smiles zinc_id prot_id files.db2 substance.inchikey net_charge ph_mod_fk substance.mwt substance.logp purchasable reactive features tranche_name C[C@H]1C[C@@H]1C(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964554029 717301847 /nfs/dbraw/zinc/30/18/47/717301847.db2.gz LTHPCFXAHRVNAB-XQQFMLRXSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(F)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000964674776 717373836 /nfs/dbraw/zinc/37/38/36/717373836.db2.gz YFDKJYHDGUYZCM-NSHDSACASA-N -1 1 323.368 1.646 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)[C@H]1CC12CC2)c1ncccc1[O-] ZINC000944327509 718288951 /nfs/dbraw/zinc/28/89/51/718288951.db2.gz WMCPEWNIWPBFMH-VXGBXAGGSA-N -1 1 315.373 1.308 20 0 DDADMM CC(C)CC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000947225185 719078526 /nfs/dbraw/zinc/07/85/26/719078526.db2.gz PWECFODNMBLYAC-VXGBXAGGSA-N -1 1 305.378 1.410 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2C[C@H]2C)C1 ZINC000967902523 719080321 /nfs/dbraw/zinc/08/03/21/719080321.db2.gz ZDDQYGAZIXGHED-PWSUYJOCSA-N -1 1 303.362 1.116 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C(C)C ZINC000948391129 719479073 /nfs/dbraw/zinc/47/90/73/719479073.db2.gz ZITHGICECVTYOY-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])c1ccco1 ZINC000948700286 719655429 /nfs/dbraw/zinc/65/54/29/719655429.db2.gz XHNBKAXZJQOGJM-JTQLQIEISA-N -1 1 301.302 1.025 20 0 DDADMM CC(C)=CC(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000948721734 719670101 /nfs/dbraw/zinc/67/01/01/719670101.db2.gz XCCIFGGKCZKUCQ-UHFFFAOYSA-N -1 1 317.389 1.722 20 0 DDADMM CC1CC(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000949342964 720029563 /nfs/dbraw/zinc/02/95/63/720029563.db2.gz XYQLRZPZZUDYTL-HTAVTVPLSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1ncoc1CN1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000969542728 720181184 /nfs/dbraw/zinc/18/11/84/720181184.db2.gz HUXYYTLGFZPXKM-JTQLQIEISA-N -1 1 316.361 1.334 20 0 DDADMM CC(=O)N1CCC[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000949587756 720190853 /nfs/dbraw/zinc/19/08/53/720190853.db2.gz DCQPHSJLPIBQSD-LBPRGKRZSA-N -1 1 320.393 1.355 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000951995196 721275011 /nfs/dbraw/zinc/27/50/11/721275011.db2.gz DKLAAKMIYGNSTJ-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM C/C=C(\C)C(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000952247989 721379324 /nfs/dbraw/zinc/37/93/24/721379324.db2.gz MCUUQAAPRRTIOP-KGVSQERTSA-N -1 1 317.389 1.722 20 0 DDADMM CC(C)C(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000953328624 721594460 /nfs/dbraw/zinc/59/44/60/721594460.db2.gz RMGYKPHFWDIHRQ-QWHCGFSZSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1nccc2cc[nH]c21 ZINC001167126974 732707688 /nfs/dbraw/zinc/70/76/88/732707688.db2.gz ADCNXAVCTNIFER-UHFFFAOYSA-N -1 1 301.331 1.908 20 0 DDADMM Cc1cc(N)ncc1Nc1ccc2c(c1)S(=O)(=O)[N-]C2=O ZINC001209969000 732866352 /nfs/dbraw/zinc/86/63/52/732866352.db2.gz HJODRTRKQKGSPY-UHFFFAOYSA-N -1 1 304.331 1.148 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCC[C@H]2[C@@H]2CCN(CCF)C2)c1[O-] ZINC001039199167 733193926 /nfs/dbraw/zinc/19/39/26/733193926.db2.gz QDIWALVLEKLWKC-OLZOCXBDSA-N -1 1 324.400 1.710 20 0 DDADMM C[C@@H](CCO)CC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692871095 738711588 /nfs/dbraw/zinc/71/15/88/738711588.db2.gz DUJOSQAJFLEMKX-MRVPVSSYSA-N -1 1 310.778 1.561 20 0 DDADMM CC[C@]1(C)C[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035290 734378379 /nfs/dbraw/zinc/37/83/79/734378379.db2.gz BVSSCBVTNSJNJC-YVEFUNNKSA-N -1 1 317.389 1.508 20 0 DDADMM O=C(C1=CCCC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024926388 736171314 /nfs/dbraw/zinc/17/13/14/736171314.db2.gz YWPGFHNUOAILFG-GFCCVEGCSA-N -1 1 305.382 1.091 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)[C@H]1CCOC1 ZINC000692897598 738967477 /nfs/dbraw/zinc/96/74/77/738967477.db2.gz ZZYXRXQZCLKVDA-SFYZADRCSA-N -1 1 308.762 1.577 20 0 DDADMM CN(CCCN(C)c1nccnc1F)C(=O)c1ncccc1[O-] ZINC001112081623 737564886 /nfs/dbraw/zinc/56/48/86/737564886.db2.gz XZSNCYKFUCGXON-UHFFFAOYSA-N -1 1 319.340 1.315 20 0 DDADMM CCOC(=O)[C@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692805055 737945356 /nfs/dbraw/zinc/94/53/56/737945356.db2.gz BZTLSGTYRZHRNQ-LURJTMIESA-N -1 1 310.734 1.104 20 0 DDADMM O=C(NCC[C@H]1CCN(C(=O)C2CCC2)C1)c1ncccc1[O-] ZINC001058998529 739582460 /nfs/dbraw/zinc/58/24/60/739582460.db2.gz PYFPGVIBDKGJIO-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM O=C([N-]C1CCN(Cc2ccc(F)nc2)CC1)C(F)(F)F ZINC001137741297 740015531 /nfs/dbraw/zinc/01/55/31/740015531.db2.gz UYGLNDCPFKSRAY-UHFFFAOYSA-N -1 1 305.275 1.864 20 0 DDADMM O=C(N[C@H]1C[C@H](Nc2cccc(F)n2)C1)c1ncccc1[O-] ZINC001059201269 740369989 /nfs/dbraw/zinc/36/99/89/740369989.db2.gz YOVDJVOYRFQDRC-MGCOHNPYSA-N -1 1 302.309 1.694 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1CCOC[C@H]1C ZINC000310682701 740422626 /nfs/dbraw/zinc/42/26/26/740422626.db2.gz YOFZXDATGJTMJA-SVRRBLITSA-N -1 1 310.828 1.808 20 0 DDADMM O=C(CCC1CCC1)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn[nH]1)C2 ZINC000998156460 751552503 /nfs/dbraw/zinc/55/25/03/751552503.db2.gz OXVFELZXLMEUBC-DYEKYZERSA-N -1 1 317.393 1.247 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)C2CCCCC2)C1 ZINC001029630169 741158204 /nfs/dbraw/zinc/15/82/04/741158204.db2.gz KXXHZJQPPGCYDE-GFCCVEGCSA-N -1 1 321.425 1.371 20 0 DDADMM CC(F)(F)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088247950 741195638 /nfs/dbraw/zinc/19/56/38/741195638.db2.gz FKUOCVBCUPABPE-VIFPVBQESA-N -1 1 317.340 1.026 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001029767505 741279495 /nfs/dbraw/zinc/27/94/95/741279495.db2.gz IUCUUCDDNPLFKP-VXGBXAGGSA-N -1 1 321.425 1.227 20 0 DDADMM O=C([C@H]1CC12CC2)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088389516 741455749 /nfs/dbraw/zinc/45/57/49/741455749.db2.gz QQWSDWDUJXQIIH-VXGBXAGGSA-N -1 1 319.409 1.171 20 0 DDADMM C[C@@H](CCCNc1cc(F)ncn1)NC(=O)c1ncccc1[O-] ZINC001114906306 751646387 /nfs/dbraw/zinc/64/63/87/751646387.db2.gz CVZOGQKAUKFQEU-JTQLQIEISA-N -1 1 319.340 1.727 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](O)Cc2ccccc2F)c([O-])c1 ZINC000923751632 741970604 /nfs/dbraw/zinc/97/06/04/741970604.db2.gz CPPQGBWYLLYGRA-GFCCVEGCSA-N -1 1 304.321 1.568 20 0 DDADMM C[C@@H](CCNC(=O)C=C1CCC1)NC(=O)c1ncccc1[O-] ZINC001076118729 742547298 /nfs/dbraw/zinc/54/72/98/742547298.db2.gz LRUVNCMRCFQIFJ-NSHDSACASA-N -1 1 303.362 1.522 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)C(=O)CCc1ccccc1 ZINC001180962057 743000249 /nfs/dbraw/zinc/00/02/49/743000249.db2.gz CDTBODYTJNSTSZ-UHFFFAOYSA-N -1 1 315.329 1.727 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)C1CCC1 ZINC001002411884 743117954 /nfs/dbraw/zinc/11/79/54/743117954.db2.gz NAQPOHOFTQJCOR-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccccc1-c1nccs1 ZINC001181504602 743215645 /nfs/dbraw/zinc/21/56/45/743215645.db2.gz BVLGRDLDNFAHQL-UHFFFAOYSA-N -1 1 300.347 1.895 20 0 DDADMM O=C(C1CCCCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998420586 751827572 /nfs/dbraw/zinc/82/75/72/751827572.db2.gz BAJPAXORHDMWEW-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)[C@]12CC[C@H](O1)c1ccccc12 ZINC001182482735 743652586 /nfs/dbraw/zinc/65/25/86/743652586.db2.gz PKOGGPKCKFRADG-BJOHPYRUSA-N -1 1 314.301 1.169 20 0 DDADMM CSc1n[nH]c(NC(=O)Cc2ccc([S-])cc2)c1C(N)=O ZINC001183186323 743792638 /nfs/dbraw/zinc/79/26/38/743792638.db2.gz OIVYYSVDDRMEGY-UHFFFAOYSA-N -1 1 322.415 1.700 20 0 DDADMM Cc1c(C(=O)Nc2c[n-][nH]c2=O)nnn1-c1cccc(Cl)c1 ZINC001183562959 743857111 /nfs/dbraw/zinc/85/71/11/743857111.db2.gz NALAVJCFEWKIDD-UHFFFAOYSA-N -1 1 318.724 1.910 20 0 DDADMM CSc1nc(NC(=O)[C@H]2C=CN=C3N=CC=C32)cc(=O)[n-]1 ZINC001183580630 743862505 /nfs/dbraw/zinc/86/25/05/743862505.db2.gz GKVGUHWLLVLDJX-QMMMGPOBSA-N -1 1 301.331 1.395 20 0 DDADMM Cc1n[nH]c(C(=O)NC2CN(C[C@@H]3CC[C@@H]4C[C@@H]4C3)C2)c1[O-] ZINC001030222072 743957295 /nfs/dbraw/zinc/95/72/95/743957295.db2.gz DVIZKOVHXLDAAS-UTUOFQBUSA-N -1 1 304.394 1.274 20 0 DDADMM O=C(NC1CN(CCC2CCOCC2)C1)c1ncccc1[O-] ZINC001030242591 743978107 /nfs/dbraw/zinc/97/81/07/743978107.db2.gz VPBXFXOAKWLZTN-UHFFFAOYSA-N -1 1 305.378 1.018 20 0 DDADMM CCOC(=O)[C@@H](C)C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001184182898 743982617 /nfs/dbraw/zinc/98/26/17/743982617.db2.gz DREMBTMYNWDQKH-JTQLQIEISA-N -1 1 303.318 1.716 20 0 DDADMM O=c1[nH]ncc([N-]S(=O)(=O)c2cccnc2Cl)c1Cl ZINC001184835884 744111137 /nfs/dbraw/zinc/11/11/37/744111137.db2.gz HHNPVWDTCXUFLX-UHFFFAOYSA-N -1 1 321.145 1.685 20 0 DDADMM O=S(=O)([N-]c1cc(O)c(F)c(F)c1)c1cnc(Cl)nc1 ZINC001185047384 744150353 /nfs/dbraw/zinc/15/03/53/744150353.db2.gz FQXBPKBTHYPROV-UHFFFAOYSA-N -1 1 321.692 1.915 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cccc(N(C)C)c2)n1 ZINC001186060556 744338840 /nfs/dbraw/zinc/33/88/40/744338840.db2.gz QGRQSQPRBSRVNZ-UHFFFAOYSA-N -1 1 316.361 1.834 20 0 DDADMM CN1CCN(c2cccc([N-]S(=O)(=O)c3ccco3)c2)CC1 ZINC001186851563 744452853 /nfs/dbraw/zinc/45/28/53/744452853.db2.gz CWAUXZGEQARZJP-UHFFFAOYSA-N -1 1 321.402 1.832 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(C(=O)OCC)cc1F ZINC001187238485 744522840 /nfs/dbraw/zinc/52/28/40/744522840.db2.gz YZJGNYCFMBNCDI-UHFFFAOYSA-N -1 1 319.354 1.781 20 0 DDADMM O=C(N[C@@H]1c2ccccc2C[C@@H]1O)c1n[n-]nc1C(F)(F)F ZINC001187769643 744598702 /nfs/dbraw/zinc/59/87/02/744598702.db2.gz BAMDWMDLUOJATN-DTWKUNHWSA-N -1 1 312.251 1.212 20 0 DDADMM COc1cc(F)cc(CNC(=O)c2n[n-]nc2C(F)(F)F)c1 ZINC001187773431 744600371 /nfs/dbraw/zinc/60/03/71/744600371.db2.gz GHWQDGXEEBMRGG-UHFFFAOYSA-N -1 1 318.230 1.901 20 0 DDADMM O=C(NCc1cc2ccncc2[nH]1)c1n[n-]nc1C(F)(F)F ZINC001187774817 744600809 /nfs/dbraw/zinc/60/08/09/744600809.db2.gz YLZYOEHEPBLLOP-UHFFFAOYSA-N -1 1 310.239 1.630 20 0 DDADMM O=C(Nc1cc(Cl)ncc1CO)c1n[n-]nc1C(F)(F)F ZINC001187758789 744605779 /nfs/dbraw/zinc/60/57/79/744605779.db2.gz HPTUMAHQLSYUII-UHFFFAOYSA-N -1 1 321.646 1.617 20 0 DDADMM COc1ccc2c(c1)C[C@H]([N-]S(=O)(=O)c1nccs1)CC2 ZINC001187917929 744626009 /nfs/dbraw/zinc/62/60/09/744626009.db2.gz NEYNAGUTBSOUAO-GFCCVEGCSA-N -1 1 324.427 1.988 20 0 DDADMM CC1(C)CC([N-]S(=O)(=O)c2nccs2)CC(C)(C)N1O ZINC001187909048 744627923 /nfs/dbraw/zinc/62/79/23/744627923.db2.gz UNWUZSQYQXNYTI-UHFFFAOYSA-N -1 1 319.452 1.832 20 0 DDADMM O=S(=O)([N-]Cc1cn(CC2CCC2)cn1)c1nccs1 ZINC001187920321 744635392 /nfs/dbraw/zinc/63/53/92/744635392.db2.gz JVAQYKOGZPJOQP-UHFFFAOYSA-N -1 1 312.420 1.618 20 0 DDADMM O=C(N[C@H]1CC[C@H]([NH+]2CCOCC2)CC1)c1ccncc1[O-] ZINC001188644803 744735296 /nfs/dbraw/zinc/73/52/96/744735296.db2.gz QHRDKSJAKPPEKG-JOCQHMNTSA-N -1 1 305.378 1.160 20 0 DDADMM CCOC(C[N-]S(=O)(=O)Cc1cc(F)ccc1F)OCC ZINC001189699395 744956062 /nfs/dbraw/zinc/95/60/62/744956062.db2.gz BUDCWLSAYODGNP-UHFFFAOYSA-N -1 1 323.361 1.783 20 0 DDADMM CN(C)c1ncc(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)cn1 ZINC001189839053 745002087 /nfs/dbraw/zinc/00/20/87/745002087.db2.gz KDYCHVNRIOIVQG-UHFFFAOYSA-N -1 1 324.344 1.686 20 0 DDADMM O=S(=O)(CCCF)[N-]c1ccc(S(=O)(=O)C2CC2)cc1 ZINC001189921610 745036882 /nfs/dbraw/zinc/03/68/82/745036882.db2.gz QJFRFARTRCXQAS-UHFFFAOYSA-N -1 1 321.395 1.724 20 0 DDADMM Cc1ncc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2F)cn1 ZINC001190402401 745200692 /nfs/dbraw/zinc/20/06/92/745200692.db2.gz ZIBQAESQVWNZRO-UHFFFAOYSA-N -1 1 317.292 1.681 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccc(C3CC3)cc2)ccn1 ZINC001190472545 745230757 /nfs/dbraw/zinc/23/07/57/745230757.db2.gz UVUHUGUGACUJAR-UHFFFAOYSA-N -1 1 317.370 1.281 20 0 DDADMM Cc1nc(NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)cc(=O)[nH]1 ZINC001190618728 745259820 /nfs/dbraw/zinc/25/98/20/745259820.db2.gz WUPYYLHNIBEMSD-UHFFFAOYSA-N -1 1 324.300 1.301 20 0 DDADMM CN(CC1(C)COC1)C(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190619953 745260453 /nfs/dbraw/zinc/26/04/53/745260453.db2.gz JSNMXDZCVWJFCS-UHFFFAOYSA-N -1 1 314.345 1.353 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccncc2)n(-c2ccncc2)n1 ZINC001190859077 745358328 /nfs/dbraw/zinc/35/83/28/745358328.db2.gz CYEDOTKFAOTECH-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM COC(=O)c1cncc(C(=O)Nc2ccc3[nH][n-]c(=O)c3c2)c1 ZINC001191221047 745458785 /nfs/dbraw/zinc/45/87/85/745458785.db2.gz DNKCATBJARACQE-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM O=C([N-]c1nnc(Br)s1)c1cc(Cl)ncn1 ZINC001191446444 745514941 /nfs/dbraw/zinc/51/49/41/745514941.db2.gz XKYCFPUOBIXTDT-UHFFFAOYSA-N -1 1 320.559 1.996 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccnc2C(=O)OC)n1 ZINC001192492531 745795955 /nfs/dbraw/zinc/79/59/55/745795955.db2.gz IYBBFPLOTLIHAI-UHFFFAOYSA-N -1 1 318.289 1.020 20 0 DDADMM CN(C1CN(C(=O)c2ccc(F)c(F)c2[O-])C1)[C@H]1CCOC1 ZINC001192808001 745899822 /nfs/dbraw/zinc/89/98/22/745899822.db2.gz ZTKLYQKGBJHENO-VIFPVBQESA-N -1 1 312.316 1.216 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cc(C)cc(CO)c1 ZINC001193060674 745976289 /nfs/dbraw/zinc/97/62/89/745976289.db2.gz HVLZQTYVLRSZHO-UHFFFAOYSA-N -1 1 315.391 1.571 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)C[C@@H]2CCCO2)cs1 ZINC001193206169 746013541 /nfs/dbraw/zinc/01/35/41/746013541.db2.gz RTVQYOPREILCKH-VIFPVBQESA-N -1 1 305.377 1.455 20 0 DDADMM COc1nc(Cl)cc(NC(=O)c2nccc(OC)c2[O-])n1 ZINC001193519726 746131562 /nfs/dbraw/zinc/13/15/62/746131562.db2.gz DOHRWECYMWGPOK-UHFFFAOYSA-N -1 1 310.697 1.500 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)CCNC2=O ZINC001193928609 746224005 /nfs/dbraw/zinc/22/40/05/746224005.db2.gz HGFJSNSPPHTTIK-UHFFFAOYSA-N -1 1 317.370 1.356 20 0 DDADMM COC(=O)c1cc(O)cc([N-]S(=O)(=O)c2ccccc2N)c1 ZINC001193932677 746226343 /nfs/dbraw/zinc/22/63/43/746226343.db2.gz ROMDMQOTJRVSQO-UHFFFAOYSA-N -1 1 322.342 1.562 20 0 DDADMM CCCC[C@H](CC)COC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001193983162 746247302 /nfs/dbraw/zinc/24/73/02/746247302.db2.gz FTHWAGHBLHIGRT-IUCAKERBSA-N -1 1 315.395 1.464 20 0 DDADMM Cc1[n-]n(-c2ccccc2)c(=O)c1C(=O)Nc1cccnc1CN ZINC001194276527 746314684 /nfs/dbraw/zinc/31/46/84/746314684.db2.gz CBTOBCMUJXJVNQ-UHFFFAOYSA-N -1 1 323.356 1.992 20 0 DDADMM COc1ccc(OC(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001194554437 746408767 /nfs/dbraw/zinc/40/87/67/746408767.db2.gz ZJAFRRDVXBOWDX-UHFFFAOYSA-N -1 1 303.251 1.742 20 0 DDADMM CC1(C)C[C@@H](C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)O1 ZINC001194578987 746413421 /nfs/dbraw/zinc/41/34/21/746413421.db2.gz YECRFQXTNUWPMB-QMMMGPOBSA-N -1 1 324.324 1.946 20 0 DDADMM COc1cccc([N-]S(=O)(=O)C2CCC(=O)CC2)c1OC ZINC001194749886 746445221 /nfs/dbraw/zinc/44/52/21/746445221.db2.gz QDEJNZWUGIBGBM-UHFFFAOYSA-N -1 1 313.375 1.957 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3c[nH]c(=O)cc3Cl)c1-2 ZINC001194994908 746507198 /nfs/dbraw/zinc/50/71/98/746507198.db2.gz BCANGXGEHGQYHR-UHFFFAOYSA-N -1 1 304.697 1.041 20 0 DDADMM COC(=O)CCN(Cc1ccco1)C(=O)c1nc(C)ccc1[O-] ZINC001195323001 746564625 /nfs/dbraw/zinc/56/46/25/746564625.db2.gz FUVXQCYBJSLQKV-UHFFFAOYSA-N -1 1 318.329 1.894 20 0 DDADMM COC[C@]12CCCO[C@H]1CCN(C(=O)c1nc(C)ccc1[O-])C2 ZINC001195323381 746565490 /nfs/dbraw/zinc/56/54/90/746565490.db2.gz URDPHHPHPLPTQD-WMLDXEAASA-N -1 1 320.389 1.753 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC[C@@H]3[C@H](CCC(=O)N3C)C2)n1 ZINC001195326746 746566736 /nfs/dbraw/zinc/56/67/36/746566736.db2.gz UTXGKKOBVWBSEO-VXGBXAGGSA-N -1 1 303.362 1.179 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2ccc(Cn3cncn3)cc2)n1 ZINC001195326464 746566765 /nfs/dbraw/zinc/56/67/65/746566765.db2.gz QDSCLLWODYRSJK-UHFFFAOYSA-N -1 1 309.329 1.988 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2nc(Cl)nc3[nH]cnc32)n1 ZINC001195318054 746575956 /nfs/dbraw/zinc/57/59/56/746575956.db2.gz GZNYTFJUVZUYLY-UHFFFAOYSA-N -1 1 304.697 1.668 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(N2CCNC(=O)C2)cc1 ZINC001195484863 746623282 /nfs/dbraw/zinc/62/32/82/746623282.db2.gz VFDGANHEYTWGLE-UHFFFAOYSA-N -1 1 322.390 1.066 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(S(C)(=O)=O)cc1F ZINC001195484781 746623299 /nfs/dbraw/zinc/62/32/99/746623299.db2.gz QYTAUNVCLVKMDJ-UHFFFAOYSA-N -1 1 320.367 1.672 20 0 DDADMM O=C1NCc2c1cccc2[N-]S(=O)(=O)c1ccc(O)cc1 ZINC001195794545 746707463 /nfs/dbraw/zinc/70/74/63/746707463.db2.gz YKZRDDAMENJVSG-UHFFFAOYSA-N -1 1 304.327 1.436 20 0 DDADMM O=C(Nc1nc2c(s1)C(=O)CCC2)c1c[nH]c(=S)[n-]c1=O ZINC001196009284 746754770 /nfs/dbraw/zinc/75/47/70/746754770.db2.gz YBRCNGCAKHJQFS-UHFFFAOYSA-N -1 1 322.371 1.699 20 0 DDADMM CN(Cc1cnc(Cl)s1)C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196014181 746756559 /nfs/dbraw/zinc/75/65/59/746756559.db2.gz NXWMLHRDAIJFJV-UHFFFAOYSA-N -1 1 316.795 1.853 20 0 DDADMM Cc1ccc(CNC(=O)c2c[nH]c(=S)[n-]c2=O)c(F)c1F ZINC001196023529 746760286 /nfs/dbraw/zinc/76/02/86/746760286.db2.gz KTJXMLJSPIKHHR-UHFFFAOYSA-N -1 1 311.313 1.988 20 0 DDADMM CCc1cnccc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001196134079 746780181 /nfs/dbraw/zinc/78/01/81/746780181.db2.gz WSJSLNLWFLTDIY-UHFFFAOYSA-N -1 1 316.321 1.856 20 0 DDADMM COC(=O)CNC(=S)Nc1ncc(Br)cc1[O-] ZINC001197155316 747089308 /nfs/dbraw/zinc/08/93/08/747089308.db2.gz OGJIGTZBSNUDFC-UHFFFAOYSA-N -1 1 320.168 1.009 20 0 DDADMM C[C@H](c1nc(-c2cccnc2)no1)[N@@H+]1CC[C@@H](c2nn[nH]n2)C1 ZINC001197297091 747123516 /nfs/dbraw/zinc/12/35/16/747123516.db2.gz NPRALHLVSZPFRU-MWLCHTKSSA-N -1 1 312.337 1.195 20 0 DDADMM O=C1OCc2cc([N-]S(=O)(=O)Cc3cccnc3)ccc21 ZINC001197457397 747179525 /nfs/dbraw/zinc/17/95/25/747179525.db2.gz GORXALKZWRWGTH-UHFFFAOYSA-N -1 1 304.327 1.694 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)Cc1ccccn1)[C@@H](C)CC ZINC001197852442 747281147 /nfs/dbraw/zinc/28/11/47/747281147.db2.gz YAGHPNAHGZECKI-WCQYABFASA-N -1 1 314.407 1.479 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@@H]2c3cc(C)ccc3NC2=O)n1C ZINC001198408771 747482664 /nfs/dbraw/zinc/48/26/64/747482664.db2.gz RFKQMWPHTBCUIX-CYBMUJFWSA-N -1 1 320.374 1.009 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)Nc1cnc2ccnn2c1 ZINC001198742158 747587240 /nfs/dbraw/zinc/58/72/40/747587240.db2.gz WZYUBESSVCGPMD-UHFFFAOYSA-N -1 1 318.314 1.228 20 0 DDADMM Cc1cc(N2CCC[C@H]2CNC(=O)c2ncccc2[O-])ncn1 ZINC001061343756 747677465 /nfs/dbraw/zinc/67/74/65/747677465.db2.gz LSSIGEMCSXEJRN-LBPRGKRZSA-N -1 1 313.361 1.284 20 0 DDADMM Cc1nccc(N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001061343743 747678140 /nfs/dbraw/zinc/67/81/40/747678140.db2.gz LOAPOSUBEIVAKR-GFCCVEGCSA-N -1 1 313.361 1.284 20 0 DDADMM CC(C)CNc1cc(C(=O)[N-]c2noc3nccnc23)ncn1 ZINC001199442026 747852438 /nfs/dbraw/zinc/85/24/38/747852438.db2.gz CLMDWJGWJZQFNH-UHFFFAOYSA-N -1 1 313.321 1.728 20 0 DDADMM Cc1ccc(CNC(=S)Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001200322098 748202081 /nfs/dbraw/zinc/20/20/81/748202081.db2.gz CEZUVHQODWMNFW-UHFFFAOYSA-N -1 1 314.374 1.854 20 0 DDADMM Cc1ncoc1CN1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001007176051 752272040 /nfs/dbraw/zinc/27/20/40/752272040.db2.gz DDNMINMIDIMURK-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM CC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2sc(Cl)nc2C)C1 ZINC001201763870 748597226 /nfs/dbraw/zinc/59/72/26/748597226.db2.gz GPKVTJXLYNJAGI-MRVPVSSYSA-N -1 1 323.827 1.004 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]CCc1nncs1 ZINC001201771031 748599480 /nfs/dbraw/zinc/59/94/80/748599480.db2.gz ZOTHEUVLXHJOTL-UHFFFAOYSA-N -1 1 324.840 1.477 20 0 DDADMM CN(CCCN(C)C(=O)C1CCCC1)C(=O)c1ncccc1[O-] ZINC001066595975 748951013 /nfs/dbraw/zinc/95/10/13/748951013.db2.gz FTIZCCDOWMWDGF-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM CC(C)=CC(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107273369 749731802 /nfs/dbraw/zinc/73/18/02/749731802.db2.gz LOLGUSCDBRJVRY-MLGOLLRUSA-N -1 1 319.409 1.337 20 0 DDADMM C[C@@H](CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C1CC1 ZINC000996684833 749906183 /nfs/dbraw/zinc/90/61/83/749906183.db2.gz ZVWDKOXQLGUNGI-CMPLNLGQSA-N -1 1 321.425 1.273 20 0 DDADMM Cc1ccnc(N(C)CCCNC(=O)c2ncccc2[O-])n1 ZINC001095540199 750025095 /nfs/dbraw/zinc/02/50/95/750025095.db2.gz FNEYMISTROJBTI-UHFFFAOYSA-N -1 1 301.350 1.142 20 0 DDADMM C[C@@H]1C[C@@H]([NH2+]CCP(=O)([O-])[O-])CN1C(=O)OC(C)(C)C ZINC001168810821 762044350 /nfs/dbraw/zinc/04/43/50/762044350.db2.gz JDXOCYNXOLEMFZ-NXEZZACHSA-N -1 1 308.315 1.152 20 0 DDADMM O=C(C1CCCCCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034881937 750977037 /nfs/dbraw/zinc/97/70/37/750977037.db2.gz QDZISYLGGADGGL-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008066422 752529823 /nfs/dbraw/zinc/52/98/23/752529823.db2.gz BECLSMNRAAAYAZ-KKNAONHISA-N -1 1 317.389 1.720 20 0 DDADMM CC(C)(C)CC(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036641850 752614507 /nfs/dbraw/zinc/61/45/07/752614507.db2.gz HMJPMSKDFVDGGQ-VXGBXAGGSA-N -1 1 321.425 1.369 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCCOC(C)(C)C)sn1 ZINC001212415696 752626192 /nfs/dbraw/zinc/62/61/92/752626192.db2.gz LMZKREGIGMOXOK-UHFFFAOYSA-N -1 1 308.425 1.635 20 0 DDADMM O=C(CCC1CC1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036670680 753196303 /nfs/dbraw/zinc/19/63/03/753196303.db2.gz YQFFBKZMBBUHGQ-OLZOCXBDSA-N -1 1 319.409 1.123 20 0 DDADMM CCC(C)(C)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005953675 753414921 /nfs/dbraw/zinc/41/49/21/753414921.db2.gz VXCIELJUNQRVPH-LLVKDONJSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)=C(F)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010982848 754289083 /nfs/dbraw/zinc/28/90/83/754289083.db2.gz CFWVZABYSWKCOR-UHFFFAOYSA-N -1 1 307.325 1.335 20 0 DDADMM CCC(CC)C(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079345945 755251394 /nfs/dbraw/zinc/25/13/94/755251394.db2.gz HRTZXLDIAHXNAL-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1Nc1cnc(F)cn1 ZINC001067075897 755697228 /nfs/dbraw/zinc/69/72/28/755697228.db2.gz MXBTUUFWDYQBIF-ZJUUUORDSA-N -1 1 317.324 1.289 20 0 DDADMM CCC(=O)N[C@@H]1CCC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001014573511 755805531 /nfs/dbraw/zinc/80/55/31/755805531.db2.gz QLDYBGRCXYUGFO-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)CC2CCC2)C1 ZINC001016431830 757040212 /nfs/dbraw/zinc/04/02/12/757040212.db2.gz SDKXFLOHEJLKCC-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM CCOC(=O)[C@@H](F)[C@H]1CCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000823211809 758281135 /nfs/dbraw/zinc/28/11/35/758281135.db2.gz KXMBFIJEUIWPIH-GWCFXTLKSA-N -1 1 313.300 1.895 20 0 DDADMM O=c1cnc(NCc2ncccc2[O-])cn1Cc1cccnc1 ZINC001169731845 762639365 /nfs/dbraw/zinc/63/93/65/762639365.db2.gz HYODHPBAFAZNIT-UHFFFAOYSA-N -1 1 309.329 1.399 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(F)F ZINC001018105739 758551694 /nfs/dbraw/zinc/55/16/94/758551694.db2.gz ULOQZVYYQYGWQX-RKDXNWHRSA-N -1 1 313.304 1.162 20 0 DDADMM COc1cccc(OC)c1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000824792508 759205186 /nfs/dbraw/zinc/20/51/86/759205186.db2.gz KPGYJYLCHBHGFX-UHFFFAOYSA-N -1 1 317.349 1.237 20 0 DDADMM CSc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000824932983 759215270 /nfs/dbraw/zinc/21/52/70/759215270.db2.gz DIVYFDUBCFMIEQ-LLVKDONJSA-N -1 1 305.363 1.135 20 0 DDADMM O=C(C1=CCCCCC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018761785 759233768 /nfs/dbraw/zinc/23/37/68/759233768.db2.gz BLHUMOYEAVCLLL-LBPRGKRZSA-N -1 1 305.382 1.091 20 0 DDADMM O=C(COc1ccc(F)cc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000826573072 759289392 /nfs/dbraw/zinc/28/93/92/759289392.db2.gz GZEFKGIGMLOGEN-UHFFFAOYSA-N -1 1 323.303 1.263 20 0 DDADMM CC(C)(F)C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962077 759454029 /nfs/dbraw/zinc/45/40/29/759454029.db2.gz DZDHLHGBHAXHSK-UHFFFAOYSA-N -1 1 321.352 1.210 20 0 DDADMM C[C@@H]1CN(C(=O)CC2CCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054345826 759521690 /nfs/dbraw/zinc/52/16/90/759521690.db2.gz DAYDXLOKNLYISD-ZYHUDNBSSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@@H]1CN(C(=O)C(F)=C2CCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054567673 759825569 /nfs/dbraw/zinc/82/55/69/759825569.db2.gz QVHFMOFMIXUHEX-KOLCDFICSA-N -1 1 323.372 1.244 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001019684024 760165566 /nfs/dbraw/zinc/16/55/66/760165566.db2.gz KPHXQPODPRSWEY-AVGNSLFASA-N -1 1 321.425 1.417 20 0 DDADMM Cc1ccnc(N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001046796966 767933569 /nfs/dbraw/zinc/93/35/69/767933569.db2.gz WRJYVAOOIKRPLW-HAQNSBGRSA-N -1 1 313.361 1.506 20 0 DDADMM C[C@H]1[C@@H](NC(=O)[C@H]2CC2(C)C)CCN1C(=O)c1ncccc1[O-] ZINC001020205266 760677069 /nfs/dbraw/zinc/67/70/69/760677069.db2.gz GVXVTDHSAKVLRY-TUAOUCFPSA-N -1 1 317.389 1.553 20 0 DDADMM Cc1cnc(C)nc1NCCCN(C)C(=O)c1ncccc1[O-] ZINC001109436662 763705919 /nfs/dbraw/zinc/70/59/19/763705919.db2.gz AMKDXLWAOAEHNX-UHFFFAOYSA-N -1 1 315.377 1.768 20 0 DDADMM Cc1nccc(N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001057623257 764156532 /nfs/dbraw/zinc/15/65/32/764156532.db2.gz JKFUZDUIUIVYEI-GFCCVEGCSA-N -1 1 313.361 1.602 20 0 DDADMM CN(C(=O)c1ccc2oc(=O)nc-2[n-]1)C1CN(CC2CCC2)C1 ZINC001044182633 765370940 /nfs/dbraw/zinc/37/09/40/765370940.db2.gz XNQRERYMBWWGLC-UHFFFAOYSA-N -1 1 316.361 1.485 20 0 DDADMM C[C@@H](NC(=O)c1cnn[nH]1)[C@@H](C)Nc1nc(C2CCC2)ns1 ZINC001113356560 765605265 /nfs/dbraw/zinc/60/52/65/765605265.db2.gz JBJVGXSJRIOFQU-HTQZYQBOSA-N -1 1 321.410 1.543 20 0 DDADMM Cc1cc(C)nc(N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001113575259 765896122 /nfs/dbraw/zinc/89/61/22/765896122.db2.gz QSQCSBSSISEXQV-LBPRGKRZSA-N -1 1 315.377 1.449 20 0 DDADMM Cc1cc(N(C)[C@H](C)CNC(=O)c2ncccc2[O-])ncn1 ZINC001113575546 765897024 /nfs/dbraw/zinc/89/70/24/765897024.db2.gz XGDPZIVAFIFHDB-LLVKDONJSA-N -1 1 301.350 1.140 20 0 DDADMM O=C(CC1CCCCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044913879 765909499 /nfs/dbraw/zinc/90/94/99/765909499.db2.gz NXZMJVOBLWKCGP-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM CC[C@H](C)Oc1nccnc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170217355 766176735 /nfs/dbraw/zinc/17/67/35/766176735.db2.gz RBRACZHQGLVUAV-LURJTMIESA-N -1 1 317.309 1.427 20 0 DDADMM CC(C)n1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1=O ZINC001170219954 766177355 /nfs/dbraw/zinc/17/73/55/766177355.db2.gz QFNKIVISPHVCDH-UHFFFAOYSA-N -1 1 302.294 1.202 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc4c(c3)[C@H](O)CCO4)c2[nH]1 ZINC001170220409 766179255 /nfs/dbraw/zinc/17/92/55/766179255.db2.gz YADKHJXPEWLYMF-MRVPVSSYSA-N -1 1 315.289 1.275 20 0 DDADMM CCCOc1nc(Cl)nc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001170224254 766197864 /nfs/dbraw/zinc/19/78/64/766197864.db2.gz VUDLQZKYFBZWPA-UHFFFAOYSA-N -1 1 322.716 1.429 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CCCF ZINC001071951875 770334558 /nfs/dbraw/zinc/33/45/58/770334558.db2.gz DYOKKNULLDVDSY-GHMZBOCLSA-N -1 1 309.341 1.114 20 0 DDADMM C[C@@H]1C[C@@H](CC(=O)NCCNCc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001135406554 771487385 /nfs/dbraw/zinc/48/73/85/771487385.db2.gz WHZZZSHIPCMHFJ-NEPJUHHUSA-N -1 1 323.441 1.569 20 0 DDADMM [O-]c1cc(F)c(CN2CCN(c3ccncn3)CC2)c(F)c1 ZINC001144559170 772564785 /nfs/dbraw/zinc/56/47/85/772564785.db2.gz KIJDDAFBXTZJJL-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM CN(C)C(=O)N[C@@H]1CCCN(Cc2c(F)cc([O-])cc2F)C1 ZINC001144562079 772565087 /nfs/dbraw/zinc/56/50/87/772565087.db2.gz XSEKALBAVQIMRQ-SNVBAGLBSA-N -1 1 313.348 1.906 20 0 DDADMM CCCCCC[C@@H](C)CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001147424536 773129584 /nfs/dbraw/zinc/12/95/84/773129584.db2.gz WYCBGHJKUHAELY-GFCCVEGCSA-N -1 1 311.430 1.713 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2ncc(Cl)cc2N)c1[O-] ZINC001147833006 773260102 /nfs/dbraw/zinc/26/01/02/773260102.db2.gz BORKMKAUZYDJBO-UHFFFAOYSA-N -1 1 308.725 1.471 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1ccc2c(c1)ncn2C ZINC001148257371 773412673 /nfs/dbraw/zinc/41/26/73/773412673.db2.gz NYRCMDVUFHGQEU-UHFFFAOYSA-N -1 1 315.358 1.918 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCc3nnc(C)o3)cc2)[n-]1 ZINC001148339447 773441425 /nfs/dbraw/zinc/44/14/25/773441425.db2.gz CVITVTNZICBMCX-UHFFFAOYSA-N -1 1 313.317 1.421 20 0 DDADMM CSCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001074797966 774146149 /nfs/dbraw/zinc/14/61/49/774146149.db2.gz XBUYPYZUGFJZEF-QWRGUYRKSA-N -1 1 323.418 1.260 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036804970 774682024 /nfs/dbraw/zinc/68/20/24/774682024.db2.gz SNHZRLAZGLIIJZ-VXGBXAGGSA-N -1 1 321.425 1.369 20 0 DDADMM CSc1ccnc(NCCCNC(=O)c2ncccc2[O-])n1 ZINC001094375758 775820494 /nfs/dbraw/zinc/82/04/94/775820494.db2.gz OTEFYQCKSRHATQ-UHFFFAOYSA-N -1 1 319.390 1.531 20 0 DDADMM CCOC(=O)c1ccc(OCC)nc1[N-]c1nccnc1CN ZINC001171445230 775876049 /nfs/dbraw/zinc/87/60/49/775876049.db2.gz ZBLDQPWURQHDDU-UHFFFAOYSA-N -1 1 317.349 1.649 20 0 DDADMM C[C@@H]1C[C@@H](N[C@H]2SC(=O)[N-]C2=O)CN1Cc1ccccc1 ZINC001171725748 776424048 /nfs/dbraw/zinc/42/40/48/776424048.db2.gz BUICWFKZQFMNOI-QKCSRTOESA-N -1 1 305.403 1.548 20 0 DDADMM C[C@H](CCN(C)C(=O)OC(C)(C)C)[NH2+]CCP(=O)([O-])[O-] ZINC001172953950 776955266 /nfs/dbraw/zinc/95/52/66/776955266.db2.gz MKVUYPDFKSCQRH-SNVBAGLBSA-N -1 1 310.331 1.399 20 0 DDADMM CN(C)c1nc(Nc2ccccc2S(C)(=O)=O)cc(=O)[n-]1 ZINC001173987474 777387112 /nfs/dbraw/zinc/38/71/12/777387112.db2.gz JEYHLJUJFOGMBU-UHFFFAOYSA-N -1 1 308.363 1.395 20 0 DDADMM COc1cc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cnc1OC ZINC001174545994 777516972 /nfs/dbraw/zinc/51/69/72/777516972.db2.gz FPCWFTZUMAYMIP-UHFFFAOYSA-N -1 1 320.309 1.802 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(N)c(N)c2)cnc1OC ZINC001174548149 777517144 /nfs/dbraw/zinc/51/71/44/777517144.db2.gz MZPPPWSCSCISDR-UHFFFAOYSA-N -1 1 324.362 1.064 20 0 DDADMM CC(=O)c1ccc(F)c([N-]S(=O)(=O)c2cncc(N)c2)c1 ZINC001175272365 777741705 /nfs/dbraw/zinc/74/17/05/777741705.db2.gz OXIMDKYFCBZNND-UHFFFAOYSA-N -1 1 309.322 1.806 20 0 DDADMM CSc1ncc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cn1 ZINC001175648781 777856370 /nfs/dbraw/zinc/85/63/70/777856370.db2.gz XQKPXTNXGGIXRY-UHFFFAOYSA-N -1 1 307.339 1.902 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2cc(F)ccc2N)c(F)cn1 ZINC001175694537 777861968 /nfs/dbraw/zinc/86/19/68/777861968.db2.gz PWEIVBGVOPDIIB-UHFFFAOYSA-N -1 1 315.301 1.751 20 0 DDADMM CC(C)=C(C)CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102241151 777967880 /nfs/dbraw/zinc/96/78/80/777967880.db2.gz HKHXFZUTDXXBGI-CHWSQXEVSA-N -1 1 321.425 1.441 20 0 DDADMM O=C(Cc1nn[n-]n1)NC/C(=C/F)CCc1ccc(F)cc1 ZINC001176846258 778300587 /nfs/dbraw/zinc/30/05/87/778300587.db2.gz YOZQODHEFVDUNL-DHZHZOJOSA-N -1 1 307.304 1.484 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C1(c2ccncc2)CC1 ZINC001177605811 778664931 /nfs/dbraw/zinc/66/49/31/778664931.db2.gz MOQMXDMJPZQFNE-UHFFFAOYSA-N -1 1 301.262 1.351 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)[C@H]1CCc2nccn2C1 ZINC001178101149 778869213 /nfs/dbraw/zinc/86/92/13/778869213.db2.gz QXWNGEIFFPBLRU-LBPRGKRZSA-N -1 1 323.356 1.976 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001103453088 778874217 /nfs/dbraw/zinc/87/42/17/778874217.db2.gz GPEUYPVVXHRKPQ-FDYHWXHSSA-N -1 1 321.425 1.131 20 0 DDADMM CC(C)c1cccc(CC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)n1 ZINC001178445029 779032234 /nfs/dbraw/zinc/03/22/34/779032234.db2.gz VCOIBKPPCGQSMI-UHFFFAOYSA-N -1 1 317.305 1.985 20 0 DDADMM CC/C(C)=C/C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104162418 779344376 /nfs/dbraw/zinc/34/43/76/779344376.db2.gz NNPVKOGNGOTSPI-PGJRDNSLSA-N -1 1 321.425 1.441 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])Nc1nccnc1F ZINC001115718912 780374797 /nfs/dbraw/zinc/37/47/97/780374797.db2.gz BLMXVEFBFOBMFU-VIFPVBQESA-N -1 1 305.313 1.289 20 0 DDADMM Cc1c(C(=O)[N-]S(=O)(=O)c2ccsc2)ccc2cncn21 ZINC001116536194 780519955 /nfs/dbraw/zinc/51/99/55/780519955.db2.gz DCQBNOAKLJZADY-UHFFFAOYSA-N -1 1 321.383 1.823 20 0 DDADMM O=S(=O)([N-]CC=CC1CC1)c1nc[nH]c1Br ZINC001118936276 781277728 /nfs/dbraw/zinc/27/77/28/781277728.db2.gz HXIZHDUUISZRPI-OWOJBTEDSA-N -1 1 306.185 1.417 20 0 DDADMM C[C@H](OCCCNCc1cn(CC(=O)[O-])nn1)c1ccccc1 ZINC001119602285 781533214 /nfs/dbraw/zinc/53/32/14/781533214.db2.gz LOROJNSYDOOWNM-ZDUSSCGKSA-N -1 1 318.377 1.620 20 0 DDADMM CC(C)(CNCc1ccc(/C=C\C(=O)[O-])o1)S(C)(=O)=O ZINC001119603405 781533238 /nfs/dbraw/zinc/53/32/38/781533238.db2.gz RVZSYLNVPDRBLZ-SREVYHEPSA-N -1 1 301.364 1.290 20 0 DDADMM CC(C)c1ocnc1CNCCN(C)C(=O)c1ncccc1[O-] ZINC001266681930 836659351 /nfs/dbraw/zinc/65/93/51/836659351.db2.gz IYCINZBJGGAKLW-UHFFFAOYSA-N -1 1 318.377 1.760 20 0 DDADMM CCN(CCNC(=O)[C@H]1CC1(F)F)C(=O)c1ncccc1[O-] ZINC001408739581 838614242 /nfs/dbraw/zinc/61/42/42/838614242.db2.gz HZURLWNSSBAZGX-SECBINFHSA-N -1 1 313.304 1.021 20 0 DDADMM CC[C@@H](CNC(=O)C1CCC=CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001267829530 839046953 /nfs/dbraw/zinc/04/69/53/839046953.db2.gz VWUDUWXJAUMNSU-LBPRGKRZSA-N -1 1 307.398 1.241 20 0 DDADMM CC[C@@H](SC)C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409134493 844404811 /nfs/dbraw/zinc/40/48/11/844404811.db2.gz HYRVBCZYSQJFGY-GFCCVEGCSA-N -1 1 323.418 1.117 20 0 DDADMM CC[C@@H](F)C(=O)N(CC)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001409213098 844520853 /nfs/dbraw/zinc/52/08/53/844520853.db2.gz VZLGOMFGXWYTJW-VXGBXAGGSA-N -1 1 323.368 1.598 20 0 DDADMM CC(C)CN1CC[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC001268845828 840894464 /nfs/dbraw/zinc/89/44/64/840894464.db2.gz VHLSMPRHVMWWAP-KRWDZBQOSA-N -1 1 317.389 1.508 20 0 DDADMM CCN(C(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ncccc1[O-])C(C)C ZINC001269346881 841551891 /nfs/dbraw/zinc/55/18/91/841551891.db2.gz MSVWXKQWXYCDCU-YVEFUNNKSA-N -1 1 317.389 1.649 20 0 DDADMM NC(=O)C[C@@H]1C[C@@H]2CN(C(=O)c3cc(Cl)ccc3[O-])C[C@@H]2O1 ZINC001269573999 841781050 /nfs/dbraw/zinc/78/10/50/841781050.db2.gz KEBJFMLDHXMPEG-DVYJOKAKSA-N -1 1 324.764 1.151 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc2[nH]c(-c3ccncc3)nc2c1 ZINC001154581131 861240062 /nfs/dbraw/zinc/24/00/62/861240062.db2.gz LNXVGMUOYSXION-UHFFFAOYSA-N -1 1 320.316 1.068 20 0 DDADMM O=C(/C=C\Cn1cncn1)Nc1nc(Br)ccc1[O-] ZINC001154598127 861260878 /nfs/dbraw/zinc/26/08/78/861260878.db2.gz ZBZCHARTRCHSER-UPHRSURJSA-N -1 1 324.138 1.336 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1CCOC(C)(C)C1 ZINC001363975459 842912306 /nfs/dbraw/zinc/91/23/06/842912306.db2.gz IGKMZAPCMOINCU-MRVPVSSYSA-N -1 1 306.409 1.388 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CCC=CCCC1 ZINC001271014274 843134787 /nfs/dbraw/zinc/13/47/87/843134787.db2.gz WANMWZFWGNUYMT-QWHCGFSZSA-N -1 1 321.425 1.583 20 0 DDADMM O=C([O-])c1cn([C@H]2CCCN(CCOCC(F)(F)F)C2)nn1 ZINC001326534237 861429702 /nfs/dbraw/zinc/42/97/02/861429702.db2.gz QVQCQBVGAKBQJH-VIFPVBQESA-N -1 1 322.287 1.192 20 0 DDADMM CC[C@H](CNC(=O)C[C@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001409284302 844832947 /nfs/dbraw/zinc/83/29/47/844832947.db2.gz JUYHGSIVOLEWKR-WCQYABFASA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)[C@H](C)C(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001409676959 845552290 /nfs/dbraw/zinc/55/22/90/845552290.db2.gz PJNQXLFCSUZREG-RYUDHWBXSA-N -1 1 307.394 1.561 20 0 DDADMM CN1C[C@]2(CC1=O)CCCCN2C(=O)c1ccc([O-])c(F)c1 ZINC001272401690 846102591 /nfs/dbraw/zinc/10/25/91/846102591.db2.gz RINXSQXWLIXWKD-MRXNPFEDSA-N -1 1 306.337 1.758 20 0 DDADMM COC[C@H](NC(=O)c1ccc(Cl)c2occc21)c1nn[n-]n1 ZINC001155075585 861702960 /nfs/dbraw/zinc/70/29/60/861702960.db2.gz DCHGKHRERHQMFQ-JTQLQIEISA-N -1 1 321.724 1.717 20 0 DDADMM O=C1COCC2(CN(Cc3ccncc3[O-])C2)N1C1CCCC1 ZINC001273079660 847878387 /nfs/dbraw/zinc/87/83/87/847878387.db2.gz JYCCBGXGAAZIOL-UHFFFAOYSA-N -1 1 317.389 1.143 20 0 DDADMM Cc1ccc(C(=O)N(C)[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001410279750 849003233 /nfs/dbraw/zinc/00/32/33/849003233.db2.gz JMEXJUZFCADWOO-LLVKDONJSA-N -1 1 319.365 1.052 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2scnc2C)sn1 ZINC001364848926 849073688 /nfs/dbraw/zinc/07/36/88/849073688.db2.gz LHRMGDOXGQVRRF-UHFFFAOYSA-N -1 1 319.433 1.438 20 0 DDADMM CC[C@@H](C)CC(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001410551770 849476433 /nfs/dbraw/zinc/47/64/33/849476433.db2.gz LGOZXOHTLHESMS-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cc2c([nH]1)CCCC2 ZINC001150644208 862383514 /nfs/dbraw/zinc/38/35/14/862383514.db2.gz NVRHOHLNFWHPHV-UHFFFAOYSA-N -1 1 314.305 1.175 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC2(C[C@H]2C(=O)N2CC=CC2)C1 ZINC001275360793 853015001 /nfs/dbraw/zinc/01/50/01/853015001.db2.gz KCRMPHCORYPVQW-ZDUSSCGKSA-N -1 1 316.332 1.392 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CCN(C(=O)c1ccc(F)c([O-])c1)CC2 ZINC001275408480 853079921 /nfs/dbraw/zinc/07/99/21/853079921.db2.gz OQZQKXOYNIGQCR-LBPRGKRZSA-N -1 1 320.364 1.862 20 0 DDADMM Cc1ccoc1C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001411493826 853224239 /nfs/dbraw/zinc/22/42/39/853224239.db2.gz MIOIRFRLCDULSW-LLVKDONJSA-N -1 1 317.345 1.579 20 0 DDADMM COC(=O)c1cc(OC)ccc1NC(=O)c1ccc([O-])cn1 ZINC001411750912 853679510 /nfs/dbraw/zinc/67/95/10/853679510.db2.gz NKKGPVUYIWBDRL-UHFFFAOYSA-N -1 1 302.286 1.835 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2[C@@H]3CCO[C@H]3C23CCC3)c(=O)[n-]1 ZINC001412002930 854095153 /nfs/dbraw/zinc/09/51/53/854095153.db2.gz HWZOFVZINALZFH-JMJZKYOTSA-N -1 1 321.402 1.592 20 0 DDADMM CSc1ncc(C(=O)N2C[C@H](O)Cc3ccccc32)c(=O)[n-]1 ZINC001412081842 854204160 /nfs/dbraw/zinc/20/41/60/854204160.db2.gz ZQVHEPUVAAZYBJ-SNVBAGLBSA-N -1 1 317.370 1.468 20 0 DDADMM COC(=O)N1CCC(CNC(=O)c2ccc(F)c([O-])c2)CC1 ZINC001412117633 854235638 /nfs/dbraw/zinc/23/56/38/854235638.db2.gz RUYPVXZFJMUONY-UHFFFAOYSA-N -1 1 310.325 1.740 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)no1 ZINC001412161667 854279422 /nfs/dbraw/zinc/27/94/22/854279422.db2.gz GBRQADNHEPZAML-UHFFFAOYSA-N -1 1 318.289 1.088 20 0 DDADMM CN(C(=O)c1ccc2ccc(O)cc2c1[O-])C(C)(C)C(N)=O ZINC001412167684 854287562 /nfs/dbraw/zinc/28/75/62/854287562.db2.gz DPLWZQCTKLDKIT-UHFFFAOYSA-N -1 1 302.330 1.587 20 0 DDADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])[C@@H]1CCC[C@@H](C)C1 ZINC001412244236 854369565 /nfs/dbraw/zinc/36/95/65/854369565.db2.gz KPMSQHRKZKOYOZ-FRRDWIJNSA-N -1 1 319.405 1.848 20 0 DDADMM CC(=O)CCC(C)(C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001412403253 854512216 /nfs/dbraw/zinc/51/22/16/854512216.db2.gz WIDFFAGVNNNEEM-ZDUSSCGKSA-N -1 1 315.377 1.801 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@H](C(=O)Nc2nnn[n-]2)C1(C)C ZINC001412581735 854752153 /nfs/dbraw/zinc/75/21/53/854752153.db2.gz XUBQWEVKXCDPAK-SFYZADRCSA-N -1 1 310.358 1.078 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@H](C(=O)Nc2nn[n-]n2)C1(C)C ZINC001412581735 854752163 /nfs/dbraw/zinc/75/21/63/854752163.db2.gz XUBQWEVKXCDPAK-SFYZADRCSA-N -1 1 310.358 1.078 20 0 DDADMM Cc1cc2c(c(NC[C@H]3Cc4ccccc4O3)n1)C(=O)[N-]C2=O ZINC001155973082 862605002 /nfs/dbraw/zinc/60/50/02/862605002.db2.gz PWDOFFWTKVWSTH-LLVKDONJSA-N -1 1 309.325 1.689 20 0 DDADMM COC[C@@H](NC(=O)[C@@H](C)Cc1ccccc1Cl)c1nn[n-]n1 ZINC001412612711 854811740 /nfs/dbraw/zinc/81/17/40/854811740.db2.gz TTWUGLYQTZIOMW-JOYOIKCWSA-N -1 1 323.784 1.536 20 0 DDADMM CSc1ncc(C(=O)N2CCN(C)c3ncccc32)c(=O)[n-]1 ZINC001412624618 854835121 /nfs/dbraw/zinc/83/51/21/854835121.db2.gz WTPCDQAEFCODRQ-UHFFFAOYSA-N -1 1 317.374 1.396 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C[C@@H]2CCC(=O)[C@@H]2C)[n-]c1=O ZINC001412659740 854894221 /nfs/dbraw/zinc/89/42/21/854894221.db2.gz WCWDVWUTESSNFL-ZJUUUORDSA-N -1 1 321.377 1.547 20 0 DDADMM O=C(N[C@@H](CO)C[C@H](O)c1ccccc1)c1cccc([O-])c1F ZINC001412777764 855258962 /nfs/dbraw/zinc/25/89/62/855258962.db2.gz UNMFUPIRRMRFPU-DOMZBBRYSA-N -1 1 319.332 1.746 20 0 DDADMM O=C(N[C@@H](CO)C[C@@H](O)c1ccccc1)c1cccc([O-])c1F ZINC001412777772 855259950 /nfs/dbraw/zinc/25/99/50/855259950.db2.gz UNMFUPIRRMRFPU-IUODEOHRSA-N -1 1 319.332 1.746 20 0 DDADMM CCOC(=O)c1nc([C@H](C)Nc2cc(OCC)ncn2)n[n-]1 ZINC001412895540 855766079 /nfs/dbraw/zinc/76/60/79/855766079.db2.gz FLHWZCGTTVHENI-QMMMGPOBSA-N -1 1 306.326 1.343 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)Nc2cc(OCC)ncn2)n1 ZINC001412895540 855766087 /nfs/dbraw/zinc/76/60/87/855766087.db2.gz FLHWZCGTTVHENI-QMMMGPOBSA-N -1 1 306.326 1.343 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(F)c([O-])c2)C[C@@H](C)S1(=O)=O ZINC001412929022 855792633 /nfs/dbraw/zinc/79/26/33/855792633.db2.gz BYHMVCIYARNLLP-MWLCHTKSSA-N -1 1 315.366 1.569 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)[C@H](O)c2ccccc2)c(=O)[n-]1 ZINC001413063155 856308119 /nfs/dbraw/zinc/30/81/19/856308119.db2.gz VPCDQYIEHMQDNW-CABZTGNLSA-N -1 1 319.386 1.756 20 0 DDADMM CC(C)c1nnc(CNCc2nc3c(c(=O)[n-]2)COCC3)s1 ZINC001413264536 856584644 /nfs/dbraw/zinc/58/46/44/856584644.db2.gz TWNIYUSTYJSNSF-UHFFFAOYSA-N -1 1 321.406 1.520 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@]1(C)CCCC[C@@H]1C ZINC001413365452 856721566 /nfs/dbraw/zinc/72/15/66/856721566.db2.gz JNHUYHCDDUJWBU-TVQRCGJNSA-N -1 1 315.395 1.443 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1cccc(F)c1F ZINC001413506761 856906737 /nfs/dbraw/zinc/90/67/37/856906737.db2.gz VSNCPTSQSKJPSB-UHFFFAOYSA-N -1 1 309.320 1.705 20 0 DDADMM Cc1cc(OCC(=O)NC2(c3nn[n-]n3)CCC2)ccc1Cl ZINC001413508816 856908252 /nfs/dbraw/zinc/90/82/52/856908252.db2.gz LRLMQMGQFJXPIW-UHFFFAOYSA-N -1 1 321.768 1.736 20 0 DDADMM Cc1cc(S(N)(=O)=O)c(C)cc1NC(=O)c1ccccc1[O-] ZINC001413541354 856990692 /nfs/dbraw/zinc/99/06/92/856990692.db2.gz HSZGDBKATGNNBA-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1nnc2c(F)cccn12 ZINC001156327336 862939474 /nfs/dbraw/zinc/93/94/74/862939474.db2.gz YYCLVFSTXWOOMT-UHFFFAOYSA-N -1 1 319.252 1.204 20 0 DDADMM Cn1nnc(NC(=O)c2c(F)ccc([O-])c2F)c1C(F)F ZINC001413769999 858508866 /nfs/dbraw/zinc/50/88/66/858508866.db2.gz GJJMZZGGWGCQQK-UHFFFAOYSA-N -1 1 304.203 1.989 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCC1CCC=CCC1 ZINC001156434612 863040658 /nfs/dbraw/zinc/04/06/58/863040658.db2.gz OBHFEEVEDQIDBS-UHFFFAOYSA-N -1 1 303.362 1.380 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CCC[C@@H]2OCC[C@H]21 ZINC001123665915 859350936 /nfs/dbraw/zinc/35/09/36/859350936.db2.gz WNDSMRAERSCFER-AEJSXWLSSA-N -1 1 309.391 1.467 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCOc2ncccc2C1 ZINC001123786205 859414605 /nfs/dbraw/zinc/41/46/05/859414605.db2.gz PHDLEDYLEGRBPR-UHFFFAOYSA-N -1 1 318.358 1.209 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]([C@@H](CO)C1CC1)C1CC1 ZINC001123800969 859420157 /nfs/dbraw/zinc/42/01/57/859420157.db2.gz IRHMGVCTLVJSHM-CMPLNLGQSA-N -1 1 323.418 1.306 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC001123800907 859420548 /nfs/dbraw/zinc/42/05/48/859420548.db2.gz GXUVQJPCFVBTGF-AXFHLTTASA-N -1 1 323.418 1.545 20 0 DDADMM COC(=O)[C@H](OCC[P@](=O)([O-])O)c1ccccc1Cl ZINC001224601692 881463514 /nfs/dbraw/zinc/46/35/14/881463514.db2.gz JONDIFLPSZGUGA-SNVBAGLBSA-N -1 1 308.654 1.748 20 0 DDADMM C[C@@H]1C[C@H](OCC[P@](=O)([O-])O)CN1C(=O)OC(C)(C)C ZINC001224606789 881465492 /nfs/dbraw/zinc/46/54/92/881465492.db2.gz RVXBDACYEDRPRZ-ZJUUUORDSA-N -1 1 309.299 1.579 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCc2ncsc2C1 ZINC001138304044 860052517 /nfs/dbraw/zinc/05/25/17/860052517.db2.gz XUHKLYYCSPVNPU-UHFFFAOYSA-N -1 1 317.399 1.673 20 0 DDADMM COc1cccc(CN2CCC(OC)CC2)c1OCC(=O)[O-] ZINC001139267238 860342043 /nfs/dbraw/zinc/34/20/43/860342043.db2.gz VBPOUEXSHUANAC-UHFFFAOYSA-N -1 1 309.362 1.769 20 0 DDADMM Cc1ccc(C(=O)N[C@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)s1 ZINC001381345956 881537246 /nfs/dbraw/zinc/53/72/46/881537246.db2.gz FLSUAUYDQHZGEU-DTWKUNHWSA-N -1 1 309.395 1.177 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(C)c(C)c2)C1 ZINC001139846698 860496379 /nfs/dbraw/zinc/49/63/79/860496379.db2.gz GLYYTVZCCIXDBN-ZDUSSCGKSA-N -1 1 306.362 1.351 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCC[C@H]2CCOC2=O)c1 ZINC001154166312 860831395 /nfs/dbraw/zinc/83/13/95/860831395.db2.gz ITIXHOONBQVCKY-NSHDSACASA-N -1 1 305.330 1.586 20 0 DDADMM CNC(=O)c1cccnc1NC(=O)c1ccc2[nH][n-]c(=O)c2c1 ZINC001154206250 860869692 /nfs/dbraw/zinc/86/96/92/860869692.db2.gz LTDNXPYCHRTQMK-UHFFFAOYSA-N -1 1 311.301 1.275 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccnc2ncccc12 ZINC001154343237 861022013 /nfs/dbraw/zinc/02/20/13/861022013.db2.gz MAGFYKDQNMVLCY-UHFFFAOYSA-N -1 1 307.273 1.254 20 0 DDADMM Cc1ccc2ncc([O-])c(C(=O)n3cc(F)c(=O)nc3N)c2c1 ZINC001154532784 861182098 /nfs/dbraw/zinc/18/20/98/861182098.db2.gz WHMNNYCPBGDKAV-UHFFFAOYSA-N -1 1 314.276 1.458 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3nccc4cccnc43)ccnc1-2 ZINC001361423539 881625360 /nfs/dbraw/zinc/62/53/60/881625360.db2.gz HXZUZKWAIKTQAN-UHFFFAOYSA-N -1 1 304.313 1.537 20 0 DDADMM CC1(C)OC[C@H]2OC=C[C@@H](OCCCC[P@](=O)([O-])O)[C@@H]2O1 ZINC001225018568 881640766 /nfs/dbraw/zinc/64/07/66/881640766.db2.gz AUOCHUNXHCBFQN-UTUOFQBUSA-N -1 1 322.294 1.394 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cnn3cccc(F)c23)n[nH]1 ZINC001156911219 863440672 /nfs/dbraw/zinc/44/06/72/863440672.db2.gz LDUKXRCOLQYTQD-UHFFFAOYSA-N -1 1 317.280 1.626 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2cc(=O)c3cccc(O)c3[n-]2)CCO1 ZINC001152682200 863492851 /nfs/dbraw/zinc/49/28/51/863492851.db2.gz VOMPGUIIKTVKQR-VHSXEESVSA-N -1 1 302.330 1.943 20 0 DDADMM CSc1nc(NC(=O)c2c[nH]c3nccnc23)cc(=O)[n-]1 ZINC001153191011 863752243 /nfs/dbraw/zinc/75/22/43/863752243.db2.gz RSVNRKGKRJCCNB-UHFFFAOYSA-N -1 1 302.319 1.379 20 0 DDADMM Cc1cc(C(=O)N[C@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)sc1C ZINC001381449674 881810177 /nfs/dbraw/zinc/81/01/77/881810177.db2.gz MKJHQLOHHDPWKG-RKDXNWHRSA-N -1 1 323.422 1.485 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)c1cccc(-n2cncn2)n1 ZINC001160916891 866183792 /nfs/dbraw/zinc/18/37/92/866183792.db2.gz OSVNLXMFZCXEEJ-UHFFFAOYSA-N -1 1 317.255 1.898 20 0 DDADMM CCc1cnc(CNCC[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001320387688 866698545 /nfs/dbraw/zinc/69/85/45/866698545.db2.gz UAPNAQSDRIWTNR-SECBINFHSA-N -1 1 321.381 1.272 20 0 DDADMM O=S(=O)([N-]CCCC(F)F)c1c[nH]nc1C(F)(F)F ZINC001333490421 866788765 /nfs/dbraw/zinc/78/87/65/866788765.db2.gz YDMOVEHAWJCXOL-UHFFFAOYSA-N -1 1 307.244 1.752 20 0 DDADMM O=C([N-]c1nc2cnccn2n1)c1cnc([C@@H]2CCCO2)s1 ZINC001333649589 866902553 /nfs/dbraw/zinc/90/25/53/866902553.db2.gz DTPSVULBWTZBQN-QMMMGPOBSA-N -1 1 316.346 1.685 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(CCOCC2CCC2)C1 ZINC001323909281 866939601 /nfs/dbraw/zinc/93/96/01/866939601.db2.gz AREXPUNPDKIADZ-UHFFFAOYSA-N -1 1 319.405 1.360 20 0 DDADMM O=C(CC1(O)CCOCC1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC001361637891 882060677 /nfs/dbraw/zinc/06/06/77/882060677.db2.gz UYGKHQYJCARSJS-UHFFFAOYSA-N -1 1 317.345 1.652 20 0 DDADMM CCC[C@H](CC(=O)OC)Oc1nc(=O)[n-]cc1C(=O)OCC ZINC001225900051 882100718 /nfs/dbraw/zinc/10/07/18/882100718.db2.gz XWFPOIVQFDZBIS-SECBINFHSA-N -1 1 312.322 1.470 20 0 DDADMM COCCN(CC(F)F)C(=O)c1cnc(SC)[n-]c1=O ZINC001362203857 883291302 /nfs/dbraw/zinc/29/13/02/883291302.db2.gz WKKNADJHLYLGDV-UHFFFAOYSA-N -1 1 307.322 1.258 20 0 DDADMM O=C(Nc1cncnc1-n1cccn1)c1cnc(C2CC2)[n-]c1=O ZINC001163775956 868695004 /nfs/dbraw/zinc/69/50/04/868695004.db2.gz WONULPYFVUUTCU-UHFFFAOYSA-N -1 1 323.316 1.288 20 0 DDADMM COC(=O)c1cc(NCC[N-]C(=O)C(F)(F)F)cc(OC)c1 ZINC001164251330 869058825 /nfs/dbraw/zinc/05/88/25/869058825.db2.gz RAYFWHRBOYIPJG-UHFFFAOYSA-N -1 1 320.267 1.572 20 0 DDADMM COc1cc(NC(=O)CCc2nn[n-]n2)c(OC)cc1Cl ZINC001361748053 882288113 /nfs/dbraw/zinc/28/81/13/882288113.db2.gz WKRUEXGARHUBKB-UHFFFAOYSA-N -1 1 311.729 1.442 20 0 DDADMM CC(C)C(C)(C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164693260 869349502 /nfs/dbraw/zinc/34/95/02/869349502.db2.gz RFZOTFIXZCXJNW-UHFFFAOYSA-N -1 1 323.441 1.569 20 0 DDADMM CCC[C@H](O)C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC001361785783 882369261 /nfs/dbraw/zinc/36/92/61/882369261.db2.gz XLFYPNSZIFTORW-NSHDSACASA-N -1 1 316.379 1.166 20 0 DDADMM CC[C@H](C)C(=O)NCC1=CCN(C(=O)c2ncccc2[O-])CC1 ZINC001339371200 870465659 /nfs/dbraw/zinc/46/56/59/870465659.db2.gz OKJHVHBERCQRFH-LBPRGKRZSA-N -1 1 317.389 1.722 20 0 DDADMM CCCCC[C@@H]1CCCCN1c1nnc(-c2nnn[n-]2)n1C ZINC001339545112 870548022 /nfs/dbraw/zinc/54/80/22/870548022.db2.gz XVQZBRYEWWFIOY-LLVKDONJSA-N -1 1 304.402 1.934 20 0 DDADMM CCCCC[C@@H]1CCCCN1c1nnc(-c2nn[n-]n2)n1C ZINC001339545112 870548028 /nfs/dbraw/zinc/54/80/28/870548028.db2.gz XVQZBRYEWWFIOY-LLVKDONJSA-N -1 1 304.402 1.934 20 0 DDADMM C/C=C/C[C@@H]1CCCN(c2nnc(Cc3nnn[n-]3)n2C)C1 ZINC001339604879 870578138 /nfs/dbraw/zinc/57/81/38/870578138.db2.gz ZDJIAURTOFLBAL-PGLGOXFNSA-N -1 1 302.386 1.102 20 0 DDADMM C/C=C/C[C@@H]1CCCN(c2nnc(Cc3nn[n-]n3)n2C)C1 ZINC001339604879 870578158 /nfs/dbraw/zinc/57/81/58/870578158.db2.gz ZDJIAURTOFLBAL-PGLGOXFNSA-N -1 1 302.386 1.102 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc(OC)c(Cl)c2)n1 ZINC001361815758 882427025 /nfs/dbraw/zinc/42/70/25/882427025.db2.gz QRZXXXFYPJHYHN-UHFFFAOYSA-N -1 1 324.724 1.896 20 0 DDADMM CNC(=O)c1ccc(Nc2[nH]c(=S)[n-]c(=O)c2N=O)cn1 ZINC001203631728 870684795 /nfs/dbraw/zinc/68/47/95/870684795.db2.gz JFJLPMVUCKWUJL-UHFFFAOYSA-N -1 1 306.307 1.367 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2c(C)cc(C)cc2C1 ZINC001340050297 870863040 /nfs/dbraw/zinc/86/30/40/870863040.db2.gz XXNNWRHAYVSNIC-UHFFFAOYSA-N -1 1 324.392 1.658 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2c(C)cc(C)cc2C1 ZINC001340050297 870863052 /nfs/dbraw/zinc/86/30/52/870863052.db2.gz XXNNWRHAYVSNIC-UHFFFAOYSA-N -1 1 324.392 1.658 20 0 DDADMM CC(=O)N1CC[C@@H](Oc2cc([O-])cc3oc(=O)cc(C)c23)C1 ZINC001226484808 882462022 /nfs/dbraw/zinc/46/20/22/882462022.db2.gz HFGXKUGABZJJIG-GFCCVEGCSA-N -1 1 303.314 1.807 20 0 DDADMM O=C(c1cnc(-c2ccccc2)nc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001302937638 871090081 /nfs/dbraw/zinc/09/00/81/871090081.db2.gz PSQALBXKVJALPU-LBPRGKRZSA-N -1 1 321.344 1.286 20 0 DDADMM Cc1cc(C)c(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)s1 ZINC001317591596 871158917 /nfs/dbraw/zinc/15/89/17/871158917.db2.gz KIFBLIWLNRSHLF-UHFFFAOYSA-N -1 1 309.395 1.050 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2cnn(C3COC3)c2)c1 ZINC001205559074 871630268 /nfs/dbraw/zinc/63/02/68/871630268.db2.gz PBHWAIFHMCVFES-UHFFFAOYSA-N -1 1 323.374 1.697 20 0 DDADMM Nc1cn[n-]c(=NNC(=S)NCCc2cccnc2)c1Cl ZINC001319372221 872600552 /nfs/dbraw/zinc/60/05/52/872600552.db2.gz ACWQAUOZMVLKQJ-UHFFFAOYSA-N -1 1 323.813 1.141 20 0 DDADMM O=C(CC1CS(=O)(=O)C1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC001361914681 882632010 /nfs/dbraw/zinc/63/20/10/882632010.db2.gz VLIVPDTVVPZGCO-UHFFFAOYSA-N -1 1 321.358 1.156 20 0 DDADMM CCCC(=O)Nc1cccc(CNC(=O)c2cnncc2[O-])c1 ZINC001361927279 882654846 /nfs/dbraw/zinc/65/48/46/882654846.db2.gz SAGVUCQQNVDYAK-UHFFFAOYSA-N -1 1 314.345 1.851 20 0 DDADMM O=C([N-]c1ncn(Cc2cccnc2)n1)c1ccnc(F)c1F ZINC001344337221 872981133 /nfs/dbraw/zinc/98/11/33/872981133.db2.gz LMVSLMCTTDDSFH-UHFFFAOYSA-N -1 1 316.271 1.647 20 0 DDADMM c1csc(Cn2c(Cc3nnn[n-]3)nnc2N2CCCC2)c1 ZINC001344654163 873069135 /nfs/dbraw/zinc/06/91/35/873069135.db2.gz LDXWKBUSDGZBIO-UHFFFAOYSA-N -1 1 316.394 1.092 20 0 DDADMM c1csc(Cn2c(Cc3nn[n-]n3)nnc2N2CCCC2)c1 ZINC001344654163 873069143 /nfs/dbraw/zinc/06/91/43/873069143.db2.gz LDXWKBUSDGZBIO-UHFFFAOYSA-N -1 1 316.394 1.092 20 0 DDADMM CCn1nccc1C(=O)Nc1[n-]c(C(=O)OC)cc1C(C)=O ZINC001361960495 882713045 /nfs/dbraw/zinc/71/30/45/882713045.db2.gz SPBQGRPXTOFODW-UHFFFAOYSA-N -1 1 304.306 1.473 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ccnc(C)c2)[n-]1 ZINC001361961863 882716539 /nfs/dbraw/zinc/71/65/39/882716539.db2.gz XKOCPRGNRDUVEN-UHFFFAOYSA-N -1 1 301.302 1.960 20 0 DDADMM O=C(CNC(=O)c1ccc([O-])cn1)N1CCCc2ccccc21 ZINC001361971898 882737058 /nfs/dbraw/zinc/73/70/58/882737058.db2.gz WGDFTWWLVQQAJP-UHFFFAOYSA-N -1 1 311.341 1.496 20 0 DDADMM O=C(Cc1ccc2cnccc2c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347196242 874049462 /nfs/dbraw/zinc/04/94/62/874049462.db2.gz DHDKCUJACYGIQG-AWEZNQCLSA-N -1 1 308.345 1.307 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H](Oc3cnc[n-]c3=O)[C@H]2C1 ZINC001227051581 882797121 /nfs/dbraw/zinc/79/71/21/882797121.db2.gz LXDLDERKSMCVOU-GARJFASQSA-N -1 1 307.350 1.816 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@](C)(O)c1ccccc1 ZINC001347661206 874232415 /nfs/dbraw/zinc/23/24/15/874232415.db2.gz QFCJKGBNUYCPOH-HNNXBMFYSA-N -1 1 319.386 1.417 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cnc(Cl)c(C)c2)n1 ZINC001347759081 874267326 /nfs/dbraw/zinc/26/73/26/874267326.db2.gz KFGWZXDZXAPQNR-UHFFFAOYSA-N -1 1 301.759 1.525 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c2ccccc2n1 ZINC001347972803 874324823 /nfs/dbraw/zinc/32/48/23/874324823.db2.gz AJJHUFOREAEFFJ-NSHDSACASA-N -1 1 308.345 1.686 20 0 DDADMM Cc1ccncc1C=CC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001348167737 874396336 /nfs/dbraw/zinc/39/63/36/874396336.db2.gz XEJJHUNTLBPVDU-MUBLQREKSA-N -1 1 312.377 1.398 20 0 DDADMM O=C(Cn1ccc2ccccc21)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001348167722 874396541 /nfs/dbraw/zinc/39/65/41/874396541.db2.gz XCUZNBYMQWEFFW-CYBMUJFWSA-N -1 1 324.388 1.636 20 0 DDADMM CC(C)CC[NH+]1CC(CCO)(NC(=O)c2ccccc2O)C1 ZINC001378261698 874571851 /nfs/dbraw/zinc/57/18/51/874571851.db2.gz KGTHFQJXYCWOOL-UHFFFAOYSA-N -1 1 306.406 1.605 20 0 DDADMM C[C@@H]1CCC[C@]1(C)C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348839363 874820308 /nfs/dbraw/zinc/82/03/08/874820308.db2.gz WQWOQWCRDSYKJG-BZNIZROVSA-N -1 1 305.378 1.460 20 0 DDADMM CCCC(C)(C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209385669 874854738 /nfs/dbraw/zinc/85/47/38/874854738.db2.gz GIEYQKRBLQVAIX-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM CC(=O)[N-]S(=O)(=O)c1ccc(Nc2cnc(N)cc2C)cc1 ZINC001209965805 875180982 /nfs/dbraw/zinc/18/09/82/875180982.db2.gz HPLPYMIAFDAVBG-UHFFFAOYSA-N -1 1 320.374 1.541 20 0 DDADMM CC(C)C[C@H](C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210367981 875349202 /nfs/dbraw/zinc/34/92/02/875349202.db2.gz FKVQJTLBCQZPDH-NWDGAFQWSA-N -1 1 309.414 1.273 20 0 DDADMM CCC(CC)C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001378752311 875552143 /nfs/dbraw/zinc/55/21/43/875552143.db2.gz YRLPHTFAPARHCD-UHFFFAOYSA-N -1 1 305.378 1.602 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001350428124 875683894 /nfs/dbraw/zinc/68/38/94/875683894.db2.gz GNILTNJLNKNSIL-UQTJOTSZSA-N -1 1 312.377 1.479 20 0 DDADMM O=C(CO)Nc1cccc(S(=O)(=O)[N-]c2ccccc2)c1 ZINC001362069725 882958142 /nfs/dbraw/zinc/95/81/42/882958142.db2.gz OKAIONCOGKJCMX-UHFFFAOYSA-N -1 1 306.343 1.418 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3cccn4ccnc34)no2)[n-]n1 ZINC001213463910 875946020 /nfs/dbraw/zinc/94/60/20/875946020.db2.gz RJWPSFKMPQDLLB-UHFFFAOYSA-N -1 1 310.273 1.561 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1cccnc1Cl)c1ncon1 ZINC001213849539 876078573 /nfs/dbraw/zinc/07/85/73/876078573.db2.gz PRVHMCZBVNYWQQ-UHFFFAOYSA-N -1 1 302.743 1.332 20 0 DDADMM CC(C)CCOC(=O)[C@H](C)Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1 ZINC001227430507 883010072 /nfs/dbraw/zinc/01/00/72/883010072.db2.gz LJSDQTUQAFRSNH-ZETCQYMHSA-N -1 1 310.310 1.121 20 0 DDADMM CC(C)CCOC(=O)[C@H](C)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227430507 883010090 /nfs/dbraw/zinc/01/00/90/883010090.db2.gz LJSDQTUQAFRSNH-ZETCQYMHSA-N -1 1 310.310 1.121 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H](O)C1CCCCC1 ZINC001351644942 876364286 /nfs/dbraw/zinc/36/42/86/876364286.db2.gz ICJIVJJXAUHQCS-JTQLQIEISA-N -1 1 311.407 1.450 20 0 DDADMM Cn1cc(CC(=O)N2CC[C@@H](c3nn[n-]n3)C2)c2ccccc21 ZINC001352596487 876823886 /nfs/dbraw/zinc/82/38/86/876823886.db2.gz PHZYJYURTFLEFF-LLVKDONJSA-N -1 1 310.361 1.250 20 0 DDADMM COc1ncc(Nc2ccc(F)cn2)cc1[N-]S(C)(=O)=O ZINC001216152300 876881154 /nfs/dbraw/zinc/88/11/54/876881154.db2.gz XOCBEOCINBLOQJ-UHFFFAOYSA-N -1 1 312.326 1.739 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001379579793 877438896 /nfs/dbraw/zinc/43/88/96/877438896.db2.gz MSTCUSYGFLTWBR-SRVKXCTJSA-N -1 1 309.414 1.321 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C1CCCCCCC1 ZINC001374257477 912339839 /nfs/dbraw/zinc/33/98/39/912339839.db2.gz RYJJJHPLOACJQH-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM C[C@H](CCNS(=O)(=O)c1c(F)cccc1[N+](=O)[O-])C(=O)[O-] ZINC000315388735 879064118 /nfs/dbraw/zinc/06/41/18/879064118.db2.gz SHVPZKLHWJEEIJ-SSDOTTSWSA-N -1 1 320.298 1.123 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1cc[nH]c1 ZINC001380691536 880199777 /nfs/dbraw/zinc/19/97/77/880199777.db2.gz KCIRVQHGHLGASE-SNVBAGLBSA-N -1 1 302.334 1.006 20 0 DDADMM CC(C)CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001381110715 881050844 /nfs/dbraw/zinc/05/08/44/881050844.db2.gz HGKNARRKQZLARF-NEPJUHHUSA-N -1 1 323.441 1.519 20 0 DDADMM COC(=O)[C@H]1[C@@H](O)CCCN1Cc1ccc([O-])c(F)c1F ZINC001414133633 881266029 /nfs/dbraw/zinc/26/60/29/881266029.db2.gz BMNZJJKXWFRLIL-GXFFZTMASA-N -1 1 301.289 1.169 20 0 DDADMM COC(=O)[C@@H]1[C@@H](O)CCCN1Cc1ccc([O-])c(F)c1F ZINC001414133627 881266139 /nfs/dbraw/zinc/26/61/39/881266139.db2.gz BMNZJJKXWFRLIL-GWCFXTLKSA-N -1 1 301.289 1.169 20 0 DDADMM O=C(Nc1ccc(N2CCCC2=O)c(F)c1)c1cnncc1[O-] ZINC001362149712 883157024 /nfs/dbraw/zinc/15/70/24/883157024.db2.gz TYQKEJBYHNSWSG-UHFFFAOYSA-N -1 1 316.292 1.700 20 0 DDADMM CCNC(=O)NCc1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001362192980 883258486 /nfs/dbraw/zinc/25/84/86/883258486.db2.gz JLKIKFFJWRPRLL-UHFFFAOYSA-N -1 1 315.333 1.254 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)C2CCCC2)C1)c1ccc([O-])cn1 ZINC001362285054 883478626 /nfs/dbraw/zinc/47/86/26/883478626.db2.gz WFUUFUMZAWFBRX-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CSc1ncc(C(=O)N2CCC(OC(C)C)CC2)c(=O)[n-]1 ZINC001362304244 883523075 /nfs/dbraw/zinc/52/30/75/883523075.db2.gz GJGCVJSBNCAJFE-UHFFFAOYSA-N -1 1 311.407 1.934 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H](Oc2cnnc(=S)[n-]2)CC1=O ZINC001228642898 883588993 /nfs/dbraw/zinc/58/89/93/883588993.db2.gz VVICJRUFTBDPDS-ZETCQYMHSA-N -1 1 312.351 1.075 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H](C(=O)Nc2nnn[n-]2)C(C)(C)C1 ZINC001362339044 883602248 /nfs/dbraw/zinc/60/22/48/883602248.db2.gz OYHHQSUHKNHGQG-QMMMGPOBSA-N -1 1 310.358 1.031 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H](C(=O)Nc2nn[n-]n2)C(C)(C)C1 ZINC001362339044 883602250 /nfs/dbraw/zinc/60/22/50/883602250.db2.gz OYHHQSUHKNHGQG-QMMMGPOBSA-N -1 1 310.358 1.031 20 0 DDADMM Cc1nocc1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC001362353767 883629429 /nfs/dbraw/zinc/62/94/29/883629429.db2.gz LYVRDMIRPJZEFC-UHFFFAOYSA-N -1 1 313.310 1.746 20 0 DDADMM O=C(NC[C@H]1COC2(CCOCC2)O1)c1ccc(F)c([O-])c1 ZINC001362392975 883706195 /nfs/dbraw/zinc/70/61/95/883706195.db2.gz KJJHYTODKNCDGJ-NSHDSACASA-N -1 1 311.309 1.183 20 0 DDADMM COc1ccc(CNC(=O)c2cnc(SC)[n-]c2=O)nc1 ZINC001362484803 883916643 /nfs/dbraw/zinc/91/66/43/883916643.db2.gz VJPWBKLICPLKDI-UHFFFAOYSA-N -1 1 306.347 1.238 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccccc1OC)c1nn[n-]n1 ZINC001362493575 883932173 /nfs/dbraw/zinc/93/21/73/883932173.db2.gz CSPJUNQOZDAFBQ-UHFFFAOYSA-N -1 1 303.366 1.583 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ocnc1C(F)(F)F)c1nn[n-]n1 ZINC001362498005 883943903 /nfs/dbraw/zinc/94/39/03/883943903.db2.gz JRHKUNRUDLBUFG-YFKPBYRVSA-N -1 1 304.232 1.082 20 0 DDADMM CC(=O)OCCc1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001362557486 884089817 /nfs/dbraw/zinc/08/98/17/884089817.db2.gz BJSKBLLLGFNJMO-UHFFFAOYSA-N -1 1 301.302 1.540 20 0 DDADMM Cn1[n-]c(CN2CCC(NC(=O)CCC(C)(C)C)CC2)nc1=O ZINC001229670472 884100871 /nfs/dbraw/zinc/10/08/71/884100871.db2.gz BZRXYGKQZRFPAX-UHFFFAOYSA-N -1 1 323.441 1.015 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccoc1 ZINC001382512421 884164516 /nfs/dbraw/zinc/16/45/16/884164516.db2.gz NMKCYSJNQNMDGV-JTQLQIEISA-N -1 1 307.354 1.038 20 0 DDADMM COC[C@]1(C)CCN(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC001362611495 884216856 /nfs/dbraw/zinc/21/68/56/884216856.db2.gz LNEKBYDFQCDHHX-CQSZACIVSA-N -1 1 311.407 1.711 20 0 DDADMM CCC(CC)(NC(=O)c1ccc2nsnc2c1)c1nn[n-]n1 ZINC001362623310 884249796 /nfs/dbraw/zinc/24/97/96/884249796.db2.gz DPINMPMNHZYZNK-UHFFFAOYSA-N -1 1 317.378 1.650 20 0 DDADMM CCC(CC)(NC(=O)c1ccnn1CC(F)F)c1nn[n-]n1 ZINC001362624635 884252908 /nfs/dbraw/zinc/25/29/08/884252908.db2.gz LRHPDKUOJPKQQG-UHFFFAOYSA-N -1 1 313.312 1.107 20 0 DDADMM CCc1nc(C)c(CNC(=O)c2cnc(C3CC3)[n-]c2=O)o1 ZINC001362634125 884279567 /nfs/dbraw/zinc/27/95/67/884279567.db2.gz OYOIHACWCSMUNX-UHFFFAOYSA-N -1 1 302.334 1.848 20 0 DDADMM COC(=O)[C@H](Oc1nc(C)[n-]c(=O)c1OC)c1ccccc1F ZINC001230022922 884283201 /nfs/dbraw/zinc/28/32/01/884283201.db2.gz XYWUFEKZCJYYTB-LLVKDONJSA-N -1 1 322.292 1.931 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cccc(OCC(F)F)n1 ZINC001362647644 884314274 /nfs/dbraw/zinc/31/42/74/884314274.db2.gz ICDCBMOYHZNFDK-UHFFFAOYSA-N -1 1 312.280 1.200 20 0 DDADMM CNC(=O)[C@H](Cc1ccccc1)NC(=O)c1ncc(C)cc1[O-] ZINC001362652899 884329220 /nfs/dbraw/zinc/32/92/20/884329220.db2.gz GWJCISRGGNTFKD-ZDUSSCGKSA-N -1 1 313.357 1.183 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@@H](CO)NC(=O)c2cccc([O-])c2F)C1 ZINC001362655954 884337975 /nfs/dbraw/zinc/33/79/75/884337975.db2.gz GVICIGXIWSFVQC-NQBHXWOUSA-N -1 1 311.353 1.827 20 0 DDADMM CCCc1nc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)co1 ZINC001362671361 884376689 /nfs/dbraw/zinc/37/66/89/884376689.db2.gz LSLUSQUNWXNEPQ-VIFPVBQESA-N -1 1 308.367 1.071 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cn[nH]n1 ZINC001362675023 884384477 /nfs/dbraw/zinc/38/44/77/884384477.db2.gz HGUCJVKJDAENQC-UHFFFAOYSA-N -1 1 309.351 1.209 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCCCC1(F)F ZINC001362750338 884546798 /nfs/dbraw/zinc/54/67/98/884546798.db2.gz UDGLZADTKAFUQW-SSDOTTSWSA-N -1 1 317.292 1.452 20 0 DDADMM O=C(NCc1ccncc1Br)c1ccc([O-])cn1 ZINC001362765625 884587413 /nfs/dbraw/zinc/58/74/13/884587413.db2.gz BLQKLBWPRYLUOH-UHFFFAOYSA-N -1 1 308.135 1.875 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(F)c([O-])c1)C(=O)N(C)C ZINC001362783712 884627464 /nfs/dbraw/zinc/62/74/64/884627464.db2.gz FIPHTXQXNCRGQX-NSHDSACASA-N -1 1 314.382 1.471 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H]3CSC[C@H]3C2)c(=O)[n-]1 ZINC001362796704 884664667 /nfs/dbraw/zinc/66/46/67/884664667.db2.gz QFVKLZFSAVCDPJ-RKDXNWHRSA-N -1 1 311.432 1.729 20 0 DDADMM COc1cccc([C@@H](OC)C(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001362834819 884756809 /nfs/dbraw/zinc/75/68/09/884756809.db2.gz JZKADXFLJJONLG-GFCCVEGCSA-N -1 1 317.349 1.091 20 0 DDADMM Cn1nccc1C1(O)CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001362859864 884819681 /nfs/dbraw/zinc/81/96/81/884819681.db2.gz RWXGXNYAWYEKLN-UHFFFAOYSA-N -1 1 319.336 1.389 20 0 DDADMM O=C(Nc1ccnc(OCC(F)(F)F)c1)c1cnncc1O ZINC001362964335 885102112 /nfs/dbraw/zinc/10/21/12/885102112.db2.gz SVLYRXDCZDBPEQ-UHFFFAOYSA-N -1 1 314.223 1.771 20 0 DDADMM O=C(Nc1ccnc(OCC(F)(F)F)c1)c1cnncc1[O-] ZINC001362964335 885102120 /nfs/dbraw/zinc/10/21/20/885102120.db2.gz SVLYRXDCZDBPEQ-UHFFFAOYSA-N -1 1 314.223 1.771 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C[C@@H](C)n2cccn2)[n-]c1=O ZINC001362968097 885110662 /nfs/dbraw/zinc/11/06/62/885110662.db2.gz CKTASNLIXXLTFK-SNVBAGLBSA-N -1 1 319.365 1.390 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)c1ccn(C)n1 ZINC001363021061 885247441 /nfs/dbraw/zinc/24/74/41/885247441.db2.gz WSJGYRUJERMMQG-VIFPVBQESA-N -1 1 303.366 1.839 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C(C)C)on1)c1nn[n-]n1 ZINC001363100031 885461119 /nfs/dbraw/zinc/46/11/19/885461119.db2.gz PBGAMOWNOQYXKD-QMMMGPOBSA-N -1 1 310.383 1.535 20 0 DDADMM O=C(N[C@@H](CO)[C@@H](O)c1cccnc1)c1ccc(Cl)cc1[O-] ZINC001363146026 885576753 /nfs/dbraw/zinc/57/67/53/885576753.db2.gz MJLNJUQOVZVIRL-JSGCOSHPSA-N -1 1 322.748 1.265 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2cccc([O-])c2F)CCCC1 ZINC001363165988 885622136 /nfs/dbraw/zinc/62/21/36/885622136.db2.gz BMNPHUTYTDKYJC-UHFFFAOYSA-N -1 1 315.366 1.619 20 0 DDADMM O=C([O-])c1ccc(F)c(CN2CCC3(C[C@@H](O)CO3)CC2)c1 ZINC001231456713 885679513 /nfs/dbraw/zinc/67/95/13/885679513.db2.gz GLFXZSFMTZGOEW-CYBMUJFWSA-N -1 1 309.337 1.640 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)[C@@]12C[C@@H]1CCCC2 ZINC001363236805 885787999 /nfs/dbraw/zinc/78/79/99/885787999.db2.gz HVZDZZLLXLJWDN-CEFVDNRUSA-N -1 1 307.350 1.595 20 0 DDADMM CC(=O)N(C)[C@H]1CCN(Cc2ccccc2OCC(=O)[O-])C1 ZINC001231793869 885876239 /nfs/dbraw/zinc/87/62/39/885876239.db2.gz LQTIQMKOYVWRAN-AWEZNQCLSA-N -1 1 306.362 1.203 20 0 DDADMM Cc1cc(C)c(OCC(=O)NC2(c3nn[n-]n3)CCC2)c(C)c1 ZINC001363363151 886134452 /nfs/dbraw/zinc/13/44/52/886134452.db2.gz PEUFVXJNWBKXEU-UHFFFAOYSA-N -1 1 315.377 1.699 20 0 DDADMM COC[C@@H](NC(=O)[C@H](C)CCOc1ccccc1)c1nn[n-]n1 ZINC001363440115 886341700 /nfs/dbraw/zinc/34/17/00/886341700.db2.gz YHTXHYHKAZMATD-DGCLKSJQSA-N -1 1 319.365 1.109 20 0 DDADMM Cc1ncsc1CN(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001374490327 913038340 /nfs/dbraw/zinc/03/83/40/913038340.db2.gz RPRDZFDRGBGZNU-UHFFFAOYSA-N -1 1 320.418 1.756 20 0 DDADMM COC(=O)c1c(C)sc(C)c1NC(=O)CCc1nn[n-]n1 ZINC001363530294 886560792 /nfs/dbraw/zinc/56/07/92/886560792.db2.gz QCQUFMGFZYKMMT-UHFFFAOYSA-N -1 1 309.351 1.236 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@]3(CO)CCC[C@@H]23)c(=O)[n-]1 ZINC001363535413 886573913 /nfs/dbraw/zinc/57/39/13/886573913.db2.gz KBIVTQFZMGOCNI-QMTHXVAHSA-N -1 1 309.391 1.281 20 0 DDADMM COc1nscc1[N-]C(=O)c1ccsc1S(N)(=O)=O ZINC001363540073 886584269 /nfs/dbraw/zinc/58/42/69/886584269.db2.gz KMBFCVDVDHALQA-UHFFFAOYSA-N -1 1 319.389 1.113 20 0 DDADMM CO[C@H](C(=O)N(Cc1nn[n-]n1)CC(C)C)C1CCCCC1 ZINC001363549571 886611993 /nfs/dbraw/zinc/61/19/93/886611993.db2.gz XJKGQLMDZYYXOH-AWEZNQCLSA-N -1 1 309.414 1.780 20 0 DDADMM CC(C)(C)c1ccc(CNC(=O)CCCc2nn[n-]n2)c(=O)[nH]1 ZINC001363558968 886633348 /nfs/dbraw/zinc/63/33/48/886633348.db2.gz YNNFGGXFDBZFBS-UHFFFAOYSA-N -1 1 318.381 1.237 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC[C@@H](CO)[C@H]1CO ZINC001363574253 886669362 /nfs/dbraw/zinc/66/93/62/886669362.db2.gz VTWTWCXDIJBZRM-GXTWGEPZSA-N -1 1 316.357 1.146 20 0 DDADMM COC(=O)[C@@]1(C)COCCN1Cc1cc([O-])c(F)c(F)c1 ZINC001232973963 886701399 /nfs/dbraw/zinc/70/13/99/886701399.db2.gz OUSLHRVQCCTAAV-CQSZACIVSA-N -1 1 301.289 1.434 20 0 DDADMM COC[C@@H](NC(=O)[C@@H](C)c1c(F)cccc1F)c1nn[n-]n1 ZINC001363592592 886716121 /nfs/dbraw/zinc/71/61/21/886716121.db2.gz VQWKQTMCYXOIDM-OIBJUYFYSA-N -1 1 311.292 1.085 20 0 DDADMM O=C(c1ccc(C2CC2)[nH]c1=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363660156 886909271 /nfs/dbraw/zinc/90/92/71/886909271.db2.gz NESWQTGGWXMRJI-UHFFFAOYSA-N -1 1 314.349 1.198 20 0 DDADMM CCC1(CC)CNC(=O)[C@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363668712 886934111 /nfs/dbraw/zinc/93/41/11/886934111.db2.gz SILHTBRRIYJFIM-LLVKDONJSA-N -1 1 318.377 1.094 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)CC(C)(C)C(C)C)CCSC1 ZINC001363828639 887331399 /nfs/dbraw/zinc/33/13/99/887331399.db2.gz FLYQBNGFBQVRIV-CYBMUJFWSA-N -1 1 323.480 1.637 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ncc2c(F)cccn21 ZINC001289107996 913150809 /nfs/dbraw/zinc/15/08/09/913150809.db2.gz SBKDVPOYGMVJSV-UHFFFAOYSA-N -1 1 314.202 1.863 20 0 DDADMM C[C@@H](NC(=O)CCCc1nn[n-]n1)c1cc(F)ccc1N(C)C ZINC001364001421 887700601 /nfs/dbraw/zinc/70/06/01/887700601.db2.gz YXMVHZMEYNRPBS-SNVBAGLBSA-N -1 1 320.372 1.605 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1csnc1OC)[C@@H]1CCCCO1 ZINC001364004608 887706733 /nfs/dbraw/zinc/70/67/33/887706733.db2.gz STGQQMZLVWZBIZ-ZJUUUORDSA-N -1 1 320.436 1.778 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)C1(Nc2ccccc2)CC1 ZINC001364051589 887801686 /nfs/dbraw/zinc/80/16/86/887801686.db2.gz GJCGRLWZCRBNCG-UHFFFAOYSA-N -1 1 319.390 1.472 20 0 DDADMM CCc1c(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)cnn1CC ZINC001364053465 887806109 /nfs/dbraw/zinc/80/61/09/887806109.db2.gz XZJXUJBHTNUAAV-LLVKDONJSA-N -1 1 317.397 1.073 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1C[C@@H]2CCC[C@H]12 ZINC001364071625 887849576 /nfs/dbraw/zinc/84/95/76/887849576.db2.gz LNMANBVLDWNUSV-ATZCPNFKSA-N -1 1 313.379 1.053 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(C)onc1N)[C@H]1CCCOC1 ZINC001364078321 887865037 /nfs/dbraw/zinc/86/50/37/887865037.db2.gz YJZXZHHNDNYEHG-UWVGGRQHSA-N -1 1 303.384 1.049 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1C[C@@H](C(=O)Nc2c[n-][nH]c2=O)C1(C)C ZINC001364088978 887889145 /nfs/dbraw/zinc/88/91/45/887889145.db2.gz DUSLVUFZNWVXGI-WCBMZHEXSA-N -1 1 324.381 1.993 20 0 DDADMM CCC(C)(C)CC(=O)Nc1n[n-]c(OCCO)c1C(=O)OC ZINC001364105623 887929791 /nfs/dbraw/zinc/92/97/91/887929791.db2.gz SEAUVZFSCRSLRK-UHFFFAOYSA-N -1 1 313.354 1.332 20 0 DDADMM CCC(C)(C)CC(=O)Nc1[n-]nc(OCCO)c1C(=O)OC ZINC001364105623 887929798 /nfs/dbraw/zinc/92/97/98/887929798.db2.gz SEAUVZFSCRSLRK-UHFFFAOYSA-N -1 1 313.354 1.332 20 0 DDADMM C[C@@H](Oc1c(C(N)=O)nc[n-]c1=O)c1cccc(N(C)C)c1 ZINC001234471671 888004085 /nfs/dbraw/zinc/00/40/85/888004085.db2.gz GUVVTPPUHJNMOJ-SECBINFHSA-N -1 1 302.334 1.487 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@H]2CCc3cc(F)ccc32)c(=O)[n-]1 ZINC001234479345 888013622 /nfs/dbraw/zinc/01/36/22/888013622.db2.gz YNMUASLKDQEMME-NSHDSACASA-N -1 1 303.293 1.795 20 0 DDADMM COC(=O)c1nc(-c2ccccc2)[n-]c(=O)c1OC[C@@H]1CCO1 ZINC001234559259 888095340 /nfs/dbraw/zinc/09/53/40/888095340.db2.gz VCDQHVVNXOUACU-NSHDSACASA-N -1 1 316.313 1.804 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1OC[C@@H]1CCC(=O)O1 ZINC001234562123 888100677 /nfs/dbraw/zinc/10/06/77/888100677.db2.gz WMSVJAUQNUQTIK-QMMMGPOBSA-N -1 1 310.306 1.177 20 0 DDADMM C[C@@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1ccc[nH]1 ZINC001364243532 888207736 /nfs/dbraw/zinc/20/77/36/888207736.db2.gz DTGPUJQDMXLWRJ-SECBINFHSA-N -1 1 317.349 1.143 20 0 DDADMM C[C@H]1OC(=O)N[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001364264035 888249132 /nfs/dbraw/zinc/24/91/32/888249132.db2.gz YUQIFOBGHZXKHF-PRHODGIISA-N -1 1 302.290 1.013 20 0 DDADMM CC(C)C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C(C)(C)C ZINC001396144297 913243322 /nfs/dbraw/zinc/24/33/22/913243322.db2.gz MQMREYWHNWRRDF-LBPRGKRZSA-N -1 1 307.394 1.704 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccc[nH]c2=O)[n-]c1=O ZINC001364416314 888587838 /nfs/dbraw/zinc/58/78/38/888587838.db2.gz NOXCAJUJBZUEPD-SNVBAGLBSA-N -1 1 316.317 1.269 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2[C@@H]3OC[C@H]4C[C@H]2C[C@H]34)c(=O)[n-]1 ZINC001364480765 888733675 /nfs/dbraw/zinc/73/36/75/888733675.db2.gz WCDRHZTUMDXXSB-BOYPTNNESA-N -1 1 307.375 1.057 20 0 DDADMM COc1cc(-c2c(F)ccc(C)c2[O-])nc(S(C)(=O)=O)n1 ZINC001236390304 889222699 /nfs/dbraw/zinc/22/26/99/889222699.db2.gz FCGSERQXSUOBLV-UHFFFAOYSA-N -1 1 312.322 1.709 20 0 DDADMM Cc1[nH]c(=O)[nH]c(=O)c1CC(=O)NCc1ccc([O-])c(Cl)c1 ZINC001364708748 889247677 /nfs/dbraw/zinc/24/76/77/889247677.db2.gz GTEXBYAFLAXMTJ-UHFFFAOYSA-N -1 1 323.736 1.414 20 0 DDADMM C[C@@H]1CN(Cc2ccc([O-])c(F)c2F)CCN1S(C)(=O)=O ZINC001364733636 889300641 /nfs/dbraw/zinc/30/06/41/889300641.db2.gz OMUWMCIENHVSKE-SECBINFHSA-N -1 1 320.361 1.136 20 0 DDADMM CC[C@H](SC)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385584737 889363513 /nfs/dbraw/zinc/36/35/13/889363513.db2.gz YJGFBKGRASRZMK-JQWIXIFHSA-N -1 1 323.418 1.260 20 0 DDADMM O=c1ccc(C[N-]S(=O)(=O)c2cc(Cl)ccc2F)n[nH]1 ZINC001364851062 889547651 /nfs/dbraw/zinc/54/76/51/889547651.db2.gz VDNRKTCUNOVGKC-UHFFFAOYSA-N -1 1 317.729 1.453 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)[C@H](OC)C1CC1 ZINC001364864362 889571285 /nfs/dbraw/zinc/57/12/85/889571285.db2.gz GJIRKWWPYIOXOS-XVKPBYJWSA-N -1 1 306.409 1.244 20 0 DDADMM CC[C@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)[C@H]1CC1(C)C ZINC001364884978 889606861 /nfs/dbraw/zinc/60/68/61/889606861.db2.gz DGMKMIBKLMKLLY-BDAKNGLRSA-N -1 1 315.395 1.299 20 0 DDADMM CC[C@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)[C@H]1CC1(C)C ZINC001364884978 889606874 /nfs/dbraw/zinc/60/68/74/889606874.db2.gz DGMKMIBKLMKLLY-BDAKNGLRSA-N -1 1 315.395 1.299 20 0 DDADMM Cc1ccc(C[NH+]2CCN(C(=O)c3cnc[nH]c3=O)CC2)cc1 ZINC001364953784 889747211 /nfs/dbraw/zinc/74/72/11/889747211.db2.gz QUNBCKIPLWAETB-UHFFFAOYSA-N -1 1 312.373 1.449 20 0 DDADMM CC[C@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001386005257 890142770 /nfs/dbraw/zinc/14/27/70/890142770.db2.gz SGJCSXIEDQOMBM-QNWHQSFQSA-N -1 1 319.405 1.846 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1nocc1C)C(=O)OC(C)(C)C ZINC001365131609 890167056 /nfs/dbraw/zinc/16/70/56/890167056.db2.gz BVJXZXBMPMYFIT-SNVBAGLBSA-N -1 1 318.395 1.523 20 0 DDADMM C[C@@]12CSC[C@@H]1CN(C(=O)c1cnc(C3CC3)[n-]c1=O)C2 ZINC001365145848 890193827 /nfs/dbraw/zinc/19/38/27/890193827.db2.gz MPIOPBAMUHXNBH-ZUZCIYMTSA-N -1 1 305.403 1.885 20 0 DDADMM CSc1cc(NC(=O)CNC(=O)c2ncccc2[O-])ccn1 ZINC001365207181 890345672 /nfs/dbraw/zinc/34/56/72/890345672.db2.gz WDZXUCJXFDOVPF-UHFFFAOYSA-N -1 1 318.358 1.273 20 0 DDADMM Cc1cnc(SCC(=O)NCC(=O)c2ccccc2)[n-]c1=O ZINC001365260070 890453582 /nfs/dbraw/zinc/45/35/82/890453582.db2.gz RRPGODPPYFSLQO-UHFFFAOYSA-N -1 1 317.370 1.582 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@]12C[C@H]1CCCC2 ZINC001386241781 890628066 /nfs/dbraw/zinc/62/80/66/890628066.db2.gz QWHKNPFJPOYSGL-PSTGCABASA-N -1 1 317.389 1.602 20 0 DDADMM CCO[C@@H](C)n1cc(-c2nc(C)cc3c2C(=O)[N-]C3=O)cn1 ZINC001240751977 891033455 /nfs/dbraw/zinc/03/34/55/891033455.db2.gz FPPQOULLVWAAQR-VIFPVBQESA-N -1 1 300.318 1.692 20 0 DDADMM COC[C@](C)([N-]S(=O)(=O)CC(C)(C)C(C)C)C(=O)OC ZINC001365681709 891306476 /nfs/dbraw/zinc/30/64/76/891306476.db2.gz VMXONBHMXFSZNG-ZDUSSCGKSA-N -1 1 309.428 1.166 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2[C@@H](C)[C@H](OC)C2(C)C)sn1 ZINC001365683837 891311167 /nfs/dbraw/zinc/31/11/67/891311167.db2.gz FSBWYYOWGLACFZ-ONOSFVFSSA-N -1 1 320.436 1.490 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](S(=O)(=O)[N-][C@H]2CCCC2(F)F)C1 ZINC001365711500 891379705 /nfs/dbraw/zinc/37/97/05/891379705.db2.gz SZKBPWKVWAPELB-UTLUCORTSA-N -1 1 311.350 1.435 20 0 DDADMM CCCC(=O)NCCN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001386779465 891687681 /nfs/dbraw/zinc/68/76/81/891687681.db2.gz BEWJTXVNRSFFQQ-UHFFFAOYSA-N -1 1 322.409 1.602 20 0 DDADMM CCOc1ncc(-c2nc(C)cc3c2C(=O)[N-]C3=O)cc1F ZINC001243770669 891727882 /nfs/dbraw/zinc/72/78/82/891727882.db2.gz FBVZNCXIKWLZPT-UHFFFAOYSA-N -1 1 301.277 1.873 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnn(C(C)(C)CO)c3)cc2[n-]1 ZINC001244107288 891800664 /nfs/dbraw/zinc/80/06/64/891800664.db2.gz ZONYDWKVEVIYAB-UHFFFAOYSA-N -1 1 314.345 1.940 20 0 DDADMM COC(=O)c1nc2cc(-c3cnn(C(C)(C)CO)c3)ccc2[n-]1 ZINC001244107288 891800678 /nfs/dbraw/zinc/80/06/78/891800678.db2.gz ZONYDWKVEVIYAB-UHFFFAOYSA-N -1 1 314.345 1.940 20 0 DDADMM CCOc1cccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)n1 ZINC001244789344 891922550 /nfs/dbraw/zinc/92/25/50/891922550.db2.gz DLZLHAVRHFBIRS-UHFFFAOYSA-N -1 1 323.374 1.922 20 0 DDADMM COc1ncc(-c2ccc(C)nc2F)cc1[N-]S(C)(=O)=O ZINC001244794260 891929156 /nfs/dbraw/zinc/92/91/56/891929156.db2.gz NMKXINFBYTVQGC-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM CC(C)[C@H](C)C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001387227590 892658170 /nfs/dbraw/zinc/65/81/70/892658170.db2.gz UNXHSJMXHNZCLR-QWHCGFSZSA-N -1 1 319.405 1.658 20 0 DDADMM CSCCCNC(=S)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001247808932 893381486 /nfs/dbraw/zinc/38/14/86/893381486.db2.gz NFNYDSNPQIRTRH-UHFFFAOYSA-N -1 1 303.369 1.325 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)CC1CCCC1 ZINC001387695591 893659390 /nfs/dbraw/zinc/65/93/90/893659390.db2.gz ITAJJFZFJPZURS-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)C1(C2CC2)CC1 ZINC001387887756 894096829 /nfs/dbraw/zinc/09/68/29/894096829.db2.gz LQEGVKQSENLDAR-UHFFFAOYSA-N -1 1 315.373 1.166 20 0 DDADMM COCCN=c1ccc(N)cn1-c1cc([O-])c(F)c(F)c1F ZINC001249961108 894176340 /nfs/dbraw/zinc/17/63/40/894176340.db2.gz GDEUWUNLJYECQY-UHFFFAOYSA-N -1 1 313.279 1.730 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3c(c2)NC(=O)C3)c1 ZINC001250322781 894234690 /nfs/dbraw/zinc/23/46/90/894234690.db2.gz LSGYDKOHWIKRJY-UHFFFAOYSA-N -1 1 318.358 1.146 20 0 DDADMM COc1ccc(Br)nc1[N-]S(=O)(=O)N(C)C ZINC001251056589 894615620 /nfs/dbraw/zinc/61/56/20/894615620.db2.gz WXSTXWCFKXZAKT-UHFFFAOYSA-N -1 1 310.173 1.071 20 0 DDADMM CCC[C@H](OC)C(=O)NC[C@H](CC)NC(=O)c1ncccc1[O-] ZINC001388147841 894653517 /nfs/dbraw/zinc/65/35/17/894653517.db2.gz OYJXOEYPNWSAOQ-AAEUAGOBSA-N -1 1 323.393 1.227 20 0 DDADMM COC(=O)c1cc(Br)c([O-])c(NC[C@H](C)O)c1 ZINC001251965023 894904440 /nfs/dbraw/zinc/90/44/40/894904440.db2.gz ZERCKKCUNPMEHT-LURJTMIESA-N -1 1 304.140 1.734 20 0 DDADMM Cc1[nH]nc2ccc(-c3cn(CCOCC(=O)[O-])nn3)cc12 ZINC001254089147 896253858 /nfs/dbraw/zinc/25/38/58/896253858.db2.gz BQNARRYIPFCXHL-UHFFFAOYSA-N -1 1 301.306 1.231 20 0 DDADMM Cc1cnc(CN[C@@H](CNC(=O)c2ncccc2[O-])C(C)C)o1 ZINC001367462780 896827297 /nfs/dbraw/zinc/82/72/97/896827297.db2.gz ICSCHBIOMPLKRN-LBPRGKRZSA-N -1 1 318.377 1.628 20 0 DDADMM O=c1[nH]ncc([N-]S(=O)(=O)c2ccc(F)cc2F)c1Cl ZINC001255998104 897195072 /nfs/dbraw/zinc/19/50/72/897195072.db2.gz KIGKTLIRMPVURY-UHFFFAOYSA-N -1 1 321.692 1.915 20 0 DDADMM CC(C)(C)OC(=O)N1CCC([NH2+]CCP(=O)([O-])[O-])CC1 ZINC001256283336 897349935 /nfs/dbraw/zinc/34/99/35/897349935.db2.gz BQMXAZSWXPQPLW-UHFFFAOYSA-N -1 1 308.315 1.153 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1(C)CCC(C)CC1 ZINC001367777750 897753672 /nfs/dbraw/zinc/75/36/72/897753672.db2.gz DQKYISWPTDGPBD-VTWZXRTESA-N -1 1 309.414 1.321 20 0 DDADMM O=S(=O)([N-]CCN1CCCCO1)c1cc(F)ccc1F ZINC001258948576 898373302 /nfs/dbraw/zinc/37/33/02/898373302.db2.gz XWHOGHJFGXIAOM-UHFFFAOYSA-N -1 1 306.334 1.271 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(C)c(C(F)(F)F)c1 ZINC001259017138 898414855 /nfs/dbraw/zinc/41/48/55/898414855.db2.gz LRSMNSDTAMNCDU-UHFFFAOYSA-N -1 1 311.281 1.929 20 0 DDADMM O=[P@]([O-])(O)CCCCNS(=O)(=O)CC1CCCCC1 ZINC001259621782 898750182 /nfs/dbraw/zinc/75/01/82/898750182.db2.gz WBFHLUUDRUVPKK-UHFFFAOYSA-N -1 1 313.356 1.444 20 0 DDADMM O=S(=O)([N-]c1cccc2c1OCC[C@@H]2O)C1CCOCC1 ZINC001259915946 898927176 /nfs/dbraw/zinc/92/71/76/898927176.db2.gz GHQVVGJSHNHRSU-ZDUSSCGKSA-N -1 1 313.375 1.423 20 0 DDADMM CCOC(=O)[C@H](C[N-]S(=O)(=O)C(F)F)c1ccccc1 ZINC001259962311 898983422 /nfs/dbraw/zinc/98/34/22/898983422.db2.gz HTUPFXBNKJPYEF-SNVBAGLBSA-N -1 1 307.318 1.475 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCC[C@H]1[N-]S(=O)(=O)C(F)F ZINC001259961479 898983887 /nfs/dbraw/zinc/98/38/87/898983887.db2.gz KDIBKSNNYUBQIM-HTQZYQBOSA-N -1 1 314.354 1.574 20 0 DDADMM O=C1NCCCN1c1cccc(C[N-]S(=O)(=O)C(F)F)c1 ZINC001259964159 898987218 /nfs/dbraw/zinc/98/72/18/898987218.db2.gz FBWGEYQMIYVXAK-UHFFFAOYSA-N -1 1 319.333 1.248 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnc2c(C3CCC3)cnn2c1 ZINC001259966820 898990599 /nfs/dbraw/zinc/99/05/99/898990599.db2.gz YJRWYLHYXPYMAA-UHFFFAOYSA-N -1 1 310.379 1.385 20 0 DDADMM C=CC(=O)NCC[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260287484 899111415 /nfs/dbraw/zinc/11/14/15/899111415.db2.gz GPLRIPDECOFWBV-UHFFFAOYSA-N -1 1 324.736 1.199 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1CC=CCC1 ZINC001262915316 900418080 /nfs/dbraw/zinc/41/80/80/900418080.db2.gz IIBUZTIATPXBSV-QWHCGFSZSA-N -1 1 315.373 1.474 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CC2(CCC2)c2ccccc21 ZINC001262923084 900420004 /nfs/dbraw/zinc/42/00/04/900420004.db2.gz IKFPGFWTJMKHMQ-UHFFFAOYSA-N -1 1 324.344 1.732 20 0 DDADMM C[C@H]1CN2CCN1C[C@@H]2C(=O)Nc1ccc2[n-]c(=S)oc2c1 ZINC001263767430 900700627 /nfs/dbraw/zinc/70/06/27/900700627.db2.gz VJLPAMJPVXSNCU-JOYOIKCWSA-N -1 1 318.402 1.443 20 0 DDADMM COc1cc(F)cc([N-]S(=O)(=O)c2ccc(O)c(N)c2)c1 ZINC000385669751 900967972 /nfs/dbraw/zinc/96/79/72/900967972.db2.gz AITKNYLDGPGKQQ-UHFFFAOYSA-N -1 1 312.322 1.923 20 0 DDADMM Cc1noc([C@H](C)N(C)CCCNC(=O)c2ncccc2[O-])n1 ZINC001265831661 902462387 /nfs/dbraw/zinc/46/23/87/902462387.db2.gz NTFFFLJRISWPTC-JTQLQIEISA-N -1 1 319.365 1.292 20 0 DDADMM Cc1cc(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)c(C)o1 ZINC001370121710 902585185 /nfs/dbraw/zinc/58/51/85/902585185.db2.gz BQLBZWPJICDFEX-GFCCVEGCSA-N -1 1 319.365 1.018 20 0 DDADMM Cc1ccoc1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001391996914 903064447 /nfs/dbraw/zinc/06/44/47/903064447.db2.gz MFILEWUZTKFXHV-UHFFFAOYSA-N -1 1 319.365 1.244 20 0 DDADMM C[C@H](CC(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-])C1CC1 ZINC001370524719 903351114 /nfs/dbraw/zinc/35/11/14/903351114.db2.gz NRYIWEJVAVTTHK-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM CCn1ccc(CN(C)CCN(C)C(=O)c2ncccc2[O-])n1 ZINC001392269499 903714736 /nfs/dbraw/zinc/71/47/36/903714736.db2.gz PGCROEOOOZBJAU-UHFFFAOYSA-N -1 1 317.393 1.208 20 0 DDADMM CCCC(C)(C)C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001392298370 903809655 /nfs/dbraw/zinc/80/96/55/903809655.db2.gz XKUDWEYEEYWPGJ-LLVKDONJSA-N -1 1 307.394 1.848 20 0 DDADMM CCN(C(=O)CC1(C)CC1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001371343153 904743624 /nfs/dbraw/zinc/74/36/24/904743624.db2.gz NNEUFQWLLBBIKI-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H](F)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001371509547 905130275 /nfs/dbraw/zinc/13/02/75/905130275.db2.gz WKRFCWMIAVMKCE-OUAUKWLOSA-N -1 1 309.341 1.112 20 0 DDADMM Cc1nc(CN[C@@H](C)CCNC(=O)c2[nH]nc(C)c2[O-])cs1 ZINC001371731287 905613416 /nfs/dbraw/zinc/61/34/16/905613416.db2.gz XLBAKBFRKOYLDE-QMMMGPOBSA-N -1 1 323.422 1.487 20 0 DDADMM Cc1[nH]ccc1C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001283526274 907537893 /nfs/dbraw/zinc/53/78/93/907537893.db2.gz PNYNTMNGXBEMME-UHFFFAOYSA-N -1 1 316.361 1.316 20 0 DDADMM Cc1cc[nH]c1C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001283526192 907539017 /nfs/dbraw/zinc/53/90/17/907539017.db2.gz NAMLUTIKRMJOMO-UHFFFAOYSA-N -1 1 316.361 1.316 20 0 DDADMM C/C(=C/C(=O)NCCN(C)C(=O)c1ncccc1[O-])C1CC1 ZINC001284501832 909095950 /nfs/dbraw/zinc/09/59/50/909095950.db2.gz UQEAYALEIVVSAA-KHPPLWFESA-N -1 1 303.362 1.332 20 0 DDADMM Cc1conc1C(=O)N[C@H](C)CCNC(=O)c1ncccc1[O-] ZINC001373260539 909337252 /nfs/dbraw/zinc/33/72/52/909337252.db2.gz FYSMRXRUQWQYRN-SNVBAGLBSA-N -1 1 318.333 1.022 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)C(C)(F)F ZINC001394936836 910956459 /nfs/dbraw/zinc/95/64/59/910956459.db2.gz WXXFCBTUKPBHFI-SFYZADRCSA-N -1 1 301.293 1.065 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)c1ccns1 ZINC001373822728 911105111 /nfs/dbraw/zinc/10/51/11/911105111.db2.gz FXFIIAAWOKWPBD-UHFFFAOYSA-N -1 1 320.374 1.136 20 0 DDADMM CSCCC(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001374008824 911625839 /nfs/dbraw/zinc/62/58/39/911625839.db2.gz ZIDNLWYUPZDZGY-NSHDSACASA-N -1 1 323.418 1.261 20 0 DDADMM COCCc1nc2cc(NC(=O)CCc3nn[n-]n3)ccc2o1 ZINC001294346989 915074208 /nfs/dbraw/zinc/07/42/08/915074208.db2.gz IZCCVIOIZTYVAF-UHFFFAOYSA-N -1 1 316.321 1.101 20 0 DDADMM CC[C@@H](CNC(=O)C1CC2(CC2)C1)NC(=O)c1ncccc1[O-] ZINC001375225084 915318682 /nfs/dbraw/zinc/31/86/82/915318682.db2.gz TUBYXNUHWHJLTI-LBPRGKRZSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCc2n[nH]c(=O)cc2C1 ZINC001296699975 916543963 /nfs/dbraw/zinc/54/39/63/916543963.db2.gz KHSNFVFZKGZDGG-UHFFFAOYSA-N -1 1 322.324 1.635 20 0 DDADMM Cn1c2ccccc2nc1C(C)(C)NC(=O)CCc1nn[n-]n1 ZINC001296718501 916551484 /nfs/dbraw/zinc/55/14/84/916551484.db2.gz PJYINOPKRARBFC-UHFFFAOYSA-N -1 1 313.365 1.071 20 0 DDADMM CCCC[C@](C)(F)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001376331010 918251255 /nfs/dbraw/zinc/25/12/55/918251255.db2.gz PGMVICFDEPLTIY-HZMBPMFUSA-N -1 1 313.377 1.119 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC[C@@H]3CC[C@@H](C)O3)nc2n1 ZINC000622994361 365587424 /nfs/dbraw/zinc/58/74/24/365587424.db2.gz MHKFJAMNSZTASS-KOLCDFICSA-N -1 1 319.365 1.266 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)Cc3ccc(C)s3)nc2n1 ZINC000622994969 365588763 /nfs/dbraw/zinc/58/87/63/365588763.db2.gz JWLNBQBNJPWDML-UHFFFAOYSA-N -1 1 317.374 1.531 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCCOCC)nc2n1 ZINC000622996565 365589569 /nfs/dbraw/zinc/58/95/69/365589569.db2.gz RPQHQNXMURVFII-UHFFFAOYSA-N -1 1 307.354 1.125 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3(OC)CCCC3)nc2n1 ZINC000622996811 365590410 /nfs/dbraw/zinc/59/04/10/365590410.db2.gz BCAJEOBQOOVACB-UHFFFAOYSA-N -1 1 319.365 1.268 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@@H]4C[C@@H]4C3)nc2n1 ZINC000622997843 365591678 /nfs/dbraw/zinc/59/16/78/365591678.db2.gz NRXJFMPVNZVLOK-GMTAPVOTSA-N -1 1 315.377 1.745 20 0 DDADMM CCCc1noc2nc(C3CC3)cc(C(=O)Nc3nn[n-]n3)c12 ZINC000076963817 185061363 /nfs/dbraw/zinc/06/13/63/185061363.db2.gz PFQBGFOEVVTXLH-UHFFFAOYSA-N -1 1 313.321 1.818 20 0 DDADMM NC(=O)C1(CNC(=O)c2ccc(Cl)cc2[O-])CCOCC1 ZINC000076967495 185062635 /nfs/dbraw/zinc/06/26/35/185062635.db2.gz WNEWGOKNCIUZBI-UHFFFAOYSA-N -1 1 312.753 1.058 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCOC[C@H]1C ZINC000278519144 214207933 /nfs/dbraw/zinc/20/79/33/214207933.db2.gz MSRSLDJZYMTAPD-KOLCDFICSA-N -1 1 307.394 1.943 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2cc(-c3ccco3)[nH]n2)C1=O ZINC000278607397 214271170 /nfs/dbraw/zinc/27/11/70/214271170.db2.gz FGTCADDJLFKIBS-CQSZACIVSA-N -1 1 317.305 1.035 20 0 DDADMM COCCCn1cc(C)c([N-]S(=O)(=O)N2CCCCC2)n1 ZINC000451090069 231007918 /nfs/dbraw/zinc/00/79/18/231007918.db2.gz SCNOINJNNPPLAZ-UHFFFAOYSA-N -1 1 316.427 1.371 20 0 DDADMM CCOC(=O)Cc1nnc([N-]C(=O)c2coc(CC)n2)s1 ZINC000153762937 290653416 /nfs/dbraw/zinc/65/34/16/290653416.db2.gz OCDFTASZCSGITP-UHFFFAOYSA-N -1 1 310.335 1.446 20 0 DDADMM CCOc1cnc(C(=O)Nc2nc(-c3ccc(C)o3)n[nH]2)cn1 ZINC000188438450 539251081 /nfs/dbraw/zinc/25/10/81/539251081.db2.gz VLSUHBUJFVAXSZ-UHFFFAOYSA-N -1 1 314.305 1.814 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(F)cc2nc[nH]c21)c1nn[n-]n1 ZINC000273479719 539278911 /nfs/dbraw/zinc/27/89/11/539278911.db2.gz IQUVAJKDULCRAO-ZETCQYMHSA-N -1 1 303.301 1.091 20 0 DDADMM CC[C@H](O)CCCN=c1ccc(C(=O)NC2CCCC2)n[n-]1 ZINC000432239970 529686878 /nfs/dbraw/zinc/68/68/78/529686878.db2.gz BCZPPMXEFMDNRK-ZDUSSCGKSA-N -1 1 306.410 1.534 20 0 DDADMM CC(=O)N1Cc2ccccc2C[C@H]1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000615225811 362204533 /nfs/dbraw/zinc/20/45/33/362204533.db2.gz GRDVCCFJRDGGBS-ZDUSSCGKSA-N -1 1 314.345 1.336 20 0 DDADMM CN1CC[C@H](C[N-]S(=O)(=O)c2cc(F)ccc2F)CC1=O ZINC000425182932 529805048 /nfs/dbraw/zinc/80/50/48/529805048.db2.gz LDYPIIKNMVMWFB-VIFPVBQESA-N -1 1 318.345 1.112 20 0 DDADMM CN1C[C@@H]([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)CC1=O ZINC000451153662 529821349 /nfs/dbraw/zinc/82/13/49/529821349.db2.gz IPAVJJNENBRFSL-JTQLQIEISA-N -1 1 312.322 1.081 20 0 DDADMM CN(C[C@@H]1CCCC[C@@H]1O)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000305836047 529946948 /nfs/dbraw/zinc/94/69/48/529946948.db2.gz XBGQKVWPLCKTBY-FZMZJTMJSA-N -1 1 315.373 1.959 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1C2CCC1CC2 ZINC000615382110 362272173 /nfs/dbraw/zinc/27/21/73/362272173.db2.gz FHTHABXITXNYIN-UHFFFAOYSA-N -1 1 324.384 1.836 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C2CCC2)C2CCC2)o1 ZINC000292663449 530027430 /nfs/dbraw/zinc/02/74/30/530027430.db2.gz YWJUEKDPEUTMEU-UHFFFAOYSA-N -1 1 312.391 1.735 20 0 DDADMM CCc1nn(C)cc1C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616009628 362519196 /nfs/dbraw/zinc/51/91/96/362519196.db2.gz XRXKKNDIVKUIMR-UHFFFAOYSA-N -1 1 312.171 1.451 20 0 DDADMM O=S(=O)([N-]Cc1ccccc1CO)c1cccc(F)c1F ZINC000305196266 282390768 /nfs/dbraw/zinc/39/07/68/282390768.db2.gz IUJDXUDCRDAEQN-UHFFFAOYSA-N -1 1 313.325 1.936 20 0 DDADMM O=C(CNC(=O)C(=O)c1ccc([O-])cc1)Nc1ccc(F)cc1 ZINC000438913095 539525405 /nfs/dbraw/zinc/52/54/05/539525405.db2.gz XOINTGSIEHBODN-UHFFFAOYSA-N -1 1 316.288 1.469 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)Oc1ccccc1F)c1nn[n-]n1 ZINC000358391171 299139848 /nfs/dbraw/zinc/13/98/48/299139848.db2.gz OHACNSBJBDSYOX-UWVGGRQHSA-N -1 1 307.329 1.368 20 0 DDADMM CC(C)(CO)[C@H](NC(=O)c1cncc([O-])c1)c1cccnc1 ZINC000616838666 362840085 /nfs/dbraw/zinc/84/00/85/362840085.db2.gz MHBZHDDSIKYFPM-CQSZACIVSA-N -1 1 301.346 1.672 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(C)cc2OC)o1 ZINC000080424079 192156774 /nfs/dbraw/zinc/15/67/74/192156774.db2.gz WHVBNDROAZDZRK-UHFFFAOYSA-N -1 1 324.358 1.757 20 0 DDADMM C[C@@H]1CC[C@H](CNC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000331386234 233261912 /nfs/dbraw/zinc/26/19/12/233261912.db2.gz HSJXMJLXZKUMOW-MNOVXSKESA-N -1 1 324.454 1.218 20 0 DDADMM CC[C@H](C)c1ncc([N-]S(=O)(=O)CCOCCOC)cn1 ZINC000358490052 299171090 /nfs/dbraw/zinc/17/10/90/299171090.db2.gz GQERXGDIPYANNR-NSHDSACASA-N -1 1 317.411 1.395 20 0 DDADMM CCc1nc(SCC(=O)NCc2cccnc2)[n-]c(=O)c1C ZINC000039981538 352300479 /nfs/dbraw/zinc/30/04/79/352300479.db2.gz QSOYHJAMZNDWMJ-UHFFFAOYSA-N -1 1 318.402 1.857 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NC[C@@H](C)c2ccccc2)n1 ZINC000043306464 352364575 /nfs/dbraw/zinc/36/45/75/352364575.db2.gz KLSDODYGBWZUHO-LLVKDONJSA-N -1 1 301.350 1.915 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccc(C(N)=O)cc2)c(C)c1O ZINC000055616351 352739613 /nfs/dbraw/zinc/73/96/13/352739613.db2.gz BHWSSNZDIRMNFZ-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)[C@H]2CCOC2)CC1 ZINC000066898359 353022180 /nfs/dbraw/zinc/02/21/80/353022180.db2.gz ARGPFPVYUTVQOC-AWEZNQCLSA-N -1 1 303.358 1.850 20 0 DDADMM O=C(NCc1n[n-]c(=S)n1C1CC1)c1ccc2nccn2c1 ZINC000068423859 353110817 /nfs/dbraw/zinc/11/08/17/353110817.db2.gz FDCRFNUKDADWHE-UHFFFAOYSA-N -1 1 314.374 1.853 20 0 DDADMM COc1ccccc1NCC(=O)NCCn1c(C)n[n-]c1=S ZINC000073942136 353280228 /nfs/dbraw/zinc/28/02/28/353280228.db2.gz VICLMNFOUZWUTG-UHFFFAOYSA-N -1 1 321.406 1.486 20 0 DDADMM Cc1nc(-c2ccc(NC[C@H](C)CCO)nc2)[n-]c(=O)c1C ZINC000078581789 353523063 /nfs/dbraw/zinc/52/30/63/353523063.db2.gz RMPKTSHNTKUPNW-SNVBAGLBSA-N -1 1 302.378 1.879 20 0 DDADMM O=S(=O)([N-]CCN1CCOCC1)c1cc2ccccc2o1 ZINC000084935689 353730771 /nfs/dbraw/zinc/73/07/71/353730771.db2.gz HUAGZFMLZOUECO-UHFFFAOYSA-N -1 1 310.375 1.043 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC ZINC000091052378 353807785 /nfs/dbraw/zinc/80/77/85/353807785.db2.gz NGJOLWLRBSQDLT-JTQLQIEISA-N -1 1 307.318 1.585 20 0 DDADMM O=c1[n-]c(CN2CCCCC[C@@H]2C[C@@H](O)c2ccco2)n[nH]1 ZINC000091591908 353831278 /nfs/dbraw/zinc/83/12/78/353831278.db2.gz NHTZVWRIYICPBZ-VXGBXAGGSA-N -1 1 306.366 1.559 20 0 DDADMM O=C(NC[C@@H]1CCCN(c2ncccn2)C1)c1cncc([O-])c1 ZINC000092135811 353845755 /nfs/dbraw/zinc/84/57/55/353845755.db2.gz JSCOBSAYQYZDLA-LBPRGKRZSA-N -1 1 313.361 1.224 20 0 DDADMM Fc1ccc(-c2noc(=NCCN3CCSCC3)[n-]2)cc1 ZINC000623966072 366134415 /nfs/dbraw/zinc/13/44/15/366134415.db2.gz BVNHSBDUBMDQCX-UHFFFAOYSA-N -1 1 308.382 1.758 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)(F)F)c1ccc(Cl)s1 ZINC000140741775 354137759 /nfs/dbraw/zinc/13/77/59/354137759.db2.gz FBRFTPLFTOJBSK-RXMQYKEDSA-N -1 1 323.745 1.993 20 0 DDADMM COc1ccc(CN2CC[C@](C(=O)[O-])(C(F)(F)F)C2)cn1 ZINC000158629225 354231019 /nfs/dbraw/zinc/23/10/19/354231019.db2.gz BTMVRKULZNKFLG-LBPRGKRZSA-N -1 1 304.268 1.929 20 0 DDADMM C[C@@H](NS(=O)(=O)c1c(F)cccc1[N+](=O)[O-])[C@@H](C)C(=O)[O-] ZINC000314321587 354484819 /nfs/dbraw/zinc/48/48/19/354484819.db2.gz YRJJQBISJRAKAP-RNFRBKRXSA-N -1 1 320.298 1.121 20 0 DDADMM CCC(CC)(CNC(=O)[C@@H]1NCCc2ccccc21)C(=O)[O-] ZINC000316794355 354506115 /nfs/dbraw/zinc/50/61/15/354506115.db2.gz HADFFBJAERCHLR-CQSZACIVSA-N -1 1 304.390 1.881 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc(C)c(F)c3)nc2n1 ZINC000588006394 354892809 /nfs/dbraw/zinc/89/28/09/354892809.db2.gz HDUIHINHLYFNOR-UHFFFAOYSA-N -1 1 301.281 1.426 20 0 DDADMM CCOC(=O)CCN(CC1CC1)C(=O)C(=O)c1ccc([O-])cc1 ZINC000588621141 354921289 /nfs/dbraw/zinc/92/12/89/354921289.db2.gz FYWUZGCAHUDBIO-UHFFFAOYSA-N -1 1 319.357 1.767 20 0 DDADMM COCC[C@@H](C)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000588682685 354928504 /nfs/dbraw/zinc/92/85/04/354928504.db2.gz ZIKSARDQMOTLTC-MRVPVSSYSA-N -1 1 309.334 1.677 20 0 DDADMM Cc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)nc(C)n1 ZINC000332480825 235014850 /nfs/dbraw/zinc/01/48/50/235014850.db2.gz WMIDQRJQDJBZTI-UHFFFAOYSA-N -1 1 303.322 1.202 20 0 DDADMM C[C@H](SCC(=O)Nc1ccc(F)cc1)C(=O)Nc1nnn[n-]1 ZINC000346356839 283073116 /nfs/dbraw/zinc/07/31/16/283073116.db2.gz XGQWGMXKJUEHLE-ZETCQYMHSA-N -1 1 324.341 1.038 20 0 DDADMM C[C@H](SCC(=O)Nc1ccc(F)cc1)C(=O)Nc1nn[n-]n1 ZINC000346356839 283073120 /nfs/dbraw/zinc/07/31/20/283073120.db2.gz XGQWGMXKJUEHLE-ZETCQYMHSA-N -1 1 324.341 1.038 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCCSC)o1 ZINC000358868164 291063353 /nfs/dbraw/zinc/06/33/53/291063353.db2.gz AFHUHSAULIWYQV-UHFFFAOYSA-N -1 1 307.393 1.488 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@H](OC)C(C)C ZINC000592075400 355485013 /nfs/dbraw/zinc/48/50/13/355485013.db2.gz ZESCYQHTWQGCOF-RYUDHWBXSA-N -1 1 323.455 1.555 20 0 DDADMM CC(C)[C@@H](CNc1nc2[nH][n-]cc-2c(=O)n1)C(=O)OC(C)(C)C ZINC000594594813 356259462 /nfs/dbraw/zinc/25/94/62/356259462.db2.gz CHCARKMCHBJUCN-SECBINFHSA-N -1 1 321.381 1.458 20 0 DDADMM CC[C@@H](COC)[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595311321 356443178 /nfs/dbraw/zinc/44/31/78/356443178.db2.gz IPMBOEMKWJTUOV-VIFPVBQESA-N -1 1 305.352 1.078 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCn2cccc2)cc1C ZINC000595313030 356444295 /nfs/dbraw/zinc/44/42/95/356444295.db2.gz PCIORVYTAPNEFQ-UHFFFAOYSA-N -1 1 312.347 1.155 20 0 DDADMM COC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000595401188 356479110 /nfs/dbraw/zinc/47/91/10/356479110.db2.gz BDHBYRPKURMADU-LJGSYFOKSA-N -1 1 323.292 1.334 20 0 DDADMM CCOC(=O)[C@H](Cc1ccncc1)NC(=O)c1ncccc1[O-] ZINC000618244062 363543343 /nfs/dbraw/zinc/54/33/43/363543343.db2.gz BOQNTGSQEACTDF-LBPRGKRZSA-N -1 1 315.329 1.086 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)cc1C ZINC000596045030 356752234 /nfs/dbraw/zinc/75/22/34/356752234.db2.gz YAXGMHNDUKXGQX-UHFFFAOYSA-N -1 1 313.335 1.515 20 0 DDADMM COC(=O)[C@@]1(C)CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000597378322 357155560 /nfs/dbraw/zinc/15/55/60/357155560.db2.gz QTXXPSJTYTUTCW-KRWDZBQOSA-N -1 1 314.341 1.966 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](C)CCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000598775374 357725003 /nfs/dbraw/zinc/72/50/03/357725003.db2.gz MZKJHTJDLUCCIB-GWCFXTLKSA-N -1 1 305.330 1.375 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCCc2cccnc2)[n-]1 ZINC000598729863 357706870 /nfs/dbraw/zinc/70/68/70/357706870.db2.gz VJWLQFFZFUAHAZ-UHFFFAOYSA-N -1 1 302.334 1.943 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCCc2cccnc2)n1 ZINC000598729863 357706872 /nfs/dbraw/zinc/70/68/72/357706872.db2.gz VJWLQFFZFUAHAZ-UHFFFAOYSA-N -1 1 302.334 1.943 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)[C@@H]1COC(C)(C)C1)C(=O)OCC ZINC000599276722 357872122 /nfs/dbraw/zinc/87/21/22/357872122.db2.gz BFOBXWKPEVZOHJ-YILIYONBSA-N -1 1 319.423 1.371 20 0 DDADMM COc1cc(C(=O)NCc2nn[n-]n2)ccc1OCCC(C)C ZINC000599334207 357897963 /nfs/dbraw/zinc/89/79/63/357897963.db2.gz HMRUYBOAKSTXSY-UHFFFAOYSA-N -1 1 319.365 1.563 20 0 DDADMM CCC[C@@H](C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1)OCC ZINC000599368614 357912572 /nfs/dbraw/zinc/91/25/72/357912572.db2.gz ZICGCRPZEDMDFI-JTQLQIEISA-N -1 1 319.379 1.550 20 0 DDADMM NC(=O)CO[N-]C(=O)[C@@]1(Cc2ccccc2)C[C@H]2CC[C@@H]1C2 ZINC000180363895 199150260 /nfs/dbraw/zinc/15/02/60/199150260.db2.gz MLFPWLKOBXYDKK-VBQJREDUSA-N -1 1 302.374 1.569 20 0 DDADMM COC(=O)[C@]1(OC)CCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000600289628 358183496 /nfs/dbraw/zinc/18/34/96/358183496.db2.gz MOUWXHUCZWKWPX-AWEZNQCLSA-N -1 1 313.737 1.450 20 0 DDADMM COC(=O)[C@]1(OC)CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000600296588 358186669 /nfs/dbraw/zinc/18/66/69/358186669.db2.gz AUMZHSSWYQCCOS-AWEZNQCLSA-N -1 1 315.272 1.075 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(-c2ccc(Cl)cc2)on1 ZINC000600505258 358242856 /nfs/dbraw/zinc/24/28/56/358242856.db2.gz NPVUPOISKYZDKZ-UHFFFAOYSA-N -1 1 304.697 1.438 20 0 DDADMM CO[C@@H]1C[C@@H](C(=O)[O-])N(C[C@@H](O)COc2ccc(C)cc2C)C1 ZINC000565543791 304075453 /nfs/dbraw/zinc/07/54/53/304075453.db2.gz JBRMJWVCHSOEDZ-KFWWJZLASA-N -1 1 323.389 1.217 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2ccc(C(F)F)o2)CCC1 ZINC000601366987 358518525 /nfs/dbraw/zinc/51/85/25/358518525.db2.gz RHOBVQXHPCOWHT-UHFFFAOYSA-N -1 1 323.317 1.981 20 0 DDADMM C[C@H]1CCC[C@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000181032807 199243411 /nfs/dbraw/zinc/24/34/11/199243411.db2.gz PSTZKLGLWWWMCW-UWVGGRQHSA-N -1 1 312.391 1.804 20 0 DDADMM COC(=O)[C@](C)(CCF)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601670656 358646960 /nfs/dbraw/zinc/64/69/60/358646960.db2.gz IRUFVNNBYNOASD-AWEZNQCLSA-N -1 1 317.744 1.996 20 0 DDADMM Cc1cc(C)n(-c2ccc(C(=O)NCc3nn[n-]n3)cc2F)n1 ZINC000601721002 358663092 /nfs/dbraw/zinc/66/30/92/358663092.db2.gz UNLCEUQITKSVRC-UHFFFAOYSA-N -1 1 315.312 1.071 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000603050253 359376830 /nfs/dbraw/zinc/37/68/30/359376830.db2.gz HYVCVUQFDOYMFH-SECBINFHSA-N -1 1 305.359 1.745 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2cncc([O-])c2)CCCCC1 ZINC000618487514 363648964 /nfs/dbraw/zinc/64/89/64/363648964.db2.gz XLGXBOSFJCEUIV-UHFFFAOYSA-N -1 1 312.391 1.265 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](CC)CC(F)F)n[n-]1 ZINC000603156683 359443401 /nfs/dbraw/zinc/44/34/01/359443401.db2.gz SKJAHGLMHZIHER-JGVFFNPUSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](CC)CC(F)F)[n-]1 ZINC000603156683 359443404 /nfs/dbraw/zinc/44/34/04/359443404.db2.gz SKJAHGLMHZIHER-JGVFFNPUSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](CC)CC(F)F)n1 ZINC000603156683 359443406 /nfs/dbraw/zinc/44/34/06/359443406.db2.gz SKJAHGLMHZIHER-JGVFFNPUSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(C)c(F)c2)n[n-]1 ZINC000603159438 359445937 /nfs/dbraw/zinc/44/59/37/359445937.db2.gz BYYVTKZUQDLCMU-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(C)c(F)c2)[n-]1 ZINC000603159438 359445939 /nfs/dbraw/zinc/44/59/39/359445939.db2.gz BYYVTKZUQDLCMU-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(C)c(F)c2)n1 ZINC000603159438 359445942 /nfs/dbraw/zinc/44/59/42/359445942.db2.gz BYYVTKZUQDLCMU-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2ccc(F)cc2)n[n-]1 ZINC000603167727 359452421 /nfs/dbraw/zinc/45/24/21/359452421.db2.gz YPQORURAIAINBF-SECBINFHSA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2ccc(F)cc2)[n-]1 ZINC000603167727 359452430 /nfs/dbraw/zinc/45/24/30/359452430.db2.gz YPQORURAIAINBF-SECBINFHSA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2ccc(F)cc2)n1 ZINC000603167727 359452434 /nfs/dbraw/zinc/45/24/34/359452434.db2.gz YPQORURAIAINBF-SECBINFHSA-N -1 1 320.324 1.540 20 0 DDADMM Cc1[n-]c(S(=O)(=O)[C@@H](C)C(=O)N(C)C)nc1-c1ccccc1 ZINC000186837319 200019304 /nfs/dbraw/zinc/01/93/04/200019304.db2.gz JWKFLOSGFZIBCY-NSHDSACASA-N -1 1 321.402 1.636 20 0 DDADMM Cc1cc(N2CCN(c3nc(=N)[n-]s3)CC2)nc(C(C)C)n1 ZINC000348348398 283478209 /nfs/dbraw/zinc/47/82/09/283478209.db2.gz VXMVAABYNLXQGK-UHFFFAOYSA-N -1 1 319.438 1.499 20 0 DDADMM O=C([O-])CCN1CCN([C@@H](CO)c2ccc(Cl)cc2)CC1 ZINC000604773979 359797854 /nfs/dbraw/zinc/79/78/54/359797854.db2.gz VKJFMABIPBWAPY-AWEZNQCLSA-N -1 1 312.797 1.466 20 0 DDADMM CO[C@H]1C[C@H](c2nc(C)no2)N(C(=O)c2ccc([O-])cc2F)C1 ZINC000189064079 200343251 /nfs/dbraw/zinc/34/32/51/200343251.db2.gz GKHPIVSHVDRBEQ-GXFFZTMASA-N -1 1 321.308 1.825 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](OC)c1cccc(OC)c1)c1nn[n-]n1 ZINC000612061961 360906058 /nfs/dbraw/zinc/90/60/58/360906058.db2.gz RMCAKKCOIWVKIF-STQMWFEESA-N -1 1 319.365 1.553 20 0 DDADMM O=C(CCCc1cccnc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612816903 361155619 /nfs/dbraw/zinc/15/56/19/361155619.db2.gz NYBSZGFLFQORDL-CYBMUJFWSA-N -1 1 300.366 1.324 20 0 DDADMM CSc1ccc(O)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000612818411 361155736 /nfs/dbraw/zinc/15/57/36/361155736.db2.gz VSNIHFDKCCYVRC-VIFPVBQESA-N -1 1 319.390 1.647 20 0 DDADMM CC1(C)CCC[C@@](O)(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1 ZINC000612817312 361156027 /nfs/dbraw/zinc/15/60/27/361156027.db2.gz PXALSTUDDLXGTE-NHYWBVRUSA-N -1 1 307.398 1.237 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2c(c1)CCOC2)c1nn[n-]n1 ZINC000613473752 361433982 /nfs/dbraw/zinc/43/39/82/361433982.db2.gz CBZYAHWKOQVJPV-SNVBAGLBSA-N -1 1 301.350 1.148 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cccc3nonc32)o1 ZINC000281549851 216377164 /nfs/dbraw/zinc/37/71/64/216377164.db2.gz SSWQJQKSADASPA-UHFFFAOYSA-N -1 1 308.319 1.252 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)c1nnc(-c2ccccc2F)[n-]1 ZINC000195128664 201342637 /nfs/dbraw/zinc/34/26/37/201342637.db2.gz AMMWWLHJWURFGF-SECBINFHSA-N -1 1 311.338 1.564 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)c1n[n-]c(-c2ccccc2F)n1 ZINC000195128664 201342640 /nfs/dbraw/zinc/34/26/40/201342640.db2.gz AMMWWLHJWURFGF-SECBINFHSA-N -1 1 311.338 1.564 20 0 DDADMM C[C@@H]1CCN1C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000620211568 364336025 /nfs/dbraw/zinc/33/60/25/364336025.db2.gz OBPQAKSBLLTIEG-SECBINFHSA-N -1 1 300.380 1.591 20 0 DDADMM CC(C)NC(=O)[C@@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000620399207 364398947 /nfs/dbraw/zinc/39/89/47/364398947.db2.gz IIEWRUWNCPGEJD-LLVKDONJSA-N -1 1 308.353 1.908 20 0 DDADMM CC(=O)N[C@@H](CCC(C)C)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000621443190 364856442 /nfs/dbraw/zinc/85/64/42/364856442.db2.gz BJWUOHDVIVJKRA-QMMMGPOBSA-N -1 1 321.303 1.703 20 0 DDADMM O=C([O-])[C@@H]1CSCCN(C(=O)c2cccc3c[nH]nc32)C1 ZINC000621601935 364931783 /nfs/dbraw/zinc/93/17/83/364931783.db2.gz GXPLTWUSRPYBNA-JTQLQIEISA-N -1 1 305.359 1.453 20 0 DDADMM CCCc1cc(=O)[n-]c(SCc2nc(CCOC)no2)n1 ZINC000349038226 283817477 /nfs/dbraw/zinc/81/74/77/283817477.db2.gz NWFINKLCSHILMQ-UHFFFAOYSA-N -1 1 310.379 1.999 20 0 DDADMM COCC1(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCCCC1 ZINC000621928957 365119779 /nfs/dbraw/zinc/11/97/79/365119779.db2.gz YHWOPNRGZYDJFY-LBPRGKRZSA-N -1 1 307.398 1.503 20 0 DDADMM CS[C@@H](C)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091160561 193008630 /nfs/dbraw/zinc/00/86/30/193008630.db2.gz GEPRCONUMASRAO-ZETCQYMHSA-N -1 1 306.384 1.887 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@H]1S(=O)(=O)[N-][C@H](C)C(C)(F)F ZINC000451693195 291345935 /nfs/dbraw/zinc/34/59/35/291345935.db2.gz XHYFQSAWZFTVNI-OPRDCNLKSA-N -1 1 313.366 1.681 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3C[C@@H](O)C34CCC4)cnc2n1 ZINC000622174963 365311801 /nfs/dbraw/zinc/31/18/01/365311801.db2.gz UZBBPRMVBXXSTM-CHWSQXEVSA-N -1 1 313.357 1.677 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1C[C@@H](O)C13CCC3)c2=O ZINC000622174963 365311810 /nfs/dbraw/zinc/31/18/10/365311810.db2.gz UZBBPRMVBXXSTM-CHWSQXEVSA-N -1 1 313.357 1.677 20 0 DDADMM CN(Cc1nnc2n1CCC2)C(=O)c1c(F)ccc([O-])c1F ZINC000622294525 365363393 /nfs/dbraw/zinc/36/33/93/365363393.db2.gz WLRHXFVYGCINJA-UHFFFAOYSA-N -1 1 308.288 1.480 20 0 DDADMM O=C(CCc1nccs1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622610363 365449987 /nfs/dbraw/zinc/44/99/87/365449987.db2.gz TXVUEJFVFMNVLE-CYBMUJFWSA-N -1 1 314.374 1.495 20 0 DDADMM CCO[C@H](C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C)C(C)C ZINC000625604891 367043798 /nfs/dbraw/zinc/04/37/98/367043798.db2.gz BRHSNWWLALWIDF-HNNXBMFYSA-N -1 1 320.393 1.729 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1COc2cc(F)ccc2C1)c1nn[n-]n1 ZINC000626443751 367548149 /nfs/dbraw/zinc/54/81/49/367548149.db2.gz SYWLVLWGXSKFBD-GXSJLCMTSA-N -1 1 319.340 1.152 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1COc2cc(F)ccc2C1)c1nn[n-]n1 ZINC000626443752 367548832 /nfs/dbraw/zinc/54/88/32/367548832.db2.gz SYWLVLWGXSKFBD-KOLCDFICSA-N -1 1 319.340 1.152 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)OCCO3)cn1 ZINC000349909419 284102323 /nfs/dbraw/zinc/10/23/23/284102323.db2.gz CNQKCDRASRBOKG-UHFFFAOYSA-N -1 1 322.342 1.662 20 0 DDADMM COCc1cccc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000275116419 212130187 /nfs/dbraw/zinc/13/01/87/212130187.db2.gz JBNDQHHLHDDEPE-LLVKDONJSA-N -1 1 303.366 1.151 20 0 DDADMM O=C([O-])C1(O)CCN(C(=O)c2cc(-c3ccccc3)[nH]n2)CC1 ZINC000262408084 203286144 /nfs/dbraw/zinc/28/61/44/203286144.db2.gz AZLQOWSVZQRRNB-UHFFFAOYSA-N -1 1 315.329 1.128 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1cc(-c2ccccc2)n[nH]1 ZINC000350099509 284147901 /nfs/dbraw/zinc/14/79/01/284147901.db2.gz POEFELKGAOXURX-UHFFFAOYSA-N -1 1 317.374 1.919 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)CCOc2ccccc2)n1 ZINC000350146915 284157642 /nfs/dbraw/zinc/15/76/42/284157642.db2.gz NTPQZUKHONJMNX-UHFFFAOYSA-N -1 1 310.331 1.049 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ccc(C)nc2C)n1 ZINC000350145006 284157656 /nfs/dbraw/zinc/15/76/56/284157656.db2.gz GPWSJWBZBYOMQD-UHFFFAOYSA-N -1 1 309.347 1.182 20 0 DDADMM Cc1cccc(NC(=O)NC(=O)CN(C)CCC(=O)[O-])c1C ZINC000262613611 203350616 /nfs/dbraw/zinc/35/06/16/203350616.db2.gz CKIYBSQEGRGJSL-UHFFFAOYSA-N -1 1 307.350 1.358 20 0 DDADMM CCOC(=O)N[C@@H](CNC(=O)c1cncc([O-])c1)CC(C)C ZINC000264636289 204044621 /nfs/dbraw/zinc/04/46/21/204044621.db2.gz DQVVEOKVQHLDNL-GFCCVEGCSA-N -1 1 309.366 1.678 20 0 DDADMM COc1cc(CNC(=O)c2cncc([O-])c2)ccc1OCCO ZINC000264880939 204233801 /nfs/dbraw/zinc/23/38/01/204233801.db2.gz SSXUCQMZBMKOKW-UHFFFAOYSA-N -1 1 318.329 1.097 20 0 DDADMM CC1(C)CCC[C@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000274748970 211896770 /nfs/dbraw/zinc/89/67/70/211896770.db2.gz DYWQHYOTLZEQNA-JTQLQIEISA-N -1 1 312.391 1.804 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(CCC(F)(F)F)cc1 ZINC000161680941 197511273 /nfs/dbraw/zinc/51/12/73/197511273.db2.gz DLHFOUBSOINQGP-UHFFFAOYSA-N -1 1 315.251 1.378 20 0 DDADMM COc1cc(OC)cc(-c2nnc(SCc3nn[n-]n3)o2)c1 ZINC000267438179 206066791 /nfs/dbraw/zinc/06/67/91/206066791.db2.gz VTOUAQODFITROC-UHFFFAOYSA-N -1 1 320.334 1.559 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCCC2(C)C)o1 ZINC000267523143 206123967 /nfs/dbraw/zinc/12/39/67/206123967.db2.gz MWJGCOZDYAKKAY-SNVBAGLBSA-N -1 1 301.364 1.923 20 0 DDADMM CNC(=O)c1ccc(Cl)c([N-]S(=O)(=O)c2cn[nH]c2)c1 ZINC000040827351 183138031 /nfs/dbraw/zinc/13/80/31/183138031.db2.gz XGKWZYZZABPQFI-UHFFFAOYSA-N -1 1 314.754 1.224 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCC[C@@H]1C[C@@H](C)O ZINC000336847290 249252999 /nfs/dbraw/zinc/25/29/99/249252999.db2.gz UYBMLNWFXFWKSR-PSASIEDQSA-N -1 1 311.407 1.588 20 0 DDADMM Cn1cc(S(=O)(=O)Nc2ccc3c(c2)COC3)cc1C(=O)[O-] ZINC000336864671 249273129 /nfs/dbraw/zinc/27/31/29/249273129.db2.gz KVYBAFFTQUOJPJ-UHFFFAOYSA-N -1 1 322.342 1.554 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1[C@H](C)[C@@H]1c1ccccc1 ZINC000337126383 249357325 /nfs/dbraw/zinc/35/73/25/249357325.db2.gz FVZSYUIRSIFNPR-OASPWFOLSA-N -1 1 312.373 1.488 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1Cc2ccccc2S1 ZINC000337142974 249363743 /nfs/dbraw/zinc/36/37/43/249363743.db2.gz XTJCAQYVTGIMQI-LLVKDONJSA-N -1 1 316.386 1.155 20 0 DDADMM Cn1cc(CC[N-]S(=O)(=O)c2cc(F)ccc2F)cn1 ZINC000042745378 183304292 /nfs/dbraw/zinc/30/42/92/183304292.db2.gz CGPROLZOLHUBTI-UHFFFAOYSA-N -1 1 301.318 1.219 20 0 DDADMM COCCOc1cccc(/C=C/c2cc(=O)n3[n-]cnc3n2)c1 ZINC000352035038 284727460 /nfs/dbraw/zinc/72/74/60/284727460.db2.gz LFDPWAWFGYJNQA-AATRIKPKSA-N -1 1 312.329 1.613 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C(=O)OC)c(CC)o1)c1nn[n-]n1 ZINC000338572912 250036512 /nfs/dbraw/zinc/03/65/12/250036512.db2.gz FURGJOAPAZQTIC-SECBINFHSA-N -1 1 321.337 1.413 20 0 DDADMM CCOC(=O)CNC(=O)c1cc(Cl)c([O-])c(OCC)c1 ZINC000273925401 211180191 /nfs/dbraw/zinc/18/01/91/211180191.db2.gz GRWHIZXOAOMEJH-UHFFFAOYSA-N -1 1 301.726 1.737 20 0 DDADMM NC(=O)[C@H]1CCCCC[C@@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338931218 250203327 /nfs/dbraw/zinc/20/33/27/250203327.db2.gz HBLDHTDKCZDDJR-WPRPVWTQSA-N -1 1 320.411 1.600 20 0 DDADMM CCc1nnsc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339194707 250337808 /nfs/dbraw/zinc/33/78/08/250337808.db2.gz XJZFXSDIWRCYMO-UHFFFAOYSA-N -1 1 309.351 1.209 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCS(=O)(=O)N(C)C)n1 ZINC000285334964 218385207 /nfs/dbraw/zinc/38/52/07/218385207.db2.gz YLIKPFOANQZTAH-UHFFFAOYSA-N -1 1 305.425 1.118 20 0 DDADMM COCC1(NC(=O)Cc2ccc([O-])c(Cl)c2)CCOCC1 ZINC000633194468 422798543 /nfs/dbraw/zinc/79/85/43/422798543.db2.gz NXUUMHXULWBFCP-UHFFFAOYSA-N -1 1 313.781 1.900 20 0 DDADMM Cc1c(F)cc(C(=O)[O-])cc1S(=O)(=O)N(C)CCN(C)C ZINC000111133143 194394632 /nfs/dbraw/zinc/39/46/32/194394632.db2.gz VVPLVZMTONRFPV-UHFFFAOYSA-N -1 1 318.370 1.014 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H](C)CCCO)c(=O)[n-]1 ZINC000340538100 251103650 /nfs/dbraw/zinc/10/36/50/251103650.db2.gz XXBFRBJKFBULLG-SECBINFHSA-N -1 1 313.423 1.422 20 0 DDADMM COc1ccc([C@H](CN(C)C)NC(=O)N=c2[n-]ncs2)cc1 ZINC000340626003 251142368 /nfs/dbraw/zinc/14/23/68/251142368.db2.gz CPTYZBJIMDESFP-LBPRGKRZSA-N -1 1 321.406 1.393 20 0 DDADMM O=C([O-])[C@@]1(NCc2ccc(F)cc2Br)CCOC1 ZINC000340676799 251162528 /nfs/dbraw/zinc/16/25/28/251162528.db2.gz ICXYGXXTRUFZBK-GFCCVEGCSA-N -1 1 318.142 1.922 20 0 DDADMM O=S(=O)([N-]CCC1CC(O)C1)c1cc(Cl)ccc1F ZINC000340870747 251253656 /nfs/dbraw/zinc/25/36/56/251253656.db2.gz CEGKIFJCIGMYNX-UHFFFAOYSA-N -1 1 307.774 1.918 20 0 DDADMM C[C@]1(C2CC2)NC(=O)N(CC(=O)Nc2ccc(F)cc2[O-])C1=O ZINC000269083473 207229740 /nfs/dbraw/zinc/22/97/40/207229740.db2.gz XBISPHCGFZBODU-OAHLLOKOSA-N -1 1 321.308 1.190 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCC[C@H]2OC)c1 ZINC000341191130 251434448 /nfs/dbraw/zinc/43/44/48/251434448.db2.gz NLOIYOYBBFEQCO-MWLCHTKSSA-N -1 1 317.363 1.160 20 0 DDADMM O=C(Nc1cccc2cccnc21)c1cc(=O)n2[n-]cnc2n1 ZINC000352433868 285047841 /nfs/dbraw/zinc/04/78/41/285047841.db2.gz YQRSSNGEJAAYRH-UHFFFAOYSA-N -1 1 306.285 1.218 20 0 DDADMM O=C(Nc1ccc(-c2cnco2)cc1)c1cc(=O)n2[n-]cnc2n1 ZINC000352433530 285047871 /nfs/dbraw/zinc/04/78/71/285047871.db2.gz VSKKGXRVQGKZFQ-UHFFFAOYSA-N -1 1 322.284 1.325 20 0 DDADMM CS[C@H](CO)[C@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000119973554 195073178 /nfs/dbraw/zinc/07/31/78/195073178.db2.gz PFPUVUYEQKYMGG-IONNQARKSA-N -1 1 311.375 1.356 20 0 DDADMM CCCC[C@@H](COC)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000270044304 208099135 /nfs/dbraw/zinc/09/91/35/208099135.db2.gz STXHETQKGPIVSH-NSHDSACASA-N -1 1 319.379 1.550 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2c3c(nn2C)CCC3)c1 ZINC000270015497 208069641 /nfs/dbraw/zinc/06/96/41/208069641.db2.gz BZGUUPMKPQLWHU-UHFFFAOYSA-N -1 1 314.345 1.825 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)Cc2ccc(C)cc2)co1 ZINC000158924883 197311291 /nfs/dbraw/zinc/31/12/91/197311291.db2.gz GYGPTOMTVWWEBB-UHFFFAOYSA-N -1 1 322.386 1.768 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCCOC(C)C)o1 ZINC000272891981 210281890 /nfs/dbraw/zinc/28/18/90/210281890.db2.gz YBFDPRGFXGCYHT-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCCC[C@@H]2C)co1 ZINC000158286717 197260116 /nfs/dbraw/zinc/26/01/16/197260116.db2.gz GPVDIZUWRKSBOJ-GXSJLCMTSA-N -1 1 300.380 1.496 20 0 DDADMM CO[C@H](C)c1nc(=NC2CCN(C(=O)C3CC3)CC2)s[n-]1 ZINC000328790883 533119802 /nfs/dbraw/zinc/11/98/02/533119802.db2.gz LPFGUUNMDHDPLD-SECBINFHSA-N -1 1 310.423 1.480 20 0 DDADMM CCC(C)(C)[C@@H]1CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352678119 285218941 /nfs/dbraw/zinc/21/89/41/285218941.db2.gz XGKVIHZORYVUEC-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)[C@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1cccnc1 ZINC000568163662 304269869 /nfs/dbraw/zinc/26/98/69/304269869.db2.gz LQIUXJKKDXIIGL-KGLIPLIRSA-N -1 1 314.393 1.741 20 0 DDADMM O=c1cc(C(F)F)nc(SCc2nnnn2C2CC2)[n-]1 ZINC000289580988 221081700 /nfs/dbraw/zinc/08/17/00/221081700.db2.gz RFRZYZOAFFRUIF-UHFFFAOYSA-N -1 1 300.294 1.734 20 0 DDADMM CC(C)(C)N1C[C@H](NC(=O)c2c(F)ccc([O-])c2F)CC1=O ZINC000289617978 221107210 /nfs/dbraw/zinc/10/72/10/221107210.db2.gz LLKMZCMWPSAGFD-MRVPVSSYSA-N -1 1 312.316 1.800 20 0 DDADMM Cc1cc(F)ccc1CN[C@H]1CCN([C@@H](C(=O)[O-])C(C)C)C1=O ZINC000414655546 224368654 /nfs/dbraw/zinc/36/86/54/224368654.db2.gz JTCHMKHFTBRXKZ-LSDHHAIUSA-N -1 1 322.380 1.934 20 0 DDADMM CSCC[C@@H](CO)[N-]S(=O)(=O)c1sccc1Cl ZINC000451328850 533600901 /nfs/dbraw/zinc/60/09/01/533600901.db2.gz WAWXCPVYKFPKOV-ZETCQYMHSA-N -1 1 315.869 1.794 20 0 DDADMM CCc1cc(=O)[nH]c(C[N@H+]2CCC[C@@](COC)(C(=O)[O-])C2)n1 ZINC000569012451 304330486 /nfs/dbraw/zinc/33/04/86/304330486.db2.gz CCWPVHMKRYISIC-OAHLLOKOSA-N -1 1 309.366 1.058 20 0 DDADMM CCc1cc(=O)[nH]c(CN2CCC[C@@](COC)(C(=O)[O-])C2)n1 ZINC000569012451 304330487 /nfs/dbraw/zinc/33/04/87/304330487.db2.gz CCWPVHMKRYISIC-OAHLLOKOSA-N -1 1 309.366 1.058 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+]2CCC[C@@](COC)(C(=O)[O-])C2)n1 ZINC000569012451 304330489 /nfs/dbraw/zinc/33/04/89/304330489.db2.gz CCWPVHMKRYISIC-OAHLLOKOSA-N -1 1 309.366 1.058 20 0 DDADMM COCC1([N-]S(=O)(=O)c2nc(C)c(C)s2)CCCC1 ZINC000569940473 304386417 /nfs/dbraw/zinc/38/64/17/304386417.db2.gz BBXWTUFFRQJERW-UHFFFAOYSA-N -1 1 304.437 1.997 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H]1c1ccccc1 ZINC000579785323 422828641 /nfs/dbraw/zinc/82/86/41/422828641.db2.gz AXTJOBRYWNTBNC-ZJUUUORDSA-N -1 1 301.302 1.182 20 0 DDADMM Cc1nc([C@@](C)([N-]S(=O)(=O)Cc2ccon2)C2CC2)no1 ZINC000570026944 304390275 /nfs/dbraw/zinc/39/02/75/304390275.db2.gz ROTODZXRGQKKRJ-LBPRGKRZSA-N -1 1 312.351 1.111 20 0 DDADMM Cc1nc([C@](C)([N-]S(=O)(=O)Cc2ccon2)C2CC2)no1 ZINC000570026943 304390428 /nfs/dbraw/zinc/39/04/28/304390428.db2.gz ROTODZXRGQKKRJ-GFCCVEGCSA-N -1 1 312.351 1.111 20 0 DDADMM C[C@]1(c2ccccc2)C[C@@H]1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000570159136 304399172 /nfs/dbraw/zinc/39/91/72/304399172.db2.gz UNYKIENQJKBZBA-SUYBPPKGSA-N -1 1 311.389 1.884 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2c(C)noc2C)ccc1O ZINC000178574624 306689378 /nfs/dbraw/zinc/68/93/78/306689378.db2.gz ZUTBISIXVRQVAH-UHFFFAOYSA-N -1 1 312.347 1.484 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCc2ccc(C)o2)o1 ZINC000029471695 406923396 /nfs/dbraw/zinc/92/33/96/406923396.db2.gz OWAGIEFQMRZSJK-UHFFFAOYSA-N -1 1 312.347 1.062 20 0 DDADMM O=C(NC1CCS(=O)(=O)CC1)c1cc(Cl)ccc1[O-] ZINC000036332193 406979704 /nfs/dbraw/zinc/97/97/04/406979704.db2.gz HNNMMCYJQQNLDY-UHFFFAOYSA-N -1 1 303.767 1.353 20 0 DDADMM COc1ccc(F)cc1CN1CCN(c2nc(=N)[n-]s2)CC1 ZINC000361177791 299814205 /nfs/dbraw/zinc/81/42/05/299814205.db2.gz JZLHXKWLHQVLCE-UHFFFAOYSA-N -1 1 323.397 1.421 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCSCC2)c(=O)[n-]1 ZINC000048791003 407108017 /nfs/dbraw/zinc/10/80/17/407108017.db2.gz ROJZYINUBXPPDF-UHFFFAOYSA-N -1 1 313.448 1.308 20 0 DDADMM C[C@H](C(=O)[N-]OCC(N)=O)c1ccc(Br)cc1 ZINC000089462543 407136026 /nfs/dbraw/zinc/13/60/26/407136026.db2.gz ARTNCQKMEIXGNO-ZETCQYMHSA-N -1 1 301.140 1.086 20 0 DDADMM O=C(/N=c1\nc(-c2ccccn2)[nH][n-]1)c1scnc1C1CC1 ZINC000089752322 407146837 /nfs/dbraw/zinc/14/68/37/407146837.db2.gz WUNUDAXDPFESSU-UHFFFAOYSA-N -1 1 312.358 1.875 20 0 DDADMM CCc1nn(C)cc1NS(=O)(=O)c1cc(C(=O)[O-])oc1C ZINC000091021701 407174260 /nfs/dbraw/zinc/17/42/60/407174260.db2.gz XLESUNYMPIZIMR-UHFFFAOYSA-N -1 1 313.335 1.383 20 0 DDADMM CCN(C)CCNS(=O)(=O)c1cc(C(=O)[O-])ccc1Cl ZINC000054127454 407179565 /nfs/dbraw/zinc/17/95/65/407179565.db2.gz RFVOYQGGVPGUKM-UHFFFAOYSA-N -1 1 320.798 1.268 20 0 DDADMM O=S(=O)([N-]c1ccc(Cc2nn[nH]n2)cc1)c1ccccc1 ZINC000122921197 407314523 /nfs/dbraw/zinc/31/45/23/407314523.db2.gz XSQLHQJPJANYMK-UHFFFAOYSA-N -1 1 315.358 1.591 20 0 DDADMM COc1ccc([C@@H](C)CC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000124584432 407362964 /nfs/dbraw/zinc/36/29/64/407362964.db2.gz GEKHKEWXSVCXOH-RYUDHWBXSA-N -1 1 317.393 1.964 20 0 DDADMM Cc1noc(C)c1CCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000107325162 407372791 /nfs/dbraw/zinc/37/27/91/407372791.db2.gz DAJLRQQVEYEEGR-UHFFFAOYSA-N -1 1 312.329 1.500 20 0 DDADMM O=C(NCCCNC(=O)c1ncccc1[O-])c1ccc(F)cc1 ZINC000111628532 407412801 /nfs/dbraw/zinc/41/28/01/407412801.db2.gz JCMPGFADQHBOEJ-UHFFFAOYSA-N -1 1 317.320 1.476 20 0 DDADMM CC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000126757185 407420960 /nfs/dbraw/zinc/42/09/60/407420960.db2.gz IDYQCVZOKGEBGJ-MRVPVSSYSA-N -1 1 322.308 1.003 20 0 DDADMM CCCCCNC(=O)[C@H](C)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127178613 407430103 /nfs/dbraw/zinc/43/01/03/407430103.db2.gz HOYMDVWFHKONOS-VIFPVBQESA-N -1 1 314.411 1.151 20 0 DDADMM CCC(C)(C)NC(=O)[C@H](C)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127210741 407430759 /nfs/dbraw/zinc/43/07/59/407430759.db2.gz BRBFHMPSXCULJE-QMMMGPOBSA-N -1 1 314.411 1.149 20 0 DDADMM CCC[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000113421569 407504154 /nfs/dbraw/zinc/50/41/54/407504154.db2.gz DNQRUAANMQUCJJ-NSHDSACASA-N -1 1 322.390 1.731 20 0 DDADMM CCC[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000113421569 407504160 /nfs/dbraw/zinc/50/41/60/407504160.db2.gz DNQRUAANMQUCJJ-NSHDSACASA-N -1 1 322.390 1.731 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCC[C@@H](CO)C1 ZINC000218109830 407511440 /nfs/dbraw/zinc/51/14/40/407511440.db2.gz OVNLIVJNFBMTBZ-SECBINFHSA-N -1 1 314.179 1.999 20 0 DDADMM Cc1ccc(C(=O)NC[C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)c(O)c1 ZINC000128521335 407544474 /nfs/dbraw/zinc/54/44/74/407544474.db2.gz UWHBJDGPQQPTTE-ZIAGYGMSSA-N -1 1 304.390 1.684 20 0 DDADMM C[C@@H]1CCc2ccccc2N1C(=O)CN1CC[C@H](C(=O)[O-])C1 ZINC000178894775 407641985 /nfs/dbraw/zinc/64/19/85/407641985.db2.gz INHUJCIIQXOXEB-OCCSQVGLSA-N -1 1 302.374 1.761 20 0 DDADMM CN1C(=O)C[C@@H](NC(=O)c2cnn[nH]2)[C@H]1c1ccc(Cl)cc1 ZINC000130036895 407646021 /nfs/dbraw/zinc/64/60/21/407646021.db2.gz RHJOLPBCBJQFPK-ZWNOBZJWSA-N -1 1 319.752 1.160 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(C)(CC)CC ZINC000228538569 407646631 /nfs/dbraw/zinc/64/66/31/407646631.db2.gz REHCPLWEOWNRCD-UHFFFAOYSA-N -1 1 303.384 1.443 20 0 DDADMM O=C(NC[C@@]1(O)CCOC1)c1ccc(Br)c([O-])c1 ZINC000179300507 407767815 /nfs/dbraw/zinc/76/78/15/407767815.db2.gz RBXOQQJWXJZNLR-LBPRGKRZSA-N -1 1 316.151 1.036 20 0 DDADMM C[C@@H](C[NH+]1CCCCC1)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179707976 407827193 /nfs/dbraw/zinc/82/71/93/407827193.db2.gz CLQZYJQDYZJUNG-NSHDSACASA-N -1 1 317.393 1.278 20 0 DDADMM CC(C)OCc1ccc(CNC(=O)CN(C)CCC(=O)[O-])cc1 ZINC000262609042 407879929 /nfs/dbraw/zinc/87/99/29/407879929.db2.gz BMCPIXLIJNHIKU-UHFFFAOYSA-N -1 1 322.405 1.634 20 0 DDADMM COCc1ccccc1CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000262657523 407892657 /nfs/dbraw/zinc/89/26/57/407892657.db2.gz KVAYFGCURQIRAP-CQSZACIVSA-N -1 1 320.389 1.246 20 0 DDADMM COCc1ccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000262665115 407893501 /nfs/dbraw/zinc/89/35/01/407893501.db2.gz NABJSOSUSNGDIJ-OAHLLOKOSA-N -1 1 320.389 1.246 20 0 DDADMM C[C@@H](C(=O)N1CCc2ccccc21)N1CCC(C(=O)[O-])CC1 ZINC000262684695 407902291 /nfs/dbraw/zinc/90/22/91/407902291.db2.gz XHXMGQYNDOHIBD-LBPRGKRZSA-N -1 1 302.374 1.761 20 0 DDADMM CC(=O)N1CCN(Cc2cc(=O)oc3cc([O-])ccc23)[C@@H](C)C1 ZINC000272272344 407844748 /nfs/dbraw/zinc/84/47/48/407844748.db2.gz NIQXMOSYXKFXDK-NSHDSACASA-N -1 1 316.357 1.551 20 0 DDADMM COc1cc(C(=O)N[C@H]2CCCCNC2=O)cc(Cl)c1[O-] ZINC000134937889 407956665 /nfs/dbraw/zinc/95/66/65/407956665.db2.gz ROWRLTBKEOSSJR-JTQLQIEISA-N -1 1 312.753 1.453 20 0 DDADMM CCC[C@H](NC(=O)c1cnc2cc(C)nn2c1C)c1nn[n-]n1 ZINC000268229020 407959908 /nfs/dbraw/zinc/95/99/08/407959908.db2.gz RURXEXSNAKBZOA-NSHDSACASA-N -1 1 314.353 1.130 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C)nc2n[nH]c(C)c21)c1nn[n-]n1 ZINC000268241608 407966023 /nfs/dbraw/zinc/96/60/23/407966023.db2.gz UZONDKDAICCARE-JTQLQIEISA-N -1 1 314.353 1.359 20 0 DDADMM O=C(N[C@H](C(=O)Nc1nnn[n-]1)c1ccccc1)c1ccccc1 ZINC000118685892 407935152 /nfs/dbraw/zinc/93/51/52/407935152.db2.gz PZWPJWPOPLPLJR-ZDUSSCGKSA-N -1 1 322.328 1.310 20 0 DDADMM O=C(N[C@H](C(=O)Nc1nn[n-]n1)c1ccccc1)c1ccccc1 ZINC000118685892 407935155 /nfs/dbraw/zinc/93/51/55/407935155.db2.gz PZWPJWPOPLPLJR-ZDUSSCGKSA-N -1 1 322.328 1.310 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)NC(C)(C)CF ZINC000354367751 298413314 /nfs/dbraw/zinc/41/33/14/298413314.db2.gz SGIAVDRHZHYONZ-UHFFFAOYSA-N -1 1 319.340 1.354 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1cc(F)cc(F)c1)c2=O ZINC000181774516 408039767 /nfs/dbraw/zinc/03/97/67/408039767.db2.gz RTBUOMPULGFKIB-UHFFFAOYSA-N -1 1 318.283 1.882 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCN(c2ccccc2O)CC1 ZINC000189521614 408042040 /nfs/dbraw/zinc/04/20/40/408042040.db2.gz YDLLILCJAKVWBL-UHFFFAOYSA-N -1 1 323.356 1.626 20 0 DDADMM COC(=O)CN(CCc1ccccc1)C(=O)c1cncc([O-])c1 ZINC000181928970 408052944 /nfs/dbraw/zinc/05/29/44/408052944.db2.gz ZSGSIXULYGMEJN-UHFFFAOYSA-N -1 1 314.341 1.645 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc(F)c(Cl)c2)n1 ZINC000136248125 408088913 /nfs/dbraw/zinc/08/89/13/408088913.db2.gz MMPMPFCOLMCJNW-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2ccc(F)c(Cl)c2)[n-]1 ZINC000136248125 408088920 /nfs/dbraw/zinc/08/89/20/408088920.db2.gz MMPMPFCOLMCJNW-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCC(=O)c1cccs1)c2=O ZINC000182318206 408125589 /nfs/dbraw/zinc/12/55/89/408125589.db2.gz POLSVLDVDRBDFA-UHFFFAOYSA-N -1 1 316.342 1.348 20 0 DDADMM C[C@@H](C(=O)N1C[C@H](C)C[C@H](C)C1)[N@@H+]1C[C@H](C(=O)[O-])C[C@H](C)C1 ZINC000263327481 408106482 /nfs/dbraw/zinc/10/64/82/408106482.db2.gz KVJAXFMEKWZGCP-AIEDFZFUSA-N -1 1 310.438 1.922 20 0 DDADMM CCn1ccnc1[C@H]1OCCC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000190116997 408167608 /nfs/dbraw/zinc/16/76/08/408167608.db2.gz HGIVTMDFNWMOJF-FZMZJTMJSA-N -1 1 316.361 1.654 20 0 DDADMM O=C(/C=C/SCc1ccco1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155494508 408174396 /nfs/dbraw/zinc/17/43/96/408174396.db2.gz CKBNSPZWORMWEG-BWNDKRECSA-N -1 1 319.390 1.946 20 0 DDADMM CCN(CC(F)F)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000182488298 408177979 /nfs/dbraw/zinc/17/79/79/408177979.db2.gz SYUYYUCMGMXVRL-UHFFFAOYSA-N -1 1 312.320 1.296 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1F ZINC000155577443 408182814 /nfs/dbraw/zinc/18/28/14/408182814.db2.gz SKDYMKWUKQHPOP-VIFPVBQESA-N -1 1 305.313 1.367 20 0 DDADMM CC[C@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CCO1 ZINC000175979418 408255898 /nfs/dbraw/zinc/25/58/98/408255898.db2.gz KYLLIEDDTHRGIF-NSHDSACASA-N -1 1 307.394 1.627 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(-n2ccnc2)cc1)c1nn[n-]n1 ZINC000273448958 408204778 /nfs/dbraw/zinc/20/47/78/408204778.db2.gz CIIJXGDFAVDCAS-LLVKDONJSA-N -1 1 311.349 1.261 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1C[C@H]1c1ccc(F)cc1)c1nn[n-]n1 ZINC000273535342 408236952 /nfs/dbraw/zinc/23/69/52/408236952.db2.gz RWOAPBSHOSYPQQ-ICCXJUOJSA-N -1 1 303.341 1.704 20 0 DDADMM CS[C@@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1ccccc1 ZINC000176256045 408324142 /nfs/dbraw/zinc/32/41/42/408324142.db2.gz ZUJKHLRODQBJJP-GFCCVEGCSA-N -1 1 306.391 1.251 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000182869008 408271875 /nfs/dbraw/zinc/27/18/75/408271875.db2.gz CFDQUYAEGCRYET-QMMMGPOBSA-N -1 1 320.736 1.760 20 0 DDADMM NC(=O)NC1CCN(C(=O)c2ccc3ccccc3c2[O-])CC1 ZINC000176056751 408276601 /nfs/dbraw/zinc/27/66/01/408276601.db2.gz JYCYFUFRXBZUKP-UHFFFAOYSA-N -1 1 313.357 1.818 20 0 DDADMM CC1(C)COCCN1CCNC(=O)c1cc(Cl)ccc1[O-] ZINC000170877721 162196912 /nfs/dbraw/zinc/19/69/12/162196912.db2.gz GRZCXCADENZVKE-UHFFFAOYSA-N -1 1 312.797 1.886 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1cnc(C(C)(C)C)nc1 ZINC000176466500 408373474 /nfs/dbraw/zinc/37/34/74/408373474.db2.gz RJVQFYRXHLJKBA-UHFFFAOYSA-N -1 1 301.412 1.941 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1C[C@@H]1c1c(F)cccc1F)c1nn[n-]n1 ZINC000183422019 408407953 /nfs/dbraw/zinc/40/79/53/408407953.db2.gz WTUZKVKGFBXORV-UTLUCORTSA-N -1 1 321.331 1.844 20 0 DDADMM CC[N@@H+](CCO)CCNS(=O)(=O)c1cc(F)cc(Cl)c1 ZINC000274459228 408413102 /nfs/dbraw/zinc/41/31/02/408413102.db2.gz XEBNETUABDTKDA-UHFFFAOYSA-N -1 1 324.805 1.072 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCS[C@@H](C)CC2)o1 ZINC000191399157 408420906 /nfs/dbraw/zinc/42/09/06/408420906.db2.gz ITTKGDCGLFKKGA-VIFPVBQESA-N -1 1 318.420 1.155 20 0 DDADMM C[C@@H]1CCSCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000191499063 408434498 /nfs/dbraw/zinc/43/44/98/408434498.db2.gz FWAJONZXLCUVGR-SNVBAGLBSA-N -1 1 303.387 1.891 20 0 DDADMM CO[C@@](C)(C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccccc1 ZINC000274782569 408529215 /nfs/dbraw/zinc/52/92/15/408529215.db2.gz ODEPLYGLGZTPRL-IAQYHMDHSA-N -1 1 303.366 1.323 20 0 DDADMM CCc1c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cnn1C(C)(C)C ZINC000274779159 408529468 /nfs/dbraw/zinc/52/94/68/408529468.db2.gz NRAIYAJLYULPTO-SNVBAGLBSA-N -1 1 319.413 1.589 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(-c2ccccc2)on1)c1nn[n-]n1 ZINC000274808779 408539787 /nfs/dbraw/zinc/53/97/87/408539787.db2.gz UZPHHRPNGDHUSN-JTQLQIEISA-N -1 1 312.333 1.730 20 0 DDADMM C[C@H](O)C[C@H]1COCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000184701386 408671689 /nfs/dbraw/zinc/67/16/89/408671689.db2.gz KHTNNAWJEBFBMZ-AAEUAGOBSA-N -1 1 319.357 1.470 20 0 DDADMM C[C@H](NCc1cc(=O)oc2cc([O-])ccc12)c1ncn(C)n1 ZINC000275649806 408632530 /nfs/dbraw/zinc/63/25/30/408632530.db2.gz WYGQZNXUPLSEHE-VIFPVBQESA-N -1 1 300.318 1.478 20 0 DDADMM CCOC(=O)c1csc([N-]c2ncnc3nn(C)cc32)n1 ZINC000163091413 408759078 /nfs/dbraw/zinc/75/90/78/408759078.db2.gz YKFAXBLRXJJMQG-UHFFFAOYSA-N -1 1 304.335 1.740 20 0 DDADMM COC(=O)CCCc1nnc([N-]C(=O)c2cncs2)s1 ZINC000265752508 408769601 /nfs/dbraw/zinc/76/96/01/408769601.db2.gz YYQUUYIUJJBHDY-UHFFFAOYSA-N -1 1 312.376 1.743 20 0 DDADMM O=S(=O)([N-]CCF)c1ccc(Br)cc1F ZINC000280776451 408831490 /nfs/dbraw/zinc/83/14/90/408831490.db2.gz OXVZLNNWUYUNJQ-UHFFFAOYSA-N -1 1 300.124 1.836 20 0 DDADMM CCS(=O)(=O)NCCSc1nc(C2CC2)cc(=O)[n-]1 ZINC000276349288 408840607 /nfs/dbraw/zinc/84/06/07/408840607.db2.gz NNLBDJAUPQFKRU-UHFFFAOYSA-N -1 1 303.409 1.091 20 0 DDADMM O=C([O-])NCC(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000285720419 408850725 /nfs/dbraw/zinc/85/07/25/408850725.db2.gz QDAQAYVPFLEKAY-UHFFFAOYSA-N -1 1 309.391 1.442 20 0 DDADMM COC(=O)[C@]1(C(F)(F)F)CCN(C(=O)c2cncc([O-])c2)C1 ZINC000286233484 408946451 /nfs/dbraw/zinc/94/64/51/408946451.db2.gz HSAZZMJQKNGFBF-LBPRGKRZSA-N -1 1 318.251 1.355 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2sc(C)nc2C)o1 ZINC000277711814 408997156 /nfs/dbraw/zinc/99/71/56/408997156.db2.gz GKEPYOQVYHNXGR-UHFFFAOYSA-N -1 1 301.393 1.789 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CCC1 ZINC000282289805 409026734 /nfs/dbraw/zinc/02/67/34/409026734.db2.gz LKLASBQNNQICRC-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM CC(C)CO[C@H]1CCN(C(=O)c2cc(F)cc3nn[nH]c32)C1 ZINC000282399824 409047652 /nfs/dbraw/zinc/04/76/52/409047652.db2.gz HMEHNBGMDXSOLM-NSHDSACASA-N -1 1 306.341 1.984 20 0 DDADMM CC[C@H]1CCCCN1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287573300 409058071 /nfs/dbraw/zinc/05/80/71/409058071.db2.gz VTKHMVLPFDVHKL-UQGHUHRHSA-N -1 1 315.377 1.071 20 0 DDADMM C[C@H](NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)[C@H]1CCCCO1 ZINC000287649187 409069873 /nfs/dbraw/zinc/06/98/73/409069873.db2.gz OZISHVCZPGOIRV-KZGMPGFESA-N -1 1 303.366 1.421 20 0 DDADMM Cn1nc([C@@H]2CCCO2)cc1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000287907496 409113109 /nfs/dbraw/zinc/11/31/09/409113109.db2.gz BPYUFEHTVPYEJJ-ZDUSSCGKSA-N -1 1 315.329 1.799 20 0 DDADMM CN1CCC([N-]S(=O)(=O)c2c(Cl)ccc(F)c2F)CC1 ZINC000283496080 409166376 /nfs/dbraw/zinc/16/63/76/409166376.db2.gz JBEWVOMQOYEBOE-UHFFFAOYSA-N -1 1 324.780 1.991 20 0 DDADMM CC[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@@H](O)C(F)(F)F ZINC000288426039 409166634 /nfs/dbraw/zinc/16/66/34/409166634.db2.gz KINMGSMRNWPYPD-MWLCHTKSSA-N -1 1 305.252 1.393 20 0 DDADMM CC(C)C(=O)NCC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000283770541 409213010 /nfs/dbraw/zinc/21/30/10/409213010.db2.gz ATJOKPZZSJHJMT-UHFFFAOYSA-N -1 1 322.789 1.530 20 0 DDADMM O=C(CN1CCCC[C@@H]1c1nc(=O)[n-][nH]1)Nc1cccc(F)c1 ZINC000289245028 409237771 /nfs/dbraw/zinc/23/77/71/409237771.db2.gz CAKVGLXJXMIGHS-GFCCVEGCSA-N -1 1 319.340 1.403 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@@H]1c1cccc(F)c1 ZINC000289276309 409240886 /nfs/dbraw/zinc/24/08/86/409240886.db2.gz DSNNXNUAQHWZFY-MNOVXSKESA-N -1 1 311.338 1.539 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cc(-c2nc[nH]n2)ccc1F ZINC000294117779 409243147 /nfs/dbraw/zinc/24/31/47/409243147.db2.gz DIXGMQCOJCAGOW-QMMMGPOBSA-N -1 1 314.342 1.387 20 0 DDADMM C[N@H+]1CCC[C@@]2(CCN(C(=O)C(=O)c3ccc(O)cc3)C2)C1 ZINC000294298826 409273771 /nfs/dbraw/zinc/27/37/71/409273771.db2.gz VRTCQKMTQFQKBE-QGZVFWFLSA-N -1 1 302.374 1.519 20 0 DDADMM O=C([C@H]1CCC(F)(F)C1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000408068254 164216077 /nfs/dbraw/zinc/21/60/77/164216077.db2.gz SEHHNYMUKQZTJL-DTWKUNHWSA-N -1 1 301.293 1.917 20 0 DDADMM Cc1nc(C)c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)nc1C ZINC000408199587 164258346 /nfs/dbraw/zinc/25/83/46/164258346.db2.gz ULXOELFTGLNLMZ-NSHDSACASA-N -1 1 317.349 1.510 20 0 DDADMM CCc1oncc1NS(=O)(=O)c1csc(C(=O)[O-])c1 ZINC000337865305 409522047 /nfs/dbraw/zinc/52/20/47/409522047.db2.gz NYXZKDNKCDEZPL-UHFFFAOYSA-N -1 1 302.333 1.798 20 0 DDADMM CN=c1[n-]nc(CC(=O)NCc2nc3cccc(C)c3[nH]2)s1 ZINC000353961446 409578488 /nfs/dbraw/zinc/57/84/88/409578488.db2.gz KHVLGIAWHYCTRM-UHFFFAOYSA-N -1 1 316.390 1.045 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)NC(C)(C)c2nn[n-]n2)c1C(C)C ZINC000338055369 409683753 /nfs/dbraw/zinc/68/37/53/409683753.db2.gz OWQNLXYCTXVWJQ-UHFFFAOYSA-N -1 1 318.381 1.827 20 0 DDADMM COc1ccc(O)c(S(=O)(=O)Nc2ccc(C(=O)[O-])cc2)c1 ZINC000342641276 409780982 /nfs/dbraw/zinc/78/09/82/409780982.db2.gz VORHFOHUZGSGJJ-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM COC(=O)c1c[n-]c(C(=O)OC)c1NC(=O)c1cc(C)no1 ZINC000354397486 409823341 /nfs/dbraw/zinc/82/33/41/409823341.db2.gz VFDMUNDPAMBGQJ-UHFFFAOYSA-N -1 1 307.262 1.137 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000357056636 409825412 /nfs/dbraw/zinc/82/54/12/409825412.db2.gz XMLFKVTYCPTOSU-JGVFFNPUSA-N -1 1 303.288 1.674 20 0 DDADMM Cn1cc(S(=O)(=O)Nc2ccc3c[nH]nc3c2)cc1C(=O)[O-] ZINC000315376780 409838336 /nfs/dbraw/zinc/83/83/36/409838336.db2.gz DCQBUXYBKBKRMI-UHFFFAOYSA-N -1 1 320.330 1.400 20 0 DDADMM C[C@H](Oc1ccc(F)c(F)c1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357080125 409851128 /nfs/dbraw/zinc/85/11/28/409851128.db2.gz SCRJAHWQFNDDBO-ZETCQYMHSA-N -1 1 309.276 1.051 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCc2ccccc2C1(C)C ZINC000331937628 409799059 /nfs/dbraw/zinc/79/90/59/409799059.db2.gz HBFURZFEPRPZPE-UHFFFAOYSA-N -1 1 321.402 1.878 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCCO[C@@H]2c2cnn(C)c2)c([O-])c1 ZINC000332076226 409854639 /nfs/dbraw/zinc/85/46/39/409854639.db2.gz ZDNGLKBYSKKBRK-SWLSCSKDSA-N -1 1 316.361 1.479 20 0 DDADMM CCc1nnc([C@@H](C)NC(=O)c2nc3ccccc3c(=O)[n-]2)[nH]1 ZINC000342736494 409861368 /nfs/dbraw/zinc/86/13/68/409861368.db2.gz XRZRFKWJPAKXPK-MRVPVSSYSA-N -1 1 312.333 1.095 20 0 DDADMM Cc1cnc(C(=O)N2CCCC[C@H](S(C)(=O)=O)C2)c([O-])c1 ZINC000332195772 409968077 /nfs/dbraw/zinc/96/80/77/409968077.db2.gz AOOQZBLZVXLVMN-NSHDSACASA-N -1 1 312.391 1.135 20 0 DDADMM O=C(c1c([O-])cnc2ccc(Cl)cc21)N1CCS(=O)CC1 ZINC000338383742 409951082 /nfs/dbraw/zinc/95/10/82/409951082.db2.gz GWMDPYPQRFRSFD-UHFFFAOYSA-N -1 1 324.789 1.798 20 0 DDADMM C[C@@H](CC(=O)Nc1nn[nH]c1C(=O)NC1CC1)c1ccccc1 ZINC000297814909 410011282 /nfs/dbraw/zinc/01/12/82/410011282.db2.gz UIGGBUGEUALBJI-JTQLQIEISA-N -1 1 313.361 1.829 20 0 DDADMM Cc1cnc(C(=O)N(C)Cc2cn3c(n2)CCCC3)c([O-])c1 ZINC000332281303 410037992 /nfs/dbraw/zinc/03/79/92/410037992.db2.gz CDNQYQCUAZDJDC-UHFFFAOYSA-N -1 1 300.362 1.901 20 0 DDADMM NC(=O)c1cccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332319141 410064297 /nfs/dbraw/zinc/06/42/97/410064297.db2.gz KZEUDZLKKAZJNQ-LLVKDONJSA-N -1 1 316.317 1.678 20 0 DDADMM CO[C@H]1CCC[C@@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000332424115 410146572 /nfs/dbraw/zinc/14/65/72/410146572.db2.gz BCPUPLDSXYVPAY-NEPJUHHUSA-N -1 1 309.366 1.686 20 0 DDADMM Cn1cncc1[C@@H]1C[C@@H](NC(=O)c2ccc([O-])cc2F)CCO1 ZINC000332422397 410146582 /nfs/dbraw/zinc/14/65/82/410146582.db2.gz OGEAAZHBLABCOQ-BONVTDFDSA-N -1 1 319.336 1.915 20 0 DDADMM COc1ccc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1 ZINC000332415168 410140844 /nfs/dbraw/zinc/14/08/44/410140844.db2.gz UBGAYSBIDUXMKO-GFCCVEGCSA-N -1 1 317.345 1.733 20 0 DDADMM O=C1NC(=O)N2CC[N@H+](Cc3cc(-c4ccccc4)n[nH]3)C[C@H]12 ZINC000329281484 410210967 /nfs/dbraw/zinc/21/09/67/410210967.db2.gz MNMFWMLSLHTXTK-CQSZACIVSA-N -1 1 311.345 1.653 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)[C@H](C)[C@H]2C)o1 ZINC000332724548 410238432 /nfs/dbraw/zinc/23/84/32/410238432.db2.gz SAWQJYUBKYMUGC-LPEHRKFASA-N -1 1 300.380 1.304 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)nc1 ZINC000351846052 410282458 /nfs/dbraw/zinc/28/24/58/410282458.db2.gz ZJBJDNYVYCBIRJ-UHFFFAOYSA-N -1 1 317.349 1.256 20 0 DDADMM COCc1nc(=N[C@H]2CCOC3(CCSCC3)C2)s[n-]1 ZINC000333207724 410420476 /nfs/dbraw/zinc/42/04/76/410420476.db2.gz CDPNSLDIMXZWTE-JTQLQIEISA-N -1 1 315.464 1.963 20 0 DDADMM C[C@@H](NCc1nc(=O)n(C)[n-]1)c1nc(C2CCCCC2)no1 ZINC000329683707 410414137 /nfs/dbraw/zinc/41/41/37/410414137.db2.gz TYBXQWJSVGGMCC-SECBINFHSA-N -1 1 306.370 1.390 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3OCC[C@H]32)sc1C ZINC000333276700 410458123 /nfs/dbraw/zinc/45/81/23/410458123.db2.gz OOWXMNWZBKEHRE-TUAOUCFPSA-N -1 1 316.448 1.996 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H](CO)CCC(C)(C)C)c2=O ZINC000358408465 410463727 /nfs/dbraw/zinc/46/37/27/410463727.db2.gz HNGSXTJSJQEXJP-JTQLQIEISA-N -1 1 320.393 1.591 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC/C=C\c1ccccc1 ZINC000343454465 410465004 /nfs/dbraw/zinc/46/50/04/410465004.db2.gz AKMXHPPHVBIEAY-YWEYNIOJSA-N -1 1 311.341 1.347 20 0 DDADMM Cc1cc(/C=C\c2cc(=O)n3[n-]cnc3n2)ccc1-n1cncn1 ZINC000352034589 410431942 /nfs/dbraw/zinc/43/19/42/410431942.db2.gz DXHJEIVJYTXBHO-RQOWECAXSA-N -1 1 319.328 1.477 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@@H](c2nnc3n2CCC3)C1 ZINC000339948236 410569134 /nfs/dbraw/zinc/56/91/34/410569134.db2.gz PCZCKIKGOLESMM-LLVKDONJSA-N -1 1 313.361 1.345 20 0 DDADMM CCO[C@@H]1COCC[C@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000353009557 410729978 /nfs/dbraw/zinc/72/99/78/410729978.db2.gz RRNIPJKFPXQHTG-VXGBXAGGSA-N -1 1 321.345 1.437 20 0 DDADMM CCC(CC)[C@H](C)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000330520850 410745460 /nfs/dbraw/zinc/74/54/60/410745460.db2.gz HGDQUXPKBRICBT-JTQLQIEISA-N -1 1 311.455 1.672 20 0 DDADMM CC(=O)c1sc2[n-]c(COc3nc(C)no3)nc(=O)c2c1C ZINC000337401058 410759901 /nfs/dbraw/zinc/75/99/01/410759901.db2.gz HIZSMUZMRYNRGC-UHFFFAOYSA-N -1 1 320.330 1.766 20 0 DDADMM C[C@@H](Oc1ccc(F)c(F)c1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359782022 410874999 /nfs/dbraw/zinc/87/49/99/410874999.db2.gz FSEWLEFOOIATSF-SSDOTTSWSA-N -1 1 311.292 1.297 20 0 DDADMM Cc1nn(C)c2sc(C(=O)NC(C)(C)c3nn[n-]n3)cc12 ZINC000359780384 410875840 /nfs/dbraw/zinc/87/58/40/410875840.db2.gz CEZDUHXAJGTZRX-UHFFFAOYSA-N -1 1 305.367 1.121 20 0 DDADMM O=C(Nc1nc(-c2ccccn2)n[nH]1)c1cccc2ncnn21 ZINC000359800797 410889239 /nfs/dbraw/zinc/88/92/39/410889239.db2.gz VFEZTUQDRWQBMO-UHFFFAOYSA-N -1 1 306.289 1.162 20 0 DDADMM Cc1ccc(-c2cc(C(=O)NC3(c4nn[n-]n4)CC3)[nH]n2)cc1 ZINC000348278255 410893345 /nfs/dbraw/zinc/89/33/45/410893345.db2.gz NUQHAOZZWDUDPY-UHFFFAOYSA-N -1 1 309.333 1.317 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(c2cccc(F)c2)CCC1 ZINC000348282243 410895916 /nfs/dbraw/zinc/89/59/16/410895916.db2.gz UWFUSGKMKZYVJT-UHFFFAOYSA-N -1 1 301.325 1.566 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cncc(C(F)(F)F)c2)n[n-]1 ZINC000359835960 410908566 /nfs/dbraw/zinc/90/85/66/410908566.db2.gz TZICYOKGSLWDHI-UHFFFAOYSA-N -1 1 320.296 1.755 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@]2(C)CCO[C@@H]2C)o1 ZINC000344047077 410917292 /nfs/dbraw/zinc/91/72/92/410917292.db2.gz KKAYOZBGDLQJMC-NOZJJQNGSA-N -1 1 317.363 1.302 20 0 DDADMM CO[C@@H](C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C)C(C)C ZINC000353308715 410915288 /nfs/dbraw/zinc/91/52/88/410915288.db2.gz BSFAMIFPUMWDSZ-CQSZACIVSA-N -1 1 306.366 1.339 20 0 DDADMM CCc1cnc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)o1 ZINC000356280976 410941242 /nfs/dbraw/zinc/94/12/42/410941242.db2.gz LTKYUZYJKKACGT-UHFFFAOYSA-N -1 1 301.306 1.154 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ncc(C)cc2[O-])CCN1CCOC ZINC000331152823 410982965 /nfs/dbraw/zinc/98/29/65/410982965.db2.gz AVKCAWVZMFMLHS-CYBMUJFWSA-N -1 1 307.394 1.278 20 0 DDADMM Cn1cc(Oc2ccccc2C(=O)N=c2ccc([O-])n[nH]2)cn1 ZINC000337754365 410984884 /nfs/dbraw/zinc/98/48/84/410984884.db2.gz ATLIWSVVSBXTJU-UHFFFAOYSA-N -1 1 311.301 1.382 20 0 DDADMM Cn1cc(Oc2ccccc2C(=O)N=c2ccc(O)n[n-]2)cn1 ZINC000337754365 410984891 /nfs/dbraw/zinc/98/48/91/410984891.db2.gz ATLIWSVVSBXTJU-UHFFFAOYSA-N -1 1 311.301 1.382 20 0 DDADMM Cc1cc(=NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)[nH]n1C ZINC000331274509 411053292 /nfs/dbraw/zinc/05/32/92/411053292.db2.gz WNRWNOLFOFBENT-UHFFFAOYSA-N -1 1 303.366 1.504 20 0 DDADMM Cc1cn(-c2ccc(F)cc2)nc1C(=O)N=c1ccc([O-])n[nH]1 ZINC000337821220 411019276 /nfs/dbraw/zinc/01/92/76/411019276.db2.gz OZLIAGNPBRQRLP-UHFFFAOYSA-N -1 1 313.292 1.490 20 0 DDADMM CCc1nnc(C)cc1C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000353734888 411120246 /nfs/dbraw/zinc/12/02/46/411120246.db2.gz CXWPOYPAROQVCP-UHFFFAOYSA-N -1 1 309.333 1.780 20 0 DDADMM COCc1nc2n(n1)C[C@H](NC(=O)c1c([O-])cccc1F)CC2 ZINC000129369230 196042417 /nfs/dbraw/zinc/04/24/17/196042417.db2.gz MMPXIEONSKQZMR-SECBINFHSA-N -1 1 320.324 1.014 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)C2(N(C)C)CC2)c1Br ZINC000629965607 422899635 /nfs/dbraw/zinc/89/96/35/422899635.db2.gz GPINTPQCXUPTMA-UHFFFAOYSA-N -1 1 301.188 1.189 20 0 DDADMM C[C@H]1CCn2ncc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)c2C1 ZINC000580448836 422934170 /nfs/dbraw/zinc/93/41/70/422934170.db2.gz WTBCAZJLZXQVBR-LURJTMIESA-N -1 1 314.271 1.855 20 0 DDADMM O=C([O-])C1(C(=O)N2CCC[C@H](c3n[nH]c(C4CC4)n3)C2)CC1 ZINC000580777253 422953452 /nfs/dbraw/zinc/95/34/52/422953452.db2.gz NIACDVASKYSXBN-JTQLQIEISA-N -1 1 304.350 1.253 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC[C@@H](CO)[C@H](O)C1 ZINC000649862298 422965668 /nfs/dbraw/zinc/96/56/68/422965668.db2.gz PVUGUONPDVESGR-JOYOIKCWSA-N -1 1 319.279 1.226 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC[C@@H]1C[C@@H](O)c1ccco1 ZINC000132165581 196232119 /nfs/dbraw/zinc/23/21/19/196232119.db2.gz QFSKHVYPPFLJFF-WCQYABFASA-N -1 1 318.329 1.345 20 0 DDADMM CCOc1ccncc1S(=O)(=O)[N-][C@@H]1CCCC1(F)F ZINC000650004114 423019339 /nfs/dbraw/zinc/01/93/39/423019339.db2.gz VIYFDUFTBUVLCR-LLVKDONJSA-N -1 1 306.334 1.946 20 0 DDADMM C[C@@H]1CN(Cc2cccc(-n3cccn3)c2)C[C@H](C(=O)[O-])O1 ZINC000652510336 423049845 /nfs/dbraw/zinc/04/98/45/423049845.db2.gz YZALLFFYCSSRTJ-IUODEOHRSA-N -1 1 301.346 1.546 20 0 DDADMM CC(C)[C@@H]1C[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000271638019 209082881 /nfs/dbraw/zinc/08/28/81/209082881.db2.gz GBIWCOPJELALJR-ZJUUUORDSA-N -1 1 321.830 1.555 20 0 DDADMM O=S(=O)(Cc1cc2n(n1)CCCC2)c1n[n-]c(C2CC2)n1 ZINC000650192079 423081972 /nfs/dbraw/zinc/08/19/72/423081972.db2.gz KRUFEJKEVYTBRD-UHFFFAOYSA-N -1 1 307.379 1.189 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cnn(Cc2ccccn2)c1)OC ZINC000643269387 423091920 /nfs/dbraw/zinc/09/19/20/423091920.db2.gz RLRTWOXFHSQJIL-CQSZACIVSA-N -1 1 324.406 1.493 20 0 DDADMM CN1CCN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@H]1C(C)(C)C ZINC000640624289 423095662 /nfs/dbraw/zinc/09/56/62/423095662.db2.gz QBVDYBRDKFLZMM-CQSZACIVSA-N -1 1 304.390 1.764 20 0 DDADMM CN1CCN(C(=O)C(=O)c2ccc([O-])cc2)C[C@H]1C(C)(C)C ZINC000640624288 423095974 /nfs/dbraw/zinc/09/59/74/423095974.db2.gz QBVDYBRDKFLZMM-AWEZNQCLSA-N -1 1 304.390 1.764 20 0 DDADMM C[C@H]1CCCN1S(=O)(=O)[N-]c1cc(OC(F)F)n(C)n1 ZINC000488338755 416560009 /nfs/dbraw/zinc/56/00/09/416560009.db2.gz MCFFJVZOXITEFA-ZETCQYMHSA-N -1 1 310.326 1.163 20 0 DDADMM CSc1nc(CNC(=O)COCC2CCCC2)cc(=O)[n-]1 ZINC000640654864 423115528 /nfs/dbraw/zinc/11/55/28/423115528.db2.gz CDJJHBAIFIVBCM-UHFFFAOYSA-N -1 1 311.407 1.727 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(Oc2ccccc2)CC1)c1nn[n-]n1 ZINC000643341036 423119360 /nfs/dbraw/zinc/11/93/60/423119360.db2.gz PGWIYVXVIMRZND-NSHDSACASA-N -1 1 301.350 1.373 20 0 DDADMM CN=c1[n-]nc(CC(=O)Nc2cc(C(C)=O)ccc2O)s1 ZINC000353960903 306766349 /nfs/dbraw/zinc/76/63/49/306766349.db2.gz IHHCYCYCNNVTKL-UHFFFAOYSA-N -1 1 306.347 1.091 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H]3c4ccccc4C[C@H]3O)ccnc1-2 ZINC000366151899 418435014 /nfs/dbraw/zinc/43/50/14/418435014.db2.gz ORVRRJBRLOSVFV-KOEWNKMCSA-N -1 1 323.356 1.122 20 0 DDADMM Cc1cccc(OCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000366641254 418500222 /nfs/dbraw/zinc/50/02/22/418500222.db2.gz OKQUREJXWWWZIY-LBPRGKRZSA-N -1 1 301.350 1.293 20 0 DDADMM CC[C@@H](C)CS(=O)(=O)[N-][C@@H](CC(F)(F)F)C(=O)OC ZINC000360845705 418531625 /nfs/dbraw/zinc/53/16/25/418531625.db2.gz LTUNZMRGHOQFPY-SFYZADRCSA-N -1 1 305.318 1.446 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC23CCOCC3)c1 ZINC000374464089 418533201 /nfs/dbraw/zinc/53/32/01/418533201.db2.gz DFOHGCBGATWCHO-NSHDSACASA-N -1 1 305.330 1.934 20 0 DDADMM O=C(NCc1ncc2c(n1)CCOC2)c1ccc(Cl)cc1[O-] ZINC000650358008 423139369 /nfs/dbraw/zinc/13/93/69/423139369.db2.gz DLMNETVBBYNCSX-UHFFFAOYSA-N -1 1 319.748 1.838 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2cc(=O)n3ccsc3n2)n1 ZINC000188114302 222006259 /nfs/dbraw/zinc/00/62/59/222006259.db2.gz PIHXRUQYEDDDRM-UHFFFAOYSA-N -1 1 320.399 1.694 20 0 DDADMM CCCCCNC(=O)CS(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195172213 222193712 /nfs/dbraw/zinc/19/37/12/222193712.db2.gz BFSDRPXUWLUTMU-UHFFFAOYSA-N -1 1 316.427 1.182 20 0 DDADMM COCC[C@@H]1COCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000291292968 222290983 /nfs/dbraw/zinc/29/09/83/222290983.db2.gz RIQRXTHLWCKEJB-CYBMUJFWSA-N -1 1 319.357 1.736 20 0 DDADMM CC(C)(C)c1ccc(C=CC(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000291402804 222367614 /nfs/dbraw/zinc/36/76/14/222367614.db2.gz FFXQLEALOIMNRM-RMKNXTFCSA-N -1 1 313.361 1.853 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)C[C@@H]1CCCCO1)C(=O)OCC ZINC000361420936 418649649 /nfs/dbraw/zinc/64/96/49/418649649.db2.gz QGLZYOSAELGTNF-CDBNLRSOSA-N -1 1 319.423 1.373 20 0 DDADMM O=C(NCC[C@]1(O)CCOC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000652801024 423140512 /nfs/dbraw/zinc/14/05/12/423140512.db2.gz FGNHDNFXJZDRDY-ZDUSSCGKSA-N -1 1 319.279 1.682 20 0 DDADMM CN1CC[C@@H]2CCN(S(=O)(=O)c3cccc(C(=O)[O-])c3)C[C@H]21 ZINC000375578178 418658130 /nfs/dbraw/zinc/65/81/30/418658130.db2.gz OGKOEDDQYMYNQP-BXUZGUMPSA-N -1 1 324.402 1.100 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](c2nc(C3CC3)no2)C1 ZINC000375581162 418658326 /nfs/dbraw/zinc/65/83/26/418658326.db2.gz IJELRLXBSQVXCD-SNVBAGLBSA-N -1 1 300.318 1.677 20 0 DDADMM COC[C@]1(C(=O)[O-])CC[N@@H+](Cc2cn3cc(Cl)ccc3n2)C1 ZINC000390041875 418753361 /nfs/dbraw/zinc/75/33/61/418753361.db2.gz COPJKXUZTZGERT-HNNXBMFYSA-N -1 1 323.780 1.911 20 0 DDADMM CC[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@H](CC)CO1 ZINC000650362451 423141584 /nfs/dbraw/zinc/14/15/84/423141584.db2.gz GAEKAHRPHXBCPG-VXGBXAGGSA-N -1 1 315.373 1.953 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc([C@@H]2C[C@H]2C(=O)Nc2nn[nH]c2C(N)=O)o1 ZINC000410858114 418852246 /nfs/dbraw/zinc/85/22/46/418852246.db2.gz KDCSVAOVPQWSDB-BZNPZCIMSA-N -1 1 315.333 1.362 20 0 DDADMM CN(C)C(=O)C[C@H](NC(=O)c1ncccc1[O-])c1ccccc1 ZINC000426656026 419525890 /nfs/dbraw/zinc/52/58/90/419525890.db2.gz VWAIPAHPAJEQEJ-ZDUSSCGKSA-N -1 1 313.357 1.737 20 0 DDADMM Cn1cc(Br)cc(NC(=O)c2ncccc2[O-])c1=O ZINC000427137972 419600360 /nfs/dbraw/zinc/60/03/60/419600360.db2.gz VKEFMEUZFWLVOF-UHFFFAOYSA-N -1 1 324.134 1.501 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ncccc2[O-])[C@@H](CC)CN1C(C)=O ZINC000427242605 419627801 /nfs/dbraw/zinc/62/78/01/419627801.db2.gz KSJXJZAWZZUYHR-OLZOCXBDSA-N -1 1 305.378 1.649 20 0 DDADMM Cc1c(F)cccc1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000421788135 419730470 /nfs/dbraw/zinc/73/04/70/419730470.db2.gz RPXUMTKVVOPFAV-UHFFFAOYSA-N -1 1 321.381 1.361 20 0 DDADMM Cc1cnc(C(=O)N2CCN(c3ccccc3)C(=O)C2)c([O-])c1 ZINC000427812079 419742583 /nfs/dbraw/zinc/74/25/83/419742583.db2.gz PFEZBNFATYWJMN-UHFFFAOYSA-N -1 1 311.341 1.585 20 0 DDADMM Cc1cnc(C(=O)N(C)Cc2cnc(N(C)C)nc2)c([O-])c1 ZINC000428049037 419802501 /nfs/dbraw/zinc/80/25/01/419802501.db2.gz PSDBVSWXTVAYDK-UHFFFAOYSA-N -1 1 301.350 1.224 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc(C(F)(F)F)nc2)n1 ZINC000428075957 419807259 /nfs/dbraw/zinc/80/72/59/419807259.db2.gz LUAMWJTUQKTXBC-UHFFFAOYSA-N -1 1 322.268 1.270 20 0 DDADMM CC(C)C1(C)CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000428437314 419866390 /nfs/dbraw/zinc/86/63/90/419866390.db2.gz RXQVZWGUEKHMDV-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM CCOC(=O)c1coc(=NCCN(C)Cc2ccc(F)cc2)[n-]1 ZINC000418892046 420008178 /nfs/dbraw/zinc/00/81/78/420008178.db2.gz MZAYKTIKSTUPNO-UHFFFAOYSA-N -1 1 321.352 1.956 20 0 DDADMM NC(=O)CN(Cc1ccccc1)C(=O)C(=O)c1ccc([O-])cc1 ZINC000436696531 229512320 /nfs/dbraw/zinc/51/23/20/229512320.db2.gz HRGGNHWNRAEUQW-UHFFFAOYSA-N -1 1 312.325 1.089 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)C(=O)OC(C)(C)C)n1 ZINC000415633903 420130803 /nfs/dbraw/zinc/13/08/03/420130803.db2.gz WEAGYBWBNZBVDL-QMMMGPOBSA-N -1 1 311.338 1.503 20 0 DDADMM Cc1c(S(=O)(=O)[N-]CC(C)(F)F)coc1C(=O)N(C)C ZINC000645735681 423160488 /nfs/dbraw/zinc/16/04/88/423160488.db2.gz YINHFBOXQKYMJS-UHFFFAOYSA-N -1 1 310.322 1.223 20 0 DDADMM CC(C)OCc1cccc(CNC(=O)CN(C)CCC(=O)[O-])c1 ZINC000430675586 420176593 /nfs/dbraw/zinc/17/65/93/420176593.db2.gz FYTCJLZJYJYLFI-UHFFFAOYSA-N -1 1 322.405 1.634 20 0 DDADMM O=S(=O)(Cc1ccc(F)cc1)N[C@@H]1C[NH2+]CCC1(F)F ZINC000420437313 420285397 /nfs/dbraw/zinc/28/53/97/420285397.db2.gz CFZFDXRSNFDXTG-LLVKDONJSA-N -1 1 308.325 1.242 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCC[C@H](C)[C@@H]1C ZINC000416229293 420288216 /nfs/dbraw/zinc/28/82/16/420288216.db2.gz UTSHIHJCPOPTIO-GUBZILKMSA-N -1 1 309.366 1.699 20 0 DDADMM Cc1cnn(CCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000425316597 420347048 /nfs/dbraw/zinc/34/70/48/420347048.db2.gz DISSZRLCKOCFOG-UHFFFAOYSA-N -1 1 305.338 1.076 20 0 DDADMM CC(C)(C)CC[C@H](Nc1cc(-n2cccn2)nc(N)n1)C(=O)[O-] ZINC000416444794 420374055 /nfs/dbraw/zinc/37/40/55/420374055.db2.gz ZPHZFAARDFACBL-JTQLQIEISA-N -1 1 318.381 1.766 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCC2CC(F)(F)C2)c1 ZINC000416500371 420396372 /nfs/dbraw/zinc/39/63/72/420396372.db2.gz GTNOACFXNGYRGI-UHFFFAOYSA-N -1 1 323.317 1.780 20 0 DDADMM O=S(=O)([N-]Cc1cccnn1)c1ccc(F)c(F)c1F ZINC000443939320 230037479 /nfs/dbraw/zinc/03/74/79/230037479.db2.gz GSQOKMHQGPJREZ-UHFFFAOYSA-N -1 1 303.265 1.372 20 0 DDADMM C[C@@H]1CC[C@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)O1 ZINC000416534246 420408649 /nfs/dbraw/zinc/40/86/49/420408649.db2.gz LBGJBCVIKVCKQN-HTQZYQBOSA-N -1 1 309.309 1.950 20 0 DDADMM CS(=O)(=O)c1cccc(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000438763621 420464723 /nfs/dbraw/zinc/46/47/23/420464723.db2.gz BDACLWVJGWQOGN-UHFFFAOYSA-N -1 1 319.338 1.617 20 0 DDADMM O=S(=O)([N-]CCCn1cccn1)c1sccc1Cl ZINC000451030880 420583626 /nfs/dbraw/zinc/58/36/26/420583626.db2.gz JZRLZMYSMKEVSN-UHFFFAOYSA-N -1 1 305.812 1.967 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1(C)C ZINC000452854929 420670998 /nfs/dbraw/zinc/67/09/98/420670998.db2.gz DJIIKCCKXRZLSD-GFCCVEGCSA-N -1 1 318.377 1.561 20 0 DDADMM O=C(C=Cc1c[nH]cn1)Nc1nc(Br)ccc1[O-] ZINC000492828098 420680991 /nfs/dbraw/zinc/68/09/91/420680991.db2.gz YIVMWOFIBODMRB-DAFODLJHSA-N -1 1 309.123 1.925 20 0 DDADMM COc1cc(C(=O)N[C@H]2CC[S@](=O)C2)cc(Cl)c1[O-] ZINC000442669792 420698040 /nfs/dbraw/zinc/69/80/40/420698040.db2.gz HSRMSMDRJTYXIS-RLBGWGEZSA-N -1 1 303.767 1.305 20 0 DDADMM O=S(=O)([N-]Cc1cccc(CO)c1)c1cccc(F)c1F ZINC000443209008 420748544 /nfs/dbraw/zinc/74/85/44/420748544.db2.gz DLKNTLXKYQPFRT-UHFFFAOYSA-N -1 1 313.325 1.936 20 0 DDADMM CCO/C=C/C(=O)N1CCC(c2nc(C(=O)OCC)n[n-]2)CC1 ZINC000493147279 420769398 /nfs/dbraw/zinc/76/93/98/420769398.db2.gz GOKNOVCIPZGRNC-JXMROGBWSA-N -1 1 322.365 1.238 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-][C@@H](c1nc(C2CC2)no1)C(C)C ZINC000442958137 420732427 /nfs/dbraw/zinc/73/24/27/420732427.db2.gz VTBXWDASYWDLHY-GXSJLCMTSA-N -1 1 317.411 1.598 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1ccccn1)c1ccccc1 ZINC000447750576 420797893 /nfs/dbraw/zinc/79/78/93/420797893.db2.gz KYXONEBGNJGMIN-CQSZACIVSA-N -1 1 320.370 1.664 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1ccc2nc(C)[nH]c2c1 ZINC000471948966 420959180 /nfs/dbraw/zinc/95/91/80/420959180.db2.gz PLNYWHLSOJJMOM-UHFFFAOYSA-N -1 1 305.363 1.889 20 0 DDADMM COCc1nc(=NC[C@@H](C)CNC(=O)OC(C)(C)C)s[n-]1 ZINC000493948144 420970629 /nfs/dbraw/zinc/97/06/29/420970629.db2.gz FISWMJXGMKDBHE-SECBINFHSA-N -1 1 316.427 1.679 20 0 DDADMM COc1ccc(CN[C@@H](C(=O)[O-])c2ccnn2C)c(C)c1OC ZINC000424616543 533684697 /nfs/dbraw/zinc/68/46/97/533684697.db2.gz GEXAPSWHMQYIDA-CQSZACIVSA-N -1 1 319.361 1.661 20 0 DDADMM COC[C@@H](C)n1ccc([N-]S(=O)(=O)N2CCCCC2)n1 ZINC000489034951 421132017 /nfs/dbraw/zinc/13/20/17/421132017.db2.gz DGOKXDRYUXEQPK-LLVKDONJSA-N -1 1 302.400 1.233 20 0 DDADMM CSc1cccc(C(=O)Nc2nc(SCCO)n[nH]2)c1 ZINC000450124168 421145605 /nfs/dbraw/zinc/14/56/05/421145605.db2.gz FAPQVTRGICQDSQ-UHFFFAOYSA-N -1 1 310.404 1.863 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1Cc2cccc(C)c2O1)c1nn[n-]n1 ZINC000450123585 421145783 /nfs/dbraw/zinc/14/57/83/421145783.db2.gz YVWIDDIBAOTOBG-VXGBXAGGSA-N -1 1 301.350 1.469 20 0 DDADMM COC[C@]1(C(=O)Nc2nc(-c3ccc(C)o3)n[nH]2)CCOC1 ZINC000450138801 421150095 /nfs/dbraw/zinc/15/00/95/421150095.db2.gz DRYBRRIEMUGGTG-CQSZACIVSA-N -1 1 306.322 1.365 20 0 DDADMM CC[C@@H](C)N1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000456537540 421180407 /nfs/dbraw/zinc/18/04/07/421180407.db2.gz WPECPELKXMSPBJ-LLVKDONJSA-N -1 1 317.393 1.230 20 0 DDADMM C[C@@]1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC=CCC1 ZINC000450568198 421210633 /nfs/dbraw/zinc/21/06/33/421210633.db2.gz VDWNUVSKHHCUDK-CQSZACIVSA-N -1 1 310.375 1.725 20 0 DDADMM COCCO[C@@H]1COCC[C@H]1N=c1[n-]c(C(C)=O)c(C)s1 ZINC000450452029 421199972 /nfs/dbraw/zinc/19/99/72/421199972.db2.gz GXSSGQIDWCNDMS-VXGBXAGGSA-N -1 1 314.407 1.308 20 0 DDADMM O=C(NC1CC1)OC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000496731846 421306647 /nfs/dbraw/zinc/30/66/47/421306647.db2.gz VANQEUBRUZOZDQ-GFCCVEGCSA-N -1 1 319.361 1.671 20 0 DDADMM C[C@H](CN=c1nc2[nH][nH]cc-2c(N)n1)[N@@H+](C)Cc1ccccc1 ZINC000546179764 421288708 /nfs/dbraw/zinc/28/87/08/421288708.db2.gz LWHCTCRMYXXALU-LLVKDONJSA-N -1 1 311.393 1.071 20 0 DDADMM O=C(c1ccc(OC(F)F)cn1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000547485146 421337225 /nfs/dbraw/zinc/33/72/25/421337225.db2.gz UKGNSJNKJZBXND-QMMMGPOBSA-N -1 1 324.291 1.216 20 0 DDADMM CCN1CCO[C@@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)C1 ZINC000562268139 421346825 /nfs/dbraw/zinc/34/68/25/421346825.db2.gz KKMCPSFZNSUEIO-CQSZACIVSA-N -1 1 316.361 1.442 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccn(C2CCCCC2)n1)c1nn[n-]n1 ZINC000527173678 421362523 /nfs/dbraw/zinc/36/25/23/421362523.db2.gz USOISEQNTJCOHY-LLVKDONJSA-N -1 1 317.397 1.777 20 0 DDADMM CCCNC(=O)[C@@H](C)S(=O)(=O)c1nc(-c2ccccc2)n[n-]1 ZINC000562495213 421373652 /nfs/dbraw/zinc/37/36/52/421373652.db2.gz BTMBXBGRPOHLMW-SNVBAGLBSA-N -1 1 322.390 1.160 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cc(C)c(F)cc2F)[n-]n1C ZINC000548150030 421407114 /nfs/dbraw/zinc/40/71/14/421407114.db2.gz VKFCCBDQMXYSGK-UHFFFAOYSA-N -1 1 317.317 1.238 20 0 DDADMM O=C(N[C@@H]1C[C@H]2CCCCN2C1=O)c1cc(Cl)ccc1[O-] ZINC000563514270 421515589 /nfs/dbraw/zinc/51/55/89/421515589.db2.gz SUGGTZWQIPNMPW-ZYHUDNBSSA-N -1 1 308.765 1.929 20 0 DDADMM O=C(c1csc(C2CC2)n1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000516498356 421549421 /nfs/dbraw/zinc/54/94/21/421549421.db2.gz QDIZSUOCBIHWTM-SECBINFHSA-N -1 1 304.379 1.553 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCCC1 ZINC000530040808 421572963 /nfs/dbraw/zinc/57/29/63/421572963.db2.gz WLVIGLXLAXKWJH-LLVKDONJSA-N -1 1 317.393 1.706 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@](C)(O)C1CCCCC1 ZINC000564343134 421583163 /nfs/dbraw/zinc/58/31/63/421583163.db2.gz OSFGKFSBMYXXPP-ZDUSSCGKSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@](C)(O)C1CCCCC1 ZINC000564343134 421583166 /nfs/dbraw/zinc/58/31/66/421583166.db2.gz OSFGKFSBMYXXPP-ZDUSSCGKSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CCC1(C)C ZINC000531086575 421623079 /nfs/dbraw/zinc/62/30/79/421623079.db2.gz MZIAASBWMCAIGU-UHFFFAOYSA-N -1 1 312.373 1.694 20 0 DDADMM COCC1(CC[N-]S(=O)(=O)c2cc(C(=O)OC)co2)CC1 ZINC000570628778 421658252 /nfs/dbraw/zinc/65/82/52/421658252.db2.gz COTOKIWHIJKCHV-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM O=C(c1n[nH]nc1-c1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000554882931 421664346 /nfs/dbraw/zinc/66/43/46/421664346.db2.gz ZZLOHMVUMRKQQO-NSHDSACASA-N -1 1 324.348 1.005 20 0 DDADMM C[C@H]1[C@H](c2ccccc2)CCN1Cc1cn(CC(=O)[O-])nn1 ZINC000571172526 421693647 /nfs/dbraw/zinc/69/36/47/421693647.db2.gz ZKAMDGCTVBZHTF-SWLSCSKDSA-N -1 1 300.362 1.741 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H](O)CCc1ccccc1 ZINC000536546951 421720542 /nfs/dbraw/zinc/72/05/42/421720542.db2.gz UKBZCXYJHBWTKP-CYBMUJFWSA-N -1 1 315.377 1.079 20 0 DDADMM Cc1ccc(-c2n[n-]c(S(=O)(=O)[C@H](C)C(=O)N(C)C)n2)cc1 ZINC000519743556 421722483 /nfs/dbraw/zinc/72/24/83/421722483.db2.gz YLKONCONWNBBKS-SNVBAGLBSA-N -1 1 322.390 1.031 20 0 DDADMM Cc1ccc(-c2nc(S(=O)(=O)[C@H](C)C(=O)N(C)C)n[n-]2)cc1 ZINC000519743556 421722487 /nfs/dbraw/zinc/72/24/87/421722487.db2.gz YLKONCONWNBBKS-SNVBAGLBSA-N -1 1 322.390 1.031 20 0 DDADMM CCCc1nc(=NC(=O)NCc2n[nH]c(C(C)(C)C)n2)s[n-]1 ZINC000556789056 421737473 /nfs/dbraw/zinc/73/74/73/421737473.db2.gz NJZUSEGPDIQNRW-UHFFFAOYSA-N -1 1 323.426 1.650 20 0 DDADMM C[C@H](CC(=O)NC1(c2nn[n-]n2)CC1)c1c[nH]c2ccccc21 ZINC000522056264 421813460 /nfs/dbraw/zinc/81/34/60/421813460.db2.gz XOYBFNPNDWGZEC-SNVBAGLBSA-N -1 1 310.361 1.980 20 0 DDADMM CO[C@H](C)c1nc(C)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)s1 ZINC000542772295 421828957 /nfs/dbraw/zinc/82/89/57/421828957.db2.gz DQMINROMOHZEQO-VXNVDRBHSA-N -1 1 324.410 1.548 20 0 DDADMM CCOC(=O)c1cc(=NC(=O)c2cccc(CC)c2O)[nH][n-]1 ZINC000542834326 421831618 /nfs/dbraw/zinc/83/16/18/421831618.db2.gz BUKNWAVUPWDWQW-UHFFFAOYSA-N -1 1 303.318 1.529 20 0 DDADMM CC[C@H](OC1CCCC1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000572581943 421802774 /nfs/dbraw/zinc/80/27/74/421802774.db2.gz QNZMYAYITNCYRQ-LBPRGKRZSA-N -1 1 307.398 1.823 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H](CO)[C@@H]1CCCOC1 ZINC000633427255 421896328 /nfs/dbraw/zinc/89/63/28/421896328.db2.gz KFWDRBHMKOSWEY-DGCLKSJQSA-N -1 1 313.781 1.492 20 0 DDADMM COc1ccc(Br)c(CNCCOCC(=O)[O-])c1 ZINC000635316251 421903639 /nfs/dbraw/zinc/90/36/39/421903639.db2.gz GNVLNISGOFVGAZ-UHFFFAOYSA-N -1 1 318.167 1.649 20 0 DDADMM NC(=O)c1cccc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)n1 ZINC000543850221 421841878 /nfs/dbraw/zinc/84/18/78/421841878.db2.gz PQPUNMWAFFJCOU-UHFFFAOYSA-N -1 1 323.312 1.529 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ccc3c(c2)CCO3)sn1 ZINC000631878416 421907781 /nfs/dbraw/zinc/90/77/81/421907781.db2.gz RZXKZMVEOOFSIN-UHFFFAOYSA-N -1 1 310.400 1.865 20 0 DDADMM COc1cccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1 ZINC000630222420 421973202 /nfs/dbraw/zinc/97/32/02/421973202.db2.gz NKONZPKONJOHSD-UHFFFAOYSA-N -1 1 306.362 1.316 20 0 DDADMM CCc1cccc(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000630218791 421967931 /nfs/dbraw/zinc/96/79/31/421967931.db2.gz FPVPRKUYGINWSB-CQSZACIVSA-N -1 1 318.417 1.799 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)Cc2ccccc2F)CC1 ZINC000630224873 421974733 /nfs/dbraw/zinc/97/47/33/421974733.db2.gz QJRDHZSNYONPNB-GFCCVEGCSA-N -1 1 308.353 1.376 20 0 DDADMM CCn1cc([C@@H]2C[S@](=O)CCN2Cc2ncccc2[O-])cn1 ZINC000581694019 422030062 /nfs/dbraw/zinc/03/00/62/422030062.db2.gz ZSQOIAGPMYCDIN-RCDICMHDSA-N -1 1 320.418 1.309 20 0 DDADMM CCC1(O)CCN(S(=O)(=O)c2cc(OC)ccc2[O-])CC1 ZINC000632037182 422040299 /nfs/dbraw/zinc/04/02/99/422040299.db2.gz OIOXWVQVNYGHHZ-UHFFFAOYSA-N -1 1 315.391 1.326 20 0 DDADMM COCC[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632011284 422020288 /nfs/dbraw/zinc/02/02/88/422020288.db2.gz RFGIZTLYDPHSSV-LLVKDONJSA-N -1 1 313.322 1.283 20 0 DDADMM CC(C)[C@H]1CN(C(=O)NC2CCC(C(=O)[O-])CC2)CCN1C ZINC000635517919 422067369 /nfs/dbraw/zinc/06/73/69/422067369.db2.gz LRFLTQVKSHCENR-JXQTWKCFSA-N -1 1 311.426 1.611 20 0 DDADMM COCc1ncc2c(n1)CCN(C(=O)c1ccc([O-])c(F)c1)C2 ZINC000633736980 422071568 /nfs/dbraw/zinc/07/15/68/422071568.db2.gz LUWDWFNWXFWCMY-UHFFFAOYSA-N -1 1 317.320 1.666 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1c([O-])cccc1F)c1cccnc1 ZINC000581978226 422078539 /nfs/dbraw/zinc/07/85/39/422078539.db2.gz SFQCDLDDYKKFNZ-ZDUSSCGKSA-N -1 1 304.277 1.570 20 0 DDADMM CCC[C@H](CCO)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000633825304 422099357 /nfs/dbraw/zinc/09/93/57/422099357.db2.gz QHLIOIAGAQSVLP-GFCCVEGCSA-N -1 1 320.393 1.262 20 0 DDADMM O=C([O-])[C@H]1CC[C@H](NS(=O)(=O)c2c(F)cccc2F)CC1 ZINC000630433440 422099916 /nfs/dbraw/zinc/09/99/16/422099916.db2.gz QPCHKOUKCDTBPU-KYZUINATSA-N -1 1 319.329 1.887 20 0 DDADMM Cc1nc([C@H](C)N(C)Cc2ccc(OCC(=O)[O-])cc2)n[nH]1 ZINC000574407364 422103950 /nfs/dbraw/zinc/10/39/50/422103950.db2.gz LZSFCGCLAFGEJM-JTQLQIEISA-N -1 1 304.350 1.770 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCO[C@@H](c2ccccc2)C1 ZINC000635507387 422054209 /nfs/dbraw/zinc/05/42/09/422054209.db2.gz KSIQIIUHQXPJOR-CQSZACIVSA-N -1 1 315.377 1.513 20 0 DDADMM Cc1cnc([C@H](NC(=O)CCCc2nn[n-]n2)C2CC2)s1 ZINC000635609682 422162315 /nfs/dbraw/zinc/16/23/15/422162315.db2.gz LCQVYUNZBFIJBZ-GFCCVEGCSA-N -1 1 306.395 1.555 20 0 DDADMM COc1ccccc1C[C@@H](C)N(C)C(=O)CCCc1nn[n-]n1 ZINC000635616119 422169808 /nfs/dbraw/zinc/16/98/08/422169808.db2.gz ANYSZDZNPGHODR-GFCCVEGCSA-N -1 1 317.393 1.621 20 0 DDADMM CN(CCN(C)S(=O)(=O)c1cccc(Cl)c1[O-])C1CC1 ZINC000632226889 422178703 /nfs/dbraw/zinc/17/87/03/422178703.db2.gz JCIKOPHWMDFTAL-UHFFFAOYSA-N -1 1 318.826 1.760 20 0 DDADMM Cc1cc([C@H](NC(=O)CCc2nn[n-]n2)C2CC2)ccc1F ZINC000632165338 422132563 /nfs/dbraw/zinc/13/25/63/422132563.db2.gz BSKHMFCZWTTXRM-OAHLLOKOSA-N -1 1 303.341 1.847 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1C[C@@H]2C[C@@H]2C1 ZINC000628505617 422202014 /nfs/dbraw/zinc/20/20/14/422202014.db2.gz KXBKNGHAULZOHF-BETUJISGSA-N -1 1 310.357 1.573 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCC34CC4)nc2n1 ZINC000637419258 422202011 /nfs/dbraw/zinc/20/20/11/422202011.db2.gz ZZYHVZYASLFRGD-JTQLQIEISA-N -1 1 301.350 1.499 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)Cc2ccc(C)cn2)c1 ZINC000632268177 422208508 /nfs/dbraw/zinc/20/85/08/422208508.db2.gz JZVMLPOGEOLQMY-UHFFFAOYSA-N -1 1 322.386 1.925 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)[C@@H](Cc1ccccc1)OC ZINC000574925143 422218640 /nfs/dbraw/zinc/21/86/40/422218640.db2.gz RKFHOCBZQHIUFA-LLVKDONJSA-N -1 1 303.318 1.393 20 0 DDADMM CO[C@H](Cc1ccccc1)CS(=O)(=O)[N-]c1ccnnc1 ZINC000574980137 422224793 /nfs/dbraw/zinc/22/47/93/422224793.db2.gz KTEUOBFBDGKAGA-CQSZACIVSA-N -1 1 307.375 1.476 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCCOC(F)F)c1 ZINC000632312249 422243146 /nfs/dbraw/zinc/24/31/46/422243146.db2.gz PCFWEEMYDWMHMF-UHFFFAOYSA-N -1 1 311.306 1.308 20 0 DDADMM COCC1(NS(=O)(=O)c2cc(OC)ccc2[O-])CCC1 ZINC000632318384 422247033 /nfs/dbraw/zinc/24/70/33/422247033.db2.gz BMXWFLXILOMRDM-UHFFFAOYSA-N -1 1 301.364 1.248 20 0 DDADMM C[C@@H](CCCCO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632391883 422301465 /nfs/dbraw/zinc/30/14/65/422301465.db2.gz PZNLGYXOISDDBM-ZETCQYMHSA-N -1 1 315.317 1.258 20 0 DDADMM CS(=O)(=O)CCCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630794781 422341025 /nfs/dbraw/zinc/34/10/25/422341025.db2.gz ASTGTFPEUQJEQK-UHFFFAOYSA-N -1 1 305.783 1.139 20 0 DDADMM C[C@H]1OCC[C@@H]1S(=O)(=O)Nc1cccc(C(=O)[O-])c1O ZINC000575992669 422355195 /nfs/dbraw/zinc/35/51/95/422355195.db2.gz FTVVWIOICZRSIS-XCBNKYQSSA-N -1 1 301.320 1.010 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1CC(OCc2ccccc2)C1 ZINC000635804258 422360335 /nfs/dbraw/zinc/36/03/35/422360335.db2.gz MPNBRTVVCGSTDD-UHFFFAOYSA-N -1 1 315.377 1.386 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC(C)(C)COC(C)C)c1 ZINC000632462015 422363726 /nfs/dbraw/zinc/36/37/26/422363726.db2.gz GEZZCDNBMNWBKC-UHFFFAOYSA-N -1 1 317.407 1.883 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1onc(C2CC2)c1Cl)N(C)C ZINC000632418139 422326150 /nfs/dbraw/zinc/32/61/50/422326150.db2.gz YTQSBKLPYCWCAF-ZETCQYMHSA-N -1 1 307.803 1.434 20 0 DDADMM CCn1nccc1CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632578610 422450081 /nfs/dbraw/zinc/45/00/81/422450081.db2.gz AFCYPUAVZAQVAH-UHFFFAOYSA-N -1 1 311.363 1.096 20 0 DDADMM CN1CCOC[C@H]1CNC(=O)c1ccc2ccccc2c1[O-] ZINC000628922482 422397458 /nfs/dbraw/zinc/39/74/58/422397458.db2.gz NYSXXSASPCGXHL-CYBMUJFWSA-N -1 1 300.358 1.606 20 0 DDADMM CCC[C@H](C)[C@@H](CO)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632508836 422398066 /nfs/dbraw/zinc/39/80/66/422398066.db2.gz PCSVFWWVIYZZRM-CMPLNLGQSA-N -1 1 317.407 1.476 20 0 DDADMM CC[C@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])[C@@H](C)CO1 ZINC000632509993 422400500 /nfs/dbraw/zinc/40/05/00/422400500.db2.gz UOUAXTDKXZYBHX-QWRGUYRKSA-N -1 1 315.391 1.589 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(F)cc(F)c1)[C@H](O)C(F)(F)F ZINC000632673553 422508913 /nfs/dbraw/zinc/50/89/13/422508913.db2.gz NTNYCFWSEMMAGK-CDUCUWFYSA-N -1 1 319.251 1.555 20 0 DDADMM CC1(C)CN(C(=O)CCCc2nn[n-]n2)C[C@H](C(F)(F)F)O1 ZINC000635956052 422509097 /nfs/dbraw/zinc/50/90/97/422509097.db2.gz YGTOKJTXHSUORB-MRVPVSSYSA-N -1 1 321.303 1.091 20 0 DDADMM Cc1cccc(-c2nc(=NC[C@]3(O)CCN(C)C3)s[n-]2)c1 ZINC000631222395 422613505 /nfs/dbraw/zinc/61/35/05/422613505.db2.gz WZMIAJBUZCEPCC-OAHLLOKOSA-N -1 1 304.419 1.414 20 0 DDADMM COCCn1cc([N-]S(=O)(=O)CCOCC2CCC2)cn1 ZINC000634787144 422646802 /nfs/dbraw/zinc/64/68/02/422646802.db2.gz LGLOWOFDAWQRHQ-UHFFFAOYSA-N -1 1 317.411 1.088 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCO[C@H](Cn2ccnn2)C1 ZINC000636223722 422726131 /nfs/dbraw/zinc/72/61/31/422726131.db2.gz GVEHKIVTPYWKQS-NSHDSACASA-N -1 1 322.752 1.178 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1ccc[nH]c1=O ZINC000629421365 422666516 /nfs/dbraw/zinc/66/65/16/422666516.db2.gz AJZPQIPHKNSOQS-UHFFFAOYSA-N -1 1 316.361 1.819 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@H]3CCC[C@H]3C(=O)[O-])[nH]c21 ZINC000634827660 422673138 /nfs/dbraw/zinc/67/31/38/422673138.db2.gz BYSPKSMJYXUYPU-WDEREUQCSA-N -1 1 301.346 1.988 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CO1 ZINC000645860294 423216926 /nfs/dbraw/zinc/21/69/26/423216926.db2.gz WBHLZWYEYSCBAW-JGVFFNPUSA-N -1 1 310.297 1.556 20 0 DDADMM O=C([O-])[C@H]1C[C@H](NC(=O)CCc2nc[nH]n2)c2ccccc21 ZINC000653329833 423442533 /nfs/dbraw/zinc/44/25/33/423442533.db2.gz ZUHKBKBVMGXCOJ-RYUDHWBXSA-N -1 1 300.318 1.167 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cccc2ccncc21 ZINC000648788630 423463765 /nfs/dbraw/zinc/46/37/65/423463765.db2.gz QHPCZBWQPNNFNS-UHFFFAOYSA-N -1 1 308.345 1.947 20 0 DDADMM CCS(=O)(=O)c1ccc(C(=O)Nc2c(C)[n-][nH]c2=O)cc1 ZINC000646412767 423479801 /nfs/dbraw/zinc/47/98/01/423479801.db2.gz NCSFZWMHYINGRH-UHFFFAOYSA-N -1 1 309.347 1.470 20 0 DDADMM CCN(C)C(=O)c1cccc(C(=O)Nc2c(C)[n-][nH]c2=O)c1 ZINC000646410604 423480345 /nfs/dbraw/zinc/48/03/45/423480345.db2.gz OGXHMXZOQAYNEQ-UHFFFAOYSA-N -1 1 302.334 1.768 20 0 DDADMM CCOc1cc(C(=O)N2C[C@H]3[C@H](CO)[C@H]3C2)cc(Cl)c1[O-] ZINC000646659840 423564868 /nfs/dbraw/zinc/56/48/68/423564868.db2.gz YWVNIMPVDVMJOV-URLYPYJESA-N -1 1 311.765 1.755 20 0 DDADMM C[C@@H](CN(C)C(=O)Cn1ccc2ccc(F)cc21)c1nn[n-]n1 ZINC000648860592 423504674 /nfs/dbraw/zinc/50/46/74/423504674.db2.gz RLOQESMPYVWEBP-JTQLQIEISA-N -1 1 316.340 1.556 20 0 DDADMM CNC(=O)c1ccc(=NCC2(c3ccccc3F)CCC2)[n-]n1 ZINC000651363281 423508006 /nfs/dbraw/zinc/50/80/06/423508006.db2.gz STWHACRMDNSKQF-UHFFFAOYSA-N -1 1 314.364 1.931 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(C3CC3)CC2)o1 ZINC000646766416 423601838 /nfs/dbraw/zinc/60/18/38/423601838.db2.gz PMHBMKYUCXTBPF-UHFFFAOYSA-N -1 1 312.391 1.450 20 0 DDADMM CC(C)(CNC(=O)c1ccc(Cl)cc1[O-])[C@@]1(O)CCOC1 ZINC000649094709 423625419 /nfs/dbraw/zinc/62/54/19/423625419.db2.gz GDVADWSNLJVEJO-OAHLLOKOSA-N -1 1 313.781 1.953 20 0 DDADMM CNC(=O)c1ccc(CN2CCC(c3n[n-]c(=N)o3)CC2)cc1 ZINC000639740994 423701626 /nfs/dbraw/zinc/70/16/26/423701626.db2.gz ZKTZEYJFFBIELS-UHFFFAOYSA-N -1 1 315.377 1.221 20 0 DDADMM Cc1cccc(CN2CCN(c3cncc(C(=O)[O-])n3)CC2)c1 ZINC000649383342 423831688 /nfs/dbraw/zinc/83/16/88/423831688.db2.gz ZRXKFUJISKPCCA-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM C[C@@H](CN1CCN(C)CC1)Nc1ncc(C(=O)[O-])cc1Cl ZINC000647449478 424030120 /nfs/dbraw/zinc/03/01/20/424030120.db2.gz GHUVKYYMFYVPNG-JTQLQIEISA-N -1 1 312.801 1.481 20 0 DDADMM COC[C@@H](CC[N-]S(=O)(=O)c1nc(C)c(C)s1)OC ZINC000657053012 424043524 /nfs/dbraw/zinc/04/35/24/424043524.db2.gz NGYSPJKAKQTZLX-SNVBAGLBSA-N -1 1 308.425 1.090 20 0 DDADMM Cc1nc(S(=O)(=O)N[C@H]2CC[N@H+](C(C)(C)C)C2)sc1C ZINC000657059803 424055094 /nfs/dbraw/zinc/05/50/94/424055094.db2.gz AWMGMJAMQMHSHI-NSHDSACASA-N -1 1 317.480 1.911 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1cn(-c2ccccc2)nn1 ZINC000642203841 424125521 /nfs/dbraw/zinc/12/55/21/424125521.db2.gz VEELNTOOVBBXAA-UHFFFAOYSA-N -1 1 312.318 1.019 20 0 DDADMM O=C1CC[C@@H](C[N-]S(=O)(=O)c2c(F)cccc2Cl)N1 ZINC000657190939 424205758 /nfs/dbraw/zinc/20/57/58/424205758.db2.gz SNFFLVSVIXCDJS-ZETCQYMHSA-N -1 1 306.746 1.036 20 0 DDADMM Cc1c[nH]c(C[NH2+]Cc2ccc([C@@]3(C)NC(=O)NC3=O)cc2)n1 ZINC000657341139 424294662 /nfs/dbraw/zinc/29/46/62/424294662.db2.gz MXQSBBROHKYNAT-MRXNPFEDSA-N -1 1 313.361 1.063 20 0 DDADMM CCOc1cc(N2CCC[C@H](c3n[n-]c(=O)o3)C2)nc(C)n1 ZINC000663871167 424241892 /nfs/dbraw/zinc/24/18/92/424241892.db2.gz PAHZEAUIZCEOTM-JTQLQIEISA-N -1 1 305.338 1.656 20 0 DDADMM CN1CCC[C@H](NC(=O)N=c2[n-]sc3ccccc32)C1=O ZINC000640342390 424362148 /nfs/dbraw/zinc/36/21/48/424362148.db2.gz IXFZHVKEEZQHOT-JTQLQIEISA-N -1 1 304.375 1.461 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CCC[C@H](OCCO)C1 ZINC000640339925 424362450 /nfs/dbraw/zinc/36/24/50/424362450.db2.gz ZVRBBMVFLQMMCT-NSHDSACASA-N -1 1 321.402 1.723 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)CCC2CCCCCC2)n1 ZINC000655390984 424441902 /nfs/dbraw/zinc/44/19/02/424441902.db2.gz XFIXACHZUITBJB-UHFFFAOYSA-N -1 1 314.411 1.897 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)CCC2CCCCCC2)[n-]1 ZINC000655390984 424441907 /nfs/dbraw/zinc/44/19/07/424441907.db2.gz XFIXACHZUITBJB-UHFFFAOYSA-N -1 1 314.411 1.897 20 0 DDADMM Cc1c(F)cccc1NC(=O)CCN(C)Cc1n[n-]c(=O)o1 ZINC000660848444 424788132 /nfs/dbraw/zinc/78/81/32/424788132.db2.gz WNXWDFOHJIYSOW-UHFFFAOYSA-N -1 1 308.313 1.683 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCc2cccc(F)c21 ZINC000344158672 271959835 /nfs/dbraw/zinc/95/98/35/271959835.db2.gz YEIBDCYXWMEYQB-UHFFFAOYSA-N -1 1 315.304 1.245 20 0 DDADMM Cc1ccc(C(=O)Nc2ccncc2[O-])cc1NS(C)(=O)=O ZINC000345356586 272229583 /nfs/dbraw/zinc/22/95/83/272229583.db2.gz AQCQLWHFMDXEAZ-UHFFFAOYSA-N -1 1 321.358 1.141 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(Br)cc(OC)c1 ZINC000345872614 272354384 /nfs/dbraw/zinc/35/43/84/272354384.db2.gz DELFZLFKSYFHNT-UHFFFAOYSA-N -1 1 324.196 1.846 20 0 DDADMM CCc1nc(=NC(=O)c2cc(C)n(-c3cccc(F)c3)n2)[n-][nH]1 ZINC000479057413 276684772 /nfs/dbraw/zinc/68/47/72/276684772.db2.gz QVWNHDPNVBMDRF-UHFFFAOYSA-N -1 1 314.324 1.675 20 0 DDADMM CC[C@H]1CCCCCN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000125480352 288186496 /nfs/dbraw/zinc/18/64/96/288186496.db2.gz BMJMEASXVHBTHW-NSHDSACASA-N -1 1 314.407 1.983 20 0 DDADMM Cc1cc(C)c(NC(=O)[C@@H](C)S(=O)(=O)c2ncn[n-]2)c(C)c1 ZINC000086169848 281015696 /nfs/dbraw/zinc/01/56/96/281015696.db2.gz QPDZMMJIJRWFRA-LLVKDONJSA-N -1 1 322.390 1.531 20 0 DDADMM Cc1cc(C)c(NC(=O)[C@@H](C)S(=O)(=O)c2nc[n-]n2)c(C)c1 ZINC000086169848 281015699 /nfs/dbraw/zinc/01/56/99/281015699.db2.gz QPDZMMJIJRWFRA-LLVKDONJSA-N -1 1 322.390 1.531 20 0 DDADMM CC(C)(C)[C@H]([N-]S(=O)(=O)c1cc2ccccc2o1)C(N)=O ZINC000130543339 281384758 /nfs/dbraw/zinc/38/47/58/281384758.db2.gz XIKIFZQSGONOSK-GFCCVEGCSA-N -1 1 310.375 1.611 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@@H]1Cc1ccccc1 ZINC000572260503 304515800 /nfs/dbraw/zinc/51/58/00/304515800.db2.gz UZDSYPFJFNNINF-WDEREUQCSA-N -1 1 315.329 1.257 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1CCC[C@H]2OCC[C@H]21 ZINC000332937487 298299788 /nfs/dbraw/zinc/29/97/88/298299788.db2.gz BJBMILOAPLZEMD-HBNTYKKESA-N -1 1 323.418 1.900 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](CO)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000354008686 298337809 /nfs/dbraw/zinc/33/78/09/298337809.db2.gz FBXFJWRVYJDECL-RYUDHWBXSA-N -1 1 323.784 1.587 20 0 DDADMM CCOC(=O)c1ccc(CNC2(c3nn[n-]n3)CCCC2)o1 ZINC000354214357 298367058 /nfs/dbraw/zinc/36/70/58/298367058.db2.gz YLYBGNPYWKFTIV-UHFFFAOYSA-N -1 1 305.338 1.529 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@H]1CCOC1)c1c(F)cccc1Cl ZINC000362134637 300013158 /nfs/dbraw/zinc/01/31/58/300013158.db2.gz PGLFTWUKHVMZBA-GZMMTYOYSA-N -1 1 323.773 1.155 20 0 DDADMM O=C([O-])c1cccc(C(=O)Nc2cccc(-c3nnc[nH]3)c2)n1 ZINC000362539771 300089617 /nfs/dbraw/zinc/08/96/17/300089617.db2.gz IAKUDFONUNCLBR-UHFFFAOYSA-N -1 1 309.285 1.817 20 0 DDADMM O=C(COc1ccc(F)cc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000362781249 300139087 /nfs/dbraw/zinc/13/90/87/300139087.db2.gz LZFPCKCGERMMOR-SECBINFHSA-N -1 1 323.303 1.263 20 0 DDADMM CC(C)(NC(=O)c1cncc(C(F)(F)F)c1)c1nn[n-]n1 ZINC000362817113 300148626 /nfs/dbraw/zinc/14/86/26/300148626.db2.gz IMTZPHMWOWVFOR-UHFFFAOYSA-N -1 1 300.244 1.279 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H](O)Cc1ccccc1)c1nn[n-]n1 ZINC000362950097 300186632 /nfs/dbraw/zinc/18/66/32/300186632.db2.gz XUHFAVGNHAKSQR-QWHCGFSZSA-N -1 1 303.366 1.151 20 0 DDADMM CO[C@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000362982614 300193712 /nfs/dbraw/zinc/19/37/12/300193712.db2.gz NRKYLKUNVVQHAR-QWRGUYRKSA-N -1 1 304.437 1.996 20 0 DDADMM O=C([O-])C(=O)NCC1CCN(Cc2ccccc2Cl)CC1 ZINC000364044236 300338189 /nfs/dbraw/zinc/33/81/89/300338189.db2.gz JDWYWCWDKCESDR-UHFFFAOYSA-N -1 1 310.781 1.753 20 0 DDADMM CCC[C@H](NC(=O)[C@]1(N(C)C)CCc2ccccc21)C(=O)[O-] ZINC000364210225 300358093 /nfs/dbraw/zinc/35/80/93/300358093.db2.gz NSRQXONFMKKHRL-YOEHRIQHSA-N -1 1 304.390 1.759 20 0 DDADMM COc1cccc([C@H](CNC(=O)[C@H](C(=O)[O-])C(C)C)N(C)C)c1 ZINC000575128018 304717324 /nfs/dbraw/zinc/71/73/24/304717324.db2.gz XVTQBIOLAHKKFU-LSDHHAIUSA-N -1 1 322.405 1.771 20 0 DDADMM Cc1cc(NC(=O)CN2CCCC[C@@H]2CCC(=O)[O-])n(C)n1 ZINC000424252907 301348011 /nfs/dbraw/zinc/34/80/11/301348011.db2.gz DUAASFSQWYXUGT-GFCCVEGCSA-N -1 1 308.382 1.386 20 0 DDADMM O=C(c1ccc(Cl)cc1F)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370857356 301398264 /nfs/dbraw/zinc/39/82/64/301398264.db2.gz NLWKJKMFFXGSCM-SNVBAGLBSA-N -1 1 311.704 1.206 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccccc2OC)C1 ZINC000372323405 301550690 /nfs/dbraw/zinc/55/06/90/301550690.db2.gz CAJCWCRPHGUMFM-LBPRGKRZSA-N -1 1 322.361 1.132 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CC(=O)N1Cc1ccccc1 ZINC000375993111 302020546 /nfs/dbraw/zinc/02/05/46/302020546.db2.gz HKOBRUCEQMLWID-MWLCHTKSSA-N -1 1 300.280 1.855 20 0 DDADMM FC(F)(F)c1nsc(=NC[C@H]2CN3CCCC[C@@H]3CO2)[n-]1 ZINC000376401638 302073587 /nfs/dbraw/zinc/07/35/87/302073587.db2.gz CGFQNUFRLSTTOQ-BDAKNGLRSA-N -1 1 322.356 1.644 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@H]2[C@H]2CCCCC2=O)c([O-])c1 ZINC000330334536 302332945 /nfs/dbraw/zinc/33/29/45/302332945.db2.gz YSGVFKOXXMCXPI-OLZOCXBDSA-N -1 1 318.373 1.696 20 0 DDADMM O=C(NC[C@H]1CNC(=O)C1)c1ccc(Br)cc1[O-] ZINC000398286326 302357991 /nfs/dbraw/zinc/35/79/91/302357991.db2.gz CPQDJIXUSMPKGA-SSDOTTSWSA-N -1 1 313.151 1.021 20 0 DDADMM C[C@@H](N=c1ccc(N2CC[C@H](C(N)=O)C2)n[n-]1)c1ccccc1 ZINC000515167635 302766941 /nfs/dbraw/zinc/76/69/41/302766941.db2.gz KMPQCOBYMDQMDY-OCCSQVGLSA-N -1 1 311.389 1.383 20 0 DDADMM Cc1cc(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)ncc1Cl ZINC000528882645 303069286 /nfs/dbraw/zinc/06/92/86/303069286.db2.gz MGRYBGCFEKTJTK-JTQLQIEISA-N -1 1 320.784 1.505 20 0 DDADMM CC(C)c1nocc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000529249786 303104962 /nfs/dbraw/zinc/10/49/62/303104962.db2.gz GARUONAUVWWTMR-SECBINFHSA-N -1 1 306.322 1.906 20 0 DDADMM Cn1cc([C@H]2OCC[C@@H]2C(=O)Nc2cccc(F)c2[O-])cn1 ZINC000530005463 303169846 /nfs/dbraw/zinc/16/98/46/303169846.db2.gz KWTGZNZRZCBZRA-IINYFYTJSA-N -1 1 305.309 1.981 20 0 DDADMM O=C([O-])[C@H]1CCN(CC(=O)Nc2ccc(Cl)c(F)c2)C1 ZINC000530207423 303183689 /nfs/dbraw/zinc/18/36/89/303183689.db2.gz KZYNWCIXJSDKGH-QMMMGPOBSA-N -1 1 300.717 1.824 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](C)Cc1ccccc1C ZINC000530265385 303189224 /nfs/dbraw/zinc/18/92/24/303189224.db2.gz DRHIEJFGVWSHSU-LLVKDONJSA-N -1 1 314.389 1.625 20 0 DDADMM CC[C@@H]1CCN([C@H]2CC(=O)N(c3ccc(C(=O)[O-])cc3)C2=O)C1 ZINC000531264861 303237318 /nfs/dbraw/zinc/23/73/18/303237318.db2.gz MRWYMHLPKQLYEH-RISCZKNCSA-N -1 1 316.357 1.749 20 0 DDADMM COc1ccc(NC(=O)c2ccn(C)c2)cc1[N-]S(C)(=O)=O ZINC000357500201 306889537 /nfs/dbraw/zinc/88/95/37/306889537.db2.gz XJEHGVYBYSLEAT-UHFFFAOYSA-N -1 1 323.374 1.658 20 0 DDADMM Cc1c(NS(=O)(=O)c2cc(C(=O)[O-])cc(C)c2C)cnn1C ZINC000532048111 303272392 /nfs/dbraw/zinc/27/23/92/303272392.db2.gz GTTAGNFKHHJSJQ-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM Cc1c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cnn1C(C)(C)C ZINC000539326040 303394792 /nfs/dbraw/zinc/39/47/92/303394792.db2.gz MSNAYORXBWNDRA-LLVKDONJSA-N -1 1 317.397 1.479 20 0 DDADMM Cc1ccccc1[C@H](CO)NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000539802403 303398505 /nfs/dbraw/zinc/39/85/05/303398505.db2.gz ZGFRQVKUIFALCI-ZDUSSCGKSA-N -1 1 317.414 1.911 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)s1 ZINC000541869838 303431484 /nfs/dbraw/zinc/43/14/84/303431484.db2.gz BWSITJRELRHLBC-UHFFFAOYSA-N -1 1 323.321 1.742 20 0 DDADMM CC(C)(CNC(=O)c1cc(=O)n2[n-]cnc2n1)c1cccs1 ZINC000543699020 303461680 /nfs/dbraw/zinc/46/16/80/303461680.db2.gz CZLJHISLUTYJGS-UHFFFAOYSA-N -1 1 317.374 1.187 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCO[C@@H](c3ccnn3C)C2)c([O-])c1 ZINC000556678510 303747551 /nfs/dbraw/zinc/74/75/51/303747551.db2.gz NYOYPMYGYZKWMP-SMDDNHRTSA-N -1 1 316.361 1.479 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)[C@H]1CCCOC1 ZINC000561074074 303829371 /nfs/dbraw/zinc/82/93/71/303829371.db2.gz KUUVAHOFAKKYKD-LBPRGKRZSA-N -1 1 318.377 1.232 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCOC[C@H](C)C1 ZINC000374364838 307206717 /nfs/dbraw/zinc/20/67/17/307206717.db2.gz HZEXZMAZTDXNQU-SNVBAGLBSA-N -1 1 318.377 1.089 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)N[C@@H]1CCOc2c(C)cccc21 ZINC000377842630 307277013 /nfs/dbraw/zinc/27/70/13/307277013.db2.gz KMNNEXKDXIFGPS-LLVKDONJSA-N -1 1 323.374 1.506 20 0 DDADMM O=C1OCCN1c1ccc(-c2nc3cccnc3c(=O)[n-]2)cn1 ZINC000497011095 307375192 /nfs/dbraw/zinc/37/51/92/307375192.db2.gz MUEMUKYVJXBYBG-UHFFFAOYSA-N -1 1 309.285 1.749 20 0 DDADMM C[C@@H](N=c1ccc(N(C)[C@@H]2CCNC2=O)n[n-]1)c1ccccc1 ZINC000516279057 307414702 /nfs/dbraw/zinc/41/47/02/307414702.db2.gz COFNKDNTQRTENU-TZMCWYRMSA-N -1 1 311.389 1.396 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCO[C@H]2c2ccc(=O)[nH]c2)c([O-])c1 ZINC000527018735 307497831 /nfs/dbraw/zinc/49/78/31/307497831.db2.gz NCSDFJTWJGLJIT-ABAIWWIYSA-N -1 1 315.329 1.456 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@@]2(CC=CCC2)C1 ZINC000542769136 307700318 /nfs/dbraw/zinc/70/03/18/307700318.db2.gz NMSOSXURSOKCOS-INIZCTEOSA-N -1 1 313.361 1.380 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cc(Cl)ccc2C)[n-]n1C ZINC000548477532 307771608 /nfs/dbraw/zinc/77/16/08/307771608.db2.gz GOFIQBLZYMCBIU-UHFFFAOYSA-N -1 1 315.782 1.613 20 0 DDADMM NC(=O)[C@@H]1CC[C@H]1C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000568225696 308112964 /nfs/dbraw/zinc/11/29/64/308112964.db2.gz NSSLBFCPDXZQAP-HTQZYQBOSA-N -1 1 320.299 1.227 20 0 DDADMM C[C@@H](N=c1ccc(N2CC(=O)N[C@H](C)C2)n[n-]1)c1ccccc1 ZINC000568622392 308124982 /nfs/dbraw/zinc/12/49/82/308124982.db2.gz LTRKDSGGWYJERT-CHWSQXEVSA-N -1 1 311.389 1.396 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2Cc3cccc(O)c3C2)sc1C ZINC000570656883 308175674 /nfs/dbraw/zinc/17/56/74/308175674.db2.gz YGLMMPNIKKFXGO-NSHDSACASA-N -1 1 324.427 1.911 20 0 DDADMM O=C([C@@H]1C[C@]1(F)c1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000571529121 308197705 /nfs/dbraw/zinc/19/77/05/308197705.db2.gz XJPJNRKIJSZNNH-FFSVYQOJSA-N -1 1 315.352 1.791 20 0 DDADMM O=C(N=c1cc(-c2cccs2)[n-][nH]1)c1cn(CC2CC2)nn1 ZINC000572948357 308227605 /nfs/dbraw/zinc/22/76/05/308227605.db2.gz RMKQESKSHVNFMB-UHFFFAOYSA-N -1 1 314.374 1.814 20 0 DDADMM COc1ccccc1N1CC[C@H](N2CCC(C(=O)[O-])CC2)C1=O ZINC000573682092 308249026 /nfs/dbraw/zinc/24/90/26/308249026.db2.gz OIKNMZAPVMLSER-AWEZNQCLSA-N -1 1 318.373 1.597 20 0 DDADMM O=S1(=O)CCN(Cc2ncccc2[O-])CC12CCCCC2 ZINC000576387372 308311016 /nfs/dbraw/zinc/31/10/16/308311016.db2.gz QAGKXLIJUFOEOE-UHFFFAOYSA-N -1 1 310.419 1.721 20 0 DDADMM COC1(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)CCCC1 ZINC000583541213 335699242 /nfs/dbraw/zinc/69/92/42/335699242.db2.gz MCKWYDBUVVNIES-UHFFFAOYSA-N -1 1 318.377 1.627 20 0 DDADMM CC(C)C[N@H+]1CCCC[C@@H]1CNS(=O)(=O)c1cscn1 ZINC000583080949 337236893 /nfs/dbraw/zinc/23/68/93/337236893.db2.gz DFWXWRTXVCJBOV-GFCCVEGCSA-N -1 1 317.480 1.932 20 0 DDADMM O[C@@H]1[C@@H](N=c2nc(C(F)(F)F)[n-]s2)CCCC1(F)F ZINC000413571336 484048429 /nfs/dbraw/zinc/04/84/29/484048429.db2.gz HLJRJDWVZKKZCI-CRCLSJGQSA-N -1 1 303.256 1.939 20 0 DDADMM NC(=O)c1[nH]nc2ccc(NC(=O)C(=O)c3ccc([O-])cc3)cc21 ZINC000436983352 484131503 /nfs/dbraw/zinc/13/15/03/484131503.db2.gz KBNSREUQSDWQSP-UHFFFAOYSA-N -1 1 324.296 1.189 20 0 DDADMM O=C(C(=O)N1CCN2CCOc3cccc1c32)c1ccc([O-])cc1 ZINC000436987031 484133082 /nfs/dbraw/zinc/13/30/82/484133082.db2.gz HALBJJJMYXQFGS-UHFFFAOYSA-N -1 1 324.336 1.821 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc2c(cc[nH]c2=O)c1)OC ZINC000656633723 484251927 /nfs/dbraw/zinc/25/19/27/484251927.db2.gz GPHYRLIRNVHCGV-GFCCVEGCSA-N -1 1 310.375 1.695 20 0 DDADMM Cc1ccc(C[C@@H]2CCCN(CC(=O)NCC(=O)[O-])C2)cc1 ZINC000557860215 484324015 /nfs/dbraw/zinc/32/40/15/484324015.db2.gz OJESCHKDYIMQQF-HNNXBMFYSA-N -1 1 304.390 1.450 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)c2ccsc2)o1 ZINC000669900457 484675096 /nfs/dbraw/zinc/67/50/96/484675096.db2.gz QYWLQPWNXYPNHX-MRVPVSSYSA-N -1 1 314.388 1.740 20 0 DDADMM O=C(c1n[nH]c2c1CCCC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670384346 484802991 /nfs/dbraw/zinc/80/29/91/484802991.db2.gz OMVYOBJNANVVKK-SECBINFHSA-N -1 1 317.349 1.397 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000670384592 484803066 /nfs/dbraw/zinc/80/30/66/484803066.db2.gz PBONOMQMKOFZRT-LLVKDONJSA-N -1 1 317.349 1.256 20 0 DDADMM O=C(NC[C@]1(O)CCOC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000670419867 484820399 /nfs/dbraw/zinc/82/03/99/484820399.db2.gz XTSSIUZCYRDHRY-GFCCVEGCSA-N -1 1 305.252 1.292 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]1c1cccc(F)c1 ZINC000667961688 484875677 /nfs/dbraw/zinc/87/56/77/484875677.db2.gz MHBIYFUKMWUWAO-JTQLQIEISA-N -1 1 319.292 1.623 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C(C)(C)c1ccccc1 ZINC000668000959 484900922 /nfs/dbraw/zinc/90/09/22/484900922.db2.gz XYFHESDCPVBWJI-UHFFFAOYSA-N -1 1 317.345 1.904 20 0 DDADMM CSc1ccncc1[N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000671151682 484960522 /nfs/dbraw/zinc/96/05/22/484960522.db2.gz MMDCRKRXOPFYQL-QMMMGPOBSA-N -1 1 303.409 1.022 20 0 DDADMM CCCN1CCN(C(=O)c2cccc(-c3nc(=O)o[n-]3)c2)CC1 ZINC000668176446 485007405 /nfs/dbraw/zinc/00/74/05/485007405.db2.gz VOZDQTNLUIIGAS-UHFFFAOYSA-N -1 1 316.361 1.198 20 0 DDADMM COc1ccc(F)cc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000671730975 485179611 /nfs/dbraw/zinc/17/96/11/485179611.db2.gz SQWXCCJENDEWFV-UHFFFAOYSA-N -1 1 305.313 1.547 20 0 DDADMM Cc1ccn([C@H](C)CC(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000668869053 485345761 /nfs/dbraw/zinc/34/57/61/485345761.db2.gz JKTUVFCBANVPMQ-LLVKDONJSA-N -1 1 303.370 1.241 20 0 DDADMM C[C@H](CN1CCCC1=O)NC(=O)c1ncc2ccccc2c1[O-] ZINC000666848345 485367090 /nfs/dbraw/zinc/36/70/90/485367090.db2.gz NTSUJCAVQRNCNK-LLVKDONJSA-N -1 1 313.357 1.681 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCN(C2CC2)C(=O)C1 ZINC000673959847 485488332 /nfs/dbraw/zinc/48/83/32/485488332.db2.gz MFHBUNWXKDCDFE-UHFFFAOYSA-N -1 1 310.353 1.992 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](C)[C@@H](C)OC)c(F)c1 ZINC000682419159 485586471 /nfs/dbraw/zinc/58/64/71/485586471.db2.gz XHWLELXGFOIBJK-JGVFFNPUSA-N -1 1 309.334 1.675 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)C[C@@H](O)C1CC1 ZINC000674814773 485754975 /nfs/dbraw/zinc/75/49/75/485754975.db2.gz UIJHSWHZPPWGAG-LLVKDONJSA-N -1 1 307.394 1.631 20 0 DDADMM O=C(Cc1ccc(O)cc1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000675414449 485934380 /nfs/dbraw/zinc/93/43/80/485934380.db2.gz SODDLSSUUJYWAH-HNNXBMFYSA-N -1 1 309.329 1.354 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1[nH]nc2c1CCCC2)c1ccccc1 ZINC000676025048 486090154 /nfs/dbraw/zinc/09/01/54/486090154.db2.gz FIBYIJLGVFFWMO-ZDUSSCGKSA-N -1 1 313.357 1.887 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cc([C@@H]3CCCOC3)nn2C)c1[O-] ZINC000684157290 486266042 /nfs/dbraw/zinc/26/60/42/486266042.db2.gz DHGARUPLBHERQN-SECBINFHSA-N -1 1 305.338 1.304 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc3c(c2)NC(=O)[C@H](C)O3)c1[O-] ZINC000676629910 486271890 /nfs/dbraw/zinc/27/18/90/486271890.db2.gz HDABETAAQORKKL-ZETCQYMHSA-N -1 1 302.290 1.395 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCCN(Cc3ccccc3)C2)c1[O-] ZINC000676631052 486273549 /nfs/dbraw/zinc/27/35/49/486273549.db2.gz LHCPAMWSYVXBMK-AWEZNQCLSA-N -1 1 314.389 1.818 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCOC2(CCOCC2)CC1 ZINC000681123479 486355657 /nfs/dbraw/zinc/35/56/57/486355657.db2.gz UMFODXMSRIUNDY-UHFFFAOYSA-N -1 1 309.337 1.943 20 0 DDADMM O=C(N[C@H]1CCOC1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000676943490 486366016 /nfs/dbraw/zinc/36/60/16/486366016.db2.gz AGRHKRCSJJUCKZ-AWEZNQCLSA-N -1 1 318.373 1.785 20 0 DDADMM CC[C@H]1CN(C(=O)C(C)C)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000681737943 486506879 /nfs/dbraw/zinc/50/68/79/486506879.db2.gz AVMYQUIQEVNRDH-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM Cc1cnc(C(=O)N(C)[C@@H]2CCCc3c2cnn3C)c([O-])c1 ZINC000681738824 486507086 /nfs/dbraw/zinc/50/70/86/486507086.db2.gz OKEFUPKDUUCEGV-GFCCVEGCSA-N -1 1 300.362 1.979 20 0 DDADMM Cn1cc(C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)nn1 ZINC000685417459 486535456 /nfs/dbraw/zinc/53/54/56/486535456.db2.gz OHOUTERDDVXFBY-UHFFFAOYSA-N -1 1 314.345 1.256 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCCSC1 ZINC000685637326 486579518 /nfs/dbraw/zinc/57/95/18/486579518.db2.gz HEDCNDVQFHPZGZ-NEPJUHHUSA-N -1 1 303.391 1.549 20 0 DDADMM COC(=O)c1cc(C(C)(C)NC(=O)c2cncc([O-])c2)no1 ZINC000427034384 533750068 /nfs/dbraw/zinc/75/00/68/533750068.db2.gz NLODOTCAHXVQFH-UHFFFAOYSA-N -1 1 305.290 1.227 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N(C)[C@H]2CCCN(C)C2)c1 ZINC000424694791 533807060 /nfs/dbraw/zinc/80/70/60/533807060.db2.gz WQTVTWVYLHVGOO-XMHCIUCPSA-N -1 1 324.446 1.355 20 0 DDADMM C[C@@H]1CCC[C@@]1(O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000294559237 533812917 /nfs/dbraw/zinc/81/29/17/533812917.db2.gz LKECOYHGYJFQNH-NOZJJQNGSA-N -1 1 305.346 1.794 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2CC[C@H](O)CC2)c(F)c1 ZINC000425169605 533819426 /nfs/dbraw/zinc/81/94/26/533819426.db2.gz OXCNSQBQWDFWKZ-MGCOHNPYSA-N -1 1 305.346 1.855 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1c(Cl)ccc(F)c1F)N(C)C ZINC000451934153 534070946 /nfs/dbraw/zinc/07/09/46/534070946.db2.gz QXNISDUYICBDPJ-ZETCQYMHSA-N -1 1 312.769 1.847 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnn(-c2ccccc2Cl)c1 ZINC000154435908 521769626 /nfs/dbraw/zinc/76/96/26/521769626.db2.gz OQTQRMXWFNVNCP-UHFFFAOYSA-N -1 1 315.782 1.914 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H](C)CC(F)F ZINC000413446246 534648920 /nfs/dbraw/zinc/64/89/20/534648920.db2.gz CKLCZVFIESULJN-SSDOTTSWSA-N -1 1 312.320 1.959 20 0 DDADMM N=c1nc(N2CCN(C(=O)/C=C/C3CCCCC3)CC2)s[n-]1 ZINC000492143109 534841878 /nfs/dbraw/zinc/84/18/78/534841878.db2.gz GHOWSDBVGVZVIX-VOTSOKGWSA-N -1 1 321.450 1.736 20 0 DDADMM CC(C)[C@@H](C)CNC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000494546994 528393798 /nfs/dbraw/zinc/39/37/98/528393798.db2.gz UPXGVOLRYBXDBN-JTQLQIEISA-N -1 1 312.443 1.074 20 0 DDADMM CCn1cc(CN(C)c2snc(Cl)c2-c2nnn[n-]2)cn1 ZINC000736722130 598871694 /nfs/dbraw/zinc/87/16/94/598871694.db2.gz PDFDIOPNKRKZBC-UHFFFAOYSA-N -1 1 324.801 1.829 20 0 DDADMM CCn1cc(CN(C)c2snc(Cl)c2-c2nn[n-]n2)cn1 ZINC000736722130 598871695 /nfs/dbraw/zinc/87/16/95/598871695.db2.gz PDFDIOPNKRKZBC-UHFFFAOYSA-N -1 1 324.801 1.829 20 0 DDADMM C[C@@H](CNc1c2ccccc2nnc1-c1nnn[n-]1)C[C@H](C)O ZINC000736144642 598972552 /nfs/dbraw/zinc/97/25/52/598972552.db2.gz QBNLRXQEYAFYPT-ZJUUUORDSA-N -1 1 313.365 1.629 20 0 DDADMM C[C@@H](CNc1c2ccccc2nnc1-c1nn[n-]n1)C[C@H](C)O ZINC000736144642 598972554 /nfs/dbraw/zinc/97/25/54/598972554.db2.gz QBNLRXQEYAFYPT-ZJUUUORDSA-N -1 1 313.365 1.629 20 0 DDADMM OCC[C@@H](CNc1cccc(-c2nnn[n-]2)n1)c1ccccc1 ZINC000738394462 598974601 /nfs/dbraw/zinc/97/46/01/598974601.db2.gz RQKIESQGCDZSDF-ZDUSSCGKSA-N -1 1 310.361 1.840 20 0 DDADMM OCC[C@@H](CNc1cccc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000738394462 598974603 /nfs/dbraw/zinc/97/46/03/598974603.db2.gz RQKIESQGCDZSDF-ZDUSSCGKSA-N -1 1 310.361 1.840 20 0 DDADMM O[C@@H]1CCCC[C@H]1CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000738389322 598977189 /nfs/dbraw/zinc/97/71/89/598977189.db2.gz KQUMXXGHGZSOHN-NKWVEPMBSA-N -1 1 314.802 1.940 20 0 DDADMM O[C@@H]1CCCC[C@H]1CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000738389322 598977191 /nfs/dbraw/zinc/97/71/91/598977191.db2.gz KQUMXXGHGZSOHN-NKWVEPMBSA-N -1 1 314.802 1.940 20 0 DDADMM COc1ccc(C)cc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737075778 598979356 /nfs/dbraw/zinc/97/93/56/598979356.db2.gz FROLUEVUMDTUOW-UHFFFAOYSA-N -1 1 310.317 1.831 20 0 DDADMM COc1ccc(C)cc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737075778 598979358 /nfs/dbraw/zinc/97/93/58/598979358.db2.gz FROLUEVUMDTUOW-UHFFFAOYSA-N -1 1 310.317 1.831 20 0 DDADMM O=C(NCCC1CCCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738055653 598990952 /nfs/dbraw/zinc/99/09/52/598990952.db2.gz OIYBRMOEKODDCF-UHFFFAOYSA-N -1 1 300.366 1.962 20 0 DDADMM O=C(NCCC1CCCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738055653 598990953 /nfs/dbraw/zinc/99/09/53/598990953.db2.gz OIYBRMOEKODDCF-UHFFFAOYSA-N -1 1 300.366 1.962 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)o1 ZINC000736236149 598994081 /nfs/dbraw/zinc/99/40/81/598994081.db2.gz IDWZLJXMUNIRRM-SKDRFNHKSA-N -1 1 324.344 1.908 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)o1 ZINC000736236149 598994082 /nfs/dbraw/zinc/99/40/82/598994082.db2.gz IDWZLJXMUNIRRM-SKDRFNHKSA-N -1 1 324.344 1.908 20 0 DDADMM NC(=O)Nc1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737754584 599021332 /nfs/dbraw/zinc/02/13/32/599021332.db2.gz GRFPMCJRJDRLTP-UHFFFAOYSA-N -1 1 324.304 1.005 20 0 DDADMM NC(=O)Nc1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737754584 599021334 /nfs/dbraw/zinc/02/13/34/599021334.db2.gz GRFPMCJRJDRLTP-UHFFFAOYSA-N -1 1 324.304 1.005 20 0 DDADMM O=C1N[C@H](c2ccc(-c3nnn[n-]3)o2)Nc2cc(Cl)ccc21 ZINC000823540327 599118036 /nfs/dbraw/zinc/11/80/36/599118036.db2.gz ARWPGVRTFDFVLK-LLVKDONJSA-N -1 1 316.708 1.967 20 0 DDADMM O=C1N[C@H](c2ccc(-c3nn[n-]n3)o2)Nc2cc(Cl)ccc21 ZINC000823540327 599118037 /nfs/dbraw/zinc/11/80/37/599118037.db2.gz ARWPGVRTFDFVLK-LLVKDONJSA-N -1 1 316.708 1.967 20 0 DDADMM CC(C)(NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1nccs1 ZINC000735671727 599165456 /nfs/dbraw/zinc/16/54/56/599165456.db2.gz DARIWOCJNBUAQF-UHFFFAOYSA-N -1 1 315.362 1.383 20 0 DDADMM CC(C)(NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1nccs1 ZINC000735671727 599165460 /nfs/dbraw/zinc/16/54/60/599165460.db2.gz DARIWOCJNBUAQF-UHFFFAOYSA-N -1 1 315.362 1.383 20 0 DDADMM COCCCCCn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000736977722 599192953 /nfs/dbraw/zinc/19/29/53/599192953.db2.gz BJXPXJCGBJXCML-UHFFFAOYSA-N -1 1 313.361 1.998 20 0 DDADMM Cc1cc(Br)ccc1NC(=O)CN[C@@H](C)C(=O)[O-] ZINC000035388252 597062230 /nfs/dbraw/zinc/06/22/30/597062230.db2.gz HVLIERVSAFJIBQ-QMMMGPOBSA-N -1 1 315.167 1.759 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)Cc1nc(=O)c2c3c(sc2[nH]1)CCC3 ZINC000817779779 597472726 /nfs/dbraw/zinc/47/27/26/597472726.db2.gz XQXHERONELIWOK-ZETCQYMHSA-N -1 1 307.375 1.791 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@@H](C(=O)[O-])C2)cc1OC ZINC000818599109 597534508 /nfs/dbraw/zinc/53/45/08/597534508.db2.gz OWBPEZAQEUNQLX-SNVBAGLBSA-N -1 1 308.334 1.049 20 0 DDADMM CC(C)[C@@H](NCC(=O)N[C@@H]1CCCc2ccccc21)C(=O)[O-] ZINC000820041582 598188137 /nfs/dbraw/zinc/18/81/37/598188137.db2.gz KENMETXGSACBBA-GDBMZVCRSA-N -1 1 304.390 1.879 20 0 DDADMM Cn1cc(N2CC[C@H](NCc3cccc(C(=O)[O-])c3)C2=O)cn1 ZINC000821298239 598192913 /nfs/dbraw/zinc/19/29/13/598192913.db2.gz OOCRPGVLBDQFPX-AWEZNQCLSA-N -1 1 314.345 1.013 20 0 DDADMM COC[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)C(F)(F)F ZINC000826152134 607780009 /nfs/dbraw/zinc/78/00/09/607780009.db2.gz RLGJUNSXNLXCGG-SSDOTTSWSA-N -1 1 322.268 1.662 20 0 DDADMM COC[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)C(F)(F)F ZINC000826152134 607780010 /nfs/dbraw/zinc/78/00/10/607780010.db2.gz RLGJUNSXNLXCGG-SSDOTTSWSA-N -1 1 322.268 1.662 20 0 DDADMM CC(=O)N1CCC(Nc2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000735465918 598274549 /nfs/dbraw/zinc/27/45/49/598274549.db2.gz NWRBWMZMFOXJIB-UHFFFAOYSA-N -1 1 321.772 1.338 20 0 DDADMM CC(=O)N1CCC(Nc2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000735465918 598274551 /nfs/dbraw/zinc/27/45/51/598274551.db2.gz NWRBWMZMFOXJIB-UHFFFAOYSA-N -1 1 321.772 1.338 20 0 DDADMM Clc1ccc(CCNc2nccnc2-c2nnn[n-]2)cc1 ZINC000737573713 598336470 /nfs/dbraw/zinc/33/64/70/598336470.db2.gz AVONVDRQKSBNQD-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM Clc1ccc(CCNc2nccnc2-c2nn[n-]n2)cc1 ZINC000737573713 598336472 /nfs/dbraw/zinc/33/64/72/598336472.db2.gz AVONVDRQKSBNQD-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM COc1ccc(CN(C)c2nccnc2-c2nnn[n-]2)cc1F ZINC000737090577 598338168 /nfs/dbraw/zinc/33/81/68/598338168.db2.gz JRBKLQVZYRLJLO-UHFFFAOYSA-N -1 1 315.312 1.441 20 0 DDADMM COc1ccc(CN(C)c2nccnc2-c2nn[n-]n2)cc1F ZINC000737090577 598338170 /nfs/dbraw/zinc/33/81/70/598338170.db2.gz JRBKLQVZYRLJLO-UHFFFAOYSA-N -1 1 315.312 1.441 20 0 DDADMM c1ccc(N2CCCN(c3nccnc3-c3nnn[n-]3)CC2)cc1 ZINC000738436082 598347705 /nfs/dbraw/zinc/34/77/05/598347705.db2.gz ORNMFNXDQBNYIY-UHFFFAOYSA-N -1 1 322.376 1.373 20 0 DDADMM c1ccc(N2CCCN(c3nccnc3-c3nn[n-]n3)CC2)cc1 ZINC000738436082 598347707 /nfs/dbraw/zinc/34/77/07/598347707.db2.gz ORNMFNXDQBNYIY-UHFFFAOYSA-N -1 1 322.376 1.373 20 0 DDADMM CCc1nc(CCNc2nccnc2-c2nnn[n-]2)cs1 ZINC000736700945 598352881 /nfs/dbraw/zinc/35/28/81/598352881.db2.gz VZWHCORBOLYDOQ-UHFFFAOYSA-N -1 1 302.367 1.330 20 0 DDADMM CCc1nc(CCNc2nccnc2-c2nn[n-]n2)cs1 ZINC000736700945 598352882 /nfs/dbraw/zinc/35/28/82/598352882.db2.gz VZWHCORBOLYDOQ-UHFFFAOYSA-N -1 1 302.367 1.330 20 0 DDADMM Fc1ccc(OCCNc2cnc(-c3nnn[n-]3)cn2)cc1 ZINC000737657661 598385887 /nfs/dbraw/zinc/38/58/87/598385887.db2.gz YJNWDSRETRTENQ-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM Fc1ccc(OCCNc2cnc(-c3nn[n-]n3)cn2)cc1 ZINC000737657661 598385889 /nfs/dbraw/zinc/38/58/89/598385889.db2.gz YJNWDSRETRTENQ-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM c1ccc(N[C@@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000738435346 598394215 /nfs/dbraw/zinc/39/42/15/598394215.db2.gz LQQVQLIYLUPDPF-GFCCVEGCSA-N -1 1 308.349 1.348 20 0 DDADMM c1ccc(N[C@@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000738435346 598394218 /nfs/dbraw/zinc/39/42/18/598394218.db2.gz LQQVQLIYLUPDPF-GFCCVEGCSA-N -1 1 308.349 1.348 20 0 DDADMM Cc1cccc2nc(CNc3nccnc3-c3nnn[n-]3)cn21 ZINC000737417264 598594072 /nfs/dbraw/zinc/59/40/72/598594072.db2.gz IMFQBEAYFBRYQZ-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM Cc1cccc2nc(CNc3nccnc3-c3nn[n-]n3)cn21 ZINC000737417264 598594074 /nfs/dbraw/zinc/59/40/74/598594074.db2.gz IMFQBEAYFBRYQZ-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM COC(=O)Cn1cc(-c2nn[n-]n2)c(=O)c2cc(Cl)ccc21 ZINC000736931523 598721126 /nfs/dbraw/zinc/72/11/26/598721126.db2.gz XUXOPYCMQLLNBT-UHFFFAOYSA-N -1 1 319.708 1.008 20 0 DDADMM CCN(C[C@H]1CCCO1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000736535299 598745810 /nfs/dbraw/zinc/74/58/10/598745810.db2.gz SQRUKULXJBQNMW-SSDOTTSWSA-N -1 1 314.802 1.982 20 0 DDADMM CCN(C[C@H]1CCCO1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000736535299 598745811 /nfs/dbraw/zinc/74/58/11/598745811.db2.gz SQRUKULXJBQNMW-SSDOTTSWSA-N -1 1 314.802 1.982 20 0 DDADMM O=C1OC(c2cccs2)=NC1=Cc1ccc(-c2nnn[n-]2)o1 ZINC000823551203 599310029 /nfs/dbraw/zinc/31/00/29/599310029.db2.gz IWUYKVVYKXCDIR-VURMDHGXSA-N -1 1 313.298 1.866 20 0 DDADMM O=C1OC(c2cccs2)=NC1=Cc1ccc(-c2nn[n-]n2)o1 ZINC000823551203 599310033 /nfs/dbraw/zinc/31/00/33/599310033.db2.gz IWUYKVVYKXCDIR-VURMDHGXSA-N -1 1 313.298 1.866 20 0 DDADMM Cc1cc(Cn2cccc(-c3nn[n-]n3)c2=O)c2ccccc2n1 ZINC000822202389 599385119 /nfs/dbraw/zinc/38/51/19/599385119.db2.gz XZOZBUZNFFQKOU-UHFFFAOYSA-N -1 1 318.340 1.933 20 0 DDADMM O=C(CCNc1cccc(-c2nnn[n-]2)n1)Nc1ccccc1 ZINC000737848180 599437571 /nfs/dbraw/zinc/43/75/71/599437571.db2.gz PCGBRYLVLRGGNK-UHFFFAOYSA-N -1 1 309.333 1.702 20 0 DDADMM O=C(CCNc1cccc(-c2nn[n-]n2)n1)Nc1ccccc1 ZINC000737848180 599437575 /nfs/dbraw/zinc/43/75/75/599437575.db2.gz PCGBRYLVLRGGNK-UHFFFAOYSA-N -1 1 309.333 1.702 20 0 DDADMM C[C@H]1CCN(CC(=O)N2C[C@@H](C(=O)[O-])Oc3ccccc32)C1 ZINC000736797173 599752799 /nfs/dbraw/zinc/75/27/99/599752799.db2.gz IVSCLEZPFOKIET-FZMZJTMJSA-N -1 1 304.346 1.207 20 0 DDADMM CC[C@@H](C)N(C)CC(=O)Nc1cc(C(=O)[O-])cc(C(=O)OC)c1 ZINC000736881952 599756480 /nfs/dbraw/zinc/75/64/80/599756480.db2.gz DGXANDBOGIKDTL-SNVBAGLBSA-N -1 1 322.361 1.840 20 0 DDADMM CN(CC(=O)Nc1ccc(C(=O)[O-])cc1)C[C@H]1CCCOC1 ZINC000737394399 599763383 /nfs/dbraw/zinc/76/33/83/599763383.db2.gz DWUGROIHVBVFBX-GFCCVEGCSA-N -1 1 306.362 1.682 20 0 DDADMM CC(=O)c1[nH]c(CC(=O)NCc2cn[nH]c2C)c(C(=O)[O-])c1C ZINC000736178080 599850538 /nfs/dbraw/zinc/85/05/38/599850538.db2.gz QXRCEPLJIQGVJK-UHFFFAOYSA-N -1 1 318.333 1.114 20 0 DDADMM CC(C)(NCC(=O)NCCCOC1CCCCC1)C(=O)[O-] ZINC000736339875 599923594 /nfs/dbraw/zinc/92/35/94/599923594.db2.gz YLUDNEHFQGJGFD-UHFFFAOYSA-N -1 1 300.399 1.295 20 0 DDADMM CCOCCN1CCN(Cc2cc(C(=O)[O-])co2)C[C@@H]1CC ZINC000737176686 599961808 /nfs/dbraw/zinc/96/18/08/599961808.db2.gz IGERTDDTHIOEOM-AWEZNQCLSA-N -1 1 310.394 1.911 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]1C(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000739538340 600112609 /nfs/dbraw/zinc/11/26/09/600112609.db2.gz HTVMXYKLVBZMRR-LSDHHAIUSA-N -1 1 320.364 1.627 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCc3ccccc3C2)CCCCC1 ZINC000739307674 600149410 /nfs/dbraw/zinc/14/94/10/600149410.db2.gz PMQZNBJCSPKBDK-UHFFFAOYSA-N -1 1 316.401 1.948 20 0 DDADMM Cc1[nH]c(C(=O)CN[C@H](C)Cn2cccn2)c(C)c1C(=O)[O-] ZINC000738153605 600181585 /nfs/dbraw/zinc/18/15/85/600181585.db2.gz NZWPUUJJNNCUQW-SECBINFHSA-N -1 1 304.350 1.387 20 0 DDADMM O=C([O-])c1cc(NS(=O)(=O)c2c[nH]cn2)c(F)cc1F ZINC000070415541 600254715 /nfs/dbraw/zinc/25/47/15/600254715.db2.gz LDLQODVGUCVEIO-UHFFFAOYSA-N -1 1 303.246 1.187 20 0 DDADMM Cc1ccccc1CNC(=O)CN1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000738772039 600304912 /nfs/dbraw/zinc/30/49/12/600304912.db2.gz GTBLNOLEIFCRSL-OAHLLOKOSA-N -1 1 316.401 1.798 20 0 DDADMM C[C@H](CN(C)[C@H](C(=O)NC1CC1)c1ccc(F)cc1)C(=O)[O-] ZINC000736576090 600364775 /nfs/dbraw/zinc/36/47/75/600364775.db2.gz UAMOFOAMZXTTSH-YGRLFVJLSA-N -1 1 308.353 1.798 20 0 DDADMM COc1ccc(OC)c(NC(=O)CN(C)C[C@@H](C)C(=O)[O-])c1 ZINC000738047294 600376968 /nfs/dbraw/zinc/37/69/68/600376968.db2.gz GBKDSCOUPVEEIH-SNVBAGLBSA-N -1 1 310.350 1.295 20 0 DDADMM CN1CCC[C@@H]1C(=O)Nc1ccc(OCCCC(=O)[O-])cc1 ZINC000737518093 600506162 /nfs/dbraw/zinc/50/61/62/600506162.db2.gz BYUMMFGUOJJRJZ-CQSZACIVSA-N -1 1 306.362 1.963 20 0 DDADMM O=C([O-])CCN(CC(=O)NC1CCCCC1)C[C@@H]1CCCO1 ZINC000739719082 600516313 /nfs/dbraw/zinc/51/63/13/600516313.db2.gz QJOILNAULWNCIC-AWEZNQCLSA-N -1 1 312.410 1.391 20 0 DDADMM CC[C@](C)(NCC(=O)NC(=O)Nc1cccc(C)c1C)C(=O)[O-] ZINC000736861608 600559778 /nfs/dbraw/zinc/55/97/78/600559778.db2.gz PQJXOGROSOGJNE-INIZCTEOSA-N -1 1 321.377 1.794 20 0 DDADMM C[C@H](CN(CN1C[C@H](c2ccccn2)CC1=O)C1CC1)C(=O)[O-] ZINC000736584961 600636486 /nfs/dbraw/zinc/63/64/86/600636486.db2.gz QTVZNGNMEPSJNT-CHWSQXEVSA-N -1 1 317.389 1.540 20 0 DDADMM NC(=O)c1ccc(CN[C@H](C(=O)[O-])c2ccccc2F)cc1 ZINC000833085396 600732634 /nfs/dbraw/zinc/73/26/34/600732634.db2.gz ODCLGBRRHRVBOR-AWEZNQCLSA-N -1 1 302.305 1.840 20 0 DDADMM C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1ccc(OCC(=O)[O-])cc1 ZINC000828214348 600890833 /nfs/dbraw/zinc/89/08/33/600890833.db2.gz LCMINXWJUWETDJ-VXGBXAGGSA-N -1 1 306.362 1.961 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N1C[C@@H](C)S[C@H](C)C1 ZINC000828411556 601025648 /nfs/dbraw/zinc/02/56/48/601025648.db2.gz IHLNHPNFSHJYMF-IJLUTSLNSA-N -1 1 314.451 1.524 20 0 DDADMM COc1cc(NC(=O)CCc2nc[nH]n2)c(C(=O)[O-])cc1OC ZINC000832017295 601136673 /nfs/dbraw/zinc/13/66/73/601136673.db2.gz PJJWLDXJBAVTNY-UHFFFAOYSA-N -1 1 320.305 1.091 20 0 DDADMM O=C([O-])CCCCCNC(=O)CSc1n[nH]c(=S)s1 ZINC000833224796 601272910 /nfs/dbraw/zinc/27/29/10/601272910.db2.gz MZMRZUXUICQJQE-UHFFFAOYSA-N -1 1 321.449 1.680 20 0 DDADMM Cc1[nH]c(C(=O)[C@@H](C)N(C)C[C@H]2CCOC2)c(C)c1C(=O)[O-] ZINC000832843727 601384332 /nfs/dbraw/zinc/38/43/32/601384332.db2.gz ZVLUIPNPVLKDQT-VXGBXAGGSA-N -1 1 308.378 1.869 20 0 DDADMM COc1ccc2c(c1)N(Cc1ccnc(-c3nnn[n-]3)c1)CCO2 ZINC000826212948 607506443 /nfs/dbraw/zinc/50/64/43/607506443.db2.gz GRJTWLGQNJMGGY-UHFFFAOYSA-N -1 1 324.344 1.669 20 0 DDADMM COc1ccc2c(c1)N(Cc1ccnc(-c3nn[n-]n3)c1)CCO2 ZINC000826212948 607506445 /nfs/dbraw/zinc/50/64/45/607506445.db2.gz GRJTWLGQNJMGGY-UHFFFAOYSA-N -1 1 324.344 1.669 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@@H](C)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828420113 601511761 /nfs/dbraw/zinc/51/17/61/601511761.db2.gz AASGTUMTAVWJDT-IJLUTSLNSA-N -1 1 308.382 1.444 20 0 DDADMM Cc1nc(NC(=O)[C@H](C)N2C[C@H](C)[C@@H](C(=O)[O-])C2)sc1C ZINC000833003289 601513472 /nfs/dbraw/zinc/51/34/72/601513472.db2.gz JHHUIVMBRWRIDV-ARENWVFISA-N -1 1 311.407 1.739 20 0 DDADMM CCOc1ccc(NC(=O)CN2C[C@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000315532971 601526520 /nfs/dbraw/zinc/52/65/20/601526520.db2.gz GOEGZYCPWYVNNH-SMDDNHRTSA-N -1 1 306.362 1.676 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1ccc(Nc2ccncc2)cc1)C1CC1 ZINC000833126159 601702564 /nfs/dbraw/zinc/70/25/64/601702564.db2.gz ZDXXWJKJBNWIFN-OAHLLOKOSA-N -1 1 311.341 1.840 20 0 DDADMM C[C@H]1CN(Cn2c(=O)oc3cc(Cl)ccc32)C[C@@H]1C(=O)[O-] ZINC000828465821 601813120 /nfs/dbraw/zinc/81/31/20/601813120.db2.gz YBENMTWKDOMCOY-WPRPVWTQSA-N -1 1 310.737 1.858 20 0 DDADMM Cc1nc([C@@H]2CN(Cc3ccsc3C(=O)[O-])CCO2)n[nH]1 ZINC000832990541 601835120 /nfs/dbraw/zinc/83/51/20/601835120.db2.gz CAJSFQONIVQIDD-JTQLQIEISA-N -1 1 308.363 1.446 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CN2C[C@H](c3ccccn3)CC2=O)C1 ZINC000828029014 601891040 /nfs/dbraw/zinc/89/10/40/601891040.db2.gz MHXNTLBYVKFOSJ-WBMJQRKESA-N -1 1 303.362 1.152 20 0 DDADMM CN(Cc1ccc(NC(=O)NCCc2nc[nH]n2)cc1)C(=O)[O-] ZINC000830836473 601948177 /nfs/dbraw/zinc/94/81/77/601948177.db2.gz WPVXRPGHDPNABO-UHFFFAOYSA-N -1 1 318.337 1.279 20 0 DDADMM CC(C)N1CCN(C(=O)[C@H](NC(=O)[O-])c2ccccc2)CC1 ZINC000738854842 602381892 /nfs/dbraw/zinc/38/18/92/602381892.db2.gz PTRWADSPAXQGJV-CQSZACIVSA-N -1 1 305.378 1.548 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000739030315 602464379 /nfs/dbraw/zinc/46/43/79/602464379.db2.gz ZTYUQYMUUFXYRZ-QWHCGFSZSA-N -1 1 311.426 1.756 20 0 DDADMM CN(C(=O)[O-])C1CCN(C(=O)c2cccc3n[nH]cc32)CC1 ZINC000737933863 602519677 /nfs/dbraw/zinc/51/96/77/602519677.db2.gz NMWRIKVOPLBMKX-UHFFFAOYSA-N -1 1 302.334 1.777 20 0 DDADMM CN(C(=O)[O-])C1CCN(CC[S@](=O)c2ccccc2)CC1 ZINC000737938593 602536190 /nfs/dbraw/zinc/53/61/90/602536190.db2.gz ZRMTVRBTBFSGSO-NRFANRHFSA-N -1 1 310.419 1.868 20 0 DDADMM Cc1ccccc1CNC(=O)CN1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000740182988 602538341 /nfs/dbraw/zinc/53/83/41/602538341.db2.gz HKWPVEMEPZYUHL-CQSZACIVSA-N -1 1 319.405 1.543 20 0 DDADMM CN(C[C@@H]1CCN(CC(=O)NCc2ccc(F)cc2)C1)C(=O)[O-] ZINC000739661636 602542318 /nfs/dbraw/zinc/54/23/18/602542318.db2.gz RQGAJHNCOYYOAW-ZDUSSCGKSA-N -1 1 323.368 1.374 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)NC[C@@H]1CCCN1C(=O)[O-] ZINC000739607749 602607551 /nfs/dbraw/zinc/60/75/51/602607551.db2.gz LECPLBNFFKTPOE-LSDHHAIUSA-N -1 1 319.405 1.418 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)c1csc(CNC(=O)[O-])n1 ZINC000740033135 602720635 /nfs/dbraw/zinc/72/06/35/602720635.db2.gz YNLOETAQJHIIGT-UHFFFAOYSA-N -1 1 323.378 1.305 20 0 DDADMM C[C@@H]1CN([C@@H](C(=O)N(C)C)c2ccccc2)CC[C@H]1NC(=O)[O-] ZINC000739178459 602781469 /nfs/dbraw/zinc/78/14/69/602781469.db2.gz QPWPJHFQDJJDGI-BPLDGKMQSA-N -1 1 319.405 1.794 20 0 DDADMM C[C@H]1CN(Cc2cc(=O)n3ccccc3n2)CC[C@@H]1NC(=O)[O-] ZINC000739179322 602796347 /nfs/dbraw/zinc/79/63/47/602796347.db2.gz AXVVFSDZQOUUJT-AAEUAGOBSA-N -1 1 316.361 1.173 20 0 DDADMM Cc1ccc(OC[C@H](O)CN2C[C@H](C)N(C(=O)[O-])[C@@H](C)C2)cc1 ZINC000740147519 602831460 /nfs/dbraw/zinc/83/14/60/602831460.db2.gz NQNIVEBVQGJWAF-SOUVJXGZSA-N -1 1 322.405 1.807 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000740621334 602972541 /nfs/dbraw/zinc/97/25/41/602972541.db2.gz COFUIABDMHHRDE-ZJUUUORDSA-N -1 1 303.322 1.124 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000736380166 603032964 /nfs/dbraw/zinc/03/29/64/603032964.db2.gz FULZTFCBCVKQEK-LBPRGKRZSA-N -1 1 303.322 1.498 20 0 DDADMM CC(C)C[C@@H](NC(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000736427155 603033185 /nfs/dbraw/zinc/03/31/85/603033185.db2.gz QUKVPHYONRQJCX-GFCCVEGCSA-N -1 1 317.349 1.888 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@@H]1CCCN(C(=O)[O-])C1)c1ccccc1 ZINC000737068821 603201937 /nfs/dbraw/zinc/20/19/37/603201937.db2.gz JZYGDZMHGUQSEW-HUUCEWRRSA-N -1 1 319.405 1.938 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)NC1C2CC3CC(C2)CC1C3 ZINC000739682509 603256602 /nfs/dbraw/zinc/25/66/02/603256602.db2.gz RINWLQJLEWJZNN-UHFFFAOYSA-N -1 1 323.437 1.517 20 0 DDADMM O=C([O-])N1CCC[C@@H](NC(=O)N2CCN3CCCC[C@H]3C2)C1 ZINC000739928354 603305933 /nfs/dbraw/zinc/30/59/33/603305933.db2.gz SYYPNIMPFWJSKR-OLZOCXBDSA-N -1 1 310.398 1.009 20 0 DDADMM CN(C)C(=O)c1cccc(CN(C)[C@@H]2CCN(C(=O)[O-])C2)c1 ZINC000827989552 603485775 /nfs/dbraw/zinc/48/57/75/603485775.db2.gz YFSBFZPHHJJKPP-CQSZACIVSA-N -1 1 305.378 1.573 20 0 DDADMM CCC[C@@](C)(NC(=O)[O-])C(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000826713000 603560173 /nfs/dbraw/zinc/56/01/73/603560173.db2.gz QTYCPFBCWSHZDJ-CQSZACIVSA-N -1 1 309.370 1.337 20 0 DDADMM C[C@@H]1CN(CCC(=O)Nc2cc(N)ccc2F)CCN1C(=O)[O-] ZINC000826058999 603572172 /nfs/dbraw/zinc/57/21/72/603572172.db2.gz RALCPHDBBABVSC-SNVBAGLBSA-N -1 1 324.356 1.421 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)C[C@@H](Cc1ccccc1)NC(=O)[O-] ZINC000828426552 603645530 /nfs/dbraw/zinc/64/55/30/603645530.db2.gz JVYYQVAUXWDFDY-GFCCVEGCSA-N -1 1 317.349 1.032 20 0 DDADMM Cc1nnc(SCCC(=O)NC[C@@H](NC(=O)[O-])C(C)C)[nH]1 ZINC000830894676 603806762 /nfs/dbraw/zinc/80/67/62/603806762.db2.gz WXZZNFQKXRHZAZ-SECBINFHSA-N -1 1 315.399 1.004 20 0 DDADMM CCc1cc(CNC(=O)N2CCC[C@H]2CN(C)C(=O)[O-])[nH]n1 ZINC000827563579 603808646 /nfs/dbraw/zinc/80/86/46/603808646.db2.gz GDWZNHYFUZNIPB-LBPRGKRZSA-N -1 1 309.370 1.256 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H]1CNC(=O)[O-])N(C)Cc1ccccc1 ZINC000823667916 603862104 /nfs/dbraw/zinc/86/21/04/603862104.db2.gz AMVHCEDVPQNLOW-HIFRSBDPSA-N -1 1 319.405 1.766 20 0 DDADMM C[C@@H](C(=O)NCc1cnc[nH]1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823701820 603903782 /nfs/dbraw/zinc/90/37/82/603903782.db2.gz HRHIZAFWCCUALF-MFKMUULPSA-N -1 1 302.334 1.671 20 0 DDADMM O=C([O-])N1[C@@H]2CC[C@H]1CC(CC(=O)N1CCc3[nH]nnc3C1)C2 ZINC000831298691 603928180 /nfs/dbraw/zinc/92/81/80/603928180.db2.gz HXUOVQOBIIGZEM-FGWVZKOKSA-N -1 1 319.365 1.001 20 0 DDADMM O=C([O-])N[C@@H]1CCCN(Cc2ccc(-n3ccnc3)nc2)C1 ZINC000832366709 603960059 /nfs/dbraw/zinc/96/00/59/603960059.db2.gz WTYGBGHLGDVDHB-CYBMUJFWSA-N -1 1 301.350 1.499 20 0 DDADMM CCc1oc(C(=O)OC)cc1CN1CCC[C@@H]1CNC(=O)[O-] ZINC000827681556 603968277 /nfs/dbraw/zinc/96/82/77/603968277.db2.gz ORRUYFXBBWJELN-LLVKDONJSA-N -1 1 310.350 1.861 20 0 DDADMM CN(C[C@H]1CCCN1CC[S@@](=O)c1ccccc1)C(=O)[O-] ZINC000828223026 604105093 /nfs/dbraw/zinc/10/50/93/604105093.db2.gz HAJHGCULPVYQJV-LRTDBIEQSA-N -1 1 310.419 1.868 20 0 DDADMM CC(C)S(=O)(=O)CCCN1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000824432229 604124742 /nfs/dbraw/zinc/12/47/42/604124742.db2.gz ZEXOFSLZPDQZMA-GFCCVEGCSA-N -1 1 306.428 1.131 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@@H]([C@H](C)NC(=O)[O-])C2)n[nH]1 ZINC000826949370 604153236 /nfs/dbraw/zinc/15/32/36/604153236.db2.gz MIMIHAVAEGLNLT-WDEREUQCSA-N -1 1 308.382 1.871 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](CNC(=O)[O-])C2CCCCC2)[nH]n1 ZINC000830031044 604215834 /nfs/dbraw/zinc/21/58/34/604215834.db2.gz SDDWIOVYTCETKX-ZDUSSCGKSA-N -1 1 308.382 1.593 20 0 DDADMM COC(=O)c1ccc(CN2CCC[C@@H]([C@H](C)NC(=O)[O-])C2)o1 ZINC000828772049 604218153 /nfs/dbraw/zinc/21/81/53/604218153.db2.gz JYTRHCWFNJOSBP-WDEREUQCSA-N -1 1 310.350 1.934 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(Cc2cn3cccnc3n2)C1 ZINC000825270540 604253684 /nfs/dbraw/zinc/25/36/84/604253684.db2.gz BGNVNYPTIZRHDH-VXGBXAGGSA-N -1 1 303.366 1.597 20 0 DDADMM CC(C)CN1CCO[C@H](COC(=O)[C@H](C)CN(C)C(=O)[O-])C1 ZINC000824264203 604257287 /nfs/dbraw/zinc/25/72/87/604257287.db2.gz WFZNQBLGENSGSY-OLZOCXBDSA-N -1 1 316.398 1.132 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@@H]3CC[C@@H](NC(=O)[O-])C3)n2)o1 ZINC000830158808 604585332 /nfs/dbraw/zinc/58/53/32/604585332.db2.gz AQBRFQKMJPSESK-RKDXNWHRSA-N -1 1 319.321 1.748 20 0 DDADMM C[C@H](CN(CC(=O)Nc1ccc(Cl)cn1)C1CC1)C(=O)[O-] ZINC000827450678 604740571 /nfs/dbraw/zinc/74/05/71/604740571.db2.gz ZROAHFBFMFZNOW-SECBINFHSA-N -1 1 311.769 1.859 20 0 DDADMM C[C@@H](CN(CC(=O)Nc1cccnc1Cl)C1CC1)C(=O)[O-] ZINC000827450600 604742963 /nfs/dbraw/zinc/74/29/63/604742963.db2.gz YKPYGRKSGRPUOF-VIFPVBQESA-N -1 1 311.769 1.859 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)CCCNC(=O)[O-])[nH]c21 ZINC000833717260 604868148 /nfs/dbraw/zinc/86/81/48/604868148.db2.gz WZXMQJFKHXKFPS-UHFFFAOYSA-N -1 1 320.305 1.336 20 0 DDADMM C[C@H](C(=O)Nc1ncccn1)N1CCC[C@@H](C(C)(C)C(=O)[O-])C1 ZINC000833412290 604939581 /nfs/dbraw/zinc/93/95/81/604939581.db2.gz SYXIMBPODPIGEY-VXGBXAGGSA-N -1 1 320.393 1.626 20 0 DDADMM C[C@H](CC(=O)N[C@H](C)c1nnc[nH]1)C1CCN(C(=O)[O-])CC1 ZINC000825100686 604973218 /nfs/dbraw/zinc/97/32/18/604973218.db2.gz IYNOYBVFPAPGMI-NXEZZACHSA-N -1 1 309.370 1.398 20 0 DDADMM COCC1(C)CCN(CC(=O)N[C@H](CC(C)C)C(=O)[O-])CC1 ZINC000833722911 605020217 /nfs/dbraw/zinc/02/02/17/605020217.db2.gz RFLLJRHQFYJBLX-CYBMUJFWSA-N -1 1 314.426 1.350 20 0 DDADMM O=C([O-])N[C@H]1CCN(C(=O)c2cc(-c3ccccc3)[nH]n2)C1 ZINC000740589428 605071256 /nfs/dbraw/zinc/07/12/56/605071256.db2.gz XUPZYNGYCRCYKG-NSHDSACASA-N -1 1 300.318 1.559 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1C[C@@H]1Cc1ccccc1 ZINC000833767344 605077464 /nfs/dbraw/zinc/07/74/64/605077464.db2.gz ABZTYRVUZPIVDL-QEJZJMRPSA-N -1 1 313.357 1.400 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1C[C@@H]1C1CCCCC1 ZINC000833767942 605083927 /nfs/dbraw/zinc/08/39/27/605083927.db2.gz VIAFTWWIYHIULK-MCIONIFRSA-N -1 1 305.378 1.738 20 0 DDADMM CCO[C@H]1C[C@H](NCc2cn(CC(=O)[O-])nn2)C1(CC)CC ZINC000833659979 605091402 /nfs/dbraw/zinc/09/14/02/605091402.db2.gz KELMAMRCDXRKBR-STQMWFEESA-N -1 1 310.398 1.436 20 0 DDADMM CCO[C@@H]1C[C@H](NCc2cn(CC(=O)[O-])nn2)C12CCCC2 ZINC000833662234 605092162 /nfs/dbraw/zinc/09/21/62/605092162.db2.gz ZAKSDIDGVUNIAM-QWHCGFSZSA-N -1 1 308.382 1.190 20 0 DDADMM CC(C)CCSCC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833483980 605110774 /nfs/dbraw/zinc/11/07/74/605110774.db2.gz GMJQXUGHGYDGIT-CYBMUJFWSA-N -1 1 316.467 1.773 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](C[N@H+]2CC[C@H](Oc3cccnc3)C2)O1 ZINC000833584251 605114942 /nfs/dbraw/zinc/11/49/42/605114942.db2.gz MXLNRKOJUXUZBD-UONOGXRCSA-N -1 1 304.346 1.682 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000826108237 605127253 /nfs/dbraw/zinc/12/72/53/605127253.db2.gz DXNJWDVWHMJLON-XRNSZHNASA-N -1 1 322.365 1.407 20 0 DDADMM CCc1ccc(C(=O)N2CCN(CCC(=O)[O-])[C@@H](C)C2)cc1 ZINC000833669232 605250686 /nfs/dbraw/zinc/25/06/86/605250686.db2.gz CEEXOTYJRWZGGV-ZDUSSCGKSA-N -1 1 304.390 1.870 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@@H](N(C)C(=O)[O-])C2)n[nH]1 ZINC000830029849 605301150 /nfs/dbraw/zinc/30/11/50/605301150.db2.gz KLYFGELSDIBNJK-JOYOIKCWSA-N -1 1 309.370 1.043 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(NC(=O)[O-])c(C)c1)c1nn[nH]n1 ZINC000826764904 605440634 /nfs/dbraw/zinc/44/06/34/605440634.db2.gz MEMDKTLADBUMPK-NSHDSACASA-N -1 1 318.337 1.869 20 0 DDADMM CCCN(Cc1nnc(CC)n1C)C1CCN(C(=O)[O-])CC1 ZINC000826916000 605462546 /nfs/dbraw/zinc/46/25/46/605462546.db2.gz BWIMGUFGUIXEHD-UHFFFAOYSA-N -1 1 309.414 1.732 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@@H](NC(=O)[O-])C[C@H]1C ZINC000829835073 605561017 /nfs/dbraw/zinc/56/10/17/605561017.db2.gz XQTJZTMPJBBQAD-ZWNOBZJWSA-N -1 1 323.397 1.481 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(Cc2ccc(C(=O)N(C)C)[nH]2)C1 ZINC000833812010 605595572 /nfs/dbraw/zinc/59/55/72/605595572.db2.gz GOKXOBBBIGPLOQ-RYUDHWBXSA-N -1 1 322.409 1.585 20 0 DDADMM O=C([O-])NCCCC(=O)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000834229372 605692605 /nfs/dbraw/zinc/69/26/05/605692605.db2.gz GMLMIJLMKYPARO-GFCCVEGCSA-N -1 1 303.322 1.058 20 0 DDADMM CC(C)(C)[C@H](NC(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000823851090 605723295 /nfs/dbraw/zinc/72/32/95/605723295.db2.gz WAYVKZJJLNDRLK-GFCCVEGCSA-N -1 1 317.349 1.888 20 0 DDADMM O=C([O-])c1cn(C2CN(C(=O)c3ccc(O)c(Cl)c3)C2)nn1 ZINC000317461466 605725287 /nfs/dbraw/zinc/72/52/87/605725287.db2.gz OVTOBABTFMJBKV-UHFFFAOYSA-N -1 1 322.708 1.032 20 0 DDADMM O=C([O-])N1CCN(C(=O)CCc2nc[nH]n2)C2(CCCCC2)C1 ZINC000832018115 605750256 /nfs/dbraw/zinc/75/02/56/605750256.db2.gz ATOMNIIRSJUJOK-UHFFFAOYSA-N -1 1 321.381 1.262 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1C[C@H](O)c1cc(F)ccc1F ZINC000833835068 605898283 /nfs/dbraw/zinc/89/82/83/605898283.db2.gz ULDGZCFRAPOVGZ-RULNRJAQSA-N -1 1 314.332 1.976 20 0 DDADMM CCc1nc(COC(=O)c2ccc(-c3nnn[n-]3)s2)no1 ZINC000821510056 606139592 /nfs/dbraw/zinc/13/95/92/606139592.db2.gz UUYUIWZMDBWAOE-UHFFFAOYSA-N -1 1 306.307 1.231 20 0 DDADMM CCc1nc(COC(=O)c2ccc(-c3nn[n-]n3)s2)no1 ZINC000821510056 606139593 /nfs/dbraw/zinc/13/95/93/606139593.db2.gz UUYUIWZMDBWAOE-UHFFFAOYSA-N -1 1 306.307 1.231 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)[C@@H](C)C1 ZINC000820690639 606170644 /nfs/dbraw/zinc/17/06/44/606170644.db2.gz PHBRNVWGMDVJFU-YWVKMMECSA-N -1 1 318.385 1.546 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)[C@@H](C)C1 ZINC000820690639 606170645 /nfs/dbraw/zinc/17/06/45/606170645.db2.gz PHBRNVWGMDVJFU-YWVKMMECSA-N -1 1 318.385 1.546 20 0 DDADMM CC[C@@H]1CCC[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820971930 606171557 /nfs/dbraw/zinc/17/15/57/606171557.db2.gz IFCKJDFETGUUGR-ZJUUUORDSA-N -1 1 318.385 1.691 20 0 DDADMM CC[C@@H]1CCC[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820971930 606171559 /nfs/dbraw/zinc/17/15/59/606171559.db2.gz IFCKJDFETGUUGR-ZJUUUORDSA-N -1 1 318.385 1.691 20 0 DDADMM CC[C@@H]1CCCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820979378 606171923 /nfs/dbraw/zinc/17/19/23/606171923.db2.gz HGWKIFQECYTLEB-MWLCHTKSSA-N -1 1 318.385 1.691 20 0 DDADMM CC[C@@H]1CCCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820979378 606171924 /nfs/dbraw/zinc/17/19/24/606171924.db2.gz HGWKIFQECYTLEB-MWLCHTKSSA-N -1 1 318.385 1.691 20 0 DDADMM COC(=O)/C(C)=C/COC(=O)c1sccc1-c1nn[n-]n1 ZINC000821639025 606226456 /nfs/dbraw/zinc/22/64/56/606226456.db2.gz NFZDXKLBHMIMQT-XVNBXDOJSA-N -1 1 308.319 1.204 20 0 DDADMM COC(=O)CCCCCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821660355 606520010 /nfs/dbraw/zinc/52/00/10/606520010.db2.gz NSYXQDCEEQHIKQ-UHFFFAOYSA-N -1 1 323.378 1.391 20 0 DDADMM COC(=O)CCCCCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821660355 606520012 /nfs/dbraw/zinc/52/00/12/606520012.db2.gz NSYXQDCEEQHIKQ-UHFFFAOYSA-N -1 1 323.378 1.391 20 0 DDADMM CC(C)CCOCCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820076318 606535653 /nfs/dbraw/zinc/53/56/53/606535653.db2.gz GLJAAUPKQZANNC-UHFFFAOYSA-N -1 1 309.395 1.721 20 0 DDADMM CC(C)CCOCCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820076318 606535654 /nfs/dbraw/zinc/53/56/54/606535654.db2.gz GLJAAUPKQZANNC-UHFFFAOYSA-N -1 1 309.395 1.721 20 0 DDADMM CC(C)C[C@@H]1COCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820068266 606563303 /nfs/dbraw/zinc/56/33/03/606563303.db2.gz PUSGLDFRYKZQEZ-SNVBAGLBSA-N -1 1 321.406 1.815 20 0 DDADMM CC(C)C[C@@H]1COCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820068266 606563304 /nfs/dbraw/zinc/56/33/04/606563304.db2.gz PUSGLDFRYKZQEZ-SNVBAGLBSA-N -1 1 321.406 1.815 20 0 DDADMM CC(C)OCCCN(C)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820142884 606569174 /nfs/dbraw/zinc/56/91/74/606569174.db2.gz ZQBJCKNUCUDHJL-UHFFFAOYSA-N -1 1 309.395 1.815 20 0 DDADMM CC(C)OCCCN(C)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820142884 606569175 /nfs/dbraw/zinc/56/91/75/606569175.db2.gz ZQBJCKNUCUDHJL-UHFFFAOYSA-N -1 1 309.395 1.815 20 0 DDADMM O=C(Cc1cccc(-c2nn[nH]n2)c1)Nc1c([O-])cccc1F ZINC000823104077 606599238 /nfs/dbraw/zinc/59/92/38/606599238.db2.gz QCFHKCRDFYPBNX-UHFFFAOYSA-N -1 1 313.292 1.893 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cnn2ccccc12 ZINC000823436297 606648959 /nfs/dbraw/zinc/64/89/59/606648959.db2.gz OXDODJXISGGNPM-UHFFFAOYSA-N -1 1 321.300 1.266 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cnn2ccccc12 ZINC000823436297 606648960 /nfs/dbraw/zinc/64/89/60/606648960.db2.gz OXDODJXISGGNPM-UHFFFAOYSA-N -1 1 321.300 1.266 20 0 DDADMM O=C(Cc1ccccc1F)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000823115940 606653952 /nfs/dbraw/zinc/65/39/52/606653952.db2.gz ZDTZDIRHXDHWAZ-UHFFFAOYSA-N -1 1 313.292 1.687 20 0 DDADMM O=C(Cc1ccccc1F)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000823115940 606653953 /nfs/dbraw/zinc/65/39/53/606653953.db2.gz ZDTZDIRHXDHWAZ-UHFFFAOYSA-N -1 1 313.292 1.687 20 0 DDADMM O=S(=O)(c1ccc(F)cc1-c1nn[n-]n1)N1C2CCC1CC2 ZINC000823600203 606841590 /nfs/dbraw/zinc/84/15/90/606841590.db2.gz DIJFBINDLFHMCS-UHFFFAOYSA-N -1 1 323.353 1.321 20 0 DDADMM C[C@@H]1CCCN1S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000820717726 606844567 /nfs/dbraw/zinc/84/45/67/606844567.db2.gz VKGHRIPYJOZATP-MRVPVSSYSA-N -1 1 311.342 1.179 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)[C@@H]1Cc2ccccc2O1 ZINC000823379876 607024724 /nfs/dbraw/zinc/02/47/24/607024724.db2.gz MHWADXJKAMLRME-VIFPVBQESA-N -1 1 314.330 1.265 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)[C@@H]1Cc2ccccc2O1 ZINC000823379876 607024726 /nfs/dbraw/zinc/02/47/26/607024726.db2.gz MHWADXJKAMLRME-VIFPVBQESA-N -1 1 314.330 1.265 20 0 DDADMM CCOc1cccc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)c1 ZINC000821427045 607109235 /nfs/dbraw/zinc/10/92/35/607109235.db2.gz MQZVJFVZWDELMR-UHFFFAOYSA-N -1 1 316.346 1.974 20 0 DDADMM CCOc1cccc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)c1 ZINC000821427045 607109236 /nfs/dbraw/zinc/10/92/36/607109236.db2.gz MQZVJFVZWDELMR-UHFFFAOYSA-N -1 1 316.346 1.974 20 0 DDADMM c1ccc(N2CC[C@@H](Nc3cccc(-c4nn[n-]n4)n3)C2)nc1 ZINC000826522189 607811667 /nfs/dbraw/zinc/81/16/67/607811667.db2.gz PZLRTRANJBSJKQ-LLVKDONJSA-N -1 1 308.349 1.348 20 0 DDADMM CCOc1ccc(CN(C)c2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000825638296 607987769 /nfs/dbraw/zinc/98/77/69/607987769.db2.gz JDADKFXNSGAGOM-UHFFFAOYSA-N -1 1 311.349 1.692 20 0 DDADMM CCOc1ccc(CN(C)c2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000825638296 607987770 /nfs/dbraw/zinc/98/77/70/607987770.db2.gz JDADKFXNSGAGOM-UHFFFAOYSA-N -1 1 311.349 1.692 20 0 DDADMM CC[C@H]1CN(c2cc(-c3nnn[n-]3)nc3ccccc32)C[C@H]1O ZINC000825212967 608018071 /nfs/dbraw/zinc/01/80/71/608018071.db2.gz VGUZLBAROPOZAZ-ZUZCIYMTSA-N -1 1 310.361 1.622 20 0 DDADMM CC[C@H]1CN(c2cc(-c3nn[n-]n3)nc3ccccc32)C[C@H]1O ZINC000825212967 608018072 /nfs/dbraw/zinc/01/80/72/608018072.db2.gz VGUZLBAROPOZAZ-ZUZCIYMTSA-N -1 1 310.361 1.622 20 0 DDADMM Cc1cccnc1COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000826308023 608255001 /nfs/dbraw/zinc/25/50/01/608255001.db2.gz GASYAOXGPVNNGO-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM Cc1cccnc1COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000826308023 608255003 /nfs/dbraw/zinc/25/50/03/608255003.db2.gz GASYAOXGPVNNGO-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM C[C@H](Nc1ccc(-c2nnn[n-]2)nn1)c1ccc2c(c1)OCO2 ZINC000824655896 608392830 /nfs/dbraw/zinc/39/28/30/608392830.db2.gz GTGLVSJBOAIOMG-QMMMGPOBSA-N -1 1 311.305 1.559 20 0 DDADMM C[C@H](Nc1ccc(-c2nn[n-]n2)nn1)c1ccc2c(c1)OCO2 ZINC000824655896 608392832 /nfs/dbraw/zinc/39/28/32/608392832.db2.gz GTGLVSJBOAIOMG-QMMMGPOBSA-N -1 1 311.305 1.559 20 0 DDADMM c1cc(N2CCC(OC3CCCC3)CC2)nnc1-c1nnn[n-]1 ZINC000826516297 608409153 /nfs/dbraw/zinc/40/91/53/608409153.db2.gz FOJDHLHINVAVEV-UHFFFAOYSA-N -1 1 315.381 1.585 20 0 DDADMM c1cc(N2CCC(OC3CCCC3)CC2)nnc1-c1nn[n-]n1 ZINC000826516297 608409154 /nfs/dbraw/zinc/40/91/54/608409154.db2.gz FOJDHLHINVAVEV-UHFFFAOYSA-N -1 1 315.381 1.585 20 0 DDADMM c1ccc([C@@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCCO2)cc1 ZINC000826520399 608415043 /nfs/dbraw/zinc/41/50/43/608415043.db2.gz IJDHUENLTWASJV-AWEZNQCLSA-N -1 1 323.360 1.625 20 0 DDADMM c1ccc([C@@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCCO2)cc1 ZINC000826520399 608415045 /nfs/dbraw/zinc/41/50/45/608415045.db2.gz IJDHUENLTWASJV-AWEZNQCLSA-N -1 1 323.360 1.625 20 0 DDADMM c1cc(CCNc2ccc(-c3nnn[n-]3)nn2)cc2c1OCC2 ZINC000826518375 608419162 /nfs/dbraw/zinc/41/91/62/608419162.db2.gz LBZBAOITAQXKPX-UHFFFAOYSA-N -1 1 309.333 1.246 20 0 DDADMM c1cc(CCNc2ccc(-c3nn[n-]n3)nn2)cc2c1OCC2 ZINC000826518375 608419164 /nfs/dbraw/zinc/41/91/64/608419164.db2.gz LBZBAOITAQXKPX-UHFFFAOYSA-N -1 1 309.333 1.246 20 0 DDADMM C[C@@H](COCc1ccccc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824441488 608424218 /nfs/dbraw/zinc/42/42/18/608424218.db2.gz QSXDEOFRLYTJLO-NSHDSACASA-N -1 1 311.349 1.674 20 0 DDADMM C[C@@H](COCc1ccccc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824441488 608424220 /nfs/dbraw/zinc/42/42/20/608424220.db2.gz QSXDEOFRLYTJLO-NSHDSACASA-N -1 1 311.349 1.674 20 0 DDADMM COC(=O)[C@@H]1CCCCN1Cc1ccc(-c2nn[n-]n2)s1 ZINC000826133762 608507524 /nfs/dbraw/zinc/50/75/24/608507524.db2.gz PFVQUXBWGQTDDU-JTQLQIEISA-N -1 1 307.379 1.456 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NCCc1nnc2ccccn21 ZINC000826280950 608545045 /nfs/dbraw/zinc/54/50/45/608545045.db2.gz XEKACGFAFBEMNT-UHFFFAOYSA-N -1 1 321.348 1.267 20 0 DDADMM CN(CC(=O)OC(C)(C)C)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825897061 608583846 /nfs/dbraw/zinc/58/38/46/608583846.db2.gz BLXRILSYJPXDPG-UHFFFAOYSA-N -1 1 324.772 1.693 20 0 DDADMM CN(CC(=O)OC(C)(C)C)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825897061 608583848 /nfs/dbraw/zinc/58/38/48/608583848.db2.gz BLXRILSYJPXDPG-UHFFFAOYSA-N -1 1 324.772 1.693 20 0 DDADMM CN(CC(=O)OC(C)(C)C)Cc1ccc(-c2nn[n-]n2)s1 ZINC000825897640 608659938 /nfs/dbraw/zinc/65/99/38/608659938.db2.gz JCKSZZLVRCRISS-UHFFFAOYSA-N -1 1 309.395 1.702 20 0 DDADMM CCCCCC[C@H](C)NC(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000825271074 608891748 /nfs/dbraw/zinc/89/17/48/608891748.db2.gz GIMZZWIXPXGVJX-NSHDSACASA-N -1 1 305.386 1.538 20 0 DDADMM CCCCCC[C@H](C)NC(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000825271074 608891749 /nfs/dbraw/zinc/89/17/49/608891749.db2.gz GIMZZWIXPXGVJX-NSHDSACASA-N -1 1 305.386 1.538 20 0 DDADMM CCCCCN1CC(=O)N[C@@H]1c1ccc(-c2nn[n-]n2)s1 ZINC000825276046 609506594 /nfs/dbraw/zinc/50/65/94/609506594.db2.gz NPXDRHVEHNNIOM-ZDUSSCGKSA-N -1 1 306.395 1.549 20 0 DDADMM C[C@H](O)[C@@H]1CCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000824690526 609569713 /nfs/dbraw/zinc/56/97/13/609569713.db2.gz SUDHDUWZDRUHGM-NTSWFWBYSA-N -1 1 300.775 1.184 20 0 DDADMM C[C@H](O)[C@@H]1CCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000824690526 609569716 /nfs/dbraw/zinc/56/97/16/609569716.db2.gz SUDHDUWZDRUHGM-NTSWFWBYSA-N -1 1 300.775 1.184 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)[C@H]1CCCOC1 ZINC000824513362 609597116 /nfs/dbraw/zinc/59/71/16/609597116.db2.gz BSNQQQQYKIIEAP-IUCAKERBSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)[C@H]1CCCOC1 ZINC000824513362 609597117 /nfs/dbraw/zinc/59/71/17/609597117.db2.gz BSNQQQQYKIIEAP-IUCAKERBSA-N -1 1 307.379 1.473 20 0 DDADMM Clc1ccc(NCCCn2ccnn2)nc1-c1nnn[n-]1 ZINC000826352848 609599124 /nfs/dbraw/zinc/59/91/24/609599124.db2.gz QEOYAQKRHLVBBX-UHFFFAOYSA-N -1 1 305.733 1.009 20 0 DDADMM Clc1ccc(NCCCn2ccnn2)nc1-c1nn[n-]n1 ZINC000826352848 609599126 /nfs/dbraw/zinc/59/91/26/609599126.db2.gz QEOYAQKRHLVBBX-UHFFFAOYSA-N -1 1 305.733 1.009 20 0 DDADMM Cc1ccc(C(N)=O)c(OS(=O)(=O)c2cnc(C(C)C)[n-]2)c1 ZINC000122806982 696725335 /nfs/dbraw/zinc/72/53/35/696725335.db2.gz OCCVGNLCOGJSQA-UHFFFAOYSA-N -1 1 323.374 1.708 20 0 DDADMM Cc1ccc(C(N)=O)c(OS(=O)(=O)c2c[n-]c(C(C)C)n2)c1 ZINC000122806982 696725337 /nfs/dbraw/zinc/72/53/37/696725337.db2.gz OCCVGNLCOGJSQA-UHFFFAOYSA-N -1 1 323.374 1.708 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CC2CCC2)cc1 ZINC000745572147 699986072 /nfs/dbraw/zinc/98/60/72/699986072.db2.gz LTPVKCHUTIQJND-UHFFFAOYSA-N -1 1 305.330 1.685 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2ccc3c(c2)NC(=O)CC3)[n-]1 ZINC000797125958 699995213 /nfs/dbraw/zinc/99/52/13/699995213.db2.gz FYCHLHGLVNGOHG-UHFFFAOYSA-N -1 1 314.297 1.905 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)c1ccsc1 ZINC000973853826 695568152 /nfs/dbraw/zinc/56/81/52/695568152.db2.gz DUVXMWFFAIYEIL-PHIMTYICSA-N -1 1 317.370 1.540 20 0 DDADMM O=C(COC(=O)c1n[nH]c2ccccc21)[N-]C(=O)c1ccccc1 ZINC000005360593 696012970 /nfs/dbraw/zinc/01/29/70/696012970.db2.gz XOZNNLBPOMSKFD-UHFFFAOYSA-N -1 1 323.308 1.676 20 0 DDADMM CNc1nc([O-])c(CC(=O)Nc2ccc(OC)c(OC)c2)s1 ZINC000007883229 696032233 /nfs/dbraw/zinc/03/22/33/696032233.db2.gz PQAIJZBRQSRNSA-LLVKDONJSA-N -1 1 323.374 1.250 20 0 DDADMM CC(C)NC(=S)N[N-]C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000016187262 696061088 /nfs/dbraw/zinc/06/10/88/696061088.db2.gz AANPZVLOMXTLTJ-UHFFFAOYSA-N -1 1 303.391 1.594 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)C1CCOCC1 ZINC000024935603 696088602 /nfs/dbraw/zinc/08/86/02/696088602.db2.gz PBCGEQCSJVOTKF-UHFFFAOYSA-N -1 1 304.298 1.968 20 0 DDADMM COCCOCCCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747199959 700060007 /nfs/dbraw/zinc/06/00/07/700060007.db2.gz KXTWNLMCWLJOEX-UHFFFAOYSA-N -1 1 311.382 1.397 20 0 DDADMM O=C([N-]NC(=O)c1ccn(-c2ccccc2)n1)c1ccccn1 ZINC000032633790 696124931 /nfs/dbraw/zinc/12/49/31/696124931.db2.gz SUPFWERLESDWMC-UHFFFAOYSA-N -1 1 307.313 1.342 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCc1ccccn1 ZINC000042775620 696151178 /nfs/dbraw/zinc/15/11/78/696151178.db2.gz NVJHFGSFCNHZOD-UHFFFAOYSA-N -1 1 312.372 1.872 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977600111 696229906 /nfs/dbraw/zinc/22/99/06/696229906.db2.gz APCJDCGMSKDKTM-WCQYABFASA-N -1 1 323.368 1.454 20 0 DDADMM CS[C@@H](C)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977620740 696237622 /nfs/dbraw/zinc/23/76/22/696237622.db2.gz SOKIJVHOLVXDAN-QWRGUYRKSA-N -1 1 323.418 1.212 20 0 DDADMM C[C@@H](C[C@@H]1CCOC1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000759793123 700773057 /nfs/dbraw/zinc/77/30/57/700773057.db2.gz MXSFOZXXDZKSOF-IUCAKERBSA-N -1 1 307.803 1.167 20 0 DDADMM CCC(=O)N[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000060544856 696311429 /nfs/dbraw/zinc/31/14/29/696311429.db2.gz USVPYECIRAZZQR-UHFFFAOYSA-N -1 1 303.192 1.774 20 0 DDADMM COCc1cccc(C(=O)NCCc2n[n-]c(=S)n2C)c1 ZINC000067051459 696358429 /nfs/dbraw/zinc/35/84/29/696358429.db2.gz GCSASEDZERVBAU-UHFFFAOYSA-N -1 1 306.391 1.597 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cc(C)on1 ZINC000068178552 696368844 /nfs/dbraw/zinc/36/88/44/696368844.db2.gz FJXIJOQGBZDJJQ-UHFFFAOYSA-N -1 1 309.347 1.997 20 0 DDADMM CSc1nc(C2CC2)cc(C(=O)[N-]NC(=O)C2CC2)c1C#N ZINC000073076690 696400278 /nfs/dbraw/zinc/40/02/78/696400278.db2.gz FMGCIPNGWJHIQJ-UHFFFAOYSA-N -1 1 316.386 1.724 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)[C@H](C)n1nc(C)cc1C ZINC000073997687 696409699 /nfs/dbraw/zinc/40/96/99/696409699.db2.gz IPGDDDBAXSSPAR-NSHDSACASA-N -1 1 322.438 1.694 20 0 DDADMM O=C(NC[C@H]1C[C@@H](NC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000978741971 696450762 /nfs/dbraw/zinc/45/07/62/696450762.db2.gz WGCIGRMMDAKRBQ-KLPPZKSPSA-N -1 1 303.362 1.212 20 0 DDADMM CCc1cccc(C(=O)NCCn2c(C)n[n-]c2=S)c1O ZINC000081786582 696547813 /nfs/dbraw/zinc/54/78/13/696547813.db2.gz JFAKAURYUPZHGE-UHFFFAOYSA-N -1 1 306.391 1.947 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@]2(C)CCC(=O)NC2)c1 ZINC000798780993 700096215 /nfs/dbraw/zinc/09/62/15/700096215.db2.gz ZULBFNCKYPVYNO-QGZVFWFLSA-N -1 1 319.357 1.597 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)c1ccnc(Cl)c1)N(C)C ZINC000798849243 700101654 /nfs/dbraw/zinc/10/16/54/700101654.db2.gz XQIQHAVRJGQWOV-LLVKDONJSA-N -1 1 319.814 1.126 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)c1ccnc(Cl)c1)N(C)C ZINC000798849244 700101703 /nfs/dbraw/zinc/10/17/03/700101703.db2.gz XQIQHAVRJGQWOV-NSHDSACASA-N -1 1 319.814 1.126 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccc(Cl)cc2F)s1 ZINC000120785141 696701092 /nfs/dbraw/zinc/70/10/92/696701092.db2.gz LEUDYUHEKSMUSO-MRVPVSSYSA-N -1 1 301.730 1.764 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1cccc(Cl)c1 ZINC000120801979 696701613 /nfs/dbraw/zinc/70/16/13/696701613.db2.gz KAAZMPXNJAELSR-GMSGAONNSA-N -1 1 311.794 1.864 20 0 DDADMM CCC[C@H](NC(=O)c1ccnc(-n2ccnc2)c1)c1nn[n-]n1 ZINC000136641674 696855316 /nfs/dbraw/zinc/85/53/16/696855316.db2.gz UDYFLSJYKKGFPF-NSHDSACASA-N -1 1 312.337 1.052 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)[C@H](C)COc2ccccc2)n[nH]1 ZINC000153205810 696911608 /nfs/dbraw/zinc/91/16/08/696911608.db2.gz VQNXEVXNRFPFBV-SNVBAGLBSA-N -1 1 302.334 1.194 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982675199 697167937 /nfs/dbraw/zinc/16/79/37/697167937.db2.gz JYYMNIRWWSSBPI-SRVKXCTJSA-N -1 1 303.362 1.022 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983119253 697213576 /nfs/dbraw/zinc/21/35/76/697213576.db2.gz PPLDNYVGXMIQCS-QWRGUYRKSA-N -1 1 309.341 1.114 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN1C(C)=O ZINC000984887390 697438950 /nfs/dbraw/zinc/43/89/50/697438950.db2.gz XIVPKWQXSZRZKE-QWHCGFSZSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(Cl)c(Cl)[nH]1)c1nn[n-]n1 ZINC000183397386 697487659 /nfs/dbraw/zinc/48/76/59/697487659.db2.gz CBCNQXFWEBMSQT-YFKPBYRVSA-N -1 1 303.153 1.710 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C=C2CCC2)CC1 ZINC000985367643 697500049 /nfs/dbraw/zinc/50/00/49/697500049.db2.gz MCFKSGNENIBHMP-UHFFFAOYSA-N -1 1 305.382 1.043 20 0 DDADMM C[C@](O)(CNC(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1)c1ccccc1 ZINC000187094834 697541143 /nfs/dbraw/zinc/54/11/43/697541143.db2.gz CUKPWZLXGPQQMZ-HNNXBMFYSA-N -1 1 317.301 1.333 20 0 DDADMM CC1([C@H]2CCCN(C(=O)c3ccc(C#N)c([O-])c3)C2)OCCO1 ZINC000188794076 697564778 /nfs/dbraw/zinc/56/47/78/697564778.db2.gz MOKFOFKRDZMGAD-AWEZNQCLSA-N -1 1 316.357 1.879 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)c(C)o1 ZINC000985947844 697610044 /nfs/dbraw/zinc/61/00/44/697610044.db2.gz AZBPJUSTPYSPAH-JOYOIKCWSA-N -1 1 319.365 1.113 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000772719902 697667106 /nfs/dbraw/zinc/66/71/06/697667106.db2.gz YJNSOFWOHAUGHH-GFCCVEGCSA-N -1 1 310.394 1.394 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCC1CCC1 ZINC000986116323 697691209 /nfs/dbraw/zinc/69/12/09/697691209.db2.gz NWYMLFNVVFRALJ-PWSUYJOCSA-N -1 1 307.398 1.170 20 0 DDADMM O=C(c1ccc(Cl)nc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773148444 697719317 /nfs/dbraw/zinc/71/93/17/697719317.db2.gz DUOPGERSQKPLBM-MRVPVSSYSA-N -1 1 310.770 1.178 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cccc(Cl)n1 ZINC000749736471 700181070 /nfs/dbraw/zinc/18/10/70/700181070.db2.gz JFAUKDIOOVRLAG-LBPRGKRZSA-N -1 1 314.736 1.768 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CC[C@@H](C)CC1 ZINC000987375075 698103114 /nfs/dbraw/zinc/10/31/14/698103114.db2.gz GEZDXTCGXKLREQ-FDYHWXHSSA-N -1 1 321.425 1.416 20 0 DDADMM Cc1nc(C)c(C(=O)N2CCSC[C@H]2c2nn[n-]n2)s1 ZINC000776562900 698117137 /nfs/dbraw/zinc/11/71/37/698117137.db2.gz GIBKOCTXNXTDDW-QMMMGPOBSA-N -1 1 310.408 1.203 20 0 DDADMM Cc1cnc(C(=O)N(C)CC(=O)NCc2ccco2)c([O-])c1 ZINC000778306494 698259554 /nfs/dbraw/zinc/25/95/54/698259554.db2.gz WOUILCBBSNMRRJ-UHFFFAOYSA-N -1 1 303.318 1.077 20 0 DDADMM CCOC(=O)Oc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000800286032 700212868 /nfs/dbraw/zinc/21/28/68/700212868.db2.gz HGISWWYSYJYSNF-UHFFFAOYSA-N -1 1 321.289 1.001 20 0 DDADMM CC(=O)NCCCOC(=O)c1ccc(Br)c([O-])c1 ZINC000778827905 698373025 /nfs/dbraw/zinc/37/30/25/698373025.db2.gz KUHRHPFYSBNUHU-UHFFFAOYSA-N -1 1 316.151 1.838 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C2(C)CC2)CCN1C(=O)c1ncccc1[O-] ZINC000988472131 698382200 /nfs/dbraw/zinc/38/22/00/698382200.db2.gz ZYRYAAQUCVQHQJ-MNOVXSKESA-N -1 1 303.362 1.307 20 0 DDADMM CC(C)(C)[C@@H]1CCCN(CCS(=O)(=O)CC(=O)[O-])CC1 ZINC000261756468 698436026 /nfs/dbraw/zinc/43/60/26/698436026.db2.gz NJWLYVIWRBUIDX-GFCCVEGCSA-N -1 1 305.440 1.634 20 0 DDADMM CC(C)(C)[C@H]1CCCN(CCS(=O)(=O)CC(=O)[O-])CC1 ZINC000261756471 698436059 /nfs/dbraw/zinc/43/60/59/698436059.db2.gz NJWLYVIWRBUIDX-LBPRGKRZSA-N -1 1 305.440 1.634 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1CCC[C@H]1CCC1CCCC1 ZINC000262249755 698461354 /nfs/dbraw/zinc/46/13/54/698461354.db2.gz CEJMPZZASNRPIZ-AWEZNQCLSA-N -1 1 317.451 1.921 20 0 DDADMM Cc1csc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)c1 ZINC000988794357 698467138 /nfs/dbraw/zinc/46/71/38/698467138.db2.gz UZSIZELEHUBCLF-NXEZZACHSA-N -1 1 321.406 1.273 20 0 DDADMM CC[C@@H](NC(=O)NC[C@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000780344429 698509395 /nfs/dbraw/zinc/50/93/95/698509395.db2.gz PGMBAXGMBJBRCA-CHWSQXEVSA-N -1 1 323.393 1.969 20 0 DDADMM CS(=O)(=O)c1ccc(CN2CCCCC[C@@H]2C(=O)[O-])cc1 ZINC000313874320 698685025 /nfs/dbraw/zinc/68/50/25/698685025.db2.gz ROFYXXQPXNPUDB-CQSZACIVSA-N -1 1 311.403 1.919 20 0 DDADMM CCOC(=O)CN(CC)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000314454851 698689336 /nfs/dbraw/zinc/68/93/36/698689336.db2.gz KOHZUNNNBWZZQW-UHFFFAOYSA-N -1 1 309.366 1.639 20 0 DDADMM COC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1ccc(C)c(C)c1 ZINC000750955648 700260206 /nfs/dbraw/zinc/26/02/06/700260206.db2.gz YDHLWKYGHYPIIK-UHFFFAOYSA-N -1 1 324.358 1.889 20 0 DDADMM C[C@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000990972919 699196325 /nfs/dbraw/zinc/19/63/25/699196325.db2.gz VUNXTJPXFZWYMU-JTQLQIEISA-N -1 1 315.329 1.125 20 0 DDADMM CC1(C)CC[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990972407 699196347 /nfs/dbraw/zinc/19/63/47/699196347.db2.gz OVJGCHAIRNPZKE-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM Cc1ccc(CNC(=O)c2c([O-])cccc2Cl)c(=O)n1C ZINC000787603097 699222472 /nfs/dbraw/zinc/22/24/72/699222472.db2.gz OTMVGFNAMMOHGM-UHFFFAOYSA-N -1 1 306.749 1.983 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000701207970 699225964 /nfs/dbraw/zinc/22/59/64/699225964.db2.gz IZLKBUYJZVRTCD-LITAXDCLSA-N -1 1 322.789 1.964 20 0 DDADMM CO[C@H]1C[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C12CCC2 ZINC000713067905 699264904 /nfs/dbraw/zinc/26/49/04/699264904.db2.gz LVWVYCJYVGLDET-BDAKNGLRSA-N -1 1 319.814 1.309 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCC1)c1ccc(Cl)nc1F ZINC000717678295 699290210 /nfs/dbraw/zinc/29/02/10/699290210.db2.gz ZYAONEXANCOQDA-MRVPVSSYSA-N -1 1 308.762 1.313 20 0 DDADMM C[C@H]1CCN(CCCS(=O)(=O)C(C)(C)C)C[C@H]1C(=O)[O-] ZINC000718735209 699294911 /nfs/dbraw/zinc/29/49/11/699294911.db2.gz PAQZBCYWBDBAAO-NWDGAFQWSA-N -1 1 305.440 1.632 20 0 DDADMM C[C@@H](CN(C)C(=O)CC1CCC2(CC1)OCCO2)c1nn[n-]n1 ZINC000788530088 699321839 /nfs/dbraw/zinc/32/18/39/699321839.db2.gz GZEZQZSHCIBKHU-NSHDSACASA-N -1 1 323.397 1.085 20 0 DDADMM O=C(N[C@@H]1CC12CC(O)C2)c1ccc(Br)c([O-])c1 ZINC000724990794 699328886 /nfs/dbraw/zinc/32/88/86/699328886.db2.gz OKXUJUWONHIMDU-UHLWVNKISA-N -1 1 312.163 1.798 20 0 DDADMM CC[C@H](C)C(=O)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991654761 699372533 /nfs/dbraw/zinc/37/25/33/699372533.db2.gz RYFIRDFDKCYVQD-VIFPVBQESA-N -1 1 318.377 1.046 20 0 DDADMM Cc1ccccc1[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000726865584 699386256 /nfs/dbraw/zinc/38/62/56/699386256.db2.gz BZWGYCNKDCCWGZ-GFCCVEGCSA-N -1 1 301.346 1.113 20 0 DDADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccc(Cl)cc1 ZINC000727752598 699424800 /nfs/dbraw/zinc/42/48/00/699424800.db2.gz RTKCYBLJXWNALA-JTQLQIEISA-N -1 1 321.764 1.458 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2n[nH]c(C)c2Cl)co1 ZINC000790971576 699605584 /nfs/dbraw/zinc/60/55/84/699605584.db2.gz BGIFUEYQCSEVQC-UHFFFAOYSA-N -1 1 318.742 1.125 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3c4ccccc4C[C@@H]3O)ccnc1-2 ZINC000791089671 699610906 /nfs/dbraw/zinc/61/09/06/699610906.db2.gz ORVRRJBRLOSVFV-LSDHHAIUSA-N -1 1 323.356 1.122 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3ccc(F)c(F)c3)ccnc1-2 ZINC000791165415 699613639 /nfs/dbraw/zinc/61/36/39/699613639.db2.gz RBBIHUNFQNXZLL-UHFFFAOYSA-N -1 1 302.284 1.801 20 0 DDADMM O=C(COC(=O)CC1CCOCC1)[N-]C(=O)c1ccccc1 ZINC000735355010 699692276 /nfs/dbraw/zinc/69/22/76/699692276.db2.gz MDOLTPNOTSQZOE-UHFFFAOYSA-N -1 1 305.330 1.303 20 0 DDADMM CCC[C@H](NC(=O)CCCc1nc(CC)no1)c1nn[n-]n1 ZINC000736429869 699725472 /nfs/dbraw/zinc/72/54/72/699725472.db2.gz HLNZDGANMCPUCD-VIFPVBQESA-N -1 1 307.358 1.125 20 0 DDADMM COc1cccc([C@H](CC(=O)[O-])NC(=O)[C@H]2CCCN2C)c1 ZINC000738115164 699752811 /nfs/dbraw/zinc/75/28/11/699752811.db2.gz OTVGVUSMXARQFI-UONOGXRCSA-N -1 1 306.362 1.421 20 0 DDADMM O=C(c1cccc(-n2cccc2)c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000738267394 699756321 /nfs/dbraw/zinc/75/63/21/699756321.db2.gz JEKNFOAZFIJSEQ-AWEZNQCLSA-N -1 1 324.344 1.204 20 0 DDADMM Cc1ccc(C=CS(=O)(=O)[N-]c2nc(N(C)C)n[nH]2)cc1 ZINC000795605688 699878488 /nfs/dbraw/zinc/87/84/88/699878488.db2.gz QXQWFFUJRUJXAZ-HJWRWDBZSA-N -1 1 307.379 1.592 20 0 DDADMM O=C(COC(=O)Cc1ccc(F)cn1)[N-]C(=O)c1ccccc1 ZINC000795891831 699899814 /nfs/dbraw/zinc/89/98/14/699899814.db2.gz YFMRWXXVFPZDQB-UHFFFAOYSA-N -1 1 316.288 1.263 20 0 DDADMM O=C([N-]c1nc(C2CC2)no1)c1cn(Cc2cccs2)nn1 ZINC000743419583 699911844 /nfs/dbraw/zinc/91/18/44/699911844.db2.gz MQFPMKGQILVIIO-UHFFFAOYSA-N -1 1 316.346 1.901 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCCOCC(F)(F)F)[n-]1 ZINC000796343272 699928092 /nfs/dbraw/zinc/92/80/92/699928092.db2.gz AZYTXWWYFFCLOR-UHFFFAOYSA-N -1 1 309.240 1.927 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@H]2COc3ccccc3O2)[n-]1 ZINC000796364124 699929584 /nfs/dbraw/zinc/92/95/84/699929584.db2.gz BSDWYYQQMYKNBE-SNVBAGLBSA-N -1 1 317.297 1.798 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2ccc(N(C)C(N)=O)cc2)[n-]1 ZINC000796832482 699954659 /nfs/dbraw/zinc/95/46/59/699954659.db2.gz KHQSIIWJJCXQBZ-UHFFFAOYSA-N -1 1 317.301 1.535 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000744942845 699966411 /nfs/dbraw/zinc/96/64/11/699966411.db2.gz XJKJTLBZTZASGZ-OLZOCXBDSA-N -1 1 306.314 1.490 20 0 DDADMM CC(C)C[C@@H](O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000751280430 700278392 /nfs/dbraw/zinc/27/83/92/700278392.db2.gz NLEKZFLKBTZTDC-MRVPVSSYSA-N -1 1 311.325 1.789 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OCc3ccno3)n2)cc1 ZINC000801361259 700300837 /nfs/dbraw/zinc/30/08/37/700300837.db2.gz FMQGXDQTCWOVSC-UHFFFAOYSA-N -1 1 315.285 1.932 20 0 DDADMM Cc1cccc(-n2cc([O-])c(C(=O)O[C@H](C)C(N)=O)n2)c1C ZINC000801416702 700305616 /nfs/dbraw/zinc/30/56/16/700305616.db2.gz LMXLZMSDAFQZHL-SNVBAGLBSA-N -1 1 303.318 1.225 20 0 DDADMM CC(C)Oc1cccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC000801704372 700332828 /nfs/dbraw/zinc/33/28/28/700332828.db2.gz KFOKXYHXUWZJLA-UHFFFAOYSA-N -1 1 311.345 1.776 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000752725798 700382213 /nfs/dbraw/zinc/38/22/13/700382213.db2.gz HQHOWHZWUZTUBC-JTQLQIEISA-N -1 1 318.377 1.107 20 0 DDADMM Cc1nnccc1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000753525435 700440979 /nfs/dbraw/zinc/44/09/79/700440979.db2.gz SJDWQLCGGPLHPU-UHFFFAOYSA-N -1 1 312.281 1.954 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)Cc2ccco2)c1 ZINC000754000751 700475428 /nfs/dbraw/zinc/47/54/28/700475428.db2.gz TVKMOOSTTQCPRV-VIFPVBQESA-N -1 1 313.331 1.569 20 0 DDADMM CC(C)C[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000759283946 700744850 /nfs/dbraw/zinc/74/48/50/700744850.db2.gz WSYTZDXNXKNJNJ-CYBMUJFWSA-N -1 1 307.394 1.211 20 0 DDADMM O=C([N-]OCC(F)F)[C@H]1CC(=O)N(c2cc(F)cc(F)c2)C1 ZINC000759340644 700747600 /nfs/dbraw/zinc/74/76/00/700747600.db2.gz YMAIWLCVKXLANM-ZETCQYMHSA-N -1 1 320.242 1.631 20 0 DDADMM CC(C)c1nnc(NC(=O)CCC(=O)[N-]OCC(F)F)s1 ZINC000760140497 700793083 /nfs/dbraw/zinc/79/30/83/700793083.db2.gz BBNNAGQKWXRHQA-UHFFFAOYSA-N -1 1 322.337 1.693 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2cncc(Cl)n2)s1 ZINC000760866385 700826579 /nfs/dbraw/zinc/82/65/79/700826579.db2.gz LMOANDGOXYVYEG-UHFFFAOYSA-N -1 1 313.726 1.411 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](CCOC)C(C)C)o1 ZINC000761280924 700854317 /nfs/dbraw/zinc/85/43/17/700854317.db2.gz CWBUMCQQUYZGMF-JTQLQIEISA-N -1 1 319.379 1.406 20 0 DDADMM c1nc(CNC2(c3nn[n-]n3)CCCC2)sc1C1OCCO1 ZINC000809631912 701676420 /nfs/dbraw/zinc/67/64/20/701676420.db2.gz GTIKKUYWGPZORC-UHFFFAOYSA-N -1 1 322.394 1.261 20 0 DDADMM Cc1cnc(C(=O)NCCCNC(=O)OC(C)(C)C)c([O-])c1 ZINC000763250348 700931772 /nfs/dbraw/zinc/93/17/72/700931772.db2.gz MHQXUDTUFKGQNJ-UHFFFAOYSA-N -1 1 309.366 1.740 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(C(F)(F)F)cc2N)nc1=O ZINC000765405897 701010135 /nfs/dbraw/zinc/01/01/35/701010135.db2.gz WJIVVXXOIQTQTB-UHFFFAOYSA-N -1 1 316.239 1.066 20 0 DDADMM Cn1[n-]c(COC(=O)C2(c3ccc(F)cc3)CCC2)nc1=O ZINC000765408225 701010341 /nfs/dbraw/zinc/01/03/41/701010341.db2.gz FXIKPLDZWOMAKQ-UHFFFAOYSA-N -1 1 305.309 1.413 20 0 DDADMM Cn1[n-]c(COC(=O)CSc2ccc(F)c(F)c2)nc1=O ZINC000765420270 701011304 /nfs/dbraw/zinc/01/13/04/701011304.db2.gz HCOASOMNKHQFOZ-UHFFFAOYSA-N -1 1 315.301 1.222 20 0 DDADMM CC(C)C(=O)Nc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765435187 701012550 /nfs/dbraw/zinc/01/25/50/701012550.db2.gz RJQUCDFBMKAQRX-UHFFFAOYSA-N -1 1 318.333 1.060 20 0 DDADMM CC/C(=C\C(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(C)cc1 ZINC000765479796 701014742 /nfs/dbraw/zinc/01/47/42/701014742.db2.gz HVKAVKTUVZVYHD-FMIVXFBMSA-N -1 1 301.346 1.954 20 0 DDADMM Cn1[n-]c(COC(=O)C(C)(C)c2cccc(Cl)c2)nc1=O ZINC000765479758 701014807 /nfs/dbraw/zinc/01/48/07/701014807.db2.gz MSXFCQNCBRIABC-UHFFFAOYSA-N -1 1 309.753 1.783 20 0 DDADMM Cn1[n-]c(COC(=O)CCc2ccc3[nH]ccc3c2)nc1=O ZINC000765504239 701016522 /nfs/dbraw/zinc/01/65/22/701016522.db2.gz VJXUAJPPHDBNTK-UHFFFAOYSA-N -1 1 300.318 1.266 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccoc1Cl ZINC000765839430 701028532 /nfs/dbraw/zinc/02/85/32/701028532.db2.gz XYIXRYNFWKSDGV-SNVBAGLBSA-N -1 1 303.709 1.966 20 0 DDADMM O=C(C[C@@H]1Oc2ccccc2NC1=O)[N-]OCC(F)(F)F ZINC000766767547 701062416 /nfs/dbraw/zinc/06/24/16/701062416.db2.gz FSPIZWAWGTXAHH-VIFPVBQESA-N -1 1 304.224 1.386 20 0 DDADMM CC[S@](=O)CC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000803478011 701120332 /nfs/dbraw/zinc/12/03/32/701120332.db2.gz QEJRDLZTWDVZEL-NRFANRHFSA-N -1 1 310.327 1.310 20 0 DDADMM Cc1noc(C)c1CCC[N-]S(=O)(=O)c1c(C)onc1N ZINC000809824932 701693353 /nfs/dbraw/zinc/69/33/53/701693353.db2.gz JJYVGLDATIKORW-UHFFFAOYSA-N -1 1 314.367 1.081 20 0 DDADMM C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1c([O-])cccc1Cl ZINC000804436357 701189181 /nfs/dbraw/zinc/18/91/81/701189181.db2.gz PCAGAKTZHAJEIP-NWDGAFQWSA-N -1 1 324.808 1.981 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H](F)c2ccccc2)c1 ZINC000769614821 701250394 /nfs/dbraw/zinc/25/03/94/701250394.db2.gz DOXNBLOQWVNGPW-CYBMUJFWSA-N -1 1 324.333 1.689 20 0 DDADMM Cc1nc2cc(NC(=O)NN3CC(=O)[N-]C3=O)ccc2s1 ZINC000770656957 701288445 /nfs/dbraw/zinc/28/84/45/701288445.db2.gz ZXMDJFWRDUBWIB-UHFFFAOYSA-N -1 1 305.319 1.193 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H](CO)C2CC2)c(=O)[n-]1 ZINC000771012665 701303814 /nfs/dbraw/zinc/30/38/14/701303814.db2.gz MGLVGZOBYZZWAL-NSHDSACASA-N -1 1 311.407 1.032 20 0 DDADMM Cc1cc(F)ccc1N1CCC[C@@H](OC(=O)c2cn[n-]n2)C1=O ZINC000805603010 701397266 /nfs/dbraw/zinc/39/72/66/701397266.db2.gz CNWYVPUYQYXZFA-CYBMUJFWSA-N -1 1 318.308 1.605 20 0 DDADMM O=C(OCc1cccc(C(=O)N2CCCCC2)c1)c1cn[n-]n1 ZINC000805603680 701397371 /nfs/dbraw/zinc/39/73/71/701397371.db2.gz SCBNEZDKRLHQOG-UHFFFAOYSA-N -1 1 314.345 1.788 20 0 DDADMM COc1ccc(OC)c(NC(=O)[C@@H](C)OC(=O)c2cn[n-]n2)c1 ZINC000805606200 701398823 /nfs/dbraw/zinc/39/88/23/701398823.db2.gz UOYWLIXANRPXML-MRVPVSSYSA-N -1 1 320.305 1.006 20 0 DDADMM O=C(NC[C@H]1COc2ccccc2C1)C(=O)c1ccc([O-])cc1 ZINC000806321714 701431496 /nfs/dbraw/zinc/43/14/96/701431496.db2.gz HYQYBMYCBUUJQH-LBPRGKRZSA-N -1 1 311.337 1.942 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1(C)C ZINC000806491966 701437886 /nfs/dbraw/zinc/43/78/86/701437886.db2.gz ZAUZRWFGIRHDQQ-NEPJUHHUSA-N -1 1 303.366 1.277 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCCC[C@@H]3C(F)F)ccnc1-2 ZINC000806495692 701438115 /nfs/dbraw/zinc/43/81/15/701438115.db2.gz UZIUJVPYPYXBTO-LLVKDONJSA-N -1 1 309.320 1.993 20 0 DDADMM CC[C@H](C)C[C@@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806922996 701455110 /nfs/dbraw/zinc/45/51/10/701455110.db2.gz YBXRFHIJIFHTQX-WDEREUQCSA-N -1 1 317.393 1.221 20 0 DDADMM O=C(Nn1cc(Br)cn1)C(=O)c1ccc([O-])cc1 ZINC000807137280 701465579 /nfs/dbraw/zinc/46/55/79/701465579.db2.gz ZHXFRLFAZILEGD-UHFFFAOYSA-N -1 1 310.107 1.304 20 0 DDADMM Cc1cc(C)c(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)s1 ZINC000830947237 706608234 /nfs/dbraw/zinc/60/82/34/706608234.db2.gz SKMFXVYBHCDOEN-UHFFFAOYSA-N -1 1 319.386 1.863 20 0 DDADMM Cc1scc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c1C ZINC000830958513 706610854 /nfs/dbraw/zinc/61/08/54/706610854.db2.gz CUGJTBGJQLGBEY-UHFFFAOYSA-N -1 1 319.386 1.863 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H](C)C(C)(F)F ZINC000816013755 701991776 /nfs/dbraw/zinc/99/17/76/701991776.db2.gz AADIDXXHUHBXJS-ZETCQYMHSA-N -1 1 314.292 1.103 20 0 DDADMM FC[C@H]1CN(Cn2[n-]c(-c3ccccn3)nc2=S)CCO1 ZINC000840171567 702009070 /nfs/dbraw/zinc/00/90/70/702009070.db2.gz GMGBRPBCMGPOEZ-JTQLQIEISA-N -1 1 309.370 1.630 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2[C@H]1O)C(=O)c1ccc([O-])cc1 ZINC000811580233 702011572 /nfs/dbraw/zinc/01/15/72/702011572.db2.gz ZZBMWJICBQPBCN-CZUORRHYSA-N -1 1 313.309 1.186 20 0 DDADMM O=C(NC[C@@H]1C=C[C@H]2C[C@@H]1CO2)c1cnc(C2CC2)[n-]c1=O ZINC000831141191 706643166 /nfs/dbraw/zinc/64/31/66/706643166.db2.gz ZIEXVLLHMSWCKL-TUAOUCFPSA-N -1 1 301.346 1.381 20 0 DDADMM CC/C=C(/F)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000868461481 702141840 /nfs/dbraw/zinc/14/18/40/702141840.db2.gz GUKUMZVLHGSWLA-RUDMXATFSA-N -1 1 302.327 1.502 20 0 DDADMM CC(C)OCCON=c1ncc(I)c[n-]1 ZINC000812491573 702189730 /nfs/dbraw/zinc/18/97/30/702189730.db2.gz XBPFNJSVSNNIGE-UHFFFAOYSA-N -1 1 323.134 1.850 20 0 DDADMM O=C(C[C@@H]1CCC(=O)N1)Nc1nc(Br)ccc1[O-] ZINC000816952115 702235543 /nfs/dbraw/zinc/23/55/43/702235543.db2.gz CXCLDBSTWHKPBR-LURJTMIESA-N -1 1 314.139 1.157 20 0 DDADMM O=C(Nc1cn[nH]c1)[C@H]1CCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000868693092 702269147 /nfs/dbraw/zinc/26/91/47/702269147.db2.gz IDJJCZCOTNOYNJ-JTQLQIEISA-N -1 1 318.308 1.355 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NCC2=CCCC2)C1 ZINC000868784564 702318380 /nfs/dbraw/zinc/31/83/80/702318380.db2.gz PWKKTQWVQSRQGS-ZDUSSCGKSA-N -1 1 319.327 1.949 20 0 DDADMM O=C([N-]CC1CN(c2ncnc3sccc32)C1)C(F)(F)F ZINC000866294068 706667056 /nfs/dbraw/zinc/66/70/56/706667056.db2.gz KJXLKSBYNSJOOZ-UHFFFAOYSA-N -1 1 316.308 1.806 20 0 DDADMM C[C@H](O)C(=O)N1c2ccccc2C[C@H]1C[N-]C(=O)C(F)(F)F ZINC000817675654 702447257 /nfs/dbraw/zinc/44/72/57/702447257.db2.gz TWZGQZFVRNASNF-WPRPVWTQSA-N -1 1 316.279 1.004 20 0 DDADMM C[C@@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)[C@H](C)C1 ZINC000869213389 702512622 /nfs/dbraw/zinc/51/26/22/702512622.db2.gz IUSHSQWQQUGTOG-GHMZBOCLSA-N -1 1 320.393 1.585 20 0 DDADMM CC(C)[C@H]1CCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869212885 702512655 /nfs/dbraw/zinc/51/26/55/702512655.db2.gz NBUXPVUWQBIMAF-CYBMUJFWSA-N -1 1 320.393 1.585 20 0 DDADMM C[C@H]1CN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC[C@@H]1C ZINC000869212953 702512709 /nfs/dbraw/zinc/51/27/09/702512709.db2.gz BPJCSGIOEHCHJW-QWRGUYRKSA-N -1 1 320.393 1.442 20 0 DDADMM CC(C)(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1CC1 ZINC000869519398 702633475 /nfs/dbraw/zinc/63/34/75/702633475.db2.gz GVDKETPMBQQTDW-UHFFFAOYSA-N -1 1 309.284 1.604 20 0 DDADMM CC(C)(C)CC(=O)[N-]OCC(=O)NCCc1ccc(F)cc1 ZINC000842061513 702665630 /nfs/dbraw/zinc/66/56/30/702665630.db2.gz YPGYOWJWNUNIEB-UHFFFAOYSA-N -1 1 310.369 1.968 20 0 DDADMM CC[C@H](CC(C)C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843015235 702803911 /nfs/dbraw/zinc/80/39/11/702803911.db2.gz SMWBSIJBEVCDOV-CYBMUJFWSA-N -1 1 318.483 1.989 20 0 DDADMM CC[C@H](C)C[C@@H](CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000844034363 702960455 /nfs/dbraw/zinc/96/04/55/702960455.db2.gz BGQMZOCGFQFKND-ONGXEEELSA-N -1 1 308.407 1.545 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC[C@]1(O)CCCOC1 ZINC000846605921 703302933 /nfs/dbraw/zinc/30/29/33/703302933.db2.gz XAGDFBBKMPQIGP-MRXNPFEDSA-N -1 1 323.393 1.275 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847094313 703376894 /nfs/dbraw/zinc/37/68/94/703376894.db2.gz ZSEPIUTWGKJMSH-FUWURINLSA-N -1 1 314.345 1.980 20 0 DDADMM CC[C@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CCCCO1 ZINC000847178031 703384357 /nfs/dbraw/zinc/38/43/57/703384357.db2.gz NJTBYJFVQGEJMQ-JSGCOSHPSA-N -1 1 317.393 1.811 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1nc[nH]c1Br)C1CC1 ZINC000866594948 706739871 /nfs/dbraw/zinc/73/98/71/706739871.db2.gz SDXDNINGKCRQFA-ZCFIWIBFSA-N -1 1 308.201 1.497 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCNC(=O)OC(C)(C)C)sn1 ZINC000866642489 706749313 /nfs/dbraw/zinc/74/93/13/706749313.db2.gz MOKGGZNFRDVBEI-UHFFFAOYSA-N -1 1 321.424 1.255 20 0 DDADMM O=S(=O)([N-][C@@H](C1CC1)[C@H]1CCCCO1)c1c[nH]nc1Cl ZINC000848467873 703553534 /nfs/dbraw/zinc/55/35/34/703553534.db2.gz WGKGXZHWJYDWBO-KOLCDFICSA-N -1 1 319.814 1.689 20 0 DDADMM CNC(=O)C1([N-]S(=O)(=O)c2ccc(C(F)F)o2)CCCC1 ZINC000866700366 706768734 /nfs/dbraw/zinc/76/87/34/706768734.db2.gz VKRUITIPQMUWJK-UHFFFAOYSA-N -1 1 322.333 1.554 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc3c(c2)OC(C)(C)C3)nc1=O ZINC000869895033 703872536 /nfs/dbraw/zinc/87/25/36/703872536.db2.gz JTGUGKNUDIDAGW-UHFFFAOYSA-N -1 1 303.318 1.179 20 0 DDADMM CO[C@H](C)CC[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000866707278 706771138 /nfs/dbraw/zinc/77/11/38/706771138.db2.gz GOZMLRYBWXLVRU-NSPYISDASA-N -1 1 320.436 1.403 20 0 DDADMM COC1(C(=O)N2CCN(Cc3ccc([O-])c(Cl)c3)CC2)CC1 ZINC000870164028 703939727 /nfs/dbraw/zinc/93/97/27/703939727.db2.gz LJEXTBROUJJAJC-UHFFFAOYSA-N -1 1 324.808 1.869 20 0 DDADMM C[C@@H]1CCN(C(=O)NCCCF)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852217931 703972416 /nfs/dbraw/zinc/97/24/16/703972416.db2.gz CBYHYOOUPHURSX-BDAKNGLRSA-N -1 1 313.295 1.445 20 0 DDADMM CC(C)C[C@H](C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867000347 706868444 /nfs/dbraw/zinc/86/84/44/706868444.db2.gz HPSXLBOJRFCLJG-ZETCQYMHSA-N -1 1 310.217 1.885 20 0 DDADMM CC(C)CC[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000852736742 704109622 /nfs/dbraw/zinc/10/96/22/704109622.db2.gz OIQIWQYWVNGZFF-VHSXEESVSA-N -1 1 310.316 1.629 20 0 DDADMM CNC(=O)NC[C@@H]1CCCCN1C(=O)c1ccc([O-])cc1F ZINC000870759516 704123352 /nfs/dbraw/zinc/12/33/52/704123352.db2.gz DNKSDUHGBWGZKV-JTQLQIEISA-N -1 1 309.341 1.455 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000819680843 704157663 /nfs/dbraw/zinc/15/76/63/704157663.db2.gz QOWIFJKWWUHRTB-PLNGDYQASA-N -1 1 315.329 1.900 20 0 DDADMM CC(C)OCCO[N-]C(=O)c1cc(=O)[nH]cc1Br ZINC000820013668 704203183 /nfs/dbraw/zinc/20/31/83/704203183.db2.gz DHHJFXYZFIWAQD-UHFFFAOYSA-N -1 1 319.155 1.636 20 0 DDADMM Cc1cc(C)n(CCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000820058055 704212694 /nfs/dbraw/zinc/21/26/94/704212694.db2.gz AKDKFSPONVMUEM-UHFFFAOYSA-N -1 1 319.365 1.385 20 0 DDADMM CC(C)COCCC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000820103431 704221847 /nfs/dbraw/zinc/22/18/47/704221847.db2.gz YXYODPGPHTZJLY-UHFFFAOYSA-N -1 1 305.338 1.574 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@@H](C)OC)c1 ZINC000820148332 704230229 /nfs/dbraw/zinc/23/02/29/704230229.db2.gz AVSUNJJYJVJWLM-GIPWTMENSA-N -1 1 300.376 1.771 20 0 DDADMM CCn1ncn([N-]C(=O)c2cc(Cl)cc3cccnc32)c1=O ZINC000820383093 704269583 /nfs/dbraw/zinc/26/95/83/704269583.db2.gz FOLKEOPMJSGVMR-UHFFFAOYSA-N -1 1 317.736 1.650 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@H]1CCOc2ccc(F)cc21 ZINC000820796616 704332315 /nfs/dbraw/zinc/33/23/15/704332315.db2.gz PAQOSBCDPCEAEC-JTQLQIEISA-N -1 1 322.383 1.211 20 0 DDADMM COC(OC)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000820879028 704341840 /nfs/dbraw/zinc/34/18/40/704341840.db2.gz NMMFKKUUASRASX-UHFFFAOYSA-N -1 1 307.346 1.432 20 0 DDADMM COC(=O)[C@H]1C[C@@H](N(C)C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000856213940 704518602 /nfs/dbraw/zinc/51/86/02/704518602.db2.gz DFUBHMDXCHRKSP-AOOOYVTPSA-N -1 1 320.374 1.042 20 0 DDADMM CC[C@@](C)(OC)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856415149 704527825 /nfs/dbraw/zinc/52/78/25/704527825.db2.gz APZYSJHQFNVVCN-GBIKHYSHSA-N -1 1 324.343 1.717 20 0 DDADMM COC1(C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000856417337 704527991 /nfs/dbraw/zinc/52/79/91/704527991.db2.gz QTKYXPDGUVCLGD-ZJUUUORDSA-N -1 1 322.327 1.471 20 0 DDADMM C[C@H]1C[C@@H](C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)CO1 ZINC000856417031 704528013 /nfs/dbraw/zinc/52/80/13/704528013.db2.gz DMNNNWPRQNVWCM-YTWAJWBKSA-N -1 1 322.327 1.327 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CCS(=O)(=O)C2CCCCC2)C1 ZINC000857084738 704550221 /nfs/dbraw/zinc/55/02/21/704550221.db2.gz ZBDIBPWZXKYHBI-CYBMUJFWSA-N -1 1 317.451 1.921 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCOC(C)C)nc2n1 ZINC000857684530 704613226 /nfs/dbraw/zinc/61/32/26/704613226.db2.gz NYGYSAFHXIWFTM-UHFFFAOYSA-N -1 1 307.354 1.124 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)CC)c1ccncc1 ZINC000866865215 706829614 /nfs/dbraw/zinc/82/96/14/706829614.db2.gz VNQQWFUKTOTRNA-VOJFVSQTSA-N -1 1 305.425 1.485 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC=CO1)c1c[nH]nc1C(F)(F)F ZINC000867151918 706910353 /nfs/dbraw/zinc/91/03/53/706910353.db2.gz QNIFKEDIVPTCFO-SSDOTTSWSA-N -1 1 311.285 1.400 20 0 DDADMM O=c1nc(N2CC[C@@H](C(F)(F)F)[C@@H](CO)C2)cc(Cl)[n-]1 ZINC000858544010 704725154 /nfs/dbraw/zinc/72/51/54/704725154.db2.gz PMCSQKLEZAYNSJ-RNFRBKRXSA-N -1 1 311.691 1.833 20 0 DDADMM CN(C)C(=O)OC1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858555807 704726688 /nfs/dbraw/zinc/72/66/88/704726688.db2.gz RKJGLNCKHAINLI-UHFFFAOYSA-N -1 1 300.746 1.503 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)nc1C(C)(C)C)C(F)(F)F ZINC000867273238 706944129 /nfs/dbraw/zinc/94/41/29/706944129.db2.gz WYCTWJNRWMFMBH-SSDOTTSWSA-N -1 1 313.345 1.947 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)[C@@H]1COC(C)(C)C1)C(C)(C)C ZINC000859057012 704791999 /nfs/dbraw/zinc/79/19/99/704791999.db2.gz CHOCDKXQQUHOSE-WDEREUQCSA-N -1 1 321.439 1.451 20 0 DDADMM Cn1cnnc1C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000873981508 704911230 /nfs/dbraw/zinc/91/12/30/704911230.db2.gz VUEFQFMLHAUMMU-UHFFFAOYSA-N -1 1 302.231 1.502 20 0 DDADMM O=C(Cc1ccc(C2CCCCC2)cc1)NN1CC(=O)[N-]C1=O ZINC000822327071 704918468 /nfs/dbraw/zinc/91/84/68/704918468.db2.gz QAMGOSJOYQBUFT-UHFFFAOYSA-N -1 1 315.373 1.860 20 0 DDADMM CCN(Cc1cc(C(=O)[O-])nn1C)C[C@@H](O)c1ccc(C)cc1 ZINC000874039232 704923346 /nfs/dbraw/zinc/92/33/46/704923346.db2.gz DYMCCIFPFNTPCM-MRXNPFEDSA-N -1 1 317.389 1.982 20 0 DDADMM Cc1ccccc1-n1cc(CN2CC[C@](C)(C(=O)[O-])C2)nn1 ZINC000859810430 705010758 /nfs/dbraw/zinc/01/07/58/705010758.db2.gz NXQUJWAJVMTEMT-INIZCTEOSA-N -1 1 300.362 1.872 20 0 DDADMM C[C@@H]1C[C@@H]([N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)C(=O)O1 ZINC000822940013 705088773 /nfs/dbraw/zinc/08/87/73/705088773.db2.gz TZJVXRKRTXWCBD-LHLIQPBNSA-N -1 1 305.227 1.877 20 0 DDADMM C[C@H]1C[C@@H]([N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)C(=O)O1 ZINC000822940015 705088779 /nfs/dbraw/zinc/08/87/79/705088779.db2.gz TZJVXRKRTXWCBD-QUBYGPBYSA-N -1 1 305.227 1.877 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2CC[C@@H]2c2ccc(F)cc2)nc1=O ZINC000860150214 705113488 /nfs/dbraw/zinc/11/34/88/705113488.db2.gz MJWKEAFHOMPNMY-VXGBXAGGSA-N -1 1 305.309 1.485 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN(Cc2cn[nH]c2)CC1 ZINC000823046851 705123679 /nfs/dbraw/zinc/12/36/79/705123679.db2.gz IMATXXSQHIRGDX-UHFFFAOYSA-N -1 1 320.780 1.727 20 0 DDADMM COC(=O)N1CCC(C(=O)Nc2n[n-]c(C(F)(F)F)n2)CC1 ZINC000823208571 705172215 /nfs/dbraw/zinc/17/22/15/705172215.db2.gz GDCCZYUAHFNYSP-UHFFFAOYSA-N -1 1 321.259 1.240 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H](C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000875633945 705457443 /nfs/dbraw/zinc/45/74/43/705457443.db2.gz VRDJSXRQSKMTBD-WDEREUQCSA-N -1 1 316.361 1.260 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCO1 ZINC000824868897 705547023 /nfs/dbraw/zinc/54/70/23/705547023.db2.gz XHRSUPHIQCZHIE-SCZZXKLOSA-N -1 1 303.322 1.326 20 0 DDADMM CC[C@@H](O)CNC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC000825257923 705634874 /nfs/dbraw/zinc/63/48/74/705634874.db2.gz NRJYMNZDESXJCZ-LLVKDONJSA-N -1 1 303.314 1.965 20 0 DDADMM CCC1(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCC1 ZINC000876300123 705682747 /nfs/dbraw/zinc/68/27/47/705682747.db2.gz JNILNGMBIHACDM-UHFFFAOYSA-N -1 1 306.366 1.387 20 0 DDADMM CC1=C(C)CN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000876300297 705683113 /nfs/dbraw/zinc/68/31/13/705683113.db2.gz PXOHZUJPYUNDEU-UHFFFAOYSA-N -1 1 318.377 1.507 20 0 DDADMM O=C(NCCCCn1ccnc1)c1ccc2n[n-]c(=S)n2c1 ZINC000825516946 705685980 /nfs/dbraw/zinc/68/59/80/705685980.db2.gz HGJRMRXCEPDXMV-UHFFFAOYSA-N -1 1 316.390 1.425 20 0 DDADMM COCCCOc1cccc(C(=O)N(C)c2nn[n-]n2)c1C ZINC000825532124 705688649 /nfs/dbraw/zinc/68/86/49/705688649.db2.gz SPRFYDGZMSGEFW-UHFFFAOYSA-N -1 1 305.338 1.200 20 0 DDADMM CCOC(=O)c1cnn(Cc2cccc(C)c2)c1-c1nn[n-]n1 ZINC000825571019 705695193 /nfs/dbraw/zinc/69/51/93/705695193.db2.gz SFSRDXOLAKGSFI-UHFFFAOYSA-N -1 1 312.333 1.597 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nnn[n-]2)c1C(=O)N1CC=CCC1 ZINC000826340750 705792847 /nfs/dbraw/zinc/79/28/47/705792847.db2.gz JOSPQLLHPPJXDC-UHFFFAOYSA-N -1 1 324.344 1.961 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nn[n-]n2)c1C(=O)N1CC=CCC1 ZINC000826340750 705792848 /nfs/dbraw/zinc/79/28/48/705792848.db2.gz JOSPQLLHPPJXDC-UHFFFAOYSA-N -1 1 324.344 1.961 20 0 DDADMM Cc1onc(CC(=O)N[C@@H](C)Cc2ccco2)c1-c1nnn[n-]1 ZINC000826345299 705793787 /nfs/dbraw/zinc/79/37/87/705793787.db2.gz IIWNXILJGWFNCI-QMMMGPOBSA-N -1 1 316.321 1.046 20 0 DDADMM Cc1onc(CC(=O)N[C@@H](C)Cc2ccco2)c1-c1nn[n-]n1 ZINC000826345299 705793790 /nfs/dbraw/zinc/79/37/90/705793790.db2.gz IIWNXILJGWFNCI-QMMMGPOBSA-N -1 1 316.321 1.046 20 0 DDADMM O=C(OCc1ccc(CO)cc1)c1sccc1-c1nn[n-]n1 ZINC000826465658 705803079 /nfs/dbraw/zinc/80/30/79/705803079.db2.gz WLKGGABKLLXIAO-UHFFFAOYSA-N -1 1 316.342 1.778 20 0 DDADMM CC(C)(C)OC(=O)C1=NO[C@H](C(=O)Nc2cccc(F)c2[O-])C1 ZINC000863008656 705849467 /nfs/dbraw/zinc/84/94/67/705849467.db2.gz ZZBCJMIFQZGEPS-NSHDSACASA-N -1 1 324.308 1.956 20 0 DDADMM CN(Cn1[n-]c(-c2ccccn2)nc1=S)CC1(C)COC1 ZINC000863298401 705894983 /nfs/dbraw/zinc/89/49/83/705894983.db2.gz CXULVYHMSYZLIQ-UHFFFAOYSA-N -1 1 305.407 1.928 20 0 DDADMM CCCn1cc([N-]S(=O)(=O)C[C@H](OCC)C2CC2)cn1 ZINC000863406001 705921039 /nfs/dbraw/zinc/92/10/39/705921039.db2.gz PVRJIXKDQBWDAP-ZDUSSCGKSA-N -1 1 301.412 1.850 20 0 DDADMM CCCS(=O)(=O)CCN1CC[C@H](C(=O)[O-])[C@@H]2CCC[C@H]21 ZINC000863476232 705936585 /nfs/dbraw/zinc/93/65/85/705936585.db2.gz XWLBKEADMXTAOC-RWMBFGLXSA-N -1 1 303.424 1.386 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-]C(=O)c1cnn(-c2ccncc2)c1 ZINC000835295950 707216595 /nfs/dbraw/zinc/21/65/95/707216595.db2.gz OKNCQXUTPGCCDU-JTQLQIEISA-N -1 1 308.363 1.125 20 0 DDADMM O=C([O-])[C@]1(CNc2ccncc2C(F)(F)F)CCCOC1 ZINC000864088947 706064717 /nfs/dbraw/zinc/06/47/17/706064717.db2.gz JLQLKGLHWLSMDZ-LBPRGKRZSA-N -1 1 304.268 1.816 20 0 DDADMM CCc1cnc(CN2CC(C[N-]C(=O)C(F)(F)F)C2)s1 ZINC000877777183 706207025 /nfs/dbraw/zinc/20/70/25/706207025.db2.gz PACLBEDWUSERQN-UHFFFAOYSA-N -1 1 307.341 1.816 20 0 DDADMM COc1cccc(CN2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000877776794 706207330 /nfs/dbraw/zinc/20/73/30/706207330.db2.gz AJLHJKAPBMGKBX-UHFFFAOYSA-N -1 1 303.284 1.201 20 0 DDADMM CC[C@@H]1OCC[C@H]1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000828680771 706216605 /nfs/dbraw/zinc/21/66/05/706216605.db2.gz PREUGFKSVQVYRQ-GBIKHYSHSA-N -1 1 322.327 1.471 20 0 DDADMM CCOC(=O)CN(Cc1nc2c(c(=O)[n-]1)COCC2)CC(C)C ZINC000878000097 706261629 /nfs/dbraw/zinc/26/16/29/706261629.db2.gz QEFPSWLVTKURMZ-UHFFFAOYSA-N -1 1 323.393 1.276 20 0 DDADMM C[C@H](C(=O)N(CCC(=O)[O-])Cc1ccc(F)c(F)c1)N(C)C ZINC000908970350 712905682 /nfs/dbraw/zinc/90/56/82/712905682.db2.gz DWJQHGBTBOOGNG-SNVBAGLBSA-N -1 1 314.332 1.718 20 0 DDADMM C[C@@H](O)C[N-]S(=O)(=O)c1cnc(Cl)cc1C(F)(F)F ZINC000881911777 707420690 /nfs/dbraw/zinc/42/06/90/707420690.db2.gz CORQQSPTABVWSJ-RXMQYKEDSA-N -1 1 318.704 1.413 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-]Cc1ncc(C)o1 ZINC000881960189 707445012 /nfs/dbraw/zinc/44/50/12/707445012.db2.gz HXXAWTHFBSXYMW-JTQLQIEISA-N -1 1 304.368 1.134 20 0 DDADMM CNS(=O)(=O)CCSc1nc(C)c(C2CC2)c(=O)[n-]1 ZINC000865373298 706415731 /nfs/dbraw/zinc/41/57/31/706415731.db2.gz NYLTYQTZSQZMAR-UHFFFAOYSA-N -1 1 303.409 1.009 20 0 DDADMM COc1ccc([C@H](C)NC(=O)CCCc2nn[n-]n2)cc1C ZINC000830398106 706509739 /nfs/dbraw/zinc/50/97/39/706509739.db2.gz KBGQKZIUDSWUIR-NSHDSACASA-N -1 1 303.366 1.717 20 0 DDADMM CC[S@](=O)CC[N-]S(=O)(=O)c1sccc1Cl ZINC000830445766 706518805 /nfs/dbraw/zinc/51/88/05/706518805.db2.gz PDIYJVYKXBXUDV-HNNXBMFYSA-N -1 1 301.842 1.448 20 0 DDADMM COCC1(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000830802484 706582742 /nfs/dbraw/zinc/58/27/42/706582742.db2.gz VRLIOLVEIUSNJI-LBPRGKRZSA-N -1 1 322.327 1.473 20 0 DDADMM COCC1(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000830807654 706583103 /nfs/dbraw/zinc/58/31/03/706583103.db2.gz OAOCFBGDKAFCGB-LLVKDONJSA-N -1 1 308.300 1.083 20 0 DDADMM CCC[C@H](O)CC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816007 706585197 /nfs/dbraw/zinc/58/51/97/706585197.db2.gz IPBNFYVJRZJJEB-JOYOIKCWSA-N -1 1 310.316 1.207 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC000830823772 706587479 /nfs/dbraw/zinc/58/74/79/706587479.db2.gz WIVHXPXRUGYNEU-WTBMIXGQSA-N -1 1 304.312 1.702 20 0 DDADMM CCCCO[C@@H](C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830829072 706588263 /nfs/dbraw/zinc/58/82/63/706588263.db2.gz VDWVVCGWCPLQCK-GXFFZTMASA-N -1 1 324.343 1.861 20 0 DDADMM Cc1ccc(N)cc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000832054841 706833968 /nfs/dbraw/zinc/83/39/68/706833968.db2.gz SLMHVKOHXOTNJM-UHFFFAOYSA-N -1 1 302.334 1.686 20 0 DDADMM CCC(CC)(C[N-]S(=O)(=O)N=[S@](C)(=O)CC)SC ZINC000866889632 706836395 /nfs/dbraw/zinc/83/63/95/706836395.db2.gz QZGUXDJZDZBFQM-QGZVFWFLSA-N -1 1 316.514 1.860 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CCC[C@H]2OCC[C@@H]21 ZINC000866964401 706857686 /nfs/dbraw/zinc/85/76/86/706857686.db2.gz ZELZAWYBXXKSEI-IJLUTSLNSA-N -1 1 324.468 1.286 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C[C@H](C)O1 ZINC000867040943 706879825 /nfs/dbraw/zinc/87/98/25/706879825.db2.gz KXFRVMMFOMMECH-JGPRNRPPSA-N -1 1 310.441 1.039 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1CC12CCCCC2 ZINC000867045228 706881323 /nfs/dbraw/zinc/88/13/23/706881323.db2.gz BDMJMYHZILKTLK-LLVKDONJSA-N -1 1 306.453 1.805 20 0 DDADMM CCc1cnccc1C[N-]S(=O)(=O)N=[S@](C)(=O)CC ZINC000867067657 706888140 /nfs/dbraw/zinc/88/81/40/706888140.db2.gz RGMNSUDWIGGNKI-GOSISDBHSA-N -1 1 305.425 1.096 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CC[C@@H]1CC(C)(C)CO1 ZINC000880186443 706905052 /nfs/dbraw/zinc/90/50/52/706905052.db2.gz WWMLQGZQNDNUQP-LLVKDONJSA-N -1 1 301.383 1.971 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1ccc(F)nc1F)C1CCOCC1 ZINC000867202290 706923156 /nfs/dbraw/zinc/92/31/56/706923156.db2.gz KKQFAJWCEGMDSW-UHFFFAOYSA-N -1 1 320.361 1.843 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-][C@H]1[C@@H]2CCC[C@@H]21)c1ccccc1 ZINC000867202306 706923328 /nfs/dbraw/zinc/92/33/28/706923328.db2.gz KQEGYMBVZHQCCB-HAKKBZAZSA-N -1 1 314.432 1.776 20 0 DDADMM C[C@H](CN(C)C(=O)c1nc(C(C)(C)C)cs1)c1nn[n-]n1 ZINC000867381491 706982040 /nfs/dbraw/zinc/98/20/40/706982040.db2.gz PONLXIRIMYWPCX-MRVPVSSYSA-N -1 1 308.411 1.829 20 0 DDADMM O=C([O-])[C@@H]1CCCCCN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000909020484 712918758 /nfs/dbraw/zinc/91/87/58/712918758.db2.gz PMNOVZJKIRVYTQ-GWCFXTLKSA-N -1 1 306.366 1.306 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCc1ccc(F)cc1 ZINC000833626424 707011940 /nfs/dbraw/zinc/01/19/40/707011940.db2.gz QVRVGHHLXYRZMY-LBPRGKRZSA-N -1 1 323.368 1.516 20 0 DDADMM C[C@@H](C(=O)[O-])[C@@H](NC(=O)CCc1cnc[nH]1)c1ccccc1 ZINC000909068500 712930381 /nfs/dbraw/zinc/93/03/81/712930381.db2.gz LXKQCGYNLLFICD-IAQYHMDHSA-N -1 1 301.346 1.921 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2cc(C)cc(C)n2)co1 ZINC000909102511 712936953 /nfs/dbraw/zinc/93/69/53/712936953.db2.gz FETIAASTNVNZQU-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@]2(C)CC2(C)C)o1 ZINC000881542253 707288540 /nfs/dbraw/zinc/28/85/40/707288540.db2.gz VYZHXKUFVGDDEP-CYBMUJFWSA-N -1 1 300.380 1.354 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-][C@H](C)c1csnn1 ZINC000882263548 707563122 /nfs/dbraw/zinc/56/31/22/707563122.db2.gz HLVMOQWAOVXLFL-SCZZXKLOSA-N -1 1 321.424 1.250 20 0 DDADMM O=Cc1cnc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)nc1 ZINC000882305069 707577337 /nfs/dbraw/zinc/57/73/37/707577337.db2.gz OQZXNNONRPWBGA-UHFFFAOYSA-N -1 1 316.283 1.184 20 0 DDADMM O=C(NC[C@H]1CCC2(CCC2)O1)c1ccc2n[n-]c(=S)n2c1 ZINC000882550179 707690675 /nfs/dbraw/zinc/69/06/75/707690675.db2.gz WDNRXCDMCNJCCT-LLVKDONJSA-N -1 1 318.402 1.850 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)CC=C(Cl)Cl ZINC000882645521 707731858 /nfs/dbraw/zinc/73/18/58/707731858.db2.gz YPCHBBNVTDWZHL-QMMMGPOBSA-N -1 1 318.222 1.813 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2CCC[C@@H]2c2ccccc2)nc1=O ZINC000837866852 707768927 /nfs/dbraw/zinc/76/89/27/707768927.db2.gz XXYWFEILEAXPTQ-OLZOCXBDSA-N -1 1 301.346 1.736 20 0 DDADMM O=C(OCCCO)c1ccc(C(=O)OCc2ccccc2)[n-]1 ZINC000838085204 707836183 /nfs/dbraw/zinc/83/61/83/707836183.db2.gz NQHCHKFGDHYTCE-UHFFFAOYSA-N -1 1 303.314 1.911 20 0 DDADMM CC1(C)CC[C@@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)OC1 ZINC000883136656 707937620 /nfs/dbraw/zinc/93/76/20/707937620.db2.gz KEHLTRPVSXEQBX-NSHDSACASA-N -1 1 315.373 1.858 20 0 DDADMM C[N@H+]1CCC[C@@H]1C(=O)N[C@H](CC(=O)[O-])c1ccc(F)cc1F ZINC000909344212 712996109 /nfs/dbraw/zinc/99/61/09/712996109.db2.gz YTNOLAQZOKDVDR-CHWSQXEVSA-N -1 1 312.316 1.691 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@H](CC(=O)[O-])c1ccc(F)cc1F ZINC000909344212 712996111 /nfs/dbraw/zinc/99/61/11/712996111.db2.gz YTNOLAQZOKDVDR-CHWSQXEVSA-N -1 1 312.316 1.691 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C1CC1 ZINC000896774578 708148131 /nfs/dbraw/zinc/14/81/31/708148131.db2.gz RSHVSBKKXCKYLI-CQSZACIVSA-N -1 1 315.325 1.932 20 0 DDADMM CN(C(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccc2c(c1)OCO2 ZINC000909414072 713011977 /nfs/dbraw/zinc/01/19/77/713011977.db2.gz VYSBKHJOAVWQDW-LLVKDONJSA-N -1 1 320.345 1.175 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CC(=O)N(C2CC2)C1 ZINC000897609906 708392510 /nfs/dbraw/zinc/39/25/10/708392510.db2.gz JXXKVBOKIQADNL-UHFFFAOYSA-N -1 1 312.325 1.655 20 0 DDADMM CCN(C(=O)c1ccc2ccc(O)cc2c1[O-])[C@@H]1CCNC1=O ZINC000897663594 708411036 /nfs/dbraw/zinc/41/10/36/708411036.db2.gz KMUQZODPSNRGPW-CQSZACIVSA-N -1 1 314.341 1.602 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccccc1F ZINC000912531480 713031517 /nfs/dbraw/zinc/03/15/17/713031517.db2.gz ODWBBPRURLSBQT-UHFFFAOYSA-N -1 1 300.267 1.103 20 0 DDADMM Cc1ccc(C[C@@H](CO)[N-]S(=O)(=O)c2ccns2)cc1 ZINC000885129157 708478022 /nfs/dbraw/zinc/47/80/22/708478022.db2.gz MBAXCZAUVLHETB-LBPRGKRZSA-N -1 1 312.416 1.333 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(c2ccccc2)CC1 ZINC000912537654 713032414 /nfs/dbraw/zinc/03/24/14/713032414.db2.gz IJHPNKWXRBSPNX-UHFFFAOYSA-N -1 1 321.358 1.164 20 0 DDADMM CCOC(=O)C(CC)(CC)[N-]S(=O)(=O)c1ccns1 ZINC000885203892 708493280 /nfs/dbraw/zinc/49/32/80/708493280.db2.gz DCNIBIATTLXGPV-UHFFFAOYSA-N -1 1 306.409 1.543 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000885383837 708532348 /nfs/dbraw/zinc/53/23/48/708532348.db2.gz VDCJRZSWJVOPMB-VXNVDRBHSA-N -1 1 305.425 1.033 20 0 DDADMM CC(C)[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)c1cnn(C)c1 ZINC000885412982 708538650 /nfs/dbraw/zinc/53/86/50/708538650.db2.gz YPJQSIWYSBWQOU-ZDUSSCGKSA-N -1 1 315.377 1.920 20 0 DDADMM COC(=O)c1c(F)cccc1S(=O)(=O)[N-]C(CF)CF ZINC000885418523 708540210 /nfs/dbraw/zinc/54/02/10/708540210.db2.gz WLMCLSYWNQAUJH-UHFFFAOYSA-N -1 1 311.281 1.198 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C[C@@H]1CCCO1)c1cc(F)ccc1F ZINC000885530252 708568802 /nfs/dbraw/zinc/56/88/02/708568802.db2.gz QAXYHFYRIRTVIW-MNOVXSKESA-N -1 1 321.345 1.173 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000885620934 708586199 /nfs/dbraw/zinc/58/61/99/708586199.db2.gz QINSNBITIRSFPR-RYPBNFRJSA-N -1 1 323.340 1.999 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)[C@H]1CCCS1(=O)=O ZINC000885680689 708596517 /nfs/dbraw/zinc/59/65/17/708596517.db2.gz WGOWDETVRKSULE-SNVBAGLBSA-N -1 1 307.730 1.700 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2(C3CCCCC3)CC2)n[n-]1 ZINC000898436001 708639025 /nfs/dbraw/zinc/63/90/25/708639025.db2.gz PAWXFTNBIGBJDT-UHFFFAOYSA-N -1 1 320.393 1.958 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2(C3CCCCC3)CC2)n1 ZINC000898436001 708639026 /nfs/dbraw/zinc/63/90/26/708639026.db2.gz PAWXFTNBIGBJDT-UHFFFAOYSA-N -1 1 320.393 1.958 20 0 DDADMM CCNC(=O)CCCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264772 708732022 /nfs/dbraw/zinc/73/20/22/708732022.db2.gz KZUFEYHJZWCMTK-UHFFFAOYSA-N -1 1 314.332 1.636 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCCOCC1 ZINC000927780342 713054051 /nfs/dbraw/zinc/05/40/51/713054051.db2.gz AHBJNCCJRKFPGU-UHFFFAOYSA-N -1 1 300.305 1.645 20 0 DDADMM CCn1cncc([N-]S(=O)(=O)c2ccc(C(F)F)o2)c1=O ZINC000886417011 708757444 /nfs/dbraw/zinc/75/74/44/708757444.db2.gz FPIUQPBMYJDDND-UHFFFAOYSA-N -1 1 319.289 1.595 20 0 DDADMM CCOC(=O)[C@@H](F)[C@@H]1CCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000898545326 708784553 /nfs/dbraw/zinc/78/45/53/708784553.db2.gz NJTINPZRINNCTF-RNCFNFMXSA-N -1 1 313.300 1.895 20 0 DDADMM C[C@@H]1CN(C(=O)NCCc2c(F)cc([O-])cc2F)CC[C@@H]1O ZINC000927789006 713056921 /nfs/dbraw/zinc/05/69/21/713056921.db2.gz MWARZLKLSWDSJS-OTYXRUKQSA-N -1 1 314.332 1.625 20 0 DDADMM Cn1nnc2c1C[C@H](NC(=O)c1cc3ccccc3cc1[O-])CC2 ZINC000886759086 708838937 /nfs/dbraw/zinc/83/89/37/708838937.db2.gz WVKDFLSJNBRBIY-CYBMUJFWSA-N -1 1 322.368 1.961 20 0 DDADMM Cc1cccc(CSCC(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC000898754643 708855616 /nfs/dbraw/zinc/85/56/16/708855616.db2.gz XGKYVIVWYLLOJK-UHFFFAOYSA-N -1 1 319.386 1.746 20 0 DDADMM C[C@H](CCc1cccc(F)c1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898757928 708857115 /nfs/dbraw/zinc/85/71/15/708857115.db2.gz UTUWXOFTWQCPES-SNVBAGLBSA-N -1 1 319.336 1.912 20 0 DDADMM CCN(CCC(=O)NC)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000888266147 709258625 /nfs/dbraw/zinc/25/86/25/709258625.db2.gz PKJHVGPWEXNNCK-UHFFFAOYSA-N -1 1 308.382 1.212 20 0 DDADMM C[C@]1([C@H]2CCCN(C(=O)c3cncc([O-])c3)C2)COC(=O)N1 ZINC000928023402 713105476 /nfs/dbraw/zinc/10/54/76/713105476.db2.gz PVQUUXWJJRYUJB-XHDPSFHLSA-N -1 1 305.334 1.138 20 0 DDADMM CSCC[C@H](NC(=O)C[C@H](C)C(F)(F)F)c1nn[n-]n1 ZINC000912858254 713109182 /nfs/dbraw/zinc/10/91/82/713109182.db2.gz ICBRNXJFJKKSPB-BQBZGAKWSA-N -1 1 311.333 1.699 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)c1cccc2nn[nH]c21 ZINC000909677281 709572046 /nfs/dbraw/zinc/57/20/46/709572046.db2.gz MCOMMWVXYULILM-RWEMILLDSA-N -1 1 300.318 1.283 20 0 DDADMM COc1cc(NC(=O)[C@@H](C)CN2CCOCC2)ccc1C(=O)[O-] ZINC000909731027 709599398 /nfs/dbraw/zinc/59/93/98/709599398.db2.gz WHIOCRPGXOFTAK-NSHDSACASA-N -1 1 322.361 1.300 20 0 DDADMM C[C@H]1[C@@H](C(=O)[O-])CCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000909810212 709632757 /nfs/dbraw/zinc/63/27/57/709632757.db2.gz WCYFYYFMYHEXPS-CABZTGNLSA-N -1 1 300.318 1.407 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2[nH]ccc2C)[n-]c1=O ZINC000889788062 709635995 /nfs/dbraw/zinc/63/59/95/709635995.db2.gz VNKZCKRECCHANQ-JTQLQIEISA-N -1 1 302.334 1.805 20 0 DDADMM CC[C@@H]1CC[C@H](C(=O)N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)O1 ZINC000889792184 709638126 /nfs/dbraw/zinc/63/81/26/709638126.db2.gz UDYPOHDYIRLDRR-IJLUTSLNSA-N -1 1 321.377 1.812 20 0 DDADMM CO[C@@H]1CCCN(NC(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000900664408 709701559 /nfs/dbraw/zinc/70/15/59/709701559.db2.gz SFZCFIVVBOHONR-LLVKDONJSA-N -1 1 316.361 1.399 20 0 DDADMM CO[C@@H]1CCCN(NC(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000900664408 709701562 /nfs/dbraw/zinc/70/15/62/709701562.db2.gz SFZCFIVVBOHONR-LLVKDONJSA-N -1 1 316.361 1.399 20 0 DDADMM CCC[C@@H](C(=O)[O-])n1ccc(NC(=O)CN(C)C2CCC2)n1 ZINC000909957449 709708099 /nfs/dbraw/zinc/70/80/99/709708099.db2.gz YWVMCCPEWAACII-LBPRGKRZSA-N -1 1 308.382 1.732 20 0 DDADMM C[C@H]([C@@H](C)C(=O)Nc1cc([O-])c(F)cc1F)S(C)(=O)=O ZINC000909986910 709721825 /nfs/dbraw/zinc/72/18/25/709721825.db2.gz XHKKXBQOMSGGPP-RNFRBKRXSA-N -1 1 307.318 1.678 20 0 DDADMM C[C@@]1(C(=O)NCCc2c(F)cc([O-])cc2F)CCNC(=O)C1 ZINC000900717868 709726199 /nfs/dbraw/zinc/72/61/99/709726199.db2.gz FZHDZHXKBMBHKL-OAHLLOKOSA-N -1 1 312.316 1.245 20 0 DDADMM O=C([O-])[C@@H]1CC[C@@H](c2ccccc2)N1C(=O)CN1CCCC1 ZINC000910104547 709773607 /nfs/dbraw/zinc/77/36/07/709773607.db2.gz XPZUMLPSFNGDCW-GJZGRUSLSA-N -1 1 302.374 1.899 20 0 DDADMM CCC[C@]1(C(=O)[O-])CCCN1C(=O)c1cccc2nn[nH]c21 ZINC000910112672 709775730 /nfs/dbraw/zinc/77/57/30/709775730.db2.gz BSSIEGQYOJEWDA-OAHLLOKOSA-N -1 1 302.334 1.817 20 0 DDADMM CCCN1CCC[C@H]1C(=O)N[C@@H](C)c1ncc(C(=O)[O-])s1 ZINC000910154888 709793826 /nfs/dbraw/zinc/79/38/26/709793826.db2.gz GEUHQUVASKITEO-UWVGGRQHSA-N -1 1 311.407 1.893 20 0 DDADMM CCCN1CCC[C@@H]1C(=O)N[C@@H](C)c1ncc(C(=O)[O-])s1 ZINC000910154889 709794048 /nfs/dbraw/zinc/79/40/48/709794048.db2.gz GEUHQUVASKITEO-VHSXEESVSA-N -1 1 311.407 1.893 20 0 DDADMM CCc1cncc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000910169191 709796011 /nfs/dbraw/zinc/79/60/11/709796011.db2.gz AAAUSIFNCHKFIL-GFCCVEGCSA-N -1 1 305.378 1.265 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2ccc(O)cc2)CC1 ZINC000910169857 709796283 /nfs/dbraw/zinc/79/62/83/709796283.db2.gz GOPQEZFZUBLIBM-IRBQFCFKSA-N -1 1 318.373 1.413 20 0 DDADMM O=C([O-])[C@H]1c2ccoc2CCN1C(=O)c1cc(C(F)F)[nH]n1 ZINC000910203753 709812467 /nfs/dbraw/zinc/81/24/67/709812467.db2.gz ADKDZWITUXPVCE-SNVBAGLBSA-N -1 1 311.244 1.765 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000910207579 709815098 /nfs/dbraw/zinc/81/50/98/709815098.db2.gz AEKMYHKHEQFUGW-OAHLLOKOSA-N -1 1 320.364 1.893 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2cccc3nn[nH]c32)[C@@H]2CCC[C@H]21 ZINC000910245852 709837330 /nfs/dbraw/zinc/83/73/30/709837330.db2.gz MBHVYUWZRHJAGA-OPQQBVKSSA-N -1 1 314.345 1.673 20 0 DDADMM CO[C@H]1CN(C(=O)c2cccc3[nH]cnc32)[C@@](C)(C(=O)[O-])C1 ZINC000910266689 709851216 /nfs/dbraw/zinc/85/12/16/709851216.db2.gz QZFDLZIBYHZEGZ-RFAUZJTJSA-N -1 1 303.318 1.267 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@H](C1CCC1)[C@H]1CCCO1 ZINC000910313424 709884544 /nfs/dbraw/zinc/88/45/44/709884544.db2.gz WYTWUQFGJWVTKO-SQWLQELKSA-N -1 1 324.421 1.247 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@@](C)(CC(=O)[O-])C3CC3)c2C1 ZINC000910320094 709888939 /nfs/dbraw/zinc/88/89/39/709888939.db2.gz WIIDWVZPNWXHAO-ABKXIKBNSA-N -1 1 305.378 1.908 20 0 DDADMM O=C(N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1)c1ccc([O-])c(F)c1 ZINC000890535457 709910151 /nfs/dbraw/zinc/91/01/51/709910151.db2.gz SBHAVZICQCALCQ-SNVBAGLBSA-N -1 1 318.308 1.036 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC(Cc2ccoc2)C1 ZINC000890572553 709917463 /nfs/dbraw/zinc/91/74/63/709917463.db2.gz AHCRPAYOQBFJLH-UHFFFAOYSA-N -1 1 314.370 1.926 20 0 DDADMM CN(CC(=O)N[C@@H](CC(=O)[O-])C1CCCC1)[C@@H]1CCSC1 ZINC000910558890 709999480 /nfs/dbraw/zinc/99/94/80/709999480.db2.gz HORMOOMLKKLDPS-OLZOCXBDSA-N -1 1 314.451 1.573 20 0 DDADMM Cc1nc(SCC(=O)N2CCOC(C)(C)C2)[n-]c(=O)c1C ZINC000901531111 710067440 /nfs/dbraw/zinc/06/74/40/710067440.db2.gz MTZQMEVXMWMMQI-UHFFFAOYSA-N -1 1 311.407 1.529 20 0 DDADMM O=C(N[C@H]1CCc2ncsc2C1)c1cnc(C2CC2)[n-]c1=O ZINC000910817703 710086562 /nfs/dbraw/zinc/08/65/62/710086562.db2.gz UDIJKHILMDYYIU-VIFPVBQESA-N -1 1 316.386 1.803 20 0 DDADMM CCC[C@H](CN(C)CC(=O)N1CCC[C@H](C(=O)[O-])C1)OC ZINC000901621899 710098676 /nfs/dbraw/zinc/09/86/76/710098676.db2.gz BHJOEBQSHGXDAE-QWHCGFSZSA-N -1 1 300.399 1.057 20 0 DDADMM COC1(CN(C)CC(=O)N2CCC[C@@H](C(=O)[O-])C2)CCCC1 ZINC000901628476 710101845 /nfs/dbraw/zinc/10/18/45/710101845.db2.gz XXXUUQWENDNULR-CYBMUJFWSA-N -1 1 312.410 1.201 20 0 DDADMM O=C([O-])[C@H](Cc1ccc(F)cc1)NC(=O)c1[nH]nc2c1CCC2 ZINC000910931705 710123094 /nfs/dbraw/zinc/12/30/94/710123094.db2.gz QUIJXVBIIVNHIE-ZDUSSCGKSA-N -1 1 317.320 1.463 20 0 DDADMM Cn1c(CN2CCSC[C@H](C(=O)[O-])C2)nc2ccccc21 ZINC000901891012 710166560 /nfs/dbraw/zinc/16/65/60/710166560.db2.gz PSLSVEVXFUUEFD-LLVKDONJSA-N -1 1 305.403 1.823 20 0 DDADMM C[C@H]1CCCN(S(=O)(=O)[N-]c2nn(C)c3ccccc32)C1 ZINC000901988459 710193875 /nfs/dbraw/zinc/19/38/75/710193875.db2.gz YTQXXDXUQSPVSK-NSHDSACASA-N -1 1 308.407 1.962 20 0 DDADMM COCc1nc(NC[C@@H]2COc3ccccc3C2)cc(=O)[n-]1 ZINC000891594595 710243989 /nfs/dbraw/zinc/24/39/89/710243989.db2.gz NLHZWGNAAUAWRB-LLVKDONJSA-N -1 1 301.346 1.992 20 0 DDADMM COCc1nc(NC2CCN(C(=O)CC(C)C)CC2)cc(=O)[n-]1 ZINC000892035552 710357460 /nfs/dbraw/zinc/35/74/60/710357460.db2.gz CGUBCAQGVXLPBY-UHFFFAOYSA-N -1 1 322.409 1.778 20 0 DDADMM COCc1nc(NCc2cnn(CC(F)(F)F)c2)cc(=O)[n-]1 ZINC000892938400 710528719 /nfs/dbraw/zinc/52/87/19/710528719.db2.gz NDKXSIHGTXCFKA-UHFFFAOYSA-N -1 1 317.271 1.700 20 0 DDADMM COCc1nc(N2CCOC3(CCOCC3)CC2)cc(=O)[n-]1 ZINC000893219448 710573438 /nfs/dbraw/zinc/57/34/38/710573438.db2.gz GYZZPVPQZQTRTI-UHFFFAOYSA-N -1 1 309.366 1.105 20 0 DDADMM O=C([O-])c1cccc(CNCCn2ccc(C(F)(F)F)n2)n1 ZINC000902165204 710643155 /nfs/dbraw/zinc/64/31/55/710643155.db2.gz MHUXUOXRLOSZON-UHFFFAOYSA-N -1 1 314.267 1.785 20 0 DDADMM C[C@H]1CN(CCCNC(=O)CC(C)(C)CC(=O)[O-])C[C@H](C)O1 ZINC000911150356 710655531 /nfs/dbraw/zinc/65/55/31/710655531.db2.gz IPZDXTTVFONYTR-STQMWFEESA-N -1 1 314.426 1.493 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H](COC)C(C)C)co1 ZINC000893458343 710664051 /nfs/dbraw/zinc/66/40/51/710664051.db2.gz IHGHQDLKBWUGFJ-NSHDSACASA-N -1 1 319.379 1.263 20 0 DDADMM CCn1nncc1CNCc1ccc(O[C@@H](C)C(=O)[O-])cc1 ZINC000902296906 710702707 /nfs/dbraw/zinc/70/27/07/710702707.db2.gz LXEKPLIJHPJQEY-NSHDSACASA-N -1 1 304.350 1.440 20 0 DDADMM CC[C@@H](CNCc1cn(CC(=O)[O-])nn1)Oc1cccc(C)c1 ZINC000902394998 710740716 /nfs/dbraw/zinc/74/07/16/710740716.db2.gz AKXFGNZPIONNHR-AWEZNQCLSA-N -1 1 318.377 1.618 20 0 DDADMM Nn1c(SCc2nn[n-]n2)nnc1SCc1ccccc1 ZINC000913396417 713208004 /nfs/dbraw/zinc/20/80/04/713208004.db2.gz IFEBMOWSZTUPRB-UHFFFAOYSA-N -1 1 320.407 1.090 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)c1cccc(OCC(=O)[O-])c1 ZINC000911569731 710859395 /nfs/dbraw/zinc/85/93/95/710859395.db2.gz GEWYXDTVAOXBHT-CQSZACIVSA-N -1 1 320.389 1.706 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@]12CCC[C@H]1OCC2 ZINC000913437921 713215599 /nfs/dbraw/zinc/21/55/99/713215599.db2.gz PHNNHZRZMDKFHN-IOASZLSFSA-N -1 1 313.361 1.365 20 0 DDADMM COCc1nc(N2CCC[C@H](N3CCCC3=O)CC2)cc(=O)[n-]1 ZINC000894215186 711020257 /nfs/dbraw/zinc/02/02/57/711020257.db2.gz QHXCAYNBXISGSO-LBPRGKRZSA-N -1 1 320.393 1.310 20 0 DDADMM Cc1ccc2[nH]c(C(=O)N3CCOC[C@H]3c3nn[n-]n3)cc2c1 ZINC000913494294 713237321 /nfs/dbraw/zinc/23/73/21/713237321.db2.gz DOQDYPVFXZRBQU-ZDUSSCGKSA-N -1 1 312.333 1.203 20 0 DDADMM CSc1nc(CNC(=O)c2nc(C)c(C)s2)cc(=O)[n-]1 ZINC000912249297 711244804 /nfs/dbraw/zinc/24/48/04/711244804.db2.gz OCDKDOMTDZZYDP-UHFFFAOYSA-N -1 1 310.404 1.907 20 0 DDADMM O=C([C@@H](F)CC1CCCCC1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913497958 713239120 /nfs/dbraw/zinc/23/91/20/713239120.db2.gz NJQQFVKVMJSFRJ-NWDGAFQWSA-N -1 1 311.361 1.408 20 0 DDADMM CCN(C(=O)[C@H](C)N(CCOC)CCC(=O)[O-])c1ccccc1 ZINC000903711934 711251290 /nfs/dbraw/zinc/25/12/90/711251290.db2.gz PWBPNVZPIRDIAS-AWEZNQCLSA-N -1 1 322.405 1.851 20 0 DDADMM O=C([C@H]1CC[C@H](C2CCC2)CC1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499646 713239487 /nfs/dbraw/zinc/23/94/87/713239487.db2.gz PYIHLKRXSYAJKP-MGPQQGTHSA-N -1 1 319.409 1.706 20 0 DDADMM O=C(c1cccc2scnc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499425 713239504 /nfs/dbraw/zinc/23/95/04/713239504.db2.gz PQAYUHYPEHPGQM-SECBINFHSA-N -1 1 316.346 1.023 20 0 DDADMM COCC(COC)N1CCc2c(C(=O)[O-])ccc(OC)c2C1 ZINC000903913242 711328770 /nfs/dbraw/zinc/32/87/70/711328770.db2.gz NMWWONZPEUYFOM-UHFFFAOYSA-N -1 1 309.362 1.413 20 0 DDADMM O=S1(=O)CCC[C@H](c2nc(-c3ccc([O-])cc3F)no2)C1 ZINC000896220950 711693802 /nfs/dbraw/zinc/69/38/02/711693802.db2.gz LSNPZCUUVSKXDU-QMMMGPOBSA-N -1 1 312.322 1.874 20 0 DDADMM COCc1nc(N2CCn3nc(C(C)(C)C)cc3C2)cc(=O)[n-]1 ZINC000896224527 711694128 /nfs/dbraw/zinc/69/41/28/711694128.db2.gz JKORQOPHNCMZGV-UHFFFAOYSA-N -1 1 317.393 1.843 20 0 DDADMM COCCOCc1cc(=O)n(-c2ccc(CC(=O)[O-])cc2)[nH]1 ZINC000905020659 711911295 /nfs/dbraw/zinc/91/12/95/711911295.db2.gz RYNWUEIYEBQBSB-UHFFFAOYSA-N -1 1 306.318 1.069 20 0 DDADMM COC(=O)c1nn(C)c(C)c1C=Cc1ncc(OC)c(=O)[n-]1 ZINC000905077214 711928847 /nfs/dbraw/zinc/92/88/47/711928847.db2.gz SFGYKOQCWJBQAK-WAYWQWQTSA-N -1 1 304.306 1.190 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@H](O)[C@@H]2CCCC[C@@H]21 ZINC000913726253 713289895 /nfs/dbraw/zinc/28/98/95/713289895.db2.gz KYULILKLBVXJDU-XBFCOCLRSA-N -1 1 317.389 1.825 20 0 DDADMM COc1cc(OC)cc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913742315 713291713 /nfs/dbraw/zinc/29/17/13/713291713.db2.gz VCJOTTQXUFZSCX-UHFFFAOYSA-N -1 1 317.349 1.237 20 0 DDADMM O=C([C@H](F)Cc1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744277 713292829 /nfs/dbraw/zinc/29/28/29/713292829.db2.gz HYFNXLQJPGPUAR-CYBMUJFWSA-N -1 1 303.341 1.487 20 0 DDADMM Cc1c(C(=O)N2CCC(c3nn[n-]n3)CC2)cnn1C(C)C ZINC000913744557 713292876 /nfs/dbraw/zinc/29/28/76/713292876.db2.gz TXOXQWXMQOJXCY-UHFFFAOYSA-N -1 1 303.370 1.305 20 0 DDADMM C[C@H]1Cc2cc(C(=O)N3CCC(c4nn[n-]n4)CC3)ccc2O1 ZINC000913745814 713293476 /nfs/dbraw/zinc/29/34/76/713293476.db2.gz PGZIACGLOBLURA-JTQLQIEISA-N -1 1 313.361 1.543 20 0 DDADMM O=Cc1cc(F)c(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1F ZINC000913745748 713293711 /nfs/dbraw/zinc/29/37/11/713293711.db2.gz NHVOFIKRSQIWQI-UHFFFAOYSA-N -1 1 321.287 1.310 20 0 DDADMM COc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1Cl ZINC000913746104 713293890 /nfs/dbraw/zinc/29/38/90/713293890.db2.gz JNGPYMNOKCZRDB-UHFFFAOYSA-N -1 1 321.768 1.882 20 0 DDADMM CSc1cccc(C(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913746543 713294310 /nfs/dbraw/zinc/29/43/10/713294310.db2.gz ZFLQYZHZRCYUEW-UHFFFAOYSA-N -1 1 304.379 1.336 20 0 DDADMM COc1ccc([C@H](NCc2ccc(OC)nn2)C(=O)[O-])cc1 ZINC000905382585 712022584 /nfs/dbraw/zinc/02/25/84/712022584.db2.gz ZMUOIGMYRHEJQZ-AWEZNQCLSA-N -1 1 303.318 1.409 20 0 DDADMM Cc1nc(C[N-]c2nnc(CO)o2)sc1Br ZINC000905410737 712031228 /nfs/dbraw/zinc/03/12/28/712031228.db2.gz AOZSHMSJIPVCRK-UHFFFAOYSA-N -1 1 305.157 1.701 20 0 DDADMM Cc1nc([N-]C(=O)c2cnc(Br)s2)nn1C ZINC000905771712 712143126 /nfs/dbraw/zinc/14/31/26/712143126.db2.gz WMXZVYGHTYRWBY-UHFFFAOYSA-N -1 1 302.157 1.595 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CCCC3(CC3)C2)c1 ZINC000906934635 712442375 /nfs/dbraw/zinc/44/23/75/712442375.db2.gz NWRLDPCAZBAZNS-UHFFFAOYSA-N -1 1 311.359 1.655 20 0 DDADMM CN1CCC2(CCN2S(=O)(=O)c2ccc(C(=O)[O-])cc2)CC1 ZINC000907127347 712494418 /nfs/dbraw/zinc/49/44/18/712494418.db2.gz HPSSDCKSYYOALY-UHFFFAOYSA-N -1 1 324.402 1.244 20 0 DDADMM Nc1cn[nH]c1[C@H]1CCN(C(=O)c2cccc(Cl)c2[O-])C1 ZINC000907413674 712564658 /nfs/dbraw/zinc/56/46/58/712564658.db2.gz XEERGUDMDJLMCZ-QMMMGPOBSA-N -1 1 306.753 1.981 20 0 DDADMM COc1ccc(F)cc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907474308 712581436 /nfs/dbraw/zinc/58/14/36/712581436.db2.gz GMCLUVKVIHNWDY-SNVBAGLBSA-N -1 1 323.353 1.278 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccsc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907473866 712581495 /nfs/dbraw/zinc/58/14/95/712581495.db2.gz UVEYBNHVNXMMTC-HBNTYKKESA-N -1 1 321.431 1.681 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)N2CCSC[C@@H]2c2nn[n-]n2)CCO1 ZINC000907475856 712582181 /nfs/dbraw/zinc/58/21/81/712582181.db2.gz ANGOEAPGAHQKHG-GMTAPVOTSA-N -1 1 311.411 1.021 20 0 DDADMM Cc1c(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)ccn1C(C)C ZINC000907479409 712583242 /nfs/dbraw/zinc/58/32/42/712583242.db2.gz DBCNQYHJLOUIOD-GFCCVEGCSA-N -1 1 320.422 1.821 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CC(O)C2)c1c(F)cccc1Cl ZINC000907787183 712626603 /nfs/dbraw/zinc/62/66/03/712626603.db2.gz OMOITUGRGQJSMA-VPHNTROTSA-N -1 1 305.758 1.671 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CC23CC(O)C3)c(F)c1 ZINC000907787678 712626625 /nfs/dbraw/zinc/62/66/25/712626625.db2.gz QONCFSZHIIABOX-LGFNHLLRSA-N -1 1 319.329 1.165 20 0 DDADMM C[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H](C2CC2)O1 ZINC000907868410 712638721 /nfs/dbraw/zinc/63/87/21/712638721.db2.gz UCNSGIIOJMSIDH-NOZJJQNGSA-N -1 1 303.362 1.699 20 0 DDADMM CC(C)=C[C@H]1[C@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C1(C)C ZINC000907944266 712652890 /nfs/dbraw/zinc/65/28/90/712652890.db2.gz RYGHXJUICXSPBG-NWDGAFQWSA-N -1 1 319.409 1.248 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]Cc2nc(C(C)(C)C)co2)on1 ZINC000907945692 712653033 /nfs/dbraw/zinc/65/30/33/712653033.db2.gz AZLRXHKXJDWYMP-UHFFFAOYSA-N -1 1 313.379 1.888 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)O[C@H]2CCOC2)[n-]c1=O ZINC000908018634 712667136 /nfs/dbraw/zinc/66/71/36/712667136.db2.gz MTFCOTJUUIBNRJ-VHSXEESVSA-N -1 1 309.322 1.253 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]CC(F)(F)C1(O)CCCCC1 ZINC000908497227 712789876 /nfs/dbraw/zinc/78/98/76/712789876.db2.gz LBLMPMRMHJEPIM-UHFFFAOYSA-N -1 1 314.398 1.493 20 0 DDADMM Cc1ccc(CNC(=O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)c(C)c1 ZINC000908718502 712840576 /nfs/dbraw/zinc/84/05/76/712840576.db2.gz WKLLXOATMOJHEV-OAHLLOKOSA-N -1 1 304.390 1.716 20 0 DDADMM Cc1ccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c(C)c1 ZINC000908718502 712840578 /nfs/dbraw/zinc/84/05/78/712840578.db2.gz WKLLXOATMOJHEV-OAHLLOKOSA-N -1 1 304.390 1.716 20 0 DDADMM C[C@H](CNC(=O)N(C)[C@H](C)C(=O)[O-])N1CCc2ccccc2C1 ZINC000908912183 712885139 /nfs/dbraw/zinc/88/51/39/712885139.db2.gz GLLYURUQTVLABQ-CHWSQXEVSA-N -1 1 319.405 1.548 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cc2c([nH]c1=O)CCC2 ZINC000916990254 713477092 /nfs/dbraw/zinc/47/70/92/713477092.db2.gz JOFGPMANTUKXFN-UHFFFAOYSA-N -1 1 313.239 1.665 20 0 DDADMM CC[C@](C)(NC(=O)N[C@@H]1CCCN2CCSC[C@@H]12)C(=O)[O-] ZINC000929063606 713542349 /nfs/dbraw/zinc/54/23/49/713542349.db2.gz OMYLQGMXEWLQDX-SUNKGSAMSA-N -1 1 315.439 1.119 20 0 DDADMM CCO[C@@H]1C[C@H]1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000919423589 713604471 /nfs/dbraw/zinc/60/44/71/713604471.db2.gz AQICGJRDPAYSDS-CHWSQXEVSA-N -1 1 304.298 1.967 20 0 DDADMM COC(=O)CC1CC([N-]S(=O)(=O)c2nc(C)c(C)s2)C1 ZINC000921185777 713719440 /nfs/dbraw/zinc/71/94/40/713719440.db2.gz KFPZWVMHOAXENA-UHFFFAOYSA-N -1 1 318.420 1.380 20 0 DDADMM COC(=O)/C(C)=C\C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000921233172 713724312 /nfs/dbraw/zinc/72/43/12/713724312.db2.gz QEEUZLAETMSLPT-VURMDHGXSA-N -1 1 321.757 1.877 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2cc(C3CC3)nn2C)sn1 ZINC000921900406 713902162 /nfs/dbraw/zinc/90/21/62/713902162.db2.gz QWECJDNEJLUGLF-UHFFFAOYSA-N -1 1 312.420 1.541 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000921972025 713924555 /nfs/dbraw/zinc/92/45/55/713924555.db2.gz WULBZTNZHVQNNK-RQJHMYQMSA-N -1 1 309.290 1.447 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CCCC(C)(C)O1)C(C)C ZINC000921977221 713926486 /nfs/dbraw/zinc/92/64/86/713926486.db2.gz SWHJDPGZGNTBNI-VXGBXAGGSA-N -1 1 321.439 1.451 20 0 DDADMM C[C@@H]1C(=O)N[C@@H](C)[C@@H](C)N1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000930838567 713933984 /nfs/dbraw/zinc/93/39/84/713933984.db2.gz ZYZCDWHYEXWKQB-HBNTYKKESA-N -1 1 316.357 1.596 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)c1cc(=O)n(-c2ccc(C)cc2)[n-]1 ZINC000922157645 713977974 /nfs/dbraw/zinc/97/79/74/713977974.db2.gz CGSGSQNBJHURMZ-CQSZACIVSA-N -1 1 314.389 1.298 20 0 DDADMM CC[C@H](C)[C@H](C(=O)OC)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000931568237 714130399 /nfs/dbraw/zinc/13/03/99/714130399.db2.gz CVQPQJDRTYBRBZ-OPQQBVKSSA-N -1 1 324.343 1.717 20 0 DDADMM C[C@@H](O)[C@@H]1CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932045938 714245393 /nfs/dbraw/zinc/24/53/93/714245393.db2.gz JZDRHYSVOZEQFE-VXGBXAGGSA-N -1 1 316.361 1.813 20 0 DDADMM CC[C@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C[C@@H]1O ZINC000932048436 714246256 /nfs/dbraw/zinc/24/62/56/714246256.db2.gz WCXQMWSEKKVXNV-FZMZJTMJSA-N -1 1 316.361 1.813 20 0 DDADMM C[C@@H](O)[C@H]1CCCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932058135 714248753 /nfs/dbraw/zinc/24/87/53/714248753.db2.gz KVNQHQNTJKQOJG-BXUZGUMPSA-N -1 1 316.361 1.955 20 0 DDADMM O=C([N-]CCCOC(=O)c1cnn(C2CCC2)c1)C(F)(F)F ZINC000923860541 714481359 /nfs/dbraw/zinc/48/13/59/714481359.db2.gz QXQSLJBBFRVJJX-UHFFFAOYSA-N -1 1 319.283 1.834 20 0 DDADMM COc1cccc(C[C@H](C)CC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000924299873 714560202 /nfs/dbraw/zinc/56/02/02/714560202.db2.gz HCNCRCZIWXAONV-NSHDSACASA-N -1 1 319.361 1.429 20 0 DDADMM COC[C@@H]1COCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000933334466 714565199 /nfs/dbraw/zinc/56/51/99/714565199.db2.gz RESKXBMBNOIHJD-GFCCVEGCSA-N -1 1 305.330 1.346 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2cccnc2OC)[n-]c1=O ZINC000934273926 714783851 /nfs/dbraw/zinc/78/38/51/714783851.db2.gz QKMWJGWEAZEYSF-GFCCVEGCSA-N -1 1 316.361 1.932 20 0 DDADMM COc1cnc([C@@H]2CCCN2CC(=O)NC(C)(C)C)[n-]c1=O ZINC000934278073 714785479 /nfs/dbraw/zinc/78/54/79/714785479.db2.gz SKVDBNAKPMKERQ-JTQLQIEISA-N -1 1 308.382 1.242 20 0 DDADMM O=C([N-]OCC(F)(F)F)c1cc(=O)[nH]cc1Br ZINC000934903296 714927479 /nfs/dbraw/zinc/92/74/79/714927479.db2.gz TXIIACSNMADHNF-UHFFFAOYSA-N -1 1 315.045 1.773 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1cccc(O)c1 ZINC000935036626 714960818 /nfs/dbraw/zinc/96/08/18/714960818.db2.gz FYSFXPFEWOSNKW-CMPLNLGQSA-N -1 1 301.350 1.415 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@H]1C[C@]12C(=O)Nc1ccccc12 ZINC000935456660 715060036 /nfs/dbraw/zinc/06/00/36/715060036.db2.gz PKCZTLXQFGWANN-MLGOLLRUSA-N -1 1 302.330 1.123 20 0 DDADMM CC1(C)C(C)(C)C1(C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000935785471 715128381 /nfs/dbraw/zinc/12/83/81/715128381.db2.gz RKJJDRDDZFPENK-UHFFFAOYSA-N -1 1 307.398 1.082 20 0 DDADMM CC(C)[C@@H]1C[C@@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCO1 ZINC000935828885 715131357 /nfs/dbraw/zinc/13/13/57/715131357.db2.gz ANPWUSITHOTONK-STQMWFEESA-N -1 1 323.393 1.932 20 0 DDADMM CC(=O)N1CC[C@]2(C1)CCCCN(C(=O)c1ncccc1[O-])C2 ZINC000956549540 715468215 /nfs/dbraw/zinc/46/82/15/715468215.db2.gz HJMUHTYPKACMLB-KRWDZBQOSA-N -1 1 317.389 1.652 20 0 DDADMM CN(C(=O)[C@]1(C)C=CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955025999 715583965 /nfs/dbraw/zinc/58/39/65/715583965.db2.gz BYMAYIOMXDTDGX-QGZVFWFLSA-N -1 1 315.373 1.426 20 0 DDADMM CC1CC(C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)C1 ZINC000956849677 715636487 /nfs/dbraw/zinc/63/64/87/715636487.db2.gz WVDRRCWXBPCUBX-VCMHEYGDSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957659792 715989786 /nfs/dbraw/zinc/98/97/86/715989786.db2.gz JPJRYAXXSMNFCF-QFSBIZTOSA-N -1 1 317.389 1.554 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CC2(C)CC2)C1 ZINC000938886013 716035168 /nfs/dbraw/zinc/03/51/68/716035168.db2.gz CAOSBGZYLSJCRR-GFCCVEGCSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccccc1 ZINC000939179424 716162312 /nfs/dbraw/zinc/16/23/12/716162312.db2.gz BUFZCZYKNYJIFE-CYBMUJFWSA-N -1 1 311.341 1.432 20 0 DDADMM C[C@@H](C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CCC1 ZINC000960039430 716395747 /nfs/dbraw/zinc/39/57/47/716395747.db2.gz XNMZOUIEKVJUNN-YPMHNXCESA-N -1 1 321.425 1.417 20 0 DDADMM CCC(C)(CC)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000939797125 716431813 /nfs/dbraw/zinc/43/18/13/716431813.db2.gz QTQXSEPPVUMUJL-GHMZBOCLSA-N -1 1 309.414 1.273 20 0 DDADMM O=C(NC[C@H]1CCCCN1C(=O)c1ncccc1[O-])C1CC1 ZINC000964480499 717282068 /nfs/dbraw/zinc/28/20/68/717282068.db2.gz QPCYCUIENGXLHV-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)C2CCC2)CC1)c1ncccc1[O-] ZINC000964851204 717453942 /nfs/dbraw/zinc/45/39/42/717453942.db2.gz WGOOOTLEWFQYST-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM CC[C@@H](F)C(=O)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943204520 718046682 /nfs/dbraw/zinc/04/66/82/718046682.db2.gz UCSBWPHCIZVJNW-VXGBXAGGSA-N -1 1 323.368 1.646 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C\C2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966262707 718489235 /nfs/dbraw/zinc/48/92/35/718489235.db2.gz AUYYSNXAGPIHFN-YXZYKRAASA-N -1 1 315.373 1.330 20 0 DDADMM CN(C[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)C(=O)C1CC1 ZINC000966565972 718590996 /nfs/dbraw/zinc/59/09/96/718590996.db2.gz SWPZIXGAQUUXLB-GFCCVEGCSA-N -1 1 317.389 1.508 20 0 DDADMM CC(C)C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000945934575 718618375 /nfs/dbraw/zinc/61/83/75/718618375.db2.gz ZDJHYYWJQXLZAO-STQMWFEESA-N -1 1 317.389 1.506 20 0 DDADMM CC(C)C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC000945934569 718618491 /nfs/dbraw/zinc/61/84/91/718618491.db2.gz ZDJHYYWJQXLZAO-CHWSQXEVSA-N -1 1 317.389 1.506 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000946486114 718800417 /nfs/dbraw/zinc/80/04/17/718800417.db2.gz JIMHTZUOIWAAIT-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM CN(C(=O)C1CC1)[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000967453330 718904632 /nfs/dbraw/zinc/90/46/32/718904632.db2.gz LYXTZVBFXJQQGB-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM C/C=C(\C)C(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC000967901644 719079964 /nfs/dbraw/zinc/07/99/64/719079964.db2.gz TUJFPYUNUJVOGI-NYYWCZLTSA-N -1 1 303.362 1.426 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@H]2C[C@H]2C)C1 ZINC000967906211 719081997 /nfs/dbraw/zinc/08/19/97/719081997.db2.gz DDTGSJSPLIRAJN-VXGBXAGGSA-N -1 1 317.389 1.506 20 0 DDADMM CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968883630 719841813 /nfs/dbraw/zinc/84/18/13/719841813.db2.gz YYXVUQMYMLDDPZ-TXEJJXNPSA-N -1 1 303.362 1.403 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)[C@@H]1C[C@H]1C1CC1)c1ncccc1[O-] ZINC000949538653 720146805 /nfs/dbraw/zinc/14/68/05/720146805.db2.gz OHGPQKPCYNIFJV-RWMBFGLXSA-N -1 1 315.373 1.164 20 0 DDADMM Cc1cocc1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949542063 720150325 /nfs/dbraw/zinc/15/03/25/720150325.db2.gz VYODWNYNJRSNJS-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC000953888127 721674545 /nfs/dbraw/zinc/67/45/45/721674545.db2.gz LBJMTMUXUGNDPX-YPMHNXCESA-N -1 1 317.389 1.506 20 0 DDADMM Cc1occc1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954124192 721723700 /nfs/dbraw/zinc/72/37/00/721723700.db2.gz QAAYTAYALHYIDH-UHFFFAOYSA-N -1 1 315.329 1.285 20 0 DDADMM Cc1nnc([C@H](C)N2CC[C@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038184160 732911649 /nfs/dbraw/zinc/91/16/49/732911649.db2.gz QGZIKZFJGQUOPN-ONGXEEELSA-N -1 1 317.349 1.044 20 0 DDADMM CC(=O)c1cc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)n(C)c1 ZINC000891626456 734435071 /nfs/dbraw/zinc/43/50/71/734435071.db2.gz NGKJYBLZQXJFLR-UHFFFAOYSA-N -1 1 314.345 1.854 20 0 DDADMM C[C@H]1c2ccccc2CCN1c1nnc(Cc2nnn[n-]2)n1C ZINC001121316657 782455493 /nfs/dbraw/zinc/45/54/93/782455493.db2.gz BZVQRNLAUYNUJG-JTQLQIEISA-N -1 1 310.365 1.043 20 0 DDADMM C[C@H]1c2ccccc2CCN1c1nnc(Cc2nn[n-]n2)n1C ZINC001121316657 782455501 /nfs/dbraw/zinc/45/55/01/782455501.db2.gz BZVQRNLAUYNUJG-JTQLQIEISA-N -1 1 310.365 1.043 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024970951 736203990 /nfs/dbraw/zinc/20/39/90/736203990.db2.gz AMOGFNFSVQWTMC-LBPRGKRZSA-N -1 1 323.441 1.663 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974055863 737352985 /nfs/dbraw/zinc/35/29/85/737352985.db2.gz AZSQWLMFFJCQSV-QNWHQSFQSA-N -1 1 317.389 1.456 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)[C@@H]1CCCOC1 ZINC000692905418 739032533 /nfs/dbraw/zinc/03/25/33/739032533.db2.gz SMWFUPLAMIPNCK-DTWKUNHWSA-N -1 1 322.789 1.968 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)c1ccoc1 ZINC001066816825 751425979 /nfs/dbraw/zinc/42/59/79/751425979.db2.gz CLWCARUJAJATGD-UHFFFAOYSA-N -1 1 317.345 1.615 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ccc(F)c(C)n3)no2)[n-]n1 ZINC001213463568 739238770 /nfs/dbraw/zinc/23/87/70/739238770.db2.gz HRMFCGXWYUWYQK-UHFFFAOYSA-N -1 1 303.253 1.756 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2cnc(Cl)cn2)c1 ZINC001141841418 740834797 /nfs/dbraw/zinc/83/47/97/740834797.db2.gz AICIXPHRDQMKHW-UHFFFAOYSA-N -1 1 305.721 1.887 20 0 DDADMM O=C(c1ccco1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088251882 741220883 /nfs/dbraw/zinc/22/08/83/741220883.db2.gz CTWQIRCLLWQLKG-NSHDSACASA-N -1 1 319.365 1.278 20 0 DDADMM CC(C)=CC(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088253090 741223070 /nfs/dbraw/zinc/22/30/70/741223070.db2.gz IJWCZSZCRVGCGK-LBPRGKRZSA-N -1 1 307.398 1.337 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1CC=CC1 ZINC001076244682 742623649 /nfs/dbraw/zinc/62/36/49/742623649.db2.gz YVOXIQDTMCWQLW-NSHDSACASA-N -1 1 303.362 1.378 20 0 DDADMM CC[C@@H](F)CN1CC2(C1)CCN(C(=O)c1n[nH]c(C)c1[O-])CC2 ZINC001035686936 751766009 /nfs/dbraw/zinc/76/60/09/751766009.db2.gz VPJLBLYCFCQBEP-GFCCVEGCSA-N -1 1 324.400 1.710 20 0 DDADMM O=C(N[C@H]1CCC[C@H](Nc2ncccn2)C1)c1ncccc1[O-] ZINC001061076188 743071807 /nfs/dbraw/zinc/07/18/07/743071807.db2.gz OOOHXFUOOVTDBO-RYUDHWBXSA-N -1 1 313.361 1.730 20 0 DDADMM COc1cc(Br)c([O-])c(NC(=O)C[C@@H](C)O)c1 ZINC001181354687 743163767 /nfs/dbraw/zinc/16/37/67/743163767.db2.gz WRFGVEQCVXCYCV-ZCFIWIBFSA-N -1 1 304.140 1.873 20 0 DDADMM CC(C)(C)CCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001181651536 743285923 /nfs/dbraw/zinc/28/59/23/743285923.db2.gz CWKQPSDRGSDAAM-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)Cc1ccc2[nH]cnc2c1 ZINC001182840212 743737249 /nfs/dbraw/zinc/73/72/49/743737249.db2.gz DMJFSZIIRKFCCJ-UHFFFAOYSA-N -1 1 314.261 1.343 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)Cc1ccc2nc[nH]c2c1 ZINC001182840212 743737251 /nfs/dbraw/zinc/73/72/51/743737251.db2.gz DMJFSZIIRKFCCJ-UHFFFAOYSA-N -1 1 314.261 1.343 20 0 DDADMM Cc1ccc([C@@H](O)C(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001183646695 743871213 /nfs/dbraw/zinc/87/12/13/743871213.db2.gz KDQCXSYALTVDQD-GFCCVEGCSA-N -1 1 301.279 1.103 20 0 DDADMM O=C(NC1CN(C/C(Cl)=C/Cl)C1)c1ncccc1[O-] ZINC001030242799 743978426 /nfs/dbraw/zinc/97/84/26/743978426.db2.gz WUUBOHMLUWLYPF-YWEYNIOJSA-N -1 1 302.161 1.520 20 0 DDADMM O=S(=O)([N-]Cc1cc[nH]n1)c1cc(Cl)cnc1Cl ZINC001185423365 744219724 /nfs/dbraw/zinc/21/97/24/744219724.db2.gz UVTOVVIEIJOQRE-UHFFFAOYSA-N -1 1 307.162 1.590 20 0 DDADMM CC[C@H](C)CN1CC(NC(=O)Cc2cc(=O)n(CC(C)C)[n-]2)C1 ZINC001030624637 744342845 /nfs/dbraw/zinc/34/28/45/744342845.db2.gz PCUGGPYELCWPPV-ZDUSSCGKSA-N -1 1 322.453 1.221 20 0 DDADMM COC(=O)CC[C@H]1COCCN1C(=O)c1ccc([O-])c(F)c1 ZINC001186226856 744359478 /nfs/dbraw/zinc/35/94/78/744359478.db2.gz RTBNYCLCXIJKEK-NSHDSACASA-N -1 1 311.309 1.326 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]c1cnnc(Cl)c1Cl ZINC001187337918 744542716 /nfs/dbraw/zinc/54/27/16/744542716.db2.gz PCFJKGUIWRXOIR-UHFFFAOYSA-N -1 1 309.134 1.881 20 0 DDADMM O=C(Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12)[C@@H]1CCC=N1 ZINC001187365269 744549477 /nfs/dbraw/zinc/54/94/77/744549477.db2.gz LAFYITPAPHCEDM-JTQLQIEISA-N -1 1 324.300 1.145 20 0 DDADMM O=C(NCCc1ccc(CO)cc1)c1n[n-]nc1C(F)(F)F ZINC001187773742 744600239 /nfs/dbraw/zinc/60/02/39/744600239.db2.gz KLTZQUSACFWACL-UHFFFAOYSA-N -1 1 314.267 1.288 20 0 DDADMM CSc1nccc(NC(=O)c2n[n-]nc2C(F)(F)F)n1 ZINC001187759093 744605701 /nfs/dbraw/zinc/60/57/01/744605701.db2.gz RUDRGQKDPZNBKQ-UHFFFAOYSA-N -1 1 304.257 1.588 20 0 DDADMM O=S(=O)([N-]CCc1c(F)cccc1F)c1nccs1 ZINC001187919297 744635288 /nfs/dbraw/zinc/63/52/88/744635288.db2.gz XTGCCRBYFKUPTR-UHFFFAOYSA-N -1 1 304.343 1.942 20 0 DDADMM CCCc1onc(C)c1C(=O)N=c1ncnc2[nH][n-]c(C)c1-2 ZINC001187927228 744636099 /nfs/dbraw/zinc/63/60/99/744636099.db2.gz DZCMQXCOXGUZDG-UHFFFAOYSA-N -1 1 300.322 1.536 20 0 DDADMM CC(=O)COC(=O)c1ccccc1[N-]S(=O)(=O)C1CCC1 ZINC001188138212 744664432 /nfs/dbraw/zinc/66/44/32/744664432.db2.gz UUNGIAOCBWIARC-UHFFFAOYSA-N -1 1 311.359 1.727 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1ccoc1)C(F)(F)F ZINC001188624680 744740751 /nfs/dbraw/zinc/74/07/51/744740751.db2.gz XSQBAFPMLCTJFS-ZETCQYMHSA-N -1 1 301.242 1.052 20 0 DDADMM CN1C(=O)CCC12CCC(NC(=O)c1ccncc1[O-])CC2 ZINC001188637289 744743724 /nfs/dbraw/zinc/74/37/24/744743724.db2.gz RPXMHDZCKPAPMY-UHFFFAOYSA-N -1 1 303.362 1.451 20 0 DDADMM CCOC(=O)[C@@H](CNC(=O)c1ccncc1[O-])c1ccccc1 ZINC001188637930 744744280 /nfs/dbraw/zinc/74/42/80/744744280.db2.gz JMVWFMLAVQCZMQ-AWEZNQCLSA-N -1 1 314.341 1.864 20 0 DDADMM Cc1cccc(O)c1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001188638862 744744396 /nfs/dbraw/zinc/74/43/96/744744396.db2.gz REZHDTYJAVSTFM-UHFFFAOYSA-N -1 1 317.305 1.912 20 0 DDADMM Cc1cc(N[C@@H](C)C[C@@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001089271726 744845248 /nfs/dbraw/zinc/84/52/48/744845248.db2.gz KXUXHESSZUPRCK-NWDGAFQWSA-N -1 1 315.377 1.895 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)Cc1cccc(F)c1F ZINC001189468409 744895313 /nfs/dbraw/zinc/89/53/13/744895313.db2.gz ISVAOEASGOJPIA-UHFFFAOYSA-N -1 1 303.290 1.276 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)Cc1cc(F)ccc1F ZINC001189697865 744955904 /nfs/dbraw/zinc/95/59/04/744955904.db2.gz CFMYWKNGIQWGLC-UHFFFAOYSA-N -1 1 307.318 1.336 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1cc(F)ccc1F)C(C)C ZINC001189699980 744956702 /nfs/dbraw/zinc/95/67/02/744956702.db2.gz IKIWQASGXXZFNV-LBPRGKRZSA-N -1 1 321.345 1.582 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncc(F)cc1F)c1nccs1 ZINC001190196579 745148769 /nfs/dbraw/zinc/14/87/69/745148769.db2.gz HZFHHOVHTVAVMY-LURJTMIESA-N -1 1 305.331 1.856 20 0 DDADMM CC[C@H](C)[C@@H](CO)NC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190268465 745168309 /nfs/dbraw/zinc/16/83/09/745168309.db2.gz ZRHRWZMDGADLPM-SMDDNHRTSA-N -1 1 315.373 1.986 20 0 DDADMM O=C(c1cnc(-c2ccccn2)[n-]c1=O)N1CCn2cccc2C1 ZINC001190619725 745259712 /nfs/dbraw/zinc/25/97/12/745259712.db2.gz BAYWIKRQYPFXSF-UHFFFAOYSA-N -1 1 321.340 1.702 20 0 DDADMM CSc1nc(NC(=O)c2ccc(=O)[nH]c2Cl)cc(=O)[n-]1 ZINC001190902590 745368999 /nfs/dbraw/zinc/36/89/99/745368999.db2.gz BWFOCBOVLJESFP-UHFFFAOYSA-N -1 1 312.738 1.910 20 0 DDADMM C[C@H](Cc1cccc(F)c1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001168468380 745407997 /nfs/dbraw/zinc/40/79/97/745407997.db2.gz NHEILGWFEDAVCU-SSDOTTSWSA-N -1 1 303.297 1.898 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)Cc2cc(C)no2)c(=O)[n-]1 ZINC001191419740 745506705 /nfs/dbraw/zinc/50/67/05/745506705.db2.gz AFVRWCGUMHMWHC-ZETCQYMHSA-N -1 1 308.363 1.562 20 0 DDADMM CSc1ncc(C(=O)N2CCC3(C[C@H]3CCO)CC2)c(=O)[n-]1 ZINC001191428227 745509190 /nfs/dbraw/zinc/50/91/90/745509190.db2.gz PAZIYLDPWAQPJR-SNVBAGLBSA-N -1 1 323.418 1.529 20 0 DDADMM CSc1ncc(C(=O)NCc2ccc(O)cc2F)c(=O)[n-]1 ZINC001191440487 745513351 /nfs/dbraw/zinc/51/33/51/745513351.db2.gz MPATUGFPMHXWJD-UHFFFAOYSA-N -1 1 309.322 1.679 20 0 DDADMM C[C@H](CCNc1ncc(F)cn1)NC(=O)c1ncccc1[O-] ZINC001106296619 745561837 /nfs/dbraw/zinc/56/18/37/745561837.db2.gz XGXOKZOLKPMLJL-SECBINFHSA-N -1 1 305.313 1.337 20 0 DDADMM COc1ccc(C[N-]S(=O)(=O)C(Cl)(Cl)Cl)cn1 ZINC001192042827 745680379 /nfs/dbraw/zinc/68/03/79/745680379.db2.gz MICWHHAUIJRZBN-UHFFFAOYSA-N -1 1 319.597 1.837 20 0 DDADMM Cn1ccnc1CC[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192065992 745689910 /nfs/dbraw/zinc/68/99/10/745689910.db2.gz YGPJKPGWDDZDJU-UHFFFAOYSA-N -1 1 306.602 1.210 20 0 DDADMM CC(C)NC(=O)[C@@H](NC(=O)c1c(F)ccc([O-])c1F)C(C)C ZINC001192551068 745812496 /nfs/dbraw/zinc/81/24/96/745812496.db2.gz BFPRPFKFUJIWRK-ZDUSSCGKSA-N -1 1 314.332 1.949 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1c([O-])ccc(F)c1F ZINC001192729046 745870117 /nfs/dbraw/zinc/87/01/17/745870117.db2.gz DWGRUNGHOBETPW-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM O=c1[nH]ncc([N-]S(=O)(=O)c2cnccc2Cl)c1Cl ZINC001192854719 745917097 /nfs/dbraw/zinc/91/70/97/745917097.db2.gz FGTMEIOPYRUJLY-UHFFFAOYSA-N -1 1 321.145 1.685 20 0 DDADMM CN1Cc2cc([N-]S(=O)(=O)C[C@H]3CCCO3)ccc2C1=O ZINC001193264671 746036232 /nfs/dbraw/zinc/03/62/32/746036232.db2.gz LKCSAFZNJSQLIB-GFCCVEGCSA-N -1 1 310.375 1.193 20 0 DDADMM O=c1[nH]cnc2ccc([N-]S(=O)(=O)C[C@H]3CCCO3)cc21 ZINC001193265072 746036416 /nfs/dbraw/zinc/03/64/16/746036416.db2.gz OUMFJCQGYXABTF-SNVBAGLBSA-N -1 1 309.347 1.256 20 0 DDADMM C[C@@H]1CCCC[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998620434 752057876 /nfs/dbraw/zinc/05/78/76/752057876.db2.gz GUKNKHHLPFQKMF-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM COC(=O)c1cc2cc(NC(=O)c3cc(=O)[nH][n-]3)cnc2[nH]1 ZINC001193461677 746109763 /nfs/dbraw/zinc/10/97/63/746109763.db2.gz QFIBWHYHNITLMK-UHFFFAOYSA-N -1 1 301.262 1.031 20 0 DDADMM CC[C@@H](C)C(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000993923467 746375317 /nfs/dbraw/zinc/37/53/17/746375317.db2.gz MCTSTLVUFDHEFI-JHJVBQTASA-N -1 1 319.405 1.658 20 0 DDADMM O=C(NCC[P@@](=O)([O-])O)Oc1ccc(Br)cc1 ZINC001194612864 746426363 /nfs/dbraw/zinc/42/63/63/746426363.db2.gz IWVHDIPSUGRWJP-UHFFFAOYSA-N -1 1 324.067 1.715 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC=CC23CCCC3)[n-]n1 ZINC001195152314 746542171 /nfs/dbraw/zinc/54/21/71/746542171.db2.gz JABUAKOQRUHLLY-UHFFFAOYSA-N -1 1 311.363 1.070 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC=CC23CCCC3)n[n-]1 ZINC001195152314 746542173 /nfs/dbraw/zinc/54/21/73/746542173.db2.gz JABUAKOQRUHLLY-UHFFFAOYSA-N -1 1 311.363 1.070 20 0 DDADMM CCOC(=O)[C@@H]1CCCCN1C(=O)c1cc([O-])cnc1Cl ZINC001195305287 746571829 /nfs/dbraw/zinc/57/18/29/746571829.db2.gz HTEILMDISFHDKJ-NSHDSACASA-N -1 1 312.753 1.998 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1C[C@@H]2C[C@H]1[C@H](C(F)(F)F)O2 ZINC001195305743 746572283 /nfs/dbraw/zinc/57/22/83/746572283.db2.gz OCQPXVHAKZOPIO-CNUIFLNQSA-N -1 1 322.670 1.985 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1cc(C(C)(C)C)nn1C ZINC001195938905 746730998 /nfs/dbraw/zinc/73/09/98/746730998.db2.gz HFOOJEDSMGBUIW-UHFFFAOYSA-N -1 1 303.384 1.022 20 0 DDADMM Cc1cc([O-])c(C(=O)NCCO)cc1I ZINC001196121799 746785162 /nfs/dbraw/zinc/78/51/62/746785162.db2.gz IYQXPOOAFLWUPR-UHFFFAOYSA-N -1 1 321.114 1.027 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(NC(=S)Nc3ccc(F)cc3)c2[nH]1 ZINC001196964817 747022839 /nfs/dbraw/zinc/02/28/39/747022839.db2.gz IZGPHPBNDKCJSQ-UHFFFAOYSA-N -1 1 320.309 1.712 20 0 DDADMM COc1cccc(CS(=O)(=O)[N-]c2ncccc2CCO)c1 ZINC001197354885 747135651 /nfs/dbraw/zinc/13/56/51/747135651.db2.gz JJDLOAFMRWFZET-UHFFFAOYSA-N -1 1 322.386 1.567 20 0 DDADMM COc1ncnc(Cl)c1[N-]S(=O)(=O)Cc1ccccn1 ZINC001197783047 747263049 /nfs/dbraw/zinc/26/30/49/747263049.db2.gz KLDVJGZRJWCJAR-UHFFFAOYSA-N -1 1 314.754 1.476 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cnccc1C1CC1 ZINC001197845708 747278030 /nfs/dbraw/zinc/27/80/30/747278030.db2.gz ODHLPMJMBDWURV-UHFFFAOYSA-N -1 1 301.262 1.810 20 0 DDADMM CNC(=O)c1ccc(F)c([N-]S(=O)(=O)Cc2ccccn2)c1 ZINC001197822004 747289595 /nfs/dbraw/zinc/28/95/95/747289595.db2.gz QAQPBIWIBIKQBL-UHFFFAOYSA-N -1 1 323.349 1.522 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ncccc2N(C)C)n1 ZINC001198220626 747411186 /nfs/dbraw/zinc/41/11/86/747411186.db2.gz DKPLJDUGVNVQQG-UHFFFAOYSA-N -1 1 303.322 1.300 20 0 DDADMM C[C@@H]1CCc2c(C(=O)N=c3nc4[nH][nH]cc-4c(Cl)n3)[nH]nc21 ZINC001198434905 747502798 /nfs/dbraw/zinc/50/27/98/747502798.db2.gz SEERBDKWWXDSGZ-RXMQYKEDSA-N -1 1 317.740 1.405 20 0 DDADMM C=CS(=O)(=O)[N-]c1cc(C(F)(F)F)cnc1C(=O)OC ZINC001198579269 747537136 /nfs/dbraw/zinc/53/71/36/747537136.db2.gz NYAYHBVPDGSFFG-UHFFFAOYSA-N -1 1 310.253 1.772 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)Nc1ccn2cnnc2c1 ZINC001198741023 747585864 /nfs/dbraw/zinc/58/58/64/747585864.db2.gz MVHOWVSHSTUFIO-UHFFFAOYSA-N -1 1 318.314 1.228 20 0 DDADMM O=[P@]([O-])(O)CCNC(=S)Nc1ccc(F)c(Cl)c1 ZINC001200594377 748287232 /nfs/dbraw/zinc/28/72/32/748287232.db2.gz FDNKNLNRHONOJL-UHFFFAOYSA-N -1 1 312.690 1.943 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-]c2c[nH]ccc2=O)cc1 ZINC001201107930 748411735 /nfs/dbraw/zinc/41/17/35/748411735.db2.gz KSOGZJSGLOTXEK-UHFFFAOYSA-N -1 1 322.342 1.103 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-]c2cncnc2)cc1 ZINC001201081143 748416107 /nfs/dbraw/zinc/41/61/07/748416107.db2.gz HSTHRGSKVKOJPV-UHFFFAOYSA-N -1 1 307.331 1.205 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H](C)c1cncnc1 ZINC001201767147 748598775 /nfs/dbraw/zinc/59/87/75/748598775.db2.gz OZPUDAIXYLRQAW-ZCFIWIBFSA-N -1 1 318.811 1.934 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)C1CCC1 ZINC001004651713 748623155 /nfs/dbraw/zinc/62/31/55/748623155.db2.gz DFAATZNUXJDBNU-PWSUYJOCSA-N -1 1 307.398 1.170 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1ncccc1C(C)C ZINC001201905509 748635609 /nfs/dbraw/zinc/63/56/09/748635609.db2.gz FGYNNLCQUHNGRZ-UHFFFAOYSA-N -1 1 300.380 1.900 20 0 DDADMM O=C(NC[C@H]1CCN(c2cccc(F)n2)C1)c1ncccc1[O-] ZINC001061590241 748899266 /nfs/dbraw/zinc/89/92/66/748899266.db2.gz SBQNVTZJZBYWBK-LLVKDONJSA-N -1 1 316.336 1.578 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996278127 749480652 /nfs/dbraw/zinc/48/06/52/749480652.db2.gz HYLSWFCOIINAFC-UTUOFQBUSA-N -1 1 321.425 1.273 20 0 DDADMM CC(C)=CC(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066724970 749813574 /nfs/dbraw/zinc/81/35/74/749813574.db2.gz SPGCWAKOTXQANE-UHFFFAOYSA-N -1 1 305.378 1.674 20 0 DDADMM CSCC(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066751776 749918173 /nfs/dbraw/zinc/91/81/73/749918173.db2.gz XPPZQDMAELHCLL-UHFFFAOYSA-N -1 1 311.407 1.071 20 0 DDADMM Cc1cc(N(C)[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)ncn1 ZINC001056900796 761995515 /nfs/dbraw/zinc/99/55/15/761995515.db2.gz OORGWPNETSNMIV-GFCCVEGCSA-N -1 1 313.361 1.237 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)C1CCCC1 ZINC001000636907 762035224 /nfs/dbraw/zinc/03/52/24/762035224.db2.gz HTIILLZAHMCYCT-UHFFFAOYSA-N -1 1 303.362 1.166 20 0 DDADMM C[C@@H](NC(=O)C1CCCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005570693 750634344 /nfs/dbraw/zinc/63/43/44/750634344.db2.gz ISSLKKUCJQMCRJ-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1C[C@H](NCC(=O)CCC(=O)[O-])CN1C(=O)OC(C)(C)C ZINC001168828468 762057008 /nfs/dbraw/zinc/05/70/08/762057008.db2.gz HARCPHKTKPNQNQ-QWRGUYRKSA-N -1 1 314.382 1.408 20 0 DDADMM CC(C)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001014780713 750689027 /nfs/dbraw/zinc/68/90/27/750689027.db2.gz YKFLOMYLEJJWHA-RYUDHWBXSA-N -1 1 305.378 1.553 20 0 DDADMM O=C(N[C@@H]1CCCN(Cc2ccccn2)C1)c1ncccc1[O-] ZINC001007191774 750777064 /nfs/dbraw/zinc/77/70/64/750777064.db2.gz VZCOZMDDDFWFTE-CQSZACIVSA-N -1 1 312.373 1.577 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036533182 752524410 /nfs/dbraw/zinc/52/44/10/752524410.db2.gz NMARDBQMULBJPZ-WJQOWHFOSA-N -1 1 307.398 1.193 20 0 DDADMM O=C(c1ccc2oc(=O)nc-2[n-]1)N1C[C@@H]2C[C@H]1CN2CCCF ZINC001032730131 753378442 /nfs/dbraw/zinc/37/84/42/753378442.db2.gz WCTLKCBTWLPLDI-UWVGGRQHSA-N -1 1 320.324 1.187 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062841148 753753893 /nfs/dbraw/zinc/75/38/93/753753893.db2.gz YLZCGZGYSOKPDJ-RYUDHWBXSA-N -1 1 317.389 1.602 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(c2cc(F)ncn2)C1 ZINC001062922877 753848534 /nfs/dbraw/zinc/84/85/34/753848534.db2.gz BTPSMRNUKJVPDD-JTQLQIEISA-N -1 1 317.324 1.067 20 0 DDADMM O=C(CC1CCC1)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078587374 754211716 /nfs/dbraw/zinc/21/17/16/754211716.db2.gz YDZBZZVJZLAEEQ-NWDGAFQWSA-N -1 1 319.409 1.218 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035358 754318137 /nfs/dbraw/zinc/31/81/37/754318137.db2.gz FXQSTDIQBNFRMO-QWHCGFSZSA-N -1 1 317.389 1.364 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1Nc1ncccn1 ZINC001063736651 754321409 /nfs/dbraw/zinc/32/14/09/754321409.db2.gz GWLRKBIZLNJEPK-VXGBXAGGSA-N -1 1 313.361 1.540 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCC1CC1 ZINC001012403404 755050160 /nfs/dbraw/zinc/05/01/60/755050160.db2.gz IDJUAIMKKHPYHB-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(Cc3ccsc3)C[C@H]2C)c1[O-] ZINC001079579480 755454122 /nfs/dbraw/zinc/45/41/22/755454122.db2.gz KNIOQRAYLJBCFV-BXKDBHETSA-N -1 1 320.418 1.736 20 0 DDADMM CN(C(=O)c1ccco1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016188132 756819609 /nfs/dbraw/zinc/81/96/09/756819609.db2.gz XBOSVJQSIHDMQU-UHFFFAOYSA-N -1 1 315.329 1.413 20 0 DDADMM CC(C)=CC(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400130 757899961 /nfs/dbraw/zinc/89/99/61/757899961.db2.gz JCGCHKWBJQCYPG-UHFFFAOYSA-N -1 1 315.373 1.642 20 0 DDADMM CCC(=O)N1CSC[C@H]1C(=O)Nc1cc(F)cc(F)c1[O-] ZINC000822533136 758217547 /nfs/dbraw/zinc/21/75/47/758217547.db2.gz FMJRJMHHRKDXEM-JTQLQIEISA-N -1 1 316.329 1.920 20 0 DDADMM O=c1ncc(NCc2ncccc2[O-])cn1Cc1cccnc1 ZINC001169733139 762640042 /nfs/dbraw/zinc/64/00/42/762640042.db2.gz SYHFNNZXKHUYKB-UHFFFAOYSA-N -1 1 309.329 1.399 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(F)s1)c1nn[n-]n1 ZINC000824911507 759212403 /nfs/dbraw/zinc/21/24/03/759212403.db2.gz SCXGEZBITBCLFW-LURJTMIESA-N -1 1 301.372 1.625 20 0 DDADMM Cc1nc(CN2CC[C@@H]2CN(C)C(=O)c2ncccc2[O-])co1 ZINC001085561418 759696949 /nfs/dbraw/zinc/69/69/49/759696949.db2.gz LCPGGTXRTBIHLS-CYBMUJFWSA-N -1 1 316.361 1.430 20 0 DDADMM Cc1ncc(CN2CC[C@H]2CN(C)C(=O)c2ncccc2[O-])o1 ZINC001085561527 759697175 /nfs/dbraw/zinc/69/71/75/759697175.db2.gz OAYAQILCSLSVQG-LBPRGKRZSA-N -1 1 316.361 1.430 20 0 DDADMM O=C(NC[C@@H]1CCN1CC(F)(F)C(F)F)c1ncccc1[O-] ZINC001038185879 760903058 /nfs/dbraw/zinc/90/30/58/760903058.db2.gz YZMHZWTWLZETFC-QMMMGPOBSA-N -1 1 321.274 1.492 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(Cc2ccc(F)cc2)C1 ZINC001042642446 764305939 /nfs/dbraw/zinc/30/59/39/764305939.db2.gz IBDSSOSVYXQLJR-UHFFFAOYSA-N -1 1 315.348 1.883 20 0 DDADMM Cc1ncoc1CN1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042645923 764308408 /nfs/dbraw/zinc/30/84/08/764308408.db2.gz HMFIWCDUMGICLB-UHFFFAOYSA-N -1 1 302.334 1.040 20 0 DDADMM O=C(C=C1CCC1)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047048867 768211008 /nfs/dbraw/zinc/21/10/08/768211008.db2.gz ASUKQCVVXUETGZ-AVGNSLFASA-N -1 1 317.393 1.090 20 0 DDADMM Cc1nsc(N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)n1 ZINC001043148246 764713902 /nfs/dbraw/zinc/71/39/02/764713902.db2.gz IFJVHRZNZJGDMD-PSASIEDQSA-N -1 1 319.390 1.520 20 0 DDADMM CN(C)c1cc(F)cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001170216177 766173798 /nfs/dbraw/zinc/17/37/98/766173798.db2.gz GLRAJGDISKEQGF-UHFFFAOYSA-N -1 1 304.285 1.664 20 0 DDADMM C[S@](=N)(=O)c1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001170217378 766176745 /nfs/dbraw/zinc/17/67/45/766176745.db2.gz CLLQVMTYGHOQSR-QFIPXVFZSA-N -1 1 320.334 1.495 20 0 DDADMM O=C(NCC1(Nc2cnc(F)cn2)CC1)c1ncccc1[O-] ZINC001110097774 766226558 /nfs/dbraw/zinc/22/65/58/766226558.db2.gz GERFXBAOFGTKPN-UHFFFAOYSA-N -1 1 303.297 1.091 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001129835663 767024327 /nfs/dbraw/zinc/02/43/27/767024327.db2.gz MYJKZMUSQOQYTN-JOCQHMNTSA-N -1 1 321.425 1.323 20 0 DDADMM CCn1c(-c2nc(Cl)n[n-]2)nnc1N(C)CCCCCO ZINC001121679199 782624138 /nfs/dbraw/zinc/62/41/38/782624138.db2.gz LTYSZNHVUQPNLL-UHFFFAOYSA-N -1 1 313.793 1.335 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1csc2ccccc12 ZINC001132013143 768569553 /nfs/dbraw/zinc/56/95/53/768569553.db2.gz KYKWGKRHWXETSJ-UHFFFAOYSA-N -1 1 317.374 1.245 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648324 768643426 /nfs/dbraw/zinc/64/34/26/768643426.db2.gz FNBCTZOKTMJMKO-CTPBAPBTSA-N -1 1 303.362 1.474 20 0 DDADMM C[C@@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccc(Cl)cc1 ZINC001133245079 769624245 /nfs/dbraw/zinc/62/42/45/769624245.db2.gz YBKFNJNVKXFYDM-SECBINFHSA-N -1 1 323.784 1.173 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CC(C)(C)C ZINC001071953280 770338219 /nfs/dbraw/zinc/33/82/19/770338219.db2.gz XJQQNPZGSVAIIX-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCNc1ncccn1 ZINC001144594760 772569990 /nfs/dbraw/zinc/56/99/90/772569990.db2.gz ADZRZXZHBOWCDJ-UHFFFAOYSA-N -1 1 306.753 1.606 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C3(Br)CCC3)c1-2 ZINC001144735996 772607076 /nfs/dbraw/zinc/60/70/76/772607076.db2.gz LREGYTSTSGFLSX-UHFFFAOYSA-N -1 1 310.155 1.291 20 0 DDADMM COc1cc(C(=O)[N-]c2csc(N3CCOCC3)n2)on1 ZINC001146931914 772978802 /nfs/dbraw/zinc/97/88/02/772978802.db2.gz GISHMBYZABAWCG-UHFFFAOYSA-N -1 1 310.335 1.229 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cc(OCC3CC3)n[nH]2)c1[O-] ZINC001147834825 773261695 /nfs/dbraw/zinc/26/16/95/773261695.db2.gz ZFYKYNIDQHDAAM-UHFFFAOYSA-N -1 1 318.333 1.352 20 0 DDADMM CCCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073738043 773359126 /nfs/dbraw/zinc/35/91/26/773359126.db2.gz RISOJANQXLUYMI-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCc3ccncn3)cc2)[n-]1 ZINC001148336037 773440805 /nfs/dbraw/zinc/44/08/05/773440805.db2.gz KKOFVPXHDPLRTI-UHFFFAOYSA-N -1 1 309.329 1.519 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N[C@@H]3CCOC[C@@H]3F)cc2)[n-]1 ZINC001148340558 773442413 /nfs/dbraw/zinc/44/24/13/773442413.db2.gz MUXFTRNNEMOLRQ-UONOGXRCSA-N -1 1 319.336 1.656 20 0 DDADMM C[C@H]1CN(C(=O)CCCF)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC001073900543 773511540 /nfs/dbraw/zinc/51/15/40/773511540.db2.gz LOAZUBJODUUYSI-NWDGAFQWSA-N -1 1 323.368 1.504 20 0 DDADMM COC(=O)[C@@H]1C[C@H]1CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001148805927 773605752 /nfs/dbraw/zinc/60/57/52/773605752.db2.gz IPFDAQFIIDUBMM-OIBJUYFYSA-N -1 1 317.263 1.950 20 0 DDADMM CN(C[C@H](O)CO)C(=O)c1cccc(-c2ccccc2)c1[O-] ZINC001148867474 773621484 /nfs/dbraw/zinc/62/14/84/773621484.db2.gz VZCGJODHGHXTHO-ZDUSSCGKSA-N -1 1 301.342 1.484 20 0 DDADMM CC(C)=CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001074796477 774142784 /nfs/dbraw/zinc/14/27/84/774142784.db2.gz DEPQQZNKAMLUDH-OLZOCXBDSA-N -1 1 317.389 1.863 20 0 DDADMM COC(=O)c1cccc2cnc([N-]c3nccnc3CN)nc21 ZINC001171443359 775854055 /nfs/dbraw/zinc/85/40/55/775854055.db2.gz BVGNBEPRLILVAU-UHFFFAOYSA-N -1 1 310.317 1.409 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cnc3n[nH]cc3c2)cc1Cl ZINC001175403202 777773160 /nfs/dbraw/zinc/77/31/60/777773160.db2.gz UZDDOBRRVADKMK-UHFFFAOYSA-N -1 1 323.765 1.994 20 0 DDADMM Cn1ccnc1C1(O)CN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC001175696050 777862550 /nfs/dbraw/zinc/86/25/50/777862550.db2.gz DAADGEHTJOVPEA-UHFFFAOYSA-N -1 1 324.340 1.018 20 0 DDADMM CN(C)c1ncc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cn1 ZINC001176329141 778117443 /nfs/dbraw/zinc/11/74/43/778117443.db2.gz KAWOSISTPJVDIE-UHFFFAOYSA-N -1 1 304.314 1.246 20 0 DDADMM O=C(Cc1nn[n-]n1)N[C@@H]1CCc2ccc(C(F)(F)F)cc21 ZINC001176847141 778300123 /nfs/dbraw/zinc/30/01/23/778300123.db2.gz JYANOYOIEUHFJF-SNVBAGLBSA-N -1 1 311.267 1.565 20 0 DDADMM CCC[C@H](C)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104008763 779242870 /nfs/dbraw/zinc/24/28/70/779242870.db2.gz RTFZDDHEGHCBNW-YNEHKIRRSA-N -1 1 323.441 1.521 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COc2ccc(CO)cc2)n1 ZINC001179654349 779437127 /nfs/dbraw/zinc/43/71/27/779437127.db2.gz BWPTXENPZVBPSS-UHFFFAOYSA-N -1 1 319.317 1.096 20 0 DDADMM Cc1nsc(N[C@H](C)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001115719485 780375724 /nfs/dbraw/zinc/37/57/24/780375724.db2.gz RMKNJMCOAYEDEW-MRVPVSSYSA-N -1 1 307.379 1.520 20 0 DDADMM COCc1nsc([N-]C(=O)c2ncnc3ccsc32)n1 ZINC001266548255 836449342 /nfs/dbraw/zinc/44/93/42/836449342.db2.gz UQLPEIWSHQUOOP-UHFFFAOYSA-N -1 1 307.360 1.942 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1cnc(C)s1 ZINC001414229671 844475015 /nfs/dbraw/zinc/47/50/15/844475015.db2.gz NGZTVZNAOILCKG-UHFFFAOYSA-N -1 1 319.433 1.438 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C2(C)CCOCC2)[n-]c1Cl ZINC001361966230 840728328 /nfs/dbraw/zinc/72/83/28/840728328.db2.gz ZSJCWOAWQOFXAC-UHFFFAOYSA-N -1 1 315.757 1.995 20 0 DDADMM CN1CCO[C@H]2CN(C(=O)Cc3ccc([O-])c(Cl)c3)C[C@H]21 ZINC001268850974 840904133 /nfs/dbraw/zinc/90/41/33/840904133.db2.gz JCWOTFAJICLKKW-OCCSQVGLSA-N -1 1 310.781 1.130 20 0 DDADMM CCN(C(=O)[C@@]12C[C@@H]1CN(C(=O)c1ncccc1[O-])C2)C(C)C ZINC001269346257 841542145 /nfs/dbraw/zinc/54/21/45/841542145.db2.gz CHJGBTJJPJXUMS-SJKOYZFVSA-N -1 1 317.389 1.506 20 0 DDADMM CCCCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1ccc([O-])cn1 ZINC001269354304 841557534 /nfs/dbraw/zinc/55/75/34/841557534.db2.gz FWXJOHOMYXUFLC-HUUCEWRRSA-N -1 1 317.389 1.793 20 0 DDADMM CSc1n[nH]c(NC(=O)c2cccc(-c3nc(=O)o[n-]3)c2)n1 ZINC001142712725 861242035 /nfs/dbraw/zinc/24/20/35/861242035.db2.gz FMYCULDIZKOKBI-UHFFFAOYSA-N -1 1 318.318 1.122 20 0 DDADMM NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1ccc3sccc3c1[O-])C2 ZINC001269990246 842209070 /nfs/dbraw/zinc/20/90/70/842209070.db2.gz LLFIWGSKHGGYIR-ANRSDYALSA-N -1 1 302.355 1.554 20 0 DDADMM Cc1sccc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC001152169383 863198249 /nfs/dbraw/zinc/19/82/49/863198249.db2.gz TVVVOQZGORHVNX-UHFFFAOYSA-N -1 1 312.372 1.662 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)N[C@H](CO)[C@@H](C)O)cc2c1 ZINC001154745267 861416690 /nfs/dbraw/zinc/41/66/90/861416690.db2.gz WTKIJYFBBPKKPO-YMTOWFKASA-N -1 1 305.330 1.026 20 0 DDADMM CN1CC[C@]2(CCN(C(=O)c3c([O-])cccc3Cl)C2)C1=O ZINC001271646856 843752458 /nfs/dbraw/zinc/75/24/58/843752458.db2.gz ARNUSCLXZHNCCU-OAHLLOKOSA-N -1 1 308.765 1.740 20 0 DDADMM CC[C@@H](C)OCC(=O)N[C@@H](CC)CNC(=O)c1ncccc1[O-] ZINC001409261977 844727647 /nfs/dbraw/zinc/72/76/47/844727647.db2.gz WYTDTUDKWNDCIG-NEPJUHHUSA-N -1 1 323.393 1.227 20 0 DDADMM O=C(c1ccc2cccnc2c1[O-])N1CCc2nccn2CC1 ZINC001149320986 861545767 /nfs/dbraw/zinc/54/57/67/861545767.db2.gz SRPXFASZMFRBBO-UHFFFAOYSA-N -1 1 308.341 1.835 20 0 DDADMM CC(C)(C)C1CCC(C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1 ZINC001149740716 861864883 /nfs/dbraw/zinc/86/48/83/861864883.db2.gz CTVDNJGIKBKAIC-UHFFFAOYSA-N -1 1 323.441 1.569 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C(C)(C)c2cnn(C)c2)sn1 ZINC001434549928 848603116 /nfs/dbraw/zinc/60/31/16/848603116.db2.gz CDUIXPZSJRWUIK-UHFFFAOYSA-N -1 1 316.408 1.099 20 0 DDADMM C[C@H](CN(C)C(=O)C1(C)CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001410442081 849284791 /nfs/dbraw/zinc/28/47/91/849284791.db2.gz RBDFDMNHEPLEJY-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM CCC(CC)C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001411206107 850398604 /nfs/dbraw/zinc/39/86/04/850398604.db2.gz NQZHRTZJJCZHDM-NSHDSACASA-N -1 1 311.430 1.567 20 0 DDADMM C[S@@](=O)CCCNC(=S)Nc1ccc([O-])c(F)c1F ZINC001246506412 850766978 /nfs/dbraw/zinc/76/69/78/850766978.db2.gz DHXRFVYXYGIQOJ-LJQANCHMSA-N -1 1 308.375 1.725 20 0 DDADMM CN1CC[C@]2(CCN(C(=O)c3cccc([O-])c3Cl)C2)C1=O ZINC001273545311 851080979 /nfs/dbraw/zinc/08/09/79/851080979.db2.gz DFNWTJFWLBFUBZ-OAHLLOKOSA-N -1 1 308.765 1.740 20 0 DDADMM CN1C[C@@]2(F)CN(Cc3c(F)cc([O-])cc3F)C[C@@]2(F)C1=O ZINC001273671573 851208475 /nfs/dbraw/zinc/20/84/75/851208475.db2.gz KFXXCLZGSBFIDP-ZIAGYGMSSA-N -1 1 318.270 1.375 20 0 DDADMM Cc1n[nH]c(C(=O)NCC=CCNCC=C(Cl)Cl)c1[O-] ZINC001273954839 851570991 /nfs/dbraw/zinc/57/09/91/851570991.db2.gz BSTPURMVYSVPDA-NSCUHMNNSA-N -1 1 319.192 1.618 20 0 DDADMM CN1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc(Br)cc1[O-] ZINC001274963855 852714382 /nfs/dbraw/zinc/71/43/82/852714382.db2.gz FPJYREIRDCMGMU-AOOOYVTPSA-N -1 1 311.179 1.683 20 0 DDADMM O=C(c1cc([O-])cc(F)c1)N1CC2(CCN2Cc2ccc[nH]2)C1 ZINC001275005972 852740369 /nfs/dbraw/zinc/74/03/69/852740369.db2.gz SQJILIBMALZDKI-UHFFFAOYSA-N -1 1 315.348 1.960 20 0 DDADMM NC(=O)[C@@H]1CC12CCN(C(=O)c1c(F)ccc([O-])c1F)CC2 ZINC001275611716 853431609 /nfs/dbraw/zinc/43/16/09/853431609.db2.gz HOQRVKFWLHRTJW-QMMMGPOBSA-N -1 1 310.300 1.398 20 0 DDADMM O=C(NCc1nc(C(F)(F)F)cs1)c1cnncc1[O-] ZINC001411823872 853787343 /nfs/dbraw/zinc/78/73/43/853787343.db2.gz IUIWGWITTIMGNE-UHFFFAOYSA-N -1 1 304.253 1.588 20 0 DDADMM COC[C@](C)(O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001411830252 853797048 /nfs/dbraw/zinc/79/70/48/853797048.db2.gz AEBYMJWUCJOESE-GFCCVEGCSA-N -1 1 307.268 1.538 20 0 DDADMM C[C@H](CNC(=O)OC(C)(C)C)CNC(=O)c1ccc([O-])cn1 ZINC001411937801 854000806 /nfs/dbraw/zinc/00/08/06/854000806.db2.gz MUBXTPNZKGKZFD-JTQLQIEISA-N -1 1 309.366 1.678 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001411942537 854009747 /nfs/dbraw/zinc/00/97/47/854009747.db2.gz AYZYDFARFHLPAT-DTWKUNHWSA-N -1 1 307.350 1.377 20 0 DDADMM NC(=O)c1ccc2c(n1)CCN(C(=O)c1cccc([O-])c1F)C2 ZINC001275985228 854088435 /nfs/dbraw/zinc/08/84/35/854088435.db2.gz ZARMNPQYDWMROE-UHFFFAOYSA-N -1 1 315.304 1.224 20 0 DDADMM C[C@H]1CCS(=O)(=O)CCN1C(=O)c1cccc([O-])c1F ZINC001412031573 854133052 /nfs/dbraw/zinc/13/30/52/854133052.db2.gz SZLSKERKHKLYNH-VIFPVBQESA-N -1 1 301.339 1.181 20 0 DDADMM CCC(CC)(NC(=O)c1ccnn1CC1CCC1)c1nn[n-]n1 ZINC001412112692 854224068 /nfs/dbraw/zinc/22/40/68/854224068.db2.gz SFVFXAPPNOJCCM-UHFFFAOYSA-N -1 1 317.397 1.642 20 0 DDADMM C[C@H](C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001412218907 854345845 /nfs/dbraw/zinc/34/58/45/854345845.db2.gz WVWXFIUFZYWGDQ-GVXVVHGQSA-N -1 1 317.389 1.458 20 0 DDADMM CSc1nc(CNC(=O)c2ncc(Cl)cn2)cc(=O)[n-]1 ZINC001412284024 854406545 /nfs/dbraw/zinc/40/65/45/854406545.db2.gz HPEDNGXMRWQING-UHFFFAOYSA-N -1 1 311.754 1.278 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cn(C)ccc2=O)[n-]c1Cl ZINC001412298608 854419344 /nfs/dbraw/zinc/41/93/44/854419344.db2.gz BNIXJDQLPRDEMR-UHFFFAOYSA-N -1 1 324.724 1.191 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](OCC)C(C)C)n[n-]1 ZINC001412328819 854438433 /nfs/dbraw/zinc/43/84/33/854438433.db2.gz XQBBVMKFONMYPE-UWVGGRQHSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](OCC)C(C)C)[n-]1 ZINC001412328819 854438434 /nfs/dbraw/zinc/43/84/34/854438434.db2.gz XQBBVMKFONMYPE-UWVGGRQHSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](OCC)C(C)C)n1 ZINC001412328819 854438436 /nfs/dbraw/zinc/43/84/36/854438436.db2.gz XQBBVMKFONMYPE-UWVGGRQHSA-N -1 1 312.370 1.220 20 0 DDADMM COC(=O)C[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC001412338207 854453600 /nfs/dbraw/zinc/45/36/00/854453600.db2.gz ALUIGDCKFIQHDF-LLVKDONJSA-N -1 1 319.361 1.379 20 0 DDADMM O=C(CNC(=O)Cc1ccc([O-])c(Cl)c1)NCc1ccco1 ZINC001412428144 854536557 /nfs/dbraw/zinc/53/65/57/854536557.db2.gz MDYWEIIWIVZEHO-UHFFFAOYSA-N -1 1 322.748 1.614 20 0 DDADMM COC(=O)c1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC001412476662 854601356 /nfs/dbraw/zinc/60/13/56/854601356.db2.gz ZUUIADMJBZYPLJ-UHFFFAOYSA-N -1 1 315.333 1.006 20 0 DDADMM CSc1ncc(C(=O)NCc2cccc(N(C)C)c2)c(=O)[n-]1 ZINC001412480495 854604721 /nfs/dbraw/zinc/60/47/21/854604721.db2.gz WHGAGQVGRNGITP-UHFFFAOYSA-N -1 1 318.402 1.900 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1(C)CC(F)(F)C1 ZINC001412517202 854651912 /nfs/dbraw/zinc/65/19/12/854651912.db2.gz SULNKHJGBIRWOZ-UHFFFAOYSA-N -1 1 303.265 1.062 20 0 DDADMM CO[C@H](CC(=O)N(Cc1nn[n-]n1)CC(C)C)C(F)(F)F ZINC001412528874 854664136 /nfs/dbraw/zinc/66/41/36/854664136.db2.gz LPCIXPKBAKEGCE-MRVPVSSYSA-N -1 1 309.292 1.152 20 0 DDADMM CC(C)(C)c1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)nc1 ZINC001412540730 854685120 /nfs/dbraw/zinc/68/51/20/854685120.db2.gz LIBVSAHYSYEQKW-UHFFFAOYSA-N -1 1 300.366 1.701 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@]12CCO[C@@H]1CCCC2 ZINC001412545628 854694752 /nfs/dbraw/zinc/69/47/52/854694752.db2.gz OPTOGNUXQRSANR-DOMZBBRYSA-N -1 1 307.398 1.534 20 0 DDADMM COC(=O)N[C@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC001412588005 854773372 /nfs/dbraw/zinc/77/33/72/854773372.db2.gz HLUZDHIOBODBDE-JTQLQIEISA-N -1 1 322.365 1.185 20 0 DDADMM CCC(CC)(NC(=O)c1ccc2c(c1)CCO2)c1nn[n-]n1 ZINC001412939211 855794914 /nfs/dbraw/zinc/79/49/14/855794914.db2.gz XWGAOEMGZGTIOK-UHFFFAOYSA-N -1 1 301.350 1.580 20 0 DDADMM Cc1nc(C)c(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)s1 ZINC001328623126 863114335 /nfs/dbraw/zinc/11/43/35/863114335.db2.gz DGXYSPKICHJLEW-UHFFFAOYSA-N -1 1 304.335 1.052 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@H](O)C1CCC1 ZINC001413192488 856535776 /nfs/dbraw/zinc/53/57/76/856535776.db2.gz IRLBVRXGWWENOE-LSJOCFKGSA-N -1 1 322.327 1.205 20 0 DDADMM CC(C)[C@@H](O)CC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC001413295619 856618399 /nfs/dbraw/zinc/61/83/99/856618399.db2.gz MIEQREFVOUVAPQ-ZETCQYMHSA-N -1 1 315.317 1.114 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)c1ncccc1C ZINC001413472119 856859487 /nfs/dbraw/zinc/85/94/87/856859487.db2.gz KQZOCOCDEGEZQA-SECBINFHSA-N -1 1 313.404 1.895 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc2sncc2c1 ZINC001260592009 856883802 /nfs/dbraw/zinc/88/38/02/856883802.db2.gz XTPCLELAYKKOOA-UHFFFAOYSA-N -1 1 306.390 1.040 20 0 DDADMM O=C(Nc1c([O-])nc[nH]c1=O)c1cccn2c1nnc2C1CC1 ZINC001156362437 862973398 /nfs/dbraw/zinc/97/33/98/862973398.db2.gz WQUDUARKNCYPIG-UHFFFAOYSA-N -1 1 312.289 1.060 20 0 DDADMM O=C(N[C@H]1CC[C@H](c2nccc(=O)[nH]2)CC1)c1ncccc1[O-] ZINC001413780186 858521769 /nfs/dbraw/zinc/52/17/69/858521769.db2.gz YQHPWRZLPKSDAY-XYPYZODXSA-N -1 1 314.345 1.739 20 0 DDADMM Cn1nccc1CN1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC001413800395 858547519 /nfs/dbraw/zinc/54/75/19/858547519.db2.gz JJBSMUUOTNWOQS-UHFFFAOYSA-N -1 1 318.352 1.223 20 0 DDADMM O=C([O-])[C@@]1(C(=O)NC2CCC3(CCCCC3)CC2)CNCCO1 ZINC001121958328 858645717 /nfs/dbraw/zinc/64/57/17/858645717.db2.gz WFOABHMNZYXFDK-KRWDZBQOSA-N -1 1 324.421 1.439 20 0 DDADMM CCCC(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001413933560 881362955 /nfs/dbraw/zinc/36/29/55/881362955.db2.gz LBQNNSHDGTZJEM-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(C=CC=C(Cl)Cl)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123911004 859468907 /nfs/dbraw/zinc/46/89/07/859468907.db2.gz ZLBWSWUFYCXWBR-RKANRPJGSA-N -1 1 316.192 1.856 20 0 DDADMM O=C(c1ccnn1-c1ccccc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123961507 859499215 /nfs/dbraw/zinc/49/92/15/859499215.db2.gz KKRAADPNOUFEEZ-LLVKDONJSA-N -1 1 309.333 1.015 20 0 DDADMM CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@H]2OCC[P@](=O)([O-])O ZINC001224602106 881463831 /nfs/dbraw/zinc/46/38/31/881463831.db2.gz OCZNTFUDYPJEAP-HBNTYKKESA-N -1 1 321.310 1.721 20 0 DDADMM COC(=O)[C@@H](OCC[P@](=O)([O-])O)c1cccc(Cl)c1 ZINC001224602296 881463437 /nfs/dbraw/zinc/46/34/37/881463437.db2.gz PUBJNAZRPBQZGF-JTQLQIEISA-N -1 1 308.654 1.748 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](CO)c1ccccc1 ZINC001137989734 859997714 /nfs/dbraw/zinc/99/77/14/859997714.db2.gz NIUWENFOHGKCQK-SNVBAGLBSA-N -1 1 305.359 1.243 20 0 DDADMM C/C=C\C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)OCC ZINC001138133583 860012005 /nfs/dbraw/zinc/01/20/05/860012005.db2.gz MPHBDPKALFINGQ-HSBHDXMMSA-N -1 1 305.330 1.589 20 0 DDADMM CC(C)Oc1cc(O)ccc1NC(=O)CCCc1nn[n-]n1 ZINC001138189399 860038405 /nfs/dbraw/zinc/03/84/05/860038405.db2.gz JJNFLXQSVMQLOZ-UHFFFAOYSA-N -1 1 305.338 1.654 20 0 DDADMM CCC1(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203383761 860324323 /nfs/dbraw/zinc/32/43/23/860324323.db2.gz KHWGOGCXHAYBCA-MNOVXSKESA-N -1 1 319.409 1.218 20 0 DDADMM CCn1nnc2c1CCN(Cc1ccncc1[O-])[C@@H]2COC ZINC001140273428 860605143 /nfs/dbraw/zinc/60/51/43/860605143.db2.gz KFWJJPUPEYNPGV-CYBMUJFWSA-N -1 1 303.366 1.144 20 0 DDADMM COC(=O)[C@@H]1CN(Cc2cc(F)c([O-])c(F)c2)CCCO1 ZINC001140992043 860753392 /nfs/dbraw/zinc/75/33/92/860753392.db2.gz KBAKJYRYJMKCKM-LBPRGKRZSA-N -1 1 301.289 1.434 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2ncccc2N(C)C)c1 ZINC001141647318 860901703 /nfs/dbraw/zinc/90/17/03/860901703.db2.gz SNGKYACCKFTGOJ-UHFFFAOYSA-N -1 1 313.357 1.904 20 0 DDADMM O=C(NC[C@H](O)c1ccco1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152670027 863482407 /nfs/dbraw/zinc/48/24/07/863482407.db2.gz RBPKAHHSENIZIU-ZDUSSCGKSA-N -1 1 314.297 1.702 20 0 DDADMM C[C@@]1(C(=O)Nc2ccc(Br)c([O-])c2)CCNC1=O ZINC001329856428 863900510 /nfs/dbraw/zinc/90/05/10/863900510.db2.gz BSPIKLQTXSKVNB-GFCCVEGCSA-N -1 1 313.151 1.619 20 0 DDADMM Cn1ncc2cccc(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)c21 ZINC001153688387 864019002 /nfs/dbraw/zinc/01/90/02/864019002.db2.gz USWNDFRFMUKQHJ-UHFFFAOYSA-N -1 1 309.289 1.198 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc2[nH]c(=O)[nH]c2c1 ZINC001153807011 864119677 /nfs/dbraw/zinc/11/96/77/864119677.db2.gz IFLZMNOFHNQAPX-UHFFFAOYSA-N -1 1 315.337 1.080 20 0 DDADMM Cc1nc(Cl)cc(NC(=O)c2cnc3cccnc3c2[O-])n1 ZINC001153854173 864159887 /nfs/dbraw/zinc/15/98/87/864159887.db2.gz OTCAQAPVXVYCKA-UHFFFAOYSA-N -1 1 315.720 1.927 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)sc1C ZINC001381449668 881809264 /nfs/dbraw/zinc/80/92/64/881809264.db2.gz MKJHQLOHHDPWKG-IUCAKERBSA-N -1 1 323.422 1.485 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ccc(C)nn2)[n-]1 ZINC001361517070 881813847 /nfs/dbraw/zinc/81/38/47/881813847.db2.gz WPNURVXKUIZKPC-UHFFFAOYSA-N -1 1 302.290 1.355 20 0 DDADMM Cc1nc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc(C)c1Cl ZINC001159189976 865155849 /nfs/dbraw/zinc/15/58/49/865155849.db2.gz PYMJDGXDLXUUIC-UHFFFAOYSA-N -1 1 307.701 1.520 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nccc(-c3cccnc3F)n2)[n-]1 ZINC001159198785 865162303 /nfs/dbraw/zinc/16/23/03/865162303.db2.gz XWPNGNYSIMZTHO-UHFFFAOYSA-N -1 1 324.279 1.793 20 0 DDADMM Cc1cc2c(c(N[C@H](C)c3ncc(F)cn3)n1)C(=O)[N-]C2=O ZINC001159966199 865619754 /nfs/dbraw/zinc/61/97/54/865619754.db2.gz NHDFTHHTGWHOSA-SSDOTTSWSA-N -1 1 301.281 1.376 20 0 DDADMM CCc1cc(O)ccc1NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC001332653708 866027849 /nfs/dbraw/zinc/02/78/49/866027849.db2.gz NYNVGBCFZAZFGR-LBPRGKRZSA-N -1 1 306.362 1.690 20 0 DDADMM COC(=O)c1c(NC(=O)C=C2CC[C@H](C)C2)n[n-]c1OCCO ZINC001161532696 866741627 /nfs/dbraw/zinc/74/16/27/866741627.db2.gz BWZIBNXRGDSNBQ-WKMLFNHBSA-N -1 1 323.349 1.252 20 0 DDADMM COC(=O)c1c(NC(=O)/C=C2\CC[C@H](C)C2)[n-]nc1OCCO ZINC001161532696 866741637 /nfs/dbraw/zinc/74/16/37/866741637.db2.gz BWZIBNXRGDSNBQ-WKMLFNHBSA-N -1 1 323.349 1.252 20 0 DDADMM COc1cccc([N-]S(=O)(=O)c2cnc3c(cnn3C)c2)c1 ZINC001320552911 866824780 /nfs/dbraw/zinc/82/47/80/866824780.db2.gz BWGUPDVJCIIHDU-UHFFFAOYSA-N -1 1 318.358 1.778 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCCC12CC2 ZINC001320767300 867013171 /nfs/dbraw/zinc/01/31/71/867013171.db2.gz RCQWUCPWEMBTBL-LLVKDONJSA-N -1 1 307.398 1.029 20 0 DDADMM O=C(c1ccc([O-])cc1)c1ccc(OC2CS(=O)(=O)C2)cc1 ZINC001225808921 882042482 /nfs/dbraw/zinc/04/24/82/882042482.db2.gz UAUYYVDBCDEJNX-UHFFFAOYSA-N -1 1 318.350 1.799 20 0 DDADMM CCOc1ncccc1NC(=O)c1cnc(SC)[n-]c1=O ZINC001361641265 882068955 /nfs/dbraw/zinc/06/89/55/882068955.db2.gz ZDFUCWMJKPMYTN-UHFFFAOYSA-N -1 1 306.347 1.950 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1CC(F)(F)C1(F)F ZINC001225900910 882101057 /nfs/dbraw/zinc/10/10/57/882101057.db2.gz JZICPWPJJBVDTG-LURJTMIESA-N -1 1 310.203 1.781 20 0 DDADMM Cc1cc2c(c(NC3(c4ccc(N)cc4)CC3)n1)C(=O)[N-]C2=O ZINC001163201065 868208945 /nfs/dbraw/zinc/20/89/45/868208945.db2.gz VGPWMEILIMBUOY-UHFFFAOYSA-N -1 1 308.341 1.957 20 0 DDADMM CCOC(=O)[C@@H]1CSCCN1C(=O)c1cccc([O-])c1F ZINC001361697128 882181863 /nfs/dbraw/zinc/18/18/63/882181863.db2.gz YZSHYUBPQAAZAS-JTQLQIEISA-N -1 1 313.350 1.652 20 0 DDADMM CC(C)(C)CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163429354 868442289 /nfs/dbraw/zinc/44/22/89/868442289.db2.gz KWONHKYDRUYYET-UHFFFAOYSA-N -1 1 309.414 1.323 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3(OC(C)C)CCC3)nc2n1 ZINC001361705524 882195830 /nfs/dbraw/zinc/19/58/30/882195830.db2.gz TVZFIKALOBUSSC-UHFFFAOYSA-N -1 1 305.338 1.012 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ccnc(OC)c2)[n-]1 ZINC001361756691 882305019 /nfs/dbraw/zinc/30/50/19/882305019.db2.gz FNQXZOMAWGHRSZ-UHFFFAOYSA-N -1 1 317.301 1.660 20 0 DDADMM CCc1ccc2c(c1)CCN(c1nnc(-c3nnn[n-]3)n1C)C2 ZINC001337933676 869698505 /nfs/dbraw/zinc/69/85/05/869698505.db2.gz PKQKTDRBEMOWGG-UHFFFAOYSA-N -1 1 310.365 1.120 20 0 DDADMM CCc1ccc2c(c1)CCN(c1nnc(-c3nn[n-]n3)n1C)C2 ZINC001337933676 869698517 /nfs/dbraw/zinc/69/85/17/869698517.db2.gz PKQKTDRBEMOWGG-UHFFFAOYSA-N -1 1 310.365 1.120 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2coc(-c3ccccc3)n2)nc1=O ZINC001338005437 869739319 /nfs/dbraw/zinc/73/93/19/869739319.db2.gz QNOWGBJRPSNHNT-UHFFFAOYSA-N -1 1 314.301 1.049 20 0 DDADMM CC(C)(C)OC(=O)NCC(C)(C)NC(=O)c1ccc([O-])cn1 ZINC001361770092 882337292 /nfs/dbraw/zinc/33/72/92/882337292.db2.gz SMDJUZZJTMOXQZ-UHFFFAOYSA-N -1 1 309.366 1.820 20 0 DDADMM CN1C[C@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)CC1=O ZINC001361795828 882389727 /nfs/dbraw/zinc/38/97/27/882389727.db2.gz NHIYFWKQRJGSKS-MRVPVSSYSA-N -1 1 302.252 1.372 20 0 DDADMM CCc1nccc(N2CCC([N-]C(=O)C(F)(F)F)CC2)n1 ZINC001166894849 870347577 /nfs/dbraw/zinc/34/75/77/870347577.db2.gz VICBCHCVXMLADL-UHFFFAOYSA-N -1 1 302.300 1.686 20 0 DDADMM O=C(NC/C=C/CNC(=O)[C@@H]1CC12CCC2)c1ncccc1[O-] ZINC001298356778 870498445 /nfs/dbraw/zinc/49/84/45/870498445.db2.gz MPVMWFIAEPZQRS-ISUDXETCSA-N -1 1 315.373 1.380 20 0 DDADMM CN(C)c1nc(Nc2ccc3c(c2)NC(=O)CO3)cc(=O)[n-]1 ZINC001203178806 870502522 /nfs/dbraw/zinc/50/25/22/870502522.db2.gz GYNVTYGNFQSHDD-UHFFFAOYSA-N -1 1 301.306 1.323 20 0 DDADMM C[C@@H](c1ccc(F)cc1)N(C)c1nnc(-c2nnn[n-]2)n1C ZINC001339543139 870548355 /nfs/dbraw/zinc/54/83/55/870548355.db2.gz HSGMIYTVTKCMDO-QMMMGPOBSA-N -1 1 302.317 1.332 20 0 DDADMM C[C@@H](c1ccc(F)cc1)N(C)c1nnc(-c2nn[n-]n2)n1C ZINC001339543139 870548366 /nfs/dbraw/zinc/54/83/66/870548366.db2.gz HSGMIYTVTKCMDO-QMMMGPOBSA-N -1 1 302.317 1.332 20 0 DDADMM COc1ncc(Nc2cc(S(C)(=O)=O)ccc2[O-])cc1N ZINC001203509627 870606731 /nfs/dbraw/zinc/60/67/31/870606731.db2.gz OPUNIBRGWFZYNB-UHFFFAOYSA-N -1 1 309.347 1.525 20 0 DDADMM Cc1c(F)ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1N ZINC001302752967 871082558 /nfs/dbraw/zinc/08/25/58/871082558.db2.gz JFPOCGWPLFZBSH-UHFFFAOYSA-N -1 1 304.329 1.249 20 0 DDADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCCC2(CC2)C1 ZINC001317584840 871140815 /nfs/dbraw/zinc/14/08/15/871140815.db2.gz LEIQPMKMTSHICR-LLVKDONJSA-N -1 1 307.398 1.029 20 0 DDADMM CC(C)=C[C@H]1[C@@H](C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)C1(C)C ZINC001317608115 871192550 /nfs/dbraw/zinc/19/25/50/871192550.db2.gz NJKICLRYEPHVEQ-AAEUAGOBSA-N -1 1 321.425 1.297 20 0 DDADMM O=c1nc(OC[C@H]2CCO2)c2c([n-]1)CN(Cc1ccccc1)C2 ZINC001226619918 882544801 /nfs/dbraw/zinc/54/48/01/882544801.db2.gz SIAMLDHDYWDKNT-CYBMUJFWSA-N -1 1 313.357 1.866 20 0 DDADMM COCCn1c(-c2nc(Cl)n[n-]2)nnc1N1CC=C(C)CC1 ZINC001341697076 871779462 /nfs/dbraw/zinc/77/94/62/871779462.db2.gz XWPIFZOLEQGWQH-UHFFFAOYSA-N -1 1 323.788 1.519 20 0 DDADMM C[C@H]1CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC12CCCCC2 ZINC001341811977 871836369 /nfs/dbraw/zinc/83/63/69/871836369.db2.gz RIDPRJXKDPHGKG-GUYCJALGSA-N -1 1 324.421 1.249 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC12CCCCC2 ZINC001341811972 871838063 /nfs/dbraw/zinc/83/80/63/871838063.db2.gz RIDPRJXKDPHGKG-CXAGYDPISA-N -1 1 324.421 1.249 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2CC[C@@H]1O2)c1cnc(Cl)cc1Cl ZINC001328709758 871873935 /nfs/dbraw/zinc/87/39/35/871873935.db2.gz JAGBFYBZEKVCNT-VDAHYXPESA-N -1 1 323.201 1.987 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001361878755 882559753 /nfs/dbraw/zinc/55/97/53/882559753.db2.gz CANHDKGCFFOTFI-RKDXNWHRSA-N -1 1 306.366 1.093 20 0 DDADMM CC(C)c1nc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c[nH]1 ZINC001318680700 872202684 /nfs/dbraw/zinc/20/26/84/872202684.db2.gz CAGSKXANFSHJOV-UHFFFAOYSA-N -1 1 315.329 1.647 20 0 DDADMM CN(CC(=O)Nc1cc(F)cc(F)c1)C(=O)c1ccc([O-])cn1 ZINC001361900701 882604603 /nfs/dbraw/zinc/60/46/03/882604603.db2.gz WEQRYTHIKCFLNE-UHFFFAOYSA-N -1 1 321.283 1.776 20 0 DDADMM CCCNC(=O)c1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001361951947 882697845 /nfs/dbraw/zinc/69/78/45/882697845.db2.gz JKRMYEYCKJLTNN-UHFFFAOYSA-N -1 1 300.318 1.574 20 0 DDADMM Cc1ccccc1[C@@H](C)Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c21 ZINC001226888801 882704388 /nfs/dbraw/zinc/70/43/88/882704388.db2.gz VGZVZMDGGBSOTD-MRVPVSSYSA-N -1 1 314.301 1.985 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCOc2ccc(C)cn2)[n-]1 ZINC001345178551 873291421 /nfs/dbraw/zinc/29/14/21/873291421.db2.gz AAEMDPYRUBDCEH-UHFFFAOYSA-N -1 1 304.302 1.741 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CO1 ZINC001361981102 882754895 /nfs/dbraw/zinc/75/48/95/882754895.db2.gz KUQJIIFZPSIVQK-NXEZZACHSA-N -1 1 303.322 1.142 20 0 DDADMM O=C(c1cc(F)c(F)cc1Cl)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347199222 874053028 /nfs/dbraw/zinc/05/30/28/874053028.db2.gz VMGFXNIUMRKAIS-ZCFIWIBFSA-N -1 1 313.695 1.761 20 0 DDADMM O=C(c1cccc2cccnc21)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001347307059 874092143 /nfs/dbraw/zinc/09/21/43/874092143.db2.gz QOFXXICHRNQAEO-GFCCVEGCSA-N -1 1 322.372 1.843 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1ncccc1N(C)C ZINC001347594875 874205713 /nfs/dbraw/zinc/20/57/13/874205713.db2.gz AQLJLJJYQKZMON-UHFFFAOYSA-N -1 1 319.390 1.170 20 0 DDADMM CCOCCC1(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)CCC1 ZINC001347672756 874238910 /nfs/dbraw/zinc/23/89/10/874238910.db2.gz UEOCDOUEADQKOP-CYBMUJFWSA-N -1 1 321.425 1.578 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c2ccccc2n1 ZINC001347972796 874325769 /nfs/dbraw/zinc/32/57/69/874325769.db2.gz AJJHUFOREAEFFJ-LLVKDONJSA-N -1 1 308.345 1.686 20 0 DDADMM CN(C(=O)[C@H]1CSCN1C(=O)c1ccc([O-])cc1F)C1CC1 ZINC001362022822 882849961 /nfs/dbraw/zinc/84/99/61/882849961.db2.gz LZAFHNTUZDKEHZ-CYBMUJFWSA-N -1 1 324.377 1.667 20 0 DDADMM CCOC1CC2(C1)CCN(C(=O)c1c(C)[nH]c(=O)[n-]c1=S)C2 ZINC001348628110 874679770 /nfs/dbraw/zinc/67/97/70/874679770.db2.gz BBZQXCVCAWUWBS-UHFFFAOYSA-N -1 1 323.418 1.811 20 0 DDADMM COCC(=O)Nc1cccc(CNC(=O)c2ccc([O-])cn2)c1 ZINC001362041393 882898691 /nfs/dbraw/zinc/89/86/91/882898691.db2.gz MFYJKQFTOFXWPZ-UHFFFAOYSA-N -1 1 315.329 1.302 20 0 DDADMM Cc1ccccc1[C@H]1C[C@H](C)N(c2nnc(-c3nnn[n-]3)n2C)C1 ZINC001350430061 875684009 /nfs/dbraw/zinc/68/40/09/875684009.db2.gz CAHDMYIRDONMKU-RYUDHWBXSA-N -1 1 324.392 1.686 20 0 DDADMM Cc1ccccc1[C@H]1C[C@H](C)N(c2nnc(-c3nn[n-]n3)n2C)C1 ZINC001350430061 875684015 /nfs/dbraw/zinc/68/40/15/875684015.db2.gz CAHDMYIRDONMKU-RYUDHWBXSA-N -1 1 324.392 1.686 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC(CCN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001378916942 875905654 /nfs/dbraw/zinc/90/56/54/875905654.db2.gz LOQMGNYYYBPZSI-AAEUAGOBSA-N -1 1 321.425 1.227 20 0 DDADMM C[C@@H]1c2ccccc2CN1c1nnc(-c2nnn[n-]2)n1CC1CC1 ZINC001351326746 876189009 /nfs/dbraw/zinc/18/90/09/876189009.db2.gz VUZOFEJXRRCWAF-SNVBAGLBSA-N -1 1 322.376 1.949 20 0 DDADMM C[C@@H]1c2ccccc2CN1c1nnc(-c2nn[n-]n2)n1CC1CC1 ZINC001351326746 876189017 /nfs/dbraw/zinc/18/90/17/876189017.db2.gz VUZOFEJXRRCWAF-SNVBAGLBSA-N -1 1 322.376 1.949 20 0 DDADMM Cc1cccc(OC[C@@H](C)Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)c1 ZINC001227431645 883015511 /nfs/dbraw/zinc/01/55/11/883015511.db2.gz XPAFMAFDFWPTJJ-SECBINFHSA-N -1 1 316.317 1.919 20 0 DDADMM O=c1[nH]c2[n-]c(OC[C@@H]3Cc4ccccc4O3)nc2c(=O)[nH]1 ZINC001227433294 883015774 /nfs/dbraw/zinc/01/57/74/883015774.db2.gz BHLDKYRFQZFPCF-QMMMGPOBSA-N -1 1 300.274 1.147 20 0 DDADMM C[C@H](CC(=O)c1ccc(F)cc1)NC(=O)CCc1nn[n-]n1 ZINC001362102818 883041061 /nfs/dbraw/zinc/04/10/61/883041061.db2.gz LKHZIPNGNASXLP-SECBINFHSA-N -1 1 305.313 1.049 20 0 DDADMM CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@H]2Oc1nc(=O)[nH]c(=O)[n-]1 ZINC001227569480 883084083 /nfs/dbraw/zinc/08/40/83/883084083.db2.gz BRJVKBUVOZQPJI-DJLDLDEBSA-N -1 1 324.337 1.202 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2ccnc(F)c2)[n-]c1=O ZINC001353051498 877086200 /nfs/dbraw/zinc/08/62/00/877086200.db2.gz YVKGNZHXPAGJFO-UHFFFAOYSA-N -1 1 306.297 1.390 20 0 DDADMM COC(=O)c1nc(-c2ccccc2)[n-]c(=O)c1OC1CC(O)C1 ZINC001218261070 877438821 /nfs/dbraw/zinc/43/88/21/877438821.db2.gz BZUXVYVRBGSVBQ-UHFFFAOYSA-N -1 1 316.313 1.538 20 0 DDADMM COCCCOc1ccc(CNC(=O)c2cnncc2O)cc1 ZINC001353678045 877477497 /nfs/dbraw/zinc/47/74/97/877477497.db2.gz JPYZRUYNAWKQQN-UHFFFAOYSA-N -1 1 317.345 1.528 20 0 DDADMM COCCCOc1ccc(CNC(=O)c2cnncc2[O-])cc1 ZINC001353678045 877477505 /nfs/dbraw/zinc/47/75/05/877477505.db2.gz JPYZRUYNAWKQQN-UHFFFAOYSA-N -1 1 317.345 1.528 20 0 DDADMM O=C1[N-]C(=O)[C@@H](Cc2ccc(OC[C@H]3C[C@@H](O)C3)cc2)S1 ZINC001218434416 877485584 /nfs/dbraw/zinc/48/55/84/877485584.db2.gz ZBMQZYPIEBWPMH-NTZNESFSSA-N -1 1 307.371 1.730 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)[C@@H]1CCNc2ccccc21 ZINC001218574424 877582180 /nfs/dbraw/zinc/58/21/80/877582180.db2.gz ILPHTXFLXISODP-MRVPVSSYSA-N -1 1 301.306 1.008 20 0 DDADMM Cc1ccc(S(=O)(=O)N(C)[C@@H]2CCN(C)C2)cc1C(=O)[O-] ZINC000314847933 878844953 /nfs/dbraw/zinc/84/49/53/878844953.db2.gz HSCQSPJMXHFZRO-LLVKDONJSA-N -1 1 312.391 1.018 20 0 DDADMM CN(C)C(=O)c1ccc([N-]S(=O)(=O)c2ccccc2N)cc1 ZINC000314942100 878877443 /nfs/dbraw/zinc/87/74/43/878877443.db2.gz QWSKNMYNRIYLRF-UHFFFAOYSA-N -1 1 319.386 1.771 20 0 DDADMM C/C=C/C[C@@H]1CCCN(c2nnc(-c3nnn[n-]3)n2CC)C1 ZINC001356292272 878969514 /nfs/dbraw/zinc/96/95/14/878969514.db2.gz ZGIJQCUGLQTBSA-JOAKQRRISA-N -1 1 302.386 1.661 20 0 DDADMM C/C=C/C[C@@H]1CCCN(c2nnc(-c3nn[n-]n3)n2CC)C1 ZINC001356292272 878969531 /nfs/dbraw/zinc/96/95/31/878969531.db2.gz ZGIJQCUGLQTBSA-JOAKQRRISA-N -1 1 302.386 1.661 20 0 DDADMM CCC(=O)N[C@@H](C)[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001380369712 879480867 /nfs/dbraw/zinc/48/08/67/879480867.db2.gz XPTBZJIDWJVFPL-VHSXEESVSA-N -1 1 322.409 1.647 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCCCCCO)cc2)[n-]1 ZINC001221288550 879654840 /nfs/dbraw/zinc/65/48/40/879654840.db2.gz BUZDGCCUJRODOE-UHFFFAOYSA-N -1 1 303.362 1.692 20 0 DDADMM C[C@@H](CNC(=O)C1CCCCC1)N(C)C(=O)c1ncccc1[O-] ZINC001380605109 880028494 /nfs/dbraw/zinc/02/84/94/880028494.db2.gz LFTUXSJGWVULQZ-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(C=C1CCC1)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358597999 880593025 /nfs/dbraw/zinc/59/30/25/880593025.db2.gz GWIIHHHSOJVLAL-GFCCVEGCSA-N -1 1 301.346 1.228 20 0 DDADMM S=C1C=COC1C[N@@H+]1C[C@H]2CN(Cc3ccc[nH]3)C[C@@H](C1)O2 ZINC001276848539 880743790 /nfs/dbraw/zinc/74/37/90/880743790.db2.gz WTKXQTZEXQXSQV-OKILXGFUSA-N -1 1 319.430 1.982 20 0 DDADMM C[C@H](c1ncccn1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001381080858 880979348 /nfs/dbraw/zinc/97/93/48/880979348.db2.gz XUCXVAIKCROKBL-GFCCVEGCSA-N -1 1 313.361 1.096 20 0 DDADMM COC(=O)[C@@H](Oc1[n-]c(=O)nc2c1COC2)c1cccc(F)c1 ZINC001227686351 883131885 /nfs/dbraw/zinc/13/18/85/883131885.db2.gz MVXLVTWYGCZBKV-LBPRGKRZSA-N -1 1 320.276 1.645 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)C1(C(F)F)CC1 ZINC001362165607 883193142 /nfs/dbraw/zinc/19/31/42/883193142.db2.gz RCGUDVYZCYAOAC-UHFFFAOYSA-N -1 1 309.276 1.763 20 0 DDADMM C[C@@H](O)C[C@@H](CNC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC001362239111 883382221 /nfs/dbraw/zinc/38/22/21/883382221.db2.gz QNNWPXFJTUQWSD-OCCSQVGLSA-N -1 1 317.393 1.193 20 0 DDADMM O=C(NCc1n[nH]cc1Br)c1cccc([O-])c1F ZINC001362262486 883432391 /nfs/dbraw/zinc/43/23/91/883432391.db2.gz YWYGXJXXAQUYFL-UHFFFAOYSA-N -1 1 314.114 1.947 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](OC1=NC(=O)CC([O-])=N1)C(F)(F)F ZINC001228391608 883478780 /nfs/dbraw/zinc/47/87/80/883478780.db2.gz XEYNGPPEOSTUDA-XNCJUZBTSA-N -1 1 310.228 1.397 20 0 DDADMM COC(=O)[C@@H](COC(C)C)N(C)C(=O)c1cccc([O-])c1F ZINC001362286549 883483657 /nfs/dbraw/zinc/48/36/57/883483657.db2.gz MFJSQUPHZMZTRF-LLVKDONJSA-N -1 1 313.325 1.570 20 0 DDADMM CC(C)(CC(N)=O)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001362320579 883559764 /nfs/dbraw/zinc/55/97/64/883559764.db2.gz BKGWLQHADAMOHS-UHFFFAOYSA-N -1 1 302.330 1.635 20 0 DDADMM Cc1nnc([C@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)s1 ZINC001362330463 883580174 /nfs/dbraw/zinc/58/01/74/883580174.db2.gz UNJOAJLYDVSJAP-LURJTMIESA-N -1 1 305.363 1.711 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2C[C@@H](C1)[C@H]2Oc1cnnc(=S)[n-]1 ZINC001228635661 883582815 /nfs/dbraw/zinc/58/28/15/883582815.db2.gz AKGDFSSDMWGPJF-JZYVYDRUSA-N -1 1 324.406 1.795 20 0 DDADMM C[C@H](C[S@@](C)=O)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362332690 883584930 /nfs/dbraw/zinc/58/49/30/883584930.db2.gz JDKWACVKOHPBEL-JLPJYYFKSA-N -1 1 309.309 1.908 20 0 DDADMM COc1nn(C)cc1NC(=O)c1cc(C(=O)OC(C)(C)C)n[n-]1 ZINC001362405115 883736265 /nfs/dbraw/zinc/73/62/65/883736265.db2.gz WHAZTUWMUWYXKW-UHFFFAOYSA-N -1 1 321.337 1.359 20 0 DDADMM CSc1ncc(C(=O)NCC(C)(C)[C@H](O)C(C)C)c(=O)[n-]1 ZINC001362424097 883776407 /nfs/dbraw/zinc/77/64/07/883776407.db2.gz YVRKWKLISWQVEA-SNVBAGLBSA-N -1 1 313.423 1.677 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H]2c2nc(C)no2)c(=O)[n-]1 ZINC001362523689 884001270 /nfs/dbraw/zinc/00/12/70/884001270.db2.gz YIRMHDMNXYJSSA-VIFPVBQESA-N -1 1 321.362 1.573 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CC1(C)C ZINC001382521026 884176219 /nfs/dbraw/zinc/17/62/19/884176219.db2.gz UJTJLCARLUSORS-ZJUUUORDSA-N -1 1 309.414 1.177 20 0 DDADMM CCC(CC)(NC(=O)c1ccc2c(c1)COC2)c1nn[n-]n1 ZINC001362631086 884270648 /nfs/dbraw/zinc/27/06/48/884270648.db2.gz ILRJMZMHDAYSDM-UHFFFAOYSA-N -1 1 301.350 1.675 20 0 DDADMM COC(=O)c1c[n-]c(O[C@H]2CCCN(c3ccc(C)cn3)C2)n1 ZINC001230281909 884409337 /nfs/dbraw/zinc/40/93/37/884409337.db2.gz KUXMOJYMMQDXIH-LBPRGKRZSA-N -1 1 316.361 1.948 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1ccc2c(c1)OCO2 ZINC001362829038 884741714 /nfs/dbraw/zinc/74/17/14/884741714.db2.gz DXJRCBAWOVPRGP-UHFFFAOYSA-N -1 1 317.349 1.156 20 0 DDADMM CC(C)(C)c1csc(C(=O)NC2(c3nn[n-]n3)CCC2)n1 ZINC001362834911 884757013 /nfs/dbraw/zinc/75/70/13/884757013.db2.gz KECLRUUYLXSSRO-UHFFFAOYSA-N -1 1 306.395 1.763 20 0 DDADMM CCC(CC)(NC(=O)c1cnn2c1C[C@H](C)CC2)c1nn[n-]n1 ZINC001362851471 884796021 /nfs/dbraw/zinc/79/60/21/884796021.db2.gz AYOJBDFGULADAO-SNVBAGLBSA-N -1 1 317.397 1.424 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)NC(=O)CC(C)(C)C)c1nn[n-]n1 ZINC001362859393 884815405 /nfs/dbraw/zinc/81/54/05/884815405.db2.gz UXNJDEBSVDPOLV-JTQLQIEISA-N -1 1 324.429 1.272 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(C(=O)OC)o1)c1nn[n-]n1 ZINC001362859656 884815600 /nfs/dbraw/zinc/81/56/00/884815600.db2.gz XGPWCWJXZCNVOC-UHFFFAOYSA-N -1 1 307.310 1.025 20 0 DDADMM COC[C@@H](NC(=O)c1cc(C2CC2)oc1C1CC1)c1nn[n-]n1 ZINC001362903212 884937832 /nfs/dbraw/zinc/93/78/32/884937832.db2.gz TYNQHFPRLPKEII-LLVKDONJSA-N -1 1 317.349 1.665 20 0 DDADMM C[C@@H]1CN(C)C(=O)CN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362934419 885024054 /nfs/dbraw/zinc/02/40/54/885024054.db2.gz FHFOESLRJPHFER-MRVPVSSYSA-N -1 1 316.279 1.714 20 0 DDADMM Cn1cc(C[C@H]2CCC[C@H]2NC(=O)c2ccc([O-])cn2)cn1 ZINC001362984196 885146233 /nfs/dbraw/zinc/14/62/33/885146233.db2.gz PBFMEMMCBYGIKG-TZMCWYRMSA-N -1 1 300.362 1.662 20 0 DDADMM CSCC[C@H](NC(=O)c1cnn(CC2CC2)c1)c1nn[n-]n1 ZINC001362996913 885184546 /nfs/dbraw/zinc/18/45/46/885184546.db2.gz DPTMTOMPYAZSSN-NSHDSACASA-N -1 1 321.410 1.030 20 0 DDADMM CSCC[C@H](NC(=O)C[C@@H](O)CC(C)(C)C)c1nn[n-]n1 ZINC001363000037 885191416 /nfs/dbraw/zinc/19/14/16/885191416.db2.gz QUEBXMCMKJLSJU-ZJUUUORDSA-N -1 1 315.443 1.297 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC[C@H](n2ccnn2)CC1 ZINC001363035187 885283812 /nfs/dbraw/zinc/28/38/12/885283812.db2.gz ACZUPZUICHZITK-NSHDSACASA-N -1 1 304.325 1.990 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCc1ccc(S(C)(=O)=O)cc1 ZINC001363139941 885564225 /nfs/dbraw/zinc/56/42/25/885564225.db2.gz QBTWMNHMBICWSL-UHFFFAOYSA-N -1 1 323.374 1.399 20 0 DDADMM CSc1ncc(C(=O)N(C)Cc2nncn2C(C)C)c(=O)[n-]1 ZINC001363144414 885575148 /nfs/dbraw/zinc/57/51/48/885575148.db2.gz ABLLSUIJKROWQY-UHFFFAOYSA-N -1 1 322.394 1.349 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC[C@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC001363188010 885667830 /nfs/dbraw/zinc/66/78/30/885667830.db2.gz FGFDRLMVNLBDAA-VIFPVBQESA-N -1 1 319.292 1.362 20 0 DDADMM COC(=O)[C@H](C)c1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001363286006 885915996 /nfs/dbraw/zinc/91/59/96/885915996.db2.gz UNTJOQGXTNFUCG-SECBINFHSA-N -1 1 301.302 1.711 20 0 DDADMM O=C(C[C@@H]1CC[C@H](C(F)(F)F)O1)NC1(c2nn[n-]n2)CCC1 ZINC001363375839 886171046 /nfs/dbraw/zinc/17/10/46/886171046.db2.gz GQXKACOSVNXGTA-JGVFFNPUSA-N -1 1 319.287 1.195 20 0 DDADMM Cc1cnc([C@@H](C)N(C)CCN(C)C(=O)c2ncccc2[O-])o1 ZINC001374489545 913034133 /nfs/dbraw/zinc/03/41/33/913034133.db2.gz NBWRXJSFCWDFFP-GFCCVEGCSA-N -1 1 318.377 1.849 20 0 DDADMM Cc1ccoc1C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001383700109 886352759 /nfs/dbraw/zinc/35/27/59/886352759.db2.gz ILRHDFDNHZYBMF-NSHDSACASA-N -1 1 317.345 1.579 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@@H](C2CCC2)C1 ZINC001363445385 886357097 /nfs/dbraw/zinc/35/70/97/886357097.db2.gz SKMVEFZSNPCGKP-GFCCVEGCSA-N -1 1 303.362 1.166 20 0 DDADMM O=C(CNc1cccc(Cl)c1)NCc1nc([O-])cc(=O)[nH]1 ZINC001363489442 886472597 /nfs/dbraw/zinc/47/25/97/886472597.db2.gz KSVHMKKSMOBUJA-UHFFFAOYSA-N -1 1 308.725 1.270 20 0 DDADMM COc1cc(CC(=O)N(Cc2nn[n-]n2)CC(C)C)ccc1O ZINC001363545530 886601770 /nfs/dbraw/zinc/60/17/70/886601770.db2.gz PRJPRDCRBNWFNF-UHFFFAOYSA-N -1 1 319.365 1.141 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@@H]1CC12CC2 ZINC001363555779 886628695 /nfs/dbraw/zinc/62/86/95/886628695.db2.gz GAZYTEJXMCUTQA-UWVGGRQHSA-N -1 1 304.350 1.445 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@@H]1CC12CC2 ZINC001363555779 886628701 /nfs/dbraw/zinc/62/87/01/886628701.db2.gz GAZYTEJXMCUTQA-UWVGGRQHSA-N -1 1 304.350 1.445 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@@H]1CC12CC2 ZINC001363555779 886628706 /nfs/dbraw/zinc/62/87/06/886628706.db2.gz GAZYTEJXMCUTQA-UWVGGRQHSA-N -1 1 304.350 1.445 20 0 DDADMM Cc1ncc(C(=O)NCCc2c(F)cc([O-])cc2F)c(N)n1 ZINC001363633944 886827238 /nfs/dbraw/zinc/82/72/38/886827238.db2.gz VJBQBOAWQVGWTF-UHFFFAOYSA-N -1 1 308.288 1.324 20 0 DDADMM CSc1ncc(C(=O)Nc2nnn(C)c2C(F)F)c(=O)[n-]1 ZINC001363665515 886923030 /nfs/dbraw/zinc/92/30/30/886923030.db2.gz QDMCIXNUOXIOHN-UHFFFAOYSA-N -1 1 316.293 1.223 20 0 DDADMM C[C@@H](CC(=O)Nc1c[n-][nH]c1=O)n1cc(Br)cn1 ZINC001363710991 887042108 /nfs/dbraw/zinc/04/21/08/887042108.db2.gz UPPKYZMSVMDMRA-LURJTMIESA-N -1 1 314.143 1.664 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC[C@H]2COC(=O)N[C@@H]2C1 ZINC001363805798 887269489 /nfs/dbraw/zinc/26/94/89/887269489.db2.gz HYKGBUXSNKOUSO-WCBMZHEXSA-N -1 1 310.737 1.616 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H](C)c1cnc2ccccn21 ZINC001233756530 887281788 /nfs/dbraw/zinc/28/17/88/887281788.db2.gz OWIMQBJUFFFUHG-SECBINFHSA-N -1 1 314.301 1.757 20 0 DDADMM CC[C@H](OC1CCCCC1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363821506 887313777 /nfs/dbraw/zinc/31/37/77/887313777.db2.gz MMTXCIRAPWFVLF-LBPRGKRZSA-N -1 1 307.398 1.823 20 0 DDADMM O=C(N[C@@H]1Cc2cnccc2NC1=O)c1c([O-])cccc1F ZINC001363825456 887326482 /nfs/dbraw/zinc/32/64/82/887326482.db2.gz ZPIKQVBQXKHJKU-LLVKDONJSA-N -1 1 301.277 1.220 20 0 DDADMM CO[C@H](C)C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C(C)(C)C ZINC001384361921 887339619 /nfs/dbraw/zinc/33/96/19/887339619.db2.gz ZLEHKVLSMGIQDD-PWSUYJOCSA-N -1 1 323.393 1.083 20 0 DDADMM COC(=O)[C@@H](C[C@@H]1CCCO1)NC(=O)c1ccc(F)c([O-])c1 ZINC001363963986 887626340 /nfs/dbraw/zinc/62/63/40/887626340.db2.gz DRTVAZDVDVYBKM-CMPLNLGQSA-N -1 1 311.309 1.372 20 0 DDADMM Cc1nnc2n1CCN(C(=O)c1cnc(C3CC3)[n-]c1=O)[C@H]2C ZINC001363990942 887681447 /nfs/dbraw/zinc/68/14/47/887681447.db2.gz COYZKAGZJSHKQH-QMMMGPOBSA-N -1 1 314.349 1.177 20 0 DDADMM COCC1([N-]S(=O)(=O)c2csnc2OC)CCCC1 ZINC001364015117 887724904 /nfs/dbraw/zinc/72/49/04/887724904.db2.gz VPAMRULFLOAVBK-UHFFFAOYSA-N -1 1 306.409 1.389 20 0 DDADMM Cc1nc(C(C)C)oc1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364024011 887743376 /nfs/dbraw/zinc/74/33/76/887743376.db2.gz HWZXQHKSUZZFCO-LLVKDONJSA-N -1 1 318.381 1.714 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](C)c1c(C)noc1C)c1nn[n-]n1 ZINC001364087759 887887407 /nfs/dbraw/zinc/88/74/07/887887407.db2.gz MSHPCMLUKIDSFK-XVKPBYJWSA-N -1 1 324.410 1.519 20 0 DDADMM CC(C)[C@H](Oc1c(C(N)=O)nc[n-]c1=O)C(=O)OC(C)(C)C ZINC001234467587 887997687 /nfs/dbraw/zinc/99/76/87/887997687.db2.gz MSTNXTKXQSFLCB-VIFPVBQESA-N -1 1 311.338 1.026 20 0 DDADMM Cc1cn2c(n1)[C@@H](Oc1c(=O)[n-]c(C)nc1C(N)=O)CCC2 ZINC001234470787 888004845 /nfs/dbraw/zinc/00/48/45/888004845.db2.gz XTMKLUOPJQEYFK-VIFPVBQESA-N -1 1 303.322 1.008 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H](C)C2CCOCC2)c(=O)[n-]1 ZINC001234560569 888096725 /nfs/dbraw/zinc/09/67/25/888096725.db2.gz GEZWDWDGBGOISO-SECBINFHSA-N -1 1 309.366 1.598 20 0 DDADMM CCC[C@H](Oc1c(=O)[n-]c(C)nc1C(=O)OC)C(=O)OCC ZINC001234564281 888102490 /nfs/dbraw/zinc/10/24/90/888102490.db2.gz IOCUWGZWNXZYJQ-VIFPVBQESA-N -1 1 312.322 1.388 20 0 DDADMM COC[C@@H](C)OC[C@@H](C)Oc1c(=O)[n-]c(C)nc1C(=O)OC ZINC001234563934 888102760 /nfs/dbraw/zinc/10/27/60/888102760.db2.gz AELBVKREMLWGCN-RKDXNWHRSA-N -1 1 314.338 1.096 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H]2CC(F)(F)C2(F)F)c(=O)[n-]1 ZINC001234564623 888105002 /nfs/dbraw/zinc/10/50/02/888105002.db2.gz GESCGRCLSDSGMZ-YFKPBYRVSA-N -1 1 323.246 1.826 20 0 DDADMM O=C(CCOc1cccc(Cl)c1)NCc1nc([O-])cc(=O)[nH]1 ZINC001364208997 888147894 /nfs/dbraw/zinc/14/78/94/888147894.db2.gz XONVOSSJAYTICT-UHFFFAOYSA-N -1 1 323.736 1.627 20 0 DDADMM O=C(c1ccc(O)cn1)N1CCN[C@@H](Cc2ccc(F)cc2)C1 ZINC001364247471 888214590 /nfs/dbraw/zinc/21/45/90/888214590.db2.gz CWGYDVADKTWEPN-AWEZNQCLSA-N -1 1 315.348 1.583 20 0 DDADMM CNC(=O)c1c(C)[nH]nc1NC(=O)c1c([O-])cccc1OC ZINC001364312244 888358810 /nfs/dbraw/zinc/35/88/10/888358810.db2.gz VTXWPYWHFAUCIY-UHFFFAOYSA-N -1 1 304.306 1.044 20 0 DDADMM O=C(N[C@H]1CCN(c2cc(=O)[nH]cn2)C1)c1ccc(F)c([O-])c1 ZINC001364411278 888574730 /nfs/dbraw/zinc/57/47/30/888574730.db2.gz LUJDDNBZIZZDFH-JTQLQIEISA-N -1 1 318.308 1.036 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)c2ccc(C)o2)n[n-]1 ZINC001364506439 888796427 /nfs/dbraw/zinc/79/64/27/888796427.db2.gz LSBPNVJBZLAGIF-SECBINFHSA-N -1 1 306.322 1.303 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)c2ccc(C)o2)n1 ZINC001364506439 888796436 /nfs/dbraw/zinc/79/64/36/888796436.db2.gz LSBPNVJBZLAGIF-SECBINFHSA-N -1 1 306.322 1.303 20 0 DDADMM CC[C@@H](C)C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385371409 888985618 /nfs/dbraw/zinc/98/56/18/888985618.db2.gz QIISMHUQOKLVNT-NEPJUHHUSA-N -1 1 305.378 1.506 20 0 DDADMM COC(=O)c1c(C)c(C)ccc1NC(=O)CCCc1nn[n-]n1 ZINC001364710005 889250393 /nfs/dbraw/zinc/25/03/93/889250393.db2.gz VPBJMHRMSDQLMS-UHFFFAOYSA-N -1 1 317.349 1.565 20 0 DDADMM CCc1ccc([C@@H](C)CC(=O)N[C@@H](COC)c2nn[n-]n2)cc1 ZINC001364814206 889469251 /nfs/dbraw/zinc/46/92/51/889469251.db2.gz MQVRMCAAXRHRBQ-FZMZJTMJSA-N -1 1 317.393 1.760 20 0 DDADMM C[C@H](C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC001385681383 889557777 /nfs/dbraw/zinc/55/77/77/889557777.db2.gz SGKUCZUINBZPMW-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)CC2CCC2)[n-]n1 ZINC001364898314 889630655 /nfs/dbraw/zinc/63/06/55/889630655.db2.gz UGXJLOYRUUISCR-MRVPVSSYSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)CC2CCC2)n[n-]1 ZINC001364898314 889630667 /nfs/dbraw/zinc/63/06/67/889630667.db2.gz UGXJLOYRUUISCR-MRVPVSSYSA-N -1 1 301.368 1.053 20 0 DDADMM C[C@H](Cc1cn(C)nn1)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001364915157 889658309 /nfs/dbraw/zinc/65/83/09/889658309.db2.gz RROGQPWDEWDUQQ-MRVPVSSYSA-N -1 1 316.333 1.003 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cncc(C(C)C)c2)o1 ZINC001365063214 890020015 /nfs/dbraw/zinc/02/00/15/890020015.db2.gz KSGLLAUCPRBPLX-UHFFFAOYSA-N -1 1 323.374 1.958 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1(C2CCCCC2)CC1 ZINC001365160551 890222391 /nfs/dbraw/zinc/22/23/91/890222391.db2.gz VRIYJFIJYVBFRO-UHFFFAOYSA-N -1 1 307.350 1.739 20 0 DDADMM COC1(C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)CCC1 ZINC001365207264 890349371 /nfs/dbraw/zinc/34/93/71/890349371.db2.gz GLLKANWXACJDBN-UWVGGRQHSA-N -1 1 322.327 1.614 20 0 DDADMM CS(=O)(=O)c1ccc(-c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC001239699646 890711966 /nfs/dbraw/zinc/71/19/66/890711966.db2.gz AETVZTKAWDFVEJ-UHFFFAOYSA-N -1 1 301.331 1.332 20 0 DDADMM CS(=O)(=O)c1ccc(-c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC001239699646 890711979 /nfs/dbraw/zinc/71/19/79/890711979.db2.gz AETVZTKAWDFVEJ-UHFFFAOYSA-N -1 1 301.331 1.332 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C1CC2(C1)CO[C@H](C)C2 ZINC001365463601 890839396 /nfs/dbraw/zinc/83/93/96/890839396.db2.gz HIXPMFLLSGZLMK-YDNLMGDJSA-N -1 1 318.420 1.388 20 0 DDADMM C[C@H](CNC(=O)[C@H]1C[C@H]2C[C@H]2C1)NC(=O)c1ncccc1[O-] ZINC001386431253 890969309 /nfs/dbraw/zinc/96/93/09/890969309.db2.gz DBIMCFALVWETOX-WYUUTHIRSA-N -1 1 303.362 1.068 20 0 DDADMM CC[C@](C)(NC(=O)N1CCC(c2nn[n-]n2)CC1)C(F)(F)F ZINC001365535203 891007334 /nfs/dbraw/zinc/00/73/34/891007334.db2.gz MRMOUBLMKZHQNS-NSHDSACASA-N -1 1 320.319 1.820 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1-c1ccnc(N2CCN(C)CC2)n1 ZINC001241001310 891115779 /nfs/dbraw/zinc/11/57/79/891115779.db2.gz UKNNGKGOZOSDMA-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)co1 ZINC001365706887 891371520 /nfs/dbraw/zinc/37/15/20/891371520.db2.gz INKKURATUDAVRB-UHFFFAOYSA-N -1 1 324.284 1.457 20 0 DDADMM COc1nc(-c2cccc(C)c2[O-])nc(N2CCOCC2)n1 ZINC001242345314 891398152 /nfs/dbraw/zinc/39/81/52/891398152.db2.gz KSPVKWWFNMOVST-UHFFFAOYSA-N -1 1 302.334 1.398 20 0 DDADMM CC1([N-]S(=O)(=O)c2ccns2)CCC2(CC1)OCCO2 ZINC001365747263 891449436 /nfs/dbraw/zinc/44/94/36/891449436.db2.gz LPFQSZXLWPRFHT-UHFFFAOYSA-N -1 1 318.420 1.497 20 0 DDADMM COc1ncc(-c2nc(C)cc3c2C(=O)[N-]C3=O)cc1Cl ZINC001242931378 891555287 /nfs/dbraw/zinc/55/52/87/891555287.db2.gz MXYIPSBUJFQWRF-UHFFFAOYSA-N -1 1 303.705 1.998 20 0 DDADMM O=C1Cc2cc(-c3cc(F)c(CO)c(F)c3)ccc2C(=O)[N-]1 ZINC001244344183 891839425 /nfs/dbraw/zinc/83/94/25/891839425.db2.gz PTRGWWWTHBKOAH-UHFFFAOYSA-N -1 1 303.264 1.937 20 0 DDADMM CC[C@@H](C)[C@@H](C)C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001386849040 891851566 /nfs/dbraw/zinc/85/15/66/891851566.db2.gz ADLPEIUBQZACPV-VXGBXAGGSA-N -1 1 307.394 1.658 20 0 DDADMM CN(C)c1nc(-c2cc(C(=O)[O-])cnc2F)nc2[nH]cnc21 ZINC001244757892 891908246 /nfs/dbraw/zinc/90/82/46/891908246.db2.gz FDDIIIWDQPFJPF-UHFFFAOYSA-N -1 1 302.269 1.318 20 0 DDADMM CCN(CCN[C@H](C)c1nnc(C)o1)C(=O)c1ncccc1[O-] ZINC001374707366 913635896 /nfs/dbraw/zinc/63/58/96/913635896.db2.gz BZAXSMBAMQSWRN-SNVBAGLBSA-N -1 1 319.365 1.292 20 0 DDADMM O=C1[N-]S(=O)(=O)c2cccc(-c3ccc4cncn4c3)c2N1 ZINC001245896693 892260110 /nfs/dbraw/zinc/26/01/10/892260110.db2.gz TVXRAMMMDWXSCU-UHFFFAOYSA-N -1 1 314.326 1.825 20 0 DDADMM COCCC(C)(C)C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001387093478 892368286 /nfs/dbraw/zinc/36/82/86/892368286.db2.gz QXLZTTQZRSUCFU-LLVKDONJSA-N -1 1 323.393 1.084 20 0 DDADMM COc1cc(-c2noc(Cc3nnn[n-]3)n2)cc(Cl)c1OC ZINC001247139122 893122239 /nfs/dbraw/zinc/12/22/39/893122239.db2.gz BKORFIYCUBNQDU-UHFFFAOYSA-N -1 1 322.712 1.511 20 0 DDADMM COc1cc(-c2noc(Cc3nn[n-]n3)n2)cc(Cl)c1OC ZINC001247139122 893122250 /nfs/dbraw/zinc/12/22/50/893122250.db2.gz BKORFIYCUBNQDU-UHFFFAOYSA-N -1 1 322.712 1.511 20 0 DDADMM CC(=O)Nc1ccc([N-]S(=O)(=O)c2ccc(N)c(N)c2)cn1 ZINC001249749850 894116741 /nfs/dbraw/zinc/11/67/41/894116741.db2.gz RXPGMPSQXRAKEG-UHFFFAOYSA-N -1 1 321.362 1.005 20 0 DDADMM CN(CCNC(=O)C[C@@H]1C[C@H]1C1CC1)C(=O)c1ncccc1[O-] ZINC001387956010 894224042 /nfs/dbraw/zinc/22/40/42/894224042.db2.gz OXLZWSBAZVRIIW-STQMWFEESA-N -1 1 317.389 1.412 20 0 DDADMM O=C1Cc2cc([N-]S(=O)(=O)c3ccccc3)ccc2CN1 ZINC001251151911 894647208 /nfs/dbraw/zinc/64/72/08/894647208.db2.gz OHVWHCFSZYKCAN-UHFFFAOYSA-N -1 1 302.355 1.660 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2cc(=O)[n-]c(N(C)C)n2)cc1 ZINC001251887051 894867830 /nfs/dbraw/zinc/86/78/30/894867830.db2.gz HYZLLYHGUJDSHW-UHFFFAOYSA-N -1 1 324.362 1.058 20 0 DDADMM CC(C)[C@H](CNC(=O)C1CCCC1)NC(=O)c1ncccc1[O-] ZINC001388856119 896059800 /nfs/dbraw/zinc/05/98/00/896059800.db2.gz JRGOEDHFBXIPOF-ZDUSSCGKSA-N -1 1 319.405 1.848 20 0 DDADMM CCCCS(=O)(=O)[N-]c1ccc(S(C)(=O)=O)c(F)c1 ZINC001253855299 896145626 /nfs/dbraw/zinc/14/56/26/896145626.db2.gz LEXIMJXDDAMQJL-UHFFFAOYSA-N -1 1 309.384 1.771 20 0 DDADMM CCCCS(=O)(=O)[N-][C@@H](C(=O)OCC)c1cccnc1 ZINC001253920269 896157754 /nfs/dbraw/zinc/15/77/54/896157754.db2.gz AHFKJDBOEIVCPB-GFCCVEGCSA-N -1 1 300.380 1.405 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H]([NH2+]CCP(=O)([O-])[O-])CC1 ZINC001254291326 896335450 /nfs/dbraw/zinc/33/54/50/896335450.db2.gz ILZDEFPAUJAEHM-LLVKDONJSA-N -1 1 322.342 1.543 20 0 DDADMM O=C(NCc1nn[n-]n1)c1coc(-c2c(F)cccc2F)n1 ZINC001292873276 914059600 /nfs/dbraw/zinc/05/96/00/914059600.db2.gz QLONHFVRWHIVJV-UHFFFAOYSA-N -1 1 306.232 1.063 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)c2ccc(F)cc2F)c(=O)[nH]1 ZINC001256006322 897200249 /nfs/dbraw/zinc/20/02/49/897200249.db2.gz TUQOMCQZTJLQOW-UHFFFAOYSA-N -1 1 301.274 1.570 20 0 DDADMM CCCCOC(=O)CC[C@H](C)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001257385375 897834758 /nfs/dbraw/zinc/83/47/58/897834758.db2.gz GZFREDSNBCHZGW-VIFPVBQESA-N -1 1 307.354 1.982 20 0 DDADMM CCCc1nc(CNC[C@H](C)NC(=O)c2ncccc2[O-])no1 ZINC001374882768 914230791 /nfs/dbraw/zinc/23/07/91/914230791.db2.gz GDSKOQAZCHCIOE-JTQLQIEISA-N -1 1 319.365 1.031 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1cc(Cl)[nH]c(=O)c1 ZINC001258531196 898239885 /nfs/dbraw/zinc/23/98/85/898239885.db2.gz MQWLGFWWYVLKNC-UHFFFAOYSA-N -1 1 303.727 1.846 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NS(=O)(=O)c1ccccn1 ZINC001259282079 898578411 /nfs/dbraw/zinc/57/84/11/898578411.db2.gz PWCOWTAEWCDJLM-UHFFFAOYSA-N -1 1 313.320 1.065 20 0 DDADMM O=c1[nH]c2ccc(S(=O)(=O)[N-]c3ccccc3CO)cc2o1 ZINC001259383486 898644546 /nfs/dbraw/zinc/64/45/46/898644546.db2.gz BCLMUJSQEMJXHK-UHFFFAOYSA-N -1 1 320.326 1.827 20 0 DDADMM COc1ncnc(OC)c1C(=O)Nc1cc(F)cc(F)c1[O-] ZINC001299580491 898650393 /nfs/dbraw/zinc/65/03/93/898650393.db2.gz GTWMWNICDTWEEX-UHFFFAOYSA-N -1 1 311.244 1.730 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC[C@@H]1F)c1cc(Cl)ccc1F ZINC001259460364 898679197 /nfs/dbraw/zinc/67/91/97/898679197.db2.gz AXMWXIJYGYASSW-VHSXEESVSA-N -1 1 311.737 1.884 20 0 DDADMM COC(=O)c1nc(OC)ccc1[N-]S(=O)(=O)C1CCCC1 ZINC001259796983 898810012 /nfs/dbraw/zinc/81/00/12/898810012.db2.gz ZQJSPFFJXLFLDK-UHFFFAOYSA-N -1 1 314.363 1.561 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2cc(F)c(F)c(F)c2F)cn1 ZINC001259825964 898833926 /nfs/dbraw/zinc/83/39/26/898833926.db2.gz DCJBYNOWONPNOB-UHFFFAOYSA-N -1 1 309.244 1.777 20 0 DDADMM COC(=O)c1c(C)scc1[N-]S(=O)(=O)C1CCOCC1 ZINC001259908508 898919137 /nfs/dbraw/zinc/91/91/37/898919137.db2.gz RDHDXMHGYGBBEV-UHFFFAOYSA-N -1 1 319.404 1.764 20 0 DDADMM NS(=O)(=O)[N-]c1ncc(Br)cc1OC(F)F ZINC001260074227 899038359 /nfs/dbraw/zinc/03/83/59/899038359.db2.gz DJQVSRCKUUBUEC-UHFFFAOYSA-N -1 1 318.099 1.061 20 0 DDADMM Cc1ccccc1CS(=O)(=O)NCCCC[P@](=O)([O-])O ZINC001260024861 899019413 /nfs/dbraw/zinc/01/94/13/899019413.db2.gz LVSZIJTWNLWRHK-UHFFFAOYSA-N -1 1 321.335 1.372 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2ccn3ccnc3c2)c1 ZINC001260185271 899078522 /nfs/dbraw/zinc/07/85/22/899078522.db2.gz ZJIHZHKCNGBPPD-UHFFFAOYSA-N -1 1 317.326 1.833 20 0 DDADMM O=S(=O)([N-]CC1CC(O)C1)c1cc(Cl)c(F)cc1F ZINC001260205260 899086782 /nfs/dbraw/zinc/08/67/82/899086782.db2.gz APZRAAXDLBWOPS-UHFFFAOYSA-N -1 1 311.737 1.667 20 0 DDADMM CN1CCC[C@@H]([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)C1 ZINC001260205973 899087315 /nfs/dbraw/zinc/08/73/15/899087315.db2.gz WCDXGFLWQIWPTL-MRVPVSSYSA-N -1 1 324.780 1.991 20 0 DDADMM O=S(=O)([N-]CC1(O)CCC1)c1cc(Cl)c(F)cc1F ZINC001260204932 899088710 /nfs/dbraw/zinc/08/87/10/899088710.db2.gz REIVIEUNBNDKNZ-UHFFFAOYSA-N -1 1 311.737 1.812 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cccc2cc(O)ccc21 ZINC001260592885 899179670 /nfs/dbraw/zinc/17/96/70/899179670.db2.gz RJPPTIMPSTZPOH-UHFFFAOYSA-N -1 1 315.372 1.289 20 0 DDADMM CC(=O)Nc1cccc(S(=O)(=O)[N-]c2ccnc(CO)c2)c1 ZINC001260804013 899271154 /nfs/dbraw/zinc/27/11/54/899271154.db2.gz IFQUWRMOBAPHLX-UHFFFAOYSA-N -1 1 321.358 1.333 20 0 DDADMM C[C@@H](CNC(=O)C(C)(C)c1ccccc1)NCc1n[nH]c(=O)[n-]1 ZINC001390520694 899595495 /nfs/dbraw/zinc/59/54/95/899595495.db2.gz FROKULCJTLZOFZ-NSHDSACASA-N -1 1 317.393 1.082 20 0 DDADMM CCN(C(=O)C(F)F)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001374941921 914414750 /nfs/dbraw/zinc/41/47/50/914414750.db2.gz AUQBOLHFPFGHLI-VIFPVBQESA-N -1 1 313.304 1.115 20 0 DDADMM O=C([O-])[C@]1(C(=O)Nc2ccc(C(F)(F)F)cc2)CNCCO1 ZINC001263203262 900530477 /nfs/dbraw/zinc/53/04/77/900530477.db2.gz YXKSTPKXHPSEMZ-GFCCVEGCSA-N -1 1 318.251 1.087 20 0 DDADMM COc1ccccc1NC(=S)NCC[N-]C(=O)C(F)(F)F ZINC000586423067 900636017 /nfs/dbraw/zinc/63/60/17/900636017.db2.gz PHPMHJSZCCHHRZ-UHFFFAOYSA-N -1 1 321.324 1.660 20 0 DDADMM CCCc1[nH]ccc1C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001369273528 900939575 /nfs/dbraw/zinc/93/95/75/900939575.db2.gz YFOSGZYJLLGTEU-JTQLQIEISA-N -1 1 320.397 1.041 20 0 DDADMM CCc1ccc(C(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)cc1 ZINC001369287389 900977898 /nfs/dbraw/zinc/97/78/98/900977898.db2.gz BOIHQCHSUZOGGK-NSHDSACASA-N -1 1 317.393 1.323 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(N(C)C)nc2)cc1N ZINC000387085428 901029217 /nfs/dbraw/zinc/02/92/17/901029217.db2.gz FPNNQDLYCQPXIM-UHFFFAOYSA-N -1 1 306.391 1.839 20 0 DDADMM Cc1cnc(CN(C)CCCNC(=O)c2ncccc2[O-])s1 ZINC001265831777 902465214 /nfs/dbraw/zinc/46/52/14/902465214.db2.gz RIMIJSHWJJXLSS-UHFFFAOYSA-N -1 1 320.418 1.804 20 0 DDADMM CS[C@@H](C)C(=O)N[C@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001370105798 902553122 /nfs/dbraw/zinc/55/31/22/902553122.db2.gz YUICYEDHQYOQIZ-ZJUUUORDSA-N -1 1 323.418 1.115 20 0 DDADMM CCN(CCCNC(=O)[C@H]1C[C@@H]1C(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001266098033 902934646 /nfs/dbraw/zinc/93/46/46/902934646.db2.gz LKRYQUJITLILBZ-NEPJUHHUSA-N -1 1 309.414 1.131 20 0 DDADMM CC[C@H](C)CC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001391998687 903070554 /nfs/dbraw/zinc/07/05/54/903070554.db2.gz GAXOLOCFTIJFPJ-NSHDSACASA-N -1 1 309.414 1.465 20 0 DDADMM CCC(C)(C)C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001392014122 903096571 /nfs/dbraw/zinc/09/65/71/903096571.db2.gz IAEZAMBMBRRHTE-UHFFFAOYSA-N -1 1 309.414 1.465 20 0 DDADMM Cc1cn(-c2c(F)cccc2F)nc1C(=O)N(C)c1nn[n-]n1 ZINC001279188313 903191262 /nfs/dbraw/zinc/19/12/62/903191262.db2.gz SJRUGQJJBMFQSW-UHFFFAOYSA-N -1 1 319.275 1.249 20 0 DDADMM CC[C@H](CC(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001392605963 904592804 /nfs/dbraw/zinc/59/28/04/904592804.db2.gz PNCNCUZOMAAYAO-WDEREUQCSA-N -1 1 311.430 1.567 20 0 DDADMM O=C(N[C@@H](CNC(=O)C1CCCC1)C1CC1)c1ncccc1[O-] ZINC001372341718 907073579 /nfs/dbraw/zinc/07/35/79/907073579.db2.gz AVWVFIKPGXNBKC-ZDUSSCGKSA-N -1 1 317.389 1.602 20 0 DDADMM CC1(C)CC(C(=O)NC2(CNC(=O)c3ncccc3[O-])CC2)C1 ZINC001393611849 907478320 /nfs/dbraw/zinc/47/83/20/907478320.db2.gz VYIGZQOPKSWBSB-UHFFFAOYSA-N -1 1 317.389 1.602 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)C1(C)CCC1 ZINC001283528296 907543199 /nfs/dbraw/zinc/54/31/99/907543199.db2.gz HKJRBGXMRSATDS-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM CCCCC1(C(=O)N[C@@H](C)CNC(=O)c2ncccc2[O-])CC1 ZINC001372830275 908372453 /nfs/dbraw/zinc/37/24/53/908372453.db2.gz FHNHTJXHWODVIV-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CCC[C@H](OCC)C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001372839805 908400797 /nfs/dbraw/zinc/40/07/97/908400797.db2.gz ZGFMWCBVSUJIHC-YPMHNXCESA-N -1 1 323.393 1.227 20 0 DDADMM O=C(CCCF)NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001394186008 909033058 /nfs/dbraw/zinc/03/30/58/909033058.db2.gz FRCCLUWOLZFDMQ-UHFFFAOYSA-N -1 1 313.377 1.169 20 0 DDADMM CN(CCNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)C(=O)c1ncccc1[O-] ZINC001373389557 909714605 /nfs/dbraw/zinc/71/46/05/909714605.db2.gz LECIHCWSWVKCCE-IMRBUKKESA-N -1 1 317.389 1.412 20 0 DDADMM CCN(CCNC(=O)C1CC1)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001373732876 910770207 /nfs/dbraw/zinc/77/02/07/910770207.db2.gz IMLSSOYCBOFBDD-UHFFFAOYSA-N -1 1 318.377 1.048 20 0 DDADMM CC[C@@H](CNC(=O)c1[nH]nc(C)c1[O-])NC/C(Cl)=C/Cl ZINC001373743371 910823292 /nfs/dbraw/zinc/82/32/92/910823292.db2.gz QMZRIVSCBIYNPZ-OTOXVQDCSA-N -1 1 321.208 1.841 20 0 DDADMM CC[C@H](CNC(=O)[C@H]1CCCC2(CC2)C1)NCc1n[nH]c(=O)[n-]1 ZINC001373753810 910868796 /nfs/dbraw/zinc/86/87/96/910868796.db2.gz NMKKORXQKNMSMC-NWDGAFQWSA-N -1 1 321.425 1.465 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C=C1CCC1 ZINC001285655493 910981158 /nfs/dbraw/zinc/98/11/58/910981158.db2.gz OXKMEMXYICIEQL-LLVKDONJSA-N -1 1 303.362 1.474 20 0 DDADMM Cc1cnc([C@@H](C)NC[C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001374007012 911619036 /nfs/dbraw/zinc/61/90/36/911619036.db2.gz KSAHMDZUGGLVFC-GZMMTYOYSA-N -1 1 321.381 1.437 20 0 DDADMM CC[C@H](CNC(=O)[C@@H]1C[C@H]1CC)NC(=O)c1ncccc1[O-] ZINC001375251713 915384972 /nfs/dbraw/zinc/38/49/72/915384972.db2.gz XZCUIIVBRDFCOA-IJLUTSLNSA-N -1 1 305.378 1.458 20 0 DDADMM CCC1(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001397198286 915421143 /nfs/dbraw/zinc/42/11/43/915421143.db2.gz YLPJTZMUSLLUSB-XYPYZODXSA-N -1 1 303.362 1.354 20 0 DDADMM CCCCC1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001375761140 916891980 /nfs/dbraw/zinc/89/19/80/916891980.db2.gz MWVCUFYSCBJSKT-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](C)NCc2cccc(F)c2F)c1[O-] ZINC001376712742 919138541 /nfs/dbraw/zinc/13/85/41/919138541.db2.gz QELIYTLYFPJQGP-QMMMGPOBSA-N -1 1 324.331 1.610 20 0 DDADMM C[C@@H](CNC(=O)C1(F)CCCC1)NC(=O)c1ncccc1[O-] ZINC001377544541 922285113 /nfs/dbraw/zinc/28/51/13/922285113.db2.gz HBKRLKUGXAQPPP-JTQLQIEISA-N -1 1 309.341 1.304 20 0 DDADMM C[C@@H](NC(=O)CC1CCCCCC1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001377863393 923266691 /nfs/dbraw/zinc/26/66/91/923266691.db2.gz PHSREDCJRSUBOE-NWDGAFQWSA-N -1 1 323.441 1.854 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)C(C)(C)C(C)(F)F ZINC001377923726 923431299 /nfs/dbraw/zinc/43/12/99/923431299.db2.gz YBAOLHRAEWDIPJ-HTQZYQBOSA-N -1 1 319.356 1.175 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCc4ccccc4C3)nc2n1 ZINC000622869782 365548869 /nfs/dbraw/zinc/54/88/69/365548869.db2.gz FZSDCHJLOPVRCC-ZDUSSCGKSA-N -1 1 323.356 1.470 20 0 DDADMM CCC[C@H]1CCC[C@H]1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000622869778 365549307 /nfs/dbraw/zinc/54/93/07/365549307.db2.gz FYYJBWWSHWNBKP-WDEREUQCSA-N -1 1 303.366 1.881 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CO[C@@H]3CCCC[C@@H]3C)nc2n1 ZINC000622870865 365550400 /nfs/dbraw/zinc/55/04/00/365550400.db2.gz JSPMVQFOIVMHPC-GXSJLCMTSA-N -1 1 319.365 1.260 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CC3CCSCC3)nc2n1 ZINC000622871345 365551897 /nfs/dbraw/zinc/55/18/97/365551897.db2.gz YTCUBONOXNROTA-UHFFFAOYSA-N -1 1 307.379 1.198 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ccc4c(c3)CCC4)nc2n1 ZINC000622995890 365589171 /nfs/dbraw/zinc/58/91/71/365589171.db2.gz SMVSICNESAFSNJ-UHFFFAOYSA-N -1 1 323.356 1.143 20 0 DDADMM C[C@@H](Oc1cc(Cl)cc(Cl)c1)C(=O)Nc1nnn[n-]1 ZINC000076964301 185061712 /nfs/dbraw/zinc/06/17/12/185061712.db2.gz APKRVIFOPNUVIE-RXMQYKEDSA-N -1 1 302.121 1.913 20 0 DDADMM C[C@@H](Oc1cc(Cl)cc(Cl)c1)C(=O)Nc1nn[n-]n1 ZINC000076964301 185061713 /nfs/dbraw/zinc/06/17/13/185061713.db2.gz APKRVIFOPNUVIE-RXMQYKEDSA-N -1 1 302.121 1.913 20 0 DDADMM O=C(C[C@@H]1CCCC[C@H]1O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614387907 361833010 /nfs/dbraw/zinc/83/30/10/361833010.db2.gz DAZLYCYNTCNBPE-SDDRHHMPSA-N -1 1 309.366 1.422 20 0 DDADMM NC(=O)C1(C[N-]S(=O)(=O)c2sccc2Cl)CCCC1 ZINC000451212809 231035505 /nfs/dbraw/zinc/03/55/05/231035505.db2.gz XZYQCWWVMNGSAX-UHFFFAOYSA-N -1 1 322.839 1.726 20 0 DDADMM CC[C@@]1(C(C)C)C[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000457307955 529520259 /nfs/dbraw/zinc/52/02/59/529520259.db2.gz WFMZJXNOVPKPCZ-FZMZJTMJSA-N -1 1 314.407 1.742 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@@H]2COCC[C@H]21 ZINC000328873543 231373091 /nfs/dbraw/zinc/37/30/91/231373091.db2.gz RDUCOJAISKUJNX-ZWNOBZJWSA-N -1 1 307.419 1.780 20 0 DDADMM Cc1nn(-c2ccccc2)c(C)c1C=CC(=O)Nc1nnn[n-]1 ZINC000255331345 282247778 /nfs/dbraw/zinc/24/77/78/282247778.db2.gz LSKXROSLXZZGAX-HJWRWDBZSA-N -1 1 309.333 1.654 20 0 DDADMM Cc1nn(-c2ccccc2)c(C)c1C=CC(=O)Nc1nn[n-]n1 ZINC000255331345 282247782 /nfs/dbraw/zinc/24/77/82/282247782.db2.gz LSKXROSLXZZGAX-HJWRWDBZSA-N -1 1 309.333 1.654 20 0 DDADMM COc1ccc(Br)cc1C=CC(=O)Nc1nnn[n-]1 ZINC000255298283 282244954 /nfs/dbraw/zinc/24/49/54/282244954.db2.gz XVKKFMDWNASMCU-DJWKRKHSSA-N -1 1 324.138 1.623 20 0 DDADMM COc1ccc(Br)cc1C=CC(=O)Nc1nn[n-]n1 ZINC000255298283 282244955 /nfs/dbraw/zinc/24/49/55/282244955.db2.gz XVKKFMDWNASMCU-DJWKRKHSSA-N -1 1 324.138 1.623 20 0 DDADMM O=C(NCCNC(=O)c1ccc([O-])cc1F)c1cccnc1 ZINC000154797856 290679040 /nfs/dbraw/zinc/67/90/40/290679040.db2.gz DLPUJJVFJHZLBD-UHFFFAOYSA-N -1 1 303.293 1.086 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccnc(N2CCCCC2)n1 ZINC000615225491 362202548 /nfs/dbraw/zinc/20/25/48/362202548.db2.gz CSLPULBORGRGNO-UHFFFAOYSA-N -1 1 302.338 1.456 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CC1(Cn2cnnn2)CCCCC1 ZINC000615229551 362205202 /nfs/dbraw/zinc/20/52/02/362205202.db2.gz UOUSFUBHJJQTKZ-UHFFFAOYSA-N -1 1 319.369 1.390 20 0 DDADMM O=c1[n-]c(CSc2nnc(NCC(F)(F)F)s2)n[nH]1 ZINC000092870286 185347360 /nfs/dbraw/zinc/34/73/60/185347360.db2.gz ONMJTONDIZYTTK-UHFFFAOYSA-N -1 1 312.302 1.628 20 0 DDADMM CO[C@@H](C)CN(C)C(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000286623614 219090066 /nfs/dbraw/zinc/09/00/66/219090066.db2.gz UTNSMUYNGFOWNG-JTQLQIEISA-N -1 1 320.393 1.478 20 0 DDADMM CN(C)c1cnn(C2CCN(C(=O)c3cncc([O-])c3)CC2)c1 ZINC000615326168 362253578 /nfs/dbraw/zinc/25/35/78/362253578.db2.gz NGEYYIXKCMRSRM-UHFFFAOYSA-N -1 1 315.377 1.527 20 0 DDADMM O=S(=O)([N-]CCCOCCO)c1cc(Cl)ccc1F ZINC000284365115 282342305 /nfs/dbraw/zinc/34/23/05/282342305.db2.gz QYEZGYFWTCJMNC-UHFFFAOYSA-N -1 1 311.762 1.156 20 0 DDADMM CC(C)c1nc(CNC(=O)c2ccc3oc(=O)nc-3[n-]2)n[nH]1 ZINC000615669916 362391876 /nfs/dbraw/zinc/39/18/76/362391876.db2.gz QXBPJUFITIJONY-UHFFFAOYSA-N -1 1 302.294 1.100 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(Cl)c2)n[n-]1 ZINC000615920499 362488288 /nfs/dbraw/zinc/48/82/88/362488288.db2.gz YMOSZVKSBDYQAK-UHFFFAOYSA-N -1 1 308.725 1.565 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(Cl)c2)n1 ZINC000615920499 362488293 /nfs/dbraw/zinc/48/82/93/362488293.db2.gz YMOSZVKSBDYQAK-UHFFFAOYSA-N -1 1 308.725 1.565 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCOC[C@H]2C)c1Br ZINC000616009691 362518816 /nfs/dbraw/zinc/51/88/16/362518816.db2.gz ZFXRJNRFGUEUIO-SVRRBLITSA-N -1 1 302.172 1.514 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cnn(C)c2C2CC2)c1Br ZINC000616010209 362518855 /nfs/dbraw/zinc/51/88/55/362518855.db2.gz HZQHAFVWOWVNGV-UHFFFAOYSA-N -1 1 324.182 1.766 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCO[C@@H](C)C2)c1Br ZINC000616009218 362519666 /nfs/dbraw/zinc/51/96/66/362519666.db2.gz SDPZAPGAPPKLAK-XPUUQOCRSA-N -1 1 302.172 1.656 20 0 DDADMM COc1cc(C(=O)N[C@H]2CCNC(=O)CC2)cc(Cl)c1[O-] ZINC000616361576 362659919 /nfs/dbraw/zinc/65/99/19/362659919.db2.gz GQNPCUKNHXLOOX-SECBINFHSA-N -1 1 312.753 1.453 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CC(=O)NCCOc2ccccc2)C1 ZINC000390738375 539510744 /nfs/dbraw/zinc/51/07/44/539510744.db2.gz UAEIMOZGQIVKPI-CQSZACIVSA-N -1 1 320.389 1.368 20 0 DDADMM C[C@H](CN(C)C(=O)CSCc1cccnc1)c1nn[n-]n1 ZINC000358376084 299135283 /nfs/dbraw/zinc/13/52/83/299135283.db2.gz ARWFHHKYMXVEGN-SNVBAGLBSA-N -1 1 306.395 1.090 20 0 DDADMM CC[C@H](C)n1nc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1C ZINC000358386974 299139494 /nfs/dbraw/zinc/13/94/94/299139494.db2.gz XWXXJZXPCOJRRS-ZJUUUORDSA-N -1 1 305.386 1.551 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc2c1OCC2(C)C)c1nn[n-]n1 ZINC000279723478 215093128 /nfs/dbraw/zinc/09/31/28/215093128.db2.gz LTIPIYJNRBXEHM-SNVBAGLBSA-N -1 1 315.377 1.745 20 0 DDADMM CC(C)(O)C[N-]S(=O)(=O)c1sccc1Br ZINC000083466789 192371288 /nfs/dbraw/zinc/37/12/88/192371288.db2.gz WNDUXMXNVSXTDZ-UHFFFAOYSA-N -1 1 314.226 1.560 20 0 DDADMM COC(=O)N(C)CCCC(=O)Nc1nc(Cl)ccc1[O-] ZINC000279762935 215124716 /nfs/dbraw/zinc/12/47/16/215124716.db2.gz PMOCNNUQBFCNKD-UHFFFAOYSA-N -1 1 301.730 1.858 20 0 DDADMM COc1ncc([N-]S(=O)(=O)c2ccc(C)cc2)cc1C(N)=O ZINC000353829304 290849946 /nfs/dbraw/zinc/84/99/46/290849946.db2.gz TYXIFCWIXSJOLH-UHFFFAOYSA-N -1 1 321.358 1.298 20 0 DDADMM CC(C)c1ncc(NC(=O)c2cnc3n(C)[n-]cc-3c2=O)cn1 ZINC000358454898 299157562 /nfs/dbraw/zinc/15/75/62/299157562.db2.gz CMJODBBKIXWQPY-UHFFFAOYSA-N -1 1 312.333 1.840 20 0 DDADMM C[C@@H]1CN(C(=O)CCCc2nn[n-]n2)C[C@@H](CC(F)(F)F)O1 ZINC000636240145 422739779 /nfs/dbraw/zinc/73/97/79/422739779.db2.gz KKHZWOPKHLOGCJ-RKDXNWHRSA-N -1 1 321.303 1.091 20 0 DDADMM C[C@@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)CCS1(=O)=O ZINC000172554567 198114791 /nfs/dbraw/zinc/11/47/91/198114791.db2.gz IINIKHGGAKJQGV-SNVBAGLBSA-N -1 1 323.370 1.118 20 0 DDADMM C[S@@](=O)CCC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000564906235 304025116 /nfs/dbraw/zinc/02/51/16/304025116.db2.gz OAOMOGVJUJBWEP-GOSISDBHSA-N -1 1 318.445 1.343 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@@H](CO)NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000617536604 363163564 /nfs/dbraw/zinc/16/35/64/363163564.db2.gz GANLXUIFTMRREV-BPLDGKMQSA-N -1 1 321.373 1.257 20 0 DDADMM CC(C)(C)OC(=O)CC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000173213906 198196490 /nfs/dbraw/zinc/19/64/90/198196490.db2.gz SPNJYWYLWZKPDR-UHFFFAOYSA-N -1 1 321.345 1.975 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2cc(F)cc(F)c2)n1 ZINC000064317385 352933824 /nfs/dbraw/zinc/93/38/24/352933824.db2.gz HGYGDOVCAWKCKT-LURJTMIESA-N -1 1 303.290 1.696 20 0 DDADMM Cn1c(CNC(=O)c2cccnc2N2CCCC2)n[n-]c1=S ZINC000067050184 353032412 /nfs/dbraw/zinc/03/24/12/353032412.db2.gz NDZRTRZGCNCCNJ-UHFFFAOYSA-N -1 1 318.406 1.403 20 0 DDADMM COC(C)(C)C[C@@H](C)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000173519822 198223742 /nfs/dbraw/zinc/22/37/42/198223742.db2.gz QKRYCYPOQAVZPJ-MRVPVSSYSA-N -1 1 309.819 1.555 20 0 DDADMM Cc1nc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(C)s1 ZINC000331973854 234338809 /nfs/dbraw/zinc/33/88/09/234338809.db2.gz UZGIYEUUBCIOON-UHFFFAOYSA-N -1 1 308.363 1.868 20 0 DDADMM COCCOC1CN(C(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000358579429 299202438 /nfs/dbraw/zinc/20/24/38/299202438.db2.gz LFMBXQYJHFTSSS-UHFFFAOYSA-N -1 1 317.345 1.131 20 0 DDADMM COCCOC1CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000358579429 299202440 /nfs/dbraw/zinc/20/24/40/299202440.db2.gz LFMBXQYJHFTSSS-UHFFFAOYSA-N -1 1 317.345 1.131 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)C(=O)OC ZINC000358602573 299205713 /nfs/dbraw/zinc/20/57/13/299205713.db2.gz RWXDKECTYSDMDY-SECBINFHSA-N -1 1 306.409 1.380 20 0 DDADMM C/C=C/C=C/C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000092625296 353864030 /nfs/dbraw/zinc/86/40/30/353864030.db2.gz GQIQUULFCQWTRK-MQQKCMAXSA-N -1 1 322.386 1.904 20 0 DDADMM CC(C)n1cnc(S(=O)(=O)[N-]c2ccc3[nH]nnc3c2)c1 ZINC000132134425 354104856 /nfs/dbraw/zinc/10/48/56/354104856.db2.gz SXMBBAONGVPRBZ-UHFFFAOYSA-N -1 1 306.351 1.536 20 0 DDADMM CC(C)n1cnc(S(=O)(=O)[N-]c2ccc3nn[nH]c3c2)c1 ZINC000132134425 354104860 /nfs/dbraw/zinc/10/48/60/354104860.db2.gz SXMBBAONGVPRBZ-UHFFFAOYSA-N -1 1 306.351 1.536 20 0 DDADMM COc1ccc(CN2CC[C@@](C(=O)[O-])(C(F)(F)F)C2)cn1 ZINC000158629063 354230912 /nfs/dbraw/zinc/23/09/12/354230912.db2.gz BTMVRKULZNKFLG-GFCCVEGCSA-N -1 1 304.268 1.929 20 0 DDADMM O=C([O-])C1CCN(C(=O)CN2CCCc3ccccc3C2)CC1 ZINC000320699333 354528181 /nfs/dbraw/zinc/52/81/81/354528181.db2.gz IDAYACRWAJTFBT-UHFFFAOYSA-N -1 1 316.401 1.758 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3ccoc3C)nc2n1 ZINC000588745137 354932531 /nfs/dbraw/zinc/93/25/31/354932531.db2.gz NKXZAJUUZNICQM-UHFFFAOYSA-N -1 1 301.306 1.524 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)C[C@H]2CCCO2)cc1F ZINC000177011736 198649278 /nfs/dbraw/zinc/64/92/78/198649278.db2.gz COPGEZVUWGCYEW-MRVPVSSYSA-N -1 1 307.318 1.894 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COc2ccccc2C)n1 ZINC000590355642 355075993 /nfs/dbraw/zinc/07/59/93/355075993.db2.gz YQEQOMJNIJVDGR-UHFFFAOYSA-N -1 1 303.318 1.912 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cnc(C3CC3)nc2)cc1 ZINC000590695930 355150661 /nfs/dbraw/zinc/15/06/61/355150661.db2.gz HAVIFJJMDWORFB-UHFFFAOYSA-N -1 1 319.342 1.853 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCC[C@@H](F)C2)c1 ZINC000592063445 355480663 /nfs/dbraw/zinc/48/06/63/355480663.db2.gz TTXGDQUCOAOFQV-ZJUUUORDSA-N -1 1 305.327 1.625 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)C[C@H](OC)C(C)C)C(=O)OC ZINC000592068050 355481490 /nfs/dbraw/zinc/48/14/90/355481490.db2.gz HBECAGPUUHUOIB-XQQFMLRXSA-N -1 1 323.455 1.555 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)[C@H]1CCO[C@@H]1C ZINC000592075878 355485855 /nfs/dbraw/zinc/48/58/55/355485855.db2.gz QWNCWPKDQLTQIK-VWYCJHECSA-N -1 1 307.412 1.061 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000593632368 355949408 /nfs/dbraw/zinc/94/94/08/355949408.db2.gz VAWCLUAMFVDVLS-VIFPVBQESA-N -1 1 311.281 1.144 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1C[C@@H]2C[C@H]1C[S@]2=O ZINC000593877735 356036867 /nfs/dbraw/zinc/03/68/67/356036867.db2.gz PIDHDBBXOYUADS-QJHLZDNFSA-N -1 1 319.304 1.756 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)[C@@H]2CC23CC3)n[n-]1 ZINC000593894963 356045891 /nfs/dbraw/zinc/04/58/91/356045891.db2.gz ONXYFVYMHXSWGH-QWRGUYRKSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)[C@@H]2CC23CC3)[n-]1 ZINC000593894963 356045894 /nfs/dbraw/zinc/04/58/94/356045894.db2.gz ONXYFVYMHXSWGH-QWRGUYRKSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)[C@@H]2CC23CC3)n1 ZINC000593894963 356045896 /nfs/dbraw/zinc/04/58/96/356045896.db2.gz ONXYFVYMHXSWGH-QWRGUYRKSA-N -1 1 320.393 1.985 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2cc(Cl)ccc2[O-])C[C@H](C)O1 ZINC000593838705 356018289 /nfs/dbraw/zinc/01/82/89/356018289.db2.gz JSHVYKXWEVPEBD-UFBFGSQYSA-N -1 1 313.737 1.448 20 0 DDADMM CCOC(=O)c1coc(=NC[C@@H](C)[N@@H+]2C[C@@H](C)O[C@@H](C)C2)[n-]1 ZINC000594592585 356258647 /nfs/dbraw/zinc/25/86/47/356258647.db2.gz SHWBNMDTJHQCCX-UTUOFQBUSA-N -1 1 311.382 1.183 20 0 DDADMM CCOC(=O)c1coc(=NC[C@@H](C)N2C[C@@H](C)O[C@@H](C)C2)[n-]1 ZINC000594592585 356258649 /nfs/dbraw/zinc/25/86/49/356258649.db2.gz SHWBNMDTJHQCCX-UTUOFQBUSA-N -1 1 311.382 1.183 20 0 DDADMM CC[C@@]1(C)CCCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000081320086 192249408 /nfs/dbraw/zinc/24/94/08/192249408.db2.gz FXRPZMLOJFFAPR-AWEZNQCLSA-N -1 1 314.407 1.840 20 0 DDADMM CCOC(=O)CCCNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000081546542 192288236 /nfs/dbraw/zinc/28/82/36/192288236.db2.gz WBCNUMPNNJOJDN-UHFFFAOYSA-N -1 1 313.379 1.286 20 0 DDADMM Cc1ccc2nc(C3CC3)cc(C(=O)NN3CC(=O)[N-]C3=O)c2c1 ZINC000081709100 192316377 /nfs/dbraw/zinc/31/63/77/192316377.db2.gz HZVZHIOPTXNVKK-UHFFFAOYSA-N -1 1 324.340 1.617 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@H]1C ZINC000597796328 357333891 /nfs/dbraw/zinc/33/38/91/357333891.db2.gz OHDQEZWOPPMUDC-ZWNOBZJWSA-N -1 1 314.341 1.822 20 0 DDADMM CC[C@H](CNC(=O)c1ncc2ccccc2c1[O-])C(=O)OC ZINC000597800986 357336418 /nfs/dbraw/zinc/33/64/18/357336418.db2.gz FASQCVINTCSYIA-SNVBAGLBSA-N -1 1 302.330 1.869 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]2C)c1F ZINC000599215037 357852823 /nfs/dbraw/zinc/85/28/23/357852823.db2.gz ATCWTBDNTNHLCR-YUMQZZPRSA-N -1 1 319.329 1.686 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(CCC(C)C)C2CC2)co1 ZINC000179269733 199008134 /nfs/dbraw/zinc/00/81/34/199008134.db2.gz YOLMERYDIPPKTB-UHFFFAOYSA-N -1 1 314.407 1.838 20 0 DDADMM O=C(CNC(=O)c1ccc(C(F)(F)F)cc1[O-])NC1CC1 ZINC000180339544 199148298 /nfs/dbraw/zinc/14/82/98/199148298.db2.gz RRUSLKHIWTZNGT-UHFFFAOYSA-N -1 1 302.252 1.419 20 0 DDADMM C[C@@H](Oc1ccc(C(=O)NCc2nn[n-]n2)cn1)c1ccccc1 ZINC000600506446 358243712 /nfs/dbraw/zinc/24/37/12/358243712.db2.gz XTJCKSSVPFBEEM-LLVKDONJSA-N -1 1 324.344 1.665 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2cnn(CCF)c2)CC1 ZINC000600659560 358276538 /nfs/dbraw/zinc/27/65/38/358276538.db2.gz GBLXBIDXQLHRHM-UHFFFAOYSA-N -1 1 319.358 1.080 20 0 DDADMM O=C(NCC1(O)CCOCC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000180602019 199183411 /nfs/dbraw/zinc/18/34/11/199183411.db2.gz OVYWIVSPZZPYIP-UHFFFAOYSA-N -1 1 319.279 1.682 20 0 DDADMM O=C([N-]c1nnc(C(F)(F)F)s1)c1cn(C2CC2)nn1 ZINC000624516698 366423640 /nfs/dbraw/zinc/42/36/40/366423640.db2.gz JGPMPOPMDNXCNM-UHFFFAOYSA-N -1 1 304.257 1.736 20 0 DDADMM CC(C)OC(=O)[C@H](C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601431805 358550051 /nfs/dbraw/zinc/55/00/51/358550051.db2.gz FKUMMBDRJCUNDJ-ZETCQYMHSA-N -1 1 311.306 1.836 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C)CCC(=O)OC(C)C)sn1 ZINC000601405780 358539032 /nfs/dbraw/zinc/53/90/32/358539032.db2.gz APIQHLMUEHWZAN-VIFPVBQESA-N -1 1 320.436 1.850 20 0 DDADMM COC(=O)[C@@]1(C)C[C@H](OC)CN1C(=O)c1ccc([O-])cc1F ZINC000601737486 358672093 /nfs/dbraw/zinc/67/20/93/358672093.db2.gz HLMLXKUHFQBQRR-ZUZCIYMTSA-N -1 1 311.309 1.324 20 0 DDADMM Cc1cnc(C(=O)NCCOCC(=O)OC(C)(C)C)c([O-])c1 ZINC000601749418 358677399 /nfs/dbraw/zinc/67/73/99/358677399.db2.gz LMUCQHPANSUDTL-UHFFFAOYSA-N -1 1 310.350 1.184 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccc(C)c(F)c1F ZINC000602301443 358920609 /nfs/dbraw/zinc/92/06/09/358920609.db2.gz WPUTZETZGSRRGH-UHFFFAOYSA-N -1 1 323.299 1.858 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)C1CCSCC1 ZINC000602942079 359310402 /nfs/dbraw/zinc/31/04/02/359310402.db2.gz RANHMXUHMOHDEB-LBPRGKRZSA-N -1 1 303.391 1.549 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CC2(C)CCCCC2)n[n-]1 ZINC000603013566 359357162 /nfs/dbraw/zinc/35/71/62/359357162.db2.gz CWJAONSMFIMXQN-UHFFFAOYSA-N -1 1 308.382 1.958 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CC2(C)CCCCC2)n1 ZINC000603013566 359357167 /nfs/dbraw/zinc/35/71/67/359357167.db2.gz CWJAONSMFIMXQN-UHFFFAOYSA-N -1 1 308.382 1.958 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2(C)CCCCCC2)n[n-]1 ZINC000603020139 359361916 /nfs/dbraw/zinc/36/19/16/359361916.db2.gz XIKKLUPQYAETIC-UHFFFAOYSA-N -1 1 308.382 1.958 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2(C)CCCCCC2)n1 ZINC000603020139 359361920 /nfs/dbraw/zinc/36/19/20/359361920.db2.gz XIKKLUPQYAETIC-UHFFFAOYSA-N -1 1 308.382 1.958 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCCC3(CC3)C2)n[n-]1 ZINC000603024225 359365615 /nfs/dbraw/zinc/36/56/15/359365615.db2.gz WKSDRWWGQPPMOV-JTQLQIEISA-N -1 1 306.366 1.568 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCCC3(CC3)C2)n1 ZINC000603024225 359365620 /nfs/dbraw/zinc/36/56/20/359365620.db2.gz WKSDRWWGQPPMOV-JTQLQIEISA-N -1 1 306.366 1.568 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(F)ccc2CC)n[n-]1 ZINC000603024253 359365763 /nfs/dbraw/zinc/36/57/63/359365763.db2.gz XBTDASBKKPEEAV-UHFFFAOYSA-N -1 1 320.324 1.613 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(F)ccc2CC)n1 ZINC000603024253 359365766 /nfs/dbraw/zinc/36/57/66/359365766.db2.gz XBTDASBKKPEEAV-UHFFFAOYSA-N -1 1 320.324 1.613 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000618509649 363654908 /nfs/dbraw/zinc/65/49/08/363654908.db2.gz VIYDQOOWJWSXES-VWYCJHECSA-N -1 1 312.391 1.354 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2ncccc2[O-])CCCCC1 ZINC000618486181 363648852 /nfs/dbraw/zinc/64/88/52/363648852.db2.gz UTKVRYZOXDBJDN-UHFFFAOYSA-N -1 1 312.391 1.265 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2Cc3ccccc32)n[n-]1 ZINC000603160225 359446799 /nfs/dbraw/zinc/44/67/99/359446799.db2.gz DBAGWCHPOSDMQU-BXKDBHETSA-N -1 1 314.345 1.499 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2Cc3ccccc32)[n-]1 ZINC000603160225 359446803 /nfs/dbraw/zinc/44/68/03/359446803.db2.gz DBAGWCHPOSDMQU-BXKDBHETSA-N -1 1 314.345 1.499 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2Cc3ccccc32)n1 ZINC000603160225 359446809 /nfs/dbraw/zinc/44/68/09/359446809.db2.gz DBAGWCHPOSDMQU-BXKDBHETSA-N -1 1 314.345 1.499 20 0 DDADMM COC(=O)c1cccc(CS(=O)(=O)[N-][C@@H](C)C(F)F)c1 ZINC000603423517 359631981 /nfs/dbraw/zinc/63/19/81/359631981.db2.gz LVYPOMRCUUOSGI-QMMMGPOBSA-N -1 1 307.318 1.546 20 0 DDADMM Cc1cc2c(cc1C)[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)CO2 ZINC000187352187 200100072 /nfs/dbraw/zinc/10/00/72/200100072.db2.gz SQHPTRIDZVSVCK-WCQYABFASA-N -1 1 315.377 1.555 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@@H](O)C1)c1c(F)cccc1Cl ZINC000188318185 200227011 /nfs/dbraw/zinc/22/70/11/200227011.db2.gz SAYVGEWTDSYQMC-RKDXNWHRSA-N -1 1 307.774 1.918 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605530652 359865805 /nfs/dbraw/zinc/86/58/05/359865805.db2.gz OEZXUEIEVFYEJC-NEPJUHHUSA-N -1 1 307.398 1.681 20 0 DDADMM COCC(C)(C)N1CCN(C(=O)C[C@H](C)CC(=O)[O-])CC1 ZINC000579376225 422760263 /nfs/dbraw/zinc/76/02/63/422760263.db2.gz JGFGDWZSUXZYMO-LBPRGKRZSA-N -1 1 300.399 1.057 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](CCF)C2)o1 ZINC000618690323 363721565 /nfs/dbraw/zinc/72/15/65/363721565.db2.gz PDLRJOBXYVMCQI-JTQLQIEISA-N -1 1 318.370 1.400 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2c(O)cccc2F)c[nH]1 ZINC000610335939 360415747 /nfs/dbraw/zinc/41/57/47/360415747.db2.gz MCGNOHSGFPVESE-UHFFFAOYSA-N -1 1 314.294 1.447 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2c(C)onc2CC)s1 ZINC000610894059 360564982 /nfs/dbraw/zinc/56/49/82/360564982.db2.gz GDPQQDXRRAOWDI-UHFFFAOYSA-N -1 1 310.335 1.826 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2cn[nH]c2-c2ccncc2)cn1 ZINC000611887594 360850378 /nfs/dbraw/zinc/85/03/78/360850378.db2.gz FTWLLHZJNDTHHY-UHFFFAOYSA-N -1 1 323.312 1.906 20 0 DDADMM CCOC(=O)[C@]1(COC)CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000281303780 216225155 /nfs/dbraw/zinc/22/51/55/216225155.db2.gz HQRYJMWFAVNMHA-MRXNPFEDSA-N -1 1 322.361 1.219 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000611991462 360884918 /nfs/dbraw/zinc/88/49/18/360884918.db2.gz ROCSAKFAKPNOJF-OLZOCXBDSA-N -1 1 313.357 1.714 20 0 DDADMM COc1cc(C(=O)NCC(=O)NC(C)C)cc(Cl)c1[O-] ZINC000359060775 299316969 /nfs/dbraw/zinc/31/69/69/299316969.db2.gz IYXHMOLMSBXFDR-UHFFFAOYSA-N -1 1 300.742 1.309 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC[C@@]2(O)C[C@H]2C1 ZINC000618755352 363750455 /nfs/dbraw/zinc/75/04/55/363750455.db2.gz JSDMKIARNXNQRS-ISVAXAHUSA-N -1 1 312.163 1.752 20 0 DDADMM C[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@H](CO)O1 ZINC000613859607 361607796 /nfs/dbraw/zinc/60/77/96/361607796.db2.gz ZOBFHRMMICYJOS-CMPLNLGQSA-N -1 1 302.330 1.162 20 0 DDADMM Cc1cnc(C(=O)NCCN2C[C@@H]3CCCCN3C2=O)c([O-])c1 ZINC000620103283 364285465 /nfs/dbraw/zinc/28/54/65/364285465.db2.gz HMEZSAQLSFJPMR-LBPRGKRZSA-N -1 1 318.377 1.116 20 0 DDADMM O=C(NCCN1C[C@H]2CCCCN2C1=O)c1ccc([O-])cc1F ZINC000620103124 364285920 /nfs/dbraw/zinc/28/59/20/364285920.db2.gz DPZAOXIONKTVTD-LLVKDONJSA-N -1 1 321.352 1.551 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)[C@](C)(CO)C1 ZINC000620459142 364428433 /nfs/dbraw/zinc/42/84/33/364428433.db2.gz ZFLQETYHDHCPIO-QGHHPUGFSA-N -1 1 321.421 1.879 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCc3n[nH]cc3C1)c2=O ZINC000620978772 364633078 /nfs/dbraw/zinc/63/30/78/364633078.db2.gz YFJIVFGXKFPAAL-UHFFFAOYSA-N -1 1 324.340 1.458 20 0 DDADMM O=C([O-])[C@H]1COCCN1CCc1cccc2c1OC(F)(F)O2 ZINC000635015555 422767411 /nfs/dbraw/zinc/76/74/11/422767411.db2.gz FEGOOORXPFTGAP-SNVBAGLBSA-N -1 1 315.272 1.336 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1Cc1ccc2c(c1)OCCO2 ZINC000091133197 193000031 /nfs/dbraw/zinc/00/00/31/193000031.db2.gz SAWQCWQSHOTAFC-GFCCVEGCSA-N -1 1 309.387 1.850 20 0 DDADMM O=C(CCc1cccnc1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622611433 365451510 /nfs/dbraw/zinc/45/15/10/365451510.db2.gz DUFQARTYUYRXLD-HNNXBMFYSA-N -1 1 308.345 1.433 20 0 DDADMM CN1CCOC[C@H]1C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000625516139 366980537 /nfs/dbraw/zinc/98/05/37/366980537.db2.gz QGUOVRRPJGQWMB-SECBINFHSA-N -1 1 322.789 1.088 20 0 DDADMM CCCCNC(=O)[C@@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000275306594 212261923 /nfs/dbraw/zinc/26/19/23/212261923.db2.gz NAZHJSLCKFTNAI-JTQLQIEISA-N -1 1 309.391 1.219 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1COc2cc(F)ccc2C1)c1nn[n-]n1 ZINC000626024651 367281395 /nfs/dbraw/zinc/28/13/95/367281395.db2.gz RAGBELMQBPUDLP-JQWIXIFHSA-N -1 1 319.340 1.548 20 0 DDADMM CN(CC(C)(C)O)C(=O)c1cc(Br)ccc1[O-] ZINC000223844202 201657452 /nfs/dbraw/zinc/65/74/52/201657452.db2.gz FAARGAPEGAGFKS-UHFFFAOYSA-N -1 1 302.168 1.998 20 0 DDADMM C[C@H]1OCC[C@H]1S(=O)(=O)Nc1cc(F)cc(C(=O)[O-])c1F ZINC000566313936 304143375 /nfs/dbraw/zinc/14/33/75/304143375.db2.gz VASORZRUISJWMK-LHLIQPBNSA-N -1 1 321.301 1.582 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H](CO)CC2CCCC2)[n-]n1 ZINC000359285134 299395643 /nfs/dbraw/zinc/39/56/43/299395643.db2.gz GFZBKBNKXJOFDL-CYBMUJFWSA-N -1 1 306.410 1.249 20 0 DDADMM CN(CCCOc1cccc(Cl)c1)CC(=O)NCC(=O)[O-] ZINC000262260952 203244621 /nfs/dbraw/zinc/24/46/21/203244621.db2.gz SDFCTXUYABPNKO-UHFFFAOYSA-N -1 1 314.769 1.242 20 0 DDADMM COc1cc(OC)c(CNC(=O)c2cncc([O-])c2)c(OC)c1 ZINC000264867094 204222152 /nfs/dbraw/zinc/22/21/52/204222152.db2.gz QODDEKQTWWYWSR-UHFFFAOYSA-N -1 1 318.329 1.743 20 0 DDADMM CCCC[C@H](C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000282712941 217196162 /nfs/dbraw/zinc/19/61/62/217196162.db2.gz KVHWMKJXKVEHPT-VIFPVBQESA-N -1 1 300.380 1.804 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2c(C)nn(C)c2OC)c1 ZINC000282780825 217246501 /nfs/dbraw/zinc/24/65/01/217246501.db2.gz MKMBXLQJHWHTIQ-UHFFFAOYSA-N -1 1 319.317 1.482 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1)c1cccs1 ZINC000282811883 217266211 /nfs/dbraw/zinc/26/62/11/217266211.db2.gz BIKKKPSSXHRVIH-ZJUUUORDSA-N -1 1 306.391 1.669 20 0 DDADMM COC(=O)[C@H](CC(C)C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000282891947 217305576 /nfs/dbraw/zinc/30/55/76/217305576.db2.gz GEFOBSGKVNIGKM-JTQLQIEISA-N -1 1 322.390 1.336 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCCOC(=O)[C@H](C)O)n1 ZINC000282906506 217315156 /nfs/dbraw/zinc/31/51/56/217315156.db2.gz FIXGJDBDLPNWKY-VIFPVBQESA-N -1 1 300.380 1.541 20 0 DDADMM COc1ccc(CC(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)cc1 ZINC000282941852 217341035 /nfs/dbraw/zinc/34/10/35/217341035.db2.gz PRXQULKXJYGWHV-LBPRGKRZSA-N -1 1 316.361 1.055 20 0 DDADMM O=C(c1cnc2ccccc2n1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282955587 217350994 /nfs/dbraw/zinc/35/09/94/217350994.db2.gz QWPSDPXIYRUKMK-JTQLQIEISA-N -1 1 324.344 1.061 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CSC[C@@H]3CCCCO3)ccnc1-2 ZINC000283018687 217390625 /nfs/dbraw/zinc/39/06/25/217390625.db2.gz FBAOQGJCGFSXSN-AFSRSGBESA-N -1 1 320.418 1.583 20 0 DDADMM Cc1nnc2n1C[C@@H](CNC(=O)c1cc(F)ccc1[O-])CC2 ZINC000267514216 206116322 /nfs/dbraw/zinc/11/63/22/206116322.db2.gz MVKRDLSTAPEITK-SNVBAGLBSA-N -1 1 304.325 1.424 20 0 DDADMM CNC(=O)c1cccc([N-]S(=O)(=O)c2cccc(F)c2)c1 ZINC000024102301 182374983 /nfs/dbraw/zinc/37/49/83/182374983.db2.gz ZFPUKPOTEBZMBF-UHFFFAOYSA-N -1 1 308.334 1.986 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccccc1F)C1CC1 ZINC000267669173 206207894 /nfs/dbraw/zinc/20/78/94/206207894.db2.gz CHICCEPJUDAZJX-LBPRGKRZSA-N -1 1 301.339 1.197 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)CCc2c(C)nc(SC)[n-]c2=O)C1 ZINC000629684506 422784361 /nfs/dbraw/zinc/78/43/61/422784361.db2.gz WHELZKHHSULGMZ-MGCOHNPYSA-N -1 1 311.407 1.439 20 0 DDADMM Cc1cc(C(=O)NCCCc2nc(=O)[n-][nH]2)c2ccccc2n1 ZINC000174585898 248370186 /nfs/dbraw/zinc/37/01/86/248370186.db2.gz FYGUFHBOULAMJH-UHFFFAOYSA-N -1 1 311.345 1.317 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)no1 ZINC000425207667 307301919 /nfs/dbraw/zinc/30/19/19/307301919.db2.gz RKZUANLUMIVIGI-UHFFFAOYSA-N -1 1 303.290 1.443 20 0 DDADMM CCCSc1cccc(NC(=O)CCCc2nn[n-]n2)n1 ZINC000636302074 422792614 /nfs/dbraw/zinc/79/26/14/422792614.db2.gz FDWCMACTBHROBC-UHFFFAOYSA-N -1 1 306.395 1.480 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2sccc2F)CCCC1 ZINC000338857661 250166084 /nfs/dbraw/zinc/16/60/84/250166084.db2.gz LGPHFODZMCIWHH-UHFFFAOYSA-N -1 1 307.368 1.651 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338924313 250199041 /nfs/dbraw/zinc/19/90/41/250199041.db2.gz HDWNKVZGWFSDON-APPZFPTMSA-N -1 1 306.384 1.210 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cccc3[nH]ccc32)c[nH]1 ZINC000338878421 250178613 /nfs/dbraw/zinc/17/86/13/250178613.db2.gz OBEFISBNPKWATF-UHFFFAOYSA-N -1 1 305.315 1.995 20 0 DDADMM O=S(=O)([N-]CCCO[C@@H]1CCOC1)c1sccc1F ZINC000338929650 250202071 /nfs/dbraw/zinc/20/20/71/250202071.db2.gz OOFMZTQJXNPHRL-SECBINFHSA-N -1 1 309.384 1.361 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc(C(=O)OC)c2)n1 ZINC000339169510 250326438 /nfs/dbraw/zinc/32/64/38/250326438.db2.gz CPHYGGQKUROQRE-UHFFFAOYSA-N -1 1 317.301 1.625 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@@H](F)C1 ZINC000567467719 304221394 /nfs/dbraw/zinc/22/13/94/304221394.db2.gz KGBGMOBQYAWMLO-RKDXNWHRSA-N -1 1 319.358 1.145 20 0 DDADMM O=C(NCc1ccccc1Cn1cccn1)c1cncc([O-])c1 ZINC000109936987 194299395 /nfs/dbraw/zinc/29/93/95/194299395.db2.gz DJSOGQICSSDUOC-UHFFFAOYSA-N -1 1 308.341 1.962 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])c1cnc2sccn2c1=O ZINC000340327743 251009612 /nfs/dbraw/zinc/00/96/12/251009612.db2.gz DLYBXZXTEDGSJT-UHFFFAOYSA-N -1 1 305.290 1.853 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1CCC[C@H]1F ZINC000340781907 251208928 /nfs/dbraw/zinc/20/89/28/251208928.db2.gz RKEDGXQCCXSSDM-OLZOCXBDSA-N -1 1 320.368 1.991 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cnn(C(C)(C)C)c1 ZINC000340844967 251240436 /nfs/dbraw/zinc/24/04/36/251240436.db2.gz JBQUFHNXYQCVJP-UHFFFAOYSA-N -1 1 317.411 1.579 20 0 DDADMM Cc1ccc(OCc2cccc(C(=O)Nc3nnn[n-]3)c2)cn1 ZINC000114537580 194669965 /nfs/dbraw/zinc/66/99/65/194669965.db2.gz YYCUAIXVTAMIFL-UHFFFAOYSA-N -1 1 310.317 1.734 20 0 DDADMM Cc1ccc(OCc2cccc(C(=O)Nc3nn[n-]n3)c2)cn1 ZINC000114537580 194669968 /nfs/dbraw/zinc/66/99/68/194669968.db2.gz YYCUAIXVTAMIFL-UHFFFAOYSA-N -1 1 310.317 1.734 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1nc2ccccc2s1)C1CC1 ZINC000567770628 304241647 /nfs/dbraw/zinc/24/16/47/304241647.db2.gz BUEMJOFDYZQCPW-ZDUSSCGKSA-N -1 1 312.416 1.736 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)C2CCOCC2)c1 ZINC000269998756 208051909 /nfs/dbraw/zinc/05/19/09/208051909.db2.gz IOHASEMAQSUTPP-VIFPVBQESA-N -1 1 317.363 1.160 20 0 DDADMM O=c1cc(C(F)(F)F)nc(S[C@@H]2CCCCNC2=O)[n-]1 ZINC000109713771 296367541 /nfs/dbraw/zinc/36/75/41/296367541.db2.gz BMQQHXBBQHBGPB-ZCFIWIBFSA-N -1 1 307.297 1.962 20 0 DDADMM COCC[C@@H](COC)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000352521682 285111934 /nfs/dbraw/zinc/11/19/34/285111934.db2.gz KDMJUXOCINSWSE-VIFPVBQESA-N -1 1 309.334 1.295 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3nc(C)nn3c2)cc1 ZINC000430355794 533073501 /nfs/dbraw/zinc/07/35/01/533073501.db2.gz MRBFJBNIILCCMY-UHFFFAOYSA-N -1 1 318.358 1.847 20 0 DDADMM CCNC(=O)N1CC[C@@H](NC(=O)c2cc(Cl)ccc2[O-])C1 ZINC000157991163 197233202 /nfs/dbraw/zinc/23/32/02/197233202.db2.gz MNLJHYUNLUHIJN-SNVBAGLBSA-N -1 1 311.769 1.579 20 0 DDADMM O=S(=O)(CCc1ccc2c(c1)CCO2)[N-]c1cncnc1 ZINC000567999183 304261064 /nfs/dbraw/zinc/26/10/64/304261064.db2.gz KNSLYCDPZKVGFN-UHFFFAOYSA-N -1 1 305.359 1.396 20 0 DDADMM COC[C@](C)([N-]S(=O)(=O)CCC1CCCC1)C(=O)OC ZINC000451308646 533191684 /nfs/dbraw/zinc/19/16/84/533191684.db2.gz PSQSGYIVYCYXNB-ZDUSSCGKSA-N -1 1 307.412 1.064 20 0 DDADMM CC[C@@H](C)c1cc(C(=O)[N-]c2n[nH]c(SCCO)n2)on1 ZINC000120700641 195219107 /nfs/dbraw/zinc/21/91/07/195219107.db2.gz QQWGYKYOGRTKGF-SSDOTTSWSA-N -1 1 311.367 1.643 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cnc(C)s2)c1 ZINC000152104080 196772171 /nfs/dbraw/zinc/77/21/71/196772171.db2.gz KTVCEPZGDRYQAS-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@@H]1CCO[C@H](C)C1 ZINC000289657985 221133786 /nfs/dbraw/zinc/13/37/86/221133786.db2.gz BKCFCLFXKUXKSN-PSASIEDQSA-N -1 1 303.384 1.049 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCOC2(CCOCC2)C1 ZINC000289704624 221167498 /nfs/dbraw/zinc/16/74/98/221167498.db2.gz GHKMOAMPYIEWOF-UHFFFAOYSA-N -1 1 313.300 1.692 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000568706433 304306735 /nfs/dbraw/zinc/30/67/35/304306735.db2.gz YDRCJLFXVYENIS-IUCAKERBSA-N -1 1 305.386 1.295 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)C1CCS(=O)(=O)CC1 ZINC000353446861 285737065 /nfs/dbraw/zinc/73/70/65/285737065.db2.gz HTASTKWZPAJUQH-UHFFFAOYSA-N -1 1 303.767 1.809 20 0 DDADMM CO[C@@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC[C@H]1C ZINC000287764421 285752407 /nfs/dbraw/zinc/75/24/07/285752407.db2.gz VVINOTSAEQBZBO-PXGBJFBRSA-N -1 1 303.366 1.231 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000569430624 304352590 /nfs/dbraw/zinc/35/25/90/304352590.db2.gz MYYWYONFVNICPW-NSHDSACASA-N -1 1 314.345 1.799 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)C[C@H](OC)C2CC2)c1 ZINC000569926762 304384607 /nfs/dbraw/zinc/38/46/07/304384607.db2.gz QBFLRTSMBWOLDR-ZDUSSCGKSA-N -1 1 313.375 1.640 20 0 DDADMM Cc1c(C(=O)[O-])cccc1S(=O)(=O)N1CCN2CCC[C@@H]2C1 ZINC000170969013 407566139 /nfs/dbraw/zinc/56/61/39/407566139.db2.gz YJQDICLCGZUTSB-GFCCVEGCSA-N -1 1 324.402 1.162 20 0 DDADMM COc1ccsc1S(=O)(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000340364781 286530744 /nfs/dbraw/zinc/53/07/44/286530744.db2.gz DMHTXQQWNWQGQX-UHFFFAOYSA-N -1 1 324.387 1.213 20 0 DDADMM CCOC(=O)N1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000028197512 406916062 /nfs/dbraw/zinc/91/60/62/406916062.db2.gz XIMVEFKQDXELOQ-UHFFFAOYSA-N -1 1 312.753 1.960 20 0 DDADMM O=C(c1ccc(S(=O)(=O)[N-]c2ccncc2)cc1)N1CCC1 ZINC000032238804 406946036 /nfs/dbraw/zinc/94/60/36/406946036.db2.gz MNXTWZFEUAPZQW-UHFFFAOYSA-N -1 1 317.370 1.728 20 0 DDADMM CCc1cc(C(=O)N(C)Cc2nc(=O)c3sccc3[n-]2)n[nH]1 ZINC000032314133 406947060 /nfs/dbraw/zinc/94/70/60/406947060.db2.gz IYYPFHLOBXMGDY-UHFFFAOYSA-N -1 1 317.374 1.542 20 0 DDADMM NC(=O)[C@H]1CCCN1C(=O)c1ccc(Br)cc1[O-] ZINC000044490536 407023996 /nfs/dbraw/zinc/02/39/96/407023996.db2.gz NRDKJPLJJDUCMA-SECBINFHSA-N -1 1 313.151 1.245 20 0 DDADMM COc1cccc(CCC(=O)NCCc2n[n-]c(=S)n2C)c1 ZINC000078502269 407030578 /nfs/dbraw/zinc/03/05/78/407030578.db2.gz GCPYIRYXFLESTA-UHFFFAOYSA-N -1 1 320.418 1.778 20 0 DDADMM O=S(=O)([N-]c1ccc(-n2cncn2)nc1)c1ccccc1 ZINC000044895042 407032092 /nfs/dbraw/zinc/03/20/92/407032092.db2.gz LKZQEONBQFEBDD-UHFFFAOYSA-N -1 1 301.331 1.463 20 0 DDADMM COCCNC(=O)CNC(=O)c1ccc2ccccc2c1[O-] ZINC000078572822 407034146 /nfs/dbraw/zinc/03/41/46/407034146.db2.gz BAHPYWCENGNSLX-UHFFFAOYSA-N -1 1 302.330 1.038 20 0 DDADMM CC[C@H](C)NS(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000077383448 406988238 /nfs/dbraw/zinc/98/82/38/406988238.db2.gz QBTKXUVGEJXBIU-QMMMGPOBSA-N -1 1 324.399 1.274 20 0 DDADMM CCOC(=O)CN(CCOC)C(=O)c1ccc(Cl)cc1[O-] ZINC000048189596 407091183 /nfs/dbraw/zinc/09/11/83/407091183.db2.gz FNXWTPZCADYYEK-UHFFFAOYSA-N -1 1 315.753 1.697 20 0 DDADMM COC(=O)[C@]12CCC[C@@H]1CN(C(=O)c1ccc([O-])cc1F)C2 ZINC000123749319 407338220 /nfs/dbraw/zinc/33/82/20/407338220.db2.gz RWZMZWTYGFOUDT-HWPZZCPQSA-N -1 1 307.321 1.947 20 0 DDADMM C[C@H](CC[N-]S(=O)(=O)c1cccc(F)c1F)[S@@](C)=O ZINC000124064919 407347599 /nfs/dbraw/zinc/34/75/99/407347599.db2.gz DQQBMBKWCKKBHZ-CVJBHZAOSA-N -1 1 311.375 1.400 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1COc2ccc(F)cc2C1)c1nn[n-]n1 ZINC000124504979 407360149 /nfs/dbraw/zinc/36/01/49/407360149.db2.gz TUEODWIASYWBSB-GXSJLCMTSA-N -1 1 319.340 1.152 20 0 DDADMM CCC[C@H](C)NC(=O)[C@@H](C)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127208878 407430534 /nfs/dbraw/zinc/43/05/34/407430534.db2.gz SDAIKJLVEUHRCG-DTWKUNHWSA-N -1 1 314.411 1.149 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cccc(C)c2)c1 ZINC000151706416 407481185 /nfs/dbraw/zinc/48/11/85/407481185.db2.gz HFYAUCITAIFZJN-UHFFFAOYSA-N -1 1 309.343 1.853 20 0 DDADMM Cc1ccc(O)c(C[N@H+]2CCC[C@@H]2C(=O)NCc2ccco2)n1 ZINC000128161498 407504657 /nfs/dbraw/zinc/50/46/57/407504657.db2.gz HFYRINAGNMACDG-OAHLLOKOSA-N -1 1 315.373 1.969 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCO[C@H](c2cccc(O)c2)C1 ZINC000151498489 407448445 /nfs/dbraw/zinc/44/84/45/407448445.db2.gz PJEFBRMMNLTTJM-HNNXBMFYSA-N -1 1 300.314 1.707 20 0 DDADMM COC[C@H](C)CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000195490155 407462121 /nfs/dbraw/zinc/46/21/21/407462121.db2.gz SBVKRYVVCHJENJ-SNVBAGLBSA-N -1 1 320.393 1.383 20 0 DDADMM COc1cccc(N2CC[C@H](CNC(=O)c3cnn[nH]3)C2)c1 ZINC000171019764 407572176 /nfs/dbraw/zinc/57/21/76/407572176.db2.gz ZTBOQZCYNMBDDN-LLVKDONJSA-N -1 1 301.350 1.070 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@H](O)C[C@@H]1c1cccc(F)c1 ZINC000225490079 407591355 /nfs/dbraw/zinc/59/13/55/407591355.db2.gz ZGOAGJSKIFMKEM-HUUCEWRRSA-N -1 1 302.305 1.874 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC ZINC000186131542 407583265 /nfs/dbraw/zinc/58/32/65/407583265.db2.gz CCRNEGJDKZJAMG-JTQLQIEISA-N -1 1 321.345 1.975 20 0 DDADMM Cn1cccc([N-]S(=O)(=O)c2ccc(Cl)cc2F)c1=O ZINC000114119601 407542359 /nfs/dbraw/zinc/54/23/59/407542359.db2.gz NAUMPVXWUIYZEY-UHFFFAOYSA-N -1 1 316.741 1.979 20 0 DDADMM O=C(CCOCc1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129474501 407611097 /nfs/dbraw/zinc/61/10/97/407611097.db2.gz VOEODJCVILMGFN-AWEZNQCLSA-N -1 1 315.377 1.513 20 0 DDADMM CCc1ccccc1OCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129515696 407617682 /nfs/dbraw/zinc/61/76/82/407617682.db2.gz PGDZRNHAIGDKQW-CYBMUJFWSA-N -1 1 315.377 1.547 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)C2CCCC2)co1 ZINC000178921911 407657458 /nfs/dbraw/zinc/65/74/58/407657458.db2.gz VVQKTACYOSPJNR-VIFPVBQESA-N -1 1 300.380 1.496 20 0 DDADMM CC[C@H]1CC[C@@H](C)N1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000271406689 407674916 /nfs/dbraw/zinc/67/49/16/407674916.db2.gz JOTRZHZGPTTZHS-KOLCDFICSA-N -1 1 300.380 1.591 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CC[C@H](C)CC2)c1 ZINC000152859910 407704246 /nfs/dbraw/zinc/70/42/46/407704246.db2.gz IHWJIIOFETUONZ-JGZJWPJOSA-N -1 1 301.364 1.923 20 0 DDADMM Cn1nnnc1Sc1ccc(Cl)cc1[N-]S(C)(=O)=O ZINC000186571154 407706286 /nfs/dbraw/zinc/70/62/86/407706286.db2.gz JOEJBPVKCBNCLU-UHFFFAOYSA-N -1 1 319.799 1.386 20 0 DDADMM CCOc1cc(C(=O)NCCC(=O)N(C)C)cc(Cl)c1[O-] ZINC000186635190 407727576 /nfs/dbraw/zinc/72/75/76/407727576.db2.gz CVRTUYYDUGFEJI-UHFFFAOYSA-N -1 1 314.769 1.652 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cc(-c3ccco3)on2)n[n-]1 ZINC000153127023 407760079 /nfs/dbraw/zinc/76/00/79/407760079.db2.gz KMAMUIDYESDOQP-UHFFFAOYSA-N -1 1 308.319 1.589 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1ccccc1-n1cccn1 ZINC000171806918 407771406 /nfs/dbraw/zinc/77/14/06/407771406.db2.gz BXFBAVYLTCZAMG-GFCCVEGCSA-N -1 1 307.375 1.793 20 0 DDADMM C[C@H](Cn1ncc2ccccc2c1=O)NC(=O)c1cncc([O-])c1 ZINC000179400595 407783229 /nfs/dbraw/zinc/78/32/29/407783229.db2.gz FFWVOISWUIVUQW-LLVKDONJSA-N -1 1 324.340 1.316 20 0 DDADMM CC(C)C[C@H](CCO)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179545724 407804731 /nfs/dbraw/zinc/80/47/31/407804731.db2.gz HBZNBYOJNALNSF-NSHDSACASA-N -1 1 320.393 1.448 20 0 DDADMM C[C@H](NC(=O)c1ccoc1)C(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000132855002 407806590 /nfs/dbraw/zinc/80/65/90/407806590.db2.gz GLEAGIXJRMLVLC-QMMMGPOBSA-N -1 1 315.289 1.415 20 0 DDADMM COc1cc(C(=O)N2CCC[N@H+](C3CC3)CC2)cc(OC)c1O ZINC000179725752 407824169 /nfs/dbraw/zinc/82/41/69/407824169.db2.gz RWXOHKFZVSEHLQ-UHFFFAOYSA-N -1 1 320.389 1.720 20 0 DDADMM CCCOc1ccc(C(=O)[O-])cc1NS(=O)(=O)CCOC ZINC000267844066 407855496 /nfs/dbraw/zinc/85/54/96/407855496.db2.gz AARLZUAYBXKBEN-UHFFFAOYSA-N -1 1 317.363 1.562 20 0 DDADMM CCOc1cccc(CNC(=O)CN2CCC(C(=O)[O-])CC2)c1 ZINC000262672664 407896875 /nfs/dbraw/zinc/89/68/75/407896875.db2.gz SGNSFHACYBJZJC-UHFFFAOYSA-N -1 1 320.389 1.498 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C[C@@H]1O ZINC000188108776 407915636 /nfs/dbraw/zinc/91/56/36/407915636.db2.gz OANSUAXCOUNCEH-ZJUUUORDSA-N -1 1 307.774 1.917 20 0 DDADMM C[C@@H](C[S@](C)=O)NC(=O)c1ccc(Br)c([O-])c1 ZINC000181685002 408026180 /nfs/dbraw/zinc/02/61/80/408026180.db2.gz XALPUGHPSHCWHA-SKLCBMLGSA-N -1 1 320.208 1.652 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2cc(C3CC3)n[nH]2)cc1 ZINC000268458703 408052550 /nfs/dbraw/zinc/05/25/50/408052550.db2.gz DHGBXYZCFSZNBS-UHFFFAOYSA-N -1 1 320.374 1.448 20 0 DDADMM C[C@H](NC(=O)c1ccc([O-])cc1F)C(=O)NCc1ccccc1 ZINC000154632444 408064426 /nfs/dbraw/zinc/06/44/26/408064426.db2.gz SUGIEUMHHYIQAH-NSHDSACASA-N -1 1 316.332 1.966 20 0 DDADMM Cc1nc(CC(=O)Nc2cc(-c3nccn3C)n[nH]2)cs1 ZINC000119506620 408083291 /nfs/dbraw/zinc/08/32/91/408083291.db2.gz PWBXWPOMOIBUOA-UHFFFAOYSA-N -1 1 302.363 1.756 20 0 DDADMM O=C([O-])CCCCC(=O)NCCN1CCc2ccccc2C1 ZINC000263337304 408111642 /nfs/dbraw/zinc/11/16/42/408111642.db2.gz WQGYXHAUYABOFY-UHFFFAOYSA-N -1 1 304.390 1.806 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1Oc2ccccc2[C@@H]1C)c1nn[n-]n1 ZINC000246088811 408138271 /nfs/dbraw/zinc/13/82/71/408138271.db2.gz JGVBWFLNWVOFMD-GAFUQQFSSA-N -1 1 301.350 1.722 20 0 DDADMM CCOc1ccc(S(=O)(=O)[N-]c2ccnc(N(C)C)c2)cn1 ZINC000273293725 408141979 /nfs/dbraw/zinc/14/19/79/408141979.db2.gz ZQGHXLKNRMROFE-UHFFFAOYSA-N -1 1 322.390 1.742 20 0 DDADMM C[C@@H]1CN(C(C)(C)CNc2cnc(C(=O)[O-])cn2)C[C@@H](C)O1 ZINC000263462542 408146111 /nfs/dbraw/zinc/14/61/11/408146111.db2.gz CAJQPCJARSGDJR-GHMZBOCLSA-N -1 1 308.382 1.475 20 0 DDADMM COc1ccc(C[C@@H](CO)C[N-]C(=O)C(F)(F)F)cc1O ZINC000268687791 408157194 /nfs/dbraw/zinc/15/71/94/408157194.db2.gz HXQGWWLZAMDRCR-SECBINFHSA-N -1 1 307.268 1.230 20 0 DDADMM CCc1nc(C2CCN(C(=O)[C@@H]3[C@H](C(=O)[O-])C3(C)C)CC2)n[nH]1 ZINC000263544943 408169248 /nfs/dbraw/zinc/16/92/48/408169248.db2.gz GHVXVQTXTZFOOD-NWDGAFQWSA-N -1 1 320.393 1.430 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc2c([nH]c1=O)CCCC2)c1nn[n-]n1 ZINC000273445202 408201253 /nfs/dbraw/zinc/20/12/53/408201253.db2.gz BPDSITLRYMCLNF-VIFPVBQESA-N -1 1 316.365 1.055 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@H]1CCCc2sccc21 ZINC000157082918 408287999 /nfs/dbraw/zinc/28/79/99/408287999.db2.gz BPATVBOGZJAJBL-JTQLQIEISA-N -1 1 306.391 1.328 20 0 DDADMM C[C@H]1CN(C2CC2)C[C@@H]1NS(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000273697723 408288670 /nfs/dbraw/zinc/28/86/70/408288670.db2.gz JIRLHBXLRUPCOH-HZMBPMFUSA-N -1 1 324.402 1.146 20 0 DDADMM C[C@H](Cn1cncn1)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131621419 162019444 /nfs/dbraw/zinc/01/94/44/162019444.db2.gz AYRJYGISONMVGJ-MRVPVSSYSA-N -1 1 318.761 1.438 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC1Cc3ccccc3C1)c2=O ZINC000131937149 162026174 /nfs/dbraw/zinc/02/61/74/162026174.db2.gz HSHZKWJKLWWXLX-UHFFFAOYSA-N -1 1 308.341 1.571 20 0 DDADMM CN(Cc1nccn1CC(F)(F)F)C(=O)c1ncccc1[O-] ZINC000264039055 408352650 /nfs/dbraw/zinc/35/26/50/408352650.db2.gz PJIXWGVVKYSHNN-UHFFFAOYSA-N -1 1 314.267 1.818 20 0 DDADMM CCSc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccn1 ZINC000183392981 408397967 /nfs/dbraw/zinc/39/79/67/408397967.db2.gz LOGWRCONHRMXPZ-SECBINFHSA-N -1 1 306.395 1.582 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1c[nH]c2ccccc12)c1nn[n-]n1 ZINC000183439768 408413629 /nfs/dbraw/zinc/41/36/29/408413629.db2.gz BTFNOWPMHHMRHI-NSHDSACASA-N -1 1 312.377 1.876 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)Oc1ccccc1Cl)c1nn[n-]n1 ZINC000183450316 408416875 /nfs/dbraw/zinc/41/68/75/408416875.db2.gz LDTHFWSNTSUGNO-NXEZZACHSA-N -1 1 323.784 1.883 20 0 DDADMM CCC[C@H](NC(=O)c1cc(OC)cc(OC)c1)c1nn[n-]n1 ZINC000176752178 408431879 /nfs/dbraw/zinc/43/18/79/408431879.db2.gz XZOVULFQJRGWJQ-LBPRGKRZSA-N -1 1 305.338 1.488 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)Oc1cccc(F)c1)c1nn[n-]n1 ZINC000176779752 408442716 /nfs/dbraw/zinc/44/27/16/408442716.db2.gz DHYFNNALFMFPLT-CABZTGNLSA-N -1 1 307.329 1.764 20 0 DDADMM C[C@@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])c1ccccc1 ZINC000183876688 408504973 /nfs/dbraw/zinc/50/49/73/408504973.db2.gz RSGAZLYVRYQSCT-SNVBAGLBSA-N -1 1 320.370 1.782 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(C(F)(F)F)o1 ZINC000274766756 408524613 /nfs/dbraw/zinc/52/46/13/408524613.db2.gz KXSAUAUWARDJDE-ZCFIWIBFSA-N -1 1 317.271 1.996 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)N(C)c1ccn(C)n1 ZINC000274776216 408526294 /nfs/dbraw/zinc/52/62/94/408526294.db2.gz KMWHCPUJXZSEJH-UHFFFAOYSA-N -1 1 322.390 1.458 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+]2[C@H](C(=O)[O-])C[C@@H]3CCCC[C@@H]32)n1 ZINC000248571405 408590515 /nfs/dbraw/zinc/59/05/15/408590515.db2.gz SGPZRPGPEXKPEC-DRZSPHRISA-N -1 1 305.378 1.962 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@@H+]2[C@H](C(=O)[O-])C[C@@H]3CCCC[C@@H]32)n1 ZINC000248571405 408590518 /nfs/dbraw/zinc/59/05/18/408590518.db2.gz SGPZRPGPEXKPEC-DRZSPHRISA-N -1 1 305.378 1.962 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H]1OC(=O)c2ccccc21)c1nn[n-]n1 ZINC000274800749 408535576 /nfs/dbraw/zinc/53/55/76/408535576.db2.gz SMSWFFFPPWPMFG-BXKDBHETSA-N -1 1 315.333 1.063 20 0 DDADMM COC(=O)[C@@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC000177715655 408692753 /nfs/dbraw/zinc/69/27/53/408692753.db2.gz ASZSLVZNGUEEPY-RXMQYKEDSA-N -1 1 313.162 1.228 20 0 DDADMM O=C(N[C@@]1(CO)CCOC1)c1ccc(Br)cc1[O-] ZINC000185241020 408786205 /nfs/dbraw/zinc/78/62/05/408786205.db2.gz AUIREOFZJFQLPP-GFCCVEGCSA-N -1 1 316.151 1.036 20 0 DDADMM C/C=C/C[C@H](CO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000185280874 408791299 /nfs/dbraw/zinc/79/12/99/408791299.db2.gz DEEMROLQPGMTQI-UFUPEUMYSA-N -1 1 309.309 1.709 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)[C@@H]1CCSC1 ZINC000162987317 408751245 /nfs/dbraw/zinc/75/12/45/408751245.db2.gz MODGHVLUCYDUNK-SNVBAGLBSA-N -1 1 309.435 1.953 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2ccncc2C)o1 ZINC000167708910 408817848 /nfs/dbraw/zinc/81/78/48/408817848.db2.gz POXVDARNZKPYQN-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM Cc1noc(NC(=O)CSc2nc(C3CC3)cc(=O)[n-]2)n1 ZINC000276334213 408837135 /nfs/dbraw/zinc/83/71/35/408837135.db2.gz LLBZGDAWMSKMHI-UHFFFAOYSA-N -1 1 307.335 1.482 20 0 DDADMM CS[C@@H]1CCC[C@@H]([N-]S(=O)(=O)c2c(C)onc2N)C1 ZINC000290821681 408839621 /nfs/dbraw/zinc/83/96/21/408839621.db2.gz TUYKFUGQBKWPDX-RKDXNWHRSA-N -1 1 305.425 1.518 20 0 DDADMM Cc1cc(N2CCC(c3n[n-]c(=O)o3)CC2)nc(C2CC2)n1 ZINC000285829699 408872259 /nfs/dbraw/zinc/87/22/59/408872259.db2.gz ZWKKNZNGAHYEPP-UHFFFAOYSA-N -1 1 301.350 1.723 20 0 DDADMM CC(C)[C@H](O)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000291661985 408903222 /nfs/dbraw/zinc/90/32/22/408903222.db2.gz KURSAKBHSDGULU-NSHDSACASA-N -1 1 304.343 1.153 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2OC[C@H]3COCC[C@@H]32)c1 ZINC000291693785 408908910 /nfs/dbraw/zinc/90/89/10/408908910.db2.gz YHZGIGJFWZRNQF-UHIISALHSA-N -1 1 321.329 1.169 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000291719499 408911558 /nfs/dbraw/zinc/91/15/58/408911558.db2.gz BCPCWMMBRGXAKD-QWRGUYRKSA-N -1 1 309.757 1.544 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC000187144325 163006088 /nfs/dbraw/zinc/00/60/88/163006088.db2.gz LEYYHTCKSWUJRN-HNNXBMFYSA-N -1 1 310.369 1.874 20 0 DDADMM CCOC(=O)C1CCC(NC(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000285907780 408887868 /nfs/dbraw/zinc/88/78/68/408887868.db2.gz FDFNMQXWWRGAQY-UHFFFAOYSA-N -1 1 319.357 1.813 20 0 DDADMM CCN(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000188448860 163053192 /nfs/dbraw/zinc/05/31/92/163053192.db2.gz IJQOFJWZRYKYHQ-ZETCQYMHSA-N -1 1 324.324 1.249 20 0 DDADMM O=C(NC1CCN(c2nccs2)CC1)c1ncccc1[O-] ZINC000281789594 408928845 /nfs/dbraw/zinc/92/88/45/408928845.db2.gz VOCBINQIVNRFRL-UHFFFAOYSA-N -1 1 304.375 1.643 20 0 DDADMM O=c1cc(CN2CCOC[C@@]23CCOC3)c2ccc([O-])cc2o1 ZINC000193732424 163267432 /nfs/dbraw/zinc/26/74/32/163267432.db2.gz XEZZKQIQUAGRQY-KRWDZBQOSA-N -1 1 317.341 1.490 20 0 DDADMM CC[C@](C)(OC)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000291844367 408931978 /nfs/dbraw/zinc/93/19/78/408931978.db2.gz DEBYLXCOZYCZPI-ZDUSSCGKSA-N -1 1 316.379 1.054 20 0 DDADMM O=C(CSc1nc(C2CC2)cc(=O)[n-]1)NC[C@H]1CCCO1 ZINC000277762954 409006898 /nfs/dbraw/zinc/00/68/98/409006898.db2.gz RYNVQEUDTIERGQ-SNVBAGLBSA-N -1 1 309.391 1.447 20 0 DDADMM CC[C@H](C)[C@@H]([N-]S(=O)(=O)c1cn(C)nc1C)C(F)(F)F ZINC000287246702 409007709 /nfs/dbraw/zinc/00/77/09/409007709.db2.gz HGFAHGYMPXZSBF-OIBJUYFYSA-N -1 1 313.345 1.984 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1)c1cccnc1 ZINC000282857218 409068195 /nfs/dbraw/zinc/06/81/95/409068195.db2.gz GZAPYPDHFCCMBH-PWSUYJOCSA-N -1 1 301.350 1.003 20 0 DDADMM CO[C@](C)(CNC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000292510750 409033864 /nfs/dbraw/zinc/03/38/64/409033864.db2.gz NHDFCFAIKQTOCZ-CQSZACIVSA-N -1 1 306.391 1.563 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCCSC2)o1 ZINC000287482460 409043708 /nfs/dbraw/zinc/04/37/08/409043708.db2.gz QLNPKVDKCYAXCO-SECBINFHSA-N -1 1 319.404 1.488 20 0 DDADMM C[C@@H](NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)[C@H]1CCCCO1 ZINC000287649198 409070066 /nfs/dbraw/zinc/07/00/66/409070066.db2.gz OZISHVCZPGOIRV-WOZSERQXSA-N -1 1 303.366 1.421 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(NCC2CC2)nc1)c1nn[n-]n1 ZINC000283129903 409094138 /nfs/dbraw/zinc/09/41/38/409094138.db2.gz IBOUNYNOTXHUHL-LBPRGKRZSA-N -1 1 315.381 1.688 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)cc1 ZINC000283181071 409106128 /nfs/dbraw/zinc/10/61/28/409106128.db2.gz HGLHGXBOHMSQQA-GFCCVEGCSA-N -1 1 315.377 1.184 20 0 DDADMM CN(C[C@@H]1CCC[C@@H]1O)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283590740 409181517 /nfs/dbraw/zinc/18/15/17/409181517.db2.gz XQBURIMAARIFQJ-ONGXEEELSA-N -1 1 306.391 1.251 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)c1c(F)cccc1F ZINC000289377161 409256907 /nfs/dbraw/zinc/25/69/07/409256907.db2.gz NFHWLGZRZYTAJE-LURJTMIESA-N -1 1 317.317 1.883 20 0 DDADMM C[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@@]2(CCOC2)O1 ZINC000289387489 409260461 /nfs/dbraw/zinc/26/04/61/409260461.db2.gz ONVXHVNLHVXHOD-RFAUZJTJSA-N -1 1 313.300 1.690 20 0 DDADMM C[C@H]1OCC[C@]12CN(C(=O)c1ccc([O-])cc1F)C[C@@H](C)O2 ZINC000279408327 409208167 /nfs/dbraw/zinc/20/81/67/409208167.db2.gz SFTHUQOLKRNGQK-UVWXRNBGSA-N -1 1 309.337 1.940 20 0 DDADMM CC[C@H]1CO[C@@H](C)CN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295116348 409329078 /nfs/dbraw/zinc/32/90/78/409329078.db2.gz LUYVTKJFZBSAGL-ONGXEEELSA-N -1 1 306.391 1.658 20 0 DDADMM C[C@H](Cn1cncn1)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284063264 409268311 /nfs/dbraw/zinc/26/83/11/409268311.db2.gz YPSZMUNFYZCRTK-MRVPVSSYSA-N -1 1 318.761 1.438 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)OCCc1ccccc1)c1nn[n-]n1 ZINC000295981195 409389326 /nfs/dbraw/zinc/38/93/26/409389326.db2.gz LEXOQZPGZHMJBJ-OLZOCXBDSA-N -1 1 317.393 1.409 20 0 DDADMM CCCc1cc(C(=O)[N-]c2nnc(CC(=O)OCC)s2)no1 ZINC000295533274 409334213 /nfs/dbraw/zinc/33/42/13/409334213.db2.gz SVPYMMDGYRMSSM-UHFFFAOYSA-N -1 1 324.362 1.837 20 0 DDADMM CN(C)C(=O)O[C@H]1CCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000295355285 409448167 /nfs/dbraw/zinc/44/81/67/409448167.db2.gz FJWJRXXOOULIRS-JTQLQIEISA-N -1 1 312.753 1.958 20 0 DDADMM CO[C@H](C[N@H+]1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ccccc1 ZINC000295407688 409471681 /nfs/dbraw/zinc/47/16/81/409471681.db2.gz SJNMDWGZXXWONJ-UONOGXRCSA-N -1 1 303.362 1.930 20 0 DDADMM CCOc1ccc([C@H](C)NCc2nc(=O)n(C)[n-]2)cc1OCC ZINC000347544221 164098849 /nfs/dbraw/zinc/09/88/49/164098849.db2.gz JHOIGGZEKDEBHO-NSHDSACASA-N -1 1 320.393 1.757 20 0 DDADMM C[C@@H]1CCCN(C(=O)C[N@H+]2CCC[C@H](c3n[n-]c(=O)o3)C2)C1 ZINC000408007367 164195952 /nfs/dbraw/zinc/19/59/52/164195952.db2.gz COCNDRIYXJBSQS-NEPJUHHUSA-N -1 1 308.382 1.213 20 0 DDADMM CCc1ccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1F ZINC000353879589 409535589 /nfs/dbraw/zinc/53/55/89/409535589.db2.gz JIDDWMNNSDRQDW-UHFFFAOYSA-N -1 1 301.281 1.371 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2cc(-c3ccco3)on2)[n-]1 ZINC000353893228 409544814 /nfs/dbraw/zinc/54/48/14/409544814.db2.gz INUJNYURZAOZLZ-UHFFFAOYSA-N -1 1 324.318 1.173 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2cc(-c3ccco3)on2)n[n-]1 ZINC000353893228 409544824 /nfs/dbraw/zinc/54/48/24/409544824.db2.gz INUJNYURZAOZLZ-UHFFFAOYSA-N -1 1 324.318 1.173 20 0 DDADMM CCC[C@H]1C[C@@H](C(=O)Nc2nc(SCCO)n[nH]2)CCO1 ZINC000356759842 409578995 /nfs/dbraw/zinc/57/89/95/409578995.db2.gz DPRWBPVKVKQRRG-UWVGGRQHSA-N -1 1 314.411 1.423 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])[C@@H]1C ZINC000337940492 409584603 /nfs/dbraw/zinc/58/46/03/409584603.db2.gz KGTIALRHTAXEFO-UTUOFQBUSA-N -1 1 305.378 1.458 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc2c(c1)CCO2 ZINC000337948214 409592386 /nfs/dbraw/zinc/59/23/86/409592386.db2.gz UUBLQBDSGOMVKU-UHFFFAOYSA-N -1 1 313.313 1.091 20 0 DDADMM CCN(Cc1cnn(C)c1)C(=O)c1c(C)[n-]c(=O)nc1SC ZINC000354091346 409644739 /nfs/dbraw/zinc/64/47/39/409644739.db2.gz IPIXDOQZSCXTNL-UHFFFAOYSA-N -1 1 321.406 1.608 20 0 DDADMM CO[C@@H]1CCC[C@H]1CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000342459904 409651082 /nfs/dbraw/zinc/65/10/82/409651082.db2.gz KPQAUAHPJHMQMQ-VHSXEESVSA-N -1 1 311.407 1.757 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@H](O)C1)c1cccc(F)c1F ZINC000305196227 409727544 /nfs/dbraw/zinc/72/75/44/409727544.db2.gz ISFHWZFULCAIBI-UWVGGRQHSA-N -1 1 305.346 1.794 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(OC(F)F)cn1)c1nn[n-]n1 ZINC000356937753 409732810 /nfs/dbraw/zinc/73/28/10/409732810.db2.gz RIHQGPVCSWRLIO-MRVPVSSYSA-N -1 1 312.280 1.467 20 0 DDADMM O=C(NC[C@@H]1CCC[C@@H](CO)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000342578943 409738222 /nfs/dbraw/zinc/73/82/22/409738222.db2.gz CVJSMVNORCVCKS-VXGBXAGGSA-N -1 1 315.373 1.452 20 0 DDADMM CCOC(=O)C1(CNC(=O)c2csc(=NC3CC3)[n-]2)CC1 ZINC000354292527 409747019 /nfs/dbraw/zinc/74/70/19/409747019.db2.gz DNOUZRGAUPMOAD-UHFFFAOYSA-N -1 1 309.391 1.791 20 0 DDADMM CN(CC[C@H]1CCCO1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000346120222 409799793 /nfs/dbraw/zinc/79/97/93/409799793.db2.gz DCRXPLMDPDTYQL-SNVBAGLBSA-N -1 1 304.350 1.315 20 0 DDADMM C[C@@H](c1ccccc1)N1C[C@@H](C(=O)[N-]OC(C)(C)CO)CC1=O ZINC000297223960 409860423 /nfs/dbraw/zinc/86/04/23/409860423.db2.gz NZZPWFYRFSCZHR-JSGCOSHPSA-N -1 1 320.389 1.415 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc(C)cc2)C1 ZINC000319200335 409890617 /nfs/dbraw/zinc/89/06/17/409890617.db2.gz NVHATSVLQNGRIC-INIZCTEOSA-N -1 1 306.362 1.357 20 0 DDADMM COc1cccc(NC(=O)CN2CC[C@@H](C(=O)[O-])[C@@H](C)C2)c1 ZINC000319356573 409893248 /nfs/dbraw/zinc/89/32/48/409893248.db2.gz NVDIJYHBXPWTEB-SMDDNHRTSA-N -1 1 306.362 1.676 20 0 DDADMM O=C(NC1CCC(C(=O)Nc2nnn[n-]2)CC1)C1CCCC1 ZINC000342856121 409951432 /nfs/dbraw/zinc/95/14/32/409951432.db2.gz CFMXBRKEFGTEDW-UHFFFAOYSA-N -1 1 306.370 1.003 20 0 DDADMM O=C(NC1CCC(C(=O)Nc2nn[n-]n2)CC1)C1CCCC1 ZINC000342856121 409951442 /nfs/dbraw/zinc/95/14/42/409951442.db2.gz CFMXBRKEFGTEDW-UHFFFAOYSA-N -1 1 306.370 1.003 20 0 DDADMM C[C@H](Oc1cccnc1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332167679 409944016 /nfs/dbraw/zinc/94/40/16/409944016.db2.gz KXTZXHKJAKHGSZ-QWRGUYRKSA-N -1 1 318.333 1.344 20 0 DDADMM CS(=O)(=O)C[C@@H](NC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000346388489 409950189 /nfs/dbraw/zinc/95/01/89/409950189.db2.gz QGEGCHIKHYEBHX-CQSZACIVSA-N -1 1 320.370 1.303 20 0 DDADMM Cc1cnc(C(=O)N2CCN(c3ccccc3O)CC2)c([O-])c1 ZINC000342950778 410021689 /nfs/dbraw/zinc/02/16/89/410021689.db2.gz JFHSPYUBOORGOR-UHFFFAOYSA-N -1 1 313.357 1.764 20 0 DDADMM CCN1CCOC[C@@H]1C(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000328970860 410028381 /nfs/dbraw/zinc/02/83/81/410028381.db2.gz BJJJCXRCPCTPHJ-LLVKDONJSA-N -1 1 306.391 1.219 20 0 DDADMM COCc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1 ZINC000332286091 410041459 /nfs/dbraw/zinc/04/14/59/410041459.db2.gz FQKYAZFLEYNHDS-ZDUSSCGKSA-N -1 1 317.345 1.941 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1(C)C ZINC000342987978 410055213 /nfs/dbraw/zinc/05/52/13/410055213.db2.gz IEHYWRFSMXOHPM-ZWKOPEQDSA-N -1 1 315.373 1.712 20 0 DDADMM O=C(NCC1CCSCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000354747693 410055312 /nfs/dbraw/zinc/05/53/12/410055312.db2.gz YYIDMZRZYLMODK-UHFFFAOYSA-N -1 1 303.387 1.796 20 0 DDADMM Cc1cc(C)c(OCC(=O)NC(C)(C)c2nn[n-]n2)c(C)c1 ZINC000354781852 410082007 /nfs/dbraw/zinc/08/20/07/410082007.db2.gz ATFGMGVCDCLWSO-UHFFFAOYSA-N -1 1 303.366 1.555 20 0 DDADMM O=C(C(=O)N1CCC[C@H](c2nnc[nH]2)C1)c1ccc([O-])cc1 ZINC000332378625 410110803 /nfs/dbraw/zinc/11/08/03/410110803.db2.gz AMDVUGIISOFUTQ-NSHDSACASA-N -1 1 300.318 1.099 20 0 DDADMM CO[C@@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ccccc1 ZINC000332383771 410116531 /nfs/dbraw/zinc/11/65/31/410116531.db2.gz BJPMSZOFIFOAIG-CHWSQXEVSA-N -1 1 317.345 1.869 20 0 DDADMM O=C1NC(=O)N2CC[N@H+](Cc3cc(-c4ccccc4)n[nH]3)C[C@@H]12 ZINC000329281482 410210660 /nfs/dbraw/zinc/21/06/60/410210660.db2.gz MNMFWMLSLHTXTK-AWEZNQCLSA-N -1 1 311.345 1.653 20 0 DDADMM COC[C@@H](CNC(=O)CCc1nn[n-]n1)c1ccc(Cl)cc1 ZINC000633310933 422856074 /nfs/dbraw/zinc/85/60/74/422856074.db2.gz NICWBTRUAMTJQF-LLVKDONJSA-N -1 1 323.784 1.332 20 0 DDADMM COc1cc(NC(=O)NC2CCC2)ccc1[N-]S(C)(=O)=O ZINC000355005678 410235883 /nfs/dbraw/zinc/23/58/83/410235883.db2.gz MFMTYPYNNVIGFE-UHFFFAOYSA-N -1 1 313.379 1.741 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Br)c([O-])c2)C[C@H]1O ZINC000351859895 410297105 /nfs/dbraw/zinc/29/71/05/410297105.db2.gz PGXNPXOUUCTTIY-WRWORJQWSA-N -1 1 300.152 1.608 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2cc(C(=O)[O-])c(O)cc2C)cn1 ZINC000343278808 410304435 /nfs/dbraw/zinc/30/44/35/410304435.db2.gz YFSQFBJUFLULBU-UHFFFAOYSA-N -1 1 323.330 1.298 20 0 DDADMM COC[C@@H](C)N(C)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000298718457 410364475 /nfs/dbraw/zinc/36/44/75/410364475.db2.gz LHAYYDDJRURZBJ-SECBINFHSA-N -1 1 313.423 1.638 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)c1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000358388683 410458112 /nfs/dbraw/zinc/45/81/12/410458112.db2.gz LJWGOTFIPQJSCT-RKDXNWHRSA-N -1 1 309.320 1.844 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C)O[C@]3(CCO[C@@H]3C)C2)c([O-])c1 ZINC000329848604 410460324 /nfs/dbraw/zinc/46/03/24/410460324.db2.gz NJRNGEHGCAMMFC-HWWQOWPSSA-N -1 1 306.362 1.504 20 0 DDADMM Cc1ccc(CCNC(=O)CNC(=O)c2ncccc2[O-])cc1 ZINC000343403869 410427951 /nfs/dbraw/zinc/42/79/51/410427951.db2.gz YHFWOVZZZKESQI-UHFFFAOYSA-N -1 1 313.357 1.184 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)CO[C@@H]2CCOC2)sc1C ZINC000333243913 410440411 /nfs/dbraw/zinc/44/04/11/410440411.db2.gz IYDFVIWKCZUPCL-LDYMZIIASA-N -1 1 320.436 1.232 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC[C@H]1C[C@H]1C ZINC000299082187 410511776 /nfs/dbraw/zinc/51/17/76/410511776.db2.gz XSSNTJFHAMTMRY-ZWNOBZJWSA-N -1 1 312.373 1.867 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)c1cccc(=O)[nH]1 ZINC000339953425 410572450 /nfs/dbraw/zinc/57/24/50/410572450.db2.gz QXOCGXHYZLJURV-UHFFFAOYSA-N -1 1 313.317 1.082 20 0 DDADMM COc1cc([C@H](C)NCc2nc(=O)n(C)[n-]2)ccc1OC(C)C ZINC000347538310 410604010 /nfs/dbraw/zinc/60/40/10/410604010.db2.gz SNIZFGNKOODMRU-NSHDSACASA-N -1 1 320.393 1.755 20 0 DDADMM CC[C@H]1CCN1C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000347641863 410647151 /nfs/dbraw/zinc/64/71/51/410647151.db2.gz ZVBNYKRHCPETHF-JTQLQIEISA-N -1 1 314.407 1.981 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)CC2CCCCC2)CCSC1 ZINC000340073157 410656319 /nfs/dbraw/zinc/65/63/19/410656319.db2.gz VWFVXNUWJYULGF-CYBMUJFWSA-N -1 1 321.464 1.535 20 0 DDADMM O=c1nc(CCCNc2nc(-c3ccccc3)ns2)[nH][n-]1 ZINC000301529492 410738575 /nfs/dbraw/zinc/73/85/75/410738575.db2.gz JFXXZHRQCFWBGU-UHFFFAOYSA-N -1 1 302.363 1.661 20 0 DDADMM Cc1cccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1F ZINC000347734183 410689101 /nfs/dbraw/zinc/68/91/01/410689101.db2.gz LSSMGXGADLEDOD-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM CCCC[C@H](CCC)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343936949 410827208 /nfs/dbraw/zinc/82/72/08/410827208.db2.gz UKSMQNCKJKPDNI-LBPRGKRZSA-N -1 1 307.394 1.992 20 0 DDADMM Cc1nsc([N-]c2cnn(CC(=O)NC3CCCC3)c2)n1 ZINC000301921068 410829485 /nfs/dbraw/zinc/82/94/85/410829485.db2.gz FOOFEEWQOJTFAW-UHFFFAOYSA-N -1 1 306.395 1.845 20 0 DDADMM CCC[C@@H]1[C@@H](C)CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343959532 410850527 /nfs/dbraw/zinc/85/05/27/410850527.db2.gz BCWTYPXIPOLHQZ-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1nc(-c2ccc(N(C)CCC(N)=O)nc2)[n-]c(=O)c1C ZINC000343970281 410855595 /nfs/dbraw/zinc/85/55/95/410855595.db2.gz UCGFCUOAEQGYTR-UHFFFAOYSA-N -1 1 301.350 1.173 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@@H]1CC[C@@H]1C ZINC000343983458 410865016 /nfs/dbraw/zinc/86/50/16/410865016.db2.gz LFAMAJOCNRVAAH-IINYFYTJSA-N -1 1 312.373 1.598 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)Nc1nc(N(C)C)n[nH]1 ZINC000340969285 410874880 /nfs/dbraw/zinc/87/48/80/410874880.db2.gz DSSOHVIPBXADOR-UHFFFAOYSA-N -1 1 319.369 1.225 20 0 DDADMM COC(=O)Cn1cnc(-c2nc3cc(Cl)ccc3c(=O)[n-]2)n1 ZINC000341152872 410901155 /nfs/dbraw/zinc/90/11/55/410901155.db2.gz RZDXBWLMGWOULD-UHFFFAOYSA-N -1 1 319.708 1.420 20 0 DDADMM CCc1cccnc1NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000337599912 410886832 /nfs/dbraw/zinc/88/68/32/410886832.db2.gz NDIJTEMGLVXCAA-UHFFFAOYSA-N -1 1 309.347 1.397 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2cccc3c2OCO3)c1 ZINC000359855390 410917625 /nfs/dbraw/zinc/91/76/25/410917625.db2.gz KITNMWSPFJRESX-UHFFFAOYSA-N -1 1 321.310 1.914 20 0 DDADMM C[C@H]1[C@H](C(=O)NC(C)(C)c2nn[n-]n2)CCN1c1ccccc1 ZINC000356322149 410965284 /nfs/dbraw/zinc/96/52/84/410965284.db2.gz OECLZIMFQLIQED-WCQYABFASA-N -1 1 314.393 1.466 20 0 DDADMM CCOc1ccc(C(C)(C)C(=O)Nc2nnn[n-]2)cc1OCC ZINC000341321822 411002513 /nfs/dbraw/zinc/00/25/13/411002513.db2.gz NZXOTRQJZDULBV-UHFFFAOYSA-N -1 1 319.365 1.913 20 0 DDADMM CCOc1ccc(C(C)(C)C(=O)Nc2nn[n-]n2)cc1OCC ZINC000341321822 411002518 /nfs/dbraw/zinc/00/25/18/411002518.db2.gz NZXOTRQJZDULBV-UHFFFAOYSA-N -1 1 319.365 1.913 20 0 DDADMM Cc1cccc([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)c1C ZINC000353535364 411051192 /nfs/dbraw/zinc/05/11/92/411051192.db2.gz VWBVFTBWKGUWAS-LLVKDONJSA-N -1 1 311.345 1.525 20 0 DDADMM CS(=O)(=O)C[C@H]1CCN(Cc2cccc([O-])c2Cl)C1 ZINC000353588172 411074839 /nfs/dbraw/zinc/07/48/39/411074839.db2.gz CHHAUSAFGKUYLI-JTQLQIEISA-N -1 1 303.811 1.912 20 0 DDADMM COc1ccc(CNC2(c3nnn[n-]3)CCCC2)c(OC)c1 ZINC000344199446 411021116 /nfs/dbraw/zinc/02/11/16/411021116.db2.gz RFIYHDBPYOZCEE-UHFFFAOYSA-N -1 1 303.366 1.776 20 0 DDADMM COc1ccc(CNC2(c3nn[n-]n3)CCCC2)c(OC)c1 ZINC000344199446 411021121 /nfs/dbraw/zinc/02/11/21/411021121.db2.gz RFIYHDBPYOZCEE-UHFFFAOYSA-N -1 1 303.366 1.776 20 0 DDADMM CC[C@H](CNC(=O)CCc1nn[n-]n1)Oc1ccccc1C ZINC000631642145 422864615 /nfs/dbraw/zinc/86/46/15/422864615.db2.gz CJRXTDJZULOGPH-GFCCVEGCSA-N -1 1 303.366 1.415 20 0 DDADMM C=Cc1ccc(CCNS(=O)(=O)c2c(C)o[n-]c2=N)cc1 ZINC000360262370 411116928 /nfs/dbraw/zinc/11/69/28/411116928.db2.gz RSCODCBZVQZGLN-UHFFFAOYSA-N -1 1 307.375 1.560 20 0 DDADMM C=Cc1ccc(CC[N-]S(=O)(=O)c2c(C)onc2N)cc1 ZINC000360262370 411116930 /nfs/dbraw/zinc/11/69/30/411116930.db2.gz RSCODCBZVQZGLN-UHFFFAOYSA-N -1 1 307.375 1.560 20 0 DDADMM CCC[C@H]1[C@H](C)CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353730420 411119024 /nfs/dbraw/zinc/11/90/24/411119024.db2.gz UXOZWTJUATYUQY-PWSUYJOCSA-N -1 1 303.366 1.458 20 0 DDADMM C[C@@H]1c2cc(F)cc(F)c2CCN1C(=O)CCc1nn[n-]n1 ZINC000631777852 422917862 /nfs/dbraw/zinc/91/78/62/422917862.db2.gz PEGNOBHIIXMTJC-MRVPVSSYSA-N -1 1 307.304 1.556 20 0 DDADMM Cc1csc(CCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000580812929 422954180 /nfs/dbraw/zinc/95/41/80/422954180.db2.gz VZPPXIMNEZOWDE-SNVBAGLBSA-N -1 1 306.395 1.303 20 0 DDADMM CCCC[C@@](C)(CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000647670206 422992806 /nfs/dbraw/zinc/99/28/06/422992806.db2.gz OXOZYLDLDAFSBS-INIZCTEOSA-N -1 1 303.362 1.594 20 0 DDADMM CO[C@@H](C)c1nc(=NCC(C)(C)[C@]2(O)CCOC2)s[n-]1 ZINC000645365947 423007875 /nfs/dbraw/zinc/00/78/75/423007875.db2.gz LHOAIPFZXNYSGJ-ZANVPECISA-N -1 1 301.412 1.257 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccn(C)c2C)c1 ZINC000642991985 423017982 /nfs/dbraw/zinc/01/79/82/423017982.db2.gz BXVURNFHHLXERG-UHFFFAOYSA-N -1 1 323.374 1.200 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC[C@@H](O)C(C)C ZINC000134248576 196373321 /nfs/dbraw/zinc/37/33/21/196373321.db2.gz VVFPWRXBUVWXKY-CYBMUJFWSA-N -1 1 320.393 1.117 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(Cc2noc3c2CCCC3)C1 ZINC000652504961 423047867 /nfs/dbraw/zinc/04/78/67/423047867.db2.gz WCNLVZGVLIETLQ-MRXNPFEDSA-N -1 1 308.378 1.867 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCCN(C(=O)NCC(C)C)C1 ZINC000647815681 423058899 /nfs/dbraw/zinc/05/88/99/423058899.db2.gz HHKJMIFSYBADRY-NSHDSACASA-N -1 1 323.397 1.440 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@@H]1O)c1ncccc1C(F)(F)F ZINC000645554119 423079855 /nfs/dbraw/zinc/07/98/55/423079855.db2.gz VHQGJQSRBYVSTP-UWVGGRQHSA-N -1 1 324.324 1.682 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C(C)C)nn2C)cc(=O)[n-]1 ZINC000640658505 423117371 /nfs/dbraw/zinc/11/73/71/423117371.db2.gz OJSUIJDFNLICGB-UHFFFAOYSA-N -1 1 321.406 1.691 20 0 DDADMM Cc1cnc(C(=O)N2CCn3c(CC4CC4)nnc3C2)c([O-])c1 ZINC000652750108 423115485 /nfs/dbraw/zinc/11/54/85/423115485.db2.gz COKVDYFNIRKGEQ-UHFFFAOYSA-N -1 1 313.361 1.296 20 0 DDADMM NC(=O)[C@H]1C[C@@H](NC(=O)c2cc(Br)ccc2[O-])C1 ZINC000652797348 423138494 /nfs/dbraw/zinc/13/84/94/423138494.db2.gz HTPNFPGAWYDAEW-WRXNHJIOSA-N -1 1 313.151 1.148 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2ccon2)CCC(C)CC1 ZINC000360703152 418497192 /nfs/dbraw/zinc/49/71/92/418497192.db2.gz YJEAHVHMKPSAIJ-UHFFFAOYSA-N -1 1 316.379 1.216 20 0 DDADMM COc1cccc(CCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000366661524 418501699 /nfs/dbraw/zinc/50/16/99/418501699.db2.gz FZTVDSSOJDAYOB-CYBMUJFWSA-N -1 1 315.377 1.547 20 0 DDADMM Cn1cc2c(n1)CCC[C@H]2C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000374215469 418506349 /nfs/dbraw/zinc/50/63/49/418506349.db2.gz FARGTDLQPDACDR-ZCFIWIBFSA-N -1 1 314.271 1.616 20 0 DDADMM C[C@H](Sc1ccccn1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366734384 418510296 /nfs/dbraw/zinc/51/02/96/418510296.db2.gz CJNMWJMZYLGOJQ-QWRGUYRKSA-N -1 1 318.406 1.482 20 0 DDADMM O=C(c1cc(=O)[nH]c2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366730969 418510500 /nfs/dbraw/zinc/51/05/00/418510500.db2.gz IPJKVMOZOUNSPR-JTQLQIEISA-N -1 1 324.344 1.473 20 0 DDADMM O=C(NCc1ccc(C(=O)Nc2ccncc2)cc1)c1cnn[nH]1 ZINC000195122724 418552572 /nfs/dbraw/zinc/55/25/72/418552572.db2.gz YEKLSQLBFRLEPC-UHFFFAOYSA-N -1 1 322.328 1.382 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H](C)C(=O)NCC(F)(F)F)n1 ZINC000188121134 222007367 /nfs/dbraw/zinc/00/73/67/222007367.db2.gz WLMVUHKEUSRMGF-ZCFIWIBFSA-N -1 1 309.313 1.491 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)NC(=O)CC(C)(C)C)c1nn[n-]n1 ZINC000190155933 222069374 /nfs/dbraw/zinc/06/93/74/222069374.db2.gz JGDGHHPEMGJIAO-NXEZZACHSA-N -1 1 310.402 1.098 20 0 DDADMM CC[C@@]1(CO)CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000190453251 222078688 /nfs/dbraw/zinc/07/86/88/222078688.db2.gz MTXSHKDLLRYMOE-INIZCTEOSA-N -1 1 301.346 1.300 20 0 DDADMM Cc1cc(C)nc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000290708337 418578148 /nfs/dbraw/zinc/57/81/48/418578148.db2.gz OBHAHIGRCQIJDD-NSHDSACASA-N -1 1 316.327 1.988 20 0 DDADMM O=C(Cc1ccccc1)N1CC[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000367608943 418614520 /nfs/dbraw/zinc/61/45/20/418614520.db2.gz UGICQMIJBFINDN-LLVKDONJSA-N -1 1 300.280 1.509 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCCO[C@H]3CCC[C@H]31)c2=O ZINC000367991149 418670421 /nfs/dbraw/zinc/67/04/21/418670421.db2.gz UPOYEPTZSIJBPV-OLZOCXBDSA-N -1 1 316.361 1.458 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)Nc2cccc(OC)c2)n1 ZINC000361995092 418736293 /nfs/dbraw/zinc/73/62/93/418736293.db2.gz COWISPQDPZGNKR-UHFFFAOYSA-N -1 1 321.358 1.936 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ccc3ccccc3c2[O-])CCS1(=O)=O ZINC000389977456 418751711 /nfs/dbraw/zinc/75/17/11/418751711.db2.gz JMFZSPYTGUSDMH-QMTHXVAHSA-N -1 1 319.382 1.851 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCO[C@]3(CCSC3)C2)c([O-])c1 ZINC000408371720 418799828 /nfs/dbraw/zinc/79/98/28/418799828.db2.gz DDTTXMIKLHGHRF-IAQYHMDHSA-N -1 1 308.403 1.880 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1COc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000372835536 418907393 /nfs/dbraw/zinc/90/73/93/418907393.db2.gz HWVZSQYGAOTAFC-GZMMTYOYSA-N -1 1 321.768 1.591 20 0 DDADMM O=C(c1cc(-c2ccccc2)on1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000372839875 418907399 /nfs/dbraw/zinc/90/73/99/418907399.db2.gz SMOFFQXFGDBGTG-LBPRGKRZSA-N -1 1 324.344 1.875 20 0 DDADMM O=C(C(=O)N1CCC[C@H](n2ccnn2)C1)c1ccc([O-])cc1 ZINC000425003448 228347477 /nfs/dbraw/zinc/34/74/77/228347477.db2.gz MLZHLIPBPSZRNF-LBPRGKRZSA-N -1 1 300.318 1.030 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)Cn2cccn2)c(F)c1 ZINC000425140465 228372190 /nfs/dbraw/zinc/37/21/90/228372190.db2.gz FPYNZESYECLYLS-SNVBAGLBSA-N -1 1 315.345 1.837 20 0 DDADMM Cc1nnsc1C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425239029 228396886 /nfs/dbraw/zinc/39/68/86/228396886.db2.gz SEYKTFWXGASBAU-UHFFFAOYSA-N -1 1 319.358 1.912 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cc(C(N)=O)ccc1F)OC ZINC000421152646 419502633 /nfs/dbraw/zinc/50/26/33/419502633.db2.gz LCSXSYFNZXPMQJ-SECBINFHSA-N -1 1 304.343 1.091 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(-c2nc[nH]n2)cc1)OC ZINC000421156704 419508420 /nfs/dbraw/zinc/50/84/20/419508420.db2.gz PMBDSDHYVHDRJP-LBPRGKRZSA-N -1 1 310.379 1.638 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)c1ccc(CN2CCSCC2)cc1 ZINC000427990831 419783547 /nfs/dbraw/zinc/78/35/47/419783547.db2.gz WWCFIOUCZUAHAZ-GFCCVEGCSA-N -1 1 322.430 1.781 20 0 DDADMM CC(C)(NC(=O)[C@H]1CC(C)(C)Oc2ccccc21)c1nn[n-]n1 ZINC000413520280 419788118 /nfs/dbraw/zinc/78/81/18/419788118.db2.gz SZZXUQYIJSHQOJ-NSHDSACASA-N -1 1 315.377 1.896 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1[C@H](C(=O)NC(C)(C)c2nn[n-]n2)C1(C)C ZINC000413508574 419788712 /nfs/dbraw/zinc/78/87/12/419788712.db2.gz FNVYDFJVIVRLLW-BDAKNGLRSA-N -1 1 323.397 1.165 20 0 DDADMM CN(C)C(=O)C1(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CC1 ZINC000431731368 229053868 /nfs/dbraw/zinc/05/38/68/229053868.db2.gz YNCCEXDTVAPSHD-UHFFFAOYSA-N -1 1 304.375 1.367 20 0 DDADMM Cc1ccc(SCCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000430319759 420106889 /nfs/dbraw/zinc/10/68/89/420106889.db2.gz NAFDGTMMVHITAI-UHFFFAOYSA-N -1 1 303.391 1.796 20 0 DDADMM CCc1ccc(O)c(C(=O)N2CC[NH2+]C[C@@H]2c2nccn2C)c1 ZINC000423632427 420107153 /nfs/dbraw/zinc/10/71/53/420107153.db2.gz OELMYHSPSRVWHE-CQSZACIVSA-N -1 1 314.389 1.475 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCC(=O)c3cccn32)n1 ZINC000415646082 420134550 /nfs/dbraw/zinc/13/45/50/420134550.db2.gz JVEIECMATGNDKP-NSHDSACASA-N -1 1 316.317 1.544 20 0 DDADMM O=C(N=c1nc(-c2ccccc2Cl)[n-]s1)N1CCNCC1 ZINC000415478129 420082898 /nfs/dbraw/zinc/08/28/98/420082898.db2.gz FSZFYOXPIOGVSD-UHFFFAOYSA-N -1 1 323.809 1.718 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2cc(F)ccc2[O-])[C@@H]1n1cccn1 ZINC000572037380 304503200 /nfs/dbraw/zinc/50/32/00/304503200.db2.gz GHWDATGIPNBIQF-CFVMTHIKSA-N -1 1 319.336 1.876 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)NCCCOc1ccccc1F ZINC000430655709 420172677 /nfs/dbraw/zinc/17/26/77/420172677.db2.gz QROXASUZRKPWKW-UHFFFAOYSA-N -1 1 312.341 1.117 20 0 DDADMM Cc1ccc([C@@H](NC(=O)CN2CC[C@H](C(=O)[O-])C2)C2CC2)cc1 ZINC000430675085 420176357 /nfs/dbraw/zinc/17/63/57/420176357.db2.gz DJBHIBZHJPZPJD-DOTOQJQBSA-N -1 1 316.401 1.969 20 0 DDADMM CO[C@@H](CC(C)C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000416168637 420267067 /nfs/dbraw/zinc/26/70/67/420267067.db2.gz ZETMQNCUHYSCPG-ZDUSSCGKSA-N -1 1 320.393 1.729 20 0 DDADMM O=S(=O)(Cc1nc(-c2ccccc2)cs1)c1ncn[n-]1 ZINC000435684033 420275165 /nfs/dbraw/zinc/27/51/65/420275165.db2.gz TVIUEPZFVGXLSO-UHFFFAOYSA-N -1 1 306.372 1.902 20 0 DDADMM O=S(=O)(Cc1nc(-c2ccccc2)cs1)c1nc[n-]n1 ZINC000435684033 420275168 /nfs/dbraw/zinc/27/51/68/420275168.db2.gz TVIUEPZFVGXLSO-UHFFFAOYSA-N -1 1 306.372 1.902 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2C[C@H]3CC[C@@H]2O3)c(F)c1 ZINC000425221568 420328760 /nfs/dbraw/zinc/32/87/60/420328760.db2.gz WMLLLUDUGPYRLN-FXAINCCUSA-N -1 1 303.330 1.871 20 0 DDADMM O=S(=O)([N-]c1ccc(F)c(-c2nc[nH]n2)c1)c1cn[nH]c1 ZINC000436477318 420330064 /nfs/dbraw/zinc/33/00/64/420330064.db2.gz LAWQKOITPHRDQG-UHFFFAOYSA-N -1 1 308.298 1.135 20 0 DDADMM C[C@H](CCN1CCOCC1)NC(=O)c1cc(Cl)ccc1[O-] ZINC000436548966 420340287 /nfs/dbraw/zinc/34/02/87/420340287.db2.gz OJICFAACGNZYKZ-LLVKDONJSA-N -1 1 312.797 1.886 20 0 DDADMM NC(=O)N1CCc2cc(NC(=O)c3ccc(O)cc3[O-])ccc21 ZINC000436628871 420345080 /nfs/dbraw/zinc/34/50/80/420345080.db2.gz HSWQRJYIZOLURP-UHFFFAOYSA-N -1 1 313.313 1.791 20 0 DDADMM O=C(Nc1cccc([C@@H]2CNC(=O)C2)c1)c1ccc(O)cc1[O-] ZINC000436623744 420346074 /nfs/dbraw/zinc/34/60/74/420346074.db2.gz GVQSSFZDCJPVGC-NSHDSACASA-N -1 1 312.325 1.954 20 0 DDADMM O=C(c1c[nH]nc1C1CC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425329025 420352548 /nfs/dbraw/zinc/35/25/48/420352548.db2.gz YOQHXBSXYFUTRQ-UHFFFAOYSA-N -1 1 303.322 1.396 20 0 DDADMM CNC(=O)c1ccc(C)c(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436858971 420376685 /nfs/dbraw/zinc/37/66/85/420376685.db2.gz IYXAZUXNZUFTKK-UHFFFAOYSA-N -1 1 312.325 1.882 20 0 DDADMM COC[C@H](NC(=O)c1cc2ccccc2cc1[O-])C(=O)OC ZINC000436909924 420382018 /nfs/dbraw/zinc/38/20/18/420382018.db2.gz ZVPYJIVDLVGBSX-ZDUSSCGKSA-N -1 1 303.314 1.463 20 0 DDADMM Cn1cc(C(=O)Nc2n[nH]c(-c3ccc([O-])c(Cl)c3)n2)cn1 ZINC000436917564 420384484 /nfs/dbraw/zinc/38/44/84/420384484.db2.gz ZTLIUDDCBZJYMG-UHFFFAOYSA-N -1 1 318.724 1.817 20 0 DDADMM Cn1cc(C(=O)Nc2nc(-c3ccc([O-])c(Cl)c3)n[nH]2)cn1 ZINC000436917564 420384488 /nfs/dbraw/zinc/38/44/88/420384488.db2.gz ZTLIUDDCBZJYMG-UHFFFAOYSA-N -1 1 318.724 1.817 20 0 DDADMM CCNC(=O)C(C)(C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000416520712 420404446 /nfs/dbraw/zinc/40/44/46/420404446.db2.gz QHCLIYXTWJSAOX-UHFFFAOYSA-N -1 1 324.324 1.297 20 0 DDADMM O=c1c([N-]S(=O)(=O)c2ccco2)cccn1CC(F)(F)F ZINC000437652452 420416889 /nfs/dbraw/zinc/41/68/89/420416889.db2.gz FXFBKDVPXQQBBS-UHFFFAOYSA-N -1 1 322.264 1.804 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cccc(C(N)=O)c2)c1C ZINC000438233132 420446761 /nfs/dbraw/zinc/44/67/61/420446761.db2.gz HSNOSYYMAXUIKB-UHFFFAOYSA-N -1 1 308.363 1.180 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC(OC(F)F)C3)cnc2n1 ZINC000438508162 420457577 /nfs/dbraw/zinc/45/75/77/420457577.db2.gz VIJQCNGRDJTHGN-UHFFFAOYSA-N -1 1 309.272 1.707 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC(OC(F)F)C1)c2=O ZINC000438508162 420457580 /nfs/dbraw/zinc/45/75/80/420457580.db2.gz VIJQCNGRDJTHGN-UHFFFAOYSA-N -1 1 309.272 1.707 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2cccc3c2OCCCO3)c1C ZINC000650455392 423165123 /nfs/dbraw/zinc/16/51/23/423165123.db2.gz XFKYIFNLJIYAHF-UHFFFAOYSA-N -1 1 323.374 1.989 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000492381044 420539065 /nfs/dbraw/zinc/53/90/65/420539065.db2.gz ARJZAXBMXBFXAQ-PGLGOXFNSA-N -1 1 302.313 1.153 20 0 DDADMM O=C(CSc1ccc(Br)cn1)Nc1nnn[n-]1 ZINC000439257130 420502521 /nfs/dbraw/zinc/50/25/21/420502521.db2.gz CWLZZKBOOLZDSW-UHFFFAOYSA-N -1 1 315.156 1.088 20 0 DDADMM O=C(CSc1ccc(Br)cn1)Nc1nn[n-]n1 ZINC000439257130 420502527 /nfs/dbraw/zinc/50/25/27/420502527.db2.gz CWLZZKBOOLZDSW-UHFFFAOYSA-N -1 1 315.156 1.088 20 0 DDADMM COCCOC[C@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000440097151 420555103 /nfs/dbraw/zinc/55/51/03/420555103.db2.gz MRHKYBOYOQLJQO-NSHDSACASA-N -1 1 319.361 1.425 20 0 DDADMM COCCOC[C@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000440097151 420555105 /nfs/dbraw/zinc/55/51/05/420555105.db2.gz MRHKYBOYOQLJQO-NSHDSACASA-N -1 1 319.361 1.425 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CCCC1(F)F)C(C)C ZINC000450968261 420573002 /nfs/dbraw/zinc/57/30/02/420573002.db2.gz JMZMYVYFRALVBX-ZJUUUORDSA-N -1 1 313.366 1.539 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1C[C@@]12CCc1ccccc12 ZINC000440642488 420594313 /nfs/dbraw/zinc/59/43/13/420594313.db2.gz YIGFTIHKWJBFLD-RHSMWYFYSA-N -1 1 323.400 1.989 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)/C=C\c2cncc(O)c2)c1 ZINC000492860526 420689331 /nfs/dbraw/zinc/68/93/31/420689331.db2.gz OZQXMBSUTWAOLP-DJWKRKHSSA-N -1 1 314.297 1.931 20 0 DDADMM CCC[C@H](O)CS(=O)(=O)c1nnc(-c2ccccc2F)[n-]1 ZINC000453033544 420705595 /nfs/dbraw/zinc/70/55/95/420705595.db2.gz VMYZWVRSOFCOMF-VIFPVBQESA-N -1 1 313.354 1.546 20 0 DDADMM CCC[C@H](O)CS(=O)(=O)c1n[n-]c(-c2ccccc2F)n1 ZINC000453033544 420705601 /nfs/dbraw/zinc/70/56/01/420705601.db2.gz VMYZWVRSOFCOMF-VIFPVBQESA-N -1 1 313.354 1.546 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-][C@H](c1nc(C2CC2)no1)C(C)C ZINC000442958140 420732627 /nfs/dbraw/zinc/73/26/27/420732627.db2.gz VTBXWDASYWDLHY-ONGXEEELSA-N -1 1 317.411 1.598 20 0 DDADMM COCC1(C)CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000447884603 420811931 /nfs/dbraw/zinc/81/19/31/420811931.db2.gz ZDMMSOBHFREVQR-UHFFFAOYSA-N -1 1 307.394 1.897 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1ccn(-c2ccncc2)n1 ZINC000471918984 420958057 /nfs/dbraw/zinc/95/80/57/420958057.db2.gz LPYHJJHQAFYWRS-UHFFFAOYSA-N -1 1 318.362 1.285 20 0 DDADMM COCC(COC)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000456249200 421133117 /nfs/dbraw/zinc/13/31/17/421133117.db2.gz GVUKTFJPSHOHMJ-UHFFFAOYSA-N -1 1 303.742 1.445 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)NCCCCCC(=O)[O-])cn1 ZINC000455830172 421066553 /nfs/dbraw/zinc/06/65/53/421066553.db2.gz NDCMAJTUSQHCFL-UHFFFAOYSA-N -1 1 319.365 1.668 20 0 DDADMM C[C@@H](OC[C@H]1CCCCO1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000522855245 421226927 /nfs/dbraw/zinc/22/69/27/421226927.db2.gz XIKQIEYQHDCSQE-VXGBXAGGSA-N -1 1 323.397 1.059 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000560347560 421251558 /nfs/dbraw/zinc/25/15/58/421251558.db2.gz TYBBBOOBLBSFQL-BXKDBHETSA-N -1 1 317.397 1.439 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2cccc(F)c2F)on1 ZINC000524947171 421275626 /nfs/dbraw/zinc/27/56/26/421275626.db2.gz SNJKQUSWFZAKCQ-UHFFFAOYSA-N -1 1 302.302 1.994 20 0 DDADMM C[N@@H+]1CC=C(CNS(=O)(=O)c2cc3ccccc3o2)CC1 ZINC000546176449 421288743 /nfs/dbraw/zinc/28/87/43/421288743.db2.gz CWOOTDPJRVMYCJ-UHFFFAOYSA-N -1 1 306.387 1.973 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@H]1CCCCC(=O)N1 ZINC000562506919 421375164 /nfs/dbraw/zinc/37/51/64/421375164.db2.gz XUQVEFZRVWYZQB-GFCCVEGCSA-N -1 1 314.345 1.780 20 0 DDADMM COc1ccc2cc(C(=O)N(C)C[C@H](C)c3nn[n-]n3)[nH]c2c1 ZINC000548138772 421405954 /nfs/dbraw/zinc/40/59/54/421405954.db2.gz GIGUSJZYJLQZNK-VIFPVBQESA-N -1 1 314.349 1.565 20 0 DDADMM CC(=O)[C@@H]1C[C@H](C(=O)NC2(c3nn[n-]n3)CCCC2)C1(C)C ZINC000548040544 421392076 /nfs/dbraw/zinc/39/20/76/421392076.db2.gz LSGNMXZLBLBRFP-WDEREUQCSA-N -1 1 305.382 1.337 20 0 DDADMM CO[C@@H]1COC[C@H]1[N-]S(=O)(=O)Cc1c(F)cccc1Cl ZINC000517026961 421588743 /nfs/dbraw/zinc/58/87/43/421588743.db2.gz KKGVLCXDTDJYLO-VXGBXAGGSA-N -1 1 323.773 1.312 20 0 DDADMM O=C(Cc1ccc2c[nH]nc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000530288542 421591805 /nfs/dbraw/zinc/59/18/05/421591805.db2.gz JBKKWTDKHILJII-LBPRGKRZSA-N -1 1 311.349 1.025 20 0 DDADMM O=C(c1c[nH]c(C(F)(F)F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000551733141 421539475 /nfs/dbraw/zinc/53/94/75/421539475.db2.gz FBMBUDMBGLFRHF-ZETCQYMHSA-N -1 1 314.271 1.566 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)nc1 ZINC000518095440 421639176 /nfs/dbraw/zinc/63/91/76/421639176.db2.gz CBQYVEXHYJULKZ-GFCCVEGCSA-N -1 1 300.366 1.738 20 0 DDADMM O=C(c1cc2c(s1)CCOC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000537124377 421728100 /nfs/dbraw/zinc/72/81/00/421728100.db2.gz NUDJHPLXJFEMOX-VIFPVBQESA-N -1 1 319.390 1.354 20 0 DDADMM C[C@@H]1CC[S@@](=O)CCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000519803164 421729027 /nfs/dbraw/zinc/72/90/27/421729027.db2.gz OPFOLDRIALPFIQ-GSDQLPOLSA-N -1 1 313.448 1.122 20 0 DDADMM CCC(CC)n1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000533222944 421670321 /nfs/dbraw/zinc/67/03/21/421670321.db2.gz HSLYDFDCGFWISS-UHFFFAOYSA-N -1 1 317.397 1.957 20 0 DDADMM Cc1nc(CCCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cs1 ZINC000538223501 421737986 /nfs/dbraw/zinc/73/79/86/421737986.db2.gz PKXROJHSSYSQRR-LLVKDONJSA-N -1 1 320.422 1.694 20 0 DDADMM C[C@H](Oc1ccccc1F)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000540426109 421763494 /nfs/dbraw/zinc/76/34/94/421763494.db2.gz CPJSOUZLBUSSKR-QWRGUYRKSA-N -1 1 319.340 1.512 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1cccnc1 ZINC000539791001 421753585 /nfs/dbraw/zinc/75/35/85/421753585.db2.gz UXTPDKIUOMSRQB-LLVKDONJSA-N -1 1 300.366 1.279 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnc(-c2ccccc2)nc1)c1nn[n-]n1 ZINC000542773121 421828963 /nfs/dbraw/zinc/82/89/63/421828963.db2.gz OADHCVUWPPHPDK-NSHDSACASA-N -1 1 323.360 1.532 20 0 DDADMM CC(=O)N1CCCC[C@H]1CCS(=O)(=O)[N-]CC(C)(F)F ZINC000559138133 421829955 /nfs/dbraw/zinc/82/99/55/421829955.db2.gz ADGRWQWAIXDLBA-NSHDSACASA-N -1 1 312.382 1.352 20 0 DDADMM C[C@@H]1c2ccccc2CCN1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000572414542 421784966 /nfs/dbraw/zinc/78/49/66/421784966.db2.gz BEPVXRHVNYZYFF-CYBMUJFWSA-N -1 1 316.401 1.929 20 0 DDADMM COC[C@@H](Cc1ccccc1)N(C)Cc1cc(C(=O)[O-])nn1C ZINC000635310376 421896481 /nfs/dbraw/zinc/89/64/81/421896481.db2.gz DKMFHFXMCCZACQ-OAHLLOKOSA-N -1 1 317.389 1.808 20 0 DDADMM CCn1ncc(C(=O)N=c2[nH][n-]c(C)c2Br)c1C ZINC000633346715 421854478 /nfs/dbraw/zinc/85/44/78/421854478.db2.gz IBJCJTMVEXZZOG-UHFFFAOYSA-N -1 1 312.171 1.680 20 0 DDADMM COC[C@]1(CO)CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000633356521 421858771 /nfs/dbraw/zinc/85/87/71/421858771.db2.gz AWPMQCMHRKWKCR-OAHLLOKOSA-N -1 1 313.781 1.446 20 0 DDADMM CC(C)(NC(=O)[C@@H]1CCN(c2ccccc2)C1)c1nn[n-]n1 ZINC000572810901 421863901 /nfs/dbraw/zinc/86/39/01/421863901.db2.gz OUILGHCYJVFTKC-LLVKDONJSA-N -1 1 300.366 1.078 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccc3cc[nH]c3c2)CC1 ZINC000630223539 421973090 /nfs/dbraw/zinc/97/30/90/421973090.db2.gz UZQSWTGCZWACBZ-UHFFFAOYSA-N -1 1 315.373 1.789 20 0 DDADMM CCCOc1ccc(C[N-]S(=O)(=O)c2conc2C)cn1 ZINC000631921847 421941352 /nfs/dbraw/zinc/94/13/52/421941352.db2.gz LIAUDWBYHYSTPS-UHFFFAOYSA-N -1 1 311.363 1.645 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C)c2nnc3n2CCC3)sn1 ZINC000631924095 421943444 /nfs/dbraw/zinc/94/34/44/421943444.db2.gz MDCDFDGKAYQUFU-MRVPVSSYSA-N -1 1 313.408 1.029 20 0 DDADMM CN(CC(=O)Nc1nnn[n-]1)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000581415654 421965416 /nfs/dbraw/zinc/96/54/16/421965416.db2.gz BXJRHMQZSGHKLL-SFYZADRCSA-N -1 1 306.292 1.191 20 0 DDADMM CN(CC(=O)Nc1nn[n-]n1)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000581415654 421965422 /nfs/dbraw/zinc/96/54/22/421965422.db2.gz BXJRHMQZSGHKLL-SFYZADRCSA-N -1 1 306.292 1.191 20 0 DDADMM CCO[C@H](C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)C(C)C ZINC000630221268 421970081 /nfs/dbraw/zinc/97/00/81/421970081.db2.gz WTQCTWKWPQKFHG-JSGCOSHPSA-N -1 1 300.399 1.055 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCOC[C@@H]2CCOC2)sn1 ZINC000632020210 422026668 /nfs/dbraw/zinc/02/66/68/422026668.db2.gz WVXLONGTMXUPKH-NSHDSACASA-N -1 1 320.436 1.173 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@H](c2ccc(F)c(F)c2)C1 ZINC000633670156 422039528 /nfs/dbraw/zinc/03/95/28/422039528.db2.gz RGJZIQAYFVSSSC-NSHDSACASA-N -1 1 321.331 1.817 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccc(Cl)o2)CC1 ZINC000630225997 421976051 /nfs/dbraw/zinc/97/60/51/421976051.db2.gz LSMOPYBWLDKNTF-UHFFFAOYSA-N -1 1 300.742 1.554 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCO[C@H]1c1ccc(Cl)cc1 ZINC000633713628 422065212 /nfs/dbraw/zinc/06/52/12/422065212.db2.gz LNFABXUESAQSAB-RISCZKNCSA-N -1 1 321.768 1.432 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H](C)c2ccncc2)c1 ZINC000632072502 422068089 /nfs/dbraw/zinc/06/80/89/422068089.db2.gz GCEKFCYPGSVXMW-LLVKDONJSA-N -1 1 322.386 1.878 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](C[C@H]1CCOC1)c1ccccc1 ZINC000632082494 422075307 /nfs/dbraw/zinc/07/53/07/422075307.db2.gz ANQSQXIHTBUDCY-OCCSQVGLSA-N -1 1 315.377 1.416 20 0 DDADMM COc1cccc2c1CC[C@H]2[N-]S(=O)(=O)c1conc1C ZINC000632100535 422088880 /nfs/dbraw/zinc/08/88/80/422088880.db2.gz GKOPOIAKJRIYRS-GFCCVEGCSA-N -1 1 308.359 1.957 20 0 DDADMM CCCCOCCOCC[N-]S(=O)(=O)c1cc(C)ns1 ZINC000632049716 422051125 /nfs/dbraw/zinc/05/11/25/422051125.db2.gz ONWRGWSVPCAHFZ-UHFFFAOYSA-N -1 1 322.452 1.563 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H]1CCCNC1=O ZINC000633692637 422052898 /nfs/dbraw/zinc/05/28/98/422052898.db2.gz SZHZQWDQJLDWOS-GFCCVEGCSA-N -1 1 300.318 1.043 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(SC2CC2)cc1 ZINC000581859056 422055413 /nfs/dbraw/zinc/05/54/13/422055413.db2.gz IFOOBXAKHDGXOR-UHFFFAOYSA-N -1 1 301.375 1.873 20 0 DDADMM O=C([O-])COCC[N@H+]1CCC[C@H]1c1cccc2c1OCCO2 ZINC000582246910 422139821 /nfs/dbraw/zinc/13/98/21/422139821.db2.gz UXYRKHMSTAWPAK-ZDUSSCGKSA-N -1 1 307.346 1.696 20 0 DDADMM COC[C@](C)(CO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000633892420 422134940 /nfs/dbraw/zinc/13/49/40/422134940.db2.gz WRUWQNOVYCSETQ-LBPRGKRZSA-N -1 1 307.268 1.538 20 0 DDADMM CCc1ccc([C@@H]2COCCN2C(=O)CCCc2nn[n-]n2)o1 ZINC000635585298 422136345 /nfs/dbraw/zinc/13/63/45/422136345.db2.gz VRPKCYTWKIISPA-LBPRGKRZSA-N -1 1 319.365 1.278 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)CC1(N(C)C)CC1)C(F)(F)F ZINC000632265131 422206136 /nfs/dbraw/zinc/20/61/36/422206136.db2.gz OCUJYDSLVRLNLD-VIFPVBQESA-N -1 1 302.362 1.587 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2c(c1)N(C)CCO2)c1nn[n-]n1 ZINC000635658001 422210730 /nfs/dbraw/zinc/21/07/30/422210730.db2.gz CHKUWKRWZVADHF-LLVKDONJSA-N -1 1 316.365 1.300 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)C(=O)CCCc2nn[n-]n2)C1(CC)CC ZINC000635659452 422216282 /nfs/dbraw/zinc/21/62/82/422216282.db2.gz OHFLUIBRZWSPGM-QWHCGFSZSA-N -1 1 323.441 1.965 20 0 DDADMM C[C@@H]1COCC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632278445 422218413 /nfs/dbraw/zinc/21/84/13/422218413.db2.gz RGJTUCMHDVZONY-RNFRBKRXSA-N -1 1 313.301 1.132 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccc(OC(F)(F)F)cc1 ZINC000630624425 422227421 /nfs/dbraw/zinc/22/74/21/422227421.db2.gz MWTUUBKTCLQQBK-UHFFFAOYSA-N -1 1 315.255 1.347 20 0 DDADMM COCC1(C2CC2)CN(C(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000634051886 422235460 /nfs/dbraw/zinc/23/54/60/422235460.db2.gz FVWGFBWURQEFCA-UHFFFAOYSA-N -1 1 307.419 1.638 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)[C@@H](O)C(F)F ZINC000632390775 422300186 /nfs/dbraw/zinc/30/01/86/422300186.db2.gz KAWBXZIMOOUCQO-HTRCEHHLSA-N -1 1 300.352 1.134 20 0 DDADMM CC[C@@H](CO)N(Cc1ccccc1)C(=O)CCCc1nn[n-]n1 ZINC000635791600 422348719 /nfs/dbraw/zinc/34/87/19/422348719.db2.gz UTJXELOJZPDKRW-AWEZNQCLSA-N -1 1 317.393 1.322 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCc2cc(OC(F)F)ccc21 ZINC000630810990 422350294 /nfs/dbraw/zinc/35/02/94/422350294.db2.gz KJEUEXDJZCLVNK-UHFFFAOYSA-N -1 1 323.303 1.713 20 0 DDADMM O=C(N[C@@H]1COCCC1=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000628874581 422363120 /nfs/dbraw/zinc/36/31/20/422363120.db2.gz JWDMXLWJKUVPHI-SECBINFHSA-N -1 1 303.236 1.499 20 0 DDADMM C[C@@H](Cc1nc2ccccc2s1)NC(=O)CCc1nn[n-]n1 ZINC000630848913 422373390 /nfs/dbraw/zinc/37/33/90/422373390.db2.gz MQCCFGOEWJWAKJ-VIFPVBQESA-N -1 1 316.390 1.489 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(Cc2cccnc2)CC1 ZINC000635761162 422320150 /nfs/dbraw/zinc/32/01/50/422320150.db2.gz JXBUSJGPVPRSJB-UHFFFAOYSA-N -1 1 314.393 1.399 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@@H]1Cc1cccc(F)c1 ZINC000630769516 422324934 /nfs/dbraw/zinc/32/49/34/422324934.db2.gz CYLCVHUGDBPGHC-CYBMUJFWSA-N -1 1 303.341 1.505 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000635767292 422326932 /nfs/dbraw/zinc/32/69/32/422326932.db2.gz YCYCFQLMTFRSHP-CHWSQXEVSA-N -1 1 307.398 1.188 20 0 DDADMM CC(C)C[C@H](CO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632554929 422434028 /nfs/dbraw/zinc/43/40/28/422434028.db2.gz QXCGXHWWZNAHIX-SSDOTTSWSA-N -1 1 315.317 1.114 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H]1CCn2ccnc2C1 ZINC000632575064 422447865 /nfs/dbraw/zinc/44/78/65/422447865.db2.gz ABIMZBBORQJEAY-NSHDSACASA-N -1 1 305.765 1.916 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](c2ccccc2)[C@@H](C)O)sn1 ZINC000632576218 422448308 /nfs/dbraw/zinc/44/83/08/422448308.db2.gz LXYLMOYIDJKHJQ-ZWNOBZJWSA-N -1 1 312.416 1.852 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cc(C(F)(F)F)ccc1F ZINC000630912329 422410295 /nfs/dbraw/zinc/41/02/95/422410295.db2.gz JKPYCEYLCJHLTG-UHFFFAOYSA-N -1 1 303.219 1.929 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC(F)(F)C[C@@H]1CO ZINC000632671640 422508678 /nfs/dbraw/zinc/50/86/78/422508678.db2.gz RDNPGVKGILHTLU-SECBINFHSA-N -1 1 305.708 1.817 20 0 DDADMM CCC[C@@H]1C[C@H]1[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000632634134 422486628 /nfs/dbraw/zinc/48/66/28/422486628.db2.gz MIBQJJQPXQBRRT-HTQZYQBOSA-N -1 1 311.329 1.906 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)[C@@H](C)O1 ZINC000632646861 422494331 /nfs/dbraw/zinc/49/43/31/422494331.db2.gz RIPCZFUTWHHNLV-FSDSQADBSA-N -1 1 313.301 1.273 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000578100307 422498625 /nfs/dbraw/zinc/49/86/25/422498625.db2.gz HDXLZVLOHWVCAY-OIBJUYFYSA-N -1 1 311.363 1.590 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000578100307 422498630 /nfs/dbraw/zinc/49/86/30/422498630.db2.gz HDXLZVLOHWVCAY-OIBJUYFYSA-N -1 1 311.363 1.590 20 0 DDADMM C[C@H]1CCc2[n-]n(-c3nccc(N4CCOCC4)n3)c(=O)c21 ZINC000634606770 422502240 /nfs/dbraw/zinc/50/22/40/422502240.db2.gz BARBEZNIIQYZHP-GXFFZTMASA-N -1 1 301.350 1.062 20 0 DDADMM CC(C)c1cc([N-]S(=O)(=O)c2c3c(nn2C)CCCC3)[nH]n1 ZINC000634656306 422539366 /nfs/dbraw/zinc/53/93/66/422539366.db2.gz HIGLHXFECFDPNX-UHFFFAOYSA-N -1 1 323.422 1.946 20 0 DDADMM C[C@@H](CN1Cc2ccccc2C[C@@H]1C(=O)[O-])CS(C)(=O)=O ZINC000578329843 422548761 /nfs/dbraw/zinc/54/87/61/422548761.db2.gz PKHQHWUGUPMREO-SMDDNHRTSA-N -1 1 311.403 1.179 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1c(F)cccc1CO)C1CC1 ZINC000629292857 422585433 /nfs/dbraw/zinc/58/54/33/422585433.db2.gz ZYXWGHWINFIRBC-ZDUSSCGKSA-N -1 1 317.382 1.875 20 0 DDADMM CCSc1nccnc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000578708736 422626978 /nfs/dbraw/zinc/62/69/78/422626978.db2.gz UCQDPFVMDFORRX-VIFPVBQESA-N -1 1 319.394 1.122 20 0 DDADMM Cc1c([N-]S(=O)(=O)C[C@]2(OC(C)C)CCOC2)cnn1C ZINC000634789928 422649363 /nfs/dbraw/zinc/64/93/63/422649363.db2.gz KTFJZAINAFAAPP-ZDUSSCGKSA-N -1 1 317.411 1.054 20 0 DDADMM C[C@@H]1CN([C@@H]2CCN(c3ccccc3F)C2=O)CC[C@H]1C(=O)[O-] ZINC000629495200 422700844 /nfs/dbraw/zinc/70/08/44/422700844.db2.gz HDZLUMJTURZFJZ-LALPHHSUSA-N -1 1 320.364 1.974 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCOc2cc(F)c(F)cc21 ZINC000636128155 422663935 /nfs/dbraw/zinc/66/39/35/422663935.db2.gz KVVVHZIMEVMGOT-UHFFFAOYSA-N -1 1 309.276 1.226 20 0 DDADMM CC[N@@H+](CC(=O)[O-])C1CCN(c2ccnc(C(=O)[O-])c2)CC1 ZINC000650772656 423255008 /nfs/dbraw/zinc/25/50/08/423255008.db2.gz BYNOQTYJIKSFPD-UHFFFAOYSA-N -1 1 307.350 1.155 20 0 DDADMM O=C([O-])CCCN1Cc2ccccc2C[C@H]1C(=O)NC1CC1 ZINC000645993141 423281194 /nfs/dbraw/zinc/28/11/94/423281194.db2.gz CCGBSLHJCKSDGK-HNNXBMFYSA-N -1 1 302.374 1.557 20 0 DDADMM CCc1nc(C2CCN(C(=O)[C@@H]3CC[C@H](C(=O)[O-])C3)CC2)n[nH]1 ZINC000653287728 423423303 /nfs/dbraw/zinc/42/33/03/423423303.db2.gz AVMZUUHDWTUDJF-NEPJUHHUSA-N -1 1 320.393 1.574 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc(F)c(Cl)c3)nc2n1 ZINC000643909259 423401353 /nfs/dbraw/zinc/40/13/53/423401353.db2.gz OFICAGHMPYNYBF-UHFFFAOYSA-N -1 1 321.699 1.771 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@@H]1CCc2ncncc2C1 ZINC000648746127 423440209 /nfs/dbraw/zinc/44/02/09/423440209.db2.gz KOAAFBYVOQVVAY-ZCFIWIBFSA-N -1 1 312.255 1.357 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C)NC(=O)CC1CCCCC1 ZINC000646412126 423479459 /nfs/dbraw/zinc/47/94/59/423479459.db2.gz COHRTAOASDDWMT-SNVBAGLBSA-N -1 1 308.382 1.837 20 0 DDADMM CC1(C)[C@@H](CS(=O)(=O)[N-]Cc2nnc(C3CC3)o2)C1(F)F ZINC000641434118 423634188 /nfs/dbraw/zinc/63/41/88/423634188.db2.gz IFADVDYZRKWBOB-MRVPVSSYSA-N -1 1 321.349 1.658 20 0 DDADMM C[C@@H]1[C@H](O)CCN1C(=O)c1ccc(Br)c([O-])c1 ZINC000654166546 423732753 /nfs/dbraw/zinc/73/27/53/423732753.db2.gz CQZSIOFLHYBHGT-GMSGAONNSA-N -1 1 300.152 1.750 20 0 DDADMM CNC(=O)c1cccc(CN2CCC[C@@H](c3n[n-]c(=N)o3)C2)c1 ZINC000639819732 423756513 /nfs/dbraw/zinc/75/65/13/423756513.db2.gz CFIGDWHVNOKQBM-CYBMUJFWSA-N -1 1 315.377 1.221 20 0 DDADMM COc1nc(N2CCN(C[C@H]3CCCO3)CC2)ccc1C(=O)[O-] ZINC000649383197 423834483 /nfs/dbraw/zinc/83/44/83/423834483.db2.gz AVPFAQCYTDPIOY-GFCCVEGCSA-N -1 1 321.377 1.089 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@@H](C)c1nc(C)no1)C1CCCC1 ZINC000656891938 423840751 /nfs/dbraw/zinc/84/07/51/423840751.db2.gz VSLAVCWZLMBPDS-CABZTGNLSA-N -1 1 317.411 1.564 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccc2[nH]nnc21)C1CCCC1 ZINC000641687469 423857864 /nfs/dbraw/zinc/85/78/64/423857864.db2.gz CHMOAIJOMTUVPV-ZDUSSCGKSA-N -1 1 324.406 1.905 20 0 DDADMM O=C(c1c[nH]c2ncccc12)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887148 424015839 /nfs/dbraw/zinc/01/58/39/424015839.db2.gz SPTXUBJGNUXBJF-UHFFFAOYSA-N -1 1 313.317 1.671 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2c(C)nn(C(C)C)c2C)n1 ZINC000649614692 424089236 /nfs/dbraw/zinc/08/92/36/424089236.db2.gz PVZCFCPVVNVADF-UHFFFAOYSA-N -1 1 312.399 1.562 20 0 DDADMM O=C([O-])c1cnc(NCCN2C[C@H]3CC[C@@H](C2)O3)c(Cl)c1 ZINC000647456049 424037872 /nfs/dbraw/zinc/03/78/72/424037872.db2.gz ZRUFQZNHDYSTRP-PHIMTYICSA-N -1 1 311.769 1.708 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1cccc(F)c1F)[C@@H]1CCOC1 ZINC000657047521 424038491 /nfs/dbraw/zinc/03/84/91/424038491.db2.gz QXXQUKKKMKLOQX-KOLCDFICSA-N -1 1 321.345 1.295 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H](O)C12CCC2)c1cc(F)c(F)cc1F ZINC000657186052 424200440 /nfs/dbraw/zinc/20/04/40/424200440.db2.gz IPXUKRBMEHIZKR-VXGBXAGGSA-N -1 1 321.320 1.686 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N2CCN(C)C(C)(C)C2)s[n-]1 ZINC000640139402 424211422 /nfs/dbraw/zinc/21/14/22/424211422.db2.gz QDSJEVRUDCEVBL-SECBINFHSA-N -1 1 313.427 1.225 20 0 DDADMM CCCN1CC[C@H]([N-]S(=O)(=O)c2c(F)cc(F)cc2F)C1 ZINC000660028659 424472873 /nfs/dbraw/zinc/47/28/73/424472873.db2.gz TVYXZZXWIURHOJ-JTQLQIEISA-N -1 1 322.352 1.867 20 0 DDADMM C[C@@]1(c2ccccc2)CCN([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662207510 424473740 /nfs/dbraw/zinc/47/37/40/424473740.db2.gz YRXOGPPEEGVVKO-RHSMWYFYSA-N -1 1 302.374 1.336 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)C1CCC(F)CC1 ZINC000660033893 424476116 /nfs/dbraw/zinc/47/61/16/424476116.db2.gz HKTJEVUYHLVKSD-CUUXFQNZSA-N -1 1 305.375 1.760 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC(c2ccon2)CC1 ZINC000664437845 424590476 /nfs/dbraw/zinc/59/04/76/424590476.db2.gz BJVDBVBLWYMJEY-UHFFFAOYSA-N -1 1 324.340 1.931 20 0 DDADMM O=C([O-])CCCCC(=O)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC000655542682 424555888 /nfs/dbraw/zinc/55/58/88/424555888.db2.gz AQXQVBAVJUOZTD-AWEZNQCLSA-N -1 1 302.334 1.655 20 0 DDADMM COC[C@H](CC(C)(C)C)[N-]S(=O)(=O)c1c(C)onc1N ZINC000655649965 424613435 /nfs/dbraw/zinc/61/34/35/424613435.db2.gz BAAINQWEIUZACR-VIFPVBQESA-N -1 1 305.400 1.295 20 0 DDADMM O=S(=O)([N-][C@H](C[C@H]1CCCO1)C(F)(F)F)c1cccnc1 ZINC000655662190 424618283 /nfs/dbraw/zinc/61/82/83/424618283.db2.gz ORPLUADMVPODQB-MWLCHTKSSA-N -1 1 324.324 1.860 20 0 DDADMM CC(C)(C)n1nnc(Cc2nc(-c3ccc([O-])cc3F)no2)n1 ZINC000664848893 424709808 /nfs/dbraw/zinc/70/98/08/424709808.db2.gz XTNISBCDPDHXEA-UHFFFAOYSA-N -1 1 318.312 1.914 20 0 DDADMM COc1cccc(NC(=O)CCN(C)Cc2n[n-]c(=O)o2)c1 ZINC000660846841 424787805 /nfs/dbraw/zinc/78/78/05/424787805.db2.gz JQHZBHANLGPLFR-UHFFFAOYSA-N -1 1 306.322 1.244 20 0 DDADMM Cc1cc(C)nc(NC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000344584482 272065859 /nfs/dbraw/zinc/06/58/59/272065859.db2.gz SUCUVGZQIZGLEI-UHFFFAOYSA-N -1 1 300.318 1.168 20 0 DDADMM CC(C)[C@@H](CO)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000344827724 272125936 /nfs/dbraw/zinc/12/59/36/272125936.db2.gz AIAMAIUPTIANER-MRVPVSSYSA-N -1 1 311.325 1.647 20 0 DDADMM C[C@H](C(=O)Nc1ccncc1[O-])n1nnc(-c2ccccc2)n1 ZINC000345375107 272234306 /nfs/dbraw/zinc/23/43/06/272234306.db2.gz BEOARLFICJIXPP-SNVBAGLBSA-N -1 1 310.317 1.062 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1c[nH]cn1)c1ccc(C)c(C)c1 ZINC000345652626 272295824 /nfs/dbraw/zinc/29/58/24/272295824.db2.gz RIUVKJFEJRBYPG-ZDUSSCGKSA-N -1 1 323.374 1.219 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)CCC2CC2)c1 ZINC000345970143 272378731 /nfs/dbraw/zinc/37/87/31/272378731.db2.gz FXCFPKKWRJAROA-VIFPVBQESA-N -1 1 301.364 1.923 20 0 DDADMM Cc1cc(=O)[n-]c(NN=c2c3cccc4cccc(c2=O)c34)n1 ZINC000009575518 274674509 /nfs/dbraw/zinc/67/45/09/274674509.db2.gz IVSBEFFWPYPWNN-HMMYKYKNSA-N -1 1 304.309 1.548 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)OC ZINC000293112676 287857808 /nfs/dbraw/zinc/85/78/08/287857808.db2.gz OQLCJVJXNVOGLK-SSDOTTSWSA-N -1 1 315.317 1.142 20 0 DDADMM CC1CCN(CC(=O)N2CCCC[C@H]2c2n[nH]c(=O)[n-]2)CC1 ZINC000329186225 275936238 /nfs/dbraw/zinc/93/62/38/275936238.db2.gz PTNXETXZDXKOAL-LBPRGKRZSA-N -1 1 307.398 1.296 20 0 DDADMM Cn1cccc([N-]S(=O)(=O)c2cc3ccccc3o2)c1=O ZINC000084920553 281014146 /nfs/dbraw/zinc/01/41/46/281014146.db2.gz LUYTVSYMZBBLPP-UHFFFAOYSA-N -1 1 304.327 1.932 20 0 DDADMM O=C(N[C@H]1CCCN(c2ccccc2)C1=O)c1cncc([O-])c1 ZINC000114558666 281074688 /nfs/dbraw/zinc/07/46/88/281074688.db2.gz NVGBFLFYEHYTGN-HNNXBMFYSA-N -1 1 311.341 1.713 20 0 DDADMM Cc1ccsc1[C@H]1C[C@@H]1C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000119142780 281091245 /nfs/dbraw/zinc/09/12/45/281091245.db2.gz XEDQOPNEEPMPPC-UWVGGRQHSA-N -1 1 306.391 1.320 20 0 DDADMM NC(=O)CCOc1ccccc1NC(=O)c1ccccc1[O-] ZINC000120466866 281119203 /nfs/dbraw/zinc/11/92/03/281119203.db2.gz ZEDQLNCJTDTQCY-UHFFFAOYSA-N -1 1 300.314 1.899 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(Cl)ccn2)co1 ZINC000128412772 281310292 /nfs/dbraw/zinc/31/02/92/281310292.db2.gz BUAHKKONHKOZDA-UHFFFAOYSA-N -1 1 315.738 1.488 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(N)=O ZINC000131638717 281422704 /nfs/dbraw/zinc/42/27/04/281422704.db2.gz GTGCUTXEGNYJKJ-SECBINFHSA-N -1 1 308.762 1.267 20 0 DDADMM C[C@H]1CC(NC(=O)c2nc3ccccc3c(=O)[n-]2)C[C@H](C)O1 ZINC000132643732 281461870 /nfs/dbraw/zinc/46/18/70/281461870.db2.gz MDCKAPGFMDCBPN-UWVGGRQHSA-N -1 1 301.346 1.609 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000246455176 281988133 /nfs/dbraw/zinc/98/81/33/281988133.db2.gz MJPGNOBQBXPFNC-HBYGRHMLSA-N -1 1 300.362 1.302 20 0 DDADMM Cn1cccc([N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)c1=O ZINC000157799070 294375157 /nfs/dbraw/zinc/37/51/57/294375157.db2.gz FSKFWHPRWZVLMQ-UHFFFAOYSA-N -1 1 318.276 1.603 20 0 DDADMM NC(=O)Nc1ccc(CNC(=O)c2cc(F)ccc2[O-])cc1 ZINC000175187235 295091154 /nfs/dbraw/zinc/09/11/54/295091154.db2.gz NQJDTTKPILUIIU-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM Cc1nc(=NC(=O)c2cnc3c(F)cc(F)cc3c2O)s[n-]1 ZINC000354276395 298390300 /nfs/dbraw/zinc/39/03/00/298390300.db2.gz WJUSWTDDBLHXCX-UHFFFAOYSA-N -1 1 322.296 1.640 20 0 DDADMM FC(F)c1n[n-]c(=NCCN2CCN(c3ccccc3)CC2)o1 ZINC000573758138 304612044 /nfs/dbraw/zinc/61/20/44/304612044.db2.gz JNLPVJOGXMKHMH-UHFFFAOYSA-N -1 1 323.347 1.663 20 0 DDADMM C[C@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC(C)(C)O1 ZINC000279459684 300093718 /nfs/dbraw/zinc/09/37/18/300093718.db2.gz WAHLBNOAENHNIL-ORHXRLAQSA-N -1 1 303.366 1.373 20 0 DDADMM O=C(N[C@H](CO)c1ccccc1)c1cn[nH]c1-c1ccccn1 ZINC000362907580 300176015 /nfs/dbraw/zinc/17/60/15/300176015.db2.gz AGBSTQWQIUGXCF-OAHLLOKOSA-N -1 1 308.341 1.935 20 0 DDADMM C[C@H]1CO[C@H](c2ccccc2Cl)CN1Cc1nc(=O)n(C)[n-]1 ZINC000329639580 300369363 /nfs/dbraw/zinc/36/93/63/300369363.db2.gz ZCLBXSYZOCJIGK-GWCFXTLKSA-N -1 1 322.796 1.724 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H]2CCN(c3ccn(C)n3)C2)sc1C ZINC000366830277 300755898 /nfs/dbraw/zinc/75/58/98/300755898.db2.gz RRIJHNWDWPMDPW-SNVBAGLBSA-N -1 1 321.406 1.084 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3Cc4ccccc4C(=O)O3)ccnc1-2 ZINC000368456697 301032223 /nfs/dbraw/zinc/03/22/23/301032223.db2.gz CGAPHGUEZATHJR-DABDWFRDSA-N -1 1 322.324 1.062 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000368740912 301083517 /nfs/dbraw/zinc/08/35/17/301083517.db2.gz QGAJDPMVSVKFFO-NSHDSACASA-N -1 1 302.334 1.341 20 0 DDADMM NC(=O)C1(NC(=O)c2ccc3ccccc3c2[O-])CCOCC1 ZINC000370551398 301362429 /nfs/dbraw/zinc/36/24/29/301362429.db2.gz GSWSVRUCPKHKNK-UHFFFAOYSA-N -1 1 314.341 1.310 20 0 DDADMM CCC[C@@H](O)CNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000355089050 306794147 /nfs/dbraw/zinc/79/41/47/306794147.db2.gz PSNNVJVRGPCGTR-SNVBAGLBSA-N -1 1 313.423 1.422 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)N1CCn2nccc21 ZINC000375915398 302016599 /nfs/dbraw/zinc/01/65/99/302016599.db2.gz XITXBXHAYHJGIY-UHFFFAOYSA-N -1 1 320.374 1.305 20 0 DDADMM COc1ccc(C(=O)Nc2nncn2-c2cccnc2)c([O-])c1 ZINC000377162056 302149898 /nfs/dbraw/zinc/14/98/98/302149898.db2.gz JRICETGURBFASN-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc(O)ccc1Cl ZINC000356376618 306849782 /nfs/dbraw/zinc/84/97/82/306849782.db2.gz OLCBLZSJSZFNEJ-UHFFFAOYSA-N -1 1 308.725 1.111 20 0 DDADMM C[C@@]1(CNc2nc3[nH][n-]cc-3c(=O)n2)COc2ccccc2O1 ZINC000528079093 303001906 /nfs/dbraw/zinc/00/19/06/303001906.db2.gz NYWLBRVNTCTTJJ-OAHLLOKOSA-N -1 1 313.317 1.074 20 0 DDADMM CC(C)[C@@H]1CNCCN1C(=O)N=c1[n-]nc(C(F)F)s1 ZINC000528674646 303055124 /nfs/dbraw/zinc/05/51/24/303055124.db2.gz CHVJPUNLKFLBSI-ZETCQYMHSA-N -1 1 305.354 1.359 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1C[C@@]1(C)Br)c1nn[n-]n1 ZINC000528800150 303065432 /nfs/dbraw/zinc/06/54/32/303065432.db2.gz FEJAWJZPCWPING-MHYGZLNHSA-N -1 1 302.176 1.331 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2[C@H](C3CC3)[C@@H]2C)c1 ZINC000529407450 303125595 /nfs/dbraw/zinc/12/55/95/303125595.db2.gz KBWKAQSQEJQCKC-ULCPSODYSA-N -1 1 324.402 1.531 20 0 DDADMM O=C(NC[C@@H](O)[C@@H]1CCCO1)c1ccc2ccccc2c1[O-] ZINC000529771460 303153644 /nfs/dbraw/zinc/15/36/44/303153644.db2.gz UBVLMVUAZPEKMH-CABCVRRESA-N -1 1 301.342 1.815 20 0 DDADMM CNC(=O)c1ccc(=NCCOc2ccc(C)cc2C)[n-]n1 ZINC000531305123 303238839 /nfs/dbraw/zinc/23/88/39/303238839.db2.gz XOXXLFFZOMEICG-UHFFFAOYSA-N -1 1 300.362 1.366 20 0 DDADMM O=C([O-])C[C@H]1CCCN(Cc2cn(-c3ccccc3)nn2)C1 ZINC000533203158 303313631 /nfs/dbraw/zinc/31/36/31/303313631.db2.gz NTVOWFCKYLFOCF-CYBMUJFWSA-N -1 1 300.362 1.954 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC(c3n[nH]c(=O)[n-]3)CC2)n[nH]1 ZINC000538823442 303390716 /nfs/dbraw/zinc/39/07/16/303390716.db2.gz XIRACEYQSLJIHP-UHFFFAOYSA-N -1 1 304.354 1.377 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2)s1 ZINC000543729040 303463582 /nfs/dbraw/zinc/46/35/82/303463582.db2.gz IHPKPWNOXXUKQP-UHFFFAOYSA-N -1 1 305.331 1.603 20 0 DDADMM O=S(=O)([N-]c1ccn(-c2ccccc2Cl)n1)N1CCC1 ZINC000551948290 303645992 /nfs/dbraw/zinc/64/59/92/303645992.db2.gz NHGSWPMMRGVFDH-UHFFFAOYSA-N -1 1 312.782 1.888 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccsc2)ccc1-n1cnnn1 ZINC000556793542 303756659 /nfs/dbraw/zinc/75/66/59/303756659.db2.gz OQLZTMDYUXLMNQ-UHFFFAOYSA-N -1 1 321.387 1.833 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC2(CC1)CCS(=O)(=O)CC2 ZINC000561431929 303841760 /nfs/dbraw/zinc/84/17/60/303841760.db2.gz PCBKJMKRFFANBB-UHFFFAOYSA-N -1 1 324.402 1.218 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCCC(=O)NC2CC2)sc1C ZINC000358606296 306944713 /nfs/dbraw/zinc/94/47/13/306944713.db2.gz ZCQJYRSXPOFNKS-UHFFFAOYSA-N -1 1 317.436 1.097 20 0 DDADMM Cc1ccc([N-]S(C)(=O)=O)c(C(=O)N2CCn3nccc32)c1 ZINC000367514838 307096213 /nfs/dbraw/zinc/09/62/13/307096213.db2.gz VACRQDPCSPRPAF-UHFFFAOYSA-N -1 1 320.374 1.223 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)C1CCN(c2cnccn2)CC1 ZINC000367683145 307101950 /nfs/dbraw/zinc/10/19/50/307101950.db2.gz NRQLBTZQPLEYOW-UHFFFAOYSA-N -1 1 313.361 1.318 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC4(C3)CCCOC4)cnc2n1 ZINC000370686944 307132821 /nfs/dbraw/zinc/13/28/21/307132821.db2.gz BBWPXVCYBPRHIN-UHFFFAOYSA-N -1 1 313.357 1.896 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC3(C1)CCCOC3)c2=O ZINC000370686944 307132822 /nfs/dbraw/zinc/13/28/22/307132822.db2.gz BBWPXVCYBPRHIN-UHFFFAOYSA-N -1 1 313.357 1.896 20 0 DDADMM Cc1nc([C@H](NC(=O)[C@@H]2C[C@H]2C(=O)[O-])c2ccccc2)n[nH]1 ZINC000370726613 307134155 /nfs/dbraw/zinc/13/41/55/307134155.db2.gz HBQMAAKHZTWMOM-IJLUTSLNSA-N -1 1 300.318 1.039 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CCN2CCOC[C@H]2C1 ZINC000373398302 307187488 /nfs/dbraw/zinc/18/74/88/307187488.db2.gz JIWWZGQLMPNRGF-GFCCVEGCSA-N -1 1 313.357 1.097 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)NC3CC3)CC2)n1 ZINC000375944882 307234945 /nfs/dbraw/zinc/23/49/45/307234945.db2.gz BBBYVJSUAHEWMD-UHFFFAOYSA-N -1 1 307.354 1.033 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)C1CCC1 ZINC000375964072 307236535 /nfs/dbraw/zinc/23/65/35/307236535.db2.gz SXCICAGIWPNIIG-NSHDSACASA-N -1 1 309.732 1.998 20 0 DDADMM CCc1ccc(S(=O)(=O)Nc2cnc(C)nc2)cc1C(=O)[O-] ZINC000395141611 307295914 /nfs/dbraw/zinc/29/59/14/307295914.db2.gz CPEWBWLTWCLAQL-UHFFFAOYSA-N -1 1 321.358 1.846 20 0 DDADMM CCOC(=O)[C@H](CNC(=O)c1ncccc1[O-])c1ccccc1 ZINC000427255645 307302874 /nfs/dbraw/zinc/30/28/74/307302874.db2.gz GWRZISXFMVQVRL-CYBMUJFWSA-N -1 1 314.341 1.864 20 0 DDADMM COc1cc(CCC(=O)NC(C)(C)c2nn[n-]n2)ccc1Cl ZINC000435986002 307304936 /nfs/dbraw/zinc/30/49/36/307304936.db2.gz YQZBJSVWVHBKAD-UHFFFAOYSA-N -1 1 323.784 1.846 20 0 DDADMM CCn1nncc1C[N-]S(=O)(=O)c1c(F)cccc1F ZINC000528443650 307536041 /nfs/dbraw/zinc/53/60/41/307536041.db2.gz YRQZLILYIUGQGM-UHFFFAOYSA-N -1 1 302.306 1.055 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)Cc1cscn1 ZINC000531534320 307618472 /nfs/dbraw/zinc/61/84/72/307618472.db2.gz MLFLLLKWQHIDIT-UHFFFAOYSA-N -1 1 310.404 1.941 20 0 DDADMM CN(C)c1cnc(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)cn1 ZINC000539956032 307684691 /nfs/dbraw/zinc/68/46/91/307684691.db2.gz UHLCXHMHAWBEDN-UHFFFAOYSA-N -1 1 314.374 1.668 20 0 DDADMM COc1cc(C)c(NS(=O)(=O)c2cccnc2)c(C(=O)[O-])c1 ZINC000547615384 307741182 /nfs/dbraw/zinc/74/11/82/307741182.db2.gz QMYMBRVYJRPBID-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc2ccccc2o1)C(=O)NCCF ZINC000548775088 307793099 /nfs/dbraw/zinc/79/30/99/307793099.db2.gz VIXQIARKQYRYAV-VIFPVBQESA-N -1 1 314.338 1.185 20 0 DDADMM C[C@H](O)C[C@H](C)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000564724956 308003817 /nfs/dbraw/zinc/00/38/17/308003817.db2.gz JTBKFWCTIZVIEM-UWVGGRQHSA-N -1 1 314.432 1.982 20 0 DDADMM Cc1cccc2c1C[C@@H](C(=O)N1CCC[C@@H](c3nn[n-]n3)C1)O2 ZINC000566837414 308064491 /nfs/dbraw/zinc/06/44/91/308064491.db2.gz XXHBKPLWPKZYDI-RISCZKNCSA-N -1 1 313.361 1.218 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)N2CCN(C)C[C@H]2CC)s1 ZINC000567443348 308080619 /nfs/dbraw/zinc/08/06/19/308080619.db2.gz HYDRNSCFMWQJEM-LLVKDONJSA-N -1 1 311.455 1.861 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cccc3c[nH]nc32)[C@@H](C(=O)[O-])C1 ZINC000568284786 308115148 /nfs/dbraw/zinc/11/51/48/308115148.db2.gz OPECUJNWHOYNQA-ZYHUDNBSSA-N -1 1 303.318 1.267 20 0 DDADMM O=C([O-])c1cn([C@@H]2CCCN(Cc3ccc(F)cc3)C2)nn1 ZINC000570521427 308172606 /nfs/dbraw/zinc/17/26/06/308172606.db2.gz DDWPJRQOEGPKLQ-CYBMUJFWSA-N -1 1 304.325 1.953 20 0 DDADMM Cc1ccc(-c2n[n-]c(S(=O)(=O)CCOC(C)C)n2)cc1 ZINC000575949913 308283134 /nfs/dbraw/zinc/28/31/34/308283134.db2.gz JNHUUSOLHPBYKY-UHFFFAOYSA-N -1 1 309.391 1.979 20 0 DDADMM Cc1ccc(-c2nc(S(=O)(=O)CCOC(C)C)n[n-]2)cc1 ZINC000575949913 308283135 /nfs/dbraw/zinc/28/31/35/308283135.db2.gz JNHUUSOLHPBYKY-UHFFFAOYSA-N -1 1 309.391 1.979 20 0 DDADMM CCOC(=O)c1ccc(CS(=O)(=O)[N-]CC(C)(F)F)cc1 ZINC000577341136 308379634 /nfs/dbraw/zinc/37/96/34/308379634.db2.gz ANUXGOHIPMKPJK-UHFFFAOYSA-N -1 1 321.345 1.938 20 0 DDADMM O=c1[nH]ccc2c([N-]S(=O)(=O)C[C@@H]3CCCO3)cccc12 ZINC000578724500 308480219 /nfs/dbraw/zinc/48/02/19/308480219.db2.gz YMOIARZMZGWTLT-JTQLQIEISA-N -1 1 308.359 1.861 20 0 DDADMM O=C([N-]c1nnc(C(F)(F)F)s1)c1cn(CC2CC2)nn1 ZINC000579074467 308504341 /nfs/dbraw/zinc/50/43/41/308504341.db2.gz KUAPEALMFYKBDA-UHFFFAOYSA-N -1 1 318.284 1.811 20 0 DDADMM O=C(NCCn1cncn1)c1ccc(Br)cc1[O-] ZINC000381280890 325741345 /nfs/dbraw/zinc/74/13/45/325741345.db2.gz KZNXXZBQNDNYOO-UHFFFAOYSA-N -1 1 311.139 1.176 20 0 DDADMM CC(C)(O)C1CN(c2ccc(=NCc3ccccc3F)[n-]n2)C1 ZINC000581777667 325915238 /nfs/dbraw/zinc/91/52/38/325915238.db2.gz KWWPFDVNNNYQDY-UHFFFAOYSA-N -1 1 316.380 1.857 20 0 DDADMM CO[C@@H]1C[C@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C12CCC2 ZINC000584340013 333804278 /nfs/dbraw/zinc/80/42/78/333804278.db2.gz CIQBAFUKUNUXML-WDEREUQCSA-N -1 1 316.448 1.996 20 0 DDADMM Cc1n[n-]c(=NC(=O)c2ccc(-c3nnc(C4CC4)[nH]3)cc2)n1C ZINC000345398873 335323306 /nfs/dbraw/zinc/32/33/06/335323306.db2.gz OWTYKJCAERREIT-UHFFFAOYSA-N -1 1 323.360 1.460 20 0 DDADMM CC(C)(C)c1nc(CN2CC[C@@](C(=O)[O-])(C(F)(F)F)C2)n[nH]1 ZINC000582969884 337224495 /nfs/dbraw/zinc/22/44/95/337224495.db2.gz HKNPXNJNNROQMY-GFCCVEGCSA-N -1 1 320.315 1.941 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](Nc2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000584473229 337345828 /nfs/dbraw/zinc/34/58/28/337345828.db2.gz URAOFOBQGQVOEM-RITPCOANSA-N -1 1 315.255 1.459 20 0 DDADMM Cn1cccc1S(=O)(=O)[N-]c1ccccc1CC[NH+](C)C ZINC000414462741 484061081 /nfs/dbraw/zinc/06/10/81/484061081.db2.gz YVDFRMLEEKTRJC-UHFFFAOYSA-N -1 1 307.419 1.930 20 0 DDADMM CC(C)OC[C@@H](CO)N(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000436953390 484130619 /nfs/dbraw/zinc/13/06/19/484130619.db2.gz OXNQIGIPAJJJJZ-LLVKDONJSA-N -1 1 301.770 1.904 20 0 DDADMM CC(C)(CC(=O)NCc1nn[n-]n1)CC(=O)c1ccccc1 ZINC000669603783 484582403 /nfs/dbraw/zinc/58/24/03/484582403.db2.gz XTKCXUYFOAEFMI-UHFFFAOYSA-N -1 1 301.350 1.505 20 0 DDADMM COc1cccc([C@@H](CNC(=O)[C@@H](C(=O)[O-])C2CC2)N(C)C)c1 ZINC000663074481 484646448 /nfs/dbraw/zinc/64/64/48/484646448.db2.gz BSQJTRKOEKGOFE-CABCVRRESA-N -1 1 320.389 1.525 20 0 DDADMM CN(C)[C@@H](CNC(=O)C1(C(=O)[O-])CC=CC1)c1cccs1 ZINC000663096882 484662160 /nfs/dbraw/zinc/66/21/60/484662160.db2.gz FVMVWRTVBOUYKT-NSHDSACASA-N -1 1 308.403 1.888 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@]1(C(=O)[O-])CC1(C)C)c1cccs1 ZINC000663098008 484662894 /nfs/dbraw/zinc/66/28/94/484662894.db2.gz NFBDCQHBCCSTJJ-BONVTDFDSA-N -1 1 310.419 1.968 20 0 DDADMM O=C([O-])[C@H](C(=O)NCCN1CCc2ccccc2C1)C1CC1 ZINC000663113773 484678679 /nfs/dbraw/zinc/67/86/79/484678679.db2.gz KTDDHSNUXOFBRH-HNNXBMFYSA-N -1 1 302.374 1.272 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000663114090 484679892 /nfs/dbraw/zinc/67/98/92/484679892.db2.gz LUDFVIYIYAKVBP-IUODEOHRSA-N -1 1 312.341 1.034 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000663129696 484691256 /nfs/dbraw/zinc/69/12/56/484691256.db2.gz QGFAKIGYUYHFQH-MEBBXXQBSA-N -1 1 307.350 1.015 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000663270898 484777426 /nfs/dbraw/zinc/77/74/26/484777426.db2.gz GKJBFDKXRJTLKK-TZMCWYRMSA-N -1 1 305.378 1.375 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCCNC1=O ZINC000670373481 484793909 /nfs/dbraw/zinc/79/39/09/484793909.db2.gz NNXNIKHZENOPFO-JTQLQIEISA-N -1 1 320.393 1.044 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC(C2N=NC(=O)O2)CC1 ZINC000670405300 484808926 /nfs/dbraw/zinc/80/89/26/484808926.db2.gz BFYJVTQENLJVIR-UHFFFAOYSA-N -1 1 307.281 1.640 20 0 DDADMM CC(C)N1C[C@@H](NC(=O)c2ncc3ccccc3c2[O-])CC1=O ZINC000667876372 484833626 /nfs/dbraw/zinc/83/36/26/484833626.db2.gz JSWJCXNCDYTOLS-LBPRGKRZSA-N -1 1 313.357 1.680 20 0 DDADMM O=c1[n-]nc([C@H]2CCCN(c3nccn(C4CC4)c3=O)C2)o1 ZINC000670818180 484902388 /nfs/dbraw/zinc/90/23/88/484902388.db2.gz ZGBABQCPZIZSTR-VIFPVBQESA-N -1 1 303.322 1.051 20 0 DDADMM O=C([O-])[C@@H](NC(=O)Cc1[nH]nc2ccccc21)C1CCOCC1 ZINC000668308821 485095861 /nfs/dbraw/zinc/09/58/61/485095861.db2.gz MBBVXZHLLCNZHO-HNNXBMFYSA-N -1 1 317.345 1.101 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)NCc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000666563903 485274437 /nfs/dbraw/zinc/27/44/37/485274437.db2.gz OHMNHQYREJJAJH-SECBINFHSA-N -1 1 317.349 1.626 20 0 DDADMM CO[C@H]1CC[C@@H](C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000668743779 485306517 /nfs/dbraw/zinc/30/65/17/485306517.db2.gz JOALKEVSWMIWJC-NEPJUHHUSA-N -1 1 318.377 1.483 20 0 DDADMM Cc1nn(C)c2ncc(NC(=O)C(=O)c3ccc([O-])cc3)cc12 ZINC000672723515 485339830 /nfs/dbraw/zinc/33/98/30/485339830.db2.gz IIFWDYWPZGCZDC-UHFFFAOYSA-N -1 1 310.313 1.804 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1occ2c1CCC2 ZINC000672770609 485346072 /nfs/dbraw/zinc/34/60/72/485346072.db2.gz GDIVBAUBHQYUOB-ZDUSSCGKSA-N -1 1 309.329 1.801 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1onc2c1CCCC2 ZINC000668871523 485346274 /nfs/dbraw/zinc/34/62/74/485346274.db2.gz MJTAPOIXXMVVIJ-UHFFFAOYSA-N -1 1 302.338 1.266 20 0 DDADMM COC[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CCO1 ZINC000669170061 485405921 /nfs/dbraw/zinc/40/59/21/485405921.db2.gz ZMOPCCFDTUVWJA-GFCCVEGCSA-N -1 1 302.330 1.428 20 0 DDADMM O=C(NCCC1CS(=O)(=O)C1)c1cc2ccccc2cc1[O-] ZINC000682560555 485677353 /nfs/dbraw/zinc/67/73/53/485677353.db2.gz CJKYKIRVYYLTNH-UHFFFAOYSA-N -1 1 319.382 1.710 20 0 DDADMM CC(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c(F)c1 ZINC000679105064 485776390 /nfs/dbraw/zinc/77/63/90/485776390.db2.gz HGUWPEKFLBITOB-UHFFFAOYSA-N -1 1 317.324 1.741 20 0 DDADMM Cc1cc(C)c(C)c(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)c1C ZINC000679202262 485806277 /nfs/dbraw/zinc/80/62/77/485806277.db2.gz DCSYPNIKFVNIQW-UHFFFAOYSA-N -1 1 322.390 1.543 20 0 DDADMM C[C@@H]1CN(C(=O)C2CC2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000675042523 485846744 /nfs/dbraw/zinc/84/67/44/485846744.db2.gz XTJZRSKOCJFPHH-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(NCc1nn[n-]n1)c1csc(-c2ccc(F)c(F)c2)n1 ZINC000679636981 485948766 /nfs/dbraw/zinc/94/87/66/485948766.db2.gz ZUQNPRLKFXXDLG-UHFFFAOYSA-N -1 1 322.300 1.531 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1nccs1 ZINC000683632799 486064912 /nfs/dbraw/zinc/06/49/12/486064912.db2.gz RCEWJDSHKOSQCF-UHFFFAOYSA-N -1 1 315.351 1.906 20 0 DDADMM CC(=O)c1cccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000676039022 486094433 /nfs/dbraw/zinc/09/44/33/486094433.db2.gz ZGPAXDSHIDZPQZ-OAHLLOKOSA-N -1 1 321.340 1.922 20 0 DDADMM O=C([O-])[C@@H](Cc1ccccc1)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000676217071 486149160 /nfs/dbraw/zinc/14/91/60/486149160.db2.gz MLGXQORGGDFVAP-IUODEOHRSA-N -1 1 313.357 1.642 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)C[C@H]2CCOC2)sc1C ZINC000676415914 486221207 /nfs/dbraw/zinc/22/12/07/486221207.db2.gz JNVGGWOZGDMLDY-LDYMZIIASA-N -1 1 304.437 1.853 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(OCC(=O)N(C)C)cc2)c1[O-] ZINC000676630696 486273252 /nfs/dbraw/zinc/27/32/52/486273252.db2.gz ADUCVXDNTROKBB-UHFFFAOYSA-N -1 1 318.333 1.143 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(c2ccccn2)CC1 ZINC000681014207 486323670 /nfs/dbraw/zinc/32/36/70/486323670.db2.gz FDABTYLVWGVWEE-UHFFFAOYSA-N -1 1 301.321 1.889 20 0 DDADMM O=C(NCC1CC1)[C@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000681020047 486325047 /nfs/dbraw/zinc/32/50/47/486325047.db2.gz UURYUKAIVVDLJV-ZDUSSCGKSA-N -1 1 320.364 1.910 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CCN(C)C(=O)C2)cc(Cl)c1[O-] ZINC000684488468 486368366 /nfs/dbraw/zinc/36/83/66/486368366.db2.gz PDDPSLKSNFFKFN-SECBINFHSA-N -1 1 312.753 1.405 20 0 DDADMM CCNC(=O)CN(C)c1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000677418492 486459228 /nfs/dbraw/zinc/45/92/28/486459228.db2.gz LMNHETHNBGGHBZ-UHFFFAOYSA-N -1 1 315.377 1.433 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)c1c([O-])cc(F)cc1F ZINC000677912696 486575561 /nfs/dbraw/zinc/57/55/61/486575561.db2.gz WLTJNGTVUXAVII-UHFFFAOYSA-N -1 1 316.289 1.125 20 0 DDADMM Cc1ccc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)nc1C ZINC000457999879 534050790 /nfs/dbraw/zinc/05/07/90/534050790.db2.gz DCKZVMIRZRFPSW-UHFFFAOYSA-N -1 1 311.345 1.616 20 0 DDADMM Cc1ccc(-c2cc([N-]S(=O)(=O)c3ccn(C)n3)[nH]n2)o1 ZINC000446633007 534184780 /nfs/dbraw/zinc/18/47/80/534184780.db2.gz WHIATUSOLVCUMC-UHFFFAOYSA-N -1 1 307.335 1.512 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)COCc2ncc(C)o2)c1 ZINC000436680826 534230647 /nfs/dbraw/zinc/23/06/47/534230647.db2.gz LBUAPESUUIONBN-UHFFFAOYSA-N -1 1 320.301 1.631 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)[C@@H](OC)C2CC2)o1 ZINC000451995382 534240696 /nfs/dbraw/zinc/24/06/96/534240696.db2.gz QZPDNEMUPSNDSX-QPUJVOFHSA-N -1 1 317.363 1.158 20 0 DDADMM COC(=O)c1cnc([C@@H](C)NC(=O)c2ncc(C)cc2[O-])s1 ZINC000424975589 534308295 /nfs/dbraw/zinc/30/82/95/534308295.db2.gz HRVFJYRITAGTMU-MRVPVSSYSA-N -1 1 321.358 1.830 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCC(C)(C)CO)cnc2n1 ZINC000452431776 534399153 /nfs/dbraw/zinc/39/91/53/534399153.db2.gz ANPGFNOAQRNAAR-UHFFFAOYSA-N -1 1 303.362 1.782 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCC(C)(C)CO)c2=O ZINC000452431776 534399161 /nfs/dbraw/zinc/39/91/61/534399161.db2.gz ANPGFNOAQRNAAR-UHFFFAOYSA-N -1 1 303.362 1.782 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](CO)c2ccc(F)cc2)c([O-])c1 ZINC000413961656 534529600 /nfs/dbraw/zinc/52/96/00/534529600.db2.gz AATICRWFESHLNH-LBPRGKRZSA-N -1 1 304.321 1.741 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC1CC(C)(F)C1 ZINC000452279799 534646441 /nfs/dbraw/zinc/64/64/41/534646441.db2.gz NPTWRBIBWAIQTB-UHFFFAOYSA-N -1 1 320.368 1.991 20 0 DDADMM C[C@@H](C1CC1)N(C(=O)CNC(=O)c1ncccc1[O-])C1CC1 ZINC000337942932 524310791 /nfs/dbraw/zinc/31/07/91/524310791.db2.gz BSXWEKBNRVFSKK-JTQLQIEISA-N -1 1 303.362 1.307 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1ccc(-c2ncon2)cc1 ZINC000424058731 534860731 /nfs/dbraw/zinc/86/07/31/534860731.db2.gz AFOHOFPSPFDQLR-UHFFFAOYSA-N -1 1 323.374 1.905 20 0 DDADMM CCC[C@@]1(CO)CCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000444534640 527945136 /nfs/dbraw/zinc/94/51/36/527945136.db2.gz IWDAPVHXUDKTHB-INIZCTEOSA-N -1 1 318.377 1.441 20 0 DDADMM CC(C)Cc1cc([N-]S(=O)(=O)CCOCC2CC2)n[nH]1 ZINC000424204939 528643218 /nfs/dbraw/zinc/64/32/18/528643218.db2.gz FLIIVWNAJORUNI-UHFFFAOYSA-N -1 1 301.412 1.777 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C1CCC1 ZINC000458306175 528992219 /nfs/dbraw/zinc/99/22/19/528992219.db2.gz MJAWPELXKIKBLU-LLVKDONJSA-N -1 1 314.407 1.886 20 0 DDADMM CCC[C@@H](O)CS(=O)(=O)c1nc(Cc2ccccc2)n[n-]1 ZINC000295857729 529037817 /nfs/dbraw/zinc/03/78/17/529037817.db2.gz IZOSINXQTJJDAO-GFCCVEGCSA-N -1 1 309.391 1.330 20 0 DDADMM CCC[C@@H](O)CS(=O)(=O)c1n[n-]c(Cc2ccccc2)n1 ZINC000295857729 529037820 /nfs/dbraw/zinc/03/78/20/529037820.db2.gz IZOSINXQTJJDAO-GFCCVEGCSA-N -1 1 309.391 1.330 20 0 DDADMM C[C@](O)(CNc1cccc(-c2nnn[n-]2)n1)c1cccs1 ZINC000736140703 598857833 /nfs/dbraw/zinc/85/78/33/598857833.db2.gz AEANFAMHZHKKPD-ZDUSSCGKSA-N -1 1 302.363 1.643 20 0 DDADMM C[C@](O)(CNc1cccc(-c2nn[n-]n2)n1)c1cccs1 ZINC000736140703 598857834 /nfs/dbraw/zinc/85/78/34/598857834.db2.gz AEANFAMHZHKKPD-ZDUSSCGKSA-N -1 1 302.363 1.643 20 0 DDADMM C[C@@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C1CCCC1 ZINC000736132742 598875345 /nfs/dbraw/zinc/87/53/45/598875345.db2.gz WRWYSHRSFZYFFN-SECBINFHSA-N -1 1 322.394 1.119 20 0 DDADMM C[C@@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C1CCCC1 ZINC000736132742 598875346 /nfs/dbraw/zinc/87/53/46/598875346.db2.gz WRWYSHRSFZYFFN-SECBINFHSA-N -1 1 322.394 1.119 20 0 DDADMM CN(Cc1cscn1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736831998 598961727 /nfs/dbraw/zinc/96/17/27/598961727.db2.gz VZTNTUNZOTUWOC-UHFFFAOYSA-N -1 1 324.373 1.903 20 0 DDADMM CN(Cc1cscn1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736831998 598961728 /nfs/dbraw/zinc/96/17/28/598961728.db2.gz VZTNTUNZOTUWOC-UHFFFAOYSA-N -1 1 324.373 1.903 20 0 DDADMM O=C(Nc1ccc(F)c(F)c1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738166795 598979284 /nfs/dbraw/zinc/97/92/84/598979284.db2.gz WDOPYAUCNICYAB-UHFFFAOYSA-N -1 1 320.234 1.931 20 0 DDADMM O=C(Nc1ccc(F)c(F)c1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738166795 598979286 /nfs/dbraw/zinc/97/92/86/598979286.db2.gz WDOPYAUCNICYAB-UHFFFAOYSA-N -1 1 320.234 1.931 20 0 DDADMM CC1CCC(C)(NC(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736238404 598999654 /nfs/dbraw/zinc/99/96/54/598999654.db2.gz VCIBGFCPIJAERZ-UHFFFAOYSA-N -1 1 300.366 1.960 20 0 DDADMM CC1CCC(C)(NC(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736238404 598999655 /nfs/dbraw/zinc/99/96/55/598999655.db2.gz VCIBGFCPIJAERZ-UHFFFAOYSA-N -1 1 300.366 1.960 20 0 DDADMM CCCCN(CCOC)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736456510 599004358 /nfs/dbraw/zinc/00/43/58/599004358.db2.gz JCJOWRMVHHFKPQ-UHFFFAOYSA-N -1 1 304.354 1.150 20 0 DDADMM CCCCN(CCOC)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736456510 599004360 /nfs/dbraw/zinc/00/43/60/599004360.db2.gz JCJOWRMVHHFKPQ-UHFFFAOYSA-N -1 1 304.354 1.150 20 0 DDADMM COC1CCC(N(C)C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736963124 599008929 /nfs/dbraw/zinc/00/89/29/599008929.db2.gz SFPBEDWEULRFMN-UHFFFAOYSA-N -1 1 316.365 1.291 20 0 DDADMM COC1CCC(N(C)C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736963124 599008930 /nfs/dbraw/zinc/00/89/30/599008930.db2.gz SFPBEDWEULRFMN-UHFFFAOYSA-N -1 1 316.365 1.291 20 0 DDADMM Cc1ccc([C@H](O)CNC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737319557 599106724 /nfs/dbraw/zinc/10/67/24/599106724.db2.gz HPOGVGIOTBCGQC-CQSZACIVSA-N -1 1 324.344 1.034 20 0 DDADMM Cc1ccc([C@H](O)CNC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737319557 599106725 /nfs/dbraw/zinc/10/67/25/599106725.db2.gz HPOGVGIOTBCGQC-CQSZACIVSA-N -1 1 324.344 1.034 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1cccc(O)c1 ZINC000736118414 599108419 /nfs/dbraw/zinc/10/84/19/599108419.db2.gz JCZJREQGKKIRCX-SECBINFHSA-N -1 1 310.317 1.458 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1cccc(O)c1 ZINC000736118414 599108422 /nfs/dbraw/zinc/10/84/22/599108422.db2.gz JCZJREQGKKIRCX-SECBINFHSA-N -1 1 310.317 1.458 20 0 DDADMM O=C(OCc1ccc(Cl)nc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738232583 599139721 /nfs/dbraw/zinc/13/97/21/599139721.db2.gz SSGBNHBBCFSTRJ-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C(OCc1ccc(Cl)nc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738232583 599139722 /nfs/dbraw/zinc/13/97/22/599139722.db2.gz SSGBNHBBCFSTRJ-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM COCc1ccc(CNC(=O)CN2CCC(C(=O)[O-])CC2)cc1 ZINC000739844180 596917805 /nfs/dbraw/zinc/91/78/05/596917805.db2.gz YJZWFMPCOFQFGB-UHFFFAOYSA-N -1 1 320.389 1.246 20 0 DDADMM CC(C)[C@]1(C)NC(=O)N(CN2CCC[C@H](CC(=O)[O-])C2)C1=O ZINC000817858543 597044171 /nfs/dbraw/zinc/04/41/71/597044171.db2.gz IEQHGSVDCQYHNB-ABAIWWIYSA-N -1 1 311.382 1.097 20 0 DDADMM COC(=O)c1ccc2c(c1)nc(CN(C)[C@@H](C)C(=O)[O-])[nH]c2=O ZINC000818523919 597475707 /nfs/dbraw/zinc/47/57/07/597475707.db2.gz RLAMASAHQKHWCQ-QMMMGPOBSA-N -1 1 319.317 1.027 20 0 DDADMM C[C@@H](C(=O)n1ncc(-c2nn[n-]n2)c1N)c1cccc(F)c1 ZINC000819882564 599315232 /nfs/dbraw/zinc/31/52/32/599315232.db2.gz BNWZEQWVMHZSAK-SSDOTTSWSA-N -1 1 301.285 1.228 20 0 DDADMM CCOc1ccc(CN(C)c2nccnc2-c2nnn[n-]2)cc1 ZINC000736643912 598336722 /nfs/dbraw/zinc/33/67/22/598336722.db2.gz PNYOGRGYYRRWQU-UHFFFAOYSA-N -1 1 311.349 1.692 20 0 DDADMM CCOc1ccc(CN(C)c2nccnc2-c2nn[n-]n2)cc1 ZINC000736643912 598336725 /nfs/dbraw/zinc/33/67/25/598336725.db2.gz PNYOGRGYYRRWQU-UHFFFAOYSA-N -1 1 311.349 1.692 20 0 DDADMM CC(C)C(=O)Nc1ccc(Nc2nccnc2-c2nnn[n-]2)cc1 ZINC000735693077 598337153 /nfs/dbraw/zinc/33/71/53/598337153.db2.gz WDXVVYGBAYMLRX-UHFFFAOYSA-N -1 1 324.348 1.995 20 0 DDADMM CC(C)C(=O)Nc1ccc(Nc2nccnc2-c2nn[n-]n2)cc1 ZINC000735693077 598337154 /nfs/dbraw/zinc/33/71/54/598337154.db2.gz WDXVVYGBAYMLRX-UHFFFAOYSA-N -1 1 324.348 1.995 20 0 DDADMM COc1cccc(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)n1 ZINC000737133266 598579384 /nfs/dbraw/zinc/57/93/84/598579384.db2.gz ZFCLWOHCXRMDTE-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM COc1cccc(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)n1 ZINC000737133266 598579385 /nfs/dbraw/zinc/57/93/85/598579385.db2.gz ZFCLWOHCXRMDTE-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM O=C(CNc1cccc(-c2nnn[n-]2)n1)NCCc1ccccc1 ZINC000737898977 599420618 /nfs/dbraw/zinc/42/06/18/599420618.db2.gz OBAATQPGMOCLAO-UHFFFAOYSA-N -1 1 323.360 1.033 20 0 DDADMM O=C(CNc1cccc(-c2nn[n-]n2)n1)NCCc1ccccc1 ZINC000737898977 599420621 /nfs/dbraw/zinc/42/06/21/599420621.db2.gz OBAATQPGMOCLAO-UHFFFAOYSA-N -1 1 323.360 1.033 20 0 DDADMM O=C(CCNc1cccc(-c2nnn[n-]2)n1)N1CCCCCC1 ZINC000737848860 599423008 /nfs/dbraw/zinc/42/30/08/599423008.db2.gz UQMLMPMZXVSTPJ-UHFFFAOYSA-N -1 1 315.381 1.466 20 0 DDADMM O=C(CCNc1cccc(-c2nn[n-]n2)n1)N1CCCCCC1 ZINC000737848860 599423011 /nfs/dbraw/zinc/42/30/11/599423011.db2.gz UQMLMPMZXVSTPJ-UHFFFAOYSA-N -1 1 315.381 1.466 20 0 DDADMM c1csc([C@@H]2CN(c3cccc(-c4nnn[n-]4)n3)CCO2)n1 ZINC000738413353 599424210 /nfs/dbraw/zinc/42/42/10/599424210.db2.gz UHCAASUVWUPLTC-JTQLQIEISA-N -1 1 315.362 1.296 20 0 DDADMM c1csc([C@@H]2CN(c3cccc(-c4nn[n-]n4)n3)CCO2)n1 ZINC000738413353 599424213 /nfs/dbraw/zinc/42/42/13/599424213.db2.gz UHCAASUVWUPLTC-JTQLQIEISA-N -1 1 315.362 1.296 20 0 DDADMM CN(C)[C@H](CNC(=O)CCCNC(=O)[O-])c1ccc(F)cc1 ZINC000737360441 599748616 /nfs/dbraw/zinc/74/86/16/599748616.db2.gz YJABAZFZEQHBIE-CYBMUJFWSA-N -1 1 311.357 1.592 20 0 DDADMM Cc1c(Cl)c(C(=O)[O-])nn1CN(C)C[C@@H]1CCCOC1 ZINC000738290638 599762956 /nfs/dbraw/zinc/76/29/56/599762956.db2.gz UATCJUTWMHOBQL-JTQLQIEISA-N -1 1 301.774 1.859 20 0 DDADMM CN(C)[C@@H](CNC(=O)CCSCC(=O)[O-])c1cccs1 ZINC000737358227 599962937 /nfs/dbraw/zinc/96/29/37/599962937.db2.gz JJMZPNCYPOXSLB-JTQLQIEISA-N -1 1 316.448 1.675 20 0 DDADMM CCC[C@@](C)(NCC(=O)NCCc1ccc(F)cc1)C(=O)[O-] ZINC000386570636 600155182 /nfs/dbraw/zinc/15/51/82/600155182.db2.gz PWGLBHVIHIEREA-MRXNPFEDSA-N -1 1 310.369 1.717 20 0 DDADMM CCC[C@@](C)(NCC(=O)N1CCc2sccc2C1)C(=O)[O-] ZINC000036807879 600156695 /nfs/dbraw/zinc/15/66/95/600156695.db2.gz QWRDBAAFYJFVGF-OAHLLOKOSA-N -1 1 310.419 1.866 20 0 DDADMM CN(CCC(=O)N(C)CC(=O)[O-])Cc1ccc(F)cc1F ZINC000737412665 600159655 /nfs/dbraw/zinc/15/96/55/600159655.db2.gz TYDASARENFBPHI-UHFFFAOYSA-N -1 1 300.305 1.330 20 0 DDADMM Cc1nn(C)c(NS(=O)(=O)c2ccc(CC(=O)[O-])cc2)c1C ZINC000738919178 600244413 /nfs/dbraw/zinc/24/44/13/600244413.db2.gz RSTRHISEFISART-UHFFFAOYSA-N -1 1 323.374 1.465 20 0 DDADMM O=C([O-])CCSCCNC(=O)c1cc(F)cc2nc[nH]c21 ZINC000739742806 600261798 /nfs/dbraw/zinc/26/17/98/600261798.db2.gz BZDBNHHRLJGDPK-UHFFFAOYSA-N -1 1 311.338 1.640 20 0 DDADMM O=C([O-])CCCc1nc(CN2CCC(c3ccn[nH]3)CC2)no1 ZINC000739697344 600295912 /nfs/dbraw/zinc/29/59/12/600295912.db2.gz ITEBDXDDKRNPFR-UHFFFAOYSA-N -1 1 319.365 1.580 20 0 DDADMM CC(C)C[C@@H](NC(=O)CN1CCC(n2ccnc2)CC1)C(=O)[O-] ZINC000736413834 600317435 /nfs/dbraw/zinc/31/74/35/600317435.db2.gz VLJSLIMIMNBSHA-CQSZACIVSA-N -1 1 322.409 1.136 20 0 DDADMM C[C@H](CN(Cc1ccccc1)C(=O)[C@@H]1CCCN1C)C(=O)[O-] ZINC000736582394 600377770 /nfs/dbraw/zinc/37/77/70/600377770.db2.gz GZXBCJKAGYYRNZ-HIFRSBDPSA-N -1 1 304.390 1.830 20 0 DDADMM Cc1cc(NC(=O)c2nnc3ccccc3c2O)c(C(=O)[O-])[nH]1 ZINC000738452087 600485593 /nfs/dbraw/zinc/48/55/93/600485593.db2.gz HZDQJHUVOJVZEG-UHFFFAOYSA-N -1 1 312.285 1.922 20 0 DDADMM COC(=O)CCN(Cc1cc(C(=O)[O-])co1)C[C@@H]1CCCO1 ZINC000737598607 600511684 /nfs/dbraw/zinc/51/16/84/600511684.db2.gz FBGNBSAMXLCCCI-LBPRGKRZSA-N -1 1 311.334 1.522 20 0 DDADMM CC(C)[C@H](C)NC(=O)CN(CCC(=O)[O-])C[C@H]1CCCO1 ZINC000736354030 600514038 /nfs/dbraw/zinc/51/40/38/600514038.db2.gz TTWDOODHFPQGPZ-QWHCGFSZSA-N -1 1 300.399 1.103 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)C[C@@H]1CCCCO1 ZINC000825977450 600820206 /nfs/dbraw/zinc/82/02/06/600820206.db2.gz HHIGMYVAAITZFR-JSGCOSHPSA-N -1 1 312.410 1.199 20 0 DDADMM COc1ccccc1C[C@H](C)N(C)CC(=O)NCCC(=O)[O-] ZINC000832607327 600841176 /nfs/dbraw/zinc/84/11/76/600841176.db2.gz CTTVSNDLCLISSB-LBPRGKRZSA-N -1 1 308.378 1.149 20 0 DDADMM Cc1nnc(SCCC(=O)NC[C@@H](CC(C)C)C(=O)[O-])[nH]1 ZINC000833022176 600969850 /nfs/dbraw/zinc/96/98/50/600969850.db2.gz XFHFJSNGNOKVHA-SNVBAGLBSA-N -1 1 314.411 1.458 20 0 DDADMM CN(C(=O)CN1CC[C@@H](CCO)C1)c1ccccc1C(=O)[O-] ZINC000737324897 600980915 /nfs/dbraw/zinc/98/09/15/600980915.db2.gz VHRHAVYZVXKAFU-LBPRGKRZSA-N -1 1 306.362 1.052 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN[C@](C)(C(=O)[O-])C2CC2)cc1 ZINC000831398278 601032956 /nfs/dbraw/zinc/03/29/56/601032956.db2.gz BXVIQDHPIQMOJM-INIZCTEOSA-N -1 1 320.345 1.255 20 0 DDADMM C[C@](NCC(=O)NCCc1ccc(F)cc1)(C(=O)[O-])C1CC1 ZINC000827727183 601033912 /nfs/dbraw/zinc/03/39/12/601033912.db2.gz GMFVTKLCARSIMY-MRXNPFEDSA-N -1 1 308.353 1.327 20 0 DDADMM c1ccc([C@@H]2CN(Cc3ccnc(-c4nn[n-]n4)c3)CCO2)cc1 ZINC000826520208 607485958 /nfs/dbraw/zinc/48/59/58/607485958.db2.gz CQBAJNWHXKTWIJ-INIZCTEOSA-N -1 1 322.372 1.835 20 0 DDADMM CC(C)c1cc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)[nH]n1 ZINC000827102058 601094908 /nfs/dbraw/zinc/09/49/08/601094908.db2.gz NFKLIUPFEYCTFF-LLVKDONJSA-N -1 1 308.382 1.154 20 0 DDADMM CCc1nnc([C@@H]2CN(Cc3ccc(C(=O)[O-])cc3)CCO2)[nH]1 ZINC000737273771 601105951 /nfs/dbraw/zinc/10/59/51/601105951.db2.gz ADRSMPBRJOBJRK-ZDUSSCGKSA-N -1 1 316.361 1.639 20 0 DDADMM CCc1nc([C@H]2CN(Cc3cc(C(=O)[O-])co3)CCO2)n[nH]1 ZINC000737273864 601106075 /nfs/dbraw/zinc/10/60/75/601106075.db2.gz CDWYAHFXNIWMHV-LLVKDONJSA-N -1 1 306.322 1.232 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2ccccc2)CC1 ZINC000827356856 601107274 /nfs/dbraw/zinc/10/72/74/601107274.db2.gz UEUFPUXOAJWVHY-DANTVBBOSA-N -1 1 302.374 1.707 20 0 DDADMM CN(C)[C@H](CNC(=O)c1ccnc(C(=O)[O-])c1)c1ccsc1 ZINC000830585119 601277463 /nfs/dbraw/zinc/27/74/63/601277463.db2.gz PRBLCRNSILLNIE-CYBMUJFWSA-N -1 1 319.386 1.874 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCN(C[C@@H](O)CC)CC1 ZINC000830374913 601419963 /nfs/dbraw/zinc/41/99/63/601419963.db2.gz IVHUKUZBLRAVIN-ZDUSSCGKSA-N -1 1 310.394 1.429 20 0 DDADMM O=C([O-])[C@H]1C[C@H](C2CCCCC2)CN1CC(=O)N1CCOCC1 ZINC000833179693 601431408 /nfs/dbraw/zinc/43/14/08/601431408.db2.gz POBLXJQGLVEZCM-LSDHHAIUSA-N -1 1 324.421 1.201 20 0 DDADMM C[C@@H](NC(=O)Cn1cc(C(=O)[O-])c2ccccc21)c1nnc[nH]1 ZINC000827636274 601441962 /nfs/dbraw/zinc/44/19/62/601441962.db2.gz KWHVUMYCTWZYOZ-SECBINFHSA-N -1 1 313.317 1.335 20 0 DDADMM C[C@@H]1CCCCN1CCNS(=O)(=O)CC1(CC(=O)[O-])CC1 ZINC000828258123 601507191 /nfs/dbraw/zinc/50/71/91/601507191.db2.gz PRGNRTVALNRLTA-GFCCVEGCSA-N -1 1 318.439 1.035 20 0 DDADMM CC(C)CN(CC(F)(F)F)C(=O)CN1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000826871101 601525448 /nfs/dbraw/zinc/52/54/48/601525448.db2.gz MFSSZKXVTSBJHP-GHMZBOCLSA-N -1 1 324.343 1.686 20 0 DDADMM O=C([O-])CN(CCN1CCOCC1)Cc1ccc(F)cc1F ZINC000034672558 601548748 /nfs/dbraw/zinc/54/87/48/601548748.db2.gz NZDTWQIAEGVKNC-UHFFFAOYSA-N -1 1 314.332 1.184 20 0 DDADMM Cc1sc(C(=O)[O-])cc1CN1CCC[C@H]1CS(N)(=O)=O ZINC000833031122 601636513 /nfs/dbraw/zinc/63/65/13/601636513.db2.gz DVAFMHIYBHUYNQ-JTQLQIEISA-N -1 1 318.420 1.008 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000832847386 601759869 /nfs/dbraw/zinc/75/98/69/601759869.db2.gz IIYIDNSJZNQNJO-IYSWYEEDSA-N -1 1 305.256 1.530 20 0 DDADMM C[C@H](NC(=O)c1ccc2[nH]nnc2c1)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000739022202 601871838 /nfs/dbraw/zinc/87/18/38/601871838.db2.gz DDMAKCKKAKZHFT-GXSJLCMTSA-N -1 1 317.349 1.466 20 0 DDADMM Cn1c(CN2CC[C@@](C)(C(=O)[O-])C2)nnc1-c1ccccc1 ZINC000833038312 601917088 /nfs/dbraw/zinc/91/70/88/601917088.db2.gz BRWXJBPUDXJEIU-MRXNPFEDSA-N -1 1 300.362 1.779 20 0 DDADMM C[C@@H]1CCCN(C(=O)CN2CCSC[C@H]2CC(=O)[O-])C1 ZINC000252218086 601919500 /nfs/dbraw/zinc/91/95/00/601919500.db2.gz YGYZMPWRICEFBI-VXGBXAGGSA-N -1 1 300.424 1.137 20 0 DDADMM CC(=O)c1ccccc1OCCN1CC[C@H](CNC(=O)[O-])C1 ZINC000738596026 601957577 /nfs/dbraw/zinc/95/75/77/601957577.db2.gz FHFDOHPOBNFTQP-CYBMUJFWSA-N -1 1 306.362 1.858 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC000830585069 602011504 /nfs/dbraw/zinc/01/15/04/602011504.db2.gz MRLJQAOTJTWAEX-YOEHRIQHSA-N -1 1 304.390 1.483 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC000827310769 602075114 /nfs/dbraw/zinc/07/51/14/602075114.db2.gz METWWDXUTCQTJG-LBPRGKRZSA-N -1 1 305.378 1.488 20 0 DDADMM O=C([O-])NCCCC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000739960785 602125972 /nfs/dbraw/zinc/12/59/72/602125972.db2.gz ZUSDAZOIVIBIFO-ZDUSSCGKSA-N -1 1 323.393 1.971 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000739235313 602151905 /nfs/dbraw/zinc/15/19/05/602151905.db2.gz QEVNVKNABXWRMI-GXFFZTMASA-N -1 1 317.349 1.888 20 0 DDADMM O=C([O-])N1CCC(CNC(=O)N2CCN(CC3CC3)CC2)CC1 ZINC000740504271 602160382 /nfs/dbraw/zinc/16/03/82/602160382.db2.gz WKWWFDKBNIDRMZ-UHFFFAOYSA-N -1 1 324.425 1.114 20 0 DDADMM N=C(NOCc1ccc(C(=O)[O-])o1)[C@H]1COc2ccccc2O1 ZINC000833078859 602160775 /nfs/dbraw/zinc/16/07/75/602160775.db2.gz RYJXLSQLARASAX-CYBMUJFWSA-N -1 1 318.285 1.816 20 0 DDADMM CCN(C)[C@H](C(=O)N1CC[C@@H](CNC(=O)[O-])C1)c1ccccc1 ZINC000739384047 602320615 /nfs/dbraw/zinc/32/06/15/602320615.db2.gz BLAHKOUZGCULLK-ZFWWWQNUSA-N -1 1 319.405 1.796 20 0 DDADMM CN(CC(=O)Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1)C(=O)[O-] ZINC000739626175 602326021 /nfs/dbraw/zinc/32/60/21/602326021.db2.gz FZRQUROSFLUISN-GFCCVEGCSA-N -1 1 318.333 1.963 20 0 DDADMM CN(CC1CCN(CC(=O)NCc2ccccc2)CC1)C(=O)[O-] ZINC000739661625 602388006 /nfs/dbraw/zinc/38/80/06/602388006.db2.gz RMDXAAOOXFMZHM-UHFFFAOYSA-N -1 1 319.405 1.625 20 0 DDADMM CC(C)C[C@H](CNC(=O)[O-])NC(=O)c1n[nH]c2ccccc21 ZINC000738809706 602530568 /nfs/dbraw/zinc/53/05/68/602530568.db2.gz HYBAJGXLJVLDGT-SNVBAGLBSA-N -1 1 304.350 1.975 20 0 DDADMM CN(C)C(=O)[C@H](c1ccccc1)N1CCC(N(C)C(=O)[O-])CC1 ZINC000737945801 602532228 /nfs/dbraw/zinc/53/22/28/602532228.db2.gz YLWALVGXGHSLOP-HNNXBMFYSA-N -1 1 319.405 1.890 20 0 DDADMM Cc1csc2nc(CN(C)[C@H](C)CNC(=O)[O-])cc(=O)n12 ZINC000740207407 602561485 /nfs/dbraw/zinc/56/14/85/602561485.db2.gz JUGHOYHRVHOEAL-MRVPVSSYSA-N -1 1 310.379 1.152 20 0 DDADMM CCCN(CC(=O)N1CCC[C@@H](C)C1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739352692 602578588 /nfs/dbraw/zinc/57/85/88/602578588.db2.gz VSGDFLOFHPEJLA-ZIAGYGMSSA-N -1 1 311.426 1.709 20 0 DDADMM O=C([O-])N1CCC[C@H]1CN[C@@H](C(=O)NC1CC1)c1ccccc1 ZINC000740392205 602593973 /nfs/dbraw/zinc/59/39/73/602593973.db2.gz GFXZXRAKHBODHB-LSDHHAIUSA-N -1 1 317.389 1.738 20 0 DDADMM Cc1[nH]ncc1C(=O)N1CCN(C(=O)[O-])Cc2ccccc21 ZINC000740031532 602711923 /nfs/dbraw/zinc/71/19/23/602711923.db2.gz NRJHAZHLTKHMRF-UHFFFAOYSA-N -1 1 300.318 1.859 20 0 DDADMM C[C@@H]1CN(C[C@H](O)c2cc(F)ccc2F)CC[C@@H]1NC(=O)[O-] ZINC000739179221 602775544 /nfs/dbraw/zinc/77/55/44/602775544.db2.gz LVBLOTYOPUQDJQ-IIMNLJJBSA-N -1 1 314.332 1.976 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)NC[C@H]2CC[N@@H+](C3CC3)C2)c([O-])c1 ZINC000740697932 602848188 /nfs/dbraw/zinc/84/81/88/602848188.db2.gz GSOCCHRYUVKQML-SNVBAGLBSA-N -1 1 319.361 1.696 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)NC[C@H]2CC[N@H+](C3CC3)C2)c([O-])c1 ZINC000740697932 602848193 /nfs/dbraw/zinc/84/81/93/602848193.db2.gz GSOCCHRYUVKQML-SNVBAGLBSA-N -1 1 319.361 1.696 20 0 DDADMM CC[C@@H](C)[N@H+](C)CCNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739243673 602858751 /nfs/dbraw/zinc/85/87/51/602858751.db2.gz HITHDQUVJGQMIC-SNVBAGLBSA-N -1 1 309.366 1.942 20 0 DDADMM CC[C@@H](C)[N@@H+](C)CCNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739243673 602858754 /nfs/dbraw/zinc/85/87/54/602858754.db2.gz HITHDQUVJGQMIC-SNVBAGLBSA-N -1 1 309.366 1.942 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@@H]2CC[N@H+](CC3CC3)C2)c([O-])c1 ZINC000740699229 602864872 /nfs/dbraw/zinc/86/48/72/602864872.db2.gz SFWAUZLJSWXXRA-GFCCVEGCSA-N -1 1 319.361 1.696 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@@H]2CC[N@@H+](CC3CC3)C2)c([O-])c1 ZINC000740699229 602864875 /nfs/dbraw/zinc/86/48/75/602864875.db2.gz SFWAUZLJSWXXRA-GFCCVEGCSA-N -1 1 319.361 1.696 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)NC[C@H]1CCCN1C(=O)[O-] ZINC000738801623 602913240 /nfs/dbraw/zinc/91/32/40/602913240.db2.gz SNMMQOOYAAUNMK-OLZOCXBDSA-N -1 1 312.414 1.110 20 0 DDADMM CCCN(C(=O)NCc1cc(CC)n[nH]1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739353469 602916581 /nfs/dbraw/zinc/91/65/81/602916581.db2.gz STFFKPNSCDIQJC-CYBMUJFWSA-N -1 1 323.397 1.646 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cccc(CO)c1 ZINC000826467100 607604458 /nfs/dbraw/zinc/60/44/58/607604458.db2.gz HGCFLQLJYWMCDH-UHFFFAOYSA-N -1 1 311.301 1.111 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cccc(CO)c1 ZINC000826467100 607604459 /nfs/dbraw/zinc/60/44/59/607604459.db2.gz HGCFLQLJYWMCDH-UHFFFAOYSA-N -1 1 311.301 1.111 20 0 DDADMM CCN1CCN(CC(=O)Nc2cccc(CNC(=O)[O-])c2)CC1 ZINC000739459574 603098666 /nfs/dbraw/zinc/09/86/66/603098666.db2.gz OGGYYNCCELNHRQ-UHFFFAOYSA-N -1 1 320.393 1.030 20 0 DDADMM CC[N@H+]1CCC[C@@H](NC(=O)NC2CCC(NC(=O)[O-])CC2)C1 ZINC000739459041 603144691 /nfs/dbraw/zinc/14/46/91/603144691.db2.gz ZGGAGRJIALSFBN-WXRRBKDZSA-N -1 1 312.414 1.349 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)N(C)Cc1ccccc1F ZINC000739676540 603252473 /nfs/dbraw/zinc/25/24/73/603252473.db2.gz NVOCKEIQBLSGLD-UHFFFAOYSA-N -1 1 311.357 1.374 20 0 DDADMM Cc1ccc2nc(CNC(=O)NCC[C@H](C)NC(=O)[O-])[nH]c2c1 ZINC000830434073 603468097 /nfs/dbraw/zinc/46/80/97/603468097.db2.gz ZOTSQBOREUILTF-JTQLQIEISA-N -1 1 319.365 1.717 20 0 DDADMM O=C([O-])N1CCN2C(=O)N(CN3CCC4(CCCC4)C3)C[C@@H]2C1 ZINC000832043690 603608625 /nfs/dbraw/zinc/60/86/25/603608625.db2.gz ZZYQQOHPZLMSAW-ZDUSSCGKSA-N -1 1 322.409 1.310 20 0 DDADMM CC(C)C[C@@H](CNC(=O)[O-])NC(=O)N1CCN(C(C)C)CC1 ZINC000824188469 603665342 /nfs/dbraw/zinc/66/53/42/603665342.db2.gz CHVSZILCYRMOBU-ZDUSSCGKSA-N -1 1 314.430 1.404 20 0 DDADMM CNC(=O)c1cccc(CN2CCC[C@@H](C)[C@@H]2CNC(=O)[O-])c1 ZINC000828568121 603724913 /nfs/dbraw/zinc/72/49/13/603724913.db2.gz ZYHLAHKZGDEKOV-DOMZBBRYSA-N -1 1 319.405 1.914 20 0 DDADMM CCN(CC)C(=O)CNCc1cccc2c1N(C(=O)[O-])CC2 ZINC000827029396 603854740 /nfs/dbraw/zinc/85/47/40/603854740.db2.gz LCPNGQCHPQQYMJ-UHFFFAOYSA-N -1 1 305.378 1.685 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000824135006 603936707 /nfs/dbraw/zinc/93/67/07/603936707.db2.gz BRZPEXKZKPWFQE-CYBMUJFWSA-N -1 1 322.340 1.653 20 0 DDADMM CN1CCN(C(=O)[C@@H]2CC[C@@H](NC(=O)[O-])C2)C2(CCCCC2)C1 ZINC000828496826 603941822 /nfs/dbraw/zinc/94/18/22/603941822.db2.gz ZZNVBFOJIOLPFG-ZIAGYGMSSA-N -1 1 323.437 1.900 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC[C@@H]1NC(=O)[O-] ZINC000825976079 603988593 /nfs/dbraw/zinc/98/85/93/603988593.db2.gz TVMQVWTWNQZTDE-FOGDFJRCSA-N -1 1 306.366 1.334 20 0 DDADMM C[C@H](NC(=O)[C@H]1CCCCCC[C@@H]1NC(=O)[O-])c1nnc[nH]1 ZINC000825095744 603995506 /nfs/dbraw/zinc/99/55/06/603995506.db2.gz XWRKPHRYWFSCKE-DCAQKATOSA-N -1 1 309.370 1.589 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)NC2CCCCC2)C1 ZINC000825256714 604206392 /nfs/dbraw/zinc/20/63/92/604206392.db2.gz ZTFRFHOUVBDHEC-STQMWFEESA-N -1 1 311.426 1.803 20 0 DDADMM Cc1ccc2ccccc2c1CN1CCNC(=O)[C@H]1CC(=O)[O-] ZINC000832959699 604332571 /nfs/dbraw/zinc/33/25/71/604332571.db2.gz JDPDMFGNIPEBFX-MRXNPFEDSA-N -1 1 312.369 1.923 20 0 DDADMM CN(C)[C@@H](CNC(=O)NC1CN(C(=O)[O-])C1)c1cccs1 ZINC000827996618 604397669 /nfs/dbraw/zinc/39/76/69/604397669.db2.gz ZUCKTAXJDPWOED-JTQLQIEISA-N -1 1 312.395 1.012 20 0 DDADMM Cc1n[nH]c(CCNC(=O)C[C@@H](C)[C@@H]2CCCN(C(=O)[O-])C2)n1 ZINC000830741539 604581965 /nfs/dbraw/zinc/58/19/65/604581965.db2.gz JVKXXEILIXMFSL-ZYHUDNBSSA-N -1 1 323.397 1.188 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)c2cccc(C(=O)[O-])c2)[nH]n1 ZINC000821304677 604643711 /nfs/dbraw/zinc/64/37/11/604643711.db2.gz BAMCJNRVTIVSQD-UHFFFAOYSA-N -1 1 311.301 1.761 20 0 DDADMM CC1CCN(CC(=O)NCC[C@H]2CCCN(C(=O)[O-])C2)CC1 ZINC000825945146 604816336 /nfs/dbraw/zinc/81/63/36/604816336.db2.gz SZQVAOCLVWIZII-CQSZACIVSA-N -1 1 311.426 1.615 20 0 DDADMM C[C@@H]1[C@H](C)N(CC(=O)N(C)c2ccccc2C(=O)[O-])CCN1C ZINC000833585907 604851478 /nfs/dbraw/zinc/85/14/78/604851478.db2.gz CGVQWAAIRCHXAW-OLZOCXBDSA-N -1 1 319.405 1.372 20 0 DDADMM CC(C)C(=O)N1CCCN([C@@H](C(=O)[O-])c2cccnc2)CC1 ZINC000833463982 604868953 /nfs/dbraw/zinc/86/89/53/604868953.db2.gz VPFRWSIVRNGEAN-CQSZACIVSA-N -1 1 305.378 1.398 20 0 DDADMM CC(C)=C[C@@H]1[C@H](C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C1(C)C ZINC000833462202 604896925 /nfs/dbraw/zinc/89/69/25/604896925.db2.gz CBKIMIWMJKRVLN-FRRDWIJNSA-N -1 1 305.378 1.760 20 0 DDADMM CC(C)C[C@H](NC(=O)CN1CCC2(CCOC2)CC1)C(=O)[O-] ZINC000833478287 604931411 /nfs/dbraw/zinc/93/14/11/604931411.db2.gz OYIGMDDKKJQKIL-ZDUSSCGKSA-N -1 1 312.410 1.104 20 0 DDADMM COCc1ccc(S(=O)(=O)Nc2cc(C(=O)[O-])ccn2)cc1 ZINC000833726215 605050488 /nfs/dbraw/zinc/05/04/88/605050488.db2.gz WVKZNYCYBTZGJR-UHFFFAOYSA-N -1 1 322.342 1.727 20 0 DDADMM CCOC(=O)C(C)(C)C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC000833657388 605059251 /nfs/dbraw/zinc/05/92/51/605059251.db2.gz RKUAERBTQLVZIS-UHFFFAOYSA-N -1 1 319.317 1.789 20 0 DDADMM CCN1C[C@H](C)N(C(=O)N[C@@H]2CCCN(C(=O)[O-])C2)C[C@@H]1C ZINC000833658578 605121376 /nfs/dbraw/zinc/12/13/76/605121376.db2.gz TZIYPHARVZWZNU-RWMBFGLXSA-N -1 1 312.414 1.253 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000833771398 605136805 /nfs/dbraw/zinc/13/68/05/605136805.db2.gz DHWCIOHPBGDIIS-GARJFASQSA-N -1 1 304.350 1.109 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(Cl)nc1 ZINC000833623681 605156336 /nfs/dbraw/zinc/15/63/36/605156336.db2.gz FKUVBBDORJJYMV-SNVBAGLBSA-N -1 1 311.769 1.356 20 0 DDADMM Cc1nc(COC(=O)[C@@H](C)[C@@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830758313 605263095 /nfs/dbraw/zinc/26/30/95/605263095.db2.gz ZLEWZWJKRPXXAZ-TVQRCGJNSA-N -1 1 318.333 1.801 20 0 DDADMM CCN(CCCNC(=O)N[C@@H](C)Cc1cc(C)[nH]n1)C(=O)[O-] ZINC000827124760 605295668 /nfs/dbraw/zinc/29/56/68/605295668.db2.gz UGXTTYOZCXPMOX-JTQLQIEISA-N -1 1 311.386 1.338 20 0 DDADMM COCCC[C@@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833723521 605302422 /nfs/dbraw/zinc/30/24/22/605302422.db2.gz PRPGMMUXCBGXRJ-OLZOCXBDSA-N -1 1 300.399 1.057 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)C[C@@H]2CCCN2C(=O)[O-])[nH]n1 ZINC000831030578 605337983 /nfs/dbraw/zinc/33/79/83/605337983.db2.gz CIZOHACETLAMNA-VIFPVBQESA-N -1 1 318.337 1.281 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@@H](NC(=O)[O-])C[C@H]2C)n[nH]1 ZINC000830029097 605571343 /nfs/dbraw/zinc/57/13/43/605571343.db2.gz FGIIXHDUCBMFJH-MVWJERBFSA-N -1 1 323.397 1.479 20 0 DDADMM COc1cc(CN(C)[C@@H](C)CNC(=O)[O-])cc2c1OCCO2 ZINC000833887832 605593306 /nfs/dbraw/zinc/59/33/06/605593306.db2.gz ZMFWZOFKVKUSQB-JTQLQIEISA-N -1 1 310.350 1.554 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)[C@H]2[C@H](C)OC(C)(C)N2C(=O)[O-])n[nH]1 ZINC000830030255 605806435 /nfs/dbraw/zinc/80/64/35/605806435.db2.gz NDRPQWDVXHMFRR-PTOFAABTSA-N -1 1 324.381 1.269 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)[O-])CCN1C[C@H](O)COCc1ccccc1 ZINC000833825588 606036174 /nfs/dbraw/zinc/03/61/74/606036174.db2.gz CGCREYNFXFGNCN-YCPHGPKFSA-N -1 1 322.405 1.637 20 0 DDADMM O=C(OCC[C@H]1CCCC1=O)c1ccc(-c2nnn[n-]2)s1 ZINC000823401200 606136398 /nfs/dbraw/zinc/13/63/98/606136398.db2.gz ROEHQKGWZMRCTD-MRVPVSSYSA-N -1 1 306.347 1.844 20 0 DDADMM O=C(OCC[C@H]1CCCC1=O)c1ccc(-c2nn[n-]n2)s1 ZINC000823401200 606136400 /nfs/dbraw/zinc/13/64/00/606136400.db2.gz ROEHQKGWZMRCTD-MRVPVSSYSA-N -1 1 306.347 1.844 20 0 DDADMM Cn1c(Cl)cnc1COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822601149 606136691 /nfs/dbraw/zinc/13/66/91/606136691.db2.gz UKHWRYRAJJNJMX-UHFFFAOYSA-N -1 1 324.753 1.672 20 0 DDADMM Cn1c(Cl)cnc1COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822601149 606136692 /nfs/dbraw/zinc/13/66/92/606136692.db2.gz UKHWRYRAJJNJMX-UHFFFAOYSA-N -1 1 324.753 1.672 20 0 DDADMM NC(=O)C[C@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000822864982 606141279 /nfs/dbraw/zinc/14/12/79/606141279.db2.gz RBOMZGHQYXWUCZ-MRVPVSSYSA-N -1 1 321.772 1.007 20 0 DDADMM NC(=O)C[C@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000822864982 606141280 /nfs/dbraw/zinc/14/12/80/606141280.db2.gz RBOMZGHQYXWUCZ-MRVPVSSYSA-N -1 1 321.772 1.007 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCSC(C)(C)C)n1 ZINC000822619576 606156060 /nfs/dbraw/zinc/15/60/60/606156060.db2.gz ASYYKTYXQHWZQV-UHFFFAOYSA-N -1 1 324.414 1.253 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCSC(C)(C)C)n1 ZINC000822619576 606156062 /nfs/dbraw/zinc/15/60/62/606156062.db2.gz ASYYKTYXQHWZQV-UHFFFAOYSA-N -1 1 324.414 1.253 20 0 DDADMM CC[C@H](C)C[C@@H](C)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820870512 606169214 /nfs/dbraw/zinc/16/92/14/606169214.db2.gz UEZZVPSXIRUKJR-DTWKUNHWSA-N -1 1 306.374 1.546 20 0 DDADMM CC[C@H](C)C[C@@H](C)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820870512 606169211 /nfs/dbraw/zinc/16/92/11/606169211.db2.gz UEZZVPSXIRUKJR-DTWKUNHWSA-N -1 1 306.374 1.546 20 0 DDADMM CS[C@@H](CO)[C@@H](C)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821950200 606468486 /nfs/dbraw/zinc/46/84/86/606468486.db2.gz LRKDHZQLHZIBCZ-SVRRBLITSA-N -1 1 314.802 1.439 20 0 DDADMM CS[C@@H](CO)[C@@H](C)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821950200 606468487 /nfs/dbraw/zinc/46/84/87/606468487.db2.gz LRKDHZQLHZIBCZ-SVRRBLITSA-N -1 1 314.802 1.439 20 0 DDADMM CO[C@H](CNC(=O)c1ccc(-c2nnn[n-]2)s1)C(F)(F)F ZINC000821677195 606561698 /nfs/dbraw/zinc/56/16/98/606561698.db2.gz QWRGNDNPEWRTGF-SSDOTTSWSA-N -1 1 321.284 1.235 20 0 DDADMM CO[C@H](CNC(=O)c1ccc(-c2nn[n-]n2)s1)C(F)(F)F ZINC000821677195 606561700 /nfs/dbraw/zinc/56/17/00/606561700.db2.gz QWRGNDNPEWRTGF-SSDOTTSWSA-N -1 1 321.284 1.235 20 0 DDADMM CCc1cc(NC(=O)c2ccc(-c3nnn[n-]3)s2)n(C)n1 ZINC000821470543 606564821 /nfs/dbraw/zinc/56/48/21/606564821.db2.gz QDFQIQCXVHNCIR-UHFFFAOYSA-N -1 1 303.351 1.476 20 0 DDADMM CCc1cc(NC(=O)c2ccc(-c3nn[n-]n3)s2)n(C)n1 ZINC000821470543 606564823 /nfs/dbraw/zinc/56/48/23/606564823.db2.gz QDFQIQCXVHNCIR-UHFFFAOYSA-N -1 1 303.351 1.476 20 0 DDADMM Cc1cscc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822414507 606647283 /nfs/dbraw/zinc/64/72/83/606647283.db2.gz IIOMSTQNNFETRM-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM Cc1cscc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822414507 606647284 /nfs/dbraw/zinc/64/72/84/606647284.db2.gz IIOMSTQNNFETRM-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM O=C(CCC1CCOCC1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822955118 606647286 /nfs/dbraw/zinc/64/72/86/606647286.db2.gz JHASVFPYXXPNON-UHFFFAOYSA-N -1 1 317.349 1.512 20 0 DDADMM O=C(CCC1CCOCC1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822955118 606647287 /nfs/dbraw/zinc/64/72/87/606647287.db2.gz JHASVFPYXXPNON-UHFFFAOYSA-N -1 1 317.349 1.512 20 0 DDADMM O=C(/C=C\C1CCOCC1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822923283 606649690 /nfs/dbraw/zinc/64/96/90/606649690.db2.gz PLZAMCMYMKWJNU-UPHRSURJSA-N -1 1 315.333 1.288 20 0 DDADMM O=C(/C=C\C1CCOCC1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822923283 606649691 /nfs/dbraw/zinc/64/96/91/606649691.db2.gz PLZAMCMYMKWJNU-UPHRSURJSA-N -1 1 315.333 1.288 20 0 DDADMM c1cn(CCCOc2ccc3c(c2)OCO3)c(-c2nnn[n-]2)n1 ZINC000823810374 606655361 /nfs/dbraw/zinc/65/53/61/606655361.db2.gz XVTPUOSYTLJDCT-UHFFFAOYSA-N -1 1 314.305 1.261 20 0 DDADMM c1cn(CCCOc2ccc3c(c2)OCO3)c(-c2nn[n-]n2)n1 ZINC000823810374 606655362 /nfs/dbraw/zinc/65/53/62/606655362.db2.gz XVTPUOSYTLJDCT-UHFFFAOYSA-N -1 1 314.305 1.261 20 0 DDADMM CC[C@@H]1CC[C@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])O1 ZINC000820971691 606849205 /nfs/dbraw/zinc/84/92/05/606849205.db2.gz DWNQXQXUAVAIQP-BXKDBHETSA-N -1 1 303.322 1.468 20 0 DDADMM c1csc(N2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)c1 ZINC000823743354 606876977 /nfs/dbraw/zinc/87/69/77/606876977.db2.gz YWMGNNFLJHZAQM-UHFFFAOYSA-N -1 1 313.390 1.650 20 0 DDADMM c1csc(N2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)c1 ZINC000823743354 606876979 /nfs/dbraw/zinc/87/69/79/606876979.db2.gz YWMGNNFLJHZAQM-UHFFFAOYSA-N -1 1 313.390 1.650 20 0 DDADMM Cc1noc(C)c1CCC(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822524029 607023923 /nfs/dbraw/zinc/02/39/23/607023923.db2.gz WVVZRVZATGVUBL-UHFFFAOYSA-N -1 1 319.350 1.499 20 0 DDADMM Cc1noc(C)c1CCC(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822524029 607023924 /nfs/dbraw/zinc/02/39/24/607023924.db2.gz WVVZRVZATGVUBL-UHFFFAOYSA-N -1 1 319.350 1.499 20 0 DDADMM C[C@@H](CCNc1snc(Cl)c1-c1nnn[n-]1)[S@@](C)=O ZINC000820241900 607041515 /nfs/dbraw/zinc/04/15/15/607041515.db2.gz JSBUBRYHOYUHBJ-QJWWWBAISA-N -1 1 320.831 1.546 20 0 DDADMM C[C@@H](CCNc1snc(Cl)c1-c1nn[n-]n1)[S@@](C)=O ZINC000820241900 607041517 /nfs/dbraw/zinc/04/15/17/607041517.db2.gz JSBUBRYHOYUHBJ-QJWWWBAISA-N -1 1 320.831 1.546 20 0 DDADMM O=C(CCc1ccncc1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822982611 607105689 /nfs/dbraw/zinc/10/56/89/607105689.db2.gz FEENDMPQYBZMLE-UHFFFAOYSA-N -1 1 301.335 1.290 20 0 DDADMM O=C(CCc1ccncc1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822982611 607105690 /nfs/dbraw/zinc/10/56/90/607105690.db2.gz FEENDMPQYBZMLE-UHFFFAOYSA-N -1 1 301.335 1.290 20 0 DDADMM O=C(Cc1ccccc1O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000823115587 607110034 /nfs/dbraw/zinc/11/00/34/607110034.db2.gz RGAITDOWWKYOGH-UHFFFAOYSA-N -1 1 302.319 1.210 20 0 DDADMM O=C(Cc1ccccc1O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000823115587 607110035 /nfs/dbraw/zinc/11/00/35/607110035.db2.gz RGAITDOWWKYOGH-UHFFFAOYSA-N -1 1 302.319 1.210 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(N[C@H]2CCO[C@@]3(CCSC3)C2)n1 ZINC000823809965 607177406 /nfs/dbraw/zinc/17/74/06/607177406.db2.gz TZERCVZCLJNCPT-ZANVPECISA-N -1 1 319.394 1.123 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(N[C@H]2CCO[C@@]3(CCSC3)C2)n1 ZINC000823809965 607177407 /nfs/dbraw/zinc/17/74/07/607177407.db2.gz TZERCVZCLJNCPT-ZANVPECISA-N -1 1 319.394 1.123 20 0 DDADMM Clc1ccc(OCCNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826352475 607988723 /nfs/dbraw/zinc/98/87/23/607988723.db2.gz CAYWGEXYGCHCRY-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM Clc1ccc(OCCNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826352475 607988724 /nfs/dbraw/zinc/98/87/24/607988724.db2.gz CAYWGEXYGCHCRY-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cc(C2CC2)on1 ZINC000826451290 607997723 /nfs/dbraw/zinc/99/77/23/607997723.db2.gz ZJGFFGLWQPQIHZ-UHFFFAOYSA-N -1 1 312.289 1.690 20 0 DDADMM O=C(Nc1ccccc1)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826457061 607998970 /nfs/dbraw/zinc/99/89/70/607998970.db2.gz KHSJIPHPXKIBDD-UHFFFAOYSA-N -1 1 324.300 1.150 20 0 DDADMM CCOc1ccccc1NC(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000825654172 608013461 /nfs/dbraw/zinc/01/34/61/608013461.db2.gz QHPSMTDIMPGJEA-UHFFFAOYSA-N -1 1 313.321 1.101 20 0 DDADMM CCOc1ccccc1NC(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000825654172 608013462 /nfs/dbraw/zinc/01/34/62/608013462.db2.gz QHPSMTDIMPGJEA-UHFFFAOYSA-N -1 1 313.321 1.101 20 0 DDADMM CC(=O)CCCCCCC(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000823967055 608119586 /nfs/dbraw/zinc/11/95/86/608119586.db2.gz HSGHEZKUAHADHU-UHFFFAOYSA-N -1 1 305.342 1.215 20 0 DDADMM COC(=O)[C@@H](C)CSc1snc(Cl)c1-c1nnn[n-]1 ZINC000826102912 608183891 /nfs/dbraw/zinc/18/38/91/608183891.db2.gz AJZQXQRGONDWDP-BYPYZUCNSA-N -1 1 319.799 1.878 20 0 DDADMM COC(=O)[C@@H](C)CSc1snc(Cl)c1-c1nn[n-]n1 ZINC000826102912 608183892 /nfs/dbraw/zinc/18/38/92/608183892.db2.gz AJZQXQRGONDWDP-BYPYZUCNSA-N -1 1 319.799 1.878 20 0 DDADMM CN(c1ccc(-c2nnn[n-]2)nn1)[C@H]1CCc2ccccc2C1 ZINC000826003987 608418132 /nfs/dbraw/zinc/41/81/32/608418132.db2.gz DAJXJFWCOHDLON-ZDUSSCGKSA-N -1 1 307.361 1.650 20 0 DDADMM CN(c1ccc(-c2nn[n-]n2)nn1)[C@H]1CCc2ccccc2C1 ZINC000826003987 608418133 /nfs/dbraw/zinc/41/81/33/608418133.db2.gz DAJXJFWCOHDLON-ZDUSSCGKSA-N -1 1 307.361 1.650 20 0 DDADMM O[C@H](CNc1ccc(-c2nnn[n-]2)nn1)c1c(F)cccc1F ZINC000826502471 608423717 /nfs/dbraw/zinc/42/37/17/608423717.db2.gz DONYVZCAUACLEV-SNVBAGLBSA-N -1 1 319.275 1.080 20 0 DDADMM O[C@H](CNc1ccc(-c2nn[n-]n2)nn1)c1c(F)cccc1F ZINC000826502471 608423718 /nfs/dbraw/zinc/42/37/18/608423718.db2.gz DONYVZCAUACLEV-SNVBAGLBSA-N -1 1 319.275 1.080 20 0 DDADMM CCC1(CC)[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C[C@H]1OC ZINC000825171752 608432648 /nfs/dbraw/zinc/43/26/48/608432648.db2.gz VLOIRLBGMQCMDT-GHMZBOCLSA-N -1 1 303.370 1.662 20 0 DDADMM CCC1(CC)[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C[C@H]1OC ZINC000825171752 608432649 /nfs/dbraw/zinc/43/26/49/608432649.db2.gz VLOIRLBGMQCMDT-GHMZBOCLSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)(C)C(=O)N1CCN(Cc2ccc(-c3nnn[n-]3)o2)CC1 ZINC000824064819 608515963 /nfs/dbraw/zinc/51/59/63/608515963.db2.gz OBXNOPQZAHIYAQ-UHFFFAOYSA-N -1 1 318.381 1.150 20 0 DDADMM CC(C)(C)C(=O)N1CCN(Cc2ccc(-c3nn[n-]n3)o2)CC1 ZINC000824064819 608515965 /nfs/dbraw/zinc/51/59/65/608515965.db2.gz OBXNOPQZAHIYAQ-UHFFFAOYSA-N -1 1 318.381 1.150 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)[C@@H]1CCCOC1 ZINC000824513363 609597291 /nfs/dbraw/zinc/59/72/91/609597291.db2.gz BSNQQQQYKIIEAP-RKDXNWHRSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)[C@@H]1CCCOC1 ZINC000824513363 609597292 /nfs/dbraw/zinc/59/72/92/609597292.db2.gz BSNQQQQYKIIEAP-RKDXNWHRSA-N -1 1 307.379 1.473 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@H]1CCNC(=O)C1 ZINC000121535761 696711671 /nfs/dbraw/zinc/71/16/71/696711671.db2.gz HRCBEQQFPVCDOL-LURJTMIESA-N -1 1 314.139 1.014 20 0 DDADMM C[C@H]1OCC[C@@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000121567262 696712299 /nfs/dbraw/zinc/71/22/99/696712299.db2.gz APDSJRXGSOHHQZ-RQJHMYQMSA-N -1 1 301.140 1.913 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCc2c(C)nn(C)c2C)[n-]1 ZINC000796977735 699988939 /nfs/dbraw/zinc/98/89/39/699988939.db2.gz UVPDSQQUZPLYBV-UHFFFAOYSA-N -1 1 305.334 1.551 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)C2CC=CC2)C1)c1ncccc1[O-] ZINC000973114058 695423728 /nfs/dbraw/zinc/42/37/28/695423728.db2.gz NOHXTYYDXMRZRT-HAQNSBGRSA-N -1 1 301.346 1.130 20 0 DDADMM O=C([O-])[C@@]1(NC(=O)N[C@@H]2CCCc3cn[nH]c32)CCSC1 ZINC000797725396 700021213 /nfs/dbraw/zinc/02/12/13/700021213.db2.gz UMFMPLSULIUNLB-NOZJJQNGSA-N -1 1 310.379 1.047 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975229637 695817158 /nfs/dbraw/zinc/81/71/58/695817158.db2.gz ZBPSFELNJTXZMI-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM CCC(Nc1cc(C)cc(C)c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009769822 696037324 /nfs/dbraw/zinc/03/73/24/696037324.db2.gz FOKFHUIFUAFOGD-UHFFFAOYSA-N -1 1 303.387 1.910 20 0 DDADMM Cc1cc(C)[nH]c(=N[N-]S(=O)(=O)c2cc(F)cc(F)c2)n1 ZINC000016099507 696060435 /nfs/dbraw/zinc/06/04/35/696060435.db2.gz IDIGVLOPBNHYLD-UHFFFAOYSA-N -1 1 314.317 1.099 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1ccc[nH]1 ZINC000977382715 696138130 /nfs/dbraw/zinc/13/81/30/696138130.db2.gz BKEWZDQKIAFJIU-LLVKDONJSA-N -1 1 314.345 1.102 20 0 DDADMM Cc1cc(=O)[n-]c(NN=C2C(=O)Nc3c2c(Cl)ccc3F)n1 ZINC000045686791 696175772 /nfs/dbraw/zinc/17/57/72/696175772.db2.gz LHXFUQMPTNNWCK-UHFFFAOYSA-N -1 1 321.699 1.639 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977599141 696229421 /nfs/dbraw/zinc/22/94/21/696229421.db2.gz JMMFOTVBWVDGGT-GFCCVEGCSA-N -1 1 305.378 1.506 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977621674 696238076 /nfs/dbraw/zinc/23/80/76/696238076.db2.gz UKEFTVRTKQDNDG-SRVKXCTJSA-N -1 1 303.362 1.116 20 0 DDADMM O=C1CCCN1c1ccc(F)c([N-]S(=O)(=O)c2cn[nH]c2)c1 ZINC000057119532 696294526 /nfs/dbraw/zinc/29/45/26/696294526.db2.gz DRNKSGFLQUVKKT-UHFFFAOYSA-N -1 1 324.337 1.476 20 0 DDADMM Cn1c(CNC(=O)c2ccc(-n3cccn3)cc2)n[n-]c1=S ZINC000066625629 696354123 /nfs/dbraw/zinc/35/41/23/696354123.db2.gz JCBHMOZFWUCRAB-UHFFFAOYSA-N -1 1 314.374 1.593 20 0 DDADMM CC(C)n1c(CCNC(=O)c2ncccc2[O-])n[nH]c1=S ZINC000067119103 696359249 /nfs/dbraw/zinc/35/92/49/696359249.db2.gz DAAKJGIYHAXUPV-UHFFFAOYSA-N -1 1 307.379 1.595 20 0 DDADMM C=CCN(CC1CCCCC1)C(=O)Cc1sc(N)nc1[O-] ZINC000080217802 696531555 /nfs/dbraw/zinc/53/15/55/696531555.db2.gz UNFUSMOKOMVIRQ-GFCCVEGCSA-N -1 1 309.435 1.928 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)CCOCC1CC1 ZINC000088333297 696569993 /nfs/dbraw/zinc/56/99/93/696569993.db2.gz YUZOFDCXSZMJJD-UHFFFAOYSA-N -1 1 307.394 1.700 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)s1 ZINC000798812380 700098616 /nfs/dbraw/zinc/09/86/16/700098616.db2.gz FTECNYLEFIRQPV-LLVKDONJSA-N -1 1 304.437 1.448 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)c1cccc(Cl)c1)N(C)C ZINC000798812867 700098682 /nfs/dbraw/zinc/09/86/82/700098682.db2.gz LXAGLVOEOVTRQL-GFCCVEGCSA-N -1 1 318.826 1.731 20 0 DDADMM CC(=O)[C@H]1CC(C(=O)Nc2ccncc2[O-])=NN1c1ccccc1 ZINC000109889745 696638672 /nfs/dbraw/zinc/63/86/72/696638672.db2.gz BMEFEINHFFMSDD-OAHLLOKOSA-N -1 1 324.340 1.950 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)CC1CCCCC1 ZINC000119066448 696677073 /nfs/dbraw/zinc/67/70/73/696677073.db2.gz PTLDYFYILWQZEW-UHFFFAOYSA-N -1 1 318.377 1.782 20 0 DDADMM O=C([N-]OCCC(F)(F)F)[C@@H]1CC(=O)N(C2CCCC2)C1 ZINC000154921686 696939423 /nfs/dbraw/zinc/93/94/23/696939423.db2.gz DNOYTAPSJABEEJ-SECBINFHSA-N -1 1 308.300 1.778 20 0 DDADMM CCOc1ccccc1N1C[C@H](C(=O)[N-]OCCOC)CC1=O ZINC000748770376 700133734 /nfs/dbraw/zinc/13/37/34/700133734.db2.gz RYXONVWBCWZWIR-GFCCVEGCSA-N -1 1 322.361 1.132 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)C2=CCCC2)C1)c1ncccc1[O-] ZINC000982634962 697162706 /nfs/dbraw/zinc/16/27/06/697162706.db2.gz AJLPVRAUTIEORJ-LBPRGKRZSA-N -1 1 315.373 1.476 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@H](CNCc3cscn3)C2)c1[O-] ZINC000983476225 697268959 /nfs/dbraw/zinc/26/89/59/697268959.db2.gz PMGLCICEKPZFIT-MGCOHNPYSA-N -1 1 321.406 1.178 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2ccccc2CO)c1Cl ZINC000159769541 697312019 /nfs/dbraw/zinc/31/20/19/697312019.db2.gz MVKSHQLPXNEKFX-UHFFFAOYSA-N -1 1 315.782 1.044 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCc2cccs2)co1 ZINC000163605318 697333592 /nfs/dbraw/zinc/33/35/92/697333592.db2.gz ZCZAEJSJAKTXNP-UHFFFAOYSA-N -1 1 315.372 1.649 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CC=CC[C@H]2C)co1 ZINC000165946713 697340356 /nfs/dbraw/zinc/34/03/56/697340356.db2.gz MQCUMFUWBCPREZ-MNOVXSKESA-N -1 1 313.375 1.947 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984109715 697341479 /nfs/dbraw/zinc/34/14/79/697341479.db2.gz DOJVLQRSYPIZHD-FRRDWIJNSA-N -1 1 317.389 1.506 20 0 DDADMM CN(C(=O)C1CC1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984110183 697341520 /nfs/dbraw/zinc/34/15/20/697341520.db2.gz AEKASFFNEXVHBV-GFCCVEGCSA-N -1 1 303.362 1.260 20 0 DDADMM COCCC[C@H](C)C(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000183493138 697489005 /nfs/dbraw/zinc/48/90/05/697489005.db2.gz PEWLHMHTDUPIDG-VIFPVBQESA-N -1 1 314.769 1.869 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(CC)cc2)C1 ZINC000184794753 697508057 /nfs/dbraw/zinc/50/80/57/697508057.db2.gz MODXLKMFCBKMKV-AWEZNQCLSA-N -1 1 320.389 1.686 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)CCc1ccoc1 ZINC000185771650 697523560 /nfs/dbraw/zinc/52/35/60/697523560.db2.gz RXWRZAJAOOQABR-UHFFFAOYSA-N -1 1 316.317 1.038 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC000985542775 697527341 /nfs/dbraw/zinc/52/73/41/697527341.db2.gz BYKPHAXHSRNPOO-BDJLRTHQSA-N -1 1 319.409 1.123 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C2(C)CCC2)CC1 ZINC000985579145 697533820 /nfs/dbraw/zinc/53/38/20/697533820.db2.gz BOSMXDYMUNGZSQ-UHFFFAOYSA-N -1 1 307.398 1.123 20 0 DDADMM CC[C@]1(C)C[C@H]1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985728859 697564319 /nfs/dbraw/zinc/56/43/19/697564319.db2.gz RHXURLDARHCFLT-BLLLJJGKSA-N -1 1 321.425 1.369 20 0 DDADMM O=C([N-]OCc1cccnc1)c1cc[nH]c(=O)c1Br ZINC000772432507 697631588 /nfs/dbraw/zinc/63/15/88/697631588.db2.gz KTNJFVWPRQCVHP-UHFFFAOYSA-N -1 1 324.134 1.806 20 0 DDADMM C#C[C@H](C)[N-]S(=O)(=O)c1cc(Br)ccc1F ZINC000193522430 697670843 /nfs/dbraw/zinc/67/08/43/697670843.db2.gz YASDNWGIVMGLRE-ZETCQYMHSA-N -1 1 306.156 1.888 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCC1CCC1 ZINC000986116325 697691228 /nfs/dbraw/zinc/69/12/28/697691228.db2.gz NWYMLFNVVFRALJ-ZYHUDNBSSA-N -1 1 307.398 1.170 20 0 DDADMM CCc1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)o1 ZINC000986437305 697812646 /nfs/dbraw/zinc/81/26/46/697812646.db2.gz OLRUIGYTBGZRBC-GXSJLCMTSA-N -1 1 319.365 1.059 20 0 DDADMM C[C@@H](CN(C)C(=O)C(F)(F)c1ccccc1F)c1nn[n-]n1 ZINC000774002859 697832827 /nfs/dbraw/zinc/83/28/27/697832827.db2.gz RHVURFZOJPETAO-QMMMGPOBSA-N -1 1 313.283 1.693 20 0 DDADMM O=c1ccc([N-]S(=O)(=O)C2=Cc3ccccc3OC2)n[nH]1 ZINC000800044402 700182568 /nfs/dbraw/zinc/18/25/68/700182568.db2.gz DSUIHMHCGJONBQ-UHFFFAOYSA-N -1 1 305.315 1.357 20 0 DDADMM O=Cc1cccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000774967871 697949016 /nfs/dbraw/zinc/94/90/16/697949016.db2.gz AVVWFQCCCBOELK-UHFFFAOYSA-N -1 1 303.236 1.725 20 0 DDADMM O=C([N-]CCCOC(=O)c1cc(O)cc(O)c1)C(F)(F)F ZINC000775009497 697953947 /nfs/dbraw/zinc/95/39/47/697953947.db2.gz QIFRONQGGLGZLI-UHFFFAOYSA-N -1 1 307.224 1.323 20 0 DDADMM O=C(c1cccc(Cl)c1[O-])N1CCN(C[C@H]2CCOC2)CC1 ZINC000775771327 698030799 /nfs/dbraw/zinc/03/07/99/698030799.db2.gz LVXYDMVASLDJQD-GFCCVEGCSA-N -1 1 324.808 1.840 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C12CCC(CC1)C2 ZINC000777356263 698182163 /nfs/dbraw/zinc/18/21/63/698182163.db2.gz URLFJFAXOLDNFS-UHFFFAOYSA-N -1 1 316.361 1.392 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)/C=C1/CC[C@@H](C)C1 ZINC000777361266 698182469 /nfs/dbraw/zinc/18/24/69/698182469.db2.gz OEBANXVAKAXNOJ-BKLZJWBFSA-N -1 1 316.361 1.558 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987689003 698199074 /nfs/dbraw/zinc/19/90/74/698199074.db2.gz RTWNKSPDMOTIHW-MXWKQRLJSA-N -1 1 309.341 1.255 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N(C)C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000987932235 698268645 /nfs/dbraw/zinc/26/86/45/698268645.db2.gz RZOUOULYDFUSGR-AVGNSLFASA-N -1 1 317.389 1.364 20 0 DDADMM CC[C@H]1C[C@H](OC(=O)c2ccc(S(=O)(=O)[N-]C)o2)CCO1 ZINC000779301311 698411177 /nfs/dbraw/zinc/41/11/77/698411177.db2.gz YQDBRPLFMMXFJJ-VHSXEESVSA-N -1 1 317.363 1.302 20 0 DDADMM O=C([O-])[C@H](CC(F)F)NC(=O)c1cc(F)cc2nc[nH]c21 ZINC000263123096 698492301 /nfs/dbraw/zinc/49/23/01/698492301.db2.gz VMEGMUNLSRLBOP-QMMMGPOBSA-N -1 1 301.224 1.540 20 0 DDADMM CCC[C@H](NC(=O)NC[C@@H](c1ccsc1)N(C)C)C(=O)[O-] ZINC000780387050 698514324 /nfs/dbraw/zinc/51/43/24/698514324.db2.gz YXQJBWSWJGTGRR-RYUDHWBXSA-N -1 1 313.423 1.903 20 0 DDADMM CC[C@H](NC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C)C(=O)[O-] ZINC000780450983 698519605 /nfs/dbraw/zinc/51/96/05/698519605.db2.gz KKPHBMQTPSGZEI-STQMWFEESA-N -1 1 311.357 1.591 20 0 DDADMM O=C([O-])C1(NC(=O)N[C@H]2CCCc3cn[nH]c32)CCCCC1 ZINC000780682304 698547842 /nfs/dbraw/zinc/54/78/42/698547842.db2.gz BDPFIBCOCVDTSY-NSHDSACASA-N -1 1 306.366 1.874 20 0 DDADMM C[C@@H]1CCCN1CC[N-]S(=O)(=O)c1c(F)cc(F)cc1F ZINC000780867224 698565660 /nfs/dbraw/zinc/56/56/60/698565660.db2.gz NMJCUZKHVITWQA-SECBINFHSA-N -1 1 322.352 1.867 20 0 DDADMM CCCn1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)cn1 ZINC000781707649 698642182 /nfs/dbraw/zinc/64/21/82/698642182.db2.gz XAPNFNAAVOANKO-UHFFFAOYSA-N -1 1 307.272 1.519 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCC(=O)CC1CC1 ZINC000783471538 698843454 /nfs/dbraw/zinc/84/34/54/698843454.db2.gz XJPGUATYQOLTEU-UHFFFAOYSA-N -1 1 317.345 1.507 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1cc(Cl)c[nH]1 ZINC000990227852 699004132 /nfs/dbraw/zinc/00/41/32/699004132.db2.gz UGXHAFHAQNKRHA-UHFFFAOYSA-N -1 1 320.736 1.023 20 0 DDADMM CCCN1CC[C@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC000389125388 699087921 /nfs/dbraw/zinc/08/79/21/699087921.db2.gz JKQXWOZDTKBYMJ-LBPRGKRZSA-N -1 1 312.391 1.147 20 0 DDADMM COc1cccc([C@@H](CNC(=O)[C@@H]2CC[C@@H]2C(=O)[O-])N(C)C)c1 ZINC000396976412 699113994 /nfs/dbraw/zinc/11/39/94/699113994.db2.gz KLNIIICPZHNYCT-QLFBSQMISA-N -1 1 320.389 1.525 20 0 DDADMM C[C@H]1CSC[C@H]1[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000397865494 699118121 /nfs/dbraw/zinc/11/81/21/699118121.db2.gz ZXLZIJONNVQMOX-IONNQARKSA-N -1 1 320.367 1.764 20 0 DDADMM COc1ccc(-c2nsc([N-]C(=O)c3cnn(C)n3)n2)cc1 ZINC000786140261 699129171 /nfs/dbraw/zinc/12/91/71/699129171.db2.gz QDQVDDDBKSSDOL-UHFFFAOYSA-N -1 1 316.346 1.595 20 0 DDADMM CC1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC=CC1 ZINC000990972777 699196217 /nfs/dbraw/zinc/19/62/17/699196217.db2.gz SDQDIVOESCBQOG-UHFFFAOYSA-N -1 1 301.346 1.084 20 0 DDADMM C[C@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC000990976029 699197556 /nfs/dbraw/zinc/19/75/56/699197556.db2.gz VLDPISUNDQUDSK-SNVBAGLBSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H]1CCCN(Cc2cn(C)nc2Br)[C@@H]1C(=O)[O-] ZINC000714079376 699269149 /nfs/dbraw/zinc/26/91/49/699269149.db2.gz OTOKVPGGPXJRQX-WPRPVWTQSA-N -1 1 316.199 1.868 20 0 DDADMM COC(=O)CCN(CC(=O)[O-])Cc1cc(F)ccc1Cl ZINC000715711411 699276208 /nfs/dbraw/zinc/27/62/08/699276208.db2.gz WXWIRUWJNQQRPJ-UHFFFAOYSA-N -1 1 303.717 1.929 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)[O-])CN1CCCS(=O)(=O)C(C)(C)C ZINC000718737224 699294852 /nfs/dbraw/zinc/29/48/52/699294852.db2.gz YRGYXEMFAPDZAV-NWDGAFQWSA-N -1 1 305.440 1.775 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cccc2c1CCCC2 ZINC000726865650 699386006 /nfs/dbraw/zinc/38/60/06/699386006.db2.gz FJYHROJRABTPCF-UHFFFAOYSA-N -1 1 313.357 1.444 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)c1ccc(Cl)s1)N(C)C ZINC000789798467 699411157 /nfs/dbraw/zinc/41/11/57/699411157.db2.gz IENLCPQMQSFEHB-JTQLQIEISA-N -1 1 324.855 1.793 20 0 DDADMM Cc1ccc(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1O ZINC000790061774 699439297 /nfs/dbraw/zinc/43/92/97/699439297.db2.gz FKXXKJBDARDANJ-LLVKDONJSA-N -1 1 319.390 1.073 20 0 DDADMM CCOC(=O)[C@@H](C)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000731034541 699521299 /nfs/dbraw/zinc/52/12/99/699521299.db2.gz UDGPCSKLDYHUHZ-SSDOTTSWSA-N -1 1 323.317 1.203 20 0 DDADMM C[C@H](CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000731855486 699538170 /nfs/dbraw/zinc/53/81/70/699538170.db2.gz PCAJULWAGUDHLI-ZWNOBZJWSA-N -1 1 319.340 1.433 20 0 DDADMM O=C(C=Cc1ccc(Cl)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000731880128 699539623 /nfs/dbraw/zinc/53/96/23/699539623.db2.gz GHVAHTXCFCAODR-RYBZSIHZSA-N -1 1 319.752 1.466 20 0 DDADMM O=C(COC(=O)c1ccc2nccn2c1)[N-]C(=O)c1ccccc1 ZINC000731907480 699540333 /nfs/dbraw/zinc/54/03/33/699540333.db2.gz HKYWGMQBYQQGAE-UHFFFAOYSA-N -1 1 323.308 1.448 20 0 DDADMM O=C(CCc1ccc(Cl)c(Cl)c1)NCc1nn[n-]n1 ZINC000732158086 699548431 /nfs/dbraw/zinc/54/84/31/699548431.db2.gz RZRGXEIYYIIAGG-UHFFFAOYSA-N -1 1 300.149 1.756 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@@H](N2CCCCC2=O)C1 ZINC000732835718 699572771 /nfs/dbraw/zinc/57/27/71/699572771.db2.gz VGYMUTWSNRWDMC-CYBMUJFWSA-N -1 1 303.362 1.404 20 0 DDADMM CNC(=O)c1cc(OC)ccc1NC(=O)c1cncc([O-])c1 ZINC000791345209 699625682 /nfs/dbraw/zinc/62/56/82/699625682.db2.gz QKILAQHPVVUZSO-UHFFFAOYSA-N -1 1 301.302 1.408 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cccc1Cl)c1nnnn1C1CC1 ZINC000791928488 699663474 /nfs/dbraw/zinc/66/34/74/699663474.db2.gz LAQMIRXLEJTUAJ-SSDOTTSWSA-N -1 1 307.741 1.858 20 0 DDADMM CCc1sc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1C ZINC000736713025 699730513 /nfs/dbraw/zinc/73/05/13/699730513.db2.gz KHPVFIJTLAPVLE-VIFPVBQESA-N -1 1 307.379 1.346 20 0 DDADMM Cc1cnc(C(=O)N(C)C2CN(C(=O)OC(C)(C)C)C2)c([O-])c1 ZINC000793483843 699760077 /nfs/dbraw/zinc/76/00/77/699760077.db2.gz OMUYSDICKXGYQN-UHFFFAOYSA-N -1 1 321.377 1.787 20 0 DDADMM O=S(=O)(Oc1cccc(Oc2cnccn2)c1)c1c[n-]cn1 ZINC000795233385 699853182 /nfs/dbraw/zinc/85/31/82/699853182.db2.gz FRJQXKZYFROIGQ-UHFFFAOYSA-N -1 1 318.314 1.760 20 0 DDADMM Cn1nccc1-c1ccc(F)cc1OS(=O)(=O)c1c[n-]cn1 ZINC000795370564 699862044 /nfs/dbraw/zinc/86/20/44/699862044.db2.gz UTAANNVIWPVIAV-UHFFFAOYSA-N -1 1 322.321 1.717 20 0 DDADMM CCC[C@@]1(C)CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000742969189 699895172 /nfs/dbraw/zinc/89/51/72/699895172.db2.gz NUTBMBZYDNOUQA-INIZCTEOSA-N -1 1 307.394 1.356 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccccc1-n1cccn1 ZINC000796028033 699908131 /nfs/dbraw/zinc/90/81/31/699908131.db2.gz RDIPBZHFTZQTBI-UHFFFAOYSA-N -1 1 304.331 1.672 20 0 DDADMM CC[C@@H](OC(=O)c1nn(-c2ccc(F)cc2)cc1[O-])C(N)=O ZINC000801325425 700297074 /nfs/dbraw/zinc/29/70/74/700297074.db2.gz RGITXRCSFKKZTO-LLVKDONJSA-N -1 1 307.281 1.138 20 0 DDADMM O=C([N-]CCCOC(=O)c1cccc2c1NCC2)C(F)(F)F ZINC000801401628 700304136 /nfs/dbraw/zinc/30/41/36/700304136.db2.gz PSUNNJCHZLXVQG-UHFFFAOYSA-N -1 1 316.279 1.880 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3c(F)cccc3F)ccnc1-2 ZINC000801700702 700331802 /nfs/dbraw/zinc/33/18/02/700331802.db2.gz QGMKWJIUCWEIIV-UHFFFAOYSA-N -1 1 302.284 1.801 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cncn3-c3ccccc3)ccnc1-2 ZINC000801701736 700332586 /nfs/dbraw/zinc/33/25/86/700332586.db2.gz WBNJHKXJBCFSPC-UHFFFAOYSA-N -1 1 318.340 1.780 20 0 DDADMM Cc1cc2ncc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)c(C)n2n1 ZINC000801704431 700333107 /nfs/dbraw/zinc/33/31/07/700333107.db2.gz LQRXEOIQHYOLBO-UHFFFAOYSA-N -1 1 321.344 1.254 20 0 DDADMM Cn1cc(C(C)(C)C(=O)OCCC[N-]C(=O)C(F)(F)F)cn1 ZINC000752233799 700350732 /nfs/dbraw/zinc/35/07/32/700350732.db2.gz RRFABAWHSKUMLL-UHFFFAOYSA-N -1 1 321.299 1.310 20 0 DDADMM COC(=O)[C@@]1(F)CCN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000752813630 700390284 /nfs/dbraw/zinc/39/02/84/700390284.db2.gz ZCFVRRYNSSSRBE-CYBMUJFWSA-N -1 1 301.701 1.773 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@@H]1CCCOC1 ZINC000760761776 700822147 /nfs/dbraw/zinc/82/21/47/700822147.db2.gz IBUIWBFDEHLXOP-GFCCVEGCSA-N -1 1 319.361 1.564 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cnccc2C)o1 ZINC000762118595 700881315 /nfs/dbraw/zinc/88/13/15/700881315.db2.gz ALMPYOADSVXEMW-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccccc2N2CCCCC2)nc1=O ZINC000765473374 701014627 /nfs/dbraw/zinc/01/46/27/701014627.db2.gz FOGNZKQAVHFDAI-UHFFFAOYSA-N -1 1 316.361 1.456 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1ccc(C(F)F)cc1 ZINC000765800271 701027629 /nfs/dbraw/zinc/02/76/29/701027629.db2.gz MCFMSTIZFCVFBO-UHFFFAOYSA-N -1 1 323.299 1.181 20 0 DDADMM CC(C)(CNC(=O)CCn1cc[n-]c(=O)c1=O)C1CCCCC1 ZINC000765993116 701033926 /nfs/dbraw/zinc/03/39/26/701033926.db2.gz UDSFDQAKGYPQAT-UHFFFAOYSA-N -1 1 321.421 1.649 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OC[C@H](C)CO ZINC000766701932 701060003 /nfs/dbraw/zinc/06/00/03/701060003.db2.gz PFQBOQWKSXKWDT-SECBINFHSA-N -1 1 307.350 1.156 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC[C@@H](C)[S@@](C)=O ZINC000766738399 701061581 /nfs/dbraw/zinc/06/15/81/701061581.db2.gz YEUYLWZJZDVBKV-AOUSDQRYSA-N -1 1 313.423 1.501 20 0 DDADMM CSc1nc(C)c(CCC(=O)O[C@@]2(C)CCOC2)c(=O)[n-]1 ZINC000802901945 701066706 /nfs/dbraw/zinc/06/67/06/701066706.db2.gz FDDVVCMVOJBRNE-AWEZNQCLSA-N -1 1 312.391 1.867 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCc1ccncc1 ZINC000803338036 701103147 /nfs/dbraw/zinc/10/31/47/701103147.db2.gz KXMCOOWROGGDOG-UHFFFAOYSA-N -1 1 312.329 1.733 20 0 DDADMM O=C(Nn1cc(Br)cn1)c1ccc([O-])c(F)c1 ZINC000768320073 701168707 /nfs/dbraw/zinc/16/87/07/701168707.db2.gz DLEUGMNVFIWAIQ-UHFFFAOYSA-N -1 1 300.087 1.874 20 0 DDADMM CC(C)(C(=O)NN1CC(=O)[N-]C1=O)c1ccc2ccccc2c1 ZINC000768926606 701216978 /nfs/dbraw/zinc/21/69/78/701216978.db2.gz URTMBTBGTKYHHM-UHFFFAOYSA-N -1 1 311.341 1.700 20 0 DDADMM C[C@@H]1C[C@H]1NC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000805058710 701229936 /nfs/dbraw/zinc/22/99/36/701229936.db2.gz XQCQPSOYZNMDOZ-HWUMTFDVSA-N -1 1 321.343 1.882 20 0 DDADMM Cn1ncc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)c1C1CC1 ZINC000769873128 701259992 /nfs/dbraw/zinc/25/99/92/701259992.db2.gz VJDSPDAPSVHDMN-UHFFFAOYSA-N -1 1 321.358 1.797 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCCC(F)(F)C2)nc1Cl ZINC000769907168 701260906 /nfs/dbraw/zinc/26/09/06/701260906.db2.gz YFYLKKXIBPWHJB-SSDOTTSWSA-N -1 1 313.757 1.930 20 0 DDADMM COC[C@](C)(NC(=O)c1c([O-])cccc1Cl)C(=O)OC ZINC000769979679 701263081 /nfs/dbraw/zinc/26/30/81/701263081.db2.gz ZJTGQVBUAPBOHY-ZDUSSCGKSA-N -1 1 301.726 1.354 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc2c(c1)OC(=O)C[C@@H]2C ZINC000770445423 701278233 /nfs/dbraw/zinc/27/82/33/701278233.db2.gz GNLDUESUMSNDTI-QMMMGPOBSA-N -1 1 322.342 1.898 20 0 DDADMM COC(=O)c1nc(Cl)ccc1S(=O)(=O)[N-]CC[C@H](C)F ZINC000815067978 701792311 /nfs/dbraw/zinc/79/23/11/701792311.db2.gz VSFGTEGDONCHBG-ZETCQYMHSA-N -1 1 324.761 1.548 20 0 DDADMM Cc1ccc(CN(C)C(=O)N=c2ccnc3n(C)[n-]cc2-3)nc1 ZINC000806483991 701437228 /nfs/dbraw/zinc/43/72/28/701437228.db2.gz YFZBJHWJLZDFSU-UHFFFAOYSA-N -1 1 310.361 1.709 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3cccc(F)n3)ccnc1-2 ZINC000806491521 701437764 /nfs/dbraw/zinc/43/77/64/701437764.db2.gz LUTCRAJIRDLIQQ-UHFFFAOYSA-N -1 1 300.297 1.198 20 0 DDADMM Cc1noc(C)c1CCCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000807031304 701459167 /nfs/dbraw/zinc/45/91/67/701459167.db2.gz YNTIESULVSJLRA-UHFFFAOYSA-N -1 1 302.330 1.929 20 0 DDADMM Cc1cc(=O)[nH]c2cc(C(=O)OCc3nc(=O)n(C)[n-]3)ccc12 ZINC000808656848 701528798 /nfs/dbraw/zinc/52/87/98/701528798.db2.gz HJWUAHVQOYBKAK-UHFFFAOYSA-N -1 1 314.301 1.028 20 0 DDADMM Cc1cccc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c1C ZINC000830970440 706613314 /nfs/dbraw/zinc/61/33/14/706613314.db2.gz SGSUKTIZAIETRZ-UHFFFAOYSA-N -1 1 313.357 1.802 20 0 DDADMM CCCN1C[C@H](C(=O)[N-]OCc2ccccc2OC)CC1=O ZINC000839754137 701809857 /nfs/dbraw/zinc/80/98/57/701809857.db2.gz LOKRBWWWQLKPLT-CYBMUJFWSA-N -1 1 306.362 1.502 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)c2cncnc2)C1)C(F)(F)F ZINC000810899640 701870694 /nfs/dbraw/zinc/87/06/94/701870694.db2.gz FAWCNGDNFDSGET-VIFPVBQESA-N -1 1 316.283 1.007 20 0 DDADMM COc1cnc(C(=O)OCCc2cc(C(C)=O)ccc2[O-])nc1 ZINC000815521545 701887041 /nfs/dbraw/zinc/88/70/41/701887041.db2.gz PTXHNNCUGCLEEM-UHFFFAOYSA-N -1 1 316.313 1.793 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2ncc3ccccc3c2[O-])C(=O)O1 ZINC000831036524 706624861 /nfs/dbraw/zinc/62/48/61/706624861.db2.gz IYHTVMLZKWURTQ-NSHDSACASA-N -1 1 300.314 1.764 20 0 DDADMM CC1(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCCC1 ZINC000868229225 702011487 /nfs/dbraw/zinc/01/14/87/702011487.db2.gz NINCZBXKQWYUAN-UHFFFAOYSA-N -1 1 320.393 1.634 20 0 DDADMM CC(C)O[C@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1(C)C ZINC000831116079 706637574 /nfs/dbraw/zinc/63/75/74/706637574.db2.gz VDIFERFBCQUCNC-UWVGGRQHSA-N -1 1 321.830 1.934 20 0 DDADMM Cc1cccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1 ZINC000831162566 706647251 /nfs/dbraw/zinc/64/72/51/706647251.db2.gz QRWUKIJMLWCCBO-UHFFFAOYSA-N -1 1 300.280 1.746 20 0 DDADMM COC(=O)c1nc(Cl)ccc1S(=O)(=O)[N-]CCCCF ZINC000812516240 702192776 /nfs/dbraw/zinc/19/27/76/702192776.db2.gz WNEBHNMDQWUDSF-UHFFFAOYSA-N -1 1 324.761 1.550 20 0 DDADMM COC[C@@H](C)[C@@H](C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000812630729 702212521 /nfs/dbraw/zinc/21/25/21/702212521.db2.gz KILBRUTZYYHDCE-VXGBXAGGSA-N -1 1 307.346 1.405 20 0 DDADMM COc1ccc(C)cc1N1C[C@H](C(=O)[N-]OC2CCC2)CC1=O ZINC000812787926 702238345 /nfs/dbraw/zinc/23/83/45/702238345.db2.gz KRRSUXPXCGRNMR-GFCCVEGCSA-N -1 1 318.373 1.957 20 0 DDADMM Cc1nnsc1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000817019946 702256413 /nfs/dbraw/zinc/25/64/13/702256413.db2.gz DHDXBFOBKGUCBU-UHFFFAOYSA-N -1 1 306.376 1.108 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-]Cc1nc(C2CC2)no1 ZINC000817131497 702281577 /nfs/dbraw/zinc/28/15/77/702281577.db2.gz ZWSFSZNWEOMXBM-LLVKDONJSA-N -1 1 317.411 1.428 20 0 DDADMM Cc1c[nH]nc1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000813538382 702370383 /nfs/dbraw/zinc/37/03/83/702370383.db2.gz NAXALGBQACFTTA-UHFFFAOYSA-N -1 1 300.322 1.264 20 0 DDADMM COc1ccccc1N1C[C@H](C(=O)[N-]OCCC2CC2)CC1=O ZINC000817425378 702378485 /nfs/dbraw/zinc/37/84/85/702378485.db2.gz BZIBHGHXZQLSPJ-CYBMUJFWSA-N -1 1 318.373 1.896 20 0 DDADMM CNC(=O)N1c2ccccc2C[C@H]1C[N-]C(=O)C(F)(F)F ZINC000817570866 702413587 /nfs/dbraw/zinc/41/35/87/702413587.db2.gz IIYPQLSIMCMRBF-VIFPVBQESA-N -1 1 301.268 1.436 20 0 DDADMM C[C@@H]1CC(C)(C)CN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869214401 702513487 /nfs/dbraw/zinc/51/34/87/702513487.db2.gz XLAILDOSAXPUHY-SNVBAGLBSA-N -1 1 320.393 1.585 20 0 DDADMM C[C@@]1(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)CC=CCC1 ZINC000869533255 702638396 /nfs/dbraw/zinc/63/83/96/702638396.db2.gz RNRCVFGVHJTIMO-CYBMUJFWSA-N -1 1 321.295 1.914 20 0 DDADMM COC(=O)c1ccc(NC(=O)CO[N-]C(=O)CC(C)(C)C)cc1 ZINC000842060778 702665534 /nfs/dbraw/zinc/66/55/34/702665534.db2.gz PDPYUCNFUPSHFA-UHFFFAOYSA-N -1 1 322.361 1.896 20 0 DDADMM CC(C)[C@@H](N)c1noc(-c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)n1 ZINC000843149156 702828696 /nfs/dbraw/zinc/82/86/96/702828696.db2.gz ASOGUXIWKARFHW-MRVPVSSYSA-N -1 1 302.294 1.142 20 0 DDADMM C[C@H](COCC1CC1)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000844650989 703051544 /nfs/dbraw/zinc/05/15/44/703051544.db2.gz RMBMFHYRGQBYKB-SNVBAGLBSA-N -1 1 303.366 1.278 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2nc(C3CC3)no2)sn1 ZINC000866549051 706726864 /nfs/dbraw/zinc/72/68/64/706726864.db2.gz AULIHNJZMYJFBP-UHFFFAOYSA-N -1 1 300.365 1.190 20 0 DDADMM C[S@@](=O)CCN(CCC(=O)[O-])Cc1ccc(F)c(F)c1 ZINC000846272395 703259194 /nfs/dbraw/zinc/25/91/94/703259194.db2.gz NKDBFZIJEKODIG-HXUWFJFHSA-N -1 1 305.346 1.620 20 0 DDADMM Cc1ccncc1C=CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847081633 703375298 /nfs/dbraw/zinc/37/52/98/703375298.db2.gz UNLKBXWHINPABZ-MUBLQREKSA-N -1 1 314.345 1.898 20 0 DDADMM COc1cc(C(=O)N2CCCN(C=O)CC2)cc(Cl)c1[O-] ZINC000847371856 703409227 /nfs/dbraw/zinc/40/92/27/703409227.db2.gz SGPGSOMMJRRHBC-UHFFFAOYSA-N -1 1 312.753 1.359 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)[C@@H]1CCC(=O)N(C)C1 ZINC000848127377 703509730 /nfs/dbraw/zinc/50/97/30/703509730.db2.gz TZQQVCYKUDKDIS-LLVKDONJSA-N -1 1 320.393 1.307 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)C(=O)[N-]OCC1CCC1 ZINC000850719780 703746766 /nfs/dbraw/zinc/74/67/66/703746766.db2.gz RYHUFUYGLGOGHO-UHFFFAOYSA-N -1 1 302.334 1.658 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C1CC2(CC2)C1 ZINC000850941111 703766804 /nfs/dbraw/zinc/76/68/04/703766804.db2.gz WIXDJPGVCOJITO-UHFFFAOYSA-N -1 1 302.334 1.002 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@H]1CCCOc2ccccc21 ZINC000866685414 706764199 /nfs/dbraw/zinc/76/41/99/706764199.db2.gz LEWBGPZLLVVZKX-NSHDSACASA-N -1 1 318.420 1.462 20 0 DDADMM Cn1[n-]c(COC(=O)CCc2ccc(C(F)F)cc2)nc1=O ZINC000869668781 703827290 /nfs/dbraw/zinc/82/72/90/703827290.db2.gz FESSDXIRCSHFJG-UHFFFAOYSA-N -1 1 311.288 1.722 20 0 DDADMM COCc1noc(COC(=O)Cc2ccc([O-])c(Cl)c2)n1 ZINC000869736264 703839360 /nfs/dbraw/zinc/83/93/60/703839360.db2.gz DDCAZPROYPNSFR-UHFFFAOYSA-N -1 1 312.709 1.861 20 0 DDADMM Cc1cc2c(CC(=O)OCc3nc(=O)n(C)[n-]3)csc2s1 ZINC000869944962 703880251 /nfs/dbraw/zinc/88/02/51/703880251.db2.gz SMDYJHHTEQHLPE-UHFFFAOYSA-N -1 1 323.399 1.979 20 0 DDADMM COCc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1 ZINC000831813181 706784863 /nfs/dbraw/zinc/78/48/63/706784863.db2.gz NTMGSAHGHABMBR-ZDUSSCGKSA-N -1 1 320.389 1.454 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C[C@H](O)c2ccccn2)C1 ZINC000879766887 706786233 /nfs/dbraw/zinc/78/62/33/706786233.db2.gz CTLPVHNKIRIDMS-WCQYABFASA-N -1 1 317.311 1.258 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)C[C@]1(C)CC1(Cl)Cl ZINC000866762884 706792526 /nfs/dbraw/zinc/79/25/26/706792526.db2.gz FDWVZWWAHOFCTD-QMMMGPOBSA-N -1 1 304.195 1.053 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@@H]1C[C@@]12c1ccccc1NC2=O ZINC000820013611 704203147 /nfs/dbraw/zinc/20/31/47/704203147.db2.gz BSDVYQSXVQIROJ-BLLLJJGKSA-N -1 1 304.346 1.369 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2ncc(C)o2)c1 ZINC000820462232 704283151 /nfs/dbraw/zinc/28/31/51/704283151.db2.gz HJWWXQBQNYGLQK-OAQYLSRUSA-N -1 1 309.343 1.843 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCCOC(F)F ZINC000853891811 704331499 /nfs/dbraw/zinc/33/14/99/704331499.db2.gz DZOMMEZMYUGHCO-UHFFFAOYSA-N -1 1 315.319 1.516 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)c1ccncc1 ZINC000820791760 704331548 /nfs/dbraw/zinc/33/15/48/704331548.db2.gz CPZPLHXFIQQERF-GFCCVEGCSA-N -1 1 317.436 1.629 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@@H]2CCO[C@@H]2C)c1 ZINC000821035782 704362466 /nfs/dbraw/zinc/36/24/66/704362466.db2.gz SARHRNZBSCFRJE-SMDDFHAHSA-N -1 1 312.387 1.771 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)C(=O)C2CC2)c1 ZINC000821351958 704395355 /nfs/dbraw/zinc/39/53/55/704395355.db2.gz FNAIXXYPCXIIGT-CHNSCGDPSA-N -1 1 310.371 1.714 20 0 DDADMM CC1(C)COC(=O)[C@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000854429375 704395650 /nfs/dbraw/zinc/39/56/50/704395650.db2.gz DOVWQRMTHBUUPI-CYBMUJFWSA-N -1 1 300.314 1.622 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1C[C@@H](C)n2ncnc21 ZINC000855326337 704478642 /nfs/dbraw/zinc/47/86/42/704478642.db2.gz CFJSZGWTYNNTKV-SCZZXKLOSA-N -1 1 316.365 1.641 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H]2COC[C@H]2C1 ZINC000856151642 704516548 /nfs/dbraw/zinc/51/65/48/704516548.db2.gz URNKNRGXGWECCD-VXGBXAGGSA-N -1 1 305.378 1.723 20 0 DDADMM CO[C@@H](C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000856415570 704527893 /nfs/dbraw/zinc/52/78/93/704527893.db2.gz GSKCWJOKOYHENK-DVVUODLYSA-N -1 1 322.327 1.327 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2CCCS2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418059 704528162 /nfs/dbraw/zinc/52/81/62/704528162.db2.gz BHDIUXJLMHAZRP-UTLUCORTSA-N -1 1 324.368 1.798 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](O)c2ccccc2C)sn1 ZINC000866846629 706823530 /nfs/dbraw/zinc/82/35/30/706823530.db2.gz BELFMTZDTSFEEN-LBPRGKRZSA-N -1 1 312.416 1.772 20 0 DDADMM Cc1cc(C(=O)Nc2c(C)[n-][nH]c2=O)c(C)n1-c1ccn(C)n1 ZINC000857721372 704617452 /nfs/dbraw/zinc/61/74/52/704617452.db2.gz IYICYRNZJGLOMO-UHFFFAOYSA-N -1 1 314.349 1.817 20 0 DDADMM Cn1[n-]c(CN2CC[C@@H](Oc3ccc(C4CC4)cn3)C2)nc1=O ZINC000858047423 704661975 /nfs/dbraw/zinc/66/19/75/704661975.db2.gz MHQUQOBDJOXDEQ-CYBMUJFWSA-N -1 1 315.377 1.034 20 0 DDADMM CCOC(=O)N(C)C1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858385999 704703228 /nfs/dbraw/zinc/70/32/28/704703228.db2.gz BPWMMPWFCBFFGD-UHFFFAOYSA-N -1 1 314.773 1.893 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)c1ccsc1 ZINC000867235686 706933927 /nfs/dbraw/zinc/93/39/27/706933927.db2.gz MYLCMFHDVZURPO-SECBINFHSA-N -1 1 308.450 1.905 20 0 DDADMM COCCOC1(CNc2cc(Cl)[n-]c(=O)n2)CCOCC1 ZINC000858557863 704726937 /nfs/dbraw/zinc/72/69/37/704726937.db2.gz BQWKSDSAJBWROB-UHFFFAOYSA-N -1 1 317.773 1.460 20 0 DDADMM CCNC(=O)[C@H](C)[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000873825155 704862175 /nfs/dbraw/zinc/86/21/75/704862175.db2.gz BBQLJHJUBDCGDB-ZETCQYMHSA-N -1 1 306.259 1.697 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)c1cccc(F)c1 ZINC000874382699 705028890 /nfs/dbraw/zinc/02/88/90/705028890.db2.gz KZRZPJUCRYZKKP-KWCYVHTRSA-N -1 1 323.368 1.591 20 0 DDADMM CC(C)[C@H]1CN(C(=O)NCc2ccccc2C(=O)[O-])CCN1C ZINC000874411563 705047515 /nfs/dbraw/zinc/04/75/15/705047515.db2.gz QEZLLQCRWVUREJ-OAHLLOKOSA-N -1 1 319.405 1.866 20 0 DDADMM c1c(C[N@H+]2C[C@H]3CC[C@@H](C2)O3)c(-c2nn[nH]n2)c2ccccn12 ZINC000823802356 705321565 /nfs/dbraw/zinc/32/15/65/705321565.db2.gz KSQADFNPNOXDFK-BETUJISGSA-N -1 1 310.361 1.483 20 0 DDADMM c1c(C[N@@H+]2C[C@H]3CC[C@@H](C2)O3)c(-c2nn[nH]n2)c2ccccn12 ZINC000823802356 705321569 /nfs/dbraw/zinc/32/15/69/705321569.db2.gz KSQADFNPNOXDFK-BETUJISGSA-N -1 1 310.361 1.483 20 0 DDADMM CC(C)n1cnc([N-]C(=O)c2ncc(Br)cn2)n1 ZINC000823857320 705329236 /nfs/dbraw/zinc/32/92/36/705329236.db2.gz RCJWPCBWHGDDOU-UHFFFAOYSA-N -1 1 311.143 1.664 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC2CCC(F)(F)CC2)o1 ZINC000860972046 705333845 /nfs/dbraw/zinc/33/38/45/705333845.db2.gz UVALAELMHSPCEB-UHFFFAOYSA-N -1 1 323.317 1.922 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1)N1CCCO1 ZINC000823998179 705352082 /nfs/dbraw/zinc/35/20/82/705352082.db2.gz MJPZSTDZOAMELY-UHFFFAOYSA-N -1 1 320.242 1.337 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3CO[C@@H](C4CC4)C3)ccnc1-2 ZINC000861025897 705352319 /nfs/dbraw/zinc/35/23/19/705352319.db2.gz UOFODUDILBWJKD-GXFFZTMASA-N -1 1 301.350 1.031 20 0 DDADMM O=C(C[C@H]1CCCS1(=O)=O)NCc1ccc([O-])c(Cl)c1 ZINC000834822364 707129897 /nfs/dbraw/zinc/12/98/97/707129897.db2.gz LVHTZBLGJMJKDK-SNVBAGLBSA-N -1 1 317.794 1.629 20 0 DDADMM COC[C@H](CNC(=O)NCc1ccc([O-])c(Cl)c1)OC ZINC000875475216 705400400 /nfs/dbraw/zinc/40/04/00/705400400.db2.gz OMNLRZLLYQROEE-JTQLQIEISA-N -1 1 302.758 1.506 20 0 DDADMM O=C([N-][C@@H]1COCCC1=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000824975429 705570451 /nfs/dbraw/zinc/57/04/51/705570451.db2.gz HSRHJZBRLFBZCL-SNVBAGLBSA-N -1 1 305.227 1.531 20 0 DDADMM Cc1onc(CC(=O)N[C@@H](C)c2ccccc2)c1-c1nnn[n-]1 ZINC000826345615 705793634 /nfs/dbraw/zinc/79/36/34/705793634.db2.gz PDHOCDMANPCBBU-VIFPVBQESA-N -1 1 312.333 1.583 20 0 DDADMM Cc1onc(CC(=O)N[C@@H](C)c2ccccc2)c1-c1nn[n-]n1 ZINC000826345615 705793638 /nfs/dbraw/zinc/79/36/38/705793638.db2.gz PDHOCDMANPCBBU-VIFPVBQESA-N -1 1 312.333 1.583 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@@H](CC(C)C)C2)c1-c1nnn[n-]1 ZINC000826344103 705793968 /nfs/dbraw/zinc/79/39/68/705793968.db2.gz XKKYAWBMQQLSDC-NSHDSACASA-N -1 1 318.381 1.600 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@@H](CC(C)C)C2)c1-c1nn[n-]n1 ZINC000826344103 705793971 /nfs/dbraw/zinc/79/39/71/705793971.db2.gz XKKYAWBMQQLSDC-NSHDSACASA-N -1 1 318.381 1.600 20 0 DDADMM Cc1onc(CC(=O)NC[C@H]2CC=CCC2)c1-c1nnn[n-]1 ZINC000826347259 705794613 /nfs/dbraw/zinc/79/46/13/705794613.db2.gz KQYCZGNDMCQPJU-JTQLQIEISA-N -1 1 302.338 1.178 20 0 DDADMM Cc1onc(CC(=O)NC[C@H]2CC=CCC2)c1-c1nn[n-]n1 ZINC000826347259 705794616 /nfs/dbraw/zinc/79/46/16/705794616.db2.gz KQYCZGNDMCQPJU-JTQLQIEISA-N -1 1 302.338 1.178 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1NC[C@H]1COC2(CCCCC2)O1 ZINC000826515335 705807053 /nfs/dbraw/zinc/80/70/53/705807053.db2.gz VNHWWNYOXWIJBN-JTQLQIEISA-N -1 1 317.353 1.144 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1NC[C@H]1COC2(CCCCC2)O1 ZINC000826515335 705807056 /nfs/dbraw/zinc/80/70/56/705807056.db2.gz VNHWWNYOXWIJBN-JTQLQIEISA-N -1 1 317.353 1.144 20 0 DDADMM COc1cnc(F)c([N-]S(=O)(=O)c2cnn(C(C)C)c2)c1 ZINC000863449362 705932873 /nfs/dbraw/zinc/93/28/73/705932873.db2.gz DOXUEONTNIDHBM-UHFFFAOYSA-N -1 1 314.342 1.808 20 0 DDADMM O=C([O-])C1=CC[C@H](Nc2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000863478511 705937470 /nfs/dbraw/zinc/93/74/70/705937470.db2.gz LEKDYUXCZSVQDE-LURJTMIESA-N -1 1 313.239 1.957 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccc(Cl)nc2)CC[C@@H]1C(=O)[O-] ZINC000863532227 705950317 /nfs/dbraw/zinc/95/03/17/705950317.db2.gz KYPMAYDSQUNRCB-ONGXEEELSA-N -1 1 311.769 1.716 20 0 DDADMM CC[C@@H](C[C@@H](C)CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000827473173 706009076 /nfs/dbraw/zinc/00/90/76/706009076.db2.gz OJWARHAPAWHRIQ-KOLCDFICSA-N -1 1 308.407 1.545 20 0 DDADMM C[C@@H](CO)C1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000864059749 706055478 /nfs/dbraw/zinc/05/54/78/706055478.db2.gz PWYZJTRKGUBTDU-JTQLQIEISA-N -1 1 305.378 1.540 20 0 DDADMM Cn1cc(CNCc2ccc3c(c2)OCCCO3)c(C(=O)[O-])n1 ZINC000864782930 706261792 /nfs/dbraw/zinc/26/17/92/706261792.db2.gz NJRYWZJGSMTOOP-UHFFFAOYSA-N -1 1 317.345 1.569 20 0 DDADMM C[C@H]1CC[N@H+](C[C@@H]2COCCO2)[C@@H](C)[C@H]1NC(=O)C(F)(F)F ZINC000829902970 706416951 /nfs/dbraw/zinc/41/69/51/706416951.db2.gz WHELTKMYAXIQNQ-YFKTTZPYSA-N -1 1 324.343 1.179 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccnc(F)c1 ZINC000872512002 707455634 /nfs/dbraw/zinc/45/56/34/707455634.db2.gz ZHWVAUASMMVTKL-IBGZPJMESA-N -1 1 323.415 1.451 20 0 DDADMM Cc1ccc2nc(CNC(=O)NC(C)(C)CNC(=O)[O-])[nH]c2c1 ZINC000830432984 706517073 /nfs/dbraw/zinc/51/70/73/706517073.db2.gz OKOVUPKIGYRREA-UHFFFAOYSA-N -1 1 319.365 1.717 20 0 DDADMM CCO[C@H]1C[C@@]([N-]S(=O)(=O)C2CCC2)(C(=O)OC)C1(C)C ZINC000882107805 707501152 /nfs/dbraw/zinc/50/11/52/707501152.db2.gz OXPOUTQAWWSCIC-SMDDNHRTSA-N -1 1 319.423 1.205 20 0 DDADMM CCO[C@H](C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830788049 706579639 /nfs/dbraw/zinc/57/96/39/706579639.db2.gz ACQVCONQWKVBIB-GXFFZTMASA-N -1 1 322.327 1.471 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2C[C@]23CCOC3)C1 ZINC000830807815 706583514 /nfs/dbraw/zinc/58/35/14/706583514.db2.gz QYDPIPBIBKMZRQ-XDTLVQLUSA-N -1 1 320.311 1.083 20 0 DDADMM C[C@]1(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CCCO1 ZINC000830815400 706584854 /nfs/dbraw/zinc/58/48/54/706584854.db2.gz AQCMGPAZAFLTDO-NWDGAFQWSA-N -1 1 308.300 1.225 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCSC2)C1 ZINC000830815983 706585139 /nfs/dbraw/zinc/58/51/39/706585139.db2.gz IFNOEIPOVWSZDF-GZMMTYOYSA-N -1 1 310.341 1.409 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCc2c1cccc2O ZINC000866841020 706821667 /nfs/dbraw/zinc/82/16/67/706821667.db2.gz RZETYNGCEQONPV-JEOXALJRSA-N -1 1 318.420 1.332 20 0 DDADMM CCC[C@H](CCO)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866896623 706838433 /nfs/dbraw/zinc/83/84/33/706838433.db2.gz DYLQIAHAFGSRIE-SECBINFHSA-N -1 1 308.350 1.437 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1cccnc1Cl)c1nn[n-]n1 ZINC000832126453 706848048 /nfs/dbraw/zinc/84/80/48/706848048.db2.gz NGNJIHFSAVCFJQ-SECBINFHSA-N -1 1 308.773 1.443 20 0 DDADMM C[C@@H](C[C@H]1CCOC1)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866958221 706856286 /nfs/dbraw/zinc/85/62/86/706856286.db2.gz KYRDVURCIJOKCM-DTWKUNHWSA-N -1 1 322.789 1.968 20 0 DDADMM CC1(CCNC(=O)c2ncc3ccccc3c2[O-])OCCO1 ZINC000832176530 706861807 /nfs/dbraw/zinc/86/18/07/706861807.db2.gz XCBXGAYRBYDDSG-UHFFFAOYSA-N -1 1 302.330 1.823 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)N(C)[C@@H](C(=O)[O-])c1ccccc1 ZINC000909000774 712912694 /nfs/dbraw/zinc/91/26/94/712912694.db2.gz QBTWJUOPKJWUKF-UKRRQHHQSA-N -1 1 320.389 1.239 20 0 DDADMM O=S(=O)([N-]Cc1nnc(C2CC2)o1)c1ccc(F)nc1F ZINC000867131231 706905994 /nfs/dbraw/zinc/90/59/94/706905994.db2.gz HXBPLAFLYFROFI-UHFFFAOYSA-N -1 1 316.289 1.099 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cc(C)nc(C)c1 ZINC000867420318 706996283 /nfs/dbraw/zinc/99/62/83/706996283.db2.gz TYPFOBOGVQFKRY-SFHVURJKSA-N -1 1 305.425 1.151 20 0 DDADMM O=C(c1ncccc1[O-])N1CCn2c(cnc2C(F)(F)F)C1 ZINC000834316009 707029159 /nfs/dbraw/zinc/02/91/59/707029159.db2.gz WLPXFGWLFITZQL-UHFFFAOYSA-N -1 1 312.251 1.659 20 0 DDADMM CC1(C)C[C@]1([N-]S(=O)(=O)N=S(C)(C)=O)c1ccccc1 ZINC000867539473 707033167 /nfs/dbraw/zinc/03/31/67/707033167.db2.gz LCJQBOOKNJYQBS-ZDUSSCGKSA-N -1 1 316.448 1.874 20 0 DDADMM O=S(=O)([N-][C@@H](C1CCC1)[C@@H]1CCCO1)c1c[nH]nc1Cl ZINC000867679067 707071605 /nfs/dbraw/zinc/07/16/05/707071605.db2.gz PHADDCSLWIDCFR-ONGXEEELSA-N -1 1 319.814 1.689 20 0 DDADMM COC(=O)c1cc(C(=O)CO[N-]C(=O)CC(C)(C)C)c(C)[nH]1 ZINC000871752658 707188211 /nfs/dbraw/zinc/18/82/11/707188211.db2.gz FJCGWUXXRHXEEQ-UHFFFAOYSA-N -1 1 310.350 1.776 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC([C@@H](C)O)CC3)cnc2n1 ZINC000881432047 707255386 /nfs/dbraw/zinc/25/53/86/707255386.db2.gz IBRPUZZGXRFNEZ-LLVKDONJSA-N -1 1 315.373 1.877 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC([C@@H](C)O)CC3)c[n-]c2n1 ZINC000881432047 707255391 /nfs/dbraw/zinc/25/53/91/707255391.db2.gz IBRPUZZGXRFNEZ-LLVKDONJSA-N -1 1 315.373 1.877 20 0 DDADMM C[C@H](O)CC[N-]S(=O)(=O)N=[S@](C)(=O)C1CCCCC1 ZINC000881913478 707421772 /nfs/dbraw/zinc/42/17/72/707421772.db2.gz PMEORAXWDUBAOG-XTZNXHDOSA-N -1 1 312.457 1.022 20 0 DDADMM O=C([O-])C1(NC(=O)NCc2ccc3cncn3c2)CCCC1 ZINC000909166028 712951749 /nfs/dbraw/zinc/95/17/49/712951749.db2.gz AJDPCDDUACRUBM-UHFFFAOYSA-N -1 1 302.334 1.531 20 0 DDADMM C[C@@H]1CCN(C(=O)NCc2ccc3cncn3c2)[C@H](C(=O)[O-])C1 ZINC000909171603 712953290 /nfs/dbraw/zinc/95/32/90/712953290.db2.gz NRKZUQNXRVUEKU-RISCZKNCSA-N -1 1 316.361 1.729 20 0 DDADMM CCOC1CC2(C[C@@H]2[N-]S(=O)(=O)c2nc(C)c(C)s2)C1 ZINC000872656980 707537853 /nfs/dbraw/zinc/53/78/53/707537853.db2.gz YYOVRTCNBAMQEJ-AKJDGMEZSA-N -1 1 316.448 1.996 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](C(C)(C)O)C2)c1 ZINC000872675418 707553516 /nfs/dbraw/zinc/55/35/16/707553516.db2.gz DEFJFSZVTWCMGI-JTQLQIEISA-N -1 1 315.391 1.182 20 0 DDADMM CC(=O)N1CC[C@H](C[N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000882367848 707607035 /nfs/dbraw/zinc/60/70/35/707607035.db2.gz XIMJVDMJKSIYMC-GFCCVEGCSA-N -1 1 322.386 1.580 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCCCC(C)=O)o1 ZINC000837423982 707643554 /nfs/dbraw/zinc/64/35/54/707643554.db2.gz LBGXAWRMWNUQMD-UHFFFAOYSA-N -1 1 317.363 1.494 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](CO)Cc2ccoc2)sn1 ZINC000882640585 707728600 /nfs/dbraw/zinc/72/86/00/707728600.db2.gz GEMQPTQEWFBFCH-LLVKDONJSA-N -1 1 316.404 1.174 20 0 DDADMM CCN1CC[C@H]1C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000882764041 707779530 /nfs/dbraw/zinc/77/95/30/707779530.db2.gz HYWAFBICOARWQE-JTQLQIEISA-N -1 1 304.362 1.646 20 0 DDADMM CCN1CC[C@H]1C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000882765732 707782071 /nfs/dbraw/zinc/78/20/71/707782071.db2.gz ILYZEUJFXFFGGB-QMMMGPOBSA-N -1 1 324.233 1.761 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2CCC3(CCC3)O2)sn1 ZINC000882776505 707789538 /nfs/dbraw/zinc/78/95/38/707789538.db2.gz BAVHZOGGYBUCCA-SNVBAGLBSA-N -1 1 302.421 1.832 20 0 DDADMM CNC(=O)[C@@H](C)CN(C)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896833052 708159075 /nfs/dbraw/zinc/15/90/75/708159075.db2.gz VFBSBXZTQDUIQH-JTQLQIEISA-N -1 1 316.357 1.705 20 0 DDADMM O=C(C=CC=C(Cl)Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000897162025 708241812 /nfs/dbraw/zinc/24/18/12/708241812.db2.gz RZOVSSPNHJFBKT-PRULUSJTSA-N -1 1 302.165 1.781 20 0 DDADMM CO[C@@]1(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)CCSC1 ZINC000897640113 708403175 /nfs/dbraw/zinc/40/31/75/708403175.db2.gz IYAOVUPOVMOITB-ZANVPECISA-N -1 1 313.379 1.003 20 0 DDADMM C[C@H]1CCNC(=O)[C@H]1NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897664155 708411022 /nfs/dbraw/zinc/41/10/22/708411022.db2.gz PDLMNZGASYPOKH-XPTSAGLGSA-N -1 1 314.341 1.505 20 0 DDADMM CN(CCC[N-]S(=O)(=O)c1ccns1)CC(F)(F)F ZINC000884939953 708425438 /nfs/dbraw/zinc/42/54/38/708425438.db2.gz GDTSSWBJRCGNHO-UHFFFAOYSA-N -1 1 317.358 1.306 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC(O)(C2CCC2)CC1 ZINC000884973404 708432559 /nfs/dbraw/zinc/43/25/59/708432559.db2.gz UKEIZGOVBYHISK-UHFFFAOYSA-N -1 1 317.389 1.827 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CCC(C)C ZINC000912527907 713030887 /nfs/dbraw/zinc/03/08/87/713030887.db2.gz JBWBBGUZOFJNNI-VIFPVBQESA-N -1 1 303.384 1.299 20 0 DDADMM O=S(=O)([N-]Cc1ccn(C2CCCC2)n1)c1ccns1 ZINC000885067568 708461113 /nfs/dbraw/zinc/46/11/13/708461113.db2.gz YLFYKPJVYBMAOS-UHFFFAOYSA-N -1 1 312.420 1.933 20 0 DDADMM Cc1cnc(Cl)c(S(=O)(=O)[N-]CCOC(F)(F)F)c1 ZINC000885131650 708477978 /nfs/dbraw/zinc/47/79/78/708477978.db2.gz LTSZTXGSEVETDB-UHFFFAOYSA-N -1 1 318.704 1.858 20 0 DDADMM CCCC1(C[N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)CC1 ZINC000912543433 713033484 /nfs/dbraw/zinc/03/34/84/713033484.db2.gz WZGCEYWUKMRNMQ-UHFFFAOYSA-N -1 1 301.368 1.055 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)C[C@@H]1CCCC(C)(C)O1 ZINC000885232491 708499157 /nfs/dbraw/zinc/49/91/57/708499157.db2.gz XSPIVMKYZXHDSS-WDEREUQCSA-N -1 1 319.423 1.205 20 0 DDADMM CC[C@H](C)NC(=O)CC[N-]S(=O)(=O)c1sccc1Cl ZINC000885380104 708530780 /nfs/dbraw/zinc/53/07/80/708530780.db2.gz XKIUXKZEQHEWEW-QMMMGPOBSA-N -1 1 324.855 1.985 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@]12C[C@H]1CCC2 ZINC000886003076 708679941 /nfs/dbraw/zinc/67/99/41/708679941.db2.gz XSSNAXYRQIYHDP-PXAZEXFGSA-N -1 1 314.389 1.901 20 0 DDADMM CCn1nc(C)c(S(=O)(=O)[N-]c2ccc(F)nc2F)c1C ZINC000886412815 708756388 /nfs/dbraw/zinc/75/63/88/708756388.db2.gz UCINKZNIXWAOSN-UHFFFAOYSA-N -1 1 316.333 1.994 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cncc(Cl)c1)[C@@H]1CCOC1 ZINC000886482971 708767742 /nfs/dbraw/zinc/76/77/42/708767742.db2.gz YRTNASCBYFUIAO-BXKDBHETSA-N -1 1 320.798 1.528 20 0 DDADMM CN(C)c1ccc(CCC(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC000898751907 708854476 /nfs/dbraw/zinc/85/44/76/708854476.db2.gz PFGKGLVEXBBEGR-UHFFFAOYSA-N -1 1 316.361 1.203 20 0 DDADMM O=C(NC1(c2ncccn2)CCOCC1)c1ncccc1[O-] ZINC000886942788 708898776 /nfs/dbraw/zinc/89/87/76/708898776.db2.gz BETJKBKWGDXJKY-UHFFFAOYSA-N -1 1 300.318 1.013 20 0 DDADMM Cc1cccc(CO[N-]C(=O)[C@@H]2CC(=O)N(C3CCCC3)C2)n1 ZINC000898938005 708929403 /nfs/dbraw/zinc/92/94/03/708929403.db2.gz PVQDHAUMSYTJDN-CYBMUJFWSA-N -1 1 317.389 1.729 20 0 DDADMM CN1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)[C@H](CO)C1 ZINC000912738993 713079346 /nfs/dbraw/zinc/07/93/46/713079346.db2.gz NJOSLPJVCZJPSG-JTQLQIEISA-N -1 1 318.295 1.160 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000887918078 709162173 /nfs/dbraw/zinc/16/21/73/709162173.db2.gz DGLCXKKQJPLEJJ-DTORHVGOSA-N -1 1 315.778 1.162 20 0 DDADMM COc1cc2c(cc1O)CCN(C(=O)c1cncc([O-])c1)C2 ZINC000899790682 709197131 /nfs/dbraw/zinc/19/71/31/709197131.db2.gz CZSSIOSYXNANRD-UHFFFAOYSA-N -1 1 300.314 1.700 20 0 DDADMM COCCN(CCOC)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000899920124 709237663 /nfs/dbraw/zinc/23/76/63/709237663.db2.gz KCYOEYAZGYCNJT-UHFFFAOYSA-N -1 1 322.336 1.815 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1COCC[C@@H]1c1ccccc1 ZINC000888196609 709241505 /nfs/dbraw/zinc/24/15/05/709241505.db2.gz HDVUODPZVIOMDT-CYBMUJFWSA-N -1 1 301.350 1.470 20 0 DDADMM COC(=O)CCCO[N-]C(=O)[C@@H]1CCCN1Cc1ccccn1 ZINC000888816346 709385049 /nfs/dbraw/zinc/38/50/49/709385049.db2.gz HDNXIGLKNKUSFU-AWEZNQCLSA-N -1 1 321.377 1.047 20 0 DDADMM C[C@@]1([C@@H]2CCCN(C(=O)c3ccc([O-])c(F)c3)C2)COC(=O)N1 ZINC000928023782 713105415 /nfs/dbraw/zinc/10/54/15/713105415.db2.gz QOVUEWALINORRU-BZNIZROVSA-N -1 1 322.336 1.882 20 0 DDADMM COc1ccccc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912859722 713109549 /nfs/dbraw/zinc/10/95/49/713109549.db2.gz DYZSOTXHXPQBGO-JTQLQIEISA-N -1 1 307.379 1.433 20 0 DDADMM CSCC[C@H](NC(=O)C1CCSCC1)c1nn[n-]n1 ZINC000912859778 713109674 /nfs/dbraw/zinc/10/96/74/713109674.db2.gz BPHRTHHAXMLXAO-VIFPVBQESA-N -1 1 301.441 1.253 20 0 DDADMM CC(C)N1CCC[C@H]1C(=O)Nc1cnn(C(C)(C)C(=O)[O-])c1 ZINC000909596041 709534175 /nfs/dbraw/zinc/53/41/75/709534175.db2.gz GCLKJABWCFPMNJ-LBPRGKRZSA-N -1 1 308.382 1.514 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCO[C@H]1C1CC1 ZINC000928056628 713112895 /nfs/dbraw/zinc/11/28/95/713112895.db2.gz PGGWSCCGERHWAB-YPMHNXCESA-N -1 1 305.378 1.911 20 0 DDADMM COCc1cc(NC(=O)C2(N(C)C)CCC2)cc(C(=O)[O-])c1 ZINC000909627790 709547341 /nfs/dbraw/zinc/54/73/41/709547341.db2.gz WJXXSCVNXYXESG-UHFFFAOYSA-N -1 1 306.362 1.954 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC(=O)N(C2CC2)C1 ZINC000889645196 709572063 /nfs/dbraw/zinc/57/20/63/709572063.db2.gz PNAQJGZGTORFTM-UHFFFAOYSA-N -1 1 309.753 1.519 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@](C)(CC(=O)[O-])c1cccc(F)c1 ZINC000909680797 709574730 /nfs/dbraw/zinc/57/47/30/709574730.db2.gz HJEMZUHUOGOIAX-XJKSGUPXSA-N -1 1 308.353 1.726 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@@](C)(CC(=O)[O-])c1cccc(F)c1 ZINC000909680795 709574907 /nfs/dbraw/zinc/57/49/07/709574907.db2.gz HJEMZUHUOGOIAX-CJNGLKHVSA-N -1 1 308.353 1.726 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000909682795 709575779 /nfs/dbraw/zinc/57/57/79/709575779.db2.gz ULSCLHBUOGNHNQ-BYULHYEWSA-N -1 1 317.267 1.754 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1c2ccccc2OC[C@@H]1F ZINC000928067802 713115033 /nfs/dbraw/zinc/11/50/33/713115033.db2.gz DYRFYWUFQGDCSP-IINYFYTJSA-N -1 1 305.313 1.110 20 0 DDADMM O=C(N[C@H]1CCCNC1=O)c1c([O-])cnc2c(F)cccc21 ZINC000900441980 709597112 /nfs/dbraw/zinc/59/71/12/709597112.db2.gz RJGNTPHUZJDESQ-JTQLQIEISA-N -1 1 303.293 1.088 20 0 DDADMM Cc1ccc(F)c(CN(C)C(=O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)c1 ZINC000909728319 709598218 /nfs/dbraw/zinc/59/82/18/709598218.db2.gz FLWFYGBYCIUWBO-ZDUSSCGKSA-N -1 1 322.380 1.889 20 0 DDADMM Cc1ccc(F)c(CN(C)C(=O)CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000909728319 709598222 /nfs/dbraw/zinc/59/82/22/709598222.db2.gz FLWFYGBYCIUWBO-ZDUSSCGKSA-N -1 1 322.380 1.889 20 0 DDADMM C[C@@H]1CC[C@@]2(CCN(C(=O)C[N@H+]3CCC[C@H](C(=O)[O-])C3)C2)C1 ZINC000909730447 709598992 /nfs/dbraw/zinc/59/89/92/709598992.db2.gz MBTOPDXUWHPFER-JKIFEVAISA-N -1 1 308.422 1.822 20 0 DDADMM COc1cc(NC(=O)[C@H](C)CN2CCOCC2)ccc1C(=O)[O-] ZINC000909731026 709599566 /nfs/dbraw/zinc/59/95/66/709599566.db2.gz WHIOCRPGXOFTAK-LLVKDONJSA-N -1 1 322.361 1.300 20 0 DDADMM Cc1cc(CC(=O)N[C@H](Cc2cccc(Cl)c2)C(=O)[O-])[nH]n1 ZINC000909814549 709635608 /nfs/dbraw/zinc/63/56/08/709635608.db2.gz OXGQUNAWGVBGIM-CYBMUJFWSA-N -1 1 321.764 1.726 20 0 DDADMM CCC(C)(C)C(=O)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889787141 709635587 /nfs/dbraw/zinc/63/55/87/709635587.db2.gz QYEYOLKGSJJZHG-SNVBAGLBSA-N -1 1 321.377 1.860 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H](O)C(C)(C)C)[n-]c1=O ZINC000889789406 709636847 /nfs/dbraw/zinc/63/68/47/709636847.db2.gz FAECOGAYLMWGMS-MWLCHTKSSA-N -1 1 309.366 1.261 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C(=O)C(C)(C)C)[n-]c1=O ZINC000889792478 709638608 /nfs/dbraw/zinc/63/86/08/709638608.db2.gz ZRSXJSJAARVCIQ-SECBINFHSA-N -1 1 307.350 1.470 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CC2CC1(C(=O)[O-])C2 ZINC000909948954 709703939 /nfs/dbraw/zinc/70/39/39/709703939.db2.gz QCEBWPCDXAOKHD-UHFFFAOYSA-N -1 1 302.374 1.237 20 0 DDADMM CS(=O)(=O)C1(C(=O)Nc2cc([O-])c(F)cc2F)CCCC1 ZINC000909979824 709716199 /nfs/dbraw/zinc/71/61/99/709716199.db2.gz VOXVOHYELRWPRB-UHFFFAOYSA-N -1 1 319.329 1.966 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N(CC2CC2)CC2CCC2)C1 ZINC000910023076 709740691 /nfs/dbraw/zinc/74/06/91/709740691.db2.gz RNNMGLLIYHTQQB-OAHLLOKOSA-N -1 1 308.422 1.822 20 0 DDADMM O=C([O-])[C@H]1CCCCCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000910045102 709749917 /nfs/dbraw/zinc/74/99/17/709749917.db2.gz WAALEUSZHNYGMB-MRVPVSSYSA-N -1 1 305.256 1.898 20 0 DDADMM CCC[C@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)OCC ZINC000910191849 709805709 /nfs/dbraw/zinc/80/57/09/709805709.db2.gz UQUDSBVWWMIWEX-QWHCGFSZSA-N -1 1 300.399 1.104 20 0 DDADMM COc1cc(C(=O)N[C@H](C)CC[S@](C)=O)cc(Cl)c1[O-] ZINC000900885978 709810582 /nfs/dbraw/zinc/81/05/82/709810582.db2.gz BPMGJNFWOSVPEQ-SQFXPLBJSA-N -1 1 319.810 1.941 20 0 DDADMM COC(=O)C[C@H](C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000900896250 709815544 /nfs/dbraw/zinc/81/55/44/709815544.db2.gz FCXGXQPECUESDH-VIFPVBQESA-N -1 1 303.314 1.932 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@H](CC1CC1)C(=O)[O-] ZINC000910382001 709916605 /nfs/dbraw/zinc/91/66/05/709916605.db2.gz JOEXANWFWVGRIW-SSDOTTSWSA-N -1 1 305.256 1.720 20 0 DDADMM O=C(N[C@H](CCO)c1ccccc1)c1cnc(C2CC2)[n-]c1=O ZINC000901093762 709922251 /nfs/dbraw/zinc/92/22/51/709922251.db2.gz GRDBODRJXYZUAT-CQSZACIVSA-N -1 1 313.357 1.913 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCC[C@H]2CCCC2(F)F)C1 ZINC000910408655 709927245 /nfs/dbraw/zinc/92/72/45/709927245.db2.gz SUKIQHWRPAGPPR-NWDGAFQWSA-N -1 1 318.364 1.725 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CC[C@H](O)[C@H](F)C1 ZINC000890682130 709949572 /nfs/dbraw/zinc/94/95/72/709949572.db2.gz RLWZDGYHSYPQOK-KGLIPLIRSA-N -1 1 305.305 1.796 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1c1nccc(C(F)(F)F)n1 ZINC000910458424 709953499 /nfs/dbraw/zinc/95/34/99/709953499.db2.gz SNOGJQPBOSIHBL-SECBINFHSA-N -1 1 318.299 1.481 20 0 DDADMM C/C=C\[C@H](O)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000901320011 710008652 /nfs/dbraw/zinc/00/86/52/710008652.db2.gz OORXRSWYQPLOKA-BYCRGOAPSA-N -1 1 302.327 1.073 20 0 DDADMM CCOc1ccc(CCN(C)C(=O)CCc2nn[n-]n2)cc1 ZINC000890963704 710044651 /nfs/dbraw/zinc/04/46/51/710044651.db2.gz KVCKVVABQBTFDZ-UHFFFAOYSA-N -1 1 303.366 1.232 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(Cc2c[nH]c(-c3ccccc3)n2)C1 ZINC000901543925 710071216 /nfs/dbraw/zinc/07/12/16/710071216.db2.gz XVYWCGDQVMGIMO-MRXNPFEDSA-N -1 1 301.346 1.752 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCCOC1CCCC1 ZINC000901652495 710109321 /nfs/dbraw/zinc/10/93/21/710109321.db2.gz JDFMHGUTZMIXGM-UHFFFAOYSA-N -1 1 314.407 1.647 20 0 DDADMM COc1ccc([C@@H](NCc2cnnn2C)C(=O)[O-])cc1Cl ZINC000901847860 710159294 /nfs/dbraw/zinc/15/92/94/710159294.db2.gz IQZCUKMWSXWYHY-GFCCVEGCSA-N -1 1 310.741 1.393 20 0 DDADMM COCc1nc(N(C)Cc2ccc(OC)cc2OC)cc(=O)[n-]1 ZINC000891480828 710214263 /nfs/dbraw/zinc/21/42/63/710214263.db2.gz VWOQQPZTRUJFKI-UHFFFAOYSA-N -1 1 319.361 1.982 20 0 DDADMM Cn1ncc(C2CC2)c1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891616142 710249413 /nfs/dbraw/zinc/24/94/13/710249413.db2.gz VPJXCJYDIYFVSV-UHFFFAOYSA-N -1 1 313.361 1.924 20 0 DDADMM COCc1nc(N2CC[C@@H](NC(=O)OC(C)(C)C)C2)cc(=O)[n-]1 ZINC000892403106 710430806 /nfs/dbraw/zinc/43/08/06/710430806.db2.gz VBAYOWOIOLVSTG-SNVBAGLBSA-N -1 1 324.381 1.432 20 0 DDADMM O=C(C[C@@H]1CCNC1=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000913268478 713186953 /nfs/dbraw/zinc/18/69/53/713186953.db2.gz GUGHYBIHDZHRRD-JTQLQIEISA-N -1 1 300.318 1.043 20 0 DDADMM CCCCOc1cc(OC)ccc1C(=O)N(C)c1nn[n-]n1 ZINC000892954766 710531745 /nfs/dbraw/zinc/53/17/45/710531745.db2.gz QRTXQFQQIQKBPJ-UHFFFAOYSA-N -1 1 305.338 1.664 20 0 DDADMM Cc1ccc(C(=O)NCCNCc2cc(C(=O)[O-])co2)cc1F ZINC000902096058 710613836 /nfs/dbraw/zinc/61/38/36/710613836.db2.gz ZZKBYVOHYBVYSM-UHFFFAOYSA-N -1 1 320.320 1.945 20 0 DDADMM O=C([O-])[C@H]1c2ccccc2OCCN1C(=O)CCc1cnc[nH]1 ZINC000911057036 710614035 /nfs/dbraw/zinc/61/40/35/710614035.db2.gz JWTQDCNNHBLPHD-OAHLLOKOSA-N -1 1 315.329 1.389 20 0 DDADMM O=C([O-])C12CC(C(=O)NC[C@@H](c3ccco3)N3CCCC3)(C1)C2 ZINC000911096344 710632237 /nfs/dbraw/zinc/63/22/37/710632237.db2.gz QCSYCGSCOLMIMQ-CDEQTRAXSA-N -1 1 318.373 1.788 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC000911104537 710635222 /nfs/dbraw/zinc/63/52/22/710635222.db2.gz FFNQOIUFYJUABJ-KBPBESRZSA-N -1 1 308.378 1.525 20 0 DDADMM CC(C)CN1CCN(C(=O)CC[C@@H]2C[C@H](C(=O)[O-])CO2)CC1 ZINC000911105788 710635831 /nfs/dbraw/zinc/63/58/31/710635831.db2.gz FAHYADHTDLUEAQ-UONOGXRCSA-N -1 1 312.410 1.057 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCNc2ccccc2)C1 ZINC000911153474 710657559 /nfs/dbraw/zinc/65/75/59/710657559.db2.gz FHZGMDLNAMYDGF-CYBMUJFWSA-N -1 1 305.378 1.011 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(C(=O)c2cccc3[nH]c(C)nc32)C1 ZINC000902303068 710705917 /nfs/dbraw/zinc/70/59/17/710705917.db2.gz FNRWEBGYQHKYTJ-MRXNPFEDSA-N -1 1 317.345 1.435 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)N1CCC(CCN2CCOCC2)CC1 ZINC000911300109 710733826 /nfs/dbraw/zinc/73/38/26/710733826.db2.gz GWNCHGMYEMMQQB-CQSZACIVSA-N -1 1 312.410 1.058 20 0 DDADMM COCCN1CC[C@@H](NC(=O)c2ccc(C)c(C(=O)[O-])c2)C1 ZINC000911352951 710758009 /nfs/dbraw/zinc/75/80/09/710758009.db2.gz PXPAJNJBGJNIAV-CYBMUJFWSA-N -1 1 306.362 1.144 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2cccc(CCCO)c2)C1 ZINC000911395453 710778087 /nfs/dbraw/zinc/77/80/87/710778087.db2.gz PXANBYPNZQYVLX-AWEZNQCLSA-N -1 1 320.389 1.347 20 0 DDADMM CN1CC[C@@H](NC(=O)c2cc(C(=O)[O-])on2)[C@@H]1c1ccccc1 ZINC000911508960 710829129 /nfs/dbraw/zinc/82/91/29/710829129.db2.gz PFOFUZZZNNRPHJ-RISCZKNCSA-N -1 1 315.329 1.548 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)/C=C/c1cc(C(=O)[O-])co1 ZINC000911571173 710859821 /nfs/dbraw/zinc/85/98/21/710859821.db2.gz JOODJJUGTOUOQF-URWSZGRFSA-N -1 1 306.362 1.934 20 0 DDADMM Cc1cc(C2CCN(C(=O)c3cncc(C(=O)[O-])c3)CC2)n[nH]1 ZINC000911651632 710906633 /nfs/dbraw/zinc/90/66/33/710906633.db2.gz SVMYKWVHLPMHRQ-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM O=C([O-])[C@]1(C(=O)N[C@@H]2CCC[N@H+]3CCSC[C@@H]23)CC=CCC1 ZINC000902820013 710916122 /nfs/dbraw/zinc/91/61/22/710916122.db2.gz ZWTNPRGQNXEDCZ-DVOMOZLQSA-N -1 1 324.446 1.494 20 0 DDADMM O=C([O-])[C@]1(C(=O)N[C@@H]2CCCN3CCSC[C@@H]23)CC=CCC1 ZINC000902820013 710916124 /nfs/dbraw/zinc/91/61/24/710916124.db2.gz ZWTNPRGQNXEDCZ-DVOMOZLQSA-N -1 1 324.446 1.494 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NCc1nc2cc(C(=O)[O-])ccc2[nH]1 ZINC000911748001 710964018 /nfs/dbraw/zinc/96/40/18/710964018.db2.gz KMJQEBYYPUZTBL-CYBMUJFWSA-N -1 1 316.361 1.362 20 0 DDADMM COc1ccccc1CO[N-]C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000911807339 711004379 /nfs/dbraw/zinc/00/43/79/711004379.db2.gz ZTJLWKUTQATJLT-LBPRGKRZSA-N -1 1 322.361 1.040 20 0 DDADMM COCc1nc(N(C)C[C@@](C)(O)c2ccccc2)cc(=O)[n-]1 ZINC000894275850 711047825 /nfs/dbraw/zinc/04/78/25/711047825.db2.gz MYMXPDLHTWQKOY-MRXNPFEDSA-N -1 1 303.362 1.673 20 0 DDADMM CN(C(=O)[C@@]1(C(=O)[O-])CNCCO1)c1ccc2ccccc2c1 ZINC000912041394 711140122 /nfs/dbraw/zinc/14/01/22/711140122.db2.gz ZMNNSFBJVNMZFJ-QGZVFWFLSA-N -1 1 314.341 1.246 20 0 DDADMM NOCCC[N-]S(=O)(=O)c1c(Cl)cc(F)cc1Cl ZINC000903526676 711169836 /nfs/dbraw/zinc/16/98/36/711169836.db2.gz KYBYCBNOESPKQC-UHFFFAOYSA-N -1 1 317.169 1.691 20 0 DDADMM C[C@@H](CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000913495422 713237988 /nfs/dbraw/zinc/23/79/88/713237988.db2.gz XZDYRDYOZQAONB-GWCFXTLKSA-N -1 1 319.340 1.433 20 0 DDADMM COCc1nc(N(C)Cc2c(C)cc(C)nc2OC)cc(=O)[n-]1 ZINC000894674942 711235914 /nfs/dbraw/zinc/23/59/14/711235914.db2.gz BLJYVNZTJNQBNI-UHFFFAOYSA-N -1 1 318.377 1.985 20 0 DDADMM CC(C)(CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)C(F)(F)F ZINC000913497239 713238874 /nfs/dbraw/zinc/23/88/74/713238874.db2.gz JGCGVNRFSWUJRT-SSDOTTSWSA-N -1 1 307.276 1.078 20 0 DDADMM CCN(CCC1(C(=O)[O-])CCC1)[C@H](C)CS(=O)(=O)CC ZINC000903695620 711246966 /nfs/dbraw/zinc/24/69/66/711246966.db2.gz DXLKKPRSBNIDBS-GFCCVEGCSA-N -1 1 305.440 1.777 20 0 DDADMM CC(C)COC[C@@H](O)CN1CCOc2c(cccc2C(=O)[O-])C1 ZINC000903922465 711333443 /nfs/dbraw/zinc/33/34/43/711333443.db2.gz ZWKMDAKEDLRMJJ-AWEZNQCLSA-N -1 1 323.389 1.613 20 0 DDADMM CNC(=O)[C@@H]1CCN(Cc2ncc(Br)cc2[O-])C1 ZINC000894973628 711345957 /nfs/dbraw/zinc/34/59/57/711345957.db2.gz VSFWVAULTCMNMT-MRVPVSSYSA-N -1 1 314.183 1.118 20 0 DDADMM CCOC(=O)c1n[nH]c([C@@H](C)NCc2c(C)nn(C)c2C)n1 ZINC000895235088 711459892 /nfs/dbraw/zinc/45/98/92/711459892.db2.gz KSGWYHBHPUWYBL-SECBINFHSA-N -1 1 306.370 1.183 20 0 DDADMM CC(=O)N1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])CC1 ZINC000895451080 711515841 /nfs/dbraw/zinc/51/58/41/711515841.db2.gz FMLRQKONZVVJFJ-UHFFFAOYSA-N -1 1 314.341 1.555 20 0 DDADMM O=C([N-]C1COC1)C(F)(F)c1ncccc1Br ZINC000913674421 713278811 /nfs/dbraw/zinc/27/88/11/713278811.db2.gz PUSLSMQZWSGHLQ-UHFFFAOYSA-N -1 1 307.094 1.451 20 0 DDADMM O=C(c1cc2c(s1)CCC2)N1CCC(c2nn[n-]n2)CC1 ZINC000913742476 713291823 /nfs/dbraw/zinc/29/18/23/713291823.db2.gz FLTYBUZSNPMGON-UHFFFAOYSA-N -1 1 303.391 1.770 20 0 DDADMM CC(C)Oc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)ccn1 ZINC000913744056 713292814 /nfs/dbraw/zinc/29/28/14/713292814.db2.gz YNNVPVZJFQNVEV-UHFFFAOYSA-N -1 1 316.365 1.402 20 0 DDADMM CC(C)n1nccc1CN[C@@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000905792627 712147608 /nfs/dbraw/zinc/14/76/08/712147608.db2.gz DELROSUHSWVYRQ-GFCCVEGCSA-N -1 1 303.366 1.983 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1ccc(C(C)C)nc1 ZINC000906071667 712237269 /nfs/dbraw/zinc/23/72/69/712237269.db2.gz JCVAIGMJCFZYHP-GOSISDBHSA-N -1 1 305.425 1.979 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@]1(C)OCCc2ccccc21)c1nn[n-]n1 ZINC000907350195 712550127 /nfs/dbraw/zinc/55/01/27/712550127.db2.gz OACPLVAIFUUDPL-MEDUHNTESA-N -1 1 315.377 1.250 20 0 DDADMM CC1(CCNC(=O)c2c([O-])cnc3c(F)cccc32)OCCO1 ZINC000907372979 712554555 /nfs/dbraw/zinc/55/45/55/712554555.db2.gz XCQNKQBNLNJVQV-UHFFFAOYSA-N -1 1 320.320 1.962 20 0 DDADMM O=C(N[C@@H]1CCO[C@H](C2CC2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000907403116 712562142 /nfs/dbraw/zinc/56/21/42/712562142.db2.gz DNMSTVOWYCYQFO-YPMHNXCESA-N -1 1 303.362 1.747 20 0 DDADMM CC(C)(C)OCCCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479700 712583143 /nfs/dbraw/zinc/58/31/43/712583143.db2.gz MAICHIAUZBTYCF-SNVBAGLBSA-N -1 1 313.427 1.412 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479452 712583347 /nfs/dbraw/zinc/58/33/47/712583347.db2.gz DXJGALNHFATIPY-VPUINMBXSA-N -1 1 316.390 1.315 20 0 DDADMM CC(C)(CC(=O)N1CCSC[C@H]1c1nn[n-]n1)C(F)(F)F ZINC000907479894 712583595 /nfs/dbraw/zinc/58/35/95/712583595.db2.gz NIGZDQIDEJKENP-ZETCQYMHSA-N -1 1 323.344 1.795 20 0 DDADMM CC(C)(C)n1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)n1 ZINC000907480970 712583963 /nfs/dbraw/zinc/58/39/63/712583963.db2.gz REOGLBMADAIYKD-SNVBAGLBSA-N -1 1 321.410 1.082 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481745 712584186 /nfs/dbraw/zinc/58/41/86/712584186.db2.gz YQWHHELMUYQDBL-GARJFASQSA-N -1 1 311.411 1.021 20 0 DDADMM COC(=O)[C@@H](C)N(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CCC1 ZINC000907693609 712614815 /nfs/dbraw/zinc/61/48/15/712614815.db2.gz HDSWJBADEOXXNS-SECBINFHSA-N -1 1 319.361 1.616 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)CCNC1=O ZINC000907810868 712629820 /nfs/dbraw/zinc/62/98/20/712629820.db2.gz HBBNHOXHUKUCHN-ZDUSSCGKSA-N -1 1 312.322 1.129 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](CCO)C2CCCC2)sn1 ZINC000907824768 712631563 /nfs/dbraw/zinc/63/15/63/712631563.db2.gz WIPIASPRNLPDFE-NSHDSACASA-N -1 1 304.437 1.671 20 0 DDADMM C[C@H]1CCCC[C@@H]1CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907942842 712652632 /nfs/dbraw/zinc/65/26/32/712652632.db2.gz CDPCFZIDMKLUQC-NWDGAFQWSA-N -1 1 307.398 1.226 20 0 DDADMM C[S@@](=O)C1(CNC(=O)c2c([O-])cnc3c(F)cccc32)CC1 ZINC000908005219 712664800 /nfs/dbraw/zinc/66/48/00/712664800.db2.gz HJAGLPNMSQBQFX-JOCHJYFZSA-N -1 1 322.361 1.720 20 0 DDADMM C[C@H]1CSCCCN1C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908121708 712693005 /nfs/dbraw/zinc/69/30/05/712693005.db2.gz DSMGSSRKJMAKRZ-NWDGAFQWSA-N -1 1 300.424 1.137 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOC[C@H]1[C@H]1CCCO1 ZINC000908143488 712697182 /nfs/dbraw/zinc/69/71/82/712697182.db2.gz SFHRTNJKWBEAFL-QWHCGFSZSA-N -1 1 319.361 1.080 20 0 DDADMM O=C([O-])c1ccc2c(c1)OCCN(C(=O)Cc1c[nH]cn1)C2 ZINC000908148453 712698544 /nfs/dbraw/zinc/69/85/44/712698544.db2.gz QCOGVANVKMGJAJ-UHFFFAOYSA-N -1 1 301.302 1.072 20 0 DDADMM O=S(=O)([N-]CC[C@H](O)C1CC1)c1ccc(F)c(F)c1F ZINC000908305738 712734899 /nfs/dbraw/zinc/73/48/99/712734899.db2.gz IXSICUIQLRLRLD-VIFPVBQESA-N -1 1 309.309 1.543 20 0 DDADMM COc1ccncc1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000908375389 712755720 /nfs/dbraw/zinc/75/57/20/712755720.db2.gz JYTSLIXYWKHLJV-UHFFFAOYSA-N -1 1 300.318 1.393 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2COC[C@@H]2C2CC2)sc1C ZINC000908415172 712765758 /nfs/dbraw/zinc/76/57/58/712765758.db2.gz RHRNULMALUOSGY-GHMZBOCLSA-N -1 1 302.421 1.463 20 0 DDADMM CC(C)([N-]C(=O)C(F)(F)F)C(=O)Nc1cccc2[nH]nnc21 ZINC000908699800 712837691 /nfs/dbraw/zinc/83/76/91/712837691.db2.gz TUUDYYKVHFYNSN-UHFFFAOYSA-N -1 1 315.255 1.354 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2CCc3ccccc3C2)C1 ZINC000908700584 712837895 /nfs/dbraw/zinc/83/78/95/712837895.db2.gz MXOAJVHHZQDDCK-HOTGVXAUSA-N -1 1 316.401 1.457 20 0 DDADMM CN(C)[C@H](CNC(=O)N(C)C(C)(C)C(=O)[O-])c1cccs1 ZINC000908747525 712846597 /nfs/dbraw/zinc/84/65/97/712846597.db2.gz SLGYCBDRGNXDHN-SNVBAGLBSA-N -1 1 313.423 1.855 20 0 DDADMM COC[C@H](NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccc(C)o1 ZINC000908801327 712857373 /nfs/dbraw/zinc/85/73/73/712857373.db2.gz FNKPSYBTVCYKTR-OLZOCXBDSA-N -1 1 324.377 1.188 20 0 DDADMM CN(CC(=O)N(CCC(=O)[O-])CC1CC1)[C@H]1CCSC1 ZINC000908876582 712877220 /nfs/dbraw/zinc/87/72/20/712877220.db2.gz WJFFJAOWOBPFRO-LBPRGKRZSA-N -1 1 300.424 1.137 20 0 DDADMM COC/C(C)=C\COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000908921456 712887576 /nfs/dbraw/zinc/88/75/76/712887576.db2.gz MJCHLSAVEXHULK-WTKPLQERSA-N -1 1 319.404 1.869 20 0 DDADMM CC(C)O[C@]1(CNC(=O)C(=O)c2ccc([O-])cc2)CCOC1 ZINC000928758922 713478856 /nfs/dbraw/zinc/47/88/56/713478856.db2.gz UQSSNICRSBUMFB-INIZCTEOSA-N -1 1 307.346 1.275 20 0 DDADMM CCC(F)(F)C[N-]S(=O)(=O)c1cc(C(=O)OC)ccn1 ZINC000918026305 713525494 /nfs/dbraw/zinc/52/54/94/713525494.db2.gz RLGWTFGNOOLQOY-UHFFFAOYSA-N -1 1 308.306 1.192 20 0 DDADMM O=C(COC(=O)c1[nH]nc2c1CCC2)[N-]OCc1ccccc1 ZINC000919331052 713598095 /nfs/dbraw/zinc/59/80/95/713598095.db2.gz MHEXIAXGLGEZNE-UHFFFAOYSA-N -1 1 315.329 1.303 20 0 DDADMM O=C(COC(=O)c1nn(-c2ccccc2)cc1[O-])c1ccc[nH]1 ZINC000920367170 713663878 /nfs/dbraw/zinc/66/38/78/713663878.db2.gz HRKFQTVLXNWMDF-UHFFFAOYSA-N -1 1 311.297 1.946 20 0 DDADMM CN1C[C@@H](CC[N-]S(=O)(=O)c2cccc(F)c2F)CC1=O ZINC000921068571 713711785 /nfs/dbraw/zinc/71/17/85/713711785.db2.gz KPXBZUXSWJQKKA-VIFPVBQESA-N -1 1 318.345 1.112 20 0 DDADMM CCN1CCC[C@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1=O ZINC000921492500 713786991 /nfs/dbraw/zinc/78/69/91/713786991.db2.gz PTPXNDTUQQCALS-NSHDSACASA-N -1 1 318.345 1.254 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2ccsc2[C@@H]1C ZINC000921508353 713793124 /nfs/dbraw/zinc/79/31/24/713793124.db2.gz LULUSCSZPGHREV-ZETCQYMHSA-N -1 1 321.358 1.717 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@]2(C)CCCCO2)c1 ZINC000921675864 713838819 /nfs/dbraw/zinc/83/88/19/713838819.db2.gz QJZMHUJMQVVBFL-CYBMUJFWSA-N -1 1 317.363 1.304 20 0 DDADMM COCC[C@H]1COCCN1C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000930534085 713859716 /nfs/dbraw/zinc/85/97/16/713859716.db2.gz CMSUAVFOEIBGHE-HIFRSBDPSA-N -1 1 307.390 1.948 20 0 DDADMM O=S(=O)(NCCc1c(F)cc([O-])cc1F)N1CCCCC1 ZINC000921966839 713922735 /nfs/dbraw/zinc/92/27/35/713922735.db2.gz OVWZGMXEKMUAEC-UHFFFAOYSA-N -1 1 320.361 1.533 20 0 DDADMM O=C(NC[C@H]1CCC2(CCC2)O1)c1cnc(C2CC2)[n-]c1=O ZINC000922228479 713996732 /nfs/dbraw/zinc/99/67/32/713996732.db2.gz IBAYEXXJJJPGNN-LLVKDONJSA-N -1 1 303.362 1.891 20 0 DDADMM COC[C@H](CNC(=O)N=c1ccnc2n(C)[n-]cc1-2)C(C)C ZINC000931131034 714010604 /nfs/dbraw/zinc/01/06/04/714010604.db2.gz AQROMJLIAMEGRC-NSHDSACASA-N -1 1 305.382 1.382 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)CC1 ZINC000923104479 714238342 /nfs/dbraw/zinc/23/83/42/714238342.db2.gz XUBSQMHMOSZTIF-APIJFGDWSA-N -1 1 308.422 1.820 20 0 DDADMM CCc1cc(C(=O)N2CC[C@H](C(=O)[O-])C[C@@H]2[C@H]2CCCO2)n[nH]1 ZINC000923162786 714258776 /nfs/dbraw/zinc/25/87/76/714258776.db2.gz HWMPRCNWFGABGO-ZLKJLUDKSA-N -1 1 321.377 1.457 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N[C@@H]1C[C@H](C(=O)[O-])[C@H]3C[C@H]31)C2 ZINC000923192018 714266677 /nfs/dbraw/zinc/26/66/77/714266677.db2.gz GKEHPNSDTBERDM-OOTJXSFTSA-N -1 1 303.362 1.048 20 0 DDADMM CC1=C(C)C[C@@](C)(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)CC1 ZINC000932284795 714300478 /nfs/dbraw/zinc/30/04/78/714300478.db2.gz YSKYIAQGRCFKKF-WFASDCNBSA-N -1 1 305.382 1.626 20 0 DDADMM CC[C@@]1(C(=O)[O-])CCCN(CC(=O)Nc2cc(C)nn2C)C1 ZINC000923470127 714366385 /nfs/dbraw/zinc/36/63/85/714366385.db2.gz ICZBXXFMMSCOJM-OAHLLOKOSA-N -1 1 308.382 1.244 20 0 DDADMM COC[C@H]1COCCN1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000923982667 714499872 /nfs/dbraw/zinc/49/98/72/714499872.db2.gz QAFDFOMLRQDGJJ-NSHDSACASA-N -1 1 314.769 1.602 20 0 DDADMM CC1(C)CCN1C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933106693 714516154 /nfs/dbraw/zinc/51/61/54/714516154.db2.gz JBTJDXONPUQHCQ-UHFFFAOYSA-N -1 1 312.316 1.340 20 0 DDADMM CCOC(=O)[C@H](C[C@H]1CCCO1)NC(=O)c1ncccc1[O-] ZINC000924881330 714686920 /nfs/dbraw/zinc/68/69/20/714686920.db2.gz XITAZZVGOPQBQV-MNOVXSKESA-N -1 1 308.334 1.018 20 0 DDADMM COc1cnc([C@@H]2CCCN2CCn2ccccc2=O)[n-]c1=O ZINC000934269912 714781680 /nfs/dbraw/zinc/78/16/80/714781680.db2.gz CJDAUZDBWRUESX-LBPRGKRZSA-N -1 1 316.361 1.190 20 0 DDADMM COc1cnc([C@@H]2CCCN2CCOCC(F)(F)F)[n-]c1=O ZINC000934278569 714785435 /nfs/dbraw/zinc/78/54/35/714785435.db2.gz UAFCRWQDNWXOOM-VIFPVBQESA-N -1 1 321.299 1.907 20 0 DDADMM COc1cnc([C@H]2CCCN2CC(=O)NCC2CCC2)[n-]c1=O ZINC000934277892 714785490 /nfs/dbraw/zinc/78/54/90/714785490.db2.gz SJJVCBLBGVYKGR-GFCCVEGCSA-N -1 1 320.393 1.244 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-]C2(C(N)=O)CC2)cnc1Cl ZINC000934626016 714865108 /nfs/dbraw/zinc/86/51/08/714865108.db2.gz SBVSABYMDUERGN-UHFFFAOYSA-N -1 1 303.696 1.269 20 0 DDADMM O=C(c1cccc2c1CCOC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000935036571 714960850 /nfs/dbraw/zinc/96/08/50/714960850.db2.gz DNBZVPMDOSFGSY-NSHDSACASA-N -1 1 313.361 1.292 20 0 DDADMM COC1(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCCCCC1 ZINC000935037072 714961322 /nfs/dbraw/zinc/96/13/22/714961322.db2.gz MXDHHUHPENPLPA-LBPRGKRZSA-N -1 1 307.398 1.645 20 0 DDADMM C[C@H](CO)N(C)C(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC000935066195 714967863 /nfs/dbraw/zinc/96/78/63/714967863.db2.gz HJKDOXSPHZXCEQ-SNVBAGLBSA-N -1 1 303.314 1.918 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H]1CC[C@H](C(F)(F)F)O1)c1nn[n-]n1 ZINC000935365108 715037922 /nfs/dbraw/zinc/03/79/22/715037922.db2.gz CEUWTAWSEJYQFJ-IWSPIJDZSA-N -1 1 321.303 1.262 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(C=O)sc1Cl)c1nn[n-]n1 ZINC000935366595 715038248 /nfs/dbraw/zinc/03/82/48/715038248.db2.gz VRLGPGGKOAPARA-ZCFIWIBFSA-N -1 1 313.770 1.603 20 0 DDADMM O=C(c1cccc2c1OCC2)N1CCSC[C@H]1c1nn[n-]n1 ZINC000935457069 715060485 /nfs/dbraw/zinc/06/04/85/715060485.db2.gz OCBJHAONJUGRQF-NSHDSACASA-N -1 1 317.374 1.065 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C(C)(F)F)C1 ZINC000936360545 715187828 /nfs/dbraw/zinc/18/78/28/715187828.db2.gz WLHWPLQGVVFKCD-SECBINFHSA-N -1 1 313.304 1.115 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)[C@H]1CC12CC2)c1ncccc1[O-] ZINC000956251688 715369226 /nfs/dbraw/zinc/36/92/26/715369226.db2.gz WMCPEWNIWPBFMH-NWDGAFQWSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC000956275583 715384613 /nfs/dbraw/zinc/38/46/13/715384613.db2.gz UVOCMYTVZIWNSE-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)CC(=O)N1CC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959037577 716838637 /nfs/dbraw/zinc/83/86/37/716838637.db2.gz HNQIQDCKQRASMR-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM CC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000959159565 716885510 /nfs/dbraw/zinc/88/55/10/716885510.db2.gz BHOJSQHBFADVLB-JOYOIKCWSA-N -1 1 318.377 1.046 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CC12CC2 ZINC000940661274 716901743 /nfs/dbraw/zinc/90/17/43/716901743.db2.gz WPQADRYDAVHGHX-GRYCIOLGSA-N -1 1 315.373 1.164 20 0 DDADMM CC[C@H](F)C(=O)N1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC000961428064 716942702 /nfs/dbraw/zinc/94/27/02/716942702.db2.gz WCLJNBVJSYOCNV-TUAOUCFPSA-N -1 1 321.352 1.066 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC000942754865 717857144 /nfs/dbraw/zinc/85/71/44/717857144.db2.gz RIHLJCJFCRMAJU-RYUDHWBXSA-N -1 1 323.368 1.598 20 0 DDADMM O=C(N[C@H]1CC[C@H](NC(=O)C2CCC2)CC1)c1ncccc1[O-] ZINC000943585427 718152877 /nfs/dbraw/zinc/15/28/77/718152877.db2.gz FXIVHDCBVLWXJE-JOCQHMNTSA-N -1 1 317.389 1.745 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2ncccc2[O-])[C@@H]1CNC(=O)C1CC1 ZINC000945752799 718553595 /nfs/dbraw/zinc/55/35/95/718553595.db2.gz BAGPOEVBWQGEIJ-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(=O)N1CC[C@H]2[C@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945811215 718568247 /nfs/dbraw/zinc/56/82/47/718568247.db2.gz JAJFQIXTWLUSAB-NEPJUHHUSA-N -1 1 303.362 1.260 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CN(C(=O)C3CC3)CC[C@H]21 ZINC000945818261 718573961 /nfs/dbraw/zinc/57/39/61/718573961.db2.gz CNYUXDVXKOSKGA-CHWSQXEVSA-N -1 1 315.373 1.260 20 0 DDADMM CC(C)C(=O)N[C@H]1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946128005 718686655 /nfs/dbraw/zinc/68/66/55/718686655.db2.gz BBBIEXQEEHFZIC-NEPJUHHUSA-N -1 1 305.378 1.458 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC12CC2 ZINC000950543116 720676745 /nfs/dbraw/zinc/67/67/45/720676745.db2.gz RDIUFJAWABMXJZ-NEPJUHHUSA-N -1 1 315.373 1.308 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@@H]1c1cccc(F)c1 ZINC001121268143 782433355 /nfs/dbraw/zinc/43/33/55/782433355.db2.gz JCJOFBMADVRLDZ-LLVKDONJSA-N -1 1 314.328 1.476 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@@H]1c1cccc(F)c1 ZINC001121268143 782433358 /nfs/dbraw/zinc/43/33/58/782433358.db2.gz JCJOFBMADVRLDZ-LLVKDONJSA-N -1 1 314.328 1.476 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(N)c(C)c2)cnc1F ZINC001216422445 734740996 /nfs/dbraw/zinc/74/09/96/734740996.db2.gz CMHKLCSOEWSVTD-UHFFFAOYSA-N -1 1 311.338 1.921 20 0 DDADMM CN(CC1=CCCOC1)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001121357199 782470235 /nfs/dbraw/zinc/47/02/35/782470235.db2.gz JVSCRMDZQPKSEH-UHFFFAOYSA-N -1 1 309.761 1.037 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974055706 737352971 /nfs/dbraw/zinc/35/29/71/737352971.db2.gz DWQYWHDZEYUQAD-FVCCEPFGSA-N -1 1 317.389 1.600 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@@H]2CC[C@H](F)C2)C1)c1ncccc1[O-] ZINC000974055870 737353011 /nfs/dbraw/zinc/35/30/11/737353011.db2.gz BBIFREKEWJDRKA-KXNHARMFSA-N -1 1 321.352 1.302 20 0 DDADMM C[C@@H](CCNC(=O)c1ccsc1)NC(=O)c1ncccc1[O-] ZINC001075550874 737675317 /nfs/dbraw/zinc/67/53/17/737675317.db2.gz QTRAIQVSLXMGFD-JTQLQIEISA-N -1 1 319.386 1.787 20 0 DDADMM COC(=O)C[C@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692903192 738981709 /nfs/dbraw/zinc/98/17/09/738981709.db2.gz ANHFTGMCQURQTB-LURJTMIESA-N -1 1 310.734 1.104 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](Nc2ncc(F)cn2)C1 ZINC001058879140 739007342 /nfs/dbraw/zinc/00/73/42/739007342.db2.gz PWJDTMRRIYTHEL-JTQLQIEISA-N -1 1 303.297 1.043 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CC[C@@H](C1)N2Cc1cccnc1 ZINC001029280653 740517722 /nfs/dbraw/zinc/51/77/22/740517722.db2.gz CKLDGFGAOBOSIV-GASCZTMLSA-N -1 1 324.384 1.671 20 0 DDADMM CCC(CC)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001012319396 740618010 /nfs/dbraw/zinc/61/80/10/740618010.db2.gz FKCUBSIBOQSVGN-DGCLKSJQSA-N -1 1 319.405 1.943 20 0 DDADMM C/C(=C/C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001029664421 741200372 /nfs/dbraw/zinc/20/03/72/741200372.db2.gz TYWQJFROAJWSPY-RDQDRAATSA-N -1 1 319.409 1.147 20 0 DDADMM CCC(C)(C)C(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001029989066 741671050 /nfs/dbraw/zinc/67/10/50/741671050.db2.gz LATLIMRLYFWHFR-UHFFFAOYSA-N -1 1 323.441 1.617 20 0 DDADMM O=S(=O)(NCc1nc2ccc(Cl)cc2[nH]1)c1ncc[n-]1 ZINC001212101854 741993987 /nfs/dbraw/zinc/99/39/87/741993987.db2.gz HEPLJFHKVYAGFU-UHFFFAOYSA-N -1 1 311.754 1.418 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC12CCC2 ZINC001076247380 742619576 /nfs/dbraw/zinc/61/95/76/742619576.db2.gz LGMWHFXDUSFDOH-RYUDHWBXSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H](C)C1CCC1 ZINC001076247267 742619662 /nfs/dbraw/zinc/61/96/62/742619662.db2.gz GHMOLVUHSQMLRX-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](NC(=O)[C@H]1C[C@@H]1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006622433 751797819 /nfs/dbraw/zinc/79/78/19/751797819.db2.gz FBCHCTCQQMWSPO-UMNHJUIQSA-N -1 1 303.362 1.020 20 0 DDADMM Cc1n[nH]nc1C(=O)[N-]c1nc(-c2cccnc2Cl)ns1 ZINC001183800072 743913041 /nfs/dbraw/zinc/91/30/41/743913041.db2.gz JYQIDHUCWDUKMO-UHFFFAOYSA-N -1 1 321.753 1.932 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C(C)(C)C3CCOCC3)c1-2 ZINC001185982939 744324480 /nfs/dbraw/zinc/32/44/80/744324480.db2.gz LIVJEITXEAUPKI-UHFFFAOYSA-N -1 1 303.366 1.426 20 0 DDADMM O=C(c1cccc([S-])c1)N1CCN(C2CCOCC2)CC1 ZINC001186149827 744351202 /nfs/dbraw/zinc/35/12/02/744351202.db2.gz VRYUOOAXJKNPNZ-UHFFFAOYSA-N -1 1 306.431 1.912 20 0 DDADMM Nc1cccc2c1CS(=O)(=O)N2C(=O)c1ccc([O-])cc1F ZINC001186337534 744388924 /nfs/dbraw/zinc/38/89/24/744388924.db2.gz LMKCXKUADPSHJH-UHFFFAOYSA-N -1 1 322.317 1.604 20 0 DDADMM CC[C@H](CC(C)C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186894868 744462224 /nfs/dbraw/zinc/46/22/24/744462224.db2.gz GQSLMZMKHPPVLT-VXGBXAGGSA-N -1 1 309.414 1.273 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2cc3c(s2)CCCC3)o1 ZINC001187234489 744521460 /nfs/dbraw/zinc/52/14/60/744521460.db2.gz BLBNMPCHAVWUEN-UHFFFAOYSA-N -1 1 313.404 1.797 20 0 DDADMM COc1cccc2c1CC[C@H]2[N-]S(=O)(=O)c1cnoc1C ZINC001187400931 744556394 /nfs/dbraw/zinc/55/63/94/744556394.db2.gz LYSOCDIZHWAABT-GFCCVEGCSA-N -1 1 308.359 1.957 20 0 DDADMM COc1cncc([C@H]([N-]S(=O)(=O)c2cnoc2C)C2CC2)c1 ZINC001187421611 744560400 /nfs/dbraw/zinc/56/04/00/744560400.db2.gz XWRZMNFAIRRWEQ-CQSZACIVSA-N -1 1 323.374 1.816 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc(C(F)(F)F)c[nH]1 ZINC001187703500 744594304 /nfs/dbraw/zinc/59/43/04/744594304.db2.gz MFQAUWAVYFICEN-UHFFFAOYSA-N -1 1 312.211 1.658 20 0 DDADMM COC(=O)CCCCCNC(=O)c1n[n-]nc1C(F)(F)F ZINC001187770645 744599359 /nfs/dbraw/zinc/59/93/59/744599359.db2.gz OZLCSUJDXZYVJP-UHFFFAOYSA-N -1 1 308.260 1.287 20 0 DDADMM O=C(NCc1ccc2c(c1)OCO2)c1n[n-]nc1C(F)(F)F ZINC001187757049 744605711 /nfs/dbraw/zinc/60/57/11/744605711.db2.gz RDJPCBDHDBWDLN-UHFFFAOYSA-N -1 1 314.223 1.482 20 0 DDADMM O=C(Nc1ccc2c(c1)OCO2)c1n[n-]nc1C(F)(F)F ZINC001187757017 744606220 /nfs/dbraw/zinc/60/62/20/744606220.db2.gz OOTJGXZTFHMMGO-UHFFFAOYSA-N -1 1 300.196 1.805 20 0 DDADMM O=C(NC1CCN(C(=O)C2CCCC2)CC1)c1ccncc1[O-] ZINC001188638938 744744139 /nfs/dbraw/zinc/74/41/39/744744139.db2.gz PEOKJDBTBYUDOX-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CCOC(=O)c1cccc(NC(=O)NCC[P@](=O)([O-])O)c1 ZINC001188683673 744747743 /nfs/dbraw/zinc/74/77/43/744747743.db2.gz HHCZDLGXPCOEBZ-UHFFFAOYSA-N -1 1 316.250 1.163 20 0 DDADMM Cn1ncc2c1CN(C(=O)c1cnc(-c3ccccn3)[n-]c1=O)C2 ZINC001190620162 745261035 /nfs/dbraw/zinc/26/10/35/745261035.db2.gz NVAWKIQPBZVPKH-UHFFFAOYSA-N -1 1 322.328 1.134 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ncc[nH]2)c(Br)n1 ZINC001190686948 745297813 /nfs/dbraw/zinc/29/78/13/745297813.db2.gz WJACYVMTIPWTEG-UHFFFAOYSA-N -1 1 317.168 1.676 20 0 DDADMM CN(C)[C@@H]1CCOc2c([N-]S(=O)(=O)c3ncc[nH]3)cccc21 ZINC001190730691 745309575 /nfs/dbraw/zinc/30/95/75/745309575.db2.gz XBPDFFSDPZLIHC-GFCCVEGCSA-N -1 1 322.390 1.596 20 0 DDADMM COC(C)(C)[C@H]1CCCN1C(=O)c1cnc(SC)[n-]c1=O ZINC001191431062 745510323 /nfs/dbraw/zinc/51/03/23/745510323.db2.gz PLDICWUPURXSNH-SNVBAGLBSA-N -1 1 311.407 1.934 20 0 DDADMM COc1cc(OC)c(NC(=O)c2cnc(SC)[n-]c2=O)cn1 ZINC001191429857 745510456 /nfs/dbraw/zinc/51/04/56/745510456.db2.gz WETUVGVZUKCCSG-UHFFFAOYSA-N -1 1 322.346 1.569 20 0 DDADMM CSc1ncc(C(=O)NCCO[C@@H]2CCCCO2)c(=O)[n-]1 ZINC001191439882 745512534 /nfs/dbraw/zinc/51/25/34/745512534.db2.gz GDPFZBPEUXQSGL-SNVBAGLBSA-N -1 1 313.379 1.177 20 0 DDADMM CC(C)c1ccccc1NC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001192274654 745741059 /nfs/dbraw/zinc/74/10/59/745741059.db2.gz BXQPGNARWPVHQJ-JTQLQIEISA-N -1 1 320.374 1.467 20 0 DDADMM Nc1cnc(Cl)c(NC(=O)c2c(F)ccc([O-])c2F)n1 ZINC001192523564 745806632 /nfs/dbraw/zinc/80/66/32/745806632.db2.gz CPXYBQYRCDSOAK-UHFFFAOYSA-N -1 1 300.652 1.948 20 0 DDADMM CN=c1c(N)cc(Cl)nn1C(=O)c1c(F)ccc([O-])c1F ZINC001192525971 745820845 /nfs/dbraw/zinc/82/08/45/745820845.db2.gz DPDVAYAZZKWGNU-UHFFFAOYSA-N -1 1 314.679 1.322 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](CO)C(F)(F)F)cnc1Cl ZINC001192587203 745834026 /nfs/dbraw/zinc/83/40/26/745834026.db2.gz FSTJRKZOPKHQAT-ZETCQYMHSA-N -1 1 318.704 1.245 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1Cc1ccon1 ZINC000993225560 745919544 /nfs/dbraw/zinc/91/95/44/745919544.db2.gz ZUOGJRPEEQRYBZ-YPMHNXCESA-N -1 1 316.361 1.558 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccnc(C2CC2)c1 ZINC001193017489 745961749 /nfs/dbraw/zinc/96/17/49/745961749.db2.gz BYIVSWVNLIQAHI-UHFFFAOYSA-N -1 1 312.289 1.240 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cc(Cl)ncc1O ZINC001193024603 745963211 /nfs/dbraw/zinc/96/32/11/745963211.db2.gz NDZGXQGSBSZMRW-UHFFFAOYSA-N -1 1 322.770 1.524 20 0 DDADMM COc1ncnc(Cl)c1[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC001193207211 746013386 /nfs/dbraw/zinc/01/33/86/746013386.db2.gz ZCGFTOWXOOCZRQ-SSDOTTSWSA-N -1 1 307.759 1.059 20 0 DDADMM O=C(N[C@H]1CCCN(Cc2ccns2)C1)c1ncccc1[O-] ZINC001007187773 752053582 /nfs/dbraw/zinc/05/35/82/752053582.db2.gz GMWOWDOOEUEVJN-NSHDSACASA-N -1 1 318.402 1.638 20 0 DDADMM CCCN1CCc2c(N)sc(NC(=O)c3cc(=O)[nH][n-]3)c2C1 ZINC001193461447 746108824 /nfs/dbraw/zinc/10/88/24/746108824.db2.gz OBHDEKNDACZPEK-UHFFFAOYSA-N -1 1 321.406 1.779 20 0 DDADMM COc1ccnc(C(=O)Nc2cccnc2OC2COC2)c1[O-] ZINC001193524683 746133226 /nfs/dbraw/zinc/13/32/26/746133226.db2.gz HEULBXXDKLBMPT-UHFFFAOYSA-N -1 1 317.301 1.221 20 0 DDADMM COC(=O)c1cc(F)cc([N-]S(=O)(=O)c2ccccc2N)c1 ZINC001193929256 746224543 /nfs/dbraw/zinc/22/45/43/746224543.db2.gz MCOAMCONWMRVSP-UHFFFAOYSA-N -1 1 324.333 1.995 20 0 DDADMM O=S(=O)([N-]Cc1cncnc1)c1ncccc1C(F)(F)F ZINC001194578315 746413606 /nfs/dbraw/zinc/41/36/06/746413606.db2.gz LGNCUXIGKFPUKC-UHFFFAOYSA-N -1 1 318.280 1.369 20 0 DDADMM O=C1CCC(S(=O)(=O)[N-]c2cnn3cc(F)ccc23)CC1 ZINC001194770293 746461639 /nfs/dbraw/zinc/46/16/39/746461639.db2.gz YRQZXMXKRFHXOX-UHFFFAOYSA-N -1 1 311.338 1.727 20 0 DDADMM O=S(=O)([N-]c1ccc(OC2COC2)nc1)c1ccc(O)cc1 ZINC001195766387 746691204 /nfs/dbraw/zinc/69/12/04/746691204.db2.gz MUMYEJWAQZZVOB-UHFFFAOYSA-N -1 1 322.342 1.366 20 0 DDADMM C[C@@H]1CCN(C(=O)c2c[nH]c(=S)[n-]c2=O)CCC1(F)F ZINC001196014966 746757450 /nfs/dbraw/zinc/75/74/50/746757450.db2.gz VFZAHQHHKYBKBF-SSDOTTSWSA-N -1 1 303.334 1.978 20 0 DDADMM C[C@H]1C(=O)CCN(C(=O)c2c[nH]c(=S)[n-]c2=O)C12CCCC2 ZINC001196016631 746757481 /nfs/dbraw/zinc/75/74/81/746757481.db2.gz WPZSUUPPGVKGAW-VIFPVBQESA-N -1 1 321.402 1.835 20 0 DDADMM O=C(Nc1cccc2[nH]c(=O)ccc12)c1c[nH]c(=S)[n-]c1=O ZINC001196019778 746759528 /nfs/dbraw/zinc/75/95/28/746759528.db2.gz CVXRYDUMSDVHAR-UHFFFAOYSA-N -1 1 314.326 1.977 20 0 DDADMM Cc1cccn2cc(CNC(=O)c3c[nH]c(=S)[n-]c3=O)nc12 ZINC001196023527 746759965 /nfs/dbraw/zinc/75/99/65/746759965.db2.gz KLAQFHJBSBHZQK-UHFFFAOYSA-N -1 1 315.358 1.357 20 0 DDADMM COc1ccc(CCNC(=O)c2c[nH]c(=S)[n-]c2=O)cc1O ZINC001196025239 746761117 /nfs/dbraw/zinc/76/11/17/746761117.db2.gz YSWAWXSAGXGACX-UHFFFAOYSA-N -1 1 321.358 1.158 20 0 DDADMM CC(C)[C@H](NC(=O)c1c[nH]c(=S)[n-]c1=O)c1cccnc1 ZINC001196026692 746766116 /nfs/dbraw/zinc/76/61/16/746766116.db2.gz BXQYCLXASVKSMA-NSHDSACASA-N -1 1 304.375 1.993 20 0 DDADMM O=C(NCc1cccc2cc[nH]c21)c1c[nH]c(=S)[n-]c1=O ZINC001196027582 746766156 /nfs/dbraw/zinc/76/61/56/746766156.db2.gz YANYEMUXRZMEAX-UHFFFAOYSA-N -1 1 300.343 1.882 20 0 DDADMM O=C([N-]c1csc(Br)n1)c1snnc1CO ZINC001196351500 746840785 /nfs/dbraw/zinc/84/07/85/746840785.db2.gz KRXLHHZWXMJZBE-UHFFFAOYSA-N -1 1 321.181 1.502 20 0 DDADMM Cn1cnc2cccc([N-]S(=O)(=O)Cc3cccnc3)c21 ZINC001197464534 747166064 /nfs/dbraw/zinc/16/60/64/747166064.db2.gz LKSLQDCBUXMOBQ-UHFFFAOYSA-N -1 1 302.359 1.910 20 0 DDADMM COCn1cc([N-]S(=O)(=O)Cc2c(F)cccc2F)cn1 ZINC001197567013 747202401 /nfs/dbraw/zinc/20/24/01/747202401.db2.gz ALKAUXDQLFYNNV-UHFFFAOYSA-N -1 1 317.317 1.707 20 0 DDADMM CC(C)(O)[C@H](F)C[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC001197635068 747231691 /nfs/dbraw/zinc/23/16/91/747231691.db2.gz VZNGVJSBRNVXSF-LLVKDONJSA-N -1 1 311.325 1.493 20 0 DDADMM COCCn1nc(C)cc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001198083786 747362623 /nfs/dbraw/zinc/36/26/23/747362623.db2.gz NUVCNDMJNWJRPI-UHFFFAOYSA-N -1 1 318.259 1.227 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CC[C@@H](CNCc3ccon3)C2)c1[O-] ZINC000994879722 747805891 /nfs/dbraw/zinc/80/58/91/747805891.db2.gz GWLLFDZABXEACE-MNOVXSKESA-N -1 1 319.365 1.100 20 0 DDADMM [O-]c1cc(F)c(F)cc1NC(=S)NCCN1CCOCC1 ZINC001200127671 748142268 /nfs/dbraw/zinc/14/22/68/748142268.db2.gz CZIFNNQHVVASPY-UHFFFAOYSA-N -1 1 317.361 1.289 20 0 DDADMM [O-]c1ccc(NC(=S)NCCN2CCOCC2)c(F)c1F ZINC001200128735 748142524 /nfs/dbraw/zinc/14/25/24/748142524.db2.gz JHBUUVJAZHJHGA-UHFFFAOYSA-N -1 1 317.361 1.289 20 0 DDADMM CC1([N-]S(=O)(=O)c2c(F)cccc2Br)COC1 ZINC001201019929 748390613 /nfs/dbraw/zinc/39/06/13/748390613.db2.gz XLWYTDXHDBNCEB-UHFFFAOYSA-N -1 1 324.171 1.655 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1ccco1 ZINC001004656631 748627044 /nfs/dbraw/zinc/62/70/44/748627044.db2.gz KCEPUAKEXQLWNC-WDEREUQCSA-N -1 1 319.365 1.276 20 0 DDADMM CC(C)(C)CC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202499899 748984943 /nfs/dbraw/zinc/98/49/43/748984943.db2.gz IMPSHJVTVVAVHH-MNOVXSKESA-N -1 1 321.425 1.464 20 0 DDADMM CC(C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)=C1CCC1 ZINC000995787304 749243673 /nfs/dbraw/zinc/24/36/73/749243673.db2.gz RFKTWAGKQYQHFX-GFCCVEGCSA-N -1 1 319.409 1.337 20 0 DDADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1ccon1)c1ncccc1[O-] ZINC001095344399 749582688 /nfs/dbraw/zinc/58/26/88/749582688.db2.gz VAWMDYGLIIOXBI-AGIUHOORSA-N -1 1 314.345 1.311 20 0 DDADMM CCc1nsc(N(C)CCCNC(=O)c2ncccc2[O-])n1 ZINC001095540344 750024571 /nfs/dbraw/zinc/02/45/71/750024571.db2.gz NSLPZCXYMXCLJD-UHFFFAOYSA-N -1 1 321.406 1.457 20 0 DDADMM O=C([C@@H]1CC12CCCC2)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000622768 762015818 /nfs/dbraw/zinc/01/58/18/762015818.db2.gz ZZADDIZTAZOKQW-NEPJUHHUSA-N -1 1 319.409 1.171 20 0 DDADMM CC(C)c1cc(NC[C@@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001107690020 750383719 /nfs/dbraw/zinc/38/37/19/750383719.db2.gz HBSASVXZOCDKOP-LLVKDONJSA-N -1 1 315.377 1.931 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])c1ccc(C)nn1 ZINC001095863090 750602198 /nfs/dbraw/zinc/60/21/98/750602198.db2.gz VLIMTQGKPXZSFI-UHFFFAOYSA-N -1 1 315.377 1.532 20 0 DDADMM O=C(NCC1=CCN(C(=O)c2ncccc2[O-])CC1)C1CCC1 ZINC001017226459 750627508 /nfs/dbraw/zinc/62/75/08/750627508.db2.gz QDFGLCOTSXGHQZ-UHFFFAOYSA-N -1 1 315.373 1.476 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@@H]2CNCc2ccon2)c1[O-] ZINC001036984810 750666074 /nfs/dbraw/zinc/66/60/74/750666074.db2.gz XKKLCHYOTPBMCF-ZYHUDNBSSA-N -1 1 319.365 1.100 20 0 DDADMM COCOc1cccc([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001212902862 750935192 /nfs/dbraw/zinc/93/51/92/750935192.db2.gz IZNAEJKILDEOLC-UHFFFAOYSA-N -1 1 323.374 1.635 20 0 DDADMM O=C(CC1CCCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034846358 750962428 /nfs/dbraw/zinc/96/24/28/750962428.db2.gz MRZDZSODNXDEEV-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cc1 ZINC001035109203 751207991 /nfs/dbraw/zinc/20/79/91/751207991.db2.gz JHKUERZTZDFODL-CYBMUJFWSA-N -1 1 315.377 1.213 20 0 DDADMM CC(C)CC(=O)N1CCC[C@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036494940 752485074 /nfs/dbraw/zinc/48/50/74/752485074.db2.gz RZWWKXPOKYQQSX-RYUDHWBXSA-N -1 1 309.414 1.273 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008064355 752528599 /nfs/dbraw/zinc/52/85/99/752528599.db2.gz OJZKRVDVHYMRDY-NQBHXWOUSA-N -1 1 317.389 1.410 20 0 DDADMM CC1(C)CN(C(=O)C2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001008082467 752539594 /nfs/dbraw/zinc/53/95/94/752539594.db2.gz KWOJFSLIKVFNQD-LBPRGKRZSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)CC(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062150312 752794016 /nfs/dbraw/zinc/79/40/16/752794016.db2.gz ZIZCAQWXKCICLF-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H](NC(=O)C1CC(C)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005880155 753293042 /nfs/dbraw/zinc/29/30/42/753293042.db2.gz IPVWUZOAMRQXKX-CXQJBGSLSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC2(C)C)C1 ZINC001005947665 753407668 /nfs/dbraw/zinc/40/76/68/753407668.db2.gz AZCUQSFZKXKIRJ-JQWIXIFHSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2CC(C)C2)C1 ZINC001005951622 753412093 /nfs/dbraw/zinc/41/20/93/753412093.db2.gz OMOXDRIDCFGQCA-MOENNCHZSA-N -1 1 317.389 1.410 20 0 DDADMM Cc1ccc(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)o1 ZINC001010135830 753634733 /nfs/dbraw/zinc/63/47/33/753634733.db2.gz QLWYZXVRFBIZNX-UHFFFAOYSA-N -1 1 315.329 1.287 20 0 DDADMM C[C@@H](c1cnccn1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010731715 754129216 /nfs/dbraw/zinc/12/92/16/754129216.db2.gz KXGSCRQEPXJEFH-NWDGAFQWSA-N -1 1 313.361 1.143 20 0 DDADMM O=C(CC1CCC1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078587375 754211260 /nfs/dbraw/zinc/21/12/60/754211260.db2.gz YDZBZZVJZLAEEQ-RYUDHWBXSA-N -1 1 319.409 1.218 20 0 DDADMM O=C(C1=CCCC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010988810 754291312 /nfs/dbraw/zinc/29/13/12/754291312.db2.gz FKPJPLCULQKMSS-UHFFFAOYSA-N -1 1 301.346 1.182 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ccc[nH]2)CCN1C(=O)c1ncccc1[O-] ZINC001011671178 754669022 /nfs/dbraw/zinc/66/90/22/754669022.db2.gz FJVCCOZWTAHWRG-QWRGUYRKSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(C)(C)F ZINC001012160547 754899506 /nfs/dbraw/zinc/89/95/06/754899506.db2.gz QVBPKQMPEWGSAZ-NXEZZACHSA-N -1 1 309.341 1.255 20 0 DDADMM CC(=O)N[C@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]1C ZINC001014649133 755851285 /nfs/dbraw/zinc/85/12/85/755851285.db2.gz FCVWWUQEYVKZJQ-ZANVPECISA-N -1 1 318.377 1.189 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C1CCC1 ZINC001014896893 756004956 /nfs/dbraw/zinc/00/49/56/756004956.db2.gz YNEXZSXMTBABPU-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM O=C(NC[C@@H]1CC[C@H](NC(=O)C(F)F)C1)c1ncccc1[O-] ZINC001015281874 756211755 /nfs/dbraw/zinc/21/17/55/756211755.db2.gz JPJNLONBCZSBCA-BDAKNGLRSA-N -1 1 313.304 1.067 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])Nc1cnc2ccccc2n1 ZINC001097764457 757509020 /nfs/dbraw/zinc/50/90/20/757509020.db2.gz HFNWFJWQAWSBIB-LLVKDONJSA-N -1 1 323.356 1.961 20 0 DDADMM C/C=C/[C@H](O)C(=O)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000822521864 758214634 /nfs/dbraw/zinc/21/46/34/758214634.db2.gz BKPRVIGQUAKHLQ-FAAWYNLUSA-N -1 1 324.808 1.627 20 0 DDADMM C[C@@H]1CN(C(=O)CCCF)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC001053176981 758234781 /nfs/dbraw/zinc/23/47/81/758234781.db2.gz MIPAKANQKMVUQO-VXGBXAGGSA-N -1 1 323.368 1.361 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1cnon1)c1ncccc1[O-] ZINC001085178743 758346770 /nfs/dbraw/zinc/34/67/70/758346770.db2.gz SMZHGOPQYYOUSE-VXGBXAGGSA-N -1 1 317.349 1.001 20 0 DDADMM CCCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])CC[C@H]1C ZINC001018098338 758545579 /nfs/dbraw/zinc/54/55/79/758545579.db2.gz HSUBNEKWDWFNSY-VXGBXAGGSA-N -1 1 305.378 1.697 20 0 DDADMM CC(C)CC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001018101832 758548331 /nfs/dbraw/zinc/54/83/31/758548331.db2.gz VWKAGEWCAUPPCZ-QWHCGFSZSA-N -1 1 319.405 1.943 20 0 DDADMM O=C([N-][C@H]1COC2(CN(CC(F)(F)C(F)F)C2)C1)C(F)F ZINC001053711674 758723847 /nfs/dbraw/zinc/72/38/47/758723847.db2.gz PVNSKZNXVIDOHQ-ZCFIWIBFSA-N -1 1 320.233 1.111 20 0 DDADMM C[C@H]1CN(C(=O)C2CCCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054345180 759519110 /nfs/dbraw/zinc/51/91/10/759519110.db2.gz LWBHESNTKKCRSD-JQWIXIFHSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)C2CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054935862 760203165 /nfs/dbraw/zinc/20/31/65/760203165.db2.gz ATAHYACYLXWTMW-CMPLNLGQSA-N -1 1 321.425 1.273 20 0 DDADMM Cc1nccc(N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001046796516 767932485 /nfs/dbraw/zinc/93/24/85/767932485.db2.gz HNYWKZCVAVCMGD-HAQNSBGRSA-N -1 1 313.361 1.506 20 0 DDADMM Cc1cnc([C@H](C)N[C@H]2C[C@H](NC(=O)c3ncccc3O)C2)o1 ZINC001020098292 760550356 /nfs/dbraw/zinc/55/03/56/760550356.db2.gz GBBRUYYUFYRCKZ-SRVKXCTJSA-N -1 1 316.361 1.695 20 0 DDADMM CC(C)=CCN1CC[C@@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001038919657 761546067 /nfs/dbraw/zinc/54/60/67/761546067.db2.gz DSXALURWAFQCJY-LLVKDONJSA-N -1 1 316.361 1.699 20 0 DDADMM CC(=O)N(C)CCCN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001066572629 761868548 /nfs/dbraw/zinc/86/85/48/761868548.db2.gz GOYBPPIEYLMXRP-UHFFFAOYSA-N -1 1 322.409 1.554 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(Nc2ncc(F)cn2)CC1 ZINC001057313956 763398860 /nfs/dbraw/zinc/39/88/60/763398860.db2.gz FYWFKYODRDDFGC-UHFFFAOYSA-N -1 1 317.324 1.433 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)C(C)(C)F ZINC001052423216 765811494 /nfs/dbraw/zinc/81/14/94/765811494.db2.gz VHPNDIXSMKTZFW-GHMZBOCLSA-N -1 1 323.368 1.360 20 0 DDADMM CC(=O)Nc1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(C)n1 ZINC001170220893 766178980 /nfs/dbraw/zinc/17/89/80/766178980.db2.gz WXZRHDXQIGPLHI-UHFFFAOYSA-N -1 1 315.293 1.121 20 0 DDADMM CN1CCOc2ccc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)cc21 ZINC001170224279 766197939 /nfs/dbraw/zinc/19/79/39/766197939.db2.gz WINZQZFSICPQPT-UHFFFAOYSA-N -1 1 314.305 1.288 20 0 DDADMM O=C(N[C@H]1CCN(c2cncc(Cl)n2)C1)c1ncccc1[O-] ZINC001058344178 766436885 /nfs/dbraw/zinc/43/68/85/766436885.db2.gz TVGACRKFNXCDST-VIFPVBQESA-N -1 1 319.752 1.239 20 0 DDADMM CC1(C)CN(c2ncccn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001068108113 766917939 /nfs/dbraw/zinc/91/79/39/766917939.db2.gz UXTLALZGCVVODS-GFCCVEGCSA-N -1 1 313.361 1.222 20 0 DDADMM Cc1cc(NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)ncn1 ZINC001068476367 767355458 /nfs/dbraw/zinc/35/54/58/767355458.db2.gz WRVXJFNILPCEKZ-HAQNSBGRSA-N -1 1 313.361 1.506 20 0 DDADMM Cc1ccnc(N[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)n1 ZINC001068822015 767639057 /nfs/dbraw/zinc/63/90/57/767639057.db2.gz HJPUIUIIDLQPDR-VXGBXAGGSA-N -1 1 313.361 1.601 20 0 DDADMM C[C@@H]1C[C@H](Nc2ncc(F)cn2)CN1C(=O)c1ncccc1[O-] ZINC001069063000 767810749 /nfs/dbraw/zinc/81/07/49/767810749.db2.gz LKKSALUIOLTKNB-KOLCDFICSA-N -1 1 317.324 1.431 20 0 DDADMM Cc1cc(N[C@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)ncn1 ZINC001069065547 767815062 /nfs/dbraw/zinc/81/50/62/767815062.db2.gz LHMWVGQWDXPTFW-RYUDHWBXSA-N -1 1 313.361 1.601 20 0 DDADMM CC(=O)c1oc2cc(C)ccc2c1CC(=O)N(C)c1nn[n-]n1 ZINC001130971883 767824386 /nfs/dbraw/zinc/82/43/86/767824386.db2.gz IBOULTJWZWCDCY-UHFFFAOYSA-N -1 1 313.317 1.662 20 0 DDADMM Cc1cc(C(F)(F)F)nc(C(=O)[N-]c2nc3cnccn3n2)n1 ZINC001132312332 768750182 /nfs/dbraw/zinc/75/01/82/768750182.db2.gz MMKCPKHGFVFDJE-UHFFFAOYSA-N -1 1 323.238 1.494 20 0 DDADMM CC(C)=C(F)C(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952809 770337658 /nfs/dbraw/zinc/33/76/58/770337658.db2.gz QYIRPKYFOLAYQO-QWRGUYRKSA-N -1 1 321.352 1.627 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1ccc2c(c1)OCO2 ZINC001135603452 771601714 /nfs/dbraw/zinc/60/17/14/771601714.db2.gz KEZPYSMPBFPFMP-UHFFFAOYSA-N -1 1 319.342 1.438 20 0 DDADMM CN(Cc1nc2ccccc2c(=O)[nH]1)C(=O)c1ccc([O-])cn1 ZINC001135757371 771699699 /nfs/dbraw/zinc/69/96/99/771699699.db2.gz WLANRWFFHFMGJK-UHFFFAOYSA-N -1 1 310.313 1.708 20 0 DDADMM CC(=Cc1ccc(Br)o1)C(=O)NCc1nn[n-]n1 ZINC001136627374 772050334 /nfs/dbraw/zinc/05/03/34/772050334.db2.gz GUOBJPSEKFSWPB-GQCTYLIASA-N -1 1 312.127 1.275 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1nc(-c2ccc[nH]2)cs1 ZINC001143838345 772315776 /nfs/dbraw/zinc/31/57/76/772315776.db2.gz FUCPFPBWNHTVEW-UHFFFAOYSA-N -1 1 303.351 1.613 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1sccc1C(F)F ZINC001149376568 772524642 /nfs/dbraw/zinc/52/46/42/772524642.db2.gz GMJRFGPBWWNKRP-UHFFFAOYSA-N -1 1 317.321 1.029 20 0 DDADMM COC[C@@H]1CN(Cc2c(F)cc([O-])cc2F)Cc2nnn(C)c21 ZINC001144545037 772559328 /nfs/dbraw/zinc/55/93/28/772559328.db2.gz PLVBYFRNIIWPFD-VIFPVBQESA-N -1 1 324.331 1.545 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)C1(Br)CCC1 ZINC001144749562 772610964 /nfs/dbraw/zinc/61/09/64/772610964.db2.gz YUAMJXQQTITLQQ-UHFFFAOYSA-N -1 1 312.127 1.315 20 0 DDADMM COC(=O)c1scnc1[N-]C(=O)c1nc(OC)ccc1F ZINC001147767505 773221696 /nfs/dbraw/zinc/22/16/96/773221696.db2.gz MYTOZZSUGWTQKK-UHFFFAOYSA-N -1 1 311.294 1.725 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CC[C@@H](c3cccnc3)C2)c1[O-] ZINC001147837607 773264214 /nfs/dbraw/zinc/26/42/14/773264214.db2.gz AHQMDBMMYBRCTB-CYBMUJFWSA-N -1 1 313.357 1.613 20 0 DDADMM COc1ccc(CO)c(NC(=O)c2c(CO)cnc(C)c2[O-])c1 ZINC001147842663 773266000 /nfs/dbraw/zinc/26/60/00/773266000.db2.gz IHQBMRNEMYBVCV-UHFFFAOYSA-N -1 1 318.329 1.341 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2ccc3oc(=O)[nH]c3c2)c1[O-] ZINC001147843340 773266948 /nfs/dbraw/zinc/26/69/48/773266948.db2.gz SXGMGCRJBZHCTL-UHFFFAOYSA-N -1 1 315.285 1.687 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCc2cccc3n[nH]cc32)c1[O-] ZINC001147844510 773267328 /nfs/dbraw/zinc/26/73/28/773267328.db2.gz RKVZAWZWKSPBJS-UHFFFAOYSA-N -1 1 312.329 1.394 20 0 DDADMM Nc1nonc1[N-]C(=O)c1ccnc(Br)c1F ZINC001147924669 773285839 /nfs/dbraw/zinc/28/58/39/773285839.db2.gz QQBCIPAISQUQIS-UHFFFAOYSA-N -1 1 302.063 1.201 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)Nc3nonc3N)cc2)[n-]1 ZINC001148326166 773436518 /nfs/dbraw/zinc/43/65/18/773436518.db2.gz IVTYVRSQXHCGQD-UHFFFAOYSA-N -1 1 300.278 1.017 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CCN(C)CC3)cc2)[n-]1 ZINC001148325982 773436926 /nfs/dbraw/zinc/43/69/26/773436926.db2.gz FHYXEHGJQHFIAB-UHFFFAOYSA-N -1 1 300.362 1.187 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCc3cncnc3)cc2)[n-]1 ZINC001148336050 773440558 /nfs/dbraw/zinc/44/05/58/773440558.db2.gz KXXWLEUZXYVOAP-UHFFFAOYSA-N -1 1 309.329 1.519 20 0 DDADMM O=C(N[C@H]1[C@@H]2COC[C@@H]21)c1cc([O-])c(Br)c(O)c1 ZINC001148997834 773654404 /nfs/dbraw/zinc/65/44/04/773654404.db2.gz FMDHNEGULKYBLO-IIDWOEFGSA-N -1 1 314.135 1.235 20 0 DDADMM O=c1nc2nc[nH]c2c(NC2Cc3cc(F)c(F)cc3C2)[n-]1 ZINC001173476505 777200102 /nfs/dbraw/zinc/20/01/02/777200102.db2.gz ASZMXAGFDKQSKC-UHFFFAOYSA-N -1 1 303.272 1.916 20 0 DDADMM Cc1n[nH]c(C)c1Nc1cc(S(=O)(=O)C(N)=O)ccc1[O-] ZINC001174217376 777427782 /nfs/dbraw/zinc/42/77/82/777427782.db2.gz HTAWYQWCUGAQAT-UHFFFAOYSA-N -1 1 310.335 1.742 20 0 DDADMM Cc1c2c[nH]nc2ccc1[N-]S(=O)(=O)c1cc(N)ccc1N ZINC001175467135 777796898 /nfs/dbraw/zinc/79/68/98/777796898.db2.gz KOTFGTCUJSWLFD-UHFFFAOYSA-N -1 1 317.374 1.837 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2cnc(N(C)C)nc2)c1 ZINC001176330304 778117836 /nfs/dbraw/zinc/11/78/36/778117836.db2.gz CFQMEACISFPNTN-UHFFFAOYSA-N -1 1 322.390 1.785 20 0 DDADMM Cc1ncc(Nc2cc(S(=O)(=O)C(N)=O)ccc2[O-])cn1 ZINC001176398939 778146363 /nfs/dbraw/zinc/14/63/63/778146363.db2.gz YVGKYMGBXUVIBV-UHFFFAOYSA-N -1 1 308.319 1.501 20 0 DDADMM O=C(Cc1ncc(F)cn1)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001177398132 778576024 /nfs/dbraw/zinc/57/60/24/778576024.db2.gz KEBBBWBSKVOBCC-UHFFFAOYSA-N -1 1 313.292 1.688 20 0 DDADMM CSc1nc(NC(=O)C2(c3ncccn3)CC2)cc(=O)[n-]1 ZINC001178344648 778991821 /nfs/dbraw/zinc/99/18/21/778991821.db2.gz QMDBTQUXPXLNJX-UHFFFAOYSA-N -1 1 303.347 1.364 20 0 DDADMM O=C(NCC[C@H]1CCCN1c1ncccn1)c1ncccc1[O-] ZINC001103731176 779018174 /nfs/dbraw/zinc/01/81/74/779018174.db2.gz WFDNAISOCHHIQJ-GFCCVEGCSA-N -1 1 313.361 1.366 20 0 DDADMM Cc1cnc(C)nc1NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001103917251 779169255 /nfs/dbraw/zinc/16/92/55/779169255.db2.gz HVSSYEGCDNMJBL-JTQLQIEISA-N -1 1 315.377 1.672 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2CC2(C)C)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104083617 779295407 /nfs/dbraw/zinc/29/54/07/779295407.db2.gz IUMQJUXZEJBZSJ-UTUOFQBUSA-N -1 1 321.425 1.131 20 0 DDADMM CN(C)c1nc(NC(=O)[C@H]2CC23CCOCC3)c(N=O)c(=O)[n-]1 ZINC001179310263 779333064 /nfs/dbraw/zinc/33/30/64/779333064.db2.gz STGJGWOIHFTNPA-MRVPVSSYSA-N -1 1 321.337 1.401 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1ccc2nonc2c1 ZINC001180155436 779645892 /nfs/dbraw/zinc/64/58/92/779645892.db2.gz ABVSLPCXTXASMN-UHFFFAOYSA-N -1 1 317.330 1.246 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2nc(C)c3ccccc3n2)n[n-]1 ZINC001117105510 780658298 /nfs/dbraw/zinc/65/82/98/780658298.db2.gz PMFNRAYLCUSZIT-UHFFFAOYSA-N -1 1 303.347 1.339 20 0 DDADMM O=C(c1[nH]ccc1-c1ccccc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001119596912 781531002 /nfs/dbraw/zinc/53/10/02/781531002.db2.gz ZQYDSJKSQSYYHR-ZDUSSCGKSA-N -1 1 324.344 1.409 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC001119797646 781616365 /nfs/dbraw/zinc/61/63/65/781616365.db2.gz VXIVJHZXVXQVSY-ILWJIGKKSA-N -1 1 324.327 1.244 20 0 DDADMM CCCC(CCC)C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409099197 844298280 /nfs/dbraw/zinc/29/82/80/844298280.db2.gz XNZGSGRVFBXDTO-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2CCCOC(C)C)c1[O-] ZINC001269213836 841365004 /nfs/dbraw/zinc/36/50/04/841365004.db2.gz GBYMYZPORGXQJS-GFCCVEGCSA-N -1 1 310.398 1.043 20 0 DDADMM CC(C)(C)NC(=O)C12CC(NC(=O)c3ncccc3[O-])(C1)C2 ZINC001269346077 841541944 /nfs/dbraw/zinc/54/19/44/841541944.db2.gz KGCNWBQRHLIHTN-UHFFFAOYSA-N -1 1 303.362 1.354 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1CCC[C@@H]1OC ZINC001363978595 842914258 /nfs/dbraw/zinc/91/42/58/842914258.db2.gz ZDKILAWMJZEGQP-IUCAKERBSA-N -1 1 306.409 1.245 20 0 DDADMM CC/C(C)=C\C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001285364884 842946470 /nfs/dbraw/zinc/94/64/70/842946470.db2.gz IZCLPCCYABXDHJ-QXMHVHEDSA-N -1 1 305.378 1.674 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)NC[C@@H]3CCC(=O)N3)cc2c1 ZINC001154754492 861429397 /nfs/dbraw/zinc/42/93/97/861429397.db2.gz ICHJZPJCZZMHBI-LBPRGKRZSA-N -1 1 314.341 1.562 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(OC)c(F)c1N)c1nn[n-]n1 ZINC001149121521 861472121 /nfs/dbraw/zinc/47/21/21/861472121.db2.gz AUQXRFSWEPQONH-QMMMGPOBSA-N -1 1 308.317 1.201 20 0 DDADMM COc1cccc2c(C(=O)Nc3c(N)[nH]c(=O)[n-]c3=O)c[nH]c21 ZINC001154869607 861525744 /nfs/dbraw/zinc/52/57/44/861525744.db2.gz AUKVWMKXQSPYTJ-UHFFFAOYSA-N -1 1 315.289 1.212 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1c(F)cncc1F ZINC001149502085 861661648 /nfs/dbraw/zinc/66/16/48/861661648.db2.gz ZLMYVQLJSYOPRY-GFCCVEGCSA-N -1 1 316.271 1.392 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C(C)C)C(C)(C)O)sn1 ZINC001365382188 890699056 /nfs/dbraw/zinc/69/90/56/890699056.db2.gz NMIXWDRJMMJOHJ-JTQLQIEISA-N -1 1 308.425 1.226 20 0 DDADMM O=C(NC[C@@H]1CNC(=O)O1)c1cc2cccc(O)c2cc1[O-] ZINC001149660004 861789186 /nfs/dbraw/zinc/78/91/86/861789186.db2.gz SXTMTYYWRXGSLW-SECBINFHSA-N -1 1 302.286 1.089 20 0 DDADMM CC(C)=CCN1CC2(CN(Cc3ccncc3[O-])C2)OCC1=O ZINC001273080129 847880555 /nfs/dbraw/zinc/88/05/55/847880555.db2.gz MLFGGVQHIDDFDS-UHFFFAOYSA-N -1 1 317.389 1.167 20 0 DDADMM CC1(C)CN(C(=O)c2[n-][nH]c3cc(=O)ccc2-3)[C@@](C)(CO)C1 ZINC001155261691 861906853 /nfs/dbraw/zinc/90/68/53/861906853.db2.gz WTSLQUUUAYTLMD-MRXNPFEDSA-N -1 1 303.362 1.892 20 0 DDADMM Cc1cccc(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001410163861 848837111 /nfs/dbraw/zinc/83/71/11/848837111.db2.gz NCLXAJCUMLEVKP-LLVKDONJSA-N -1 1 314.345 1.039 20 0 DDADMM Cc1conc1CN[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001410384209 849181778 /nfs/dbraw/zinc/18/17/78/849181778.db2.gz SAVPWVLGFDEKLL-NSHDSACASA-N -1 1 304.350 1.334 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1nnc2ccccn21 ZINC001155379988 862016585 /nfs/dbraw/zinc/01/65/85/862016585.db2.gz RFQKEZICHYMHQH-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCCCC1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001410522356 849441049 /nfs/dbraw/zinc/44/10/49/849441049.db2.gz VUUREFWEBBUQSI-VXGBXAGGSA-N -1 1 323.441 1.663 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H](C)c1ncccc1C ZINC001434661454 849517264 /nfs/dbraw/zinc/51/72/64/849517264.db2.gz YNTGTCCLFRFMRO-NSHDSACASA-N -1 1 319.452 1.793 20 0 DDADMM CC[C@@H](C)N1C[C@@]2(CC[N@@H+](Cc3ncccc3O)C2)OCC1=O ZINC001273325228 849536686 /nfs/dbraw/zinc/53/66/86/849536686.db2.gz JAEZKFAQNWATJI-DYVFJYSZSA-N -1 1 319.405 1.389 20 0 DDADMM CCCc1cc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)sc1C ZINC001150349781 862191376 /nfs/dbraw/zinc/19/13/76/862191376.db2.gz FXIIPGAXDNFPMM-UHFFFAOYSA-N -1 1 323.422 1.352 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H]([N-]S(=O)(=O)c2nccs2)C1 ZINC001187911471 851085702 /nfs/dbraw/zinc/08/57/02/851085702.db2.gz SVUVIFOWDHAPPS-RKDXNWHRSA-N -1 1 304.393 1.153 20 0 DDADMM CSc1nc(NC(=O)c2cnc3n[nH]cc3c2)cc(=O)[n-]1 ZINC001150483101 862266217 /nfs/dbraw/zinc/26/62/17/862266217.db2.gz VREFNWLPGVKCFI-UHFFFAOYSA-N -1 1 302.319 1.428 20 0 DDADMM COc1ccc(CN2CCC[C@@]23CCN(C)C3=O)cc1C(=O)[O-] ZINC001274190407 852021232 /nfs/dbraw/zinc/02/12/32/852021232.db2.gz LVYAELHRAPOXJC-KRWDZBQOSA-N -1 1 318.373 1.590 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CC[C@@H](O)[C@@H](CO)C3)c([O-])c2n1 ZINC001155653247 862343963 /nfs/dbraw/zinc/34/39/63/862343963.db2.gz BSEJXESWNOCQII-TZMCWYRMSA-N -1 1 316.357 1.064 20 0 DDADMM Cc1ccc2ccc(C(=O)NC[C@H]3CC(=O)N(C)C3)c([O-])c2n1 ZINC001155661013 862350456 /nfs/dbraw/zinc/35/04/56/862350456.db2.gz YCIXLDGQUUENGY-LLVKDONJSA-N -1 1 313.357 1.457 20 0 DDADMM NC(=O)[C@@H]1CC12CCN(C(=O)c1cc([O-])cnc1Cl)CC2 ZINC001274970615 852719568 /nfs/dbraw/zinc/71/95/68/852719568.db2.gz VGCCUTPBLZHLIS-JTQLQIEISA-N -1 1 309.753 1.168 20 0 DDADMM Cc1cc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)c2ccccc2n1 ZINC001150663113 862400017 /nfs/dbraw/zinc/40/00/17/862400017.db2.gz OLDIWKUOINRLGJ-UHFFFAOYSA-N -1 1 311.301 1.579 20 0 DDADMM CN1CCO[C@]2(CCCN(C(=O)c3ccc(Cl)cc3[O-])C2)C1 ZINC001275352857 853011567 /nfs/dbraw/zinc/01/15/67/853011567.db2.gz COOAQCLZSOMUCT-MRXNPFEDSA-N -1 1 324.808 1.982 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](C)N2CCc3ccccc3C2)c1[O-] ZINC001411709531 853617810 /nfs/dbraw/zinc/61/78/10/853617810.db2.gz VBIGJGVTRLSNSE-NSHDSACASA-N -1 1 314.389 1.600 20 0 DDADMM CC(C)CCNC(=O)[C@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001411751467 853681881 /nfs/dbraw/zinc/68/18/81/853681881.db2.gz NWAQAKIPPDGBTG-CYBMUJFWSA-N -1 1 323.418 1.465 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC2(CCN2Cc2cn[nH]c2)C1 ZINC001275950067 854004337 /nfs/dbraw/zinc/00/43/37/854004337.db2.gz OSECIODQDKYWER-UHFFFAOYSA-N -1 1 316.336 1.355 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cncc(C(C)=O)c2)n[nH]1 ZINC001411984527 854073146 /nfs/dbraw/zinc/07/31/46/854073146.db2.gz GXGOVCMUBRUWRU-UHFFFAOYSA-N -1 1 302.290 1.436 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CCOc2c(F)cccc21)c1nn[n-]n1 ZINC001411987652 854075350 /nfs/dbraw/zinc/07/53/50/854075350.db2.gz BTVOTZIZYRUJEU-ONGXEEELSA-N -1 1 319.340 1.467 20 0 DDADMM COC(=O)c1nc(NC(=O)c2cnncc2[O-])sc1C1CC1 ZINC001412023656 854119007 /nfs/dbraw/zinc/11/90/07/854119007.db2.gz DKTACNZKVVHDDR-UHFFFAOYSA-N -1 1 320.330 1.555 20 0 DDADMM CCCC[C@H](O)CCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001412050319 854152106 /nfs/dbraw/zinc/15/21/06/854152106.db2.gz YHVCFKIHRGAFSS-QWRGUYRKSA-N -1 1 313.427 1.148 20 0 DDADMM CCCC[C@@H](C)C(=O)N1C[C@@H](NC(=O)c2cnn[nH]2)C(C)(C)C1 ZINC001412083127 854204395 /nfs/dbraw/zinc/20/43/95/854204395.db2.gz WYGZBJZXQXGMEX-DGCLKSJQSA-N -1 1 321.425 1.598 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3ccc(F)c([O-])c3)[C@@H]2C1 ZINC001412201212 854328161 /nfs/dbraw/zinc/32/81/61/854328161.db2.gz GUABWKRYUSBNCT-GUTXKFCHSA-N -1 1 320.364 1.908 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cccn2CC)n[n-]1 ZINC001412329054 854438169 /nfs/dbraw/zinc/43/81/69/854438169.db2.gz ZHSMJNVOOJYDOD-VIFPVBQESA-N -1 1 305.338 1.294 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cccn2CC)[n-]1 ZINC001412329054 854438174 /nfs/dbraw/zinc/43/81/74/854438174.db2.gz ZHSMJNVOOJYDOD-VIFPVBQESA-N -1 1 305.338 1.294 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cccn2CC)n1 ZINC001412329054 854438182 /nfs/dbraw/zinc/43/81/82/854438182.db2.gz ZHSMJNVOOJYDOD-VIFPVBQESA-N -1 1 305.338 1.294 20 0 DDADMM CSCC[C@H](NC(=O)c1ccn(C(C)(C)C)n1)c1nn[n-]n1 ZINC001412364622 854475958 /nfs/dbraw/zinc/47/59/58/854475958.db2.gz AJDCCZFVRHLVMT-VIFPVBQESA-N -1 1 323.426 1.375 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCN(Cc2cncs2)CC1 ZINC001412401988 854510960 /nfs/dbraw/zinc/51/09/60/854510960.db2.gz DMRFDRJWTTUNQP-UHFFFAOYSA-N -1 1 321.377 1.946 20 0 DDADMM O=C(NC1CC1)[C@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC001412475093 854595518 /nfs/dbraw/zinc/59/55/18/854595518.db2.gz DCGAEHDGZCCSRE-JTQLQIEISA-N -1 1 306.337 1.662 20 0 DDADMM COc1ccc(C(=O)Nc2cccc3c2NC(=O)CO3)c([O-])c1 ZINC001412509178 854641069 /nfs/dbraw/zinc/64/10/69/854641069.db2.gz SVQIJGAIDPNDAB-UHFFFAOYSA-N -1 1 314.297 1.984 20 0 DDADMM CO[C@@H]1CCC[C@@H]1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001412571403 854736378 /nfs/dbraw/zinc/73/63/78/854736378.db2.gz MKUYGKFJZDTWQS-QWHCGFSZSA-N -1 1 317.349 1.532 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1ccccc1F)C1CCC1 ZINC001412678593 854927288 /nfs/dbraw/zinc/92/72/88/854927288.db2.gz QYHGXFNRPXZTBK-UHFFFAOYSA-N -1 1 303.341 1.853 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCCC(F)(F)C1 ZINC001412686224 854947930 /nfs/dbraw/zinc/94/79/30/854947930.db2.gz HWMMBFFUJKAQNJ-ZCFIWIBFSA-N -1 1 303.265 1.204 20 0 DDADMM COc1cccc([O-])c1C(=O)Nn1cc(Br)cn1 ZINC001412732266 855043496 /nfs/dbraw/zinc/04/34/96/855043496.db2.gz WDEKRQYQKZCXIB-UHFFFAOYSA-N -1 1 312.123 1.744 20 0 DDADMM O=C(CSc1ccc2c(c1)OCCO2)Nc1c[n-][nH]c1=O ZINC001412851261 855727019 /nfs/dbraw/zinc/72/70/19/855727019.db2.gz QOSDCKOWYOKODH-UHFFFAOYSA-N -1 1 307.331 1.617 20 0 DDADMM C[C@@H]1CN(C2CC2)CCN1C(=O)c1nnc2ccccc2c1O ZINC001412856438 855730699 /nfs/dbraw/zinc/73/06/99/855730699.db2.gz FSMQMXCPGVVXJS-LLVKDONJSA-N -1 1 312.373 1.644 20 0 DDADMM CCC(CC)(NC(=O)c1cnc(COC)s1)c1nn[n-]n1 ZINC001412936409 855800766 /nfs/dbraw/zinc/80/07/66/855800766.db2.gz PAIOFDLHMASWAQ-UHFFFAOYSA-N -1 1 310.383 1.248 20 0 DDADMM CCC(CC)(NC(=O)c1conc1C(F)(F)F)c1nn[n-]n1 ZINC001412936789 855801032 /nfs/dbraw/zinc/80/10/32/855801032.db2.gz QZVYSQYZVFVSON-UHFFFAOYSA-N -1 1 318.259 1.652 20 0 DDADMM Cc1cnc(CCNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)cn1 ZINC001413047286 856095195 /nfs/dbraw/zinc/09/51/95/856095195.db2.gz KJUOSYASPIWSGM-UHFFFAOYSA-N -1 1 315.377 1.685 20 0 DDADMM CC1(C(=O)NC[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001413161904 856502716 /nfs/dbraw/zinc/50/27/16/856502716.db2.gz BPEXVQISJBDDNL-VXGBXAGGSA-N -1 1 317.389 1.602 20 0 DDADMM Cn1cc2ccc(C(=O)Nc3[nH]c(=O)[n-]c(=O)c3N=O)cc2n1 ZINC001151508378 862808016 /nfs/dbraw/zinc/80/80/16/862808016.db2.gz MDACMHRUPNVYLR-UHFFFAOYSA-N -1 1 314.261 1.425 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)COc2ccccc2)n[n-]1 ZINC001413268435 856595156 /nfs/dbraw/zinc/59/51/56/856595156.db2.gz HBJXJUOZLKEVBV-SNVBAGLBSA-N -1 1 318.333 1.238 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)COc2ccccc2)[n-]1 ZINC001413268435 856595165 /nfs/dbraw/zinc/59/51/65/856595165.db2.gz HBJXJUOZLKEVBV-SNVBAGLBSA-N -1 1 318.333 1.238 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)COc2ccccc2)n1 ZINC001413268435 856595169 /nfs/dbraw/zinc/59/51/69/856595169.db2.gz HBJXJUOZLKEVBV-SNVBAGLBSA-N -1 1 318.333 1.238 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)c2cccs2)[n-]n1 ZINC001413321974 856650801 /nfs/dbraw/zinc/65/08/01/856650801.db2.gz XSJVWNAJEOXWEX-SSDOTTSWSA-N -1 1 315.376 1.297 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)c2cccs2)n[n-]1 ZINC001413321974 856650805 /nfs/dbraw/zinc/65/08/05/856650805.db2.gz XSJVWNAJEOXWEX-SSDOTTSWSA-N -1 1 315.376 1.297 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]Cc2cc(C)ncn2)c(F)c1 ZINC001413324519 856660309 /nfs/dbraw/zinc/66/03/09/856660309.db2.gz VXDZNEZKOCLADC-UHFFFAOYSA-N -1 1 313.329 1.850 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2nccnc2Cl)sn1 ZINC001413342933 856691527 /nfs/dbraw/zinc/69/15/27/856691527.db2.gz GYZHDRQBNGHFEK-UHFFFAOYSA-N -1 1 320.783 1.074 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NS(=O)(=O)CCC(C)C)n[n-]1 ZINC001413401256 856766334 /nfs/dbraw/zinc/76/63/34/856766334.db2.gz BHZJXDHQABQASR-SECBINFHSA-N -1 1 318.399 1.008 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NS(=O)(=O)CCC(C)C)[n-]1 ZINC001413401256 856766337 /nfs/dbraw/zinc/76/63/37/856766337.db2.gz BHZJXDHQABQASR-SECBINFHSA-N -1 1 318.399 1.008 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NS(=O)(=O)CCC(C)C)n1 ZINC001413401256 856766346 /nfs/dbraw/zinc/76/63/46/856766346.db2.gz BHZJXDHQABQASR-SECBINFHSA-N -1 1 318.399 1.008 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@@]12CCC[C@]1(OC)OCC2)C(C)C ZINC001413429540 856798715 /nfs/dbraw/zinc/79/87/15/856798715.db2.gz DEPPHFAUYKUBIW-MJBXVCDLSA-N -1 1 321.439 1.263 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)CCOC)c(Cl)c1 ZINC001259971480 856811003 /nfs/dbraw/zinc/81/10/03/856811003.db2.gz CDQUKUQFIXCIQN-UHFFFAOYSA-N -1 1 321.782 1.905 20 0 DDADMM Cn1c(Cl)c(Cl)cc1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001413511310 856912628 /nfs/dbraw/zinc/91/26/28/856912628.db2.gz SFSOARWLXCGGRU-UHFFFAOYSA-N -1 1 315.164 1.654 20 0 DDADMM CCC(C)(C)C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001413594340 857207871 /nfs/dbraw/zinc/20/78/71/857207871.db2.gz QJLHYEUEQKGWDP-UHFFFAOYSA-N -1 1 319.405 1.943 20 0 DDADMM COc1cnc(C2(NC(=O)c3conc3C)CCCC2)[n-]c1=O ZINC001413716904 858159581 /nfs/dbraw/zinc/15/95/81/858159581.db2.gz YLKLYGVZKQKAGU-UHFFFAOYSA-N -1 1 318.333 1.687 20 0 DDADMM O=C(Nc1cc(C(=O)OCc2ccccc2)[nH]n1)[C@@H](O)C1CC1 ZINC001413756334 858490501 /nfs/dbraw/zinc/49/05/01/858490501.db2.gz OUEIRTCASGCXIL-AWEZNQCLSA-N -1 1 315.329 1.476 20 0 DDADMM O=C(Cc1nnc(C2CCOCC2)[nH]1)[N-]OCc1ccccc1 ZINC001123580465 859311015 /nfs/dbraw/zinc/31/10/15/859311015.db2.gz IRXBXBNLBINXKQ-UHFFFAOYSA-N -1 1 316.361 1.489 20 0 DDADMM O=C(C=Cc1ccc(Cl)nc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123962773 859498688 /nfs/dbraw/zinc/49/86/88/859498688.db2.gz WMASBVTUHYPWKU-WUWIAWFRSA-N -1 1 304.741 1.277 20 0 DDADMM CCc1nnc([N-]C(=O)c2ncccc2S(C)(=O)=O)s1 ZINC001361320557 881444018 /nfs/dbraw/zinc/44/40/18/881444018.db2.gz HRTZEESAPXOOAZ-UHFFFAOYSA-N -1 1 312.376 1.151 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H](OCC[P@](=O)([O-])O)[C@H]2C1 ZINC001224601132 881462614 /nfs/dbraw/zinc/46/26/14/881462614.db2.gz DOYHTBUSPZEHPX-GARJFASQSA-N -1 1 321.310 1.436 20 0 DDADMM Cn1c2cccc(F)c2nc1NC(=O)CCCc1nn[n-]n1 ZINC001137542183 859939386 /nfs/dbraw/zinc/93/93/86/859939386.db2.gz SOJQZMAGHYSLDE-UHFFFAOYSA-N -1 1 303.301 1.187 20 0 DDADMM O=C(N[C@@H]1CN(c2ccccc2)C1=O)c1cccc([O-])c1F ZINC001138172342 860033580 /nfs/dbraw/zinc/03/35/80/860033580.db2.gz QECZOKVFMLUKCM-GFCCVEGCSA-N -1 1 300.289 1.677 20 0 DDADMM CN(C1CN(Cc2ccc(OCC(=O)[O-])cc2)C1)[C@H]1CCOC1 ZINC001138927179 860232445 /nfs/dbraw/zinc/23/24/45/860232445.db2.gz AVOCTRJGKAOUGV-AWEZNQCLSA-N -1 1 320.389 1.055 20 0 DDADMM CC/C(C)=C\C(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202899157 860300449 /nfs/dbraw/zinc/30/04/49/860300449.db2.gz NEYNGCDTDLVZFH-PWGYGRHZSA-N -1 1 319.409 1.384 20 0 DDADMM [O-]c1ccc(CN2CCN(c3cncnc3)CC2)c(F)c1F ZINC001140892681 860726581 /nfs/dbraw/zinc/72/65/81/860726581.db2.gz WHWUWQALNCIRLB-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM COC(=O)c1cn2c(n1)CN(Cc1cc(F)c([O-])c(F)c1)CC2 ZINC001140990520 860753546 /nfs/dbraw/zinc/75/35/46/860753546.db2.gz DRGVSQVDMWZLEI-UHFFFAOYSA-N -1 1 323.299 1.669 20 0 DDADMM Cc1c[nH]c(CC(N)=O)c1C(=O)Nc1cc(F)cc(F)c1[O-] ZINC001142542544 861175916 /nfs/dbraw/zinc/17/59/16/861175916.db2.gz RHPIXAGHSZUECQ-UHFFFAOYSA-N -1 1 309.272 1.587 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CCCCN1Cc1cccnc1 ZINC001328680182 863145666 /nfs/dbraw/zinc/14/56/66/863145666.db2.gz QCSRFYGHLHQUEQ-HNNXBMFYSA-N -1 1 307.394 1.520 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCN(CCF)CC1 ZINC001152673182 863485061 /nfs/dbraw/zinc/48/50/61/863485061.db2.gz XLVXLAOMAGXXSB-UHFFFAOYSA-N -1 1 319.336 1.373 20 0 DDADMM NCc1ncccc1NC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152682210 863492347 /nfs/dbraw/zinc/49/23/47/863492347.db2.gz VYTUFYNYPVSWJE-UHFFFAOYSA-N -1 1 310.313 1.752 20 0 DDADMM Cc1cc(CCC(=O)NCCNCc2n[nH]c(=O)[n-]2)c(C)s1 ZINC001152884486 863585502 /nfs/dbraw/zinc/58/55/02/863585502.db2.gz JEAAWKQNEWREKF-UHFFFAOYSA-N -1 1 323.422 1.027 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc3cncnc3[nH]2)n1 ZINC001152986345 863636318 /nfs/dbraw/zinc/63/63/18/863636318.db2.gz QGWNWSAJWUJWPV-UHFFFAOYSA-N -1 1 300.278 1.062 20 0 DDADMM CCN=c1ncc(N)cn1C(=O)c1c([O-])ccc2ccccc21 ZINC001153499590 863916390 /nfs/dbraw/zinc/91/63/90/863916390.db2.gz YTBXXIBPRFWJFA-UHFFFAOYSA-N -1 1 308.341 1.933 20 0 DDADMM Cc1cn2cccc(C(=O)Nc3[n-]c(=O)nc4[nH]cnc43)c2n1 ZINC001153601383 863971306 /nfs/dbraw/zinc/97/13/06/863971306.db2.gz MOROVDVRFZJNHU-UHFFFAOYSA-N -1 1 309.289 1.267 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc2[nH]c(=O)ccc2c1 ZINC001153831296 864141847 /nfs/dbraw/zinc/14/18/47/864141847.db2.gz IDHAEVXNWUVGIG-UHFFFAOYSA-N -1 1 323.234 1.917 20 0 DDADMM O=C(NCc1ccc2n[nH]cc2c1)c1c[n-]c2cccnc2c1=O ZINC001153861199 864168189 /nfs/dbraw/zinc/16/81/89/864168189.db2.gz XMZLPKRFWRIBAX-UHFFFAOYSA-N -1 1 319.324 1.729 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CC(c2cnc3ccccc3n2)C1 ZINC001153899178 864206085 /nfs/dbraw/zinc/20/60/85/864206085.db2.gz JKIZRCOKMSWAMP-UHFFFAOYSA-N -1 1 306.325 1.970 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCOC1)c1cc(Cl)cnc1Cl ZINC001330364607 864277272 /nfs/dbraw/zinc/27/72/72/864277272.db2.gz KMOWKDZWYCPRNJ-SSDOTTSWSA-N -1 1 311.190 1.703 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)C1 ZINC001361496918 881767251 /nfs/dbraw/zinc/76/72/51/881767251.db2.gz JAVGTRJJKXREJN-VHSXEESVSA-N -1 1 319.361 1.589 20 0 DDADMM CCOC(=O)C1(CNc2nc(C)cc3c2C(=O)[N-]C3=O)CCC1 ZINC001158623157 864764905 /nfs/dbraw/zinc/76/49/05/864764905.db2.gz ROHSFPVPPCEJGB-UHFFFAOYSA-N -1 1 317.345 1.419 20 0 DDADMM Cc1ccc(C)c(C(=O)N[C@@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)c1 ZINC001381453063 881819916 /nfs/dbraw/zinc/81/99/16/881819916.db2.gz NENLSMMRYAFNHQ-RYUDHWBXSA-N -1 1 317.393 1.424 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@H]1C=CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001331490550 865114047 /nfs/dbraw/zinc/11/40/47/865114047.db2.gz PPVKCOAUQJPKRX-RYUDHWBXSA-N -1 1 307.398 1.193 20 0 DDADMM CCOC(=O)c1cnc(C)nc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159192703 865158449 /nfs/dbraw/zinc/15/84/49/865158449.db2.gz VLKCUUJXQDFXBQ-UHFFFAOYSA-N -1 1 315.293 1.077 20 0 DDADMM Cc1cccc(CO[N-]C(=O)CCc2nc3cccnc3[nH]2)n1 ZINC001331886998 865414012 /nfs/dbraw/zinc/41/40/12/865414012.db2.gz TVHSUZVIRRAWRR-UHFFFAOYSA-N -1 1 311.345 1.842 20 0 DDADMM CCOC(=O)C[C@@H](Oc1cc([O-])ccc1C(C)=O)C(=O)OCC ZINC001225616286 881941160 /nfs/dbraw/zinc/94/11/60/881941160.db2.gz HQGHBQPXKZYPOU-CQSZACIVSA-N -1 1 324.329 1.859 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn3ccc(C)cc23)n1 ZINC001160930046 866192295 /nfs/dbraw/zinc/19/22/95/866192295.db2.gz HOQYIJCHZXYUKO-UHFFFAOYSA-N -1 1 313.317 1.795 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)/C=C\[C@@H]2CCOC2)[n-]c1=O ZINC001333561141 866841416 /nfs/dbraw/zinc/84/14/16/866841416.db2.gz WPOZXIKAMWHCOG-JYYSYOFASA-N -1 1 319.361 1.447 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)Cc2noc3ccccc32)o1 ZINC001323875946 866917622 /nfs/dbraw/zinc/91/76/22/866917622.db2.gz DNVCHYGEJNHRTH-MRVPVSSYSA-N -1 1 322.346 1.700 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(C)(C)COC)[n-]c1Cl ZINC001361642507 882071241 /nfs/dbraw/zinc/07/12/41/882071241.db2.gz QNLBEGNNBQUYRZ-UHFFFAOYSA-N -1 1 303.746 1.851 20 0 DDADMM Cc1cc(C)n(C[C@@H](NCc2cccnc2Cl)C(=O)[O-])n1 ZINC001324575863 867368211 /nfs/dbraw/zinc/36/82/11/867368211.db2.gz PLEJKJAYXCHLPN-GFCCVEGCSA-N -1 1 308.769 1.791 20 0 DDADMM C[C@H](O)CCC[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001321397011 867561988 /nfs/dbraw/zinc/56/19/88/867561988.db2.gz FGFCAJYVAIZVKM-ZETCQYMHSA-N -1 1 313.206 1.828 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1CC[C@@H](C(=O)OCC)C1 ZINC001225901750 882103089 /nfs/dbraw/zinc/10/30/89/882103089.db2.gz RSJJPZGUGJCKMK-ZJUUUORDSA-N -1 1 324.333 1.470 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2CCSC2)[n-]c1Cl ZINC001361673374 882132765 /nfs/dbraw/zinc/13/27/65/882132765.db2.gz YEHMJAXZAMQQAY-ZCFIWIBFSA-N -1 1 303.771 1.931 20 0 DDADMM O=C(Nc1cccnc1N1CCOCC1)c1ccc([O-])cn1 ZINC001361679367 882143961 /nfs/dbraw/zinc/14/39/61/882143961.db2.gz JRHYXNZOLNFZEQ-UHFFFAOYSA-N -1 1 300.318 1.271 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@](O)(CC(=O)[N-]OCC(F)F)C1 ZINC001335354815 868245167 /nfs/dbraw/zinc/24/51/67/868245167.db2.gz BIHGDBXNFRDXGP-CYBMUJFWSA-N -1 1 324.324 1.061 20 0 DDADMM CS(=O)(=O)Cc1cccc(NC(=O)c2ccc([O-])cn2)c1 ZINC001361693239 882173622 /nfs/dbraw/zinc/17/36/22/882173622.db2.gz ZUKVBYYLTDHYQL-UHFFFAOYSA-N -1 1 306.343 1.584 20 0 DDADMM CCOC(=O)c1nc(=NCc2nonc2C)c2sccc2[n-]1 ZINC001164053508 868916679 /nfs/dbraw/zinc/91/66/79/868916679.db2.gz QTSICFJZJKEZJC-UHFFFAOYSA-N -1 1 319.346 1.593 20 0 DDADMM O=C([N-]CCNc1ccc2c(c1)[C@H](O)CCO2)C(F)(F)F ZINC001164245845 869054897 /nfs/dbraw/zinc/05/48/97/869054897.db2.gz AGPLILXIZSDPND-SNVBAGLBSA-N -1 1 304.268 1.593 20 0 DDADMM CCCCCC(=O)NC1CCN(C(=O)c2cnncc2[O-])CC1 ZINC001337104514 869323243 /nfs/dbraw/zinc/32/32/43/869323243.db2.gz LTGWTENAUWPZJY-UHFFFAOYSA-N -1 1 320.393 1.483 20 0 DDADMM Cc1cc2c(c(N3CCC4(C[C@@H]4CCO)CC3)n1)C(=O)[N-]C2=O ZINC001165260958 869474533 /nfs/dbraw/zinc/47/45/33/869474533.db2.gz MTLTZJHRJSXUTN-NSHDSACASA-N -1 1 315.373 1.263 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CC(O)C2)c1cnc(Cl)cc1Cl ZINC001337954669 869712872 /nfs/dbraw/zinc/71/28/72/869712872.db2.gz BRNUPYPCIAZWFP-HKMPYMFOSA-N -1 1 323.201 1.580 20 0 DDADMM CC1N=NC(C(=O)Nc2cccc(CN3CCCC3=O)c2)=C1[O-] ZINC001361788853 882375582 /nfs/dbraw/zinc/37/55/82/882375582.db2.gz SQEGUQGGRTZEHO-UHFFFAOYSA-N -1 1 314.345 1.798 20 0 DDADMM COC(=O)c1cc(C)ccc1OS(=O)(=O)c1c[n-]nc1C ZINC001338867043 870212797 /nfs/dbraw/zinc/21/27/97/870212797.db2.gz TXUCKGQLKAKZFO-UHFFFAOYSA-N -1 1 310.331 1.581 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CC=CC1 ZINC001297965518 870220046 /nfs/dbraw/zinc/22/00/46/870220046.db2.gz VDWSPQOMPIPLGI-CYBMUJFWSA-N -1 1 317.389 1.624 20 0 DDADMM O=C([O-])C12CCC(C(=O)NCCCCN3CCOCC3)(CC1)C2 ZINC001339584300 870567315 /nfs/dbraw/zinc/56/73/15/870567315.db2.gz IPCTZABJVDMOFY-UHFFFAOYSA-N -1 1 324.421 1.250 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CCCc2ccccc2CC1 ZINC001339940332 870797448 /nfs/dbraw/zinc/79/74/48/870797448.db2.gz KENIPXYMTDAOSV-UHFFFAOYSA-N -1 1 324.388 1.906 20 0 DDADMM CC(=CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)c1cnccn1 ZINC001301650638 871015586 /nfs/dbraw/zinc/01/55/86/871015586.db2.gz SSWAZFXPRDSQEI-ZHUYAKLQSA-N -1 1 321.344 1.299 20 0 DDADMM O=C(CNS(=O)(=O)c1ccccc1)Nc1cccc(F)c1[O-] ZINC001302482073 871068764 /nfs/dbraw/zinc/06/87/64/871068764.db2.gz QOTQGRVWZZWXIT-UHFFFAOYSA-N -1 1 324.333 1.448 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2ccn(CCF)n2)c1 ZINC001302668952 871077374 /nfs/dbraw/zinc/07/73/74/871077374.db2.gz GYAGEGOZAAWGLT-UHFFFAOYSA-N -1 1 306.297 1.769 20 0 DDADMM CC(C)CC1(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)CCC1 ZINC001317608712 871192741 /nfs/dbraw/zinc/19/27/41/871192741.db2.gz KHGFBJQMSSMDMA-UHFFFAOYSA-N -1 1 309.414 1.275 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1Cc3cnn(C)c3C1)c2=O ZINC001306843352 871366478 /nfs/dbraw/zinc/36/64/78/871366478.db2.gz NPMBTLUAQITCNM-UHFFFAOYSA-N -1 1 324.340 1.426 20 0 DDADMM CON(Cc1ccc(C)o1)Cc1nc2c(c(=O)[n-]1)COCC2 ZINC001308093437 871469881 /nfs/dbraw/zinc/46/98/81/871469881.db2.gz VRNHONLHTUKITD-UHFFFAOYSA-N -1 1 305.334 1.720 20 0 DDADMM O=C(CCOCC(F)(F)F)OCC(=O)c1ccc([O-])cc1O ZINC001317792325 871510539 /nfs/dbraw/zinc/51/05/39/871510539.db2.gz IUQLHOGZFOEJBE-UHFFFAOYSA-N -1 1 322.235 1.793 20 0 DDADMM C[C@]1(C(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C=CCC1 ZINC001317796809 871512816 /nfs/dbraw/zinc/51/28/16/871512816.db2.gz GHMMFKMVNYLRIN-WBMJQRKESA-N -1 1 319.409 1.195 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccnc(F)c2)o1 ZINC001309729268 871594685 /nfs/dbraw/zinc/59/46/85/871594685.db2.gz NPATZUXGSTUNRE-UHFFFAOYSA-N -1 1 314.294 1.079 20 0 DDADMM CCOC(=O)C(C)(C)ON=C([O-])Nc1nc2ccccn2n1 ZINC001310550973 871641706 /nfs/dbraw/zinc/64/17/06/871641706.db2.gz YIUBMKZHFABGLF-UHFFFAOYSA-N -1 1 307.310 1.124 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Nc1nc2ccccn2n1 ZINC001310550973 871641709 /nfs/dbraw/zinc/64/17/09/871641709.db2.gz YIUBMKZHFABGLF-UHFFFAOYSA-N -1 1 307.310 1.124 20 0 DDADMM CC(C)CNC(=O)N1CCC[C@@H](C(=O)Nc2c[n-][nH]c2=O)C1 ZINC001413842076 871693985 /nfs/dbraw/zinc/69/39/85/871693985.db2.gz CPKVZKQABLBVIN-SNVBAGLBSA-N -1 1 309.370 1.131 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)C1(F)CCCC1 ZINC001361869152 882537425 /nfs/dbraw/zinc/53/74/25/882537425.db2.gz LKXYLWPOXKMNJN-UHFFFAOYSA-N -1 1 305.313 2.000 20 0 DDADMM CCNC(=O)c1ccc(NC(=O)c2cnncc2[O-])c(C)c1 ZINC001361878843 882560594 /nfs/dbraw/zinc/56/05/94/882560594.db2.gz ZRPQAJDKMDWIGG-UHFFFAOYSA-N -1 1 300.318 1.493 20 0 DDADMM CC1CCN(C(=O)C(=O)OCC(=O)c2ccc([O-])cc2O)CC1 ZINC001341986769 871935337 /nfs/dbraw/zinc/93/53/37/871935337.db2.gz MMXIAMVTCYKLJG-UHFFFAOYSA-N -1 1 321.329 1.082 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cc(CC2CCCCC2)[nH]n1 ZINC001361880259 882563216 /nfs/dbraw/zinc/56/32/16/882563216.db2.gz ZZDQIWNKWOQVKY-UHFFFAOYSA-N -1 1 303.370 1.617 20 0 DDADMM CCCC[C@H](CNCc1cnon1)NC(=O)c1ncccc1[O-] ZINC001381739288 882572572 /nfs/dbraw/zinc/57/25/72/882572572.db2.gz DNPBJWGGPGVJOJ-LLVKDONJSA-N -1 1 319.365 1.249 20 0 DDADMM Cc1cc(N(C)C)ncc1[N-]S(=O)(=O)c1cc(N)ccc1N ZINC001206754960 872554420 /nfs/dbraw/zinc/55/44/20/872554420.db2.gz SVRVPCLMAHSDHY-UHFFFAOYSA-N -1 1 321.406 1.421 20 0 DDADMM O=C([O-])C1CCN(c2ccc(CNCCOCCF)o2)CC1 ZINC001345159322 873279446 /nfs/dbraw/zinc/27/94/46/873279446.db2.gz GEEOAVPJVOHWCQ-UHFFFAOYSA-N -1 1 314.357 1.656 20 0 DDADMM COCCn1c(-c2ccc(Cl)cc2[O-])nnc1N(C)OC ZINC001345646023 873463994 /nfs/dbraw/zinc/46/39/94/873463994.db2.gz JBQSCYZCFJXYCD-UHFFFAOYSA-N -1 1 312.757 1.948 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1c2ccccc2C[C@H]1O ZINC001346451553 873758820 /nfs/dbraw/zinc/75/88/20/873758820.db2.gz RBYQNEMVQGWSAN-PWSUYJOCSA-N -1 1 317.370 1.167 20 0 DDADMM CC(C)c1nncn1CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001361995352 882784657 /nfs/dbraw/zinc/78/46/57/882784657.db2.gz RHJHJBYHJWHSJA-UHFFFAOYSA-N -1 1 316.365 1.205 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)C[C@]12CCC[C@H]1OCC2 ZINC001347587599 874202966 /nfs/dbraw/zinc/20/29/66/874202966.db2.gz CSYKUAMPWMMWSE-MEBBXXQBSA-N -1 1 323.418 1.811 20 0 DDADMM Cc1ccc2cc(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)ccc2n1 ZINC001347976154 874325862 /nfs/dbraw/zinc/32/58/62/874325862.db2.gz WUHRMMHATJCSTJ-CYBMUJFWSA-N -1 1 308.345 1.686 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCCC[C@@]12CCOC2 ZINC001348202185 874419493 /nfs/dbraw/zinc/41/94/93/874419493.db2.gz WOSZXTWTYGGTBH-HNNXBMFYSA-N -1 1 318.402 1.803 20 0 DDADMM CCOc1ccc(NC(=O)CCc2nn[n-]n2)cc1OCC ZINC001362018050 882834509 /nfs/dbraw/zinc/83/45/09/882834509.db2.gz ILLDOWOREDBZRC-UHFFFAOYSA-N -1 1 305.338 1.568 20 0 DDADMM CN1CC=C(Nc2cc(S(=O)(=O)C(N)=O)ccc2[O-])CC1 ZINC001208979595 874574064 /nfs/dbraw/zinc/57/40/64/874574064.db2.gz LFPRFNXTLMWECI-UHFFFAOYSA-N -1 1 311.363 1.290 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NN1CCCc2ccccc21 ZINC001348601371 874662187 /nfs/dbraw/zinc/66/21/87/874662187.db2.gz SKUGZIBXOBRAPR-UHFFFAOYSA-N -1 1 316.386 1.877 20 0 DDADMM Cc1noc(C)c1CN(C)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001348660279 874699688 /nfs/dbraw/zinc/69/96/88/874699688.db2.gz SPEAKFWHFWRMLZ-UHFFFAOYSA-N -1 1 322.760 1.495 20 0 DDADMM CCCC[C@H](C)C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001378336248 874710801 /nfs/dbraw/zinc/71/08/01/874710801.db2.gz RCLUYYKCCMNXDB-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CCOC(=O)[C@H]1C[C@@H](Oc2cc([O-])ccc2C(=O)COC)C1 ZINC001227173275 882889954 /nfs/dbraw/zinc/88/99/54/882889954.db2.gz WEPDCOGJZNPEJI-KLPPZKSPSA-N -1 1 308.330 1.942 20 0 DDADMM Cc1cccnc1C=CC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001350429012 875683706 /nfs/dbraw/zinc/68/37/06/875683706.db2.gz MOKMKTBYBAWGEK-FWWRYZNZSA-N -1 1 312.377 1.398 20 0 DDADMM Cc1cccc([C@@H]2CCCN2c2nnc(-c3nnn[n-]3)n2C)c1C ZINC001350431635 875685909 /nfs/dbraw/zinc/68/59/09/875685909.db2.gz ULCBSNXNJBTJJQ-ZDUSSCGKSA-N -1 1 324.392 1.954 20 0 DDADMM Cc1cccc([C@@H]2CCCN2c2nnc(-c3nn[n-]n3)n2C)c1C ZINC001350431635 875685912 /nfs/dbraw/zinc/68/59/12/875685912.db2.gz ULCBSNXNJBTJJQ-ZDUSSCGKSA-N -1 1 324.392 1.954 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C(F)(F)F)n[nH]1)c1nn[n-]n1 ZINC001362069877 882958940 /nfs/dbraw/zinc/95/89/40/882958940.db2.gz OOESCICGJGOFRL-RXMQYKEDSA-N -1 1 303.248 1.213 20 0 DDADMM Cc1nc(NC(=O)c2ccc([O-])cn2)sc1SCC(N)=O ZINC001362073952 882970454 /nfs/dbraw/zinc/97/04/54/882970454.db2.gz SYIGTMHXYPUOPT-UHFFFAOYSA-N -1 1 324.387 1.382 20 0 DDADMM CC(C)OCCOCCOCCOc1[n-]c(=S)ncc1F ZINC001227386155 882991657 /nfs/dbraw/zinc/99/16/57/882991657.db2.gz NRIWHJHDHRBTMA-UHFFFAOYSA-N -1 1 320.386 1.741 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H](CNCc1ccon1)C1CC1 ZINC001378994477 876099144 /nfs/dbraw/zinc/09/91/44/876099144.db2.gz JQOBRSDHUGFBDX-CYBMUJFWSA-N -1 1 316.361 1.416 20 0 DDADMM O=c1[nH]c2[n-]c(OC[C@@H]3CC[C@]4(CCCCO4)O3)nc2c(=O)[nH]1 ZINC001227429439 883008423 /nfs/dbraw/zinc/00/84/23/883008423.db2.gz CNIYWWVQCQHRTJ-RTHLEPHNSA-N -1 1 322.321 1.219 20 0 DDADMM Cc1cccc(C(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)c1F ZINC001379132535 876480062 /nfs/dbraw/zinc/48/00/62/876480062.db2.gz HPUAKQKADUFRLI-JTQLQIEISA-N -1 1 321.356 1.208 20 0 DDADMM Cc1c(C[S@](C)=O)cccc1NC(=O)CCCc1nn[n-]n1 ZINC001362101618 883038655 /nfs/dbraw/zinc/03/86/55/883038655.db2.gz JCOMWFTYTTZELD-QFIPXVFZSA-N -1 1 321.406 1.348 20 0 DDADMM O=C(C[C@@H]1CCCCO1)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001362103894 883045778 /nfs/dbraw/zinc/04/57/78/883045778.db2.gz SKYKIHKDECBFBQ-ZDUSSCGKSA-N -1 1 317.349 1.676 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(N)c(N)c2)cnc1F ZINC001216422869 876939061 /nfs/dbraw/zinc/93/90/61/876939061.db2.gz RYEWJKXZWVDYJX-UHFFFAOYSA-N -1 1 312.326 1.195 20 0 DDADMM O=c1nc(O[C@@H]2Cc3cccc(Br)c3C2)[n-]c(=O)[nH]1 ZINC001227571419 883087850 /nfs/dbraw/zinc/08/78/50/883087850.db2.gz UEVIARBYJXDRIM-SSDOTTSWSA-N -1 1 324.134 1.592 20 0 DDADMM CC/C=C(\C)C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001353565502 877421421 /nfs/dbraw/zinc/42/14/21/877421421.db2.gz QNOFILARKYVGKZ-LEDHECDBSA-N -1 1 303.362 1.521 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCc3ccccc3N2)n1 ZINC001218528248 877538992 /nfs/dbraw/zinc/53/89/92/877538992.db2.gz RADMIYMLIJDYRZ-GFCCVEGCSA-N -1 1 314.345 1.952 20 0 DDADMM COC(=O)c1cc(C)c(C(=O)[N-]c2nc3ccc(F)cn3n2)o1 ZINC001354328739 877893874 /nfs/dbraw/zinc/89/38/74/877893874.db2.gz QHWJVPXDNDROTC-UHFFFAOYSA-N -1 1 318.264 1.809 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(C=O)s2)n[n-]1 ZINC001300607475 877971920 /nfs/dbraw/zinc/97/19/20/877971920.db2.gz GQZSDSNOVFNZHX-ZETCQYMHSA-N -1 1 322.346 1.346 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(C=O)s2)[n-]1 ZINC001300607475 877971926 /nfs/dbraw/zinc/97/19/26/877971926.db2.gz GQZSDSNOVFNZHX-ZETCQYMHSA-N -1 1 322.346 1.346 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(C=O)s2)n1 ZINC001300607475 877971930 /nfs/dbraw/zinc/97/19/30/877971930.db2.gz GQZSDSNOVFNZHX-ZETCQYMHSA-N -1 1 322.346 1.346 20 0 DDADMM CCC(=O)N1CCC[C@@H]1CCCNC(=O)c1ncccc1[O-] ZINC001355376102 878526369 /nfs/dbraw/zinc/52/63/69/878526369.db2.gz PEASHCKHQGZOCY-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2cccc(CO)c2)cc1 ZINC000314486443 878692940 /nfs/dbraw/zinc/69/29/40/878692940.db2.gz ATHJXZVKWRXGEA-UHFFFAOYSA-N -1 1 320.370 1.339 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)CCC[C@H]1OCc1nn[n-]n1 ZINC001223029582 880791353 /nfs/dbraw/zinc/79/13/53/880791353.db2.gz NBIPAIQBULVWTB-GHMZBOCLSA-N -1 1 311.386 1.752 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)c2ccc(F)c([O-])c2)CCS1(=O)=O ZINC001362237070 883376675 /nfs/dbraw/zinc/37/66/75/883376675.db2.gz UCFBNNMFLPWQMY-DTWKUNHWSA-N -1 1 301.339 1.179 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001382156104 883534312 /nfs/dbraw/zinc/53/43/12/883534312.db2.gz ZOXPJESKKRQBPY-MNOVXSKESA-N -1 1 309.414 1.273 20 0 DDADMM O=C(NCCc1nnc2n1CCCC2)c1cccc([O-])c1F ZINC001362343717 883609950 /nfs/dbraw/zinc/60/99/50/883609950.db2.gz ZLYGHBMZOMJGHD-UHFFFAOYSA-N -1 1 304.325 1.432 20 0 DDADMM O=C(CNC(=O)c1ccc(C(F)(F)F)c([O-])c1)NCC1CC1 ZINC001362392735 883705737 /nfs/dbraw/zinc/70/57/37/883705737.db2.gz HFDKOFIBDBVAKG-UHFFFAOYSA-N -1 1 316.279 1.667 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](F)Cc1ccccc1)c1nn[n-]n1 ZINC001362427880 883785747 /nfs/dbraw/zinc/78/57/47/883785747.db2.gz TWYZJVFVIVQGRK-LBPRGKRZSA-N -1 1 305.357 1.912 20 0 DDADMM COc1ccc2c(c1)OC[C@@H](NC(=O)c1ccc([O-])cn1)C2 ZINC001362428337 883787743 /nfs/dbraw/zinc/78/77/43/883787743.db2.gz CQAQDYIFHSEZJK-NSHDSACASA-N -1 1 300.314 1.529 20 0 DDADMM CSc1ncc(C(=O)NCCc2nc(C)c(C)s2)c(=O)[n-]1 ZINC001362443782 883823719 /nfs/dbraw/zinc/82/37/19/883823719.db2.gz XQNVXLIMDCAENR-UHFFFAOYSA-N -1 1 324.431 1.950 20 0 DDADMM Cc1nn(C(C)(C)C)cc1[C@H](C)NC(=O)c1cnncc1O ZINC001362455253 883849262 /nfs/dbraw/zinc/84/92/62/883849262.db2.gz POYTZAVYVAWLMF-VIFPVBQESA-N -1 1 303.366 1.933 20 0 DDADMM Cc1nn(C(C)(C)C)cc1[C@H](C)NC(=O)c1cnncc1[O-] ZINC001362455253 883849281 /nfs/dbraw/zinc/84/92/81/883849281.db2.gz POYTZAVYVAWLMF-VIFPVBQESA-N -1 1 303.366 1.933 20 0 DDADMM CSc1ncc(C(=O)N(C)Cc2ccc(O)cc2)c(=O)[n-]1 ZINC001362462478 883868532 /nfs/dbraw/zinc/86/85/32/883868532.db2.gz IJFNRDCGNAJMCC-UHFFFAOYSA-N -1 1 305.359 1.882 20 0 DDADMM COc1cccc2nc(=O)[n-]c(OC(=O)CCC[C@@H](C)O)c21 ZINC001229237765 883887090 /nfs/dbraw/zinc/88/70/90/883887090.db2.gz ARKCRPSIFCSJER-SECBINFHSA-N -1 1 306.318 1.801 20 0 DDADMM CCC(=O)c1ccc([O-])cc1OC[C@H](COC(C)=O)OC(C)=O ZINC001229474958 884003929 /nfs/dbraw/zinc/00/39/29/884003929.db2.gz NNRPDVRAIHAKFO-ZDUSSCGKSA-N -1 1 324.329 1.859 20 0 DDADMM O=C1[N-]C(=O)[C@@H](Cc2ccc(OC[C@H]3COCO3)cc2)S1 ZINC001229623501 884082349 /nfs/dbraw/zinc/08/23/49/884082349.db2.gz JNWIFGMFUNNWLC-VXGBXAGGSA-N -1 1 309.343 1.332 20 0 DDADMM C[C@@H]1OCC[C@@]12CN(C(=O)c1ccc(F)c([O-])c1)C[C@@H](C)O2 ZINC001362621457 884244236 /nfs/dbraw/zinc/24/42/36/884244236.db2.gz PRIXDTAZINMJPR-OHUAYANFSA-N -1 1 309.337 1.940 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1CCCCCC(=O)C1)c1nn[n-]n1 ZINC001362622461 884247485 /nfs/dbraw/zinc/24/74/85/884247485.db2.gz BULWVVPGDVHGJE-NSHDSACASA-N -1 1 307.398 1.871 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc([O-])c(F)c1)c1ccc(O)cc1 ZINC001362629612 884264642 /nfs/dbraw/zinc/26/46/42/884264642.db2.gz VRMOPRSQNHUWIZ-AWEZNQCLSA-N -1 1 319.288 1.881 20 0 DDADMM O=C(c1cnc(C2CC2)o1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001362702102 884444476 /nfs/dbraw/zinc/44/44/76/884444476.db2.gz BMIKEBJFBWNPAC-SECBINFHSA-N -1 1 304.306 1.660 20 0 DDADMM CC(=O)Nc1ccc(CNC(=O)c2cccc([O-])c2F)cn1 ZINC001362747452 884539773 /nfs/dbraw/zinc/53/97/73/884539773.db2.gz HPULVLHQVDVAPN-UHFFFAOYSA-N -1 1 303.293 1.815 20 0 DDADMM CCCc1nc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)co1 ZINC001362810362 884695237 /nfs/dbraw/zinc/69/52/37/884695237.db2.gz SWIYJVCVCGDBGM-UHFFFAOYSA-N -1 1 318.333 1.125 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1cc(C)co1 ZINC001362887315 884889903 /nfs/dbraw/zinc/88/99/03/884889903.db2.gz HMDNCYYRFLOHOJ-JTQLQIEISA-N -1 1 318.333 1.860 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1cc(C)co1 ZINC001362887315 884889912 /nfs/dbraw/zinc/88/99/12/884889912.db2.gz HMDNCYYRFLOHOJ-JTQLQIEISA-N -1 1 318.333 1.860 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1cc(C)co1 ZINC001362887315 884889925 /nfs/dbraw/zinc/88/99/25/884889925.db2.gz HMDNCYYRFLOHOJ-JTQLQIEISA-N -1 1 318.333 1.860 20 0 DDADMM CSc1ncc(C(=O)N2CCOC[C@@H]2CC2CC2)c(=O)[n-]1 ZINC001362953483 885072409 /nfs/dbraw/zinc/07/24/09/885072409.db2.gz CJQUTJCTKDFYOT-JTQLQIEISA-N -1 1 309.391 1.545 20 0 DDADMM CCC(=O)NCCCN(C)Cc1nc(=O)c2sccc2[n-]1 ZINC001230968541 885101600 /nfs/dbraw/zinc/10/16/00/885101600.db2.gz BWPZKIRFHZADSN-UHFFFAOYSA-N -1 1 308.407 1.745 20 0 DDADMM CCc1nocc1C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC001363036443 885289583 /nfs/dbraw/zinc/28/95/83/885289583.db2.gz DKFCRKBSFDJEEU-NSHDSACASA-N -1 1 318.333 1.719 20 0 DDADMM O=C(CNCC(F)(F)F)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001363058295 885356292 /nfs/dbraw/zinc/35/62/92/885356292.db2.gz IMQJFVWLKSATIT-UHFFFAOYSA-N -1 1 314.267 1.668 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1C[C@H]1c1ccc2c(c1)OCCO2 ZINC001363127715 885533896 /nfs/dbraw/zinc/53/38/96/885533896.db2.gz NDBYMDPPEKFBMY-UWVGGRQHSA-N -1 1 301.302 1.629 20 0 DDADMM CC(=O)N(CCc1ccccc1)CC(=O)Nc1c[n-][nH]c1=O ZINC001363131052 885541228 /nfs/dbraw/zinc/54/12/28/885541228.db2.gz ZVLKWJKJDISBSA-UHFFFAOYSA-N -1 1 302.334 1.145 20 0 DDADMM O=C(c1ccc([O-])cn1)N1C[C@@H](O)[C@H](Oc2ccccc2)C1 ZINC001363132998 885547348 /nfs/dbraw/zinc/54/73/48/885547348.db2.gz YNISEZKIMHHCID-HUUCEWRRSA-N -1 1 300.314 1.052 20 0 DDADMM CCC(CC)(NC(=O)CCOc1cccc(F)c1)c1nn[n-]n1 ZINC001363134026 885548787 /nfs/dbraw/zinc/54/87/87/885548787.db2.gz DEIOZLFBDMJYML-UHFFFAOYSA-N -1 1 321.356 1.939 20 0 DDADMM CCC(CC)(NC(=O)COCc1ccccc1C)c1nn[n-]n1 ZINC001363137717 885557081 /nfs/dbraw/zinc/55/70/81/885557081.db2.gz SJPBEWDDIMNWPD-UHFFFAOYSA-N -1 1 317.393 1.856 20 0 DDADMM CSc1nc(CNC(=O)c2ccc(F)c(O)c2)cc(=O)[n-]1 ZINC001363140411 885564413 /nfs/dbraw/zinc/56/44/13/885564413.db2.gz KVTUNCXPHNBDSZ-UHFFFAOYSA-N -1 1 309.322 1.679 20 0 DDADMM O=C([O-])c1ccc(F)c(CN2CCC3(CNC(=O)N3)CC2)c1 ZINC001231460770 885682093 /nfs/dbraw/zinc/68/20/93/885682093.db2.gz OHPMRJYQEWVXFJ-UHFFFAOYSA-N -1 1 307.325 1.171 20 0 DDADMM CNC(=O)c1cnc2n1CCN(Cc1ccc(Cl)c([O-])c1)C2 ZINC001231731240 885839390 /nfs/dbraw/zinc/83/93/90/885839390.db2.gz OOFZWTZHQKMQFE-UHFFFAOYSA-N -1 1 320.780 1.618 20 0 DDADMM COC(=O)C[C@](C)(NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC001363265388 885857007 /nfs/dbraw/zinc/85/70/07/885857007.db2.gz FHMVULCTTXRMTA-INIZCTEOSA-N -1 1 319.361 1.521 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cscc1Cl ZINC001363311727 885986505 /nfs/dbraw/zinc/98/65/05/885986505.db2.gz NTGZNFZXRMYBTK-UHFFFAOYSA-N -1 1 311.750 1.871 20 0 DDADMM O=C(N[C@H](CO)[C@H](O)C1CCCCC1)c1cc(F)ccc1[O-] ZINC001363366883 886145450 /nfs/dbraw/zinc/14/54/50/886145450.db2.gz VQUOQFKTXBHESA-UKRRQHHQSA-N -1 1 311.353 1.563 20 0 DDADMM O=C(C[C@H]1CCC2(CCC2)CO1)NC1(c2nn[n-]n2)CCC1 ZINC001363376082 886170214 /nfs/dbraw/zinc/17/02/14/886170214.db2.gz LFCKFHDTGYJMLW-LLVKDONJSA-N -1 1 305.382 1.435 20 0 DDADMM CC(C)CNC(=O)c1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001363460946 886401174 /nfs/dbraw/zinc/40/11/74/886401174.db2.gz AZVFTWSVOOHDDC-UHFFFAOYSA-N -1 1 316.365 1.157 20 0 DDADMM COc1nc(C)ccc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001363521192 886544980 /nfs/dbraw/zinc/54/49/80/886544980.db2.gz DOJOJRHQFJHUKE-JTQLQIEISA-N -1 1 322.394 1.136 20 0 DDADMM COC[C@@H](NC(=O)C1CC(C)(C)CC(C)(C)C1)c1nn[n-]n1 ZINC001363586213 886699148 /nfs/dbraw/zinc/69/91/48/886699148.db2.gz JDBIERSOPYCMPB-LLVKDONJSA-N -1 1 309.414 1.856 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CCN2CC(F)(F)C[C@H]2C1 ZINC001233039218 886749138 /nfs/dbraw/zinc/74/91/38/886749138.db2.gz QAUUPXULNWKZQI-JTQLQIEISA-N -1 1 303.740 1.966 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2ocnc2C2CC2)[n-]c1=O ZINC001363617687 886778179 /nfs/dbraw/zinc/77/81/79/886778179.db2.gz RKPAZWFJKIAVCY-UHFFFAOYSA-N -1 1 318.333 1.721 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Cc2cccc(O)c2)[n-]c1=O ZINC001363634703 886822999 /nfs/dbraw/zinc/82/29/99/886822999.db2.gz UYCDUNGYAIZJMJ-UHFFFAOYSA-N -1 1 317.345 1.490 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)CCc2cc(C)on2)[n-]c1=O ZINC001363635281 886831184 /nfs/dbraw/zinc/83/11/84/886831184.db2.gz ZRUOOSWOWSNZJM-UHFFFAOYSA-N -1 1 320.349 1.471 20 0 DDADMM COc1cnc(C2(NC(=O)Cc3ncc[nH]3)CCCC2)[n-]c1=O ZINC001363657456 886902846 /nfs/dbraw/zinc/90/28/46/886902846.db2.gz RGCNVFUVKDYWQN-UHFFFAOYSA-N -1 1 317.349 1.042 20 0 DDADMM CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1[C@@H](C(=O)Nc1c[n-][nH]c1=O)C2 ZINC001363708806 887035204 /nfs/dbraw/zinc/03/52/04/887035204.db2.gz PTZICCGBOVKREW-YWVKMMECSA-N -1 1 322.365 1.842 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@H]1CCc2ccccc2O1 ZINC001233756213 887281963 /nfs/dbraw/zinc/28/19/63/887281963.db2.gz IUTOPRQAXNKBOB-LLVKDONJSA-N -1 1 316.313 1.741 20 0 DDADMM CC[C@H](C)C(=O)NCCN(CCOC)C(=O)c1ncccc1[O-] ZINC001384387403 887374771 /nfs/dbraw/zinc/37/47/71/887374771.db2.gz JREDTOIIUFYXHZ-LBPRGKRZSA-N -1 1 323.393 1.038 20 0 DDADMM CC[C@@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)[C@@H]1C ZINC001363988009 887675009 /nfs/dbraw/zinc/67/50/09/887675009.db2.gz JSTVPWVNPLXNBL-RKDXNWHRSA-N -1 1 301.368 1.005 20 0 DDADMM CC[C@@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)[C@@H]1C ZINC001363988009 887675017 /nfs/dbraw/zinc/67/50/17/887675017.db2.gz JSTVPWVNPLXNBL-RKDXNWHRSA-N -1 1 301.368 1.005 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H](CC(C)C)OC)sn1 ZINC001363995170 887690208 /nfs/dbraw/zinc/69/02/08/887690208.db2.gz GQFMQWCHCZSGIM-VIFPVBQESA-N -1 1 308.425 1.491 20 0 DDADMM COC[C@H](NC(=O)Cc1ccc(F)cc1Cl)c1nn[n-]n1 ZINC001364038089 887773291 /nfs/dbraw/zinc/77/32/91/887773291.db2.gz VOABMYDVYOAPNG-JTQLQIEISA-N -1 1 313.720 1.039 20 0 DDADMM CSc1ncc(C(=O)N2Cc3ccc(O)cc3C2)c(=O)[n-]1 ZINC001364066129 887838329 /nfs/dbraw/zinc/83/83/29/887838329.db2.gz IPTWPGMEEZTDJX-UHFFFAOYSA-N -1 1 303.343 1.766 20 0 DDADMM O=C(COc1cccc(N2CCCC2=O)c1)Nc1c[n-][nH]c1=O ZINC001364092183 887899379 /nfs/dbraw/zinc/89/93/79/887899379.db2.gz LKJGEAAFKIOEFH-UHFFFAOYSA-N -1 1 316.317 1.260 20 0 DDADMM CC(C)C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001384704216 887921023 /nfs/dbraw/zinc/92/10/23/887921023.db2.gz RNXSZMVKJUYBAM-CHWSQXEVSA-N -1 1 319.405 1.658 20 0 DDADMM Cc1nc(C(N)=O)c(OC[C@@H]2CC[C@]3(CCCCO3)O2)c(=O)[n-]1 ZINC001234469969 888000707 /nfs/dbraw/zinc/00/07/07/888000707.db2.gz MIEZLBUVIVPJQR-BONVTDFDSA-N -1 1 323.349 1.044 20 0 DDADMM COC(=O)C[C@H]1CCC[C@H](Oc2c(C(N)=O)nc(C)[n-]c2=O)C1 ZINC001234470627 888004663 /nfs/dbraw/zinc/00/46/63/888004663.db2.gz WFJLODGYADDUDX-UWVGGRQHSA-N -1 1 323.349 1.090 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1CC[C@H](C(C)=O)CC1 ZINC001364140768 888011403 /nfs/dbraw/zinc/01/14/03/888011403.db2.gz WAXCKIGBSDERGS-MGCOHNPYSA-N -1 1 318.420 1.578 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H]1CCc2cccnc21 ZINC001234565354 888105907 /nfs/dbraw/zinc/10/59/07/888105907.db2.gz WOZHAVXQITXJKR-SNVBAGLBSA-N -1 1 301.302 1.739 20 0 DDADMM CC[C@@](O)(CCC(F)(F)F)CNC(=O)CCCc1nn[n-]n1 ZINC001364362922 888459511 /nfs/dbraw/zinc/45/95/11/888459511.db2.gz NZGIIPNXSXTHMM-LLVKDONJSA-N -1 1 323.319 1.122 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)NCCC(F)F ZINC001364450253 888667314 /nfs/dbraw/zinc/66/73/14/888667314.db2.gz CIQTVQFJMSRSKS-UHFFFAOYSA-N -1 1 323.303 1.262 20 0 DDADMM C[C@H]1CCCc2ncc(C(=O)NC3(c4nn[n-]n4)CCC3)n21 ZINC001364663292 889149608 /nfs/dbraw/zinc/14/96/08/889149608.db2.gz NLEXJTCIRVHTSS-VIFPVBQESA-N -1 1 301.354 1.103 20 0 DDADMM Cc1ccccc1C(=O)C[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC001364901395 889637377 /nfs/dbraw/zinc/63/73/77/889637377.db2.gz OQKIMACISHUIRN-UHFFFAOYSA-N -1 1 313.766 1.533 20 0 DDADMM O=S(=O)([N-]CCc1ccns1)c1cc(F)ccc1F ZINC001364912776 889656766 /nfs/dbraw/zinc/65/67/66/889656766.db2.gz HKUIECCPTGJELN-UHFFFAOYSA-N -1 1 304.343 1.942 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@]23C[C@H]2CCCC3)[n-]n1 ZINC001364967572 889778728 /nfs/dbraw/zinc/77/87/28/889778728.db2.gz YDCKLVAACVTCOS-RNCFNFMXSA-N -1 1 313.379 1.055 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@]23C[C@H]2CCCC3)n[n-]1 ZINC001364967572 889778743 /nfs/dbraw/zinc/77/87/43/889778743.db2.gz YDCKLVAACVTCOS-RNCFNFMXSA-N -1 1 313.379 1.055 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1cc2cc(F)ccc2o1)C(N)=O ZINC001364983225 889814970 /nfs/dbraw/zinc/81/49/70/889814970.db2.gz NTXYNYVBGQOSAC-ZDUSSCGKSA-N -1 1 314.338 1.504 20 0 DDADMM Cc1ccc(CN2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)nn1 ZINC001364987989 889827382 /nfs/dbraw/zinc/82/73/82/889827382.db2.gz RDTKERLUUGRNQH-JQWIXIFHSA-N -1 1 316.327 1.816 20 0 DDADMM O=S(=O)([N-]Cc1cc[nH]n1)c1ncccc1Br ZINC001365034169 889942795 /nfs/dbraw/zinc/94/27/95/889942795.db2.gz SHJBBUYKARBTKZ-UHFFFAOYSA-N -1 1 317.168 1.046 20 0 DDADMM O=C(CC(F)(F)F)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001385989901 890116160 /nfs/dbraw/zinc/11/61/60/890116160.db2.gz AMIDLEMMJMBOCR-OCAPTIKFSA-N -1 1 317.267 1.117 20 0 DDADMM CCN(CCC(N)=O)Cc1c(Br)ccc([O-])c1F ZINC001238308385 890163325 /nfs/dbraw/zinc/16/33/25/890163325.db2.gz ZSVQTSDVWBQNOX-UHFFFAOYSA-N -1 1 319.174 1.991 20 0 DDADMM Cc1nn(-c2ccccc2)c(C)c1NC(=O)CCc1nn[n-]n1 ZINC001290426960 913430829 /nfs/dbraw/zinc/43/08/29/913430829.db2.gz QMZUGBVIPZKSOE-UHFFFAOYSA-N -1 1 311.349 1.574 20 0 DDADMM COc1ccc(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cn1 ZINC001290451251 913433641 /nfs/dbraw/zinc/43/36/41/913433641.db2.gz ZXOKGTGUTKVWMC-UHFFFAOYSA-N -1 1 306.347 1.113 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3ccc4nonc4c3)ccnc1-2 ZINC001365198184 890320735 /nfs/dbraw/zinc/32/07/35/890320735.db2.gz JYGNLDNSVVXEBQ-UHFFFAOYSA-N -1 1 323.316 1.200 20 0 DDADMM C[C@@H]1CCC[C@@H](CNC(=O)Nc2nn[nH]c2C(=O)NC2CC2)C1 ZINC001365300356 890540829 /nfs/dbraw/zinc/54/08/29/890540829.db2.gz JMYGZJAEWSOHEC-NXEZZACHSA-N -1 1 320.397 1.645 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(C)CCCCC1 ZINC001386247055 890639833 /nfs/dbraw/zinc/63/98/33/890639833.db2.gz ZVMQEIBGIZXDMG-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1cc2c(c(-c3cnc4c(c3)OCCN4C)n1)C(=O)[N-]C2=O ZINC001239603876 890688929 /nfs/dbraw/zinc/68/89/29/890688929.db2.gz XHJSJGIAYGGGQP-UHFFFAOYSA-N -1 1 310.313 1.164 20 0 DDADMM CCN(CCC1CC1)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365390624 890714559 /nfs/dbraw/zinc/71/45/59/890714559.db2.gz QPQSDVCUJOXVQX-UHFFFAOYSA-N -1 1 301.368 1.007 20 0 DDADMM CCN(CCC1CC1)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365390624 890714562 /nfs/dbraw/zinc/71/45/62/890714562.db2.gz QPQSDVCUJOXVQX-UHFFFAOYSA-N -1 1 301.368 1.007 20 0 DDADMM COc1ccc(OC)c(-c2ccc(-c3nnn[n-]3)nc2)c1OC ZINC001239879161 890770600 /nfs/dbraw/zinc/77/06/00/890770600.db2.gz YQLFJEBNLPVLQN-UHFFFAOYSA-N -1 1 313.317 1.955 20 0 DDADMM COc1ccc(OC)c(-c2ccc(-c3nn[n-]n3)nc2)c1OC ZINC001239879161 890770610 /nfs/dbraw/zinc/77/06/10/890770610.db2.gz YQLFJEBNLPVLQN-UHFFFAOYSA-N -1 1 313.317 1.955 20 0 DDADMM CN1CCN(c2cc(-c3ccc(F)c(C(=O)[O-])c3)ncn2)CC1 ZINC001241244682 891137230 /nfs/dbraw/zinc/13/72/30/891137230.db2.gz VPLYWPATIBYGMW-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)Cc2cccnc2)c1 ZINC001365620307 891174690 /nfs/dbraw/zinc/17/46/90/891174690.db2.gz MZPLFQRRRBNODK-UHFFFAOYSA-N -1 1 308.359 1.617 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@](C)(OC)C1CC1 ZINC001365708561 891375209 /nfs/dbraw/zinc/37/52/09/891375209.db2.gz SRZCYNMBUXYXIQ-NSHDSACASA-N -1 1 306.409 1.245 20 0 DDADMM CC[C@H](C)C[C@H](CO)[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001365716742 891386818 /nfs/dbraw/zinc/38/68/18/891386818.db2.gz FBANYCQVNHJAEL-DTWKUNHWSA-N -1 1 308.425 1.227 20 0 DDADMM CCC[C@@H](C)[C@H](CO)[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001365719352 891392423 /nfs/dbraw/zinc/39/24/23/891392423.db2.gz OXSAGOQSLSYUKK-BDAKNGLRSA-N -1 1 308.425 1.227 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(C)(C1CC1)C1CC1 ZINC001365723098 891399944 /nfs/dbraw/zinc/39/99/44/891399944.db2.gz XCJGDOUSKRJRSF-UHFFFAOYSA-N -1 1 313.379 1.053 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(CC2CC2)CC1 ZINC001365729895 891409058 /nfs/dbraw/zinc/40/90/58/891409058.db2.gz GAVIBHMZJVLJHD-UHFFFAOYSA-N -1 1 313.379 1.055 20 0 DDADMM CC[C@@]1(C)C[C@@H]1C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001386849182 891851844 /nfs/dbraw/zinc/85/18/44/891851844.db2.gz CSDOWPLMSWUKHG-BZNIZROVSA-N -1 1 305.378 1.412 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)c1cccc(F)c1 ZINC001386849110 891851929 /nfs/dbraw/zinc/85/19/29/891851929.db2.gz BXDRPKOBMGBMHJ-UHFFFAOYSA-N -1 1 317.320 1.428 20 0 DDADMM CN1CCN(c2cccc(-c3cc(C(=O)[O-])cnc3F)n2)CC1 ZINC001244757919 891907455 /nfs/dbraw/zinc/90/74/55/891907455.db2.gz FOYMXOSFLNTONG-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM COc1ncc(-c2cc3c(nn2)CCC3)cc1[N-]S(C)(=O)=O ZINC001244791436 891925789 /nfs/dbraw/zinc/92/57/89/891925789.db2.gz QPWWUUBATKXYIE-UHFFFAOYSA-N -1 1 320.374 1.407 20 0 DDADMM COc1ncc(-c2ccn3cnnc3c2)cc1[N-]S(C)(=O)=O ZINC001244792917 891929455 /nfs/dbraw/zinc/92/94/55/891929455.db2.gz WFLFTHIWZBTIDM-UHFFFAOYSA-N -1 1 319.346 1.171 20 0 DDADMM COc1ncc(-c2cccnc2N(C)C)cc1[N-]S(C)(=O)=O ZINC001244794381 891929499 /nfs/dbraw/zinc/92/94/99/891929499.db2.gz SYINIKDQZQCKEP-UHFFFAOYSA-N -1 1 322.390 1.590 20 0 DDADMM CC1(C)CC(CC(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001366056684 892347977 /nfs/dbraw/zinc/34/79/77/892347977.db2.gz VAXQYILCNPPULN-GFCCVEGCSA-N -1 1 321.425 1.321 20 0 DDADMM COc1cc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)ccc1N ZINC001249719214 894112730 /nfs/dbraw/zinc/11/27/30/894112730.db2.gz GMXRZDLZSPAOOJ-UHFFFAOYSA-N -1 1 304.310 1.981 20 0 DDADMM O=C1Cc2cccc([N-]S(=O)(=O)c3ccccc3)c2CN1 ZINC001251151474 894646925 /nfs/dbraw/zinc/64/69/25/894646925.db2.gz ADAUZFIGTOOEGM-UHFFFAOYSA-N -1 1 302.355 1.660 20 0 DDADMM CCC(C)(C)C(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001388621899 895561999 /nfs/dbraw/zinc/56/19/99/895561999.db2.gz CPGFFMBRGXPTPB-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM O=S(=O)(CC(F)(F)F)[N-]c1ccnn1CC(F)(F)F ZINC001253123413 895648282 /nfs/dbraw/zinc/64/82/82/895648282.db2.gz DROYYWPCTVDGJP-UHFFFAOYSA-N -1 1 311.207 1.749 20 0 DDADMM O=c1nc2nc[nH]c2c(NC[C@H](O)c2ccc(F)c(F)c2)[n-]1 ZINC001253380565 895806144 /nfs/dbraw/zinc/80/61/44/895806144.db2.gz PURQHQCUJRSVAJ-VIFPVBQESA-N -1 1 307.260 1.434 20 0 DDADMM CS[C@@H](C)CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001374822869 914041803 /nfs/dbraw/zinc/04/18/03/914041803.db2.gz CKSODGVDUUXVCP-JTQLQIEISA-N -1 1 323.418 1.117 20 0 DDADMM CCCC(=O)NC[C@H]1CCC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001389031792 896381990 /nfs/dbraw/zinc/38/19/90/896381990.db2.gz GJEYQWBLOUFTPJ-NEPJUHHUSA-N -1 1 305.378 1.602 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)CN(C)CCC(F)(F)F)c1[O-] ZINC001367792202 897813444 /nfs/dbraw/zinc/81/34/44/897813444.db2.gz DULOUASLUHCLAY-SSDOTTSWSA-N -1 1 308.304 1.426 20 0 DDADMM CC[C@@H](C)CC(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001389705600 897828858 /nfs/dbraw/zinc/82/88/58/897828858.db2.gz ARISNQFXYTYJJV-OLZOCXBDSA-N -1 1 323.441 1.617 20 0 DDADMM Cc1nc(CC[N-]S(=O)(=O)c2ccc(F)c(F)c2F)no1 ZINC001259080189 898456494 /nfs/dbraw/zinc/45/64/94/898456494.db2.gz HHUBJUYTGXNCHG-UHFFFAOYSA-N -1 1 321.280 1.316 20 0 DDADMM CC1(C)C[C@H](C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)O1 ZINC001259081219 898456516 /nfs/dbraw/zinc/45/65/16/898456516.db2.gz GKJPXPRVYIMRIC-SSDOTTSWSA-N -1 1 309.309 1.950 20 0 DDADMM O=S(=O)(Cc1ccc(F)cc1)[N-]c1cn(CCF)cn1 ZINC001259247897 898546612 /nfs/dbraw/zinc/54/66/12/898546612.db2.gz IZYQEPIKTVLATP-UHFFFAOYSA-N -1 1 301.318 1.934 20 0 DDADMM COC(C)(C[N-]S(=O)(=O)c1cc(Cl)ccc1F)OC ZINC001259461478 898680132 /nfs/dbraw/zinc/68/01/32/898680132.db2.gz VODQNSPHNYPGJE-UHFFFAOYSA-N -1 1 311.762 1.766 20 0 DDADMM O=S(=O)([N-]c1ccc(-n2cncn2)cc1)c1cccnc1 ZINC001259607204 898735146 /nfs/dbraw/zinc/73/51/46/898735146.db2.gz MTGBYYIDRBECDO-UHFFFAOYSA-N -1 1 301.331 1.463 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C(C)C ZINC001259874269 898850480 /nfs/dbraw/zinc/85/04/80/898850480.db2.gz DQDOZHDJRITUDD-QMMMGPOBSA-N -1 1 305.318 1.446 20 0 DDADMM O=S(=O)([N-]c1ncncc1Cl)c1ccc2c(c1)OCO2 ZINC001259843462 898858406 /nfs/dbraw/zinc/85/84/06/898858406.db2.gz BSSBHDQGYRFTCL-UHFFFAOYSA-N -1 1 313.722 1.660 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@]2([N-]S(=O)(=O)C(F)F)C1 ZINC001259960058 898980952 /nfs/dbraw/zinc/98/09/52/898980952.db2.gz AZDLPUHFAUVKEX-CPCISQLKSA-N -1 1 312.338 1.138 20 0 DDADMM O=S(=O)([N-]Cc1cccc2c1OC(F)(F)O2)C(F)F ZINC001259962349 898983902 /nfs/dbraw/zinc/98/39/02/898983902.db2.gz HBWCMPBXNIDQOB-UHFFFAOYSA-N -1 1 301.217 1.650 20 0 DDADMM O=S(=O)([N-]C1CC(CO)C1)c1cc(Cl)c(F)cc1F ZINC001260206719 899087064 /nfs/dbraw/zinc/08/70/64/899087064.db2.gz ZEZXEUNQJPGNHQ-UHFFFAOYSA-N -1 1 311.737 1.667 20 0 DDADMM CN1CC[C@@H]([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)C1=O ZINC001260205419 899088525 /nfs/dbraw/zinc/08/85/25/899088525.db2.gz ICJVALQPEFVNFN-SECBINFHSA-N -1 1 324.736 1.127 20 0 DDADMM C[C@@]1(O)C[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)C1 ZINC001260288431 899111468 /nfs/dbraw/zinc/11/14/68/899111468.db2.gz PJFHOKGHKBOEGJ-JCJUMFQOSA-N -1 1 311.737 1.810 20 0 DDADMM Cc1ccc(S(=O)(=O)NCCCC[P@](=O)([O-])O)s1 ZINC001260686765 899217931 /nfs/dbraw/zinc/21/79/31/899217931.db2.gz VKTUXFDWNYKSRM-UHFFFAOYSA-N -1 1 313.337 1.293 20 0 DDADMM Cc1cc(C(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)c(C)s1 ZINC001390434696 899396332 /nfs/dbraw/zinc/39/63/32/899396332.db2.gz IEBAGYCKWFEZHT-ZETCQYMHSA-N -1 1 309.395 1.097 20 0 DDADMM CC[C@H](C)CC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001374930690 914374435 /nfs/dbraw/zinc/37/44/35/914374435.db2.gz KKBZEKPLFMECAB-AVGNSLFASA-N -1 1 317.389 1.649 20 0 DDADMM CCOP(=O)(CCCN1C[C@@H](C)O[C@H](C(=O)[O-])C1)OCC ZINC001262158462 899992061 /nfs/dbraw/zinc/99/20/61/899992061.db2.gz LMNLMLQRIQKCBT-NEPJUHHUSA-N -1 1 323.326 1.817 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC001262286759 900073941 /nfs/dbraw/zinc/07/39/41/900073941.db2.gz LWBRZCGMKOCSHW-WDAIWFPHSA-N -1 1 324.327 1.244 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)cn1 ZINC001263911195 900814713 /nfs/dbraw/zinc/81/47/13/900814713.db2.gz CWOYOXNJLXSIEG-PSKZRQQASA-N -1 1 312.377 1.398 20 0 DDADMM CCCC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1C[C@@H]1C ZINC001370062825 902476688 /nfs/dbraw/zinc/47/66/88/902476688.db2.gz YCYRAUADXQRCHJ-AVGNSLFASA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@H]1CCCC12CC2)NCc1n[nH]c(=O)[n-]1 ZINC001392236256 903611660 /nfs/dbraw/zinc/61/16/60/903611660.db2.gz ZRJKABMSXFLJGF-NEPJUHHUSA-N -1 1 321.425 1.321 20 0 DDADMM CC[C@@H](OC)C(=O)NCCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001280813826 904075231 /nfs/dbraw/zinc/07/52/31/904075231.db2.gz YAPFQGHMQPUTIR-DGCLKSJQSA-N -1 1 323.393 1.227 20 0 DDADMM CC(C)[C@@H](F)C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001371672544 905467496 /nfs/dbraw/zinc/46/74/96/905467496.db2.gz UGLPQHDZJBUHDJ-NTZNESFSSA-N -1 1 323.368 1.406 20 0 DDADMM O=C(CCCF)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001371939291 906052580 /nfs/dbraw/zinc/05/25/80/906052580.db2.gz SUOWAWGLVQPYPS-WOPDTQHZSA-N -1 1 321.352 1.399 20 0 DDADMM C[S@@](=O)CCN1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001414118045 906733032 /nfs/dbraw/zinc/73/30/32/906733032.db2.gz KWCCYQNYRUJMPU-OAQYLSRUSA-N -1 1 318.389 1.167 20 0 DDADMM CC/C(C)=C/C(=O)N(CC)CCNC(=O)c1ncccc1[O-] ZINC001284608429 909248357 /nfs/dbraw/zinc/24/83/57/909248357.db2.gz PEEJRDSZSKVLHD-VAWYXSNFSA-N -1 1 305.378 1.722 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C[C@H]1C=CCC1 ZINC001285653559 910979224 /nfs/dbraw/zinc/97/92/24/910979224.db2.gz AQBRKRBUWQVOEA-STQMWFEESA-N -1 1 317.389 1.720 20 0 DDADMM CCCC(=O)N[C@@]1(CNCc2n[nH]c(=O)[n-]2)CCCC[C@@H]1C ZINC001373897136 911301568 /nfs/dbraw/zinc/30/15/68/911301568.db2.gz MDGCANSMNXWKJK-XHDPSFHLSA-N -1 1 309.414 1.465 20 0 DDADMM O=C(c1ccc(-c2cn[nH]c2)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001295410845 915765510 /nfs/dbraw/zinc/76/55/10/915765510.db2.gz IHSNFSQJZFRMNI-ZDUSSCGKSA-N -1 1 323.360 1.610 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1C[C@H]1C(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001397517855 916196894 /nfs/dbraw/zinc/19/68/94/916196894.db2.gz UGUDLTKCSBPLMI-QJPTWQEYSA-N -1 1 319.405 1.704 20 0 DDADMM CC(C)C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1C[C@H]1C ZINC001375833942 917083319 /nfs/dbraw/zinc/08/33/19/917083319.db2.gz CCKKUWIPTSTQGK-JHJVBQTASA-N -1 1 319.405 1.704 20 0 DDADMM CCC[C@@H](C)CC(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001376800165 919420742 /nfs/dbraw/zinc/42/07/42/919420742.db2.gz PNWJDXYVKBPIBU-VXGBXAGGSA-N -1 1 307.394 1.848 20 0 DDADMM COCC1(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)CCCCC1 ZINC000622869947 365548937 /nfs/dbraw/zinc/54/89/37/365548937.db2.gz MQVAOZWXUKKWGL-UHFFFAOYSA-N -1 1 319.365 1.261 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)c3ccccc3)nc2n1 ZINC000622993071 365585590 /nfs/dbraw/zinc/58/55/90/365585590.db2.gz AINYTGFCEKVTPJ-SNVBAGLBSA-N -1 1 311.345 1.722 20 0 DDADMM CCc1occc1C(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1 ZINC000622993605 365587410 /nfs/dbraw/zinc/58/74/10/365587410.db2.gz ULLOJZIDGRIKJC-UHFFFAOYSA-N -1 1 301.306 1.388 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)Cc3cccc(C)c3)nc2n1 ZINC000622995279 365588475 /nfs/dbraw/zinc/58/84/75/365588475.db2.gz WBEWXCJCACOSOQ-UHFFFAOYSA-N -1 1 311.345 1.470 20 0 DDADMM CCc1ccsc1C(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1 ZINC000622995348 365588513 /nfs/dbraw/zinc/58/85/13/365588513.db2.gz YIONQDGLOYZGLU-UHFFFAOYSA-N -1 1 317.374 1.856 20 0 DDADMM Cc1nn(-c2ccc(C(=O)Nc3nnn[n-]3)cc2)c(C)c1Cl ZINC000076963389 185061357 /nfs/dbraw/zinc/06/13/57/185061357.db2.gz ADINLJQOXQUVGZ-UHFFFAOYSA-N -1 1 317.740 1.908 20 0 DDADMM Cc1nn(-c2ccc(C(=O)Nc3nn[n-]n3)cc2)c(C)c1Cl ZINC000076963389 185061358 /nfs/dbraw/zinc/06/13/58/185061358.db2.gz ADINLJQOXQUVGZ-UHFFFAOYSA-N -1 1 317.740 1.908 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(Cc2ccsc2)C1 ZINC000623042239 365618842 /nfs/dbraw/zinc/61/88/42/365618842.db2.gz QXALEUTUUAZUKU-UHFFFAOYSA-N -1 1 321.358 1.273 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)n1cccn1 ZINC000614389517 361833161 /nfs/dbraw/zinc/83/31/61/361833161.db2.gz NXKXZSMOTFAOIX-SNVBAGLBSA-N -1 1 305.338 1.113 20 0 DDADMM C[C@]1(CO)CCC[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000305121349 230961375 /nfs/dbraw/zinc/96/13/75/230961375.db2.gz MQUSTAGOIHWNDX-QWHCGFSZSA-N -1 1 323.336 1.933 20 0 DDADMM C[C@H](CS(C)(=O)=O)[N-]S(=O)(=O)c1sccc1Cl ZINC000451336141 231070844 /nfs/dbraw/zinc/07/08/44/231070844.db2.gz DWQVGXODXDNURA-ZCFIWIBFSA-N -1 1 317.841 1.113 20 0 DDADMM COCC(COC)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451893226 231226603 /nfs/dbraw/zinc/22/66/03/231226603.db2.gz XCXVDFIJKSKNTG-UHFFFAOYSA-N -1 1 317.338 1.512 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)N(C)C[C@H](O)C1CC1)c2=O ZINC000134029338 539192174 /nfs/dbraw/zinc/19/21/74/539192174.db2.gz QYJPFWJCMODJNV-HNNXBMFYSA-N -1 1 316.357 1.792 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2cnn(C)c2)sc1C ZINC000329810288 231628745 /nfs/dbraw/zinc/62/87/45/231628745.db2.gz CHGBKCKPLSPUGE-UHFFFAOYSA-N -1 1 300.409 1.014 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](NC(=O)NC(C)(C)C)C(C)C ZINC000615225689 362203707 /nfs/dbraw/zinc/20/37/07/362203707.db2.gz FMWLRTOZZSJSBH-VIFPVBQESA-N -1 1 311.386 1.485 20 0 DDADMM CCc1nc([C@H](C)NC(=O)NC[C@@H](CC(C)C)C(=O)[O-])n[nH]1 ZINC000424427419 529746194 /nfs/dbraw/zinc/74/61/94/529746194.db2.gz VFIUFBFIGPQTMS-VHSXEESVSA-N -1 1 311.386 1.474 20 0 DDADMM CNC(=O)[C@H]1CCC[C@@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451360905 529925034 /nfs/dbraw/zinc/92/50/34/529925034.db2.gz NMHYIRRTVXVMTA-CBAPKCEASA-N -1 1 322.839 1.595 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CC2CCCCCC2)n[n-]1 ZINC000615919534 362486647 /nfs/dbraw/zinc/48/66/47/362486647.db2.gz GAARCPTUVYXYRX-UHFFFAOYSA-N -1 1 308.382 1.958 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CC2CCCCCC2)n1 ZINC000615919534 362486652 /nfs/dbraw/zinc/48/66/52/362486652.db2.gz GAARCPTUVYXYRX-UHFFFAOYSA-N -1 1 308.382 1.958 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)[C@@H](C)[C@H]2C)co1 ZINC000332637205 530025005 /nfs/dbraw/zinc/02/50/05/530025005.db2.gz AEZJXKSJWOJQGJ-IVZWLZJFSA-N -1 1 300.380 1.304 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H](C)CSC)c1 ZINC000292388140 530031794 /nfs/dbraw/zinc/03/17/94/530031794.db2.gz ZBAJODWAKRIHMU-QMMMGPOBSA-N -1 1 318.420 1.238 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCC(C)(C)O2)c1Br ZINC000616009057 362517239 /nfs/dbraw/zinc/51/72/39/362517239.db2.gz NCSINOWTBWMMEZ-SSDOTTSWSA-N -1 1 302.172 1.799 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2[C@H](C)CCC2(C)C)co1 ZINC000616164809 362570046 /nfs/dbraw/zinc/57/00/46/362570046.db2.gz IWUHCFZXWWOTOW-SECBINFHSA-N -1 1 300.380 1.591 20 0 DDADMM Cc1cnc(C(=O)N2CCN([C@@H](C)C(F)(F)F)CC2)c([O-])c1 ZINC000330887770 232139228 /nfs/dbraw/zinc/13/92/28/232139228.db2.gz TZBAFOWGBVNVLQ-JTQLQIEISA-N -1 1 317.311 1.804 20 0 DDADMM C[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(=O)OC(C)(C)C ZINC000358330298 299122536 /nfs/dbraw/zinc/12/25/36/299122536.db2.gz IVLQHZHIPZIRTN-QMMMGPOBSA-N -1 1 320.349 1.134 20 0 DDADMM CCC1(O)CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000358353052 299128421 /nfs/dbraw/zinc/12/84/21/299128421.db2.gz SKTVMDXAYCFIDK-UHFFFAOYSA-N -1 1 304.350 1.051 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCCSC2)co1 ZINC000286827813 219185589 /nfs/dbraw/zinc/18/55/89/219185589.db2.gz OJIPGYJKJKOLOB-VIFPVBQESA-N -1 1 318.420 1.061 20 0 DDADMM CC(C)(C)OC(=O)C[N-]S(=O)(=O)Cc1ccccc1F ZINC000115588215 185696122 /nfs/dbraw/zinc/69/61/22/185696122.db2.gz CTOSEIXZOHMJPJ-UHFFFAOYSA-N -1 1 303.355 1.587 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3CCc4[nH]cnc4C3)[nH][n-]2)o1 ZINC000616823792 362832046 /nfs/dbraw/zinc/83/20/46/362832046.db2.gz YPSJBGBLPKZWGL-SNVBAGLBSA-N -1 1 311.345 1.867 20 0 DDADMM O=C(NC[C@H]1CCC[C@H](CO)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000342578939 282580886 /nfs/dbraw/zinc/58/08/86/282580886.db2.gz CVJSMVNORCVCKS-RYUDHWBXSA-N -1 1 315.373 1.452 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-]CCOC(F)(F)F ZINC000353819504 290846682 /nfs/dbraw/zinc/84/66/82/290846682.db2.gz WEYXZHUWVIRTMG-UHFFFAOYSA-N -1 1 305.299 1.571 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2nccs2)c1 ZINC000152458479 186075598 /nfs/dbraw/zinc/07/55/98/186075598.db2.gz OTOYVOZTLHITEK-UHFFFAOYSA-N -1 1 316.360 1.044 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H]1C[C@@H](C)O ZINC000155855369 186118141 /nfs/dbraw/zinc/11/81/41/186118141.db2.gz YWVXSKDVJIEGPV-PWSUYJOCSA-N -1 1 321.421 1.751 20 0 DDADMM COC(=O)c1[n-]c(=NCC2(OC)CCOCC2)sc1C ZINC000617431449 363104642 /nfs/dbraw/zinc/10/46/42/363104642.db2.gz SBYACVXCYREQRF-UHFFFAOYSA-N -1 1 300.380 1.268 20 0 DDADMM O=C(CNC(=O)c1cc(Cl)ccc1[O-])NCc1ccco1 ZINC000024180910 352187747 /nfs/dbraw/zinc/18/77/47/352187747.db2.gz MJZFJLJOXHYIDE-UHFFFAOYSA-N -1 1 308.721 1.685 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccccc2N(C)C)o1 ZINC000025168443 352194525 /nfs/dbraw/zinc/19/45/25/352194525.db2.gz JGIDZUXCQTXCRW-UHFFFAOYSA-N -1 1 323.374 1.506 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](Cc3nccn3C)C2)c([O-])c1 ZINC000331734394 234060843 /nfs/dbraw/zinc/06/08/43/234060843.db2.gz SENNFZHBOYKLRH-ZDUSSCGKSA-N -1 1 314.389 1.924 20 0 DDADMM COC(=O)c1sc2[n-]c(CC(=O)OC(C)C)nc(=O)c2c1C ZINC000046438599 352452593 /nfs/dbraw/zinc/45/25/93/352452593.db2.gz MGJFHDXHPKDYLS-UHFFFAOYSA-N -1 1 324.358 1.574 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)c2cnccn2)CC1 ZINC000055315388 352727955 /nfs/dbraw/zinc/72/79/55/352727955.db2.gz GFAUSCOZJFUFQM-UHFFFAOYSA-N -1 1 311.341 1.917 20 0 DDADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)C1CCC1 ZINC000066121416 352977942 /nfs/dbraw/zinc/97/79/42/352977942.db2.gz RJPYLANURQCWFN-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM COc1cc(NC(=O)[C@@H]2CCOC2)ccc1[N-]S(C)(=O)=O ZINC000066915177 353023752 /nfs/dbraw/zinc/02/37/52/353023752.db2.gz HWGBOXHIASQFPD-SECBINFHSA-N -1 1 314.363 1.042 20 0 DDADMM CC(C)n1c(CNC(=O)[C@H]2C[C@@H]2c2cnn(C)c2)n[n-]c1=S ZINC000066639971 353009518 /nfs/dbraw/zinc/00/95/18/353009518.db2.gz AGQUGXOVWCKIOB-MNOVXSKESA-N -1 1 320.422 1.675 20 0 DDADMM CCOC(=O)NCCC(=O)NCc1n[n-]c(=S)n1C(C)C ZINC000066639420 353009992 /nfs/dbraw/zinc/00/99/92/353009992.db2.gz JIRCXJWTFNIPFM-UHFFFAOYSA-N -1 1 315.399 1.274 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCO[C@@H]2c2nccn2C)c([O-])c1 ZINC000331921762 234278725 /nfs/dbraw/zinc/27/87/25/234278725.db2.gz FPZRCCNWOGJJFA-GWCFXTLKSA-N -1 1 302.334 1.089 20 0 DDADMM O=C(NCCN1C(=O)CCCC1=O)c1cc(Cl)ccc1[O-] ZINC000081763755 353690800 /nfs/dbraw/zinc/69/08/00/353690800.db2.gz CKTMBHNLQLXILK-UHFFFAOYSA-N -1 1 310.737 1.315 20 0 DDADMM C[C@@H]1CN(CCNC(=O)c2cc(Cl)ccc2[O-])[C@H](C)CO1 ZINC000617739376 363276275 /nfs/dbraw/zinc/27/62/75/363276275.db2.gz XWINADJQNQNDDC-GHMZBOCLSA-N -1 1 312.797 1.885 20 0 DDADMM NC(=O)CCCNC(=O)c1ccc(Br)c([O-])c1 ZINC000135963164 354116189 /nfs/dbraw/zinc/11/61/89/354116189.db2.gz JKFQRIUACASBHU-UHFFFAOYSA-N -1 1 301.140 1.150 20 0 DDADMM O=C([O-])c1cnc2ccccc2c1N1CCC[C@](O)(CO)C1 ZINC000313673783 354481540 /nfs/dbraw/zinc/48/15/40/354481540.db2.gz ITPKDNZMPIPVLY-MRXNPFEDSA-N -1 1 302.330 1.257 20 0 DDADMM O=C([O-])c1ccc(N2CCC[N@@H+](Cc3ccccc3)CC2)nn1 ZINC000323429042 354541355 /nfs/dbraw/zinc/54/13/55/354541355.db2.gz VYDSSVVKSBRBTE-UHFFFAOYSA-N -1 1 312.373 1.887 20 0 DDADMM Cn1c2ccc(S(=O)(=O)[N-]c3ccncc3)cc2oc1=O ZINC000004885277 181167857 /nfs/dbraw/zinc/16/78/57/181167857.db2.gz YIOWPLAKYPPMIC-UHFFFAOYSA-N -1 1 305.315 1.327 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CC)COC)o1 ZINC000358861545 291059539 /nfs/dbraw/zinc/05/95/39/291059539.db2.gz SCXLQBNSSCNYBM-VIFPVBQESA-N -1 1 305.352 1.160 20 0 DDADMM COC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000591332288 355290920 /nfs/dbraw/zinc/29/09/20/355290920.db2.gz KGQXXLTVZGOIOU-PHIMTYICSA-N -1 1 309.343 1.663 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCS[C@H]2C)o1 ZINC000592112757 355503857 /nfs/dbraw/zinc/50/38/57/355503857.db2.gz LNPILYVFMGCIBH-JGVFFNPUSA-N -1 1 305.377 1.239 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCS[C@H]2C)c1 ZINC000592116527 355504579 /nfs/dbraw/zinc/50/45/79/355504579.db2.gz VQPHSMFFVMOPOG-CBAPKCEASA-N -1 1 305.377 1.239 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCC[C@@H](F)C2)c1 ZINC000592063442 355480449 /nfs/dbraw/zinc/48/04/49/355480449.db2.gz TTXGDQUCOAOFQV-NXEZZACHSA-N -1 1 305.327 1.625 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)C(=O)OC ZINC000592068059 355481891 /nfs/dbraw/zinc/48/18/91/355481891.db2.gz HFEQYOZOPFEFON-WCFLWFBJSA-N -1 1 321.439 1.309 20 0 DDADMM CC[C@@H](CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O)C(=O)OC ZINC000592856548 355718716 /nfs/dbraw/zinc/71/87/16/355718716.db2.gz MBSRRQIRYJJFGU-JTQLQIEISA-N -1 1 323.393 1.717 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)Cc1ccccc1F ZINC000593631359 355948193 /nfs/dbraw/zinc/94/81/93/355948193.db2.gz BKFWZPLHGLCKFA-LLVKDONJSA-N -1 1 307.318 1.146 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H](OC)C1CCCC1)C1CC1 ZINC000594760363 356309644 /nfs/dbraw/zinc/30/96/44/356309644.db2.gz YQAZBTCUHAWBAB-STQMWFEESA-N -1 1 319.423 1.063 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@]2(C)CC2(F)F)c1 ZINC000594853570 356339185 /nfs/dbraw/zinc/33/91/85/356339185.db2.gz ZZPJCYSOAOELAX-SNVBAGLBSA-N -1 1 309.290 1.390 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2COCC2(C)C)cc1C ZINC000595330850 356452284 /nfs/dbraw/zinc/45/22/84/356452284.db2.gz GFCJCZYMMFTPHW-SECBINFHSA-N -1 1 317.363 1.078 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC[C@H](F)C2)co1 ZINC000579358076 422754064 /nfs/dbraw/zinc/75/40/64/422754064.db2.gz KCYUYQYIMMTBKC-VHSXEESVSA-N -1 1 304.343 1.198 20 0 DDADMM COC(=O)c1oc(CNC2(c3nn[n-]n3)CCCC2)cc1C ZINC000597074033 357054120 /nfs/dbraw/zinc/05/41/20/357054120.db2.gz MYNLWFHJFYDMEB-UHFFFAOYSA-N -1 1 305.338 1.447 20 0 DDADMM Cc1cc(C(=O)NC(C)(C)c2nn[n-]n2)nn1[C@@H](C)C1CC1 ZINC000347579828 283235990 /nfs/dbraw/zinc/23/59/90/283235990.db2.gz PZXPDFSNJMRGJC-VIFPVBQESA-N -1 1 303.370 1.341 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2C[C@H](C)Cc3c[nH]nc32)n1 ZINC000598935991 357763768 /nfs/dbraw/zinc/76/37/68/357763768.db2.gz IMFQLCYAUNCOPN-SCZZXKLOSA-N -1 1 317.349 1.614 20 0 DDADMM CC[C@@H](C)[N-]S(=O)(=O)c1cc(F)cc(C(=O)OC)c1F ZINC000599204083 357849916 /nfs/dbraw/zinc/84/99/16/357849916.db2.gz RCUKFZYDUIZUSV-SSDOTTSWSA-N -1 1 307.318 1.828 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CC2)ccc1-n1cccn1 ZINC000565475187 304070336 /nfs/dbraw/zinc/07/03/36/304070336.db2.gz TZDBINCJAZNXAM-UHFFFAOYSA-N -1 1 309.333 1.113 20 0 DDADMM CCOC[C@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)C(C)C ZINC000276676835 213039595 /nfs/dbraw/zinc/03/95/95/213039595.db2.gz LDVVHBYCKJTWNK-NSHDSACASA-N -1 1 319.379 1.406 20 0 DDADMM CS(=O)(=O)CCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180410207 199155464 /nfs/dbraw/zinc/15/54/64/199155464.db2.gz CSLKFOCXJHKHAP-UHFFFAOYSA-N -1 1 311.281 1.185 20 0 DDADMM COC(=O)[C@H](C[C@@H]1CCCOC1)NC(=O)c1ncc(C)cc1[O-] ZINC000600455307 358226400 /nfs/dbraw/zinc/22/64/00/358226400.db2.gz JIVUREMSIUOVHT-RYUDHWBXSA-N -1 1 322.361 1.184 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccccc1C(=O)c1cccs1 ZINC000600494160 358240193 /nfs/dbraw/zinc/24/01/93/358240193.db2.gz FTIFKYWMCZMHHS-UHFFFAOYSA-N -1 1 313.342 1.422 20 0 DDADMM CC[C@H](Oc1ccccc1C(C)C)C(=O)NCc1nn[n-]n1 ZINC000600496357 358241016 /nfs/dbraw/zinc/24/10/16/358241016.db2.gz FEXIOCSSLFDUOG-LBPRGKRZSA-N -1 1 303.366 1.797 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cnc(Cc2ccc(F)cc2)s1 ZINC000600497187 358241124 /nfs/dbraw/zinc/24/11/24/358241124.db2.gz KHUXMEVBAXUDQK-UHFFFAOYSA-N -1 1 318.337 1.316 20 0 DDADMM CCOC(=O)C[C@H](O)CSc1nc(CC)c(C)c(=O)[n-]1 ZINC000600559091 358257713 /nfs/dbraw/zinc/25/77/13/358257713.db2.gz YCHVGQHTSRRSDR-VIFPVBQESA-N -1 1 300.380 1.459 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)[C@@H]1CCCC[C@@H]1OC ZINC000601439107 358553655 /nfs/dbraw/zinc/55/36/55/358553655.db2.gz GOYCIOYOOXSRHH-FRRDWIJNSA-N -1 1 319.423 1.205 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccccc2Br)c1=O ZINC000601930965 358743431 /nfs/dbraw/zinc/74/34/31/358743431.db2.gz LSTYMZCNPGANSC-QMMMGPOBSA-N -1 1 311.135 1.961 20 0 DDADMM COC(=O)c1cccc(CNCc2cc(C(=O)[O-])nn2C)c1 ZINC000602067089 358799042 /nfs/dbraw/zinc/79/90/42/358799042.db2.gz PHFVFEZSNIXFDJ-UHFFFAOYSA-N -1 1 303.318 1.195 20 0 DDADMM Cn1[n-]c(CN2CC[C@@H](O)[C@H]2c2ccc(Cl)cc2)nc1=O ZINC000565652913 304082584 /nfs/dbraw/zinc/08/25/84/304082584.db2.gz GEMRDGCTBIWEBR-DGCLKSJQSA-N -1 1 308.769 1.070 20 0 DDADMM CSC[C@@](C)(O)CNC(=O)N=c1[n-]sc2ccccc21 ZINC000603050628 359377215 /nfs/dbraw/zinc/37/72/15/359377215.db2.gz KDMXUAXNNNTKQR-ZDUSSCGKSA-N -1 1 311.432 1.954 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCC[C@H]2CC)n[n-]1 ZINC000603153140 359440254 /nfs/dbraw/zinc/44/02/54/359440254.db2.gz GWINBDDCOIEJTF-HBNTYKKESA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCC[C@H]2CC)[n-]1 ZINC000603153140 359440260 /nfs/dbraw/zinc/44/02/60/359440260.db2.gz GWINBDDCOIEJTF-HBNTYKKESA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCC[C@H]2CC)n1 ZINC000603153140 359440264 /nfs/dbraw/zinc/44/02/64/359440264.db2.gz GWINBDDCOIEJTF-HBNTYKKESA-N -1 1 308.382 1.985 20 0 DDADMM C/C=C/C[C@@H]([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2)C(=O)OCC ZINC000603311069 359561116 /nfs/dbraw/zinc/56/11/16/359561116.db2.gz VRVYTMLOTYJSNJ-FQKKLBLISA-N -1 1 317.407 1.124 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)[C@H]2C[C@@H]3CC[C@H]2O3)CCCCC1 ZINC000603269611 359530088 /nfs/dbraw/zinc/53/00/88/359530088.db2.gz WNOHDGTXVCGENH-TUAOUCFPSA-N -1 1 317.407 1.102 20 0 DDADMM COC(=O)[C@](C)(CCF)[N-]S(=O)(=O)C[C@]1(C)CC1(F)F ZINC000603381629 359604917 /nfs/dbraw/zinc/60/49/17/359604917.db2.gz HYYDRNVWGXYPQU-UWVGGRQHSA-N -1 1 317.329 1.242 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CC2CC2)CC2CC2)o1 ZINC000604364149 359741770 /nfs/dbraw/zinc/74/17/70/359741770.db2.gz CKUUTXKBOKTVFT-UHFFFAOYSA-N -1 1 312.391 1.450 20 0 DDADMM COCc1nc(=NCC[C@@H](O)COCc2ccccc2)s[n-]1 ZINC000624764055 366548879 /nfs/dbraw/zinc/54/88/79/366548879.db2.gz VMWJENKPHLSVLN-CYBMUJFWSA-N -1 1 323.418 1.486 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc2ccsc2[nH]1 ZINC000605531737 359868080 /nfs/dbraw/zinc/86/80/80/359868080.db2.gz FHBQCEUVOXSWDB-UHFFFAOYSA-N -1 1 302.363 1.942 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)CC/C=C\c2ccccc2)n1 ZINC000606531689 359933164 /nfs/dbraw/zinc/93/31/64/359933164.db2.gz QNSNEQOXIJLRQE-UITAMQMPSA-N -1 1 320.374 1.640 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)CC/C=C\c2ccccc2)[n-]1 ZINC000606531689 359933168 /nfs/dbraw/zinc/93/31/68/359933168.db2.gz QNSNEQOXIJLRQE-UITAMQMPSA-N -1 1 320.374 1.640 20 0 DDADMM O=C(Nc1c([O-])cccc1F)c1cnc2sccn2c1=O ZINC000608366189 360167556 /nfs/dbraw/zinc/16/75/56/360167556.db2.gz FQXSNTGUEXKPHT-UHFFFAOYSA-N -1 1 305.290 1.853 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cc(C)sc1C ZINC000611408625 360713963 /nfs/dbraw/zinc/71/39/63/360713963.db2.gz RXWGUPJBIUWBJI-UHFFFAOYSA-N -1 1 307.375 1.949 20 0 DDADMM C[C@@H](Cn1cncn1)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000271912996 209318754 /nfs/dbraw/zinc/31/87/54/209318754.db2.gz ZSCOTNLOOMARHP-QMMMGPOBSA-N -1 1 314.267 1.821 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc(-c2ncn(C)n2)cc1 ZINC000193340625 201033285 /nfs/dbraw/zinc/03/32/85/201033285.db2.gz ZGCSKKZOSCEPNW-SNVBAGLBSA-N -1 1 310.379 1.259 20 0 DDADMM COC1(C(=O)N(C)C[C@H](C)c2nn[n-]n2)CCC(F)(F)CC1 ZINC000613471593 361432699 /nfs/dbraw/zinc/43/26/99/361432699.db2.gz AKGQXATWKGNMBS-VIFPVBQESA-N -1 1 317.340 1.356 20 0 DDADMM C[C@H](O)C[C@H]1COCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000613558105 361466773 /nfs/dbraw/zinc/46/67/73/361466773.db2.gz NXVAMTMNGFEESU-AAEUAGOBSA-N -1 1 316.357 1.552 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2nc(Cc3cccs3)n[n-]2)o1 ZINC000195158150 201348651 /nfs/dbraw/zinc/34/86/51/201348651.db2.gz QTUWILHEQRCLID-UHFFFAOYSA-N -1 1 324.387 1.727 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2n[n-]c(Cc3cccs3)n2)o1 ZINC000195158150 201348654 /nfs/dbraw/zinc/34/86/54/201348654.db2.gz QTUWILHEQRCLID-UHFFFAOYSA-N -1 1 324.387 1.727 20 0 DDADMM COc1cncc(C(=O)[N-]c2nnc(-c3ccccn3)s2)n1 ZINC000359128878 299334579 /nfs/dbraw/zinc/33/45/79/299334579.db2.gz UYFWJRSMBHAAJJ-UHFFFAOYSA-N -1 1 314.330 1.651 20 0 DDADMM O=C(NC[C@@H]1CCC(=O)N1)c1ccc(C(F)(F)F)cc1[O-] ZINC000619138110 363911077 /nfs/dbraw/zinc/91/10/77/363911077.db2.gz DNUUBJBNYBABAA-QMMMGPOBSA-N -1 1 302.252 1.419 20 0 DDADMM CSc1c(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cnn1C ZINC000359154814 299340816 /nfs/dbraw/zinc/34/08/16/299340816.db2.gz ONNCWXXMSMDUCA-UHFFFAOYSA-N -1 1 306.273 1.531 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H](C2CCC2)C1 ZINC000620206934 364334558 /nfs/dbraw/zinc/33/45/58/364334558.db2.gz TXWDNIGFEVNBPA-JTQLQIEISA-N -1 1 307.350 1.549 20 0 DDADMM CC(C)(CNC(=O)c1c([O-])cccc1F)[C@]1(O)CCCOC1 ZINC000620117172 364296032 /nfs/dbraw/zinc/29/60/32/364296032.db2.gz MEEFWWWBQQFROR-INIZCTEOSA-N -1 1 311.353 1.829 20 0 DDADMM CC(C)NC(=O)[C@@H]1CCCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000620399134 364399119 /nfs/dbraw/zinc/39/91/19/364399119.db2.gz FHJKXKDHNJZMMT-LLVKDONJSA-N -1 1 315.377 1.335 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccc(Cl)c1F)c1ncn(C)n1 ZINC000275387344 212303728 /nfs/dbraw/zinc/30/37/28/212303728.db2.gz ANQFSQRMNLTNPH-SSDOTTSWSA-N -1 1 318.761 1.647 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3COC(C)(C)C3)cnc2n1 ZINC000622134781 365282838 /nfs/dbraw/zinc/28/28/38/365282838.db2.gz NXEMLNYAGUPLHX-JTQLQIEISA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1COC(C)(C)C1)c2=O ZINC000622134781 365282839 /nfs/dbraw/zinc/28/28/39/365282839.db2.gz NXEMLNYAGUPLHX-JTQLQIEISA-N -1 1 301.346 1.941 20 0 DDADMM O=C(Nc1ccn(CC(F)F)n1)c1c(F)ccc([O-])c1F ZINC000622414257 365398851 /nfs/dbraw/zinc/39/88/51/365398851.db2.gz SXGUFKVFBXJENJ-UHFFFAOYSA-N -1 1 303.215 1.806 20 0 DDADMM O=C(N[C@H](CO)C[C@H](O)c1ccccc1)c1ncccc1[O-] ZINC000275318334 212268976 /nfs/dbraw/zinc/26/89/76/212268976.db2.gz KOTAOEWUVJNFBV-JSGCOSHPSA-N -1 1 302.330 1.002 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1[C@@H]2c3cccc(Br)c3C[C@H]12 ZINC000625707945 367100614 /nfs/dbraw/zinc/10/06/14/367100614.db2.gz MMJCYQFKAVJXJL-FXBDTBDDSA-N -1 1 320.150 1.487 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1[C@@H]2c3cccc(Br)c3C[C@H]12 ZINC000625707945 367100617 /nfs/dbraw/zinc/10/06/17/367100617.db2.gz MMJCYQFKAVJXJL-FXBDTBDDSA-N -1 1 320.150 1.487 20 0 DDADMM C[C@H](CN(C)C(=O)CC1CCC(F)(F)CC1)c1nn[n-]n1 ZINC000626443500 367547920 /nfs/dbraw/zinc/54/79/20/367547920.db2.gz HHONKEDIQCNXQN-SECBINFHSA-N -1 1 301.341 1.977 20 0 DDADMM C[C@@H]1CN(C(=O)NCc2n[nH]c(C(C)(C)C)n2)CC[C@H]1C(=O)[O-] ZINC000626764640 367732376 /nfs/dbraw/zinc/73/23/76/367732376.db2.gz KNQNIYHGRFTTIF-NXEZZACHSA-N -1 1 323.397 1.354 20 0 DDADMM O=C([O-])[C@H]1C=C[C@@H](NS(=O)(=O)c2c(F)cccc2F)C1 ZINC000092181584 193171193 /nfs/dbraw/zinc/17/11/93/193171193.db2.gz GDDIXXGJULPMHQ-JGVFFNPUSA-N -1 1 303.286 1.272 20 0 DDADMM C[C@H](CCNC(=O)c1csc(=NC2CC2)[n-]1)[S@](C)=O ZINC000349775261 284048294 /nfs/dbraw/zinc/04/82/94/284048294.db2.gz ILTHIVXUEBUIGI-YLVJLNSGSA-N -1 1 301.437 1.026 20 0 DDADMM C[C@@H](CS(C)(=O)=O)N(C)CC[C@@H](C(=O)[O-])c1ccccc1 ZINC000566358700 304148368 /nfs/dbraw/zinc/14/83/68/304148368.db2.gz LDDJHGLRFLYYGW-GXTWGEPZSA-N -1 1 313.419 1.610 20 0 DDADMM Cc1cc(NC(=O)[C@H](C)N2C[C@@H]3CCC[C@@]3(C(=O)[O-])C2)on1 ZINC000262213666 203231616 /nfs/dbraw/zinc/23/16/16/203231616.db2.gz UIZPPRCPVAPBML-ZIBATOQPSA-N -1 1 307.350 1.497 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC(=O)Nc2ccccc2)C1 ZINC000262609813 203348574 /nfs/dbraw/zinc/34/85/74/203348574.db2.gz AMGHADHYLBKHHJ-LLVKDONJSA-N -1 1 305.334 1.131 20 0 DDADMM CC(C)c1ccc(OCCNC(=O)CN(C)CCC(=O)[O-])cc1 ZINC000262619279 203352488 /nfs/dbraw/zinc/35/24/88/203352488.db2.gz DQRJCVFPCCRUOC-UHFFFAOYSA-N -1 1 322.405 1.712 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1c(Cl)cccc1Cl)N1CCC1 ZINC000264703064 204089119 /nfs/dbraw/zinc/08/91/19/204089119.db2.gz XCTFPNWRJIRVTO-UHFFFAOYSA-N -1 1 323.201 1.504 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1ccc2[nH]nnc2c1 ZINC000262513583 304161316 /nfs/dbraw/zinc/16/13/16/304161316.db2.gz NEUKGJIUNLPPNV-NRUUGDAUSA-N -1 1 300.318 1.283 20 0 DDADMM CN1C(=O)COc2ccc([N-]S(=O)(=O)c3ccsc3)cc21 ZINC000350866681 284273189 /nfs/dbraw/zinc/27/31/89/284273189.db2.gz DYFBHUBPGQKGNL-UHFFFAOYSA-N -1 1 324.383 1.904 20 0 DDADMM COC(=O)N[C@@H](C(=O)Nc1cc(C(=O)OC)ccc1[O-])C(C)C ZINC000282523822 217061511 /nfs/dbraw/zinc/06/15/11/217061511.db2.gz BKIZCPMJQKLQBC-GFCCVEGCSA-N -1 1 324.333 1.498 20 0 DDADMM CC(C)(C)n1cc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)cn1 ZINC000282955627 217350898 /nfs/dbraw/zinc/35/08/98/217350898.db2.gz QWWJVCWJFGQXMH-SNVBAGLBSA-N -1 1 318.381 1.069 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)[nH]n1 ZINC000282989190 217373026 /nfs/dbraw/zinc/37/30/26/217373026.db2.gz UPSHJMJTBOUQSO-SECBINFHSA-N -1 1 318.381 1.138 20 0 DDADMM O=C(Cc1ccccc1F)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000283009343 217384621 /nfs/dbraw/zinc/38/46/21/217384621.db2.gz WFUGMGFQDDPDPM-NSHDSACASA-N -1 1 304.325 1.186 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)Cc1ccc(Cl)cc1 ZINC000021516424 182277216 /nfs/dbraw/zinc/27/72/16/182277216.db2.gz WGSQVTFNMNHTBU-LBPRGKRZSA-N -1 1 307.737 1.418 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1ccccc1F)C1CC1 ZINC000267669171 206206936 /nfs/dbraw/zinc/20/69/36/206206936.db2.gz CHICCEPJUDAZJX-GFCCVEGCSA-N -1 1 301.339 1.197 20 0 DDADMM CCC[C@H](NC(=O)CCc1nc(C(C)C)no1)c1nn[n-]n1 ZINC000267681067 206215887 /nfs/dbraw/zinc/21/58/87/206215887.db2.gz MFZNSSYJTPBAEP-VIFPVBQESA-N -1 1 307.358 1.296 20 0 DDADMM O=C1CCc2cc(S(=O)(=O)[N-]CC(F)F)c(F)cc2N1 ZINC000267728925 206244947 /nfs/dbraw/zinc/24/49/47/206244947.db2.gz JYCLVUWKTDKWER-UHFFFAOYSA-N -1 1 308.281 1.254 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC(F)(F)[C@H](CO)C1 ZINC000567094035 304196888 /nfs/dbraw/zinc/19/68/88/304196888.db2.gz HPYMWTGCDMQZFX-QMMMGPOBSA-N -1 1 317.361 1.229 20 0 DDADMM CC(C)(C)c1n[n-]c(=NC(=O)N2CC[C@H](c3nc[nH]n3)C2)s1 ZINC000333679617 249067853 /nfs/dbraw/zinc/06/78/53/249067853.db2.gz DZPWRPSBWXJSCH-QMMMGPOBSA-N -1 1 321.410 1.397 20 0 DDADMM O=C(NC[C@@H]1CCOC1)c1cc(Br)ccc1[O-] ZINC000041375319 183188123 /nfs/dbraw/zinc/18/81/23/183188123.db2.gz SVHURQRPESRUGW-QMMMGPOBSA-N -1 1 300.152 1.921 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](C)Cc1cccc(F)c1 ZINC000337137627 249361120 /nfs/dbraw/zinc/36/11/20/249361120.db2.gz FKFHRDHZGOFCDS-VIFPVBQESA-N -1 1 318.352 1.456 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1C[C@@H]1c1sccc1C ZINC000337135984 249361603 /nfs/dbraw/zinc/36/16/03/249361603.db2.gz OFHKUMPPTBZVLO-UWVGGRQHSA-N -1 1 318.402 1.612 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](C)C(=O)OC)cc(Cl)c1[O-] ZINC000273961709 211215521 /nfs/dbraw/zinc/21/55/21/211215521.db2.gz OUWOEXCYBPOVOI-ZETCQYMHSA-N -1 1 301.726 1.736 20 0 DDADMM CCc1oc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1C(=O)OC ZINC000338544379 250022227 /nfs/dbraw/zinc/02/22/27/250022227.db2.gz ZZRBHTIHKPZQQA-MRVPVSSYSA-N -1 1 321.337 1.017 20 0 DDADMM O=S(=O)([N-]CCCOC[C@H]1CCCO1)c1sccc1F ZINC000338852840 250162996 /nfs/dbraw/zinc/16/29/96/250162996.db2.gz SEMYWMJGOGXHEF-SNVBAGLBSA-N -1 1 323.411 1.751 20 0 DDADMM CC(C)COC[C@H](O)C[N-]S(=O)(=O)c1sccc1F ZINC000338902098 250189356 /nfs/dbraw/zinc/18/93/56/250189356.db2.gz IJGKHBYIBJIOAY-SECBINFHSA-N -1 1 311.400 1.199 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1sccc1F)Nc1cccnc1 ZINC000338883058 250180395 /nfs/dbraw/zinc/18/03/95/250180395.db2.gz GHVTXTLGFFWNHS-UHFFFAOYSA-N -1 1 315.351 1.199 20 0 DDADMM CCc1nc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cs1 ZINC000339187551 250333925 /nfs/dbraw/zinc/33/39/25/250333925.db2.gz DNMYFHIKMVVTOK-UHFFFAOYSA-N -1 1 322.390 1.743 20 0 DDADMM CC(C)Cn1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cn1 ZINC000339189418 250336618 /nfs/dbraw/zinc/33/66/18/250336618.db2.gz OVLNIVBIDSPYND-UHFFFAOYSA-N -1 1 319.365 1.648 20 0 DDADMM Cc1noc(C)c1CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339193181 250337953 /nfs/dbraw/zinc/33/79/53/250337953.db2.gz AFIADVKTNJJCBJ-UHFFFAOYSA-N -1 1 306.322 1.329 20 0 DDADMM O=S(=O)([N-]c1nc(C2CCC2)n[nH]1)c1cncc(Cl)c1 ZINC000285144445 218308139 /nfs/dbraw/zinc/30/81/39/218308139.db2.gz QYXQHRBQESLSCU-UHFFFAOYSA-N -1 1 313.770 1.921 20 0 DDADMM CCC[C@]1([N-]S(=O)(=O)c2ncn(C)c2Cl)CCOC1 ZINC000285278043 218362568 /nfs/dbraw/zinc/36/25/68/218362568.db2.gz JETYLLMZDOOBAZ-NSHDSACASA-N -1 1 307.803 1.311 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cccc(C)c2)c1 ZINC000359701406 299518083 /nfs/dbraw/zinc/51/80/83/299518083.db2.gz UFMBCMPBPVTGBA-UHFFFAOYSA-N -1 1 320.370 1.861 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)Cc1ccccc1F ZINC000352183171 284847583 /nfs/dbraw/zinc/84/75/83/284847583.db2.gz ALDNFRZHIKQTNH-MRVPVSSYSA-N -1 1 321.308 1.397 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)Cc3ccccc3F)ccnc1-2 ZINC000340364887 251029596 /nfs/dbraw/zinc/02/95/96/251029596.db2.gz FEYUENVASAEABE-UHFFFAOYSA-N -1 1 320.349 1.423 20 0 DDADMM CC(C)(NC(=O)c1cnc2c(F)cccc2c1)c1nn[n-]n1 ZINC000340742708 251187926 /nfs/dbraw/zinc/18/79/26/251187926.db2.gz RCOXDIZFANCYBD-UHFFFAOYSA-N -1 1 300.297 1.552 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cc(Br)ccc1Cl ZINC000060525562 184151539 /nfs/dbraw/zinc/15/15/39/184151539.db2.gz VKAVCSNAHYZWTL-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc(Br)ccc1Cl ZINC000060525562 184151540 /nfs/dbraw/zinc/15/15/40/184151540.db2.gz VKAVCSNAHYZWTL-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)F)c1cc(Cl)ccc1F ZINC000340874467 251255968 /nfs/dbraw/zinc/25/59/68/251255968.db2.gz RUSJLCVJVRPZFB-ZETCQYMHSA-N -1 1 317.716 1.774 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H](C)c1ccccc1 ZINC000352386071 285013723 /nfs/dbraw/zinc/01/37/23/285013723.db2.gz RNPOLQTXIUAUEZ-MNOVXSKESA-N -1 1 311.345 1.340 20 0 DDADMM CN(Cc1ccc(OCC(=O)[O-])cc1)C[C@](C)(O)C(F)(F)F ZINC000579603918 422802545 /nfs/dbraw/zinc/80/25/45/422802545.db2.gz TYKIJABDQQEMEL-ZDUSSCGKSA-N -1 1 321.295 1.895 20 0 DDADMM CC(C)Oc1ccccc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352422333 285039841 /nfs/dbraw/zinc/03/98/41/285039841.db2.gz ILDLXRFSFJOPMT-UHFFFAOYSA-N -1 1 313.317 1.457 20 0 DDADMM CC(C)(C)OC(=O)NCC[N@@H+]1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000495802665 307318572 /nfs/dbraw/zinc/31/85/72/307318572.db2.gz HTSZRTFNIDOWBL-SNVBAGLBSA-N -1 1 312.370 1.479 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2(C3CC3)CCC2)o1 ZINC000120163812 195108733 /nfs/dbraw/zinc/10/87/33/195108733.db2.gz MUWWQWPZWWPYCQ-UHFFFAOYSA-N -1 1 312.391 1.498 20 0 DDADMM CCC[C@H](NC(=O)c1cc(Cl)c([O-])c(OCC)c1)C(N)=O ZINC000286478965 219010578 /nfs/dbraw/zinc/01/05/78/219010578.db2.gz WKTKYRDSOQQMQW-JTQLQIEISA-N -1 1 314.769 1.828 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)CCC1CCCCC1)C(=O)OC ZINC000451385373 533094152 /nfs/dbraw/zinc/09/41/52/533094152.db2.gz SBYDGMOJIVYMAO-LBPRGKRZSA-N -1 1 307.412 1.064 20 0 DDADMM Cc1cc(C)nc(N2CCN(C(=O)c3cncc([O-])c3)CC2)n1 ZINC000157469954 197189722 /nfs/dbraw/zinc/18/97/22/197189722.db2.gz QOCQSNBYTWQFAE-UHFFFAOYSA-N -1 1 313.361 1.156 20 0 DDADMM COc1ccccc1N1CC[C@H](NC(=O)c2cncc([O-])c2)C1 ZINC000155573130 197068136 /nfs/dbraw/zinc/06/81/36/197068136.db2.gz SJZYQZDZSBEKQC-ZDUSSCGKSA-N -1 1 313.357 1.805 20 0 DDADMM COCC(C)(C)CC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000413459998 224199831 /nfs/dbraw/zinc/19/98/31/224199831.db2.gz NPVCJUDSVBPVFM-UHFFFAOYSA-N -1 1 320.393 1.731 20 0 DDADMM CO[C@@H](C)c1nc(=NCC2(CS(C)(=O)=O)CCC2)s[n-]1 ZINC000413582089 224222117 /nfs/dbraw/zinc/22/21/17/224222117.db2.gz NUZMPJAIUHVQJX-VIFPVBQESA-N -1 1 319.452 1.294 20 0 DDADMM C[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CCOCC1 ZINC000294932792 224320640 /nfs/dbraw/zinc/32/06/40/224320640.db2.gz LMUBIKHIRDPMPK-SECBINFHSA-N -1 1 306.391 1.563 20 0 DDADMM Cc1nn(C)c(S(=O)(=O)[N-][C@@H](C)C(F)(F)F)c1C(F)F ZINC000414453752 224339715 /nfs/dbraw/zinc/33/97/15/224339715.db2.gz PLDNZJXTZWGCNU-YFKPBYRVSA-N -1 1 321.271 1.895 20 0 DDADMM Cc1cc(F)ccc1CN[C@@H]1CCN([C@H](C(=O)[O-])C(C)C)C1=O ZINC000414655543 224368569 /nfs/dbraw/zinc/36/85/69/224368569.db2.gz JTCHMKHFTBRXKZ-CABCVRRESA-N -1 1 322.380 1.934 20 0 DDADMM C[C@H](C[C@H](C)O)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352954981 285412464 /nfs/dbraw/zinc/41/24/64/285412464.db2.gz SYCRSQLNPCGFHT-RQJHMYQMSA-N -1 1 312.185 1.480 20 0 DDADMM Cc1noc([C@@H]2OCC[C@@H]2NC(=O)c2cc(Cl)ccc2[O-])n1 ZINC000629792807 422815858 /nfs/dbraw/zinc/81/58/58/422815858.db2.gz BNFLODNQNWDZJS-CMPLNLGQSA-N -1 1 323.736 1.997 20 0 DDADMM O=S1(=O)CC[C@@H]2CN(Cc3cccc([O-])c3Cl)C[C@@H]21 ZINC000353474522 285756568 /nfs/dbraw/zinc/75/65/68/285756568.db2.gz UWUJOSDGKOPVHT-SKDRFNHKSA-N -1 1 301.795 1.665 20 0 DDADMM CCn1ncc2ccc(NC(=O)c3cc(=O)n4[n-]cnc4n3)cc21 ZINC000353678618 285865324 /nfs/dbraw/zinc/86/53/24/285865324.db2.gz NYINMGKAHSANBI-UHFFFAOYSA-N -1 1 323.316 1.040 20 0 DDADMM CO[C@H]1CC[C@H](NC(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000188441920 286068888 /nfs/dbraw/zinc/06/88/88/286068888.db2.gz PDOYHSURGTXDSX-QWRGUYRKSA-N -1 1 301.346 1.941 20 0 DDADMM CO[C@H]1CC[C@H](NC(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000188441920 286068889 /nfs/dbraw/zinc/06/88/89/286068889.db2.gz PDOYHSURGTXDSX-QWRGUYRKSA-N -1 1 301.346 1.941 20 0 DDADMM COc1ccc([C@@H](CO)N(C)C(=O)c2cncc([O-])c2)cc1 ZINC000285472484 286358001 /nfs/dbraw/zinc/35/80/01/286358001.db2.gz CIDCMAJSZCCCLU-OAHLLOKOSA-N -1 1 302.330 1.601 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)c2ccc3c(c2)CCO3)cc1 ZINC000069446948 406714768 /nfs/dbraw/zinc/71/47/68/406714768.db2.gz ZYUMBRURTDDILO-UHFFFAOYSA-N -1 1 318.354 1.521 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCc3ccc(F)cc32)co1 ZINC000179387302 306691882 /nfs/dbraw/zinc/69/18/82/306691882.db2.gz HBDXHQJYNYGIOT-UHFFFAOYSA-N -1 1 324.333 1.530 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc2c(c1)OCCO2 ZINC000029388885 406923726 /nfs/dbraw/zinc/92/37/26/406923726.db2.gz KRFXMPLZMHEQQQ-UHFFFAOYSA-N -1 1 314.223 1.847 20 0 DDADMM CC(C)NC(=O)CNC(=O)c1ccc(Br)cc1[O-] ZINC000044490736 407024509 /nfs/dbraw/zinc/02/45/09/407024509.db2.gz GELCTJXGZAMWGW-UHFFFAOYSA-N -1 1 315.167 1.409 20 0 DDADMM C[C@H](CS(C)(=O)=O)NC(=O)c1cc2ccccc2cc1[O-] ZINC000078637285 407038070 /nfs/dbraw/zinc/03/80/70/407038070.db2.gz MPQDFAXSSTVNRV-SNVBAGLBSA-N -1 1 307.371 1.708 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CC2CCC1CC2 ZINC000570936791 304428238 /nfs/dbraw/zinc/42/82/38/304428238.db2.gz UUPUYVPHARKWTI-YYJSSNLHSA-N -1 1 315.377 1.362 20 0 DDADMM COCCCOCCN(C)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000091046275 407174418 /nfs/dbraw/zinc/17/44/18/407174418.db2.gz JRLBFJQEEWJAIZ-UHFFFAOYSA-N -1 1 319.361 1.461 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cccc(F)c2F)o1 ZINC000067331243 407271247 /nfs/dbraw/zinc/27/12/47/407271247.db2.gz ICIZYYFUNFLDRX-UHFFFAOYSA-N -1 1 316.285 1.718 20 0 DDADMM COC(=O)[C@]12CCC[C@H]1CN(C(=O)c1ccc([O-])cc1F)C2 ZINC000123749740 407338122 /nfs/dbraw/zinc/33/81/22/407338122.db2.gz RWZMZWTYGFOUDT-QFYYESIMSA-N -1 1 307.321 1.947 20 0 DDADMM COc1ccc(C[C@@H](C)C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000124414071 407357351 /nfs/dbraw/zinc/35/73/51/407357351.db2.gz HDYCJNMJZKXCRG-NEPJUHHUSA-N -1 1 317.393 1.649 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cnc(C)s2)o1 ZINC000107699953 407378649 /nfs/dbraw/zinc/37/86/49/407378649.db2.gz MUVFLDRKURTTTL-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2cccc(C)c2)o1 ZINC000107700275 407378798 /nfs/dbraw/zinc/37/87/98/407378798.db2.gz NFXMLJGYEUWLQG-UHFFFAOYSA-N -1 1 323.370 1.896 20 0 DDADMM CCC[C@H](NC(=O)c1cnn(CC(C)C)c1C)c1nn[n-]n1 ZINC000126040346 407403328 /nfs/dbraw/zinc/40/33/28/407403328.db2.gz KNUPLNANDCIFCC-LBPRGKRZSA-N -1 1 305.386 1.632 20 0 DDADMM COc1ccc(C(=O)[O-])cc1NS(=O)(=O)C[C@H]1CCCO1 ZINC000111309573 407407163 /nfs/dbraw/zinc/40/71/63/407407163.db2.gz ZWEGJVKETRQRPE-SNVBAGLBSA-N -1 1 315.347 1.314 20 0 DDADMM CCC(CC)C(=O)N1CCC(NC(=O)c2cncc([O-])c2)CC1 ZINC000111573101 407412398 /nfs/dbraw/zinc/41/23/98/407412398.db2.gz KGYPCJAJDGPUTR-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCO[C@H](Cn2cccn2)C1 ZINC000111649926 407413426 /nfs/dbraw/zinc/41/34/26/407413426.db2.gz QKDAZUTUTLIDDS-LBPRGKRZSA-N -1 1 321.764 1.783 20 0 DDADMM Cn1cnc(C[N-]C(=O)C(F)(F)c2c(F)cccc2F)n1 ZINC000271094765 407510257 /nfs/dbraw/zinc/51/02/57/407510257.db2.gz KKPWNUJPVSHPJN-UHFFFAOYSA-N -1 1 302.231 1.502 20 0 DDADMM Cn1cc(N2CCC[C@@H](NC(=O)c3c([O-])cccc3F)C2)cn1 ZINC000185515918 407443248 /nfs/dbraw/zinc/44/32/48/407443248.db2.gz SKSLFRDTZMJKII-LLVKDONJSA-N -1 1 318.352 1.664 20 0 DDADMM COC[C@@H](CCO)NC(=O)c1ccc(Br)cc1[O-] ZINC000225914998 407612405 /nfs/dbraw/zinc/61/24/05/407612405.db2.gz SUJJDFQLOLSGDP-SECBINFHSA-N -1 1 318.167 1.282 20 0 DDADMM CC(C)(C)SCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000271300215 407623354 /nfs/dbraw/zinc/62/33/54/407623354.db2.gz FIMFBFTXNGSDGE-UHFFFAOYSA-N -1 1 318.420 1.510 20 0 DDADMM O=C([N-]CCN1CCN(c2ccc(F)cc2)CC1)C(F)(F)F ZINC000171251315 407639327 /nfs/dbraw/zinc/63/93/27/407639327.db2.gz MDWCLYNKMWXNHY-UHFFFAOYSA-N -1 1 319.302 1.626 20 0 DDADMM CCC[C@@H](C)NC(=O)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000171291265 407653419 /nfs/dbraw/zinc/65/34/19/407653419.db2.gz YUEXMXQSFZTICQ-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM COC[C@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CCO1 ZINC000267139943 407764012 /nfs/dbraw/zinc/76/40/12/407764012.db2.gz QFRZCAGYIDAYFH-LLVKDONJSA-N -1 1 323.393 1.276 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(Cl)ccnc1Cl)[C@H](C)CO ZINC000272253245 407837046 /nfs/dbraw/zinc/83/70/46/407837046.db2.gz TWEPFVOZVYETCL-RNFRBKRXSA-N -1 1 313.206 1.684 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)CN1CC[C@@H](C(=O)[O-])C1 ZINC000262637088 407886250 /nfs/dbraw/zinc/88/62/50/407886250.db2.gz IDZIADGGVUKPFA-VXGBXAGGSA-N -1 1 306.362 1.279 20 0 DDADMM C[C@@H](C(=O)Nc1ccc2c(c1)OCO2)N1CC[C@H](C(=O)[O-])C1 ZINC000262640391 407889463 /nfs/dbraw/zinc/88/94/63/407889463.db2.gz IVZOVXIMKILZBF-UWVGGRQHSA-N -1 1 306.318 1.149 20 0 DDADMM O=C([O-])C1CCN([C@@H](C(=O)NC2CC2)c2ccccc2)CC1 ZINC000262685332 407900163 /nfs/dbraw/zinc/90/01/63/407900163.db2.gz XOLZLHMYRLUNML-OAHLLOKOSA-N -1 1 302.374 1.803 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-]c1ccn([C@@H](C)COC)n1 ZINC000187962267 407908615 /nfs/dbraw/zinc/90/86/15/407908615.db2.gz KVVVSBKKJJJUHE-NSHDSACASA-N -1 1 304.416 1.479 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)C(=O)NC3)cc1 ZINC000058497333 161554246 /nfs/dbraw/zinc/55/42/46/161554246.db2.gz DJKNFSGMOKDEGI-UHFFFAOYSA-N -1 1 318.354 1.739 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc2c(c1)CCO2)c1nn[n-]n1 ZINC000268154881 407916764 /nfs/dbraw/zinc/91/67/64/407916764.db2.gz GXTGROUXJKXHRS-LBPRGKRZSA-N -1 1 301.350 1.335 20 0 DDADMM CCc1nnsc1C(=O)[N-]c1sccc1S(C)(=O)=O ZINC000118635405 407928514 /nfs/dbraw/zinc/92/85/14/407928514.db2.gz WEKDCJFONXQSMT-UHFFFAOYSA-N -1 1 317.417 1.818 20 0 DDADMM CCC[C@@H]1CN(C(=O)c2cccc(O)c2)CCN1CCOC ZINC000273029176 408023367 /nfs/dbraw/zinc/02/33/67/408023367.db2.gz SNQYLLYCSRYOCH-OAHLLOKOSA-N -1 1 306.406 1.965 20 0 DDADMM CC[C@@H]1CN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)CCS1 ZINC000154236462 407999523 /nfs/dbraw/zinc/99/95/23/407999523.db2.gz DCUXGIRMGJLWKC-SNVBAGLBSA-N -1 1 318.420 1.155 20 0 DDADMM C[C@@H]1Cc2ccccc2N1Cc1occc1C(=O)Nc1nnn[n-]1 ZINC000135467772 408019872 /nfs/dbraw/zinc/01/98/72/408019872.db2.gz SYLKEFCDAZRILN-SNVBAGLBSA-N -1 1 324.344 1.996 20 0 DDADMM C[C@@H]1Cc2ccccc2N1Cc1occc1C(=O)Nc1nn[n-]n1 ZINC000135467772 408019879 /nfs/dbraw/zinc/01/98/79/408019879.db2.gz SYLKEFCDAZRILN-SNVBAGLBSA-N -1 1 324.344 1.996 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)COc1ccccc1)c1nn[n-]n1 ZINC000154596765 408057499 /nfs/dbraw/zinc/05/74/99/408057499.db2.gz CVMHZZFEWMXLAU-YPMHNXCESA-N -1 1 303.366 1.872 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CC(c2ccccc2)=NO1)c1nn[n-]n1 ZINC000136668707 408120314 /nfs/dbraw/zinc/12/03/14/408120314.db2.gz BEIORQMLRRYOQC-DGCLKSJQSA-N -1 1 314.349 1.350 20 0 DDADMM CCC[C@@H](O)CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000268629560 408130329 /nfs/dbraw/zinc/13/03/29/408130329.db2.gz RNSKFCYIBCAABE-GFCCVEGCSA-N -1 1 320.393 1.262 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc(O)cc1Cl)c1nn[n-]n1 ZINC000182574499 408194910 /nfs/dbraw/zinc/19/49/10/408194910.db2.gz URDUTHMIMNQOPT-NSHDSACASA-N -1 1 309.757 1.759 20 0 DDADMM C[C@@H](O)CC(C)(C)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000182473715 408171829 /nfs/dbraw/zinc/17/18/29/408171829.db2.gz SEYSKQOGAKTHJU-MRVPVSSYSA-N -1 1 309.819 1.149 20 0 DDADMM Cc1ccccc1C1(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CC1 ZINC000155516856 408173111 /nfs/dbraw/zinc/17/31/11/408173111.db2.gz LAXIFXZISXAFMT-ZDUSSCGKSA-N -1 1 311.389 1.946 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)N1CCC[C@@H](c2ncc(C(F)(F)F)[nH]2)C1 ZINC000263562571 408175039 /nfs/dbraw/zinc/17/50/39/408175039.db2.gz SUPJVRWKEFLGMX-HTQZYQBOSA-N -1 1 319.283 1.855 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2(c3ccccc3)CC2)o1 ZINC000263765680 408245701 /nfs/dbraw/zinc/24/57/01/408245701.db2.gz GPSQDLHYKSNJTO-UHFFFAOYSA-N -1 1 320.370 1.607 20 0 DDADMM NC(=O)[C@H]1CCC[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000150816582 408205915 /nfs/dbraw/zinc/20/59/15/408205915.db2.gz IZCWPIDPLXMNGD-NWDGAFQWSA-N -1 1 322.386 1.755 20 0 DDADMM NC(=O)[C@@H]1CC[C@@H](CNC(=O)c2cc3ccccc3cc2[O-])O1 ZINC000150893627 408220582 /nfs/dbraw/zinc/22/05/82/408220582.db2.gz KZJRTWBWUCUUDA-WFASDCNBSA-N -1 1 314.341 1.308 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(F)cc2F)co1 ZINC000157834777 408318528 /nfs/dbraw/zinc/31/85/28/408318528.db2.gz AKTXVCDXMLURJN-UHFFFAOYSA-N -1 1 316.285 1.718 20 0 DDADMM O=C(Cc1cc(F)ccc1Br)Nc1nnn[n-]1 ZINC000151186623 408272565 /nfs/dbraw/zinc/27/25/65/408272565.db2.gz OVECKGVGYWOQSM-UHFFFAOYSA-N -1 1 300.091 1.283 20 0 DDADMM O=C(Cc1cc(F)ccc1Br)Nc1nn[n-]n1 ZINC000151186623 408272572 /nfs/dbraw/zinc/27/25/72/408272572.db2.gz OVECKGVGYWOQSM-UHFFFAOYSA-N -1 1 300.091 1.283 20 0 DDADMM CCc1cccc(S(=O)(=O)NN=c2nc(OC)cc(C)[n-]2)c1 ZINC000176509656 408385184 /nfs/dbraw/zinc/38/51/84/408385184.db2.gz RYJQNQJIXJFJKV-UHFFFAOYSA-N -1 1 322.390 1.661 20 0 DDADMM COc1ccccc1S(=O)(=O)[N-]c1ccc2n[nH]nc2c1 ZINC000176529028 408388615 /nfs/dbraw/zinc/38/86/15/408388615.db2.gz KCJQFVXLQVIRFH-UHFFFAOYSA-N -1 1 304.331 1.767 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000183328025 408383380 /nfs/dbraw/zinc/38/33/80/408383380.db2.gz WYIBNRBIJRTPIQ-DTWKUNHWSA-N -1 1 321.345 1.831 20 0 DDADMM C[C@H](Cc1ccccc1F)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183437129 408409596 /nfs/dbraw/zinc/40/95/96/408409596.db2.gz ODODKZJPLCKWDC-MNOVXSKESA-N -1 1 305.357 1.780 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc2c(c(Cl)c1)OCO2)c1nn[n-]n1 ZINC000183432796 408409686 /nfs/dbraw/zinc/40/96/86/408409686.db2.gz VJNXQFPCCJPZBF-ZETCQYMHSA-N -1 1 323.740 1.458 20 0 DDADMM C[C@]1(C(=O)NCCCc2nc(=O)[n-][nH]2)CCCc2ccccc21 ZINC000176758997 408435121 /nfs/dbraw/zinc/43/51/21/408435121.db2.gz MIHLPCDZNRHPPH-KRWDZBQOSA-N -1 1 314.389 1.441 20 0 DDADMM CCC[C@H](NC(=O)c1cccc2c1OCCO2)c1nn[n-]n1 ZINC000176755885 408435549 /nfs/dbraw/zinc/43/55/49/408435549.db2.gz KDHSMZVAMBKVGE-JTQLQIEISA-N -1 1 303.322 1.242 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(C(F)(F)F)cn1)c1nn[n-]n1 ZINC000274779037 408529095 /nfs/dbraw/zinc/52/90/95/408529095.db2.gz NJBGXRRGGUGJJN-ZETCQYMHSA-N -1 1 314.271 1.489 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccnc1C(F)(F)F)c1nn[n-]n1 ZINC000274794191 408532935 /nfs/dbraw/zinc/53/29/35/408532935.db2.gz QTLOISINNYZTLE-SSDOTTSWSA-N -1 1 314.271 1.489 20 0 DDADMM Cc1cccc(C)c1OCCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000274791130 408533472 /nfs/dbraw/zinc/53/34/72/408533472.db2.gz QAROOARXLDCGPB-CYBMUJFWSA-N -1 1 317.393 1.848 20 0 DDADMM CCc1n[nH]c(=O)c(CNC(=O)c2c([O-])cccc2F)c1CC ZINC000184547248 408640038 /nfs/dbraw/zinc/64/00/38/408640038.db2.gz XFOQDPPWPFFRKQ-UHFFFAOYSA-N -1 1 319.336 1.669 20 0 DDADMM Cc1cc[nH+]c(N2CCN(C(=O)c3ccc4n[nH]nc4c3)CC2)c1 ZINC000161840453 408645549 /nfs/dbraw/zinc/64/55/49/408645549.db2.gz DHZCBROKDLSPPI-UHFFFAOYSA-N -1 1 322.372 1.624 20 0 DDADMM C[C@H](C1CC1)N(C(=O)CS(=O)(=O)c1ccc([O-])cc1)C1CC1 ZINC000177526994 408648135 /nfs/dbraw/zinc/64/81/35/408648135.db2.gz TVFLDDREEUOBBR-LLVKDONJSA-N -1 1 323.414 1.955 20 0 DDADMM O=C(NCCCc1nnc2n1CCCC2)c1ncccc1[O-] ZINC000270740512 408659908 /nfs/dbraw/zinc/65/99/08/408659908.db2.gz CFNNPRVVPALAIU-UHFFFAOYSA-N -1 1 301.350 1.078 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000184886712 408710825 /nfs/dbraw/zinc/71/08/25/408710825.db2.gz WWWJGQCZGCOHIU-HNNXBMFYSA-N -1 1 304.390 1.974 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)NCc1cccc(OC)c1)C(=O)[O-] ZINC000185047510 408744493 /nfs/dbraw/zinc/74/44/93/408744493.db2.gz LDSFWTADGKPMTO-SMDDNHRTSA-N -1 1 308.378 1.543 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)[C@H]1CCSC1 ZINC000162987436 408751289 /nfs/dbraw/zinc/75/12/89/408751289.db2.gz MODGHVLUCYDUNK-JTQLQIEISA-N -1 1 309.435 1.953 20 0 DDADMM Cc1nc(CS(=O)(=O)c2nc(-c3ccccc3F)n[n-]2)no1 ZINC000195125834 408813755 /nfs/dbraw/zinc/81/37/55/408813755.db2.gz PCIFNATVUSRPTA-UHFFFAOYSA-N -1 1 323.309 1.276 20 0 DDADMM Cc1nc(CS(=O)(=O)c2n[n-]c(-c3ccccc3F)n2)no1 ZINC000195125834 408813757 /nfs/dbraw/zinc/81/37/57/408813757.db2.gz PCIFNATVUSRPTA-UHFFFAOYSA-N -1 1 323.309 1.276 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2OCCC[C@@H]12)c1cccc(F)c1F ZINC000249964829 408772528 /nfs/dbraw/zinc/77/25/28/408772528.db2.gz WVAPLOADJZTZCG-GDPRMGEGSA-N -1 1 303.330 1.811 20 0 DDADMM CCC(O)(CC)CC[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000276163246 408823644 /nfs/dbraw/zinc/82/36/44/408823644.db2.gz BJCVCPMPJNBZLM-UHFFFAOYSA-N -1 1 319.379 1.286 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000276272426 408828441 /nfs/dbraw/zinc/82/84/41/408828441.db2.gz KEDVNKHVHNEQRK-NWDGAFQWSA-N -1 1 322.336 1.263 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(C3CC3)ccn2)co1 ZINC000290798547 408834912 /nfs/dbraw/zinc/83/49/12/408834912.db2.gz ACWHKKHCHUPOAI-UHFFFAOYSA-N -1 1 321.358 1.712 20 0 DDADMM CC(C)(C)[S@@](=O)CCNC(=O)c1c(F)ccc([O-])c1F ZINC000280829082 408841980 /nfs/dbraw/zinc/84/19/80/408841980.db2.gz SPNDWCVROUSHPW-FQEVSTJZSA-N -1 1 305.346 1.947 20 0 DDADMM COCCOC1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000280859907 408847755 /nfs/dbraw/zinc/84/77/55/408847755.db2.gz DIICJAOFBCVUNA-UHFFFAOYSA-N -1 1 307.346 1.229 20 0 DDADMM O=C(N[C@H]1CCO[C@]2(CCSC2)C1)C(=O)c1ccc([O-])cc1 ZINC000280976260 408867866 /nfs/dbraw/zinc/86/78/66/408867866.db2.gz KVVJXZUWBHKOHW-BLLLJJGKSA-N -1 1 321.398 1.746 20 0 DDADMM C[C@@H](CN(C)C(=O)c1n[nH]c2c1CCCCC2)c1nn[n-]n1 ZINC000191022439 163169918 /nfs/dbraw/zinc/16/99/18/163169918.db2.gz FQUISTHZLBTXKE-VIFPVBQESA-N -1 1 303.370 1.067 20 0 DDADMM Cc1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])cc1F ZINC000192731442 163235852 /nfs/dbraw/zinc/23/58/52/163235852.db2.gz UPLFDSYXJROKFP-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)OC(C)(C)C ZINC000277454869 408943436 /nfs/dbraw/zinc/94/34/36/408943436.db2.gz KSINHGHNPIOMSH-GHMZBOCLSA-N -1 1 321.377 1.772 20 0 DDADMM CC(C)([N-]C(=O)C(F)(F)F)C(=O)Nc1nnc(C2CCC2)[nH]1 ZINC000277464474 408944875 /nfs/dbraw/zinc/94/48/75/408944875.db2.gz YMQBQHVGJWCQDE-UHFFFAOYSA-N -1 1 319.287 1.468 20 0 DDADMM CC(C)([N-]C(=O)C(F)(F)F)C(=O)Nc1n[nH]c(C2CCC2)n1 ZINC000277464474 408944878 /nfs/dbraw/zinc/94/48/78/408944878.db2.gz YMQBQHVGJWCQDE-UHFFFAOYSA-N -1 1 319.287 1.468 20 0 DDADMM CCOc1cc(C(=O)N[C@H]2COC[C@@H]2OC)cc(Cl)c1[O-] ZINC000292150096 408991347 /nfs/dbraw/zinc/99/13/47/408991347.db2.gz VGHWOAQOTCGAQZ-JQWIXIFHSA-N -1 1 315.753 1.588 20 0 DDADMM CC(C)(C)c1nc(CS(=O)(=O)c2nc3ccccc3[n-]2)n[nH]1 ZINC000292246711 409009374 /nfs/dbraw/zinc/00/93/74/409009374.db2.gz DABSBLKOYDZGTO-UHFFFAOYSA-N -1 1 319.390 1.952 20 0 DDADMM O=C(NCC1(N2CCOCC2)CC1)c1cc(Cl)ccc1[O-] ZINC000277943906 409038649 /nfs/dbraw/zinc/03/86/49/409038649.db2.gz OLCAKDOLDHYCAR-UHFFFAOYSA-N -1 1 310.781 1.640 20 0 DDADMM CS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000282382525 409044278 /nfs/dbraw/zinc/04/42/78/409044278.db2.gz GSDWOFVYACDEQE-SECBINFHSA-N -1 1 303.767 1.305 20 0 DDADMM Cn1ncc2c1C[C@H](C(=O)Nc1nc(Cl)ccc1[O-])CC2 ZINC000282388464 409045597 /nfs/dbraw/zinc/04/55/97/409045597.db2.gz POPWLWXSVNUDFY-MRVPVSSYSA-N -1 1 306.753 1.918 20 0 DDADMM Cc1c([C@@H](C)NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)cnn1C ZINC000287672699 409074564 /nfs/dbraw/zinc/07/45/64/409074564.db2.gz QGKUULQLLOURML-ACLKWTDMSA-N -1 1 313.365 1.266 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000287711167 409080860 /nfs/dbraw/zinc/08/08/60/409080860.db2.gz GLPKUYONKGBTOA-VIFPVBQESA-N -1 1 319.235 1.185 20 0 DDADMM O=C(NCCCCN1CCOCC1)c1s[n-]c(=O)c1Cl ZINC000283187102 409106906 /nfs/dbraw/zinc/10/69/06/409106906.db2.gz YKAUVORGUMXHMQ-UHFFFAOYSA-N -1 1 319.814 1.344 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(N(C)C(C)=O)cc1)c1nn[n-]n1 ZINC000278976416 409124007 /nfs/dbraw/zinc/12/40/07/409124007.db2.gz DBEICKHWKIELCJ-CYBMUJFWSA-N -1 1 316.365 1.454 20 0 DDADMM Cc1ncc(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)c(C2CC2)n1 ZINC000283290975 409130019 /nfs/dbraw/zinc/13/00/19/409130019.db2.gz UZJJTZINFXCVIJ-BKUYFWCQSA-N -1 1 308.345 1.570 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]2OCC[C@@H]21)c1ccc2n[n-]c(=S)n2c1 ZINC000283619172 409185862 /nfs/dbraw/zinc/18/58/62/409185862.db2.gz ZOKCWNODFUPPHX-IJLUTSLNSA-N -1 1 318.402 1.705 20 0 DDADMM C[C@@H]1C[N@H+](C2CC2)C[C@H]1NC(=O)c1ccc2n[nH]c(=S)n2c1 ZINC000283636887 409188223 /nfs/dbraw/zinc/18/82/23/409188223.db2.gz CBQFTPXKMHOODF-BXKDBHETSA-N -1 1 317.418 1.231 20 0 DDADMM CC(C)C[C@@]1(CO)CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000283558986 409176489 /nfs/dbraw/zinc/17/64/89/409176489.db2.gz VPJYKTDRGBZIHD-QGZVFWFLSA-N -1 1 305.374 1.975 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1ccc2ccccc2c1[O-])OC ZINC000293946522 409212958 /nfs/dbraw/zinc/21/29/58/409212958.db2.gz ISNKCNNKTXIGLW-ZDUSSCGKSA-N -1 1 303.314 1.463 20 0 DDADMM COC[C@@H](NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)c1ccco1 ZINC000279481626 409223405 /nfs/dbraw/zinc/22/34/05/409223405.db2.gz XJHGNCHRXJTTKF-OTWJJXBCSA-N -1 1 315.333 1.444 20 0 DDADMM O=c1cc(C2CC2)nc(SC[C@H]2CCCS(=O)(=O)C2)[n-]1 ZINC000284250967 409303995 /nfs/dbraw/zinc/30/39/95/409303995.db2.gz OJQIFFQIQXGSBZ-SECBINFHSA-N -1 1 314.432 1.977 20 0 DDADMM CCOC(=O)[C@H](C)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284260654 409306402 /nfs/dbraw/zinc/30/64/02/409306402.db2.gz KVYYQZMXVJFKGL-MRVPVSSYSA-N -1 1 323.773 1.957 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@]1(O)CCC[C@@H]1C ZINC000294301463 409274507 /nfs/dbraw/zinc/27/45/07/409274507.db2.gz OHWQEPXDIUKHTR-RMLUDKJBSA-N -1 1 311.407 1.493 20 0 DDADMM C[C@H]1CCNC(=O)[C@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284791506 409364828 /nfs/dbraw/zinc/36/48/28/409364828.db2.gz XXEADMIWBFQQJO-CPCISQLKSA-N -1 1 320.773 1.282 20 0 DDADMM O=C(NCC1(N2CCOCC2)CC1)c1c(F)ccc([O-])c1F ZINC000290243118 409332106 /nfs/dbraw/zinc/33/21/06/409332106.db2.gz UVMSIDQNUNFJLP-UHFFFAOYSA-N -1 1 312.316 1.265 20 0 DDADMM CC(C)O[N-]C(=O)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000295563409 409348661 /nfs/dbraw/zinc/34/86/61/409348661.db2.gz NGEWABLKTUUKPP-NSHDSACASA-N -1 1 320.345 1.267 20 0 DDADMM CCC[C@@]1([N-]S(=O)(=O)c2c(C)nn(C)c2Cl)CCOC1 ZINC000285384680 409420386 /nfs/dbraw/zinc/42/03/86/409420386.db2.gz RWQYQOGDTOPRIK-GFCCVEGCSA-N -1 1 321.830 1.619 20 0 DDADMM CC(C)[N@@H+]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000295371369 409454672 /nfs/dbraw/zinc/45/46/72/409454672.db2.gz WSEMMKDLLYHTOH-UHFFFAOYSA-N -1 1 319.434 1.574 20 0 DDADMM COCc1ccccc1NS(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000315188849 164018512 /nfs/dbraw/zinc/01/85/12/164018512.db2.gz KNHWXXGXWPVXSM-UHFFFAOYSA-N -1 1 324.358 1.671 20 0 DDADMM CO[C@H](C)c1nsc(=NC[C@H]2CN(CC(C)C)CCO2)[n-]1 ZINC000337906390 409555874 /nfs/dbraw/zinc/55/58/74/409555874.db2.gz CNFIIVZWZBPWHM-NEPJUHHUSA-N -1 1 314.455 1.436 20 0 DDADMM CO[C@H](C)c1nc(=NC[C@H]2CN(CC(C)C)CCO2)s[n-]1 ZINC000337906390 409555884 /nfs/dbraw/zinc/55/58/84/409555884.db2.gz CNFIIVZWZBPWHM-NEPJUHHUSA-N -1 1 314.455 1.436 20 0 DDADMM CC(C)CCC1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000353879552 409536003 /nfs/dbraw/zinc/53/60/03/409536003.db2.gz IZAWLVFTUBAZID-UHFFFAOYSA-N -1 1 317.393 1.706 20 0 DDADMM CCOc1ccc(NC(=O)CNC(=O)c2ncccc2[O-])cc1 ZINC000337953399 409599189 /nfs/dbraw/zinc/59/91/89/409599189.db2.gz YXAZGTUKWCNZHJ-UHFFFAOYSA-N -1 1 315.329 1.554 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CCO[C@H]2c2ccnn2C)c([O-])c1 ZINC000331579416 409658766 /nfs/dbraw/zinc/65/87/66/409658766.db2.gz IODPKEJQFMVQKO-XHDPSFHLSA-N -1 1 316.361 1.337 20 0 DDADMM CC(=O)c1ccc(C[N-]S(=O)(=O)c2c(C)noc2C)nc1C ZINC000342565533 409727000 /nfs/dbraw/zinc/72/70/00/409727000.db2.gz IUUNAVGTMVVKCT-UHFFFAOYSA-N -1 1 323.374 1.676 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)c3coc(C(N)=O)c3)n2)o1 ZINC000354433916 409851153 /nfs/dbraw/zinc/85/11/53/409851153.db2.gz PXUMNMXLISGZQR-UHFFFAOYSA-N -1 1 301.262 1.317 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCC[C@](O)(CO)C1 ZINC000313617183 409810519 /nfs/dbraw/zinc/81/05/19/409810519.db2.gz AQGFMEXGGZGIJF-QGZVFWFLSA-N -1 1 301.342 1.505 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(F)cc1OC(F)F ZINC000357044255 409817516 /nfs/dbraw/zinc/81/75/16/409817516.db2.gz AITXHGFGFXFUNY-UHFFFAOYSA-N -1 1 313.239 1.359 20 0 DDADMM COc1cc(C(=O)NC[C@@H]2CC[C@H](CO)O2)cc(Cl)c1[O-] ZINC000349591355 409880059 /nfs/dbraw/zinc/88/00/59/409880059.db2.gz UVXLGGXVIZESJG-VHSXEESVSA-N -1 1 315.753 1.324 20 0 DDADMM CO[C@@]1(CNC(=O)c2cnc3nc(C)ccc3c2[O-])CCOC1 ZINC000332108981 409889804 /nfs/dbraw/zinc/88/98/04/409889804.db2.gz IPJRUUHNUVAYJJ-MRXNPFEDSA-N -1 1 317.345 1.179 20 0 DDADMM CO[C@@]1(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)CCOC1 ZINC000332108981 409889812 /nfs/dbraw/zinc/88/98/12/409889812.db2.gz IPJRUUHNUVAYJJ-MRXNPFEDSA-N -1 1 317.345 1.179 20 0 DDADMM OCC[C@H](N=c1nc(C(F)(F)F)[n-]s1)C1CCOCC1 ZINC000342836935 409939096 /nfs/dbraw/zinc/93/90/96/409939096.db2.gz CMVQXIOIELCDMI-QMMMGPOBSA-N -1 1 311.329 1.568 20 0 DDADMM CC(C)(NC(=O)c1csc(-c2ccoc2)n1)c1nn[n-]n1 ZINC000354784316 410086134 /nfs/dbraw/zinc/08/61/34/410086134.db2.gz KWJQGJQRGWHGOX-UHFFFAOYSA-N -1 1 304.335 1.581 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@H](c3nc(C4CC4)no3)C2)c([O-])c1 ZINC000329184503 410151115 /nfs/dbraw/zinc/15/11/15/410151115.db2.gz OIFSGLANUPHISZ-LLVKDONJSA-N -1 1 314.345 1.986 20 0 DDADMM CC(C)(NC(=O)[C@@H]1C[C@@H]1c1c(F)cccc1F)c1nn[n-]n1 ZINC000354793872 410093370 /nfs/dbraw/zinc/09/33/70/410093370.db2.gz UDBUGFCRJHSHFZ-JGVFFNPUSA-N -1 1 307.304 1.633 20 0 DDADMM CC(C)(NC(=O)c1csc([C@H]2CCCO2)n1)c1nn[n-]n1 ZINC000339359740 410125159 /nfs/dbraw/zinc/12/51/59/410125159.db2.gz JWQRRXCZNHIHJN-MRVPVSSYSA-N -1 1 308.367 1.173 20 0 DDADMM O=C(N[C@@H]1CCO[C@@H]1c1ccc(=O)[nH]c1)c1ncccc1[O-] ZINC000357642867 410155946 /nfs/dbraw/zinc/15/59/46/410155946.db2.gz KSTBQVMFEFMFJJ-QMTHXVAHSA-N -1 1 301.302 1.148 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3cccnc3)no2)co1 ZINC000339416783 410170108 /nfs/dbraw/zinc/17/01/08/410170108.db2.gz MBHRTYDRQBIOPF-UHFFFAOYSA-N -1 1 306.303 1.300 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N(C)Cc1cc[nH]n1)c2=O ZINC000351857999 410293628 /nfs/dbraw/zinc/29/36/28/410293628.db2.gz ZOXBVEDVARGLND-UHFFFAOYSA-N -1 1 312.329 1.532 20 0 DDADMM N=c1nc(N2CCN(C/C=C\c3ccc(F)cc3)CC2)s[n-]1 ZINC000355081553 410300917 /nfs/dbraw/zinc/30/09/17/410300917.db2.gz PAGZMSQAPPJKCH-UPHRSURJSA-N -1 1 319.409 1.925 20 0 DDADMM CC1(C)CCC(NC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343277953 410302465 /nfs/dbraw/zinc/30/24/65/410302465.db2.gz GGJRWZZHCGPMOM-UHFFFAOYSA-N -1 1 305.378 1.602 20 0 DDADMM C[C@H]1[C@H](C)N(C(=O)N=c2ncn(C3CCCCC3)[n-]2)CCN1C ZINC000329822665 410451110 /nfs/dbraw/zinc/45/11/10/410451110.db2.gz HLCZOAWBUHGTKQ-STQMWFEESA-N -1 1 320.441 1.762 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2nc(C)c(C)s2)n1 ZINC000333292652 410466707 /nfs/dbraw/zinc/46/67/07/410466707.db2.gz RRRIOYYMKRKDQU-LURJTMIESA-N -1 1 302.381 1.491 20 0 DDADMM O=c1nc(CN2C[C@@H]3CC[C@@H](O)C[C@@H]3C2)[n-]c2ccsc21 ZINC000333331152 410486826 /nfs/dbraw/zinc/48/68/26/410486826.db2.gz WFCVKUGOWMGQNE-HBNTYKKESA-N -1 1 305.403 1.990 20 0 DDADMM O=c1cc(/C=C\c2nccn2CC(F)(F)F)nc2nc[n-]n21 ZINC000352035162 410436095 /nfs/dbraw/zinc/43/60/95/410436095.db2.gz MTALIZNIVDVFNP-UPHRSURJSA-N -1 1 310.239 1.347 20 0 DDADMM O=S(=O)([N-]Cc1nc(CO)cs1)c1cc(F)ccc1F ZINC000347390757 410515466 /nfs/dbraw/zinc/51/54/66/410515466.db2.gz MAEYUFZLLAVWKA-UHFFFAOYSA-N -1 1 320.342 1.392 20 0 DDADMM CCCC(=O)N1CCC(C(=O)N[C@@H](CCC)c2nn[n-]n2)CC1 ZINC000343715030 410639929 /nfs/dbraw/zinc/63/99/29/410639929.db2.gz AWTRCSMFGFBIKD-LBPRGKRZSA-N -1 1 322.413 1.196 20 0 DDADMM COc1ccc(C(=O)N=c2ccc([O-])n[nH]2)c(OC(F)F)c1 ZINC000355879067 410715085 /nfs/dbraw/zinc/71/50/85/410715085.db2.gz JKGPYOVAPHZNHX-UHFFFAOYSA-N -1 1 311.244 1.466 20 0 DDADMM COc1ccc(C(=O)N=c2ccc(O)n[n-]2)c(OC(F)F)c1 ZINC000355879067 410715095 /nfs/dbraw/zinc/71/50/95/410715095.db2.gz JKGPYOVAPHZNHX-UHFFFAOYSA-N -1 1 311.244 1.466 20 0 DDADMM NC(=O)c1cccc(S(=O)(=O)[N-]c2ccc3c(c2)OCO3)c1 ZINC000340109811 410679457 /nfs/dbraw/zinc/67/94/57/410679457.db2.gz SYDSTLBYIIRQIG-UHFFFAOYSA-N -1 1 320.326 1.315 20 0 DDADMM CC(C)Oc1ccccc1CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000359780672 410875219 /nfs/dbraw/zinc/87/52/19/410875219.db2.gz CDJNFVBENHRQGG-UHFFFAOYSA-N -1 1 303.366 1.581 20 0 DDADMM Cc1ccc(Cl)c(O[C@@H](C)C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000359784674 410878288 /nfs/dbraw/zinc/87/82/88/410878288.db2.gz JQJLCAUHIRLMQX-VIFPVBQESA-N -1 1 323.784 1.980 20 0 DDADMM C[C@H]1c2ccccc2CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000344063825 410932904 /nfs/dbraw/zinc/93/29/04/410932904.db2.gz QZUXDKBQOLPMGS-NSHDSACASA-N -1 1 311.341 1.620 20 0 DDADMM CCCN1CCN(C(=O)N=c2[n-]nc(SCC)s2)CC1 ZINC000331106732 410952694 /nfs/dbraw/zinc/95/26/94/410952694.db2.gz IXGUKIZHNRQPDJ-UHFFFAOYSA-N -1 1 315.468 1.632 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCO[C@@H]1CCCC[C@H]1C ZINC000331264989 411047634 /nfs/dbraw/zinc/04/76/34/411047634.db2.gz PGQCXWWOKODZTL-MWLCHTKSSA-N -1 1 317.411 1.269 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCO[C@@H]1CCCC[C@H]1C ZINC000331264989 411047640 /nfs/dbraw/zinc/04/76/40/411047640.db2.gz PGQCXWWOKODZTL-MWLCHTKSSA-N -1 1 317.411 1.269 20 0 DDADMM COc1cc(CNC2(c3nnn[n-]3)CCCC2)cc(OC)c1 ZINC000344201831 411024565 /nfs/dbraw/zinc/02/45/65/411024565.db2.gz XYYOZSCEDBVJAC-UHFFFAOYSA-N -1 1 303.366 1.776 20 0 DDADMM CC(=O)N[C@H](C)C1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000331228955 411030104 /nfs/dbraw/zinc/03/01/04/411030104.db2.gz GXHRLKGQXFSNHB-LLVKDONJSA-N -1 1 318.373 1.338 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2c(C)nn(CC)c2C)c1C ZINC000331255209 411043391 /nfs/dbraw/zinc/04/33/91/411043391.db2.gz VCWPRUICOMFNNX-UHFFFAOYSA-N -1 1 311.411 1.915 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](CCO)C1 ZINC000331252042 411044324 /nfs/dbraw/zinc/04/43/24/411044324.db2.gz UDCWESCXJONYOK-LLVKDONJSA-N -1 1 307.394 1.633 20 0 DDADMM O=C(Nc1ccc2nc[nH]c2c1)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000344462629 411107037 /nfs/dbraw/zinc/10/70/37/411107037.db2.gz LNJKVYOCCNYVNH-UHFFFAOYSA-N -1 1 322.284 1.565 20 0 DDADMM CC(C)C[C@H](CO)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000571555984 304470303 /nfs/dbraw/zinc/47/03/03/304470303.db2.gz GWZSAYOVWOQCOI-SNVBAGLBSA-N -1 1 314.432 1.982 20 0 DDADMM O=S(=O)([N-]C(C1CC1)C1CC1)c1c[nH]nc1C(F)(F)F ZINC000631701039 422886269 /nfs/dbraw/zinc/88/62/69/422886269.db2.gz GOIGUMZUEZCOID-UHFFFAOYSA-N -1 1 309.313 1.895 20 0 DDADMM CCn1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c(C)n1 ZINC000629951192 422889655 /nfs/dbraw/zinc/88/96/55/422889655.db2.gz AXPQXSAXYINHRS-ZDUSSCGKSA-N -1 1 311.349 1.244 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3CO[C@H](C4CC4)C3)cnc2n1 ZINC000630026449 422929095 /nfs/dbraw/zinc/92/90/95/422929095.db2.gz OLWJRJXMWVECMA-RISCZKNCSA-N -1 1 313.357 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1CO[C@H](C3CC3)C1)c2=O ZINC000630026449 422929097 /nfs/dbraw/zinc/92/90/97/422929097.db2.gz OLWJRJXMWVECMA-RISCZKNCSA-N -1 1 313.357 1.941 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3CO[C@@H](C4CC4)C3)cnc2n1 ZINC000630026452 422929945 /nfs/dbraw/zinc/92/99/45/422929945.db2.gz OLWJRJXMWVECMA-SMDDNHRTSA-N -1 1 313.357 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1CO[C@@H](C3CC3)C1)c2=O ZINC000630026452 422929947 /nfs/dbraw/zinc/92/99/47/422929947.db2.gz OLWJRJXMWVECMA-SMDDNHRTSA-N -1 1 313.357 1.941 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1[C@H](C(=O)[O-])C1(C)C)c1ccsc1 ZINC000580549820 422941117 /nfs/dbraw/zinc/94/11/17/422941117.db2.gz MCEDTWLQYGOAJD-SDDRHHMPSA-N -1 1 310.419 1.824 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CC2CCC1CC2 ZINC000580555701 422941431 /nfs/dbraw/zinc/94/14/31/422941431.db2.gz WHKOUJPMVYQSHW-UDNWOFFPSA-N -1 1 307.350 1.453 20 0 DDADMM O=C([O-])CCN(C(=O)[C@@H]1CCCc2[nH]ncc21)C1CCOCC1 ZINC000647734172 423019614 /nfs/dbraw/zinc/01/96/14/423019614.db2.gz VVKFSDCLVUKQOO-GFCCVEGCSA-N -1 1 321.377 1.312 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCCC[C@@H]2C)c1 ZINC000133883995 196343105 /nfs/dbraw/zinc/34/31/05/196343105.db2.gz AKLMIIBHSXLLLY-ONGXEEELSA-N -1 1 301.364 1.923 20 0 DDADMM O=C(Nc1n[nH]c2[nH]c(=O)ccc12)c1c(F)ccc([O-])c1F ZINC000647761346 423034697 /nfs/dbraw/zinc/03/46/97/423034697.db2.gz RRCCOAFBIHOCSN-UHFFFAOYSA-N -1 1 306.228 1.322 20 0 DDADMM O=C([O-])[C@@H]1CN(Cc2ncnn2-c2ccccc2)C[C@H]1C1CC1 ZINC000652513578 423049987 /nfs/dbraw/zinc/04/99/87/423049987.db2.gz DMEKFKSJXKQWEM-LSDHHAIUSA-N -1 1 312.373 1.810 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CSCN1C(=O)C1CCCC1 ZINC000647816798 423060963 /nfs/dbraw/zinc/06/09/63/423060963.db2.gz VZYGQVCWMWMIDF-SNVBAGLBSA-N -1 1 324.406 1.454 20 0 DDADMM COCC(C)(C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645515310 423061185 /nfs/dbraw/zinc/06/11/85/423061185.db2.gz GATHHBWMOILGGX-UHFFFAOYSA-N -1 1 312.313 1.804 20 0 DDADMM COCCN(C)S(=O)(=O)[N-]c1ccn(-c2ccccc2)n1 ZINC000650159822 423070310 /nfs/dbraw/zinc/07/03/10/423070310.db2.gz UMYVKJPHOZAJKZ-UHFFFAOYSA-N -1 1 310.379 1.107 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC(C2(O)CC2)CC1 ZINC000652662213 423091907 /nfs/dbraw/zinc/09/19/07/423091907.db2.gz LLYKFNPWCSDUQB-UHFFFAOYSA-N -1 1 307.419 1.516 20 0 DDADMM C[C@H](CCO)C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645572499 423092629 /nfs/dbraw/zinc/09/26/29/423092629.db2.gz KLARQFCCRDPIPL-MRVPVSSYSA-N -1 1 312.313 1.397 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@@H](C(C)=O)c1ccccc1F ZINC000416650546 225019271 /nfs/dbraw/zinc/01/92/71/225019271.db2.gz QOUWSLIJARXLOY-ZDUSSCGKSA-N -1 1 317.382 1.800 20 0 DDADMM COCCn1cc(CN[C@H](C(=O)[O-])c2ccccc2F)cn1 ZINC000417552125 225360589 /nfs/dbraw/zinc/36/05/89/225360589.db2.gz BZDMUMQQQIVSHP-AWEZNQCLSA-N -1 1 307.325 1.584 20 0 DDADMM CSc1nc(CNC(=O)[C@H](C)C2CCOCC2)cc(=O)[n-]1 ZINC000640655845 423115268 /nfs/dbraw/zinc/11/52/68/423115268.db2.gz KOWVUPIKOWDCQP-SECBINFHSA-N -1 1 311.407 1.583 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2C[C@H]2CC(C)(C)C)co1 ZINC000652758282 423120362 /nfs/dbraw/zinc/12/03/62/423120362.db2.gz PXDOQESBBBVJBY-GXSJLCMTSA-N -1 1 314.407 1.742 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCCS(=O)(=O)CC1 ZINC000225459567 304483283 /nfs/dbraw/zinc/48/32/83/304483283.db2.gz ZKJZQEZKJYRQDC-UHFFFAOYSA-N -1 1 303.767 1.306 20 0 DDADMM NC(=O)CC1(CNC(=O)c2ccc(C(F)(F)F)c([O-])c2)CC1 ZINC000643355125 423122596 /nfs/dbraw/zinc/12/25/96/423122596.db2.gz RAXIGLJBSAJFCX-UHFFFAOYSA-N -1 1 316.279 1.796 20 0 DDADMM COc1ccc(-c2nc(CN=c3nc(C)[n-]s3)n[nH]2)cc1 ZINC000360454687 418439754 /nfs/dbraw/zinc/43/97/54/418439754.db2.gz KXVCLLWURAAWFX-UHFFFAOYSA-N -1 1 302.363 1.674 20 0 DDADMM COc1ccc(-c2n[nH]c(CN=c3nc(C)[n-]s3)n2)cc1 ZINC000360454687 418439758 /nfs/dbraw/zinc/43/97/58/418439758.db2.gz KXVCLLWURAAWFX-UHFFFAOYSA-N -1 1 302.363 1.674 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C[C@@H](CO)O1 ZINC000187948230 222002693 /nfs/dbraw/zinc/00/26/93/222002693.db2.gz XWRQXTHEODBQNC-WPRPVWTQSA-N -1 1 319.279 1.633 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1ncccc1[O-])c1ccc(F)cc1 ZINC000192690404 222132289 /nfs/dbraw/zinc/13/22/89/222132289.db2.gz MSXMXRHRHTUHPR-LBPRGKRZSA-N -1 1 318.304 1.613 20 0 DDADMM O=C(C[C@@H]1C[C@H]1c1ccccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000367523458 418607584 /nfs/dbraw/zinc/60/75/84/418607584.db2.gz OXKMVRDDYYBKGF-MELADBBJSA-N -1 1 313.361 1.293 20 0 DDADMM COC[C@H]1CCCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000375137836 418609604 /nfs/dbraw/zinc/60/96/04/418609604.db2.gz XWLUATJOBAAJRO-LBPRGKRZSA-N -1 1 315.373 1.812 20 0 DDADMM NC(=O)[C@@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@H]1C(F)(F)F ZINC000375476734 418646548 /nfs/dbraw/zinc/64/65/48/418646548.db2.gz SUXIFJJAKLMGTC-RKDXNWHRSA-N -1 1 320.242 1.267 20 0 DDADMM Cc1n[n-]c(=NCCN2CCN(C)C[C@H]2c2ccccc2)s1 ZINC000361828001 418715368 /nfs/dbraw/zinc/71/53/68/418715368.db2.gz FERHTQMLYAOKMU-HNNXBMFYSA-N -1 1 317.462 1.669 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCCC[C@@H]1Cn1ccnn1 ZINC000368086606 418688775 /nfs/dbraw/zinc/68/87/75/418688775.db2.gz QZADGEYVELZSPX-LLVKDONJSA-N -1 1 304.325 1.818 20 0 DDADMM O=C(N[C@H]1CCCc2c[nH]nc21)c1nc2ccccc2c(=O)[n-]1 ZINC000371977150 418829684 /nfs/dbraw/zinc/82/96/84/418829684.db2.gz BBYMNUIROYFOBQ-LBPRGKRZSA-N -1 1 309.329 1.454 20 0 DDADMM Cc1nc(CC(C)C)sc1C(=O)Nc1nn[nH]c1C(N)=O ZINC000410863129 418852624 /nfs/dbraw/zinc/85/26/24/418852624.db2.gz XLJYCPZCNUNRKB-UHFFFAOYSA-N -1 1 308.367 1.119 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2[C@H]1O)c1ccc([O-])cc1F ZINC000365613450 418882477 /nfs/dbraw/zinc/88/24/77/418882477.db2.gz PTLRFFCPWHZHSM-UKRRQHHQSA-N -1 1 303.289 1.756 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CCCN1c1ccccc1)c1nn[n-]n1 ZINC000365942176 418924477 /nfs/dbraw/zinc/92/44/77/418924477.db2.gz SODPBDXFLANZJL-GXTWGEPZSA-N -1 1 314.393 1.431 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]Cc2ccnn2C)c(F)c1 ZINC000425140105 228372843 /nfs/dbraw/zinc/37/28/43/228372843.db2.gz DWTAGNQDSMIDLB-UHFFFAOYSA-N -1 1 301.318 1.485 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)c2nc[nH]n2)c(F)c1 ZINC000425172697 228381082 /nfs/dbraw/zinc/38/10/82/228381082.db2.gz VFTSEFOUAGHNNF-SSDOTTSWSA-N -1 1 302.306 1.431 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](CCO)C(C)C)c(F)c1 ZINC000425192920 228387065 /nfs/dbraw/zinc/38/70/65/228387065.db2.gz FMMHJDLLAHNMBW-LBPRGKRZSA-N -1 1 307.362 1.959 20 0 DDADMM NC(=O)[C@@H]1CCCCC[C@H]1NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000426794673 419551042 /nfs/dbraw/zinc/55/10/42/419551042.db2.gz SQDDHKHSACMHNB-GHMZBOCLSA-N -1 1 322.434 1.303 20 0 DDADMM CC(=O)c1ccsc1[N-]S(=O)(=O)N1C[C@H](C)OC[C@H]1C ZINC000427308396 419634608 /nfs/dbraw/zinc/63/46/08/419634608.db2.gz NGTNYJGHTAIQPG-BDAKNGLRSA-N -1 1 318.420 1.717 20 0 DDADMM CS[C@@H](CO)[C@@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000427436680 419659589 /nfs/dbraw/zinc/65/95/89/419659589.db2.gz UUTKJTZBXZQOND-SKDRFNHKSA-N -1 1 321.402 1.486 20 0 DDADMM CS[C@@H](CO)[C@@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000427436680 419659595 /nfs/dbraw/zinc/65/95/95/419659595.db2.gz UUTKJTZBXZQOND-SKDRFNHKSA-N -1 1 321.402 1.486 20 0 DDADMM Cc1cnc(C(=O)NCc2nc(C(F)(F)F)n[nH]2)c([O-])c1 ZINC000427835298 419747300 /nfs/dbraw/zinc/74/73/00/419747300.db2.gz AFEZEQVNIYUQHG-UHFFFAOYSA-N -1 1 301.228 1.163 20 0 DDADMM COc1n[n-]c(=NCc2cn(-c3ccncc3)nc2C)s1 ZINC000428338634 419848054 /nfs/dbraw/zinc/84/80/54/419848054.db2.gz ZKKTZXITQMAUIT-UHFFFAOYSA-N -1 1 302.363 1.470 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@@]1(C)NC(=O)c1ncc(C)cc1[O-] ZINC000428030294 419791859 /nfs/dbraw/zinc/79/18/59/419791859.db2.gz HRSARJBDOCOLEN-MEDUHNTESA-N -1 1 306.362 1.947 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CCc2ccccc2O1)c1nn[n-]n1 ZINC000413683080 419795931 /nfs/dbraw/zinc/79/59/31/419795931.db2.gz DQUGOSCPAZQEAE-GXFFZTMASA-N -1 1 301.350 1.155 20 0 DDADMM Cc1cc(C(=O)N(CC(=O)[O-])Cc2ccc(F)c(F)c2)n[nH]1 ZINC000431985736 229072294 /nfs/dbraw/zinc/07/22/94/229072294.db2.gz KIGCZQLTVMVMNX-UHFFFAOYSA-N -1 1 309.272 1.723 20 0 DDADMM NC(=O)c1csc(=NC[C@]2(O)CCC[C@@H](C(F)(F)F)C2)[n-]1 ZINC000432170459 229085722 /nfs/dbraw/zinc/08/57/22/229085722.db2.gz SKRVZWCCHHIXQM-HQJQHLMTSA-N -1 1 323.340 1.559 20 0 DDADMM O=S(=O)(Cc1cccnc1)c1nc(-c2ccccc2)n[n-]1 ZINC000429886316 420055891 /nfs/dbraw/zinc/05/58/91/420055891.db2.gz JFCMLQXOMSQCOT-UHFFFAOYSA-N -1 1 300.343 1.841 20 0 DDADMM O=S(=O)(Cc1cccnc1)c1n[n-]c(-c2ccccc2)n1 ZINC000429886316 420055895 /nfs/dbraw/zinc/05/58/95/420055895.db2.gz JFCMLQXOMSQCOT-UHFFFAOYSA-N -1 1 300.343 1.841 20 0 DDADMM CN(CCCC(=O)[O-])[C@H]1CCN(c2c(F)cccc2F)C1=O ZINC000430678318 420176913 /nfs/dbraw/zinc/17/69/13/420176913.db2.gz MCXOUDNRNSHZEM-LBPRGKRZSA-N -1 1 312.316 1.867 20 0 DDADMM CCc1noc(=NCc2nc3c(s2)CN(C(C)C)CC3)[n-]1 ZINC000431016323 420234655 /nfs/dbraw/zinc/23/46/55/420234655.db2.gz UNNVYMQQJAWAHC-UHFFFAOYSA-N -1 1 307.423 1.889 20 0 DDADMM O=C(CO[C@@H]1CCCc2ccccc21)NC1(c2nn[n-]n2)CC1 ZINC000435850839 420288232 /nfs/dbraw/zinc/28/82/32/420288232.db2.gz IIWMJOUGGDPIIH-CYBMUJFWSA-N -1 1 313.361 1.399 20 0 DDADMM CC(=O)NCC[C@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000436737666 420363715 /nfs/dbraw/zinc/36/37/15/420363715.db2.gz JOPYSMOYMBYQTE-GFCCVEGCSA-N -1 1 308.353 1.910 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)N2C[C@@H](C)N(C)[C@@H](C)C2)s1 ZINC000436495441 420332814 /nfs/dbraw/zinc/33/28/14/420332814.db2.gz KLEOAYWFLVVPSV-PHIMTYICSA-N -1 1 311.455 1.859 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)COc2ccc(O)cc2)c1 ZINC000436503557 420335827 /nfs/dbraw/zinc/33/58/27/420335827.db2.gz HZSMYJTXOVTYFR-UHFFFAOYSA-N -1 1 317.297 1.902 20 0 DDADMM COC(=O)c1cnc([C@@H](C)N=c2[n-]c(C(N)=O)cs2)s1 ZINC000425273500 420336172 /nfs/dbraw/zinc/33/61/72/420336172.db2.gz OWKJUPXKKGFIKQ-RXMQYKEDSA-N -1 1 312.376 1.080 20 0 DDADMM COC(=O)[C@](C)(O)CNC(=O)c1cc2ccccc2cc1[O-] ZINC000436532254 420337173 /nfs/dbraw/zinc/33/71/73/420337173.db2.gz FUCDIKXREAQGMG-MRXNPFEDSA-N -1 1 303.314 1.199 20 0 DDADMM O=C(CCCn1cccn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425318444 420348323 /nfs/dbraw/zinc/34/83/23/420348323.db2.gz HXFAEJVUNKJTHW-UHFFFAOYSA-N -1 1 305.338 1.158 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccoc2CC(N)=O)c1 ZINC000436679364 420356083 /nfs/dbraw/zinc/35/60/83/420356083.db2.gz FVMDWRPZFHBEQL-UHFFFAOYSA-N -1 1 318.285 1.052 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC[C@@](F)(CO)C1 ZINC000436715648 420358407 /nfs/dbraw/zinc/35/84/07/420358407.db2.gz LTNBYKPBLITCIQ-LBPRGKRZSA-N -1 1 318.142 1.701 20 0 DDADMM O=C(N[C@H](CO)Cc1ccc(O)cc1)C(=O)c1ccc([O-])cc1 ZINC000436705733 420358941 /nfs/dbraw/zinc/35/89/41/420358941.db2.gz KSWBPIOZIYGDRF-ZDUSSCGKSA-N -1 1 315.325 1.000 20 0 DDADMM CC[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])[C@H]1CC1(C)C ZINC000416280543 420302574 /nfs/dbraw/zinc/30/25/74/420302574.db2.gz YPLJOVDYAOMGFA-GHMZBOCLSA-N -1 1 305.378 1.458 20 0 DDADMM CC[C@H]1CN(CCNC(=O)c2ccc(Cl)cc2[O-])CCO1 ZINC000436821626 420371407 /nfs/dbraw/zinc/37/14/07/420371407.db2.gz PTJQCDBFNBMYKB-LBPRGKRZSA-N -1 1 312.797 1.886 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc(Cl)cc1[O-])c1ccn(C)n1 ZINC000436840525 420374382 /nfs/dbraw/zinc/37/43/82/420374382.db2.gz XEGFFJOZCLLWPW-GFCCVEGCSA-N -1 1 323.736 1.423 20 0 DDADMM C[C@@]1(CNC(=O)C(=O)c2ccc([O-])cc2)CCO[C@@H]1C1CC1 ZINC000436903767 420382701 /nfs/dbraw/zinc/38/27/01/420382701.db2.gz ODHDMZIDBJYFTK-WBVHZDCISA-N -1 1 303.358 1.896 20 0 DDADMM CC[C@](COC)([N-]S(=O)(=O)C1CCCCC1)C(=O)OC ZINC000416515539 420402667 /nfs/dbraw/zinc/40/26/67/420402667.db2.gz MRYPYHDDYNBESP-CYBMUJFWSA-N -1 1 307.412 1.207 20 0 DDADMM C[N@@H+]1CCC[C@@H](CC[N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC000416563260 420418599 /nfs/dbraw/zinc/41/85/99/420418599.db2.gz PILWRKQPOZIPKK-NSHDSACASA-N -1 1 318.389 1.975 20 0 DDADMM CN(C)C(=O)C1([N-]S(=O)(=O)c2cccc(Cl)c2F)CC1 ZINC000416578766 420423616 /nfs/dbraw/zinc/42/36/16/420423616.db2.gz CZRYDQKIKNTXOF-UHFFFAOYSA-N -1 1 320.773 1.378 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1c(F)cccc1F)c1nn[n-]n1 ZINC000492547164 420589332 /nfs/dbraw/zinc/58/93/32/420589332.db2.gz GEXXQLROVQONST-NMTCXDENSA-N -1 1 307.304 1.753 20 0 DDADMM CCN1C[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])CC1=O ZINC000452949464 420686114 /nfs/dbraw/zinc/68/61/14/420686114.db2.gz JSZVCYMZYNKXRA-VIFPVBQESA-N -1 1 316.279 1.762 20 0 DDADMM COc1cc(C(=O)NCc2nnc(C)n2C)cc(Cl)c1[O-] ZINC000442650620 420693794 /nfs/dbraw/zinc/69/37/94/420693794.db2.gz PRDNNSSZDVEKDT-UHFFFAOYSA-N -1 1 310.741 1.421 20 0 DDADMM COc1cc(C(=O)N2C[C@@H](CO)OC[C@H]2C)cc(Cl)c1[O-] ZINC000442670144 420698888 /nfs/dbraw/zinc/69/88/88/420698888.db2.gz DGMFXOXYDVAZDR-SCZZXKLOSA-N -1 1 315.753 1.276 20 0 DDADMM COc1cc(C(=O)NC[C@@H](O)[C@@H]2CCOC2)cc(Cl)c1[O-] ZINC000442673012 420697920 /nfs/dbraw/zinc/69/79/20/420697920.db2.gz QDGRMNZPVBPESS-LDYMZIIASA-N -1 1 315.753 1.181 20 0 DDADMM COc1cc(C(=O)N[C@H](C)C[S@@](C)=O)cc(Cl)c1[O-] ZINC000442794762 420716836 /nfs/dbraw/zinc/71/68/36/420716836.db2.gz DKZYHXKNZXMPDE-QOLSBQFWSA-N -1 1 305.783 1.551 20 0 DDADMM CCn1cc([C@H](C)NC(=O)c2nc3ccccc3c(=O)[n-]2)cn1 ZINC000454348951 420844253 /nfs/dbraw/zinc/84/42/53/420844253.db2.gz VDSOFKSMXCLCLQ-JTQLQIEISA-N -1 1 311.345 1.631 20 0 DDADMM COc1cccc(C(=O)N=c2cc(C(F)(F)F)[n-][nH]2)c1O ZINC000448516569 420857232 /nfs/dbraw/zinc/85/72/32/420857232.db2.gz XHBDPOGWXOEAOW-UHFFFAOYSA-N -1 1 301.224 1.817 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(C)(F)F)nc1)c1nn[n-]n1 ZINC000450121918 421144803 /nfs/dbraw/zinc/14/48/03/421144803.db2.gz LMVJHBWCBQSFBW-VIFPVBQESA-N -1 1 310.308 1.978 20 0 DDADMM CC(C)[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000546798925 421311978 /nfs/dbraw/zinc/31/19/78/421311978.db2.gz DFCCKSHDDRDJIQ-NSHDSACASA-N -1 1 303.366 1.316 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCc2cccc(O)c21)c1nn[n-]n1 ZINC000560370946 421254987 /nfs/dbraw/zinc/25/49/87/421254987.db2.gz ARBYDEPZJUKBBH-MWLCHTKSSA-N -1 1 301.350 1.197 20 0 DDADMM O=C(Cc1coc2ccccc12)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000560605005 421283231 /nfs/dbraw/zinc/28/32/31/421283231.db2.gz FFUADVFYSOHRSX-NSHDSACASA-N -1 1 311.345 1.895 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@H]1[C@@H]1CCCO1 ZINC000560808543 421294586 /nfs/dbraw/zinc/29/45/86/421294586.db2.gz FBNPJLLTMAGNAE-STQMWFEESA-N -1 1 307.419 1.923 20 0 DDADMM CC(=O)Nc1ccccc1C[N-]S(=O)(=O)c1c(C)noc1C ZINC000525698689 421296762 /nfs/dbraw/zinc/29/67/62/421296762.db2.gz UPYATBLRGCGHIY-UHFFFAOYSA-N -1 1 323.374 1.728 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cn2ccnc2s1 ZINC000527193268 421363975 /nfs/dbraw/zinc/36/39/75/421363975.db2.gz IWDRVNRAANYYAT-UHFFFAOYSA-N -1 1 303.351 1.108 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccc(Cl)s2)[n-]n1C ZINC000547823082 421371574 /nfs/dbraw/zinc/37/15/74/421371574.db2.gz BJCRXOUQRALVIE-UHFFFAOYSA-N -1 1 307.784 1.366 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)c2ccon2)n[n-]1 ZINC000548056162 421394808 /nfs/dbraw/zinc/39/48/08/421394808.db2.gz UQHUOOUZPKBWQP-SNVBAGLBSA-N -1 1 321.337 1.487 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)c2ccon2)[n-]1 ZINC000548056162 421394811 /nfs/dbraw/zinc/39/48/11/421394811.db2.gz UQHUOOUZPKBWQP-SNVBAGLBSA-N -1 1 321.337 1.487 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)c2ccon2)n1 ZINC000548056162 421394814 /nfs/dbraw/zinc/39/48/14/421394814.db2.gz UQHUOOUZPKBWQP-SNVBAGLBSA-N -1 1 321.337 1.487 20 0 DDADMM CCSCc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)no1 ZINC000548107235 421400787 /nfs/dbraw/zinc/40/07/87/421400787.db2.gz DPZHYMTWGAIOPJ-VIFPVBQESA-N -1 1 322.394 1.461 20 0 DDADMM Cn1[n-]c(CN2CCc3c(cccc3C(F)(F)F)C2)nc1=O ZINC000528718182 421512344 /nfs/dbraw/zinc/51/23/44/421512344.db2.gz FQRPKKGRCJZBMF-UHFFFAOYSA-N -1 1 312.295 1.686 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N=c3cc4ccccn4[nH]3)cnc2n1 ZINC000515901843 421520565 /nfs/dbraw/zinc/52/05/65/421520565.db2.gz MQDJLXUVEDXIHB-UHFFFAOYSA-N -1 1 319.324 1.966 20 0 DDADMM COC(=O)c1ccc(C)c(NC(=O)CN(C)CCC(=O)[O-])c1 ZINC000563216965 421474755 /nfs/dbraw/zinc/47/47/55/421474755.db2.gz OXUNYUIPLSYUTA-UHFFFAOYSA-N -1 1 308.334 1.127 20 0 DDADMM O=C(NCC(C1CCC1)C1CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000529613379 421546067 /nfs/dbraw/zinc/54/60/67/421546067.db2.gz HOZBHQFFSWINTH-UHFFFAOYSA-N -1 1 315.377 1.364 20 0 DDADMM Cc1noc([C@@H](C)N(C)C(=O)c2csc(=NC3CC3)[n-]2)n1 ZINC000516662106 421561776 /nfs/dbraw/zinc/56/17/76/421561776.db2.gz SIGMKOGPCBWYOE-SSDOTTSWSA-N -1 1 307.379 1.664 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H]2c2ccc(=O)[nH]n2)c([O-])c1 ZINC000530081106 421577563 /nfs/dbraw/zinc/57/75/63/421577563.db2.gz WRKJTCSTPUWSRS-NSHDSACASA-N -1 1 300.318 1.569 20 0 DDADMM O=C(CNc1cccc(F)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000530585489 421608203 /nfs/dbraw/zinc/60/82/03/421608203.db2.gz RGGBFUNDNVRGIW-UHFFFAOYSA-N -1 1 304.329 1.336 20 0 DDADMM Cc1ccc2c(c1)[C@H](NC(=O)c1cc(=O)n3[n-]cnc3n1)CCC2 ZINC000553527948 421618974 /nfs/dbraw/zinc/61/89/74/421618974.db2.gz PXMYMMVYQVWTES-CYBMUJFWSA-N -1 1 323.356 1.533 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1COCC1(C)C ZINC000517873209 421631079 /nfs/dbraw/zinc/63/10/79/421631079.db2.gz FKRXWQYLDVAAIH-GFCCVEGCSA-N -1 1 318.377 1.135 20 0 DDADMM COc1ccccc1OCCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000554451672 421641129 /nfs/dbraw/zinc/64/11/29/421641129.db2.gz FUEAFVSMOFOKEA-UHFFFAOYSA-N -1 1 315.325 1.779 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1OCCc2ccccc21 ZINC000532754245 421665988 /nfs/dbraw/zinc/66/59/88/421665988.db2.gz FUCKSPRDIYOYKJ-CYBMUJFWSA-N -1 1 313.361 1.399 20 0 DDADMM COCC(=O)N1CCC(N=c2[n-]c(C(F)(F)F)cs2)CC1 ZINC000533213738 421670641 /nfs/dbraw/zinc/67/06/41/421670641.db2.gz WLNLVBFXHAVEQF-UHFFFAOYSA-N -1 1 323.340 1.633 20 0 DDADMM Cc1ccccc1CCC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000556806671 421739749 /nfs/dbraw/zinc/73/97/49/421739749.db2.gz ROSYIYWHEZKYHV-UHFFFAOYSA-N -1 1 313.361 1.577 20 0 DDADMM Cn1[n-]c(CN2CCC(Nc3ccc(F)cc3)CC2)nc1=O ZINC000541577757 421797318 /nfs/dbraw/zinc/79/73/18/421797318.db2.gz ALSXNXJWFQQICP-UHFFFAOYSA-N -1 1 305.357 1.324 20 0 DDADMM COC(=O)[C@H](NCc1ncccc1[O-])c1ccc(OC)c(O)c1 ZINC000558409368 421805144 /nfs/dbraw/zinc/80/51/44/421805144.db2.gz NKFDUUMLARNPKM-OAHLLOKOSA-N -1 1 318.329 1.505 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H]1C[C@@H]2CCCCN2C1=O ZINC000633382534 421876347 /nfs/dbraw/zinc/87/63/47/421876347.db2.gz QLZSLSKJXDFZEU-WCQYABFASA-N -1 1 322.792 1.858 20 0 DDADMM COc1cccc2c1CC[C@@H]2NCc1cc(C(=O)[O-])nn1C ZINC000635308398 421896663 /nfs/dbraw/zinc/89/66/63/421896663.db2.gz WQCOAYVFOQCLIS-ZDUSSCGKSA-N -1 1 301.346 1.904 20 0 DDADMM CN(C)[C@@H](CNC(=O)NCCCC(=O)[O-])c1cccc(F)c1 ZINC000572718525 421847719 /nfs/dbraw/zinc/84/77/19/421847719.db2.gz HKVUHFKORVFMEF-ZDUSSCGKSA-N -1 1 311.357 1.592 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1noc2c1C[C@H](C)CC2 ZINC000580841803 421848162 /nfs/dbraw/zinc/84/81/62/421848162.db2.gz MNGRBMKZHZLACF-SSDOTTSWSA-N -1 1 304.306 1.561 20 0 DDADMM CCOCc1ccccc1CNC(=O)CCCc1nn[n-]n1 ZINC000635251405 421858305 /nfs/dbraw/zinc/85/83/05/421858305.db2.gz DKVOJLVKWUCHHQ-UHFFFAOYSA-N -1 1 303.366 1.375 20 0 DDADMM COCC1(C(=O)[O-])CCN(C(=O)c2cnccc2N(C)C)CC1 ZINC000630167342 421933862 /nfs/dbraw/zinc/93/38/62/421933862.db2.gz RZVHBONEOCOVRD-UHFFFAOYSA-N -1 1 321.377 1.101 20 0 DDADMM Cc1cc(C)c(CCNC(=O)CCCc2nn[n-]n2)c(C)c1 ZINC000635379765 421941801 /nfs/dbraw/zinc/94/18/01/421941801.db2.gz BODMFPUGLQVJEF-UHFFFAOYSA-N -1 1 301.394 1.807 20 0 DDADMM Cc1ccccc1CCC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630221888 421973902 /nfs/dbraw/zinc/97/39/02/421973902.db2.gz DOCPKLNCULILAG-OAHLLOKOSA-N -1 1 318.417 1.935 20 0 DDADMM Cc1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)cc1F ZINC000630221526 421970539 /nfs/dbraw/zinc/97/05/39/421970539.db2.gz ALMBNRLXJISQGV-UHFFFAOYSA-N -1 1 308.353 1.755 20 0 DDADMM Cc1ccc(CCC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)o1 ZINC000630224912 421974794 /nfs/dbraw/zinc/97/47/94/421974794.db2.gz RCMXFZPXVCSQCZ-LBPRGKRZSA-N -1 1 308.378 1.528 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C[C@H](C)OC)C(C)C)c1 ZINC000632021333 422026167 /nfs/dbraw/zinc/02/61/67/422026167.db2.gz FOZHEWFHHSWFCQ-NSHDSACASA-N -1 1 317.407 1.835 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cc3ccccc3o2)[n-]n1C ZINC000573982639 422045964 /nfs/dbraw/zinc/04/59/64/422045964.db2.gz XLTMBYJPSLPUJA-UHFFFAOYSA-N -1 1 307.331 1.398 20 0 DDADMM Cc1ccc2c(c1C)OC[C@H]2NC(=O)CCCc1nn[n-]n1 ZINC000635493660 422041935 /nfs/dbraw/zinc/04/19/35/422041935.db2.gz BJNWARCRESXRGR-GFCCVEGCSA-N -1 1 301.350 1.389 20 0 DDADMM CC[C@@H](C)[C@@H](OC)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630227162 421978962 /nfs/dbraw/zinc/97/89/62/421978962.db2.gz IBCUXSBFUDHIER-VXGBXAGGSA-N -1 1 300.399 1.055 20 0 DDADMM O=C([O-])[C@H](C[C@@H]1CCCOC1)NC(=O)c1[nH]nc2c1CCCC2 ZINC000630272011 422001517 /nfs/dbraw/zinc/00/15/17/422001517.db2.gz GZZPYPONWDQLJH-GWCFXTLKSA-N -1 1 321.377 1.288 20 0 DDADMM CN1C[C@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)CCC1=O ZINC000631997412 422008913 /nfs/dbraw/zinc/00/89/13/422008913.db2.gz ZWLWIVDAAGFFNO-SSDOTTSWSA-N -1 1 308.306 1.116 20 0 DDADMM CNC(=O)c1sc2[n-]c([C@@H]3CC[C@@H](C)O3)nc(=O)c2c1C ZINC000630384695 422071876 /nfs/dbraw/zinc/07/18/76/422071876.db2.gz DCNRAIMXQMTQJT-SVRRBLITSA-N -1 1 307.375 1.893 20 0 DDADMM CCc1nc(=NCCc2cc(-c3ccncc3)[nH]n2)s[n-]1 ZINC000574355410 422096542 /nfs/dbraw/zinc/09/65/42/422096542.db2.gz PFIAAIBERSSVOW-UHFFFAOYSA-N -1 1 300.391 1.962 20 0 DDADMM CCC[C@@H](CCO)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000633825308 422100107 /nfs/dbraw/zinc/10/01/07/422100107.db2.gz QHLIOIAGAQSVLP-LBPRGKRZSA-N -1 1 320.393 1.262 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)[C@@H]2CCCC[C@@H]2OC)o1 ZINC000632144225 422117669 /nfs/dbraw/zinc/11/76/69/422117669.db2.gz SYMMPVMYAZBYIP-VHSXEESVSA-N -1 1 303.384 1.009 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC(C)(C)[C@@H](O)C(C)C)sn1 ZINC000632138671 422114049 /nfs/dbraw/zinc/11/40/49/422114049.db2.gz CJGYNIRXQCPGAZ-NSHDSACASA-N -1 1 306.453 1.773 20 0 DDADMM CO[C@H](CNC(=O)CCCc1nn[n-]n1)c1cccc(Cl)c1 ZINC000635622432 422175323 /nfs/dbraw/zinc/17/53/23/422175323.db2.gz JIIHDMWPKHHKGZ-GFCCVEGCSA-N -1 1 323.784 1.680 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCSC[C@@H]1c1ccccc1 ZINC000635572138 422125490 /nfs/dbraw/zinc/12/54/90/422125490.db2.gz MOROJQRGJUZDPC-CYBMUJFWSA-N -1 1 317.418 1.839 20 0 DDADMM CCc1nc(S[C@@H](C)C(=O)N2CCNC2=O)[n-]c(=O)c1C ZINC000582192644 422128173 /nfs/dbraw/zinc/12/81/73/422128173.db2.gz PAXZEOBEUNBYMK-QMMMGPOBSA-N -1 1 310.379 1.085 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)CC2(O)CCCC2)c1 ZINC000632159668 422130110 /nfs/dbraw/zinc/13/01/10/422130110.db2.gz JUMWXFOSMULHLR-UHFFFAOYSA-N -1 1 315.391 1.326 20 0 DDADMM CC[C@H](C)n1ncc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1C ZINC000574573063 422135467 /nfs/dbraw/zinc/13/54/67/422135467.db2.gz WGVYKGXFIUDERQ-CMPLNLGQSA-N -1 1 317.397 1.695 20 0 DDADMM CN(CC(=O)NC(C)(C)C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630595291 422207218 /nfs/dbraw/zinc/20/72/18/422207218.db2.gz BWKZPQSWBCCYMS-UHFFFAOYSA-N -1 1 312.797 1.961 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C(C)C)[C@H]2CCOC2)c1 ZINC000632352087 422269985 /nfs/dbraw/zinc/26/99/85/422269985.db2.gz XSOWEKXCIRXOQB-NSHDSACASA-N -1 1 315.391 1.589 20 0 DDADMM COc1ccc2c(c1)C[C@H](C)N(C(=O)CCc1nn[n-]n1)[C@@H]2C ZINC000632376092 422289561 /nfs/dbraw/zinc/28/95/61/422289561.db2.gz FFMWENLGPVWWHO-WDEREUQCSA-N -1 1 315.377 1.675 20 0 DDADMM C[C@@H]1CC[C@H](C(F)(F)F)CN1C(=O)CCCc1nn[n-]n1 ZINC000635783945 422339572 /nfs/dbraw/zinc/33/95/72/422339572.db2.gz NVBMYYDPNXJFGV-BDAKNGLRSA-N -1 1 305.304 1.712 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CC[C@@H](C)O2)c1 ZINC000632433267 422340051 /nfs/dbraw/zinc/34/00/51/422340051.db2.gz OASAKQAKZKHGID-MWLCHTKSSA-N -1 1 301.364 1.247 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1ccc(O)c(Cl)c1)c1nn[n-]n1 ZINC000632437339 422345237 /nfs/dbraw/zinc/34/52/37/422345237.db2.gz XHBJAALBRMHYEZ-MRVPVSSYSA-N -1 1 309.757 1.363 20 0 DDADMM NC(=O)CN(C(=O)Cc1ccc([O-])c(Cl)c1)C1CCCC1 ZINC000630762103 422319906 /nfs/dbraw/zinc/31/99/06/422319906.db2.gz NHJVVLHQYRHMEH-UHFFFAOYSA-N -1 1 310.781 1.845 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C)Cc2cnn(C)c2)sn1 ZINC000632419210 422325540 /nfs/dbraw/zinc/32/55/40/422325540.db2.gz ZSAAGSWUJDBPSH-SECBINFHSA-N -1 1 300.409 1.095 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCC(=O)N1CCCCC1 ZINC000630915288 422413448 /nfs/dbraw/zinc/41/34/48/422413448.db2.gz HTGWNJBESWWISV-UHFFFAOYSA-N -1 1 310.781 1.717 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@@H]1Cc1ccc(F)cc1 ZINC000630930555 422420416 /nfs/dbraw/zinc/42/04/16/422420416.db2.gz JFDDKOAFECAQJS-CQSZACIVSA-N -1 1 317.368 1.895 20 0 DDADMM Cc1nc(SCC(=O)N2CCN(C)CC2)[n-]c(=O)c1C1CC1 ZINC000631024175 422477803 /nfs/dbraw/zinc/47/78/03/422477803.db2.gz MWODNFUJUVYVEA-UHFFFAOYSA-N -1 1 322.434 1.234 20 0 DDADMM COc1ccc(-c2[n-]n([C@H]3CCS(=O)(=O)C3)c(=O)c2C)cc1 ZINC000634584727 422493537 /nfs/dbraw/zinc/49/35/37/422493537.db2.gz LJOSGQMXDIDYCW-JQWIXIFHSA-N -1 1 322.386 1.065 20 0 DDADMM O=C([O-])[C@H]1CSCCN(C(=O)c2[nH]nc3ccccc32)C1 ZINC000621605938 422535869 /nfs/dbraw/zinc/53/58/69/422535869.db2.gz MVPHBVWEGMUNEL-SECBINFHSA-N -1 1 305.359 1.453 20 0 DDADMM COC[C@@H](NC(=O)Cc1ccc([O-])c(Cl)c1)[C@@H]1CCCO1 ZINC000632799356 422587246 /nfs/dbraw/zinc/58/72/46/422587246.db2.gz TUQMWUDYFYVJKU-OCCSQVGLSA-N -1 1 313.781 1.898 20 0 DDADMM O=C(CNC(=O)Cc1ccc([O-])c(Cl)c1)NCC(F)F ZINC000632832213 422612175 /nfs/dbraw/zinc/61/21/75/422612175.db2.gz YWWICVLDCXHCQI-UHFFFAOYSA-N -1 1 306.696 1.086 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1C[C@H]1c1ccc(Cl)c(F)c1 ZINC000632839929 422617868 /nfs/dbraw/zinc/61/78/68/422617868.db2.gz LMJIQLHZHCAUSQ-GZMMTYOYSA-N -1 1 309.732 1.597 20 0 DDADMM Cc1cc(C)c([N-]S(=O)(=O)c2cnnn2C)c(Cl)c1 ZINC000629350064 422625773 /nfs/dbraw/zinc/62/57/73/422625773.db2.gz DODVFGYDGJFRBX-UHFFFAOYSA-N -1 1 300.771 1.886 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-][C@@H](C(C)(C)C)C(F)(F)F ZINC000629350308 422626020 /nfs/dbraw/zinc/62/60/20/422626020.db2.gz LMCGGPYZGXQMTC-ZETCQYMHSA-N -1 1 300.306 1.070 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)NCc2n[nH]c(C(C)C)n2)[n-]n1 ZINC000579079366 422700069 /nfs/dbraw/zinc/70/00/69/422700069.db2.gz ZFSRQNWINWVXQK-UHFFFAOYSA-N -1 1 319.369 1.249 20 0 DDADMM Cc1ccc(CN2CCN(c3nc(=N)[n-]s3)CC2)c(F)c1 ZINC000638171831 422724581 /nfs/dbraw/zinc/72/45/81/422724581.db2.gz WGEGYLNLSUXMDA-UHFFFAOYSA-N -1 1 307.398 1.720 20 0 DDADMM CC(C)Cc1nc(=NCc2nnc([C@@H]3CCOC3)[nH]2)s[n-]1 ZINC000631377014 422726898 /nfs/dbraw/zinc/72/68/98/422726898.db2.gz ZKMSUYJXPOINLL-SECBINFHSA-N -1 1 308.411 1.393 20 0 DDADMM CC(C)Cc1nc(=NCc2n[nH]c([C@@H]3CCOC3)n2)s[n-]1 ZINC000631377014 422726903 /nfs/dbraw/zinc/72/69/03/422726903.db2.gz ZKMSUYJXPOINLL-SECBINFHSA-N -1 1 308.411 1.393 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@@H](C)O[C@H]1C ZINC000631295327 422667749 /nfs/dbraw/zinc/66/77/49/422667749.db2.gz RZZNEZFLEGCKEC-JFUSQASVSA-N -1 1 318.377 1.481 20 0 DDADMM Cn1cnc(CCC[N-]S(=O)(=O)c2cccc(F)c2F)n1 ZINC000645804920 423194516 /nfs/dbraw/zinc/19/45/16/423194516.db2.gz COAAZDRMVZHYLG-UHFFFAOYSA-N -1 1 316.333 1.004 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1C[C@@H](OC(C)(C)C)C1 ZINC000645841698 423210712 /nfs/dbraw/zinc/21/07/12/423210712.db2.gz DFCXCAUXFATHNS-DTORHVGOSA-N -1 1 303.384 1.020 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@@H](OC(C)(C)C)C1 ZINC000645841698 423210718 /nfs/dbraw/zinc/21/07/18/423210718.db2.gz DFCXCAUXFATHNS-DTORHVGOSA-N -1 1 303.384 1.020 20 0 DDADMM COc1cccc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c1F ZINC000643909379 423401333 /nfs/dbraw/zinc/40/13/33/423401333.db2.gz VHQSYYPJYFOOLS-UHFFFAOYSA-N -1 1 317.280 1.126 20 0 DDADMM C[C@H]1CN(CCCNC(=O)[C@@H]2CC[C@H](C(=O)[O-])C2)C[C@H](C)O1 ZINC000653307466 423433352 /nfs/dbraw/zinc/43/33/52/423433352.db2.gz NVRUCYNZUMWQKJ-FQUUOJAGSA-N -1 1 312.410 1.103 20 0 DDADMM COc1ccc(C(=O)Nc2n[nH]c3[nH]c(=O)ccc23)c([O-])c1 ZINC000646309343 423443972 /nfs/dbraw/zinc/44/39/72/423443972.db2.gz KEXKQGVTRPZOGP-UHFFFAOYSA-N -1 1 300.274 1.052 20 0 DDADMM CCC(CC)(COCc1ccccc1)C(=O)NCc1nn[n-]n1 ZINC000648779409 423460497 /nfs/dbraw/zinc/46/04/97/423460497.db2.gz NSQLWUHCHILKTF-UHFFFAOYSA-N -1 1 317.393 1.839 20 0 DDADMM CCC[C@H](NC(=O)COC[C@H](C)c1ccccc1)c1nn[n-]n1 ZINC000651462233 423552827 /nfs/dbraw/zinc/55/28/27/423552827.db2.gz UGYOOHBRQANIGB-JSGCOSHPSA-N -1 1 317.393 1.977 20 0 DDADMM N=c1nc(N2CCN(CC(=O)c3ccccc3F)CC2)s[n-]1 ZINC000639538727 423565821 /nfs/dbraw/zinc/56/58/21/423565821.db2.gz RNPZUKJUMJMVKY-UHFFFAOYSA-N -1 1 321.381 1.095 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@@]1(C)CC1(F)F)c1ccco1 ZINC000641364993 423584226 /nfs/dbraw/zinc/58/42/26/423584226.db2.gz KSIRZHHAYBKLPE-GXSJLCMTSA-N -1 1 309.334 1.932 20 0 DDADMM C[C@H](CN(C)C(=O)COC[C@H](C)c1ccccc1)c1nn[n-]n1 ZINC000651721237 423657311 /nfs/dbraw/zinc/65/73/11/423657311.db2.gz YTGXCHAUIPVCHZ-OLZOCXBDSA-N -1 1 317.393 1.582 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@H]3CCc4ncncc4C3)n2)o1 ZINC000649214204 423681184 /nfs/dbraw/zinc/68/11/84/423681184.db2.gz OENVPKOTOJLZEB-JTQLQIEISA-N -1 1 324.344 1.907 20 0 DDADMM N=c1[n-]nc(C2CCN([C@@H]3C[C@@H]4CCCC[C@H]4NC3=O)CC2)o1 ZINC000639724558 423692326 /nfs/dbraw/zinc/69/23/26/423692326.db2.gz PGGQTUSPPIGNRD-YNEHKIRRSA-N -1 1 319.409 1.109 20 0 DDADMM COc1cccc(OCCN2CCC(c3n[n-]c(=N)o3)CC2)c1 ZINC000639739386 423701110 /nfs/dbraw/zinc/70/11/10/423701110.db2.gz ODDROOOACPTBFE-UHFFFAOYSA-N -1 1 318.377 1.749 20 0 DDADMM CN1CCN(Cc2cccc(NC(=O)[C@@H]3C[C@H]3C(=O)[O-])c2)CC1 ZINC000649453646 423913026 /nfs/dbraw/zinc/91/30/26/423913026.db2.gz XXPLAYPOGXXWLP-HUUCEWRRSA-N -1 1 317.389 1.093 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)NCC(C)(F)F ZINC000647259478 423917535 /nfs/dbraw/zinc/91/75/35/423917535.db2.gz GQMUXYVDCBXYIY-UHFFFAOYSA-N -1 1 323.303 1.262 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ncccc2Br)CO1 ZINC000657019562 423999119 /nfs/dbraw/zinc/99/91/19/423999119.db2.gz CBNZTFOTFHGFSC-HTQZYQBOSA-N -1 1 321.196 1.300 20 0 DDADMM CCn1cnnc1CC[N-]S(=O)(=O)c1sccc1Cl ZINC000649769915 424195861 /nfs/dbraw/zinc/19/58/61/424195861.db2.gz HZYUNOWWRAFQQL-UHFFFAOYSA-N -1 1 320.827 1.534 20 0 DDADMM CN(C)C(=O)NCCN(C)C(=O)N=c1[n-]sc2ccccc21 ZINC000640341983 424363750 /nfs/dbraw/zinc/36/37/50/424363750.db2.gz LAUHTMSAUHDDLM-UHFFFAOYSA-N -1 1 321.406 1.453 20 0 DDADMM O=S(=O)([N-]CC1CCC(O)CC1)c1cccc(F)c1F ZINC000660025150 424467855 /nfs/dbraw/zinc/46/78/55/424467855.db2.gz UDKNLOZIDYOVGV-UHFFFAOYSA-N -1 1 305.346 1.794 20 0 DDADMM O=C([O-])CN1CC[C@H](N(CCC(F)(F)F)CC2CC2)C1=O ZINC000662206882 424473298 /nfs/dbraw/zinc/47/32/98/424473298.db2.gz SOXKIBVFGAGCGK-JTQLQIEISA-N -1 1 308.300 1.336 20 0 DDADMM CC(C)[C@H](CNC(=O)c1n[nH]nc1-c1ccccc1)C(=O)[O-] ZINC000655564184 424571436 /nfs/dbraw/zinc/57/14/36/424571436.db2.gz LAARHSYAGBCNBB-NSHDSACASA-N -1 1 302.334 1.558 20 0 DDADMM O=C([O-])[C@H](CNC(=O)N1CCN(CC2CC2)CC1)C1CCC1 ZINC000665328887 424784901 /nfs/dbraw/zinc/78/49/01/424784901.db2.gz UZQDLCGEYMAINF-CQSZACIVSA-N -1 1 309.410 1.225 20 0 DDADMM Cc1nc(S[C@@H](C)C(=O)Nc2ncccn2)[n-]c(=O)c1C ZINC000346746277 272536074 /nfs/dbraw/zinc/53/60/74/272536074.db2.gz PBIDYBRXHVMIRW-VIFPVBQESA-N -1 1 305.363 1.708 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(Br)cc2[O-])C[C@@H]1O ZINC000279274953 278321633 /nfs/dbraw/zinc/32/16/33/278321633.db2.gz JSGZMLLNZVWUIA-NSHDSACASA-N -1 1 314.179 1.998 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)[C@@H]1CCCC[C@@H]1C(=O)OC)C(F)F ZINC000451627202 288054580 /nfs/dbraw/zinc/05/45/80/288054580.db2.gz XKWPNDBRTCUHOH-LPEHRKFASA-N -1 1 313.366 1.681 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CCO[C@]2(CCOC2)C1 ZINC000184056620 280157431 /nfs/dbraw/zinc/15/74/31/280157431.db2.gz LWBMCUKNRVBOTB-QGZVFWFLSA-N -1 1 314.341 1.572 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC000263460241 280292372 /nfs/dbraw/zinc/29/23/72/280292372.db2.gz VNUARKJSMHLDHJ-UFBFGSQYSA-N -1 1 324.299 1.013 20 0 DDADMM CSCCN(C)C(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000124643868 281193142 /nfs/dbraw/zinc/19/31/42/281193142.db2.gz KUIIKSHSHNBBCR-UHFFFAOYSA-N -1 1 322.434 1.806 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CCC[C@H](CO)C1 ZINC000135570166 281598719 /nfs/dbraw/zinc/59/87/19/281598719.db2.gz WANYQJWEVFSPAJ-VIFPVBQESA-N -1 1 314.179 1.999 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3[C@@H]4CCO[C@@H]4C3(C)C)ccnc1-2 ZINC000279266977 294131760 /nfs/dbraw/zinc/13/17/60/294131760.db2.gz JDAFQJKSDOSLHL-QMYKXCSMSA-N -1 1 315.377 1.277 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N(C)C1CC(O)C1)c2=O ZINC000354409347 298429397 /nfs/dbraw/zinc/42/93/97/298429397.db2.gz WVCATTHKQWGGPU-UHFFFAOYSA-N -1 1 302.330 1.132 20 0 DDADMM O=C(COc1ccc(F)cc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000362781250 300139359 /nfs/dbraw/zinc/13/93/59/300139359.db2.gz LZFPCKCGERMMOR-VIFPVBQESA-N -1 1 323.303 1.263 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H]1C[C@@H]1c1nnc[nH]1 ZINC000363901292 300323414 /nfs/dbraw/zinc/32/34/14/300323414.db2.gz ZPZXRBIBNYTYIB-NTSWFWBYSA-N -1 1 324.138 1.410 20 0 DDADMM CC(C)(C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1cccs1 ZINC000369359764 301171528 /nfs/dbraw/zinc/17/15/28/301171528.db2.gz SKKQSPXGAKUPGH-VIFPVBQESA-N -1 1 307.379 1.139 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@]2(CCCOC2)O1 ZINC000370191037 301291913 /nfs/dbraw/zinc/29/19/13/301291913.db2.gz BSILNRBDEZMFRN-INIZCTEOSA-N -1 1 306.362 1.587 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cccnc1-c1cnn(C)c1 ZINC000370294602 301311105 /nfs/dbraw/zinc/31/11/05/301311105.db2.gz QOEVNPMYCDADDE-JTQLQIEISA-N -1 1 310.379 1.259 20 0 DDADMM CC(C)CC1(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CCCC1 ZINC000370329862 301317638 /nfs/dbraw/zinc/31/76/38/301317638.db2.gz LIIKGUBNWVEMBH-GFCCVEGCSA-N -1 1 307.398 1.706 20 0 DDADMM FC(F)(F)c1nsc(=NC[C@H]2CN3CCCC[C@H]3CO2)[n-]1 ZINC000376401640 302073249 /nfs/dbraw/zinc/07/32/49/302073249.db2.gz CGFQNUFRLSTTOQ-IUCAKERBSA-N -1 1 322.356 1.644 20 0 DDADMM CN(C)[C@]1(C(=O)N2CC[C@H](C(=O)[O-])C2)CCc2ccccc21 ZINC000378180913 302286835 /nfs/dbraw/zinc/28/68/35/302286835.db2.gz CBMPSKACTQVPPT-SUMWQHHRSA-N -1 1 302.374 1.323 20 0 DDADMM COC(=O)NCCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000495977291 302507080 /nfs/dbraw/zinc/50/70/80/302507080.db2.gz CFSWAEUXGXWPAZ-UHFFFAOYSA-N -1 1 302.714 1.140 20 0 DDADMM COC(=O)c1ccc(Oc2nc3c(cnn3C)c(=O)[n-]2)cc1 ZINC000356478110 306852694 /nfs/dbraw/zinc/85/26/94/306852694.db2.gz VCHITVBYLVUKBA-UHFFFAOYSA-N -1 1 300.274 1.648 20 0 DDADMM CCC1(CC)NC(=O)N(CC(=O)Nc2cccc(F)c2[O-])C1=O ZINC000530006026 303170209 /nfs/dbraw/zinc/17/02/09/303170209.db2.gz MDEBYEZQVMLXJP-UHFFFAOYSA-N -1 1 323.324 1.580 20 0 DDADMM COc1ccccc1CCN(C)Cc1cnc(C(=O)[O-])cn1 ZINC000530161918 303177801 /nfs/dbraw/zinc/17/78/01/303177801.db2.gz KNUQOMWBAPDIRW-UHFFFAOYSA-N -1 1 301.346 1.858 20 0 DDADMM CC1(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CCC(F)(F)CC1 ZINC000530262635 303189212 /nfs/dbraw/zinc/18/92/12/303189212.db2.gz WCNLOAUKHJFFKD-UHFFFAOYSA-N -1 1 322.337 1.362 20 0 DDADMM CC1(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CCC(F)(F)CC1 ZINC000530262635 303189214 /nfs/dbraw/zinc/18/92/14/303189214.db2.gz WCNLOAUKHJFFKD-UHFFFAOYSA-N -1 1 322.337 1.362 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc([C@@H](C)C2CC2)no1 ZINC000357507815 306890219 /nfs/dbraw/zinc/89/02/19/306890219.db2.gz GAGXYONTGXHLMR-VIFPVBQESA-N -1 1 303.384 1.039 20 0 DDADMM COC(=O)CCN(C[C@H]1CCCO1)C(=O)c1ncc(C)cc1[O-] ZINC000547651917 303545269 /nfs/dbraw/zinc/54/52/69/303545269.db2.gz CEVXLXXZVMBNOI-GFCCVEGCSA-N -1 1 322.361 1.280 20 0 DDADMM C[C@@H]([C@H](NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-])C1CC1 ZINC000548992438 303601594 /nfs/dbraw/zinc/60/15/94/303601594.db2.gz OZNPVARPPNXOCS-HQJQHLMTSA-N -1 1 319.329 1.742 20 0 DDADMM COC[C@@](C)([N-]S(=O)(=O)CCCC(C)(C)C)C(=O)OC ZINC000558435536 303770646 /nfs/dbraw/zinc/77/06/46/303770646.db2.gz CAKDAKRZJORBRZ-CYBMUJFWSA-N -1 1 309.428 1.310 20 0 DDADMM COCCS(=O)(=O)[N-][C@@H](c1nc(C)no1)c1ccccc1 ZINC000361278675 306993096 /nfs/dbraw/zinc/99/30/96/306993096.db2.gz OSQFCIFYBQFNQS-GFCCVEGCSA-N -1 1 311.363 1.033 20 0 DDADMM COc1ccc(Cl)cc1S(=O)(=O)[N-]CC(F)(F)CO ZINC000362712613 307026472 /nfs/dbraw/zinc/02/64/72/307026472.db2.gz BETRMKBJMDQQMI-UHFFFAOYSA-N -1 1 315.725 1.255 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@](CO)(c2ccccc2)C1 ZINC000367266268 307090602 /nfs/dbraw/zinc/09/06/02/307090602.db2.gz FJNFNJOXBBXTSR-SFHVURJKSA-N -1 1 312.369 1.954 20 0 DDADMM O=C(Nc1ccc2c(c1)N[C@H](CO)CO2)c1ccc([O-])cc1F ZINC000367645029 307100210 /nfs/dbraw/zinc/10/02/10/307100210.db2.gz WLUDWTUTQUCDTF-SNVBAGLBSA-N -1 1 318.304 1.949 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3c4ccccc4C[C@H]3O)ccnc1-2 ZINC000376642822 307251183 /nfs/dbraw/zinc/25/11/83/307251183.db2.gz ORVRRJBRLOSVFV-KSFZUODXSA-N -1 1 323.356 1.122 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc(C)c1F ZINC000378305311 307285164 /nfs/dbraw/zinc/28/51/64/307285164.db2.gz LTXVWCHDSIHQCE-NSHDSACASA-N -1 1 303.341 1.975 20 0 DDADMM Cc1cnc(C(=O)N2CCCC[C@@H]2COC(=O)N(C)C)c([O-])c1 ZINC000495826668 307319735 /nfs/dbraw/zinc/31/97/35/307319735.db2.gz HHNDPUMFGJVTTN-GFCCVEGCSA-N -1 1 321.377 1.789 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1C[C@@H]1NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC000497062421 307379074 /nfs/dbraw/zinc/37/90/74/307379074.db2.gz JZMJVOJWRLNFNN-BBBLOLIVSA-N -1 1 324.385 1.070 20 0 DDADMM C[C@H]1Cc2ccccc2[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546088420 307725474 /nfs/dbraw/zinc/72/54/74/307725474.db2.gz MXIHNCYGMGIVOK-XPTSAGLGSA-N -1 1 309.329 1.081 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1cscn1)N1CCCC[C@@H]1C ZINC000567163394 308073252 /nfs/dbraw/zinc/07/32/52/308073252.db2.gz KACYIXYMIAJBTM-QWRGUYRKSA-N -1 1 303.453 1.684 20 0 DDADMM CCC[C@@H](CC)S(=O)(=O)N=c1cc(OC(F)F)n(C)[n-]1 ZINC000572725932 308223277 /nfs/dbraw/zinc/22/32/77/308223277.db2.gz AZWNGLCKZIKTAC-MRVPVSSYSA-N -1 1 311.354 1.764 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)CSC)n[n-]1 ZINC000573189098 308232374 /nfs/dbraw/zinc/23/23/74/308232374.db2.gz HITZZEKOHFHHOQ-VIFPVBQESA-N -1 1 314.411 1.548 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)CSC)[n-]1 ZINC000573189098 308232375 /nfs/dbraw/zinc/23/23/75/308232375.db2.gz HITZZEKOHFHHOQ-VIFPVBQESA-N -1 1 314.411 1.548 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)CSC)n1 ZINC000573189098 308232378 /nfs/dbraw/zinc/23/23/78/308232378.db2.gz HITZZEKOHFHHOQ-VIFPVBQESA-N -1 1 314.411 1.548 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CC1 ZINC000573450417 308241216 /nfs/dbraw/zinc/24/12/16/308241216.db2.gz PBEIXPOEMFITFP-UHFFFAOYSA-N -1 1 323.292 1.478 20 0 DDADMM CCOCc1nc([C@H](C)[N-]S(=O)(=O)c2cscn2)no1 ZINC000581248030 325815972 /nfs/dbraw/zinc/81/59/72/325815972.db2.gz JSJKFDLPSHCFLD-ZETCQYMHSA-N -1 1 318.380 1.102 20 0 DDADMM CCCn1ncnc1CN1C[C@@H](C(=O)[O-])[C@@H](c2ccccc2)C1 ZINC000582551195 337104174 /nfs/dbraw/zinc/10/41/74/337104174.db2.gz BAPXNBNNNRTIME-HUUCEWRRSA-N -1 1 314.389 1.988 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)[C@@H]1NCCc2ccccc21 ZINC000397093018 337249464 /nfs/dbraw/zinc/24/94/64/337249464.db2.gz LUZORONZHPMYJN-UXIGCNINSA-N -1 1 302.374 1.585 20 0 DDADMM C[C@@H](CNC(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1)C(=O)[O-] ZINC000655883721 483976186 /nfs/dbraw/zinc/97/61/86/483976186.db2.gz FVQCUHGFYAYPOQ-GXSJLCMTSA-N -1 1 321.381 1.292 20 0 DDADMM O=C([O-])C[N@@H+](Cc1ccc(OCC(F)(F)F)nn1)C1CCC1 ZINC000655933307 484036449 /nfs/dbraw/zinc/03/64/49/484036449.db2.gz IOKZNBBCSBHJEJ-UHFFFAOYSA-N -1 1 319.283 1.857 20 0 DDADMM C[C@H](Cc1cnn(C)c1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425301536 484114699 /nfs/dbraw/zinc/11/46/99/484114699.db2.gz MJCVHHDXWJQFTG-ZYHUDNBSSA-N -1 1 319.365 1.094 20 0 DDADMM COc1ccccc1Nc1ccccc1C(=O)NCc1nn[n-]n1 ZINC000669704128 484616847 /nfs/dbraw/zinc/61/68/47/484616847.db2.gz LUCZWUSOCJKSFS-UHFFFAOYSA-N -1 1 324.344 1.882 20 0 DDADMM CCCCCc1cc(C(=O)N2CCC(O)(C(=O)[O-])CC2)n[nH]1 ZINC000659135743 484646400 /nfs/dbraw/zinc/64/64/00/484646400.db2.gz LMBKOJISLDYNBJ-UHFFFAOYSA-N -1 1 309.366 1.194 20 0 DDADMM C[C@H](CNC(=O)[C@H](C(=O)[O-])C1CC1)N1CCc2ccccc2C1 ZINC000663094678 484660637 /nfs/dbraw/zinc/66/06/37/484660637.db2.gz DQIROQTUWJPFHY-MLGOLLRUSA-N -1 1 316.401 1.660 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)N[C@H]1CCCN(Cc2ccccc2)C1 ZINC000663103126 484668865 /nfs/dbraw/zinc/66/88/65/484668865.db2.gz WIKIBVKBNJVVLX-YOEHRIQHSA-N -1 1 320.389 1.257 20 0 DDADMM O=C([O-])C12CC(C1)CN2C(=O)c1cc(-c2ccc(F)cc2)[nH]n1 ZINC000659178832 484676468 /nfs/dbraw/zinc/67/64/68/484676468.db2.gz ZLENFTWXDCXRQM-UHFFFAOYSA-N -1 1 315.304 1.905 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@@H]1O)c1ccc([O-])c(F)c1 ZINC000670136823 484762286 /nfs/dbraw/zinc/76/22/86/484762286.db2.gz GOGMECHKEFEBCL-SWLSCSKDSA-N -1 1 323.364 1.829 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(C2CCCC2)CC1 ZINC000667960956 484875024 /nfs/dbraw/zinc/87/50/24/484875024.db2.gz KWPBCTYHLOYSQP-UHFFFAOYSA-N -1 1 321.377 1.939 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@H](C(F)(F)F)OC[C@H]2C)c([O-])c1 ZINC000672171637 485247066 /nfs/dbraw/zinc/24/70/66/485247066.db2.gz AMGFVCABQXEMKK-SCZZXKLOSA-N -1 1 304.268 1.887 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@H](C)S1(=O)=O ZINC000672515385 485309441 /nfs/dbraw/zinc/30/94/41/485309441.db2.gz ADTZQFKWEXNMKB-GXSJLCMTSA-N -1 1 315.366 1.569 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2cccnc2n1 ZINC000668868514 485344893 /nfs/dbraw/zinc/34/48/93/485344893.db2.gz CCAFYSPEIXWUBI-UHFFFAOYSA-N -1 1 309.333 1.342 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3ccc([O-])c(F)c3)[C@H]2C1 ZINC000685863043 485469140 /nfs/dbraw/zinc/46/91/40/485469140.db2.gz HMFLCGBQMHNAFT-KCQAQPDRSA-N -1 1 320.364 1.908 20 0 DDADMM CS(=O)(=O)c1cccc(Cl)c1C(=O)[N-]c1cnoc1 ZINC000682203205 485529243 /nfs/dbraw/zinc/52/92/43/485529243.db2.gz XTBWRMGIBRDEDR-UHFFFAOYSA-N -1 1 300.723 1.984 20 0 DDADMM C[C@@H]1CN(C(=O)C2CC2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000675042524 485846687 /nfs/dbraw/zinc/84/66/87/485846687.db2.gz XTJZRSKOCJFPHH-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM CCc1cncc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000684127938 486259339 /nfs/dbraw/zinc/25/93/39/486259339.db2.gz OTEYBOSQYNCPDG-CQSZACIVSA-N -1 1 308.345 1.677 20 0 DDADMM NS(=O)(=O)c1cccc(CNC(=O)c2ccc([O-])c(F)c2)c1 ZINC000681018021 486324067 /nfs/dbraw/zinc/32/40/67/486324067.db2.gz IGBNNSHXXGUQSD-UHFFFAOYSA-N -1 1 324.333 1.109 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(Cc2cscn2)CC1 ZINC000681020900 486324869 /nfs/dbraw/zinc/32/48/69/486324869.db2.gz MBOPPZIKBJUGPE-UHFFFAOYSA-N -1 1 321.377 1.946 20 0 DDADMM CN(C(=O)[C@@H]1CSCN1C(=O)c1ccc([O-])c(F)c1)C1CC1 ZINC000681037853 486327808 /nfs/dbraw/zinc/32/78/08/486327808.db2.gz RBBACIDPYYSZSR-LBPRGKRZSA-N -1 1 324.377 1.667 20 0 DDADMM O=C(N[C@@H]1CCCC[C@@H]1N1CCOCC1)c1ccc([O-])c(F)c1 ZINC000681057111 486334328 /nfs/dbraw/zinc/33/43/28/486334328.db2.gz QZAIEWIDWHIRBH-CABCVRRESA-N -1 1 322.380 1.905 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC(=O)N(Cc2ccccc2)C1 ZINC000681063262 486335682 /nfs/dbraw/zinc/33/56/82/486335682.db2.gz SXOAHYXTXSAIFG-UHFFFAOYSA-N -1 1 314.316 1.973 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@]2(CCCOC2)O1 ZINC000684622124 486399722 /nfs/dbraw/zinc/39/97/22/486399722.db2.gz WVEZUZKYFUIYNR-ZBEGNZNMSA-N -1 1 309.337 1.941 20 0 DDADMM Cc1noc(C(F)F)c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000681352856 486410730 /nfs/dbraw/zinc/41/07/30/486410730.db2.gz ILHMSARDJRPOCA-YFKPBYRVSA-N -1 1 300.269 1.309 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2cccc(Cl)c2F)[nH]n1 ZINC000677644683 486511979 /nfs/dbraw/zinc/51/19/79/486511979.db2.gz FCAXJQKXKVUJIH-UHFFFAOYSA-N -1 1 318.717 1.102 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cc3c(cc2F)NC(=O)CC3)c1[O-] ZINC000681761139 486517207 /nfs/dbraw/zinc/51/72/07/486517207.db2.gz IFWRDKDHWWMTGI-UHFFFAOYSA-N -1 1 304.281 1.700 20 0 DDADMM COc1cccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1F ZINC000677769170 486539208 /nfs/dbraw/zinc/53/92/08/486539208.db2.gz WKRVYNZSGBLAPT-UHFFFAOYSA-N -1 1 305.313 1.547 20 0 DDADMM O=C(NCCN1CCSCC1)c1cc(Cl)ccc1[O-] ZINC000226259759 490701569 /nfs/dbraw/zinc/70/15/69/490701569.db2.gz SPFDBUJOPQCBEL-UHFFFAOYSA-N -1 1 300.811 1.824 20 0 DDADMM C[C@@H]1CCCC[C@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332474059 534168683 /nfs/dbraw/zinc/16/86/83/534168683.db2.gz VZDKBVQPHFSRGE-GHMZBOCLSA-N -1 1 309.439 1.426 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C[C@@H]2CCOC[C@H]2OC)c1 ZINC000451463075 534230920 /nfs/dbraw/zinc/23/09/20/534230920.db2.gz WOQPAGNWPGIVHM-IINYFYTJSA-N -1 1 323.345 1.559 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)[C@@H](OC)C2CC2)c1 ZINC000451999827 534322044 /nfs/dbraw/zinc/32/20/44/534322044.db2.gz WCGHEUGZGJMSFF-PRHODGIISA-N -1 1 317.363 1.158 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCC[C@@]2(C)CO)sc1C ZINC000328849726 534520405 /nfs/dbraw/zinc/52/04/05/534520405.db2.gz YXEIQNSUGUFMPL-PWSUYJOCSA-N -1 1 304.437 1.589 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CS[C@H](C)C1 ZINC000412577325 534643972 /nfs/dbraw/zinc/64/39/72/534643972.db2.gz BMCVUSKYWBCQQH-LDYMZIIASA-N -1 1 320.418 1.604 20 0 DDADMM Cn1[n-]c(CN2CCC(Cc3cccc(F)c3F)CC2)nc1=O ZINC000451959282 534665464 /nfs/dbraw/zinc/66/54/64/534665464.db2.gz MWHJCNBRMNYARN-UHFFFAOYSA-N -1 1 322.359 1.841 20 0 DDADMM Cc1ccc2cc(C(=O)Nc3n[n-]c(S(C)(=O)=O)n3)[nH]c2c1 ZINC000338111952 526228979 /nfs/dbraw/zinc/22/89/79/526228979.db2.gz LZYIEFDLJWWAIG-UHFFFAOYSA-N -1 1 319.346 1.250 20 0 DDADMM Cc1ccc2cc(C(=O)Nc3nc(S(C)(=O)=O)n[n-]3)[nH]c2c1 ZINC000338111952 526228982 /nfs/dbraw/zinc/22/89/82/526228982.db2.gz LZYIEFDLJWWAIG-UHFFFAOYSA-N -1 1 319.346 1.250 20 0 DDADMM CCNC(=O)NCCNC(=O)c1cc2ccccc2cc1[O-] ZINC000293902424 528179163 /nfs/dbraw/zinc/17/91/63/528179163.db2.gz CVADYVFDPWFCBP-UHFFFAOYSA-N -1 1 301.346 1.594 20 0 DDADMM CC(C)Sc1nc([N-]S(=O)(=O)CCOCC2CC2)n[nH]1 ZINC000424207841 528336191 /nfs/dbraw/zinc/33/61/91/528336191.db2.gz PEEJHBGVFJXLFY-UHFFFAOYSA-N -1 1 320.440 1.474 20 0 DDADMM CCOC1CN(C(=O)CCc2c(C)nc(SC)[n-]c2=O)C1 ZINC000294984146 528537661 /nfs/dbraw/zinc/53/76/61/528537661.db2.gz UHJVNBRHHCIIHO-UHFFFAOYSA-N -1 1 311.407 1.392 20 0 DDADMM CCCc1c(C(=O)Nc2nnn[n-]2)cnn1-c1cccc(C)c1 ZINC000444258508 528559007 /nfs/dbraw/zinc/55/90/07/528559007.db2.gz SUCPJIOTPCKMAI-UHFFFAOYSA-N -1 1 311.349 1.899 20 0 DDADMM CCCc1c(C(=O)Nc2nn[n-]n2)cnn1-c1cccc(C)c1 ZINC000444258508 528559012 /nfs/dbraw/zinc/55/90/12/528559012.db2.gz SUCPJIOTPCKMAI-UHFFFAOYSA-N -1 1 311.349 1.899 20 0 DDADMM CC(C)Cc1ncc([N-]S(=O)(=O)CCOCC2CC2)cn1 ZINC000424065148 528672352 /nfs/dbraw/zinc/67/23/52/528672352.db2.gz LFKJFLHBZFWAGD-UHFFFAOYSA-N -1 1 313.423 1.843 20 0 DDADMM CCC(CC)[C@H](CCN=c1[n-]cc(S(N)(=O)=O)s1)OC ZINC000446883115 528847141 /nfs/dbraw/zinc/84/71/41/528847141.db2.gz DMDNMAJFYLSTOS-JTQLQIEISA-N -1 1 321.468 1.466 20 0 DDADMM CC[C@H]1CCC[C@H]1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000452527280 529232850 /nfs/dbraw/zinc/23/28/50/529232850.db2.gz LVDIJRROCJXRKU-RYUDHWBXSA-N -1 1 305.378 1.460 20 0 DDADMM CC(C)C[C@H](O)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000735799518 598962887 /nfs/dbraw/zinc/96/28/87/598962887.db2.gz PNIRRZDSMGWPJD-JTQLQIEISA-N -1 1 313.365 1.629 20 0 DDADMM CC(C)C[C@H](O)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000735799518 598962889 /nfs/dbraw/zinc/96/28/89/598962889.db2.gz PNIRRZDSMGWPJD-JTQLQIEISA-N -1 1 313.365 1.629 20 0 DDADMM COC(=O)[C@@H](C)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736892950 598964815 /nfs/dbraw/zinc/96/48/15/598964815.db2.gz QQTOTMJOOLRHKI-QMMMGPOBSA-N -1 1 313.321 1.031 20 0 DDADMM COC(=O)[C@@H](C)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736892950 598964817 /nfs/dbraw/zinc/96/48/17/598964817.db2.gz QQTOTMJOOLRHKI-QMMMGPOBSA-N -1 1 313.321 1.031 20 0 DDADMM CC(=O)c1cccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000735500024 598978968 /nfs/dbraw/zinc/97/89/68/598978968.db2.gz WGHBYTVFGRUTPD-UHFFFAOYSA-N -1 1 308.301 1.717 20 0 DDADMM CC(=O)c1cccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000735500024 598978969 /nfs/dbraw/zinc/97/89/69/598978969.db2.gz WGHBYTVFGRUTPD-UHFFFAOYSA-N -1 1 308.301 1.717 20 0 DDADMM O=C(Nc1ccc2oc(=O)[nH]c2c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738178195 598994768 /nfs/dbraw/zinc/99/47/68/598994768.db2.gz RHKJSMIIOZSHTM-UHFFFAOYSA-N -1 1 323.272 1.361 20 0 DDADMM O=C(Nc1ccc2oc(=O)[nH]c2c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738178195 598994769 /nfs/dbraw/zinc/99/47/69/598994769.db2.gz RHKJSMIIOZSHTM-UHFFFAOYSA-N -1 1 323.272 1.361 20 0 DDADMM OCC[C@@H]1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000823718184 599037793 /nfs/dbraw/zinc/03/77/93/599037793.db2.gz ROSIRXCPAYQTQT-JTQLQIEISA-N -1 1 311.349 1.019 20 0 DDADMM OCC[C@@H]1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000823718184 599037795 /nfs/dbraw/zinc/03/77/95/599037795.db2.gz ROSIRXCPAYQTQT-JTQLQIEISA-N -1 1 311.349 1.019 20 0 DDADMM Cc1ccc(C(=O)COC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737308778 599134945 /nfs/dbraw/zinc/13/49/45/599134945.db2.gz OFHGANCQPBTLQQ-UHFFFAOYSA-N -1 1 323.312 1.610 20 0 DDADMM Cc1ccc(C(=O)COC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737308778 599134946 /nfs/dbraw/zinc/13/49/46/599134946.db2.gz OFHGANCQPBTLQQ-UHFFFAOYSA-N -1 1 323.312 1.610 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCc2ccccc2CC1 ZINC000738258196 599164097 /nfs/dbraw/zinc/16/40/97/599164097.db2.gz HQHUPKYFKFEPAI-UHFFFAOYSA-N -1 1 320.356 1.503 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCc2ccccc2CC1 ZINC000738258196 599164098 /nfs/dbraw/zinc/16/40/98/599164098.db2.gz HQHUPKYFKFEPAI-UHFFFAOYSA-N -1 1 320.356 1.503 20 0 DDADMM O=C([O-])C1CCN(CC(=O)NCCc2ccc(F)cc2)CC1 ZINC000314464119 596925477 /nfs/dbraw/zinc/92/54/77/596925477.db2.gz LGXTWOYPDYQZLK-UHFFFAOYSA-N -1 1 308.353 1.281 20 0 DDADMM CN(C)Cc1ccc(NC(=O)CSCC(=O)[O-])cc1F ZINC000818385357 596959955 /nfs/dbraw/zinc/95/99/55/596959955.db2.gz DCBVZPVQRABGLW-UHFFFAOYSA-N -1 1 300.355 1.644 20 0 DDADMM CC(C)[C@H](NC(=O)Nc1cnn(-c2ccncc2)c1)C(=O)[O-] ZINC000817969117 597029141 /nfs/dbraw/zinc/02/91/41/597029141.db2.gz CAFISGNIBRANLA-LBPRGKRZSA-N -1 1 303.322 1.498 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(Cc2nc(-c3ccccn3)no2)C1 ZINC000314968085 597085139 /nfs/dbraw/zinc/08/51/39/597085139.db2.gz YMKUJVXAYRRYHI-NSHDSACASA-N -1 1 302.334 1.818 20 0 DDADMM C[C@H]1CCN(C(=O)NCCC(=O)[O-])CC[N@H+]1Cc1ccccc1 ZINC000382623543 597227926 /nfs/dbraw/zinc/22/79/26/597227926.db2.gz ITKPUUHPTWXYJU-AWEZNQCLSA-N -1 1 319.405 1.767 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000817762498 597469469 /nfs/dbraw/zinc/46/94/69/597469469.db2.gz NMFGQBVCRXFIBG-LURJTMIESA-N -1 1 307.331 1.169 20 0 DDADMM CCOc1ccc2nc(SCC(=O)NCC(=O)[O-])[nH]c2c1 ZINC000820387721 597748347 /nfs/dbraw/zinc/74/83/47/597748347.db2.gz XNSBRRFPCPAUCF-UHFFFAOYSA-N -1 1 309.347 1.255 20 0 DDADMM COc1ccc(OC)c2c1CN(CCCCC(=O)[O-])C[C@H]2O ZINC000262294001 597769718 /nfs/dbraw/zinc/76/97/18/597769718.db2.gz YFFXUUMZGAUPRS-GFCCVEGCSA-N -1 1 309.362 1.808 20 0 DDADMM O=C([O-])[C@@H]1CCCC[N@@H+]1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000314440402 598198963 /nfs/dbraw/zinc/19/89/63/598198963.db2.gz IBNIDFZZBUTLSK-ZDUSSCGKSA-N -1 1 303.314 1.938 20 0 DDADMM O=C([O-])[C@@H]1CCCC[N@H+]1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000314440402 598198964 /nfs/dbraw/zinc/19/89/64/598198964.db2.gz IBNIDFZZBUTLSK-ZDUSSCGKSA-N -1 1 303.314 1.938 20 0 DDADMM CCCCN(C1CC1)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736453072 598268718 /nfs/dbraw/zinc/26/87/18/598268718.db2.gz LPFSYYMGWZVGBV-UHFFFAOYSA-N -1 1 322.394 1.215 20 0 DDADMM CCCCN(C1CC1)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736453072 598268719 /nfs/dbraw/zinc/26/87/19/598268719.db2.gz LPFSYYMGWZVGBV-UHFFFAOYSA-N -1 1 322.394 1.215 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(NCCc2ccc3c(c2)OCO3)n1 ZINC000738445623 598340404 /nfs/dbraw/zinc/34/04/04/598340404.db2.gz JTFPBDLNXBCQNM-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(NCCc2ccc3c(c2)OCO3)n1 ZINC000738445623 598340406 /nfs/dbraw/zinc/34/04/06/598340406.db2.gz JTFPBDLNXBCQNM-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM c1ccc([C@@H]2CN(c3nccnc3-c3nnn[n-]3)CCO2)cc1 ZINC000738425950 598342196 /nfs/dbraw/zinc/34/21/96/598342196.db2.gz CNGKHANICDXXKH-LBPRGKRZSA-N -1 1 309.333 1.235 20 0 DDADMM c1ccc([C@@H]2CN(c3nccnc3-c3nn[n-]n3)CCO2)cc1 ZINC000738425950 598342198 /nfs/dbraw/zinc/34/21/98/598342198.db2.gz CNGKHANICDXXKH-LBPRGKRZSA-N -1 1 309.333 1.235 20 0 DDADMM CCC(=O)Nc1cccc(CNc2nccnc2-c2nnn[n-]2)c1 ZINC000736308786 598345596 /nfs/dbraw/zinc/34/55/96/598345596.db2.gz IADAWTALDQVZIH-UHFFFAOYSA-N -1 1 324.348 1.617 20 0 DDADMM CCC(=O)Nc1cccc(CNc2nccnc2-c2nn[n-]n2)c1 ZINC000736308786 598345598 /nfs/dbraw/zinc/34/55/98/598345598.db2.gz IADAWTALDQVZIH-UHFFFAOYSA-N -1 1 324.348 1.617 20 0 DDADMM C[C@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)CCCO1 ZINC000736292854 598457428 /nfs/dbraw/zinc/45/74/28/598457428.db2.gz MGQAQNBWYNTEKH-LURJTMIESA-N -1 1 300.775 1.592 20 0 DDADMM C[C@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)CCCO1 ZINC000736292854 598457429 /nfs/dbraw/zinc/45/74/29/598457429.db2.gz MGQAQNBWYNTEKH-LURJTMIESA-N -1 1 300.775 1.592 20 0 DDADMM O=C1CCCN1CCCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000738301890 598570660 /nfs/dbraw/zinc/57/06/60/598570660.db2.gz FCSNAWKGJVNSAT-UHFFFAOYSA-N -1 1 321.772 1.340 20 0 DDADMM O=C1CCCN1CCCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000738301890 598570662 /nfs/dbraw/zinc/57/06/62/598570662.db2.gz FCSNAWKGJVNSAT-UHFFFAOYSA-N -1 1 321.772 1.340 20 0 DDADMM CCn1cc(-c2nn[n-]n2)c(=O)c2cc(Br)ccc21 ZINC000736714215 598722704 /nfs/dbraw/zinc/72/27/04/598722704.db2.gz PSZHGYZMVFUCOD-UHFFFAOYSA-N -1 1 320.150 1.964 20 0 DDADMM c1cn(-c2ccc(CNc3cccc(-c4nn[n-]n4)n3)cn2)cn1 ZINC000738419971 599402847 /nfs/dbraw/zinc/40/28/47/599402847.db2.gz ULXGXJQHODPSGP-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)C=Cc1ccc(Cl)cc1 ZINC000378724521 599775661 /nfs/dbraw/zinc/77/56/61/599775661.db2.gz SPDVMXCVIZTWSL-RJNRQISESA-N -1 1 319.748 1.888 20 0 DDADMM Cc1ccc(C)c(OCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000320693963 599778086 /nfs/dbraw/zinc/77/80/86/599778086.db2.gz QUFTZGSHTMQDBB-ZDUSSCGKSA-N -1 1 317.345 1.217 20 0 DDADMM O=C([O-])C[C@H]1CCCCN1CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000739639384 599841675 /nfs/dbraw/zinc/84/16/75/599841675.db2.gz LNHXYOUDKNULNY-UPJWGTAASA-N -1 1 306.362 1.224 20 0 DDADMM CN1CCN(Cc2ccc(NC(=O)[C@H]3C[C@@H]3C(=O)[O-])cc2)CC1 ZINC000737529110 600071894 /nfs/dbraw/zinc/07/18/94/600071894.db2.gz REOUJFXSZLELLE-GJZGRUSLSA-N -1 1 317.389 1.093 20 0 DDADMM Cc1ccccc1C1CCN(CC(=O)NCCC(=O)[O-])CC1 ZINC000738766281 600081409 /nfs/dbraw/zinc/08/14/09/600081409.db2.gz CRQVKEYPOJXLMO-UHFFFAOYSA-N -1 1 304.390 1.765 20 0 DDADMM C[C@H](O)[C@@H]1CCCCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000736678007 600090968 /nfs/dbraw/zinc/09/09/68/600090968.db2.gz SGQAXULJHVRTJS-FZMZJTMJSA-N -1 1 306.362 1.559 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCN(C[C@H]2CCCO2)CC1 ZINC000740080115 600119939 /nfs/dbraw/zinc/11/99/39/600119939.db2.gz WMRMDHDSIPXMGF-OAHLLOKOSA-N -1 1 322.380 1.821 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(CC(=O)NCc1ccccc1)CC2 ZINC000405433254 600310693 /nfs/dbraw/zinc/31/06/93/600310693.db2.gz CJQUYXIELNSSPW-CQSZACIVSA-N -1 1 302.374 1.490 20 0 DDADMM O=C([O-])Nc1ccc(CNC(=O)CN(CCO)CC2CC2)cc1 ZINC000740024704 600318734 /nfs/dbraw/zinc/31/87/34/600318734.db2.gz KHGGHGLOVDGDTC-UHFFFAOYSA-N -1 1 321.377 1.097 20 0 DDADMM CCc1nnc2n1CCN(Cc1ccc(-c3nn[n-]n3)s1)C2 ZINC000821554985 607442204 /nfs/dbraw/zinc/44/22/04/607442204.db2.gz XGAALYPGLLJIHK-UHFFFAOYSA-N -1 1 316.394 1.098 20 0 DDADMM C[C@H](c1ccc(C(=O)[O-])o1)N1CCC[C@H](CS(N)(=O)=O)C1 ZINC000736718803 600442032 /nfs/dbraw/zinc/44/20/32/600442032.db2.gz UFXWNSVDFLNUIP-ZJUUUORDSA-N -1 1 316.379 1.039 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](Cc2ccc(O)cc2)C(=O)[O-])c1C ZINC000738249124 600617406 /nfs/dbraw/zinc/61/74/06/600617406.db2.gz POKUHGHCKQZUDH-GFCCVEGCSA-N -1 1 317.345 1.405 20 0 DDADMM C[C@H](CN(Cc1ccccc1)C(=O)Cc1ccn[nH]1)C(=O)[O-] ZINC000827456243 600724198 /nfs/dbraw/zinc/72/41/98/600724198.db2.gz UJTGPZRINODCKO-GFCCVEGCSA-N -1 1 301.346 1.702 20 0 DDADMM C[C@H]1C[C@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)CCN1C ZINC000157599582 600766087 /nfs/dbraw/zinc/76/60/87/600766087.db2.gz JISJLQCUOJUOQQ-CMPLNLGQSA-N -1 1 312.391 1.146 20 0 DDADMM Cc1nnc(SCC(=O)Nc2ccc(C(=O)[O-])c(C)c2)[nH]1 ZINC000821153303 600930078 /nfs/dbraw/zinc/93/00/78/600930078.db2.gz QZYVACVBMJDGSU-UHFFFAOYSA-N -1 1 306.347 1.851 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2ccc(C(=O)[O-])c(C)c2)n1 ZINC000821153303 600930079 /nfs/dbraw/zinc/93/00/79/600930079.db2.gz QZYVACVBMJDGSU-UHFFFAOYSA-N -1 1 306.347 1.851 20 0 DDADMM CC[C@@](C)(NS(=O)(=O)c1ccc(C(=O)[O-])o1)C(F)(F)F ZINC000828691767 600988558 /nfs/dbraw/zinc/98/85/58/600988558.db2.gz DLCLSSMLKXWRLH-SECBINFHSA-N -1 1 315.269 1.987 20 0 DDADMM CC(C)C[C@H](C)N(C)C(=O)CN1CCSC[C@@H]1CC(=O)[O-] ZINC000826759925 601025900 /nfs/dbraw/zinc/02/59/00/601025900.db2.gz WEIXPPZBBZUXFC-STQMWFEESA-N -1 1 316.467 1.772 20 0 DDADMM CC[C@H](CO)N1CCN([C@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000828890541 601034735 /nfs/dbraw/zinc/03/47/35/601034735.db2.gz IORZSCWEBCURRO-DOMZBBRYSA-N -1 1 310.369 1.340 20 0 DDADMM C[C@](NCC(=O)NCc1ccc(Cl)cc1)(C(=O)[O-])C1CC1 ZINC000827727647 601038112 /nfs/dbraw/zinc/03/81/12/601038112.db2.gz KSZKFAHQIBNAIH-OAHLLOKOSA-N -1 1 310.781 1.799 20 0 DDADMM CC(C)n1ccc(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)n1 ZINC000827368915 601102894 /nfs/dbraw/zinc/10/28/94/601102894.db2.gz KOGGWSJCHHLTKD-CYBMUJFWSA-N -1 1 322.409 1.014 20 0 DDADMM Cc1nc([C@H]2CN(Cc3cc(C(=O)[O-])c(C)o3)CCO2)n[nH]1 ZINC000738822878 601105888 /nfs/dbraw/zinc/10/58/88/601105888.db2.gz GLOKZAUHXOBHDG-GFCCVEGCSA-N -1 1 306.322 1.286 20 0 DDADMM CCc1nc([C@H]2CN(Cc3cc(C(=O)[O-])oc3C)CCO2)n[nH]1 ZINC000737273777 601105978 /nfs/dbraw/zinc/10/59/78/601105978.db2.gz AIHLAKUOTWMPFE-GFCCVEGCSA-N -1 1 320.349 1.540 20 0 DDADMM CCN1CC[C@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2C)C1 ZINC000389276307 601110591 /nfs/dbraw/zinc/11/05/91/601110591.db2.gz GSHGUAXFWSZVQH-NSHDSACASA-N -1 1 312.391 1.066 20 0 DDADMM CCN1C[C@H](NS(=O)(=O)c2csc(C(=O)[O-])c2)[C@@H](C)C1 ZINC000737111597 601111399 /nfs/dbraw/zinc/11/13/99/601111399.db2.gz KZFQNMYDEFEWRZ-WPRPVWTQSA-N -1 1 318.420 1.065 20 0 DDADMM O=C([O-])CSCc1cccc(NC(=O)CCc2nc[nH]n2)c1 ZINC000833264067 601137642 /nfs/dbraw/zinc/13/76/42/601137642.db2.gz WTLWQZYJUUCLQK-UHFFFAOYSA-N -1 1 320.374 1.694 20 0 DDADMM C/C(=C\CN1CCN(C[C@@H](O)c2ccccc2)CC1)C(=O)[O-] ZINC000825741250 601164227 /nfs/dbraw/zinc/16/42/27/601164227.db2.gz KVXXEYFBADJBLS-SNQWNFELSA-N -1 1 304.390 1.369 20 0 DDADMM CCOC[C@@H]1CCN(CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC000829990400 601220473 /nfs/dbraw/zinc/22/04/73/601220473.db2.gz ZHOQFINNZOVSBW-CYBMUJFWSA-N -1 1 320.389 1.706 20 0 DDADMM Cc1oc(S(=O)(=O)N(C)CCN2CCCC2)cc1C(=O)[O-] ZINC000833026958 601220778 /nfs/dbraw/zinc/22/07/78/601220778.db2.gz PCGUUQXVTYLMRX-UHFFFAOYSA-N -1 1 316.379 1.003 20 0 DDADMM C[C@@H](O)CN(Cc1ccnc(-c2nnn[n-]2)c1)c1ccccc1 ZINC000824708117 607504633 /nfs/dbraw/zinc/50/46/33/607504633.db2.gz XHZDTTFJOIATIN-GFCCVEGCSA-N -1 1 310.361 1.649 20 0 DDADMM C[C@@H](O)CN(Cc1ccnc(-c2nn[n-]n2)c1)c1ccccc1 ZINC000824708117 607504635 /nfs/dbraw/zinc/50/46/35/607504635.db2.gz XHZDTTFJOIATIN-GFCCVEGCSA-N -1 1 310.361 1.649 20 0 DDADMM O=C([O-])c1ccc(CCC(=O)N2CCc3[nH]nnc3C2)cc1 ZINC000833313491 601384325 /nfs/dbraw/zinc/38/43/25/601384325.db2.gz WSBHIDJIJNABRQ-UHFFFAOYSA-N -1 1 300.318 1.020 20 0 DDADMM O=C([O-])c1nn(CN2CCN(C3CC3)CC2)c2ccccc21 ZINC000833346794 601399917 /nfs/dbraw/zinc/39/99/17/601399917.db2.gz PGTNAQNEHAXCBX-UHFFFAOYSA-N -1 1 300.362 1.472 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C[C@H](O)COCc2ccccc2F)C1 ZINC000833174636 601439438 /nfs/dbraw/zinc/43/94/38/601439438.db2.gz IBKUZPCZYJXTQT-WFASDCNBSA-N -1 1 315.316 1.202 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1C[C@H](C)[C@H](C(=O)[O-])C1 ZINC000828430806 601508874 /nfs/dbraw/zinc/50/88/74/601508874.db2.gz DREJTJFXVBQJBI-VHSXEESVSA-N -1 1 310.316 1.438 20 0 DDADMM NC(=O)c1ccc(CN[C@H](C(=O)[O-])c2cccc(Cl)c2)cn1 ZINC000833085294 601561010 /nfs/dbraw/zinc/56/10/10/601561010.db2.gz KYVDHUUCUWAMNS-ZDUSSCGKSA-N -1 1 319.748 1.749 20 0 DDADMM Cc1cccc(OCCNC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)c1 ZINC000832975325 601602806 /nfs/dbraw/zinc/60/28/06/601602806.db2.gz QUFPQGONKWWZKS-HNNXBMFYSA-N -1 1 322.405 1.579 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000833354200 601712118 /nfs/dbraw/zinc/71/21/18/601712118.db2.gz NREMDMKTIYZLDU-HNNXBMFYSA-N -1 1 304.277 1.167 20 0 DDADMM Cc1ccc(F)cc1S(=O)(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC000832935058 601783852 /nfs/dbraw/zinc/78/38/52/601783852.db2.gz USRQXVHOBSULOZ-UHFFFAOYSA-N -1 1 312.322 1.972 20 0 DDADMM CC(C)[C@H](NCC(=O)Nc1nc2ccccc2s1)C(=O)[O-] ZINC000035391690 601822207 /nfs/dbraw/zinc/82/22/07/601822207.db2.gz LORYLBLFXLFWGJ-LBPRGKRZSA-N -1 1 307.375 1.934 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN([C@@H]2CC(=O)N(c3ccc(F)cc3)C2=O)C1 ZINC000828020224 601849997 /nfs/dbraw/zinc/84/99/97/601849997.db2.gz MMWUNJCCCCEGGX-MLGOLLRUSA-N -1 1 320.320 1.254 20 0 DDADMM C[C@@H]1CCCCN1C(=O)CN1CCSC[C@H]1CC(=O)[O-] ZINC000252129070 601885555 /nfs/dbraw/zinc/88/55/55/601885555.db2.gz KMOCGRKNHXLALL-VXGBXAGGSA-N -1 1 300.424 1.280 20 0 DDADMM O=C([O-])NC1(C(=O)Nc2nc(-c3ccccn3)n[nH]2)CCCC1 ZINC000740542187 601893197 /nfs/dbraw/zinc/89/31/97/601893197.db2.gz BCGSCYFYFNMCJI-UHFFFAOYSA-N -1 1 316.321 1.386 20 0 DDADMM Cc1sc(NC(=O)CSc2c[nH]nn2)c(C(=O)[O-])c1C ZINC000833035750 601897166 /nfs/dbraw/zinc/89/71/66/601897166.db2.gz AOFBEFFYCGMGGG-UHFFFAOYSA-N -1 1 312.376 1.912 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc3c(c2)OCCO3)C1 ZINC000828024548 601910566 /nfs/dbraw/zinc/91/05/66/601910566.db2.gz RNOKHYCSOBCRDW-MRXNPFEDSA-N -1 1 320.345 1.193 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)[C@H](C)N1CC[C@](C)(C(=O)[O-])C1 ZINC000833014384 601922200 /nfs/dbraw/zinc/92/22/00/601922200.db2.gz UUXQXARRFWGCIN-NHYWBVRUSA-N -1 1 308.382 1.161 20 0 DDADMM O=C([O-])c1ccc(CCNC(=O)[C@@H]2CCCc3[nH]ncc32)cc1 ZINC000833312972 602019156 /nfs/dbraw/zinc/01/91/56/602019156.db2.gz HFYMCBQQESFVRB-CYBMUJFWSA-N -1 1 313.357 1.887 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@@H]1CCCc2[nH]ncc21)c1ccccc1 ZINC000833162944 602042977 /nfs/dbraw/zinc/04/29/77/602042977.db2.gz BCAZJRZWSZOWRU-CHWSQXEVSA-N -1 1 313.357 1.814 20 0 DDADMM CC(C)N(CCC(=O)Nc1nc(-c2ccco2)n[nH]1)C(=O)[O-] ZINC000738844056 602062281 /nfs/dbraw/zinc/06/22/81/602062281.db2.gz IVDDFSJAPZECTF-UHFFFAOYSA-N -1 1 307.310 1.782 20 0 DDADMM COC(=O)c1cc(NC(=O)[C@@H](C(C)C)N(C)C)cc(C(=O)[O-])c1 ZINC000737662765 602097122 /nfs/dbraw/zinc/09/71/22/602097122.db2.gz YGYOMIDQKLHJQO-CYBMUJFWSA-N -1 1 322.361 1.696 20 0 DDADMM COc1ccc(-c2n[nH]c(CNC(=O)[C@@H](C)CC(=O)[O-])n2)cc1 ZINC000832129634 602149140 /nfs/dbraw/zinc/14/91/40/602149140.db2.gz HHABRGCTGXGFTL-VIFPVBQESA-N -1 1 318.333 1.207 20 0 DDADMM COc1ccc(-c2nc(CNC(=O)[C@@H](C)CC(=O)[O-])n[nH]2)cc1 ZINC000832129634 602149142 /nfs/dbraw/zinc/14/91/42/602149142.db2.gz HHABRGCTGXGFTL-VIFPVBQESA-N -1 1 318.333 1.207 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000827295830 602150437 /nfs/dbraw/zinc/15/04/37/602150437.db2.gz OPQLGSYARLZFLR-MRVPVSSYSA-N -1 1 306.297 1.338 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000827295830 602150438 /nfs/dbraw/zinc/15/04/38/602150438.db2.gz OPQLGSYARLZFLR-MRVPVSSYSA-N -1 1 306.297 1.338 20 0 DDADMM CC(C)(C)C(=O)N1CCN(CC2CCN(C(=O)[O-])CC2)CC1 ZINC000738672450 602257374 /nfs/dbraw/zinc/25/73/74/602257374.db2.gz FFUHSXKAYQQPPA-UHFFFAOYSA-N -1 1 311.426 1.567 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000736375432 602291143 /nfs/dbraw/zinc/29/11/43/602291143.db2.gz PDMHITNBMPPWSQ-LBPRGKRZSA-N -1 1 317.349 1.380 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000736375432 602291144 /nfs/dbraw/zinc/29/11/44/602291144.db2.gz PDMHITNBMPPWSQ-LBPRGKRZSA-N -1 1 317.349 1.380 20 0 DDADMM O=C([O-])N1CC[C@@H](NC(=O)[C@H]2CCCN2Cc2ccccc2)C1 ZINC000740401035 602474045 /nfs/dbraw/zinc/47/40/45/602474045.db2.gz RKQROVJCGDJZIZ-HUUCEWRRSA-N -1 1 317.389 1.520 20 0 DDADMM CN(C[C@H]1CCN(CC(=O)N(C)C2CCCCC2)C1)C(=O)[O-] ZINC000739660235 602536268 /nfs/dbraw/zinc/53/62/68/602536268.db2.gz MMAPUTTVFGQVFE-CYBMUJFWSA-N -1 1 311.426 1.709 20 0 DDADMM CC(C)C[C@@H](C)N(C)C(=O)CN1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738804198 602542867 /nfs/dbraw/zinc/54/28/67/602542867.db2.gz JAGJDMRCMVIWOH-ZIAGYGMSSA-N -1 1 313.442 1.811 20 0 DDADMM CCCN(CC(=O)NC(C1CC1)C1CC1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739352555 602543914 /nfs/dbraw/zinc/54/39/14/602543914.db2.gz DFQABGXYAODZDN-CQSZACIVSA-N -1 1 323.437 1.756 20 0 DDADMM O=C([O-])N1CCC[C@H](CN2CCN(C(=O)N3CCCC3)CC2)C1 ZINC000740512522 602702937 /nfs/dbraw/zinc/70/29/37/602702937.db2.gz PDXUJLPFJUHVSC-CQSZACIVSA-N -1 1 324.425 1.210 20 0 DDADMM CCN(C[C@H]1CCCN(C(=O)[O-])C1)[C@@H](C)CS(C)(=O)=O ZINC000739413797 602737082 /nfs/dbraw/zinc/73/70/82/602737082.db2.gz JLWPKKWTDAWSTG-NWDGAFQWSA-N -1 1 306.428 1.131 20 0 DDADMM Cc1cc(NC(=O)CCN2C[C@@H](C)N(C(=O)[O-])[C@@H](C)C2)no1 ZINC000740083565 602835433 /nfs/dbraw/zinc/83/54/33/602835433.db2.gz DWVCQSFJDIOBHX-AOOOYVTPSA-N -1 1 310.354 1.384 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@H]1NC(=O)Cc1[nH]nc2ccccc21 ZINC000740627176 602975822 /nfs/dbraw/zinc/97/58/22/602975822.db2.gz ZJXQSBFBBGWMMN-ZYHUDNBSSA-N -1 1 316.361 1.658 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCN(C(=O)[O-])[C@@H](C)C1 ZINC000829834874 603003103 /nfs/dbraw/zinc/00/31/03/603003103.db2.gz WUDZSBPPQSBCRN-JTQLQIEISA-N -1 1 309.370 1.044 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccc2c[nH]nc2c1 ZINC000826467430 607599933 /nfs/dbraw/zinc/59/99/33/607599933.db2.gz VXVXIRRKXWXGNQ-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc2c[nH]nc2c1 ZINC000826467430 607599935 /nfs/dbraw/zinc/59/99/35/607599935.db2.gz VXVXIRRKXWXGNQ-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(NC(=O)[O-])cc2[O-])C[C@H]1[NH+](C)C ZINC000739167796 603076004 /nfs/dbraw/zinc/07/60/04/603076004.db2.gz OCLUOSPOKTUJSA-JOYOIKCWSA-N -1 1 307.350 1.504 20 0 DDADMM CC(C)[C@](C)(CNC(=O)[O-])NC(=O)Cc1[nH]nc2ccccc21 ZINC000738772470 603124537 /nfs/dbraw/zinc/12/45/37/603124537.db2.gz OBVZXICPTTTYTL-INIZCTEOSA-N -1 1 318.377 1.904 20 0 DDADMM CC(C)[C@](C)(CNC(=O)[O-])NC(=O)c1n[nH]c2ccccc21 ZINC000738769070 603129644 /nfs/dbraw/zinc/12/96/44/603129644.db2.gz VVSJGJHYVKJAHE-HNNXBMFYSA-N -1 1 304.350 1.975 20 0 DDADMM CC(C)(C)CC(=O)N1CCN(C[C@H]2CCN(C(=O)[O-])C2)CC1 ZINC000738683880 603323070 /nfs/dbraw/zinc/32/30/70/603323070.db2.gz XNQZKHHQSJMHCC-CYBMUJFWSA-N -1 1 311.426 1.567 20 0 DDADMM C[C@H]1CN(CCC(=O)Nc2ccc(F)cc2)CCN1C(=O)[O-] ZINC000739183340 603357027 /nfs/dbraw/zinc/35/70/27/603357027.db2.gz RJCIVJPFXYCUBK-NSHDSACASA-N -1 1 309.341 1.838 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)NCC(C)(C)NC(=O)[O-] ZINC000826086993 603458748 /nfs/dbraw/zinc/45/87/48/603458748.db2.gz GDNHXFBPOVNLMS-VXGBXAGGSA-N -1 1 312.414 1.301 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@@H](C(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000832318315 603549867 /nfs/dbraw/zinc/54/98/67/603549867.db2.gz LYDVBBOUGRDNAK-OLZOCXBDSA-N -1 1 320.393 1.942 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1CCn1cnc2ccccc2c1=O ZINC000832573776 603575506 /nfs/dbraw/zinc/57/55/06/603575506.db2.gz SKHDUZFKPSTBFP-LBPRGKRZSA-N -1 1 316.361 1.129 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1)N(C)CC(C)(C)CNC(=O)[O-] ZINC000823701799 603627840 /nfs/dbraw/zinc/62/78/40/603627840.db2.gz HGTUVCNGOSXHJD-ZDUSSCGKSA-N -1 1 321.421 1.917 20 0 DDADMM CN(C)[C@@H](CNC(=O)CC1CN(C(=O)[O-])C1)c1ccc(F)cc1 ZINC000827995786 603674680 /nfs/dbraw/zinc/67/46/80/603674680.db2.gz UPEKAIIVRGBHIK-AWEZNQCLSA-N -1 1 323.368 1.545 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H](NC(=O)[O-])C(C)C)[nH]c2c1 ZINC000830433460 603764189 /nfs/dbraw/zinc/76/41/89/603764189.db2.gz UQADVIYGOJBWII-ZDUSSCGKSA-N -1 1 304.350 1.780 20 0 DDADMM C[C@@H](N(C)CN1C[C@@H]2CN(C(=O)[O-])CCN2C1=O)C1(C)CC1 ZINC000825057942 603875459 /nfs/dbraw/zinc/87/54/59/603875459.db2.gz YXAUJYBNERQWDD-NEPJUHHUSA-N -1 1 310.398 1.164 20 0 DDADMM Cc1nc(CCNC(=O)c2ccc(C)c(NC(=O)[O-])c2)n[nH]1 ZINC000830745520 603884418 /nfs/dbraw/zinc/88/44/18/603884418.db2.gz AJVYBCZMHQOIMQ-UHFFFAOYSA-N -1 1 303.322 1.484 20 0 DDADMM C[C@H](CN1CCCC[C@@H]1[C@H](C)NC(=O)[O-])CS(C)(=O)=O ZINC000824908258 603900734 /nfs/dbraw/zinc/90/07/34/603900734.db2.gz SBZFSQYTDRFLKP-GRYCIOLGSA-N -1 1 306.428 1.178 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NC[C@@H]1CCCN1C(=O)[O-] ZINC000829834020 603941015 /nfs/dbraw/zinc/94/10/15/603941015.db2.gz PGKFIDXUIXZEQT-ZETCQYMHSA-N -1 1 320.271 1.609 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@]3(F)CCN(C(=O)[O-])C3)[nH]c2c1 ZINC000830432158 603944918 /nfs/dbraw/zinc/94/49/18/603944918.db2.gz ATOGXDQYLZBUPA-HNNXBMFYSA-N -1 1 320.324 1.580 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@]3(C)CCN(C(=O)[O-])C3)[nH]c2c1 ZINC000830433231 603994996 /nfs/dbraw/zinc/99/49/96/603994996.db2.gz SCBIPSOYQXDIEW-INIZCTEOSA-N -1 1 316.361 1.878 20 0 DDADMM CC[C@@]1(C)CCN(CN2C[C@@H]3CN(C(=O)[O-])CCN3C2=O)C1 ZINC000826644282 604019994 /nfs/dbraw/zinc/01/99/94/604019994.db2.gz HLOAVTFVBUPHLT-WFASDCNBSA-N -1 1 310.398 1.166 20 0 DDADMM CN(CCNC(=O)[C@H]1CCCN1C(=O)[O-])Cc1ccc(F)cc1 ZINC000828321568 604066978 /nfs/dbraw/zinc/06/69/78/604066978.db2.gz UHIMPIPTLWXLQQ-CQSZACIVSA-N -1 1 323.368 1.516 20 0 DDADMM CN(CCCNC(=O)[O-])C(=O)c1cn[nH]c1-c1ccccc1F ZINC000828301824 604219925 /nfs/dbraw/zinc/21/99/25/604219925.db2.gz GHRKMEQWVLSIRL-UHFFFAOYSA-N -1 1 320.324 1.946 20 0 DDADMM O=C([O-])N[C@@H]1CCCCCC[C@@H]1C(=O)NCCc1nc[nH]n1 ZINC000832350406 604307175 /nfs/dbraw/zinc/30/71/75/604307175.db2.gz REWBBXJJLJVEOI-WDEREUQCSA-N -1 1 309.370 1.070 20 0 DDADMM O=C([O-])NC1(C(=O)NC[C@H](c2ccco2)N2CCCC2)CC1 ZINC000832161279 604421384 /nfs/dbraw/zinc/42/13/84/604421384.db2.gz CTWBZOITQLWPMN-LLVKDONJSA-N -1 1 307.350 1.333 20 0 DDADMM CCN1CCN([C@H](C(=O)[O-])c2cc(OC)cc(OC)c2)CC1 ZINC000829771611 604453492 /nfs/dbraw/zinc/45/34/92/604453492.db2.gz ZGUJYTCQUPTYQZ-HNNXBMFYSA-N -1 1 308.378 1.467 20 0 DDADMM C[C@@H]1[C@H](C)N(CC(=O)Nc2ccc(C(=O)[O-])cc2)CCN1C ZINC000833588955 604854660 /nfs/dbraw/zinc/85/46/60/604854660.db2.gz SVHLALDYZMOXMD-NEPJUHHUSA-N -1 1 305.378 1.348 20 0 DDADMM C[C@@H]1CCC[C@@H](OCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C1 ZINC000833611590 604900368 /nfs/dbraw/zinc/90/03/68/604900368.db2.gz YJZQCTCWQQSYDJ-RTXFEEFZSA-N -1 1 309.366 1.117 20 0 DDADMM O=C([O-])COc1ccc(NC(=O)CCc2nc[nH]n2)cc1Cl ZINC000833254082 604942628 /nfs/dbraw/zinc/94/26/28/604942628.db2.gz BOHHGKHNFQUFHA-UHFFFAOYSA-N -1 1 324.724 1.493 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)CC(=O)NCC(=O)[O-])C1(CC)CC ZINC000262287410 604954159 /nfs/dbraw/zinc/95/41/59/604954159.db2.gz XVVQSKIWUMRQDP-NEPJUHHUSA-N -1 1 300.399 1.103 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1CCC[C@@H]1CC(=O)[O-] ZINC000833496754 604966929 /nfs/dbraw/zinc/96/69/29/604966929.db2.gz NOMWKULVEUBGSQ-SNVBAGLBSA-N -1 1 310.316 1.725 20 0 DDADMM CC[C@@]1(C(C)C)NC(=O)N(CN2CCC[C@H]2CC(=O)[O-])C1=O ZINC000829043876 604974824 /nfs/dbraw/zinc/97/48/24/604974824.db2.gz GWZOIGVNVFWQJC-NHYWBVRUSA-N -1 1 311.382 1.240 20 0 DDADMM CC(C)C[C@@H]1CCC(=O)N(CN2CCC[C@H]2CC(=O)[O-])C1=O ZINC000826831800 604981118 /nfs/dbraw/zinc/98/11/18/604981118.db2.gz HEKBOMZKRFTPMZ-STQMWFEESA-N -1 1 310.394 1.694 20 0 DDADMM C[C@@H]1C[C@H](NS(=O)(=O)c2ccsc2C(=O)[O-])CCN1C ZINC000157605093 605039628 /nfs/dbraw/zinc/03/96/28/605039628.db2.gz RIEAJQRAGQZUTL-RKDXNWHRSA-N -1 1 318.420 1.207 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)CN1CCC(CC)(CO)CC1)C(=O)[O-] ZINC000833639940 605052735 /nfs/dbraw/zinc/05/27/35/605052735.db2.gz SADVPBWQUPTXDW-TZMCWYRMSA-N -1 1 314.426 1.086 20 0 DDADMM COCCN1CCCN(C(=O)C2CCC(C(=O)[O-])CC2)CC1 ZINC000833724775 605083643 /nfs/dbraw/zinc/08/36/43/605083643.db2.gz REHAZFZBFKDOBZ-UHFFFAOYSA-N -1 1 312.410 1.058 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)C=C(C1CC1)C1CC1 ZINC000833623213 605109584 /nfs/dbraw/zinc/10/95/84/605109584.db2.gz RNNHGWUVVUJKRI-LBPRGKRZSA-N -1 1 306.406 1.740 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1CCc2ccccc21 ZINC000833623733 605115921 /nfs/dbraw/zinc/11/59/21/605115921.db2.gz SHUWGHIWKNDNRZ-CJNGLKHVSA-N -1 1 316.401 1.724 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(CN3C[C@H](C4CC4)CC3=O)C[C@@H]21 ZINC000834181455 605331910 /nfs/dbraw/zinc/33/19/10/605331910.db2.gz MGTHZTTWHPQSJL-APIJFGDWSA-N -1 1 321.421 1.571 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)[C@@H]2CC[C@H](NC(=O)[O-])C2)[nH]n1 ZINC000831031942 605337072 /nfs/dbraw/zinc/33/70/72/605337072.db2.gz YOMXPTAQPVMYOV-BDAKNGLRSA-N -1 1 318.337 1.185 20 0 DDADMM COCCC[C@@H](C)C(=O)N1CCN(CCC(=O)[O-])[C@H](C)C1 ZINC000833723185 605408103 /nfs/dbraw/zinc/40/81/03/605408103.db2.gz FEKHGSFQPHINPC-CHWSQXEVSA-N -1 1 300.399 1.057 20 0 DDADMM O=C([O-])N1CC[C@@H](N2CCN(C[C@H](O)c3ccccc3)CC2)C1 ZINC000834085838 605591237 /nfs/dbraw/zinc/59/12/37/605591237.db2.gz WFYVFMQAMSXSQN-CVEARBPZSA-N -1 1 319.405 1.090 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CN2C[C@@H](C3CC3)CC2=O)C1 ZINC000833811722 605724087 /nfs/dbraw/zinc/72/40/87/605724087.db2.gz DSBJWRICVWRMLZ-YUTCNCBUSA-N -1 1 309.410 1.571 20 0 DDADMM Cc1cc(C)c(NC(=O)CN[C@H]2CCN(C(=O)[O-])C2)c(C)c1 ZINC000829990639 605831141 /nfs/dbraw/zinc/83/11/41/605831141.db2.gz DFKZLWRONSIWLL-ZDUSSCGKSA-N -1 1 305.378 1.892 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CCC(CNC(=O)[O-])CC1)C2 ZINC000833915449 605847112 /nfs/dbraw/zinc/84/71/12/605847112.db2.gz HGHIKORYQBSHAI-GFCCVEGCSA-N -1 1 320.393 1.329 20 0 DDADMM O=C([O-])c1cc(C(=O)N[C@H]2CCN(Cc3ccccc3)C2)on1 ZINC000379242338 605866207 /nfs/dbraw/zinc/86/62/07/605866207.db2.gz XSMMTJNAQJRKJA-LBPRGKRZSA-N -1 1 315.329 1.377 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@@H]1NCc1nccn1CC(F)(F)F ZINC000834175252 605982618 /nfs/dbraw/zinc/98/26/18/605982618.db2.gz MKZFUOADDYVEGM-IUCAKERBSA-N -1 1 306.288 1.724 20 0 DDADMM O=C([O-])N(CC(=O)NCc1nc2ccc(F)cc2[nH]1)C1CC1 ZINC000833997077 605985123 /nfs/dbraw/zinc/98/51/23/605985123.db2.gz AZAUVYGFDVZYDT-UHFFFAOYSA-N -1 1 306.297 1.461 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cc(C2CC2)[nH]n1 ZINC000823294770 606195941 /nfs/dbraw/zinc/19/59/41/606195941.db2.gz QSGSCVWWYUUIPG-UHFFFAOYSA-N -1 1 311.305 1.425 20 0 DDADMM CCNC(=O)[C@H](C)Nc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000821276422 606448241 /nfs/dbraw/zinc/44/82/41/606448241.db2.gz LGRXZGGEMVOSJV-VIFPVBQESA-N -1 1 311.349 1.351 20 0 DDADMM CCNC(=O)[C@H](C)Nc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821276422 606448243 /nfs/dbraw/zinc/44/82/43/606448243.db2.gz LGRXZGGEMVOSJV-VIFPVBQESA-N -1 1 311.349 1.351 20 0 DDADMM CN(Cc1cccnc1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821616036 606518581 /nfs/dbraw/zinc/51/85/81/606518581.db2.gz ZYPCBEURRHDDMU-UHFFFAOYSA-N -1 1 300.347 1.595 20 0 DDADMM CN(Cc1cccnc1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821616036 606518583 /nfs/dbraw/zinc/51/85/83/606518583.db2.gz ZYPCBEURRHDDMU-UHFFFAOYSA-N -1 1 300.347 1.595 20 0 DDADMM O=C(NCCNc1ccccc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823202632 606567600 /nfs/dbraw/zinc/56/76/00/606567600.db2.gz YNQVVCMDVDLOLP-UHFFFAOYSA-N -1 1 314.374 1.770 20 0 DDADMM O=C(NCCNc1ccccc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823202632 606567602 /nfs/dbraw/zinc/56/76/02/606567602.db2.gz YNQVVCMDVDLOLP-UHFFFAOYSA-N -1 1 314.374 1.770 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC2CCCCCC2)n1 ZINC000822614040 606595878 /nfs/dbraw/zinc/59/58/78/606595878.db2.gz IUPNULUUQPZSGK-UHFFFAOYSA-N -1 1 304.358 1.445 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC2CCCCCC2)n1 ZINC000822614040 606595881 /nfs/dbraw/zinc/59/58/81/606595881.db2.gz IUPNULUUQPZSGK-UHFFFAOYSA-N -1 1 304.358 1.445 20 0 DDADMM Cc1noc(C)c1CC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822522719 606648128 /nfs/dbraw/zinc/64/81/28/606648128.db2.gz KJYJHMJKGVBCCJ-UHFFFAOYSA-N -1 1 314.305 1.152 20 0 DDADMM Cc1noc(C)c1CC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822522719 606648129 /nfs/dbraw/zinc/64/81/29/606648129.db2.gz KJYJHMJKGVBCCJ-UHFFFAOYSA-N -1 1 314.305 1.152 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)[C@@H]1Cc2ccccc2O1 ZINC000823436366 606650791 /nfs/dbraw/zinc/65/07/91/606650791.db2.gz RQXNZNZVHZIYLJ-AWEZNQCLSA-N -1 1 323.312 1.309 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)[C@@H]1Cc2ccccc2O1 ZINC000823436366 606650792 /nfs/dbraw/zinc/65/07/92/606650792.db2.gz RQXNZNZVHZIYLJ-AWEZNQCLSA-N -1 1 323.312 1.309 20 0 DDADMM O=C(CC1CCSCC1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822945546 606802293 /nfs/dbraw/zinc/80/22/93/606802293.db2.gz JLJPYQVUNQDBQQ-UHFFFAOYSA-N -1 1 319.390 1.838 20 0 DDADMM O=C(CC1CCSCC1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822945546 606802295 /nfs/dbraw/zinc/80/22/95/606802295.db2.gz JLJPYQVUNQDBQQ-UHFFFAOYSA-N -1 1 319.390 1.838 20 0 DDADMM CC(C)(C)OCCCOC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000819951310 606826058 /nfs/dbraw/zinc/82/60/58/606826058.db2.gz RVLXOTSAXVNDHT-UHFFFAOYSA-N -1 1 305.338 1.624 20 0 DDADMM CC(C)(C)OCCCOC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000819951310 606826060 /nfs/dbraw/zinc/82/60/60/606826060.db2.gz RVLXOTSAXVNDHT-UHFFFAOYSA-N -1 1 305.338 1.624 20 0 DDADMM Cc1ccccc1CC1CN(c2nccnc2-c2nnn[n-]2)C1 ZINC000822356719 606945247 /nfs/dbraw/zinc/94/52/47/606945247.db2.gz YNRQAWJAGBTKAC-UHFFFAOYSA-N -1 1 307.361 1.644 20 0 DDADMM Cc1ccccc1CC1CN(c2nccnc2-c2nn[n-]n2)C1 ZINC000822356719 606945249 /nfs/dbraw/zinc/94/52/49/606945249.db2.gz YNRQAWJAGBTKAC-UHFFFAOYSA-N -1 1 307.361 1.644 20 0 DDADMM CN(C)c1cccc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)c1 ZINC000821589581 607024183 /nfs/dbraw/zinc/02/41/83/607024183.db2.gz UNALBCBZCAZZNH-UHFFFAOYSA-N -1 1 315.362 1.642 20 0 DDADMM CN(C)c1cccc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)c1 ZINC000821589581 607024185 /nfs/dbraw/zinc/02/41/85/607024185.db2.gz UNALBCBZCAZZNH-UHFFFAOYSA-N -1 1 315.362 1.642 20 0 DDADMM c1cc(N[C@@H]2CCOC3(CCOCC3)C2)nc(-c2nnn[n-]2)c1 ZINC000823756726 607175763 /nfs/dbraw/zinc/17/57/63/607175763.db2.gz GGJNTPUWOMUKHV-LLVKDONJSA-N -1 1 316.365 1.402 20 0 DDADMM c1cc(N[C@@H]2CCOC3(CCOCC3)C2)nc(-c2nn[n-]n2)c1 ZINC000823756726 607175764 /nfs/dbraw/zinc/17/57/64/607175764.db2.gz GGJNTPUWOMUKHV-LLVKDONJSA-N -1 1 316.365 1.402 20 0 DDADMM c1cc(N[C@H]2CCO[C@@]3(CCSC3)C2)nc(-c2nnn[n-]2)c1 ZINC000823756963 607176925 /nfs/dbraw/zinc/17/69/25/607176925.db2.gz IBPJGPJWIYAQEE-HZMBPMFUSA-N -1 1 318.406 1.728 20 0 DDADMM c1cc(N[C@H]2CCO[C@@]3(CCSC3)C2)nc(-c2nn[n-]n2)c1 ZINC000823756963 607176926 /nfs/dbraw/zinc/17/69/26/607176926.db2.gz IBPJGPJWIYAQEE-HZMBPMFUSA-N -1 1 318.406 1.728 20 0 DDADMM Cc1nc([C@@H]2CCCCN2c2cccc(-c3nnn[n-]3)n2)no1 ZINC000826325170 607897406 /nfs/dbraw/zinc/89/74/06/607897406.db2.gz PNTOUMXIKNKQCC-NSHDSACASA-N -1 1 312.337 1.685 20 0 DDADMM Cc1nc([C@@H]2CCCCN2c2cccc(-c3nn[n-]n3)n2)no1 ZINC000826325170 607897407 /nfs/dbraw/zinc/89/74/07/607897407.db2.gz PNTOUMXIKNKQCC-NSHDSACASA-N -1 1 312.337 1.685 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])C(F)(F)C(F)F ZINC000826451151 607998244 /nfs/dbraw/zinc/99/82/44/607998244.db2.gz RZLLCAHDPSBUPB-UHFFFAOYSA-N -1 1 305.191 1.411 20 0 DDADMM Cc1ccccc1[C@H](CO)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826305801 608185400 /nfs/dbraw/zinc/18/54/00/608185400.db2.gz IXGYLGGXXRDFOJ-AWEZNQCLSA-N -1 1 324.344 1.034 20 0 DDADMM Cc1ccccc1[C@H](CO)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826305801 608185402 /nfs/dbraw/zinc/18/54/02/608185402.db2.gz IXGYLGGXXRDFOJ-AWEZNQCLSA-N -1 1 324.344 1.034 20 0 DDADMM OC[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)c1ccc(Cl)cc1 ZINC000826508552 608242351 /nfs/dbraw/zinc/24/23/51/608242351.db2.gz SSCPMAAXSQDABX-LLVKDONJSA-N -1 1 317.740 1.456 20 0 DDADMM OC[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)c1ccc(Cl)cc1 ZINC000826508552 608242352 /nfs/dbraw/zinc/24/23/52/608242352.db2.gz SSCPMAAXSQDABX-LLVKDONJSA-N -1 1 317.740 1.456 20 0 DDADMM Cn1cc(CCNc2cc(-c3nnn[n-]3)nc3ccccc32)cn1 ZINC000826360524 608369576 /nfs/dbraw/zinc/36/95/76/608369576.db2.gz NVFSKYAHDHGAJY-UHFFFAOYSA-N -1 1 320.360 1.803 20 0 DDADMM Cn1cc(CCNc2cc(-c3nn[n-]n3)nc3ccccc32)cn1 ZINC000826360524 608369578 /nfs/dbraw/zinc/36/95/78/608369578.db2.gz NVFSKYAHDHGAJY-UHFFFAOYSA-N -1 1 320.360 1.803 20 0 DDADMM C[C@@H](COc1cccc(F)c1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824449274 608404412 /nfs/dbraw/zinc/40/44/12/608404412.db2.gz NEGSVQJSVTXLKU-VIFPVBQESA-N -1 1 315.312 1.675 20 0 DDADMM C[C@@H](COc1cccc(F)c1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824449274 608404413 /nfs/dbraw/zinc/40/44/13/608404413.db2.gz NEGSVQJSVTXLKU-VIFPVBQESA-N -1 1 315.312 1.675 20 0 DDADMM COc1ccc([C@@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826190548 608408544 /nfs/dbraw/zinc/40/85/44/608408544.db2.gz FNCCJOMFXYAIOZ-GFCCVEGCSA-N -1 1 323.360 1.659 20 0 DDADMM COc1ccc([C@@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826190548 608408545 /nfs/dbraw/zinc/40/85/45/608408545.db2.gz FNCCJOMFXYAIOZ-GFCCVEGCSA-N -1 1 323.360 1.659 20 0 DDADMM CCS[C@H]1CCCCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000825668625 608418494 /nfs/dbraw/zinc/41/84/94/608418494.db2.gz HMQLWWIKYPLGMH-JTQLQIEISA-N -1 1 305.411 1.769 20 0 DDADMM CCS[C@H]1CCCCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000825668625 608418496 /nfs/dbraw/zinc/41/84/96/608418496.db2.gz HMQLWWIKYPLGMH-JTQLQIEISA-N -1 1 305.411 1.769 20 0 DDADMM Fc1cccc(CCNc2ccc(-c3nnn[n-]3)nn2)c1F ZINC000826376836 608418829 /nfs/dbraw/zinc/41/88/29/608418829.db2.gz UWPBYFOHVDKAPQ-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM Fc1cccc(CCNc2ccc(-c3nn[n-]n3)nn2)c1F ZINC000826376836 608418831 /nfs/dbraw/zinc/41/88/31/608418831.db2.gz UWPBYFOHVDKAPQ-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM c1ccc2c(c1)CCO[C@@H]2CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826523479 608418910 /nfs/dbraw/zinc/41/89/10/608418910.db2.gz OEULTJZIEIUANJ-CYBMUJFWSA-N -1 1 309.333 1.383 20 0 DDADMM c1ccc2c(c1)CCO[C@@H]2CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826523479 608418911 /nfs/dbraw/zinc/41/89/11/608418911.db2.gz OEULTJZIEIUANJ-CYBMUJFWSA-N -1 1 309.333 1.383 20 0 DDADMM Fc1cccc(F)c1[C@@H]1C[C@@H]1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826377526 608420270 /nfs/dbraw/zinc/42/02/70/608420270.db2.gz VVKDMVUWRXRJRM-HQJQHLMTSA-N -1 1 315.287 1.903 20 0 DDADMM Fc1cccc(F)c1[C@@H]1C[C@@H]1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826377526 608420272 /nfs/dbraw/zinc/42/02/72/608420272.db2.gz VVKDMVUWRXRJRM-HQJQHLMTSA-N -1 1 315.287 1.903 20 0 DDADMM C[C@H](Cn1cnc(-c2nn[n-]n2)n1)C(=O)c1ccc(F)c(F)c1 ZINC000824465417 609433917 /nfs/dbraw/zinc/43/39/17/609433917.db2.gz OOUOZTXXCIBCKY-SSDOTTSWSA-N -1 1 319.275 1.255 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@@H](C)[C@H](C)C2)c1-c1nnn[n-]1 ZINC000826343993 609468959 /nfs/dbraw/zinc/46/89/59/609468959.db2.gz UFQUDRYVGXBXPN-RKDXNWHRSA-N -1 1 304.354 1.210 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@@H](C)[C@H](C)C2)c1-c1nn[n-]n1 ZINC000826343993 609468961 /nfs/dbraw/zinc/46/89/61/609468961.db2.gz UFQUDRYVGXBXPN-RKDXNWHRSA-N -1 1 304.354 1.210 20 0 DDADMM C[C@@H](O)[C@@H]1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000824686967 609566420 /nfs/dbraw/zinc/56/64/20/609566420.db2.gz DZRCTZBDSJICDJ-NXEZZACHSA-N -1 1 311.349 1.017 20 0 DDADMM C[C@@H](O)[C@@H]1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000824686967 609566421 /nfs/dbraw/zinc/56/64/21/609566421.db2.gz DZRCTZBDSJICDJ-NXEZZACHSA-N -1 1 311.349 1.017 20 0 DDADMM CNC(=O)C1(C(=O)OCCc2cc(C(C)=O)ccc2[O-])CCC1 ZINC000744966922 699967402 /nfs/dbraw/zinc/96/74/02/699967402.db2.gz QDNVNXCCNMPXAG-UHFFFAOYSA-N -1 1 319.357 1.597 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2CCO[C@]3(CCOC3)C2)[n-]1 ZINC000797118091 699994970 /nfs/dbraw/zinc/99/49/70/699994970.db2.gz KFMGHWYICGUPIJ-ZUZCIYMTSA-N -1 1 309.318 1.296 20 0 DDADMM Cc1cocc1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973197334 695443733 /nfs/dbraw/zinc/44/37/33/695443733.db2.gz DQFZSDMLISIBSS-XYPYZODXSA-N -1 1 315.329 1.379 20 0 DDADMM O=S(=O)([N-]Cc1ccno1)c1ccc(Cl)nc1Cl ZINC000758537433 700710799 /nfs/dbraw/zinc/71/07/99/700710799.db2.gz LCIBFDRECAQGDR-UHFFFAOYSA-N -1 1 308.146 1.855 20 0 DDADMM O=C(Cc1cncnc1)Nc1nc(Br)ccc1[O-] ZINC000798176454 700047202 /nfs/dbraw/zinc/04/72/02/700047202.db2.gz ZNBMWAPTFFUHBD-UHFFFAOYSA-N -1 1 309.123 1.521 20 0 DDADMM CC(Nc1ccc(F)c(Cl)c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009769779 696037591 /nfs/dbraw/zinc/03/75/91/696037591.db2.gz MCVQYOWZVOOUDV-UHFFFAOYSA-N -1 1 313.741 1.696 20 0 DDADMM CCOc1ccc(NC(=O)Cc2sc(N(C)C)nc2[O-])cc1 ZINC000028757193 696106568 /nfs/dbraw/zinc/10/65/68/696106568.db2.gz ZBBBLTGANKPOJT-GFCCVEGCSA-N -1 1 321.402 1.974 20 0 DDADMM CC(C)c1ncc(S(=O)(=O)Oc2ccc(C(N)=O)cc2)[n-]1 ZINC000747238636 700062130 /nfs/dbraw/zinc/06/21/30/700062130.db2.gz STNAZGVDBWTSEQ-UHFFFAOYSA-N -1 1 309.347 1.400 20 0 DDADMM CC(C)c1nc(S(=O)(=O)Oc2ccc(C(N)=O)cc2)c[n-]1 ZINC000747238636 700062133 /nfs/dbraw/zinc/06/21/33/700062133.db2.gz STNAZGVDBWTSEQ-UHFFFAOYSA-N -1 1 309.347 1.400 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2ccc(F)cc2C)n1 ZINC000031721196 696122373 /nfs/dbraw/zinc/12/23/73/696122373.db2.gz QJJPTTHUMRYYSB-UHFFFAOYSA-N -1 1 312.326 1.238 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC(=O)OC(C)C ZINC000747381891 700066985 /nfs/dbraw/zinc/06/69/85/700066985.db2.gz UWPPWADOBNLILU-UHFFFAOYSA-N -1 1 309.366 1.470 20 0 DDADMM O=C(C/C=C\c1ccc(F)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000747386391 700067050 /nfs/dbraw/zinc/06/70/50/700067050.db2.gz MPKGYGVENJLFEU-UIIMQBOASA-N -1 1 317.324 1.342 20 0 DDADMM CC(C)CCOCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000045108949 696173411 /nfs/dbraw/zinc/17/34/11/696173411.db2.gz GBDJXCQOWNDLSC-UHFFFAOYSA-N -1 1 309.819 1.415 20 0 DDADMM CN(C[C@H]1CCN1C(=O)CC(C)(C)C)C(=O)c1ncccc1[O-] ZINC000977607223 696232455 /nfs/dbraw/zinc/23/24/55/696232455.db2.gz FAWNGTCMPFSHGW-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM CC(C)COCCC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000058868901 696307571 /nfs/dbraw/zinc/30/75/71/696307571.db2.gz YFGFOBJKPBYUSS-UHFFFAOYSA-N -1 1 307.346 1.549 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)NCc2n[n-]c(=S)n2C)c1 ZINC000066625337 696353995 /nfs/dbraw/zinc/35/39/95/696353995.db2.gz BEZSTJUVZILCHP-UHFFFAOYSA-N -1 1 302.363 1.592 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000978740537 696450129 /nfs/dbraw/zinc/45/01/29/696450129.db2.gz VZEZQEVSYDTVEE-TUAOUCFPSA-N -1 1 317.389 1.458 20 0 DDADMM Cc1cccc(C(C)(C)CNC(=O)Cc2sc(N)nc2[O-])c1 ZINC000079804256 696463917 /nfs/dbraw/zinc/46/39/17/696463917.db2.gz KOQVWSHORUONCK-LBPRGKRZSA-N -1 1 319.430 1.736 20 0 DDADMM Cc1ccccc1C1(CNC(=O)Cc2sc(N)nc2[O-])CC1 ZINC000079923669 696465017 /nfs/dbraw/zinc/46/50/17/696465017.db2.gz ODCQEYMFURRXQI-LBPRGKRZSA-N -1 1 317.414 1.490 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@@H](Cc3ccccc3)C2)s1 ZINC000080454094 696534853 /nfs/dbraw/zinc/53/48/53/696534853.db2.gz TZINFWQQAKZLRA-QWHCGFSZSA-N -1 1 317.414 1.424 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C1CCOCC1 ZINC000081186964 696541099 /nfs/dbraw/zinc/54/10/99/696541099.db2.gz GKIKUFXHMWSLNO-SNVBAGLBSA-N -1 1 321.830 1.557 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NNC(=O)c1ccoc1 ZINC000081617911 696546355 /nfs/dbraw/zinc/54/63/55/696546355.db2.gz ZHIAZUNCZQZLDL-UHFFFAOYSA-N -1 1 318.333 1.044 20 0 DDADMM O=C([N-]CCCSc1nnc(CO)n1C1CC1)C(F)(F)F ZINC000089525878 696578314 /nfs/dbraw/zinc/57/83/14/696578314.db2.gz VLAQAXVCZGRKSD-UHFFFAOYSA-N -1 1 324.328 1.266 20 0 DDADMM COc1cccc([S@@](=O)CCC[N-]C(=O)C(F)(F)F)c1 ZINC000091537808 696588967 /nfs/dbraw/zinc/58/89/67/696588967.db2.gz CNVXELKUDLXZOT-HXUWFJFHSA-N -1 1 309.309 1.871 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)N[N-]C(=O)c1ccc(Cl)cc1F ZINC000092442122 696594907 /nfs/dbraw/zinc/59/49/07/696594907.db2.gz RFWSPONCLBNDBO-CBAPKCEASA-N -1 1 300.717 1.665 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)c1ccccc1F)N(C)C ZINC000798814369 700098835 /nfs/dbraw/zinc/09/88/35/700098835.db2.gz UWIWFCCNEIXTGW-LBPRGKRZSA-N -1 1 302.371 1.217 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC[C@H]2CCCC2=O)o1 ZINC000748173101 700103778 /nfs/dbraw/zinc/10/37/78/700103778.db2.gz MICKFDKSQDGQPD-SECBINFHSA-N -1 1 315.347 1.104 20 0 DDADMM CC(=O)c1ccc(NC(C)=C2C(=O)[N-]C(=S)NC2=O)cc1 ZINC000799127813 700120690 /nfs/dbraw/zinc/12/06/90/700120690.db2.gz YDDLHKOCXLUABZ-UHFFFAOYSA-N -1 1 303.343 1.106 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cccc(-c3ncco3)c2)s1 ZINC000155052490 696941832 /nfs/dbraw/zinc/94/18/32/696941832.db2.gz FNXXFHHVDGADPJ-SNVBAGLBSA-N -1 1 316.342 1.627 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cnsn1 ZINC000156331301 696961723 /nfs/dbraw/zinc/96/17/23/696961723.db2.gz WEVJEBNFZZMSFR-UHFFFAOYSA-N -1 1 301.125 1.654 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCC[C@H](NCc3ccon3)C2)c1[O-] ZINC000981021595 696981523 /nfs/dbraw/zinc/98/15/23/696981523.db2.gz YBWMRARRRNOPRU-QWRGUYRKSA-N -1 1 319.365 1.243 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1=CCCC1 ZINC000982670536 697167368 /nfs/dbraw/zinc/16/73/68/697167368.db2.gz LNRSDMLSABYCFR-LBPRGKRZSA-N -1 1 315.373 1.476 20 0 DDADMM CC(=O)N(C)[C@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000984204188 697355802 /nfs/dbraw/zinc/35/58/02/697355802.db2.gz GYVXEZHWGUDETP-LBPRGKRZSA-N -1 1 318.377 1.143 20 0 DDADMM CC1(O)CCC(NC(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000749301458 700155607 /nfs/dbraw/zinc/15/56/07/700155607.db2.gz CTBMJINQQYUUMU-UHFFFAOYSA-N -1 1 301.346 1.347 20 0 DDADMM CCC1(C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)CCC1 ZINC000985584075 697535313 /nfs/dbraw/zinc/53/53/13/697535313.db2.gz BJCYJDLXSMXPAF-UHFFFAOYSA-N -1 1 321.425 1.513 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C(C)(C)C2CC2)CC1 ZINC000985598354 697539764 /nfs/dbraw/zinc/53/97/64/697539764.db2.gz PVZZDFDBMGJECQ-UHFFFAOYSA-N -1 1 321.425 1.369 20 0 DDADMM Cc1cccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)c1 ZINC000985926895 697604643 /nfs/dbraw/zinc/60/46/43/697604643.db2.gz YFWYYPJIIIIINB-DGCLKSJQSA-N -1 1 315.377 1.212 20 0 DDADMM [O-]C(=NO[C@H]1CCCCO1)Nc1ccc(N2CCOCC2)cn1 ZINC000772396453 697628005 /nfs/dbraw/zinc/62/80/05/697628005.db2.gz JXIWOBIGXMXDFX-AWEZNQCLSA-N -1 1 322.365 1.498 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1ccc(N2CCOCC2)cn1 ZINC000772396453 697628008 /nfs/dbraw/zinc/62/80/08/697628008.db2.gz JXIWOBIGXMXDFX-AWEZNQCLSA-N -1 1 322.365 1.498 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1F ZINC000773148403 697719073 /nfs/dbraw/zinc/71/90/73/697719073.db2.gz DMSXCJBJUHTTCT-NSHDSACASA-N -1 1 307.354 1.578 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CC(C)(C)C1 ZINC000986229955 697745037 /nfs/dbraw/zinc/74/50/37/697745037.db2.gz NCXHCRJEXUNVIS-GXSJLCMTSA-N -1 1 307.398 1.026 20 0 DDADMM C[S@](=O)CCNC(=O)c1cc(Br)ccc1[O-] ZINC000223423802 697761742 /nfs/dbraw/zinc/76/17/42/697761742.db2.gz OCNAAIGRXHDBGH-INIZCTEOSA-N -1 1 306.181 1.263 20 0 DDADMM O=C(CCn1cnc2ccccc2c1=O)[N-]Oc1ccccc1 ZINC000773468543 697764685 /nfs/dbraw/zinc/76/46/85/697764685.db2.gz TXOWCSWOCHCHBX-UHFFFAOYSA-N -1 1 309.325 1.897 20 0 DDADMM Cc1c(C(=O)OCCC[N-]C(=O)C(F)(F)F)cnn1C(C)C ZINC000775011229 697954356 /nfs/dbraw/zinc/95/43/56/697954356.db2.gz ICZGNWHBQIUOJY-UHFFFAOYSA-N -1 1 321.299 1.998 20 0 DDADMM O=C(c1cccc(Cl)c1[O-])N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000775783679 698031842 /nfs/dbraw/zinc/03/18/42/698031842.db2.gz LUFOZGXKPIGJJM-MRVPVSSYSA-N -1 1 307.741 1.579 20 0 DDADMM C[C@H]1CN(CCOC(=O)c2c([O-])cc(F)cc2F)CCO1 ZINC000800154213 700194823 /nfs/dbraw/zinc/19/48/23/700194823.db2.gz LWRUTQIVEMBYDA-VIFPVBQESA-N -1 1 301.289 1.548 20 0 DDADMM Cc1cncc(C=CC(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000776566137 698117703 /nfs/dbraw/zinc/11/77/03/698117703.db2.gz XGIZFVVPDNTZRU-JDGPPOGSSA-N -1 1 316.390 1.233 20 0 DDADMM Cc1cc(C(=O)OCCc2c(C)nc3nc[n-]n3c2=O)c(C)[nH]1 ZINC000777359470 698182565 /nfs/dbraw/zinc/18/25/65/698182565.db2.gz KVGKXYQUKGTCPZ-UHFFFAOYSA-N -1 1 315.333 1.070 20 0 DDADMM CC1=C(C(=O)OCCc2c(C)nc3nc[n-]n3c2=O)CCC1 ZINC000777361010 698182571 /nfs/dbraw/zinc/18/25/71/698182571.db2.gz LEPBDGRWEOOIMQ-UHFFFAOYSA-N -1 1 302.334 1.312 20 0 DDADMM O=S(=O)([N-]CC1(O)CCC1)c1c(Cl)ccnc1Cl ZINC000778006998 698236899 /nfs/dbraw/zinc/23/68/99/698236899.db2.gz ZUORFJQEENYEJV-UHFFFAOYSA-N -1 1 311.190 1.582 20 0 DDADMM Cc1cnc(C(=O)NCc2nc(Cc3ccccc3)no2)c([O-])c1 ZINC000778596397 698351054 /nfs/dbraw/zinc/35/10/54/698351054.db2.gz BHTVTTBKEVWZKB-UHFFFAOYSA-N -1 1 324.340 1.999 20 0 DDADMM CC[C@H]1C[C@@H](OC(=O)c2ccc(S(=O)(=O)[N-]C)o2)CCO1 ZINC000779301310 698411015 /nfs/dbraw/zinc/41/10/15/698411015.db2.gz YQDBRPLFMMXFJJ-UWVGGRQHSA-N -1 1 317.363 1.302 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1c[nH]nc1-c1ccc(F)cc1 ZINC000779372329 698418561 /nfs/dbraw/zinc/41/85/61/698418561.db2.gz OGTIZVHOYWSPSB-UHFFFAOYSA-N -1 1 303.297 1.276 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C\c2cccs2)c1 ZINC000255865566 698424846 /nfs/dbraw/zinc/42/48/46/698424846.db2.gz FBRZBCBQHHMWKC-UTCJRWHESA-N -1 1 324.383 1.753 20 0 DDADMM O=C([O-])[C@@H](NC(=O)Cc1[nH]nc2ccccc21)[C@H]1CCCOC1 ZINC000262704275 698478701 /nfs/dbraw/zinc/47/87/01/698478701.db2.gz BHOPXVQTDOFNAZ-BONVTDFDSA-N -1 1 317.345 1.101 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(C(=O)c2cc(C(C)C)[nH]n2)C1 ZINC000262985158 698486739 /nfs/dbraw/zinc/48/67/39/698486739.db2.gz URDYXHBVUUEGNC-OAHLLOKOSA-N -1 1 309.366 1.487 20 0 DDADMM O=C([O-])[C@@H](CC(F)F)NC(=O)c1cc(F)cc2nc[nH]c21 ZINC000263123095 698492519 /nfs/dbraw/zinc/49/25/19/698492519.db2.gz VMEGMUNLSRLBOP-MRVPVSSYSA-N -1 1 301.224 1.540 20 0 DDADMM O=C(COC(=O)c1cccc(F)n1)[N-]C(=O)c1ccccc1 ZINC000783207147 698819198 /nfs/dbraw/zinc/81/91/98/698819198.db2.gz PTLDBZOUWSHFOF-UHFFFAOYSA-N -1 1 302.261 1.334 20 0 DDADMM Cc1[nH]ncc1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000785583473 699085374 /nfs/dbraw/zinc/08/53/74/699085374.db2.gz OQNAPLZPUBHHOQ-UHFFFAOYSA-N -1 1 300.270 1.887 20 0 DDADMM O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990976528 699197528 /nfs/dbraw/zinc/19/75/28/699197528.db2.gz GBUDKMNRWWNSTL-MDZLAQPJSA-N -1 1 315.373 1.164 20 0 DDADMM O=c1cc(CN2C[C@@H](O)CC(F)(F)C2)c2ccc([O-])cc2o1 ZINC000787361442 699208798 /nfs/dbraw/zinc/20/87/98/699208798.db2.gz QWPIFGJGIZLYMY-NSHDSACASA-N -1 1 311.284 1.701 20 0 DDADMM CC(F)(F)CNS(=O)(=O)c1cc(C(=O)[O-])cc(F)c1F ZINC000700080623 699220646 /nfs/dbraw/zinc/22/06/46/699220646.db2.gz HKVHEMXOEMERQM-UHFFFAOYSA-N -1 1 315.244 1.597 20 0 DDADMM C[C@@H](OC(=O)c1nn(-c2ccc(F)cc2)cc1[O-])C(=O)N(C)C ZINC000787957110 699260119 /nfs/dbraw/zinc/26/01/19/699260119.db2.gz ZBLMJINQKLYFMQ-SECBINFHSA-N -1 1 321.308 1.351 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1ccns1)CC1(C)OCCO1 ZINC000717662099 699289940 /nfs/dbraw/zinc/28/99/40/699289940.db2.gz IRVSFVSDIKQRTP-SECBINFHSA-N -1 1 306.409 1.211 20 0 DDADMM C[C@@](O)(CN1C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C1)C(F)(F)F ZINC000720366112 699305478 /nfs/dbraw/zinc/30/54/78/699305478.db2.gz DGQWGTKGPHLUJN-VMHSAVOQSA-N -1 1 309.206 1.495 20 0 DDADMM O=S(=O)([N-][C@@H]1CNOC1)c1ccc(Cl)c(F)c1Cl ZINC000722502489 699318564 /nfs/dbraw/zinc/31/85/64/699318564.db2.gz MGYPPVVFVGZHOW-RXMQYKEDSA-N -1 1 315.153 1.314 20 0 DDADMM Cn1cc(-c2ccc(NC([O-])=NO[C@@H]3CCCCO3)nn2)cn1 ZINC000788786406 699340100 /nfs/dbraw/zinc/34/01/00/699340100.db2.gz MHXVIRSBCSNRGG-CYBMUJFWSA-N -1 1 318.337 1.457 20 0 DDADMM Cn1cc(-c2ccc(NC(=O)[N-]O[C@@H]3CCCCO3)nn2)cn1 ZINC000788786406 699340104 /nfs/dbraw/zinc/34/01/04/699340104.db2.gz MHXVIRSBCSNRGG-CYBMUJFWSA-N -1 1 318.337 1.457 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccc(Cl)nc1 ZINC000726981992 699391205 /nfs/dbraw/zinc/39/12/05/699391205.db2.gz JAJDQSGFUAIJMO-GFCCVEGCSA-N -1 1 314.736 1.768 20 0 DDADMM CCC1CCC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000727850296 699428273 /nfs/dbraw/zinc/42/82/73/699428273.db2.gz WJZNUMJPOXATQL-UHFFFAOYSA-N -1 1 307.394 1.354 20 0 DDADMM C[C@@]1(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC=CCC1 ZINC000991905733 699439430 /nfs/dbraw/zinc/43/94/30/699439430.db2.gz FTTLAXNKISQMFB-QGZVFWFLSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)[C@H]1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000728981939 699461644 /nfs/dbraw/zinc/46/16/44/699461644.db2.gz MXBHSJPVBVZXTG-QWHCGFSZSA-N -1 1 307.394 1.258 20 0 DDADMM O=C(c1c[nH]cc1-c1ccccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732090716 699545905 /nfs/dbraw/zinc/54/59/05/699545905.db2.gz MKPJALXSJGFHFN-CQSZACIVSA-N -1 1 324.344 1.409 20 0 DDADMM CCc1ccc([C@@H](C)C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000732091003 699545996 /nfs/dbraw/zinc/54/59/96/699545996.db2.gz XHVFBVXBTOCAQF-RISCZKNCSA-N -1 1 315.377 1.466 20 0 DDADMM O=C(/C=C\Sc1ccccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732089087 699546115 /nfs/dbraw/zinc/54/61/15/699546115.db2.gz AWNVLQQOZVPLFL-OJIASSBASA-N -1 1 317.374 1.406 20 0 DDADMM O=C(Nc1cccnc1N1CCC(O)CC1)c1cncc([O-])c1 ZINC000733360705 699590332 /nfs/dbraw/zinc/59/03/32/699590332.db2.gz CHXKHHDCKHREAO-UHFFFAOYSA-N -1 1 314.345 1.396 20 0 DDADMM COc1cc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)ccn1 ZINC000791090959 699611084 /nfs/dbraw/zinc/61/10/84/699611084.db2.gz VFDZVYQJDMBXDV-UHFFFAOYSA-N -1 1 312.333 1.067 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCc4ccccc4CC3)ccnc1-2 ZINC000791089634 699611103 /nfs/dbraw/zinc/61/11/03/699611103.db2.gz NJWUGVXHQUFBOG-UHFFFAOYSA-N -1 1 321.384 1.975 20 0 DDADMM CCC(=O)Nc1cccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000791167995 699614063 /nfs/dbraw/zinc/61/40/63/699614063.db2.gz SNGBYNFUQUSXJJ-UHFFFAOYSA-N -1 1 323.356 1.943 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC(=O)N(C2CC2)C1 ZINC000791190956 699615296 /nfs/dbraw/zinc/61/52/96/699615296.db2.gz FCOZDDDQFVUFJJ-UHFFFAOYSA-N -1 1 304.350 1.018 20 0 DDADMM CNc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc(Cl)n1 ZINC000736162706 699719329 /nfs/dbraw/zinc/71/93/29/699719329.db2.gz QCAAVESZUZPDKN-UHFFFAOYSA-N -1 1 321.772 1.489 20 0 DDADMM CCCc1nc(C)c(C(=O)N2CCOC[C@H]2c2nn[n-]n2)s1 ZINC000736494728 699726579 /nfs/dbraw/zinc/72/65/79/699726579.db2.gz FHMKXNHVKIYDAZ-VIFPVBQESA-N -1 1 322.394 1.131 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccsc1)c1ccc2cncn2c1 ZINC000793177251 699737489 /nfs/dbraw/zinc/73/74/89/699737489.db2.gz KEOPLDDKAGOZQV-UHFFFAOYSA-N -1 1 307.356 1.515 20 0 DDADMM COCCN1CCN([C@H](C(=O)[O-])c2ccc(F)cc2)C[C@@H]1C ZINC000737814669 699745217 /nfs/dbraw/zinc/74/52/17/699745217.db2.gz XSVBGYAVMZFPGE-WFASDCNBSA-N -1 1 310.369 1.604 20 0 DDADMM CN1CCC[C@@H]1C(=O)Nc1ccccc1-n1ccc(C(=O)[O-])n1 ZINC000738014345 699749726 /nfs/dbraw/zinc/74/97/26/699749726.db2.gz BBCLXYLTIOWSDY-CQSZACIVSA-N -1 1 314.345 1.603 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2cncc(F)c2)co1 ZINC000795562091 699875510 /nfs/dbraw/zinc/87/55/10/699875510.db2.gz GKSSCNHSOHDEMT-UHFFFAOYSA-N -1 1 314.294 1.079 20 0 DDADMM Cc1nsc([N-]C(=O)c2nc(C)n(-c3ccccc3)n2)n1 ZINC000742829136 699891854 /nfs/dbraw/zinc/89/18/54/699891854.db2.gz KKKJWLQABVLYIW-UHFFFAOYSA-N -1 1 300.347 1.988 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3COc4ccccc43)ccnc1-2 ZINC000808862023 701624393 /nfs/dbraw/zinc/62/43/93/701624393.db2.gz WFSJOOFEKSVWSW-NSHDSACASA-N -1 1 323.356 1.640 20 0 DDADMM CC(C)CC[C@](C)(O)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000751437195 700288455 /nfs/dbraw/zinc/28/84/55/700288455.db2.gz WXAWTWFFPSFRJM-LBPRGKRZSA-N -1 1 323.846 1.539 20 0 DDADMM Cn1cc([C@H](O)C[N-]S(=O)(=O)c2cc3ccccc3o2)cn1 ZINC000751452763 700290714 /nfs/dbraw/zinc/29/07/14/700290714.db2.gz FPYPKYPWJDNMJW-GFCCVEGCSA-N -1 1 321.358 1.178 20 0 DDADMM O=C(COC(=O)CC[C@@H]1CCOC1)[N-]C(=O)c1ccccc1 ZINC000751709921 700309169 /nfs/dbraw/zinc/30/91/69/700309169.db2.gz HEIIMWHZPCOJPE-GFCCVEGCSA-N -1 1 305.330 1.303 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2(C)OCCCO2)sc1C ZINC000752833899 700391201 /nfs/dbraw/zinc/39/12/01/700391201.db2.gz IJBWJLQSLUCNKZ-UHFFFAOYSA-N -1 1 306.409 1.191 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCC[C@H]2CCCO2)co1 ZINC000756062127 700601521 /nfs/dbraw/zinc/60/15/21/700601521.db2.gz UJYKCLAWOYMCQM-LLVKDONJSA-N -1 1 317.363 1.304 20 0 DDADMM C[C@@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C1CCOCC1 ZINC000756409965 700620352 /nfs/dbraw/zinc/62/03/52/700620352.db2.gz JXNOTTNAFVABSH-GFCCVEGCSA-N -1 1 319.357 1.549 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)C1CC1)c1ccc(Br)o1 ZINC000759038729 700735151 /nfs/dbraw/zinc/73/51/51/700735151.db2.gz FRORXWCDXLXBGC-QMMMGPOBSA-N -1 1 324.196 1.481 20 0 DDADMM Cc1ccc(C)c(CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000760547649 700813504 /nfs/dbraw/zinc/81/35/04/700813504.db2.gz JWZNYPMXOGQVIV-UHFFFAOYSA-N -1 1 315.373 1.202 20 0 DDADMM C[C@H]1CC(=O)CC[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000760555458 700814009 /nfs/dbraw/zinc/81/40/09/700814009.db2.gz PBRHLAXFORLOHB-SMDDNHRTSA-N -1 1 317.341 1.492 20 0 DDADMM C[C@H]1C[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCC1=O ZINC000761467675 700861384 /nfs/dbraw/zinc/86/13/84/700861384.db2.gz QIOMUFGLTOBPAH-AAEUAGOBSA-N -1 1 317.341 1.492 20 0 DDADMM Cc1ccc(CC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(Cl)c1 ZINC000762206391 700885024 /nfs/dbraw/zinc/88/50/24/700885024.db2.gz KOWRMVSFPCUOLM-GFCCVEGCSA-N -1 1 321.768 1.304 20 0 DDADMM C[C@H](NCc1cc(=O)oc2cc([O-])ccc12)c1nncn1C ZINC000763036458 700921300 /nfs/dbraw/zinc/92/13/00/700921300.db2.gz CXFROZYDEFIKFM-VIFPVBQESA-N -1 1 300.318 1.478 20 0 DDADMM CCNc1nc(C)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000765109649 700999383 /nfs/dbraw/zinc/99/93/83/700999383.db2.gz NPONCSUJEKAFCT-SECBINFHSA-N -1 1 321.410 1.416 20 0 DDADMM COCC1([N-]S(=O)(=O)c2ccc(Cl)nc2Cl)CC1 ZINC000765213688 701003208 /nfs/dbraw/zinc/00/32/08/701003208.db2.gz QXAROYTZYMJQBH-UHFFFAOYSA-N -1 1 311.190 1.846 20 0 DDADMM CCCCOc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765387328 701009107 /nfs/dbraw/zinc/00/91/07/701009107.db2.gz VLZZQINQQYPXAJ-UHFFFAOYSA-N -1 1 305.334 1.644 20 0 DDADMM Cc1ccc(CCCCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765460037 701013666 /nfs/dbraw/zinc/01/36/66/701013666.db2.gz KMQFXVQZDSXYEC-UHFFFAOYSA-N -1 1 303.362 1.873 20 0 DDADMM Cn1[n-]c(COC(=O)C2(c3ccc(F)cc3F)CCC2)nc1=O ZINC000765503466 701016324 /nfs/dbraw/zinc/01/63/24/701016324.db2.gz OBDMACRYMDXGAT-UHFFFAOYSA-N -1 1 323.299 1.552 20 0 DDADMM Nc1nnc(C[N-]C(=O)C(F)(F)c2cccc(Cl)c2)o1 ZINC000803123802 701083914 /nfs/dbraw/zinc/08/39/14/701083914.db2.gz IOTCFMJKJVPAHH-UHFFFAOYSA-N -1 1 302.668 1.713 20 0 DDADMM CC(C)(C)OC(=O)N1CC(NC(=O)c2ccc([O-])c(F)c2)C1 ZINC000768146681 701160762 /nfs/dbraw/zinc/16/07/62/701160762.db2.gz IFPCFADKFUEIAE-UHFFFAOYSA-N -1 1 310.325 1.880 20 0 DDADMM Cc1cc2c(cc1C)N(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC000804321261 701178615 /nfs/dbraw/zinc/17/86/15/701178615.db2.gz LUPKQQLZKUJBRU-UHFFFAOYSA-N -1 1 313.357 1.133 20 0 DDADMM O=C([N-]CCCN1C(=O)c2ccccc2C1=O)C(F)(F)F ZINC000804610944 701203210 /nfs/dbraw/zinc/20/32/10/701203210.db2.gz AAMLPOSCHMJQQK-UHFFFAOYSA-N -1 1 300.236 1.351 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(C)c(F)c2)C1 ZINC000805036403 701227099 /nfs/dbraw/zinc/22/70/99/701227099.db2.gz DLTRBJDNZTUPNW-GFCCVEGCSA-N -1 1 324.352 1.571 20 0 DDADMM COc1ccc(C(=O)[C@@H](C)OC(=O)c2cn[n-]n2)c(OC)c1 ZINC000805603503 701397453 /nfs/dbraw/zinc/39/74/53/701397453.db2.gz OQGFTKQODIIWBJ-MRVPVSSYSA-N -1 1 305.290 1.250 20 0 DDADMM CC(C)[C@@H](OC(=O)c1cn[n-]n1)C(=O)N(C)c1ccccc1 ZINC000805604992 701398061 /nfs/dbraw/zinc/39/80/61/701398061.db2.gz UIKMWYOTQAANHA-CYBMUJFWSA-N -1 1 302.334 1.649 20 0 DDADMM O=C(OCc1ccn(-c2ccc(F)cc2F)n1)c1cn[n-]n1 ZINC000805606059 701398819 /nfs/dbraw/zinc/39/88/19/701398819.db2.gz SBISPEXXDIVOPH-UHFFFAOYSA-N -1 1 305.244 1.626 20 0 DDADMM Cc1cc(C)c(N2CC[C@H](OC(=O)c3cn[n-]n3)C2=O)c(C)c1 ZINC000805606577 701399196 /nfs/dbraw/zinc/39/91/96/701399196.db2.gz YLFKOXQPHNPHIS-ZDUSSCGKSA-N -1 1 314.345 1.692 20 0 DDADMM Cc1ccc(CN(C(=O)COC(=O)c2cn[n-]n2)C2CC2)o1 ZINC000805608092 701399427 /nfs/dbraw/zinc/39/94/27/701399427.db2.gz XWCNIZZYWIROGY-UHFFFAOYSA-N -1 1 304.306 1.054 20 0 DDADMM O=C(/C=C\COc1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000830905969 706600690 /nfs/dbraw/zinc/60/06/90/706600690.db2.gz IWSKVACCTFFJFQ-WYOARUQKSA-N -1 1 313.361 1.541 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H](CO)C3CCCCC3)ccnc1-2 ZINC000806482509 701437028 /nfs/dbraw/zinc/43/70/28/701437028.db2.gz QTPGPVQBRMICGE-CQSZACIVSA-N -1 1 317.393 1.405 20 0 DDADMM C[C@H](CC(F)(F)F)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806483762 701437272 /nfs/dbraw/zinc/43/72/72/701437272.db2.gz PNBVYSHFRAQEHC-SSDOTTSWSA-N -1 1 301.272 1.804 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1(C)C ZINC000806491967 701437711 /nfs/dbraw/zinc/43/77/11/701437711.db2.gz ZAUZRWFGIRHDQQ-NWDGAFQWSA-N -1 1 303.366 1.277 20 0 DDADMM CCOc1cc(C(=O)N[C@@H]2C[C@H](C)OC2=O)cc(Cl)c1[O-] ZINC000808205363 701507488 /nfs/dbraw/zinc/50/74/88/701507488.db2.gz CLBSNPGOEXQKSC-OIBJUYFYSA-N -1 1 313.737 1.878 20 0 DDADMM O=S(=O)([N-][C@@H](C1CCC1)[C@@H]1CCOC1)c1c[nH]nc1Cl ZINC000867732904 701719103 /nfs/dbraw/zinc/71/91/03/701719103.db2.gz ICAHZDMSVFZUNP-KOLCDFICSA-N -1 1 319.814 1.547 20 0 DDADMM CCOC(=O)CC[C@@H](C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830972026 706613591 /nfs/dbraw/zinc/61/35/91/706613591.db2.gz BCXWAOUCIZRSTP-SSDOTTSWSA-N -1 1 309.775 1.073 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)C1(C2CCC2)CCC1 ZINC000830952849 706609955 /nfs/dbraw/zinc/60/99/55/706609955.db2.gz OJTGVYQYNKNYLZ-UHFFFAOYSA-N -1 1 317.389 1.842 20 0 DDADMM CCCCCCO[C@H](C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000839607266 701753099 /nfs/dbraw/zinc/75/30/99/701753099.db2.gz VMFQXRIOCJOAFU-SECBINFHSA-N -1 1 318.399 1.132 20 0 DDADMM CCCCCCO[C@H](C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000839607266 701753103 /nfs/dbraw/zinc/75/31/03/701753103.db2.gz VMFQXRIOCJOAFU-SECBINFHSA-N -1 1 318.399 1.132 20 0 DDADMM CCCCCCO[C@@H](C)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000840336109 702073978 /nfs/dbraw/zinc/07/39/78/702073978.db2.gz VZSNUAKHPWKJFP-RYUDHWBXSA-N -1 1 311.386 1.085 20 0 DDADMM Cc1nocc1C(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000868444379 702131625 /nfs/dbraw/zinc/13/16/25/702131625.db2.gz KNXMWSBXTGPPHK-VIFPVBQESA-N -1 1 319.283 1.514 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)[N-]OC/C=C\Cl)c2=O ZINC000812409832 702177859 /nfs/dbraw/zinc/17/78/59/702177859.db2.gz ZFZCNSWQOCWWGC-DJWKRKHSSA-N -1 1 308.721 1.951 20 0 DDADMM O=S(=O)(C[C@H]1CCCOC1)Nc1ccc(F)c(F)c1[O-] ZINC000840917253 702278645 /nfs/dbraw/zinc/27/86/45/702278645.db2.gz IUHQGFZVZYMEKX-QMMMGPOBSA-N -1 1 307.318 1.839 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000868740074 702295450 /nfs/dbraw/zinc/29/54/50/702295450.db2.gz HMHJSAQOAWWEME-GMTAPVOTSA-N -1 1 318.377 1.243 20 0 DDADMM Cc1ccccc1C1(C(=O)NN2CC(=O)[N-]C2=O)CCCC1 ZINC000813412249 702344171 /nfs/dbraw/zinc/34/41/71/702344171.db2.gz PUWHWNGBQXSAAT-UHFFFAOYSA-N -1 1 301.346 1.390 20 0 DDADMM CC(C)c1nsc(N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000866294707 706667545 /nfs/dbraw/zinc/66/75/45/706667545.db2.gz VAZRRIBYIZEMGO-UHFFFAOYSA-N -1 1 308.329 1.776 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)Cc2cc(Cl)ccc2F)C(=O)O1 ZINC000841526500 702481019 /nfs/dbraw/zinc/48/10/19/702481019.db2.gz YYPPMEIKSQKTJI-WRWORJQWSA-N -1 1 321.757 1.603 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)Cc1ccc(F)cc1 ZINC000841535650 702485932 /nfs/dbraw/zinc/48/59/32/702485932.db2.gz TYKVHFYVJBDSMO-NSHDSACASA-N -1 1 301.339 1.197 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]Cc2ccco2)c1C(F)(F)F ZINC000866370145 706683418 /nfs/dbraw/zinc/68/34/18/706683418.db2.gz RTOIDBRJQQROPC-UHFFFAOYSA-N -1 1 309.269 1.510 20 0 DDADMM C[C@@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1CCCC1 ZINC000869427253 702604057 /nfs/dbraw/zinc/60/40/57/702604057.db2.gz DJDWNGGIWFDDFZ-SECBINFHSA-N -1 1 323.311 1.994 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000869429195 702604549 /nfs/dbraw/zinc/60/45/49/702604549.db2.gz VCPDRKJZMCHAND-URLYPYJESA-N -1 1 321.295 1.604 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2nc(C)oc2C)co1 ZINC000842428053 702719921 /nfs/dbraw/zinc/71/99/21/702719921.db2.gz YRQKWRFTSFMSFY-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM C[C@@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C1CCC1 ZINC000843014531 702803304 /nfs/dbraw/zinc/80/33/04/702803304.db2.gz NWKKUUIUVFUWLY-LLVKDONJSA-N -1 1 302.440 1.353 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)Cc2cccs2)CCC1 ZINC000843019599 702804538 /nfs/dbraw/zinc/80/45/38/702804538.db2.gz WDLQRDKZXUZOJX-UHFFFAOYSA-N -1 1 316.448 1.221 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CC1(Cl)Cl ZINC000866469247 706706765 /nfs/dbraw/zinc/70/67/65/706706765.db2.gz KIPHLXXJZAFROH-WDDATRMLSA-N -1 1 309.240 1.132 20 0 DDADMM CC(C)(C)OC(=O)CC1(C(=O)[N-]OC[C@H]2CCOC2)CCC1 ZINC000843862511 702935008 /nfs/dbraw/zinc/93/50/08/702935008.db2.gz DKBWCDFDNKAYER-LBPRGKRZSA-N -1 1 313.394 1.973 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)C1(CC(=O)OC(C)(C)C)CCC1 ZINC000843903034 702939867 /nfs/dbraw/zinc/93/98/67/702939867.db2.gz CACIOXRPVIJELB-GFCCVEGCSA-N -1 1 315.410 1.953 20 0 DDADMM CCCO[N-]C(=O)[C@@H]1CC(=O)N(c2cc(C)ccc2OC)C1 ZINC000844081430 702969255 /nfs/dbraw/zinc/96/92/55/702969255.db2.gz ZPPGCIPEZWXONL-GFCCVEGCSA-N -1 1 306.362 1.814 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2occ3c2CCOC3)n1 ZINC000844520001 703040506 /nfs/dbraw/zinc/04/05/06/703040506.db2.gz RAARLDRPDDNPOS-UHFFFAOYSA-N -1 1 309.303 1.243 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C2CCC3(CC2)OCCO3)sn1 ZINC000866520750 706720030 /nfs/dbraw/zinc/72/00/30/706720030.db2.gz CWZJBOFFAMXWIG-UHFFFAOYSA-N -1 1 318.420 1.416 20 0 DDADMM Cc1noc(CN(C)Cc2cc(=O)oc3cc([O-])ccc23)n1 ZINC000847093856 703377002 /nfs/dbraw/zinc/37/70/02/703377002.db2.gz UOBPIPNSVBDWLO-UHFFFAOYSA-N -1 1 301.302 1.822 20 0 DDADMM CC1(C[N-]S(=O)(=O)N=[S@](C)(=O)c2ccccc2)CC1 ZINC000866912073 706842424 /nfs/dbraw/zinc/84/24/24/706842424.db2.gz BVZACAYUEJTPLI-GOSISDBHSA-N -1 1 302.421 1.778 20 0 DDADMM CC(C)(C)c1cccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000847472913 703423585 /nfs/dbraw/zinc/42/35/85/703423585.db2.gz KICFVAXIQWLCFT-ZDUSSCGKSA-N -1 1 315.377 1.711 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](C)c1ccc(F)cc1 ZINC000866595115 706739864 /nfs/dbraw/zinc/73/98/64/706739864.db2.gz XDVLBWFSSPFIRA-GIGQVBGESA-N -1 1 322.427 1.881 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C=C(C)c2cccs2)n[n-]1 ZINC000879654403 706750508 /nfs/dbraw/zinc/75/05/08/706750508.db2.gz GRFOBIAEBRYXDT-VQHVLOKHSA-N -1 1 320.374 1.763 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C=C(C)c2cccs2)n1 ZINC000879654403 706750510 /nfs/dbraw/zinc/75/05/10/706750510.db2.gz GRFOBIAEBRYXDT-VQHVLOKHSA-N -1 1 320.374 1.763 20 0 DDADMM CCO/C=C/[C@@](O)(CNC(=O)c1ncccc1[O-])C(F)(F)F ZINC000848177099 703521719 /nfs/dbraw/zinc/52/17/19/703521719.db2.gz GVVPMGQFBVKJCW-HOSRBBHYSA-N -1 1 320.267 1.361 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)CSC1CC1 ZINC000848337067 703540286 /nfs/dbraw/zinc/54/02/86/703540286.db2.gz CLSXXTRAHVOOEA-PSASIEDQSA-N -1 1 324.368 1.940 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)CO1 ZINC000848340266 703540909 /nfs/dbraw/zinc/54/09/09/703540909.db2.gz PKUHOMIHAOIIQI-CHWFTXMASA-N -1 1 322.327 1.469 20 0 DDADMM COC[C@H](CC(C)(C)C)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000848491988 703557116 /nfs/dbraw/zinc/55/71/16/703557116.db2.gz RCSLDTSNMQXXEB-VIFPVBQESA-N -1 1 323.846 1.803 20 0 DDADMM CCN(CC)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848508524 703559230 /nfs/dbraw/zinc/55/92/30/703559230.db2.gz BXVJPPYBANPSND-NXEZZACHSA-N -1 1 309.332 1.980 20 0 DDADMM COC[C@H](CC[N-]S(=O)(=O)c1cc2ccccc2o1)OC ZINC000849416020 703645744 /nfs/dbraw/zinc/64/57/44/703645744.db2.gz XBZVEECVINIKQT-LBPRGKRZSA-N -1 1 313.375 1.763 20 0 DDADMM C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869887168 703871750 /nfs/dbraw/zinc/87/17/50/703871750.db2.gz SENQMOVOEKOSMG-APHKKCJPSA-N -1 1 321.295 1.459 20 0 DDADMM NC(=O)N1CC[C@H](c2ccccc2)[C@H]([N-]C(=O)C(F)(F)F)C1 ZINC000853015561 704184176 /nfs/dbraw/zinc/18/41/76/704184176.db2.gz RKAALJXLYAVVIJ-GHMZBOCLSA-N -1 1 315.295 1.602 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F)C1CCC1 ZINC000867012041 706871965 /nfs/dbraw/zinc/87/19/65/706871965.db2.gz IMZXKQCGJBRHBF-SSDOTTSWSA-N -1 1 311.329 1.906 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC2CCOCC2)c1 ZINC000820052765 704211465 /nfs/dbraw/zinc/21/14/65/704211465.db2.gz HLGJZJCEBXNSSE-NRFANRHFSA-N -1 1 312.387 1.773 20 0 DDADMM C=CC(=O)NCc1ccc(C(=O)Nc2c(C)[n-][nH]c2=O)cc1 ZINC000820403707 704272804 /nfs/dbraw/zinc/27/28/04/704272804.db2.gz XOAHDIPDSCBYLI-UHFFFAOYSA-N -1 1 300.318 1.478 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cc(C2CCCC2)[nH]n1 ZINC000820802146 704332922 /nfs/dbraw/zinc/33/29/22/704332922.db2.gz CMHWUPUGICMESI-UHFFFAOYSA-N -1 1 306.413 1.452 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cc(Cl)ccc1F ZINC000821132180 704375131 /nfs/dbraw/zinc/37/51/31/704375131.db2.gz LCXWKBCJTOOKMO-UHFFFAOYSA-N -1 1 300.764 1.863 20 0 DDADMM O=S(=O)([N-][C@H]1CC=CCC1)c1nc[nH]c1Br ZINC000866813992 706812038 /nfs/dbraw/zinc/81/20/38/706812038.db2.gz IHUYGOXKGGPNKK-ZETCQYMHSA-N -1 1 306.185 1.559 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCCn2ccnc2)c1 ZINC000821351947 704395272 /nfs/dbraw/zinc/39/52/72/704395272.db2.gz FFZKCINQVXYOII-QFIPXVFZSA-N -1 1 322.386 1.633 20 0 DDADMM CC(=O)[C@@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000854733125 704445757 /nfs/dbraw/zinc/44/57/57/704445757.db2.gz KLARAOWAOYZJGX-NSHDSACASA-N -1 1 304.375 1.602 20 0 DDADMM CC[C@H](COCC1CC1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000855283878 704477341 /nfs/dbraw/zinc/47/73/41/704477341.db2.gz HWOGXNDJQPLPLP-GFCCVEGCSA-N -1 1 320.418 1.953 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)c2ncnn21 ZINC000855329766 704478916 /nfs/dbraw/zinc/47/89/16/704478916.db2.gz ADBZTZQEMFOVKA-VXNVDRBHSA-N -1 1 315.362 1.045 20 0 DDADMM CO[C@@H](C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1)C(C)C ZINC000856417060 704527994 /nfs/dbraw/zinc/52/79/94/704527994.db2.gz FLTIWGJHZHBJFZ-OUAUKWLOSA-N -1 1 324.343 1.573 20 0 DDADMM CCC[C@](C)(O)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417866 704528170 /nfs/dbraw/zinc/52/81/70/704528170.db2.gz LQCYKYQCMUWPPZ-NRUUGDAUSA-N -1 1 324.343 1.453 20 0 DDADMM C[C@@H]1CCN(C(=O)/C=C/C2CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856419132 704528238 /nfs/dbraw/zinc/52/82/38/704528238.db2.gz VBUMVFZMXJCVPR-IPFDCLDFSA-N -1 1 304.312 1.868 20 0 DDADMM C[C@H]1CCN(CCS(=O)(=O)C2CCCCC2)C[C@H]1C(=O)[O-] ZINC000857084018 704550070 /nfs/dbraw/zinc/55/00/70/704550070.db2.gz LUZRQTQHZLSDDH-GXTWGEPZSA-N -1 1 317.451 1.777 20 0 DDADMM Cc1ccc([C@@H](C)C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)o1 ZINC000857622467 704608386 /nfs/dbraw/zinc/60/83/86/704608386.db2.gz UMUXZPODOJOHFP-SECBINFHSA-N -1 1 301.306 1.370 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1[C@@H]2CCCO[C@H]2C1(C)C ZINC000866872673 706830665 /nfs/dbraw/zinc/83/06/65/706830665.db2.gz AGJGRHNRPJLTIZ-HIFMHURYSA-N -1 1 324.468 1.142 20 0 DDADMM O=c1nc(NCC2(O)CCC3(CC2)OCCO3)cc(Cl)[n-]1 ZINC000858385531 704703160 /nfs/dbraw/zinc/70/31/60/704703160.db2.gz BNSNXLDCPSGHRW-UHFFFAOYSA-N -1 1 315.757 1.296 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@H](CO)Nc2cc(Cl)[n-]c(=O)n2)C1 ZINC000858621826 704736046 /nfs/dbraw/zinc/73/60/46/704736046.db2.gz COQQTEREMULREP-AEJSXWLSSA-N -1 1 301.774 1.814 20 0 DDADMM O=C(CN1CCCC1)Nc1nc(Br)ccc1[O-] ZINC000822353071 704926063 /nfs/dbraw/zinc/92/60/63/704926063.db2.gz LABHRDXJHIOOJF-UHFFFAOYSA-N -1 1 300.156 1.584 20 0 DDADMM Cc1nnc([C@@H](C)OC(=O)c2ccc(-c3nnn[n-]3)s2)o1 ZINC000822510732 704964087 /nfs/dbraw/zinc/96/40/87/704964087.db2.gz WFGWYLPBIBJRIX-RXMQYKEDSA-N -1 1 306.307 1.538 20 0 DDADMM Cc1nnc([C@@H](C)OC(=O)c2ccc(-c3nn[n-]n3)s2)o1 ZINC000822510732 704964091 /nfs/dbraw/zinc/96/40/91/704964091.db2.gz WFGWYLPBIBJRIX-RXMQYKEDSA-N -1 1 306.307 1.538 20 0 DDADMM CC1(C)C[C@@H]([N-]S(=O)(=O)c2nc[nH]c2Br)CO1 ZINC000867497685 707019432 /nfs/dbraw/zinc/01/94/32/707019432.db2.gz UYTRPUGYVDTRMX-ZCFIWIBFSA-N -1 1 324.200 1.018 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(Cc2ccnn2-c2ccccc2)C1 ZINC000859818740 705012031 /nfs/dbraw/zinc/01/20/31/705012031.db2.gz BLNPBPSVDMIGFH-INIZCTEOSA-N -1 1 301.346 1.548 20 0 DDADMM Cc1cc2ccccc2nc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000874444345 705068360 /nfs/dbraw/zinc/06/83/60/705068360.db2.gz IHUFNJUXRTVVCE-CYBMUJFWSA-N -1 1 324.344 1.270 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=C2CC[C@@H](C)C2)n[n-]1 ZINC000880667612 707052615 /nfs/dbraw/zinc/05/26/15/707052615.db2.gz YBVNAZQOCLMRPA-ITYVAHBBSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=C2CC[C@@H](C)C2)[n-]1 ZINC000880667612 707052619 /nfs/dbraw/zinc/05/26/19/707052619.db2.gz YBVNAZQOCLMRPA-ITYVAHBBSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)/C=C2/CC[C@@H](C)C2)n1 ZINC000880667612 707052622 /nfs/dbraw/zinc/05/26/22/707052622.db2.gz YBVNAZQOCLMRPA-ITYVAHBBSA-N -1 1 306.366 1.905 20 0 DDADMM Cc1cc(CC(=O)N[C@H](CCC(=O)[O-])Cc2ccccc2)[nH]n1 ZINC000823825498 705325370 /nfs/dbraw/zinc/32/53/70/705325370.db2.gz QKLWPUPPRGYBLR-CQSZACIVSA-N -1 1 315.373 1.853 20 0 DDADMM O=C([N-]c1cnsn1)c1nnn(C2CC2)c1C(F)(F)F ZINC000823862804 705330761 /nfs/dbraw/zinc/33/07/61/705330761.db2.gz XSZVGIKMJHBFEV-UHFFFAOYSA-N -1 1 304.257 1.736 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCN(CCF)C1)c1cccc(F)c1F ZINC000867711018 707082051 /nfs/dbraw/zinc/08/20/51/707082051.db2.gz HRPIJLZUVVJRMC-SNVBAGLBSA-N -1 1 322.352 1.677 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C12CC(C1)C2 ZINC000862145080 705663544 /nfs/dbraw/zinc/66/35/44/705663544.db2.gz DFXANKZFDXIOES-SUIRZZFNSA-N -1 1 304.312 1.845 20 0 DDADMM CCC(C)(CC)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876300517 705682900 /nfs/dbraw/zinc/68/29/00/705682900.db2.gz VJFCKIWJLQQMHG-UHFFFAOYSA-N -1 1 308.382 1.633 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC[C@](O)(C(F)F)C1 ZINC000876397246 705708416 /nfs/dbraw/zinc/70/84/16/705708416.db2.gz ZILDTIVKKUPBCI-CYBMUJFWSA-N -1 1 320.723 1.957 20 0 DDADMM CCC[C@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)OCC ZINC000862416045 705713472 /nfs/dbraw/zinc/71/34/72/705713472.db2.gz LKTBBYVVHDZDQG-LLVKDONJSA-N -1 1 308.407 1.953 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1(C)C ZINC000826144165 705777577 /nfs/dbraw/zinc/77/75/77/705777577.db2.gz CMJDXJDYHPCUOB-WHGOUJPWSA-N -1 1 321.406 1.718 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1(C)C ZINC000826144165 705777578 /nfs/dbraw/zinc/77/75/78/705777578.db2.gz CMJDXJDYHPCUOB-WHGOUJPWSA-N -1 1 321.406 1.718 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@H]2CCCC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826343024 705792634 /nfs/dbraw/zinc/79/26/34/705792634.db2.gz JMVYAACDLSSLQT-CABZTGNLSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@H]2CCCC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826343024 705792637 /nfs/dbraw/zinc/79/26/37/705792637.db2.gz JMVYAACDLSSLQT-CABZTGNLSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)NC2CCCCCCC2)c1-c1nnn[n-]1 ZINC000826346281 705793588 /nfs/dbraw/zinc/79/35/88/705793588.db2.gz IGUNPPIMWLSVML-UHFFFAOYSA-N -1 1 318.381 1.935 20 0 DDADMM Cc1onc(CC(=O)NC2CCCCCCC2)c1-c1nn[n-]n1 ZINC000826346281 705793592 /nfs/dbraw/zinc/79/35/92/705793592.db2.gz IGUNPPIMWLSVML-UHFFFAOYSA-N -1 1 318.381 1.935 20 0 DDADMM Clc1nsc(N2CCOCC3(CC3)C2)c1-c1nnn[n-]1 ZINC000826354344 705795319 /nfs/dbraw/zinc/79/53/19/705795319.db2.gz YVYFHRRXEBUPBT-UHFFFAOYSA-N -1 1 312.786 1.593 20 0 DDADMM Clc1nsc(N2CCOCC3(CC3)C2)c1-c1nn[n-]n1 ZINC000826354344 705795320 /nfs/dbraw/zinc/79/53/20/705795320.db2.gz YVYFHRRXEBUPBT-UHFFFAOYSA-N -1 1 312.786 1.593 20 0 DDADMM O[C@H](CCc1ccccc1)CNc1nccnc1-c1nnn[n-]1 ZINC000826503402 705804794 /nfs/dbraw/zinc/80/47/94/705804794.db2.gz WWWDXBBRAGODSL-GFCCVEGCSA-N -1 1 311.349 1.062 20 0 DDADMM O[C@H](CCc1ccccc1)CNc1nccnc1-c1nn[n-]n1 ZINC000826503402 705804795 /nfs/dbraw/zinc/80/47/95/705804795.db2.gz WWWDXBBRAGODSL-GFCCVEGCSA-N -1 1 311.349 1.062 20 0 DDADMM COc1cc(C(=O)[N-]c2nc(C3CCOCC3)ns2)ncn1 ZINC000827516505 706017984 /nfs/dbraw/zinc/01/79/84/706017984.db2.gz BNXVLSSDZKLHKA-UHFFFAOYSA-N -1 1 321.362 1.483 20 0 DDADMM O=C([O-])[C@@]1(CNc2ccncc2C(F)(F)F)CCCOC1 ZINC000864088946 706064638 /nfs/dbraw/zinc/06/46/38/706064638.db2.gz JLQLKGLHWLSMDZ-GFCCVEGCSA-N -1 1 304.268 1.816 20 0 DDADMM O=C([O-])[C@]12CN(C(=O)c3cccc4n[nH]cc43)C[C@H]1COCC2 ZINC000864137372 706077322 /nfs/dbraw/zinc/07/73/22/706077322.db2.gz WGFRFGWEYFJOHM-MGPLVRAMSA-N -1 1 315.329 1.126 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)c2cccc3n[nH]cc32)CC12CCC2 ZINC000864152846 706082100 /nfs/dbraw/zinc/08/21/00/706082100.db2.gz RFKFADZPZQOIHK-MRXNPFEDSA-N -1 1 317.320 1.982 20 0 DDADMM C/C(=C/c1ccco1)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000864160566 706085106 /nfs/dbraw/zinc/08/51/06/706085106.db2.gz YVTHILXMBVHYEC-UKVQZPPCSA-N -1 1 306.362 1.690 20 0 DDADMM Cc1ccccc1[C@@H](C)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000827960414 706093961 /nfs/dbraw/zinc/09/39/61/706093961.db2.gz GHJFEUNDAYAVRE-DGCLKSJQSA-N -1 1 301.350 1.212 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)sc1C ZINC000835581719 707286160 /nfs/dbraw/zinc/28/61/60/707286160.db2.gz JOMGCGZJBVPUGC-SNVBAGLBSA-N -1 1 319.452 1.151 20 0 DDADMM O=C(NCCOCC1CCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000828550428 706198726 /nfs/dbraw/zinc/19/87/26/706198726.db2.gz MRDQIFGWAPGOCN-UHFFFAOYSA-N -1 1 306.391 1.565 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@]1(C)CCOC1 ZINC000872449670 707417340 /nfs/dbraw/zinc/41/73/40/707417340.db2.gz RHTUKVZEWNLSRJ-ZMZPIMSZSA-N -1 1 312.457 1.144 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC([C@@H]2CCC[C@@H](C)C2)C1 ZINC000829121407 706290265 /nfs/dbraw/zinc/29/02/65/706290265.db2.gz YRGLYFUGTGOBLU-NXEZZACHSA-N -1 1 321.377 1.795 20 0 DDADMM CC(C)N(C)c1ccc(NC(=O)CCc2nn[n-]n2)cc1F ZINC000864945972 706308448 /nfs/dbraw/zinc/30/84/48/706308448.db2.gz PPJFWLLDXWOWRR-UHFFFAOYSA-N -1 1 306.345 1.755 20 0 DDADMM O=c1[n-]nc([C@@H]2CCCN(Cc3cccc4c3OCCO4)C2)o1 ZINC000829426357 706331816 /nfs/dbraw/zinc/33/18/16/706331816.db2.gz LLNHUKKMWBTGFB-GFCCVEGCSA-N -1 1 317.345 1.926 20 0 DDADMM CCN(CC(=O)[O-])C(=O)c1cc(-c2cccc(OC)c2)[nH]n1 ZINC000829628755 706369153 /nfs/dbraw/zinc/36/91/53/706369153.db2.gz ZGCUQVMTAZDLQD-UHFFFAOYSA-N -1 1 303.318 1.632 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=S)NC2CCC2)C1 ZINC000865276245 706394460 /nfs/dbraw/zinc/39/44/60/706394460.db2.gz VDAZQBMFOIKHOH-LLVKDONJSA-N -1 1 309.357 1.556 20 0 DDADMM COc1ccc(NCc2cc(C)no2)cc1[N-]S(C)(=O)=O ZINC000865498092 706447096 /nfs/dbraw/zinc/44/70/96/706447096.db2.gz XBNVMFFESQHKAX-UHFFFAOYSA-N -1 1 311.363 1.975 20 0 DDADMM O=C([C@@H]1Cc2ccc(Cl)cc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000865595467 706472829 /nfs/dbraw/zinc/47/28/29/706472829.db2.gz KMMWFVNIUGKBPP-NEPJUHHUSA-N -1 1 319.752 1.093 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C12CCC2 ZINC000830687390 706562354 /nfs/dbraw/zinc/56/23/54/706562354.db2.gz CHRBHHPURYTDJP-VHSXEESVSA-N -1 1 319.814 1.689 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2c[nH]nc2Cl)s1 ZINC000830719844 706568318 /nfs/dbraw/zinc/56/83/18/706568318.db2.gz IHEYBGRNCXLHLV-UHFFFAOYSA-N -1 1 306.800 1.561 20 0 DDADMM CCO[C@@H](C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C(C)C ZINC000830790117 706580141 /nfs/dbraw/zinc/58/01/41/706580141.db2.gz NVMFRUGNQLCXJO-ZWNOBZJWSA-N -1 1 324.343 1.717 20 0 DDADMM C[C@@](O)(CC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830816177 706585345 /nfs/dbraw/zinc/58/53/45/706585345.db2.gz LUUMFBGPRCHYKH-QWHCGFSZSA-N -1 1 322.327 1.207 20 0 DDADMM COCCCCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827267 706587902 /nfs/dbraw/zinc/58/79/02/706587902.db2.gz KSNJEYXYXKRTHY-LBPRGKRZSA-N -1 1 310.316 1.473 20 0 DDADMM Cc1c[nH]cc1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830832321 706588933 /nfs/dbraw/zinc/58/89/33/706588933.db2.gz DNLHNGFUYGPVDQ-LBPRGKRZSA-N -1 1 303.284 1.606 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)C12CCCC2 ZINC000866892304 706836780 /nfs/dbraw/zinc/83/67/80/706836780.db2.gz CJTGLFAMHVNXFF-WDEREUQCSA-N -1 1 324.468 1.286 20 0 DDADMM CC[C@H](C[C@H](C)CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866901147 706839879 /nfs/dbraw/zinc/83/98/79/706839879.db2.gz WLIZQAKWUGKYQC-DTWKUNHWSA-N -1 1 324.805 1.950 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2nc[nH]c2Br)CCC1 ZINC000866927347 706847160 /nfs/dbraw/zinc/84/71/60/706847160.db2.gz AJIXILDIUICSBT-UHFFFAOYSA-N -1 1 308.201 1.641 20 0 DDADMM C[C@H](C[C@H]1CCOC1)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866958223 706856210 /nfs/dbraw/zinc/85/62/10/706856210.db2.gz KYRDVURCIJOKCM-RKDXNWHRSA-N -1 1 322.789 1.968 20 0 DDADMM CC1([N-]S(=O)(=O)c2nc[nH]c2Br)CCOCC1 ZINC000867003666 706869585 /nfs/dbraw/zinc/86/95/85/706869585.db2.gz NVRHFCZRTPCAEF-UHFFFAOYSA-N -1 1 324.200 1.020 20 0 DDADMM CC(C)(CC(F)(F)F)[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867154901 706911337 /nfs/dbraw/zinc/91/13/37/706911337.db2.gz QUYLUKXMMYYGQF-UHFFFAOYSA-N -1 1 322.374 1.814 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CSC[C@@H]1C ZINC000867262073 706940861 /nfs/dbraw/zinc/94/08/61/706940861.db2.gz PSZUJILAJFHLRI-DTWKUNHWSA-N -1 1 300.471 1.080 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C(=O)c1cc(C(F)F)[nH]n1 ZINC000909009555 712915219 /nfs/dbraw/zinc/91/52/19/712915219.db2.gz PHDIBQAMATZWFY-ZCFIWIBFSA-N -1 1 305.306 1.380 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@H]2CCC[C@@H]3C[C@@H]32)c1C(F)(F)F ZINC000867387534 706984160 /nfs/dbraw/zinc/98/41/60/706984160.db2.gz OPYOUYGHEPYGGY-VGMNWLOBSA-N -1 1 323.340 1.906 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]1c1ccco1)c1ccc(F)nc1F ZINC000867410237 706991912 /nfs/dbraw/zinc/99/19/12/706991912.db2.gz YJKJHNQUNANZGO-HTQZYQBOSA-N -1 1 300.286 1.787 20 0 DDADMM CC(C)n1cc(C[N-]S(=O)(=O)c2ccc(F)nc2F)nn1 ZINC000867479605 707014471 /nfs/dbraw/zinc/01/44/71/707014471.db2.gz JLRPTXKBTTVLAH-UHFFFAOYSA-N -1 1 317.321 1.011 20 0 DDADMM CC(C)n1cc(OS(=O)(=O)c2c[n-]nc2C(F)(F)F)cn1 ZINC000867482842 707014730 /nfs/dbraw/zinc/01/47/30/707014730.db2.gz HIWUWPNNMHNYMT-UHFFFAOYSA-N -1 1 324.284 1.974 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCCOC1)c1ccc(Cl)nc1F ZINC000867552574 707037616 /nfs/dbraw/zinc/03/76/16/707037616.db2.gz LPPXBSWGWIXUPJ-SECBINFHSA-N -1 1 322.789 1.969 20 0 DDADMM CC1(C)OC[C@@H]2C[C@@]21[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867556949 707038584 /nfs/dbraw/zinc/03/85/84/707038584.db2.gz JLOLRDWXCVNESG-JVXZTZIISA-N -1 1 320.773 1.720 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H](C)c1cnccn1 ZINC000867718175 707084516 /nfs/dbraw/zinc/08/45/16/707084516.db2.gz JLURIMIZKXQHRQ-ZETCQYMHSA-N -1 1 315.786 1.211 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCc2cccnc21 ZINC000867719056 707084790 /nfs/dbraw/zinc/08/47/90/707084790.db2.gz OCYLNKMVMYLTTM-JEOXALJRSA-N -1 1 317.436 1.064 20 0 DDADMM O=C([O-])Cn1cc(CN2CC[C@]3(CCc4ccccc43)C2)nn1 ZINC000871621050 707141678 /nfs/dbraw/zinc/14/16/78/707141678.db2.gz RTUKRWHNKJCELB-QGZVFWFLSA-N -1 1 312.373 1.453 20 0 DDADMM Cc1nc(SCc2cn(C[C@@H]3CCOC3)nn2)[n-]c(=O)c1C ZINC000871665189 707160758 /nfs/dbraw/zinc/16/07/58/707160758.db2.gz AHCMFQTWEGIRJL-NSHDSACASA-N -1 1 321.406 1.719 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCC(=O)NCC2CC2)cc1 ZINC000871798311 707208683 /nfs/dbraw/zinc/20/86/83/707208683.db2.gz SXZKJKCJMOWEJU-UHFFFAOYSA-N -1 1 306.362 1.202 20 0 DDADMM CCCC[C@H](NCc1nc2c(c(=O)[n-]1)COCC2)C(=O)OC ZINC000871930826 707247896 /nfs/dbraw/zinc/24/78/96/707247896.db2.gz BHLNWYDNPYQLFP-LBPRGKRZSA-N -1 1 309.366 1.076 20 0 DDADMM CC(C)N1CCC[C@H]1C(=O)Nc1cccc(OCC(=O)[O-])c1 ZINC000909124561 712941024 /nfs/dbraw/zinc/94/10/24/712941024.db2.gz BDSXZQVMDKWVEN-AWEZNQCLSA-N -1 1 306.362 1.961 20 0 DDADMM COCC(C)(C)[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872446083 707415327 /nfs/dbraw/zinc/41/53/27/707415327.db2.gz UJXHRGSGGOOWBN-KRWDZBQOSA-N -1 1 300.446 1.142 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCSC1 ZINC000872482985 707437666 /nfs/dbraw/zinc/43/76/66/707437666.db2.gz YGYQMFDQVXALLF-XLFHBGCDSA-N -1 1 314.498 1.470 20 0 DDADMM COCC(C)(C)C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872489670 707441928 /nfs/dbraw/zinc/44/19/28/707441928.db2.gz OTJORIYRMHQZFV-SFHVURJKSA-N -1 1 314.473 1.390 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]3CCC[C@H]3O2)sn1 ZINC000872555682 707476963 /nfs/dbraw/zinc/47/69/63/707476963.db2.gz NICFPQOFDASUIE-OUAUKWLOSA-N -1 1 302.421 1.687 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)CCc1cnc[nH]1)Cc1ccccc1 ZINC000909182963 712956617 /nfs/dbraw/zinc/95/66/17/712956617.db2.gz PJLXMSMLQWAGNP-CYBMUJFWSA-N -1 1 301.346 1.402 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@H](C)N1CCc2ccccc2C1 ZINC000836896044 707542600 /nfs/dbraw/zinc/54/26/00/707542600.db2.gz HCTSBYCWVHXXDS-XJKSGUPXSA-N -1 1 306.406 1.498 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C2CCCCC2)C1 ZINC000882466888 707644078 /nfs/dbraw/zinc/64/40/78/707644078.db2.gz DFNATFMPYGSQOQ-ADWYPQAOSA-N -1 1 324.468 1.429 20 0 DDADMM Cn1cc(C(F)F)c(C[N-]S(=O)(=O)c2cccnc2F)n1 ZINC000882500592 707669162 /nfs/dbraw/zinc/66/91/62/707669162.db2.gz SEIBMIBCIVEFPF-UHFFFAOYSA-N -1 1 320.296 1.370 20 0 DDADMM CC1(CCNC(=O)NCc2ccc([O-])c(Cl)c2)OCCO1 ZINC000872967528 707675627 /nfs/dbraw/zinc/67/56/27/707675627.db2.gz ANSNGPZBFJAXSO-UHFFFAOYSA-N -1 1 314.769 1.998 20 0 DDADMM C[C@@H](NC(=O)NCc1ccc([O-])c(Cl)c1)c1ncnn1C ZINC000872983964 707682268 /nfs/dbraw/zinc/68/22/68/707682268.db2.gz OQUZKHIEJNCKDR-MRVPVSSYSA-N -1 1 309.757 1.735 20 0 DDADMM CN1CCOC[C@H]1CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873082293 707723184 /nfs/dbraw/zinc/72/31/84/707723184.db2.gz ATWHAEMZMKOYDT-LLVKDONJSA-N -1 1 313.785 1.175 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2C[C@@H]3CCCC[C@H]23)c1 ZINC000882706971 707755312 /nfs/dbraw/zinc/75/53/12/707755312.db2.gz UOVMTQRNOLLDIE-ZMLRMANQSA-N -1 1 313.375 1.923 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H]2CCCN(C)C2=O)c1 ZINC000837956448 707797042 /nfs/dbraw/zinc/79/70/42/707797042.db2.gz NKVQJZQTMZKZRQ-AWEZNQCLSA-N -1 1 319.357 1.549 20 0 DDADMM CC[C@H](OC(=O)c1ccccc1[N-]S(=O)(=O)CC)C(C)=O ZINC000873351865 707821255 /nfs/dbraw/zinc/82/12/55/707821255.db2.gz COXYQUBAMJECLF-ZDUSSCGKSA-N -1 1 313.375 1.973 20 0 DDADMM O=C(COC(=O)CC1CC(F)(F)C1)[N-]C(=O)c1ccccc1 ZINC000838266649 707882579 /nfs/dbraw/zinc/88/25/79/707882579.db2.gz JCBFSHLMNQHMDS-UHFFFAOYSA-N -1 1 311.284 1.922 20 0 DDADMM CN1CCCC[C@H]1C(=O)NC[C@@H](Oc1ccc(F)cc1)C(=O)[O-] ZINC000909385848 713005561 /nfs/dbraw/zinc/00/55/61/713005561.db2.gz BHMDPLISILEMAD-UONOGXRCSA-N -1 1 324.352 1.258 20 0 DDADMM C[C@@H](Cc1ccccc1F)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909402005 713008842 /nfs/dbraw/zinc/00/88/42/713008842.db2.gz JATFYUCBVUIXDF-GXTWGEPZSA-N -1 1 322.380 1.670 20 0 DDADMM O=C(NC[C@@]1(O)CCOC1)c1ccc2ccc(O)cc2c1[O-] ZINC000897211131 708254371 /nfs/dbraw/zinc/25/43/71/708254371.db2.gz MLGJCNZSEHGDIS-INIZCTEOSA-N -1 1 303.314 1.132 20 0 DDADMM Cc1cnc(F)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000897640323 708403219 /nfs/dbraw/zinc/40/32/19/708403219.db2.gz LYSQUCSOXJLSCU-VIFPVBQESA-N -1 1 306.297 1.638 20 0 DDADMM O=S(=O)([N-][C@@H]1CCN(CC(F)(F)F)C1)c1ccns1 ZINC000884992287 708436915 /nfs/dbraw/zinc/43/69/15/708436915.db2.gz HEWHPJGMZAYLOR-SSDOTTSWSA-N -1 1 315.342 1.058 20 0 DDADMM C[C@@H](c1ccccc1)[C@@H](CO)[N-]S(=O)(=O)c1ccns1 ZINC000885028108 708447428 /nfs/dbraw/zinc/44/74/28/708447428.db2.gz UMIGSABIHRGMJB-CMPLNLGQSA-N -1 1 312.416 1.586 20 0 DDADMM Cc1noc(C)c1[C@H](C)C[N-]S(=O)(=O)c1ccns1 ZINC000885069827 708461601 /nfs/dbraw/zinc/46/16/01/708461601.db2.gz YVLBQDOTLJPLJR-SSDOTTSWSA-N -1 1 301.393 1.830 20 0 DDADMM Cc1nn2cc(S(=O)(=O)[N-]C(CF)CF)cnc2c1Cl ZINC000885416416 708539849 /nfs/dbraw/zinc/53/98/49/708539849.db2.gz DSOAKWTYZIBUOM-UHFFFAOYSA-N -1 1 324.740 1.277 20 0 DDADMM O=C(NCCOC1CCOCC1)c1c(F)ccc([O-])c1F ZINC000885690527 708598177 /nfs/dbraw/zinc/59/81/77/708598177.db2.gz ZXYYSNIYULILOT-UHFFFAOYSA-N -1 1 301.289 1.596 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCO[C@@H]1CCOC1 ZINC000885729705 708610226 /nfs/dbraw/zinc/61/02/26/708610226.db2.gz REBZLIIGZCAAFQ-LLVKDONJSA-N -1 1 309.366 1.149 20 0 DDADMM Cn1nc(C2CC2)cc1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000885822447 708633242 /nfs/dbraw/zinc/63/32/42/708633242.db2.gz IGLTWTZSYLKPLF-UHFFFAOYSA-N -1 1 313.361 1.601 20 0 DDADMM O=C(C[N-]S(=O)(=O)CCC(F)(F)F)c1cncs1 ZINC000921677474 713839651 /nfs/dbraw/zinc/83/96/51/713839651.db2.gz CUDVSFQXFWEBJP-UHFFFAOYSA-N -1 1 302.299 1.198 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H]1CC(=O)N(C2CC2)C1 ZINC000886263176 708731704 /nfs/dbraw/zinc/73/17/04/708731704.db2.gz NOFFAWUFZBVIFF-VIFPVBQESA-N -1 1 324.327 1.340 20 0 DDADMM Cc1cnn(CCC(=O)NCCc2c(F)cc([O-])cc2F)c1 ZINC000886265012 708731870 /nfs/dbraw/zinc/73/18/70/708731870.db2.gz UUNIAHMILHYRSA-UHFFFAOYSA-N -1 1 309.316 1.924 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H]1CSC(=O)N1 ZINC000886264963 708731940 /nfs/dbraw/zinc/73/19/40/708731940.db2.gz SBCAVNKFKJKZLK-SNVBAGLBSA-N -1 1 302.302 1.154 20 0 DDADMM COc1ccc(CC(=O)NCCc2c(F)cc([O-])cc2F)nc1 ZINC000886268364 708732996 /nfs/dbraw/zinc/73/29/96/708732996.db2.gz MTVACKZHGBMFOY-UHFFFAOYSA-N -1 1 322.311 1.975 20 0 DDADMM O=c1[nH]c2c(cc1[N-]S(=O)(=O)c1ccns1)CCCC2 ZINC000886412304 708756411 /nfs/dbraw/zinc/75/64/11/708756411.db2.gz AZOIWEYFCBEDBI-UHFFFAOYSA-N -1 1 311.388 1.923 20 0 DDADMM C[C@@H](O)C[C@@H](C)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927783377 713054988 /nfs/dbraw/zinc/05/49/88/713054988.db2.gz ZOIDLRKKNFIITC-NXEZZACHSA-N -1 1 316.348 1.919 20 0 DDADMM Cc1ccc(C[C@H](C)C(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC000898756559 708856584 /nfs/dbraw/zinc/85/65/84/708856584.db2.gz LASPMOSBQAGADE-NSHDSACASA-N -1 1 301.346 1.691 20 0 DDADMM Cc1cccc(CO[N-]C(=O)[C@@H]2CC(=O)N(CC(C)C)C2)n1 ZINC000898935372 708928403 /nfs/dbraw/zinc/92/84/03/708928403.db2.gz ADUYLQMPBSGFPW-CYBMUJFWSA-N -1 1 305.378 1.442 20 0 DDADMM O=S(=O)([N-]CCO[C@H]1CCOC1)c1cccc(F)c1F ZINC000921884229 713896463 /nfs/dbraw/zinc/89/64/63/713896463.db2.gz JZITXDNYLCYWQB-VIFPVBQESA-N -1 1 307.318 1.049 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC(CN2CCNC2=O)CC1 ZINC000887655564 709092596 /nfs/dbraw/zinc/09/25/96/709092596.db2.gz LOYLQONDBSNZSU-UHFFFAOYSA-N -1 1 321.352 1.409 20 0 DDADMM COC[C@H](NC(=O)c1c(F)ccc([O-])c1F)[C@H]1CCCOC1 ZINC000899655165 709140569 /nfs/dbraw/zinc/14/05/69/709140569.db2.gz LEENLEXMBMGFFH-ONGXEEELSA-N -1 1 315.316 1.842 20 0 DDADMM C[C@]1(NC(=O)c2ccc(Br)cc2[O-])CCNC1=O ZINC000889034140 709436882 /nfs/dbraw/zinc/43/68/82/709436882.db2.gz RKTCRFDNWFWVBM-LBPRGKRZSA-N -1 1 313.151 1.163 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cccs1)c1nn[n-]n1 ZINC000912860284 713109434 /nfs/dbraw/zinc/10/94/34/713109434.db2.gz RCIJDKMSBDOMSN-LWTINBJPSA-N -1 1 309.420 1.885 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc2cc[nH]c2c1)c1nn[n-]n1 ZINC000912860715 713109884 /nfs/dbraw/zinc/10/98/84/713109884.db2.gz VMFGPLGXXWXUEN-NSHDSACASA-N -1 1 316.390 1.905 20 0 DDADMM CSCC[C@H](NC(=O)c1cc[nH]c1C(C)C)c1nn[n-]n1 ZINC000912862024 713110349 /nfs/dbraw/zinc/11/03/49/713110349.db2.gz HKUASNFWRSLOCD-JTQLQIEISA-N -1 1 308.411 1.875 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)N[C@@H](CCSC)c2nn[n-]n2)CCO1 ZINC000912862141 713110614 /nfs/dbraw/zinc/11/06/14/713110614.db2.gz JNKWMGWFZFYTRP-AXFHLTTASA-N -1 1 313.427 1.315 20 0 DDADMM O=C([O-])[C@H](NC(=O)Cc1n[nH]c2c1CCCC2)c1cccs1 ZINC000909636414 709550833 /nfs/dbraw/zinc/55/08/33/709550833.db2.gz ANMNDNUGNKDNFV-CQSZACIVSA-N -1 1 319.386 1.835 20 0 DDADMM CSC[C@@H]1CCCN1C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909725833 709597721 /nfs/dbraw/zinc/59/77/21/709597721.db2.gz LVNLNDGUGYTPSS-NEPJUHHUSA-N -1 1 300.424 1.137 20 0 DDADMM Cc1cc([C@H](NC(=O)CCc2cnc[nH]2)C(=O)[O-])ccc1F ZINC000909798712 709627129 /nfs/dbraw/zinc/62/71/29/709627129.db2.gz VVBPUBGBNXMAIT-AWEZNQCLSA-N -1 1 305.309 1.732 20 0 DDADMM Cc1cc([C@@H](NC(=O)CCc2cnc[nH]2)C(=O)[O-])ccc1F ZINC000909798713 709627186 /nfs/dbraw/zinc/62/71/86/709627186.db2.gz VVBPUBGBNXMAIT-CQSZACIVSA-N -1 1 305.309 1.732 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H]2C[C@@H]2C2CC2)[n-]c1=O ZINC000889785192 709634713 /nfs/dbraw/zinc/63/47/13/709634713.db2.gz CHWWVURSFXLROB-GRYCIOLGSA-N -1 1 303.362 1.900 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccc(C)cn2)[n-]c1=O ZINC000889787247 709635556 /nfs/dbraw/zinc/63/55/56/709635556.db2.gz RRSHXHOKJZZXOZ-GFCCVEGCSA-N -1 1 314.345 1.872 20 0 DDADMM CCC[C@H](O)CC(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889789969 709637560 /nfs/dbraw/zinc/63/75/60/709637560.db2.gz LNZNRIYZNQBHIB-WDEREUQCSA-N -1 1 309.366 1.405 20 0 DDADMM CCOC(=O)[C@@H]1C[C@H]1C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000909839751 709646568 /nfs/dbraw/zinc/64/65/68/709646568.db2.gz MQHVWVXAZVKURB-ZIAGYGMSSA-N -1 1 320.341 1.880 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCOC[C@@H](C3CCC3)C2)C1 ZINC000909855259 709653907 /nfs/dbraw/zinc/65/39/07/709653907.db2.gz MIJKTQCIFNYKRN-GJZGRUSLSA-N -1 1 324.421 1.058 20 0 DDADMM Cc1cnc(CCNC(=O)CN2CCC[C@H](C(=O)[O-])C2)c(C)c1 ZINC000909917074 709687536 /nfs/dbraw/zinc/68/75/36/709687536.db2.gz WVSTWNRRBLKDGI-AWEZNQCLSA-N -1 1 319.405 1.154 20 0 DDADMM O=C(NCCCOC[C@H]1CCCO1)c1cnc(C2CC2)[n-]c1=O ZINC000900683960 709710213 /nfs/dbraw/zinc/71/02/13/709710213.db2.gz VBCMGCNWHMMSND-GFCCVEGCSA-N -1 1 321.377 1.375 20 0 DDADMM CC1(C(=O)[O-])CN(C(=O)c2cn[nH]c2-c2ccccc2F)C1 ZINC000910057877 709759162 /nfs/dbraw/zinc/75/91/62/709759162.db2.gz BLLJOHGLPMUTGA-UHFFFAOYSA-N -1 1 303.293 1.763 20 0 DDADMM CCC[C@@]1(C(=O)[O-])CCCN1C(=O)CCCCc1c[nH]nn1 ZINC000910115940 709776777 /nfs/dbraw/zinc/77/67/77/709776777.db2.gz QSEDNPPBJCFJPV-HNNXBMFYSA-N -1 1 308.382 1.763 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@@H]3CCCC[C@@H]3C(=O)[O-])c2C1 ZINC000910141770 709788971 /nfs/dbraw/zinc/78/89/71/709788971.db2.gz YSWIXZNALQVKOW-JBLDHEPKSA-N -1 1 305.378 1.908 20 0 DDADMM O=C([O-])[C@@H]1CSCCN(C(=O)c2ccc3cncn3c2)C1 ZINC000910148838 709791810 /nfs/dbraw/zinc/79/18/10/709791810.db2.gz FVANONUIRWPWHI-NSHDSACASA-N -1 1 305.359 1.224 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc(O)c(Cl)c2)[C@](C)(C(=O)[O-])C1 ZINC000910260847 709845091 /nfs/dbraw/zinc/84/50/91/709845091.db2.gz AODKUOLCBQSQTM-XPTSAGLGSA-N -1 1 313.737 1.750 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2C[C@H](C)Cc3c[nH]nc32)C[C@@]1(C)C(=O)[O-] ZINC000910261150 709845276 /nfs/dbraw/zinc/84/52/76/709845276.db2.gz UZFNOLYIBCTQFP-CDGODJOISA-N -1 1 305.378 1.645 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CCO[C@](C)(C(=O)[O-])C1)c1ccccc1 ZINC000910322669 709890378 /nfs/dbraw/zinc/89/03/78/709890378.db2.gz JWHXXVDVZWUVGP-PBHICJAKSA-N -1 1 320.389 1.382 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@@H](CC1CC1)C(=O)[O-] ZINC000910382003 709916479 /nfs/dbraw/zinc/91/64/79/709916479.db2.gz JOEXANWFWVGRIW-ZETCQYMHSA-N -1 1 305.256 1.720 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCc2ccc(O)cc2C1 ZINC000901121844 709934285 /nfs/dbraw/zinc/93/42/85/709934285.db2.gz KJTNDWMMUNUMNS-UHFFFAOYSA-N -1 1 311.341 1.964 20 0 DDADMM CCn1c(CN2CC[C@@](OC)(C(=O)[O-])C2)nc2ccccc21 ZINC000901540371 710069512 /nfs/dbraw/zinc/06/95/12/710069512.db2.gz CLDATTJPPLPICE-INIZCTEOSA-N -1 1 303.362 1.732 20 0 DDADMM COc1cccc([C@@H](C(=O)[O-])N(C)C(=O)c2cc(C)[nH]n2)c1 ZINC000910771607 710070143 /nfs/dbraw/zinc/07/01/43/710070143.db2.gz LDRDALNCCDKHFB-ZDUSSCGKSA-N -1 1 303.318 1.625 20 0 DDADMM O=C([O-])[C@H]1[C@@H]2CN(C(=O)c3cc(C4CCCCC4)[nH]n3)C[C@@H]21 ZINC000910799049 710080318 /nfs/dbraw/zinc/08/03/18/710080318.db2.gz FUOLSDBNJRUXJW-YABSGUDNSA-N -1 1 303.362 1.860 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)c1cccc2[nH]cnc21 ZINC000901666156 710114375 /nfs/dbraw/zinc/11/43/75/710114375.db2.gz OBGDSTQOCUIWLW-HNNXBMFYSA-N -1 1 303.318 1.269 20 0 DDADMM Cc1nn(C)c2cc([N-]S(=O)(=O)N=S(C)(C)=O)ccc12 ZINC000901668338 710114888 /nfs/dbraw/zinc/11/48/88/710114888.db2.gz BYTVRHGHVIWUFK-UHFFFAOYSA-N -1 1 316.408 1.266 20 0 DDADMM C[C@H](NCc1cc(F)cc(Cl)c1)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901740444 710135874 /nfs/dbraw/zinc/13/58/74/710135874.db2.gz AXIOLPUADOVEKE-YUMQZZPRSA-N -1 1 302.733 1.547 20 0 DDADMM C[C@H](NCc1cc2cc(F)ccc2o1)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901744728 710138877 /nfs/dbraw/zinc/13/88/77/710138877.db2.gz CGEZDEUYTWGMGW-IUCAKERBSA-N -1 1 308.309 1.639 20 0 DDADMM CC1(Cn2cc(C(=O)Nc3cc(F)cc(F)c3[O-])nn2)COC1 ZINC000910983853 710140777 /nfs/dbraw/zinc/14/07/77/710140777.db2.gz SNKKNUHBERFNPS-UHFFFAOYSA-N -1 1 324.287 1.551 20 0 DDADMM O=C([O-])C[C@@]1(NCc2ccn(-c3ccccc3)n2)CCOC1 ZINC000901835587 710157305 /nfs/dbraw/zinc/15/73/05/710157305.db2.gz MAUCLFLTWGNNPA-INIZCTEOSA-N -1 1 301.346 1.596 20 0 DDADMM O=C([O-])[C@]1(O)CCN(Cc2nc(-c3ccccc3)cs2)C1 ZINC000901903179 710169979 /nfs/dbraw/zinc/16/99/79/710169979.db2.gz RFNWKTGMAYKPGN-HNNXBMFYSA-N -1 1 304.371 1.832 20 0 DDADMM COCc1nc(NCc2ccc3c(c2)OCCO3)cc(=O)[n-]1 ZINC000891490237 710218060 /nfs/dbraw/zinc/21/80/60/710218060.db2.gz BSZAAXLZYXVWEU-UHFFFAOYSA-N -1 1 303.318 1.712 20 0 DDADMM Cc1c(CCC(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cnn1C ZINC000891621421 710250937 /nfs/dbraw/zinc/25/09/37/710250937.db2.gz IXFFSVHIWAHBGJ-UHFFFAOYSA-N -1 1 315.377 1.674 20 0 DDADMM COCc1nc(NCCNC(=O)c2cccs2)cc(=O)[n-]1 ZINC000891884642 710316926 /nfs/dbraw/zinc/31/69/26/710316926.db2.gz ZGJBZPQWHVYTAA-UHFFFAOYSA-N -1 1 308.363 1.232 20 0 DDADMM CSc1nsc([N-]C(=O)c2coc3c2C(=O)NCCC3)n1 ZINC000892039895 710358490 /nfs/dbraw/zinc/35/84/90/710358490.db2.gz UTYZPEFUVQVZEH-UHFFFAOYSA-N -1 1 324.387 1.781 20 0 DDADMM CCCNC(=O)[C@H]1CCCN(c2cc(=O)[n-]c(COC)n2)C1 ZINC000892150364 710381259 /nfs/dbraw/zinc/38/12/59/710381259.db2.gz LGQFJOOZSWJQQL-NSHDSACASA-N -1 1 308.382 1.071 20 0 DDADMM COc1ccc(C[C@H](C)C(=O)N(C)c2nn[n-]n2)cc1OC ZINC000892954287 710531427 /nfs/dbraw/zinc/53/14/27/710531427.db2.gz HROAUZAOJGROHC-VIFPVBQESA-N -1 1 305.338 1.059 20 0 DDADMM COC[C@H]([N-]c1nc2cc(Br)ccc2o1)C(N)=O ZINC000893015298 710541688 /nfs/dbraw/zinc/54/16/88/710541688.db2.gz YEAQZAIUKCNBON-QMMMGPOBSA-N -1 1 314.139 1.503 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CCOc2c(cccc2C(=O)[O-])C1 ZINC000911023806 710599163 /nfs/dbraw/zinc/59/91/63/710599163.db2.gz UHLOLCAAYWJNBK-CQSZACIVSA-N -1 1 318.373 1.590 20 0 DDADMM CN(C)[C@H](CNC(=O)c1cc(C(=O)[O-])ccn1)c1cccs1 ZINC000911100282 710633623 /nfs/dbraw/zinc/63/36/23/710633623.db2.gz JSKACTZSVMLFOG-GFCCVEGCSA-N -1 1 319.386 1.874 20 0 DDADMM CC(C)CN1CCN(C(=O)c2ccc(/C=C/C(=O)[O-])o2)CC1 ZINC000911105922 710635768 /nfs/dbraw/zinc/63/57/68/710635768.db2.gz GPOKSLUTKBHWGJ-GQCTYLIASA-N -1 1 306.362 1.791 20 0 DDADMM C[C@H]1CN(C(=O)CN(C)CCc2ccccc2)CC[C@@H]1C(=O)[O-] ZINC000902176371 710649625 /nfs/dbraw/zinc/64/96/25/710649625.db2.gz IDOSVPPHCFVJIL-HOCLYGCPSA-N -1 1 318.417 1.730 20 0 DDADMM Cc1oc(C(=O)[O-])cc1C(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000911150707 710655216 /nfs/dbraw/zinc/65/52/16/710655216.db2.gz QQPIEYWTRUHQOT-GHMZBOCLSA-N -1 1 324.377 1.515 20 0 DDADMM O=C([O-])CC[C@@H]1CC[C@H](C(=O)N2CCN(C3CCCC3)CC2)O1 ZINC000911167077 710665554 /nfs/dbraw/zinc/66/55/54/710665554.db2.gz JSQZCRKHRPTJKP-LSDHHAIUSA-N -1 1 324.421 1.486 20 0 DDADMM Cc1c(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)cccc1N(C)C ZINC000911469828 710811577 /nfs/dbraw/zinc/81/15/77/710811577.db2.gz SRHIHOYNAVHPRV-ZDUSSCGKSA-N -1 1 319.405 1.796 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)CCCn1ccc(C(=O)[O-])n1 ZINC000911569237 710859311 /nfs/dbraw/zinc/85/93/11/710859311.db2.gz BDFVXDBVLXZHPK-CYBMUJFWSA-N -1 1 322.409 1.304 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@H]([C@@H]2CCCO2)C1 ZINC000902780633 710898903 /nfs/dbraw/zinc/89/89/03/710898903.db2.gz MYYJMAACJOIHGO-FZMZJTMJSA-N -1 1 313.357 1.564 20 0 DDADMM O=C([O-])C1(CC(=O)NCc2ccc3cncn3c2)CCOCC1 ZINC000911646544 710903566 /nfs/dbraw/zinc/90/35/66/710903566.db2.gz YZHSQZJOBPPTLE-UHFFFAOYSA-N -1 1 317.345 1.222 20 0 DDADMM CCC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCOCC1 ZINC000913438989 713215962 /nfs/dbraw/zinc/21/59/62/713215962.db2.gz VKMDTJJKENHCLH-CYBMUJFWSA-N -1 1 315.377 1.612 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cccnc1N1CCCC1 ZINC000903079764 711026380 /nfs/dbraw/zinc/02/63/80/711026380.db2.gz KJTQPLKDHGIHIH-UHFFFAOYSA-N -1 1 318.424 1.066 20 0 DDADMM COC[C@H]1C[C@@H](O)CN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000911893264 711055879 /nfs/dbraw/zinc/05/58/79/711055879.db2.gz VCKYELZDAPWEEO-NXEZZACHSA-N -1 1 320.320 1.301 20 0 DDADMM Cc1cn2c(nc(CN3CC[C@H](C)[C@@H](C(=O)[O-])C3)cc2=O)s1 ZINC000903409248 711118553 /nfs/dbraw/zinc/11/85/53/711118553.db2.gz SHYUYQAJHFULEV-CABZTGNLSA-N -1 1 321.402 1.607 20 0 DDADMM COCc1nc(N[C@H](CO)[C@@H]2CCC[C@@H](OC)C2)cc(=O)[n-]1 ZINC000894500471 711150497 /nfs/dbraw/zinc/15/04/97/711150497.db2.gz UJLQDFPOWLEKCY-IJLUTSLNSA-N -1 1 311.382 1.307 20 0 DDADMM COCc1nc(N[C@@H]2COc3cc(F)ccc3C2)cc(=O)[n-]1 ZINC000894561516 711182344 /nfs/dbraw/zinc/18/23/44/711182344.db2.gz WTAZDKWTYUTDRQ-NSHDSACASA-N -1 1 305.309 1.883 20 0 DDADMM COCc1nc(NC[C@H]2CC(=O)N(C(C)(C)C)C2)cc(=O)[n-]1 ZINC000894602344 711206069 /nfs/dbraw/zinc/20/60/69/711206069.db2.gz SENGWXCFETXRAC-SNVBAGLBSA-N -1 1 308.382 1.388 20 0 DDADMM CSc1ccccc1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913493494 713236738 /nfs/dbraw/zinc/23/67/38/713236738.db2.gz YRSVSAAQRRMGLH-JTQLQIEISA-N -1 1 305.363 1.135 20 0 DDADMM O=C(/C=C/Sc1ccccc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913493255 713236934 /nfs/dbraw/zinc/23/69/34/713236934.db2.gz KULHICBOKFHSAL-LZGFCCKTSA-N -1 1 317.374 1.406 20 0 DDADMM Cc1cc(F)c(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1F ZINC000913494818 713237281 /nfs/dbraw/zinc/23/72/81/713237281.db2.gz DBXRPLNCTIIQQY-NSHDSACASA-N -1 1 309.276 1.000 20 0 DDADMM O=C(N[C@@H]([C@@H]1CCCO1)C1(CO)CCC1)c1c([O-])cccc1F ZINC000912218181 711227876 /nfs/dbraw/zinc/22/78/76/711227876.db2.gz QEJVSODMDWGCMH-ZFWWWQNUSA-N -1 1 323.364 1.971 20 0 DDADMM C[C@@H]1CN(CCS(=O)(=O)c2ccccc2)C[C@H]1CC(=O)[O-] ZINC000903629478 711229590 /nfs/dbraw/zinc/22/95/90/711229590.db2.gz HRSDBEJLXOIIBU-CHWSQXEVSA-N -1 1 311.403 1.503 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc2ncn(C)c2c1)C(C)C ZINC000903656425 711237128 /nfs/dbraw/zinc/23/71/28/711237128.db2.gz OGUBHMKEPDCTBY-CQSZACIVSA-N -1 1 311.407 1.986 20 0 DDADMM O=C(c1ccc2sccc2c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495991 713238432 /nfs/dbraw/zinc/23/84/32/713238432.db2.gz QOYFYSDJLLGJSC-NSHDSACASA-N -1 1 315.358 1.628 20 0 DDADMM CSc1nc(CNC(=O)[C@@H](O)Cc2ccccc2)cc(=O)[n-]1 ZINC000912249356 711245548 /nfs/dbraw/zinc/24/55/48/711245548.db2.gz PKOMMPJDSZSHQD-LBPRGKRZSA-N -1 1 319.386 1.124 20 0 DDADMM O=C(NC[C@H]1CCc2cccnc21)c1cnc(C2CC2)[n-]c1=O ZINC000912252656 711247404 /nfs/dbraw/zinc/24/74/04/711247404.db2.gz ZTARASSZLOFCPV-GFCCVEGCSA-N -1 1 310.357 1.914 20 0 DDADMM Cc1ccnc2ccc(C(=O)N3CCOC[C@@H]3c3nn[n-]n3)cc12 ZINC000913497512 713239008 /nfs/dbraw/zinc/23/90/08/713239008.db2.gz KZLNOOPZMUHMOD-CQSZACIVSA-N -1 1 324.344 1.270 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N(C(C)C)C(C)C ZINC000903712289 711250998 /nfs/dbraw/zinc/25/09/98/711250998.db2.gz VXKBKRRGCKVLOJ-CYBMUJFWSA-N -1 1 302.415 1.443 20 0 DDADMM COCc1nc(NC[C@H]2c3ccccc3C(=O)N2C)cc(=O)[n-]1 ZINC000894766705 711264898 /nfs/dbraw/zinc/26/48/98/711264898.db2.gz WENRWQSVNHVJPL-LBPRGKRZSA-N -1 1 314.345 1.567 20 0 DDADMM CCNC(=O)C(C)(C)NCc1ncc(Br)cc1[O-] ZINC000894813944 711282155 /nfs/dbraw/zinc/28/21/55/711282155.db2.gz WSAOCBHTDVKRGP-UHFFFAOYSA-N -1 1 316.199 1.554 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(CCO[C@@H]1CCOC1)CC2 ZINC000903912653 711328207 /nfs/dbraw/zinc/32/82/07/711328207.db2.gz HEXGOPBEXCCXGP-GFCCVEGCSA-N -1 1 321.373 1.557 20 0 DDADMM CC(C)[C@H](N[C@@H]1C[C@@H](NC(=O)[O-])C12CCC2)c1nncn1C ZINC000904240813 711416245 /nfs/dbraw/zinc/41/62/45/711416245.db2.gz XOGRGNXZUJXPRM-UTUOFQBUSA-N -1 1 307.398 1.681 20 0 DDADMM C[C@@]1([C@H]2CCCN(c3cc(Cl)[n-]c(=O)n3)C2)COC(=O)N1 ZINC000895529715 711534596 /nfs/dbraw/zinc/53/45/96/711534596.db2.gz AYMPVOWARHGQIS-SDBXPKJASA-N -1 1 312.757 1.551 20 0 DDADMM O=C(NC[C@H]1COCCO1)c1ccc2ccc(O)cc2c1[O-] ZINC000895804841 711611190 /nfs/dbraw/zinc/61/11/90/711611190.db2.gz PQGFICGFMNUIHL-LBPRGKRZSA-N -1 1 303.314 1.396 20 0 DDADMM O=C(C(=O)N1CC(c2ncc(C3CC3)cn2)C1)c1ccc([O-])cc1 ZINC000913619046 713271037 /nfs/dbraw/zinc/27/10/37/713271037.db2.gz YYBGFMDDJRKLNC-UHFFFAOYSA-N -1 1 323.352 1.868 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(-c3cn(C)nn3)no2)c1 ZINC000904812013 711894893 /nfs/dbraw/zinc/89/48/93/711894893.db2.gz WYWIRAPXZUODTF-UHFFFAOYSA-N -1 1 300.278 1.196 20 0 DDADMM Cc1cc(C)n(CCCC(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC000904941654 711905117 /nfs/dbraw/zinc/90/51/17/711905117.db2.gz QTXPBKDGFPJPLC-UHFFFAOYSA-N -1 1 312.377 1.574 20 0 DDADMM COCCOCc1cc(=O)n(-c2cccc(C(=O)[O-])c2C)[nH]1 ZINC000905020755 711911343 /nfs/dbraw/zinc/91/13/43/711911343.db2.gz WGBSTSWWBFDNPN-UHFFFAOYSA-N -1 1 306.318 1.449 20 0 DDADMM CC(C)(C)c1ccccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000913741946 713291485 /nfs/dbraw/zinc/29/14/85/713291485.db2.gz XIEJUKRCDOFFRB-UHFFFAOYSA-N -1 1 315.373 1.863 20 0 DDADMM Cc1ccn2cc(C(=O)N3CCC(c4nn[n-]n4)CC3)nc2c1 ZINC000913742716 713292125 /nfs/dbraw/zinc/29/21/25/713292125.db2.gz QRKAGKMZDDZORO-UHFFFAOYSA-N -1 1 311.349 1.176 20 0 DDADMM COc1cccc([C@@H](C)C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913743240 713292158 /nfs/dbraw/zinc/29/21/58/713292158.db2.gz MCZXKMSNTYJBHM-LLVKDONJSA-N -1 1 315.377 1.718 20 0 DDADMM O=C(c1cc(F)c(F)cc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913743935 713292352 /nfs/dbraw/zinc/29/23/52/713292352.db2.gz SDIDQBRXMUYMLF-UHFFFAOYSA-N -1 1 311.267 1.637 20 0 DDADMM O=C(Cc1cccc(F)c1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913743616 713292372 /nfs/dbraw/zinc/29/23/72/713292372.db2.gz DCIAFIYFWYXZIY-UHFFFAOYSA-N -1 1 307.304 1.427 20 0 DDADMM O=C([C@H]1C[C@]1(F)c1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746343 713294177 /nfs/dbraw/zinc/29/41/77/713294177.db2.gz PGWKYFQDBAIEAY-CJNGLKHVSA-N -1 1 315.352 1.791 20 0 DDADMM C[S@@](=O)CCN[C@H](C(=O)[O-])c1ccccc1Br ZINC000905400946 712028530 /nfs/dbraw/zinc/02/85/30/712028530.db2.gz PLUBUDLYXKAOFI-DYZYQPBXSA-N -1 1 320.208 1.543 20 0 DDADMM O=C([O-])Cn1cc(CNCC2(c3cccc(F)c3)CCC2)nn1 ZINC000905730937 712133294 /nfs/dbraw/zinc/13/32/94/712133294.db2.gz RXHGQDALYWZOJA-UHFFFAOYSA-N -1 1 318.352 1.713 20 0 DDADMM CSc1cc([N-]S(=O)(=O)N=S2(=O)CCCC2)ccn1 ZINC000906061531 712234061 /nfs/dbraw/zinc/23/40/61/712234061.db2.gz SLZYIPZRHLUFMR-UHFFFAOYSA-N -1 1 321.449 1.722 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@@H]1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000906376389 712310194 /nfs/dbraw/zinc/31/01/94/712310194.db2.gz MOPSDMVBHNOZDK-NKWVEPMBSA-N -1 1 320.271 1.741 20 0 DDADMM O=C(c1nsc2ccccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000906615116 712370620 /nfs/dbraw/zinc/37/06/20/712370620.db2.gz FJPWLUGWZXYZKJ-SECBINFHSA-N -1 1 316.346 1.023 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOCC2(CCC2)C1 ZINC000907371919 712554333 /nfs/dbraw/zinc/55/43/33/712554333.db2.gz BDLWNBWOYXGLGH-UHFFFAOYSA-N -1 1 303.362 1.702 20 0 DDADMM O=Cc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cs1 ZINC000907473294 712581237 /nfs/dbraw/zinc/58/12/37/712581237.db2.gz FOSDVOZIPCEOKL-VIFPVBQESA-N -1 1 309.376 1.004 20 0 DDADMM CCc1ccc(F)cc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907473691 712581584 /nfs/dbraw/zinc/58/15/84/712581584.db2.gz DOGOFFZUIWWCHF-GFCCVEGCSA-N -1 1 321.381 1.832 20 0 DDADMM CC(=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccco1 ZINC000907479393 712583302 /nfs/dbraw/zinc/58/33/02/712583302.db2.gz CWSWFRXAJIZNEU-TTZKWOQHSA-N -1 1 305.363 1.513 20 0 DDADMM O=C(C[C@H]1CCC(F)(F)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480950 712583872 /nfs/dbraw/zinc/58/38/72/712583872.db2.gz YHWQJMJPTFUTPP-BDAKNGLRSA-N -1 1 317.365 1.642 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC000907704711 712616648 /nfs/dbraw/zinc/61/66/48/712616648.db2.gz WZORTWDSQUGLDS-NSHDSACASA-N -1 1 308.762 1.411 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CC23CC(O)C3)c(F)c1 ZINC000907787679 712626609 /nfs/dbraw/zinc/62/66/09/712626609.db2.gz QONCFSZHIIABOX-MXYBJEDBSA-N -1 1 319.329 1.165 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3ccc(F)c(F)c3)CC2)n1 ZINC000907864669 712637969 /nfs/dbraw/zinc/63/79/69/712637969.db2.gz MHZKRJVQSUOMEE-UHFFFAOYSA-N -1 1 315.301 1.624 20 0 DDADMM CC[C@H](CC(=O)N1CCC(O)(c2nn[n-]n2)CC1)C(C)(C)C ZINC000907942888 712652647 /nfs/dbraw/zinc/65/26/47/712652647.db2.gz CQASDQKNIXGYRO-LLVKDONJSA-N -1 1 309.414 1.472 20 0 DDADMM CN(CC(=O)N1CCc2c1cccc2C(=O)[O-])[C@@H]1CCSC1 ZINC000907982521 712659812 /nfs/dbraw/zinc/65/98/12/712659812.db2.gz JXYXSQXOSDSGTH-LLVKDONJSA-N -1 1 320.414 1.711 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@H]1CCC(F)(F)C1 ZINC000908067495 712676478 /nfs/dbraw/zinc/67/64/78/712676478.db2.gz SABPASGHOUAZGK-MRVPVSSYSA-N -1 1 309.338 1.669 20 0 DDADMM O=C([O-])c1ccc2c(c1)N(C(=O)[C@H]1CCCN1C1CC1)CC2 ZINC000908070092 712677257 /nfs/dbraw/zinc/67/72/57/712677257.db2.gz YLNNWGMYTMGIBA-CQSZACIVSA-N -1 1 300.358 1.901 20 0 DDADMM COC(OC)[C@@H](C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000908198875 712708133 /nfs/dbraw/zinc/70/81/33/712708133.db2.gz CWZJUKNRAVEYBB-MRVPVSSYSA-N -1 1 308.309 1.817 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NC[C@H](CC1CCCC1)C(=O)[O-] ZINC000908294686 712730671 /nfs/dbraw/zinc/73/06/71/712730671.db2.gz YQRYYLASEIUFNS-AAEUAGOBSA-N -1 1 307.394 1.986 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2cccnc2C)c1 ZINC000908355406 712750253 /nfs/dbraw/zinc/75/02/53/712750253.db2.gz ATLYVKRJLYYQSB-UHFFFAOYSA-N -1 1 322.386 1.625 20 0 DDADMM O=C([O-])C[C@@H]1CC[C@H](NC(=O)Cc2[nH]nc3ccccc32)C1 ZINC000908367339 712753875 /nfs/dbraw/zinc/75/38/75/712753875.db2.gz QXUZGLSOAWELEF-MNOVXSKESA-N -1 1 301.346 1.865 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(c2ccc(C)cc2)=NO1 ZINC000908370821 712754668 /nfs/dbraw/zinc/75/46/68/712754668.db2.gz LGQZAKLRCWBPMS-GFCCVEGCSA-N -1 1 300.318 1.864 20 0 DDADMM Cc1nc(-c2ccn(C)n2)sc1CC(=O)[N-]OC1CCC1 ZINC000908569114 712809055 /nfs/dbraw/zinc/80/90/55/712809055.db2.gz MFMNDIFTEQXIOM-UHFFFAOYSA-N -1 1 306.391 1.995 20 0 DDADMM CCCCOCCCNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908698046 712837492 /nfs/dbraw/zinc/83/74/92/712837492.db2.gz BQTXIIPIMKDYNH-CYBMUJFWSA-N -1 1 300.399 1.106 20 0 DDADMM O=C(OCCNC(=O)C1CC1)c1nn(-c2ccccc2)cc1[O-] ZINC000908734755 712843899 /nfs/dbraw/zinc/84/38/99/712843899.db2.gz LQMUHGSEKHUROQ-UHFFFAOYSA-N -1 1 315.329 1.261 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)N2CC[C@H]2c2ccccc2)C1 ZINC000908735337 712843909 /nfs/dbraw/zinc/84/39/09/712843909.db2.gz MUKZTTUCJJZBPE-CABCVRRESA-N -1 1 302.374 1.757 20 0 DDADMM CCOCc1cccc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000908771755 712851863 /nfs/dbraw/zinc/85/18/63/712851863.db2.gz KMSOJYPKKOVCNY-CQSZACIVSA-N -1 1 320.389 1.958 20 0 DDADMM O=C([O-])[C@H](NC(=O)NCCN1CCc2ccccc2C1)C1CC1 ZINC000908809763 712858846 /nfs/dbraw/zinc/85/88/46/712858846.db2.gz YJNCZCFYPWMNOA-OAHLLOKOSA-N -1 1 317.389 1.207 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H](CF)C(=O)[O-])c1ccc(F)cc1 ZINC000908816950 712860929 /nfs/dbraw/zinc/86/09/29/712860929.db2.gz DRYUCFKPECFGOI-VXGBXAGGSA-N -1 1 315.320 1.150 20 0 DDADMM CS[C@@H]1CC[C@@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)C1 ZINC000908833498 712864201 /nfs/dbraw/zinc/86/42/01/712864201.db2.gz ONRPHCOUZNGEHJ-QJPTWQEYSA-N -1 1 300.424 1.183 20 0 DDADMM CN1CCC[C@@H](NC(=O)N(CC(=O)[O-])Cc2ccc(F)cc2)C1 ZINC000908869854 712874685 /nfs/dbraw/zinc/87/46/85/712874685.db2.gz HWLXDHFVNZSJNG-CQSZACIVSA-N -1 1 323.368 1.516 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000908921414 712887596 /nfs/dbraw/zinc/88/75/96/712887596.db2.gz LPTDUJSVBCUZGX-YUMQZZPRSA-N -1 1 317.388 1.502 20 0 DDADMM CC(=O)N1C[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)[C@@H](C)C1 ZINC000966035107 717894851 /nfs/dbraw/zinc/89/48/51/717894851.db2.gz MYNYXUQDYLKIMW-CABZTGNLSA-N -1 1 320.393 1.211 20 0 DDADMM COC(=O)C1(S(=O)(=O)[N-]Cc2nc3ccccc3o2)CCC1 ZINC000915777178 713431188 /nfs/dbraw/zinc/43/11/88/713431188.db2.gz XQVCGEVGFOIUCQ-UHFFFAOYSA-N -1 1 324.358 1.343 20 0 DDADMM COC[C@H](C)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000919517645 713611914 /nfs/dbraw/zinc/61/19/14/713611914.db2.gz SPUCWYCMOPNMAN-SECBINFHSA-N -1 1 302.321 1.795 20 0 DDADMM C[C@@H](CN1CCS(=O)(=O)C[C@@H]1C)C(=O)c1ccc([O-])cc1 ZINC000929691636 713670341 /nfs/dbraw/zinc/67/03/41/713670341.db2.gz DOBXNPUQUGZFBO-RYUDHWBXSA-N -1 1 311.403 1.330 20 0 DDADMM CCOCCOCC[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000921891933 713899118 /nfs/dbraw/zinc/89/91/18/713899118.db2.gz YIOUPNMJRPZQAH-UHFFFAOYSA-N -1 1 323.361 1.605 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@]23C[C@H]2CCC3)c1C(F)(F)F ZINC000922091564 713957889 /nfs/dbraw/zinc/95/78/89/713957889.db2.gz KQWACKHBPFBNMV-GMSGAONNSA-N -1 1 309.313 1.660 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3C[C@H]3C(F)(F)F)ccnc1-2 ZINC000931131902 714010227 /nfs/dbraw/zinc/01/02/27/714010227.db2.gz OSRHMUCDOOELST-IONNQARKSA-N -1 1 313.283 1.662 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC3([C@@H]4CCCCO4)CC3)ccnc1-2 ZINC000931131869 714010551 /nfs/dbraw/zinc/01/05/51/714010551.db2.gz NVEXRYPRXCMJSU-ZDUSSCGKSA-N -1 1 315.377 1.565 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)CCS1 ZINC000931132286 714010943 /nfs/dbraw/zinc/01/09/43/714010943.db2.gz VCIFLAKPAQTWEK-ZJUUUORDSA-N -1 1 305.407 1.747 20 0 DDADMM CC(C)=CC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000966111386 717957832 /nfs/dbraw/zinc/95/78/32/717957832.db2.gz KWKZPKXYMBZXNZ-NEPJUHHUSA-N -1 1 303.362 1.330 20 0 DDADMM C[C@H]1C[C@H](NC(=O)NCCc2c(F)cc([O-])cc2F)CO1 ZINC000923050598 714223242 /nfs/dbraw/zinc/22/32/42/714223242.db2.gz ZTDOBHRZSMPLMY-IUCAKERBSA-N -1 1 300.305 1.690 20 0 DDADMM CN(C[C@H](O)C1CC1)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932045976 714245290 /nfs/dbraw/zinc/24/52/90/714245290.db2.gz RJWNPFVVAQCGNU-AWEZNQCLSA-N -1 1 316.361 1.813 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@H]1CC12CC(O)C2 ZINC000932061625 714249621 /nfs/dbraw/zinc/24/96/21/714249621.db2.gz GUMOSRKZJZQZMQ-UYJPIKCFSA-N -1 1 314.345 1.613 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N1CCC[C@@H]3C[C@@]31C(=O)[O-])C2 ZINC000923150430 714255887 /nfs/dbraw/zinc/25/58/87/714255887.db2.gz HZCJPHKNUZOBCU-USBNGQNGSA-N -1 1 303.362 1.289 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H](OCC1CCCC1)c1ccccc1 ZINC000932533950 714356867 /nfs/dbraw/zinc/35/68/67/714356867.db2.gz ZLXKMGQYIPWRFA-HNNXBMFYSA-N -1 1 315.377 1.764 20 0 DDADMM CC[C@@]1(C(=O)[O-])CCCN([C@H](C(N)=O)c2ccc(F)cc2)C1 ZINC000923467279 714365861 /nfs/dbraw/zinc/36/58/61/714365861.db2.gz QWSSKTQBTQMGNH-XJKSGUPXSA-N -1 1 308.353 1.929 20 0 DDADMM CC(C)N1CCC[C@@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000923892929 714485839 /nfs/dbraw/zinc/48/58/39/714485839.db2.gz HACHBJCJKBGFJH-CQSZACIVSA-N -1 1 318.373 1.359 20 0 DDADMM NC(=O)C12CCC(CC1)N2C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000923982395 714499843 /nfs/dbraw/zinc/49/98/43/714499843.db2.gz KXRHYFOGUBJHQW-UHFFFAOYSA-N -1 1 323.780 1.738 20 0 DDADMM O=S1(=O)CCC[C@@H]1Cc1nc(-c2ccc([O-])cc2F)no1 ZINC000924004628 714505048 /nfs/dbraw/zinc/50/50/48/714505048.db2.gz IJHLVALSNBBSDV-SECBINFHSA-N -1 1 312.322 1.701 20 0 DDADMM COC(=O)[C@@H]1CCN(CC(=O)[N-]OCc2ccccc2)[C@H](C)C1 ZINC000933171445 714527321 /nfs/dbraw/zinc/52/73/21/714527321.db2.gz VGQSQVLTMNIHBV-UKRRQHHQSA-N -1 1 320.389 1.508 20 0 DDADMM C[C@@]1(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C=CCC1 ZINC000924411745 714577574 /nfs/dbraw/zinc/57/75/74/714577574.db2.gz ILEVGLXJGMJMRD-GFCCVEGCSA-N -1 1 307.268 1.524 20 0 DDADMM CSC[C@H]1NC(=O)N(Cc2ccc([O-])c(Cl)c2)C1=O ZINC000925057900 714738822 /nfs/dbraw/zinc/73/88/22/714738822.db2.gz NUSSROOZIKVHIH-SECBINFHSA-N -1 1 300.767 1.829 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CC2CC2)C1 ZINC000936348905 715185246 /nfs/dbraw/zinc/18/52/46/715185246.db2.gz KTIXQSQPKNQJCZ-LBPRGKRZSA-N -1 1 303.362 1.260 20 0 DDADMM O=C(NC[C@@H]1CCCCN1C(=O)C1CCC1)c1ncccc1[O-] ZINC000937152216 715285099 /nfs/dbraw/zinc/28/50/99/715285099.db2.gz OGBUYJVQQBYLFV-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(N[C@@H]1CCCCN(C(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000943203261 718045685 /nfs/dbraw/zinc/04/56/85/718045685.db2.gz IEZIFILICHJMPK-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CC12CCC2 ZINC000937957229 715662943 /nfs/dbraw/zinc/66/29/43/715662943.db2.gz MRWVQIJYYICNDQ-NWDGAFQWSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C2CC2)CCN1C(=O)c1ncccc1[O-] ZINC000955640355 715889433 /nfs/dbraw/zinc/88/94/33/715889433.db2.gz CDBJVHWWMXQPDG-JQWIXIFHSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C2CC2)CCN1C(=O)c1ncccc1[O-] ZINC000955640359 715889639 /nfs/dbraw/zinc/88/96/39/715889639.db2.gz CDBJVHWWMXQPDG-ZYHUDNBSSA-N -1 1 303.362 1.307 20 0 DDADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)CC2CC2)CC1 ZINC000957664814 715993791 /nfs/dbraw/zinc/99/37/91/715993791.db2.gz PDMLRWVGYUXMEV-UHFFFAOYSA-N -1 1 321.425 1.371 20 0 DDADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)C2(C)CC2)CC1 ZINC000957718380 716012849 /nfs/dbraw/zinc/01/28/49/716012849.db2.gz MKYUFIISMQITRU-UHFFFAOYSA-N -1 1 321.425 1.371 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2(C)CCC2)C1 ZINC000939018253 716090251 /nfs/dbraw/zinc/09/02/51/716090251.db2.gz RPBJTTZRYUVXAR-LBPRGKRZSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2CC2)C1 ZINC000959002332 716814651 /nfs/dbraw/zinc/81/46/51/716814651.db2.gz WIRFONPSKBEZFF-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H](NC(=O)C1CC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000959131328 716878523 /nfs/dbraw/zinc/87/85/23/716878523.db2.gz BGCYSGBPZDQQND-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964846408 717451657 /nfs/dbraw/zinc/45/16/57/717451657.db2.gz AKOHYKZBEBAURW-VXGBXAGGSA-N -1 1 323.368 1.646 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964848047 717453044 /nfs/dbraw/zinc/45/30/44/717453044.db2.gz ISJKQWGBRZBKEL-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CN(C[C@@H]1CCCN(C(=O)C2CC2)C1)C(=O)c1ncccc1[O-] ZINC000965855276 717786945 /nfs/dbraw/zinc/78/69/45/717786945.db2.gz ZLTBWIXGURSCRB-LBPRGKRZSA-N -1 1 317.389 1.508 20 0 DDADMM CC(C)=CC(=O)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943212749 718048857 /nfs/dbraw/zinc/04/88/57/718048857.db2.gz IFTVLPTVHNLQEQ-ZDUSSCGKSA-N -1 1 317.389 1.864 20 0 DDADMM CC(C)C(=O)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943213179 718049367 /nfs/dbraw/zinc/04/93/67/718049367.db2.gz LYEJUTMLMVRBDI-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM CC(C)(C)CC(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943866186 718210946 /nfs/dbraw/zinc/21/09/46/718210946.db2.gz IAKBIIICFBBXQZ-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CSCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000945156042 718410450 /nfs/dbraw/zinc/41/04/50/718410450.db2.gz GUAZGFTUFCOJET-WDEREUQCSA-N -1 1 323.418 1.117 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000945597158 718504893 /nfs/dbraw/zinc/50/48/93/718504893.db2.gz MMRZHDQSLNXTSW-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(C)(C)C ZINC000966349632 718522779 /nfs/dbraw/zinc/52/27/79/718522779.db2.gz OKHVWCMBNNSJMX-QWRGUYRKSA-N -1 1 305.378 1.410 20 0 DDADMM O=C(CC(F)(F)F)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949530998 720143050 /nfs/dbraw/zinc/14/30/50/720143050.db2.gz KOVIVHJJLWBFAX-QMMMGPOBSA-N -1 1 317.267 1.070 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950344275 720587961 /nfs/dbraw/zinc/58/79/61/720587961.db2.gz NECWHKRBGXAENW-NDZKXSSTSA-N -1 1 303.362 1.474 20 0 DDADMM CC1(C)C[C@H]1C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950543218 720676770 /nfs/dbraw/zinc/67/67/70/720676770.db2.gz UFNDXMHGMSDSQW-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(=O)N[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000950847214 720793919 /nfs/dbraw/zinc/79/39/19/720793919.db2.gz POAUGZZSYACJGX-LLVKDONJSA-N -1 1 318.377 1.190 20 0 DDADMM CCC(=O)N1CCC[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000952902737 721521883 /nfs/dbraw/zinc/52/18/83/721521883.db2.gz JIYQVHLQUFSIGZ-KRWDZBQOSA-N -1 1 317.389 1.652 20 0 DDADMM CN(C(=O)C1=CCCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954035322 721699074 /nfs/dbraw/zinc/69/90/74/721699074.db2.gz GKPJJOLFFSWTLX-UHFFFAOYSA-N -1 1 301.346 1.180 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000954128080 721724861 /nfs/dbraw/zinc/72/48/61/721724861.db2.gz QXAUEHPGUPVJSM-RYUDHWBXSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1n[nH]c(C(=O)NCCNCc2cnc(Cl)s2)c1[O-] ZINC001125729353 735445148 /nfs/dbraw/zinc/44/51/48/735445148.db2.gz YJWSJOJCHRSJMA-UHFFFAOYSA-N -1 1 315.786 1.053 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)C1CCCC1 ZINC000692943999 732307799 /nfs/dbraw/zinc/30/77/99/732307799.db2.gz DTWIYNBGWALSLT-JTQLQIEISA-N -1 1 321.830 1.557 20 0 DDADMM CC(C)C1(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001167147887 732765378 /nfs/dbraw/zinc/76/53/78/732765378.db2.gz CQVPRYXHNAYZNV-UHFFFAOYSA-N -1 1 321.425 1.323 20 0 DDADMM Cc1cc2c(c(N3CCC4(C[C@H](F)CO4)CC3)n1)C(=O)[N-]C2=O ZINC001167211194 732829783 /nfs/dbraw/zinc/82/97/83/732829783.db2.gz VHHPNXAELPJIOW-JTQLQIEISA-N -1 1 319.336 1.371 20 0 DDADMM C[C@H](c1ncccn1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038195881 733220559 /nfs/dbraw/zinc/22/05/59/733220559.db2.gz XOWOGMDNLLPKOG-VXGBXAGGSA-N -1 1 313.361 1.143 20 0 DDADMM CCC(CC)C(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001087124010 733300298 /nfs/dbraw/zinc/30/02/98/733300298.db2.gz HTASEMHRVCDNTB-YPMHNXCESA-N -1 1 323.441 1.663 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001087145131 733537010 /nfs/dbraw/zinc/53/70/10/733537010.db2.gz KECSBNUBRSORKO-GUWVTKDKSA-N -1 1 307.398 1.193 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)[C@@H](C)O1 ZINC000692878383 738735575 /nfs/dbraw/zinc/73/55/75/738735575.db2.gz PQFNVVDBULQUBV-PRJMDXOYSA-N -1 1 308.762 1.718 20 0 DDADMM COCCN(C)[C@H](CNC(=O)C(=O)[O-])c1ccc(Cl)cc1 ZINC001143429432 734629109 /nfs/dbraw/zinc/62/91/09/734629109.db2.gz MPCDISFBGGDJOJ-GFCCVEGCSA-N -1 1 314.769 1.160 20 0 DDADMM O=C(NC[C@@H]1CCCC[N@H+]1C/C=C\Cl)c1cnc[nH]c1=O ZINC001024500889 735876463 /nfs/dbraw/zinc/87/64/63/735876463.db2.gz ZMKOZTJYCRNOTA-MZBZXASESA-N -1 1 310.785 1.519 20 0 DDADMM CCC(=O)N1CCCC[C@H]1[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001025186797 736346700 /nfs/dbraw/zinc/34/67/00/736346700.db2.gz YVYBLOLBDARGIT-RYUDHWBXSA-N -1 1 307.398 1.266 20 0 DDADMM O=C(Nc1cccc(C(F)(F)F)c1[O-])[C@@H]1CCCS1(=O)=O ZINC001143480941 736405304 /nfs/dbraw/zinc/40/53/04/736405304.db2.gz NHXXUHXVYHNXHO-VIFPVBQESA-N -1 1 323.292 1.927 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)CCO1 ZINC000692897455 738966718 /nfs/dbraw/zinc/96/67/18/738966718.db2.gz ZNGBNQGHWUKALI-SFYZADRCSA-N -1 1 308.762 1.720 20 0 DDADMM O=S(=O)([N-]CCOC1CCC1)c1ccc(Cl)nc1F ZINC000692906402 739050011 /nfs/dbraw/zinc/05/00/11/739050011.db2.gz YUFDEDIQNMMLFB-UHFFFAOYSA-N -1 1 308.762 1.722 20 0 DDADMM O=C(NCC[C@H]1CCN(C(=O)C2CC2)C1)c1ncccc1[O-] ZINC001058988059 739503821 /nfs/dbraw/zinc/50/38/21/739503821.db2.gz VFLQXTFWWFUVEH-NSHDSACASA-N -1 1 303.362 1.166 20 0 DDADMM Cc1cc(C[N@@H+]2CC[C@H](CNC(=O)c3ncccc3O)C2)on1 ZINC001028500282 739591981 /nfs/dbraw/zinc/59/19/81/739591981.db2.gz NZWQRLSZPAFSFW-GFCCVEGCSA-N -1 1 316.361 1.336 20 0 DDADMM C/C(=C\C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-])C1CC1 ZINC001075774101 740416742 /nfs/dbraw/zinc/41/67/42/740416742.db2.gz RLTJKXZUMAGWET-IIANPFDCSA-N -1 1 317.389 1.768 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CC[C@@H](C1)N2Cc1ccon1 ZINC001029280987 740517994 /nfs/dbraw/zinc/51/79/94/740517994.db2.gz NFIXESIFEHAHJR-BETUJISGSA-N -1 1 314.345 1.264 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059519031 741091964 /nfs/dbraw/zinc/09/19/64/741091964.db2.gz YEONBFUVHPYRMW-JHJVBQTASA-N -1 1 317.389 1.412 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@@]2(C)C=CCC2)C1 ZINC001029806805 741327672 /nfs/dbraw/zinc/32/76/72/741327672.db2.gz RRVPMQGDKBDAIZ-LRDDRELGSA-N -1 1 319.409 1.147 20 0 DDADMM CC1(C(=O)N2CCCCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001088362380 741434830 /nfs/dbraw/zinc/43/48/30/741434830.db2.gz QXSAYFPBWFMWPX-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)Cc1ccc2c(c1)OCC2 ZINC001182648758 743691261 /nfs/dbraw/zinc/69/12/61/743691261.db2.gz ARRIIFLNCJGRGR-UHFFFAOYSA-N -1 1 316.273 1.402 20 0 DDADMM C[C@@](O)(C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O)c1ccccc1 ZINC001182925084 743745901 /nfs/dbraw/zinc/74/59/01/743745901.db2.gz TUHTYXFHIBZDPW-ZDUSSCGKSA-N -1 1 304.262 1.132 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C=C(C)c2ccco2)n[n-]1 ZINC001183192594 743793643 /nfs/dbraw/zinc/79/36/43/743793643.db2.gz BHADREUBEBMDRU-VQHVLOKHSA-N -1 1 304.306 1.294 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C=C(C)c2ccco2)n1 ZINC001183192594 743793646 /nfs/dbraw/zinc/79/36/46/743793646.db2.gz BHADREUBEBMDRU-VQHVLOKHSA-N -1 1 304.306 1.294 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)OCC(F)(F)F)c1cnn(C)c1 ZINC001183194273 743794363 /nfs/dbraw/zinc/79/43/63/743794363.db2.gz FBNDNJCSQDPMDW-LURJTMIESA-N -1 1 301.215 1.769 20 0 DDADMM O=C(NC1CN(Cc2ccc3c(c2)CCC3)C1)c1ncccc1[O-] ZINC001030239430 743975587 /nfs/dbraw/zinc/97/55/87/743975587.db2.gz IDVWKGFTQASXNO-UHFFFAOYSA-N -1 1 323.396 1.890 20 0 DDADMM CN(C)c1nc(NC(=O)CC(=O)C(F)(F)F)c(N=O)c(=O)[n-]1 ZINC001184461396 744049235 /nfs/dbraw/zinc/04/92/35/744049235.db2.gz ZNDUGSHMWCXLDO-UHFFFAOYSA-N -1 1 321.215 1.106 20 0 DDADMM O=S(=O)([N-]c1cncnc1Cl)c1cccnc1Cl ZINC001184835062 744111114 /nfs/dbraw/zinc/11/11/14/744111114.db2.gz QLKMUQWPOBAGJJ-UHFFFAOYSA-N -1 1 305.146 1.979 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc(C2CCC2)ccn1 ZINC001185313572 744202634 /nfs/dbraw/zinc/20/26/34/744202634.db2.gz IVSWYCLMSQHKEV-UHFFFAOYSA-N -1 1 310.317 1.973 20 0 DDADMM O=C(Nc1nccnc1N1CCOCC1)c1ccc([O-])c(F)c1 ZINC001186202685 744356336 /nfs/dbraw/zinc/35/63/36/744356336.db2.gz VKFOTNNRYPAXPJ-UHFFFAOYSA-N -1 1 318.308 1.410 20 0 DDADMM CCO[C@@H]1CN(C(=O)c2ccc([O-])cc2F)[C@@H]2CCCO[C@H]12 ZINC001186327774 744375704 /nfs/dbraw/zinc/37/57/04/744375704.db2.gz QNXNNXGSVWSDMM-KFWWJZLASA-N -1 1 309.337 1.940 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992048269 744560058 /nfs/dbraw/zinc/56/00/58/744560058.db2.gz IAVXOEUUCGJANM-HTAVTVPLSA-N -1 1 317.389 1.458 20 0 DDADMM O=c1[nH]cc([N-]S(=O)(=O)c2c[nH]cn2)cc1C(F)(F)F ZINC001187731325 744598053 /nfs/dbraw/zinc/59/80/53/744598053.db2.gz ZIEHHHBQWGGTEY-UHFFFAOYSA-N -1 1 308.241 1.330 20 0 DDADMM CN(C)[C@@H]1CCOc2c([N-]S(=O)(=O)c3c[nH]cn3)cccc21 ZINC001187769416 744598718 /nfs/dbraw/zinc/59/87/18/744598718.db2.gz QHKWOUUYRADWQC-GFCCVEGCSA-N -1 1 322.390 1.596 20 0 DDADMM O=C(N[C@@H]1CCCc2nccnc21)c1n[n-]nc1C(F)(F)F ZINC001187773954 744600220 /nfs/dbraw/zinc/60/02/20/744600220.db2.gz NPBWGFRDDXCLEV-SSDOTTSWSA-N -1 1 312.255 1.421 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1n[n-]nc1C(F)(F)F ZINC001187774777 744600797 /nfs/dbraw/zinc/60/07/97/744600797.db2.gz XFVZZXVVEIRKHO-RQJHMYQMSA-N -1 1 320.271 1.285 20 0 DDADMM O=C(Nc1cnn2c1OCCC2)c1n[n-]nc1C(F)(F)F ZINC001187758793 744606181 /nfs/dbraw/zinc/60/61/81/744606181.db2.gz HWCMVEDBVMRRQU-UHFFFAOYSA-N -1 1 302.216 1.055 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006922444 751941113 /nfs/dbraw/zinc/94/11/13/751941113.db2.gz GLTOISDPWVQPDD-DMDPSCGWSA-N -1 1 317.389 1.410 20 0 DDADMM COc1ccc(C2([N-]S(=O)(=O)c3ccoc3)COC2)cc1 ZINC001188622433 744740031 /nfs/dbraw/zinc/74/00/31/744740031.db2.gz AQVMCMGXNUIPPA-UHFFFAOYSA-N -1 1 309.343 1.492 20 0 DDADMM Cn1nc(C(C)(C)C)cc1C(=O)NCCCC[P@](=O)([O-])O ZINC001189043581 744815188 /nfs/dbraw/zinc/81/51/88/744815188.db2.gz WAQTXWNMTOPHNJ-UHFFFAOYSA-N -1 1 317.326 1.405 20 0 DDADMM Cc1cc(N[C@@H](C)C[C@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001089271728 744845461 /nfs/dbraw/zinc/84/54/61/744845461.db2.gz KXUXHESSZUPRCK-RYUDHWBXSA-N -1 1 315.377 1.895 20 0 DDADMM Nc1cn(C(=O)c2cccc(OC(F)(F)F)c2[O-])nc1N ZINC001189749293 744967797 /nfs/dbraw/zinc/96/77/97/744967797.db2.gz PVXSTBCYQBYHBP-UHFFFAOYSA-N -1 1 302.212 1.340 20 0 DDADMM CCCc1nnsc1C(=O)N=c1ncnc2[nH][n-]c(C)c1-2 ZINC001190151165 745116489 /nfs/dbraw/zinc/11/64/89/745116489.db2.gz VRGWHFFWQAZHNB-UHFFFAOYSA-N -1 1 303.351 1.091 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2cc(OC)ncn2)c(OC)c1 ZINC001190110582 745117957 /nfs/dbraw/zinc/11/79/57/745117957.db2.gz UMICWGVMFXCUSF-UHFFFAOYSA-N -1 1 305.290 1.460 20 0 DDADMM O=C(NCc1ccncn1)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190268425 745168117 /nfs/dbraw/zinc/16/81/17/745168117.db2.gz YGOVAHGVQZJIEF-UHFFFAOYSA-N -1 1 307.313 1.569 20 0 DDADMM O=C(NC[C@@H](O)C(F)F)c1ccc(Br)cc1[O-] ZINC000220129084 745302540 /nfs/dbraw/zinc/30/25/40/745302540.db2.gz YTCRKUOKRPAWNU-MRVPVSSYSA-N -1 1 310.094 1.511 20 0 DDADMM CNC(=O)Cc1cccc([N-]S(=O)(=O)c2ccncc2)c1 ZINC001190896926 745371295 /nfs/dbraw/zinc/37/12/95/745371295.db2.gz WMCHBJIXLGGWQH-UHFFFAOYSA-N -1 1 305.359 1.171 20 0 DDADMM Cc1cccc(CNC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001191014094 745405400 /nfs/dbraw/zinc/40/54/00/745405400.db2.gz DAXOGHFPLBVVHQ-UHFFFAOYSA-N -1 1 314.305 1.346 20 0 DDADMM COCOc1ccc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001191264277 745458232 /nfs/dbraw/zinc/45/82/32/745458232.db2.gz WIAADTXGKKAYSI-UHFFFAOYSA-N -1 1 320.261 1.521 20 0 DDADMM CSc1ncc(C(=O)Nc2cccc3nccn32)c(=O)[n-]1 ZINC001191420595 745506565 /nfs/dbraw/zinc/50/65/65/745506565.db2.gz PJKYZAIIQVNONK-UHFFFAOYSA-N -1 1 301.331 1.804 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2Cc3ccccc3O2)c(=O)[n-]1 ZINC001191437758 745512793 /nfs/dbraw/zinc/51/27/93/745512793.db2.gz PLNOABIPLBDLMW-JTQLQIEISA-N -1 1 317.370 1.638 20 0 DDADMM O=S(=O)([N-]CCc1nccs1)C(Cl)(Cl)Cl ZINC001191996117 745661124 /nfs/dbraw/zinc/66/11/24/745661124.db2.gz DDBPTROWVXJCKU-UHFFFAOYSA-N -1 1 309.627 1.933 20 0 DDADMM CCN(Cc1cc(=O)n2[n-]ccc2n1)[C@H](C)CNC(=O)C(C)C ZINC001151992160 745696244 /nfs/dbraw/zinc/69/62/44/745696244.db2.gz ROIGDIGTFWIDGP-GFCCVEGCSA-N -1 1 319.409 1.005 20 0 DDADMM COC(=O)c1ccnc(CNC(=O)c2c(F)ccc([O-])c2F)c1 ZINC001192539091 745826774 /nfs/dbraw/zinc/82/67/74/745826774.db2.gz FEHAHMLBKOCRNH-UHFFFAOYSA-N -1 1 322.267 1.782 20 0 DDADMM CC(C)(C)OC(=O)[C@H](CO)NC(=O)c1cc([O-])cc(F)c1F ZINC001192678765 745860207 /nfs/dbraw/zinc/86/02/07/745860207.db2.gz JYCDHMXLNIBMRV-JTQLQIEISA-N -1 1 317.288 1.103 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1Cc2ccnn2CC[C@@H]1CO ZINC001192665038 745868381 /nfs/dbraw/zinc/86/83/81/745868381.db2.gz IXPUKTBQOGYNDA-SNVBAGLBSA-N -1 1 323.299 1.274 20 0 DDADMM Cc1cc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)nc(Cl)n1 ZINC001192811414 745901319 /nfs/dbraw/zinc/90/13/19/745901319.db2.gz ANPWMOMCVRMOFU-UHFFFAOYSA-N -1 1 310.657 1.290 20 0 DDADMM CCN(CCNc1cncc(Cl)n1)C(=O)c1ncccc1[O-] ZINC001106726875 745970894 /nfs/dbraw/zinc/97/08/94/745970894.db2.gz BDOBUYHFVQDEST-UHFFFAOYSA-N -1 1 321.768 1.805 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC1CCOCC1 ZINC000915614599 745980366 /nfs/dbraw/zinc/98/03/66/745980366.db2.gz IPULYTYLCIVKAN-UHFFFAOYSA-N -1 1 314.332 1.939 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1cnc2c(C3CC3)cnn2c1 ZINC001193213767 746016529 /nfs/dbraw/zinc/01/65/29/746016529.db2.gz OBWVQXHBNSCVPI-LBPRGKRZSA-N -1 1 322.390 1.527 20 0 DDADMM CN(C)C(=O)c1ccc([N-]S(=O)(=O)c2ccccc2)cc1O ZINC001193254790 746031393 /nfs/dbraw/zinc/03/13/93/746031393.db2.gz KHNIBKBQYXEHEA-UHFFFAOYSA-N -1 1 320.370 1.895 20 0 DDADMM O=c1[nH]cccc1C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001193281307 746046514 /nfs/dbraw/zinc/04/65/14/746046514.db2.gz AJEFNKNCOXKNQV-UHFFFAOYSA-N -1 1 318.276 1.683 20 0 DDADMM COc1ccnc(C(=O)Nc2cccc3c2C(=O)N(C)C3)c1[O-] ZINC001193530934 746121500 /nfs/dbraw/zinc/12/15/00/746121500.db2.gz BIUCQFYMPCIJTN-UHFFFAOYSA-N -1 1 313.313 1.634 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2nccn2C)n(-c2ccncc2)n1 ZINC001193561100 746145381 /nfs/dbraw/zinc/14/53/81/746145381.db2.gz DHBBGAVURFWMKT-UHFFFAOYSA-N -1 1 318.362 1.110 20 0 DDADMM CC(C)(C)c1ncc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cn1 ZINC001194003670 746250913 /nfs/dbraw/zinc/25/09/13/746250913.db2.gz HWWBMLPZXOXBDF-UHFFFAOYSA-N -1 1 318.293 1.626 20 0 DDADMM Cc1[nH]c(=O)ncc1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001194170043 746296266 /nfs/dbraw/zinc/29/62/66/746296266.db2.gz HNGPBNOJWQXNEJ-UHFFFAOYSA-N -1 1 311.301 1.634 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cnn3ccc(Cl)nc23)[n-]n1 ZINC001194283647 746339299 /nfs/dbraw/zinc/33/92/99/746339299.db2.gz PBJFLNFVXDYFKM-UHFFFAOYSA-N -1 1 320.696 1.145 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc3[nH]nc(C)c3c(F)c2)[n-]n1 ZINC001194288684 746343661 /nfs/dbraw/zinc/34/36/61/746343661.db2.gz KEGSPCYSNIKWCR-UHFFFAOYSA-N -1 1 317.280 1.772 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cccc3c2NC(=O)NC3)[n-]n1 ZINC001194288706 746343696 /nfs/dbraw/zinc/34/36/96/746343696.db2.gz KXFGLCUKKWCQBW-UHFFFAOYSA-N -1 1 315.289 1.084 20 0 DDADMM O=S(=O)([N-]Cc1ncco1)c1ncccc1C(F)(F)F ZINC001194529002 746403093 /nfs/dbraw/zinc/40/30/93/746403093.db2.gz DYBNSSRZXXRLLR-UHFFFAOYSA-N -1 1 307.253 1.567 20 0 DDADMM O=S(=O)([N-]Cc1cnco1)c1ncccc1C(F)(F)F ZINC001194578792 746413518 /nfs/dbraw/zinc/41/35/18/746413518.db2.gz UFTBQDZTYKVUBQ-UHFFFAOYSA-N -1 1 307.253 1.567 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2c(C)cccc2C)ccn1 ZINC001194605250 746417598 /nfs/dbraw/zinc/41/75/98/746417598.db2.gz KDUCNOJXOACPLK-UHFFFAOYSA-N -1 1 320.370 1.708 20 0 DDADMM Cc1cc(Cl)c(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cn1 ZINC001194901032 746482411 /nfs/dbraw/zinc/48/24/11/746482411.db2.gz AKGFYKWZIXMHDX-UHFFFAOYSA-N -1 1 304.697 1.668 20 0 DDADMM Cn1cnc(NC(=O)c2cc([O-])cnc2Cl)c2ncnc1-2 ZINC001195297426 746570206 /nfs/dbraw/zinc/57/02/06/746570206.db2.gz JYPNRFGECGGFNY-UHFFFAOYSA-N -1 1 304.697 1.321 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(N2CC[C@H](O)C2)nc1 ZINC001195458397 746606462 /nfs/dbraw/zinc/60/64/62/746606462.db2.gz TZPKBCMJNNNTHG-JTQLQIEISA-N -1 1 310.379 1.096 20 0 DDADMM O=S(=O)([N-]c1cnc2c(c1)COCC2)c1ccc(O)cc1 ZINC001195755701 746687365 /nfs/dbraw/zinc/68/73/65/746687365.db2.gz XGIUIWMOXZFODS-UHFFFAOYSA-N -1 1 306.343 1.661 20 0 DDADMM CCOc1cc([N-]C(=O)c2ncc(OC)o2)cc(C(=O)OC)n1 ZINC001195793289 746706758 /nfs/dbraw/zinc/70/67/58/746706758.db2.gz PLNJHPMHKMJMGB-UHFFFAOYSA-N -1 1 321.289 1.516 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1ccnc(OC)c1Cl ZINC001195934768 746740492 /nfs/dbraw/zinc/74/04/92/746740492.db2.gz YTONQFHDJHLBDD-UHFFFAOYSA-N -1 1 308.743 1.048 20 0 DDADMM O=C(Nc1cc(Cl)nc2ccnn21)c1c[nH]c(=S)[n-]c1=O ZINC001196014569 746756717 /nfs/dbraw/zinc/75/67/17/746756717.db2.gz RRNMELHFVNYRCV-UHFFFAOYSA-N -1 1 322.737 1.419 20 0 DDADMM CC(C)(C)n1ccc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)n1 ZINC001196315346 746823140 /nfs/dbraw/zinc/82/31/40/746823140.db2.gz AUIMDPBLLLBXQO-UHFFFAOYSA-N -1 1 306.282 1.490 20 0 DDADMM CC(=O)Nc1cc([N-]C(=O)c2noc(C(C)(C)C)n2)ncn1 ZINC001196982524 747024281 /nfs/dbraw/zinc/02/42/81/747024281.db2.gz YQGUIWYWTWDIQX-UHFFFAOYSA-N -1 1 304.310 1.368 20 0 DDADMM COC(=O)CNC(=S)Nc1nc(Br)ccc1[O-] ZINC001197155932 747088814 /nfs/dbraw/zinc/08/88/14/747088814.db2.gz SIJVJBBOOSTDRO-UHFFFAOYSA-N -1 1 320.168 1.009 20 0 DDADMM CSc1nc(NS(=O)(=O)Cc2cccnc2)cc(=O)[n-]1 ZINC001197421595 747159925 /nfs/dbraw/zinc/15/99/25/747159925.db2.gz JPWPFCQFTGKIMM-UHFFFAOYSA-N -1 1 312.376 1.241 20 0 DDADMM Cc1ccc2c(c1)[C@@H]([N-]S(=O)(=O)Cc1cccnc1)C(=O)N2 ZINC001197465962 747166500 /nfs/dbraw/zinc/16/65/00/747166500.db2.gz VSVHHTAETLMPPQ-CQSZACIVSA-N -1 1 317.370 1.503 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2ccc(C)nc2Cl)n1C ZINC001198355966 747475269 /nfs/dbraw/zinc/47/52/69/747475269.db2.gz KSZANPACKXQAGX-UHFFFAOYSA-N -1 1 300.771 1.886 20 0 DDADMM CN(C)c1cccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)n1 ZINC001199786321 747994959 /nfs/dbraw/zinc/99/49/59/747994959.db2.gz RNCVOTYRAZOFLE-UHFFFAOYSA-N -1 1 300.244 1.537 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](O)C1)c1c(F)cccc1Br ZINC001201019953 748390444 /nfs/dbraw/zinc/39/04/44/748390444.db2.gz YIDDQYDHFSOLMS-KNVOCYPGSA-N -1 1 324.171 1.390 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)C(C)(F)F ZINC001004669069 748636652 /nfs/dbraw/zinc/63/66/52/748636652.db2.gz MVFHGQFESZZYFC-RKDXNWHRSA-N -1 1 317.340 1.025 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cccc2c1C(=O)CC2 ZINC001201915941 748641633 /nfs/dbraw/zinc/64/16/33/748641633.db2.gz SMUXYLZKMJZGRN-UHFFFAOYSA-N -1 1 311.359 1.510 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1ccc[nH]1 ZINC001004722889 748677488 /nfs/dbraw/zinc/67/74/88/748677488.db2.gz BMPFDEIHPVHAFL-JQWIXIFHSA-N -1 1 318.381 1.011 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001004749897 748715496 /nfs/dbraw/zinc/71/54/96/748715496.db2.gz JDYQEEFJKQUHNR-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM C/C(=C\C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C1CC1 ZINC000995522910 748885004 /nfs/dbraw/zinc/88/50/04/748885004.db2.gz MMHXBKXEGGAQAP-GCZGVDRJSA-N -1 1 319.409 1.193 20 0 DDADMM CSc1ccnc(NC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108323584 761934412 /nfs/dbraw/zinc/93/44/12/761934412.db2.gz SEAZPKLHDFNYPN-VIFPVBQESA-N -1 1 319.390 1.530 20 0 DDADMM O=C(NC/C=C/CNc1cc(F)ncn1)c1ncccc1[O-] ZINC001107139002 749345583 /nfs/dbraw/zinc/34/55/83/749345583.db2.gz FQROZENJAKBVCO-OWOJBTEDSA-N -1 1 303.297 1.114 20 0 DDADMM CC1(C)CN(C(=O)C2(C)CCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996113539 749377206 /nfs/dbraw/zinc/37/72/06/749377206.db2.gz GATACHLMHHDAEK-LLVKDONJSA-N -1 1 321.425 1.417 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996153255 749401916 /nfs/dbraw/zinc/40/19/16/749401916.db2.gz HJVMFNVUXZEZCA-GHMZBOCLSA-N -1 1 321.425 1.273 20 0 DDADMM CC1(C)CN(C(=O)C2(C)CC=CC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996235487 749457365 /nfs/dbraw/zinc/45/73/65/749457365.db2.gz NJHGJZSZLVEALE-LLVKDONJSA-N -1 1 319.409 1.193 20 0 DDADMM COC[C@@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC001095344372 749582959 /nfs/dbraw/zinc/58/29/59/749582959.db2.gz SZCIJNLEMXVRAE-NDBYEHHHSA-N -1 1 305.378 1.157 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001034972053 751086649 /nfs/dbraw/zinc/08/66/49/751086649.db2.gz AXONKLVPNCYPSX-GHMZBOCLSA-N -1 1 309.414 1.273 20 0 DDADMM Cc1nocc1CN1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001007178596 753290788 /nfs/dbraw/zinc/29/07/88/753290788.db2.gz SYOAUOQDWLZYEN-CYBMUJFWSA-N -1 1 316.361 1.478 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C1CCC1 ZINC001009909765 753459351 /nfs/dbraw/zinc/45/93/51/753459351.db2.gz KXUBFEFPOGNSFR-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)=C(F)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839620 753760024 /nfs/dbraw/zinc/76/00/24/753760024.db2.gz XZIMWXRSNPUZSH-UHFFFAOYSA-N -1 1 321.352 1.819 20 0 DDADMM O=C(N[C@@H]1CCN(Cc2ccns2)C1)c1ncccc1[O-] ZINC001010729296 754128054 /nfs/dbraw/zinc/12/80/54/754128054.db2.gz APOXMBJLWVDWEI-SNVBAGLBSA-N -1 1 304.375 1.248 20 0 DDADMM Cc1ncoc1CN1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010734832 754131470 /nfs/dbraw/zinc/13/14/70/754131470.db2.gz VIBBOUKSOPYHJU-NSHDSACASA-N -1 1 302.334 1.088 20 0 DDADMM Cc1cocc1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036320 754319274 /nfs/dbraw/zinc/31/92/74/754319274.db2.gz IASRQHBYEKRTMJ-UHFFFAOYSA-N -1 1 315.329 1.287 20 0 DDADMM Cc1ccc(C(=O)NCC2(NC(=O)c3cnn[nH]3)CCC2)cc1 ZINC001064488348 754699812 /nfs/dbraw/zinc/69/98/12/754699812.db2.gz SMAMFFCLCUVHMW-UHFFFAOYSA-N -1 1 313.361 1.196 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1CC1 ZINC001012162244 754900818 /nfs/dbraw/zinc/90/08/18/754900818.db2.gz YTWDKRVUQKZZQY-CMPLNLGQSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCC1CC1 ZINC001012403403 755050129 /nfs/dbraw/zinc/05/01/29/755050129.db2.gz IDJUAIMKKHPYHB-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2cc[nH]c2)CN1C(=O)c1ncccc1[O-] ZINC001012508120 755114185 /nfs/dbraw/zinc/11/41/85/755114185.db2.gz YJXHGVBNUOKSSM-CMPLNLGQSA-N -1 1 314.345 1.148 20 0 DDADMM Cc1ccc(N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)nn1 ZINC001067076742 755706961 /nfs/dbraw/zinc/70/69/61/755706961.db2.gz UJEZXWVVGGBBJF-JQWIXIFHSA-N -1 1 313.361 1.458 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001001013486 762467898 /nfs/dbraw/zinc/46/78/98/762467898.db2.gz KHWCHRLQLJNEEB-ZYHUDNBSSA-N -1 1 307.398 1.027 20 0 DDADMM CSCC(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082023121 756586849 /nfs/dbraw/zinc/58/68/49/756586849.db2.gz ZWGOVCZPSPZKSR-NSHDSACASA-N -1 1 323.418 1.261 20 0 DDADMM O=C([O-])c1ccc(CNCCS(=O)(=O)CC2CC2)s1 ZINC001119608269 757918373 /nfs/dbraw/zinc/91/83/73/757918373.db2.gz RQPSCESGNGRYIE-UHFFFAOYSA-N -1 1 303.405 1.361 20 0 DDADMM C[C@@H](NC(=O)c1cc[nH]c1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006221370 758157621 /nfs/dbraw/zinc/15/76/21/758157621.db2.gz BNYDTKUHUYRDDD-SNVBAGLBSA-N -1 1 314.345 1.006 20 0 DDADMM Cc1cc(N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)ncn1 ZINC001065244800 758414130 /nfs/dbraw/zinc/41/41/30/758414130.db2.gz CVTOWCXNAMATPU-MRXNPFEDSA-N -1 1 313.361 1.284 20 0 DDADMM C[C@H]1CN(C(=O)CC(C)(C)C2CC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054645713 759942568 /nfs/dbraw/zinc/94/25/68/759942568.db2.gz XGCURJJYXIAULQ-CMPLNLGQSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@@H]1CN(C(=O)C2(C3CC3)CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054934776 760201380 /nfs/dbraw/zinc/20/13/80/760201380.db2.gz SWGYMYFPMYMNFP-ZYHUDNBSSA-N -1 1 319.409 1.027 20 0 DDADMM O=C(NC[C@H]1CCN1Cc1cncs1)c1ncccc1[O-] ZINC001038195505 760910330 /nfs/dbraw/zinc/91/03/30/760910330.db2.gz VBTASBJBTTYHRS-SNVBAGLBSA-N -1 1 304.375 1.248 20 0 DDADMM CN(CCCN(C)C(=O)C1(C)CCC1)C(=O)c1ncccc1[O-] ZINC001067254659 761057939 /nfs/dbraw/zinc/05/79/39/761057939.db2.gz IRGLTHDEVMZRDJ-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM CC[C@H](C)CN1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001050888488 764235948 /nfs/dbraw/zinc/23/59/48/764235948.db2.gz WQXGNIFKVXHKOS-QWHCGFSZSA-N -1 1 307.394 1.264 20 0 DDADMM C[C@@H]1CC[C@@H](CN2CC(N(C)C(=O)c3ncccc3[O-])C2)O1 ZINC001042646401 764310383 /nfs/dbraw/zinc/31/03/83/764310383.db2.gz QJJQLQBISUQUTK-YPMHNXCESA-N -1 1 305.378 1.111 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncccc3-n3cccc3)c2[nH]1 ZINC001170216914 766175340 /nfs/dbraw/zinc/17/53/40/766175340.db2.gz GFLFVBVPNWEVPU-UHFFFAOYSA-N -1 1 309.289 1.645 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(Cc2nccs2)C1 ZINC001046262716 767321483 /nfs/dbraw/zinc/32/14/83/767321483.db2.gz XCZXLBXHGNKPLR-OAHLLOKOSA-N -1 1 318.402 1.638 20 0 DDADMM O=C(/C=C\C1CC1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648620 768643648 /nfs/dbraw/zinc/64/36/48/768643648.db2.gz QXBSBFRJXMTCPQ-MEJMFZKBSA-N -1 1 315.373 1.474 20 0 DDADMM O=C([N-]c1nc2cnccn2n1)c1c2c(ccc1F)OCO2 ZINC001132312636 768751158 /nfs/dbraw/zinc/75/11/58/768751158.db2.gz YWLNWYBNWWHXHH-UHFFFAOYSA-N -1 1 301.237 1.244 20 0 DDADMM CCCCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001071636517 769767359 /nfs/dbraw/zinc/76/73/59/769767359.db2.gz IZCBPPQLGZDPDD-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071636516 769767837 /nfs/dbraw/zinc/76/78/37/769767837.db2.gz IZCBPPQLGZDPDD-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)C[C@H](C)NCc2cscn2)c1[O-] ZINC001134095407 770626415 /nfs/dbraw/zinc/62/64/15/770626415.db2.gz TZXUNVVDONZRBI-DTWKUNHWSA-N -1 1 323.422 1.567 20 0 DDADMM COc1ccnc(CNC(=O)C(=O)c2ccc([O-])cc2)c1F ZINC001147792532 773248453 /nfs/dbraw/zinc/24/84/53/773248453.db2.gz NWAIRRDDKUIFPM-UHFFFAOYSA-N -1 1 304.277 1.434 20 0 DDADMM CC(C)CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073663532 773278739 /nfs/dbraw/zinc/27/87/39/773278739.db2.gz QGJKNBLSFGIXHZ-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NC[C@H]3CCOC3)cc2)[n-]1 ZINC001148335690 773440911 /nfs/dbraw/zinc/44/09/11/773440911.db2.gz FTKPXKDTOARBTR-GFCCVEGCSA-N -1 1 301.346 1.566 20 0 DDADMM CC(=O)c1cc(C(=O)N2C[C@H]3CCC[N@H+](C)[C@H]3C2)ccc1O ZINC001148661881 773570074 /nfs/dbraw/zinc/57/00/74/773570074.db2.gz FOZZNSDHNAZTKA-HIFRSBDPSA-N -1 1 302.374 1.761 20 0 DDADMM CCOC(=O)CCNC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149654080 774202354 /nfs/dbraw/zinc/20/23/54/774202354.db2.gz UJHQWKVKVPFELN-UHFFFAOYSA-N -1 1 303.314 1.934 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001094490305 775908164 /nfs/dbraw/zinc/90/81/64/775908164.db2.gz NJMXZGMDLXOTMJ-PVTKRXDGSA-N -1 1 319.409 1.027 20 0 DDADMM CCOC(=O)C1(C)CCC(Nc2[n-]c(=O)nc3nc[nH]c32)CC1 ZINC001171941463 776540413 /nfs/dbraw/zinc/54/04/13/776540413.db2.gz UQUHDXHDKFIXNP-UHFFFAOYSA-N -1 1 319.365 1.982 20 0 DDADMM CC(C)OC(=O)CC[C@H](C)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001172762673 776883694 /nfs/dbraw/zinc/88/36/94/776883694.db2.gz YGWAWMHPBQLSSC-ZETCQYMHSA-N -1 1 309.326 1.248 20 0 DDADMM O=C(NCCN(c1nccnc1F)C1CC1)c1ncccc1[O-] ZINC001101323324 777068425 /nfs/dbraw/zinc/06/84/25/777068425.db2.gz AXVNSDCHUACGKJ-UHFFFAOYSA-N -1 1 317.324 1.115 20 0 DDADMM CC/C(C)=C\C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101942645 777707669 /nfs/dbraw/zinc/70/76/69/777707669.db2.gz CDQRXMGXUQLMIQ-XRHVUQDBSA-N -1 1 307.398 1.051 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1Nc1ccc(-c2nn[nH]n2)cc1 ZINC001175429476 777787557 /nfs/dbraw/zinc/78/75/57/777787557.db2.gz YYWYDRQFBYTNKP-UHFFFAOYSA-N -1 1 316.306 1.793 20 0 DDADMM C[S@@](=O)c1ccc([N-]S(=O)(=O)c2cccc(N)c2)cc1 ZINC001175483693 777801549 /nfs/dbraw/zinc/80/15/49/777801549.db2.gz OLQLALLRXOXDCX-LJQANCHMSA-N -1 1 310.400 1.807 20 0 DDADMM CCS(=O)(=O)c1cccc(Nc2cc(=O)[n-]c(N(C)C)n2)c1 ZINC001175448991 777807003 /nfs/dbraw/zinc/80/70/03/777807003.db2.gz SDQSOONXNUMZEA-UHFFFAOYSA-N -1 1 322.390 1.785 20 0 DDADMM CC[C@H](C)CCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102478341 778161535 /nfs/dbraw/zinc/16/15/35/778161535.db2.gz NJMPBXLYMNFDLZ-YNEHKIRRSA-N -1 1 323.441 1.521 20 0 DDADMM CCCCOc1ccc(C(=O)N[C@@H](COC)c2nn[n-]n2)cc1 ZINC001177823880 778730417 /nfs/dbraw/zinc/73/04/17/778730417.db2.gz XWRDBKUARRXSDS-ZDUSSCGKSA-N -1 1 319.365 1.496 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(OC)nc2)n1 ZINC001177873796 778750697 /nfs/dbraw/zinc/75/06/97/778750697.db2.gz CEUFQQGNMLEJNX-UHFFFAOYSA-N -1 1 304.306 1.171 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C(C)(C)c3ncccc3F)c1-2 ZINC001178085306 778891599 /nfs/dbraw/zinc/89/15/99/778891599.db2.gz KTWMBLBZWNIQKB-UHFFFAOYSA-N -1 1 314.324 1.485 20 0 DDADMM CCOC(=O)CCC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692891799 779492597 /nfs/dbraw/zinc/49/25/97/779492597.db2.gz FNMWYAXPZTWGOK-UHFFFAOYSA-N -1 1 324.761 1.496 20 0 DDADMM NC(=O)c1ccc(C(=O)[N-]c2nnc(-c3ccncc3)s2)o1 ZINC001116340744 780497824 /nfs/dbraw/zinc/49/78/24/780497824.db2.gz OVLUQDKUMYHCLI-UHFFFAOYSA-N -1 1 315.314 1.544 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccccc1NC(C)=O ZINC001117772437 780898553 /nfs/dbraw/zinc/89/85/53/780898553.db2.gz APLYQBIYXMUHAO-UHFFFAOYSA-N -1 1 322.361 1.577 20 0 DDADMM CCCCOCC[N@@H+]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001267924346 839204485 /nfs/dbraw/zinc/20/44/85/839204485.db2.gz GMJDEYAAUZATLR-CQSZACIVSA-N -1 1 321.421 1.798 20 0 DDADMM Brc1cnc2c(c1)COC[C@H]2OCc1nn[n-]n1 ZINC001223026573 839557694 /nfs/dbraw/zinc/55/76/94/839557694.db2.gz BJKWMOSPEAJSFI-MRVPVSSYSA-N -1 1 312.127 1.145 20 0 DDADMM NC(=O)C[C@H]1C[C@@H]2CN(C(=O)c3ccc([O-])cc3Cl)C[C@@H]2O1 ZINC001269762666 842019609 /nfs/dbraw/zinc/01/96/09/842019609.db2.gz YNDQXSCDMBLWGC-JQEORGNBSA-N -1 1 324.764 1.151 20 0 DDADMM CN1CC[C@@]2(CCCN2C(=O)c2ccc([O-])cc2Cl)C1=O ZINC001269983371 842203400 /nfs/dbraw/zinc/20/34/00/842203400.db2.gz PKSPRGKTCOIMDW-HNNXBMFYSA-N -1 1 308.765 1.883 20 0 DDADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)[C@@H]1CC=CCC1 ZINC001270713113 842839188 /nfs/dbraw/zinc/83/91/88/842839188.db2.gz CNIXUTSTPVUONN-GFCCVEGCSA-N -1 1 319.409 1.385 20 0 DDADMM CN1CC[C@@]2(CCCN(C(=O)c3ccc(F)c(=O)[n-]3)CC2)C1=O ZINC001271743492 843832611 /nfs/dbraw/zinc/83/26/11/843832611.db2.gz YDQKYMZSYWIPBO-MRXNPFEDSA-N -1 1 321.352 1.401 20 0 DDADMM Cc1cc(NC(=O)c2ccc3cccnc3c2[O-])n2ncnc2n1 ZINC001149315387 861538998 /nfs/dbraw/zinc/53/89/98/861538998.db2.gz YSXLWRSRCNNSDH-UHFFFAOYSA-N -1 1 320.312 1.939 20 0 DDADMM CSc1nc(NC(=O)c2ccc3nonc3c2)cc(=O)[n-]1 ZINC001149411057 861600613 /nfs/dbraw/zinc/60/06/13/861600613.db2.gz JWSCFYUBXZIAOS-UHFFFAOYSA-N -1 1 303.303 1.693 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cnnc2ccccc21 ZINC001149480140 861642678 /nfs/dbraw/zinc/64/26/78/861642678.db2.gz YKIJRXIIVGRNNL-UHFFFAOYSA-N -1 1 307.273 1.254 20 0 DDADMM CC(C)(CNC(=O)c1cc2cccc(O)c2cc1[O-])C(N)=O ZINC001149653223 861782918 /nfs/dbraw/zinc/78/29/18/861782918.db2.gz FIHVPGSTLJZUTD-UHFFFAOYSA-N -1 1 302.330 1.492 20 0 DDADMM CCOC(=O)[C@]12C[C@H]1CCN2C(=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155265109 861910246 /nfs/dbraw/zinc/91/02/46/861910246.db2.gz MUSQYHQUXGHDFU-ABKXIKBNSA-N -1 1 315.329 1.436 20 0 DDADMM CCOC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155265112 861912995 /nfs/dbraw/zinc/91/29/95/861912995.db2.gz MUSQYHQUXGHDFU-XXFAHNHDSA-N -1 1 315.329 1.436 20 0 DDADMM O=C(NCc1cc2n(n1)CCCO2)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155275977 861922751 /nfs/dbraw/zinc/92/27/51/861922751.db2.gz IFOYBNMVLJBDCF-UHFFFAOYSA-N -1 1 313.317 1.178 20 0 DDADMM O=C(CNC(=O)c1[n-][nH]c2cc(=O)ccc1-2)Cc1ccccc1 ZINC001155273707 861924041 /nfs/dbraw/zinc/92/40/41/861924041.db2.gz UNBICNDEEVTKJO-UHFFFAOYSA-N -1 1 309.325 1.810 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC001327598411 862306452 /nfs/dbraw/zinc/30/64/52/862306452.db2.gz LRUUEQGNLSBHGE-KGLIPLIRSA-N -1 1 312.410 1.057 20 0 DDADMM Cc1ccc2ccc(C(=O)NC[C@@H]3CN(C)CCO3)c([O-])c2n1 ZINC001155660102 862351209 /nfs/dbraw/zinc/35/12/09/862351209.db2.gz RMTKUYCDIAJGBZ-CYBMUJFWSA-N -1 1 315.373 1.309 20 0 DDADMM CN(C(=O)c1ccc(C(=O)OC(C)(C)C)cc1)c1nn[n-]n1 ZINC001411476838 853185688 /nfs/dbraw/zinc/18/56/88/853185688.db2.gz HWZDLOBIWBVYEC-UHFFFAOYSA-N -1 1 303.322 1.432 20 0 DDADMM CN(CC(=O)Nc1cccc(Cl)c1)C(=O)c1cnncc1[O-] ZINC001411615126 853462168 /nfs/dbraw/zinc/46/21/68/853462168.db2.gz CUJRORHJMIXAHJ-UHFFFAOYSA-N -1 1 320.736 1.546 20 0 DDADMM CCC(C)(C)C(=O)N[C@@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001411993743 854081151 /nfs/dbraw/zinc/08/11/51/854081151.db2.gz OPHUPMAKBKBDTD-NSHDSACASA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)c1nnsc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001412060969 854172230 /nfs/dbraw/zinc/17/22/30/854172230.db2.gz XBDNIEHYPAPZJX-QMMMGPOBSA-N -1 1 307.383 1.194 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1COc2ccccc2O1)c1nn[n-]n1 ZINC001412105435 854221965 /nfs/dbraw/zinc/22/19/65/854221965.db2.gz PDDXSOQWDSCIRR-GFCCVEGCSA-N -1 1 317.349 1.171 20 0 DDADMM CNc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)nc1 ZINC001412112091 854224145 /nfs/dbraw/zinc/22/41/45/854224145.db2.gz VEIKOUYWEWLIBH-UHFFFAOYSA-N -1 1 303.322 1.232 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H]2CCCOCC2)[n-]c1Cl ZINC001412299213 854420835 /nfs/dbraw/zinc/42/08/35/854420835.db2.gz FVICQYFTVFSZDR-QMMMGPOBSA-N -1 1 315.757 1.995 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1CCCc2n[nH]cc21 ZINC001412527412 854659848 /nfs/dbraw/zinc/65/98/48/854659848.db2.gz JCWMTCRVMPMSON-SNVBAGLBSA-N -1 1 303.370 1.028 20 0 DDADMM COC[C@@H](NC(=O)CCOc1cccc(C)c1C)c1nn[n-]n1 ZINC001412586690 854769381 /nfs/dbraw/zinc/76/93/81/854769381.db2.gz DCKNXUZIDDOWMT-GFCCVEGCSA-N -1 1 319.365 1.089 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)Cc2ccc(O)cc2)c(=O)[n-]1 ZINC001412665520 854905787 /nfs/dbraw/zinc/90/57/87/854905787.db2.gz MUKLTLAAUNIKMS-SECBINFHSA-N -1 1 319.386 1.971 20 0 DDADMM CCc1noc([C@H]2CC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001412749875 855102067 /nfs/dbraw/zinc/10/20/67/855102067.db2.gz MZPUPKYQEHOBIW-UWVGGRQHSA-N -1 1 302.334 1.799 20 0 DDADMM NC(=O)[C@@H](NC(=O)c1ccc(F)c([O-])c1)c1ccc(F)cc1 ZINC001412801347 855284143 /nfs/dbraw/zinc/28/41/43/855284143.db2.gz WVDMKLWVCVMGBQ-ZDUSSCGKSA-N -1 1 306.268 1.627 20 0 DDADMM Cc1nccc(N2CCN(C(=O)c3cccc([O-])c3F)CC2)n1 ZINC001412816271 855299182 /nfs/dbraw/zinc/29/91/82/855299182.db2.gz ZQKRNMSABRPSMX-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2CCC(C)(C)O2)c1Cl ZINC000188754326 855392583 /nfs/dbraw/zinc/39/25/83/855392583.db2.gz RXQKLXXRNVZIRH-MRVPVSSYSA-N -1 1 307.803 1.309 20 0 DDADMM CSc1ncc(C(=O)NC[C@H]2CCOC3(CCC3)C2)c(=O)[n-]1 ZINC001412985443 855867217 /nfs/dbraw/zinc/86/72/17/855867217.db2.gz SEPFRZXDLMUSRQ-JTQLQIEISA-N -1 1 323.418 1.983 20 0 DDADMM O=C(Nc1ccccc1-c1nnc[nH]1)c1ccc2oc(=O)nc-2[n-]1 ZINC001151356362 862743083 /nfs/dbraw/zinc/74/30/83/862743083.db2.gz XGSCZRPVURMVEN-UHFFFAOYSA-N -1 1 322.284 1.966 20 0 DDADMM CSc1ncc(C(=O)NCc2ccc(C)c(C)n2)c(=O)[n-]1 ZINC001413057103 856260232 /nfs/dbraw/zinc/26/02/32/856260232.db2.gz FCPAUUFFDDVHLD-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(C)c(C(=O)OC)c1F ZINC001259972970 856811853 /nfs/dbraw/zinc/81/18/53/856811853.db2.gz KJLLBWBMGBRUOC-UHFFFAOYSA-N -1 1 305.327 1.309 20 0 DDADMM CCC(C)(C)CC(=O)N(C)C1CC(NC(=O)c2cnn[nH]2)C1 ZINC001413564745 857076586 /nfs/dbraw/zinc/07/65/86/857076586.db2.gz AMWXAQFEIBNDHV-UHFFFAOYSA-N -1 1 307.398 1.350 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H]([NH2+]CCP(=O)([O-])[O-])CC1 ZINC001254291327 857098428 /nfs/dbraw/zinc/09/84/28/857098428.db2.gz ILZDEFPAUJAEHM-NSHDSACASA-N -1 1 322.342 1.543 20 0 DDADMM C[C@H]1CN(C(=O)CCCF)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001072537940 857418509 /nfs/dbraw/zinc/41/85/09/857418509.db2.gz RGDDZBWGTPYZIY-WDEREUQCSA-N -1 1 309.341 1.114 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4n[nH]cc4c3F)c1-2 ZINC001151832296 862995126 /nfs/dbraw/zinc/99/51/26/862995126.db2.gz ICKPKQZJLXDKMU-UHFFFAOYSA-N -1 1 311.280 1.303 20 0 DDADMM CSc1ncc(C(=O)N(C)C[C@]23CCC[C@H]2OCC3)c(=O)[n-]1 ZINC001413806899 858552979 /nfs/dbraw/zinc/55/29/79/858552979.db2.gz MWSFYZREPOIDEY-IAQYHMDHSA-N -1 1 323.418 1.935 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1ccc(F)c([O-])c1)[C@@H]1CCOC1 ZINC001413809309 858556036 /nfs/dbraw/zinc/55/60/36/858556036.db2.gz QEHYVJOQIJKYMD-GHMZBOCLSA-N -1 1 311.309 1.087 20 0 DDADMM CN(c1nnc(Cc2nnn[n-]2)n1Cc1ccccc1)C1CC1 ZINC001122128752 858692047 /nfs/dbraw/zinc/69/20/47/858692047.db2.gz FCBUNDWOLMXZRI-UHFFFAOYSA-N -1 1 310.365 1.029 20 0 DDADMM CN(c1nnc(Cc2nn[n-]n2)n1Cc1ccccc1)C1CC1 ZINC001122128752 858692052 /nfs/dbraw/zinc/69/20/52/858692052.db2.gz FCBUNDWOLMXZRI-UHFFFAOYSA-N -1 1 310.365 1.029 20 0 DDADMM CC(C)(C)OC(=O)N1CCCC[C@@H](OCC[P@](=O)([O-])O)C1 ZINC001224606301 881466460 /nfs/dbraw/zinc/46/64/60/881466460.db2.gz QWVFCELNEAREMC-LLVKDONJSA-N -1 1 323.326 1.970 20 0 DDADMM CCN1CC[C@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC001138325956 860056812 /nfs/dbraw/zinc/05/68/12/860056812.db2.gz MTXCUIPGVXEOSH-ZETCQYMHSA-N -1 1 300.156 1.582 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CCC(O)CC3)cc2)[n-]1 ZINC001161183768 860224588 /nfs/dbraw/zinc/22/45/88/860224588.db2.gz UAMOPMDEQZJWOY-UHFFFAOYSA-N -1 1 301.346 1.396 20 0 DDADMM COc1cc(C(=O)N2CC[C@@H]3C[C@@]32C(N)=O)cc(Cl)c1[O-] ZINC001276402065 860574488 /nfs/dbraw/zinc/57/44/88/860574488.db2.gz SQGQKRKTOGXICN-CLAHSXSESA-N -1 1 310.737 1.144 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CCC4(CCC4)O3)nc2n1 ZINC001361397177 881567032 /nfs/dbraw/zinc/56/70/32/881567032.db2.gz JSIREYKEYRQWEI-JTQLQIEISA-N -1 1 317.349 1.156 20 0 DDADMM O=C(NCc1cc(=O)cc[nH]1)c1ccc2ccc(O)cc2c1[O-] ZINC001141871423 860961795 /nfs/dbraw/zinc/96/17/95/860961795.db2.gz NCZIGJOKRBBGFB-UHFFFAOYSA-N -1 1 310.309 1.869 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccnc4ncccc34)c1-2 ZINC001154333831 861014243 /nfs/dbraw/zinc/01/42/43/861014243.db2.gz IHTQHRIGGOHCTK-UHFFFAOYSA-N -1 1 305.301 1.230 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cn2cccc(F)c2n1 ZINC001154539494 861189895 /nfs/dbraw/zinc/18/98/95/861189895.db2.gz VIKKLDIGVXPSNL-UHFFFAOYSA-N -1 1 318.224 1.325 20 0 DDADMM COC(=O)[C@H](Cc1ccccc1)OCCCC[P@](=O)([O-])O ZINC001225021418 881643330 /nfs/dbraw/zinc/64/33/30/881643330.db2.gz HFYRMDYUCTZJHH-ZDUSSCGKSA-N -1 1 316.290 1.745 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2sc(Cl)nc2C)n1 ZINC001361441632 881659065 /nfs/dbraw/zinc/65/90/65/881659065.db2.gz DNVMGDOLWFUFIX-UHFFFAOYSA-N -1 1 318.767 1.995 20 0 DDADMM O=C(c1c[nH]nc1-c1ccco1)N1CCC(c2nn[n-]n2)CC1 ZINC001152486174 863387540 /nfs/dbraw/zinc/38/75/40/863387540.db2.gz JXNUPTJEFYTDBL-UHFFFAOYSA-N -1 1 313.321 1.203 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3occ(C)c3C)nc2n1 ZINC001329653197 863772572 /nfs/dbraw/zinc/77/25/72/863772572.db2.gz BCPKJELQNSNDNT-UHFFFAOYSA-N -1 1 301.306 1.442 20 0 DDADMM O=C(NC[C@H](O)C1CCCCC1)c1c[n-]c2cccnc2c1=O ZINC001153862116 864171749 /nfs/dbraw/zinc/17/17/49/864171749.db2.gz QJJADQRMNISJMF-AWEZNQCLSA-N -1 1 315.373 1.594 20 0 DDADMM CC(=O)c1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cs1 ZINC001361527661 881834390 /nfs/dbraw/zinc/83/43/90/881834390.db2.gz APOYXLHNSYMRDV-UHFFFAOYSA-N -1 1 300.343 1.858 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nnc(Cl)c4ccoc43)c2[nH]1 ZINC001159189173 865155883 /nfs/dbraw/zinc/15/58/83/865155883.db2.gz HXAFNLICXILLGD-UHFFFAOYSA-N -1 1 319.668 1.649 20 0 DDADMM CCOC(=O)c1cccnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159192789 865158502 /nfs/dbraw/zinc/15/85/02/865158502.db2.gz ZILOPRZKCBZJSP-UHFFFAOYSA-N -1 1 300.278 1.374 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nccc4c5c([nH]c43)CCC5)c2[nH]1 ZINC001159194411 865160313 /nfs/dbraw/zinc/16/03/13/865160313.db2.gz AGBUBQPGUYQQIU-UHFFFAOYSA-N -1 1 323.316 1.824 20 0 DDADMM O=c1nc2nc[nH]c2c(N[C@H](F)Oc2ccc(Cl)nn2)[n-]1 ZINC001159205086 865166185 /nfs/dbraw/zinc/16/61/85/865166185.db2.gz UKORDXFMAWFTFO-VIFPVBQESA-N -1 1 311.664 1.246 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)Nc1ccc(C(=O)N(C)c2nn[n-]n2)cc1 ZINC001361566279 881907405 /nfs/dbraw/zinc/90/74/05/881907405.db2.gz SQUQTWGIUOAEAB-LDYMZIIASA-N -1 1 300.322 1.071 20 0 DDADMM CC(C)OCc1cc(NC(=O)CCCc2nn[n-]n2)ccc1O ZINC001160647130 865990691 /nfs/dbraw/zinc/99/06/91/865990691.db2.gz XKUWSNHNJGKYHQ-UHFFFAOYSA-N -1 1 319.365 1.792 20 0 DDADMM CCC=C(C)C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001332768518 866127222 /nfs/dbraw/zinc/12/72/22/866127222.db2.gz FXROHYQJTASEPR-ZJRUKIMVSA-N -1 1 309.414 1.487 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@@H](Oc2cc([O-])cc(O)c2C(C)=O)CO1 ZINC001225679657 881972605 /nfs/dbraw/zinc/97/26/05/881972605.db2.gz QUUMIEUEEVAKHH-YPMHNXCESA-N -1 1 324.329 1.790 20 0 DDADMM CCCc1n[nH]cc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC001323425557 866603246 /nfs/dbraw/zinc/60/32/46/866603246.db2.gz UGZYPLFLDLKKBF-UHFFFAOYSA-N -1 1 307.272 1.588 20 0 DDADMM O=C(NCCc1ccc2c(c1)OCCO2)c1ccc([O-])cn1 ZINC001361622423 882028645 /nfs/dbraw/zinc/02/86/45/882028645.db2.gz FWIMUMLIQBUBAS-UHFFFAOYSA-N -1 1 300.314 1.531 20 0 DDADMM COCc1nc(NCCOCc2ccc(OC)cc2)cc(=O)[n-]1 ZINC001333739425 866975702 /nfs/dbraw/zinc/97/57/02/866975702.db2.gz IEKZMKAWXVNRKV-UHFFFAOYSA-N -1 1 319.361 1.966 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nn(CC)cc2Cl)n1 ZINC001361650141 882088040 /nfs/dbraw/zinc/08/80/40/882088040.db2.gz LWPKPVKCIBJKBG-UHFFFAOYSA-N -1 1 311.729 1.709 20 0 DDADMM CC(C)CO[N-]C(=O)C(=O)NC[C@@H](c1cccs1)N(C)C ZINC001321342352 867513311 /nfs/dbraw/zinc/51/33/11/867513311.db2.gz DPDIUQGOHHEMQI-NSHDSACASA-N -1 1 313.423 1.171 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCc1nc(-c2ccncc2)no1 ZINC001334404139 867544164 /nfs/dbraw/zinc/54/41/64/867544164.db2.gz DRZPKUGCNCZMOQ-UHFFFAOYSA-N -1 1 314.305 1.440 20 0 DDADMM CCNC(=O)c1ccc(C)c(NC(=O)c2cnncc2[O-])c1 ZINC001361653204 882095651 /nfs/dbraw/zinc/09/56/51/882095651.db2.gz UZEBHQSKRNVCGE-UHFFFAOYSA-N -1 1 300.318 1.493 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2cc(Cl)ncc2[O-])CCS1 ZINC001233038369 886748761 /nfs/dbraw/zinc/74/87/61/886748761.db2.gz SILCUPUBVSKTMP-NSHDSACASA-N -1 1 316.810 1.921 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H](C)n1ccnc1 ZINC001321832417 867821824 /nfs/dbraw/zinc/82/18/24/867821824.db2.gz OFRGVTOFZANNLE-NSHDSACASA-N -1 1 314.349 1.126 20 0 DDADMM CC(C)CO[N-]C(=O)C(=O)N[C@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC001321902122 867863956 /nfs/dbraw/zinc/86/39/56/867863956.db2.gz KLGGGMCDIYZOJY-HNNXBMFYSA-N -1 1 319.405 1.081 20 0 DDADMM CC(C)CO[N-]C(=O)C(=O)N[C@H]1CCN(Cc2ccccc2)C1 ZINC001321902122 867863968 /nfs/dbraw/zinc/86/39/68/867863968.db2.gz KLGGGMCDIYZOJY-HNNXBMFYSA-N -1 1 319.405 1.081 20 0 DDADMM CCOc1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cn1 ZINC001322172959 868023702 /nfs/dbraw/zinc/02/37/02/868023702.db2.gz ZNUYFTMIKYALRV-CQSZACIVSA-N -1 1 324.344 1.513 20 0 DDADMM Cc1nc2c(c(NCC[N-]C(=O)C(F)(F)F)n1)CCCC2 ZINC001164244318 869050261 /nfs/dbraw/zinc/05/02/61/869050261.db2.gz GEXFZCHZLYAOCP-UHFFFAOYSA-N -1 1 302.300 1.754 20 0 DDADMM Cc1cc(=O)[nH]c(C(C)(C)NC(=O)C(=O)c2ccc([O-])cc2)n1 ZINC001164262674 869071659 /nfs/dbraw/zinc/07/16/59/869071659.db2.gz VLRNMFJXRITCSL-UHFFFAOYSA-N -1 1 315.329 1.430 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CC1)c1cnc(Cl)cc1Cl ZINC001337169668 869359928 /nfs/dbraw/zinc/35/99/28/869359928.db2.gz MLTXANQUVJLOJZ-MRVPVSSYSA-N -1 1 311.190 1.438 20 0 DDADMM CC(C)CNC(=O)[C@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001361751027 882295037 /nfs/dbraw/zinc/29/50/37/882295037.db2.gz MUGVMLQBSYHDJE-GFCCVEGCSA-N -1 1 309.391 1.075 20 0 DDADMM O=C([O-])c1ccc(CN2CC[C@@H]3C[C@@]32C(=O)N2CC=CC2)cc1 ZINC001277109993 882296256 /nfs/dbraw/zinc/29/62/56/882296256.db2.gz PLQAMJIJZRQIEX-QAPCUYQASA-N -1 1 312.369 1.748 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H](Oc2nc(=O)[n-]cc2C(C)=O)C1 ZINC001226238673 882299789 /nfs/dbraw/zinc/29/97/89/882299789.db2.gz WMWWQAVADWNNRZ-GHMZBOCLSA-N -1 1 308.334 1.886 20 0 DDADMM O=C(/C=C\c1ccc(C(=O)[O-])o1)NCCCCN1CCOCC1 ZINC001339150463 870370278 /nfs/dbraw/zinc/37/02/78/870370278.db2.gz DAEUKWMVAZJQRY-XQRVVYSFSA-N -1 1 322.361 1.220 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N(C)Cc1cccc(C)n1 ZINC001339439909 870499167 /nfs/dbraw/zinc/49/91/67/870499167.db2.gz DGTAPUDJUNNJEW-UHFFFAOYSA-N -1 1 313.365 1.734 20 0 DDADMM Cc1ccc([C@@H]2C[C@H](C)N(c3nnc(-c4nnn[n-]4)n3C)C2)cc1 ZINC001339545124 870550388 /nfs/dbraw/zinc/55/03/88/870550388.db2.gz YCYPTLOVHIZGDW-WCQYABFASA-N -1 1 324.392 1.686 20 0 DDADMM Cc1ccc([C@@H]2C[C@H](C)N(c3nnc(-c4nn[n-]n4)n3C)C2)cc1 ZINC001339545124 870550404 /nfs/dbraw/zinc/55/04/04/870550404.db2.gz YCYPTLOVHIZGDW-WCQYABFASA-N -1 1 324.392 1.686 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccn(CC(C)C)n2)n1 ZINC001361815440 882426214 /nfs/dbraw/zinc/42/62/14/882426214.db2.gz PMBFPRRMEDLOQX-UHFFFAOYSA-N -1 1 305.338 1.691 20 0 DDADMM C[C@@H](O)c1cn(CC(=O)Nc2cc(F)c([O-])cc2Cl)nn1 ZINC001304284011 871206870 /nfs/dbraw/zinc/20/68/70/871206870.db2.gz HJVFCJNCIYTYQG-ZCFIWIBFSA-N -1 1 314.704 1.468 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cc(F)cc(OC)c1 ZINC001341109054 871505775 /nfs/dbraw/zinc/50/57/75/871505775.db2.gz BVIXRFUUNAMLSD-UHFFFAOYSA-N -1 1 307.343 1.239 20 0 DDADMM CC(C)(C)CC[C@H](CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC001309440971 871573748 /nfs/dbraw/zinc/57/37/48/871573748.db2.gz PPKDUOPINOHDDB-SECBINFHSA-N -1 1 322.377 1.825 20 0 DDADMM c1ccc(CCn2c(Cc3nnn[n-]3)nnc2N2CCCC2)cc1 ZINC001342382922 872184491 /nfs/dbraw/zinc/18/44/91/872184491.db2.gz DBAGQKXTDJRLRO-UHFFFAOYSA-N -1 1 324.392 1.225 20 0 DDADMM c1ccc(CCn2c(Cc3nn[n-]n3)nnc2N2CCCC2)cc1 ZINC001342382922 872184498 /nfs/dbraw/zinc/18/44/98/872184498.db2.gz DBAGQKXTDJRLRO-UHFFFAOYSA-N -1 1 324.392 1.225 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)[C@@H]1CCc2ccccc21 ZINC001342590653 872281179 /nfs/dbraw/zinc/28/11/79/872281179.db2.gz KXDIKHBGZCRBRO-GFCCVEGCSA-N -1 1 310.365 1.602 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)[C@@H]1CCc2ccccc21 ZINC001342590653 872281198 /nfs/dbraw/zinc/28/11/98/872281198.db2.gz KXDIKHBGZCRBRO-GFCCVEGCSA-N -1 1 310.365 1.602 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318843706 872298581 /nfs/dbraw/zinc/29/85/81/872298581.db2.gz SDUYVACXGGQTEL-OLZOCXBDSA-N -1 1 323.441 1.521 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)c1cccc(C)c1C ZINC001342698674 872338673 /nfs/dbraw/zinc/33/86/73/872338673.db2.gz BYRAOAPWASUTHP-UHFFFAOYSA-N -1 1 312.381 1.787 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)c1cccc(C)c1C ZINC001342698674 872338693 /nfs/dbraw/zinc/33/86/93/872338693.db2.gz BYRAOAPWASUTHP-UHFFFAOYSA-N -1 1 312.381 1.787 20 0 DDADMM O=c1cc(O[C@H]2CCc3cccnc32)nc(N2CCOCC2)[n-]1 ZINC001226722841 882613296 /nfs/dbraw/zinc/61/32/96/882613296.db2.gz PHSSCQBVFQQUFF-LBPRGKRZSA-N -1 1 314.345 1.480 20 0 DDADMM CC1(C(=O)OCCOCC[N-]C(=O)C(F)(F)F)CC=CC1 ZINC001343182568 872527064 /nfs/dbraw/zinc/52/70/64/872527064.db2.gz JIAJIUUNKPCHTF-UHFFFAOYSA-N -1 1 309.284 1.581 20 0 DDADMM CCCC[C@@H](CC)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207450486 873283279 /nfs/dbraw/zinc/28/32/79/873283279.db2.gz GVQJXSRGYGDGMP-CHWSQXEVSA-N -1 1 323.441 1.807 20 0 DDADMM O=C(c1c(Cl)ccnc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001348149116 874380236 /nfs/dbraw/zinc/38/02/36/874380236.db2.gz LBFQZNQIMBDDHY-SSDOTTSWSA-N -1 1 310.720 1.407 20 0 DDADMM Cc1cc(Cl)nc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)c1 ZINC001348174707 874401388 /nfs/dbraw/zinc/40/13/88/874401388.db2.gz ASOWXCJBXPBUGE-SNVBAGLBSA-N -1 1 320.784 1.651 20 0 DDADMM CC1(C)OC[C@H](COc2[n-]c(=O)ncc2Br)O1 ZINC001227133130 882864485 /nfs/dbraw/zinc/86/44/85/882864485.db2.gz FQWHSPGICZSTPE-LURJTMIESA-N -1 1 305.128 1.475 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@@H](OCC2CC2)C1 ZINC001349456532 875184664 /nfs/dbraw/zinc/18/46/64/875184664.db2.gz ULJRISXGVAIYCD-LLVKDONJSA-N -1 1 323.418 1.811 20 0 DDADMM Cc1c(NC(=O)c2cnc(C3CC3)[n-]c2=O)cccc1C(N)=O ZINC001362045429 882907586 /nfs/dbraw/zinc/90/75/86/882907586.db2.gz ULXZRGYNTLLDJO-UHFFFAOYSA-N -1 1 312.329 1.719 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cncc(N)c2)ccc1N(C)C ZINC001210041767 875205007 /nfs/dbraw/zinc/20/50/07/875205007.db2.gz SDDIIIZCANTVQC-UHFFFAOYSA-N -1 1 306.391 1.839 20 0 DDADMM COc1cc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)c(OC)cn1 ZINC001210309183 875322569 /nfs/dbraw/zinc/32/25/69/875322569.db2.gz YGLXCJIYLXVBCW-UHFFFAOYSA-N -1 1 320.309 1.802 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)OCc1ccncc1)c1nn[n-]n1 ZINC001362051351 882919527 /nfs/dbraw/zinc/91/95/27/882919527.db2.gz KQXMPXGTHZNKCC-ZYHUDNBSSA-N -1 1 304.354 1.157 20 0 DDADMM NC(=O)N1CC[C@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC001362054781 882926510 /nfs/dbraw/zinc/92/65/10/882926510.db2.gz RKRLFFPSVHHHFT-JTQLQIEISA-N -1 1 315.329 1.134 20 0 DDADMM O=S(=O)([N-]Cc1cn(-c2ccccc2)nn1)c1ccns1 ZINC001210702272 875494421 /nfs/dbraw/zinc/49/44/21/875494421.db2.gz JWXCVAILTSUTLC-UHFFFAOYSA-N -1 1 321.387 1.202 20 0 DDADMM O=C(c1cc(Cl)ncc1F)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001350427628 875681419 /nfs/dbraw/zinc/68/14/19/875681419.db2.gz BBQYQSDAGXGWFK-QMMMGPOBSA-N -1 1 324.747 1.482 20 0 DDADMM O=C(c1ccc2cc[nH]c2c1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001350429324 875683865 /nfs/dbraw/zinc/68/38/65/875683865.db2.gz OLRROTDWZOAIJO-NSHDSACASA-N -1 1 310.361 1.776 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cccc(Cl)c1 ZINC001378838924 875743719 /nfs/dbraw/zinc/74/37/19/875743719.db2.gz BSVFBACINMRRLF-SECBINFHSA-N -1 1 323.784 1.414 20 0 DDADMM COC(=O)c1ccc(OC)c(Nc2cc(=O)[n-]c(N(C)C)n2)c1 ZINC001213241072 875858363 /nfs/dbraw/zinc/85/83/63/875858363.db2.gz YKFFXDTWLVCJJR-UHFFFAOYSA-N -1 1 318.333 1.787 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1ccsn1 ZINC001381960353 883062638 /nfs/dbraw/zinc/06/26/38/883062638.db2.gz HHVHSRWADKNKJO-VIFPVBQESA-N -1 1 320.374 1.134 20 0 DDADMM Cc1ccnn1-c1ccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)cc1 ZINC001352596688 876823555 /nfs/dbraw/zinc/82/35/55/876823555.db2.gz TXIXOKJGXZYLLS-ZDUSSCGKSA-N -1 1 323.360 1.324 20 0 DDADMM CCc1cc(Nc2cnc(OC)c([N-]S(C)(=O)=O)c2)on1 ZINC001216152672 876880916 /nfs/dbraw/zinc/88/09/16/876880916.db2.gz ZIAXIZSHHNETFH-UHFFFAOYSA-N -1 1 312.351 1.756 20 0 DDADMM CCOC(=O)c1ccc([N-]c2nsnc2C(=O)OC)cn1 ZINC001216610334 876996678 /nfs/dbraw/zinc/99/66/78/876996678.db2.gz SHPLVVCJTIKXBO-UHFFFAOYSA-N -1 1 308.319 1.640 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2CC[C@@H]1[C@@H]2Oc1nc(=O)[nH]c(=O)[n-]1 ZINC001227570052 883086044 /nfs/dbraw/zinc/08/60/44/883086044.db2.gz IBLZJVDENRDYPB-IWSPIJDZSA-N -1 1 324.337 1.060 20 0 DDADMM Cn1c(-c2nc(Cl)n[n-]2)nnc1N1CC[C@@H](c2ccco2)C1 ZINC001355325304 878501253 /nfs/dbraw/zinc/50/12/53/878501253.db2.gz IVJYGRYCLOOSDC-MRVPVSSYSA-N -1 1 319.756 1.841 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1(C2CC2)CC1 ZINC001380068188 878709697 /nfs/dbraw/zinc/70/96/97/878709697.db2.gz CLSPDKUUYJMVPZ-NSHDSACASA-N -1 1 321.425 1.321 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H](C)NC(=O)C1CCCCC1 ZINC001380375528 879497281 /nfs/dbraw/zinc/49/72/81/879497281.db2.gz HTUZMBDOMRKDNF-VXGBXAGGSA-N -1 1 319.405 1.991 20 0 DDADMM O=C(CCC1CCCC1)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001380839815 880499999 /nfs/dbraw/zinc/49/99/99/880499999.db2.gz HKHSMCJDBVBCHH-UHFFFAOYSA-N -1 1 321.425 1.609 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@]2(CC[C@@H]2OCc2nn[n-]n2)C1 ZINC001223029480 880790698 /nfs/dbraw/zinc/79/06/98/880790698.db2.gz MBNAWHVLYSDUPZ-HZMBPMFUSA-N -1 1 309.370 1.506 20 0 DDADMM COC(=O)NCc1ccc(NC(=O)c2ccc([O-])cn2)cc1 ZINC001362158137 883176128 /nfs/dbraw/zinc/17/61/28/883176128.db2.gz GILHPKAKYUDIGT-UHFFFAOYSA-N -1 1 301.302 1.896 20 0 DDADMM CC(C)[C@H](F)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228069278 883316842 /nfs/dbraw/zinc/31/68/42/883316842.db2.gz IRFZEYTYBJJGEB-FOGDFJRCSA-N -1 1 313.377 1.021 20 0 DDADMM COc1cc(CNC(=O)c2cnc(SC)[n-]c2=O)ccc1O ZINC001362263828 883436245 /nfs/dbraw/zinc/43/62/45/883436245.db2.gz OULWSOIASQHJDI-UHFFFAOYSA-N -1 1 321.358 1.548 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H]3COCC[C@@H]32)c(=O)[n-]1 ZINC001362287731 883486152 /nfs/dbraw/zinc/48/61/52/883486152.db2.gz RIGNWQJVZWIYMY-ONGXEEELSA-N -1 1 309.391 1.545 20 0 DDADMM C[C@@H](Oc1cnnc(=S)[n-]1)C1CN(C(=O)OC(C)(C)C)C1 ZINC001228639169 883586392 /nfs/dbraw/zinc/58/63/92/883586392.db2.gz JZTOYXFRTABAJK-MRVPVSSYSA-N -1 1 312.395 1.795 20 0 DDADMM O=C1O[C@@H](COc2cnnc(=S)[n-]2)CN1c1cccc(F)c1 ZINC001228642370 883589012 /nfs/dbraw/zinc/58/90/12/883589012.db2.gz OTCUFORIXGYACF-SNVBAGLBSA-N -1 1 322.321 1.704 20 0 DDADMM CC[C@H](C)Oc1cc(CNC(=O)CCCc2nn[n-]n2)ccn1 ZINC001362360157 883643343 /nfs/dbraw/zinc/64/33/43/883643343.db2.gz ZRMVVNGKWUAZSW-NSHDSACASA-N -1 1 318.381 1.411 20 0 DDADMM O=C(N[C@@H]1CC(=O)N(C2CCCCC2)C1)c1ccc([O-])cn1 ZINC001362475366 883895233 /nfs/dbraw/zinc/89/52/33/883895233.db2.gz SJXQURHAQFSJPI-LLVKDONJSA-N -1 1 303.362 1.451 20 0 DDADMM COC(=O)c1c[n-]c(=O)nc1OC1CC(OC(=O)C(C)(C)C)C1 ZINC001229872277 884204405 /nfs/dbraw/zinc/20/44/05/884204405.db2.gz HTAXSCJUYQZNGO-UHFFFAOYSA-N -1 1 324.333 1.468 20 0 DDADMM CCOC(=O)N1CCC[C@@H](Oc2nc(C)[n-]c(=O)c2OC)C1 ZINC001230021513 884281255 /nfs/dbraw/zinc/28/12/55/884281255.db2.gz NHKRTGAOAQJNPV-SNVBAGLBSA-N -1 1 311.338 1.499 20 0 DDADMM COC(=O)[C@H](Cc1ccccc1)Oc1nc(C)[n-]c(=O)c1OC ZINC001230021664 884281570 /nfs/dbraw/zinc/28/15/70/884281570.db2.gz ODONGXOYFZUAKM-LBPRGKRZSA-N -1 1 318.329 1.662 20 0 DDADMM CSc1ncc(C(=O)N[C@]2(C)CCO[C@H]2C2CC2)c(=O)[n-]1 ZINC001362644939 884307004 /nfs/dbraw/zinc/30/70/04/884307004.db2.gz BAEZWOFHKDLEJY-IINYFYTJSA-N -1 1 309.391 1.592 20 0 DDADMM O=C(N[C@H]1CC(=O)N(c2ccccc2F)C1)c1ccc([O-])cn1 ZINC001362649166 884317015 /nfs/dbraw/zinc/31/70/15/884317015.db2.gz MIMJLPPWVLJKDC-JTQLQIEISA-N -1 1 315.304 1.462 20 0 DDADMM Cc1nnc(CC(=O)Nc2ccc(Br)c([O-])c2)[nH]1 ZINC001362675133 884385239 /nfs/dbraw/zinc/38/52/39/884385239.db2.gz BGBPGDUDHWNJSK-UHFFFAOYSA-N -1 1 311.139 1.762 20 0 DDADMM COC(=O)C(C)(C)N1CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001362685140 884411641 /nfs/dbraw/zinc/41/16/41/884411641.db2.gz DNQAMOPRUIHYEM-UHFFFAOYSA-N -1 1 324.352 1.241 20 0 DDADMM CCC(C)(CC)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001230310456 884424513 /nfs/dbraw/zinc/42/45/13/884424513.db2.gz VJFRLCLNKPNARX-UHFFFAOYSA-N -1 1 323.441 1.015 20 0 DDADMM Cn1cc([C@@H]2CN(C(=O)c3ccc(F)c([O-])c3)CCN2)cn1 ZINC001362743135 884530731 /nfs/dbraw/zinc/53/07/31/884530731.db2.gz VSPLPFZROMZLRE-ZDUSSCGKSA-N -1 1 304.325 1.052 20 0 DDADMM CC(C)(C)OC(=O)[C@@]1(C)CCN(C(=O)CCCc2nn[n-]n2)C1 ZINC001362799581 884672905 /nfs/dbraw/zinc/67/29/05/884672905.db2.gz ORWGRVJRZOSCME-HNNXBMFYSA-N -1 1 323.397 1.103 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1CCC(=O)c2ccccc21 ZINC001362835461 884758802 /nfs/dbraw/zinc/75/88/02/884758802.db2.gz MINKOHYVRGINNY-LBPRGKRZSA-N -1 1 311.345 1.455 20 0 DDADMM CC(C)(CO)[C@H](NC(=O)c1ccc([O-])cn1)c1cccnc1 ZINC001362847263 884783947 /nfs/dbraw/zinc/78/39/47/884783947.db2.gz MWTMFAWJVFBOIS-CQSZACIVSA-N -1 1 301.346 1.672 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1nocc1C ZINC001362884855 884883459 /nfs/dbraw/zinc/88/34/59/884883459.db2.gz BFYZZUODZSRETH-VIFPVBQESA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1nocc1C ZINC001362884855 884883465 /nfs/dbraw/zinc/88/34/65/884883465.db2.gz BFYZZUODZSRETH-VIFPVBQESA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1nocc1C ZINC001362884855 884883474 /nfs/dbraw/zinc/88/34/74/884883474.db2.gz BFYZZUODZSRETH-VIFPVBQESA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1cc(C)on1 ZINC001362892093 884902721 /nfs/dbraw/zinc/90/27/21/884902721.db2.gz XGMBHAAQYIIAQX-SNVBAGLBSA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1cc(C)on1 ZINC001362892093 884902739 /nfs/dbraw/zinc/90/27/39/884902739.db2.gz XGMBHAAQYIIAQX-SNVBAGLBSA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1cc(C)on1 ZINC001362892093 884902752 /nfs/dbraw/zinc/90/27/52/884902752.db2.gz XGMBHAAQYIIAQX-SNVBAGLBSA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC[C@@H]2CC[C@@H](C)O2)n[n-]1 ZINC001362939737 885038555 /nfs/dbraw/zinc/03/85/55/885038555.db2.gz URGUUBHUXZPESK-VWYCJHECSA-N -1 1 324.381 1.506 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC[C@@H]2CC[C@@H](C)O2)[n-]1 ZINC001362939737 885038563 /nfs/dbraw/zinc/03/85/63/885038563.db2.gz URGUUBHUXZPESK-VWYCJHECSA-N -1 1 324.381 1.506 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC[C@@H]2CC[C@@H](C)O2)n1 ZINC001362939737 885038572 /nfs/dbraw/zinc/03/85/72/885038572.db2.gz URGUUBHUXZPESK-VWYCJHECSA-N -1 1 324.381 1.506 20 0 DDADMM Nc1nnc(CC(=O)NCCc2c(F)cc([O-])cc2F)s1 ZINC001362968234 885109313 /nfs/dbraw/zinc/10/93/13/885109313.db2.gz FKEKOUNJRYNFOF-UHFFFAOYSA-N -1 1 314.317 1.006 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)CO1 ZINC001362971632 885116710 /nfs/dbraw/zinc/11/67/10/885116710.db2.gz PKUHOMIHAOIIQI-YTWAJWBKSA-N -1 1 322.327 1.469 20 0 DDADMM CC(C)(C)c1nc[nH]c1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001363017038 885239998 /nfs/dbraw/zinc/23/99/98/885239998.db2.gz IJLPEPRIAZSJFE-VIFPVBQESA-N -1 1 319.365 1.816 20 0 DDADMM C[S@](=N)(=O)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001363017958 885242029 /nfs/dbraw/zinc/24/20/29/885242029.db2.gz PNAPYPLQQUZAOR-NRFANRHFSA-N -1 1 308.367 1.197 20 0 DDADMM O=C(c1ccc([O-])cc1)c1ccccc1OC1CS(=O)(=O)C1 ZINC001231229800 885405523 /nfs/dbraw/zinc/40/55/23/885405523.db2.gz FRMMEICCFZBXLY-UHFFFAOYSA-N -1 1 318.350 1.799 20 0 DDADMM COc1cc(CCNC(=O)c2cnc(SC)[n-]c2=O)ccn1 ZINC001363110145 885488053 /nfs/dbraw/zinc/48/80/53/885488053.db2.gz NJLWZFBFWFUNRO-UHFFFAOYSA-N -1 1 320.374 1.280 20 0 DDADMM O=C(N[C@H]1Cc2ccccc2NC1=O)c1cnc(C2CC2)[n-]c1=O ZINC001363132575 885546707 /nfs/dbraw/zinc/54/67/07/885546707.db2.gz DKCPAUHBMMPWAJ-ZDUSSCGKSA-N -1 1 324.340 1.353 20 0 DDADMM CCCOCC(=O)N[C@@H](C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001288516629 912949263 /nfs/dbraw/zinc/94/92/63/912949263.db2.gz YZTWOCMTZFWBLT-RYUDHWBXSA-N -1 1 323.393 1.227 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)c2ccc(C(=O)OC)o2)n1 ZINC001363183758 885661703 /nfs/dbraw/zinc/66/17/03/885661703.db2.gz FKVRMNDHCKEFLZ-UHFFFAOYSA-N -1 1 324.314 1.551 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@]1(C)CCC(=O)N1 ZINC001363215955 885733874 /nfs/dbraw/zinc/73/38/74/885733874.db2.gz XWLUNTMASUHXJT-MRXNPFEDSA-N -1 1 320.393 1.187 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ncoc2C(C)C)n[n-]1 ZINC001363229704 885769988 /nfs/dbraw/zinc/76/99/88/885769988.db2.gz DBMYKMBFVKZGLM-QMMMGPOBSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ncoc2C(C)C)[n-]1 ZINC001363229704 885769996 /nfs/dbraw/zinc/76/99/96/885769996.db2.gz DBMYKMBFVKZGLM-QMMMGPOBSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ncoc2C(C)C)n1 ZINC001363229704 885770009 /nfs/dbraw/zinc/77/00/09/885770009.db2.gz DBMYKMBFVKZGLM-QMMMGPOBSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccn(CC)c2C)n[n-]1 ZINC001363232861 885777295 /nfs/dbraw/zinc/77/72/95/885777295.db2.gz UTKICMSOFNRJKR-SECBINFHSA-N -1 1 319.365 1.602 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccn(CC)c2C)[n-]1 ZINC001363232861 885777310 /nfs/dbraw/zinc/77/73/10/885777310.db2.gz UTKICMSOFNRJKR-SECBINFHSA-N -1 1 319.365 1.602 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccn(CC)c2C)n1 ZINC001363232861 885777322 /nfs/dbraw/zinc/77/73/22/885777322.db2.gz UTKICMSOFNRJKR-SECBINFHSA-N -1 1 319.365 1.602 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@H]1CCCC1(F)F ZINC001363240948 885798194 /nfs/dbraw/zinc/79/81/94/885798194.db2.gz SUUSPTFEGVWBEQ-SSDOTTSWSA-N -1 1 317.292 1.452 20 0 DDADMM COC(=O)CN(CC(=O)OC)Cc1ccc(Cl)c([O-])c1 ZINC001231733852 885840626 /nfs/dbraw/zinc/84/06/26/885840626.db2.gz JGLSSBOSOJNKDD-UHFFFAOYSA-N -1 1 301.726 1.194 20 0 DDADMM COc1ccc(CN2CCC(=O)N3CCC[C@@H]3C2)cc1C(=O)[O-] ZINC001231755902 885859092 /nfs/dbraw/zinc/85/90/92/885859092.db2.gz DBLCAULIZFAPFR-CYBMUJFWSA-N -1 1 318.373 1.590 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cccc2c1OCCCO2 ZINC001363276502 885885831 /nfs/dbraw/zinc/88/58/31/885885831.db2.gz DLIUJEUINKBOBU-UHFFFAOYSA-N -1 1 315.333 1.170 20 0 DDADMM NC(=O)OC[C@@H](Cc1ccccc1)NC(=O)c1ncccc1[O-] ZINC001363307872 885975429 /nfs/dbraw/zinc/97/54/29/885975429.db2.gz FZPFJGNOGZPSFL-GFCCVEGCSA-N -1 1 315.329 1.638 20 0 DDADMM C[C@@H](CC(=O)NCc1nc([O-])cc(=O)[nH]1)C(=O)c1ccccc1 ZINC001363328738 886038587 /nfs/dbraw/zinc/03/85/87/886038587.db2.gz RTXLXOLZWJUURQ-JTQLQIEISA-N -1 1 315.329 1.413 20 0 DDADMM CN(C[C@H]1CCN(C(=O)CCCF)C1)C(=O)c1ncccc1[O-] ZINC001374482378 913007052 /nfs/dbraw/zinc/00/70/52/913007052.db2.gz NZFFEADIWRCLOY-GFCCVEGCSA-N -1 1 323.368 1.457 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)C1CSC1 ZINC001363399418 886227585 /nfs/dbraw/zinc/22/75/85/886227585.db2.gz JGOCBFXRBQQITB-SECBINFHSA-N -1 1 310.379 1.008 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)C1CSC1 ZINC001363399418 886227606 /nfs/dbraw/zinc/22/76/06/886227606.db2.gz JGOCBFXRBQQITB-SECBINFHSA-N -1 1 310.379 1.008 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)C1CSC1 ZINC001363399418 886227626 /nfs/dbraw/zinc/22/76/26/886227626.db2.gz JGOCBFXRBQQITB-SECBINFHSA-N -1 1 310.379 1.008 20 0 DDADMM COC[C@H](NC(=O)c1cnc(CC(C)(C)C)s1)c1nn[n-]n1 ZINC001363410838 886263891 /nfs/dbraw/zinc/26/38/91/886263891.db2.gz FZYLKNIWLOSPQI-QMMMGPOBSA-N -1 1 324.410 1.362 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)c2cncc(F)c2)c(=O)[n-]1 ZINC001363413928 886273959 /nfs/dbraw/zinc/27/39/59/886273959.db2.gz KJYJXVGGAURBNG-ZETCQYMHSA-N -1 1 308.338 1.929 20 0 DDADMM Cc1nc(CN2CCN(Cc3ccc([O-])c(F)c3F)CC2)no1 ZINC001363424394 886301495 /nfs/dbraw/zinc/30/14/95/886301495.db2.gz CRMABFOOCPJJBH-UHFFFAOYSA-N -1 1 324.331 1.680 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)no1 ZINC001363437870 886335765 /nfs/dbraw/zinc/33/57/65/886335765.db2.gz YTWOMCITAUGOAK-SNVBAGLBSA-N -1 1 318.381 1.580 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2sc(C)nc2C)n[n-]1 ZINC001363477099 886444441 /nfs/dbraw/zinc/44/44/41/886444441.db2.gz WLQUNPAFOAMGFH-ZETCQYMHSA-N -1 1 323.378 1.546 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2sc(C)nc2C)[n-]1 ZINC001363477099 886444452 /nfs/dbraw/zinc/44/44/52/886444452.db2.gz WLQUNPAFOAMGFH-ZETCQYMHSA-N -1 1 323.378 1.546 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2sc(C)nc2C)n1 ZINC001363477099 886444458 /nfs/dbraw/zinc/44/44/58/886444458.db2.gz WLQUNPAFOAMGFH-ZETCQYMHSA-N -1 1 323.378 1.546 20 0 DDADMM COc1ccnc(CNC(=O)c2cnc(SC)[n-]c2=O)c1F ZINC001363480634 886451233 /nfs/dbraw/zinc/45/12/33/886451233.db2.gz SRLWIBNXRGPZKA-UHFFFAOYSA-N -1 1 324.337 1.377 20 0 DDADMM O=C(N[C@H]1Cc2ccccc2NC1=O)c1ccc(F)c([O-])c1 ZINC001363503775 886503321 /nfs/dbraw/zinc/50/33/21/886503321.db2.gz JXSSFMPUDGYMNQ-ZDUSSCGKSA-N -1 1 300.289 1.825 20 0 DDADMM Cc1noc(C)c1[C@H](C)CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363520283 886543330 /nfs/dbraw/zinc/54/33/30/886543330.db2.gz YJFVWPGTQYFWOJ-SECBINFHSA-N -1 1 318.381 1.704 20 0 DDADMM CSCC[C@H](NC(=O)c1cccc(O)c1F)c1nn[n-]n1 ZINC001363529027 886552102 /nfs/dbraw/zinc/55/21/02/886552102.db2.gz KWHSXEMLNYWPLF-QMMMGPOBSA-N -1 1 311.342 1.269 20 0 DDADMM Cc1nc(C2C[C@H]3CC[C@@H](C2)N3C(=O)c2cnncc2[O-])no1 ZINC001363540811 886586972 /nfs/dbraw/zinc/58/69/72/886586972.db2.gz UTAUKRYJGMAHKX-FGWVZKOKSA-N -1 1 315.333 1.424 20 0 DDADMM O=C(CCn1ccccc1=O)NCc1ccc([O-])c(Cl)c1 ZINC001363558532 886633602 /nfs/dbraw/zinc/63/36/02/886633602.db2.gz MQOYPRUNOIOADA-UHFFFAOYSA-N -1 1 306.749 1.914 20 0 DDADMM COC[C@@H](NC(=O)[C@@H](C)Cc1cccc(Cl)c1)c1nn[n-]n1 ZINC001363585003 886697344 /nfs/dbraw/zinc/69/73/44/886697344.db2.gz GGIWSCBLDUCZAT-JOYOIKCWSA-N -1 1 323.784 1.536 20 0 DDADMM O=C(c1onc2c1CCCC2)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363586863 886702627 /nfs/dbraw/zinc/70/26/27/886702627.db2.gz ZIYXUMKMIYPQLP-JTQLQIEISA-N -1 1 316.365 1.161 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](CO)C[C@@H]1CCCO1 ZINC001363596835 886726317 /nfs/dbraw/zinc/72/63/17/886726317.db2.gz ZTEPOJVFRGSXMB-RYUDHWBXSA-N -1 1 323.393 1.274 20 0 DDADMM COc1cnc(C2(NC(=O)c3cncn3C)CCCC2)[n-]c1=O ZINC001363657790 886903886 /nfs/dbraw/zinc/90/38/86/886903886.db2.gz UFTDZLIERSXHNA-UHFFFAOYSA-N -1 1 317.349 1.124 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CO[C@H]2c2ccccc2)c(=O)[n-]1 ZINC001363667921 886931792 /nfs/dbraw/zinc/93/17/92/886931792.db2.gz QRJDTFYIHHWZAR-NEPJUHHUSA-N -1 1 317.370 1.774 20 0 DDADMM CC(C)c1ccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c(=O)[nH]1 ZINC001363728448 887083602 /nfs/dbraw/zinc/08/36/02/887083602.db2.gz XGHYIFRHENOCDU-VIFPVBQESA-N -1 1 302.338 1.053 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@H](Oc2c(=O)[n-]cnc2C(=O)OC)C1 ZINC001233758332 887285109 /nfs/dbraw/zinc/28/51/09/887285109.db2.gz JQQQVSGIDCEDHW-ZJUUUORDSA-N -1 1 324.333 1.470 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccc(=O)[nH]c2)[n-]c1=O ZINC001363846789 887382096 /nfs/dbraw/zinc/38/20/96/887382096.db2.gz HYCCEUYAIVXIIR-SNVBAGLBSA-N -1 1 316.317 1.269 20 0 DDADMM Cc1noc(C2(NC(=O)c3cnc(C4CC4)[n-]c3=O)CC2)n1 ZINC001363918169 887538284 /nfs/dbraw/zinc/53/82/84/887538284.db2.gz YEGZEGWWQYOIKF-UHFFFAOYSA-N -1 1 301.306 1.170 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)C(C)(C)F ZINC001384500124 887581005 /nfs/dbraw/zinc/58/10/05/887581005.db2.gz NPAVSJIDDVVXMF-VHSXEESVSA-N -1 1 311.357 1.548 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-]C[C@H](n1cccn1)C(F)(F)F ZINC001364004219 887706757 /nfs/dbraw/zinc/70/67/57/887706757.db2.gz AWWUBACXNHGTKW-VIFPVBQESA-N -1 1 324.284 1.094 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(=O)c1cc(C)ccc1C ZINC001364022705 887741463 /nfs/dbraw/zinc/74/14/63/887741463.db2.gz GSMJVDYHJOADAK-UHFFFAOYSA-N -1 1 323.374 1.343 20 0 DDADMM CCN(C(=O)C1CCCC1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001384598673 887748721 /nfs/dbraw/zinc/74/87/21/887748721.db2.gz YTBDIIXKVLMIQM-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM COC(=O)c1ccc(F)c(S(=O)(=O)[N-]CCC(F)F)c1 ZINC001364072796 887849721 /nfs/dbraw/zinc/84/97/21/887849721.db2.gz PRIZQJJBRMWMRJ-UHFFFAOYSA-N -1 1 311.281 1.546 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2c[nH]nc2C2CC2)n[n-]1 ZINC001364112015 887945650 /nfs/dbraw/zinc/94/56/50/887945650.db2.gz OVCRKOSDXSFLMW-ZETCQYMHSA-N -1 1 318.337 1.073 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2c[nH]nc2C2CC2)n1 ZINC001364112015 887945679 /nfs/dbraw/zinc/94/56/79/887945679.db2.gz OVCRKOSDXSFLMW-ZETCQYMHSA-N -1 1 318.337 1.073 20 0 DDADMM CCCCC(=O)[C@H](CCCC)Oc1c(C(N)=O)nc[n-]c1=O ZINC001234472501 888004295 /nfs/dbraw/zinc/00/42/95/888004295.db2.gz RWNGCEMXVCDNAN-NSHDSACASA-N -1 1 309.366 1.978 20 0 DDADMM COc1ccccc1[C@H](C)CC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001364198764 888132308 /nfs/dbraw/zinc/13/23/08/888132308.db2.gz AYXGKXWSRXMLOM-SNVBAGLBSA-N -1 1 317.345 1.706 20 0 DDADMM CO[C@@H]1CCN(CCOc2cc([O-])cc3oc(C)cc(=O)c23)C1 ZINC001234818280 888209384 /nfs/dbraw/zinc/20/93/84/888209384.db2.gz HWVLMNYTFHIHTJ-CYBMUJFWSA-N -1 1 319.357 1.907 20 0 DDADMM CC[C@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001384942191 888245727 /nfs/dbraw/zinc/24/57/27/888245727.db2.gz CRPAQDQLYCJRTH-SDDRHHMPSA-N -1 1 305.378 1.458 20 0 DDADMM CCOC(=O)c1nc([C@H](C)Nc2ncnc3c2CCC3)n[n-]1 ZINC001364565359 888937502 /nfs/dbraw/zinc/93/75/02/888937502.db2.gz ALVZXGRMMJQSQS-QMMMGPOBSA-N -1 1 302.338 1.433 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)Nc2ncnc3c2CCC3)n1 ZINC001364565359 888937515 /nfs/dbraw/zinc/93/75/15/888937515.db2.gz ALVZXGRMMJQSQS-QMMMGPOBSA-N -1 1 302.338 1.433 20 0 DDADMM O=C([O-])c1ccc(-c2ncccc2C(=O)N2CCNCC2)cc1 ZINC001236054842 889084788 /nfs/dbraw/zinc/08/47/88/889084788.db2.gz CSACEAKAVVRMQB-UHFFFAOYSA-N -1 1 311.341 1.492 20 0 DDADMM O=C1NCCN1[C@H]1CCCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001364646262 889112376 /nfs/dbraw/zinc/11/23/76/889112376.db2.gz QJHJFBDWWACYSV-NSHDSACASA-N -1 1 311.332 1.660 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1CC[C@@H]2[C@H](C1)C2(F)F ZINC001364650267 889120464 /nfs/dbraw/zinc/12/04/64/889120464.db2.gz GASUDSVGGGDVBP-MXWKQRLJSA-N -1 1 313.352 1.866 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cccc(OCC(F)F)n1 ZINC001364663325 889149584 /nfs/dbraw/zinc/14/95/84/889149584.db2.gz NZVAOXCPZWSVQG-UHFFFAOYSA-N -1 1 324.291 1.048 20 0 DDADMM CC/C=C(/C)C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001290019879 913340532 /nfs/dbraw/zinc/34/05/32/913340532.db2.gz SCHOODZMVLAFGP-DENSFDLQSA-N -1 1 317.389 1.768 20 0 DDADMM COC[C@H](NC(=O)C/C=C\c1ccc(F)cc1)c1nn[n-]n1 ZINC001364817240 889477963 /nfs/dbraw/zinc/47/79/63/889477963.db2.gz ZJVMENZBNDEFBY-DLGQBQFBSA-N -1 1 305.313 1.246 20 0 DDADMM CCCC1(CNS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCC1 ZINC001364827746 889494776 /nfs/dbraw/zinc/49/47/76/889494776.db2.gz BWWPSMNTXJWMHS-UHFFFAOYSA-N -1 1 315.395 1.445 20 0 DDADMM CCCC1(CNS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCC1 ZINC001364827746 889494784 /nfs/dbraw/zinc/49/47/84/889494784.db2.gz BWWPSMNTXJWMHS-UHFFFAOYSA-N -1 1 315.395 1.445 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCC1(OC)CCC1 ZINC001364869772 889577591 /nfs/dbraw/zinc/57/75/91/889577591.db2.gz HUOQZRYJXPBQNV-UHFFFAOYSA-N -1 1 306.409 1.389 20 0 DDADMM CC(C)(F)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001385707752 889611485 /nfs/dbraw/zinc/61/14/85/889611485.db2.gz XFAAGPDTMNSKHP-JTQLQIEISA-N -1 1 309.341 1.160 20 0 DDADMM O=S(=O)(NCc1cnc[nH]1)c1cc(C(F)(F)F)ccc1[O-] ZINC001364897851 889628195 /nfs/dbraw/zinc/62/81/95/889628195.db2.gz QQYABRBPVAYLOG-UHFFFAOYSA-N -1 1 321.280 1.613 20 0 DDADMM O=S(=O)(CC1CC[NH2+]CC1)[N-]c1c([O-])cc(F)cc1F ZINC001237616233 889759539 /nfs/dbraw/zinc/75/95/39/889759539.db2.gz LYYWBJOOWZEVQQ-UHFFFAOYSA-N -1 1 306.334 1.412 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)c2ncccc2C)c1 ZINC001364974434 889793647 /nfs/dbraw/zinc/79/36/47/889793647.db2.gz KWDHAIAIKRQZKQ-JTQLQIEISA-N -1 1 324.358 1.809 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NN3CCc4ccccc4C3)ccnc1-2 ZINC001365051832 889988765 /nfs/dbraw/zinc/98/87/65/889988765.db2.gz RJVAVLGNSAOCLW-UHFFFAOYSA-N -1 1 322.372 1.437 20 0 DDADMM CC(C)[C@H](NC(=O)c1cccs1)C(=O)Nc1c[n-][nH]c1=O ZINC001365206470 890347197 /nfs/dbraw/zinc/34/71/97/890347197.db2.gz FWKYKMGGXPUWPR-JTQLQIEISA-N -1 1 308.363 1.570 20 0 DDADMM CSc1ncc(C(=O)Nn2cnc3ccccc32)c(=O)[n-]1 ZINC001290549116 913450540 /nfs/dbraw/zinc/45/05/40/913450540.db2.gz RBTKEZPRPDDACV-UHFFFAOYSA-N -1 1 301.331 1.638 20 0 DDADMM Cc1ncc(C[N-]S(=O)(=O)c2occc2Br)o1 ZINC001365417091 890766146 /nfs/dbraw/zinc/76/61/46/890766146.db2.gz KRUHCCMVMIVWDD-UHFFFAOYSA-N -1 1 321.152 1.817 20 0 DDADMM COc1nc(-c2c(F)ccc([O-])c2F)nc(N2CCOCC2)n1 ZINC001241290372 891143124 /nfs/dbraw/zinc/14/31/24/891143124.db2.gz RAGCGSUZFTUQCE-UHFFFAOYSA-N -1 1 324.287 1.368 20 0 DDADMM O=C([O-])c1cncc(-c2ccccc2C(=O)N2CCNCC2)c1 ZINC001241803097 891273486 /nfs/dbraw/zinc/27/34/86/891273486.db2.gz PTAGCHCWBJGSTC-UHFFFAOYSA-N -1 1 311.341 1.492 20 0 DDADMM c1cc(-c2ccc(-c3nnn[n-]3)nc2)cc(N2CCOCC2)c1 ZINC001241959228 891311234 /nfs/dbraw/zinc/31/12/34/891311234.db2.gz OJBWOEZGVHBURY-UHFFFAOYSA-N -1 1 308.345 1.765 20 0 DDADMM c1cc(-c2ccc(-c3nn[n-]n3)nc2)cc(N2CCOCC2)c1 ZINC001241959228 891311249 /nfs/dbraw/zinc/31/12/49/891311249.db2.gz OJBWOEZGVHBURY-UHFFFAOYSA-N -1 1 308.345 1.765 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CC3CCC2CC3)[n-]n1 ZINC001365708660 891374770 /nfs/dbraw/zinc/37/47/70/891374770.db2.gz VURUYVQPUZPNHF-RTBKNWGFSA-N -1 1 313.379 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CC3CCC2CC3)n[n-]1 ZINC001365708660 891374783 /nfs/dbraw/zinc/37/47/83/891374783.db2.gz VURUYVQPUZPNHF-RTBKNWGFSA-N -1 1 313.379 1.053 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCC1(CSC)CC1 ZINC001365720712 891395725 /nfs/dbraw/zinc/39/57/25/891395725.db2.gz ZPUPPAGMPFAGQB-UHFFFAOYSA-N -1 1 322.477 1.963 20 0 DDADMM CN1CCN(c2nccnc2-c2cccc(C(=O)[O-])c2F)CC1 ZINC001242379206 891405377 /nfs/dbraw/zinc/40/53/77/891405377.db2.gz ZEOFNNFPCINJBG-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM COc1ncc(-c2nccc(F)c2C)cc1[N-]S(C)(=O)=O ZINC001244789221 891920635 /nfs/dbraw/zinc/92/06/35/891920635.db2.gz BGPATHJETVXCHC-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM COc1ncc(-c2nc(C)nc(C)c2C)cc1[N-]S(C)(=O)=O ZINC001244791555 891924186 /nfs/dbraw/zinc/92/41/86/891924186.db2.gz SVWVOXCHMBDPTC-UHFFFAOYSA-N -1 1 322.390 1.844 20 0 DDADMM COc1ncc(-c2nnc(C)cc2C)cc1[N-]S(C)(=O)=O ZINC001244790626 891924697 /nfs/dbraw/zinc/92/46/97/891924697.db2.gz QQAAGYVCZLQUKD-UHFFFAOYSA-N -1 1 308.363 1.536 20 0 DDADMM COc1ncc(-c2ncc(C)nc2C)cc1[N-]S(C)(=O)=O ZINC001244791261 891924732 /nfs/dbraw/zinc/92/47/32/891924732.db2.gz YTSCSXRAWMVCHV-UHFFFAOYSA-N -1 1 308.363 1.536 20 0 DDADMM COc1ncc(-c2cc(C)oc(=O)c2)cc1[N-]S(C)(=O)=O ZINC001244794258 891926958 /nfs/dbraw/zinc/92/69/58/891926958.db2.gz RIEBHLOSHZXLSV-UHFFFAOYSA-N -1 1 310.331 1.390 20 0 DDADMM COc1ncc(-c2ccnc(F)c2F)cc1[N-]S(C)(=O)=O ZINC001244792739 891928847 /nfs/dbraw/zinc/92/88/47/891928847.db2.gz GFWVRXJVIGDZTM-UHFFFAOYSA-N -1 1 315.301 1.802 20 0 DDADMM COc1ncc(-c2c[nH]cc3ncnc2-3)cc1[N-]S(C)(=O)=O ZINC001244795169 891931405 /nfs/dbraw/zinc/93/14/05/891931405.db2.gz YXPRFPPPGMYUCH-UHFFFAOYSA-N -1 1 319.346 1.400 20 0 DDADMM CCOC(=O)c1ccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)cn1 ZINC001245258630 892054976 /nfs/dbraw/zinc/05/49/76/892054976.db2.gz RIKDOESWLJYPPD-UHFFFAOYSA-N -1 1 310.309 1.738 20 0 DDADMM CC(C)[C@@H](F)C(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001387387690 892977159 /nfs/dbraw/zinc/97/71/59/892977159.db2.gz REOVBCIMUXMLOP-WCQYABFASA-N -1 1 323.368 1.502 20 0 DDADMM Cn1ncc2cc([N-]S(=O)(=O)c3cccc(N)c3)cnc21 ZINC001249667390 894091128 /nfs/dbraw/zinc/09/11/28/894091128.db2.gz UNTPTGBFMNGTPW-UHFFFAOYSA-N -1 1 303.347 1.351 20 0 DDADMM Cn1cc(-c2nc3c([n-]2)c(F)cc(F)c3F)c(=O)n(C)c1=O ZINC001251042145 894601691 /nfs/dbraw/zinc/60/16/91/894601691.db2.gz IZDHKLDQQLJPFA-UHFFFAOYSA-N -1 1 310.235 1.045 20 0 DDADMM CN(C)c1nc(NS(=O)(=O)c2ccccc2)c(N=O)c(=O)[n-]1 ZINC001251116550 894641831 /nfs/dbraw/zinc/64/18/31/894641831.db2.gz QSQZBDVZCYETCC-UHFFFAOYSA-N -1 1 323.334 1.447 20 0 DDADMM CCCC1(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001366862886 895161160 /nfs/dbraw/zinc/16/11/60/895161160.db2.gz BMXAUXCVFPFKLI-LBPRGKRZSA-N -1 1 321.425 1.371 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])C(=O)C1CC(C)C1 ZINC001388576196 895476063 /nfs/dbraw/zinc/47/60/63/895476063.db2.gz HQLXUSILKCILRU-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM CCS(=O)(=O)[N-]c1cc(C(=O)OC)c(Cl)cc1OC ZINC001253361801 895799787 /nfs/dbraw/zinc/79/97/87/895799787.db2.gz ZZCOYZGTJMRMKE-UHFFFAOYSA-N -1 1 307.755 1.897 20 0 DDADMM CC[C@@H](CNC(=O)[C@H]1CC2CCC1CC2)NCc1n[nH]c(=O)[n-]1 ZINC001367148489 896010790 /nfs/dbraw/zinc/01/07/90/896010790.db2.gz ITPUBJLMMJQALN-TYUFSLCMSA-N -1 1 321.425 1.321 20 0 DDADMM CC[C@H](OC)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C(C)C ZINC001388899896 896144838 /nfs/dbraw/zinc/14/48/38/896144838.db2.gz INSMUOXIDKRJIP-AAEUAGOBSA-N -1 1 323.393 1.083 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(C)CCC1 ZINC001388900455 896146523 /nfs/dbraw/zinc/14/65/23/896146523.db2.gz JNSGKFSCJCNMSE-GFCCVEGCSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1cc(C)c(C)c(S(=O)(=O)NCC[P@](=O)([O-])O)c1C ZINC001254172280 896285359 /nfs/dbraw/zinc/28/53/59/896285359.db2.gz ZTOASURQOYXHSE-UHFFFAOYSA-N -1 1 321.335 1.376 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccccc1C)c1ccncc1 ZINC001254661259 896555411 /nfs/dbraw/zinc/55/54/11/896555411.db2.gz ICIQDFYJUATCMJ-CQSZACIVSA-N -1 1 320.370 1.583 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)c(Cl)s2)CO1 ZINC001257515685 897871616 /nfs/dbraw/zinc/87/16/16/897871616.db2.gz OMVJJDYWWUOVNZ-SCSAIBSYSA-N -1 1 316.187 1.649 20 0 DDADMM C[C@H](Cc1cnccn1)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258949830 898376088 /nfs/dbraw/zinc/37/60/88/898376088.db2.gz VOIRUFUBIMSAMM-SECBINFHSA-N -1 1 313.329 1.664 20 0 DDADMM O=S(=O)([N-]Cc1cc(F)ccn1)c1cc(F)ccc1F ZINC001258949943 898377132 /nfs/dbraw/zinc/37/71/32/898377132.db2.gz BFFOYHWSVYCHAU-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM CC(=O)N[C@H]1C[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC001259043403 898438009 /nfs/dbraw/zinc/43/80/09/898438009.db2.gz HYQAJIUHDPRTCC-ZKCHVHJHSA-N -1 1 322.308 1.049 20 0 DDADMM CN1C(=O)CC[C@@H]1C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259043349 898438144 /nfs/dbraw/zinc/43/81/44/898438144.db2.gz WBPMFJJCLKURIY-SSDOTTSWSA-N -1 1 322.308 1.003 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2ccc3c(c2)NC(=O)C3)c1 ZINC001259189707 898516823 /nfs/dbraw/zinc/51/68/23/898516823.db2.gz YIOVJVQJRXPLDN-UHFFFAOYSA-N -1 1 318.354 1.991 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)NCC(F)F)c(F)cc1Cl ZINC001259212545 898537842 /nfs/dbraw/zinc/53/78/42/898537842.db2.gz RCXQTBDCQZIHSN-UHFFFAOYSA-N -1 1 317.672 1.721 20 0 DDADMM O=S(=O)([N-][C@H]1[C@H](O)CCC[C@@H]1O)c1cc(Cl)ccc1F ZINC001259463070 898681550 /nfs/dbraw/zinc/68/15/50/898681550.db2.gz ONQDZRFTRNRSLQ-IAZYJMLFSA-N -1 1 323.773 1.032 20 0 DDADMM CCOC(=O)Cc1cccc([N-]S(=O)(=O)C2CCCC2)n1 ZINC001259794414 898808623 /nfs/dbraw/zinc/80/86/23/898808623.db2.gz YFPLNWWRGZXIAU-UHFFFAOYSA-N -1 1 312.391 1.872 20 0 DDADMM CC(C)c1nc(C[N-]S(=O)(=O)CCC(F)(F)F)no1 ZINC001259874465 898884650 /nfs/dbraw/zinc/88/46/50/898884650.db2.gz VYTCOJQLUQXQIF-UHFFFAOYSA-N -1 1 301.290 1.565 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCC[C@@H]1[N-]S(=O)(=O)C(F)F ZINC001259961482 898983327 /nfs/dbraw/zinc/98/33/27/898983327.db2.gz KDIBKSNNYUBQIM-YUMQZZPRSA-N -1 1 314.354 1.574 20 0 DDADMM O=S(=O)([N-]CCc1ccc(Br)cn1)C(F)F ZINC001259962292 898984615 /nfs/dbraw/zinc/98/46/15/898984615.db2.gz FSBAWNVPGYMMDH-UHFFFAOYSA-N -1 1 315.139 1.529 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc2nsnc2cc1Cl ZINC001259972775 898997799 /nfs/dbraw/zinc/99/77/99/898997799.db2.gz XZFXRJWXQAUVSR-UHFFFAOYSA-N -1 1 307.784 1.733 20 0 DDADMM CN(C)c1cncc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)c1 ZINC001260221952 899095304 /nfs/dbraw/zinc/09/53/04/899095304.db2.gz CHUNYXMLVTZCRL-UHFFFAOYSA-N -1 1 321.358 1.647 20 0 DDADMM O=c1[nH]nccc1[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260278565 899110322 /nfs/dbraw/zinc/11/03/22/899110322.db2.gz KCGADTURSOLMQG-UHFFFAOYSA-N -1 1 321.692 1.915 20 0 DDADMM Cc1cc(NS(=O)(=O)c2ccc(O)c(C(=O)[O-])c2)sn1 ZINC001260392709 899134771 /nfs/dbraw/zinc/13/47/71/899134771.db2.gz OUOKCZZRBCLCOB-UHFFFAOYSA-N -1 1 314.344 1.656 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)sc2Cl)CO1 ZINC001260473393 899149709 /nfs/dbraw/zinc/14/97/09/899149709.db2.gz OJXLWKMSPGQIBN-SCSAIBSYSA-N -1 1 316.187 1.649 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-][C@H](C(=O)OC)[C@@H](C)OC(C)(C)C ZINC001260746760 899246263 /nfs/dbraw/zinc/24/62/63/899246263.db2.gz UJXNXKUBVHLGAM-MXWKQRLJSA-N -1 1 309.428 1.450 20 0 DDADMM CC(=O)Nc1cccc(S(=O)(=O)[N-]c2cccnc2F)c1 ZINC001260803590 899269829 /nfs/dbraw/zinc/26/98/29/899269829.db2.gz QIVATBJGCOWOMU-UHFFFAOYSA-N -1 1 309.322 1.980 20 0 DDADMM COc1ccc(NC(=S)NCC[N-]C(=O)C(F)(F)F)cc1 ZINC000586423083 900635393 /nfs/dbraw/zinc/63/53/93/900635393.db2.gz PVQPEZDFDQTBPW-UHFFFAOYSA-N -1 1 321.324 1.660 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)C(C)(C)C1CC1 ZINC001391246505 901315151 /nfs/dbraw/zinc/31/51/51/901315151.db2.gz PGVUKRPKYJIGRF-NSHDSACASA-N -1 1 319.405 1.706 20 0 DDADMM CC(C)(C)C(=O)NC[C@@H]1CCC[C@H]1NC(=O)c1ncccc1[O-] ZINC001391928221 902920605 /nfs/dbraw/zinc/92/06/05/902920605.db2.gz WFYNUPCAXMOSBU-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1nc(CN(C)C[C@@H](C)NC(=O)c2ncccc2[O-])oc1C ZINC001375033499 914721260 /nfs/dbraw/zinc/72/12/60/914721260.db2.gz QMJQPYAXFFCUDL-SNVBAGLBSA-N -1 1 318.377 1.642 20 0 DDADMM C/C(=C\C(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-])C1CC1 ZINC001280461249 903705583 /nfs/dbraw/zinc/70/55/83/903705583.db2.gz WVDBXWWEJXEFLM-LMMOQWNQSA-N -1 1 317.389 1.720 20 0 DDADMM Cc1nc(CN[C@H](C)CCNC(=O)c2[nH]nc(C)c2[O-])cs1 ZINC001371731283 905613242 /nfs/dbraw/zinc/61/32/42/905613242.db2.gz XLBAKBFRKOYLDE-MRVPVSSYSA-N -1 1 323.422 1.487 20 0 DDADMM CCC[C@H](CC)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001371967994 906126002 /nfs/dbraw/zinc/12/60/02/906126002.db2.gz AVDJROAGVBFZFB-ZDUSSCGKSA-N -1 1 319.405 1.898 20 0 DDADMM CCCC(=O)NC[C@H]1CCCC[C@H]1NC(=O)c1ncccc1[O-] ZINC001393133273 906309910 /nfs/dbraw/zinc/30/99/10/906309910.db2.gz FJCVYURWANEYFV-CHWSQXEVSA-N -1 1 319.405 1.992 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])Cc1nc(C)cs1 ZINC001372320038 907016412 /nfs/dbraw/zinc/01/64/12/907016412.db2.gz ILORJEAMPOUXGL-UHFFFAOYSA-N -1 1 320.418 1.804 20 0 DDADMM CCN(CCCNC(=O)c1ccoc1)C(=O)c1ncccc1[O-] ZINC001283694401 907803576 /nfs/dbraw/zinc/80/35/76/907803576.db2.gz VPSJUWRTUHMOBD-UHFFFAOYSA-N -1 1 317.345 1.662 20 0 DDADMM O=C(/C=C/C1CC1)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001284730952 909414872 /nfs/dbraw/zinc/41/48/72/909414872.db2.gz YBSIHEHTTFZEHI-VMPITWQZSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C[C@H]1C=CCC1 ZINC001285653556 910976079 /nfs/dbraw/zinc/97/60/79/910976079.db2.gz AQBRKRBUWQVOEA-OLZOCXBDSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CCC1CC1 ZINC001395065239 911275862 /nfs/dbraw/zinc/27/58/62/911275862.db2.gz IWPORJWATPKDNL-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H]1C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001375248768 915378763 /nfs/dbraw/zinc/37/87/63/915378763.db2.gz VPRHTIZRSWQPDT-IJLUTSLNSA-N -1 1 321.425 1.177 20 0 DDADMM C[C@H]1CC[C@@H](C[N@H+](CCNC(=O)c2ncccc2[O-])C2CC2)O1 ZINC001377528862 922236799 /nfs/dbraw/zinc/23/67/99/922236799.db2.gz YKNGCPDLOZYXPZ-JSGCOSHPSA-N -1 1 319.405 1.549 20 0 DDADMM COC[C@@H](NC(=O)c1ncc2ccccc2c1[O-])[C@H]1CCCO1 ZINC000614198296 361748089 /nfs/dbraw/zinc/74/80/89/361748089.db2.gz JRTKLWGOWIALMA-ZIAGYGMSSA-N -1 1 316.357 1.864 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](C)[C@@H](CO)C1 ZINC000623037326 365615871 /nfs/dbraw/zinc/61/58/71/365615871.db2.gz LXYAWLNHRCAMLU-CMPLNLGQSA-N -1 1 321.421 1.879 20 0 DDADMM COc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)ccc1O ZINC000614388084 361833102 /nfs/dbraw/zinc/83/31/02/361833102.db2.gz FTIQAYDEBBLSRO-SNVBAGLBSA-N -1 1 319.317 1.509 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(O)c1 ZINC000614389441 361832113 /nfs/dbraw/zinc/83/21/13/361832113.db2.gz MRWVTQHKAPHRKP-SECBINFHSA-N -1 1 304.306 1.204 20 0 DDADMM COC[C@@H](CNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1)OC ZINC000358099761 299076271 /nfs/dbraw/zinc/07/62/71/299076271.db2.gz DRXJSZSJGDJNEX-CYBMUJFWSA-N -1 1 318.377 1.934 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)F)c1cc2cc(F)ccc2o1 ZINC000451285267 231057194 /nfs/dbraw/zinc/05/71/94/231057194.db2.gz LJTALHYLSNKCRW-QMMMGPOBSA-N -1 1 309.265 1.476 20 0 DDADMM CO[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000451867923 231217692 /nfs/dbraw/zinc/21/76/92/231217692.db2.gz DGODPPXJPMOPMW-HTQZYQBOSA-N -1 1 324.196 1.888 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@H]1CCO[C@H](C(C)C)C1 ZINC000451812105 231201682 /nfs/dbraw/zinc/20/16/82/231201682.db2.gz BTYVSHZTXVSROL-MNOVXSKESA-N -1 1 317.411 1.125 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCO[C@H](C(C)C)C1 ZINC000451812105 231201685 /nfs/dbraw/zinc/20/16/85/231201685.db2.gz BTYVSHZTXVSROL-MNOVXSKESA-N -1 1 317.411 1.125 20 0 DDADMM COc1ccccc1CC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000092618435 185329544 /nfs/dbraw/zinc/32/95/44/185329544.db2.gz VOCFEWOGLOGCNK-UHFFFAOYSA-N -1 1 302.378 1.561 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCC[N@H+](Cc2cccnc2)C1 ZINC000615225463 362202836 /nfs/dbraw/zinc/20/28/36/362202836.db2.gz BUOOOROIPCAOEL-CYBMUJFWSA-N -1 1 315.377 1.669 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cc1cc(F)cc2c1OCOC2 ZINC000615226853 362203696 /nfs/dbraw/zinc/20/36/96/362203696.db2.gz LTEYAHAIBOOFAH-UHFFFAOYSA-N -1 1 307.281 1.611 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(N2CCC(O)CC2)cc1 ZINC000615225856 362204098 /nfs/dbraw/zinc/20/40/98/362204098.db2.gz HNRLAOCGVBECDT-UHFFFAOYSA-N -1 1 316.361 1.637 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCCN1Cc1ccccn1 ZINC000615228125 362204382 /nfs/dbraw/zinc/20/43/82/362204382.db2.gz VZOWXWMGFRLRNP-GFCCVEGCSA-N -1 1 301.350 1.422 20 0 DDADMM C[N@@H+]1CCC[C@@H]1[C@H]1COCCN1C(=O)c1cc(F)ccc1[O-] ZINC000615271366 362226366 /nfs/dbraw/zinc/22/63/66/362226366.db2.gz WMCVYXJXFLQTST-ZIAGYGMSSA-N -1 1 308.353 1.467 20 0 DDADMM Cc1cc(NC(=O)c2csc(=NC3CC3)[n-]2)cc(C(N)=O)c1 ZINC000456172597 231808929 /nfs/dbraw/zinc/80/89/29/231808929.db2.gz WJHRPUOVELWDHW-UHFFFAOYSA-N -1 1 316.386 1.799 20 0 DDADMM COc1cc(CC(=O)[N-]O[C@H]2CCCCO2)cc(OC)c1O ZINC000279338011 214804603 /nfs/dbraw/zinc/80/46/03/214804603.db2.gz YRWGBULMYDKHNY-AWEZNQCLSA-N -1 1 311.334 1.526 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1CCCc2sccc21 ZINC000412315860 529930813 /nfs/dbraw/zinc/93/08/13/529930813.db2.gz LTKXOSYMHOQPAC-JTQLQIEISA-N -1 1 318.402 1.620 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2cccc(C)c2)n[n-]1 ZINC000615917412 362486557 /nfs/dbraw/zinc/48/65/57/362486557.db2.gz MKBFQZNIYHCGJU-UHFFFAOYSA-N -1 1 302.334 1.149 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2cccc(C)c2)n1 ZINC000615917412 362486563 /nfs/dbraw/zinc/48/65/63/362486563.db2.gz MKBFQZNIYHCGJU-UHFFFAOYSA-N -1 1 302.334 1.149 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCc3c[nH]nc3C2)c1Br ZINC000616006315 362517965 /nfs/dbraw/zinc/51/79/65/362517965.db2.gz JAUMPRYFYDZQFC-ZETCQYMHSA-N -1 1 324.182 1.369 20 0 DDADMM CCOC1(C(=O)N=c2[nH][n-]c(C)c2Br)CCC1 ZINC000616011175 362520110 /nfs/dbraw/zinc/52/01/10/362520110.db2.gz LGLZXBICBMHUGH-UHFFFAOYSA-N -1 1 302.172 1.800 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2ccccc2F)co1 ZINC000158818882 290748060 /nfs/dbraw/zinc/74/80/60/290748060.db2.gz DVWYSKAJHYZCDY-UHFFFAOYSA-N -1 1 312.322 1.257 20 0 DDADMM CC(C)Cn1ncc2cc(C(=O)Nc3ccncc3[O-])cnc21 ZINC000358323241 299120736 /nfs/dbraw/zinc/12/07/36/299120736.db2.gz AFDXBPTURSSXSJ-UHFFFAOYSA-N -1 1 311.345 1.862 20 0 DDADMM C[C@@H]1N(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCOC1(C)C ZINC000358382865 299137478 /nfs/dbraw/zinc/13/74/78/299137478.db2.gz ISXVBKBAMJNGSG-VIFPVBQESA-N -1 1 304.350 1.313 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCC[C@H]1C(C)(C)C ZINC000416637771 302378978 /nfs/dbraw/zinc/37/89/78/302378978.db2.gz VANWTQFDGZGTQF-ZJUUUORDSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCC[C@H]1C(C)(C)C ZINC000416637771 302378981 /nfs/dbraw/zinc/37/89/81/302378981.db2.gz VANWTQFDGZGTQF-ZJUUUORDSA-N -1 1 301.412 1.889 20 0 DDADMM CN(C)C(=O)NCCNC(=O)c1cc2ccccc2cc1[O-] ZINC000179720325 186210217 /nfs/dbraw/zinc/21/02/17/186210217.db2.gz IOYIILVAQITXCN-UHFFFAOYSA-N -1 1 301.346 1.546 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](CO)C1CCC1 ZINC000617306452 363046607 /nfs/dbraw/zinc/04/66/07/363046607.db2.gz DREGCPPRJWCMQN-NSHDSACASA-N -1 1 307.394 1.679 20 0 DDADMM CCN(CC)[C@H](C(=O)NCCc1nc(=O)o[n-]1)c1ccccc1 ZINC000280104417 215378523 /nfs/dbraw/zinc/37/85/23/215378523.db2.gz MXBJGSPISKDVFZ-AWEZNQCLSA-N -1 1 318.377 1.105 20 0 DDADMM COC[C@@H]1CCN(C(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000331942935 234303334 /nfs/dbraw/zinc/30/33/34/234303334.db2.gz GUBOTTNMEBJRFH-LLVKDONJSA-N -1 1 301.346 1.752 20 0 DDADMM COC[C@@H]1CCN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000331942935 234303339 /nfs/dbraw/zinc/30/33/39/234303339.db2.gz GUBOTTNMEBJRFH-LLVKDONJSA-N -1 1 301.346 1.752 20 0 DDADMM O=C(C=Cc1ccc(F)cc1)NC1(c2nn[n-]n2)CCCC1 ZINC000491885745 234325374 /nfs/dbraw/zinc/32/53/74/234325374.db2.gz POEJQCXVFZCODJ-YVMONPNESA-N -1 1 301.325 1.938 20 0 DDADMM O=C(C=Cc1cccc(CO)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000492107868 234386187 /nfs/dbraw/zinc/38/61/87/234386187.db2.gz GKWJBJHDXJDIAJ-VOTSOKGWSA-N -1 1 313.361 1.291 20 0 DDADMM Cc1cnc(C(=O)N2CCNC(=O)[C@@H]2c2ccccc2)c([O-])c1 ZINC000358629640 299209304 /nfs/dbraw/zinc/20/93/04/299209304.db2.gz MYEAFUUOMYFZMX-HNNXBMFYSA-N -1 1 311.341 1.409 20 0 DDADMM O=C(Nc1ccncc1[O-])c1cnn(CCC(F)(F)F)c1 ZINC000345159653 282942163 /nfs/dbraw/zinc/94/21/63/282942163.db2.gz FIYHLJCJCOZCJZ-UHFFFAOYSA-N -1 1 300.240 1.610 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000122054926 354023426 /nfs/dbraw/zinc/02/34/26/354023426.db2.gz ZQIJTBWGCHYUGQ-SCZZXKLOSA-N -1 1 319.329 1.585 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(C)n(CC)c2C)n[n-]1 ZINC000617840245 363338314 /nfs/dbraw/zinc/33/83/14/363338314.db2.gz CTIIBRYAQLUDMF-UHFFFAOYSA-N -1 1 319.365 1.350 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(C)n(CC)c2C)n1 ZINC000617840245 363338319 /nfs/dbraw/zinc/33/83/19/363338319.db2.gz CTIIBRYAQLUDMF-UHFFFAOYSA-N -1 1 319.365 1.350 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@H](O)C(F)(F)C1 ZINC000617819607 363332324 /nfs/dbraw/zinc/33/23/24/363332324.db2.gz JZKJMHVXDHZYEB-NSHDSACASA-N -1 1 308.284 1.783 20 0 DDADMM CCc1nc(SCC(=O)C(C)(C)C(=O)OC)[n-]c(=O)c1C ZINC000584791304 354770355 /nfs/dbraw/zinc/77/03/55/354770355.db2.gz FGWQPQMYQKYKOL-UHFFFAOYSA-N -1 1 312.391 1.913 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)Cc3c[nH]c4ccccc34)nc2n1 ZINC000588006310 354892581 /nfs/dbraw/zinc/89/25/81/354892581.db2.gz COXJGKUTQKOKMM-UHFFFAOYSA-N -1 1 322.328 1.389 20 0 DDADMM C[C@H]1CCCN(C(=O)c2csc(=NC3CC3)[n-]2)[C@@H]1CCO ZINC000618017664 363427274 /nfs/dbraw/zinc/42/72/74/363427274.db2.gz BSJRCYRONQBVSJ-GXFFZTMASA-N -1 1 309.435 1.762 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cccc(F)c2C)o1 ZINC000073392311 191312861 /nfs/dbraw/zinc/31/28/61/191312861.db2.gz VLEITSYRGIUTLE-UHFFFAOYSA-N -1 1 312.322 1.888 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@@H](CO)c1cccnc1 ZINC000633081167 422748072 /nfs/dbraw/zinc/74/80/72/422748072.db2.gz HTCIFWLZEQZEFG-ZDUSSCGKSA-N -1 1 320.776 1.875 20 0 DDADMM CCC[C@H](C)[C@H](CO)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000593626126 355946787 /nfs/dbraw/zinc/94/67/87/355946787.db2.gz PNBIVLZTWGOHPJ-UWVGGRQHSA-N -1 1 319.379 1.142 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)[C@H]2CC23CC3)n[n-]1 ZINC000593894962 356045907 /nfs/dbraw/zinc/04/59/07/356045907.db2.gz ONXYFVYMHXSWGH-MNOVXSKESA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)[C@H]2CC23CC3)[n-]1 ZINC000593894962 356045911 /nfs/dbraw/zinc/04/59/11/356045911.db2.gz ONXYFVYMHXSWGH-MNOVXSKESA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)[C@H]2CC23CC3)n1 ZINC000593894962 356045916 /nfs/dbraw/zinc/04/59/16/356045916.db2.gz ONXYFVYMHXSWGH-MNOVXSKESA-N -1 1 320.393 1.985 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1C[C@@H]2C[C@H]1C[S@@]2=O ZINC000593866272 356029494 /nfs/dbraw/zinc/02/94/94/356029494.db2.gz DNXQRQISZCHQQS-BYVOGVQKSA-N -1 1 301.367 1.891 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@]2(C)CCO[C@@H]2C)cc1C ZINC000595323037 356449552 /nfs/dbraw/zinc/44/95/52/356449552.db2.gz VOXREHITSJTQSC-NOZJJQNGSA-N -1 1 317.363 1.220 20 0 DDADMM CC1(c2nc(=NC(=O)C34CCCN(CCC3)C4)s[n-]2)CC1 ZINC000636253496 422752697 /nfs/dbraw/zinc/75/26/97/422752697.db2.gz LLSWABSZVGBHID-UHFFFAOYSA-N -1 1 306.435 1.826 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c(C)o1 ZINC000596891222 357008527 /nfs/dbraw/zinc/00/85/27/357008527.db2.gz GKCYFNBNRWXKKJ-UHFFFAOYSA-N -1 1 318.211 1.764 20 0 DDADMM CS[C@H](CO)[C@@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000597393095 357161353 /nfs/dbraw/zinc/16/13/53/357161353.db2.gz HKFOKGVBZLNASB-BXKDBHETSA-N -1 1 306.387 1.783 20 0 DDADMM COC(=O)C[C@H](CNC(=O)c1csc(=NC2CC2)[n-]1)C1CC1 ZINC000598276667 357520354 /nfs/dbraw/zinc/52/03/54/357520354.db2.gz SMXXWDIBEQTQAJ-SNVBAGLBSA-N -1 1 323.418 1.458 20 0 DDADMM CCOC(=O)[C@@H]1CCCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000598777165 357725872 /nfs/dbraw/zinc/72/58/72/357725872.db2.gz XNEJLJHGTQOJKD-LBPRGKRZSA-N -1 1 323.418 1.697 20 0 DDADMM CCOc1nc(C)ccc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000276774812 213101040 /nfs/dbraw/zinc/10/10/40/213101040.db2.gz HOEQPVNHTWXXJJ-VIFPVBQESA-N -1 1 304.354 1.178 20 0 DDADMM CC(C)(C)OC(=O)[C@H](C(=O)N=c1ccc(O)n[n-]1)C(C)(C)C ZINC000598833585 357738794 /nfs/dbraw/zinc/73/87/94/357738794.db2.gz ZVNRHAKTCJKRKB-NSHDSACASA-N -1 1 309.366 1.547 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2c(F)ccc([O-])c2F)CS1(=O)=O ZINC000618325164 363588576 /nfs/dbraw/zinc/58/85/76/363588576.db2.gz FFMRWBFDASCRDM-YUMQZZPRSA-N -1 1 319.329 1.366 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ccc([O-])c(F)c2)CS1(=O)=O ZINC000618325901 363588589 /nfs/dbraw/zinc/58/85/89/363588589.db2.gz OKBHJYRROYXJAW-PSASIEDQSA-N -1 1 301.339 1.227 20 0 DDADMM CC/C=C\C[N-]S(=O)(=O)c1cc(F)cc(C(=O)OC)c1F ZINC000599316596 357890066 /nfs/dbraw/zinc/89/00/66/357890066.db2.gz JSAFMVMKIYXHDP-PLNGDYQASA-N -1 1 319.329 1.996 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](CO)CCC(C)C)c1 ZINC000599408805 357924114 /nfs/dbraw/zinc/92/41/14/357924114.db2.gz BBYMGKGZSRXZAH-NSHDSACASA-N -1 1 319.379 1.142 20 0 DDADMM O=C(C[C@@H]1CCCC(=O)N1)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000348019469 283338196 /nfs/dbraw/zinc/33/81/96/283338196.db2.gz YNOQGOXPQRPJRD-VIFPVBQESA-N -1 1 304.375 1.557 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(C2CC2)nn1-c1ccccc1 ZINC000600495358 358240038 /nfs/dbraw/zinc/24/00/38/358240038.db2.gz LRYGAGNYPWLSIN-UHFFFAOYSA-N -1 1 309.333 1.193 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H]1C[C@@H]1c1ccc(Cl)cc1Cl ZINC000600505681 358243680 /nfs/dbraw/zinc/24/36/80/358243680.db2.gz QVRSPKSIQWUXDE-BDAKNGLRSA-N -1 1 312.160 1.926 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncc(C)cc2[O-])[C@H]2CCC[C@H]21 ZINC000600555748 358256876 /nfs/dbraw/zinc/25/68/76/358256876.db2.gz YLWBVJSJTZJHPS-XQQFMLRXSA-N -1 1 318.373 1.899 20 0 DDADMM CC(C)[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(F)(F)F ZINC000348089103 283363118 /nfs/dbraw/zinc/36/31/18/283363118.db2.gz HZQIXZYCNBYEMA-SNVBAGLBSA-N -1 1 316.283 1.991 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-][C@H](C(=O)OC)c1cccs1 ZINC000348111173 283373902 /nfs/dbraw/zinc/37/39/02/283373902.db2.gz NUQOVMDYDGUCCZ-NSHDSACASA-N -1 1 320.436 1.529 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCC(C)C)c1cccs1 ZINC000348107131 283371480 /nfs/dbraw/zinc/37/14/80/283371480.db2.gz IVXSRBIHGPFCQX-LLVKDONJSA-N -1 1 305.421 1.928 20 0 DDADMM CCCc1nc(=NC(=O)NCCN2CC=C(C)CC2)s[n-]1 ZINC000565626718 304080560 /nfs/dbraw/zinc/08/05/60/304080560.db2.gz QWMVWCIXACFCCM-UHFFFAOYSA-N -1 1 309.439 1.686 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)c2ccsc2)n[n-]1 ZINC000603015888 359358820 /nfs/dbraw/zinc/35/88/20/359358820.db2.gz LPJONEGRDUWSSX-MRVPVSSYSA-N -1 1 308.363 1.463 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)c2ccsc2)n1 ZINC000603015888 359358823 /nfs/dbraw/zinc/35/88/23/359358823.db2.gz LPJONEGRDUWSSX-MRVPVSSYSA-N -1 1 308.363 1.463 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2C[C@H]2C2CCCC2)n[n-]1 ZINC000603021222 359363570 /nfs/dbraw/zinc/36/35/70/359363570.db2.gz JCKSXTVODPFADW-QWRGUYRKSA-N -1 1 306.366 1.424 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2C[C@H]2C2CCCC2)n1 ZINC000603021222 359363575 /nfs/dbraw/zinc/36/35/75/359363575.db2.gz JCKSXTVODPFADW-QWRGUYRKSA-N -1 1 306.366 1.424 20 0 DDADMM C[C@H](C[S@](C)=O)N(C)C(=O)N=c1[n-]sc2ccccc21 ZINC000603052929 359377860 /nfs/dbraw/zinc/37/78/60/359377860.db2.gz YEHMFMBMPSUTAL-YBYGRFCBSA-N -1 1 311.432 1.949 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)n[n-]1 ZINC000603154324 359440496 /nfs/dbraw/zinc/44/04/96/359440496.db2.gz LDICYJAUIRDSSV-WISYIIOYSA-N -1 1 318.377 1.761 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)[n-]1 ZINC000603154324 359440503 /nfs/dbraw/zinc/44/05/03/359440503.db2.gz LDICYJAUIRDSSV-WISYIIOYSA-N -1 1 318.377 1.761 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)n1 ZINC000603154324 359440507 /nfs/dbraw/zinc/44/05/07/359440507.db2.gz LDICYJAUIRDSSV-WISYIIOYSA-N -1 1 318.377 1.761 20 0 DDADMM COCc1nc(=N[C@@H]2CCC[C@H]3CN(C(C)=O)C[C@@H]32)s[n-]1 ZINC000565679631 304083638 /nfs/dbraw/zinc/08/36/38/304083638.db2.gz XZSRLIQJRSMOLD-SDDRHHMPSA-N -1 1 310.423 1.165 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](C(N)=O)C(C)C)cc(Cl)c1[O-] ZINC000186791748 200010977 /nfs/dbraw/zinc/01/09/77/200010977.db2.gz FKQGXFBFSOAZJQ-LLVKDONJSA-N -1 1 314.769 1.684 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1COc2ccccc2C1)c1nn[n-]n1 ZINC000187344812 200099166 /nfs/dbraw/zinc/09/91/66/200099166.db2.gz LEQRUIIRHFVFIT-PWSUYJOCSA-N -1 1 301.350 1.013 20 0 DDADMM CCC[C@@H](NC(=O)c1cc2cc(OC)ccc2[nH]1)c1nn[n-]n1 ZINC000188278742 200221092 /nfs/dbraw/zinc/22/10/92/200221092.db2.gz HJFQZWCOLUOELH-GFCCVEGCSA-N -1 1 314.349 1.961 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H]3CC[C@H](O)C3)cnc2n1 ZINC000188253106 200217521 /nfs/dbraw/zinc/21/75/21/200217521.db2.gz GQTWTAOFANJPBN-MNOVXSKESA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@@H]3CC[C@H](O)C3)c[n-]c2n1 ZINC000188253106 200217523 /nfs/dbraw/zinc/21/75/23/200217523.db2.gz GQTWTAOFANJPBN-MNOVXSKESA-N -1 1 301.346 1.535 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC[C@]2(C)CC2(F)F)o1 ZINC000618627640 363695282 /nfs/dbraw/zinc/69/52/82/363695282.db2.gz XEEHEUPGZCYNGJ-LLVKDONJSA-N -1 1 322.333 1.353 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)C1C[C@@H]2COC[C@H](C1)C2=O ZINC000606836475 359964509 /nfs/dbraw/zinc/96/45/09/359964509.db2.gz JXNPXZOIBDJCPC-DGUCWDHESA-N -1 1 318.255 1.004 20 0 DDADMM CCC[C@@H](NC(=O)Cc1c(CC)nn(C)c1CC)c1nn[n-]n1 ZINC000189065100 200343091 /nfs/dbraw/zinc/34/30/91/200343091.db2.gz HACAFMFDZQLDFF-GFCCVEGCSA-N -1 1 319.413 1.258 20 0 DDADMM CO[C@H](C)CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000189116928 200350530 /nfs/dbraw/zinc/35/05/30/200350530.db2.gz WZACXJLMAMIPKF-SECBINFHSA-N -1 1 306.366 1.135 20 0 DDADMM O=C(CSc1nnnn1C1CC1)Nc1c([O-])cccc1F ZINC000608365474 360167753 /nfs/dbraw/zinc/16/77/53/360167753.db2.gz FYFHCZGLPMLOSM-UHFFFAOYSA-N -1 1 309.326 1.584 20 0 DDADMM COC(=O)C(C)(C)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000608857285 360250617 /nfs/dbraw/zinc/25/06/17/360250617.db2.gz LXBFOJQEBCAKQV-UHFFFAOYSA-N -1 1 323.317 1.203 20 0 DDADMM CCCCc1cc([N-]S(=O)(=O)c2cncc(OC)c2)n[nH]1 ZINC000281247306 216182031 /nfs/dbraw/zinc/18/20/31/216182031.db2.gz DIXMCJHSIALAFI-UHFFFAOYSA-N -1 1 310.379 1.957 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ccc(Cl)o2)c1 ZINC000611081446 360617756 /nfs/dbraw/zinc/61/77/56/360617756.db2.gz HMZXIMUDNQTUNH-UHFFFAOYSA-N -1 1 319.722 1.791 20 0 DDADMM CN(C)[C@H](CNC(=O)c1cc(=O)n(C)[n-]1)c1ccc(Cl)cc1 ZINC000611798592 360828097 /nfs/dbraw/zinc/82/80/97/360828097.db2.gz DIXWMJJGJBUOPY-CYBMUJFWSA-N -1 1 322.796 1.812 20 0 DDADMM O=C(c1cccc(-c2cnco2)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612814394 361154582 /nfs/dbraw/zinc/15/45/82/361154582.db2.gz CGQVJABLQHFACZ-CYBMUJFWSA-N -1 1 324.344 1.875 20 0 DDADMM CN(C)C(=O)NCCN(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000613195490 361318645 /nfs/dbraw/zinc/31/86/45/361318645.db2.gz LPDUCIMXTZVKMH-UHFFFAOYSA-N -1 1 316.361 1.284 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]Cc1nnc(C2CC2)o1)C1CC1 ZINC000625132813 366728638 /nfs/dbraw/zinc/72/86/38/366728638.db2.gz WCMSUOITLPYKGA-LLVKDONJSA-N -1 1 315.395 1.182 20 0 DDADMM COC(=O)C[C@H](C)N1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000613511353 361448282 /nfs/dbraw/zinc/44/82/82/361448282.db2.gz GSTWSNYFXKBUOX-NSHDSACASA-N -1 1 324.352 1.241 20 0 DDADMM C[C@@H](O)C1(NC(=O)c2cc(Br)ccc2[O-])CC1 ZINC000620069187 364269862 /nfs/dbraw/zinc/26/98/62/364269862.db2.gz HABPPPHPBFUXBF-SSDOTTSWSA-N -1 1 300.152 1.798 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@H](CN2CCCC2=O)C1 ZINC000620253583 364350762 /nfs/dbraw/zinc/35/07/62/364350762.db2.gz BVCWEJKLOJFWKX-SNVBAGLBSA-N -1 1 324.327 1.755 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H]4COC[C@@H]4C3)cnc2n1 ZINC000622179220 365316039 /nfs/dbraw/zinc/31/60/39/365316039.db2.gz UGZDGGYMAFFMHR-NEPJUHHUSA-N -1 1 313.357 1.752 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC[C@@H]3COC[C@@H]3C1)c2=O ZINC000622179220 365316040 /nfs/dbraw/zinc/31/60/40/365316040.db2.gz UGZDGGYMAFFMHR-NEPJUHHUSA-N -1 1 313.357 1.752 20 0 DDADMM O=C(NCCc1nc(-c2ccncc2)cs1)c1cnn[nH]1 ZINC000359218701 299360753 /nfs/dbraw/zinc/36/07/53/299360753.db2.gz UGUAYIOFZVWWGO-UHFFFAOYSA-N -1 1 300.347 1.296 20 0 DDADMM O=S(=O)([N-][C@H]1CO[C@@H](C2CC2)C1)c1cc(F)ccc1F ZINC000625577875 367027345 /nfs/dbraw/zinc/02/73/45/367027345.db2.gz CLYMDKSJZUYISY-ZYHUDNBSSA-N -1 1 303.330 1.811 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cccc2cnccc21)c1nn[n-]n1 ZINC000626024746 367280823 /nfs/dbraw/zinc/28/08/23/367280823.db2.gz UHZJBOGTCKSMCM-CQSZACIVSA-N -1 1 310.361 1.948 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCc1cc2n(n1)CCCO2 ZINC000633127887 422769951 /nfs/dbraw/zinc/76/99/51/422769951.db2.gz XSPRSUAGXRXPKO-UHFFFAOYSA-N -1 1 321.764 1.884 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1cccc2cccnc21 ZINC000349722996 284030396 /nfs/dbraw/zinc/03/03/96/284030396.db2.gz QCPLSHOKFRVHGQ-UHFFFAOYSA-N -1 1 318.358 1.422 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cccc2cccnc21 ZINC000349722996 284030401 /nfs/dbraw/zinc/03/04/01/284030401.db2.gz QCPLSHOKFRVHGQ-UHFFFAOYSA-N -1 1 318.358 1.422 20 0 DDADMM Nc1nc(NCC2(C(=O)[O-])CCCCC2)cc(-n2cccn2)n1 ZINC000566298174 304141461 /nfs/dbraw/zinc/14/14/61/304141461.db2.gz XJTOYGVKGMDRGJ-UHFFFAOYSA-N -1 1 316.365 1.522 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)Nc1ccc2c(c1)OCO2)C(=O)[O-] ZINC000262239343 203238078 /nfs/dbraw/zinc/23/80/78/203238078.db2.gz BCCABHYGWGEJAH-MWLCHTKSSA-N -1 1 308.334 1.585 20 0 DDADMM CCS(=O)(=O)C[C@H](C)N(C)C(=O)c1cc(F)ccc1[O-] ZINC000094137175 193346065 /nfs/dbraw/zinc/34/60/65/193346065.db2.gz DISZXQJWIFPHQZ-VIFPVBQESA-N -1 1 303.355 1.427 20 0 DDADMM CCOc1ccc(S(=O)(=O)Nc2ccccc2C(=O)[O-])cn1 ZINC000350729288 284250055 /nfs/dbraw/zinc/25/00/55/284250055.db2.gz JTAKQUWBGLSUSF-UHFFFAOYSA-N -1 1 322.342 1.979 20 0 DDADMM CCCN(C(=O)CCc1nn[n-]n1)[C@H]1C[C@H](OCC)C1(C)C ZINC000631452716 422773517 /nfs/dbraw/zinc/77/35/17/422773517.db2.gz YSVVVLHZMQBTDP-RYUDHWBXSA-N -1 1 309.414 1.575 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCOCC(F)F)c1 ZINC000282515759 217054762 /nfs/dbraw/zinc/05/47/62/217054762.db2.gz AUSHMLZCIILAHJ-UHFFFAOYSA-N -1 1 303.261 1.789 20 0 DDADMM Cn1cccc1C(=O)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000351304561 284316741 /nfs/dbraw/zinc/31/67/41/284316741.db2.gz OUEFBEWPMLWVBV-UHFFFAOYSA-N -1 1 310.313 1.942 20 0 DDADMM Cc1cccc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)c1F ZINC000359402442 299434825 /nfs/dbraw/zinc/43/48/25/299434825.db2.gz DZHYIUJFFZIXCZ-UHFFFAOYSA-N -1 1 320.349 1.590 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)[C@H]1CCOC1 ZINC000266241013 205208934 /nfs/dbraw/zinc/20/89/34/205208934.db2.gz WBVCCHIPQTYNEX-SFYZADRCSA-N -1 1 309.309 1.807 20 0 DDADMM CC(C)CCOCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000282877070 217294423 /nfs/dbraw/zinc/29/44/23/217294423.db2.gz AZJMZSGSKOIONO-UHFFFAOYSA-N -1 1 308.407 1.811 20 0 DDADMM O=C(Cc1cccc(Cl)c1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282895961 217308169 /nfs/dbraw/zinc/30/81/69/217308169.db2.gz LCOOCQVRHHJYJV-NSHDSACASA-N -1 1 320.780 1.700 20 0 DDADMM O=C(c1ccc2ncccc2c1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282944459 217342756 /nfs/dbraw/zinc/34/27/56/217342756.db2.gz PXQQFYVNBLZSNN-CYBMUJFWSA-N -1 1 323.356 1.666 20 0 DDADMM Cn1nc(-c2ccccc2)nc1[N-]S(=O)(=O)CCCCF ZINC000288490385 220175516 /nfs/dbraw/zinc/17/55/16/220175516.db2.gz CZJAXIXIPITVQN-UHFFFAOYSA-N -1 1 312.370 1.974 20 0 DDADMM C[C@@H]1Cc2ccccc2N1C(=O)CN1CCC(C(=O)[O-])CC1 ZINC000019774879 182145984 /nfs/dbraw/zinc/14/59/84/182145984.db2.gz ZQVSSLFONIZQBE-GFCCVEGCSA-N -1 1 302.374 1.761 20 0 DDADMM O=C([O-])[C@H]1CSCCN1CCOCC(F)(F)C(F)F ZINC000566864696 304184260 /nfs/dbraw/zinc/18/42/60/304184260.db2.gz LGLMPJFAQSJOEK-SSDOTTSWSA-N -1 1 305.293 1.405 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCCN(c3ccnn3C)C2)c([O-])c1 ZINC000333755657 249093632 /nfs/dbraw/zinc/09/36/32/249093632.db2.gz UKAPHSCSKPDCLX-LBPRGKRZSA-N -1 1 315.377 1.228 20 0 DDADMM Cc1nnc([C@@H]2CCN(C(=O)c3c(F)ccc([O-])c3F)C2)[nH]1 ZINC000334133575 249226881 /nfs/dbraw/zinc/22/68/81/249226881.db2.gz WKVHUALLWWCAHP-MRVPVSSYSA-N -1 1 308.288 1.727 20 0 DDADMM O=C(N=c1nc(C(F)(F)F)[n-][nH]1)c1nc2cccnc2s1 ZINC000338157161 249809651 /nfs/dbraw/zinc/80/96/51/249809651.db2.gz GCFOXLUAQZUZAB-UHFFFAOYSA-N -1 1 314.252 1.502 20 0 DDADMM CC1(C)[C@H](O)C[C@@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284485619 218048486 /nfs/dbraw/zinc/04/84/86/218048486.db2.gz ZHQPYLSBOHVHMO-WDEREUQCSA-N -1 1 307.774 1.917 20 0 DDADMM Cc1noc(C(C)(C)[N-]S(=O)(=O)c2ccc(F)cc2F)n1 ZINC000284765616 218169226 /nfs/dbraw/zinc/16/92/26/218169226.db2.gz VQIAPZJHBDHKIB-UHFFFAOYSA-N -1 1 317.317 1.870 20 0 DDADMM C[NH+](C)CCn1ccc(=NC(=O)c2cc(-c3ccco3)[nH]n2)[nH]1 ZINC000338741854 250115398 /nfs/dbraw/zinc/11/53/98/250115398.db2.gz FMKVYLUYTMINCJ-UHFFFAOYSA-N -1 1 314.349 1.102 20 0 DDADMM COc1cccc([C@@H]2C[C@@H](O)CN2C(=O)c2cncc([O-])c2)c1 ZINC000284908740 218229677 /nfs/dbraw/zinc/22/96/77/218229677.db2.gz GYNGCZBGUUGKAN-ZBFHGGJFSA-N -1 1 314.341 1.744 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CC)C(C)(C)O)o1 ZINC000339069537 250278706 /nfs/dbraw/zinc/27/87/06/250278706.db2.gz JHXJQZZUOTZRQT-JTQLQIEISA-N -1 1 319.379 1.284 20 0 DDADMM C[C@@H](F)CC[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000339033688 250260453 /nfs/dbraw/zinc/26/04/53/250260453.db2.gz GBWVPGBMCRCMGU-ZCFIWIBFSA-N -1 1 303.281 1.465 20 0 DDADMM CC(C)(C)C(=O)NCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000339133122 250307538 /nfs/dbraw/zinc/30/75/38/250307538.db2.gz MVILIEDTOOPOCE-UHFFFAOYSA-N -1 1 316.361 1.883 20 0 DDADMM Cc1nc([C@@H]2CCOC2)sc1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000633191286 422797867 /nfs/dbraw/zinc/79/78/67/422797867.db2.gz QRYDBMVVGKCNBG-MRVPVSSYSA-N -1 1 308.363 1.945 20 0 DDADMM CC[C@@H](C)[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OC ZINC000340932081 251284561 /nfs/dbraw/zinc/28/45/61/251284561.db2.gz RLDCRTCSAMJWNI-HTQZYQBOSA-N -1 1 305.318 1.446 20 0 DDADMM CCc1onc(C)c1[N-]S(=O)(=O)N1C[C@@H](C)OC[C@H]1C ZINC000340973970 251313508 /nfs/dbraw/zinc/31/35/08/251313508.db2.gz ZCEDTYQUAJNJOV-RKDXNWHRSA-N -1 1 303.384 1.311 20 0 DDADMM CC1CCC(NC(=O)CS(=O)(=O)c2ccc([O-])cc2)CC1 ZINC000063927527 184291014 /nfs/dbraw/zinc/29/10/14/184291014.db2.gz MBAPKNPEUZHPRX-UHFFFAOYSA-N -1 1 311.403 1.861 20 0 DDADMM COc1cccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000261610844 207365627 /nfs/dbraw/zinc/36/56/27/207365627.db2.gz DWBQKPCVLQEBIC-CYBMUJFWSA-N -1 1 306.362 1.108 20 0 DDADMM Cc1c(NS(=O)(=O)c2cnc3n2CCC3)cccc1C(=O)[O-] ZINC000361997223 207388991 /nfs/dbraw/zinc/38/89/91/207388991.db2.gz UCQMCUZQOLAORT-UHFFFAOYSA-N -1 1 321.358 1.637 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCc2ccc(Cl)cc21 ZINC000352385863 285013586 /nfs/dbraw/zinc/01/35/86/285013586.db2.gz OEIXQUPUOHHZRO-UHFFFAOYSA-N -1 1 315.720 1.274 20 0 DDADMM Cc1nc([C@](C)([N-]S(=O)(=O)c2cscn2)C2CC2)no1 ZINC000567774602 304242201 /nfs/dbraw/zinc/24/22/01/304242201.db2.gz OJRHXKQVPKLPLM-LLVKDONJSA-N -1 1 314.392 1.438 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(Cl)cc1 ZINC000352422994 285040685 /nfs/dbraw/zinc/04/06/85/285040685.db2.gz LSDRDZNLEHAMDY-MRVPVSSYSA-N -1 1 317.736 1.562 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1Cc1cn(-c2ccccc2)nn1 ZINC000567857356 304248471 /nfs/dbraw/zinc/24/84/71/304248471.db2.gz CEQGHDBUXYOALD-OLZOCXBDSA-N -1 1 300.362 1.952 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC(C)(C)SC)c1 ZINC000270028615 208083824 /nfs/dbraw/zinc/08/38/24/208083824.db2.gz PSQBQGTWFHYSKV-UHFFFAOYSA-N -1 1 307.393 1.486 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)c2nc(C)cs2)co1 ZINC000270031850 208086798 /nfs/dbraw/zinc/08/67/98/208086798.db2.gz HAIONBCQTXZUHX-UHFFFAOYSA-N -1 1 315.376 1.229 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1ncccc1O ZINC000352518293 285109035 /nfs/dbraw/zinc/10/90/35/285109035.db2.gz WMOQOINHKPQHCS-UHFFFAOYSA-N -1 1 316.361 1.819 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cccc(F)c1F ZINC000352538320 285124748 /nfs/dbraw/zinc/12/47/48/285124748.db2.gz INZZUXOLXZUSDV-UHFFFAOYSA-N -1 1 307.256 1.434 20 0 DDADMM COCCN=c1[n-]nc(S[C@@H](C)c2n[nH]c(C)n2)s1 ZINC000412984360 224116384 /nfs/dbraw/zinc/11/63/84/224116384.db2.gz PQTRKQLETPZPGO-LURJTMIESA-N -1 1 300.413 1.298 20 0 DDADMM Cc1noc([C@H]2CCCN2C(=O)C(=O)c2ccc([O-])cc2)n1 ZINC000286473037 219008251 /nfs/dbraw/zinc/00/82/51/219008251.db2.gz HBKRZGSGZDOVLM-GFCCVEGCSA-N -1 1 301.302 1.630 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cnn([C@H]2CCOC2)c1)C(F)(F)F ZINC000288711544 220364575 /nfs/dbraw/zinc/36/45/75/220364575.db2.gz XWANIUJZGGNETL-SFYZADRCSA-N -1 1 313.301 1.074 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cnn([C@@H]2CCOC2)c1)C(F)(F)F ZINC000288711541 220365204 /nfs/dbraw/zinc/36/52/04/220365204.db2.gz XWANIUJZGGNETL-JGVFFNPUSA-N -1 1 313.301 1.074 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCc2c1cccc2F ZINC000289524219 221039743 /nfs/dbraw/zinc/03/97/43/221039743.db2.gz PZPXSHPHLRUSDU-LLVKDONJSA-N -1 1 311.338 1.670 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCOc1ccccc1F ZINC000289582928 221083789 /nfs/dbraw/zinc/08/37/89/221083789.db2.gz VEJJOWVQEKTFTP-UHFFFAOYSA-N -1 1 315.326 1.062 20 0 DDADMM O=C(NCCOC(F)(F)F)c1nc2ccccc2c(=O)[n-]1 ZINC000352881295 285356523 /nfs/dbraw/zinc/35/65/23/285356523.db2.gz CLQINHXTPKELND-UHFFFAOYSA-N -1 1 301.224 1.189 20 0 DDADMM COCc1nc(=N[C@@H](C)C2CCN(C(=O)OC)CC2)s[n-]1 ZINC000492050514 533273175 /nfs/dbraw/zinc/27/31/75/533273175.db2.gz ZNFITTFMCPJEII-VIFPVBQESA-N -1 1 314.411 1.385 20 0 DDADMM C[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1csnn1 ZINC000412796539 533313129 /nfs/dbraw/zinc/31/31/29/533313129.db2.gz RIDIYWMJKSODPF-ZCFIWIBFSA-N -1 1 304.335 1.016 20 0 DDADMM COc1cccc(COCCN=c2[n-]c(C(N)=O)cs2)c1 ZINC000432343466 533520569 /nfs/dbraw/zinc/52/05/69/533520569.db2.gz WJGPYQXJVZZCKA-UHFFFAOYSA-N -1 1 307.375 1.301 20 0 DDADMM C[C@@H](NCc1nc(=O)n(C)[n-]1)c1ccc(Br)s1 ZINC000443340444 533469255 /nfs/dbraw/zinc/46/92/55/533469255.db2.gz GPMYYMKLSVPRCG-ZCFIWIBFSA-N -1 1 317.212 1.783 20 0 DDADMM COC(=O)[C@]1(C)CCCN(C(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000412940667 533584406 /nfs/dbraw/zinc/58/44/06/533584406.db2.gz WOFIUAHAPIZWIN-OAHLLOKOSA-N -1 1 323.418 1.555 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CC(=O)N(c3ccccc3)C2)c([O-])c1 ZINC000360475697 299673698 /nfs/dbraw/zinc/67/36/98/299673698.db2.gz FKNDNTBPXFGXFY-LBPRGKRZSA-N -1 1 311.341 1.631 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc2cc(F)ccc2o1)C(=O)N(C)C ZINC000569556454 304362847 /nfs/dbraw/zinc/36/28/47/304362847.db2.gz NDVIFBBCADFZOU-MRVPVSSYSA-N -1 1 314.338 1.327 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)Cc1ccsc1 ZINC000114412480 407568912 /nfs/dbraw/zinc/56/89/12/407568912.db2.gz BRZDLVJEAILZLA-UHFFFAOYSA-N -1 1 316.386 1.560 20 0 DDADMM Cn1cccc([N-]S(=O)(=O)c2ccc(F)cc2F)c1=O ZINC000074049406 406900520 /nfs/dbraw/zinc/90/05/20/406900520.db2.gz XUOWRTHBNQUSTC-UHFFFAOYSA-N -1 1 300.286 1.464 20 0 DDADMM O=S(=O)([N-]c1cc2c(cc1Cl)OCCO2)c1cn[nH]c1 ZINC000040810352 407005389 /nfs/dbraw/zinc/00/53/89/407005389.db2.gz HSVBOLORSIJLLP-UHFFFAOYSA-N -1 1 315.738 1.635 20 0 DDADMM CC(=O)c1c(C)cc(C)c(CS(=O)(=O)c2ncn[n-]2)c1C ZINC000086169564 407108777 /nfs/dbraw/zinc/10/87/77/407108777.db2.gz TXDKAOVDAGVLBG-UHFFFAOYSA-N -1 1 307.375 1.906 20 0 DDADMM CC(=O)c1c(C)cc(C)c(CS(=O)(=O)c2nc[n-]n2)c1C ZINC000086169564 407108780 /nfs/dbraw/zinc/10/87/80/407108780.db2.gz TXDKAOVDAGVLBG-UHFFFAOYSA-N -1 1 307.375 1.906 20 0 DDADMM Cc1cccc(NC(=O)[C@H](C)S(=O)(=O)c2ncn[n-]2)c1C ZINC000086169877 407108886 /nfs/dbraw/zinc/10/88/86/407108886.db2.gz VTDXOSONMZXENP-JTQLQIEISA-N -1 1 308.363 1.222 20 0 DDADMM Cc1cccc(NC(=O)[C@H](C)S(=O)(=O)c2nc[n-]n2)c1C ZINC000086169877 407108887 /nfs/dbraw/zinc/10/88/87/407108887.db2.gz VTDXOSONMZXENP-JTQLQIEISA-N -1 1 308.363 1.222 20 0 DDADMM CNC(=O)CCNC(=O)c1cc(Br)ccc1[O-] ZINC000049176274 407116707 /nfs/dbraw/zinc/11/67/07/407116707.db2.gz QJZTVEGZJDGJDW-UHFFFAOYSA-N -1 1 301.140 1.021 20 0 DDADMM Cc1cccc(O[C@H](C)C(=O)NCc2n[n-]c(=S)n2C)c1 ZINC000066625462 407256557 /nfs/dbraw/zinc/25/65/57/407256557.db2.gz VABWGNYJITWGST-SNVBAGLBSA-N -1 1 306.391 1.870 20 0 DDADMM CCc1nnsc1C(=O)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067093249 407267106 /nfs/dbraw/zinc/26/71/06/407267106.db2.gz SARHVJRGWCMXOM-UHFFFAOYSA-N -1 1 324.435 1.662 20 0 DDADMM CCn1c(CCNC(=O)[C@H]2Cc3ccccc3O2)n[n-]c1=S ZINC000067078285 407267299 /nfs/dbraw/zinc/26/72/99/407267299.db2.gz CNYHYOBBAQEZLJ-GFCCVEGCSA-N -1 1 318.402 1.623 20 0 DDADMM Cc1cccc(C(=O)NCCc2n[n-]c(=S)n2C2CC2)n1 ZINC000067078753 407267777 /nfs/dbraw/zinc/26/77/77/407267777.db2.gz CXXXDFAOIANALY-UHFFFAOYSA-N -1 1 303.391 1.952 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H](C)c2ccncc2)co1 ZINC000123928817 407343054 /nfs/dbraw/zinc/34/30/54/407343054.db2.gz RPARHYMCRFUFID-SNVBAGLBSA-N -1 1 323.374 1.416 20 0 DDADMM Cc1cscc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000181034340 306697214 /nfs/dbraw/zinc/69/72/14/306697214.db2.gz DVEKKWKLAGUGGK-UHFFFAOYSA-N -1 1 312.372 1.662 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@]2(C)CCCC[C@H]2O)c1Cl ZINC000124922176 407374260 /nfs/dbraw/zinc/37/42/60/407374260.db2.gz GMKLDLICIATGHT-BXKDBHETSA-N -1 1 321.830 1.293 20 0 DDADMM C[C@@H](CNC(=O)c1cncc(O)c1)N1CCc2ccccc2C1 ZINC000111561576 407411175 /nfs/dbraw/zinc/41/11/75/407411175.db2.gz FTUOQHDQPSJFNS-ZDUSSCGKSA-N -1 1 311.385 1.964 20 0 DDADMM C[N@@H+](CC(=O)Nc1ccc(-c2nn[nH]n2)cc1)Cc1ccccc1 ZINC000127474416 407438032 /nfs/dbraw/zinc/43/80/32/407438032.db2.gz COFCQYQRSLUUKB-UHFFFAOYSA-N -1 1 322.372 1.937 20 0 DDADMM Cc1ccc(O)c(C(=O)NC[C@H]2C[N@H+]3CCCC[C@@H]3CO2)c1 ZINC000127957539 407481976 /nfs/dbraw/zinc/48/19/76/407481976.db2.gz UCKYMFYDZULOHG-KGLIPLIRSA-N -1 1 304.390 1.684 20 0 DDADMM O=S(=O)([N-]CC1(CO)CCC1)c1c(F)cccc1Cl ZINC000185914367 407539475 /nfs/dbraw/zinc/53/94/75/407539475.db2.gz WZNGSJURDXOZBS-UHFFFAOYSA-N -1 1 307.774 1.920 20 0 DDADMM O=C([C@H]1CCOc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129386696 407608961 /nfs/dbraw/zinc/60/89/61/407608961.db2.gz PWDDEOOHOMWKDA-YPMHNXCESA-N -1 1 313.361 1.472 20 0 DDADMM C[C@H](NC(=O)c1n[nH]c2ccc(Br)cc21)C(=O)[O-] ZINC000178831269 407619093 /nfs/dbraw/zinc/61/90/93/407619093.db2.gz NFOLXVSWNXNZKA-YFKPBYRVSA-N -1 1 312.123 1.528 20 0 DDADMM O=C(NCC1CC1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000171257649 407639742 /nfs/dbraw/zinc/63/97/42/407639742.db2.gz DRDBWQVNLBCKGU-LBPRGKRZSA-N -1 1 303.362 1.166 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)(F)F)c1ccc(Cl)cc1F ZINC000228545135 407648493 /nfs/dbraw/zinc/64/84/93/407648493.db2.gz UTMYIMPCHUIBEH-QMMMGPOBSA-N -1 1 321.679 1.681 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2ccn(-c3ccccc3)n2)n[n-]1 ZINC000153142825 407763738 /nfs/dbraw/zinc/76/37/38/407763738.db2.gz GQUIAGGXYKMMKT-UHFFFAOYSA-N -1 1 317.374 1.527 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)[C@@H]2CCCCO2)c1 ZINC000272095248 407772815 /nfs/dbraw/zinc/77/28/15/407772815.db2.gz VWBQJKNQEOUOHE-KOLCDFICSA-N -1 1 317.363 1.302 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1C[C@@H]3CCCC[C@@H]3C1)c2=O ZINC000179538411 407803567 /nfs/dbraw/zinc/80/35/67/407803567.db2.gz LHTLRICLYLSPGY-PHIMTYICSA-N -1 1 300.362 1.936 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1c3ccccc3C[C@H]1O)c2=O ZINC000179708688 407826885 /nfs/dbraw/zinc/82/68/85/407826885.db2.gz XHCXDBHKSZCKPU-ZIAGYGMSSA-N -1 1 324.340 1.062 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(C(C)C)CC2)co1 ZINC000153684402 407878333 /nfs/dbraw/zinc/87/83/33/407878333.db2.gz QAXNWTQWUGPWKK-UHFFFAOYSA-N -1 1 314.407 1.696 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)Nc1c[nH]c(C(N)=O)c1 ZINC000268115329 407895766 /nfs/dbraw/zinc/89/57/66/407895766.db2.gz PSLNANUCPYUDTF-UHFFFAOYSA-N -1 1 317.349 1.467 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCS(=O)CC1 ZINC000180511304 407896414 /nfs/dbraw/zinc/89/64/14/407896414.db2.gz FNFCOELZYYTILN-UHFFFAOYSA-N -1 1 307.293 1.616 20 0 DDADMM CC(C)OC(=O)[C@@H]1CCCN1C(=O)c1cccc2nn[nH]c21 ZINC000188076587 407916651 /nfs/dbraw/zinc/91/66/51/407916651.db2.gz XBRPMQFVJYOHNU-LBPRGKRZSA-N -1 1 302.334 1.514 20 0 DDADMM COC(=O)c1cccc(S(=O)(=O)[N-]c2cccc(O)c2)c1 ZINC000243352193 407917877 /nfs/dbraw/zinc/91/78/77/407917877.db2.gz LVZLDDNDAPILIR-UHFFFAOYSA-N -1 1 307.327 1.980 20 0 DDADMM O=C([O-])[C@@]12CCC[C@H]1CN(C(=O)c1ccc3[nH]nnc3c1)C2 ZINC000263119333 408029457 /nfs/dbraw/zinc/02/94/57/408029457.db2.gz KJUHQZYMQHAAOG-ZUZCIYMTSA-N -1 1 300.318 1.285 20 0 DDADMM CN1C(=O)C[C@H](C(=O)Nc2nnn[n-]2)[C@@H]1c1cccc(Cl)c1 ZINC000135465146 408017247 /nfs/dbraw/zinc/01/72/47/408017247.db2.gz CPILWACUPWEBKT-ONGXEEELSA-N -1 1 320.740 1.011 20 0 DDADMM CN1C(=O)C[C@H](C(=O)Nc2nn[n-]n2)[C@@H]1c1cccc(Cl)c1 ZINC000135465146 408017253 /nfs/dbraw/zinc/01/72/53/408017253.db2.gz CPILWACUPWEBKT-ONGXEEELSA-N -1 1 320.740 1.011 20 0 DDADMM COc1ccc(C(=O)c2ccccc2C(=O)Nc2nnn[n-]2)cc1 ZINC000182027277 408064820 /nfs/dbraw/zinc/06/48/20/408064820.db2.gz LKZXGWYPOQCYMU-UHFFFAOYSA-N -1 1 323.312 1.692 20 0 DDADMM COc1ccc(C(=O)c2ccccc2C(=O)Nc2nn[n-]n2)cc1 ZINC000182027277 408064824 /nfs/dbraw/zinc/06/48/24/408064824.db2.gz LKZXGWYPOQCYMU-UHFFFAOYSA-N -1 1 323.312 1.692 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN([C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000263301805 408097576 /nfs/dbraw/zinc/09/75/76/408097576.db2.gz GRUJGDSISIMNFH-LALPHHSUSA-N -1 1 320.364 1.974 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2CC[C@@H]1O2)c1cc(F)c(F)cc1F ZINC000151018958 408241929 /nfs/dbraw/zinc/24/19/29/408241929.db2.gz GNLFIMGIVKNHAA-PFZYVWIYSA-N -1 1 307.293 1.702 20 0 DDADMM COCCC(C)(C)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000273551295 408244239 /nfs/dbraw/zinc/24/42/39/408244239.db2.gz CPXPHBRTHBPJDR-UHFFFAOYSA-N -1 1 319.379 1.407 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnn(-c2ccccc2)c1)c1nn[n-]n1 ZINC000273575024 408251855 /nfs/dbraw/zinc/25/18/55/408251855.db2.gz MFSCKMHFHIXHKJ-NSHDSACASA-N -1 1 311.349 1.261 20 0 DDADMM Cc1noc(C)c1[C@@H](C)CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000273451933 408204330 /nfs/dbraw/zinc/20/43/30/408204330.db2.gz CWNMVBDJVKNZEZ-DTWKUNHWSA-N -1 1 306.370 1.560 20 0 DDADMM CCN(CC)S(=O)(=O)[N-]c1ccn(CC(F)(F)F)n1 ZINC000269451659 408314763 /nfs/dbraw/zinc/31/47/63/408314763.db2.gz VXUVYJXHMFFDNI-UHFFFAOYSA-N -1 1 300.306 1.444 20 0 DDADMM Cc1c(Cl)cccc1OCC(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000176253504 408323590 /nfs/dbraw/zinc/32/35/90/408323590.db2.gz IJNSFZZEQFCEBV-UHFFFAOYSA-N -1 1 324.768 1.188 20 0 DDADMM C[C@H]1C[C@@H](CC(=O)NCCCc2nc(=O)[n-][nH]2)CC(C)(C)C1 ZINC000176269276 408329612 /nfs/dbraw/zinc/32/96/12/408329612.db2.gz OVMWGUYKWWWEND-RYUDHWBXSA-N -1 1 308.426 1.999 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCc2ccccn2)o1 ZINC000269380872 408288261 /nfs/dbraw/zinc/28/82/61/408288261.db2.gz XJKQHVOADZCWNQ-UHFFFAOYSA-N -1 1 324.358 1.372 20 0 DDADMM O=C(NCCc1nc2cc(F)ccc2[nH]1)c1ncccc1[O-] ZINC000176141777 408296169 /nfs/dbraw/zinc/29/61/69/408296169.db2.gz GCRPDAGTOMDBBW-UHFFFAOYSA-N -1 1 300.293 1.775 20 0 DDADMM O=C(NCCS(=O)(=O)c1ccc(F)cc1)c1ncccc1[O-] ZINC000151335838 408296446 /nfs/dbraw/zinc/29/64/46/408296446.db2.gz MKXLFEGKXVRIOD-UHFFFAOYSA-N -1 1 324.333 1.130 20 0 DDADMM CC(C)CCOCCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132626583 162041129 /nfs/dbraw/zinc/04/11/29/162041129.db2.gz NRFADUCTVSXXJM-UHFFFAOYSA-N -1 1 306.366 1.466 20 0 DDADMM CNC(=O)[C@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000137459821 162127161 /nfs/dbraw/zinc/12/71/61/162127161.db2.gz BQACTAQXSXZFIC-LURJTMIESA-N -1 1 301.140 1.019 20 0 DDADMM O=C(Nc1nn[n-]n1)c1csc(Cc2cccc(F)c2)n1 ZINC000183284108 408371404 /nfs/dbraw/zinc/37/14/04/408371404.db2.gz WBMJTHNSOWVOFB-UHFFFAOYSA-N -1 1 304.310 1.638 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1cc(CN(C)C)ccn1 ZINC000176420551 408363669 /nfs/dbraw/zinc/36/36/69/408363669.db2.gz YUWXQBWPPVNQBL-UHFFFAOYSA-N -1 1 310.379 1.549 20 0 DDADMM C[C@@H]1C[C@H](N(C)C(=O)c2nc3ccccc3c(=O)[n-]2)CC[N@H+]1C ZINC000173188183 162326130 /nfs/dbraw/zinc/32/61/30/162326130.db2.gz KTNOTLREEMAPDW-VXGBXAGGSA-N -1 1 314.389 1.478 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc(OCC)cc1)c1nn[n-]n1 ZINC000176788163 408445308 /nfs/dbraw/zinc/44/53/08/408445308.db2.gz HTWZOGXJNHYDOR-CYBMUJFWSA-N -1 1 303.366 1.799 20 0 DDADMM CNC(=O)CCCN(C)C(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000159233008 408390698 /nfs/dbraw/zinc/39/06/98/408390698.db2.gz QZRTVJGQFTUEIC-UHFFFAOYSA-N -1 1 316.361 1.242 20 0 DDADMM CNC(=O)CCCN(C)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000159233008 408390704 /nfs/dbraw/zinc/39/07/04/408390704.db2.gz QZRTVJGQFTUEIC-UHFFFAOYSA-N -1 1 316.361 1.242 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CCOCC1)c1cccc(F)c1F ZINC000269723159 408406993 /nfs/dbraw/zinc/40/69/93/408406993.db2.gz BOBLWIRIXJVGLK-LLVKDONJSA-N -1 1 321.345 1.031 20 0 DDADMM CC(C)Nc1ncccc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183430166 408410483 /nfs/dbraw/zinc/41/04/83/408410483.db2.gz KNMYIQXWONOIRN-SNVBAGLBSA-N -1 1 303.370 1.291 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1csc(C(C)(C)C)n1)c1nn[n-]n1 ZINC000183429281 408412028 /nfs/dbraw/zinc/41/20/28/408412028.db2.gz SHUCPSSMJZYJMA-VIFPVBQESA-N -1 1 322.438 1.758 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(OC)c1 ZINC000183438684 408413318 /nfs/dbraw/zinc/41/33/18/408413318.db2.gz HGRDRQYPZDNFEC-VIFPVBQESA-N -1 1 305.338 1.093 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(F)c(NC(C)=O)c1)c1nn[n-]n1 ZINC000176756935 408434968 /nfs/dbraw/zinc/43/49/68/408434968.db2.gz QFKMQSSEHKGZSD-LLVKDONJSA-N -1 1 320.328 1.568 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1COc2ccccc2C1)c1nn[n-]n1 ZINC000176760962 408435346 /nfs/dbraw/zinc/43/53/46/408435346.db2.gz KKXRCZMPHOOHPR-VXGBXAGGSA-N -1 1 301.350 1.408 20 0 DDADMM Cc1nn(C(C)(C)C)cc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000274817863 408542148 /nfs/dbraw/zinc/54/21/48/408542148.db2.gz WZIHPANDVPWIRE-SECBINFHSA-N -1 1 305.386 1.335 20 0 DDADMM Cc1nnc2n1C[C@@H](CNC(=O)c1ccc(Cl)cc1[O-])CC2 ZINC000265420655 408643911 /nfs/dbraw/zinc/64/39/11/408643911.db2.gz JUOJXMPINHEUBS-SNVBAGLBSA-N -1 1 320.780 1.938 20 0 DDADMM CCC[C@H](NC(=O)c1cc(NC(C)=O)ccc1F)c1nn[n-]n1 ZINC000177654522 408677437 /nfs/dbraw/zinc/67/74/37/408677437.db2.gz DUJXTQBFWLPQJQ-LBPRGKRZSA-N -1 1 320.328 1.568 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCC[C@H](C)C2)co1 ZINC000162893832 408742930 /nfs/dbraw/zinc/74/29/30/408742930.db2.gz AYMHGEAMBFNTQJ-ONGXEEELSA-N -1 1 300.380 1.496 20 0 DDADMM CCC[C@H](NCC(=O)NCc1ccccc1COC)C(=O)[O-] ZINC000185041469 408745858 /nfs/dbraw/zinc/74/58/58/408745858.db2.gz NGYQVKNJHNLZMP-AWEZNQCLSA-N -1 1 308.378 1.292 20 0 DDADMM CC(C)(C)[S@](=O)CCNC(=O)c1c(F)ccc([O-])c1F ZINC000280829086 408841742 /nfs/dbraw/zinc/84/17/42/408841742.db2.gz SPNDWCVROUSHPW-HXUWFJFHSA-N -1 1 305.346 1.947 20 0 DDADMM CSCc1cccnc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000291765856 408919685 /nfs/dbraw/zinc/91/96/85/408919685.db2.gz WAHQXZFWGRORKA-SECBINFHSA-N -1 1 306.395 1.333 20 0 DDADMM CCOC(=O)c1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000291765661 408920067 /nfs/dbraw/zinc/92/00/67/408920067.db2.gz VWTOLZMFXHADCD-SNVBAGLBSA-N -1 1 317.349 1.252 20 0 DDADMM O=C(NC1CCN(c2cccnn2)CC1)c1ccc([O-])cc1F ZINC000277578695 408969566 /nfs/dbraw/zinc/96/95/66/408969566.db2.gz OHSQSOIMOCMZQQ-UHFFFAOYSA-N -1 1 316.336 1.720 20 0 DDADMM Cc1nnc([C@H](C)NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)[nH]1 ZINC000286431050 408984444 /nfs/dbraw/zinc/98/44/44/408984444.db2.gz QQMCZAHTGZRPDH-QMMMGPOBSA-N -1 1 318.381 1.706 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C(C)(C)F)CC2)n1 ZINC000277835917 409021398 /nfs/dbraw/zinc/02/13/98/409021398.db2.gz WOVMTXIBKPCANX-UHFFFAOYSA-N -1 1 312.345 1.436 20 0 DDADMM O=C(Nc1nnc2n1CCCC2)c1ccc2n[n-]c(=S)n2c1 ZINC000283085588 409084612 /nfs/dbraw/zinc/08/46/12/409084612.db2.gz CPNVTCQYPGJRSL-UHFFFAOYSA-N -1 1 315.362 1.198 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CCC(C)(C)O1 ZINC000278856081 409100979 /nfs/dbraw/zinc/10/09/79/409100979.db2.gz DQBVTJTUCXFAHW-LBPRGKRZSA-N -1 1 318.377 1.213 20 0 DDADMM COC(=O)[C@H]1CCC[C@@H](C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000283238583 409120104 /nfs/dbraw/zinc/12/01/04/409120104.db2.gz PWHFUMNIWWLCNT-AQIRGBNCSA-N -1 1 316.361 1.260 20 0 DDADMM C[C@]1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCCC[C@H]1O ZINC000283242321 409120258 /nfs/dbraw/zinc/12/02/58/409120258.db2.gz CCTLKISPFAQYDH-IAQYHMDHSA-N -1 1 320.418 1.689 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1Cl ZINC000293547010 409135340 /nfs/dbraw/zinc/13/53/40/409135340.db2.gz QUTWHONAEDJIDK-MRVPVSSYSA-N -1 1 309.757 1.737 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCOC2(CCC2)C1 ZINC000283551936 409175920 /nfs/dbraw/zinc/17/59/20/409175920.db2.gz VCNKJGHCPZQNTO-UHFFFAOYSA-N -1 1 304.375 1.413 20 0 DDADMM O=C1NCCCC[C@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000283795078 409218451 /nfs/dbraw/zinc/21/84/51/409218451.db2.gz GESPKWVDGZJMKB-SNVBAGLBSA-N -1 1 320.773 1.426 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2nc(C)oc2c1)c1nn[n-]n1 ZINC000280329604 409293487 /nfs/dbraw/zinc/29/34/87/409293487.db2.gz JBPYWHAVBFAFIE-LLVKDONJSA-N -1 1 300.322 1.921 20 0 DDADMM COC(=O)[C@@H](C)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284307556 409315094 /nfs/dbraw/zinc/31/50/94/409315094.db2.gz NJIBLMRNYFRVMR-ZETCQYMHSA-N -1 1 309.746 1.567 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC(C)=C(C)C1 ZINC000280215974 409271965 /nfs/dbraw/zinc/27/19/65/409271965.db2.gz KNSQMTYPQBRPQI-UHFFFAOYSA-N -1 1 314.389 1.751 20 0 DDADMM C[C@@H](C(=O)Nc1ccc2c(c1)OCO2)N1CCC(C(=O)[O-])CC1 ZINC000262679192 163864443 /nfs/dbraw/zinc/86/44/43/163864443.db2.gz VIPLBDYJJWZLHC-JTQLQIEISA-N -1 1 320.345 1.539 20 0 DDADMM O=C(NC[C@H](CO)[C@@H]1CCOC1)c1ccc2ccccc2c1[O-] ZINC000280583846 409369758 /nfs/dbraw/zinc/36/97/58/409369758.db2.gz XBWUTTXKRQQDHN-ZIAGYGMSSA-N -1 1 315.369 1.920 20 0 DDADMM O=C([N-]CCc1cnc2c(Cl)cnn2c1)C(F)(F)C(F)F ZINC000295985933 409392641 /nfs/dbraw/zinc/39/26/41/409392641.db2.gz QHHNHVMFFVWMOA-UHFFFAOYSA-N -1 1 324.665 1.942 20 0 DDADMM CCCC[C@H](C)N(C)C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000290419125 409394109 /nfs/dbraw/zinc/39/41/09/409394109.db2.gz OMDCQHGSSKWXJC-UQGHUHRHSA-N -1 1 317.393 1.317 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1(C)C ZINC000296148762 409446064 /nfs/dbraw/zinc/44/60/64/409446064.db2.gz RJHQGZGWTSEPNJ-LLVKDONJSA-N -1 1 320.418 1.905 20 0 DDADMM C[C@@H](NCc1nc(=O)n(C)[n-]1)c1ccc(Br)cn1 ZINC000361399291 164153191 /nfs/dbraw/zinc/15/31/91/164153191.db2.gz DBYYLSMWJQRFCB-SSDOTTSWSA-N -1 1 312.171 1.117 20 0 DDADMM C[C@@H]1CC[C@H](CCC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)O1 ZINC000407996427 164192908 /nfs/dbraw/zinc/19/29/08/164192908.db2.gz LAHJFSNTLOBVDY-IJLUTSLNSA-N -1 1 309.366 1.829 20 0 DDADMM C[C@H]1OCC[C@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000408216330 164263761 /nfs/dbraw/zinc/26/37/61/164263761.db2.gz UCEUAKMGVGHLOC-RNFRBKRXSA-N -1 1 310.169 1.498 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@H](O)C1)c1ccc(Br)o1 ZINC000408301971 164290731 /nfs/dbraw/zinc/29/07/31/164290731.db2.gz DLDTUGDXTPQEEL-YUMQZZPRSA-N -1 1 324.196 1.481 20 0 DDADMM CCSCC[C@@H](C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337914843 409565198 /nfs/dbraw/zinc/56/51/98/409565198.db2.gz SQYDSMJHPDJVQO-SNVBAGLBSA-N -1 1 311.407 1.165 20 0 DDADMM CCCCCN(CCO)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000304020755 409566852 /nfs/dbraw/zinc/56/68/52/409566852.db2.gz DHCPZFXAYJUULQ-UHFFFAOYSA-N -1 1 303.362 1.960 20 0 DDADMM COC(=O)[C@@H]1CCCCC[C@@H]1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000296371290 409514341 /nfs/dbraw/zinc/51/43/41/409514341.db2.gz VEQKJPPDJLSMIX-KGLIPLIRSA-N -1 1 319.357 1.813 20 0 DDADMM Cc1ccc(NC(=O)CNC(=O)c2ncccc2[O-])cc1F ZINC000337942124 409587659 /nfs/dbraw/zinc/58/76/59/409587659.db2.gz AMQNSZOCRSFQHA-UHFFFAOYSA-N -1 1 303.293 1.603 20 0 DDADMM CCC(CC)CN(CC)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337947237 409592357 /nfs/dbraw/zinc/59/23/57/409592357.db2.gz BKWDVQIGJLAQJV-UHFFFAOYSA-N -1 1 307.394 1.802 20 0 DDADMM CC(C)[C@@H](C)N(C(=O)CNC(=O)c1ncccc1[O-])C1CC1 ZINC000337948119 409592914 /nfs/dbraw/zinc/59/29/14/409592914.db2.gz KKAKQZCLBDSFOP-LLVKDONJSA-N -1 1 305.378 1.553 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-]Cc1noc(-c2ccccc2)n1 ZINC000337954848 409597000 /nfs/dbraw/zinc/59/70/00/409597000.db2.gz JRPDDVMODGPAAU-UHFFFAOYSA-N -1 1 320.330 1.344 20 0 DDADMM C[C@H](c1ccco1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337952889 409597921 /nfs/dbraw/zinc/59/79/21/409597921.db2.gz XJHBTSPKJHTQFT-SNVBAGLBSA-N -1 1 303.318 1.330 20 0 DDADMM CC(C)c1ncc(CN2CCN(c3nc(=N)[n-]s3)CC2)s1 ZINC000355094823 298634219 /nfs/dbraw/zinc/63/42/19/298634219.db2.gz ANMFFWPPEWTXMO-UHFFFAOYSA-N -1 1 324.479 1.853 20 0 DDADMM CCOc1cc(C(=O)N(C)[C@H]2COC[C@H]2O)cc(Cl)c1[O-] ZINC000342457208 409644939 /nfs/dbraw/zinc/64/49/39/409644939.db2.gz YVZUSEQBMFQXGL-WDEREUQCSA-N -1 1 315.753 1.276 20 0 DDADMM CCCS(=O)(=O)[N-]c1nnc2ccc(Br)cn21 ZINC000296866407 409673988 /nfs/dbraw/zinc/67/39/88/409673988.db2.gz ZHWSIUGLZOBFDJ-UHFFFAOYSA-N -1 1 319.184 1.644 20 0 DDADMM C[C@]1(CO)CCC[C@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000305121347 409690105 /nfs/dbraw/zinc/69/01/05/409690105.db2.gz MQUSTAGOIHWNDX-CHWSQXEVSA-N -1 1 323.336 1.933 20 0 DDADMM COCCOCc1nc(=O)c2c(C)c(C(=O)OC)sc2[n-]1 ZINC000342581556 409738474 /nfs/dbraw/zinc/73/84/74/409738474.db2.gz VYSSSCXLVFUMKP-UHFFFAOYSA-N -1 1 312.347 1.243 20 0 DDADMM N=c1nc(N2CCN(C(=O)c3sccc3F)CC2)s[n-]1 ZINC000342591975 409744838 /nfs/dbraw/zinc/74/48/38/409744838.db2.gz HEQQDPPVDMAETR-UHFFFAOYSA-N -1 1 313.383 1.114 20 0 DDADMM Cc1ccc2nc(CNC(=O)CSc3n[nH]c(=O)[n-]3)[nH]c2c1 ZINC000354334085 409774813 /nfs/dbraw/zinc/77/48/13/409774813.db2.gz YOXMXVWWXZFOMH-UHFFFAOYSA-N -1 1 318.362 1.104 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@]12CCOc1ccccc12 ZINC000357050958 409821222 /nfs/dbraw/zinc/82/12/22/409821222.db2.gz IXNSDIIHLQLGQU-ABAIWWIYSA-N -1 1 311.345 1.045 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(=O)[nH]c2cc(F)ccc21 ZINC000357057837 409824580 /nfs/dbraw/zinc/82/45/80/409824580.db2.gz QDQQYZCMIIEMCS-UHFFFAOYSA-N -1 1 314.280 1.012 20 0 DDADMM Cc1cnc(C(=O)Nc2ccc(-n3nn[n-]c3=O)cc2)c(O)c1 ZINC000357013563 409792129 /nfs/dbraw/zinc/79/21/29/409792129.db2.gz MQCOXVMGVVXYCG-UHFFFAOYSA-N -1 1 312.289 1.029 20 0 DDADMM COC(=O)CCc1nnc([N-]C(=O)c2conc2C2CC2)s1 ZINC000354444679 409858459 /nfs/dbraw/zinc/85/84/59/409858459.db2.gz CXDYHHQHTBZFDV-UHFFFAOYSA-N -1 1 322.346 1.761 20 0 DDADMM CCC(CC)(CCO)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000338315779 409900937 /nfs/dbraw/zinc/90/09/37/409900937.db2.gz QCCBATWMQLLUCG-UHFFFAOYSA-N -1 1 306.366 1.345 20 0 DDADMM COc1cccc(N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC000342900298 409984589 /nfs/dbraw/zinc/98/45/89/409984589.db2.gz IBDMMJOCEDIHIW-GFCCVEGCSA-N -1 1 313.357 1.805 20 0 DDADMM C[C@H]1COCC[C@H]1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000342832711 409934886 /nfs/dbraw/zinc/93/48/86/409934886.db2.gz UMBKNQJRRLLWAE-UWVGGRQHSA-N -1 1 304.350 1.076 20 0 DDADMM COc1cc(NC(=O)c2c[nH]cn2)ccc1[N-]S(C)(=O)=O ZINC000357203543 409939100 /nfs/dbraw/zinc/93/91/00/409939100.db2.gz KRRNJVXYCNGWHV-UHFFFAOYSA-N -1 1 310.335 1.042 20 0 DDADMM COc1ccc(-c2cnc(CCC(=O)Nc3nnn[n-]3)o2)cc1 ZINC000342853244 409950115 /nfs/dbraw/zinc/95/01/15/409950115.db2.gz SROOPYGVSYQFDO-UHFFFAOYSA-N -1 1 314.305 1.435 20 0 DDADMM COc1ccc(-c2cnc(CCC(=O)Nc3nn[n-]n3)o2)cc1 ZINC000342853244 409950122 /nfs/dbraw/zinc/95/01/22/409950122.db2.gz SROOPYGVSYQFDO-UHFFFAOYSA-N -1 1 314.305 1.435 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCC1(C(F)(F)F)CC1)c2=O ZINC000342947374 410019446 /nfs/dbraw/zinc/01/94/46/410019446.db2.gz QXKQLFCQSGZZHU-UHFFFAOYSA-N -1 1 314.267 1.746 20 0 DDADMM CC(C)N1CC[C@@H]([N-]S(=O)(=O)c2sccc2F)C1=O ZINC000338910324 410049675 /nfs/dbraw/zinc/04/96/75/410049675.db2.gz KRBYAAKWQSUKGR-SECBINFHSA-N -1 1 306.384 1.175 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)C[C@@H](C)[C@@H]2C)co1 ZINC000354739069 410053690 /nfs/dbraw/zinc/05/36/90/410053690.db2.gz VAQWCZMSGYZGMW-MXWKQRLJSA-N -1 1 314.407 1.694 20 0 DDADMM CCOc1ncc(C(=O)NC(C)(C)c2nn[n-]n2)cc1Cl ZINC000354758215 410066119 /nfs/dbraw/zinc/06/61/19/410066119.db2.gz DVJZDQZBFIYXQO-UHFFFAOYSA-N -1 1 310.745 1.312 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc(=O)[nH]1 ZINC000332334585 410074679 /nfs/dbraw/zinc/07/46/79/410074679.db2.gz PWXBJOHDNAEWIE-SECBINFHSA-N -1 1 318.333 1.458 20 0 DDADMM CNC(=O)Cn1nc(NCc2cccc([O-])c2Cl)cc1C ZINC000339332144 410103262 /nfs/dbraw/zinc/10/32/62/410103262.db2.gz HMXYQVYLOZLUEV-UHFFFAOYSA-N -1 1 308.769 1.331 20 0 DDADMM O=C(Nc1cccc(Cl)c1O)c1c[n-]n2c1nccc2=O ZINC000339353403 410121675 /nfs/dbraw/zinc/12/16/75/410121675.db2.gz WSHWOCKOCICAMT-UHFFFAOYSA-N -1 1 304.693 1.634 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2Cc3ccccc3[C@H]2C)co1 ZINC000339374029 410137133 /nfs/dbraw/zinc/13/71/33/410137133.db2.gz DRAWYDTVWODCQR-SNVBAGLBSA-N -1 1 320.370 1.905 20 0 DDADMM CCOc1ccccc1-c1nnc(SCc2nn[n-]n2)o1 ZINC000298281332 410164244 /nfs/dbraw/zinc/16/42/44/410164244.db2.gz AUBILGLSPGQQMJ-UHFFFAOYSA-N -1 1 304.335 1.941 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2cc(F)cc(Cl)c2)no1 ZINC000339448744 410196480 /nfs/dbraw/zinc/19/64/80/410196480.db2.gz OBFWTFAWWNIYEA-UHFFFAOYSA-N -1 1 305.718 1.649 20 0 DDADMM COc1ccc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)nc1 ZINC000351846086 410281240 /nfs/dbraw/zinc/28/12/40/410281240.db2.gz ZRYKDTDFFTZZFQ-UHFFFAOYSA-N -1 1 318.333 1.128 20 0 DDADMM Cc1cccnc1CCCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000298552443 410286024 /nfs/dbraw/zinc/28/60/24/410286024.db2.gz YLSBBUHYXXTWDQ-JCMHNJIXSA-N -1 1 324.388 1.800 20 0 DDADMM C[C@@H](OCCc1ccccc1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000298618351 410317272 /nfs/dbraw/zinc/31/72/72/410317272.db2.gz LHAYQRKJRXUSGP-QIAIEURZSA-N -1 1 324.384 1.928 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCC[C@H]2CCOC2)[n-]n1 ZINC000329629671 410392535 /nfs/dbraw/zinc/39/25/35/410392535.db2.gz HOWHESVWYCIHIP-LBPRGKRZSA-N -1 1 304.394 1.409 20 0 DDADMM Cn1c2ccccc2cc(CNC(=O)c2ncccc2[O-])c1=O ZINC000343394494 410421842 /nfs/dbraw/zinc/42/18/42/410421842.db2.gz ZUQSHVBQWKDYDU-UHFFFAOYSA-N -1 1 309.325 1.569 20 0 DDADMM CC[N@@H+]1CC[C@@H](NS(=O)(=O)c2cn3c(n2)CCC[C@H]3C)C1 ZINC000333185681 410412225 /nfs/dbraw/zinc/41/22/25/410412225.db2.gz QRRUVYOYGSDYRR-VXGBXAGGSA-N -1 1 312.439 1.153 20 0 DDADMM O=c1cc(/C=C\c2ccc(-n3cccn3)cc2)nc2nc[n-]n21 ZINC000352035449 410434664 /nfs/dbraw/zinc/43/46/64/410434664.db2.gz RGWASFNEPDTQMH-DJWKRKHSSA-N -1 1 304.313 1.774 20 0 DDADMM Cc1c(C(=O)Nc2ccncc2[O-])nnn1CC1CCCC1 ZINC000333363515 410502234 /nfs/dbraw/zinc/50/22/34/410502234.db2.gz YCBHVENVAFKPND-UHFFFAOYSA-N -1 1 301.350 1.552 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)[C@@H]1OC ZINC000330012183 410516022 /nfs/dbraw/zinc/51/60/22/410516022.db2.gz KEIIPJKGLXMDRH-MXWKQRLJSA-N -1 1 320.436 1.231 20 0 DDADMM CCCN(Cc1nc(=O)n(C)[n-]1)C[C@H]1COc2ccccc2O1 ZINC000347401198 410522726 /nfs/dbraw/zinc/52/27/26/410522726.db2.gz QNPJPQLYXPJERH-LBPRGKRZSA-N -1 1 318.377 1.160 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cccc(COC)c2)n1 ZINC000352164447 410517494 /nfs/dbraw/zinc/51/74/94/410517494.db2.gz RMOUAHGEKFTBJK-UHFFFAOYSA-N -1 1 317.345 1.914 20 0 DDADMM COC(=O)COc1cccc(CNC(=O)c2cncc([O-])c2)c1 ZINC000339956033 410575633 /nfs/dbraw/zinc/57/56/33/410575633.db2.gz IGNBOSSHIPLLFT-UHFFFAOYSA-N -1 1 316.313 1.269 20 0 DDADMM CCOc1cc(/C=C\c2cc(=O)n3[n-]cnc3n2)ccc1OC ZINC000339956828 410575644 /nfs/dbraw/zinc/57/56/44/410575644.db2.gz NBLOOJJSULZOJE-XQRVVYSFSA-N -1 1 312.329 1.995 20 0 DDADMM CCn1nccc1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000355559727 410580799 /nfs/dbraw/zinc/58/07/99/410580799.db2.gz NAEMGTVZUKGPET-UHFFFAOYSA-N -1 1 314.349 1.449 20 0 DDADMM C[C@@H]1CC[C@H](C)N1CC(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC000330092077 410539522 /nfs/dbraw/zinc/53/95/22/410539522.db2.gz QLPSYDPSSXKGCH-PHIMTYICSA-N -1 1 316.365 1.179 20 0 DDADMM O=C(CC12CC3CC(CC(C3)C1)C2)NC1(c2nn[n-]n2)CC1 ZINC000352206459 410549433 /nfs/dbraw/zinc/54/94/33/410549433.db2.gz WQQBOSRMLAMJMR-UHFFFAOYSA-N -1 1 301.394 1.912 20 0 DDADMM COC(=O)c1cc(OC)ccc1NC(=O)c1cncc([O-])c1 ZINC000339923580 410554163 /nfs/dbraw/zinc/55/41/63/410554163.db2.gz KIPQMZBFUWTYMR-UHFFFAOYSA-N -1 1 302.286 1.835 20 0 DDADMM Cc1ccc(F)c(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1F ZINC000347626951 410635622 /nfs/dbraw/zinc/63/56/22/410635622.db2.gz YHUGRJKQURTXMZ-UHFFFAOYSA-N -1 1 316.289 1.047 20 0 DDADMM Cc1ccc(F)c(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)c1F ZINC000347626951 410635628 /nfs/dbraw/zinc/63/56/28/410635628.db2.gz YHUGRJKQURTXMZ-UHFFFAOYSA-N -1 1 316.289 1.047 20 0 DDADMM C[C@@]1(CN=c2ccc(C(=O)NC3CCCC3)n[n-]2)CCOC1 ZINC000330347180 410636258 /nfs/dbraw/zinc/63/62/58/410636258.db2.gz HLGHNSFLLAHKJS-INIZCTEOSA-N -1 1 304.394 1.409 20 0 DDADMM CC(C)Cc1ncc([N-]S(=O)(=O)CCOC(C)C)cn1 ZINC000355875703 410710847 /nfs/dbraw/zinc/71/08/47/410710847.db2.gz COQZPTNVLBQUNS-UHFFFAOYSA-N -1 1 301.412 1.842 20 0 DDADMM O=C(N=c1cc([C@@H]2CCCO2)[nH][nH]1)c1cnc2ccccc2c1[O-] ZINC000352982678 410714672 /nfs/dbraw/zinc/71/46/72/410714672.db2.gz DESUOLHGNDPEBV-AWEZNQCLSA-N -1 1 324.340 1.777 20 0 DDADMM COc1cc(NC(=O)NCC(F)F)ccc1[N-]S(C)(=O)=O ZINC000343771280 410683885 /nfs/dbraw/zinc/68/38/85/410683885.db2.gz VAVCQCSQAWHKHL-UHFFFAOYSA-N -1 1 323.321 1.453 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3n[nH]nc3c2)c(F)c1 ZINC000359660162 410800728 /nfs/dbraw/zinc/80/07/28/410800728.db2.gz BUBPAJNXKARTQK-UHFFFAOYSA-N -1 1 322.321 1.906 20 0 DDADMM CCCC[C@@H](CCC)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343936948 410827756 /nfs/dbraw/zinc/82/77/56/410827756.db2.gz UKSMQNCKJKPDNI-GFCCVEGCSA-N -1 1 307.394 1.992 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@@H]1CCSC1 ZINC000330646760 410847001 /nfs/dbraw/zinc/84/70/01/410847001.db2.gz JBRCTYGDBHNDNM-MRVPVSSYSA-N -1 1 318.395 1.889 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(c2cccc(Cl)c2)CC1 ZINC000348279778 410894074 /nfs/dbraw/zinc/89/40/74/410894074.db2.gz GCSBOBJHKLKJQC-UHFFFAOYSA-N -1 1 303.753 1.690 20 0 DDADMM CC(C)(C)c1ccccc1OCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000348290422 410901160 /nfs/dbraw/zinc/90/11/60/410901160.db2.gz DXGXULDZGUHWEL-UHFFFAOYSA-N -1 1 315.377 1.682 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H](CO)c1ccsc1)c2=O ZINC000356255280 410926633 /nfs/dbraw/zinc/92/66/33/410926633.db2.gz QXHRCMRIUAGPQB-NSHDSACASA-N -1 1 318.358 1.199 20 0 DDADMM Cc1cnc(C(=O)NCc2ccccc2Cn2cncn2)c([O-])c1 ZINC000337661394 410926979 /nfs/dbraw/zinc/92/69/79/410926979.db2.gz IUMHWVFGHMTHJE-UHFFFAOYSA-N -1 1 323.356 1.665 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CC2CCC1CC2 ZINC000344127008 410972727 /nfs/dbraw/zinc/97/27/27/410972727.db2.gz MTLBXHGAERRBJP-HTAVTVPLSA-N -1 1 303.362 1.212 20 0 DDADMM COCC[C@@H](CO)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000359980833 410981482 /nfs/dbraw/zinc/98/14/82/410981482.db2.gz HISIEYZLDFKEOR-QMMMGPOBSA-N -1 1 311.762 1.155 20 0 DDADMM Cn1[n-]c(CN2CCC(C(F)(F)F)(C(F)(F)F)C2)nc1=O ZINC000353478041 411017613 /nfs/dbraw/zinc/01/76/13/411017613.db2.gz LPBRMBUOEIYNRN-UHFFFAOYSA-N -1 1 318.221 1.425 20 0 DDADMM Cc1cc(C)cc(CCNC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000353484538 411019176 /nfs/dbraw/zinc/01/91/76/411019176.db2.gz QOOCQYKSYNDBKW-UHFFFAOYSA-N -1 1 311.345 1.007 20 0 DDADMM CC(C)CN1CCN(C(=O)c2ccc([O-])cc2F)[C@H](C)C1=O ZINC000331228567 411030061 /nfs/dbraw/zinc/03/00/61/411030061.db2.gz FVDZXSQZLUWQNK-LLVKDONJSA-N -1 1 308.353 1.860 20 0 DDADMM COc1ccc(=NC(=O)NC[C@@H](c2cccs2)N(C)C)[n-]n1 ZINC000356449798 411039646 /nfs/dbraw/zinc/03/96/46/411039646.db2.gz UWLVMAJIDALZLN-JTQLQIEISA-N -1 1 321.406 1.393 20 0 DDADMM O=C(Nc1ccc2ccccc2n1)c1cc(=O)n2[n-]cnc2n1 ZINC000353653921 411100876 /nfs/dbraw/zinc/10/08/76/411100876.db2.gz CNRAWFQZTABTSX-UHFFFAOYSA-N -1 1 306.285 1.218 20 0 DDADMM CC1(C)CN(C(=O)CNC(=O)c2ncccc2[O-])[C@H]2CCC[C@@H]21 ZINC000360244241 411110024 /nfs/dbraw/zinc/11/00/24/411110024.db2.gz ZGTRWXIEQZZADS-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@H](C)[C@@H](OC)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000356628168 411111121 /nfs/dbraw/zinc/11/11/21/411111121.db2.gz TWXFATWOVUHOKM-QPUJVOFHSA-N -1 1 316.379 1.039 20 0 DDADMM O=C(CCCc1nccs1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000647658934 422987866 /nfs/dbraw/zinc/98/78/66/422987866.db2.gz BDFHGGMXEXGYJB-JTQLQIEISA-N -1 1 322.390 1.961 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C)[C@@H](C)C2)o1 ZINC000132016394 196218922 /nfs/dbraw/zinc/21/89/22/196218922.db2.gz DMLFHRHENDLGDJ-UWVGGRQHSA-N -1 1 300.380 1.306 20 0 DDADMM CC1(C)[C@H](NC(=O)c2c([O-])cccc2F)C[C@@H]1N1CCOCC1 ZINC000640517944 423006241 /nfs/dbraw/zinc/00/62/41/423006241.db2.gz HJBYULFDMSZAPQ-KGLIPLIRSA-N -1 1 322.380 1.760 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCC[C@@H](n2ccnn2)C1 ZINC000652425291 423012121 /nfs/dbraw/zinc/01/21/21/423012121.db2.gz LNSGEBCCDHPGHW-CYBMUJFWSA-N -1 1 304.325 1.953 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CC23CCC3)c1 ZINC000642992013 423018351 /nfs/dbraw/zinc/01/83/51/423018351.db2.gz WIPTYGMZSQNOMT-SNVBAGLBSA-N -1 1 310.375 1.429 20 0 DDADMM O=C(NCc1c[nH]c(=O)[nH]1)c1ccc(C(F)(F)F)cc1[O-] ZINC000647739835 423024511 /nfs/dbraw/zinc/02/45/11/423024511.db2.gz CKAJVSVNGDDATM-UHFFFAOYSA-N -1 1 301.224 1.770 20 0 DDADMM C[C@@H](CO)[C@H](C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645560218 423086801 /nfs/dbraw/zinc/08/68/01/423086801.db2.gz WRQXQXPZVVILJT-YUMQZZPRSA-N -1 1 312.313 1.396 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CC23CCOCC3)sc1C ZINC000650211596 423087036 /nfs/dbraw/zinc/08/70/36/423087036.db2.gz WWEZFGCOANNKQZ-JTQLQIEISA-N -1 1 302.421 1.607 20 0 DDADMM CSc1nc(CNC(=O)CCc2cncc(C)c2)cc(=O)[n-]1 ZINC000640655459 423115980 /nfs/dbraw/zinc/11/59/80/423115980.db2.gz NTCRXVYYJXEZRU-UHFFFAOYSA-N -1 1 318.402 1.857 20 0 DDADMM CC[C@H]1C[C@H](C(=O)NCc2cc(=O)[n-]c(SC)n2)CCO1 ZINC000640657068 423118437 /nfs/dbraw/zinc/11/84/37/423118437.db2.gz ZTCLEQBTMKNQGS-KOLCDFICSA-N -1 1 311.407 1.726 20 0 DDADMM COCc1ccccc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640657398 423117681 /nfs/dbraw/zinc/11/76/81/423117681.db2.gz IKNGJLFAXINZMF-UHFFFAOYSA-N -1 1 319.386 1.981 20 0 DDADMM Cc1cccc(N2C[C@H](C(=O)[N-]OC(C)(C)CO)CC2=O)c1C ZINC000296762863 226204722 /nfs/dbraw/zinc/20/47/22/226204722.db2.gz BZLGBGJFJPJGEL-CYBMUJFWSA-N -1 1 320.389 1.475 20 0 DDADMM CN(Cc1ccc(C(=O)[O-])cc1)C(=O)c1ccc2[nH]nnc2c1 ZINC000192893353 222137706 /nfs/dbraw/zinc/13/77/06/222137706.db2.gz JQXNMDRCUUZKDM-UHFFFAOYSA-N -1 1 310.313 1.928 20 0 DDADMM COc1cccc([C@H](CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])N(C)C)c1 ZINC000315574881 418596883 /nfs/dbraw/zinc/59/68/83/418596883.db2.gz PXGBJDHMHRJLKD-MCIONIFRSA-N -1 1 306.362 1.135 20 0 DDADMM Cc1cc(Cl)c(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1C ZINC000368004067 418672616 /nfs/dbraw/zinc/67/26/16/418672616.db2.gz CKVTWHVPZBEUQZ-GFCCVEGCSA-N -1 1 321.768 1.684 20 0 DDADMM O=C(NC1COCCOC1)c1ccc(Br)c([O-])c1 ZINC000381280156 418729161 /nfs/dbraw/zinc/72/91/61/418729161.db2.gz URZVNAWJGNUOHP-UHFFFAOYSA-N -1 1 316.151 1.300 20 0 DDADMM C[C@@H](CN(C)C(=O)C=C1CCOc2ccccc21)c1nn[n-]n1 ZINC000364990613 418830016 /nfs/dbraw/zinc/83/00/16/418830016.db2.gz QPJKBLHRSIYUMJ-AWPPVZKDSA-N -1 1 313.361 1.628 20 0 DDADMM CCC[C@H](NC(=O)C[C@H]1OCCc2ccsc21)c1nn[n-]n1 ZINC000371927627 418825008 /nfs/dbraw/zinc/82/50/08/418825008.db2.gz HJEDNJXAMPQTTB-WDEREUQCSA-N -1 1 321.406 1.923 20 0 DDADMM Cc1ccc2c([n-]cc(C(=O)N3CCOCC(F)(F)C3)c2=O)[nH+]1 ZINC000365670570 418892173 /nfs/dbraw/zinc/89/21/73/418892173.db2.gz WRFYDLGTSFZYQG-UHFFFAOYSA-N -1 1 323.299 1.752 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCOCC(F)(F)C3)cnc2n1 ZINC000365670570 418892174 /nfs/dbraw/zinc/89/21/74/418892174.db2.gz WRFYDLGTSFZYQG-UHFFFAOYSA-N -1 1 323.299 1.752 20 0 DDADMM CO[N-]C(=O)[C@H]1CC(=O)N(C2CC2)[C@@H]1c1ccc(OC)cc1 ZINC000372142038 418838227 /nfs/dbraw/zinc/83/82/27/418838227.db2.gz AWAPOFAPFJTEBH-DZGCQCFKSA-N -1 1 304.346 1.425 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCC2(CO)CC2)c(F)c1 ZINC000425176076 228382070 /nfs/dbraw/zinc/38/20/70/228382070.db2.gz CLDXOHBMBOHJTR-UHFFFAOYSA-N -1 1 305.346 1.714 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)c2ncnn2C)c(F)c1 ZINC000425179877 228382389 /nfs/dbraw/zinc/38/23/89/228382389.db2.gz UZQTVMMAPNMHOJ-QMMMGPOBSA-N -1 1 316.333 1.441 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cccc2c1CN(C)C2=O)OC ZINC000421147322 419502215 /nfs/dbraw/zinc/50/22/15/419502215.db2.gz DWEQEGWDGWCQOJ-SNVBAGLBSA-N -1 1 312.391 1.439 20 0 DDADMM Cc1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c(Cl)c1 ZINC000427879809 419761944 /nfs/dbraw/zinc/76/19/44/419761944.db2.gz UOMWTDAMISHHTQ-UHFFFAOYSA-N -1 1 314.754 1.422 20 0 DDADMM Cc1ccc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c(Cl)c1 ZINC000427879809 419761948 /nfs/dbraw/zinc/76/19/48/419761948.db2.gz UOMWTDAMISHHTQ-UHFFFAOYSA-N -1 1 314.754 1.422 20 0 DDADMM CCCN1C[C@H](CNC(=O)c2csc(=NC3CC3)[n-]2)CC1=O ZINC000421909552 419767431 /nfs/dbraw/zinc/76/74/31/419767431.db2.gz FJCBHSJWOTURCO-JTQLQIEISA-N -1 1 322.434 1.128 20 0 DDADMM CCc1[nH]ccc1C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000427908180 419768220 /nfs/dbraw/zinc/76/82/20/419768220.db2.gz YNNKRCDMGRPGKN-UHFFFAOYSA-N -1 1 323.374 1.443 20 0 DDADMM CCCN1C[C@H](CNC(=O)c2c(F)ccc([O-])c2F)CC1=O ZINC000421927875 419775066 /nfs/dbraw/zinc/77/50/66/419775066.db2.gz ZVDYQFNIEDDHJO-VIFPVBQESA-N -1 1 312.316 1.659 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@H](C2CCCC2)C1 ZINC000421951323 419785020 /nfs/dbraw/zinc/78/50/20/419785020.db2.gz PXLSQMZANXHFRY-ZDUSSCGKSA-N -1 1 317.389 1.556 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)F)c1cccc(F)c1F ZINC000428417590 419862765 /nfs/dbraw/zinc/86/27/65/419862765.db2.gz DCVMOBHYTJRADC-ZCFIWIBFSA-N -1 1 301.261 1.259 20 0 DDADMM O=S(=O)([N-][C@@H]1CC[C@@](O)(C(F)(F)F)C1)c1cscn1 ZINC000645726652 423156540 /nfs/dbraw/zinc/15/65/40/423156540.db2.gz XCOGAEUGOCYUKI-SVRRBLITSA-N -1 1 316.326 1.267 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1CCCC[C@@H]1C(F)(F)F ZINC000430328436 420107306 /nfs/dbraw/zinc/10/73/06/420107306.db2.gz ZZARTQPBOVPJFZ-SFYZADRCSA-N -1 1 303.288 1.674 20 0 DDADMM CCC[C@@H](NC(=O)Cc1noc2ccc(F)cc12)c1nn[n-]n1 ZINC000416195219 420275775 /nfs/dbraw/zinc/27/57/75/420275775.db2.gz SPELBMGVTFMYFM-SNVBAGLBSA-N -1 1 318.312 1.680 20 0 DDADMM C[C@@H]1CC[NH2+]C[C@@H]1NS(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000420429614 420281350 /nfs/dbraw/zinc/28/13/50/420281350.db2.gz AQMDNKYBWKUXPV-XCBNKYQSSA-N -1 1 324.780 1.895 20 0 DDADMM CCSc1nccnc1C(=O)N=c1nc(C2CCC2)[nH][n-]1 ZINC000435822790 420286395 /nfs/dbraw/zinc/28/63/95/420286395.db2.gz UXCHTSJJUVVOCR-UHFFFAOYSA-N -1 1 304.379 1.648 20 0 DDADMM CSc1c(F)cccc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000436094689 420308259 /nfs/dbraw/zinc/30/82/59/420308259.db2.gz VKFSOPSCXTXLOF-MRVPVSSYSA-N -1 1 309.370 1.936 20 0 DDADMM O=C(NCc1nc(C(F)(F)F)n[nH]1)c1ccc([O-])cc1F ZINC000436561022 420339304 /nfs/dbraw/zinc/33/93/04/420339304.db2.gz ASVDZPMVNZTKNK-UHFFFAOYSA-N -1 1 304.203 1.598 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCCCC2(O)CCC2)[n-]n1 ZINC000420646679 420340996 /nfs/dbraw/zinc/34/09/96/420340996.db2.gz YCIKNEITNJAKLX-UHFFFAOYSA-N -1 1 318.421 1.678 20 0 DDADMM Cc1nccc(CCC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000425295001 420341628 /nfs/dbraw/zinc/34/16/28/420341628.db2.gz FFCBGPGFSPSFQF-LLVKDONJSA-N -1 1 317.349 1.212 20 0 DDADMM CCC(=O)c1ccc([O-])c(NS(=O)(=O)N2CC[C@H](C)C2)c1 ZINC000436611959 420344669 /nfs/dbraw/zinc/34/46/69/420344669.db2.gz WVHHRFJHBUOOPF-JTQLQIEISA-N -1 1 312.391 1.983 20 0 DDADMM COC(=O)C[C@@H](C)CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425327550 420352347 /nfs/dbraw/zinc/35/23/47/420352347.db2.gz VROXRZSERNOODE-VIFPVBQESA-N -1 1 311.338 1.071 20 0 DDADMM CC(=O)Nc1cccc(CNC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436673444 420353230 /nfs/dbraw/zinc/35/32/30/420353230.db2.gz ONGKQHIOKBUVQI-UHFFFAOYSA-N -1 1 312.325 1.850 20 0 DDADMM NC(=O)CC1(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000420700202 420361784 /nfs/dbraw/zinc/36/17/84/420361784.db2.gz CXGLFGCDCPIEGE-UHFFFAOYSA-N -1 1 322.308 1.038 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@@H](C)S1(=O)=O ZINC000436799420 420368505 /nfs/dbraw/zinc/36/85/05/420368505.db2.gz XZPSPJZUCULJHC-MWLCHTKSSA-N -1 1 315.366 1.569 20 0 DDADMM CC(C)CN1CCN(C(=O)c2cc(F)ccc2[O-])[C@H](C)C1=O ZINC000436832693 420374413 /nfs/dbraw/zinc/37/44/13/420374413.db2.gz IBLNBBBVJFFTAA-LLVKDONJSA-N -1 1 308.353 1.860 20 0 DDADMM NC(=O)[C@@H]1Cc2ccccc2N(C(=O)c2ccc(O)cc2[O-])C1 ZINC000436929964 420383729 /nfs/dbraw/zinc/38/37/29/420383729.db2.gz GCPUJNGOLBEZEG-LLVKDONJSA-N -1 1 312.325 1.402 20 0 DDADMM COc1ccc(OC)c(CNC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436762836 420365173 /nfs/dbraw/zinc/36/51/73/420365173.db2.gz DETGPBJPLUHZJT-UHFFFAOYSA-N -1 1 315.325 1.909 20 0 DDADMM CC(C)c1nnc(CCNC(=O)C(=O)c2ccc([O-])cc2)s1 ZINC000436771044 420366487 /nfs/dbraw/zinc/36/64/87/420366487.db2.gz RYYQZVYFEVZYPU-UHFFFAOYSA-N -1 1 319.386 1.909 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C2CCC(OC)CC2)c1 ZINC000416541702 420411726 /nfs/dbraw/zinc/41/17/26/420411726.db2.gz JVGVFDWFPUDEMO-UHFFFAOYSA-N -1 1 317.363 1.302 20 0 DDADMM NC(=O)c1cc(-c2csc([C@H]3COCCN3)n2)ccc1[O-] ZINC000446138781 230235505 /nfs/dbraw/zinc/23/55/05/230235505.db2.gz PRLZYVYNWHAEAU-SNVBAGLBSA-N -1 1 305.359 1.276 20 0 DDADMM CC1(O)CC(C(=O)Nc2n[nH]c(-c3ccc([O-])c(Cl)c3)n2)C1 ZINC000450699900 420510324 /nfs/dbraw/zinc/51/03/24/420510324.db2.gz DAUFCCWKZAJBGE-UHFFFAOYSA-N -1 1 322.752 1.930 20 0 DDADMM CC1(O)CC(C(=O)Nc2nc(-c3ccc([O-])c(Cl)c3)n[nH]2)C1 ZINC000450699900 420510327 /nfs/dbraw/zinc/51/03/27/420510327.db2.gz DAUFCCWKZAJBGE-UHFFFAOYSA-N -1 1 322.752 1.930 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@H]([C@@H](O)C(F)(F)F)C2)c([O-])c1 ZINC000456676217 420526002 /nfs/dbraw/zinc/52/60/02/420526002.db2.gz FSQQCSHIIBTAMP-LDYMZIIASA-N -1 1 304.268 1.481 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2cnc3ccc(F)cc3c2)no1 ZINC000439175006 420499227 /nfs/dbraw/zinc/49/92/27/420499227.db2.gz BMGVWISOBFSINY-UHFFFAOYSA-N -1 1 322.321 1.544 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CC(=O)c2ccccc21 ZINC000439176143 420499294 /nfs/dbraw/zinc/49/92/94/420499294.db2.gz GFDSTIXMNLWJFG-SNVBAGLBSA-N -1 1 307.331 1.002 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CC(=O)c2ccccc21 ZINC000439176143 420499296 /nfs/dbraw/zinc/49/92/96/420499296.db2.gz GFDSTIXMNLWJFG-SNVBAGLBSA-N -1 1 307.331 1.002 20 0 DDADMM CCNC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451007528 420580106 /nfs/dbraw/zinc/58/01/06/420580106.db2.gz KDAQCSNDYVHJTO-MRVPVSSYSA-N -1 1 314.338 1.375 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2cc(C)cs2)o1 ZINC000452743962 420654324 /nfs/dbraw/zinc/65/43/24/420654324.db2.gz LUKWGZRLYYURAB-UHFFFAOYSA-N -1 1 314.388 1.488 20 0 DDADMM COc1cc(C(=O)NC[C@H](O)[C@@H]2CCOC2)cc(Cl)c1[O-] ZINC000442673007 420698097 /nfs/dbraw/zinc/69/80/97/420698097.db2.gz QDGRMNZPVBPESS-KCJUWKMLSA-N -1 1 315.753 1.181 20 0 DDADMM CCc1cncc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1 ZINC000447547771 420780326 /nfs/dbraw/zinc/78/03/26/420780326.db2.gz DNXIWLASZOMWSU-UHFFFAOYSA-N -1 1 321.358 1.249 20 0 DDADMM COc1cncc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000493402047 420838575 /nfs/dbraw/zinc/83/85/75/420838575.db2.gz OZZFOXGSLDVKMG-RXNFCKPNSA-N -1 1 314.349 1.023 20 0 DDADMM CCCc1ncc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)o1 ZINC000454320814 420838621 /nfs/dbraw/zinc/83/86/21/420838621.db2.gz KBRRAAZAOSKYOV-UHFFFAOYSA-N -1 1 312.329 1.794 20 0 DDADMM COc1cncc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000493402048 420839153 /nfs/dbraw/zinc/83/91/53/420839153.db2.gz OZZFOXGSLDVKMG-ZYOFXKKJSA-N -1 1 314.349 1.023 20 0 DDADMM CC(C)(C)OC(=O)N[C@]1(C)CCCC[C@@H]1C(=O)Nc1nnn[n-]1 ZINC000494044507 420983917 /nfs/dbraw/zinc/98/39/17/420983917.db2.gz QNCNKEDIWAQUHL-YMTOWFKASA-N -1 1 324.385 1.612 20 0 DDADMM CC(C)(C)OC(=O)N[C@]1(C)CCCC[C@@H]1C(=O)Nc1nn[n-]n1 ZINC000494044507 420983920 /nfs/dbraw/zinc/98/39/20/420983920.db2.gz QNCNKEDIWAQUHL-YMTOWFKASA-N -1 1 324.385 1.612 20 0 DDADMM CO[C@H](C)c1nc(C)c(C(=O)NC(C)(C)c2nn[n-]n2)s1 ZINC000487541522 421036366 /nfs/dbraw/zinc/03/63/66/421036366.db2.gz RYMQOBUDTVOGMO-SSDOTTSWSA-N -1 1 310.383 1.337 20 0 DDADMM Cn1[n-]c(=NS(=O)(=O)c2ccc(F)cc2)cc1OC(F)F ZINC000488357185 421084482 /nfs/dbraw/zinc/08/44/82/421084482.db2.gz UWCMQQFRPMGBEW-UHFFFAOYSA-N -1 1 321.280 1.383 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2(CO)CCCC2)sc1C ZINC000545320944 421253720 /nfs/dbraw/zinc/25/37/20/421253720.db2.gz RBJGJGJEVOAYEH-UHFFFAOYSA-N -1 1 304.437 1.591 20 0 DDADMM Cc1cc(CS(=O)(=O)Nc2cccc(F)c2C(=O)[O-])on1 ZINC000547541822 421342740 /nfs/dbraw/zinc/34/27/40/421342740.db2.gz UBPGRFNRSWIOLR-UHFFFAOYSA-N -1 1 314.294 1.762 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](OCC(F)(F)F)C1 ZINC000547975519 421384315 /nfs/dbraw/zinc/38/43/15/421384315.db2.gz YJMBKBRPQIDIAR-SECBINFHSA-N -1 1 304.268 1.971 20 0 DDADMM C[C@@H]1C[C@@H](O)CN1c1ccc(=NCc2ccccc2F)[n-]n1 ZINC000561229912 421316273 /nfs/dbraw/zinc/31/62/73/421316273.db2.gz LUBBPZHWBFHCRJ-DGCLKSJQSA-N -1 1 302.353 1.609 20 0 DDADMM CCSCc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)no1 ZINC000548107234 421400408 /nfs/dbraw/zinc/40/04/08/421400408.db2.gz DPZHYMTWGAIOPJ-SECBINFHSA-N -1 1 322.394 1.461 20 0 DDADMM CCO[C@H]1C[C@](NCc2ncccc2[O-])(C(=O)OC)C1(C)C ZINC000514612649 421440336 /nfs/dbraw/zinc/44/03/36/421440336.db2.gz SDGYAGDNLDBCMT-BBRMVZONSA-N -1 1 308.378 1.624 20 0 DDADMM CC1(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CCCCC1 ZINC000548049852 421392801 /nfs/dbraw/zinc/39/28/01/421392801.db2.gz JTIBVSLMWKCVCZ-UHFFFAOYSA-N -1 1 309.439 1.570 20 0 DDADMM COc1cccc(CNC(=O)CSc2ncc(C)c(=O)[n-]2)c1 ZINC000516981497 421586716 /nfs/dbraw/zinc/58/67/16/421586716.db2.gz JIQAOXIMXCBDHN-UHFFFAOYSA-N -1 1 319.386 1.908 20 0 DDADMM Cc1[nH]ncc1C(=O)Nc1ccc([C@]2(C)NC(=O)NC2=O)cc1 ZINC000517095792 421594593 /nfs/dbraw/zinc/59/45/93/421594593.db2.gz QKRAVTARFVNQKL-HNNXBMFYSA-N -1 1 313.317 1.025 20 0 DDADMM COc1cccc2c1[C@H](C(=O)NC(C)(C)c1nn[n-]n1)CC2 ZINC000551477945 421533558 /nfs/dbraw/zinc/53/35/58/421533558.db2.gz XPZSEPOIGIXRFE-SNVBAGLBSA-N -1 1 301.350 1.290 20 0 DDADMM COC[C@@](C)(O)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000517858186 421630616 /nfs/dbraw/zinc/63/06/16/421630616.db2.gz USVNUSLDDJHXEL-ZDUSSCGKSA-N -1 1 317.338 1.248 20 0 DDADMM CC(C)c1n[n-]c(=NCc2ccc(N3CCN(C)CC3)nc2)o1 ZINC000570397817 421644099 /nfs/dbraw/zinc/64/40/99/421644099.db2.gz WLMGSAUISWOYMM-UHFFFAOYSA-N -1 1 316.409 1.374 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCC(C)(C)C[C@@H]1C ZINC000570552629 421653894 /nfs/dbraw/zinc/65/38/94/421653894.db2.gz ANZIOPMGQQUOLR-WCBMZHEXSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCC(C)(C)C[C@@H]1C ZINC000570552629 421653895 /nfs/dbraw/zinc/65/38/95/421653895.db2.gz ANZIOPMGQQUOLR-WCBMZHEXSA-N -1 1 301.412 1.889 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H](O)Cc1ccccc1Cl)c1nn[n-]n1 ZINC000517210504 421599566 /nfs/dbraw/zinc/59/95/66/421599566.db2.gz LHVDWAMICGLJCF-CABZTGNLSA-N -1 1 323.784 1.019 20 0 DDADMM Cc1ccc(F)c(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000519310441 421688824 /nfs/dbraw/zinc/68/88/24/421688824.db2.gz FOYMIJQYVPJDQV-NSHDSACASA-N -1 1 303.341 1.596 20 0 DDADMM CC(C)OC[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)CCO1 ZINC000519452948 421694720 /nfs/dbraw/zinc/69/47/20/421694720.db2.gz PMWIRBOUKMVLAF-AWEZNQCLSA-N -1 1 307.346 1.227 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1OC ZINC000533573234 421679445 /nfs/dbraw/zinc/67/94/45/421679445.db2.gz FOVBJYWJSQDBJK-JTQLQIEISA-N -1 1 317.349 1.237 20 0 DDADMM Cc1nn(C)c(C)c1[C@H](C)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538225799 421737182 /nfs/dbraw/zinc/73/71/82/421737182.db2.gz WZFJUSJXKZABDW-JOYOIKCWSA-N -1 1 317.397 1.060 20 0 DDADMM COc1cc(OC2CC2)ccc1C(=O)N=c1ccc([O-])n[nH]1 ZINC000572069052 421763387 /nfs/dbraw/zinc/76/33/87/421763387.db2.gz OKTIIBRKFBLNJW-UHFFFAOYSA-N -1 1 301.302 1.406 20 0 DDADMM COc1cc(OC2CC2)ccc1C(=O)N=c1ccc(O)n[n-]1 ZINC000572069052 421763388 /nfs/dbraw/zinc/76/33/88/421763388.db2.gz OKTIIBRKFBLNJW-UHFFFAOYSA-N -1 1 301.302 1.406 20 0 DDADMM CCn1c(=O)c2sccc2nc1SCc1nc(=O)n(C)[n-]1 ZINC000540923473 421775986 /nfs/dbraw/zinc/77/59/86/421775986.db2.gz RUDHDUGHOWSFFM-UHFFFAOYSA-N -1 1 323.403 1.192 20 0 DDADMM Cn1cc([C@H]2C[C@@H](NC(=O)c3ccc([O-])cc3F)CCO2)cn1 ZINC000572326254 421777938 /nfs/dbraw/zinc/77/79/38/421777938.db2.gz JCMDVXSIAZUYNL-XHDPSFHLSA-N -1 1 319.336 1.915 20 0 DDADMM O=C(N[C@@H]1C[C@@H]1c1cccc(Br)c1)c1cnn[nH]1 ZINC000521682561 421796692 /nfs/dbraw/zinc/79/66/92/421796692.db2.gz BYNQZAPBDRGSFG-NXEZZACHSA-N -1 1 307.151 1.853 20 0 DDADMM C[C@@H](C(=O)N=c1cc(-c2cccs2)[n-][nH]1)[C@H]1CCC(=O)N1 ZINC000627770250 421884244 /nfs/dbraw/zinc/88/42/44/421884244.db2.gz MATWVVVOWFIUJS-RKDXNWHRSA-N -1 1 304.375 1.413 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H]2CCC[C@H]3CN(C(C)=O)C[C@@H]32)s[n-]1 ZINC000581034153 421888113 /nfs/dbraw/zinc/88/81/13/421888113.db2.gz ZVBCIWXXNNZECS-LPTSXCQYSA-N -1 1 324.450 1.726 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1ccc(F)c(F)c1 ZINC000573020101 421898976 /nfs/dbraw/zinc/89/89/76/421898976.db2.gz ZLGIOZQCECCDSR-UHFFFAOYSA-N -1 1 303.290 1.152 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc(F)c(F)c1 ZINC000573020101 421898985 /nfs/dbraw/zinc/89/89/85/421898985.db2.gz ZLGIOZQCECCDSR-UHFFFAOYSA-N -1 1 303.290 1.152 20 0 DDADMM Cc1cc(F)ccc1[C@@H]1CCCN1C(=O)CCc1nn[n-]n1 ZINC000633358550 421861701 /nfs/dbraw/zinc/86/17/01/421861701.db2.gz INJZRKWDRIYFMH-ZDUSSCGKSA-N -1 1 303.341 1.944 20 0 DDADMM Cc1nc([C@H](C)NC(=O)[C@H](C)Cc2cnc[nH]2)sc1C(=O)[O-] ZINC000630157799 421925941 /nfs/dbraw/zinc/92/59/41/421925941.db2.gz AMIPSFDXWXWDDV-APPZFPTMSA-N -1 1 322.390 1.929 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)Cc2ccc(Cl)cc2)CC1 ZINC000630221771 421973794 /nfs/dbraw/zinc/97/37/94/421973794.db2.gz BXKSAOGKYQMLKT-GFCCVEGCSA-N -1 1 324.808 1.890 20 0 DDADMM COCc1cc(C[N-]S(=O)(=O)c2conc2C)ccc1F ZINC000631922450 421943131 /nfs/dbraw/zinc/94/31/31/421943131.db2.gz WYDVRKIXOQOYKS-UHFFFAOYSA-N -1 1 314.338 1.747 20 0 DDADMM COc1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c(C)c1 ZINC000630225193 421974818 /nfs/dbraw/zinc/97/48/18/421974818.db2.gz WECLVKVNYGMDCS-UHFFFAOYSA-N -1 1 320.389 1.625 20 0 DDADMM CC[C@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])C[C@H](C)O1 ZINC000631942380 421959052 /nfs/dbraw/zinc/95/90/52/421959052.db2.gz WLTACBNCEOEMNE-QWRGUYRKSA-N -1 1 315.391 1.589 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C2CCC3(CC3)CC2)CC1 ZINC000630220936 421970343 /nfs/dbraw/zinc/97/03/43/421970343.db2.gz RUAXHDYNMBANER-ZDUSSCGKSA-N -1 1 308.422 1.964 20 0 DDADMM Cc1cc(F)cc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000630222523 421972638 /nfs/dbraw/zinc/97/26/38/421972638.db2.gz GOJHOBXRRUMJRF-LBPRGKRZSA-N -1 1 308.353 1.755 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NC1(C(=O)[O-])Cc2ccccc2C1 ZINC000630127021 421904367 /nfs/dbraw/zinc/90/43/67/421904367.db2.gz NRJITWFCNJHMSW-NSHDSACASA-N -1 1 313.357 1.327 20 0 DDADMM C[C@@H]1CN(C(=O)CCc2nn[n-]n2)CCc2ccc(Cl)cc21 ZINC000633570452 421976142 /nfs/dbraw/zinc/97/61/42/421976142.db2.gz FGWSHEQWCZZRII-SNVBAGLBSA-N -1 1 319.796 1.974 20 0 DDADMM Cc1ccc(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1 ZINC000630225809 421976457 /nfs/dbraw/zinc/97/64/57/421976457.db2.gz VQZNCWKLIFFRRC-CQSZACIVSA-N -1 1 304.390 1.545 20 0 DDADMM CCc1onc(C)c1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630226678 421976645 /nfs/dbraw/zinc/97/66/45/421976645.db2.gz CQDUGAABNLAAIO-UHFFFAOYSA-N -1 1 309.366 1.166 20 0 DDADMM CC(C)Cn1nccc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630227692 421978824 /nfs/dbraw/zinc/97/88/24/421978824.db2.gz QTVONHDPLHLPBR-UHFFFAOYSA-N -1 1 322.409 1.160 20 0 DDADMM CC(C)[C@@](C)(O)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632011721 422019421 /nfs/dbraw/zinc/01/94/21/422019421.db2.gz CLIYYUALKABSCF-VIFPVBQESA-N -1 1 315.317 1.114 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCS1)c1c[nH]nc1C(F)(F)F ZINC000632092028 422082628 /nfs/dbraw/zinc/08/26/28/422082628.db2.gz SSYNEXDELVYEBH-LURJTMIESA-N -1 1 315.342 1.602 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)c2cc(C)ns2)CCC1 ZINC000632101066 422090247 /nfs/dbraw/zinc/09/02/47/422090247.db2.gz IBIOOQAXMATFOY-UHFFFAOYSA-N -1 1 304.437 1.937 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)C2CCOCC2)c1 ZINC000632104537 422091853 /nfs/dbraw/zinc/09/18/53/422091853.db2.gz JJYHZBYMDNUVPG-JTQLQIEISA-N -1 1 315.391 1.494 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1C[C@@]1(F)c1ccccc1 ZINC000582109089 422109932 /nfs/dbraw/zinc/10/99/32/422109932.db2.gz LNJFAWYAONXMBC-BLLLJJGKSA-N -1 1 315.352 1.970 20 0 DDADMM CCC[C@H](CCO)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632050306 422052055 /nfs/dbraw/zinc/05/20/55/422052055.db2.gz DEXZOBPWCMZDAL-LLVKDONJSA-N -1 1 317.407 1.478 20 0 DDADMM CCSc1ccccc1C(=O)N=c1[nH][n-]c(C)c1C(=O)NC ZINC000633690746 422053636 /nfs/dbraw/zinc/05/36/36/422053636.db2.gz BZRVEWXVIJQRFA-UHFFFAOYSA-N -1 1 318.402 1.864 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCO[C@@H](C)C2)c1 ZINC000632175541 422138828 /nfs/dbraw/zinc/13/88/28/422138828.db2.gz WMVHILIGDLDPDP-QWRGUYRKSA-N -1 1 315.391 1.494 20 0 DDADMM COc1ccccc1NC(=O)c1c(C)[n-][nH]c1=NC(=O)C(C)C ZINC000633915916 422148641 /nfs/dbraw/zinc/14/86/41/422148641.db2.gz NCGLZNYQVRYWCP-UHFFFAOYSA-N -1 1 316.361 1.995 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@@H]2CCCCO2)c1 ZINC000632195950 422155012 /nfs/dbraw/zinc/15/50/12/422155012.db2.gz LWNJNDRYLJUMBM-NSHDSACASA-N -1 1 315.391 1.638 20 0 DDADMM Cc1cccc(O[C@H](C)CNC(=O)CCCc2nn[n-]n2)c1 ZINC000635612188 422162624 /nfs/dbraw/zinc/16/26/24/422162624.db2.gz FVIBKQYLVXNXDW-GFCCVEGCSA-N -1 1 303.366 1.415 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@H]1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632217458 422172591 /nfs/dbraw/zinc/17/25/91/422172591.db2.gz AIBFQXQJFJODIF-ZYHUDNBSSA-N -1 1 310.781 1.619 20 0 DDADMM CO[C@@H](CNC(=O)CCCc1nn[n-]n1)c1cccc(Cl)c1 ZINC000635622433 422175133 /nfs/dbraw/zinc/17/51/33/422175133.db2.gz JIIHDMWPKHHKGZ-LBPRGKRZSA-N -1 1 323.784 1.680 20 0 DDADMM CNC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632146679 422120613 /nfs/dbraw/zinc/12/06/13/422120613.db2.gz PGDWGGSXEODYCK-QMMMGPOBSA-N -1 1 324.349 1.656 20 0 DDADMM COC1(CS(=O)(=O)[N-]Cc2noc(C3CC3)n2)CCCC1 ZINC000632160302 422129054 /nfs/dbraw/zinc/12/90/54/422129054.db2.gz ZSJWOYUCBMUJGE-UHFFFAOYSA-N -1 1 315.395 1.326 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@H]1SCCc2ccccc21 ZINC000635653082 422208217 /nfs/dbraw/zinc/20/82/17/422208217.db2.gz OSSMZDIRDSKMDG-CYBMUJFWSA-N -1 1 317.418 1.669 20 0 DDADMM Cc1nc(CC(C)C)oc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000583623879 422208777 /nfs/dbraw/zinc/20/87/77/422208777.db2.gz ZOVACXJNHOWTCZ-VIFPVBQESA-N -1 1 306.370 1.570 20 0 DDADMM CO[C@@H](c1ccc(F)cc1)[C@@H](C)NC(=O)CCc1nn[n-]n1 ZINC000632273706 422213166 /nfs/dbraw/zinc/21/31/66/422213166.db2.gz XETFQMZOYVFOSW-YMTOWFKASA-N -1 1 307.329 1.164 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(F)cc1OCC(F)F ZINC000632289033 422224497 /nfs/dbraw/zinc/22/44/97/422224497.db2.gz PODAIXIQVIEFBN-UHFFFAOYSA-N -1 1 315.255 1.554 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCC[C@H]2CCO)c1 ZINC000632243994 422191103 /nfs/dbraw/zinc/19/11/03/422191103.db2.gz BTGDGDZRTWJQSU-CMPLNLGQSA-N -1 1 315.391 1.230 20 0 DDADMM CCC(C)(C)CCNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000633967264 422191427 /nfs/dbraw/zinc/19/14/27/422191427.db2.gz LEWXAJQBNYVNPM-UHFFFAOYSA-N -1 1 302.396 1.744 20 0 DDADMM COc1nc(NC[C@H]2[N@@H+](C)CCCC2(C)C)ccc1C(=O)[O-] ZINC000575127945 422264634 /nfs/dbraw/zinc/26/46/34/422264634.db2.gz VRJDHZHJYGSGOG-GFCCVEGCSA-N -1 1 307.394 1.743 20 0 DDADMM CCCN(Cc1ccc(OC)cc1)C(=O)CCc1nn[n-]n1 ZINC000630698249 422275785 /nfs/dbraw/zinc/27/57/85/422275785.db2.gz DRAFJMZCPUNQPL-UHFFFAOYSA-N -1 1 303.366 1.580 20 0 DDADMM CCNC(=O)NCC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000632365773 422277095 /nfs/dbraw/zinc/27/70/95/422277095.db2.gz KKBCEIDXROPTOC-UHFFFAOYSA-N -1 1 323.777 1.077 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCCC[C@H]1c1ccncc1 ZINC000630741544 422305561 /nfs/dbraw/zinc/30/55/61/422305561.db2.gz AJQMADJRKYPZTN-ZDUSSCGKSA-N -1 1 300.366 1.671 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1ccc(O)c(Cl)c1)c1nn[n-]n1 ZINC000632437340 422344714 /nfs/dbraw/zinc/34/47/14/422344714.db2.gz XHBJAALBRMHYEZ-QMMMGPOBSA-N -1 1 309.757 1.363 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cccc(COCC2CC2)c1 ZINC000630833577 422361940 /nfs/dbraw/zinc/36/19/40/422361940.db2.gz RIMBNEVCMNSOQR-UHFFFAOYSA-N -1 1 301.350 1.698 20 0 DDADMM CON1CCC([N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1 ZINC000632475666 422374302 /nfs/dbraw/zinc/37/43/02/422374302.db2.gz GKSLGZRAHPAZSY-UHFFFAOYSA-N -1 1 310.322 1.521 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@H]1Cc1cccc(F)c1 ZINC000630769520 422325416 /nfs/dbraw/zinc/32/54/16/422325416.db2.gz CYLCVHUGDBPGHC-ZDUSSCGKSA-N -1 1 303.341 1.505 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCC[C@@H](C)O2)c1 ZINC000632557867 422435677 /nfs/dbraw/zinc/43/56/77/422435677.db2.gz CIEFFQMUHIKDIR-ZYHUDNBSSA-N -1 1 315.391 1.637 20 0 DDADMM CNc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1F ZINC000635889293 422443220 /nfs/dbraw/zinc/44/32/20/422443220.db2.gz LKFCVNFOINATQQ-SNVBAGLBSA-N -1 1 320.324 1.976 20 0 DDADMM CC(C)(COCc1ccccc1)NC(=O)CCCc1nn[n-]n1 ZINC000635891075 422447159 /nfs/dbraw/zinc/44/71/59/422447159.db2.gz DSXQWRDXLRZOIW-UHFFFAOYSA-N -1 1 317.393 1.634 20 0 DDADMM CCc1cccc2c1OCC[C@@H]2NC(=O)CCc1nn[n-]n1 ZINC000630890163 422397265 /nfs/dbraw/zinc/39/72/65/422397265.db2.gz QWZIRTXAHFYEEA-LBPRGKRZSA-N -1 1 301.350 1.335 20 0 DDADMM Cc1n[nH]c(C)c1CC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000632520177 422406817 /nfs/dbraw/zinc/40/68/17/422406817.db2.gz DIQLWFYDIJVRPD-UHFFFAOYSA-N -1 1 314.436 1.621 20 0 DDADMM CC(C)(Cc1ccccc1Cl)NC(=O)CCc1nn[n-]n1 ZINC000630921266 422414538 /nfs/dbraw/zinc/41/45/38/422414538.db2.gz VVVVULVAAXEBNW-UHFFFAOYSA-N -1 1 307.785 1.923 20 0 DDADMM Cc1cccc2c1N(C1CC1)CCN2C(=O)CCc1nn[n-]n1 ZINC000632537203 422417353 /nfs/dbraw/zinc/41/73/53/422417353.db2.gz BDHNZQXKCZBOQX-UHFFFAOYSA-N -1 1 312.377 1.456 20 0 DDADMM COC[C@@H](C)n1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634611053 422504867 /nfs/dbraw/zinc/50/48/67/422504867.db2.gz MJMDBJZPONMVNB-HIFRSBDPSA-N -1 1 301.390 1.742 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)c1 ZINC000632598767 422462781 /nfs/dbraw/zinc/46/27/81/422462781.db2.gz ZUILIJQCDVMQEJ-YCWTYVPXSA-N -1 1 315.391 1.491 20 0 DDADMM CCC[C@H](CCO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000632620341 422478641 /nfs/dbraw/zinc/47/86/41/422478641.db2.gz IUDOAMLHQTVWKO-MRVPVSSYSA-N -1 1 311.325 1.933 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C[C@@H](n2cncn2)C(F)(F)F)o1 ZINC000632644456 422492312 /nfs/dbraw/zinc/49/23/12/422492312.db2.gz FHEFKFXZXLPCTG-MRVPVSSYSA-N -1 1 324.284 1.261 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1C[C@H](O)CC(F)(F)C1 ZINC000632721349 422540903 /nfs/dbraw/zinc/54/09/03/422540903.db2.gz ZMBUSOPEFUDUOI-SECBINFHSA-N -1 1 305.708 1.817 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1cc(F)ccc1F)n1ccnc1 ZINC000632730020 422546653 /nfs/dbraw/zinc/54/66/53/422546653.db2.gz LNEPPVVRBBJPGX-UHFFFAOYSA-N -1 1 315.345 1.875 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](c1cc(F)ccc1F)C(F)F ZINC000632794860 422585168 /nfs/dbraw/zinc/58/51/68/422585168.db2.gz WQCUTVLRZZPNLK-LLVKDONJSA-N -1 1 317.246 1.533 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1C[C@@H]2COC[C@@H]2C1 ZINC000634776984 422638074 /nfs/dbraw/zinc/63/80/74/422638074.db2.gz SOOKDGPMAWAGJL-AOOOYVTPSA-N -1 1 312.163 1.873 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1CN(CC(F)F)C1 ZINC000629380791 422638957 /nfs/dbraw/zinc/63/89/57/422638957.db2.gz QIDYTILFRZCOSJ-UHFFFAOYSA-N -1 1 322.315 1.713 20 0 DDADMM C[C@H](C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H]1CCC(=O)N1 ZINC000629380335 422640517 /nfs/dbraw/zinc/64/05/17/422640517.db2.gz LTTAFGDQFFRADQ-GXFFZTMASA-N -1 1 314.345 1.431 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC000629497701 422701131 /nfs/dbraw/zinc/70/11/31/422701131.db2.gz HEYKFCIMVFWSJS-QWRGUYRKSA-N -1 1 324.343 1.830 20 0 DDADMM Cc1ccc([C@@H](NC(=O)CCCc2nn[n-]n2)C(F)(F)F)o1 ZINC000636139993 422669812 /nfs/dbraw/zinc/66/98/12/422669812.db2.gz MLTLMXKZCSIGRX-LLVKDONJSA-N -1 1 317.271 1.844 20 0 DDADMM CC1(C)CN(C(=O)N=c2[n-]nc([C@H]3CCCO3)s2)CCN1 ZINC000632980033 422692383 /nfs/dbraw/zinc/69/23/83/422692383.db2.gz MZCIVQSRZUCNKJ-SECBINFHSA-N -1 1 311.411 1.027 20 0 DDADMM CN(C)c1n[nH]c([N-]S(=O)(=O)Cc2ccc(Cl)cc2)n1 ZINC000650461279 423168735 /nfs/dbraw/zinc/16/87/35/423168735.db2.gz MLHWNVXWPKDFBT-UHFFFAOYSA-N -1 1 315.786 1.466 20 0 DDADMM O=C([O-])[C@H]1CC(=O)N(C2CCN(Cc3cccc(F)c3)CC2)C1 ZINC000650498815 423177505 /nfs/dbraw/zinc/17/75/05/423177505.db2.gz ZURXGNMPECPMGP-ZDUSSCGKSA-N -1 1 320.364 1.723 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)c1ccco1)c1cc(F)ccc1F ZINC000184280531 263386253 /nfs/dbraw/zinc/38/62/53/263386253.db2.gz JXAOGEBQDQZHBR-NSHDSACASA-N -1 1 317.313 1.960 20 0 DDADMM O=C(N[C@@H](CCO)c1ccco1)c1nc2ccccc2c(=O)[n-]1 ZINC000184572630 263388253 /nfs/dbraw/zinc/38/82/53/263388253.db2.gz JHXMDJPTBVQJOG-LBPRGKRZSA-N -1 1 313.313 1.370 20 0 DDADMM Cn1nccc1[C@H]1COCCN1C(=O)c1cc(F)ccc1[O-] ZINC000652985034 423246289 /nfs/dbraw/zinc/24/62/89/423246289.db2.gz CYCWLMQMJKKPAW-CYBMUJFWSA-N -1 1 305.309 1.479 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCS[C@@H]1C ZINC000648685922 423416806 /nfs/dbraw/zinc/41/68/06/423416806.db2.gz URUMDRDUPONHMV-PWSUYJOCSA-N -1 1 320.418 1.604 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000643850386 423379879 /nfs/dbraw/zinc/37/98/79/423379879.db2.gz QKUANGQNDYJEKM-OAHLLOKOSA-N -1 1 319.317 1.376 20 0 DDADMM CC[C@H](OCCN=c1ccc(C(N)=O)n[n-]1)c1ccccc1 ZINC000651392566 423521896 /nfs/dbraw/zinc/52/18/96/423521896.db2.gz VBKYFRSUPDSXAI-AWEZNQCLSA-N -1 1 300.362 1.577 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2CC3(CCC3)C2)c1 ZINC000648908838 423534567 /nfs/dbraw/zinc/53/45/67/423534567.db2.gz NJMGLIXFALQTRF-UHFFFAOYSA-N -1 1 324.402 1.819 20 0 DDADMM CC1(C)[C@H](CS(=O)(=O)[N-]Cc2noc(C3CC3)n2)C1(F)F ZINC000641401391 423611503 /nfs/dbraw/zinc/61/15/03/423611503.db2.gz CBVRKHBJKBZJNO-QMMMGPOBSA-N -1 1 321.349 1.658 20 0 DDADMM CC1(CS(=O)(=O)[N-]Cc2nc(C(F)(F)F)no2)CCC1 ZINC000641444310 423642487 /nfs/dbraw/zinc/64/24/87/423642487.db2.gz SOBXMBOJDTZZOO-UHFFFAOYSA-N -1 1 313.301 1.698 20 0 DDADMM O=C(c1ocnc1-c1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000649217125 423685020 /nfs/dbraw/zinc/68/50/20/423685020.db2.gz ARNGMGLEQQRFEW-GFCCVEGCSA-N -1 1 324.344 1.875 20 0 DDADMM C=C/C(C)=C\CC(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000644641321 423740284 /nfs/dbraw/zinc/74/02/84/423740284.db2.gz ZZGMBGFBEXVSQN-YHYXMXQVSA-N -1 1 310.375 1.761 20 0 DDADMM N=c1[n-]nc([C@@H]2CCCN(C[C@H]3COc4ccccc4O3)C2)o1 ZINC000639818726 423756772 /nfs/dbraw/zinc/75/67/72/423756772.db2.gz CKZFYDBKSWNJEE-NEPJUHHUSA-N -1 1 316.361 1.502 20 0 DDADMM CC(C)N(C(=O)CN1CCC[C@@H](c2n[n-]c(=N)o2)C1)C(C)C ZINC000639823585 423759480 /nfs/dbraw/zinc/75/94/80/423759480.db2.gz CGBDONTVBNOMIY-GFCCVEGCSA-N -1 1 309.414 1.307 20 0 DDADMM C[C@H](NC(=O)NC[C@](C)(C(=O)[O-])c1ccccc1)c1nnc[nH]1 ZINC000652112581 423872646 /nfs/dbraw/zinc/87/26/46/423872646.db2.gz FCYRRHINCWLUCY-BONVTDFDSA-N -1 1 317.349 1.207 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccc3c(c2)CCN(C)C3=O)CC1 ZINC000641695216 423878471 /nfs/dbraw/zinc/87/84/71/423878471.db2.gz GIKXWBJXCHBVAR-UHFFFAOYSA-N -1 1 324.402 1.235 20 0 DDADMM C[C@H](NC(=O)c1csc(=NC2CC2)[n-]1)[C@@H](O)C(F)(F)F ZINC000644755442 423853255 /nfs/dbraw/zinc/85/32/55/423853255.db2.gz WRYBEHIPURENRI-YLWLKBPMSA-N -1 1 309.313 1.181 20 0 DDADMM CO[C@@H](Cc1ccccc1)CS(=O)(=O)[N-][C@H](C)C(F)F ZINC000641705443 423890318 /nfs/dbraw/zinc/89/03/18/423890318.db2.gz MMIIEFQTKSZTMX-PWSUYJOCSA-N -1 1 307.362 1.817 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000647367429 424000777 /nfs/dbraw/zinc/00/07/77/424000777.db2.gz ZWGGDJIJORZOHM-VWYCJHECSA-N -1 1 322.327 1.725 20 0 DDADMM NC(=O)C[C@H](NC(=O)c1ccc([O-])c(F)c1)c1ccccc1 ZINC000647379634 424006699 /nfs/dbraw/zinc/00/66/99/424006699.db2.gz REDUHUSLHCEKBB-ZDUSSCGKSA-N -1 1 302.305 1.878 20 0 DDADMM CC1(C)C(=O)N[C@H]1C1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000647502255 424076528 /nfs/dbraw/zinc/07/65/28/424076528.db2.gz OGJTYGFVEOHWGA-AWEZNQCLSA-N -1 1 320.364 1.908 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CC(=O)N1C ZINC000640340328 424362640 /nfs/dbraw/zinc/36/26/40/424362640.db2.gz RWFNDKJATUDKAH-SECBINFHSA-N -1 1 304.375 1.413 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1ncccc1C(F)(F)F)C2 ZINC000662218776 424484990 /nfs/dbraw/zinc/48/49/90/424484990.db2.gz BLZQRGWMOWEGBD-NOZJJQNGSA-N -1 1 316.279 1.633 20 0 DDADMM Cn1nccc1C(=O)CSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000655489594 424508999 /nfs/dbraw/zinc/50/89/99/424508999.db2.gz VKFSUMSHKMFFMA-UHFFFAOYSA-N -1 1 318.280 1.910 20 0 DDADMM CCN([C@@H](C)c1cccc(O)c1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662201025 424467291 /nfs/dbraw/zinc/46/72/91/424467291.db2.gz FGEMABAJSOZWGE-SMDDNHRTSA-N -1 1 306.362 1.461 20 0 DDADMM O=C1Nc2ccccc2CC[C@@H]1[N-]S(=O)(=O)Cc1ccon1 ZINC000655626732 424602772 /nfs/dbraw/zinc/60/27/72/424602772.db2.gz GLXXPZXLKVNQCR-ZDUSSCGKSA-N -1 1 321.358 1.048 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)NC[C@H](C(=O)[O-])C1CCC1 ZINC000665325891 424784133 /nfs/dbraw/zinc/78/41/33/424784133.db2.gz QHNJNUKPOAXAGR-KBPBESRZSA-N -1 1 311.426 1.469 20 0 DDADMM C[C@H]1CCC[C@H]1CNC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000342091834 271295404 /nfs/dbraw/zinc/29/54/04/271295404.db2.gz IZLPDSGTQNTBLX-QWRGUYRKSA-N -1 1 324.454 1.218 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1nc(C2CC2)oc1C ZINC000343586313 271810469 /nfs/dbraw/zinc/81/04/69/271810469.db2.gz BVYACOONXOTUTQ-UHFFFAOYSA-N -1 1 318.333 1.445 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@](C)(CO)c2ccccc2)[n-]n1 ZINC000343806918 271876020 /nfs/dbraw/zinc/87/60/20/271876020.db2.gz NIGLTJSYQZPPTB-KRWDZBQOSA-N -1 1 314.389 1.010 20 0 DDADMM CCC[C@H](NC(=O)[C@H](O)[C@H](C)c1ccc(C)cc1)c1nn[n-]n1 ZINC000344642819 272078426 /nfs/dbraw/zinc/07/84/26/272078426.db2.gz AIBKKKGAVSBJAA-KWCYVHTRSA-N -1 1 317.393 1.630 20 0 DDADMM COCc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000345534271 272275309 /nfs/dbraw/zinc/27/53/09/272275309.db2.gz GFDIVKBVBLBCOW-UHFFFAOYSA-N -1 1 301.350 1.545 20 0 DDADMM CNC(=O)c1sc2[n-]c(-c3cncs3)nc(=O)c2c1C ZINC000426090230 277262529 /nfs/dbraw/zinc/26/25/29/277262529.db2.gz RAHLIEUNPODOBQ-UHFFFAOYSA-N -1 1 306.372 1.776 20 0 DDADMM O=C(Nc1ccc(C2=NNC(=O)CC2)cc1)c1cncc([O-])c1 ZINC000125863331 281218680 /nfs/dbraw/zinc/21/86/80/281218680.db2.gz HQUXGQYWCCNOLR-UHFFFAOYSA-N -1 1 310.313 1.654 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)N[C@H]2CCc3[nH]cnc3C2)C1 ZINC000263384665 297342007 /nfs/dbraw/zinc/34/20/07/297342007.db2.gz XWQJMXBBJRZTPU-VWYCJHECSA-N -1 1 306.366 1.019 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccn(C)n2)c(CN(C)C)c1 ZINC000356807555 298881022 /nfs/dbraw/zinc/88/10/22/298881022.db2.gz WAFZOJXVBQMDGZ-UHFFFAOYSA-N -1 1 308.407 1.591 20 0 DDADMM CN1CCN(C2(C(=O)[N-]O[C@@H]3CCCCO3)CCCC2)CC1 ZINC000362878758 300168350 /nfs/dbraw/zinc/16/83/50/300168350.db2.gz SVASWFAFVYDQCG-CQSZACIVSA-N -1 1 311.426 1.121 20 0 DDADMM Cc1cc(C(=O)N2CCCCCO2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000351680979 301087877 /nfs/dbraw/zinc/08/78/77/301087877.db2.gz VAQDIIWVGIMGEK-UHFFFAOYSA-N -1 1 304.306 1.302 20 0 DDADMM O=C(c1cc2[nH]ccc2s1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000369145951 301137023 /nfs/dbraw/zinc/13/70/23/301137023.db2.gz UOYPAAFZKMIRMW-MRVPVSSYSA-N -1 1 302.363 1.762 20 0 DDADMM [O-]C(=NO[C@@H]1CCCCO1)Nc1ncccc1N1CCOCC1 ZINC000370239578 301300579 /nfs/dbraw/zinc/30/05/79/301300579.db2.gz VPRAXZBFDUYWMO-CYBMUJFWSA-N -1 1 322.365 1.498 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1ncccc1N1CCOCC1 ZINC000370239578 301300582 /nfs/dbraw/zinc/30/05/82/301300582.db2.gz VPRAXZBFDUYWMO-CYBMUJFWSA-N -1 1 322.365 1.498 20 0 DDADMM O=C(Cc1ccc2ccccc2c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370858116 301397912 /nfs/dbraw/zinc/39/79/12/301397912.db2.gz RATHKDUACYJVJL-OAHLLOKOSA-N -1 1 323.356 1.496 20 0 DDADMM O=C(NC1CCN(C(=O)c2ccc([O-])cc2F)CC1)C1CC1 ZINC000376045874 302030755 /nfs/dbraw/zinc/03/07/55/302030755.db2.gz HBJBJGFPYDKLJK-UHFFFAOYSA-N -1 1 306.337 1.662 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@@H](C)C[C@@H]1c1cccnc1 ZINC000377863690 302244113 /nfs/dbraw/zinc/24/41/13/302244113.db2.gz ITDMKGYRCUATET-JOYOIKCWSA-N -1 1 322.390 1.562 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H](Cc2ccccc2)C1 ZINC000377905805 302248763 /nfs/dbraw/zinc/24/87/63/302248763.db2.gz GCBAOSAOVZNJQV-CYBMUJFWSA-N -1 1 321.402 1.649 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC[C@@H](Cc2ccc(Cl)cc2)C1 ZINC000378591526 302340401 /nfs/dbraw/zinc/34/04/01/302340401.db2.gz QEIIKGWDVSZKPT-ZDUSSCGKSA-N -1 1 324.808 1.795 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C[C@H](n2cccn2)C(F)(F)F)o1 ZINC000528453699 303028549 /nfs/dbraw/zinc/02/85/49/303028549.db2.gz UIFWBYVFYFAFBZ-VIFPVBQESA-N -1 1 323.296 1.866 20 0 DDADMM C[C@H](CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1)c1ccco1 ZINC000528813831 303066315 /nfs/dbraw/zinc/06/63/15/303066315.db2.gz MFOXXRFLWXVGGH-SNVBAGLBSA-N -1 1 321.406 1.386 20 0 DDADMM C[C@@H]1[C@@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])[C@@H]1C1CC1 ZINC000528951770 303074637 /nfs/dbraw/zinc/07/46/37/303074637.db2.gz RNIMULACGHFBIN-WCDAPOTHSA-N -1 1 310.375 1.270 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@H](OCc3ccncc3)C2)c([O-])c1 ZINC000530298728 303191271 /nfs/dbraw/zinc/19/12/71/303191271.db2.gz SGWIEZQBPIZURC-CQSZACIVSA-N -1 1 313.357 1.922 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCN2CC=CCC2)sc1C ZINC000530377872 303194457 /nfs/dbraw/zinc/19/44/57/303194457.db2.gz IMHMIGGSFHWQHO-UHFFFAOYSA-N -1 1 301.437 1.300 20 0 DDADMM CCO[C@@H]1COCC[C@H]1[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000545370277 303493775 /nfs/dbraw/zinc/49/37/75/303493775.db2.gz NANRJVIXORURPL-GHMZBOCLSA-N -1 1 320.436 1.232 20 0 DDADMM C[C@@H]1CC[C@@]2(CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000545452045 303496537 /nfs/dbraw/zinc/49/65/37/303496537.db2.gz LZCMYKOYQFZFCE-MEBBXXQBSA-N -1 1 301.350 1.070 20 0 DDADMM CCC(=O)N1CCCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000554372387 303693821 /nfs/dbraw/zinc/69/38/21/303693821.db2.gz JAIHUFKFZCFWHF-UHFFFAOYSA-N -1 1 304.346 1.046 20 0 DDADMM CCC[C@@]1(NC(=O)c2nc3ccccc3c(=O)[n-]2)CCOC1 ZINC000556866370 303760497 /nfs/dbraw/zinc/76/04/97/303760497.db2.gz NWSXJNDELUCIMV-MRXNPFEDSA-N -1 1 301.346 1.612 20 0 DDADMM C[C@@H](Cc1ccccc1F)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366664641 307079295 /nfs/dbraw/zinc/07/92/95/307079295.db2.gz VEOMHFQIKJWYQJ-WCQYABFASA-N -1 1 317.368 1.924 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2ncc(-c3cccc(F)c3)o2)n[n-]1 ZINC000367514696 307096145 /nfs/dbraw/zinc/09/61/45/307096145.db2.gz NZZRLWRWFOXCKW-UHFFFAOYSA-N -1 1 322.321 1.881 20 0 DDADMM O=c1cc(CN2CC(F)(F)C[C@@H]2CO)c2ccc([O-])cc2o1 ZINC000368798247 307110018 /nfs/dbraw/zinc/11/00/18/307110018.db2.gz QFZPFSOFMAUBSR-SNVBAGLBSA-N -1 1 311.284 1.701 20 0 DDADMM CC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@]2(CCCOC2)O1 ZINC000373630853 307193026 /nfs/dbraw/zinc/19/30/26/307193026.db2.gz WYGDIVNUDXXPRK-LRDDRELGSA-N -1 1 306.362 1.587 20 0 DDADMM CC(C)[C@@]1(CO)CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000377859454 307277758 /nfs/dbraw/zinc/27/77/58/307277758.db2.gz HPBYPVQRRCIRFE-UEIJICEPSA-N -1 1 317.393 1.214 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OC[C@H]3CNC(=O)O3)n2)cc1 ZINC000496812393 307362417 /nfs/dbraw/zinc/36/24/17/307362417.db2.gz SSZARMDJKZFCMW-LLVKDONJSA-N -1 1 317.301 1.152 20 0 DDADMM Cc1nc(SCC2CCS(=O)(=O)CC2)[n-]c(=O)c1C ZINC000538516330 307675809 /nfs/dbraw/zinc/67/58/09/307675809.db2.gz VBFGXTNNLFKCQW-UHFFFAOYSA-N -1 1 302.421 1.716 20 0 DDADMM CCC[C@@H](C)[C@H](CO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000565083175 308019525 /nfs/dbraw/zinc/01/95/25/308019525.db2.gz LDDAMTKCYKQFIF-KCJUWKMLSA-N -1 1 306.453 1.835 20 0 DDADMM CO[C@@H]1C[C@H](CC(=O)[O-])N(Cc2nc3ccccc3n2C)C1 ZINC000566368861 308051725 /nfs/dbraw/zinc/05/17/25/308051725.db2.gz UAOYJIANAZKWBV-VXGBXAGGSA-N -1 1 303.362 1.637 20 0 DDADMM CCC(CC)S(=O)(=O)N[C@H](CN1CCCCC1)C(=O)[O-] ZINC000566654276 308059898 /nfs/dbraw/zinc/05/98/98/308059898.db2.gz SOHIEPFJAABWHW-GFCCVEGCSA-N -1 1 306.428 1.034 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)[C@@H](C)O1 ZINC000574738731 308270874 /nfs/dbraw/zinc/27/08/74/308270874.db2.gz ALUOCATVUREOTI-GMTAPVOTSA-N -1 1 301.346 1.466 20 0 DDADMM CCC[C@H](C)[C@H]1CCCN1S(=O)(=O)c1c(C)o[n-]c1=N ZINC000577325171 308378740 /nfs/dbraw/zinc/37/87/40/308378740.db2.gz ACPCGMVTDLDSQP-GXSJLCMTSA-N -1 1 301.412 1.985 20 0 DDADMM Cc1cccc(S(=O)(=O)CCCN(C)[C@H](C)CC(=O)[O-])c1 ZINC000577531142 308396385 /nfs/dbraw/zinc/39/63/85/308396385.db2.gz YDZBQKCJHYEMNR-CYBMUJFWSA-N -1 1 313.419 1.954 20 0 DDADMM CC(C)(CCO)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000580558474 308614168 /nfs/dbraw/zinc/61/41/68/308614168.db2.gz GXUHFNUDMWRRKO-UHFFFAOYSA-N -1 1 314.432 1.983 20 0 DDADMM C[C@H]1CN(c2ccc(NC(=O)c3cnncc3[O-])cc2)CCO1 ZINC001120648218 782070629 /nfs/dbraw/zinc/07/06/29/782070629.db2.gz ISCNJXZYUDLOKR-NSHDSACASA-N -1 1 314.345 1.660 20 0 DDADMM CC(C)(C)C1CC(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000581533018 325868995 /nfs/dbraw/zinc/86/89/95/325868995.db2.gz CGZWKWDZQJXPJE-UHFFFAOYSA-N -1 1 323.466 1.672 20 0 DDADMM O=C1CCCN1CC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000581948203 325941774 /nfs/dbraw/zinc/94/17/74/325941774.db2.gz BYMOJBPVNPWLOL-UHFFFAOYSA-N -1 1 320.773 1.380 20 0 DDADMM CC[C@@H]1C[C@@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)CCO1 ZINC000583987829 331517201 /nfs/dbraw/zinc/51/72/01/331517201.db2.gz YCKJZILGJGONER-WDEREUQCSA-N -1 1 304.437 1.996 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H]1n1cccn1 ZINC000582538636 337106608 /nfs/dbraw/zinc/10/66/08/337106608.db2.gz VWLRGRSCIBMFFN-QKCSRTOESA-N -1 1 302.334 1.132 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2CC[C@@H](c3nc[nH]n3)C2)s1 ZINC000582542639 337107144 /nfs/dbraw/zinc/10/71/44/337107144.db2.gz JLLLTEQBAKHKPV-MRVPVSSYSA-N -1 1 307.383 1.052 20 0 DDADMM CCc1nc(SCCNS(=O)(=O)CC)[n-]c(=O)c1C ZINC000582677901 337122630 /nfs/dbraw/zinc/12/26/30/337122630.db2.gz XHOTWZKQNRDDRY-UHFFFAOYSA-N -1 1 305.425 1.084 20 0 DDADMM O=C([C@H]1CCc2n[nH]cc2C1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000582841220 337207882 /nfs/dbraw/zinc/20/78/82/337207882.db2.gz GONOLKWJJRRMTM-UWVGGRQHSA-N -1 1 317.349 1.009 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc2c(c1)CCC2=O)C1CC1 ZINC000584470388 337345267 /nfs/dbraw/zinc/34/52/67/337345267.db2.gz WWHIBTOWKMFUDI-OAHLLOKOSA-N -1 1 309.387 1.982 20 0 DDADMM CO[C@@](C)(C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000372372162 483934353 /nfs/dbraw/zinc/93/43/53/483934353.db2.gz CCBSYYAHJUPZGZ-MLGOLLRUSA-N -1 1 315.377 1.468 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)NC[C@H](C(=O)[O-])C(C)C)C2)n[nH]1 ZINC000655895212 483986699 /nfs/dbraw/zinc/98/66/99/483986699.db2.gz RUXKQSLINVTYNJ-RYUDHWBXSA-N -1 1 323.397 1.359 20 0 DDADMM O=S(=O)(CCc1ccc2c(c1)OC(F)(F)O2)c1nc[n-]n1 ZINC000656555320 484206596 /nfs/dbraw/zinc/20/65/96/484206596.db2.gz ACOQCHWGJWYDMV-UHFFFAOYSA-N -1 1 317.273 1.143 20 0 DDADMM CCc1onc(C)c1[N-]S(=O)(=O)N1CCC(OC)CC1 ZINC000656626090 484249389 /nfs/dbraw/zinc/24/93/89/484249389.db2.gz HSJGYTULSLFGRO-UHFFFAOYSA-N -1 1 303.384 1.313 20 0 DDADMM C[C@@H]1CN([C@H]2CC(=O)N(c3cccc(C(=O)[O-])c3)C2=O)C[C@H]1C ZINC000530965963 484297590 /nfs/dbraw/zinc/29/75/90/484297590.db2.gz GQZZQCFUOOLCQY-GYSYKLTISA-N -1 1 316.357 1.605 20 0 DDADMM O=C([O-])C1(C(=O)NCCN2CCCOCC2)CCCCCC1 ZINC000663045704 484623828 /nfs/dbraw/zinc/62/38/28/484623828.db2.gz KLFZSHQMEXECJV-UHFFFAOYSA-N -1 1 312.410 1.250 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000663094537 484659721 /nfs/dbraw/zinc/65/97/21/484659721.db2.gz CDZSZPUMWSXJNY-YVEFUNNKSA-N -1 1 320.389 1.039 20 0 DDADMM CN(CCNC(=O)C1(C(=O)[O-])CC=CC1)Cc1ccc(F)cc1 ZINC000663108103 484673460 /nfs/dbraw/zinc/67/34/60/484673460.db2.gz PUJHQUWQCSQGEI-UHFFFAOYSA-N -1 1 320.364 1.795 20 0 DDADMM N[C@H](C(=O)NC[C@@H](C(=O)[O-])C1CCC1)c1cccc(Cl)c1 ZINC000663280053 484783572 /nfs/dbraw/zinc/78/35/72/484783572.db2.gz NPKBFITYJLREBB-OLZOCXBDSA-N -1 1 310.781 1.957 20 0 DDADMM O=C(c1noc2c1CCCC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405323 484808782 /nfs/dbraw/zinc/80/87/82/484808782.db2.gz DIZWIXWUMMFUPO-UHFFFAOYSA-N -1 1 318.333 1.662 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1cc2oc(=O)[nH]c2cc1F)C(F)F ZINC000668194162 485017189 /nfs/dbraw/zinc/01/71/89/485017189.db2.gz UXSIYFYTLFNYGD-UHFFFAOYSA-N -1 1 324.280 1.582 20 0 DDADMM COCC[C@@H](C)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000668296478 485085244 /nfs/dbraw/zinc/08/52/44/485085244.db2.gz NLZPZULEKTUKBU-SECBINFHSA-N -1 1 323.361 1.924 20 0 DDADMM CNC(=O)[C@@H]1CCCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000666253057 485145265 /nfs/dbraw/zinc/14/52/65/485145265.db2.gz CHSDYUPNVQACJZ-ZDUSSCGKSA-N -1 1 313.357 1.681 20 0 DDADMM CCON1CCC(CC(=O)NC2(c3nn[n-]n3)CCCC2)CC1 ZINC000668869548 485345652 /nfs/dbraw/zinc/34/56/52/485345652.db2.gz QDFLXEOJDSZNGE-UHFFFAOYSA-N -1 1 322.413 1.139 20 0 DDADMM CCc1nc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c(C)o1 ZINC000672770627 485346425 /nfs/dbraw/zinc/34/64/25/485346425.db2.gz IBZZQLHGJZJKEX-ZDUSSCGKSA-N -1 1 312.333 1.578 20 0 DDADMM O=C(CCCCc1cn[nH]n1)N1CCCC[C@H]1c1n[nH]c(=O)[n-]1 ZINC000673493631 485420593 /nfs/dbraw/zinc/42/05/93/485420593.db2.gz HEAOHLXWIHRTPL-NSHDSACASA-N -1 1 319.369 1.095 20 0 DDADMM NC(=O)Cc1ccc(CNC(=O)c2cc(F)ccc2[O-])cc1 ZINC000685880106 485473128 /nfs/dbraw/zinc/47/31/28/485473128.db2.gz QWBJHLHZJLZANC-UHFFFAOYSA-N -1 1 302.305 1.489 20 0 DDADMM CCc1cccc(C(=O)N2CC[NH+]([C@H]3CCC[C@@H]3O)CC2)c1O ZINC000674361321 485613680 /nfs/dbraw/zinc/61/36/80/485613680.db2.gz JBHULNLIHOVGNX-HOTGVXAUSA-N -1 1 318.417 1.626 20 0 DDADMM CCN(CC(=O)N(C)C)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000678960460 485739780 /nfs/dbraw/zinc/73/97/80/485739780.db2.gz XEXDSBVKLRMVMK-UHFFFAOYSA-N -1 1 318.295 1.961 20 0 DDADMM O=C(NCc1nn[n-]n1)c1coc(-c2ccccc2Cl)n1 ZINC000678993552 485752420 /nfs/dbraw/zinc/75/24/20/485752420.db2.gz KYEQPJQJABNYEW-UHFFFAOYSA-N -1 1 304.697 1.438 20 0 DDADMM C[C@@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])c1ccco1 ZINC000679113642 485780869 /nfs/dbraw/zinc/78/08/69/485780869.db2.gz DVZYKHXQGJGYIY-MRVPVSSYSA-N -1 1 310.331 1.375 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCCc2n[nH]cc21 ZINC000675143678 485864282 /nfs/dbraw/zinc/86/42/82/485864282.db2.gz GVDKNTGWHIYGNK-SMDDNHRTSA-N -1 1 323.360 1.249 20 0 DDADMM C[C@@H]1Cc2ccccc2N1C(=O)CNC1(C(=O)[O-])CCCC1 ZINC000676230657 486152111 /nfs/dbraw/zinc/15/21/11/486152111.db2.gz PJPHDLCUVIKOKG-GFCCVEGCSA-N -1 1 302.374 1.951 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCC[C@H](C(N)=O)C2)sc1C ZINC000676415328 486221991 /nfs/dbraw/zinc/22/19/91/486221991.db2.gz XWMCISNVKHEMCF-VHSXEESVSA-N -1 1 317.436 1.082 20 0 DDADMM Cc1ccc(C(=O)CC(C)(C)CC(=O)NCc2nn[n-]n2)cc1 ZINC000680581391 486231376 /nfs/dbraw/zinc/23/13/76/486231376.db2.gz XDBXIBQQVPMAIX-UHFFFAOYSA-N -1 1 315.377 1.814 20 0 DDADMM Cc1c(C(=O)NCc2nn[n-]n2)cnn1-c1cc(C)ccc1C ZINC000676473371 486232297 /nfs/dbraw/zinc/23/22/97/486232297.db2.gz XBLMYSHQWNFYMJ-UHFFFAOYSA-N -1 1 311.349 1.241 20 0 DDADMM CC(C)Oc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000681353169 486410467 /nfs/dbraw/zinc/41/04/67/486410467.db2.gz LIUKMFGHURYKNE-NSHDSACASA-N -1 1 303.366 1.863 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](CO)CC2CC2)c(F)c1 ZINC000677243406 486424081 /nfs/dbraw/zinc/42/40/81/486424081.db2.gz YEEQTEOHQRJBDI-SECBINFHSA-N -1 1 321.345 1.413 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(-c2ccccn2)ccc1Cl ZINC000677289131 486433020 /nfs/dbraw/zinc/43/30/20/486433020.db2.gz ZINXFHQHJVXINE-UHFFFAOYSA-N -1 1 314.736 1.845 20 0 DDADMM Cc1cnc(C(=O)N2CCc3ccccc3[C@H]2C(N)=O)c([O-])c1 ZINC000681726859 486502309 /nfs/dbraw/zinc/50/23/09/486502309.db2.gz JYONVNQBJSVOKZ-HNNXBMFYSA-N -1 1 311.341 1.321 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@@]1(C)c1ccccc1 ZINC000685499447 486550619 /nfs/dbraw/zinc/55/06/19/486550619.db2.gz XOVMDQHTBCJTMZ-FZMZJTMJSA-N -1 1 307.375 1.574 20 0 DDADMM CN1CC[C@@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)C1=O ZINC000681510486 490666928 /nfs/dbraw/zinc/66/69/28/490666928.db2.gz LXHGUEOXQFVAHE-NSHDSACASA-N -1 1 300.318 1.199 20 0 DDADMM Cc1ccc(CN2C[C@@H](C(=O)[N-]OCC3CC3)CC2=O)cc1 ZINC000293688035 533958447 /nfs/dbraw/zinc/95/84/47/533958447.db2.gz BUSGUXMIUKVZTC-HNNXBMFYSA-N -1 1 302.374 1.801 20 0 DDADMM COC(=O)c1cnn(C)c1[N-]S(=O)(=O)CCc1ccccc1 ZINC000451066297 534312349 /nfs/dbraw/zinc/31/23/49/534312349.db2.gz WJMKPUGGHYQRCP-UHFFFAOYSA-N -1 1 323.374 1.191 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2ccc3nncn3c2)ccc1F ZINC000180114092 518187804 /nfs/dbraw/zinc/18/78/04/518187804.db2.gz DBZWRLOIOMDTER-UHFFFAOYSA-N -1 1 306.322 1.978 20 0 DDADMM Cc1ccc2nc(CN=c3ccc(C(=O)N(C)C)n[n-]3)[nH]c2c1 ZINC000413170804 534497636 /nfs/dbraw/zinc/49/76/36/534497636.db2.gz AHJLEUSFWQXPNU-UHFFFAOYSA-N -1 1 310.361 1.397 20 0 DDADMM NC(=O)c1csc(=N[C@H]2CCN(c3c(F)cccc3F)C2)[n-]1 ZINC000432334797 534523010 /nfs/dbraw/zinc/52/30/10/534523010.db2.gz HKFSRUNVLXCRKP-QMMMGPOBSA-N -1 1 324.356 1.633 20 0 DDADMM O=S(=O)([N-]CCC1CC(O)C1)c1cc(F)c(F)cc1F ZINC000432306481 534866769 /nfs/dbraw/zinc/86/67/69/534866769.db2.gz HYMYDFYSBGJORH-UHFFFAOYSA-N -1 1 309.309 1.543 20 0 DDADMM CC(=O)[C@@H]([N-]S(=O)(=O)CCOC(C)C)c1ccccc1F ZINC000416642040 527131123 /nfs/dbraw/zinc/13/11/23/527131123.db2.gz FCQPDYKLXGHUCV-CQSZACIVSA-N -1 1 317.382 1.800 20 0 DDADMM CC(C)(NC(=O)C=Cc1ccc(OC(F)F)cc1)c1nn[n-]n1 ZINC000492044033 527262716 /nfs/dbraw/zinc/26/27/16/527262716.db2.gz RXSDYUPWPJEXOW-VMPITWQZSA-N -1 1 323.303 1.866 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)CC(C)(C)O)o1 ZINC000451768318 528243248 /nfs/dbraw/zinc/24/32/48/528243248.db2.gz YUCGNTJIAWPKKW-SECBINFHSA-N -1 1 319.379 1.284 20 0 DDADMM CCn1ccc(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)n1 ZINC000413877791 529168595 /nfs/dbraw/zinc/16/85/95/529168595.db2.gz DKEWDARKLPQCIN-UHFFFAOYSA-N -1 1 317.393 1.935 20 0 DDADMM CC[C@@H](Oc1ccccc1C)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000413520749 529248152 /nfs/dbraw/zinc/24/81/52/529248152.db2.gz UJOKPRCBWRNIGY-LLVKDONJSA-N -1 1 303.366 1.717 20 0 DDADMM Cc1ccc(Cl)cc1NC(=O)Cn1cnnc1-c1nn[n-]n1 ZINC000737343634 598875915 /nfs/dbraw/zinc/87/59/15/598875915.db2.gz QSNYXQUAKIYIHY-UHFFFAOYSA-N -1 1 318.728 1.059 20 0 DDADMM CCC[C@@](C)(O)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736417835 598969530 /nfs/dbraw/zinc/96/95/30/598969530.db2.gz WYPDWVAUODQUAF-OAHLLOKOSA-N -1 1 313.365 1.773 20 0 DDADMM CCC[C@@](C)(O)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736417835 598969531 /nfs/dbraw/zinc/96/95/31/598969531.db2.gz WYPDWVAUODQUAF-OAHLLOKOSA-N -1 1 313.365 1.773 20 0 DDADMM Cc1sc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)c(C)c1C ZINC000737565730 599161968 /nfs/dbraw/zinc/16/19/68/599161968.db2.gz WPRYGIMGOXUTMH-UHFFFAOYSA-N -1 1 318.366 1.084 20 0 DDADMM O=C(NCC[C@H](O)c1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823182499 599198546 /nfs/dbraw/zinc/19/85/46/599198546.db2.gz LGWKVTKALJTBBJ-AWEZNQCLSA-N -1 1 324.344 1.115 20 0 DDADMM O=C(NCC[C@H](O)c1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823182499 599198547 /nfs/dbraw/zinc/19/85/47/599198547.db2.gz LGWKVTKALJTBBJ-AWEZNQCLSA-N -1 1 324.344 1.115 20 0 DDADMM O=C([O-])C1CCN(CC(=O)NCc2cc(F)cc(F)c2)CC1 ZINC000740367014 596918162 /nfs/dbraw/zinc/91/81/62/596918162.db2.gz DCYBMJBXNDSWIA-UHFFFAOYSA-N -1 1 312.316 1.378 20 0 DDADMM NC(=O)C1CCN(c2cc(-c3nnn[n-]3)nc3ccccc32)CC1 ZINC000737744500 599253500 /nfs/dbraw/zinc/25/35/00/599253500.db2.gz LJDUUPGSTGFTNW-UHFFFAOYSA-N -1 1 323.360 1.117 20 0 DDADMM NC(=O)C1CCN(c2cc(-c3nn[n-]n3)nc3ccccc32)CC1 ZINC000737744500 599253502 /nfs/dbraw/zinc/25/35/02/599253502.db2.gz LJDUUPGSTGFTNW-UHFFFAOYSA-N -1 1 323.360 1.117 20 0 DDADMM CCc1nc([C@H](C)NC(=O)Nc2cccc(CC(=O)[O-])c2)n[nH]1 ZINC000820492213 597511179 /nfs/dbraw/zinc/51/11/79/597511179.db2.gz BJQFQWASIWHTNS-VIFPVBQESA-N -1 1 317.349 1.877 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cccc(C(=O)[O-])c2)n[nH]1 ZINC000820368986 597701858 /nfs/dbraw/zinc/70/18/58/597701858.db2.gz CTHSMXMZSJQOJU-UHFFFAOYSA-N -1 1 303.274 1.537 20 0 DDADMM Cc1cc(NC(=O)[C@H](C)CN2CCOCC2)ccc1C(=O)[O-] ZINC000821008749 597735614 /nfs/dbraw/zinc/73/56/14/597735614.db2.gz LGUFVBKHEQLGJW-GFCCVEGCSA-N -1 1 306.362 1.600 20 0 DDADMM O=S(=O)(NC1CCCCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738341837 598260012 /nfs/dbraw/zinc/26/00/12/598260012.db2.gz GRSZASUXICXYKN-UHFFFAOYSA-N -1 1 322.394 1.263 20 0 DDADMM O=S(=O)(NC1CCCCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738341837 598260014 /nfs/dbraw/zinc/26/00/14/598260014.db2.gz GRSZASUXICXYKN-UHFFFAOYSA-N -1 1 322.394 1.263 20 0 DDADMM CCN(CCCNc1nccnc1-c1nnn[n-]1)c1ccccc1 ZINC000736536427 598339036 /nfs/dbraw/zinc/33/90/36/598339036.db2.gz CVNTUUCZVLKTKK-UHFFFAOYSA-N -1 1 324.392 1.985 20 0 DDADMM CCN(CCCNc1nccnc1-c1nn[n-]n1)c1ccccc1 ZINC000736536427 598339038 /nfs/dbraw/zinc/33/90/38/598339038.db2.gz CVNTUUCZVLKTKK-UHFFFAOYSA-N -1 1 324.392 1.985 20 0 DDADMM Fc1cccc(OCCNc2nccnc2-c2nnn[n-]2)c1 ZINC000737663263 598348617 /nfs/dbraw/zinc/34/86/17/598348617.db2.gz IFTBCOUHPJIFOY-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM Fc1cccc(OCCNc2nccnc2-c2nn[n-]n2)c1 ZINC000737663263 598348618 /nfs/dbraw/zinc/34/86/18/598348618.db2.gz IFTBCOUHPJIFOY-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM c1ccc(N2CC[C@H](Nc3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000738434575 598388871 /nfs/dbraw/zinc/38/88/71/598388871.db2.gz DPJMKILTPDKQFS-NSHDSACASA-N -1 1 308.349 1.348 20 0 DDADMM c1ccc(N2CC[C@H](Nc3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000738434575 598388873 /nfs/dbraw/zinc/38/88/73/598388873.db2.gz DPJMKILTPDKQFS-NSHDSACASA-N -1 1 308.349 1.348 20 0 DDADMM CC(C)N1C[C@@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)CC1=O ZINC000735873864 598669299 /nfs/dbraw/zinc/66/92/99/598669299.db2.gz AJIRMYCOGQGUSH-QMMMGPOBSA-N -1 1 321.772 1.336 20 0 DDADMM CC(C)N1C[C@@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)CC1=O ZINC000735873864 598669301 /nfs/dbraw/zinc/66/93/01/598669301.db2.gz AJIRMYCOGQGUSH-QMMMGPOBSA-N -1 1 321.772 1.336 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1ccc(F)cc1Cl ZINC000738372460 598677661 /nfs/dbraw/zinc/67/76/61/598677661.db2.gz QONUBHXYCHHUEI-UHFFFAOYSA-N -1 1 305.700 1.869 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)C1 ZINC000820726967 599342311 /nfs/dbraw/zinc/34/23/11/599342311.db2.gz DQSNODMCNFQJNW-MRVPVSSYSA-N -1 1 311.342 1.036 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)C1 ZINC000820726967 599342313 /nfs/dbraw/zinc/34/23/13/599342313.db2.gz DQSNODMCNFQJNW-MRVPVSSYSA-N -1 1 311.342 1.036 20 0 DDADMM Cc1ccccc1N1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000737437597 599395932 /nfs/dbraw/zinc/39/59/32/599395932.db2.gz XHDZJLVGOWXPIR-UHFFFAOYSA-N -1 1 321.388 1.897 20 0 DDADMM Cc1ccccc1N1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000737437597 599395935 /nfs/dbraw/zinc/39/59/35/599395935.db2.gz XHDZJLVGOWXPIR-UHFFFAOYSA-N -1 1 321.388 1.897 20 0 DDADMM c1cc(NCCc2ccc3c(c2)OCO3)nc(-c2nnn[n-]2)c1 ZINC000738413730 599399010 /nfs/dbraw/zinc/39/90/10/599399010.db2.gz WNSSYOIKKKIBSD-UHFFFAOYSA-N -1 1 310.317 1.645 20 0 DDADMM c1cc(NCCc2ccc3c(c2)OCO3)nc(-c2nn[n-]n2)c1 ZINC000738413730 599399013 /nfs/dbraw/zinc/39/90/13/599399013.db2.gz WNSSYOIKKKIBSD-UHFFFAOYSA-N -1 1 310.317 1.645 20 0 DDADMM CCn1cc(-c2nn[n-]n2)c(=O)n(CCCC2CCCC2)c1=O ZINC000821565040 599697160 /nfs/dbraw/zinc/69/71/60/599697160.db2.gz BCHSRGOOVJTHLL-UHFFFAOYSA-N -1 1 318.381 1.180 20 0 DDADMM O=C([O-])[C@@H](NCc1cc(=O)n2ccccc2n1)c1ccccc1 ZINC000321435232 599759943 /nfs/dbraw/zinc/75/99/43/599759943.db2.gz MBPIBFMZQPMVHF-INIZCTEOSA-N -1 1 309.325 1.610 20 0 DDADMM O=C([O-])c1coc(CN2CCC(C(=O)N3CCCC3)CC2)c1 ZINC000740268213 599846478 /nfs/dbraw/zinc/84/64/78/599846478.db2.gz DUBYJXTXSWEVHU-UHFFFAOYSA-N -1 1 306.362 1.812 20 0 DDADMM O=C([O-])[C@@H]1CCN(CN2C[C@@H](c3cccc(F)c3)CC2=O)C1 ZINC000739599199 599853935 /nfs/dbraw/zinc/85/39/35/599853935.db2.gz USJBOQOTOFHJSE-OLZOCXBDSA-N -1 1 306.337 1.506 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1[nH]nc2c1CCC2)c1cc(F)ccc1F ZINC000739372504 599989373 /nfs/dbraw/zinc/98/93/73/599989373.db2.gz NROMPPGLXMAFFW-CYBMUJFWSA-N -1 1 321.283 1.732 20 0 DDADMM CN(C)CC(=O)NC[C@@H](Cc1ccc2ccccc2c1)C(=O)[O-] ZINC000737362298 600180550 /nfs/dbraw/zinc/18/05/50/600180550.db2.gz ZQVRCJZFYAFZRD-MRXNPFEDSA-N -1 1 314.385 1.761 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N1[C@H](C)CCC[C@@H]1C ZINC000737794704 600224512 /nfs/dbraw/zinc/22/45/12/600224512.db2.gz SNVIWZVUTYSOMB-BETUJISGSA-N -1 1 300.399 1.199 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)NC1CCC(C(=O)[O-])CC1 ZINC000391360915 600358727 /nfs/dbraw/zinc/35/87/27/600358727.db2.gz QVHOJXGDKPIJLM-PPWQZUPISA-N -1 1 323.437 1.898 20 0 DDADMM CC[C@@H](O)[C@@H]1CCCCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000736925494 600442085 /nfs/dbraw/zinc/44/20/85/600442085.db2.gz UCKHJLRSIPSLCN-LSDHHAIUSA-N -1 1 320.389 1.949 20 0 DDADMM COC(=O)CCN(CC(=O)Nc1ccccc1C(=O)[O-])C(C)C ZINC000737598625 600446209 /nfs/dbraw/zinc/44/62/09/600446209.db2.gz FYDIWOFKQJPSEI-UHFFFAOYSA-N -1 1 322.361 1.597 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCC[C@@H]1C[C@@H](O)c1ccccc1 ZINC000833236346 600853151 /nfs/dbraw/zinc/85/31/51/600853151.db2.gz FXGFCXFANZWPLQ-HUUCEWRRSA-N -1 1 320.389 1.165 20 0 DDADMM O=C([O-])CCN(CC(=O)OCc1ccccc1)C1CCOCC1 ZINC000833232232 600858124 /nfs/dbraw/zinc/85/81/24/600858124.db2.gz YKLMLPRIHPXTPA-UHFFFAOYSA-N -1 1 321.373 1.686 20 0 DDADMM COc1cc(C(=O)[O-])cc(NC(=O)c2cn[nH]c2C)c1OC ZINC000737855050 600931214 /nfs/dbraw/zinc/93/12/14/600931214.db2.gz AIEHKLGDGQHVBB-UHFFFAOYSA-N -1 1 305.290 1.686 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000826741452 601011007 /nfs/dbraw/zinc/01/10/07/601011007.db2.gz DEVNIMLWMCGJKF-OAHLLOKOSA-N -1 1 319.405 1.595 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000736159858 601032228 /nfs/dbraw/zinc/03/22/28/601032228.db2.gz NTCGELOBGOUAGV-LBPRGKRZSA-N -1 1 319.361 1.380 20 0 DDADMM C/C(=C\CN1CCCN(C(=O)c2ccsc2)CC1)C(=O)[O-] ZINC000825741791 601052203 /nfs/dbraw/zinc/05/22/03/601052203.db2.gz SDYKOVSELUPJJC-KGVSQERTSA-N -1 1 308.403 1.927 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2ccsc2)CC1 ZINC000827354755 601104407 /nfs/dbraw/zinc/10/44/07/601104407.db2.gz HNDSSPMEOBDBJI-ZZKXABKFSA-N -1 1 308.403 1.769 20 0 DDADMM COCCOCCN1CCN(c2ccc(C(=O)[O-])cc2)C[C@H]1C ZINC000831764077 601150575 /nfs/dbraw/zinc/15/05/75/601150575.db2.gz ZQZBLZYFYHYZLE-CQSZACIVSA-N -1 1 322.405 1.558 20 0 DDADMM CC(=O)c1cccc(NC(=O)[C@H](C)N2C[C@H](C(=O)[O-])[C@H](C)C2)c1 ZINC000825960874 601506456 /nfs/dbraw/zinc/50/64/56/601506456.db2.gz SIHAZVKPMNXSBB-ZETOZRRWSA-N -1 1 318.373 1.869 20 0 DDADMM COc1ccc(NC(=O)CN2C[C@H](C)[C@@H](C(=O)[O-])C2)cc1OC ZINC000832394275 601511461 /nfs/dbraw/zinc/51/14/61/601511461.db2.gz QNAPGOPONLXBFW-JQWIXIFHSA-N -1 1 322.361 1.295 20 0 DDADMM C[C@@H]1CN(CCCS(=O)(=O)c2ccccc2)C[C@@H]1C(=O)[O-] ZINC000828450467 601535518 /nfs/dbraw/zinc/53/55/18/601535518.db2.gz QBKDIHFYFJAAOL-OCCSQVGLSA-N -1 1 311.403 1.503 20 0 DDADMM COc1cccc(NC(=O)CCN2CC[C@@](F)(C(=O)[O-])C2)c1 ZINC000832561963 601550917 /nfs/dbraw/zinc/55/09/17/601550917.db2.gz IEIVRVQKYPXYSX-HNNXBMFYSA-N -1 1 310.325 1.522 20 0 DDADMM COc1cccc([S@@](=O)Cc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000826217427 607527479 /nfs/dbraw/zinc/52/74/79/607527479.db2.gz LQHOAQACZQYTLX-QFIPXVFZSA-N -1 1 315.358 1.578 20 0 DDADMM COc1cccc([S@@](=O)Cc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000826217427 607527480 /nfs/dbraw/zinc/52/74/80/607527480.db2.gz LQHOAQACZQYTLX-QFIPXVFZSA-N -1 1 315.358 1.578 20 0 DDADMM CC(C)[C@@H](C(=O)Nc1ccc(C(=O)NCC(=O)[O-])cc1)N(C)C ZINC000736348536 601821280 /nfs/dbraw/zinc/82/12/80/601821280.db2.gz ZDHRWRHELOSEOJ-AWEZNQCLSA-N -1 1 321.377 1.026 20 0 DDADMM CC(=O)[C@H](Cc1ccccc1)NC(=O)CN[C@H](C(=O)[O-])C(C)C ZINC000825813356 601823639 /nfs/dbraw/zinc/82/36/39/601823639.db2.gz KCVVIYYMIXEDBZ-HOCLYGCPSA-N -1 1 320.389 1.002 20 0 DDADMM Cn1c(CN2CC[C@](C)(C(=O)[O-])C2)nc2ccccc2c1=O ZINC000833038768 601938989 /nfs/dbraw/zinc/93/89/89/601938989.db2.gz MXJPKPSWJQQGAZ-INIZCTEOSA-N -1 1 301.346 1.230 20 0 DDADMM C[C@@H](c1nnnn1-c1ccccc1)N1CC[C@](C)(C(=O)[O-])C1 ZINC000827966731 601940136 /nfs/dbraw/zinc/94/01/36/601940136.db2.gz UGXKMFKVVDTNEA-NHYWBVRUSA-N -1 1 301.350 1.520 20 0 DDADMM Cn1ccc(CSCc2ccnc(-c3nnn[n-]3)c2)cc1=O ZINC000826363494 607535106 /nfs/dbraw/zinc/53/51/06/607535106.db2.gz OSDFKVRVNHJUIK-UHFFFAOYSA-N -1 1 314.374 1.394 20 0 DDADMM Cn1ccc(CSCc2ccnc(-c3nn[n-]n3)c2)cc1=O ZINC000826363494 607535109 /nfs/dbraw/zinc/53/51/09/607535109.db2.gz OSDFKVRVNHJUIK-UHFFFAOYSA-N -1 1 314.374 1.394 20 0 DDADMM C[C@H](C(=O)N[C@H]1CCCC[C@H]1C)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000739096902 601959960 /nfs/dbraw/zinc/95/99/60/601959960.db2.gz FMVGICBALGPIQX-MQYQWHSLSA-N -1 1 311.426 1.659 20 0 DDADMM Cc1cc(NC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)nnc1C ZINC000832894106 602040787 /nfs/dbraw/zinc/04/07/87/602040787.db2.gz COWMONORZSTHSC-LLVKDONJSA-N -1 1 321.381 1.106 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)NC1CCN(Cc2ccncc2)CC1 ZINC000827279549 602105484 /nfs/dbraw/zinc/10/54/84/602105484.db2.gz DIXLKBFVIPEJEG-GFCCVEGCSA-N -1 1 305.378 1.273 20 0 DDADMM CC(=O)Nc1cccc(NCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000824005513 607546631 /nfs/dbraw/zinc/54/66/31/607546631.db2.gz VVWDQNAMXPLKDA-UHFFFAOYSA-N -1 1 309.333 1.832 20 0 DDADMM CC(=O)Nc1cccc(NCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000824005513 607546634 /nfs/dbraw/zinc/54/66/34/607546634.db2.gz VVWDQNAMXPLKDA-UHFFFAOYSA-N -1 1 309.333 1.832 20 0 DDADMM CCN(C)[C@H](C(=O)N1CCC(NC(=O)[O-])CC1)c1ccccc1 ZINC000739385674 602161730 /nfs/dbraw/zinc/16/17/30/602161730.db2.gz SLBLYOAZLYNXHE-HNNXBMFYSA-N -1 1 319.405 1.938 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)CCSCC(=O)[O-])C2)n[nH]1 ZINC000832997271 602242140 /nfs/dbraw/zinc/24/21/40/602242140.db2.gz VHUOTIQRVJJICC-SNVBAGLBSA-N -1 1 312.395 1.027 20 0 DDADMM NC(=O)c1ccc(NCc2ccc(-c3nn[n-]n3)s2)cc1 ZINC000826393554 607568006 /nfs/dbraw/zinc/56/80/06/607568006.db2.gz XXTIXALORNHADQ-UHFFFAOYSA-N -1 1 300.347 1.639 20 0 DDADMM CC[C@H]1CCCCN1C(=O)CN1CCC(N(C)C(=O)[O-])CC1 ZINC000737789542 602534858 /nfs/dbraw/zinc/53/48/58/602534858.db2.gz OSIBDMVAWIIBPZ-ZDUSSCGKSA-N -1 1 311.426 1.852 20 0 DDADMM CCCN(CC(=O)N1CCCC[C@@H]1C)[C@H]1CCN(C(=O)[O-])C1 ZINC000739348262 602579152 /nfs/dbraw/zinc/57/91/52/602579152.db2.gz IBIAHVXQUXKPNC-KBPBESRZSA-N -1 1 311.426 1.852 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000740031829 602608934 /nfs/dbraw/zinc/60/89/34/602608934.db2.gz PDCHLTSNJHHXPS-LBPRGKRZSA-N -1 1 323.397 1.292 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)CCC(C)(C)NC(=O)[O-] ZINC000739200927 602809046 /nfs/dbraw/zinc/80/90/46/602809046.db2.gz VKEGVHBTIKTRIY-OLZOCXBDSA-N -1 1 311.426 1.898 20 0 DDADMM O=C([O-])NCCCCCCC(=O)N1CCN(C2CCC2)CC1 ZINC000740648111 602853755 /nfs/dbraw/zinc/85/37/55/602853755.db2.gz JZUTWZAGNUWYDR-UHFFFAOYSA-N -1 1 311.426 1.901 20 0 DDADMM C[NH+]1CCC(CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])CC1 ZINC000739731510 602856699 /nfs/dbraw/zinc/85/66/99/602856699.db2.gz LRNBMDAXPUFSSE-UHFFFAOYSA-N -1 1 307.350 1.554 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@H]2CC[N@H+](CC3CC3)C2)c([O-])c1 ZINC000740699232 602864206 /nfs/dbraw/zinc/86/42/06/602864206.db2.gz SFWAUZLJSWXXRA-LBPRGKRZSA-N -1 1 319.361 1.696 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@H]2CC[N@@H+](CC3CC3)C2)c([O-])c1 ZINC000740699232 602864207 /nfs/dbraw/zinc/86/42/07/602864207.db2.gz SFWAUZLJSWXXRA-LBPRGKRZSA-N -1 1 319.361 1.696 20 0 DDADMM CCc1cc(CNC(=O)N2CCC([C@@H](C)NC(=O)[O-])CC2)n[nH]1 ZINC000739536221 602885942 /nfs/dbraw/zinc/88/59/42/602885942.db2.gz ONRBOLLIZZQFDP-SNVBAGLBSA-N -1 1 323.397 1.550 20 0 DDADMM CCc1cc(CNC(=O)NC[C@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000739537151 602886107 /nfs/dbraw/zinc/88/61/07/602886107.db2.gz DILRKUSWNPNMNR-SNVBAGLBSA-N -1 1 309.370 1.161 20 0 DDADMM CCc1cc(CNC(=O)N[C@H](C)[C@@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000739537463 602911415 /nfs/dbraw/zinc/91/14/15/602911415.db2.gz GXEQHCLEFWOZAT-GHMZBOCLSA-N -1 1 323.397 1.550 20 0 DDADMM Cc1csc(N2CCN(C[C@H]3CCN(C(=O)[O-])C3)CC2)n1 ZINC000740210813 602978783 /nfs/dbraw/zinc/97/87/83/602978783.db2.gz YRRLUOZRSGBAHU-GFCCVEGCSA-N -1 1 310.423 1.573 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N(C)CCCNC(=O)[O-] ZINC000739673350 603186964 /nfs/dbraw/zinc/18/69/64/603186964.db2.gz DKHYWINMFVISAV-CQSZACIVSA-N -1 1 307.394 1.275 20 0 DDADMM CC(C)C[C@H](C)N(C)C(=O)CN1CC[C@H](NC(=O)[O-])[C@@H](C)C1 ZINC000738808752 603412737 /nfs/dbraw/zinc/41/27/37/603412737.db2.gz YGSLJVUGAADHFB-IHRRRGAJSA-N -1 1 313.442 1.857 20 0 DDADMM O=C([O-])N1CCC[C@@H](C(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000831974758 603549574 /nfs/dbraw/zinc/54/95/74/603549574.db2.gz YXFIAMKFRSGOBC-GFCCVEGCSA-N -1 1 306.366 1.506 20 0 DDADMM C[C@@H]1C[C@@H]1N1C[C@H](NCc2ccc(NC(=O)[O-])nc2)CC1=O ZINC000825861679 603559262 /nfs/dbraw/zinc/55/92/62/603559262.db2.gz WUQNZPKDGPEBSF-JLLWLGSASA-N -1 1 304.350 1.270 20 0 DDADMM Cc1cccn2c(=O)cc(CN3CCC[C@@H]3CNC(=O)[O-])nc12 ZINC000830592084 603574949 /nfs/dbraw/zinc/57/49/49/603574949.db2.gz VNOZOGSBKMDOBI-CYBMUJFWSA-N -1 1 316.361 1.235 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCOC[C@@H]2Cc2ccccc2)C1 ZINC000831879196 603720134 /nfs/dbraw/zinc/72/01/34/603720134.db2.gz VAWVBLLMACLGDJ-HOTGVXAUSA-N -1 1 304.390 1.930 20 0 DDADMM Cc1cc(CNC(=O)NC[C@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830040706 603810183 /nfs/dbraw/zinc/81/01/83/603810183.db2.gz CEMHGHGLCBXQFB-ZDUSSCGKSA-N -1 1 317.349 1.526 20 0 DDADMM Cc1n[nH]c(CCNC(=O)c2ccc(NC(=O)[O-])cc2Cl)n1 ZINC000830746795 603884156 /nfs/dbraw/zinc/88/41/56/603884156.db2.gz SFUHZRKSXDPTDZ-UHFFFAOYSA-N -1 1 323.740 1.829 20 0 DDADMM C[C@H](CN1CCC[C@H](C)[C@@H]1CNC(=O)[O-])CS(C)(=O)=O ZINC000824902688 603904586 /nfs/dbraw/zinc/90/45/86/603904586.db2.gz NDVMXRDGCYQOPH-WOPDTQHZSA-N -1 1 306.428 1.035 20 0 DDADMM O=C([O-])N(CC(=O)NCc1nc2ccc(F)cc2[nH]1)CC1CC1 ZINC000831463757 603938219 /nfs/dbraw/zinc/93/82/19/603938219.db2.gz AUJSVVFWZHPKCO-UHFFFAOYSA-N -1 1 320.324 1.708 20 0 DDADMM C[C@H](C(=O)NCc1c[nH]nn1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823705183 603950943 /nfs/dbraw/zinc/95/09/43/603950943.db2.gz VCRHQARDNNGGBI-CABZTGNLSA-N -1 1 303.322 1.066 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000832340355 604058991 /nfs/dbraw/zinc/05/89/91/604058991.db2.gz QVTNEBVYYPNMAZ-VHSXEESVSA-N -1 1 320.324 1.755 20 0 DDADMM C[C@@H](CN(C)C(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000824880610 604173997 /nfs/dbraw/zinc/17/39/97/604173997.db2.gz JDPYLUNEZXLANQ-JTQLQIEISA-N -1 1 303.322 1.452 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)N(C)Cc2ccco2)C1 ZINC000825251772 604176307 /nfs/dbraw/zinc/17/63/07/604176307.db2.gz AVLUYOUAUCIHEP-STQMWFEESA-N -1 1 323.393 1.606 20 0 DDADMM OCC1(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)CCOCC1 ZINC000826508289 607678018 /nfs/dbraw/zinc/67/80/18/607678018.db2.gz LHOOPCAZPUBEQO-UHFFFAOYSA-N -1 1 324.772 1.116 20 0 DDADMM OCC1(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)CCOCC1 ZINC000826508289 607678019 /nfs/dbraw/zinc/67/80/19/607678019.db2.gz LHOOPCAZPUBEQO-UHFFFAOYSA-N -1 1 324.772 1.116 20 0 DDADMM Cc1cc(CC(=O)Nc2cccc3c2CCN3C(=O)[O-])n[nH]1 ZINC000830029719 604234829 /nfs/dbraw/zinc/23/48/29/604234829.db2.gz IAVMWYAAFWBSBU-UHFFFAOYSA-N -1 1 300.318 1.940 20 0 DDADMM C[C@H](CN(CC(=O)Nc1cccnc1Cl)C1CC1)C(=O)[O-] ZINC000827450599 604742827 /nfs/dbraw/zinc/74/28/27/604742827.db2.gz YKPYGRKSGRPUOF-SECBINFHSA-N -1 1 311.769 1.859 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)Cc1cccc(C(=O)[O-])c1 ZINC000833470683 604756557 /nfs/dbraw/zinc/75/65/57/604756557.db2.gz DZJUXLJNOOHGBF-HNNXBMFYSA-N -1 1 304.390 1.726 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@H]1CCCS1 ZINC000833626877 605055376 /nfs/dbraw/zinc/05/53/76/605055376.db2.gz SGZAFIGJNPXFRK-VXGBXAGGSA-N -1 1 315.439 1.072 20 0 DDADMM CC(C)OC1CCC(Nc2nccnc2-c2nnn[n-]2)CC1 ZINC000824309828 607734645 /nfs/dbraw/zinc/73/46/45/607734645.db2.gz WOSHLLDXSITHSJ-UHFFFAOYSA-N -1 1 303.370 1.805 20 0 DDADMM CC(C)OC1CCC(Nc2nccnc2-c2nn[n-]n2)CC1 ZINC000824309828 607734646 /nfs/dbraw/zinc/73/46/46/607734646.db2.gz WOSHLLDXSITHSJ-UHFFFAOYSA-N -1 1 303.370 1.805 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833541843 605108211 /nfs/dbraw/zinc/10/82/11/605108211.db2.gz WBZGKJNMSAAXBN-KGLIPLIRSA-N -1 1 320.389 1.461 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1CCc2ccccc21 ZINC000833623736 605115854 /nfs/dbraw/zinc/11/58/54/605115854.db2.gz SHUWGHIWKNDNRZ-CZUORRHYSA-N -1 1 316.401 1.724 20 0 DDADMM O=C([O-])NCc1ccc(C(=O)N[C@H]2CCCc3cn[nH]c32)cc1 ZINC000832690171 605129383 /nfs/dbraw/zinc/12/93/83/605129383.db2.gz IGYAFMVWUUOJGS-ZDUSSCGKSA-N -1 1 314.345 1.985 20 0 DDADMM C[C@@H]1C[C@H](c2ccc(F)cc2)CN1CN1C[C@@H](C(=O)[O-])CC1=O ZINC000833598615 605172927 /nfs/dbraw/zinc/17/29/27/605172927.db2.gz SFXRDERXUWFKOP-XBFCOCLRSA-N -1 1 320.364 1.894 20 0 DDADMM CN(CC(=O)N(CC(=O)[O-])C1CCCC1)[C@@H]1CCSC1 ZINC000833691518 605217480 /nfs/dbraw/zinc/21/74/80/605217480.db2.gz ORJNRPLLUZECQJ-GFCCVEGCSA-N -1 1 300.424 1.280 20 0 DDADMM CC(=O)N1CCCN(Cc2ccc(OCC(=O)[O-])cc2)CC1 ZINC000833393495 605293145 /nfs/dbraw/zinc/29/31/45/605293145.db2.gz LSRUCFJSZGOEMI-UHFFFAOYSA-N -1 1 306.362 1.204 20 0 DDADMM Cc1n[nH]c(CCNC(=O)C[C@H](C)C2CCN(C(=O)[O-])CC2)n1 ZINC000830741310 605300280 /nfs/dbraw/zinc/30/02/80/605300280.db2.gz DBTWQIPNXHXXIZ-JTQLQIEISA-N -1 1 323.397 1.188 20 0 DDADMM Cc1ccc(C[C@@H](C)C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000833748414 605467845 /nfs/dbraw/zinc/46/78/45/605467845.db2.gz LUMCZSKFUVUBLH-DOMZBBRYSA-N -1 1 315.373 1.709 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@H](NC(=O)[O-])C[C@@H]1C ZINC000829835063 605561639 /nfs/dbraw/zinc/56/16/39/605561639.db2.gz XQTJZTMPJBBQAD-GWCFXTLKSA-N -1 1 323.397 1.481 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1Cc1ccc(-n2cncn2)c(F)c1 ZINC000834221946 605613265 /nfs/dbraw/zinc/61/32/65/605613265.db2.gz VGSWIOXGBVRONZ-GFCCVEGCSA-N -1 1 319.340 1.638 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])[C@@H](C)CN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000833839033 605777425 /nfs/dbraw/zinc/77/74/25/605777425.db2.gz YFPVWRAVBJJKPP-ZJUUUORDSA-N -1 1 318.333 1.883 20 0 DDADMM O=C([O-])N1CC(CNC(=O)c2cn[nH]c2-c2ccccc2F)C1 ZINC000834036561 605784597 /nfs/dbraw/zinc/78/45/97/605784597.db2.gz LQFKPONWNLGBDM-UHFFFAOYSA-N -1 1 318.308 1.555 20 0 DDADMM CSCC[C@H](NC(=O)[O-])C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000829730455 605805540 /nfs/dbraw/zinc/80/55/40/605805540.db2.gz MBFDIIIBCLSEFY-KCJUWKMLSA-N -1 1 314.411 1.155 20 0 DDADMM O=C([O-])N[C@@H](C(=O)N[C@H]1CCc2nc[nH]c2C1)c1ccccc1 ZINC000834249927 605834206 /nfs/dbraw/zinc/83/42/06/605834206.db2.gz ASLVWYYLDVRIAC-SMDDNHRTSA-N -1 1 314.345 1.392 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NCc1ccccc1F ZINC000833832355 605884597 /nfs/dbraw/zinc/88/45/97/605884597.db2.gz SPXBJAPALRWDJS-NEPJUHHUSA-N -1 1 323.368 1.420 20 0 DDADMM COc1ccc(OC)c([C@@H](O)CN2CC[C@H](NC(=O)[O-])C2)c1 ZINC000739932984 605916035 /nfs/dbraw/zinc/91/60/35/605916035.db2.gz WZXWJSDIQKXRLS-GWCFXTLKSA-N -1 1 310.350 1.079 20 0 DDADMM O=C(CN(C(=O)[O-])C1CC1)NC[C@H](c1ccco1)N1CCCC1 ZINC000834000014 605959444 /nfs/dbraw/zinc/95/94/44/605959444.db2.gz SUWYRNGQRYPLIS-CYBMUJFWSA-N -1 1 321.377 1.675 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)[O-])CCN1CCCNC(=O)C(F)(F)F ZINC000833827657 606044150 /nfs/dbraw/zinc/04/41/50/606044150.db2.gz LWGMJCDMIDSKCR-BDAKNGLRSA-N -1 1 311.304 1.128 20 0 DDADMM C[C@H]1C[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C[C@@H](C)C1 ZINC000820649081 606160660 /nfs/dbraw/zinc/16/06/60/606160660.db2.gz IKJNHJUQYBAJLD-MYJAWHEDSA-N -1 1 318.385 1.546 20 0 DDADMM C[C@H]1C[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C[C@@H](C)C1 ZINC000820649081 606160663 /nfs/dbraw/zinc/16/06/63/606160663.db2.gz IKJNHJUQYBAJLD-MYJAWHEDSA-N -1 1 318.385 1.546 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000820097935 606294921 /nfs/dbraw/zinc/29/49/21/606294921.db2.gz TYOSVLBZNKQHGO-VIFPVBQESA-N -1 1 305.338 1.572 20 0 DDADMM C[C@H](NCc1ccc(-c2nn[n-]n2)s1)C(=O)OC1CCCC1 ZINC000822000301 606426031 /nfs/dbraw/zinc/42/60/31/606426031.db2.gz GIXHLOFAVULSRB-VIFPVBQESA-N -1 1 321.406 1.892 20 0 DDADMM CS(=O)(=O)c1cccc(Oc2ncccc2-c2nn[n-]n2)c1 ZINC000821941432 606430706 /nfs/dbraw/zinc/43/07/06/606430706.db2.gz GONVRYWWKSFJHA-UHFFFAOYSA-N -1 1 317.330 1.458 20 0 DDADMM CCNC(=O)[C@@H](C)Nc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000821276421 606448207 /nfs/dbraw/zinc/44/82/07/606448207.db2.gz LGRXZGGEMVOSJV-SECBINFHSA-N -1 1 311.349 1.351 20 0 DDADMM CCNC(=O)[C@@H](C)Nc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821276421 606448209 /nfs/dbraw/zinc/44/82/09/606448209.db2.gz LGRXZGGEMVOSJV-SECBINFHSA-N -1 1 311.349 1.351 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)CC2CCSCC2)n1 ZINC000822604473 606811485 /nfs/dbraw/zinc/81/14/85/606811485.db2.gz FXYAFSGYCLCVLZ-UHFFFAOYSA-N -1 1 307.383 1.072 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)CC2CCSCC2)n1 ZINC000822604473 606811487 /nfs/dbraw/zinc/81/14/87/606811487.db2.gz FXYAFSGYCLCVLZ-UHFFFAOYSA-N -1 1 307.383 1.072 20 0 DDADMM O=S(=O)(c1ccc(F)c(-c2nn[n-]n2)c1)N1C[C@@H]2CCC[C@H]21 ZINC000823601281 606874423 /nfs/dbraw/zinc/87/44/23/606874423.db2.gz ZALLRDLZZOHJMA-QPUJVOFHSA-N -1 1 323.353 1.179 20 0 DDADMM C[C@@H](CC[S@](C)=O)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000820249351 607026141 /nfs/dbraw/zinc/02/61/41/607026141.db2.gz VEKNGAGQSSHJCW-AFAXDDTQSA-N -1 1 320.831 1.546 20 0 DDADMM C[C@@H](CC[S@](C)=O)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000820249351 607026142 /nfs/dbraw/zinc/02/61/42/607026142.db2.gz VEKNGAGQSSHJCW-AFAXDDTQSA-N -1 1 320.831 1.546 20 0 DDADMM CCC(CC)(CC)CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820882594 607093982 /nfs/dbraw/zinc/09/39/82/607093982.db2.gz UYCBZEFLZBPNSA-UHFFFAOYSA-N -1 1 320.401 1.938 20 0 DDADMM CCC(CC)(CC)CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820882594 607093983 /nfs/dbraw/zinc/09/39/83/607093983.db2.gz UYCBZEFLZBPNSA-UHFFFAOYSA-N -1 1 320.401 1.938 20 0 DDADMM Cc1cccc(CC(=O)Nc2ncc(-c3nnn[n-]3)s2)c1 ZINC000822299700 607106918 /nfs/dbraw/zinc/10/69/18/607106918.db2.gz RLVLXIJXGXXYLS-UHFFFAOYSA-N -1 1 300.347 1.813 20 0 DDADMM Cc1cccc(CC(=O)Nc2ncc(-c3nn[n-]n3)s2)c1 ZINC000822299700 607106919 /nfs/dbraw/zinc/10/69/19/607106919.db2.gz RLVLXIJXGXXYLS-UHFFFAOYSA-N -1 1 300.347 1.813 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824790785 607824523 /nfs/dbraw/zinc/82/45/23/607824523.db2.gz QQSIRMBMWQRHFX-MRVPVSSYSA-N -1 1 307.379 1.616 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824790785 607824522 /nfs/dbraw/zinc/82/45/22/607824522.db2.gz QQSIRMBMWQRHFX-MRVPVSSYSA-N -1 1 307.379 1.616 20 0 DDADMM CC(C)(C)CCNC(=O)COc1cccc(F)c1-c1nnn[n-]1 ZINC000824087617 607865113 /nfs/dbraw/zinc/86/51/13/607865113.db2.gz ZNDGSKBDDFWDAF-UHFFFAOYSA-N -1 1 321.356 1.937 20 0 DDADMM CC(C)(C)CCNC(=O)COc1cccc(F)c1-c1nn[n-]n1 ZINC000824087617 607865114 /nfs/dbraw/zinc/86/51/14/607865114.db2.gz ZNDGSKBDDFWDAF-UHFFFAOYSA-N -1 1 321.356 1.937 20 0 DDADMM O=C(CCn1ccnc1-c1nnn[n-]1)Nc1cc(F)cc(F)c1 ZINC000826409647 607868499 /nfs/dbraw/zinc/86/84/99/607868499.db2.gz IYRNAMXCWLIIAB-UHFFFAOYSA-N -1 1 319.275 1.370 20 0 DDADMM O=C(CCn1ccnc1-c1nn[n-]n1)Nc1cc(F)cc(F)c1 ZINC000826409647 607868500 /nfs/dbraw/zinc/86/85/00/607868500.db2.gz IYRNAMXCWLIIAB-UHFFFAOYSA-N -1 1 319.275 1.370 20 0 DDADMM CC(C)(C)c1nc(Sc2cccc(-c3nn[n-]n3)n2)n[nH]1 ZINC000824142862 607895458 /nfs/dbraw/zinc/89/54/58/607895458.db2.gz YJNNFODOVFTBNH-UHFFFAOYSA-N -1 1 302.367 1.829 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)c2cccc(-c3nnn[n-]3)n2)C1(C)C ZINC000825582783 607899643 /nfs/dbraw/zinc/89/96/43/607899643.db2.gz NKYSSBVUGADSHZ-NEPJUHHUSA-N -1 1 302.382 1.902 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)c2cccc(-c3nn[n-]n3)n2)C1(C)C ZINC000825582783 607899644 /nfs/dbraw/zinc/89/96/44/607899644.db2.gz NKYSSBVUGADSHZ-NEPJUHHUSA-N -1 1 302.382 1.902 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC=C(C(F)(F)F)CC1 ZINC000826472212 607956233 /nfs/dbraw/zinc/95/62/33/607956233.db2.gz COHBJKMNBUDVFT-UHFFFAOYSA-N -1 1 324.266 1.596 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC=C(C(F)(F)F)CC1 ZINC000826472212 607956234 /nfs/dbraw/zinc/95/62/34/607956234.db2.gz COHBJKMNBUDVFT-UHFFFAOYSA-N -1 1 324.266 1.596 20 0 DDADMM CC(C)c1cccc(NC(=O)Cn2ccnc2-c2nnn[n-]2)c1 ZINC000824347828 608013554 /nfs/dbraw/zinc/01/35/54/608013554.db2.gz PBDWGKXVPJGDBK-UHFFFAOYSA-N -1 1 311.349 1.825 20 0 DDADMM CC(C)c1cccc(NC(=O)Cn2ccnc2-c2nn[n-]n2)c1 ZINC000824347828 608013555 /nfs/dbraw/zinc/01/35/55/608013555.db2.gz PBDWGKXVPJGDBK-UHFFFAOYSA-N -1 1 311.349 1.825 20 0 DDADMM C[C@@](O)(CNc1ccc(Cl)c(-c2nnn[n-]2)n1)C(F)(F)F ZINC000824677540 608018342 /nfs/dbraw/zinc/01/83/42/608018342.db2.gz FWBPVNTZLTVOTC-SECBINFHSA-N -1 1 322.678 1.640 20 0 DDADMM C[C@@](O)(CNc1ccc(Cl)c(-c2nn[n-]n2)n1)C(F)(F)F ZINC000824677540 608018343 /nfs/dbraw/zinc/01/83/43/608018343.db2.gz FWBPVNTZLTVOTC-SECBINFHSA-N -1 1 322.678 1.640 20 0 DDADMM CCc1nnc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000825768001 608146836 /nfs/dbraw/zinc/14/68/36/608146836.db2.gz XRMQWLZHHMCTTC-UHFFFAOYSA-N -1 1 317.334 1.033 20 0 DDADMM CCc1nnc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000825768001 608146837 /nfs/dbraw/zinc/14/68/37/608146837.db2.gz XRMQWLZHHMCTTC-UHFFFAOYSA-N -1 1 317.334 1.033 20 0 DDADMM CC[C@@H](Nc1cccc(-c2nnn[n-]2)n1)[C@@H](O)C(F)(F)F ZINC000825147940 608232385 /nfs/dbraw/zinc/23/23/85/608232385.db2.gz MVTCQSPTQROLIH-HZGVNTEJSA-N -1 1 302.260 1.375 20 0 DDADMM CC[C@@H](Nc1cccc(-c2nn[n-]n2)n1)[C@@H](O)C(F)(F)F ZINC000825147940 608232387 /nfs/dbraw/zinc/23/23/87/608232387.db2.gz MVTCQSPTQROLIH-HZGVNTEJSA-N -1 1 302.260 1.375 20 0 DDADMM CSCCn1cc(-c2nn[n-]n2)c(=O)c2nc(C)ccc21 ZINC000826229010 608291729 /nfs/dbraw/zinc/29/17/29/608291729.db2.gz KSCBPYNMWYIIKP-UHFFFAOYSA-N -1 1 302.363 1.248 20 0 DDADMM CO[C@H]1CCC[C@H]1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000826145340 608473121 /nfs/dbraw/zinc/47/31/21/608473121.db2.gz FDEQPROPGRBPFC-IUCAKERBSA-N -1 1 307.379 1.473 20 0 DDADMM CO[C@H]1CCC[C@H]1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000826145340 608473123 /nfs/dbraw/zinc/47/31/23/608473123.db2.gz FDEQPROPGRBPFC-IUCAKERBSA-N -1 1 307.379 1.473 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NC[C@@H](O)c1ccccc1F ZINC000826280726 608542778 /nfs/dbraw/zinc/54/27/78/608542778.db2.gz NOBNXIQEIBMUSV-CYBMUJFWSA-N -1 1 314.324 1.855 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NC[C@@](C)(O)c1ccsc1 ZINC000826280583 608546467 /nfs/dbraw/zinc/54/64/67/608546467.db2.gz HWQAWWCVUAANIN-CQSZACIVSA-N -1 1 316.390 1.951 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@H]2CCC(C)(C)C2)n1 ZINC000826356734 608608685 /nfs/dbraw/zinc/60/86/85/608608685.db2.gz CZKITSMQORDAAZ-QMMMGPOBSA-N -1 1 304.358 1.300 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@H]2CCC(C)(C)C2)n1 ZINC000826356734 608608687 /nfs/dbraw/zinc/60/86/87/608608687.db2.gz CZKITSMQORDAAZ-QMMMGPOBSA-N -1 1 304.358 1.300 20 0 DDADMM CC(C)OC(=O)[C@H](C)N(C)Cc1ccc(-c2nn[n-]n2)s1 ZINC000824305017 608739000 /nfs/dbraw/zinc/73/90/00/608739000.db2.gz JDUUHAQJRUKUOQ-VIFPVBQESA-N -1 1 309.395 1.700 20 0 DDADMM C[C@H]1C[C@@H](C(=O)n2ncc(-c3nn[n-]n3)c2N)CC(C)(C)C1 ZINC000824876451 609150033 /nfs/dbraw/zinc/15/00/33/609150033.db2.gz SLLUUBPJAXNFEC-DTWKUNHWSA-N -1 1 303.370 1.748 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCO1 ZINC000825182632 609161136 /nfs/dbraw/zinc/16/11/36/609161136.db2.gz LHEXVYMBKFGUON-WDEREUQCSA-N -1 1 317.349 1.716 20 0 DDADMM C[C@H]1CC[C@H](C(F)(F)F)CN1c1ccc(-c2nnn[n-]2)nn1 ZINC000824906678 609576022 /nfs/dbraw/zinc/57/60/22/609576022.db2.gz CRHARULRJYDMBL-YUMQZZPRSA-N -1 1 313.287 1.824 20 0 DDADMM C[C@H]1CC[C@H](C(F)(F)F)CN1c1ccc(-c2nn[n-]n2)nn1 ZINC000824906678 609576025 /nfs/dbraw/zinc/57/60/25/609576025.db2.gz CRHARULRJYDMBL-YUMQZZPRSA-N -1 1 313.287 1.824 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@H]2CCCN2CC(F)F)[n-]1 ZINC000797105350 699994239 /nfs/dbraw/zinc/99/42/39/699994239.db2.gz JOKHOLNKAWQQNW-SECBINFHSA-N -1 1 316.304 1.688 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975225702 695816833 /nfs/dbraw/zinc/81/68/33/695816833.db2.gz OJFIZNRERLEJDJ-LBPRGKRZSA-N -1 1 305.378 1.412 20 0 DDADMM O=C(CC1CCC1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976315431 695955441 /nfs/dbraw/zinc/95/54/41/695955441.db2.gz XHALOXDHOIYHEE-JYAVWHMHSA-N -1 1 315.373 1.164 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3OCCc4ccccc43)ccnc1-2 ZINC000798235295 700051565 /nfs/dbraw/zinc/05/15/65/700051565.db2.gz ZDJNCUAOJBJRSQ-HNNXBMFYSA-N -1 1 308.341 1.594 20 0 DDADMM O=C1CN(NC(=S)Nc2ccc(OC(F)F)cc2)C(=O)[N-]1 ZINC000007500950 696027398 /nfs/dbraw/zinc/02/73/98/696027398.db2.gz IYWFLMWIPUIZBQ-UHFFFAOYSA-N -1 1 316.289 1.041 20 0 DDADMM CNc1nc([O-])c(CC(=O)Nc2ccc(OC)c(OC)c2)s1 ZINC000007883228 696032097 /nfs/dbraw/zinc/03/20/97/696032097.db2.gz PQAIJZBRQSRNSA-NSHDSACASA-N -1 1 323.374 1.250 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2ccc(C(N)=O)o2)c1 ZINC000747182152 700059051 /nfs/dbraw/zinc/05/90/51/700059051.db2.gz YEDIVXLRMCNTPJ-UHFFFAOYSA-N -1 1 317.297 1.686 20 0 DDADMM CCOC(=O)[C@H](C)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000747213115 700060194 /nfs/dbraw/zinc/06/01/94/700060194.db2.gz LRXKRNIDNIJNKM-VIFPVBQESA-N -1 1 309.366 1.470 20 0 DDADMM CC(C)SCC(=O)OCC(=O)N[N-]C(=O)c1cccs1 ZINC000031229537 696117842 /nfs/dbraw/zinc/11/78/42/696117842.db2.gz TYWZKDGXFGUULN-UHFFFAOYSA-N -1 1 316.404 1.194 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2cccc(F)c2)c1Cl ZINC000035233139 696128597 /nfs/dbraw/zinc/12/85/97/696128597.db2.gz SZDZVVRRALBBPV-UHFFFAOYSA-N -1 1 303.746 1.691 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1ccoc1 ZINC000977371846 696132833 /nfs/dbraw/zinc/13/28/33/696132833.db2.gz QCNGLSGFNDKBTH-LBPRGKRZSA-N -1 1 315.329 1.367 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccccc1Cl)[C@@H]1CC1[N+](=O)[O-] ZINC000048518983 696213474 /nfs/dbraw/zinc/21/34/74/696213474.db2.gz OOZFQALUMUSFGK-HTRCEHHLSA-N -1 1 304.711 1.650 20 0 DDADMM CCC(NCCc1ccccc1F)=C1C(=O)[N-]C(=S)NC1=O ZINC000054500510 696276424 /nfs/dbraw/zinc/27/64/24/696276424.db2.gz ZWDRXAQMYDMGAS-UHFFFAOYSA-N -1 1 321.377 1.153 20 0 DDADMM CC(Nc1ccc2oc(C)nc2c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000054500614 696276699 /nfs/dbraw/zinc/27/66/99/696276699.db2.gz FZWMNQPBQIRBMY-UHFFFAOYSA-N -1 1 316.342 1.353 20 0 DDADMM COc1cccc(S(=O)(=O)NN=c2nc(OC)cc(C)[n-]2)c1 ZINC000747620854 700078214 /nfs/dbraw/zinc/07/82/14/700078214.db2.gz JGBVHRMWRDQYMW-UHFFFAOYSA-N -1 1 324.362 1.108 20 0 DDADMM CSc1ccc(S(=O)(=O)N[N-]C(=O)c2ccccn2)cc1 ZINC000064467612 696340079 /nfs/dbraw/zinc/34/00/79/696340079.db2.gz KVGZWUCVPMAFLD-UHFFFAOYSA-N -1 1 323.399 1.427 20 0 DDADMM C[C@@H](OCC1CC1)C(=O)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067078405 696358974 /nfs/dbraw/zinc/35/89/74/696358974.db2.gz WPKSECWPQIZWBH-SECBINFHSA-N -1 1 310.423 1.749 20 0 DDADMM CCCCO[C@@H](C)C(=O)NCCc1n[n-]c(=S)n1CC ZINC000067076157 696359042 /nfs/dbraw/zinc/35/90/42/696359042.db2.gz IMZYMDBILWRUFW-JTQLQIEISA-N -1 1 300.428 1.824 20 0 DDADMM CCONC(=O)c1cc(=O)c2cc(Br)ccc2[n-]1 ZINC000072281464 696393673 /nfs/dbraw/zinc/39/36/73/696393673.db2.gz BHQHTRFXVVLKFU-UHFFFAOYSA-N -1 1 311.135 1.972 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccccc1Cc1ccccc1 ZINC000073002293 696399685 /nfs/dbraw/zinc/39/96/85/696399685.db2.gz NOROHARFXPZYRA-UHFFFAOYSA-N -1 1 309.325 1.474 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NNC(=O)c3ccccc3)cnc2n1 ZINC000075473204 696421111 /nfs/dbraw/zinc/42/11/11/696421111.db2.gz RWXJSDMVZUAAFH-UHFFFAOYSA-N -1 1 322.324 1.719 20 0 DDADMM CC[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(C)cc1 ZINC000079304360 696459552 /nfs/dbraw/zinc/45/95/52/696459552.db2.gz DDWVEJCUCIVMGA-VXGBXAGGSA-N -1 1 305.403 1.909 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccc(-c3cc[nH]n3)cc2)s1 ZINC000079478632 696460662 /nfs/dbraw/zinc/46/06/62/696460662.db2.gz WYCLFCVBWRPLAL-NSHDSACASA-N -1 1 315.358 1.362 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(CC2CCCCC2)C2CC2)s1 ZINC000079660817 696462332 /nfs/dbraw/zinc/46/23/32/696462332.db2.gz VYXCTQFLZHAUPV-LBPRGKRZSA-N -1 1 309.435 1.905 20 0 DDADMM Cc1csc(CCNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n1 ZINC000081527231 696545121 /nfs/dbraw/zinc/54/51/21/696545121.db2.gz HWLRHPDNRLTNNO-UHFFFAOYSA-N -1 1 320.418 1.939 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC(C2CC2)C2CC2)c1Cl ZINC000088456227 696570531 /nfs/dbraw/zinc/57/05/31/696570531.db2.gz CLJAQWDGPJYXBL-UHFFFAOYSA-N -1 1 303.815 1.788 20 0 DDADMM CCC(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC000979832017 696650843 /nfs/dbraw/zinc/65/08/43/696650843.db2.gz RUVOFGUKJJJRSZ-SDDRHHMPSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)Cc1cccc(F)c1)N(C)C ZINC000798852945 700101969 /nfs/dbraw/zinc/10/19/69/700101969.db2.gz ZTPOISZUVDWFMH-CYBMUJFWSA-N -1 1 316.398 1.358 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC23CC4CC(CC(C4)C2)C3)s1 ZINC000120874027 696702706 /nfs/dbraw/zinc/70/27/06/696702706.db2.gz FYEDPABAFBEIMG-QBILUMQKSA-N -1 1 307.419 1.418 20 0 DDADMM CN(C)c1cccc(CN(C)C(=O)Cc2sc(N)nc2[O-])c1 ZINC000123832563 696735365 /nfs/dbraw/zinc/73/53/65/696735365.db2.gz NJUAEGZEIPPYAE-LBPRGKRZSA-N -1 1 320.418 1.058 20 0 DDADMM CCN(CC(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccccc1 ZINC000124104530 696739014 /nfs/dbraw/zinc/73/90/14/696739014.db2.gz LLMBQXUBPHQSMY-GFCCVEGCSA-N -1 1 302.382 1.288 20 0 DDADMM CCOCCC(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799024260 700110511 /nfs/dbraw/zinc/11/05/11/700110511.db2.gz PUFBIPZEIDNXNT-UHFFFAOYSA-N -1 1 310.316 1.330 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=O)[C@H](C)COc2ccccc2)n1 ZINC000151520428 696887150 /nfs/dbraw/zinc/88/71/50/696887150.db2.gz GBOXFGGQOJFUSR-LLVKDONJSA-N -1 1 316.361 1.952 20 0 DDADMM COc1ccc(N2C[C@H](C(=O)[N-]OCC(C)C)CC2=O)cc1 ZINC000153471689 696916382 /nfs/dbraw/zinc/91/63/82/696916382.db2.gz KSEIYZWUQXOBNV-GFCCVEGCSA-N -1 1 306.362 1.752 20 0 DDADMM COCCCCOC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000803289507 701099075 /nfs/dbraw/zinc/09/90/75/701099075.db2.gz PQGKWGTWXZDSRX-UHFFFAOYSA-N -1 1 307.393 1.703 20 0 DDADMM CC(C)CC(=O)N1CCC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000983825453 697304505 /nfs/dbraw/zinc/30/45/05/697304505.db2.gz SJUAJYDYASRHCI-CYBMUJFWSA-N -1 1 319.405 1.896 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccnn2CC2CC2)o1 ZINC000159886812 697313312 /nfs/dbraw/zinc/31/33/12/697313312.db2.gz BOPWKMBFINVOIV-UHFFFAOYSA-N -1 1 324.362 1.047 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2cccc(F)c2)co1 ZINC000163310453 697332683 /nfs/dbraw/zinc/33/26/83/697332683.db2.gz IJVCDVJIYRIZCM-UHFFFAOYSA-N -1 1 313.306 1.684 20 0 DDADMM COc1ccccc1OCCN(C)C(=O)c1ncccc1[O-] ZINC000171264662 697356280 /nfs/dbraw/zinc/35/62/80/697356280.db2.gz RPNQQVIXKDJSSM-UHFFFAOYSA-N -1 1 302.330 1.947 20 0 DDADMM O=C(Cc1cc2c(ccc3ccccc23)o1)NN1CC(=O)[N-]C1=O ZINC000178952745 697431877 /nfs/dbraw/zinc/43/18/77/697431877.db2.gz YJZKZOBKNKRKJV-UHFFFAOYSA-N -1 1 323.308 1.712 20 0 DDADMM CN(Cc1cc(=O)oc2cc([O-])ccc12)C[C@@H](O)C(F)(F)F ZINC000181285789 697457334 /nfs/dbraw/zinc/45/73/34/697457334.db2.gz SRGGSFFMMNENOT-GFCCVEGCSA-N -1 1 317.263 1.854 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C=Cc1ccccc1 ZINC000182001250 697469195 /nfs/dbraw/zinc/46/91/95/697469195.db2.gz LOPJHFQSEQWDQH-BQYQJAHWSA-N -1 1 324.340 1.525 20 0 DDADMM COc1cc(Cl)ccc1C(=O)N[N-]C(=O)c1ccc(F)cn1 ZINC000184082600 697498952 /nfs/dbraw/zinc/49/89/52/697498952.db2.gz RMCFJLPEFGZHTR-UHFFFAOYSA-N -1 1 323.711 1.958 20 0 DDADMM O=C(COC(=O)c1c(O)cccc1F)[N-]C(=O)c1ccccc1 ZINC000772577474 697650355 /nfs/dbraw/zinc/65/03/55/697650355.db2.gz HDPALFWKSXLFKC-UHFFFAOYSA-N -1 1 317.272 1.645 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000772696984 697662236 /nfs/dbraw/zinc/66/22/36/697662236.db2.gz RCDZOJPPGISRIC-NSHDSACASA-N -1 1 310.325 1.263 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2(F)CCCC2)cc1 ZINC000772781558 697678607 /nfs/dbraw/zinc/67/86/07/697678607.db2.gz UAIZCDSLRNHDCZ-UHFFFAOYSA-N -1 1 323.320 1.777 20 0 DDADMM Cc1cscc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986101897 697685538 /nfs/dbraw/zinc/68/55/38/697685538.db2.gz NWVBVEUVRVBQFH-KOLCDFICSA-N -1 1 321.406 1.273 20 0 DDADMM Cc1nc(CN[C@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)co1 ZINC000986174189 697719993 /nfs/dbraw/zinc/71/99/93/697719993.db2.gz XXOAAOVQFKLTBP-GWCFXTLKSA-N -1 1 316.361 1.476 20 0 DDADMM O=C(c1cccc2cc[nH]c21)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773156128 697720022 /nfs/dbraw/zinc/72/00/22/697720022.db2.gz ZQYAQTSYDIUGTH-LLVKDONJSA-N -1 1 314.374 1.611 20 0 DDADMM CC[S@@](=O)CCNC(=O)c1ccc(Br)c([O-])c1 ZINC000231345645 697786317 /nfs/dbraw/zinc/78/63/17/697786317.db2.gz XQZHAGHWFQTLRL-QGZVFWFLSA-N -1 1 320.208 1.653 20 0 DDADMM O=C(N[C@@H](CO)C[C@H](O)c1ccccc1)c1c([O-])cccc1F ZINC000773491419 697768119 /nfs/dbraw/zinc/76/81/19/697768119.db2.gz SHBHYEMCUCKPTD-DOMZBBRYSA-N -1 1 319.332 1.746 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Cl)c(Br)c1 ZINC000229009182 697777275 /nfs/dbraw/zinc/77/72/75/697777275.db2.gz UUXOFSCYZHBVLP-UHFFFAOYSA-N -1 1 316.546 1.546 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]Cc1ccncn1 ZINC000236217411 697795413 /nfs/dbraw/zinc/79/54/13/697795413.db2.gz JMNDYAQJAZAOPN-UHFFFAOYSA-N -1 1 312.282 1.002 20 0 DDADMM COCCn1cc(NC(=O)c2ccc3n[n-]c(=S)n3c2)cn1 ZINC000773826993 697809588 /nfs/dbraw/zinc/80/95/88/697809588.db2.gz XPAWUJSYFZTMJW-UHFFFAOYSA-N -1 1 318.362 1.113 20 0 DDADMM COC(=O)C1(NC(=O)c2ccc3n[n-]c(=S)n3c2)CCCC1 ZINC000773857143 697814879 /nfs/dbraw/zinc/81/48/79/697814879.db2.gz WZDYUUFCFPZZLV-UHFFFAOYSA-N -1 1 320.374 1.234 20 0 DDADMM O=C([N-]CCCOC(=O)[C@H]1Cc2ccccc21)C(F)(F)F ZINC000774960193 697947675 /nfs/dbraw/zinc/94/76/75/697947675.db2.gz GYGWGKWUSOGVDL-NSHDSACASA-N -1 1 301.264 1.938 20 0 DDADMM O=C(COC(=O)c1ccn(C(F)F)n1)[N-]C(=O)c1ccccc1 ZINC000775942679 698054812 /nfs/dbraw/zinc/05/48/12/698054812.db2.gz UYJAZDPOZWLYCI-UHFFFAOYSA-N -1 1 323.255 1.392 20 0 DDADMM CCn1cnnc1C[N-]c1nc(-c2cccc(OC)c2)no1 ZINC000777719182 698217477 /nfs/dbraw/zinc/21/74/77/698217477.db2.gz IOPZXUJZRCYZRY-UHFFFAOYSA-N -1 1 300.322 1.969 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCO[C@H](C)C2)o1 ZINC000778366186 698269166 /nfs/dbraw/zinc/26/91/66/698269166.db2.gz NLUSJMVSJLSUEN-ZJUUUORDSA-N -1 1 317.363 1.160 20 0 DDADMM CC(C)CCS(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N1CCCCC1 ZINC000778632604 698354455 /nfs/dbraw/zinc/35/44/55/698354455.db2.gz JJMISMUCULKIKP-CQSZACIVSA-N -1 1 318.483 1.989 20 0 DDADMM CCOCCO[N-]C(=O)[C@H](C(C)C)N1C[C@H](C)O[C@@H](C)C1 ZINC000248472829 698375600 /nfs/dbraw/zinc/37/56/00/698375600.db2.gz KDSFBULBALGIBC-IHRRRGAJSA-N -1 1 302.415 1.205 20 0 DDADMM Cc1cnc(CN[C@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)o1 ZINC000988682354 698435643 /nfs/dbraw/zinc/43/56/43/698435643.db2.gz BHDCDNOSUXXBNN-PWSUYJOCSA-N -1 1 316.361 1.476 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1(C)CCCC1 ZINC000988769596 698460380 /nfs/dbraw/zinc/46/03/80/698460380.db2.gz CBCWMQOFHJKJHH-VXGBXAGGSA-N -1 1 321.425 1.560 20 0 DDADMM CCc1cc(C(=O)N(CC(=O)[O-])Cc2ccc(F)cc2)n[nH]1 ZINC000262952968 698485424 /nfs/dbraw/zinc/48/54/24/698485424.db2.gz NAVBICYTZNHQTL-UHFFFAOYSA-N -1 1 305.309 1.838 20 0 DDADMM O=C([O-])[C@H](CC(F)(F)F)NC(=O)c1cc(F)cc2nc[nH]c21 ZINC000263073237 698489777 /nfs/dbraw/zinc/48/97/77/698489777.db2.gz UIBASNNRFGARMX-QMMMGPOBSA-N -1 1 319.214 1.837 20 0 DDADMM CO[C@H]1C[C@@H](CC(=O)[O-])N(C(=O)c2[nH]nc3ccccc32)C1 ZINC000263161013 698493907 /nfs/dbraw/zinc/49/39/07/698493907.db2.gz VMZJBYKUPKDAPD-UWVGGRQHSA-N -1 1 303.318 1.267 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N[C@@H](C)c1ccccc1OC)C(=O)[O-] ZINC000263170192 698494244 /nfs/dbraw/zinc/49/42/44/698494244.db2.gz RXPLEUGLOMVSKI-MKBNYLNASA-N -1 1 322.405 1.961 20 0 DDADMM CC[C@H](NC(=O)NC[C@@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000780344432 698509390 /nfs/dbraw/zinc/50/93/90/698509390.db2.gz PGMBAXGMBJBRCA-STQMWFEESA-N -1 1 323.393 1.969 20 0 DDADMM CCCC[C@H](NC(=O)NCCCCN1CCOCC1)C(=O)[O-] ZINC000780424522 698517459 /nfs/dbraw/zinc/51/74/59/698517459.db2.gz PIBNANFYJYSBLK-ZDUSSCGKSA-N -1 1 315.414 1.041 20 0 DDADMM N#Cc1ccc(N2CCN(C(=O)c3cncc([O-])c3)CC2)cn1 ZINC000282756819 698550204 /nfs/dbraw/zinc/55/02/04/698550204.db2.gz HTGMXIOPSFDOMG-UHFFFAOYSA-N -1 1 309.329 1.016 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CCC=CCC1 ZINC000989809644 698775596 /nfs/dbraw/zinc/77/55/96/698775596.db2.gz MWAGPPDXWDLIPE-AAEUAGOBSA-N -1 1 319.409 1.336 20 0 DDADMM Cc1c[nH][nH]c1=NC(=O)c1cnc2c(F)cc(F)cc2c1[O-] ZINC000353801638 699030614 /nfs/dbraw/zinc/03/06/14/699030614.db2.gz JREVYUAVZAFPFY-UHFFFAOYSA-N -1 1 304.256 1.512 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(F)c(Cl)cc2F)nc1=O ZINC000785611967 699086787 /nfs/dbraw/zinc/08/67/87/699086787.db2.gz VDEGMBBUUAQBTH-UHFFFAOYSA-N -1 1 303.652 1.397 20 0 DDADMM Cc1ccncc1/C=C\C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000786920012 699180171 /nfs/dbraw/zinc/18/01/71/699180171.db2.gz YKNWIILPOKOYCZ-FPLPWBNLSA-N -1 1 324.336 1.903 20 0 DDADMM Cc1ccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)s1 ZINC000990970243 699195586 /nfs/dbraw/zinc/19/55/86/699195586.db2.gz OPBSFHIALKRSDA-UHFFFAOYSA-N -1 1 317.370 1.412 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990975993 699197453 /nfs/dbraw/zinc/19/74/53/699197453.db2.gz VFTUDLQGGFXHEJ-NSHDSACASA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H]1CCC[C@@H]1CC(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990978883 699197962 /nfs/dbraw/zinc/19/79/62/699197962.db2.gz QKQZYJRCEBMDOP-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCC[C@H]1CCCO1 ZINC000787647570 699227718 /nfs/dbraw/zinc/22/77/18/699227718.db2.gz CDRYHVNHNIFHJF-SECBINFHSA-N -1 1 319.404 1.846 20 0 DDADMM CO[C@H]1C[C@@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000709119829 699251771 /nfs/dbraw/zinc/25/17/71/699251771.db2.gz UUQAJPQOJNQBRC-OCAPTIKFSA-N -1 1 308.762 1.577 20 0 DDADMM COC(COCCNC(=O)c1cc2ccccc2cc1[O-])OC ZINC000787925097 699254756 /nfs/dbraw/zinc/25/47/56/699254756.db2.gz VMYLSORIZBFGEK-UHFFFAOYSA-N -1 1 319.357 1.911 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2C[C@@H]3CCCC[C@H]23)c1Cl ZINC000712591680 699263918 /nfs/dbraw/zinc/26/39/18/699263918.db2.gz UKOZFLNYDBMUEO-LPEHRKFASA-N -1 1 303.815 1.931 20 0 DDADMM O=C(NC[C@@H](O)COc1cccc(F)c1)c1ncccc1[O-] ZINC000725885152 699337292 /nfs/dbraw/zinc/33/72/92/699337292.db2.gz CLGYHVWOYUQGKQ-LLVKDONJSA-N -1 1 306.293 1.096 20 0 DDADMM COCCn1cc(C(=O)Nc2nc(Cl)ccc2[O-])ccc1=O ZINC000790046667 699437254 /nfs/dbraw/zinc/43/72/54/699437254.db2.gz LCCIEKQDYNOALS-UHFFFAOYSA-N -1 1 323.736 1.501 20 0 DDADMM CCC(C)(C)c1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000790607832 699468830 /nfs/dbraw/zinc/46/88/30/699468830.db2.gz AIZIWHKSYCPOKO-UHFFFAOYSA-N -1 1 304.350 1.963 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)OC(C)(C)C ZINC000729532985 699481962 /nfs/dbraw/zinc/48/19/62/699481962.db2.gz ZSYOUUJPOSJUIK-UHFFFAOYSA-N -1 1 309.366 1.774 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc2ccc(=O)oc2c1 ZINC000730099465 699501032 /nfs/dbraw/zinc/50/10/32/699501032.db2.gz PJVYNWIAHNATJE-UHFFFAOYSA-N -1 1 306.299 1.592 20 0 DDADMM CCc1ccc([C@H](C)C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000732091004 699546130 /nfs/dbraw/zinc/54/61/30/699546130.db2.gz XHVFBVXBTOCAQF-SMDDNHRTSA-N -1 1 315.377 1.466 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)NCc2nn[n-]n2)cc1 ZINC000732158269 699548412 /nfs/dbraw/zinc/54/84/12/699548412.db2.gz WUVXRJZHNLJCHT-UHFFFAOYSA-N -1 1 318.337 1.477 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc(C=O)cc1Cl ZINC000732218532 699551031 /nfs/dbraw/zinc/55/10/31/699551031.db2.gz SXYBKSLNBPGJNC-UHFFFAOYSA-N -1 1 300.723 1.952 20 0 DDADMM CCN(CC(=O)OC)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000735298774 699689510 /nfs/dbraw/zinc/68/95/10/699689510.db2.gz SNGINQCUWFTXDB-UHFFFAOYSA-N -1 1 307.379 1.455 20 0 DDADMM C[C@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1cccc(F)c1 ZINC000735519005 699696242 /nfs/dbraw/zinc/69/62/42/699696242.db2.gz IFISXWUJWHWJFD-JTQLQIEISA-N -1 1 303.341 1.849 20 0 DDADMM C[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000736117954 699718534 /nfs/dbraw/zinc/71/85/34/699718534.db2.gz OZSJJHLVXDVMDB-AGIUHOORSA-N -1 1 305.378 1.106 20 0 DDADMM O=C([N-]S(=O)(=O)CC1CCC1)c1ccc(-c2nnc[nH]2)cc1 ZINC000793176519 699737504 /nfs/dbraw/zinc/73/75/04/699737504.db2.gz CSDGWXAEVYFGAX-UHFFFAOYSA-N -1 1 320.374 1.331 20 0 DDADMM O=C(CCCC1CCCCC1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737827617 699745573 /nfs/dbraw/zinc/74/55/73/699745573.db2.gz ZYOKWKFYQCBEOD-CYBMUJFWSA-N -1 1 307.398 1.850 20 0 DDADMM CCN(Cc1cccs1)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000737850944 699746194 /nfs/dbraw/zinc/74/61/94/699746194.db2.gz BEYWLKBWKUOWTO-LBPRGKRZSA-N -1 1 310.419 1.893 20 0 DDADMM O=C1OCCN1CCCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000738318520 699756960 /nfs/dbraw/zinc/75/69/60/699756960.db2.gz KCBHWWCODURVJS-UHFFFAOYSA-N -1 1 323.744 1.169 20 0 DDADMM O=C1OCCN1CCCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000738318520 699756963 /nfs/dbraw/zinc/75/69/63/699756963.db2.gz KCBHWWCODURVJS-UHFFFAOYSA-N -1 1 323.744 1.169 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/SC)c1 ZINC000794129599 699794608 /nfs/dbraw/zinc/79/46/08/699794608.db2.gz JTYQJYHUBOZQKX-AATRIKPKSA-N -1 1 302.377 1.116 20 0 DDADMM CSCCO[N-]C(=O)[C@H](C)c1ccc(S(C)(=O)=O)cc1 ZINC000794188509 699796543 /nfs/dbraw/zinc/79/65/43/699796543.db2.gz QWMBKEANQSGMKN-SNVBAGLBSA-N -1 1 317.432 1.604 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(OCc2ccccc2)ccn1 ZINC000742664131 699885186 /nfs/dbraw/zinc/88/51/86/699885186.db2.gz ORFQOZQJBCTBSI-UHFFFAOYSA-N -1 1 310.317 1.104 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1cccc(-c2cscn2)c1 ZINC000743291830 699905987 /nfs/dbraw/zinc/90/59/87/699905987.db2.gz VTSYDIPRYVCPML-UHFFFAOYSA-N -1 1 302.315 1.007 20 0 DDADMM CCC[C@H](C)NC(=O)[C@H](C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796347755 699928226 /nfs/dbraw/zinc/92/82/26/699928226.db2.gz WOLHADYSQANTJN-UWVGGRQHSA-N -1 1 310.350 1.651 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N2CCC(C)CC2)[n-]1 ZINC000796361491 699929080 /nfs/dbraw/zinc/92/90/80/699929080.db2.gz IGNQDYIGVRHQBR-UHFFFAOYSA-N -1 1 308.334 1.217 20 0 DDADMM Cc1cc(C)nc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1 ZINC000801182798 700274554 /nfs/dbraw/zinc/27/45/54/700274554.db2.gz RVLKEEQCBBQBMB-UHFFFAOYSA-N -1 1 321.358 1.304 20 0 DDADMM CC[C@H](C)OCC(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000751875314 700322210 /nfs/dbraw/zinc/32/22/10/700322210.db2.gz OIBZJPIEJTVXJE-NSHDSACASA-N -1 1 323.345 1.310 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3ccc4c(c3)COC4)ccnc1-2 ZINC000801704272 700333279 /nfs/dbraw/zinc/33/32/79/700333279.db2.gz HOUYETDKQCSCTA-UHFFFAOYSA-N -1 1 308.341 1.553 20 0 DDADMM CC[S@](=O)CC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000754027645 700477214 /nfs/dbraw/zinc/47/72/14/700477214.db2.gz FKFKLRDYORNTKY-SFHVURJKSA-N -1 1 301.389 1.480 20 0 DDADMM COC[C@H](C)CC(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000756652959 700633603 /nfs/dbraw/zinc/63/36/03/700633603.db2.gz IUFFYZRKQFPJEB-LLVKDONJSA-N -1 1 323.345 1.167 20 0 DDADMM O=C([N-]OCC(F)F)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000759338654 700747378 /nfs/dbraw/zinc/74/73/78/700747378.db2.gz RCTYIRQYNAUTJQ-MRVPVSSYSA-N -1 1 302.252 1.492 20 0 DDADMM CCC[C@H](C)c1noc(C[N-]S(=O)(=O)CCOCC)n1 ZINC000761853055 700871223 /nfs/dbraw/zinc/87/12/23/700871223.db2.gz KSSBBQXIZSMMEJ-JTQLQIEISA-N -1 1 305.400 1.429 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccccc1NCc1ccco1 ZINC000763434909 700937848 /nfs/dbraw/zinc/93/78/48/700937848.db2.gz QBJFRKOEMPLIJE-UHFFFAOYSA-N -1 1 314.301 1.088 20 0 DDADMM Cn1[n-]c(COC(=O)c2c(Cl)cc(F)cc2Cl)nc1=O ZINC000763569224 700940950 /nfs/dbraw/zinc/94/09/50/700940950.db2.gz UROXGQZPLJEBFD-UHFFFAOYSA-N -1 1 320.107 1.911 20 0 DDADMM Cc1nc(-c2ccco2)ccc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765465957 701014186 /nfs/dbraw/zinc/01/41/86/701014186.db2.gz VXWLVJIMOBDDJT-UHFFFAOYSA-N -1 1 314.301 1.429 20 0 DDADMM Cn1[n-]c(COC(=O)/C=C\c2ccnc3ccccc23)nc1=O ZINC000765477960 701014880 /nfs/dbraw/zinc/01/48/80/701014880.db2.gz DZVOERMFNARYGJ-SREVYHEPSA-N -1 1 310.313 1.413 20 0 DDADMM Cc1cccc(-c2cncc(C(=O)OCc3nc(=O)n(C)[n-]3)c2)c1 ZINC000765492943 701015827 /nfs/dbraw/zinc/01/58/27/701015827.db2.gz BXDRMUOXLVPBMO-UHFFFAOYSA-N -1 1 324.340 1.836 20 0 DDADMM CC1(C)OCC([N-]S(=O)(=O)c2c(F)cccc2Cl)CO1 ZINC000766539337 701055321 /nfs/dbraw/zinc/05/53/21/701055321.db2.gz KBBPAYRIHHSBCA-UHFFFAOYSA-N -1 1 323.773 1.909 20 0 DDADMM COCCCCOC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000803338004 701103004 /nfs/dbraw/zinc/10/30/04/701103004.db2.gz JOSGVUYYDWZLEL-UHFFFAOYSA-N -1 1 307.350 1.564 20 0 DDADMM O=C(COC(F)F)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000803340454 701103512 /nfs/dbraw/zinc/10/35/12/701103512.db2.gz WQJLZEAAOFBTCF-UHFFFAOYSA-N -1 1 318.242 1.143 20 0 DDADMM CCN1C(=S)N=NC1CCNC(=O)c1ccc([O-])c(F)c1 ZINC000768044623 701154255 /nfs/dbraw/zinc/15/42/55/701154255.db2.gz SBMMFLZELBZKTN-UHFFFAOYSA-N -1 1 310.354 1.404 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC2(CNC(=O)O2)CC1 ZINC000809792301 701690258 /nfs/dbraw/zinc/69/02/58/701690258.db2.gz QIIZVSVPYVWXFQ-UHFFFAOYSA-N -1 1 312.272 1.385 20 0 DDADMM COCCCONC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000805036854 701227176 /nfs/dbraw/zinc/22/71/76/701227176.db2.gz FSKGLFCIGLGITE-UHFFFAOYSA-N -1 1 315.395 1.229 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cnc(Cl)n1C)c1ccco1 ZINC000769429447 701244776 /nfs/dbraw/zinc/24/47/76/701244776.db2.gz LJKPCLHMCSHDCR-MRVPVSSYSA-N -1 1 319.770 1.333 20 0 DDADMM CN(Cc1nnc2n1CCC2)C(=O)c1c([O-])cccc1Cl ZINC000769660048 701251328 /nfs/dbraw/zinc/25/13/28/701251328.db2.gz WYRZURQHEXCUOT-UHFFFAOYSA-N -1 1 306.753 1.856 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2cccnc2Cl)o1 ZINC000769909582 701260948 /nfs/dbraw/zinc/26/09/48/701260948.db2.gz VQKXJOBVDYNVHE-ZCFIWIBFSA-N -1 1 302.743 1.466 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]2OCC[C@H]21)c1c[nH]nc1Cl ZINC000830868425 706594459 /nfs/dbraw/zinc/59/44/59/706594459.db2.gz CIRDRVFREZDINY-YIZRAAEISA-N -1 1 305.787 1.299 20 0 DDADMM CC(C)(C)c1ccccc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000771468632 701320892 /nfs/dbraw/zinc/32/08/92/701320892.db2.gz AKZUGRAHELHGKS-CYBMUJFWSA-N -1 1 315.377 1.711 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)Nc1ccc(Cl)cc1Cl ZINC000805605118 701398620 /nfs/dbraw/zinc/39/86/20/701398620.db2.gz JNYPVSOFGFOFFT-UHFFFAOYSA-N -1 1 315.116 1.907 20 0 DDADMM O=C(O[C@@H]1CCN(c2ccc(F)c(Cl)c2)C1=O)c1cn[n-]n1 ZINC000805609844 701399821 /nfs/dbraw/zinc/39/98/21/701399821.db2.gz VIBZXVCQXWIMRN-LLVKDONJSA-N -1 1 324.699 1.560 20 0 DDADMM CCOc1ccccc1NC(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805608780 701399918 /nfs/dbraw/zinc/39/99/18/701399918.db2.gz HYLLIMURQQTVQD-SECBINFHSA-N -1 1 304.306 1.387 20 0 DDADMM O=C(CC[C@@H]1CC1(Cl)Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000830905915 706600354 /nfs/dbraw/zinc/60/03/54/706600354.db2.gz HKMXQTZVHPWNNM-DTWKUNHWSA-N -1 1 318.208 1.880 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3ccn4ccnc4c3)ccnc1-2 ZINC000806491935 701437697 /nfs/dbraw/zinc/43/76/97/701437697.db2.gz YBGOBAAZVKXZKE-UHFFFAOYSA-N -1 1 321.344 1.311 20 0 DDADMM CC(C)OC1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000806489030 701437726 /nfs/dbraw/zinc/43/77/26/701437726.db2.gz MBQAHRFFGNWSEN-UHFFFAOYSA-N -1 1 317.393 1.763 20 0 DDADMM Cn1ncc(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1C(F)F ZINC000806493396 701438097 /nfs/dbraw/zinc/43/80/97/701438097.db2.gz YBXUDCZPKLQHDP-UHFFFAOYSA-N -1 1 321.291 1.657 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCCC[C@H]3C(F)F)ccnc1-2 ZINC000806495693 701438151 /nfs/dbraw/zinc/43/81/51/701438151.db2.gz UZIUJVPYPYXBTO-NSHDSACASA-N -1 1 309.320 1.993 20 0 DDADMM CN(C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CCCCC1 ZINC000806843771 701450528 /nfs/dbraw/zinc/45/05/28/701450528.db2.gz RYRNTDWRBFRYCZ-UHFFFAOYSA-N -1 1 315.377 1.071 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2ccc3c(c2)C(=O)OC3)c[nH]1 ZINC000808124152 701499432 /nfs/dbraw/zinc/49/94/32/701499432.db2.gz LYUBHOYTTHQMAM-UHFFFAOYSA-N -1 1 322.298 1.184 20 0 DDADMM CCC(CC)[C@H](C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830958539 706611065 /nfs/dbraw/zinc/61/10/65/706611065.db2.gz DCVQGCJRZAFREK-JTQLQIEISA-N -1 1 307.394 1.943 20 0 DDADMM C[C@@H]1CC[C@H](CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000830959320 706611440 /nfs/dbraw/zinc/61/14/40/706611440.db2.gz MUJIDNLKOHTWTN-MNOVXSKESA-N -1 1 305.378 1.697 20 0 DDADMM CC[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CC(F)(F)F ZINC000839845962 701843475 /nfs/dbraw/zinc/84/34/75/701843475.db2.gz PHBCCEFOBKAMGM-SECBINFHSA-N -1 1 321.299 1.022 20 0 DDADMM Cc1nc(C(C)C)ccc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000815519792 701886577 /nfs/dbraw/zinc/88/65/77/701886577.db2.gz ICWKYCIEEGXIKO-ZDUSSCGKSA-N -1 1 316.365 1.240 20 0 DDADMM C[C@]1(C(=O)OCCOCC[N-]C(=O)C(F)(F)F)C[C@H]2C[C@H]2C1 ZINC000816007686 701989120 /nfs/dbraw/zinc/98/91/20/701989120.db2.gz ABPIPLVRWTVHOR-IWIIMEHWSA-N -1 1 323.311 1.661 20 0 DDADMM O=C([N-]OC/C=C\Cl)[C@@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000812399402 702175245 /nfs/dbraw/zinc/17/52/45/702175245.db2.gz NVOYZHPVTUOKDZ-TWJVDONLSA-N -1 1 300.664 1.198 20 0 DDADMM C[C@H](C(=O)[N-]OC/C=C/Cl)c1ccc(S(C)(=O)=O)cc1 ZINC000812409808 702177838 /nfs/dbraw/zinc/17/78/38/702177838.db2.gz YUWKCRUIZKFYDZ-ANRVEHPFSA-N -1 1 317.794 1.994 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@](C)(OC)C2CC2)o1 ZINC000813190479 702309690 /nfs/dbraw/zinc/30/96/90/702309690.db2.gz AUXWYXJHCHBAAM-CYBMUJFWSA-N -1 1 317.363 1.160 20 0 DDADMM CC[C@@H](C)CCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868766058 702309948 /nfs/dbraw/zinc/30/99/48/702309948.db2.gz QJECVOZRVRIIQD-SNVBAGLBSA-N -1 1 308.382 1.490 20 0 DDADMM O=C([N-]CC1CN(c2ncnc3ccccc32)C1)C(F)(F)F ZINC000866293726 706667172 /nfs/dbraw/zinc/66/71/72/706667172.db2.gz AFHDNCOCWDFGBF-UHFFFAOYSA-N -1 1 310.279 1.745 20 0 DDADMM O=C(OCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F)C1CC1 ZINC000841537666 702487765 /nfs/dbraw/zinc/48/77/65/702487765.db2.gz ULRHMMPFQBUNOM-UHFFFAOYSA-N -1 1 323.292 1.335 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000841545108 702491244 /nfs/dbraw/zinc/49/12/44/702491244.db2.gz SWGNWDNOADDZDZ-VIFPVBQESA-N -1 1 319.285 1.361 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C12CCC2 ZINC000841549395 702493697 /nfs/dbraw/zinc/49/36/97/702493697.db2.gz PGSKJKPMMNYHDH-IUCAKERBSA-N -1 1 305.787 1.299 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@H](O)C(C)C ZINC000841562191 702499150 /nfs/dbraw/zinc/49/91/50/702499150.db2.gz VDFNUQFFLYLTJY-RKDXNWHRSA-N -1 1 309.819 1.147 20 0 DDADMM Cc1c[nH]cc1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869365409 702583501 /nfs/dbraw/zinc/58/35/01/702583501.db2.gz WFKINQHBVSBDMV-UHFFFAOYSA-N -1 1 306.240 1.118 20 0 DDADMM CC[C@H](NCc1nc(=O)n(C)[n-]1)c1cccc(OC)c1OC ZINC000879465789 706686789 /nfs/dbraw/zinc/68/67/89/706686789.db2.gz JTWKLSNTHZJHIA-NSHDSACASA-N -1 1 306.366 1.367 20 0 DDADMM COc1ccccc1CCNC(=O)CO[N-]C(=O)CC(C)(C)C ZINC000842061525 702665512 /nfs/dbraw/zinc/66/55/12/702665512.db2.gz YWCQZPVSMHIVTL-UHFFFAOYSA-N -1 1 322.405 1.838 20 0 DDADMM Cn1ccc(N2CCC[C@@H](O[N-]C(=O)CC(C)(C)C)C2=O)n1 ZINC000842063667 702665940 /nfs/dbraw/zinc/66/59/40/702665940.db2.gz PSUFHQQQDWEYQJ-LLVKDONJSA-N -1 1 308.382 1.400 20 0 DDADMM CC(C)[C@]1(C)C[C@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016542 702803640 /nfs/dbraw/zinc/80/36/40/702803640.db2.gz UJDBFWGSDWIJCA-JSGCOSHPSA-N -1 1 316.467 1.599 20 0 DDADMM CCC[C@@H](C)CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843018336 702804118 /nfs/dbraw/zinc/80/41/18/702804118.db2.gz NCBSNFSFQASMGY-GFCCVEGCSA-N -1 1 304.456 1.743 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)/C=C\c2ccco2)CCC1 ZINC000843018917 702804723 /nfs/dbraw/zinc/80/47/23/702804723.db2.gz GEFZMOQMMLNWBV-SREVYHEPSA-N -1 1 312.391 1.223 20 0 DDADMM C[C@@H]1CCCc2ncc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)n21 ZINC000844502636 703038930 /nfs/dbraw/zinc/03/89/30/703038930.db2.gz MKIJKLBNQNLUEJ-SNVBAGLBSA-N -1 1 310.361 1.688 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1ccc(C)nc1 ZINC000866517635 706718263 /nfs/dbraw/zinc/71/82/63/706718263.db2.gz LCXSTNJRPFJAND-UHFFFAOYSA-N -1 1 305.425 1.232 20 0 DDADMM CCON(C)C(=O)C[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000846504711 703286052 /nfs/dbraw/zinc/28/60/52/703286052.db2.gz SIMLQXJQPXQAON-UHFFFAOYSA-N -1 1 322.258 1.583 20 0 DDADMM CC[C@@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CCCCO1 ZINC000847178033 703384561 /nfs/dbraw/zinc/38/45/61/703384561.db2.gz NJTBYJFVQGEJMQ-TZMCWYRMSA-N -1 1 317.393 1.811 20 0 DDADMM C[C@@H]1C[C@@H]1CNC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000847289736 703397200 /nfs/dbraw/zinc/39/72/00/703397200.db2.gz WUNROWDRSWBLEA-GWOFURMSSA-N -1 1 321.343 1.883 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)NOC1CCC1 ZINC000847380041 703410904 /nfs/dbraw/zinc/41/09/04/703410904.db2.gz RKKFHNRGXLDVPN-PSASIEDQSA-N -1 1 323.315 1.712 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@H]2CCC(F)(F)C2)n[n-]1 ZINC000879654617 706750633 /nfs/dbraw/zinc/75/06/33/706750633.db2.gz NQBMHKSURICTMG-MRVPVSSYSA-N -1 1 316.308 1.423 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H]2CCC(F)(F)C2)n1 ZINC000879654617 706750636 /nfs/dbraw/zinc/75/06/36/706750636.db2.gz NQBMHKSURICTMG-MRVPVSSYSA-N -1 1 316.308 1.423 20 0 DDADMM CCc1nocc1COC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000848701256 703577907 /nfs/dbraw/zinc/57/79/07/703577907.db2.gz PYMNYANUYGIFFN-UHFFFAOYSA-N -1 1 314.319 1.095 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1cccc2c1OC(F)(F)O2 ZINC000848711966 703579032 /nfs/dbraw/zinc/57/90/32/703579032.db2.gz XRMDPERHKIOQFU-UHFFFAOYSA-N -1 1 317.288 1.931 20 0 DDADMM O=C([N-]CCn1cc([C@@H]2CCCC(=O)C2)nn1)C(F)(F)F ZINC000849139608 703618787 /nfs/dbraw/zinc/61/87/87/703618787.db2.gz JWXHCMPIXWJYPN-MRVPVSSYSA-N -1 1 304.272 1.183 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]C[C@@]1(C)CC1(F)F ZINC000849460638 703650903 /nfs/dbraw/zinc/65/09/03/703650903.db2.gz OONKMURPACQGAP-SECBINFHSA-N -1 1 313.757 1.706 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H]2COC(C)(C)C2)c1 ZINC000849810798 703680329 /nfs/dbraw/zinc/68/03/29/703680329.db2.gz CNZVHLVNWNFXCP-LAJNKCICSA-N -1 1 312.387 1.914 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2CCC[C@H]2Cc2ccccc2)nc1=O ZINC000850333169 703724982 /nfs/dbraw/zinc/72/49/82/703724982.db2.gz CZLKCXAVSWJIRN-KBPBESRZSA-N -1 1 315.373 1.811 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(C)(C)CSC)co1 ZINC000851170925 703785095 /nfs/dbraw/zinc/78/50/95/703785095.db2.gz DFOLCOWAWZSMIS-UHFFFAOYSA-N -1 1 321.420 1.734 20 0 DDADMM CO[C@@H](C)CC[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866710439 706771925 /nfs/dbraw/zinc/77/19/25/706771925.db2.gz XYBNJWWXXADQJN-ZETCQYMHSA-N -1 1 315.317 1.142 20 0 DDADMM C[C@@H]1CCN(C(=O)NCC2CCC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000851956958 703890863 /nfs/dbraw/zinc/89/08/63/703890863.db2.gz IABUMVGUTWODQW-KOLCDFICSA-N -1 1 321.343 1.885 20 0 DDADMM C[C@@H]1CC[C@H]1NC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852331363 704014484 /nfs/dbraw/zinc/01/44/84/704014484.db2.gz MYFDXEBPAZBKRP-DBIOUOCHSA-N -1 1 321.343 1.883 20 0 DDADMM O=C1OCCN1[C@@H]1CC[N@H+](Cc2cc(=O)[nH]c(C3CC3)n2)C1 ZINC000852441566 704050501 /nfs/dbraw/zinc/05/05/01/704050501.db2.gz HEKPQMZBBYLUMO-GFCCVEGCSA-N -1 1 304.350 1.086 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(CCc2cscn2)C1 ZINC000879765998 706785902 /nfs/dbraw/zinc/78/59/02/706785902.db2.gz KHMKCMFAOBCZGQ-LLVKDONJSA-N -1 1 307.341 1.829 20 0 DDADMM C[C@@H]1CCN(Cc2ccnn2C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852757392 704116602 /nfs/dbraw/zinc/11/66/02/704116602.db2.gz SWNLWMMNLBFRRQ-KOLCDFICSA-N -1 1 304.316 1.309 20 0 DDADMM COC(=O)/C(C)=C\CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852758117 704117353 /nfs/dbraw/zinc/11/73/53/704117353.db2.gz YWVQMPHXLUDMQG-KADLTNGESA-N -1 1 322.327 1.495 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H]2CCSC2)c1 ZINC000820003888 704201093 /nfs/dbraw/zinc/20/10/93/704201093.db2.gz IYHPQYWCCZPLBG-JEOXALJRSA-N -1 1 300.401 1.852 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(c2cccc(F)c2)=NO1 ZINC000820402454 704273040 /nfs/dbraw/zinc/27/30/40/704273040.db2.gz KUBHUARJTIMVPW-LLVKDONJSA-N -1 1 304.281 1.695 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCCc2cnoc2)c1 ZINC000821234643 704382689 /nfs/dbraw/zinc/38/26/89/704382689.db2.gz ALIOSNSDJWQNSI-JOCHJYFZSA-N -1 1 323.370 1.967 20 0 DDADMM CC1=C(C(=O)[N-]Oc2ccccc2)[C@H](C)n2nnnc2N1C ZINC000854536096 704413945 /nfs/dbraw/zinc/41/39/45/704413945.db2.gz OVIQUKCEMHUSQP-JTQLQIEISA-N -1 1 300.322 1.068 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@]1(C)CO ZINC000856252356 704520097 /nfs/dbraw/zinc/52/00/97/704520097.db2.gz XXEXYOVWJOEQQI-LKFCYVNXSA-N -1 1 306.391 1.109 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@@H](O)C1)c1ccc(F)nc1F ZINC000866864746 706829529 /nfs/dbraw/zinc/82/95/29/706829529.db2.gz SKFCIIJIAXADRT-RKDXNWHRSA-N -1 1 306.334 1.189 20 0 DDADMM O=S(=O)([N-]CCCCF)c1nc[nH]c1Br ZINC000867287611 706948748 /nfs/dbraw/zinc/94/87/48/706948748.db2.gz HXCKWHZNENNUTB-UHFFFAOYSA-N -1 1 300.153 1.200 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]Cc1nc(C2CC2)no1)C1CC1 ZINC000858911722 704771338 /nfs/dbraw/zinc/77/13/38/704771338.db2.gz NRFFVPAGCBDBMZ-NSHDSACASA-N -1 1 315.395 1.182 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1ccc2cccnc2c1)c1nn[n-]n1 ZINC000821893934 704836561 /nfs/dbraw/zinc/83/65/61/704836561.db2.gz KAWFAVBPDCCDLF-NSHDSACASA-N -1 1 310.361 1.553 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)C1 ZINC000859408157 704892709 /nfs/dbraw/zinc/89/27/09/704892709.db2.gz KOPSTNZBBHEZBD-LJGSYFOKSA-N -1 1 311.190 1.844 20 0 DDADMM CCC[C@H](C)[C@@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867459357 707008406 /nfs/dbraw/zinc/00/84/06/707008406.db2.gz VSRNWUQSEYRUFT-DTWKUNHWSA-N -1 1 324.805 1.950 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])C[N@@H+]1CCC[N-]C(=O)C(F)(F)F ZINC000833828805 707015619 /nfs/dbraw/zinc/01/56/19/707015619.db2.gz DYSKXOPXUXBPPC-IUCAKERBSA-N -1 1 311.304 1.033 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])C[N@H+]1CCC[N-]C(=O)C(F)(F)F ZINC000833828805 707015622 /nfs/dbraw/zinc/01/56/22/707015622.db2.gz DYSKXOPXUXBPPC-IUCAKERBSA-N -1 1 311.304 1.033 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@H]1CCCCO1 ZINC000867487808 707016668 /nfs/dbraw/zinc/01/66/68/707016668.db2.gz TULKRACQTFAXSX-VHSXEESVSA-N -1 1 320.361 1.986 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)N=S1(=O)CCCC1)c1ccccn1 ZINC000867496730 707019137 /nfs/dbraw/zinc/01/91/37/707019137.db2.gz JZNSLXHQMBWPKW-LLVKDONJSA-N -1 1 317.436 1.281 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H](C)c1ccccn1 ZINC000867497558 707019489 /nfs/dbraw/zinc/01/94/89/707019489.db2.gz UIMGVXAGIQCMTB-NSHDSACASA-N -1 1 319.452 1.527 20 0 DDADMM CCc1noc(COCC(=O)Nc2cc(F)cc(F)c2[O-])n1 ZINC000822972179 705098189 /nfs/dbraw/zinc/09/81/89/705098189.db2.gz PFYBJESJMBUASV-UHFFFAOYSA-N -1 1 313.260 1.771 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](F)c2ccccc2)n[n-]1 ZINC000880665329 707051861 /nfs/dbraw/zinc/05/18/61/707051861.db2.gz CEIWUDKDRHINPQ-ONGXEEELSA-N -1 1 320.324 1.869 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](F)c2ccccc2)[n-]1 ZINC000880665329 707051863 /nfs/dbraw/zinc/05/18/63/707051863.db2.gz CEIWUDKDRHINPQ-ONGXEEELSA-N -1 1 320.324 1.869 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](F)c2ccccc2)n1 ZINC000880665329 707051866 /nfs/dbraw/zinc/05/18/66/707051866.db2.gz CEIWUDKDRHINPQ-ONGXEEELSA-N -1 1 320.324 1.869 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2cnsn2)c(=O)[n-]1 ZINC000823867651 705331872 /nfs/dbraw/zinc/33/18/72/705331872.db2.gz PKTLLFBIOURRKF-UHFFFAOYSA-N -1 1 311.392 1.635 20 0 DDADMM CC(C)(O)CO[N-]C(=O)Cc1ccc(Br)s1 ZINC000834624195 707095317 /nfs/dbraw/zinc/09/53/17/707095317.db2.gz BEBOVWQZJDZLLR-UHFFFAOYSA-N -1 1 308.197 1.872 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3[C@@H]4c5ccccc5C[C@H]34)ccnc1-2 ZINC000875633358 705456822 /nfs/dbraw/zinc/45/68/22/705456822.db2.gz OJRKAIRUOWMCSA-APHBMKBZSA-N -1 1 304.353 1.866 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@H]([N@H+]2CC[C@@H](O)C2)C1 ZINC000861709115 705545639 /nfs/dbraw/zinc/54/56/39/705545639.db2.gz DUGKNZCKSUBZIW-NWDGAFQWSA-N -1 1 310.781 1.327 20 0 DDADMM CC[C@H]1CCCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)CC1 ZINC000825194705 705622043 /nfs/dbraw/zinc/62/20/43/705622043.db2.gz RZMLWZYJCULOAD-NSHDSACASA-N -1 1 318.381 1.744 20 0 DDADMM CC[C@H]1CCCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)CC1 ZINC000825194705 705622044 /nfs/dbraw/zinc/62/20/44/705622044.db2.gz RZMLWZYJCULOAD-NSHDSACASA-N -1 1 318.381 1.744 20 0 DDADMM CCN(C(=O)Cc1noc(C)c1-c1nnn[n-]1)c1ccccc1 ZINC000825377059 705657938 /nfs/dbraw/zinc/65/79/38/705657938.db2.gz SOPWBNPERJPDRS-UHFFFAOYSA-N -1 1 312.333 1.759 20 0 DDADMM CCN(C(=O)Cc1noc(C)c1-c1nn[n-]n1)c1ccccc1 ZINC000825377059 705657942 /nfs/dbraw/zinc/65/79/42/705657942.db2.gz SOPWBNPERJPDRS-UHFFFAOYSA-N -1 1 312.333 1.759 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@@]12C[C@@H]1CCCC2 ZINC000876300629 705683371 /nfs/dbraw/zinc/68/33/71/705683371.db2.gz FGVSAXHVZXSLFI-QFYYESIMSA-N -1 1 318.377 1.387 20 0 DDADMM Cc1noc([C@@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC000825636316 705706753 /nfs/dbraw/zinc/70/67/53/705706753.db2.gz BXGPNQKGCXUBND-ZCFIWIBFSA-N -1 1 304.335 1.200 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@H](CC(C)C)C2)c1-c1nnn[n-]1 ZINC000826344102 705793769 /nfs/dbraw/zinc/79/37/69/705793769.db2.gz XKKYAWBMQQLSDC-LLVKDONJSA-N -1 1 318.381 1.600 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@H](CC(C)C)C2)c1-c1nn[n-]n1 ZINC000826344102 705793771 /nfs/dbraw/zinc/79/37/71/705793771.db2.gz XKKYAWBMQQLSDC-LLVKDONJSA-N -1 1 318.381 1.600 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CC[C@H](C)CC2)c1-c1nnn[n-]1 ZINC000826348296 705794825 /nfs/dbraw/zinc/79/48/25/705794825.db2.gz GCLOGFDSRVVRIT-CZMCAQCFSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CC[C@H](C)CC2)c1-c1nn[n-]n1 ZINC000826348296 705794826 /nfs/dbraw/zinc/79/48/26/705794826.db2.gz GCLOGFDSRVVRIT-CZMCAQCFSA-N -1 1 304.354 1.401 20 0 DDADMM CC(C)CC[C@H](CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000862778450 705796604 /nfs/dbraw/zinc/79/66/04/705796604.db2.gz IHDTWDVWCDBSOJ-LLVKDONJSA-N -1 1 308.407 1.545 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@@H]1CCCCS1 ZINC000826451253 705800941 /nfs/dbraw/zinc/80/09/41/705800941.db2.gz XRDWDWLPRGVATF-NSHDSACASA-N -1 1 305.363 1.797 20 0 DDADMM CC[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@H]1CCCO1 ZINC000827353560 705983725 /nfs/dbraw/zinc/98/37/25/705983725.db2.gz FXQKAUQYBVQSOW-GHMZBOCLSA-N -1 1 306.391 1.705 20 0 DDADMM CC[C@H](C[C@H](C)CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000827473172 706009102 /nfs/dbraw/zinc/00/91/02/706009102.db2.gz OJWARHAPAWHRIQ-GXSJLCMTSA-N -1 1 308.407 1.545 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)c2cccc3n[nH]cc32)CC12CCC2 ZINC000864152845 706082199 /nfs/dbraw/zinc/08/21/99/706082199.db2.gz RFKFADZPZQOIHK-INIZCTEOSA-N -1 1 317.320 1.982 20 0 DDADMM C/C(=C/c1ccco1)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000864160560 706085021 /nfs/dbraw/zinc/08/50/21/706085021.db2.gz YVTHILXMBVHYEC-KXXVWKPMSA-N -1 1 306.362 1.690 20 0 DDADMM Cc1ccccc1[C@H](C)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000827960415 706093990 /nfs/dbraw/zinc/09/39/90/706093990.db2.gz GHJFEUNDAYAVRE-WCQYABFASA-N -1 1 301.350 1.212 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1cc2ccccc2o1)C(=O)OC ZINC000828048496 706109085 /nfs/dbraw/zinc/10/90/85/706109085.db2.gz CKMBMASIAGARQH-JTQLQIEISA-N -1 1 311.359 1.910 20 0 DDADMM C[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@H]1CCCOC1 ZINC000828080625 706115208 /nfs/dbraw/zinc/11/52/08/706115208.db2.gz GCOIUPCLCLCPKA-KOLCDFICSA-N -1 1 306.391 1.563 20 0 DDADMM Cc1cc(Cl)nc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000828417005 706175988 /nfs/dbraw/zinc/17/59/88/706175988.db2.gz RCROZGAXPVAMQE-UHFFFAOYSA-N -1 1 306.757 1.576 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccsc1 ZINC000872436431 707409853 /nfs/dbraw/zinc/40/98/53/707409853.db2.gz DAIBIYTWTGODKM-KRWDZBQOSA-N -1 1 310.466 1.979 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)C[C@@H]1C(C)(C)C1(F)F ZINC000881907572 707418396 /nfs/dbraw/zinc/41/83/96/707418396.db2.gz QGAFTQPWAGCIGF-SECBINFHSA-N -1 1 313.366 1.540 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2cc(C(=O)[O-])oc2C)n[nH]1 ZINC000829318295 706318550 /nfs/dbraw/zinc/31/85/50/706318550.db2.gz GZJPPMRGRPSFGI-UHFFFAOYSA-N -1 1 313.335 1.050 20 0 DDADMM COc1cc(CS(=O)(=O)[N-]Cc2ncc(C)o2)sn1 ZINC000881961043 707445099 /nfs/dbraw/zinc/44/50/99/707445099.db2.gz RKBUAJIRVVMHGS-UHFFFAOYSA-N -1 1 303.365 1.068 20 0 DDADMM Cc1nc(SCN(C)S(C)(=O)=O)[n-]c(=O)c1C1CC1 ZINC000865373447 706415662 /nfs/dbraw/zinc/41/56/62/706415662.db2.gz VDWODJKITRDQFG-UHFFFAOYSA-N -1 1 303.409 1.309 20 0 DDADMM O=C(Cc1ccccc1N1CCCC1=O)[N-]O[C@@H]1CCCCO1 ZINC000878576583 706431220 /nfs/dbraw/zinc/43/12/20/706431220.db2.gz MMFIFDGPHPUPRV-QGZVFWFLSA-N -1 1 318.373 1.930 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@@H]2CCCOC2)C1 ZINC000830801145 706582350 /nfs/dbraw/zinc/58/23/50/706582350.db2.gz IBHXRTORORKGIH-GWCFXTLKSA-N -1 1 322.327 1.473 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC000832075599 706838298 /nfs/dbraw/zinc/83/82/98/706838298.db2.gz HQIVLNLCPVWENJ-VHSXEESVSA-N -1 1 313.350 1.038 20 0 DDADMM CCC(C)(C)CCS(=O)(=O)[N-][C@@](C)(COC)C(=O)OC ZINC000866948043 706853242 /nfs/dbraw/zinc/85/32/42/706853242.db2.gz GEGKMHGMHMEEAP-ZDUSSCGKSA-N -1 1 309.428 1.310 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cc(C)cc(OC)c1 ZINC000866998557 706868005 /nfs/dbraw/zinc/86/80/05/706868005.db2.gz NMBAVTVJRKUQBR-IBGZPJMESA-N -1 1 320.436 1.456 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](C)c1nccs1 ZINC000867100055 706896331 /nfs/dbraw/zinc/89/63/31/706896331.db2.gz FZCNNRAZTVBJHX-RBHXEPJQSA-N -1 1 311.454 1.199 20 0 DDADMM CC(C)O[C@H]1C[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)C1(C)C ZINC000867111797 706899867 /nfs/dbraw/zinc/89/98/67/706899867.db2.gz IZBHSXAOKGCJBQ-UWVGGRQHSA-N -1 1 312.457 1.141 20 0 DDADMM Cc1sccc1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000880396460 706963407 /nfs/dbraw/zinc/96/34/07/706963407.db2.gz CUEMMKINFMGPPJ-UHFFFAOYSA-N -1 1 320.374 1.947 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CC(C)(C)c1cccnc1 ZINC000867408548 706991055 /nfs/dbraw/zinc/99/10/55/706991055.db2.gz HOSSLOTUFFYDQI-LJQANCHMSA-N -1 1 319.452 1.311 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2CC[C@@H]1O2)c1ccc(Cl)nc1F ZINC000867461033 707008994 /nfs/dbraw/zinc/00/89/94/707008994.db2.gz XLGIARVBORNHAJ-PRJMDXOYSA-N -1 1 306.746 1.472 20 0 DDADMM O=S(=O)([N-][C@H](C1CCC1)[C@H]1CCCO1)c1c[nH]nc1Cl ZINC000867679066 707071532 /nfs/dbraw/zinc/07/15/32/707071532.db2.gz PHADDCSLWIDCFR-MWLCHTKSSA-N -1 1 319.814 1.689 20 0 DDADMM CC[C@H](NC(C)=O)C(=O)Nc1nc(Br)ccc1[O-] ZINC000867713615 707082839 /nfs/dbraw/zinc/08/28/39/707082839.db2.gz UEZFUXNGOBPSNF-ZETCQYMHSA-N -1 1 316.155 1.403 20 0 DDADMM O=C(Cn1nnc2c1CCCC2)NCc1ccc([O-])c(Cl)c1 ZINC000834823486 707130646 /nfs/dbraw/zinc/13/06/46/707130646.db2.gz YEUVVLDXSIDQDX-UHFFFAOYSA-N -1 1 320.780 1.832 20 0 DDADMM O=C(NC[C@@H]1C[C@@H]2CCC[C@H]2O1)c1nc2ccccc2c(=O)[n-]1 ZINC000871745492 707185905 /nfs/dbraw/zinc/18/59/05/707185905.db2.gz CZTTVOMFIVNQLX-COPLHBTASA-N -1 1 313.357 1.611 20 0 DDADMM CCCN1Cc2cccc(NC(=O)C(=O)[N-]OC3CCC3)c2C1 ZINC000835628861 707299025 /nfs/dbraw/zinc/29/90/25/707299025.db2.gz VIRMPEBONIYRRP-UHFFFAOYSA-N -1 1 317.389 1.951 20 0 DDADMM O=C([O-])C12CCC(CC1)N2C(=O)NCc1ccc2cncn2c1 ZINC000909173158 712954113 /nfs/dbraw/zinc/95/41/13/712954113.db2.gz XZWCSSZPJSSZPQ-UHFFFAOYSA-N -1 1 314.345 1.626 20 0 DDADMM COC[C@H]1C[C@@H](NC(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000882103134 707499082 /nfs/dbraw/zinc/49/90/82/707499082.db2.gz YPBVPAFTYKHOTL-PHIMTYICSA-N -1 1 301.346 1.799 20 0 DDADMM COC[C@H]1C[C@@H](NC(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000882103134 707499085 /nfs/dbraw/zinc/49/90/85/707499085.db2.gz YPBVPAFTYKHOTL-PHIMTYICSA-N -1 1 301.346 1.799 20 0 DDADMM CCSCc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000836741481 707508768 /nfs/dbraw/zinc/50/87/68/707508768.db2.gz NSQPTOFMQNKYRZ-UHFFFAOYSA-N -1 1 308.363 1.528 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)C1CN(C(=O)C2CCCCC2)C1 ZINC000836786042 707515361 /nfs/dbraw/zinc/51/53/61/707515361.db2.gz PZWBPPATVXKTLE-LBPRGKRZSA-N -1 1 310.394 1.109 20 0 DDADMM CC[C@](COC)(NC(=O)c1c([O-])cccc1Cl)C(=O)OC ZINC000837256496 707605726 /nfs/dbraw/zinc/60/57/26/707605726.db2.gz MYBOQBPWUOTDPX-CQSZACIVSA-N -1 1 315.753 1.744 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)C2CCCCC2)C1 ZINC000882466889 707644027 /nfs/dbraw/zinc/64/40/27/707644027.db2.gz DFNATFMPYGSQOQ-XCJKDKRRSA-N -1 1 324.468 1.429 20 0 DDADMM O=C(CNC(=O)NCc1ccc([O-])c(Cl)c1)NCC1CC1 ZINC000872962880 707673611 /nfs/dbraw/zinc/67/36/11/707673611.db2.gz XJRIWJWDZFTWFR-UHFFFAOYSA-N -1 1 311.769 1.371 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@]2(C)CC2(C)C)c1 ZINC000882580330 707707065 /nfs/dbraw/zinc/70/70/65/707707065.db2.gz URNZUFLCXHLBMB-ZDUSSCGKSA-N -1 1 301.364 1.781 20 0 DDADMM CC(=O)[C@H](OC(=O)c1cc(=O)[n-]c(N(C)C)n1)c1ccccc1 ZINC000837685250 707716529 /nfs/dbraw/zinc/71/65/29/707716529.db2.gz KHDHWYYETUIKEB-AWEZNQCLSA-N -1 1 315.329 1.735 20 0 DDADMM Cc1ncnc(N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)c1C ZINC000882639792 707728475 /nfs/dbraw/zinc/72/84/75/707728475.db2.gz PMZBRRFAFJAYBT-KCJUWKMLSA-N -1 1 316.327 1.987 20 0 DDADMM CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2c([O-])cccc2Cl)C1 ZINC000882675112 707743762 /nfs/dbraw/zinc/74/37/62/707743762.db2.gz LYCWHPBZFSLDCB-ZKCHVHJHSA-N -1 1 303.767 1.351 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@@H]3CCCC[C@H]23)o1 ZINC000882706812 707755212 /nfs/dbraw/zinc/75/52/12/707755212.db2.gz FXZZFBDUPGOFGL-GARJFASQSA-N -1 1 313.375 1.923 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H]1CC(=O)N(CC2CC2)C1 ZINC000882933115 707859568 /nfs/dbraw/zinc/85/95/68/707859568.db2.gz WXXZSNSPOAQDIR-GFCCVEGCSA-N -1 1 322.792 1.715 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000909306116 712987061 /nfs/dbraw/zinc/98/70/61/712987061.db2.gz QEMWFRYGECUXLZ-AWEZNQCLSA-N -1 1 304.281 1.111 20 0 DDADMM Cc1cc(C(F)(F)F)nn1CCNC(=O)c1ncccc1[O-] ZINC000927469588 712990031 /nfs/dbraw/zinc/99/00/31/712990031.db2.gz GDKHCOXEFXRGDB-UHFFFAOYSA-N -1 1 314.267 1.741 20 0 DDADMM O=C([O-])c1ccccc1OCCN1CCC[C@H]2CCNC(=O)[C@H]21 ZINC000883412990 707994810 /nfs/dbraw/zinc/99/48/10/707994810.db2.gz WGZRMSVVFUAKIA-WFASDCNBSA-N -1 1 318.373 1.364 20 0 DDADMM CCOC[C@@H]1CCCCN1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000839073900 708022429 /nfs/dbraw/zinc/02/24/29/708022429.db2.gz BWTRQEGSNSTZHR-LBPRGKRZSA-N -1 1 317.393 1.765 20 0 DDADMM COc1ccccc1C[C@H](CNC(=O)[C@H]1CCCN1C)C(=O)[O-] ZINC000909384826 713005482 /nfs/dbraw/zinc/00/54/82/713005482.db2.gz NHNZEPGKMOXVFB-ZIAGYGMSSA-N -1 1 320.389 1.149 20 0 DDADMM C[C@H](C(=O)N[C@H](C(=O)[O-])c1ccc(Cl)c(F)c1)N(C)C ZINC000909391162 713006804 /nfs/dbraw/zinc/00/68/04/713006804.db2.gz QUOAQXMFCFMKMS-HQJQHLMTSA-N -1 1 302.733 1.671 20 0 DDADMM CC[C@H]1CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)CCS1 ZINC000909409981 713011028 /nfs/dbraw/zinc/01/10/28/713011028.db2.gz DHGWBDMNYDCAFA-RYUDHWBXSA-N -1 1 300.424 1.137 20 0 DDADMM O=C(CC[C@@H]1CC[C@@H](C2OCCO2)O1)[N-]OCC(F)(F)F ZINC000897032669 708212689 /nfs/dbraw/zinc/21/26/89/708212689.db2.gz XBKAYBDZSHLCLT-IUCAKERBSA-N -1 1 313.272 1.297 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CCCC(C)(C)O1)C1CC1 ZINC000885038011 708451816 /nfs/dbraw/zinc/45/18/16/708451816.db2.gz CRZYXQDZRGSWOM-NWDGAFQWSA-N -1 1 319.423 1.205 20 0 DDADMM O=S(=O)([N-][C@@H]1CCc2ccccc2OC1)c1ccns1 ZINC000885171836 708484991 /nfs/dbraw/zinc/48/49/91/708484991.db2.gz PHJYBBBRXYXAHB-LLVKDONJSA-N -1 1 310.400 1.815 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)c1ccns1)C1(CO)CC=CC1 ZINC000885269027 708506302 /nfs/dbraw/zinc/50/63/02/708506302.db2.gz ZSVZQFKHPNVSJU-GFCCVEGCSA-N -1 1 316.448 1.775 20 0 DDADMM Cc1nc(N2CCN(C(=O)c3cc(Cl)ccc3[O-])CC2)n[nH]1 ZINC000898173925 708564325 /nfs/dbraw/zinc/56/43/25/708564325.db2.gz UDIGZEQUSXVAGL-UHFFFAOYSA-N -1 1 321.768 1.435 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)o1 ZINC000885622278 708586093 /nfs/dbraw/zinc/58/60/93/708586093.db2.gz DEGDUZZUUJAEFF-YTWAJWBKSA-N -1 1 313.375 1.637 20 0 DDADMM CCCS(=O)(=O)CC(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885674056 708594434 /nfs/dbraw/zinc/59/44/34/708594434.db2.gz DZAPVLRNOWNCEX-UHFFFAOYSA-N -1 1 309.746 1.948 20 0 DDADMM COC[C@@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)C(C)C ZINC000885801016 708629948 /nfs/dbraw/zinc/62/99/48/708629948.db2.gz OCZKNWPMURPMFA-LLVKDONJSA-N -1 1 308.407 1.666 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CC[C@@]2(O)C[C@H]2C1 ZINC000927784241 713055298 /nfs/dbraw/zinc/05/52/98/713055298.db2.gz DCHMBUDVXTYHNS-BJOHPYRUSA-N -1 1 312.316 1.379 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@H](O)C1CCC1 ZINC000927787115 713056148 /nfs/dbraw/zinc/05/61/48/713056148.db2.gz MVVGSUINQWJUMK-AWEZNQCLSA-N -1 1 314.332 1.673 20 0 DDADMM CC(C)C[C@H]1CN(C)CCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000886598051 708788500 /nfs/dbraw/zinc/78/85/00/708788500.db2.gz SNCSVIAROBONIS-AWEZNQCLSA-N -1 1 304.390 1.764 20 0 DDADMM C[C@H](CC(=O)c1ccc(Cl)cc1)C(=O)N(C)c1nn[n-]n1 ZINC000912616943 713052509 /nfs/dbraw/zinc/05/25/09/713052509.db2.gz AAEWTAFDYVWWOR-MRVPVSSYSA-N -1 1 307.741 1.725 20 0 DDADMM Cn1nnc2c1C[C@@H](NC(=O)c1ncc3ccccc3c1[O-])CC2 ZINC000886742269 708832037 /nfs/dbraw/zinc/83/20/37/708832037.db2.gz WKKJOBGEEMFSEG-NSHDSACASA-N -1 1 323.356 1.356 20 0 DDADMM C[C@@H](CC(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccccc1F ZINC000898754625 708855450 /nfs/dbraw/zinc/85/54/50/708855450.db2.gz WXOLHZDBHLSFMP-VIFPVBQESA-N -1 1 305.309 1.837 20 0 DDADMM O=C(NC1(c2ncccn2)CCOCC1)c1cncc([O-])c1 ZINC000886943307 708899465 /nfs/dbraw/zinc/89/94/65/708899465.db2.gz WXBMAMOVAVGIDP-UHFFFAOYSA-N -1 1 300.318 1.013 20 0 DDADMM O=C(N[C@@H]1CCCC12OCCO2)c1ccc2n[n-]c(=S)n2c1 ZINC000898953282 708935589 /nfs/dbraw/zinc/93/55/89/708935589.db2.gz QOMUMZJUDFDFGJ-SNVBAGLBSA-N -1 1 320.374 1.043 20 0 DDADMM O=C(NC1([C@H]2CCCCO2)CC1)c1cnc(C2CC2)[n-]c1=O ZINC000887083648 708950587 /nfs/dbraw/zinc/95/05/87/708950587.db2.gz FZTTZLWPUYWKJM-GFCCVEGCSA-N -1 1 303.362 1.891 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000899150783 709001351 /nfs/dbraw/zinc/00/13/51/709001351.db2.gz JWIGFTVLLLPENU-QMTHXVAHSA-N -1 1 313.309 1.496 20 0 DDADMM O=C(NC[C@@H]1CC[C@@H](C2CC2)O1)c1cnc(C2CC2)[n-]c1=O ZINC000887471226 709050969 /nfs/dbraw/zinc/05/09/69/709050969.db2.gz HAVCKLGRNDAUQK-AAEUAGOBSA-N -1 1 303.362 1.747 20 0 DDADMM C[C@@H]1C[C@]2(CC[C@@H](CNC(=O)c3c([O-])cccc3F)O2)CO1 ZINC000899480566 709094422 /nfs/dbraw/zinc/09/44/22/709094422.db2.gz UICKZFFBRFWLHS-OHUAYANFSA-N -1 1 309.337 1.988 20 0 DDADMM O=C(NC[C@@H]1Cc2ccccc2O1)c1cnc(C2CC2)[n-]c1=O ZINC000900163216 709312644 /nfs/dbraw/zinc/31/26/44/709312644.db2.gz IKOZUXMACROHDN-LBPRGKRZSA-N -1 1 311.341 1.793 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]2C[C@H](NC(=O)c3ccc([O-])c(F)c3)[C@@H]2C1 ZINC000888468195 709319444 /nfs/dbraw/zinc/31/94/44/709319444.db2.gz JJTNUUNRBMFUQE-UZWSLXQKSA-N -1 1 307.321 1.849 20 0 DDADMM C[C@@]1([C@H]2CCCN(C(=O)c3cc(F)ccc3[O-])C2)COC(=O)N1 ZINC000928023216 713105499 /nfs/dbraw/zinc/10/54/99/713105499.db2.gz GQEBTURGACHYBG-QFYYESIMSA-N -1 1 322.336 1.882 20 0 DDADMM CCn1c(C)cc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c1C ZINC000912859672 713109502 /nfs/dbraw/zinc/10/95/02/713109502.db2.gz CIFODVUJJJKSRY-LBPRGKRZSA-N -1 1 322.438 1.862 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](C)CC(F)(F)F)c1nn[n-]n1 ZINC000912863180 713111670 /nfs/dbraw/zinc/11/16/70/713111670.db2.gz NXQWEPUBROEXJI-BQBZGAKWSA-N -1 1 311.333 1.699 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)Cc1cccnc1 ZINC000889664489 709576214 /nfs/dbraw/zinc/57/62/14/709576214.db2.gz QZZYBUAAGVMSCV-VIFPVBQESA-N -1 1 318.402 1.969 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000900457820 709604236 /nfs/dbraw/zinc/60/42/36/709604236.db2.gz NKCBWQDGQRZAQA-CXAGYDPISA-N -1 1 320.389 1.798 20 0 DDADMM C[C@@H]1CCN(C(=O)CN(C)[C@H]2CCSC2)[C@@H](C(=O)[O-])C1 ZINC000909782546 709617614 /nfs/dbraw/zinc/61/76/14/709617614.db2.gz XPHGZSKMGXHMAK-GRYCIOLGSA-N -1 1 300.424 1.135 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C2=COCCC2)[n-]c1=O ZINC000889792408 709638205 /nfs/dbraw/zinc/63/82/05/709638205.db2.gz YQZVKNCIZXRXEO-LLVKDONJSA-N -1 1 305.334 1.549 20 0 DDADMM C[C@@]1(C(=O)[O-])CCCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC000909841189 709647130 /nfs/dbraw/zinc/64/71/30/709647130.db2.gz FVIPHNMTPPZRET-LLVKDONJSA-N -1 1 305.256 1.755 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CCC[C@@](C)(C(=O)[O-])C1 ZINC000909843587 709648654 /nfs/dbraw/zinc/64/86/54/709648654.db2.gz VHXFRKBZEPEUDQ-GOSISDBHSA-N -1 1 318.417 1.874 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CC[C@@](C(=O)[O-])(c2ccccc2)C1 ZINC000909854824 709653855 /nfs/dbraw/zinc/65/38/55/709653855.db2.gz NFVGTFDJODLFIJ-QAPCUYQASA-N -1 1 316.401 1.726 20 0 DDADMM CC[N@@H+](CC(=O)N1CCc2cccc(C(=O)[O-])c2C1)C1CC1 ZINC000909864215 709659021 /nfs/dbraw/zinc/65/90/21/709659021.db2.gz CFUBLONEZMRNIW-UHFFFAOYSA-N -1 1 302.374 1.754 20 0 DDADMM CCN(CC(=O)N1CCc2cccc(C(=O)[O-])c2C1)C1CC1 ZINC000909864215 709659024 /nfs/dbraw/zinc/65/90/24/709659024.db2.gz CFUBLONEZMRNIW-UHFFFAOYSA-N -1 1 302.374 1.754 20 0 DDADMM COC1(C[C@H](NC(=O)c2ccc3cncn3c2)C(=O)[O-])CCC1 ZINC000909894879 709674956 /nfs/dbraw/zinc/67/49/56/709674956.db2.gz PXZNHLLKWSOLJP-ZDUSSCGKSA-N -1 1 317.345 1.477 20 0 DDADMM Cc1nnc2n1C[C@@H](C(=O)Nc1cc([O-])c(F)cc1F)CC2 ZINC000909983686 709718909 /nfs/dbraw/zinc/71/89/09/709718909.db2.gz AKCLGFSJAILUMT-QMMMGPOBSA-N -1 1 308.288 1.771 20 0 DDADMM CN(C(=O)c1cc(-c2ccn(C)c2)[nH]n1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000909991015 709725248 /nfs/dbraw/zinc/72/52/48/709725248.db2.gz XNKYDHMCYNFZGK-PHIMTYICSA-N -1 1 302.334 1.350 20 0 DDADMM C[C@H](C(=O)Nc1ccc(C(=O)[O-])cc1OC(F)F)N(C)C ZINC000910042023 709747881 /nfs/dbraw/zinc/74/78/81/709747881.db2.gz FTSVTRDCGKOULV-SSDOTTSWSA-N -1 1 302.277 1.875 20 0 DDADMM Cn1cc([C@@]2(CNC(=O)c3c([O-])cccc3F)CCCO2)cn1 ZINC000890150912 709769068 /nfs/dbraw/zinc/76/90/68/709769068.db2.gz QIIFYQANGUZETM-INIZCTEOSA-N -1 1 319.336 1.701 20 0 DDADMM Cc1nn[nH]c1C(=O)N1CCC[C@@]1(Cc1ccccc1)C(=O)[O-] ZINC000910099394 709771906 /nfs/dbraw/zinc/77/19/06/709771906.db2.gz DVPWEWOJWPQHFV-MRXNPFEDSA-N -1 1 314.345 1.415 20 0 DDADMM CCC[C@@]1(C(=O)[O-])CCCN1C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000910113457 709776287 /nfs/dbraw/zinc/77/62/87/709776287.db2.gz DUWMBBWNRJBILG-BZNIZROVSA-N -1 1 305.378 1.761 20 0 DDADMM Cc1onc(OC(F)F)c1[N-]C(=O)c1cnn2c1OCCC2 ZINC000890213937 709791368 /nfs/dbraw/zinc/79/13/68/709791368.db2.gz SUNOTKBERBRBJV-UHFFFAOYSA-N -1 1 314.248 1.816 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C\C2(C)CCOCC2)CC1 ZINC000910172267 709797307 /nfs/dbraw/zinc/79/73/07/709797307.db2.gz ADKGWFXTHKXRNV-VQTKUKTRSA-N -1 1 324.421 1.367 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2c[nH]nc2C(C)(C)C)CC1 ZINC000910175120 709798069 /nfs/dbraw/zinc/79/80/69/709798069.db2.gz KPFAIDMCBRWNNU-NSHDSACASA-N -1 1 322.409 1.328 20 0 DDADMM C[N@@H+](CC(=O)N1CCc2occc2[C@H]1C(=O)[O-])[C@H]1CCSC1 ZINC000910206466 709814130 /nfs/dbraw/zinc/81/41/30/709814130.db2.gz UKWBUUXDMFMEPN-HZMBPMFUSA-N -1 1 324.402 1.227 20 0 DDADMM CN(CC(=O)N1CCc2occc2[C@H]1C(=O)[O-])[C@H]1CCSC1 ZINC000910206466 709814135 /nfs/dbraw/zinc/81/41/35/709814135.db2.gz UKWBUUXDMFMEPN-HZMBPMFUSA-N -1 1 324.402 1.227 20 0 DDADMM CC[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CCOCC1 ZINC000900914085 709826600 /nfs/dbraw/zinc/82/66/00/709826600.db2.gz AXMJCTMEJRPUHU-CYBMUJFWSA-N -1 1 305.378 1.995 20 0 DDADMM O=C([O-])[C@H](C[C@@H]1CCCOC1)NC(=O)c1ccc2cncn2c1 ZINC000910248513 709838765 /nfs/dbraw/zinc/83/87/65/709838765.db2.gz YQLGWDSHKNUVQE-FZMZJTMJSA-N -1 1 317.345 1.334 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@]2(C)CCNC(=O)C2)c1 ZINC000910274564 709857942 /nfs/dbraw/zinc/85/79/42/709857942.db2.gz OSSFMHIEOBORAD-KRWDZBQOSA-N -1 1 319.357 1.597 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCO[C@@H](c2ccco2)C1 ZINC000900995300 709869432 /nfs/dbraw/zinc/86/94/32/709869432.db2.gz XDQQNLOQOIDTLI-CYBMUJFWSA-N -1 1 315.329 1.866 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](F)CN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000910440363 709943112 /nfs/dbraw/zinc/94/31/12/709943112.db2.gz DDBFHLPSSGECGL-QWRGUYRKSA-N -1 1 304.281 1.109 20 0 DDADMM CC(C)Cc1nsc(N2CCN(CCC(=O)[O-])C[C@@H]2C)n1 ZINC000910453479 709950854 /nfs/dbraw/zinc/95/08/54/709950854.db2.gz AINGKYZMWAPSFR-NSHDSACASA-N -1 1 312.439 1.722 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC[C@H](O)[C@@H](F)C1 ZINC000890691642 709955971 /nfs/dbraw/zinc/95/59/71/709955971.db2.gz LCTMUUDQIWYEEW-UWVGGRQHSA-N -1 1 318.142 1.700 20 0 DDADMM O=C(N[C@H]1COC[C@H]1C1CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000891048926 710071840 /nfs/dbraw/zinc/07/18/40/710071840.db2.gz YDKNKKHPXDLPAK-QWRGUYRKSA-N -1 1 304.375 1.173 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCC[C@H](C)[C@@H]2C(=O)[O-])C1 ZINC000901695309 710121369 /nfs/dbraw/zinc/12/13/69/710121369.db2.gz SWQDPUZVNOVVRA-IQOUGMIPSA-N -1 1 305.378 1.787 20 0 DDADMM C[C@H](NCc1cccc2c1OC(C)(C)C2)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901743230 710138547 /nfs/dbraw/zinc/13/85/47/710138547.db2.gz IIOCAFOMRVOLRY-QWRGUYRKSA-N -1 1 320.389 1.468 20 0 DDADMM COC(=O)N[C@H](C(=O)Nc1cc(F)cc(F)c1[O-])C(C)C ZINC000910983902 710140900 /nfs/dbraw/zinc/14/09/00/710140900.db2.gz LFSXUTWQQHZDBX-JTQLQIEISA-N -1 1 302.277 1.990 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])C1(N2CCOCC2)CCC1 ZINC000910983684 710141060 /nfs/dbraw/zinc/14/10/60/710141060.db2.gz QZARZVAZJMNPFU-UHFFFAOYSA-N -1 1 312.316 1.864 20 0 DDADMM Cc1ccccc1-n1nccc1CN1CC[C@@](O)(C(=O)[O-])C1 ZINC000901900361 710168696 /nfs/dbraw/zinc/16/86/96/710168696.db2.gz FSVNQYPNFFSCSP-INIZCTEOSA-N -1 1 301.346 1.202 20 0 DDADMM Cc1ccc(CN2CC[C@@](O)(C(=O)[O-])C2)c(Br)c1 ZINC000901900412 710168781 /nfs/dbraw/zinc/16/87/81/710168781.db2.gz GDIUDQMHWVTHFC-ZDUSSCGKSA-N -1 1 314.179 1.779 20 0 DDADMM CC1=C(C)C(=O)N(CCC(=O)Nc2cccc(F)c2[O-])C1=O ZINC000913159834 713173450 /nfs/dbraw/zinc/17/34/50/713173450.db2.gz XLVAKRMSVXGIBI-UHFFFAOYSA-N -1 1 306.293 1.565 20 0 DDADMM COCCOc1ccccc1CNc1cc(=O)[n-]c(COC)n1 ZINC000891954791 710337116 /nfs/dbraw/zinc/33/71/16/710337116.db2.gz GVWKUJBXVGETRZ-UHFFFAOYSA-N -1 1 319.361 1.966 20 0 DDADMM COc1ccc(C(=O)N(C)c2nn[n-]n2)c(OCCC(C)C)c1 ZINC000892954862 710531607 /nfs/dbraw/zinc/53/16/07/710531607.db2.gz TVZVLTWAAFFTPG-UHFFFAOYSA-N -1 1 319.365 1.910 20 0 DDADMM O=C([O-])Cn1cc(CNCC2(c3ccc(F)cc3)CC2)nn1 ZINC000902135550 710629311 /nfs/dbraw/zinc/62/93/11/710629311.db2.gz HDMPUKAMCPTWAN-UHFFFAOYSA-N -1 1 304.325 1.323 20 0 DDADMM Cc1cc(C(=O)[O-])oc1C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000911150211 710655513 /nfs/dbraw/zinc/65/55/13/710655513.db2.gz HITIKDNRZKXONH-TXEJJXNPSA-N -1 1 324.377 1.515 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000911151311 710655984 /nfs/dbraw/zinc/65/59/84/710655984.db2.gz WFYFZMPSRQCFOV-AVGNSLFASA-N -1 1 300.399 1.103 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)NCCC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000911151312 710656288 /nfs/dbraw/zinc/65/62/88/710656288.db2.gz WFYFZMPSRQCFOV-RWMBFGLXSA-N -1 1 300.399 1.103 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000911151312 710656293 /nfs/dbraw/zinc/65/62/93/710656293.db2.gz WFYFZMPSRQCFOV-RWMBFGLXSA-N -1 1 300.399 1.103 20 0 DDADMM O=C([O-])CCc1ccccc1C(=O)N1CCN(CC2CC2)CC1 ZINC000911220468 710692571 /nfs/dbraw/zinc/69/25/71/710692571.db2.gz CBURFLKXWXAQFA-UHFFFAOYSA-N -1 1 316.401 1.872 20 0 DDADMM C[C@@H](CC(=O)[O-])CC(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000911325276 710742805 /nfs/dbraw/zinc/74/28/05/710742805.db2.gz JYOCXPPFHYTEQH-PWSUYJOCSA-N -1 1 320.393 1.889 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)Cc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000911388491 710774223 /nfs/dbraw/zinc/77/42/23/710774223.db2.gz AQSXRXVKLDAZQK-JTQLQIEISA-N -1 1 301.346 1.706 20 0 DDADMM Nn1c(SCc2nn[n-]n2)nnc1-c1ccccc1Cl ZINC000913396224 713207988 /nfs/dbraw/zinc/20/79/88/713207988.db2.gz SJKNHSSJPAUHSZ-UHFFFAOYSA-N -1 1 308.758 1.118 20 0 DDADMM COCC[C@H](NC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccco1 ZINC000911500554 710825118 /nfs/dbraw/zinc/82/51/18/710825118.db2.gz NXTUEGWFERSNSF-STQMWFEESA-N -1 1 324.377 1.270 20 0 DDADMM C[C@H]1CN(CC(C)(C)O)CCN1C(=O)CC(C)(C)CC(=O)[O-] ZINC000911579069 710864209 /nfs/dbraw/zinc/86/42/09/710864209.db2.gz NBNROQZUNRTJDY-LBPRGKRZSA-N -1 1 314.426 1.181 20 0 DDADMM CCOCC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CC1 ZINC000913437833 713215660 /nfs/dbraw/zinc/21/56/60/713215660.db2.gz OOFYGZUDGWUETH-GFCCVEGCSA-N -1 1 301.350 1.222 20 0 DDADMM O=C([O-])c1cc(C(=O)N[C@@H]2CCCN3CCSC[C@@H]23)ccn1 ZINC000902817719 710914480 /nfs/dbraw/zinc/91/44/80/710914480.db2.gz BHWHDPLAPYRMIT-YPMHNXCESA-N -1 1 321.402 1.089 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000902818726 710915972 /nfs/dbraw/zinc/91/59/72/710915972.db2.gz PEKYFSLHSPEWEX-RYUDHWBXSA-N -1 1 314.451 1.573 20 0 DDADMM O=C([O-])c1ccc(CCC(=O)NCCN2CC=CCC2)cc1 ZINC000911719397 710949308 /nfs/dbraw/zinc/94/93/08/710949308.db2.gz TZTUUGRNIXIAGY-UHFFFAOYSA-N -1 1 302.374 1.696 20 0 DDADMM O=C([O-])c1ccc(N2CCN(C(=O)c3ccn[nH]3)CC2)s1 ZINC000911761125 710970154 /nfs/dbraw/zinc/97/01/54/710970154.db2.gz RFEGENXPFRYNIH-UHFFFAOYSA-N -1 1 306.347 1.132 20 0 DDADMM O=C(N[C@H](CCO)C1CCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000903033236 711008464 /nfs/dbraw/zinc/00/84/64/711008464.db2.gz RWYDNWLATWYADH-CYBMUJFWSA-N -1 1 315.373 1.594 20 0 DDADMM COCc1nc(NC[C@@H](O)[C@@H](C)c2ccccc2)cc(=O)[n-]1 ZINC000894626588 711221936 /nfs/dbraw/zinc/22/19/36/711221936.db2.gz XPVBZRFTQQXDEX-WCQYABFASA-N -1 1 303.362 1.905 20 0 DDADMM Cc1cccc(F)c1[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC000903618806 711226291 /nfs/dbraw/zinc/22/62/91/711226291.db2.gz PXBGONIHRHNOHV-GOSISDBHSA-N -1 1 309.388 1.365 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc2ncn(C)c2c1)C(C)C ZINC000903656424 711237280 /nfs/dbraw/zinc/23/72/80/711237280.db2.gz OGUBHMKEPDCTBY-AWEZNQCLSA-N -1 1 311.407 1.986 20 0 DDADMM CSc1nc(CNC(=O)C2(c3cccnc3)CC2)cc(=O)[n-]1 ZINC000912250824 711245959 /nfs/dbraw/zinc/24/59/59/711245959.db2.gz ROVPYDFROVSSJC-UHFFFAOYSA-N -1 1 316.386 1.647 20 0 DDADMM CC(F)(F)c1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000913498354 713239400 /nfs/dbraw/zinc/23/94/00/713239400.db2.gz OXTYIHJFGJBXCX-NSHDSACASA-N -1 1 323.303 1.525 20 0 DDADMM CCN(C(=O)c1cncc([O-])c1)[C@@H]1CCN(CC(F)(F)F)C1 ZINC000912487982 711349549 /nfs/dbraw/zinc/34/95/49/711349549.db2.gz IWEQRERXFNJWEK-LLVKDONJSA-N -1 1 317.311 1.886 20 0 DDADMM O=C(NCC1(C2(O)CCOCC2)CC1)C(=O)c1ccc([O-])cc1 ZINC000928671713 713258195 /nfs/dbraw/zinc/25/81/95/713258195.db2.gz ABRIFKQYFDNFNE-UHFFFAOYSA-N -1 1 319.357 1.013 20 0 DDADMM CCNC(=O)CN(CC)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895602107 711555212 /nfs/dbraw/zinc/55/52/12/711555212.db2.gz YMDSGUHFDXDXOO-UHFFFAOYSA-N -1 1 316.357 1.849 20 0 DDADMM COCc1nc(N2CCC([C@@]3(C)COC(=O)N3)CC2)cc(=O)[n-]1 ZINC000895616255 711559727 /nfs/dbraw/zinc/55/97/27/711559727.db2.gz JQMCTNZMILPLBL-OAHLLOKOSA-N -1 1 322.365 1.044 20 0 DDADMM Cc1cnc(C(=O)NCC2(C3(O)CCOCC3)CC2)c([O-])c1 ZINC000928675194 713259353 /nfs/dbraw/zinc/25/93/53/713259353.db2.gz POYFFNRJWUZQHF-UHFFFAOYSA-N -1 1 306.362 1.147 20 0 DDADMM CN1CC[C@@H]1CNC(=O)C[C@@]1(C(=O)[O-])CCCc2ccccc21 ZINC000905130527 711945716 /nfs/dbraw/zinc/94/57/16/711945716.db2.gz GFSGECANFDQKSF-KDOFPFPSSA-N -1 1 316.401 1.556 20 0 DDADMM CC[C@](CC(=O)[O-])(C(=O)NC[C@H]1CCN1C)c1ccc(C)cc1 ZINC000905131795 711946363 /nfs/dbraw/zinc/94/63/63/711946363.db2.gz VVYVXACRHNRIIW-CRAIPNDOSA-N -1 1 318.417 1.938 20 0 DDADMM Cn1nc(C(C)(C)C)cc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743067 713292173 /nfs/dbraw/zinc/29/21/73/713292173.db2.gz DFAYPZOQWARDFC-UHFFFAOYSA-N -1 1 317.397 1.251 20 0 DDADMM Cc1[nH]c2ccccc2c1CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742722 713292207 /nfs/dbraw/zinc/29/22/07/713292207.db2.gz QUTZCUJICQPATH-UHFFFAOYSA-N -1 1 324.388 1.938 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1OCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744547 713292724 /nfs/dbraw/zinc/29/27/24/713292724.db2.gz SWKBPMUTERQOAU-OCCSQVGLSA-N -1 1 321.425 1.891 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)nc1 ZINC000913746298 713293815 /nfs/dbraw/zinc/29/38/15/713293815.db2.gz NLJMIVXVRHQZPX-UHFFFAOYSA-N -1 1 314.393 1.912 20 0 DDADMM Cc1nc(C2CC2)ccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913746478 713294171 /nfs/dbraw/zinc/29/41/71/713294171.db2.gz WAIPSIFKTKRPOK-UHFFFAOYSA-N -1 1 312.377 1.800 20 0 DDADMM C[C@]1(C(=O)N2CCC(c3nn[n-]n3)CC2)CCc2ccccc21 ZINC000913746466 713294253 /nfs/dbraw/zinc/29/42/53/713294253.db2.gz VNPUTULTWNSSJM-KRWDZBQOSA-N -1 1 311.389 1.810 20 0 DDADMM O=C(NCC[C@H](O)C1CCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000913786135 713300553 /nfs/dbraw/zinc/30/05/53/713300553.db2.gz KLOYDAIGBKKJHR-ZDUSSCGKSA-N -1 1 305.378 1.731 20 0 DDADMM CCSc1ccccc1CCNCc1cn(CC(=O)[O-])nn1 ZINC000905727783 712132939 /nfs/dbraw/zinc/13/29/39/712132939.db2.gz FFOQGXLCQGYMTF-UHFFFAOYSA-N -1 1 320.418 1.807 20 0 DDADMM CCOCCCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000913853320 713310229 /nfs/dbraw/zinc/31/02/29/713310229.db2.gz WLKSHFYCIGBJLI-UHFFFAOYSA-N -1 1 302.321 1.939 20 0 DDADMM CC(C)(C)C1CN(S(=O)(=O)c2cc(C(=O)[O-])ccc2O)C1 ZINC000907014647 712464681 /nfs/dbraw/zinc/46/46/81/712464681.db2.gz RVMNPMYGRHZBNX-UHFFFAOYSA-N -1 1 313.375 1.757 20 0 DDADMM CC(=O)[C@H]1CC[C@H]([N-]S(=O)(=O)c2cc(C)ns2)CC1 ZINC000907433260 712570983 /nfs/dbraw/zinc/57/09/83/712570983.db2.gz ONEHRUWJTZZKOY-XYPYZODXSA-N -1 1 302.421 1.878 20 0 DDADMM CCO[C@H](CC(=O)N1CCSC[C@H]1c1nn[n-]n1)C(C)C ZINC000907473376 712581213 /nfs/dbraw/zinc/58/12/13/712581213.db2.gz GQVPCKLPNVUSJD-WDEREUQCSA-N -1 1 313.427 1.267 20 0 DDADMM Cc1ccc(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1C ZINC000907473743 712581448 /nfs/dbraw/zinc/58/14/48/712581448.db2.gz DSTCQTHDLNVDSE-ZDUSSCGKSA-N -1 1 317.418 1.676 20 0 DDADMM Cc1nc(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)c(C)s1 ZINC000907475020 712581847 /nfs/dbraw/zinc/58/18/47/712581847.db2.gz MOABZQWYCAECPW-JTQLQIEISA-N -1 1 324.435 1.132 20 0 DDADMM CCc1ccccc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907476696 712582577 /nfs/dbraw/zinc/58/25/77/712582577.db2.gz RDUYWJSFCFGSRI-LBPRGKRZSA-N -1 1 303.391 1.692 20 0 DDADMM O=C(c1ccc(CF)cc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479412 712583305 /nfs/dbraw/zinc/58/33/05/712583305.db2.gz DCNOTOCIRWCIJQ-LLVKDONJSA-N -1 1 307.354 1.600 20 0 DDADMM CCc1ccccc1CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480348 712583511 /nfs/dbraw/zinc/58/35/11/712583511.db2.gz SUNWZXQKJFOCSQ-CYBMUJFWSA-N -1 1 317.418 1.621 20 0 DDADMM O=C(C1SCCCS1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480212 712583671 /nfs/dbraw/zinc/58/36/71/712583671.db2.gz NCCVSFUKSVAZAQ-ZETCQYMHSA-N -1 1 317.465 1.012 20 0 DDADMM COC(=O)[C@H]1C[C@@H](C)CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907494984 712585569 /nfs/dbraw/zinc/58/55/69/712585569.db2.gz QFYZRPKGDUUVQA-JOYOIKCWSA-N -1 1 319.361 1.473 20 0 DDADMM CN1CCC[C@H](NC(=O)[C@@]2(C(=O)[O-])C[C@@H]2c2ccccc2)C1 ZINC000907799760 712628290 /nfs/dbraw/zinc/62/82/90/712628290.db2.gz NIHDPMXAXFLSBE-JJRVBVJISA-N -1 1 302.374 1.455 20 0 DDADMM O=C(c1cccc(C2CC2)c1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907942540 712652535 /nfs/dbraw/zinc/65/25/35/712652535.db2.gz ZWYUHTYECNKDJC-UHFFFAOYSA-N -1 1 313.361 1.201 20 0 DDADMM CCCC(=CC(=O)N1CCC(O)(c2nn[n-]n2)CC1)CCC ZINC000907943714 712652822 /nfs/dbraw/zinc/65/28/22/712652822.db2.gz MOMHAMPXWKAZLF-UHFFFAOYSA-N -1 1 307.398 1.536 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907943971 712652837 /nfs/dbraw/zinc/65/28/37/712652837.db2.gz OEQROBTXASWQOT-NEPJUHHUSA-N -1 1 307.398 1.226 20 0 DDADMM CCc1ccc2c(c1)CCN2C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908446170 712775394 /nfs/dbraw/zinc/77/53/94/712775394.db2.gz MPEOPGBABJFNCI-HNNXBMFYSA-N -1 1 316.401 1.935 20 0 DDADMM CN(C)Cc1ccc(C(=O)NC2(C(=O)[O-])CCCCC2)cn1 ZINC000908597701 712817804 /nfs/dbraw/zinc/81/78/04/712817804.db2.gz BQKWGJKPGHQXNO-UHFFFAOYSA-N -1 1 305.378 1.661 20 0 DDADMM O=C([O-])C[C@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)c1ccc(F)cc1 ZINC000908608717 712821142 /nfs/dbraw/zinc/82/11/42/712821142.db2.gz LNSITBLXPDXDNL-UPJWGTAASA-N -1 1 317.320 1.985 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)c1ccc(F)cc1 ZINC000908608716 712821163 /nfs/dbraw/zinc/82/11/63/712821163.db2.gz LNSITBLXPDXDNL-JHJVBQTASA-N -1 1 317.320 1.985 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@@H]1[C@H]1CCC[C@H]1O ZINC000908688646 712835559 /nfs/dbraw/zinc/83/55/59/712835559.db2.gz VQBWARYSQSSRTN-MRVWCRGKSA-N -1 1 317.389 1.825 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCc2cc3ccccc3o2)C1 ZINC000908756729 712848796 /nfs/dbraw/zinc/84/87/96/712848796.db2.gz MZRLROMYVGJFNF-ZDUSSCGKSA-N -1 1 316.357 1.846 20 0 DDADMM CC[C@@](C)(NC(=O)NC[C@H](c1ccsc1)N(C)C)C(=O)[O-] ZINC000908814772 712860416 /nfs/dbraw/zinc/86/04/16/712860416.db2.gz UKJIDMOVLDBNLP-BXUZGUMPSA-N -1 1 313.423 1.903 20 0 DDADMM CCN(CC)[C@H](CNC(=O)N[C@@H](C(=O)[O-])C1CC1)c1ccco1 ZINC000908875699 712876922 /nfs/dbraw/zinc/87/69/22/712876922.db2.gz IWNYKNQTCQBYKG-TZMCWYRMSA-N -1 1 323.393 1.825 20 0 DDADMM COc1ccc(C2CC2)cc1CNC(=O)CCc1nn[n-]n1 ZINC000914394625 713389172 /nfs/dbraw/zinc/38/91/72/713389172.db2.gz LMGXOAIKEJYDMG-UHFFFAOYSA-N -1 1 301.350 1.335 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1COC[C@@H]1C ZINC000928897700 713507494 /nfs/dbraw/zinc/50/74/94/713507494.db2.gz HZTWYOVYAYFOQH-ZANVPECISA-N -1 1 318.377 1.135 20 0 DDADMM CCC(F)(F)C[N-]S(=O)(=O)C1CCC(C(=O)OC)CC1 ZINC000918026031 713525303 /nfs/dbraw/zinc/52/53/03/713525303.db2.gz RBBLMWKABDLTJM-UHFFFAOYSA-N -1 1 313.366 1.683 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@@H]1CCCCC1(OC)OC)C1CC1 ZINC000918060316 713527217 /nfs/dbraw/zinc/52/72/17/713527217.db2.gz BMFIQTQPNQJYTF-QWHCGFSZSA-N -1 1 321.439 1.263 20 0 DDADMM CC[C@](C)(NC(=O)N[C@H]1CCCN2CCSC[C@H]12)C(=O)[O-] ZINC000929063819 713542327 /nfs/dbraw/zinc/54/23/27/713542327.db2.gz OMYLQGMXEWLQDX-WDMOLILDSA-N -1 1 315.439 1.119 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-]C(C)(C)/C=C\Cl ZINC000921500906 713791309 /nfs/dbraw/zinc/79/13/09/713791309.db2.gz FYOXTIMXYWODNC-PLNGDYQASA-N -1 1 324.811 1.739 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@@H]2COC[C@@H]2O1)c1cc(F)ccc1F ZINC000921623740 713824231 /nfs/dbraw/zinc/82/42/31/713824231.db2.gz VZWUOYFQMMCKEG-UISBYWKRSA-N -1 1 319.329 1.047 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCC2(CCC2)O1)c1ccns1 ZINC000921934670 713914096 /nfs/dbraw/zinc/91/40/96/713914096.db2.gz UCYYFSBIWBCBJU-SNVBAGLBSA-N -1 1 302.421 1.913 20 0 DDADMM Cc1n[nH]cc1S(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921967621 713923081 /nfs/dbraw/zinc/92/30/81/713923081.db2.gz VBHQJEGSQVBHFP-UHFFFAOYSA-N -1 1 317.317 1.223 20 0 DDADMM CO[C@@H]1CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932044266 714245441 /nfs/dbraw/zinc/24/54/41/714245441.db2.gz DIJQNIIAELSJPO-CYBMUJFWSA-N -1 1 302.334 1.831 20 0 DDADMM O=C(NCCCCCO)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932052048 714246865 /nfs/dbraw/zinc/24/68/65/714246865.db2.gz PKXUETFRGILFLO-UHFFFAOYSA-N -1 1 304.350 1.862 20 0 DDADMM C[C@H](NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1(CO)CC1 ZINC000932058223 714248641 /nfs/dbraw/zinc/24/86/41/714248641.db2.gz MTVDLZFMCHSYLN-NSHDSACASA-N -1 1 316.361 1.860 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)c1cc(-c2ccn(C)c2)[nH]n1 ZINC000923155286 714257000 /nfs/dbraw/zinc/25/70/00/714257000.db2.gz KFIFRBYNLWBNSK-GHMZBOCLSA-N -1 1 316.361 1.741 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CC[C@H](C(=O)[O-])C[C@@H]2C)C1 ZINC000923156615 714257059 /nfs/dbraw/zinc/25/70/59/714257059.db2.gz XFPRVAKIKCGHQQ-ZPFDUUQYSA-N -1 1 305.378 1.787 20 0 DDADMM CC(C)Cc1ncc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)s1 ZINC000932282176 714299873 /nfs/dbraw/zinc/29/98/73/714299873.db2.gz HFSYKUDTVANZFQ-VIFPVBQESA-N -1 1 322.394 1.068 20 0 DDADMM Cc1cccc2oc(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)cc21 ZINC000932284126 714300359 /nfs/dbraw/zinc/30/03/59/714300359.db2.gz WBHOVHOOYKAQJQ-CYBMUJFWSA-N -1 1 313.317 1.468 20 0 DDADMM O=S(=O)([N-][C@@H]1CNOC1)c1c(Cl)cc(F)cc1Cl ZINC000923720079 714458570 /nfs/dbraw/zinc/45/85/70/714458570.db2.gz ACFSMXJZWAQWAY-ZCFIWIBFSA-N -1 1 315.153 1.314 20 0 DDADMM CCn1ncn([N-]C(=O)NC2(C(F)(F)F)CCCCC2)c1=O ZINC000933421192 714584906 /nfs/dbraw/zinc/58/49/06/714584906.db2.gz FCDKGNZABZDJNM-UHFFFAOYSA-N -1 1 321.303 1.583 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2noc(C3CC3)n2)[n-]c1=O ZINC000934276692 714785028 /nfs/dbraw/zinc/78/50/28/714785028.db2.gz IIUPCKVWRPJFDC-JTQLQIEISA-N -1 1 317.349 1.788 20 0 DDADMM CC1(O)CN(C(=O)c2cc(-c3ccc(C=O)o3)ccc2[O-])C1 ZINC000934987525 714949621 /nfs/dbraw/zinc/94/96/21/714949621.db2.gz OPNNBQRMMIMLHP-UHFFFAOYSA-N -1 1 301.298 1.672 20 0 DDADMM O=C(COc1ccc(F)c(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000935037572 714961353 /nfs/dbraw/zinc/96/13/53/714961353.db2.gz WYWDEBUUYWVSRF-SECBINFHSA-N -1 1 323.303 1.263 20 0 DDADMM O=C(c1ccnn1C1CCC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000935829254 715131290 /nfs/dbraw/zinc/13/12/90/715131290.db2.gz GSFGSQRXILACSK-UHFFFAOYSA-N -1 1 317.349 1.717 20 0 DDADMM Cc1cnc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1C ZINC000935828931 715131315 /nfs/dbraw/zinc/13/13/15/715131315.db2.gz CCDHKEJXTBJLGE-UHFFFAOYSA-N -1 1 302.334 1.807 20 0 DDADMM O=C([N-][C@@H]1CCN(C(=O)c2cc(F)c(F)c(F)c2)C1)C(F)F ZINC000936238869 715163215 /nfs/dbraw/zinc/16/32/15/715163215.db2.gz IXUDRTWACSEHHJ-SSDOTTSWSA-N -1 1 322.233 1.700 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937038764 715270148 /nfs/dbraw/zinc/27/01/48/715270148.db2.gz WFDDJKUZLYJHOJ-AAEUAGOBSA-N -1 1 317.389 1.506 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000937065737 715272511 /nfs/dbraw/zinc/27/25/11/715272511.db2.gz CEHTZMFXLWMABQ-MCWZUMHDSA-N -1 1 303.362 1.426 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000937361807 715349324 /nfs/dbraw/zinc/34/93/24/715349324.db2.gz BDZLXLJNPUCDBZ-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@]1(NC(=O)c2ccc[nH]2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956693381 715544892 /nfs/dbraw/zinc/54/48/92/715544892.db2.gz XFCNDPHWOHJUDI-MRXNPFEDSA-N -1 1 314.345 1.150 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)CCC2CC2)C1 ZINC000956848815 715635890 /nfs/dbraw/zinc/63/58/90/715635890.db2.gz FXQHVSHQUCNEQC-QGZVFWFLSA-N -1 1 317.389 1.698 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849426 715636468 /nfs/dbraw/zinc/63/64/68/715636468.db2.gz RINNYYQNLDAGQR-DIFFPNOSSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC000940126107 716637954 /nfs/dbraw/zinc/63/79/54/716637954.db2.gz UWMHVGOWHXMUIC-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM Cc1conc1CNCC1CC(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000961726119 717075124 /nfs/dbraw/zinc/07/51/24/717075124.db2.gz VMQJWAZLXQGQCK-UHFFFAOYSA-N -1 1 319.365 1.018 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1C[C@H]2C[C@H]2C1 ZINC000942228825 717567656 /nfs/dbraw/zinc/56/76/56/717567656.db2.gz LPVAJCVDRSRCCE-MROQNXINSA-N -1 1 315.373 1.164 20 0 DDADMM O=C(N[C@H]1CC12CCN(C(=O)C1CC1)CC2)c1ncccc1[O-] ZINC000963682203 717878061 /nfs/dbraw/zinc/87/80/61/717878061.db2.gz KCQKXSMKONXLIS-ZDUSSCGKSA-N -1 1 315.373 1.308 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)C1(CF)CC1)c1ncccc1[O-] ZINC000944279522 718283047 /nfs/dbraw/zinc/28/30/47/718283047.db2.gz QNOKBORWJNRBOG-LLVKDONJSA-N -1 1 321.352 1.258 20 0 DDADMM CCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000967007833 718741286 /nfs/dbraw/zinc/74/12/86/718741286.db2.gz DDNXUKHJBXFKPM-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946320741 718744315 /nfs/dbraw/zinc/74/43/15/718744315.db2.gz FDERCVQJUSOQOE-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(C=C1CCC1)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946532849 718820571 /nfs/dbraw/zinc/82/05/71/718820571.db2.gz FGRFQJOQDDMYNJ-UHFFFAOYSA-N -1 1 315.373 1.618 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)C2CC2)CC1 ZINC000967545277 718928346 /nfs/dbraw/zinc/92/83/46/718928346.db2.gz TVBLKENMACNDKX-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1Cc1ccon1 ZINC000947230336 719079864 /nfs/dbraw/zinc/07/98/64/719079864.db2.gz FIPMVTWFBFIOLS-VXGBXAGGSA-N -1 1 316.361 1.558 20 0 DDADMM CCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](CC)C1 ZINC000948175887 719380020 /nfs/dbraw/zinc/38/00/20/719380020.db2.gz NHOOVNTUKPWXLU-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1[nH]ccc1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000949316540 720012375 /nfs/dbraw/zinc/01/23/75/720012375.db2.gz XZLGPVZUMIXAQM-NSHDSACASA-N -1 1 314.345 1.068 20 0 DDADMM CC(C)C1(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000949539005 720147433 /nfs/dbraw/zinc/14/74/33/720147433.db2.gz VZUGXCJNDMTXSE-LBPRGKRZSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cnc(CN2CC([C@@H](C)NC(=O)c3ncccc3[O-])C2)o1 ZINC000969547171 720184631 /nfs/dbraw/zinc/18/46/31/720184631.db2.gz ZRLHZQYPVKLQTP-LLVKDONJSA-N -1 1 316.361 1.334 20 0 DDADMM CC1(C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)CCC1 ZINC000951562715 721075164 /nfs/dbraw/zinc/07/51/64/721075164.db2.gz RBOZNYLNTMKGBJ-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM C/C(=C/C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000954124116 721723646 /nfs/dbraw/zinc/72/36/46/721723646.db2.gz LXWAXKYRBHVKIX-FLIBITNWSA-N -1 1 315.373 1.426 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2(F)CCCC2)C1 ZINC000954127556 721725024 /nfs/dbraw/zinc/72/50/24/721725024.db2.gz AJFLKCHZVUTQPT-UHFFFAOYSA-N -1 1 321.352 1.352 20 0 DDADMM CCOC(=O)c1nc2c(s1)CN(Cc1ccncc1[O-])CC2 ZINC001140281050 733435015 /nfs/dbraw/zinc/43/50/15/733435015.db2.gz VCJPONABGCQMCP-UHFFFAOYSA-N -1 1 319.386 1.979 20 0 DDADMM C[C@@H]1CCN(C(=O)CCC2CC2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087137797 733474409 /nfs/dbraw/zinc/47/44/09/733474409.db2.gz GVPHHDVASKSVEB-YPMHNXCESA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H](CCCO)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692879568 738741604 /nfs/dbraw/zinc/74/16/04/738741604.db2.gz JXPCTXBWZUTUGM-QMMMGPOBSA-N -1 1 310.778 1.561 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@H]1c1cccc(F)c1 ZINC001121268144 782433497 /nfs/dbraw/zinc/43/34/97/782433497.db2.gz JCJOFBMADVRLDZ-NSHDSACASA-N -1 1 314.328 1.476 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@H]1c1cccc(F)c1 ZINC001121268144 782433502 /nfs/dbraw/zinc/43/35/02/782433502.db2.gz JCJOFBMADVRLDZ-NSHDSACASA-N -1 1 314.328 1.476 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3n[nH]nc3c2)n1 ZINC000585681534 738916669 /nfs/dbraw/zinc/91/66/69/738916669.db2.gz ANBHHQCEWSVSTC-UHFFFAOYSA-N -1 1 300.278 1.110 20 0 DDADMM Cc1cnc(C[N@H+]2CC[C@@H](CNC(=O)c3ccccc3O)C2)o1 ZINC001028416219 739466242 /nfs/dbraw/zinc/46/62/42/739466242.db2.gz RUYBFTLGWDQSPP-ZDUSSCGKSA-N -1 1 315.373 1.941 20 0 DDADMM O=C(C1CCCCC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024851688 736129813 /nfs/dbraw/zinc/12/98/13/736129813.db2.gz KSOCBXFUMSMCFL-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM COC(=O)c1nc2ccc(NC3(C(=O)OC)CCCC3)[n-]c-2n1 ZINC001167717007 736836721 /nfs/dbraw/zinc/83/67/21/736836721.db2.gz VRKDGQDWJKVBRV-UHFFFAOYSA-N -1 1 318.333 1.642 20 0 DDADMM COC(=O)c1nc2ccc(NC3(C(=O)OC)CCCC3)nc2[n-]1 ZINC001167717007 736836725 /nfs/dbraw/zinc/83/67/25/736836725.db2.gz VRKDGQDWJKVBRV-UHFFFAOYSA-N -1 1 318.333 1.642 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2CC[C@H](F)C2)C1)c1ncccc1[O-] ZINC000974055868 737353167 /nfs/dbraw/zinc/35/31/67/737353167.db2.gz BBIFREKEWJDRKA-FIQHERPVSA-N -1 1 321.352 1.302 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H](CO)C1)c1ccc(Cl)nc1F ZINC000692888844 738958213 /nfs/dbraw/zinc/95/82/13/738958213.db2.gz OFBIQOGJYOFUGS-IUCAKERBSA-N -1 1 322.789 1.704 20 0 DDADMM Cc1cc(N[C@@H](C)CNC(=O)c2c(C)nc[nH]c2=O)nc(C)[nH+]1 ZINC001098229642 737379874 /nfs/dbraw/zinc/37/98/74/737379874.db2.gz WCMLKJFUJNDFSX-VIFPVBQESA-N -1 1 316.365 1.128 20 0 DDADMM O=c1c(-c2noc(CCC3CCCC3)n2)cnc2nc[n-]n21 ZINC000585120504 739380694 /nfs/dbraw/zinc/38/06/94/739380694.db2.gz RLIGHSHVNULSEO-UHFFFAOYSA-N -1 1 300.322 1.590 20 0 DDADMM CN(CCN(C)c1ncncc1Cl)C(=O)c1ncccc1[O-] ZINC001105376467 739813292 /nfs/dbraw/zinc/81/32/92/739813292.db2.gz UBCYQZVVPGWPLL-UHFFFAOYSA-N -1 1 321.768 1.439 20 0 DDADMM CC1CC(C(=O)N2CCC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001017099522 751500483 /nfs/dbraw/zinc/50/04/83/751500483.db2.gz ZOIAWADZYUGPQO-OTTFEQOBSA-N -1 1 321.425 1.227 20 0 DDADMM O=C(C=C1CCC1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088294011 741340701 /nfs/dbraw/zinc/34/07/01/741340701.db2.gz MSGCXOURYKAYOE-CYBMUJFWSA-N -1 1 319.409 1.481 20 0 DDADMM CC1(C(=O)N2CCCCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001088362379 741434795 /nfs/dbraw/zinc/43/47/95/741434795.db2.gz QXSAYFPBWFMWPX-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM COc1cc(Br)c([O-])c(NC(=O)C2COC2)c1 ZINC001181007474 743020421 /nfs/dbraw/zinc/02/04/21/743020421.db2.gz VXRLKAXHAPZWPL-UHFFFAOYSA-N -1 1 302.124 1.748 20 0 DDADMM CCOC(=O)c1cc(NC(=O)C(=O)Cc2ccccc2)n[nH]1 ZINC001181375897 743170807 /nfs/dbraw/zinc/17/08/07/743170807.db2.gz ROPRTLYZUCEHTG-UHFFFAOYSA-N -1 1 301.302 1.337 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(=O)c2ccc(OC)cc2)c[n-]1 ZINC001183358136 743822100 /nfs/dbraw/zinc/82/21/00/743822100.db2.gz GODJONZMCPGNDB-UHFFFAOYSA-N -1 1 317.301 1.416 20 0 DDADMM CSc1nc(NC(=O)c2cnc3ccnn3c2C)cc(=O)[n-]1 ZINC001151422249 744119556 /nfs/dbraw/zinc/11/95/56/744119556.db2.gz YYICSMGRFSYZCZ-UHFFFAOYSA-N -1 1 316.346 1.508 20 0 DDADMM CSc1ncc([O-])c(C(=O)Nc2ccc3[nH]nnc3c2)n1 ZINC001185177179 744172068 /nfs/dbraw/zinc/17/20/68/744172068.db2.gz SHZGEUFIOGDHQD-UHFFFAOYSA-N -1 1 302.319 1.428 20 0 DDADMM O=C(C=C([O-])c1ccccc1Cl)N[C@@H](CO)Cc1cnc[nH]1 ZINC001185435391 744222120 /nfs/dbraw/zinc/22/21/20/744222120.db2.gz HXJRPDHWRIYCRE-LLVKDONJSA-N -1 1 321.764 1.356 20 0 DDADMM Cc1cc(O)ccc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001186173343 744357295 /nfs/dbraw/zinc/35/72/95/744357295.db2.gz JEQGWTNBIHVADT-UHFFFAOYSA-N -1 1 317.305 1.912 20 0 DDADMM O=C(N[C@@H](CO)Cc1ccc(O)cc1)c1ccc([O-])c(F)c1 ZINC001186236604 744361699 /nfs/dbraw/zinc/36/16/99/744361699.db2.gz HZZZUDXVZBLIDV-GFCCVEGCSA-N -1 1 305.305 1.570 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc([O-])cc2F)n(C)n1 ZINC001186318925 744373521 /nfs/dbraw/zinc/37/35/21/744373521.db2.gz SLNHSHXGCCOEDH-UHFFFAOYSA-N -1 1 307.281 1.694 20 0 DDADMM O=C(NC[C@H](O)COc1ccccc1)c1ccc([O-])cc1F ZINC001186337559 744388963 /nfs/dbraw/zinc/38/89/63/744388963.db2.gz MBUMNQPXONYZPS-LBPRGKRZSA-N -1 1 305.305 1.701 20 0 DDADMM O=C(NCc1ccccc1-n1cnnn1)c1ccc([O-])cc1F ZINC001186339286 744389169 /nfs/dbraw/zinc/38/91/69/744389169.db2.gz BKINDNMMDYCOTK-UHFFFAOYSA-N -1 1 313.292 1.437 20 0 DDADMM O=S(=O)([N-]Cc1cccc(-n2cccn2)c1)c1nccs1 ZINC001187908897 744627929 /nfs/dbraw/zinc/62/79/29/744627929.db2.gz OPNHWQCCZRIFCS-UHFFFAOYSA-N -1 1 320.399 1.807 20 0 DDADMM O=S(=O)([N-]c1cc(F)ccc1OC1COC1)C1CCC1 ZINC001188144528 744665086 /nfs/dbraw/zinc/66/50/86/744665086.db2.gz MJAODXOIVMQXBM-UHFFFAOYSA-N -1 1 301.339 1.898 20 0 DDADMM Cc1ccc(C(C)C)c(O)c1C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001188167990 744668235 /nfs/dbraw/zinc/66/82/35/744668235.db2.gz UBLOXGNXHLXKJK-UHFFFAOYSA-N -1 1 318.333 1.860 20 0 DDADMM Cc1ncc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)s1 ZINC001188407670 744706121 /nfs/dbraw/zinc/70/61/21/744706121.db2.gz ULPGLAPNINSZOP-UHFFFAOYSA-N -1 1 308.323 1.663 20 0 DDADMM O=C(NCc1cn(CC(F)(F)F)cn1)c1ccncc1[O-] ZINC001188644772 744735214 /nfs/dbraw/zinc/73/52/14/744735214.db2.gz OAQCZAIBQWDEFT-UHFFFAOYSA-N -1 1 300.240 1.476 20 0 DDADMM Cn1ccc(C[N-]S(=O)(=O)c2cc(Cl)cnc2Cl)n1 ZINC001188829722 744769421 /nfs/dbraw/zinc/76/94/21/744769421.db2.gz MIGKVINLMDDMHN-UHFFFAOYSA-N -1 1 321.189 1.600 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2cc(F)ccc2F)CC1 ZINC001189698352 744956284 /nfs/dbraw/zinc/95/62/84/744956284.db2.gz HEYRELJUQBFUQK-UHFFFAOYSA-N -1 1 305.302 1.090 20 0 DDADMM CC(C)N1CC[C@H]([N-]S(=O)(=O)c2ncc(F)cc2F)C1 ZINC001190192765 745130809 /nfs/dbraw/zinc/13/08/09/745130809.db2.gz SNZDSCNZILCHEE-JTQLQIEISA-N -1 1 305.350 1.121 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)Nc1ccc(C(N)=O)c([O-])c1)C(=O)OC ZINC001190168576 745137288 /nfs/dbraw/zinc/13/72/88/745137288.db2.gz WPIVNGRQWCXCNS-UFBFGSQYSA-N -1 1 323.349 1.200 20 0 DDADMM CN(Cc1ccon1)C(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190628692 745278877 /nfs/dbraw/zinc/27/88/77/745278877.db2.gz VZCNYXCCFAYTAL-UHFFFAOYSA-N -1 1 311.301 1.504 20 0 DDADMM CC(=O)[C@@H]1CCCN1C(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190628237 745279051 /nfs/dbraw/zinc/27/90/51/745279051.db2.gz LNSVHAXXUJBJRW-ZDUSSCGKSA-N -1 1 312.329 1.438 20 0 DDADMM COc1ncnc(Cl)c1[N-]S(=O)(=O)c1ccncc1 ZINC001190854115 745352196 /nfs/dbraw/zinc/35/21/96/745352196.db2.gz HRMQHZJIJMNGRM-UHFFFAOYSA-N -1 1 300.727 1.334 20 0 DDADMM O=c1ccc2cc([N-]S(=O)(=O)c3ccncc3)ccc2o1 ZINC001190903980 745380896 /nfs/dbraw/zinc/38/08/96/745380896.db2.gz QYCPEHLMKKQUSR-UHFFFAOYSA-N -1 1 302.311 1.989 20 0 DDADMM COC(=O)c1cncc(C(=O)Nc2cc(=O)[n-]c(SC)n2)c1 ZINC001191212655 745448960 /nfs/dbraw/zinc/44/89/60/745448960.db2.gz AFGBTFGXCBFBTI-UHFFFAOYSA-N -1 1 320.330 1.338 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccccc3N3CCCC3)c1-2 ZINC001191215922 745449242 /nfs/dbraw/zinc/44/92/42/745449242.db2.gz RCJGZTDWBTYZPX-UHFFFAOYSA-N -1 1 322.372 1.887 20 0 DDADMM CSc1ncc(C(=O)N2CC(C)(C)OC(C)(C)C2)c(=O)[n-]1 ZINC001191431392 745510228 /nfs/dbraw/zinc/51/02/28/745510228.db2.gz VIGSQRKUSPLGTI-UHFFFAOYSA-N -1 1 311.407 1.934 20 0 DDADMM COc1c(O)cccc1NC(=O)c1cnc(SC)[n-]c1=O ZINC001191437227 745511825 /nfs/dbraw/zinc/51/18/25/745511825.db2.gz JZVBWMMWELGRSA-UHFFFAOYSA-N -1 1 307.331 1.871 20 0 DDADMM CCOC(=O)C(F)(F)CNC(=O)c1c(F)ccc([O-])c1F ZINC001192552230 745812549 /nfs/dbraw/zinc/81/25/49/745812549.db2.gz LCNKZGMCTKQLNY-UHFFFAOYSA-N -1 1 309.215 1.599 20 0 DDADMM COC(=O)c1n[nH]c(C)c1NC(=O)c1cc([O-])cc(F)c1F ZINC001192651778 745845905 /nfs/dbraw/zinc/84/59/05/745845905.db2.gz MMFQXLGLSZQEBP-UHFFFAOYSA-N -1 1 311.244 1.741 20 0 DDADMM O=C(c1cc(Cl)nc(Cl)c1[O-])N1CC[N@H+]2CC[C@@H]2C1 ZINC001193090943 745971372 /nfs/dbraw/zinc/97/13/72/745971372.db2.gz RMXNXRQKNFPRMR-SSDOTTSWSA-N -1 1 302.161 1.624 20 0 DDADMM O=C(c1cc(Cl)nc(Cl)c1[O-])N1CCN2CC[C@@H]2C1 ZINC001193090943 745971373 /nfs/dbraw/zinc/97/13/73/745971373.db2.gz RMXNXRQKNFPRMR-SSDOTTSWSA-N -1 1 302.161 1.624 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1cnc(OCC2CC2)nc1 ZINC001193214372 746016687 /nfs/dbraw/zinc/01/66/87/746016687.db2.gz ZBJZVABMJZFXCU-GFCCVEGCSA-N -1 1 313.379 1.186 20 0 DDADMM Cc1noc(-c2ccc([N-]S(=O)(=O)C[C@H]3CCCO3)cc2)n1 ZINC001193263307 746035333 /nfs/dbraw/zinc/03/53/33/746035333.db2.gz CESULMZRHZLLRA-CYBMUJFWSA-N -1 1 323.374 1.966 20 0 DDADMM Cc1ccc2c(c1)[C@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)C(=O)N2 ZINC001193280169 746045026 /nfs/dbraw/zinc/04/50/26/746045026.db2.gz OHHIWMMWINPEKW-GWCFXTLKSA-N -1 1 310.375 1.087 20 0 DDADMM COc1ccnc(C(=O)[N-]c2nnc(-c3ccco3)o2)c1O ZINC001193520081 746132480 /nfs/dbraw/zinc/13/24/80/746132480.db2.gz IRPKMLCJMVBAPR-UHFFFAOYSA-N -1 1 302.246 1.691 20 0 DDADMM COc1ccnc(C(=O)Nc2nnccc2C(F)(F)F)c1[O-] ZINC001193524606 746133221 /nfs/dbraw/zinc/13/32/21/746133221.db2.gz GHWDIQLBKHLLAE-UHFFFAOYSA-N -1 1 314.223 1.857 20 0 DDADMM Cc1cc(F)ncc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001193623183 746152587 /nfs/dbraw/zinc/15/25/87/746152587.db2.gz DQLMKIFJLUEROI-UHFFFAOYSA-N -1 1 320.284 1.741 20 0 DDADMM CCCC[C@H](CC)COC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001193983161 746247586 /nfs/dbraw/zinc/24/75/86/746247586.db2.gz FTHWAGHBLHIGRT-DTWKUNHWSA-N -1 1 315.395 1.464 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2c(O)cccc2C(C)=O)[n-]n1 ZINC001194288766 746344034 /nfs/dbraw/zinc/34/40/34/746344034.db2.gz NZNBLAFRLRCIFV-UHFFFAOYSA-N -1 1 303.274 1.357 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1cc(O)c(F)c(F)c1 ZINC001194718017 746448629 /nfs/dbraw/zinc/44/86/29/746448629.db2.gz AMXKJVKRDNJPNN-UHFFFAOYSA-N -1 1 316.285 1.875 20 0 DDADMM Cn1cnc(NC(=O)c2c[n-]c(C(F)(F)F)n2)c2ncnc1-2 ZINC001194770703 746462749 /nfs/dbraw/zinc/46/27/49/746462749.db2.gz NNXSNZCCOUIAGH-UHFFFAOYSA-N -1 1 311.227 1.309 20 0 DDADMM Cn1cnnc1C1CCN(C(=O)c2cc([O-])cnc2Cl)CC1 ZINC001195304920 746571964 /nfs/dbraw/zinc/57/19/64/746571964.db2.gz CPKHUQKBJUIEQO-UHFFFAOYSA-N -1 1 321.768 1.589 20 0 DDADMM COCCCN(C(=O)c1nc(C)ccc1[O-])c1ncccc1N ZINC001195318689 746575725 /nfs/dbraw/zinc/57/57/25/746575725.db2.gz MQUKJLINXKITBS-UHFFFAOYSA-N -1 1 316.361 1.756 20 0 DDADMM COc1nc(Cl)cc(NC(=O)c2c[nH]c(=S)[n-]c2=O)n1 ZINC001196008425 746754284 /nfs/dbraw/zinc/75/42/84/746754284.db2.gz CAPPOWALLCSXKU-UHFFFAOYSA-N -1 1 313.726 1.175 20 0 DDADMM O=C(Nc1ccncc1C(F)(F)F)c1c[nH]c(=S)[n-]c1=O ZINC001196009093 746755063 /nfs/dbraw/zinc/75/50/63/746755063.db2.gz UYTXQCIOORYPGC-UHFFFAOYSA-N -1 1 316.264 1.559 20 0 DDADMM Cc1c2ccc(NC(=O)c3c[nH]c(=S)[n-]c3=O)cc2nn1C ZINC001196021901 746759466 /nfs/dbraw/zinc/75/94/66/746759466.db2.gz VEANUOPITXILMS-UHFFFAOYSA-N -1 1 315.358 1.918 20 0 DDADMM CC(C)(F)c1ncncc1CNC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196023530 746760265 /nfs/dbraw/zinc/76/02/65/746760265.db2.gz KUIHABNLISBSOJ-UHFFFAOYSA-N -1 1 323.353 1.396 20 0 DDADMM COC(=O)c1cscc1[N-]S(=O)(=O)Cc1cccnc1 ZINC001197421259 747159966 /nfs/dbraw/zinc/15/99/66/747159966.db2.gz FADKMGJLNDQAOA-UHFFFAOYSA-N -1 1 312.372 1.872 20 0 DDADMM COC(=O)C[N-]S(=O)(=O)Cc1cc(Cl)ccc1Cl ZINC001197540570 747194531 /nfs/dbraw/zinc/19/45/31/747194531.db2.gz UFZYCXVFAGDYIL-UHFFFAOYSA-N -1 1 312.174 1.586 20 0 DDADMM CSc1nc(NS(=O)(=O)Cc2ccccn2)cc(=O)[n-]1 ZINC001197783303 747262721 /nfs/dbraw/zinc/26/27/21/747262721.db2.gz SVZQUIDTIPKHHO-UHFFFAOYSA-N -1 1 312.376 1.241 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ncc(OC)cc2F)n1 ZINC001197800467 747268507 /nfs/dbraw/zinc/26/85/07/747268507.db2.gz WFIDMLMXJQALKA-UHFFFAOYSA-N -1 1 308.269 1.381 20 0 DDADMM CC(C)Cn1cnc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001198564372 747526923 /nfs/dbraw/zinc/52/69/23/747526923.db2.gz YSUARUAAGSIOAP-UHFFFAOYSA-N -1 1 306.282 1.391 20 0 DDADMM Cc1nccc(N2CCC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001061343744 747677563 /nfs/dbraw/zinc/67/75/63/747677563.db2.gz LOAPOSUBEIVAKR-LBPRGKRZSA-N -1 1 313.361 1.284 20 0 DDADMM Cc1cc(C(=O)NCCCC[P@](=O)([O-])O)n(CC(C)C)n1 ZINC001199092187 747698892 /nfs/dbraw/zinc/69/88/92/747698892.db2.gz NCJOOYXNQCNAOP-UHFFFAOYSA-N -1 1 317.326 1.535 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1c(F)c(F)ccc1OC ZINC001201912910 748638789 /nfs/dbraw/zinc/63/87/89/748638789.db2.gz RPTGVPZZXWOPEX-UHFFFAOYSA-N -1 1 323.317 1.668 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)/C=C/C1CC1 ZINC001004772290 748789375 /nfs/dbraw/zinc/78/93/75/748789375.db2.gz LHNUKFHVQWOPFG-GPTOWFIXSA-N -1 1 319.409 1.336 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001004949101 748955902 /nfs/dbraw/zinc/95/59/02/748955902.db2.gz FDVDKFBRHUMVQX-VEGXAWMVSA-N -1 1 315.373 1.305 20 0 DDADMM CCC(C)(CC)C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996648377 749834856 /nfs/dbraw/zinc/83/48/56/749834856.db2.gz ROTWRKJHDOVPMM-LLVKDONJSA-N -1 1 323.441 1.663 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])c1nccnc1F ZINC001095863010 750602251 /nfs/dbraw/zinc/60/22/51/750602251.db2.gz QSYITYYBQKZWLT-UHFFFAOYSA-N -1 1 319.340 1.363 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cncc3ccccc32)s1 ZINC000089765756 750729455 /nfs/dbraw/zinc/72/94/55/750729455.db2.gz CRGPUAOFPHFAPL-NSHDSACASA-N -1 1 300.343 1.520 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCCC1 ZINC001000675679 762079363 /nfs/dbraw/zinc/07/93/63/762079363.db2.gz OUIOFKFADOOAIT-YPMHNXCESA-N -1 1 321.425 1.417 20 0 DDADMM O=C(C1CCC=CCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035128009 751217379 /nfs/dbraw/zinc/21/73/79/751217379.db2.gz JDDCFNBBBUNZAJ-CYBMUJFWSA-N -1 1 319.409 1.337 20 0 DDADMM Cc1csc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000999102913 752530272 /nfs/dbraw/zinc/53/02/72/752530272.db2.gz NFAHPDWMEYPTSS-JTQLQIEISA-N -1 1 321.406 1.275 20 0 DDADMM CCC(CC)C(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036651727 752629336 /nfs/dbraw/zinc/62/93/36/752629336.db2.gz IRTNCYLWPWMYPE-CHWSQXEVSA-N -1 1 321.425 1.369 20 0 DDADMM CC1(C)CC[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000999234810 752682881 /nfs/dbraw/zinc/68/28/81/752682881.db2.gz ZXBAXZPERNHSAW-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@]1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC=CCC1 ZINC000999239772 752693917 /nfs/dbraw/zinc/69/39/17/752693917.db2.gz JPENENMXEDUEHZ-WBMJQRKESA-N -1 1 319.409 1.337 20 0 DDADMM CCC(=O)N1C[C@@H]2CCC[C@]2(CNC(=O)c2ncccc2[O-])C1 ZINC001008885240 752962099 /nfs/dbraw/zinc/96/20/99/752962099.db2.gz WJFVOYCZGHVPGQ-SJCJKPOMSA-N -1 1 317.389 1.556 20 0 DDADMM CN(C(=O)C(C)(C)F)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016168357 753212704 /nfs/dbraw/zinc/21/27/04/753212704.db2.gz RUWNGRRFALSPCD-UHFFFAOYSA-N -1 1 309.341 1.255 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H](C)C2CC2)C1 ZINC001005953679 753415850 /nfs/dbraw/zinc/41/58/50/753415850.db2.gz VYHMDHIOOQGIOE-QWRGUYRKSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC23CC3)C1 ZINC001005956188 753417369 /nfs/dbraw/zinc/41/73/69/753417369.db2.gz KEZMBIAQBTXJIN-CMPLNLGQSA-N -1 1 315.373 1.164 20 0 DDADMM CC(F)(F)CC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035773 754318990 /nfs/dbraw/zinc/31/89/90/754318990.db2.gz GJTDGAPWPKEGTD-UHFFFAOYSA-N -1 1 313.304 1.117 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ccoc1 ZINC001011487888 754554478 /nfs/dbraw/zinc/55/44/78/754554478.db2.gz PDOMINIZTWNKKT-CMPLNLGQSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011679440 754673560 /nfs/dbraw/zinc/67/35/60/754673560.db2.gz MRCWILFOLKBJLY-ZYHUDNBSSA-N -1 1 303.362 1.307 20 0 DDADMM Cc1ccc(N[C@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)nn1 ZINC001040106705 762386358 /nfs/dbraw/zinc/38/63/58/762386358.db2.gz LYIPGTMOFZMWDY-RYUDHWBXSA-N -1 1 313.361 1.601 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCCF)C1 ZINC001079655680 755504963 /nfs/dbraw/zinc/50/49/63/755504963.db2.gz LCLUTJWSNDVWOD-UHFFFAOYSA-N -1 1 309.341 1.210 20 0 DDADMM O=C(N[C@@]12CCC[C@H]1N(C(=O)C1CC1)CC2)c1ncccc1[O-] ZINC001014260191 755664626 /nfs/dbraw/zinc/66/46/26/755664626.db2.gz QSXUFJIBELEQKW-CXAGYDPISA-N -1 1 315.373 1.451 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001014896049 756004888 /nfs/dbraw/zinc/00/48/88/756004888.db2.gz VVNVDEXXHNMVNW-BELPRIPISA-N -1 1 317.389 1.863 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@@H]2CC2(C)C)C1 ZINC001016430343 757037663 /nfs/dbraw/zinc/03/76/63/757037663.db2.gz ISYBEMLBMKQMFR-MCIGGMRASA-N -1 1 317.389 1.553 20 0 DDADMM CSCC(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400361 757899726 /nfs/dbraw/zinc/89/97/26/757899726.db2.gz LXWANRYTZNCUIG-UHFFFAOYSA-N -1 1 321.402 1.039 20 0 DDADMM CSCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001018102213 758548578 /nfs/dbraw/zinc/54/85/78/758548578.db2.gz WOZGWQUVNVVRTH-WDEREUQCSA-N -1 1 323.418 1.260 20 0 DDADMM C[C@@H](Nc1ncccn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001065696896 758712767 /nfs/dbraw/zinc/71/27/67/758712767.db2.gz ASAZLDQEYBWFDI-VXGBXAGGSA-N -1 1 313.361 1.540 20 0 DDADMM Cc1nc(CN[C@H]2CCN(C(=O)c3ncccc3[O-])C2)oc1C ZINC001018750204 759219791 /nfs/dbraw/zinc/21/97/91/759219791.db2.gz IIRNGEKSPWOGHV-LBPRGKRZSA-N -1 1 316.361 1.396 20 0 DDADMM Cc1cc(C)cc(CCC(=O)N2CCOC[C@H]2c2nn[n-]n2)c1 ZINC000825132759 759225381 /nfs/dbraw/zinc/22/53/81/759225381.db2.gz JSDLVBMKTUOOHL-AWEZNQCLSA-N -1 1 315.377 1.349 20 0 DDADMM C[C@H]1CN(C(=O)C=C2CCCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054454731 759667693 /nfs/dbraw/zinc/66/76/93/759667693.db2.gz YUICZAPJHIKFFY-AAEUAGOBSA-N -1 1 319.409 1.337 20 0 DDADMM CC(C)C(C)(C)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001054707058 760001142 /nfs/dbraw/zinc/00/11/42/760001142.db2.gz UMCRUJUTAUKMOP-QWRGUYRKSA-N -1 1 309.414 1.129 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CC1(C)C ZINC001020192117 760667785 /nfs/dbraw/zinc/66/77/85/760667785.db2.gz AQNBRMXUULLWNH-TUAOUCFPSA-N -1 1 317.389 1.553 20 0 DDADMM Cc1nc(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])c(C)o1 ZINC001038194241 760908458 /nfs/dbraw/zinc/90/84/58/760908458.db2.gz PGMDNNPXRJPFKK-GFCCVEGCSA-N -1 1 316.361 1.396 20 0 DDADMM Cc1n[nH]c(C(=O)NCC2CCN(C/C=C\Cl)CC2)c1[O-] ZINC001001296020 762736641 /nfs/dbraw/zinc/73/66/41/762736641.db2.gz BTVBMRZECKOFFE-DJWKRKHSSA-N -1 1 312.801 1.618 20 0 DDADMM O=C(NCC1CN(C(=O)[C@]23C[C@H]2CCC3)C1)c1ncccc1[O-] ZINC001001542517 762947384 /nfs/dbraw/zinc/94/73/84/762947384.db2.gz UJQKEJLKYNQRPZ-SJKOYZFVSA-N -1 1 315.373 1.166 20 0 DDADMM Cc1cc(C)nc(N(C)C[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108946781 763160000 /nfs/dbraw/zinc/16/00/00/763160000.db2.gz TVYRRRVLRFCHAZ-LBPRGKRZSA-N -1 1 315.377 1.449 20 0 DDADMM Cc1cc(N2C[C@@H](C)[C@H](NC(=O)c3ncccc3[O-])C2)ncn1 ZINC001041875255 763434831 /nfs/dbraw/zinc/43/48/31/763434831.db2.gz FOQQPCKQBVXXGC-ZYHUDNBSSA-N -1 1 313.361 1.140 20 0 DDADMM CN(CCCNc1ncc(Cl)cn1)C(=O)c1ncccc1[O-] ZINC001109436978 763706766 /nfs/dbraw/zinc/70/67/66/763706766.db2.gz SGVXLWILMZTNSV-UHFFFAOYSA-N -1 1 321.768 1.805 20 0 DDADMM CC(C)=CC(=O)N[C@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531633 763718215 /nfs/dbraw/zinc/71/82/15/763718215.db2.gz UTXNOHXLWYIXRK-CHWSQXEVSA-N -1 1 317.389 1.720 20 0 DDADMM CC(C)=CC(=O)N[C@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531635 763718425 /nfs/dbraw/zinc/71/84/25/763718425.db2.gz UTXNOHXLWYIXRK-OLZOCXBDSA-N -1 1 317.389 1.720 20 0 DDADMM CC(C)CCN1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001050887051 764235047 /nfs/dbraw/zinc/23/50/47/764235047.db2.gz ARMOFVIFTSNQIB-CYBMUJFWSA-N -1 1 307.394 1.264 20 0 DDADMM C[C@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1nc(C2CCC2)ns1 ZINC001113356563 765605466 /nfs/dbraw/zinc/60/54/66/765605466.db2.gz JBJVGXSJRIOFQU-YUMQZZPRSA-N -1 1 321.410 1.543 20 0 DDADMM COC(=O)[C@@H](C)c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)nc1 ZINC001170227245 766200962 /nfs/dbraw/zinc/20/09/62/766200962.db2.gz IGYGLNNDUOGKQB-ZETCQYMHSA-N -1 1 314.305 1.474 20 0 DDADMM COc1ccc2ncnc(Nc3[n-]c(=O)nc4nc[nH]c43)c2n1 ZINC001170227044 766201025 /nfs/dbraw/zinc/20/10/25/766201025.db2.gz XSPZZALGBXUJAP-UHFFFAOYSA-N -1 1 310.277 1.149 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(Cc2csnn2)C1 ZINC001046262231 767319481 /nfs/dbraw/zinc/31/94/81/767319481.db2.gz NEBXHCVNRMWXHK-AWEZNQCLSA-N -1 1 319.390 1.033 20 0 DDADMM Cc1nsc(N[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)n1 ZINC001068821306 767638853 /nfs/dbraw/zinc/63/88/53/767638853.db2.gz ATHLWVULALBTSB-PSASIEDQSA-N -1 1 319.390 1.662 20 0 DDADMM CCC(CC)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952353 770335822 /nfs/dbraw/zinc/33/58/22/770335822.db2.gz LASMYSHBWWXFSI-WCQYABFASA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H](CC(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccccc1F ZINC001135391109 771475715 /nfs/dbraw/zinc/47/57/15/771475715.db2.gz SRBRZJURGJNNKG-SNVBAGLBSA-N -1 1 321.356 1.049 20 0 DDADMM O=C(NCc1nn[n-]n1)C(=O)c1cc(Cl)cc(Cl)c1 ZINC001143974812 772365417 /nfs/dbraw/zinc/36/54/17/772365417.db2.gz ZLCMZZWIZABNBA-UHFFFAOYSA-N -1 1 300.105 1.006 20 0 DDADMM C[C@]1(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)C[C@@H]2C=C[C@H]1C2 ZINC001144285269 772468355 /nfs/dbraw/zinc/46/83/55/772468355.db2.gz CUVTXYAQNSFFSE-DHDZUQTRSA-N -1 1 301.306 1.257 20 0 DDADMM O=C1CN(Cc2cc(F)c([O-])cc2F)CCN1c1ccccn1 ZINC001144663505 772589897 /nfs/dbraw/zinc/58/98/97/772589897.db2.gz FSLOMMHSOXSCKA-UHFFFAOYSA-N -1 1 319.311 1.914 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)[C@H](O)c1ccc(OC)cc1 ZINC001144773293 772618000 /nfs/dbraw/zinc/61/80/00/772618000.db2.gz SFNBIIJCUIYHRK-GFCCVEGCSA-N -1 1 319.317 1.267 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCc2ccc3c(c2)OCO3)c1[O-] ZINC001147832545 773259992 /nfs/dbraw/zinc/25/99/92/773259992.db2.gz RNAUDSLQZRFFDB-UHFFFAOYSA-N -1 1 316.313 1.247 20 0 DDADMM CCOC(=O)[C@H]1CCCCN1C(=O)c1c(CO)cnc(C)c1[O-] ZINC001147837937 773264200 /nfs/dbraw/zinc/26/42/00/773264200.db2.gz GJNLJSUWWPZFRP-GFCCVEGCSA-N -1 1 322.361 1.146 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC3(C[C@@H](F)CO3)CC2)c1[O-] ZINC001147838581 773264206 /nfs/dbraw/zinc/26/42/06/773264206.db2.gz TVYSQJPUGXOOHK-GFCCVEGCSA-N -1 1 324.352 1.321 20 0 DDADMM CSCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073676612 773295022 /nfs/dbraw/zinc/29/50/22/773295022.db2.gz SMJJALHUDYBVSU-MNOVXSKESA-N -1 1 323.418 1.117 20 0 DDADMM CCNC(=O)CN(C)C(=O)c1ccc(-n2[n-]c(C)cc2=O)cc1 ZINC001148326412 773436704 /nfs/dbraw/zinc/43/67/04/773436704.db2.gz NOTCTIKQYLMJII-UHFFFAOYSA-N -1 1 316.361 1.007 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CCCC(=O)CC3)cc2)[n-]1 ZINC001148329266 773438483 /nfs/dbraw/zinc/43/84/83/773438483.db2.gz MJNJWFSUMBOQOY-UHFFFAOYSA-N -1 1 313.357 1.994 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1ccc2nccn2c1 ZINC001148506373 773509468 /nfs/dbraw/zinc/50/94/68/773509468.db2.gz YQIJOOOZNZMZBT-UHFFFAOYSA-N -1 1 301.331 1.679 20 0 DDADMM O=C(Nc1cccnc1F)c1cc(S(=O)(=O)[O-])ccc1O ZINC001148915047 773633427 /nfs/dbraw/zinc/63/34/27/773633427.db2.gz IZZDFBWJKRFFPS-UHFFFAOYSA-N -1 1 312.278 1.425 20 0 DDADMM C[C@@H](CCNC(=O)C1CCCC1)NC(=O)c1ncccc1[O-] ZINC001075293613 774484740 /nfs/dbraw/zinc/48/47/40/774484740.db2.gz HMKMOEQTZDUOIP-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM Cc1nsc(N[C@@H](C)CCNC(=O)c2ncccc2[O-])n1 ZINC001099105112 774836158 /nfs/dbraw/zinc/83/61/58/774836158.db2.gz RBSUEKDWQMVKKO-QMMMGPOBSA-N -1 1 307.379 1.568 20 0 DDADMM C[C@H]1CC[C@H]([NH2+]CCP(=O)([O-])[O-])CN1C(=O)OC(C)(C)C ZINC001173058345 776967846 /nfs/dbraw/zinc/96/78/46/776967846.db2.gz QFGCDCCMKISTJR-QWRGUYRKSA-N -1 1 322.342 1.542 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc(N)c(N)c2)c(OC)c1 ZINC001173891134 777373737 /nfs/dbraw/zinc/37/37/37/777373737.db2.gz GDTUZDRHFQGZED-UHFFFAOYSA-N -1 1 323.374 1.669 20 0 DDADMM Cn1cc2cc([N-]S(=O)(=O)c3cccc(N)c3)ccc2n1 ZINC001175621585 777848618 /nfs/dbraw/zinc/84/86/18/777848618.db2.gz UMXCKUFGHBJFOS-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM Cc1nnc(SCC(=O)Nc2n[n-]c(C(F)(F)F)n2)s1 ZINC001176918889 778308300 /nfs/dbraw/zinc/30/83/00/778308300.db2.gz JYSNFEVMRWGQPL-UHFFFAOYSA-N -1 1 324.313 1.714 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1ccnc(C)n1 ZINC001103068223 778615907 /nfs/dbraw/zinc/61/59/07/778615907.db2.gz AJAVLTRCRHTJKO-NSHDSACASA-N -1 1 301.350 1.506 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cc(OC)cnc2F)n1 ZINC001178423916 779050628 /nfs/dbraw/zinc/05/06/28/779050628.db2.gz CXUQRUIRBBVBQA-UHFFFAOYSA-N -1 1 322.296 1.310 20 0 DDADMM Cn1cc(C[N@H+]2CC[C@@]3(CC(F)(F)C3(F)F)C2)c(C(=O)[O-])n1 ZINC001119540724 781502044 /nfs/dbraw/zinc/50/20/44/781502044.db2.gz PZAPKKDQTNCCTO-LLVKDONJSA-N -1 1 321.274 1.985 20 0 DDADMM Cn1cc(CN2CC[C@@]3(CC(F)(F)C3(F)F)C2)c(C(=O)[O-])n1 ZINC001119540724 781502049 /nfs/dbraw/zinc/50/20/49/781502049.db2.gz PZAPKKDQTNCCTO-LLVKDONJSA-N -1 1 321.274 1.985 20 0 DDADMM O=C(c1[nH]ccc1-c1ccccc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001119596911 781530275 /nfs/dbraw/zinc/53/02/75/781530275.db2.gz ZQYDSJKSQSYYHR-CYBMUJFWSA-N -1 1 324.344 1.409 20 0 DDADMM CC/C(C)=C\C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001266867869 836969815 /nfs/dbraw/zinc/96/98/15/836969815.db2.gz QMIGQSRKXIDQPX-KGTBHZDVSA-N -1 1 307.398 1.337 20 0 DDADMM O=C([N-][C@@H]1[C@H]2CC[C@H](C2)[C@@H]1C(=O)N1CC=CC1)C(F)(F)Cl ZINC001272024778 844350626 /nfs/dbraw/zinc/35/06/26/844350626.db2.gz GBDLTSYSPZZBCC-VPOLOUISSA-N -1 1 318.751 1.747 20 0 DDADMM CC(C)C(C)(C)C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409144997 844423745 /nfs/dbraw/zinc/42/37/45/844423745.db2.gz WQMMJPLOJMUZEF-UHFFFAOYSA-N -1 1 319.405 1.658 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@H](C)CC2)[n-]n1 ZINC001414246595 840526159 /nfs/dbraw/zinc/52/61/59/840526159.db2.gz IAAMKZAVTFIUBM-VHSXEESVSA-N -1 1 315.395 1.443 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@H](C)CC2)n[n-]1 ZINC001414246595 840526165 /nfs/dbraw/zinc/52/61/65/840526165.db2.gz IAAMKZAVTFIUBM-VHSXEESVSA-N -1 1 315.395 1.443 20 0 DDADMM CN1C[C@@H]2CN(C(=O)c3ccc4ccccc4c3[O-])C[C@H](C1)O2 ZINC001269554458 841759067 /nfs/dbraw/zinc/75/90/67/841759067.db2.gz BHNCBFASMSLTOV-OKILXGFUSA-N -1 1 312.369 1.700 20 0 DDADMM COCCn1c(=O)ccc2c1CC[C@@H]([N-]C(=O)C(F)(F)F)C2 ZINC001142767830 861254730 /nfs/dbraw/zinc/25/47/30/861254730.db2.gz DAQZWBGIIVLEFV-SNVBAGLBSA-N -1 1 318.295 1.031 20 0 DDADMM CN1CC[C@@]2(CCCN2C(=O)c2c([O-])cccc2Cl)C1=O ZINC001271647069 843751967 /nfs/dbraw/zinc/75/19/67/843751967.db2.gz GNPGXANXUSQPBN-HNNXBMFYSA-N -1 1 308.765 1.883 20 0 DDADMM CN1C(=O)C[C@]2(CCCN(C(=O)c3ccc(F)c([O-])c3)C2)C1=O ZINC001272228126 844784297 /nfs/dbraw/zinc/78/42/97/844784297.db2.gz YXUMFDOPIZYWBC-INIZCTEOSA-N -1 1 320.320 1.142 20 0 DDADMM C[C@@H]1C[C@@H](OCC[P@](=O)([O-])O)CCN1C(=O)OC(C)(C)C ZINC001224604920 844921725 /nfs/dbraw/zinc/92/17/25/844921725.db2.gz GVOVHTLNALFJDM-MNOVXSKESA-N -1 1 323.326 1.969 20 0 DDADMM COCc1n[nH]c2c1CN(C(=O)c1ccc3cccnc3c1[O-])C2 ZINC001149319218 861546024 /nfs/dbraw/zinc/54/60/24/861546024.db2.gz KOPPGCDANISPIN-UHFFFAOYSA-N -1 1 324.340 1.966 20 0 DDADMM NC(=O)c1cc(O)cc(NC(=O)c2ccc3cccnc3c2[O-])c1 ZINC001149328082 861551520 /nfs/dbraw/zinc/55/15/20/861551520.db2.gz YTKSHFVXIXDGBR-UHFFFAOYSA-N -1 1 323.308 1.997 20 0 DDADMM CCC1(C(=O)NC[C@H](C)CNC(=O)c2ncccc2[O-])CCC1 ZINC001409677908 845552444 /nfs/dbraw/zinc/55/24/44/845552444.db2.gz REPLWCTVRRYRRK-GFCCVEGCSA-N -1 1 319.405 1.850 20 0 DDADMM O=C(C1CCCC1)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272327829 846036642 /nfs/dbraw/zinc/03/66/42/846036642.db2.gz ADTATPYRKQDWTG-LLVKDONJSA-N -1 1 307.398 1.029 20 0 DDADMM Cc1cc(C[C@H](C)[N-]S(=O)(=O)c2c[nH]nc2Cl)[nH]n1 ZINC001365408240 846201343 /nfs/dbraw/zinc/20/13/43/846201343.db2.gz JRIJWDAAQOCTDW-ZETCQYMHSA-N -1 1 303.775 1.004 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1onc2c1CCCC2 ZINC001149499965 861661673 /nfs/dbraw/zinc/66/16/73/861661673.db2.gz CTRBGURCQUSDIA-CYBMUJFWSA-N -1 1 324.344 1.586 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001149500336 861661074 /nfs/dbraw/zinc/66/10/74/861661074.db2.gz KBWFYGSUWFEKJP-IDTUSYRASA-N -1 1 324.319 1.653 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccnc2ccc(O)cc21 ZINC001155139605 861765923 /nfs/dbraw/zinc/76/59/23/861765923.db2.gz JQZSPPKPOVPZHP-UHFFFAOYSA-N -1 1 322.284 1.565 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3cnccc3c2)n1 ZINC001128847090 847998580 /nfs/dbraw/zinc/99/85/80/847998580.db2.gz MXKOFRNKWAAXNK-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C1C[C@H](C)O[C@@H](C)C1 ZINC001434560569 848666115 /nfs/dbraw/zinc/66/61/15/848666115.db2.gz BVXSHYUCUSDMNN-YUMQZZPRSA-N -1 1 306.409 1.386 20 0 DDADMM C[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)C(C)(C)C ZINC001410291188 849027660 /nfs/dbraw/zinc/02/76/60/849027660.db2.gz TYHYUKPLPVOOGU-SECBINFHSA-N -1 1 320.393 1.340 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)ccc1F ZINC001410434941 849264093 /nfs/dbraw/zinc/26/40/93/849264093.db2.gz KCVHDOBHKFLDIJ-SNVBAGLBSA-N -1 1 321.356 1.208 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H]1CCC[C@@H](C)O1 ZINC001434589155 849432348 /nfs/dbraw/zinc/43/23/48/849432348.db2.gz QKJQPPYDMGZTDM-RKDXNWHRSA-N -1 1 306.409 1.388 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CCCCC1 ZINC001411202707 850389738 /nfs/dbraw/zinc/38/97/38/850389738.db2.gz ZHMOSZKVZAFEJI-GFCCVEGCSA-N -1 1 323.441 1.711 20 0 DDADMM CN(C=O)c1ccc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001193998327 851206526 /nfs/dbraw/zinc/20/65/26/851206526.db2.gz KOVPZDIKRPHQRM-UHFFFAOYSA-N -1 1 317.261 1.131 20 0 DDADMM Cc1n[nH]c2ccc(C(=O)N=c3ncnc4[nH][n-]c(C)c3-4)cc12 ZINC001155560824 862226725 /nfs/dbraw/zinc/22/67/25/862226725.db2.gz ONAWPDBWAPPKRM-UHFFFAOYSA-N -1 1 307.317 1.472 20 0 DDADMM Cc1n[nH]c2ccc(C(=O)NCCCC[P@](=O)([O-])O)cc12 ZINC001155575496 862246182 /nfs/dbraw/zinc/24/61/82/862246182.db2.gz HHGQIMSCIBRRDY-UHFFFAOYSA-N -1 1 311.278 1.559 20 0 DDADMM CC(C)(C)NC(=O)[C@H]1CC12CN(C(=O)c1ccc(F)c([O-])c1)C2 ZINC001275407006 853080666 /nfs/dbraw/zinc/08/06/66/853080666.db2.gz BHSKZMPJGWDNMQ-LLVKDONJSA-N -1 1 320.364 1.908 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C2(n3cccn3)CC2)[n-]c1Cl ZINC001411547377 853344260 /nfs/dbraw/zinc/34/42/60/853344260.db2.gz JVHKCJYSQKFGPI-UHFFFAOYSA-N -1 1 323.740 1.564 20 0 DDADMM CN(C(=O)[C@H]1CSCN1C(=O)c1cccc([O-])c1F)C1CC1 ZINC001411615495 853463962 /nfs/dbraw/zinc/46/39/62/853463962.db2.gz BDSVEOCEGMMKNX-LLVKDONJSA-N -1 1 324.377 1.667 20 0 DDADMM C[C@H](NC(=O)c1ccc([O-])cn1)c1ccc(NC(N)=O)cc1 ZINC001411643323 853510391 /nfs/dbraw/zinc/51/03/91/853510391.db2.gz GEZWSOVSIYCWBV-VIFPVBQESA-N -1 1 300.318 1.769 20 0 DDADMM CCCC[C@@H](O)CCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001412050310 854152053 /nfs/dbraw/zinc/15/20/53/854152053.db2.gz YHVCFKIHRGAFSS-MNOVXSKESA-N -1 1 313.427 1.148 20 0 DDADMM CC[C@H](C)N(CC(=O)OC)C(=O)c1cnc(SC)[n-]c1=O ZINC001412111005 854229668 /nfs/dbraw/zinc/22/96/68/854229668.db2.gz VZXWRYBSKISZQX-QMMMGPOBSA-N -1 1 313.379 1.318 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC001412153374 854273137 /nfs/dbraw/zinc/27/31/37/854273137.db2.gz AOHXIBYDSGCDPK-GXSJLCMTSA-N -1 1 305.334 1.157 20 0 DDADMM CS(=O)(=O)c1cc(C(=O)Nc2cc([O-])c(F)cc2F)co1 ZINC001412207572 854331522 /nfs/dbraw/zinc/33/15/22/854331522.db2.gz JJGDUKNUPSLJBJ-UHFFFAOYSA-N -1 1 317.269 1.919 20 0 DDADMM COc1c[nH]nc1NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC001412300731 854422489 /nfs/dbraw/zinc/42/24/89/854422489.db2.gz ZLNYAMYXTGXGKA-UHFFFAOYSA-N -1 1 305.338 1.772 20 0 DDADMM CSCC[C@H](NC(=O)c1ccn(C(C)C)n1)c1nn[n-]n1 ZINC001412364621 854475760 /nfs/dbraw/zinc/47/57/60/854475760.db2.gz AGAAYOXWVPXNKM-VIFPVBQESA-N -1 1 309.399 1.201 20 0 DDADMM CC(C)(CNC(=O)c1c([O-])cnc2c(F)cccc21)C(N)=O ZINC001412425884 854534924 /nfs/dbraw/zinc/53/49/24/854534924.db2.gz AJXNRWLKQTVZOK-UHFFFAOYSA-N -1 1 305.309 1.321 20 0 DDADMM CCOC(=O)Nc1ccc(C(=O)Nc2nnn[n-]2)c(Cl)c1 ZINC001412582717 854753514 /nfs/dbraw/zinc/75/35/14/854753514.db2.gz ZEVGEIKUWBATPI-UHFFFAOYSA-N -1 1 310.701 1.674 20 0 DDADMM CCOC(=O)Nc1ccc(C(=O)Nc2nn[n-]n2)c(Cl)c1 ZINC001412582717 854753520 /nfs/dbraw/zinc/75/35/20/854753520.db2.gz ZEVGEIKUWBATPI-UHFFFAOYSA-N -1 1 310.701 1.674 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cc(C2CCCCCC2)[nH]n1 ZINC001412597053 854788559 /nfs/dbraw/zinc/78/85/59/854788559.db2.gz VVSOCXMSCLVTNQ-UHFFFAOYSA-N -1 1 303.370 1.932 20 0 DDADMM COC[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1)c1nn[n-]n1 ZINC001412612754 854811885 /nfs/dbraw/zinc/81/18/85/854811885.db2.gz TVVGBZIFSYVLLW-BFHYXJOUSA-N -1 1 315.377 1.424 20 0 DDADMM NC(=O)c1cc2c([nH]1)CN(C(=O)c1cc([O-])cc(F)c1)CC2 ZINC001276183560 854921526 /nfs/dbraw/zinc/92/15/26/854921526.db2.gz QIQYSIVZZHTXGE-UHFFFAOYSA-N -1 1 303.293 1.157 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H]1CCCN1C(=O)CCCc1nn[n-]n1 ZINC001412691515 854969086 /nfs/dbraw/zinc/96/90/86/854969086.db2.gz OJVJVXMTXSYMRG-NSHDSACASA-N -1 1 303.370 1.226 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC[C@@H](Cn2ccnn2)C1 ZINC001412728539 855036507 /nfs/dbraw/zinc/03/65/07/855036507.db2.gz WIBJVAQARRGZCK-LLVKDONJSA-N -1 1 304.325 1.675 20 0 DDADMM COC[C@H](NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(=O)OC ZINC001412754528 855148729 /nfs/dbraw/zinc/14/87/29/855148729.db2.gz WWJIIIUOCJJQQF-VIFPVBQESA-N -1 1 321.251 1.329 20 0 DDADMM COC(=O)c1csc(NC(=O)CCCc2nn[n-]n2)c1C ZINC001412767926 855239541 /nfs/dbraw/zinc/23/95/41/855239541.db2.gz GMVMBWAEGRTZHD-UHFFFAOYSA-N -1 1 309.351 1.318 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001151212362 862683117 /nfs/dbraw/zinc/68/31/17/862683117.db2.gz HAIBKRAVROFHFK-YPMHNXCESA-N -1 1 304.346 1.158 20 0 DDADMM CC(=O)c1cncc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC001412875184 855746850 /nfs/dbraw/zinc/74/68/50/855746850.db2.gz PULAOJHMWRRSCE-SNVBAGLBSA-N -1 1 316.317 1.393 20 0 DDADMM CC1=NO[C@H](C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)C1 ZINC001413191629 856534152 /nfs/dbraw/zinc/53/41/52/856534152.db2.gz FINCTPQMIBHHCA-GUBZILKMSA-N -1 1 321.299 1.209 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(C3CC3)n[nH]2)[n-]1 ZINC001413266676 856587653 /nfs/dbraw/zinc/58/76/53/856587653.db2.gz BZDOVSSCZBUTPD-ZETCQYMHSA-N -1 1 318.337 1.073 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(C3CC3)n[nH]2)n[n-]1 ZINC001413266676 856587657 /nfs/dbraw/zinc/58/76/57/856587657.db2.gz BZDOVSSCZBUTPD-ZETCQYMHSA-N -1 1 318.337 1.073 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(C3CC3)n[nH]2)n1 ZINC001413266676 856587662 /nfs/dbraw/zinc/58/76/62/856587662.db2.gz BZDOVSSCZBUTPD-ZETCQYMHSA-N -1 1 318.337 1.073 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC2([C@@H](O)C(C)C)CC2)sn1 ZINC001413323453 856651524 /nfs/dbraw/zinc/65/15/24/856651524.db2.gz RFQMXMHSCCDYCQ-NSHDSACASA-N -1 1 320.436 1.227 20 0 DDADMM CCC(C)(C)[C@H](O)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001413326749 856657169 /nfs/dbraw/zinc/65/71/69/856657169.db2.gz AEBNPWVTHBNHRO-NWDGAFQWSA-N -1 1 303.366 1.202 20 0 DDADMM CCC(=O)c1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC001413387356 856741427 /nfs/dbraw/zinc/74/14/27/856741427.db2.gz MTHXBJSZPAKQEM-GFCCVEGCSA-N -1 1 315.333 1.006 20 0 DDADMM O=C(c1ccc(O)cn1)N1CC2(CN(Cc3ccccc3)C2)C1 ZINC001413408095 856774934 /nfs/dbraw/zinc/77/49/34/856774934.db2.gz XPYZQCQZOXELMR-UHFFFAOYSA-N -1 1 309.369 1.745 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc(C(C)(C)C)n[nH]1 ZINC001413501013 856896084 /nfs/dbraw/zinc/89/60/84/856896084.db2.gz BHZZMCWMCWGEEM-UHFFFAOYSA-N -1 1 305.386 1.519 20 0 DDADMM O=C(c1coc(C2CCC2)n1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001413555030 857058202 /nfs/dbraw/zinc/05/82/02/857058202.db2.gz BREFCWSGYXEXRB-SNVBAGLBSA-N -1 1 316.365 1.550 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)n[nH]1 ZINC001413674282 857315771 /nfs/dbraw/zinc/31/57/71/857315771.db2.gz LDWQIVBNEDTJAB-WDEREUQCSA-N -1 1 317.349 1.451 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc2ccccc2cn1 ZINC001151700158 862924654 /nfs/dbraw/zinc/92/46/54/862924654.db2.gz YIIBCNDOPHCJOI-UHFFFAOYSA-N -1 1 306.285 1.859 20 0 DDADMM O=C(c1ncccc1O)N1CC2(C1)CCN(C/C=C\Cl)C2 ZINC001072656280 857560525 /nfs/dbraw/zinc/56/05/25/857560525.db2.gz VUOAPEHIRHNFQJ-DJWKRKHSSA-N -1 1 307.781 1.688 20 0 DDADMM CC1(NC(=O)C(F)F)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072969230 857948182 /nfs/dbraw/zinc/94/81/82/857948182.db2.gz RLBVOSFGDCZSRF-UHFFFAOYSA-N -1 1 313.304 1.163 20 0 DDADMM O=C([O-])Cc1nccnc1NCC1CN(Cc2ccccc2)C1 ZINC001156403446 863014162 /nfs/dbraw/zinc/01/41/62/863014162.db2.gz WQNHBZREQYQFAV-UHFFFAOYSA-N -1 1 312.373 1.648 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1cccc(F)c1 ZINC001121768272 858592061 /nfs/dbraw/zinc/59/20/61/858592061.db2.gz GJDORLACRYFBQQ-UHFFFAOYSA-N -1 1 302.317 1.254 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1cccc(F)c1 ZINC001121768272 858592064 /nfs/dbraw/zinc/59/20/64/858592064.db2.gz GJDORLACRYFBQQ-UHFFFAOYSA-N -1 1 302.317 1.254 20 0 DDADMM CCn1c(-c2nc(Cl)n[n-]2)nnc1N(C)CCn1cccn1 ZINC001121770007 858592232 /nfs/dbraw/zinc/59/22/32/858592232.db2.gz NWFRLGVNLHVWGB-UHFFFAOYSA-N -1 1 321.776 1.069 20 0 DDADMM COc1ccc(-c2noc(-c3nc(Br)n[n-]3)n2)nc1 ZINC001213002318 863056955 /nfs/dbraw/zinc/05/69/55/863056955.db2.gz ZIKJHZLAZJCZRR-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM COc1ccc(-c2noc(-c3n[n-]c(Br)n3)n2)nc1 ZINC001213002318 863056971 /nfs/dbraw/zinc/05/69/71/863056971.db2.gz ZIKJHZLAZJCZRR-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM CC[C@H](C)C(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001381264998 881398698 /nfs/dbraw/zinc/39/86/98/881398698.db2.gz LVZHOHRKCFQXJO-AAEUAGOBSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2 ZINC001123766369 859403919 /nfs/dbraw/zinc/40/39/19/859403919.db2.gz MKIXOUFKQGVSGX-JMJZKYOTSA-N -1 1 321.402 1.467 20 0 DDADMM O=C(c1ccc(Cc2ccccc2)[nH]1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123869105 859447395 /nfs/dbraw/zinc/44/73/95/859447395.db2.gz LRNCQFDUODAJAE-CYBMUJFWSA-N -1 1 322.372 1.748 20 0 DDADMM O=C(c1ccnc(-n2cccc2)c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123962012 859499466 /nfs/dbraw/zinc/49/94/66/859499466.db2.gz PUCCVRJKLQXFAN-GFCCVEGCSA-N -1 1 309.333 1.015 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H](OCC[P@](=O)([O-])O)[C@H]1C2 ZINC001224603227 881462637 /nfs/dbraw/zinc/46/26/37/881462637.db2.gz WMRHTGMSNJBEJG-HBNTYKKESA-N -1 1 321.310 1.579 20 0 DDADMM C[C@@H]1C[C@@H](OCC[P@](=O)([O-])O)CN1C(=O)OC(C)(C)C ZINC001224606788 881466259 /nfs/dbraw/zinc/46/62/59/881466259.db2.gz RVXBDACYEDRPRZ-NXEZZACHSA-N -1 1 309.299 1.579 20 0 DDADMM C/C=C\C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)OCC ZINC001138133582 860011753 /nfs/dbraw/zinc/01/17/53/860011753.db2.gz MPHBDPKALFINGQ-DXTPNHAXSA-N -1 1 305.330 1.589 20 0 DDADMM COC(=O)[C@@H]1COCCC12CN(Cc1ccccc1C(=O)[O-])C2 ZINC001140503249 860647457 /nfs/dbraw/zinc/64/74/57/860647457.db2.gz RKADPCQUNOBOBM-AWEZNQCLSA-N -1 1 319.357 1.396 20 0 DDADMM O=C([O-])c1ccc(CN2CC[C@H]3[C@@H]2CCC(=O)N3CC2CC2)o1 ZINC001140943651 860742338 /nfs/dbraw/zinc/74/23/38/860742338.db2.gz WPSFAQCTAXEQKX-KBPBESRZSA-N -1 1 318.373 1.953 20 0 DDADMM CN(Cc1noc(C2CC2)n1)C(=O)C(=O)c1ccc([O-])cc1 ZINC001142155174 861041895 /nfs/dbraw/zinc/04/18/95/861041895.db2.gz NKXPCEPZNBIVAI-UHFFFAOYSA-N -1 1 301.302 1.494 20 0 DDADMM Cc1cc(C)c(NC(=O)CN(C)C(C)C)c(S(=O)(=O)[O-])c1 ZINC001142588258 861189868 /nfs/dbraw/zinc/18/98/68/861189868.db2.gz MPDCMGOHJCPOHZ-UHFFFAOYSA-N -1 1 314.407 1.829 20 0 DDADMM Cc1ccoc1CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC001152169771 863197584 /nfs/dbraw/zinc/19/75/84/863197584.db2.gz ZEPBEQBPMPNXMV-UHFFFAOYSA-N -1 1 310.331 1.122 20 0 DDADMM Cc1ccnc(CNC(=O)c2cc(=O)c3cccc(O)c3[n-]2)n1 ZINC001152670481 863482256 /nfs/dbraw/zinc/48/22/56/863482256.db2.gz WKRJRUCVUJLWEE-UHFFFAOYSA-N -1 1 310.313 1.674 20 0 DDADMM Cn1ccc2ccc(NC(=O)CCCc3nn[n-]n3)cc2c1=O ZINC001157154710 863620080 /nfs/dbraw/zinc/62/00/80/863620080.db2.gz DINREMDVHYQZSV-UHFFFAOYSA-N -1 1 312.333 1.013 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1c[nH]c2cccnc12 ZINC001153239358 863779708 /nfs/dbraw/zinc/77/97/08/863779708.db2.gz PFUARAIOVDVXBY-UHFFFAOYSA-N -1 1 300.234 1.414 20 0 DDADMM O=C([O-])[C@@H](NCc1cccnc1Br)[C@@H]1CCCO1 ZINC001329682597 863789408 /nfs/dbraw/zinc/78/94/08/863789408.db2.gz RHSVIFFSPDKFRW-UWVGGRQHSA-N -1 1 315.167 1.566 20 0 DDADMM Cn1ncc2cccc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)c21 ZINC001153670922 864009388 /nfs/dbraw/zinc/00/93/88/864009388.db2.gz CGPDHXRCBXRCNY-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM Cc1cc(F)cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1N ZINC001157659580 864025742 /nfs/dbraw/zinc/02/57/42/864025742.db2.gz GNAHUGQKFRAEFK-UHFFFAOYSA-N -1 1 320.324 1.825 20 0 DDADMM Cc1cc2c(c(Nc3cccn(CC4CC4)c3=O)n1)C(=O)[N-]C2=O ZINC001158534978 864709154 /nfs/dbraw/zinc/70/91/54/864709154.db2.gz LVOHWYRRSGJQGA-UHFFFAOYSA-N -1 1 324.340 1.589 20 0 DDADMM CC(C)Oc1cnccc1NC(=O)C(=O)c1ccc([O-])cc1 ZINC001158799484 864911170 /nfs/dbraw/zinc/91/11/70/864911170.db2.gz YIVLRBXEQFNEAM-UHFFFAOYSA-N -1 1 300.314 1.818 20 0 DDADMM CN(C(=O)c1cc(C2CC2)nn1-c1ccccc1)c1nn[n-]n1 ZINC001331464160 865102903 /nfs/dbraw/zinc/10/29/03/865102903.db2.gz YOISGTCULSCYDB-UHFFFAOYSA-N -1 1 309.333 1.539 20 0 DDADMM CC(=O)c1cc(C(=O)N(C)c2nn[n-]n2)ccc1OC(C)C ZINC001361554758 881885719 /nfs/dbraw/zinc/88/57/19/881885719.db2.gz OTIOXGHWMQAIPG-UHFFFAOYSA-N -1 1 303.322 1.466 20 0 DDADMM CCCCOc1ccc(C(=O)N(C)c2nn[n-]n2)cc1OC ZINC001332195695 865655758 /nfs/dbraw/zinc/65/57/58/865655758.db2.gz JRWNRJVOTZSMAD-UHFFFAOYSA-N -1 1 305.338 1.664 20 0 DDADMM COCCOc1ccc(CNCc2cn(C)nc2C(=O)[O-])cc1 ZINC001332420777 865829189 /nfs/dbraw/zinc/82/91/89/865829189.db2.gz RASICFCNYICIPV-UHFFFAOYSA-N -1 1 319.361 1.433 20 0 DDADMM COc1cc(OC)c(NC(=O)CCc2nn[n-]n2)cc1Cl ZINC001361590529 881958914 /nfs/dbraw/zinc/95/89/14/881958914.db2.gz WMFJJTLCBORLDF-UHFFFAOYSA-N -1 1 311.729 1.442 20 0 DDADMM O=S(=O)([N-][C@@H]1C=C[C@H](CO)C1)c1cnc(Cl)cc1Cl ZINC001319896513 866368966 /nfs/dbraw/zinc/36/89/66/866368966.db2.gz SRKXFXVHHCELMW-JGVFFNPUSA-N -1 1 323.201 1.604 20 0 DDADMM Cc1nn(C)c2nc(C3CC3)cc(C(=O)Nc3c[n-][nH]c3=O)c12 ZINC001161384153 866611786 /nfs/dbraw/zinc/61/17/86/866611786.db2.gz HZNZJJGYFCRYBU-UHFFFAOYSA-N -1 1 312.333 1.835 20 0 DDADMM CC1=CCCN(C(=O)C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC001333370814 866679838 /nfs/dbraw/zinc/67/98/38/866679838.db2.gz OTOYXYKIBFTGNL-UHFFFAOYSA-N -1 1 324.327 1.508 20 0 DDADMM O=C(Nc1cnn(Cc2cccnc2)c1)C(=O)c1ccc([O-])cc1 ZINC001323594442 866709732 /nfs/dbraw/zinc/70/97/32/866709732.db2.gz WPTHGZKMHKQDGR-UHFFFAOYSA-N -1 1 322.324 1.853 20 0 DDADMM C[C@H](NC/C=C\CNC(=O)c1ncccc1[O-])c1ncccn1 ZINC001321026319 867228864 /nfs/dbraw/zinc/22/88/64/867228864.db2.gz AQJVDMANJITORQ-DLGQBQFBSA-N -1 1 313.361 1.214 20 0 DDADMM O=C(Nc1cc2c(cc1Cl)OCCO2)c1cnncc1[O-] ZINC001361647901 882080502 /nfs/dbraw/zinc/08/05/02/882080502.db2.gz PKQZFOJHLYSUBB-UHFFFAOYSA-N -1 1 307.693 1.859 20 0 DDADMM COc1nccc(CNCCSC[C@H](C)C(=O)[O-])c1F ZINC001334408028 867547736 /nfs/dbraw/zinc/54/77/36/867547736.db2.gz QNUNILRKHIYOLQ-VIFPVBQESA-N -1 1 302.371 1.773 20 0 DDADMM O=C([O-])[C@@H](O)CCNCc1c(F)cccc1Br ZINC001334843641 867860613 /nfs/dbraw/zinc/86/06/13/867860613.db2.gz ARXIFYPFVDAHID-JTQLQIEISA-N -1 1 306.131 1.513 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2noc(-c3ccccc3)n2)n[n-]1 ZINC001322359796 868132184 /nfs/dbraw/zinc/13/21/84/868132184.db2.gz WCXINURAPBMTPB-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CC1=CCCOC1 ZINC001322568368 868250762 /nfs/dbraw/zinc/25/07/62/868250762.db2.gz YDFSIXQMITUQEZ-UHFFFAOYSA-N -1 1 319.329 1.598 20 0 DDADMM CCCCCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163423246 868440122 /nfs/dbraw/zinc/44/01/22/868440122.db2.gz MMRXDFHRSDJBSX-UHFFFAOYSA-N -1 1 309.414 1.467 20 0 DDADMM Cc1cc2c(c(N3CCC(=O)[C@@H]4CCCC[C@H]43)n1)C(=O)[N-]C2=O ZINC001163791568 868708178 /nfs/dbraw/zinc/70/81/78/868708178.db2.gz BDCXQUXLHXESQM-ZYHUDNBSSA-N -1 1 313.357 1.612 20 0 DDADMM CO[C@@H]1CCC[C@@H]1C(=O)[N-]OC[C@H](C)NC(=O)OC(C)(C)C ZINC001336420578 868935711 /nfs/dbraw/zinc/93/57/11/868935711.db2.gz PSSCVYQEGHLQAE-SDDRHHMPSA-N -1 1 316.398 1.763 20 0 DDADMM COc1nc([N-][C@@H](c2cccnc2)C(F)(F)F)nc(OC)n1 ZINC001164174133 868992847 /nfs/dbraw/zinc/99/28/47/868992847.db2.gz NKYBUXGFPHRZLM-QMMMGPOBSA-N -1 1 315.255 1.421 20 0 DDADMM O=C(Nc1ccc(Nc2ccncc2)cc1)c1n[nH]c(=O)[n-]c1=O ZINC001164424883 869186756 /nfs/dbraw/zinc/18/67/56/869186756.db2.gz GXOPBEHQWCSWKM-UHFFFAOYSA-N -1 1 324.300 1.096 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC[C@@H]1C=CCC1 ZINC001336947730 869230609 /nfs/dbraw/zinc/23/06/09/869230609.db2.gz MNSOTPHKVWETSS-SNVBAGLBSA-N -1 1 304.350 1.020 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H](n3ccnc3)C2)c(=O)[n-]1 ZINC001361765542 882322114 /nfs/dbraw/zinc/32/21/14/882322114.db2.gz GENWAORJRBMTEE-SNVBAGLBSA-N -1 1 319.390 1.578 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@@H]1c1cccs1 ZINC001338072129 869784152 /nfs/dbraw/zinc/78/41/52/869784152.db2.gz BFOPIIGQRSABNY-MRVPVSSYSA-N -1 1 302.367 1.398 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@@H]1c1cccs1 ZINC001338072129 869784158 /nfs/dbraw/zinc/78/41/58/869784158.db2.gz BFOPIIGQRSABNY-MRVPVSSYSA-N -1 1 302.367 1.398 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CCC[C@H]1c1ccsc1 ZINC001338123028 869817724 /nfs/dbraw/zinc/81/77/24/869817724.db2.gz PXONLOHOFJFTNC-JTQLQIEISA-N -1 1 316.394 1.322 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCC[C@H]1c1ccsc1 ZINC001338123028 869817734 /nfs/dbraw/zinc/81/77/34/869817734.db2.gz PXONLOHOFJFTNC-JTQLQIEISA-N -1 1 316.394 1.322 20 0 DDADMM CCc1nc(C)cc(N2CCC([N-]C(=O)C(F)(F)F)CC2)n1 ZINC001166895681 870347095 /nfs/dbraw/zinc/34/70/95/870347095.db2.gz SYJUJRNYVHFPQX-UHFFFAOYSA-N -1 1 316.327 1.995 20 0 DDADMM CC(C)(C)c1csc(CCNCc2cn(CC(=O)[O-])nn2)n1 ZINC001339169292 870384627 /nfs/dbraw/zinc/38/46/27/870384627.db2.gz WCYAPWMXBYTFEN-UHFFFAOYSA-N -1 1 323.422 1.449 20 0 DDADMM CC(C)=CC(=O)N[C@H]1CC[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001339641909 870598222 /nfs/dbraw/zinc/59/82/22/870598222.db2.gz MAVTXXZXNDTZLL-OLZOCXBDSA-N -1 1 317.389 1.863 20 0 DDADMM CC(C)=CC(=O)N[C@@H]1CC[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001339641906 870598855 /nfs/dbraw/zinc/59/88/55/870598855.db2.gz MAVTXXZXNDTZLL-CHWSQXEVSA-N -1 1 317.389 1.863 20 0 DDADMM CSc1nc(CNC(=O)c2c(N)ccc(F)c2C)cc(=O)[n-]1 ZINC001299998280 870951683 /nfs/dbraw/zinc/95/16/83/870951683.db2.gz GIBJKSLIUYEANH-UHFFFAOYSA-N -1 1 322.365 1.864 20 0 DDADMM CN(CCc1ncon1)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001304030213 871179035 /nfs/dbraw/zinc/17/90/35/871179035.db2.gz SMDSHWMBUMJXDL-UHFFFAOYSA-N -1 1 313.313 1.949 20 0 DDADMM c1ccc(Oc2ccc(C[N@H+]3CC[C@@H](c4nn[nH]n4)C3)nn2)cc1 ZINC001308032936 871463232 /nfs/dbraw/zinc/46/32/32/871463232.db2.gz FSYQAYJMLSXAJA-GFCCVEGCSA-N -1 1 323.360 1.772 20 0 DDADMM CCCc1ccccc1OS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001309735581 871596346 /nfs/dbraw/zinc/59/63/46/871596346.db2.gz VHPYENQZTDBLDM-UHFFFAOYSA-N -1 1 324.358 1.917 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nnc(-c3cccn3C)o2)co1 ZINC001341507200 871686251 /nfs/dbraw/zinc/68/62/51/871686251.db2.gz WTHMXHUBBOXLQX-UHFFFAOYSA-N -1 1 308.319 1.243 20 0 DDADMM CN(C)C(=O)[C@@H]1CSCN1C(=O)c1cc(Cl)ccc1[O-] ZINC001361875099 882551964 /nfs/dbraw/zinc/55/19/64/882551964.db2.gz GRALXYVTFZLJMC-JTQLQIEISA-N -1 1 314.794 1.649 20 0 DDADMM CCOCCC[N-]S(=O)(=O)c1c(F)cc(F)cc1OC ZINC001318712601 872222563 /nfs/dbraw/zinc/22/25/63/872222563.db2.gz BWJHKCMGTFMSQW-UHFFFAOYSA-N -1 1 309.334 1.678 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2ccccc2[C@H]1C ZINC001342588870 872279382 /nfs/dbraw/zinc/27/93/82/872279382.db2.gz GGHROIHPBAEPQS-SNVBAGLBSA-N -1 1 310.365 1.602 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2ccccc2[C@H]1C ZINC001342588870 872279400 /nfs/dbraw/zinc/27/94/00/872279400.db2.gz GGHROIHPBAEPQS-SNVBAGLBSA-N -1 1 310.365 1.602 20 0 DDADMM N[C@H]1CN(Cc2cn(CC(=O)[O-])c3ccccc23)CCC1(F)F ZINC001206667145 872499607 /nfs/dbraw/zinc/49/96/07/872499607.db2.gz GPCWIIQQIVXUDH-AWEZNQCLSA-N -1 1 323.343 1.894 20 0 DDADMM C[C@H](NC(=O)c1cccc([O-])c1F)C(=O)NCc1ccccc1 ZINC001361908513 882619698 /nfs/dbraw/zinc/61/96/98/882619698.db2.gz NSRTUTKPIUZKGQ-NSHDSACASA-N -1 1 316.332 1.966 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCO[C@@H](C(F)(F)F)C1 ZINC001346055115 873602918 /nfs/dbraw/zinc/60/29/18/873602918.db2.gz VPEHGUZUZBKKHZ-ZCFIWIBFSA-N -1 1 323.296 1.183 20 0 DDADMM CN(C)c1ccc(CCNC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC001346436718 873753259 /nfs/dbraw/zinc/75/32/59/873753259.db2.gz SRMVNAZEGWUNPV-UHFFFAOYSA-N -1 1 312.369 2.000 20 0 DDADMM O=C(c1ccccc1-n1cccc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347199678 874052157 /nfs/dbraw/zinc/05/21/57/874052157.db2.gz YNGKLQBTLKQWPC-LBPRGKRZSA-N -1 1 308.345 1.620 20 0 DDADMM O=C(c1nsc2ccccc21)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347475311 874155407 /nfs/dbraw/zinc/15/54/07/874155407.db2.gz SLNMXEMZUDNENU-QMMMGPOBSA-N -1 1 300.347 1.439 20 0 DDADMM CC(C)(C)OC(=O)NC(C)(C)CNC(=O)c1ccc([O-])cn1 ZINC001362010615 882817137 /nfs/dbraw/zinc/81/71/37/882817137.db2.gz QDQJFZVWSQBIGR-UHFFFAOYSA-N -1 1 309.366 1.820 20 0 DDADMM C[C@@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)C1CC(C)(C)C1)C1CC1 ZINC001378393564 874800018 /nfs/dbraw/zinc/80/00/18/874800018.db2.gz AGXNTVJIVQCQKA-INIZCTEOSA-N -1 1 321.425 1.321 20 0 DDADMM C[C@@H]1CCC[C@@]1(C)C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348839362 874820984 /nfs/dbraw/zinc/82/09/84/874820984.db2.gz WQWOQWCRDSYKJG-BDJLRTHQSA-N -1 1 305.378 1.460 20 0 DDADMM Cc1nc([N-]C(=O)c2nc(C)c(Br)s2)n[nH]1 ZINC001362028679 882867926 /nfs/dbraw/zinc/86/79/26/882867926.db2.gz FKBFJBCVSVWNBI-UHFFFAOYSA-N -1 1 302.157 1.893 20 0 DDADMM CCCC1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001209554181 874964050 /nfs/dbraw/zinc/96/40/50/874964050.db2.gz YOZFCKFGXATSOD-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM CCOC(=O)[C@H](Oc1cc([O-])ccc1C(=O)COC)C1CC1 ZINC001227169926 882891296 /nfs/dbraw/zinc/89/12/96/882891296.db2.gz AOHIWJALPUZGIH-OAHLLOKOSA-N -1 1 308.330 1.942 20 0 DDADMM Cc1ccc(C(=O)NCCCNC(=O)c2ncccc2[O-])o1 ZINC001349660232 875288937 /nfs/dbraw/zinc/28/89/37/875288937.db2.gz NWWOOAMFQHZILL-UHFFFAOYSA-N -1 1 303.318 1.239 20 0 DDADMM CC(C)(O)COc1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001362054606 882927286 /nfs/dbraw/zinc/92/72/86/882927286.db2.gz NKGRYVZATJZKAX-UHFFFAOYSA-N -1 1 319.365 1.311 20 0 DDADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](F)C(C)C ZINC001378876092 875823854 /nfs/dbraw/zinc/82/38/54/875823854.db2.gz UNUPGVKACNUHPQ-JQWIXIFHSA-N -1 1 315.393 1.125 20 0 DDADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H](F)C(C)C ZINC001378876091 875824899 /nfs/dbraw/zinc/82/48/99/875824899.db2.gz UNUPGVKACNUHPQ-CMPLNLGQSA-N -1 1 315.393 1.125 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001381935261 882991701 /nfs/dbraw/zinc/99/17/01/882991701.db2.gz TWIXUAOUAUZSJF-GHMZBOCLSA-N -1 1 305.378 1.410 20 0 DDADMM Nc1nc2nc(CN[C@@H](c3ccccc3)C3CC3)cc(=O)n2[n-]1 ZINC001351139616 876074962 /nfs/dbraw/zinc/07/49/62/876074962.db2.gz FTZZRLPCJRYHPE-AWEZNQCLSA-N -1 1 310.361 1.241 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC[C@H](C)[S@](C)=O ZINC001362090993 883011062 /nfs/dbraw/zinc/01/10/62/883011062.db2.gz SHGAFVDFOCZASK-JKNYTWMOSA-N -1 1 317.436 1.099 20 0 DDADMM Cc1cnc(F)c([C@H](C)Oc2nc3[nH]c(=O)[nH]c(=O)c3[n-]2)c1 ZINC001227431363 883014280 /nfs/dbraw/zinc/01/42/80/883014280.db2.gz BUVVRGKABHIJRJ-LURJTMIESA-N -1 1 305.269 1.747 20 0 DDADMM Cc1cnc(F)c([C@H](C)Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)c1 ZINC001227431363 883014298 /nfs/dbraw/zinc/01/42/98/883014298.db2.gz BUVVRGKABHIJRJ-LURJTMIESA-N -1 1 305.269 1.747 20 0 DDADMM CC1N=NC(C(=O)Nc2cccc(OCC(=O)N(C)C)c2)=C1[O-] ZINC001362123693 883097764 /nfs/dbraw/zinc/09/77/64/883097764.db2.gz WQKIAMHFTIVXIO-UHFFFAOYSA-N -1 1 318.333 1.143 20 0 DDADMM COCCCn1cc(C)c(NC([O-])=NO[C@H]2CCCCO2)n1 ZINC001218204400 877417525 /nfs/dbraw/zinc/41/75/25/877417525.db2.gz FLVSOQPOBOAGCP-LBPRGKRZSA-N -1 1 312.370 1.808 20 0 DDADMM COCCCn1cc(C)c(NC(=O)[N-]O[C@H]2CCCCO2)n1 ZINC001218204400 877417535 /nfs/dbraw/zinc/41/75/35/877417535.db2.gz FLVSOQPOBOAGCP-LBPRGKRZSA-N -1 1 312.370 1.808 20 0 DDADMM Cn1c(-c2cnc(-c3cccnc3)[n-]c2=O)nnc1N1CCCC1 ZINC001355637648 878654531 /nfs/dbraw/zinc/65/45/31/878654531.db2.gz AWFFWZRJPALBBI-UHFFFAOYSA-N -1 1 323.360 1.640 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CCCC1(C)C ZINC001374271716 912387250 /nfs/dbraw/zinc/38/72/50/912387250.db2.gz PABZPVFQJKOYBZ-WDEREUQCSA-N -1 1 309.414 1.273 20 0 DDADMM O=C(Nc1nn[n-]n1)C(F)(F)c1ccccc1Br ZINC001362137306 883131632 /nfs/dbraw/zinc/13/16/32/883131632.db2.gz FIFIJJYSXUGUKZ-UHFFFAOYSA-N -1 1 318.081 1.693 20 0 DDADMM CC(C)(c1nnc(C(=O)[N-]c2ccsc2)o1)S(C)(=O)=O ZINC001362180155 883226853 /nfs/dbraw/zinc/22/68/53/883226853.db2.gz OWFJSCACLGJYCE-UHFFFAOYSA-N -1 1 315.376 1.663 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)CCc1cccs1 ZINC001362185854 883243358 /nfs/dbraw/zinc/24/33/58/883243358.db2.gz FBYROJVIIGFBEZ-UHFFFAOYSA-N -1 1 309.347 1.273 20 0 DDADMM C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1ccc(F)c([O-])c1 ZINC001362237073 883377126 /nfs/dbraw/zinc/37/71/26/883377126.db2.gz UCFBNNMFLPWQMY-IUCAKERBSA-N -1 1 301.339 1.179 20 0 DDADMM O=C(N[C@H]1CCN(c2ccccn2)C1)c1cccc([O-])c1F ZINC001362260727 883431182 /nfs/dbraw/zinc/43/11/82/883431182.db2.gz JXWOROKQUUFGEB-NSHDSACASA-N -1 1 301.321 1.935 20 0 DDADMM CN1CCC[C@@H](NC(=O)c2ncc(Br)cc2[O-])C1 ZINC001362266094 883440437 /nfs/dbraw/zinc/44/04/37/883440437.db2.gz XNRZHTOYRYYMOA-SECBINFHSA-N -1 1 314.183 1.374 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(=O)[nH]c2c1CCCC2)c1nn[n-]n1 ZINC001362297638 883508478 /nfs/dbraw/zinc/50/84/78/883508478.db2.gz GLEPYLXDLXBWNB-VIFPVBQESA-N -1 1 316.365 1.055 20 0 DDADMM CSc1ncc(C(=O)N[C@H](CO)c2ccccc2C)c(=O)[n-]1 ZINC001362310325 883534936 /nfs/dbraw/zinc/53/49/36/883534936.db2.gz OOMRSOIVIXKASS-GFCCVEGCSA-N -1 1 319.386 1.676 20 0 DDADMM CCCn1ccc(CNC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC001362350674 883624245 /nfs/dbraw/zinc/62/42/45/883624245.db2.gz TWHCAHSWMYFAMP-UHFFFAOYSA-N -1 1 316.361 1.045 20 0 DDADMM CCOC(=O)[C@@]1(C(C)C)CCN(C(=O)c2ccc([O-])cn2)C1 ZINC001362388276 883697759 /nfs/dbraw/zinc/69/77/59/883697759.db2.gz XEOXTPAQWNHCPL-INIZCTEOSA-N -1 1 306.362 1.839 20 0 DDADMM CCC(CC)(NC(=O)CCOc1ccc(F)cc1)c1nn[n-]n1 ZINC001362499247 883949486 /nfs/dbraw/zinc/94/94/86/883949486.db2.gz VPONZOUNZHHOBJ-UHFFFAOYSA-N -1 1 321.356 1.939 20 0 DDADMM CCOC(=O)CC[C@@H]1CCCN(C(=O)CCCc2nn[n-]n2)C1 ZINC001362526270 884006974 /nfs/dbraw/zinc/00/69/74/884006974.db2.gz UMDIVRYLTTZLPO-LBPRGKRZSA-N -1 1 323.397 1.104 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc(NC3CC3)s2)n1 ZINC001362531236 884018950 /nfs/dbraw/zinc/01/89/50/884018950.db2.gz COOKRTAWABUWRL-UHFFFAOYSA-N -1 1 321.362 1.870 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](C(=O)N(C)C)C1 ZINC001362549370 884067024 /nfs/dbraw/zinc/06/70/24/884067024.db2.gz HFKNLYFOHDSEMB-NSHDSACASA-N -1 1 320.393 1.164 20 0 DDADMM CC(C)n1ccnc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001362549929 884069589 /nfs/dbraw/zinc/06/95/89/884069589.db2.gz MOKPGPXZOLVWIT-JTQLQIEISA-N -1 1 305.338 1.572 20 0 DDADMM O=C1[N-]C(=O)[C@H](Cc2ccc(O[C@H]3CCOC3=O)cc2)S1 ZINC001229619547 884079742 /nfs/dbraw/zinc/07/97/42/884079742.db2.gz WPSGVQDLHYROAY-QWRGUYRKSA-N -1 1 307.327 1.275 20 0 DDADMM CC[C@H]1C[C@H](CC(=O)NC(CC)(CC)c2nn[n-]n2)CCO1 ZINC001362626069 884257109 /nfs/dbraw/zinc/25/71/09/884257109.db2.gz QLVVSOPUALMGQE-NEPJUHHUSA-N -1 1 309.414 1.927 20 0 DDADMM COc1c(=O)[n-]c(C)nc1OC[C@@H]1COc2ccccc2O1 ZINC001230022167 884281728 /nfs/dbraw/zinc/28/17/28/884281728.db2.gz RTWFOCQPZAYIFG-JTQLQIEISA-N -1 1 304.302 1.718 20 0 DDADMM O=C(N[C@@H](CO)[C@@H]1CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC001362770405 884598805 /nfs/dbraw/zinc/59/88/05/884598805.db2.gz MGNJCHHUSXTPRP-KCJUWKMLSA-N -1 1 319.279 1.538 20 0 DDADMM CCC(CC)(NC(=O)c1ocnc1C(F)F)c1nn[n-]n1 ZINC001362785576 884632284 /nfs/dbraw/zinc/63/22/84/884632284.db2.gz MISMHPJIGBMMIP-UHFFFAOYSA-N -1 1 300.269 1.571 20 0 DDADMM C[C@@H](NC(=O)Cc1ccoc1)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001382759241 884643806 /nfs/dbraw/zinc/64/38/06/884643806.db2.gz BNFKJTKIGDRIOU-GHMZBOCLSA-N -1 1 317.345 1.246 20 0 DDADMM CC(C)(CNC(=O)c1cccc([O-])c1F)C1(O)CCOCC1 ZINC001362790865 884647880 /nfs/dbraw/zinc/64/78/80/884647880.db2.gz XHQBNULHLUZBSI-UHFFFAOYSA-N -1 1 311.353 1.829 20 0 DDADMM CCOC(=O)c1cc(CNC(=O)c2ccc([O-])c(F)c2)on1 ZINC001362790662 884648888 /nfs/dbraw/zinc/64/88/88/884648888.db2.gz UFHNDBLLVQWCJW-UHFFFAOYSA-N -1 1 308.265 1.626 20 0 DDADMM Cc1ccc(O[C@H](C)C(=O)N(Cc2nn[n-]n2)CC(C)C)cc1 ZINC001362828282 884739123 /nfs/dbraw/zinc/73/91/23/884739123.db2.gz AYOORPJSMLMKSF-CYBMUJFWSA-N -1 1 317.393 1.960 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cnc(C(C)(C)C)nc1 ZINC001362830024 884743696 /nfs/dbraw/zinc/74/36/96/884743696.db2.gz IGUGUUWHMCMHCY-UHFFFAOYSA-N -1 1 317.397 1.586 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cncnc1C1CC1 ZINC001362830162 884744957 /nfs/dbraw/zinc/74/49/57/884744957.db2.gz KHQLIKUANCFTNQ-UHFFFAOYSA-N -1 1 301.354 1.166 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1CCC(=O)c2ccccc21 ZINC001362835458 884759195 /nfs/dbraw/zinc/75/91/95/884759195.db2.gz MINKOHYVRGINNY-GFCCVEGCSA-N -1 1 311.345 1.455 20 0 DDADMM CC(C)(C)C(=O)N1CCC(NC(=O)c2ccc([O-])cn2)CC1 ZINC001362963690 885099420 /nfs/dbraw/zinc/09/94/20/885099420.db2.gz IHAGOVSBJYTOGO-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM NC(=O)c1csc(CNC(=O)c2c(F)ccc([O-])c2F)n1 ZINC001362986206 885150990 /nfs/dbraw/zinc/15/09/90/885150990.db2.gz YFSZNACLVJDDOV-UHFFFAOYSA-N -1 1 313.285 1.156 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2CCCC23CCOCC3)c(=O)[n-]1 ZINC001363017534 885239825 /nfs/dbraw/zinc/23/98/25/885239825.db2.gz PNCXRRCFPQNZFY-NSHDSACASA-N -1 1 323.418 1.983 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCOC12CCCCC2 ZINC001363042286 885304384 /nfs/dbraw/zinc/30/43/84/885304384.db2.gz QKQPHQLMPLDVOF-VIFPVBQESA-N -1 1 323.349 1.118 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H]1C[C@@H](C)n2ncnc21 ZINC001363052281 885339448 /nfs/dbraw/zinc/33/94/48/885339448.db2.gz KOLKFHXNWIBEJJ-SVRRBLITSA-N -1 1 320.378 1.240 20 0 DDADMM CCC(CC)(CO)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001363055065 885346829 /nfs/dbraw/zinc/34/68/29/885346829.db2.gz AOXGRZVKODNNJE-GFCCVEGCSA-N -1 1 303.366 1.204 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2C[C@@H](NC(=O)OC(C)(C)C)C2)c([O-])c1 ZINC001363065641 885375317 /nfs/dbraw/zinc/37/53/17/885375317.db2.gz GQOYAPMJPMWVSG-PHIMTYICSA-N -1 1 321.377 1.881 20 0 DDADMM COc1cccc([C@H](CNC(=O)CCCc2nn[n-]n2)OC)c1 ZINC001363131514 885543423 /nfs/dbraw/zinc/54/34/23/885543423.db2.gz SYUJLCHNPPSSPW-ZDUSSCGKSA-N -1 1 319.365 1.035 20 0 DDADMM CCC(CC)(NC(=O)C[C@@H]1CC[C@H](C2CC2)O1)c1nn[n-]n1 ZINC001363138282 885559229 /nfs/dbraw/zinc/55/92/29/885559229.db2.gz SZCZQQOQPDMXTL-NWDGAFQWSA-N -1 1 307.398 1.679 20 0 DDADMM CN(C(=O)[C@H]1CC[C@H](NC(=O)OC(C)(C)C)CC1)c1nn[n-]n1 ZINC001363151454 885590799 /nfs/dbraw/zinc/59/07/99/885590799.db2.gz RPQOSSFAXWFTAV-MGCOHNPYSA-N -1 1 324.385 1.246 20 0 DDADMM CN(C)C(=O)O[C@@H]1CCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001363265857 885860396 /nfs/dbraw/zinc/86/03/96/885860396.db2.gz GFITVUGPRJEGRY-SNVBAGLBSA-N -1 1 310.325 1.834 20 0 DDADMM C[C@@H](C[C@H](C)NC(=O)C(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001383565472 886041743 /nfs/dbraw/zinc/04/17/43/886041743.db2.gz MQWNZYCCXCFZRQ-QWRGUYRKSA-N -1 1 307.394 1.846 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CC1CCC1 ZINC001363350150 886099148 /nfs/dbraw/zinc/09/91/48/886099148.db2.gz KNTXNFLAIGKXSD-LLVKDONJSA-N -1 1 306.366 1.835 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CC1CCC1 ZINC001363350150 886099163 /nfs/dbraw/zinc/09/91/63/886099163.db2.gz KNTXNFLAIGKXSD-LLVKDONJSA-N -1 1 306.366 1.835 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CC1CCC1 ZINC001363350150 886099176 /nfs/dbraw/zinc/09/91/76/886099176.db2.gz KNTXNFLAIGKXSD-LLVKDONJSA-N -1 1 306.366 1.835 20 0 DDADMM CNC(=O)N[C@H]1CCCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC001363356687 886119563 /nfs/dbraw/zinc/11/95/63/886119563.db2.gz KHUXRDQCGUMWEC-JTQLQIEISA-N -1 1 311.769 1.579 20 0 DDADMM C[C@@H](C(=O)OC(C)(C)C)N(C(=O)CCCc1nn[n-]n1)C1CC1 ZINC001363362227 886135604 /nfs/dbraw/zinc/13/56/04/886135604.db2.gz MKVHTZOFMONYTH-JTQLQIEISA-N -1 1 323.397 1.244 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC[C@@]23CCOC3)c(=O)[n-]1 ZINC001363392195 886206924 /nfs/dbraw/zinc/20/69/24/886206924.db2.gz JEXOMGJOAVLXEI-HNNXBMFYSA-N -1 1 323.418 1.537 20 0 DDADMM COC[C@@H](NC(=O)CSc1cc(C)ccc1C)c1nn[n-]n1 ZINC001363419092 886286650 /nfs/dbraw/zinc/28/66/50/886286650.db2.gz VIODWYGFLFZCON-LLVKDONJSA-N -1 1 321.406 1.413 20 0 DDADMM COC(=O)c1cnc([C@@H](C)NC(=O)c2ncccc2[O-])s1 ZINC001363449710 886370214 /nfs/dbraw/zinc/37/02/14/886370214.db2.gz QUISDVRQRGCNJL-SSDOTTSWSA-N -1 1 307.331 1.521 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@@H]2CCCC[C@@H]21 ZINC001363463951 886408181 /nfs/dbraw/zinc/40/81/81/886408181.db2.gz UYQHWINOTPSLGF-RYUDHWBXSA-N -1 1 303.362 1.308 20 0 DDADMM CCOC(=O)[C@H](NC(=O)c1cnncc1[O-])C1CCCCCC1 ZINC001363468063 886419082 /nfs/dbraw/zinc/41/90/82/886419082.db2.gz FODUDNJLGMMGKF-CQSZACIVSA-N -1 1 321.377 1.814 20 0 DDADMM CCOC(=O)c1nc(NC(=O)Cn2cc[nH+]c2C(C)C)c[nH]1 ZINC001363500592 886496557 /nfs/dbraw/zinc/49/65/57/886496557.db2.gz KEXYPHARWZPNNJ-UHFFFAOYSA-N -1 1 305.338 1.545 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2cccc([O-])c2Cl)CCC1=O ZINC001232783924 886587104 /nfs/dbraw/zinc/58/71/04/886587104.db2.gz GHIZCLVHMBHCCE-LLVKDONJSA-N -1 1 311.765 2.000 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC001363545250 886601648 /nfs/dbraw/zinc/60/16/48/886601648.db2.gz OFZPLZBOVWLOJW-JTQLQIEISA-N -1 1 317.397 1.346 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1C[C@@H](O)[C@H](Oc2ccccc2)C1 ZINC001363549151 886611042 /nfs/dbraw/zinc/61/10/42/886611042.db2.gz ISFCEBWBPYALRQ-HZPDHXFCSA-N -1 1 317.316 1.796 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)C1CCCC1 ZINC001363558033 886632232 /nfs/dbraw/zinc/63/22/32/886632232.db2.gz JYMGFCOLCOPSCX-NSHDSACASA-N -1 1 306.366 1.835 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)C1CCCC1 ZINC001363558033 886632237 /nfs/dbraw/zinc/63/22/37/886632237.db2.gz JYMGFCOLCOPSCX-NSHDSACASA-N -1 1 306.366 1.835 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)C1CCCC1 ZINC001363558033 886632242 /nfs/dbraw/zinc/63/22/42/886632242.db2.gz JYMGFCOLCOPSCX-NSHDSACASA-N -1 1 306.366 1.835 20 0 DDADMM O=C1COC2(CCN(Cc3ccc(F)c([O-])c3F)CC2)CN1 ZINC001232937903 886685082 /nfs/dbraw/zinc/68/50/82/886685082.db2.gz WJBIXPUFHWUSBF-UHFFFAOYSA-N -1 1 312.316 1.151 20 0 DDADMM CC1(C)CN(Cc2cc([O-])c(F)c(F)c2)CCN1CC(N)=O ZINC001232973189 886701668 /nfs/dbraw/zinc/70/16/68/886701668.db2.gz QGLUGYWPQSHCKF-UHFFFAOYSA-N -1 1 313.348 1.052 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C1=C[S@](=O)CCC1 ZINC001363632581 886825017 /nfs/dbraw/zinc/82/50/17/886825017.db2.gz QCVBEFCVIBYBBP-OAQYLSRUSA-N -1 1 315.341 1.756 20 0 DDADMM Cc1nc(C(C)C)ncc1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363727836 887080587 /nfs/dbraw/zinc/08/05/87/887080587.db2.gz WMRDGOXCTXTVBX-SNVBAGLBSA-N -1 1 301.354 1.051 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc(=O)[nH]c(C(C)C)c1 ZINC001363799665 887249634 /nfs/dbraw/zinc/24/96/34/887249634.db2.gz INHHCPSNCANMPL-UHFFFAOYSA-N -1 1 318.381 1.722 20 0 DDADMM CCOC(=O)[C@@H](CC(C)C)Oc1c(=O)[n-]cnc1C(=O)OC ZINC001233759722 887287528 /nfs/dbraw/zinc/28/75/28/887287528.db2.gz RAELBEDMSSUEAS-SECBINFHSA-N -1 1 312.322 1.325 20 0 DDADMM COCCC1([N-]S(=O)(=O)c2cc(OC)ns2)CCC1 ZINC001363859729 887413573 /nfs/dbraw/zinc/41/35/73/887413573.db2.gz JIEJLQKOLOQZKE-UHFFFAOYSA-N -1 1 306.409 1.389 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2c(CC)noc2C)n[n-]1 ZINC001363885573 887470894 /nfs/dbraw/zinc/47/08/94/887470894.db2.gz LESZWMQEPSCSAY-SSDOTTSWSA-N -1 1 321.337 1.331 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2c(CC)noc2C)[n-]1 ZINC001363885573 887470904 /nfs/dbraw/zinc/47/09/04/887470904.db2.gz LESZWMQEPSCSAY-SSDOTTSWSA-N -1 1 321.337 1.331 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2c(CC)noc2C)n1 ZINC001363885573 887470911 /nfs/dbraw/zinc/47/09/11/887470911.db2.gz LESZWMQEPSCSAY-SSDOTTSWSA-N -1 1 321.337 1.331 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1nccs1 ZINC001363970149 887637826 /nfs/dbraw/zinc/63/78/26/887637826.db2.gz RXPBOAWXJOXJGE-MRVPVSSYSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1nccs1 ZINC001363970149 887637830 /nfs/dbraw/zinc/63/78/30/887637830.db2.gz RXPBOAWXJOXJGE-MRVPVSSYSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1nccs1 ZINC001363970149 887637833 /nfs/dbraw/zinc/63/78/33/887637833.db2.gz RXPBOAWXJOXJGE-MRVPVSSYSA-N -1 1 321.362 1.415 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C1N=NC(=O)N1C ZINC001363997619 887694148 /nfs/dbraw/zinc/69/41/48/887694148.db2.gz GDHVYKWYNMRTLD-RXMQYKEDSA-N -1 1 322.293 1.091 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)COCC1CC1 ZINC001364006093 887708363 /nfs/dbraw/zinc/70/83/63/887708363.db2.gz GGZUNHXGRZPNPO-QMMMGPOBSA-N -1 1 306.409 1.245 20 0 DDADMM COC[C@H](NC(=O)c1cc(C)n(C(C)C)c1C)c1nn[n-]n1 ZINC001364032221 887761729 /nfs/dbraw/zinc/76/17/29/887761729.db2.gz RQMOWIFDDRWBSL-LBPRGKRZSA-N -1 1 306.370 1.316 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(C)onc1N)C(=O)c1ccccc1 ZINC001364064152 887835964 /nfs/dbraw/zinc/83/59/64/887835964.db2.gz AYPYBIYUPVKUPX-LLVKDONJSA-N -1 1 323.374 1.505 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1CCCN1C(=O)c1ccc([O-])cn1 ZINC001364097749 887912149 /nfs/dbraw/zinc/91/21/49/887912149.db2.gz WWZUQYBMQNEFRT-LLVKDONJSA-N -1 1 321.377 1.917 20 0 DDADMM O=S(=O)(NCCc1c(F)cc([O-])cc1F)c1ccoc1 ZINC001364105605 887931378 /nfs/dbraw/zinc/93/13/78/887931378.db2.gz ARNSWZQIYIBRBD-UHFFFAOYSA-N -1 1 303.286 1.784 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCS[C@H](C)C2)sn1 ZINC001364134263 887998338 /nfs/dbraw/zinc/99/83/38/887998338.db2.gz UBOCMQKIVUCQMB-HTQZYQBOSA-N -1 1 308.450 1.714 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@H](C)CCc2ccccc2)c(=O)[n-]1 ZINC001234470376 888001224 /nfs/dbraw/zinc/00/12/24/888001224.db2.gz RLLAUDBZKRBQIN-SNVBAGLBSA-N -1 1 301.346 1.990 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H]1CCCC2(C1)OCCO2 ZINC001234561341 888098674 /nfs/dbraw/zinc/09/86/74/888098674.db2.gz LFIUBTYPYXUAGN-SNVBAGLBSA-N -1 1 324.333 1.342 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CCC(F)F)c1Br ZINC001364184648 888100801 /nfs/dbraw/zinc/10/08/01/888100801.db2.gz DIOUZBXUODFIAR-UHFFFAOYSA-N -1 1 318.143 1.116 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H](C)c1cccnc1F ZINC001234564482 888102044 /nfs/dbraw/zinc/10/20/44/888102044.db2.gz KOOYWLKTOQDOBP-SSDOTTSWSA-N -1 1 307.281 1.951 20 0 DDADMM COC[C@H](C)OC[C@@H](C)Oc1c(=O)[n-]c(C)nc1C(=O)OC ZINC001234563932 888102772 /nfs/dbraw/zinc/10/27/72/888102772.db2.gz AELBVKREMLWGCN-DTWKUNHWSA-N -1 1 314.338 1.096 20 0 DDADMM CCCCC(=O)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001384997825 888337112 /nfs/dbraw/zinc/33/71/12/888337112.db2.gz NTKQNLSPYFRBNU-QWHCGFSZSA-N -1 1 319.405 1.992 20 0 DDADMM COc1ccc(NC(=O)N2CCC(c3nn[n-]n3)CC2)nc1 ZINC001364403624 888557221 /nfs/dbraw/zinc/55/72/21/888557221.db2.gz SRHIRXJESXGNFC-UHFFFAOYSA-N -1 1 303.326 1.015 20 0 DDADMM CC(C)[C@H](NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C(N)=O ZINC001364407123 888566339 /nfs/dbraw/zinc/56/63/39/888566339.db2.gz FGNQOQBDIYLNSU-ZDUSSCGKSA-N -1 1 317.349 1.209 20 0 DDADMM CCCNC(=O)CN1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001364439336 888639959 /nfs/dbraw/zinc/63/99/59/888639959.db2.gz ZREGMRGEKABHJO-UWVGGRQHSA-N -1 1 309.332 1.044 20 0 DDADMM CCC[C@@H](C)C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385368269 888978771 /nfs/dbraw/zinc/97/87/71/888978771.db2.gz HMKZCXMAGFWFNP-CHWSQXEVSA-N -1 1 319.405 1.896 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@@H]1CCCn2ncnc21 ZINC001364709182 889247234 /nfs/dbraw/zinc/24/72/34/889247234.db2.gz LYGRXJQVRNSFLS-SNVBAGLBSA-N -1 1 306.753 1.831 20 0 DDADMM CCOC(=O)c1n[nH]c(CNCc2ccc(C(=O)OC)cc2)n1 ZINC001364743105 889322323 /nfs/dbraw/zinc/32/23/23/889322323.db2.gz ABOQYFDEIJGWDG-UHFFFAOYSA-N -1 1 318.333 1.058 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(Cl)c(Cl)c1)c1nn[n-]n1 ZINC001364816439 889475221 /nfs/dbraw/zinc/47/52/21/889475221.db2.gz VRBQOZMJUUFUGW-SECBINFHSA-N -1 1 316.148 1.624 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NS(=O)(=O)C[C@@H](C)CC)n[n-]1 ZINC001364879305 889595377 /nfs/dbraw/zinc/59/53/77/889595377.db2.gz AMSHXPRSDVGOHN-DTWKUNHWSA-N -1 1 318.399 1.008 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NS(=O)(=O)C[C@@H](C)CC)[n-]1 ZINC001364879305 889595390 /nfs/dbraw/zinc/59/53/90/889595390.db2.gz AMSHXPRSDVGOHN-DTWKUNHWSA-N -1 1 318.399 1.008 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NS(=O)(=O)C[C@@H](C)CC)n1 ZINC001364879305 889595396 /nfs/dbraw/zinc/59/53/96/889595396.db2.gz AMSHXPRSDVGOHN-DTWKUNHWSA-N -1 1 318.399 1.008 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2CCCn3nccc32)sn1 ZINC001364908856 889650722 /nfs/dbraw/zinc/65/07/22/889650722.db2.gz BLPZOPGXBSHEGF-QMMMGPOBSA-N -1 1 314.392 1.162 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1Cc2ccnn2CC[C@H]1CO ZINC001238145450 890069213 /nfs/dbraw/zinc/06/92/13/890069213.db2.gz FIRPKYRVANCJJU-INIZCTEOSA-N -1 1 315.373 1.657 20 0 DDADMM [O-]c1ccc(Br)c(CN2CCOC[C@H](O)C2)c1F ZINC001238304926 890163240 /nfs/dbraw/zinc/16/32/40/890163240.db2.gz IHTNIWINIRXZMM-MRVPVSSYSA-N -1 1 320.158 1.487 20 0 DDADMM NC(=O)C1CN(Cc2c(Br)ccc([O-])c2F)C1 ZINC001238306742 890163771 /nfs/dbraw/zinc/16/37/71/890163771.db2.gz BBJSSEQDUYLJTQ-UHFFFAOYSA-N -1 1 303.131 1.211 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2ncc(Cl)n2C)sn1 ZINC001365408482 890751040 /nfs/dbraw/zinc/75/10/40/890751040.db2.gz MLRZGTVSUOOYNK-UHFFFAOYSA-N -1 1 322.799 1.017 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2ncccc2OC)c1 ZINC001365421432 890772063 /nfs/dbraw/zinc/77/20/63/890772063.db2.gz FJQHWCNXAYONRM-UHFFFAOYSA-N -1 1 324.358 1.283 20 0 DDADMM COCC1(CC[N-]S(=O)(=O)c2csnc2OC)CC1 ZINC001365433565 890790668 /nfs/dbraw/zinc/79/06/68/890790668.db2.gz PINRUIBSVHGJIJ-UHFFFAOYSA-N -1 1 306.409 1.247 20 0 DDADMM Cc1ccnc(C[N-]S(=O)(=O)c2cc(C)c(F)cc2F)n1 ZINC001365447401 890809386 /nfs/dbraw/zinc/80/93/86/890809386.db2.gz JFIXRRDIWBWAIW-UHFFFAOYSA-N -1 1 313.329 1.850 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C)C[C@@H](C)C2)[n-]n1 ZINC001365461910 890837610 /nfs/dbraw/zinc/83/76/10/890837610.db2.gz HIGAOZVJCGANLA-NXEZZACHSA-N -1 1 315.395 1.253 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C)C[C@@H](C)C2)n[n-]1 ZINC001365461910 890837618 /nfs/dbraw/zinc/83/76/18/890837618.db2.gz HIGAOZVJCGANLA-NXEZZACHSA-N -1 1 315.395 1.253 20 0 DDADMM NC(=O)[C@H]1CCCN1c1cc(-c2c(F)cc([O-])cc2F)ncn1 ZINC001241119843 891121570 /nfs/dbraw/zinc/12/15/70/891121570.db2.gz TTYZUSPXNYMEEU-GFCCVEGCSA-N -1 1 320.299 1.582 20 0 DDADMM CCC(=O)NCC[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001386621550 891322355 /nfs/dbraw/zinc/32/23/55/891322355.db2.gz DDRHXNHIAMXXCD-SECBINFHSA-N -1 1 306.366 1.094 20 0 DDADMM O=c1ccc(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)n[nH]1 ZINC001365708029 891373694 /nfs/dbraw/zinc/37/36/94/891373694.db2.gz CADXETAXAMMSMK-UHFFFAOYSA-N -1 1 305.262 1.191 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@H](C)c1csnn1 ZINC001365715231 891385818 /nfs/dbraw/zinc/38/58/18/891385818.db2.gz MOXBQLZUJPGKII-RXMQYKEDSA-N -1 1 321.815 1.273 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3cnn(C(C)C)c3)CC2)n1 ZINC001365747959 891448952 /nfs/dbraw/zinc/44/89/52/891448952.db2.gz MBYIGYMVWNQSCF-UHFFFAOYSA-N -1 1 311.367 1.123 20 0 DDADMM Cc1cncc(C(=O)N[C@@H](C)CNC(=O)c2ncccc2[O-])c1 ZINC001386744512 891615270 /nfs/dbraw/zinc/61/52/70/891615270.db2.gz QKDOXVXGLXTEBM-NSHDSACASA-N -1 1 314.345 1.039 20 0 DDADMM COc1ncc(-c2c(C)ccnc2F)cc1[N-]S(C)(=O)=O ZINC001244793531 891926680 /nfs/dbraw/zinc/92/66/80/891926680.db2.gz IMKWJMDWSCLDPU-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM Cc1cc2c(c(-c3ccc(-c4ccn(C)n4)nc3)n1)C(=O)[N-]C2=O ZINC001245204202 892026557 /nfs/dbraw/zinc/02/65/57/892026557.db2.gz IVSAVXSKPPTJJD-UHFFFAOYSA-N -1 1 319.324 1.736 20 0 DDADMM CCCN(CCNC(=O)C[C@@H](C)OC)C(=O)c1ncccc1[O-] ZINC001387410710 893036440 /nfs/dbraw/zinc/03/64/40/893036440.db2.gz VXYDLBNNPDXSNL-GFCCVEGCSA-N -1 1 323.393 1.181 20 0 DDADMM Cc1cocc1C(=O)N[C@H](C)CCNC(=O)c1ncccc1[O-] ZINC001387444155 893115452 /nfs/dbraw/zinc/11/54/52/893115452.db2.gz YIDXVKYMOLSIJA-LLVKDONJSA-N -1 1 317.345 1.627 20 0 DDADMM Cc1ccc(-c2noc(Cc3nnn[n-]3)n2)cc1Br ZINC001247141854 893122345 /nfs/dbraw/zinc/12/23/45/893122345.db2.gz BMCQUWLVVNYTGA-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM Cc1ccc(-c2noc(Cc3nn[n-]n3)n2)cc1Br ZINC001247141854 893122349 /nfs/dbraw/zinc/12/23/49/893122349.db2.gz BMCQUWLVVNYTGA-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM Cc1ccccc1[C@H](C)C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001366367121 893330863 /nfs/dbraw/zinc/33/08/63/893330863.db2.gz VTEOBMWEUHSDET-RYUDHWBXSA-N -1 1 317.393 1.217 20 0 DDADMM CC[C@H](SC)C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001387682274 893620078 /nfs/dbraw/zinc/62/00/78/893620078.db2.gz YOBJNBWIRRWKOV-NSHDSACASA-N -1 1 311.407 1.117 20 0 DDADMM O=C([N-]CCCc1nc(-c2ccncc2)no1)C(F)(F)F ZINC001248432349 893634780 /nfs/dbraw/zinc/63/47/80/893634780.db2.gz HXHFZIRZXOSHBI-UHFFFAOYSA-N -1 1 300.240 1.743 20 0 DDADMM Cc1cc(C)nc(CN2CCC([N-]C(=O)C(F)(F)F)CC2)n1 ZINC001248973591 893789367 /nfs/dbraw/zinc/78/93/67/893789367.db2.gz XCDMVWHOSZPHJJ-UHFFFAOYSA-N -1 1 316.327 1.736 20 0 DDADMM Cc1ccc(N)cc1S(=O)(=O)[N-]c1ccc2c(c1)NC(=O)C2 ZINC001250317096 894233473 /nfs/dbraw/zinc/23/34/73/894233473.db2.gz FFNDMKFGNNRJCL-UHFFFAOYSA-N -1 1 317.370 1.873 20 0 DDADMM COc1ncc(-c2ccc(C(N)=O)cc2)cc1[N-]S(C)(=O)=O ZINC001250614574 894341882 /nfs/dbraw/zinc/34/18/82/894341882.db2.gz RYUGRKNRHRYJLE-UHFFFAOYSA-N -1 1 321.358 1.228 20 0 DDADMM O=C1N=CN=C([O-])C1c1nc2cc(F)c(-n3ccnc3)cc2[nH]1 ZINC001250875037 894480659 /nfs/dbraw/zinc/48/06/59/894480659.db2.gz WFNSWLYFKPAYGL-UHFFFAOYSA-N -1 1 312.264 1.344 20 0 DDADMM CC(=O)Nc1ccc(S(=O)(=O)[N-]c2ccnc(F)c2)cc1 ZINC001251810037 894821141 /nfs/dbraw/zinc/82/11/41/894821141.db2.gz AULSAODTGNWKLK-UHFFFAOYSA-N -1 1 309.322 1.980 20 0 DDADMM CC(C)c1nc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c[nH]1 ZINC001254246688 896315010 /nfs/dbraw/zinc/31/50/10/896315010.db2.gz SSHCXWCAXNWHNL-UHFFFAOYSA-N -1 1 324.362 1.138 20 0 DDADMM Cc1coc(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)CC(C)C)c1 ZINC001367411723 896701253 /nfs/dbraw/zinc/70/12/53/896701253.db2.gz HTFQGRRWHRPTTR-NSHDSACASA-N -1 1 321.381 1.346 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2cnc3c(cnn3C)c2)[nH]n1 ZINC001255242681 896803411 /nfs/dbraw/zinc/80/34/11/896803411.db2.gz IKIBPSRWKUKLFZ-UHFFFAOYSA-N -1 1 320.378 1.445 20 0 DDADMM CCC(CC)(CC)C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001389398494 897121410 /nfs/dbraw/zinc/12/14/10/897121410.db2.gz IDKGAGXWZXKQPZ-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM CC(=O)c1ccc(C[N-]S(=O)(=O)c2c(C)noc2C)cc1 ZINC001258754472 898311352 /nfs/dbraw/zinc/31/13/52/898311352.db2.gz OXJWRTBJGVXOLM-UHFFFAOYSA-N -1 1 308.359 1.973 20 0 DDADMM Cc1ncc(CNC2(CNC(=O)c3[nH]nc(C)c3[O-])CC2)s1 ZINC001367992479 898428714 /nfs/dbraw/zinc/42/87/14/898428714.db2.gz IFUYTSAYMQRHDK-UHFFFAOYSA-N -1 1 321.406 1.241 20 0 DDADMM O=S(=O)([N-][C@H](CO)C(F)(F)F)c1ccc(F)c(F)c1 ZINC001259093666 898464929 /nfs/dbraw/zinc/46/49/29/898464929.db2.gz WFRJWAVYWCODAX-MRVPVSSYSA-N -1 1 305.224 1.166 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)[C@H]1Cc2ccccc2O1 ZINC000355835858 898547991 /nfs/dbraw/zinc/54/79/91/898547991.db2.gz DCYIAOYDYOKBQX-LLVKDONJSA-N -1 1 301.302 1.529 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC[C@@H]1F)c1cccc(Cl)c1F ZINC001259471758 898683606 /nfs/dbraw/zinc/68/36/06/898683606.db2.gz QXQWBJIULNXNFO-DTWKUNHWSA-N -1 1 311.737 1.884 20 0 DDADMM Cn1ccc([N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)cc1=O ZINC001259502776 898705417 /nfs/dbraw/zinc/70/54/17/898705417.db2.gz PJMXDPLCCBVLMW-UHFFFAOYSA-N -1 1 318.276 1.603 20 0 DDADMM O=S(=O)(CCc1ccccc1F)[N-]c1cccc(CO)n1 ZINC001259780903 898795280 /nfs/dbraw/zinc/79/52/80/898795280.db2.gz ISUFHQJYAHOBOY-UHFFFAOYSA-N -1 1 310.350 1.697 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1sccc1C)C(F)(F)F ZINC001260071791 899036790 /nfs/dbraw/zinc/03/67/90/899036790.db2.gz PKLDDYPQMKMJNN-ZCFIWIBFSA-N -1 1 317.310 1.439 20 0 DDADMM COc1cncc(NS(=O)(=O)c2cccc(C(=O)[O-])c2)c1C ZINC001260183296 899077377 /nfs/dbraw/zinc/07/73/77/899077377.db2.gz IYSWDDBHMHDTJB-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1cc(Cl)c(F)cc1F)C(N)=O ZINC001260204802 899088307 /nfs/dbraw/zinc/08/83/07/899088307.db2.gz LSOVLPSZEOOCHO-UHFFFAOYSA-N -1 1 312.725 1.160 20 0 DDADMM CNC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260204776 899088837 /nfs/dbraw/zinc/08/88/37/899088837.db2.gz KPAUDJWWVHBSFC-YFKPBYRVSA-N -1 1 312.725 1.031 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1cc(F)c(F)cc1Cl)C(N)=O ZINC001260287327 899112280 /nfs/dbraw/zinc/11/22/80/899112280.db2.gz BVUDLASUNDFKTE-UHFFFAOYSA-N -1 1 312.725 1.160 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-]c1cc2c(cc1OC)nc[nH]c2=O ZINC001260742453 899242666 /nfs/dbraw/zinc/24/26/66/899242666.db2.gz BZWUROJICNMAMG-MRVPVSSYSA-N -1 1 311.363 1.884 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1conc1C ZINC001390372808 899277647 /nfs/dbraw/zinc/27/76/47/899277647.db2.gz AEARHUPVMAISMS-JTQLQIEISA-N -1 1 318.333 1.022 20 0 DDADMM Cc1nc(Cl)nc(Cl)c1[N-]S(=O)(=O)c1cn[nH]c1 ZINC001260953937 899292984 /nfs/dbraw/zinc/29/29/84/899292984.db2.gz ADSCGDJQQQXPMJ-UHFFFAOYSA-N -1 1 308.150 1.616 20 0 DDADMM O=C(c1cc2cccc(F)c2o1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001263886211 900799120 /nfs/dbraw/zinc/79/91/20/900799120.db2.gz BSMSBGMEXASHLC-SECBINFHSA-N -1 1 301.281 1.715 20 0 DDADMM CCCN(C(=O)c1cnc[nH]c1=O)[C@@H]1CC[N@H+](C/C=C\Cl)C1 ZINC001391275681 901367288 /nfs/dbraw/zinc/36/72/88/901367288.db2.gz QOHJTFQTNITWIM-KTLBGCOUSA-N -1 1 324.812 1.861 20 0 DDADMM CC(C)[C@H](C)C(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001391316000 901466027 /nfs/dbraw/zinc/46/60/27/901466027.db2.gz IXGGSBXJBNCLME-NWDGAFQWSA-N -1 1 309.414 1.131 20 0 DDADMM C[C@H](NC(=O)CC1CCCC1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369654724 901708745 /nfs/dbraw/zinc/70/87/45/901708745.db2.gz NUWRWSZPIUFCFF-RYUDHWBXSA-N -1 1 319.405 1.991 20 0 DDADMM CC/C=C(/C)C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001279946709 903456959 /nfs/dbraw/zinc/45/69/59/903456959.db2.gz BHJPYGRODBPJAA-WZUFQYTHSA-N -1 1 317.389 1.768 20 0 DDADMM CC(C)[C@@H](C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001396920081 914736118 /nfs/dbraw/zinc/73/61/18/914736118.db2.gz XTXNQZBIADCIMW-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM CC/C(C)=C\C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001280467979 903710905 /nfs/dbraw/zinc/71/09/05/903710905.db2.gz WJERIDSBAPOVLF-UCQJPZFISA-N -1 1 305.378 1.720 20 0 DDADMM CC(C)CC(=O)NC[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001370942319 904027567 /nfs/dbraw/zinc/02/75/67/904027567.db2.gz GOYXQWYFORIQDD-QWHCGFSZSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](CNC(=O)[C@H]1CCC(C)(C)C1)NC(=O)c1ncccc1[O-] ZINC001392774050 905213091 /nfs/dbraw/zinc/21/30/91/905213091.db2.gz OZJUGGUKLMUPOT-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1CC12CCC2)NC(=O)c1ncccc1[O-] ZINC001392774857 905218496 /nfs/dbraw/zinc/21/84/96/905218496.db2.gz QPSGIMAUHZFYSF-QWRGUYRKSA-N -1 1 303.362 1.212 20 0 DDADMM CCCCC(=O)N(C)C[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001371580930 905259540 /nfs/dbraw/zinc/25/95/40/905259540.db2.gz QVJVIMPYSVKXRD-GFCCVEGCSA-N -1 1 305.378 1.650 20 0 DDADMM C/C=C(\C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001282334662 905698304 /nfs/dbraw/zinc/69/83/04/905698304.db2.gz RDSZCISBVKPVRQ-KAZJJLNOSA-N -1 1 303.362 1.473 20 0 DDADMM CCC[C@@](C)(CC)C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001393571207 907363841 /nfs/dbraw/zinc/36/38/41/907363841.db2.gz JYDRNRRPNNQWIY-OAHLLOKOSA-N -1 1 323.441 1.855 20 0 DDADMM C/C(=C\C(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001283484935 907437688 /nfs/dbraw/zinc/43/76/88/907437688.db2.gz WOVWORRKPAQTNU-UTSBKAFOSA-N -1 1 319.409 1.193 20 0 DDADMM CCCC[C@@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001393629141 907549547 /nfs/dbraw/zinc/54/95/47/907549547.db2.gz RBZLAXAHPDYFEI-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CCCC(C)(C)C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001372542806 907586037 /nfs/dbraw/zinc/58/60/37/907586037.db2.gz BABDITLDESCFEO-HAQNSBGRSA-N -1 1 319.405 1.991 20 0 DDADMM CCC(CC)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001394670464 910225814 /nfs/dbraw/zinc/22/58/14/910225814.db2.gz NYNHPEZQXMHFEC-CMPLNLGQSA-N -1 1 309.414 1.416 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H](C)c1ccccc1)NCc1n[nH]c(=O)[n-]1 ZINC001373822448 911106074 /nfs/dbraw/zinc/10/60/74/911106074.db2.gz RJIKPAPSNSNFHZ-AAEUAGOBSA-N -1 1 317.393 1.298 20 0 DDADMM CC/C=C(/C)C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001285854681 911309155 /nfs/dbraw/zinc/30/91/55/911309155.db2.gz NPGJEXWTSKHIGH-DKRCXCIFSA-N -1 1 303.362 1.474 20 0 DDADMM O=S1(=O)CCCN1Cc1nc(-c2ccc([O-])cc2F)no1 ZINC000278296686 214073200 /nfs/dbraw/zinc/07/32/00/214073200.db2.gz XFPAHNKCCNPSHH-UHFFFAOYSA-N -1 1 313.310 1.117 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)Cc3ccccc3)nc2n1 ZINC000622869736 365548687 /nfs/dbraw/zinc/54/86/87/365548687.db2.gz DZUKTSSSOUGLSC-JTQLQIEISA-N -1 1 311.345 1.543 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CCC[C@H](C)C3)nc2n1 ZINC000622871851 365551842 /nfs/dbraw/zinc/55/18/42/365551842.db2.gz KSVCQEPIDAAQDS-ONGXEEELSA-N -1 1 303.366 1.881 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](CC)CC(C)C)nc2n1 ZINC000622993092 365585750 /nfs/dbraw/zinc/58/57/50/365585750.db2.gz AYTRWWXVCSUIDR-JTQLQIEISA-N -1 1 305.382 1.991 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)C(CC)CC)nc2n1 ZINC000622994581 365588386 /nfs/dbraw/zinc/58/83/86/365588386.db2.gz VFBBEWKSNQDPOQ-VIFPVBQESA-N -1 1 305.382 1.991 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCCC3CC3)nc2n1 ZINC000622997513 365589704 /nfs/dbraw/zinc/58/97/04/365589704.db2.gz AHESTJHDQNBZJG-UHFFFAOYSA-N -1 1 303.366 1.889 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCC3CCC3)nc2n1 ZINC000622997086 365589806 /nfs/dbraw/zinc/58/98/06/365589806.db2.gz KZMIPQMHQHHJNB-UHFFFAOYSA-N -1 1 303.366 1.889 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCC(C)(C)O3)nc2n1 ZINC000622997836 365590903 /nfs/dbraw/zinc/59/09/03/365590903.db2.gz NIXUVQNMMVZBPH-SNVBAGLBSA-N -1 1 319.365 1.266 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)NCC3CC3)CC2)n1 ZINC000278381249 214129423 /nfs/dbraw/zinc/12/94/23/214129423.db2.gz YUULLVAGXPWJPY-UHFFFAOYSA-N -1 1 321.381 1.280 20 0 DDADMM O=C(NN1CCOCC1)c1ccc(Br)cc1[O-] ZINC000096604469 185384574 /nfs/dbraw/zinc/38/45/74/185384574.db2.gz CKEOGDIZJTZFAP-UHFFFAOYSA-N -1 1 301.140 1.132 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614391584 361834449 /nfs/dbraw/zinc/83/44/49/361834449.db2.gz SOGMGRAMKFBLNY-GMTAPVOTSA-N -1 1 309.366 1.685 20 0 DDADMM O=C(Cc1cccc(N2CCCC2=O)c1)[N-]O[C@@H]1CCCCO1 ZINC000278615441 214276952 /nfs/dbraw/zinc/27/69/52/214276952.db2.gz JTFWAZIVBBZCQO-QGZVFWFLSA-N -1 1 318.373 1.930 20 0 DDADMM CO[C@@H]([C@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1)C1CC1 ZINC000451988424 231259883 /nfs/dbraw/zinc/25/98/83/231259883.db2.gz KEHFJXRHATZFCE-KWQFWETISA-N -1 1 304.437 1.852 20 0 DDADMM Cc1nc(=NC[C@H]2CCCN(Cc3cccnc3)C2)s[n-]1 ZINC000364828447 307052265 /nfs/dbraw/zinc/05/22/65/307052265.db2.gz DOUOYRAYQCCFEM-CQSZACIVSA-N -1 1 303.435 1.988 20 0 DDADMM CC(C)(C(=O)[O-])[C@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000322201765 539297698 /nfs/dbraw/zinc/29/76/98/539297698.db2.gz SVNIPZHLSAIKDH-NSHDSACASA-N -1 1 316.361 1.921 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccnc(NC(=O)C2CC2)c1 ZINC000615221502 362201327 /nfs/dbraw/zinc/20/13/27/362201327.db2.gz LTWHKDQILKMLAS-UHFFFAOYSA-N -1 1 301.306 1.420 20 0 DDADMM C[C@H](C[S@](C)=O)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000181671529 199336559 /nfs/dbraw/zinc/33/65/59/199336559.db2.gz UFYFVOPWLKBDDX-GLEHDBDLSA-N -1 1 309.309 1.908 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCOCCN1 ZINC000615488770 362304258 /nfs/dbraw/zinc/30/42/58/362304258.db2.gz ONRGCHAHWORSJO-UHFFFAOYSA-N -1 1 301.140 1.132 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(c2ncns2)CC1 ZINC000615798673 362447316 /nfs/dbraw/zinc/44/73/16/362447316.db2.gz WLKVVBYTZJMHSG-UHFFFAOYSA-N -1 1 308.338 1.345 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2C[C@@]2(C)C(C)C)co1 ZINC000458104050 530025735 /nfs/dbraw/zinc/02/57/35/530025735.db2.gz CTIMSNVQKIUDRH-MFKMUULPSA-N -1 1 300.380 1.352 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCc3cccnc32)c1Br ZINC000616009152 362518745 /nfs/dbraw/zinc/51/87/45/362518745.db2.gz QCRZOZMRCWJWPM-SECBINFHSA-N -1 1 321.178 1.966 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C1(C)C ZINC000358383722 299137990 /nfs/dbraw/zinc/13/79/90/299137990.db2.gz KRHZPFMRZCCSME-GHMZBOCLSA-N -1 1 304.350 1.217 20 0 DDADMM Cn1nc([C@@H]2CCCOC2)cc1NC(=O)[N-]O[C@H]1CCCCO1 ZINC000279819783 215173696 /nfs/dbraw/zinc/17/36/96/215173696.db2.gz CDDIDIRCCUIUCG-RISCZKNCSA-N -1 1 324.381 1.894 20 0 DDADMM CCC[C@H](NC(=O)c1noc2c1CCCCC2)c1nn[n-]n1 ZINC000155763893 186110452 /nfs/dbraw/zinc/11/04/52/186110452.db2.gz XBAMRWNAGGYHMF-JTQLQIEISA-N -1 1 304.354 1.728 20 0 DDADMM C[C@@H](C(=O)[O-])N1CCN(C(=O)CCCc2ccccc2)CC1 ZINC000237488298 186296792 /nfs/dbraw/zinc/29/67/92/186296792.db2.gz KFNCOYLJJUBUQS-AWEZNQCLSA-N -1 1 304.390 1.627 20 0 DDADMM NC(=O)N1CC[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000182036674 199377491 /nfs/dbraw/zinc/37/74/91/199377491.db2.gz OAMBGLHVOZUSKU-MRVPVSSYSA-N -1 1 317.267 1.294 20 0 DDADMM O=C(Nc1nnn[n-]1)C1(c2ccccc2Cl)CCOCC1 ZINC000265689188 304022595 /nfs/dbraw/zinc/02/25/95/304022595.db2.gz VTSZCYFGZMKEKD-UHFFFAOYSA-N -1 1 307.741 1.540 20 0 DDADMM O=C(Nc1nn[n-]n1)C1(c2ccccc2Cl)CCOCC1 ZINC000265689188 304022598 /nfs/dbraw/zinc/02/25/98/304022598.db2.gz VTSZCYFGZMKEKD-UHFFFAOYSA-N -1 1 307.741 1.540 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2[C@@H](C)CCC[C@@H]2C)o1 ZINC000172514810 198108810 /nfs/dbraw/zinc/10/88/10/198108810.db2.gz NTYOEQUKTGDNIB-UWVGGRQHSA-N -1 1 314.407 1.742 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NCCC(C)C ZINC000042105850 352329904 /nfs/dbraw/zinc/32/99/04/352329904.db2.gz KDMBHUWXAVCVTC-UHFFFAOYSA-N -1 1 314.389 1.845 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H](C)O[C@H](C)C3)cnc2n1 ZINC000044955839 352398160 /nfs/dbraw/zinc/39/81/60/352398160.db2.gz YADUAFGGKSJVJR-GHMZBOCLSA-N -1 1 301.346 1.481 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1C[C@@H](C)O[C@H](C)C1)c2=O ZINC000044955839 352398164 /nfs/dbraw/zinc/39/81/64/352398164.db2.gz YADUAFGGKSJVJR-GHMZBOCLSA-N -1 1 301.346 1.481 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)C(C2CC2)C2CC2)o1 ZINC000050681972 352570306 /nfs/dbraw/zinc/57/03/06/352570306.db2.gz JRMJTKVLYQVZNP-UHFFFAOYSA-N -1 1 312.391 1.448 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H]2c2ccccc2)o1 ZINC000053085086 352639791 /nfs/dbraw/zinc/63/97/91/352639791.db2.gz NGWIJAWNQLNCQT-GFCCVEGCSA-N -1 1 320.370 1.775 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cccc(NC(=O)C2CCCCC2)c1 ZINC000060380413 352869793 /nfs/dbraw/zinc/86/97/93/352869793.db2.gz OXTWZOQCXSTNMI-UHFFFAOYSA-N -1 1 314.349 1.971 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cccc(NC(=O)C2CCCCC2)c1 ZINC000060380413 352869797 /nfs/dbraw/zinc/86/97/97/352869797.db2.gz OXTWZOQCXSTNMI-UHFFFAOYSA-N -1 1 314.349 1.971 20 0 DDADMM Cc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)nn1C(C)C ZINC000331957023 234319095 /nfs/dbraw/zinc/31/90/95/234319095.db2.gz RSCXPTFUGRPWOT-UHFFFAOYSA-N -1 1 319.365 1.881 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2ccn(C)c2)c(=O)[n-]1 ZINC000081380927 353669582 /nfs/dbraw/zinc/66/95/82/353669582.db2.gz YVDNXVJHIQHTJH-UHFFFAOYSA-N -1 1 320.418 1.388 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](C)[C@H](O)C3)cnc2n1 ZINC000089461303 353773211 /nfs/dbraw/zinc/77/32/11/353773211.db2.gz LUWPOJCXXSHYMN-TVQRCGJNSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](C)[C@H](O)C3)c[n-]c2n1 ZINC000089461303 353773213 /nfs/dbraw/zinc/77/32/13/353773213.db2.gz LUWPOJCXXSHYMN-TVQRCGJNSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1cnc(C(=O)Nc2cccc(-c3n[nH]c(=O)[n-]3)c2)c(O)c1 ZINC000355794593 291009963 /nfs/dbraw/zinc/00/99/63/291009963.db2.gz QDBUSFDDHXPINK-UHFFFAOYSA-N -1 1 311.301 1.839 20 0 DDADMM C[C@@H]1CN(CCNC(=O)c2ccc(Cl)cc2[O-])[C@@H](C)CO1 ZINC000617738607 363276085 /nfs/dbraw/zinc/27/60/85/363276085.db2.gz QZVLDWAVFGKCCN-WDEREUQCSA-N -1 1 312.797 1.885 20 0 DDADMM Cn1c(CCNC(=O)c2cncc([O-])c2)nc2cc(F)ccc21 ZINC000126675303 354057053 /nfs/dbraw/zinc/05/70/53/354057053.db2.gz ANPLMSRDYDUVCI-UHFFFAOYSA-N -1 1 314.320 1.786 20 0 DDADMM COc1cc2[n-]cc(C(=O)NC[C@H]3C[C@@H]3C)c(=O)c2c(OC)c1 ZINC000624108303 366189317 /nfs/dbraw/zinc/18/93/17/366189317.db2.gz PDHHNOPKVHMENP-VHSXEESVSA-N -1 1 316.357 1.931 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2ccc([N+](=O)[O-])c([O-])c2)C1 ZINC000399257735 354657208 /nfs/dbraw/zinc/65/72/08/354657208.db2.gz NXRIIZHFFWJYOW-LBPRGKRZSA-N -1 1 323.349 1.141 20 0 DDADMM COCc1cccc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c1 ZINC000588006290 354892733 /nfs/dbraw/zinc/89/27/33/354892733.db2.gz BKOMODBFXZPYGT-UHFFFAOYSA-N -1 1 313.317 1.125 20 0 DDADMM COC(=O)c1conc1C(C)(C)NC(=O)c1ccc([O-])cc1F ZINC000590549009 355111176 /nfs/dbraw/zinc/11/11/76/355111176.db2.gz ZWBWKDYMMIKUIS-UHFFFAOYSA-N -1 1 322.292 1.971 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CC[C@H]3C[C@H]3C2)o1 ZINC000592107077 355501770 /nfs/dbraw/zinc/50/17/70/355501770.db2.gz ANTMASNRUKKVLK-GARJFASQSA-N -1 1 313.375 1.923 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2c([O-])cc(F)cc2F)C1 ZINC000592313933 355557979 /nfs/dbraw/zinc/55/79/79/355557979.db2.gz YDHCHPRLQQSKOJ-LLVKDONJSA-N -1 1 314.332 1.511 20 0 DDADMM COC(=O)c1[nH]c(C)cc1[N-]S(=O)(=O)C[C@H](OC)C(C)C ZINC000592350872 355564898 /nfs/dbraw/zinc/56/48/98/355564898.db2.gz JUKIYDAPRLCOQY-NSHDSACASA-N -1 1 318.395 1.522 20 0 DDADMM CCC(CC)n1nc(C(=O)NC(C)(C)c2nn[n-]n2)cc1C ZINC000346549973 283122373 /nfs/dbraw/zinc/12/23/73/283122373.db2.gz RYEYEARAXXCAEY-UHFFFAOYSA-N -1 1 305.386 1.731 20 0 DDADMM CCCN(CC(C)(C)O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287528345 283126564 /nfs/dbraw/zinc/12/65/64/283126564.db2.gz IPEVIWJYJXDIKR-PDGQHHTCSA-N -1 1 305.382 1.357 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C2(C3(C)CC3)CC2)cc1C ZINC000595332114 356451683 /nfs/dbraw/zinc/45/16/83/356451683.db2.gz MUNPNABDQFXDLS-UHFFFAOYSA-N -1 1 313.375 1.986 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCOC2(C)C)c1 ZINC000595392463 356475962 /nfs/dbraw/zinc/47/59/62/356475962.db2.gz NAQQTEZGZKFZTM-SNVBAGLBSA-N -1 1 317.363 1.160 20 0 DDADMM COC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000595399542 356478958 /nfs/dbraw/zinc/47/89/58/356478958.db2.gz NNZPZDWOZTZJIU-LJGSYFOKSA-N -1 1 309.796 1.631 20 0 DDADMM COC(=O)[C@@H](c1ccccc1C)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000595882751 356690355 /nfs/dbraw/zinc/69/03/55/356690355.db2.gz OVTIFUIDHPJXTF-SUYBPPKGSA-N -1 1 319.357 1.242 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)o1 ZINC000347573130 283232244 /nfs/dbraw/zinc/23/22/44/283232244.db2.gz TVXRWGZXVSMLBP-VIFPVBQESA-N -1 1 305.290 1.591 20 0 DDADMM CCN(CCC(=O)OC)C(=O)c1ncc2ccccc2c1[O-] ZINC000596872415 357002902 /nfs/dbraw/zinc/00/29/02/357002902.db2.gz UVBFKLATOZINSL-UHFFFAOYSA-N -1 1 302.330 1.966 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C(C)=O)cc1F)c1nn[n-]n1 ZINC000347605713 283241296 /nfs/dbraw/zinc/24/12/96/283241296.db2.gz UZYAOFBSPGJHPF-GFCCVEGCSA-N -1 1 305.313 1.813 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)CCCF)n[n-]1 ZINC000597578788 357235746 /nfs/dbraw/zinc/23/57/46/357235746.db2.gz HDPUTCUVNRMVPE-JTQLQIEISA-N -1 1 314.361 1.935 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)CCCF)[n-]1 ZINC000597578788 357235751 /nfs/dbraw/zinc/23/57/51/357235751.db2.gz HDPUTCUVNRMVPE-JTQLQIEISA-N -1 1 314.361 1.935 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)CCCF)n1 ZINC000597578788 357235756 /nfs/dbraw/zinc/23/57/56/357235756.db2.gz HDPUTCUVNRMVPE-JTQLQIEISA-N -1 1 314.361 1.935 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CSC(C)C)nc2n1 ZINC000598891987 357751844 /nfs/dbraw/zinc/75/18/44/357751844.db2.gz ALIRSAMPBLQHNH-UHFFFAOYSA-N -1 1 309.395 1.450 20 0 DDADMM CCCN(Cc1ccc(C(=O)[O-])cc1)[C@@H]1CC(=O)N(C)C1=O ZINC000263436618 283293272 /nfs/dbraw/zinc/29/32/72/283293272.db2.gz UWIOATVEJCLUNA-CYBMUJFWSA-N -1 1 304.346 1.354 20 0 DDADMM Cc1nc(Cc2ccccc2)sc1C(=O)NCc1nn[n-]n1 ZINC000599334631 357899171 /nfs/dbraw/zinc/89/91/71/357899171.db2.gz NZAKOIAHHIBWKX-UHFFFAOYSA-N -1 1 314.374 1.485 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccns2)o1 ZINC000599372776 357914337 /nfs/dbraw/zinc/91/43/37/357914337.db2.gz LXSLSSIGMJQLPV-UHFFFAOYSA-N -1 1 316.360 1.391 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccnc1Nc1ccccc1F ZINC000599377436 357914965 /nfs/dbraw/zinc/91/49/65/357914965.db2.gz SDKHEJBATSTUPU-UHFFFAOYSA-N -1 1 313.296 1.407 20 0 DDADMM CNC(=O)c1cccc(CNC(=O)c2c(F)ccc([O-])c2F)c1 ZINC000347918360 283302413 /nfs/dbraw/zinc/30/24/13/283302413.db2.gz ULHOBVSHKUKDHO-UHFFFAOYSA-N -1 1 320.295 1.960 20 0 DDADMM CC(C)(C)OC(=O)C(C)(C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000600601737 358266311 /nfs/dbraw/zinc/26/63/11/358266311.db2.gz UERBXDXHYCFWDG-UHFFFAOYSA-N -1 1 307.346 1.811 20 0 DDADMM COCCN(C)C(=O)c1c[n-]c2cc(OC)cc(OC)c2c1=O ZINC000624471412 366393287 /nfs/dbraw/zinc/39/32/87/366393287.db2.gz QEDOVWJUHCPQLA-UHFFFAOYSA-N -1 1 320.345 1.264 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2([S@@](C)=O)CCC2)sn1 ZINC000601410602 358541026 /nfs/dbraw/zinc/54/10/26/358541026.db2.gz HQTBTWCNAHTCTN-QGZVFWFLSA-N -1 1 308.450 1.031 20 0 DDADMM CC[C@@](COC)([N-]S(=O)(=O)C1(C(C)C)CC1)C(=O)OC ZINC000601435716 358552576 /nfs/dbraw/zinc/55/25/76/358552576.db2.gz UYUMFQXNBFODKL-LBPRGKRZSA-N -1 1 307.412 1.063 20 0 DDADMM C[C@@H](NCc1cc(C(=O)[O-])nn1C)c1ccc([S@@](C)=O)cc1 ZINC000602066953 358799524 /nfs/dbraw/zinc/79/95/24/358799524.db2.gz QLICGCBEDPSVLX-ZQJOYCHOSA-N -1 1 321.402 1.707 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCC(F)(F)C2)n[n-]1 ZINC000603020209 359361732 /nfs/dbraw/zinc/36/17/32/359361732.db2.gz YRUWJZPXENEULQ-ZETCQYMHSA-N -1 1 302.281 1.033 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCC(F)(F)C2)n1 ZINC000603020209 359361735 /nfs/dbraw/zinc/36/17/35/359361735.db2.gz YRUWJZPXENEULQ-ZETCQYMHSA-N -1 1 302.281 1.033 20 0 DDADMM C[C@H](CCNC(=O)N=c1[n-]sc2ccccc21)[S@@](C)=O ZINC000603050504 359377456 /nfs/dbraw/zinc/37/74/56/359377456.db2.gz IXBQNGVMJCIPLF-GSDQLPOLSA-N -1 1 311.432 1.997 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2C[C@]2(C)C(C)C)n[n-]1 ZINC000603152678 359439309 /nfs/dbraw/zinc/43/93/09/359439309.db2.gz DIPJWPPFGDTPIX-FEUHOPSXSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2C[C@]2(C)C(C)C)[n-]1 ZINC000603152678 359439311 /nfs/dbraw/zinc/43/93/11/359439311.db2.gz DIPJWPPFGDTPIX-FEUHOPSXSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2C[C@]2(C)C(C)C)n1 ZINC000603152678 359439314 /nfs/dbraw/zinc/43/93/14/359439314.db2.gz DIPJWPPFGDTPIX-FEUHOPSXSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccsc2C)n[n-]1 ZINC000603156656 359443313 /nfs/dbraw/zinc/44/33/13/359443313.db2.gz RXFZWZAVXVCHMC-SSDOTTSWSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccsc2C)[n-]1 ZINC000603156656 359443315 /nfs/dbraw/zinc/44/33/15/359443315.db2.gz RXFZWZAVXVCHMC-SSDOTTSWSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccsc2C)n1 ZINC000603156656 359443320 /nfs/dbraw/zinc/44/33/20/359443320.db2.gz RXFZWZAVXVCHMC-SSDOTTSWSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1c([N-]S(=O)(=O)C[C@H](CC)OC)ccn1C ZINC000603418745 359628403 /nfs/dbraw/zinc/62/84/03/359628403.db2.gz VEGFCFSFUCACLE-JTQLQIEISA-N -1 1 318.395 1.369 20 0 DDADMM CCCNC(=O)C1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000281027532 216031078 /nfs/dbraw/zinc/03/10/78/216031078.db2.gz UXMCJKYNLALPSL-UHFFFAOYSA-N -1 1 318.373 1.340 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3cccs3)[nH]n2)C[C@@H](C(=O)[O-])O1 ZINC000237649039 202317722 /nfs/dbraw/zinc/31/77/22/202317722.db2.gz QJSHECQJXRRAGI-KCJUWKMLSA-N -1 1 321.358 1.452 20 0 DDADMM COC(=O)C1(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CC1 ZINC000189253233 200370466 /nfs/dbraw/zinc/37/04/66/200370466.db2.gz KOXGKMSJCZQITR-UHFFFAOYSA-N -1 1 321.377 1.059 20 0 DDADMM CNS(=O)(=O)c1cccc(C(=O)Nc2c([O-])cccc2F)c1 ZINC000608366139 360167234 /nfs/dbraw/zinc/16/72/34/360167234.db2.gz FDGSCFFSFACAOS-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM O=C(c1cc[nH]c1C1CCC1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000565810027 304093586 /nfs/dbraw/zinc/09/35/86/304093586.db2.gz DQZBZAAMQQCXIH-LLVKDONJSA-N -1 1 300.366 1.815 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCCF)c1ccccc1F ZINC000610702064 360509929 /nfs/dbraw/zinc/50/99/29/360509929.db2.gz CSQLFZSBLRCUQG-NSHDSACASA-N -1 1 307.318 1.319 20 0 DDADMM CCOC(=O)CCC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000610987529 360590921 /nfs/dbraw/zinc/59/09/21/360590921.db2.gz BQTBFCXLYOUKIH-UHFFFAOYSA-N -1 1 306.362 1.983 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCC1CC1)c1ccccc1F ZINC000611291732 360691399 /nfs/dbraw/zinc/69/13/99/360691399.db2.gz BIZHQUNYZPRSML-ZDUSSCGKSA-N -1 1 315.366 1.759 20 0 DDADMM CS[C@H](C)CCC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000611362047 360705889 /nfs/dbraw/zinc/70/58/89/360705889.db2.gz XVBNUTPNQMANPH-ZWNOBZJWSA-N -1 1 305.407 1.937 20 0 DDADMM CC(F)(F)C[N-]S(=O)(=O)c1cccnc1OCC(F)F ZINC000625228928 366788922 /nfs/dbraw/zinc/78/89/22/366788922.db2.gz KROZNNFJYCBTTN-UHFFFAOYSA-N -1 1 316.276 1.659 20 0 DDADMM Cc1nnc2n1C[C@@H](CNC(=O)c1ccc([O-])c(F)c1)CC2 ZINC000613510109 361448135 /nfs/dbraw/zinc/44/81/35/361448135.db2.gz IGZLIUBMVQITBR-SNVBAGLBSA-N -1 1 304.325 1.424 20 0 DDADMM O=C(CN1CCCNC1=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000613667722 361511691 /nfs/dbraw/zinc/51/16/91/361511691.db2.gz FOEXRSPGETVEMW-UHFFFAOYSA-N -1 1 315.333 1.136 20 0 DDADMM Cc1ccc2c([n-]cc(C(=O)NCC3([S@](C)=O)CC3)c2=O)[nH+]1 ZINC000619685637 364115777 /nfs/dbraw/zinc/11/57/77/364115777.db2.gz VMXIYRKYMYZDIE-QFIPXVFZSA-N -1 1 319.386 1.285 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC1([S@](C)=O)CC1)c2=O ZINC000619685637 364115784 /nfs/dbraw/zinc/11/57/84/364115784.db2.gz VMXIYRKYMYZDIE-QFIPXVFZSA-N -1 1 319.386 1.285 20 0 DDADMM CCS(=O)(=O)C1(CNC(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000620046756 364255744 /nfs/dbraw/zinc/25/57/44/364255744.db2.gz JHEGBVMJYGUZFJ-UHFFFAOYSA-N -1 1 317.794 1.743 20 0 DDADMM CCC(=O)N[C@H](C)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000620188903 364331232 /nfs/dbraw/zinc/33/12/32/364331232.db2.gz OLAHVPZLPNIEDB-SECBINFHSA-N -1 1 302.334 1.636 20 0 DDADMM Cc1cnc(C(=O)N2CCn3c(nnc3C(C)(C)C)C2)c([O-])c1 ZINC000620815871 364565819 /nfs/dbraw/zinc/56/58/19/364565819.db2.gz JPJPKSNOJMVRRO-UHFFFAOYSA-N -1 1 315.377 1.641 20 0 DDADMM C[C@H](NC(=O)c1[nH]nc2c1CCCC2)c1ncc(C(=O)[O-])s1 ZINC000621609478 364936367 /nfs/dbraw/zinc/93/63/67/364936367.db2.gz MZUNKZYVAZNJGT-ZETCQYMHSA-N -1 1 320.374 1.934 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1cccc(CC(=O)[O-])c1 ZINC000349086445 283837589 /nfs/dbraw/zinc/83/75/89/283837589.db2.gz RQWMOLVQIJDMCD-UHFFFAOYSA-N -1 1 309.347 1.156 20 0 DDADMM CO[C@H](C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C1CCC1 ZINC000621709475 364995018 /nfs/dbraw/zinc/99/50/18/364995018.db2.gz USAFEXUBEWXLJE-STQMWFEESA-N -1 1 301.350 1.220 20 0 DDADMM Cc1cccc(COCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000621928991 365119322 /nfs/dbraw/zinc/11/93/22/365119322.db2.gz ZUWIQYMIZOYBJL-CQSZACIVSA-N -1 1 315.377 1.431 20 0 DDADMM CC(=O)c1c[nH]c(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000622611869 365452325 /nfs/dbraw/zinc/45/23/25/365452325.db2.gz MJBLDDXWBGNIME-CYBMUJFWSA-N -1 1 310.317 1.250 20 0 DDADMM Cc1cccc(O)c1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000626847372 367797497 /nfs/dbraw/zinc/79/74/97/367797497.db2.gz TXGQEPYGSLLKPF-SNVBAGLBSA-N -1 1 303.318 1.809 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1CC[C@H](C)[C@H](C(=O)[O-])C1 ZINC000635017133 422771801 /nfs/dbraw/zinc/77/18/01/422771801.db2.gz FLVKESBLHNJJSI-VHSXEESVSA-N -1 1 310.316 1.440 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(NC(C)=O)cc2)cn1 ZINC000349950532 284116095 /nfs/dbraw/zinc/11/60/95/284116095.db2.gz XYNKUKAAAHCIRM-UHFFFAOYSA-N -1 1 321.358 1.849 20 0 DDADMM COC(=O)N[C@H](C(=O)Nc1cc(C(=O)OC)ccc1[O-])C(C)C ZINC000282523823 217061039 /nfs/dbraw/zinc/06/10/39/217061039.db2.gz BKIZCPMJQKLQBC-LBPRGKRZSA-N -1 1 324.333 1.498 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C2(C)COC(C)(C)OC2)c1 ZINC000282698027 217183924 /nfs/dbraw/zinc/18/39/24/217183924.db2.gz FNDZDSFZWIYZEE-UHFFFAOYSA-N -1 1 323.345 1.907 20 0 DDADMM CC[C@@H]1C[C@H](CC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000282971862 217360158 /nfs/dbraw/zinc/36/01/58/217360158.db2.gz AZQJBNCLVLZCNS-NVYDRDRZSA-N -1 1 302.378 1.876 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2cc3ccccc3o2)CC1 ZINC000566801588 304182262 /nfs/dbraw/zinc/18/22/62/304182262.db2.gz XJQNVKIIUCFLLX-UHFFFAOYSA-N -1 1 309.343 1.807 20 0 DDADMM O=C([O-])[C@@H]1CSCCN1CCOCC(F)(F)C(F)F ZINC000566864697 304184315 /nfs/dbraw/zinc/18/43/15/304184315.db2.gz LGLMPJFAQSJOEK-ZETCQYMHSA-N -1 1 305.293 1.405 20 0 DDADMM O=C(C[C@@H]1Sc2ccc(Cl)cc2NC1=O)Nc1ncn[n-]1 ZINC000026259711 182434448 /nfs/dbraw/zinc/43/44/48/182434448.db2.gz XPOVMMWKBCAVQW-VIFPVBQESA-N -1 1 323.765 1.322 20 0 DDADMM C[C@@H]1C[C@H](O)CN1C(=O)c1ccc(Br)c([O-])c1 ZINC000351816677 284554485 /nfs/dbraw/zinc/55/44/85/284554485.db2.gz UGHMTQMEAISFBV-APPZFPTMSA-N -1 1 300.152 1.750 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCS(=O)(=O)C2(CCCCC2)C1 ZINC000267909582 206333891 /nfs/dbraw/zinc/33/38/91/206333891.db2.gz ZAIQRKVBQRKPTA-UHFFFAOYSA-N -1 1 324.402 1.361 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H](O)C12CCCC2 ZINC000294291946 296245265 /nfs/dbraw/zinc/24/52/65/296245265.db2.gz UFYSELTVDPWUEI-NSHDSACASA-N -1 1 318.402 1.538 20 0 DDADMM CC[C@@H](C)[C@@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1ccccc1 ZINC000174582452 248371587 /nfs/dbraw/zinc/37/15/87/248371587.db2.gz RORYKCDCRFGONQ-IUODEOHRSA-N -1 1 316.405 1.977 20 0 DDADMM CO[C@@H](C)c1nc(=NCCCOC[C@H]2CCOC2)s[n-]1 ZINC000337879325 249720113 /nfs/dbraw/zinc/72/01/13/249720113.db2.gz STBUYWAXNKGVGE-WDEREUQCSA-N -1 1 301.412 1.523 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000284401744 218012864 /nfs/dbraw/zinc/01/28/64/218012864.db2.gz QRBPHLLCEZIQBV-STQMWFEESA-N -1 1 312.391 1.215 20 0 DDADMM CCOc1cc(C(=O)N[C@@]2(CO)CCOC2)cc(Cl)c1[O-] ZINC000273870763 211131362 /nfs/dbraw/zinc/13/13/62/211131362.db2.gz QDSULTYOEPCZRX-CQSZACIVSA-N -1 1 315.753 1.326 20 0 DDADMM CO[C@H]1C[C@@H](CN(C)Cc2nc(=O)c3sccc3[n-]2)N(C)C1 ZINC000284847158 218205370 /nfs/dbraw/zinc/20/53/70/218205370.db2.gz OTUSBCQTFGKFCK-QWRGUYRKSA-N -1 1 322.434 1.548 20 0 DDADMM CC(C)(C)NC(=O)CC[N-]S(=O)(=O)c1sccc1F ZINC000338885662 250181692 /nfs/dbraw/zinc/18/16/92/250181692.db2.gz BAKUKZYKWUMOCD-UHFFFAOYSA-N -1 1 308.400 1.470 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2sccc2F)CN1C1CC1 ZINC000338930224 250202140 /nfs/dbraw/zinc/20/21/40/250202140.db2.gz UERSIECZVMNMLO-IUCAKERBSA-N -1 1 304.412 1.791 20 0 DDADMM Cc1cc(S(=O)(=O)N2CCN3CCC[C@H]3C2)ccc1C(=O)[O-] ZINC000285008783 218254803 /nfs/dbraw/zinc/25/48/03/218254803.db2.gz IKASSAZZKXKTRI-LBPRGKRZSA-N -1 1 324.402 1.162 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cc(C)ccn2)c1 ZINC000339038834 250261735 /nfs/dbraw/zinc/26/17/35/250261735.db2.gz CPQHRKMOUGGREQ-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COc2ccccc2OC)n1 ZINC000339174986 250327902 /nfs/dbraw/zinc/32/79/02/250327902.db2.gz UJTDYONHAHZTSQ-UHFFFAOYSA-N -1 1 319.317 1.613 20 0 DDADMM COc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1 ZINC000339186019 250334731 /nfs/dbraw/zinc/33/47/31/250334731.db2.gz REEREPFKPYKEKC-UHFFFAOYSA-N -1 1 303.318 1.804 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC[C@H](F)C1 ZINC000567467718 304221441 /nfs/dbraw/zinc/22/14/41/304221441.db2.gz KGBGMOBQYAWMLO-IUCAKERBSA-N -1 1 319.358 1.145 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cc(F)cc(F)c1 ZINC000352159207 284830912 /nfs/dbraw/zinc/83/09/12/284830912.db2.gz TWEWGUQZJWVZCB-UHFFFAOYSA-N -1 1 307.256 1.434 20 0 DDADMM O=C(NCCc1cnn(-c2ccccc2)c1)c1cncc([O-])c1 ZINC000109939632 194299319 /nfs/dbraw/zinc/29/93/19/194299319.db2.gz PFSQGOOMGVNSMR-UHFFFAOYSA-N -1 1 308.341 1.945 20 0 DDADMM CC(C)CC(=O)N1CCC(NC(=O)c2cncc([O-])c2)CC1 ZINC000109958503 194301631 /nfs/dbraw/zinc/30/16/31/194301631.db2.gz WZYPXTIXBPUISF-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)N(C)CCC(=O)[O-])cc1OC ZINC000062453821 184236518 /nfs/dbraw/zinc/23/65/18/184236518.db2.gz DOVMNRQLOKSLRE-SNVBAGLBSA-N -1 1 310.350 1.437 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(N(C)C)cc1F)c1nn[n-]n1 ZINC000119621644 195004116 /nfs/dbraw/zinc/00/41/16/195004116.db2.gz DHIJRMQGHVWXBS-GFCCVEGCSA-N -1 1 306.345 1.676 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCC2CCCCC2)co1 ZINC000270036029 208090453 /nfs/dbraw/zinc/09/04/53/208090453.db2.gz MTUWNVHALVREPY-UHFFFAOYSA-N -1 1 314.407 1.888 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)CC(C)(C)OC)c1 ZINC000270050739 208107217 /nfs/dbraw/zinc/10/72/17/208107217.db2.gz UUFMHJMBSSLFRJ-SECBINFHSA-N -1 1 319.379 1.548 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCSCC(C)C)o1 ZINC000270196446 208263276 /nfs/dbraw/zinc/26/32/76/208263276.db2.gz ILOBYMWUDOFDFY-UHFFFAOYSA-N -1 1 321.420 1.734 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2sc(C)nc2C)co1 ZINC000270223553 208288505 /nfs/dbraw/zinc/28/85/05/208288505.db2.gz UFZCOARUXVEFFO-UHFFFAOYSA-N -1 1 315.376 1.513 20 0 DDADMM COc1c2ccccc2oc1CNc1nc2[nH][n-]cc-2c(=O)n1 ZINC000352583625 285156795 /nfs/dbraw/zinc/15/67/95/285156795.db2.gz MDWUIPUYMOMNPP-UHFFFAOYSA-N -1 1 311.301 1.799 20 0 DDADMM C[C@]1(c2ccccc2)CCCN(Cc2cn(CC(=O)[O-])nn2)C1 ZINC000568061897 304265904 /nfs/dbraw/zinc/26/59/04/304265904.db2.gz WJADOUSAPPQNEH-KRWDZBQOSA-N -1 1 314.389 1.916 20 0 DDADMM O=C(C=Cc1ccsc1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000289719680 221178773 /nfs/dbraw/zinc/17/87/73/221178773.db2.gz SAIVXROEAJMEID-PGLGOXFNSA-N -1 1 304.375 1.579 20 0 DDADMM C[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CCOCC1 ZINC000294932801 224320126 /nfs/dbraw/zinc/32/01/26/224320126.db2.gz LMUBIKHIRDPMPK-VIFPVBQESA-N -1 1 306.391 1.563 20 0 DDADMM COC(=O)CN1CCC[C@H](CNC(=O)c2c([O-])cccc2F)C1 ZINC000412356012 533509778 /nfs/dbraw/zinc/50/97/78/533509778.db2.gz BVYFIOFNYNPDTI-LLVKDONJSA-N -1 1 324.352 1.146 20 0 DDADMM COc1cc(C(=O)NC[C@]2(C)CCC(=O)N2)cc(Cl)c1[O-] ZINC000457187872 533540452 /nfs/dbraw/zinc/54/04/52/533540452.db2.gz NANFWELDWBYSRE-AWEZNQCLSA-N -1 1 312.753 1.453 20 0 DDADMM Cc1ccc(C(C)(C)CN(C)C(=O)CCc2nn[n-]n2)cc1 ZINC000631530451 422813543 /nfs/dbraw/zinc/81/35/43/422813543.db2.gz QBUDXCVGGTXMML-UHFFFAOYSA-N -1 1 301.394 1.877 20 0 DDADMM CCOc1cnc(C(=O)[N-]c2nnc([C@H]3CCCO3)s2)cn1 ZINC000636356857 422816009 /nfs/dbraw/zinc/81/60/09/422816009.db2.gz BZFJZRXDMZEYJX-SECBINFHSA-N -1 1 321.362 1.831 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2cn3c(n2)CCC[C@@H]3C)c1C ZINC000569202829 304341168 /nfs/dbraw/zinc/34/11/68/304341168.db2.gz UZJJKKYFAZOGDI-QMMMGPOBSA-N -1 1 309.395 1.921 20 0 DDADMM CCOc1cc(C(=O)N2CC(C)(C(N)=O)C2)cc(Cl)c1[O-] ZINC000569929459 304384786 /nfs/dbraw/zinc/38/47/86/304384786.db2.gz BYYPOBULSSKOSP-UHFFFAOYSA-N -1 1 312.753 1.392 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc2[nH]c(=O)[nH]c21)c1nn[n-]n1 ZINC000570104633 304396856 /nfs/dbraw/zinc/39/68/56/304396856.db2.gz MVSCIGJVWDQAFG-SECBINFHSA-N -1 1 301.310 1.053 20 0 DDADMM C[C@H](OC[C@@H]1CCCO1)C(=O)Nc1nc(-c2ccco2)n[n-]1 ZINC000069127649 406682233 /nfs/dbraw/zinc/68/22/33/406682233.db2.gz GFFMWTJEGHKJQE-UWVGGRQHSA-N -1 1 306.322 1.009 20 0 DDADMM O=S(=O)([N-]c1cccc(-c2nn[nH]n2)c1)c1ccc(F)cc1 ZINC000007709233 406766887 /nfs/dbraw/zinc/76/68/87/406766887.db2.gz NTELIKWYXYZCNQ-UHFFFAOYSA-N -1 1 319.321 1.807 20 0 DDADMM CCCN(CCO)C(=O)c1ccc(Br)cc1[O-] ZINC000044489829 407024138 /nfs/dbraw/zinc/02/41/38/407024138.db2.gz OEAAZZSPFNECDT-UHFFFAOYSA-N -1 1 302.168 1.999 20 0 DDADMM CCCCN(C(=O)c1ccc(S(=O)(=O)[N-]C)o1)C1CC1 ZINC000048155439 407090486 /nfs/dbraw/zinc/09/04/86/407090486.db2.gz DFALDCNWHUSAGA-UHFFFAOYSA-N -1 1 300.380 1.592 20 0 DDADMM Cc1oc(-c2cccs2)nc1CS(=O)(=O)c1ncn[n-]1 ZINC000086170002 407109638 /nfs/dbraw/zinc/10/96/38/407109638.db2.gz LCKDPOKXJWJORK-UHFFFAOYSA-N -1 1 310.360 1.804 20 0 DDADMM Cc1oc(-c2cccs2)nc1CS(=O)(=O)c1nc[n-]n1 ZINC000086170002 407109641 /nfs/dbraw/zinc/10/96/41/407109641.db2.gz LCKDPOKXJWJORK-UHFFFAOYSA-N -1 1 310.360 1.804 20 0 DDADMM CN(C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1)C1CC1 ZINC000049249188 407118389 /nfs/dbraw/zinc/11/83/89/407118389.db2.gz AJFLWXCNDVTXTO-UHFFFAOYSA-N -1 1 300.380 1.591 20 0 DDADMM CC1CCN(CC(=O)N[C@H](Cc2ccccc2)C(=O)[O-])CC1 ZINC000049474597 407120670 /nfs/dbraw/zinc/12/06/70/407120670.db2.gz HQJCFMBRKBWVIX-OAHLLOKOSA-N -1 1 304.390 1.530 20 0 DDADMM CCOC(=O)CN1CCCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000080021969 407068662 /nfs/dbraw/zinc/06/86/62/407068662.db2.gz NRXPRCUBELEWQT-UHFFFAOYSA-N -1 1 324.352 1.242 20 0 DDADMM CCn1c(CCNC(=O)CCc2ccccn2)n[n-]c1=S ZINC000067075311 407267414 /nfs/dbraw/zinc/26/74/14/407267414.db2.gz CYPBNSAXKUUKBN-UHFFFAOYSA-N -1 1 305.407 1.647 20 0 DDADMM Cc1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1Cl ZINC000103192875 407333785 /nfs/dbraw/zinc/33/37/85/407333785.db2.gz FZSJDKWDVBMWDP-UHFFFAOYSA-N -1 1 314.754 1.422 20 0 DDADMM Cc1ccc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cc1Cl ZINC000103192875 407333786 /nfs/dbraw/zinc/33/37/86/407333786.db2.gz FZSJDKWDVBMWDP-UHFFFAOYSA-N -1 1 314.754 1.422 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCC[C@H](C)C2)co1 ZINC000122401865 407300075 /nfs/dbraw/zinc/30/00/75/407300075.db2.gz BUTSDQVSDRFASP-WDEREUQCSA-N -1 1 314.407 1.744 20 0 DDADMM O=C([O-])c1cccc(NS(=O)(=O)C[C@@H]2CCCO2)c1O ZINC000124649842 407364683 /nfs/dbraw/zinc/36/46/83/407364683.db2.gz XSOAYNQXYXZCML-QMMMGPOBSA-N -1 1 301.320 1.011 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCC[C@H](C)C2)o1 ZINC000107699135 407378916 /nfs/dbraw/zinc/37/89/16/407378916.db2.gz JUVSMHQYOKNETD-VHSXEESVSA-N -1 1 301.364 1.923 20 0 DDADMM O=C(NC[C@@H]1CCN(CC(F)(F)F)C1)c1cncc([O-])c1 ZINC000111776722 407416051 /nfs/dbraw/zinc/41/60/51/407416051.db2.gz REYIZQAJDITQRP-VIFPVBQESA-N -1 1 303.284 1.401 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1c(C)noc1C)c1ccccc1 ZINC000170735729 407486049 /nfs/dbraw/zinc/48/60/49/407486049.db2.gz SFOATUUXEVXOTQ-CYBMUJFWSA-N -1 1 310.375 1.957 20 0 DDADMM CC1(C[N-]S(=O)(=O)Cc2ccccc2Cl)OCCO1 ZINC000271056356 407490026 /nfs/dbraw/zinc/49/00/26/407490026.db2.gz BOZJHTKNQSVALU-UHFFFAOYSA-N -1 1 305.783 1.522 20 0 DDADMM COC[C@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000113362540 407496806 /nfs/dbraw/zinc/49/68/06/407496806.db2.gz NTHBLGRETLNBER-NSHDSACASA-N -1 1 301.346 1.422 20 0 DDADMM C[C@H](CC1CCCC1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000114538549 407588304 /nfs/dbraw/zinc/58/83/04/407588304.db2.gz HQAUTXQHTWHDJS-MRVPVSSYSA-N -1 1 300.384 1.363 20 0 DDADMM C[C@H](CC1CCCC1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000114538549 407588309 /nfs/dbraw/zinc/58/83/09/407588309.db2.gz HQAUTXQHTWHDJS-MRVPVSSYSA-N -1 1 300.384 1.363 20 0 DDADMM CCOC[C@@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000113963283 407531717 /nfs/dbraw/zinc/53/17/17/407531717.db2.gz ZKHVADUNFJGNGU-GFCCVEGCSA-N -1 1 315.373 1.812 20 0 DDADMM CCCC[C@@H](C(=O)Nc1cc(C)on1)N1CC[C@H](C(=O)[O-])C1 ZINC000178894349 407644740 /nfs/dbraw/zinc/64/47/40/407644740.db2.gz XEVRAQWSKMWGIZ-RYUDHWBXSA-N -1 1 309.366 1.887 20 0 DDADMM O=C(NC[C@@H]1CN(C2CC2)CCO1)c1cc(Cl)ccc1[O-] ZINC000115164814 407656587 /nfs/dbraw/zinc/65/65/87/407656587.db2.gz JQKGVARZVDMIBK-GFCCVEGCSA-N -1 1 310.781 1.639 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2C[C@H](C)C[C@H](C)C2)co1 ZINC000178948739 407667706 /nfs/dbraw/zinc/66/77/06/407667706.db2.gz QDIKWRGXVAZUCO-DHHPTOIESA-N -1 1 314.407 1.742 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCc2ccc(C)cc2)o1 ZINC000116208130 407729534 /nfs/dbraw/zinc/72/95/34/407729534.db2.gz WJZDKYZLTGHUKU-UHFFFAOYSA-N -1 1 322.386 1.469 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](C)Cn1cncn1 ZINC000266991774 407708054 /nfs/dbraw/zinc/70/80/54/407708054.db2.gz VHKTVPRHHWHVOK-SECBINFHSA-N -1 1 318.381 1.198 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](C)C[C@H]2C)co1 ZINC000152998415 407730364 /nfs/dbraw/zinc/73/03/64/407730364.db2.gz ZKZBMPDGORTJLF-NXEZZACHSA-N -1 1 300.380 1.448 20 0 DDADMM CC[C@H]1CCC[C@H]1[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000152908769 407713257 /nfs/dbraw/zinc/71/32/57/407713257.db2.gz SGDORTLSZYKZFH-VHSXEESVSA-N -1 1 301.364 1.923 20 0 DDADMM CCn1cc(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)cn1 ZINC000267066733 407736520 /nfs/dbraw/zinc/73/65/20/407736520.db2.gz YGBMFMBVOXJYKL-UHFFFAOYSA-N -1 1 317.393 1.935 20 0 DDADMM CCOC(=O)CN(CC)C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000179218793 407757942 /nfs/dbraw/zinc/75/79/42/407757942.db2.gz GZECEMHQIKQPSR-UHFFFAOYSA-N -1 1 323.393 1.401 20 0 DDADMM CC[C@H](NC(=O)c1coc(S(=O)(=O)[N-]C)c1)c1ccncc1 ZINC000153200148 407776397 /nfs/dbraw/zinc/77/63/97/407776397.db2.gz MLXXOGXWPSBYSQ-LBPRGKRZSA-N -1 1 323.374 1.464 20 0 DDADMM CC(C)[C@@H](O)C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272163375 407799828 /nfs/dbraw/zinc/79/98/28/407799828.db2.gz FQQAXGHRTFTRRT-QMMMGPOBSA-N -1 1 313.206 1.684 20 0 DDADMM O=S(=O)([N-]c1cc(C2CC2)n[nH]1)c1ccc2c(c1)OCO2 ZINC000272208177 407817894 /nfs/dbraw/zinc/81/78/94/407817894.db2.gz ZVDYCWSFYKQWQK-UHFFFAOYSA-N -1 1 307.331 1.817 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H]3CCC[C@@H]32)co1 ZINC000153645244 407867757 /nfs/dbraw/zinc/86/77/57/407867757.db2.gz YBQSJGBXBRVHSX-PWSUYJOCSA-N -1 1 312.391 1.592 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(Cl)sc1Cl)C(N)=O ZINC000272368349 407885908 /nfs/dbraw/zinc/88/59/08/407885908.db2.gz DJPVYMQPIQYUCD-VKHMYHEASA-N -1 1 303.192 1.207 20 0 DDADMM CCC[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000134547794 407905960 /nfs/dbraw/zinc/90/59/60/407905960.db2.gz VGJKFNKRONPXJA-NSHDSACASA-N -1 1 314.407 1.840 20 0 DDADMM CCc1cc(C)c(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])o1 ZINC000181033262 407950100 /nfs/dbraw/zinc/95/01/00/407950100.db2.gz JCTNKEVCMVSMBQ-UHFFFAOYSA-N -1 1 324.358 1.756 20 0 DDADMM CCC[C@@H](NC(=O)CCNc1ccccc1C)c1nn[n-]n1 ZINC000268250497 407969677 /nfs/dbraw/zinc/96/96/77/407969677.db2.gz WYVIIOJGTJHUDX-CYBMUJFWSA-N -1 1 302.382 1.968 20 0 DDADMM CCC[C@H](NC(=O)c1cnc2n[nH]c(C)c2c1)c1nn[n-]n1 ZINC000268180423 407934455 /nfs/dbraw/zinc/93/44/55/407934455.db2.gz LKUBDBWHLNEBCS-JTQLQIEISA-N -1 1 300.326 1.051 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2c([O-])cc(F)cc2F)n[nH]1 ZINC000180935969 407937026 /nfs/dbraw/zinc/93/70/26/407937026.db2.gz FZHCGNLQWKWQSF-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1[C@@H]3CCO[C@H]3C1(C)C)c2=O ZINC000245633649 408031113 /nfs/dbraw/zinc/03/11/13/408031113.db2.gz WDMAPCFVAXTAAP-ZEGGKSINSA-N -1 1 316.361 1.217 20 0 DDADMM Cc1ccc2c(c1)[C@@H](NC(=O)c1c[n-]c3c(cnn3C)c1=O)CO2 ZINC000119361825 408052334 /nfs/dbraw/zinc/05/23/34/408052334.db2.gz BTWITVKASXEWGT-ZDUSSCGKSA-N -1 1 324.340 1.846 20 0 DDADMM CCNC(=O)N1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000136037968 408068530 /nfs/dbraw/zinc/06/85/30/408068530.db2.gz QHFJLXFEBWVTMX-UHFFFAOYSA-N -1 1 311.769 1.533 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H](CCO)C(C)(C)C)c2=O ZINC000182337370 408129297 /nfs/dbraw/zinc/12/92/97/408129297.db2.gz DTAZMWKZAULRSF-LLVKDONJSA-N -1 1 306.366 1.201 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(C(C)(C)NC(C)=O)no2)c1 ZINC000273369043 408170761 /nfs/dbraw/zinc/17/07/61/408170761.db2.gz CMZNLOOUYPLHSB-UHFFFAOYSA-N -1 1 318.333 1.772 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC(c2ccc(Cl)cc2)CC1 ZINC000263578865 408181415 /nfs/dbraw/zinc/18/14/15/408181415.db2.gz TWLVXHUYMNMGSC-UHFFFAOYSA-N -1 1 310.781 1.720 20 0 DDADMM COc1cccc(OC)c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155574572 408183488 /nfs/dbraw/zinc/18/34/88/408183488.db2.gz XJJBHCBCNCWDJL-SNVBAGLBSA-N -1 1 317.349 1.237 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(-c2ncon2)cc1)c1nn[n-]n1 ZINC000273407640 408188122 /nfs/dbraw/zinc/18/81/22/408188122.db2.gz BPHKGXOJRSINAL-SECBINFHSA-N -1 1 313.321 1.125 20 0 DDADMM CO[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC[C@H]1C ZINC000190414839 408240164 /nfs/dbraw/zinc/24/01/64/408240164.db2.gz LQAORXHWCQCBJS-ZWNOBZJWSA-N -1 1 301.346 1.420 20 0 DDADMM Cc1c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cnn1C(C)(C)C ZINC000273570424 408249951 /nfs/dbraw/zinc/24/99/51/408249951.db2.gz ZHOIAWBUCATILB-SECBINFHSA-N -1 1 305.386 1.335 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@H]1CO)c1cc(F)c(F)cc1F ZINC000269287977 408254186 /nfs/dbraw/zinc/25/41/86/408254186.db2.gz JFYPGVLIAOFKTG-UFBFGSQYSA-N -1 1 323.336 1.933 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2ncn(C)c2Cl)s1 ZINC000273647599 408275866 /nfs/dbraw/zinc/27/58/66/408275866.db2.gz VYYKHXUORLRYNG-RXMQYKEDSA-N -1 1 321.815 1.273 20 0 DDADMM C[C@@H]1CN(C2CC2)C[C@H]1NS(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000273697727 408289250 /nfs/dbraw/zinc/28/92/50/408289250.db2.gz JIRLHBXLRUPCOH-QMTHXVAHSA-N -1 1 324.402 1.146 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC1CCOCC1 ZINC000176167986 408299651 /nfs/dbraw/zinc/29/96/51/408299651.db2.gz QEXNPKYBLLORHH-UHFFFAOYSA-N -1 1 307.394 1.532 20 0 DDADMM CSc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000132705772 162042549 /nfs/dbraw/zinc/04/25/49/162042549.db2.gz FEOXHKJTFUZNML-LLVKDONJSA-N -1 1 303.391 1.941 20 0 DDADMM CSc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000132705567 162042589 /nfs/dbraw/zinc/04/25/89/162042589.db2.gz FEOXHKJTFUZNML-NSHDSACASA-N -1 1 303.391 1.941 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@@]1(C)CCCC[C@@H]1O)c2=O ZINC000132817470 162045898 /nfs/dbraw/zinc/04/58/98/162045898.db2.gz PSIQJJWEMGFPGK-BLLLJJGKSA-N -1 1 318.377 1.345 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1Cc2cccc(F)c2O1)c1nn[n-]n1 ZINC000136706083 162120944 /nfs/dbraw/zinc/12/09/44/162120944.db2.gz LGELHWMSGZNUSW-GHMZBOCLSA-N -1 1 305.313 1.300 20 0 DDADMM O=C(Nc1cccc(-n2cn[nH]c2=O)c1)c1ccc([O-])cc1F ZINC000166563716 162166558 /nfs/dbraw/zinc/16/65/58/162166558.db2.gz YDTHWRFGPKXLBK-UHFFFAOYSA-N -1 1 314.276 1.658 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)Oc1cccc(Cl)c1)c1nn[n-]n1 ZINC000183402645 408402243 /nfs/dbraw/zinc/40/22/43/408402243.db2.gz OXBPGBLCFYQQBC-ZJUUUORDSA-N -1 1 323.784 1.883 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C(=O)OC)cc1)c1nn[n-]n1 ZINC000176777743 408440667 /nfs/dbraw/zinc/44/06/67/408440667.db2.gz IXBCZHVPOZTZGG-LLVKDONJSA-N -1 1 303.322 1.258 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(OCCOC)cc1)c1nn[n-]n1 ZINC000176776628 408441626 /nfs/dbraw/zinc/44/16/26/408441626.db2.gz UYYVUQDBPOXOHM-ZDUSSCGKSA-N -1 1 319.365 1.496 20 0 DDADMM CCc1nc(NC(=O)c2c[n-]c3cc(OC)ccc3c2=O)n[nH]1 ZINC000183571769 408444318 /nfs/dbraw/zinc/44/43/18/408444318.db2.gz ZKBXLRLVCYGWAX-UHFFFAOYSA-N -1 1 313.317 1.882 20 0 DDADMM CCc1n[nH]c(NC(=O)c2c[n-]c3cc(OC)ccc3c2=O)n1 ZINC000183571769 408444321 /nfs/dbraw/zinc/44/43/21/408444321.db2.gz ZKBXLRLVCYGWAX-UHFFFAOYSA-N -1 1 313.317 1.882 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2c([O-])cccc2F)[C@]12CCCO2 ZINC000274683710 408492811 /nfs/dbraw/zinc/49/28/11/408492811.db2.gz HVHJISUTBFNEQZ-XJKCOSOUSA-N -1 1 309.337 1.988 20 0 DDADMM CCOc1cc(C(=O)N2C[C@@H](O)C[C@H]2CO)cc(Cl)c1[O-] ZINC000274819490 408545554 /nfs/dbraw/zinc/54/55/54/408545554.db2.gz PFOYWTLJPMLPEI-UWVGGRQHSA-N -1 1 315.753 1.012 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cncnc1-c1ccccc1)c1nn[n-]n1 ZINC000274789481 408534129 /nfs/dbraw/zinc/53/41/29/408534129.db2.gz PSQUQIOUXDQYOD-NSHDSACASA-N -1 1 323.360 1.532 20 0 DDADMM CC(C)c1nnc2n1C[C@H](NC(=O)c1c([O-])cccc1F)CC2 ZINC000184630979 408657225 /nfs/dbraw/zinc/65/72/25/408657225.db2.gz LKUZPMOHZSNPJO-SNVBAGLBSA-N -1 1 318.352 1.991 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)NCCc1ccc(F)cc1)C(=O)[O-] ZINC000185048173 408744413 /nfs/dbraw/zinc/74/44/13/408744413.db2.gz CYYOYWUPECVGNY-BXUZGUMPSA-N -1 1 310.369 1.716 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC000163017149 408754316 /nfs/dbraw/zinc/75/43/16/408754316.db2.gz OASFXGSCNDTJAC-UHFFFAOYSA-N -1 1 321.345 1.728 20 0 DDADMM C[C@H]1C[C@@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)CN1C1CC1 ZINC000185102604 408757335 /nfs/dbraw/zinc/75/73/35/408757335.db2.gz QHCXFICGOAJPQC-CMPLNLGQSA-N -1 1 324.402 1.288 20 0 DDADMM Cc1cc(C(=O)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)no1 ZINC000281632658 408900598 /nfs/dbraw/zinc/90/05/98/408900598.db2.gz GUFQMJZUQUMGCJ-UHFFFAOYSA-N -1 1 319.283 1.514 20 0 DDADMM COC(=O)c1c[n-]c(SCc2nnnn2-c2ccccc2)n1 ZINC000187591545 163023226 /nfs/dbraw/zinc/02/32/26/163023226.db2.gz ZXPBPZUYMSKKAD-UHFFFAOYSA-N -1 1 316.346 1.464 20 0 DDADMM CCN(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000188442546 163053262 /nfs/dbraw/zinc/05/32/62/163053262.db2.gz SHZBJIXORMCPIQ-QMMMGPOBSA-N -1 1 322.789 1.624 20 0 DDADMM CCc1cc(C(=O)N2CC[N@H+](C[C@H](C)O)C[C@@H]2C)ccc1O ZINC000291749901 408916815 /nfs/dbraw/zinc/91/68/15/408916815.db2.gz UJEHMUOHBGOANW-STQMWFEESA-N -1 1 306.406 1.482 20 0 DDADMM CN(CCC(N)=O)C(=O)c1ccc(Br)cc1[O-] ZINC000286200316 408940359 /nfs/dbraw/zinc/94/03/59/408940359.db2.gz LAOQKOOLWIJEAF-UHFFFAOYSA-N -1 1 301.140 1.102 20 0 DDADMM C[C@H](C(=O)[O-])N1CCN(C(=O)C23CC4CC(CC(C4)C2)C3)CC1 ZINC000237470665 163379882 /nfs/dbraw/zinc/37/98/82/163379882.db2.gz LLDNOZYPQPDDER-QLFDAEIZSA-N -1 1 320.433 1.820 20 0 DDADMM C[C@H]1OCC[C@@]12CN(C(=O)c1cc(F)cc3nn[nH]c31)CCO2 ZINC000282058155 408982616 /nfs/dbraw/zinc/98/26/16/408982616.db2.gz MESZNFNZUFSTSZ-RFAUZJTJSA-N -1 1 320.324 1.117 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCC[C@H]3[C@@H]3CCCO3)ccnc1-2 ZINC000287286300 409014392 /nfs/dbraw/zinc/01/43/92/409014392.db2.gz UKHBHTSSVZKVQS-QRLNYVLISA-N -1 1 315.377 1.517 20 0 DDADMM O=C(c1cc(F)cc2nn[nH]c21)N1CCC[C@H]1c1cc[nH]n1 ZINC000287298540 409015334 /nfs/dbraw/zinc/01/53/34/409015334.db2.gz ZYGYRRVBNQMBLC-LBPRGKRZSA-N -1 1 300.297 1.797 20 0 DDADMM C[C@H]1C[C@@H](CCNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000287688598 409076842 /nfs/dbraw/zinc/07/68/42/409076842.db2.gz RBORLNOVRHJLHH-ZGNYSXHWSA-N -1 1 317.393 1.669 20 0 DDADMM CCOC(=O)N[C@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000287724195 409081748 /nfs/dbraw/zinc/08/17/48/409081748.db2.gz SQKSEQOAXVGQCX-LBPRGKRZSA-N -1 1 320.345 1.312 20 0 DDADMM CCOC(=O)[C@@H](F)C1CN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000293869257 409197217 /nfs/dbraw/zinc/19/72/17/409197217.db2.gz GZRNYBQCKZDPPQ-NSHDSACASA-N -1 1 317.263 1.644 20 0 DDADMM C[C@H]1CCC[C@H]1[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000293594422 409144023 /nfs/dbraw/zinc/14/40/23/409144023.db2.gz URFBGSDDSQMPII-JGVFFNPUSA-N -1 1 311.329 1.906 20 0 DDADMM CCC[C@@H](C)C(=O)NCCNC(=O)c1c(F)ccc([O-])c1F ZINC000294080454 409236765 /nfs/dbraw/zinc/23/67/65/409236765.db2.gz PXKDCHZMJIOHNY-SECBINFHSA-N -1 1 314.332 1.953 20 0 DDADMM CCOCCOCCN(C)C(=O)c1c(F)ccc([O-])c1F ZINC000290181777 409315052 /nfs/dbraw/zinc/31/50/52/409315052.db2.gz NTYSUJDYZLXLJV-UHFFFAOYSA-N -1 1 303.305 1.796 20 0 DDADMM O=C([O-])C1CCN(CC(=O)NCC2(c3ccccc3)CC2)CC1 ZINC000262674389 163864074 /nfs/dbraw/zinc/86/40/74/163864074.db2.gz SZPYEFXBJXNVAL-UHFFFAOYSA-N -1 1 316.401 1.631 20 0 DDADMM CC[C@H](CNC(=O)CCc1c(C)nc(SC)[n-]c1=O)OC ZINC000290405113 409387647 /nfs/dbraw/zinc/38/76/47/409387647.db2.gz LVOYSMXCODBESL-SNVBAGLBSA-N -1 1 313.423 1.686 20 0 DDADMM CCOC(=O)C(C)(C)ONC(=O)c1c(F)ccc([O-])c1F ZINC000295531230 409333508 /nfs/dbraw/zinc/33/35/08/409333508.db2.gz JOKGLIMVIYLAFJ-UHFFFAOYSA-N -1 1 303.261 1.674 20 0 DDADMM Cc1noc(C2CCN(C(=O)C(=O)c3ccc([O-])cc3)CC2)n1 ZINC000280749118 409436378 /nfs/dbraw/zinc/43/63/78/409436378.db2.gz KKYUJSPAYAXAOA-UHFFFAOYSA-N -1 1 315.329 1.673 20 0 DDADMM CC1([N-]S(=O)(=O)c2ccc(Br)o2)CCOCC1 ZINC000408213213 164262911 /nfs/dbraw/zinc/26/29/11/164262911.db2.gz FFXAFAGVKVOOSM-UHFFFAOYSA-N -1 1 324.196 1.890 20 0 DDADMM Cc1noc([C@@H]2CCCN(C(=O)c3ncc(C)cc3[O-])C2)n1 ZINC000408223967 164266619 /nfs/dbraw/zinc/26/66/19/164266619.db2.gz MBZNACJHQVVOLV-LLVKDONJSA-N -1 1 302.334 1.807 20 0 DDADMM COc1cc(C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)cc(Cl)c1[O-] ZINC000408261824 164279067 /nfs/dbraw/zinc/27/90/67/164279067.db2.gz SWXYYOUQDQGGFW-MWLCHTKSSA-N -1 1 324.764 1.405 20 0 DDADMM CCC[C@@H]1SCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000337906869 409559442 /nfs/dbraw/zinc/55/94/42/409559442.db2.gz NJZSMTKCNJYDPF-LBPRGKRZSA-N -1 1 309.391 1.219 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000344853110 409534525 /nfs/dbraw/zinc/53/45/25/409534525.db2.gz LWNJCUVSSGCKMY-PHDIDXHHSA-N -1 1 305.260 1.054 20 0 DDADMM CC[C@@H]1CN(CCN=c2[n-]c([C@H](C)OC)ns2)CCO1 ZINC000337940185 409584435 /nfs/dbraw/zinc/58/44/35/409584435.db2.gz ACWFRBVYNMCQLB-WDEREUQCSA-N -1 1 300.428 1.190 20 0 DDADMM COC(=O)CCSCCN=c1nc(C(F)(F)F)[n-]s1 ZINC000342412318 409607862 /nfs/dbraw/zinc/60/78/62/409607862.db2.gz JGTZKMZYVANINZ-UHFFFAOYSA-N -1 1 315.342 1.687 20 0 DDADMM CCC(CC)n1nc(C(=O)NC2(c3nn[n-]n3)CC2)cc1C ZINC000357070157 409836637 /nfs/dbraw/zinc/83/66/37/409836637.db2.gz NFSFTJQAFRTSBF-UHFFFAOYSA-N -1 1 303.370 1.485 20 0 DDADMM CCOc1cc(C(=O)N2CCc3n[nH]nc3C2)cc(Cl)c1[O-] ZINC000346109464 409788908 /nfs/dbraw/zinc/78/89/08/409788908.db2.gz QZWNRXFCVVWNFS-UHFFFAOYSA-N -1 1 322.752 1.761 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCOC(F)(F)F)cnc2n1 ZINC000357017938 409798213 /nfs/dbraw/zinc/79/82/13/409798213.db2.gz WGZRNVJDQLLSGK-UHFFFAOYSA-N -1 1 315.251 1.910 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCOC(F)(F)F)c2=O ZINC000357017938 409798222 /nfs/dbraw/zinc/79/82/22/409798222.db2.gz WGZRNVJDQLLSGK-UHFFFAOYSA-N -1 1 315.251 1.910 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccc2c(c1)COC2 ZINC000342672587 409801889 /nfs/dbraw/zinc/80/18/89/409801889.db2.gz VXMABRUWWSZAAT-UHFFFAOYSA-N -1 1 315.329 1.301 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](CCO)C1CCCCC1 ZINC000349587171 409881284 /nfs/dbraw/zinc/88/12/84/409881284.db2.gz NPWXDLXCTCULAK-NSHDSACASA-N -1 1 317.411 1.005 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](CCO)C1CCCCC1 ZINC000349587171 409881290 /nfs/dbraw/zinc/88/12/90/409881290.db2.gz NPWXDLXCTCULAK-NSHDSACASA-N -1 1 317.411 1.005 20 0 DDADMM COc1cccc(NC(=O)CN2CC[C@@H](C(=O)[O-])[C@H](C)C2)c1 ZINC000319356569 409893652 /nfs/dbraw/zinc/89/36/52/409893652.db2.gz NVDIJYHBXPWTEB-BXUZGUMPSA-N -1 1 306.362 1.676 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)[C@H]3CCCO3)cnc2n1 ZINC000332115772 409896660 /nfs/dbraw/zinc/89/66/60/409896660.db2.gz KFBZFLVSPSKWBV-GXFFZTMASA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)[C@H]1CCCO1)c2=O ZINC000332115772 409896665 /nfs/dbraw/zinc/89/66/65/409896665.db2.gz KFBZFLVSPSKWBV-GXFFZTMASA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@]3(O)CCC[C@H]3C)cnc2n1 ZINC000332186831 409958664 /nfs/dbraw/zinc/95/86/64/409958664.db2.gz WBYBHIUROJLPJN-QGHHPUGFSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@@]3(O)CCC[C@H]3C)c[n-]c2n1 ZINC000332186831 409958670 /nfs/dbraw/zinc/95/86/70/409958670.db2.gz WBYBHIUROJLPJN-QGHHPUGFSA-N -1 1 315.373 1.925 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(F)cc3nn[nH]c32)[C@@H](C(N)=O)C1 ZINC000328660392 409949311 /nfs/dbraw/zinc/94/93/11/409949311.db2.gz VHHKJVZADUVAMF-WRWORJQWSA-N -1 1 305.313 1.873 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1ccc(C(F)(F)F)cc1 ZINC000635158437 422851124 /nfs/dbraw/zinc/85/11/24/422851124.db2.gz PXVNPZFKKQUWHG-UHFFFAOYSA-N -1 1 313.283 1.858 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@H](C)Cc1ccccc1F ZINC000297866160 410029636 /nfs/dbraw/zinc/02/96/36/410029636.db2.gz ZBIQBGKHGUKJEF-MRVPVSSYSA-N -1 1 305.313 1.121 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)c1ccc(C(C)(C)C)s1 ZINC000297866114 410030116 /nfs/dbraw/zinc/03/01/16/410030116.db2.gz ZBANWKYRGATPLT-UHFFFAOYSA-N -1 1 307.379 1.776 20 0 DDADMM CCOc1cccnc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332281942 410038909 /nfs/dbraw/zinc/03/89/09/410038909.db2.gz ICWIOUYNVOURQU-JTQLQIEISA-N -1 1 318.333 1.589 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)Cc1ccc(C(C)(C)C)cc1 ZINC000297783990 409999377 /nfs/dbraw/zinc/99/93/77/409999377.db2.gz QUQTWFGGLLBYEW-UHFFFAOYSA-N -1 1 315.377 1.643 20 0 DDADMM COc1cccc([C@H]2C[C@H]2C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000354777514 410080549 /nfs/dbraw/zinc/08/05/49/410080549.db2.gz FDSLEDNEQXRLLL-VXGBXAGGSA-N -1 1 301.350 1.363 20 0 DDADMM C[C@H](CC(=O)NC(C)(C)c1nn[n-]n1)Cc1cccc(F)c1 ZINC000354796691 410091684 /nfs/dbraw/zinc/09/16/84/410091684.db2.gz XGJZKPUCJFSTHB-JTQLQIEISA-N -1 1 305.357 1.959 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1ccc(C)s1 ZINC000357596812 410123905 /nfs/dbraw/zinc/12/39/05/410123905.db2.gz ITJADVZXLSQYJS-UHFFFAOYSA-N -1 1 309.347 1.539 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]Cc1nc([C@H](C)C2CC2)no1 ZINC000357599341 410126491 /nfs/dbraw/zinc/12/64/91/410126491.db2.gz SUJVTBLEPDERNR-SNVBAGLBSA-N -1 1 317.411 1.428 20 0 DDADMM CC[C@H]1CN(CCN=c2[n-]c(C(F)(F)F)ns2)CCO1 ZINC000343094288 410139073 /nfs/dbraw/zinc/13/90/73/410139073.db2.gz JKQRJNVZSWZKAK-QMMMGPOBSA-N -1 1 310.345 1.502 20 0 DDADMM C[C@]1(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CC=CCC1 ZINC000332465797 410180406 /nfs/dbraw/zinc/18/04/06/410180406.db2.gz RZPJJKTYLCBZLG-AWEZNQCLSA-N -1 1 307.423 1.346 20 0 DDADMM C[C@@H]1CCN(Cc2nn(C)c(=O)[n-]2)CC[N@@H+]1Cc1ccccc1 ZINC000329259218 410196219 /nfs/dbraw/zinc/19/62/19/410196219.db2.gz CNDRLMXVGJQNHN-CQSZACIVSA-N -1 1 315.421 1.205 20 0 DDADMM O=c1nc([C@@H]2CCCN(Cc3cc(-c4ccco4)on3)C2)[nH][n-]1 ZINC000298582700 410300510 /nfs/dbraw/zinc/30/05/10/410300510.db2.gz RCVYTOBFCNIXDH-SNVBAGLBSA-N -1 1 315.333 1.726 20 0 DDADMM CNC(=O)NC(=O)[C@@H](C)Sc1nc(C(F)F)cc(=O)[n-]1 ZINC000351883818 410317749 /nfs/dbraw/zinc/31/77/49/410317749.db2.gz OAJMFEWCVSLFAQ-SCSAIBSYSA-N -1 1 306.294 1.056 20 0 DDADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H](c2ccc(F)cc2)O1 ZINC000329565877 410364016 /nfs/dbraw/zinc/36/40/16/410364016.db2.gz HHXKTASYLRJXOI-GWCFXTLKSA-N -1 1 306.341 1.210 20 0 DDADMM COc1cc(C(=O)NCCc2nnc(C)n2C)cc(Cl)c1[O-] ZINC000355191487 410369104 /nfs/dbraw/zinc/36/91/04/410369104.db2.gz ZZWGCGDPLMUXDU-UHFFFAOYSA-N -1 1 324.768 1.464 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H](OCc3ccccc3F)C2)nc1=O ZINC000329894169 410476025 /nfs/dbraw/zinc/47/60/25/410476025.db2.gz BFQGTNRMOYYMQL-ZDUSSCGKSA-N -1 1 320.368 1.429 20 0 DDADMM COCCOc1ccccc1/C=C\c1cc(=O)n2[n-]cnc2n1 ZINC000352027299 410428337 /nfs/dbraw/zinc/42/83/37/410428337.db2.gz OEVXDMKVADLIBH-SREVYHEPSA-N -1 1 312.329 1.613 20 0 DDADMM Cc1nn(CC(F)(F)F)cc1C(=O)Nc1ccncc1[O-] ZINC000358321186 410447729 /nfs/dbraw/zinc/44/77/29/410447729.db2.gz AGWUFXGABHNYPB-UHFFFAOYSA-N -1 1 300.240 1.529 20 0 DDADMM CO[C@@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)c1ccc(O)cc1 ZINC000352125513 410498518 /nfs/dbraw/zinc/49/85/18/410498518.db2.gz IJIPCXGGTYDXCV-MRVPVSSYSA-N -1 1 316.239 1.855 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2scnc2C)o1 ZINC000352146149 410509518 /nfs/dbraw/zinc/50/95/18/410509518.db2.gz DDRQUGXRBGFHLY-UHFFFAOYSA-N -1 1 301.349 1.205 20 0 DDADMM COCCCCS(=O)(=O)[N-][C@@H](C(=O)OC)c1ccsc1 ZINC000356248975 298740965 /nfs/dbraw/zinc/74/09/65/298740965.db2.gz OYDSFBWHXIZKBK-LLVKDONJSA-N -1 1 321.420 1.308 20 0 DDADMM CC[C@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343677207 410614667 /nfs/dbraw/zinc/61/46/67/410614667.db2.gz WJHOVNZMEWMFCE-LBPRGKRZSA-N -1 1 305.378 1.556 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@H]2[C@@H]2CCCCC2=O)c([O-])c1 ZINC000330334538 410633494 /nfs/dbraw/zinc/63/34/94/410633494.db2.gz YSGVFKOXXMCXPI-STQMWFEESA-N -1 1 318.373 1.696 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)Oc1ccccc1F)c1nn[n-]n1 ZINC000343716332 410644379 /nfs/dbraw/zinc/64/43/79/410644379.db2.gz CPTFRFXOGQWNDY-GXSJLCMTSA-N -1 1 307.329 1.764 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)[C@@H]2CCCOC2)o1 ZINC000355762552 410682653 /nfs/dbraw/zinc/68/26/53/410682653.db2.gz QEQIJXLNDXJKRG-VHSXEESVSA-N -1 1 317.363 1.160 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C2CC(F)(F)C2)c1 ZINC000347734225 410688531 /nfs/dbraw/zinc/68/85/31/410688531.db2.gz LXNFUPXORNDELB-UHFFFAOYSA-N -1 1 306.290 1.023 20 0 DDADMM CCC[C@H](NC(=O)c1ccnc(OC(C)C)c1)c1nn[n-]n1 ZINC000347865619 410760887 /nfs/dbraw/zinc/76/08/87/410760887.db2.gz CLFIRHPBELZJOR-NSHDSACASA-N -1 1 304.354 1.653 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)C[C@@H]1CCOC1 ZINC000330651668 410850203 /nfs/dbraw/zinc/85/02/03/410850203.db2.gz MJUGRMTURSPKKK-VIFPVBQESA-N -1 1 316.354 1.562 20 0 DDADMM CCOc1ccccc1OCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000359804660 410892004 /nfs/dbraw/zinc/89/20/04/410892004.db2.gz WDMHBZIFVKAKTG-UHFFFAOYSA-N -1 1 305.338 1.029 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@@H]1c1cccc(F)c1F ZINC000348297047 410904352 /nfs/dbraw/zinc/90/43/52/410904352.db2.gz JEBURPVRGCHNMV-RKDXNWHRSA-N -1 1 305.288 1.387 20 0 DDADMM Cc1ccc(-c2ocnc2C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348298732 410905567 /nfs/dbraw/zinc/90/55/67/410905567.db2.gz TUTJGOLBORDQFP-UHFFFAOYSA-N -1 1 310.317 1.582 20 0 DDADMM CCN(CC)C(=O)CCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000359900230 410942296 /nfs/dbraw/zinc/94/22/96/410942296.db2.gz XUHLEMMROHDGAS-UHFFFAOYSA-N -1 1 309.414 1.371 20 0 DDADMM CCc1cnccc1CNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000352598704 167499812 /nfs/dbraw/zinc/49/98/12/167499812.db2.gz UKSAJRBPUVMMIX-UHFFFAOYSA-N -1 1 323.374 1.075 20 0 DDADMM Cc1cnc(C(=O)N2CCN(CC(F)(F)F)CC2)c([O-])c1 ZINC000331188389 411005814 /nfs/dbraw/zinc/00/58/14/411005814.db2.gz UPXWSUVCRRBMDO-UHFFFAOYSA-N -1 1 303.284 1.416 20 0 DDADMM CCC[C@H](C)CS(=O)(=O)[N-][C@H](CC(F)F)C(=O)OC ZINC000341720105 411052414 /nfs/dbraw/zinc/05/24/14/411052414.db2.gz YIBZUZZVDVYIEH-DTWKUNHWSA-N -1 1 301.355 1.539 20 0 DDADMM CC[C@H](C)[C@@H]([N-]S(=O)(=O)Cc1ccccc1F)C(=O)OC ZINC000341867940 411077550 /nfs/dbraw/zinc/07/75/50/411077550.db2.gz VBKVAZKDTSHOPN-GXFFZTMASA-N -1 1 317.382 1.833 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)Cc1ccccc1Br ZINC000353477658 411017094 /nfs/dbraw/zinc/01/70/94/411017094.db2.gz KOJBBILTHYCTQN-UHFFFAOYSA-N -1 1 311.183 1.503 20 0 DDADMM CC(C)(NC(=O)c1cnc([C@@H]2CCCO2)s1)c1nn[n-]n1 ZINC000341393220 411036480 /nfs/dbraw/zinc/03/64/80/411036480.db2.gz VWKIECCZVFPAIT-ZETCQYMHSA-N -1 1 308.367 1.173 20 0 DDADMM O=c1nc(NCCc2c[nH]c3ccc(F)cc23)nc2[nH][n-]cc1-2 ZINC000360275648 411119380 /nfs/dbraw/zinc/11/93/80/411119380.db2.gz SEDWCGDWCMWXAD-UHFFFAOYSA-N -1 1 312.308 1.707 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCCC[C@@H]1[C@@H]1CCCCC1=O ZINC000631718160 422893185 /nfs/dbraw/zinc/89/31/85/422893185.db2.gz IEHAFSKLZQDYKL-QWHCGFSZSA-N -1 1 319.409 1.663 20 0 DDADMM CC(C)[C@@H]1CNCCN1C(=O)c1cc(F)c(F)c([O-])c1F ZINC000638489090 422931029 /nfs/dbraw/zinc/93/10/29/422931029.db2.gz LJUUXMPTPHAQRE-JTQLQIEISA-N -1 1 302.296 1.880 20 0 DDADMM CSC[C@H](C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000131915553 196210204 /nfs/dbraw/zinc/21/02/04/196210204.db2.gz VFZRMEDFYYNEBT-QMMMGPOBSA-N -1 1 308.407 1.050 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)[C@@H]1CCOC1 ZINC000645567427 423088560 /nfs/dbraw/zinc/08/85/60/423088560.db2.gz KJSBQYURHJTHCE-DTWKUNHWSA-N -1 1 324.324 1.804 20 0 DDADMM CC[C@H](C)n1nc(NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1C ZINC000545418951 416675430 /nfs/dbraw/zinc/67/54/30/416675430.db2.gz TVICXJJWQMNXLI-QMMMGPOBSA-N -1 1 315.337 1.146 20 0 DDADMM CO[C@H]1CCCC[C@H]1S(=O)(=O)[N-]Cc1nnc(C2CC2)o1 ZINC000647905360 423109821 /nfs/dbraw/zinc/10/98/21/423109821.db2.gz QKJUJESZGDEGAX-WDEREUQCSA-N -1 1 315.395 1.324 20 0 DDADMM CN(C)C(=O)O[C@@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000295655557 225091323 /nfs/dbraw/zinc/09/13/23/225091323.db2.gz MPJRJTPZOIXROX-LLVKDONJSA-N -1 1 310.325 1.834 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnc(C)nc1)c1ccccc1 ZINC000295909236 225369166 /nfs/dbraw/zinc/36/91/66/225369166.db2.gz IVMNDPAXJXDCLX-AWEZNQCLSA-N -1 1 307.375 1.914 20 0 DDADMM CCCn1cc(C(=O)NCc2cc(=O)[n-]c(SC)n2)cn1 ZINC000640655502 423116695 /nfs/dbraw/zinc/11/66/95/423116695.db2.gz FOAJIPDRBUFNTI-UHFFFAOYSA-N -1 1 307.379 1.441 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@]2(CCO[C@H](C)C2)O1 ZINC000373743048 418456528 /nfs/dbraw/zinc/45/65/28/418456528.db2.gz KRMAKZQPVCKKJM-HSMVNMDESA-N -1 1 306.362 1.586 20 0 DDADMM O=C(Cc1c(F)cccc1Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000360876150 418538813 /nfs/dbraw/zinc/53/88/13/418538813.db2.gz YFFVUIPACUUESO-SECBINFHSA-N -1 1 323.759 1.941 20 0 DDADMM O=C(NCCCNc1ccccn1)c1nc2ccccc2c(=O)[n-]1 ZINC000194229330 418541029 /nfs/dbraw/zinc/54/10/29/418541029.db2.gz VVYNNKDAZCVHGK-UHFFFAOYSA-N -1 1 323.356 1.550 20 0 DDADMM COC[C@@H]1CCCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000375137835 418609656 /nfs/dbraw/zinc/60/96/56/418609656.db2.gz XWLUATJOBAAJRO-GFCCVEGCSA-N -1 1 315.373 1.812 20 0 DDADMM COCCS(=O)(=O)[N-][C@H](c1nc(C)no1)c1ccccc1 ZINC000361278676 418617036 /nfs/dbraw/zinc/61/70/36/418617036.db2.gz OSQFCIFYBQFNQS-LBPRGKRZSA-N -1 1 311.363 1.033 20 0 DDADMM C[C@H]1CC(=O)NCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000367871766 418650587 /nfs/dbraw/zinc/65/05/87/418650587.db2.gz USGMQQCEZYMTNX-JTQLQIEISA-N -1 1 302.330 1.209 20 0 DDADMM Cc1cn(-c2cccnc2)nc1[N-]S(=O)(=O)N1CCCCC1 ZINC000367809096 418637847 /nfs/dbraw/zinc/63/78/47/418637847.db2.gz CSUBEZRBIKYDOU-UHFFFAOYSA-N -1 1 321.406 1.718 20 0 DDADMM CC(C)(C)c1n[n-]c(=NC(=O)N[C@H]2CCc3nc[nH]c3C2)s1 ZINC000368232571 418707705 /nfs/dbraw/zinc/70/77/05/418707705.db2.gz SAYACSQOHQJNPU-QMMMGPOBSA-N -1 1 320.422 1.660 20 0 DDADMM CN1CC[C@@H]2CCN(S(=O)(=O)c3ccc(C(=O)[O-])cc3)C[C@@H]21 ZINC000375578694 418658499 /nfs/dbraw/zinc/65/84/99/418658499.db2.gz QJULGDUIXLPZNK-RISCZKNCSA-N -1 1 324.402 1.100 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)N[C@H]2CCCc3ccccc32)C1 ZINC000361506801 418665064 /nfs/dbraw/zinc/66/50/64/418665064.db2.gz NBLNTTLWHOETPB-ZBFHGGJFSA-N -1 1 316.401 1.977 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@H]2CCCc3ccccc32)C1 ZINC000361506801 418665065 /nfs/dbraw/zinc/66/50/65/418665065.db2.gz NBLNTTLWHOETPB-ZBFHGGJFSA-N -1 1 316.401 1.977 20 0 DDADMM COc1ccc(NC(=O)CN2CCC[C@@H](CC(=O)[O-])C2)cc1 ZINC000386457699 418740292 /nfs/dbraw/zinc/74/02/92/418740292.db2.gz SPSHDNJUZWKETR-LBPRGKRZSA-N -1 1 306.362 1.820 20 0 DDADMM CCOc1cc(C(=O)N2CC(n3cncn3)C2)cc(Cl)c1[O-] ZINC000372034608 418832637 /nfs/dbraw/zinc/83/26/37/418832637.db2.gz XKIIPJHEYCYBSJ-UHFFFAOYSA-N -1 1 322.752 1.733 20 0 DDADMM C[C@@H](CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC000364768464 418809245 /nfs/dbraw/zinc/80/92/45/418809245.db2.gz GJXREMXHNQDZFC-GWCFXTLKSA-N -1 1 319.340 1.433 20 0 DDADMM COCC[C@H](COC)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425234692 228396261 /nfs/dbraw/zinc/39/62/61/228396261.db2.gz MPWBTCZNNXCIOK-SNVBAGLBSA-N -1 1 323.361 1.603 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ncc(C)cc2[O-])[C@H](CC)CN1C(C)=O ZINC000427241129 419628215 /nfs/dbraw/zinc/62/82/15/419628215.db2.gz ATWPQCHKMBTIJC-ZIAGYGMSSA-N -1 1 319.405 1.957 20 0 DDADMM CCOCc1nc([C@H](C)NC(=O)c2ncc(C)cc2[O-])no1 ZINC000427618943 419697711 /nfs/dbraw/zinc/69/77/11/419697711.db2.gz ULKVJDDSHBVBJG-VIFPVBQESA-N -1 1 306.322 1.506 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)NC(=O)c1ncc(C)cc1[O-] ZINC000427809076 419738729 /nfs/dbraw/zinc/73/87/29/419738729.db2.gz SVVFFIYMLMTAGV-SSDOTTSWSA-N -1 1 306.240 1.319 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)F)c1cccc(Cl)c1F ZINC000428370922 419857054 /nfs/dbraw/zinc/85/70/54/419857054.db2.gz SOMLANXOHFONDT-LURJTMIESA-N -1 1 317.716 1.774 20 0 DDADMM COc1ccncc1C[N-]S(=O)(=O)c1c(F)cccc1F ZINC000431577628 229043138 /nfs/dbraw/zinc/04/31/38/229043138.db2.gz HOXRVRUDGZMYNO-UHFFFAOYSA-N -1 1 314.313 1.847 20 0 DDADMM CCN(Cc1ccccc1C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416140547 420254622 /nfs/dbraw/zinc/25/46/22/420254622.db2.gz UTIGMBPGBLHKBX-UHFFFAOYSA-N -1 1 317.345 1.867 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc([S@](C)=O)cc1)c1nn[n-]n1 ZINC000436160884 420314949 /nfs/dbraw/zinc/31/49/49/420314949.db2.gz AKULPJXYHWPHSW-IPQOISQHSA-N -1 1 321.406 1.137 20 0 DDADMM NC(=O)[C@@H](Cc1ccccc1)NC(=O)c1cc(F)ccc1[O-] ZINC000436548052 420338426 /nfs/dbraw/zinc/33/84/26/420338426.db2.gz LKFNENYMBNHQIW-CYBMUJFWSA-N -1 1 302.305 1.358 20 0 DDADMM CCO[C@H](CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)C(C)C ZINC000425293871 420342412 /nfs/dbraw/zinc/34/24/12/420342412.db2.gz CIZJMPDHXMJUCV-VXGBXAGGSA-N -1 1 311.382 1.932 20 0 DDADMM COc1ccc(CNC(=O)C(=O)c2ccc([O-])cc2)c(OC)n1 ZINC000436615498 420343606 /nfs/dbraw/zinc/34/36/06/420343606.db2.gz FCWPDUCLGGPGAF-UHFFFAOYSA-N -1 1 316.313 1.304 20 0 DDADMM N=c1[n-]nc(CNC(=O)c2c(O)cc(Cl)cc2Cl)o1 ZINC000436597594 420344267 /nfs/dbraw/zinc/34/42/67/420344267.db2.gz VZIZSRWJQUYWKK-UHFFFAOYSA-N -1 1 303.105 1.425 20 0 DDADMM O=C(Nc1cccc(N2CCNC2=O)c1)c1ccc(O)cc1[O-] ZINC000436627272 420345303 /nfs/dbraw/zinc/34/53/03/420345303.db2.gz VPAOZYFFMBGYJP-UHFFFAOYSA-N -1 1 313.313 1.880 20 0 DDADMM COCC[C@@H](NC(=O)c1ccc2ccccc2c1[O-])C(N)=O ZINC000436685664 420356696 /nfs/dbraw/zinc/35/66/96/420356696.db2.gz CAQHRYOTFWTRAN-CYBMUJFWSA-N -1 1 302.330 1.166 20 0 DDADMM CC(=O)c1ccc(CNC(=O)C(=O)c2ccc([O-])cc2)nc1C ZINC000436707171 420357336 /nfs/dbraw/zinc/35/73/36/420357336.db2.gz OBKINOHLDYTULL-UHFFFAOYSA-N -1 1 312.325 1.797 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)C[C@@H](CC)OC)C(=O)OCC ZINC000420694831 420359294 /nfs/dbraw/zinc/35/92/94/420359294.db2.gz WHSNRHDBKXCDCM-XPMWWOIKSA-N -1 1 307.412 1.229 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@H](C(C)=O)c1ccccc1F)OC ZINC000420699945 420361575 /nfs/dbraw/zinc/36/15/75/420361575.db2.gz NRGHGSDBNSWVLU-BXUZGUMPSA-N -1 1 317.382 1.800 20 0 DDADMM CC[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])[C@@H]1CC1(C)C ZINC000416280546 420302712 /nfs/dbraw/zinc/30/27/12/420302712.db2.gz YPLJOVDYAOMGFA-WDEREUQCSA-N -1 1 305.378 1.458 20 0 DDADMM CNC(=O)[C@H](CCSC)NC(=O)c1ccc(Cl)cc1[O-] ZINC000436852476 420377388 /nfs/dbraw/zinc/37/73/88/420377388.db2.gz ILTBESZJYFKVBJ-JTQLQIEISA-N -1 1 316.810 1.643 20 0 DDADMM Cn1c(=O)oc2c1cccc2NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436971650 420388567 /nfs/dbraw/zinc/38/85/67/420388567.db2.gz PHVKFMOTGDGWNH-UHFFFAOYSA-N -1 1 312.281 1.659 20 0 DDADMM O=C(NC1CC1)N[C@H]1CCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000436979514 420389700 /nfs/dbraw/zinc/38/97/00/420389700.db2.gz KBEUJLOBQYIOAZ-NSHDSACASA-N -1 1 323.780 1.722 20 0 DDADMM O=C(N[C@H](CO)Cc1ccc(F)cc1)C(=O)c1ccc([O-])cc1 ZINC000436997283 420391603 /nfs/dbraw/zinc/39/16/03/420391603.db2.gz HWZZJKCGSDENII-AWEZNQCLSA-N -1 1 317.316 1.434 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000416576127 420422694 /nfs/dbraw/zinc/42/26/94/420422694.db2.gz CQEDEXRORFYHQE-JTQLQIEISA-N -1 1 318.420 1.380 20 0 DDADMM C[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@H](C)[C@H](C)O1 ZINC000437917787 420430279 /nfs/dbraw/zinc/43/02/79/420430279.db2.gz PKJOXVCWTCLJHX-MXWKQRLJSA-N -1 1 301.346 1.561 20 0 DDADMM CS(=O)(=O)[N-]c1ccc(Oc2nccn(C3CC3)c2=O)cn1 ZINC000439122849 420482055 /nfs/dbraw/zinc/48/20/55/420482055.db2.gz ZHKMSJBPAFODIH-UHFFFAOYSA-N -1 1 322.346 1.137 20 0 DDADMM COc1ccc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)nc1 ZINC000492477082 420569950 /nfs/dbraw/zinc/56/99/50/420569950.db2.gz UMORKSZPKYCGEW-ZRQQLDRUSA-N -1 1 314.349 1.023 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2nc3ccc(F)cc3[nH]2)cn1 ZINC000442619734 420689588 /nfs/dbraw/zinc/68/95/88/420689588.db2.gz HYETZNNXYWBYEL-UHFFFAOYSA-N -1 1 322.321 1.906 20 0 DDADMM COc1cc(C(=O)NCCCS(C)(=O)=O)cc(Cl)c1[O-] ZINC000442661751 420695592 /nfs/dbraw/zinc/69/55/92/420695592.db2.gz UPKJMHFZYSTCAQ-UHFFFAOYSA-N -1 1 321.782 1.219 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1CCO[C@H]1C)c1ccsc1 ZINC000442741787 420705823 /nfs/dbraw/zinc/70/58/23/420705823.db2.gz NRKZVHAXUNWGRM-JMJZKYOTSA-N -1 1 319.404 1.059 20 0 DDADMM COc1cc(C(=O)NC[C@H]2CCCC(=O)N2)cc(Cl)c1[O-] ZINC000447746085 420798593 /nfs/dbraw/zinc/79/85/93/420798593.db2.gz OTQQGBFQBABCRO-SECBINFHSA-N -1 1 312.753 1.453 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc(C=CC(=O)Nc2nn[nH]c2C(N)=O)o1 ZINC000493680754 420914150 /nfs/dbraw/zinc/91/41/50/420914150.db2.gz GPEOKAXZNGUAIW-IAVIKXIUSA-N -1 1 301.306 1.272 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)N=c1[n-]nc2ccccn21 ZINC000454741190 420918871 /nfs/dbraw/zinc/91/88/71/420918871.db2.gz GKFMEFGVOLUASL-GFCCVEGCSA-N -1 1 302.382 1.099 20 0 DDADMM COc1cc(NC(=O)[C@@H]2CC2(F)F)ccc1[N-]S(C)(=O)=O ZINC000448683561 420881951 /nfs/dbraw/zinc/88/19/51/420881951.db2.gz ZRMDSPLLEOEFEE-QMMMGPOBSA-N -1 1 320.317 1.660 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)N=c1[n-]nc(C(F)F)s1 ZINC000454598295 420888957 /nfs/dbraw/zinc/88/89/57/420888957.db2.gz RVJXBMFEDCEVEZ-MRVPVSSYSA-N -1 1 319.381 1.846 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)N2CC(O)C2)c([O-])c1 ZINC000494065423 420986658 /nfs/dbraw/zinc/98/66/58/420986658.db2.gz OLEDJTOKGDTLIR-UHFFFAOYSA-N -1 1 308.334 1.556 20 0 DDADMM CCOC1CC(N(C)C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC000489430200 421154507 /nfs/dbraw/zinc/15/45/07/421154507.db2.gz QGYWJAYFWQXDLH-UHFFFAOYSA-N -1 1 311.407 1.852 20 0 DDADMM C[S@@](=O)C1(C[N-]S(=O)(=O)c2cc3ccccc3o2)CC1 ZINC000559797956 421225875 /nfs/dbraw/zinc/22/58/75/421225875.db2.gz XJDVEZRMUWZKBU-LJQANCHMSA-N -1 1 313.400 1.622 20 0 DDADMM CC(C)(C)NC(=O)CS(=O)(=O)c1nc(-c2ccccc2)n[n-]1 ZINC000559994854 421230289 /nfs/dbraw/zinc/23/02/89/421230289.db2.gz DRZNPKBLNSGAKI-UHFFFAOYSA-N -1 1 322.390 1.160 20 0 DDADMM CC(C)(C)NC(=O)CS(=O)(=O)c1n[n-]c(-c2ccccc2)n1 ZINC000559994854 421230292 /nfs/dbraw/zinc/23/02/92/421230292.db2.gz DRZNPKBLNSGAKI-UHFFFAOYSA-N -1 1 322.390 1.160 20 0 DDADMM O=C(NCCC1=CCCCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000546656155 421300663 /nfs/dbraw/zinc/30/06/63/421300663.db2.gz YSLHRTXOZGDQPF-UHFFFAOYSA-N -1 1 301.350 1.428 20 0 DDADMM C[C@H]1CCC[C@H](OCC(=O)NC2(c3nn[n-]n3)CCCC2)C1 ZINC000524577676 421263177 /nfs/dbraw/zinc/26/31/77/421263177.db2.gz SWUJHZHFPJTTPO-RYUDHWBXSA-N -1 1 307.398 1.681 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)c3cn(C)nn3)[nH][n-]2)c1 ZINC000561396784 421323819 /nfs/dbraw/zinc/32/38/19/421323819.db2.gz ZFLXDYAQRCDWGR-UHFFFAOYSA-N -1 1 300.297 1.327 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnc([C@H]2CCCO2)s1)c1nn[n-]n1 ZINC000547488388 421336921 /nfs/dbraw/zinc/33/69/21/421336921.db2.gz YGOJMUNBFUAZMC-RKDXNWHRSA-N -1 1 322.394 1.383 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)N[C@H]2CCCc3cn[nH]c32)s[n-]1 ZINC000562017788 421340176 /nfs/dbraw/zinc/34/01/76/421340176.db2.gz JSCDSBDQIJDGKR-CBAPKCEASA-N -1 1 322.394 1.590 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@@H]3CC[C@@H]3C(N)=O)[nH][n-]2)c1 ZINC000561315977 421321288 /nfs/dbraw/zinc/32/12/88/421321288.db2.gz MTSULKWMSLHQHT-VHSXEESVSA-N -1 1 316.336 1.396 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc2ccccc2[nH]c1=O)c1nn[n-]n1 ZINC000548138918 421405141 /nfs/dbraw/zinc/40/51/41/421405141.db2.gz ULFPACUQFLQAGP-SECBINFHSA-N -1 1 312.333 1.329 20 0 DDADMM CC(C)[C@@H]1C[C@@H](CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)CCO1 ZINC000548139077 421405447 /nfs/dbraw/zinc/40/54/47/421405447.db2.gz UYZOIIRDMURDEB-AGIUHOORSA-N -1 1 309.414 1.603 20 0 DDADMM O=C(NCCc1ccc2n[nH]cc2c1)c1ccc2[nH]nnc2c1 ZINC000562854625 421422221 /nfs/dbraw/zinc/42/22/21/421422221.db2.gz DGHIEEDLVZAKMD-UHFFFAOYSA-N -1 1 306.329 1.807 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc(OC(F)F)cn1 ZINC000548453333 421441507 /nfs/dbraw/zinc/44/15/07/421441507.db2.gz BQHZSFJVLXYNLG-UHFFFAOYSA-N -1 1 324.291 1.395 20 0 DDADMM CNc1cc(Cl)ccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000514796525 421455917 /nfs/dbraw/zinc/45/59/17/421455917.db2.gz JUVUNWUVTWKQPL-SECBINFHSA-N -1 1 320.784 1.915 20 0 DDADMM C[C@H](C(=O)NCc1cccs1)N1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000563122842 421459382 /nfs/dbraw/zinc/45/93/82/421459382.db2.gz FCSZFFGORMJMDP-NQBHXWOUSA-N -1 1 310.419 1.795 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@@H]1CCCS1(=O)=O ZINC000566439674 421605550 /nfs/dbraw/zinc/60/55/50/421605550.db2.gz KOBKDKUNCGPEKD-LBPRGKRZSA-N -1 1 321.358 1.298 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)C[C@@H]1CCCO1 ZINC000531785565 421645465 /nfs/dbraw/zinc/64/54/65/421645465.db2.gz STFNNMTYNJKIFG-SCZZXKLOSA-N -1 1 311.407 1.900 20 0 DDADMM O=C(c1cccs1)N1CCN(Cc2ncccc2[O-])CC1 ZINC000571601232 421729596 /nfs/dbraw/zinc/72/95/96/421729596.db2.gz RQMXXHPBCRHLSB-UHFFFAOYSA-N -1 1 303.387 1.807 20 0 DDADMM CC[C@@H](C)NC(=O)CS(=O)(=O)c1nc(-c2ccccc2)n[n-]1 ZINC000556063419 421698629 /nfs/dbraw/zinc/69/86/29/421698629.db2.gz BPYCKTBWTVDESL-SNVBAGLBSA-N -1 1 322.390 1.160 20 0 DDADMM CC[C@@H](C)NC(=O)CS(=O)(=O)c1n[n-]c(-c2ccccc2)n1 ZINC000556063419 421698633 /nfs/dbraw/zinc/69/86/33/421698633.db2.gz BPYCKTBWTVDESL-SNVBAGLBSA-N -1 1 322.390 1.160 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1c2ccccc2O[C@@H]1C ZINC000570937896 421677778 /nfs/dbraw/zinc/67/77/78/421677778.db2.gz ZNRHCFGBQLWIFM-GMSGAONNSA-N -1 1 317.301 1.148 20 0 DDADMM COC(=O)[C@@H](C)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000571638045 421734181 /nfs/dbraw/zinc/73/41/81/421734181.db2.gz GBUXFRGUWZWXHC-QMMMGPOBSA-N -1 1 314.388 1.384 20 0 DDADMM CC[C@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1c(C)noc1C ZINC000538226757 421737265 /nfs/dbraw/zinc/73/72/65/421737265.db2.gz XXYQWTAHNOTETJ-NEPJUHHUSA-N -1 1 318.381 1.704 20 0 DDADMM O=C(N[C@H]1CCN(CC(F)(F)F)C1)c1ccc([O-])cc1F ZINC000540883951 421771074 /nfs/dbraw/zinc/77/10/74/421771074.db2.gz FRSAYEHCEQUZQR-QMMMGPOBSA-N -1 1 306.259 1.898 20 0 DDADMM Cn1cc([C@@H]2C[C@@H](NC(=O)c3ccc([O-])cc3F)CCO2)cn1 ZINC000572326253 421777660 /nfs/dbraw/zinc/77/76/60/421777660.db2.gz JCMDVXSIAZUYNL-NHYWBVRUSA-N -1 1 319.336 1.915 20 0 DDADMM CCC[C@H]1C[C@@H](C(=O)NC2(c3nn[n-]n3)CCCC2)CCO1 ZINC000540961077 421780250 /nfs/dbraw/zinc/78/02/50/421780250.db2.gz PTSXYQOSHQOMEK-RYUDHWBXSA-N -1 1 307.398 1.681 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)C1(CO)CCOCC1 ZINC000521439006 421788128 /nfs/dbraw/zinc/78/81/28/421788128.db2.gz AYUUZKLTEMARER-UHFFFAOYSA-N -1 1 317.345 1.510 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000630060529 421870875 /nfs/dbraw/zinc/87/08/75/421870875.db2.gz LJARUINWTHUJKE-XHDPSFHLSA-N -1 1 313.357 1.799 20 0 DDADMM CN1CC[C@@](C)(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)C1=O ZINC000635271702 421871970 /nfs/dbraw/zinc/87/19/70/421871970.db2.gz RDRNHSSLVHWVJZ-INIZCTEOSA-N -1 1 314.345 1.589 20 0 DDADMM CN(C)c1ccncc1C(=O)N1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000630102864 421891176 /nfs/dbraw/zinc/89/11/76/421891176.db2.gz XYOALQIANKLLPJ-GFCCVEGCSA-N -1 1 303.362 1.475 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)Cc2cc(C(=O)[O-])nn2C)C12CCC2 ZINC000635303755 421892428 /nfs/dbraw/zinc/89/24/28/421892428.db2.gz NLONJBDGNWNVDT-KGLIPLIRSA-N -1 1 307.394 1.898 20 0 DDADMM CC(C)(C)C(=O)NCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631864432 421898795 /nfs/dbraw/zinc/89/87/95/421898795.db2.gz OUTFAPJFWDIJSG-UHFFFAOYSA-N -1 1 324.349 1.658 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)N=c2ncn(C(C)(C)C)[n-]2)C[C@H]1C ZINC000544314060 421843996 /nfs/dbraw/zinc/84/39/96/421843996.db2.gz AHFHKDROBWBGKB-VXGBXAGGSA-N -1 1 308.430 1.401 20 0 DDADMM CON1CCC(C(=O)N=c2[nH][n-]c(C)c2Br)CC1 ZINC000633347936 421855465 /nfs/dbraw/zinc/85/54/65/421855465.db2.gz SBPFJNTUHILNKC-UHFFFAOYSA-N -1 1 317.187 1.114 20 0 DDADMM C[C@@H](CCS(C)(=O)=O)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631821449 421855921 /nfs/dbraw/zinc/85/59/21/421855921.db2.gz YMUMOKDWTIOATP-VIFPVBQESA-N -1 1 319.810 1.528 20 0 DDADMM COc1cc2[n-]cc(C(=O)N[C@@H]3CC34CC4)c(=O)c2c(OC)c1 ZINC000627831567 421906464 /nfs/dbraw/zinc/90/64/64/421906464.db2.gz GFCJZSQIEGCCHC-CYBMUJFWSA-N -1 1 314.341 1.828 20 0 DDADMM CCOc1ncccc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630221652 421974135 /nfs/dbraw/zinc/97/41/35/421974135.db2.gz DHWNDOHHTXARHY-UHFFFAOYSA-N -1 1 321.377 1.101 20 0 DDADMM CS(=O)(=O)C1(CN=c2nc(C3CCCCC3)[n-]s2)CC1 ZINC000581376651 421962208 /nfs/dbraw/zinc/96/22/08/421962208.db2.gz RWCTVWYOXNODQY-UHFFFAOYSA-N -1 1 315.464 1.997 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CCc2c(cccc2C(=O)[O-])C1 ZINC000630216602 421967940 /nfs/dbraw/zinc/96/79/40/421967940.db2.gz LGGWGXKBYCKERG-LLVKDONJSA-N -1 1 313.357 1.871 20 0 DDADMM O=C(c1c[nH]c2ccccc2c1=O)N1CCN(CCCF)CC1 ZINC000627955883 421970617 /nfs/dbraw/zinc/97/06/17/421970617.db2.gz HALXCWCILABWFD-UHFFFAOYSA-N -1 1 317.364 1.646 20 0 DDADMM Cc1cc(C)cc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000630221736 421972226 /nfs/dbraw/zinc/97/22/26/421972226.db2.gz BTPYYRXVCNXALR-CQSZACIVSA-N -1 1 304.390 1.924 20 0 DDADMM Cc1ccc(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)s1 ZINC000630225718 421975493 /nfs/dbraw/zinc/97/54/93/421975493.db2.gz WRZKRLCFYACDNP-NSHDSACASA-N -1 1 310.419 1.606 20 0 DDADMM CC(C)c1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)no1 ZINC000630226959 421978147 /nfs/dbraw/zinc/97/81/47/421978147.db2.gz FZICHKPZJCIART-UHFFFAOYSA-N -1 1 309.366 1.419 20 0 DDADMM Cc1ccc([C@H](NC(=O)CCc2nn[n-]n2)C(F)(F)F)o1 ZINC000633592974 421987501 /nfs/dbraw/zinc/98/75/01/421987501.db2.gz YFYHKBHTGBAIMU-JTQLQIEISA-N -1 1 303.244 1.454 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@@H]2NC(=O)CC[C@H]2C1 ZINC000633624821 422011484 /nfs/dbraw/zinc/01/14/84/422011484.db2.gz JHJLIWVHJABHKF-AAEUAGOBSA-N -1 1 322.792 1.715 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(OC2CCCC2)CC1 ZINC000635462098 422012225 /nfs/dbraw/zinc/01/22/25/422012225.db2.gz MRGUYEXXUUKLBZ-UHFFFAOYSA-N -1 1 307.398 1.473 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@](C)(CO)c2ccccc2)sn1 ZINC000632005356 422015862 /nfs/dbraw/zinc/01/58/62/422015862.db2.gz ORXMCVVJYNBFNK-CYBMUJFWSA-N -1 1 312.416 1.638 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(Cc1cccnc1)C(C)C ZINC000581912052 422065916 /nfs/dbraw/zinc/06/59/16/422065916.db2.gz VRUJMBGTXXMPJY-UHFFFAOYSA-N -1 1 310.379 1.390 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccsc1C(F)(F)F ZINC000633716732 422067514 /nfs/dbraw/zinc/06/75/14/422067514.db2.gz BDZIUYAPECYNDR-UHFFFAOYSA-N -1 1 305.285 1.529 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@]3(CCOC3)C2)c1 ZINC000632092761 422082276 /nfs/dbraw/zinc/08/22/76/422082276.db2.gz KSNUVHIIQYMSMM-AWEZNQCLSA-N -1 1 313.375 1.202 20 0 DDADMM CCN1CCN(S(=O)(=O)c2cc(OC)ccc2[O-])C[C@@H]1C ZINC000632140616 422115047 /nfs/dbraw/zinc/11/50/47/422115047.db2.gz JOIBOSIOYORFMU-NSHDSACASA-N -1 1 314.407 1.116 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCO[C@@]3(CCOC3)C2)sn1 ZINC000632174117 422137811 /nfs/dbraw/zinc/13/78/11/422137811.db2.gz RVPRPROVEXNAHK-JQWIXIFHSA-N -1 1 318.420 1.068 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCN(C)[C@@H](C)[C@@H]2C)c1 ZINC000632174133 422139044 /nfs/dbraw/zinc/13/90/44/422139044.db2.gz SDEGLEOPXXGJNE-QWRGUYRKSA-N -1 1 314.407 1.114 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](C)[C@H](F)C2)c1 ZINC000632187184 422150716 /nfs/dbraw/zinc/15/07/16/422150716.db2.gz WTUFCQMWCOMLIB-GXSJLCMTSA-N -1 1 303.355 1.769 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](C)[C@H](OC)C2)c1 ZINC000632260822 422202616 /nfs/dbraw/zinc/20/26/16/422202616.db2.gz WOTNMCVQERMFAB-GXFFZTMASA-N -1 1 315.391 1.446 20 0 DDADMM CC[C@@H](C)[C@@H]([N-]S(=O)(=O)CC1(N(C)C)CC1)C(F)(F)F ZINC000632280365 422219172 /nfs/dbraw/zinc/21/91/72/422219172.db2.gz ADUUKSVPZVKBJZ-NXEZZACHSA-N -1 1 316.389 1.977 20 0 DDADMM CCOc1cc(C)ccc1CNC(=O)CCCc1nn[n-]n1 ZINC000635671372 422225786 /nfs/dbraw/zinc/22/57/86/422225786.db2.gz RMRRDEPOFKBUSP-UHFFFAOYSA-N -1 1 303.366 1.546 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(OCC(F)(F)F)cc1 ZINC000630625192 422227801 /nfs/dbraw/zinc/22/78/01/422227801.db2.gz UPNGEJONSKTXDC-UHFFFAOYSA-N -1 1 315.255 1.712 20 0 DDADMM Cn1cccc1[C@@H]1CCCCCN1C(=O)CCc1nn[n-]n1 ZINC000630636801 422235492 /nfs/dbraw/zinc/23/54/92/422235492.db2.gz DJCSIZWTWAAGMZ-ZDUSSCGKSA-N -1 1 302.382 1.615 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](OC)C[C@@H]2C)c1 ZINC000632305487 422237276 /nfs/dbraw/zinc/23/72/76/422237276.db2.gz WBENZPFWJGRDQS-JQWIXIFHSA-N -1 1 315.391 1.589 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ncnn2C(C)(C)C)sn1 ZINC000632307864 422239263 /nfs/dbraw/zinc/23/92/63/422239263.db2.gz MVHDXGQPGQOYDD-UHFFFAOYSA-N -1 1 315.424 1.277 20 0 DDADMM O=S(=O)([N-]CC1=CCCOC1)c1c[nH]nc1C(F)(F)F ZINC000632339338 422259643 /nfs/dbraw/zinc/25/96/43/422259643.db2.gz XMMHVNBFFQWMQL-UHFFFAOYSA-N -1 1 311.285 1.054 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCc2cn[nH]c2)c(F)c1 ZINC000632343912 422264651 /nfs/dbraw/zinc/26/46/51/422264651.db2.gz FKNGNXMWEKZEOS-UHFFFAOYSA-N -1 1 301.318 1.517 20 0 DDADMM CC(C)OCc1ccccc1CNC(=O)CCc1nn[n-]n1 ZINC000630689129 422270825 /nfs/dbraw/zinc/27/08/25/422270825.db2.gz BWLWNIAFSGGYHX-UHFFFAOYSA-N -1 1 303.366 1.374 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1csc(Br)c1 ZINC000630734945 422302823 /nfs/dbraw/zinc/30/28/23/422302823.db2.gz KVFPSZARLHNEBE-UHFFFAOYSA-N -1 1 316.184 1.273 20 0 DDADMM CO[C@H](c1ccccc1F)[C@@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635771077 422329019 /nfs/dbraw/zinc/32/90/19/422329019.db2.gz SFBAQTFNTXUQBP-BMIGLBTASA-N -1 1 321.356 1.554 20 0 DDADMM COc1ccccc1C[C@](C)(CO)NC(=O)c1cncc([O-])c1 ZINC000634283376 422364985 /nfs/dbraw/zinc/36/49/85/422364985.db2.gz OKGAJAANFWHDHN-QGZVFWFLSA-N -1 1 316.357 1.519 20 0 DDADMM C[C@](CO)(Cc1ccc(F)cc1)NC(=O)c1ncccc1[O-] ZINC000634294901 422372820 /nfs/dbraw/zinc/37/28/20/422372820.db2.gz RBJDLBIIONZEBD-MRXNPFEDSA-N -1 1 304.321 1.650 20 0 DDADMM COc1cccc([C@@H]2C[C@H](C)CN2C(=O)CCc2nn[n-]n2)c1 ZINC000632412463 422321878 /nfs/dbraw/zinc/32/18/78/422321878.db2.gz BXDYVTHISRLZRU-FZMZJTMJSA-N -1 1 315.377 1.751 20 0 DDADMM CCC1(CNS(=O)(=O)c2cc(OC)ccc2[O-])COC1 ZINC000632418669 422325581 /nfs/dbraw/zinc/32/55/81/422325581.db2.gz MBJNBSKGMNKQEB-UHFFFAOYSA-N -1 1 301.364 1.106 20 0 DDADMM CCC[N@@H+]1CC[C@@H](NS(=O)(=O)c2cc(O)cc(F)c2)C1 ZINC000632567526 422444124 /nfs/dbraw/zinc/44/41/24/422444124.db2.gz HLLMHTGFAMAZSU-LLVKDONJSA-N -1 1 302.371 1.294 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](c1ccccc1)[C@H]1CCCO1 ZINC000630889813 422397981 /nfs/dbraw/zinc/39/79/81/422397981.db2.gz QUQDGMPCMVVMJF-DOMZBBRYSA-N -1 1 301.350 1.169 20 0 DDADMM COCCO[C@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000632675290 422512814 /nfs/dbraw/zinc/51/28/14/422512814.db2.gz FQOVFXDWSFKSKR-LBPRGKRZSA-N -1 1 313.781 1.852 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc([C@@H]2CCOC2)cc1 ZINC000635968126 422522534 /nfs/dbraw/zinc/52/25/34/422522534.db2.gz SFLNXWMZCJZQGC-GFCCVEGCSA-N -1 1 301.350 1.665 20 0 DDADMM Cc1ccccc1[C@@]1(F)CCN(C(=O)CCCc2nn[n-]n2)C1 ZINC000635898127 422453106 /nfs/dbraw/zinc/45/31/06/422453106.db2.gz IPCGMCYJVWZNOZ-MRXNPFEDSA-N -1 1 317.368 1.928 20 0 DDADMM O=C(CSc1nc(C(F)(F)F)cc(=O)[n-]1)Nc1ccon1 ZINC000605447919 422458257 /nfs/dbraw/zinc/45/82/57/422458257.db2.gz XLRQZNUXQAPOKC-UHFFFAOYSA-N -1 1 320.252 1.920 20 0 DDADMM Cc1cccc(-c2nc(=NCCN3CCN(C)CC3)s[n-]2)c1 ZINC000631044485 422488346 /nfs/dbraw/zinc/48/83/46/422488346.db2.gz GGJZHERLSRVZAS-UHFFFAOYSA-N -1 1 317.462 1.595 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2C[C@@H](C)O[C@H]2C)c1 ZINC000632647050 422494697 /nfs/dbraw/zinc/49/46/97/422494697.db2.gz VQRIFQOELROGGN-WCABBAIRSA-N -1 1 301.364 1.245 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1ccc2c(c1)C(=O)NC2)C1CC1 ZINC000629289027 422586738 /nfs/dbraw/zinc/58/67/38/422586738.db2.gz MXZILFBKQIUHDW-CQSZACIVSA-N -1 1 324.402 1.487 20 0 DDADMM CCOC(C)(C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000631295836 422667929 /nfs/dbraw/zinc/66/79/29/422667929.db2.gz WERFJGGETSBNIO-UHFFFAOYSA-N -1 1 306.366 1.483 20 0 DDADMM O=C(NC[C@H]1CCCCN1CCO)c1c([O-])cc(F)cc1F ZINC000650596502 423206994 /nfs/dbraw/zinc/20/69/94/423206994.db2.gz DMCPPLWRFDIFML-LLVKDONJSA-N -1 1 314.332 1.247 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)[C@@H](C)CCO)c(=O)[n-]1 ZINC000652964950 423237391 /nfs/dbraw/zinc/23/73/91/423237391.db2.gz MAVLHNMKDDGESU-VIFPVBQESA-N -1 1 313.423 1.374 20 0 DDADMM CCc1ccc(CN2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)o1 ZINC000650791507 423262169 /nfs/dbraw/zinc/26/21/69/423262169.db2.gz IXPQRRPRPPJKIU-LBPRGKRZSA-N -1 1 320.389 1.740 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)N1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000653284901 423420769 /nfs/dbraw/zinc/42/07/69/423420769.db2.gz YSEHEWUISUQBNH-NSHDSACASA-N -1 1 308.382 1.710 20 0 DDADMM O=C([O-])[C@@]1(C(=O)N2CCC(c3cnc[nH]3)CC2)CC=CCC1 ZINC000653307461 423434470 /nfs/dbraw/zinc/43/44/70/423434470.db2.gz NUIDMBZPYJFRSG-INIZCTEOSA-N -1 1 303.362 1.927 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cnc(-c2cnn(C)c2)s1 ZINC000646411741 423481055 /nfs/dbraw/zinc/48/10/55/423481055.db2.gz PZPQNDMEJISQFC-UHFFFAOYSA-N -1 1 304.335 1.533 20 0 DDADMM O=C(c1cccc2[nH]cnc21)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000648893725 423526144 /nfs/dbraw/zinc/52/61/44/423526144.db2.gz VROQRXUPBJGTCD-VIFPVBQESA-N -1 1 312.333 1.406 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@@H]3C[C@@H]4C=C[C@H]3CC4)CC2)s[n-]1 ZINC000651467658 423554004 /nfs/dbraw/zinc/55/40/04/423554004.db2.gz WAOYOZZRHLTLOF-GRYCIOLGSA-N -1 1 319.434 1.202 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CN(C)C(=O)[C@H]1C ZINC000649159996 423654118 /nfs/dbraw/zinc/65/41/18/423654118.db2.gz ZZPJIXHWCSMNQL-SECBINFHSA-N -1 1 306.366 1.048 20 0 DDADMM Cc1nnc(CN2CCC(C(=O)c3ccc([O-])cc3)CC2)[nH]1 ZINC000644673661 423757564 /nfs/dbraw/zinc/75/75/64/423757564.db2.gz ATTYEKRDUUDUPW-UHFFFAOYSA-N -1 1 300.362 1.914 20 0 DDADMM CCc1nc(S(=O)(=O)[N-][C@@H](C)C(F)F)cn1CC1CC1 ZINC000641704650 423889565 /nfs/dbraw/zinc/88/95/65/423889565.db2.gz HIQDSHSDSNTREM-QMMMGPOBSA-N -1 1 307.366 1.787 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)cc1Cl ZINC000647268028 423931182 /nfs/dbraw/zinc/93/11/82/423931182.db2.gz MZWRMXCZFWIFDG-UHFFFAOYSA-N -1 1 316.770 1.884 20 0 DDADMM Cc1nn2cc(S(=O)(=O)[N-][C@@H](C)C(F)F)cnc2c1Cl ZINC000641702837 423890716 /nfs/dbraw/zinc/89/07/16/423890716.db2.gz XBSXWLKRZQPGMB-LURJTMIESA-N -1 1 324.740 1.623 20 0 DDADMM C[C@@H](O)C[C@@H](C)[N-]S(=O)(=O)c1ncccc1Br ZINC000656957349 423923554 /nfs/dbraw/zinc/92/35/54/423923554.db2.gz VUEAHVSHAQGOFU-HTQZYQBOSA-N -1 1 323.212 1.282 20 0 DDADMM O=C(COCC(F)(F)F)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887158 424018401 /nfs/dbraw/zinc/01/84/01/424018401.db2.gz UFGSQDXBHSNIBO-UHFFFAOYSA-N -1 1 309.244 1.060 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@@H]1OCc2ccccc21)c1nn[n-]n1 ZINC000654997843 424103559 /nfs/dbraw/zinc/10/35/59/424103559.db2.gz NXKXBOWTBTXCIQ-GWCFXTLKSA-N -1 1 301.350 1.423 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CC(=O)N(CC2CC2)C1 ZINC000640338351 424359555 /nfs/dbraw/zinc/35/95/55/424359555.db2.gz CZYUSWAFSSHONV-UHFFFAOYSA-N -1 1 316.386 1.762 20 0 DDADMM CCN1CCN(C(=O)N=c2[n-]sc3ccccc32)CC1=O ZINC000640339318 424360529 /nfs/dbraw/zinc/36/05/29/424360529.db2.gz QGWNUAXDEBSRSH-UHFFFAOYSA-N -1 1 304.375 1.414 20 0 DDADMM CC1(C)CN(C(=O)N=c2[n-]sc3ccccc32)C[C@H](CO)O1 ZINC000640337853 424361135 /nfs/dbraw/zinc/36/11/35/424361135.db2.gz MDFIFLZMOZRDJI-SNVBAGLBSA-N -1 1 321.402 1.722 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCC[C@@H](O)C(F)F ZINC000640342075 424362036 /nfs/dbraw/zinc/36/20/36/424362036.db2.gz FXQZUSXUGPNRPO-MRVPVSSYSA-N -1 1 301.318 1.856 20 0 DDADMM Cn1cnnc1N1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000655292114 424367979 /nfs/dbraw/zinc/36/79/79/424367979.db2.gz CPVIWBHHKRWISW-UHFFFAOYSA-N -1 1 321.768 1.137 20 0 DDADMM CC(C)O[C@@]1(CS(=O)(=O)[N-]C(C)(C)C(F)F)CCOC1 ZINC000659957574 424402652 /nfs/dbraw/zinc/40/26/52/424402652.db2.gz JOFPYSLUYNQMLL-LBPRGKRZSA-N -1 1 315.382 1.534 20 0 DDADMM CC[C@H]1Cc2ccccc2CN1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662211550 424480316 /nfs/dbraw/zinc/48/03/16/424480316.db2.gz QLTAYFPADQGVCR-GJZGRUSLSA-N -1 1 302.374 1.509 20 0 DDADMM CCN([C@@H](C)c1ccc(F)cc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662201127 424466125 /nfs/dbraw/zinc/46/61/25/424466125.db2.gz GYDQIDOTZFOYGE-SMDDNHRTSA-N -1 1 308.353 1.894 20 0 DDADMM O=S(=O)([N-][C@H](Cc1ccccc1)c1ncco1)C1COC1 ZINC000655677337 424629253 /nfs/dbraw/zinc/62/92/53/424629253.db2.gz KVVFPYVPSXGIQM-CYBMUJFWSA-N -1 1 308.359 1.277 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)C(F)(F)F)cn1 ZINC000341657695 271156963 /nfs/dbraw/zinc/15/69/63/271156963.db2.gz MSTBMNGGIGNJIE-LURJTMIESA-N -1 1 312.269 1.097 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1nc(C2CC2)cs1 ZINC000343177446 271674628 /nfs/dbraw/zinc/67/46/28/271674628.db2.gz KVNHRUDHZJRPDG-UHFFFAOYSA-N -1 1 318.358 1.490 20 0 DDADMM C[C@@H]1Sc2ccc(C(=O)Nc3ccncc3[O-])cc2NC1=O ZINC000345379861 272236378 /nfs/dbraw/zinc/23/63/78/272236378.db2.gz QUVPZZKXAIZGGO-QMMMGPOBSA-N -1 1 315.354 1.894 20 0 DDADMM Cc1ccc2nc(-c3ccnc(-n4cncn4)c3)[n-]c(=O)c2c1 ZINC000113459201 274783269 /nfs/dbraw/zinc/78/32/69/274783269.db2.gz FOQOTZCIIYCFIL-UHFFFAOYSA-N -1 1 304.313 1.874 20 0 DDADMM CC1(C)CCCc2sc(NC(=O)NN3CC(=O)[N-]C3=O)nc21 ZINC000289619986 276112007 /nfs/dbraw/zinc/11/20/07/276112007.db2.gz BILJMBQUJQJHTL-UHFFFAOYSA-N -1 1 323.378 1.345 20 0 DDADMM C[C@@H](O)C[C@@H]1CCCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000447893150 277641872 /nfs/dbraw/zinc/64/18/72/277641872.db2.gz LBMPTASVXIEEPL-NEPJUHHUSA-N -1 1 315.373 1.689 20 0 DDADMM C[C@H]1CCCN(Cc2nc3ccccc3c(=O)n2C)[C@@H]1C(=O)[O-] ZINC000424264185 278343416 /nfs/dbraw/zinc/34/34/16/278343416.db2.gz GRYNQKQDWBMGCJ-NHYWBVRUSA-N -1 1 315.373 1.619 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N(CC(=O)[O-])C(C)C ZINC000263461621 280291364 /nfs/dbraw/zinc/29/13/64/280291364.db2.gz VTPZUTSUJWIYMT-VIFPVBQESA-N -1 1 312.288 1.011 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)Cc1ccncc1 ZINC000354994878 298593449 /nfs/dbraw/zinc/59/34/49/298593449.db2.gz JIOXISORFHYNIX-UHFFFAOYSA-N -1 1 323.349 1.773 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CC12CCCCC2 ZINC000356520924 298814097 /nfs/dbraw/zinc/81/40/97/298814097.db2.gz IXIDAVPVKMPHHO-LBPRGKRZSA-N -1 1 303.362 1.356 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cnn(-c2ccccc2)c1C ZINC000357155953 298982573 /nfs/dbraw/zinc/98/25/73/298982573.db2.gz YZIVVVOEPHJJCJ-UHFFFAOYSA-N -1 1 323.374 1.486 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2ccc(CC(=O)[O-])cc2)c1 ZINC000357884860 299013108 /nfs/dbraw/zinc/01/31/08/299013108.db2.gz QGOYIPDCPRDOTE-UHFFFAOYSA-N -1 1 321.358 1.400 20 0 DDADMM Cc1nc2n(n1)CCN(C(=O)c1cnc3nc(C)ccc3c1[O-])C2 ZINC000363361392 300257579 /nfs/dbraw/zinc/25/75/79/300257579.db2.gz SILOERMPYJNOAS-UHFFFAOYSA-N -1 1 324.344 1.200 20 0 DDADMM Cc1nc2n(n1)CCN(C(=O)c1c[n-]c3nc(C)ccc3c1=O)C2 ZINC000363361392 300257583 /nfs/dbraw/zinc/25/75/83/300257583.db2.gz SILOERMPYJNOAS-UHFFFAOYSA-N -1 1 324.344 1.200 20 0 DDADMM CC[C@@H](CC1CCCC1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363407857 300263688 /nfs/dbraw/zinc/26/36/88/300263688.db2.gz HGGIBMNQTKWHLY-QWHCGFSZSA-N -1 1 307.398 1.706 20 0 DDADMM c1cc(-c2nc(=NC[C@H]3CN4CCCC[C@H]4CO3)[n-]o2)co1 ZINC000363703664 300299284 /nfs/dbraw/zinc/29/92/84/300299284.db2.gz FZVRPLZIRGLTNI-STQMWFEESA-N -1 1 304.350 1.417 20 0 DDADMM CCC[C@@H](NC(=O)[C@@]1(N(C)C)CCc2ccccc21)C(=O)[O-] ZINC000364210223 300357903 /nfs/dbraw/zinc/35/79/03/300357903.db2.gz NSRQXONFMKKHRL-RHSMWYFYSA-N -1 1 304.390 1.759 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCN1Cc1ccccc1)c1nn[n-]n1 ZINC000368526711 301048998 /nfs/dbraw/zinc/04/89/98/301048998.db2.gz UQEHZPZBNWXDGV-OCCSQVGLSA-N -1 1 314.393 1.036 20 0 DDADMM O=C(NCc1nnc2n1CCOC2)c1ccc2ccccc2c1[O-] ZINC000368999393 301117934 /nfs/dbraw/zinc/11/79/34/301117934.db2.gz LYXPQSOAMGNXDM-UHFFFAOYSA-N -1 1 324.340 1.597 20 0 DDADMM CC(=CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1C ZINC000369359026 301171550 /nfs/dbraw/zinc/17/15/50/301171550.db2.gz PAAJJRZBXFXWSF-BRADTZPFSA-N -1 1 313.361 1.512 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cnn(-c2ncccc2F)c1 ZINC000374547496 301817331 /nfs/dbraw/zinc/81/73/31/301817331.db2.gz BQGALGFWMLQJQN-SECBINFHSA-N -1 1 314.342 1.183 20 0 DDADMM Cc1cnc(C(=O)N2CCCC[C@@H]2[C@H]2CNC(=O)C2)c([O-])c1 ZINC000427527336 302332018 /nfs/dbraw/zinc/33/20/18/302332018.db2.gz IECOQSHAQRDNSO-VXGBXAGGSA-N -1 1 303.362 1.226 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C(C)C)C1CC(C)(C)C1 ZINC000452096983 302864542 /nfs/dbraw/zinc/86/45/42/302864542.db2.gz POFDTDGKATWKHA-UHFFFAOYSA-N -1 1 301.412 1.983 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1[C@@H](C)C[C@@H]1C ZINC000525938626 302920920 /nfs/dbraw/zinc/92/09/20/302920920.db2.gz KYLANPIGJWKZTE-QWRGUYRKSA-N -1 1 312.373 1.692 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCC[C@@H]2CCCOC2)[n-]n1 ZINC000528072139 303001509 /nfs/dbraw/zinc/00/15/09/303001509.db2.gz IBXIBLYCXJBIED-ZDUSSCGKSA-N -1 1 318.421 1.800 20 0 DDADMM C[C@H]([C@@H]1Cc2ccccc2O1)N(C)Cc1cnc(C(=O)[O-])cn1 ZINC000530161584 303177369 /nfs/dbraw/zinc/17/73/69/303177369.db2.gz COKULKDVAZFXSO-BZNIZROVSA-N -1 1 313.357 1.999 20 0 DDADMM O=C([O-])[C@H]1c2ccoc2CCN1C[C@@H](O)COc1ccccc1 ZINC000530168136 303177928 /nfs/dbraw/zinc/17/79/28/303177928.db2.gz ILHREOCGLNHXSB-MLGOLLRUSA-N -1 1 317.341 1.703 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)N(C)OCC(F)(F)F)c1 ZINC000530258154 303188462 /nfs/dbraw/zinc/18/84/62/303188462.db2.gz BOGXDBUEENPKPK-UHFFFAOYSA-N -1 1 306.240 1.917 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1C[C@H]1c1ccsc1 ZINC000530269770 303189699 /nfs/dbraw/zinc/18/96/99/303189699.db2.gz YFCFMPVYDODBNC-VHSXEESVSA-N -1 1 304.375 1.303 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-][C@H](CC(C)(C)C)C(F)(F)F ZINC000357453897 306886288 /nfs/dbraw/zinc/88/62/88/306886288.db2.gz NZBCTVRGXYMZFF-SSDOTTSWSA-N -1 1 314.333 1.461 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)C[C@H]2CCCO2)sc1C ZINC000532932158 303302111 /nfs/dbraw/zinc/30/21/11/303302111.db2.gz DFVCYVYMWNGZBK-GZMMTYOYSA-N -1 1 304.437 1.996 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1OC ZINC000533113876 303309627 /nfs/dbraw/zinc/30/96/27/303309627.db2.gz XBXFELWKKUAVAF-NSHDSACASA-N -1 1 317.349 1.237 20 0 DDADMM Cc1ccc(F)c(NS(=O)(=O)c2ccn(C)n2)c1C(=O)[O-] ZINC000536392056 303359890 /nfs/dbraw/zinc/35/98/90/303359890.db2.gz UCUURIHGGKNGBV-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM CN1CCCN(C(=O)c2cccc(Br)c2[O-])CC1 ZINC000536695736 303368567 /nfs/dbraw/zinc/36/85/67/303368567.db2.gz BQHNEXPPEXMAIH-UHFFFAOYSA-N -1 1 313.195 1.932 20 0 DDADMM Cc1noc(C)c1[C@@H](C)CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000539326466 303394980 /nfs/dbraw/zinc/39/49/80/303394980.db2.gz XZAAMZPYNILDOP-CABZTGNLSA-N -1 1 318.381 1.704 20 0 DDADMM O=C(Nc1cncc2ccccc21)c1cc(=O)n2[n-]cnc2n1 ZINC000546837748 303520722 /nfs/dbraw/zinc/52/07/22/303520722.db2.gz KWYVBECVEITZKG-UHFFFAOYSA-N -1 1 306.285 1.218 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)Cc3cncn3C)[nH][n-]2)s1 ZINC000547864634 303556186 /nfs/dbraw/zinc/55/61/86/303556186.db2.gz JIYYPNAQXIMXAL-UHFFFAOYSA-N -1 1 301.375 1.783 20 0 DDADMM COc1cccc(CCNC(=O)c2ncccc2[O-])c1OC ZINC000547975463 303564925 /nfs/dbraw/zinc/56/49/25/303564925.db2.gz PHRBHLUTCLPZAV-UHFFFAOYSA-N -1 1 302.330 1.777 20 0 DDADMM O=C(CN1CCC[C@@H](c2nnc[nH]2)C1)[N-]OCc1ccccc1 ZINC000367441419 307093467 /nfs/dbraw/zinc/09/34/67/307093467.db2.gz VFXZPNXLNGGTCN-CQSZACIVSA-N -1 1 315.377 1.232 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC(n2cncn2)C1 ZINC000368944952 307113241 /nfs/dbraw/zinc/11/32/41/307113241.db2.gz AGDLGFIAVBGJKJ-UHFFFAOYSA-N -1 1 323.150 1.443 20 0 DDADMM Cc1nnc([C@@H](NC(=O)c2ncccc2[O-])c2ccccc2)n1C ZINC000372924867 307176878 /nfs/dbraw/zinc/17/68/78/307176878.db2.gz NVAGDERSKLSGBB-AWEZNQCLSA-N -1 1 323.356 1.744 20 0 DDADMM O=C([N-]c1ncn(-c2cccnc2)n1)c1ccnc(F)c1F ZINC000373511328 307189417 /nfs/dbraw/zinc/18/94/17/307189417.db2.gz XPKKTLOMLKVOLA-UHFFFAOYSA-N -1 1 302.244 1.588 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@@H](c4ccco4)C3)ccnc1-2 ZINC000376616814 307249717 /nfs/dbraw/zinc/24/97/17/307249717.db2.gz BLSKMWDKQDRSRP-ZNCULLJESA-N -1 1 311.345 1.956 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@@H]4OCCC[C@H]4C3)ccnc1-2 ZINC000376641116 307251119 /nfs/dbraw/zinc/25/11/19/307251119.db2.gz QHDZTRHIYXCRCY-QUSORWNASA-N -1 1 315.377 1.375 20 0 DDADMM CSc1ccccc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000377609685 307274647 /nfs/dbraw/zinc/27/46/47/307274647.db2.gz STPPHAFYKMFOJZ-SNVBAGLBSA-N -1 1 305.363 1.135 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](CO)CC2CCC2)sc1C ZINC000518861530 307446143 /nfs/dbraw/zinc/44/61/43/307446143.db2.gz JINSMKAHARVKFL-LLVKDONJSA-N -1 1 304.437 1.589 20 0 DDADMM O=C(C(=O)N1CCc2nc(C3CC3)ncc2C1)c1ccc([O-])cc1 ZINC000529925151 307575652 /nfs/dbraw/zinc/57/56/52/307575652.db2.gz HNRIXEKADZZJDE-UHFFFAOYSA-N -1 1 323.352 1.827 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)C1CCCCC1 ZINC000532298561 307627815 /nfs/dbraw/zinc/62/78/15/307627815.db2.gz GLOBHXRAFNVLCP-STQMWFEESA-N -1 1 319.423 1.207 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000532299105 307628063 /nfs/dbraw/zinc/62/80/63/307628063.db2.gz ZUBMTOYPHILNHP-GDPRMGEGSA-N -1 1 323.418 1.756 20 0 DDADMM C[C@@H](CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])n1cccc1 ZINC000537504556 307670363 /nfs/dbraw/zinc/67/03/63/307670363.db2.gz MZKQWABWOUWRJQ-JTQLQIEISA-N -1 1 323.374 1.431 20 0 DDADMM O=C(CCCN1C(=O)CCC1=O)Nc1ccc([O-])c(Cl)c1 ZINC000543745807 307711084 /nfs/dbraw/zinc/71/10/84/307711084.db2.gz LDZOCJNBYHDOJQ-UHFFFAOYSA-N -1 1 310.737 1.913 20 0 DDADMM CC[C@@H](C)C[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OC ZINC000564913440 308011358 /nfs/dbraw/zinc/01/13/58/308011358.db2.gz ZDXWOIFTHKDEOX-BXKDBHETSA-N -1 1 318.395 1.380 20 0 DDADMM CCC(CC)S(=O)(=O)N[C@@H](CN1CCCCC1)C(=O)[O-] ZINC000566654277 308059854 /nfs/dbraw/zinc/05/98/54/308059854.db2.gz SOHIEPFJAABWHW-LBPRGKRZSA-N -1 1 306.428 1.034 20 0 DDADMM CC[C@H](CCO)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000567782821 308095235 /nfs/dbraw/zinc/09/52/35/308095235.db2.gz CNKHAWQFPHKAMO-SNVBAGLBSA-N -1 1 314.432 1.983 20 0 DDADMM COC(=O)C[C@H](C)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000570376660 308167944 /nfs/dbraw/zinc/16/79/44/308167944.db2.gz QBPBLOVYWMNOPT-VIFPVBQESA-N -1 1 303.318 1.920 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)Cc1cnn(C)c1C ZINC000570660656 308176206 /nfs/dbraw/zinc/17/62/06/308176206.db2.gz FHTWJEYWWCTFLH-UHFFFAOYSA-N -1 1 305.338 1.118 20 0 DDADMM CO[C@@H]1CCCN([C@H](C)C(=O)NCc2ccc(C(=O)[O-])cc2)C1 ZINC000571337329 308195113 /nfs/dbraw/zinc/19/51/13/308195113.db2.gz PJIPEMHBMIYIIF-IUODEOHRSA-N -1 1 320.389 1.500 20 0 DDADMM Cc1ccc(-c2n[n-]c(S(=O)(=O)CC(=O)NC(C)C)n2)cc1 ZINC000576100401 308288112 /nfs/dbraw/zinc/28/81/12/308288112.db2.gz COHPEJMXNIJTDI-UHFFFAOYSA-N -1 1 322.390 1.078 20 0 DDADMM Cc1ccc(-c2nc(S(=O)(=O)CC(=O)NC(C)C)n[n-]2)cc1 ZINC000576100401 308288113 /nfs/dbraw/zinc/28/81/13/308288113.db2.gz COHPEJMXNIJTDI-UHFFFAOYSA-N -1 1 322.390 1.078 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccc3ncccc3c2)[n-]n1C ZINC000576445066 308314681 /nfs/dbraw/zinc/31/46/81/308314681.db2.gz JDRALRBGQHZWIW-UHFFFAOYSA-N -1 1 318.358 1.200 20 0 DDADMM CC[C@H](C)C[C@@H](NS(=O)(=O)CCN(CC)CC)C(=O)[O-] ZINC000581605969 325883791 /nfs/dbraw/zinc/88/37/91/325883791.db2.gz JTELBBRPFFOKGT-NWDGAFQWSA-N -1 1 308.444 1.137 20 0 DDADMM CN(C)C(=O)N1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000031508083 331865669 /nfs/dbraw/zinc/86/56/69/331865669.db2.gz VHIYMWOALITGKP-UHFFFAOYSA-N -1 1 311.769 1.485 20 0 DDADMM CN(C)C(=O)c1ccc(=NCC2(c3ccccn3)CCC2)[n-]n1 ZINC000584298498 332227197 /nfs/dbraw/zinc/22/71/97/332227197.db2.gz QNNNADKBQCWIMZ-UHFFFAOYSA-N -1 1 311.389 1.529 20 0 DDADMM COc1cccc2c1[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)CC2 ZINC000582656993 337121694 /nfs/dbraw/zinc/12/16/94/337121694.db2.gz RRVBPWUCCHARBU-PWSUYJOCSA-N -1 1 315.377 1.500 20 0 DDADMM O=C([O-])[C@@H](NS(=O)(=O)c1c(F)cc(F)cc1F)C1CCC1 ZINC000399242436 337314524 /nfs/dbraw/zinc/31/45/24/337314524.db2.gz FGXYNIGCKPOOIW-JTQLQIEISA-N -1 1 323.292 1.636 20 0 DDADMM O=C(NCCc1cnc(C2CC2)nc1)C(=O)c1ccc([O-])cc1 ZINC000436983695 484130895 /nfs/dbraw/zinc/13/08/95/484130895.db2.gz XXZKFSIBWYSNJK-UHFFFAOYSA-N -1 1 311.341 1.601 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]c1ccn(C2CCOCC2)n1 ZINC000656636585 484254133 /nfs/dbraw/zinc/25/41/33/484254133.db2.gz NWXCFJPKRFXIPG-UHFFFAOYSA-N -1 1 302.400 1.233 20 0 DDADMM COc1cncc(S(=O)(=O)Nc2cc(C)cc(C(=O)[O-])c2)c1 ZINC000507569937 484259183 /nfs/dbraw/zinc/25/91/83/484259183.db2.gz SSXLDKNZEWMGCX-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM CN(C)c1noc(CN2CC[C@H](C(=O)[O-])[C@H]3CCCC[C@@H]32)n1 ZINC000656763294 484319446 /nfs/dbraw/zinc/31/94/46/484319446.db2.gz AVALYXILYFOCTD-WOPDTQHZSA-N -1 1 308.382 1.601 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC000663102884 484667759 /nfs/dbraw/zinc/66/77/59/484667759.db2.gz PTNVMTRAKXEDAS-HNNXBMFYSA-N -1 1 300.399 1.057 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)Cc1[nH]nc2ccccc21)C1CCC1 ZINC000663151344 484706729 /nfs/dbraw/zinc/70/67/29/484706729.db2.gz QQVUSWNUBPQJJO-LBPRGKRZSA-N -1 1 301.346 1.723 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000663271994 484778736 /nfs/dbraw/zinc/77/87/36/484778736.db2.gz RQLQKGHYAMDITO-HNNXBMFYSA-N -1 1 319.405 1.766 20 0 DDADMM O=C(c1cn2ccccc2n1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670384532 484802234 /nfs/dbraw/zinc/80/22/34/484802234.db2.gz YZXYKFLPYYEPSE-JTQLQIEISA-N -1 1 313.317 1.443 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCc2ccc(C)cc21 ZINC000667951357 484865813 /nfs/dbraw/zinc/86/58/13/484865813.db2.gz OYWGMTMREDUGBS-LLVKDONJSA-N -1 1 315.329 1.622 20 0 DDADMM CCc1nn(C)cc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000678409188 485574484 /nfs/dbraw/zinc/57/44/84/485574484.db2.gz BSLOMDAGVAWGPW-CYBMUJFWSA-N -1 1 311.349 1.015 20 0 DDADMM Cn1ccc(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)n1 ZINC000678654945 485657500 /nfs/dbraw/zinc/65/75/00/485657500.db2.gz BYAUINOEAPEVNA-UHFFFAOYSA-N -1 1 312.326 1.183 20 0 DDADMM C[C@H](CC(=O)NCc1nn[n-]n1)C(=O)c1ccc(Cl)cc1 ZINC000679101493 485777248 /nfs/dbraw/zinc/77/72/48/485777248.db2.gz USVUCBAZQGCSME-MRVPVSSYSA-N -1 1 307.741 1.378 20 0 DDADMM Cc1ccc([C@H](CNC(=O)N(C)CC(=O)[O-])N2CCCC2)o1 ZINC000679557540 485920272 /nfs/dbraw/zinc/92/02/72/485920272.db2.gz QSGFCIFNBYFIDD-LBPRGKRZSA-N -1 1 309.366 1.451 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2cccnn2)c(F)c1 ZINC000683466754 486010456 /nfs/dbraw/zinc/01/04/56/486010456.db2.gz XBSMVMOBTIQUEY-UHFFFAOYSA-N -1 1 315.301 1.242 20 0 DDADMM COc1cccc(CC2CCN(Cc3n[nH]c(=O)[n-]3)CC2)c1 ZINC000680082020 486089285 /nfs/dbraw/zinc/08/92/85/486089285.db2.gz VZXSHGUANYGXQL-UHFFFAOYSA-N -1 1 302.378 1.974 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(OC)c(O)c(OC)c1)c1nn[n-]n1 ZINC000680817566 486272076 /nfs/dbraw/zinc/27/20/76/486272076.db2.gz CZHBNFOZUGUUDS-SECBINFHSA-N -1 1 321.337 1.194 20 0 DDADMM CCO[C@H]1C[C@@H](O)C12CCN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC000681048572 486330617 /nfs/dbraw/zinc/33/06/17/486330617.db2.gz BNCHBMWLCIEHHM-CABCVRRESA-N -1 1 323.364 1.923 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)c2ccc([O-])c(F)c2)C1 ZINC000681047064 486331005 /nfs/dbraw/zinc/33/10/05/486331005.db2.gz TXLNMPKUNOVMSW-VXGBXAGGSA-N -1 1 308.353 1.908 20 0 DDADMM CCN(C(=O)c1ccc([O-])c(F)c1)[C@H](C)CS(=O)(=O)CC ZINC000681046810 486331555 /nfs/dbraw/zinc/33/15/55/486331555.db2.gz PYMUYUQDLBOYCS-SNVBAGLBSA-N -1 1 317.382 1.817 20 0 DDADMM O=C(N[C@H]1CN(c2ccccc2)C1=O)c1ccc([O-])c(F)c1 ZINC000681067679 486336646 /nfs/dbraw/zinc/33/66/46/486336646.db2.gz PENFKPQMWWEURX-ZDUSSCGKSA-N -1 1 300.289 1.677 20 0 DDADMM O=C(NCCCc1nnc[nH]1)c1ccc(C(F)(F)F)cc1[O-] ZINC000684869304 486448979 /nfs/dbraw/zinc/44/89/79/486448979.db2.gz LIRKQJAWKCEJJS-UHFFFAOYSA-N -1 1 314.267 1.892 20 0 DDADMM NS(=O)(=O)c1ccc(C(=O)Nc2c([O-])cccc2F)cc1 ZINC000684881692 486451125 /nfs/dbraw/zinc/45/11/25/486451125.db2.gz KSMCIPDDZFTUOC-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)c2ccncn2)CC1 ZINC000681737185 486506094 /nfs/dbraw/zinc/50/60/94/486506094.db2.gz DVTXRTFBIXTINW-UHFFFAOYSA-N -1 1 311.341 1.917 20 0 DDADMM Cc1cc(Cl)ccc1S(=O)(=O)[N-]c1cc(C(N)=O)[nH]n1 ZINC000677645049 486512907 /nfs/dbraw/zinc/51/29/07/486512907.db2.gz VDIPMVCRKWINII-UHFFFAOYSA-N -1 1 314.754 1.271 20 0 DDADMM CCc1c(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)ccn1C ZINC000685637349 486579559 /nfs/dbraw/zinc/57/95/59/486579559.db2.gz IMLWVPZUQUHXAN-CQSZACIVSA-N -1 1 310.361 1.620 20 0 DDADMM O=C(CNc1ccccc1Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677928408 486581947 /nfs/dbraw/zinc/58/19/47/486581947.db2.gz XSNWZOTYGNQEPG-JTQLQIEISA-N -1 1 320.784 1.671 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](O)CCc1ccccc1)c1nn[n-]n1 ZINC000677931498 486582606 /nfs/dbraw/zinc/58/26/06/486582606.db2.gz OKBHCKOABOZVJL-STQMWFEESA-N -1 1 303.366 1.151 20 0 DDADMM COc1ccc(OC)c(C=CC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000492087700 534225109 /nfs/dbraw/zinc/22/51/09/534225109.db2.gz KOSJPYJQZQXRRM-YVMONPNESA-N -1 1 317.349 1.282 20 0 DDADMM COC(=O)c1cnc(S[C@@H](Cc2ccccc2)C(N)=O)[n-]1 ZINC000432655557 534307410 /nfs/dbraw/zinc/30/74/10/534307410.db2.gz GVFRSLRGMACOLT-NSHDSACASA-N -1 1 305.359 1.385 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H](Cc2ccccc2)C(N)=O)n1 ZINC000432655557 534307412 /nfs/dbraw/zinc/30/74/12/534307412.db2.gz GVFRSLRGMACOLT-NSHDSACASA-N -1 1 305.359 1.385 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCC[C@H]1CF ZINC000294137197 534646723 /nfs/dbraw/zinc/64/67/23/534646723.db2.gz QMVMFBSEZWJVRW-LBPRGKRZSA-N -1 1 320.368 1.945 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCN2C(=O)CC[C@@H]2C1 ZINC000322401569 534727157 /nfs/dbraw/zinc/72/71/57/534727157.db2.gz UFEIOELHKCVYCL-CYBMUJFWSA-N -1 1 310.353 1.992 20 0 DDADMM O=C(Nc1ccc(Cl)cc1[O-])c1cn([C@@H]2CCOC2)nn1 ZINC000331932483 534830477 /nfs/dbraw/zinc/83/04/77/534830477.db2.gz LHJFVMHCFAZDSY-SECBINFHSA-N -1 1 308.725 1.851 20 0 DDADMM CC(C)(C)[C@@H]1CCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000412807727 526664211 /nfs/dbraw/zinc/66/42/11/526664211.db2.gz GFWRFFCMPUIAEW-VXGBXAGGSA-N -1 1 319.405 1.848 20 0 DDADMM CCN(CC(=O)N1CCCCCC1)C(=O)c1ncc(C)cc1[O-] ZINC000331936786 527895824 /nfs/dbraw/zinc/89/58/24/527895824.db2.gz FJOXCTXDMCJXOZ-UHFFFAOYSA-N -1 1 319.405 1.960 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H](C)c2c(C)noc2C)[n-]n1 ZINC000443893782 528230208 /nfs/dbraw/zinc/23/02/08/528230208.db2.gz FMESRUVNWLUTJZ-VIFPVBQESA-N -1 1 303.366 1.469 20 0 DDADMM CC(C)c1nc2n(n1)CCC[C@@H]2N=c1[n-]c(C(N)=O)cs1 ZINC000432312938 528329439 /nfs/dbraw/zinc/32/94/39/528329439.db2.gz QHZZLFZRXMYWFG-QMMMGPOBSA-N -1 1 306.395 1.326 20 0 DDADMM CC(C)c1nncn1CC[N-]S(=O)(=O)c1sccc1F ZINC000414465323 528484628 /nfs/dbraw/zinc/48/46/28/528484628.db2.gz XAYBJOPCSLSQPU-UHFFFAOYSA-N -1 1 318.399 1.581 20 0 DDADMM CC1(C)CCC(C)(CN=c2ccc(C(=O)NCCO)n[n-]2)CC1 ZINC000413517886 528896215 /nfs/dbraw/zinc/89/62/15/528896215.db2.gz SMZSFWFVURMDBF-UHFFFAOYSA-N -1 1 320.437 1.639 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(Br)o1)[C@H](C)O ZINC000451897109 529195743 /nfs/dbraw/zinc/19/57/43/529195743.db2.gz TUSYIWNNDNRQSU-NKWVEPMBSA-N -1 1 312.185 1.480 20 0 DDADMM CC[C@H]1[C@@H](CO)CCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000424093939 529338898 /nfs/dbraw/zinc/33/88/98/529338898.db2.gz SMPOTAMSCLUSPL-MFKMUULPSA-N -1 1 301.346 1.156 20 0 DDADMM CN1CCN(Cc2cc(C(=O)[O-])ccc2Br)CC1 ZINC000675073345 568420250 /nfs/dbraw/zinc/42/02/50/568420250.db2.gz NDWIRTCJNXCCQD-UHFFFAOYSA-N -1 1 313.195 1.895 20 0 DDADMM CC[C@H](C)OCC(=O)Nc1nn(C(C)(C)C)cc1-c1nnn[n-]1 ZINC000825075233 607785408 /nfs/dbraw/zinc/78/54/08/607785408.db2.gz NKYKFOPKTDHDGN-VIFPVBQESA-N -1 1 321.385 1.572 20 0 DDADMM CC[C@H](C)OCC(=O)Nc1nn(C(C)(C)C)cc1-c1nn[n-]n1 ZINC000825075233 607785409 /nfs/dbraw/zinc/78/54/09/607785409.db2.gz NKYKFOPKTDHDGN-VIFPVBQESA-N -1 1 321.385 1.572 20 0 DDADMM Cc1ccc(-c2nn[nH]n2)cc1S(=O)(=O)[N-]c1ccccc1 ZINC000737300619 598929947 /nfs/dbraw/zinc/92/99/47/598929947.db2.gz DHJKBGHPDWSAEK-UHFFFAOYSA-N -1 1 315.358 1.976 20 0 DDADMM C[C@@H](CNc1snc(Cl)c1-c1nnn[n-]1)C[C@H](C)O ZINC000736145685 598972572 /nfs/dbraw/zinc/97/25/72/598972572.db2.gz WHKQLBVVOCVMAR-RITPCOANSA-N -1 1 302.791 1.796 20 0 DDADMM C[C@@H](CNc1snc(Cl)c1-c1nn[n-]n1)C[C@H](C)O ZINC000736145685 598972574 /nfs/dbraw/zinc/97/25/74/598972574.db2.gz WHKQLBVVOCVMAR-RITPCOANSA-N -1 1 302.791 1.796 20 0 DDADMM COc1cccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737132812 598981973 /nfs/dbraw/zinc/98/19/73/598981973.db2.gz QZIDHMPVWGMNDX-UHFFFAOYSA-N -1 1 310.317 1.200 20 0 DDADMM COc1cccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737132812 598981976 /nfs/dbraw/zinc/98/19/76/598981976.db2.gz QZIDHMPVWGMNDX-UHFFFAOYSA-N -1 1 310.317 1.200 20 0 DDADMM COc1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1F ZINC000737105394 598992824 /nfs/dbraw/zinc/99/28/24/598992824.db2.gz ZAIBLBJGIVTBKB-UHFFFAOYSA-N -1 1 314.280 1.662 20 0 DDADMM COc1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1F ZINC000737105394 598992826 /nfs/dbraw/zinc/99/28/26/598992826.db2.gz ZAIBLBJGIVTBKB-UHFFFAOYSA-N -1 1 314.280 1.662 20 0 DDADMM CCc1nc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)cs1 ZINC000736705050 599004563 /nfs/dbraw/zinc/00/45/63/599004563.db2.gz XEXUHLWRATUYLP-UHFFFAOYSA-N -1 1 316.346 1.638 20 0 DDADMM CCc1nc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)cs1 ZINC000736705050 599004565 /nfs/dbraw/zinc/00/45/65/599004565.db2.gz XEXUHLWRATUYLP-UHFFFAOYSA-N -1 1 316.346 1.638 20 0 DDADMM CN(CC1CCCCC1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736794381 599020841 /nfs/dbraw/zinc/02/08/41/599020841.db2.gz RPRLYNAGWPJNNT-UHFFFAOYSA-N -1 1 300.366 1.914 20 0 DDADMM CN(CC1CCCCC1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736794381 599020843 /nfs/dbraw/zinc/02/08/43/599020843.db2.gz RPRLYNAGWPJNNT-UHFFFAOYSA-N -1 1 300.366 1.914 20 0 DDADMM O=C(N[C@H]1CCc2c1cccc2O)c1ccc(-c2nnn[n-]2)nc1 ZINC000738037380 599033889 /nfs/dbraw/zinc/03/38/89/599033889.db2.gz UQIPSSISVZENEI-LBPRGKRZSA-N -1 1 322.328 1.385 20 0 DDADMM O=C(N[C@H]1CCc2c1cccc2O)c1ccc(-c2nn[n-]n2)nc1 ZINC000738037380 599033891 /nfs/dbraw/zinc/03/38/91/599033891.db2.gz UQIPSSISVZENEI-LBPRGKRZSA-N -1 1 322.328 1.385 20 0 DDADMM C[S@@](=O)Cc1cccc(Nc2nccnc2-c2nnn[n-]2)c1 ZINC000737173933 599063010 /nfs/dbraw/zinc/06/30/10/599063010.db2.gz QSIOHYCXKDAYSD-JOCHJYFZSA-N -1 1 315.362 1.279 20 0 DDADMM C[S@@](=O)Cc1cccc(Nc2nccnc2-c2nn[n-]n2)c1 ZINC000737173933 599063012 /nfs/dbraw/zinc/06/30/12/599063012.db2.gz QSIOHYCXKDAYSD-JOCHJYFZSA-N -1 1 315.362 1.279 20 0 DDADMM Cc1ccc(O[C@H](C)CNc2nccnc2-c2nnn[n-]2)cc1 ZINC000822259050 599068179 /nfs/dbraw/zinc/06/81/79/599068179.db2.gz LAYNQIHSSFZQFC-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM Cc1ccc(O[C@H](C)CNc2nccnc2-c2nn[n-]n2)cc1 ZINC000822259050 599068182 /nfs/dbraw/zinc/06/81/82/599068182.db2.gz LAYNQIHSSFZQFC-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM O=C(N[C@H]1C[C@@H]1Cc1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738018494 599091995 /nfs/dbraw/zinc/09/19/95/599091995.db2.gz BOCSXVCFSPRUKU-ZFWWWQNUSA-N -1 1 320.356 1.623 20 0 DDADMM O=C(N[C@H]1C[C@@H]1Cc1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738018494 599091998 /nfs/dbraw/zinc/09/19/98/599091998.db2.gz BOCSXVCFSPRUKU-ZFWWWQNUSA-N -1 1 320.356 1.623 20 0 DDADMM O=C([O-])C1CCN(CN2C[C@H](c3cccc(F)c3)CC2=O)CC1 ZINC000740482147 596950260 /nfs/dbraw/zinc/95/02/60/596950260.db2.gz JTKBEDRTSUHOKT-CQSZACIVSA-N -1 1 320.364 1.896 20 0 DDADMM CN(C)[C@H](CNC(=O)N(C)CCC(=O)[O-])c1ccc(F)cc1 ZINC000818429033 596991715 /nfs/dbraw/zinc/99/17/15/596991715.db2.gz HTSICZSGORLOSB-CYBMUJFWSA-N -1 1 311.357 1.545 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CN2CC3(CC2=O)CCOCC3)C1 ZINC000819380496 597043322 /nfs/dbraw/zinc/04/33/22/597043322.db2.gz OQWIUCCOSLNJAF-CYBMUJFWSA-N -1 1 310.394 1.160 20 0 DDADMM CN(C)c1cccc(CN(C)c2cccc(-c3nnn[n-]3)n2)c1 ZINC000821590786 607307157 /nfs/dbraw/zinc/30/71/57/607307157.db2.gz FTLIUXRILJAQQB-UHFFFAOYSA-N -1 1 309.377 1.964 20 0 DDADMM CN(C)c1cccc(CN(C)c2cccc(-c3nn[n-]n3)n2)c1 ZINC000821590786 607307158 /nfs/dbraw/zinc/30/71/58/607307158.db2.gz FTLIUXRILJAQQB-UHFFFAOYSA-N -1 1 309.377 1.964 20 0 DDADMM CCc1cc2c(C[N@H+](C)[C@H](C)C(=O)[O-])cc(=O)oc2cc1[O-] ZINC000818335878 597474431 /nfs/dbraw/zinc/47/44/31/597474431.db2.gz WJELXBUKIPXPMO-SECBINFHSA-N -1 1 305.330 1.966 20 0 DDADMM CCc1cc2c(C[N@@H+](C)[C@H](C)C(=O)[O-])cc(=O)oc2cc1[O-] ZINC000818335878 597474432 /nfs/dbraw/zinc/47/44/32/597474432.db2.gz WJELXBUKIPXPMO-SECBINFHSA-N -1 1 305.330 1.966 20 0 DDADMM c1ccc2[nH]c(CCNc3nccnc3-c3nnn[n-]3)nc2c1 ZINC000738434057 598343534 /nfs/dbraw/zinc/34/35/34/598343534.db2.gz ZTWOLRYBMWEERE-UHFFFAOYSA-N -1 1 307.321 1.188 20 0 DDADMM c1ccc2[nH]c(CCNc3nccnc3-c3nn[n-]n3)nc2c1 ZINC000738434057 598343536 /nfs/dbraw/zinc/34/35/36/598343536.db2.gz ZTWOLRYBMWEERE-UHFFFAOYSA-N -1 1 307.321 1.188 20 0 DDADMM c1ccc(N2CCC(Nc3nccnc3-c3nnn[n-]3)CC2)cc1 ZINC000738434320 598347383 /nfs/dbraw/zinc/34/73/83/598347383.db2.gz DKQRKIMMTUZBMG-UHFFFAOYSA-N -1 1 322.376 1.738 20 0 DDADMM c1ccc(N2CCC(Nc3nccnc3-c3nn[n-]n3)CC2)cc1 ZINC000738434320 598347385 /nfs/dbraw/zinc/34/73/85/598347385.db2.gz DKQRKIMMTUZBMG-UHFFFAOYSA-N -1 1 322.376 1.738 20 0 DDADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@H](c2ccccc2)O1 ZINC000736293334 598348255 /nfs/dbraw/zinc/34/82/55/598348255.db2.gz NWPNQRMJWPACNV-WCQYABFASA-N -1 1 323.360 1.623 20 0 DDADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@H](c2ccccc2)O1 ZINC000736293334 598348257 /nfs/dbraw/zinc/34/82/57/598348257.db2.gz NWPNQRMJWPACNV-WCQYABFASA-N -1 1 323.360 1.623 20 0 DDADMM CN(CCCNc1cnc(-c2nnn[n-]2)cn1)c1ccccc1 ZINC000736800161 598384097 /nfs/dbraw/zinc/38/40/97/598384097.db2.gz JIPPARAJLLSOFV-UHFFFAOYSA-N -1 1 310.365 1.595 20 0 DDADMM CN(CCCNc1cnc(-c2nn[n-]n2)cn1)c1ccccc1 ZINC000736800161 598384099 /nfs/dbraw/zinc/38/40/99/598384099.db2.gz JIPPARAJLLSOFV-UHFFFAOYSA-N -1 1 310.365 1.595 20 0 DDADMM CC[C@@H]1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C[C@@H](C)O1 ZINC000736407622 598678642 /nfs/dbraw/zinc/67/86/42/598678642.db2.gz PPLPZIPRKQNSTD-RKDXNWHRSA-N -1 1 308.773 1.919 20 0 DDADMM CC[C@@H]1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C[C@@H](C)O1 ZINC000736407622 598678644 /nfs/dbraw/zinc/67/86/44/598678644.db2.gz PPLPZIPRKQNSTD-RKDXNWHRSA-N -1 1 308.773 1.919 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1CCOc1cccc(F)c1 ZINC000823657064 599385766 /nfs/dbraw/zinc/38/57/66/599385766.db2.gz MVJDYYGGASBRCB-UHFFFAOYSA-N -1 1 301.281 1.247 20 0 DDADMM c1ccc2c(c1)C[C@@H](CNc1cccc(-c3nnn[n-]3)n1)CO2 ZINC000738413066 599400452 /nfs/dbraw/zinc/40/04/52/599400452.db2.gz MNBRSLMTAZVYPG-NSHDSACASA-N -1 1 308.345 1.925 20 0 DDADMM c1ccc2c(c1)C[C@@H](CNc1cccc(-c3nn[n-]n3)n1)CO2 ZINC000738413066 599400454 /nfs/dbraw/zinc/40/04/54/599400454.db2.gz MNBRSLMTAZVYPG-NSHDSACASA-N -1 1 308.345 1.925 20 0 DDADMM O[C@]1(CNc2cccc(-c3nnn[n-]3)n2)CCCc2ccccc21 ZINC000738387688 599435265 /nfs/dbraw/zinc/43/52/65/599435265.db2.gz CLDFXZBZHCYAHO-KRWDZBQOSA-N -1 1 322.372 1.898 20 0 DDADMM O[C@]1(CNc2cccc(-c3nn[n-]n3)n2)CCCc2ccccc21 ZINC000738387688 599435268 /nfs/dbraw/zinc/43/52/68/599435268.db2.gz CLDFXZBZHCYAHO-KRWDZBQOSA-N -1 1 322.372 1.898 20 0 DDADMM O[C@@H](CNc1cc(-c2nnn[n-]2)nc2ccccc21)C(F)(F)F ZINC000823690728 599502978 /nfs/dbraw/zinc/50/29/78/599502978.db2.gz SKPAHSXZAWVDOS-NSHDSACASA-N -1 1 324.266 1.750 20 0 DDADMM O[C@@H](CNc1cc(-c2nn[n-]n2)nc2ccccc21)C(F)(F)F ZINC000823690728 599502981 /nfs/dbraw/zinc/50/29/81/599502981.db2.gz SKPAHSXZAWVDOS-NSHDSACASA-N -1 1 324.266 1.750 20 0 DDADMM CNC(=O)[C@H](Nc1ccc(Cl)c(-c2nnn[n-]2)n1)C(C)C ZINC000821631230 607383325 /nfs/dbraw/zinc/38/33/25/607383325.db2.gz BXLAKYRJVFHADC-SECBINFHSA-N -1 1 309.761 1.098 20 0 DDADMM CNC(=O)[C@H](Nc1ccc(Cl)c(-c2nn[n-]n2)n1)C(C)C ZINC000821631230 607383327 /nfs/dbraw/zinc/38/33/27/607383327.db2.gz BXLAKYRJVFHADC-SECBINFHSA-N -1 1 309.761 1.098 20 0 DDADMM Cc1cc([C@](C)(O)CNc2nccnc2-c2nnn[n-]2)c(C)o1 ZINC000822191913 599577229 /nfs/dbraw/zinc/57/72/29/599577229.db2.gz UNOUBLUVGNPOKB-CQSZACIVSA-N -1 1 315.337 1.186 20 0 DDADMM Cc1cc([C@](C)(O)CNc2nccnc2-c2nn[n-]n2)c(C)o1 ZINC000822191913 599577231 /nfs/dbraw/zinc/57/72/31/599577231.db2.gz UNOUBLUVGNPOKB-CQSZACIVSA-N -1 1 315.337 1.186 20 0 DDADMM Cc1oc(CN2CCC(c3nncn3C)CC2)cc1C(=O)[O-] ZINC000738981743 599914752 /nfs/dbraw/zinc/91/47/52/599914752.db2.gz XMPGCNRXGNWIPL-UHFFFAOYSA-N -1 1 304.350 1.794 20 0 DDADMM CCN1CCN(C2CCN(Cc3cc(C(=O)[O-])co3)CC2)C1=O ZINC000737114769 599918068 /nfs/dbraw/zinc/91/80/68/599918068.db2.gz FVKZVUYRIMSHLQ-UHFFFAOYSA-N -1 1 321.377 1.700 20 0 DDADMM C[C@@H](CN(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)C1CC1)C(=O)[O-] ZINC000736578584 599934629 /nfs/dbraw/zinc/93/46/29/599934629.db2.gz NHOAEEXUFNTPED-WCFLWFBJSA-N -1 1 306.362 1.080 20 0 DDADMM CCC[C@](C)(NCC(=O)N1CCO[C@H]2CCCC[C@@H]21)C(=O)[O-] ZINC000736965906 600153317 /nfs/dbraw/zinc/15/33/17/600153317.db2.gz XKGNDQFKKDCTQV-XEZPLFJOSA-N -1 1 312.410 1.389 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(CC(=O)N1CCc3ccccc31)CC2 ZINC000405317156 600296037 /nfs/dbraw/zinc/29/60/37/600296037.db2.gz AZAUVXSTQKMHET-CQSZACIVSA-N -1 1 314.385 1.762 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(CC(=O)NCc1ccc(F)cc1)CC2 ZINC000405356862 600301935 /nfs/dbraw/zinc/30/19/35/600301935.db2.gz KKNOKAXQLSJRGM-CQSZACIVSA-N -1 1 320.364 1.629 20 0 DDADMM Cc1nc([C@H]2CCCN2Cc2ccc(-c3nnn[n-]3)o2)no1 ZINC000822436726 607442976 /nfs/dbraw/zinc/44/29/76/607442976.db2.gz PUVWWHOKTJHDDQ-SNVBAGLBSA-N -1 1 301.310 1.488 20 0 DDADMM Cc1nc([C@H]2CCCN2Cc2ccc(-c3nn[n-]n3)o2)no1 ZINC000822436726 607442978 /nfs/dbraw/zinc/44/29/78/607442978.db2.gz PUVWWHOKTJHDDQ-SNVBAGLBSA-N -1 1 301.310 1.488 20 0 DDADMM CC[C@H](O)[C@@H]1CCCCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000736925212 600441118 /nfs/dbraw/zinc/44/11/18/600441118.db2.gz UCKHJLRSIPSLCN-GJZGRUSLSA-N -1 1 320.389 1.949 20 0 DDADMM O=C([O-])Cn1cc(NC(=O)c2cn[nH]c2-c2ccncc2)cn1 ZINC000739900802 600532659 /nfs/dbraw/zinc/53/26/59/600532659.db2.gz ZBDMSJKPOBPXPX-UHFFFAOYSA-N -1 1 312.289 1.005 20 0 DDADMM C[C@H]1CC[C@H](C)N1CC(=O)Nc1ccc(OCC(=O)[O-])cc1 ZINC000828214346 600890895 /nfs/dbraw/zinc/89/08/95/600890895.db2.gz LCMINXWJUWETDJ-RYUDHWBXSA-N -1 1 306.362 1.961 20 0 DDADMM Cc1nnc(SCC(=O)NC[C@H](CC(C)C)C(=O)[O-])[nH]1 ZINC000832990437 600970098 /nfs/dbraw/zinc/97/00/98/600970098.db2.gz BJIOVZGHEGAHRH-VIFPVBQESA-N -1 1 300.384 1.068 20 0 DDADMM Cc1n[nH]c(SCC(=O)NC[C@H](CC(C)C)C(=O)[O-])n1 ZINC000832990437 600970100 /nfs/dbraw/zinc/97/01/00/600970100.db2.gz BJIOVZGHEGAHRH-VIFPVBQESA-N -1 1 300.384 1.068 20 0 DDADMM CC[C@H](CO)N1CCN([C@@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000828890542 601035232 /nfs/dbraw/zinc/03/52/32/601035232.db2.gz IORZSCWEBCURRO-IUODEOHRSA-N -1 1 310.369 1.340 20 0 DDADMM Cc1noc(C)c1CCC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000833019888 601096788 /nfs/dbraw/zinc/09/67/88/601096788.db2.gz HBOWMGPALCBNQH-LLVKDONJSA-N -1 1 323.393 1.231 20 0 DDADMM Cc1nnc(SCCC(=O)Nc2cc(C(=O)[O-])n(C)c2)[nH]1 ZINC000833021957 601318152 /nfs/dbraw/zinc/31/81/52/601318152.db2.gz UDUAYAHPSUGLPV-UHFFFAOYSA-N -1 1 309.351 1.271 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(Cc2ccc(C(=O)N3CCCC3)cc2)C1 ZINC000833354439 601448142 /nfs/dbraw/zinc/44/81/42/601448142.db2.gz VHKIBRKPFJUACB-QGZVFWFLSA-N -1 1 320.364 1.921 20 0 DDADMM C[C@@H](CN(Cc1ccccc1)C(=O)CCc1nc[nH]n1)C(=O)[O-] ZINC000827450614 601448461 /nfs/dbraw/zinc/44/84/61/601448461.db2.gz YRFONYXEWOVQMH-LBPRGKRZSA-N -1 1 316.361 1.487 20 0 DDADMM C[C@@H]1CN(Cc2nc(Cc3ccccc3)no2)C[C@H]1C(=O)[O-] ZINC000828463061 601509639 /nfs/dbraw/zinc/50/96/39/601509639.db2.gz OGUIHDZFORXAFY-DGCLKSJQSA-N -1 1 301.346 1.813 20 0 DDADMM Cn1c(CN2CCCC[C@@H]2C(=O)[O-])nc2ccccc2c1=O ZINC000821269153 601577842 /nfs/dbraw/zinc/57/78/42/601577842.db2.gz OMNQHZTZUUHLDL-CYBMUJFWSA-N -1 1 301.346 1.373 20 0 DDADMM Cc1ccccc1CCNC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C ZINC000832979818 601603035 /nfs/dbraw/zinc/60/30/35/601603035.db2.gz SLERVPLIAPKDPD-HNNXBMFYSA-N -1 1 306.406 1.743 20 0 DDADMM O=C([O-])[C@@H]1COCCN1C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000823840863 601722153 /nfs/dbraw/zinc/72/21/53/601722153.db2.gz CNGYEJRCFLKGRN-LBPRGKRZSA-N -1 1 301.302 1.002 20 0 DDADMM CCN(C)[C@H](C(=O)N1CCO[C@@H](CC(=O)[O-])C1)c1ccccc1 ZINC000829608835 601742727 /nfs/dbraw/zinc/74/27/27/601742727.db2.gz RGYPHTWGTKTIDJ-HOCLYGCPSA-N -1 1 320.389 1.382 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1cccc(Br)c1O)C1CC1 ZINC000833124943 601758815 /nfs/dbraw/zinc/75/88/15/601758815.db2.gz DICXEKFMGKXFQL-VIFPVBQESA-N -1 1 314.135 1.748 20 0 DDADMM CC(C)C[C@]1(C)CC(=O)N(CN2C[C@H](C)[C@@H](C(=O)[O-])C2)C1=O ZINC000826827090 601815688 /nfs/dbraw/zinc/81/56/88/601815688.db2.gz YXXABKMCBXEBEW-MQIPJXDCSA-N -1 1 310.394 1.408 20 0 DDADMM CN(C(=O)CN1CC[C@@]2(CCOC2)C1)c1ccccc1C(=O)[O-] ZINC000830477804 601817812 /nfs/dbraw/zinc/81/78/12/601817812.db2.gz FBTZEVJBWDJTQS-QGZVFWFLSA-N -1 1 318.373 1.460 20 0 DDADMM O=C([O-])c1cc(CN[C@@H]2CCN(Cc3ccccc3)C2=O)c[nH]1 ZINC000833296676 601832687 /nfs/dbraw/zinc/83/26/87/601832687.db2.gz PCHXUMLLXKWBDX-CQSZACIVSA-N -1 1 313.357 1.604 20 0 DDADMM COC(=O)[C@@]1(C)CCN(Cn2ncc3cc(C(=O)[O-])ccc32)C1 ZINC000831088786 601886990 /nfs/dbraw/zinc/88/69/90/601886990.db2.gz ACITYBMQSHWQBR-INIZCTEOSA-N -1 1 317.345 1.577 20 0 DDADMM CC1CCC2(CC(=O)N(CN3CC[C@](C)(C(=O)[O-])C3)C2=O)CC1 ZINC000828233166 601894581 /nfs/dbraw/zinc/89/45/81/601894581.db2.gz LHVWRUVSJCQBNY-PDOTXMHQSA-N -1 1 322.405 1.696 20 0 DDADMM c1cc(CSCc2nc(C3CC3)no2)cc(-c2nnn[n-]2)n1 ZINC000826516210 607535371 /nfs/dbraw/zinc/53/53/71/607535371.db2.gz ARCFSESPQIHGSR-UHFFFAOYSA-N -1 1 315.362 1.956 20 0 DDADMM c1cc(CSCc2nc(C3CC3)no2)cc(-c2nn[n-]n2)n1 ZINC000826516210 607535373 /nfs/dbraw/zinc/53/53/73/607535373.db2.gz ARCFSESPQIHGSR-UHFFFAOYSA-N -1 1 315.362 1.956 20 0 DDADMM CC1CCC(N(C)C(=O)CN2CC[C@@H](CNC(=O)[O-])C2)CC1 ZINC000739086401 601954666 /nfs/dbraw/zinc/95/46/66/601954666.db2.gz PYINNCMELRQQEV-MOKVOYLWSA-N -1 1 311.426 1.613 20 0 DDADMM O=C([O-])CSc1ccc(OS(=O)(=O)c2c[nH]cn2)cc1 ZINC000833265049 601987378 /nfs/dbraw/zinc/98/73/78/601987378.db2.gz RDWCMENNOCFJPJ-UHFFFAOYSA-N -1 1 314.344 1.354 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000832847570 602028776 /nfs/dbraw/zinc/02/87/76/602028776.db2.gz MQXVBMNKGXWHIU-LLVKDONJSA-N -1 1 305.256 1.674 20 0 DDADMM CN(C)c1nnc(SCc2ccnc(-c3nnn[n-]3)c2)s1 ZINC000825888292 607545690 /nfs/dbraw/zinc/54/56/90/607545690.db2.gz PXNCWADGSDELQO-UHFFFAOYSA-N -1 1 320.407 1.472 20 0 DDADMM CN(C)c1nnc(SCc2ccnc(-c3nn[n-]n3)c2)s1 ZINC000825888292 607545691 /nfs/dbraw/zinc/54/56/91/607545691.db2.gz PXNCWADGSDELQO-UHFFFAOYSA-N -1 1 320.407 1.472 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000739235314 602152113 /nfs/dbraw/zinc/15/21/13/602152113.db2.gz QEVNVKNABXWRMI-MFKMUULPSA-N -1 1 317.349 1.888 20 0 DDADMM C[C@@H](c1cn(-c2ccccc2)nn1)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828424621 602222775 /nfs/dbraw/zinc/22/27/75/602222775.db2.gz RFIUBJYPUVCKRH-DYEKYZERSA-N -1 1 300.362 1.981 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccc(F)cc1O ZINC000826467242 607555443 /nfs/dbraw/zinc/55/54/43/607555443.db2.gz NGMHJWSRUYEFJW-UHFFFAOYSA-N -1 1 315.264 1.463 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc(F)cc1O ZINC000826467242 607555444 /nfs/dbraw/zinc/55/54/44/607555444.db2.gz NGMHJWSRUYEFJW-UHFFFAOYSA-N -1 1 315.264 1.463 20 0 DDADMM CC(C)N(C)CC(=O)Nc1cccc(OCCNC(=O)[O-])c1 ZINC000738834116 602280131 /nfs/dbraw/zinc/28/01/31/602280131.db2.gz IPTQCUGKQATUDK-UHFFFAOYSA-N -1 1 309.366 1.612 20 0 DDADMM CCN1CCCC[C@H]1C(=O)NCC1(NC(=O)[O-])CCCCC1 ZINC000739453860 602468955 /nfs/dbraw/zinc/46/89/55/602468955.db2.gz JBTXKHICFIVYMX-ZDUSSCGKSA-N -1 1 311.426 1.948 20 0 DDADMM CN(C[C@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)C1)C(=O)[O-] ZINC000739657364 602482100 /nfs/dbraw/zinc/48/21/00/602482100.db2.gz QDIODWPRMDQAPC-SECBINFHSA-N -1 1 303.322 1.030 20 0 DDADMM O=C([O-])N1CC[C@@H](N[C@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC000740505050 602571055 /nfs/dbraw/zinc/57/10/55/602571055.db2.gz JGSSIBOEZZTPEA-PWSUYJOCSA-N -1 1 323.780 1.787 20 0 DDADMM O=C([O-])N1CC[C@H](N[C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000740505216 602719621 /nfs/dbraw/zinc/71/96/21/602719621.db2.gz LEVQNPAEJOQUKV-CMPLNLGQSA-N -1 1 307.325 1.273 20 0 DDADMM C[C@@H]1CN(CC(=O)NCCC2=CCCCC2)CC[C@H]1NC(=O)[O-] ZINC000739170591 602766119 /nfs/dbraw/zinc/76/61/19/602766119.db2.gz GSMDJLONFPZOKK-UKRRQHHQSA-N -1 1 323.437 1.971 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)c2ccc(F)cc2F)CC[C@@H]1NC(=O)[O-] ZINC000739174862 602774561 /nfs/dbraw/zinc/77/45/61/602774561.db2.gz AGTJCBNSKDMALP-BIGNPOOSSA-N -1 1 314.332 1.976 20 0 DDADMM O=C(CN(CC1CC1)C(=O)[O-])Nc1nc(-c2ccco2)n[nH]1 ZINC000831468488 602837893 /nfs/dbraw/zinc/83/78/93/602837893.db2.gz JBNIRFRIEJBRRM-UHFFFAOYSA-N -1 1 305.294 1.393 20 0 DDADMM CCCN1CCN(C(=O)[C@@H](CNC(=O)[O-])c2ccccc2)CC1 ZINC000826921812 602843450 /nfs/dbraw/zinc/84/34/50/602843450.db2.gz RBAXCPYFNBRFAG-HNNXBMFYSA-N -1 1 319.405 1.592 20 0 DDADMM CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739453183 602852477 /nfs/dbraw/zinc/85/24/77/602852477.db2.gz DTDXWECKGTVSSI-LLVKDONJSA-N -1 1 307.350 1.696 20 0 DDADMM CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739453183 602852480 /nfs/dbraw/zinc/85/24/80/602852480.db2.gz DTDXWECKGTVSSI-LLVKDONJSA-N -1 1 307.350 1.696 20 0 DDADMM Cc1cc(CNC(=O)NCC2(NC(=O)[O-])CCCCCC2)n[nH]1 ZINC000740074244 602905821 /nfs/dbraw/zinc/90/58/21/602905821.db2.gz VVJMEAATXSWFFV-UHFFFAOYSA-N -1 1 323.397 1.878 20 0 DDADMM O=C([O-])N1CCC[C@@H]1COC(=O)Cc1[nH]nc2ccccc21 ZINC000740388205 602926062 /nfs/dbraw/zinc/92/60/62/602926062.db2.gz QVOKYDQPEBDRPU-SNVBAGLBSA-N -1 1 303.318 1.791 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@H]1NC(=O)c1[nH]nc2c1CCCC2 ZINC000740629396 602974560 /nfs/dbraw/zinc/97/45/60/602974560.db2.gz QLGHSRRNFJNBGW-GXSJLCMTSA-N -1 1 306.366 1.455 20 0 DDADMM CC(C)(CC(=O)Nc1nc(-c2ccccn2)n[nH]1)NC(=O)[O-] ZINC000738738316 603028663 /nfs/dbraw/zinc/02/86/63/603028663.db2.gz JWHLLQRCBJAPNS-UHFFFAOYSA-N -1 1 304.310 1.242 20 0 DDADMM CCN1CCCC[C@@H]1C(=O)NC[C@H](NC(=O)[O-])c1ccccc1 ZINC000739453194 603033428 /nfs/dbraw/zinc/03/34/28/603033428.db2.gz DYZJNMVAEQSXEJ-LSDHHAIUSA-N -1 1 319.405 1.986 20 0 DDADMM C[C@H](C(=O)N1CCN(C(=O)[O-])C[C@H]1C)N(C)Cc1ccccc1 ZINC000738620361 603177820 /nfs/dbraw/zinc/17/78/20/603177820.db2.gz SHFONQACEHFVBI-ZIAGYGMSSA-N -1 1 319.405 1.718 20 0 DDADMM Cc1ccccc1CNC(=O)[C@@H](C)N(C)CCCNC(=O)[O-] ZINC000740185509 603257224 /nfs/dbraw/zinc/25/72/24/603257224.db2.gz UIVMNIZZIBVSQP-CYBMUJFWSA-N -1 1 307.394 1.589 20 0 DDADMM CN(CCCNC(=O)[O-])Cc1ccc(Br)cn1 ZINC000739682083 603258261 /nfs/dbraw/zinc/25/82/61/603258261.db2.gz MAHLSEAEBWXQCE-UHFFFAOYSA-N -1 1 302.172 1.934 20 0 DDADMM O=C([O-])N1CCC[C@H](CN[C@H](CO)c2c(F)cccc2F)C1 ZINC000740514922 603277025 /nfs/dbraw/zinc/27/70/25/603277025.db2.gz HSQVQHURRHJEGO-ZWNOBZJWSA-N -1 1 314.332 1.978 20 0 DDADMM O=C([O-])N1CCC[C@@H](CN[C@H](CO)c2c(F)cccc2F)C1 ZINC000740514920 603277094 /nfs/dbraw/zinc/27/70/94/603277094.db2.gz HSQVQHURRHJEGO-GXFFZTMASA-N -1 1 314.332 1.978 20 0 DDADMM Cn1c(CNC[C@@H]2CCCN2C(=O)[O-])nc2cc(F)ccc21 ZINC000740283912 603278536 /nfs/dbraw/zinc/27/85/36/603278536.db2.gz VWGLTHRAJAKEBF-NSHDSACASA-N -1 1 306.341 1.944 20 0 DDADMM C[C@H](CC(=O)NCCN1CCc2ccccc2C1)NC(=O)[O-] ZINC000824646572 603295522 /nfs/dbraw/zinc/29/55/22/603295522.db2.gz LGGHJLMMPCQKHQ-GFCCVEGCSA-N -1 1 305.378 1.207 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)[O-])C1)[C@@H]1CCN(c2ccccc2)C1=O ZINC000739657679 603344264 /nfs/dbraw/zinc/34/42/64/603344264.db2.gz KOOTUNBVOAQCIO-DZGCQCFKSA-N -1 1 317.389 1.724 20 0 DDADMM C[C@H](c1ccccc1)N(CC(N)=O)C[C@H]1CCN(C(=O)[O-])C1 ZINC000829821662 603910400 /nfs/dbraw/zinc/91/04/00/603910400.db2.gz VPUAEPFTTDHGFO-OLZOCXBDSA-N -1 1 305.378 1.535 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N2CCc3[nH]nnc3C2)c(Cl)c1 ZINC000832833997 603928916 /nfs/dbraw/zinc/92/89/16/603928916.db2.gz SMCPNXWBVVZFPB-UHFFFAOYSA-N -1 1 321.724 1.747 20 0 DDADMM O=C([O-])NC[C@@H]1CCCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000832522480 603946882 /nfs/dbraw/zinc/94/68/82/603946882.db2.gz WILZUMUIWSVUAT-QWRGUYRKSA-N -1 1 306.366 1.478 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000825280700 603947822 /nfs/dbraw/zinc/94/78/22/603947822.db2.gz JOUWQRURPBVFKM-JQWIXIFHSA-N -1 1 320.393 1.724 20 0 DDADMM CC1(C)CN(C(=O)[O-])CCN1C(=O)c1cccc2n[nH]cc21 ZINC000825728806 603948416 /nfs/dbraw/zinc/94/84/16/603948416.db2.gz AXFANQOSSVCCQN-UHFFFAOYSA-N -1 1 302.334 1.777 20 0 DDADMM C[C@H]1CCC[C@H](C)N1C(=O)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000825889363 603980967 /nfs/dbraw/zinc/98/09/67/603980967.db2.gz XGHFAPJYNAOCEW-XQLPTFJDSA-N -1 1 323.437 1.993 20 0 DDADMM C[C@H]1CN=C(N2CCN(C[C@H]3CCN(C(=O)[O-])C3)CC2)S1 ZINC000826087502 604227900 /nfs/dbraw/zinc/22/79/00/604227900.db2.gz OGHFKRHAWFGOKG-NWDGAFQWSA-N -1 1 312.439 1.095 20 0 DDADMM O=C([O-])N1CC[C@@H](CCNC(=O)N[C@@H]2CCCc3cn[nH]c32)C1 ZINC000831659613 604270740 /nfs/dbraw/zinc/27/07/40/604270740.db2.gz KYFNTBWFVKWSSI-ZYHUDNBSSA-N -1 1 321.381 1.476 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@]3(C)CCN(C(=O)[O-])C3)C2)n[nH]1 ZINC000830733986 604643907 /nfs/dbraw/zinc/64/39/07/604643907.db2.gz JUTNNOFREGNAJR-ABAIWWIYSA-N -1 1 321.381 1.209 20 0 DDADMM C[C@H]1[C@H](C)N(C(=O)c2ccc(OCC(=O)[O-])cc2)CCN1C ZINC000833587836 604838951 /nfs/dbraw/zinc/83/89/51/604838951.db2.gz NJIVXDQVACAVFD-RYUDHWBXSA-N -1 1 306.362 1.315 20 0 DDADMM Cc1ccc2c(n1)c(=O)c(-c1nn[n-]n1)cn2C/C=C/Cl ZINC000826295209 607718121 /nfs/dbraw/zinc/71/81/21/607718121.db2.gz WUIOIBAOAGQVHB-GORDUTHDSA-N -1 1 302.725 1.638 20 0 DDADMM C[C@@H]1[C@@H](C)N(CC(=O)Nc2ccccc2C(=O)[O-])CCN1C ZINC000833586815 604851826 /nfs/dbraw/zinc/85/18/26/604851826.db2.gz HAXKHVVFOJTLAO-VXGBXAGGSA-N -1 1 305.378 1.348 20 0 DDADMM C[C@H](Oc1cccc(F)c1)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000320411490 604899351 /nfs/dbraw/zinc/89/93/51/604899351.db2.gz BEAUOQYLCLPAQI-ZANVPECISA-N -1 1 321.308 1.128 20 0 DDADMM C[C@H](O)[C@@H]1CCCN(CC(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC000833531366 604922879 /nfs/dbraw/zinc/92/28/79/604922879.db2.gz ZRWBXHXZNRTNBK-WCQYABFASA-N -1 1 306.362 1.416 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)CN1CCC2(CCOC2)CC1)C(=O)[O-] ZINC000833640507 604932420 /nfs/dbraw/zinc/93/24/20/604932420.db2.gz ZBPYUDBXGYCWDQ-OCCSQVGLSA-N -1 1 312.410 1.104 20 0 DDADMM C[C@@H](C(=O)N1CCC(Nc2cccc(C(=O)[O-])c2)CC1)N(C)C ZINC000832799474 604957166 /nfs/dbraw/zinc/95/71/66/604957166.db2.gz SXZQHSABZUWYRI-LBPRGKRZSA-N -1 1 319.405 1.738 20 0 DDADMM O=C([O-])[C@@H](NCc1ccc(N2CCCC2)nc1)c1cccnc1 ZINC000833166181 604991657 /nfs/dbraw/zinc/99/16/57/604991657.db2.gz NTYAUIDWLOOFJJ-INIZCTEOSA-N -1 1 312.373 1.992 20 0 DDADMM CCc1nc([C@H](C)NS(=O)(=O)c2ccccc2C(=O)[O-])n[nH]1 ZINC000833674929 605005019 /nfs/dbraw/zinc/00/50/19/605005019.db2.gz YCVDUPXJSGVYHS-QMMMGPOBSA-N -1 1 324.362 1.105 20 0 DDADMM COC(=O)c1cccc(CN[C@H](C(=O)[O-])c2cccnc2)c1 ZINC000831453356 605007090 /nfs/dbraw/zinc/00/70/90/605007090.db2.gz PPERWHWQQBAKPD-AWEZNQCLSA-N -1 1 300.314 1.784 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)Nc2nc(CC(=O)[O-])cs2)n[nH]1 ZINC000833674076 605007241 /nfs/dbraw/zinc/00/72/41/605007241.db2.gz PERSJNVJAZXMHS-ZCFIWIBFSA-N -1 1 324.366 1.333 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)CCc2ccccc2C(=O)[O-])n[nH]1 ZINC000833674107 605023874 /nfs/dbraw/zinc/02/38/74/605023874.db2.gz PYIWJKHGLQSSRP-SNVBAGLBSA-N -1 1 316.361 1.875 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N(C)C[C@@H](O)C1CC1 ZINC000833405938 605046157 /nfs/dbraw/zinc/04/61/57/605046157.db2.gz ZHSBRRPBPKCROS-IAQYHMDHSA-N -1 1 320.389 1.092 20 0 DDADMM CCO[C@@H]1C[C@H](NCc2cn(CC(=O)[O-])nn2)C12CCCCC2 ZINC000833662225 605092213 /nfs/dbraw/zinc/09/22/13/605092213.db2.gz YZICKUSFQYHTND-UONOGXRCSA-N -1 1 322.409 1.580 20 0 DDADMM CN(Cc1ccc(C(=O)[O-])[nH]1)C[C@@H]1COc2ccccc2O1 ZINC000833696249 605102381 /nfs/dbraw/zinc/10/23/81/605102381.db2.gz IZQDZSNOYBRXIF-GFCCVEGCSA-N -1 1 302.330 1.985 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833541845 605108595 /nfs/dbraw/zinc/10/85/95/605108595.db2.gz WBZGKJNMSAAXBN-UONOGXRCSA-N -1 1 320.389 1.461 20 0 DDADMM CC(C)[C@H]1C[C@@H](CC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CCO1 ZINC000833469523 605124026 /nfs/dbraw/zinc/12/40/26/605124026.db2.gz AQXDYPPZZCJESI-FPMFFAJLSA-N -1 1 323.393 1.363 20 0 DDADMM O=C([O-])N[C@H](C(=O)N[C@@H]1CCCc2cn[nH]c21)c1ccccc1 ZINC000832790454 605126928 /nfs/dbraw/zinc/12/69/28/605126928.db2.gz WVIBJTQXGSZIHC-OCCSQVGLSA-N -1 1 314.345 1.912 20 0 DDADMM CCN1C[C@H](C)N(C(=O)c2cccc(OCC(=O)[O-])c2)C[C@@H]1C ZINC000833657124 605133520 /nfs/dbraw/zinc/13/35/20/605133520.db2.gz LFYSYNNASPVADJ-STQMWFEESA-N -1 1 320.389 1.705 20 0 DDADMM C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1CN1C[C@H](C(=O)[O-])CC1=O ZINC000833598614 605172467 /nfs/dbraw/zinc/17/24/67/605172467.db2.gz SFXRDERXUWFKOP-MRVWCRGKSA-N -1 1 320.364 1.894 20 0 DDADMM C[C@H]1CN(C(=O)CCC(=O)[O-])[C@@H](C)CN1Cc1ccccc1 ZINC000833618418 605176118 /nfs/dbraw/zinc/17/61/18/605176118.db2.gz NSXWQJZSNOOJPH-KBPBESRZSA-N -1 1 304.390 1.973 20 0 DDADMM Cc1cc(CNC(=O)C(=O)Nc2scc(C(=O)[O-])c2C)n[nH]1 ZINC000833745935 605184777 /nfs/dbraw/zinc/18/47/77/605184777.db2.gz YAQBZOUVVKHQKW-UHFFFAOYSA-N -1 1 322.346 1.041 20 0 DDADMM O=C([O-])N1CCC[C@H]1CC(=O)Nc1ncc(C(F)(F)F)[nH]1 ZINC000831346585 605293910 /nfs/dbraw/zinc/29/39/10/605293910.db2.gz VKCUZRGVAAZRJM-LURJTMIESA-N -1 1 306.244 1.900 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]2CN(Cc3cc(=O)c(O)co3)C[C@H]21 ZINC000834178305 605446755 /nfs/dbraw/zinc/44/67/55/605446755.db2.gz FEVARTDZBYVDAJ-MVWJERBFSA-N -1 1 308.334 1.214 20 0 DDADMM O=C([O-])N[C@H]1CCCN(Cc2c[nH]nc2-c2cccnc2)C1 ZINC000834182018 605491376 /nfs/dbraw/zinc/49/13/76/605491376.db2.gz LCEBXNCVUFZEMN-ZDUSSCGKSA-N -1 1 301.350 1.704 20 0 DDADMM C[C@H]1C[C@H](NC(=O)[O-])CCN1C(=O)c1cc(-c2ccco2)[nH]n1 ZINC000825831900 605729505 /nfs/dbraw/zinc/72/95/05/605729505.db2.gz ONYWCZICSBKGES-VHSXEESVSA-N -1 1 318.333 1.930 20 0 DDADMM O=C([O-])NCCN1CC=C(c2ccc3c(c2)OCCO3)CC1 ZINC000834239326 605752466 /nfs/dbraw/zinc/75/24/66/605752466.db2.gz LCUMGVLMFFSPOM-UHFFFAOYSA-N -1 1 304.346 1.815 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NCc1ccccc1 ZINC000833829360 605884116 /nfs/dbraw/zinc/88/41/16/605884116.db2.gz HNYCGWHEKWVELN-JSGCOSHPSA-N -1 1 305.378 1.281 20 0 DDADMM Cc1cccc(C)c1OC[C@@H](O)CN1CC[C@H](NC(=O)[O-])C1 ZINC000740165577 605927202 /nfs/dbraw/zinc/92/72/02/605927202.db2.gz FFNQAUYOMSCELD-KBPBESRZSA-N -1 1 308.378 1.385 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCO[C@H](Cc3ccccc3)C2)C1 ZINC000834077275 606047842 /nfs/dbraw/zinc/04/78/42/606047842.db2.gz DWXCAXKFNVNCNG-JKSUJKDBSA-N -1 1 304.390 1.930 20 0 DDADMM CC(C)CNC(=O)[C@@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820093338 606136124 /nfs/dbraw/zinc/13/61/24/606136124.db2.gz WEKXHMKKJDGEMW-MRVPVSSYSA-N -1 1 323.378 1.246 20 0 DDADMM CC(C)CNC(=O)[C@@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820093338 606136125 /nfs/dbraw/zinc/13/61/25/606136125.db2.gz WEKXHMKKJDGEMW-MRVPVSSYSA-N -1 1 323.378 1.246 20 0 DDADMM CCC(CC)N(C(=O)Nc1nn(C)cc1-c1nnn[n-]1)C1CC1 ZINC000820892859 606172569 /nfs/dbraw/zinc/17/25/69/606172569.db2.gz GDISMEMGKFRHJS-UHFFFAOYSA-N -1 1 318.385 1.785 20 0 DDADMM CCC(CC)N(C(=O)Nc1nn(C)cc1-c1nn[n-]n1)C1CC1 ZINC000820892859 606172570 /nfs/dbraw/zinc/17/25/70/606172570.db2.gz GDISMEMGKFRHJS-UHFFFAOYSA-N -1 1 318.385 1.785 20 0 DDADMM COC(=O)/C(C)=C\COC(=O)c1sccc1-c1nn[n-]n1 ZINC000821639024 606226126 /nfs/dbraw/zinc/22/61/26/606226126.db2.gz NFZDXKLBHMIMQT-CLTKARDFSA-N -1 1 308.319 1.204 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1c[nH]c2ncccc12 ZINC000823294863 606297149 /nfs/dbraw/zinc/29/71/49/606297149.db2.gz VFRXYQHKWLJFLA-UHFFFAOYSA-N -1 1 321.300 1.701 20 0 DDADMM C[C@@H]1CC[C@@H](CCC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])O1 ZINC000820690341 606297679 /nfs/dbraw/zinc/29/76/79/606297679.db2.gz JVSYSJHGKCEMKK-KOLCDFICSA-N -1 1 317.349 1.859 20 0 DDADMM Clc1ccc(NCc2nnc3n2CCC3)nc1-c1nnn[n-]1 ZINC000822578078 606445297 /nfs/dbraw/zinc/44/52/97/606445297.db2.gz CCCCXKSBIKYUPY-UHFFFAOYSA-N -1 1 317.744 1.065 20 0 DDADMM Clc1ccc(NCc2nnc3n2CCC3)nc1-c1nn[n-]n1 ZINC000822578078 606445299 /nfs/dbraw/zinc/44/52/99/606445299.db2.gz CCCCXKSBIKYUPY-UHFFFAOYSA-N -1 1 317.744 1.065 20 0 DDADMM NC(=O)C1CCC(Nc2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000822859589 606467863 /nfs/dbraw/zinc/46/78/63/606467863.db2.gz HJYRYYYXHMBTQK-UHFFFAOYSA-N -1 1 321.772 1.371 20 0 DDADMM NC(=O)C1CCC(Nc2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000822859589 606467865 /nfs/dbraw/zinc/46/78/65/606467865.db2.gz HJYRYYYXHMBTQK-UHFFFAOYSA-N -1 1 321.772 1.371 20 0 DDADMM O=C(CCc1ccccc1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822983212 606519153 /nfs/dbraw/zinc/51/91/53/606519153.db2.gz NBBAHSDFWDZLGK-UHFFFAOYSA-N -1 1 309.329 1.938 20 0 DDADMM O=C(CCc1ccccc1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822983212 606519155 /nfs/dbraw/zinc/51/91/55/606519155.db2.gz NBBAHSDFWDZLGK-UHFFFAOYSA-N -1 1 309.329 1.938 20 0 DDADMM CCc1cnccc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821499538 606562031 /nfs/dbraw/zinc/56/20/31/606562031.db2.gz MEXSREVJMBNZNY-UHFFFAOYSA-N -1 1 300.347 1.560 20 0 DDADMM CCc1cnccc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821499538 606562032 /nfs/dbraw/zinc/56/20/32/606562032.db2.gz MEXSREVJMBNZNY-UHFFFAOYSA-N -1 1 300.347 1.560 20 0 DDADMM O=C(NC[C@H]1CCCC[C@@H]1O)c1ccc(-c2nnn[n-]2)s1 ZINC000823175308 606571997 /nfs/dbraw/zinc/57/19/97/606571997.db2.gz FMUGGDZHWMYBNE-BDAKNGLRSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(NC[C@H]1CCCC[C@@H]1O)c1ccc(-c2nn[n-]n2)s1 ZINC000823175308 606571999 /nfs/dbraw/zinc/57/19/99/606571999.db2.gz FMUGGDZHWMYBNE-BDAKNGLRSA-N -1 1 307.379 1.209 20 0 DDADMM C[C@H](Cc1ccncc1)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820300350 606588059 /nfs/dbraw/zinc/58/80/59/606588059.db2.gz QCXZQCLPOSQNEO-SECBINFHSA-N -1 1 314.374 1.684 20 0 DDADMM C[C@H](Cc1ccncc1)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820300350 606588061 /nfs/dbraw/zinc/58/80/61/606588061.db2.gz QCXZQCLPOSQNEO-SECBINFHSA-N -1 1 314.374 1.684 20 0 DDADMM CCCN(CC)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000821094538 606841941 /nfs/dbraw/zinc/84/19/41/606841941.db2.gz LLWJAIALGMHAIE-UHFFFAOYSA-N -1 1 313.358 1.426 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(N[C@H]2CCOC3(CCCC3)C2)n1 ZINC000823810512 607130570 /nfs/dbraw/zinc/13/05/70/607130570.db2.gz ZNNKOEGQUMWQRL-JTQLQIEISA-N -1 1 301.354 1.560 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(N[C@H]2CCOC3(CCCC3)C2)n1 ZINC000823810512 607130571 /nfs/dbraw/zinc/13/05/71/607130571.db2.gz ZNNKOEGQUMWQRL-JTQLQIEISA-N -1 1 301.354 1.560 20 0 DDADMM CCc1cccc(CC(=O)Nc2nn(C)cc2-c2nnn[n-]2)c1 ZINC000825722396 607786428 /nfs/dbraw/zinc/78/64/28/607786428.db2.gz DWYMYEJNWMVGMI-UHFFFAOYSA-N -1 1 311.349 1.344 20 0 DDADMM CCc1cccc(CC(=O)Nc2nn(C)cc2-c2nn[n-]n2)c1 ZINC000825722396 607786429 /nfs/dbraw/zinc/78/64/29/607786429.db2.gz DWYMYEJNWMVGMI-UHFFFAOYSA-N -1 1 311.349 1.344 20 0 DDADMM Fc1cccc(OCCOCC(F)(F)F)c1-c1nnn[n-]1 ZINC000826377799 607863970 /nfs/dbraw/zinc/86/39/70/607863970.db2.gz GOJTVAOLQXXYEK-UHFFFAOYSA-N -1 1 306.219 1.964 20 0 DDADMM Fc1cccc(OCCOCC(F)(F)F)c1-c1nn[n-]n1 ZINC000826377799 607863971 /nfs/dbraw/zinc/86/39/71/607863971.db2.gz GOJTVAOLQXXYEK-UHFFFAOYSA-N -1 1 306.219 1.964 20 0 DDADMM O=C(COc1cccc(F)c1-c1nnn[n-]1)Nc1ccon1 ZINC000826418819 607865737 /nfs/dbraw/zinc/86/57/37/607865737.db2.gz XTABXBFDDXGIKW-UHFFFAOYSA-N -1 1 304.241 1.011 20 0 DDADMM O=C(COc1cccc(F)c1-c1nn[n-]n1)Nc1ccon1 ZINC000826418819 607865738 /nfs/dbraw/zinc/86/57/38/607865738.db2.gz XTABXBFDDXGIKW-UHFFFAOYSA-N -1 1 304.241 1.011 20 0 DDADMM CC(C)c1nnc(COc2cccc(F)c2-c2nnn[n-]2)n1C ZINC000824364370 607865929 /nfs/dbraw/zinc/86/59/29/607865929.db2.gz CTRJZOPTOVWLRE-UHFFFAOYSA-N -1 1 317.328 1.837 20 0 DDADMM CC(C)c1nnc(COc2cccc(F)c2-c2nn[n-]n2)n1C ZINC000824364370 607865930 /nfs/dbraw/zinc/86/59/30/607865930.db2.gz CTRJZOPTOVWLRE-UHFFFAOYSA-N -1 1 317.328 1.837 20 0 DDADMM c1cn(-c2ncccc2CNc2cccc(-c3nn[n-]n3)n2)cn1 ZINC000826517296 607896758 /nfs/dbraw/zinc/89/67/58/607896758.db2.gz XVWBQIJOVBUWMB-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM Cc1noc([C@@H]2CCCN(c3cccc(-c4nnn[n-]4)n3)C2)n1 ZINC000826339763 607897403 /nfs/dbraw/zinc/89/74/03/607897403.db2.gz RWPODOSECRNIGW-SNVBAGLBSA-N -1 1 312.337 1.337 20 0 DDADMM Cc1noc([C@@H]2CCCN(c3cccc(-c4nn[n-]n4)n3)C2)n1 ZINC000826339763 607897404 /nfs/dbraw/zinc/89/74/04/607897404.db2.gz RWPODOSECRNIGW-SNVBAGLBSA-N -1 1 312.337 1.337 20 0 DDADMM CCOC(=O)CC(=O)CSCc1ccnc(-c2nnn[n-]2)c1 ZINC000825499640 607965332 /nfs/dbraw/zinc/96/53/32/607965332.db2.gz PNONDFWPRHDIOU-UHFFFAOYSA-N -1 1 321.362 1.017 20 0 DDADMM CCOC(=O)CC(=O)CSCc1ccnc(-c2nn[n-]n2)c1 ZINC000825499640 607965333 /nfs/dbraw/zinc/96/53/33/607965333.db2.gz PNONDFWPRHDIOU-UHFFFAOYSA-N -1 1 321.362 1.017 20 0 DDADMM C[C@@H](CO)CCCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000824440549 607967358 /nfs/dbraw/zinc/96/73/58/607967358.db2.gz FROCPUSRSVRIOY-ZCFIWIBFSA-N -1 1 302.791 1.797 20 0 DDADMM C[C@@H](CO)CCCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000824440549 607967359 /nfs/dbraw/zinc/96/73/59/607967359.db2.gz FROCPUSRSVRIOY-ZCFIWIBFSA-N -1 1 302.791 1.797 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC12CCC2 ZINC000824946194 608200247 /nfs/dbraw/zinc/20/02/47/608200247.db2.gz ILDWVCCWXWZZGT-LLVKDONJSA-N -1 1 312.377 1.914 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC12CCC2 ZINC000824946194 608200249 /nfs/dbraw/zinc/20/02/49/608200249.db2.gz ILDWVCCWXWZZGT-LLVKDONJSA-N -1 1 312.377 1.914 20 0 DDADMM COc1ccc(OCCNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826211523 608392083 /nfs/dbraw/zinc/39/20/83/608392083.db2.gz IKNSRBLCHAQEPP-UHFFFAOYSA-N -1 1 313.321 1.156 20 0 DDADMM COc1ccc(OCCNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826211523 608392085 /nfs/dbraw/zinc/39/20/85/608392085.db2.gz IKNSRBLCHAQEPP-UHFFFAOYSA-N -1 1 313.321 1.156 20 0 DDADMM Oc1ccc(C2=CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)cc1 ZINC000826510797 608394010 /nfs/dbraw/zinc/39/40/10/608394010.db2.gz LAARLYQUXBAIEE-UHFFFAOYSA-N -1 1 321.344 1.656 20 0 DDADMM Oc1ccc(C2=CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)cc1 ZINC000826510797 608394012 /nfs/dbraw/zinc/39/40/12/608394012.db2.gz LAARLYQUXBAIEE-UHFFFAOYSA-N -1 1 321.344 1.656 20 0 DDADMM CN(C)C(=O)c1cccc(Oc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000825848239 608398524 /nfs/dbraw/zinc/39/85/24/608398524.db2.gz ANORHJAJEDITTF-UHFFFAOYSA-N -1 1 311.305 1.151 20 0 DDADMM CN(C)C(=O)c1cccc(Oc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000825848239 608398526 /nfs/dbraw/zinc/39/85/26/608398526.db2.gz ANORHJAJEDITTF-UHFFFAOYSA-N -1 1 311.305 1.151 20 0 DDADMM C[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)c1nc2ccccc2[nH]1 ZINC000824658446 608404523 /nfs/dbraw/zinc/40/45/23/608404523.db2.gz XMCMQZLCHSJPDY-MRVPVSSYSA-N -1 1 307.321 1.706 20 0 DDADMM C[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)c1nc2ccccc2[nH]1 ZINC000824658446 608404524 /nfs/dbraw/zinc/40/45/24/608404524.db2.gz XMCMQZLCHSJPDY-MRVPVSSYSA-N -1 1 307.321 1.706 20 0 DDADMM C[C@H]1Cc2ccccc2N1CCNc1ccc(-c2nnn[n-]2)nn1 ZINC000825013578 608406537 /nfs/dbraw/zinc/40/65/37/608406537.db2.gz QLTSTVOQEMEYFU-NSHDSACASA-N -1 1 322.376 1.520 20 0 DDADMM C[C@H]1Cc2ccccc2N1CCNc1ccc(-c2nn[n-]n2)nn1 ZINC000825013578 608406538 /nfs/dbraw/zinc/40/65/38/608406538.db2.gz QLTSTVOQEMEYFU-NSHDSACASA-N -1 1 322.376 1.520 20 0 DDADMM Cc1csc([C@H]2CCCN2c2ccc(-c3nnn[n-]3)nn2)n1 ZINC000826320687 608408406 /nfs/dbraw/zinc/40/84/06/608408406.db2.gz ZZSUZMZKDKJZER-SNVBAGLBSA-N -1 1 314.378 1.763 20 0 DDADMM Cc1csc([C@H]2CCCN2c2ccc(-c3nn[n-]n3)nn2)n1 ZINC000826320687 608408408 /nfs/dbraw/zinc/40/84/08/608408408.db2.gz ZZSUZMZKDKJZER-SNVBAGLBSA-N -1 1 314.378 1.763 20 0 DDADMM COC1(C)CCN(c2snc(Cl)c2-c2nnn[n-]2)CC1 ZINC000826143508 608411834 /nfs/dbraw/zinc/41/18/34/608411834.db2.gz CPRWRIOUDLHNML-UHFFFAOYSA-N -1 1 314.802 1.982 20 0 DDADMM COC1(C)CCN(c2snc(Cl)c2-c2nn[n-]n2)CC1 ZINC000826143508 608411836 /nfs/dbraw/zinc/41/18/36/608411836.db2.gz CPRWRIOUDLHNML-UHFFFAOYSA-N -1 1 314.802 1.982 20 0 DDADMM CCS[C@H]1CC[C@H](N(C)c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000825668867 608426439 /nfs/dbraw/zinc/42/64/39/608426439.db2.gz LKFUIZSAMLRXIK-UWVGGRQHSA-N -1 1 305.411 1.767 20 0 DDADMM CCS[C@H]1CC[C@H](N(C)c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000825668867 608426440 /nfs/dbraw/zinc/42/64/40/608426440.db2.gz LKFUIZSAMLRXIK-UWVGGRQHSA-N -1 1 305.411 1.767 20 0 DDADMM C[S@@](=O)c1ccc(CNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826224833 608430251 /nfs/dbraw/zinc/43/02/51/608430251.db2.gz NCLVQEQNYBETRH-JOCHJYFZSA-N -1 1 315.362 1.006 20 0 DDADMM C[S@@](=O)c1ccc(CNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826224833 608430253 /nfs/dbraw/zinc/43/02/53/608430253.db2.gz NCLVQEQNYBETRH-JOCHJYFZSA-N -1 1 315.362 1.006 20 0 DDADMM C[C@@H](C[C@@H](O)c1ccccc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824390865 608431171 /nfs/dbraw/zinc/43/11/71/608431171.db2.gz FBAFEBRPYUORBO-GXFFZTMASA-N -1 1 311.349 1.581 20 0 DDADMM C[C@@H](C[C@@H](O)c1ccccc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824390865 608431172 /nfs/dbraw/zinc/43/11/72/608431172.db2.gz FBAFEBRPYUORBO-GXFFZTMASA-N -1 1 311.349 1.581 20 0 DDADMM COc1cccc2c1CC[C@H]2Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826218348 608433500 /nfs/dbraw/zinc/43/35/00/608433500.db2.gz ZMZZCFWGLRJVOJ-LLVKDONJSA-N -1 1 309.333 1.765 20 0 DDADMM COc1cccc2c1CC[C@H]2Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826218348 608433502 /nfs/dbraw/zinc/43/35/02/608433502.db2.gz ZMZZCFWGLRJVOJ-LLVKDONJSA-N -1 1 309.333 1.765 20 0 DDADMM CC(C)CO[N-]C(=O)[C@@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000123146962 696728801 /nfs/dbraw/zinc/72/88/01/696728801.db2.gz LDSNKNZQVSMLRG-SNVBAGLBSA-N -1 1 304.350 1.385 20 0 DDADMM CCCC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971895880 695118702 /nfs/dbraw/zinc/11/87/02/695118702.db2.gz NXYMGAZVWLHPLC-VXGBXAGGSA-N -1 1 303.362 1.260 20 0 DDADMM Cc1[nH]ccc1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974002098 695617111 /nfs/dbraw/zinc/61/71/11/695617111.db2.gz FPHBKZUWTMGTSK-PHIMTYICSA-N -1 1 314.345 1.115 20 0 DDADMM O=C([O-])[C@@H]1CCCCCN1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000797723656 700020890 /nfs/dbraw/zinc/02/08/90/700020890.db2.gz DJMJIYYVBJKTES-NEPJUHHUSA-N -1 1 306.366 1.826 20 0 DDADMM C[C@H]1Oc2ccccc2O[C@@H]1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000798234257 700051614 /nfs/dbraw/zinc/05/16/14/700051614.db2.gz MLIHNQKISLFOMF-BMIGLBTASA-N -1 1 324.340 1.509 20 0 DDADMM O=C(CCCOc1ccc(Cl)cc1)NN1CC(=O)[N-]C1=O ZINC000048248871 696210878 /nfs/dbraw/zinc/21/08/78/696210878.db2.gz WJAJJLNTSQSMJK-UHFFFAOYSA-N -1 1 311.725 1.082 20 0 DDADMM Cn1c(CNC(=O)c2cc(-c3ccccc3)n[nH]2)n[n-]c1=S ZINC000066625269 696353967 /nfs/dbraw/zinc/35/39/67/696353967.db2.gz OFTXWAQGYDZJGJ-UHFFFAOYSA-N -1 1 314.374 1.798 20 0 DDADMM CCCCCCOCC(=O)NCCc1n[n-]c(=S)n1C ZINC000067051325 696358582 /nfs/dbraw/zinc/35/85/82/696358582.db2.gz LTFJKVMDIGFTLY-UHFFFAOYSA-N -1 1 300.428 1.733 20 0 DDADMM Cc1ccc(C(=O)NCCc2n[n-]c(=S)n2C2CC2)cn1 ZINC000067079543 696358932 /nfs/dbraw/zinc/35/89/32/696358932.db2.gz ZLYHKGXGHZHEJQ-UHFFFAOYSA-N -1 1 303.391 1.952 20 0 DDADMM Cn1c(CCNC(=O)CCOc2cccc(F)c2)n[n-]c1=S ZINC000067070046 696358991 /nfs/dbraw/zinc/35/89/91/696358991.db2.gz HANNCINXJAVRFH-UHFFFAOYSA-N -1 1 324.381 1.745 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccccc1Nc1ccccc1 ZINC000073491663 696404429 /nfs/dbraw/zinc/40/44/29/696404429.db2.gz GAFGDIKXWKXPAR-UHFFFAOYSA-N -1 1 310.313 1.627 20 0 DDADMM O=S(=O)([N-]c1ccc2c(c1)OCO2)c1ccc2c(c1)OCO2 ZINC000074931895 696417926 /nfs/dbraw/zinc/41/79/26/696417926.db2.gz UHJLPXKNWPQRQF-UHFFFAOYSA-N -1 1 321.310 1.945 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741188 696450463 /nfs/dbraw/zinc/45/04/63/696450463.db2.gz IFRROJBYWUEHEP-ZDEQEGDKSA-N -1 1 317.389 1.458 20 0 DDADMM C[C@@H]1C[C@H]1c1ccc(CNC(=O)Cc2sc(N)nc2[O-])o1 ZINC000079646591 696462373 /nfs/dbraw/zinc/46/23/73/696462373.db2.gz QSCCOFLLARJOJK-BCMRRPTOSA-N -1 1 307.375 1.366 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCCc3sccc3C2)s1 ZINC000080108657 696530772 /nfs/dbraw/zinc/53/07/72/696530772.db2.gz FGKNKISWEHWYHP-SNVBAGLBSA-N -1 1 309.416 1.370 20 0 DDADMM CC[C@H](C)NC(=O)CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000080584644 696535759 /nfs/dbraw/zinc/53/57/59/696535759.db2.gz QFQJZQKAQYFBMG-JTQLQIEISA-N -1 1 322.409 1.236 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(CC2CC2)c2ccccc2)s1 ZINC000084373250 696557556 /nfs/dbraw/zinc/55/75/56/696557556.db2.gz IJUIZBIWSBMRBM-LBPRGKRZSA-N -1 1 303.387 1.776 20 0 DDADMM Cc1ccc(NC(=O)NCc2n[n-]c(=S)n2C)c2n[nH]cc21 ZINC000085589357 696563653 /nfs/dbraw/zinc/56/36/53/696563653.db2.gz ABDHARJJJDWFDJ-UHFFFAOYSA-N -1 1 317.378 1.984 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)/C=C\c1ccccc1)N(C)C ZINC000798812389 700098643 /nfs/dbraw/zinc/09/86/43/700098643.db2.gz GERJNSSHKXCJRW-BMSUMIBZSA-N -1 1 310.419 1.690 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H](C)C2CC2)cc1 ZINC000748131228 700101262 /nfs/dbraw/zinc/10/12/62/700101262.db2.gz GCOQBWLJNQDRIA-SNVBAGLBSA-N -1 1 305.330 1.541 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(F)c(F)c1 ZINC000120806707 696701495 /nfs/dbraw/zinc/70/14/95/696701495.db2.gz CJTXADBDKOSFEZ-LDWIPMOCSA-N -1 1 313.329 1.489 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCc2cccc(Cl)c2)s1 ZINC000120810799 696701528 /nfs/dbraw/zinc/70/15/28/696701528.db2.gz UTNWAYJQQAESHN-SNVBAGLBSA-N -1 1 311.794 1.346 20 0 DDADMM CC(=O)N1CSC[C@@H]1C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000129525261 696789599 /nfs/dbraw/zinc/78/95/99/696789599.db2.gz MHKAXWSVGPAWHY-SNVBAGLBSA-N -1 1 300.767 1.906 20 0 DDADMM CCCCCC[C@@H](C)NC(=O)CS(=O)(=O)c1nc[n-]n1 ZINC000153048807 696909032 /nfs/dbraw/zinc/90/90/32/696909032.db2.gz WCWIHVJYGQOHSR-SNVBAGLBSA-N -1 1 302.400 1.054 20 0 DDADMM CO[N-]C(=O)[C@H]1CC(=O)N(CCOc2ccccc2Cl)C1 ZINC000155414887 696947574 /nfs/dbraw/zinc/94/75/74/696947574.db2.gz NMZMIATXBRDUKA-JTQLQIEISA-N -1 1 312.753 1.245 20 0 DDADMM CN(C(=O)C1CCC1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984116499 697342500 /nfs/dbraw/zinc/34/25/00/697342500.db2.gz UDTAVIJHEXQIEB-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM CC(=O)N1CCC[C@@H]2[C@@H](NC(=O)c3ncccc3[O-])C(C)(C)[C@@H]21 ZINC000984170012 697349875 /nfs/dbraw/zinc/34/98/75/697349875.db2.gz MQTGPLWLVNZPHC-KCPJHIHWSA-N -1 1 317.389 1.553 20 0 DDADMM CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)c1ncccc1[O-] ZINC000984204848 697355839 /nfs/dbraw/zinc/35/58/39/697355839.db2.gz FUEMWQVSBIGLTQ-DGAVXFQQSA-N -1 1 315.373 1.116 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)C2CC2)CCN1C(=O)c1ncccc1[O-] ZINC000984380457 697376371 /nfs/dbraw/zinc/37/63/71/697376371.db2.gz HNIOSFLMFBQXLW-NWDGAFQWSA-N -1 1 303.362 1.307 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)CC2CC2)CCN1C(=O)c1ncccc1[O-] ZINC000984409252 697381638 /nfs/dbraw/zinc/38/16/38/697381638.db2.gz XSRXCHJMRIJTJH-QWHCGFSZSA-N -1 1 317.389 1.697 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1CC ZINC000984803873 697431119 /nfs/dbraw/zinc/43/11/19/697431119.db2.gz ZWNXJEAUIKVMJO-SDDRHHMPSA-N -1 1 323.368 1.645 20 0 DDADMM Cn1ncnc1NC(=O)N[N-]C(=O)c1ccc(Cl)cc1F ZINC000183334669 697487051 /nfs/dbraw/zinc/48/70/51/697487051.db2.gz HEEHOSOTOJGLHY-UHFFFAOYSA-N -1 1 312.692 1.074 20 0 DDADMM O=C(Nc1n[nH]c(C2CCC2)n1)c1nnc2ccccc2c1O ZINC000184551529 697504664 /nfs/dbraw/zinc/50/46/64/697504664.db2.gz LVODENJTHZULJQ-UHFFFAOYSA-N -1 1 310.317 1.561 20 0 DDADMM O=C(NC[C@H](O)c1ccccc1)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000186811293 697538058 /nfs/dbraw/zinc/53/80/58/697538058.db2.gz GRRZYUMWBWHPER-LBPRGKRZSA-N -1 1 303.274 1.159 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C2CC3(CC3)C2)CC1 ZINC000985642772 697549245 /nfs/dbraw/zinc/54/92/45/697549245.db2.gz LXBPQEHGEKPMTM-UHFFFAOYSA-N -1 1 319.409 1.123 20 0 DDADMM O=C(CCc1ccncn1)N[N-]C(=O)c1ccc(Cl)cc1F ZINC000192371605 697627077 /nfs/dbraw/zinc/62/70/77/697627077.db2.gz RCSQIEMZSSHDDJ-UHFFFAOYSA-N -1 1 322.727 1.663 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C(F)=C1CCCC1 ZINC000986153160 697709126 /nfs/dbraw/zinc/70/91/26/697709126.db2.gz VTLPIUIVKBNDGN-MWLCHTKSSA-N -1 1 323.372 1.387 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1ccc(OC)c(O)c1)c1nn[n-]n1 ZINC000773263648 697735476 /nfs/dbraw/zinc/73/54/76/697735476.db2.gz QQZRMMVWBDMRCT-LXSSAFMLSA-N -1 1 317.349 1.585 20 0 DDADMM O=C(c1cccc(Cl)n1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000773315029 697742780 /nfs/dbraw/zinc/74/27/80/697742780.db2.gz LXHJRKYKQFFDHS-MRVPVSSYSA-N -1 1 308.725 1.843 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCSCCCO ZINC000225843228 697766154 /nfs/dbraw/zinc/76/61/54/697766154.db2.gz HNTFEJMNOZJFBH-UHFFFAOYSA-N -1 1 313.423 1.047 20 0 DDADMM CC(C)S(=O)(=O)CCCOC(=O)c1ccc([O-])cc1F ZINC000773556440 697779767 /nfs/dbraw/zinc/77/97/67/697779767.db2.gz YZAKOGWDWFFJOU-UHFFFAOYSA-N -1 1 304.339 1.901 20 0 DDADMM C[C@@H](OC(=O)c1ccc([O-])cc1F)C(=O)NCC(F)(F)F ZINC000773570144 697782703 /nfs/dbraw/zinc/78/27/03/697782703.db2.gz YTALCDWWBKISOX-ZCFIWIBFSA-N -1 1 309.215 1.755 20 0 DDADMM NC(=O)c1ccccc1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773731593 697798854 /nfs/dbraw/zinc/79/88/54/697798854.db2.gz CKZBWZBQOWDZLJ-UHFFFAOYSA-N -1 1 313.342 1.369 20 0 DDADMM Cc1nn(C)cc1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000773891034 697819490 /nfs/dbraw/zinc/81/94/90/697819490.db2.gz YUYLYQUHJAAJQM-UHFFFAOYSA-N -1 1 303.366 1.586 20 0 DDADMM CCC(CC)CS(=O)(=O)[N-]C(=O)CCCCc1cn[nH]n1 ZINC000774097019 697843764 /nfs/dbraw/zinc/84/37/64/697843764.db2.gz VICOMALDTUHINR-UHFFFAOYSA-N -1 1 316.427 1.400 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CCN(C(=O)C2CC2)CC1 ZINC000986721017 697889256 /nfs/dbraw/zinc/88/92/56/697889256.db2.gz XPESYDVGQDYJNW-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM COc1ccc(CC(=O)OCCC[N-]C(=O)C(F)(F)F)cc1 ZINC000774911336 697940870 /nfs/dbraw/zinc/94/08/70/697940870.db2.gz BKHAMHDRRFPMOH-UHFFFAOYSA-N -1 1 319.279 1.850 20 0 DDADMM Cc1ccc(CC(=O)OCCC[N-]C(=O)C(F)(F)F)cc1O ZINC000775012774 697954232 /nfs/dbraw/zinc/95/42/32/697954232.db2.gz WAOAOLVTTQMSGD-UHFFFAOYSA-N -1 1 319.279 1.855 20 0 DDADMM O=C(c1cccc(Cl)c1[O-])N1CCN(C[C@@H]2CCOC2)CC1 ZINC000775771328 698030757 /nfs/dbraw/zinc/03/07/57/698030757.db2.gz LVXYDMVASLDJQD-LBPRGKRZSA-N -1 1 324.808 1.840 20 0 DDADMM CN(CC1CCN(C(=O)C2CC2)CC1)C(=O)c1ncccc1[O-] ZINC000988405566 698355767 /nfs/dbraw/zinc/35/57/67/698355767.db2.gz JXUUJNNRPYOPJK-UHFFFAOYSA-N -1 1 317.389 1.508 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC000778713791 698362077 /nfs/dbraw/zinc/36/20/77/698362077.db2.gz MJQDZHWEVSRKCN-ZDUSSCGKSA-N -1 1 320.455 1.124 20 0 DDADMM COc1ccccc1[C@@H](O)CNC(=O)c1ncc(C)cc1[O-] ZINC000778992391 698389338 /nfs/dbraw/zinc/38/93/38/698389338.db2.gz GRKDXUJREHYCOI-ZDUSSCGKSA-N -1 1 302.330 1.568 20 0 DDADMM CC(C)(C)Sc1cc(C(=O)NN2CC(=O)[N-]C2=O)ccn1 ZINC000779155743 698399506 /nfs/dbraw/zinc/39/95/06/698399506.db2.gz GCPGPXAUWIMXEP-UHFFFAOYSA-N -1 1 308.363 1.169 20 0 DDADMM O=C([O-])[C@]1(NCc2cnn(-c3ccccc3)n2)CCSC1 ZINC000779491679 698427745 /nfs/dbraw/zinc/42/77/45/698427745.db2.gz TYEVHUPLRDINID-AWEZNQCLSA-N -1 1 304.375 1.317 20 0 DDADMM CC[C@@H](CO)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000779813301 698470815 /nfs/dbraw/zinc/47/08/15/698470815.db2.gz RZZIILJMGKQAKV-LBPRGKRZSA-N -1 1 305.374 1.832 20 0 DDADMM CCC[C@@H](NC(=O)NC[C@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000780344494 698509484 /nfs/dbraw/zinc/50/94/84/698509484.db2.gz KXABHDPNWIWSNU-CHWSQXEVSA-N -1 1 323.393 1.969 20 0 DDADMM CN(CCNC(=O)N(CC(=O)[O-])C1CC1)Cc1ccc(F)cc1 ZINC000780631985 698541003 /nfs/dbraw/zinc/54/10/03/698541003.db2.gz HFXJUUOCPSZFQW-UHFFFAOYSA-N -1 1 323.368 1.516 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)c1ncn(C)n1 ZINC000781405704 698615865 /nfs/dbraw/zinc/61/58/65/698615865.db2.gz YIFQETHNVDTIRI-VIFPVBQESA-N -1 1 304.354 1.234 20 0 DDADMM O=c1ccc([N-]S(=O)(=O)c2cnc(Cl)c(F)c2)c[nH]1 ZINC000311994955 698676148 /nfs/dbraw/zinc/67/61/48/698676148.db2.gz SSVQIXUTYMRTRW-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM CC1(C)COC[C@H]1[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000313038409 698680749 /nfs/dbraw/zinc/68/07/49/698680749.db2.gz BWWFCFUWFCZNLS-SNVBAGLBSA-N -1 1 318.326 1.437 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@@H]4C[C@]4(CO)C3)nc2)[n-]c(=O)c1C ZINC000782403644 698721834 /nfs/dbraw/zinc/72/18/34/698721834.db2.gz QWFCNPQIRQTLQS-SUMWQHHRSA-N -1 1 312.373 1.680 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1C[C@@H]2C[C@]2(CO)C1 ZINC000783782032 698878460 /nfs/dbraw/zinc/87/84/60/698878460.db2.gz DRAXTZOANRWVJL-ISVAXAHUSA-N -1 1 312.163 1.609 20 0 DDADMM COC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1cc(C)ccc1C ZINC000750954644 700260267 /nfs/dbraw/zinc/26/02/67/700260267.db2.gz LPFKRHCCBIFUBL-UHFFFAOYSA-N -1 1 324.358 1.889 20 0 DDADMM Cc1ccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)s1 ZINC000990088732 698947277 /nfs/dbraw/zinc/94/72/77/698947277.db2.gz UYRQZADVCNIFGD-UHFFFAOYSA-N -1 1 317.370 1.412 20 0 DDADMM C[C@H]1C[C@H](C(=O)Nc2nc(Br)ccc2[O-])CO1 ZINC000784790939 699040221 /nfs/dbraw/zinc/04/02/21/699040221.db2.gz ADNFJOULYNDXCE-BQBZGAKWSA-N -1 1 301.140 1.913 20 0 DDADMM COc1cc(C(=O)N2CC(n3ccnn3)C2)cc(Cl)c1[O-] ZINC000785422486 699075930 /nfs/dbraw/zinc/07/59/30/699075930.db2.gz SQKORODJYJEANB-UHFFFAOYSA-N -1 1 308.725 1.343 20 0 DDADMM O=C(Cc1ccc(Cl)cn1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000786438318 699145980 /nfs/dbraw/zinc/14/59/80/699145980.db2.gz YCUOCZCUDHBSJA-VIFPVBQESA-N -1 1 306.757 1.197 20 0 DDADMM CC(=O)N1CC(C)(C)CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000991037772 699214065 /nfs/dbraw/zinc/21/40/65/699214065.db2.gz CCQCZPYBTRSMEQ-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H](CC1CCCCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000787658201 699228607 /nfs/dbraw/zinc/22/86/07/699228607.db2.gz MCHVCOIBTMZISI-GFCCVEGCSA-N -1 1 307.394 1.402 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CCC(C)(C)CO2)c1Cl ZINC000711240880 699256559 /nfs/dbraw/zinc/25/65/59/699256559.db2.gz UXGMEWDWBMTNMV-VIFPVBQESA-N -1 1 321.830 1.557 20 0 DDADMM O=S(=O)([N-]CC[C@H](O)C(F)(F)F)c1cccnc1Cl ZINC000716711861 699283902 /nfs/dbraw/zinc/28/39/02/699283902.db2.gz IDGVUTCRYWZKRW-ZETCQYMHSA-N -1 1 318.704 1.327 20 0 DDADMM O=C(CNC(=O)c1ccc(F)cc1)Nc1nc(Cl)ccc1[O-] ZINC000788158986 699286199 /nfs/dbraw/zinc/28/61/99/699286199.db2.gz QZMJSMRAYMBIGP-UHFFFAOYSA-N -1 1 323.711 1.948 20 0 DDADMM CNC(=O)C[N-]S(=O)(=O)c1ccc(Cl)c(F)c1Cl ZINC000788875763 699344037 /nfs/dbraw/zinc/34/40/37/699344037.db2.gz DNCRLGGRKLIGFO-UHFFFAOYSA-N -1 1 315.153 1.157 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(NCc2cnsn2)CC1 ZINC000991582691 699359123 /nfs/dbraw/zinc/35/91/23/699359123.db2.gz YSOACMQUDDGORW-UHFFFAOYSA-N -1 1 319.390 1.033 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)/C=C\c3ccco3)nc2n1 ZINC000726864429 699385799 /nfs/dbraw/zinc/38/57/99/699385799.db2.gz YRGBKLVTWRMFSW-SREVYHEPSA-N -1 1 313.317 1.615 20 0 DDADMM CC(C)(C)[C@H]1CCCC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727850253 699427961 /nfs/dbraw/zinc/42/79/61/699427961.db2.gz VHKIAQZQSJVFHL-STQMWFEESA-N -1 1 321.421 1.648 20 0 DDADMM CC[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1CCCCC1 ZINC000727853999 699428599 /nfs/dbraw/zinc/42/85/99/699428599.db2.gz OSTXZADBKKBSIZ-ZDUSSCGKSA-N -1 1 307.394 1.402 20 0 DDADMM Cn1cc(/C=C/C(=O)Nc2nc(Br)ccc2[O-])cn1 ZINC000790043942 699437049 /nfs/dbraw/zinc/43/70/49/699437049.db2.gz WDNZZGJFYKSKNY-GORDUTHDSA-N -1 1 323.150 1.935 20 0 DDADMM CCc1cc(N)nc(SCCC[N-]C(=O)C(F)(F)F)n1 ZINC000790328213 699454110 /nfs/dbraw/zinc/45/41/10/699454110.db2.gz OSVYRKYCOGASHX-UHFFFAOYSA-N -1 1 308.329 1.782 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cccc1Cl)[C@H](C)N1CCOCC1 ZINC000729540564 699481984 /nfs/dbraw/zinc/48/19/84/699481984.db2.gz HKESFWYOYIVUNH-QWRGUYRKSA-N -1 1 312.797 1.885 20 0 DDADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)c1cccc2ccccc21 ZINC000733138942 699580298 /nfs/dbraw/zinc/58/02/98/699580298.db2.gz PMZUNCWVHFMSIM-UHFFFAOYSA-N -1 1 323.352 1.743 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CSCc3ccccn3)ccnc1-2 ZINC000791168057 699614088 /nfs/dbraw/zinc/61/40/88/699614088.db2.gz VPLAQRQSZZTQTO-UHFFFAOYSA-N -1 1 313.386 1.609 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)c1nccn1C ZINC000791248627 699617309 /nfs/dbraw/zinc/61/73/09/699617309.db2.gz PSSOBNGDSJLVDF-UHFFFAOYSA-N -1 1 303.366 1.798 20 0 DDADMM C[C@@H]1CCCN(CC(=O)N2Cc3ccccc3C[C@H]2C(=O)[O-])C1 ZINC000736795274 699732059 /nfs/dbraw/zinc/73/20/59/699732059.db2.gz URAHWUOOJRHVCM-CJNGLKHVSA-N -1 1 316.401 1.756 20 0 DDADMM O=C([N-]S(=O)(=O)C1CCC1)c1ccc(-c2nnc[nH]2)cc1 ZINC000793307277 699749273 /nfs/dbraw/zinc/74/92/73/699749273.db2.gz WFFNGZBLRBXMHM-UHFFFAOYSA-N -1 1 306.347 1.084 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCCN(C(=O)c2cccc3n[nH]cc32)C1 ZINC000739584933 699776397 /nfs/dbraw/zinc/77/63/97/699776397.db2.gz LODHLJXFJBODKL-JTQLQIEISA-N -1 1 302.334 1.777 20 0 DDADMM Cc1cccc([C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000741233020 699823585 /nfs/dbraw/zinc/82/35/85/699823585.db2.gz HIXXOIWKWKGOMW-CYBMUJFWSA-N -1 1 315.373 1.155 20 0 DDADMM Cn1ccnc1C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000741262847 699826424 /nfs/dbraw/zinc/82/64/24/699826424.db2.gz FRTUSHBNMFAHSO-UHFFFAOYSA-N -1 1 313.357 1.861 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cccnc2F)c1 ZINC000795268837 699854766 /nfs/dbraw/zinc/85/47/66/699854766.db2.gz WGTDGJAXQDDULJ-UHFFFAOYSA-N -1 1 314.294 1.079 20 0 DDADMM CC(C)(C)c1cnc(NC(=O)CCn2cc[n-]c(=O)c2=O)s1 ZINC000742807858 699891192 /nfs/dbraw/zinc/89/11/92/699891192.db2.gz NZCPAUSTVAHCKT-UHFFFAOYSA-N -1 1 322.390 1.319 20 0 DDADMM CC[C@@H](C)[C@@H](OC)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000795983295 699904994 /nfs/dbraw/zinc/90/49/94/699904994.db2.gz AGULIIWAYBYRKX-BXUZGUMPSA-N -1 1 307.346 1.547 20 0 DDADMM CC[C@@H](Oc1ccccc1C(C)C)C(=O)NN1CC(=O)[N-]C1=O ZINC000743290527 699905673 /nfs/dbraw/zinc/90/56/73/699905673.db2.gz MGPIRXAKULUGJB-GFCCVEGCSA-N -1 1 319.361 1.550 20 0 DDADMM CCOc1nnc(COC(=O)c2ccc(C(=O)OC)[n-]2)s1 ZINC000796343304 699927864 /nfs/dbraw/zinc/92/78/64/699927864.db2.gz CHFUJFQVSHRNRD-UHFFFAOYSA-N -1 1 311.319 1.409 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2ccc(=O)n(C)n2)c1 ZINC000744771547 699960571 /nfs/dbraw/zinc/96/05/71/699960571.db2.gz QVCGOONFLUITJV-UHFFFAOYSA-N -1 1 316.313 1.088 20 0 DDADMM CCOCCNC(=S)NN=c1c(F)c(F)[n-]c(F)c1F ZINC000750975736 700261018 /nfs/dbraw/zinc/26/10/18/700261018.db2.gz YPPCYLCGFWEKMH-UHFFFAOYSA-N -1 1 312.292 1.466 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CSCCS1 ZINC000751063546 700267704 /nfs/dbraw/zinc/26/77/04/700267704.db2.gz NKGCVGZERNZSGT-WDEREUQCSA-N -1 1 321.431 1.254 20 0 DDADMM COC(=O)[C@H](C[N-]C(=O)C(F)(F)F)Cc1ccccc1OC ZINC000752376878 700359709 /nfs/dbraw/zinc/35/97/09/700359709.db2.gz UBNYTCMYZMHRKL-JTQLQIEISA-N -1 1 319.279 1.705 20 0 DDADMM O=C(COC(=O)CCc1ccoc1)[N-]C(=O)c1ccccc1 ZINC000753497389 700439017 /nfs/dbraw/zinc/43/90/17/700439017.db2.gz OQVNQPNHFIBFAL-UHFFFAOYSA-N -1 1 301.298 1.712 20 0 DDADMM CCCC[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1CCC1 ZINC000757032454 700653340 /nfs/dbraw/zinc/65/33/40/700653340.db2.gz KNAJTIBRJLKHSP-ZDUSSCGKSA-N -1 1 307.394 1.402 20 0 DDADMM O=S(=O)([N-]C1(CO)CCC1)c1c(Cl)ccnc1Cl ZINC000758503729 700709248 /nfs/dbraw/zinc/70/92/48/700709248.db2.gz LNBPPVAKGJNLLC-UHFFFAOYSA-N -1 1 311.190 1.582 20 0 DDADMM COC(=O)C[C@H](C)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000759001413 700732772 /nfs/dbraw/zinc/73/27/72/700732772.db2.gz JJXMXSQZNASVCW-VIFPVBQESA-N -1 1 320.297 1.741 20 0 DDADMM CC(C)CCC1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000760050449 700789367 /nfs/dbraw/zinc/78/93/67/700789367.db2.gz UWMCRTYKNKMPFR-UHFFFAOYSA-N -1 1 321.421 1.602 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCOC2CCCC2)o1 ZINC000761080799 700841526 /nfs/dbraw/zinc/84/15/26/700841526.db2.gz PQDQFNHQVGZHPS-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cccc2Cl)CC[S@](=O)C1 ZINC000762548942 700897687 /nfs/dbraw/zinc/89/76/87/700897687.db2.gz SVGOPVJEIYETJY-HOGDKLEQSA-N -1 1 301.795 1.886 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)NC[C@@H](C(=O)[O-])C(C)C ZINC000763077205 700924723 /nfs/dbraw/zinc/92/47/23/700924723.db2.gz BMUQHQABGPFGLS-KGLIPLIRSA-N -1 1 322.405 1.948 20 0 DDADMM CCOC(=O)N[C@@H](CNC(=O)c1ncc(C)cc1[O-])CC(C)C ZINC000763243627 700931098 /nfs/dbraw/zinc/93/10/98/700931098.db2.gz WXHWFGAQCYJOTH-GFCCVEGCSA-N -1 1 323.393 1.986 20 0 DDADMM C[C@@H]1CCc2sc(C(=O)OCc3nc(=O)n(C)[n-]3)cc2C1 ZINC000765395559 701009710 /nfs/dbraw/zinc/00/97/10/701009710.db2.gz XUMJQOOSKNOFNB-MRVPVSSYSA-N -1 1 307.375 1.652 20 0 DDADMM CCOc1ccccc1CCC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765409275 701010250 /nfs/dbraw/zinc/01/02/50/701010250.db2.gz MRBSWESLYDZPDA-UHFFFAOYSA-N -1 1 305.334 1.183 20 0 DDADMM Cc1cc(C)c(OCC(=O)OCc2nc(=O)n(C)[n-]2)c(C)c1 ZINC000765408752 701010323 /nfs/dbraw/zinc/01/03/23/701010323.db2.gz IQYTWFYVEACYHB-UHFFFAOYSA-N -1 1 305.334 1.156 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(Cl)nc2Cl)nc1=O ZINC000765464074 701014134 /nfs/dbraw/zinc/01/41/34/701014134.db2.gz VWEDPJJFWUBSRX-UHFFFAOYSA-N -1 1 303.105 1.167 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc(Cl)nc1 ZINC000809809364 701691549 /nfs/dbraw/zinc/69/15/49/701691549.db2.gz NLNKPVOSNRMJQJ-UHFFFAOYSA-N -1 1 302.743 1.092 20 0 DDADMM CCOC(=O)CN1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000768216621 701163884 /nfs/dbraw/zinc/16/38/84/701163884.db2.gz FPAOLWLMPAYSCZ-UHFFFAOYSA-N -1 1 312.797 1.726 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(OC)c(Cl)n1)c1nn[n-]n1 ZINC000768244790 701165017 /nfs/dbraw/zinc/16/50/17/701165017.db2.gz JCBIAZSNDAJOGO-SSDOTTSWSA-N -1 1 310.745 1.528 20 0 DDADMM Cc1noc(C(F)(F)F)c1C(=O)[N-]c1nc(N(C)C)n[nH]1 ZINC000804280204 701176102 /nfs/dbraw/zinc/17/61/02/701176102.db2.gz HSMDWNRUDIHIHE-UHFFFAOYSA-N -1 1 304.232 1.438 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000805040289 701227623 /nfs/dbraw/zinc/22/76/23/701227623.db2.gz UUWJAXHISDMODX-LLVKDONJSA-N -1 1 310.325 1.263 20 0 DDADMM COCCCO[N-]C(=O)[C@H](C(C)C)N1C[C@@H](C)O[C@@H](C)C1 ZINC000805045560 701228712 /nfs/dbraw/zinc/22/87/12/701228712.db2.gz SUNJRQLCRFUXNI-MJBXVCDLSA-N -1 1 302.415 1.205 20 0 DDADMM NC(=O)NC[C@H]1CCCCN1C(=O)c1c([O-])cccc1Cl ZINC000769750021 701254714 /nfs/dbraw/zinc/25/47/14/701254714.db2.gz WIFKPGJNKXTADI-SECBINFHSA-N -1 1 311.769 1.709 20 0 DDADMM CCOC(=O)[C@H](CC=C(C)C)[N-]S(=O)(=O)C[C@@H](CC)OC ZINC000867758018 701733925 /nfs/dbraw/zinc/73/39/25/701733925.db2.gz FDZXSTJGGPRHOO-OLZOCXBDSA-N -1 1 321.439 1.619 20 0 DDADMM CSc1nc(C)c(CCC(=O)O[C@@H]2CCO[C@H]2C)c(=O)[n-]1 ZINC000771051521 701307071 /nfs/dbraw/zinc/30/70/71/701307071.db2.gz JWBDBHUUAUDHKE-GXSJLCMTSA-N -1 1 312.391 1.866 20 0 DDADMM COC(=O)C[C@]1(NC(=O)c2ccc([O-])cc2F)CCCOC1 ZINC000771646030 701326785 /nfs/dbraw/zinc/32/67/85/701326785.db2.gz ANNGTYLOJXSYFA-OAHLLOKOSA-N -1 1 311.309 1.373 20 0 DDADMM CCOc1ccc(NC(=O)[C@H](C)OC(=O)c2cn[n-]n2)cc1 ZINC000805606376 701399003 /nfs/dbraw/zinc/39/90/03/701399003.db2.gz IGSYHBLGLXNKSS-VIFPVBQESA-N -1 1 304.306 1.387 20 0 DDADMM CC(C)[C@H](OC(=O)c1cn[n-]n1)C(=O)Nc1ccccc1F ZINC000805608488 701399979 /nfs/dbraw/zinc/39/99/79/701399979.db2.gz FNTCMRPRXMEECE-LBPRGKRZSA-N -1 1 306.297 1.764 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@H](C)O1 ZINC000806488796 701437476 /nfs/dbraw/zinc/43/74/76/701437476.db2.gz SSVLDYXCCBBPCO-JGPRNRPPSA-N -1 1 303.366 1.419 20 0 DDADMM Cn1ncc(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1C1CC1 ZINC000806492300 701437749 /nfs/dbraw/zinc/43/77/49/701437749.db2.gz DUGRMJYURYDTCY-UHFFFAOYSA-N -1 1 311.349 1.597 20 0 DDADMM CCNC(=S)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000806594965 701442439 /nfs/dbraw/zinc/44/24/39/701442439.db2.gz LDKRCMXXLNAIQA-CIUDSAMLSA-N -1 1 311.373 1.658 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)C1CCC2(CC2)CC1 ZINC000830953088 706609826 /nfs/dbraw/zinc/60/98/26/706609826.db2.gz PWFKQFASXHJXMM-UHFFFAOYSA-N -1 1 317.389 1.842 20 0 DDADMM O=C(N[C@H]1[C@@H]2OC[C@H]3C[C@H]1C[C@H]23)c1nc2ccccc2c(=O)[n-]1 ZINC000866072471 706610716 /nfs/dbraw/zinc/61/07/16/706610716.db2.gz QGAWIDGUWCZURU-NYUATFKOSA-N -1 1 311.341 1.076 20 0 DDADMM Cc1noc(C2CN(C(=O)Cc3ccc([O-])c(Cl)c3)C2)n1 ZINC000867827541 701779479 /nfs/dbraw/zinc/77/94/79/701779479.db2.gz JXIPGLOOMXGBAQ-UHFFFAOYSA-N -1 1 307.737 1.906 20 0 DDADMM CSCC(C)(C)C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000810668145 701815968 /nfs/dbraw/zinc/81/59/68/701815968.db2.gz MDGSRRWRFRSKNW-UHFFFAOYSA-N -1 1 324.406 1.201 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)CC(F)(F)C(F)(F)F)C1=O ZINC000867919686 701830222 /nfs/dbraw/zinc/83/02/22/701830222.db2.gz QHKCOYSBMVXQHK-QMMMGPOBSA-N -1 1 317.214 1.326 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)on1 ZINC000810901815 701871683 /nfs/dbraw/zinc/87/16/83/701871683.db2.gz DSSRXDIWVIWLOY-SECBINFHSA-N -1 1 319.283 1.514 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc3c(c2)CCCCC3=O)nc1=O ZINC000811421090 701983186 /nfs/dbraw/zinc/98/31/86/701983186.db2.gz VVEHHEOENKNSPA-UHFFFAOYSA-N -1 1 315.329 1.375 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)CCc2cccs2)n1 ZINC000811678945 702025750 /nfs/dbraw/zinc/02/57/50/702025750.db2.gz JOVRIGXHSSGYAQ-UHFFFAOYSA-N -1 1 314.392 1.036 20 0 DDADMM C[C@H](CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)c1ccco1 ZINC000831116659 706637796 /nfs/dbraw/zinc/63/77/96/706637796.db2.gz CYRNXKCNFNAQQA-SECBINFHSA-N -1 1 318.295 1.910 20 0 DDADMM C[C@H](C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)c1ccncc1 ZINC000831122190 706639254 /nfs/dbraw/zinc/63/92/54/706639254.db2.gz YLFAINDNEVBJPB-VIFPVBQESA-N -1 1 315.295 1.322 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)c1ccco1)c1c[nH]nc1Cl ZINC000831125932 706639652 /nfs/dbraw/zinc/63/96/52/706639652.db2.gz NENRIAUURFFDOX-ZETCQYMHSA-N -1 1 305.743 1.058 20 0 DDADMM CCO/C=C\C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000840585306 702163224 /nfs/dbraw/zinc/16/32/24/702163224.db2.gz AILXBVRWRYTPLB-HJWRWDBZSA-N -1 1 307.302 1.045 20 0 DDADMM CCc1cc(C)nc(NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC000866289967 706666315 /nfs/dbraw/zinc/66/63/15/706666315.db2.gz OHINNPIPYPHZJF-UHFFFAOYSA-N -1 1 301.350 1.528 20 0 DDADMM O=c1[n-]c(CNc2ncnc3c2CCCC3)nc2c1COCC2 ZINC000866289794 706666434 /nfs/dbraw/zinc/66/64/34/706666434.db2.gz LRKVRUXHAGLBGG-UHFFFAOYSA-N -1 1 313.361 1.536 20 0 DDADMM CNC(=O)OC[C@@H]1CCCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000813726765 702407050 /nfs/dbraw/zinc/40/70/50/702407050.db2.gz BDBNCDUBYJTHFE-NSHDSACASA-N -1 1 310.325 1.882 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-][C@@H]2C[C@H](C)OC2=O)c(F)c1 ZINC000841521415 702477594 /nfs/dbraw/zinc/47/75/94/702477594.db2.gz DSLCLTNPRDBPHA-JOYOIKCWSA-N -1 1 301.339 1.258 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)Cc1ccc(Cl)nc1 ZINC000841534939 702485058 /nfs/dbraw/zinc/48/50/58/702485058.db2.gz OGDBPXLLPHJFHK-SNVBAGLBSA-N -1 1 318.782 1.106 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)c1cc(F)ccc1Cl ZINC000841544744 702491106 /nfs/dbraw/zinc/49/11/06/702491106.db2.gz IRZWLRNFQRQUCK-QMMMGPOBSA-N -1 1 313.709 1.269 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)C[C@@H]1CCCCC1(F)F ZINC000841544209 702491374 /nfs/dbraw/zinc/49/13/74/702491374.db2.gz IUVUZNJRWNOLCX-IUCAKERBSA-N -1 1 317.329 1.242 20 0 DDADMM CC1(C)[C@@H]2CN(C(=O)NCc3nc4c(c(=O)[n-]3)COCC4)C[C@@H]21 ZINC000869213401 702512987 /nfs/dbraw/zinc/51/29/87/702512987.db2.gz JBGRPSBXEPXYIQ-PHIMTYICSA-N -1 1 318.377 1.052 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CC(C)(C)CO2)o1 ZINC000869347281 702577378 /nfs/dbraw/zinc/57/73/78/702577378.db2.gz NKZNKGCZEFEXOA-SECBINFHSA-N -1 1 317.363 1.160 20 0 DDADMM C[C@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1CCCC1 ZINC000869427254 702604038 /nfs/dbraw/zinc/60/40/38/702604038.db2.gz DJDWNGGIWFDDFZ-VIFPVBQESA-N -1 1 323.311 1.994 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869523218 702634977 /nfs/dbraw/zinc/63/49/77/702634977.db2.gz GKTUCWMYDMLGDQ-PSASIEDQSA-N -1 1 309.284 1.604 20 0 DDADMM CC[C@@H](C(=O)Nc1nc(Br)ccc1[O-])[C@H](C)O ZINC000842243460 702688610 /nfs/dbraw/zinc/68/86/10/702688610.db2.gz ZAEPHNFPVITHGV-NKWVEPMBSA-N -1 1 303.156 1.895 20 0 DDADMM C[C@H]1CC=C(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)CC1 ZINC000843015804 702803716 /nfs/dbraw/zinc/80/37/16/702803716.db2.gz XKIFNLHBAQRRCI-LBPRGKRZSA-N -1 1 314.451 1.663 20 0 DDADMM CS[C@H](C)CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016997 702804453 /nfs/dbraw/zinc/80/44/53/702804453.db2.gz XVDKGYGASWKCLC-SNVBAGLBSA-N -1 1 308.469 1.058 20 0 DDADMM COC(=O)CCCCCC[N-]S(=O)(=O)c1cc(C)ns1 ZINC000866448447 706701117 /nfs/dbraw/zinc/70/11/17/706701117.db2.gz GCVXSLPRYRVDER-UHFFFAOYSA-N -1 1 320.436 1.853 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@@H]1CCNC(=O)CC1 ZINC000843765655 702921036 /nfs/dbraw/zinc/92/10/36/702921036.db2.gz GCIHSYOBUORPNF-NSHDSACASA-N -1 1 314.345 1.637 20 0 DDADMM C[C@]1(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CCc2ccccc21 ZINC000843790929 702924522 /nfs/dbraw/zinc/92/45/22/702924522.db2.gz JGAYKWBPKNTOCJ-CJNGLKHVSA-N -1 1 313.361 1.004 20 0 DDADMM COCC1(CS(=O)(=O)[N-]Cc2nc(C3CC3)no2)CCC1 ZINC000845827150 703207576 /nfs/dbraw/zinc/20/75/76/703207576.db2.gz DJCJZUNDVZOKIC-UHFFFAOYSA-N -1 1 315.395 1.183 20 0 DDADMM CCON(C)C(=O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000846004921 703225809 /nfs/dbraw/zinc/22/58/09/703225809.db2.gz RPOOHWNDTWRCPT-UHFFFAOYSA-N -1 1 324.761 1.167 20 0 DDADMM O=C([O-])Cc1ccccc1CN1CCN(c2cnccn2)CC1 ZINC000846236593 703255068 /nfs/dbraw/zinc/25/50/68/703255068.db2.gz UUVUCTWSOAWATJ-UHFFFAOYSA-N -1 1 312.373 1.426 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)C2(F)CC2)n[n-]1 ZINC000846643892 703306514 /nfs/dbraw/zinc/30/65/14/703306514.db2.gz SYDMZYSOIMIUMD-SECBINFHSA-N -1 1 312.345 1.687 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)C2(F)CC2)[n-]1 ZINC000846643892 703306516 /nfs/dbraw/zinc/30/65/16/703306516.db2.gz SYDMZYSOIMIUMD-SECBINFHSA-N -1 1 312.345 1.687 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)C2(F)CC2)n1 ZINC000846643892 703306517 /nfs/dbraw/zinc/30/65/17/703306517.db2.gz SYDMZYSOIMIUMD-SECBINFHSA-N -1 1 312.345 1.687 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCCO1)c1nc[nH]c1Br ZINC000866600807 706741652 /nfs/dbraw/zinc/74/16/52/706741652.db2.gz HGPOLDAMTWWJLB-ZETCQYMHSA-N -1 1 324.200 1.020 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)COC(C)(C)C ZINC000848340793 703540885 /nfs/dbraw/zinc/54/08/85/703540885.db2.gz DOLKLMHTXIOZEQ-NXEZZACHSA-N -1 1 324.343 1.860 20 0 DDADMM O=C(NC[C@H]1CN(C2CC2)C(=O)O1)c1c(F)ccc([O-])c1F ZINC000848364704 703543666 /nfs/dbraw/zinc/54/36/66/703543666.db2.gz OJRLAWXDCKLDND-QMMMGPOBSA-N -1 1 312.272 1.383 20 0 DDADMM C[C@H]1CC(=O)N(CN2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)C1 ZINC000848503129 703558515 /nfs/dbraw/zinc/55/85/15/703558515.db2.gz WTDHIDPEOOOOLN-HBNTYKKESA-N -1 1 321.343 1.344 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@@H]1CCCCO1 ZINC000848510072 703559428 /nfs/dbraw/zinc/55/94/28/703559428.db2.gz RAKYAMBYUCKENK-UWVGGRQHSA-N -1 1 321.830 1.700 20 0 DDADMM COCC1([N-]S(=O)(=O)c2c(C)nn(C)c2Cl)CCCC1 ZINC000849415290 703645681 /nfs/dbraw/zinc/64/56/81/703645681.db2.gz KODQUYZBARGRDY-UHFFFAOYSA-N -1 1 321.830 1.619 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H](O)c2ccccc21)c1c[nH]nc1Cl ZINC000849454797 703650113 /nfs/dbraw/zinc/65/01/13/703650113.db2.gz NXQMEAGHRDISGD-ZJUUUORDSA-N -1 1 313.766 1.520 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCC2CCC2)o1 ZINC000849684585 703667557 /nfs/dbraw/zinc/66/75/57/703667557.db2.gz FXQPMVAOYVFEIN-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM O=C(NCC[C@H]1CCSC1)c1ccc2n[n-]c(=S)n2c1 ZINC000869597418 703818269 /nfs/dbraw/zinc/81/82/69/703818269.db2.gz KBLIGXYMUNRDAQ-VIFPVBQESA-N -1 1 308.432 1.891 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Cl)nc(C(C)(C)C)c2)nc1=O ZINC000869701202 703834610 /nfs/dbraw/zinc/83/46/10/703834610.db2.gz VKCGSKXXXZNWGP-UHFFFAOYSA-N -1 1 324.768 1.811 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2CCC=CO2)cc1C ZINC000851783584 703854504 /nfs/dbraw/zinc/85/45/04/703854504.db2.gz BWYBREHIVUOLRR-JTQLQIEISA-N -1 1 315.347 1.346 20 0 DDADMM O=C([O-])[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCC1CCC1 ZINC000852733128 704108484 /nfs/dbraw/zinc/10/84/84/704108484.db2.gz MPDRMTJFCMGLSD-WDEREUQCSA-N -1 1 322.327 1.773 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1C/C=C/Cl ZINC000852737069 704109806 /nfs/dbraw/zinc/10/98/06/704109806.db2.gz QKNWYUMYKLSSPR-POSAZWSLSA-N -1 1 314.691 1.335 20 0 DDADMM CO[C@@H]1CC[C@H](C)N(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC000870715882 704111713 /nfs/dbraw/zinc/11/17/13/704111713.db2.gz OBQQKQUGNLDASW-WCBMZHEXSA-N -1 1 311.407 1.852 20 0 DDADMM C[C@@H]1CCN(Cc2ccncc2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852757893 704116832 /nfs/dbraw/zinc/11/68/32/704116832.db2.gz POEUHBAMSYPGBC-PWSUYJOCSA-N -1 1 301.312 1.971 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000819627362 704153142 /nfs/dbraw/zinc/15/31/42/704153142.db2.gz APGKAOZBCTXXQK-ZHRWSRJISA-N -1 1 315.329 1.900 20 0 DDADMM CCN(C(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C)[C@H](C)C(=O)[O-] ZINC000852954553 704178289 /nfs/dbraw/zinc/17/82/89/704178289.db2.gz LFEAWAUGTKCUAY-SFYZADRCSA-N -1 1 312.288 1.011 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cnc(C)cn2)c1 ZINC000820007209 704201527 /nfs/dbraw/zinc/20/15/27/704201527.db2.gz RFCLAPWTCGOJMJ-JOCHJYFZSA-N -1 1 320.370 1.645 20 0 DDADMM Cn1cc(Br)c(C(=O)[N-]OCC2CC2)cc1=O ZINC000820478700 704287382 /nfs/dbraw/zinc/28/73/82/704287382.db2.gz MISUCPUJAOPFMO-UHFFFAOYSA-N -1 1 301.140 1.219 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2ccn(C)n2)c1 ZINC000820546107 704297601 /nfs/dbraw/zinc/29/76/01/704297601.db2.gz QWRKTBFOXSAALX-OAQYLSRUSA-N -1 1 308.359 1.280 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]Cc2nc3ccccc3o2)no1 ZINC000820719792 704323777 /nfs/dbraw/zinc/32/37/77/704323777.db2.gz BXRAICYAEJYCBT-UHFFFAOYSA-N -1 1 307.331 1.744 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1c(Cl)nc3ccccn31)C2 ZINC000854241291 704370772 /nfs/dbraw/zinc/37/07/72/704370772.db2.gz LUOKANUOQHMNMV-MEBBXXQBSA-N -1 1 321.764 1.521 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854341962 704382195 /nfs/dbraw/zinc/38/21/95/704382195.db2.gz BPRLBTSSTZQFSC-NSCUHMNNSA-N -1 1 320.374 1.960 20 0 DDADMM Cn1ccnc1[C@@H]([N-]C(=O)C(F)(F)C(F)F)C(C)(C)CO ZINC000855111293 704469259 /nfs/dbraw/zinc/46/92/59/704469259.db2.gz AFAODYZZNIPNIE-SSDOTTSWSA-N -1 1 311.279 1.496 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@H](O)Cc1ccccc1 ZINC000855133020 704469939 /nfs/dbraw/zinc/46/99/39/704469939.db2.gz NQJCRUWWOUDYFZ-CYBMUJFWSA-N -1 1 309.362 1.370 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC2=C(CCC(=O)N2)C1 ZINC000855152860 704470991 /nfs/dbraw/zinc/47/09/91/704470991.db2.gz WDPTUTUHEWUFDA-UHFFFAOYSA-N -1 1 323.352 1.950 20 0 DDADMM COC1CC(CCNC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000855169895 704472127 /nfs/dbraw/zinc/47/21/27/704472127.db2.gz GKTROUTZZGRGIT-UHFFFAOYSA-N -1 1 306.391 1.563 20 0 DDADMM C[C@@H]1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H](C)C1 ZINC000855393271 704482004 /nfs/dbraw/zinc/48/20/04/704482004.db2.gz QVTJIIUTOOKXGR-RYUDHWBXSA-N -1 1 307.394 1.115 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2c([O-])cccc2Cl)CS1(=O)=O ZINC000855704034 704498506 /nfs/dbraw/zinc/49/85/06/704498506.db2.gz RQWDVQARWLOJBY-DTWKUNHWSA-N -1 1 317.794 1.741 20 0 DDADMM C[C@H](C(=O)[N-]OCCN1CCCC1=O)c1ccc2c(c1)OCO2 ZINC000856043982 704511588 /nfs/dbraw/zinc/51/15/88/704511588.db2.gz PKCXLVRFFPNQIW-NSHDSACASA-N -1 1 320.345 1.189 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3(F)CCCCC3)nc2n1 ZINC000857685051 704613649 /nfs/dbraw/zinc/61/36/49/704613649.db2.gz PRCNALRPHMBKCJ-UHFFFAOYSA-N -1 1 321.356 1.981 20 0 DDADMM O=C([C@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1)N1CCCC1 ZINC000858406307 704706782 /nfs/dbraw/zinc/70/67/82/704706782.db2.gz HVJVRIZWZZGRDU-JTQLQIEISA-N -1 1 310.785 1.674 20 0 DDADMM CC1(C)CCC[C@@]1(O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867308922 706955528 /nfs/dbraw/zinc/95/55/28/706955528.db2.gz OUAIRZRZROWKQW-CYBMUJFWSA-N -1 1 320.361 1.579 20 0 DDADMM Cc1nc(C)c(C[N-]S(=O)(=O)c2ccc(F)nc2F)o1 ZINC000867342362 706967302 /nfs/dbraw/zinc/96/73/02/706967302.db2.gz RPFLBORKIRXJBB-UHFFFAOYSA-N -1 1 303.290 1.443 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CC(C)=NO2)c(F)c1 ZINC000867346395 706968467 /nfs/dbraw/zinc/96/84/67/706968467.db2.gz AECTWKKNBNWCSR-SECBINFHSA-N -1 1 320.317 1.417 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(Cl)cnc1Cl)[C@@H](C)O ZINC000867353366 706972105 /nfs/dbraw/zinc/97/21/05/706972105.db2.gz LSSVORPUKPORJB-SVRRBLITSA-N -1 1 313.206 1.826 20 0 DDADMM COCCCn1nccc1CNCc1ccccc1C(=O)[O-] ZINC000874045535 704925895 /nfs/dbraw/zinc/92/58/95/704925895.db2.gz XQSWTUKKQWLNQR-UHFFFAOYSA-N -1 1 303.362 1.908 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@@H]2CC[C@H](C3CC3)O2)[n-]1 ZINC000822547056 704972175 /nfs/dbraw/zinc/97/21/75/704972175.db2.gz FZCUUWQZJJSFBW-CMPLNLGQSA-N -1 1 307.350 1.873 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@@H]2CC[C@H](C3CC3)O2)n1 ZINC000822547056 704972178 /nfs/dbraw/zinc/97/21/78/704972178.db2.gz FZCUUWQZJJSFBW-CMPLNLGQSA-N -1 1 307.350 1.873 20 0 DDADMM C[C@@H]1CCNC(=O)[C@H]1[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000822696243 705011118 /nfs/dbraw/zinc/01/11/18/705011118.db2.gz UPAJDLNOTUOQIG-HQJQHLMTSA-N -1 1 318.270 1.697 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1nc[nH]c1Br)C1CC1 ZINC000867520999 707026679 /nfs/dbraw/zinc/02/66/79/707026679.db2.gz UKBLBNJEFXHNBW-SSDOTTSWSA-N -1 1 308.201 1.639 20 0 DDADMM O=C(C/C=C/Cc1ccccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000874443845 705068299 /nfs/dbraw/zinc/06/82/99/705068299.db2.gz DMPVRYCTJOVYPB-ISZGNANSSA-N -1 1 313.361 1.289 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@@H]1CCCS1(=O)=O ZINC000834821982 707130372 /nfs/dbraw/zinc/13/03/72/707130372.db2.gz ILRPYYVHHOPDJN-NSHDSACASA-N -1 1 303.767 1.239 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCC2=CCCC2)C1)C(F)(F)F ZINC000875746148 705497666 /nfs/dbraw/zinc/49/76/66/705497666.db2.gz FIYOJCKFTCQTJN-UHFFFAOYSA-N -1 1 305.300 1.417 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NOCC2CCC2)C1 ZINC000875752794 705499954 /nfs/dbraw/zinc/49/99/54/705499954.db2.gz GUSBXKDSHBVXRG-GFCCVEGCSA-N -1 1 323.315 1.571 20 0 DDADMM CCCN(C(=O)c1ccc(-c2nnc[nH]2)cc1)[C@H](C)C(=O)[O-] ZINC000911715397 710946429 /nfs/dbraw/zinc/94/64/29/710946429.db2.gz WXTIYDREBKGLBV-SNVBAGLBSA-N -1 1 302.334 1.797 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1=CC[C@H](NC(=O)OC(C)(C)C)C1 ZINC000861819702 705577168 /nfs/dbraw/zinc/57/71/68/705577168.db2.gz FKJGMEIJEULNQL-JTQLQIEISA-N -1 1 322.365 1.976 20 0 DDADMM CCCc1cnc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000825347999 705652073 /nfs/dbraw/zinc/65/20/73/705652073.db2.gz AAVKHHSKGLRLHW-UHFFFAOYSA-N -1 1 315.362 1.923 20 0 DDADMM CCCc1cnc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000825347999 705652076 /nfs/dbraw/zinc/65/20/76/705652076.db2.gz AAVKHHSKGLRLHW-UHFFFAOYSA-N -1 1 315.362 1.923 20 0 DDADMM CN(C(=O)c1cc(Br)cnc1C1CC1)c1nn[n-]n1 ZINC000825531915 705688585 /nfs/dbraw/zinc/68/85/85/705688585.db2.gz PIRZUWVTWOBDLY-UHFFFAOYSA-N -1 1 323.154 1.511 20 0 DDADMM CCn1ncc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n1 ZINC000862383318 705705718 /nfs/dbraw/zinc/70/57/18/705705718.db2.gz QNXNKAXYQMARKM-UHFFFAOYSA-N -1 1 304.354 1.155 20 0 DDADMM CCc1ncncc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000825755310 705726795 /nfs/dbraw/zinc/72/67/95/705726795.db2.gz STYYDAUTXARHTQ-UHFFFAOYSA-N -1 1 311.305 1.177 20 0 DDADMM Cc1c(Br)ccc(C(=O)[N-]N2CCOC2=O)c1F ZINC000862702998 705779979 /nfs/dbraw/zinc/77/99/79/705779979.db2.gz GKDSGWHPACIBGG-UHFFFAOYSA-N -1 1 317.114 1.994 20 0 DDADMM Cc1onc(CC(=O)N(CCC(C)C)C2CC2)c1-c1nnn[n-]1 ZINC000826343953 705793397 /nfs/dbraw/zinc/79/33/97/705793397.db2.gz SAQVMTNFDZIQJB-UHFFFAOYSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N(CCC(C)C)C2CC2)c1-c1nn[n-]n1 ZINC000826343953 705793399 /nfs/dbraw/zinc/79/33/99/705793399.db2.gz SAQVMTNFDZIQJB-UHFFFAOYSA-N -1 1 318.381 1.743 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccn(C(F)F)n1 ZINC000826467280 705803274 /nfs/dbraw/zinc/80/32/74/705803274.db2.gz OYAZXAIGIOQMQF-UHFFFAOYSA-N -1 1 321.247 1.210 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccn(C(F)F)n1 ZINC000826467280 705803279 /nfs/dbraw/zinc/80/32/79/705803279.db2.gz OYAZXAIGIOQMQF-UHFFFAOYSA-N -1 1 321.247 1.210 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1cnn(C(F)F)c1)C1CC1 ZINC000863407450 705920872 /nfs/dbraw/zinc/92/08/72/705920872.db2.gz QTQOTVBEMXXNJL-SNVBAGLBSA-N -1 1 309.338 1.835 20 0 DDADMM C[C@H](CN(C)C(=O)c1cncc([O-])c1)NC(=O)OC(C)(C)C ZINC000863688340 705987121 /nfs/dbraw/zinc/98/71/21/705987121.db2.gz PTXCYDZONSDXFQ-SNVBAGLBSA-N -1 1 309.366 1.772 20 0 DDADMM COC[C@]1(C)CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)CCO1 ZINC000863829364 706022948 /nfs/dbraw/zinc/02/29/48/706022948.db2.gz KOALMHHBJYXFDP-INIZCTEOSA-N -1 1 323.393 1.492 20 0 DDADMM O=C(N[C@@H](C1CCC1)[C@H]1CCOC1)c1cnc(C2CC2)[n-]c1=O ZINC000864085510 706063774 /nfs/dbraw/zinc/06/37/74/706063774.db2.gz XZHVJSONTATSER-JSGCOSHPSA-N -1 1 317.389 1.995 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H](C(=O)[O-])c1cc(F)ccc1F ZINC000864115837 706071327 /nfs/dbraw/zinc/07/13/27/706071327.db2.gz ZUQACCYGZPVCAZ-ISVAXAHUSA-N -1 1 323.299 1.809 20 0 DDADMM Cc1ccc(CN2CCO[C@H](C[N-]C(=O)C(F)(F)F)C2)cc1 ZINC000864493054 706181183 /nfs/dbraw/zinc/18/11/83/706181183.db2.gz UOXOPUIRVFYYSB-CYBMUJFWSA-N -1 1 316.323 1.874 20 0 DDADMM CCC(CC)(NCc1nc2c(c(=O)[n-]1)COCC2)C(=O)OC ZINC000878422307 706382750 /nfs/dbraw/zinc/38/27/50/706382750.db2.gz HBUKELKKNJFBKG-UHFFFAOYSA-N -1 1 309.366 1.076 20 0 DDADMM CCC[C@H](NC(=O)c1conc1C(F)(F)F)c1nn[n-]n1 ZINC000829802031 706398629 /nfs/dbraw/zinc/39/86/29/706398629.db2.gz VBZMVTCDFVJIGH-LURJTMIESA-N -1 1 304.232 1.478 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@H]2OCCNC(=O)[C@H]21 ZINC000830056780 706446143 /nfs/dbraw/zinc/44/61/43/706446143.db2.gz JZJTVZNBQFAMHQ-OLZOCXBDSA-N -1 1 324.764 1.165 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC(OC(C)(C)C)C1 ZINC000830532897 706532218 /nfs/dbraw/zinc/53/22/18/706532218.db2.gz JXUPQVFYKMSMPS-UHFFFAOYSA-N -1 1 311.407 1.852 20 0 DDADMM C[C@H](Cc1ccncc1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830791235 706580632 /nfs/dbraw/zinc/58/06/32/706580632.db2.gz QOQJNQABYLOSSW-MRVPVSSYSA-N -1 1 300.771 1.368 20 0 DDADMM CC1(C)CC[C@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)O1 ZINC000830801778 706582141 /nfs/dbraw/zinc/58/21/41/706582141.db2.gz OBWVUVCPGCPOCV-NOZJJQNGSA-N -1 1 322.327 1.614 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2C[C@@]23CCOC3)C1 ZINC000830807814 706583899 /nfs/dbraw/zinc/58/38/99/706583899.db2.gz QYDPIPBIBKMZRQ-TVYUQYBPSA-N -1 1 320.311 1.083 20 0 DDADMM COCCC1(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000830815987 706585162 /nfs/dbraw/zinc/58/51/62/706585162.db2.gz IFSIUGGDEHKFEB-GFCCVEGCSA-N -1 1 322.327 1.473 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@@H]2CCCCO2)C1 ZINC000830815477 706585223 /nfs/dbraw/zinc/58/52/23/706585223.db2.gz BWIOCYRVYFRXSY-GWCFXTLKSA-N -1 1 322.327 1.615 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCc2c1cccc2O ZINC000866841021 706821617 /nfs/dbraw/zinc/82/16/17/706821617.db2.gz RZETYNGCEQONPV-NSPYISDASA-N -1 1 318.420 1.332 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000832075600 706838234 /nfs/dbraw/zinc/83/82/34/706838234.db2.gz HQIVLNLCPVWENJ-ZJUUUORDSA-N -1 1 313.350 1.038 20 0 DDADMM C[C@H](CN(C)C(=O)CC[C@@H]1CC1(Cl)Cl)c1nn[n-]n1 ZINC000832125360 706847824 /nfs/dbraw/zinc/84/78/24/706847824.db2.gz BRIADWFASDGMSI-HTQZYQBOSA-N -1 1 306.197 1.736 20 0 DDADMM COC(=O)CCC(C)(C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000866934871 706848883 /nfs/dbraw/zinc/84/88/83/706848883.db2.gz XGRHPISDEZEGTB-UHFFFAOYSA-N -1 1 306.409 1.462 20 0 DDADMM CS[C@H](C)C[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000867001648 706869129 /nfs/dbraw/zinc/86/91/29/706869129.db2.gz STSVIMSJZBINOB-MGNBDDOMSA-N -1 1 322.477 1.729 20 0 DDADMM CC(C)(C)C(=O)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867113414 706900453 /nfs/dbraw/zinc/90/04/53/706900453.db2.gz ZGZRQSHYXPEMME-UHFFFAOYSA-N -1 1 324.200 1.066 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1ccncc1C ZINC000867133623 706906434 /nfs/dbraw/zinc/90/64/34/706906434.db2.gz DSPLNPCYBYHVCC-UHFFFAOYSA-N -1 1 305.425 1.232 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]1CCO)c1ccc(F)nc1F ZINC000867135551 706907343 /nfs/dbraw/zinc/90/73/43/706907343.db2.gz XOAQKVUTDOPBMR-DTWKUNHWSA-N -1 1 306.334 1.189 20 0 DDADMM O=C1CC[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)CCN1 ZINC000867419282 706996190 /nfs/dbraw/zinc/99/61/90/706996190.db2.gz GABNRVLIMDOKCJ-LLVKDONJSA-N -1 1 308.359 1.380 20 0 DDADMM CC1(C)OC[C@@H]2C[C@@]21[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867556820 707038792 /nfs/dbraw/zinc/03/87/92/707038792.db2.gz HUANOBJYBIHZTK-JVXZTZIISA-N -1 1 304.318 1.206 20 0 DDADMM CSc1nc(CNC(=O)COC2CCCCC2)cc(=O)[n-]1 ZINC000880652648 707048591 /nfs/dbraw/zinc/04/85/91/707048591.db2.gz KUAGQEBDNXYDII-UHFFFAOYSA-N -1 1 311.407 1.870 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@H]1CCO[C@@H]1C ZINC000871724500 707177422 /nfs/dbraw/zinc/17/74/22/707177422.db2.gz WRZFSDNNDPSFMX-NXEZZACHSA-N -1 1 300.380 1.112 20 0 DDADMM CC(C)(C)CS(=O)(=O)[N-]C(=O)c1cnn(-c2ccncc2)c1 ZINC000835154703 707184029 /nfs/dbraw/zinc/18/40/29/707184029.db2.gz BYFLVKNVGPUJLU-UHFFFAOYSA-N -1 1 322.390 1.373 20 0 DDADMM CCC(CC)S(=O)(=O)[N-]C(=O)c1cnn(-c2ccncc2)c1 ZINC000835161944 707186261 /nfs/dbraw/zinc/18/62/61/707186261.db2.gz PQKKMCLGDSMBGS-UHFFFAOYSA-N -1 1 322.390 1.516 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@]1(C)CCC[C@@H]1C ZINC000835292364 707214886 /nfs/dbraw/zinc/21/48/86/707214886.db2.gz HZBQAJKMUDLWGX-MGPLVRAMSA-N -1 1 318.377 1.638 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](CF)C2)o1 ZINC000881591908 707302003 /nfs/dbraw/zinc/30/20/03/707302003.db2.gz AMCGKMDHOUFWTD-VIFPVBQESA-N -1 1 304.343 1.009 20 0 DDADMM C[C@@H]1CN(Cc2cnn(-c3ccccc3)n2)C[C@@]1(C)C(=O)[O-] ZINC000872160465 707309447 /nfs/dbraw/zinc/30/94/47/707309447.db2.gz GAWDALNBUUUXFR-MLGOLLRUSA-N -1 1 300.362 1.810 20 0 DDADMM COC(=O)[C@H](NC(=O)c1c([O-])cccc1F)C1CCOCC1 ZINC000881760762 707356042 /nfs/dbraw/zinc/35/60/42/707356042.db2.gz KMJLBHIWHPARRB-CYBMUJFWSA-N -1 1 311.309 1.229 20 0 DDADMM CC1(C)CC(=O)N(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000872752469 707584785 /nfs/dbraw/zinc/58/47/85/707584785.db2.gz FATPTEDFYWDZNU-CYBMUJFWSA-N -1 1 321.343 1.345 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)NC[C@@H]1COCCO1 ZINC000872845954 707623990 /nfs/dbraw/zinc/62/39/90/707623990.db2.gz UPSOPEYIHNDIRT-SNVBAGLBSA-N -1 1 300.742 1.260 20 0 DDADMM O=C([O-])[C@H](CNC(=O)Cc1cnc[nH]1)Cc1cccc(F)c1 ZINC000909239866 712971020 /nfs/dbraw/zinc/97/10/20/712971020.db2.gz UOYTWBMFDGPYCC-NSHDSACASA-N -1 1 305.309 1.151 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C[C@@H]2C=CCC2)c1C(F)(F)F ZINC000882557516 707694614 /nfs/dbraw/zinc/69/46/14/707694614.db2.gz BNVFEEVTZZTCEI-MRVPVSSYSA-N -1 1 309.313 1.683 20 0 DDADMM O=C(NCC[C@@]1(O)CCOC1)NCc1ccc([O-])c(Cl)c1 ZINC000873055108 707711632 /nfs/dbraw/zinc/71/16/32/707711632.db2.gz CNDHMZUVMMRMML-CQSZACIVSA-N -1 1 314.769 1.386 20 0 DDADMM CCc1cccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000838258384 707880722 /nfs/dbraw/zinc/88/07/22/707880722.db2.gz CVZIWFYCIPMSFW-UHFFFAOYSA-N -1 1 304.268 1.869 20 0 DDADMM COCCNC(=O)C1(NC(=O)c2c([O-])cccc2Cl)CC1 ZINC000838345105 707902473 /nfs/dbraw/zinc/90/24/73/707902473.db2.gz KFMUWTARGNKVFH-UHFFFAOYSA-N -1 1 312.753 1.071 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)CN1CCCC1)c1ccc(F)cc1F ZINC000909340957 712995568 /nfs/dbraw/zinc/99/55/68/712995568.db2.gz CYCGFPMNLUJDHY-CYBMUJFWSA-N -1 1 312.316 1.693 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](C)C(C)(C)OC)cc1C ZINC000921424701 713766441 /nfs/dbraw/zinc/76/64/41/713766441.db2.gz OVDCPJQOYBCNGJ-VIFPVBQESA-N -1 1 319.379 1.466 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCC[C@H]1C ZINC000912527248 713030873 /nfs/dbraw/zinc/03/08/73/713030873.db2.gz BTYVETXBCQNDKF-SCZZXKLOSA-N -1 1 301.368 1.053 20 0 DDADMM CC[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912531116 713031331 /nfs/dbraw/zinc/03/13/31/713031331.db2.gz PQNHFXLBUYTWMD-MWLCHTKSSA-N -1 1 315.395 1.443 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ccns2)CCO[C@H]1C1CC1 ZINC000885108852 708474480 /nfs/dbraw/zinc/47/44/80/708474480.db2.gz AOTKNQCGDIGKFI-RYUDHWBXSA-N -1 1 302.421 1.627 20 0 DDADMM CCO[C@H]1CCN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000885344524 708523466 /nfs/dbraw/zinc/52/34/66/708523466.db2.gz PNBQUGHBXLCVGB-LBPRGKRZSA-N -1 1 318.377 1.232 20 0 DDADMM O=S(=O)([N-]C(CF)CF)c1c(Cl)nc2sccn21 ZINC000885420967 708541147 /nfs/dbraw/zinc/54/11/47/708541147.db2.gz PMQWXQPXDXGGGG-UHFFFAOYSA-N -1 1 315.754 1.635 20 0 DDADMM O=C(N[C@H](C1CC1)[C@H]1CCCOC1)C(=O)c1ccc([O-])cc1 ZINC000885484269 708556726 /nfs/dbraw/zinc/55/67/26/708556726.db2.gz RPXOCLCUXRNUBN-DZGCQCFKSA-N -1 1 303.358 1.896 20 0 DDADMM O=C(N[C@H]([C@@H]1CCOC1)C(F)(F)F)C(=O)c1ccc([O-])cc1 ZINC000885526980 708567502 /nfs/dbraw/zinc/56/75/02/708567502.db2.gz FRKIQSNFAOCDOZ-BXKDBHETSA-N -1 1 317.263 1.659 20 0 DDADMM CCOC(=O)C[C@H](O)C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885677949 708595653 /nfs/dbraw/zinc/59/56/53/708595653.db2.gz DWCUUMVIZQUVFI-JTQLQIEISA-N -1 1 305.689 1.437 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)C1(CO)CCOCC1 ZINC000885678121 708595828 /nfs/dbraw/zinc/59/58/28/708595828.db2.gz JWWGGPPXCOCUDR-UHFFFAOYSA-N -1 1 303.717 1.912 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)[C@H]1CCCS(=O)(=O)C1 ZINC000885677963 708595840 /nfs/dbraw/zinc/59/58/40/708595840.db2.gz FGSZCGPSSUVLCH-ZETCQYMHSA-N -1 1 321.757 1.948 20 0 DDADMM CC(C)C(=O)N[C@@H](CO)C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885678250 708595881 /nfs/dbraw/zinc/59/58/81/708595881.db2.gz KTTHKQSAVMPKFS-JTQLQIEISA-N -1 1 318.732 1.256 20 0 DDADMM O=C(COCc1ccccn1)NCCc1c(F)cc([O-])cc1F ZINC000886268343 708732753 /nfs/dbraw/zinc/73/27/53/708732753.db2.gz MHPLUHAQEGOTEL-UHFFFAOYSA-N -1 1 322.311 1.941 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cn(C2CCC2)nn1 ZINC000886269858 708733218 /nfs/dbraw/zinc/73/32/18/708733218.db2.gz JSLPIBIWCCGHRJ-UHFFFAOYSA-N -1 1 322.315 1.959 20 0 DDADMM CO[C@@H](C(=O)NCCc1c(F)cc([O-])cc1F)[C@@H]1CCOC1 ZINC000886270218 708733696 /nfs/dbraw/zinc/73/36/96/708733696.db2.gz WOMWFXXLPGCAAR-YMTOWFKASA-N -1 1 315.316 1.381 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@H]1Cc2cncn2C1 ZINC000927783545 713055323 /nfs/dbraw/zinc/05/53/23/713055323.db2.gz BGERJRWMCBJKIW-VIFPVBQESA-N -1 1 322.315 1.334 20 0 DDADMM C[C@@H]1CCC(=O)CN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927785435 713055627 /nfs/dbraw/zinc/05/56/27/713055627.db2.gz VFSAJZYUSWWVJC-SECBINFHSA-N -1 1 312.316 1.976 20 0 DDADMM CC[C@@H](NC(=O)NCCc1c(F)cc([O-])cc1F)[C@@H](C)O ZINC000927787523 713056349 /nfs/dbraw/zinc/05/63/49/713056349.db2.gz XKYNCTJCQOCXNU-AMIZOPFISA-N -1 1 302.321 1.672 20 0 DDADMM CN(Cc1c[nH]cn1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927787234 713056355 /nfs/dbraw/zinc/05/63/55/713056355.db2.gz OAWISELJSZDBJN-UHFFFAOYSA-N -1 1 310.304 1.778 20 0 DDADMM O=C(c1nn(-c2ccccc2)cc1[O-])N1CC(N2CCCC2)C1 ZINC000898614519 708813298 /nfs/dbraw/zinc/81/32/98/708813298.db2.gz OOJFHQKSOAQKQI-UHFFFAOYSA-N -1 1 312.373 1.498 20 0 DDADMM O=C(Cc1ccc2c(c1)CCCC2)NCc1nc([O-])cc(=O)[nH]1 ZINC000898750516 708854570 /nfs/dbraw/zinc/85/45/70/708854570.db2.gz HCPYQBFZLGLKCW-UHFFFAOYSA-N -1 1 313.357 1.626 20 0 DDADMM O=C(N[C@H]1C[C@H](CO)C1)c1ccc(Br)c([O-])c1 ZINC000886890104 708884073 /nfs/dbraw/zinc/88/40/73/708884073.db2.gz VQCCOBJCIDGSCA-XWEPSHTISA-N -1 1 300.152 1.655 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H]1CCCC12OCCO2 ZINC000898957109 708937392 /nfs/dbraw/zinc/93/73/92/708937392.db2.gz KFEQUULHQPJANM-CYBMUJFWSA-N -1 1 311.765 2.000 20 0 DDADMM C[C@@H]1C(=O)N([N-]C(=O)c2cc3c(s2)CCCC3)C(=O)N1C ZINC000899025388 708956627 /nfs/dbraw/zinc/95/66/27/708956627.db2.gz HGCVATYTTMBPPF-MRVPVSSYSA-N -1 1 307.375 1.554 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2c([O-])cccc2F)CCS1(=O)=O ZINC000887177739 708981513 /nfs/dbraw/zinc/98/15/13/708981513.db2.gz UKOHQFSEHFPKRN-DTWKUNHWSA-N -1 1 301.339 1.227 20 0 DDADMM O=S(=O)(CCOC1CC1)c1nnc(-c2ccccc2F)[n-]1 ZINC000899487476 709097332 /nfs/dbraw/zinc/09/73/32/709097332.db2.gz RNODYBFAFMEENP-UHFFFAOYSA-N -1 1 311.338 1.564 20 0 DDADMM O=S(=O)(CCOC1CC1)c1n[n-]c(-c2ccccc2F)n1 ZINC000899487476 709097334 /nfs/dbraw/zinc/09/73/34/709097334.db2.gz RNODYBFAFMEENP-UHFFFAOYSA-N -1 1 311.338 1.564 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@](CO)(C(F)(F)F)C1 ZINC000899607280 709124821 /nfs/dbraw/zinc/12/48/21/709124821.db2.gz CTJHCGRPNBBEOC-LBPRGKRZSA-N -1 1 307.243 1.918 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@@H]1C[C@H]1CCOC1 ZINC000887810276 709129567 /nfs/dbraw/zinc/12/95/67/709129567.db2.gz CYRWTJFGPQVUAP-YPMHNXCESA-N -1 1 309.337 1.799 20 0 DDADMM CCOC(=O)C1([C@@H](C)NC(=O)c2ncccc2[O-])CCOCC1 ZINC000888345571 709284425 /nfs/dbraw/zinc/28/44/25/709284425.db2.gz WAYZDPAGULJRDD-LLVKDONJSA-N -1 1 322.361 1.265 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2cc(F)ccc2[O-])CCS1(=O)=O ZINC000888386640 709296078 /nfs/dbraw/zinc/29/60/78/709296078.db2.gz DMBQHWJHMLSMAD-JTQLQIEISA-N -1 1 315.366 1.617 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC[C@@H]1C1OCCO1 ZINC000888443208 709312886 /nfs/dbraw/zinc/31/28/86/709312886.db2.gz DAFXQLSJELLSTA-CYBMUJFWSA-N -1 1 314.341 1.918 20 0 DDADMM COC1(OC)CCOC[C@@H]1NC(=O)c1c([O-])cccc1Cl ZINC000912847366 713106558 /nfs/dbraw/zinc/10/65/58/713106558.db2.gz WBUIHGKOOBEHEX-NSHDSACASA-N -1 1 315.753 1.553 20 0 DDADMM O=C(NCc1cccc2c1OCC2)c1cnc(C2CC2)[n-]c1=O ZINC000912831391 713102655 /nfs/dbraw/zinc/10/26/55/713102655.db2.gz GGMHACIIVOOUEL-UHFFFAOYSA-N -1 1 311.341 1.925 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](C)OCC(C)C)c1nn[n-]n1 ZINC000912859767 713109331 /nfs/dbraw/zinc/10/93/31/713109331.db2.gz BGQPWVSSRPTNGA-UWVGGRQHSA-N -1 1 301.416 1.171 20 0 DDADMM CSCC[C@H](NC(=O)CCc1ccccc1F)c1nn[n-]n1 ZINC000912860252 713109614 /nfs/dbraw/zinc/10/96/14/713109614.db2.gz POMYMWFMRMWKAS-LBPRGKRZSA-N -1 1 323.397 1.882 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1C[C@@H]1C1CCCC1)c1nn[n-]n1 ZINC000912863316 713111284 /nfs/dbraw/zinc/11/12/84/713111284.db2.gz SWRYCJNJAWKBGP-UTUOFQBUSA-N -1 1 309.439 1.936 20 0 DDADMM CCc1cccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)n1 ZINC000912863393 713111304 /nfs/dbraw/zinc/11/13/04/713111304.db2.gz WNAMVOHSABTJQW-JTQLQIEISA-N -1 1 306.395 1.381 20 0 DDADMM COC[C@@H](NC(=O)[C@H]1CCCCN1Cc1ccccc1)C(=O)[O-] ZINC000909662938 709564373 /nfs/dbraw/zinc/56/43/73/709564373.db2.gz ZIUPRAIFMVUVMB-HUUCEWRRSA-N -1 1 320.389 1.257 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000909700517 709585712 /nfs/dbraw/zinc/58/57/12/709585712.db2.gz ZDJHNVRQWXZYQV-HNNXBMFYSA-N -1 1 302.334 1.531 20 0 DDADMM CC1CCN(CC(=O)NC[C@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000909703669 709587592 /nfs/dbraw/zinc/58/75/92/709587592.db2.gz QQQRXTXZDNOZNV-HNNXBMFYSA-N -1 1 322.380 1.842 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCc2ccc(F)cc2F)C1 ZINC000909722121 709596021 /nfs/dbraw/zinc/59/60/21/709596021.db2.gz QZAGBBFKJKFOPS-LLVKDONJSA-N -1 1 312.316 1.378 20 0 DDADMM COC1(CNC(=O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)CCCCC1 ZINC000909730407 709599032 /nfs/dbraw/zinc/59/90/32/709599032.db2.gz JNQUMLHUHFCIHK-ZDUSSCGKSA-N -1 1 312.410 1.249 20 0 DDADMM COC1(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)CCCCC1 ZINC000909730407 709599034 /nfs/dbraw/zinc/59/90/34/709599034.db2.gz JNQUMLHUHFCIHK-ZDUSSCGKSA-N -1 1 312.410 1.249 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(-c3ccn(C)c3)[nH]n2)[C@@H](C(=O)[O-])C1 ZINC000909780047 709616594 /nfs/dbraw/zinc/61/65/94/709616594.db2.gz IVQVBOVEJLOTDF-IINYFYTJSA-N -1 1 316.361 1.741 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](C(=O)[O-])[C@@H]1C)N1CCc2ccccc2C1 ZINC000909806171 709630763 /nfs/dbraw/zinc/63/07/63/709630763.db2.gz LRMFEYATIVQMFC-WOSRLPQWSA-N -1 1 316.401 1.755 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C(F)(F)C(F)F)[n-]c1=O ZINC000889792435 709637969 /nfs/dbraw/zinc/63/79/69/709637969.db2.gz ZBMAMTNCCCIEMO-ZCFIWIBFSA-N -1 1 323.246 1.755 20 0 DDADMM CC[C@@](CNC(=O)[C@H]1CCCN1C)(C(=O)[O-])c1ccccc1 ZINC000909844259 709648975 /nfs/dbraw/zinc/64/89/75/709648975.db2.gz ZWZAQQKVXPKJBR-PBHICJAKSA-N -1 1 304.390 1.629 20 0 DDADMM CC[C@](CNC(=O)Cc1cnc[nH]1)(C(=O)[O-])c1ccccc1 ZINC000909845384 709649444 /nfs/dbraw/zinc/64/94/44/709649444.db2.gz MJTKGFKISKQKBE-MRXNPFEDSA-N -1 1 301.346 1.501 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC[C@@H]2C[C@H]2c2ccccc2)C1 ZINC000909857832 709655760 /nfs/dbraw/zinc/65/57/60/709655760.db2.gz GJZKSGFUWIPREH-PMPSAXMXSA-N -1 1 316.401 1.703 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCSC[C@H]2C)o1 ZINC000889913718 709674809 /nfs/dbraw/zinc/67/48/09/709674809.db2.gz UDQFGTPVLPORCK-SECBINFHSA-N -1 1 318.420 1.155 20 0 DDADMM C[C@@H]1CSCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000889924071 709676972 /nfs/dbraw/zinc/67/69/72/709676972.db2.gz MSGIGUGKPHQXEF-SECBINFHSA-N -1 1 308.432 1.986 20 0 DDADMM CC[C@H](COCC1CC1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909944594 709700295 /nfs/dbraw/zinc/70/02/95/709700295.db2.gz LLANMBVHZIULKR-UONOGXRCSA-N -1 1 312.410 1.104 20 0 DDADMM CCOC(=O)[C@@H](CC(=O)Nc1cc([O-])c(F)cc1F)C(C)=O ZINC000909987454 709722110 /nfs/dbraw/zinc/72/21/10/709722110.db2.gz VJGQCXCJJADUQX-QMMMGPOBSA-N -1 1 315.272 1.767 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)N1CCSC[C@@H](C(=O)[O-])C1 ZINC000910146992 709790959 /nfs/dbraw/zinc/79/09/59/709790959.db2.gz IBFSGZALSXQMPJ-JTQLQIEISA-N -1 1 311.407 1.731 20 0 DDADMM O=C([O-])[C@@H]1CSCCN(C(=O)c2cccc3[nH]cnc32)C1 ZINC000910150238 709792277 /nfs/dbraw/zinc/79/22/77/709792277.db2.gz QLEKAJUNMVGPGA-VIFPVBQESA-N -1 1 305.359 1.453 20 0 DDADMM CO[C@@H](CC(C)C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910171512 709796821 /nfs/dbraw/zinc/79/68/21/709796821.db2.gz XADSDXRUNUMQEA-OLZOCXBDSA-N -1 1 300.399 1.055 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)[C@H]2CCCCS2)CC1 ZINC000910178919 709799810 /nfs/dbraw/zinc/79/98/10/709799810.db2.gz RFSIPUJHHNARGG-LLVKDONJSA-N -1 1 300.424 1.280 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CC[C@](OC)(C(=O)[O-])C1)c1ccccc1 ZINC000910219406 709821026 /nfs/dbraw/zinc/82/10/26/709821026.db2.gz KRKYMHYXICVEGU-RHSMWYFYSA-N -1 1 320.389 1.382 20 0 DDADMM CC[C@@H](C(=O)Nc1ccc(O[C@@H](C)C(=O)[O-])c(F)c1)N(C)C ZINC000910232771 709829361 /nfs/dbraw/zinc/82/93/61/709829361.db2.gz KHVYJAYSOWJOAR-CABZTGNLSA-N -1 1 312.341 1.956 20 0 DDADMM CO[C@H]1CN(C(=O)c2n[nH]cc2C(F)(F)F)[C@@](C)(C(=O)[O-])C1 ZINC000910267642 709851627 /nfs/dbraw/zinc/85/16/27/709851627.db2.gz UTSKGAWUDMEEEH-KSBSHMNSSA-N -1 1 321.255 1.133 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N2C3CCC2(C(=O)[O-])CC3)C1 ZINC000910299261 709875222 /nfs/dbraw/zinc/87/52/22/709875222.db2.gz ZPACXQOQAFGJBE-UPTWWCAQSA-N -1 1 303.362 1.684 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@H]1C[C@H]2C[C@@]2(C(=O)[O-])C1 ZINC000910341677 709901992 /nfs/dbraw/zinc/90/19/92/709901992.db2.gz TVSRBBGEZWYKDC-HDMKZQKVSA-N -1 1 316.401 1.530 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@@H]1C[C@H]2C[C@@]2(C(=O)[O-])C1 ZINC000910341646 709902015 /nfs/dbraw/zinc/90/20/15/709902015.db2.gz RYGORKHQDFQZFG-QRPMWFLTSA-N -1 1 317.267 1.720 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]2OCC[C@@H]21)c1cnc(C2CC2)[n-]c1=O ZINC000901067414 709910850 /nfs/dbraw/zinc/91/08/50/709910850.db2.gz VJENFAOZVMTXJI-RAIGVLPGSA-N -1 1 303.362 1.747 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1N(C(=O)[C@H]1CCCc3[nH]ncc31)CC2 ZINC000910398414 709923612 /nfs/dbraw/zinc/92/36/12/709923612.db2.gz QWSVWMNHFQUSJC-WNMQOVRZSA-N -1 1 303.362 1.685 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(C(=O)c1cc(C(F)(F)F)[nH]n1)C2 ZINC000910416037 709929871 /nfs/dbraw/zinc/92/98/71/709929871.db2.gz FTMMKZFFRFSKFO-MADCSZMMSA-N -1 1 317.267 1.755 20 0 DDADMM CC1(C)CC(=O)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000913003607 713142559 /nfs/dbraw/zinc/14/25/59/713142559.db2.gz JWUZWJMCDVDGPN-UHFFFAOYSA-N -1 1 303.362 1.891 20 0 DDADMM CC(C)NC(=O)[C@@H](C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000910476331 709961771 /nfs/dbraw/zinc/96/17/71/709961771.db2.gz KBXPUZIORMUWFB-SECBINFHSA-N -1 1 319.336 1.722 20 0 DDADMM C[C@H]1c2sccc2CCN1C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000910503919 709975450 /nfs/dbraw/zinc/97/54/50/709975450.db2.gz ISGWESVXINMJEF-AAEUAGOBSA-N -1 1 322.430 1.990 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@](C)(Cc1ccc(F)cc1)C(=O)[O-] ZINC000910513235 709977600 /nfs/dbraw/zinc/97/76/00/709977600.db2.gz RFHLKWYABAMJTJ-CZUORRHYSA-N -1 1 308.353 1.422 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)C[C@@]1(F)C(=O)[O-] ZINC000910550286 709995481 /nfs/dbraw/zinc/99/54/81/709995481.db2.gz VNVGETSPAXAMBE-CLAHSXSESA-N -1 1 306.297 1.233 20 0 DDADMM CN(CC(=O)N[C@H](CC(=O)[O-])C1CCCC1)[C@H]1CCSC1 ZINC000910558891 709999419 /nfs/dbraw/zinc/99/94/19/709999419.db2.gz HORMOOMLKKLDPS-QWHCGFSZSA-N -1 1 314.451 1.573 20 0 DDADMM COc1ccc(C[C@@H](C)CN2CCO[C@H](C(=O)[O-])C2)cc1F ZINC000901490855 710054870 /nfs/dbraw/zinc/05/48/70/710054870.db2.gz POKYZKDDSVLXAQ-ABAIWWIYSA-N -1 1 311.353 1.798 20 0 DDADMM Cc1sc([C@@H]2CCCN2C(=O)Cc2c[nH]cn2)nc1C(=O)[O-] ZINC000910719572 710056318 /nfs/dbraw/zinc/05/63/18/710056318.db2.gz AYEZHDFPSREPGF-JTQLQIEISA-N -1 1 320.374 1.779 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(Cc2cn(-c3ccc(F)cc3)nn2)C1 ZINC000901513194 710063029 /nfs/dbraw/zinc/06/30/29/710063029.db2.gz PCCDQLOWARGSJH-OAHLLOKOSA-N -1 1 304.325 1.703 20 0 DDADMM O=C([O-])C[C@]1(NCc2ccn(-c3ccccc3)n2)CCCOC1 ZINC000901526896 710066288 /nfs/dbraw/zinc/06/62/88/710066288.db2.gz ROWCPAYORIZIBM-QGZVFWFLSA-N -1 1 315.373 1.986 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CC[C@H]2[C@@H](C1)[C@H]2C(=O)[O-])c1ccccc1 ZINC000910847007 710093570 /nfs/dbraw/zinc/09/35/70/710093570.db2.gz VYBCTDDIFYJWLF-XUWVNRHRSA-N -1 1 316.401 1.859 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CC[C@H]3[C@@H](C2)[C@H]3C(=O)[O-])C1 ZINC000910852196 710095594 /nfs/dbraw/zinc/09/55/94/710095594.db2.gz PCRVARVICFDQKO-KMLBKCHNSA-N -1 1 303.362 1.255 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)[C@@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000901662951 710113156 /nfs/dbraw/zinc/11/31/56/710113156.db2.gz GTRHDUCHYMLQLU-IETSOEAISA-N -1 1 321.377 1.168 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](Cc2ccc(F)cc2)C(=O)[O-])c1C ZINC000910926815 710122073 /nfs/dbraw/zinc/12/20/73/710122073.db2.gz NMJCOXJJHDLQBS-LBPRGKRZSA-N -1 1 305.309 1.591 20 0 DDADMM O=C(Cn1nnc2c1CCCC2)Nc1cc(F)cc(F)c1[O-] ZINC000910987419 710142072 /nfs/dbraw/zinc/14/20/72/710142072.db2.gz MPCYYDBATDUJQD-UHFFFAOYSA-N -1 1 308.288 1.779 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])c1cn(C2CCOCC2)nn1 ZINC000910988388 710142811 /nfs/dbraw/zinc/14/28/11/710142811.db2.gz OZKOPJJBARLQIJ-UHFFFAOYSA-N -1 1 324.287 1.866 20 0 DDADMM CCn1nncc1CN[C@H](C(=O)[O-])c1ccc(OC)c(Cl)c1 ZINC000901846914 710159317 /nfs/dbraw/zinc/15/93/17/710159317.db2.gz DOOXADMQOMOACF-ZDUSSCGKSA-N -1 1 324.768 1.876 20 0 DDADMM O=C([O-])[C@]1(O)CCN(Cc2ccc(OC(F)F)c(Cl)c2)C1 ZINC000901903661 710169508 /nfs/dbraw/zinc/16/95/08/710169508.db2.gz SWKLZWRQJDNRCT-ZDUSSCGKSA-N -1 1 321.707 1.963 20 0 DDADMM C[C@](CCF)(NCc1cn(Cc2cccnc2)nn1)C(=O)[O-] ZINC000901920575 710175310 /nfs/dbraw/zinc/17/53/10/710175310.db2.gz YOAQQIYOWKOXHG-CQSZACIVSA-N -1 1 307.329 1.014 20 0 DDADMM Cc1nn(C)cc1CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891612746 710248305 /nfs/dbraw/zinc/24/83/05/710248305.db2.gz BCXLZZDIFQHMBS-UHFFFAOYSA-N -1 1 301.350 1.284 20 0 DDADMM CC1=C(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)SCCO1 ZINC000891617867 710249864 /nfs/dbraw/zinc/24/98/64/710249864.db2.gz KVEWJYDQCCLLDO-UHFFFAOYSA-N -1 1 307.375 1.994 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)c2ccon2)c(=O)[n-]1 ZINC000892444847 710438098 /nfs/dbraw/zinc/43/80/98/710438098.db2.gz SYOHYFJIWMGLSH-UHFFFAOYSA-N -1 1 308.363 1.796 20 0 DDADMM CCO[C@H]1C[C@H](O)C12CCN(c1cc(=O)[n-]c(COC)n1)CC2 ZINC000892659719 710477489 /nfs/dbraw/zinc/47/74/89/710477489.db2.gz VCZDIXQBNWUTLJ-RYUDHWBXSA-N -1 1 323.393 1.085 20 0 DDADMM COCc1nc(NCCc2cnc(C3CC3)nc2)cc(=O)[n-]1 ZINC000892982754 710536347 /nfs/dbraw/zinc/53/63/47/710536347.db2.gz CBVHDTWZBUFEKY-UHFFFAOYSA-N -1 1 301.350 1.651 20 0 DDADMM CCO[C@@H]1C[C@@](O)(CNc2cc(=O)[n-]c(COC)n2)C1(C)C ZINC000893436892 710651746 /nfs/dbraw/zinc/65/17/46/710651746.db2.gz MWIXJWDWKPSAIO-MEBBXXQBSA-N -1 1 311.382 1.307 20 0 DDADMM O=C([O-])c1ccnc(C(=O)N2CCN(C3CCCCC3)CC2)c1 ZINC000911156561 710660168 /nfs/dbraw/zinc/66/01/68/710660168.db2.gz YJBNRJBQIUWBQM-UHFFFAOYSA-N -1 1 317.389 1.870 20 0 DDADMM COC1([C@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)CCOCC1 ZINC000911211904 710688224 /nfs/dbraw/zinc/68/82/24/710688224.db2.gz DTTSEYRLWXAKTB-JTQLQIEISA-N -1 1 321.377 1.374 20 0 DDADMM COCc1nc(N2CC[C@@H](Oc3ccncc3)C2)cc(=O)[n-]1 ZINC000893566149 710711512 /nfs/dbraw/zinc/71/15/12/710711512.db2.gz ZNYKZQZPHDCWHI-GFCCVEGCSA-N -1 1 302.334 1.381 20 0 DDADMM COCc1nc(N2C[C@@H](C)OC3(CCOCC3)C2)cc(=O)[n-]1 ZINC000893570529 710714764 /nfs/dbraw/zinc/71/47/64/710714764.db2.gz FOBSZTODWNIUKW-LLVKDONJSA-N -1 1 309.366 1.103 20 0 DDADMM COCc1nc(N2C[C@H](C)OC3(CCOCC3)C2)cc(=O)[n-]1 ZINC000893570535 710715069 /nfs/dbraw/zinc/71/50/69/710715069.db2.gz FOBSZTODWNIUKW-NSHDSACASA-N -1 1 309.366 1.103 20 0 DDADMM Cc1ccc(-c2cc(C(=O)O[C@@H]3CCN4C(=O)OC[C@H]34)[nH]n2)o1 ZINC000893611631 710742592 /nfs/dbraw/zinc/74/25/92/710742592.db2.gz IKRDFDDZMNXTIG-DGCLKSJQSA-N -1 1 317.301 1.728 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000911325060 710742864 /nfs/dbraw/zinc/74/28/64/710742864.db2.gz GAGRCNMXRRSJTP-WDEREUQCSA-N -1 1 306.366 1.499 20 0 DDADMM Cc1cnc(C(=O)NC[C@@](C)(O)c2cccc(F)c2)c([O-])c1 ZINC000928474949 713209341 /nfs/dbraw/zinc/20/93/41/713209341.db2.gz IHHLNQPPLAQXOD-MRXNPFEDSA-N -1 1 304.321 1.872 20 0 DDADMM CCN(C)C(=O)[C@H](C)NCc1ncc(Br)cc1[O-] ZINC000893964006 710903069 /nfs/dbraw/zinc/90/30/69/710903069.db2.gz KMWTUPFDABJAHU-QMMMGPOBSA-N -1 1 316.199 1.506 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@H](C(=O)[O-])c1ccc(F)cc1 ZINC000902863283 710937091 /nfs/dbraw/zinc/93/70/91/710937091.db2.gz WHRWZJCZFUAIRK-RNCFNFMXSA-N -1 1 305.309 1.670 20 0 DDADMM CO[C@]1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCSC1 ZINC000902931763 710963817 /nfs/dbraw/zinc/96/38/17/710963817.db2.gz MKCXFUMCXYPZFL-HNNXBMFYSA-N -1 1 319.386 1.175 20 0 DDADMM Cc1nc([C@@H]2CCN(C(=O)C3(C(=O)[O-])CC4(CCC4)C3)C2)n[nH]1 ZINC000911776086 710979303 /nfs/dbraw/zinc/97/93/03/710979303.db2.gz ZWEZETPMHDZGMA-LLVKDONJSA-N -1 1 318.377 1.464 20 0 DDADMM O=C(NC1([C@H]2CCCCO2)CC1)c1nc2ccccc2c(=O)[n-]1 ZINC000902985788 710985066 /nfs/dbraw/zinc/98/50/66/710985066.db2.gz QGQDQTLMRBJSKN-CYBMUJFWSA-N -1 1 313.357 1.755 20 0 DDADMM CCCCc1ccc(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)cc1 ZINC000911925821 711071816 /nfs/dbraw/zinc/07/18/16/711071816.db2.gz HOPVFQBQNILVRL-MRXNPFEDSA-N -1 1 306.362 1.411 20 0 DDADMM COCc1nc(N[C@@H]2CCc3ccccc3NC2=O)cc(=O)[n-]1 ZINC000894421825 711113587 /nfs/dbraw/zinc/11/35/87/711113587.db2.gz GCMMBARFBHNQMF-GFCCVEGCSA-N -1 1 314.345 1.694 20 0 DDADMM Cc1cn2c(nc(CN3C[C@H](C(=O)[O-])CC[C@@H]3C)cc2=O)s1 ZINC000903407779 711117947 /nfs/dbraw/zinc/11/79/47/711117947.db2.gz LRWHGCHTXDGQLQ-GXSJLCMTSA-N -1 1 321.402 1.750 20 0 DDADMM CNc1ccc(Cl)c(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c1 ZINC000913500560 713240053 /nfs/dbraw/zinc/24/00/53/713240053.db2.gz ZGOZQHAADIQYEG-NSHDSACASA-N -1 1 322.756 1.109 20 0 DDADMM CC[C@@H](CC1CCCC1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494675 713237284 /nfs/dbraw/zinc/23/72/84/713237284.db2.gz IRRFZJXZMGNKRN-STQMWFEESA-N -1 1 307.398 1.706 20 0 DDADMM C[C@@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccc1F ZINC000913494586 713237468 /nfs/dbraw/zinc/23/74/68/713237468.db2.gz FZTFALMQNVSISS-SKDRFNHKSA-N -1 1 305.313 1.042 20 0 DDADMM C[C@@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1c(F)cccc1F ZINC000913494966 713237775 /nfs/dbraw/zinc/23/77/75/713237775.db2.gz DYHRVJPXORUVER-LDYMZIIASA-N -1 1 323.303 1.182 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]C1(c2ccccc2F)COC1 ZINC000903653991 711236455 /nfs/dbraw/zinc/23/64/55/711236455.db2.gz RTZBMDLRGKVXHW-SNVBAGLBSA-N -1 1 303.355 1.006 20 0 DDADMM C[C@@H](OC1CCCCCC1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496021 713238332 /nfs/dbraw/zinc/23/83/32/713238332.db2.gz RELOOIBXURTVFI-DGCLKSJQSA-N -1 1 323.397 1.228 20 0 DDADMM Cc1ccc(Cl)cc1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496318 713238494 /nfs/dbraw/zinc/23/84/94/713238494.db2.gz XSCOPLGEKKSBQL-NSHDSACASA-N -1 1 307.741 1.375 20 0 DDADMM CSc1nc(CNC(=O)C2(CC(C)=O)CCC2)cc(=O)[n-]1 ZINC000912246929 711243320 /nfs/dbraw/zinc/24/33/20/711243320.db2.gz HCPRDIOZNZDAFX-UHFFFAOYSA-N -1 1 309.391 1.670 20 0 DDADMM CSc1nc(CNC(=O)Cc2ccncc2C)cc(=O)[n-]1 ZINC000912250894 711246201 /nfs/dbraw/zinc/24/62/01/711246201.db2.gz UDHGWWLSGZWHTC-UHFFFAOYSA-N -1 1 304.375 1.466 20 0 DDADMM O=C([O-])c1ccc(F)c2c1CN(C[C@@H]1C[C@@H]3COC[C@@H]3O1)CC2 ZINC000903910328 711327359 /nfs/dbraw/zinc/32/73/59/711327359.db2.gz UDYHEXKZNHGLBF-GDLVEWKHSA-N -1 1 321.348 1.686 20 0 DDADMM C[C@@](O)(CN1CC[C@H](c2ncc(C(=O)[O-])s2)C1)C(F)(F)F ZINC000903924820 711335174 /nfs/dbraw/zinc/33/51/74/711335174.db2.gz QBJYETQZIBQSLB-WRWORJQWSA-N -1 1 324.324 1.944 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CCS(=O)CC1 ZINC000896166338 711684814 /nfs/dbraw/zinc/68/48/14/711684814.db2.gz UMEQVDBILUVTSM-UHFFFAOYSA-N -1 1 305.355 1.456 20 0 DDADMM O=C(NCC[C@H](O)C(F)F)c1c([O-])cnc2c(F)cccc21 ZINC000913650061 713275396 /nfs/dbraw/zinc/27/53/96/713275396.db2.gz FXEVRJQLOIBGSR-VIFPVBQESA-N -1 1 314.263 1.825 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]c1c(F)cccc1CO ZINC000905095556 711934736 /nfs/dbraw/zinc/93/47/36/711934736.db2.gz IHMNWEKQRDVSER-UHFFFAOYSA-N -1 1 322.383 1.237 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]c1c(O)cccc1Cl ZINC000905103312 711937247 /nfs/dbraw/zinc/93/72/47/711937247.db2.gz JATBKHJSSAIQDN-UHFFFAOYSA-N -1 1 324.811 1.964 20 0 DDADMM CN(C)c1ccnc(CNC(=O)[C@@H]2CCC[C@H](C(=O)[O-])C2)c1 ZINC000905128145 711944603 /nfs/dbraw/zinc/94/46/03/711944603.db2.gz HGTHGSKGCAFVOV-NEPJUHHUSA-N -1 1 305.378 1.655 20 0 DDADMM O=C(c1cncn1-c1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742396 713291774 /nfs/dbraw/zinc/29/17/74/713291774.db2.gz XMMZUQDLPAGXSY-UHFFFAOYSA-N -1 1 323.360 1.405 20 0 DDADMM COCc1cccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913742060 713291812 /nfs/dbraw/zinc/29/18/12/713291812.db2.gz DXNDRGGBUDOFBV-UHFFFAOYSA-N -1 1 301.350 1.366 20 0 DDADMM Cc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)nn1C(C)(C)C ZINC000913743318 713292134 /nfs/dbraw/zinc/29/21/34/713292134.db2.gz PUMRQYQZSPFHON-UHFFFAOYSA-N -1 1 317.397 1.479 20 0 DDADMM CC(C)(C)c1ncsc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743685 713292389 /nfs/dbraw/zinc/29/23/89/713292389.db2.gz GXIYBNDQTKDJGF-UHFFFAOYSA-N -1 1 320.422 1.974 20 0 DDADMM CC(=O)[C@@H]1C[C@H](C(=O)N2CCC(c3nn[n-]n3)CC2)C1(C)C ZINC000913744146 713292769 /nfs/dbraw/zinc/29/27/69/713292769.db2.gz BRLFWPNEPOYTSV-NWDGAFQWSA-N -1 1 305.382 1.157 20 0 DDADMM CC(C)(CC(=O)N1CCC(c2nn[n-]n2)CC1)CC(F)F ZINC000913747130 713294621 /nfs/dbraw/zinc/29/46/21/713294621.db2.gz RDSFDPHJDLJGEJ-UHFFFAOYSA-N -1 1 301.341 1.977 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1cccc2c1CN(C)C2 ZINC000906064823 712235083 /nfs/dbraw/zinc/23/50/83/712235083.db2.gz PXJSZVONMUUPJW-IBGZPJMESA-N -1 1 317.436 1.406 20 0 DDADMM CCN(CCC1CC1)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906931969 712441927 /nfs/dbraw/zinc/44/19/27/712441927.db2.gz QZRRINUFZJCWSX-UHFFFAOYSA-N -1 1 313.375 1.901 20 0 DDADMM CCOC(=O)[C@H]1CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907412643 712564329 /nfs/dbraw/zinc/56/43/29/712564329.db2.gz LPNIOVRGRGEWKT-LLVKDONJSA-N -1 1 305.334 1.227 20 0 DDADMM CNc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1F ZINC000907482609 712584530 /nfs/dbraw/zinc/58/45/30/712584530.db2.gz RDGPRNCWDGLPHC-LLVKDONJSA-N -1 1 322.369 1.311 20 0 DDADMM C[C@@H](CO)N(CC1CCC1)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907544664 712592429 /nfs/dbraw/zinc/59/24/29/712592429.db2.gz AHEDOZCWSSTQMC-JTQLQIEISA-N -1 1 305.378 1.683 20 0 DDADMM O=C([O-])[C@H]1C[C@@H]2C[C@H](NC(=O)Cc3[nH]nc4ccccc43)[C@@H]2C1 ZINC000907933627 712651240 /nfs/dbraw/zinc/65/12/40/712651240.db2.gz QAZTVMHXMNITNC-JCRCQARHSA-N -1 1 313.357 1.721 20 0 DDADMM CCCCC[C@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)C(C)C ZINC000907943636 712652887 /nfs/dbraw/zinc/65/28/87/712652887.db2.gz JLLHXWOQYHMJDI-ZDUSSCGKSA-N -1 1 323.441 1.862 20 0 DDADMM C[S@@](=O)C1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCC1 ZINC000907955544 712654798 /nfs/dbraw/zinc/65/47/98/712654798.db2.gz OKGISANRKGXABN-OAQYLSRUSA-N -1 1 309.391 1.091 20 0 DDADMM O=C([C@@H]1CCO[C@H]1C1CC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000908011785 712665738 /nfs/dbraw/zinc/66/57/38/712665738.db2.gz QSXRNZVWTJVBDV-NEPJUHHUSA-N -1 1 307.350 1.296 20 0 DDADMM COCCCO[N-]C(=O)Cc1sc(-c2ccn(C)n2)nc1C ZINC000908179966 712703898 /nfs/dbraw/zinc/70/38/98/712703898.db2.gz FBCDAHKMZAECSH-UHFFFAOYSA-N -1 1 324.406 1.479 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCOC23CCCC3)sn1 ZINC000908191027 712706074 /nfs/dbraw/zinc/70/60/74/712706074.db2.gz JXQSNBDKRWDJCI-SNVBAGLBSA-N -1 1 302.421 1.832 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@H](CC(=O)[O-])Cc1ccccc1 ZINC000908243421 712718395 /nfs/dbraw/zinc/71/83/95/712718395.db2.gz USHOWPBUPGAMNX-JSGCOSHPSA-N -1 1 315.373 1.791 20 0 DDADMM CCOC(=O)[C@@H]1CCCN(CC(=O)[N-]OC/C=C\Cl)C1 ZINC000908309949 712736840 /nfs/dbraw/zinc/73/68/40/712736840.db2.gz BUZVIQPKFIQCDH-VLWUPBBWSA-N -1 1 304.774 1.062 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cc1c(Br)cnn1C ZINC000908372451 712754978 /nfs/dbraw/zinc/75/49/78/712754978.db2.gz UDIUUPDXLGEZTK-UHFFFAOYSA-N -1 1 314.143 1.101 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1C[C@H](CO)c2ccccc21 ZINC000908402927 712761843 /nfs/dbraw/zinc/76/18/43/712761843.db2.gz LRVKXRZVBGOYAL-LLVKDONJSA-N -1 1 311.341 1.796 20 0 DDADMM O=C(NC[C@H](CO)C1CCCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000908432240 712770510 /nfs/dbraw/zinc/77/05/10/712770510.db2.gz DUEAHORHVYSEJK-CYBMUJFWSA-N -1 1 319.405 1.978 20 0 DDADMM COc1cnc([C@@H]2CCCN2c2nnc(C3CC3)n2C)[n-]c1=O ZINC000908631618 712826391 /nfs/dbraw/zinc/82/63/91/712826391.db2.gz VNNYGMZGKIFVTG-JTQLQIEISA-N -1 1 316.365 1.538 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCC(CC(=O)[O-])CC2)C1 ZINC000908682282 712834438 /nfs/dbraw/zinc/83/44/38/712834438.db2.gz YSOKZISGWUEDTJ-ZWNOBZJWSA-N -1 1 305.378 1.789 20 0 DDADMM O=C([O-])[C@@H](NC(=O)NC[C@H](c1ccco1)N1CCCC1)C1CC1 ZINC000908746668 712846606 /nfs/dbraw/zinc/84/66/06/712846606.db2.gz CJAWTMUGYGPCAB-OCCSQVGLSA-N -1 1 321.377 1.579 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000908747267 712846615 /nfs/dbraw/zinc/84/66/15/712846615.db2.gz KADAYBAEFQZITQ-RYUDHWBXSA-N -1 1 309.366 1.531 20 0 DDADMM CCN(CC)[C@H](CNC(=O)N(C)[C@H](C)C(=O)[O-])c1ccco1 ZINC000908874920 712876861 /nfs/dbraw/zinc/87/68/61/712876861.db2.gz CDCMORVYRLBHOR-VXGBXAGGSA-N -1 1 311.382 1.777 20 0 DDADMM CN(CC(=O)N(CCC(=O)[O-])CC1CC1)[C@@H]1CCSC1 ZINC000908876581 712877110 /nfs/dbraw/zinc/87/71/10/712877110.db2.gz WJFFJAOWOBPFRO-GFCCVEGCSA-N -1 1 300.424 1.137 20 0 DDADMM O=C([O-])CN(Cc1ccc(Cl)cc1)C(=O)CCc1c[nH]nn1 ZINC000908884617 712878748 /nfs/dbraw/zinc/87/87/48/712878748.db2.gz LMCHONSRUTUERP-UHFFFAOYSA-N -1 1 322.752 1.504 20 0 DDADMM O=C([O-])CN(Cc1ccc(Cl)cc1)C(=O)CCc1cnn[nH]1 ZINC000908884617 712878750 /nfs/dbraw/zinc/87/87/50/712878750.db2.gz LMCHONSRUTUERP-UHFFFAOYSA-N -1 1 322.752 1.504 20 0 DDADMM C[C@@H]1CN(C(=O)C2CCCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966037176 717897324 /nfs/dbraw/zinc/89/73/24/717897324.db2.gz KGXCFALYUHCXFW-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM Cc1[nH][n-]c(=O)c1Sc1nnc(COc2cccc(C)c2)o1 ZINC000915231855 713413843 /nfs/dbraw/zinc/41/38/43/713413843.db2.gz ANOKHXVDKKVWTH-GFCCVEGCSA-N -1 1 318.358 1.923 20 0 DDADMM Cc1nc(Cc2nnc(Sc3c(C)[nH][n-]c3=O)o2)cs1 ZINC000915517075 713421861 /nfs/dbraw/zinc/42/18/61/713421861.db2.gz GDUSRJRLOCDULK-VIFPVBQESA-N -1 1 309.376 1.392 20 0 DDADMM Cn1ncc(C[N-]S(=O)(=O)c2cscc2C(F)F)n1 ZINC000915633013 713425687 /nfs/dbraw/zinc/42/56/87/713425687.db2.gz XZJKPSVSNREICA-UHFFFAOYSA-N -1 1 308.335 1.293 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]C[C@H](C)CC(F)F)s1 ZINC000916603510 713460280 /nfs/dbraw/zinc/46/02/80/713460280.db2.gz MUCOMGYGMDVCFW-ZCFIWIBFSA-N -1 1 300.352 1.721 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@@H]1CCCCC1(OC)OC ZINC000918061786 713527792 /nfs/dbraw/zinc/52/77/92/713527792.db2.gz WCPOSQVPTCVUFD-LLVKDONJSA-N -1 1 309.428 1.263 20 0 DDADMM C[C@@H](CN(C)CC(=O)N1CCOCC1)C(=O)c1ccc([O-])cc1 ZINC000929225694 713570439 /nfs/dbraw/zinc/57/04/39/713570439.db2.gz VWTLQFRFGMYLCE-ZDUSSCGKSA-N -1 1 320.389 1.002 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC=C(Cl)Cl ZINC000920030572 713646722 /nfs/dbraw/zinc/64/67/22/713646722.db2.gz NMDHELQTFJSIRW-UHFFFAOYSA-N -1 1 309.240 1.648 20 0 DDADMM CCOC(=O)[C@@H]1CN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929700032 713672090 /nfs/dbraw/zinc/67/20/90/713672090.db2.gz ABKQKLRDACYHEH-DOMZBBRYSA-N -1 1 321.373 1.475 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@]23C[C@@H]2CCCC3)o1 ZINC000920861134 713699049 /nfs/dbraw/zinc/69/90/49/713699049.db2.gz OZFMUDFWPDLKIU-IINYFYTJSA-N -1 1 313.375 1.925 20 0 DDADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)/C=C\c1ccccc1 ZINC000921378924 713749361 /nfs/dbraw/zinc/74/93/61/713749361.db2.gz MATWYIAMJWSPPH-XPSMFNQNSA-N -1 1 313.375 1.155 20 0 DDADMM C[C@@H](CN1CCSC[C@H]1C(N)=O)C(=O)c1ccc([O-])cc1 ZINC000930141958 713766378 /nfs/dbraw/zinc/76/63/78/713766378.db2.gz FHIYCFQLCSVNCA-GWCFXTLKSA-N -1 1 308.403 1.114 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C)C(C)(C)OC)cc1C ZINC000921424700 713766472 /nfs/dbraw/zinc/76/64/72/713766472.db2.gz OVDCPJQOYBCNGJ-SECBINFHSA-N -1 1 319.379 1.466 20 0 DDADMM O=C(OC[C@H]1CCC2(COC2)O1)c1c([O-])cc(F)cc1F ZINC000922393492 714039709 /nfs/dbraw/zinc/03/97/09/714039709.db2.gz BVEAFTIJBAAEQS-SECBINFHSA-N -1 1 300.257 1.775 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC[C@H]2CCNC(=O)[C@@H]21 ZINC000922449082 714057292 /nfs/dbraw/zinc/05/72/92/714057292.db2.gz TYDDMSQRPPRJEQ-TVQRCGJNSA-N -1 1 308.765 1.786 20 0 DDADMM CC(C)(C)[C@@H]1CSCCN1Cc1cc(=O)n2[n-]ccc2n1 ZINC000931595639 714134897 /nfs/dbraw/zinc/13/48/97/714134897.db2.gz CRWNEXYJTMCMAM-LBPRGKRZSA-N -1 1 306.435 1.986 20 0 DDADMM C[C@@H](O)C1(NC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC1 ZINC000932059266 714249035 /nfs/dbraw/zinc/24/90/35/714249035.db2.gz DKHAKJIWGZYYKH-SNVBAGLBSA-N -1 1 302.334 1.613 20 0 DDADMM O=C(c1ccccc1C1CCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000932281894 714300001 /nfs/dbraw/zinc/30/00/01/714300001.db2.gz DMPYPSQJSYJOCS-AWEZNQCLSA-N -1 1 313.361 1.681 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@@H]2CSC[C@@H]2C1 ZINC000924002024 714504802 /nfs/dbraw/zinc/50/48/02/714504802.db2.gz BWXWLQUFJDSKSN-DTORHVGOSA-N -1 1 311.432 1.648 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cc(Br)cnc1C1CC1 ZINC000933073584 714512364 /nfs/dbraw/zinc/51/23/64/714512364.db2.gz MOCQWZFNEHEECJ-UHFFFAOYSA-N -1 1 309.127 1.487 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc(Br)cnc1C1CC1 ZINC000933073584 714512366 /nfs/dbraw/zinc/51/23/66/714512366.db2.gz MOCQWZFNEHEECJ-UHFFFAOYSA-N -1 1 309.127 1.487 20 0 DDADMM C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000924156439 714536495 /nfs/dbraw/zinc/53/64/95/714536495.db2.gz ZETYACAFRRXONP-SKDRFNHKSA-N -1 1 320.370 1.103 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2cc(Cl)n(C)n2)[n-]c1=O ZINC000934268913 714781450 /nfs/dbraw/zinc/78/14/50/714781450.db2.gz BZPLXDMACQPDIY-SNVBAGLBSA-N -1 1 323.784 1.915 20 0 DDADMM COc1cnc([C@H]2CCCN2CCO[C@@H]2CC2(F)F)[n-]c1=O ZINC000934270868 714781577 /nfs/dbraw/zinc/78/15/77/714781577.db2.gz WKEXBRRTAJOHEJ-MWLCHTKSSA-N -1 1 315.320 1.752 20 0 DDADMM COc1cnc([C@H]2CCCN2CCO[C@@H]2CCCCO2)[n-]c1=O ZINC000934274520 714783624 /nfs/dbraw/zinc/78/36/24/714783624.db2.gz UPOKMLOHNKBNAB-TZMCWYRMSA-N -1 1 323.393 1.871 20 0 DDADMM COc1cnc([C@H]2CCCN2CCO[C@H]2CCCCO2)[n-]c1=O ZINC000934274517 714783781 /nfs/dbraw/zinc/78/37/81/714783781.db2.gz UPOKMLOHNKBNAB-OCCSQVGLSA-N -1 1 323.393 1.871 20 0 DDADMM CN1C[C@@H]2CC[C@H](CC1=O)N2C(=O)c1ccc(Cl)cc1[O-] ZINC000925740650 714924594 /nfs/dbraw/zinc/92/45/94/714924594.db2.gz JJGRBQQWUQYXOO-MNOVXSKESA-N -1 1 308.765 1.881 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1cccc(O)c1 ZINC000935036629 714960742 /nfs/dbraw/zinc/96/07/42/714960742.db2.gz FYSFXPFEWOSNKW-ZYHUDNBSSA-N -1 1 301.350 1.415 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N3CC[C@H](CO)C3)c2)o1 ZINC000935107140 714977570 /nfs/dbraw/zinc/97/75/70/714977570.db2.gz GTGPJLWYCIAZPP-NSHDSACASA-N -1 1 315.325 1.919 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)/C=C/C2CC2)C1 ZINC000936996502 715265915 /nfs/dbraw/zinc/26/59/15/715265915.db2.gz JZNMVQXTFALREQ-YBJDMEARSA-N -1 1 315.373 1.426 20 0 DDADMM C/C=C(\C)C(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956342944 715411824 /nfs/dbraw/zinc/41/18/24/715411824.db2.gz BSCCLKLCMIVULU-UUILKARUSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@]1(NC(=O)C2CCCC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956630181 715509069 /nfs/dbraw/zinc/50/90/69/715509069.db2.gz OAOQTEMTMNEYTL-KRWDZBQOSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)C2(CF)CC2)C1 ZINC000956850154 715637121 /nfs/dbraw/zinc/63/71/21/715637121.db2.gz GMTIWOQTMRFSHU-HNNXBMFYSA-N -1 1 321.352 1.258 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956851045 715637778 /nfs/dbraw/zinc/63/77/78/715637778.db2.gz AORWJJYZMUQJCR-XMZIXOGTSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)C(=O)N1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000937966611 715668038 /nfs/dbraw/zinc/66/80/38/715668038.db2.gz PFYOHDCIRQLKOF-NSHDSACASA-N -1 1 318.377 1.046 20 0 DDADMM CC(C)C(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1[O-])C2 ZINC000957914186 716228920 /nfs/dbraw/zinc/22/89/20/716228920.db2.gz SCSYLRZYECQQSQ-AVGNSLFASA-N -1 1 317.389 1.553 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959959595 716371064 /nfs/dbraw/zinc/37/10/64/716371064.db2.gz BABKCHRQJOFCFC-WDEREUQCSA-N -1 1 307.398 1.027 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2CC23CC3)C1 ZINC000939894937 716482507 /nfs/dbraw/zinc/48/25/07/716482507.db2.gz FICYKNUNZIBHPS-NEPJUHHUSA-N -1 1 315.373 1.260 20 0 DDADMM O=C(C[C@H]1C=CCC1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940125022 716637083 /nfs/dbraw/zinc/63/70/83/716637083.db2.gz CFTMVXBTPIHFDL-QWHCGFSZSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@@H]1CCN(Cc2ccon2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000965941529 717826910 /nfs/dbraw/zinc/82/69/10/717826910.db2.gz RTKWPXCAPVSVKK-DGCLKSJQSA-N -1 1 316.361 1.416 20 0 DDADMM O=C(CC1CC1)N[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000943593546 718156045 /nfs/dbraw/zinc/15/60/45/718156045.db2.gz LERHXNNRECDHMB-JOCQHMNTSA-N -1 1 317.389 1.745 20 0 DDADMM CS[C@@H](C)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000944594424 718323014 /nfs/dbraw/zinc/32/30/14/718323014.db2.gz YAAMNTIHGFDBAZ-JTQLQIEISA-N -1 1 323.418 1.260 20 0 DDADMM CC(C)C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000944858609 718355009 /nfs/dbraw/zinc/35/50/09/718355009.db2.gz UMYWGEORTFVBPK-UHFFFAOYSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C(C)(C)F ZINC000945276765 718434919 /nfs/dbraw/zinc/43/49/19/718434919.db2.gz DNCQNVBNWUHKCT-MNOVXSKESA-N -1 1 323.368 1.502 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CC1CC1 ZINC000966325327 718515781 /nfs/dbraw/zinc/51/57/81/718515781.db2.gz DOLYUJCUWPKKCF-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)C(=O)N1CCC[C@H](C)[C@H]1CNC(=O)c1ncccc1[O-] ZINC000945736767 718550279 /nfs/dbraw/zinc/55/02/79/718550279.db2.gz UDGTWZINGDTOPG-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM CCCC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000945926458 718616689 /nfs/dbraw/zinc/61/66/89/718616689.db2.gz DATZRECVBVKAEV-OLZOCXBDSA-N -1 1 317.389 1.650 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2CC=CC2)C1 ZINC000967901665 719079885 /nfs/dbraw/zinc/07/98/85/719079885.db2.gz TZMUBYNWQMWVNI-UHFFFAOYSA-N -1 1 315.373 1.426 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2(C)CCC2)C1 ZINC000967909754 719083406 /nfs/dbraw/zinc/08/34/06/719083406.db2.gz ZKJCQSZNFKRYLS-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)=CC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000947306101 719111323 /nfs/dbraw/zinc/11/13/23/719111323.db2.gz KWKZPKXYMBZXNZ-VXGBXAGGSA-N -1 1 303.362 1.330 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)CC[N@H+]1CCF ZINC000947925783 719308987 /nfs/dbraw/zinc/30/89/87/719308987.db2.gz RPYDNDGTUQDOLX-VHSXEESVSA-N -1 1 322.340 1.481 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)CCN1CCF ZINC000947925783 719308989 /nfs/dbraw/zinc/30/89/89/719308989.db2.gz RPYDNDGTUQDOLX-VHSXEESVSA-N -1 1 322.340 1.481 20 0 DDADMM Cc1cnc([C@H](C)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC000968481469 719611091 /nfs/dbraw/zinc/61/10/91/719611091.db2.gz HXHSRKWYRAVYLK-NWDGAFQWSA-N -1 1 316.361 1.649 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000949535042 720145381 /nfs/dbraw/zinc/14/53/81/720145381.db2.gz MNHQTNFMURKWOR-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM CC(F)(F)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000949695437 720273141 /nfs/dbraw/zinc/27/31/41/720273141.db2.gz VNXGHPGUBISFCL-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM O=C(CC1CC1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950538915 720674669 /nfs/dbraw/zinc/67/46/69/720674669.db2.gz CPJDMADXTHVCGZ-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1CC=CC1 ZINC000950539872 720675717 /nfs/dbraw/zinc/67/57/17/720675717.db2.gz ZMMXDMOBIRWAJE-ZDUSSCGKSA-N -1 1 315.373 1.474 20 0 DDADMM CN(Cc1cscn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971388178 721271340 /nfs/dbraw/zinc/27/13/40/721271340.db2.gz JYKJEJQCFHYUKW-GFCCVEGCSA-N -1 1 318.402 1.590 20 0 DDADMM CN(C(=O)C1CCCCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953573761 721627527 /nfs/dbraw/zinc/62/75/27/721627527.db2.gz MSADULVGVZAGGQ-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1cc[nH]c1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954124244 721723637 /nfs/dbraw/zinc/72/36/37/721723637.db2.gz SDOPPYKEPQGXEH-UHFFFAOYSA-N -1 1 314.345 1.020 20 0 DDADMM O=C([N-]CCc1nncs1)C(F)(F)OCC(F)(F)F ZINC001183198002 743794935 /nfs/dbraw/zinc/79/49/35/743794935.db2.gz UCGHBYIQEXSOOT-UHFFFAOYSA-N -1 1 305.228 1.369 20 0 DDADMM Cc1nc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)co1 ZINC001010733060 733192354 /nfs/dbraw/zinc/19/23/54/733192354.db2.gz OZDUNMZWPGFWPI-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM C[C@H](CC(C)(C)O)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692882312 738741157 /nfs/dbraw/zinc/74/11/57/738741157.db2.gz UORQWQWJNGVJBL-SSDOTTSWSA-N -1 1 310.778 1.702 20 0 DDADMM Cc1csc(CN[C@H]2C[C@@H](NC(=O)c3[nH]nc(C)c3[O-])C2)n1 ZINC001021973490 733693365 /nfs/dbraw/zinc/69/33/65/733693365.db2.gz AJOIQJTYDUUJLJ-AOOOYVTPSA-N -1 1 321.406 1.239 20 0 DDADMM CC1(CC(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203210775 738792570 /nfs/dbraw/zinc/79/25/70/738792570.db2.gz IATLQDSWWLISAW-WDEREUQCSA-N -1 1 319.409 1.218 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)c1ccc[nH]1 ZINC001066804875 751365826 /nfs/dbraw/zinc/36/58/26/751365826.db2.gz AOJASWCQXYKEDN-UHFFFAOYSA-N -1 1 316.361 1.350 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)[C@H]1COCCN1CC(F)F ZINC001137674362 739197390 /nfs/dbraw/zinc/19/73/90/739197390.db2.gz QRRITTGFQFVQRS-SNVBAGLBSA-N -1 1 320.723 1.950 20 0 DDADMM Cc1cnc(CN2CC[C@H](CNC(=O)c3ncccc3[O-])C2)o1 ZINC001028499693 739590407 /nfs/dbraw/zinc/59/04/07/739590407.db2.gz KMOREYVOVGSURL-GFCCVEGCSA-N -1 1 316.361 1.336 20 0 DDADMM O=[P@]([O-])(O)C1(Nc2ccc[n+]([O-])c2)Cc2ccccc2C1 ZINC001167934420 739725248 /nfs/dbraw/zinc/72/52/48/739725248.db2.gz JBFQJUUHFCYAKZ-UHFFFAOYSA-N -1 1 306.258 1.405 20 0 DDADMM COc1ncncc1NC1([P@](=O)([O-])O)Cc2ccccc2C1 ZINC001167937620 739743374 /nfs/dbraw/zinc/74/33/74/739743374.db2.gz ZYCGBTJHWXOBPU-UHFFFAOYSA-N -1 1 321.273 1.570 20 0 DDADMM O=C(c1ccc2cccnc2c1[O-])N1CCC2(C1)OCCO2 ZINC001149321047 740620643 /nfs/dbraw/zinc/62/06/43/740620643.db2.gz VJVVXZUHRYFGHI-UHFFFAOYSA-N -1 1 300.314 1.529 20 0 DDADMM CC(=O)NCC[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001059666176 741515928 /nfs/dbraw/zinc/51/59/28/741515928.db2.gz DLVJKZPNYMHHRA-LLVKDONJSA-N -1 1 318.377 1.048 20 0 DDADMM CC(C)=CC(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001029929591 741605986 /nfs/dbraw/zinc/60/59/86/741605986.db2.gz XQSUDUUKCIRQIP-UHFFFAOYSA-N -1 1 307.398 1.147 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](O)Cc2ccccc2F)c([O-])c1 ZINC000923751633 741970674 /nfs/dbraw/zinc/97/06/74/741970674.db2.gz CPPQGBWYLLYGRA-LBPRGKRZSA-N -1 1 304.321 1.568 20 0 DDADMM CCC[C@H](C)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075961341 742281155 /nfs/dbraw/zinc/28/11/55/742281155.db2.gz VKQQIEYICKWGMF-RYUDHWBXSA-N -1 1 307.394 1.848 20 0 DDADMM Cc1cc(F)cc(CC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001181648712 743284954 /nfs/dbraw/zinc/28/49/54/743284954.db2.gz RZUDKNZVHKMEQK-UHFFFAOYSA-N -1 1 317.280 1.344 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)[C@@H]1CC12CCCCC2 ZINC001181911755 743389133 /nfs/dbraw/zinc/38/91/33/743389133.db2.gz QQMSOPLPYCTKGV-ZETCQYMHSA-N -1 1 303.322 1.625 20 0 DDADMM CN(C)c1ccc(CCC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001182002562 743431288 /nfs/dbraw/zinc/43/12/88/743431288.db2.gz VMRQDAQCNPHHTC-UHFFFAOYSA-N -1 1 317.349 1.107 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001011625196 743561893 /nfs/dbraw/zinc/56/18/93/743561893.db2.gz FKMWJRYSIWHARQ-UWMOLUOFSA-N -1 1 303.362 1.473 20 0 DDADMM O=C(OC[C@@H]1C[C@H]2COC[C@@H]2O1)c1c([O-])cc(F)cc1F ZINC000908957687 743608570 /nfs/dbraw/zinc/60/85/70/743608570.db2.gz JTSFJOBAJZEZCU-DXBFQKDVSA-N -1 1 300.257 1.631 20 0 DDADMM Cc1cc(C(=O)Nc2nc(Cl)ccc2[O-])ncc1C(N)=O ZINC001183209555 743804632 /nfs/dbraw/zinc/80/46/32/743804632.db2.gz BYGPHBNMTCBKDY-UHFFFAOYSA-N -1 1 306.709 1.495 20 0 DDADMM COCc1nnc(-c2cccc(C(=O)Nc3c[n-][nH]c3=O)c2)o1 ZINC001183563733 743857396 /nfs/dbraw/zinc/85/73/96/743857396.db2.gz VZUQDPDNOBGTLR-UHFFFAOYSA-N -1 1 315.289 1.564 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)C1CCC2(CC2)CC1 ZINC001183882922 743922762 /nfs/dbraw/zinc/92/27/62/743922762.db2.gz ULRVTEJDNOTKAS-UHFFFAOYSA-N -1 1 303.322 1.625 20 0 DDADMM O=C(NC1CN(Cc2ccc3cc[nH]c3c2)C1)c1ncccc1[O-] ZINC001030241281 743977083 /nfs/dbraw/zinc/97/70/83/743977083.db2.gz CHLFGJOQDYJMMX-UHFFFAOYSA-N -1 1 322.368 1.883 20 0 DDADMM C[C@@H](Cc1ccccc1)c1cc(C(=O)NCc2nn[n-]n2)[nH]n1 ZINC001185009027 744136865 /nfs/dbraw/zinc/13/68/65/744136865.db2.gz CPIXDNVNAXKIRX-JTQLQIEISA-N -1 1 311.349 1.199 20 0 DDADMM CSc1ncc([O-])c(C(=O)N2CCN(C(C)(C)C)CC2)n1 ZINC001185176817 744172321 /nfs/dbraw/zinc/17/23/21/744172321.db2.gz KOVWCOUNTMYKQH-UHFFFAOYSA-N -1 1 310.423 1.460 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C(C)(C)CC3CCOCC3)c1-2 ZINC001185309751 744202459 /nfs/dbraw/zinc/20/24/59/744202459.db2.gz GMLRLCDEDANHIA-UHFFFAOYSA-N -1 1 317.393 1.816 20 0 DDADMM CCNC(=O)CO[C@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC001186218313 744366028 /nfs/dbraw/zinc/36/60/28/744366028.db2.gz DTDVYJUNTWEGMK-LBPRGKRZSA-N -1 1 324.352 1.289 20 0 DDADMM O=C(N[C@H]1CCc2[nH]c(=O)ccc2C1)c1ccc([O-])cc1F ZINC001186337803 744388604 /nfs/dbraw/zinc/38/86/04/744388604.db2.gz SMPHMPNSTLEBCN-JTQLQIEISA-N -1 1 302.305 1.919 20 0 DDADMM COCCOc1ccc(CNC(=O)c2ccc([O-])cc2F)cn1 ZINC001186340114 744389515 /nfs/dbraw/zinc/38/95/15/744389515.db2.gz VSGSFRJMAHDKDH-UHFFFAOYSA-N -1 1 320.320 1.882 20 0 DDADMM O=c1ccc([N-]S(=O)(=O)c2ccco2)cn1CC(F)(F)F ZINC001186884796 744459669 /nfs/dbraw/zinc/45/96/69/744459669.db2.gz HGJAAVIVQWZABX-UHFFFAOYSA-N -1 1 322.264 1.804 20 0 DDADMM CCOC(=O)C1(C[N-]S(=O)(=O)c2nccs2)CCC1 ZINC001187913087 744629243 /nfs/dbraw/zinc/62/92/43/744629243.db2.gz UKLADCQRAPFQKN-UHFFFAOYSA-N -1 1 304.393 1.155 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1)Nc1[n-]c(=O)nc2[nH]c(=O)[nH]c21 ZINC001187589817 744580374 /nfs/dbraw/zinc/58/03/74/744580374.db2.gz QQKSPOXQKMPVIS-UHFFFAOYSA-N -1 1 322.231 1.638 20 0 DDADMM COc1ccc(CCNC(=O)c2n[n-]nc2C(F)(F)F)nc1 ZINC001187770637 744598877 /nfs/dbraw/zinc/59/88/77/744598877.db2.gz ORUSANGXQUWMHH-UHFFFAOYSA-N -1 1 315.255 1.200 20 0 DDADMM Nc1ncc(Cl)nc1NC(=O)c1n[n-]nc1C(F)(F)F ZINC001187758840 744605674 /nfs/dbraw/zinc/60/56/74/744605674.db2.gz KGZOYQWSOMLFRY-UHFFFAOYSA-N -1 1 307.623 1.101 20 0 DDADMM CCOC(=O)CCCCCC[N-]S(=O)(=O)c1nccs1 ZINC001187909199 744628357 /nfs/dbraw/zinc/62/83/57/744628357.db2.gz WKPXGAWGECEEAQ-UHFFFAOYSA-N -1 1 320.436 1.935 20 0 DDADMM CCOC(=O)[C@H]1CCCC[C@H]1[N-]S(=O)(=O)c1nccs1 ZINC001187922976 744635974 /nfs/dbraw/zinc/63/59/74/744635974.db2.gz CMMTZRBCRWFRJA-VHSXEESVSA-N -1 1 318.420 1.543 20 0 DDADMM CSc1nc(Nc2cnc3c(c2)OCCN3C)cc(=O)[n-]1 ZINC001203483075 744651624 /nfs/dbraw/zinc/65/16/24/744651624.db2.gz HMQWRYJJBPABPI-UHFFFAOYSA-N -1 1 305.363 1.871 20 0 DDADMM COc1c(Cl)cc(Cl)cc1C(=O)NCc1nn[n-]n1 ZINC001188153338 744667059 /nfs/dbraw/zinc/66/70/59/744667059.db2.gz LWDLENQSAXJPBJ-UHFFFAOYSA-N -1 1 302.121 1.445 20 0 DDADMM COCc1oncc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001188320265 744689351 /nfs/dbraw/zinc/68/93/51/744689351.db2.gz VOPIFCDIMYENIE-UHFFFAOYSA-N -1 1 322.281 1.033 20 0 DDADMM COC(=O)c1ccncc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001189792944 744984194 /nfs/dbraw/zinc/98/41/94/744984194.db2.gz SXFNTMSAYFVVIL-UHFFFAOYSA-N -1 1 315.211 1.257 20 0 DDADMM O=C(N[C@@H]1CCOC[C@@H]1F)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190638022 745282334 /nfs/dbraw/zinc/28/23/34/745282334.db2.gz UGWLOVXLTXVLMR-WDEREUQCSA-N -1 1 318.308 1.101 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]Cc2nc3ccccc3o2)on1 ZINC001190788757 745331445 /nfs/dbraw/zinc/33/14/45/745331445.db2.gz LRROHIGXXRCUBA-UHFFFAOYSA-N -1 1 307.331 1.744 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2ccncc2)ccc1F ZINC001190888581 745368514 /nfs/dbraw/zinc/36/85/14/745368514.db2.gz WZYVMHYQHMNAIP-UHFFFAOYSA-N -1 1 310.306 1.808 20 0 DDADMM CN(C)C(=O)Cc1ccccc1[N-]S(=O)(=O)c1ccncc1 ZINC001190896905 745371496 /nfs/dbraw/zinc/37/14/96/745371496.db2.gz VSCJWNMSHBRLHV-UHFFFAOYSA-N -1 1 319.386 1.513 20 0 DDADMM Cc1ccc2c(c1)[C@H]([N-]S(=O)(=O)c1ccncc1)C(=O)N2 ZINC001190904313 745380834 /nfs/dbraw/zinc/38/08/34/745380834.db2.gz XPWPHBUHKGIPCB-ZDUSSCGKSA-N -1 1 303.343 1.362 20 0 DDADMM O=S(=O)([N-]c1ncc(F)cc1O)C(Cl)(Cl)Cl ZINC001192001951 745663334 /nfs/dbraw/zinc/66/33/34/745663334.db2.gz XJCQXDNONAXITQ-UHFFFAOYSA-N -1 1 309.533 1.996 20 0 DDADMM CC(=O)N1CCC[C@@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192044044 745681570 /nfs/dbraw/zinc/68/15/70/745681570.db2.gz JISXJZMVVSOQOR-SSDOTTSWSA-N -1 1 323.629 1.244 20 0 DDADMM O=C(NCc1cc2n(n1)CCCO2)c1cc([O-])cc(F)c1F ZINC001192684386 745862217 /nfs/dbraw/zinc/86/22/17/745862217.db2.gz OYANVUWRXYNDSB-UHFFFAOYSA-N -1 1 309.272 1.579 20 0 DDADMM Cc1cncnc1NS(=O)(=O)c1ccc(OCC(=O)[O-])cc1 ZINC001192690082 745864052 /nfs/dbraw/zinc/86/40/52/745864052.db2.gz PSECIHJUJNYHSG-UHFFFAOYSA-N -1 1 323.330 1.049 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2cnccc2Cl)c(C)o1 ZINC001192853181 745916550 /nfs/dbraw/zinc/91/65/50/745916550.db2.gz DHIOIUBKKHRKBX-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM CNC(=O)c1cccc([N-]S(=O)(=O)c2cc(F)ccc2N)c1 ZINC000315695750 745957930 /nfs/dbraw/zinc/95/79/30/745957930.db2.gz CWQPHVNGQBLFCW-UHFFFAOYSA-N -1 1 323.349 1.568 20 0 DDADMM O=C(N[C@@H]1CCCN(Cc2nncs2)C1)c1ncccc1[O-] ZINC001007177571 752048878 /nfs/dbraw/zinc/04/88/78/752048878.db2.gz MVFCZIIPFXMJRZ-SNVBAGLBSA-N -1 1 319.390 1.033 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)c(C)c1 ZINC001193253058 746031847 /nfs/dbraw/zinc/03/18/47/746031847.db2.gz OOSMWLOTWHTFCU-LBPRGKRZSA-N -1 1 313.375 1.702 20 0 DDADMM Cc1nc(-c2ccc([N-]S(=O)(=O)C[C@@H]3CCCO3)cc2)no1 ZINC001193263018 746035350 /nfs/dbraw/zinc/03/53/50/746035350.db2.gz WVNPVYXKMMNVJM-ZDUSSCGKSA-N -1 1 323.374 1.966 20 0 DDADMM CSc1nc(NC(=O)c2cccc(NC(C)=O)n2)cc(=O)[n-]1 ZINC001193707534 746187518 /nfs/dbraw/zinc/18/75/18/746187518.db2.gz HJILUHMGHHAATN-UHFFFAOYSA-N -1 1 319.346 1.510 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1c(Cl)cnn1C ZINC001194678761 746436059 /nfs/dbraw/zinc/43/60/59/746436059.db2.gz FQTRCKCVCDGJCZ-UHFFFAOYSA-N -1 1 302.743 1.278 20 0 DDADMM COC(=O)c1ncc([N-]S(=O)(=O)CC[Si](C)(C)C)cn1 ZINC001195041961 746515222 /nfs/dbraw/zinc/51/52/22/746515222.db2.gz PJTMRTFZDHNUMR-UHFFFAOYSA-N -1 1 317.443 1.343 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2cncc(N3CCOCC3)c2)n1 ZINC001195323413 746565360 /nfs/dbraw/zinc/56/53/60/746565360.db2.gz UWQCBNLIVPEMAN-UHFFFAOYSA-N -1 1 314.345 1.580 20 0 DDADMM O=C(Nc1ccc2[nH]c(=O)cnc2c1)c1cc([O-])cnc1Cl ZINC001195305261 746571842 /nfs/dbraw/zinc/57/18/42/746571842.db2.gz CVKMDUGPADPIGX-UHFFFAOYSA-N -1 1 316.704 1.929 20 0 DDADMM Nc1nc(=O)c2ccccc2n1C(=O)c1cc([O-])cnc1Cl ZINC001195306811 746572633 /nfs/dbraw/zinc/57/26/33/746572633.db2.gz XRWSXRRCQXEWMI-UHFFFAOYSA-N -1 1 316.704 1.664 20 0 DDADMM O=C(N[C@@H](CO)Cc1ccc(O)cc1)c1cc([O-])cnc1Cl ZINC001195311851 746573829 /nfs/dbraw/zinc/57/38/29/746573829.db2.gz BSCSOUSKAAQURI-SNVBAGLBSA-N -1 1 322.748 1.480 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2ccc3c(c2)[nH]c(=O)[nH]c3=O)n1 ZINC001195331221 746587698 /nfs/dbraw/zinc/58/76/98/746587698.db2.gz VHBCJGSSNPYIEP-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM Cc1n[nH]c2cc(NC(=O)c3c[nH]c(=S)[n-]c3=O)ccc12 ZINC001196021567 746759121 /nfs/dbraw/zinc/75/91/21/746759121.db2.gz SBTDEZQJYSBHRW-UHFFFAOYSA-N -1 1 301.331 1.908 20 0 DDADMM C[C@H](NC(=O)c1c[nH]c(=S)[n-]c1=O)c1nc2ccccc2[nH]1 ZINC001196021023 746759137 /nfs/dbraw/zinc/75/91/37/746759137.db2.gz NDBQPQWWBDVKDE-ZETCQYMHSA-N -1 1 315.358 1.838 20 0 DDADMM O=C(N[C@H]1COc2ccc(Cl)cc21)c1c[nH]c(=S)[n-]c1=O ZINC001196027727 746766134 /nfs/dbraw/zinc/76/61/34/746766134.db2.gz ZUJVWDKAJFQKNT-VIFPVBQESA-N -1 1 323.761 1.988 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc(Cl)[nH]c(=O)c1 ZINC001196173106 746792488 /nfs/dbraw/zinc/79/24/88/746792488.db2.gz DXMNFAGUDHCBBA-UHFFFAOYSA-N -1 1 311.641 1.292 20 0 DDADMM CSc1nc(NC(=O)c2cc(Cl)[nH]c(=O)c2)cc(=O)[n-]1 ZINC001196173568 746793009 /nfs/dbraw/zinc/79/30/09/746793009.db2.gz NJRCSAMOCLTKOE-UHFFFAOYSA-N -1 1 312.738 1.910 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1cc(Cl)ncc1[O-] ZINC001196386731 746851751 /nfs/dbraw/zinc/85/17/51/746851751.db2.gz DQPZHHJOLXTPEE-UHFFFAOYSA-N -1 1 310.697 1.593 20 0 DDADMM O=C(NCC1CN(Cc2cscn2)C1)c1ncccc1[O-] ZINC001031628532 747250729 /nfs/dbraw/zinc/25/07/29/747250729.db2.gz PTPYKZPDVPAZKD-UHFFFAOYSA-N -1 1 304.375 1.106 20 0 DDADMM O=S(=O)(Cc1ccccn1)[N-]c1cnn2cc(F)ccc12 ZINC001197790358 747265736 /nfs/dbraw/zinc/26/57/36/747265736.db2.gz QQALBGQDVYXUJB-UHFFFAOYSA-N -1 1 306.322 1.810 20 0 DDADMM CCC(=O)Oc1cccc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)c1 ZINC001198076485 747360062 /nfs/dbraw/zinc/36/00/62/747360062.db2.gz AVOBSXFIJXEMGF-UHFFFAOYSA-N -1 1 318.289 1.038 20 0 DDADMM Cc1cocc1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001003683580 747376277 /nfs/dbraw/zinc/37/62/77/747376277.db2.gz LEWIDXSFYOLHFO-UHFFFAOYSA-N -1 1 315.329 1.191 20 0 DDADMM COc1c(C)ccc(F)c1C(=O)N=c1ncnc2[nH][n-]c(C)c1-2 ZINC001198252074 747428155 /nfs/dbraw/zinc/42/81/55/747428155.db2.gz JYVJNLVXKABSNA-UHFFFAOYSA-N -1 1 315.308 1.743 20 0 DDADMM CC(=O)Nc1cc([N-]C(=O)c2cnoc2C(F)(F)F)ncn1 ZINC001199050014 747686390 /nfs/dbraw/zinc/68/63/90/747686390.db2.gz SONUTWFWNIIGBE-UHFFFAOYSA-N -1 1 315.211 1.694 20 0 DDADMM C[C@]1(C(=O)N2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cnn[nH]2)C3)C=CCC1 ZINC001003935937 747775815 /nfs/dbraw/zinc/77/58/15/747775815.db2.gz JFOYWMHMLBRCSB-BQNAKDMGSA-N -1 1 315.377 1.023 20 0 DDADMM CCOC(=O)[C@H](C)NC(=S)Nc1c(F)cc([O-])cc1F ZINC001199844890 748018006 /nfs/dbraw/zinc/01/80/06/748018006.db2.gz XHXDXSAHHAGVQK-LURJTMIESA-N -1 1 304.318 1.908 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCO1)c1c(F)cccc1Br ZINC001201017950 748389891 /nfs/dbraw/zinc/38/98/91/748389891.db2.gz AMSDGFVWQSDCRO-SSDOTTSWSA-N -1 1 324.171 1.655 20 0 DDADMM CN1CC([N-]S(=O)(=O)c2cc(Cl)c(Cl)cc2F)C1 ZINC001201276851 748465050 /nfs/dbraw/zinc/46/50/50/748465050.db2.gz UYMYNFDZKUQFBN-UHFFFAOYSA-N -1 1 313.181 1.725 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NC(=O)NC(=O)c1ccccc1 ZINC001202442736 748782041 /nfs/dbraw/zinc/78/20/41/748782041.db2.gz VBGOFEQGXYGOAX-UHFFFAOYSA-N -1 1 319.302 1.831 20 0 DDADMM O=C(c1ccccc1O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCn1cccn1 ZINC001032286592 749188944 /nfs/dbraw/zinc/18/89/44/749188944.db2.gz KELXCJKOMNYEGR-KBPBESRZSA-N -1 1 312.373 1.188 20 0 DDADMM CCc1cc(NC[C@H](C)NC(=O)c2ncccc2[O-])nc(C)n1 ZINC001108323561 761932926 /nfs/dbraw/zinc/93/29/26/761932926.db2.gz RJQXVXOWVPEJQP-JTQLQIEISA-N -1 1 315.377 1.678 20 0 DDADMM CCc1nsc(NC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108323616 761934400 /nfs/dbraw/zinc/93/44/00/761934400.db2.gz ULOYLKIIFOQROA-QMMMGPOBSA-N -1 1 307.379 1.432 20 0 DDADMM C[C@@H](C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C(C)(C)C ZINC000995980917 749315106 /nfs/dbraw/zinc/31/51/06/749315106.db2.gz LTQOYJYZSCDQIA-WDEREUQCSA-N -1 1 323.441 1.519 20 0 DDADMM CCC(C)(C)C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996204405 749434614 /nfs/dbraw/zinc/43/46/14/749434614.db2.gz CNVVMLPQOIWPLK-SNVBAGLBSA-N -1 1 309.414 1.273 20 0 DDADMM Fc1cc(-c2noc(-c3cn[n-]n3)n2)ccc1OC1COC1 ZINC001212799110 749510316 /nfs/dbraw/zinc/51/03/16/749510316.db2.gz JOZVXPLSWDJHDZ-UHFFFAOYSA-N -1 1 303.253 1.438 20 0 DDADMM CO[C@@H](C)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC001095346282 749585617 /nfs/dbraw/zinc/58/56/17/749585617.db2.gz HNUPPRNQUUKUJN-RNJOBUHISA-N -1 1 305.378 1.157 20 0 DDADMM Cc1cnc(C)nc1N(C)CCCNC(=O)c1ncccc1[O-] ZINC001095525853 750010754 /nfs/dbraw/zinc/01/07/54/750010754.db2.gz KUINTHHXTQHAPC-UHFFFAOYSA-N -1 1 315.377 1.450 20 0 DDADMM CCCCN1CCO[C@@](C)(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001107873107 750505902 /nfs/dbraw/zinc/50/59/02/750505902.db2.gz ZKFNNPDAFBDHNC-HNNXBMFYSA-N -1 1 310.398 1.045 20 0 DDADMM O=C([C@H]1[C@@H]2CCCC[C@@H]21)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034895962 751010666 /nfs/dbraw/zinc/01/06/66/751010666.db2.gz CRCTWNTWWQSCMY-NMKXLXIOSA-N -1 1 319.409 1.027 20 0 DDADMM Cc1cc(C(F)(F)F)cc(NCCOP(=O)([O-])[O-])[nH+]1 ZINC001168587875 751188219 /nfs/dbraw/zinc/18/82/19/751188219.db2.gz ZATUYFMXBVSUNP-UHFFFAOYSA-N -1 1 300.173 1.930 20 0 DDADMM Cc1conc1CN[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000998999247 752423985 /nfs/dbraw/zinc/42/39/85/752423985.db2.gz FNXGGGFPEYNNLC-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM CC(C)CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008072532 752533228 /nfs/dbraw/zinc/53/32/28/752533228.db2.gz VVQPBJZLRMIXAG-CYBMUJFWSA-N -1 1 319.405 1.800 20 0 DDADMM CC1(C)CN(C(=O)C2CCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001008081168 752538587 /nfs/dbraw/zinc/53/85/87/752538587.db2.gz GKLKJOHZPDEPTI-CYBMUJFWSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999257551 752729747 /nfs/dbraw/zinc/72/97/47/752729747.db2.gz UFFBSWMUUYHUGH-LLVKDONJSA-N -1 1 309.414 1.273 20 0 DDADMM CC[C@@H](C)C(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008976733 753017157 /nfs/dbraw/zinc/01/71/57/753017157.db2.gz NWMXLGPVZMVXQO-LPWJVIDDSA-N -1 1 317.389 1.268 20 0 DDADMM O=C(CC1CC1)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008981216 753018720 /nfs/dbraw/zinc/01/87/20/753018720.db2.gz WSBVNPOXMZWLKQ-XYYAHUGASA-N -1 1 315.373 1.022 20 0 DDADMM O=C(C[C@@H]1CC=CCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999903531 753232440 /nfs/dbraw/zinc/23/24/40/753232440.db2.gz GLZYKKYSSXVDOB-CHWSQXEVSA-N -1 1 319.409 1.337 20 0 DDADMM Cc1conc1CN1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001007178636 753301449 /nfs/dbraw/zinc/30/14/49/753301449.db2.gz UHWHWWZPBCOKJW-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM CCC1(C(=O)NCC[C@H](C)NC(=O)c2ncccc2[O-])CCC1 ZINC001077894420 753351339 /nfs/dbraw/zinc/35/13/39/753351339.db2.gz LRSYMORGYIFVFD-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CCC(C)(C)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001078103765 753738492 /nfs/dbraw/zinc/73/84/92/753738492.db2.gz ATYFOZSOIAEWMZ-NSHDSACASA-N -1 1 307.394 1.848 20 0 DDADMM C[C@@H](CCNC(=O)c1cccc(Cl)c1)NC(=O)c1cnn[nH]1 ZINC001078333166 753984939 /nfs/dbraw/zinc/98/49/39/753984939.db2.gz NUJABIKSUQCMLH-VIFPVBQESA-N -1 1 321.768 1.397 20 0 DDADMM CC(C)[C@H](C)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001078628956 754235871 /nfs/dbraw/zinc/23/58/71/754235871.db2.gz QYBCSJIEXZGKOJ-RYUDHWBXSA-N -1 1 307.394 1.704 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ccco2)CCN1C(=O)c1ncccc1[O-] ZINC001011670580 754668257 /nfs/dbraw/zinc/66/82/57/754668257.db2.gz AEEYYKJJJBSMQE-GHMZBOCLSA-N -1 1 315.329 1.413 20 0 DDADMM CC1(C(=O)N[C@@H]2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001015458469 756314347 /nfs/dbraw/zinc/31/43/47/756314347.db2.gz LGWGTVBTGBGRGG-VXGBXAGGSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@H]1C[C@H]1C(=O)NCC1=CCN(C(=O)c2ncccc2[O-])CC1 ZINC001017258055 757766779 /nfs/dbraw/zinc/76/67/79/757766779.db2.gz WTZMQYXNUYKUGC-WCQYABFASA-N -1 1 315.373 1.332 20 0 DDADMM C[C@@H](C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001085162044 758321582 /nfs/dbraw/zinc/32/15/82/758321582.db2.gz BIRFDLQIDFQKCS-YUSALJHKSA-N -1 1 307.398 1.073 20 0 DDADMM Cc1nc([C@H](C)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)no1 ZINC001018756269 759227703 /nfs/dbraw/zinc/22/77/03/759227703.db2.gz NYPUPQNKBXPVDL-ONGXEEELSA-N -1 1 317.349 1.044 20 0 DDADMM C[C@H](Cc1cccc(F)c1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000826614350 759293447 /nfs/dbraw/zinc/29/34/47/759293447.db2.gz ASHUEPKRSKWRNG-ZWNOBZJWSA-N -1 1 319.340 1.118 20 0 DDADMM O=C(NC[C@H]1CCCO1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000828054657 759464999 /nfs/dbraw/zinc/46/49/99/759464999.db2.gz ILVWNGBKSVPNKR-CYBMUJFWSA-N -1 1 302.334 1.878 20 0 DDADMM C[C@@H]1CN(C(=O)C2C(C)(C)C2(C)C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054544705 759792633 /nfs/dbraw/zinc/79/26/33/759792633.db2.gz YRPZDWYYHHKFNX-NXEZZACHSA-N -1 1 321.425 1.129 20 0 DDADMM CN(C(=O)c1ccc(C(=O)Nc2ccccc2)cc1)c1nn[n-]n1 ZINC001131169947 767916731 /nfs/dbraw/zinc/91/67/31/767916731.db2.gz QFRQBGXOSABAAT-UHFFFAOYSA-N -1 1 322.328 1.729 20 0 DDADMM C[C@H]1[C@@H](NC(=O)[C@@H]2CC23CC3)CCN1C(=O)c1ncccc1[O-] ZINC001020206812 760679772 /nfs/dbraw/zinc/67/97/72/760679772.db2.gz VJTUFKUSDUPCPE-SRVKXCTJSA-N -1 1 315.373 1.307 20 0 DDADMM CCC1(C(=O)N(C)CCCN(C)C(=O)c2ncccc2[O-])CC1 ZINC001067254818 761062767 /nfs/dbraw/zinc/06/27/67/761062767.db2.gz OZUYKBLGDFBILM-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)CC1(C)CC1 ZINC001067254864 761063917 /nfs/dbraw/zinc/06/39/17/761063917.db2.gz QMUSANQCINMZJN-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)C(C1CC1)C1CC1 ZINC001000173772 761553936 /nfs/dbraw/zinc/55/39/36/761553936.db2.gz XVUQTWOXLCSQBD-UHFFFAOYSA-N -1 1 315.373 1.164 20 0 DDADMM O=C(CC1CCCC1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001539375 762945022 /nfs/dbraw/zinc/94/50/22/762945022.db2.gz YJYGFSFBODDDFS-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(Nc2ncc(F)cn2)C1 ZINC001069731700 768120330 /nfs/dbraw/zinc/12/03/30/768120330.db2.gz QXKQBKWKAQTNHO-UHFFFAOYSA-N -1 1 317.324 1.431 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCN(C[C@@H](C)CC(C)C)CC2)c1[O-] ZINC001112767736 764725063 /nfs/dbraw/zinc/72/50/63/764725063.db2.gz CSKSQKWCQIKARB-LBPRGKRZSA-N -1 1 308.426 1.864 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045164166 766128918 /nfs/dbraw/zinc/12/89/18/766128918.db2.gz RRUCURCCNWMLEI-WDEREUQCSA-N -1 1 307.398 1.027 20 0 DDADMM CC(C)CCC(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131788130 768356151 /nfs/dbraw/zinc/35/61/51/768356151.db2.gz PWPQSTPTGUIRCT-QWHCGFSZSA-N -1 1 323.441 1.014 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccc3c(c2)CS(=O)(=O)N3)[n-]1 ZINC001170234729 766190097 /nfs/dbraw/zinc/19/00/97/766190097.db2.gz XUCIGPFFLALYRS-UHFFFAOYSA-N -1 1 318.318 1.057 20 0 DDADMM CON(C)C(=O)c1cccc(Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001170228084 766202264 /nfs/dbraw/zinc/20/22/64/766202264.db2.gz BXUVPWVSBFLYKI-UHFFFAOYSA-N -1 1 314.305 1.436 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C(C)=C/c3ccco3)nc2n1 ZINC001131778221 768363044 /nfs/dbraw/zinc/36/30/44/768363044.db2.gz IZTGHNZTGTYOOU-VQHVLOKHSA-N -1 1 313.317 1.615 20 0 DDADMM Cc1ccnc(N[C@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)n1 ZINC001069065117 767814067 /nfs/dbraw/zinc/81/40/67/767814067.db2.gz HIYMYNCFXVXNNX-NEPJUHHUSA-N -1 1 313.361 1.601 20 0 DDADMM CC/C=C(\C)C(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071734082 769971035 /nfs/dbraw/zinc/97/10/35/769971035.db2.gz QMAOMCVLLODRAV-OAIDTJHVSA-N -1 1 317.389 1.720 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)C[C@@H](C)NCc2cscn2)c1[O-] ZINC001134095409 770626987 /nfs/dbraw/zinc/62/69/87/770626987.db2.gz TZXUNVVDONZRBI-RKDXNWHRSA-N -1 1 323.422 1.567 20 0 DDADMM COC(=O)C[C@H]1COCCN1Cc1c(F)cc([O-])cc1F ZINC001144559547 772564754 /nfs/dbraw/zinc/56/47/54/772564754.db2.gz NPALOVRSRIVEKG-VIFPVBQESA-N -1 1 301.289 1.434 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(Oc2ccc(F)cc2)nc1 ZINC001147751396 773233261 /nfs/dbraw/zinc/23/32/61/773233261.db2.gz AZMDAVJZLXTCFG-UHFFFAOYSA-N -1 1 300.253 1.778 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(Oc2ccc(F)cc2)nc1 ZINC001147751396 773233267 /nfs/dbraw/zinc/23/32/67/773233267.db2.gz AZMDAVJZLXTCFG-UHFFFAOYSA-N -1 1 300.253 1.778 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CC[C@@](C)(F)[C@@H](F)C2)c1[O-] ZINC001147836672 773263413 /nfs/dbraw/zinc/26/34/13/773263413.db2.gz OOZIGKIRGZNSIS-IINYFYTJSA-N -1 1 300.305 1.500 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cccc3cn(C)nc32)c1[O-] ZINC001147839982 773264243 /nfs/dbraw/zinc/26/42/43/773264243.db2.gz GQFKUOKZXQZCRJ-UHFFFAOYSA-N -1 1 312.329 1.727 20 0 DDADMM C[C@H]1CN(C(=O)CCCF)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001073900544 773511333 /nfs/dbraw/zinc/51/13/33/773511333.db2.gz LOAZUBJODUUYSI-RYUDHWBXSA-N -1 1 323.368 1.504 20 0 DDADMM O=C(NCc1cc[nH]n1)c1cc([O-])c(Br)c(O)c1 ZINC001148989946 773666381 /nfs/dbraw/zinc/66/63/81/773666381.db2.gz MAIFISAWQPTNNH-UHFFFAOYSA-N -1 1 312.123 1.513 20 0 DDADMM O=C(NCCNc1nc(C2CCC2)ns1)c1ncccc1[O-] ZINC001093562749 774889746 /nfs/dbraw/zinc/88/97/46/774889746.db2.gz FMTBEJWGCPZHQR-UHFFFAOYSA-N -1 1 319.390 1.748 20 0 DDADMM CCCc1nsc(NCCNC(=O)c2ncccc2[O-])n1 ZINC001093562743 774889869 /nfs/dbraw/zinc/88/98/69/774889869.db2.gz FDBRLENCLSSWQG-UHFFFAOYSA-N -1 1 307.379 1.433 20 0 DDADMM COc1cccc2c1C[C@@H](Nc1[n-]c(=O)nc3nc[nH]c31)CO2 ZINC001173156442 777025939 /nfs/dbraw/zinc/02/59/39/777025939.db2.gz MZXHJIVZBPKEEM-MRVPVSSYSA-N -1 1 313.317 1.483 20 0 DDADMM CCOc1cc(NCCN(C)C(=O)c2ncccc2[O-])ncn1 ZINC001101561059 777250362 /nfs/dbraw/zinc/25/03/62/777250362.db2.gz GSLCJVHILFGZGY-UHFFFAOYSA-N -1 1 317.349 1.160 20 0 DDADMM COc1cc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)c(OC)nn1 ZINC001174351961 777453348 /nfs/dbraw/zinc/45/33/48/777453348.db2.gz WLNNRJAAWJOQAS-UHFFFAOYSA-N -1 1 321.297 1.197 20 0 DDADMM CSc1nc(Nc2ccc(N3CCOCC3)nc2)cc(=O)[n-]1 ZINC001174973620 777639174 /nfs/dbraw/zinc/63/91/74/777639174.db2.gz SRVJOXVXPWZEHF-UHFFFAOYSA-N -1 1 319.390 1.879 20 0 DDADMM Cc1n[nH]c2cc([N-]S(=O)(=O)c3cc(N)ccc3N)ccc12 ZINC001175666550 777867873 /nfs/dbraw/zinc/86/78/73/777867873.db2.gz ZARXXPKONYEBQU-UHFFFAOYSA-N -1 1 317.374 1.837 20 0 DDADMM Cc1[nH]nc2cc([N-]S(=O)(=O)c3cc(N)ccc3N)ccc12 ZINC001175666550 777867886 /nfs/dbraw/zinc/86/78/86/777867886.db2.gz ZARXXPKONYEBQU-UHFFFAOYSA-N -1 1 317.374 1.837 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@]1(C)C=CCC1 ZINC001102246313 777972280 /nfs/dbraw/zinc/97/22/80/777972280.db2.gz DDBZGZRYEHRNDP-XHBSWPGZSA-N -1 1 319.409 1.051 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1CO ZINC001175988948 777986317 /nfs/dbraw/zinc/98/63/17/777986317.db2.gz XLGIWXAXWCWVIJ-UHFFFAOYSA-N -1 1 323.374 1.153 20 0 DDADMM O=C(COC/C=C/c1ccccc1)NC1(c2nn[n-]n2)CCC1 ZINC001176726275 778221476 /nfs/dbraw/zinc/22/14/76/778221476.db2.gz OUXJXTPXCWMKJL-XBXARRHUSA-N -1 1 313.361 1.425 20 0 DDADMM CSc1cc(C)nc(SC)c1NC(=O)Cc1nn[n-]n1 ZINC001176837802 778269332 /nfs/dbraw/zinc/26/93/32/778269332.db2.gz HOHMFAKFFHSYPN-UHFFFAOYSA-N -1 1 310.408 1.528 20 0 DDADMM CN(Cc1cccc(OC(F)(F)F)c1)C(=O)Cc1nn[n-]n1 ZINC001176842345 778271292 /nfs/dbraw/zinc/27/12/92/778271292.db2.gz IKZMYDQNASODEX-UHFFFAOYSA-N -1 1 315.255 1.299 20 0 DDADMM COc1ccc(NC(=O)Cc2nn[n-]n2)c(Br)c1 ZINC001176842148 778271479 /nfs/dbraw/zinc/27/14/79/778271479.db2.gz DKKNWWACEXEJFZ-UHFFFAOYSA-N -1 1 312.127 1.152 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1nc(C)cc(C)n1 ZINC001103068230 778615791 /nfs/dbraw/zinc/61/57/91/778615791.db2.gz APAJGFCDUFGBGC-GFCCVEGCSA-N -1 1 315.377 1.815 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1cnc(F)cn1 ZINC001103068978 778616540 /nfs/dbraw/zinc/61/65/40/778616540.db2.gz MLIFQQLOSSDMOV-SECBINFHSA-N -1 1 305.313 1.337 20 0 DDADMM COC[C@H](NC(=O)c1cc(C)cc(C=O)c1[O-])[C@@H]1CCCOC1 ZINC001178047435 778833602 /nfs/dbraw/zinc/83/36/02/778833602.db2.gz KHMNHTHWQHVXFF-DOMZBBRYSA-N -1 1 321.373 1.685 20 0 DDADMM CC1CC(C(=O)N2C[C@@H](C)[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001104057434 779278998 /nfs/dbraw/zinc/27/89/98/779278998.db2.gz WNUVYLPRDZLYCF-QZQSVVMZSA-N -1 1 321.425 1.131 20 0 DDADMM COC(=O)CCCO[N-]C(=O)CN(C)CCc1ccccc1 ZINC001179177077 779303280 /nfs/dbraw/zinc/30/32/80/779303280.db2.gz OODVCDZDLJKWNL-UHFFFAOYSA-N -1 1 308.378 1.162 20 0 DDADMM O=S(=O)([N-]CC1(CO)CCCC1)c1ccc(Cl)nc1F ZINC000692883689 779491108 /nfs/dbraw/zinc/49/11/08/779491108.db2.gz ZIOXSUJSRDESKQ-UHFFFAOYSA-N -1 1 322.789 1.705 20 0 DDADMM Cc1ccccc1O[C@@H](C)C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001180526894 779800699 /nfs/dbraw/zinc/80/06/99/779800699.db2.gz PSLJVRNZSROQRL-VIFPVBQESA-N -1 1 313.317 1.773 20 0 DDADMM O=C(Cc1c[nH]c2cnccc12)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001117759293 780895674 /nfs/dbraw/zinc/89/56/74/780895674.db2.gz WYYJLXNFRWOYHQ-JTQLQIEISA-N -1 1 311.349 1.025 20 0 DDADMM COc1ccc(-c2nc(CNCC[C@H](O)C(=O)[O-])cs2)cc1 ZINC001119613535 781536090 /nfs/dbraw/zinc/53/60/90/781536090.db2.gz IXLGQWVHWHCCPX-ZDUSSCGKSA-N -1 1 322.386 1.744 20 0 DDADMM CC/C(C)=C\C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001266867870 836970077 /nfs/dbraw/zinc/97/00/77/836970077.db2.gz QMIGQSRKXIDQPX-NXIHDVOMSA-N -1 1 307.398 1.337 20 0 DDADMM C[C@@H](CCC(C)(C)C)C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001266980324 837138421 /nfs/dbraw/zinc/13/84/21/837138421.db2.gz RTBGGHMIGFECQF-NSHDSACASA-N -1 1 311.430 1.521 20 0 DDADMM CC[C@H](CNC(=O)[C@H]1CCC=CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001267808945 839014359 /nfs/dbraw/zinc/01/43/59/839014359.db2.gz BUBLWWQDKLNRSW-QWHCGFSZSA-N -1 1 321.425 1.631 20 0 DDADMM CC/C(C)=C\C(=O)N1CCC[C@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001268183780 839808908 /nfs/dbraw/zinc/80/89/08/839808908.db2.gz FVJKRTIEHCTLIL-ZBNJQPBLSA-N -1 1 321.425 1.583 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1CCN(c2ncccn2)CC1 ZINC000391465626 840102781 /nfs/dbraw/zinc/10/27/81/840102781.db2.gz PPPSSPQAXHNONP-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM CC[C@@H]1CCC[C@H]1C(=O)N(CC)CCNCc1n[nH]c(=O)[n-]1 ZINC001268477306 840266195 /nfs/dbraw/zinc/26/61/95/840266195.db2.gz PCBDOJBKRSTFFU-VXGBXAGGSA-N -1 1 309.414 1.275 20 0 DDADMM CC[C@@H](C)N1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC001268841951 840890965 /nfs/dbraw/zinc/89/09/65/840890965.db2.gz BPRJRDVHSVZTDD-JHJVBQTASA-N -1 1 317.389 1.791 20 0 DDADMM Cc1cc(Cl)ccc1OCC(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001142809854 861266821 /nfs/dbraw/zinc/26/68/21/861266821.db2.gz MWBFLVXTGOCBJW-UHFFFAOYSA-N -1 1 324.724 1.449 20 0 DDADMM O=C(CCCc1ccccc1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001142891481 861293666 /nfs/dbraw/zinc/29/36/66/861293666.db2.gz HELHKGWWEYBJIL-UHFFFAOYSA-N -1 1 313.317 1.677 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H](O)c1ccc(C)o1 ZINC001363788506 842707901 /nfs/dbraw/zinc/70/79/01/842707901.db2.gz WLBDVNYGUIEXLP-MRVPVSSYSA-N -1 1 318.376 1.065 20 0 DDADMM O=C(COCc1ccccc1)NCCCC[P@@](=O)([O-])O ZINC001143217536 861419155 /nfs/dbraw/zinc/41/91/55/861419155.db2.gz FMFXQAZQPOQJAQ-UHFFFAOYSA-N -1 1 301.279 1.277 20 0 DDADMM CC/C=C(/C)C(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001272195208 844696525 /nfs/dbraw/zinc/69/65/25/844696525.db2.gz NHDKYWCXMYHNLX-ZYFYVMIWSA-N -1 1 319.409 1.289 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4c(ccn4C)c3)c1-2 ZINC001149222767 861492028 /nfs/dbraw/zinc/49/20/28/861492028.db2.gz CLDALXZWBWVGJB-UHFFFAOYSA-N -1 1 306.329 1.779 20 0 DDADMM COc1cccc2c(C(=O)N=c3ncnc4[nH][n-]c(C)c3-4)c[nH]c21 ZINC001154869717 861525908 /nfs/dbraw/zinc/52/59/08/861525908.db2.gz DREFNUCZSMYGQE-UHFFFAOYSA-N -1 1 322.328 1.777 20 0 DDADMM CN1CCO[C@H]2CN(C(=O)c3ccc4cccnc4c3[O-])C[C@H]21 ZINC001149318432 861545054 /nfs/dbraw/zinc/54/50/54/861545054.db2.gz CRLVNYFXDNQIJA-KGLIPLIRSA-N -1 1 313.357 1.095 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001149501518 861662890 /nfs/dbraw/zinc/66/28/90/861662890.db2.gz VOBAQLNFTXHKSK-ZBTGYSDGSA-N -1 1 321.340 1.824 20 0 DDADMM Cc1ncc(CN2C[C@@H]3C[C@H]2CN3C(=O)c2ncccc2[O-])o1 ZINC001032368892 847182649 /nfs/dbraw/zinc/18/26/49/847182649.db2.gz LCZRXCOMADMOBP-RYUDHWBXSA-N -1 1 314.345 1.183 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc2[nH]ccc2c(F)c1 ZINC001155406854 862051845 /nfs/dbraw/zinc/05/18/45/862051845.db2.gz VRCKGKVJUYMCJW-UHFFFAOYSA-N -1 1 314.253 1.995 20 0 DDADMM CCCCC1CCC(C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1 ZINC001150149921 862113581 /nfs/dbraw/zinc/11/35/81/862113581.db2.gz FOAIQNOXAWZPGR-UHFFFAOYSA-N -1 1 323.441 1.713 20 0 DDADMM CC(C)CC(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001411310155 850733537 /nfs/dbraw/zinc/73/35/37/850733537.db2.gz SZYVSOXQVSUUBM-UHFFFAOYSA-N -1 1 305.378 1.458 20 0 DDADMM CN1C[C@@]2(CCN(Cc3c(F)cc([O-])cc3F)C2)OCC1=O ZINC001273671665 851208174 /nfs/dbraw/zinc/20/81/74/851208174.db2.gz NRJGRXWDMZOPFJ-OAHLLOKOSA-N -1 1 312.316 1.104 20 0 DDADMM CN1C[C@@]2(CC1=O)COCCN(Cc1cccc([O-])c1Cl)C2 ZINC001274724461 852556020 /nfs/dbraw/zinc/55/60/20/852556020.db2.gz UJGWCQOTQRDGCC-MRXNPFEDSA-N -1 1 324.808 1.726 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cnn2cccnc12 ZINC001150644766 862384583 /nfs/dbraw/zinc/38/45/83/862384583.db2.gz BLKXAEOOZONQJC-UHFFFAOYSA-N -1 1 301.262 1.065 20 0 DDADMM CN1CCC12CN(C(=O)c1ccc(Br)cc1[O-])C2 ZINC001274965010 852713947 /nfs/dbraw/zinc/71/39/47/852713947.db2.gz JAMMWPDSRBVCHP-UHFFFAOYSA-N -1 1 311.179 1.685 20 0 DDADMM CN(C)C(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)c1ccc([O-])cc1F)C2 ZINC001275398833 853068989 /nfs/dbraw/zinc/06/89/89/853068989.db2.gz HVEONNHLJLLRED-QHBPRCKVSA-N -1 1 320.364 1.718 20 0 DDADMM CC(C)NC(=O)N1C[C@H]2C[C@@H](C1)N2C(=O)c1cccc([O-])c1F ZINC001275407359 853078605 /nfs/dbraw/zinc/07/86/05/853078605.db2.gz DILHYRDNKLUDRP-PHIMTYICSA-N -1 1 321.352 1.548 20 0 DDADMM COc1ccccc1OCCN(C)C(=O)c1ccc([O-])cn1 ZINC001411664742 853545558 /nfs/dbraw/zinc/54/55/58/853545558.db2.gz IBPQIURDIMQPBR-UHFFFAOYSA-N -1 1 302.330 1.947 20 0 DDADMM CCCC1(C(=O)NC[C@H](C)N(C)C(=O)c2ncccc2[O-])CC1 ZINC001411678735 853559348 /nfs/dbraw/zinc/55/93/48/853559348.db2.gz DVWVWHBFXARCDT-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](C)N2CCc3ccccc3C2)c1[O-] ZINC001411709503 853618070 /nfs/dbraw/zinc/61/80/70/853618070.db2.gz VBIGJGVTRLSNSE-LLVKDONJSA-N -1 1 314.389 1.600 20 0 DDADMM CCN(C)C(=O)[C@@H]1CSCN1C(=O)c1ccc([O-])cc1F ZINC001411744564 853669219 /nfs/dbraw/zinc/66/92/19/853669219.db2.gz ROADCYVXNLDXEK-LBPRGKRZSA-N -1 1 312.366 1.525 20 0 DDADMM NC(=O)COc1cccc(CNC(=O)c2ccc(F)c([O-])c2)c1 ZINC001411858170 853846874 /nfs/dbraw/zinc/84/68/74/853846874.db2.gz PFMIJZXBBQJWJP-UHFFFAOYSA-N -1 1 318.304 1.325 20 0 DDADMM CSc1nnc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)s1 ZINC001412044238 854145584 /nfs/dbraw/zinc/14/55/84/854145584.db2.gz IZGGHTLECVHZMN-UHFFFAOYSA-N -1 1 323.403 1.563 20 0 DDADMM CCC(CC)(NC(=O)c1ccnn1C1CCCC1)c1nn[n-]n1 ZINC001412111780 854231201 /nfs/dbraw/zinc/23/12/01/854231201.db2.gz QGTRGFHZQRHEEU-UHFFFAOYSA-N -1 1 317.397 1.957 20 0 DDADMM CC(C)(C)CC(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001412217313 854344252 /nfs/dbraw/zinc/34/42/52/854344252.db2.gz QRAXZYNALPWZSW-HAQNSBGRSA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@@H](C(=O)OC(C)(C)C)N(C)C(=O)CCCc1nn[n-]n1 ZINC001412307123 854427071 /nfs/dbraw/zinc/42/70/71/854427071.db2.gz UTSWRLBHDHNPMO-JTQLQIEISA-N -1 1 311.386 1.101 20 0 DDADMM CS[C@H](C)C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001412501505 854631023 /nfs/dbraw/zinc/63/10/23/854631023.db2.gz VVWXFKLSAODNQR-VHSXEESVSA-N -1 1 311.407 1.115 20 0 DDADMM CC[C@@H](Cc1ccccc1)C(=O)N[C@@H](COC)c1nn[n-]n1 ZINC001412600448 854792086 /nfs/dbraw/zinc/79/20/86/854792086.db2.gz DJRKHWBHLZTYIK-STQMWFEESA-N -1 1 303.366 1.272 20 0 DDADMM COc1c(Cl)ccc([O-])c1C(=O)N1CC[C@@H]2C[C@@]21C(N)=O ZINC001276165944 854866589 /nfs/dbraw/zinc/86/65/89/854866589.db2.gz CAEVQGHUHTZLDW-UOWDBTKRSA-N -1 1 310.737 1.144 20 0 DDADMM O=C(CCc1cccc(O)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001412857392 855731530 /nfs/dbraw/zinc/73/15/30/855731530.db2.gz XGMRBXIMLSPHIB-LBPRGKRZSA-N -1 1 301.350 1.244 20 0 DDADMM CN(C(=O)c1cc(C(=O)OC(C)(C)C)n[n-]1)c1nncs1 ZINC001412869117 855737917 /nfs/dbraw/zinc/73/79/17/855737917.db2.gz LJDYOWDWLKBVKM-UHFFFAOYSA-N -1 1 309.351 1.493 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)c1cc2n(n1)CCO2 ZINC001412985683 855867739 /nfs/dbraw/zinc/86/77/39/855867739.db2.gz CDDDDOYMEUKPNX-UHFFFAOYSA-N -1 1 324.134 1.996 20 0 DDADMM CSc1ncc(C(=O)N(C)[C@H](C)c2nc(C)no2)c(=O)[n-]1 ZINC001413195270 856536583 /nfs/dbraw/zinc/53/65/83/856536583.db2.gz COPUSQYBBPHATJ-ZCFIWIBFSA-N -1 1 309.351 1.429 20 0 DDADMM COC(=O)[C@@H](Cc1ccccc1)NC(=O)c1ccc([O-])cn1 ZINC001413222668 856562796 /nfs/dbraw/zinc/56/27/96/856562796.db2.gz FAHNQYHTUKKOLO-CQSZACIVSA-N -1 1 300.314 1.301 20 0 DDADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCC(F)CC1 ZINC001413333921 856679631 /nfs/dbraw/zinc/67/96/31/856679631.db2.gz JVVFJQHRRMLRMA-UNXYVOJBSA-N -1 1 323.368 1.550 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C/C=C\c2cccnc2)o1 ZINC001413407085 856773743 /nfs/dbraw/zinc/77/37/43/856773743.db2.gz RWSYZYHHDFMHAR-HYXAFXHYSA-N -1 1 322.342 1.453 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1cc(C)ns1 ZINC001413485560 856876032 /nfs/dbraw/zinc/87/60/32/856876032.db2.gz UNMTVUYBEVIHEA-VHSXEESVSA-N -1 1 318.420 1.462 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ncc(Cl)cc1Cl ZINC001413508847 856908264 /nfs/dbraw/zinc/90/82/64/856908264.db2.gz SVDOZPGEVXLDCV-UHFFFAOYSA-N -1 1 313.148 1.711 20 0 DDADMM O=C(CCc1cccc(Cl)c1)NC1(c2nn[n-]n2)CCC1 ZINC001413512523 856914091 /nfs/dbraw/zinc/91/40/91/856914091.db2.gz XKOWGKRXBOGFNQ-UHFFFAOYSA-N -1 1 305.769 1.981 20 0 DDADMM Cc1cnc(C(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)c([O-])c1 ZINC001413671830 857312507 /nfs/dbraw/zinc/31/25/07/857312507.db2.gz KKXOYZNCHWNFDL-UHFFFAOYSA-N -1 1 304.306 1.636 20 0 DDADMM C[C@@H]1CCN(c2nnc(-c3nnn[n-]3)n2Cc2cccs2)C1 ZINC001123000470 859047614 /nfs/dbraw/zinc/04/76/14/859047614.db2.gz FBVICUWUZHBAJC-SECBINFHSA-N -1 1 316.394 1.414 20 0 DDADMM C[C@@H]1CCN(c2nnc(-c3nn[n-]n3)n2Cc2cccs2)C1 ZINC001123000470 859047621 /nfs/dbraw/zinc/04/76/21/859047621.db2.gz FBVICUWUZHBAJC-SECBINFHSA-N -1 1 316.394 1.414 20 0 DDADMM O=C(CCc1cncc2ccccc21)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123868132 859447505 /nfs/dbraw/zinc/44/75/05/859447505.db2.gz CBCWDVVAHNMVAR-CQSZACIVSA-N -1 1 322.372 1.697 20 0 DDADMM O=c1c2ccccc2nc2n1CC[C@@H]2OCC[P@@](=O)([O-])O ZINC001224603435 881465183 /nfs/dbraw/zinc/46/51/83/881465183.db2.gz YIOFTLRXBXOFRF-NSHDSACASA-N -1 1 310.246 1.036 20 0 DDADMM [O-]c1cccnc1CN1CCc2onc(Cn3cccn3)c2C1 ZINC001138392938 860088457 /nfs/dbraw/zinc/08/84/57/860088457.db2.gz DUODFOAFRPYMEO-UHFFFAOYSA-N -1 1 311.345 1.578 20 0 DDADMM [O-]c1cnccc1CN1CCn2cnc(COCC3CC3)c2C1 ZINC001140275118 860606948 /nfs/dbraw/zinc/60/69/48/860606948.db2.gz QSOZFXPWGCFVLU-UHFFFAOYSA-N -1 1 314.389 1.926 20 0 DDADMM CCS(=O)(=O)N1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001140893152 860726978 /nfs/dbraw/zinc/72/69/78/860726978.db2.gz IWSYMJJYXARINO-UHFFFAOYSA-N -1 1 320.361 1.138 20 0 DDADMM CC1(C)CN(Cc2cc(F)c([O-])c(F)c2)CCN1CC(N)=O ZINC001140991554 860753232 /nfs/dbraw/zinc/75/32/32/860753232.db2.gz SWNLZHNWARJRDJ-UHFFFAOYSA-N -1 1 313.348 1.052 20 0 DDADMM COCC(=O)N[C@@H]1CCCN(Cc2cc(F)c([O-])c(F)c2)C1 ZINC001140991901 860753488 /nfs/dbraw/zinc/75/34/88/860753488.db2.gz DYERGBOLLUKORE-LLVKDONJSA-N -1 1 314.332 1.397 20 0 DDADMM COC[C@H]1c2nnn(C)c2CCN1Cc1cc(F)c([O-])c(F)c1 ZINC001140992998 860755087 /nfs/dbraw/zinc/75/50/87/860755087.db2.gz DMKQEIWGUKEZKG-ZDUSSCGKSA-N -1 1 324.331 1.545 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1nc2ccccn2c1F ZINC001154249118 860920346 /nfs/dbraw/zinc/92/03/46/860920346.db2.gz ILVQOUVNVUUNJQ-UHFFFAOYSA-N -1 1 315.241 1.161 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)Cc1csc2ccccc12 ZINC001142499556 861165496 /nfs/dbraw/zinc/16/54/96/861165496.db2.gz QZFGZWLHXRBTKD-UHFFFAOYSA-N -1 1 316.342 1.866 20 0 DDADMM CCN(Cc1cccnc1)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152781443 863536316 /nfs/dbraw/zinc/53/63/16/863536316.db2.gz DRDWCZPSJQUSHI-LLVKDONJSA-N -1 1 317.393 1.459 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1ccc2ncnn2c1 ZINC001152850845 863570565 /nfs/dbraw/zinc/57/05/65/863570565.db2.gz RJTIRBIPPYTNCW-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM C[C@]1(CO)CCN(C(=O)c2c([O-])ccc3ccccc32)C[C@H]1O ZINC001153499398 863914092 /nfs/dbraw/zinc/91/40/92/863914092.db2.gz VFJADCWGEACULJ-CRAIPNDOSA-N -1 1 315.369 1.751 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccnc2ccc(F)cc21 ZINC001153720457 864037652 /nfs/dbraw/zinc/03/76/52/864037652.db2.gz CNXBCXGKRNJPMA-UHFFFAOYSA-N -1 1 324.275 1.998 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1onc2ccccc21 ZINC001153812398 864126303 /nfs/dbraw/zinc/12/63/03/864126303.db2.gz XDSHDNDKXNYLHU-UHFFFAOYSA-N -1 1 300.322 1.639 20 0 DDADMM Cc1cc(NC(=O)c2cnc3cccnc3c2[O-])n(CCF)n1 ZINC001153851226 864157557 /nfs/dbraw/zinc/15/75/57/864157557.db2.gz KWOTXSLPCOEQTH-UHFFFAOYSA-N -1 1 315.308 1.650 20 0 DDADMM CCOC(=O)c1cc(C)nc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159192571 865158555 /nfs/dbraw/zinc/15/85/55/865158555.db2.gz SRWPVBRUUWIUTO-UHFFFAOYSA-N -1 1 315.293 1.077 20 0 DDADMM Cc1cc(C)n(-c2ccc(CC(=O)N(C)c3nn[n-]n3)cc2)n1 ZINC001332196312 865656919 /nfs/dbraw/zinc/65/69/19/865656919.db2.gz RKKHXVJRSTYDRZ-UHFFFAOYSA-N -1 1 311.349 1.208 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCOC4(CCC4)C3)nc2n1 ZINC001361583535 881946294 /nfs/dbraw/zinc/94/62/94/881946294.db2.gz HDANRZQGOSZCEY-SNVBAGLBSA-N -1 1 317.349 1.014 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2ccc(-c3ccccc3)s2)C1=O ZINC001319838375 866330722 /nfs/dbraw/zinc/33/07/22/866330722.db2.gz DBVOKUWUNJKFNF-UHFFFAOYSA-N -1 1 315.354 1.954 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@H]1CC=CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001319894995 866369458 /nfs/dbraw/zinc/36/94/58/866369458.db2.gz HLXSHKUDNGKAFS-NWDGAFQWSA-N -1 1 307.398 1.097 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCOC1)c1c(Cl)ccnc1Cl ZINC001320432295 866732263 /nfs/dbraw/zinc/73/22/63/866732263.db2.gz SZIBROYFQAAGAI-ZETCQYMHSA-N -1 1 311.190 1.703 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@]1(F)CCOC1 ZINC001323724906 866797751 /nfs/dbraw/zinc/79/77/51/866797751.db2.gz SMVHKTXKFHVLBO-SNVBAGLBSA-N -1 1 305.103 1.617 20 0 DDADMM COC(=O)c1ncc2n1CCC[C@H]2Oc1cc(=O)[n-]c(=S)[nH]1 ZINC001225763388 882017422 /nfs/dbraw/zinc/01/74/22/882017422.db2.gz ZCGAURZUTUIMGI-MRVPVSSYSA-N -1 1 322.346 1.368 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)CCCC(F)(F)F ZINC001320852469 867074368 /nfs/dbraw/zinc/07/43/68/867074368.db2.gz QGNMUMALMTYISL-UHFFFAOYSA-N -1 1 323.319 1.181 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1OC[C@@H](C)OC[C@H](C)OC ZINC001225901042 882101136 /nfs/dbraw/zinc/10/11/36/882101136.db2.gz LHIHOIAUQSIQDX-VHSXEESVSA-N -1 1 314.338 1.178 20 0 DDADMM Cc1cc2c(c(N[C@@H](CC(C)C)C(=O)N(C)C)n1)C(=O)[N-]C2=O ZINC001162503907 867593702 /nfs/dbraw/zinc/59/37/02/867593702.db2.gz WRYHXNKEQIHINJ-NSHDSACASA-N -1 1 318.377 1.188 20 0 DDADMM O=C(c1cnncc1[O-])N1CC(OCCCc2ccccc2)C1 ZINC001335840983 868572444 /nfs/dbraw/zinc/57/24/44/868572444.db2.gz YFLIHLOODLIBPC-UHFFFAOYSA-N -1 1 313.357 1.656 20 0 DDADMM Cc1cc2c(c(N3CCC(=O)[C@@H]4CCCC[C@@H]43)n1)C(=O)[N-]C2=O ZINC001163791567 868708695 /nfs/dbraw/zinc/70/86/95/868708695.db2.gz BDCXQUXLHXESQM-PWSUYJOCSA-N -1 1 313.357 1.612 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(C(=O)N2CCCCC2)CC1 ZINC001361757747 882308434 /nfs/dbraw/zinc/30/84/34/882308434.db2.gz JPPRYQWKDNKACE-UHFFFAOYSA-N -1 1 318.377 1.151 20 0 DDADMM CC(C)=C(F)C(=O)N[C@@H]1C[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001338070885 869783650 /nfs/dbraw/zinc/78/36/50/869783650.db2.gz MUBARWFSPMYRGO-GHMZBOCLSA-N -1 1 321.352 1.770 20 0 DDADMM C[C@H](c1ccc(F)cc1)N(C)c1nnc(Cc2nnn[n-]2)n1C ZINC001338121534 869815868 /nfs/dbraw/zinc/81/58/68/869815868.db2.gz AVPXLUZHCSADPV-SECBINFHSA-N -1 1 316.344 1.256 20 0 DDADMM C[C@H](c1ccc(F)cc1)N(C)c1nnc(Cc2nn[n-]n2)n1C ZINC001338121534 869815878 /nfs/dbraw/zinc/81/58/78/869815878.db2.gz AVPXLUZHCSADPV-SECBINFHSA-N -1 1 316.344 1.256 20 0 DDADMM CCOC(=O)[C@H](Oc1cc(=O)[n-]c(SC)n1)C(F)(F)F ZINC001228094888 883330788 /nfs/dbraw/zinc/33/07/88/883330788.db2.gz NZXSMENWNSCKLD-ZETCQYMHSA-N -1 1 312.269 1.777 20 0 DDADMM CC[C@H](CNC(=O)C1CC=CC1)NC(=O)c1ncccc1[O-] ZINC001297377243 870015699 /nfs/dbraw/zinc/01/56/99/870015699.db2.gz RPSRYBSEOBHYHF-GFCCVEGCSA-N -1 1 303.362 1.378 20 0 DDADMM C/C(=C\C(=O)NC[C@](C)(NC(=O)c1cnn[nH]1)C1CC1)C1CC1 ZINC001297569335 870106131 /nfs/dbraw/zinc/10/61/31/870106131.db2.gz RXWVSWBCLNJWJX-JCVNQNCUSA-N -1 1 317.393 1.176 20 0 DDADMM Cc1cc(F)cc2c1CN(c1nnc(-c3nnn[n-]3)n1C)CC2 ZINC001338716758 870129276 /nfs/dbraw/zinc/12/92/76/870129276.db2.gz AVHDBEJKCYXDHW-UHFFFAOYSA-N -1 1 314.328 1.005 20 0 DDADMM Cc1cc(F)cc2c1CN(c1nnc(-c3nn[n-]n3)n1C)CC2 ZINC001338716758 870129284 /nfs/dbraw/zinc/12/92/84/870129284.db2.gz AVHDBEJKCYXDHW-UHFFFAOYSA-N -1 1 314.328 1.005 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(c2ccnc(F)c2)CC1 ZINC001298069917 870269405 /nfs/dbraw/zinc/26/94/05/870269405.db2.gz UDKXIIASMAZNIG-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM CCSCC[C@@H](C)N(C)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001339351626 870456661 /nfs/dbraw/zinc/45/66/61/870456661.db2.gz NASNJFCDXMUOBJ-MRVPVSSYSA-N -1 1 312.399 1.126 20 0 DDADMM C[C@H]1c2c(F)cccc2CCN1c1nnc(-c2nnn[n-]2)n1C ZINC001339439834 870499572 /nfs/dbraw/zinc/49/95/72/870499572.db2.gz JHHLREIIKUEILI-QMMMGPOBSA-N -1 1 314.328 1.258 20 0 DDADMM C[C@H]1c2c(F)cccc2CCN1c1nnc(-c2nn[n-]n2)n1C ZINC001339439834 870499584 /nfs/dbraw/zinc/49/95/84/870499584.db2.gz JHHLREIIKUEILI-QMMMGPOBSA-N -1 1 314.328 1.258 20 0 DDADMM CC1(C)Cc2nc(NC(=O)CCc3nn[n-]n3)sc2C(=O)C1 ZINC001361817489 882428391 /nfs/dbraw/zinc/42/83/91/882428391.db2.gz HDOJBCHFSIKQAM-UHFFFAOYSA-N -1 1 320.378 1.383 20 0 DDADMM CC(C)(C)OC(=O)N1CC(NC(=O)c2cccc([O-])c2F)C1 ZINC001361828675 882449420 /nfs/dbraw/zinc/44/94/20/882449420.db2.gz DHIZEHCXMGGYIF-UHFFFAOYSA-N -1 1 310.325 1.880 20 0 DDADMM CON(C)CCCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001299989146 870949533 /nfs/dbraw/zinc/94/95/33/870949533.db2.gz HYPRYQWYVABQET-UHFFFAOYSA-N -1 1 307.325 1.693 20 0 DDADMM CCOC(=O)[C@@H]1CCN(Cc2ccc(C(=O)[O-])cc2)CCC1=O ZINC001204154038 870967599 /nfs/dbraw/zinc/96/75/99/870967599.db2.gz BCRDVVWYMOKJSX-CQSZACIVSA-N -1 1 319.357 1.729 20 0 DDADMM CCOC(=O)C1=C(O)CCN(Cc2ccc(C(=O)[O-])cc2)CC1 ZINC001204154038 870967611 /nfs/dbraw/zinc/96/76/11/870967611.db2.gz BCRDVVWYMOKJSX-CQSZACIVSA-N -1 1 319.357 1.729 20 0 DDADMM Cc1cc(O)cc(=O)n1CCC(=O)Nc1cccc(F)c1[O-] ZINC001302485124 871071410 /nfs/dbraw/zinc/07/14/10/871071410.db2.gz JVGOWHFZLAANHM-UHFFFAOYSA-N -1 1 306.293 1.736 20 0 DDADMM Cc1cc(F)cc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1N ZINC001302753431 871080706 /nfs/dbraw/zinc/08/07/06/871080706.db2.gz QYOIVXLTRTYGDJ-UHFFFAOYSA-N -1 1 304.329 1.249 20 0 DDADMM CC=CC=CC(=O)N1CCC[C@H]1c1nn[n-]c1C(=O)OCC ZINC001303490352 871127674 /nfs/dbraw/zinc/12/76/74/871127674.db2.gz BZTYJBSBWADQSL-ORBUXDFBSA-N -1 1 304.350 1.777 20 0 DDADMM CC=CC=CC(=O)N1CCC[C@H]1c1[n-]nnc1C(=O)OCC ZINC001303490352 871127684 /nfs/dbraw/zinc/12/76/84/871127684.db2.gz BZTYJBSBWADQSL-ORBUXDFBSA-N -1 1 304.350 1.777 20 0 DDADMM CC=CC=CC(=O)N1CCC[C@H]1c1n[n-]nc1C(=O)OCC ZINC001303490352 871127696 /nfs/dbraw/zinc/12/76/96/871127696.db2.gz BZTYJBSBWADQSL-ORBUXDFBSA-N -1 1 304.350 1.777 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2cnc3ccnn3c2)c1 ZINC001204814044 871245572 /nfs/dbraw/zinc/24/55/72/871245572.db2.gz ONWBZELUPMMAOR-UHFFFAOYSA-N -1 1 304.331 1.582 20 0 DDADMM CC(C)(C)OC(=O)NC1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001361854141 882506242 /nfs/dbraw/zinc/50/62/42/882506242.db2.gz LAMLICDRPIJIFF-UHFFFAOYSA-N -1 1 321.377 1.917 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N(CC)[C@H](C)CC ZINC001342472878 872224215 /nfs/dbraw/zinc/22/42/15/872224215.db2.gz FURVWINULFGRLE-LLVKDONJSA-N -1 1 322.417 1.510 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N(CC)[C@H](C)CC ZINC001342472878 872224226 /nfs/dbraw/zinc/22/42/26/872224226.db2.gz FURVWINULFGRLE-LLVKDONJSA-N -1 1 322.417 1.510 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318843709 872299276 /nfs/dbraw/zinc/29/92/76/872299276.db2.gz SDUYVACXGGQTEL-STQMWFEESA-N -1 1 323.441 1.521 20 0 DDADMM O=c1cc(OC2Cc3ccccc3C2)nc(N2CCOCC2)[n-]1 ZINC001226721487 882609487 /nfs/dbraw/zinc/60/94/87/882609487.db2.gz GUEBMCTXTLIRSW-UHFFFAOYSA-N -1 1 313.357 1.565 20 0 DDADMM O=C([O-])[C@]1(C(=O)Nc2ccc(C3CC3)cc2F)CNCCO1 ZINC001344461402 873012602 /nfs/dbraw/zinc/01/26/02/873012602.db2.gz IOKVWXYYSUPIGW-OAHLLOKOSA-N -1 1 308.309 1.085 20 0 DDADMM CN(C(=O)C(C)(C)C)[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001381791258 882676332 /nfs/dbraw/zinc/67/63/32/882676332.db2.gz WUZOJQVGWYEQDJ-GFCCVEGCSA-N -1 1 319.405 1.800 20 0 DDADMM CCC(=CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)CC ZINC001207800582 873577311 /nfs/dbraw/zinc/57/73/11/873577311.db2.gz IVEFIVDXGNWSKO-GFCCVEGCSA-N -1 1 307.398 1.337 20 0 DDADMM O=C(CCCOc1cccc(F)c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347198877 874051938 /nfs/dbraw/zinc/05/19/38/874051938.db2.gz RYYXETXSYAHSDV-NSHDSACASA-N -1 1 319.340 1.514 20 0 DDADMM O=C(c1ccc(Cn2cccn2)cc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347198773 874052498 /nfs/dbraw/zinc/05/24/98/874052498.db2.gz RCRRFTFAPOZNMJ-CQSZACIVSA-N -1 1 323.360 1.074 20 0 DDADMM O=C(c1nccc2ccccc21)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001347672222 874238332 /nfs/dbraw/zinc/23/83/32/874238332.db2.gz OSKWHMRUSKBBKW-LBPRGKRZSA-N -1 1 322.372 1.843 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)CCCOC(C)C)[n-]c1=O ZINC001347881795 874298224 /nfs/dbraw/zinc/29/82/24/874298224.db2.gz AKSDQWHXXRIXRJ-UHFFFAOYSA-N -1 1 311.382 1.747 20 0 DDADMM O=C(C=Cc1ccsc1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001348164888 874393797 /nfs/dbraw/zinc/39/37/97/874393797.db2.gz GVUZOSOPLINMQA-MVZIDQBPSA-N -1 1 303.391 1.756 20 0 DDADMM Oc1cccnc1C[N@@H+]1C[C@@H]2[C@H](C1)OCCN2Cc1ccc[nH]1 ZINC001276591827 874498068 /nfs/dbraw/zinc/49/80/68/874498068.db2.gz QLGSRPSQJCRCSK-WBVHZDCISA-N -1 1 314.389 1.201 20 0 DDADMM Cc1ccnc(CNC[C@@H](C)N(C)C(=O)c2ncccc2[O-])n1 ZINC001374215141 912216872 /nfs/dbraw/zinc/21/68/72/912216872.db2.gz RONKSEJICYNNCU-GFCCVEGCSA-N -1 1 315.377 1.136 20 0 DDADMM Cc1cc(C(=O)OCc2nn[n-]n2)nn1-c1cc(C)cc(C)c1 ZINC001348849514 874830927 /nfs/dbraw/zinc/83/09/27/874830927.db2.gz BSPHIVIBEBFNJM-UHFFFAOYSA-N -1 1 312.333 1.668 20 0 DDADMM COC(=O)c1nc(O[C@H](C)COc2ccccc2OC)n[n-]1 ZINC001228309813 883437902 /nfs/dbraw/zinc/43/79/02/883437902.db2.gz AKEQGSRRISPDEZ-SECBINFHSA-N -1 1 307.306 1.446 20 0 DDADMM C/C(=C\C(=O)NCCCNC(=O)c1ncccc1[O-])C1CC1 ZINC001349763745 875352096 /nfs/dbraw/zinc/35/20/96/875352096.db2.gz AKKQXYBTVKLKCL-ZHACJKMWSA-N -1 1 303.362 1.380 20 0 DDADMM CC(C)C1(O)CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC001349807781 875382711 /nfs/dbraw/zinc/38/27/11/875382711.db2.gz WEPHRLDAWYNVJV-UHFFFAOYSA-N -1 1 320.418 1.641 20 0 DDADMM CSC[C@H](C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001378747376 875537431 /nfs/dbraw/zinc/53/74/31/875537431.db2.gz JYJOZZIPJKTFGF-JTQLQIEISA-N -1 1 323.418 1.165 20 0 DDADMM CS(=O)(=O)Cn1cc(Nc2cc([O-])c(F)c(F)c2)cn1 ZINC001210789799 875537916 /nfs/dbraw/zinc/53/79/16/875537916.db2.gz SXXAVHMAENCPAG-UHFFFAOYSA-N -1 1 303.290 1.613 20 0 DDADMM COC(=O)c1cncc(Nc2cc(S(C)(=O)=O)ccc2[O-])c1 ZINC001211462552 875823894 /nfs/dbraw/zinc/82/38/94/875823894.db2.gz LOECRKOXKCQMRD-UHFFFAOYSA-N -1 1 322.342 1.721 20 0 DDADMM CC(C)[C@@H](CNC(=O)Cc1cccs1)NCc1n[nH]c(=O)[n-]1 ZINC001378940008 875953704 /nfs/dbraw/zinc/95/37/04/875953704.db2.gz UJXLFYJSTNTIIG-LLVKDONJSA-N -1 1 323.422 1.045 20 0 DDADMM CCNC(=O)NC(=O)[C@@H](C)Sc1nc(C)c(C2CC2)c(=O)[n-]1 ZINC001413919577 876084419 /nfs/dbraw/zinc/08/44/19/876084419.db2.gz NPYWSSXBEYLKFL-MRVPVSSYSA-N -1 1 324.406 1.694 20 0 DDADMM COc1ccc(O[C@@H](C)CNC(=O)c2ccncc2[O-])cc1 ZINC000177351139 876085538 /nfs/dbraw/zinc/08/55/38/876085538.db2.gz IQVRGAWKENQMID-NSHDSACASA-N -1 1 302.330 1.993 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@](C)(O)CCC(C)C)sn1 ZINC001364782948 889406765 /nfs/dbraw/zinc/40/67/65/889406765.db2.gz SOKMRZCXUBVAPO-GFCCVEGCSA-N -1 1 322.452 1.617 20 0 DDADMM C[C@@H](C(=O)NCCCN(C)C(=O)c1ncccc1[O-])C1CC1 ZINC001351356617 876204867 /nfs/dbraw/zinc/20/48/67/876204867.db2.gz ROYJUVUVOPQWKX-LLVKDONJSA-N -1 1 305.378 1.412 20 0 DDADMM O=c1[nH]c2[n-]c(OC[C@H]3COc4cscc4O3)nc2c(=O)[nH]1 ZINC001227432545 883017270 /nfs/dbraw/zinc/01/72/70/883017270.db2.gz OEOLLBNNXNZDJS-RXMQYKEDSA-N -1 1 322.302 1.044 20 0 DDADMM CCC1(C(=O)NCC2(NC(=O)c3ncccc3[O-])CC2)CC1 ZINC001379092603 876375318 /nfs/dbraw/zinc/37/53/18/876375318.db2.gz LSYJLRZOEOWHAO-UHFFFAOYSA-N -1 1 303.362 1.356 20 0 DDADMM CCC(C)(C)CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001214716179 876448644 /nfs/dbraw/zinc/44/86/44/876448644.db2.gz QWMXFSKXVOOPTQ-GHMZBOCLSA-N -1 1 309.414 1.273 20 0 DDADMM CNC(=O)c1ccc([N-]c2nsnc2C(=O)OC)c(F)c1 ZINC001214864387 876486273 /nfs/dbraw/zinc/48/62/73/876486273.db2.gz MOXVGLPZBUKMPA-UHFFFAOYSA-N -1 1 310.310 1.567 20 0 DDADMM CC(C)CCCC(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001379150978 876519049 /nfs/dbraw/zinc/51/90/49/876519049.db2.gz XSQLRFLGFXZALW-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@H](C(=O)Nc1ccc(OCc2nn[n-]n2)cc1)[C@H]1CCCO1 ZINC001362105518 883051080 /nfs/dbraw/zinc/05/10/80/883051080.db2.gz ZWUJBAGURFZSKI-GXFFZTMASA-N -1 1 317.349 1.532 20 0 DDADMM COc1ncc(Nc2ncncc2C)cc1[N-]S(C)(=O)=O ZINC001216153196 876880650 /nfs/dbraw/zinc/88/06/50/876880650.db2.gz ACGUNJNBUBWDCT-UHFFFAOYSA-N -1 1 309.351 1.304 20 0 DDADMM COc1ncc(Nc2ncccc2F)cc1[N-]S(C)(=O)=O ZINC001216152452 876881103 /nfs/dbraw/zinc/88/11/03/876881103.db2.gz VPMXMHIJZKHDHI-UHFFFAOYSA-N -1 1 312.326 1.739 20 0 DDADMM CCN(CC)C(=O)N1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001362115173 883078457 /nfs/dbraw/zinc/07/84/57/883078457.db2.gz VUCGLMYENBIHFZ-UHFFFAOYSA-N -1 1 323.368 1.751 20 0 DDADMM CCn1ncc(Cl)c1C(=O)[N-]n1cnc2ccccc2c1=O ZINC001353664251 877472394 /nfs/dbraw/zinc/47/23/94/877472394.db2.gz RFYBJVWCQDVGCP-UHFFFAOYSA-N -1 1 317.736 1.650 20 0 DDADMM CC(C)CC(=O)NC[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001379846368 878146261 /nfs/dbraw/zinc/14/62/61/878146261.db2.gz PXLAOLGLFOQRSU-QGZVFWFLSA-N -1 1 319.405 1.802 20 0 DDADMM O=c1ccc([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])c[nH]1 ZINC000315160485 878969331 /nfs/dbraw/zinc/96/93/31/878969331.db2.gz QVOGQXRXMVNYMB-UHFFFAOYSA-N -1 1 313.266 1.635 20 0 DDADMM [NH3+][C@H](C[N-]C(=O)C(F)(F)C(F)(F)C(=O)[O-])c1ccccc1 ZINC001220500002 879068078 /nfs/dbraw/zinc/06/80/78/879068078.db2.gz GJUBSUKWUJAQAT-MRVPVSSYSA-N -1 1 308.231 1.158 20 0 DDADMM C/C(=C\C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-])C1CC1 ZINC001358507649 880455173 /nfs/dbraw/zinc/45/51/73/880455173.db2.gz KNGOQTXNIWAIAN-UQSGXBNBSA-N -1 1 303.362 1.378 20 0 DDADMM CCC[C@@H](OC)C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001380849798 880521202 /nfs/dbraw/zinc/52/12/02/880521202.db2.gz QMLFNRALDXXVOB-DGCLKSJQSA-N -1 1 323.393 1.179 20 0 DDADMM O=C1OCC[C@@H]1N1CCC(Nc2cc(Cl)[n-]c(=O)n2)CC1 ZINC001413882659 880593196 /nfs/dbraw/zinc/59/31/96/880593196.db2.gz JJNKSABHOKOPED-VIFPVBQESA-N -1 1 312.757 1.027 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@]2(CCC[C@H]2OCc2nn[n-]n2)C1 ZINC001223029958 880790535 /nfs/dbraw/zinc/79/05/35/880790535.db2.gz RGBCZOPFVWGDIF-ABAIWWIYSA-N -1 1 323.397 1.896 20 0 DDADMM CC(C)[C@@H]1CC[C@@H](C)C[C@H]1OC(=O)[C@H](O)OCc1nn[n-]n1 ZINC001223030472 880790798 /nfs/dbraw/zinc/79/07/98/880790798.db2.gz XNDBCKXUUXWKLU-LSCVPOLPSA-N -1 1 312.370 1.039 20 0 DDADMM CN(C(=O)c1ccc([O-])cn1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC001362147230 883150388 /nfs/dbraw/zinc/15/03/88/883150388.db2.gz LUVWRUHPNKZBLL-SNVBAGLBSA-N -1 1 317.267 1.022 20 0 DDADMM COC(=O)[C@@](C)(NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC001362156070 883172428 /nfs/dbraw/zinc/17/24/28/883172428.db2.gz NEEZWJRCJQECMQ-HNNXBMFYSA-N -1 1 305.334 1.131 20 0 DDADMM CCN(Cc1ccccc1)C(=O)CNC(=O)c1ccc([O-])cn1 ZINC001362160939 883182760 /nfs/dbraw/zinc/18/27/60/883182760.db2.gz AXPOPNLYZBNITB-UHFFFAOYSA-N -1 1 313.357 1.566 20 0 DDADMM CCC1(C(=O)N(C)[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)CCC1 ZINC001382018201 883185197 /nfs/dbraw/zinc/18/51/97/883185197.db2.gz HDYYFOREHWBTCC-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(CCNC(=O)c1ccc(F)c([O-])c1)Nc1ccccn1 ZINC001362172817 883208600 /nfs/dbraw/zinc/20/86/00/883208600.db2.gz BSCFGMIEWPOLIP-UHFFFAOYSA-N -1 1 303.293 1.685 20 0 DDADMM Cc1ccc(NC(=O)c2cnncc2[O-])cc1N1CCOC1=O ZINC001362205732 883295682 /nfs/dbraw/zinc/29/56/82/883295682.db2.gz PGDSHHFOQVDANG-UHFFFAOYSA-N -1 1 314.301 1.700 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](CC(C)C)n1ccnc1)c1nn[n-]n1 ZINC001362208138 883301073 /nfs/dbraw/zinc/30/10/73/883301073.db2.gz ZEIMWIYYJGVVEO-NWDGAFQWSA-N -1 1 305.386 1.641 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@H](O)c1ccccc1Cl ZINC001362236157 883374760 /nfs/dbraw/zinc/37/47/60/883374760.db2.gz ZOFLNSHFNHZFPT-NSHDSACASA-N -1 1 309.757 1.026 20 0 DDADMM CCOC(=O)CC[C@@H](C)NC(=O)c1cnc(SC)[n-]c1=O ZINC001362258711 883427320 /nfs/dbraw/zinc/42/73/20/883427320.db2.gz BEZQACZLEHOUOA-MRVPVSSYSA-N -1 1 313.379 1.366 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H](C)COc2ccccc2OC)n1 ZINC001228309813 883437897 /nfs/dbraw/zinc/43/78/97/883437897.db2.gz AKEQGSRRISPDEZ-SECBINFHSA-N -1 1 307.306 1.446 20 0 DDADMM C[C@@](O)(CNC(=O)CCCc1nn[n-]n1)c1cccc(Cl)c1 ZINC001362321101 883559118 /nfs/dbraw/zinc/55/91/18/883559118.db2.gz BJEVKOVMOQRJLI-CQSZACIVSA-N -1 1 323.784 1.200 20 0 DDADMM Cc1cc(N2CCC[C@H](NC(=O)c3ccc([O-])cn3)C2)n(C)n1 ZINC001362399689 883723024 /nfs/dbraw/zinc/72/30/24/883723024.db2.gz HEZQXYCWODYJNG-LBPRGKRZSA-N -1 1 315.377 1.228 20 0 DDADMM CC[C@@H](Oc1c([O-])c(OC)cc2ccc(=O)oc21)C(=O)OC ZINC001229214875 883875037 /nfs/dbraw/zinc/87/50/37/883875037.db2.gz IEODRZTUXVFUCC-SECBINFHSA-N -1 1 308.286 1.838 20 0 DDADMM COc1cc2ccc(=O)oc2c(OC[C@@H]2CCC(=O)O2)c1[O-] ZINC001229219781 883877829 /nfs/dbraw/zinc/87/78/29/883877829.db2.gz WYTXBCDBERZIFE-VIFPVBQESA-N -1 1 306.270 1.592 20 0 DDADMM CSc1ncc(C(=O)NC[C@]2(O)CCCC2(C)C)c(=O)[n-]1 ZINC001362482457 883912017 /nfs/dbraw/zinc/91/20/17/883912017.db2.gz BXQHOLMBEVEQRA-CQSZACIVSA-N -1 1 311.407 1.575 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2ccc([O-])cn2)cc1OC ZINC001362494730 883937016 /nfs/dbraw/zinc/93/70/16/883937016.db2.gz DABXADHCCOEPKO-UHFFFAOYSA-N -1 1 302.286 1.835 20 0 DDADMM O=C(N[C@H]1COc2ccccc2[C@H]1O)c1cccc([O-])c1F ZINC001362502411 883955634 /nfs/dbraw/zinc/95/56/34/883955634.db2.gz GXTQDQDDYDURGP-XHDPSFHLSA-N -1 1 303.289 1.756 20 0 DDADMM CSc1ncc(C(=O)NCC=Cc2cccnc2)c(=O)[n-]1 ZINC001362504173 883960396 /nfs/dbraw/zinc/96/03/96/883960396.db2.gz PAMUOYCFUIOHIY-HWKANZROSA-N -1 1 302.359 1.742 20 0 DDADMM CS(=O)(=O)c1cccc(CNC(=O)c2ccc([O-])c(F)c2)c1 ZINC001362540240 884043935 /nfs/dbraw/zinc/04/39/35/884043935.db2.gz ADKRCUITWOFVIS-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM COc1cccnc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001362550097 884070253 /nfs/dbraw/zinc/07/02/53/884070253.db2.gz PCYJVGOCSPLVPM-SECBINFHSA-N -1 1 304.306 1.199 20 0 DDADMM CCC[C@@H](NC(=O)CCc1nn[n-]n1)[C@@H](O)c1ccccc1 ZINC001362620311 884240658 /nfs/dbraw/zinc/24/06/58/884240658.db2.gz MRUKDCZZSGPLEP-DOMZBBRYSA-N -1 1 303.366 1.151 20 0 DDADMM CCCCCCC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001230047795 884297138 /nfs/dbraw/zinc/29/71/38/884297138.db2.gz WBQWWWAVFYAKPI-CHWSQXEVSA-N -1 1 323.441 1.998 20 0 DDADMM CC(C)Oc1ncc(Cl)cc1NC(=O)CCCc1nn[n-]n1 ZINC001362663436 884353517 /nfs/dbraw/zinc/35/35/17/884353517.db2.gz YBHFLJCWZQJJHU-UHFFFAOYSA-N -1 1 324.772 1.997 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC[C@](O)(C(F)(F)F)CC1 ZINC001362664535 884360723 /nfs/dbraw/zinc/36/07/23/884360723.db2.gz PWXOSUZGZIBQGK-GFCCVEGCSA-N -1 1 304.268 1.707 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](NC(=O)c2cnncc2[O-])C1 ZINC001362667682 884368269 /nfs/dbraw/zinc/36/82/69/884368269.db2.gz TYFDZFLKBDSJOD-SNVBAGLBSA-N -1 1 322.365 1.312 20 0 DDADMM CCOC(=O)C[C@@H](Oc1nc(C(=O)OC)c[n-]1)C(F)(F)F ZINC001230280623 884405692 /nfs/dbraw/zinc/40/56/92/884405692.db2.gz XDZFUXHNXUQIBP-SSDOTTSWSA-N -1 1 310.228 1.459 20 0 DDADMM O=C(Nc1ccnc(OCCO)c1)c1cnc(C2CC2)[n-]c1=O ZINC001362700128 884440566 /nfs/dbraw/zinc/44/05/66/884440566.db2.gz PKUBOMNGEOEYRJ-UHFFFAOYSA-N -1 1 316.317 1.078 20 0 DDADMM O=C(Cc1cc(F)ccc1O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001362702262 884445144 /nfs/dbraw/zinc/44/51/44/884445144.db2.gz DEBHQOZUPZBNHS-VIFPVBQESA-N -1 1 321.308 1.569 20 0 DDADMM CC(C)[C@@H](NC(=O)CCc1nn[n-]n1)[C@@H](O)c1ccccc1 ZINC001362757070 884564416 /nfs/dbraw/zinc/56/44/16/884564416.db2.gz BXGPJLXACJPBIY-CABCVRRESA-N -1 1 303.366 1.007 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc([O-])c2F)CC2(CCOCC2)O1 ZINC001362780815 884621585 /nfs/dbraw/zinc/62/15/85/884621585.db2.gz YIGKGIFHBLJMNA-NSHDSACASA-N -1 1 309.337 1.941 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1ncc(C(C)(C)C)o1)c1nn[n-]n1 ZINC001362825069 884728684 /nfs/dbraw/zinc/72/86/84/884728684.db2.gz LULZAWQFWQDNOJ-SNVBAGLBSA-N -1 1 320.397 1.680 20 0 DDADMM CCC(CC)(NC(=O)COc1ccc(F)cc1)c1nn[n-]n1 ZINC001362854228 884803658 /nfs/dbraw/zinc/80/36/58/884803658.db2.gz HLIFXYOYVNLBLR-UHFFFAOYSA-N -1 1 307.329 1.549 20 0 DDADMM COC1CC(C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)C1 ZINC001362868483 884839495 /nfs/dbraw/zinc/83/94/95/884839495.db2.gz YNEGOVRIBYUUSP-PMUOWJKOSA-N -1 1 322.327 1.469 20 0 DDADMM CCO[C@@H](CC)C(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001382956790 885033745 /nfs/dbraw/zinc/03/37/45/885033745.db2.gz ORQLKLUBOIQONF-AAEUAGOBSA-N -1 1 323.393 1.179 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)COc2ccsn2)[n-]c1=O ZINC001362969464 885112559 /nfs/dbraw/zinc/11/25/59/885112559.db2.gz JWFGOXASPDLEIJ-UHFFFAOYSA-N -1 1 324.362 1.078 20 0 DDADMM C[C@H]1C[C@@H](C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)CO1 ZINC001362971624 885116764 /nfs/dbraw/zinc/11/67/64/885116764.db2.gz PKUHOMIHAOIIQI-MMWGEVLESA-N -1 1 322.327 1.469 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H]2[C@H]3CCCO[C@H]32)[n-]c1=O ZINC001363041630 885304141 /nfs/dbraw/zinc/30/41/41/885304141.db2.gz QPPOXRXSGVEPJD-FPQZTECRSA-N -1 1 319.361 1.279 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ncccc2CC)n[n-]1 ZINC001363045618 885318666 /nfs/dbraw/zinc/31/86/66/885318666.db2.gz GULKYDZFLFFELZ-VIFPVBQESA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ncccc2CC)[n-]1 ZINC001363045618 885318686 /nfs/dbraw/zinc/31/86/86/885318686.db2.gz GULKYDZFLFFELZ-VIFPVBQESA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ncccc2CC)n1 ZINC001363045618 885318704 /nfs/dbraw/zinc/31/87/04/885318704.db2.gz GULKYDZFLFFELZ-VIFPVBQESA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCc2ccccn2)n[n-]1 ZINC001363049026 885329772 /nfs/dbraw/zinc/32/97/72/885329772.db2.gz ONZODXCLNPSGII-SNVBAGLBSA-N -1 1 317.349 1.186 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCc2ccccn2)[n-]1 ZINC001363049026 885329791 /nfs/dbraw/zinc/32/97/91/885329791.db2.gz ONZODXCLNPSGII-SNVBAGLBSA-N -1 1 317.349 1.186 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCc2ccccn2)n1 ZINC001363049026 885329809 /nfs/dbraw/zinc/32/98/09/885329809.db2.gz ONZODXCLNPSGII-SNVBAGLBSA-N -1 1 317.349 1.186 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1C[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC001363063191 885369557 /nfs/dbraw/zinc/36/95/57/885369557.db2.gz XWKWXTRDSDWGMK-GRYCIOLGSA-N -1 1 303.362 1.293 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2nc(Cl)cs2)n[n-]1 ZINC001363116666 885502325 /nfs/dbraw/zinc/50/23/25/885502325.db2.gz FTJAPXHGXNFIJR-UHFFFAOYSA-N -1 1 315.742 1.021 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2nc(Cl)cs2)n1 ZINC001363116666 885502339 /nfs/dbraw/zinc/50/23/39/885502339.db2.gz FTJAPXHGXNFIJR-UHFFFAOYSA-N -1 1 315.742 1.021 20 0 DDADMM CCCOCC(=O)N[C@H](C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001288516630 912948918 /nfs/dbraw/zinc/94/89/18/912948918.db2.gz YZTWOCMTZFWBLT-VXGBXAGGSA-N -1 1 323.393 1.227 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cccc(NC(=O)N(C)C)c1 ZINC001363142992 885570257 /nfs/dbraw/zinc/57/02/57/885570257.db2.gz YYWGFWZFGPHZFM-UHFFFAOYSA-N -1 1 303.322 1.770 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ncoc2C2CC2)n[n-]1 ZINC001363229252 885768416 /nfs/dbraw/zinc/76/84/16/885768416.db2.gz AMTCSVSGKYEKPZ-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ncoc2C2CC2)[n-]1 ZINC001363229252 885768422 /nfs/dbraw/zinc/76/84/22/885768422.db2.gz AMTCSVSGKYEKPZ-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ncoc2C2CC2)n1 ZINC001363229252 885768430 /nfs/dbraw/zinc/76/84/30/885768430.db2.gz AMTCSVSGKYEKPZ-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CNC(=O)NC1CCN(Cc2ccc(OC)c(C(=O)[O-])c2)CC1 ZINC001231756376 885860602 /nfs/dbraw/zinc/86/06/02/885860602.db2.gz NLVBTPUOHXSVPR-UHFFFAOYSA-N -1 1 321.377 1.287 20 0 DDADMM CC(=O)N(C)[C@@H]1CCN(Cc2ccccc2OCC(=O)[O-])C1 ZINC001231793870 885876346 /nfs/dbraw/zinc/87/63/46/885876346.db2.gz LQTIQMKOYVWRAN-CQSZACIVSA-N -1 1 306.362 1.203 20 0 DDADMM O=C(CCOc1ccccc1F)NC1(c2nn[n-]n2)CCC1 ZINC001363276540 885885659 /nfs/dbraw/zinc/88/56/59/885885659.db2.gz DYKGIUDJIOUTOG-UHFFFAOYSA-N -1 1 305.313 1.303 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cnc(NC2CC2)s1 ZINC001363276612 885885867 /nfs/dbraw/zinc/88/58/67/885885867.db2.gz GXFPLHXBIKLQSJ-UHFFFAOYSA-N -1 1 305.367 1.040 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2conc2C)c(=O)[n-]1 ZINC001363359001 886124831 /nfs/dbraw/zinc/12/48/31/886124831.db2.gz VMSYAUFZZZUFJM-UHFFFAOYSA-N -1 1 322.390 1.758 20 0 DDADMM CNC(=O)N[C@H]1CCCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC001363362570 886134641 /nfs/dbraw/zinc/13/46/41/886134641.db2.gz XSSAWRPKASVURY-JTQLQIEISA-N -1 1 311.769 1.579 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1C[C@@H]1c1ccc(F)cc1 ZINC001363375834 886171062 /nfs/dbraw/zinc/17/10/62/886171062.db2.gz GNRWZJABQKFQFN-VXGBXAGGSA-N -1 1 301.325 1.638 20 0 DDADMM CCCCC1CCC(C(=O)N[C@H](COC)c2nn[n-]n2)CC1 ZINC001363410944 886265370 /nfs/dbraw/zinc/26/53/70/886265370.db2.gz HHHPVICERMYPRX-WXRRBKDZSA-N -1 1 309.414 2.000 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1)c1nn[n-]n1 ZINC001363419286 886286858 /nfs/dbraw/zinc/28/68/58/886286858.db2.gz VVQPZFHHNPQGSM-SECBINFHSA-N -1 1 315.255 1.336 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cncc(C)c2)n[n-]1 ZINC001363473089 886432948 /nfs/dbraw/zinc/43/29/48/886432948.db2.gz FCHBELXASNCWEG-VIFPVBQESA-N -1 1 303.322 1.176 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cncc(C)c2)[n-]1 ZINC001363473089 886432954 /nfs/dbraw/zinc/43/29/54/886432954.db2.gz FCHBELXASNCWEG-VIFPVBQESA-N -1 1 303.322 1.176 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cncc(C)c2)n1 ZINC001363473089 886432964 /nfs/dbraw/zinc/43/29/64/886432964.db2.gz FCHBELXASNCWEG-VIFPVBQESA-N -1 1 303.322 1.176 20 0 DDADMM CN1c2ccccc2C[C@@H](NC(=O)c2cc(F)ccc2[O-])C1=O ZINC001363481389 886454439 /nfs/dbraw/zinc/45/44/39/886454439.db2.gz IMVISOCFNOQGME-CYBMUJFWSA-N -1 1 314.316 1.849 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C(F)(F)C1(O)CCCC1 ZINC001363544059 886596425 /nfs/dbraw/zinc/59/64/25/886596425.db2.gz LOENSVOGRZWCNG-UHFFFAOYSA-N -1 1 317.340 1.125 20 0 DDADMM [O-]c1c(F)ccc(CN2CCN(c3ccncn3)CC2)c1F ZINC001232938058 886686002 /nfs/dbraw/zinc/68/60/02/886686002.db2.gz YVEDARAUEXPISN-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM C[C@H](CCCCNC(=O)c1ncccc1[O-])NC(=O)CC1CC1 ZINC001288795774 913068980 /nfs/dbraw/zinc/06/89/80/913068980.db2.gz MURLCWPQGFPCCK-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM COC[C@@H](NC(=O)[C@H](C)Cc1cccc(Cl)c1)c1nn[n-]n1 ZINC001363584992 886697428 /nfs/dbraw/zinc/69/74/28/886697428.db2.gz GGIWSCBLDUCZAT-BXKDBHETSA-N -1 1 323.784 1.536 20 0 DDADMM O=C(C1CCC1)N1CCN(Cc2cc(Cl)ncc2[O-])CC1 ZINC001233039430 886746671 /nfs/dbraw/zinc/74/66/71/886746671.db2.gz VICIIMXIBKZLHK-UHFFFAOYSA-N -1 1 309.797 1.885 20 0 DDADMM COC(=O)c1coc([C@@H](C)Sc2ncc(C(=O)OC)[n-]2)n1 ZINC001363756679 887150771 /nfs/dbraw/zinc/15/07/71/887150771.db2.gz SCGFHEXRNBLYOM-ZCFIWIBFSA-N -1 1 311.319 1.824 20 0 DDADMM COC(=O)c1c[n-]c(S[C@H](C)c2nc(C(=O)OC)co2)n1 ZINC001363756679 887150775 /nfs/dbraw/zinc/15/07/75/887150775.db2.gz SCGFHEXRNBLYOM-ZCFIWIBFSA-N -1 1 311.319 1.824 20 0 DDADMM COC(=O)c1ccccc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363797646 887246301 /nfs/dbraw/zinc/24/63/01/887246301.db2.gz BVYGNLMCPKLTGO-UHFFFAOYSA-N -1 1 317.349 1.285 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2ccc(F)c([O-])c2)CCS1(=O)=O ZINC001363798836 887249489 /nfs/dbraw/zinc/24/94/89/887249489.db2.gz ZKRLUYJMCBFUGZ-JTQLQIEISA-N -1 1 315.366 1.617 20 0 DDADMM Cc1ccc(COCC(=O)N(Cc2nn[n-]n2)CC(C)C)cc1 ZINC001363805074 887266282 /nfs/dbraw/zinc/26/62/82/887266282.db2.gz PZIZVYLQEMDRAL-UHFFFAOYSA-N -1 1 317.393 1.710 20 0 DDADMM O=C(CSCc1cccs1)NC1(c2nn[n-]n2)CCC1 ZINC001363822328 887316447 /nfs/dbraw/zinc/31/64/47/887316447.db2.gz QWBLATCHHISASU-UHFFFAOYSA-N -1 1 309.420 1.690 20 0 DDADMM CC(C)c1ncc(Cl)c(C(=O)NC2(c3nn[n-]n3)CCC2)n1 ZINC001363821767 887317156 /nfs/dbraw/zinc/31/71/56/887317156.db2.gz PFUHNKQQCMFVLW-UHFFFAOYSA-N -1 1 321.772 1.576 20 0 DDADMM CCOC(=O)C[C@@H](C)C[N-]S(=O)(=O)c1csnc1OC ZINC001363838502 887360047 /nfs/dbraw/zinc/36/00/47/887360047.db2.gz VTOQXLHFFHWSJG-MRVPVSSYSA-N -1 1 322.408 1.019 20 0 DDADMM COCc1nnc2n1CCN(C(=O)c1cc(Cl)ccc1[O-])C2 ZINC001363874279 887444252 /nfs/dbraw/zinc/44/42/52/887444252.db2.gz NPUAMRJUPFOQHC-UHFFFAOYSA-N -1 1 322.752 1.440 20 0 DDADMM COC(=O)c1cccc2[n-]c(O[C@@H]3CCCN(C(C)=O)C3)nc21 ZINC001234040370 887583037 /nfs/dbraw/zinc/58/30/37/887583037.db2.gz ZGEHPYLCNAXRGA-LLVKDONJSA-N -1 1 317.345 1.739 20 0 DDADMM COC(=O)c1cccc2nc(O[C@@H]3CCCN(C(C)=O)C3)[n-]c21 ZINC001234040370 887583050 /nfs/dbraw/zinc/58/30/50/887583050.db2.gz ZGEHPYLCNAXRGA-LLVKDONJSA-N -1 1 317.345 1.739 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@]2(C)CCCC[C@H]2O)sn1 ZINC001363970467 887638176 /nfs/dbraw/zinc/63/81/76/887638176.db2.gz PAOODRSSJJKGQU-BXKDBHETSA-N -1 1 320.436 1.371 20 0 DDADMM CC(C)(C)c1nc[nH]c1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364023939 887743193 /nfs/dbraw/zinc/74/31/93/887743193.db2.gz HKIWJMPDOHKIGV-JTQLQIEISA-N -1 1 317.397 1.315 20 0 DDADMM O=C([N-]C1=NCC(=O)N1)C(F)(F)c1cccc(Cl)c1Cl ZINC001364056723 887813858 /nfs/dbraw/zinc/81/38/58/887813858.db2.gz KNEBWPVNOODPJW-UHFFFAOYSA-N -1 1 322.098 1.687 20 0 DDADMM O=S(=O)(NCCc1c(F)cc([O-])cc1F)c1ccccn1 ZINC001364061479 887825912 /nfs/dbraw/zinc/82/59/12/887825912.db2.gz KTCVNRWNVPPAFJ-UHFFFAOYSA-N -1 1 314.313 1.586 20 0 DDADMM CC(C)[C@H](O)[C@H](NC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC001364149483 888029228 /nfs/dbraw/zinc/02/92/28/888029228.db2.gz QIXRCZMBNLRKFM-CABCVRRESA-N -1 1 303.366 1.007 20 0 DDADMM CC1=C(Oc2c(C(N)=O)nc(C(C)C)[n-]c2=O)C(=O)O[C@H]1C ZINC001234566356 888104482 /nfs/dbraw/zinc/10/44/82/888104482.db2.gz WBZAFFPGLGOWCF-ZETCQYMHSA-N -1 1 307.306 1.003 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@@H]2CCC(F)(F)C2)c(=O)[n-]1 ZINC001234573920 888113208 /nfs/dbraw/zinc/11/32/08/888113208.db2.gz WIHYEGNBPSMLAZ-SSDOTTSWSA-N -1 1 301.293 1.971 20 0 DDADMM O=C(c1ccc(O)cn1)N1CCN[C@H](Cc2ccc(F)cc2)C1 ZINC001364247481 888213669 /nfs/dbraw/zinc/21/36/69/888213669.db2.gz CWGYDVADKTWEPN-CQSZACIVSA-N -1 1 315.348 1.583 20 0 DDADMM O=C(N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1)c1ccc(F)c([O-])c1 ZINC001364411280 888574795 /nfs/dbraw/zinc/57/47/95/888574795.db2.gz LUJDDNBZIZZDFH-SNVBAGLBSA-N -1 1 318.308 1.036 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccc(OCC(F)(F)F)o1 ZINC001364490304 888755256 /nfs/dbraw/zinc/75/52/56/888755256.db2.gz NBLXZFRXRCEBAI-UHFFFAOYSA-N -1 1 302.208 1.996 20 0 DDADMM CC(C)CCCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001385348625 888934471 /nfs/dbraw/zinc/93/44/71/888934471.db2.gz UDAZBBCKFHGKNR-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM O=C1NCCN1[C@@H]1CCCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001364646253 889113186 /nfs/dbraw/zinc/11/31/86/889113186.db2.gz QJHJFBDWWACYSV-LLVKDONJSA-N -1 1 311.332 1.660 20 0 DDADMM Cc1cn(C(C)(C)C(=O)N(Cc2nn[n-]n2)CC(C)C)cn1 ZINC001364651907 889122518 /nfs/dbraw/zinc/12/25/18/889122518.db2.gz PJYDTBQPWOANCY-UHFFFAOYSA-N -1 1 305.386 1.125 20 0 DDADMM Cc1cccc(O[C@H](C)C(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001364664365 889151801 /nfs/dbraw/zinc/15/18/01/889151801.db2.gz VLBUYOYPNQBCIY-LLVKDONJSA-N -1 1 301.350 1.471 20 0 DDADMM COC(=O)c1cn(C2CN(Cc3ccc([O-])c(F)c3F)C2)nn1 ZINC001364692716 889210862 /nfs/dbraw/zinc/21/08/62/889210862.db2.gz GLHCXEVINMYUDH-UHFFFAOYSA-N -1 1 324.287 1.105 20 0 DDADMM COC(=O)[C@@H](C[C@@H]1CCCO1)NC(=O)c1cccc([O-])c1F ZINC001364749722 889335141 /nfs/dbraw/zinc/33/51/41/889335141.db2.gz VUISAYPKHQIZQB-GXSJLCMTSA-N -1 1 311.309 1.372 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1cccc(F)c1)c1ccns1 ZINC001364787662 889416621 /nfs/dbraw/zinc/41/66/21/889416621.db2.gz SOVIURSXZCBLRS-SNVBAGLBSA-N -1 1 302.352 1.294 20 0 DDADMM O=S(=O)([N-]CCc1cc(F)ccc1F)c1ccns1 ZINC001364788654 889418857 /nfs/dbraw/zinc/41/88/57/889418857.db2.gz NIOUYGZUERWBFP-UHFFFAOYSA-N -1 1 304.343 1.942 20 0 DDADMM COC[C@@H](NC(=O)CCOc1cc(C)ccc1C)c1nn[n-]n1 ZINC001364811423 889465817 /nfs/dbraw/zinc/46/58/17/889465817.db2.gz AHFYWJBHYURZMO-GFCCVEGCSA-N -1 1 319.365 1.089 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccc(F)cc1)c1c[nH]nc1Cl ZINC001364827106 889494046 /nfs/dbraw/zinc/49/40/46/889494046.db2.gz LXCBEKNFSSIHGX-SECBINFHSA-N -1 1 319.745 1.214 20 0 DDADMM COC(=O)c1ccc(C[N-]S(=O)(=O)c2cscn2)o1 ZINC001364832348 889503447 /nfs/dbraw/zinc/50/34/47/889503447.db2.gz GCSUPCHTYNGQIN-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@H]1CCC2(CCC2)O1 ZINC001364942075 889720877 /nfs/dbraw/zinc/72/08/77/889720877.db2.gz IZQNGPYAUMXJLR-SNVBAGLBSA-N -1 1 315.395 1.335 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCC2(CCC2)CO1 ZINC001364967156 889777662 /nfs/dbraw/zinc/77/76/62/889777662.db2.gz WLMQBUBYORRAQX-SNVBAGLBSA-N -1 1 315.395 1.193 20 0 DDADMM CC[C@@H](C)CCC(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001385932852 890016042 /nfs/dbraw/zinc/01/60/42/890016042.db2.gz OWPXPWWLOQZRDZ-JHJVBQTASA-N -1 1 319.405 1.991 20 0 DDADMM [O-]c1ccc(Br)c(CN2C[C@H](O)[C@@H](CO)C2)c1F ZINC001238305003 890162964 /nfs/dbraw/zinc/16/29/64/890162964.db2.gz JCMNIGQVJKSKIM-HQJQHLMTSA-N -1 1 320.158 1.079 20 0 DDADMM COC(=O)c1cc2n(n1)CCC[C@@H]2NC(=O)c1ncccc1[O-] ZINC001365144392 890190740 /nfs/dbraw/zinc/19/07/40/890190740.db2.gz JLAIXVFBLSEPOW-VIFPVBQESA-N -1 1 316.317 1.035 20 0 DDADMM Cn1cc([C@H]2CCCN(C(=O)c3ccc(F)c([O-])c3)C2)nn1 ZINC001365150385 890199573 /nfs/dbraw/zinc/19/95/73/890199573.db2.gz FPVBYSLCJDGFPA-NSHDSACASA-N -1 1 304.325 1.680 20 0 DDADMM COC(=O)c1cc(C2CN(C(=O)c3cccc([O-])c3F)C2)[nH]n1 ZINC001365163704 890231762 /nfs/dbraw/zinc/23/17/62/890231762.db2.gz SXBRJMTZJWFGHJ-UHFFFAOYSA-N -1 1 319.292 1.281 20 0 DDADMM Cn1[n-]c(CN2CC3(CCOCC3)[C@@H]2c2ccccc2)nc1=O ZINC001365306754 890552145 /nfs/dbraw/zinc/55/21/45/890552145.db2.gz XZWYJSVGJDTGBN-HNNXBMFYSA-N -1 1 314.389 1.462 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(OC)ns1)[C@H]1CCCOC1 ZINC001365456938 890830718 /nfs/dbraw/zinc/83/07/18/890830718.db2.gz BSQSCRGIDDGCJL-VHSXEESVSA-N -1 1 320.436 1.635 20 0 DDADMM CC[C@@]1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CCNC1=O ZINC001290783012 913514473 /nfs/dbraw/zinc/51/44/73/913514473.db2.gz SLMRIEJTIGYOOM-MRXNPFEDSA-N -1 1 314.345 1.637 20 0 DDADMM CC(=O)Nc1nc2nc[nH]c2c(-c2ccc(C(=O)[O-])c(F)c2)n1 ZINC001241183065 891128155 /nfs/dbraw/zinc/12/81/55/891128155.db2.gz JGLIVYWTZZSBLF-UHFFFAOYSA-N -1 1 315.264 1.767 20 0 DDADMM C[C@@H](CNC(=O)Cc1cccs1)NC(=O)c1ncccc1[O-] ZINC001386618974 891316280 /nfs/dbraw/zinc/31/62/80/891316280.db2.gz LOTXTWKOWLUVNY-JTQLQIEISA-N -1 1 319.386 1.326 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C/C(Cl)=C/Cl)CC1 ZINC001365692391 891338524 /nfs/dbraw/zinc/33/85/24/891338524.db2.gz QIVUYRHOOMYLLT-NTMALXAHSA-N -1 1 316.188 1.864 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@H](C)C1CC1 ZINC001386738851 891603308 /nfs/dbraw/zinc/60/33/08/891603308.db2.gz DYRFXFMVHYCCRH-QWRGUYRKSA-N -1 1 305.378 1.458 20 0 DDADMM O=C([O-])c1cc(-c2cc(N3CCOCC3)ccn2)cnc1F ZINC001244752552 891903218 /nfs/dbraw/zinc/90/32/18/891903218.db2.gz MHIKMJNLTJIKIK-UHFFFAOYSA-N -1 1 303.293 1.818 20 0 DDADMM CS[C@@H](C)C(=O)NCCN(C(=O)c1ncccc1[O-])C1CC1 ZINC001387466720 893166835 /nfs/dbraw/zinc/16/68/35/893166835.db2.gz PIHMXNMRILFDQQ-JTQLQIEISA-N -1 1 323.418 1.260 20 0 DDADMM CN(CCNC(=O)c1cccc(F)c1)C(=O)c1ncccc1[O-] ZINC001387613670 893461979 /nfs/dbraw/zinc/46/19/79/893461979.db2.gz QQKHPZVKFYPFGP-UHFFFAOYSA-N -1 1 317.320 1.428 20 0 DDADMM O=C(NC(=S)NCC[P@@](=O)([O-])O)c1ccc(Cl)cc1 ZINC001248213295 893571604 /nfs/dbraw/zinc/57/16/04/893571604.db2.gz YBJSZVUXDUSCJE-UHFFFAOYSA-N -1 1 322.710 1.122 20 0 DDADMM CCOC(=O)c1nnsc1[N-]c1ccc(NC(C)=O)nc1 ZINC001249743467 894114515 /nfs/dbraw/zinc/11/45/15/894114515.db2.gz FCXBZKPXZZWGQI-UHFFFAOYSA-N -1 1 307.335 1.812 20 0 DDADMM COc1nc(OC)c(Nc2cc(=O)[n-]c(N(C)C)n2)cc1C ZINC001250181581 894206787 /nfs/dbraw/zinc/20/67/87/894206787.db2.gz AAMYFRISYVPIKH-UHFFFAOYSA-N -1 1 305.338 1.712 20 0 DDADMM CCOC(=O)c1nc2cc(S(N)(=O)=O)c(Cl)cc2[n-]1 ZINC001250550136 894327807 /nfs/dbraw/zinc/32/78/07/894327807.db2.gz QORWOGCEBUKJFS-UHFFFAOYSA-N -1 1 303.727 1.040 20 0 DDADMM Cc1[nH]c(=O)[nH]c(=O)c1-c1nc2cc(S(C)(=O)=O)ccc2[n-]1 ZINC001250697405 894367036 /nfs/dbraw/zinc/36/70/36/894367036.db2.gz HEUILMDWGTYKMN-UHFFFAOYSA-N -1 1 320.330 1.143 20 0 DDADMM CC(=O)Nc1nc(C)c(S(=O)(=O)[N-]CC(C)(F)F)s1 ZINC001250751427 894386848 /nfs/dbraw/zinc/38/68/48/894386848.db2.gz GEZHQVNAOHVKBF-UHFFFAOYSA-N -1 1 313.351 1.343 20 0 DDADMM C[C@H]1CCC[C@H](C(=O)NCCN(C)C(=O)c2ncccc2[O-])C1 ZINC001388026107 894392483 /nfs/dbraw/zinc/39/24/83/894392483.db2.gz IPYFZSSOFLZKCE-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM CC[C@@H](CNC(=O)C(C)(C)COC)NC(=O)c1ncccc1[O-] ZINC001388121788 894601027 /nfs/dbraw/zinc/60/10/27/894601027.db2.gz JNAOLKKGJARJSX-NSHDSACASA-N -1 1 323.393 1.084 20 0 DDADMM CC1CCC(C)(C(=O)NCCNC(=O)c2ncccc2[O-])CC1 ZINC001292666670 913908300 /nfs/dbraw/zinc/90/83/00/913908300.db2.gz TYSPRHMNFQKYII-UHFFFAOYSA-N -1 1 319.405 1.850 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cccc3c2CNC(=O)C3)cc1 ZINC001252197488 895034685 /nfs/dbraw/zinc/03/46/85/895034685.db2.gz CXTRKSXNFMAIDD-UHFFFAOYSA-N -1 1 316.382 1.968 20 0 DDADMM CC(C)=C(C)CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001278660834 895086819 /nfs/dbraw/zinc/08/68/19/895086819.db2.gz AMODIVUCVSENDL-GFCCVEGCSA-N -1 1 321.425 1.583 20 0 DDADMM CCS(=O)(=O)[N-][C@H]1CCN(Cc2ccccc2)CC1(F)F ZINC001253421455 895841529 /nfs/dbraw/zinc/84/15/29/895841529.db2.gz FAWYTTUXJYXZHK-ZDUSSCGKSA-N -1 1 318.389 1.836 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)CNC(=O)C2 ZINC001254619748 896539714 /nfs/dbraw/zinc/53/97/14/896539714.db2.gz VGSFSDDGYDRZOP-UHFFFAOYSA-N -1 1 316.382 1.968 20 0 DDADMM CCCC[C@H](C)C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001389329527 896950735 /nfs/dbraw/zinc/95/07/35/896950735.db2.gz RAULDLNPZJPZQP-RYUDHWBXSA-N -1 1 307.394 1.848 20 0 DDADMM NC(=O)Cc1cccc([N-]S(=O)(=O)c2cccc(F)c2)c1 ZINC001255647151 897068057 /nfs/dbraw/zinc/06/80/57/897068057.db2.gz ACLRVSZYSZQVRR-UHFFFAOYSA-N -1 1 308.334 1.654 20 0 DDADMM O=C(NCc1ccc(-c2nn[nH]n2)cc1)c1cccc([O-])c1F ZINC001292976331 914134354 /nfs/dbraw/zinc/13/43/54/914134354.db2.gz AUZMNDCECKGHQP-UHFFFAOYSA-N -1 1 313.292 1.641 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)CN(C)Cc2cncc(C)c2)c1[O-] ZINC001367793546 897814773 /nfs/dbraw/zinc/81/47/73/897814773.db2.gz IHPFPXTVROCRKJ-LLVKDONJSA-N -1 1 317.393 1.377 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1cnncc1Cl ZINC001258352579 898148294 /nfs/dbraw/zinc/14/82/94/898148294.db2.gz SDRVEQXPJDVTPS-UHFFFAOYSA-N -1 1 322.177 1.626 20 0 DDADMM CCOc1ccnc(C[N-]S(=O)(=O)c2c(C)noc2C)c1 ZINC001258790478 898321205 /nfs/dbraw/zinc/32/12/05/898321205.db2.gz DFQWKVUBESYUME-UHFFFAOYSA-N -1 1 311.363 1.564 20 0 DDADMM CCOC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC001258949278 898376732 /nfs/dbraw/zinc/37/67/32/898376732.db2.gz SKZREVYJMVETLY-WAAGHKOSSA-N -1 1 319.329 1.585 20 0 DDADMM COC(=O)[C@H]1C[C@H]1C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258950839 898377777 /nfs/dbraw/zinc/37/77/77/898377777.db2.gz ZIRBRUGFUSUULS-CBAPKCEASA-N -1 1 305.302 1.052 20 0 DDADMM CC(C)(C)N1CC([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC001258951355 898378961 /nfs/dbraw/zinc/37/89/61/898378961.db2.gz ZXRPTNIPMUBKKU-UHFFFAOYSA-N -1 1 304.362 1.726 20 0 DDADMM O=c1cc(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)cc[nH]1 ZINC001259043168 898437088 /nfs/dbraw/zinc/43/70/88/898437088.db2.gz RVDVRENMGXTQOR-UHFFFAOYSA-N -1 1 318.276 1.683 20 0 DDADMM Cc1ncn(C)c1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259074771 898453116 /nfs/dbraw/zinc/45/31/16/898453116.db2.gz RESOMPWUXDHKOC-UHFFFAOYSA-N -1 1 305.281 1.947 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccccn1)c1cccc(F)c1 ZINC001259292338 898590724 /nfs/dbraw/zinc/59/07/24/898590724.db2.gz XEQRTAIAUKRNGC-CYBMUJFWSA-N -1 1 324.333 1.413 20 0 DDADMM O=C1CCC[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)CN1 ZINC001259460885 898680362 /nfs/dbraw/zinc/68/03/62/898680362.db2.gz WCMMTZVHWMLERT-VIFPVBQESA-N -1 1 320.773 1.426 20 0 DDADMM NC(=O)c1cc(F)cc([N-]S(=O)(=O)CCC(F)(F)F)c1 ZINC001259872950 898882192 /nfs/dbraw/zinc/88/21/92/898882192.db2.gz VJOUPDGOIMRQPO-UHFFFAOYSA-N -1 1 314.260 1.619 20 0 DDADMM CC(C)(C)OC(=O)N1CC(C)(C[N-]S(=O)(=O)C(F)F)C1 ZINC001259959380 898980763 /nfs/dbraw/zinc/98/07/63/898980763.db2.gz TWJLJNMNCILDNI-UHFFFAOYSA-N -1 1 314.354 1.385 20 0 DDADMM COC(=O)C[C@H]([N-]S(=O)(=O)C(F)F)c1cccc(O)c1 ZINC001259962011 898982953 /nfs/dbraw/zinc/98/29/53/898982953.db2.gz AZUUTHVQMLGJOW-VIFPVBQESA-N -1 1 309.290 1.138 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2cncc(CO)c2)c1 ZINC001260184677 899079492 /nfs/dbraw/zinc/07/94/92/899079492.db2.gz WUQDHIIIPCYARL-UHFFFAOYSA-N -1 1 308.315 1.073 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@@H](O)C1)c1cc(Cl)c(F)cc1F ZINC001260205257 899088642 /nfs/dbraw/zinc/08/86/42/899088642.db2.gz APZRAAXDLBWOPS-KNVOCYPGSA-N -1 1 311.737 1.667 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)CCN1 ZINC001260288693 899112765 /nfs/dbraw/zinc/11/27/65/899112765.db2.gz YMMKIHVHWBDAKZ-ZCFIWIBFSA-N -1 1 324.736 1.175 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2cccc3nonc32)c(F)n1 ZINC001260435526 899138592 /nfs/dbraw/zinc/13/85/92/899138592.db2.gz FBAJCIPETZBGTR-UHFFFAOYSA-N -1 1 308.294 1.866 20 0 DDADMM O=S(=O)([N-]c1cnncc1Cl)c1cccc2nonc21 ZINC001260435821 899139734 /nfs/dbraw/zinc/13/97/34/899139734.db2.gz VXKAOBKNNZILDK-UHFFFAOYSA-N -1 1 311.710 1.467 20 0 DDADMM CCO[C@@H](CC)C(=O)N[C@H](CC)CNC(=O)c1ncccc1[O-] ZINC001390382013 899298602 /nfs/dbraw/zinc/29/86/02/899298602.db2.gz NBKGRIPTWMWWQU-YPMHNXCESA-N -1 1 323.393 1.227 20 0 DDADMM O=C([O-])[C@@H](C[NH2+]Cc1ccn[nH]1)Cc1cc(F)c(F)c(F)c1 ZINC001262163291 899994860 /nfs/dbraw/zinc/99/48/60/899994860.db2.gz NWHUALAIPWPTCS-SECBINFHSA-N -1 1 313.279 1.860 20 0 DDADMM CN(Cc1ccns1)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001262851404 900392965 /nfs/dbraw/zinc/39/29/65/900392965.db2.gz HRRMHHOTFNSRRN-UHFFFAOYSA-N -1 1 310.774 1.347 20 0 DDADMM CCN(CC)c1nnc(Cc2nnn[n-]2)n1Cc1ccccc1 ZINC001263151782 900517575 /nfs/dbraw/zinc/51/75/75/900517575.db2.gz LTALKESINIHUQI-UHFFFAOYSA-N -1 1 312.381 1.277 20 0 DDADMM CCN(CC)c1nnc(Cc2nn[n-]n2)n1Cc1ccccc1 ZINC001263151782 900517581 /nfs/dbraw/zinc/51/75/81/900517581.db2.gz LTALKESINIHUQI-UHFFFAOYSA-N -1 1 312.381 1.277 20 0 DDADMM CC(C)(C)c1ccc(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)cc1F ZINC001263182057 900525469 /nfs/dbraw/zinc/52/54/69/900525469.db2.gz DZVSJMTUGRPGLX-MRXNPFEDSA-N -1 1 324.352 1.505 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](C1CCC1)[C@@H]1CCOC1 ZINC001263872905 900788165 /nfs/dbraw/zinc/78/81/65/900788165.db2.gz AZDVDXMYPJKBNE-ZYHUDNBSSA-N -1 1 323.418 1.714 20 0 DDADMM O=C(c1cnc2ccc(F)cc2c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001263886478 900799723 /nfs/dbraw/zinc/79/97/23/900799723.db2.gz LGZUTTXITWLDNW-SECBINFHSA-N -1 1 312.308 1.517 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001263911914 900813752 /nfs/dbraw/zinc/81/37/52/900813752.db2.gz WIZYKFMUUZXVCM-VSQXVHSFSA-N -1 1 316.340 1.228 20 0 DDADMM CC/C=C(\C)C(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001263980881 900853589 /nfs/dbraw/zinc/85/35/89/900853589.db2.gz KJQMLZFKXUAKRO-LXIVIQAZSA-N -1 1 321.425 1.583 20 0 DDADMM CC(C)CCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001369388371 901160721 /nfs/dbraw/zinc/16/07/21/901160721.db2.gz GYQAFTNJQQWXOY-NEPJUHHUSA-N -1 1 309.414 1.416 20 0 DDADMM CCN(CCCNC(=O)c1ccc(C)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001265143656 901622884 /nfs/dbraw/zinc/62/28/84/901622884.db2.gz OBNLADUVWKZDLX-UHFFFAOYSA-N -1 1 317.393 1.461 20 0 DDADMM C[C@H](CNC(=O)CCCCC(C)(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001265777819 902398893 /nfs/dbraw/zinc/39/88/93/902398893.db2.gz UZNRDFPOERWDQS-LLVKDONJSA-N -1 1 311.430 1.711 20 0 DDADMM CC[C@@H](CNC(=O)C1(C2CCC2)CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001391713462 902421622 /nfs/dbraw/zinc/42/16/22/902421622.db2.gz XSUBVMOXDJEETE-LBPRGKRZSA-N -1 1 321.425 1.465 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H](CNC(=O)C(C)(C)F)C1CC1 ZINC001370391285 903063417 /nfs/dbraw/zinc/06/34/17/903063417.db2.gz BSXOBRKSUQIUOD-LLVKDONJSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@H](CNC(=O)[C@]1(C)C[C@H]2C[C@H]2C1)NC(=O)c1ncccc1[O-] ZINC001392291781 903789124 /nfs/dbraw/zinc/78/91/24/903789124.db2.gz BXLACGZVKTYRBZ-YXPOGWMNSA-N -1 1 317.389 1.458 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CC(C)(C)C ZINC001375059643 914819871 /nfs/dbraw/zinc/81/98/71/914819871.db2.gz MJDJCRJAJISPTB-NSHDSACASA-N -1 1 307.394 1.848 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)c1ccoc1Cl ZINC001393946756 908350782 /nfs/dbraw/zinc/35/07/82/908350782.db2.gz XXWNTNAQCDXHJL-RNFRBKRXSA-N -1 1 313.745 1.053 20 0 DDADMM C[C@@H](NC(=O)C1(C)CCCCC1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001393964309 908397870 /nfs/dbraw/zinc/39/78/70/908397870.db2.gz CDFWGDSWZKIFLW-WDEREUQCSA-N -1 1 309.414 1.464 20 0 DDADMM CCCC[C@@H](C)C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001373413189 909792863 /nfs/dbraw/zinc/79/28/63/909792863.db2.gz GXGOPUOSTAJFHA-GFCCVEGCSA-N -1 1 307.394 1.802 20 0 DDADMM CCN(CCNC(=O)CC1CCC1)C(=O)c1ncccc1[O-] ZINC001373782924 910967761 /nfs/dbraw/zinc/96/77/61/910967761.db2.gz NWRMMPFNTDGZMJ-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001294290651 915041527 /nfs/dbraw/zinc/04/15/27/915041527.db2.gz UBNHCLSTCHHENZ-CHWSQXEVSA-N -1 1 319.405 1.658 20 0 DDADMM Cc1cc(CN[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])no1 ZINC001377794274 923063203 /nfs/dbraw/zinc/06/32/03/923063203.db2.gz YWDLCERHBUDAIS-QWRGUYRKSA-N -1 1 304.350 1.380 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@H](C)[C@H](C)C3)nc2n1 ZINC000622869989 365550719 /nfs/dbraw/zinc/55/07/19/365550719.db2.gz OFJZUUQYPYUEPP-IQJOONFLSA-N -1 1 303.366 1.737 20 0 DDADMM CCc1cccc(C(=O)Nc2nc3nc(CC)cc(=O)n3[n-]2)n1 ZINC000622993183 365585172 /nfs/dbraw/zinc/58/51/72/365585172.db2.gz DUVJNRUJCSIOAJ-UHFFFAOYSA-N -1 1 312.333 1.190 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CCc3ccccc3)nc2n1 ZINC000622995814 365588028 /nfs/dbraw/zinc/58/80/28/365588028.db2.gz QFONFCJZESQPGF-UHFFFAOYSA-N -1 1 311.345 1.551 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3CCC)nc2n1 ZINC000622997821 365591160 /nfs/dbraw/zinc/59/11/60/365591160.db2.gz MSYJWWDXMPFGGO-MWLCHTKSSA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@]3(C)CC3(F)F)nc2n1 ZINC000622997764 365591834 /nfs/dbraw/zinc/59/18/34/365591834.db2.gz KUPPCJDKJLGISV-GFCCVEGCSA-N -1 1 311.292 1.354 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnc(Cc2ccc(F)cc2)s1 ZINC000076964297 185061976 /nfs/dbraw/zinc/06/19/76/185061976.db2.gz ZFVLREQVAGRKGB-UHFFFAOYSA-N -1 1 304.310 1.638 20 0 DDADMM CNC(=O)[C@]1(C)CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000614358154 361821443 /nfs/dbraw/zinc/82/14/43/361821443.db2.gz IMCGOTFLSWEAKQ-QGZVFWFLSA-N -1 1 313.357 1.539 20 0 DDADMM O=C(c1c[nH]c2cccnc12)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614387920 361832929 /nfs/dbraw/zinc/83/29/29/361832929.db2.gz DDJKDYHJLLIFBU-SECBINFHSA-N -1 1 313.317 1.671 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)[C@@H]1CCCO1 ZINC000614392680 361835799 /nfs/dbraw/zinc/83/57/99/361835799.db2.gz ZTMKEWXQFBKTDH-WOPDTQHZSA-N -1 1 309.366 1.686 20 0 DDADMM O=C(c1ccc(O)cc1O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614389862 361832535 /nfs/dbraw/zinc/83/25/35/361832535.db2.gz QCSNYZXTASTPKH-QMMMGPOBSA-N -1 1 305.290 1.206 20 0 DDADMM O=C(c1coc(C2CC2)n1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474385 361874695 /nfs/dbraw/zinc/87/46/95/361874695.db2.gz SUEOMLBCJIXHSJ-UHFFFAOYSA-N -1 1 304.306 1.660 20 0 DDADMM CC(C)(C)C[C@@H](O)CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474440 361876385 /nfs/dbraw/zinc/87/63/85/361876385.db2.gz UOLWDVWEQORYMD-NSHDSACASA-N -1 1 311.382 1.668 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2sccc2Cl)CN1C1CC1 ZINC000451277384 231054784 /nfs/dbraw/zinc/05/47/84/231054784.db2.gz ROEBJZRPFLYITJ-SSDOTTSWSA-N -1 1 320.823 1.443 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2(SC)CCC2)c1 ZINC000278690270 214329219 /nfs/dbraw/zinc/32/92/19/214329219.db2.gz GUXPZKKAORVQQQ-UHFFFAOYSA-N -1 1 319.404 1.630 20 0 DDADMM O=C(NCCF)c1ccc(I)c([O-])c1 ZINC000306389581 231093882 /nfs/dbraw/zinc/09/38/82/231093882.db2.gz YVDZVXDZPHTGQB-UHFFFAOYSA-N -1 1 309.078 1.696 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)[C@H]1CCCO1 ZINC000278708490 214342450 /nfs/dbraw/zinc/34/24/50/214342450.db2.gz KIMRTRYSDZARTI-WDEREUQCSA-N -1 1 321.345 1.437 20 0 DDADMM C[C@@H]1CO[C@H](c2cccc(Cl)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC000328605832 231243371 /nfs/dbraw/zinc/24/33/71/231243371.db2.gz JWVOKALCDVPCJQ-MFKMUULPSA-N -1 1 322.796 1.724 20 0 DDADMM CC[C@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccccc1 ZINC000416134312 529580712 /nfs/dbraw/zinc/58/07/12/529580712.db2.gz JBEGTUBHMYQZGI-JTQLQIEISA-N -1 1 303.318 1.778 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CN(C)C(=O)c2ccccc21 ZINC000615219770 362200764 /nfs/dbraw/zinc/20/07/64/362200764.db2.gz BXKAJMVMMPWTBL-LLVKDONJSA-N -1 1 300.318 1.232 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(F)cc(N2CCOCC2)c1 ZINC000615227478 362204485 /nfs/dbraw/zinc/20/44/85/362204485.db2.gz GKPGIUROKXYQHH-UHFFFAOYSA-N -1 1 320.324 1.652 20 0 DDADMM CCc1cc(Oc2ccc([N-]S(C)(=O)=O)nc2)nc(C)n1 ZINC000340899552 539339401 /nfs/dbraw/zinc/33/94/01/539339401.db2.gz HWMRIAGUWWUXFT-UHFFFAOYSA-N -1 1 308.363 1.906 20 0 DDADMM COCCOc1ncccc1CNC(=O)c1ccc([O-])cc1F ZINC000272247504 282317661 /nfs/dbraw/zinc/31/76/61/282317661.db2.gz OJVSFHAJABSXEO-UHFFFAOYSA-N -1 1 320.320 1.882 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc3ccccc3[nH]2)n[n-]1 ZINC000615917415 362486222 /nfs/dbraw/zinc/48/62/22/362486222.db2.gz MLPOBNUHDPHERU-UHFFFAOYSA-N -1 1 313.317 1.393 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc3ccccc3[nH]2)n1 ZINC000615917415 362486226 /nfs/dbraw/zinc/48/62/26/362486226.db2.gz MLPOBNUHDPHERU-UHFFFAOYSA-N -1 1 313.317 1.393 20 0 DDADMM CCOc1nc(C(=O)N=c2[nH][n-]c(C)c2Br)co1 ZINC000616009121 362518704 /nfs/dbraw/zinc/51/87/04/362518704.db2.gz PJXAMYUJPGVLGW-UHFFFAOYSA-N -1 1 315.127 1.542 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCc1cccnc1 ZINC000109044014 185548512 /nfs/dbraw/zinc/54/85/12/185548512.db2.gz HQTWTTDTNPRSEO-UHFFFAOYSA-N -1 1 312.329 1.320 20 0 DDADMM OCCN1CCCC[C@@H]1CN=c1nc(C2CCCC2)[n-]s1 ZINC000564557373 304001067 /nfs/dbraw/zinc/00/10/67/304001067.db2.gz MGMYITDEIZCCKG-CYBMUJFWSA-N -1 1 310.467 1.876 20 0 DDADMM O=C(NC[C@H](CO)[C@@H]1CCOC1)c1c(F)ccc([O-])c1F ZINC000564613547 304003814 /nfs/dbraw/zinc/00/38/14/304003814.db2.gz ZYOVYDQDOSCECN-RKDXNWHRSA-N -1 1 301.289 1.045 20 0 DDADMM CCCCn1cc(C(=O)N[C@H](CCC)c2nn[n-]n2)c(C)n1 ZINC000186046317 186235113 /nfs/dbraw/zinc/23/51/13/186235113.db2.gz OKTUBHCRXNAFML-GFCCVEGCSA-N -1 1 305.386 1.776 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3cccs3)[nH]n2)C[C@H]1C(=O)[O-] ZINC000262909123 186323011 /nfs/dbraw/zinc/32/30/11/186323011.db2.gz FMSBLLHJVVXOBG-RKDXNWHRSA-N -1 1 305.359 1.931 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2[C@H](C)CCC[C@@H]2C)o1 ZINC000172514805 198108388 /nfs/dbraw/zinc/10/83/88/198108388.db2.gz NTYOEQUKTGDNIB-HWYHXSKPSA-N -1 1 314.407 1.742 20 0 DDADMM COCc1ccccc1C[N-]S(=O)(=O)c1c(C)noc1C ZINC000028055601 352226756 /nfs/dbraw/zinc/22/67/56/352226756.db2.gz JQOMMGBGYFZBCS-UHFFFAOYSA-N -1 1 310.375 1.916 20 0 DDADMM CCCCCNC(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000047344311 352491704 /nfs/dbraw/zinc/49/17/04/352491704.db2.gz FMUBSZVITJCXPC-UHFFFAOYSA-N -1 1 314.389 1.989 20 0 DDADMM COc1ccc(NC(=O)c2cc[nH]n2)cc1[N-]S(C)(=O)=O ZINC000052280861 352607354 /nfs/dbraw/zinc/60/73/54/352607354.db2.gz IXYSJTSAZYXFRC-UHFFFAOYSA-N -1 1 310.335 1.042 20 0 DDADMM O=C(CCCOc1ccccc1Cl)NN1CC(=O)[N-]C1=O ZINC000051340977 352584809 /nfs/dbraw/zinc/58/48/09/352584809.db2.gz JQJWIYUHARVIHG-UHFFFAOYSA-N -1 1 311.725 1.082 20 0 DDADMM COCC1(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCCC1 ZINC000331879973 234228307 /nfs/dbraw/zinc/22/83/07/234228307.db2.gz GBLAZGNFTOFBJP-UHFFFAOYSA-N -1 1 309.366 1.688 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2(C)CCCC2)o1 ZINC000066443319 352996899 /nfs/dbraw/zinc/99/68/99/352996899.db2.gz ZFJPMOGASLPUHF-UHFFFAOYSA-N -1 1 300.380 1.498 20 0 DDADMM C[C@H](Oc1ccc(C(C)(C)C)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000070902542 353186103 /nfs/dbraw/zinc/18/61/03/353186103.db2.gz ROXPJNQFIXWMEX-JTQLQIEISA-N -1 1 319.361 1.334 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2cccc(OCC(N)=O)c2)c1 ZINC000073883863 353276663 /nfs/dbraw/zinc/27/66/63/353276663.db2.gz WAUBXDQTRSYKRN-UHFFFAOYSA-N -1 1 320.370 1.660 20 0 DDADMM COc1cccc(O[C@@H](C)CNC(=O)c2cncc([O-])c2)c1 ZINC000174525439 198347362 /nfs/dbraw/zinc/34/73/62/198347362.db2.gz SSHXLIJPZPBUOE-NSHDSACASA-N -1 1 302.330 1.993 20 0 DDADMM Cn1ccc(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)c1 ZINC000091160799 353813921 /nfs/dbraw/zinc/81/39/21/353813921.db2.gz KQNBYESSDWMJPO-UHFFFAOYSA-N -1 1 311.338 1.788 20 0 DDADMM CSCCCCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000126278062 354053143 /nfs/dbraw/zinc/05/31/43/354053143.db2.gz NBXOJOGAMCKRBW-UHFFFAOYSA-N -1 1 322.434 1.441 20 0 DDADMM C[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1cccs1 ZINC000130701100 354095972 /nfs/dbraw/zinc/09/59/72/354095972.db2.gz KDFNBTCAOUXBMM-LURJTMIESA-N -1 1 300.365 1.012 20 0 DDADMM C[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1cccs1 ZINC000130701100 354095974 /nfs/dbraw/zinc/09/59/74/354095974.db2.gz KDFNBTCAOUXBMM-LURJTMIESA-N -1 1 300.365 1.012 20 0 DDADMM CCOC(=O)c1csc(CNC(=O)c2ncccc2[O-])n1 ZINC000358652903 299214023 /nfs/dbraw/zinc/21/40/23/299214023.db2.gz UEUYYWJKIILWRX-UHFFFAOYSA-N -1 1 307.331 1.350 20 0 DDADMM CCO[C@H]1C[C@H](NC(=O)c2ncc(C)cc2[O-])C12CCOCC2 ZINC000333015629 354574651 /nfs/dbraw/zinc/57/46/51/354574651.db2.gz RLCQCZWCJHCUCU-KBPBESRZSA-N -1 1 320.389 1.800 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000399228144 354657460 /nfs/dbraw/zinc/65/74/60/354657460.db2.gz FROBYTFVPFGSSO-LLVKDONJSA-N -1 1 323.349 1.141 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1C[C@H]1c1ccc(C)cc1 ZINC000584837068 354779715 /nfs/dbraw/zinc/77/97/15/354779715.db2.gz WPZRMYHKMIWLQR-NWDGAFQWSA-N -1 1 307.375 1.538 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@H]1c1ccc(C)cc1 ZINC000584837068 354779718 /nfs/dbraw/zinc/77/97/18/354779718.db2.gz WPZRMYHKMIWLQR-NWDGAFQWSA-N -1 1 307.375 1.538 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2ccc(F)c(C(N)=O)c2)c1 ZINC000589430450 354989516 /nfs/dbraw/zinc/98/95/16/354989516.db2.gz BBBFZGVIXAXONR-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM CCOC(=O)c1cnc(C)c(C(=O)Nc2c(C)[n-][nH]c2=O)c1 ZINC000590398556 355084810 /nfs/dbraw/zinc/08/48/10/355084810.db2.gz PRHWAAWXLGCVCQ-UHFFFAOYSA-N -1 1 304.306 1.556 20 0 DDADMM COC(=O)c1conc1C(C)(C)NC(=O)c1cncc([O-])c1 ZINC000591834354 355393197 /nfs/dbraw/zinc/39/31/97/355393197.db2.gz OAKFNZZKGJDFET-UHFFFAOYSA-N -1 1 305.290 1.227 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1C[C@@H]2C[C@H]1C[S@]2=O ZINC000593870322 356032097 /nfs/dbraw/zinc/03/20/97/356032097.db2.gz PERSHHMJHKIXKM-QSRBQVKKSA-N -1 1 301.367 1.891 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc3c([nH]2)CCOC3)n1 ZINC000593901367 356048037 /nfs/dbraw/zinc/04/80/37/356048037.db2.gz NKDJGUOCCFBRLR-UHFFFAOYSA-N -1 1 304.306 1.240 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@H](C)O1 ZINC000593843379 356019903 /nfs/dbraw/zinc/01/99/03/356019903.db2.gz OCJDEYHCSWKWFY-XVKPBYJWSA-N -1 1 315.272 1.073 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2cocn2)c(F)c1 ZINC000594040911 356096240 /nfs/dbraw/zinc/09/62/40/356096240.db2.gz UGYKHUSYKWKQHV-UHFFFAOYSA-N -1 1 304.274 1.440 20 0 DDADMM COC(=O)[C@](C)(CCF)[N-]S(=O)(=O)CCC(F)(F)F ZINC000594863530 356343214 /nfs/dbraw/zinc/34/32/14/356343214.db2.gz AJJARBRSWIVMHV-QMMMGPOBSA-N -1 1 309.281 1.150 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCc2ccoc2)cc1C ZINC000595333428 356453189 /nfs/dbraw/zinc/45/31/89/356453189.db2.gz DRVFYGDDYPSMNO-UHFFFAOYSA-N -1 1 313.331 1.489 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2CC[C@H](C)O2)cc1C ZINC000595339612 356455150 /nfs/dbraw/zinc/45/51/50/356455150.db2.gz ZIVVLTWXOLNFIB-VHSXEESVSA-N -1 1 317.363 1.220 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCCSC)cc1C ZINC000595311381 356443491 /nfs/dbraw/zinc/44/34/91/356443491.db2.gz JPAXROWAOOCHOZ-UHFFFAOYSA-N -1 1 307.393 1.406 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2cncc(C)c2)cc1C ZINC000595321175 356448370 /nfs/dbraw/zinc/44/83/70/356448370.db2.gz KOVZAZROZNZKHX-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C2CC2)C2CC2)o1 ZINC000595363529 356465713 /nfs/dbraw/zinc/46/57/13/356465713.db2.gz FBGYEDMQHJQYIK-UHFFFAOYSA-N -1 1 313.375 1.923 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C(=O)OC)oc1C)c1nn[n-]n1 ZINC000597360355 357148925 /nfs/dbraw/zinc/14/89/25/357148925.db2.gz ISRLDKDHDWZQPF-VIFPVBQESA-N -1 1 307.310 1.159 20 0 DDADMM CCc1nocc1C(=O)[N-]c1nnc(CCC(=O)OC)s1 ZINC000597271991 357116858 /nfs/dbraw/zinc/11/68/58/357116858.db2.gz YIQJHJJWYLBLPO-UHFFFAOYSA-N -1 1 310.335 1.446 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(C(=O)OC(C)(C)C)CCC1)c1nn[n-]n1 ZINC000597511774 357202884 /nfs/dbraw/zinc/20/28/84/357202884.db2.gz ZVIKOFCPMIIVFW-JTQLQIEISA-N -1 1 323.397 1.274 20 0 DDADMM COC(=O)[C@H]1C[C@@H](N(C)C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000598422820 357572775 /nfs/dbraw/zinc/57/27/75/357572775.db2.gz BLUNCPNMVPEDJI-TXEJJXNPSA-N -1 1 314.341 1.964 20 0 DDADMM COC(=O)C[C@@H](CNC(=O)c1csc(=NC2CC2)[n-]1)C1CC1 ZINC000598276665 357519688 /nfs/dbraw/zinc/51/96/88/357519688.db2.gz SMXXWDIBEQTQAJ-JTQLQIEISA-N -1 1 323.418 1.458 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3c(c2)CCOC3)n1 ZINC000598728652 357705876 /nfs/dbraw/zinc/70/58/76/357705876.db2.gz KINQQESVYLMSFF-UHFFFAOYSA-N -1 1 315.329 1.911 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(CC)c[nH]c2=O)n1 ZINC000598728069 357705898 /nfs/dbraw/zinc/70/58/98/357705898.db2.gz FRWBFNGECNDQKO-UHFFFAOYSA-N -1 1 304.306 1.502 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)[C@H](OC)c1cccc(OC)c1 ZINC000598846238 357743887 /nfs/dbraw/zinc/74/38/87/357743887.db2.gz IHWVGYHNWCHSNJ-GFCCVEGCSA-N -1 1 319.317 1.531 20 0 DDADMM CCOC(=O)c1cc(=NC(=O)[C@H]2C[C@H](C)Cc3cn[nH]c32)[nH][n-]1 ZINC000598942224 357767852 /nfs/dbraw/zinc/76/78/52/357767852.db2.gz NWUUVQFGWCXJRS-SCZZXKLOSA-N -1 1 317.349 1.036 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]CC2CCC2)c1F ZINC000599212752 357852084 /nfs/dbraw/zinc/85/20/84/357852084.db2.gz FDHCOWFIAKFAMC-UHFFFAOYSA-N -1 1 319.329 1.830 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C)c1F ZINC000599215035 357852652 /nfs/dbraw/zinc/85/26/52/357852652.db2.gz ATCWTBDNTNHLCR-SFYZADRCSA-N -1 1 319.329 1.686 20 0 DDADMM O=C(CCc1ncc(-c2ccccc2F)o1)NCc1nn[n-]n1 ZINC000599334449 357897743 /nfs/dbraw/zinc/89/77/43/357897743.db2.gz MQVNAVBHNRCIHG-UHFFFAOYSA-N -1 1 316.296 1.243 20 0 DDADMM Cc1ccc(-n2nc(C(=O)NCc3nn[n-]n3)c3c2CCC3)cc1 ZINC000599334783 357899024 /nfs/dbraw/zinc/89/90/24/357899024.db2.gz SZQVZKMWNCAZTE-UHFFFAOYSA-N -1 1 323.360 1.113 20 0 DDADMM COC(=O)c1oc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1C ZINC000599530508 357964963 /nfs/dbraw/zinc/96/49/63/357964963.db2.gz YZQHFWLTXFIBFH-UHFFFAOYSA-N -1 1 319.321 1.087 20 0 DDADMM CC(=O)c1ccc(-c2ccc(C(=O)NCc3nn[n-]n3)o2)cc1 ZINC000600494068 358240305 /nfs/dbraw/zinc/24/03/05/358240305.db2.gz FBPBYZKDCKDWOK-UHFFFAOYSA-N -1 1 311.301 1.592 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](C)C(=O)OC(C)C)sn1 ZINC000601358013 358514397 /nfs/dbraw/zinc/51/43/97/358514397.db2.gz BBVLHVLTRYYIRO-MRVPVSSYSA-N -1 1 306.409 1.318 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)[C@@H]1CCC[C@H]1OC)C(=O)OCC ZINC000601393302 358533157 /nfs/dbraw/zinc/53/31/57/358533157.db2.gz FMLKOBNNZRFLMU-DVPFHWFPSA-N -1 1 319.423 1.371 20 0 DDADMM C[C@H](CC[S@](C)=O)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000602911544 359289323 /nfs/dbraw/zinc/28/93/23/359289323.db2.gz DXZJLCNMPIAHOL-HOGDKLEQSA-N -1 1 303.811 1.861 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@H]2CCC[C@@H](C)C2)n[n-]1 ZINC000603019314 359361473 /nfs/dbraw/zinc/36/14/73/359361473.db2.gz UPXDDLYWYIAOFU-MNOVXSKESA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H]2CCC[C@@H](C)C2)n1 ZINC000603019314 359361477 /nfs/dbraw/zinc/36/14/77/359361477.db2.gz UPXDDLYWYIAOFU-MNOVXSKESA-N -1 1 308.382 1.814 20 0 DDADMM O=C(Cc1cccc(F)c1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000618488625 363650566 /nfs/dbraw/zinc/65/05/66/363650566.db2.gz YRYWISKJWZIRIJ-OAHLLOKOSA-N -1 1 311.320 1.787 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CC23CCCC3)n[n-]1 ZINC000603152645 359439591 /nfs/dbraw/zinc/43/95/91/359439591.db2.gz CYCZWBRAUVJKDX-UWVGGRQHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CC23CCCC3)[n-]1 ZINC000603152645 359439594 /nfs/dbraw/zinc/43/95/94/359439594.db2.gz CYCZWBRAUVJKDX-UWVGGRQHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CC23CCCC3)n1 ZINC000603152645 359439597 /nfs/dbraw/zinc/43/95/97/359439597.db2.gz CYCZWBRAUVJKDX-UWVGGRQHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCC[C@H]2CC)n[n-]1 ZINC000603153134 359440932 /nfs/dbraw/zinc/44/09/32/359440932.db2.gz GWINBDDCOIEJTF-AXFHLTTASA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCC[C@H]2CC)[n-]1 ZINC000603153134 359440937 /nfs/dbraw/zinc/44/09/37/359440937.db2.gz GWINBDDCOIEJTF-AXFHLTTASA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCC[C@H]2CC)n1 ZINC000603153134 359440942 /nfs/dbraw/zinc/44/09/42/359440942.db2.gz GWINBDDCOIEJTF-AXFHLTTASA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C(C2CC2)C2CC2)n[n-]1 ZINC000603154972 359442213 /nfs/dbraw/zinc/44/22/13/359442213.db2.gz NSXGTWNIUJAADK-MRVPVSSYSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C(C2CC2)C2CC2)[n-]1 ZINC000603154972 359442216 /nfs/dbraw/zinc/44/22/16/359442216.db2.gz NSXGTWNIUJAADK-MRVPVSSYSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C(C2CC2)C2CC2)n1 ZINC000603154972 359442218 /nfs/dbraw/zinc/44/22/18/359442218.db2.gz NSXGTWNIUJAADK-MRVPVSSYSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2ccccc2C)n[n-]1 ZINC000603167371 359451212 /nfs/dbraw/zinc/45/12/12/359451212.db2.gz WUTKWMRJVZNYFK-LLVKDONJSA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2ccccc2C)[n-]1 ZINC000603167371 359451215 /nfs/dbraw/zinc/45/12/15/359451215.db2.gz WUTKWMRJVZNYFK-LLVKDONJSA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2ccccc2C)n1 ZINC000603167371 359451216 /nfs/dbraw/zinc/45/12/16/359451216.db2.gz WUTKWMRJVZNYFK-LLVKDONJSA-N -1 1 316.361 1.710 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2 ZINC000603367320 359597199 /nfs/dbraw/zinc/59/71/99/359597199.db2.gz PHSFTLYXZNKTAC-NNYUYHANSA-N -1 1 319.423 1.204 20 0 DDADMM CC(C)c1nncn1CCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000281026002 216029594 /nfs/dbraw/zinc/02/95/94/216029594.db2.gz USBMHYMTZRZOJQ-UHFFFAOYSA-N -1 1 302.334 1.106 20 0 DDADMM CSCCNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000604574356 359772174 /nfs/dbraw/zinc/77/21/74/359772174.db2.gz XUGPVUUXHJLMPZ-UHFFFAOYSA-N -1 1 308.407 1.464 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC[C@@]2(C)CC2(F)F)co1 ZINC000618630722 363695080 /nfs/dbraw/zinc/69/50/80/363695080.db2.gz CBQBYKWMQVRYLA-NSHDSACASA-N -1 1 322.333 1.353 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)CCNC(=O)CC(C)C ZINC000610230960 360393255 /nfs/dbraw/zinc/39/32/55/360393255.db2.gz HWRPMMSQWCABIX-UHFFFAOYSA-N -1 1 324.381 1.386 20 0 DDADMM COC(=O)C1(CNC(=O)c2csc(=NC3CC3)[n-]2)CCCC1 ZINC000610882234 360562277 /nfs/dbraw/zinc/56/22/77/360562277.db2.gz BLGZRPWABYVZFK-UHFFFAOYSA-N -1 1 323.418 1.603 20 0 DDADMM O=C(NC[C@H]1CCCSC1)c1ccc2n[n-]c(=S)n2c1 ZINC000292423562 223069720 /nfs/dbraw/zinc/06/97/20/223069720.db2.gz VILHYERSNWBHLX-SECBINFHSA-N -1 1 308.432 1.891 20 0 DDADMM CO[C@@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)C1CCCCC1 ZINC000612814874 361154715 /nfs/dbraw/zinc/15/47/15/361154715.db2.gz FQDYJUFKBCJCDR-QWHCGFSZSA-N -1 1 307.398 1.501 20 0 DDADMM O=C(c1onc2c1CCCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612819071 361157073 /nfs/dbraw/zinc/15/70/73/361157073.db2.gz YHMOSYRHCBLWHU-VIFPVBQESA-N -1 1 302.338 1.086 20 0 DDADMM CC(C)C(=O)NCCN(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000613175591 361308276 /nfs/dbraw/zinc/30/82/76/361308276.db2.gz CYFGDYKHBKUMQV-UHFFFAOYSA-N -1 1 315.373 1.785 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnn2cccc(Cl)c12)c1nn[n-]n1 ZINC000613473304 361433610 /nfs/dbraw/zinc/43/36/10/361433610.db2.gz YPVRQDVLOVGYKA-QMMMGPOBSA-N -1 1 319.756 1.377 20 0 DDADMM COCC[C@@H](CO)NC(=O)c1ccc(Br)cc1[O-] ZINC000281539148 216369046 /nfs/dbraw/zinc/36/90/46/216369046.db2.gz JRMOKIFWKPQBPB-VIFPVBQESA-N -1 1 318.167 1.282 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@@H]1OC ZINC000613967305 361644371 /nfs/dbraw/zinc/64/43/71/361644371.db2.gz HVXVENIVBLDWQK-BETUJISGSA-N -1 1 302.330 1.426 20 0 DDADMM COCCCCS(=O)(=O)c1nnc(Cc2cccs2)[n-]1 ZINC000195158116 201349836 /nfs/dbraw/zinc/34/98/36/201349836.db2.gz XDOJMBUBPMCZQL-UHFFFAOYSA-N -1 1 315.420 1.657 20 0 DDADMM COCCCCS(=O)(=O)c1nc(Cc2cccs2)n[n-]1 ZINC000195158116 201349838 /nfs/dbraw/zinc/34/98/38/201349838.db2.gz XDOJMBUBPMCZQL-UHFFFAOYSA-N -1 1 315.420 1.657 20 0 DDADMM COCCCCS(=O)(=O)c1n[n-]c(Cc2cccs2)n1 ZINC000195158116 201349842 /nfs/dbraw/zinc/34/98/42/201349842.db2.gz XDOJMBUBPMCZQL-UHFFFAOYSA-N -1 1 315.420 1.657 20 0 DDADMM COCCN(C(=O)c1ncc2ccccc2c1[O-])[C@H]1CCOC1 ZINC000614155155 361726917 /nfs/dbraw/zinc/72/69/17/361726917.db2.gz KYAWLZKZMLSIOL-ZDUSSCGKSA-N -1 1 316.357 1.818 20 0 DDADMM CC(C)(C)c1nnc2n1CCN(C(=O)c1ncccc1[O-])C2 ZINC000620816009 364565889 /nfs/dbraw/zinc/56/58/89/364565889.db2.gz PPVGIBKASTWPFE-UHFFFAOYSA-N -1 1 301.350 1.332 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1cccnc1 ZINC000091160809 193009474 /nfs/dbraw/zinc/00/94/74/193009474.db2.gz BDTWLLNENIIPQL-UHFFFAOYSA-N -1 1 309.322 1.845 20 0 DDADMM CCC[C@H](NC(=O)[C@H](OC)c1ccc(F)cc1)c1nn[n-]n1 ZINC000626024443 367281120 /nfs/dbraw/zinc/28/11/20/367281120.db2.gz KRFCNIWLZZMZBN-NWDGAFQWSA-N -1 1 307.329 1.684 20 0 DDADMM O=C(c1nccc2ccsc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000626237914 367433479 /nfs/dbraw/zinc/43/34/79/367433479.db2.gz MBRIZDFPDQNBSK-JTQLQIEISA-N -1 1 314.374 1.829 20 0 DDADMM COc1ccc(-c2n[nH]c(CNC(=O)C(C)(C)C(=O)[O-])n2)cc1 ZINC000566287930 304138962 /nfs/dbraw/zinc/13/89/62/304138962.db2.gz VBZHEAHFVIEJLP-UHFFFAOYSA-N -1 1 318.333 1.207 20 0 DDADMM COc1ccc(-c2nc(CNC(=O)C(C)(C)C(=O)[O-])n[nH]2)cc1 ZINC000566287930 304138964 /nfs/dbraw/zinc/13/89/64/304138964.db2.gz VBZHEAHFVIEJLP-UHFFFAOYSA-N -1 1 318.333 1.207 20 0 DDADMM NC(=O)Nc1ccc(NC(=O)c2csc(=NC3CC3)[n-]2)cc1 ZINC000349806181 284060875 /nfs/dbraw/zinc/06/08/75/284060875.db2.gz JVULSHHTDZWLGX-UHFFFAOYSA-N -1 1 317.374 1.882 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2c(C)cccc2C(=O)[O-])cn1 ZINC000350013496 284131955 /nfs/dbraw/zinc/13/19/55/284131955.db2.gz GGCJYDTYNXHSGY-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM Cc1cc(NC(=O)[C@@H](C)N2C[C@@H]3CCC[C@@]3(C(=O)[O-])C2)on1 ZINC000262212336 203231305 /nfs/dbraw/zinc/23/13/05/203231305.db2.gz UIZPPRCPVAPBML-JRPNMDOOSA-N -1 1 307.350 1.497 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc(C)cc2F)C1 ZINC000635019394 422772777 /nfs/dbraw/zinc/77/27/77/422772777.db2.gz VUCUPINYMUCNIL-INIZCTEOSA-N -1 1 324.352 1.496 20 0 DDADMM CCCN(C(=O)CCc1nn[n-]n1)[C@H]1C[C@@H](OCC)C1(C)C ZINC000631452715 422773295 /nfs/dbraw/zinc/77/32/95/422773295.db2.gz YSVVVLHZMQBTDP-NWDGAFQWSA-N -1 1 309.414 1.575 20 0 DDADMM COC(=O)[C@@H]1CC[C@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000351352589 284320910 /nfs/dbraw/zinc/32/09/10/284320910.db2.gz NGQJFJJLMVEODO-VXGBXAGGSA-N -1 1 315.329 1.920 20 0 DDADMM CC(C)(C)C(=O)NCc1ccc(C(=O)Nc2nnn[n-]2)cc1 ZINC000265969342 205008246 /nfs/dbraw/zinc/00/82/46/205008246.db2.gz USPATSAIHZDJCB-UHFFFAOYSA-N -1 1 302.338 1.114 20 0 DDADMM CC(C)(C)C(=O)NCc1ccc(C(=O)Nc2nn[n-]n2)cc1 ZINC000265969342 205008250 /nfs/dbraw/zinc/00/82/50/205008250.db2.gz USPATSAIHZDJCB-UHFFFAOYSA-N -1 1 302.338 1.114 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(C)(C)SC)o1 ZINC000266474978 205373956 /nfs/dbraw/zinc/37/39/56/205373956.db2.gz TWNWIBAGROICKV-UHFFFAOYSA-N -1 1 307.393 1.486 20 0 DDADMM C[C@@H]1CN(C(=O)c2cncc([O-])c2)CCN1C(=O)OC(C)(C)C ZINC000266508441 205396363 /nfs/dbraw/zinc/39/63/63/205396363.db2.gz GJQBVHVXZVWGAJ-LLVKDONJSA-N -1 1 321.377 1.869 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCN2C(=O)OC[C@@H]2C1 ZINC000376192575 284628717 /nfs/dbraw/zinc/62/87/17/284628717.db2.gz AMUIJCBVDWLUTC-ZDUSSCGKSA-N -1 1 312.325 1.822 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)c2cnn(C)c2C)sc1C ZINC000333118148 248931056 /nfs/dbraw/zinc/93/10/56/248931056.db2.gz GTZXVLALFIKGKQ-MRVPVSSYSA-N -1 1 314.436 1.841 20 0 DDADMM Cc1nnc([C@H]2CCN(C(=O)c3c(F)ccc([O-])c3F)C2)[nH]1 ZINC000334133576 249227055 /nfs/dbraw/zinc/22/70/55/249227055.db2.gz WKVHUALLWWCAHP-QMMMGPOBSA-N -1 1 308.288 1.727 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]1OC(F)F)c1nc2ccccc2c(=O)[n-]1 ZINC000337039409 249324629 /nfs/dbraw/zinc/32/46/29/249324629.db2.gz LLNWAOPEPQDIOT-MNOVXSKESA-N -1 1 323.299 1.813 20 0 DDADMM CCOc1cc(C(=O)NCCOCCOC)cc(Cl)c1[O-] ZINC000273984794 211241558 /nfs/dbraw/zinc/24/15/58/211241558.db2.gz VPHUJLCIKLRBDF-UHFFFAOYSA-N -1 1 317.769 1.837 20 0 DDADMM CCOc1cc(C(=O)NCc2nncn2CC)cc(Cl)c1[O-] ZINC000273927332 211182333 /nfs/dbraw/zinc/18/23/33/211182333.db2.gz GXZLTMVNRCENLO-UHFFFAOYSA-N -1 1 324.768 1.986 20 0 DDADMM C[C@@H]1C[C@@H]1N1C[C@H]([N-]S(=O)(=O)c2sccc2F)CC1=O ZINC000338921822 250196739 /nfs/dbraw/zinc/19/67/39/250196739.db2.gz VJKWKDDUCLLRKO-MRTMQBJTSA-N -1 1 318.395 1.175 20 0 DDADMM Cc1nc2n(n1)C[C@@H]([N-]S(=O)(=O)c1sccc1F)CC2 ZINC000338884432 250180220 /nfs/dbraw/zinc/18/02/20/250180220.db2.gz BVJMRCYRFJXGCW-QMMMGPOBSA-N -1 1 316.383 1.080 20 0 DDADMM CCC[C@@H](C)C(=O)NCC[N-]S(=O)(=O)c1sccc1F ZINC000339000831 250242165 /nfs/dbraw/zinc/24/21/65/250242165.db2.gz WTYWXCOJOGEJQL-SECBINFHSA-N -1 1 322.427 1.718 20 0 DDADMM CCOCC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)OCC)o1 ZINC000339011671 250246589 /nfs/dbraw/zinc/24/65/89/250246589.db2.gz WDPYHYWMGKSZSB-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H]2CCC[C@H]2F)oc1C ZINC000339119530 250303574 /nfs/dbraw/zinc/30/35/74/250303574.db2.gz LIIIGNJJNQDOCO-NXEZZACHSA-N -1 1 305.327 1.544 20 0 DDADMM CCN(C[C@@H](O)C(F)(F)F)C(=O)C(=O)c1ccc([O-])cc1 ZINC000288595787 220271913 /nfs/dbraw/zinc/27/19/13/220271913.db2.gz PRVWLSGCMCSFBM-SNVBAGLBSA-N -1 1 305.252 1.347 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)c2c[nH]nc2C)cc1OC ZINC000110590309 194340387 /nfs/dbraw/zinc/34/03/87/194340387.db2.gz RCBFAJKOXICUOW-UHFFFAOYSA-N -1 1 315.326 1.675 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)Cc2ccc(Cl)c(F)c2)n1 ZINC000359740493 299526018 /nfs/dbraw/zinc/52/60/18/299526018.db2.gz SQAJJHKMXXTKCH-UHFFFAOYSA-N -1 1 319.745 1.790 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(Cc3cccnc3)no2)co1 ZINC000340644617 251149162 /nfs/dbraw/zinc/14/91/62/251149162.db2.gz CECNKZKWZNKUFX-UHFFFAOYSA-N -1 1 320.330 1.224 20 0 DDADMM COCc1ccc(S(=O)(=O)[N-]c2cccc(C(N)=O)c2)cc1 ZINC000340909319 251270615 /nfs/dbraw/zinc/27/06/15/251270615.db2.gz CYWIWFAVAGIZQB-UHFFFAOYSA-N -1 1 320.370 1.733 20 0 DDADMM Cn1cnc(CC[N-]S(=O)(=O)c2cc(Cl)ccc2F)n1 ZINC000340920752 251277876 /nfs/dbraw/zinc/27/78/76/251277876.db2.gz ZZEVBKJYVYDVLC-UHFFFAOYSA-N -1 1 318.761 1.129 20 0 DDADMM C/C=C\C[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OCC ZINC000340922997 251279643 /nfs/dbraw/zinc/27/96/43/251279643.db2.gz GWXXUNYNVIQAFS-AZVKUDOFSA-N -1 1 317.329 1.756 20 0 DDADMM Cc1cc(S(=O)(=O)NCCN(C)C2CC2)sc1C(=O)[O-] ZINC000061995380 184200638 /nfs/dbraw/zinc/20/06/38/184200638.db2.gz FEEHQMVMRXOEOK-UHFFFAOYSA-N -1 1 318.420 1.127 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)N1CC[C@H](N(C)C)C1 ZINC000062966634 184262820 /nfs/dbraw/zinc/26/28/20/184262820.db2.gz WIDNKWVQILQRKR-LBPRGKRZSA-N -1 1 312.391 1.018 20 0 DDADMM COc1cc(NC(=O)/C=C\C2CC2)ccc1[N-]S(C)(=O)=O ZINC000491901435 532962854 /nfs/dbraw/zinc/96/28/54/532962854.db2.gz AQMRFQKMILFKQZ-YVMONPNESA-N -1 1 310.375 1.971 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1(C)C ZINC000294969933 532973608 /nfs/dbraw/zinc/97/36/08/532973608.db2.gz LPSRZRQRCNWZEU-XXILOJSOSA-N -1 1 320.418 1.807 20 0 DDADMM CCC[C@H](NC(=O)COC/C=C/c1ccccc1)c1nn[n-]n1 ZINC000120074759 195092293 /nfs/dbraw/zinc/09/22/93/195092293.db2.gz ZXXKWRBESYWQKY-XYYIANASSA-N -1 1 315.377 1.887 20 0 DDADMM C[C@H](CN(C)C(=O)COCCc1ccccc1)c1nn[n-]n1 ZINC000352466296 285070947 /nfs/dbraw/zinc/07/09/47/285070947.db2.gz WFWLVXILUCJZRM-GFCCVEGCSA-N -1 1 303.366 1.021 20 0 DDADMM COCC1(S(=O)(=O)[N-][C@H](C(C)=O)c2ccccc2F)CC1 ZINC000416642125 533156871 /nfs/dbraw/zinc/15/68/71/533156871.db2.gz FMWRYWWUNQMQEI-CYBMUJFWSA-N -1 1 315.366 1.554 20 0 DDADMM O=C([O-])C[C@H]1CCCN1CCCS(=O)(=O)c1ccccc1 ZINC000568065046 304266363 /nfs/dbraw/zinc/26/63/63/304266363.db2.gz ZBSRGFYAFCVTMO-CYBMUJFWSA-N -1 1 311.403 1.790 20 0 DDADMM CC1(N2CCOCC2)CCN(c2ncccc2C(=O)[O-])CC1 ZINC000629769652 422807761 /nfs/dbraw/zinc/80/77/61/422807761.db2.gz OCBVWBXDTKJJKZ-UHFFFAOYSA-N -1 1 305.378 1.471 20 0 DDADMM CCCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC000153661857 196897631 /nfs/dbraw/zinc/89/76/31/196897631.db2.gz XCWQFHMYBCDNDS-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2(C)CCCC2)c1 ZINC000152865757 196831194 /nfs/dbraw/zinc/83/11/94/196831194.db2.gz QWKVEPVGRBCUMC-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM O=S(=O)([N-]C1CCC(O)CC1)c1ccc(Br)o1 ZINC000352873587 285351895 /nfs/dbraw/zinc/35/18/95/285351895.db2.gz UGWSZMKFOFSQDV-UHFFFAOYSA-N -1 1 324.196 1.624 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CCCn3ncnc32)cc(Cl)c1[O-] ZINC000331776873 533547783 /nfs/dbraw/zinc/54/77/83/533547783.db2.gz FIQBNSFLFMNBGE-SNVBAGLBSA-N -1 1 322.752 1.911 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000568346676 304286737 /nfs/dbraw/zinc/28/67/37/304286737.db2.gz LXMHXVUBJIBDCM-CDMJZVDBSA-N -1 1 300.362 1.509 20 0 DDADMM C[C@@H](CC[N-]S(=O)(=O)c1sccc1Cl)[S@@](C)=O ZINC000451320395 533610728 /nfs/dbraw/zinc/61/07/28/533610728.db2.gz ADIHPVGIFREURR-HYORBCNSSA-N -1 1 315.869 1.837 20 0 DDADMM Cc1cc2c(cc1C)O[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)C2 ZINC000183414810 292004419 /nfs/dbraw/zinc/00/44/19/292004419.db2.gz SMQSHXOMDJPHRJ-RISCZKNCSA-N -1 1 315.377 1.382 20 0 DDADMM C[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)c2ccccc21 ZINC000569863028 304383488 /nfs/dbraw/zinc/38/34/88/304383488.db2.gz UJFOZULVHIESOT-NSHDSACASA-N -1 1 323.356 1.962 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cccc(Cl)c1F)C(C)C ZINC000035250404 406970702 /nfs/dbraw/zinc/97/07/02/406970702.db2.gz NDAQEEVRQLWNOC-NSHDSACASA-N -1 1 323.773 1.955 20 0 DDADMM COC(=O)[C@@](C)([N-]S(=O)(=O)c1cccc(F)c1F)C1CC1 ZINC000076299797 406962303 /nfs/dbraw/zinc/96/23/03/406962303.db2.gz ZZTFYNQTTGQWHO-ZDUSSCGKSA-N -1 1 319.329 1.585 20 0 DDADMM O=C(/N=c1\nc(-c2ccccc2Cl)[nH][n-]1)c1ccc(=O)[nH]c1 ZINC000078354854 407025630 /nfs/dbraw/zinc/02/56/30/407025630.db2.gz GXOYZTKUJHDOSV-UHFFFAOYSA-N -1 1 315.720 1.488 20 0 DDADMM CCS(=O)(=O)NCCNC(=O)c1ccc2ccccc2c1[O-] ZINC000078680484 407041551 /nfs/dbraw/zinc/04/15/51/407041551.db2.gz QEGZCBVMSZVQMB-UHFFFAOYSA-N -1 1 322.386 1.215 20 0 DDADMM CCCCNS(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000077383450 406988142 /nfs/dbraw/zinc/98/81/42/406988142.db2.gz GRMZMTSMUIXUJF-UHFFFAOYSA-N -1 1 324.399 1.276 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc(-n2ccnn2)cc1 ZINC000089689428 407144175 /nfs/dbraw/zinc/14/41/75/407144175.db2.gz WKVNYHNXBZGJQW-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM CCN(C[C@H]1CCCO1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000097105115 407280573 /nfs/dbraw/zinc/28/05/73/407280573.db2.gz ONXSDVZJENSVJN-LLVKDONJSA-N -1 1 301.346 1.977 20 0 DDADMM COCCn1c(C)c(C)c2cc(C(=O)Nc3nnn[n-]3)ccc21 ZINC000103189863 407333036 /nfs/dbraw/zinc/33/30/36/407333036.db2.gz MDOCQXBUNLGESE-UHFFFAOYSA-N -1 1 314.349 1.670 20 0 DDADMM COCCn1c(C)c(C)c2cc(C(=O)Nc3nn[n-]n3)ccc21 ZINC000103189863 407333038 /nfs/dbraw/zinc/33/30/38/407333038.db2.gz MDOCQXBUNLGESE-UHFFFAOYSA-N -1 1 314.349 1.670 20 0 DDADMM CCOc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)ccc1C ZINC000124132178 407348900 /nfs/dbraw/zinc/34/89/00/407348900.db2.gz UZCRPTSEDYAOBQ-NSHDSACASA-N -1 1 303.366 1.783 20 0 DDADMM CC[C@@H](CSC)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000181172700 306697656 /nfs/dbraw/zinc/69/76/56/306697656.db2.gz MNYVYEATZCFELT-VIFPVBQESA-N -1 1 306.409 1.059 20 0 DDADMM COC(=O)[C@H](C)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000124826022 407370486 /nfs/dbraw/zinc/37/04/86/407370486.db2.gz FNJGNSHBSFMDRQ-ZCFIWIBFSA-N -1 1 311.281 1.191 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H](C)CC1CC1 ZINC000112602902 407433383 /nfs/dbraw/zinc/43/33/83/407433383.db2.gz CVESGWQPBAUUCP-SECBINFHSA-N -1 1 302.378 1.487 20 0 DDADMM CC1(C[N-]C(=O)C(F)(F)c2c(F)cccc2F)OCCO1 ZINC000271044254 407484875 /nfs/dbraw/zinc/48/48/75/407484875.db2.gz OMCURTKHUIEYBP-UHFFFAOYSA-N -1 1 307.243 1.936 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(OC)c1 ZINC000129379955 407604019 /nfs/dbraw/zinc/60/40/19/407604019.db2.gz KBXHEMUHNJYIOH-SNVBAGLBSA-N -1 1 317.349 1.237 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N(C1CC1)[C@@H]1CC(=O)NC1=O ZINC000271319297 407632116 /nfs/dbraw/zinc/63/21/16/407632116.db2.gz UEKXZQWXMCSDTI-CQSZACIVSA-N -1 1 324.336 1.565 20 0 DDADMM CCOc1cc(C(=O)NCCCNC(C)=O)cc(Cl)c1[O-] ZINC000186564098 407703067 /nfs/dbraw/zinc/70/30/67/407703067.db2.gz HSFXVONNZZYEPR-UHFFFAOYSA-N -1 1 314.769 1.700 20 0 DDADMM O=C(NC[C@@]1(O)CCOC1)c1ccc(Br)cc1[O-] ZINC000179085106 407718894 /nfs/dbraw/zinc/71/88/94/407718894.db2.gz SZODCKUNLWHEEO-LBPRGKRZSA-N -1 1 316.151 1.036 20 0 DDADMM C[S@](=O)CCC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000116901287 407795834 /nfs/dbraw/zinc/79/58/34/407795834.db2.gz HRACQNXHBNGGLV-GOSISDBHSA-N -1 1 315.338 1.151 20 0 DDADMM C[S@@](=O)CCC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000116901285 407796156 /nfs/dbraw/zinc/79/61/56/407796156.db2.gz HRACQNXHBNGGLV-SFHVURJKSA-N -1 1 315.338 1.151 20 0 DDADMM COc1cc(NC(=O)CN2CC[C@@H](C(=O)[O-])C2)cc(OC)c1 ZINC000262621154 407884819 /nfs/dbraw/zinc/88/48/19/407884819.db2.gz DXJDHOKMHKKNOC-SNVBAGLBSA-N -1 1 308.334 1.049 20 0 DDADMM C[C@H](C(=O)Nc1ccc2c(c1)OCCO2)N(C)CCC(=O)[O-] ZINC000262634649 407886217 /nfs/dbraw/zinc/88/62/17/407886217.db2.gz HVCUKPQTWFDSGY-SNVBAGLBSA-N -1 1 308.334 1.191 20 0 DDADMM CC(=O)c1ccc(CNC(=O)c2ccc3[nH]nnc3c2)nc1C ZINC000134532433 407904150 /nfs/dbraw/zinc/90/41/50/407904150.db2.gz RSOPSBILOFLWSO-UHFFFAOYSA-N -1 1 309.329 1.794 20 0 DDADMM C[C@H](C[S@@](C)=O)NC(=O)c1ccc(Br)c([O-])c1 ZINC000181685066 408026548 /nfs/dbraw/zinc/02/65/48/408026548.db2.gz XALPUGHPSHCWHA-IWEMQMMOSA-N -1 1 320.208 1.652 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N(C)Cc1ccc(F)cc1)C(=O)[O-] ZINC000263136977 408034065 /nfs/dbraw/zinc/03/40/65/408034065.db2.gz NTBKMSLWGCFDAT-NHYWBVRUSA-N -1 1 310.369 1.873 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(OC)cc2O)CCN1C[C@H](C)O ZINC000245718242 408052593 /nfs/dbraw/zinc/05/25/93/408052593.db2.gz DDERZWANXHFDEE-QWHCGFSZSA-N -1 1 322.405 1.318 20 0 DDADMM O=C(Nc1nnn[n-]1)c1c[nH]c2cccc(Br)c12 ZINC000136382073 408102257 /nfs/dbraw/zinc/10/22/57/408102257.db2.gz WZEMLYYHSKAQBT-UHFFFAOYSA-N -1 1 307.111 1.696 20 0 DDADMM O=C(Nc1nn[n-]n1)c1c[nH]c2cccc(Br)c12 ZINC000136382073 408102263 /nfs/dbraw/zinc/10/22/63/408102263.db2.gz WZEMLYYHSKAQBT-UHFFFAOYSA-N -1 1 307.111 1.696 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](C(=O)NCc2nc3ccc(F)cc3[nH]2)C1 ZINC000263362041 408119658 /nfs/dbraw/zinc/11/96/58/408119658.db2.gz YQPWXMFBMJYGOC-DTWKUNHWSA-N -1 1 305.309 1.819 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H](O)c2ccsc2)c1Cl ZINC000182463458 408168292 /nfs/dbraw/zinc/16/82/92/408168292.db2.gz POCCEOQOJIOEJR-QMMMGPOBSA-N -1 1 321.811 1.147 20 0 DDADMM CCC[C@H](NC(=O)C[C@](C)(O)c1ccccc1)c1nn[n-]n1 ZINC000182574127 408196558 /nfs/dbraw/zinc/19/65/58/408196558.db2.gz PHFHANOCMUVSQR-WFASDCNBSA-N -1 1 303.366 1.455 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc2cc(F)ccc2[nH]1)c1nn[n-]n1 ZINC000273445129 408202765 /nfs/dbraw/zinc/20/27/65/408202765.db2.gz BOILPJSOYFLKEZ-MRVPVSSYSA-N -1 1 302.313 1.696 20 0 DDADMM C[C@@H](CO[C@@H]1CCOC1)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000150923360 408225846 /nfs/dbraw/zinc/22/58/46/408225846.db2.gz BXXCAKONXDCJGK-GXSJLCMTSA-N -1 1 321.345 1.437 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)C1(c2cccc(F)c2)CC1 ZINC000176258752 408324339 /nfs/dbraw/zinc/32/43/39/408324339.db2.gz FCTIJDHPNWESPC-UHFFFAOYSA-N -1 1 304.325 1.018 20 0 DDADMM CC(C)[C@@H](CC(=O)NCCCc1nc(=O)[n-][nH]1)c1ccccc1 ZINC000176258115 408325423 /nfs/dbraw/zinc/32/54/23/408325423.db2.gz WBVLOMPZHNHZBO-CQSZACIVSA-N -1 1 316.405 1.977 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)c1ncccc1[O-] ZINC000274215277 408327221 /nfs/dbraw/zinc/32/72/21/408327221.db2.gz POEYNYAAZKKSGV-LLVKDONJSA-N -1 1 321.377 1.869 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)C[S@](C)=O)cnc2n1 ZINC000182912189 408280623 /nfs/dbraw/zinc/28/06/23/408280623.db2.gz PGIFGJAUPZGVFV-FPVGNUTFSA-N -1 1 307.375 1.141 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)C[S@](C)=O)c2=O ZINC000182912189 408280626 /nfs/dbraw/zinc/28/06/26/408280626.db2.gz PGIFGJAUPZGVFV-FPVGNUTFSA-N -1 1 307.375 1.141 20 0 DDADMM Cc1cc(C(=O)Nc2ccccc2F)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000151437425 408311278 /nfs/dbraw/zinc/31/12/78/408311278.db2.gz IUQWBSQKMCNXLK-UHFFFAOYSA-N -1 1 314.276 1.724 20 0 DDADMM Cc1cc(C(=O)Nc2ccccc2F)[n-]c2nc(=O)[nH]c(=O)c1-2 ZINC000151437425 408311283 /nfs/dbraw/zinc/31/12/83/408311283.db2.gz IUQWBSQKMCNXLK-UHFFFAOYSA-N -1 1 314.276 1.724 20 0 DDADMM Cn1nccc1C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000132403391 162036069 /nfs/dbraw/zinc/03/60/69/162036069.db2.gz PTICGQWNBSBKCA-UHFFFAOYSA-N -1 1 313.357 1.861 20 0 DDADMM CN(C)c1ccccc1NC(=O)c1cnc2n(C)[n-]cc-2c1=O ZINC000132571793 162039361 /nfs/dbraw/zinc/03/93/61/162039361.db2.gz LFCHRBPRTVMJGU-UHFFFAOYSA-N -1 1 311.345 1.992 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@]1(C)CCCC[C@H]1O)c2=O ZINC000132818058 162045405 /nfs/dbraw/zinc/04/54/05/162045405.db2.gz PSIQJJWEMGFPGK-WBMJQRKESA-N -1 1 318.377 1.345 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@H]1Cn1ccnc1 ZINC000176342568 408343781 /nfs/dbraw/zinc/34/37/81/408343781.db2.gz KYSCEAIURQKUQM-LBPRGKRZSA-N -1 1 323.356 1.424 20 0 DDADMM CC(C)(C)OC(=O)CCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000173183659 162326178 /nfs/dbraw/zinc/32/61/78/162326178.db2.gz NNWYSTFANKMIRQ-UHFFFAOYSA-N -1 1 317.345 1.385 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(=O)[nH]c2ccccc21)c1nn[n-]n1 ZINC000183398179 408397453 /nfs/dbraw/zinc/39/74/53/408397453.db2.gz VVJLJWQPRLZGCF-VIFPVBQESA-N -1 1 312.333 1.329 20 0 DDADMM CCOc1ccc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183398678 408399138 /nfs/dbraw/zinc/39/91/38/408399138.db2.gz SZHMNLJZRMLNNG-LLVKDONJSA-N -1 1 303.366 1.403 20 0 DDADMM C[C@@H](CCOc1ccccc1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183407816 408402213 /nfs/dbraw/zinc/40/22/13/408402213.db2.gz MRRBTAFQQOSVHI-STQMWFEESA-N -1 1 317.393 1.867 20 0 DDADMM COc1cccc(Cl)c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183430385 408409782 /nfs/dbraw/zinc/40/97/82/408409782.db2.gz JSGMAGSUMDGUDP-QMMMGPOBSA-N -1 1 309.757 1.737 20 0 DDADMM CCOc1c(F)cccc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183445969 408412858 /nfs/dbraw/zinc/41/28/58/408412858.db2.gz JOLCHJLPVRYURF-SECBINFHSA-N -1 1 307.329 1.613 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2[C@H]3CCO[C@H]3C2(C)C)c1Cl ZINC000247166864 408421424 /nfs/dbraw/zinc/42/14/24/408421424.db2.gz YESGMHMEGYMYLD-IWSPIJDZSA-N -1 1 319.814 1.165 20 0 DDADMM CC(C)[C@H](CCO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000269771305 408422070 /nfs/dbraw/zinc/42/20/70/408422070.db2.gz GAKDBMVEZFAVFO-NSHDSACASA-N -1 1 311.325 1.789 20 0 DDADMM Cc1nc(Cl)ccc1CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000274729324 408510707 /nfs/dbraw/zinc/51/07/07/408510707.db2.gz CCDUYEWCOAHKGR-MRVPVSSYSA-N -1 1 308.773 1.361 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC[C@@H](CO)[C@@H](O)C1 ZINC000191991511 408519954 /nfs/dbraw/zinc/51/99/54/408519954.db2.gz QRYNNLXGOBCPDD-BBRMVZONSA-N -1 1 301.342 1.361 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1ccc(F)cc1F)C(F)(F)F ZINC000270575145 408585796 /nfs/dbraw/zinc/58/57/96/408585796.db2.gz VDLCZRWUXAZCFD-SECBINFHSA-N -1 1 319.251 1.556 20 0 DDADMM O=S(=O)([N-]CC(F)(F)CO)c1cccc(Cl)c1Cl ZINC000184295840 408585798 /nfs/dbraw/zinc/58/57/98/408585798.db2.gz MRTGGJBNXBWGBH-UHFFFAOYSA-N -1 1 320.144 1.899 20 0 DDADMM CCCCc1cc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)[nH]n1 ZINC000177776775 408708691 /nfs/dbraw/zinc/70/86/91/408708691.db2.gz NILFHPKWUZBAAB-UHFFFAOYSA-N -1 1 322.390 1.652 20 0 DDADMM C[C@@H](CN(C)C(=O)c1c[nH]nc1-c1ccsc1)c1nn[n-]n1 ZINC000275953780 408729033 /nfs/dbraw/zinc/72/90/33/408729033.db2.gz LASLLOMNSYRRPB-QMMMGPOBSA-N -1 1 317.378 1.527 20 0 DDADMM O=C(NCCCn1ccnn1)c1ccc(C(F)(F)F)cc1[O-] ZINC000185001918 408735124 /nfs/dbraw/zinc/73/51/24/408735124.db2.gz NPCHLCPYRSKJQV-UHFFFAOYSA-N -1 1 314.267 1.823 20 0 DDADMM CCC[C@H](NC(=O)COc1ccc(C)cc1OC)c1nn[n-]n1 ZINC000177652832 408678160 /nfs/dbraw/zinc/67/81/60/408678160.db2.gz WDUZWXCVOIBBGQ-NSHDSACASA-N -1 1 319.365 1.553 20 0 DDADMM C[C@H](Cc1cccc(Br)c1)NC(=O)c1cnn[nH]1 ZINC000194682097 408790866 /nfs/dbraw/zinc/79/08/66/408790866.db2.gz GFVJCDAGDGJNEE-MRVPVSSYSA-N -1 1 309.167 1.928 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)NCc1ccccc1OC)C(=O)[O-] ZINC000185050346 408744670 /nfs/dbraw/zinc/74/46/70/408744670.db2.gz IRUOJBFXGQJSBL-DGCLKSJQSA-N -1 1 308.378 1.543 20 0 DDADMM Cc1c(=O)[n-]c(SCCS(C)(=O)=O)nc1-c1ccccc1 ZINC000185050632 408748131 /nfs/dbraw/zinc/74/81/31/408748131.db2.gz VQWPZXPZQQTXPE-UHFFFAOYSA-N -1 1 324.427 1.882 20 0 DDADMM COC(=O)[C@H]([N-]C(=O)C(F)(F)c1cccc(Cl)c1)[C@@H](C)O ZINC000276039890 408759736 /nfs/dbraw/zinc/75/97/36/408759736.db2.gz VSWACMIKTMHNKL-GMSGAONNSA-N -1 1 321.707 1.470 20 0 DDADMM CC(=O)N(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C1CC1 ZINC000276549179 408881005 /nfs/dbraw/zinc/88/10/05/408881005.db2.gz RXPQVJGLERQVFK-ZDUSSCGKSA-N -1 1 303.362 1.403 20 0 DDADMM CCn1nccc1C[N@H+]1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000291619592 408896368 /nfs/dbraw/zinc/89/63/68/408896368.db2.gz ROGLGHOCRKLMRG-LLVKDONJSA-N -1 1 318.343 1.794 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2OC[C@@H]3COCC[C@@H]23)c1 ZINC000291693770 408908858 /nfs/dbraw/zinc/90/88/58/408908858.db2.gz YHZGIGJFWZRNQF-MISXGVKJSA-N -1 1 321.329 1.169 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N(C)CC(F)(F)F)n1 ZINC000187587861 163022985 /nfs/dbraw/zinc/02/29/85/163022985.db2.gz QFTUYGRWKJCYHK-UHFFFAOYSA-N -1 1 311.285 1.309 20 0 DDADMM COc1ccc(OCCN(C)C(=O)c2ncccc2[O-])cc1 ZINC000194645474 163291799 /nfs/dbraw/zinc/29/17/99/163291799.db2.gz QJLSTMIAVNNIKG-UHFFFAOYSA-N -1 1 302.330 1.947 20 0 DDADMM O=C(NC[C@H](O)C1CC1)c1ccc(Br)c([O-])c1 ZINC000231354953 163350024 /nfs/dbraw/zinc/35/00/24/163350024.db2.gz WLTXXOMGVLXFDZ-NSHDSACASA-N -1 1 300.152 1.655 20 0 DDADMM CCNC(=O)[C@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000231323120 163350265 /nfs/dbraw/zinc/35/02/65/163350265.db2.gz MMMLJVNMTDOTCL-ZETCQYMHSA-N -1 1 315.167 1.409 20 0 DDADMM CC(C)COc1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000277603925 408975735 /nfs/dbraw/zinc/97/57/35/408975735.db2.gz AFHRKLGBQWQOLQ-UHFFFAOYSA-N -1 1 306.322 1.310 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C(C)(C)OC)CC2)n1 ZINC000277796061 409013617 /nfs/dbraw/zinc/01/36/17/409013617.db2.gz SWHIHEQOGKUJFL-UHFFFAOYSA-N -1 1 324.381 1.112 20 0 DDADMM Cc1sc(Br)c(C(=O)Nc2nnn[n-]2)c1C ZINC000282251979 409019309 /nfs/dbraw/zinc/01/93/09/409019309.db2.gz GVLPWLDXIJYNMC-UHFFFAOYSA-N -1 1 302.157 1.893 20 0 DDADMM Cc1sc(Br)c(C(=O)Nc2nn[n-]n2)c1C ZINC000282251979 409019311 /nfs/dbraw/zinc/01/93/11/409019311.db2.gz GVLPWLDXIJYNMC-UHFFFAOYSA-N -1 1 302.157 1.893 20 0 DDADMM C[C@H](c1ccncc1)N(C)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287574727 409059045 /nfs/dbraw/zinc/05/90/45/409059045.db2.gz LADWBYPOHCOWKV-QTVDBSQPSA-N -1 1 310.361 1.962 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2cn(C)nc2C(F)(F)F)o1 ZINC000293340071 409093102 /nfs/dbraw/zinc/09/31/02/409093102.db2.gz DBGUJTFFCISKNV-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM O=S(=O)(Cc1nnc2n1CCCCC2)c1ccc([O-])cc1 ZINC000278819656 409095776 /nfs/dbraw/zinc/09/57/76/409095776.db2.gz QZSLWRVAFVCRGP-UHFFFAOYSA-N -1 1 307.375 1.684 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC1CC(F)(F)C1 ZINC000293652113 409155162 /nfs/dbraw/zinc/15/51/62/409155162.db2.gz LUDJYLMMXMQDFY-UHFFFAOYSA-N -1 1 324.331 1.343 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ccc(Cl)cc2[O-])CCS1(=O)=O ZINC000293784917 409179838 /nfs/dbraw/zinc/17/98/38/409179838.db2.gz ODUHOPSDAFEKJT-XVKPBYJWSA-N -1 1 303.767 1.351 20 0 DDADMM CC1(C)CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCS1 ZINC000283585996 409180905 /nfs/dbraw/zinc/18/09/05/409180905.db2.gz XGOPSCUDLRLPNO-UHFFFAOYSA-N -1 1 308.432 1.986 20 0 DDADMM C[C@@H](c1ccccc1)[C@@H]([N-]C(=O)C(F)(F)C(F)F)C(N)=O ZINC000294014044 409225633 /nfs/dbraw/zinc/22/56/33/409225633.db2.gz ZTKASUUCAJIAPI-IONNQARKSA-N -1 1 306.259 1.661 20 0 DDADMM CC(C)(C)N1C[C@@H](NC(=O)C(=O)c2ccc([O-])cc2)CC1=O ZINC000289215043 409233887 /nfs/dbraw/zinc/23/38/87/409233887.db2.gz NFTLDDRCNLLFGY-NSHDSACASA-N -1 1 304.346 1.091 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC000284023480 409258632 /nfs/dbraw/zinc/25/86/32/409258632.db2.gz WBAWWYYGUQMTSA-UHFFFAOYSA-N -1 1 322.789 1.802 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCO[C@H](C(C)C)C1 ZINC000290337462 409366255 /nfs/dbraw/zinc/36/62/55/409366255.db2.gz BEGSVUSGAUDLRL-UWVGGRQHSA-N -1 1 303.384 1.047 20 0 DDADMM COc1ccc2c(c1)OC[C@@H](NC(=O)c1cncc([O-])c1)C2 ZINC000285478305 409459251 /nfs/dbraw/zinc/45/92/51/409459251.db2.gz CTBGCOVHWHFQCN-LBPRGKRZSA-N -1 1 300.314 1.529 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cc3n(n2)CCC3)c1 ZINC000296161286 409448989 /nfs/dbraw/zinc/44/89/89/409448989.db2.gz KODLAAYLQPVTKR-UHFFFAOYSA-N -1 1 301.302 1.574 20 0 DDADMM CC(C)c1nc(C[N-]S(=O)(=O)c2c(F)cccc2F)n[nH]1 ZINC000295364114 409450275 /nfs/dbraw/zinc/45/02/75/409450275.db2.gz SBJFSQXGWWCXNR-UHFFFAOYSA-N -1 1 316.333 1.685 20 0 DDADMM CC(C)c1nnc(C[N-]S(=O)(=O)c2c(F)cccc2F)[nH]1 ZINC000295364114 409450280 /nfs/dbraw/zinc/45/02/80/409450280.db2.gz SBJFSQXGWWCXNR-UHFFFAOYSA-N -1 1 316.333 1.685 20 0 DDADMM O=C([C@@H](c1ccccc1)C1CC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000362431089 164157367 /nfs/dbraw/zinc/15/73/67/164157367.db2.gz GPDIKMJAPRZFEE-KBPBESRZSA-N -1 1 313.361 1.293 20 0 DDADMM O=C(c1ccc2c(c1)OCO2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000407994963 164192840 /nfs/dbraw/zinc/19/28/40/164192840.db2.gz FVBHXROJKWOBKV-JTQLQIEISA-N -1 1 317.301 1.524 20 0 DDADMM O=C([C@@H]1CCc2c[nH]nc2C1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000407998924 164193139 /nfs/dbraw/zinc/19/31/39/164193139.db2.gz SYUFCTXNQIXAEW-MWLCHTKSSA-N -1 1 317.349 1.009 20 0 DDADMM Cc1nc(C2CC2)oc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000408177638 164252648 /nfs/dbraw/zinc/25/26/48/164252648.db2.gz QPZKXUFRCRZTKS-JTQLQIEISA-N -1 1 318.333 1.969 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC(O)(C(F)F)CC1 ZINC000408203211 164259364 /nfs/dbraw/zinc/25/93/64/164259364.db2.gz PSANGAZZMKXMPR-UHFFFAOYSA-N -1 1 307.243 1.903 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](O)[C@H](C)C1 ZINC000408376630 164312497 /nfs/dbraw/zinc/31/24/97/164312497.db2.gz NVWUDRWLXNTMJG-MWLCHTKSSA-N -1 1 307.394 1.631 20 0 DDADMM CN(C(=O)c1ccc(Br)cc1[O-])C1CC(O)C1 ZINC000408413328 164324339 /nfs/dbraw/zinc/32/43/39/164324339.db2.gz GADHCHLUWXIRSO-UHFFFAOYSA-N -1 1 300.152 1.750 20 0 DDADMM Cc1cnc(C(=O)N2CCC(C)(C)S(=O)(=O)CC2)c([O-])c1 ZINC000408448186 164334285 /nfs/dbraw/zinc/33/42/85/164334285.db2.gz QCCGPIURJQCANY-UHFFFAOYSA-N -1 1 312.391 1.135 20 0 DDADMM O=C([C@@H]1CCc2cccnc21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000408467941 164340885 /nfs/dbraw/zinc/34/08/85/164340885.db2.gz MPHVURLBKIIYRU-NWDGAFQWSA-N -1 1 314.345 1.606 20 0 DDADMM Cc1ccccc1-c1nc(CS(=O)(=O)c2ncn[n-]2)no1 ZINC000348882991 409571202 /nfs/dbraw/zinc/57/12/02/409571202.db2.gz BJPHTRYEKARBQB-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM Cc1ccccc1-c1nc(CS(=O)(=O)c2nc[n-]n2)no1 ZINC000348882991 409571204 /nfs/dbraw/zinc/57/12/04/409571204.db2.gz BJPHTRYEKARBQB-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)C[C@H]2CCCO2)CCCCCC1 ZINC000331578218 409654806 /nfs/dbraw/zinc/65/48/06/409654806.db2.gz QXVHVOLCAXWPIO-GFCCVEGCSA-N -1 1 319.423 1.351 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cnn(CC(F)F)c1)C(F)(F)F ZINC000345635485 409724573 /nfs/dbraw/zinc/72/45/73/409724573.db2.gz FVVFXLSRUZBEHZ-ZETCQYMHSA-N -1 1 321.271 1.767 20 0 DDADMM CC(=O)NCCN(C)C(=O)c1cc(Br)ccc1[O-] ZINC000308286476 409779860 /nfs/dbraw/zinc/77/98/60/409779860.db2.gz DVOOLVYFKARQEO-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-][C@H](C(C)C)C(F)(F)F ZINC000345623870 409716335 /nfs/dbraw/zinc/71/63/35/409716335.db2.gz DJEUNWJJJGLUSX-SNVBAGLBSA-N -1 1 313.345 1.902 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2C[C@@H]2C(F)F)c1Br ZINC000338227791 409820017 /nfs/dbraw/zinc/82/00/17/409820017.db2.gz PVSFLZFDAYZESC-WHFBIAKZSA-N -1 1 308.126 1.996 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)n[nH]1 ZINC000297215166 409858562 /nfs/dbraw/zinc/85/85/62/409858562.db2.gz VNPIKJWKNARQAG-UHFFFAOYSA-N -1 1 320.296 1.263 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1ccc2ccccc2n1 ZINC000349638697 409895162 /nfs/dbraw/zinc/89/51/62/409895162.db2.gz QDUNQRZLBNIHCK-UHFFFAOYSA-N -1 1 318.358 1.422 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc2ccccc2n1 ZINC000349638697 409895173 /nfs/dbraw/zinc/89/51/73/409895173.db2.gz QDUNQRZLBNIHCK-UHFFFAOYSA-N -1 1 318.358 1.422 20 0 DDADMM COc1ccc(CCNC(=O)c2ncc(C)cc2[O-])cc1O ZINC000636425036 422850143 /nfs/dbraw/zinc/85/01/43/422850143.db2.gz DMLBARWGUMDNPI-UHFFFAOYSA-N -1 1 302.330 1.782 20 0 DDADMM CS(=O)(=O)[C@H]1CCCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000332220379 409988003 /nfs/dbraw/zinc/98/80/03/409988003.db2.gz JXXLZRNDXMWKDH-NSHDSACASA-N -1 1 315.366 1.571 20 0 DDADMM Cc1ccc(C=CC(=O)Nc2nn[nH]c2C(=O)NC2CC2)o1 ZINC000297760432 409989329 /nfs/dbraw/zinc/98/93/29/409989329.db2.gz OYSWMIIOPIHMRW-VOTSOKGWSA-N -1 1 301.306 1.250 20 0 DDADMM C[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1ccncc1F ZINC000338374596 409947512 /nfs/dbraw/zinc/94/75/12/409947512.db2.gz LVRCVDKPCLWGFX-QMMMGPOBSA-N -1 1 315.308 1.699 20 0 DDADMM CSc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)ccn1 ZINC000332271468 410029110 /nfs/dbraw/zinc/02/91/10/410029110.db2.gz GQAKQUFDLZHCQS-SNVBAGLBSA-N -1 1 320.374 1.912 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@@H]1CCC[C@@H]2CCCC[C@H]12 ZINC000297776980 409995771 /nfs/dbraw/zinc/99/57/71/409995771.db2.gz QIIOYBKAOGMXSG-GARJFASQSA-N -1 1 305.382 1.709 20 0 DDADMM CC(C)(NC(=O)[C@@H]1C[C@]12CCCc1ccccc12)c1nn[n-]n1 ZINC000354772375 410075671 /nfs/dbraw/zinc/07/56/71/410075671.db2.gz LJGPBCFIILQQHT-GUYCJALGSA-N -1 1 311.389 1.845 20 0 DDADMM CC(C)(NC(=O)c1ccc(-c2ccco2)[nH]c1=O)c1nn[n-]n1 ZINC000354782989 410082141 /nfs/dbraw/zinc/08/21/41/410082141.db2.gz JELATQDTAYAQQU-UHFFFAOYSA-N -1 1 314.305 1.225 20 0 DDADMM COc1cccc([C@@H](C)N2CCN(c3nc(=N)[n-]s3)CC2)c1 ZINC000332426724 410149048 /nfs/dbraw/zinc/14/90/48/410149048.db2.gz CMYLXNHTUNHJQW-LLVKDONJSA-N -1 1 319.434 1.842 20 0 DDADMM C[C@@H](CCOc1ccccc1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354795264 410091909 /nfs/dbraw/zinc/09/19/09/410091909.db2.gz VJVJGFLMWRFZOB-NSHDSACASA-N -1 1 303.366 1.656 20 0 DDADMM Cn1[n-]c(CN2CCN(Cc3cccc(Cl)c3)CC2)nc1=O ZINC000329284693 410214619 /nfs/dbraw/zinc/21/46/19/410214619.db2.gz OBLLAHHGKITZKI-UHFFFAOYSA-N -1 1 321.812 1.080 20 0 DDADMM C[C@@]1(O)C[C@H](NC(=O)c2ccc(Br)c([O-])c2)C1 ZINC000332446595 410163974 /nfs/dbraw/zinc/16/39/74/410163974.db2.gz LICMHQLKBMJHIR-LYWQIFSTSA-N -1 1 300.152 1.798 20 0 DDADMM CCOc1cc(C(=O)NCCC(=O)OC)cc(Cl)c1[O-] ZINC000298308173 410173649 /nfs/dbraw/zinc/17/36/49/410173649.db2.gz JCHGYIJAVSSDMV-UHFFFAOYSA-N -1 1 301.726 1.737 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NC[C@@H](CO)C2CC2)[n-]n1 ZINC000357790487 410251561 /nfs/dbraw/zinc/25/15/61/410251561.db2.gz XOWNNDAKMYPYOI-LBPRGKRZSA-N -1 1 304.394 1.001 20 0 DDADMM C[C@H]1C[C@@H]([N-]C(=O)C(F)(F)c2c(F)cccc2F)C(=O)O1 ZINC000298533920 410276108 /nfs/dbraw/zinc/27/61/08/410276108.db2.gz VWONKPGGDAZVEQ-IMTBSYHQSA-N -1 1 305.227 1.877 20 0 DDADMM COC(=O)[C@H](O)C1CC[NH+](Cc2cccc(O)c2Cl)CC1 ZINC000351778751 410229860 /nfs/dbraw/zinc/22/98/60/410229860.db2.gz IOUFHKJWQVYIKS-CQSZACIVSA-N -1 1 313.781 1.792 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2(CC(N)=O)CCC2)sc1C ZINC000333169105 410399086 /nfs/dbraw/zinc/39/90/86/410399086.db2.gz PIPGVAPKWCSRLI-UHFFFAOYSA-N -1 1 303.409 1.886 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC2(CCC2)[C@@H]1C(C)C ZINC000333177471 410405557 /nfs/dbraw/zinc/40/55/57/410405557.db2.gz OZOYXNFJEWRXMK-NSHDSACASA-N -1 1 307.350 1.547 20 0 DDADMM CCS[C@@H]1CCC[C@@H]1NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000352051255 410445054 /nfs/dbraw/zinc/44/50/54/410445054.db2.gz ATHZLNXYLOZVDV-JGVFFNPUSA-N -1 1 313.379 1.300 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC(C)(C)c1ccccc1 ZINC000352113119 410491279 /nfs/dbraw/zinc/49/12/79/410491279.db2.gz ZQVCSFDLKDPLPM-UHFFFAOYSA-N -1 1 317.345 1.604 20 0 DDADMM CCOc1ccccc1C1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000347404519 410525871 /nfs/dbraw/zinc/52/58/71/410525871.db2.gz XRKWWIAELSPYLZ-UHFFFAOYSA-N -1 1 314.389 1.796 20 0 DDADMM O=C(CNC(=O)c1cncc([O-])c1)N1CCc2ccccc2C1 ZINC000339927348 410557150 /nfs/dbraw/zinc/55/71/50/410557150.db2.gz RMISXRAOUMAVGK-UHFFFAOYSA-N -1 1 311.341 1.102 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@H]1Cc3ccccc3O1)c2=O ZINC000355706120 410649147 /nfs/dbraw/zinc/64/91/47/410649147.db2.gz SNCBBAFLJXELOL-LLVKDONJSA-N -1 1 324.340 1.407 20 0 DDADMM CCN(C(=O)CNC(=O)c1ncccc1[O-])[C@H]1CCCC[C@H]1C ZINC000352363812 410652454 /nfs/dbraw/zinc/65/24/54/410652454.db2.gz UXGOQVVPEOZGDZ-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H](NCc1nc(=O)n(C)[n-]1)c1ccc(OCC2CC2)c(F)c1 ZINC000347651599 410652727 /nfs/dbraw/zinc/65/27/27/410652727.db2.gz KWYASKFAKYWTMN-SNVBAGLBSA-N -1 1 320.368 1.887 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000330592320 410808801 /nfs/dbraw/zinc/80/88/01/410808801.db2.gz OUYVSXAOTFZSNQ-NEPJUHHUSA-N -1 1 311.382 1.932 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2oc(C)cc2C)c1 ZINC000359680693 410811381 /nfs/dbraw/zinc/81/13/81/410811381.db2.gz NYBONOMVCILZRF-UHFFFAOYSA-N -1 1 324.358 1.762 20 0 DDADMM CC[C@H]1C[C@H](C(=O)Nc2cc(C(=O)OC)ccc2[O-])CC(=O)N1 ZINC000337587464 410877676 /nfs/dbraw/zinc/87/76/76/410877676.db2.gz UHKTTZIZGDANKQ-QWRGUYRKSA-N -1 1 320.345 1.422 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@@]12CCCc1ccccc12 ZINC000348281134 410894019 /nfs/dbraw/zinc/89/40/19/410894019.db2.gz JLBAMKUXILZDRE-CZUORRHYSA-N -1 1 309.373 1.599 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(OC(F)(F)F)cc1 ZINC000348284660 410897077 /nfs/dbraw/zinc/89/70/77/410897077.db2.gz WCKWDYFANDFQLA-UHFFFAOYSA-N -1 1 313.239 1.517 20 0 DDADMM Cc1nn(-c2ccccc2)cc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348289146 410901143 /nfs/dbraw/zinc/90/11/43/410901143.db2.gz ATOQRIIEABYFHG-UHFFFAOYSA-N -1 1 309.333 1.113 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)CO1 ZINC000331296446 411064294 /nfs/dbraw/zinc/06/42/94/411064294.db2.gz NDSDKWXJMUTQNS-RKDXNWHRSA-N -1 1 316.354 1.561 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@H](C(=O)OC(C)(C)C)C2)c([O-])c1 ZINC000331208519 411017883 /nfs/dbraw/zinc/01/78/83/411017883.db2.gz PVEXMIQLFQEJTJ-LLVKDONJSA-N -1 1 306.362 1.899 20 0 DDADMM COC1CCC(N(C)C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000360044298 411019208 /nfs/dbraw/zinc/01/92/08/411019208.db2.gz JONIDHLSNSJXAP-UHFFFAOYSA-N -1 1 315.373 1.953 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccc2c(c1)oc(=O)n2C ZINC000331239264 411036575 /nfs/dbraw/zinc/03/65/75/411036575.db2.gz JSCIHYGYLDZMJL-UHFFFAOYSA-N -1 1 314.363 1.298 20 0 DDADMM CC[C@H](Cc1ccccc1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353675669 411104912 /nfs/dbraw/zinc/10/49/12/411104912.db2.gz HMTFHDQHKWWHJO-GFCCVEGCSA-N -1 1 311.345 1.169 20 0 DDADMM C[C@@H](CC(=O)[O-])CC(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000580329071 422920015 /nfs/dbraw/zinc/92/00/15/422920015.db2.gz KHXSHGRVXLQVMD-SECBINFHSA-N -1 1 307.325 1.862 20 0 DDADMM O=C([O-])c1ccccc1CCN1CCN(c2ncccn2)CC1 ZINC000652368865 422984998 /nfs/dbraw/zinc/98/49/98/422984998.db2.gz WJLAOKBWAUSOML-UHFFFAOYSA-N -1 1 312.373 1.540 20 0 DDADMM CCC(=O)N1CC[C@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC000133187766 196318979 /nfs/dbraw/zinc/31/89/79/196318979.db2.gz ACRQZXRXZBWUDA-JTQLQIEISA-N -1 1 318.345 1.254 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccnc(-c2ncc[nH]2)c1 ZINC000645491044 423054087 /nfs/dbraw/zinc/05/40/87/423054087.db2.gz MYZURMPTUVRZIN-UHFFFAOYSA-N -1 1 324.348 1.184 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1csc(S(C)(=O)=O)c1 ZINC000647816018 423059184 /nfs/dbraw/zinc/05/91/84/423059184.db2.gz VZKIQCORPJNNCW-UHFFFAOYSA-N -1 1 301.349 1.141 20 0 DDADMM CCCS(=O)(=O)c1ccccc1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000647816199 423059509 /nfs/dbraw/zinc/05/95/09/423059509.db2.gz AJDQEBHZASUUPC-UHFFFAOYSA-N -1 1 323.374 1.860 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)Cc2cnn(C)c2)sc1C ZINC000650244437 423099042 /nfs/dbraw/zinc/09/90/42/423099042.db2.gz PJXWUEDKFDAVGX-MRVPVSSYSA-N -1 1 314.436 1.403 20 0 DDADMM CSc1nc(CNC(=O)CCCc2ccccn2)cc(=O)[n-]1 ZINC000640658532 423117382 /nfs/dbraw/zinc/11/73/82/423117382.db2.gz OZLCLBBAPNEFBR-UHFFFAOYSA-N -1 1 318.402 1.938 20 0 DDADMM CC(C)(O)CC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645657302 423129242 /nfs/dbraw/zinc/12/92/42/423129242.db2.gz TYLSFCLLTKEXAE-UHFFFAOYSA-N -1 1 312.313 1.540 20 0 DDADMM C[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@H](C2CC2)O1 ZINC000366247747 418448934 /nfs/dbraw/zinc/44/89/34/418448934.db2.gz MEWOIOIDYQAIEW-NOZJJQNGSA-N -1 1 316.361 1.313 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCn3ccnc3C2)c1 ZINC000374396506 418527290 /nfs/dbraw/zinc/52/72/90/418527290.db2.gz UAGYQWFZBNRHIC-SNVBAGLBSA-N -1 1 315.329 1.576 20 0 DDADMM Cc1cn(-c2ncccc2F)nc1[N-]S(=O)(=O)N1CCC1 ZINC000367008207 418550678 /nfs/dbraw/zinc/55/06/78/418550678.db2.gz FVFWKMTUEJASGI-UHFFFAOYSA-N -1 1 311.342 1.077 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)NC(=O)CC(C)(C)C)c1nn[n-]n1 ZINC000190155913 222069276 /nfs/dbraw/zinc/06/92/76/222069276.db2.gz JGDGHHPEMGJIAO-ZJUUUORDSA-N -1 1 310.402 1.098 20 0 DDADMM O=S(=O)(Cc1ncccn1)c1nc(Cc2cccs2)n[n-]1 ZINC000195157853 222192930 /nfs/dbraw/zinc/19/29/30/222192930.db2.gz BSHDODAZLSXUCZ-UHFFFAOYSA-N -1 1 321.387 1.221 20 0 DDADMM O=S(=O)(Cc1ncccn1)c1n[n-]c(Cc2cccs2)n1 ZINC000195157853 222192936 /nfs/dbraw/zinc/19/29/36/222192936.db2.gz BSHDODAZLSXUCZ-UHFFFAOYSA-N -1 1 321.387 1.221 20 0 DDADMM O=C(Nc1ccnn1C[C@@H]1CCOC1)c1ccc(O)cc1[O-] ZINC000195277329 222196030 /nfs/dbraw/zinc/19/60/30/222196030.db2.gz WGILINNRQSFISK-JTQLQIEISA-N -1 1 303.318 1.583 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@H](C)S1(=O)=O ZINC000291175178 222197777 /nfs/dbraw/zinc/19/77/77/222197777.db2.gz HRIGVERKAGAZJN-IUCAKERBSA-N -1 1 301.339 1.179 20 0 DDADMM CN(C)CCN(C1CCCC1)S(=O)(=O)[N-]CC(F)(F)F ZINC000195372531 222199790 /nfs/dbraw/zinc/19/97/90/222199790.db2.gz OTJDQFJGEWCUAK-UHFFFAOYSA-N -1 1 317.377 1.189 20 0 DDADMM CC(C)OC(=O)CCCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000361236303 418610222 /nfs/dbraw/zinc/61/02/22/418610222.db2.gz ILXUKPHVDTYBGL-UHFFFAOYSA-N -1 1 320.349 1.136 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(F)c(C)c2)C1 ZINC000367865917 418649484 /nfs/dbraw/zinc/64/94/84/418649484.db2.gz QYXHIVMZWOXXJW-LBPRGKRZSA-N -1 1 324.352 1.571 20 0 DDADMM COc1ccccc1N1C[C@@H](C(=O)[N-]OC/C=C/Cl)CC1=O ZINC000291434812 222387203 /nfs/dbraw/zinc/38/72/03/222387203.db2.gz APPXGUFJDVIYJP-ZPMKCOPCSA-N -1 1 324.764 1.848 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)Nc2ccc(OC)cc2)n1 ZINC000361995553 418736490 /nfs/dbraw/zinc/73/64/90/418736490.db2.gz HLYCAOBPQFSKAU-UHFFFAOYSA-N -1 1 321.358 1.936 20 0 DDADMM C[C@@H](Cc1ccccc1F)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000364628051 418794610 /nfs/dbraw/zinc/79/46/10/418794610.db2.gz INMKZOPFQUCXTB-GWCFXTLKSA-N -1 1 319.340 1.118 20 0 DDADMM Cc1ccccc1[C@@H]1C[C@@H]1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000365447266 418862200 /nfs/dbraw/zinc/86/22/00/418862200.db2.gz KBNIVVWPNHDLNB-IHRRRGAJSA-N -1 1 313.361 1.212 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccn(C(C)C)c1C ZINC000410662903 418836921 /nfs/dbraw/zinc/83/69/21/418836921.db2.gz MKJLCNZLDKUHQM-UHFFFAOYSA-N -1 1 318.377 1.962 20 0 DDADMM Cc1ccc(OCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(C)c1 ZINC000372155244 418840744 /nfs/dbraw/zinc/84/07/44/418840744.db2.gz JRKCNAGQANZNGY-CYBMUJFWSA-N -1 1 315.377 1.602 20 0 DDADMM Cc1ccc(OCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(C)c1 ZINC000372155245 418840791 /nfs/dbraw/zinc/84/07/91/418840791.db2.gz JRKCNAGQANZNGY-ZDUSSCGKSA-N -1 1 315.377 1.602 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H](O)C2CCC2)c(F)c1 ZINC000425183705 228383617 /nfs/dbraw/zinc/38/36/17/228383617.db2.gz HHTGKHJFISOBGH-GFCCVEGCSA-N -1 1 305.346 1.713 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2COCC2(C)C)c(F)c1 ZINC000425187103 228385223 /nfs/dbraw/zinc/38/52/23/228385223.db2.gz OLBSXWHUUZXAHW-LLVKDONJSA-N -1 1 305.346 1.977 20 0 DDADMM Cc1ccc(C(=O)NCCNC(=O)c2ncc(C)cc2[O-])cc1 ZINC000426624585 419520075 /nfs/dbraw/zinc/52/00/75/419520075.db2.gz FZEBUHDMEVEUMU-UHFFFAOYSA-N -1 1 313.357 1.564 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCc1ccccc1O ZINC000427618671 419696605 /nfs/dbraw/zinc/69/66/05/419696605.db2.gz ROHGKYBCEBKKMC-UHFFFAOYSA-N -1 1 319.386 1.891 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@H](C)O[C@H](C)C(=O)[O-])[nH]c21 ZINC000421804158 419735435 /nfs/dbraw/zinc/73/54/35/419735435.db2.gz JGIQOFIYCVICSH-VHSXEESVSA-N -1 1 305.334 1.366 20 0 DDADMM COc1ccnc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)c1OC ZINC000429895495 420056844 /nfs/dbraw/zinc/05/68/44/420056844.db2.gz LMAMPSDNYFZAAA-UHFFFAOYSA-N -1 1 324.362 1.068 20 0 DDADMM CC[C@H](CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccccc1 ZINC000416122293 420248831 /nfs/dbraw/zinc/24/88/31/420248831.db2.gz IYKSJJCSFPQRDU-SNVBAGLBSA-N -1 1 317.345 1.820 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc(=O)[nH]c2C)c1 ZINC000436504035 420334684 /nfs/dbraw/zinc/33/46/84/420334684.db2.gz JNKHDDNYVGLOLI-UHFFFAOYSA-N -1 1 302.286 1.840 20 0 DDADMM CCOC(=O)[C@@](C)(O)CNC(=O)c1cc(Cl)ccc1[O-] ZINC000436535631 420336119 /nfs/dbraw/zinc/33/61/19/420336119.db2.gz NLDKPLQGNDYYDL-ZDUSSCGKSA-N -1 1 301.726 1.090 20 0 DDADMM COC(=O)[C@@](C)(O)CNC(=O)c1cc2ccccc2cc1[O-] ZINC000436532252 420337790 /nfs/dbraw/zinc/33/77/90/420337790.db2.gz FUCDIKXREAQGMG-INIZCTEOSA-N -1 1 303.314 1.199 20 0 DDADMM NC(=O)[C@@H](Cc1ccccc1)NC(=O)c1ccc(Cl)cc1[O-] ZINC000436551452 420339542 /nfs/dbraw/zinc/33/95/42/420339542.db2.gz ZDKZBJONIGJQMW-CYBMUJFWSA-N -1 1 318.760 1.872 20 0 DDADMM CS(=O)(=O)CCCCCNC(=O)c1ccc([O-])cc1F ZINC000436563663 420340625 /nfs/dbraw/zinc/34/06/25/420340625.db2.gz ICAKGDGQZJXZFL-UHFFFAOYSA-N -1 1 303.355 1.476 20 0 DDADMM COc1cc(C)cc(C(=O)N=c2ccn(CC(F)F)[nH]2)c1[O-] ZINC000436572100 420341131 /nfs/dbraw/zinc/34/11/31/420341131.db2.gz CZEFQMSEYWTIQV-UHFFFAOYSA-N -1 1 311.288 1.845 20 0 DDADMM Cc1ccc(C[C@H](CO)NC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000436591633 420341164 /nfs/dbraw/zinc/34/11/64/420341164.db2.gz XQILELIHDMZHGN-OAHLLOKOSA-N -1 1 313.353 1.603 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cccc1F)C1(S(C)(=O)=O)CC1 ZINC000436648829 420349702 /nfs/dbraw/zinc/34/97/02/420349702.db2.gz RYFYCNFMUMCIMP-MRVPVSSYSA-N -1 1 301.339 1.227 20 0 DDADMM CCOC(=O)[C@H](CC)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425322807 420350699 /nfs/dbraw/zinc/35/06/99/420350699.db2.gz OBZDYSGOBDUGPF-SNVBAGLBSA-N -1 1 311.338 1.071 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cn3c(n2)CCC[C@@H]3C)n1 ZINC000416421167 420364436 /nfs/dbraw/zinc/36/44/36/420364436.db2.gz AUWBDUAXAHVVID-QMMMGPOBSA-N -1 1 310.383 1.262 20 0 DDADMM O=C(NCc1nccc(C(F)F)n1)C(=O)c1ccc([O-])cc1 ZINC000436735968 420360893 /nfs/dbraw/zinc/36/08/93/420360893.db2.gz DYWUJSFWNKPKAP-UHFFFAOYSA-N -1 1 307.256 1.619 20 0 DDADMM NS(=O)(=O)c1ccccc1CNC(=O)c1ccc([O-])cc1F ZINC000436734490 420361193 /nfs/dbraw/zinc/36/11/93/420361193.db2.gz ADNHERMLEPMWJG-UHFFFAOYSA-N -1 1 324.333 1.109 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCc2ccnc(C)n2)c1 ZINC000436723620 420361682 /nfs/dbraw/zinc/36/16/82/420361682.db2.gz LXRPEUUGKJSJIJ-UHFFFAOYSA-N -1 1 315.329 1.849 20 0 DDADMM CCCN(CC(N)=O)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436831555 420371616 /nfs/dbraw/zinc/37/16/16/420371616.db2.gz QGAPUSOZQJVNBJ-UHFFFAOYSA-N -1 1 300.742 1.392 20 0 DDADMM CON1CCC([N-]S(=O)(=O)c2c(F)cccc2Cl)CC1 ZINC000420747051 420376190 /nfs/dbraw/zinc/37/61/90/420376190.db2.gz GNSOJAWYFJJEGU-UHFFFAOYSA-N -1 1 322.789 1.783 20 0 DDADMM COC(=O)c1cc(CNC(=O)C(=O)c2ccc([O-])cc2)oc1C ZINC000436860207 420377846 /nfs/dbraw/zinc/37/78/46/420377846.db2.gz MUBHDCKFTKHGBP-UHFFFAOYSA-N -1 1 317.297 1.579 20 0 DDADMM CCn1nccc1CN(CCO)C(=O)c1ccc(Cl)cc1[O-] ZINC000436887800 420380455 /nfs/dbraw/zinc/38/04/55/420380455.db2.gz KUTIKQHMEVPHMO-UHFFFAOYSA-N -1 1 323.780 1.897 20 0 DDADMM COC[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[O-])C(=O)OC ZINC000436907399 420382846 /nfs/dbraw/zinc/38/28/46/420382846.db2.gz SMIMHVBFIQTISX-VIFPVBQESA-N -1 1 321.251 1.329 20 0 DDADMM O=C(C(=O)N1CCC[C@H]1C1CCOCC1)c1ccc([O-])cc1 ZINC000437003237 420392418 /nfs/dbraw/zinc/39/24/18/420392418.db2.gz ZYBLEEZEWBOALH-HNNXBMFYSA-N -1 1 303.358 1.993 20 0 DDADMM O=C(C(=O)N1CCn2c3ccccc3nc21)c1ccc([O-])cc1 ZINC000438770892 420464711 /nfs/dbraw/zinc/46/47/11/420464711.db2.gz LRYBXEDMAICZSP-UHFFFAOYSA-N -1 1 307.309 1.971 20 0 DDADMM Cc1ccsc1-c1nnc(SCC(=O)Nc2nnn[n-]2)o1 ZINC000439268683 420504429 /nfs/dbraw/zinc/50/44/29/420504429.db2.gz UJMVWKJPWIOMMM-UHFFFAOYSA-N -1 1 323.363 1.350 20 0 DDADMM Cc1ccsc1-c1nnc(SCC(=O)Nc2nn[n-]n2)o1 ZINC000439268683 420504434 /nfs/dbraw/zinc/50/44/34/420504434.db2.gz UJMVWKJPWIOMMM-UHFFFAOYSA-N -1 1 323.363 1.350 20 0 DDADMM CC[C@H](C(=O)Nc1nc(-c2ccccn2)n[nH]1)N1CCCC1=O ZINC000439029214 420476340 /nfs/dbraw/zinc/47/63/40/420476340.db2.gz VHORXZSOTSDBHB-LLVKDONJSA-N -1 1 314.349 1.206 20 0 DDADMM O=C([O-])c1cnc(C(=O)Nc2cccc(-c3nnc[nH]3)c2)cn1 ZINC000439936024 420546911 /nfs/dbraw/zinc/54/69/11/420546911.db2.gz OGLBMFLWIRJGBR-UHFFFAOYSA-N -1 1 310.273 1.212 20 0 DDADMM O=C(CN1CCC(c2n[n-]c(=O)o2)CC1)Nc1ccccc1F ZINC000450913619 420559984 /nfs/dbraw/zinc/55/99/84/420559984.db2.gz KBAHQJDKBDXQPV-UHFFFAOYSA-N -1 1 320.324 1.732 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1CCCC1(F)F ZINC000452816128 420667438 /nfs/dbraw/zinc/66/74/38/420667438.db2.gz RLBWVWJAUOILJH-VIFPVBQESA-N -1 1 313.304 1.069 20 0 DDADMM CC(C)Oc1cccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000493113096 420759450 /nfs/dbraw/zinc/75/94/50/420759450.db2.gz FFQNFCTYMYTWDE-VOTSOKGWSA-N -1 1 313.361 1.806 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC(C)(C)C)c1ccsc1 ZINC000443048989 420738550 /nfs/dbraw/zinc/73/85/50/420738550.db2.gz NWQSWFFZDJOVMU-JTQLQIEISA-N -1 1 305.421 1.928 20 0 DDADMM COCC1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCCC1 ZINC000454341372 420842726 /nfs/dbraw/zinc/84/27/26/420842726.db2.gz DAQKIHNXCUBKQS-UHFFFAOYSA-N -1 1 315.373 1.860 20 0 DDADMM CCn1cc([C@@H](C)NC(=O)c2nc3ccccc3c(=O)[n-]2)cn1 ZINC000454348952 420843658 /nfs/dbraw/zinc/84/36/58/420843658.db2.gz VDSOFKSMXCLCLQ-SNVBAGLBSA-N -1 1 311.345 1.631 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](CCOC(=O)c2cn[n-]n2)C1 ZINC000495931549 421096840 /nfs/dbraw/zinc/09/68/40/421096840.db2.gz GKOATLOPDLQYDG-SNVBAGLBSA-N -1 1 310.354 1.609 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(Cl)ccc2[O-])C[C@H](C)N1CCO ZINC000456228792 421129695 /nfs/dbraw/zinc/12/96/95/421129695.db2.gz QYYIFAXZHIZKJM-PHIMTYICSA-N -1 1 312.797 1.573 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)c2nccn21 ZINC000456434994 421167534 /nfs/dbraw/zinc/16/75/34/421167534.db2.gz VDIOQHLVBDLIJJ-LDYMZIIASA-N -1 1 312.333 1.306 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccn(C2CCCC2)n1)c1nn[n-]n1 ZINC000544686797 421227060 /nfs/dbraw/zinc/22/70/60/421227060.db2.gz HHCMLJIFTBCLCJ-JTQLQIEISA-N -1 1 303.370 1.387 20 0 DDADMM CN(CCCC(=O)[O-])c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000561087746 421305203 /nfs/dbraw/zinc/30/52/03/421305203.db2.gz GVFANVCRHHLSAC-UHFFFAOYSA-N -1 1 303.244 1.673 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CC[C@H](c2nc(C3CC3)no2)C1 ZINC000560412224 421260912 /nfs/dbraw/zinc/26/09/12/421260912.db2.gz OXXNXSJPRCSPMX-JTQLQIEISA-N -1 1 324.344 1.848 20 0 DDADMM O=S(=O)(Cc1ccccc1)[N-]Cc1nc(C(F)(F)F)no1 ZINC000546598012 421296470 /nfs/dbraw/zinc/29/64/70/421296470.db2.gz LWFOCSBQZKFRLW-UHFFFAOYSA-N -1 1 321.280 1.708 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnc2ccsc2c1)c1nn[n-]n1 ZINC000547809843 421369623 /nfs/dbraw/zinc/36/96/23/421369623.db2.gz WWRPOQZHRABJTJ-QMMMGPOBSA-N -1 1 302.363 1.685 20 0 DDADMM Cc1cc(NC(=O)c2cc(=O)n3[n-]cnc3n2)ccc1C1CC1 ZINC000548142895 421405846 /nfs/dbraw/zinc/40/58/46/421405846.db2.gz BGZRHDFRDTUHKC-UHFFFAOYSA-N -1 1 309.329 1.856 20 0 DDADMM CN1CCc2ccc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)cc21 ZINC000548463510 421442360 /nfs/dbraw/zinc/44/23/60/421442360.db2.gz NBJHLWGNFSISHI-ZDUSSCGKSA-N -1 1 312.377 1.212 20 0 DDADMM O=C(N[C@@H]1C[C@@H]2CCCCN2C1=O)c1csc(=NC2CC2)[n-]1 ZINC000562641044 421390751 /nfs/dbraw/zinc/39/07/51/421390751.db2.gz WUDZWUCEMKPTNJ-WDEREUQCSA-N -1 1 320.418 1.023 20 0 DDADMM C[C@]1(C(=O)[O-])CCCN(Cc2cn(-c3ccccc3)nn2)C1 ZINC000563543163 421519534 /nfs/dbraw/zinc/51/95/34/421519534.db2.gz QFHYIZMRPLQPMS-INIZCTEOSA-N -1 1 300.362 1.954 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ccc([O-])cc2F)[C@H]1n1cccn1 ZINC000516993115 421586539 /nfs/dbraw/zinc/58/65/39/421586539.db2.gz NRTRDSREPBRZEW-RBSFLKMASA-N -1 1 319.336 1.876 20 0 DDADMM Cc1ccc(C(C)C)cc1OCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000518033244 421636853 /nfs/dbraw/zinc/63/68/53/421636853.db2.gz REZVNSKTJWMWIZ-UHFFFAOYSA-N -1 1 315.377 1.816 20 0 DDADMM C[C@@H](CS(C)(=O)=O)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000536003671 421716025 /nfs/dbraw/zinc/71/60/25/421716025.db2.gz MTVADHJIJYOQLU-VIFPVBQESA-N -1 1 317.388 1.144 20 0 DDADMM CCn1nc(C)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1C ZINC000537122758 421727485 /nfs/dbraw/zinc/72/74/85/421727485.db2.gz NBRGDCQPOTVJKZ-NSHDSACASA-N -1 1 303.370 1.053 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC(C)(F)F)cc1OC ZINC000555407707 421678048 /nfs/dbraw/zinc/67/80/48/421678048.db2.gz LHOZBTVJZURNPT-UHFFFAOYSA-N -1 1 313.297 1.776 20 0 DDADMM COC(=O)[C@H](NCc1ncccc1[O-])[C@H]1CCC[C@H](OC)C1 ZINC000571810673 421745860 /nfs/dbraw/zinc/74/58/60/421745860.db2.gz GAWFHMAZNMDQHO-SLEUVZQESA-N -1 1 308.378 1.624 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)CCC2(C)CC2)CCSC1 ZINC000558435930 421807072 /nfs/dbraw/zinc/80/70/72/421807072.db2.gz JTYZYXOFKXWJOF-GFCCVEGCSA-N -1 1 307.437 1.145 20 0 DDADMM Cc1c(F)cc(S(=O)(=O)N[C@@H](C)CN(C)C)cc1C(=O)[O-] ZINC000572528834 421797413 /nfs/dbraw/zinc/79/74/13/421797413.db2.gz AEONGLGTUBNJGL-QMMMGPOBSA-N -1 1 318.370 1.061 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@@H](c1ccccc1)C(C)(C)CO ZINC000635313672 421901365 /nfs/dbraw/zinc/90/13/65/421901365.db2.gz MSPNWSKURBZSTF-HNNXBMFYSA-N -1 1 317.389 1.968 20 0 DDADMM COc1ccc(OC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cc1 ZINC000543451001 421837905 /nfs/dbraw/zinc/83/79/05/421837905.db2.gz MTUJKZAQAPPESC-UHFFFAOYSA-N -1 1 318.377 1.160 20 0 DDADMM COc1ccc(F)cc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630222184 421973385 /nfs/dbraw/zinc/97/33/85/421973385.db2.gz DZGMNOHBAUZXDL-NSHDSACASA-N -1 1 324.352 1.455 20 0 DDADMM O=C([O-])c1ccc(OCCNC(=O)[C@@H]2CCCN2C2CC2)cc1 ZINC000630158835 421927426 /nfs/dbraw/zinc/92/74/26/421927426.db2.gz VRWMRTPXGGZKHV-HNNXBMFYSA-N -1 1 318.373 1.507 20 0 DDADMM CCOc1ccc(C[N-]S(=O)(=O)c2conc2C)cc1F ZINC000631909076 421934393 /nfs/dbraw/zinc/93/43/93/421934393.db2.gz PDTYWYVVTOKGPP-UHFFFAOYSA-N -1 1 314.338 1.999 20 0 DDADMM COc1cc(C(=O)[O-])ccc1NC(=O)[C@H](C)Cc1cnc[nH]1 ZINC000630184090 421943783 /nfs/dbraw/zinc/94/37/83/421943783.db2.gz GQVJGPQSKDFCBU-SECBINFHSA-N -1 1 303.318 1.934 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CCc3ccccc32)CC1 ZINC000630223710 421974061 /nfs/dbraw/zinc/97/40/61/421974061.db2.gz MFLGASUCBXKCHS-BBRMVZONSA-N -1 1 316.401 1.724 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)[C@H]2CCCN2C2CC2)c(F)c1 ZINC000630211516 421963932 /nfs/dbraw/zinc/96/39/32/421963932.db2.gz WTPDANORQLLAIS-CQSZACIVSA-N -1 1 306.337 1.767 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3[nH]ccc32)CC1 ZINC000630224378 421974723 /nfs/dbraw/zinc/97/47/23/421974723.db2.gz OXORPDVBCBSMIW-GFCCVEGCSA-N -1 1 315.373 1.789 20 0 DDADMM Cc1ccc([C@@H](NC(=O)CCc2nn[n-]n2)C(F)(F)F)o1 ZINC000633592975 421987027 /nfs/dbraw/zinc/98/70/27/421987027.db2.gz YFYHKBHTGBAIMU-SNVBAGLBSA-N -1 1 303.244 1.454 20 0 DDADMM O=C([O-])CCCCNC(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000635502245 422048620 /nfs/dbraw/zinc/04/86/20/422048620.db2.gz BDSVORBHTCQXGA-CYBMUJFWSA-N -1 1 323.393 1.971 20 0 DDADMM CCc1nncn1CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632046122 422049670 /nfs/dbraw/zinc/04/96/70/422049670.db2.gz ALFUFOZCCQQRCU-UHFFFAOYSA-N -1 1 320.321 1.350 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccccc1OC(C)C ZINC000633692667 422052871 /nfs/dbraw/zinc/05/28/71/422052871.db2.gz PXLJNDMXSRXSDO-UHFFFAOYSA-N -1 1 316.361 1.539 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1(COC)CCCCC1 ZINC000633691886 422053790 /nfs/dbraw/zinc/05/37/90/422053790.db2.gz UGUJPVHUDSRFNQ-UHFFFAOYSA-N -1 1 308.382 1.035 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H](OC)C(C)C)c1 ZINC000632056053 422055897 /nfs/dbraw/zinc/05/58/97/422055897.db2.gz PJOZXGGFRXCDDO-GFCCVEGCSA-N -1 1 303.380 1.350 20 0 DDADMM C[C@H]1CCN(CC(=O)Nc2ccc3c(c2)OCO3)C[C@@H]1C(=O)[O-] ZINC000583440376 422166737 /nfs/dbraw/zinc/16/67/37/422166737.db2.gz BEMZLVUGEVFJRE-JQWIXIFHSA-N -1 1 320.345 1.396 20 0 DDADMM CCOc1cncc(=NC(=O)Cc2cn3cc(C)sc3n2)[n-]1 ZINC000637377673 422186360 /nfs/dbraw/zinc/18/63/60/422186360.db2.gz VWPGAAJOWWSFDD-UHFFFAOYSA-N -1 1 317.374 1.496 20 0 DDADMM COc1cc(F)cc(C[N-]S(=O)(=O)c2conc2C)c1 ZINC000632171140 422136309 /nfs/dbraw/zinc/13/63/09/422136309.db2.gz TURWSPGBMCGPJZ-UHFFFAOYSA-N -1 1 300.311 1.609 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCC34CC4)nc2n1 ZINC000637418947 422203563 /nfs/dbraw/zinc/20/35/63/422203563.db2.gz NLPVQVCNOGXUDN-LLVKDONJSA-N -1 1 315.377 1.889 20 0 DDADMM Cn1cc(-c2ccc(CN[C@@]3(C(=O)[O-])CCOC3)s2)cn1 ZINC000574906256 422213321 /nfs/dbraw/zinc/21/33/21/422213321.db2.gz IZRSNDBXTBNDIN-AWEZNQCLSA-N -1 1 307.375 1.482 20 0 DDADMM O=S(=O)(C[C@H]1CCCCO1)c1nc(-c2ccccc2)n[n-]1 ZINC000574995335 422230622 /nfs/dbraw/zinc/23/06/22/422230622.db2.gz NONFNPLGXMWUDY-GFCCVEGCSA-N -1 1 307.375 1.815 20 0 DDADMM O=S(=O)(C[C@H]1CCCCO1)c1n[n-]c(-c2ccccc2)n1 ZINC000574995335 422230629 /nfs/dbraw/zinc/23/06/29/422230629.db2.gz NONFNPLGXMWUDY-GFCCVEGCSA-N -1 1 307.375 1.815 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCOC[C@H]2C)c1 ZINC000632333144 422255513 /nfs/dbraw/zinc/25/55/13/422255513.db2.gz JPDPEDOJTUZIPQ-MNOVXSKESA-N -1 1 315.391 1.352 20 0 DDADMM CCC(CC)(CNS(=O)(=O)c1cc(OC)ccc1[O-])OC ZINC000632362724 422276116 /nfs/dbraw/zinc/27/61/16/422276116.db2.gz QOQRMWLQBKPYGM-UHFFFAOYSA-N -1 1 317.407 1.884 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCOc1cccc2ccccc21 ZINC000630784784 422334449 /nfs/dbraw/zinc/33/44/49/422334449.db2.gz WGUPNLHTUJCPML-UHFFFAOYSA-N -1 1 311.345 1.481 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](O)C1)c1cc(Cl)sc1Cl ZINC000632429839 422336487 /nfs/dbraw/zinc/33/64/87/422336487.db2.gz VHEPKMSQNGGANJ-SYDPRGILSA-N -1 1 302.204 1.857 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2COC(C)(C)C2)c1 ZINC000632544949 422425950 /nfs/dbraw/zinc/42/59/50/422425950.db2.gz QLEGPAYMNNNSGX-VIFPVBQESA-N -1 1 301.364 1.247 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccncc1Cl)c1cncc([O-])c1 ZINC000577885413 422453604 /nfs/dbraw/zinc/45/36/04/422453604.db2.gz IWLFXVFWYQNSSQ-SNVBAGLBSA-N -1 1 321.764 1.417 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1ccnc(C(F)(F)F)c1 ZINC000635979217 422535926 /nfs/dbraw/zinc/53/59/26/422535926.db2.gz CPRHECWTLXZWJV-UHFFFAOYSA-N -1 1 314.271 1.253 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCO[C@@H](c2cccs2)C1 ZINC000635995239 422548840 /nfs/dbraw/zinc/54/88/40/422548840.db2.gz KHKPEXGWMXSOTL-GHMZBOCLSA-N -1 1 321.406 1.620 20 0 DDADMM O=C(N[C@@H]1CCOC[C@@H]1O)c1ccc(C(F)(F)F)cc1[O-] ZINC000629247581 422559838 /nfs/dbraw/zinc/55/98/38/422559838.db2.gz YOIXUUQWZADDSR-KOLCDFICSA-N -1 1 305.252 1.291 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)cc1)C1CC1 ZINC000629282124 422581184 /nfs/dbraw/zinc/58/11/84/422581184.db2.gz HOTZRVFIEWBTRG-CYBMUJFWSA-N -1 1 312.391 1.342 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1C[C@H](c2ccc(Cl)cc2)C1 ZINC000632834195 422614974 /nfs/dbraw/zinc/61/49/74/422614974.db2.gz SPCSFFXTKCNQLJ-UMSPYCQHSA-N -1 1 305.769 1.848 20 0 DDADMM COCCOCCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629377140 422640174 /nfs/dbraw/zinc/64/01/74/422640174.db2.gz LKKXDQPPFSTBHO-UHFFFAOYSA-N -1 1 305.334 1.570 20 0 DDADMM CCn1nc(C)c([N-]S(=O)(=O)CC2(OC)CCC2)c1C ZINC000634789458 422647269 /nfs/dbraw/zinc/64/72/69/422647269.db2.gz OZWVYULYDGLINW-UHFFFAOYSA-N -1 1 301.412 1.831 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1C[C@H](C(=O)[O-])CC[C@@H]1C ZINC000629491033 422698326 /nfs/dbraw/zinc/69/83/26/422698326.db2.gz NBQDVNUEWAUHSI-WDEREUQCSA-N -1 1 324.343 1.972 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1[C@@H]2c3ccc(Br)cc3C[C@H]12 ZINC000631362655 422714355 /nfs/dbraw/zinc/71/43/55/422714355.db2.gz SGTRCTRICDDJOO-IVZWLZJFSA-N -1 1 320.150 1.487 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1[C@@H]2c3ccc(Br)cc3C[C@H]12 ZINC000631362655 422714358 /nfs/dbraw/zinc/71/43/58/422714358.db2.gz SGTRCTRICDDJOO-IVZWLZJFSA-N -1 1 320.150 1.487 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@@H]3[C@@H](C(=O)[O-])C3(C)C)[nH]c21 ZINC000634828412 422672814 /nfs/dbraw/zinc/67/28/14/422672814.db2.gz VIZOEAHPWPXJOC-RYUDHWBXSA-N -1 1 301.346 1.844 20 0 DDADMM Cc1cc(C)cc(-c2cc(=NC(=O)[C@@H]3CNCCO3)[nH][nH]2)c1 ZINC000645842140 423209890 /nfs/dbraw/zinc/20/98/90/423209890.db2.gz UTRBHGPJSZFJIG-AWEZNQCLSA-N -1 1 300.362 1.042 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)COC(C)(C)C ZINC000181833724 263370460 /nfs/dbraw/zinc/37/04/60/263370460.db2.gz ZYSVNPQTRSAUBF-UHFFFAOYSA-N -1 1 306.366 1.071 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCC3(CO)CCC3)cnc2n1 ZINC000653041546 423271493 /nfs/dbraw/zinc/27/14/93/423271493.db2.gz JSCLNGPRTVVDON-UHFFFAOYSA-N -1 1 315.373 1.926 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCCC3(CO)CCC3)c[n-]c2n1 ZINC000653041546 423271500 /nfs/dbraw/zinc/27/15/00/423271500.db2.gz JSCLNGPRTVVDON-UHFFFAOYSA-N -1 1 315.373 1.926 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000646113526 423346231 /nfs/dbraw/zinc/34/62/31/423346231.db2.gz GAKQTXBIYXGOLW-QMMMGPOBSA-N -1 1 320.349 1.890 20 0 DDADMM O=C(c1cccc2c[nH]nc21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000646067730 423323117 /nfs/dbraw/zinc/32/31/17/423323117.db2.gz MAOIYSHYTOJINI-SNVBAGLBSA-N -1 1 313.317 1.671 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@@H]2CCc3[nH]cnc3C2)C[C@H]1C(=O)[O-] ZINC000646158168 423373182 /nfs/dbraw/zinc/37/31/82/423373182.db2.gz HTQYDSZDOYZTNA-GMTAPVOTSA-N -1 1 306.366 1.019 20 0 DDADMM CN(CCc1nccs1)[C@@H]1CCCCN(CC(=O)[O-])C1=O ZINC000643876170 423389076 /nfs/dbraw/zinc/38/90/76/423389076.db2.gz MZSFJWFVMRUBGH-LLVKDONJSA-N -1 1 311.407 1.083 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc(F)cc3Cl)nc2n1 ZINC000643909063 423401552 /nfs/dbraw/zinc/40/15/52/423401552.db2.gz CVELSHPCDVNYEY-UHFFFAOYSA-N -1 1 321.699 1.771 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCCN(CC(F)(F)F)C1 ZINC000646410833 423480309 /nfs/dbraw/zinc/48/03/09/423480309.db2.gz ZOPQZGTTWNBDFU-QMMMGPOBSA-N -1 1 306.288 1.637 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1CCN(C(=O)N2CCCC2)CC1 ZINC000646413161 423480458 /nfs/dbraw/zinc/48/04/58/423480458.db2.gz RFRARZSPPXYCDR-UHFFFAOYSA-N -1 1 321.381 1.290 20 0 DDADMM C[C@H]1CCCc2ncc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)n21 ZINC000651561567 423595846 /nfs/dbraw/zinc/59/58/46/423595846.db2.gz QDASZTKVKUJENQ-QWRGUYRKSA-N -1 1 315.381 1.313 20 0 DDADMM CC(=O)NCCN(C)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000649043545 423596062 /nfs/dbraw/zinc/59/60/62/423596062.db2.gz SGRCLIIKXJXUIV-UHFFFAOYSA-N -1 1 304.268 1.619 20 0 DDADMM CC(C)(C)C[C@@H]1C[C@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000649210918 423678804 /nfs/dbraw/zinc/67/88/04/423678804.db2.gz SJXXXWGEVKEMPT-JGVFFNPUSA-N -1 1 300.384 1.219 20 0 DDADMM CC(C)(C)C[C@@H]1C[C@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000649210918 423678810 /nfs/dbraw/zinc/67/88/10/423678810.db2.gz SJXXXWGEVKEMPT-JGVFFNPUSA-N -1 1 300.384 1.219 20 0 DDADMM COc1ccc(CN[C@@H](C(=O)[O-])c2ccnn2C)cc1OC ZINC000644598230 423718188 /nfs/dbraw/zinc/71/81/88/423718188.db2.gz SZDKOBSLJFWIAG-CQSZACIVSA-N -1 1 305.334 1.353 20 0 DDADMM Cn1[n-]c(CN2CC[C@H](c3ccc4cccnc4n3)C2)nc1=O ZINC000639790800 423737610 /nfs/dbraw/zinc/73/76/10/423737610.db2.gz FJEWZMFRWNUITA-LBPRGKRZSA-N -1 1 310.361 1.041 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)CC[C@H]1CCc2ccccc21 ZINC000641632824 423779837 /nfs/dbraw/zinc/77/98/37/423779837.db2.gz QJTDTBWEKPUBFC-UKRRQHHQSA-N -1 1 323.414 1.384 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)C[C@H]1C1CC1 ZINC000652126920 423885126 /nfs/dbraw/zinc/88/51/26/423885126.db2.gz AEOAVAHMECMLOB-NWDGAFQWSA-N -1 1 315.329 1.853 20 0 DDADMM O=C([O-])c1cncc(NCC2(N3CCOCC3)CCCCC2)n1 ZINC000649382899 423828257 /nfs/dbraw/zinc/82/82/57/423828257.db2.gz DCXOZPZGVUCZBV-UHFFFAOYSA-N -1 1 320.393 1.622 20 0 DDADMM CO[C@H](Cc1ccccc1)CS(=O)(=O)[N-][C@@H](C)C(F)F ZINC000641705441 423887885 /nfs/dbraw/zinc/88/78/85/423887885.db2.gz MMIIEFQTKSZTMX-CMPLNLGQSA-N -1 1 307.362 1.817 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1n[nH]c(SC(C)C)n1)C(C)C ZINC000647266916 423932082 /nfs/dbraw/zinc/93/20/82/423932082.db2.gz GDDFARYHUVBJSA-SECBINFHSA-N -1 1 322.456 1.718 20 0 DDADMM C[C@@H](CCCO)[N-]S(=O)(=O)c1ncccc1Br ZINC000656932270 423891959 /nfs/dbraw/zinc/89/19/59/423891959.db2.gz UBCYVYFEUKYFRQ-QMMMGPOBSA-N -1 1 323.212 1.283 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1C[C@H]1C(=O)[O-])c1ccc(Cl)cc1 ZINC000649462192 423928844 /nfs/dbraw/zinc/92/88/44/423928844.db2.gz JFWGXUWUJGERPR-UPJWGTAASA-N -1 1 310.781 1.780 20 0 DDADMM Cc1cccc(CNC(=O)CN2CCC(C)(C(=O)[O-])CC2)c1 ZINC000647374631 424008760 /nfs/dbraw/zinc/00/87/60/424008760.db2.gz HXIZWRGTWPOBBO-UHFFFAOYSA-N -1 1 304.390 1.798 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)[nH]n1 ZINC000644883767 424013612 /nfs/dbraw/zinc/01/36/12/424013612.db2.gz AIUXIGOOKSPPEY-SECBINFHSA-N -1 1 305.338 1.471 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc2c(n1)OCCO2 ZINC000654576511 424058178 /nfs/dbraw/zinc/05/81/78/424058178.db2.gz LPGLVJMHAZAPMW-UHFFFAOYSA-N -1 1 315.211 1.242 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCC1)c1cc(Cl)ccc1F ZINC000657056644 424051536 /nfs/dbraw/zinc/05/15/36/424051536.db2.gz ZJYOEHYDAIOYMM-LLVKDONJSA-N -1 1 307.774 1.918 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2ccc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC000642218984 424136901 /nfs/dbraw/zinc/13/69/01/424136901.db2.gz FZJCUKSUQQGVTA-TXEJJXNPSA-N -1 1 321.377 1.009 20 0 DDADMM CN1CCN(C(=O)N=c2nc3cc(Cl)ccn3[n-]2)CC1(C)C ZINC000640140387 424214443 /nfs/dbraw/zinc/21/44/43/424214443.db2.gz ZILZOHWKEQXUFT-UHFFFAOYSA-N -1 1 322.800 1.363 20 0 DDADMM O=C([O-])COc1cccc(C(=O)N2CCN(CC3CC3)CC2)c1 ZINC000659754937 424220242 /nfs/dbraw/zinc/22/02/42/424220242.db2.gz SZXSEDNFLNHKKR-UHFFFAOYSA-N -1 1 318.373 1.318 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CCO[C@]2(CCOC2)C1 ZINC000640339672 424358842 /nfs/dbraw/zinc/35/88/42/424358842.db2.gz UQEBVCGDUQMAFM-OAHLLOKOSA-N -1 1 319.386 1.741 20 0 DDADMM C[C@@H]1OCC[C@]1(O)CNC(=O)N=c1[n-]sc2ccccc21 ZINC000640339529 424359824 /nfs/dbraw/zinc/35/98/24/424359824.db2.gz RSPHXQUTSNQXAX-XPTSAGLGSA-N -1 1 307.375 1.380 20 0 DDADMM CN(CCN1CCOCC1)C(=O)N=c1[n-]sc2ccccc21 ZINC000640340253 424363089 /nfs/dbraw/zinc/36/30/89/424363089.db2.gz FBJPDZQNAFGRKG-UHFFFAOYSA-N -1 1 320.418 1.514 20 0 DDADMM Cn1[n-]c(CN2CCC3(C=Cc4ccccc4O3)CC2)nc1=O ZINC000662216697 424484586 /nfs/dbraw/zinc/48/45/86/424484586.db2.gz VCIMJRZBAUNHST-UHFFFAOYSA-N -1 1 312.373 1.549 20 0 DDADMM O=C([O-])CN1CCCC[C@H](N2CCC(c3ccon3)CC2)C1=O ZINC000662228659 424492038 /nfs/dbraw/zinc/49/20/38/424492038.db2.gz BEFDPRQUXLNDBI-AWEZNQCLSA-N -1 1 321.377 1.320 20 0 DDADMM O=C1NCC[C@H]1CCSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000655489638 424509265 /nfs/dbraw/zinc/50/92/65/424509265.db2.gz XJNPJJOZVBMHHB-LURJTMIESA-N -1 1 307.297 1.819 20 0 DDADMM CCCN(Cc1ccc(F)cc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662200669 424465699 /nfs/dbraw/zinc/46/56/99/424465699.db2.gz CHJATDPTQPDGTJ-AWEZNQCLSA-N -1 1 308.353 1.723 20 0 DDADMM COc1ccccc1[C@H]1CCN([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662206717 424472356 /nfs/dbraw/zinc/47/23/56/424472356.db2.gz SBHSUVFOUHGDRU-GXTWGEPZSA-N -1 1 318.373 1.170 20 0 DDADMM O=C([O-])[C@@H](Cc1ccncc1)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000655600368 424588307 /nfs/dbraw/zinc/58/83/07/424588307.db2.gz DVTXPNGMGHOGLM-BXUZGUMPSA-N -1 1 314.345 1.037 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2(O)CCC(C)CC2)sc1C ZINC000660175532 424578880 /nfs/dbraw/zinc/57/88/80/424578880.db2.gz KNDJSASIMMQHMB-UHFFFAOYSA-N -1 1 318.464 1.979 20 0 DDADMM C[C@@H](Nc1nc2[nH][n-]cc-2c(=O)n1)c1ccc2c(c1)CCCO2 ZINC000664684003 424684040 /nfs/dbraw/zinc/68/40/40/424684040.db2.gz ALIZVCASAMVJTI-SECBINFHSA-N -1 1 311.345 1.930 20 0 DDADMM C[C@H](CNC(=O)NC[C@H](C)N1CCc2ccccc2C1)C(=O)[O-] ZINC000655865980 424686663 /nfs/dbraw/zinc/68/66/63/424686663.db2.gz UQDQPTHFAAMMKI-OLZOCXBDSA-N -1 1 319.405 1.453 20 0 DDADMM C[C@@H](CNC(=O)NCc1ccc(F)c(CN(C)C)c1)C(=O)[O-] ZINC000655870442 424687163 /nfs/dbraw/zinc/68/71/63/424687163.db2.gz UCQKNOWKQJXWHY-JTQLQIEISA-N -1 1 311.357 1.407 20 0 DDADMM O=C([C@H]1CCc2ccccc2O1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000665381067 424796754 /nfs/dbraw/zinc/79/67/54/424796754.db2.gz NBPPIXNIBLOEES-TZMCWYRMSA-N -1 1 313.361 1.300 20 0 DDADMM CNC(=O)C[C@@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000323092786 271030468 /nfs/dbraw/zinc/03/04/68/271030468.db2.gz XIXQKQYOSGJJLG-SSDOTTSWSA-N -1 1 315.167 1.409 20 0 DDADMM Cn1[n-]c(CN2CC[C@@](F)(c3cc(F)cc(F)c3)C2)nc1=O ZINC000341979332 271265138 /nfs/dbraw/zinc/26/51/38/271265138.db2.gz OBEPAOCWHNIWOP-AWEZNQCLSA-N -1 1 312.295 1.457 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2CSc3ccccc32)n1 ZINC000345249598 272200249 /nfs/dbraw/zinc/20/02/49/272200249.db2.gz JUZZBOIBMUCOEK-QMMMGPOBSA-N -1 1 324.387 1.036 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2CSc3ccccc32)[n-]1 ZINC000345249598 272200251 /nfs/dbraw/zinc/20/02/51/272200251.db2.gz JUZZBOIBMUCOEK-QMMMGPOBSA-N -1 1 324.387 1.036 20 0 DDADMM O=C1CCC(=O)N1CCSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000345362558 272230829 /nfs/dbraw/zinc/23/08/29/272230829.db2.gz GGBZZVKOQQUERT-UHFFFAOYSA-N -1 1 321.280 1.442 20 0 DDADMM Cc1nc(S[C@H](C)C(=O)NC(=O)NC(C)C)[n-]c(=O)c1C ZINC000346725295 272530208 /nfs/dbraw/zinc/53/02/08/272530208.db2.gz RVVISHVZVRGHSS-SECBINFHSA-N -1 1 312.395 1.514 20 0 DDADMM CC(=O)N1CCC[C@@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000192659640 278005235 /nfs/dbraw/zinc/00/52/35/278005235.db2.gz QXWFADCAHABUCI-SSDOTTSWSA-N -1 1 305.260 1.021 20 0 DDADMM CC[C@@H]1CN(C(=O)NCCC(=O)[O-])CCN1Cc1ccccc1 ZINC000263336771 280354634 /nfs/dbraw/zinc/35/46/34/280354634.db2.gz DMWHXYYPPPVCIZ-OAHLLOKOSA-N -1 1 319.405 1.767 20 0 DDADMM CCC[C@@H](NC(=O)c1ccnc(OC2CCC2)c1)c1nn[n-]n1 ZINC000090060997 281035258 /nfs/dbraw/zinc/03/52/58/281035258.db2.gz YPMVCTVKTIQUHE-GFCCVEGCSA-N -1 1 316.365 1.797 20 0 DDADMM COC(=O)c1cccc(CCNC(=O)c2cncc([O-])c2)c1 ZINC000092031877 281039502 /nfs/dbraw/zinc/03/95/02/281039502.db2.gz FYLTWAFSQHRERN-UHFFFAOYSA-N -1 1 300.314 1.546 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)c2ccccc2)co1 ZINC000132253756 281446198 /nfs/dbraw/zinc/44/61/98/281446198.db2.gz SSMZAHFYKIPMMM-SNVBAGLBSA-N -1 1 308.359 1.679 20 0 DDADMM C[C@@H](CC(N)=O)NC(=O)c1ccc(Br)cc1[O-] ZINC000135387035 281587244 /nfs/dbraw/zinc/58/72/44/281587244.db2.gz AINPHNFCFOFBIX-LURJTMIESA-N -1 1 301.140 1.148 20 0 DDADMM CS(=O)(=O)[C@H]1CSCCN1C(=O)c1cc(F)ccc1[O-] ZINC000132901051 295553989 /nfs/dbraw/zinc/55/39/89/295553989.db2.gz YQQNMDLMRSQLRX-NSHDSACASA-N -1 1 319.379 1.091 20 0 DDADMM NC(=O)[C@H]1CCC[C@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000172611627 298252037 /nfs/dbraw/zinc/25/20/37/298252037.db2.gz GOADFXNMKNUTCQ-IUCAKERBSA-N -1 1 318.345 1.287 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3ncc(C)cn3)no2)co1 ZINC000357190633 298990830 /nfs/dbraw/zinc/99/08/30/298990830.db2.gz QRJOFHXDBOUUPN-UHFFFAOYSA-N -1 1 321.318 1.003 20 0 DDADMM C[C@@H](Oc1cccc(F)c1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000362782916 300139802 /nfs/dbraw/zinc/13/98/02/300139802.db2.gz XMJSJIPKQRLKJV-GHMZBOCLSA-N -1 1 319.340 1.512 20 0 DDADMM COC[C@H](C)[C@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000362852520 300160442 /nfs/dbraw/zinc/16/04/42/300160442.db2.gz RCTKCVFZVXJGNF-UWVGGRQHSA-N -1 1 320.393 1.587 20 0 DDADMM O=C([C@@H]1CC[C@@H]2CCCC[C@@H]2C1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363443840 300268521 /nfs/dbraw/zinc/26/85/21/300268521.db2.gz ZGGVHXBJIVTXAW-REWJHTLYSA-N -1 1 319.409 1.706 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnc(-c2cccc(O)c2)nc1 ZINC000365400555 300507718 /nfs/dbraw/zinc/50/77/18/300507718.db2.gz JYHHGHZFBGDNQO-UHFFFAOYSA-N -1 1 309.347 1.237 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@@H](n4cccn4)C3)ccnc1-2 ZINC000365881542 300601717 /nfs/dbraw/zinc/60/17/17/300601717.db2.gz LVMACAXFLJBSSU-ZNCULLJESA-N -1 1 311.349 1.017 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(C2CCC(C)CC2)C1 ZINC000367769902 300882685 /nfs/dbraw/zinc/88/26/85/300882685.db2.gz CJRYJUJVPUJWED-ROKHWSDSSA-N -1 1 312.410 1.498 20 0 DDADMM CCc1nnsc1C(=O)[N-]c1nnc(-c2c[nH]nc2C)s1 ZINC000368732677 301082205 /nfs/dbraw/zinc/08/22/05/301082205.db2.gz LHJWGMAGFVFTQZ-UHFFFAOYSA-N -1 1 321.391 1.903 20 0 DDADMM CC(C)(C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1cccs1 ZINC000369359763 301171431 /nfs/dbraw/zinc/17/14/31/301171431.db2.gz SKKQSPXGAKUPGH-SECBINFHSA-N -1 1 307.379 1.139 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCOCC2(CCC2)C1 ZINC000369902003 301236584 /nfs/dbraw/zinc/23/65/84/301236584.db2.gz JJYGSTIBJYNJBX-UHFFFAOYSA-N -1 1 323.418 1.855 20 0 DDADMM O=C1OC[C@@H]2CN(Cc3cc(=O)oc4cc([O-])ccc34)CCN12 ZINC000370545859 301361474 /nfs/dbraw/zinc/36/14/74/301361474.db2.gz ACAGRJLCBVQZMX-NSHDSACASA-N -1 1 316.313 1.135 20 0 DDADMM O=C(CCc1ccccc1Cl)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370872214 301398625 /nfs/dbraw/zinc/39/86/25/301398625.db2.gz QFOLRKPCUBVZPV-GFCCVEGCSA-N -1 1 321.768 1.386 20 0 DDADMM O=C([N-]C1CCC(N2CCCS2(=O)=O)CC1)C(F)(F)F ZINC000371058757 301420192 /nfs/dbraw/zinc/42/01/92/301420192.db2.gz HBXAOXHXNCDJHY-UHFFFAOYSA-N -1 1 314.329 1.012 20 0 DDADMM Cc1ccc(CNC(=O)c2cncc([O-])c2)c(-n2cncn2)n1 ZINC000376017878 302025395 /nfs/dbraw/zinc/02/53/95/302025395.db2.gz TYDIGPOHEGPJKK-UHFFFAOYSA-N -1 1 310.317 1.001 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])c1nnc2n1CCCCC2 ZINC000376803804 302105794 /nfs/dbraw/zinc/10/57/94/302105794.db2.gz IBVDBVSHLCVJKT-JTQLQIEISA-N -1 1 301.350 1.596 20 0 DDADMM O=C(NCc1noc2c1CCCC2)c1nc2ccccc2c(=O)[n-]1 ZINC000377561650 302193398 /nfs/dbraw/zinc/19/33/98/302193398.db2.gz JGOQRKVAGKSUHP-UHFFFAOYSA-N -1 1 324.340 1.720 20 0 DDADMM Cc1ccc(-c2[n-][nH]c(=NC(=O)[C@H]3C[C@@H]3c3nc[nH]n3)c2C)o1 ZINC000378570062 302337556 /nfs/dbraw/zinc/33/75/56/302337556.db2.gz RLKKZRZNAIZGJK-UWVGGRQHSA-N -1 1 312.333 1.569 20 0 DDADMM C[C@H](N=c1ccc(N2CC[C@@H](C(N)=O)C2)n[n-]1)c1ccccc1 ZINC000515167633 302767026 /nfs/dbraw/zinc/76/70/26/302767026.db2.gz KMPQCOBYMDQMDY-GXTWGEPZSA-N -1 1 311.389 1.383 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@]23C[C@H]2CCC3)c1 ZINC000521606794 302877411 /nfs/dbraw/zinc/87/74/11/302877411.db2.gz FXMFQRCLPDJMIM-YMTOWFKASA-N -1 1 310.375 1.429 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc2cc(=O)[nH]cc21)c1nn[n-]n1 ZINC000529020626 303081510 /nfs/dbraw/zinc/08/15/10/303081510.db2.gz MBGGPGILWPNYPI-SECBINFHSA-N -1 1 312.333 1.329 20 0 DDADMM C[C@H](CN(C)C(=O)C1(C)CCC(F)(F)CC1)c1nn[n-]n1 ZINC000529022790 303081623 /nfs/dbraw/zinc/08/16/23/303081623.db2.gz UJMJBOQKMNJUEK-SECBINFHSA-N -1 1 301.341 1.977 20 0 DDADMM C[C@@H]1CN(Cc2cccc(-n3cccn3)c2)C[C@@H](C(=O)[O-])O1 ZINC000530171134 303179008 /nfs/dbraw/zinc/17/90/08/303179008.db2.gz YZALLFFYCSSRTJ-DOMZBBRYSA-N -1 1 301.346 1.546 20 0 DDADMM CCOCCS(=O)(=O)[N-][C@H](C(=O)OC)C1CCCCC1 ZINC000531787542 303256560 /nfs/dbraw/zinc/25/65/60/303256560.db2.gz SQUYLPGAKMLBDF-LBPRGKRZSA-N -1 1 307.412 1.064 20 0 DDADMM C[C@H]1CCCC[C@H]1CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000531817848 303258796 /nfs/dbraw/zinc/25/87/96/303258796.db2.gz RIDRJXUGHAHAON-RYUDHWBXSA-N -1 1 323.466 1.816 20 0 DDADMM CC(C)c1cccc(C(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000532398451 303284944 /nfs/dbraw/zinc/28/49/44/303284944.db2.gz KIMJNMXUCOSSJG-UHFFFAOYSA-N -1 1 300.366 1.917 20 0 DDADMM CCC[C@@H](NC(=O)c1ccnn1C1CCCC1)c1nn[n-]n1 ZINC000532507561 303288533 /nfs/dbraw/zinc/28/85/33/303288533.db2.gz IJTZJUUCSUMXOQ-LLVKDONJSA-N -1 1 303.370 1.783 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2cc(C(=O)OC)co2)on1 ZINC000532800093 303298307 /nfs/dbraw/zinc/29/83/07/303298307.db2.gz QKNXFSGWGDBKFP-UHFFFAOYSA-N -1 1 314.319 1.095 20 0 DDADMM O=C([O-])C1(NCC(=O)NCCOc2ccccc2)CCCC1 ZINC000533202522 303313792 /nfs/dbraw/zinc/31/37/92/303313792.db2.gz IGNZUHMKRWVSHJ-UHFFFAOYSA-N -1 1 306.362 1.169 20 0 DDADMM NC(=O)C1(C(=O)N=c2cc(-c3ccc(Cl)s3)[n-][nH]2)CCC1 ZINC000535289580 303345838 /nfs/dbraw/zinc/34/58/38/303345838.db2.gz SEZSIGZGSFKJIY-UHFFFAOYSA-N -1 1 324.793 1.808 20 0 DDADMM CC(C)[C@@H]1N(C(=O)c2cc(=O)n3[n-]cnc3n2)CC12CCC2 ZINC000552724658 303663927 /nfs/dbraw/zinc/66/39/27/303663927.db2.gz ICAKPUKGCLUSBV-LBPRGKRZSA-N -1 1 301.350 1.068 20 0 DDADMM O=C(NCc1noc(-c2cccs2)n1)c1ncccc1[O-] ZINC000554740082 303707587 /nfs/dbraw/zinc/70/75/87/303707587.db2.gz NALSWBKYMJTFBX-UHFFFAOYSA-N -1 1 302.315 1.829 20 0 DDADMM COC(=O)[C@H]1C[C@H](OC)CCN1C(=O)c1ccc([O-])cc1F ZINC000370699022 307133195 /nfs/dbraw/zinc/13/31/95/307133195.db2.gz KTSCODROXGUDQA-ZWNOBZJWSA-N -1 1 311.309 1.324 20 0 DDADMM CC(C)n1ncc2c1C[C@@H](NC(=O)c1ncccc1[O-])CC2 ZINC000372080009 307162851 /nfs/dbraw/zinc/16/28/51/307162851.db2.gz KBXDSONXSPUGFH-LBPRGKRZSA-N -1 1 300.362 1.852 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@H]([C@@H]4CCOC4)C3)ccnc1-2 ZINC000376593685 307248787 /nfs/dbraw/zinc/24/87/87/307248787.db2.gz WLPGYWLVOYMEIL-XRNNBIEOSA-N -1 1 315.377 1.232 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1C[C@@H](c2ccccc2)[C@H]1C ZINC000377861099 307277751 /nfs/dbraw/zinc/27/77/51/307277751.db2.gz PUYCYZWKOGQWSD-OASPWFOLSA-N -1 1 321.402 1.866 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@@H](c2ccccc2)[C@H]1C ZINC000377861099 307277752 /nfs/dbraw/zinc/27/77/52/307277752.db2.gz PUYCYZWKOGQWSD-OASPWFOLSA-N -1 1 321.402 1.866 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@H]3NC(=O)O[C@H]3C)[nH][n-]2)c1 ZINC000496148185 307328784 /nfs/dbraw/zinc/32/87/84/307328784.db2.gz CCYIBQMZULQCRV-SDBXPKJASA-N -1 1 318.308 1.382 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC000497040703 307377397 /nfs/dbraw/zinc/37/73/97/307377397.db2.gz ZKEJRJXAKZIRKN-SECBINFHSA-N -1 1 313.402 1.539 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@]12C[C@H]1CCC2 ZINC000520563458 307461121 /nfs/dbraw/zinc/46/11/21/307461121.db2.gz QDPXCPDOZSNTMQ-BDJLRTHQSA-N -1 1 300.362 1.858 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2cc(F)cc(F)c2)o1 ZINC000531585939 307619772 /nfs/dbraw/zinc/61/97/72/307619772.db2.gz VPXMNEUSRAMHLQ-ZCFIWIBFSA-N -1 1 303.290 1.696 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CC[C@H](CO)[C@@H](O)C1 ZINC000545989959 307723393 /nfs/dbraw/zinc/72/33/93/307723393.db2.gz CZEQOPSQWQSEBN-DOMZBBRYSA-N -1 1 301.342 1.361 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(OC[C@@H]2CCOC2)CC1 ZINC000547655167 307741879 /nfs/dbraw/zinc/74/18/79/307741879.db2.gz BJRAGSLIQHKCMO-GFCCVEGCSA-N -1 1 306.362 1.445 20 0 DDADMM COc1ccc2oc(C(=O)NC(C)(C)c3nn[n-]n3)c(C)c2c1 ZINC000564765842 308005151 /nfs/dbraw/zinc/00/51/51/308005151.db2.gz QNGNMJULNSYUDD-UHFFFAOYSA-N -1 1 315.333 1.928 20 0 DDADMM CO[C@H]1CCN([C@H](C)C(=O)NCc2ccc(C(=O)[O-])cc2)C1 ZINC000565104701 308020686 /nfs/dbraw/zinc/02/06/86/308020686.db2.gz OXZWFQCUXQOKOD-RISCZKNCSA-N -1 1 306.362 1.110 20 0 DDADMM C[C@H](CC(=O)[O-])N(C)Cc1nc(-c2ccc3c(c2)OCO3)no1 ZINC000566509930 308056459 /nfs/dbraw/zinc/05/64/59/308056459.db2.gz YVNPFDMEHQQUEG-SECBINFHSA-N -1 1 319.317 1.760 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@H]1CCC(C)C ZINC000566942707 308066720 /nfs/dbraw/zinc/06/67/20/308066720.db2.gz MYUXZUOHWBLKSF-NSHDSACASA-N -1 1 301.412 1.985 20 0 DDADMM COC(=O)c1cncc(S(=O)(=O)[N-][C@H](C)C(F)(F)F)c1 ZINC000567661936 308088552 /nfs/dbraw/zinc/08/85/52/308088552.db2.gz UUIIRJWJRQNHJF-ZCFIWIBFSA-N -1 1 312.269 1.097 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@H]1CCCC(=O)N1 ZINC000568633968 308125939 /nfs/dbraw/zinc/12/59/39/308125939.db2.gz FKDWQJXVSAZGCD-LLVKDONJSA-N -1 1 300.318 1.390 20 0 DDADMM O=S(=O)([N-]c1cnc[nH]1)c1cc2c(cc1Cl)OCCO2 ZINC000570612774 308173827 /nfs/dbraw/zinc/17/38/27/308173827.db2.gz RSTXZJCVRAYBBR-UHFFFAOYSA-N -1 1 315.738 1.635 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC[C@H](OC(F)F)C1 ZINC000572181789 308214859 /nfs/dbraw/zinc/21/48/59/308214859.db2.gz ALDLGWJDWWYTCQ-ZETCQYMHSA-N -1 1 319.333 1.666 20 0 DDADMM CO[C@@H]1CCN(Cc2cnn(C)c2C(F)(F)F)[C@H](C(=O)[O-])C1 ZINC000573267266 308235138 /nfs/dbraw/zinc/23/51/38/308235138.db2.gz CRZPYSLWCILDHS-ZJUUUORDSA-N -1 1 321.299 1.503 20 0 DDADMM CNC(=O)c1ccc(=NC[C@@H]2C[C@H]2c2cccc(OC)c2)[n-]n1 ZINC000576842656 308344271 /nfs/dbraw/zinc/34/42/71/308344271.db2.gz YENFETLJDVWKJH-JSGCOSHPSA-N -1 1 312.373 1.482 20 0 DDADMM C[C@H]1CCc2onc(C(=O)N=c3nc(-c4ccccn4)[nH][n-]3)c2C1 ZINC000577044952 308358321 /nfs/dbraw/zinc/35/83/21/308358321.db2.gz ARPVNEBYWFSSAU-VIFPVBQESA-N -1 1 324.344 1.654 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(C(=O)NCc2ccc3cncn3c2)C1 ZINC000580295969 308593510 /nfs/dbraw/zinc/59/35/10/308593510.db2.gz WGHOJCXBEKCIIW-LBPRGKRZSA-N -1 1 316.361 1.731 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC[C@H](CO)[C@@H](O)C1 ZINC000192665299 325702158 /nfs/dbraw/zinc/70/21/58/325702158.db2.gz LUMJYZWMHOTAMR-PELKAZGASA-N -1 1 319.279 1.226 20 0 DDADMM O=C([O-])C1(CNC(=O)c2c[nH]c3ccccc3c2=O)CCC1 ZINC000388440731 483975125 /nfs/dbraw/zinc/97/51/25/483975125.db2.gz DTUPJWUJDCQELF-UHFFFAOYSA-N -1 1 300.314 1.513 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ccnn1C ZINC000425302549 484115678 /nfs/dbraw/zinc/11/56/78/484115678.db2.gz NRBMQEBCPNQNME-UWVGGRQHSA-N -1 1 305.338 1.018 20 0 DDADMM COc1cccc(CCNC(=O)C(=O)c2ccc([O-])cc2)n1 ZINC000436945376 484128999 /nfs/dbraw/zinc/12/89/99/484128999.db2.gz TXGFNSXSJQUFRV-UHFFFAOYSA-N -1 1 300.314 1.337 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc2c(cc[nH]c2=O)c1)OC ZINC000656633725 484252401 /nfs/dbraw/zinc/25/24/01/484252401.db2.gz GPHYRLIRNVHCGV-LBPRGKRZSA-N -1 1 310.375 1.695 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ncccc1F)[C@@H]1CCOC1 ZINC000656731145 484305569 /nfs/dbraw/zinc/30/55/69/484305569.db2.gz ISYHKNPFKMGQAA-KOLCDFICSA-N -1 1 304.343 1.014 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(=O)[nH]n1)C1CCCCC1 ZINC000656731761 484306693 /nfs/dbraw/zinc/30/66/93/484306693.db2.gz RXLPAGSZIJQHFI-LLVKDONJSA-N -1 1 315.395 1.519 20 0 DDADMM CCC[C@H](C(=O)[O-])N1C[C@H](C(F)(F)F)[C@@H](S(C)(=O)=O)C1 ZINC000656758377 484315158 /nfs/dbraw/zinc/31/51/58/484315158.db2.gz HFEVAIMOZWHIAN-YIZRAAEISA-N -1 1 317.329 1.147 20 0 DDADMM O=C([N-]c1n[nH]c(-c2ccncc2)n1)c1onc2c1CCCC2 ZINC000667427259 484598403 /nfs/dbraw/zinc/59/84/03/484598403.db2.gz ZVMWESDWQHLSNE-UHFFFAOYSA-N -1 1 310.317 1.986 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000663077161 484648230 /nfs/dbraw/zinc/64/82/30/484648230.db2.gz VAEFALGMMCUOCY-SWLSCSKDSA-N -1 1 312.341 1.034 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H](C(=O)[O-])C1CC1)c1ccc(F)cc1 ZINC000663114901 484680166 /nfs/dbraw/zinc/68/01/66/484680166.db2.gz SIFDTUBEDULEBN-KBPBESRZSA-N -1 1 308.353 1.655 20 0 DDADMM O=C(NCc1nc(CN2CCCCC2)no1)c1ncccc1[O-] ZINC000670400093 484805963 /nfs/dbraw/zinc/80/59/63/484805963.db2.gz SACWBHPSOYJWPR-UHFFFAOYSA-N -1 1 317.349 1.086 20 0 DDADMM Nc1nccc([C@H]2CCCN(C(=O)c3ccc([O-])c(F)c3)C2)n1 ZINC000671825541 485196747 /nfs/dbraw/zinc/19/67/47/485196747.db2.gz VVJBPNPGTFTKBZ-NSHDSACASA-N -1 1 316.336 1.923 20 0 DDADMM CN(C)c1cc(C(=O)Nc2nnn[n-]2)cc(C(F)(F)F)c1 ZINC000668866500 485344097 /nfs/dbraw/zinc/34/40/97/485344097.db2.gz CBCUJJMHFHKLTG-UHFFFAOYSA-N -1 1 300.244 1.537 20 0 DDADMM CN(C)c1cc(C(=O)Nc2nn[n-]n2)cc(C(F)(F)F)c1 ZINC000668866500 485344099 /nfs/dbraw/zinc/34/40/99/485344099.db2.gz CBCUJJMHFHKLTG-UHFFFAOYSA-N -1 1 300.244 1.537 20 0 DDADMM C[C@H](O)CN(Cc1ccccc1)C(=O)C(=O)c1ccc([O-])cc1 ZINC000672919655 485368256 /nfs/dbraw/zinc/36/82/56/485368256.db2.gz DQJRKRNOOMWFGW-ZDUSSCGKSA-N -1 1 313.353 1.985 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1ccncn1 ZINC000673509027 485422333 /nfs/dbraw/zinc/42/23/33/485422333.db2.gz NCIIODMXZPBGCD-UHFFFAOYSA-N -1 1 301.350 1.508 20 0 DDADMM Cc1nc(SCC(=O)N2CCOC[C@H]2C2CC2)[n-]c(=O)c1C ZINC000679008885 485754894 /nfs/dbraw/zinc/75/48/94/485754894.db2.gz DHKKKHKPHUBQBU-LBPRGKRZSA-N -1 1 323.418 1.529 20 0 DDADMM C[C@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)c1ccncc1 ZINC000676125017 486123860 /nfs/dbraw/zinc/12/38/60/486123860.db2.gz WKWWTWJEHKTVDX-WBMJQRKESA-N -1 1 322.372 1.994 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCCC(=O)N1 ZINC000684082066 486240328 /nfs/dbraw/zinc/24/03/28/486240328.db2.gz LLHIPIHDUXXIND-SNVBAGLBSA-N -1 1 320.393 1.187 20 0 DDADMM CCn1nnnc1-c1cccc(NC(=O)c2n[nH]c(C)c2[O-])c1 ZINC000676698143 486292510 /nfs/dbraw/zinc/29/25/10/486292510.db2.gz NQUPUQFJJYTWMR-UHFFFAOYSA-N -1 1 313.321 1.349 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2CCC[C@H](c3nn[n-]n3)C2)o1 ZINC000684291866 486315552 /nfs/dbraw/zinc/31/55/52/486315552.db2.gz DTKIACJEWLWOTF-JTQLQIEISA-N -1 1 312.333 1.669 20 0 DDADMM O=C(NCc1nnnn1-c1ccccc1)c1ccc([O-])c(F)c1 ZINC000681032607 486326679 /nfs/dbraw/zinc/32/66/79/486326679.db2.gz DTTLIZJKERWBDT-UHFFFAOYSA-N -1 1 313.292 1.437 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)C(C)(C)O)c(F)c1 ZINC000676852372 486345835 /nfs/dbraw/zinc/34/58/35/486345835.db2.gz NXXKWNZWKGFOQB-SSDOTTSWSA-N -1 1 309.334 1.411 20 0 DDADMM CC[C@@H](O)CCC[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676864193 486350319 /nfs/dbraw/zinc/35/03/19/486350319.db2.gz XWBKNECKWIKHEF-SECBINFHSA-N -1 1 323.361 1.803 20 0 DDADMM CO[C@H]1CCC[C@H](CC(=O)NC2(c3nn[n-]n3)CCCC2)C1 ZINC000684444759 486355818 /nfs/dbraw/zinc/35/58/18/486355818.db2.gz DTWAWDNKWYOJNS-RYUDHWBXSA-N -1 1 307.398 1.681 20 0 DDADMM CC(C)c1cc(C[N-]S(=O)(=O)c2c(Cl)cnn2C)on1 ZINC000684657694 486405439 /nfs/dbraw/zinc/40/54/39/486405439.db2.gz MDARJRDXZAIYJO-UHFFFAOYSA-N -1 1 318.786 1.663 20 0 DDADMM C[C@H](CN(C)C(=O)CCOc1cccc(F)c1)c1nn[n-]n1 ZINC000681353635 486411544 /nfs/dbraw/zinc/41/15/44/486411544.db2.gz RLIZIZIDUBUOPC-SNVBAGLBSA-N -1 1 307.329 1.370 20 0 DDADMM Cc1nc(-c2ccccc2C)sc1C(=O)NCc1nn[n-]n1 ZINC000681474046 486432277 /nfs/dbraw/zinc/43/22/77/486432277.db2.gz KWMUCLMZYBDREH-UHFFFAOYSA-N -1 1 314.374 1.870 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2coc(C3CC3)n2)c1 ZINC000681636854 486479022 /nfs/dbraw/zinc/47/90/22/486479022.db2.gz NJUYXYBEDBTPPF-UHFFFAOYSA-N -1 1 323.330 1.157 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)Cc2csc(C)n2)s1 ZINC000685608403 486574104 /nfs/dbraw/zinc/57/41/04/486574104.db2.gz MMAAXGRMPFWSJT-UHFFFAOYSA-N -1 1 318.449 1.485 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F)OC ZINC000685608256 486573578 /nfs/dbraw/zinc/57/35/78/486573578.db2.gz UVIRWMVSIQDLOV-MRVPVSSYSA-N -1 1 309.334 1.677 20 0 DDADMM CC(C)(CO)CNC(=O)c1cc(Br)ccc1[O-] ZINC000119699556 490606436 /nfs/dbraw/zinc/60/64/36/490606436.db2.gz MDOSISGIYYRODE-UHFFFAOYSA-N -1 1 302.168 1.903 20 0 DDADMM COc1cc(Cl)c(F)c(C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000413515501 533938391 /nfs/dbraw/zinc/93/83/91/533938391.db2.gz MLCUATAIIVIWGF-UHFFFAOYSA-N -1 1 313.720 1.666 20 0 DDADMM COc1ccc(NC(=O)C(C)(F)F)cc1[N-]S(C)(=O)=O ZINC000292642267 534058340 /nfs/dbraw/zinc/05/83/40/534058340.db2.gz BAVRWWQPBJYQQI-UHFFFAOYSA-N -1 1 308.306 1.660 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)Cc2cn(C)nc2C)c1 ZINC000451464151 534231127 /nfs/dbraw/zinc/23/11/27/534231127.db2.gz YIHDUBBMGSOEBD-UHFFFAOYSA-N -1 1 303.318 1.402 20 0 DDADMM Cn1cc([C@@H](NCc2ccccc2OC(F)F)C(=O)[O-])cn1 ZINC000417603902 534753137 /nfs/dbraw/zinc/75/31/37/534753137.db2.gz ZIELRVWTRABGEI-GFCCVEGCSA-N -1 1 311.288 1.937 20 0 DDADMM CC(C)(NC(=O)CCCc1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000446312583 527265826 /nfs/dbraw/zinc/26/58/26/527265826.db2.gz VOYRELUSWKMYOB-UHFFFAOYSA-N -1 1 309.320 1.852 20 0 DDADMM CC(C)CCCCC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000457625814 527702718 /nfs/dbraw/zinc/70/27/18/527702718.db2.gz HVEPECHVXXCNGZ-UHFFFAOYSA-N -1 1 311.455 1.816 20 0 DDADMM CC1(C)[C@H](O)C[C@@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000492068523 527887063 /nfs/dbraw/zinc/88/70/63/527887063.db2.gz ZWAJYEJMHQPXEU-NKWVEPMBSA-N -1 1 324.196 1.480 20 0 DDADMM CCN1C[C@H]([N-]S(=O)(=O)c2sccc2Cl)CC1=O ZINC000451864522 527991525 /nfs/dbraw/zinc/99/15/25/527991525.db2.gz HDLWSZVCOHVPOO-SSDOTTSWSA-N -1 1 308.812 1.301 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H]2CCOc3ccccc32)[n-]n1 ZINC000413143815 528230772 /nfs/dbraw/zinc/23/07/72/528230772.db2.gz WYIVEPUETFTQBI-GFCCVEGCSA-N -1 1 312.373 1.626 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H]2CCCO[C@H]2C(C)C)[n-]n1 ZINC000413180249 528231313 /nfs/dbraw/zinc/23/13/13/528231313.db2.gz PCHYXTYCQCIPHI-WFASDCNBSA-N -1 1 306.410 1.511 20 0 DDADMM CC(C)C[C@@H](CNC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000424400885 528324072 /nfs/dbraw/zinc/32/40/72/528324072.db2.gz WIAVVAMSLQIDMG-ZDUSSCGKSA-N -1 1 318.377 1.880 20 0 DDADMM CCC(C)(C)OCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000457703092 528580166 /nfs/dbraw/zinc/58/01/66/528580166.db2.gz FOWGOLOXQVMEDD-UHFFFAOYSA-N -1 1 316.379 1.183 20 0 DDADMM CC1(C)C(=O)N[C@@H]1C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000294952669 528867227 /nfs/dbraw/zinc/86/72/27/528867227.db2.gz XXUDXSBJQMXZLS-CYBMUJFWSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)C[C@H](CNC(=O)N1CCN(C2CCC2)CC1)C(=O)[O-] ZINC000336897512 535309509 /nfs/dbraw/zinc/30/95/09/535309509.db2.gz PGFSMJWCENFDSK-CYBMUJFWSA-N -1 1 311.426 1.613 20 0 DDADMM CO[C@@H]1CC[C@H](OC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000281771622 538128876 /nfs/dbraw/zinc/12/88/76/538128876.db2.gz ABJRMAUYKCHOLM-NEPJUHHUSA-N -1 1 319.361 1.293 20 0 DDADMM O=C(OCC1(C(F)(F)F)CC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738219674 598824296 /nfs/dbraw/zinc/82/42/96/598824296.db2.gz NFTUIGVRHMTCMA-UHFFFAOYSA-N -1 1 313.239 1.761 20 0 DDADMM O=C(OCC1(C(F)(F)F)CC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738219674 598824298 /nfs/dbraw/zinc/82/42/98/598824298.db2.gz NFTUIGVRHMTCMA-UHFFFAOYSA-N -1 1 313.239 1.761 20 0 DDADMM COc1ccc(CNc2cccc(-c3nn[n-]n3)n2)cc1OC ZINC000737095794 599393223 /nfs/dbraw/zinc/39/32/23/599393223.db2.gz NRXSKZOCTRQNBR-UHFFFAOYSA-N -1 1 312.333 1.891 20 0 DDADMM Cn1cc(CNc2c3ccccc3nnc2-c2nnn[n-]2)cn1 ZINC000737601996 598936948 /nfs/dbraw/zinc/93/69/48/598936948.db2.gz MJHGOTXRVQIBNK-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM Cn1cc(CNc2c3ccccc3nnc2-c2nn[n-]n2)cn1 ZINC000737601996 598936949 /nfs/dbraw/zinc/93/69/49/598936949.db2.gz MJHGOTXRVQIBNK-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM CO[C@H]1CCC[C@@H](OC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736962845 598979156 /nfs/dbraw/zinc/97/91/56/598979156.db2.gz RMSUKIURSLKUAX-WDEREUQCSA-N -1 1 303.322 1.376 20 0 DDADMM CO[C@H]1CCC[C@@H](OC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736962845 598979158 /nfs/dbraw/zinc/97/91/58/598979158.db2.gz RMSUKIURSLKUAX-WDEREUQCSA-N -1 1 303.322 1.376 20 0 DDADMM CC(=O)Nc1ccc(OC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000735487810 598979957 /nfs/dbraw/zinc/97/99/57/598979957.db2.gz BIBSBNOQPRHOSC-UHFFFAOYSA-N -1 1 324.300 1.439 20 0 DDADMM CC(=O)Nc1ccc(OC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000735487810 598979959 /nfs/dbraw/zinc/97/99/59/598979959.db2.gz BIBSBNOQPRHOSC-UHFFFAOYSA-N -1 1 324.300 1.439 20 0 DDADMM O=C(NC[C@@H]1CC1(Cl)Cl)c1ccc(-c2nnn[n-]2)nc1 ZINC000738043630 599021612 /nfs/dbraw/zinc/02/16/12/599021612.db2.gz DBCDXVQQESEVLH-ZETCQYMHSA-N -1 1 313.148 1.185 20 0 DDADMM O=C(NC[C@@H]1CC1(Cl)Cl)c1ccc(-c2nn[n-]n2)nc1 ZINC000738043630 599021613 /nfs/dbraw/zinc/02/16/13/599021613.db2.gz DBCDXVQQESEVLH-ZETCQYMHSA-N -1 1 313.148 1.185 20 0 DDADMM O=C(Nc1cccnc1Cl)c1ccc(-c2nnn[n-]2)nc1 ZINC000738199374 599021636 /nfs/dbraw/zinc/02/16/36/599021636.db2.gz YUPZAZNZPAZHMB-UHFFFAOYSA-N -1 1 301.697 1.562 20 0 DDADMM O=C(Nc1cccnc1Cl)c1ccc(-c2nn[n-]n2)nc1 ZINC000738199374 599021637 /nfs/dbraw/zinc/02/16/37/599021637.db2.gz YUPZAZNZPAZHMB-UHFFFAOYSA-N -1 1 301.697 1.562 20 0 DDADMM Cc1nn(C)c(C)c1C[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC000822492125 599047092 /nfs/dbraw/zinc/04/70/92/599047092.db2.gz RNLKJAFJSOPANL-QMMMGPOBSA-N -1 1 313.369 1.050 20 0 DDADMM Cc1nn(C)c(C)c1C[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC000822492125 599047094 /nfs/dbraw/zinc/04/70/94/599047094.db2.gz RNLKJAFJSOPANL-QMMMGPOBSA-N -1 1 313.369 1.050 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)(C)C ZINC000736026143 599081986 /nfs/dbraw/zinc/08/19/86/599081986.db2.gz LKCQKUSABOQJIQ-VIFPVBQESA-N -1 1 324.410 1.222 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)(C)C ZINC000736026143 599081988 /nfs/dbraw/zinc/08/19/88/599081988.db2.gz LKCQKUSABOQJIQ-VIFPVBQESA-N -1 1 324.410 1.222 20 0 DDADMM CC(C)CCNC(=O)[C@H](C)N1CCSC[C@H]1CC(=O)[O-] ZINC000250597845 601921698 /nfs/dbraw/zinc/92/16/98/601921698.db2.gz PDLKTCLEHSSOJB-NWDGAFQWSA-N -1 1 302.440 1.429 20 0 DDADMM COCc1cccc(OC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737021955 599154725 /nfs/dbraw/zinc/15/47/25/599154725.db2.gz FOEYXVKZLARLAL-UHFFFAOYSA-N -1 1 311.301 1.627 20 0 DDADMM COCc1cccc(OC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737021955 599154726 /nfs/dbraw/zinc/15/47/26/599154726.db2.gz FOEYXVKZLARLAL-UHFFFAOYSA-N -1 1 311.301 1.627 20 0 DDADMM CN(CCc1cccs1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821607405 599199663 /nfs/dbraw/zinc/19/96/63/599199663.db2.gz MZYROHKMRPVJPX-UHFFFAOYSA-N -1 1 314.374 1.638 20 0 DDADMM CN(CCc1cccs1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821607405 599199665 /nfs/dbraw/zinc/19/96/65/599199665.db2.gz MZYROHKMRPVJPX-UHFFFAOYSA-N -1 1 314.374 1.638 20 0 DDADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)OCCCC(=O)[O-] ZINC000737685118 597840799 /nfs/dbraw/zinc/84/07/99/597840799.db2.gz WJTLCTNYGZVZFO-VIFPVBQESA-N -1 1 319.317 1.089 20 0 DDADMM C[C@@H](CC(=O)[O-])Nc1cccc(CN2CCC[C@H]2C(N)=O)c1 ZINC000820088735 598217753 /nfs/dbraw/zinc/21/77/53/598217753.db2.gz DHWIUEDWCVWWKI-FZMZJTMJSA-N -1 1 305.378 1.411 20 0 DDADMM Cc1ccc(NC(=O)Cn2ccc(C)c(-c3nn[n-]n3)c2=O)cc1 ZINC000822248711 607348687 /nfs/dbraw/zinc/34/86/87/607348687.db2.gz KDBJWBFYCZZOBN-UHFFFAOYSA-N -1 1 324.344 1.284 20 0 DDADMM Cc1ccc2nc(CNc3nccnc3-c3nnn[n-]3)cn2c1 ZINC000737375367 598346750 /nfs/dbraw/zinc/34/67/50/598346750.db2.gz VGYYIGXDMBUOTH-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM Cc1ccc2nc(CNc3nccnc3-c3nn[n-]n3)cn2c1 ZINC000737375367 598346752 /nfs/dbraw/zinc/34/67/52/598346752.db2.gz VGYYIGXDMBUOTH-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM Clc1ccccc1CCNc1nccnc1-c1nnn[n-]1 ZINC000737583350 598350112 /nfs/dbraw/zinc/35/01/12/598350112.db2.gz IOTQITGOTATULF-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM Clc1ccccc1CCNc1nccnc1-c1nn[n-]n1 ZINC000737583350 598350114 /nfs/dbraw/zinc/35/01/14/598350114.db2.gz IOTQITGOTATULF-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM CC(C)c1nnc(COC(=O)c2sccc2-c2nn[n-]n2)o1 ZINC000735953109 598409278 /nfs/dbraw/zinc/40/92/78/598409278.db2.gz OOORNDCERVGCMP-UHFFFAOYSA-N -1 1 320.334 1.792 20 0 DDADMM Fc1ccccc1[C@H]1CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC000737663920 598428211 /nfs/dbraw/zinc/42/82/11/598428211.db2.gz KXUHEKAKXDVFKD-JTQLQIEISA-N -1 1 311.324 1.790 20 0 DDADMM Fc1ccccc1[C@H]1CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC000737663920 598428212 /nfs/dbraw/zinc/42/82/12/598428212.db2.gz KXUHEKAKXDVFKD-JTQLQIEISA-N -1 1 311.324 1.790 20 0 DDADMM CCc1nnc([C@H](C)OC(=O)c2sccc2-c2nn[n-]n2)o1 ZINC000736706415 598722797 /nfs/dbraw/zinc/72/27/97/598722797.db2.gz LEAYPWJANDEJJQ-LURJTMIESA-N -1 1 320.334 1.792 20 0 DDADMM Fc1ccc(CCNc2nccnc2-c2nnn[n-]2)c(F)c1 ZINC000737647004 598756848 /nfs/dbraw/zinc/75/68/48/598756848.db2.gz CABCKPDYQIAEEC-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM Fc1ccc(CCNc2nccnc2-c2nn[n-]n2)c(F)c1 ZINC000737647004 598756850 /nfs/dbraw/zinc/75/68/50/598756850.db2.gz CABCKPDYQIAEEC-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM CC[C@H](C)[C@@H](O)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000736335802 598774410 /nfs/dbraw/zinc/77/44/10/598774410.db2.gz ZWVQHFYXHZWXEA-WDSKDSINSA-N -1 1 302.791 1.796 20 0 DDADMM CC[C@H](C)[C@@H](O)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000736335802 598774409 /nfs/dbraw/zinc/77/44/09/598774409.db2.gz ZWVQHFYXHZWXEA-WDSKDSINSA-N -1 1 302.791 1.796 20 0 DDADMM CCC[C@@](C)(O)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000736418725 598774613 /nfs/dbraw/zinc/77/46/13/598774613.db2.gz GJFRVSSRGCHLTE-SNVBAGLBSA-N -1 1 302.791 1.940 20 0 DDADMM CCC[C@@](C)(O)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000736418725 598774614 /nfs/dbraw/zinc/77/46/14/598774614.db2.gz GJFRVSSRGCHLTE-SNVBAGLBSA-N -1 1 302.791 1.940 20 0 DDADMM COc1ccc(CNc2cccc(-c3nnn[n-]3)n2)cc1OC ZINC000737095794 599393222 /nfs/dbraw/zinc/39/32/22/599393222.db2.gz NRXSKZOCTRQNBR-UHFFFAOYSA-N -1 1 312.333 1.891 20 0 DDADMM CC(C)C(=O)N1CCC(Nc2cccc(-c3nnn[n-]3)n2)CC1 ZINC000735685046 599404198 /nfs/dbraw/zinc/40/41/98/599404198.db2.gz ZPEGYORQUWBELE-UHFFFAOYSA-N -1 1 315.381 1.321 20 0 DDADMM CC(C)C(=O)N1CCC(Nc2cccc(-c3nn[n-]n3)n2)CC1 ZINC000735685046 599404200 /nfs/dbraw/zinc/40/42/00/599404200.db2.gz ZPEGYORQUWBELE-UHFFFAOYSA-N -1 1 315.381 1.321 20 0 DDADMM C[C@@H](Nc1cccc(-c2nnn[n-]2)n1)C(=O)N1CCCC[C@@H]1C ZINC000736139226 599424021 /nfs/dbraw/zinc/42/40/21/599424021.db2.gz ULRNETYXFFJSBD-WDEREUQCSA-N -1 1 315.381 1.463 20 0 DDADMM C[C@@H](Nc1cccc(-c2nn[n-]n2)n1)C(=O)N1CCCC[C@@H]1C ZINC000736139226 599424024 /nfs/dbraw/zinc/42/40/24/599424024.db2.gz ULRNETYXFFJSBD-WDEREUQCSA-N -1 1 315.381 1.463 20 0 DDADMM CC(C)(C)OC(=O)CCCNc1nccnc1-c1nnn[n-]1 ZINC000819928657 599688629 /nfs/dbraw/zinc/68/86/29/599688629.db2.gz TYMLLIDJGUNDNA-UHFFFAOYSA-N -1 1 305.342 1.191 20 0 DDADMM CC(C)(C)OC(=O)CCCNc1nccnc1-c1nn[n-]n1 ZINC000819928657 599688630 /nfs/dbraw/zinc/68/86/30/599688630.db2.gz TYMLLIDJGUNDNA-UHFFFAOYSA-N -1 1 305.342 1.191 20 0 DDADMM C[C@H](CN(CC(=O)N1CCCC1)Cc1ccccc1)C(=O)[O-] ZINC000736580019 599887705 /nfs/dbraw/zinc/88/77/05/599887705.db2.gz WJFIEXVULPRWHI-CQSZACIVSA-N -1 1 304.390 1.832 20 0 DDADMM O=C([O-])C1CCN(CC(=O)NC(=O)Nc2ccccc2)CC1 ZINC000738462239 600034464 /nfs/dbraw/zinc/03/44/64/600034464.db2.gz ZRLFETVMGFBEOE-UHFFFAOYSA-N -1 1 305.334 1.131 20 0 DDADMM CN1CCN(c2ccccc2NC(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC000737529972 600061804 /nfs/dbraw/zinc/06/18/04/600061804.db2.gz QEOKXBKFQSEZJP-NWDGAFQWSA-N -1 1 303.362 1.098 20 0 DDADMM CCOc1ccc([C@H]2CCCN2CC(=O)NCCC(=O)[O-])cc1 ZINC000737195194 600113474 /nfs/dbraw/zinc/11/34/74/600113474.db2.gz LQCGPFMVQUKLBV-OAHLLOKOSA-N -1 1 320.389 1.813 20 0 DDADMM Cc1csc([C@H]2CCCCN2CCC(=O)NCC(=O)[O-])n1 ZINC000738816376 600295900 /nfs/dbraw/zinc/29/59/00/600295900.db2.gz HQKNPHYHBXCVHU-LLVKDONJSA-N -1 1 311.407 1.569 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1)N1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000736215548 600311029 /nfs/dbraw/zinc/31/10/29/600311029.db2.gz PNMAAVXXDRXZQW-DZGCQCFKSA-N -1 1 316.401 1.878 20 0 DDADMM C[C@H](CNC(=O)c1ccccc1NC(=O)[O-])N1CCN(C)CC1 ZINC000736605414 600419470 /nfs/dbraw/zinc/41/94/70/600419470.db2.gz GKSFZPZQNYPSCU-GFCCVEGCSA-N -1 1 320.393 1.142 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)CN1CCC[C@H]1CCCO ZINC000738464344 600554815 /nfs/dbraw/zinc/55/48/15/600554815.db2.gz AUFHNRIHBVWDPC-LBPRGKRZSA-N -1 1 306.362 1.560 20 0 DDADMM COC[C@@H]1CCN([C@@H](C)C(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C1 ZINC000737751246 600573796 /nfs/dbraw/zinc/57/37/96/600573796.db2.gz IAGKXOGBWGLBTN-NWDGAFQWSA-N -1 1 308.378 1.869 20 0 DDADMM C[C@H](CN1CCN(C)CC1)NC(=O)c1ccccc1NC(=O)[O-] ZINC000736584685 600589505 /nfs/dbraw/zinc/58/95/05/600589505.db2.gz FRDSYRXTECPETF-GFCCVEGCSA-N -1 1 320.393 1.142 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H](C(=O)N2CCN(C3CCCC3)CC2)C1 ZINC000315640592 600654716 /nfs/dbraw/zinc/65/47/16/600654716.db2.gz ZOKDTCVSFLCEDB-UONOGXRCSA-N -1 1 308.422 1.964 20 0 DDADMM O=C(CC1(O)CCCC1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826403866 607463492 /nfs/dbraw/zinc/46/34/92/607463492.db2.gz GLBFGLCRDKIZGY-UHFFFAOYSA-N -1 1 303.322 1.206 20 0 DDADMM C[C@@H](O)CN1CCN(c2nc(Cl)c(C(=O)[O-])s2)C[C@@H]1C ZINC000827803200 600812997 /nfs/dbraw/zinc/81/29/97/600812997.db2.gz KQFCUIFPLJOIGW-JGVFFNPUSA-N -1 1 319.814 1.386 20 0 DDADMM COCCN1CCCN(C(=O)[C@H]2CCC[C@H](C(=O)[O-])C2)CC1 ZINC000320647089 600911039 /nfs/dbraw/zinc/91/10/39/600911039.db2.gz FWQHCUDFXBLQHX-KBPBESRZSA-N -1 1 312.410 1.058 20 0 DDADMM CCC[C@](C)(NCC(=O)Nc1cc(C(C)(C)C)nn1C)C(=O)[O-] ZINC000736965947 600953641 /nfs/dbraw/zinc/95/36/41/600953641.db2.gz XXEDYEISXJJIES-INIZCTEOSA-N -1 1 324.425 1.889 20 0 DDADMM Cc1cc(C)c(S(=O)(=O)Nc2ncn(CC(=O)[O-])n2)c(C)c1 ZINC000832874541 600986767 /nfs/dbraw/zinc/98/67/67/600986767.db2.gz MAVNRLKGGSIKSC-UHFFFAOYSA-N -1 1 324.362 1.089 20 0 DDADMM CCOCCN1CCN([C@@H](C(=O)[O-])c2ccccc2F)C[C@H]1C ZINC000830011321 601000418 /nfs/dbraw/zinc/00/04/18/601000418.db2.gz DAHBKQYNVAPLOI-CZUORRHYSA-N -1 1 324.396 1.994 20 0 DDADMM C[C@](NCC(=O)NCCc1ccc(Cl)cc1)(C(=O)[O-])C1CC1 ZINC000827727683 601028812 /nfs/dbraw/zinc/02/88/12/601028812.db2.gz LDEMKRQPTOWHDI-MRXNPFEDSA-N -1 1 324.808 1.842 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1C[C@H](C2CCCCC2)C[C@@H]1C(=O)[O-] ZINC000830935383 601082383 /nfs/dbraw/zinc/08/23/83/601082383.db2.gz XVRVBLNDZMXSNR-RBSFLKMASA-N -1 1 308.422 1.963 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H](C)c2cccnc2)CC1 ZINC000825990669 601090787 /nfs/dbraw/zinc/09/07/87/601090787.db2.gz PGLFHSYSRBZOKE-CHWSQXEVSA-N -1 1 305.378 1.193 20 0 DDADMM Cc1cnc(CN(C)[C@@H]2CCN(Cc3ccc(C(=O)[O-])o3)C2)o1 ZINC000738803487 601104747 /nfs/dbraw/zinc/10/47/47/601104747.db2.gz UKCQVRSWVJIOIW-GFCCVEGCSA-N -1 1 319.361 1.981 20 0 DDADMM CCCc1nc([C@@H]2CN(Cc3ccc(C(=O)[O-])o3)CCO2)n[nH]1 ZINC000737050456 601106486 /nfs/dbraw/zinc/10/64/86/601106486.db2.gz KXDOZVVNNJVVFL-LBPRGKRZSA-N -1 1 320.349 1.622 20 0 DDADMM CCCc1n[nH]c([C@@H]2CN(Cc3ccc(C(=O)[O-])o3)CCO2)n1 ZINC000737050456 601106488 /nfs/dbraw/zinc/10/64/88/601106488.db2.gz KXDOZVVNNJVVFL-LBPRGKRZSA-N -1 1 320.349 1.622 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@H]1C[C@@H]1C(=O)[O-])c1ccc(Cl)cc1 ZINC000830580673 601108543 /nfs/dbraw/zinc/10/85/43/601108543.db2.gz JFWGXUWUJGERPR-RWMBFGLXSA-N -1 1 310.781 1.780 20 0 DDADMM CCN1CC[C@@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2C)C1 ZINC000389276306 601110715 /nfs/dbraw/zinc/11/07/15/601110715.db2.gz GSHGUAXFWSZVQH-LLVKDONJSA-N -1 1 312.391 1.066 20 0 DDADMM COc1ncccc1NC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000832659862 601129774 /nfs/dbraw/zinc/12/97/74/601129774.db2.gz NNDBFTLQDPASOG-NSHDSACASA-N -1 1 322.365 1.103 20 0 DDADMM COc1ccc([C@@H](CC(=O)[O-])NC(=O)CCc2nc[nH]n2)cc1 ZINC000832230912 601133188 /nfs/dbraw/zinc/13/31/88/601133188.db2.gz LIBVUVPQMYSSLQ-GFCCVEGCSA-N -1 1 318.333 1.078 20 0 DDADMM Cc1oc(S(=O)(=O)Nc2c(O)cccc2F)cc1C(=O)[O-] ZINC000833026470 601234106 /nfs/dbraw/zinc/23/41/06/601234106.db2.gz DRJOWOPMFHYDAV-UHFFFAOYSA-N -1 1 315.278 1.932 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H](C(=O)N2CCN(C3CCOCC3)CC2)C1 ZINC000833185581 601293687 /nfs/dbraw/zinc/29/36/87/601293687.db2.gz NYWBUULWPVZFKB-ZIAGYGMSSA-N -1 1 324.421 1.201 20 0 DDADMM CCC[C@H](NC(=O)c1[nH]c(CC)c(C(=O)[O-])c1C)c1nn[nH]n1 ZINC000829231243 601304887 /nfs/dbraw/zinc/30/48/87/601304887.db2.gz USZPILMHXLXJJV-VIFPVBQESA-N -1 1 320.353 1.368 20 0 DDADMM CN(CC(=O)N(C)c1ccccc1C(=O)[O-])C[C@@H]1CCOC1 ZINC000830660975 601375481 /nfs/dbraw/zinc/37/54/81/601375481.db2.gz KNMUAIRHWHDSGG-LBPRGKRZSA-N -1 1 306.362 1.316 20 0 DDADMM CN(C(=O)CN1C[C@@H](C2CCCCC2)C[C@H]1C(=O)[O-])C1CC1 ZINC000830478156 601431305 /nfs/dbraw/zinc/43/13/05/601431305.db2.gz KTGOGBHJWYPRBX-ZFWWWQNUSA-N -1 1 308.422 1.963 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C[C@H](O)COc2ccccc2Cl)C1 ZINC000833175808 601448309 /nfs/dbraw/zinc/44/83/09/601448309.db2.gz UTUGGOJPGRXNFX-IINYFYTJSA-N -1 1 317.744 1.578 20 0 DDADMM CCOCCNC(=O)CNC1(C(=O)[O-])CCC(CC)CC1 ZINC000830011341 601494703 /nfs/dbraw/zinc/49/47/03/601494703.db2.gz DXAPCJJRLCATNZ-UHFFFAOYSA-N -1 1 300.399 1.152 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccccc2[N+](=O)[O-])C[C@H]1C(=O)[O-] ZINC000315088138 601499567 /nfs/dbraw/zinc/49/95/67/601499567.db2.gz BAABYWWMBUKIAP-NXEZZACHSA-N -1 1 307.306 1.186 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2nc3ccccc3s2)C[C@@H]1C(=O)[O-] ZINC000315515785 601505136 /nfs/dbraw/zinc/50/51/36/601505136.db2.gz DJBJXNXPINVNQO-UWVGGRQHSA-N -1 1 319.386 1.887 20 0 DDADMM CC(C)CN(CC(F)(F)F)C(=O)CN1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000826871102 601525259 /nfs/dbraw/zinc/52/52/59/601525259.db2.gz MFSSZKXVTSBJHP-MNOVXSKESA-N -1 1 324.343 1.686 20 0 DDADMM COc1ccc(CNC(=O)CN[C@H](C(=O)[O-])C(C)C)cc1OC ZINC000832323045 601824145 /nfs/dbraw/zinc/82/41/45/601824145.db2.gz BCMZWRZWFYNWHP-HNNXBMFYSA-N -1 1 324.377 1.019 20 0 DDADMM O=C([O-])N1CCC[C@H](CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000740412889 601867359 /nfs/dbraw/zinc/86/73/59/601867359.db2.gz FZPARMXHERZIGA-SECBINFHSA-N -1 1 303.322 1.078 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2nnn[n-]2)c1)c1ccccc1 ZINC000826487317 607531722 /nfs/dbraw/zinc/53/17/22/607531722.db2.gz KXRGXIXJOUAHDK-UHFFFAOYSA-N -1 1 301.331 1.236 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2nn[n-]n2)c1)c1ccccc1 ZINC000826487317 607531723 /nfs/dbraw/zinc/53/17/23/607531723.db2.gz KXRGXIXJOUAHDK-UHFFFAOYSA-N -1 1 301.331 1.236 20 0 DDADMM CC[C@]1(C(C)C)NC(=O)N(CN2CC[C@@](C)(C(=O)[O-])C2)C1=O ZINC000829044379 601891603 /nfs/dbraw/zinc/89/16/03/601891603.db2.gz QJRGKKDZWFURHU-HUUCEWRRSA-N -1 1 311.382 1.097 20 0 DDADMM CSCC[C@H](NC(=O)[O-])C(=O)N1CCN2CCCC[C@@H]2C1 ZINC000740013182 601923773 /nfs/dbraw/zinc/92/37/73/601923773.db2.gz NTZYIPGULZIHDV-NEPJUHHUSA-N -1 1 315.439 1.072 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN([C@@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000828019746 601937944 /nfs/dbraw/zinc/93/79/44/601937944.db2.gz BRWWAHANAGAYNQ-RHSMWYFYSA-N -1 1 320.364 1.942 20 0 DDADMM CN(Cc1ccccc1)C(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000739714733 601963389 /nfs/dbraw/zinc/96/33/89/601963389.db2.gz XNEMQWUZVLQUML-CQSZACIVSA-N -1 1 305.378 1.235 20 0 DDADMM O=C([O-])Cc1csc(NC(=O)[C@H]2CCCc3[nH]ncc32)n1 ZINC000833268961 602024117 /nfs/dbraw/zinc/02/41/17/602024117.db2.gz ITLHZIMOCXFKNM-QMMMGPOBSA-N -1 1 306.347 1.552 20 0 DDADMM O[C@@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)c1ccncc1 ZINC000826504460 607543008 /nfs/dbraw/zinc/54/30/08/607543008.db2.gz WTVRXYIZOZHBCK-JTQLQIEISA-N -1 1 317.740 1.456 20 0 DDADMM O[C@@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)c1ccncc1 ZINC000826504460 607543010 /nfs/dbraw/zinc/54/30/10/607543010.db2.gz WTVRXYIZOZHBCK-JTQLQIEISA-N -1 1 317.740 1.456 20 0 DDADMM CC(C)C[C@@H]1CCC(=O)N(CN(C[C@H](C)C(=O)[O-])C2CC2)C1=O ZINC000826831761 602082501 /nfs/dbraw/zinc/08/25/01/602082501.db2.gz GRTYEGLNFMNHLT-STQMWFEESA-N -1 1 324.421 1.940 20 0 DDADMM O=C([O-])c1csc(NS(=O)(=O)C[C@H]2CCCCO2)c1 ZINC000833343774 602116629 /nfs/dbraw/zinc/11/66/29/602116629.db2.gz NRQQFXFASHEXBF-SECBINFHSA-N -1 1 305.377 1.757 20 0 DDADMM Cn1c(Cl)ncc1S(=O)(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000759704924 602195225 /nfs/dbraw/zinc/19/52/25/602195225.db2.gz DGNWHQXZYTVYOR-UHFFFAOYSA-N -1 1 315.738 1.573 20 0 DDADMM COCc1ccc(CNC(=O)CN[C@H](C(=O)[O-])C(C)(C)C)cc1 ZINC000831812973 602228458 /nfs/dbraw/zinc/22/84/58/602228458.db2.gz IFSUTOIYMDAENT-OAHLLOKOSA-N -1 1 322.405 1.538 20 0 DDADMM O=C([O-])NCCCNC(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000740651848 602343463 /nfs/dbraw/zinc/34/34/63/602343463.db2.gz RVTCHCLCENHLJQ-AWEZNQCLSA-N -1 1 305.378 1.425 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000739311217 602362951 /nfs/dbraw/zinc/36/29/51/602362951.db2.gz DGSIUHLTYJAMBD-XQQFMLRXSA-N -1 1 315.414 1.038 20 0 DDADMM CCN(CCNC(=O)[C@H]1CCCN1Cc1ccccc1)C(=O)[O-] ZINC000739424203 602472337 /nfs/dbraw/zinc/47/23/37/602472337.db2.gz NASMJAIZMJFYNU-OAHLLOKOSA-N -1 1 319.405 1.767 20 0 DDADMM C[C@@](CNC(=O)[O-])(NC(=O)c1n[nH]c2ccccc21)C1CC1 ZINC000738923388 602505476 /nfs/dbraw/zinc/50/54/76/602505476.db2.gz FLAKMEYEFIOELB-HNNXBMFYSA-N -1 1 302.334 1.729 20 0 DDADMM O=C([O-])Nc1cc2ccccc2cc1C(=O)NCc1nnc[nH]1 ZINC000740694463 602512079 /nfs/dbraw/zinc/51/20/79/602512079.db2.gz DRQMGNSLLDLQPC-UHFFFAOYSA-N -1 1 311.301 1.978 20 0 DDADMM CN(C(=O)[O-])C1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)CC1 ZINC000737933595 602526582 /nfs/dbraw/zinc/52/65/82/602526582.db2.gz SSTGWZOFZDUONV-UHFFFAOYSA-N -1 1 318.333 1.884 20 0 DDADMM C[C@@H](CNC(=O)[O-])N(C)Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC000738940020 602560962 /nfs/dbraw/zinc/56/09/62/602560962.db2.gz JAQRIAPELYDZJH-VIFPVBQESA-N -1 1 324.768 1.436 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2cccc(NC(=O)[O-])c2)C1 ZINC000737813275 602676836 /nfs/dbraw/zinc/67/68/36/602676836.db2.gz SCDOYNOLJVOCLR-CQSZACIVSA-N -1 1 321.377 1.617 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(C(=O)c2cc(C3CC3)[nH]n2)CC1 ZINC000739009746 602754936 /nfs/dbraw/zinc/75/49/36/602754936.db2.gz QTNZPDSQPZPWKZ-SECBINFHSA-N -1 1 306.366 1.795 20 0 DDADMM Cc1ccccc1CNC(=O)CN1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000740185074 602759071 /nfs/dbraw/zinc/75/90/71/602759071.db2.gz SRWCZQLEIIITFC-HIFRSBDPSA-N -1 1 319.405 1.589 20 0 DDADMM CN(C)Cc1cc(CNC(=O)[C@H]2CCCN2C(=O)[O-])ccc1F ZINC000739609372 602762251 /nfs/dbraw/zinc/76/22/51/602762251.db2.gz AIVHRKPNQWJQNW-CQSZACIVSA-N -1 1 323.368 1.646 20 0 DDADMM C[C@@H]1CN(C[C@H](O)c2cc(F)ccc2F)CC[C@H]1NC(=O)[O-] ZINC000739179216 602775283 /nfs/dbraw/zinc/77/52/83/602775283.db2.gz LVBLOTYOPUQDJQ-FZQKWOKYSA-N -1 1 314.332 1.976 20 0 DDADMM C[C@@H]([C@H](C)S(C)(=O)=O)N(C)CC1CCN(C(=O)[O-])CC1 ZINC000738650730 602862870 /nfs/dbraw/zinc/86/28/70/602862870.db2.gz JAISXJDMSSRAQO-QWRGUYRKSA-N -1 1 306.428 1.130 20 0 DDADMM CCc1cc(CNC(=O)N[C@H]2CCCCN(C(=O)[O-])C2)[nH]n1 ZINC000739540111 602886843 /nfs/dbraw/zinc/88/68/43/602886843.db2.gz RTSGRXCPMCOGAB-NSHDSACASA-N -1 1 309.370 1.304 20 0 DDADMM Cc1cc(CNC(=O)[C@@H](CNC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830041421 602933511 /nfs/dbraw/zinc/93/35/11/602933511.db2.gz LULHHFNOMBZPCH-ZDUSSCGKSA-N -1 1 302.334 1.386 20 0 DDADMM O=C([O-])N1CCC[C@@H](CNC(=O)N2CCN(C3CCC3)CC2)C1 ZINC000740515401 602963642 /nfs/dbraw/zinc/96/36/42/602963642.db2.gz JXSNXRBOPGDCGE-ZDUSSCGKSA-N -1 1 324.425 1.256 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@@H]1CCC[C@@H]1NC(=O)[O-] ZINC000739199059 603003453 /nfs/dbraw/zinc/00/34/53/603003453.db2.gz OALIOZIYWCDIFX-SYQHCUMBSA-N -1 1 309.410 1.508 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000736380163 603032944 /nfs/dbraw/zinc/03/29/44/603032944.db2.gz FULZTFCBCVKQEK-GFCCVEGCSA-N -1 1 303.322 1.498 20 0 DDADMM CC[C@@H](C)N(C)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825068572 607604856 /nfs/dbraw/zinc/60/48/56/607604856.db2.gz UAZDGLHMOQGJJY-MRVPVSSYSA-N -1 1 313.358 1.425 20 0 DDADMM O=C([O-])NC1CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)CC1 ZINC000740588758 603194928 /nfs/dbraw/zinc/19/49/28/603194928.db2.gz IKDSOJUBCRYMCA-UHFFFAOYSA-N -1 1 314.345 1.949 20 0 DDADMM Cc1ncc(CN2CCN(C[C@H]3CCN(C(=O)[O-])C3)CC2)s1 ZINC000740232627 603338370 /nfs/dbraw/zinc/33/83/70/603338370.db2.gz QRGCYYNTDVQTOR-CYBMUJFWSA-N -1 1 324.450 1.569 20 0 DDADMM CC(C)CN1CCN(C(=O)NCC(C)(C)NC(=O)[O-])CC1 ZINC000824256018 603446346 /nfs/dbraw/zinc/44/63/46/603446346.db2.gz ABCNIDJROSIUJB-UHFFFAOYSA-N -1 1 300.403 1.016 20 0 DDADMM C[N@H+](Cc1nc(=O)c2sccc2[n-]1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828423078 603538153 /nfs/dbraw/zinc/53/81/53/603538153.db2.gz WTKDAFHQZSMLEZ-MRVPVSSYSA-N -1 1 308.363 1.581 20 0 DDADMM C[N@@H+](Cc1nc(=O)c2sccc2[n-]1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828423078 603538156 /nfs/dbraw/zinc/53/81/56/603538156.db2.gz WTKDAFHQZSMLEZ-MRVPVSSYSA-N -1 1 308.363 1.581 20 0 DDADMM CC(C)(C)N1C[C@H](NCc2ccc(NC(=O)[O-])nc2)CC1=O ZINC000823771727 603558859 /nfs/dbraw/zinc/55/88/59/603558859.db2.gz HMAGUMWIMSANLN-LLVKDONJSA-N -1 1 306.366 1.661 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)NCC(C)(C)NC(=O)[O-])o1 ZINC000827045430 603651872 /nfs/dbraw/zinc/65/18/72/603651872.db2.gz IUUKXWFGZBTOMJ-UHFFFAOYSA-N -1 1 311.382 1.897 20 0 DDADMM CC(C)[N@@H+]1C[C@@H](C)[C@@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000826042575 603803669 /nfs/dbraw/zinc/80/36/69/603803669.db2.gz QCHPCGUYOMMHJN-MFKMUULPSA-N -1 1 321.377 1.941 20 0 DDADMM CC(C)[N@H+]1C[C@@H](C)[C@@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000826042575 603803673 /nfs/dbraw/zinc/80/36/73/603803673.db2.gz QCHPCGUYOMMHJN-MFKMUULPSA-N -1 1 321.377 1.941 20 0 DDADMM CCc1cc(CNC(=O)NC2C[C@H]3CC[C@@H](C2)N3C(=O)[O-])[nH]n1 ZINC000827564372 603809408 /nfs/dbraw/zinc/80/94/08/603809408.db2.gz PFESVLWTJZOGMU-VGPLMAKISA-N -1 1 321.381 1.445 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])CC[N@@H+]1C ZINC000825852593 603823272 /nfs/dbraw/zinc/82/32/72/603823272.db2.gz OUXCDRWCRCPROP-MWLCHTKSSA-N -1 1 307.350 1.695 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])CC[N@H+]1C ZINC000825852593 603823274 /nfs/dbraw/zinc/82/32/74/603823274.db2.gz OUXCDRWCRCPROP-MWLCHTKSSA-N -1 1 307.350 1.695 20 0 DDADMM C[C@H](C(=O)N1CCN(C(=O)[O-])[C@H](C)C1)N(C)Cc1ccccc1 ZINC000823668909 603839385 /nfs/dbraw/zinc/83/93/85/603839385.db2.gz JDIRZOZUTDOIHJ-ZIAGYGMSSA-N -1 1 319.405 1.718 20 0 DDADMM O=C([O-])N1CC[C@@H](N[C@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000831948239 603872728 /nfs/dbraw/zinc/87/27/28/603872728.db2.gz QOUUUGIAKHLMPE-YPMHNXCESA-N -1 1 323.780 1.787 20 0 DDADMM C[C@@]1(C(=O)N2CCN(C3CCCC3)CC2)CCN(C(=O)[O-])C1 ZINC000825657916 603873226 /nfs/dbraw/zinc/87/32/26/603873226.db2.gz YZXFRMROIBUGJX-MRXNPFEDSA-N -1 1 309.410 1.463 20 0 DDADMM O=C([O-])NC1(CNC(=O)[C@H]2CCCc3[nH]ncc32)CCCCC1 ZINC000832219175 603944523 /nfs/dbraw/zinc/94/45/23/603944523.db2.gz IPTLVXWRRBYVGA-NSHDSACASA-N -1 1 320.393 1.916 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@H]1NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000832457317 603953648 /nfs/dbraw/zinc/95/36/48/603953648.db2.gz ISULUXCNDSRKGA-JBLDHEPKSA-N -1 1 306.366 1.382 20 0 DDADMM O=C([O-])NC[C@@H]1CCCC[C@H]1NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000832499928 603953813 /nfs/dbraw/zinc/95/38/13/603953813.db2.gz TYBNWNSEZBQQLV-GMXVVIOVSA-N -1 1 320.393 1.772 20 0 DDADMM O=C([O-])N1CCCN(Cc2ccc(-n3ccnc3)nc2)CC1 ZINC000832015027 603960003 /nfs/dbraw/zinc/96/00/03/603960003.db2.gz HGLZZBCKUKARFR-UHFFFAOYSA-N -1 1 301.350 1.453 20 0 DDADMM O=C([O-])N1[C@@H]2CC[C@H]1CC(NC(=O)[C@H]1CCCc3[nH]ncc31)C2 ZINC000831573897 603992030 /nfs/dbraw/zinc/99/20/30/603992030.db2.gz NMCQDKXMESQJPB-YATPEIPISA-N -1 1 318.377 1.619 20 0 DDADMM CCCC[C@H](CNC(=O)[O-])NC(=O)c1ccc2[nH]nnc2c1 ZINC000826805463 604013821 /nfs/dbraw/zinc/01/38/21/604013821.db2.gz FUFMMJVFYWYPKK-SNVBAGLBSA-N -1 1 305.338 1.514 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000832340360 604058964 /nfs/dbraw/zinc/05/89/64/604058964.db2.gz QVTNEBVYYPNMAZ-ZJUUUORDSA-N -1 1 320.324 1.755 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1CC(=O)N(C1CC1)C1CCCC1 ZINC000832568708 604074378 /nfs/dbraw/zinc/07/43/78/604074378.db2.gz XLYJXDZNNPTJEG-CQSZACIVSA-N -1 1 309.410 1.652 20 0 DDADMM O=C([O-])N1CCc2c(cccc2NC(=O)NCc2c[nH]nn2)C1 ZINC000831709429 604138572 /nfs/dbraw/zinc/13/85/72/604138572.db2.gz SOKWOMZVKPMILU-UHFFFAOYSA-N -1 1 316.321 1.163 20 0 DDADMM C[C@H](C(=O)NC1CCCC1)N1CCC[C@@H]([C@H](C)NC(=O)[O-])C1 ZINC000825252704 604185277 /nfs/dbraw/zinc/18/52/77/604185277.db2.gz GDMPBONOXYVQEU-YNEHKIRRSA-N -1 1 311.426 1.802 20 0 DDADMM C[C@@H](C(=O)OCCc1c[nH]nn1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823732772 604189720 /nfs/dbraw/zinc/18/97/20/604189720.db2.gz WWJPIWQRENDWDY-MFKMUULPSA-N -1 1 318.333 1.535 20 0 DDADMM C[C@@H](C(=O)OCCc1cnn[nH]1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823732772 604189722 /nfs/dbraw/zinc/18/97/22/604189722.db2.gz WWJPIWQRENDWDY-MFKMUULPSA-N -1 1 318.333 1.535 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCCN(CCNC(=O)OC(C)(C)C)C1 ZINC000827823270 604363130 /nfs/dbraw/zinc/36/31/30/604363130.db2.gz SRKSQYHAVAVOGQ-NSHDSACASA-N -1 1 301.387 1.585 20 0 DDADMM COc1cc(OC)cc([C@H](C(=O)[O-])N2CCN(C(C)C)CC2)c1 ZINC000832050330 604456571 /nfs/dbraw/zinc/45/65/71/604456571.db2.gz PFGAYLDIMMGWKE-MRXNPFEDSA-N -1 1 322.405 1.856 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cn1 ZINC000827113172 604546598 /nfs/dbraw/zinc/54/65/98/604546598.db2.gz WQNDWQSUVQAEDS-ZDUSSCGKSA-N -1 1 319.405 1.826 20 0 DDADMM CCC[C@](C)(NC(=O)[O-])C(=O)N1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000826711861 604643159 /nfs/dbraw/zinc/64/31/59/604643159.db2.gz GSMIRYWIFCCBEZ-ABAIWWIYSA-N -1 1 323.397 1.646 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1CCCN([C@@H](C(=O)[O-])c2cccnc2)C1 ZINC000833420126 604870565 /nfs/dbraw/zinc/87/05/65/604870565.db2.gz AWNXADWYDZFKQM-UONOGXRCSA-N -1 1 319.405 1.834 20 0 DDADMM C[C@H]1CN(CC(=O)NCc2ccc(NC(=O)[O-])cc2)CCN1C ZINC000833627855 604932449 /nfs/dbraw/zinc/93/24/49/604932449.db2.gz WIWAABDQBVFSMC-LBPRGKRZSA-N -1 1 320.393 1.029 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)c2ccc(C(=O)[O-])c(F)c2)n[nH]1 ZINC000833672572 605023503 /nfs/dbraw/zinc/02/35/03/605023503.db2.gz CACNUYGFGASKEK-SSDOTTSWSA-N -1 1 306.297 1.695 20 0 DDADMM CCN(C[C@H]1CCCO1)[C@@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000833654185 605044027 /nfs/dbraw/zinc/04/40/27/605044027.db2.gz FTUSDAQATPSJES-GXTWGEPZSA-N -1 1 312.410 1.199 20 0 DDADMM C[C@@H](c1ccc(C(=O)[O-])o1)N1CCC(CS(N)(=O)=O)CC1 ZINC000833546987 605053082 /nfs/dbraw/zinc/05/30/82/605053082.db2.gz OHLMBWCXXFXZQZ-VIFPVBQESA-N -1 1 316.379 1.039 20 0 DDADMM C[C@H](C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1)n1cncn1 ZINC000833410139 605057683 /nfs/dbraw/zinc/05/76/83/605057683.db2.gz ARAOSMALUINIEO-SSDOTTSWSA-N -1 1 300.278 1.052 20 0 DDADMM CC(C)Cn1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)cn1 ZINC000833494952 605090540 /nfs/dbraw/zinc/09/05/40/605090540.db2.gz UWNZPUJZKWXQQD-CYBMUJFWSA-N -1 1 322.409 1.160 20 0 DDADMM Cc1nc(C)n(C[C@H]2CCCN(Cc3ccc(C(=O)[O-])[nH]3)C2)n1 ZINC000833755558 605108548 /nfs/dbraw/zinc/10/85/48/605108548.db2.gz RECDBUACPAASNS-ZDUSSCGKSA-N -1 1 317.393 1.833 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1Cc2ccccc21 ZINC000833622527 605109773 /nfs/dbraw/zinc/10/97/73/605109773.db2.gz QBNYWWIRPIDYMV-DOMZBBRYSA-N -1 1 302.374 1.334 20 0 DDADMM O=C(CN(C[C@H]1CCCO1)C(=O)[O-])N[C@H]1CCCc2cn[nH]c21 ZINC000831473960 605126169 /nfs/dbraw/zinc/12/61/69/605126169.db2.gz IORWHMKEQFFIMW-NEPJUHHUSA-N -1 1 322.365 1.062 20 0 DDADMM CCN1C[C@H](C)N(C(=O)Nc2cc(C(=O)[O-])n(C)c2)C[C@H]1C ZINC000833656187 605162070 /nfs/dbraw/zinc/16/20/70/605162070.db2.gz IPCDMAVKLBSENO-MNOVXSKESA-N -1 1 308.382 1.670 20 0 DDADMM CC1(c2ccccc2)CCN(CN2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC000833576821 605176161 /nfs/dbraw/zinc/17/61/61/605176161.db2.gz DXMLBGOOWKHXDG-CQSZACIVSA-N -1 1 316.401 1.931 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)CN1CCC(C)(OC)CC1)C(=O)[O-] ZINC000833637164 605186709 /nfs/dbraw/zinc/18/67/09/605186709.db2.gz CMQCIWQFXMVDCC-DGCLKSJQSA-N -1 1 300.399 1.103 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCC[C@H](OC)CC1)C(=O)[O-] ZINC000833640292 605272316 /nfs/dbraw/zinc/27/23/16/605272316.db2.gz WSCYOKIIGHYPCS-OBJOEFQTSA-N -1 1 300.399 1.103 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)[C@@H]2CC[C@@H](NC(=O)[O-])C2)[nH]n1 ZINC000831031945 605337746 /nfs/dbraw/zinc/33/77/46/605337746.db2.gz YOMXPTAQPVMYOV-RKDXNWHRSA-N -1 1 318.337 1.185 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)CN(CC2CC2)C(=O)[O-])[nH]n1 ZINC000831031352 605337932 /nfs/dbraw/zinc/33/79/32/605337932.db2.gz OHGZXRLRRBEECK-UHFFFAOYSA-N -1 1 318.337 1.139 20 0 DDADMM O=C([O-])N[C@@H]1CCCN(Cc2c[nH]nc2-c2cccnc2)C1 ZINC000834182016 605491732 /nfs/dbraw/zinc/49/17/32/605491732.db2.gz LCEBXNCVUFZEMN-CYBMUJFWSA-N -1 1 301.350 1.704 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@H]1NCc1n[nH]cc1Br ZINC000834214583 605615997 /nfs/dbraw/zinc/61/59/97/605615997.db2.gz NEVYVIXBDTZDTI-IONNQARKSA-N -1 1 317.187 1.698 20 0 DDADMM O=C([O-])N1CCC[C@@H](OCC(=O)Nc2ccc3nc[nH]c3c2)C1 ZINC000831524175 605673235 /nfs/dbraw/zinc/67/32/35/605673235.db2.gz OTQPAUVSMWFRAC-LLVKDONJSA-N -1 1 318.333 1.660 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCN(Cc3cccnc3)CC2)C1 ZINC000834079738 605887067 /nfs/dbraw/zinc/88/70/67/605887067.db2.gz RUHQOSIMJSGHSS-OAHLLOKOSA-N -1 1 304.394 1.199 20 0 DDADMM O=C([O-])NCCN[C@H](CCO)c1ccccc1Br ZINC000834243183 605899044 /nfs/dbraw/zinc/89/90/44/605899044.db2.gz JMJXAONPISOHMH-LLVKDONJSA-N -1 1 317.183 1.730 20 0 DDADMM O=C([O-])NCCCCC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000834233677 605920610 /nfs/dbraw/zinc/92/06/10/605920610.db2.gz ALBHAPBDQCNKTN-UHFFFAOYSA-N -1 1 317.349 1.526 20 0 DDADMM O=C([O-])NCCCCC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000834233677 605920613 /nfs/dbraw/zinc/92/06/13/605920613.db2.gz ALBHAPBDQCNKTN-UHFFFAOYSA-N -1 1 317.349 1.526 20 0 DDADMM O=C([O-])NCCc1ccc(CNC(=O)NCCN2CCC2)cc1 ZINC000834245920 605952390 /nfs/dbraw/zinc/95/23/90/605952390.db2.gz VNPBOQPOOVSXLK-UHFFFAOYSA-N -1 1 320.393 1.002 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)[N@@H+]2CCC[N-]C(=O)C(F)(F)F ZINC000834094312 605966838 /nfs/dbraw/zinc/96/68/38/605966838.db2.gz CSKILRYGRHZMLT-ZJUUUORDSA-N -1 1 323.315 1.272 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)[N@H+]2CCC[N-]C(=O)C(F)(F)F ZINC000834094312 605966841 /nfs/dbraw/zinc/96/68/41/605966841.db2.gz CSKILRYGRHZMLT-ZJUUUORDSA-N -1 1 323.315 1.272 20 0 DDADMM O=C([O-])N[C@H](CNC(=O)c1c[nH]c2ccccc2c1=O)C1CC1 ZINC000834147944 605992780 /nfs/dbraw/zinc/99/27/80/605992780.db2.gz PTPTVSOGYDLCCK-CYBMUJFWSA-N -1 1 315.329 1.304 20 0 DDADMM COC(=O)/C(C)=C/COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821638922 606139770 /nfs/dbraw/zinc/13/97/70/606139770.db2.gz LFBRBBIYXNZEJR-FNORWQNLSA-N -1 1 308.319 1.204 20 0 DDADMM COC(=O)/C(C)=C/COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821638922 606139772 /nfs/dbraw/zinc/13/97/72/606139772.db2.gz LFBRBBIYXNZEJR-FNORWQNLSA-N -1 1 308.319 1.204 20 0 DDADMM CN1CCOc2ccc(Nc3nccnc3-c3nnn[n-]3)cc21 ZINC000821630032 606140633 /nfs/dbraw/zinc/14/06/33/606140633.db2.gz JTOGTLKPPAGGFO-UHFFFAOYSA-N -1 1 310.321 1.229 20 0 DDADMM CN1CCOc2ccc(Nc3nccnc3-c3nn[n-]n3)cc21 ZINC000821630032 606140634 /nfs/dbraw/zinc/14/06/34/606140634.db2.gz JTOGTLKPPAGGFO-UHFFFAOYSA-N -1 1 310.321 1.229 20 0 DDADMM CC(C)CCC[C@H](C)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820073150 606160573 /nfs/dbraw/zinc/16/05/73/606160573.db2.gz RGCSHACWUDGABN-JTQLQIEISA-N -1 1 320.401 1.937 20 0 DDADMM CC(C)CCC[C@H](C)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820073150 606160575 /nfs/dbraw/zinc/16/05/75/606160575.db2.gz RGCSHACWUDGABN-JTQLQIEISA-N -1 1 320.401 1.937 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC[C@@H]2C2CC2)n1 ZINC000822608608 606164593 /nfs/dbraw/zinc/16/45/93/606164593.db2.gz DZAGGOHHNWGXRO-SNVBAGLBSA-N -1 1 302.342 1.007 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC[C@@H]2C2CC2)n1 ZINC000822608608 606164594 /nfs/dbraw/zinc/16/45/94/606164594.db2.gz DZAGGOHHNWGXRO-SNVBAGLBSA-N -1 1 302.342 1.007 20 0 DDADMM COc1ncccc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000821920692 606197152 /nfs/dbraw/zinc/19/71/52/606197152.db2.gz WPAXILBYJRXWFY-UHFFFAOYSA-N -1 1 312.289 1.228 20 0 DDADMM O=C1CCC[C@@H]1CCn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000823525928 606330269 /nfs/dbraw/zinc/33/02/69/606330269.db2.gz VXWOWFRSBIKXHM-LLVKDONJSA-N -1 1 323.356 1.941 20 0 DDADMM Clc1ccc(N2CCc3n[nH]cc3C2)nc1-c1nnn[n-]1 ZINC000822579120 606460922 /nfs/dbraw/zinc/46/09/22/606460922.db2.gz WBOPPDHAGIUGGU-UHFFFAOYSA-N -1 1 302.729 1.201 20 0 DDADMM Clc1ccc(N2CCc3n[nH]cc3C2)nc1-c1nn[n-]n1 ZINC000822579120 606460923 /nfs/dbraw/zinc/46/09/23/606460923.db2.gz WBOPPDHAGIUGGU-UHFFFAOYSA-N -1 1 302.729 1.201 20 0 DDADMM CC[C@H]1CO[C@H](C)CN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821000840 606491922 /nfs/dbraw/zinc/49/19/22/606491922.db2.gz KCOUKNZBMVUNBN-BDAKNGLRSA-N -1 1 307.379 1.568 20 0 DDADMM CC[C@H]1CO[C@H](C)CN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821000840 606491924 /nfs/dbraw/zinc/49/19/24/606491924.db2.gz KCOUKNZBMVUNBN-BDAKNGLRSA-N -1 1 307.379 1.568 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N(C[C@@H]1CCOC1)C1CC1 ZINC000823478566 606535603 /nfs/dbraw/zinc/53/56/03/606535603.db2.gz LWQIGIRECLMRQG-VIFPVBQESA-N -1 1 319.390 1.569 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N(C[C@@H]1CCOC1)C1CC1 ZINC000823478566 606535605 /nfs/dbraw/zinc/53/56/05/606535605.db2.gz LWQIGIRECLMRQG-VIFPVBQESA-N -1 1 319.390 1.569 20 0 DDADMM COC(=O)CCC1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000821660150 606610993 /nfs/dbraw/zinc/61/09/93/606610993.db2.gz HQNZWDDANSGYJC-UHFFFAOYSA-N -1 1 316.365 1.431 20 0 DDADMM COC(=O)CCC1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000821660150 606610995 /nfs/dbraw/zinc/61/09/95/606610995.db2.gz HQNZWDDANSGYJC-UHFFFAOYSA-N -1 1 316.365 1.431 20 0 DDADMM COc1cccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)n1 ZINC000821859443 606647789 /nfs/dbraw/zinc/64/77/89/606647789.db2.gz OORKGTFCZSSOEJ-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM COc1cccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)n1 ZINC000821859443 606647790 /nfs/dbraw/zinc/64/77/90/606647790.db2.gz OORKGTFCZSSOEJ-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM COCCC1(CNC(=O)c2ccc(-c3nnn[n-]3)s2)CCC1 ZINC000821686538 606741251 /nfs/dbraw/zinc/74/12/51/606741251.db2.gz VDBRAWFVBIRROC-UHFFFAOYSA-N -1 1 321.406 1.865 20 0 DDADMM COCCC1(CNC(=O)c2ccc(-c3nn[n-]n3)s2)CCC1 ZINC000821686538 606741252 /nfs/dbraw/zinc/74/12/52/606741252.db2.gz VDBRAWFVBIRROC-UHFFFAOYSA-N -1 1 321.406 1.865 20 0 DDADMM Clc1ccc(N2CC[C@]3(CCOC3)C2)nc1-c1nnn[n-]1 ZINC000822574553 606802354 /nfs/dbraw/zinc/80/23/54/606802354.db2.gz WDCXJRZZRYBLCI-ZDUSSCGKSA-N -1 1 306.757 1.532 20 0 DDADMM Clc1ccc(N2CC[C@]3(CCOC3)C2)nc1-c1nn[n-]n1 ZINC000822574553 606802356 /nfs/dbraw/zinc/80/23/56/606802356.db2.gz WDCXJRZZRYBLCI-ZDUSSCGKSA-N -1 1 306.757 1.532 20 0 DDADMM CC1(C)CCCC[C@@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820596421 606873924 /nfs/dbraw/zinc/87/39/24/606873924.db2.gz PLOOSRHHCTVNQU-LBPRGKRZSA-N -1 1 300.366 1.960 20 0 DDADMM CC1(C)CCCC[C@@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820596421 606873925 /nfs/dbraw/zinc/87/39/25/606873925.db2.gz PLOOSRHHCTVNQU-LBPRGKRZSA-N -1 1 300.366 1.960 20 0 DDADMM COc1ccc(CC(=O)Nc2ncc(-c3nnn[n-]3)s2)cc1 ZINC000821787624 607023896 /nfs/dbraw/zinc/02/38/96/607023896.db2.gz WHODFHBSOZAVKA-UHFFFAOYSA-N -1 1 316.346 1.513 20 0 DDADMM COc1ccc(CC(=O)Nc2ncc(-c3nn[n-]n3)s2)cc1 ZINC000821787624 607023897 /nfs/dbraw/zinc/02/38/97/607023897.db2.gz WHODFHBSOZAVKA-UHFFFAOYSA-N -1 1 316.346 1.513 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1ccc(F)cc1F ZINC000823379669 607025050 /nfs/dbraw/zinc/02/50/50/607025050.db2.gz CVGXMUVFSXNIHF-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1ccc(F)cc1F ZINC000823379669 607025051 /nfs/dbraw/zinc/02/50/51/607025051.db2.gz CVGXMUVFSXNIHF-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM C[C@@H]1COCCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000825006491 607822497 /nfs/dbraw/zinc/82/24/97/607822497.db2.gz KBDAFSBSKAEULW-JTQLQIEISA-N -1 1 311.349 1.283 20 0 DDADMM C[C@@H]1COCCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000825006491 607822498 /nfs/dbraw/zinc/82/24/98/607822498.db2.gz KBDAFSBSKAEULW-JTQLQIEISA-N -1 1 311.349 1.283 20 0 DDADMM O=C1Nc2ccccc2[C@@H]1CCNc1cccc(-c2nnn[n-]2)n1 ZINC000826483296 607895628 /nfs/dbraw/zinc/89/56/28/607895628.db2.gz IQSKPVDYPBYOQJ-NSHDSACASA-N -1 1 321.344 1.800 20 0 DDADMM O=C1Nc2ccccc2[C@@H]1CCNc1cccc(-c2nn[n-]n2)n1 ZINC000826483296 607895629 /nfs/dbraw/zinc/89/56/29/607895629.db2.gz IQSKPVDYPBYOQJ-NSHDSACASA-N -1 1 321.344 1.800 20 0 DDADMM Cn1c(CNc2cccc(-c3nnn[n-]3)n2)nc2ccccc21 ZINC000826355122 607895897 /nfs/dbraw/zinc/89/58/97/607895897.db2.gz KTGQWTONIIULSR-UHFFFAOYSA-N -1 1 306.333 1.761 20 0 DDADMM Cn1c(CNc2cccc(-c3nn[n-]n3)n2)nc2ccccc21 ZINC000826355122 607895898 /nfs/dbraw/zinc/89/58/98/607895898.db2.gz KTGQWTONIIULSR-UHFFFAOYSA-N -1 1 306.333 1.761 20 0 DDADMM c1nc(Sc2cccc(-c3nnn[n-]3)n2)nn1-c1ccccc1 ZINC000826519997 607896195 /nfs/dbraw/zinc/89/61/95/607896195.db2.gz WYCANRHOSUCSLY-UHFFFAOYSA-N -1 1 322.357 1.994 20 0 DDADMM c1nc(Sc2cccc(-c3nn[n-]n3)n2)nn1-c1ccccc1 ZINC000826519997 607896196 /nfs/dbraw/zinc/89/61/96/607896196.db2.gz WYCANRHOSUCSLY-UHFFFAOYSA-N -1 1 322.357 1.994 20 0 DDADMM Cc1noc(C)c1CCOC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000826339147 607914542 /nfs/dbraw/zinc/91/45/42/607914542.db2.gz SRMNPHONKRAMFL-UHFFFAOYSA-N -1 1 319.346 1.933 20 0 DDADMM Cc1noc(C)c1CCOC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000826339147 607914543 /nfs/dbraw/zinc/91/45/43/607914543.db2.gz SRMNPHONKRAMFL-UHFFFAOYSA-N -1 1 319.346 1.933 20 0 DDADMM C[C@](O)(CNc1ccc(Cl)c(-c2nnn[n-]2)n1)C(F)(F)F ZINC000824677541 608018364 /nfs/dbraw/zinc/01/83/64/608018364.db2.gz FWBPVNTZLTVOTC-VIFPVBQESA-N -1 1 322.678 1.640 20 0 DDADMM C[C@](O)(CNc1ccc(Cl)c(-c2nn[n-]n2)n1)C(F)(F)F ZINC000824677541 608018365 /nfs/dbraw/zinc/01/83/65/608018365.db2.gz FWBPVNTZLTVOTC-VIFPVBQESA-N -1 1 322.678 1.640 20 0 DDADMM CCCc1cc(NC(=O)c2ccc(-c3nnn[n-]3)s2)n(C)n1 ZINC000825348277 608272080 /nfs/dbraw/zinc/27/20/80/608272080.db2.gz FGNRUHQDIFBNHT-UHFFFAOYSA-N -1 1 317.378 1.867 20 0 DDADMM CCCc1cc(NC(=O)c2ccc(-c3nn[n-]n3)s2)n(C)n1 ZINC000825348277 608272081 /nfs/dbraw/zinc/27/20/81/608272081.db2.gz FGNRUHQDIFBNHT-UHFFFAOYSA-N -1 1 317.378 1.867 20 0 DDADMM CC(C)(CCCO)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000824152591 608278021 /nfs/dbraw/zinc/27/80/21/608278021.db2.gz JCDGDFWCKNORQI-UHFFFAOYSA-N -1 1 309.395 1.457 20 0 DDADMM CC(C)(CCCO)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000824152591 608278022 /nfs/dbraw/zinc/27/80/22/608278022.db2.gz JCDGDFWCKNORQI-UHFFFAOYSA-N -1 1 309.395 1.457 20 0 DDADMM CCn1c(CNc2ccc(-c3nnn[n-]3)nn2)nc2ccccc21 ZINC000825789272 608391239 /nfs/dbraw/zinc/39/12/39/608391239.db2.gz YBKJJVIMCJSIMY-UHFFFAOYSA-N -1 1 321.348 1.638 20 0 DDADMM CCn1c(CNc2ccc(-c3nn[n-]n3)nn2)nc2ccccc21 ZINC000825789272 608391241 /nfs/dbraw/zinc/39/12/41/608391241.db2.gz YBKJJVIMCJSIMY-UHFFFAOYSA-N -1 1 321.348 1.638 20 0 DDADMM O=C(Nc1ccc(Nc2ccc(-c3nnn[n-]3)nn2)cc1)C1CC1 ZINC000826454745 608393772 /nfs/dbraw/zinc/39/37/72/608393772.db2.gz JJAMDSOSJHEJNN-UHFFFAOYSA-N -1 1 322.332 1.749 20 0 DDADMM O=C(Nc1ccc(Nc2ccc(-c3nn[n-]n3)nn2)cc1)C1CC1 ZINC000826454745 608393774 /nfs/dbraw/zinc/39/37/74/608393774.db2.gz JJAMDSOSJHEJNN-UHFFFAOYSA-N -1 1 322.332 1.749 20 0 DDADMM Fc1ccccc1OCCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826378234 608393852 /nfs/dbraw/zinc/39/38/52/608393852.db2.gz ANWHEYCSPSTHBH-UHFFFAOYSA-N -1 1 315.312 1.677 20 0 DDADMM Fc1ccccc1OCCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826378234 608393854 /nfs/dbraw/zinc/39/38/54/608393854.db2.gz ANWHEYCSPSTHBH-UHFFFAOYSA-N -1 1 315.312 1.677 20 0 DDADMM C[C@H](Nc1ccc(-c2nnn[n-]2)nn1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000824656897 608399126 /nfs/dbraw/zinc/39/91/26/608399126.db2.gz NTSKGUJTQZNMPX-ZETCQYMHSA-N -1 1 323.320 1.412 20 0 DDADMM C[C@H](Nc1ccc(-c2nn[n-]n2)nn1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000824656897 608399128 /nfs/dbraw/zinc/39/91/28/608399128.db2.gz NTSKGUJTQZNMPX-ZETCQYMHSA-N -1 1 323.320 1.412 20 0 DDADMM CCNC(=O)c1cccc(CNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000825476756 608399820 /nfs/dbraw/zinc/39/98/20/608399820.db2.gz VBGNMFMWJDQILW-UHFFFAOYSA-N -1 1 324.348 1.019 20 0 DDADMM CCNC(=O)c1cccc(CNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000825476756 608399822 /nfs/dbraw/zinc/39/98/22/608399822.db2.gz VBGNMFMWJDQILW-UHFFFAOYSA-N -1 1 324.348 1.019 20 0 DDADMM OCC[C@H](CNc1ccc(-c2nnn[n-]2)nn1)c1ccccc1 ZINC000826509580 608423745 /nfs/dbraw/zinc/42/37/45/608423745.db2.gz ZCNAWVQMZNLFNC-GFCCVEGCSA-N -1 1 311.349 1.235 20 0 DDADMM OCC[C@H](CNc1ccc(-c2nn[n-]n2)nn1)c1ccccc1 ZINC000826509580 608423746 /nfs/dbraw/zinc/42/37/46/608423746.db2.gz ZCNAWVQMZNLFNC-GFCCVEGCSA-N -1 1 311.349 1.235 20 0 DDADMM c1ccc(C[C@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826520342 608423883 /nfs/dbraw/zinc/42/38/83/608423883.db2.gz GXAYJBLRRAKJOU-CYBMUJFWSA-N -1 1 307.361 1.726 20 0 DDADMM c1ccc(C[C@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826520342 608423886 /nfs/dbraw/zinc/42/38/86/608423886.db2.gz GXAYJBLRRAKJOU-CYBMUJFWSA-N -1 1 307.361 1.726 20 0 DDADMM CCC1(CC)[C@H](N(C)c2ccc(-c3nnn[n-]3)nn2)C[C@@H]1OC ZINC000825171181 608432496 /nfs/dbraw/zinc/43/24/96/608432496.db2.gz MLESYXWRMHYHPA-NEPJUHHUSA-N -1 1 317.397 1.687 20 0 DDADMM CCC1(CC)[C@H](N(C)c2ccc(-c3nn[n-]n3)nn2)C[C@@H]1OC ZINC000825171181 608432498 /nfs/dbraw/zinc/43/24/98/608432498.db2.gz MLESYXWRMHYHPA-NEPJUHHUSA-N -1 1 317.397 1.687 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@@H]1CC[C@H]2SCCS[C@@H]12 ZINC000826514293 608439334 /nfs/dbraw/zinc/43/93/34/608439334.db2.gz NAQGRYQDDYBQKU-ZOFUNIGCSA-N -1 1 321.435 1.448 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@@H]1CC[C@H]2SCCS[C@@H]12 ZINC000826514293 608439335 /nfs/dbraw/zinc/43/93/35/608439335.db2.gz NAQGRYQDDYBQKU-ZOFUNIGCSA-N -1 1 321.435 1.448 20 0 DDADMM CN1Cc2cc(Oc3ncccc3-c3nn[n-]n3)ccc2C1=O ZINC000826044671 608553520 /nfs/dbraw/zinc/55/35/20/608553520.db2.gz JAEXZEWGHRZVAZ-UHFFFAOYSA-N -1 1 308.301 1.640 20 0 DDADMM C[C@H]1C[C@H](Nc2snc(Cl)c2-c2nnn[n-]2)CCO1 ZINC000824898005 608801084 /nfs/dbraw/zinc/80/10/84/608801084.db2.gz COOHONBSZYGPDM-NTSWFWBYSA-N -1 1 300.775 1.956 20 0 DDADMM C[C@H]1C[C@H](Nc2snc(Cl)c2-c2nn[n-]n2)CCO1 ZINC000824898005 608801088 /nfs/dbraw/zinc/80/10/88/608801088.db2.gz COOHONBSZYGPDM-NTSWFWBYSA-N -1 1 300.775 1.956 20 0 DDADMM C[C@@H](C[S@@](C)=O)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000824448958 609598062 /nfs/dbraw/zinc/59/80/62/609598062.db2.gz LGWXGASPCWLDLC-QSGJHWBXSA-N -1 1 306.804 1.156 20 0 DDADMM C[C@@H](C[S@@](C)=O)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000824448958 609598064 /nfs/dbraw/zinc/59/80/64/609598064.db2.gz LGWXGASPCWLDLC-QSGJHWBXSA-N -1 1 306.804 1.156 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)C2(O)CCOCC2)c1 ZINC000744960759 699967008 /nfs/dbraw/zinc/96/70/08/699967008.db2.gz NRSKZNBCDQLPSU-UHFFFAOYSA-N -1 1 308.330 1.222 20 0 DDADMM CC(C)CNC(=O)[C@@H](C)OC(=O)c1c([O-])cc(F)cc1F ZINC000745522805 699984187 /nfs/dbraw/zinc/98/41/87/699984187.db2.gz NYCOGKUCZLAIGA-MRVPVSSYSA-N -1 1 301.289 1.988 20 0 DDADMM CC1(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CC=CC1 ZINC000973198126 695444102 /nfs/dbraw/zinc/44/41/02/695444102.db2.gz VJAQIBKIMFEAHK-HAQNSBGRSA-N -1 1 315.373 1.521 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)c1cccs1 ZINC000973826320 695555439 /nfs/dbraw/zinc/55/54/39/695555439.db2.gz DNXUFQJNWABUIZ-AOOOYVTPSA-N -1 1 317.370 1.540 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000974812621 695740930 /nfs/dbraw/zinc/74/09/30/695740930.db2.gz OUGCPPYZLJWOQL-QNWHQSFQSA-N -1 1 315.373 1.116 20 0 DDADMM CC(=O)N1CCC[C@@H]1[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000975962248 695884940 /nfs/dbraw/zinc/88/49/40/695884940.db2.gz WHKJZPPVLVAZMY-ZIAGYGMSSA-N -1 1 317.389 1.793 20 0 DDADMM COc1ccccc1[C@H](O)CNC(=O)C(=O)c1ccc([O-])cc1 ZINC000798161248 700045436 /nfs/dbraw/zinc/04/54/36/700045436.db2.gz OPVWYIWEKLYSMU-CQSZACIVSA-N -1 1 315.325 1.433 20 0 DDADMM O=C(NC[C@H](O)c1ccccc1F)C(=O)c1ccc([O-])cc1 ZINC000798173291 700046507 /nfs/dbraw/zinc/04/65/07/700046507.db2.gz QGMJGWJAQLTYLG-AWEZNQCLSA-N -1 1 303.289 1.564 20 0 DDADMM O=C(COC(=O)c1cccnc1Cl)[N-]C(=O)c1ccccc1 ZINC000003397004 696006689 /nfs/dbraw/zinc/00/66/89/696006689.db2.gz QNIQYTYCRRERNY-UHFFFAOYSA-N -1 1 318.716 1.848 20 0 DDADMM CCCC[C@@H](CC)CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798270908 700054046 /nfs/dbraw/zinc/05/40/46/700054046.db2.gz ZCRVGWCVKUUPOH-GFCCVEGCSA-N -1 1 309.414 1.616 20 0 DDADMM CCC(Nc1ccccc1OC)=C1C(=O)[N-]C(=S)NC1=O ZINC000009770389 696037405 /nfs/dbraw/zinc/03/74/05/696037405.db2.gz YWNMGKRAKDAXSV-UHFFFAOYSA-N -1 1 305.359 1.302 20 0 DDADMM Cc1ccnc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000798403089 700062520 /nfs/dbraw/zinc/06/25/20/700062520.db2.gz KVLMPGACALVWKQ-UHFFFAOYSA-N -1 1 302.300 1.680 20 0 DDADMM C[C@@H](C(=O)N[N-]C(=O)c1cc(-c2ccccc2)[nH]n1)n1cccn1 ZINC000030562824 696114682 /nfs/dbraw/zinc/11/46/82/696114682.db2.gz HIZYICCIWSHCIK-NSHDSACASA-N -1 1 324.344 1.295 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2cc(F)cc(F)c2)n1 ZINC000031721303 696122438 /nfs/dbraw/zinc/12/24/38/696122438.db2.gz IETZJGKDSNTQFE-UHFFFAOYSA-N -1 1 316.289 1.069 20 0 DDADMM CN1CCN(Cc2ccc(NC(=O)CCCC(=O)[O-])cc2)CC1 ZINC000031977446 696122895 /nfs/dbraw/zinc/12/28/95/696122895.db2.gz VJQAPSBQPDBNCZ-UHFFFAOYSA-N -1 1 319.405 1.627 20 0 DDADMM O=C([N-]OCc1ccccc1)[C@@H]1CC(=O)N(Cc2ccco2)C1 ZINC000043544569 696154891 /nfs/dbraw/zinc/15/48/91/696154891.db2.gz HSZIKWWCTCTPTP-CQSZACIVSA-N -1 1 314.341 1.876 20 0 DDADMM CC[N@@H+]1CCO[C@H](CNC(=O)c2c(C)nc(C(C)C)[nH]c2=O)C1 ZINC000747397769 700067699 /nfs/dbraw/zinc/06/76/99/700067699.db2.gz XCBAYQCIJALPGX-GFCCVEGCSA-N -1 1 322.409 1.065 20 0 DDADMM C[C@H](C#N)OC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000046313920 696183813 /nfs/dbraw/zinc/18/38/13/696183813.db2.gz WQOYSEIYCBAATF-MRVPVSSYSA-N -1 1 300.336 1.425 20 0 DDADMM CC(NCc1ccccc1Cl)=C1C(=O)[N-]C(=S)NC1=O ZINC000048403561 696211800 /nfs/dbraw/zinc/21/18/00/696211800.db2.gz IRHPRLPILHLDAJ-UHFFFAOYSA-N -1 1 309.778 1.235 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977597205 696228460 /nfs/dbraw/zinc/22/84/60/696228460.db2.gz FUALCLNZCWZGPT-STQMWFEESA-N -1 1 319.405 1.752 20 0 DDADMM Cc1cc(=O)[n-]c(NN=C2C(=O)Nc3c2c(Cl)ccc3C)n1 ZINC000057777658 696299448 /nfs/dbraw/zinc/29/94/48/696299448.db2.gz LHWNDWHKWCBBCQ-UHFFFAOYSA-N -1 1 317.736 1.808 20 0 DDADMM N#CSc1ccc(NC=C2C(=O)[N-]C(=S)NC2=O)c(F)c1 ZINC000060864066 696314560 /nfs/dbraw/zinc/31/45/60/696314560.db2.gz JCDYFLDNGWKQEC-UHFFFAOYSA-N -1 1 322.346 1.226 20 0 DDADMM Cn1c(CNC(=O)COc2ccc3c(c2)CCC3)n[n-]c1=S ZINC000066626176 696353871 /nfs/dbraw/zinc/35/38/71/696353871.db2.gz MDPJPACXOFNNIY-UHFFFAOYSA-N -1 1 318.402 1.662 20 0 DDADMM CCc1noc(CCCC(=O)NCc2n[n-]c(=S)n2CC)n1 ZINC000066636652 696354345 /nfs/dbraw/zinc/35/43/45/696354345.db2.gz BOWBFZSABYQPHM-UHFFFAOYSA-N -1 1 324.410 1.545 20 0 DDADMM Cn1c(CCNC(=O)c2cc(-c3ccco3)n[nH]2)n[n-]c1=S ZINC000067051430 696358351 /nfs/dbraw/zinc/35/83/51/696358351.db2.gz UMAHSLYZVVUFIS-UHFFFAOYSA-N -1 1 318.362 1.433 20 0 DDADMM CCn1c(CNC(=O)c2ccnc(C3CC3)n2)n[n-]c1=S ZINC000069702900 696385646 /nfs/dbraw/zinc/38/56/46/696385646.db2.gz MARBFOWLWAPKBY-UHFFFAOYSA-N -1 1 304.379 1.558 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(Cc2ccc(F)cc2)C2CC2)s1 ZINC000079326925 696459686 /nfs/dbraw/zinc/45/96/86/696459686.db2.gz CSHJBDMKNGPOKF-LBPRGKRZSA-N -1 1 321.377 1.664 20 0 DDADMM Cc1cc(C)c2c(c1)N(C(=O)Cc1sc(N)nc1[O-])CCC2 ZINC000079956772 696465424 /nfs/dbraw/zinc/46/54/24/696465424.db2.gz JFPNLNXWAFWMKF-ZDUSSCGKSA-N -1 1 317.414 1.929 20 0 DDADMM C=CCN(CC1CCCCC1)C(=O)Cc1sc(N)nc1[O-] ZINC000080217808 696531536 /nfs/dbraw/zinc/53/15/36/696531536.db2.gz UNFUSMOKOMVIRQ-LBPRGKRZSA-N -1 1 309.435 1.928 20 0 DDADMM Cc1cccnc1[C@@H](NC(=O)Cc1sc(N)nc1[O-])C(C)C ZINC000080306048 696533031 /nfs/dbraw/zinc/53/30/31/696533031.db2.gz GCIHVWFCXLDPAT-JQWIXIFHSA-N -1 1 320.418 1.550 20 0 DDADMM Cc1ccc([C@H](C)CNC(=O)Cc2sc(N)nc2[O-])cc1 ZINC000080396706 696534320 /nfs/dbraw/zinc/53/43/20/696534320.db2.gz OIPKNUWFULTHQS-ZYHUDNBSSA-N -1 1 305.403 1.562 20 0 DDADMM CNC(=O)c1cccc(OS(=O)(=O)c2cnc(C(C)C)[n-]2)c1 ZINC000080716099 696536975 /nfs/dbraw/zinc/53/69/75/696536975.db2.gz RANZEYHSGDOAOP-UHFFFAOYSA-N -1 1 323.374 1.660 20 0 DDADMM CNC(=O)c1cccc(OS(=O)(=O)c2c[n-]c(C(C)C)n2)c1 ZINC000080716099 696536978 /nfs/dbraw/zinc/53/69/78/696536978.db2.gz RANZEYHSGDOAOP-UHFFFAOYSA-N -1 1 323.374 1.660 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)/C=C/c1ccccc1)N(C)C ZINC000798812391 700098674 /nfs/dbraw/zinc/09/86/74/700098674.db2.gz GERJNSSHKXCJRW-PLSXKVAHSA-N -1 1 310.419 1.690 20 0 DDADMM O=C(N[C@@H]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]12)C1CC1 ZINC000979833767 696651088 /nfs/dbraw/zinc/65/10/88/696651088.db2.gz SJXAWYOSCXSHDV-FRRDWIJNSA-N -1 1 315.373 1.164 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C=Cc1ccco1 ZINC000114156372 696659990 /nfs/dbraw/zinc/65/99/90/696659990.db2.gz AVNUSWCYVLPUSM-AATRIKPKSA-N -1 1 312.329 1.562 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)CCCF)N1CCCCC1 ZINC000125134235 696750305 /nfs/dbraw/zinc/75/03/05/696750305.db2.gz DGXISKWIKJURID-GFCCVEGCSA-N -1 1 308.419 1.303 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc2ccccc2o1)[C@@H]1CN(C)CCO1 ZINC000125897268 696757070 /nfs/dbraw/zinc/75/70/70/696757070.db2.gz WZUVGJCOCLTXEW-RISCZKNCSA-N -1 1 324.402 1.430 20 0 DDADMM NC(=O)C1(CNC(=O)c2c([O-])cccc2Cl)CCOCC1 ZINC000147362454 696874043 /nfs/dbraw/zinc/87/40/43/696874043.db2.gz PKUMBSJVMZIEIF-UHFFFAOYSA-N -1 1 312.753 1.058 20 0 DDADMM O=C(NO)[C@@H]1CCCN1C(=O)c1ccc2ccccc2c1[O-] ZINC000148639912 696874930 /nfs/dbraw/zinc/87/49/30/696874930.db2.gz LJGCUWVPNZYWNR-ZDUSSCGKSA-N -1 1 300.314 1.655 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(Cl)cc2)C1 ZINC000748770482 700133854 /nfs/dbraw/zinc/13/38/54/700133854.db2.gz VRFQAVDVBBRLIZ-SNVBAGLBSA-N -1 1 312.753 1.387 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@H](CNCc3nccs3)C2)c1[O-] ZINC000983481416 697269475 /nfs/dbraw/zinc/26/94/75/697269475.db2.gz SCHCKFWHHOIUMY-MGCOHNPYSA-N -1 1 321.406 1.178 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1C[C@@H]1c1ccc2ccccc2c1 ZINC000171763100 697361762 /nfs/dbraw/zinc/36/17/62/697361762.db2.gz CFNAQWNOCBKFMP-KGLIPLIRSA-N -1 1 309.325 1.526 20 0 DDADMM COC(=O)C1C(C)=NC(=S)N[C@@H]1c1cc(F)c([O-])c(F)c1 ZINC000175789496 697398794 /nfs/dbraw/zinc/39/87/94/697398794.db2.gz BLKATOIXQFHFKD-SNVBAGLBSA-N -1 1 314.313 1.636 20 0 DDADMM CCC[C@@H]1C(=O)NCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000180219151 697445902 /nfs/dbraw/zinc/44/59/02/697445902.db2.gz GVXBIZTVIMLAGL-CQSZACIVSA-N -1 1 316.357 1.599 20 0 DDADMM CO[C@@H](C)CCC(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000180777050 697451777 /nfs/dbraw/zinc/45/17/77/697451777.db2.gz HPVAUAOTBVTKQF-QMMMGPOBSA-N -1 1 300.742 1.622 20 0 DDADMM C[C@@H](NC(=O)c1ccoc1)C(=O)Nc1nc(Cl)ccc1[O-] ZINC000188351612 697557646 /nfs/dbraw/zinc/55/76/46/697557646.db2.gz QWSSLXUEFLFFDP-SSDOTTSWSA-N -1 1 309.709 1.791 20 0 DDADMM COc1cc(C(=O)Nc2nc(Br)ccc2[O-])on1 ZINC000189982134 697582959 /nfs/dbraw/zinc/58/29/59/697582959.db2.gz OAVSVNDLISUZAO-UHFFFAOYSA-N -1 1 314.095 1.799 20 0 DDADMM COc1cc(C(=O)[N-]c2nc(Br)ccc2O)on1 ZINC000189982134 697582960 /nfs/dbraw/zinc/58/29/60/697582960.db2.gz OAVSVNDLISUZAO-UHFFFAOYSA-N -1 1 314.095 1.799 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2c(F)cccc2Cl)OCCCO1 ZINC000192223614 697623097 /nfs/dbraw/zinc/62/30/97/697623097.db2.gz WZEPRXCLZMARRD-UHFFFAOYSA-N -1 1 323.773 1.911 20 0 DDADMM C[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1cn(C)c2ccccc12 ZINC000772852944 697684870 /nfs/dbraw/zinc/68/48/70/697684870.db2.gz XORLRXNHRJOYAO-JTQLQIEISA-N -1 1 314.345 1.447 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/c2cccs2)c1 ZINC000194027056 697688203 /nfs/dbraw/zinc/68/82/03/697688203.db2.gz FBRZBCBQHHMWKC-ZZXKWVIFSA-N -1 1 324.383 1.753 20 0 DDADMM CC(=O)OC(C)(C)C(=O)Nc1nc(Br)ccc1[O-] ZINC000194573216 697713766 /nfs/dbraw/zinc/71/37/66/697713766.db2.gz GSCNMPGRRUZARM-UHFFFAOYSA-N -1 1 317.139 1.830 20 0 DDADMM O=C(COc1ccc(F)cc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773159755 697721219 /nfs/dbraw/zinc/72/12/19/697721219.db2.gz MMXNPYARKOJKKT-LLVKDONJSA-N -1 1 323.353 1.034 20 0 DDADMM O=C(c1cc(F)cc(F)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773164248 697722801 /nfs/dbraw/zinc/72/28/01/697722801.db2.gz UMJXZJCVFICYPG-JTQLQIEISA-N -1 1 311.317 1.408 20 0 DDADMM O=C(NCc1ccc(O)cc1)c1ccc2n[n-]c(=S)n2c1 ZINC000774378591 697873523 /nfs/dbraw/zinc/87/35/23/697873523.db2.gz DZHPDPYGALGSRK-UHFFFAOYSA-N -1 1 300.343 1.654 20 0 DDADMM O=Cc1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)cc1 ZINC000774907716 697940339 /nfs/dbraw/zinc/94/03/39/697940339.db2.gz DRTZDTHROIQELC-UHFFFAOYSA-N -1 1 303.236 1.725 20 0 DDADMM CCN(CC(=O)NC)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000776466360 698108526 /nfs/dbraw/zinc/10/85/26/698108526.db2.gz QEONTMRBLOWJBB-UHFFFAOYSA-N -1 1 304.268 1.619 20 0 DDADMM C[C@@H]1CC[C@H](CCC(=O)N2CCSC[C@H]2c2nn[n-]n2)O1 ZINC000776562116 698117146 /nfs/dbraw/zinc/11/71/46/698117146.db2.gz BGTVVKITALOPOQ-MXWKQRLJSA-N -1 1 311.411 1.164 20 0 DDADMM COC(=O)[C@H](C)SCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000777504835 698196449 /nfs/dbraw/zinc/19/64/49/698196449.db2.gz ZDJQLSFCFTYQPS-VIFPVBQESA-N -1 1 311.359 1.246 20 0 DDADMM CCc1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)ccc1C ZINC000778492311 698341586 /nfs/dbraw/zinc/34/15/86/698341586.db2.gz HBRZJKXNQWUCKZ-UHFFFAOYSA-N -1 1 301.346 1.436 20 0 DDADMM CC(=CC(=O)NCCCc1nc(=O)[n-][nH]1)c1cccc(F)c1 ZINC000255322260 698415449 /nfs/dbraw/zinc/41/54/49/698415449.db2.gz WBNOBEZWFOIYOS-NTMALXAHSA-N -1 1 304.325 1.389 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC23CCC(CC2)C3)co1 ZINC000800456959 700226067 /nfs/dbraw/zinc/22/60/67/700226067.db2.gz ORPUTWBIWMRVNP-UHFFFAOYSA-N -1 1 313.375 1.925 20 0 DDADMM Cc1coc(C)c1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000988753195 698455807 /nfs/dbraw/zinc/45/58/07/698455807.db2.gz AQUMWNXLEBFUSP-GXSJLCMTSA-N -1 1 319.365 1.113 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000780559732 698531480 /nfs/dbraw/zinc/53/14/80/698531480.db2.gz KLTAVLIVXXXDPV-UHFFFAOYSA-N -1 1 321.312 1.227 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000780559732 698531481 /nfs/dbraw/zinc/53/14/81/698531481.db2.gz KLTAVLIVXXXDPV-UHFFFAOYSA-N -1 1 321.312 1.227 20 0 DDADMM COc1ccc(-c2cc(C(=O)[N-]N3CCCC3=O)n[nH]2)cc1 ZINC000780700166 698550501 /nfs/dbraw/zinc/55/05/01/698550501.db2.gz PRBYZNYDVYXISI-UHFFFAOYSA-N -1 1 300.318 1.353 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CC2(CCC2)C1 ZINC000989325703 698586657 /nfs/dbraw/zinc/58/66/57/698586657.db2.gz IOVMALUQOIGTFV-PWSUYJOCSA-N -1 1 319.409 1.170 20 0 DDADMM COc1cc(OS(=O)(=O)c2c[n-]cn2)cc(OC)c1OC ZINC000784467695 698940907 /nfs/dbraw/zinc/94/09/07/698940907.db2.gz UGCPBQJKXHGNDF-UHFFFAOYSA-N -1 1 314.319 1.203 20 0 DDADMM CCN1CCN(C(=O)[C@H](C)OC(=O)c2ccc([O-])cc2F)CC1 ZINC000784518029 698944291 /nfs/dbraw/zinc/94/42/91/698944291.db2.gz QLQWQHGGMICERE-NSHDSACASA-N -1 1 324.352 1.241 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])[C@H](C)O1 ZINC000384794106 699073461 /nfs/dbraw/zinc/07/34/61/699073461.db2.gz RNQWVMJQTFKRPO-WEDXCCLWSA-N -1 1 318.326 1.578 20 0 DDADMM CC[S@@](=O)CCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000785411740 699074663 /nfs/dbraw/zinc/07/46/63/699074663.db2.gz SDPWHSHYLJQUFX-LJQANCHMSA-N -1 1 305.783 1.553 20 0 DDADMM CC[C@H](O[C@H]1CCC[C@@H](C)C1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000786351659 699142060 /nfs/dbraw/zinc/14/20/60/699142060.db2.gz MZQZPNKPXFVCPQ-WOPDTQHZSA-N -1 1 311.382 1.526 20 0 DDADMM O=C(COC(=O)[C@@H]1CCCOCC1)[N-]C(=O)c1ccccc1 ZINC000787110021 699190946 /nfs/dbraw/zinc/19/09/46/699190946.db2.gz KDDMFDUBDQPOIA-CYBMUJFWSA-N -1 1 305.330 1.303 20 0 DDADMM O=C(NC1CN(C(=O)c2ccc(F)cc2)C1)c1ncccc1[O-] ZINC000990971547 699195937 /nfs/dbraw/zinc/19/59/37/699195937.db2.gz WLJGJAFMJLVEOF-UHFFFAOYSA-N -1 1 315.304 1.181 20 0 DDADMM Cc1ccc(-c2cc(C(=O)O[C@H](C)c3nnnn3C)[nH]n2)o1 ZINC000787649372 699227884 /nfs/dbraw/zinc/22/78/84/699227884.db2.gz CFHFBFOPJDKCNC-MRVPVSSYSA-N -1 1 302.294 1.420 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2CC(C)(C)CO2)c1Cl ZINC000717659017 699289782 /nfs/dbraw/zinc/28/97/82/699289782.db2.gz FEAZWZZJFDUHDY-MRVPVSSYSA-N -1 1 307.803 1.167 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)C2=Cc3ccccc3OC2)n1 ZINC000788887189 699344751 /nfs/dbraw/zinc/34/47/51/699344751.db2.gz RNSGPYPZIBIMAL-SECBINFHSA-N -1 1 321.358 1.792 20 0 DDADMM CCCOc1ccccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000726865827 699386002 /nfs/dbraw/zinc/38/60/02/699386002.db2.gz PIDPRICXGAXZMY-UHFFFAOYSA-N -1 1 317.345 1.354 20 0 DDADMM CC(C)N(Cc1ccccc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000726865411 699386357 /nfs/dbraw/zinc/38/63/57/699386357.db2.gz CDMOZCURNQZALL-UHFFFAOYSA-N -1 1 315.373 1.364 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])C1CN(CC(F)(F)F)C1 ZINC000790057439 699438439 /nfs/dbraw/zinc/43/84/39/699438439.db2.gz XCHUVJCLZUJRPN-UHFFFAOYSA-N -1 1 309.675 1.873 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)C1CC1)c1cc(Cl)ccc1F ZINC000790215443 699447744 /nfs/dbraw/zinc/44/77/44/699447744.db2.gz CFIFFKHCMDPPOI-NSHDSACASA-N -1 1 307.774 1.918 20 0 DDADMM COC[C@H](NC(=O)c1c(C)nc(C(C)C)[n-]c1=O)[C@@H]1CCCO1 ZINC000790283095 699451878 /nfs/dbraw/zinc/45/18/78/699451878.db2.gz SNUQERWGSRJDHX-RYUDHWBXSA-N -1 1 323.393 1.538 20 0 DDADMM CC(C)n1ccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)n1 ZINC000733583109 699606016 /nfs/dbraw/zinc/60/60/16/699606016.db2.gz FRBDFJIKPQVVLZ-UHFFFAOYSA-N -1 1 315.329 1.577 20 0 DDADMM CCOCCOC[C@@H](O)CNC(=O)c1c([O-])cccc1Cl ZINC000792043387 699672260 /nfs/dbraw/zinc/67/22/60/699672260.db2.gz KFEXWZATUGQIIN-JTQLQIEISA-N -1 1 317.769 1.189 20 0 DDADMM Cc1nn(-c2ccccc2)c(Cl)c1C(=O)Nc1nn[n-]n1 ZINC000736156663 699719572 /nfs/dbraw/zinc/71/95/72/699719572.db2.gz OOCFQZAGGREHLJ-UHFFFAOYSA-N -1 1 303.713 1.600 20 0 DDADMM CCCOc1cccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1 ZINC000736492718 699726594 /nfs/dbraw/zinc/72/65/94/699726594.db2.gz NCXSOFKAQWFWQH-CYBMUJFWSA-N -1 1 317.349 1.202 20 0 DDADMM C[C@H]1CCCN(CC(=O)N2Cc3ccccc3C[C@@H]2C(=O)[O-])C1 ZINC000736795281 699732175 /nfs/dbraw/zinc/73/21/75/699732175.db2.gz URAHWUOOJRHVCM-XJKSGUPXSA-N -1 1 316.401 1.756 20 0 DDADMM Cc1nc(C(C)(C)[N-]S(=O)(=O)c2cccnc2Cl)no1 ZINC000738366823 699757714 /nfs/dbraw/zinc/75/77/14/699757714.db2.gz NLCIDCFOZIQULU-UHFFFAOYSA-N -1 1 316.770 1.640 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCCC[C@@H](C)O ZINC000795472186 699870768 /nfs/dbraw/zinc/87/07/68/699870768.db2.gz CWFKCWUKDGZHPU-LLVKDONJSA-N -1 1 315.391 1.766 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCCC[C@H](C)O ZINC000795472189 699870862 /nfs/dbraw/zinc/87/08/62/699870862.db2.gz CWFKCWUKDGZHPU-NSHDSACASA-N -1 1 315.391 1.766 20 0 DDADMM C[C@H](OC(=O)c1nn(-c2cccc(Cl)c2)cc1[O-])C(N)=O ZINC000801358200 700300728 /nfs/dbraw/zinc/30/07/28/700300728.db2.gz IADSUXWWGKMWHW-ZETCQYMHSA-N -1 1 309.709 1.262 20 0 DDADMM COCC(=O)COC(=O)c1nn(-c2cccc(C)c2C)cc1[O-] ZINC000801416910 700305586 /nfs/dbraw/zinc/30/55/86/700305586.db2.gz TZJWDUSTSSJESH-UHFFFAOYSA-N -1 1 318.329 1.567 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)OC[C@H](C)CO)n1 ZINC000801417360 700305873 /nfs/dbraw/zinc/30/58/73/700305873.db2.gz LZBOCPBJHMWRNW-LLVKDONJSA-N -1 1 304.346 1.926 20 0 DDADMM Cc1cc(F)ccc1-n1cc([O-])c(C(=O)OCC(=O)N(C)C)n1 ZINC000801427887 700308085 /nfs/dbraw/zinc/30/80/85/700308085.db2.gz XDWLXCWYTQDVIO-UHFFFAOYSA-N -1 1 321.308 1.270 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cccc2ccsc21 ZINC000752474300 700366696 /nfs/dbraw/zinc/36/66/96/700366696.db2.gz FLASAFNEZOUSTI-UHFFFAOYSA-N -1 1 315.354 1.780 20 0 DDADMM COc1cccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)n1 ZINC000752796234 700388420 /nfs/dbraw/zinc/38/84/20/700388420.db2.gz VBQFUCXSWROFLU-ZDUSSCGKSA-N -1 1 310.317 1.123 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2COc3ccccc3[C@H]2O)c([O-])c1 ZINC000752919544 700396450 /nfs/dbraw/zinc/39/64/50/700396450.db2.gz ZZZYLNDYLACECY-XHDPSFHLSA-N -1 1 300.314 1.320 20 0 DDADMM CC(=O)OC1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)CC1 ZINC000753717341 700451948 /nfs/dbraw/zinc/45/19/48/700451948.db2.gz ZIGFSENOONOIQO-UHFFFAOYSA-N -1 1 321.377 1.782 20 0 DDADMM O=C(CCc1ccncn1)OCCC[N-]C(=O)C(F)(F)F ZINC000755635019 700574592 /nfs/dbraw/zinc/57/45/92/700574592.db2.gz KBHKMKZQFNAIRW-UHFFFAOYSA-N -1 1 305.256 1.021 20 0 DDADMM O=C(C[C@@H]1CCCCO1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000755700820 700578506 /nfs/dbraw/zinc/57/85/06/700578506.db2.gz QQDJBMLYUHRQSY-JSGCOSHPSA-N -1 1 301.350 1.365 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H]2C[C@@H](C)O[C@@H](C)C2)co1 ZINC000756035238 700600022 /nfs/dbraw/zinc/60/00/22/700600022.db2.gz CZPRUEPGBXTNJZ-DOFRTFSJSA-N -1 1 317.363 1.301 20 0 DDADMM O=C([O-])c1coc(C(=O)NCc2n[nH]c(-c3ccccc3)n2)c1 ZINC000758846481 700725643 /nfs/dbraw/zinc/72/56/43/700725643.db2.gz NSHLZHPWUPHOHR-UHFFFAOYSA-N -1 1 312.285 1.693 20 0 DDADMM O=C([O-])c1coc(C(=O)NCc2nc(-c3ccccc3)n[nH]2)c1 ZINC000758846481 700725645 /nfs/dbraw/zinc/72/56/45/700725645.db2.gz NSHLZHPWUPHOHR-UHFFFAOYSA-N -1 1 312.285 1.693 20 0 DDADMM O=C([N-]OCC(F)F)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000759339328 700747275 /nfs/dbraw/zinc/74/72/75/700747275.db2.gz SFRXSYVHXGVMEV-MRVPVSSYSA-N -1 1 302.252 1.492 20 0 DDADMM O=C(CCC(=O)N1CCc2sccc2C1)[N-]OCC(F)F ZINC000759341837 700747659 /nfs/dbraw/zinc/74/76/59/700747659.db2.gz GHRSJKXXKNTPOD-UHFFFAOYSA-N -1 1 318.345 1.726 20 0 DDADMM COC1CCC(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)CC1 ZINC000762006785 700876085 /nfs/dbraw/zinc/87/60/85/700876085.db2.gz PLIXVTDQRUAKID-CPCZMJQVSA-N -1 1 307.398 1.501 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC/C(Cl)=C\Cl)o1 ZINC000763924406 700953496 /nfs/dbraw/zinc/95/34/96/700953496.db2.gz NZSYQAJOVFIMNZ-GQCTYLIASA-N -1 1 314.146 1.664 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2CCC[C@H](C(F)(F)F)C2)nc1=O ZINC000765447815 701013176 /nfs/dbraw/zinc/01/31/76/701013176.db2.gz OXSUITJDMJSCJG-YUMQZZPRSA-N -1 1 307.272 1.520 20 0 DDADMM COc1ccc(C(C)(C)C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765493413 701016006 /nfs/dbraw/zinc/01/60/06/701016006.db2.gz GGAQHZKCBXSCSM-UHFFFAOYSA-N -1 1 305.334 1.138 20 0 DDADMM O=C(OCc1cn[nH]c1)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000803487887 701121244 /nfs/dbraw/zinc/12/12/44/701121244.db2.gz OZDKIPVOUQWQLW-UHFFFAOYSA-N -1 1 302.265 1.797 20 0 DDADMM CC(C)(C)S(=O)(=O)CCNC(=O)c1ccc([O-])c(F)c1 ZINC000768140395 701160409 /nfs/dbraw/zinc/16/04/09/701160409.db2.gz QTQHKKUNCWJQRS-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM Cn1cc(O)c(=O)cc1CNC(=O)c1ccc(Cl)cc1[O-] ZINC000769951708 701261982 /nfs/dbraw/zinc/26/19/82/701261982.db2.gz CEZHBRDCALBCOT-UHFFFAOYSA-N -1 1 308.721 1.380 20 0 DDADMM CCC(=O)c1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000771657959 701327350 /nfs/dbraw/zinc/32/73/50/701327350.db2.gz BOJWBVBABNVTNB-UHFFFAOYSA-N -1 1 315.329 1.158 20 0 DDADMM CCOc1ccc(NC(=O)[C@@H](C)OC(=O)c2cn[n-]n2)cc1 ZINC000805606374 701399116 /nfs/dbraw/zinc/39/91/16/701399116.db2.gz IGSYHBLGLXNKSS-SECBINFHSA-N -1 1 304.306 1.387 20 0 DDADMM O=C(OCc1nc(Cc2cccc(F)c2)no1)c1cn[n-]n1 ZINC000805607030 701399530 /nfs/dbraw/zinc/39/95/30/701399530.db2.gz FBSHQVRKQKKIIL-UHFFFAOYSA-N -1 1 303.253 1.275 20 0 DDADMM CC(C)(C)C[C@@H](O)CC(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000805727361 701407540 /nfs/dbraw/zinc/40/75/40/701407540.db2.gz FCKSUTAYFRUJIF-VIFPVBQESA-N -1 1 313.316 1.785 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3ncccc3F)ccnc1-2 ZINC000806483310 701436948 /nfs/dbraw/zinc/43/69/48/701436948.db2.gz YZWNWVBIAHUQDB-UHFFFAOYSA-N -1 1 300.297 1.198 20 0 DDADMM NC(=O)N1CCC[C@@H](CNC(=O)c2c([O-])cccc2Cl)C1 ZINC000807812017 701487355 /nfs/dbraw/zinc/48/73/55/701487355.db2.gz GUKMCUQHVZCNCE-VIFPVBQESA-N -1 1 311.769 1.566 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C(=O)O1 ZINC000808203331 701507190 /nfs/dbraw/zinc/50/71/90/701507190.db2.gz YTQPHHHDYAVUQH-MUWHJKNJSA-N -1 1 303.236 1.845 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H]3CC=CC[C@@H]3C2)c1 ZINC000867764255 701737207 /nfs/dbraw/zinc/73/72/07/701737207.db2.gz MKBRHEQUKBHIJI-TXEJJXNPSA-N -1 1 309.387 1.988 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H]1C[C@H]1C1CCCC1 ZINC000830952120 706609521 /nfs/dbraw/zinc/60/95/21/706609521.db2.gz BWNBEFPMGDGDPZ-NWDGAFQWSA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)(C)[C@H](NC(N)=O)C(=O)Nc1nc(Cl)ccc1[O-] ZINC000839732781 701801639 /nfs/dbraw/zinc/80/16/39/701801639.db2.gz GDWZXDAIJXQXST-MRVPVSSYSA-N -1 1 300.746 1.462 20 0 DDADMM CC[C@@H](C[C@@H](C)O)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000867903192 701819032 /nfs/dbraw/zinc/81/90/32/701819032.db2.gz BMRIEZRXUJIFMJ-RQJHMYQMSA-N -1 1 315.317 1.256 20 0 DDADMM CC[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CC(F)(F)F ZINC000839845963 701843359 /nfs/dbraw/zinc/84/33/59/701843359.db2.gz PHBCCEFOBKAMGM-VIFPVBQESA-N -1 1 321.299 1.022 20 0 DDADMM CCc1nc(C(C)C)ccc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000815354616 701852568 /nfs/dbraw/zinc/85/25/68/701852568.db2.gz XIYLUJBCJOMGDH-UHFFFAOYSA-N -1 1 304.350 1.546 20 0 DDADMM CC1([N-]S(=O)(=O)c2c[nH]nc2Cl)Cc2ccccc2C1 ZINC000831009870 706619463 /nfs/dbraw/zinc/61/94/63/706619463.db2.gz JAHQVNYHMPLJJZ-UHFFFAOYSA-N -1 1 311.794 1.899 20 0 DDADMM Cc1ccc(N2CCC[C@@H](NC(=O)CCc3nn[n-]n3)C2)cc1 ZINC000866118805 706623869 /nfs/dbraw/zinc/62/38/69/706623869.db2.gz QUULRWFCWBRRRF-CYBMUJFWSA-N -1 1 314.393 1.226 20 0 DDADMM C[C@H]1CCC[C@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868116634 701948999 /nfs/dbraw/zinc/94/89/99/701948999.db2.gz LHVWFXXZOAGJAP-QWRGUYRKSA-N -1 1 320.393 1.633 20 0 DDADMM Cn1[n-]c(COC(=O)c2c(N)cc(Cl)cc2Cl)nc1=O ZINC000811322418 701958918 /nfs/dbraw/zinc/95/89/18/701958918.db2.gz VOTUSUJTQNUEQO-UHFFFAOYSA-N -1 1 317.132 1.354 20 0 DDADMM CC[C@H](C)[C@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868141156 701961216 /nfs/dbraw/zinc/96/12/16/701961216.db2.gz WCHJHLQQQASUEY-UWVGGRQHSA-N -1 1 308.382 1.489 20 0 DDADMM CC[C@@H](C)C[C@@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868318966 702063871 /nfs/dbraw/zinc/06/38/71/702063871.db2.gz KFPMVECGVZJJEP-GHMZBOCLSA-N -1 1 322.409 1.879 20 0 DDADMM O=C([N-]CC1CN(C(=O)c2[nH]ccc2C2CC2)C1)C(F)(F)F ZINC000831121294 706638673 /nfs/dbraw/zinc/63/86/73/706638673.db2.gz JQZAAQFFRBNLOI-UHFFFAOYSA-N -1 1 315.295 1.643 20 0 DDADMM O=C([C@@H]1CCCC12OCCO2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000816498574 702097791 /nfs/dbraw/zinc/09/77/91/702097791.db2.gz AKZNTEHJQVOWGQ-MNOVXSKESA-N -1 1 323.349 1.024 20 0 DDADMM Cc1ccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cn1 ZINC000831154542 706645969 /nfs/dbraw/zinc/64/59/69/706645969.db2.gz VPHKYUQLXIRUJR-UHFFFAOYSA-N -1 1 301.268 1.141 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCCC2CC2)co1 ZINC000813181082 702309156 /nfs/dbraw/zinc/30/91/56/702309156.db2.gz YSJHGBFWFMYFLZ-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM COCCN1c2ccccc2C[C@@H]1C[N-]C(=O)C(F)(F)F ZINC000817291673 702332824 /nfs/dbraw/zinc/33/28/24/702332824.db2.gz SMIGXESTNOKTRN-LLVKDONJSA-N -1 1 302.296 1.743 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCCSC(C)(C)C)nc2n1 ZINC000813377491 702340780 /nfs/dbraw/zinc/34/07/80/702340780.db2.gz GNDSVXUEPAKRBZ-UHFFFAOYSA-N -1 1 323.422 1.976 20 0 DDADMM CC(C)c1cc(NCc2nc3c(c(=O)[n-]2)COCC3)ncn1 ZINC000866289486 706665981 /nfs/dbraw/zinc/66/59/81/706665981.db2.gz DXPHEIYLCGSYET-UHFFFAOYSA-N -1 1 301.350 1.780 20 0 DDADMM CC(C)(C)c1cc(N2CC(C[N-]C(=O)C(F)(F)F)C2)ncn1 ZINC000866293776 706667050 /nfs/dbraw/zinc/66/70/50/706667050.db2.gz BQWBVGWPAIJYMW-UHFFFAOYSA-N -1 1 316.327 1.889 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)COc1ccccc1 ZINC000841382865 702431262 /nfs/dbraw/zinc/43/12/62/702431262.db2.gz QGEOSDXENCIWFL-VIFPVBQESA-N -1 1 319.317 1.094 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC[C@@H]3CCSC3)ccnc1-2 ZINC000879415769 706673979 /nfs/dbraw/zinc/67/39/79/706673979.db2.gz BYBIOHGBKNAAFG-SNVBAGLBSA-N -1 1 305.407 1.607 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCSC[C@@H]3C3CC3)ccnc1-2 ZINC000879415870 706674125 /nfs/dbraw/zinc/67/41/25/706674125.db2.gz GXELUGNOKLXJFM-CYBMUJFWSA-N -1 1 317.418 1.701 20 0 DDADMM Cc1ccc(N2CCN(C(=O)CCc3nn[n-]n3)CC2)c(C)c1 ZINC000869308340 702562743 /nfs/dbraw/zinc/56/27/43/702562743.db2.gz MAXGEGONDZOMOV-UHFFFAOYSA-N -1 1 314.393 1.098 20 0 DDADMM C/C(=C/C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1CC1 ZINC000869361308 702581978 /nfs/dbraw/zinc/58/19/78/702581978.db2.gz LBHGORGJVNHLGG-VURMDHGXSA-N -1 1 307.268 1.524 20 0 DDADMM COC(=O)[C@@H](C)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000879446863 706681745 /nfs/dbraw/zinc/68/17/45/706681745.db2.gz UNCJKZMSHSKNAY-LLVKDONJSA-N -1 1 312.797 1.725 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]Cc2cccnc2)c1C(F)(F)F ZINC000866377573 706684920 /nfs/dbraw/zinc/68/49/20/706684920.db2.gz LCKNEWVHOJHIMR-UHFFFAOYSA-N -1 1 320.296 1.312 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2ccoc2Cl)CCC1 ZINC000843013773 702803509 /nfs/dbraw/zinc/80/35/09/702803509.db2.gz AINCIXTXVLUJEL-UHFFFAOYSA-N -1 1 320.798 1.477 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN2CC(F)(F)C[C@H]2C1 ZINC000843914228 702941047 /nfs/dbraw/zinc/94/10/47/702941047.db2.gz NGJBFNBRKBIQSF-JTQLQIEISA-N -1 1 300.280 1.697 20 0 DDADMM CCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)CCC3)C1 ZINC000844083702 702969545 /nfs/dbraw/zinc/96/95/45/702969545.db2.gz RHGGSMZYYBSUAB-CQSZACIVSA-N -1 1 302.374 1.986 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1Cc2ccccc21 ZINC000866561533 706730598 /nfs/dbraw/zinc/73/05/98/706730598.db2.gz GVRZWSOMQYPIAB-GFCCVEGCSA-N -1 1 316.448 1.669 20 0 DDADMM CC(C)S(=O)(=O)CCN(C)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000846271998 703259207 /nfs/dbraw/zinc/25/92/07/703259207.db2.gz KQYAXZFJQAEXCC-AWEZNQCLSA-N -1 1 313.419 1.437 20 0 DDADMM CS(=O)(=O)c1ccc(CN2C[C@H]3CCC[C@@]3(C(=O)[O-])C2)cc1 ZINC000846285810 703261879 /nfs/dbraw/zinc/26/18/79/703261879.db2.gz VHEBEHQRHBSSRO-CZUORRHYSA-N -1 1 323.414 1.777 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)C(C)(F)F)n1 ZINC000846642196 703306212 /nfs/dbraw/zinc/30/62/12/703306212.db2.gz GGLDPZDTIKGHAK-QMMMGPOBSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)C(C)(F)F)[n-]1 ZINC000846642196 703306208 /nfs/dbraw/zinc/30/62/08/703306208.db2.gz GGLDPZDTIKGHAK-QMMMGPOBSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)C(C)(F)F)n[n-]1 ZINC000846642196 703306210 /nfs/dbraw/zinc/30/62/10/703306210.db2.gz GGLDPZDTIKGHAK-QMMMGPOBSA-N -1 1 318.324 1.840 20 0 DDADMM C[C@](CNC(=O)c1[nH]nc2c1CCC2)(C(=O)[O-])c1ccccc1 ZINC000846711883 703322848 /nfs/dbraw/zinc/32/28/48/703322848.db2.gz RANNEHXBOGIBOO-QGZVFWFLSA-N -1 1 313.357 1.671 20 0 DDADMM O=C(C=Cc1cncc(O)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847081775 703375322 /nfs/dbraw/zinc/37/53/22/703375322.db2.gz VKFFHGHYCIJNGU-PGLGOXFNSA-N -1 1 316.317 1.295 20 0 DDADMM O=C(C=Cc1cncc(O)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847081773 703375427 /nfs/dbraw/zinc/37/54/27/703375427.db2.gz VKFFHGHYCIJNGU-DLRQAJBASA-N -1 1 316.317 1.295 20 0 DDADMM CC(C)Oc1ccccc1C(F)(F)C(=O)[N-]C1=NCC(=O)N1 ZINC000847487282 703425882 /nfs/dbraw/zinc/42/58/82/703425882.db2.gz VQYCFOLGIQXYDL-UHFFFAOYSA-N -1 1 311.288 1.168 20 0 DDADMM CC1(C)C[C@](C)([N-]C(=O)C(F)(F)c2nccs2)C(=O)O1 ZINC000848148547 703516192 /nfs/dbraw/zinc/51/61/92/703516192.db2.gz REEFAQBTQAABFU-NSHDSACASA-N -1 1 304.318 1.835 20 0 DDADMM C[C@H]1CCN(C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)C1 ZINC000848507136 703559242 /nfs/dbraw/zinc/55/92/42/703559242.db2.gz IARFLGRYDKIQAF-HBNTYKKESA-N -1 1 321.343 1.980 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)C1(O)CCC1)c1cccc(F)c1F ZINC000869559448 703812852 /nfs/dbraw/zinc/81/28/52/703812852.db2.gz JNCWBDLOQDKEII-UHFFFAOYSA-N -1 1 319.254 1.814 20 0 DDADMM O=C([O-])C[C@@H](CNC(=O)c1ccc(-c2nnc[nH]2)cc1)C1CC1 ZINC000851706023 703833758 /nfs/dbraw/zinc/83/37/58/703833758.db2.gz WKSLHHVZTONKOD-ZDUSSCGKSA-N -1 1 314.345 1.702 20 0 DDADMM CCS(=O)(=O)CCN1[C@@H](C(=O)[O-])CC[C@H]1c1ccccc1 ZINC000851708371 703834112 /nfs/dbraw/zinc/83/41/12/703834112.db2.gz VDNSPKCXWXOVKZ-UONOGXRCSA-N -1 1 311.403 1.711 20 0 DDADMM Cn1[n-]c(C(=O)N2CC3(CN(Cc4ccccc4)C3)C2)cc1=O ZINC000869813662 703856805 /nfs/dbraw/zinc/85/68/05/703856805.db2.gz GBMYKQYVTXGVSY-UHFFFAOYSA-N -1 1 312.373 1.084 20 0 DDADMM O=C(C1CC(=O)C1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870161494 703938633 /nfs/dbraw/zinc/93/86/33/703938633.db2.gz LIUCXAPYCMJFSD-UHFFFAOYSA-N -1 1 322.792 1.669 20 0 DDADMM CNC(=O)NC[C@@H]1CCCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000870760277 704123606 /nfs/dbraw/zinc/12/36/06/704123606.db2.gz PMLMLAZJHVWDFI-NSHDSACASA-N -1 1 309.341 1.455 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)C1(O)CCC1)c1c(F)cccc1F ZINC000819577702 704144633 /nfs/dbraw/zinc/14/46/33/704144633.db2.gz MZEZTRILKLWOLM-UHFFFAOYSA-N -1 1 319.254 1.814 20 0 DDADMM CCOC1CC(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)C1 ZINC000819970832 704194762 /nfs/dbraw/zinc/19/47/62/704194762.db2.gz UBMWEJRQXKSJDL-MOENNCHZSA-N -1 1 309.366 1.686 20 0 DDADMM CC(C)c1ocnc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000820064306 704214373 /nfs/dbraw/zinc/21/43/73/704214373.db2.gz YADNYLWQCANHEU-UHFFFAOYSA-N -1 1 306.322 1.906 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000820076802 704217334 /nfs/dbraw/zinc/21/73/34/704217334.db2.gz SUOPNACBJBTMIN-JTQLQIEISA-N -1 1 319.365 1.962 20 0 DDADMM CN1CCN(CCNC(=O)c2ccc3oc(=S)[n-]c3c2)CC1 ZINC000820110451 704222627 /nfs/dbraw/zinc/22/26/27/704222627.db2.gz ZCBOYKLGHCBDHK-UHFFFAOYSA-N -1 1 320.418 1.094 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1CCCC[C@H]1C ZINC000820587930 704305537 /nfs/dbraw/zinc/30/55/37/704305537.db2.gz LBYKKNVRZVUDLV-PWSUYJOCSA-N -1 1 318.377 1.638 20 0 DDADMM O=C(CC1CCN(CC(F)F)CC1)[N-]OCC(F)(F)F ZINC000821032000 704362238 /nfs/dbraw/zinc/36/22/38/704362238.db2.gz PFTMWNFUIPIOOC-UHFFFAOYSA-N -1 1 304.259 1.964 20 0 DDADMM CNC(=O)[C@@H]([N-]C(=O)C(F)(F)c1cc(F)cc(F)c1)C(C)C ZINC000821114876 704373241 /nfs/dbraw/zinc/37/32/41/704373241.db2.gz HNOYJXYFODRZMR-NSHDSACASA-N -1 1 320.286 1.943 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2ocnc2C)c1 ZINC000821201536 704380345 /nfs/dbraw/zinc/38/03/45/704380345.db2.gz DWBGTPWTVKSRLE-OAQYLSRUSA-N -1 1 309.343 1.843 20 0 DDADMM O=C(NC[C@@H]1CN2CCN1CCC2)c1cccc(Cl)c1[O-] ZINC000854353142 704384585 /nfs/dbraw/zinc/38/45/85/704384585.db2.gz RPZDAYWQEHFLJQ-LLVKDONJSA-N -1 1 309.797 1.165 20 0 DDADMM CON1CCN(C(=O)c2ccc(Br)cc2[O-])CC1 ZINC000854859091 704459328 /nfs/dbraw/zinc/45/93/28/704459328.db2.gz ZFMACQYTKRRYAH-UHFFFAOYSA-N -1 1 315.167 1.474 20 0 DDADMM CCOC(=O)[C@@]1(F)CN(C(=O)c2cncc([O-])c2)CC12CCC2 ZINC000855241774 704474938 /nfs/dbraw/zinc/47/49/38/704474938.db2.gz SCZDQJFEFDIZIG-INIZCTEOSA-N -1 1 322.336 1.685 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCC[C@@H]1CC1CCC1 ZINC000856272252 704520999 /nfs/dbraw/zinc/52/09/99/704520999.db2.gz PCDBYJJSFXPTPX-CQSZACIVSA-N -1 1 319.405 1.498 20 0 DDADMM O=C([N-][C@H](CO)c1ccc(F)cc1F)C(F)(F)C1(O)CCC1 ZINC000856274437 704521033 /nfs/dbraw/zinc/52/10/33/704521033.db2.gz HQMRJDZUQTYXDB-LLVKDONJSA-N -1 1 321.270 1.665 20 0 DDADMM O=C([N-]OCC1CCC1)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000856343121 704523680 /nfs/dbraw/zinc/52/36/80/704523680.db2.gz VOEMHJWSBZNESK-HNNXBMFYSA-N -1 1 302.374 1.883 20 0 DDADMM CC[C@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866845357 706822943 /nfs/dbraw/zinc/82/29/43/706822943.db2.gz FTKOKVPZHHDDIP-UFBFGSQYSA-N -1 1 324.805 1.950 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@]3(C)CCCCO3)nc2n1 ZINC000857685216 704613718 /nfs/dbraw/zinc/61/37/18/704613718.db2.gz QZWYQFXWNHMLGF-OAHLLOKOSA-N -1 1 319.365 1.268 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@H](O)C1)c1ccc(F)nc1F ZINC000866864745 706829432 /nfs/dbraw/zinc/82/94/32/706829432.db2.gz SKFCIIJIAXADRT-IUCAKERBSA-N -1 1 306.334 1.189 20 0 DDADMM Cn1cc(N2C[C@H](C(=O)[N-]OCc3ccccc3)CC2=O)cn1 ZINC000858167036 704672784 /nfs/dbraw/zinc/67/27/84/704672784.db2.gz IWEROAJHTNIUQN-CYBMUJFWSA-N -1 1 314.345 1.021 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCCCF)c(Br)n1 ZINC000867287219 706948870 /nfs/dbraw/zinc/94/88/70/706948870.db2.gz BZRUJVYPEBYLJB-UHFFFAOYSA-N -1 1 314.180 1.211 20 0 DDADMM CC[C@H](C)[C@@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)OC ZINC000867329648 706962979 /nfs/dbraw/zinc/96/29/79/706962979.db2.gz HUOXINASBRHGGF-DTWKUNHWSA-N -1 1 308.350 1.699 20 0 DDADMM CO[C@@H]([C@@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F)C1CC1 ZINC000867362233 706974812 /nfs/dbraw/zinc/97/48/12/706974812.db2.gz ULPZMJRBCMQULU-HQJQHLMTSA-N -1 1 306.334 1.452 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](C)c1ccccn1 ZINC000867496809 707018948 /nfs/dbraw/zinc/01/89/48/707018948.db2.gz MBOWWMHWSZCRIJ-MLCYQJTMSA-N -1 1 305.425 1.137 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](C)c1ccccn1 ZINC000867496808 707018980 /nfs/dbraw/zinc/01/89/80/707018980.db2.gz MBOWWMHWSZCRIJ-MGNBDDOMSA-N -1 1 305.425 1.137 20 0 DDADMM CC1(C)C[C@@]1(C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867530432 707030789 /nfs/dbraw/zinc/03/07/89/707030789.db2.gz WICAXJWFXNJNPJ-SECBINFHSA-N -1 1 308.201 1.639 20 0 DDADMM O=C(CCc1cscn1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822982665 705101085 /nfs/dbraw/zinc/10/10/85/705101085.db2.gz GFRVSQBNAWXVGQ-UHFFFAOYSA-N -1 1 316.346 1.600 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(Cc2cn[nH]c2)CC1 ZINC000823026052 705115877 /nfs/dbraw/zinc/11/58/77/705115877.db2.gz ZVDPDMIXQXBATM-UHFFFAOYSA-N -1 1 304.325 1.212 20 0 DDADMM C[C@@H]1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@H](C)O1 ZINC000823057448 705126499 /nfs/dbraw/zinc/12/64/99/705126499.db2.gz CHKCNQWTUHAAQU-MNOVXSKESA-N -1 1 303.366 1.373 20 0 DDADMM C[C@@H]1CCC[C@H](CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)O1 ZINC000823058430 705127403 /nfs/dbraw/zinc/12/74/03/705127403.db2.gz PCRVOOPXJVEGCT-GHMZBOCLSA-N -1 1 303.366 1.421 20 0 DDADMM O=C([O-])C[C@@]1(NC(=O)c2n[nH]c3ccccc32)CCCOC1 ZINC000823349792 705216068 /nfs/dbraw/zinc/21/60/68/705216068.db2.gz SQUNGQIZRKEDDR-HNNXBMFYSA-N -1 1 303.318 1.317 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCCC2CCCC2)C1)C(F)(F)F ZINC000875084915 705276935 /nfs/dbraw/zinc/27/69/35/705276935.db2.gz OOZJNTGZNVRKMR-UHFFFAOYSA-N -1 1 321.343 1.887 20 0 DDADMM Cc1cc(C(=O)NCc2nn[n-]n2)ccc1OC(F)(F)F ZINC000860837716 705296089 /nfs/dbraw/zinc/29/60/89/705296089.db2.gz HFEQMRJDWZVGFD-UHFFFAOYSA-N -1 1 301.228 1.337 20 0 DDADMM O=C(CN1CSCC1=O)NCc1ccc([O-])c(Cl)c1 ZINC000834833829 707133811 /nfs/dbraw/zinc/13/38/11/707133811.db2.gz MZPGTLCJOVTWKU-UHFFFAOYSA-N -1 1 300.767 1.195 20 0 DDADMM O=C(Nc1nnc(C2CC2)s1)c1ccc2n[n-]c(=S)n2c1 ZINC000824125065 705380667 /nfs/dbraw/zinc/38/06/67/705380667.db2.gz BUOMSEKSGYMZCG-UHFFFAOYSA-N -1 1 318.387 1.999 20 0 DDADMM C[C@H]1C[C@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCO1 ZINC000824868898 705546892 /nfs/dbraw/zinc/54/68/92/705546892.db2.gz XHRSUPHIQCZHIE-WCBMZHEXSA-N -1 1 303.322 1.326 20 0 DDADMM O=C(NC[C@@H]1COCO1)c1ccc(Br)cc1[O-] ZINC000861975862 705621123 /nfs/dbraw/zinc/62/11/23/705621123.db2.gz UVLQYVQTWCLAIH-MRVPVSSYSA-N -1 1 302.124 1.257 20 0 DDADMM CCC[C@H]1CCCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825255287 705634304 /nfs/dbraw/zinc/63/43/04/705634304.db2.gz WPZFJTZWBSHOQR-NSHDSACASA-N -1 1 318.381 1.744 20 0 DDADMM CCC[C@H]1CCCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825255287 705634309 /nfs/dbraw/zinc/63/43/09/705634309.db2.gz WPZFJTZWBSHOQR-NSHDSACASA-N -1 1 318.381 1.744 20 0 DDADMM COc1cc2[n-]cc(C(=O)N[C@@H]3C=CCC3)c(=O)c2c(OC)c1 ZINC000862173233 705669178 /nfs/dbraw/zinc/66/91/78/705669178.db2.gz XJDVUUIIBTYMRK-SNVBAGLBSA-N -1 1 314.341 1.994 20 0 DDADMM C[C@@H]1C[C@@H](C)CN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000876300245 705682771 /nfs/dbraw/zinc/68/27/71/705682771.db2.gz OGCZRJVQPVAKKF-GHMZBOCLSA-N -1 1 320.393 1.442 20 0 DDADMM CC1(C2(NC(=O)NCc3nc4c(c(=O)[n-]3)COCC4)CC2)CC1 ZINC000876300563 705683572 /nfs/dbraw/zinc/68/35/72/705683572.db2.gz CRLXJKVSQUFTEV-UHFFFAOYSA-N -1 1 318.377 1.387 20 0 DDADMM CCn1nncc1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000862361967 705701156 /nfs/dbraw/zinc/70/11/56/705701156.db2.gz OLEXCTLTMHKXOQ-UHFFFAOYSA-N -1 1 304.354 1.155 20 0 DDADMM CCn1ncc(CNC(=O)c2ccc(C(F)(F)F)c([O-])c2)n1 ZINC000862382297 705705896 /nfs/dbraw/zinc/70/58/96/705705896.db2.gz QPVKLDOCQXYUGA-UHFFFAOYSA-N -1 1 314.267 1.952 20 0 DDADMM CNC(=O)[C@@H]1CCN(C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000876398203 705708428 /nfs/dbraw/zinc/70/84/28/705708428.db2.gz DVYLONRNAZNYPI-SNVBAGLBSA-N -1 1 311.769 1.323 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@@H]2CCCC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826343025 705792813 /nfs/dbraw/zinc/79/28/13/705792813.db2.gz JMVYAACDLSSLQT-JOYOIKCWSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@@H]2CCCC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826343025 705792816 /nfs/dbraw/zinc/79/28/16/705792816.db2.gz JMVYAACDLSSLQT-JOYOIKCWSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)NC2[C@H](C)CCC[C@H]2C)c1-c1nnn[n-]1 ZINC000826346137 705793401 /nfs/dbraw/zinc/79/34/01/705793401.db2.gz DIJOFMDRLHZRAX-RKDXNWHRSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)NC2[C@H](C)CCC[C@H]2C)c1-c1nn[n-]n1 ZINC000826346137 705793403 /nfs/dbraw/zinc/79/34/03/705793403.db2.gz DIJOFMDRLHZRAX-RKDXNWHRSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)N[C@H](C)Cc2ccco2)c1-c1nnn[n-]1 ZINC000826345298 705794037 /nfs/dbraw/zinc/79/40/37/705794037.db2.gz IIWNXILJGWFNCI-MRVPVSSYSA-N -1 1 316.321 1.046 20 0 DDADMM Cc1onc(CC(=O)N[C@H](C)Cc2ccco2)c1-c1nn[n-]n1 ZINC000826345298 705794042 /nfs/dbraw/zinc/79/40/42/705794042.db2.gz IIWNXILJGWFNCI-MRVPVSSYSA-N -1 1 316.321 1.046 20 0 DDADMM Cc1onc(CC(=O)NCC2(C3CC3)CCC2)c1-c1nnn[n-]1 ZINC000826347485 705794263 /nfs/dbraw/zinc/79/42/63/705794263.db2.gz STKCCRXJVSXIBW-UHFFFAOYSA-N -1 1 316.365 1.402 20 0 DDADMM Cc1onc(CC(=O)NCC2(C3CC3)CCC2)c1-c1nn[n-]n1 ZINC000826347485 705794266 /nfs/dbraw/zinc/79/42/66/705794266.db2.gz STKCCRXJVSXIBW-UHFFFAOYSA-N -1 1 316.365 1.402 20 0 DDADMM CC(=O)OC(C)(C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000863476502 705936678 /nfs/dbraw/zinc/93/66/78/705936678.db2.gz HILLVDPXBFHHAD-UHFFFAOYSA-N -1 1 303.318 1.858 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1CC[C@](C)(C(=O)[O-])C1 ZINC000863529269 705949852 /nfs/dbraw/zinc/94/98/52/705949852.db2.gz LLGGXMVXWSBKIE-LBPRGKRZSA-N -1 1 310.316 1.584 20 0 DDADMM Cc1nn(C)cc1C1=NO[C@H](C(=O)Nc2cccc(F)c2[O-])C1 ZINC000827447356 706003940 /nfs/dbraw/zinc/00/39/40/706003940.db2.gz AKJYVBMUEBIJFE-ZDUSSCGKSA-N -1 1 318.308 1.705 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccco1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000863913820 706036842 /nfs/dbraw/zinc/03/68/42/706036842.db2.gz SDDJNVXFYWRVPU-GHMZBOCLSA-N -1 1 303.318 1.878 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1C[C@@H]2CCC[C@]2(CO)C1 ZINC000864063077 706056950 /nfs/dbraw/zinc/05/69/50/706056950.db2.gz WUGMYIRQKNLUAA-MEDUHNTESA-N -1 1 303.362 1.294 20 0 DDADMM C[C@](CC(=O)[O-])(NC(=O)Cc1[nH]nc2ccccc21)C1CC1 ZINC000864198676 706095689 /nfs/dbraw/zinc/09/56/89/706095689.db2.gz JJENJSFTOUHOTB-MRXNPFEDSA-N -1 1 301.346 1.865 20 0 DDADMM O=C([O-])[C@H]1C[C@H](NCc2ncc(Br)cc2F)C1 ZINC000864513930 706185544 /nfs/dbraw/zinc/18/55/44/706185544.db2.gz JASNTOZAOSFZBB-FKQCQYRASA-N -1 1 303.131 1.936 20 0 DDADMM C=Cn1cc(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)cn1 ZINC000877775909 706206523 /nfs/dbraw/zinc/20/65/23/706206523.db2.gz SZSLGLKEUAQLNO-GFCCVEGCSA-N -1 1 302.300 1.627 20 0 DDADMM C[C@@H]1C[C@@H]2C[C@@H]2C[C@@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000828688613 706217645 /nfs/dbraw/zinc/21/76/45/706217645.db2.gz HMSXPRIXXNWDMH-KKOKHZNYSA-N -1 1 317.389 1.553 20 0 DDADMM CNC(=O)C1(NC(=O)Cc2ccc([O-])c(Cl)c2)CCCC1 ZINC000865104049 706350366 /nfs/dbraw/zinc/35/03/66/706350366.db2.gz MZUIBUFAEOBRQW-UHFFFAOYSA-N -1 1 310.781 1.763 20 0 DDADMM CC(C)(C)NC(=S)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000865275705 706394562 /nfs/dbraw/zinc/39/45/62/706394562.db2.gz OJAIEISPMVJZJN-LLVKDONJSA-N -1 1 311.373 1.802 20 0 DDADMM CCn1cnnc1SCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000865349022 706410592 /nfs/dbraw/zinc/41/05/92/706410592.db2.gz LMVHJTBSVHWTIQ-UHFFFAOYSA-N -1 1 310.301 1.028 20 0 DDADMM Cc1cc(=O)[nH]c(CN(C2CC2)C2CCN(C(=O)[O-])CC2)n1 ZINC000830121928 706459545 /nfs/dbraw/zinc/45/95/45/706459545.db2.gz IBCSBDFPMICHSM-UHFFFAOYSA-N -1 1 306.366 1.597 20 0 DDADMM Cc1cc(=O)[n-]c(C[NH2+][C@]2(CNC(=O)[O-])CCCC[C@@H]2C)n1 ZINC000830128866 706460591 /nfs/dbraw/zinc/46/05/91/706460591.db2.gz IOZIFOGKBFUESV-BONVTDFDSA-N -1 1 308.382 1.797 20 0 DDADMM O=C1OCC[C@H]1CCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000872565233 707483407 /nfs/dbraw/zinc/48/34/07/707483407.db2.gz QCPIARHCLVEDPX-SECBINFHSA-N -1 1 319.329 1.586 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2C[C@@H]2C2CC2)C1 ZINC000830795479 706581202 /nfs/dbraw/zinc/58/12/02/706581202.db2.gz LEKROXPUZUXDER-GBIKHYSHSA-N -1 1 304.312 1.702 20 0 DDADMM CC[C@H](C)[C@H](OC)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830801562 706582056 /nfs/dbraw/zinc/58/20/56/706582056.db2.gz MMRSJBXWXSUWOQ-OUJBWJOFSA-N -1 1 324.343 1.717 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CO1 ZINC000830807651 706583273 /nfs/dbraw/zinc/58/32/73/706583273.db2.gz OALHMEVFCXKFRP-LNLATYFQSA-N -1 1 308.300 1.081 20 0 DDADMM CONC(=O)C1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000831990004 706819986 /nfs/dbraw/zinc/81/99/86/706819986.db2.gz ZEDYNGYROGXLLR-UHFFFAOYSA-N -1 1 312.753 1.575 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@H](O)C1)c1ccc(F)nc1F ZINC000866864743 706829335 /nfs/dbraw/zinc/82/93/35/706829335.db2.gz SKFCIIJIAXADRT-BDAKNGLRSA-N -1 1 306.334 1.189 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2CCSC2)c1C(F)(F)F ZINC000866886183 706835418 /nfs/dbraw/zinc/83/54/18/706835418.db2.gz SJDKOALWYMPLTP-ZCFIWIBFSA-N -1 1 315.342 1.223 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC(C)(C)SC ZINC000866900571 706839117 /nfs/dbraw/zinc/83/91/17/706839117.db2.gz GIICCUPJUZKQMT-UHFFFAOYSA-N -1 1 302.487 1.470 20 0 DDADMM CC[C@H](C[C@H](C)CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866900582 706839251 /nfs/dbraw/zinc/83/92/51/706839251.db2.gz GTKHODYYKITSJD-DTWKUNHWSA-N -1 1 308.350 1.435 20 0 DDADMM CO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1(C)C ZINC000866938370 706850403 /nfs/dbraw/zinc/85/04/03/706850403.db2.gz SEWGZNJXGDUFQM-RKDXNWHRSA-N -1 1 306.334 1.452 20 0 DDADMM COC(=O)C[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)C1CC1 ZINC000866941284 706851364 /nfs/dbraw/zinc/85/13/64/706851364.db2.gz GGEUCCMPTPDPLN-SECBINFHSA-N -1 1 304.393 1.072 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H](c1cccnc1)C1CC1 ZINC000867021880 706874609 /nfs/dbraw/zinc/87/46/09/706874609.db2.gz HEOSHNQYWFLRQG-HXPMCKFVSA-N -1 1 317.436 1.485 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCSC[C@H]2CC(=O)[O-])C1 ZINC000909011578 712916059 /nfs/dbraw/zinc/91/60/59/712916059.db2.gz SIZPNYBNJRTTFA-YUSALJHKSA-N -1 1 323.418 1.494 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](O)[C@H](C)c1ccccc1F)c1nn[n-]n1 ZINC000867380559 706981572 /nfs/dbraw/zinc/98/15/72/706981572.db2.gz HOELSDGAYOYXFB-GIPNMCIBSA-N -1 1 321.356 1.065 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])[C@H]1C ZINC000832814313 706990511 /nfs/dbraw/zinc/99/05/11/706990511.db2.gz CONRSCNYKMPOHO-CMPLNLGQSA-N -1 1 314.341 1.964 20 0 DDADMM CCC[C@@]1(C(=O)[O-])CCCN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000909020966 712918690 /nfs/dbraw/zinc/91/86/90/712918690.db2.gz SLZTXAYVJPNVHH-ZBEGNZNMSA-N -1 1 320.393 1.696 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)CC1CC1)c1ccc(Cl)nc1F ZINC000867520081 707026604 /nfs/dbraw/zinc/02/66/04/707026604.db2.gz IWVJPUBZEMJFEB-VIFPVBQESA-N -1 1 322.789 1.561 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(CSC)CC1 ZINC000834350693 707035090 /nfs/dbraw/zinc/03/50/90/707035090.db2.gz HDWMMBUFFHWJGN-UHFFFAOYSA-N -1 1 313.379 1.112 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@H]1CCCC12CC2 ZINC000867567820 707042316 /nfs/dbraw/zinc/04/23/16/707042316.db2.gz ADDJGPCPSGUTJF-LLVKDONJSA-N -1 1 306.453 1.663 20 0 DDADMM CO[C@@H](C(=O)Nc1nc(Cl)ccc1[O-])C(=O)OC(C)(C)C ZINC000867713454 707082810 /nfs/dbraw/zinc/08/28/10/707082810.db2.gz SOPTWDDUGPVCMQ-VIFPVBQESA-N -1 1 316.741 1.736 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCS[C@H](C)C2)co1 ZINC000834661915 707099957 /nfs/dbraw/zinc/09/99/57/707099957.db2.gz UXNBVWXERYLMDC-PSASIEDQSA-N -1 1 318.420 1.202 20 0 DDADMM CO[C@@H](C(=O)NCc1ccc([O-])c(Cl)c1)c1cnn(C)c1 ZINC000834824933 707131400 /nfs/dbraw/zinc/13/14/00/707131400.db2.gz CKFDQDWHXARXMG-CYBMUJFWSA-N -1 1 309.753 1.783 20 0 DDADMM Cc1cc(C)n(CC(=O)NCc2ccc([O-])c(Cl)c2)c(=O)n1 ZINC000834824886 707131523 /nfs/dbraw/zinc/13/15/23/707131523.db2.gz AQKIYCYSDOYSED-UHFFFAOYSA-N -1 1 321.764 1.536 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCC(=O)N[C@@H](C)C(C)C)cc1 ZINC000871800019 707208978 /nfs/dbraw/zinc/20/89/78/707208978.db2.gz XUMPVHQWKHJLEL-ZDUSSCGKSA-N -1 1 322.405 1.836 20 0 DDADMM CCCCNC(=O)CO[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871799740 707209030 /nfs/dbraw/zinc/20/90/30/707209030.db2.gz RSHNZLIJYVGTPI-UHFFFAOYSA-N -1 1 308.378 1.592 20 0 DDADMM COC(=O)c1c(C)sc(C)c1[N-]S(=O)(=O)C[C@H](C)OC ZINC000872009688 707279545 /nfs/dbraw/zinc/27/95/45/707279545.db2.gz RTEXKTBCMXNIHF-ZETCQYMHSA-N -1 1 321.420 1.928 20 0 DDADMM CC[C@H](C)[C@@H](COC(=O)c1coc(S(=O)(=O)[N-]C)c1)OC ZINC000835627545 707299074 /nfs/dbraw/zinc/29/90/74/707299074.db2.gz NGDSDFGQNXOVHI-GXSJLCMTSA-N -1 1 319.379 1.406 20 0 DDADMM CCC(CC)(NC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000909171557 712953311 /nfs/dbraw/zinc/95/33/11/712953311.db2.gz NLNSEYZXYVTPGL-UHFFFAOYSA-N -1 1 304.350 1.777 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]3CCC[C@@H]3O2)sc1C ZINC000872556404 707477627 /nfs/dbraw/zinc/47/76/27/707477627.db2.gz LVQCTWCRKVFPOT-SRVKXCTJSA-N -1 1 316.448 1.996 20 0 DDADMM CC(C)(CO)O[N-]C(=O)Cc1cscc1Br ZINC000836806706 707520283 /nfs/dbraw/zinc/52/02/83/707520283.db2.gz TVCPPBDBHCUZIN-UHFFFAOYSA-N -1 1 308.197 1.872 20 0 DDADMM CC1(C)CCN(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1=O ZINC000872752581 707584889 /nfs/dbraw/zinc/58/48/89/707584889.db2.gz FWVQEFLNAJMSFR-CYBMUJFWSA-N -1 1 321.343 1.345 20 0 DDADMM CO[C@H]1COCC[C@@H]1N(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000882375119 707612005 /nfs/dbraw/zinc/61/20/05/707612005.db2.gz LXKZVPXMHVDRAN-JSGCOSHPSA-N -1 1 313.781 1.850 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2ccc([O-])c(F)c2)n(C)c1C ZINC000882386183 707617800 /nfs/dbraw/zinc/61/78/00/707617800.db2.gz KLHFUKSVPQYOAO-UHFFFAOYSA-N -1 1 320.320 1.895 20 0 DDADMM O=S(=O)([N-]C[C@]12OCCC[C@H]1C2(F)F)c1cccnc1F ZINC000882399043 707622687 /nfs/dbraw/zinc/62/26/87/707622687.db2.gz SVADVQVBZGZDFR-KOLCDFICSA-N -1 1 322.308 1.313 20 0 DDADMM CN(C)C(=O)CCCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872849270 707625407 /nfs/dbraw/zinc/62/54/07/707625407.db2.gz BYZLOHNJWXFYET-UHFFFAOYSA-N -1 1 313.785 1.713 20 0 DDADMM C[C@@H](O)C1([N-]S(=O)(=O)N=[S@@](C)(=O)C2CCCCC2)CC1 ZINC000882415075 707629402 /nfs/dbraw/zinc/62/94/02/707629402.db2.gz ZANVVBVWYLXVRF-DGIBIBHMSA-N -1 1 324.468 1.165 20 0 DDADMM COC(=O)C1(S(=O)(=O)[N-]CC(=O)C2(C)CCCC2)CCC1 ZINC000882455001 707640281 /nfs/dbraw/zinc/64/02/81/707640281.db2.gz CHVAXHCNOQWJNF-UHFFFAOYSA-N -1 1 317.407 1.151 20 0 DDADMM O=C(NCCC1(CO)CC1)c1c([O-])cnc2c(F)cccc21 ZINC000909230916 712968869 /nfs/dbraw/zinc/96/88/69/712968869.db2.gz XJINBXMUZYWBNA-UHFFFAOYSA-N -1 1 304.321 1.972 20 0 DDADMM Cc1cccc(C[C@@H](CNC(=O)CN(C)C2CCC2)C(=O)[O-])c1 ZINC000909245351 712972287 /nfs/dbraw/zinc/97/22/87/712972287.db2.gz CVORDPJEOZSGOQ-HNNXBMFYSA-N -1 1 318.417 1.839 20 0 DDADMM COC1CCN(S(=O)(=O)[N-]c2ncsc2C2CC2)CC1 ZINC000882773360 707787112 /nfs/dbraw/zinc/78/71/12/707787112.db2.gz KPTWYWSZPPGRGV-UHFFFAOYSA-N -1 1 317.436 1.788 20 0 DDADMM O=C([N-]CCCOC(=O)c1cncnc1C1CC1)C(F)(F)F ZINC000838356803 707906226 /nfs/dbraw/zinc/90/62/26/707906226.db2.gz JAVMQVKSHDIBRS-UHFFFAOYSA-N -1 1 317.267 1.579 20 0 DDADMM CCn1ncc(CN2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000839108466 708025211 /nfs/dbraw/zinc/02/52/11/708025211.db2.gz ZMCDVOGFFCNXLP-JTQLQIEISA-N -1 1 319.331 1.189 20 0 DDADMM CCCCC[C@@H](NC(=O)Cc1n[nH]c2c1CCCC2)C(=O)[O-] ZINC000909348844 712997342 /nfs/dbraw/zinc/99/73/42/712997342.db2.gz WUJWCCJGLXDUNO-CYBMUJFWSA-N -1 1 307.394 1.981 20 0 DDADMM CCC(CC)(CC(=O)[O-])NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000909375756 713003577 /nfs/dbraw/zinc/00/35/77/713003577.db2.gz QGCSTALKOGCWCP-UHFFFAOYSA-N -1 1 307.394 1.981 20 0 DDADMM CC(C)N(Cc1ccco1)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909401568 713008899 /nfs/dbraw/zinc/00/88/99/713008899.db2.gz PGWJUTNAMIQPIZ-ZDUSSCGKSA-N -1 1 308.378 1.813 20 0 DDADMM CC1(C)C[C@H](Nc2cc(Cl)[n-]c(=O)n2)CCS1(=O)=O ZINC000896998039 708203012 /nfs/dbraw/zinc/20/30/12/708203012.db2.gz JDBNTWQNUQVUPF-SSDOTTSWSA-N -1 1 305.787 1.603 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(CCCCF)no2)co1 ZINC000897208113 708253538 /nfs/dbraw/zinc/25/35/38/708253538.db2.gz GOQBOUJBHAXBAP-UHFFFAOYSA-N -1 1 303.315 1.530 20 0 DDADMM O=C(NC[C@H]1CC[C@H](C(F)(F)F)O1)C(=O)c1ccc([O-])cc1 ZINC000927572851 713012353 /nfs/dbraw/zinc/01/23/53/713012353.db2.gz NDXMYQWIGIERAZ-GHMZBOCLSA-N -1 1 317.263 1.801 20 0 DDADMM COCc1nc(N2CCCC[C@H]([C@H]3CCOC3)C2)cc(=O)[n-]1 ZINC000897562591 708375485 /nfs/dbraw/zinc/37/54/85/708375485.db2.gz HKVCPBRHDANGQA-STQMWFEESA-N -1 1 307.394 1.972 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC(=O)CC[C@@H]1C ZINC000885088156 708468022 /nfs/dbraw/zinc/46/80/22/708468022.db2.gz HOCNDVPKGMPOEX-VIFPVBQESA-N -1 1 305.378 1.982 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1cc(C)ccc1C ZINC000912531980 713031668 /nfs/dbraw/zinc/03/16/68/713031668.db2.gz QORBRFNSFCKABX-UHFFFAOYSA-N -1 1 310.331 1.581 20 0 DDADMM CNC(=O)C[C@@H](C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897915736 708491879 /nfs/dbraw/zinc/49/18/79/708491879.db2.gz ARSCRIKHJVWDNK-SECBINFHSA-N -1 1 302.330 1.505 20 0 DDADMM O=S(=O)([N-][C@H](C1CC1)C1CCOCC1)c1ccns1 ZINC000885272961 708507714 /nfs/dbraw/zinc/50/77/14/708507714.db2.gz UAMZANCITMEYFO-GFCCVEGCSA-N -1 1 302.421 1.627 20 0 DDADMM COC(=O)C(C)(C)CC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000885435329 708544978 /nfs/dbraw/zinc/54/49/78/708544978.db2.gz NLWRVWDWMVAKCH-UHFFFAOYSA-N -1 1 322.333 1.227 20 0 DDADMM O=S(=O)([N-][C@H](CO)C[C@H]1CCCO1)c1cc(F)ccc1F ZINC000885530254 708568595 /nfs/dbraw/zinc/56/85/95/708568595.db2.gz QAXYHFYRIRTVIW-WDEREUQCSA-N -1 1 321.345 1.173 20 0 DDADMM CCON(C)C(=O)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898280105 708596481 /nfs/dbraw/zinc/59/64/81/708596481.db2.gz VFGKYUKJQWIESG-UHFFFAOYSA-N -1 1 318.329 1.391 20 0 DDADMM CC(C)[C@@H](O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927783259 713054741 /nfs/dbraw/zinc/05/47/41/713054741.db2.gz XOHRGSGRNDDBME-ZDUSSCGKSA-N -1 1 302.321 1.529 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H]1Cc2cncn2C1 ZINC000927783540 713055301 /nfs/dbraw/zinc/05/53/01/713055301.db2.gz BGERJRWMCBJKIW-SECBINFHSA-N -1 1 322.315 1.334 20 0 DDADMM COCC1(NC(=O)NCCc2c(F)cc([O-])cc2F)CC1 ZINC000927786214 713055834 /nfs/dbraw/zinc/05/58/34/713055834.db2.gz SQGMXRFTTRBAHK-UHFFFAOYSA-N -1 1 300.305 1.691 20 0 DDADMM C[C@H](CCCO)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927787431 713056102 /nfs/dbraw/zinc/05/61/02/713056102.db2.gz UMCUDWJZMNFYAA-SNVBAGLBSA-N -1 1 316.348 1.921 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCCC1(CO)CC1 ZINC000927787340 713056326 /nfs/dbraw/zinc/05/63/26/713056326.db2.gz QVSCDIUSFCVLIM-UHFFFAOYSA-N -1 1 314.332 1.675 20 0 DDADMM COc1cc(C(=O)N(C)c2nn[n-]n2)ccc1OCC(C)C ZINC000912618119 713052471 /nfs/dbraw/zinc/05/24/71/713052471.db2.gz NXZKIFAXSSYLBR-UHFFFAOYSA-N -1 1 305.338 1.520 20 0 DDADMM Cn1nnc2c1C[C@H](NC(=O)c1c(F)ccc([O-])c1F)CC2 ZINC000886746554 708834161 /nfs/dbraw/zinc/83/41/61/708834161.db2.gz XEKSAXWGJYYBSV-SSDOTTSWSA-N -1 1 308.288 1.086 20 0 DDADMM N[C@@H](Cc1cc2ccccc2o1)C(=O)N(CC(=O)[O-])C1CCC1 ZINC000887398165 709036195 /nfs/dbraw/zinc/03/61/95/709036195.db2.gz QWXLOSRRIRGQMM-AWEZNQCLSA-N -1 1 316.357 1.768 20 0 DDADMM CC[C@@H](O)[C@H](C)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000912732220 713077483 /nfs/dbraw/zinc/07/74/83/713077483.db2.gz VJLJRXRZNGAVNL-VPOLOUISSA-N -1 1 324.343 1.309 20 0 DDADMM O=C(NCC[C@@H]1CCC2(CCOCC2)CO1)c1ncccc1[O-] ZINC000899454928 709085522 /nfs/dbraw/zinc/08/55/22/709085522.db2.gz JGRKFQWHPJXIJZ-ZDUSSCGKSA-N -1 1 320.389 1.883 20 0 DDADMM Cc1noc(C[C@@H]2CCCN(C(=O)c3cncc([O-])c3)C2)n1 ZINC000887633152 709086708 /nfs/dbraw/zinc/08/67/08/709086708.db2.gz IWGMLCNTZZMDMU-NSHDSACASA-N -1 1 302.334 1.574 20 0 DDADMM C[C@@H]1[C@H](C)OC[C@]12CC[C@@H](CNC(=O)c1ncccc1[O-])O2 ZINC000899485446 709096489 /nfs/dbraw/zinc/09/64/89/709096489.db2.gz SRFYFYLHFREEHS-KZTGVZKYSA-N -1 1 306.362 1.490 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3c2OCC3)CC1 ZINC000899537801 709108104 /nfs/dbraw/zinc/10/81/04/709108104.db2.gz CIENGWHYEHANNU-LBPRGKRZSA-N -1 1 318.373 1.243 20 0 DDADMM CC(C)(C(=O)[O-])[C@H]1CCCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC000909492827 709487640 /nfs/dbraw/zinc/48/76/40/709487640.db2.gz WDFGEIDNSJLILM-LBPRGKRZSA-N -1 1 322.409 1.867 20 0 DDADMM O=C([O-])CC[C@H](Cc1ccccc1)NC(=O)Cc1cnc[nH]1 ZINC000909539248 709507277 /nfs/dbraw/zinc/50/72/77/709507277.db2.gz XZVLIDMLWZKMRU-CYBMUJFWSA-N -1 1 301.346 1.545 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1ccc(O)c(Cl)c1)[C@H]1CCCOC1 ZINC000909544024 709509061 /nfs/dbraw/zinc/50/90/61/709509061.db2.gz HXGHYAADIBCQSK-JOYOIKCWSA-N -1 1 313.737 1.655 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1C[C@H]1c1ccco1)c1nn[n-]n1 ZINC000912858474 713109146 /nfs/dbraw/zinc/10/91/46/713109146.db2.gz QMVUUQGDLVCVAV-BBBLOLIVSA-N -1 1 307.379 1.507 20 0 DDADMM CC(=O)c1cn(C2CN(C(=O)c3c([O-])cccc3Cl)C2)nn1 ZINC000889542219 709539683 /nfs/dbraw/zinc/53/96/83/709539683.db2.gz JXNHNNSVHSBXNM-UHFFFAOYSA-N -1 1 320.736 1.537 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](C)c1ccccc1)c1nn[n-]n1 ZINC000912862714 713111156 /nfs/dbraw/zinc/11/11/56/713111156.db2.gz WHGUVYCEUOLEHD-JQWIXIFHSA-N -1 1 305.407 1.914 20 0 DDADMM COc1cc(C(=O)[O-])ccc1CCNC(=O)C1(N(C)C)CC1 ZINC000909628799 709547953 /nfs/dbraw/zinc/54/79/53/709547953.db2.gz IWJJCFLCZIJFKA-UHFFFAOYSA-N -1 1 306.362 1.146 20 0 DDADMM O=C([O-])[C@@H](CC(F)(F)F)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000909670027 709568131 /nfs/dbraw/zinc/56/81/31/709568131.db2.gz KQGHMIQRYHWABV-SNVBAGLBSA-N -1 1 319.283 1.353 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(C(=O)c2ccc3cncn3c2)C1 ZINC000909700446 709585733 /nfs/dbraw/zinc/58/57/33/709585733.db2.gz MDATWMGEUDYADT-MRXNPFEDSA-N -1 1 301.346 1.907 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC000909700942 709585900 /nfs/dbraw/zinc/58/59/00/709585900.db2.gz QFYITECHVOIENS-CYBMUJFWSA-N -1 1 301.293 1.920 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(C(=O)CN2CCC(C)CC2)C1 ZINC000909711334 709591465 /nfs/dbraw/zinc/59/14/65/709591465.db2.gz NDFAMGXWOSICIA-INIZCTEOSA-N -1 1 312.410 1.058 20 0 DDADMM O=C(c1cccc(F)c1O)N1CC[C@@H]2[C@@H]1CCC[N@H+]2CCO ZINC000889739322 709601961 /nfs/dbraw/zinc/60/19/61/709601961.db2.gz IRMAPRRFJKKSHH-KGLIPLIRSA-N -1 1 308.353 1.203 20 0 DDADMM C[C@H]1NCCn2c(C(=O)NC3(C(=O)[O-])CCCCC3)ccc21 ZINC000900456148 709603155 /nfs/dbraw/zinc/60/31/55/709603155.db2.gz FQYIZQBKUCSVLQ-LLVKDONJSA-N -1 1 305.378 1.670 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000900457416 709603704 /nfs/dbraw/zinc/60/37/04/709603704.db2.gz QVAIUHPYMNWUMD-TZMCWYRMSA-N -1 1 320.389 1.798 20 0 DDADMM COCCOCCCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900474803 709613237 /nfs/dbraw/zinc/61/32/37/709613237.db2.gz BFBQTHXAINDNQT-UHFFFAOYSA-N -1 1 309.366 1.233 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@H](C(=O)[O-])[C@H]1C ZINC000909806207 709630626 /nfs/dbraw/zinc/63/06/26/709630626.db2.gz LYIBEBXPCLSJHV-RQJHMYQMSA-N -1 1 305.256 1.672 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2C[C@H](C)CO2)[n-]c1=O ZINC000889786580 709635748 /nfs/dbraw/zinc/63/57/48/709635748.db2.gz MUKNIAWPVGCZAT-DCAQKATOSA-N -1 1 307.350 1.279 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C[C@H]2CCCCO2)[n-]c1=O ZINC000889791516 709637860 /nfs/dbraw/zinc/63/78/60/709637860.db2.gz IEUBHWSQJZBODR-NEPJUHHUSA-N -1 1 321.377 1.814 20 0 DDADMM CCO[C@@H](CC)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889795484 709639685 /nfs/dbraw/zinc/63/96/85/709639685.db2.gz YHFHRPSFCPFFHG-MNOVXSKESA-N -1 1 309.366 1.669 20 0 DDADMM CCN(CC(=O)N[C@@H](C)[C@@H](Cc1ccccc1)C(=O)[O-])C1CC1 ZINC000909823033 709640681 /nfs/dbraw/zinc/64/06/81/709640681.db2.gz NJSJBSQJAKQAKJ-XJKSGUPXSA-N -1 1 318.417 1.919 20 0 DDADMM Cc1nn[nH]c1C(=O)N1C[C@H](C(=O)[O-])[C@H](c2ccccc2)C1 ZINC000909837400 709646207 /nfs/dbraw/zinc/64/62/07/709646207.db2.gz YPILJBPSMBAMSL-RYUDHWBXSA-N -1 1 300.318 1.054 20 0 DDADMM Cc1ccc2c(c1)[C@@H](NC(=O)CN1CCC[C@H](C(=O)[O-])C1)CC2 ZINC000909900349 709678326 /nfs/dbraw/zinc/67/83/26/709678326.db2.gz GWHYVQKKDPOFDQ-HOCLYGCPSA-N -1 1 316.401 1.895 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCC[C@@H](OC3CCC3)C2)C1 ZINC000909917423 709688533 /nfs/dbraw/zinc/68/85/33/709688533.db2.gz ZVDFALMGYHOORX-DZGCQCFKSA-N -1 1 324.421 1.343 20 0 DDADMM C[C@H]1CC[C@@](NC(=O)[C@H]2CCc3[nH]cnc3C2)(C(=O)[O-])CC1 ZINC000909952276 709705546 /nfs/dbraw/zinc/70/55/46/709705546.db2.gz HMSJZDJTBJWPKL-MMPTUQATSA-N -1 1 305.378 1.664 20 0 DDADMM COC(=O)[C@@H](C)CN(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900693950 709714546 /nfs/dbraw/zinc/71/45/46/709714546.db2.gz CBSPGCGFZMQLNN-VIFPVBQESA-N -1 1 320.320 1.961 20 0 DDADMM CO[C@H]1CC[C@H]1N(C)C(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000900791777 709760415 /nfs/dbraw/zinc/76/04/15/709760415.db2.gz DZFJBAMMQRGKRO-OLZOCXBDSA-N -1 1 301.346 1.893 20 0 DDADMM CO[C@H]1CC[C@H]1N(C)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000900791777 709760419 /nfs/dbraw/zinc/76/04/19/709760419.db2.gz DZFJBAMMQRGKRO-OLZOCXBDSA-N -1 1 301.346 1.893 20 0 DDADMM Cc1ccc(F)c(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000910171110 709796708 /nfs/dbraw/zinc/79/67/08/709796708.db2.gz UDUIVQFVSDMBRR-ZDUSSCGKSA-N -1 1 322.380 1.684 20 0 DDADMM Cc1ncsc1/C=C\C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910179963 709799618 /nfs/dbraw/zinc/79/96/18/709799618.db2.gz PFMNKUABGAWDPK-DLRQAJBASA-N -1 1 323.418 1.472 20 0 DDADMM CCn1ncc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1C1CC1 ZINC000890284421 709811280 /nfs/dbraw/zinc/81/12/80/709811280.db2.gz ZYLUDIFLJCKGAZ-UHFFFAOYSA-N -1 1 310.361 1.688 20 0 DDADMM CO[C@H]1CN(C(=O)c2ccc3cncn3c2)[C@@](C)(C(=O)[O-])C1 ZINC000910264128 709848086 /nfs/dbraw/zinc/84/80/86/709848086.db2.gz NQKFXOAXJGRVNB-IUODEOHRSA-N -1 1 303.318 1.039 20 0 DDADMM CCN(CC(=O)NC[C@@H](Oc1ccccc1)C(=O)[O-])C1CC1 ZINC000910485706 709967857 /nfs/dbraw/zinc/96/78/57/709967857.db2.gz PMFYNQXEYSAYIP-CQSZACIVSA-N -1 1 306.362 1.119 20 0 DDADMM CCC[N@@H+](C)CC(=O)N[C@@](C)(Cc1ccc(F)cc1)C(=O)[O-] ZINC000910517721 709979945 /nfs/dbraw/zinc/97/99/45/709979945.db2.gz XMRDKHSJCVKXTA-INIZCTEOSA-N -1 1 310.369 1.670 20 0 DDADMM CCN(CC)CC(=O)Nc1nccc(Br)c1[O-] ZINC000913026701 713147199 /nfs/dbraw/zinc/14/71/99/713147199.db2.gz ZUXVGQOQSFBBKQ-UHFFFAOYSA-N -1 1 302.172 1.830 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc3cncn3c2)C[C@@]1(F)C(=O)[O-] ZINC000910551004 709995560 /nfs/dbraw/zinc/99/55/60/709995560.db2.gz RLSADERDUUFUMH-ABAIWWIYSA-N -1 1 305.309 1.609 20 0 DDADMM CC[C@@H]1CN(C(=O)CN2CCC(C)CC2)C[C@@]1(F)C(=O)[O-] ZINC000910554829 709997837 /nfs/dbraw/zinc/99/78/37/709997837.db2.gz YNAUPTRKZSBMGW-DOMZBBRYSA-N -1 1 300.374 1.380 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)[C@H]1CCc2nc[nH]c2C1)C1CCCC1 ZINC000910558069 709998751 /nfs/dbraw/zinc/99/87/51/709998751.db2.gz AWZXVMPOXNZOIW-WCQYABFASA-N -1 1 305.378 1.664 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1COC[C@H]1C1CC1 ZINC000891057170 710074310 /nfs/dbraw/zinc/07/43/10/710074310.db2.gz NMNMVOIZWUDUMI-NWDGAFQWSA-N -1 1 319.405 1.943 20 0 DDADMM Cc1nc2c(cccc2C(=O)N(CC(=O)[O-])C2CCOCC2)[nH]1 ZINC000901571913 710078903 /nfs/dbraw/zinc/07/89/03/710078903.db2.gz APBJBMSRZYFWLK-UHFFFAOYSA-N -1 1 317.345 1.577 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H]1C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000901607993 710093391 /nfs/dbraw/zinc/09/33/91/710093391.db2.gz HGSSQAUIWJQJMN-IJLUTSLNSA-N -1 1 318.377 1.499 20 0 DDADMM CO[C@@](C)(CN(C)CC(=O)N1CCC[C@H](C(=O)[O-])C1)C1CC1 ZINC000901623204 710099576 /nfs/dbraw/zinc/09/95/76/710099576.db2.gz GMJMPYFRXVBDNS-LRDDRELGSA-N -1 1 312.410 1.057 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NCCC(C)(C)C(=O)[O-] ZINC000901685998 710118219 /nfs/dbraw/zinc/11/82/19/710118219.db2.gz CNRQARXXJGGMTB-UHFFFAOYSA-N -1 1 306.406 1.778 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCCC[C@@H]2CC(=O)[O-])C1 ZINC000901999010 710197790 /nfs/dbraw/zinc/19/77/90/710197790.db2.gz VXRYGAUZEKEYOM-RTXFEEFZSA-N -1 1 305.378 1.931 20 0 DDADMM CCOC(=O)[C@H](CSC)NC(=O)c1ccc([O-])cc1F ZINC000928287547 713164109 /nfs/dbraw/zinc/16/41/09/713164109.db2.gz JQKFDYANNWJQFW-NSHDSACASA-N -1 1 301.339 1.556 20 0 DDADMM CCCn1nccc1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891612518 710248301 /nfs/dbraw/zinc/24/83/01/710248301.db2.gz ADJMXHAXZOLUEL-UHFFFAOYSA-N -1 1 301.350 1.920 20 0 DDADMM C[C@@H](CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)c1cnn(C)c1 ZINC000891614038 710248654 /nfs/dbraw/zinc/24/86/54/710248654.db2.gz KWZRRJHDHGRZGD-JTQLQIEISA-N -1 1 315.377 1.927 20 0 DDADMM COC1(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)CCOCC1 ZINC000891617873 710249853 /nfs/dbraw/zinc/24/98/53/710249853.db2.gz KZKSPJOUOBMLDA-UHFFFAOYSA-N -1 1 307.350 1.195 20 0 DDADMM COCc1nc(NCc2cccc(NC(C)=O)c2)cc(=O)[n-]1 ZINC000891822932 710302906 /nfs/dbraw/zinc/30/29/06/710302906.db2.gz HVNDLCYNJMAGRN-UHFFFAOYSA-N -1 1 302.334 1.899 20 0 DDADMM COCc1nc(N[C@H]2CCN(C(=O)OC(C)(C)C)C2)cc(=O)[n-]1 ZINC000892460146 710440778 /nfs/dbraw/zinc/44/07/78/710440778.db2.gz KSZHNPCWSHZKGX-JTQLQIEISA-N -1 1 324.381 1.750 20 0 DDADMM Cc1c(C(=O)N(C)c2nn[n-]n2)cnn1-c1cc(C)ccc1C ZINC000892954149 710531528 /nfs/dbraw/zinc/53/15/28/710531528.db2.gz DDQZGIBPGKNKNY-UHFFFAOYSA-N -1 1 311.349 1.587 20 0 DDADMM CCC1(S(=O)(=O)[N-]C(=O)c2[nH]nc3c2C[C@@H](C)CC3)CC1 ZINC000893090555 710552945 /nfs/dbraw/zinc/55/29/45/710552945.db2.gz ICOJUWVUIBYYGL-VIFPVBQESA-N -1 1 311.407 1.537 20 0 DDADMM Cc1ncc(C(=O)N2CCOc3ccccc3[C@@H]2C(=O)[O-])[nH]1 ZINC000911061094 710616497 /nfs/dbraw/zinc/61/64/97/710616497.db2.gz APYOJCZVTSCZEH-CYBMUJFWSA-N -1 1 301.302 1.379 20 0 DDADMM C[C@@H](NCc1csc(C(=O)[O-])c1)C(=O)NCc1ccco1 ZINC000902192955 710658759 /nfs/dbraw/zinc/65/87/59/710658759.db2.gz VDQFVZRXZJCYTG-SECBINFHSA-N -1 1 308.359 1.834 20 0 DDADMM O=C(CC1CCC(C(=O)[O-])CC1)NCCN1CCSCC1 ZINC000911212546 710688876 /nfs/dbraw/zinc/68/88/76/710688876.db2.gz JVLZSQPAPPKBCS-UHFFFAOYSA-N -1 1 314.451 1.433 20 0 DDADMM Cc1cc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)ccc1N(C)C ZINC000911222310 710695160 /nfs/dbraw/zinc/69/51/60/710695160.db2.gz KMQWUPYCZUKQSS-ZDUSSCGKSA-N -1 1 319.405 1.796 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)C2(C(=O)[O-])CC3(CCC3)C2)n[nH]1 ZINC000911390813 710774951 /nfs/dbraw/zinc/77/49/51/710774951.db2.gz UCSMGCLLSJTOOJ-JTQLQIEISA-N -1 1 305.378 1.800 20 0 DDADMM O=C([O-])CC1(CC(=O)NCCN2CCCOCC2)CCCC1 ZINC000911504795 710827427 /nfs/dbraw/zinc/82/74/27/710827427.db2.gz LCJGNGPBDMPHMQ-UHFFFAOYSA-N -1 1 312.410 1.250 20 0 DDADMM O=C(C=CC1CCOCC1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913438870 713215879 /nfs/dbraw/zinc/21/58/79/713215879.db2.gz XXEMQOUYYGFHBZ-LQYUOIDQSA-N -1 1 313.361 1.388 20 0 DDADMM O=C(/C=C/C1CCOCC1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913438870 713215880 /nfs/dbraw/zinc/21/58/80/713215880.db2.gz XXEMQOUYYGFHBZ-LQYUOIDQSA-N -1 1 313.361 1.388 20 0 DDADMM O=C([O-])c1ccc(C(=O)N[C@@H]2CCCN3CCSC[C@H]23)cn1 ZINC000902817908 710914426 /nfs/dbraw/zinc/91/44/26/710914426.db2.gz GDFMVHRKTBAACK-DGCLKSJQSA-N -1 1 321.402 1.089 20 0 DDADMM CN1CCN(C(=O)CCc2ccc(C(=O)[O-])cc2)CC1(C)C ZINC000911723298 710952035 /nfs/dbraw/zinc/95/20/35/710952035.db2.gz XXTMPZHFSSJPBE-UHFFFAOYSA-N -1 1 304.390 1.870 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN[C@H](c2cn[nH]c2)C1 ZINC000913444357 713218675 /nfs/dbraw/zinc/21/86/75/713218675.db2.gz ZGSUYUXPCDDCBI-LBPRGKRZSA-N -1 1 306.753 1.555 20 0 DDADMM Cc1cc(C(=O)[O-])cc(C(=O)N2CC[C@H](c3nc[nH]n3)C2)c1 ZINC000911773793 710977389 /nfs/dbraw/zinc/97/73/89/710977389.db2.gz OHYOWLLLQSRTKR-JTQLQIEISA-N -1 1 300.318 1.441 20 0 DDADMM CC(C)c1n[nH]c(CC2CCN(C(=O)[C@H](C)CC(=O)[O-])CC2)n1 ZINC000911777822 710980186 /nfs/dbraw/zinc/98/01/86/710980186.db2.gz VFTIMSRVSDGFKU-LLVKDONJSA-N -1 1 322.409 1.820 20 0 DDADMM CC(C)c1nnc(CC2CCN(C(=O)[C@H](C)CC(=O)[O-])CC2)[nH]1 ZINC000911777822 710980195 /nfs/dbraw/zinc/98/01/95/710980195.db2.gz VFTIMSRVSDGFKU-LLVKDONJSA-N -1 1 322.409 1.820 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1c(Cl)c(C)nn1C ZINC000903166343 711052869 /nfs/dbraw/zinc/05/28/69/711052869.db2.gz QHHNSUAGSAOSHR-UHFFFAOYSA-N -1 1 317.802 1.479 20 0 DDADMM O=C([O-])CN1CC[C@@H](N(Cc2cccc(F)c2)C2CCC2)C1=O ZINC000903420749 711121092 /nfs/dbraw/zinc/12/10/92/711121092.db2.gz NDBOBDDUYXLKIQ-OAHLLOKOSA-N -1 1 320.364 1.866 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@H+]1CCOC[C@H](C)C1 ZINC000903632995 711230874 /nfs/dbraw/zinc/23/08/74/711230874.db2.gz CFFZAPASDIJPGK-OLZOCXBDSA-N -1 1 320.389 1.358 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCOC[C@H](C)C1 ZINC000903632995 711230876 /nfs/dbraw/zinc/23/08/76/711230876.db2.gz CFFZAPASDIJPGK-OLZOCXBDSA-N -1 1 320.389 1.358 20 0 DDADMM COc1cc(OC)cc([C@@H](C(=O)[O-])[N@H+]2CCOC[C@H](C)C2)c1 ZINC000903632978 711231041 /nfs/dbraw/zinc/23/10/41/711231041.db2.gz ABQHPRJVRUAWGQ-ABAIWWIYSA-N -1 1 309.362 1.798 20 0 DDADMM Cc1ccc(/C=C/CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000913495510 713237857 /nfs/dbraw/zinc/23/78/57/713237857.db2.gz KZNAIFITXCXBGB-BAABZTOOSA-N -1 1 313.361 1.512 20 0 DDADMM O=C(/C=C\SCc1ccco1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495941 713238258 /nfs/dbraw/zinc/23/82/58/713238258.db2.gz PUTBFAYXYLTFQQ-UFVHFEBBSA-N -1 1 321.362 1.140 20 0 DDADMM CC(C)=CCC[C@H](C)CC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499182 713239636 /nfs/dbraw/zinc/23/96/36/713239636.db2.gz NFIHVJBYLAKCEG-STQMWFEESA-N -1 1 307.398 1.872 20 0 DDADMM O=C([O-])[C@]1(NCc2ccc(CO)o2)CCc2ccccc2C1 ZINC000904007238 711362649 /nfs/dbraw/zinc/36/26/49/711362649.db2.gz BMDWDRWWLQVICJ-KRWDZBQOSA-N -1 1 301.342 1.874 20 0 DDADMM COc1ccc(OC)c(CN[C@@H](C(=O)[O-])c2cnn(C)c2C)c1 ZINC000904048186 711370023 /nfs/dbraw/zinc/37/00/23/711370023.db2.gz NYXAQWRTIOVJOA-OAHLLOKOSA-N -1 1 319.361 1.661 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)CCCF)c(=O)[n-]1 ZINC000895285219 711476641 /nfs/dbraw/zinc/47/66/41/711476641.db2.gz BXMFMBVABFLOPI-UHFFFAOYSA-N -1 1 301.387 1.963 20 0 DDADMM CC1(C)CO[C@H](CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000895837258 711621479 /nfs/dbraw/zinc/62/14/79/711621479.db2.gz KDVQFGIQHGJCFO-TZMCWYRMSA-N -1 1 315.377 1.611 20 0 DDADMM COc1nn(C)cc1CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000896315816 711705443 /nfs/dbraw/zinc/70/54/43/711705443.db2.gz ZMLSVCCBGKYVMU-UHFFFAOYSA-N -1 1 324.768 1.787 20 0 DDADMM O=C([C@@H](F)Cc1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744278 713292751 /nfs/dbraw/zinc/29/27/51/713292751.db2.gz HYFNXLQJPGPUAR-ZDUSSCGKSA-N -1 1 303.341 1.487 20 0 DDADMM COc1ccc(F)cc1CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744261 713292754 /nfs/dbraw/zinc/29/27/54/713292754.db2.gz HDRLNFRBJZJXDK-UHFFFAOYSA-N -1 1 319.340 1.296 20 0 DDADMM Cn1cnc2cc(C(=O)N3CCC(c4nn[n-]n4)CC3)ccc21 ZINC000913744016 713292784 /nfs/dbraw/zinc/29/27/84/713292784.db2.gz WEYQDTHIYFOCCH-UHFFFAOYSA-N -1 1 311.349 1.106 20 0 DDADMM CCCCCCO[C@H](C)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745707 713293702 /nfs/dbraw/zinc/29/37/02/713293702.db2.gz BTVZEDPVPYYTPK-GFCCVEGCSA-N -1 1 309.414 1.891 20 0 DDADMM CC1(C)CO[C@@H](CCC(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC000913747117 713294515 /nfs/dbraw/zinc/29/45/15/713294515.db2.gz QUZBKSJCTTYPFP-LBPRGKRZSA-N -1 1 307.398 1.501 20 0 DDADMM Cc1nsc(C)c1CN1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC000905369334 712018156 /nfs/dbraw/zinc/01/81/56/712018156.db2.gz LFJQNZBBJDOJIR-LLVKDONJSA-N -1 1 321.406 1.887 20 0 DDADMM O=C([O-])c1cc(NC2CCN(Cc3ccccn3)CC2)ncn1 ZINC000906138173 712251948 /nfs/dbraw/zinc/25/19/48/712251948.db2.gz BIRUZYBRZVDYII-UHFFFAOYSA-N -1 1 313.361 1.646 20 0 DDADMM CC(C)[C@@H]1CN(S(=O)(=O)c2ccc(C(=O)[O-])cc2)CCN1 ZINC000907056703 712476511 /nfs/dbraw/zinc/47/65/11/712476511.db2.gz RERVLRYQYIZTDM-ZDUSSCGKSA-N -1 1 312.391 1.003 20 0 DDADMM COC(=O)c1nc(C(=O)[N-]c2ncn(C(C)(C)C)n2)cs1 ZINC000907337661 712547289 /nfs/dbraw/zinc/54/72/89/712547289.db2.gz ULSPJUCQGKKIAK-UHFFFAOYSA-N -1 1 309.351 1.529 20 0 DDADMM C[C@@]1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCO[C@H]1C1CC1 ZINC000907430737 712570053 /nfs/dbraw/zinc/57/00/53/712570053.db2.gz BHHVUYWAVVWBGT-GUYCJALGSA-N -1 1 317.389 1.995 20 0 DDADMM Cc1csc([C@H](C)C(=O)N2CCSC[C@@H]2c2nn[n-]n2)n1 ZINC000907480295 712583637 /nfs/dbraw/zinc/58/36/37/712583637.db2.gz RVQMLXCDWNBBSK-DTWKUNHWSA-N -1 1 324.435 1.385 20 0 DDADMM CO[C@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)C1CCCC1 ZINC000907481835 712584013 /nfs/dbraw/zinc/58/40/13/712584013.db2.gz LPXQKQUVOMFAAM-MNOVXSKESA-N -1 1 311.411 1.021 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(N)=O ZINC000907698401 712615351 /nfs/dbraw/zinc/61/53/51/712615351.db2.gz FNEZMTWOASDFMR-NSHDSACASA-N -1 1 310.297 1.036 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCCSC[C@H]2C)c1 ZINC000908049120 712671781 /nfs/dbraw/zinc/67/17/81/712671781.db2.gz CMUBSJLBWXYLBH-SNVBAGLBSA-N -1 1 317.432 1.917 20 0 DDADMM CN(CC(=O)N(C)c1cccc(CC(=O)[O-])c1)[C@@H]1CCSC1 ZINC000908088009 712683350 /nfs/dbraw/zinc/68/33/50/712683350.db2.gz BCWOLRXRBCGXOS-CQSZACIVSA-N -1 1 322.430 1.714 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@@H]1CCC2(CCOCC2)CO1 ZINC000908372440 712755136 /nfs/dbraw/zinc/75/51/36/712755136.db2.gz TXKSLNGTROFTCH-NSHDSACASA-N -1 1 309.366 1.728 20 0 DDADMM Cc1nc(-c2ccn(C)n2)sc1CC(=O)[N-]OCC1CC1 ZINC000908382204 712757245 /nfs/dbraw/zinc/75/72/45/712757245.db2.gz GQYUBAPCRDXWJL-UHFFFAOYSA-N -1 1 306.391 1.852 20 0 DDADMM CCc1ccc2c(c1)CCN2C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908446171 712775383 /nfs/dbraw/zinc/77/53/83/712775383.db2.gz MPEOPGBABJFNCI-OAHLLOKOSA-N -1 1 316.401 1.935 20 0 DDADMM COc1ccc([C@H](CC(=O)[O-])NC(=O)CN(C)C2CCC2)cc1 ZINC000908595724 712817185 /nfs/dbraw/zinc/81/71/85/712817185.db2.gz SNFGIGUFBDMSRK-HNNXBMFYSA-N -1 1 320.389 1.812 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000908745152 712846171 /nfs/dbraw/zinc/84/61/71/712846171.db2.gz ZKDXKJZWUAINEX-STQMWFEESA-N -1 1 323.393 1.921 20 0 DDADMM CC[C@@](C)(NC(=O)NC[C@@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000908746701 712846757 /nfs/dbraw/zinc/84/67/57/712846757.db2.gz DCHAJAAEVJWKPJ-BLLLJJGKSA-N -1 1 323.393 1.969 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC2(c3ccc(F)cc3)CC2)C1 ZINC000908807912 712858245 /nfs/dbraw/zinc/85/82/45/712858245.db2.gz VJDDBXWXSUZUPB-LBPRGKRZSA-N -1 1 320.364 1.728 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)NCCN1CCc2ccccc2C1 ZINC000908809225 712858535 /nfs/dbraw/zinc/85/85/35/712858535.db2.gz RQAFXTFIKSHQOU-LBPRGKRZSA-N -1 1 305.378 1.159 20 0 DDADMM CN(C)[C@@H](CNC(=O)N(C)C(C)(C)C(=O)[O-])c1ccsc1 ZINC000908811932 712859495 /nfs/dbraw/zinc/85/94/95/712859495.db2.gz BZFZCLOFMNGGGO-NSHDSACASA-N -1 1 313.423 1.855 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000908815087 712860425 /nfs/dbraw/zinc/86/04/25/712860425.db2.gz WWXLRUIDAMVEIB-GWCFXTLKSA-N -1 1 311.357 1.543 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCS1 ZINC000908835661 712864952 /nfs/dbraw/zinc/86/49/52/712864952.db2.gz QNFQPHPBOCWSQN-OLZOCXBDSA-N -1 1 314.451 1.383 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)CC2(F)CCC2)CC[C@@H](C)CC1 ZINC000917258055 713489885 /nfs/dbraw/zinc/48/98/85/713489885.db2.gz DWPYPXFGUCTVIE-YEORSEQZSA-N -1 1 321.414 1.920 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@]12C[C@H]1CCCC2 ZINC000920858036 713698771 /nfs/dbraw/zinc/69/87/71/713698771.db2.gz ZLLWJMAKRFTKII-NEPJUHHUSA-N -1 1 306.453 1.663 20 0 DDADMM CON1CC[C@H](N2CCC(C(=O)c3ccc([O-])cc3)CC2)C1=O ZINC000929871785 713710794 /nfs/dbraw/zinc/71/07/94/713710794.db2.gz XPZZLZKEGBKJKO-HNNXBMFYSA-N -1 1 318.373 1.449 20 0 DDADMM CN(C)Cc1csc(C[N-]S(=O)(=O)c2ccns2)n1 ZINC000921083829 713712483 /nfs/dbraw/zinc/71/24/83/713712483.db2.gz RRYSVEQYUSYCSA-UHFFFAOYSA-N -1 1 318.449 1.140 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C2CC(CC(N)=O)C2)c(F)c1 ZINC000921310125 713728976 /nfs/dbraw/zinc/72/89/76/713728976.db2.gz GWBOIJRUIIGNOX-UHFFFAOYSA-N -1 1 318.345 1.206 20 0 DDADMM CN1CC[C@H]1C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000921413332 713762010 /nfs/dbraw/zinc/76/20/10/713762010.db2.gz JQTNBDQJVBKQPI-ZETCQYMHSA-N -1 1 310.206 1.371 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCSC2(CCC2)C1 ZINC000921714902 713852033 /nfs/dbraw/zinc/85/20/33/713852033.db2.gz HSTSOVXRCJWHJS-VIFPVBQESA-N -1 1 317.436 1.662 20 0 DDADMM COC[C@H](C[N-]S(=O)(=O)c1nc(C)c(C)s1)C(C)C ZINC000921897694 713901094 /nfs/dbraw/zinc/90/10/94/713901094.db2.gz TVKLHDCXMDOSRM-NSHDSACASA-N -1 1 306.453 1.957 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC[C@@H]1CCOC1 ZINC000921931437 713913241 /nfs/dbraw/zinc/91/32/41/713913241.db2.gz FFRSFHPKOZYXCG-SNVBAGLBSA-N -1 1 314.332 1.939 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2C[C@H](CO)C2)c(F)c1 ZINC000922065465 713951674 /nfs/dbraw/zinc/95/16/74/713951674.db2.gz GPTKXYDUTQFXOI-ZKCHVHJHSA-N -1 1 307.318 1.023 20 0 DDADMM CC[C@H](C)[C@@H](C(=O)OC)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000931568238 714130444 /nfs/dbraw/zinc/13/04/44/714130444.db2.gz CVQPQJDRTYBRBZ-OUJBWJOFSA-N -1 1 324.343 1.717 20 0 DDADMM Cc1c(NC(=O)NCCc2c(F)cc([O-])cc2F)nnn1C ZINC000931751140 714175897 /nfs/dbraw/zinc/17/58/97/714175897.db2.gz SPPOHAQJLFYTOU-UHFFFAOYSA-N -1 1 311.292 1.472 20 0 DDADMM Cc1oc(C(C)(C)C)cc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000932282158 714299837 /nfs/dbraw/zinc/29/98/37/714299837.db2.gz GXAZYLWMFCBUSL-NSHDSACASA-N -1 1 319.365 1.612 20 0 DDADMM C[C@@H]1CN(C(=O)CC(C)(C)C)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966157165 717985590 /nfs/dbraw/zinc/98/55/90/717985590.db2.gz WKIPOWNGPMVSHM-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@]2(C)C=CCC2)cc1 ZINC000924403530 714576242 /nfs/dbraw/zinc/57/62/42/714576242.db2.gz CQQFKEJBFMZAOM-QGZVFWFLSA-N -1 1 317.341 1.851 20 0 DDADMM CCC(CC)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000966174386 717998318 /nfs/dbraw/zinc/99/83/18/717998318.db2.gz HIGOWKGUEVCHSM-AAEUAGOBSA-N -1 1 319.405 1.800 20 0 DDADMM COc1cnc([C@@H]2CCCN2C[C@H]2CC3(CC3)C(=O)O2)[n-]c1=O ZINC000934272553 714783223 /nfs/dbraw/zinc/78/32/23/714783223.db2.gz LAUNHOBYPXNXNN-MNOVXSKESA-N -1 1 319.361 1.423 20 0 DDADMM CCn1nc(C)c(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)n1 ZINC000934278389 714785508 /nfs/dbraw/zinc/78/55/08/714785508.db2.gz GJEAFHWKIJRXFU-LBPRGKRZSA-N -1 1 318.381 1.448 20 0 DDADMM C[C@H](CN(C)C(=O)c1c[nH]cc1Cc1ccccc1)c1nn[n-]n1 ZINC000935365722 715038016 /nfs/dbraw/zinc/03/80/16/715038016.db2.gz LJMSCYNWERCNEA-GFCCVEGCSA-N -1 1 324.388 1.994 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@H]1C[C@@]12C(=O)Nc1ccccc12 ZINC000935456661 715060066 /nfs/dbraw/zinc/06/00/66/715060066.db2.gz PKCZTLXQFGWANN-WBMJQRKESA-N -1 1 302.330 1.123 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC000956275582 715384508 /nfs/dbraw/zinc/38/45/08/715384508.db2.gz UVOCMYTVZIWNSE-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CSCC(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956343460 715412556 /nfs/dbraw/zinc/41/25/56/715412556.db2.gz KVRBMKBRXBWQEZ-UHFFFAOYSA-N -1 1 323.418 1.261 20 0 DDADMM Cc1ccc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC000937646418 715473022 /nfs/dbraw/zinc/47/30/22/715473022.db2.gz RJFJCIVKRDHPLA-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM CN(C(=O)C1(C)CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954971805 715565401 /nfs/dbraw/zinc/56/54/01/715565401.db2.gz PUGAZFBMDLVHTM-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956850627 715637207 /nfs/dbraw/zinc/63/72/07/715637207.db2.gz PYOJLCKSEYAFNW-QGZVFWFLSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955401382 715758482 /nfs/dbraw/zinc/75/84/82/715758482.db2.gz WCNFNCFXNYNHTQ-ZYHUDNBSSA-N -1 1 303.362 1.116 20 0 DDADMM O=C(CC1CCC1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132321 716642510 /nfs/dbraw/zinc/64/25/10/716642510.db2.gz SQZYEQHREJGOHD-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM C[C@@H]1CN(Cc2ccon2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000941988872 717418995 /nfs/dbraw/zinc/41/89/95/717418995.db2.gz IWXKVHHORAZEDY-DGCLKSJQSA-N -1 1 316.361 1.416 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2(C)CC2)C1 ZINC000942755724 717857115 /nfs/dbraw/zinc/85/71/15/717857115.db2.gz JEDDICNGVYBHOG-LBPRGKRZSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@@H](NC(=O)C1CC1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000945626404 718510944 /nfs/dbraw/zinc/51/09/44/718510944.db2.gz ZBAQJLWUXQPCDA-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]1CNC(=O)C1CC1)c1ncccc1[O-] ZINC000946196598 718708227 /nfs/dbraw/zinc/70/82/27/718708227.db2.gz UIMLRNLYPTWZNL-NWDGAFQWSA-N -1 1 303.362 1.212 20 0 DDADMM O=C(CC1CC1)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000948638144 719607906 /nfs/dbraw/zinc/60/79/06/719607906.db2.gz MZWQWBTZBJLDMP-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM CCCC(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000971094666 721106373 /nfs/dbraw/zinc/10/63/73/721106373.db2.gz DFHGGUWNQAOSFO-VXGBXAGGSA-N -1 1 303.362 1.260 20 0 DDADMM CC(=O)N1CC[C@@H]([C@H]2CCCCN2C(=O)c2ncccc2[O-])C1 ZINC000952349242 721416998 /nfs/dbraw/zinc/41/69/98/721416998.db2.gz BZBBZKFVIOXWGP-ZIAGYGMSSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@]2(CCN(C(=O)C3CC3)C2)C1 ZINC000953033564 721542327 /nfs/dbraw/zinc/54/23/27/721542327.db2.gz ZDNNHMHRDXQNEQ-KRWDZBQOSA-N -1 1 315.373 1.262 20 0 DDADMM C[C@@H](CCNC(=O)c1ccccn1)NC(=O)c1ncccc1[O-] ZINC001075370448 732825590 /nfs/dbraw/zinc/82/55/90/732825590.db2.gz XVROWLKKOFFBPA-NSHDSACASA-N -1 1 314.345 1.121 20 0 DDADMM Cc1cc(CN2CCC[C@H]2CNC(=O)c2ncccc2[O-])on1 ZINC001027844788 738729562 /nfs/dbraw/zinc/72/95/62/738729562.db2.gz XXZNFDUOIKDWCZ-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM Cc1cnc(C[N@H+]2CC[C@H](CNC(=O)c3ccccc3O)C2)o1 ZINC001028416218 739466264 /nfs/dbraw/zinc/46/62/64/739466264.db2.gz RUYBFTLGWDQSPP-CYBMUJFWSA-N -1 1 315.373 1.941 20 0 DDADMM Cc1nsc(NC[C@@H](C)N(C)C(=O)c2ncccc2[O-])n1 ZINC001104536602 736203414 /nfs/dbraw/zinc/20/34/14/736203414.db2.gz QWSHWFCDIBALTR-MRVPVSSYSA-N -1 1 307.379 1.520 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CC[C@@H](Cc2ccccc2)C1 ZINC001121470436 782520159 /nfs/dbraw/zinc/52/01/59/782520159.db2.gz VZFIWFXIPDJBCD-ZDUSSCGKSA-N -1 1 324.388 1.980 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)C2CC3(CC3)C2)C1)c1ncccc1[O-] ZINC000974055270 737349294 /nfs/dbraw/zinc/34/92/94/737349294.db2.gz CZKWJNCAQWYBET-TXEJJXNPSA-N -1 1 315.373 1.354 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2CC23CCC3)C1)c1ncccc1[O-] ZINC000974056730 737353730 /nfs/dbraw/zinc/35/37/30/737353730.db2.gz KKVYVWAHNLOUCS-GRYCIOLGSA-N -1 1 315.373 1.354 20 0 DDADMM COc1cnc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)nc1 ZINC001167931654 739706581 /nfs/dbraw/zinc/70/65/81/739706581.db2.gz GRIXSEUFFDOUOK-UHFFFAOYSA-N -1 1 321.273 1.570 20 0 DDADMM CC(C)(F)C(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514661 741088354 /nfs/dbraw/zinc/08/83/54/741088354.db2.gz HVSOWCULNXITJB-LLVKDONJSA-N -1 1 323.368 1.504 20 0 DDADMM C[N@H+](Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cccs2)C1 ZINC001029633861 741160635 /nfs/dbraw/zinc/16/06/35/741160635.db2.gz KKERSOUPZZRDIP-SNVBAGLBSA-N -1 1 321.406 1.166 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cccs2)C1 ZINC001029633861 741160637 /nfs/dbraw/zinc/16/06/37/741160637.db2.gz KKERSOUPZZRDIP-SNVBAGLBSA-N -1 1 321.406 1.166 20 0 DDADMM O=C(CC1CC1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088249749 741206766 /nfs/dbraw/zinc/20/67/66/741206766.db2.gz ZWNUOXKVVAMDJK-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM O=C(N[C@H]1C[C@@H](Nc2ncc(F)cn2)C1)c1ncccc1[O-] ZINC001059613808 741415107 /nfs/dbraw/zinc/41/51/07/741415107.db2.gz MSGZJUIMIMJTAU-AOOOYVTPSA-N -1 1 303.297 1.089 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)C=CCC1 ZINC001076246510 742619081 /nfs/dbraw/zinc/61/90/81/742619081.db2.gz WEOIMURKKDQRLB-SJCJKPOMSA-N -1 1 317.389 1.768 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@]12C[C@H]1CCC2 ZINC001076245386 742624653 /nfs/dbraw/zinc/62/46/53/742624653.db2.gz ZRKXIVBJRMYGAS-XWCIJXRUSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(Cc1ccc(O)cc1F)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001180651513 742874195 /nfs/dbraw/zinc/87/41/95/742874195.db2.gz IUSCQTJHTJSRDF-UHFFFAOYSA-N -1 1 303.253 1.084 20 0 DDADMM O=C(Cc1ccc2nccnc2c1)Nc1n[n-]c(C(F)(F)F)n1 ZINC001181015009 743024360 /nfs/dbraw/zinc/02/43/60/743024360.db2.gz FBHYNTHNNHYYDU-UHFFFAOYSA-N -1 1 322.250 1.948 20 0 DDADMM COC(=O)/C=C/[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001181327125 743159086 /nfs/dbraw/zinc/15/90/86/743159086.db2.gz IRZVOXQXTFHFAI-XCODYQFDSA-N -1 1 307.350 1.462 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001168696916 743213120 /nfs/dbraw/zinc/21/31/20/743213120.db2.gz YGZQKTSMRCBYCA-SSCKCOOKSA-N -1 1 320.356 1.904 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)Cc1ccc2cc[nH]c2c1 ZINC001181806045 743352441 /nfs/dbraw/zinc/35/24/41/743352441.db2.gz OTTJWUDQXBJPLJ-UHFFFAOYSA-N -1 1 313.273 1.948 20 0 DDADMM O=C(NCCN1CCNC1=S)c1ccc2ccccc2c1[O-] ZINC001182044067 743449102 /nfs/dbraw/zinc/44/91/02/743449102.db2.gz QGICZCCCSSSRCE-UHFFFAOYSA-N -1 1 315.398 1.465 20 0 DDADMM COc1cc(OC)c(-c2noc(-c3ncccc3[O-])n2)cn1 ZINC001212482918 743603049 /nfs/dbraw/zinc/60/30/49/743603049.db2.gz VYXWFBIQVXJTJA-UHFFFAOYSA-N -1 1 300.274 1.916 20 0 DDADMM O=C(OC[C@@H]1C[C@@H]2COC[C@H]2O1)c1c([O-])cc(F)cc1F ZINC000908957690 743608551 /nfs/dbraw/zinc/60/85/51/743608551.db2.gz JTSFJOBAJZEZCU-VFWDIBGWSA-N -1 1 300.257 1.631 20 0 DDADMM CC(C)(O)CCN1CCN(C(=O)Cc2ccc([S-])cc2)CC1 ZINC001183191098 743793476 /nfs/dbraw/zinc/79/34/76/743793476.db2.gz RNYXCXQMWUWSOD-UHFFFAOYSA-N -1 1 322.474 1.823 20 0 DDADMM CCC(CC)[C@@H](C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001183816537 743909080 /nfs/dbraw/zinc/90/90/80/743909080.db2.gz DJFVMNBRKCQUPS-ZYHUDNBSSA-N -1 1 309.414 1.273 20 0 DDADMM CCOC(=O)[C@@H](C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001184216831 743993065 /nfs/dbraw/zinc/99/30/65/743993065.db2.gz NFSMPWCEPZJRGC-JTQLQIEISA-N -1 1 303.318 1.716 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc([C@@H]3C[C@@H]3C)nc2)n1 ZINC001185119364 744164842 /nfs/dbraw/zinc/16/48/42/744164842.db2.gz ZLVPWAPSBJBJCG-WCBMZHEXSA-N -1 1 315.333 1.752 20 0 DDADMM CN(C)c1nc(NC(=O)c2ccc(CO)cc2)c(N=O)c(=O)[n-]1 ZINC001186101213 744343009 /nfs/dbraw/zinc/34/30/09/744343009.db2.gz IRACMZSZBPLPRP-UHFFFAOYSA-N -1 1 317.305 1.391 20 0 DDADMM CCCN=c1c(N)c(Cl)ncn1C(=O)c1ccc([O-])cc1F ZINC001186311593 744380506 /nfs/dbraw/zinc/38/05/06/744380506.db2.gz ZFYGFBVWYZUSLT-UHFFFAOYSA-N -1 1 324.743 1.963 20 0 DDADMM CN1CCN(c2ccc([N-]S(=O)(=O)c3ccco3)cn2)CC1 ZINC001186804712 744445290 /nfs/dbraw/zinc/44/52/90/744445290.db2.gz BKMNECCLGBMXGX-UHFFFAOYSA-N -1 1 322.390 1.227 20 0 DDADMM O=C(Nc1cccnc1-n1cccn1)c1n[n-]nc1C(F)(F)F ZINC001187757065 744606065 /nfs/dbraw/zinc/60/60/65/744606065.db2.gz SRFMERFXTMYLOB-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM NC(=S)c1cccc(NC(=O)c2n[n-]nc2C(F)(F)F)c1 ZINC001187766046 744608062 /nfs/dbraw/zinc/60/80/62/744608062.db2.gz CYTFEGPSZDJPHX-UHFFFAOYSA-N -1 1 315.280 1.710 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccc(F)cc1)c1nccs1 ZINC001187846887 744617666 /nfs/dbraw/zinc/61/76/66/744617666.db2.gz SVULOPCPMYAQTA-SNVBAGLBSA-N -1 1 302.352 1.294 20 0 DDADMM CSc1nnc([N-]C(=O)c2n[nH]cc2Cl)c(SC)n1 ZINC001187830972 744624397 /nfs/dbraw/zinc/62/43/97/744624397.db2.gz VMBAMTOXHAOYOO-UHFFFAOYSA-N -1 1 316.799 1.944 20 0 DDADMM O=S(=O)([N-][C@H]1CCc2ccc(O)cc2C1)c1nccs1 ZINC001187917919 744626005 /nfs/dbraw/zinc/62/60/05/744626005.db2.gz NCDQFLBOSHLROP-NSHDSACASA-N -1 1 310.400 1.685 20 0 DDADMM O=S(=O)([N-]Cc1ccc(-n2cccn2)nc1)c1nccs1 ZINC001187917797 744633189 /nfs/dbraw/zinc/63/31/89/744633189.db2.gz IGVPXYQCKHQBMH-UHFFFAOYSA-N -1 1 321.387 1.202 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccc(COc2ccccc2)c1 ZINC001187973915 744641458 /nfs/dbraw/zinc/64/14/58/744641458.db2.gz BNTZZWLTWUGEFV-UHFFFAOYSA-N -1 1 309.329 1.709 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ccc(Cl)c(F)c1F ZINC001188388447 744697263 /nfs/dbraw/zinc/69/72/63/744697263.db2.gz IIBDOGBOWOIMHQ-UHFFFAOYSA-N -1 1 313.709 1.923 20 0 DDADMM NC(=O)c1cnoc1NC(=O)c1ccc([O-])c(C(F)(F)F)c1 ZINC001188572039 744721894 /nfs/dbraw/zinc/72/18/94/744721894.db2.gz JRNWNRHRNSIPNO-UHFFFAOYSA-N -1 1 315.207 1.750 20 0 DDADMM CC1(C)C[C@@H](NC(=O)CC2CC2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089296137 744954234 /nfs/dbraw/zinc/95/42/34/744954234.db2.gz ONBQXDBZOOGBCX-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1cnc(NC(=O)c2cnc(-c3ccccc3)[n-]c2=O)c(=O)[nH]1 ZINC001190271009 745169819 /nfs/dbraw/zinc/16/98/19/745169819.db2.gz WOMWRHPUFMDABY-UHFFFAOYSA-N -1 1 323.312 1.493 20 0 DDADMM Cn1ccnc1C[N-]S(=O)(=O)c1cc(F)cc(F)c1F ZINC001190349985 745189398 /nfs/dbraw/zinc/18/93/98/745189398.db2.gz XGZOROROUYODDF-UHFFFAOYSA-N -1 1 305.281 1.316 20 0 DDADMM CSc1ncc(C(=O)Nc2cc(=O)[n-]c(SC)n2)c(C)n1 ZINC001190504820 745232249 /nfs/dbraw/zinc/23/22/49/745232249.db2.gz DVLROZLXWZOYBI-UHFFFAOYSA-N -1 1 323.403 1.977 20 0 DDADMM O=S(=O)([N-]c1ccc(Cn2cncn2)cc1)c1ccncc1 ZINC001190887533 745367648 /nfs/dbraw/zinc/36/76/48/745367648.db2.gz MWINMRDNPLOELJ-UHFFFAOYSA-N -1 1 315.358 1.522 20 0 DDADMM COc1cc(C(=O)Nc2oncc2C(N)=O)cc(Cl)c1[O-] ZINC001191139598 745443282 /nfs/dbraw/zinc/44/32/82/745443282.db2.gz UVYJHNIUEFIYAA-UHFFFAOYSA-N -1 1 311.681 1.393 20 0 DDADMM CSc1ncc(C(=O)NCCOc2ccncc2)c(=O)[n-]1 ZINC001191440405 745512437 /nfs/dbraw/zinc/51/24/37/745512437.db2.gz LNYUNYFDWSEYEK-UHFFFAOYSA-N -1 1 306.347 1.108 20 0 DDADMM COc1ccc(CCNC(=O)c2cnc(SC)[n-]c2=O)nc1 ZINC001191438222 745512943 /nfs/dbraw/zinc/51/29/43/745512943.db2.gz UZQBPHVTCRKVSI-UHFFFAOYSA-N -1 1 320.374 1.280 20 0 DDADMM CCCC(=O)N(C)Cc1ccccc1CNC(=O)c1cnn[nH]1 ZINC001060503716 745543301 /nfs/dbraw/zinc/54/33/01/745543301.db2.gz DRUQXGPAOHSDKY-UHFFFAOYSA-N -1 1 315.377 1.493 20 0 DDADMM Cc1cc(C)nc(NCC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001106296437 745563514 /nfs/dbraw/zinc/56/35/14/745563514.db2.gz RFOYXXVNGZVVSF-JTQLQIEISA-N -1 1 315.377 1.815 20 0 DDADMM Nc1cnc(Cl)c(NC(=O)c2cc([O-])cc(F)c2F)n1 ZINC001192652218 745846383 /nfs/dbraw/zinc/84/63/83/745846383.db2.gz WZEKWZWGVQPPDR-UHFFFAOYSA-N -1 1 300.652 1.948 20 0 DDADMM Cc1cc(C(=O)NCCCC[P@](=O)([O-])O)nc(Cl)n1 ZINC001192821483 745904093 /nfs/dbraw/zinc/90/40/93/745904093.db2.gz OWVSAAQVQCWDPW-UHFFFAOYSA-N -1 1 307.674 1.126 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc2c(c1)OCO2 ZINC001193009565 745959153 /nfs/dbraw/zinc/95/91/53/745959153.db2.gz ZDOYRMJUBAKZMV-UHFFFAOYSA-N -1 1 315.347 1.499 20 0 DDADMM O=C1NCc2c([N-]S(=O)(=O)C[C@H]3CCCO3)cccc2N1 ZINC001193275439 746042081 /nfs/dbraw/zinc/04/20/81/746042081.db2.gz SZWYMZNZPHFHJG-SECBINFHSA-N -1 1 311.363 1.242 20 0 DDADMM CC(C)OC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1[O-] ZINC001193495671 746120035 /nfs/dbraw/zinc/12/00/35/746120035.db2.gz GZCQOCSULWDSQZ-UHFFFAOYSA-N -1 1 302.352 1.599 20 0 DDADMM CC(C)c1csc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001193518708 746131507 /nfs/dbraw/zinc/13/15/07/746131507.db2.gz AIEFZXGUYFIGSV-UHFFFAOYSA-N -1 1 320.334 1.548 20 0 DDADMM COc1ccnc(C(=O)Nc2cc3nc(C)cc(C)n3n2)c1[O-] ZINC001193524844 746133393 /nfs/dbraw/zinc/13/33/93/746133393.db2.gz MZLWCTHFJSAGKP-UHFFFAOYSA-N -1 1 313.317 1.708 20 0 DDADMM Cc1coc(C[N-]S(=O)(=O)Cc2noc3ccccc32)n1 ZINC001194044677 746263622 /nfs/dbraw/zinc/26/36/22/746263622.db2.gz LNLWSIWUKKDRBI-UHFFFAOYSA-N -1 1 307.331 1.744 20 0 DDADMM O=S(=O)([N-]CCc1ccns1)c1ccc(F)nc1F ZINC001194154501 746293453 /nfs/dbraw/zinc/29/34/53/746293453.db2.gz AGDSZFBTAXTXCG-UHFFFAOYSA-N -1 1 305.331 1.337 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccc(C2CC2)cc1 ZINC001194183894 746299760 /nfs/dbraw/zinc/29/97/60/746299760.db2.gz YXYLQICYFBCMJA-UHFFFAOYSA-N -1 1 311.301 1.845 20 0 DDADMM CO[C@H]1C[C@@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC001194578843 746413464 /nfs/dbraw/zinc/41/34/64/746413464.db2.gz UZUGEGGPNAVNPL-OCAPTIKFSA-N -1 1 310.297 1.556 20 0 DDADMM Cc1noc(NC(=O)c2c[n-]c(C(F)(F)F)n2)c1C(N)=O ZINC001194769973 746461945 /nfs/dbraw/zinc/46/19/45/746461945.db2.gz BOPXIXAPJKEBQL-UHFFFAOYSA-N -1 1 303.200 1.076 20 0 DDADMM C[Si](C)(C)CCS(=O)(=O)NCC(=O)c1ccc([O-])cc1 ZINC001195101310 746529150 /nfs/dbraw/zinc/52/91/50/746529150.db2.gz RZSWVHMXOXRXTF-UHFFFAOYSA-N -1 1 315.467 1.833 20 0 DDADMM COc1nccc(C(=O)[N-]c2noc3nccnc23)c1Cl ZINC001195183471 746539605 /nfs/dbraw/zinc/53/96/05/746539605.db2.gz IAVZRYUIHKTNJJ-UHFFFAOYSA-N -1 1 305.681 1.927 20 0 DDADMM CN(C)c1nc(NC(=S)NC(=O)c2ccccc2)cc(=O)[n-]1 ZINC001195382719 746596315 /nfs/dbraw/zinc/59/63/15/746596315.db2.gz KLXUOFSVVMPAOF-UHFFFAOYSA-N -1 1 317.374 1.375 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(OC)nc1C(=O)OC ZINC001195461617 746608831 /nfs/dbraw/zinc/60/88/31/746608831.db2.gz UDUKBGVGGNSCLG-UHFFFAOYSA-N -1 1 313.335 1.320 20 0 DDADMM CSc1nc(NS(=O)(=O)c2ccc(O)cc2)cc(=O)[n-]1 ZINC001195766089 746691253 /nfs/dbraw/zinc/69/12/53/746691253.db2.gz HDDKNFRQEQYWNJ-UHFFFAOYSA-N -1 1 313.360 1.411 20 0 DDADMM C[C@H]1CCN(C(=O)c2c[nH]c(=S)[n-]c2=O)CCC1(F)F ZINC001196014969 746757380 /nfs/dbraw/zinc/75/73/80/746757380.db2.gz VFZAHQHHKYBKBF-ZETCQYMHSA-N -1 1 303.334 1.978 20 0 DDADMM COCc1ccc(CNC(=O)c2c[nH]c(=S)[n-]c2=O)cc1 ZINC001196027602 746765691 /nfs/dbraw/zinc/76/56/91/746765691.db2.gz YOELDXSEGYHMGU-UHFFFAOYSA-N -1 1 305.359 1.547 20 0 DDADMM O=C(NCc1cc(F)cnc1Cl)c1c[nH]c(=S)[n-]c1=O ZINC001196026928 746765987 /nfs/dbraw/zinc/76/59/87/746765987.db2.gz MSIHGNFBFHXYAE-UHFFFAOYSA-N -1 1 314.729 1.588 20 0 DDADMM CCOc1cncc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001197618701 747207202 /nfs/dbraw/zinc/20/72/02/747207202.db2.gz QVMNKAGOTNSHBF-UHFFFAOYSA-N -1 1 300.278 1.105 20 0 DDADMM O=C1CCc2cccc([N-]S(=O)(=O)Cc3ccccn3)c2N1 ZINC001197828976 747292206 /nfs/dbraw/zinc/29/22/06/747292206.db2.gz AGPXLAMOZQIUMX-UHFFFAOYSA-N -1 1 317.370 1.908 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)Cc2ccccn2)c(F)c1 ZINC001197829285 747292423 /nfs/dbraw/zinc/29/24/23/747292423.db2.gz GJJLJCBNOBKQRZ-UHFFFAOYSA-N -1 1 323.349 1.522 20 0 DDADMM O=S(=O)([N-]c1ncccc1F)c1ccc2nccnc2c1 ZINC001198109135 747383633 /nfs/dbraw/zinc/38/36/33/747383633.db2.gz FBEWCZGHKKCCME-UHFFFAOYSA-N -1 1 304.306 1.965 20 0 DDADMM CCN(c1ncc(F)cn1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001089636918 747523702 /nfs/dbraw/zinc/52/37/02/747523702.db2.gz GSFUARDXTBSZSW-SNVBAGLBSA-N -1 1 319.340 1.361 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn(CC(C)C)cn2)n1 ZINC001198561239 747525875 /nfs/dbraw/zinc/52/58/75/747525875.db2.gz MULNNRCSQKKBIB-UHFFFAOYSA-N -1 1 305.338 1.691 20 0 DDADMM COc1cnc(C(=O)NCCCC[P@](=O)([O-])O)c(C)c1 ZINC001199757291 747981981 /nfs/dbraw/zinc/98/19/81/747981981.db2.gz YWCIWHQIEMMZLK-UHFFFAOYSA-N -1 1 302.267 1.086 20 0 DDADMM O=C(Nc1cccc(F)c1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001202236373 748728466 /nfs/dbraw/zinc/72/84/66/748728466.db2.gz RLMDQLQKUAXBBW-UHFFFAOYSA-N -1 1 304.241 1.499 20 0 DDADMM C[C@H]1CCN(C(=O)C(C)(C)C)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004769060 748772577 /nfs/dbraw/zinc/77/25/77/748772577.db2.gz PXMXFLZZOZXLJE-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])C1CCC1 ZINC000995573768 748950655 /nfs/dbraw/zinc/95/06/55/748950655.db2.gz NIVXDHLOZUSQEG-AGIUHOORSA-N -1 1 315.373 1.449 20 0 DDADMM Cc1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)nc2nccn21 ZINC001154427255 749764107 /nfs/dbraw/zinc/76/41/07/749764107.db2.gz VIAHYNFPBVIKAH-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM CCOC(=O)c1cc(C)cc(NCC[N-]C(=O)C(F)(F)F)n1 ZINC001156200109 761985091 /nfs/dbraw/zinc/98/50/91/761985091.db2.gz QAAYRSHJXZNFEQ-UHFFFAOYSA-N -1 1 319.283 1.657 20 0 DDADMM CN(c1cnc(F)cn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001056901182 761996098 /nfs/dbraw/zinc/99/60/98/761996098.db2.gz ZGBBFUZCYBWEIU-JTQLQIEISA-N -1 1 317.324 1.067 20 0 DDADMM CC(C)C(=O)N1CCCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001005404675 749982914 /nfs/dbraw/zinc/98/29/14/749982914.db2.gz HPWCQGONYXPOJV-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1nc(CN[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)co1 ZINC000998995988 752418864 /nfs/dbraw/zinc/41/88/64/752418864.db2.gz NZMUNMWTOQWATF-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999682651 753062389 /nfs/dbraw/zinc/06/23/89/753062389.db2.gz KOPAPXVQUALMFT-QJPTWQEYSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)/C=C\C2CC2)C1 ZINC001005947649 753407150 /nfs/dbraw/zinc/40/71/50/753407150.db2.gz AUVSODNLADESBQ-ZADCQDASSA-N -1 1 315.373 1.330 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000252858 753609127 /nfs/dbraw/zinc/60/91/27/753609127.db2.gz YWIXQBPEEQDZDT-JHJVBQTASA-N -1 1 321.425 1.273 20 0 DDADMM CCC(C)(CC)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011037689 754320135 /nfs/dbraw/zinc/32/01/35/754320135.db2.gz HUPUUCUXJKNRGH-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM CCC(=O)N1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1C ZINC001012107270 754848688 /nfs/dbraw/zinc/84/86/88/754848688.db2.gz HMIJPZVSUGOOBT-GXSJLCMTSA-N -1 1 318.377 1.189 20 0 DDADMM Cc1cc(N[C@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)ncn1 ZINC001040103282 762384555 /nfs/dbraw/zinc/38/45/55/762384555.db2.gz SWQTWSCTIGOLRO-RYUDHWBXSA-N -1 1 313.361 1.601 20 0 DDADMM C[C@H](C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-])C1CC1 ZINC001067106663 756217442 /nfs/dbraw/zinc/21/74/42/756217442.db2.gz SNLSPBPIESLHTK-LBPRGKRZSA-N -1 1 319.405 1.754 20 0 DDADMM CCN(C(C)=O)[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001016011827 756721370 /nfs/dbraw/zinc/72/13/70/756721370.db2.gz LNTATWMYQVTCEP-ZDUSSCGKSA-N -1 1 305.378 1.650 20 0 DDADMM O=C(c1ncccc1[O-])N1CCO[C@@H]2CN(C/C=C\Cl)C[C@@H]21 ZINC001083060003 757110212 /nfs/dbraw/zinc/11/02/12/757110212.db2.gz HULUAJRAFFTVRS-GBNBHJESSA-N -1 1 323.780 1.065 20 0 DDADMM CC(C)(C)OC(=O)C(C)(C)Nc1ccc(-c2nn[n-]n2)nc1 ZINC001169582455 762543597 /nfs/dbraw/zinc/54/35/97/762543597.db2.gz DKZONPROUMBGNO-UHFFFAOYSA-N -1 1 304.354 1.794 20 0 DDADMM COc1cc(C)nc(N[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097765868 757513484 /nfs/dbraw/zinc/51/34/84/757513484.db2.gz ZMBFBXJKAUFYLH-JTQLQIEISA-N -1 1 317.349 1.125 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017092860 757629097 /nfs/dbraw/zinc/62/90/97/757629097.db2.gz KCQFFJZNXGXUPE-KBVBDRTLSA-N -1 1 307.398 1.147 20 0 DDADMM CC[C@@H](Cc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000822555774 758221505 /nfs/dbraw/zinc/22/15/05/758221505.db2.gz KAYAONJSTWTATK-LBPRGKRZSA-N -1 1 301.346 1.773 20 0 DDADMM Cc1nccc(N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)n1 ZINC001065245275 758415069 /nfs/dbraw/zinc/41/50/69/758415069.db2.gz PASJDLKHYYRMKR-MRXNPFEDSA-N -1 1 313.361 1.284 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)C1CC1 ZINC001018555959 758969980 /nfs/dbraw/zinc/96/99/80/758969980.db2.gz RPQGZFHMNHHBML-WCQYABFASA-N -1 1 317.389 1.412 20 0 DDADMM CSc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000824932984 759215132 /nfs/dbraw/zinc/21/51/32/759215132.db2.gz DIVYFDUBCFMIEQ-NSHDSACASA-N -1 1 305.363 1.135 20 0 DDADMM CCc1cnc(CN[C@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC001018750259 759219883 /nfs/dbraw/zinc/21/98/83/759219883.db2.gz JQMUHHXWFJJSQZ-NSHDSACASA-N -1 1 316.361 1.342 20 0 DDADMM C[C@H](CC1CCCC1)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019463414 759959801 /nfs/dbraw/zinc/95/98/01/759959801.db2.gz BEINXPMLCWSILG-YPMHNXCESA-N -1 1 321.425 1.417 20 0 DDADMM Cc1nc(CN[C@H]2C[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)cs1 ZINC001020073730 760516157 /nfs/dbraw/zinc/51/61/57/760516157.db2.gz JNZIQLGRENCLRU-MGCOHNPYSA-N -1 1 321.406 1.239 20 0 DDADMM CC(C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)=C1CCC1 ZINC001001541985 762945965 /nfs/dbraw/zinc/94/59/65/762945965.db2.gz PSRINZQDSQPOFV-UHFFFAOYSA-N -1 1 315.373 1.476 20 0 DDADMM C[C@H](C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC001001552882 762954099 /nfs/dbraw/zinc/95/40/99/762954099.db2.gz RLWPQYOWLDQSFG-LLVKDONJSA-N -1 1 319.405 1.658 20 0 DDADMM CC[C@H](C)CN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001050888491 764236147 /nfs/dbraw/zinc/23/61/47/764236147.db2.gz WQXGNIFKVXHKOS-STQMWFEESA-N -1 1 307.394 1.264 20 0 DDADMM CC(C)=CC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052420609 765807945 /nfs/dbraw/zinc/80/79/45/765807945.db2.gz GDCFPOPJSGEJBK-OLZOCXBDSA-N -1 1 317.389 1.578 20 0 DDADMM C[C@H]1Oc2ccc(Nc3[n-]c(=O)nc4nc[nH]c43)cc2NC1=O ZINC001170232371 766186861 /nfs/dbraw/zinc/18/68/61/766186861.db2.gz JCDAVJWWKZAEEM-ZCFIWIBFSA-N -1 1 312.289 1.522 20 0 DDADMM CC(C)c1cc(Nc2[n-]c(=O)nc3nc[nH]c32)nc(N(C)C)n1 ZINC001170227272 766201259 /nfs/dbraw/zinc/20/12/59/766201259.db2.gz JXHIJNIRPHOCPR-UHFFFAOYSA-N -1 1 314.353 1.782 20 0 DDADMM Cc1nccn1-c1cncc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001170227409 766201374 /nfs/dbraw/zinc/20/13/74/766201374.db2.gz LQVMJCVEUZSIBB-UHFFFAOYSA-N -1 1 309.293 1.086 20 0 DDADMM COC(=O)C=Cc1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001170230348 766204592 /nfs/dbraw/zinc/20/45/92/766204592.db2.gz PLROUWUMPPZMPP-DAXSKMNVSA-N -1 1 311.301 1.988 20 0 DDADMM O=C(N[C@@H]1CCCN(c2cnc(F)cn2)C1)c1ncccc1[O-] ZINC001058147440 766273091 /nfs/dbraw/zinc/27/30/91/766273091.db2.gz IWABSVXSCSBXKN-SNVBAGLBSA-N -1 1 317.324 1.115 20 0 DDADMM Cc1nc(CN2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)co1 ZINC001046262067 767320585 /nfs/dbraw/zinc/32/05/85/767320585.db2.gz JSKZQCLBFWXTET-MRXNPFEDSA-N -1 1 316.361 1.478 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1C[C@@H](c2ccccc2)C[C@H]1C ZINC001121674183 782621494 /nfs/dbraw/zinc/62/14/94/782621494.db2.gz ZWKGTDLZHPAFOO-YPMHNXCESA-N -1 1 324.392 1.861 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1C[C@@H](c2ccccc2)C[C@H]1C ZINC001121674183 782621497 /nfs/dbraw/zinc/62/14/97/782621497.db2.gz ZWKGTDLZHPAFOO-YPMHNXCESA-N -1 1 324.392 1.861 20 0 DDADMM CCN(Cc1ccccc1F)c1nnc(-c2nnn[n-]2)n1CC ZINC001121678694 782623252 /nfs/dbraw/zinc/62/32/52/782623252.db2.gz HWFCJVOQBVZZAW-UHFFFAOYSA-N -1 1 316.344 1.644 20 0 DDADMM CCN(Cc1ccccc1F)c1nnc(-c2nn[n-]n2)n1CC ZINC001121678694 782623260 /nfs/dbraw/zinc/62/32/60/782623260.db2.gz HWFCJVOQBVZZAW-UHFFFAOYSA-N -1 1 316.344 1.644 20 0 DDADMM Cc1ccc(N[C@@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)nn1 ZINC001069066101 767815628 /nfs/dbraw/zinc/81/56/28/767815628.db2.gz SRDRJBMQNDJULM-VXGBXAGGSA-N -1 1 313.361 1.601 20 0 DDADMM CC(C)=C(F)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648600 768643462 /nfs/dbraw/zinc/64/34/62/768643462.db2.gz QGMQRVHRFXYQRD-LLVKDONJSA-N -1 1 321.352 1.771 20 0 DDADMM CC(C)[C@H](C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648784 768644118 /nfs/dbraw/zinc/64/41/18/768644118.db2.gz XIRIPJYLACRHOO-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)CCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001071575408 769652353 /nfs/dbraw/zinc/65/23/53/769652353.db2.gz SUAKGBYDCGOZTR-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM Cn1c(=O)oc2ccc(CNC(=O)c3cccc([O-])c3F)cc21 ZINC001170677284 769665852 /nfs/dbraw/zinc/66/58/52/769665852.db2.gz OYFJKPMYECYDIK-UHFFFAOYSA-N -1 1 316.288 1.906 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cccc(F)n2)[n-]1 ZINC001133459953 769862468 /nfs/dbraw/zinc/86/24/68/769862468.db2.gz XUDSNWTURUCSPZ-UHFFFAOYSA-N -1 1 305.265 1.790 20 0 DDADMM CCCCCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071951556 770334097 /nfs/dbraw/zinc/33/40/97/770334097.db2.gz ARTIOYLVHPKXBJ-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CCC(CC)C(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952354 770336070 /nfs/dbraw/zinc/33/60/70/770336070.db2.gz LASMYSHBWWXFSI-YPMHNXCESA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CC(C)(C)C ZINC001071953279 770339204 /nfs/dbraw/zinc/33/92/04/770339204.db2.gz XJQQNPZGSVAIIX-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(NCCOc1cccnc1)c1ccc2n[n-]c(=S)n2c1 ZINC001136801914 772153677 /nfs/dbraw/zinc/15/36/77/772153677.db2.gz FQPKMWFXTVWXNA-UHFFFAOYSA-N -1 1 315.358 1.222 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Oc2cccc(F)c2)cn1 ZINC001143974733 772365665 /nfs/dbraw/zinc/36/56/65/772365665.db2.gz YUXOUQRZOIUSIR-UHFFFAOYSA-N -1 1 314.280 1.456 20 0 DDADMM CC(C)(Br)C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001144546534 772562317 /nfs/dbraw/zinc/56/23/17/772562317.db2.gz MSHMMDVNCKVOKL-UHFFFAOYSA-N -1 1 305.088 1.398 20 0 DDADMM COC(=O)c1cn2c(n1)CN(Cc1c(F)cc([O-])cc1F)CC2 ZINC001144561835 772565184 /nfs/dbraw/zinc/56/51/84/772565184.db2.gz OIWKXMTTWXBUNG-UHFFFAOYSA-N -1 1 323.299 1.669 20 0 DDADMM CCOC(=O)[C@@H]1C(=O)CCCN1Cc1cc(F)c([O-])cc1F ZINC001144667562 772589954 /nfs/dbraw/zinc/58/99/54/772589954.db2.gz WDVLKDPMICBOGT-AWEZNQCLSA-N -1 1 313.300 1.767 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc2sccc2c1 ZINC001146317240 772847452 /nfs/dbraw/zinc/84/74/52/772847452.db2.gz VEYBCRKIQRGQSJ-UHFFFAOYSA-N -1 1 317.374 1.245 20 0 DDADMM CCOc1cnc(NC(=O)c2c(CO)cnc(C)c2[O-])cn1 ZINC001147834065 773260818 /nfs/dbraw/zinc/26/08/18/773260818.db2.gz LZHKZCKRWBQMLW-UHFFFAOYSA-N -1 1 304.306 1.029 20 0 DDADMM COC(=O)c1ccccc1C(=O)NCCCC[P@](=O)([O-])O ZINC001148238656 773419850 /nfs/dbraw/zinc/41/98/50/773419850.db2.gz FCFWOXMEOHOTJQ-UHFFFAOYSA-N -1 1 315.262 1.161 20 0 DDADMM Cc1ccccc1-c1cc(NC(=O)CCc2nn[n-]n2)n(C)n1 ZINC001148996404 773654547 /nfs/dbraw/zinc/65/45/47/773654547.db2.gz GNDNCELPNDQALH-UHFFFAOYSA-N -1 1 311.349 1.480 20 0 DDADMM Cc1ccc(N[C@@H](C)CCNC(=O)c2ncccc2[O-])nn1 ZINC001099105189 774835554 /nfs/dbraw/zinc/83/55/54/774835554.db2.gz SYZNOSCTFNRYIN-JTQLQIEISA-N -1 1 301.350 1.506 20 0 DDADMM Cc1nsc(NCCNC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC001094157355 775500365 /nfs/dbraw/zinc/50/03/65/775500365.db2.gz PQTLHDYCUIWHJG-UHFFFAOYSA-N -1 1 320.378 1.061 20 0 DDADMM COC(=O)c1c(Cl)cc(C)nc1[N-]c1nccnc1CN ZINC001171445171 775874664 /nfs/dbraw/zinc/87/46/64/775874664.db2.gz WIFQVCXRJYRVTH-UHFFFAOYSA-N -1 1 307.741 1.822 20 0 DDADMM CN(C)C(=O)c1cccc(Nc2cc(=O)[n-]c(N(C)C)n2)c1 ZINC001174082727 777409298 /nfs/dbraw/zinc/40/92/98/777409298.db2.gz XHSMCZMVMFZYMC-UHFFFAOYSA-N -1 1 301.350 1.694 20 0 DDADMM CC(=O)c1ccccc1[N-]S(=O)(=O)c1ccc(O)c(N)c1 ZINC001175180153 777712405 /nfs/dbraw/zinc/71/24/05/777712405.db2.gz DYBCMGVYMNSUCV-UHFFFAOYSA-N -1 1 306.343 1.978 20 0 DDADMM CC(=O)c1ccc([N-]S(=O)(=O)c2ccc(N)c(N)c2)cc1F ZINC001175232985 777723636 /nfs/dbraw/zinc/72/36/36/777723636.db2.gz MIRSMDGHFAFQEY-UHFFFAOYSA-N -1 1 323.349 1.994 20 0 DDADMM COc1cccc(CCC(=O)NCCCC[P@](=O)([O-])O)n1 ZINC001175356518 777760444 /nfs/dbraw/zinc/76/04/44/777760444.db2.gz OAILFXUFUREKGF-UHFFFAOYSA-N -1 1 316.294 1.097 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2ccc(=O)[nH]c2)c1 ZINC001176130669 778058528 /nfs/dbraw/zinc/05/85/28/778058528.db2.gz IPCPXZVOTQOWTA-UHFFFAOYSA-N -1 1 309.303 1.503 20 0 DDADMM CCN(C(=O)Cc1nn[n-]n1)[C@@H](C)Cc1ccc(OC)cc1 ZINC001176838359 778268957 /nfs/dbraw/zinc/26/89/57/778268957.db2.gz VEGPZFYXZAJLDR-NSHDSACASA-N -1 1 303.366 1.231 20 0 DDADMM CSc1nc(NC(=O)C2(c3ccccn3)CC2)cc(=O)[n-]1 ZINC001176799418 778273075 /nfs/dbraw/zinc/27/30/75/778273075.db2.gz KSVWIXGWZQMOTI-UHFFFAOYSA-N -1 1 302.359 1.969 20 0 DDADMM O=C(Cc1nn[n-]n1)NCCSCc1ccccc1Cl ZINC001176844667 778299587 /nfs/dbraw/zinc/29/95/87/778299587.db2.gz DPBAVVLDSYZIKI-UHFFFAOYSA-N -1 1 311.798 1.445 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1ncc(Cl)cn1 ZINC001103069585 778617512 /nfs/dbraw/zinc/61/75/12/778617512.db2.gz XHUMGXVKNCPLPO-SNVBAGLBSA-N -1 1 321.768 1.851 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@H]1C[C@H]1c1cccs1 ZINC001177543893 778643931 /nfs/dbraw/zinc/64/39/31/778643931.db2.gz NHPXOHCGMIVWDP-RQJHMYQMSA-N -1 1 301.331 1.862 20 0 DDADMM CCCC[C@@H](C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001178621303 779116066 /nfs/dbraw/zinc/11/60/66/779116066.db2.gz HAWGIUJMFYFALI-WOPDTQHZSA-N -1 1 309.414 1.416 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[C@@H](c3cnccn3)C2)c1 ZINC001178845528 779197819 /nfs/dbraw/zinc/19/78/19/779197819.db2.gz PNUJEBBYOPTJBK-GFCCVEGCSA-N -1 1 311.341 1.933 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1nc2c([nH]1)CCCC2 ZINC001178922170 779228932 /nfs/dbraw/zinc/22/89/32/779228932.db2.gz IIQJZTDEAHJZGG-UHFFFAOYSA-N -1 1 319.390 1.311 20 0 DDADMM COc1ccccc1C=CC(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001180532478 779804178 /nfs/dbraw/zinc/80/41/78/779804178.db2.gz XSIPIALNERVJEJ-VOTSOKGWSA-N -1 1 311.301 1.719 20 0 DDADMM Cc1cc(C)cc(OCC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001180541522 779821370 /nfs/dbraw/zinc/82/13/70/779821370.db2.gz DKSNHZLYRHMTTD-UHFFFAOYSA-N -1 1 313.317 1.693 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@H]1CCCCN1Cc1ccncc1 ZINC001116735909 780551051 /nfs/dbraw/zinc/55/10/51/780551051.db2.gz JONDLSZNBNIUPD-HZPDHXFCSA-N -1 1 319.405 1.520 20 0 DDADMM CCCc1nc(C)c(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)o1 ZINC001118841256 781254296 /nfs/dbraw/zinc/25/42/96/781254296.db2.gz SUJRHROSGVHAIJ-UHFFFAOYSA-N -1 1 316.321 1.227 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC001119233464 781372260 /nfs/dbraw/zinc/37/22/60/781372260.db2.gz FWYDFNPBSALWBI-IWIIMEHWSA-N -1 1 308.765 1.577 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)CC(C)(C)C1CC1 ZINC001408554456 837244222 /nfs/dbraw/zinc/24/42/22/837244222.db2.gz PJTNQQLCYWQZFC-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM CN(CCNC(=O)[C@H]1CC[C@@H](F)C1)C(=O)c1ncccc1[O-] ZINC001408669928 838408331 /nfs/dbraw/zinc/40/83/31/838408331.db2.gz WEQGIVAJZZMNKW-WDEREUQCSA-N -1 1 309.341 1.114 20 0 DDADMM CCN(CCNC(=O)C1(F)CCCC1)C(=O)c1ncccc1[O-] ZINC001408762576 838700700 /nfs/dbraw/zinc/70/07/00/838700700.db2.gz CPUVMSBAPOYQJT-UHFFFAOYSA-N -1 1 323.368 1.648 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CCN(C(=O)c1ncccc1[O-])CC2 ZINC001269347023 841552138 /nfs/dbraw/zinc/55/21/38/841552138.db2.gz QAJCELCWYMEGOK-LLVKDONJSA-N -1 1 303.362 1.118 20 0 DDADMM NC(=O)C[C@H]1C[C@@H]2CN(C(=O)c3cc(Cl)ccc3[O-])C[C@@H]2O1 ZINC001269574000 841781201 /nfs/dbraw/zinc/78/12/01/841781201.db2.gz KEBJFMLDHXMPEG-JQEORGNBSA-N -1 1 324.764 1.151 20 0 DDADMM NC(=O)c1cc2c([nH]1)CN(C(=O)c1cc(Cl)ccc1[O-])CC2 ZINC001269573866 841781227 /nfs/dbraw/zinc/78/12/27/841781227.db2.gz HFJZREQKNSTGPF-UHFFFAOYSA-N -1 1 319.748 1.671 20 0 DDADMM NC(=O)c1[nH]nc2c1CN(C(=O)c1cc(Cl)ccc1[O-])C2 ZINC001269574033 841781347 /nfs/dbraw/zinc/78/13/47/841781347.db2.gz KZOHTGYJQIWIPK-UHFFFAOYSA-N -1 1 306.709 1.024 20 0 DDADMM O=C(Nc1n[nH]c(Cc2cccc(F)c2)n1)c1ccc([O-])cn1 ZINC001142812418 861269625 /nfs/dbraw/zinc/26/96/25/861269625.db2.gz PASGOIKNZIAZBH-UHFFFAOYSA-N -1 1 313.292 1.888 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ccccn2)cc1C ZINC001363934990 842870602 /nfs/dbraw/zinc/87/06/02/842870602.db2.gz POIDBVQXLNSWAP-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM O=S(=O)([N-][C@@H]1CC[C@H]1O)c1c(F)cccc1Br ZINC001364121181 843019366 /nfs/dbraw/zinc/01/93/66/843019366.db2.gz SBIURHYRQTVZSM-RKDXNWHRSA-N -1 1 324.171 1.390 20 0 DDADMM CO[C@H](C(=O)NCCCC[P@](=O)([O-])O)c1ccccc1 ZINC001143109529 861375815 /nfs/dbraw/zinc/37/58/15/861375815.db2.gz IICZDICOFYUPJD-LBPRGKRZSA-N -1 1 301.279 1.448 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4c(c3)OCCN4C)c1-2 ZINC001154719704 861389605 /nfs/dbraw/zinc/38/96/05/861389605.db2.gz AOTWFHKIDOKSNQ-UHFFFAOYSA-N -1 1 324.344 1.116 20 0 DDADMM NC(=O)C12CC([N-]C(=O)C(Cl)(Cl)C(F)(F)F)(C1)C2 ZINC001271525056 843676583 /nfs/dbraw/zinc/67/65/83/843676583.db2.gz HRXKBICQVHEFIV-UHFFFAOYSA-N -1 1 305.083 1.247 20 0 DDADMM CC[C@H](CNC(=O)[C@@H]1CC1(C)C)NC(=O)c1ncccc1[O-] ZINC001409258236 844722800 /nfs/dbraw/zinc/72/28/00/844722800.db2.gz VIROERKSGSMERN-MNOVXSKESA-N -1 1 305.378 1.458 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CO[C@@H](C)CC ZINC001409261978 844727749 /nfs/dbraw/zinc/72/77/49/844727749.db2.gz WYTDTUDKWNDCIG-NWDGAFQWSA-N -1 1 323.393 1.227 20 0 DDADMM O=C(N[C@H]1CCc2n[nH]cc2C1)c1ccc2cccnc2c1[O-] ZINC001149327135 861548622 /nfs/dbraw/zinc/54/86/22/861548622.db2.gz SJHOCSSKCGBKMK-LBPRGKRZSA-N -1 1 308.341 1.951 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000996924794 846865520 /nfs/dbraw/zinc/86/55/20/846865520.db2.gz BEPOJADQUZNFAB-VXGBXAGGSA-N -1 1 317.389 1.410 20 0 DDADMM CCC(CO)(CO)NC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149653288 861782798 /nfs/dbraw/zinc/78/27/98/861782798.db2.gz JCPVTBZRMUNJQY-UHFFFAOYSA-N -1 1 305.330 1.114 20 0 DDADMM O=C(NC1(CO)CCOCC1)c1cc2cccc(O)c2cc1[O-] ZINC001149654152 861786659 /nfs/dbraw/zinc/78/66/59/861786659.db2.gz WQGGXVLQVGRQAR-UHFFFAOYSA-N -1 1 317.341 1.522 20 0 DDADMM C[C@H](CNC(=O)CCCF)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001410211038 848903526 /nfs/dbraw/zinc/90/35/26/848903526.db2.gz YFYWNRBFVDIKPS-SECBINFHSA-N -1 1 324.356 1.044 20 0 DDADMM Cc1cc(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])ccn1 ZINC001410353981 849140377 /nfs/dbraw/zinc/14/03/77/849140377.db2.gz ACAKPJBVMICUQX-NSHDSACASA-N -1 1 314.345 1.039 20 0 DDADMM CC[C@@H](C)C[C@H](CO)[N-]S(=O)(=O)c1csnc1OC ZINC001364872171 849262104 /nfs/dbraw/zinc/26/21/04/849262104.db2.gz CZHRDBRVJILWGD-RKDXNWHRSA-N -1 1 308.425 1.227 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cc3ncccc3o2)c[n-]1 ZINC001150416706 862227138 /nfs/dbraw/zinc/22/71/38/862227138.db2.gz VAXLKLRBFHODHM-UHFFFAOYSA-N -1 1 300.274 1.980 20 0 DDADMM COC(=O)[C@@H]1C[C@H]1CNC(=O)c1ccc2ccc(C)nc2c1[O-] ZINC001155660040 862351754 /nfs/dbraw/zinc/35/17/54/862351754.db2.gz AHYKMDUSLXQAPB-WCQYABFASA-N -1 1 314.341 1.788 20 0 DDADMM Cc1[nH]c(CN2CCC[C@@]3(C2)C[N@@H+](C)CCO3)c(C)c1C(=O)[O-] ZINC001275277364 852951167 /nfs/dbraw/zinc/95/11/67/852951167.db2.gz YMZLZYXZAYGWRS-KRWDZBQOSA-N -1 1 321.421 1.626 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CCN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC001275361058 853016230 /nfs/dbraw/zinc/01/62/30/853016230.db2.gz MNRFBBHDXHDJNB-CYBMUJFWSA-N -1 1 320.364 1.862 20 0 DDADMM C[C@@H](NC(=O)c1ccc([O-])cn1)c1nnc2n1CCCCC2 ZINC001411621668 853474009 /nfs/dbraw/zinc/47/40/09/853474009.db2.gz KTTNROKWZUSDDG-SNVBAGLBSA-N -1 1 301.350 1.596 20 0 DDADMM O=C(c1ccc([O-])cn1)N(C[C@H]1CCCO1)[C@@H]1CCSC1 ZINC001411783023 853727618 /nfs/dbraw/zinc/72/76/18/853727618.db2.gz YFUYUVRLMRMVQZ-DGCLKSJQSA-N -1 1 308.403 1.914 20 0 DDADMM CCCC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CCC1 ZINC001411866931 853859665 /nfs/dbraw/zinc/85/96/65/853859665.db2.gz IQICAVYERPOBAI-CYBMUJFWSA-N -1 1 319.405 1.992 20 0 DDADMM CCO[C@H]1C[C@](O)(CNC(=O)c2cccc([O-])c2F)C1(C)C ZINC001412093721 854214289 /nfs/dbraw/zinc/21/42/89/854214289.db2.gz REYAQMCGEDQZIJ-LRDDRELGSA-N -1 1 311.353 1.827 20 0 DDADMM O=C(Nc1nccc(Br)c1[O-])c1cnncc1O ZINC001412406248 854511170 /nfs/dbraw/zinc/51/11/70/854511170.db2.gz QIXJTUCWCLOSKA-UHFFFAOYSA-N -1 1 311.095 1.298 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc([O-])cn1)c1cc(F)ccc1F ZINC001412488428 854615338 /nfs/dbraw/zinc/61/53/38/854615338.db2.gz LNHMJHXGSZKLJD-CYBMUJFWSA-N -1 1 322.267 1.710 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC001412564259 854728312 /nfs/dbraw/zinc/72/83/12/854728312.db2.gz ZCVIWHPKHMQFKG-VHSXEESVSA-N -1 1 313.350 1.038 20 0 DDADMM C[C@@H]1C[C@H](C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)[C@@H](C)O1 ZINC001412569497 854737324 /nfs/dbraw/zinc/73/73/24/854737324.db2.gz FONVMCKJRSZTIL-BREBYQMCSA-N -1 1 317.349 1.531 20 0 DDADMM NC(=O)CC1CC(NC(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC001412572906 854739842 /nfs/dbraw/zinc/73/98/42/854739842.db2.gz KICOPLUPJRGZAK-UHFFFAOYSA-N -1 1 317.320 1.463 20 0 DDADMM COc1ccc(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)cc1 ZINC001412696374 854974278 /nfs/dbraw/zinc/97/42/78/854974278.db2.gz MIYKCTZUGQRAKO-UHFFFAOYSA-N -1 1 317.345 1.520 20 0 DDADMM Cc1cnc(C(=O)NCC2(NC(=O)OC(C)(C)C)CC2)c([O-])c1 ZINC001412722615 855020765 /nfs/dbraw/zinc/02/07/65/855020765.db2.gz ODILSKXCAACDOQ-UHFFFAOYSA-N -1 1 321.377 1.883 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(F)c([O-])c2)C[C@H](C)S1(=O)=O ZINC001412929001 855792401 /nfs/dbraw/zinc/79/24/01/855792401.db2.gz BYHMVCIYARNLLP-GXSJLCMTSA-N -1 1 315.366 1.569 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)NC(=O)C1CCCC1)c1nn[n-]n1 ZINC001412939423 855795898 /nfs/dbraw/zinc/79/58/98/855795898.db2.gz YMKWKHNDBWPYGH-SNVBAGLBSA-N -1 1 322.413 1.026 20 0 DDADMM CCOC(=O)c1cc(C)cnc1NCC[N-]C(=O)C(F)(F)F ZINC001156202995 862810188 /nfs/dbraw/zinc/81/01/88/862810188.db2.gz BXJVMCJVLOSNDO-UHFFFAOYSA-N -1 1 319.283 1.657 20 0 DDADMM O=C([N-]CCNc1nc2[nH]cnc2cc1Cl)C(F)(F)F ZINC001156203045 862811294 /nfs/dbraw/zinc/81/12/94/862811294.db2.gz FCJFORNGYIRCML-UHFFFAOYSA-N -1 1 307.663 1.702 20 0 DDADMM O=C(NCc1cc(F)ccc1OC(F)F)c1cnncc1[O-] ZINC001413339028 856686839 /nfs/dbraw/zinc/68/68/39/856686839.db2.gz YBJSSBMXHRQCBK-UHFFFAOYSA-N -1 1 313.235 1.853 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCCC[C@H]1CN1CCCC1=O ZINC001413413530 856779892 /nfs/dbraw/zinc/77/98/92/856779892.db2.gz DCAMUTZKARBAOM-LBPRGKRZSA-N -1 1 303.362 1.404 20 0 DDADMM CCOC(=O)c1cc(C[N-]S(=O)(=O)c2ccc(C)o2)on1 ZINC001413416407 856782447 /nfs/dbraw/zinc/78/24/47/856782447.db2.gz FLGCFNQRSOFBET-UHFFFAOYSA-N -1 1 314.319 1.231 20 0 DDADMM CCn1cc(NC(=O)NCc2cc(=O)[n-]c(SC)n2)cn1 ZINC001413507165 856905097 /nfs/dbraw/zinc/90/50/97/856905097.db2.gz DIXDHUBBPODFFQ-UHFFFAOYSA-N -1 1 308.367 1.442 20 0 DDADMM Cc1csc(CCCC(=O)NC2(c3nn[n-]n3)CCC2)n1 ZINC001413512732 856914106 /nfs/dbraw/zinc/91/41/06/856914106.db2.gz ZQPBBJZOEUHZSW-UHFFFAOYSA-N -1 1 306.395 1.483 20 0 DDADMM COC[C@@H](NC(=O)c1sc(C(C)(C)C)nc1C)c1nn[n-]n1 ZINC001413554620 857057975 /nfs/dbraw/zinc/05/79/75/857057975.db2.gz OBHHOGNNTMSJSR-MRVPVSSYSA-N -1 1 324.410 1.380 20 0 DDADMM CC[C@H](Oc1ccccc1F)C(=O)N[C@@H](COC)c1nn[n-]n1 ZINC001413553598 857060977 /nfs/dbraw/zinc/06/09/77/857060977.db2.gz LZBWNIJYJBKHSZ-QWRGUYRKSA-N -1 1 323.328 1.000 20 0 DDADMM Cc1nc(C2CCN(C(=O)CCCc3nn[n-]n3)CC2)[nH]c1C ZINC001413592670 857199289 /nfs/dbraw/zinc/19/92/89/857199289.db2.gz WTWUEFGJXJAXMR-UHFFFAOYSA-N -1 1 317.397 1.269 20 0 DDADMM CCn1ccc(NC(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)n1 ZINC001413604393 857222355 /nfs/dbraw/zinc/22/23/55/857222355.db2.gz ZXJODOGKVVCRCD-UHFFFAOYSA-N -1 1 320.353 1.464 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](C)c1ncccc1F ZINC001156272725 862887073 /nfs/dbraw/zinc/88/70/73/862887073.db2.gz PAPUFNKOZKWKRQ-SSDOTTSWSA-N -1 1 308.338 1.804 20 0 DDADMM CS[C@@H](C)CCC(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001413664192 857303250 /nfs/dbraw/zinc/30/32/50/857303250.db2.gz LNMSSGZPUFBJSY-VIFPVBQESA-N -1 1 313.423 1.729 20 0 DDADMM C[C@H]1C[C@H](C)N(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)C1 ZINC001122081474 858679600 /nfs/dbraw/zinc/67/96/00/858679600.db2.gz FCJBUCFCYVLWOL-RYUDHWBXSA-N -1 1 324.392 1.741 20 0 DDADMM C[C@H]1C[C@H](C)N(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)C1 ZINC001122081474 858679602 /nfs/dbraw/zinc/67/96/02/858679602.db2.gz FCJBUCFCYVLWOL-RYUDHWBXSA-N -1 1 324.392 1.741 20 0 DDADMM Cc1ccc(-c2noc(-c3nc(Br)n[n-]3)n2)nc1 ZINC001213002316 863054407 /nfs/dbraw/zinc/05/44/07/863054407.db2.gz ZFUJZGWELBFZRA-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM Cc1ccc(-c2noc(-c3n[n-]c(Br)n3)n2)nc1 ZINC001213002316 863054423 /nfs/dbraw/zinc/05/44/23/863054423.db2.gz ZFUJZGWELBFZRA-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM CN(c1nnc(-c2noc(=O)[n-]2)n1CC1CC1)c1ccccc1 ZINC001123537753 859288752 /nfs/dbraw/zinc/28/87/52/859288752.db2.gz WIQWBGHUOALIRB-UHFFFAOYSA-N -1 1 312.333 1.799 20 0 DDADMM O=C(C=Cc1ccnc(Cl)c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123870103 859449073 /nfs/dbraw/zinc/44/90/73/859449073.db2.gz YHKFDAFSPBRZFX-JWXWKVPASA-N -1 1 304.741 1.277 20 0 DDADMM O=C(/C=C/Sc1ccccc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123869005 859447784 /nfs/dbraw/zinc/44/77/84/859447784.db2.gz KLALDVMEKHHUPM-DJYGCBNOSA-N -1 1 301.375 1.822 20 0 DDADMM O=C(CCc1c[nH]c2ccccc12)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123869828 859448114 /nfs/dbraw/zinc/44/81/14/859448114.db2.gz SPUDUQOVYSPUHJ-GFCCVEGCSA-N -1 1 310.361 1.630 20 0 DDADMM Cc1cc(F)ncc1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123999298 859518737 /nfs/dbraw/zinc/51/87/37/859518737.db2.gz SZFXNVJOKAXNOA-SNVBAGLBSA-N -1 1 304.329 1.137 20 0 DDADMM COC[C@H](C)OC[C@H](C)OC[C@@H](C)OCC[P@](=O)([O-])O ZINC001224603972 881466534 /nfs/dbraw/zinc/46/65/34/881466534.db2.gz CUYFYFKOSSWEJJ-TUAOUCFPSA-N -1 1 314.315 1.026 20 0 DDADMM COc1c(=O)[nH]c(=O)[nH]c1C(=O)Nc1ccc([O-])c(Cl)c1 ZINC001137674107 859961318 /nfs/dbraw/zinc/96/13/18/859961318.db2.gz FZVSBQRZANRNJK-UHFFFAOYSA-N -1 1 311.681 1.508 20 0 DDADMM CCOCCOCCN(C)C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001138285539 860051330 /nfs/dbraw/zinc/05/13/30/860051330.db2.gz LBDQDACKAHCVTP-UHFFFAOYSA-N -1 1 309.362 1.638 20 0 DDADMM O=C([N-]C1CCN(Cc2ccnc(F)c2)CC1)C(F)(F)F ZINC001139834734 860493756 /nfs/dbraw/zinc/49/37/56/860493756.db2.gz SHEWEBKWGUZURD-UHFFFAOYSA-N -1 1 305.275 1.864 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)c1cnc2[nH]c(=O)[nH]c2c1 ZINC001140657520 860668351 /nfs/dbraw/zinc/66/83/51/860668351.db2.gz UWXAXVSZJCGODV-UHFFFAOYSA-N -1 1 306.228 1.900 20 0 DDADMM CC[C@H]1COCCN1CCNC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001154234244 860905259 /nfs/dbraw/zinc/90/52/59/860905259.db2.gz AXIODDHAXIKBGP-AWEZNQCLSA-N -1 1 320.389 1.354 20 0 DDADMM O=C(CCc1nn[n-]n1)Oc1ccc(NC(=O)C2CC2)cc1 ZINC001141762730 860932548 /nfs/dbraw/zinc/93/25/48/860932548.db2.gz XAVLMRXPTLYJPF-UHFFFAOYSA-N -1 1 301.306 1.086 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1nccc2c[nH]nc21 ZINC001156478243 863084676 /nfs/dbraw/zinc/08/46/76/863084676.db2.gz AKBJCMLOQSLSGJ-UHFFFAOYSA-N -1 1 301.262 1.293 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cn2ccnc2s1 ZINC001156803934 863349662 /nfs/dbraw/zinc/34/96/62/863349662.db2.gz FIGARFHLJNAZSA-UHFFFAOYSA-N -1 1 306.303 1.731 20 0 DDADMM CC(C)(C)[C@H](CO)NC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681500 863489872 /nfs/dbraw/zinc/48/98/72/863489872.db2.gz NNVZAFFYABUOSZ-ZDUSSCGKSA-N -1 1 304.346 1.783 20 0 DDADMM C[C@@]1(NC(=O)c2cc(=O)c3cccc(O)c3[n-]2)CCCOC1 ZINC001152686013 863495589 /nfs/dbraw/zinc/49/55/89/863495589.db2.gz ZMVFHIPFDDCAGL-MRXNPFEDSA-N -1 1 302.330 1.945 20 0 DDADMM O=C(c1ncc(Cc2ccccc2)o1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001153000421 863645080 /nfs/dbraw/zinc/64/50/80/863645080.db2.gz DFAUIXZRNTZNEG-GFCCVEGCSA-N -1 1 324.344 1.408 20 0 DDADMM O=C(Nc1cnc2c(c1)COCC2)c1cnc2cccnc2c1[O-] ZINC001153854212 864162144 /nfs/dbraw/zinc/16/21/44/864162144.db2.gz QLMNTOLZMISYPH-UHFFFAOYSA-N -1 1 322.324 1.643 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC001330386813 864296562 /nfs/dbraw/zinc/29/65/62/864296562.db2.gz DWPBPCKBIZOLAV-SDDRHHMPSA-N -1 1 322.792 1.967 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC/C=C\C1CC1 ZINC001330461498 864367550 /nfs/dbraw/zinc/36/75/50/864367550.db2.gz OSDXPHCGAYSEHC-ARJAWSKDSA-N -1 1 300.362 1.677 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@@H]1C=CCCC1 ZINC001330567868 864456986 /nfs/dbraw/zinc/45/69/86/864456986.db2.gz NFHNUGWQLSNTIS-SNVBAGLBSA-N -1 1 304.350 1.163 20 0 DDADMM Cc1c(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)nnn1C(C)C ZINC001361496512 881766951 /nfs/dbraw/zinc/76/69/51/881766951.db2.gz DXCXIYPWJAMVJW-UHFFFAOYSA-N -1 1 316.365 1.794 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)NC(C1CC1)C1CC1 ZINC001332426987 865833136 /nfs/dbraw/zinc/83/31/36/865833136.db2.gz GGUHCVLKNWWHQS-UHFFFAOYSA-N -1 1 322.792 1.967 20 0 DDADMM Cc1cccc2ccnc(Nc3c(O)[nH]c(=O)[n-]c3=S)c12 ZINC001160857838 866153523 /nfs/dbraw/zinc/15/35/23/866153523.db2.gz JFTCZYSZBLILQJ-SNVBAGLBSA-N -1 1 300.343 1.491 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2ccc(C(=O)[O-])o2)C[C@H](C)O1 ZINC001333359686 866666959 /nfs/dbraw/zinc/66/69/59/866666959.db2.gz XRGZVIWYTOXJBC-PHIMTYICSA-N -1 1 310.350 1.207 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC001333383569 866692684 /nfs/dbraw/zinc/69/26/84/866692684.db2.gz NTORJOIYXMPSIR-OCCSQVGLSA-N -1 1 312.410 1.055 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001320738513 866995127 /nfs/dbraw/zinc/99/51/27/866995127.db2.gz YFXQPKNFUJLVMY-NWDGAFQWSA-N -1 1 323.441 1.521 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCS1 ZINC001324413913 867267920 /nfs/dbraw/zinc/26/79/20/867267920.db2.gz SZHNNYZJQMOPEG-SNVBAGLBSA-N -1 1 308.432 1.986 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CCc2cccnc2)[n-]1 ZINC001361649050 882085098 /nfs/dbraw/zinc/08/50/98/882085098.db2.gz QLSCRHWBPNOGIX-UHFFFAOYSA-N -1 1 315.329 1.970 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)CNCc2cccc(Cl)n2)c1[O-] ZINC001321489024 867623204 /nfs/dbraw/zinc/62/32/04/867623204.db2.gz PFRNHFFAZBKMLQ-MRVPVSSYSA-N -1 1 323.784 1.380 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CCCCC(C)(C)C ZINC001321855154 867836093 /nfs/dbraw/zinc/83/60/93/867836093.db2.gz GVGNVIZRDDAHOB-NSHDSACASA-N -1 1 311.430 1.711 20 0 DDADMM CSC[C@@H](NCc1cccnc1Br)C(=O)[O-] ZINC001334845650 867859766 /nfs/dbraw/zinc/85/97/66/867859766.db2.gz ZEMTZELUOQTNFC-MRVPVSSYSA-N -1 1 305.197 1.750 20 0 DDADMM CCC1(CCNc2nc(C)cc3c2C(=O)[N-]C3=O)OCCO1 ZINC001163357220 868390710 /nfs/dbraw/zinc/39/07/10/868390710.db2.gz SNAOORWYHKEGNS-UHFFFAOYSA-N -1 1 305.334 1.229 20 0 DDADMM CO[C@@H]1CCC[C@H]1C(=O)[N-]OC[C@@H](C)NC(=O)OC(C)(C)C ZINC001336420575 868935697 /nfs/dbraw/zinc/93/56/97/868935697.db2.gz PSSCVYQEGHLQAE-IJLUTSLNSA-N -1 1 316.398 1.763 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2C[C@@H](C)O[C@H]2C)[n-]c1Cl ZINC001361764534 882322628 /nfs/dbraw/zinc/32/26/28/882322628.db2.gz CPQNPDCKFQFENU-GJMOJQLCSA-N -1 1 315.757 1.992 20 0 DDADMM C[C@@H]1CN(c2nnc(Cc3nnn[n-]3)n2C)Cc2ccccc21 ZINC001338123160 869817477 /nfs/dbraw/zinc/81/74/77/869817477.db2.gz RRDOKACVWUNSMQ-SNVBAGLBSA-N -1 1 310.365 1.043 20 0 DDADMM C[C@@H]1CN(c2nnc(Cc3nn[n-]n3)n2C)Cc2ccccc21 ZINC001338123160 869817486 /nfs/dbraw/zinc/81/74/86/869817486.db2.gz RRDOKACVWUNSMQ-SNVBAGLBSA-N -1 1 310.365 1.043 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=Cc2nccs2)n[n-]1 ZINC001166125572 869847103 /nfs/dbraw/zinc/84/71/03/869847103.db2.gz AHKZSGKCNYTLOH-PULIVWKDSA-N -1 1 321.362 1.329 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=Cc2nccs2)[n-]1 ZINC001166125572 869847113 /nfs/dbraw/zinc/84/71/13/869847113.db2.gz AHKZSGKCNYTLOH-PULIVWKDSA-N -1 1 321.362 1.329 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C=Cc2nccs2)n1 ZINC001166125572 869847124 /nfs/dbraw/zinc/84/71/24/869847124.db2.gz AHKZSGKCNYTLOH-PULIVWKDSA-N -1 1 321.362 1.329 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccc(C=O)cc1)c1nn[n-]n1 ZINC001297488203 870072690 /nfs/dbraw/zinc/07/26/90/870072690.db2.gz UTQOYYGMSYYNRU-UHFFFAOYSA-N -1 1 301.350 1.386 20 0 DDADMM CN1[C@@H]2C[C@H](Oc3cc(=O)[n-]c(C(F)(F)F)n3)[C@H]1CC(=O)C2 ZINC001226345369 882373018 /nfs/dbraw/zinc/37/30/18/882373018.db2.gz AVLSAGCRPSJFPH-ZQARSLAVSA-N -1 1 317.267 1.384 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CC2CC1(c1ccccc1)C2 ZINC001338721577 870131324 /nfs/dbraw/zinc/13/13/24/870131324.db2.gz RXQLZFHQVCEDFZ-UHFFFAOYSA-N -1 1 308.349 1.121 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CC2CC1(c1ccccc1)C2 ZINC001338721577 870131327 /nfs/dbraw/zinc/13/13/27/870131327.db2.gz RXQLZFHQVCEDFZ-UHFFFAOYSA-N -1 1 308.349 1.121 20 0 DDADMM CSc1nc(Nc2ccc3c(c2)NC(=O)CO3)cc(=O)[n-]1 ZINC001203178714 870502348 /nfs/dbraw/zinc/50/23/48/870502348.db2.gz LPFDASVOLOIHAZ-UHFFFAOYSA-N -1 1 304.331 1.979 20 0 DDADMM Cn1c(-c2nc(Cl)n[n-]2)nnc1N1CCn2cccc2C1 ZINC001339537194 870545299 /nfs/dbraw/zinc/54/52/99/870545299.db2.gz NYWTVUMQUZZBJS-UHFFFAOYSA-N -1 1 304.745 1.075 20 0 DDADMM C[C@H]1[C@H](c2ccccc2)CCN1c1nnc(Cc2nnn[n-]2)n1C ZINC001339604003 870577200 /nfs/dbraw/zinc/57/72/00/870577200.db2.gz QHEFDGBRANTJQA-WCQYABFASA-N -1 1 324.392 1.301 20 0 DDADMM C[C@H]1[C@H](c2ccccc2)CCN1c1nnc(Cc2nn[n-]n2)n1C ZINC001339604003 870577206 /nfs/dbraw/zinc/57/72/06/870577206.db2.gz QHEFDGBRANTJQA-WCQYABFASA-N -1 1 324.392 1.301 20 0 DDADMM CSCCO[N-]C(=O)[C@@H]1CCCCN1Cc1cccnc1 ZINC001339688384 870633517 /nfs/dbraw/zinc/63/35/17/870633517.db2.gz JPNKJPUENBCKPU-AWEZNQCLSA-N -1 1 309.435 1.847 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NC2CC(N3CCOCC3)C2)c1 ZINC001299811427 870940660 /nfs/dbraw/zinc/94/06/60/870940660.db2.gz MYRWWMBFJSCRPE-UHFFFAOYSA-N -1 1 318.373 1.106 20 0 DDADMM COC1(C(F)(F)F)CN(C(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001304078354 871185725 /nfs/dbraw/zinc/18/57/25/871185725.db2.gz GONZKWZGKNQAIL-UHFFFAOYSA-N -1 1 317.263 1.916 20 0 DDADMM Cc1ccc(C(=O)[N-]n2ccccc2=O)c(Br)n1 ZINC001306820218 871359443 /nfs/dbraw/zinc/35/94/43/871359443.db2.gz PJERJIUTKDBROK-UHFFFAOYSA-N -1 1 308.135 1.698 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CCc2ccccc2CC1 ZINC001340827131 871375710 /nfs/dbraw/zinc/37/57/10/871375710.db2.gz ZSAMNDWANFWGNB-UHFFFAOYSA-N -1 1 324.392 1.007 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CCc2ccccc2CC1 ZINC001340827131 871375723 /nfs/dbraw/zinc/37/57/23/871375723.db2.gz ZSAMNDWANFWGNB-UHFFFAOYSA-N -1 1 324.392 1.007 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCc1ccc(=O)[nH]n1 ZINC001413831618 871381073 /nfs/dbraw/zinc/38/10/73/871381073.db2.gz HZSVTLUYLLEMKZ-UHFFFAOYSA-N -1 1 324.287 1.208 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)Nc1cccc(C(=O)N(C)c2nn[n-]n2)c1 ZINC001361901958 882607473 /nfs/dbraw/zinc/60/74/73/882607473.db2.gz DRZXZQXAOVBYFD-KWQFWETISA-N -1 1 300.322 1.071 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C(F)=C1CCCC1 ZINC001319440500 872630583 /nfs/dbraw/zinc/63/05/83/872630583.db2.gz CQYSJHWADBGQPD-SECBINFHSA-N -1 1 311.361 1.244 20 0 DDADMM Cn1cc(-c2ccc(/C=C/c3cc(=O)n4[n-]cnc4n3)cc2)cn1 ZINC001346401256 873735620 /nfs/dbraw/zinc/73/56/20/873735620.db2.gz LSFPFQCZSOAKDQ-QPJJXVBHSA-N -1 1 318.340 1.989 20 0 DDADMM CCc1nc(CCNC(=O)c2cnc(SC)[n-]c2=O)cs1 ZINC001361996308 882785964 /nfs/dbraw/zinc/78/59/64/882785964.db2.gz JJKGFFRIUXLKJI-UHFFFAOYSA-N -1 1 324.431 1.896 20 0 DDADMM COCCCn1nccc1CN1CCC(CCC(=O)[O-])CC1 ZINC001347295506 874089466 /nfs/dbraw/zinc/08/94/66/874089466.db2.gz DHTGQBKCLALSEA-UHFFFAOYSA-N -1 1 309.410 1.996 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1COc2ccccc2C1 ZINC001347336512 874104244 /nfs/dbraw/zinc/10/42/44/874104244.db2.gz VYSSUIJKQMLJRB-JTQLQIEISA-N -1 1 317.370 1.513 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2cnc(SC)[n-]c2=O)cc1 ZINC001362003582 882801160 /nfs/dbraw/zinc/80/11/60/882801160.db2.gz NTKUNATXKLTKKZ-UHFFFAOYSA-N -1 1 319.342 1.943 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2occ(C)c2C)c1 ZINC001347538819 874178701 /nfs/dbraw/zinc/17/87/01/874178701.db2.gz LGUISCMYXMNTNI-UHFFFAOYSA-N -1 1 324.358 1.762 20 0 DDADMM O=C(c1cnc2ccccc2c1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001347661557 874231707 /nfs/dbraw/zinc/23/17/07/874231707.db2.gz QEBALFHEWFQAQS-LBPRGKRZSA-N -1 1 322.372 1.843 20 0 DDADMM Cc1ccc2c(CC(=O)N3CC[C@H](c4nn[n-]n4)C3)coc2c1 ZINC001347974845 874324379 /nfs/dbraw/zinc/32/43/79/874324379.db2.gz PEUUDVQZWZDCLV-NSHDSACASA-N -1 1 311.345 1.813 20 0 DDADMM O=C(C=Cc1ccsc1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001348164892 874393534 /nfs/dbraw/zinc/39/35/34/874393534.db2.gz GVUZOSOPLINMQA-VSQXVHSFSA-N -1 1 303.391 1.756 20 0 DDADMM CCCC1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001209133624 874683653 /nfs/dbraw/zinc/68/36/53/874683653.db2.gz KYAHFHQBHNEVKF-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1)C1=CCCC1 ZINC001378348095 874731725 /nfs/dbraw/zinc/73/17/25/874731725.db2.gz ARDKRJXEFGBVGG-UHFFFAOYSA-N -1 1 305.382 1.139 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCNC(=O)[C@H]1c1cccs1 ZINC001362030835 882871378 /nfs/dbraw/zinc/87/13/78/882871378.db2.gz FTELQVQZEZBJDM-GFCCVEGCSA-N -1 1 303.343 1.162 20 0 DDADMM CC[C@@H](C)C(=O)NC[C@@H]1CCCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001378458588 874914899 /nfs/dbraw/zinc/91/48/99/874914899.db2.gz YGFSWLUCMYPPFA-OLZOCXBDSA-N -1 1 323.441 1.015 20 0 DDADMM CCC[C@@H](NC(=O)c1ccn(CC(F)(F)F)n1)c1nn[n-]n1 ZINC001362052213 882920648 /nfs/dbraw/zinc/92/06/48/882920648.db2.gz NSNWEYWTQJTTEB-SSDOTTSWSA-N -1 1 317.275 1.230 20 0 DDADMM CCC1CCC(NC(=O)CCCc2nn[n-]n2)(C(=O)OC)CC1 ZINC001362062832 882942681 /nfs/dbraw/zinc/94/26/81/882942681.db2.gz IEXQDXRLWNKFDO-UHFFFAOYSA-N -1 1 323.397 1.151 20 0 DDADMM O=C([N-]OCCN1CCCC1=O)[C@H](CCCO)c1ccccc1 ZINC001350092041 875532149 /nfs/dbraw/zinc/53/21/49/875532149.db2.gz XIGBWGLPVFUJAO-OAHLLOKOSA-N -1 1 320.389 1.213 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CCCC12CC2 ZINC001378777108 875613821 /nfs/dbraw/zinc/61/38/21/875613821.db2.gz LBKVYEBUWWWOJN-WDEREUQCSA-N -1 1 307.398 1.027 20 0 DDADMM CCS(=O)(=O)CCNCc1ccc(O[C@H](C)C(=O)[O-])cc1 ZINC001350621646 875789551 /nfs/dbraw/zinc/78/95/51/875789551.db2.gz NXGNFNFGJYVQQX-LLVKDONJSA-N -1 1 315.391 1.063 20 0 DDADMM COC(=O)c1cncc([N-]S(=O)(=O)c2ccc(N)cc2)c1 ZINC001211460349 875820512 /nfs/dbraw/zinc/82/05/12/875820512.db2.gz GVMOUFAIDIRKHK-UHFFFAOYSA-N -1 1 307.331 1.251 20 0 DDADMM Fc1cc(OCCN2CCOCC2)ccc1[N-]c1nnco1 ZINC001213478124 875948739 /nfs/dbraw/zinc/94/87/39/875948739.db2.gz QCTKLZSWQDKFCB-UHFFFAOYSA-N -1 1 308.313 1.663 20 0 DDADMM CC(C)[C@H](CNC(=O)CC(C)(C)C1CC1)NCc1n[nH]c(=O)[n-]1 ZINC001378954217 875983333 /nfs/dbraw/zinc/98/33/33/875983333.db2.gz FZTIRBFNCXHNRC-LBPRGKRZSA-N -1 1 323.441 1.567 20 0 DDADMM O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)c1ccc(F)c([O-])c1 ZINC001362079416 882982722 /nfs/dbraw/zinc/98/27/22/882982722.db2.gz NNNIYIQIFAMPJH-SECBINFHSA-N -1 1 320.242 1.424 20 0 DDADMM COC(=O)c1ncc2n1CCC[C@@H]2Oc1[n-]c(=S)ncc1F ZINC001227385591 882991496 /nfs/dbraw/zinc/99/14/96/882991496.db2.gz JUCAXMXPKFODCS-VIFPVBQESA-N -1 1 324.337 1.801 20 0 DDADMM COc1ccncc1-c1noc(-c2c[nH]c(=S)[n-]c2=O)n1 ZINC001213868451 876085879 /nfs/dbraw/zinc/08/58/79/876085879.db2.gz JAMVGRSKLAPFIT-UHFFFAOYSA-N -1 1 303.303 1.592 20 0 DDADMM COCCC[C@@H](C)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC001351855736 876468938 /nfs/dbraw/zinc/46/89/38/876468938.db2.gz BHTHPMTYNSVKLN-NEPJUHHUSA-N -1 1 323.393 1.917 20 0 DDADMM CC(C)(C)C(=O)NC[C@@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001362100808 883037881 /nfs/dbraw/zinc/03/78/81/883037881.db2.gz HNIITFYPDBQMKN-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM COC(=O)c1cc(Nc2cc(=O)[n-]c(N(C)C)n2)ccc1OC ZINC001215084965 876560557 /nfs/dbraw/zinc/56/05/57/876560557.db2.gz MIDIWXKTEBSPFL-UHFFFAOYSA-N -1 1 318.333 1.787 20 0 DDADMM COc1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c2ccccc2n1 ZINC001352586711 876816900 /nfs/dbraw/zinc/81/69/00/876816900.db2.gz UMSGRTOZYNCBMX-SNVBAGLBSA-N -1 1 324.344 1.386 20 0 DDADMM COCc1cc(OC)ccc1Nc1c(N)[nH]c(=S)[n-]c1=O ZINC001215977348 876832056 /nfs/dbraw/zinc/83/20/56/876832056.db2.gz DTJMYPCEABBFNY-UHFFFAOYSA-N -1 1 308.363 1.952 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@H](C)n2cccc2)n[n-]1 ZINC001413849666 876892388 /nfs/dbraw/zinc/89/23/88/876892388.db2.gz MWXYWNUIHZEUSQ-JTQLQIEISA-N -1 1 305.338 1.050 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H](C)n2cccc2)n1 ZINC001413849666 876892391 /nfs/dbraw/zinc/89/23/91/876892391.db2.gz MWXYWNUIHZEUSQ-JTQLQIEISA-N -1 1 305.338 1.050 20 0 DDADMM C[C@H]1CN(CCS(=O)(=O)CC(=O)[O-])CCc2ccccc21 ZINC000404716546 877066702 /nfs/dbraw/zinc/06/67/02/877066702.db2.gz QMGMIWXLEYUDCE-LBPRGKRZSA-N -1 1 311.403 1.148 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2[C@H](C)C(=O)N[C@H](C)[C@H]2C)c1 ZINC001300180038 877078701 /nfs/dbraw/zinc/07/87/01/877078701.db2.gz PEBROBXYRNWZEZ-GMTAPVOTSA-N -1 1 304.346 1.251 20 0 DDADMM CC/C=C(/C)C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001353565504 877421488 /nfs/dbraw/zinc/42/14/88/877421488.db2.gz QNOFILARKYVGKZ-UXXCWGJUSA-N -1 1 303.362 1.521 20 0 DDADMM Cc1nc(CN(C)C[C@@H](C)NC(=O)c2ncccc2[O-])cs1 ZINC001379656623 877668946 /nfs/dbraw/zinc/66/89/46/877668946.db2.gz JTEVRULFTXKBOP-SNVBAGLBSA-N -1 1 320.418 1.802 20 0 DDADMM Cc1cn2nc(C(=O)Nc3c([O-])cccc3F)cc2c(=O)[nH]1 ZINC001300488969 877699241 /nfs/dbraw/zinc/69/92/41/877699241.db2.gz NZNKSQVRWZTDPT-UHFFFAOYSA-N -1 1 302.265 1.428 20 0 DDADMM CCCCCC(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001354035697 877700444 /nfs/dbraw/zinc/70/04/44/877700444.db2.gz BFPHDFOVHJPJAS-JYAVWHMHSA-N -1 1 317.389 1.554 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CCCC(F)F)c1C(F)(F)F ZINC001354159311 877782343 /nfs/dbraw/zinc/78/23/43/877782343.db2.gz LBMOCQRJTDBAJU-UHFFFAOYSA-N -1 1 321.271 1.763 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@H](c2ccccc2)CC1 ZINC001355332763 878508166 /nfs/dbraw/zinc/50/81/66/878508166.db2.gz DCFWPGCIVFVRSM-ZDUSSCGKSA-N -1 1 324.392 1.769 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@H](c2ccccc2)CC1 ZINC001355332763 878508177 /nfs/dbraw/zinc/50/81/77/878508177.db2.gz DCFWPGCIVFVRSM-ZDUSSCGKSA-N -1 1 324.392 1.769 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N(Cc1ccccc1)C1CC1 ZINC001355464553 878568408 /nfs/dbraw/zinc/56/84/08/878568408.db2.gz WFYRZHVTQQJZOF-UHFFFAOYSA-N -1 1 310.365 1.088 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N(Cc1ccccc1)C1CC1 ZINC001355464553 878568420 /nfs/dbraw/zinc/56/84/20/878568420.db2.gz WFYRZHVTQQJZOF-UHFFFAOYSA-N -1 1 310.365 1.088 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1ccc(F)cc1 ZINC001356290723 878967887 /nfs/dbraw/zinc/96/78/87/878967887.db2.gz MVACJIRTJBKJMV-UHFFFAOYSA-N -1 1 302.317 1.254 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1ccc(F)cc1 ZINC001356290723 878967896 /nfs/dbraw/zinc/96/78/96/878967896.db2.gz MVACJIRTJBKJMV-UHFFFAOYSA-N -1 1 302.317 1.254 20 0 DDADMM CC1(C)CCC[C@@H]1[C@@H]1CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)C1 ZINC001357668934 880126444 /nfs/dbraw/zinc/12/64/44/880126444.db2.gz VKMIMCWVWDGESA-PBFPGSCMSA-N -1 1 324.421 1.104 20 0 DDADMM C=C/C(C)=C/CC(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358630531 880648076 /nfs/dbraw/zinc/64/80/76/880648076.db2.gz PZRWLKZCHSMLLI-UWUHSAQLSA-N -1 1 315.373 1.640 20 0 DDADMM CC(C)[C@H](C)C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001380932118 880686465 /nfs/dbraw/zinc/68/64/65/880686465.db2.gz PRPLGZAZUGWZSC-NEPJUHHUSA-N -1 1 307.394 1.656 20 0 DDADMM C/C=C(/C)C(=O)NC[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001358818831 880959633 /nfs/dbraw/zinc/95/96/33/880959633.db2.gz QJFSOTMGKLBWHK-IXNMTGGESA-N -1 1 317.389 1.722 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)Nc2ccnc(COC)n2)n[n-]1 ZINC001413892188 881328340 /nfs/dbraw/zinc/32/83/40/881328340.db2.gz MGQKIRMBKHDJND-MRVPVSSYSA-N -1 1 306.326 1.091 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)Nc2ccnc(COC)n2)[n-]1 ZINC001413892188 881328360 /nfs/dbraw/zinc/32/83/60/881328360.db2.gz MGQKIRMBKHDJND-MRVPVSSYSA-N -1 1 306.326 1.091 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)Nc2ccnc(COC)n2)n1 ZINC001413892188 881328376 /nfs/dbraw/zinc/32/83/76/881328376.db2.gz MGQKIRMBKHDJND-MRVPVSSYSA-N -1 1 306.326 1.091 20 0 DDADMM COC(=O)C[C@@H]1CSCCN1C(=O)c1cccc([O-])c1F ZINC001362137089 883129343 /nfs/dbraw/zinc/12/93/43/883129343.db2.gz GDLOFSNGRDVICJ-SECBINFHSA-N -1 1 313.350 1.652 20 0 DDADMM CCN(C(=O)c1ccc(F)c([O-])c1)[C@@H](C)CS(C)(=O)=O ZINC001362171366 883204120 /nfs/dbraw/zinc/20/41/20/883204120.db2.gz DFMSKSGDFFGJAI-VIFPVBQESA-N -1 1 303.355 1.427 20 0 DDADMM Cc1nc(N(C)C)sc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362209726 883306497 /nfs/dbraw/zinc/30/64/97/883306497.db2.gz QKQHDGFVWCOQNO-SECBINFHSA-N -1 1 321.410 1.050 20 0 DDADMM CCC[C@H](NC(=O)c1nc(Cl)sc1C)c1nn[n-]n1 ZINC001362212498 883311126 /nfs/dbraw/zinc/31/11/26/883311126.db2.gz YIHVKRSPSUNEHQ-LURJTMIESA-N -1 1 300.775 1.889 20 0 DDADMM CCOC(=O)N1CCC[C@@H](Oc2cc(=O)[n-]c(SC)n2)C1 ZINC001228091049 883329842 /nfs/dbraw/zinc/32/98/42/883329842.db2.gz SLBIJKSTMCLRRH-SECBINFHSA-N -1 1 313.379 1.904 20 0 DDADMM O=C(NC[C@@H](O)COc1cccc(F)c1)c1ccc([O-])cn1 ZINC001362244568 883393524 /nfs/dbraw/zinc/39/35/24/883393524.db2.gz LNTKCEIBHZEHMU-GFCCVEGCSA-N -1 1 306.293 1.096 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCCC[C@H]1c1ncon1 ZINC001362278680 883468926 /nfs/dbraw/zinc/46/89/26/883468926.db2.gz RJVXHEUERLWHQA-JTQLQIEISA-N -1 1 305.342 1.049 20 0 DDADMM C[C@H](c1ccccn1)N1CCC[C@@H](Oc2nc(O)cc(=O)[n-]2)C1 ZINC001228390236 883476534 /nfs/dbraw/zinc/47/65/34/883476534.db2.gz FHLNABBSNVLEMA-VXGBXAGGSA-N -1 1 316.361 1.887 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H]3COCC[C@H]32)c(=O)[n-]1 ZINC001362287709 883485465 /nfs/dbraw/zinc/48/54/65/883485465.db2.gz RIGNWQJVZWIYMY-GXSJLCMTSA-N -1 1 309.391 1.545 20 0 DDADMM COc1cc(NC(=O)CCCc2nn[n-]n2)ccc1OC(C)C ZINC001362318102 883551816 /nfs/dbraw/zinc/55/18/16/883551816.db2.gz FSIIJOIPZFUVHC-UHFFFAOYSA-N -1 1 319.365 1.957 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1Oc1cnnc(=S)[n-]1 ZINC001228639996 883586430 /nfs/dbraw/zinc/58/64/30/883586430.db2.gz OAGAQSYAXQLWFA-BDAKNGLRSA-N -1 1 312.395 1.795 20 0 DDADMM Cc1noc(C2(C)CCN(C(=O)c3ccc([O-])cn3)CC2)n1 ZINC001362333108 883586486 /nfs/dbraw/zinc/58/64/86/883586486.db2.gz HCELXHKZQHOVFE-UHFFFAOYSA-N -1 1 302.334 1.673 20 0 DDADMM CN(C(=O)OC(C)(C)C)[C@H]1C[C@H](Oc2cnnc(=S)[n-]2)C1 ZINC001228640767 883586868 /nfs/dbraw/zinc/58/68/68/883586868.db2.gz VAGAVTXTJHRJEO-KYZUINATSA-N -1 1 312.395 1.937 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)NC(=O)c1cnc(SC)[n-]c1=O ZINC001362352249 883627927 /nfs/dbraw/zinc/62/79/27/883627927.db2.gz JYZGXPILRPKREH-JGVFFNPUSA-N -1 1 313.379 1.222 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2ccc(F)c([O-])c2)nc1 ZINC001362376419 883672875 /nfs/dbraw/zinc/67/28/75/883672875.db2.gz QVZLXLLMTYIECN-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](O)Cc2ccccc2)c(=O)[n-]1 ZINC001362430479 883792610 /nfs/dbraw/zinc/79/26/10/883792610.db2.gz VFNXZTYCBQQAEB-LLVKDONJSA-N -1 1 319.386 1.238 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCOC[C@@H]1[C@@H]1CCCC1=O ZINC001362473592 883893495 /nfs/dbraw/zinc/89/34/95/883893495.db2.gz XFXJSOWYOUJQKN-WCQYABFASA-N -1 1 307.321 1.742 20 0 DDADMM CC[C@@H](C)CCC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001229287309 883908135 /nfs/dbraw/zinc/90/81/35/883908135.db2.gz LTYNHBMVIQFGMJ-JHJVBQTASA-N -1 1 323.441 1.854 20 0 DDADMM CCC[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccn1 ZINC001362496268 883938578 /nfs/dbraw/zinc/93/85/78/883938578.db2.gz GREUOOAQOXKLIZ-RYUDHWBXSA-N -1 1 302.382 1.741 20 0 DDADMM CO[C@@]1(CNC(=O)c2ccc(C(F)(F)F)c([O-])c2)CCOC1 ZINC001362498046 883944173 /nfs/dbraw/zinc/94/41/73/883944173.db2.gz KQNKBJFZWAGHDD-CYBMUJFWSA-N -1 1 319.279 1.946 20 0 DDADMM CCC[C@H](CC)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001229364921 883945307 /nfs/dbraw/zinc/94/53/07/883945307.db2.gz VQTMTTSTHXHAIP-YNEHKIRRSA-N -1 1 323.441 1.854 20 0 DDADMM C[C@H](OC1CCCCC1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362534956 884026600 /nfs/dbraw/zinc/02/66/00/884026600.db2.gz CNAIDHGXOVQBEQ-RYUDHWBXSA-N -1 1 307.398 1.644 20 0 DDADMM O=C(NC1CCN([C@H]2CCOC2=O)CC1)c1ccc([O-])c(F)c1 ZINC001362534517 884027309 /nfs/dbraw/zinc/02/73/09/884027309.db2.gz AEKFOVUORBHSEU-ZDUSSCGKSA-N -1 1 322.336 1.041 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC[C@@H](N2CCCC2=O)CC1 ZINC001362546886 884061240 /nfs/dbraw/zinc/06/12/40/884061240.db2.gz ACRJARACRUWWGI-GFCCVEGCSA-N -1 1 303.362 1.404 20 0 DDADMM O=C1[N-]C(=O)[C@@H](Cc2ccc(OC3COCOC3)cc2)S1 ZINC001229616536 884078990 /nfs/dbraw/zinc/07/89/90/884078990.db2.gz IYXHQKZDSJOMBD-GFCCVEGCSA-N -1 1 309.343 1.332 20 0 DDADMM COC(=O)C1(NC(=O)c2c([O-])cccc2Cl)CCOCC1 ZINC001362561358 884100579 /nfs/dbraw/zinc/10/05/79/884100579.db2.gz YKXLGNHFHHAZMW-UHFFFAOYSA-N -1 1 313.737 1.498 20 0 DDADMM COC[C@@]1(C)CCN(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC001362611491 884217007 /nfs/dbraw/zinc/21/70/07/884217007.db2.gz LNEKBYDFQCDHHX-AWEZNQCLSA-N -1 1 311.407 1.711 20 0 DDADMM CC(C)C(=O)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001362622483 884247370 /nfs/dbraw/zinc/24/73/70/884247370.db2.gz NENPKILGBPSVAV-UHFFFAOYSA-N -1 1 301.350 2.000 20 0 DDADMM COc1c[nH]nc1NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC001362630926 884271231 /nfs/dbraw/zinc/27/12/31/884271231.db2.gz AMGLODQZNKJNTB-UHFFFAOYSA-N -1 1 323.378 1.516 20 0 DDADMM COC(=O)C[C@H]1CCC[C@@H](Oc2nc(C)[n-]c(=O)c2OC)C1 ZINC001230024337 884283799 /nfs/dbraw/zinc/28/37/99/884283799.db2.gz FQKLHLXRILSUBA-WDEREUQCSA-N -1 1 310.350 2.000 20 0 DDADMM CNC(=O)[C@H](Cc1ccccc1)NC(=O)c1cccc([O-])c1F ZINC001362653294 884328717 /nfs/dbraw/zinc/32/87/17/884328717.db2.gz HRXKANUNVHCDEY-ZDUSSCGKSA-N -1 1 316.332 1.618 20 0 DDADMM CC(=O)N[C@@](C)(C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)C(C)C ZINC001362655379 884334178 /nfs/dbraw/zinc/33/41/78/884334178.db2.gz ONWPJQYWJOZRDT-OAHLLOKOSA-N -1 1 324.381 1.032 20 0 DDADMM COC(=O)c1c[n-]c(O[C@H](Cc2ccc(F)cc2)C(=O)OC)n1 ZINC001230279080 884405237 /nfs/dbraw/zinc/40/52/37/884405237.db2.gz BXMQEYJKYIHCTE-GFCCVEGCSA-N -1 1 322.292 1.499 20 0 DDADMM O=C(CCC1(O)CCC1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001362716989 884475083 /nfs/dbraw/zinc/47/50/83/884475083.db2.gz QPMPNJWISPHWAR-ZDUSSCGKSA-N -1 1 301.350 1.101 20 0 DDADMM CC(C)(C)OC(=O)CCCC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001362722933 884486482 /nfs/dbraw/zinc/48/64/82/884486482.db2.gz MVRVIUYMOXYMLJ-UHFFFAOYSA-N -1 1 311.338 1.016 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H](CO)c1ccccn1 ZINC001362750422 884548015 /nfs/dbraw/zinc/54/80/15/884548015.db2.gz ZCAKNVNLXANTEI-CYBMUJFWSA-N -1 1 306.749 1.833 20 0 DDADMM NC(=O)C[C@@H](NC(=O)c1ccc(F)c([O-])c1)c1ccccc1 ZINC001362761625 884577347 /nfs/dbraw/zinc/57/73/47/884577347.db2.gz RXUPDBVUGLXOKO-CYBMUJFWSA-N -1 1 302.305 1.878 20 0 DDADMM COC[C@@H](NC(=O)CCSc1ccc(C)cc1)c1nn[n-]n1 ZINC001362912491 884963297 /nfs/dbraw/zinc/96/32/97/884963297.db2.gz ZAXGRVMQZNEBFG-GFCCVEGCSA-N -1 1 321.406 1.494 20 0 DDADMM C[C@H](CNC(=O)CCC1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001382938988 884997211 /nfs/dbraw/zinc/99/72/11/884997211.db2.gz VSPMXSOEBPFRLY-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@H]1CC12CC2 ZINC001362967453 885109408 /nfs/dbraw/zinc/10/94/08/885109408.db2.gz FLWXWZYLCJXOIN-GUBZILKMSA-N -1 1 304.312 1.845 20 0 DDADMM CSCC[C@H](NC(=O)C1(CC(C)=O)CCC1)c1nn[n-]n1 ZINC001362996392 885181387 /nfs/dbraw/zinc/18/13/87/885181387.db2.gz AFLJSVQVGVRCCH-JTQLQIEISA-N -1 1 311.411 1.260 20 0 DDADMM CSCC[C@H](NC(=O)C1(c2cc(C)no2)CC1)c1nn[n-]n1 ZINC001362998808 885189873 /nfs/dbraw/zinc/18/98/73/885189873.db2.gz MTMAVCYNMWQMQW-VIFPVBQESA-N -1 1 322.394 1.138 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@H](C)c2ccco2)n[n-]1 ZINC001363045276 885317638 /nfs/dbraw/zinc/31/76/38/885317638.db2.gz GCSHELQFYMZKHP-VHSXEESVSA-N -1 1 320.349 1.945 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@H](C)c2ccco2)[n-]1 ZINC001363045276 885317658 /nfs/dbraw/zinc/31/76/58/885317658.db2.gz GCSHELQFYMZKHP-VHSXEESVSA-N -1 1 320.349 1.945 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@H](C)c2ccco2)n1 ZINC001363045276 885317676 /nfs/dbraw/zinc/31/76/76/885317676.db2.gz GCSHELQFYMZKHP-VHSXEESVSA-N -1 1 320.349 1.945 20 0 DDADMM CC(=O)CC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC001363052863 885340128 /nfs/dbraw/zinc/34/01/28/885340128.db2.gz RUOFQJFKBPGRSL-CYBMUJFWSA-N -1 1 313.361 1.555 20 0 DDADMM CN1CCO[C@H](COc2cccnc2-c2ncccc2[O-])C1=O ZINC001231204440 885370742 /nfs/dbraw/zinc/37/07/42/885370742.db2.gz QJXZEMCUIYNVOI-CYBMUJFWSA-N -1 1 315.329 1.085 20 0 DDADMM NC(=O)c1c[nH]nc1NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001363072116 885394029 /nfs/dbraw/zinc/39/40/29/885394029.db2.gz RPJLGDOCRLUQMN-UHFFFAOYSA-N -1 1 314.223 1.485 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(C(=O)Nc2c[n-][nH]c2=O)CC1 ZINC001363126407 885531068 /nfs/dbraw/zinc/53/10/68/885531068.db2.gz HJJIVGVHKQXTTJ-UHFFFAOYSA-N -1 1 310.354 1.701 20 0 DDADMM CCN(CCN1CCOCC1)Cc1cc(C(=O)[O-])ccc1F ZINC001231459064 885678217 /nfs/dbraw/zinc/67/82/17/885678217.db2.gz SHDRJMOEHAYXRP-UHFFFAOYSA-N -1 1 310.369 1.678 20 0 DDADMM O=C(CCCF)N[C@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001383432057 885774861 /nfs/dbraw/zinc/77/48/61/885774861.db2.gz IHCHZDRHLKUEFS-NWDGAFQWSA-N -1 1 323.368 1.694 20 0 DDADMM COc1cncc(NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)n1 ZINC001363255764 885833383 /nfs/dbraw/zinc/83/33/83/885833383.db2.gz NWOSAPUYBRWRDM-UHFFFAOYSA-N -1 1 317.349 1.839 20 0 DDADMM COc1ccc(CN2CCc3nncn3CC2)cc1C(=O)[O-] ZINC001231750523 885853858 /nfs/dbraw/zinc/85/38/58/885853858.db2.gz JHYDKCXSAXMEJG-UHFFFAOYSA-N -1 1 302.334 1.043 20 0 DDADMM COc1ccc(CN2CCC3(CC(=O)CO3)CC2)cc1C(=O)[O-] ZINC001231750888 885855149 /nfs/dbraw/zinc/85/51/49/885855149.db2.gz OCXCYYYJAODXFC-UHFFFAOYSA-N -1 1 319.357 1.717 20 0 DDADMM CCN(CCN1CCOCC1)Cc1ccccc1OCC(=O)[O-] ZINC001231797969 885883816 /nfs/dbraw/zinc/88/38/16/885883816.db2.gz ZQMDRRDPFKKENX-UHFFFAOYSA-N -1 1 322.405 1.304 20 0 DDADMM CCO[C@H](C)c1ncc(C(=O)NC2(c3nn[n-]n3)CCC2)s1 ZINC001363276099 885886063 /nfs/dbraw/zinc/88/60/63/885886063.db2.gz CQBHYXPXRGRDMQ-MRVPVSSYSA-N -1 1 322.394 1.563 20 0 DDADMM Cc1noc(Cl)c1CCC(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363304907 885967267 /nfs/dbraw/zinc/96/72/67/885967267.db2.gz BOWBCFYTGUEKEZ-QMMMGPOBSA-N -1 1 310.745 1.098 20 0 DDADMM O=C(Cc1cccc(C(F)F)c1)NCc1nc([O-])cc(=O)[nH]1 ZINC001363322917 886022084 /nfs/dbraw/zinc/02/20/84/886022084.db2.gz HEQHHNIWZJYPOJ-UHFFFAOYSA-N -1 1 309.272 1.684 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H](C)C(C)C ZINC001363349726 886100335 /nfs/dbraw/zinc/10/03/35/886100335.db2.gz JNUAZBPHXCOPCS-MNOVXSKESA-N -1 1 308.382 1.937 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H](C)C(C)C ZINC001363349726 886100350 /nfs/dbraw/zinc/10/03/50/886100350.db2.gz JNUAZBPHXCOPCS-MNOVXSKESA-N -1 1 308.382 1.937 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H](C)C(C)C ZINC001363349726 886100362 /nfs/dbraw/zinc/10/03/62/886100362.db2.gz JNUAZBPHXCOPCS-MNOVXSKESA-N -1 1 308.382 1.937 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C(C)(C)c1nccs1 ZINC001363352664 886108320 /nfs/dbraw/zinc/10/83/20/886108320.db2.gz BETVRRZZFZTLAW-UHFFFAOYSA-N -1 1 308.411 1.619 20 0 DDADMM O=C(N[C@H]1Cc2cccnc2NC1=O)c1ccc([O-])cc1F ZINC001363366185 886143605 /nfs/dbraw/zinc/14/36/05/886143605.db2.gz YTFAWNGIDUGHBG-LBPRGKRZSA-N -1 1 301.277 1.220 20 0 DDADMM O=C(NCc1cc(=O)[nH]c(C2CCC2)n1)c1ccc([O-])cn1 ZINC001363497934 886492163 /nfs/dbraw/zinc/49/21/63/886492163.db2.gz BDWBQFXCNOENIB-UHFFFAOYSA-N -1 1 300.318 1.480 20 0 DDADMM COc1ccc2c(n1)N(C)CCN2C(=O)c1ccc([O-])cn1 ZINC001363531296 886564620 /nfs/dbraw/zinc/56/46/20/886564620.db2.gz IWXJIPZKMFELIS-UHFFFAOYSA-N -1 1 300.318 1.287 20 0 DDADMM O=C1CN(Cc2cccc([O-])c2Cl)CCN1C1CCOCC1 ZINC001232788316 886585499 /nfs/dbraw/zinc/58/54/99/886585499.db2.gz MPNJKFWSMUZROU-UHFFFAOYSA-N -1 1 324.808 1.869 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C1(c2ccccn2)CC1 ZINC001363549066 886611163 /nfs/dbraw/zinc/61/11/63/886611163.db2.gz WWMAUCKMHLFHAS-UHFFFAOYSA-N -1 1 300.366 1.311 20 0 DDADMM O=C(N[C@@H](CO)[C@@H](O)c1ccccn1)c1ccc(Cl)cc1[O-] ZINC001363564018 886645293 /nfs/dbraw/zinc/64/52/93/886645293.db2.gz SJVBSAISRSTRQG-JSGCOSHPSA-N -1 1 322.748 1.265 20 0 DDADMM C[C@@H]1CO[C@H](C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)C1 ZINC001363643063 886862003 /nfs/dbraw/zinc/86/20/03/886862003.db2.gz PXEWRYYLZUVSGW-NAKRPEOUSA-N -1 1 322.327 1.469 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cnn(C2CCC2)c1 ZINC001363686402 886976844 /nfs/dbraw/zinc/97/68/44/886976844.db2.gz XSUDNYVXHNYRAF-UHFFFAOYSA-N -1 1 315.333 1.077 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C)c2nc(C(F)(F)F)n[nH]2)c([O-])c1 ZINC001363717836 887057524 /nfs/dbraw/zinc/05/75/24/887057524.db2.gz WVDMKFZABZHIRH-ZCFIWIBFSA-N -1 1 315.255 1.724 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H](C)N2CCCCC2)sn1 ZINC001363747962 887130908 /nfs/dbraw/zinc/13/09/08/887130908.db2.gz DKIXOPIDVLWRFB-SNVBAGLBSA-N -1 1 319.452 1.304 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@H](C)C[C@H](C)C2)[n-]n1 ZINC001363766967 887171285 /nfs/dbraw/zinc/17/12/85/887171285.db2.gz IKNNGMDTANININ-MYJAWHEDSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@H](C)C[C@H](C)C2)n[n-]1 ZINC001363766967 887171295 /nfs/dbraw/zinc/17/12/95/887171295.db2.gz IKNNGMDTANININ-MYJAWHEDSA-N -1 1 315.395 1.299 20 0 DDADMM CC(C)(C)[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CCC1 ZINC001384325751 887262691 /nfs/dbraw/zinc/26/26/91/887262691.db2.gz BOCILROCKZPLJF-ZDUSSCGKSA-N -1 1 319.405 1.848 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@H](C)Oc1ccccc1 ZINC001233760232 887288932 /nfs/dbraw/zinc/28/89/32/887288932.db2.gz VTYCUKGTKVDBBC-JTQLQIEISA-N -1 1 304.302 1.815 20 0 DDADMM CC[C@H](C)Oc1cc(C(=O)NC2(c3nn[n-]n3)CCC2)ccn1 ZINC001363822684 887320016 /nfs/dbraw/zinc/32/00/16/887320016.db2.gz SGGWZNRMJNCELY-JTQLQIEISA-N -1 1 316.365 1.581 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](CO)CC2CCCC2)sn1 ZINC001363867301 887429163 /nfs/dbraw/zinc/42/91/63/887429163.db2.gz WTHJZHHGFVKYPC-SNVBAGLBSA-N -1 1 320.436 1.371 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H]2C(C)C)[n-]n1 ZINC001363969746 887637514 /nfs/dbraw/zinc/63/75/14/887637514.db2.gz VIUZNWZYLXKHQP-SNVBAGLBSA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H]2C(C)C)n[n-]1 ZINC001363969746 887637523 /nfs/dbraw/zinc/63/75/23/887637523.db2.gz VIUZNWZYLXKHQP-SNVBAGLBSA-N -1 1 301.368 1.005 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@H]1CC12CCC2 ZINC001363973386 887644235 /nfs/dbraw/zinc/64/42/35/887644235.db2.gz YFIKSBUGVDSUEK-GHMZBOCLSA-N -1 1 318.377 1.835 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@H]1CC12CCC2 ZINC001363973386 887644248 /nfs/dbraw/zinc/64/42/48/887644248.db2.gz YFIKSBUGVDSUEK-GHMZBOCLSA-N -1 1 318.377 1.835 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@H]1CC12CCC2 ZINC001363973386 887644257 /nfs/dbraw/zinc/64/42/57/887644257.db2.gz YFIKSBUGVDSUEK-GHMZBOCLSA-N -1 1 318.377 1.835 20 0 DDADMM O=C1Nc2ccccc2CC[C@@H]1[N-]S(=O)(=O)Cc1ccno1 ZINC001363998409 887695737 /nfs/dbraw/zinc/69/57/37/887695737.db2.gz LXZHYEQAZHVWQP-ZDUSSCGKSA-N -1 1 321.358 1.048 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C2CC2)C(C)(C)CO)sn1 ZINC001364006591 887710571 /nfs/dbraw/zinc/71/05/71/887710571.db2.gz NOSLPHHYZXKXPV-LLVKDONJSA-N -1 1 320.436 1.227 20 0 DDADMM COC[C@H](NC(=O)[C@@H](C)CCc1ccccc1F)c1nn[n-]n1 ZINC001364025445 887747154 /nfs/dbraw/zinc/74/71/54/887747154.db2.gz HZUVBERXDGCLDD-GWCFXTLKSA-N -1 1 321.356 1.411 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CO[C@@H]2CCCC[C@@H]2C)n[n-]1 ZINC001364060557 887826011 /nfs/dbraw/zinc/82/60/11/887826011.db2.gz HRFPQINAQJJTNX-WDEREUQCSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CO[C@@H]2CCCC[C@@H]2C)n1 ZINC001364060557 887826017 /nfs/dbraw/zinc/82/60/17/887826017.db2.gz HRFPQINAQJJTNX-WDEREUQCSA-N -1 1 324.381 1.193 20 0 DDADMM CC(C)C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001384704217 887922213 /nfs/dbraw/zinc/92/22/13/887922213.db2.gz RNXSZMVKJUYBAM-OLZOCXBDSA-N -1 1 319.405 1.658 20 0 DDADMM COc1cc(Br)cc(CNC(=O)c2cnn[nH]2)c1 ZINC001364120301 887964176 /nfs/dbraw/zinc/96/41/76/887964176.db2.gz VJJZALGOSMNBMH-UHFFFAOYSA-N -1 1 311.139 1.506 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H](Oc2c(=O)[n-]c(C)nc2C(N)=O)CC1 ZINC001234479243 888014042 /nfs/dbraw/zinc/01/40/42/888014042.db2.gz YDMYVEGJLPJPNV-MGCOHNPYSA-N -1 1 323.349 1.090 20 0 DDADMM COC(=O)C[C@@H](C)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001364155981 888040718 /nfs/dbraw/zinc/04/07/18/888040718.db2.gz PGLRZNSCZDWZDQ-SNVBAGLBSA-N -1 1 318.333 1.651 20 0 DDADMM CCOC(=O)[C@@H](CC)Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O ZINC001234565062 888104792 /nfs/dbraw/zinc/10/47/92/888104792.db2.gz KBPKLYHILSWURC-MRVPVSSYSA-N -1 1 311.338 1.125 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)CCC1CC1 ZINC001384862945 888123025 /nfs/dbraw/zinc/12/30/25/888123025.db2.gz FSMMFDCTKMWGJV-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM CCCCOC(=O)[C@H](C)Oc1[n-]c(=O)c(F)cc1C(=O)OC ZINC001234608952 888136459 /nfs/dbraw/zinc/13/64/59/888136459.db2.gz NRAOZDJKOUPJKL-QMMMGPOBSA-N -1 1 315.297 1.824 20 0 DDADMM CCCCOC(=O)[C@@H](C)Oc1[n-]c(=O)c(F)cc1C(=O)OC ZINC001234608950 888137392 /nfs/dbraw/zinc/13/73/92/888137392.db2.gz NRAOZDJKOUPJKL-MRVPVSSYSA-N -1 1 315.297 1.824 20 0 DDADMM O=C(CC1CCC1)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001384936543 888236321 /nfs/dbraw/zinc/23/63/21/888236321.db2.gz WASHWSZWLMGRNL-BETUJISGSA-N -1 1 317.389 1.602 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)[C@H]2CCC(=O)[C@@H](C)C2)n[nH]1 ZINC001364290042 888307483 /nfs/dbraw/zinc/30/74/83/888307483.db2.gz QYHGPNRZHBQNBI-UWVGGRQHSA-N -1 1 307.350 1.919 20 0 DDADMM CC(C)c1noc(NC(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC001364369172 888474217 /nfs/dbraw/zinc/47/42/17/888474217.db2.gz BESJHMUDIDKZIZ-UHFFFAOYSA-N -1 1 306.330 1.118 20 0 DDADMM Cc1nc(C2CC2)ccc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001364485560 888743619 /nfs/dbraw/zinc/74/36/19/888743619.db2.gz QJAUTCBNGGIXMA-UHFFFAOYSA-N -1 1 321.362 1.041 20 0 DDADMM Cc1nc(C2CC2)ccc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001364485560 888743631 /nfs/dbraw/zinc/74/36/31/888743631.db2.gz QJAUTCBNGGIXMA-UHFFFAOYSA-N -1 1 321.362 1.041 20 0 DDADMM CN1c2ccc(C(=O)Nc3ccncc3[O-])cc2C(C)(C)C1=O ZINC001364488755 888750211 /nfs/dbraw/zinc/75/02/11/888750211.db2.gz BPQZXYFEAYUVNE-UHFFFAOYSA-N -1 1 311.341 1.715 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)c2cnccc2C)sn1 ZINC001364808595 889459673 /nfs/dbraw/zinc/45/96/73/889459673.db2.gz ZHWIWDDKBSJWLV-SECBINFHSA-N -1 1 313.404 1.895 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC2(C1)OCCO2)c1cccc(F)c1F ZINC001364809815 889461357 /nfs/dbraw/zinc/46/13/57/889461357.db2.gz NNLHQFOUCWDKKP-SECBINFHSA-N -1 1 319.329 1.539 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1C[C@@H]1c1ccccc1 ZINC001364837215 889514731 /nfs/dbraw/zinc/51/47/31/889514731.db2.gz ZUGLALKYCHRRKP-MNOVXSKESA-N -1 1 310.400 1.986 20 0 DDADMM CC(C)[C@H](F)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001385701979 889599483 /nfs/dbraw/zinc/59/94/83/889599483.db2.gz IGFHBIXZAKPKIF-AAEUAGOBSA-N -1 1 323.368 1.406 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C2CC3(C2)CO[C@H](C)C3)sn1 ZINC001364949504 889739954 /nfs/dbraw/zinc/73/99/54/889739954.db2.gz OUMJBHBMQFKEJM-YDNLMGDJSA-N -1 1 318.420 1.388 20 0 DDADMM O=S(=O)([N-]C[C@H]1OCc2ccccc21)c1c[nH]nc1Cl ZINC001364988869 889826611 /nfs/dbraw/zinc/82/66/11/889826611.db2.gz JKWRPURXLPPILL-SNVBAGLBSA-N -1 1 313.766 1.613 20 0 DDADMM COC(=O)c1cc(C2CN(Cc3ccc([O-])c(F)c3F)C2)[nH]n1 ZINC001365009354 889886154 /nfs/dbraw/zinc/88/61/54/889886154.db2.gz SOJBAAUSZHOILU-UHFFFAOYSA-N -1 1 323.299 1.780 20 0 DDADMM COC(=O)c1c(C)cc(NC(=O)c2n[nH]c(C)c2[O-])nc1C ZINC001365161808 890228397 /nfs/dbraw/zinc/22/83/97/890228397.db2.gz ZXECGIJKUNDOKQ-UHFFFAOYSA-N -1 1 304.306 1.474 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Nc2ccon2)[n-]c1=O ZINC001365161581 890228673 /nfs/dbraw/zinc/22/86/73/890228673.db2.gz UFWDAARZIFWPJW-MRVPVSSYSA-N -1 1 305.294 1.548 20 0 DDADMM C[C@@H]1[C@@H](CO)CCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC001365263301 890457377 /nfs/dbraw/zinc/45/73/77/890457377.db2.gz BOKOLNLQHWHGHX-NXEZZACHSA-N -1 1 314.332 1.625 20 0 DDADMM C[C@H]1[C@@H](CO)CCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC001365263312 890457529 /nfs/dbraw/zinc/45/75/29/890457529.db2.gz BOKOLNLQHWHGHX-VHSXEESVSA-N -1 1 314.332 1.625 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC2CCC(F)CC2)[n-]n1 ZINC001365437480 890797463 /nfs/dbraw/zinc/79/74/63/890797463.db2.gz UNAPZHLOUMWNQP-UHFFFAOYSA-N -1 1 319.358 1.003 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC2CCC(F)CC2)n[n-]1 ZINC001365437480 890797478 /nfs/dbraw/zinc/79/74/78/890797478.db2.gz UNAPZHLOUMWNQP-UHFFFAOYSA-N -1 1 319.358 1.003 20 0 DDADMM Cn1ccnc(NC(=O)NCCc2c(F)cc([O-])cc2F)c1=O ZINC001365493253 890910207 /nfs/dbraw/zinc/91/02/07/890910207.db2.gz JEDZKCLLBKOZSQ-UHFFFAOYSA-N -1 1 324.287 1.128 20 0 DDADMM CC(C)CN1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1O)O2 ZINC001365612214 891158006 /nfs/dbraw/zinc/15/80/06/891158006.db2.gz VWNAAHFOBWIATD-ZDUSSCGKSA-N -1 1 319.405 1.406 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC2(n3cccn3)CC2)c1 ZINC001365742506 891436168 /nfs/dbraw/zinc/43/61/68/891436168.db2.gz ZDVNTQFTQGPPJF-UHFFFAOYSA-N -1 1 323.374 1.065 20 0 DDADMM C[C@H](CC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-])C1CC1 ZINC001386738850 891602945 /nfs/dbraw/zinc/60/29/45/891602945.db2.gz DYRFXFMVHYCCRH-MNOVXSKESA-N -1 1 305.378 1.458 20 0 DDADMM CCC1(C(=O)NCC[C@@H](C)NC(=O)c2ncccc2[O-])CCC1 ZINC001386741533 891608120 /nfs/dbraw/zinc/60/81/20/891608120.db2.gz LRSYMORGYIFVFD-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM NCc1ncc(-c2ccc(NC(=O)CCC(=O)[O-])cc2)cn1 ZINC001244033191 891781521 /nfs/dbraw/zinc/78/15/21/891781521.db2.gz BBOUFRRYGGHYNH-UHFFFAOYSA-N -1 1 300.318 1.406 20 0 DDADMM CN1CCN(c2nccc(-c3cnc(F)c(C(=O)[O-])c3)n2)CC1 ZINC001244753774 891906044 /nfs/dbraw/zinc/90/60/44/891906044.db2.gz VPFSZJBIZYMQLS-UHFFFAOYSA-N -1 1 317.324 1.128 20 0 DDADMM COc1ncc(-c2cnc(C)c(C)n2)cc1[N-]S(C)(=O)=O ZINC001244791123 891924964 /nfs/dbraw/zinc/92/49/64/891924964.db2.gz VKDTWBCODHHNIN-UHFFFAOYSA-N -1 1 308.363 1.536 20 0 DDADMM COc1ncc(-c2nc(C)ncc2F)cc1[N-]S(C)(=O)=O ZINC001244790612 891925127 /nfs/dbraw/zinc/92/51/27/891925127.db2.gz QJAPZIYNCRARPE-UHFFFAOYSA-N -1 1 312.326 1.366 20 0 DDADMM COc1ncc(-c2ccc(=S)[nH]c2)cc1[N-]S(C)(=O)=O ZINC001244793174 891927378 /nfs/dbraw/zinc/92/73/78/891927378.db2.gz CWQFTSJBCUSVNX-UHFFFAOYSA-N -1 1 311.388 1.812 20 0 DDADMM COc1ncc(-c2ccnc(N(C)C)c2)cc1[N-]S(C)(=O)=O ZINC001244794741 891931437 /nfs/dbraw/zinc/93/14/37/891931437.db2.gz RYKLOQRJZXHJMU-UHFFFAOYSA-N -1 1 322.390 1.590 20 0 DDADMM O=C1Cc2cc(-c3ccc4c(c3)OCC(=O)N4)ccc2C(=O)[N-]1 ZINC001245458403 892131344 /nfs/dbraw/zinc/13/13/44/892131344.db2.gz MDWZMNGNNKTBQE-UHFFFAOYSA-N -1 1 308.293 1.497 20 0 DDADMM O=c1cc(-c2ccc(N3CCOCC3)cc2)[n-]n1CCCCO ZINC001245940761 892277562 /nfs/dbraw/zinc/27/75/62/892277562.db2.gz AVEPKXUGHMAIRI-UHFFFAOYSA-N -1 1 317.389 1.865 20 0 DDADMM C[C@H]1[C@H](CO)CCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001366262638 892931300 /nfs/dbraw/zinc/93/13/00/892931300.db2.gz JRAXZQRRIKIPJM-RYUDHWBXSA-N -1 1 316.361 1.813 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])C(=O)C1(C)CCC1 ZINC001387416469 893051337 /nfs/dbraw/zinc/05/13/37/893051337.db2.gz PGKWKBYWYSXDFF-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM C[C@H](CNC(=O)CCCC1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001366374244 893360359 /nfs/dbraw/zinc/36/03/59/893360359.db2.gz YTVLJQNMKSZYHQ-GFCCVEGCSA-N -1 1 323.441 1.855 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2cc3cccnc3[nH]2)ccc1O ZINC001248715345 893678237 /nfs/dbraw/zinc/67/82/37/893678237.db2.gz DYFWAHPVRLJNCF-UHFFFAOYSA-N -1 1 304.331 1.652 20 0 DDADMM CN(C)C(=O)CCN(C)Cc1ccc(F)c(C(=O)[O-])c1F ZINC001249775525 894124059 /nfs/dbraw/zinc/12/40/59/894124059.db2.gz BAQJZRGZBVQJOU-UHFFFAOYSA-N -1 1 300.305 1.573 20 0 DDADMM CCOC(=O)c1nnsc1[N-]c1ccc(C(=O)OC)c(N)c1 ZINC001250334547 894239002 /nfs/dbraw/zinc/23/90/02/894239002.db2.gz LGZVTRCXTWNOPM-UHFFFAOYSA-N -1 1 322.346 1.827 20 0 DDADMM COCCn1c2cccc(F)c2nc1-c1c([O-])nc[nH]c1=O ZINC001250874989 894481250 /nfs/dbraw/zinc/48/12/50/894481250.db2.gz URWSAJJTGFUDDD-UHFFFAOYSA-N -1 1 304.281 1.690 20 0 DDADMM O=P([O-])([O-])CC[NH2+]C1CCN(c2ccc(F)cc2)CC1 ZINC001254614872 896536660 /nfs/dbraw/zinc/53/66/60/896536660.db2.gz VOTAUBWMTCCLJY-UHFFFAOYSA-N -1 1 302.286 1.562 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C(F)(F)F)c1cccc(Cl)c1 ZINC001256832754 897592166 /nfs/dbraw/zinc/59/21/66/897592166.db2.gz HGJZLAZQNDPDAQ-QMMMGPOBSA-N -1 1 303.689 1.542 20 0 DDADMM O=S(=O)([N-]C[C@H](O)CF)c1c(Cl)cccc1Cl ZINC001257794937 897942867 /nfs/dbraw/zinc/94/28/67/897942867.db2.gz IGIFOKJSYXFPBL-ZCFIWIBFSA-N -1 1 302.154 1.602 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(Cc2ccccn2)cc1 ZINC001259025905 898424799 /nfs/dbraw/zinc/42/47/99/898424799.db2.gz CCSNOPKXGWKUDW-UHFFFAOYSA-N -1 1 320.370 1.587 20 0 DDADMM O=S(=O)([N-]Cc1ncccn1)c1ccc(F)c(F)c1F ZINC001259073795 898453135 /nfs/dbraw/zinc/45/31/35/898453135.db2.gz FGHYHZRKEDVOHV-UHFFFAOYSA-N -1 1 303.265 1.372 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1cc(C(C)(C)C)nn1C ZINC000355855266 898555537 /nfs/dbraw/zinc/55/55/37/898555537.db2.gz POLIUOXHYYEQKX-UHFFFAOYSA-N -1 1 319.365 1.870 20 0 DDADMM COc1nc(C)nc(Cl)c1[N-]S(=O)(=O)c1cccnc1 ZINC001259602667 898729833 /nfs/dbraw/zinc/72/98/33/898729833.db2.gz OFPZPJMEBOLLDY-UHFFFAOYSA-N -1 1 314.754 1.643 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)C1CCCC1)c1ccccn1 ZINC001259805866 898816953 /nfs/dbraw/zinc/81/69/53/898816953.db2.gz GYVAWTYEZDOYAF-ZDUSSCGKSA-N -1 1 312.391 1.548 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C1CCCCC1)c1ccccn1 ZINC001259816703 898825924 /nfs/dbraw/zinc/82/59/24/898825924.db2.gz YFNIUMLYUGNUFB-CYBMUJFWSA-N -1 1 312.391 1.548 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc2c(c1)CC(C)(C)NC2=O ZINC001259972872 898998010 /nfs/dbraw/zinc/99/80/10/898998010.db2.gz DPRHXXDNGWZKCD-UHFFFAOYSA-N -1 1 312.391 1.139 20 0 DDADMM O=S(=O)([N-]CCn1cnnc1)c1cc(F)c(F)cc1Cl ZINC001260287405 899112433 /nfs/dbraw/zinc/11/24/33/899112433.db2.gz FDMIISXVJZBRSQ-UHFFFAOYSA-N -1 1 322.724 1.188 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2cc[nH]c(=O)c2Cl)cn1C ZINC001260543165 899159536 /nfs/dbraw/zinc/15/95/36/899159536.db2.gz IZGLWGNAPTUAQB-UHFFFAOYSA-N -1 1 302.743 1.283 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)Cc1ccccc1F)C(=O)OCC ZINC001260630088 899189355 /nfs/dbraw/zinc/18/93/55/899189355.db2.gz QPWLSXGOBHLSKQ-ZDUSSCGKSA-N -1 1 317.382 1.977 20 0 DDADMM CCc1coc(C[N-]S(=O)(=O)c2cc(F)ccc2OC)n1 ZINC001260643245 899197496 /nfs/dbraw/zinc/19/74/96/899197496.db2.gz FVDQVCKZRZJPGH-UHFFFAOYSA-N -1 1 314.338 1.863 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-]c1cc(N2CCOCC2)nc(C)n1 ZINC001260727070 899231347 /nfs/dbraw/zinc/23/13/47/899231347.db2.gz ZGAOBHJAZCMILW-SNVBAGLBSA-N -1 1 314.411 1.162 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@H](C)C1CC1 ZINC001390379940 899295015 /nfs/dbraw/zinc/29/50/15/899295015.db2.gz KRFFNBICHDFOPN-AAEUAGOBSA-N -1 1 319.405 1.848 20 0 DDADMM CCO[C@@H](CC)C(=O)N[C@@H](CC)CNC(=O)c1ncccc1[O-] ZINC001390382010 899298739 /nfs/dbraw/zinc/29/87/39/899298739.db2.gz NBKGRIPTWMWWQU-AAEUAGOBSA-N -1 1 323.393 1.227 20 0 DDADMM CC[C@H](CNC(=O)c1ocnc1C)NC(=O)c1ncccc1[O-] ZINC001390387609 899309547 /nfs/dbraw/zinc/30/95/47/899309547.db2.gz QAIVQOLQPPEYOU-SNVBAGLBSA-N -1 1 318.333 1.022 20 0 DDADMM C[C@@](CNC(=O)CCCF)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001390824294 900287546 /nfs/dbraw/zinc/28/75/46/900287546.db2.gz GXJMJJCXAJVQDL-INIZCTEOSA-N -1 1 323.368 1.552 20 0 DDADMM C[C@@H]1CCCN1c1nnc(-c2nnn[n-]2)n1Cc1ccccc1 ZINC001263163489 900522006 /nfs/dbraw/zinc/52/20/06/900522006.db2.gz QJDQFWOKOGOAJK-LLVKDONJSA-N -1 1 310.365 1.495 20 0 DDADMM C[C@@H]1CCCN1c1nnc(-c2nn[n-]n2)n1Cc1ccccc1 ZINC001263163489 900522016 /nfs/dbraw/zinc/52/20/16/900522016.db2.gz QJDQFWOKOGOAJK-LLVKDONJSA-N -1 1 310.365 1.495 20 0 DDADMM COC[C@@H](C)CC(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001369938190 902237934 /nfs/dbraw/zinc/23/79/34/902237934.db2.gz CSTNBRFXZJJGJD-RYUDHWBXSA-N -1 1 323.393 1.037 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)CN(C)Cc2ccccn2)c1[O-] ZINC001375027168 914691553 /nfs/dbraw/zinc/69/15/53/914691553.db2.gz YHNBPAOEUMLUOW-SNVBAGLBSA-N -1 1 303.366 1.069 20 0 DDADMM CC(C)c1c(C(=O)N(C)c2nn[n-]n2)cnn1-c1ccccn1 ZINC001279188261 903190235 /nfs/dbraw/zinc/19/02/35/903190235.db2.gz QWPPSDXSYXRQGH-UHFFFAOYSA-N -1 1 312.337 1.180 20 0 DDADMM CN(C(=O)c1cc(-c2ccc(Cl)cc2)nn1C)c1nn[n-]n1 ZINC001279187781 903190745 /nfs/dbraw/zinc/19/07/45/903190745.db2.gz KVHUTFBSDJMTOY-UHFFFAOYSA-N -1 1 317.740 1.530 20 0 DDADMM Cc1oncc1CN(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001375032961 914717088 /nfs/dbraw/zinc/71/70/88/914717088.db2.gz NGJHOVAVMSVBLU-JTQLQIEISA-N -1 1 304.350 1.334 20 0 DDADMM CCCC1(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)C)CC1 ZINC001392237671 903613799 /nfs/dbraw/zinc/61/37/99/903613799.db2.gz RPUHTROCKFQNDI-LLVKDONJSA-N -1 1 309.414 1.321 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001392296002 903798994 /nfs/dbraw/zinc/79/89/94/903798994.db2.gz CMVBXXMQTHJWKR-NEPJUHHUSA-N -1 1 323.393 1.083 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCCF ZINC001371245946 904561567 /nfs/dbraw/zinc/56/15/67/904561567.db2.gz YQMITOSJVBZMCA-NEPJUHHUSA-N -1 1 323.368 1.646 20 0 DDADMM CC(C)CCC(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372346321 907085042 /nfs/dbraw/zinc/08/50/42/907085042.db2.gz VTXQJYANCXPKFT-CYBMUJFWSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001393547058 907292674 /nfs/dbraw/zinc/29/26/74/907292674.db2.gz VGOAVAGGIGEPSS-GHMZBOCLSA-N -1 1 321.425 1.321 20 0 DDADMM COC[C@@H](C)CC(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001283642398 907731839 /nfs/dbraw/zinc/73/18/39/907731839.db2.gz CXFRLDVCOBLCJR-LBPRGKRZSA-N -1 1 323.393 1.038 20 0 DDADMM CCCCO[C@H](C)C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001285714459 911082347 /nfs/dbraw/zinc/08/23/47/911082347.db2.gz RDCDEBQZVZAPCR-NWDGAFQWSA-N -1 1 323.393 1.227 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)/C=C/c3cccnc3)nc2n1 ZINC001287289127 912162461 /nfs/dbraw/zinc/16/24/61/912162461.db2.gz LMMSMDHRGSCXFI-VOTSOKGWSA-N -1 1 324.344 1.417 20 0 DDADMM CCCCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001294693617 915286251 /nfs/dbraw/zinc/28/62/51/915286251.db2.gz DTMMQBYXVPRWTJ-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC(c2nc3ccccc3[nH]2)C1 ZINC001294894888 915445714 /nfs/dbraw/zinc/44/57/14/915445714.db2.gz INJQMHKGIKFSQY-UHFFFAOYSA-N -1 1 311.349 1.025 20 0 DDADMM CCOC(=O)CC[C@@H](C)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001295173536 915621802 /nfs/dbraw/zinc/62/18/02/915621802.db2.gz FUDCLQDMIVVCNM-SSDOTTSWSA-N -1 1 313.379 1.241 20 0 DDADMM C[C@H](CNC(=O)c1cccs1)NC(=O)c1ncccc1[O-] ZINC001376874369 919631275 /nfs/dbraw/zinc/63/12/75/919631275.db2.gz OQLULRZGNBYBFK-SECBINFHSA-N -1 1 305.359 1.397 20 0 DDADMM CCC[C@H](CC(C)C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001376920334 919786769 /nfs/dbraw/zinc/78/67/69/919786769.db2.gz CIMHMNOVJDZVIS-OLZOCXBDSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)C1C(C)(C)C1(C)C ZINC001377784108 923027395 /nfs/dbraw/zinc/02/73/95/923027395.db2.gz LRINFRUQAFBHCX-RKDXNWHRSA-N -1 1 309.414 1.175 20 0 DDADMM CCOC(=O)[C@]1(C(C)C)CCN(C(=O)c2cncc([O-])c2)C1 ZINC000278269915 214057862 /nfs/dbraw/zinc/05/78/62/214057862.db2.gz RKKDBBWTQYMORS-MRXNPFEDSA-N -1 1 306.362 1.839 20 0 DDADMM Cc1ccn(CC(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)c(=O)c1 ZINC000447385391 230466471 /nfs/dbraw/zinc/46/64/71/230466471.db2.gz OVYBGWWTZBOPDP-UHFFFAOYSA-N -1 1 324.340 1.891 20 0 DDADMM Cc1ccc(CCC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)s1 ZINC000622869819 365549017 /nfs/dbraw/zinc/54/90/17/365549017.db2.gz HTPFXJQAMBTPJA-UHFFFAOYSA-N -1 1 317.374 1.667 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@H](C)C[C@H](C)C3)nc2n1 ZINC000622994343 365586889 /nfs/dbraw/zinc/58/68/89/365586889.db2.gz LFHUOTKPBIBJCA-URLYPYJESA-N -1 1 317.393 1.991 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)NCC(C)C)CC2)n1 ZINC000278373003 214124551 /nfs/dbraw/zinc/12/45/51/214124551.db2.gz YBRFBHHMTPCJJB-UHFFFAOYSA-N -1 1 323.397 1.526 20 0 DDADMM CCc1cncc(C(=O)Nc2nc3nc(CC)cc(=O)n3[n-]2)c1 ZINC000622993614 365587630 /nfs/dbraw/zinc/58/76/30/365587630.db2.gz UUBQLHTYLJHMFZ-UHFFFAOYSA-N -1 1 312.333 1.190 20 0 DDADMM COC(=O)CCc1nnc([N-]C(=O)c2coc(C3CC3)n2)s1 ZINC000614414838 361844725 /nfs/dbraw/zinc/84/47/25/361844725.db2.gz NESLRLGCTZBXEU-UHFFFAOYSA-N -1 1 322.346 1.761 20 0 DDADMM COC[C@@H](C)n1ccc([N-]S(=O)(=O)N2CCC[C@H](C)C2)n1 ZINC000249289937 282141870 /nfs/dbraw/zinc/14/18/70/282141870.db2.gz VOXDADSYDAWCNX-NWDGAFQWSA-N -1 1 316.427 1.479 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2[C@@H](C)CCC[C@@H]2C)o1 ZINC000096783518 185387769 /nfs/dbraw/zinc/38/77/69/185387769.db2.gz QJOORUQNXWQOEK-UWVGGRQHSA-N -1 1 300.380 1.591 20 0 DDADMM CC[C@H](C)[C@H](NC(C)=O)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474821 361876689 /nfs/dbraw/zinc/87/66/89/361876689.db2.gz YPQJEXLZZHXBMW-CABZTGNLSA-N -1 1 324.381 1.032 20 0 DDADMM CCN1CCN(C(=O)c2cccc(-c3nc(=O)o[n-]3)c2)[C@H](C)C1 ZINC000614710772 361984852 /nfs/dbraw/zinc/98/48/52/361984852.db2.gz NBFUNLHVPRFNOI-LLVKDONJSA-N -1 1 316.361 1.196 20 0 DDADMM NS(=O)(=O)c1ccc2c(c1)N(C(=O)c1ccccc1[O-])CC2 ZINC000094152509 539177975 /nfs/dbraw/zinc/17/79/75/539177975.db2.gz UFDULSRDLBMWDD-UHFFFAOYSA-N -1 1 318.354 1.243 20 0 DDADMM CC(C)Oc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cn1 ZINC000129425210 539184477 /nfs/dbraw/zinc/18/44/77/539184477.db2.gz YRNGTADXKJJVHA-LBPRGKRZSA-N -1 1 316.365 1.402 20 0 DDADMM CC[C@@H](C[C@H](C)CO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000443307069 529538613 /nfs/dbraw/zinc/53/86/13/529538613.db2.gz HBGXWMHBFUNHJA-KWQFWETISA-N -1 1 306.453 1.835 20 0 DDADMM CCOc1cnc(C(=O)[N-]c2nnc(C(F)F)s2)cn1 ZINC000187109285 539248969 /nfs/dbraw/zinc/24/89/69/539248969.db2.gz LODPHGRWNYOYBO-UHFFFAOYSA-N -1 1 301.278 1.917 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCOC[C@H]2CC(=O)[O-])c1 ZINC000262200411 539275500 /nfs/dbraw/zinc/27/55/00/539275500.db2.gz HJTNQLOHRSGEHJ-LLVKDONJSA-N -1 1 303.318 1.187 20 0 DDADMM CCn1cc(S(=O)(=O)Nc2c(C)noc2C)cc1C(=O)[O-] ZINC000271027721 539278372 /nfs/dbraw/zinc/27/83/72/539278372.db2.gz HMMQBJGOPLZARG-UHFFFAOYSA-N -1 1 313.335 1.612 20 0 DDADMM CC[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@H](O)C(C)C ZINC000414414185 529678986 /nfs/dbraw/zinc/67/89/86/529678986.db2.gz MXKUATWNPYFBOV-DGCLKSJQSA-N -1 1 303.362 1.448 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)C(=O)[C@@H](N)Cc1c[nH]c2ccccc12 ZINC000314799839 539294887 /nfs/dbraw/zinc/29/48/87/539294887.db2.gz LLETYKPKFCIFMR-GXTWGEPZSA-N -1 1 303.362 1.359 20 0 DDADMM COc1cccc([C@H](CNC(=O)C2(C(=O)[O-])CC2)N(C)C)c1 ZINC000315030175 539295143 /nfs/dbraw/zinc/29/51/43/539295143.db2.gz NLSUALPIEIUEJH-ZDUSSCGKSA-N -1 1 306.362 1.279 20 0 DDADMM CN(C[C@H]1CCCN1C)S(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000092625274 185329832 /nfs/dbraw/zinc/32/98/32/185329832.db2.gz COTODDUWVIVOSH-GFCCVEGCSA-N -1 1 312.391 1.100 20 0 DDADMM CNC(=O)c1cccc(CCC(=O)Nc2c(C)[n-][nH]c2=O)c1 ZINC000615221196 362201100 /nfs/dbraw/zinc/20/11/00/362201100.db2.gz JMPNHBHYUTZMTR-UHFFFAOYSA-N -1 1 302.334 1.355 20 0 DDADMM C[N@@H+]1CCC[C@@H]1[C@H]1COCCN1C(=O)c1ccc(O)c(F)c1 ZINC000615271370 362225300 /nfs/dbraw/zinc/22/53/00/362225300.db2.gz WMHOKIIYGGIEAP-ZIAGYGMSSA-N -1 1 308.353 1.467 20 0 DDADMM CCc1nc(C)c(CNC(=O)c2nc3ccccc3c(=O)[n-]2)o1 ZINC000494438117 529725106 /nfs/dbraw/zinc/72/51/06/529725106.db2.gz VKAYSDHZRJLBIJ-UHFFFAOYSA-N -1 1 312.329 1.712 20 0 DDADMM CCc1nc(CNC(=O)c2cc(F)c(F)c([O-])c2F)n[nH]1 ZINC000295749450 529739585 /nfs/dbraw/zinc/73/95/85/529739585.db2.gz UNLGBNQSXGEQPI-UHFFFAOYSA-N -1 1 300.240 1.420 20 0 DDADMM C[C@H](Cn1cncn1)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000097002400 185396370 /nfs/dbraw/zinc/39/63/70/185396370.db2.gz QPPPHIMONWURDV-SSDOTTSWSA-N -1 1 320.296 1.062 20 0 DDADMM CNC(=O)CCN(C)c1ccc(=N[C@H](C)c2ccccc2)[n-]n1 ZINC000425233693 529857198 /nfs/dbraw/zinc/85/71/98/529857198.db2.gz XVDJQDYORVUWHN-CYBMUJFWSA-N -1 1 313.405 1.644 20 0 DDADMM C[C@H]1OCC[C@@]1(O)CNC(=O)c1cc2ccccc2cc1[O-] ZINC000279390826 214844199 /nfs/dbraw/zinc/84/41/99/214844199.db2.gz GDGQBZRTPMGZEW-PIGZYNQJSA-N -1 1 301.342 1.815 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1c([O-])cccc1F)c1ccncc1 ZINC000615598559 362355305 /nfs/dbraw/zinc/35/53/05/362355305.db2.gz VVNMZERBPZBIPK-GFCCVEGCSA-N -1 1 318.304 1.961 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@]23C[C@@H]2COC3(C)C)c1Br ZINC000616011699 362520461 /nfs/dbraw/zinc/52/04/61/362520461.db2.gz RGCZCYCIYQWJOS-JMCQJSRRSA-N -1 1 314.183 1.656 20 0 DDADMM Cn1cncc1CNC(=O)c1cc(Br)ccc1[O-] ZINC000388446747 539508746 /nfs/dbraw/zinc/50/87/46/539508746.db2.gz LXWXZHYUEKDECU-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM Cc1cn2cc(NC(=O)c3cnc4n(C)[n-]cc-4c3=O)ccc2n1 ZINC000358336943 299124858 /nfs/dbraw/zinc/12/48/58/299124858.db2.gz XCNIJQGRRXPJCO-UHFFFAOYSA-N -1 1 322.328 1.882 20 0 DDADMM COc1cc([C@@H](C)NC(=O)c2nc3ccccc3c(=O)[n-]2)ccn1 ZINC000342611932 282589946 /nfs/dbraw/zinc/58/99/46/282589946.db2.gz VVSMTRPNZJOXDE-SNVBAGLBSA-N -1 1 324.340 1.818 20 0 DDADMM O=c1cc(C[N@@H+]2CCC[C@H]2[C@H](O)C(F)(F)F)nc(C2CC2)[nH]1 ZINC000459358977 233134660 /nfs/dbraw/zinc/13/46/60/233134660.db2.gz XQSHVXJXKNJCJZ-JQWIXIFHSA-N -1 1 317.311 1.947 20 0 DDADMM CSCCONC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000279975366 215282945 /nfs/dbraw/zinc/28/29/45/215282945.db2.gz KPLVBEBUWFLUAT-UHFFFAOYSA-N -1 1 324.406 1.395 20 0 DDADMM COc1ccc(-n2c(C)nnc2SCc2nn[n-]n2)cc1 ZINC000279978714 215286014 /nfs/dbraw/zinc/28/60/14/215286014.db2.gz PQTKZKDOLFZGBO-UHFFFAOYSA-N -1 1 303.351 1.390 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1C[C@@]1(C)C(C)C ZINC000186045028 186234897 /nfs/dbraw/zinc/23/48/97/186234897.db2.gz MFKFSMRACAAVQS-DYVFJYSZSA-N -1 1 316.405 1.938 20 0 DDADMM COc1cc2[n-]cc(C(=O)NCC3CC3)c(=O)c2c(OC)c1 ZINC000623694793 365996804 /nfs/dbraw/zinc/99/68/04/365996804.db2.gz QQZVBDZUABJLBF-UHFFFAOYSA-N -1 1 302.330 1.685 20 0 DDADMM CO[C@@H](CNC(=O)c1ncc2ccccc2c1[O-])[C@H]1CCOC1 ZINC000617154820 362979332 /nfs/dbraw/zinc/97/93/32/362979332.db2.gz JJVULBTVMHSUBD-JSGCOSHPSA-N -1 1 316.357 1.722 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccn(-c3ccncc3)n2)o1 ZINC000358519908 299183025 /nfs/dbraw/zinc/18/30/25/299183025.db2.gz YKEIMQSROCEUDG-UHFFFAOYSA-N -1 1 304.331 1.970 20 0 DDADMM CCCOc1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1OCC ZINC000029023371 352234173 /nfs/dbraw/zinc/23/41/73/352234173.db2.gz GBKGWUGNNOPWRY-UHFFFAOYSA-N -1 1 321.333 1.071 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)Cc2ccccc2C)o1 ZINC000031602238 352269115 /nfs/dbraw/zinc/26/91/15/352269115.db2.gz GVBFEMCIGVAJKW-UHFFFAOYSA-N -1 1 322.386 1.768 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)Nc2ncccn2)o1 ZINC000030568135 352254731 /nfs/dbraw/zinc/25/47/31/352254731.db2.gz KWXKXMNKSIPMMJ-UHFFFAOYSA-N -1 1 324.362 1.399 20 0 DDADMM CC[C@H](C)NC(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC000042127572 352330669 /nfs/dbraw/zinc/33/06/69/352330669.db2.gz HWTZDCGOZDMPCU-JTQLQIEISA-N -1 1 300.362 1.598 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1cc(F)ccc1F)Cn1cccn1 ZINC000047413479 352493996 /nfs/dbraw/zinc/49/39/96/352493996.db2.gz UJAWMCRCACYFHQ-SNVBAGLBSA-N -1 1 315.345 1.776 20 0 DDADMM COCCOCCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000058315524 352842111 /nfs/dbraw/zinc/84/21/11/352842111.db2.gz ONMMBZDCBIBROC-UHFFFAOYSA-N -1 1 309.334 1.296 20 0 DDADMM Cc1ncc(C=CC(=O)NC2(c3nn[n-]n3)CCCC2)s1 ZINC000491894626 234328419 /nfs/dbraw/zinc/32/84/19/234328419.db2.gz TVJAMQNTCBQHOH-SNAWJCMRSA-N -1 1 304.379 1.564 20 0 DDADMM CC(C)(CO)CCCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000075418620 353363151 /nfs/dbraw/zinc/36/31/51/353363151.db2.gz MIUKUPKGFSBREH-UHFFFAOYSA-N -1 1 303.362 1.452 20 0 DDADMM Cc1cc(N2CCNC2=O)ccc1NC(=O)c1cncc([O-])c1 ZINC000355804495 291013188 /nfs/dbraw/zinc/01/31/88/291013188.db2.gz NBVVWNIRGDDXMU-UHFFFAOYSA-N -1 1 312.329 1.878 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCC(C)(C)C)o1 ZINC000126640735 354055927 /nfs/dbraw/zinc/05/59/27/354055927.db2.gz FIXBCSRTPUAFMD-UHFFFAOYSA-N -1 1 302.396 1.744 20 0 DDADMM O=C(NC[C@@H]1CCCS1(=O)=O)c1ccc2ccccc2c1[O-] ZINC000127031674 354059800 /nfs/dbraw/zinc/05/98/00/354059800.db2.gz WVISQQDKLYFXHS-LBPRGKRZSA-N -1 1 319.382 1.852 20 0 DDADMM O=C(Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-])C1CCCC1 ZINC000130482295 354094084 /nfs/dbraw/zinc/09/40/84/354094084.db2.gz DKNDXZBRBHWMAO-UHFFFAOYSA-N -1 1 324.402 1.962 20 0 DDADMM C[C@@H]1CCN(Cc2cc(=O)oc3cc([O-])ccc23)CC[S@@]1=O ZINC000277035829 213253296 /nfs/dbraw/zinc/25/32/96/213253296.db2.gz BKAKLGDYLBEAJZ-XFNZEKPQSA-N -1 1 321.398 1.842 20 0 DDADMM CCC[N-]S(=O)(=O)c1cc(Cl)cc(C(=O)OC)c1F ZINC000312078578 354477326 /nfs/dbraw/zinc/47/73/26/354477326.db2.gz ROVJFSALGVNLAG-UHFFFAOYSA-N -1 1 309.746 1.954 20 0 DDADMM O=C([O-])c1coc(N2CCN(CCc3ccccc3)CC2)n1 ZINC000565037761 304038519 /nfs/dbraw/zinc/03/85/19/304038519.db2.gz HCFPVNYBUSFDSP-UHFFFAOYSA-N -1 1 301.346 1.738 20 0 DDADMM CN(C)[C@@H](CNC(=O)C1(C(=O)[O-])CC1)c1ccc(Cl)cc1 ZINC000565043424 304039442 /nfs/dbraw/zinc/03/94/42/304039442.db2.gz IGCPGCYEUFYNDV-LBPRGKRZSA-N -1 1 310.781 1.924 20 0 DDADMM COC(=O)CCCCCS(=O)(=O)[N-][C@@H]1CCCC1(F)F ZINC000588144675 354904631 /nfs/dbraw/zinc/90/46/31/354904631.db2.gz RGURLAKWNWHWDN-SNVBAGLBSA-N -1 1 313.366 1.827 20 0 DDADMM NC(=O)[C@H]1CCC[C@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000332468675 235002395 /nfs/dbraw/zinc/00/23/95/235002395.db2.gz RQSFXGMIRSJADB-QWRGUYRKSA-N -1 1 322.365 1.823 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3sccc3C)nc2n1 ZINC000588744664 354932567 /nfs/dbraw/zinc/93/25/67/354932567.db2.gz NLMWXGWROKKVEP-UHFFFAOYSA-N -1 1 317.374 1.992 20 0 DDADMM Cc1ccsc1CCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000358699912 299225249 /nfs/dbraw/zinc/22/52/49/299225249.db2.gz ZATPXSJAQUYWSU-UHFFFAOYSA-N -1 1 319.386 1.246 20 0 DDADMM CC(=O)CNC(=O)[C@H](C)Sc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000358880579 291066931 /nfs/dbraw/zinc/06/69/31/291066931.db2.gz IUBRCPGOFWWOGX-LURJTMIESA-N -1 1 323.296 1.387 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCC[C@@H](OC)C1 ZINC000593879767 356038497 /nfs/dbraw/zinc/03/84/97/356038497.db2.gz AKGRKQGONXJLKA-NQBHXWOUSA-N -1 1 322.361 1.264 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCC[C@@H]3C[C@@H]32)cc1C ZINC000595338923 356454781 /nfs/dbraw/zinc/45/47/81/356454781.db2.gz UVJUTUIGBJVKKC-VWYCJHECSA-N -1 1 313.375 1.842 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2C(C)(C)C2(F)F)c1 ZINC000595354914 356461477 /nfs/dbraw/zinc/46/14/77/356461477.db2.gz ZPUWYTACJLQVIE-QMMMGPOBSA-N -1 1 323.317 1.636 20 0 DDADMM CCCCOCC[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595305625 356441772 /nfs/dbraw/zinc/44/17/72/356441772.db2.gz IYJBITZHUXMMAX-UHFFFAOYSA-N -1 1 319.379 1.470 20 0 DDADMM COC(=O)[C@H](C)CN(C)C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081725193 192317688 /nfs/dbraw/zinc/31/76/88/192317688.db2.gz NYWMCTHQVDANAM-SECBINFHSA-N -1 1 323.393 1.257 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](CCO)C(C)(C)C)c1 ZINC000601352904 358511969 /nfs/dbraw/zinc/51/19/69/358511969.db2.gz PMPKVKFVGNXQNS-CYBMUJFWSA-N -1 1 317.407 1.476 20 0 DDADMM CCOC(=O)C1(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)CCC1 ZINC000597610677 357252966 /nfs/dbraw/zinc/25/29/66/357252966.db2.gz MUNCSYHCTJEICS-JTQLQIEISA-N -1 1 323.349 1.215 20 0 DDADMM CCOC(=O)C(C)(C)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000597731547 357308540 /nfs/dbraw/zinc/30/85/40/357308540.db2.gz NTEAMRUJRQPVIQ-UHFFFAOYSA-N -1 1 318.171 1.430 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC2CC(C)C2)cc1C ZINC000598624058 357659128 /nfs/dbraw/zinc/65/91/28/357659128.db2.gz WJNXETHPBKACEC-UHFFFAOYSA-N -1 1 301.364 1.699 20 0 DDADMM CCOc1nc(C)ccc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000276774809 213100074 /nfs/dbraw/zinc/10/00/74/213100074.db2.gz HOEQPVNHTWXXJJ-SECBINFHSA-N -1 1 304.354 1.178 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H](OC)C1CCCC1 ZINC000598826134 357736648 /nfs/dbraw/zinc/73/66/48/357736648.db2.gz ZLSZJLIGZCITEG-GFCCVEGCSA-N -1 1 309.366 1.162 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ocnc2C(F)F)c[n-]1 ZINC000599828687 358067485 /nfs/dbraw/zinc/06/74/85/358067485.db2.gz XQHOQOWLJUITMA-UHFFFAOYSA-N -1 1 300.221 1.764 20 0 DDADMM COC(=O)C[C@@H](O)CNC(=O)c1ccc2ccccc2c1[O-] ZINC000600462608 358229339 /nfs/dbraw/zinc/22/93/39/358229339.db2.gz KIISNYDOKMAIRT-LLVKDONJSA-N -1 1 303.314 1.199 20 0 DDADMM O=C(CSc1cccc(C(F)(F)F)c1)NCc1nn[n-]n1 ZINC000600498041 358241804 /nfs/dbraw/zinc/24/18/04/358241804.db2.gz SXGBATWQBDSJGU-UHFFFAOYSA-N -1 1 317.296 1.627 20 0 DDADMM Cc1cn2c(n1)C[C@@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)CC2 ZINC000624527315 366430069 /nfs/dbraw/zinc/43/00/69/366430069.db2.gz WOKAPBBIORMQMW-ZETCQYMHSA-N -1 1 314.271 1.530 20 0 DDADMM C[C@@H](C[S@](C)=O)N(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601435228 358552429 /nfs/dbraw/zinc/55/24/29/358552429.db2.gz XQSAIVYGMUTLRK-UGZDLDLSSA-N -1 1 303.811 1.814 20 0 DDADMM COC(=O)c1c(F)cccc1S(=O)(=O)[N-]CC(C)(C)F ZINC000601476704 358570333 /nfs/dbraw/zinc/57/03/33/358570333.db2.gz YCEBLEBDGRLKEU-UHFFFAOYSA-N -1 1 307.318 1.639 20 0 DDADMM C[C@@H](S[C@@H](C)C(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000602004955 358773912 /nfs/dbraw/zinc/77/39/12/358773912.db2.gz YWZWXFXIVCGBIZ-VHSXEESVSA-N -1 1 307.375 1.545 20 0 DDADMM C[C@@H](NCc1cc(C(=O)[O-])nn1C)c1ccc([S@](C)=O)cc1 ZINC000602066951 358799014 /nfs/dbraw/zinc/79/90/14/358799014.db2.gz QLICGCBEDPSVLX-STFLBKPXSA-N -1 1 321.402 1.707 20 0 DDADMM C[C@H](CC[S@@](C)=O)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000602911539 359289479 /nfs/dbraw/zinc/28/94/79/359289479.db2.gz DXZJLCNMPIAHOL-AYLIAGHASA-N -1 1 303.811 1.861 20 0 DDADMM COc1cccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000618487959 363649175 /nfs/dbraw/zinc/64/91/75/363649175.db2.gz IQCPRFZWPPVORV-AWEZNQCLSA-N -1 1 309.329 1.728 20 0 DDADMM CN(C)c1cccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000618487345 363649533 /nfs/dbraw/zinc/64/95/33/363649533.db2.gz ABPAZFVWTYHYKB-OAHLLOKOSA-N -1 1 322.372 1.785 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2c(F)cccc2Cl)n1 ZINC000358954113 299290603 /nfs/dbraw/zinc/29/06/03/299290603.db2.gz NKINSUZNRZAQMZ-UHFFFAOYSA-N -1 1 304.734 1.960 20 0 DDADMM COc1ccc(F)cc1CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000186800024 200013200 /nfs/dbraw/zinc/01/32/00/200013200.db2.gz UEKCWRSGPGSQJI-VIFPVBQESA-N -1 1 307.329 1.152 20 0 DDADMM C[C@@H](CO[C@@H]1CCOC1)NC(=O)c1c(F)ccc([O-])c1F ZINC000281045790 216042191 /nfs/dbraw/zinc/04/21/91/216042191.db2.gz QJIUWLOHYSNUHH-DTWKUNHWSA-N -1 1 301.289 1.594 20 0 DDADMM C[C@@H](OCc1ccccc1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605382085 359847466 /nfs/dbraw/zinc/84/74/66/359847466.db2.gz XHAXQZHYDPBMGT-GFCCVEGCSA-N -1 1 315.377 1.691 20 0 DDADMM CC(C)CCc1ccccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000606116502 359901291 /nfs/dbraw/zinc/90/12/91/359901291.db2.gz DJSGSIUHEXZWBD-UHFFFAOYSA-N -1 1 316.365 1.043 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@H](C)CC2)o1 ZINC000188928760 200324011 /nfs/dbraw/zinc/32/40/11/200324011.db2.gz XIJJKICKMPNOLC-WDEREUQCSA-N -1 1 314.407 1.886 20 0 DDADMM COC(=O)[C@@H](COC(C)C)N(C)C(=O)c1cc(F)ccc1[O-] ZINC000611282588 360689273 /nfs/dbraw/zinc/68/92/73/360689273.db2.gz AOGXBDBPUDRCTI-GFCCVEGCSA-N -1 1 313.325 1.570 20 0 DDADMM C[C@@H](NC(=O)c1ncc2ccccc2c1[O-])[C@H]1CN(C)CCO1 ZINC000612668715 361100205 /nfs/dbraw/zinc/10/02/05/361100205.db2.gz CFCZVVPTFSVNAF-BXUZGUMPSA-N -1 1 315.373 1.389 20 0 DDADMM O=C([C@H]1CCc2cc(F)ccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612814976 361154415 /nfs/dbraw/zinc/15/44/15/361154415.db2.gz GRHRFODBCQVSSB-FZMZJTMJSA-N -1 1 315.352 1.775 20 0 DDADMM O=C(c1ncnc2ccsc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612817375 361155311 /nfs/dbraw/zinc/15/53/11/361155311.db2.gz RAAGUVTUWZECEE-MRVPVSSYSA-N -1 1 315.362 1.224 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)c2ccc3oc(=O)nc-3[n-]2)n[nH]1 ZINC000613124804 361279720 /nfs/dbraw/zinc/27/97/20/361279720.db2.gz UJYKUKVIWWWAAM-ZETCQYMHSA-N -1 1 316.321 1.661 20 0 DDADMM CN1CCC([N-]S(=O)(=O)c2c(F)cc(F)cc2F)CC1 ZINC000193807092 201125595 /nfs/dbraw/zinc/12/55/95/201125595.db2.gz WWUMJKPCVVGUFT-UHFFFAOYSA-N -1 1 308.325 1.476 20 0 DDADMM CC(C)NC(=O)[C@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000620415703 364406788 /nfs/dbraw/zinc/40/67/88/364406788.db2.gz CXFRFRZWOONQDP-NSHDSACASA-N -1 1 308.353 1.908 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2ccc(C)c(F)c2F)nn1 ZINC000625280816 366828500 /nfs/dbraw/zinc/82/85/00/366828500.db2.gz PCGOLGCDKDIBQM-UHFFFAOYSA-N -1 1 316.333 1.363 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cccc(C(C)C)c1 ZINC000621991006 365174189 /nfs/dbraw/zinc/17/41/89/365174189.db2.gz CLHISONRYBSSAB-UHFFFAOYSA-N -1 1 300.362 1.875 20 0 DDADMM COc1cc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)ccn1 ZINC000621994925 365175802 /nfs/dbraw/zinc/17/58/02/365175802.db2.gz NJXLGYZJMCAZSF-UHFFFAOYSA-N -1 1 310.379 1.480 20 0 DDADMM COc1cc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)ccn1 ZINC000621994925 365175804 /nfs/dbraw/zinc/17/58/04/365175804.db2.gz NJXLGYZJMCAZSF-UHFFFAOYSA-N -1 1 310.379 1.480 20 0 DDADMM Cc1nnc(CN(C)C(=O)c2cnc3nc(C)ccc3c2[O-])o1 ZINC000622155659 365292420 /nfs/dbraw/zinc/29/24/20/365292420.db2.gz PENUPLTZLPDCTN-UHFFFAOYSA-N -1 1 313.317 1.607 20 0 DDADMM Cc1nnc(CN(C)C(=O)c2c[n-]c3nc(C)ccc3c2=O)o1 ZINC000622155659 365292423 /nfs/dbraw/zinc/29/24/23/365292423.db2.gz PENUPLTZLPDCTN-UHFFFAOYSA-N -1 1 313.317 1.607 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)c1nccn1C)c2=O ZINC000622182085 365316595 /nfs/dbraw/zinc/31/65/95/365316595.db2.gz UEOVJZFEYTWRBF-SNVBAGLBSA-N -1 1 311.345 1.868 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCOCC12CCCC2 ZINC000622470006 365415158 /nfs/dbraw/zinc/41/51/58/365415158.db2.gz CWTKESWBCOMFKT-UHFFFAOYSA-N -1 1 307.419 1.925 20 0 DDADMM CCc1ncc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)s1 ZINC000622609486 365450969 /nfs/dbraw/zinc/45/09/69/365450969.db2.gz AJFDZXAITPKABG-GFCCVEGCSA-N -1 1 314.374 1.738 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1[C@@H]2Cc3c(cccc3Br)[C@@H]21 ZINC000625707944 367100353 /nfs/dbraw/zinc/10/03/53/367100353.db2.gz MMJCYQFKAVJXJL-FKTZTGRPSA-N -1 1 320.150 1.487 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1[C@@H]2Cc3c(cccc3Br)[C@@H]21 ZINC000625707944 367100359 /nfs/dbraw/zinc/10/03/59/367100359.db2.gz MMJCYQFKAVJXJL-FKTZTGRPSA-N -1 1 320.150 1.487 20 0 DDADMM CCn1cc(CNC(=O)c2cnc3nc(C)ccc3c2[O-])cn1 ZINC000359236656 299370940 /nfs/dbraw/zinc/37/09/40/299370940.db2.gz VKPJZQIEKZVXQD-UHFFFAOYSA-N -1 1 311.345 1.790 20 0 DDADMM CCn1cc(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)cn1 ZINC000359236656 299370941 /nfs/dbraw/zinc/37/09/41/299370941.db2.gz VKPJZQIEKZVXQD-UHFFFAOYSA-N -1 1 311.345 1.790 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1[C@H]1CCN(CC(F)(F)F)C1=O ZINC000566220819 304131041 /nfs/dbraw/zinc/13/10/41/304131041.db2.gz NWJNFVQMXAYLSK-BBBLOLIVSA-N -1 1 308.300 1.335 20 0 DDADMM C[C@@H](C(=O)Nc1ccc2c(c1)OCO2)N1CCC[C@@H](C(=O)[O-])C1 ZINC000262690107 203382909 /nfs/dbraw/zinc/38/29/09/203382909.db2.gz VZWMKXGCUZUZMO-WDEREUQCSA-N -1 1 320.345 1.539 20 0 DDADMM NC(=O)NCc1ccc(NC(=O)c2cc(F)ccc2[O-])cc1 ZINC000094062199 193342694 /nfs/dbraw/zinc/34/26/94/193342694.db2.gz DRYASPNGUHUIPH-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3ccoc3C)no2)o1 ZINC000350436190 284196287 /nfs/dbraw/zinc/19/62/87/284196287.db2.gz LHUJUKPLTTYYIM-UHFFFAOYSA-N -1 1 309.303 1.806 20 0 DDADMM COc1cccc(CC2CCN(CC(=O)NCC(=O)[O-])CC2)c1 ZINC000635020728 422773881 /nfs/dbraw/zinc/77/38/81/422773881.db2.gz QVQZUESPBQXXMQ-UHFFFAOYSA-N -1 1 320.389 1.151 20 0 DDADMM Cc1ccc(-c2cc([N-]S(=O)(=O)c3c(C)cnn3C)[nH]n2)o1 ZINC000350943958 284285297 /nfs/dbraw/zinc/28/52/97/284285297.db2.gz SISHEQSGNQMRRY-UHFFFAOYSA-N -1 1 321.362 1.821 20 0 DDADMM CCOc1ccccc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282799331 217259196 /nfs/dbraw/zinc/25/91/96/217259196.db2.gz ABXULHCLPVYBMB-NSHDSACASA-N -1 1 316.361 1.517 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)CC(C)(C)OC)o1 ZINC000266249466 205215836 /nfs/dbraw/zinc/21/58/36/205215836.db2.gz XKZUXAKBSKBOSB-SECBINFHSA-N -1 1 319.379 1.548 20 0 DDADMM O=C(Cc1ccc(Cl)cc1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282828609 217277664 /nfs/dbraw/zinc/27/76/64/217277664.db2.gz DDGUHBOPQMKXBL-LLVKDONJSA-N -1 1 320.780 1.700 20 0 DDADMM COC[C@@H]1CCN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000267059494 205776543 /nfs/dbraw/zinc/77/65/43/205776543.db2.gz GXJGWSQUKLMZRS-LLVKDONJSA-N -1 1 307.394 1.897 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CC3CCC2CC3)o1 ZINC000351683025 284446783 /nfs/dbraw/zinc/44/67/83/284446783.db2.gz NHFFSQRPLTVZGX-ILDUYXDCSA-N -1 1 312.391 1.496 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(OC(C)C)nc1)c1nn[n-]n1 ZINC000267715329 206235793 /nfs/dbraw/zinc/23/57/93/206235793.db2.gz UBYJLZBEZCNGBZ-LLVKDONJSA-N -1 1 304.354 1.653 20 0 DDADMM COC(=O)c1cc(CN2CCC[C@@H](c3n[n-]c(=O)o3)C2)oc1C ZINC000284411476 218017400 /nfs/dbraw/zinc/01/74/00/218017400.db2.gz XEXNUFSCZCZNQG-SNVBAGLBSA-N -1 1 321.333 1.431 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cncc(-n2ccnn2)c1 ZINC000338579426 250038184 /nfs/dbraw/zinc/03/81/84/250038184.db2.gz GGIBOJXAIPUDRG-UHFFFAOYSA-N -1 1 324.226 1.052 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)c1sccc1F ZINC000338856477 250164506 /nfs/dbraw/zinc/16/45/06/250164506.db2.gz ZFZFQXKSYXUAOC-SECBINFHSA-N -1 1 309.384 1.753 20 0 DDADMM CNC(=O)[C@H]1CCC[C@@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338939211 250206907 /nfs/dbraw/zinc/20/69/07/250206907.db2.gz PZPRVZGOOSZDRK-CBAPKCEASA-N -1 1 306.384 1.080 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(OC)cc2OC)n1 ZINC000339167690 250323666 /nfs/dbraw/zinc/32/36/66/250323666.db2.gz BDNYAXRFBMJHNC-UHFFFAOYSA-N -1 1 319.317 1.856 20 0 DDADMM Cc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)c(Br)c1 ZINC000339176505 250329218 /nfs/dbraw/zinc/32/92/18/250329218.db2.gz ZNBWLOXLEIAATO-UHFFFAOYSA-N -1 1 324.182 1.936 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc(COC)c2)n1 ZINC000339178774 250330355 /nfs/dbraw/zinc/33/03/55/250330355.db2.gz VHMQOIMQJYGRKV-UHFFFAOYSA-N -1 1 303.318 1.985 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)OC[C@H]2CCCO2)n1 ZINC000339177478 250330405 /nfs/dbraw/zinc/33/04/05/250330405.db2.gz CNJMCZKXJMUTQK-NXEZZACHSA-N -1 1 311.338 1.109 20 0 DDADMM COc1ccc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1 ZINC000339184330 250331595 /nfs/dbraw/zinc/33/15/95/250331595.db2.gz GRFBBJSDLYAVNQ-UHFFFAOYSA-N -1 1 317.345 1.733 20 0 DDADMM COCc1ccccc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339193343 250338091 /nfs/dbraw/zinc/33/80/91/250338091.db2.gz CMAOHMDCSOTXGN-UHFFFAOYSA-N -1 1 317.345 1.941 20 0 DDADMM C1CC(c2noc(=N[C@@H]3CCCC[C@@H]3N3CCOCC3)[n-]2)C1 ZINC000567454408 304220177 /nfs/dbraw/zinc/22/01/77/304220177.db2.gz OQOOLVZSIUJPTE-KGLIPLIRSA-N -1 1 306.410 1.814 20 0 DDADMM CC(C)Oc1ncccc1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000285109897 218294142 /nfs/dbraw/zinc/29/41/42/218294142.db2.gz WYWRKCMZCAFQPO-MOSHPQCFSA-N -1 1 311.345 1.776 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@H](F)C2)o1 ZINC000567473147 304221981 /nfs/dbraw/zinc/22/19/81/304221981.db2.gz AJUMIRIDVTYVTI-DTWKUNHWSA-N -1 1 304.343 1.198 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@@H](F)C2)o1 ZINC000567473149 304222129 /nfs/dbraw/zinc/22/21/29/304222129.db2.gz AJUMIRIDVTYVTI-RKDXNWHRSA-N -1 1 304.343 1.198 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCC[C@H]1F ZINC000340827610 251231109 /nfs/dbraw/zinc/23/11/09/251231109.db2.gz PKEGWGWDYZXHOT-NEPJUHHUSA-N -1 1 306.341 1.601 20 0 DDADMM CC(C)(C)[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(N)=O ZINC000113290425 194579738 /nfs/dbraw/zinc/57/97/38/194579738.db2.gz ZKYWCYIJTWIHIX-JTQLQIEISA-N -1 1 324.324 1.282 20 0 DDADMM COC[C@H](C)S(=O)(=O)[N-][C@H](C(C)=O)c1ccccc1F ZINC000416641127 532998271 /nfs/dbraw/zinc/99/82/71/532998271.db2.gz DARGBBFOIKLSGW-TVQRCGJNSA-N -1 1 303.355 1.410 20 0 DDADMM O=C(CCC[N-]S(=O)(=O)c1cc(F)ccc1F)NC1CC1 ZINC000119991311 195076354 /nfs/dbraw/zinc/07/63/54/195076354.db2.gz JVKDBOAKGYSLCZ-UHFFFAOYSA-N -1 1 318.345 1.302 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)[O-])CN1Cc1cn(-c2ccccc2)nn1 ZINC000567857357 304248410 /nfs/dbraw/zinc/24/84/10/304248410.db2.gz CEQGHDBUXYOALD-QWHCGFSZSA-N -1 1 300.362 1.952 20 0 DDADMM Cn1[nH]c([C@@H]2CCCN2C(=O)c2cc(Cl)ccc2[O-])nc1=N ZINC000568048283 304264553 /nfs/dbraw/zinc/26/45/53/304264553.db2.gz IEDAOLCTIRXDES-JTQLQIEISA-N -1 1 321.768 1.564 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@@H]1CCOC1 ZINC000271548612 209003723 /nfs/dbraw/zinc/00/37/23/209003723.db2.gz XTWDCCCHRYFSKW-MRVPVSSYSA-N -1 1 302.327 1.172 20 0 DDADMM O=C([O-])CN(Cc1ccccc1)C[C@H](O)COCc1ccco1 ZINC000568065880 304266322 /nfs/dbraw/zinc/26/63/22/304266322.db2.gz JVDBIJPVSYPRDS-HNNXBMFYSA-N -1 1 319.357 1.744 20 0 DDADMM O=C(C(=O)N1C[C@]2(CCCO2)[C@@H]1C1CC1)c1ccc([O-])cc1 ZINC000294781314 224217335 /nfs/dbraw/zinc/21/73/35/224217335.db2.gz KCGCQQWJSYGHRX-DOTOQJQBSA-N -1 1 301.342 1.745 20 0 DDADMM O=C(NC1(CO)C2CC3CC(C2)CC1C3)c1ncccc1[O-] ZINC000413955811 224281223 /nfs/dbraw/zinc/28/12/23/224281223.db2.gz RDWZCFYUYFQXPE-UHFFFAOYSA-N -1 1 302.374 1.704 20 0 DDADMM COCc1nnc(S(=O)(=O)[C@H](C)c2ccc3c(c2)CCC3)[n-]1 ZINC000414221052 224314301 /nfs/dbraw/zinc/31/43/01/224314301.db2.gz KIUVMYIGGAKRGT-SNVBAGLBSA-N -1 1 321.402 1.975 20 0 DDADMM COCc1nc(S(=O)(=O)[C@H](C)c2ccc3c(c2)CCC3)n[n-]1 ZINC000414221052 224314303 /nfs/dbraw/zinc/31/43/03/224314303.db2.gz KIUVMYIGGAKRGT-SNVBAGLBSA-N -1 1 321.402 1.975 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccc(-c2nn[nH]n2)cc1 ZINC000414379122 224329063 /nfs/dbraw/zinc/32/90/63/224329063.db2.gz HSEWKNMLVJGQIU-UHFFFAOYSA-N -1 1 311.367 1.033 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H](CO)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000414401749 224333718 /nfs/dbraw/zinc/33/37/18/224333718.db2.gz DUDAUIHYGGVHSX-GHMZBOCLSA-N -1 1 301.346 1.204 20 0 DDADMM Cc1ccccc1[C@@H]1C(=O)NCCN1C(=O)c1cncc([O-])c1 ZINC000122037959 195393316 /nfs/dbraw/zinc/39/33/16/195393316.db2.gz JQWWUAJTKGTEIO-OAHLLOKOSA-N -1 1 311.341 1.409 20 0 DDADMM Cc1cc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)c(C)o1 ZINC000340375312 291979205 /nfs/dbraw/zinc/97/92/05/291979205.db2.gz VTMMNNAAMDBORM-UHFFFAOYSA-N -1 1 306.347 1.352 20 0 DDADMM CC(C)S(=O)(=O)CCC[N@@H+]1[C@H](C)[C@H](C(=O)[O-])CC[C@@H]1C ZINC000568782786 304312179 /nfs/dbraw/zinc/31/21/79/304312179.db2.gz DVGOVBBAWLWWOP-YNEHKIRRSA-N -1 1 305.440 1.773 20 0 DDADMM C[C@@H]1CCN([C@@H]2CCN(c3ccccc3F)C2=O)C[C@H]1C(=O)[O-] ZINC000568883203 304319510 /nfs/dbraw/zinc/31/95/10/304319510.db2.gz SPGJHJWFAITCTB-LALPHHSUSA-N -1 1 320.364 1.974 20 0 DDADMM O=C(Nc1cccc(-c2cc[nH]n2)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000353658803 285855617 /nfs/dbraw/zinc/85/56/17/285855617.db2.gz PIZXADNTKGDKRU-UHFFFAOYSA-N -1 1 321.300 1.060 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)Cc1csc(C)n1)[C@@H]1CCCO1 ZINC000684107999 486252874 /nfs/dbraw/zinc/25/28/74/486252874.db2.gz XZNGUTXJGYBKAU-RYUDHWBXSA-N -1 1 320.436 1.065 20 0 DDADMM COCc1nc(C(=O)NC2(c3nn[n-]n3)CCCC2)cs1 ZINC000569620413 304369841 /nfs/dbraw/zinc/36/98/41/304369841.db2.gz PLWCBHOQIUELNZ-UHFFFAOYSA-N -1 1 308.367 1.002 20 0 DDADMM CO[C@H](CNC(=O)CCc1nn[n-]n1)c1cccc(Cl)c1 ZINC000631554190 422829797 /nfs/dbraw/zinc/82/97/97/422829797.db2.gz XGHVUGPHCONQIQ-LLVKDONJSA-N -1 1 309.757 1.290 20 0 DDADMM O=C(CN1CCCC[C@H]1c1ccc(F)cc1)Nc1nnn[n-]1 ZINC000570142405 304397659 /nfs/dbraw/zinc/39/76/59/304397659.db2.gz FLBXSUCSKJTZIS-LBPRGKRZSA-N -1 1 304.329 1.505 20 0 DDADMM O=C(CN1CCCC[C@H]1c1ccc(F)cc1)Nc1nn[n-]n1 ZINC000570142405 304397661 /nfs/dbraw/zinc/39/76/61/304397661.db2.gz FLBXSUCSKJTZIS-LBPRGKRZSA-N -1 1 304.329 1.505 20 0 DDADMM CN(CCNC(=O)c1csc(=NC2CC2)[n-]1)CC(F)F ZINC000629844632 422834904 /nfs/dbraw/zinc/83/49/04/422834904.db2.gz RPRFDYSOSZYBSS-UHFFFAOYSA-N -1 1 304.366 1.066 20 0 DDADMM COc1ccc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)cn1 ZINC000074273299 406906668 /nfs/dbraw/zinc/90/66/68/406906668.db2.gz YMWFDEVPGPTPBM-UHFFFAOYSA-N -1 1 310.313 1.257 20 0 DDADMM CC(C)(C)[C@@H](NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000035390826 406972093 /nfs/dbraw/zinc/97/20/93/406972093.db2.gz WAJBNURAZMMWEB-JTQLQIEISA-N -1 1 307.318 1.742 20 0 DDADMM COCc1ccccc1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000074455170 406912746 /nfs/dbraw/zinc/91/27/46/406912746.db2.gz OHOXHVGGGFOPMT-UHFFFAOYSA-N -1 1 323.352 2.000 20 0 DDADMM CCc1nc(S(=O)(=O)[C@@H](C)C(=O)Nc2ccccc2)n[n-]1 ZINC000086170395 407108197 /nfs/dbraw/zinc/10/81/97/407108197.db2.gz OQMUFPNOMUQGAT-VIFPVBQESA-N -1 1 308.363 1.168 20 0 DDADMM Cc1ccccc1[C@H](C)NC(=O)[C@@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000086169941 407109387 /nfs/dbraw/zinc/10/93/87/407109387.db2.gz UOOZJGOEEMVSMI-WDEREUQCSA-N -1 1 322.390 1.153 20 0 DDADMM Cc1ccccc1[C@H](C)NC(=O)[C@@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000086169941 407109390 /nfs/dbraw/zinc/10/93/90/407109390.db2.gz UOOZJGOEEMVSMI-WDEREUQCSA-N -1 1 322.390 1.153 20 0 DDADMM CN(C)C(=O)c1cccc(C(=O)NCc2n[n-]c(=S)n2C)c1 ZINC000066625466 407256024 /nfs/dbraw/zinc/25/60/24/407256024.db2.gz OYDCHXWYUXRMLD-UHFFFAOYSA-N -1 1 319.390 1.109 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)CC1(O)CCCC1 ZINC000124390623 407356635 /nfs/dbraw/zinc/35/66/35/407356635.db2.gz RDLNVVBYFBVWPZ-UHFFFAOYSA-N -1 1 311.407 1.177 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1OCCc2ccccc21)c1nn[n-]n1 ZINC000124360937 407356228 /nfs/dbraw/zinc/35/62/28/407356228.db2.gz QNMSUTPMOWCUGF-MFKMUULPSA-N -1 1 301.350 1.076 20 0 DDADMM C[C@@H]1CC[C@@H](C(N)=O)CN1Cc1nc(=O)c2sccc2[n-]1 ZINC000111220420 407401685 /nfs/dbraw/zinc/40/16/85/407401685.db2.gz LOCWZJMCAZWILF-RKDXNWHRSA-N -1 1 306.391 1.070 20 0 DDADMM O=C(NCc1ccc2c(c1)OCCCO2)c1cncc([O-])c1 ZINC000111598588 407411975 /nfs/dbraw/zinc/41/19/75/407411975.db2.gz DYRFXHKZJRAKNW-UHFFFAOYSA-N -1 1 300.314 1.879 20 0 DDADMM O=C(CS(=O)(=O)c1n[n-]c(C2CC2)n1)Nc1cccc(F)c1 ZINC000127163546 407429977 /nfs/dbraw/zinc/42/99/77/407429977.db2.gz JLMDNUDHPHJPJW-UHFFFAOYSA-N -1 1 324.337 1.234 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2ccnc(C)c2)cc1 ZINC000112496195 407431657 /nfs/dbraw/zinc/43/16/57/407431657.db2.gz SCJXKZUGFGAAPS-UHFFFAOYSA-N -1 1 305.359 1.550 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)NC(=O)c1ccc(Cl)cc1[O-] ZINC000113134201 407469060 /nfs/dbraw/zinc/46/90/60/407469060.db2.gz QEBIMPSCZRKBID-MRVPVSSYSA-N -1 1 305.783 1.599 20 0 DDADMM C[C@H]1C[C@@H](NS(=O)(=O)c2c[nH]cn2)CN1Cc1ccccc1 ZINC000128171580 407504836 /nfs/dbraw/zinc/50/48/36/407504836.db2.gz QSJWTGVVTBTICM-GXTWGEPZSA-N -1 1 320.418 1.351 20 0 DDADMM Cc1ccnc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)c1 ZINC000151550166 407454310 /nfs/dbraw/zinc/45/43/10/407454310.db2.gz WYSURLGNLAEKGG-UHFFFAOYSA-N -1 1 311.301 1.288 20 0 DDADMM Cc1ccnc(NC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[n-]2)c1 ZINC000151550166 407454315 /nfs/dbraw/zinc/45/43/15/407454315.db2.gz WYSURLGNLAEKGG-UHFFFAOYSA-N -1 1 311.301 1.288 20 0 DDADMM CC1(C)OCC(C)(C(=O)Nc2n[n-]c(C(F)(F)F)n2)CO1 ZINC000271130749 407532491 /nfs/dbraw/zinc/53/24/91/407532491.db2.gz QCOIGJXIJMVMNR-UHFFFAOYSA-N -1 1 308.260 1.551 20 0 DDADMM O=C(CCOc1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129306203 407601859 /nfs/dbraw/zinc/60/18/59/407601859.db2.gz FAMLGLNRANKZPX-GFCCVEGCSA-N -1 1 301.350 1.375 20 0 DDADMM O=C([N-]C[C@@H](c1ccccc1)N1CCOCC1)C(F)(F)F ZINC000171245300 407636910 /nfs/dbraw/zinc/63/69/10/407636910.db2.gz CILIIISJSQBWBY-LBPRGKRZSA-N -1 1 302.296 1.738 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2C[C@@H](C)C[C@H](C)C2)co1 ZINC000178948749 407668857 /nfs/dbraw/zinc/66/88/57/407668857.db2.gz QDIKWRGXVAZUCO-UWVGGRQHSA-N -1 1 314.407 1.742 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)[C@H]2CCCCO2)o1 ZINC000272077399 407766419 /nfs/dbraw/zinc/76/64/19/407766419.db2.gz RXGJMCBWHJYLMV-NXEZZACHSA-N -1 1 317.363 1.302 20 0 DDADMM O=C(NCCCc1nnc2n1CCCCC2)c1cncc([O-])c1 ZINC000171869445 407786660 /nfs/dbraw/zinc/78/66/60/407786660.db2.gz TXMJHXSGTSUTBS-UHFFFAOYSA-N -1 1 315.377 1.468 20 0 DDADMM CCc1nnc(NC(=O)c2cnc3n(C)[n-]cc-3c2=O)s1 ZINC000179445578 407788630 /nfs/dbraw/zinc/78/86/30/407788630.db2.gz BYHMEYVFDKPKPA-UHFFFAOYSA-N -1 1 304.335 1.340 20 0 DDADMM CS(=O)(=O)[C@@H]1CSCCN1C(=O)c1cc(F)ccc1[O-] ZINC000132900845 407808064 /nfs/dbraw/zinc/80/80/64/407808064.db2.gz YQQNMDLMRSQLRX-LLVKDONJSA-N -1 1 319.379 1.091 20 0 DDADMM C[C@@H](C(=O)Nc1ccc2c(c1)OCCO2)N(C)CCC(=O)[O-] ZINC000262634648 407886179 /nfs/dbraw/zinc/88/61/79/407886179.db2.gz HVCUKPQTWFDSGY-JTQLQIEISA-N -1 1 308.334 1.191 20 0 DDADMM Cc1cccc(OCCCNC(=O)C[N@@H+](C)CCC(=O)[O-])c1 ZINC000262628875 407886924 /nfs/dbraw/zinc/88/69/24/407886924.db2.gz GLVGXJJSWFKTMM-UHFFFAOYSA-N -1 1 308.378 1.287 20 0 DDADMM Cc1cccc(OCCCNC(=O)CN(C)CCC(=O)[O-])c1 ZINC000262628875 407886929 /nfs/dbraw/zinc/88/69/29/407886929.db2.gz GLVGXJJSWFKTMM-UHFFFAOYSA-N -1 1 308.378 1.287 20 0 DDADMM O=C([O-])CN(C(=O)c1cc(-c2ccc(F)cc2)[nH]n1)C1CC1 ZINC000238085962 407887796 /nfs/dbraw/zinc/88/77/96/407887796.db2.gz UWAQFLUJDFCQLC-UHFFFAOYSA-N -1 1 303.293 1.905 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1COc2ccccc2C1)c1nn[n-]n1 ZINC000187344795 407846570 /nfs/dbraw/zinc/84/65/70/407846570.db2.gz LEQRUIIRHFVFIT-JQWIXIFHSA-N -1 1 301.350 1.013 20 0 DDADMM COC1CCC(N(C)C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000119257626 408023749 /nfs/dbraw/zinc/02/37/49/408023749.db2.gz VORRQVLIZWHGSJ-UHFFFAOYSA-N -1 1 318.377 1.704 20 0 DDADMM Cc1ncc(CN(C)C(=O)c2c[n-]c3c(cnn3C)c2=O)s1 ZINC000181805785 408041228 /nfs/dbraw/zinc/04/12/28/408041228.db2.gz TYQQSUKRZLJQIJ-UHFFFAOYSA-N -1 1 317.374 1.711 20 0 DDADMM CCC[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000119445325 408070614 /nfs/dbraw/zinc/07/06/14/408070614.db2.gz AXVQUULAGVRGCU-JTQLQIEISA-N -1 1 304.350 1.315 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000263420896 408135455 /nfs/dbraw/zinc/13/54/55/408135455.db2.gz LAXDJSMLGRGJBM-OAHLLOKOSA-N -1 1 300.318 1.409 20 0 DDADMM O=C(NCCn1cccn1)c1ccc(Br)c([O-])c1 ZINC000137789666 408162711 /nfs/dbraw/zinc/16/27/11/408162711.db2.gz UUJSFACVQMJBMU-UHFFFAOYSA-N -1 1 310.151 1.781 20 0 DDADMM CCCOc1nc(C)ccc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000190215082 408191624 /nfs/dbraw/zinc/19/16/24/408191624.db2.gz GFHHFQWKZIQDBW-JTQLQIEISA-N -1 1 318.381 1.568 20 0 DDADMM CC1(CC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CCCCC1 ZINC000156414923 408255049 /nfs/dbraw/zinc/25/50/49/408255049.db2.gz GDWBVTDSBCPQAU-UHFFFAOYSA-N -1 1 300.384 1.507 20 0 DDADMM CC1(CC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CCCCC1 ZINC000156414923 408255056 /nfs/dbraw/zinc/25/50/56/408255056.db2.gz GDWBVTDSBCPQAU-UHFFFAOYSA-N -1 1 300.384 1.507 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc2ccccc2cc1O)c1nn[n-]n1 ZINC000156549065 408262308 /nfs/dbraw/zinc/26/23/08/408262308.db2.gz ZVUCFZKJBVLNEB-JTQLQIEISA-N -1 1 311.345 1.934 20 0 DDADMM COc1ccccc1S(=O)(=O)[N-]c1cc(C(N)=O)ccc1F ZINC000175773561 408201278 /nfs/dbraw/zinc/20/12/78/408201278.db2.gz KYURIXSOCIWSBO-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM CNC(=O)[C@H](C)CN(C)C(=O)c1cc2ccccc2cc1[O-] ZINC000175857113 408223013 /nfs/dbraw/zinc/22/30/13/408223013.db2.gz IGTHEVKWPVOMRA-LLVKDONJSA-N -1 1 300.358 2.000 20 0 DDADMM C[C@H](CN(C)C(=O)c1occc1Br)c1nn[n-]n1 ZINC000273504871 408225943 /nfs/dbraw/zinc/22/59/43/408225943.db2.gz MSGJUMLNDBMWKL-ZCFIWIBFSA-N -1 1 314.143 1.431 20 0 DDADMM COc1ccccc1[C@H](C)CC(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000176252956 408324668 /nfs/dbraw/zinc/32/46/68/408324668.db2.gz XRYVFXGTETWGDJ-LLVKDONJSA-N -1 1 318.377 1.349 20 0 DDADMM Cc1ccc(S(=O)(=O)N[C@H](C)c2nnc[nH]2)c(C)c1C(=O)[O-] ZINC000273676572 408284698 /nfs/dbraw/zinc/28/46/98/408284698.db2.gz GYXBWHYAQGYTDA-SECBINFHSA-N -1 1 324.362 1.159 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H]1c2ccccc2NC1=O)c1nn[n-]n1 ZINC000136670226 162120757 /nfs/dbraw/zinc/12/07/57/162120757.db2.gz NZHFYBWFEUEYLT-PWSUYJOCSA-N -1 1 314.349 1.283 20 0 DDADMM O=C(NCCc1nnc2n1CCCC2)c1ccc([O-])cc1F ZINC000166297960 162165963 /nfs/dbraw/zinc/16/59/63/162165963.db2.gz CQSQYOKDTYXSGK-UHFFFAOYSA-N -1 1 304.325 1.432 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cccc3[nH]ccc32)o1 ZINC000170025288 162184123 /nfs/dbraw/zinc/18/41/23/162184123.db2.gz YETXQUUQAYQJNB-UHFFFAOYSA-N -1 1 319.342 1.921 20 0 DDADMM Cn1cnnc1-c1cncc(NC(=O)c2ccc(O)cc2[O-])c1 ZINC000159171789 408388968 /nfs/dbraw/zinc/38/89/68/408388968.db2.gz CRMVGTNQCLZNMN-UHFFFAOYSA-N -1 1 311.301 1.541 20 0 DDADMM C[C@H](CCOc1ccccc1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183407828 408400900 /nfs/dbraw/zinc/40/09/00/408400900.db2.gz MRRBTAFQQOSVHI-OLZOCXBDSA-N -1 1 317.393 1.867 20 0 DDADMM Cc1ccccc1OCCCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183411029 408403323 /nfs/dbraw/zinc/40/33/23/408403323.db2.gz FHUZPMKGRNUACV-ZDUSSCGKSA-N -1 1 317.393 1.929 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)Sc1ccccn1)c1nn[n-]n1 ZINC000183423306 408408664 /nfs/dbraw/zinc/40/86/64/408408664.db2.gz ZKJUBSDVOGYSCD-UWVGGRQHSA-N -1 1 306.395 1.337 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(-c2ccccc2)n[nH]1)c1nn[n-]n1 ZINC000183433466 408409636 /nfs/dbraw/zinc/40/96/36/408409636.db2.gz XWDFBWXTVFYTAK-SNVBAGLBSA-N -1 1 311.349 1.466 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(-c2ccc(C)o2)n[nH]1)c1nn[n-]n1 ZINC000176743062 408430581 /nfs/dbraw/zinc/43/05/81/408430581.db2.gz SUWJTECSQOTTRR-SECBINFHSA-N -1 1 315.337 1.762 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CCCO[C@@H]1C ZINC000191797089 408493042 /nfs/dbraw/zinc/49/30/42/408493042.db2.gz WEJRMRZGLWVTEZ-VXGBXAGGSA-N -1 1 318.377 1.071 20 0 DDADMM CC(C)Oc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccn1 ZINC000274723625 408509096 /nfs/dbraw/zinc/50/90/96/408509096.db2.gz BEGDBTRZWNAWRK-SNVBAGLBSA-N -1 1 304.354 1.258 20 0 DDADMM C[C@H](CN(C)C(=O)c1c[nH]c(-c2ccccc2)n1)c1nn[n-]n1 ZINC000274737273 408514211 /nfs/dbraw/zinc/51/42/11/408514211.db2.gz DYSRPKWTNBXITC-SNVBAGLBSA-N -1 1 311.349 1.466 20 0 DDADMM CCc1cc(N2CCC([N-]C(=O)C(F)(F)F)CC2)ncn1 ZINC000177184616 408546884 /nfs/dbraw/zinc/54/68/84/408546884.db2.gz GINSFOLRKFLKHJ-UHFFFAOYSA-N -1 1 302.300 1.686 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1SCCS1 ZINC000270510263 408558178 /nfs/dbraw/zinc/55/81/78/408558178.db2.gz OMFGJCJHOJOMRQ-UHFFFAOYSA-N -1 1 324.431 1.062 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1C[C@H]1c1cccc(F)c1F)c1nn[n-]n1 ZINC000248429528 408565112 /nfs/dbraw/zinc/56/51/12/408565112.db2.gz QPKFIGPPEXGBJM-MIMYLULJSA-N -1 1 321.331 1.844 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)c2cccnc2)o1 ZINC000177331032 408581477 /nfs/dbraw/zinc/58/14/77/408581477.db2.gz WAKAYGOARIJRGE-SNVBAGLBSA-N -1 1 324.358 1.891 20 0 DDADMM C[C@@H](Oc1ccc(F)cc1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000274802075 408538361 /nfs/dbraw/zinc/53/83/61/408538361.db2.gz SNRASBXMLKOBDG-VHSXEESVSA-N -1 1 307.329 1.368 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@H]1CCCC(=O)N1 ZINC000275732745 408662553 /nfs/dbraw/zinc/66/25/53/408662553.db2.gz ADINPULNMHUXNH-ZCFIWIBFSA-N -1 1 314.139 1.157 20 0 DDADMM Cc1nccc(N2CCN(C(=O)c3cc(F)ccc3[O-])CC2)n1 ZINC000184937990 408723139 /nfs/dbraw/zinc/72/31/39/408723139.db2.gz NBBFUSOGMGXBKL-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM CC(C)OC(=O)CCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000162452531 408701755 /nfs/dbraw/zinc/70/17/55/408701755.db2.gz GWBRWYNBIFMAJC-UHFFFAOYSA-N -1 1 321.345 1.975 20 0 DDADMM NC(=O)c1ccc(C(=O)[N-]c2nnc(C(F)F)s2)s1 ZINC000194636292 408790334 /nfs/dbraw/zinc/79/03/34/408790334.db2.gz NWZWSDIHKFZMKM-UHFFFAOYSA-N -1 1 304.303 1.888 20 0 DDADMM Cc1noc(C)c1C[C@@H](C)[N-]S(=O)(=O)c1c(C)onc1N ZINC000290855653 408844884 /nfs/dbraw/zinc/84/48/84/408844884.db2.gz WVCSEFHHKXKHFQ-ZCFIWIBFSA-N -1 1 314.367 1.080 20 0 DDADMM C[C@H](CN(C)C(=O)COc1cc(F)cc(F)c1)c1nn[n-]n1 ZINC000291590079 408891399 /nfs/dbraw/zinc/89/13/99/408891399.db2.gz DIVDKFILDLIVCR-MRVPVSSYSA-N -1 1 311.292 1.119 20 0 DDADMM CSCc1cccnc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000291765857 408920125 /nfs/dbraw/zinc/92/01/25/408920125.db2.gz WAHQXZFWGRORKA-VIFPVBQESA-N -1 1 306.395 1.333 20 0 DDADMM C[C@H](CN(C)C(=O)c1n[nH]c2c1CCCCC2)c1nn[n-]n1 ZINC000191022457 163169667 /nfs/dbraw/zinc/16/96/67/163169667.db2.gz FQUISTHZLBTXKE-SECBINFHSA-N -1 1 303.370 1.067 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)c3cc[nH]c3)CC2)n1 ZINC000277749201 409004845 /nfs/dbraw/zinc/00/48/45/409004845.db2.gz PKZBSSVCCOAYEQ-UHFFFAOYSA-N -1 1 317.349 1.329 20 0 DDADMM CS(=O)(=O)[C@@H]1CCN(Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000282197080 409009315 /nfs/dbraw/zinc/00/93/15/409009315.db2.gz NRNHYSYAJZKNRK-GFCCVEGCSA-N -1 1 323.370 1.118 20 0 DDADMM C[C@@H]1C[C@@H]1c1cc(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)n[nH]1 ZINC000287293690 409015684 /nfs/dbraw/zinc/01/56/84/409015684.db2.gz UUVOQIDEWADCLC-FHXTWORDSA-N -1 1 311.349 1.832 20 0 DDADMM C[C@H]1COCC[C@H]1CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287580502 409059138 /nfs/dbraw/zinc/05/91/38/409059138.db2.gz LJCPAEPPOGYCOU-NGZWOASGSA-N -1 1 303.366 1.136 20 0 DDADMM CCOC(=O)CN(C(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000283065457 409080179 /nfs/dbraw/zinc/08/01/79/409080179.db2.gz PFDYXKDLOFGBCR-UHFFFAOYSA-N -1 1 320.374 1.186 20 0 DDADMM CCC(CC)(CNC(=O)C(=O)c1ccc([O-])cc1)C(=O)OC ZINC000287732166 409082997 /nfs/dbraw/zinc/08/29/97/409082997.db2.gz ONXBJRQLNJGQRJ-UHFFFAOYSA-N -1 1 307.346 1.671 20 0 DDADMM O=C(NC[C@H]1CC[C@@H](CO)O1)c1ccc2ccccc2c1[O-] ZINC000293852156 409193072 /nfs/dbraw/zinc/19/30/72/409193072.db2.gz JEEPZZZFRUYHFK-OLZOCXBDSA-N -1 1 301.342 1.815 20 0 DDADMM COc1cc(CC(=O)[N-]O[C@@H]2CCCCO2)cc(OC)c1O ZINC000279338017 409195204 /nfs/dbraw/zinc/19/52/04/409195204.db2.gz YRWGBULMYDKHNY-CQSZACIVSA-N -1 1 311.334 1.526 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]Cc2ccoc2)c(C(F)(F)F)n1 ZINC000294006552 409224733 /nfs/dbraw/zinc/22/47/33/409224733.db2.gz JTSKVJSBECFNAU-UHFFFAOYSA-N -1 1 309.269 1.510 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCCc1ccccc1F ZINC000289264639 409240308 /nfs/dbraw/zinc/24/03/08/409240308.db2.gz ANTVKKYBDROMLT-UHFFFAOYSA-N -1 1 313.354 1.615 20 0 DDADMM COC[C@@H](NC(=O)c1c(F)ccc([O-])c1F)[C@@H]1CCCO1 ZINC000289375393 409256996 /nfs/dbraw/zinc/25/69/96/409256996.db2.gz BFLLJFHFXGAZAF-KOLCDFICSA-N -1 1 301.289 1.594 20 0 DDADMM O=C(N1CCc2ccccc21)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000288982309 409203990 /nfs/dbraw/zinc/20/39/90/409203990.db2.gz RTRQYUCQXROYNG-GFCCVEGCSA-N -1 1 313.361 1.460 20 0 DDADMM O=C(Nc1cccc(-c2n[nH]c(=O)[n-]2)c1)[C@H]1CCCN1C1CC1 ZINC000289026417 409208767 /nfs/dbraw/zinc/20/87/67/409208767.db2.gz KNYODWQOCMEDOG-CYBMUJFWSA-N -1 1 313.361 1.330 20 0 DDADMM NC(=O)NC(=O)OCCCSc1nc(C(F)F)cc(=O)[n-]1 ZINC000289452372 409275584 /nfs/dbraw/zinc/27/55/84/409275584.db2.gz FEMKNRSCZNVPLX-UHFFFAOYSA-N -1 1 322.293 1.407 20 0 DDADMM C[C@@H]1CCC[C@@]1(O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294326089 409280097 /nfs/dbraw/zinc/28/00/97/409280097.db2.gz PNVKAVTVDASTCF-YMTOWFKASA-N -1 1 306.391 1.299 20 0 DDADMM Cc1cnn([C@H]2CCN(CC(=O)[N-]OCc3ccccc3)C2)c1 ZINC000289747056 409286223 /nfs/dbraw/zinc/28/62/23/409286223.db2.gz FFUNCDBGVHIYLL-INIZCTEOSA-N -1 1 314.389 1.686 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC000284172075 409289864 /nfs/dbraw/zinc/28/98/64/409289864.db2.gz GEWGYXORWLOFPK-JTQLQIEISA-N -1 1 308.762 1.267 20 0 DDADMM Cc1nc2c(cccc2C(=O)N(C)C[C@@H](C)c2nn[n-]n2)o1 ZINC000280375972 409302223 /nfs/dbraw/zinc/30/22/23/409302223.db2.gz SVTBKEVDTHOWFR-MRVPVSSYSA-N -1 1 300.322 1.525 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1F)N1CCC(C(=O)[O-])CC1 ZINC000262690748 163865624 /nfs/dbraw/zinc/86/56/24/163865624.db2.gz ZFHJPFVWFLZGBC-NSHDSACASA-N -1 1 308.353 1.627 20 0 DDADMM CC(C)(C)OC(=O)NCCCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000280711669 409419974 /nfs/dbraw/zinc/41/99/74/409419974.db2.gz GFNOMVORVFXROB-UHFFFAOYSA-N -1 1 322.361 1.606 20 0 DDADMM CCOC(=O)N1CCC(NC(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000280735678 409430826 /nfs/dbraw/zinc/43/08/26/409430826.db2.gz JBTSNLXQMLFXDR-UHFFFAOYSA-N -1 1 320.345 1.312 20 0 DDADMM CNS(=O)(=O)C1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000295341130 409442082 /nfs/dbraw/zinc/44/20/82/409442082.db2.gz MRWNRMSKHJUZPT-UHFFFAOYSA-N -1 1 318.826 1.559 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC1CC(C(F)(F)F)C1)c2=O ZINC000360508751 164149284 /nfs/dbraw/zinc/14/92/84/164149284.db2.gz CVBBXELXRLUMMQ-UHFFFAOYSA-N -1 1 314.267 1.745 20 0 DDADMM CC[C@H]1CN(C(=O)c2cnc3nc(C)ccc3c2[O-])C[C@H]1O ZINC000408015440 164198917 /nfs/dbraw/zinc/19/89/17/164198917.db2.gz BSULDQILWFLWJF-GXFFZTMASA-N -1 1 301.346 1.487 20 0 DDADMM CC[C@H]1CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C[C@H]1O ZINC000408015440 164198920 /nfs/dbraw/zinc/19/89/20/164198920.db2.gz BSULDQILWFLWJF-GXFFZTMASA-N -1 1 301.346 1.487 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@H]([C@@H](C)O)C1 ZINC000408165953 164249071 /nfs/dbraw/zinc/24/90/71/164249071.db2.gz OMQQNWJRHWNNCK-MNOVXSKESA-N -1 1 307.394 1.631 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H](O)C(C)(C)C3)cnc2n1 ZINC000408452950 164335963 /nfs/dbraw/zinc/33/59/63/164335963.db2.gz OYNQKNMYVARYKB-GFCCVEGCSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@@H](O)C(C)(C)C3)c[n-]c2n1 ZINC000408452950 164335965 /nfs/dbraw/zinc/33/59/65/164335965.db2.gz OYNQKNMYVARYKB-GFCCVEGCSA-N -1 1 301.346 1.487 20 0 DDADMM CO[C@@H](C)c1nc(=NCCCN(C)CC(F)(F)F)s[n-]1 ZINC000337904348 409557068 /nfs/dbraw/zinc/55/70/68/409557068.db2.gz ACUQMVDFYALBHC-QMMMGPOBSA-N -1 1 312.361 1.964 20 0 DDADMM CN(C)Cc1ccc(CNC(=O)N=c2[n-]ncs2)cc1F ZINC000342713522 409839550 /nfs/dbraw/zinc/83/95/50/409839550.db2.gz HHQWZZIKSZLNST-UHFFFAOYSA-N -1 1 309.370 1.482 20 0 DDADMM C[C@@H](CSCc1ccccc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357048392 409818861 /nfs/dbraw/zinc/81/88/61/409818861.db2.gz MOLWMWGPKZTZPK-NSHDSACASA-N -1 1 317.418 1.875 20 0 DDADMM Cn1cnnc1CNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338340034 409923473 /nfs/dbraw/zinc/92/34/73/409923473.db2.gz VWVUZRXNEDXWBZ-UHFFFAOYSA-N -1 1 317.736 1.652 20 0 DDADMM CCc1nn(C)cc1[N-]S(=O)(=O)CCOCC(F)(F)F ZINC000357093802 409871367 /nfs/dbraw/zinc/87/13/67/409871367.db2.gz YWEQXNORTHJTKQ-UHFFFAOYSA-N -1 1 315.317 1.303 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC000319047034 409887552 /nfs/dbraw/zinc/88/75/52/409887552.db2.gz VBJIIGYQLKTYEM-GWCFXTLKSA-N -1 1 308.382 1.403 20 0 DDADMM CC(C)(CO)O[N-]C(=O)[C@@H](c1ccccc1)N1CCC(O)CC1 ZINC000297282794 409891402 /nfs/dbraw/zinc/89/14/02/409891402.db2.gz SYBXVRWWXQHXBB-OAHLLOKOSA-N -1 1 322.405 1.003 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2cc3ccccc3cc2[O-])CCS1(=O)=O ZINC000319309952 409892290 /nfs/dbraw/zinc/89/22/90/409892290.db2.gz XNYBITCSOWTENE-QMTHXVAHSA-N -1 1 319.382 1.851 20 0 DDADMM COCCc1nc(=NCc2ccc(CN(C)C)c(F)c2)s[n-]1 ZINC000342770767 409895328 /nfs/dbraw/zinc/89/53/28/409895328.db2.gz ZWCJLIDSAVDWBC-UHFFFAOYSA-N -1 1 324.425 1.962 20 0 DDADMM Cc1ccc2c(C[N@@H+]3C[C@H](CO)O[C@@H](C)C3)ccc(O)c2n1 ZINC000338391437 409953529 /nfs/dbraw/zinc/95/35/29/409953529.db2.gz VSJSRPDYGKLFMH-GXTWGEPZSA-N -1 1 302.374 1.830 20 0 DDADMM CS(=O)(=O)C[C@H](NC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000346388488 409949951 /nfs/dbraw/zinc/94/99/51/409949951.db2.gz QGEGCHIKHYEBHX-AWEZNQCLSA-N -1 1 320.370 1.303 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@H](C)CCc1cccc(F)c1 ZINC000297868149 410029771 /nfs/dbraw/zinc/02/97/71/410029771.db2.gz ZGGIKVGGTJFFJO-SECBINFHSA-N -1 1 319.340 1.511 20 0 DDADMM CC(C)[C@@H]1CC[C@@H](C)C[C@H]1OCC(=O)Nc1nn[nH]c1C(N)=O ZINC000297891827 410038923 /nfs/dbraw/zinc/03/89/23/410038923.db2.gz VQCSWIIAOHSHTO-OUAUKWLOSA-N -1 1 323.397 1.320 20 0 DDADMM Cc1cnc(C(=O)N2CCCN(CC(F)(F)F)CC2)c([O-])c1 ZINC000332289740 410044051 /nfs/dbraw/zinc/04/40/51/410044051.db2.gz SRMFSTPAZOSTDI-UHFFFAOYSA-N -1 1 317.311 1.806 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(O)c1 ZINC000332343835 410079138 /nfs/dbraw/zinc/07/91/38/410079138.db2.gz RJDYKHGLGGWCAU-SECBINFHSA-N -1 1 319.317 1.509 20 0 DDADMM CCc1nnc(C)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332381445 410113352 /nfs/dbraw/zinc/11/33/52/410113352.db2.gz WYWNIXJUQJABRJ-JTQLQIEISA-N -1 1 317.349 1.456 20 0 DDADMM CC(=O)N1CCC[C@H](CN=c2nc(C(F)(F)F)[n-]s2)C1 ZINC000343063854 410114245 /nfs/dbraw/zinc/11/42/45/410114245.db2.gz HCTLXXYNAZUESZ-MRVPVSSYSA-N -1 1 308.329 1.649 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000631615931 422854247 /nfs/dbraw/zinc/85/42/47/422854247.db2.gz FHSLGCMERQZGKX-SNVBAGLBSA-N -1 1 308.765 1.468 20 0 DDADMM O=C(Cc1ccccc1O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332435258 410155418 /nfs/dbraw/zinc/15/54/18/410155418.db2.gz QSRIFCFOISZETN-NSHDSACASA-N -1 1 303.318 1.430 20 0 DDADMM O=C(c1csc(=NC2CC2)[nH]1)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000329345515 410245349 /nfs/dbraw/zinc/24/53/49/410245349.db2.gz BXBYVBZBGWBZGJ-OLZOCXBDSA-N -1 1 323.418 1.159 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000329345515 410245353 /nfs/dbraw/zinc/24/53/53/410245353.db2.gz BXBYVBZBGWBZGJ-OLZOCXBDSA-N -1 1 323.418 1.159 20 0 DDADMM O=C(c1csc(=NC2CC2)[nH]1)N1CCOC[C@H]1[C@@H]1CCCO1 ZINC000329345517 410245505 /nfs/dbraw/zinc/24/55/05/410245505.db2.gz BXBYVBZBGWBZGJ-STQMWFEESA-N -1 1 323.418 1.159 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCOC[C@H]1[C@@H]1CCCO1 ZINC000329345517 410245511 /nfs/dbraw/zinc/24/55/11/410245511.db2.gz BXBYVBZBGWBZGJ-STQMWFEESA-N -1 1 323.418 1.159 20 0 DDADMM C[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])c1cccc(O)c1 ZINC000343316164 410345862 /nfs/dbraw/zinc/34/58/62/410345862.db2.gz FDRFIMMCCIJOJI-SNVBAGLBSA-N -1 1 315.329 1.100 20 0 DDADMM CN(CC1CC(O)C1)c1ccc(=NCc2ccccc2F)[n-]n1 ZINC000579932910 422857154 /nfs/dbraw/zinc/85/71/54/422857154.db2.gz XELCSUCLWVGMRP-UHFFFAOYSA-N -1 1 316.380 1.857 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2cc(C(=O)[O-])c(C)cc2C)cn1 ZINC000343276084 410301021 /nfs/dbraw/zinc/30/10/21/410301021.db2.gz PQEYLYOXGIZMBX-UHFFFAOYSA-N -1 1 321.358 1.901 20 0 DDADMM COc1cc(C(=O)NCc2nnc3n2CCC3)cc(Cl)c1[O-] ZINC000343390851 410418312 /nfs/dbraw/zinc/41/83/12/410418312.db2.gz SYGHGUKGAYBXCJ-UHFFFAOYSA-N -1 1 322.752 1.522 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC2(CC2)C1 ZINC000333109300 410363157 /nfs/dbraw/zinc/36/31/57/410363157.db2.gz RSHGLAHLHSNHFS-UHFFFAOYSA-N -1 1 300.362 1.607 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(C)(C)[C@@H]2CCC[C@H]21 ZINC000333169581 410399696 /nfs/dbraw/zinc/39/96/96/410399696.db2.gz NIXPFOWESDOGQA-RKDXNWHRSA-N -1 1 307.350 1.547 20 0 DDADMM Cn1[n-]c(CN2CCNC(=O)[C@H]2c2ccccc2Cl)nc1=O ZINC000329685491 410412995 /nfs/dbraw/zinc/41/29/95/410412995.db2.gz UTQZXBHPLMCKRS-GFCCVEGCSA-N -1 1 321.768 1.275 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C)OC3(CCOCC3)C2)c([O-])c1 ZINC000329846297 410461170 /nfs/dbraw/zinc/46/11/70/410461170.db2.gz MDUWWIFAKWXJAZ-LBPRGKRZSA-N -1 1 306.362 1.506 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1cncc([O-])c1)c1ccc(F)cc1 ZINC000339815041 410477374 /nfs/dbraw/zinc/47/73/74/410477374.db2.gz PMPAREXFEOFNAV-CQSZACIVSA-N -1 1 318.304 1.961 20 0 DDADMM C[C@@H]1CCCC[C@@H]1CCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000343408950 410426264 /nfs/dbraw/zinc/42/62/64/410426264.db2.gz ZIJKPTBMSZKLAY-CHWSQXEVSA-N -1 1 319.405 1.850 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2cccc(C(F)F)c2)[n-]1 ZINC000359166744 410564334 /nfs/dbraw/zinc/56/43/34/410564334.db2.gz LJWQIAQBDUWKJG-UHFFFAOYSA-N -1 1 317.317 1.863 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2cccc(C(F)F)c2)n[n-]1 ZINC000359166744 410564339 /nfs/dbraw/zinc/56/43/39/410564339.db2.gz LJWQIAQBDUWKJG-UHFFFAOYSA-N -1 1 317.317 1.863 20 0 DDADMM CC[C@@H](C)c1ccccc1OCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000352210764 410550631 /nfs/dbraw/zinc/55/06/31/410550631.db2.gz MQWQBHQMUZYXKU-LLVKDONJSA-N -1 1 315.377 1.898 20 0 DDADMM Cc1nc(-c2ccc(N(C)CC(=O)N(C)C)nc2)[n-]c(=O)c1C ZINC000301187927 410673243 /nfs/dbraw/zinc/67/32/43/410673243.db2.gz UERNRRMFELIOEA-UHFFFAOYSA-N -1 1 315.377 1.385 20 0 DDADMM Cc1ccsc1CN(C)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000330412545 410675781 /nfs/dbraw/zinc/67/57/81/410675781.db2.gz BGXQCOOSOYMYBT-UHFFFAOYSA-N -1 1 301.393 1.586 20 0 DDADMM CCC(C)(C)CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000347715266 410686196 /nfs/dbraw/zinc/68/61/96/410686196.db2.gz GALIWGUJSIXNMB-UHFFFAOYSA-N -1 1 300.380 1.804 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1ncccc1[O-])c1ccc(C)cc1 ZINC000337439863 410787224 /nfs/dbraw/zinc/78/72/24/410787224.db2.gz FKJKEMJQXNVUCE-CYBMUJFWSA-N -1 1 314.341 1.782 20 0 DDADMM COc1ccc(Cl)cc1-c1nc(SCc2nn[n-]n2)n[nH]1 ZINC000353219259 410859658 /nfs/dbraw/zinc/85/96/58/410859658.db2.gz QTHSLRDFFBXMCQ-UHFFFAOYSA-N -1 1 323.769 1.939 20 0 DDADMM CCOc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1OC ZINC000359797347 410887787 /nfs/dbraw/zinc/88/77/87/410887787.db2.gz ORNIPPJKDKMQOB-UHFFFAOYSA-N -1 1 305.338 1.272 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@@]12CCCc1ccccc12 ZINC000348281135 410893664 /nfs/dbraw/zinc/89/36/64/410893664.db2.gz JLBAMKUXILZDRE-XJKSGUPXSA-N -1 1 309.373 1.599 20 0 DDADMM O=C(CCCOc1ccccc1F)NC1(c2nn[n-]n2)CC1 ZINC000348299331 410907788 /nfs/dbraw/zinc/90/77/88/410907788.db2.gz WHEHARHEWFWHOZ-UHFFFAOYSA-N -1 1 305.313 1.303 20 0 DDADMM CC(C)NC(=O)c1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000348476120 410981195 /nfs/dbraw/zinc/98/11/95/410981195.db2.gz GJFVUYVADZAONW-UHFFFAOYSA-N -1 1 316.365 1.003 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c([O-])c1 ZINC000331203315 411014128 /nfs/dbraw/zinc/01/41/28/411014128.db2.gz NWUWEUFGAMBWJS-UHFFFAOYSA-N -1 1 306.366 1.167 20 0 DDADMM CSCC[C@H](CO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331291440 411063023 /nfs/dbraw/zinc/06/30/23/411063023.db2.gz PKOYSDBVQAJMCZ-SECBINFHSA-N -1 1 310.466 1.152 20 0 DDADMM CC(=O)Nc1ccncc1[N-]S(=O)(=O)Cc1cccc(F)c1 ZINC000356511207 411063806 /nfs/dbraw/zinc/06/38/06/411063806.db2.gz FVXGIFSMVUNXOQ-UHFFFAOYSA-N -1 1 323.349 1.543 20 0 DDADMM CN(CC1CC1)S(=O)(=O)[N-]c1ccn(-c2ccccc2)n1 ZINC000348763211 411078204 /nfs/dbraw/zinc/07/82/04/411078204.db2.gz MVLGCBDFQAFSNF-UHFFFAOYSA-N -1 1 306.391 1.871 20 0 DDADMM CC(C)CC[C@H]1CCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353739388 411120548 /nfs/dbraw/zinc/12/05/48/411120548.db2.gz PITYZCPUFICUKI-NEPJUHHUSA-N -1 1 317.393 1.752 20 0 DDADMM Cc1nn(C)c2c1N(C(=O)C(=O)c1ccc([O-])cc1)CCO2 ZINC000631764219 422912095 /nfs/dbraw/zinc/91/20/95/422912095.db2.gz PZITUTSADZFBDI-UHFFFAOYSA-N -1 1 301.302 1.042 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCCC[C@H]2C)o1 ZINC000130522857 196087267 /nfs/dbraw/zinc/08/72/67/196087267.db2.gz IBPLGANFCUHYPS-MNOVXSKESA-N -1 1 314.407 1.744 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)C[C@H]2CCCCO2)c1 ZINC000631784066 422920539 /nfs/dbraw/zinc/92/05/39/422920539.db2.gz MHUFWRXWLBOEDM-GFCCVEGCSA-N -1 1 315.391 1.590 20 0 DDADMM COc1ccc2nc(C[N@@H+](C)C3(C(=O)[O-])CCCC3)[nH]c2n1 ZINC000580467497 422936054 /nfs/dbraw/zinc/93/60/54/422936054.db2.gz YTGHRLFUZMPSDN-UHFFFAOYSA-N -1 1 304.350 1.796 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cccc(C3CC3)c2)n1 ZINC000580449749 422934272 /nfs/dbraw/zinc/93/42/72/422934272.db2.gz YZEDHKNNZQLCRN-UHFFFAOYSA-N -1 1 306.347 1.338 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cccc(C3CC3)c2)[n-]1 ZINC000580449749 422934274 /nfs/dbraw/zinc/93/42/74/422934274.db2.gz YZEDHKNNZQLCRN-UHFFFAOYSA-N -1 1 306.347 1.338 20 0 DDADMM C[C@H](CCCC(=O)[O-])NS(=O)(=O)c1c(F)cccc1F ZINC000131840642 196203620 /nfs/dbraw/zinc/20/36/20/196203620.db2.gz NFFULLVALBANEX-MRVPVSSYSA-N -1 1 307.318 1.887 20 0 DDADMM O=C1CCC[C@@H](C[N-]S(=O)(=O)c2sccc2Cl)N1 ZINC000649963963 423006104 /nfs/dbraw/zinc/00/61/04/423006104.db2.gz CVLBSSQIRIISKF-ZETCQYMHSA-N -1 1 308.812 1.349 20 0 DDADMM Cn1c(CCN2CCOC[C@H]2CC(=O)[O-])nc2ccccc21 ZINC000652475715 423034602 /nfs/dbraw/zinc/03/46/02/423034602.db2.gz FJYDGICKFQWCFN-GFCCVEGCSA-N -1 1 303.362 1.291 20 0 DDADMM Cc1cc(-n2ccnc2)ccc1CN1CCO[C@@H](CC(=O)[O-])C1 ZINC000652479607 423036256 /nfs/dbraw/zinc/03/62/56/423036256.db2.gz LAGXPVDZJQCNCY-INIZCTEOSA-N -1 1 315.373 1.856 20 0 DDADMM C[C@H](c1nnnn1C1CC1)N1CCC[C@H](C(C)(C)C(=O)[O-])C1 ZINC000652498425 423044458 /nfs/dbraw/zinc/04/44/58/423044458.db2.gz HQGAPUNWINIPIE-MNOVXSKESA-N -1 1 307.398 1.892 20 0 DDADMM C[C@@H]1CN(Cc2nncn2-c2ccccc2)C[C@]1(C)C(=O)[O-] ZINC000652485531 423039339 /nfs/dbraw/zinc/03/93/39/423039339.db2.gz VWMFOESBXJWMEW-WBMJQRKESA-N -1 1 300.362 1.810 20 0 DDADMM O=S(=O)([N-]CCO[C@H]1CCCCO1)c1cc(F)ccc1F ZINC000647885499 423099406 /nfs/dbraw/zinc/09/94/06/423099406.db2.gz UVSOYVSGBNPRGJ-ZDUSSCGKSA-N -1 1 321.345 1.786 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2COCC2(C)C)c(F)c1 ZINC000650263081 423105328 /nfs/dbraw/zinc/10/53/28/423105328.db2.gz CKXQEVIYMXWTQJ-LLVKDONJSA-N -1 1 321.345 1.677 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]1CCC(C)C ZINC000416174712 224821254 /nfs/dbraw/zinc/82/12/54/224821254.db2.gz HAGDJQKAHGYFHK-JTQLQIEISA-N -1 1 309.366 1.937 20 0 DDADMM CO[C@H]1CCCC[C@H]1S(=O)(=O)[N-]c1ccc(C(N)=O)cc1 ZINC000647920302 423116806 /nfs/dbraw/zinc/11/68/06/423116806.db2.gz KMCJHAIGODTXDN-QWHCGFSZSA-N -1 1 312.391 1.485 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2C[C@H]2c2cccnc2)cc(=O)[n-]1 ZINC000640654822 423115537 /nfs/dbraw/zinc/11/55/37/423115537.db2.gz ARRWFBFUTLIEDM-NWDGAFQWSA-N -1 1 316.386 1.719 20 0 DDADMM CO[C@H]1CCCC[C@H]1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640655386 423116071 /nfs/dbraw/zinc/11/60/71/423116071.db2.gz LQYVGYJJNUXUAY-MNOVXSKESA-N -1 1 311.407 1.726 20 0 DDADMM CC[C@@](COC)([N-]S(=O)(=O)CCC(C)(C)C)C(=O)OC ZINC000416518592 287400603 /nfs/dbraw/zinc/40/06/03/287400603.db2.gz UIANWVULBFCDQC-ZDUSSCGKSA-N -1 1 309.428 1.310 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)[N-]OC(C)(C)CO)CC2=O)cc1 ZINC000297239142 226885797 /nfs/dbraw/zinc/88/57/97/226885797.db2.gz PGMAZKIYRQGJSH-LBPRGKRZSA-N -1 1 306.362 1.167 20 0 DDADMM Cc1cc(=O)[nH]c([C@H](C)[N@H+]2CC[C@H](Cc3cnn(C)c3)C2)n1 ZINC000366153435 418434185 /nfs/dbraw/zinc/43/41/85/418434185.db2.gz OQTDUCZBDYJAJW-QWHCGFSZSA-N -1 1 301.394 1.850 20 0 DDADMM COC(=O)c1ccc(C(=O)[N-]c2n[nH]c(-c3ccncc3)n2)o1 ZINC000366628468 418498768 /nfs/dbraw/zinc/49/87/68/418498768.db2.gz OFDVNHOJHGJWLN-UHFFFAOYSA-N -1 1 313.273 1.499 20 0 DDADMM NC(=O)c1ccc(C(=O)[N-]c2nnc(C(F)(F)F)s2)s1 ZINC000195492098 418557294 /nfs/dbraw/zinc/55/72/94/418557294.db2.gz PFNBDQBJEZHOSY-UHFFFAOYSA-N -1 1 322.293 1.970 20 0 DDADMM Cc1nc(-c2ccccc2)ncc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000361079479 418578472 /nfs/dbraw/zinc/57/84/72/418578472.db2.gz JHKPQJVCCFFROA-UHFFFAOYSA-N -1 1 323.360 1.630 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCOC[C@@H]1c1ccccc1 ZINC000367706681 418624176 /nfs/dbraw/zinc/62/41/76/418624176.db2.gz OECDRHNGKURUFD-GFCCVEGCSA-N -1 1 323.374 1.158 20 0 DDADMM O=C(NCCC1(CO)CCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000650378769 423144516 /nfs/dbraw/zinc/14/45/16/423144516.db2.gz RDDIVGPUBXVXJY-UHFFFAOYSA-N -1 1 301.346 1.206 20 0 DDADMM CC(C)c1ccc(OCCC(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000410857148 418852362 /nfs/dbraw/zinc/85/23/62/418852362.db2.gz HJYAVCSHBHHSAQ-UHFFFAOYSA-N -1 1 317.349 1.435 20 0 DDADMM COCc1cccc(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000372409076 418865926 /nfs/dbraw/zinc/86/59/26/418865926.db2.gz PZKFJFGZNKUNCU-CQSZACIVSA-N -1 1 315.377 1.295 20 0 DDADMM Cc1ncc2c(n1)CC[C@H](NC(=O)c1cc(F)ccc1[O-])C2 ZINC000365874250 418918745 /nfs/dbraw/zinc/91/87/45/418918745.db2.gz VAGARMCEXURQJM-LBPRGKRZSA-N -1 1 301.321 1.917 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@H]2CCCN(C)[C@@H]2C)c1 ZINC000424755341 228313359 /nfs/dbraw/zinc/31/33/59/228313359.db2.gz DYSQOUADUFIVCC-XTCJLCLGSA-N -1 1 324.446 1.402 20 0 DDADMM O=C(C[N-]S(=O)(=O)Cc1ccon1)C1CCC(F)(F)CC1 ZINC000425194439 228386706 /nfs/dbraw/zinc/38/67/06/228386706.db2.gz NRCUSHMEVWZJOD-UHFFFAOYSA-N -1 1 322.333 1.489 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@](C)(O)C(C)C)c(F)c1 ZINC000425193214 228386733 /nfs/dbraw/zinc/38/67/33/228386733.db2.gz GEJZPAUVUUVINQ-ZDUSSCGKSA-N -1 1 307.362 1.959 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)OC(C)(C)C)CN1Cc1nc(=O)n(C)[n-]1 ZINC000420930769 419363415 /nfs/dbraw/zinc/36/34/15/419363415.db2.gz SJNKHJUVAQTIJC-WDEREUQCSA-N -1 1 310.398 1.051 20 0 DDADMM CC[C@H](CS(=O)(=O)Nc1cc(C(=O)[O-])ccc1OC)OC ZINC000421144907 419500126 /nfs/dbraw/zinc/50/01/26/419500126.db2.gz CBFYJXAJXNNRLG-SNVBAGLBSA-N -1 1 317.363 1.560 20 0 DDADMM c1cc(CNC2(c3nnn[n-]3)CCCC2)n(CC2CCC2)n1 ZINC000638843499 423154157 /nfs/dbraw/zinc/15/41/57/423154157.db2.gz TUAAJINIYQKFIP-UHFFFAOYSA-N -1 1 301.398 1.755 20 0 DDADMM c1cc(CNC2(c3nn[n-]n3)CCCC2)n(CC2CCC2)n1 ZINC000638843499 423154162 /nfs/dbraw/zinc/15/41/62/423154162.db2.gz TUAAJINIYQKFIP-UHFFFAOYSA-N -1 1 301.398 1.755 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCc2ccccc2O1)c1nn[n-]n1 ZINC000413683082 419795131 /nfs/dbraw/zinc/79/51/31/419795131.db2.gz DQUGOSCPAZQEAE-MFKMUULPSA-N -1 1 301.350 1.155 20 0 DDADMM COC[C@H]1C[C@@H](O)CN1C(=O)c1cc2ccccc2cc1[O-] ZINC000652825064 423156211 /nfs/dbraw/zinc/15/62/11/423156211.db2.gz NVVPAEKYNRSGFP-ZIAGYGMSSA-N -1 1 301.342 1.767 20 0 DDADMM O=C(N=c1[n-]nc(-c2cccc(Cl)c2)s1)N1CCNCC1 ZINC000415502305 420091817 /nfs/dbraw/zinc/09/18/17/420091817.db2.gz QEOPFDWAGKOCSV-UHFFFAOYSA-N -1 1 323.809 1.718 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC(F)(F)CN2)c1 ZINC000423739257 420152338 /nfs/dbraw/zinc/15/23/38/420152338.db2.gz HARMBHLSQTUNGV-VIFPVBQESA-N -1 1 300.261 1.115 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCC(C)(C)C[C@@H]1C ZINC000416169054 420265841 /nfs/dbraw/zinc/26/58/41/420265841.db2.gz BSYNBDSHLBEKEK-IUCAKERBSA-N -1 1 309.366 1.841 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)c1ccc(F)c(C)c1 ZINC000416199241 420277137 /nfs/dbraw/zinc/27/71/37/420277137.db2.gz ZCSPBJHZIUXCHX-MRVPVSSYSA-N -1 1 321.308 1.835 20 0 DDADMM O=C(N[C@H]1CC[S@@](=O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC000436754572 420362844 /nfs/dbraw/zinc/36/28/44/420362844.db2.gz ZQMCPSJQOYSJHB-FFVOIRBGSA-N -1 1 307.293 1.662 20 0 DDADMM CCC[C@]1(CO)CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000436108566 420309246 /nfs/dbraw/zinc/30/92/46/420309246.db2.gz XLMXNVUXKCPNNP-QGZVFWFLSA-N -1 1 315.373 1.690 20 0 DDADMM O=C(c1c(O)cccc1O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425296121 420342703 /nfs/dbraw/zinc/34/27/03/420342703.db2.gz GPGVCLCZGFLKSI-MRVPVSSYSA-N -1 1 305.290 1.206 20 0 DDADMM O=C([C@@H]1C[C@H]1c1cccnc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425299317 420344457 /nfs/dbraw/zinc/34/44/57/420344457.db2.gz KADXXJRBOCUHOK-FRRDWIJNSA-N -1 1 314.345 1.680 20 0 DDADMM COc1ccc2[nH]nc(NC(=O)c3ccc(OC)cc3[O-])c2c1 ZINC000436620572 420345780 /nfs/dbraw/zinc/34/57/80/420345780.db2.gz WAXQLSUUKDQCKB-UHFFFAOYSA-N -1 1 313.313 1.960 20 0 DDADMM O=C(COCC1CCCC1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425307530 420346240 /nfs/dbraw/zinc/34/62/40/420346240.db2.gz UHISITPDMYEEIZ-LBPRGKRZSA-N -1 1 309.366 1.688 20 0 DDADMM CN(C(N)=O)c1cccc(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436637650 420348855 /nfs/dbraw/zinc/34/88/55/420348855.db2.gz XPUHCTFDKGHEDY-UHFFFAOYSA-N -1 1 313.313 1.729 20 0 DDADMM O=C(c1nc2c(s1)CCC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425321649 420349647 /nfs/dbraw/zinc/34/96/47/420349647.db2.gz MXNITAQJOMAAGG-UHFFFAOYSA-N -1 1 320.374 1.740 20 0 DDADMM CCC(=O)c1ccc(O)c(NC(=O)CSc2n[nH]c(=O)[n-]2)c1 ZINC000436659686 420350659 /nfs/dbraw/zinc/35/06/59/420350659.db2.gz SOCBERVOCIKKIJ-UHFFFAOYSA-N -1 1 322.346 1.539 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)C[C@@H](CC)OC)C(=O)OCC ZINC000420694584 420359256 /nfs/dbraw/zinc/35/92/56/420359256.db2.gz WHSNRHDBKXCDCM-MCTUQULKSA-N -1 1 307.412 1.229 20 0 DDADMM O=C(NCc1ccnc(OC(F)F)c1)C(=O)c1ccc([O-])cc1 ZINC000436725990 420361019 /nfs/dbraw/zinc/36/10/19/420361019.db2.gz UFNDJZLDFLCKFE-UHFFFAOYSA-N -1 1 322.267 1.888 20 0 DDADMM O=C(N[C@@H](CO)[C@@H]1CCCO1)c1ccc2ccccc2c1[O-] ZINC000436804996 420368493 /nfs/dbraw/zinc/36/84/93/420368493.db2.gz CKEWUOBVHKHTEK-GJZGRUSLSA-N -1 1 301.342 1.815 20 0 DDADMM O=C(NC[C@@H]1CCCCS1(=O)=O)c1cc(F)ccc1[O-] ZINC000436824088 420371823 /nfs/dbraw/zinc/37/18/23/420371823.db2.gz FTBPIQDPPFUGGQ-JTQLQIEISA-N -1 1 301.339 1.228 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2c(C)cccc2Cl)n1 ZINC000416470612 420384285 /nfs/dbraw/zinc/38/42/85/420384285.db2.gz MZGSDCCYSQWPMI-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM COc1ccc(C(=O)[O-])cc1NS(=O)(=O)CC(C)(C)OC ZINC000439245410 420500744 /nfs/dbraw/zinc/50/07/44/420500744.db2.gz KBHCUJVEUCYDCP-UHFFFAOYSA-N -1 1 317.363 1.560 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1cncc(OC)c1)c1nn[n-]n1 ZINC000492494501 420574649 /nfs/dbraw/zinc/57/46/49/420574649.db2.gz ZZKNQFJXWULGLM-FOQNGQEVSA-N -1 1 302.338 1.274 20 0 DDADMM CS(=O)(=O)C1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000456975608 420577669 /nfs/dbraw/zinc/57/76/69/420577669.db2.gz VFEMBKIYSLFOAQ-UHFFFAOYSA-N -1 1 323.292 1.280 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1ccc2c(c1)CCO2)c1nn[n-]n1 ZINC000492553358 420590078 /nfs/dbraw/zinc/59/00/78/420590078.db2.gz RHOHEPXDEHQUKK-VLWUPBBWSA-N -1 1 313.361 1.410 20 0 DDADMM COc1ccc(C=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000492711145 420637731 /nfs/dbraw/zinc/63/77/31/420637731.db2.gz STMHQNVJSSYDGH-WDDYJBPVSA-N -1 1 301.350 1.484 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](COC)C2CC2)o1 ZINC000441881513 420664000 /nfs/dbraw/zinc/66/40/00/420664000.db2.gz RYEZXWAUZDSCLX-JTQLQIEISA-N -1 1 317.363 1.160 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@H]3CC[C@H](C(=O)[O-])O3)[nH]c21 ZINC000442662233 420695528 /nfs/dbraw/zinc/69/55/28/420695528.db2.gz HFDGJDYMOGIKCI-GHMZBOCLSA-N -1 1 303.318 1.120 20 0 DDADMM COc1cc(C(=O)NC[C@H](O)C(F)(F)F)cc(Cl)c1[O-] ZINC000442674416 420699478 /nfs/dbraw/zinc/69/94/78/420699478.db2.gz YFBISGWFBWOCHS-QMMMGPOBSA-N -1 1 313.659 1.707 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC(O)(CC)CC)o1 ZINC000443199949 420748643 /nfs/dbraw/zinc/74/86/43/420748643.db2.gz SAJUYJUODXEIAM-UHFFFAOYSA-N -1 1 319.379 1.286 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000492935498 420716116 /nfs/dbraw/zinc/71/61/16/420716116.db2.gz ZGFPEJFFMVKUMJ-RNEXMXFGSA-N -1 1 307.304 1.753 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC(C)(C)C)c1ccsc1 ZINC000443048990 420739127 /nfs/dbraw/zinc/73/91/27/420739127.db2.gz NWQSWFFZDJOVMU-SNVBAGLBSA-N -1 1 305.421 1.928 20 0 DDADMM CC(C)(NC(=O)c1ccc(O[C@@H]2CCOC2)cc1)c1nn[n-]n1 ZINC000447523564 420778660 /nfs/dbraw/zinc/77/86/60/420778660.db2.gz VFNCYPXVSKIEIV-GFCCVEGCSA-N -1 1 317.349 1.033 20 0 DDADMM CC(C)(C)OC(=O)N1CC(CC(=O)[N-]OCC(F)(F)F)C1 ZINC000493361456 420829110 /nfs/dbraw/zinc/82/91/10/420829110.db2.gz XRRJNVDBEOITEX-UHFFFAOYSA-N -1 1 312.288 1.854 20 0 DDADMM CC(C)C[C@H]([N-]S(=O)(=O)C1COC1)C(=O)OC(C)(C)C ZINC000493916113 420968713 /nfs/dbraw/zinc/96/87/13/420968713.db2.gz GUYMGVQLTXHPHM-NSHDSACASA-N -1 1 307.412 1.061 20 0 DDADMM O=C(/C=C/Sc1cc(F)ccc1F)NC1(c2nn[n-]n2)CC1 ZINC000493749036 420931739 /nfs/dbraw/zinc/93/17/39/420931739.db2.gz FYJGRVYNELWHQX-ZZXKWVIFSA-N -1 1 323.328 1.889 20 0 DDADMM Cc1cnc(C(=O)N2Cc3ccccc3C[C@H]2C(N)=O)c([O-])c1 ZINC000494919666 421006619 /nfs/dbraw/zinc/00/66/19/421006619.db2.gz FGTXLTKFMLEENN-ZDUSSCGKSA-N -1 1 311.341 1.148 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000456406617 421161019 /nfs/dbraw/zinc/16/10/19/421161019.db2.gz PBJSRPYAWVJCMK-MNOVXSKESA-N -1 1 323.418 1.601 20 0 DDADMM CCc1nc2n(n1)C[C@@H](N=c1[n-]c(C(C)=O)c(C)s1)CC2 ZINC000450320992 421181918 /nfs/dbraw/zinc/18/19/18/421181918.db2.gz PWTLHKYKBNCYLQ-JTQLQIEISA-N -1 1 305.407 1.657 20 0 DDADMM CCC(=O)N1CCCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000525875246 421309179 /nfs/dbraw/zinc/30/91/79/421309179.db2.gz SWNVXMNIEPRDGZ-UHFFFAOYSA-N -1 1 301.350 1.042 20 0 DDADMM CC(C)(CNC(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCCC1 ZINC000546124831 421285957 /nfs/dbraw/zinc/28/59/57/421285957.db2.gz AGDVAACPXHXDGP-UHFFFAOYSA-N -1 1 317.393 1.754 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1CCc2ccccc2O1 ZINC000560824002 421295391 /nfs/dbraw/zinc/29/53/91/421295391.db2.gz ZOIZGKYYPDGEQI-ZDUSSCGKSA-N -1 1 313.361 1.479 20 0 DDADMM O=C(N[C@H]1C[C@H]2CCCCN2C1=O)c1csc(=NC2CC2)[n-]1 ZINC000562641042 421391143 /nfs/dbraw/zinc/39/11/43/421391143.db2.gz WUDZWUCEMKPTNJ-MNOVXSKESA-N -1 1 320.418 1.023 20 0 DDADMM Cc1ccccc1-c1nc(=NC[C@@H]2CCCS2(=O)=O)s[n-]1 ZINC000527939380 421457436 /nfs/dbraw/zinc/45/74/36/421457436.db2.gz OKWIBVFCCRCXGN-NSHDSACASA-N -1 1 323.443 1.925 20 0 DDADMM COc1ccc(S(=O)(=O)N=c2cc3ccccn3[n-]2)cc1F ZINC000551952316 421559288 /nfs/dbraw/zinc/55/92/88/421559288.db2.gz QCKFOMDYIAKGTC-UHFFFAOYSA-N -1 1 321.333 1.705 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2c(C)noc2C(C)C)n1 ZINC000563624889 421530172 /nfs/dbraw/zinc/53/01/72/421530172.db2.gz KNDPQYLYERSMDE-UHFFFAOYSA-N -1 1 310.335 1.997 20 0 DDADMM O=C(c1cccc2[nH]c(=O)oc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000551786841 421543425 /nfs/dbraw/zinc/54/34/25/421543425.db2.gz LADHDPJPCQOLAP-MRVPVSSYSA-N -1 1 314.305 1.066 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-][C@H](c1ccccc1)C(F)F ZINC000518288368 421646510 /nfs/dbraw/zinc/64/65/10/421646510.db2.gz IUOLVLLZBDWPJX-GFCCVEGCSA-N -1 1 321.345 1.865 20 0 DDADMM O=C([O-])CCN(Cc1cn2ccccc2n1)C[C@@H]1CCCO1 ZINC000518385947 421652476 /nfs/dbraw/zinc/65/24/76/421652476.db2.gz AGKFGYSKCMNDFG-AWEZNQCLSA-N -1 1 303.362 1.790 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@@H](C)N1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000571402770 421712767 /nfs/dbraw/zinc/71/27/67/421712767.db2.gz CUKVYTDBFMDYEZ-UPJWGTAASA-N -1 1 322.409 1.834 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(Cc2cnc(OC)c(Cl)c2)C1 ZINC000519712178 421720070 /nfs/dbraw/zinc/72/00/70/421720070.db2.gz VYCYPMGWWJOBKB-CQSZACIVSA-N -1 1 314.769 1.667 20 0 DDADMM O=C(c1cncc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538223287 421737426 /nfs/dbraw/zinc/73/74/26/421737426.db2.gz IUMUFYYEJLNPLT-LBPRGKRZSA-N -1 1 308.345 1.768 20 0 DDADMM CN(CCc1ccc(F)cc1)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000520240000 421742728 /nfs/dbraw/zinc/74/27/28/421742728.db2.gz FZZOXPRFQXJFTB-UHFFFAOYSA-N -1 1 322.380 1.623 20 0 DDADMM CC(C)(C)n1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cn1 ZINC000558658873 421818793 /nfs/dbraw/zinc/81/87/93/421818793.db2.gz SBJLEIZTZCGTSB-JTQLQIEISA-N -1 1 303.370 1.171 20 0 DDADMM Cc1cc(=O)c(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)cn1C ZINC000559304648 421833118 /nfs/dbraw/zinc/83/31/18/421833118.db2.gz KUJOEMMUIWXWRI-UHFFFAOYSA-N -1 1 314.370 1.820 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000630060528 421870765 /nfs/dbraw/zinc/87/07/65/421870765.db2.gz LJARUINWTHUJKE-NHYWBVRUSA-N -1 1 313.357 1.799 20 0 DDADMM CO[C@H]1C[C@H](C(=O)[O-])N(Cc2ccc(OC(F)F)cc2)C1 ZINC000580955684 421871960 /nfs/dbraw/zinc/87/19/60/421871960.db2.gz MRFPBMLWMJYOPN-NWDGAFQWSA-N -1 1 301.289 1.962 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)c1Br ZINC000633346734 421854029 /nfs/dbraw/zinc/85/40/29/421854029.db2.gz ILPVGIYMXNWFQV-LPBLVHEISA-N -1 1 302.172 1.655 20 0 DDADMM C[C@H](CCS(C)(=O)=O)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631821448 421855585 /nfs/dbraw/zinc/85/55/85/421855585.db2.gz YMUMOKDWTIOATP-SECBINFHSA-N -1 1 319.810 1.528 20 0 DDADMM C[C@H](CN(CC(=O)[O-])Cc1ccc(F)cc1)CS(C)(=O)=O ZINC000572774136 421860152 /nfs/dbraw/zinc/86/01/52/421860152.db2.gz VABYBJBJMGTFRT-LLVKDONJSA-N -1 1 317.382 1.393 20 0 DDADMM Cc1cc(F)ccc1[C@H]1CCCN1C(=O)CCc1nn[n-]n1 ZINC000633358549 421861567 /nfs/dbraw/zinc/86/15/67/421861567.db2.gz INJZRKWDRIYFMH-CYBMUJFWSA-N -1 1 303.341 1.944 20 0 DDADMM CC(=O)NC1CCC([N-]S(=O)(=O)c2cc(C)ns2)CC1 ZINC000631879383 421907168 /nfs/dbraw/zinc/90/71/68/421907168.db2.gz VMGVRNQEZLJOIB-UHFFFAOYSA-N -1 1 317.436 1.177 20 0 DDADMM O=C([O-])COc1cccc(NC(=O)[C@H]2CCCN2C2CC2)c1 ZINC000630135557 421910251 /nfs/dbraw/zinc/91/02/51/421910251.db2.gz PGBYCFKXBBHAGL-CQSZACIVSA-N -1 1 304.346 1.715 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NC[C@H](Cc1ccccc1)C(=O)[O-] ZINC000630137850 421911736 /nfs/dbraw/zinc/91/17/36/421911736.db2.gz YFGNUIFGXAGWFI-JSGCOSHPSA-N -1 1 315.373 1.648 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCC(=O)NC(C)(C)C)sn1 ZINC000631895997 421920461 /nfs/dbraw/zinc/92/04/61/421920461.db2.gz LNJARYRVNYGDKV-UHFFFAOYSA-N -1 1 305.425 1.035 20 0 DDADMM CCc1cccc(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000630218788 421968871 /nfs/dbraw/zinc/96/88/71/421968871.db2.gz FPVPRKUYGINWSB-AWEZNQCLSA-N -1 1 318.417 1.799 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2cscn2)c1 ZINC000632027308 422034063 /nfs/dbraw/zinc/03/40/63/422034063.db2.gz ZBBCYLNMZICMRE-UHFFFAOYSA-N -1 1 314.388 1.378 20 0 DDADMM CN1CCN(C(=O)CCCc2nn[n-]n2)Cc2ccccc21 ZINC000635489490 422035385 /nfs/dbraw/zinc/03/53/85/422035385.db2.gz KKIQLWDHRWKKHM-UHFFFAOYSA-N -1 1 300.366 1.001 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCCNC(=O)C1CC1 ZINC000630346862 422035658 /nfs/dbraw/zinc/03/56/58/422035658.db2.gz DHLVQBVSPSHYPQ-UHFFFAOYSA-N -1 1 304.375 1.366 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2ncc(C)s2)c1 ZINC000632035744 422040133 /nfs/dbraw/zinc/04/01/33/422040133.db2.gz BHDWWKKEGPZOPI-UHFFFAOYSA-N -1 1 314.388 1.644 20 0 DDADMM NC(=O)[C@@H]1CCC[C@H](NC(=O)N=c2[n-]sc3ccccc32)C1 ZINC000630351883 422040008 /nfs/dbraw/zinc/04/00/08/422040008.db2.gz SQSPQTRWKHBXDD-ZJUUUORDSA-N -1 1 318.402 1.884 20 0 DDADMM COCCC1(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)CCC1 ZINC000630225617 421976980 /nfs/dbraw/zinc/97/69/80/421976980.db2.gz SFQJCAVNUWXDEI-CYBMUJFWSA-N -1 1 312.410 1.201 20 0 DDADMM CC(C)N1CC[C@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1=O ZINC000631970761 421987800 /nfs/dbraw/zinc/98/78/00/421987800.db2.gz DGNBTTVEGTTZCO-QMMMGPOBSA-N -1 1 322.333 1.505 20 0 DDADMM Cc1nnc([C@@H](C)N2CC[C@@](C(=O)[O-])(c3ccccc3)C2)[nH]1 ZINC000573618998 421991059 /nfs/dbraw/zinc/99/10/59/421991059.db2.gz NWIQMPVLMXJQGR-BZNIZROVSA-N -1 1 300.362 1.902 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NC[C@H](C(=O)[O-])C1CCCCC1 ZINC000630267401 421997165 /nfs/dbraw/zinc/99/71/65/421997165.db2.gz PNUBFGCCQZHPFK-FZMZJTMJSA-N -1 1 307.394 1.986 20 0 DDADMM CCOc1ncc(C(=O)Nc2[nH]cnc2C(=O)OC)cc1Cl ZINC000574253593 422080765 /nfs/dbraw/zinc/08/07/65/422080765.db2.gz BRENSOBEWVUWIS-UHFFFAOYSA-N -1 1 324.724 1.896 20 0 DDADMM CO[C@@]1(C)C[C@H]([N-]S(=O)(=O)c2cc(C)ns2)C1(C)C ZINC000632056270 422056809 /nfs/dbraw/zinc/05/68/09/422056809.db2.gz VIFJSMIHFCBGMO-CABZTGNLSA-N -1 1 304.437 1.933 20 0 DDADMM CN(C)[C@@H](CNC(=O)CCc1nn[n-]n1)c1ccccc1Cl ZINC000630497091 422141096 /nfs/dbraw/zinc/14/10/96/422141096.db2.gz JIRNUMAMKDXONB-LBPRGKRZSA-N -1 1 322.800 1.205 20 0 DDADMM O=C(CCc1nn[n-]n1)NC1(c2ccc(F)cc2F)CCC1 ZINC000632196925 422155249 /nfs/dbraw/zinc/15/52/49/422155249.db2.gz DVGXRFCKFYJBEF-UHFFFAOYSA-N -1 1 307.304 1.606 20 0 DDADMM Cn1cc([C@@H]2C[C@@H](NC(=O)c3c([O-])cccc3F)CCO2)cn1 ZINC000583450247 422167949 /nfs/dbraw/zinc/16/79/49/422167949.db2.gz JTPVFWOOCJJPJK-FZMZJTMJSA-N -1 1 319.336 1.915 20 0 DDADMM C[C@H](CNC(=O)CCCc1nn[n-]n1)Oc1ccccc1F ZINC000635618995 422173307 /nfs/dbraw/zinc/17/33/07/422173307.db2.gz QDTNTQKDMHEGDW-SNVBAGLBSA-N -1 1 307.329 1.245 20 0 DDADMM Cc1csc(C2(NC(=O)CCCc3nn[n-]n3)CCC2)n1 ZINC000635571803 422123609 /nfs/dbraw/zinc/12/36/09/422123609.db2.gz JMLFNVIUBVRPKR-UHFFFAOYSA-N -1 1 306.395 1.483 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632162618 422131471 /nfs/dbraw/zinc/13/14/71/422131471.db2.gz IDJSYKDILXISAB-HTQZYQBOSA-N -1 1 322.333 1.410 20 0 DDADMM CCN(Cc1ccc(OC)c(OC)c1)C(=O)CCc1nn[n-]n1 ZINC000630595282 422208635 /nfs/dbraw/zinc/20/86/35/422208635.db2.gz OYYNBIHPLAOYMP-UHFFFAOYSA-N -1 1 319.365 1.198 20 0 DDADMM CC1(c2nc(=NC(=O)CCCCc3cn[nH]n3)s[n-]2)CC1 ZINC000628540167 422214291 /nfs/dbraw/zinc/21/42/91/422214291.db2.gz RACPDCMUKGBPCJ-UHFFFAOYSA-N -1 1 306.395 1.481 20 0 DDADMM CCOCC(C)(C)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632340169 422258784 /nfs/dbraw/zinc/25/87/84/422258784.db2.gz ADULZWJKEZCDGY-UHFFFAOYSA-N -1 1 317.407 1.742 20 0 DDADMM COCCN(Cc1ccc(C)o1)C(=O)CCCc1nn[n-]n1 ZINC000635684495 422238157 /nfs/dbraw/zinc/23/81/57/422238157.db2.gz DKCIHBXILXWKQO-UHFFFAOYSA-N -1 1 307.354 1.099 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCCOc2cc(F)ccc21 ZINC000632318697 422246944 /nfs/dbraw/zinc/24/69/44/422246944.db2.gz TZSBKVMNOUBSNZ-LLVKDONJSA-N -1 1 305.313 1.302 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(CCF)CC2)o1 ZINC000633956245 422189377 /nfs/dbraw/zinc/18/93/77/422189377.db2.gz DQERQBNOWPNATP-UHFFFAOYSA-N -1 1 318.370 1.400 20 0 DDADMM C[C@H](OCCCNC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC000630578763 422193577 /nfs/dbraw/zinc/19/35/77/422193577.db2.gz BYZXHUXLOKWCHL-LBPRGKRZSA-N -1 1 303.366 1.416 20 0 DDADMM COCC[C@@H](COC)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632346955 422265458 /nfs/dbraw/zinc/26/54/58/422265458.db2.gz SOUGYDSOEJUNFG-QMMMGPOBSA-N -1 1 313.322 1.547 20 0 DDADMM CC(C)Oc1ccc([C@@H](C)NC(=O)CCc2nn[n-]n2)cc1 ZINC000630696941 422275540 /nfs/dbraw/zinc/27/55/40/422275540.db2.gz LJHXBAGTKUYRLY-LLVKDONJSA-N -1 1 303.366 1.797 20 0 DDADMM COCCN(Cc1ccc(C)cc1C)C(=O)CCc1nn[n-]n1 ZINC000630700054 422276692 /nfs/dbraw/zinc/27/66/92/422276692.db2.gz SOXUVOMLCLWEMR-UHFFFAOYSA-N -1 1 317.393 1.424 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@]2(O)CCCC2(C)C)sn1 ZINC000632370845 422285335 /nfs/dbraw/zinc/28/53/35/422285335.db2.gz LUBLXFZQFOXAHE-GFCCVEGCSA-N -1 1 304.437 1.671 20 0 DDADMM COC(C)(C)c1nc(=NC(=O)c2[nH]nc3c2CCC3)s[n-]1 ZINC000634154022 422292995 /nfs/dbraw/zinc/29/29/95/422292995.db2.gz VXRMQKROXKJGKD-UHFFFAOYSA-N -1 1 307.379 1.306 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccccc1N1CCCC1 ZINC000630800310 422341768 /nfs/dbraw/zinc/34/17/68/422341768.db2.gz ULEZVXJVPUSFQU-UHFFFAOYSA-N -1 1 300.366 1.049 20 0 DDADMM CCn1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(C2CC2)n1 ZINC000632436177 422342429 /nfs/dbraw/zinc/34/24/29/422342429.db2.gz FSPVIOPDHVSIHH-VIFPVBQESA-N -1 1 303.370 1.169 20 0 DDADMM Cn1cnnc1CCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000632435487 422343181 /nfs/dbraw/zinc/34/31/81/422343181.db2.gz CHMANQIHPDSJGX-UHFFFAOYSA-N -1 1 316.333 1.004 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2cc(C)ns2)C12CCC2 ZINC000632440218 422345885 /nfs/dbraw/zinc/34/58/85/422345885.db2.gz VZYCVYRDEISHTH-UWVGGRQHSA-N -1 1 302.421 1.687 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCC[C@H](CO)C2)c1 ZINC000632461507 422363528 /nfs/dbraw/zinc/36/35/28/422363528.db2.gz UUBAXCBHUSFTGU-QWRGUYRKSA-N -1 1 315.391 1.230 20 0 DDADMM C[C@@](CO)(Cc1ccc(F)cc1)NC(=O)c1ncccc1[O-] ZINC000634294900 422372029 /nfs/dbraw/zinc/37/20/29/422372029.db2.gz RBJDLBIIONZEBD-INIZCTEOSA-N -1 1 304.321 1.650 20 0 DDADMM CC(C)(C)OCCS(=O)(=O)[N-]Cc1nc(C(F)F)no1 ZINC000632480029 422379287 /nfs/dbraw/zinc/37/92/87/422379287.db2.gz MONVVELNZFDDCP-UHFFFAOYSA-N -1 1 313.326 1.242 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@]2(C)CC2(F)F)c1 ZINC000632558295 422435256 /nfs/dbraw/zinc/43/52/56/422435256.db2.gz JQDRCTWWXCXEFS-NSHDSACASA-N -1 1 307.318 1.724 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCC2(CO)CCC2)c1 ZINC000632514086 422402796 /nfs/dbraw/zinc/40/27/96/422402796.db2.gz ZYQOGNIGFUMZOU-UHFFFAOYSA-N -1 1 315.391 1.232 20 0 DDADMM O=c1c2c([n-]n1CCCN1CCOCC1)-c1ccccc1CC2 ZINC000634616407 422508559 /nfs/dbraw/zinc/50/85/59/422508559.db2.gz LQKOXKBYSSMDLC-INIZCTEOSA-N -1 1 313.401 1.518 20 0 DDADMM COCC(COC)n1[n-]c(=O)c2cc(F)c(F)cc2c1=O ZINC000634635655 422518112 /nfs/dbraw/zinc/51/81/12/422518112.db2.gz JZAVNBDOVQZFNG-UHFFFAOYSA-N -1 1 300.261 1.214 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2C[C@@H](C)O[C@@H]2C)c1 ZINC000632647048 422494958 /nfs/dbraw/zinc/49/49/58/422494958.db2.gz VQRIFQOELROGGN-FXPVBKGRSA-N -1 1 301.364 1.245 20 0 DDADMM CN(C(=O)c1ccc(C(F)(F)F)cc1[O-])[C@H]1C[C@@H](C(N)=O)C1 ZINC000629209063 422526835 /nfs/dbraw/zinc/52/68/35/422526835.db2.gz LUMLYVVNYITJMN-OTSSQURYSA-N -1 1 316.279 1.747 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(F)(c2ccccc2)CC1 ZINC000632805381 422591264 /nfs/dbraw/zinc/59/12/64/422591264.db2.gz JKYGBHDVOYZYEG-UHFFFAOYSA-N -1 1 303.341 1.620 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ccncc1 ZINC000632843330 422621591 /nfs/dbraw/zinc/62/15/91/422621591.db2.gz OCUAWOWPJDJTNJ-ZYHUDNBSSA-N -1 1 302.334 1.680 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H](c1cccnc1)C1CCCCC1 ZINC000631233935 422621796 /nfs/dbraw/zinc/62/17/96/422621796.db2.gz SUQGWFOXMCPOAV-CQSZACIVSA-N -1 1 300.366 1.575 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2ccnc(C)c2Cl)n1C ZINC000629350592 422625979 /nfs/dbraw/zinc/62/59/79/422625979.db2.gz UOMDLPIUQHOSPU-UHFFFAOYSA-N -1 1 300.771 1.308 20 0 DDADMM CN1C(=O)CC[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629378257 422639420 /nfs/dbraw/zinc/63/94/20/422639420.db2.gz CUDXMRIKZRSVLK-LBPRGKRZSA-N -1 1 300.318 1.137 20 0 DDADMM NC(=O)[C@H]1CC[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629380510 422639809 /nfs/dbraw/zinc/63/98/09/422639809.db2.gz QYRSZNOOSNZLGG-NWDGAFQWSA-N -1 1 300.318 1.028 20 0 DDADMM O=S(=O)(CCOCC1CCC1)[N-]c1cnn(CC(F)F)c1 ZINC000634788937 422646024 /nfs/dbraw/zinc/64/60/24/422646024.db2.gz QDFBHWSCJXGIFX-UHFFFAOYSA-N -1 1 323.365 1.707 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2ccc3c(c2)CCNC3=O)CCC1 ZINC000634794071 422649420 /nfs/dbraw/zinc/64/94/20/422649420.db2.gz MHIMFKWQDJVZAT-UHFFFAOYSA-N -1 1 324.402 1.283 20 0 DDADMM O=S(=O)(CCOCC1CCC1)[N-]c1c(F)cccc1CO ZINC000634790383 422649966 /nfs/dbraw/zinc/64/99/66/422649966.db2.gz FECSAWPTJRGQTR-UHFFFAOYSA-N -1 1 317.382 1.876 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1cnn2c1CCCC2)C1CC1 ZINC000634796300 422651872 /nfs/dbraw/zinc/65/18/72/422651872.db2.gz LAKYNPHVIPUBEH-AWEZNQCLSA-N -1 1 313.423 1.776 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1[C@H]2Cc3cc(F)ccc3[C@@H]12 ZINC000636228760 422731573 /nfs/dbraw/zinc/73/15/73/422731573.db2.gz HLHKFRHPIKZOQG-NILFDRSVSA-N -1 1 301.325 1.116 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@H]3[C@@H](C(=O)[O-])C3(C)C)[nH]c21 ZINC000634828410 422673021 /nfs/dbraw/zinc/67/30/21/422673021.db2.gz VIZOEAHPWPXJOC-NEPJUHHUSA-N -1 1 301.346 1.844 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)COCC1CC1 ZINC000652906184 423207968 /nfs/dbraw/zinc/20/79/68/423207968.db2.gz KORCWDIPSAMDNK-MRVPVSSYSA-N -1 1 311.407 1.757 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C)N1CCc2ccccc2C1 ZINC000646413153 423480293 /nfs/dbraw/zinc/48/02/93/423480293.db2.gz QSIBVJSFMUMRFB-LLVKDONJSA-N -1 1 300.362 1.809 20 0 DDADMM CCCOc1ccccc1-c1cn(Cc2nc(=O)n(C)[n-]2)nn1 ZINC000641155799 423430075 /nfs/dbraw/zinc/43/00/75/423430075.db2.gz FUALQJPAOQASKU-UHFFFAOYSA-N -1 1 314.349 1.204 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(-c2ccccn2)nc1)c1nn[n-]n1 ZINC000651462042 423552023 /nfs/dbraw/zinc/55/20/23/423552023.db2.gz OXSXDVFLDXRELR-CQSZACIVSA-N -1 1 323.360 1.928 20 0 DDADMM COc1cc(C(=O)N2CC[C@@H](CC(N)=O)C2)cc(Cl)c1[O-] ZINC000644511852 423680447 /nfs/dbraw/zinc/68/04/47/423680447.db2.gz IAXKCVOMNWRQRH-QMMMGPOBSA-N -1 1 312.753 1.392 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(C(=O)[O-])cc2)CCN1C[C@@H](C)O ZINC000647142651 423785258 /nfs/dbraw/zinc/78/52/58/423785258.db2.gz VBDITCOKYGEQME-DOMZBBRYSA-N -1 1 320.389 1.302 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cc(F)cc(F)c1O)C1CC1 ZINC000641692508 423872522 /nfs/dbraw/zinc/87/25/22/423872522.db2.gz OBKIPARQJDDDOQ-NSHDSACASA-N -1 1 307.318 1.837 20 0 DDADMM O=C(c1ncoc1C1CC1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644884316 424012735 /nfs/dbraw/zinc/01/27/35/424012735.db2.gz UVPFXRRNNHNQSL-VIFPVBQESA-N -1 1 304.306 1.660 20 0 DDADMM O=C(c1cc2c([nH]1)CCOC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887571 424014994 /nfs/dbraw/zinc/01/49/94/424014994.db2.gz HKUPWEMNJZBPAV-UHFFFAOYSA-N -1 1 318.333 1.196 20 0 DDADMM O=C(CC1CC(F)(F)C1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887587 424015069 /nfs/dbraw/zinc/01/50/69/424015069.db2.gz MJJGJJJSPFLYCV-UHFFFAOYSA-N -1 1 301.293 1.917 20 0 DDADMM CC(C)(C)c1cc([N-]S(=O)(=O)c2c[nH]c(=O)s2)n[nH]1 ZINC000649615194 424088450 /nfs/dbraw/zinc/08/84/50/424088450.db2.gz VZSXKTOMHRWUMY-UHFFFAOYSA-N -1 1 302.381 1.670 20 0 DDADMM CC(C)N(C)c1ccc(CN[C@H](C(=O)[O-])c2ccnn2C)cn1 ZINC000647467585 424051592 /nfs/dbraw/zinc/05/15/92/424051592.db2.gz MEBIGIYFDLFIPB-HNNXBMFYSA-N -1 1 317.393 1.575 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](C)C2(CO)CC2)c(F)c1 ZINC000657098491 424107529 /nfs/dbraw/zinc/10/75/29/424107529.db2.gz CLHGEAUBAVXOFW-QMMMGPOBSA-N -1 1 321.345 1.413 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)N2CCN(C)C(C)(C)C2)s[n-]1 ZINC000640139403 424215295 /nfs/dbraw/zinc/21/52/95/424215295.db2.gz QDSJEVRUDCEVBL-VIFPVBQESA-N -1 1 313.427 1.225 20 0 DDADMM C[C@H](O)CN(C(=O)Cc1ccc([O-])c(Cl)c1)[C@@H](C)CO ZINC000640161713 424227535 /nfs/dbraw/zinc/22/75/35/424227535.db2.gz REUKADCYKOZSKX-UWVGGRQHSA-N -1 1 301.770 1.178 20 0 DDADMM C[C@H](CNC(=O)c1ccc([O-])c(F)c1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000655242518 424327163 /nfs/dbraw/zinc/32/71/63/424327163.db2.gz ASHOXXMBCQXLOO-UTUOFQBUSA-N -1 1 310.369 1.759 20 0 DDADMM CN(CC1(O)CCOCC1)C(=O)N=c1[n-]sc2ccccc21 ZINC000640339673 424360304 /nfs/dbraw/zinc/36/03/04/424360304.db2.gz UQGIUALDYXEYDV-UHFFFAOYSA-N -1 1 321.402 1.723 20 0 DDADMM CC(C)NC(=O)CCNC(=O)N=c1[n-]sc2ccccc21 ZINC000640342143 424362694 /nfs/dbraw/zinc/36/26/94/424362694.db2.gz NJYVVSFFLFGXBT-UHFFFAOYSA-N -1 1 306.391 1.754 20 0 DDADMM NC(=O)C[C@@H]1CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640340250 424362920 /nfs/dbraw/zinc/36/29/20/424362920.db2.gz PWOLUYAEJJTIGO-VIFPVBQESA-N -1 1 304.375 1.447 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCC2(CCCC2)O1 ZINC000655366139 424425816 /nfs/dbraw/zinc/42/58/16/424425816.db2.gz ORAQJLRVJBBNEQ-VIFPVBQESA-N -1 1 323.349 1.118 20 0 DDADMM O=C([O-])CN1CC[C@@H](N(CCC(F)(F)F)CC2CC2)C1=O ZINC000662206884 424473257 /nfs/dbraw/zinc/47/32/57/424473257.db2.gz SOXKIBVFGAGCGK-SNVBAGLBSA-N -1 1 308.300 1.336 20 0 DDADMM CO[C@@](C)(CO)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000655623399 424600630 /nfs/dbraw/zinc/60/06/30/424600630.db2.gz QFEQDGJEPDMSNG-LLVKDONJSA-N -1 1 311.762 1.155 20 0 DDADMM CC(C)[C@@H](CNC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000655879421 424690154 /nfs/dbraw/zinc/69/01/54/424690154.db2.gz MOMRQJWHMZLJIV-CYBMUJFWSA-N -1 1 304.350 1.490 20 0 DDADMM CS(=O)(=O)c1ccc([S@@](=O)C=C([O-])c2ccc[nH]2)cc1 ZINC000341770704 271207135 /nfs/dbraw/zinc/20/71/35/271207135.db2.gz PUSLVTVWFVRLMD-IBGZPJMESA-N -1 1 311.384 1.409 20 0 DDADMM FC(F)(F)c1nc(=NCCCOC[C@H]2CCOC2)s[n-]1 ZINC000342367770 271392525 /nfs/dbraw/zinc/39/25/25/271392525.db2.gz KPBVGFAULXSHHE-MRVPVSSYSA-N -1 1 311.329 1.834 20 0 DDADMM O=C(CCc1ccc(F)cc1)NC1(c2nn[n-]n2)CCCC1 ZINC000345552285 272278653 /nfs/dbraw/zinc/27/86/53/272278653.db2.gz YFGMZOORRXKTPZ-UHFFFAOYSA-N -1 1 303.341 1.857 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCC1CC1)c1ccsc1 ZINC000345586558 272285310 /nfs/dbraw/zinc/28/53/10/272285310.db2.gz OJWSWGRVSCUJRS-LLVKDONJSA-N -1 1 303.405 1.682 20 0 DDADMM Cc1cc(C(=O)N(C)c2cccnc2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000345728988 272317028 /nfs/dbraw/zinc/31/70/28/272317028.db2.gz WTUWBNNZHMKYNH-UHFFFAOYSA-N -1 1 311.301 1.416 20 0 DDADMM Cc1cc(C(=O)N(C)c2cccnc2)[n-]c2nc(=O)[nH]c(=O)c1-2 ZINC000345728988 272317031 /nfs/dbraw/zinc/31/70/31/272317031.db2.gz WTUWBNNZHMKYNH-UHFFFAOYSA-N -1 1 311.301 1.416 20 0 DDADMM C[C@@H](CC[N-]S(=O)(=O)c1cc2ccccc2o1)[S@](C)=O ZINC000124033186 281182803 /nfs/dbraw/zinc/18/28/03/281182803.db2.gz UPWVOUWKOOHRLL-APBUJDDRSA-N -1 1 315.416 1.868 20 0 DDADMM CNC(=O)c1cccc(CCNC(=O)c2c([O-])cccc2F)c1 ZINC000125906101 281220145 /nfs/dbraw/zinc/22/01/45/281220145.db2.gz AFHUDKRMPKMADH-UHFFFAOYSA-N -1 1 316.332 1.863 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000150816630 281654149 /nfs/dbraw/zinc/65/41/49/281654149.db2.gz IZCWPIDPLXMNGD-VXGBXAGGSA-N -1 1 322.386 1.755 20 0 DDADMM COc1ccc(C(=O)Nc2cnn(-c3ncccn3)c2)c([O-])c1 ZINC000151812611 281703170 /nfs/dbraw/zinc/70/31/70/281703170.db2.gz YRQMQYBRTFKZOS-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2[C@H](C)CCC[C@@H]2C)co1 ZINC000245794244 281931749 /nfs/dbraw/zinc/93/17/49/281931749.db2.gz ACGXZYBYLMTUAJ-IWIIMEHWSA-N -1 1 314.407 1.742 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)c1cncn1C ZINC000293854685 289050138 /nfs/dbraw/zinc/05/01/38/289050138.db2.gz JYNLPTSRHVZBCW-RKDXNWHRSA-N -1 1 318.299 1.092 20 0 DDADMM CO[C@H]1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)[C@@H](C)C1 ZINC000287511672 294533416 /nfs/dbraw/zinc/53/34/16/294533416.db2.gz HRTJCQOCAFCETA-DWAGSSQZSA-N -1 1 303.366 1.373 20 0 DDADMM O=C1NCCc2c([N-]S(=O)(=O)CCOCC3CC3)cccc21 ZINC000573235037 304585065 /nfs/dbraw/zinc/58/50/65/304585065.db2.gz AQJMGYOMJRSRGB-UHFFFAOYSA-N -1 1 324.402 1.141 20 0 DDADMM Cc1ccc2nc(CNC(=O)c3ccnc(C(=O)[O-])c3)[nH]c2c1 ZINC000362527900 300087316 /nfs/dbraw/zinc/08/73/16/300087316.db2.gz HAVQXDVLEYEKQT-UHFFFAOYSA-N -1 1 310.313 1.895 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000363396043 300262324 /nfs/dbraw/zinc/26/23/24/300262324.db2.gz QCAAGRKMHFVJKX-CYBMUJFWSA-N -1 1 315.377 1.711 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(c2nnc3ccccn32)CC1 ZINC000364077654 300341348 /nfs/dbraw/zinc/34/13/48/300341348.db2.gz SFWDWIUVXXLULZ-UHFFFAOYSA-N -1 1 323.356 1.850 20 0 DDADMM Cc1c(NC(=O)c2cncc([O-])c2)cccc1N1CN=NC1=O ZINC000365691741 300561783 /nfs/dbraw/zinc/56/17/83/300561783.db2.gz NBTJZJKYKBMKRC-UHFFFAOYSA-N -1 1 311.301 1.634 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C[C@@H](C)OC)CC2)n1 ZINC000369323408 301164620 /nfs/dbraw/zinc/16/46/20/301164620.db2.gz XAUKCXYXZCWNCC-SNVBAGLBSA-N -1 1 324.381 1.112 20 0 DDADMM O=C(C=Cc1ccccc1Cl)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370865985 301398449 /nfs/dbraw/zinc/39/84/49/301398449.db2.gz BBLLSQHZHDLMLC-BTDICHCPSA-N -1 1 319.752 1.466 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC(n2ccnn2)C1 ZINC000372590320 301592659 /nfs/dbraw/zinc/59/26/59/301592659.db2.gz VDHHTTRZMJHKNW-UHFFFAOYSA-N -1 1 323.150 1.443 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(C3CCCC3)C2)co1 ZINC000373715450 301720736 /nfs/dbraw/zinc/72/07/36/301720736.db2.gz PCHVZWXZNWODHI-UHFFFAOYSA-N -1 1 312.391 1.450 20 0 DDADMM NC(=O)[C@]1(NC(=O)c2ccc3ccccc3c2[O-])CCCOC1 ZINC000376798513 302104880 /nfs/dbraw/zinc/10/48/80/302104880.db2.gz IFQIPJBXYREJHS-KRWDZBQOSA-N -1 1 314.341 1.310 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H]3CCCCC[C@@H]32)o1 ZINC000377234581 302158197 /nfs/dbraw/zinc/15/81/97/302158197.db2.gz AJNZWIUSMVVZMB-QWRGUYRKSA-N -1 1 312.391 1.592 20 0 DDADMM Cc1cc(F)ccc1[C@@H](O)CN1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000377495517 302185880 /nfs/dbraw/zinc/18/58/80/302185880.db2.gz RROHWMOJZQXWSK-FZMZJTMJSA-N -1 1 320.368 1.871 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN([C@H]2CCOC2)CC1 ZINC000377713770 302217977 /nfs/dbraw/zinc/21/79/77/302217977.db2.gz AZKVLTAIYUIFOE-JTQLQIEISA-N -1 1 312.316 1.217 20 0 DDADMM COCc1nc(=NC[C@@H]2CCN(C)[C@H]2c2cccnc2)s[n-]1 ZINC000377981404 302261949 /nfs/dbraw/zinc/26/19/49/302261949.db2.gz FBAHHDVUTWTRQC-JSGCOSHPSA-N -1 1 319.434 1.606 20 0 DDADMM CCC[C@H](NC(=O)c1cncc(-c2ccccn2)c1)c1nn[n-]n1 ZINC000378574818 302338001 /nfs/dbraw/zinc/33/80/01/302338001.db2.gz WRRMVMUMCUDCKJ-AWEZNQCLSA-N -1 1 323.360 1.928 20 0 DDADMM CC[C@H]1CN(C(=O)c2cc(Br)ccc2[O-])C[C@H]1O ZINC000400425994 302369394 /nfs/dbraw/zinc/36/93/94/302369394.db2.gz ANJGYNIMXYYSKG-QPUJVOFHSA-N -1 1 314.179 1.998 20 0 DDADMM O=S(=O)([N-]C[C@@H]1COCCO1)c1cc2cc(F)ccc2o1 ZINC000516148032 302784575 /nfs/dbraw/zinc/78/45/75/302784575.db2.gz MPPMZERBEMHOGM-LLVKDONJSA-N -1 1 315.322 1.266 20 0 DDADMM NS(=O)(=O)c1c[n-]c(=NCC(C2CCC2)C2CCC2)s1 ZINC000528075886 303001531 /nfs/dbraw/zinc/00/15/31/303001531.db2.gz VECGYQWCVZPINY-UHFFFAOYSA-N -1 1 315.464 1.841 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1Cc2c1cccc2Cl)c1nn[n-]n1 ZINC000529019748 303081217 /nfs/dbraw/zinc/08/12/17/303081217.db2.gz IVYIRUGTRFLQHV-KCJUWKMLSA-N -1 1 305.769 1.755 20 0 DDADMM O=C(CS(=O)(=O)C1CCCC1)Nc1cccc(F)c1[O-] ZINC000530006000 303170262 /nfs/dbraw/zinc/17/02/62/303170262.db2.gz LNGINZOQEKMYJZ-UHFFFAOYSA-N -1 1 301.339 1.827 20 0 DDADMM C[C@@H]1CN(CCN2CCc3c(F)ccc(C(=O)[O-])c3C2)CCO1 ZINC000530169624 303178638 /nfs/dbraw/zinc/17/86/38/303178638.db2.gz PYDCONVNKBYHKB-GFCCVEGCSA-N -1 1 322.380 1.603 20 0 DDADMM NC(=O)CCNc1ccccc1[N-]S(=O)(=O)c1ccccc1 ZINC000174267256 303247358 /nfs/dbraw/zinc/24/73/58/303247358.db2.gz IFAUVHLSTLNTMW-UHFFFAOYSA-N -1 1 319.386 1.775 20 0 DDADMM O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)c1cc(C2CC2)[nH]n1 ZINC000532599091 303291968 /nfs/dbraw/zinc/29/19/68/303291968.db2.gz ZCWNNPXOGKMFIT-NSHDSACASA-N -1 1 307.350 1.383 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)cs1 ZINC000533039654 303305868 /nfs/dbraw/zinc/30/58/68/303305868.db2.gz DTNZVVGMFZKOBN-UHFFFAOYSA-N -1 1 315.376 1.675 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000533076436 303307078 /nfs/dbraw/zinc/30/70/78/303307078.db2.gz DBYKHGSLZARICQ-NWDGAFQWSA-N -1 1 313.357 1.422 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CNC2(C(=O)[O-])CCCC2)cc1 ZINC000533202558 303313706 /nfs/dbraw/zinc/31/37/06/303313706.db2.gz MJOZWGSHBDBSQY-UHFFFAOYSA-N -1 1 319.361 1.571 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CC(=O)NC(=O)Nc2ccccc2)C1 ZINC000533203017 303313727 /nfs/dbraw/zinc/31/37/27/303313727.db2.gz DRQNHBGIFUFKRN-LBPRGKRZSA-N -1 1 319.361 1.521 20 0 DDADMM CCS[C@H]1CCCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000541843920 303429890 /nfs/dbraw/zinc/42/98/90/303429890.db2.gz RHNULGRSRCAOMI-JTQLQIEISA-N -1 1 321.406 1.165 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@H](Cc3cnn(C)c3)C2)c([O-])c1 ZINC000547654006 303545514 /nfs/dbraw/zinc/54/55/14/303545514.db2.gz AQDAMBHBPZLLJJ-LBPRGKRZSA-N -1 1 300.362 1.534 20 0 DDADMM O=C(Nc1cnn(-c2ccccn2)c1)C(=O)c1ccc([O-])cc1 ZINC000554578359 303702487 /nfs/dbraw/zinc/70/24/87/303702487.db2.gz UUUNLMWEOXUUKC-UHFFFAOYSA-N -1 1 308.297 1.794 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H]2CCc3ccccc3N2)[n-]n1 ZINC000562721676 303889652 /nfs/dbraw/zinc/88/96/52/303889652.db2.gz QAQKGAHKOXFMMY-ZDUSSCGKSA-N -1 1 311.389 1.487 20 0 DDADMM CO[C@@](C)(CNC(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000359516259 306956462 /nfs/dbraw/zinc/95/64/62/306956462.db2.gz MUCLFIINIWFAAL-INIZCTEOSA-N -1 1 301.346 1.468 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(F)cc1F ZINC000362711568 307026280 /nfs/dbraw/zinc/02/62/80/307026280.db2.gz QVHSMKLKFSZLCL-SECBINFHSA-N -1 1 307.304 1.806 20 0 DDADMM COc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)ccc1C ZINC000366657686 307078907 /nfs/dbraw/zinc/07/89/07/307078907.db2.gz RZVNATYQAFUWHW-GFCCVEGCSA-N -1 1 301.350 1.537 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(C)n1C1CC1 ZINC000367109099 307086220 /nfs/dbraw/zinc/08/62/20/307086220.db2.gz HLGIDTYQZVKLTQ-LBPRGKRZSA-N -1 1 314.393 1.973 20 0 DDADMM Cc1cc(C)c(CC(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(C)c1 ZINC000369454277 307120482 /nfs/dbraw/zinc/12/04/82/307120482.db2.gz ZAOFQBGQYWJXLC-AWEZNQCLSA-N -1 1 315.377 1.268 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2cc(F)ccc2[O-])C1)C1CCC1 ZINC000371859486 307159265 /nfs/dbraw/zinc/15/92/65/307159265.db2.gz VWHXBEJMKVVEMT-GFCCVEGCSA-N -1 1 306.337 1.662 20 0 DDADMM Cn1cc(-c2cc(NC(=O)[N-]O[C@@H]3CCCCO3)n(C)n2)cn1 ZINC000373164992 307180789 /nfs/dbraw/zinc/18/07/89/307180789.db2.gz QQXYWHKYAYGFJW-CYBMUJFWSA-N -1 1 320.353 1.400 20 0 DDADMM CCC(=O)N1CCC[C@@H]1[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000373515684 307189696 /nfs/dbraw/zinc/18/96/96/307189696.db2.gz ZQMXXHWXMHHLGT-OLZOCXBDSA-N -1 1 317.389 1.793 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cncc([O-])c2)C[C@]2(CCCOC2)O1 ZINC000373518449 307189952 /nfs/dbraw/zinc/18/99/52/307189952.db2.gz CVPZOXGMMUKJMB-ZBFHGGJFSA-N -1 1 306.362 1.587 20 0 DDADMM CC[C@H]1CN(C(=O)c2cncc([O-])c2)C[C@@]2(CCCOC2)O1 ZINC000373518444 307190003 /nfs/dbraw/zinc/19/00/03/307190003.db2.gz CVPZOXGMMUKJMB-GOEBONIOSA-N -1 1 306.362 1.587 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@@H]4OCCC[C@@H]4C3)ccnc1-2 ZINC000376641117 307251223 /nfs/dbraw/zinc/25/12/23/307251223.db2.gz QHDZTRHIYXCRCY-VKHVKFQPSA-N -1 1 315.377 1.375 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000377632592 307275057 /nfs/dbraw/zinc/27/50/57/307275057.db2.gz TVHPURQXZDDVOL-NWDGAFQWSA-N -1 1 313.300 1.690 20 0 DDADMM CCOC(=O)N1CCC[C@@H]([C@H](C)NC(=O)c2cncc([O-])c2)C1 ZINC000495521671 307309054 /nfs/dbraw/zinc/30/90/54/307309054.db2.gz WTMYYKRJCPMZSM-NWDGAFQWSA-N -1 1 321.377 1.774 20 0 DDADMM COC(=O)c1cnc([N-]S(=O)(=O)C[C@@H](C)OC)c(Cl)c1 ZINC000565056643 308018382 /nfs/dbraw/zinc/01/83/82/308018382.db2.gz SAZGPNMNNCREGN-SSDOTTSWSA-N -1 1 322.770 1.298 20 0 DDADMM CO[C@H]1C[C@@H](CC(=O)[O-])N(Cc2nc3ccccc3n2C)C1 ZINC000566368860 308051576 /nfs/dbraw/zinc/05/15/76/308051576.db2.gz UAOYJIANAZKWBV-RYUDHWBXSA-N -1 1 303.362 1.637 20 0 DDADMM C[C@H](N=c1ccc(N2CC(=O)N[C@H](C)C2)n[n-]1)c1ccccc1 ZINC000568622393 308124794 /nfs/dbraw/zinc/12/47/94/308124794.db2.gz LTRKDSGGWYJERT-OLZOCXBDSA-N -1 1 311.389 1.396 20 0 DDADMM CCc1ccc([C@H](COC)[N-]S(=O)(=O)c2ccn(C)n2)o1 ZINC000572032181 308209267 /nfs/dbraw/zinc/20/92/67/308209267.db2.gz ZDKBDLZXDBSOAX-NSHDSACASA-N -1 1 313.379 1.242 20 0 DDADMM Cc1cc(N2CC[C@H](Sc3ncc(C)c(=O)[n-]3)C2=O)n(C)n1 ZINC000576649338 308330065 /nfs/dbraw/zinc/33/00/65/308330065.db2.gz LOSSGQGZOLWLDV-JTQLQIEISA-N -1 1 319.390 1.430 20 0 DDADMM Cc1ccccc1[C@]1(F)CCN(CC(=O)Nc2nnn[n-]2)C1 ZINC000577201209 308370483 /nfs/dbraw/zinc/37/04/83/308370483.db2.gz WWRJCCMZLKPVNX-AWEZNQCLSA-N -1 1 304.329 1.017 20 0 DDADMM Cc1ccccc1[C@]1(F)CCN(CC(=O)Nc2nn[n-]n2)C1 ZINC000577201209 308370484 /nfs/dbraw/zinc/37/04/84/308370484.db2.gz WWRJCCMZLKPVNX-AWEZNQCLSA-N -1 1 304.329 1.017 20 0 DDADMM CN(C)[C@@H](CNC(=O)CSCC(=O)[O-])c1ccc(F)cc1 ZINC000577279464 308376365 /nfs/dbraw/zinc/37/63/65/308376365.db2.gz SMNGCKGQJXLKJP-LBPRGKRZSA-N -1 1 314.382 1.362 20 0 DDADMM Cc1cccc(S(=O)(=O)CCCN(C)[C@@H](C)CC(=O)[O-])c1 ZINC000577531144 308396526 /nfs/dbraw/zinc/39/65/26/308396526.db2.gz YDZBQKCJHYEMNR-ZDUSSCGKSA-N -1 1 313.419 1.954 20 0 DDADMM COC(=O)c1cnc(C(=O)[N-]c2nnc(C3CC3)s2)cn1 ZINC000580911338 308640346 /nfs/dbraw/zinc/64/03/46/308640346.db2.gz HRMFPHVMWOYECJ-UHFFFAOYSA-N -1 1 305.319 1.244 20 0 DDADMM COc1ccc2c(c1)CCN(S(=O)(=O)c1c(C)o[n-]c1=N)C2 ZINC000582013915 325954870 /nfs/dbraw/zinc/95/48/70/325954870.db2.gz AORRWPDCDNYCNP-UHFFFAOYSA-N -1 1 323.374 1.151 20 0 DDADMM O=C(NC[C@H]1CCCCS1(=O)=O)c1c(F)ccc([O-])c1F ZINC000583924371 331471950 /nfs/dbraw/zinc/47/19/50/331471950.db2.gz RYILZMIMLKQHAT-MRVPVSSYSA-N -1 1 319.329 1.368 20 0 DDADMM COc1ccc(NC(=O)CN2C[C@H](C(=O)[O-])CC[C@@H]2C)cc1 ZINC000396999776 337243233 /nfs/dbraw/zinc/24/32/33/337243233.db2.gz DQXVYZOXPUGDME-NWDGAFQWSA-N -1 1 306.362 1.819 20 0 DDADMM Cc1c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cnn1C(C)C ZINC000425303431 484115281 /nfs/dbraw/zinc/11/52/81/484115281.db2.gz OMQRYINIKIAMGO-NSHDSACASA-N -1 1 319.365 1.881 20 0 DDADMM CN1CC[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CC1=O ZINC000192657137 484122695 /nfs/dbraw/zinc/12/26/95/484122695.db2.gz SYMSBEQRVFRFJW-ZETCQYMHSA-N -1 1 322.308 1.003 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cncc(F)c1)[C@@H]1CCOC1 ZINC000656736528 484308442 /nfs/dbraw/zinc/30/84/42/484308442.db2.gz BXQVJNZROWSRLX-BXKDBHETSA-N -1 1 304.343 1.014 20 0 DDADMM Cc1c[nH]nc1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000669614246 484587693 /nfs/dbraw/zinc/58/76/93/484587693.db2.gz QINVLXRQVUWOAX-UHFFFAOYSA-N -1 1 312.326 1.481 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000663077160 484648773 /nfs/dbraw/zinc/64/87/73/484648773.db2.gz VAEFALGMMCUOCY-IUODEOHRSA-N -1 1 312.341 1.034 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1n[nH]nc1-c1ccccc1 ZINC000669944868 484694584 /nfs/dbraw/zinc/69/45/84/484694584.db2.gz RAYODWBNOUQULR-UHFFFAOYSA-N -1 1 312.318 1.223 20 0 DDADMM O=C(Nc1ccccc1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670383595 484801368 /nfs/dbraw/zinc/80/13/68/484801368.db2.gz CDXNPHMFBWXMFM-SNVBAGLBSA-N -1 1 316.317 1.120 20 0 DDADMM O=C(C(=O)N1CCOC[C@@H]1c1ccco1)c1ccc([O-])cc1 ZINC000672904081 485366076 /nfs/dbraw/zinc/36/60/76/485366076.db2.gz QXKDWFPNLXZOEC-CYBMUJFWSA-N -1 1 301.298 1.768 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N(CCO)CCc1ccccc1 ZINC000682163410 485509853 /nfs/dbraw/zinc/50/98/53/485509853.db2.gz KTMUUADIIUVRQH-UHFFFAOYSA-N -1 1 310.357 1.635 20 0 DDADMM COc1ccc([N-]S(=O)(=O)CCOC(C)C)c(OC)n1 ZINC000674043269 485519893 /nfs/dbraw/zinc/51/98/93/485519893.db2.gz QMBGWHFZPYZYNM-UHFFFAOYSA-N -1 1 304.368 1.266 20 0 DDADMM CCC1(C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2[O-])CC1 ZINC000674136405 485545099 /nfs/dbraw/zinc/54/50/99/485545099.db2.gz MDVNXSQHMIHAHY-UHFFFAOYSA-N -1 1 324.402 1.962 20 0 DDADMM CCCCS(=O)(=O)NCC(=O)Nc1c([O-])cccc1F ZINC000678374519 485556989 /nfs/dbraw/zinc/55/69/89/485556989.db2.gz PDWBBRCYSHMWNK-UHFFFAOYSA-N -1 1 304.343 1.189 20 0 DDADMM COc1cc(C(=O)N2CC(F)(F)C[C@@H]2CO)cc(Cl)c1[O-] ZINC000682364731 485570530 /nfs/dbraw/zinc/57/05/30/485570530.db2.gz RRWRMYKOJZGTSZ-MRVPVSSYSA-N -1 1 321.707 1.896 20 0 DDADMM O=C(CCOCC1CC1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000674360029 485612324 /nfs/dbraw/zinc/61/23/24/485612324.db2.gz JYZPHPHDMZBQEA-CQSZACIVSA-N -1 1 301.350 1.222 20 0 DDADMM CCCOc1ccc(C(=O)NCc2nn[n-]n2)c(OC(C)C)c1 ZINC000682897587 485816953 /nfs/dbraw/zinc/81/69/53/485816953.db2.gz IVUNLBGTJAVHPI-UHFFFAOYSA-N -1 1 319.365 1.706 20 0 DDADMM O=C(CC1CCSCC1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000675598668 485989146 /nfs/dbraw/zinc/98/91/46/485989146.db2.gz PMITVYARKAJDKM-AWEZNQCLSA-N -1 1 317.418 1.939 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)C[C@H]2CCOC2)sc1C ZINC000676415911 486222114 /nfs/dbraw/zinc/22/21/14/486222114.db2.gz JNVGGWOZGDMLDY-GZMMTYOYSA-N -1 1 304.437 1.853 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)c2cncnc2)CC1 ZINC000680637217 486250552 /nfs/dbraw/zinc/25/05/52/486250552.db2.gz CWTRVCONWSKMGA-UHFFFAOYSA-N -1 1 311.341 1.917 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cccc(N3CCCC3=O)c2)c1[O-] ZINC000676629941 486271862 /nfs/dbraw/zinc/27/18/62/486271862.db2.gz JSGVWUGVFGOFIH-UHFFFAOYSA-N -1 1 300.318 1.803 20 0 DDADMM CCOc1cc(C(=O)N2C[C@H](O)C[C@H](O)C2)cc(Cl)c1[O-] ZINC000680817498 486272026 /nfs/dbraw/zinc/27/20/26/486272026.db2.gz ACQNOVROYQEDSJ-AOOOYVTPSA-N -1 1 315.753 1.012 20 0 DDADMM CCOc1ccc(CN2CCC(c3n[nH]c(=O)[n-]3)CC2)nc1 ZINC000676655804 486281052 /nfs/dbraw/zinc/28/10/52/486281052.db2.gz WVZCWVCEKHWPCH-UHFFFAOYSA-N -1 1 303.366 1.684 20 0 DDADMM COc1ccc(C(=O)N(C)C)cc1NC(=O)c1n[nH]c(C)c1[O-] ZINC000676706997 486297742 /nfs/dbraw/zinc/29/77/42/486297742.db2.gz POZPQWXBMLNXCK-UHFFFAOYSA-N -1 1 318.333 1.386 20 0 DDADMM O=C(NCC1CC1)[C@@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000681020046 486324990 /nfs/dbraw/zinc/32/49/90/486324990.db2.gz UURYUKAIVVDLJV-CYBMUJFWSA-N -1 1 320.364 1.910 20 0 DDADMM O=C(NCC1(O)Cc2ccccc2C1)c1ccc([O-])c(F)c1 ZINC000681048598 486330760 /nfs/dbraw/zinc/33/07/60/486330760.db2.gz KYTKJEKVZVCDAQ-UHFFFAOYSA-N -1 1 301.317 1.791 20 0 DDADMM O=C(NCCc1cn2c(n1)SCC2)c1ccc([O-])c(F)c1 ZINC000681087940 486345871 /nfs/dbraw/zinc/34/58/71/486345871.db2.gz HILKXKGYNUDVGX-UHFFFAOYSA-N -1 1 307.350 1.806 20 0 DDADMM CCCc1cc(C(=O)N2CCC(COC)(C(=O)[O-])CC2)n[nH]1 ZINC000684539220 486379217 /nfs/dbraw/zinc/37/92/17/486379217.db2.gz PQHQTPPGZRRGRT-UHFFFAOYSA-N -1 1 309.366 1.316 20 0 DDADMM Cc1ccn2cc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)nc2c1 ZINC000677047575 486386104 /nfs/dbraw/zinc/38/61/04/486386104.db2.gz DLLWPAWSAKPQJU-NSHDSACASA-N -1 1 311.349 1.176 20 0 DDADMM Cc1ccc(CCC(=O)NCc2nn[n-]n2)c(Br)c1 ZINC000681271660 486393058 /nfs/dbraw/zinc/39/30/58/486393058.db2.gz ZMEFQGYLXHHBQZ-UHFFFAOYSA-N -1 1 324.182 1.520 20 0 DDADMM Cc1ccc(OCCCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000681353586 486411368 /nfs/dbraw/zinc/41/13/68/486411368.db2.gz PPEDJGCEQFUDAF-CYBMUJFWSA-N -1 1 317.393 1.929 20 0 DDADMM O=C(c1ccnc2[nH]cnc21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614387398 501077371 /nfs/dbraw/zinc/07/73/71/501077371.db2.gz BBMCVSLOKZUXFM-QMMMGPOBSA-N -1 1 314.305 1.066 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)CCOCC2CC2)cn1 ZINC000424063349 534228375 /nfs/dbraw/zinc/22/83/75/534228375.db2.gz MJEADGOSQOEWQT-UHFFFAOYSA-N -1 1 314.363 1.037 20 0 DDADMM COC(=O)c1csc(S(=O)(=O)[N-][C@H](C)C(C)(F)F)c1 ZINC000451072989 534336111 /nfs/dbraw/zinc/33/61/11/534336111.db2.gz PVPJAGHGDJBTBK-ZCFIWIBFSA-N -1 1 313.347 1.857 20 0 DDADMM C[C@@H]1CN(CCCN=c2[n-]c(C3CCOCC3)no2)C[C@H](C)O1 ZINC000413041101 534462984 /nfs/dbraw/zinc/46/29/84/534462984.db2.gz KKKJSMYZTFJMKV-BETUJISGSA-N -1 1 324.425 1.297 20 0 DDADMM O=C([C@@H]1CCCc2nccn21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000424524909 534589425 /nfs/dbraw/zinc/58/94/25/534589425.db2.gz ADASWQHXLCGNPK-MNOVXSKESA-N -1 1 317.349 1.255 20 0 DDADMM CC(C)(C)NC(=O)CC[N-]S(=O)(=O)c1sccc1Cl ZINC000451167540 526304921 /nfs/dbraw/zinc/30/49/21/526304921.db2.gz XIKRBLNHRDZUAT-UHFFFAOYSA-N -1 1 324.855 1.985 20 0 DDADMM CC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCOC1)c1ccccc1F ZINC000416642137 527131208 /nfs/dbraw/zinc/13/12/08/527131208.db2.gz FPFLVPIUAHYBAU-BXUZGUMPSA-N -1 1 315.366 1.412 20 0 DDADMM CC(C)CC(C)(C)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331003745 527673791 /nfs/dbraw/zinc/67/37/91/527673791.db2.gz RTBUKDDOVPFQQP-UHFFFAOYSA-N -1 1 311.455 1.672 20 0 DDADMM CC(C)c1[nH]nc(C(N)=O)c1[N-]S(=O)(=O)c1ccsc1 ZINC000451117087 528002152 /nfs/dbraw/zinc/00/21/52/528002152.db2.gz SXEFOWLEJMHDIE-UHFFFAOYSA-N -1 1 314.392 1.494 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2cc3ccccc3[nH]2)[n-]n1 ZINC000433443051 528230618 /nfs/dbraw/zinc/23/06/18/528230618.db2.gz KKVVQANSRSDUKD-UHFFFAOYSA-N -1 1 309.373 1.784 20 0 DDADMM CCCN1CCN(C(=O)N=c2ccc(C(F)(F)F)n[n-]2)CC1 ZINC000330971387 528240515 /nfs/dbraw/zinc/24/05/15/528240515.db2.gz CQQXQQJOTMMCPQ-UHFFFAOYSA-N -1 1 317.315 1.477 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CC[C@@H](C)C2)o1 ZINC000444210094 528243344 /nfs/dbraw/zinc/24/33/44/528243344.db2.gz DQUXQKWKNFGJSU-ZJUUUORDSA-N -1 1 301.364 1.923 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C3=CCCC3)CC2)n1 ZINC000331929045 528368912 /nfs/dbraw/zinc/36/89/12/528368912.db2.gz NNHUGEKGKMLOOU-UHFFFAOYSA-N -1 1 318.377 1.798 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)CC3(C)CC3)CC2)n1 ZINC000444758602 528369140 /nfs/dbraw/zinc/36/91/40/528369140.db2.gz YWLKDJHQGALWNE-UHFFFAOYSA-N -1 1 320.393 1.878 20 0 DDADMM CC(C)N1CC[C@@H]([N-]S(=O)(=O)c2sccc2Cl)C1=O ZINC000451202532 529071470 /nfs/dbraw/zinc/07/14/70/529071470.db2.gz PGAJHUGEQSIOKV-SECBINFHSA-N -1 1 322.839 1.689 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000330951096 529170490 /nfs/dbraw/zinc/17/04/90/529170490.db2.gz KIYSIIWFEODGFI-CABZTGNLSA-N -1 1 309.391 1.217 20 0 DDADMM Cc1cc(Cl)ccc1NC(=O)Cn1cnnc1-c1nn[n-]n1 ZINC000737265051 598875861 /nfs/dbraw/zinc/87/58/61/598875861.db2.gz SCAAPAQAMZUWRO-UHFFFAOYSA-N -1 1 318.728 1.059 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(NCCc2ccc3c(c2)CCO3)n1 ZINC000738444934 598914719 /nfs/dbraw/zinc/91/47/19/598914719.db2.gz ACRVUCGYTKDAOW-UHFFFAOYSA-N -1 1 309.333 1.246 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(NCCc2ccc3c(c2)CCO3)n1 ZINC000738444934 598914720 /nfs/dbraw/zinc/91/47/20/598914720.db2.gz ACRVUCGYTKDAOW-UHFFFAOYSA-N -1 1 309.333 1.246 20 0 DDADMM CN1CCN(c2ccc(NC(=O)CCNC(=O)[O-])cc2)CC1 ZINC000737529960 599710669 /nfs/dbraw/zinc/71/06/69/599710669.db2.gz PPRJTADBPLVPSY-UHFFFAOYSA-N -1 1 306.366 1.035 20 0 DDADMM O[C@H](CNc1nccnc1-c1nnn[n-]1)c1c(F)cccc1F ZINC000738387197 598975749 /nfs/dbraw/zinc/97/57/49/598975749.db2.gz OBSNRHCCVGNGNK-SECBINFHSA-N -1 1 319.275 1.080 20 0 DDADMM O[C@H](CNc1nccnc1-c1nn[n-]n1)c1c(F)cccc1F ZINC000738387197 598975751 /nfs/dbraw/zinc/97/57/51/598975751.db2.gz OBSNRHCCVGNGNK-SECBINFHSA-N -1 1 319.275 1.080 20 0 DDADMM COC(=O)c1ccccc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736956671 598977280 /nfs/dbraw/zinc/97/72/80/598977280.db2.gz HORZHWYKMJBXQJ-UHFFFAOYSA-N -1 1 324.300 1.301 20 0 DDADMM COC(=O)c1ccccc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736956671 598977286 /nfs/dbraw/zinc/97/72/86/598977286.db2.gz HORZHWYKMJBXQJ-UHFFFAOYSA-N -1 1 324.300 1.301 20 0 DDADMM C[C@@H](CCc1ccco1)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735993004 598983493 /nfs/dbraw/zinc/98/34/93/598983493.db2.gz ODXUSZSLDUXCHM-JTQLQIEISA-N -1 1 312.333 1.606 20 0 DDADMM C[C@@H](CCc1ccco1)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735993004 598983494 /nfs/dbraw/zinc/98/34/94/598983494.db2.gz ODXUSZSLDUXCHM-JTQLQIEISA-N -1 1 312.333 1.606 20 0 DDADMM O=C1N[C@@H](c2ccc(-c3nnn[n-]3)o2)Nc2cc(F)ccc21 ZINC000823540408 599118243 /nfs/dbraw/zinc/11/82/43/599118243.db2.gz CQHKVGJRKLJYES-NSHDSACASA-N -1 1 300.253 1.453 20 0 DDADMM O=C1N[C@@H](c2ccc(-c3nn[n-]n3)o2)Nc2cc(F)ccc21 ZINC000823540408 599118246 /nfs/dbraw/zinc/11/82/46/599118246.db2.gz CQHKVGJRKLJYES-NSHDSACASA-N -1 1 300.253 1.453 20 0 DDADMM O=C(OCc1cc(F)ccc1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738236508 599142221 /nfs/dbraw/zinc/14/22/21/599142221.db2.gz LAGCLBOSCFHFSW-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM O=C(OCc1cc(F)ccc1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738236508 599142223 /nfs/dbraw/zinc/14/22/23/599142223.db2.gz LAGCLBOSCFHFSW-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM CCSCC[C@@H](C)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821462180 599218523 /nfs/dbraw/zinc/21/85/23/599218523.db2.gz WSJKZHVOIXDKAE-SECBINFHSA-N -1 1 306.395 1.523 20 0 DDADMM CCSCC[C@@H](C)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821462180 599218525 /nfs/dbraw/zinc/21/85/25/599218525.db2.gz WSJKZHVOIXDKAE-SECBINFHSA-N -1 1 306.395 1.523 20 0 DDADMM O=C([O-])CCNC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000819484548 597284317 /nfs/dbraw/zinc/28/43/17/597284317.db2.gz MRUSWGUCETTXLW-GFCCVEGCSA-N -1 1 309.366 1.581 20 0 DDADMM C[C@@H](c1ccncc1)N1CCC(NC(=O)NCCC(=O)[O-])CC1 ZINC000820199742 597354934 /nfs/dbraw/zinc/35/49/34/597354934.db2.gz GKHAJGNBBWQVRG-LBPRGKRZSA-N -1 1 320.393 1.381 20 0 DDADMM O=C([O-])[C@@H]1CCN(CC(=O)N2CCC(c3ccccc3)=N2)C1 ZINC000819281449 597525589 /nfs/dbraw/zinc/52/55/89/597525589.db2.gz SUQBXYIDKAAKQF-CYBMUJFWSA-N -1 1 301.346 1.030 20 0 DDADMM C[NH+](C)CCN(CC(=O)[O-])C(=O)c1ccc2ccccc2c1[O-] ZINC000391104747 598142575 /nfs/dbraw/zinc/14/25/75/598142575.db2.gz YDDPZWMNCHTJBH-UHFFFAOYSA-N -1 1 316.357 1.634 20 0 DDADMM O=C([O-])C[C@H]1CCC[N@@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000821515002 598162193 /nfs/dbraw/zinc/16/21/93/598162193.db2.gz BBFHNZOETJPFEU-CQSZACIVSA-N -1 1 306.362 1.421 20 0 DDADMM COCC[N@H+](CC(=O)[O-])Cc1cc(=O)oc2cc([O-])ccc12 ZINC000314809985 598198208 /nfs/dbraw/zinc/19/82/08/598198208.db2.gz NCLOBKXEGXMWLP-UHFFFAOYSA-N -1 1 307.302 1.032 20 0 DDADMM COCC[N@@H+](CC(=O)[O-])Cc1cc(=O)oc2cc([O-])ccc12 ZINC000314809985 598198209 /nfs/dbraw/zinc/19/82/09/598198209.db2.gz NCLOBKXEGXMWLP-UHFFFAOYSA-N -1 1 307.302 1.032 20 0 DDADMM Cc1nc2ccccc2n1CCNc1cnc(-c2nnn[n-]2)cn1 ZINC000737490842 598385545 /nfs/dbraw/zinc/38/55/45/598385545.db2.gz XTQCQOQIZCCOJX-UHFFFAOYSA-N -1 1 321.348 1.427 20 0 DDADMM Cc1nc2ccccc2n1CCNc1cnc(-c2nn[n-]n2)cn1 ZINC000737490842 598385546 /nfs/dbraw/zinc/38/55/46/598385546.db2.gz XTQCQOQIZCCOJX-UHFFFAOYSA-N -1 1 321.348 1.427 20 0 DDADMM CC(C)(C)C(=O)Cn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000735586063 598503727 /nfs/dbraw/zinc/50/37/27/598503727.db2.gz ILMORUWARRSZKZ-UHFFFAOYSA-N -1 1 311.345 1.797 20 0 DDADMM Cn1cc(C2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)cn1 ZINC000737602681 599422732 /nfs/dbraw/zinc/42/27/32/599422732.db2.gz HHAFAPAUNPQQQA-UHFFFAOYSA-N -1 1 310.365 1.379 20 0 DDADMM Cn1cc(C2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)cn1 ZINC000737602681 599422737 /nfs/dbraw/zinc/42/27/37/599422737.db2.gz HHAFAPAUNPQQQA-UHFFFAOYSA-N -1 1 310.365 1.379 20 0 DDADMM c1cc(-c2nnn[n-]2)oc1CNc1cnn(Cc2ccncc2)c1 ZINC000823752355 599426549 /nfs/dbraw/zinc/42/65/49/599426549.db2.gz YUBNVLSJFOYBGQ-UHFFFAOYSA-N -1 1 322.332 1.712 20 0 DDADMM c1cc(-c2nn[n-]n2)oc1CNc1cnn(Cc2ccncc2)c1 ZINC000823752355 599426550 /nfs/dbraw/zinc/42/65/50/599426550.db2.gz YUBNVLSJFOYBGQ-UHFFFAOYSA-N -1 1 322.332 1.712 20 0 DDADMM OCCCSCCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000823722171 599529748 /nfs/dbraw/zinc/52/97/48/599529748.db2.gz MTNSEZQKBASIEG-UHFFFAOYSA-N -1 1 320.831 1.504 20 0 DDADMM OCCCSCCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000823722171 599529750 /nfs/dbraw/zinc/52/97/50/599529750.db2.gz MTNSEZQKBASIEG-UHFFFAOYSA-N -1 1 320.831 1.504 20 0 DDADMM CCC(=O)N1CCCN([C@@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000736839714 599711764 /nfs/dbraw/zinc/71/17/64/599711764.db2.gz JNVFVPHGCGVSCV-OAHLLOKOSA-N -1 1 308.353 1.896 20 0 DDADMM CN(CCc1cccc2ccccc21)CC(=O)NCCC(=O)[O-] ZINC000737447212 599717072 /nfs/dbraw/zinc/71/70/72/599717072.db2.gz QDTKGJDTSQGZPR-UHFFFAOYSA-N -1 1 314.385 1.905 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000736323971 599727601 /nfs/dbraw/zinc/72/76/01/599727601.db2.gz BDHPUCJPVSUMRP-UHFFFAOYSA-N -1 1 319.405 1.767 20 0 DDADMM CC(C)C[C@H]1NC(=O)N(CN2CCCC[C@@H]2CC(=O)[O-])C1=O ZINC000736429385 599839218 /nfs/dbraw/zinc/83/92/18/599839218.db2.gz UXYBOQNGMNJMDZ-VXGBXAGGSA-N -1 1 311.382 1.240 20 0 DDADMM CSc1ccc([C@@H](CC(=O)[O-])NC(=O)c2cnn[nH]2)cc1 ZINC000738202288 599852359 /nfs/dbraw/zinc/85/23/59/599852359.db2.gz CVZBAHGOOYUTLZ-SNVBAGLBSA-N -1 1 306.347 1.472 20 0 DDADMM O=C([O-])[C@@H]1Cc2ccccc2CN1Cc1cc(=O)n2nccc2[nH]1 ZINC000739626583 599924210 /nfs/dbraw/zinc/92/42/10/599924210.db2.gz SUAJBEMAVHDALM-AWEZNQCLSA-N -1 1 324.340 1.034 20 0 DDADMM NC(=O)[C@@H](NCCOc1ccc(C(=O)[O-])cc1)c1ccccc1 ZINC000739149237 599941309 /nfs/dbraw/zinc/94/13/09/599941309.db2.gz QYCOLDPLXCRCLS-HNNXBMFYSA-N -1 1 314.341 1.580 20 0 DDADMM CC[C@H]1CN([C@@H](C(=O)[O-])c2ccc(F)cc2)CC[N@H+]1CCOC ZINC000736943132 599960928 /nfs/dbraw/zinc/96/09/28/599960928.db2.gz IDRQVSRQMCPPMU-JKSUJKDBSA-N -1 1 324.396 1.994 20 0 DDADMM C[C@H](O)[C@H]1CCCCN1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000736678502 600090978 /nfs/dbraw/zinc/09/09/78/600090978.db2.gz UCJFVSDFOHVQDD-SMDDNHRTSA-N -1 1 306.362 1.559 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000737360691 600102525 /nfs/dbraw/zinc/10/25/25/600102525.db2.gz ZTTQTBLOHVKDCM-LSDHHAIUSA-N -1 1 304.390 1.483 20 0 DDADMM Cc1nn(C)c(NS(=O)(=O)c2cccc(C)c2C(=O)[O-])c1C ZINC000738743906 600126960 /nfs/dbraw/zinc/12/69/60/600126960.db2.gz GECOTDQFKPIVAH-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM O=C(CNC1(C(=O)[O-])CCCCC1)Nc1nc2c(s1)CCC2 ZINC000739308538 600209213 /nfs/dbraw/zinc/20/92/13/600209213.db2.gz LIUJMYFYTYUKPB-UHFFFAOYSA-N -1 1 323.418 1.947 20 0 DDADMM C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cn1cc(C(=O)[O-])nn1 ZINC000736763705 600259674 /nfs/dbraw/zinc/25/96/74/600259674.db2.gz UEISLTNXGBKSBU-PWSUYJOCSA-N -1 1 304.325 1.951 20 0 DDADMM CC(C)[C@@]1(C)CC(=O)N(CN(C[C@H](C)C(=O)[O-])C2CC2)C1=O ZINC000736577931 600259725 /nfs/dbraw/zinc/25/97/25/600259725.db2.gz HOXPUIUASOUPBB-MEDUHNTESA-N -1 1 310.394 1.550 20 0 DDADMM O=C([O-])CNC(=O)CCN(Cc1ccco1)Cc1cccs1 ZINC000739807680 600286554 /nfs/dbraw/zinc/28/65/54/600286554.db2.gz UTRYSDUGVXWLSW-UHFFFAOYSA-N -1 1 322.386 1.934 20 0 DDADMM C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCC3(C[C@H]3C(=O)[O-])CC2)C1 ZINC000405501692 600306954 /nfs/dbraw/zinc/30/69/54/600306954.db2.gz SXTGOZLTUKAMAF-MCIONIFRSA-N -1 1 308.422 1.678 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)NCCSCC(=O)[O-] ZINC000389481123 600330506 /nfs/dbraw/zinc/33/05/06/600330506.db2.gz VIFACZGWZUWHBB-RYUDHWBXSA-N -1 1 315.439 1.072 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000736210648 600334554 /nfs/dbraw/zinc/33/45/54/600334554.db2.gz YSBOFZXJEBJLIF-ZYHUDNBSSA-N -1 1 310.781 1.719 20 0 DDADMM CC1CCN(C(=O)CN(CCC(=O)[O-])C[C@@H]2CCCO2)CC1 ZINC000736790278 600510966 /nfs/dbraw/zinc/51/09/66/600510966.db2.gz DBJGJSBUXZRGPR-AWEZNQCLSA-N -1 1 312.410 1.201 20 0 DDADMM C[C@H](c1ccc(C(=O)[O-])o1)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000736717676 600626666 /nfs/dbraw/zinc/62/66/66/600626666.db2.gz PKWMDGLUEKWXIC-AGIUHOORSA-N -1 1 308.378 1.570 20 0 DDADMM C[C@H]1C[C@@H](N(C)S(=O)(=O)c2ccc(C(=O)[O-])o2)CCN1C ZINC000828167351 600760090 /nfs/dbraw/zinc/76/00/90/600760090.db2.gz FSDSEQHUBAESAH-UWVGGRQHSA-N -1 1 316.379 1.081 20 0 DDADMM O=C([O-])CCN(Cc1cccc(F)c1F)C(=O)c1cnc[nH]1 ZINC000833234522 600793592 /nfs/dbraw/zinc/79/35/92/600793592.db2.gz XXNCLGJSZPNCFQ-UHFFFAOYSA-N -1 1 309.272 1.805 20 0 DDADMM CCC[C@@](C)(NCc1csc(CS(C)(=O)=O)n1)C(=O)[O-] ZINC000829201861 600831539 /nfs/dbraw/zinc/83/15/39/600831539.db2.gz GNXDGURTEUNKPI-GFCCVEGCSA-N -1 1 320.436 1.421 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCC(c3ccccc3)=N2)C1 ZINC000738537521 600844006 /nfs/dbraw/zinc/84/40/06/600844006.db2.gz GMGUWIRDFWZPFU-AWEZNQCLSA-N -1 1 315.373 1.420 20 0 DDADMM Cc1nsc(N2CCCN(Cc3occc3C(=O)[O-])CC2)n1 ZINC000833024087 600848410 /nfs/dbraw/zinc/84/84/10/600848410.db2.gz QVSFURYLYNKKRG-UHFFFAOYSA-N -1 1 322.390 1.850 20 0 DDADMM Cc1nnc(SCC(=O)N2[C@H](C(=O)[O-])C[C@@H]3CCCC[C@@H]32)[nH]1 ZINC000832990469 600896472 /nfs/dbraw/zinc/89/64/72/600896472.db2.gz CBYHVXRDGHBWJM-DCAQKATOSA-N -1 1 324.406 1.450 20 0 DDADMM Cc1n[nH]c(SCC(=O)N2[C@H](C(=O)[O-])C[C@@H]3CCCC[C@@H]32)n1 ZINC000832990469 600896474 /nfs/dbraw/zinc/89/64/74/600896474.db2.gz CBYHVXRDGHBWJM-DCAQKATOSA-N -1 1 324.406 1.450 20 0 DDADMM Cc1nnc(SCCC(=O)NC2(C(=O)[O-])CCCCC2)[nH]1 ZINC000821225245 600903777 /nfs/dbraw/zinc/90/37/77/600903777.db2.gz YZKNDVQRROFTJB-UHFFFAOYSA-N -1 1 312.395 1.499 20 0 DDADMM COc1ccc(NS(=O)(=O)c2ccc(CC(=O)[O-])cc2)nc1 ZINC000832419690 600985823 /nfs/dbraw/zinc/98/58/23/600985823.db2.gz YXHVFGZSZNHXTH-UHFFFAOYSA-N -1 1 322.342 1.518 20 0 DDADMM C[C@@H](NC(=O)CN[C@](C)(C(=O)[O-])C1CC1)c1ccc(F)cc1 ZINC000827637746 601028991 /nfs/dbraw/zinc/02/89/91/601028991.db2.gz WXLYHIKSTQKIDB-HWPZZCPQSA-N -1 1 308.353 1.846 20 0 DDADMM CC(C)CN(CCC(=O)[O-])CC(=O)Nc1nc(C2CC2)no1 ZINC000826876144 601054897 /nfs/dbraw/zinc/05/48/97/601054897.db2.gz SSFYEDWMFIOPIJ-UHFFFAOYSA-N -1 1 310.354 1.318 20 0 DDADMM C[C@@H](CN(Cc1ccccc1)CN1CCOC1=S)C(=O)[O-] ZINC000736585100 601089886 /nfs/dbraw/zinc/08/98/86/601089886.db2.gz SYKSQTXCAKVVNN-LBPRGKRZSA-N -1 1 308.403 1.784 20 0 DDADMM CCN(C(C)=O)c1nc(CN[C@](C)(C(=O)[O-])C2CC2)cs1 ZINC000829602676 601174582 /nfs/dbraw/zinc/17/45/82/601174582.db2.gz VHEQBUQUVKYQLE-AWEZNQCLSA-N -1 1 311.407 1.859 20 0 DDADMM Cc1nnc(SCC(=O)NC2(CC(=O)[O-])CCCCC2)[nH]1 ZINC000832990531 601187422 /nfs/dbraw/zinc/18/74/22/601187422.db2.gz DVJQROGHTREAKD-UHFFFAOYSA-N -1 1 312.395 1.499 20 0 DDADMM Cc1n[nH]c(SCC(=O)NC2(CC(=O)[O-])CCCCC2)n1 ZINC000832990531 601187425 /nfs/dbraw/zinc/18/74/25/601187425.db2.gz DVJQROGHTREAKD-UHFFFAOYSA-N -1 1 312.395 1.499 20 0 DDADMM CN(CCNS(=O)(=O)c1ccc(/C=C/C(=O)[O-])cc1)C1CC1 ZINC000315617039 601226724 /nfs/dbraw/zinc/22/67/24/601226724.db2.gz SIVBZHWVIHTZKR-RUDMXATFSA-N -1 1 324.402 1.157 20 0 DDADMM CC[C@@H](CN1CCCC1)NS(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000828879405 601259085 /nfs/dbraw/zinc/25/90/85/601259085.db2.gz LRPQDMPDOJDFOC-JTQLQIEISA-N -1 1 316.379 1.131 20 0 DDADMM COCCN1CCC[C@@H](Nc2nc(Cl)c(C(=O)[O-])s2)C1 ZINC000399660895 601444510 /nfs/dbraw/zinc/44/45/10/601444510.db2.gz PKRZNDYCZBLMJV-MRVPVSSYSA-N -1 1 319.814 1.439 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(N2CCOCC2)cc1C(=O)[O-])N(C)C ZINC000832803808 601448932 /nfs/dbraw/zinc/44/89/32/601448932.db2.gz ZQBXFUXTSUJNFZ-NSHDSACASA-N -1 1 321.377 1.110 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(Cl)cn1)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828425371 601507798 /nfs/dbraw/zinc/50/77/98/601507798.db2.gz ZKZQUIWQPLGRIE-YWVKMMECSA-N -1 1 311.769 1.715 20 0 DDADMM C[C@H]1CN(CN2C(=O)CC3(CCCCC3)C2=O)C[C@@H]1C(=O)[O-] ZINC000828452251 601815088 /nfs/dbraw/zinc/81/50/88/601815088.db2.gz ZFWVEFNWWAHMGM-RYUDHWBXSA-N -1 1 308.378 1.306 20 0 DDADMM C[C@@H]1CN(CN2C(=O)CN(c3ccccc3)C2=O)C[C@H]1C(=O)[O-] ZINC000828451094 601815413 /nfs/dbraw/zinc/81/54/13/601815413.db2.gz SHIBAOVSWUSGSH-DGCLKSJQSA-N -1 1 317.345 1.065 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000739326087 601892202 /nfs/dbraw/zinc/89/22/02/601892202.db2.gz MCNFHRWLDUDEPS-SECBINFHSA-N -1 1 304.310 1.242 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN([C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000828020299 601911806 /nfs/dbraw/zinc/91/18/06/601911806.db2.gz NAMOCVHEBHDMFZ-CZUORRHYSA-N -1 1 306.337 1.728 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000739012536 602018043 /nfs/dbraw/zinc/01/80/43/602018043.db2.gz UZZSBLMQKPJURX-RNCFNFMXSA-N -1 1 317.349 1.609 20 0 DDADMM CCCc1nnc(SCc2ccnc(-c3nnn[n-]3)c2)o1 ZINC000825353602 607551523 /nfs/dbraw/zinc/55/15/23/607551523.db2.gz ZBTCVGXRRKZYAG-UHFFFAOYSA-N -1 1 303.351 1.890 20 0 DDADMM CCCc1nnc(SCc2ccnc(-c3nn[n-]n3)c2)o1 ZINC000825353602 607551525 /nfs/dbraw/zinc/55/15/25/607551525.db2.gz ZBTCVGXRRKZYAG-UHFFFAOYSA-N -1 1 303.351 1.890 20 0 DDADMM O=C([O-])CCCS(=O)(=O)N1CCN(C2CCCCC2)CC1 ZINC000833228112 602223901 /nfs/dbraw/zinc/22/39/01/602223901.db2.gz GONABMZNYYXIAT-UHFFFAOYSA-N -1 1 318.439 1.131 20 0 DDADMM O=C([O-])CNC(=O)CN1CCCCC[C@@H]1C[C@@H](O)c1ccco1 ZINC000821530526 602349461 /nfs/dbraw/zinc/34/94/61/602349461.db2.gz HVWZEESLIODOEO-CHWSQXEVSA-N -1 1 324.377 1.149 20 0 DDADMM CC1CCC(NC(=O)CN2CC[C@H](CN(C)C(=O)[O-])C2)CC1 ZINC000739089088 602538050 /nfs/dbraw/zinc/53/80/50/602538050.db2.gz XYIVSSSNMCKSPT-ROKHWSDSSA-N -1 1 311.426 1.613 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000739532422 602666646 /nfs/dbraw/zinc/66/66/46/602666646.db2.gz KJQUGSGLSHIWNP-JTQLQIEISA-N -1 1 321.312 1.273 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000739532422 602666648 /nfs/dbraw/zinc/66/66/48/602666648.db2.gz KJQUGSGLSHIWNP-JTQLQIEISA-N -1 1 321.312 1.273 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)NC1CCN(C(=O)[O-])CC1 ZINC000737358448 602684620 /nfs/dbraw/zinc/68/46/20/602684620.db2.gz MNKOKPHYJWTXHB-HNNXBMFYSA-N -1 1 319.405 1.418 20 0 DDADMM O=C([O-])N1CC[C@H](N[C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000740505217 602719708 /nfs/dbraw/zinc/71/97/08/602719708.db2.gz LEVQNPAEJOQUKV-JQWIXIFHSA-N -1 1 307.325 1.273 20 0 DDADMM C[C@@H]1CC(=O)N(CN2CCC[C@H]([C@H]3CCCN3C(=O)[O-])C2)C1 ZINC000739076182 602859888 /nfs/dbraw/zinc/85/98/88/602859888.db2.gz OBKIWKZVPYUGEF-HZSPNIEDSA-N -1 1 309.410 1.667 20 0 DDADMM CCc1cc(CNC(=O)N[C@@H](C)[C@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000739537717 602911358 /nfs/dbraw/zinc/91/13/58/602911358.db2.gz GXEQHCLEFWOZAT-QWRGUYRKSA-N -1 1 323.397 1.550 20 0 DDADMM O=C([O-])NC[C@@H]1CCCC[C@@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC000740635441 602992330 /nfs/dbraw/zinc/99/23/30/602992330.db2.gz VBWWQQXGIJUNRS-ONGXEEELSA-N -1 1 306.366 1.455 20 0 DDADMM C[C@H](CCNC(=O)N1C[C@H]2CCCCN2C[C@@H]1C)NC(=O)[O-] ZINC000738901327 602994321 /nfs/dbraw/zinc/99/43/21/602994321.db2.gz VFIAOVFMMMTJPW-FRRDWIJNSA-N -1 1 312.414 1.301 20 0 DDADMM CC(C)N1CCN(C(=O)[C@@H]2[C@@H](C)OC(C)(C)N2C(=O)[O-])CC1 ZINC000739196752 603113290 /nfs/dbraw/zinc/11/32/90/603113290.db2.gz HIIJACRUPYLTPA-NEPJUHHUSA-N -1 1 313.398 1.042 20 0 DDADMM CC(C)N1CCN(C(=O)[C@H]2[C@@H](C)OC(C)(C)N2C(=O)[O-])CC1 ZINC000739196757 603113411 /nfs/dbraw/zinc/11/34/11/603113411.db2.gz HIIJACRUPYLTPA-VXGBXAGGSA-N -1 1 313.398 1.042 20 0 DDADMM C[C@@H](C(=O)N1CCN(C(=O)[O-])C[C@@H]1C)N(C)Cc1ccccc1 ZINC000738620357 603178310 /nfs/dbraw/zinc/17/83/10/603178310.db2.gz SHFONQACEHFVBI-KBPBESRZSA-N -1 1 319.405 1.718 20 0 DDADMM O=C([O-])N1CC[C@H](C(=O)NCCN2CCc3ccccc3C2)C1 ZINC000740441581 603214824 /nfs/dbraw/zinc/21/48/24/603214824.db2.gz QCDCKRYMVJRYPS-HNNXBMFYSA-N -1 1 317.389 1.161 20 0 DDADMM C[C@@H](C(=O)N1c2ccccc2C[C@H]1C)N(C)CCCNC(=O)[O-] ZINC000738618057 603249969 /nfs/dbraw/zinc/24/99/69/603249969.db2.gz IFECRHPJHFYRAP-OLZOCXBDSA-N -1 1 319.405 1.942 20 0 DDADMM CN(CCCNC(=O)[O-])[C@@H]1CCCN(c2cccc(F)c2)C1=O ZINC000739677518 603254170 /nfs/dbraw/zinc/25/41/70/603254170.db2.gz ZNGVWNONXAGQBN-CQSZACIVSA-N -1 1 323.368 1.911 20 0 DDADMM CC(C)(C)OC(=O)N1CC(NCc2ccc(NC(=O)[O-])nc2)C1 ZINC000823791976 603561941 /nfs/dbraw/zinc/56/19/41/603561941.db2.gz RFMLIIFWRJMLNV-UHFFFAOYSA-N -1 1 322.365 1.880 20 0 DDADMM C[C@H](N(C)CN1C[C@H]2CN(C(=O)[O-])CCN2C1=O)C(C)(C)C ZINC000825054033 603596911 /nfs/dbraw/zinc/59/69/11/603596911.db2.gz ADEPJFJROQRNFA-NWDGAFQWSA-N -1 1 312.414 1.410 20 0 DDADMM CN(C(=O)Cc1n[nH]c2ccccc21)[C@H]1CCN(C(=O)[O-])C1 ZINC000827782693 603690753 /nfs/dbraw/zinc/69/07/53/603690753.db2.gz ZKVBJHPTTVRQHZ-JTQLQIEISA-N -1 1 302.334 1.316 20 0 DDADMM CN(C(=O)c1c[nH]c2ccccc2c1=O)[C@@H]1CCN(C(=O)[O-])C1 ZINC000827928224 603697044 /nfs/dbraw/zinc/69/70/44/603697044.db2.gz YMSDMRRPMWEJKO-SNVBAGLBSA-N -1 1 315.329 1.352 20 0 DDADMM CCC(CC)(CNC(=O)c1[nH]nc2c1CCCC2)NC(=O)[O-] ZINC000826547325 603730429 /nfs/dbraw/zinc/73/04/29/603730429.db2.gz DTWVFZXGCVTXKH-UHFFFAOYSA-N -1 1 308.382 1.845 20 0 DDADMM C[C@H](C(=O)N1[C@H](C)CCC[C@@H]1C)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823654539 603814050 /nfs/dbraw/zinc/81/40/50/603814050.db2.gz KIDVNNXILSXZCI-XJFOESAGSA-N -1 1 311.426 1.849 20 0 DDADMM CO[C@@H](C)C(=O)Nc1ccc(-c2nc(CNC(=O)[O-])n[nH]2)cc1 ZINC000828824019 603911772 /nfs/dbraw/zinc/91/17/72/603911772.db2.gz MXEOKRLMXXJZNU-QMMMGPOBSA-N -1 1 319.321 1.213 20 0 DDADMM CO[C@@H](C)C(=O)Nc1ccc(-c2n[nH]c(CNC(=O)[O-])n2)cc1 ZINC000828824019 603911775 /nfs/dbraw/zinc/91/17/75/603911775.db2.gz MXEOKRLMXXJZNU-QMMMGPOBSA-N -1 1 319.321 1.213 20 0 DDADMM C[C@@H](C(=O)NCCc1nc[nH]n1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823703010 603925065 /nfs/dbraw/zinc/92/50/65/603925065.db2.gz NCWLTKXSIXCUSN-ZWNOBZJWSA-N -1 1 317.349 1.108 20 0 DDADMM C[C@H](C(=O)N1CCC(C)CC1)N1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000825936885 603983210 /nfs/dbraw/zinc/98/32/10/603983210.db2.gz DKMMBIYPFNQJIP-KFWWJZLASA-N -1 1 323.437 1.850 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1C[C@@H](O)c1ccc(F)c(F)c1 ZINC000832572843 604085980 /nfs/dbraw/zinc/08/59/80/604085980.db2.gz IKDSIOYIORKOFV-GXFFZTMASA-N -1 1 300.305 1.730 20 0 DDADMM CN(C[C@@H]1CCCN1CC[S@](=O)c1ccccc1)C(=O)[O-] ZINC000828223058 604105204 /nfs/dbraw/zinc/10/52/04/604105204.db2.gz HAJHGCULPVYQJV-ZSEKCTLFSA-N -1 1 310.419 1.868 20 0 DDADMM CN(C)Cc1ccc(NC(=O)COCCNC(=O)[O-])cc1F ZINC000828045919 604132827 /nfs/dbraw/zinc/13/28/27/604132827.db2.gz BGTKDAYQGGYXPM-UHFFFAOYSA-N -1 1 313.329 1.110 20 0 DDADMM O=C([O-])N1CCC[C@H](CN[C@@H]2CS(=O)(=O)c3ccccc32)C1 ZINC000831980821 604136280 /nfs/dbraw/zinc/13/62/80/604136280.db2.gz PAXIEIQHSFFNGH-DGCLKSJQSA-N -1 1 324.402 1.495 20 0 DDADMM CCCOC(=O)CCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000825338508 608181754 /nfs/dbraw/zinc/18/17/54/608181754.db2.gz ASUQQKHUCSPOSE-UHFFFAOYSA-N -1 1 316.774 1.732 20 0 DDADMM CCCOC(=O)CCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000825338508 608181757 /nfs/dbraw/zinc/18/17/57/608181757.db2.gz ASUQQKHUCSPOSE-UHFFFAOYSA-N -1 1 316.774 1.732 20 0 DDADMM COC[C@H]1CCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000826153395 608188732 /nfs/dbraw/zinc/18/87/32/608188732.db2.gz MBYVCAHBGAJDKX-ZCFIWIBFSA-N -1 1 300.775 1.592 20 0 DDADMM COC[C@H]1CCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000826153395 608188733 /nfs/dbraw/zinc/18/87/33/608188733.db2.gz MBYVCAHBGAJDKX-ZCFIWIBFSA-N -1 1 300.775 1.592 20 0 DDADMM O=C([O-])C1(NCc2ccc(F)cc2F)CCS(=O)(=O)CC1 ZINC000051018127 604438016 /nfs/dbraw/zinc/43/80/16/604438016.db2.gz OAAIIQCJKCXEKR-UHFFFAOYSA-N -1 1 319.329 1.086 20 0 DDADMM CCN(CC)[C@H](C(=O)N1CC[C@@H](NC(=O)[O-])C1)c1ccccc1 ZINC000739406680 604468370 /nfs/dbraw/zinc/46/83/70/604468370.db2.gz LDTLJPBJHCPZBT-CABCVRRESA-N -1 1 319.405 1.938 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2cccc(C(=O)[O-])c2)[C@H](C)CN1C ZINC000833627827 604574222 /nfs/dbraw/zinc/57/42/22/604574222.db2.gz VKXUPZGXYREDIZ-VXGBXAGGSA-N -1 1 305.378 1.348 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCN(Cc2c[nH]c(C(=O)[O-])c2)C1 ZINC000833438342 604619633 /nfs/dbraw/zinc/61/96/33/604619633.db2.gz HWMMVJFFQQAVNH-LLVKDONJSA-N -1 1 309.366 1.812 20 0 DDADMM O=C([O-])N1CCC(C(=O)Nc2ncc(C(F)(F)F)[nH]2)CC1 ZINC000831810710 604630770 /nfs/dbraw/zinc/63/07/70/604630770.db2.gz QWWLJXVNMMMCSJ-UHFFFAOYSA-N -1 1 306.244 1.757 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)N1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000826742635 604645661 /nfs/dbraw/zinc/64/56/61/604645661.db2.gz DCKCJNWEYQBJNE-WDEREUQCSA-N -1 1 309.370 1.255 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCN(Cc3ccccc3)CC2)O1 ZINC000833577662 604822997 /nfs/dbraw/zinc/82/29/97/604822997.db2.gz FKSFTMGOSIDNOZ-MRXNPFEDSA-N -1 1 316.401 1.952 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN[C@@](C)(C(N)=O)c2ccccc2)O1 ZINC000833580913 604833552 /nfs/dbraw/zinc/83/35/52/604833552.db2.gz SRYRUKPKJZEDFW-BLLLJJGKSA-N -1 1 304.346 1.124 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)c2cccc(OCC(=O)[O-])c2)CCN1C ZINC000833588791 604838697 /nfs/dbraw/zinc/83/86/97/604838697.db2.gz RTLZFZONJGQHJV-VXGBXAGGSA-N -1 1 306.362 1.315 20 0 DDADMM CC1CCN(CC(=O)N[C@@H]2CCCC[C@H]2CNC(=O)[O-])CC1 ZINC000825945776 604863037 /nfs/dbraw/zinc/86/30/37/604863037.db2.gz ZQRAAZNBEAJGBU-UONOGXRCSA-N -1 1 311.426 1.661 20 0 DDADMM CCc1ccc(CCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000319865121 604898723 /nfs/dbraw/zinc/89/87/23/604898723.db2.gz SEMCOZAXEIQADO-HNNXBMFYSA-N -1 1 315.373 1.717 20 0 DDADMM C[C@@H](O)[C@H]1CCCN(CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC000833529995 604922221 /nfs/dbraw/zinc/92/22/21/604922221.db2.gz UKPUNIVCXXDXKM-YPMHNXCESA-N -1 1 306.362 1.416 20 0 DDADMM CC(C)C[C@H]1CCC(=O)N(CN2CCC[C@H]2CC(=O)[O-])C1=O ZINC000826831796 604981236 /nfs/dbraw/zinc/98/12/36/604981236.db2.gz HEKBOMZKRFTPMZ-OLZOCXBDSA-N -1 1 310.394 1.694 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)c2ccnc(C(=O)[O-])c2)n[nH]1 ZINC000833512720 605023482 /nfs/dbraw/zinc/02/34/82/605023482.db2.gz SAMJRSKSACNYFM-MRVPVSSYSA-N -1 1 303.322 1.512 20 0 DDADMM COCc1ccc(S(=O)(=O)Nc2cnccc2C(=O)[O-])cc1 ZINC000833726026 605050083 /nfs/dbraw/zinc/05/00/83/605050083.db2.gz NUCYKACYPIFYMO-UHFFFAOYSA-N -1 1 322.342 1.727 20 0 DDADMM C[C@H](NCC(C)(C)NC(=O)[O-])c1cn(-c2ccccc2)nn1 ZINC000825484574 605104922 /nfs/dbraw/zinc/10/49/22/605104922.db2.gz JFPRTDSDHBCLOU-NSHDSACASA-N -1 1 303.366 1.964 20 0 DDADMM C[C@@H](Oc1ccc(CNC[C@@H](C)S(C)(=O)=O)cc1)C(=O)[O-] ZINC000833540977 605120285 /nfs/dbraw/zinc/12/02/85/605120285.db2.gz OZMIKKXSKTURMM-GHMZBOCLSA-N -1 1 315.391 1.061 20 0 DDADMM CC(C)(NCC(=O)NCCC(=O)[O-])c1ccc(F)c(F)c1 ZINC000833460426 605170357 /nfs/dbraw/zinc/17/03/57/605170357.db2.gz CHDZAWJVNIRLAQ-UHFFFAOYSA-N -1 1 300.305 1.380 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCC(CCCO)CC1)C(=O)[O-] ZINC000833639899 605226334 /nfs/dbraw/zinc/22/63/34/605226334.db2.gz RQMATSAFQMLOAL-WFASDCNBSA-N -1 1 314.426 1.086 20 0 DDADMM Cc1nc(COC(=O)CC[C@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830761237 605263059 /nfs/dbraw/zinc/26/30/59/605263059.db2.gz PIMCEMPWCMRFKV-LBPRGKRZSA-N -1 1 318.333 1.945 20 0 DDADMM Cc1nc(COC(=O)[C@H](Cc2ccccc2)NC(=O)[O-])n[nH]1 ZINC000830758200 605263174 /nfs/dbraw/zinc/26/31/74/605263174.db2.gz YCJIUMFTWIBTJQ-NSHDSACASA-N -1 1 304.306 1.035 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)Cc2ccccc2C(=O)[O-])C[C@H]1C ZINC000833658571 605267111 /nfs/dbraw/zinc/26/71/11/605267111.db2.gz TXHWNAXFGWIWCT-CHWSQXEVSA-N -1 1 304.390 1.868 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)Cc2ccccc2C(=O)[O-])C[C@@H]1C ZINC000833658573 605267299 /nfs/dbraw/zinc/26/72/99/605267299.db2.gz TXHWNAXFGWIWCT-QWHCGFSZSA-N -1 1 304.390 1.868 20 0 DDADMM Cc1cc(C(=O)NCCN2CCN(C)CC2)ccc1NC(=O)[O-] ZINC000829949988 605417041 /nfs/dbraw/zinc/41/70/41/605417041.db2.gz FXSOZTSWYDMPGC-UHFFFAOYSA-N -1 1 320.393 1.062 20 0 DDADMM CCN(CCC1CCN(C(=O)[O-])CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000833856882 605460785 /nfs/dbraw/zinc/46/07/85/605460785.db2.gz BSNPIGFTHSHVSJ-CYBMUJFWSA-N -1 1 318.439 1.276 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@@H]1NCc1nnnn1-c1ccccc1 ZINC000834217374 605597165 /nfs/dbraw/zinc/59/71/65/605597165.db2.gz UUWGBURGYIHGMM-YPMHNXCESA-N -1 1 316.365 1.188 20 0 DDADMM Cn1c(CN[C@@H](CNC(=O)[O-])C2CCCCC2)nnc1C1CC1 ZINC000833941894 605665159 /nfs/dbraw/zinc/66/51/59/605665159.db2.gz SQKQTZCIASRICP-ZDUSSCGKSA-N -1 1 321.425 1.999 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000833452763 605702039 /nfs/dbraw/zinc/70/20/39/605702039.db2.gz SHOUKYLXFFSJMT-CYBMUJFWSA-N -1 1 317.349 1.296 20 0 DDADMM O=C([O-])N1CC[C@@H](CN[C@@H](CO)c2c(F)cccc2F)C1 ZINC000834077244 605933358 /nfs/dbraw/zinc/93/33/58/605933358.db2.gz DDWPEIHSCYBHAK-CABZTGNLSA-N -1 1 300.305 1.588 20 0 DDADMM O=C([O-])N[C@H](CNC(=O)N1CCC(c2cnc[nH]2)CC1)C1CC1 ZINC000834140150 605948606 /nfs/dbraw/zinc/94/86/06/605948606.db2.gz OKNOMAFVQLDXRD-CYBMUJFWSA-N -1 1 321.381 1.345 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1CN1C(=O)C[C@H]1c1ccccc1 ZINC000833835277 605957390 /nfs/dbraw/zinc/95/73/90/605957390.db2.gz WYFIQCCTOUTPPC-IPYPFGDCSA-N -1 1 317.389 1.896 20 0 DDADMM O=C([O-])N[C@@H](CN[C@H]1CCCS(=O)(=O)C1)c1ccccc1 ZINC000834150458 605964172 /nfs/dbraw/zinc/96/41/72/605964172.db2.gz NADIJQCHMNOLMH-STQMWFEESA-N -1 1 312.391 1.162 20 0 DDADMM CC(C)N1CC[C@H](OC(=O)c2sccc2-c2nn[n-]n2)C1=O ZINC000820131358 606129792 /nfs/dbraw/zinc/12/97/92/606129792.db2.gz BTUPNXQRHLUAHR-VIFPVBQESA-N -1 1 321.362 1.094 20 0 DDADMM O=C(OCc1nc(C2CC2)no1)c1ccc(-c2nnn[n-]2)s1 ZINC000823440408 606134755 /nfs/dbraw/zinc/13/47/55/606134755.db2.gz RYTACRPLJUWKGJ-UHFFFAOYSA-N -1 1 318.318 1.546 20 0 DDADMM O=C(OCc1nc(C2CC2)no1)c1ccc(-c2nn[n-]n2)s1 ZINC000823440408 606134756 /nfs/dbraw/zinc/13/47/56/606134756.db2.gz RYTACRPLJUWKGJ-UHFFFAOYSA-N -1 1 318.318 1.546 20 0 DDADMM COC[C@@H](Cc1ccccc1)Nc1cccc(-c2nnn[n-]2)n1 ZINC000821683145 606141016 /nfs/dbraw/zinc/14/10/16/606141016.db2.gz WQJCPIXPQYQQJU-CYBMUJFWSA-N -1 1 310.361 1.931 20 0 DDADMM COC[C@@H](Cc1ccccc1)Nc1cccc(-c2nn[n-]n2)n1 ZINC000821683145 606141017 /nfs/dbraw/zinc/14/10/17/606141017.db2.gz WQJCPIXPQYQQJU-CYBMUJFWSA-N -1 1 310.361 1.931 20 0 DDADMM C[C@@H]1CCC[C@H](C)N(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820697096 606160796 /nfs/dbraw/zinc/16/07/96/606160796.db2.gz DUZDQBDIMRFVGK-ZJUUUORDSA-N -1 1 318.385 1.643 20 0 DDADMM C[C@@H]1CCC[C@H](C)N(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820697096 606160797 /nfs/dbraw/zinc/16/07/97/606160797.db2.gz DUZDQBDIMRFVGK-ZJUUUORDSA-N -1 1 318.385 1.643 20 0 DDADMM COc1cccc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c1 ZINC000821857956 606194928 /nfs/dbraw/zinc/19/49/28/606194928.db2.gz BXJBERXKWKQPHP-UHFFFAOYSA-N -1 1 311.301 1.833 20 0 DDADMM COCC[C@](C)(O)CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821685447 606467189 /nfs/dbraw/zinc/46/71/89/606467189.db2.gz CZXQXOMEHIMONT-LBPRGKRZSA-N -1 1 312.761 1.115 20 0 DDADMM COCC[C@](C)(O)CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821685447 606467190 /nfs/dbraw/zinc/46/71/90/606467190.db2.gz CZXQXOMEHIMONT-LBPRGKRZSA-N -1 1 312.761 1.115 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccncc1 ZINC000820268384 606587779 /nfs/dbraw/zinc/58/77/79/606587779.db2.gz AWPSAGOKUPURNH-JTQLQIEISA-N -1 1 309.333 1.190 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccncc1 ZINC000820268384 606587780 /nfs/dbraw/zinc/58/77/80/606587780.db2.gz AWPSAGOKUPURNH-JTQLQIEISA-N -1 1 309.333 1.190 20 0 DDADMM CC1CC(C(=O)Nc2nn(C(C)(C)C)cc2-c2nnn[n-]2)C1 ZINC000820649942 606621711 /nfs/dbraw/zinc/62/17/11/606621711.db2.gz PIDWNGMKXFIDJE-UHFFFAOYSA-N -1 1 303.370 1.803 20 0 DDADMM CC1CC(C(=O)Nc2nn(C(C)(C)C)cc2-c2nn[n-]n2)C1 ZINC000820649942 606621713 /nfs/dbraw/zinc/62/17/13/606621713.db2.gz PIDWNGMKXFIDJE-UHFFFAOYSA-N -1 1 303.370 1.803 20 0 DDADMM Clc1ccc(N2CC[C@@]3(CCOC3)C2)nc1-c1nnn[n-]1 ZINC000822574552 606801950 /nfs/dbraw/zinc/80/19/50/606801950.db2.gz WDCXJRZZRYBLCI-CYBMUJFWSA-N -1 1 306.757 1.532 20 0 DDADMM Clc1ccc(N2CC[C@@]3(CCOC3)C2)nc1-c1nn[n-]n1 ZINC000822574552 606801952 /nfs/dbraw/zinc/80/19/52/606801952.db2.gz WDCXJRZZRYBLCI-CYBMUJFWSA-N -1 1 306.757 1.532 20 0 DDADMM CCC[C@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000821040983 606872747 /nfs/dbraw/zinc/87/27/47/606872747.db2.gz WLZGKUZJTUYLHI-NSHDSACASA-N -1 1 300.366 1.914 20 0 DDADMM CCC[C@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000821040983 606872749 /nfs/dbraw/zinc/87/27/49/606872749.db2.gz WLZGKUZJTUYLHI-NSHDSACASA-N -1 1 300.366 1.914 20 0 DDADMM O=C(OC[C@@H]1CC12CCOCC2)c1ccc(-c2nnn[n-]2)s1 ZINC000823396848 606900126 /nfs/dbraw/zinc/90/01/26/606900126.db2.gz OGPMSWDUDBJBNN-VIFPVBQESA-N -1 1 320.374 1.902 20 0 DDADMM O=C(OC[C@@H]1CC12CCOCC2)c1ccc(-c2nn[n-]n2)s1 ZINC000823396848 606900127 /nfs/dbraw/zinc/90/01/27/606900127.db2.gz OGPMSWDUDBJBNN-VIFPVBQESA-N -1 1 320.374 1.902 20 0 DDADMM Cc1ccc(Cl)c(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC000822239914 607224271 /nfs/dbraw/zinc/22/42/71/607224271.db2.gz KLDOTMZSDUKXDA-UHFFFAOYSA-N -1 1 318.728 1.059 20 0 DDADMM C[C@H]1COCCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000825006217 607822661 /nfs/dbraw/zinc/82/26/61/607822661.db2.gz GMYPOBFQPBLRMS-ZCFIWIBFSA-N -1 1 300.775 1.449 20 0 DDADMM C[C@H]1COCCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000825006217 607822662 /nfs/dbraw/zinc/82/26/62/607822662.db2.gz GMYPOBFQPBLRMS-ZCFIWIBFSA-N -1 1 300.775 1.449 20 0 DDADMM CCOc1ccccc1N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825654194 607862129 /nfs/dbraw/zinc/86/21/29/607862129.db2.gz RBPAKNIUVUDMSC-UHFFFAOYSA-N -1 1 324.344 1.937 20 0 DDADMM CCOc1ccccc1N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825654194 607862130 /nfs/dbraw/zinc/86/21/30/607862130.db2.gz RBPAKNIUVUDMSC-UHFFFAOYSA-N -1 1 324.344 1.937 20 0 DDADMM Cn1cnc2c1ncnc2Oc1cccc(F)c1-c1nnn[n-]1 ZINC000826364635 607867256 /nfs/dbraw/zinc/86/72/56/607867256.db2.gz DQJNIIJDOXOYOG-UHFFFAOYSA-N -1 1 312.268 1.475 20 0 DDADMM Cn1cnc2c1ncnc2Oc1cccc(F)c1-c1nn[n-]n1 ZINC000826364635 607867257 /nfs/dbraw/zinc/86/72/57/607867257.db2.gz DQJNIIJDOXOYOG-UHFFFAOYSA-N -1 1 312.268 1.475 20 0 DDADMM CS(=O)(=O)c1cccc(Oc2cccc(-c3nnn[n-]3)n2)c1 ZINC000826223805 607896338 /nfs/dbraw/zinc/89/63/38/607896338.db2.gz ASIAWMVITIQYQX-UHFFFAOYSA-N -1 1 317.330 1.458 20 0 DDADMM CS(=O)(=O)c1cccc(Oc2cccc(-c3nn[n-]n3)n2)c1 ZINC000826223805 607896339 /nfs/dbraw/zinc/89/63/39/607896339.db2.gz ASIAWMVITIQYQX-UHFFFAOYSA-N -1 1 317.330 1.458 20 0 DDADMM C[C@@H](C(=O)OCc1ccnc(-c2nnn[n-]2)c1)C1CCOCC1 ZINC000824051696 607918770 /nfs/dbraw/zinc/91/87/70/607918770.db2.gz CDANMXNHIBEYOY-SNVBAGLBSA-N -1 1 317.349 1.368 20 0 DDADMM C[C@@H](C(=O)OCc1ccnc(-c2nn[n-]n2)c1)C1CCOCC1 ZINC000824051696 607918771 /nfs/dbraw/zinc/91/87/71/607918771.db2.gz CDANMXNHIBEYOY-SNVBAGLBSA-N -1 1 317.349 1.368 20 0 DDADMM c1cc(N2CCCC2)ncc1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826517335 607988584 /nfs/dbraw/zinc/98/85/84/607988584.db2.gz YVWBZLAXJYYBNW-UHFFFAOYSA-N -1 1 309.337 1.396 20 0 DDADMM c1cc(N2CCCC2)ncc1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826517335 607988585 /nfs/dbraw/zinc/98/85/85/607988585.db2.gz YVWBZLAXJYYBNW-UHFFFAOYSA-N -1 1 309.337 1.396 20 0 DDADMM CC[C@H](Nc1cccc(-c2nnn[n-]2)n1)[C@H](O)C(F)(F)F ZINC000825147943 608232374 /nfs/dbraw/zinc/23/23/74/608232374.db2.gz MVTCQSPTQROLIH-RCOVLWMOSA-N -1 1 302.260 1.375 20 0 DDADMM CC[C@H](Nc1cccc(-c2nn[n-]n2)n1)[C@H](O)C(F)(F)F ZINC000825147943 608232375 /nfs/dbraw/zinc/23/23/75/608232375.db2.gz MVTCQSPTQROLIH-RCOVLWMOSA-N -1 1 302.260 1.375 20 0 DDADMM c1ccc2c(c1)OCCC[C@H]2Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826524223 608409174 /nfs/dbraw/zinc/40/91/74/608409174.db2.gz NBPUZXTZXXUBDL-LLVKDONJSA-N -1 1 309.333 1.983 20 0 DDADMM c1ccc2c(c1)OCCC[C@H]2Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826524223 608409175 /nfs/dbraw/zinc/40/91/75/608409175.db2.gz NBPUZXTZXXUBDL-LLVKDONJSA-N -1 1 309.333 1.983 20 0 DDADMM Cc1cccn2cc(CNc3ccc(-c4nnn[n-]4)nn3)nc12 ZINC000826308186 608410340 /nfs/dbraw/zinc/41/03/40/608410340.db2.gz NSVFLZZEYUETGP-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM Cc1cccn2cc(CNc3ccc(-c4nn[n-]n4)nn3)nc12 ZINC000826308186 608410341 /nfs/dbraw/zinc/41/03/41/608410341.db2.gz NSVFLZZEYUETGP-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM CCC1(CC)[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C[C@@H]1OC ZINC000825171754 608432582 /nfs/dbraw/zinc/43/25/82/608432582.db2.gz VLOIRLBGMQCMDT-QWRGUYRKSA-N -1 1 303.370 1.662 20 0 DDADMM CCC1(CC)[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C[C@@H]1OC ZINC000825171754 608432583 /nfs/dbraw/zinc/43/25/83/608432583.db2.gz VLOIRLBGMQCMDT-QWRGUYRKSA-N -1 1 303.370 1.662 20 0 DDADMM Oc1cccc(CN(CC2CC2)c2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826511446 608436197 /nfs/dbraw/zinc/43/61/97/608436197.db2.gz QRCHGPAULDYGAE-UHFFFAOYSA-N -1 1 323.360 1.779 20 0 DDADMM Oc1cccc(CN(CC2CC2)c2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826511446 608436199 /nfs/dbraw/zinc/43/61/99/608436199.db2.gz QRCHGPAULDYGAE-UHFFFAOYSA-N -1 1 323.360 1.779 20 0 DDADMM CCOC(=O)N1CCN(Cc2ccc(-c3nn[n-]n3)s2)CC1 ZINC000825524040 608495574 /nfs/dbraw/zinc/49/55/74/608495574.db2.gz ZGZOSJBYJWVVHR-UHFFFAOYSA-N -1 1 322.394 1.202 20 0 DDADMM COc1cccc(C2=CCN(c3ccc(-c4nnn[n-]4)nn3)C2)c1 ZINC000826215234 608585573 /nfs/dbraw/zinc/58/55/73/608585573.db2.gz JUNUCAIDGFBZPB-UHFFFAOYSA-N -1 1 321.344 1.569 20 0 DDADMM COc1cccc(C2=CCN(c3ccc(-c4nn[n-]n4)nn3)C2)c1 ZINC000826215234 608585574 /nfs/dbraw/zinc/58/55/74/608585574.db2.gz JUNUCAIDGFBZPB-UHFFFAOYSA-N -1 1 321.344 1.569 20 0 DDADMM CC(C)Oc1ccc(C(=O)Cn2ccnc2-c2nnn[n-]2)cc1 ZINC000824324339 608887214 /nfs/dbraw/zinc/88/72/14/608887214.db2.gz LLODSRMLCQTJEJ-UHFFFAOYSA-N -1 1 312.333 1.733 20 0 DDADMM CC(C)Oc1ccc(C(=O)Cn2ccnc2-c2nn[n-]n2)cc1 ZINC000824324339 608887215 /nfs/dbraw/zinc/88/72/15/608887215.db2.gz LLODSRMLCQTJEJ-UHFFFAOYSA-N -1 1 312.333 1.733 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973196524 695443749 /nfs/dbraw/zinc/44/37/49/695443749.db2.gz DWQYWHDZEYUQAD-FDYHWXHSSA-N -1 1 317.389 1.600 20 0 DDADMM C[C@@H](C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000973197023 695443765 /nfs/dbraw/zinc/44/37/65/695443765.db2.gz JZCWPYIXWLQJTL-RAIGVLPGSA-N -1 1 317.389 1.600 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975219540 695816247 /nfs/dbraw/zinc/81/62/47/695816247.db2.gz JNPAHOKTAIJACR-CYBMUJFWSA-N -1 1 317.389 1.722 20 0 DDADMM CCc1cc2c(COC(=O)CNC(C)=O)cc(=O)oc2cc1[O-] ZINC000798010165 700038876 /nfs/dbraw/zinc/03/88/76/700038876.db2.gz UJALTOATSKEQNM-UHFFFAOYSA-N -1 1 319.313 1.240 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2cc(C)no2)cc1 ZINC000017992389 696066709 /nfs/dbraw/zinc/06/67/09/696066709.db2.gz GCBOHZRJYMXFQG-UHFFFAOYSA-N -1 1 318.285 1.105 20 0 DDADMM CCOc1ccc(NC(=O)Cc2sc(N(C)C)nc2[O-])cc1 ZINC000028757190 696106589 /nfs/dbraw/zinc/10/65/89/696106589.db2.gz ZBBBLTGANKPOJT-LBPRGKRZSA-N -1 1 321.402 1.974 20 0 DDADMM CN(CC(=O)[N-]OCc1ccccc1)c1ncnc2[nH]cnc21 ZINC000056188996 696288332 /nfs/dbraw/zinc/28/83/32/696288332.db2.gz WLSQWMGEEMEWAO-UHFFFAOYSA-N -1 1 312.333 1.037 20 0 DDADMM CCCCO[C@@H](C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000065679852 696346654 /nfs/dbraw/zinc/34/66/54/696346654.db2.gz GSWKLQYUJXTBQK-LBPRGKRZSA-N -1 1 307.346 1.691 20 0 DDADMM O=C(CC[C@@H]1CCCO1)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067079764 696358830 /nfs/dbraw/zinc/35/88/30/696358830.db2.gz YZLSPHSFJIGBJG-NSHDSACASA-N -1 1 310.423 1.893 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H]2CCc3cc(Cl)ccc32)s1 ZINC000079726818 696463063 /nfs/dbraw/zinc/46/30/63/696463063.db2.gz MAHBRNXXQNANGC-GHMZBOCLSA-N -1 1 323.805 1.790 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H]2CCc3cc(Cl)ccc32)s1 ZINC000079726816 696463224 /nfs/dbraw/zinc/46/32/24/696463224.db2.gz MAHBRNXXQNANGC-WDEREUQCSA-N -1 1 323.805 1.790 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCCc3sccc3C2)s1 ZINC000080108662 696530782 /nfs/dbraw/zinc/53/07/82/696530782.db2.gz FGKNKISWEHWYHP-JTQLQIEISA-N -1 1 309.416 1.370 20 0 DDADMM Cc1c(CNC(=O)Cc2sc(N)nc2[O-])oc2ccccc12 ZINC000080305486 696532881 /nfs/dbraw/zinc/53/28/81/696532881.db2.gz SJAXFFHRHQEFKS-LBPRGKRZSA-N -1 1 317.370 1.704 20 0 DDADMM O=C([N-]S(=O)(=O)Cc1ccccc1Cl)[C@@H]1CC1[N+](=O)[O-] ZINC000083791609 696553864 /nfs/dbraw/zinc/55/38/64/696553864.db2.gz OMZDIYIGQJJZBN-PSASIEDQSA-N -1 1 318.738 1.792 20 0 DDADMM COc1ccccc1[S@@](=O)CCC[N-]C(=O)C(F)(F)F ZINC000091537828 696588856 /nfs/dbraw/zinc/58/88/56/696588856.db2.gz YMYLJSMYAJMHCG-HXUWFJFHSA-N -1 1 309.309 1.871 20 0 DDADMM COc1ccc([S@](=O)CCC[N-]C(=O)C(F)(F)F)cc1 ZINC000091537779 696588930 /nfs/dbraw/zinc/58/89/30/696588930.db2.gz KFRKWFYALPVEBY-FQEVSTJZSA-N -1 1 309.309 1.871 20 0 DDADMM Cc1ccc(C[C@H](C)NC(=O)Cc2sc(N)nc2[O-])c(C)c1 ZINC000095962115 696603461 /nfs/dbraw/zinc/60/34/61/696603461.db2.gz MYKYTGWMAAMUDB-AAEUAGOBSA-N -1 1 319.430 1.697 20 0 DDADMM Cc1nc(SCC(=O)NCc2ccccn2)[n-]c(=O)c1C ZINC000096641712 696604244 /nfs/dbraw/zinc/60/42/44/696604244.db2.gz AGHAMPLCTXUAEZ-UHFFFAOYSA-N -1 1 304.375 1.190 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccc(F)c(Cl)c2)s1 ZINC000120784322 696701200 /nfs/dbraw/zinc/70/12/00/696701200.db2.gz JMGDPHWYZVVOMF-QMMMGPOBSA-N -1 1 301.730 1.764 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N1CCCCC1 ZINC000126411988 696761894 /nfs/dbraw/zinc/76/18/94/696761894.db2.gz AJHUXVNUGCGULD-STQMWFEESA-N -1 1 304.456 1.741 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982628725 697161918 /nfs/dbraw/zinc/16/19/18/697161918.db2.gz ZPUJGEIKNBNIKC-LCKPPEIMSA-N -1 1 303.362 1.332 20 0 DDADMM O=C(Nc1c([O-])cccc1F)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000748849931 700138815 /nfs/dbraw/zinc/13/88/15/700138815.db2.gz DFQUGSCEFUFQAN-MRVPVSSYSA-N -1 1 304.281 1.246 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983340878 697243550 /nfs/dbraw/zinc/24/35/50/697243550.db2.gz DFQCECAJRFLMDL-QWHCGFSZSA-N -1 1 319.405 1.658 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000983915518 697315116 /nfs/dbraw/zinc/31/51/16/697315116.db2.gz PYNSZLFKRZSQDK-CHWSQXEVSA-N -1 1 319.405 1.896 20 0 DDADMM Cc1cscc1C(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000181999542 697468921 /nfs/dbraw/zinc/46/89/21/697468921.db2.gz UYOSDPUUXVRVFG-UHFFFAOYSA-N -1 1 318.358 1.495 20 0 DDADMM Cc1ccc(C(=O)OCCc2c(C)nc3[n-]cnn3c2=O)s1 ZINC000181999823 697469009 /nfs/dbraw/zinc/46/90/09/697469009.db2.gz YADRTBMLCGBCGL-UHFFFAOYSA-N -1 1 318.358 1.495 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)Cc1ccsc1 ZINC000184932495 697510518 /nfs/dbraw/zinc/51/05/18/697510518.db2.gz MLVKIOUSOAPHSZ-UHFFFAOYSA-N -1 1 318.358 1.116 20 0 DDADMM CCOc1cc(C(=O)NN=c2nccc[nH]2)cc(Cl)c1[O-] ZINC000186564505 697535267 /nfs/dbraw/zinc/53/52/67/697535267.db2.gz PMUKPAJIOHWPSR-UHFFFAOYSA-N -1 1 308.725 1.991 20 0 DDADMM Cc1noc(C)c1CN(C)C(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000186908543 697539129 /nfs/dbraw/zinc/53/91/29/697539129.db2.gz ZOPXCXNNDLUWKQ-UHFFFAOYSA-N -1 1 306.278 1.572 20 0 DDADMM O=S(=O)([N-]N=c1nccc[nH]1)C1=Cc2ccccc2OCC1 ZINC000186934772 697539632 /nfs/dbraw/zinc/53/96/32/697539632.db2.gz GWYZEAVHIWECGR-UHFFFAOYSA-N -1 1 318.358 1.547 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]C[C@@H]1CC[C@@H](O)C1 ZINC000188337728 697557262 /nfs/dbraw/zinc/55/72/62/697557262.db2.gz SCQCLHPXFVZOGG-RKDXNWHRSA-N -1 1 318.326 1.173 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1ccnc(-n2cncn2)c1 ZINC000188371461 697558081 /nfs/dbraw/zinc/55/80/81/697558081.db2.gz WEGQVLAYZDMWAI-UHFFFAOYSA-N -1 1 316.708 1.669 20 0 DDADMM COc1cccc([C@H](O)CNC(=O)c2ccc(C#N)c([O-])c2)c1 ZINC000188476884 697560248 /nfs/dbraw/zinc/56/02/48/697560248.db2.gz XSGOPAIFVNVOAL-MRXNPFEDSA-N -1 1 312.325 1.736 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985735383 697564967 /nfs/dbraw/zinc/56/49/67/697564967.db2.gz MPJAGTFJPXGISW-CHWSQXEVSA-N -1 1 321.425 1.225 20 0 DDADMM CCC(C)(CC)C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985794235 697574633 /nfs/dbraw/zinc/57/46/33/697574633.db2.gz ATFIGLQIQKEYAR-UHFFFAOYSA-N -1 1 323.441 1.759 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(F)cc1 ZINC000985878825 697593144 /nfs/dbraw/zinc/59/31/44/697593144.db2.gz SBGBMONWEGSCAN-SKDRFNHKSA-N -1 1 319.340 1.042 20 0 DDADMM Cc1cncc(/C=C/C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1 ZINC000772388856 697627363 /nfs/dbraw/zinc/62/73/63/697627363.db2.gz YYNHRNFMISSLGR-BQYQJAHWSA-N -1 1 324.336 1.903 20 0 DDADMM CCCCSCCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773148344 697719307 /nfs/dbraw/zinc/71/93/07/697719307.db2.gz DGEULELCLQNMGX-SNVBAGLBSA-N -1 1 315.468 1.740 20 0 DDADMM O=C(CCc1ccc(F)cc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773158423 697720618 /nfs/dbraw/zinc/72/06/18/697720618.db2.gz OIVDBDNOHYWJGU-LBPRGKRZSA-N -1 1 321.381 1.588 20 0 DDADMM Cc1ccc(O)c(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000774877330 697937393 /nfs/dbraw/zinc/93/73/93/697937393.db2.gz ZXXNTVFYRGTYJP-UHFFFAOYSA-N -1 1 305.252 1.926 20 0 DDADMM CO[C@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)c1ccccc1 ZINC000774924750 697942942 /nfs/dbraw/zinc/94/29/42/697942942.db2.gz VDDIIQYKAUGKGT-NSHDSACASA-N -1 1 319.279 1.986 20 0 DDADMM CCc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1CC ZINC000775204892 697971355 /nfs/dbraw/zinc/97/13/55/697971355.db2.gz DQAUHYCKBGISTG-UHFFFAOYSA-N -1 1 315.373 1.690 20 0 DDADMM CCCCC[C@@H](CC)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000776231135 698085802 /nfs/dbraw/zinc/08/58/02/698085802.db2.gz MTZJVQHYGXSIJZ-SECBINFHSA-N -1 1 302.400 1.753 20 0 DDADMM CCCCC[C@@H](CC)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000776231135 698085808 /nfs/dbraw/zinc/08/58/08/698085808.db2.gz MTZJVQHYGXSIJZ-SECBINFHSA-N -1 1 302.400 1.753 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)C(C)(C)F)C1)C(=O)c1ncccc1[O-] ZINC000987663755 698189276 /nfs/dbraw/zinc/18/92/76/698189276.db2.gz PNZXYLCYZFVQSC-NSHDSACASA-N -1 1 323.368 1.456 20 0 DDADMM CC(C)CC(=O)N1CC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987697908 698202505 /nfs/dbraw/zinc/20/25/05/698202505.db2.gz MXHBGGSFKDLZLK-CYBMUJFWSA-N -1 1 319.405 1.754 20 0 DDADMM O=S(=O)([N-]c1ccc2n[nH]nc2c1)c1cnn(CC2CC2)c1 ZINC000777636414 698210447 /nfs/dbraw/zinc/21/04/47/698210447.db2.gz RHQMLEFGEVRPMA-UHFFFAOYSA-N -1 1 318.362 1.365 20 0 DDADMM O=S(=O)([N-]c1ccc2nn[nH]c2c1)c1cnn(CC2CC2)c1 ZINC000777636414 698210449 /nfs/dbraw/zinc/21/04/49/698210449.db2.gz RHQMLEFGEVRPMA-UHFFFAOYSA-N -1 1 318.362 1.365 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)/C=C/c1ccc(C)cc1)C(=O)OC ZINC000778066898 698240249 /nfs/dbraw/zinc/24/02/49/698240249.db2.gz BCVDNGSBKCRKEV-MMQHEFTJSA-N -1 1 313.375 1.073 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)/C=C/c1ccc(C)cc1)C(=O)OC ZINC000778066899 698240255 /nfs/dbraw/zinc/24/02/55/698240255.db2.gz BCVDNGSBKCRKEV-XEHSLEBBSA-N -1 1 313.375 1.073 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)/C=C\c1ccc(C)cc1)C(=O)OC ZINC000778066900 698240411 /nfs/dbraw/zinc/24/04/11/698240411.db2.gz BCVDNGSBKCRKEV-YXVBKTCISA-N -1 1 313.375 1.073 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CCCC1 ZINC000987863320 698250427 /nfs/dbraw/zinc/25/04/27/698250427.db2.gz FZMYRCYWCRFFDR-CMPLNLGQSA-N -1 1 307.398 1.170 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C=C1CCCCC1 ZINC000988288845 698322920 /nfs/dbraw/zinc/32/29/20/698322920.db2.gz GPMLCFOCSDJMEQ-WCQYABFASA-N -1 1 319.409 1.480 20 0 DDADMM CN1CCc2cc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)ccc21 ZINC000779018278 698390922 /nfs/dbraw/zinc/39/09/22/698390922.db2.gz NRLGEANEBZWGJX-CYBMUJFWSA-N -1 1 312.377 1.212 20 0 DDADMM Cn1ccnc1C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000779633961 698445463 /nfs/dbraw/zinc/44/54/63/698445463.db2.gz IVDGEDOTDQJLMU-UHFFFAOYSA-N -1 1 321.189 1.600 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000263335391 698500328 /nfs/dbraw/zinc/50/03/28/698500328.db2.gz MJCULOWQKGGLCG-GXFFZTMASA-N -1 1 314.345 1.655 20 0 DDADMM O=C(COC(=O)c1ccc(Br)c([O-])c1)NC1CC1 ZINC000750551949 700230964 /nfs/dbraw/zinc/23/09/64/700230964.db2.gz RDCYFIWUZGYKBO-UHFFFAOYSA-N -1 1 314.135 1.590 20 0 DDADMM CC(C)[C@H](NC(=O)NC[C@H](c1ccsc1)N(C)C)C(=O)[O-] ZINC000780384429 698514287 /nfs/dbraw/zinc/51/42/87/698514287.db2.gz IJZYWUVDUHXTGG-NEPJUHHUSA-N -1 1 313.423 1.759 20 0 DDADMM CCc1ccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)o1 ZINC000989050864 698526125 /nfs/dbraw/zinc/52/61/25/698526125.db2.gz QJOUUNHKUKZDEO-NXEZZACHSA-N -1 1 319.365 1.059 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CCC=CCC1 ZINC000989809645 698775678 /nfs/dbraw/zinc/77/56/78/698775678.db2.gz MWAGPPDXWDLIPE-DGCLKSJQSA-N -1 1 319.409 1.336 20 0 DDADMM C[C@H]1C[C@H](C(=O)OCc2cc(=O)oc3cc([O-])ccc23)CO1 ZINC000784277430 698924172 /nfs/dbraw/zinc/92/41/72/698924172.db2.gz YSYSVGUJEGVZMD-ONGXEEELSA-N -1 1 304.298 1.967 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H]1[C@@H]2CCCO[C@H]12 ZINC000783905561 698890724 /nfs/dbraw/zinc/89/07/24/698890724.db2.gz SOJROOUGDYZMLV-QUNWWBBNSA-N -1 1 313.151 1.913 20 0 DDADMM O=C([N-]C1CN(C(=O)c2c(Cl)cncc2Cl)C1)C(F)F ZINC000990026711 698904586 /nfs/dbraw/zinc/90/45/86/698904586.db2.gz UZNQWGDOGKJXQH-UHFFFAOYSA-N -1 1 324.114 1.594 20 0 DDADMM CCC[C@H](C)[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000383327314 699069100 /nfs/dbraw/zinc/06/91/00/699069100.db2.gz UOJDSAHEXOLVDP-ZETCQYMHSA-N -1 1 324.244 1.958 20 0 DDADMM COC(=O)C1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)CC1 ZINC000390442597 699093861 /nfs/dbraw/zinc/09/38/61/699093861.db2.gz AZIMUSVDVJJIBK-UHFFFAOYSA-N -1 1 321.377 1.639 20 0 DDADMM CCC(=O)c1ccc([O-])c(NS(=O)(=O)N(C)C(C)C)c1 ZINC000436604787 699166051 /nfs/dbraw/zinc/16/60/51/699166051.db2.gz KDVVLDJQEYLIFL-UHFFFAOYSA-N -1 1 300.380 1.982 20 0 DDADMM Cc1cc(C)c(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)o1 ZINC000990970712 699195940 /nfs/dbraw/zinc/19/59/40/699195940.db2.gz YLOPJQURCPPWNV-UHFFFAOYSA-N -1 1 315.329 1.251 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2CC[C@@H](C3CC3)O2)c1Cl ZINC000722335445 699317118 /nfs/dbraw/zinc/31/71/18/699317118.db2.gz XVKROKDLYDNJRT-ZJUUUORDSA-N -1 1 319.814 1.309 20 0 DDADMM O=C(Cc1cc2ccccc2[nH]c1=O)[N-]OC[C@H]1CCOC1 ZINC000788569423 699324678 /nfs/dbraw/zinc/32/46/78/699324678.db2.gz IFZYFRYWKSCJSW-NSHDSACASA-N -1 1 302.330 1.567 20 0 DDADMM COc1ccc(CC(=O)OCCC[N-]C(=O)C(F)(F)F)nc1 ZINC000789172679 699366346 /nfs/dbraw/zinc/36/63/46/699366346.db2.gz JTJPVWZGTSJGCQ-UHFFFAOYSA-N -1 1 320.267 1.245 20 0 DDADMM C[C@@H](c1ccccc1)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727849043 699428278 /nfs/dbraw/zinc/42/82/78/699428278.db2.gz XEWVESRHBJLGHF-LBPRGKRZSA-N -1 1 301.346 1.146 20 0 DDADMM CSc1nc(C)c(CCC(=O)OC[C@H]2CCOC2)c(=O)[n-]1 ZINC000729076110 699466608 /nfs/dbraw/zinc/46/66/08/699466608.db2.gz NDFPZJQKQHFBJT-JTQLQIEISA-N -1 1 312.391 1.725 20 0 DDADMM O=C(c1csc2c1CCCC2)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732091011 699546155 /nfs/dbraw/zinc/54/61/55/699546155.db2.gz XPHXQYPFWFUPJQ-LLVKDONJSA-N -1 1 319.390 1.354 20 0 DDADMM CNc1nc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)cs1 ZINC000791089601 699611049 /nfs/dbraw/zinc/61/10/49/699611049.db2.gz MVOSOJODGNWLHG-UHFFFAOYSA-N -1 1 317.378 1.162 20 0 DDADMM C[C@@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)C[C@H](C)[S@@]1=O ZINC000792395840 699695482 /nfs/dbraw/zinc/69/54/82/699695482.db2.gz UKYPHWGSRBNYTQ-JVHXITMCSA-N -1 1 321.398 1.840 20 0 DDADMM O=C(Nc1nn[n-]n1)c1c[nH]c(=S)n1-c1ccc(F)cc1 ZINC000736158010 699719497 /nfs/dbraw/zinc/71/94/97/699719497.db2.gz ZQCGPGGDFXYSIF-UHFFFAOYSA-N -1 1 305.298 1.066 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1cccc(Oc2nccs2)c1 ZINC000736666320 699729724 /nfs/dbraw/zinc/72/97/24/699729724.db2.gz GZIRPHOLBCMWPD-UHFFFAOYSA-N -1 1 318.314 1.132 20 0 DDADMM Cc1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c2ccccc2n1 ZINC000737235260 699737910 /nfs/dbraw/zinc/73/79/10/699737910.db2.gz UUUZSILRTHWUKD-CQSZACIVSA-N -1 1 324.344 1.270 20 0 DDADMM CC(C)N(C)CC(=O)Nc1ccccc1-n1ccc(C(=O)[O-])n1 ZINC000737635589 699742690 /nfs/dbraw/zinc/74/26/90/699742690.db2.gz YYQCKRYYZRPBFM-UHFFFAOYSA-N -1 1 316.361 1.849 20 0 DDADMM CC(C)N(C(=O)CN1CC[C@@H](CNC(=O)[O-])C1)C1CCCC1 ZINC000738836120 699766747 /nfs/dbraw/zinc/76/67/47/699766747.db2.gz UOMVVWLGLHWKGO-ZDUSSCGKSA-N -1 1 311.426 1.756 20 0 DDADMM CCOC(=O)[C@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CCO1 ZINC000741288908 699828601 /nfs/dbraw/zinc/82/86/01/699828601.db2.gz BFHXICWPDIMYFC-GFCCVEGCSA-N -1 1 313.737 1.450 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2nonc2C)c(=O)[n-]1 ZINC000741438805 699839885 /nfs/dbraw/zinc/83/98/85/699839885.db2.gz OQPZVAUFBRMYDO-UHFFFAOYSA-N -1 1 324.362 1.580 20 0 DDADMM O=C(COC(=O)C[C@H]1CCCC[C@@H]1O)[N-]C(=O)c1ccccc1 ZINC000796142080 699915331 /nfs/dbraw/zinc/91/53/31/699915331.db2.gz YCRFDNHSMHKMNN-KGLIPLIRSA-N -1 1 319.357 1.427 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2ccnc(N(C)C)c2)[n-]1 ZINC000796349762 699928698 /nfs/dbraw/zinc/92/86/98/699928698.db2.gz IUYXDDMQNCIPKA-UHFFFAOYSA-N -1 1 303.318 1.619 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C(C)(C)C(F)F ZINC000796825810 699954322 /nfs/dbraw/zinc/95/43/22/699954322.db2.gz BTYGSNGIGKMIQJ-UHFFFAOYSA-N -1 1 314.292 1.103 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCCOCCO)n2)cc1 ZINC000801367487 700301241 /nfs/dbraw/zinc/30/12/41/700301241.db2.gz TVDRQWXTOGOSFA-UHFFFAOYSA-N -1 1 306.318 1.052 20 0 DDADMM C[C@H](OCc1ccccc1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801702788 700332353 /nfs/dbraw/zinc/33/23/53/700332353.db2.gz WDNPKVCZKYFCBM-LBPRGKRZSA-N -1 1 310.357 1.886 20 0 DDADMM O=Cc1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cs1 ZINC000754566889 700511081 /nfs/dbraw/zinc/51/10/81/700511081.db2.gz SCRASKFLOTVXJA-UHFFFAOYSA-N -1 1 317.322 1.674 20 0 DDADMM Cc1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)nc(C)n1 ZINC000755166571 700553932 /nfs/dbraw/zinc/55/39/32/700553932.db2.gz GLCBUKMSRDKHSL-UHFFFAOYSA-N -1 1 313.313 1.207 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2cnccc2C)co1 ZINC000756404489 700619849 /nfs/dbraw/zinc/61/98/49/700619849.db2.gz XMAAAPBDXREOSU-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM CCC1(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)COC1 ZINC000756548099 700627253 /nfs/dbraw/zinc/62/72/53/700627253.db2.gz CLWWTXPZWSZFJU-UHFFFAOYSA-N -1 1 304.298 1.968 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C)CNC(=O)OC(C)(C)C)c([O-])c1 ZINC000757652449 700667744 /nfs/dbraw/zinc/66/77/44/700667744.db2.gz UNPNURUHEXGPSZ-JTQLQIEISA-N -1 1 309.366 1.739 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C(=O)O1 ZINC000809382146 701662234 /nfs/dbraw/zinc/66/22/34/701662234.db2.gz CLGRIRGTMMAIQZ-SSDLBLMSSA-N -1 1 309.265 1.086 20 0 DDADMM O=C(c1c[nH]c2cccc(F)c12)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000765110451 700999408 /nfs/dbraw/zinc/99/94/08/700999408.db2.gz SLAGQVPJGFAQEL-VIFPVBQESA-N -1 1 314.324 1.840 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2ccnc(CO)c2)c1 ZINC000765296356 701006214 /nfs/dbraw/zinc/00/62/14/701006214.db2.gz BZSLNLVANHPTOS-UHFFFAOYSA-N -1 1 301.302 1.490 20 0 DDADMM Cc1cc2occ(CC(=O)OCc3nc(=O)n(C)[n-]3)c2cc1C ZINC000765389169 701009178 /nfs/dbraw/zinc/00/91/78/701009178.db2.gz DUPBLONCBPCUGC-UHFFFAOYSA-N -1 1 315.329 1.757 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc(Br)c2)nc1=O ZINC000765392838 701009541 /nfs/dbraw/zinc/00/95/41/701009541.db2.gz MDFVXWUBNXXERD-UHFFFAOYSA-N -1 1 312.123 1.228 20 0 DDADMM CCn1cc(CC(=O)OCc2nc(=O)n(C)[n-]2)c2ccccc21 ZINC000765462992 701013781 /nfs/dbraw/zinc/01/37/81/701013781.db2.gz QJBXUCHAXODIQI-UHFFFAOYSA-N -1 1 314.345 1.369 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(F)c(Cl)nc2Cl)nc1=O ZINC000765465982 701014207 /nfs/dbraw/zinc/01/42/07/701014207.db2.gz WCJYBOLDSDOWSC-UHFFFAOYSA-N -1 1 321.095 1.306 20 0 DDADMM COc1ccccc1C/C(C)=C\C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765475290 701014664 /nfs/dbraw/zinc/01/46/64/701014664.db2.gz YCKUZYFRFUZGQI-LUAWRHEFSA-N -1 1 317.345 1.349 20 0 DDADMM Cn1[n-]c(COC(=O)COc2ccc3c(c2)CCCC3)nc1=O ZINC000765494233 701015954 /nfs/dbraw/zinc/01/59/54/701015954.db2.gz LRVAXUCEHWUQJO-UHFFFAOYSA-N -1 1 317.345 1.109 20 0 DDADMM CN(CCC1OCCCO1)Cc1nc(=O)c2sccc2[n-]1 ZINC000767953989 701147570 /nfs/dbraw/zinc/14/75/70/701147570.db2.gz BLPUJHZSUXBBRI-UHFFFAOYSA-N -1 1 309.391 1.982 20 0 DDADMM CCc1nc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c(C)o1 ZINC000803917501 701155824 /nfs/dbraw/zinc/15/58/24/701155824.db2.gz XKVOMMYEEHBZEM-UHFFFAOYSA-N -1 1 316.313 1.659 20 0 DDADMM O=C(NC[C@@H]1CCCS1(=O)=O)c1c([O-])cccc1Cl ZINC000768258348 701165539 /nfs/dbraw/zinc/16/55/39/701165539.db2.gz WSBMNBPYQUCEPG-QMMMGPOBSA-N -1 1 303.767 1.353 20 0 DDADMM O=C(NCCS(=O)(=O)CC1CC1)c1ccc([O-])c(F)c1 ZINC000768274910 701166288 /nfs/dbraw/zinc/16/62/88/701166288.db2.gz IBXNMGOJIZSVAE-UHFFFAOYSA-N -1 1 301.339 1.086 20 0 DDADMM O=C([O-])C[C@@H]1CCC[N@@H+](CCOc2ccccc2C(=O)[O-])C1 ZINC000768767988 701200642 /nfs/dbraw/zinc/20/06/42/701200642.db2.gz KYPIDLMSZHBZOB-LBPRGKRZSA-N -1 1 307.346 1.950 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCN([C@H]2CCOC2)CC1 ZINC000771034269 701305527 /nfs/dbraw/zinc/30/55/27/701305527.db2.gz OIGWXVIWVUDZKC-NSHDSACASA-N -1 1 310.781 1.592 20 0 DDADMM C[C@H](CS(C)(=O)=O)c1nc(-c2ccc([O-])c(F)c2)no1 ZINC000771226837 701314179 /nfs/dbraw/zinc/31/41/79/701314179.db2.gz YLSMHSFGWAWZEG-SSDOTTSWSA-N -1 1 300.311 1.729 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830884849 706596743 /nfs/dbraw/zinc/59/67/43/706596743.db2.gz SABAVVWITAAHIQ-VHSXEESVSA-N -1 1 321.830 1.935 20 0 DDADMM Cc1cccc(S(=O)(=O)CCCOC(=O)c2cn[n-]n2)c1 ZINC000805604500 701398110 /nfs/dbraw/zinc/39/81/10/701398110.db2.gz LMQVIGPNDCPUDX-UHFFFAOYSA-N -1 1 309.347 1.134 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)OC(=O)c2cn[n-]n2)cc1OC ZINC000805605652 701398598 /nfs/dbraw/zinc/39/85/98/701398598.db2.gz PMCKWYAKJZPRBW-QMMMGPOBSA-N -1 1 320.305 1.006 20 0 DDADMM Cc1ccc(Cl)cc1NC(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805608494 701399929 /nfs/dbraw/zinc/39/99/29/701399929.db2.gz FNUZDGOSJXODNF-QMMMGPOBSA-N -1 1 308.725 1.951 20 0 DDADMM C[C@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CCCOC1 ZINC000806483645 701437252 /nfs/dbraw/zinc/43/72/52/701437252.db2.gz LRPOEHNQMDBBNC-QWRGUYRKSA-N -1 1 303.366 1.278 20 0 DDADMM CCCS(=O)(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000810087888 701726286 /nfs/dbraw/zinc/72/62/86/701726286.db2.gz CIMRJSDKZWWGQO-VIFPVBQESA-N -1 1 316.345 1.117 20 0 DDADMM CCOC(=O)[C@@H](CC=C(C)C)[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC000867761227 701735598 /nfs/dbraw/zinc/73/55/98/701735598.db2.gz YUKPLFRFLCTHCB-CHWSQXEVSA-N -1 1 319.423 1.373 20 0 DDADMM C[C@H]1CCCC[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000867968156 701861413 /nfs/dbraw/zinc/86/14/13/701861413.db2.gz ABISYKWYFDGVEH-CMPLNLGQSA-N -1 1 320.393 1.633 20 0 DDADMM O=C(c1cc(F)ccc1Cl)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000815520429 701886631 /nfs/dbraw/zinc/88/66/31/701886631.db2.gz ZSPVBWXXRWYHTL-SNVBAGLBSA-N -1 1 311.704 1.206 20 0 DDADMM Cc1ccn(C[C@@H](C)C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000868063706 701921240 /nfs/dbraw/zinc/92/12/40/701921240.db2.gz ATKMQJPIALBPPF-SNVBAGLBSA-N -1 1 319.365 1.322 20 0 DDADMM CC(C)(C)C[C@@H](O)CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831125845 706639597 /nfs/dbraw/zinc/63/95/97/706639597.db2.gz RIQLMOXXXXTHPV-JTQLQIEISA-N -1 1 324.343 1.311 20 0 DDADMM O=C([N-]CC1CN(C(=O)c2c[nH]nc2C2CC2)C1)C(F)(F)F ZINC000831130604 706640497 /nfs/dbraw/zinc/64/04/97/706640497.db2.gz ZFNZIHLCYJTPKS-UHFFFAOYSA-N -1 1 316.283 1.038 20 0 DDADMM CC1(CC(=O)N2CCC(O)(c3nn[n-]n3)CC2)CCCCC1 ZINC000816492602 702096467 /nfs/dbraw/zinc/09/64/67/702096467.db2.gz WRQFKFZXIGWHCB-UHFFFAOYSA-N -1 1 307.398 1.370 20 0 DDADMM O=C(c1nc(Cl)cs1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000816525138 702105685 /nfs/dbraw/zinc/10/56/85/702105685.db2.gz GUTNVXJWKXMEBJ-UHFFFAOYSA-N -1 1 314.754 1.905 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC2CC=CC2)C1 ZINC000868401943 702109335 /nfs/dbraw/zinc/10/93/35/702109335.db2.gz YFZDFOKCPHHIPN-GFCCVEGCSA-N -1 1 305.300 1.558 20 0 DDADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)[N-]OC/C=C/Cl ZINC000812408787 702177880 /nfs/dbraw/zinc/17/78/80/702177880.db2.gz MEXSSLOBOZBXKY-ILFKPUCNSA-N -1 1 322.752 1.482 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NCCCCF)C1 ZINC000868634843 702236376 /nfs/dbraw/zinc/23/63/76/702236376.db2.gz CQKSMADHGJQSGH-NSHDSACASA-N -1 1 313.295 1.589 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-][C@H]2C(=O)OCC2(C)C)cc1F ZINC000841535338 702486428 /nfs/dbraw/zinc/48/64/28/702486428.db2.gz RHJVTLOWRJQPFQ-LBPRGKRZSA-N -1 1 315.366 1.505 20 0 DDADMM O=C(OCC[N-]S(=O)(=O)c1cc(F)c(F)cc1F)C1CC1 ZINC000841536438 702487365 /nfs/dbraw/zinc/48/73/65/702487365.db2.gz HKCFWBDLLYCOBC-UHFFFAOYSA-N -1 1 323.292 1.335 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC3CCC4(COC4)CC3)ccnc1-2 ZINC000879415389 706673898 /nfs/dbraw/zinc/67/38/98/706673898.db2.gz ZMQVTBSVGUEJIY-UHFFFAOYSA-N -1 1 315.377 1.423 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000869213348 702512580 /nfs/dbraw/zinc/51/25/80/702512580.db2.gz IHYJNAIOGLRZSH-XYPYZODXSA-N -1 1 320.393 1.633 20 0 DDADMM CC[C@H]1C[C@H](C)CN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869213370 702513014 /nfs/dbraw/zinc/51/30/14/702513014.db2.gz IQDOYRAEQGVLMC-QWRGUYRKSA-N -1 1 320.393 1.585 20 0 DDADMM CC(C)[C@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000869214381 702513624 /nfs/dbraw/zinc/51/36/24/702513624.db2.gz WYIXIYMQXCVDRL-NSHDSACASA-N -1 1 320.393 1.442 20 0 DDADMM CN(C)C(=O)OCCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869257661 702539121 /nfs/dbraw/zinc/53/91/21/702539121.db2.gz NQIUHZKAPRAMSM-UHFFFAOYSA-N -1 1 300.742 1.403 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC(C)(C)OCC3CC3)ccnc1-2 ZINC000841704732 702544059 /nfs/dbraw/zinc/54/40/59/702544059.db2.gz BZKUWTOJPWAQKE-UHFFFAOYSA-N -1 1 317.393 1.669 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@H]1CC12CCCC2 ZINC000869426912 702603685 /nfs/dbraw/zinc/60/36/85/702603685.db2.gz YJZZOUPXCNWKLB-SNVBAGLBSA-N -1 1 321.295 1.748 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)/C=C/C1CCCC1 ZINC000869544711 702641213 /nfs/dbraw/zinc/64/12/13/702641213.db2.gz LLWFZDGBSFICRY-AATRIKPKSA-N -1 1 321.295 1.914 20 0 DDADMM Cc1cccc(OCCNC(=O)CO[N-]C(=O)CC(C)(C)C)c1 ZINC000842059720 702665175 /nfs/dbraw/zinc/66/51/75/702665175.db2.gz BTIFXJVTKIYTTI-UHFFFAOYSA-N -1 1 322.405 1.974 20 0 DDADMM CCc1nn(C)c(CC)c1S(=O)(=O)[N-]c1cccnc1F ZINC000842825227 702780383 /nfs/dbraw/zinc/78/03/83/702780383.db2.gz NVHJRZQDDVPJCB-UHFFFAOYSA-N -1 1 312.370 1.880 20 0 DDADMM Cc1occc1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843017532 702804173 /nfs/dbraw/zinc/80/41/73/702804173.db2.gz IKIBDYDQBDPPLF-UHFFFAOYSA-N -1 1 300.380 1.132 20 0 DDADMM CCO[N-]C(=O)CN[C@@H](C(=O)N1CCCCC1)c1ccccc1 ZINC000844632305 703049718 /nfs/dbraw/zinc/04/97/18/703049718.db2.gz MZCMKTFBCMESEU-MRXNPFEDSA-N -1 1 319.405 1.398 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2C=CC[C@@H](C(=O)OC)C2)[n-]1 ZINC000845810962 703204574 /nfs/dbraw/zinc/20/45/74/703204574.db2.gz WLJJZSYZIJPZHY-ZJUUUORDSA-N -1 1 307.302 1.466 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1CCS(=O)(=O)Cc1ccccc1 ZINC000846273764 703259534 /nfs/dbraw/zinc/25/95/34/703259534.db2.gz DETWZRRGVMRJCM-AWEZNQCLSA-N -1 1 311.403 1.541 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@]2(CC2(F)F)C1 ZINC000846625055 703305075 /nfs/dbraw/zinc/30/50/75/703305075.db2.gz SALIUWUHYXBPKS-GFCCVEGCSA-N -1 1 310.329 1.889 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)NC1CCC1 ZINC000847322858 703401527 /nfs/dbraw/zinc/40/15/27/703401527.db2.gz DSRQIMRPRMIBOG-PSASIEDQSA-N -1 1 307.316 1.780 20 0 DDADMM CCO/C=C\[C@](O)(CNC(=O)c1cncc([O-])c1)C(F)(F)F ZINC000848177537 703521500 /nfs/dbraw/zinc/52/15/00/703521500.db2.gz KIQZCGQCPMULBB-MVZIDQBPSA-N -1 1 320.267 1.361 20 0 DDADMM CCO/C=C\[C@@](O)(CNC(=O)c1ncccc1[O-])C(F)(F)F ZINC000848177107 703521669 /nfs/dbraw/zinc/52/16/69/703521669.db2.gz GVVPMGQFBVKJCW-SMYYYNMVSA-N -1 1 320.267 1.361 20 0 DDADMM CO[C@H](C)CCC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341202 703540827 /nfs/dbraw/zinc/54/08/27/703540827.db2.gz RATFIFASWXHGND-GMTAPVOTSA-N -1 1 324.343 1.860 20 0 DDADMM Cc1ccsc1-c1cn(CC[N-]C(=O)C(F)(F)F)nn1 ZINC000849141461 703619002 /nfs/dbraw/zinc/61/90/02/703619002.db2.gz XIKDSMMNYZOCMN-UHFFFAOYSA-N -1 1 304.297 1.994 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)CCc1ccccc1F ZINC000849398193 703643381 /nfs/dbraw/zinc/64/33/81/703643381.db2.gz NXCHFXVDIPRKKE-LBPRGKRZSA-N -1 1 315.366 1.239 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@H](O)c2ccccc21 ZINC000849455046 703650373 /nfs/dbraw/zinc/65/03/73/703650373.db2.gz PTKHKMIAPXVUBM-MNOVXSKESA-N -1 1 309.347 1.022 20 0 DDADMM C[C@H](O)CCOC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000849686133 703667739 /nfs/dbraw/zinc/66/77/39/703667739.db2.gz WTMBRTWZAQZHSE-VIFPVBQESA-N -1 1 319.379 1.284 20 0 DDADMM CC1(C)C[C@H](OC(=O)c2sccc2[N-]S(C)(=O)=O)CO1 ZINC000849699357 703668956 /nfs/dbraw/zinc/66/89/56/703668956.db2.gz ISIYCKKEARKVJC-QMMMGPOBSA-N -1 1 319.404 1.844 20 0 DDADMM Cc1nc(SC[C@@](O)(C(N)=O)c2ccccc2)[n-]c(=O)c1C ZINC000849893266 703687372 /nfs/dbraw/zinc/68/73/72/703687372.db2.gz ZNEOKWBETHJFIU-HNNXBMFYSA-N -1 1 319.386 1.264 20 0 DDADMM CCc1nc(SCC(=O)c2cnn(CC)n2)[n-]c(=O)c1C ZINC000849922104 703689613 /nfs/dbraw/zinc/68/96/13/703689613.db2.gz XOEWEQKUANUARC-UHFFFAOYSA-N -1 1 307.379 1.639 20 0 DDADMM C[C@H](CC(=O)OCC(=O)[N-]C(=O)c1ccccc1)[C@@H]1CCCO1 ZINC000850081717 703701979 /nfs/dbraw/zinc/70/19/79/703701979.db2.gz ORYGOPUXWPZFGZ-OCCSQVGLSA-N -1 1 319.357 1.691 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2C[C@H]3C[C@H]3C2)cc1 ZINC000850261802 703719282 /nfs/dbraw/zinc/71/92/82/703719282.db2.gz DNGFNISUSQYSJL-ITGUQSILSA-N -1 1 317.341 1.541 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cnc(C)o2)c1 ZINC000851445218 703802324 /nfs/dbraw/zinc/80/23/24/703802324.db2.gz ORLQLUSDJLOZHE-OAQYLSRUSA-N -1 1 309.343 1.843 20 0 DDADMM Cc1ccn(C[C@@H](C)C(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000869988734 703889661 /nfs/dbraw/zinc/88/96/61/703889661.db2.gz WPHQAIUDSIEXKL-SECBINFHSA-N -1 1 321.299 1.439 20 0 DDADMM C[C@H]1CCC[C@@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866741887 706783801 /nfs/dbraw/zinc/78/38/01/706783801.db2.gz MJOADWPQRACJQS-BQBZGAKWSA-N -1 1 308.201 1.639 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(CCc2cnccn2)C1 ZINC000879767809 706787276 /nfs/dbraw/zinc/78/72/76/706787276.db2.gz PYYJPTXTSRPNDN-LBPRGKRZSA-N -1 1 302.300 1.162 20 0 DDADMM CCc1nnc([C@H](C)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)[nH]1 ZINC000879767743 706787360 /nfs/dbraw/zinc/78/73/60/706787360.db2.gz OGPQEUOKURFNTL-UFBFGSQYSA-N -1 1 319.331 1.571 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1cc(Cl)nc(Cl)c1)C2 ZINC000852733143 704108412 /nfs/dbraw/zinc/10/84/12/704108412.db2.gz NIELHQIFULXHHN-NOZJJQNGSA-N -1 1 317.172 1.921 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@H]1CCCO1 ZINC000867013652 706872378 /nfs/dbraw/zinc/87/23/78/706872378.db2.gz XWAVWWWFPNABEN-RKDXNWHRSA-N -1 1 306.334 1.596 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCc2ccccc21 ZINC000866767012 706794020 /nfs/dbraw/zinc/79/40/20/706794020.db2.gz HLDRSDYXQWFPJA-LLVKDONJSA-N -1 1 302.421 1.278 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1c2ccccc2C[C@@H]1C ZINC000866773337 706795899 /nfs/dbraw/zinc/79/58/99/706795899.db2.gz DXOGUEZIJSICSB-JXBWDGJFSA-N -1 1 316.448 1.872 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC2([C@H]3CCOC3)CC2)c1 ZINC000820084517 704218732 /nfs/dbraw/zinc/21/87/32/704218732.db2.gz KUCNTAOWFNZCLJ-YTEVENLXSA-N -1 1 324.398 1.915 20 0 DDADMM CO[C@@H](COC(=O)c1sccc1[N-]S(C)(=O)=O)C1CC1 ZINC000820275372 704251471 /nfs/dbraw/zinc/25/14/71/704251471.db2.gz MJOCENOQRXVLRW-JTQLQIEISA-N -1 1 319.404 1.701 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)c1ccncc1 ZINC000820791761 704331439 /nfs/dbraw/zinc/33/14/39/704331439.db2.gz CPZPLHXFIQQERF-LBPRGKRZSA-N -1 1 317.436 1.629 20 0 DDADMM CC[C@@H](Cc1ccccc1C)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000820877840 704341550 /nfs/dbraw/zinc/34/15/50/704341550.db2.gz MIJKXGJEPUNDST-LBPRGKRZSA-N -1 1 303.362 1.729 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CCCC2CC2)c1C(F)(F)F ZINC000866812548 706811080 /nfs/dbraw/zinc/81/10/80/706811080.db2.gz JEXFGWYYXNAFGD-UHFFFAOYSA-N -1 1 311.329 1.907 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C(C)(C)CNC(=O)OC(C)(C)C ZINC000854634364 704430418 /nfs/dbraw/zinc/43/04/18/704430418.db2.gz BIWSVWAXRHQARZ-UHFFFAOYSA-N -1 1 312.370 1.913 20 0 DDADMM CO[C@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000855197609 704472669 /nfs/dbraw/zinc/47/26/69/704472669.db2.gz AASJFUYMKPNSKJ-NSHDSACASA-N -1 1 306.391 1.659 20 0 DDADMM C[C@H]1C[C@H](C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)CO1 ZINC000856417030 704527989 /nfs/dbraw/zinc/52/79/89/704527989.db2.gz DMNNNWPRQNVWCM-RCWTZXSCSA-N -1 1 322.327 1.327 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2CC2(C)C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856417686 704528088 /nfs/dbraw/zinc/52/80/88/704528088.db2.gz DJNUGTKNPGCQFJ-UTLUCORTSA-N -1 1 306.328 1.948 20 0 DDADMM COC(C)(C)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418818 704528154 /nfs/dbraw/zinc/52/81/54/704528154.db2.gz NZUZTYYFDGVXLV-BDAKNGLRSA-N -1 1 310.316 1.327 20 0 DDADMM COCCn1cc(S(=O)(=O)[N-]c2ccnc(F)c2F)cn1 ZINC000857252040 704570350 /nfs/dbraw/zinc/57/03/50/704570350.db2.gz LIDLYFNACLNNDP-UHFFFAOYSA-N -1 1 318.305 1.004 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@]3(C)CCCCO3)nc2n1 ZINC000857685215 704613736 /nfs/dbraw/zinc/61/37/36/704613736.db2.gz QZWYQFXWNHMLGF-HNNXBMFYSA-N -1 1 319.365 1.268 20 0 DDADMM C[C@@]1(c2ccccc2)C[C@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866872271 706830911 /nfs/dbraw/zinc/83/09/11/706830911.db2.gz KHKUJWBRNHYACS-NEPJUHHUSA-N -1 1 302.421 1.279 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@@]1(C)c1ccccc1 ZINC000866872380 706830926 /nfs/dbraw/zinc/83/09/26/706830926.db2.gz NACYTLLNAORBGL-NQCMUKECSA-N -1 1 316.448 1.669 20 0 DDADMM O=c1nc(N2CCC(Oc3cnccn3)CC2)cc(Cl)[n-]1 ZINC000858518452 704721373 /nfs/dbraw/zinc/72/13/73/704721373.db2.gz RLFYIRGEOWGRBA-UHFFFAOYSA-N -1 1 307.741 1.673 20 0 DDADMM CC1(C)CCC[C@@H]1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867312376 706956736 /nfs/dbraw/zinc/95/67/36/706956736.db2.gz DXZIRIMVUMBLQV-LLVKDONJSA-N -1 1 308.469 1.909 20 0 DDADMM C[C@H]1CCC[C@]1(O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867313882 706956963 /nfs/dbraw/zinc/95/69/63/706956963.db2.gz SDMADHMZVBVNHB-UFBFGSQYSA-N -1 1 306.334 1.189 20 0 DDADMM CC[C@H]1C[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)CCO1 ZINC000867421375 706996741 /nfs/dbraw/zinc/99/67/41/706996741.db2.gz MUGPGIHZGXIUPW-QWRGUYRKSA-N -1 1 310.441 1.040 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@H]1C1CC1)c1nc[nH]c1Br ZINC000867373718 706978983 /nfs/dbraw/zinc/97/89/83/706978983.db2.gz ZREIBJLFCSIWEO-YUMQZZPRSA-N -1 1 320.212 1.497 20 0 DDADMM O=S(=O)([N-]C[C@H]1COCO1)c1c(Cl)ccnc1Cl ZINC000867398659 706987572 /nfs/dbraw/zinc/98/75/72/706987572.db2.gz YYLAVLOYLJMMFH-LURJTMIESA-N -1 1 313.162 1.040 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CCS[C@@H]1C ZINC000867454143 707006084 /nfs/dbraw/zinc/00/60/84/707006084.db2.gz XUWQNGKUZBQNGW-RKDXNWHRSA-N -1 1 300.471 1.223 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1ccccc1F ZINC000867460052 707008419 /nfs/dbraw/zinc/00/84/19/707008419.db2.gz HZEJURJLFFXCDI-NSHDSACASA-N -1 1 308.400 1.839 20 0 DDADMM CC(C)OC1(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)CCC1 ZINC000822657065 704998538 /nfs/dbraw/zinc/99/85/38/704998538.db2.gz FYURVPJUWMUMCA-LLVKDONJSA-N -1 1 309.366 1.829 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H](C)c1ccccn1 ZINC000867497557 707019604 /nfs/dbraw/zinc/01/96/04/707019604.db2.gz UIMGVXAGIQCMTB-LLVKDONJSA-N -1 1 319.452 1.527 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC12OCCO2)c1ccc(C(F)F)o1 ZINC000867526575 707028843 /nfs/dbraw/zinc/02/88/43/707028843.db2.gz UOHHPHNHEVZRQR-SECBINFHSA-N -1 1 323.317 1.791 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCc2cc(OC)ccc21)c1nn[n-]n1 ZINC000859945291 705049322 /nfs/dbraw/zinc/04/93/22/705049322.db2.gz ONCXFRPPQZBSTD-UONOGXRCSA-N -1 1 315.377 1.896 20 0 DDADMM C[C@H]1CN(C(=O)CCCc2nn[n-]n2)CC[C@H](C(F)(F)F)O1 ZINC000874557600 705104186 /nfs/dbraw/zinc/10/41/86/705104186.db2.gz VGXHGAAYMPOPNZ-DTWKUNHWSA-N -1 1 321.303 1.091 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)s1)NC1CCCC1 ZINC000823027374 705116696 /nfs/dbraw/zinc/11/66/96/705116696.db2.gz SJYHORZMUHCRHR-UHFFFAOYSA-N -1 1 321.362 1.144 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)s1)NC1CCCC1 ZINC000823027374 705116700 /nfs/dbraw/zinc/11/67/00/705116700.db2.gz SJYHORZMUHCRHR-UHFFFAOYSA-N -1 1 321.362 1.144 20 0 DDADMM COC(=O)CCCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000874997787 705251998 /nfs/dbraw/zinc/25/19/98/705251998.db2.gz BDMZTGOTDNLOIT-UHFFFAOYSA-N -1 1 300.742 1.798 20 0 DDADMM CCOc1cccc(C=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000860785545 705282365 /nfs/dbraw/zinc/28/23/65/705282365.db2.gz BGDZEXCCEFCXGJ-BCPZQOPPSA-N -1 1 315.377 1.874 20 0 DDADMM C[C@H](CN(C)c1cccc(-c2nnn[n-]2)n1)c1nccs1 ZINC000824414078 705448243 /nfs/dbraw/zinc/44/82/43/705448243.db2.gz TUXPLXYEADCBGP-SECBINFHSA-N -1 1 301.379 1.958 20 0 DDADMM C[C@H](CN(C)c1cccc(-c2nn[n-]n2)n1)c1nccs1 ZINC000824414078 705448245 /nfs/dbraw/zinc/44/82/45/705448245.db2.gz TUXPLXYEADCBGP-SECBINFHSA-N -1 1 301.379 1.958 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]3[C@H]3C[C@@H]3C)nc2n1 ZINC000875838784 705532049 /nfs/dbraw/zinc/53/20/49/705532049.db2.gz VZILKINPVZIXKI-CPOMMVLXSA-N -1 1 301.350 1.211 20 0 DDADMM COC(=O)CC[C@@H]1CC[C@H](C(=O)Nc2cccc(F)c2[O-])O1 ZINC000824990828 705573060 /nfs/dbraw/zinc/57/30/60/705573060.db2.gz XCZGLFFYBPXEIH-JOYOIKCWSA-N -1 1 311.309 1.971 20 0 DDADMM CC[C@@H](C)CN(CC)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825060181 705590139 /nfs/dbraw/zinc/59/01/39/705590139.db2.gz ODPYGUTWSWZMRS-SECBINFHSA-N -1 1 306.370 1.600 20 0 DDADMM CC[C@@H](C)CN(CC)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825060181 705590144 /nfs/dbraw/zinc/59/01/44/705590144.db2.gz ODPYGUTWSWZMRS-SECBINFHSA-N -1 1 306.370 1.600 20 0 DDADMM CCOC(=O)c1cnn(CCc2cccs2)c1-c1nn[n-]n1 ZINC000825570979 705695168 /nfs/dbraw/zinc/69/51/68/705695168.db2.gz QLAAIDQWLURWBI-UHFFFAOYSA-N -1 1 318.362 1.544 20 0 DDADMM O=C(NC[C@@H]1C[C@H]1C1CCOCC1)C(=O)c1ccc([O-])cc1 ZINC000825588765 705698122 /nfs/dbraw/zinc/69/81/22/705698122.db2.gz PKGMIKVXHIKQDT-ZFWWWQNUSA-N -1 1 303.358 1.754 20 0 DDADMM C[C@@H]1CCCC[C@@H]1NC(=O)CN(C)CC(C)(C)CNC(=O)[O-] ZINC000825893733 705742628 /nfs/dbraw/zinc/74/26/28/705742628.db2.gz BKGMGEQMQWVAJG-OLZOCXBDSA-N -1 1 313.442 1.907 20 0 DDADMM CCON(CC)C(=O)CNC(=O)c1cc(Cl)ccc1[O-] ZINC000862617453 705752546 /nfs/dbraw/zinc/75/25/46/705752546.db2.gz HJEKIAKQOXOAFD-UHFFFAOYSA-N -1 1 300.742 1.575 20 0 DDADMM Cc1onc(CC(=O)N([C@H](C)C2CC2)C2CC2)c1-c1nnn[n-]1 ZINC000826343159 705792492 /nfs/dbraw/zinc/79/24/92/705792492.db2.gz OSOATQVGGBLIMF-MRVPVSSYSA-N -1 1 316.365 1.495 20 0 DDADMM Cc1onc(CC(=O)N([C@H](C)C2CC2)C2CC2)c1-c1nn[n-]n1 ZINC000826343159 705792496 /nfs/dbraw/zinc/79/24/96/705792496.db2.gz OSOATQVGGBLIMF-MRVPVSSYSA-N -1 1 316.365 1.495 20 0 DDADMM Cc1onc(CC(=O)NCCCC(F)(F)F)c1-c1nnn[n-]1 ZINC000826347048 705794476 /nfs/dbraw/zinc/79/44/76/705794476.db2.gz CPIPACVIMDNSTD-UHFFFAOYSA-N -1 1 318.259 1.164 20 0 DDADMM Cc1onc(CC(=O)NCCCC(F)(F)F)c1-c1nn[n-]n1 ZINC000826347048 705794479 /nfs/dbraw/zinc/79/44/79/705794479.db2.gz CPIPACVIMDNSTD-UHFFFAOYSA-N -1 1 318.259 1.164 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CC[C@H](C)C[C@H]2C)c1-c1nnn[n-]1 ZINC000826346489 705794633 /nfs/dbraw/zinc/79/46/33/705794633.db2.gz NUYKEKTYDSOVGW-IQJOONFLSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CC[C@H](C)C[C@H]2C)c1-c1nn[n-]n1 ZINC000826346489 705794634 /nfs/dbraw/zinc/79/46/34/705794634.db2.gz NUYKEKTYDSOVGW-IQJOONFLSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)NC/C=C/c2ccccc2)c1-c1nnn[n-]1 ZINC000826347314 705794652 /nfs/dbraw/zinc/79/46/52/705794652.db2.gz MQCBSKRLXILQBK-VMPITWQZSA-N -1 1 324.344 1.535 20 0 DDADMM Cc1onc(CC(=O)NC/C=C/c2ccccc2)c1-c1nn[n-]n1 ZINC000826347314 705794655 /nfs/dbraw/zinc/79/46/55/705794655.db2.gz MQCBSKRLXILQBK-VMPITWQZSA-N -1 1 324.344 1.535 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cccc(F)n1 ZINC000826467489 705803236 /nfs/dbraw/zinc/80/32/36/705803236.db2.gz YIKMISVVQUYCGV-UHFFFAOYSA-N -1 1 300.253 1.153 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cccc(F)n1 ZINC000826467489 705803238 /nfs/dbraw/zinc/80/32/38/705803238.db2.gz YIKMISVVQUYCGV-UHFFFAOYSA-N -1 1 300.253 1.153 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCCc2cccc(O)c21 ZINC000826472699 705803830 /nfs/dbraw/zinc/80/38/30/705803830.db2.gz UMPVIVOWFGGIIG-UHFFFAOYSA-N -1 1 322.328 1.560 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCCc2cccc(O)c21 ZINC000826472699 705803833 /nfs/dbraw/zinc/80/38/33/705803833.db2.gz UMPVIVOWFGGIIG-UHFFFAOYSA-N -1 1 322.328 1.560 20 0 DDADMM c1cnc(N2CC[C@@H](Cc3ccncc3)C2)c(-c2nnn[n-]2)n1 ZINC000826515416 705807601 /nfs/dbraw/zinc/80/76/01/705807601.db2.gz YACASIZENGXEMA-LBPRGKRZSA-N -1 1 308.349 1.121 20 0 DDADMM c1cnc(N2CC[C@@H](Cc3ccncc3)C2)c(-c2nn[n-]n2)n1 ZINC000826515416 705807603 /nfs/dbraw/zinc/80/76/03/705807603.db2.gz YACASIZENGXEMA-LBPRGKRZSA-N -1 1 308.349 1.121 20 0 DDADMM CCOC(=O)NCCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000863474912 705936089 /nfs/dbraw/zinc/93/60/89/705936089.db2.gz HIYULWPOWJMYNT-UHFFFAOYSA-N -1 1 318.333 1.653 20 0 DDADMM O=C(C[C@H]1CCC(=O)N1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000863475174 705936286 /nfs/dbraw/zinc/93/62/86/705936286.db2.gz IUXZYPIUFUZPNQ-LLVKDONJSA-N -1 1 300.318 1.185 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]CC(=O)OC1CCCCC1)C1CC1 ZINC000827315677 705973898 /nfs/dbraw/zinc/97/38/98/705973898.db2.gz UEAOBATTYMAUIC-CYBMUJFWSA-N -1 1 319.423 1.207 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@H]1CCCCC12OCCO2)C(C)C ZINC000827369930 705986968 /nfs/dbraw/zinc/98/69/68/705986968.db2.gz SCQZVLKKZRCPTF-STQMWFEESA-N -1 1 321.439 1.263 20 0 DDADMM COc1cc(C(=O)N2C[C@@H]3COC(=O)[C@@H]3C2)cc(Cl)c1[O-] ZINC000863763861 706005419 /nfs/dbraw/zinc/00/54/19/706005419.db2.gz KYLPFCVQGKPRBQ-RKDXNWHRSA-N -1 1 311.721 1.299 20 0 DDADMM O=C(N[C@@H]1CCN(C2CCC2)C1=O)c1c([O-])cccc1Cl ZINC000863839193 706026502 /nfs/dbraw/zinc/02/65/02/706026502.db2.gz LPXIPHMGESMTQX-LLVKDONJSA-N -1 1 308.765 1.929 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C[C@H](C)O1 ZINC000827628518 706040187 /nfs/dbraw/zinc/04/01/87/706040187.db2.gz ANRIWFMLULZCGD-DOFRTFSJSA-N -1 1 306.391 1.704 20 0 DDADMM CCc1cc(C(=O)N2CCC(n3cc(C(=O)[O-])cn3)CC2)n[nH]1 ZINC000864147692 706080662 /nfs/dbraw/zinc/08/06/62/706080662.db2.gz ALUPDYBRKKYQPS-UHFFFAOYSA-N -1 1 317.349 1.344 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2cccnc2F)CCCCC1 ZINC000881773569 707361616 /nfs/dbraw/zinc/36/16/16/707361616.db2.gz MMVCKLKPSMNTBS-UHFFFAOYSA-N -1 1 316.354 1.375 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C2CC2)C2CCC2)o1 ZINC000836042770 707383702 /nfs/dbraw/zinc/38/37/02/707383702.db2.gz BTLAONGEZXDPIP-ZDUSSCGKSA-N -1 1 313.375 1.923 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccccc1 ZINC000872429367 707406577 /nfs/dbraw/zinc/40/65/77/707406577.db2.gz BALKSKQWJVQFBE-SFHVURJKSA-N -1 1 304.437 1.917 20 0 DDADMM CC1(C)CC[C@@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)O1 ZINC000829062855 706282553 /nfs/dbraw/zinc/28/25/53/706282553.db2.gz JSJAYEPEVGRPOC-JTQLQIEISA-N -1 1 306.391 1.705 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=S)NC2CCC2)C1 ZINC000865276246 706394470 /nfs/dbraw/zinc/39/44/70/706394470.db2.gz VDAZQBMFOIKHOH-NSHDSACASA-N -1 1 309.357 1.556 20 0 DDADMM C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)N1C(=O)CCc1nn[n-]n1 ZINC000865703942 706500637 /nfs/dbraw/zinc/50/06/37/706500637.db2.gz OTCSBNQVPAVIFI-HSMVNMDESA-N -1 1 315.377 1.509 20 0 DDADMM COC(=O)N1CC(NC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000830616841 706545799 /nfs/dbraw/zinc/54/57/99/706545799.db2.gz VUHANPQOGBWVQF-UHFFFAOYSA-N -1 1 301.302 1.121 20 0 DDADMM Cc1ccccc1[C@H](O)C[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830633278 706550038 /nfs/dbraw/zinc/55/00/38/706550038.db2.gz LWZMCYXFEWPCFT-SNVBAGLBSA-N -1 1 315.782 1.383 20 0 DDADMM CC(C)CC[C@@](C)(O)C[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830659473 706555788 /nfs/dbraw/zinc/55/57/88/706555788.db2.gz VNKKKZQVSWGNAW-LLVKDONJSA-N -1 1 309.819 1.529 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2CC3(CC3)C2)C1 ZINC000830790252 706579923 /nfs/dbraw/zinc/57/99/23/706579923.db2.gz QNBPWAFIFOFVFJ-GFCCVEGCSA-N -1 1 304.312 1.846 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC000830794780 706580877 /nfs/dbraw/zinc/58/08/77/706580877.db2.gz BKINAAONYRPPHF-ORXSELOVSA-N -1 1 320.311 1.223 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)/C=C\[C@@H]2CCCO2)C1 ZINC000830796120 706581504 /nfs/dbraw/zinc/58/15/04/706581504.db2.gz WKWNIQWUNVYVTM-GQUPLCJFSA-N -1 1 320.311 1.391 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@H]2CCCOC2)C1 ZINC000830801148 706582150 /nfs/dbraw/zinc/58/21/50/706582150.db2.gz IBHXRTORORKGIH-ZWNOBZJWSA-N -1 1 322.327 1.473 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCCOC2)C1 ZINC000830826523 706587768 /nfs/dbraw/zinc/58/77/68/706587768.db2.gz FAUVLHWBCHANMF-CABZTGNLSA-N -1 1 308.300 1.083 20 0 DDADMM C[C@@H](CCO)C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866892631 706836664 /nfs/dbraw/zinc/83/66/64/706836664.db2.gz GBMMUYYBRRDCHQ-ZETCQYMHSA-N -1 1 313.206 1.685 20 0 DDADMM CC(C)(C)c1csc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000866901815 706840258 /nfs/dbraw/zinc/84/02/58/706840258.db2.gz PECIYVNQIYWPFD-SECBINFHSA-N -1 1 320.422 1.974 20 0 DDADMM C[S@](=N)(=O)N1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000832201455 706868919 /nfs/dbraw/zinc/86/89/19/706868919.db2.gz HSTFIVKLEDGXHW-HXUWFJFHSA-N -1 1 317.798 1.395 20 0 DDADMM O=S(=O)([N-]CCN1CCC1)c1cc(Cl)cnc1Cl ZINC000867001882 706869088 /nfs/dbraw/zinc/86/90/88/706869088.db2.gz USDFSWYMZOULGT-UHFFFAOYSA-N -1 1 310.206 1.372 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])[C@@H]1OCCO[C@@H]1C(F)(F)F ZINC000867006217 706870275 /nfs/dbraw/zinc/87/02/75/706870275.db2.gz DZIHWKRTPNQHPO-ZJUUUORDSA-N -1 1 309.215 1.816 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@@H](CO)CC1)c1ccc(Cl)nc1F ZINC000867015829 706872774 /nfs/dbraw/zinc/87/27/74/706872774.db2.gz HIUYBGYXOVYGSC-DTORHVGOSA-N -1 1 322.789 1.704 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@H]1CCCc2sccc21 ZINC000867115404 706901240 /nfs/dbraw/zinc/90/12/40/706901240.db2.gz MOKBBSXVXJNBSE-VIFPVBQESA-N -1 1 308.450 1.688 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H](C)c1ccncc1OC ZINC000867156018 706911198 /nfs/dbraw/zinc/91/11/98/706911198.db2.gz JBMSNFXZPBBLBF-HOGDKLEQSA-N -1 1 321.424 1.103 20 0 DDADMM CC[C@@]1([N-]S(=O)(=O)c2nc[nH]c2Br)CCOC1 ZINC000867183889 706917465 /nfs/dbraw/zinc/91/74/65/706917465.db2.gz NWGWEUHDLIPLCE-SECBINFHSA-N -1 1 324.200 1.020 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C(C)(C)O ZINC000867180277 706917610 /nfs/dbraw/zinc/91/76/10/706917610.db2.gz GFEKNQPRKYWROY-JTQLQIEISA-N -1 1 308.350 1.434 20 0 DDADMM CO[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867283160 706947518 /nfs/dbraw/zinc/94/75/18/706947518.db2.gz YEISWGXLZIORAB-RNFRBKRXSA-N -1 1 315.317 1.141 20 0 DDADMM O=S(=O)([N-]CC1(CF)CCOCC1)c1ccc(F)nc1F ZINC000867351029 706971146 /nfs/dbraw/zinc/97/11/46/706971146.db2.gz XXUZQKBHDABMNE-UHFFFAOYSA-N -1 1 324.324 1.404 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](O)[C@@H](C)c1ccccc1F)c1nn[n-]n1 ZINC000867380561 706981435 /nfs/dbraw/zinc/98/14/35/706981435.db2.gz HOELSDGAYOYXFB-NRUUGDAUSA-N -1 1 321.356 1.065 20 0 DDADMM CC(C)n1cc(C[N-]S(=O)(=O)c2cccnc2Cl)nn1 ZINC000867478267 707013899 /nfs/dbraw/zinc/01/38/99/707013899.db2.gz DZQDHNATMLLHKA-UHFFFAOYSA-N -1 1 315.786 1.386 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])C[N@@H+]1CCC[N-]C(=O)C(F)(F)F ZINC000833828800 707015406 /nfs/dbraw/zinc/01/54/06/707015406.db2.gz DYSKXOPXUXBPPC-BDAKNGLRSA-N -1 1 311.304 1.033 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])C[N@H+]1CCC[N-]C(=O)C(F)(F)F ZINC000833828800 707015408 /nfs/dbraw/zinc/01/54/08/707015408.db2.gz DYSKXOPXUXBPPC-BDAKNGLRSA-N -1 1 311.304 1.033 20 0 DDADMM CCCCOC(=O)C(C)(C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000867486907 707016268 /nfs/dbraw/zinc/01/62/68/707016268.db2.gz ADLXNIMSDJRDHJ-UHFFFAOYSA-N -1 1 320.436 1.852 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CCCC12CC2 ZINC000867568266 707042493 /nfs/dbraw/zinc/04/24/93/707042493.db2.gz GVHHGLVXLUKANM-LLVKDONJSA-N -1 1 308.469 1.909 20 0 DDADMM CC(C)C[C@]1(C(=O)Nc2nc(Cl)ccc2[O-])CCNC1=O ZINC000867713599 707082823 /nfs/dbraw/zinc/08/28/23/707082823.db2.gz TWCQEHIWSZWZIA-CQSZACIVSA-N -1 1 311.769 1.932 20 0 DDADMM CCCN1C[C@H](C(=O)NCc2ccc([O-])c(Cl)c2)CC1=O ZINC000834823167 707130581 /nfs/dbraw/zinc/13/05/81/707130581.db2.gz UYROOVCPLLNICP-LLVKDONJSA-N -1 1 310.781 1.920 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C1CCS(=O)(=O)CC1 ZINC000834829139 707132657 /nfs/dbraw/zinc/13/26/57/707132657.db2.gz LSCFREAFCUYWMC-UHFFFAOYSA-N -1 1 317.794 1.487 20 0 DDADMM O=C([O-])Cn1cc(CN2CC[C@@]3(CCc4ccccc43)C2)nn1 ZINC000871621049 707141579 /nfs/dbraw/zinc/14/15/79/707141579.db2.gz RTUKRWHNKJCELB-KRWDZBQOSA-N -1 1 312.373 1.453 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)CCCC(C)C ZINC000835292374 707215007 /nfs/dbraw/zinc/21/50/07/707215007.db2.gz IJFXTDGCXGYOBD-UHFFFAOYSA-N -1 1 306.366 1.638 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H]1C=CCC1 ZINC000881492823 707278112 /nfs/dbraw/zinc/27/81/12/707278112.db2.gz MCCCRMDNSNNMOO-LBPRGKRZSA-N -1 1 300.362 1.677 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)CCC1CCCCC1)N(C)C ZINC000835939468 707352955 /nfs/dbraw/zinc/35/29/55/707352955.db2.gz KJIVTRBWKHEJRX-AWEZNQCLSA-N -1 1 318.483 1.989 20 0 DDADMM CCCn1cc([N-]S(=O)(=O)c2cc(C)ns2)ccc1=O ZINC000872342396 707386173 /nfs/dbraw/zinc/38/61/73/707386173.db2.gz NLHIAXUZDQMXPL-UHFFFAOYSA-N -1 1 313.404 1.824 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1cccc(OC)c1F ZINC000872364711 707391156 /nfs/dbraw/zinc/39/11/56/707391156.db2.gz RVGXJWMEZFBONE-UHFFFAOYSA-N -1 1 324.399 1.999 20 0 DDADMM O=C([O-])Cn1ccc(NC(=O)[C@H]2CCCCN2C2CCCC2)n1 ZINC000909159540 712949781 /nfs/dbraw/zinc/94/97/81/712949781.db2.gz NBRSDQWKBZGIBQ-CYBMUJFWSA-N -1 1 320.393 1.703 20 0 DDADMM O=C([O-])Cn1ccc(NC(=O)[C@@H]2CCCCN2C2CCCC2)n1 ZINC000909159541 712949787 /nfs/dbraw/zinc/94/97/87/712949787.db2.gz NBRSDQWKBZGIBQ-ZDUSSCGKSA-N -1 1 320.393 1.703 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCCSC1 ZINC000872458024 707422383 /nfs/dbraw/zinc/42/23/83/707422383.db2.gz HQZBLPFUYLXNHH-XYZCENFISA-N -1 1 314.498 1.613 20 0 DDADMM CCCN(C(=O)NCc1ccc2cncn2c1)[C@H](C)C(=O)[O-] ZINC000909171806 712953360 /nfs/dbraw/zinc/95/33/60/712953360.db2.gz PJBYYEGBGCONCI-LLVKDONJSA-N -1 1 304.350 1.729 20 0 DDADMM O=C([O-])[C@@]1(NC(=O)NCc2ccc3cncn3c2)CCSC1 ZINC000909173169 712954124 /nfs/dbraw/zinc/95/41/24/712954124.db2.gz YJLKISZVKRQAJT-CQSZACIVSA-N -1 1 320.374 1.094 20 0 DDADMM CN(CC(=O)NC[C@H](Cc1ccccc1)C(=O)[O-])C1CCC1 ZINC000909182853 712956708 /nfs/dbraw/zinc/95/67/08/712956708.db2.gz OFHPPTJNLGAABU-AWEZNQCLSA-N -1 1 304.390 1.530 20 0 DDADMM O=C(Cc1ccccc1OC1CCCC1)NN1CC(=O)[N-]C1=O ZINC000837348394 707625775 /nfs/dbraw/zinc/62/57/75/707625775.db2.gz ONBRBXVLVZFBEM-UHFFFAOYSA-N -1 1 317.345 1.134 20 0 DDADMM C[C@H]1CC[C@@](C)(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)C1(C)C ZINC000837403982 707638655 /nfs/dbraw/zinc/63/86/55/707638655.db2.gz IKMYSRYGYPRCNO-PGUXBMHVSA-N -1 1 307.398 1.562 20 0 DDADMM O=S(=O)([N-]c1cccnc1Cl)c1cnn2c1OCCC2 ZINC000882659346 707735614 /nfs/dbraw/zinc/73/56/14/707735614.db2.gz AQAPREAFOBSQTD-UHFFFAOYSA-N -1 1 314.754 1.515 20 0 DDADMM O=C(NC1(CO)CCSCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000838012122 707811663 /nfs/dbraw/zinc/81/16/63/707811663.db2.gz NFLXSGMTFNADPT-UHFFFAOYSA-N -1 1 324.431 1.006 20 0 DDADMM O=C(N[C@H]1CC(=O)N(CC2CC2)C1)c1c([O-])cccc1Cl ZINC000882921635 707851018 /nfs/dbraw/zinc/85/10/18/707851018.db2.gz MGWGRGKSRGGCKM-JTQLQIEISA-N -1 1 308.765 1.786 20 0 DDADMM Cc1cc(C(F)(F)F)nn1CCNC(=O)c1cncc([O-])c1 ZINC000927466546 712989044 /nfs/dbraw/zinc/98/90/44/712989044.db2.gz ATVLEQJIZDUAES-UHFFFAOYSA-N -1 1 314.267 1.741 20 0 DDADMM CC[C@H](OC(=O)c1ccc(S(=O)(=O)[N-]C)o1)c1ccncc1 ZINC000839010664 708014757 /nfs/dbraw/zinc/01/47/57/708014757.db2.gz JMUDAAQITKLDMB-NSHDSACASA-N -1 1 324.358 1.891 20 0 DDADMM CC(C)N1CCC[C@@H]1C(=O)N[C@@H](C(=O)[O-])c1ccccc1F ZINC000909359078 712999945 /nfs/dbraw/zinc/99/99/45/712999945.db2.gz FZOUQKBEMHPDJA-ZIAGYGMSSA-N -1 1 308.353 1.940 20 0 DDADMM CN(C)CC(=O)N[C@@H](Cc1cc(Cl)cc(Cl)c1)C(=O)[O-] ZINC000909390318 713006697 /nfs/dbraw/zinc/00/66/97/713006697.db2.gz WZXYGSBKNXOLPB-NSHDSACASA-N -1 1 319.188 1.667 20 0 DDADMM Cc1ccc2c(c1)CC[C@@H]2NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909401247 713008793 /nfs/dbraw/zinc/00/87/93/713008793.db2.gz OLVXLLHESXLVRR-HOCLYGCPSA-N -1 1 316.401 1.895 20 0 DDADMM O=C(C1C[C@H]2CC[C@@H](C1)S2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000897161847 708241982 /nfs/dbraw/zinc/24/19/82/708241982.db2.gz PQPXBRDCYMHALO-YEJSDXFRSA-N -1 1 307.423 1.580 20 0 DDADMM COC(=O)[C@H](C)N(C)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897634859 708402151 /nfs/dbraw/zinc/40/21/51/708402151.db2.gz QMODZTCMCFYUCQ-VIFPVBQESA-N -1 1 303.314 1.885 20 0 DDADMM O=S(=O)([N-]CCN1CCc2ccccc2C1)c1ccns1 ZINC000884917746 708419672 /nfs/dbraw/zinc/41/96/72/708419672.db2.gz MFAWVLJATMAJBZ-UHFFFAOYSA-N -1 1 323.443 1.480 20 0 DDADMM O=S(=O)([N-]C[C@@H]1COC2(CCCC2)O1)c1ccns1 ZINC000885084711 708466628 /nfs/dbraw/zinc/46/66/28/708466628.db2.gz DGDLFONNNNGYIN-SECBINFHSA-N -1 1 304.393 1.107 20 0 DDADMM COc1ccc2c(c1)CC[C@@H]([N-]S(=O)(=O)c1ccns1)C2 ZINC000885102568 708473182 /nfs/dbraw/zinc/47/31/82/708473182.db2.gz UOWAFDQUEFXKLA-GFCCVEGCSA-N -1 1 324.427 1.988 20 0 DDADMM CCC[C@H](C[N-]S(=O)(=O)c1ccns1)C(=O)OCC ZINC000885152875 708480474 /nfs/dbraw/zinc/48/04/74/708480474.db2.gz YOWVNEWQQKYIJM-SECBINFHSA-N -1 1 306.409 1.401 20 0 DDADMM CC[C@@](COC)([N-]S(=O)(=O)CCCC1CC1)C(=O)OC ZINC000885230041 708498910 /nfs/dbraw/zinc/49/89/10/708498910.db2.gz DQLKCWIZTYZEID-ZDUSSCGKSA-N -1 1 307.412 1.064 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](C)c1ccccc1 ZINC000912547153 713033952 /nfs/dbraw/zinc/03/39/52/713033952.db2.gz LAGHJCHAQCHWDA-JTQLQIEISA-N -1 1 323.374 1.278 20 0 DDADMM COC(=O)C1(CS(=O)(=O)[N-]C(CF)CF)CCCCC1 ZINC000885414301 708538972 /nfs/dbraw/zinc/53/89/72/708538972.db2.gz WRNMEKLMAUGEAR-UHFFFAOYSA-N -1 1 313.366 1.337 20 0 DDADMM COC(=O)c1ccccc1CS(=O)(=O)[N-]C(CF)CF ZINC000885417704 708540171 /nfs/dbraw/zinc/54/01/71/708540171.db2.gz AZJNNCMXJCMXDX-UHFFFAOYSA-N -1 1 307.318 1.200 20 0 DDADMM Cc1nc(N2CCN(C(=O)c3c(F)ccc([O-])c3F)CC2)n[nH]1 ZINC000898182241 708566337 /nfs/dbraw/zinc/56/63/37/708566337.db2.gz IFSZAWMLQDQKSU-UHFFFAOYSA-N -1 1 323.303 1.059 20 0 DDADMM O=C(N[C@@H]1CCCN(CCF)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000898503369 708661992 /nfs/dbraw/zinc/66/19/92/708661992.db2.gz UPXMCXACVLJWJR-LLVKDONJSA-N -1 1 323.397 1.182 20 0 DDADMM COC[C@@H]1CCN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927782961 713054884 /nfs/dbraw/zinc/05/48/84/713054884.db2.gz RSLLPPJASMDCDA-SNVBAGLBSA-N -1 1 314.332 1.891 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CC[C@@H]1c1ccc(F)cc1 ZINC000898755117 708855934 /nfs/dbraw/zinc/85/59/34/708855934.db2.gz MBADDGINSLLISE-VXGBXAGGSA-N -1 1 317.320 1.837 20 0 DDADMM CCC[C@H](C)N1C[C@@H](C(=O)[N-]OCc2cccc(C)n2)CC1=O ZINC000898936183 708929093 /nfs/dbraw/zinc/92/90/93/708929093.db2.gz PCMXJVWYTAKTPZ-KBPBESRZSA-N -1 1 319.405 1.975 20 0 DDADMM O=C(N[C@]12C[C@H]1COC21CCC1)c1cnc(C2CC2)[n-]c1=O ZINC000887122972 708963269 /nfs/dbraw/zinc/96/32/69/708963269.db2.gz RZFKVXVLGDQERG-MGPLVRAMSA-N -1 1 301.346 1.501 20 0 DDADMM COC(=O)[C@H]1C[C@@H](N(C)C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000912709904 713072924 /nfs/dbraw/zinc/07/29/24/713072924.db2.gz YGFJKSLITOKVAB-AOOOYVTPSA-N -1 1 305.334 1.083 20 0 DDADMM CCOC(=O)c1cnc(-n2[n-]c(C)c(C(C)C)c2=O)nc1C ZINC000899351304 709065164 /nfs/dbraw/zinc/06/51/64/709065164.db2.gz FWCLWLOOHLINSH-LBPRGKRZSA-N -1 1 304.350 1.956 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(N2CCCOC2=O)CC1 ZINC000887716178 709110186 /nfs/dbraw/zinc/11/01/86/709110186.db2.gz VTMQFLXCZAPZRM-UHFFFAOYSA-N -1 1 305.334 1.234 20 0 DDADMM COC(=O)[C@@H]1CCN1C(=O)c1cc(Br)ccc1[O-] ZINC000888050227 709200282 /nfs/dbraw/zinc/20/02/82/709200282.db2.gz ULBJXPMVSIDDST-VIFPVBQESA-N -1 1 314.135 1.542 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]([C@]3(C)COC(=O)N3)C2)c([O-])c1 ZINC000928019796 713104044 /nfs/dbraw/zinc/10/40/44/713104044.db2.gz MNHZTMITYXOXKD-BZNIZROVSA-N -1 1 319.361 1.446 20 0 DDADMM C[C@@]1(NC(=O)c2c([O-])cnc3c(F)cccc32)CCNC1=O ZINC000889025105 709435020 /nfs/dbraw/zinc/43/50/20/709435020.db2.gz OYOUFPQHBNNLLK-OAHLLOKOSA-N -1 1 303.293 1.088 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N3CC[C@H]3CO)c2)o1 ZINC000889165236 709456594 /nfs/dbraw/zinc/45/65/94/709456594.db2.gz VLXRCEUZAKBXLH-NSHDSACASA-N -1 1 301.298 1.672 20 0 DDADMM CO[C@@H]1CC[C@@H]1N(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000889348523 709477438 /nfs/dbraw/zinc/47/74/38/709477438.db2.gz BGJTXUAIEJTQDA-QWHCGFSZSA-N -1 1 318.377 1.230 20 0 DDADMM CSCC[C@H](NC(=O)c1cc2ccsc2[nH]1)c1nn[n-]n1 ZINC000912860500 713110027 /nfs/dbraw/zinc/11/00/27/713110027.db2.gz WUEONNMCAODFJB-QMMMGPOBSA-N -1 1 322.419 1.967 20 0 DDADMM CSCC[C@H](NC(=O)C[C@H](C)c1ccncc1)c1nn[n-]n1 ZINC000912861174 713110079 /nfs/dbraw/zinc/11/00/79/713110079.db2.gz FGIAVDBZHDSZBH-JQWIXIFHSA-N -1 1 320.422 1.699 20 0 DDADMM CSCC[C@H](NC(=O)Cc1ccc(C)nc1)c1nn[n-]n1 ZINC000912860712 713110189 /nfs/dbraw/zinc/11/01/89/713110189.db2.gz VEWOMJBBJBWSBF-NSHDSACASA-N -1 1 306.395 1.056 20 0 DDADMM COc1ccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)cc1 ZINC000912860503 713110202 /nfs/dbraw/zinc/11/02/02/713110202.db2.gz WWOXUWJIRCHPLP-NSHDSACASA-N -1 1 307.379 1.433 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1CCCSC1)c1nn[n-]n1 ZINC000912861907 713110641 /nfs/dbraw/zinc/11/06/41/713110641.db2.gz BNQHWRVBZWGZQZ-IUCAKERBSA-N -1 1 301.441 1.253 20 0 DDADMM CCO[C@H]1C[C@@](NC(=O)CN(C)C2CCC2)(C(=O)[O-])C1(C)C ZINC000909602566 709536940 /nfs/dbraw/zinc/53/69/40/709536940.db2.gz LWYIBMFAJZJGMA-BLLLJJGKSA-N -1 1 312.410 1.245 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1CCC(F)(F)C1)c1nn[n-]n1 ZINC000912862379 713110861 /nfs/dbraw/zinc/11/08/61/713110861.db2.gz OEBFIVMXNGZNGE-SFYZADRCSA-N -1 1 305.354 1.546 20 0 DDADMM O=C([O-])[C@H](CC(F)(F)F)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000909671529 709569190 /nfs/dbraw/zinc/56/91/90/709569190.db2.gz QTAIAGZJYILGAH-MUWHJKNJSA-N -1 1 305.256 1.351 20 0 DDADMM CCN(CC(=O)NC[C@@H](C(=O)[O-])c1ccc(F)cc1)C1CC1 ZINC000909703198 709587719 /nfs/dbraw/zinc/58/77/19/709587719.db2.gz NIOPLAJTFNQYFV-CQSZACIVSA-N -1 1 308.353 1.594 20 0 DDADMM C[C@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)[C@H](C(=O)[O-])C1 ZINC000909784724 709619711 /nfs/dbraw/zinc/61/97/11/709619711.db2.gz YPZLGHYAMNOTOC-XPUUQOCRSA-N -1 1 305.256 1.754 20 0 DDADMM C[C@@H]1[C@H](C(=O)[O-])CCN1C(=O)c1cn[nH]c1-c1ccccn1 ZINC000909809449 709632251 /nfs/dbraw/zinc/63/22/51/709632251.db2.gz NDOBSZMEPPVSJH-NXEZZACHSA-N -1 1 300.318 1.407 20 0 DDADMM C[C@@H]1[C@H](C(=O)[O-])CCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000909810200 709632720 /nfs/dbraw/zinc/63/27/20/709632720.db2.gz WCYFYYFMYHEXPS-BXKDBHETSA-N -1 1 300.318 1.407 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2CO[C@@H](C)C2)[n-]c1=O ZINC000889789421 709636911 /nfs/dbraw/zinc/63/69/11/709636911.db2.gz FGBSZTCBGPOGPT-AXFHLTTASA-N -1 1 307.350 1.279 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H](C)CSC)[n-]c1=O ZINC000889792061 709638215 /nfs/dbraw/zinc/63/82/15/709638215.db2.gz RTJQKWJUYYPFSW-ZJUUUORDSA-N -1 1 311.407 1.853 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccnc(C)c2)[n-]c1=O ZINC000889791434 709638221 /nfs/dbraw/zinc/63/82/21/709638221.db2.gz ZKOPFFUONONVOQ-GFCCVEGCSA-N -1 1 314.345 1.872 20 0 DDADMM C[C@H](NC(=O)[C@H]1CCCCN1C)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909819203 709638774 /nfs/dbraw/zinc/63/87/74/709638774.db2.gz ILUVHNNAZDPDJX-CWRNSKLLSA-N -1 1 318.417 1.919 20 0 DDADMM CC(C)C[C@@H](C(=O)[O-])N(C)C(=O)c1ccc(CN(C)C)nc1 ZINC000909856605 709655019 /nfs/dbraw/zinc/65/50/19/709655019.db2.gz XZDIQBXVGSGKNC-AWEZNQCLSA-N -1 1 307.394 1.715 20 0 DDADMM Cc1cccnc1[C@@H](NC(=O)CCc1nn[n-]n1)C(C)(C)C ZINC000889887268 709667635 /nfs/dbraw/zinc/66/76/35/709667635.db2.gz QXJLLYXSUDQUJS-CQSZACIVSA-N -1 1 302.382 1.739 20 0 DDADMM C[C@@H](NC(=O)CN1CCC[C@H](C(=O)[O-])C1)C1CCC(F)CC1 ZINC000909988159 709722155 /nfs/dbraw/zinc/72/21/55/709722155.db2.gz XYUDEAOXIUAUAP-ZIHBUVQPSA-N -1 1 314.401 1.816 20 0 DDADMM CO[C@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)C1CCCC1 ZINC000909989657 709723741 /nfs/dbraw/zinc/72/37/41/709723741.db2.gz DRXWNXPDKBFUHF-UONOGXRCSA-N -1 1 312.410 1.104 20 0 DDADMM CN1CCC[C@H]1C(=O)N1C[C@H](C2CCCCC2)C[C@@H]1C(=O)[O-] ZINC000910091578 709768638 /nfs/dbraw/zinc/76/86/38/709768638.db2.gz XVRVBLNDZMXSNR-QLFBSQMISA-N -1 1 308.422 1.963 20 0 DDADMM O=C([O-])[C@@H]1CC[C@@H](c2ccccc2)N1C(=O)Cc1ncn[nH]1 ZINC000910096762 709770611 /nfs/dbraw/zinc/77/06/11/709770611.db2.gz AOZPXLXVLZMPTK-RYUDHWBXSA-N -1 1 300.318 1.164 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@H]1CCCC[C@@H]1C(=O)[O-] ZINC000910140715 709788764 /nfs/dbraw/zinc/78/87/64/709788764.db2.gz LNPCIQIVBBRDSA-JKSUJKDBSA-N -1 1 318.417 1.921 20 0 DDADMM Cc1cnc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1C ZINC000910178412 709799114 /nfs/dbraw/zinc/79/91/14/709799114.db2.gz BYEVOJRNNIYMRE-ZDUSSCGKSA-N -1 1 305.378 1.319 20 0 DDADMM Cc1nsc(C)c1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910179486 709799921 /nfs/dbraw/zinc/79/99/21/709799921.db2.gz WRPBGINSJAPFBM-UHFFFAOYSA-N -1 1 311.407 1.381 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(C(=O)c2cccc3[nH]c(C)nc32)C1 ZINC000910224022 709823960 /nfs/dbraw/zinc/82/39/60/709823960.db2.gz XCHVFQFWKCRCGV-HNNXBMFYSA-N -1 1 303.318 1.187 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@@H]1C[C@H]1c1ccsc1 ZINC000910238671 709833330 /nfs/dbraw/zinc/83/33/30/709833330.db2.gz AGNRHNBNVBVKAK-WCFLWFBJSA-N -1 1 308.403 1.517 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2cnc(CC3CC3)s2)n1 ZINC000890452320 709872274 /nfs/dbraw/zinc/87/22/74/709872274.db2.gz PORSTAWOSNCVOQ-UHFFFAOYSA-N -1 1 324.387 1.986 20 0 DDADMM COC(=O)CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CCCC1 ZINC000901075416 709914791 /nfs/dbraw/zinc/91/47/91/709914791.db2.gz LHBVLDZOQGHJBQ-UHFFFAOYSA-N -1 1 319.361 1.617 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@](C)(Cc1ccc(F)cc1)C(=O)[O-] ZINC000910513810 709978348 /nfs/dbraw/zinc/97/83/48/709978348.db2.gz RUNCQRYTAUZFIN-WMLDXEAASA-N -1 1 322.380 1.812 20 0 DDADMM Cn1[nH]c(=O)c2c1CN(C(=O)c1ccc(Cl)cc1[O-])CC2 ZINC000913035021 713149378 /nfs/dbraw/zinc/14/93/78/713149378.db2.gz JZSWZFZENDRFBN-UHFFFAOYSA-N -1 1 307.737 1.683 20 0 DDADMM CCN(Cc1c(C)nn(CCC(=O)[O-])c1C)C[C@@H]1CCCO1 ZINC000901426272 710036117 /nfs/dbraw/zinc/03/61/17/710036117.db2.gz GATNDGXHWKROAJ-AWEZNQCLSA-N -1 1 309.410 1.976 20 0 DDADMM CC[C@H]1CCC[C@@]1(C)C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000890963606 710044330 /nfs/dbraw/zinc/04/43/30/710044330.db2.gz LTAALVRFEIHFMD-ZUZCIYMTSA-N -1 1 303.366 1.881 20 0 DDADMM C[C@@H]1CN(Cc2ccc(OCC(=O)[O-])cc2)C[C@]2(CCOC2)O1 ZINC000901469316 710048645 /nfs/dbraw/zinc/04/86/45/710048645.db2.gz KGRFPBVVSCPTLR-DYVFJYSZSA-N -1 1 321.373 1.530 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)NC1CCC(C(=O)[O-])CC1 ZINC000901482807 710052309 /nfs/dbraw/zinc/05/23/09/710052309.db2.gz MHBGVZSGKGMYCE-YGKZZAKBSA-N -1 1 305.378 1.835 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1COC[C@@H]1C1CC1 ZINC000891057172 710074449 /nfs/dbraw/zinc/07/44/49/710074449.db2.gz NMNMVOIZWUDUMI-VXGBXAGGSA-N -1 1 319.405 1.943 20 0 DDADMM CCc1ncc(CN[C@H](C(=O)[O-])c2ccc(OC)cc2)cn1 ZINC000901649112 710107692 /nfs/dbraw/zinc/10/76/92/710107692.db2.gz SBEVTQMFRJVARB-HNNXBMFYSA-N -1 1 301.346 1.963 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2C[C@@H](C(=O)[O-])CC[C@@H]2C)C1 ZINC000901658822 710111331 /nfs/dbraw/zinc/11/13/31/710111331.db2.gz YUKIMIIILCIPHQ-MPPDQPJWSA-N -1 1 305.378 1.787 20 0 DDADMM COc1ncc(CN(CCC(=O)[O-])C[C@@H]2CCCO2)s1 ZINC000901675943 710116090 /nfs/dbraw/zinc/11/60/90/710116090.db2.gz AGWFOTYEBCZKIJ-JTQLQIEISA-N -1 1 300.380 1.607 20 0 DDADMM C[C@H]1CCCN(C(=O)CN(C)CCc2ccccc2)[C@H]1C(=O)[O-] ZINC000901695139 710121233 /nfs/dbraw/zinc/12/12/33/710121233.db2.gz KQVSFEVVVDMFJI-WMLDXEAASA-N -1 1 318.417 1.873 20 0 DDADMM CC(C)CN1CCN(C(=O)[C@@H]2CO[C@H](CCC(=O)[O-])C2)CC1 ZINC000901739132 710135658 /nfs/dbraw/zinc/13/56/58/710135658.db2.gz OTXFJXMMGBICDD-UONOGXRCSA-N -1 1 312.410 1.057 20 0 DDADMM CCn1ncnc1CN[C@H](Cc1cccc(Cl)c1)C(=O)[O-] ZINC000901867003 710162707 /nfs/dbraw/zinc/16/27/07/710162707.db2.gz DXYHECNADXJFJI-GFCCVEGCSA-N -1 1 308.769 1.737 20 0 DDADMM O=C([O-])[C@@H]1CSCCN(Cc2cc3c(cn2)OCCO3)C1 ZINC000901892440 710166957 /nfs/dbraw/zinc/16/69/57/710166957.db2.gz WHXCNVRBYYLRJM-JTQLQIEISA-N -1 1 310.375 1.102 20 0 DDADMM COCc1nc(N(C)C[C@H]2COc3ccccc3O2)cc(=O)[n-]1 ZINC000891482171 710214970 /nfs/dbraw/zinc/21/49/70/710214970.db2.gz SWUWDLSNOKZYMZ-NSHDSACASA-N -1 1 317.345 1.605 20 0 DDADMM CCOC(=O)N1CCC(Nc2cc(=O)[n-]c(COC)n2)CC1 ZINC000891502322 710220864 /nfs/dbraw/zinc/22/08/64/710220864.db2.gz GGRDDSOVCOVKES-UHFFFAOYSA-N -1 1 310.354 1.361 20 0 DDADMM CCOc1ccccc1C(F)(F)C(=O)[N-]c1ccc(=O)n(C)n1 ZINC000891647717 710256804 /nfs/dbraw/zinc/25/68/04/710256804.db2.gz WMVNGWCTAWZQJL-UHFFFAOYSA-N -1 1 323.299 1.910 20 0 DDADMM COCc1nc(N2CCC[C@H](c3nc(C)no3)C2)cc(=O)[n-]1 ZINC000891947062 710334896 /nfs/dbraw/zinc/33/48/96/710334896.db2.gz ARZRXSCGHAHMNF-JTQLQIEISA-N -1 1 305.338 1.404 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCc2c(O)cccc2C1 ZINC000913171382 713175722 /nfs/dbraw/zinc/17/57/22/713175722.db2.gz KTFINSVEGSMJPI-UHFFFAOYSA-N -1 1 311.341 1.964 20 0 DDADMM COCc1nc(N[C@H]2CCN(c3ccccc3)C2=O)cc(=O)[n-]1 ZINC000892443922 710438181 /nfs/dbraw/zinc/43/81/81/710438181.db2.gz MIZNETMBTPZUCV-LBPRGKRZSA-N -1 1 314.345 1.546 20 0 DDADMM COCc1nc(N(C)[C@@H](CCO)c2ccccc2)cc(=O)[n-]1 ZINC000892762200 710493853 /nfs/dbraw/zinc/49/38/53/710493853.db2.gz BGDZBYDKMBAVLQ-ZDUSSCGKSA-N -1 1 303.362 1.889 20 0 DDADMM CN(C(=O)Cc1cccc(OC(F)(F)F)c1)c1nn[n-]n1 ZINC000892954654 710531698 /nfs/dbraw/zinc/53/16/98/710531698.db2.gz PAKYKQHDBLBIOU-UHFFFAOYSA-N -1 1 301.228 1.304 20 0 DDADMM CC(C)CN1CCO[C@@H](CNC(=O)C(C)(C)CCC(=O)[O-])C1 ZINC000911118371 710642834 /nfs/dbraw/zinc/64/28/34/710642834.db2.gz LUUCEHCKSQHMOA-ZDUSSCGKSA-N -1 1 314.426 1.350 20 0 DDADMM O=C([O-])c1cc(C(=O)N2CCN(C3CCCCC3)CC2)no1 ZINC000911154432 710658618 /nfs/dbraw/zinc/65/86/18/710658618.db2.gz NSDPKXXAPNMCAK-UHFFFAOYSA-N -1 1 307.350 1.463 20 0 DDADMM CCCCCc1cc(C(=O)N2CCC[N@H+](CC(=O)[O-])CC2)n[nH]1 ZINC000911215224 710689744 /nfs/dbraw/zinc/68/97/44/710689744.db2.gz IIVYIKPXZJEDMB-UHFFFAOYSA-N -1 1 322.409 1.375 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)NCCCNc2ccccc2)C1 ZINC000911301581 710733881 /nfs/dbraw/zinc/73/38/81/710733881.db2.gz OFQARVXLVVSRAB-CQSZACIVSA-N -1 1 319.405 1.401 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCCNc2ccccc2)C1 ZINC000911301581 710733886 /nfs/dbraw/zinc/73/38/86/710733886.db2.gz OFQARVXLVVSRAB-CQSZACIVSA-N -1 1 319.405 1.401 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2ccc(C)c(C(=O)[O-])c2)C1 ZINC000911352613 710757594 /nfs/dbraw/zinc/75/75/94/710757594.db2.gz OMNOEVXVLNWISD-CQSZACIVSA-N -1 1 320.389 1.534 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](C(=O)Nc2cc(Cl)c(O)cc2F)O1 ZINC000911421815 710791722 /nfs/dbraw/zinc/79/17/22/710791722.db2.gz RQPUMNKVLQHQKP-ZJUUUORDSA-N -1 1 303.673 1.755 20 0 DDADMM COCc1nc(NC[C@@H]2CCC3(CCOCC3)[C@@H]2O)cc(=O)[n-]1 ZINC000893723174 710796237 /nfs/dbraw/zinc/79/62/37/710796237.db2.gz AZNBUKOSKATTEQ-XHDPSFHLSA-N -1 1 323.393 1.308 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)N2CC=C(c3ccccc3)C2)C1 ZINC000911459792 710807882 /nfs/dbraw/zinc/80/78/82/710807882.db2.gz ABJRMJDWOBARLH-INIZCTEOSA-N -1 1 314.385 1.709 20 0 DDADMM COCc1nc(N2CCC[C@H](CCNC(C)=O)C2)cc(=O)[n-]1 ZINC000893865554 710862871 /nfs/dbraw/zinc/86/28/71/710862871.db2.gz CGZKSNUOZGMUIM-GFCCVEGCSA-N -1 1 308.382 1.071 20 0 DDADMM O=C(C=C1CCSCC1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913438733 713215892 /nfs/dbraw/zinc/21/58/92/713215892.db2.gz UCYPIYJIRBNPSR-AWEZNQCLSA-N -1 1 315.402 1.859 20 0 DDADMM COCc1nc(N2CCC3(CCC(O)CC3)CC2)cc(=O)[n-]1 ZINC000894015366 710928507 /nfs/dbraw/zinc/92/85/07/710928507.db2.gz IZVVTIQXRYEXGC-UHFFFAOYSA-N -1 1 307.394 1.850 20 0 DDADMM O=C([O-])C1(C(=O)N2CCC[C@@H](CN3CCOCC3)C2)CCCC1 ZINC000911742048 710960451 /nfs/dbraw/zinc/96/04/51/710960451.db2.gz RSLZSXMMDJIMOZ-AWEZNQCLSA-N -1 1 324.421 1.202 20 0 DDADMM O=C([O-])C1(C(=O)N2CC[C@H](c3nc[nH]n3)C2)CC2(CCC2)C1 ZINC000911770533 710975548 /nfs/dbraw/zinc/97/55/48/710975548.db2.gz ADEKFDSGLMLFAJ-JTQLQIEISA-N -1 1 304.350 1.156 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1c(C)ccnc1C ZINC000903124934 711040343 /nfs/dbraw/zinc/04/03/43/711040343.db2.gz XBZWOKNCQNIARW-UHFFFAOYSA-N -1 1 305.425 1.863 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cc(C)nnc1N(C)C)C1CC1 ZINC000903176107 711055546 /nfs/dbraw/zinc/05/55/46/711055546.db2.gz LJJLMQCAYRMQNP-GFCCVEGCSA-N -1 1 314.411 1.018 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cccc(C2OCCO2)c1 ZINC000903197627 711060896 /nfs/dbraw/zinc/06/08/96/711060896.db2.gz RDUORHACPBCFIT-UHFFFAOYSA-N -1 1 320.392 1.116 20 0 DDADMM Cn1cc([C@@H]2CN(C(=O)c3c(F)ccc([O-])c3F)CCN2)cn1 ZINC000913461913 713226775 /nfs/dbraw/zinc/22/67/75/713226775.db2.gz WCQBVVDXOGOMSB-NSHDSACASA-N -1 1 322.315 1.191 20 0 DDADMM O=C([O-])c1ccc(CN2CC[C@H](NC(=O)NC3CC3)C2)s1 ZINC000903683070 711244190 /nfs/dbraw/zinc/24/41/90/711244190.db2.gz GZIZXNDULOEXDT-JTQLQIEISA-N -1 1 309.391 1.482 20 0 DDADMM O=C([O-])[C@@H]1c2ccccc2CCN1Cc1[nH]nc2c1COCC2 ZINC000903707163 711249562 /nfs/dbraw/zinc/24/95/62/711249562.db2.gz SARLRLHCCPWWAN-INIZCTEOSA-N -1 1 313.357 1.666 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)NCC1CCCCC1 ZINC000903711855 711250457 /nfs/dbraw/zinc/25/04/57/711250457.db2.gz MYVHZRNTJMZBAT-ZDUSSCGKSA-N -1 1 314.426 1.495 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)NCc1ccccc1C ZINC000903711862 711250526 /nfs/dbraw/zinc/25/05/26/711250526.db2.gz NKZRGDLMUFZIAU-UHFFFAOYSA-N -1 1 308.378 1.034 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H]1CCN(c2ccccc2F)C1=O ZINC000903712189 711250925 /nfs/dbraw/zinc/25/09/25/711250925.db2.gz SFHFAAOHTYMLAZ-CQSZACIVSA-N -1 1 324.352 1.354 20 0 DDADMM COc1ccnc(CN[C@@H]2C[C@@H](NC(=O)[O-])C23CCC3)c1F ZINC000904251786 711418408 /nfs/dbraw/zinc/41/84/08/711418408.db2.gz OYBQVYJDJMTPGJ-VXGBXAGGSA-N -1 1 309.341 1.898 20 0 DDADMM COCc1nc(N2CCC[C@@H](c3ccn(C)n3)C2)cc(=O)[n-]1 ZINC000895642329 711567266 /nfs/dbraw/zinc/56/72/66/711567266.db2.gz SXOUKBOQJURVTR-LLVKDONJSA-N -1 1 303.366 1.446 20 0 DDADMM CN(CC[NH2+]Cc1ncc(Br)cc1[O-])CC(F)F ZINC000895670729 711574113 /nfs/dbraw/zinc/57/41/13/711574113.db2.gz DXZBIOITWXCCQR-UHFFFAOYSA-N -1 1 324.169 1.836 20 0 DDADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)CCC(C)(C)C1CC1 ZINC000905181934 711961312 /nfs/dbraw/zinc/96/13/12/711961312.db2.gz HJKXJDDRYPMFRE-UHFFFAOYSA-N -1 1 320.455 1.091 20 0 DDADMM COc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)ccc1F ZINC000913744013 713292835 /nfs/dbraw/zinc/29/28/35/713292835.db2.gz WCKIYFCTXRTPEJ-UHFFFAOYSA-N -1 1 305.313 1.367 20 0 DDADMM O=C(Cc1cccnc1Cl)N1CCC(c2nn[n-]n2)CC1 ZINC000913745572 713293752 /nfs/dbraw/zinc/29/37/52/713293752.db2.gz KFMYDORBENLLDZ-UHFFFAOYSA-N -1 1 306.757 1.197 20 0 DDADMM O=C(Cc1ccc2cccnc2c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746704 713294438 /nfs/dbraw/zinc/29/44/38/713294438.db2.gz FFXDRFRPYCVUNJ-UHFFFAOYSA-N -1 1 322.372 1.697 20 0 DDADMM Cc1cc([C@@H](NCCS(=O)(=O)C(C)C)C(=O)[O-])ccc1F ZINC000905384890 712023275 /nfs/dbraw/zinc/02/32/75/712023275.db2.gz JZTCSCFUTJHTJF-CYBMUJFWSA-N -1 1 317.382 1.673 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1cnc2c(c1)CCCC2 ZINC000906062585 712234478 /nfs/dbraw/zinc/23/44/78/712234478.db2.gz QGGDTYWAGWMZQT-IBGZPJMESA-N -1 1 317.436 1.735 20 0 DDADMM C[N@H+]1CCN(c2cc(C(=O)[O-])ncn2)C[C@H]1Cc1ccccc1 ZINC000906145974 712253872 /nfs/dbraw/zinc/25/38/72/712253872.db2.gz YUOGCZAHTBTXDD-CQSZACIVSA-N -1 1 312.373 1.538 20 0 DDADMM CN1CCN(c2cc(C(=O)[O-])ncn2)C[C@H]1Cc1ccccc1 ZINC000906145974 712253873 /nfs/dbraw/zinc/25/38/73/712253873.db2.gz YUOGCZAHTBTXDD-CQSZACIVSA-N -1 1 312.373 1.538 20 0 DDADMM C[C@H]1CSCCN1S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906875699 712426253 /nfs/dbraw/zinc/42/62/53/712426253.db2.gz SNOWDEIIWKBFLY-QMMMGPOBSA-N -1 1 317.388 1.216 20 0 DDADMM O=C(C[C@@H](O)C(Cl)(Cl)Cl)[N-]OCC(F)(F)F ZINC000907049215 712474565 /nfs/dbraw/zinc/47/45/65/712474565.db2.gz RQVXFPRELJTIGJ-GSVOUGTGSA-N -1 1 304.479 1.718 20 0 DDADMM CC(=O)[C@H]1CC[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000907433661 712571333 /nfs/dbraw/zinc/57/13/33/712571333.db2.gz SGFACHZSCDPIDP-MGCOHNPYSA-N -1 1 318.345 1.786 20 0 DDADMM CC(C)(C)C[C@H](O)CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481423 712584080 /nfs/dbraw/zinc/58/40/80/712584080.db2.gz WEVOTAANHMYQDH-ZJUUUORDSA-N -1 1 313.427 1.003 20 0 DDADMM CC(=Cc1cccnc1)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481930 712584084 /nfs/dbraw/zinc/58/40/84/712584084.db2.gz ZJDKRKGCNMBFMC-UMAGTOLTSA-N -1 1 316.390 1.315 20 0 DDADMM C[C@@H](CC(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccco1 ZINC000907481694 712584100 /nfs/dbraw/zinc/58/41/00/712584100.db2.gz JYBWRJAGQAMYJR-UWVGGRQHSA-N -1 1 307.379 1.603 20 0 DDADMM C[C@@H](CC(F)(F)F)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481757 712584113 /nfs/dbraw/zinc/58/41/13/712584113.db2.gz YSFXFIUZJLAZIZ-BQBZGAKWSA-N -1 1 309.317 1.405 20 0 DDADMM CO[C@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)CCO1 ZINC000907617879 712603903 /nfs/dbraw/zinc/60/39/03/712603903.db2.gz OXVUAXSWPXDTAM-GFCCVEGCSA-N -1 1 306.293 1.524 20 0 DDADMM C[C@@H]1CN(C(=O)[C@]2(C(=O)[O-])C[C@H]2c2ccccc2)[C@@H](C)CN1C ZINC000907831544 712632601 /nfs/dbraw/zinc/63/26/01/712632601.db2.gz JFHDXQJJCWHABD-SDCOAXJVSA-N -1 1 316.401 1.796 20 0 DDADMM C[C@@H]1OCC[C@@]1(O)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907843783 712634701 /nfs/dbraw/zinc/63/47/01/712634701.db2.gz BECSPVOXRZVABL-XXFAHNHDSA-N -1 1 320.320 1.349 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CC(=O)N(C2CC2)C1 ZINC000907861018 712637418 /nfs/dbraw/zinc/63/74/18/712637418.db2.gz RRGZEEIVNDGBOU-UHFFFAOYSA-N -1 1 315.304 1.484 20 0 DDADMM O=C(NCCCO[C@@H]1CCCCO1)c1cnc(C2CC2)[n-]c1=O ZINC000907895885 712643417 /nfs/dbraw/zinc/64/34/17/712643417.db2.gz SOBZXFLLRUSOIL-CYBMUJFWSA-N -1 1 321.377 1.723 20 0 DDADMM O=C(C1[C@H]2CCCCCC[C@H]12)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907942357 712652490 /nfs/dbraw/zinc/65/24/90/712652490.db2.gz WQHNTUDAQZSDJQ-RYUDHWBXSA-N -1 1 319.409 1.226 20 0 DDADMM O=C(Cc1[nH]nc2c1CCCC2)N[C@H]1C[C@H]2C[C@H](C(=O)[O-])C[C@H]21 ZINC000907963949 712656192 /nfs/dbraw/zinc/65/61/92/712656192.db2.gz BEINIGPFJPIICV-JCRCQARHSA-N -1 1 317.389 1.447 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000907996176 712662697 /nfs/dbraw/zinc/66/26/97/712662697.db2.gz IOTAWLGVYRHFEI-NXEZZACHSA-N -1 1 305.407 1.926 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C(=O)O1 ZINC000908207365 712710367 /nfs/dbraw/zinc/71/03/67/712710367.db2.gz FTAORJWAYNRXFF-OIBJUYFYSA-N -1 1 304.277 1.513 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2cccnc2C)sc1C ZINC000908358145 712751308 /nfs/dbraw/zinc/75/13/08/712751308.db2.gz PSYPGLXUOIZVDK-UHFFFAOYSA-N -1 1 311.432 1.984 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)CCCCc1c[nH]nn1 ZINC000908613951 712822888 /nfs/dbraw/zinc/82/28/88/712822888.db2.gz YYADIGGWUZCPJQ-UBHSHLNASA-N -1 1 320.393 1.762 20 0 DDADMM C[C@@H](CCc1ccco1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908700950 712837767 /nfs/dbraw/zinc/83/77/67/712837767.db2.gz RVQXZAMCQHRFRA-QWHCGFSZSA-N -1 1 308.378 1.514 20 0 DDADMM COC[C@H](OC)C(=O)Nc1cccc([O-])c1Br ZINC000908709642 712839071 /nfs/dbraw/zinc/83/90/71/712839071.db2.gz IOGCZBHRPNMUTJ-VIFPVBQESA-N -1 1 304.140 1.755 20 0 DDADMM CN1C(=O)CC[C@H]1C(=O)Nc1cccc([O-])c1Br ZINC000908710562 712839317 /nfs/dbraw/zinc/83/93/17/712839317.db2.gz SRZYITJDACRXJB-QMMMGPOBSA-N -1 1 313.151 1.714 20 0 DDADMM C[C@@H](Cc1cccs1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908783777 712853922 /nfs/dbraw/zinc/85/39/22/712853922.db2.gz YJMXATKYHWAAJN-RYUDHWBXSA-N -1 1 310.419 1.592 20 0 DDADMM O=C([O-])C1(NC(=O)NCCN2CCc3ccccc3C2)CCC1 ZINC000908808732 712858679 /nfs/dbraw/zinc/85/86/79/712858679.db2.gz JQQCCEXBXPHQEO-UHFFFAOYSA-N -1 1 317.389 1.351 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H](C(=O)[O-])C1CC1)c1ccsc1 ZINC000908815868 712860681 /nfs/dbraw/zinc/86/06/81/712860681.db2.gz ZNQLYQSLVUEYQS-RYUDHWBXSA-N -1 1 311.407 1.513 20 0 DDADMM CC1(C)[C@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)[C@H]2CCCO[C@H]21 ZINC000908824558 712862643 /nfs/dbraw/zinc/86/26/43/712862643.db2.gz IFCDAZAPTZNWJZ-CTHBEMJXSA-N -1 1 324.421 1.103 20 0 DDADMM O=C([O-])CCN(C(=O)c1cccc(-c2nnc[nH]2)c1)C1CC1 ZINC000908869988 712874746 /nfs/dbraw/zinc/87/47/46/712874746.db2.gz FRXKOPUGFQYIHH-UHFFFAOYSA-N -1 1 300.318 1.551 20 0 DDADMM C[C@@H](Cn1ccnc1)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000914198094 713357628 /nfs/dbraw/zinc/35/76/28/713357628.db2.gz SELDLDFPOAHFAC-JTQLQIEISA-N -1 1 324.331 1.797 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@@H]1CCCOC1 ZINC000914292966 713371671 /nfs/dbraw/zinc/37/16/71/713371671.db2.gz DKKDTWHOBJIQEE-JTQLQIEISA-N -1 1 314.332 1.939 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)CC2(F)CC2)CCCC(C)(C)C1 ZINC000917998918 713523897 /nfs/dbraw/zinc/52/38/97/713523897.db2.gz OCPPPVIVATYWNP-CQSZACIVSA-N -1 1 321.414 1.920 20 0 DDADMM CCOC(=O)[C@H](CC=C(C)C)[N-]S(=O)(=O)CC1(F)CC1 ZINC000919081710 713580996 /nfs/dbraw/zinc/58/09/96/713580996.db2.gz NUUKJTKBGCNSMG-NSHDSACASA-N -1 1 307.387 1.696 20 0 DDADMM C[C@H](CN(C)[C@@H](C)CS(C)(=O)=O)C(=O)c1ccc([O-])cc1 ZINC000929627603 713654315 /nfs/dbraw/zinc/65/43/15/713654315.db2.gz ZUCRFEFGGJSUHW-NEPJUHHUSA-N -1 1 313.419 1.576 20 0 DDADMM CN(C)Cc1csc(C[N-]S(=O)(=O)c2cscn2)n1 ZINC000921093462 713713468 /nfs/dbraw/zinc/71/34/68/713713468.db2.gz OOTAWOSJDBLZLP-UHFFFAOYSA-N -1 1 318.449 1.140 20 0 DDADMM COC(=O)/C(C)=C\C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000921221437 713723098 /nfs/dbraw/zinc/72/30/98/713723098.db2.gz QKJOVLZJGZJJPF-YVMONPNESA-N -1 1 321.757 1.877 20 0 DDADMM CCN1CCC[C@@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1=O ZINC000921492189 713786853 /nfs/dbraw/zinc/78/68/53/713786853.db2.gz GSLBFDVFMYTNLO-SNVBAGLBSA-N -1 1 318.345 1.254 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@@H]1CCCN(C2CC2)C1=O ZINC000930469398 713842989 /nfs/dbraw/zinc/84/29/89/713842989.db2.gz HEORBPSBAZNTPV-ZETCQYMHSA-N -1 1 317.271 1.163 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H](C1CC1)[C@H]1CCCOC1 ZINC000921849441 713885428 /nfs/dbraw/zinc/88/54/28/713885428.db2.gz KOEQKXMWTIYORS-ZKTNFTSUSA-N -1 1 324.468 1.144 20 0 DDADMM C[C@@H]1C(=O)N[C@@H](C)[C@H](C)N1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000930838566 713933770 /nfs/dbraw/zinc/93/37/70/713933770.db2.gz ZYZCDWHYEXWKQB-GARJFASQSA-N -1 1 316.357 1.596 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NOC[C@@H]1CCOC1 ZINC000922150415 713974806 /nfs/dbraw/zinc/97/48/06/713974806.db2.gz SQDISOQKIGWLBL-SECBINFHSA-N -1 1 316.304 1.480 20 0 DDADMM CSCCONC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922288869 714012655 /nfs/dbraw/zinc/01/26/55/714012655.db2.gz HIGBSPIPILLMIK-UHFFFAOYSA-N -1 1 306.334 1.807 20 0 DDADMM Cc1nnc(CCN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)o1 ZINC000931568035 714130632 /nfs/dbraw/zinc/13/06/32/714130632.db2.gz ORWCBUFVHDNKEE-NSHDSACASA-N -1 1 306.288 1.063 20 0 DDADMM CN(CCOCCO)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932051713 714246879 /nfs/dbraw/zinc/24/68/79/714246879.db2.gz MSTBSDBMISOVLI-UHFFFAOYSA-N -1 1 320.349 1.051 20 0 DDADMM C[C@@H]1CC[C@H](O)CN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932056040 714248189 /nfs/dbraw/zinc/24/81/89/714248189.db2.gz DMQSJFOQMKQYPP-RISCZKNCSA-N -1 1 316.361 1.955 20 0 DDADMM C[C@H](O)C1(NC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC1 ZINC000932059265 714249205 /nfs/dbraw/zinc/24/92/05/714249205.db2.gz DKHAKJIWGZYYKH-JTQLQIEISA-N -1 1 302.334 1.613 20 0 DDADMM Cc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c2ncccc12 ZINC000932282657 714299956 /nfs/dbraw/zinc/29/99/56/714299956.db2.gz MIROENCNRXUJOF-CYBMUJFWSA-N -1 1 324.344 1.270 20 0 DDADMM CC[C@]1(C(=O)[O-])CCCN([C@H](C)C(=O)Nc2cc(C)no2)C1 ZINC000923467544 714365659 /nfs/dbraw/zinc/36/56/59/714365659.db2.gz DGMUDGAYVCQLJW-ABAIWWIYSA-N -1 1 309.366 1.887 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H]2[C@@H]3CCC(=O)[C@@H]32)c1 ZINC000923575583 714413143 /nfs/dbraw/zinc/41/31/43/714413143.db2.gz JKFOVVQWDUWISR-DAXOMENPSA-N -1 1 302.326 1.906 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@]2(C)C=CCC2)cc1 ZINC000924403529 714576199 /nfs/dbraw/zinc/57/61/99/714576199.db2.gz CQQFKEJBFMZAOM-KRWDZBQOSA-N -1 1 317.341 1.851 20 0 DDADMM CCOC(=O)[C@@H](C[C@H]1CCCO1)NC(=O)c1ncccc1[O-] ZINC000924881329 714686809 /nfs/dbraw/zinc/68/68/09/714686809.db2.gz XITAZZVGOPQBQV-GHMZBOCLSA-N -1 1 308.334 1.018 20 0 DDADMM COc1cnc([C@@H]2CCCN2CC(=O)N(C)CC2CC2)[n-]c1=O ZINC000934271727 714782809 /nfs/dbraw/zinc/78/28/09/714782809.db2.gz GFDVVDRMEMKMMS-LBPRGKRZSA-N -1 1 320.393 1.196 20 0 DDADMM CCn1ncnc1CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934275072 714783622 /nfs/dbraw/zinc/78/36/22/714783622.db2.gz YZMOYDUZJRWQTM-JTQLQIEISA-N -1 1 304.354 1.139 20 0 DDADMM C[C@H](CO[N-]C(=O)[C@H](C)[C@H]1CCCO1)NC(=O)OC(C)(C)C ZINC000935159834 714991204 /nfs/dbraw/zinc/99/12/04/714991204.db2.gz KDIMTVFGLSPVHB-IJLUTSLNSA-N -1 1 316.398 1.763 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)c2ccoc2)C1 ZINC000936614968 715223715 /nfs/dbraw/zinc/22/37/15/715223715.db2.gz NULOXNOQOVWUKI-GFCCVEGCSA-N -1 1 315.329 1.367 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000936680771 715230737 /nfs/dbraw/zinc/23/07/37/715230737.db2.gz ZFSLYIJQWURPJX-VXGBXAGGSA-N -1 1 305.378 1.506 20 0 DDADMM CC1CC(C(=O)N2CC[C@@H](N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000937101411 715276843 /nfs/dbraw/zinc/27/68/43/715276843.db2.gz AFFKJIKUWZCLJV-WXRRBKDZSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@H](C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000956849190 715636294 /nfs/dbraw/zinc/63/62/94/715636294.db2.gz NBWDVWVOGWPGQX-APPDUMDISA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1C[C@H](NC(=O)CC2CC2)CCN1C(=O)c1ncccc1[O-] ZINC000955647589 715892215 /nfs/dbraw/zinc/89/22/15/715892215.db2.gz CPEYTBNPQCSCGR-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)c1ccco1)c1ncccc1[O-] ZINC000955862158 715982361 /nfs/dbraw/zinc/98/23/61/715982361.db2.gz VJJUYFPKWCTAOW-NSHDSACASA-N -1 1 315.329 1.415 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939143884 716150952 /nfs/dbraw/zinc/15/09/52/716150952.db2.gz LTUFCWMRCJFOEY-AAEUAGOBSA-N -1 1 323.368 1.454 20 0 DDADMM CC(=O)N1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1ncccc1[O-])C2 ZINC000959730325 716255283 /nfs/dbraw/zinc/25/52/83/716255283.db2.gz ZVZDTDUCCSKQOB-CLLJXQQHSA-N -1 1 303.362 1.307 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132180 716642508 /nfs/dbraw/zinc/64/25/08/716642508.db2.gz PSBMMNCNPDJBPT-MNOVXSKESA-N -1 1 303.362 1.164 20 0 DDADMM O=C(/C=C/C1CC1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132390 716642761 /nfs/dbraw/zinc/64/27/61/716642761.db2.gz WQKYBWUCVGPMJO-BTDICHCPSA-N -1 1 301.346 1.084 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)C2CC3(CC3)C2)C1)c1ncccc1[O-] ZINC000940138290 716646629 /nfs/dbraw/zinc/64/66/29/716646629.db2.gz BLOJIZCWWWFDHF-GFCCVEGCSA-N -1 1 315.373 1.308 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H]2[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962775028 717583977 /nfs/dbraw/zinc/58/39/77/717583977.db2.gz JFBAQQIKLZNMLP-CHWSQXEVSA-N -1 1 317.389 1.649 20 0 DDADMM C[C@H]1C[C@H]1C(=O)N[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000943608459 718159545 /nfs/dbraw/zinc/15/95/45/718159545.db2.gz GUSAJGHKCHNPMX-ZDEQEGDKSA-N -1 1 317.389 1.600 20 0 DDADMM CC(C)CC(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943834652 718204316 /nfs/dbraw/zinc/20/43/16/718204316.db2.gz VOMFPYUWVJHCDN-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])c1ccoc1 ZINC000943976264 718235824 /nfs/dbraw/zinc/23/58/24/718235824.db2.gz JDYNFGNRZSKFPZ-GFCCVEGCSA-N -1 1 315.329 1.415 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000945571848 718497766 /nfs/dbraw/zinc/49/77/66/718497766.db2.gz RZENTJDDQVYYJQ-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1CCCC1 ZINC000966341649 718520930 /nfs/dbraw/zinc/52/09/30/718520930.db2.gz AVKZNXUYFHMJNQ-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C1CC1 ZINC000966920673 718715149 /nfs/dbraw/zinc/71/51/49/718715149.db2.gz HJWHBTKFTDNHPU-ZDUSSCGKSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)C2CCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000947034827 718994368 /nfs/dbraw/zinc/99/43/68/718994368.db2.gz NFMHSZIIYFARFM-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM CCN(C(=O)C1=CCCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967870490 719063342 /nfs/dbraw/zinc/06/33/42/719063342.db2.gz AOPAGVFVEGWKMQ-UHFFFAOYSA-N -1 1 315.373 1.570 20 0 DDADMM CCC(=O)N1CC(N(CC)C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000967968781 719107653 /nfs/dbraw/zinc/10/76/53/719107653.db2.gz APPSCRGEOTXTEI-UHFFFAOYSA-N -1 1 318.377 1.143 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000948708645 719661057 /nfs/dbraw/zinc/66/10/57/719661057.db2.gz HJUMGZSWTGNGOT-DGCLKSJQSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000948708644 719661166 /nfs/dbraw/zinc/66/11/66/719661166.db2.gz HJUMGZSWTGNGOT-AAEUAGOBSA-N -1 1 317.389 1.412 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949539033 720147714 /nfs/dbraw/zinc/14/77/14/720147714.db2.gz WNROJQITEHAPFF-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(Cc2ccccn2)C1 ZINC000969541747 720180898 /nfs/dbraw/zinc/18/08/98/720180898.db2.gz APZDNIQHPQEPIR-LBPRGKRZSA-N -1 1 312.373 1.433 20 0 DDADMM C[C@@H]1CN(C(=O)C2(C)CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000949771071 720327678 /nfs/dbraw/zinc/32/76/78/720327678.db2.gz LLECOSWOKQBORZ-GHMZBOCLSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1ccc(C)c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000970567999 720820884 /nfs/dbraw/zinc/82/08/84/720820884.db2.gz GQANGBDFJVAZPG-GFCCVEGCSA-N -1 1 315.377 1.131 20 0 DDADMM CC(C)=CC(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000971205113 721176253 /nfs/dbraw/zinc/17/62/53/721176253.db2.gz GNFXBZQHQMHKSE-CHWSQXEVSA-N -1 1 315.373 1.426 20 0 DDADMM CN(Cc1cncs1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971396574 721276863 /nfs/dbraw/zinc/27/68/63/721276863.db2.gz FBOSIWLYSFWKAU-NSHDSACASA-N -1 1 318.402 1.590 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2CC=CC2)C1 ZINC000954123939 721723496 /nfs/dbraw/zinc/72/34/96/721723496.db2.gz DTIOBWPZLMAYPU-UHFFFAOYSA-N -1 1 301.346 1.036 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954128237 721725335 /nfs/dbraw/zinc/72/53/35/721725335.db2.gz YDYFLLUSHRPSQR-LLVKDONJSA-N -1 1 305.378 1.362 20 0 DDADMM C[C@@H](O)CC(C)(C)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692823281 738104122 /nfs/dbraw/zinc/10/41/22/738104122.db2.gz LFFNQTKJVFHTJJ-MRVPVSSYSA-N -1 1 324.805 1.950 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C(C)(C)F ZINC001009925607 738603280 /nfs/dbraw/zinc/60/32/80/738603280.db2.gz WVCVNMDQJQVVPF-WDEREUQCSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccco2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087111642 732643658 /nfs/dbraw/zinc/64/36/58/732643658.db2.gz QWOPNLQHWQFIQY-MNOVXSKESA-N -1 1 319.365 1.134 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001021119575 732953199 /nfs/dbraw/zinc/95/31/99/732953199.db2.gz OEUARRNBEYLWIC-RWMBFGLXSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1ncccc1CN1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038190211 733143592 /nfs/dbraw/zinc/14/35/92/733143592.db2.gz AUHRLWOIFSFPOD-AWEZNQCLSA-N -1 1 312.373 1.495 20 0 DDADMM CC[NH+]1CCC([N-]S(=O)(=O)c2ccc(Cl)nc2F)CC1 ZINC000692874135 738712603 /nfs/dbraw/zinc/71/26/03/738712603.db2.gz UQVNABOJJBJAIH-UHFFFAOYSA-N -1 1 321.805 1.637 20 0 DDADMM C[C@@H]1CCN(C(=O)C2CC=CC2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087139121 733491827 /nfs/dbraw/zinc/49/18/27/733491827.db2.gz OXKODNCWCFMXBV-YPMHNXCESA-N -1 1 319.409 1.193 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@H]1O)c1ccc(Cl)nc1F ZINC000692877994 738734061 /nfs/dbraw/zinc/73/40/61/738734061.db2.gz CGAJWZKGZULRJK-HTQZYQBOSA-N -1 1 308.762 1.456 20 0 DDADMM CN(CCc1cccs1)c1nnc(-c2c[n-][nH]c2=O)n1C ZINC001121363765 782473340 /nfs/dbraw/zinc/47/33/40/782473340.db2.gz XFYSABADNMSXCR-UHFFFAOYSA-N -1 1 304.379 1.651 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CCC[C@@H]1Cc1ccccc1 ZINC001121409385 782496651 /nfs/dbraw/zinc/49/66/51/782496651.db2.gz ZXUSFHWVOHWSBB-CYBMUJFWSA-N -1 1 324.392 1.131 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCC[C@@H]1Cc1ccccc1 ZINC001121409385 782496656 /nfs/dbraw/zinc/49/66/56/782496656.db2.gz ZXUSFHWVOHWSBB-CYBMUJFWSA-N -1 1 324.392 1.131 20 0 DDADMM CC[C@H](F)CN1CCCC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001024396074 735802556 /nfs/dbraw/zinc/80/25/56/735802556.db2.gz MJQJINPHEHLLBS-RYUDHWBXSA-N -1 1 312.389 1.756 20 0 DDADMM C[C@H]1CC[C@H](CC(=O)N[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)C1 ZINC000974297570 737495087 /nfs/dbraw/zinc/49/50/87/737495087.db2.gz YQPAVKHKRIAPHL-FIQHERPVSA-N -1 1 305.382 1.008 20 0 DDADMM CCC(O)(CC)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692805124 737946065 /nfs/dbraw/zinc/94/60/65/737946065.db2.gz CIZTXJWXYPQCAP-UHFFFAOYSA-N -1 1 310.778 1.704 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C(C)C ZINC000692807873 737970801 /nfs/dbraw/zinc/97/08/01/737970801.db2.gz VWMCFSNXVKGEQP-MRVPVSSYSA-N -1 1 310.778 1.823 20 0 DDADMM C[C@@H](CCNC(=O)c1ccoc1)NC(=O)c1ncccc1[O-] ZINC001075602347 739090876 /nfs/dbraw/zinc/09/08/76/739090876.db2.gz ULRJHYFWSHBDBZ-JTQLQIEISA-N -1 1 303.318 1.319 20 0 DDADMM O=C(NCc1ccc2c(c1)CCO2)c1nc2ccccc2c(=O)[n-]1 ZINC000201573875 741065730 /nfs/dbraw/zinc/06/57/30/741065730.db2.gz LMAUJNFVGSLOMS-UHFFFAOYSA-N -1 1 321.336 1.788 20 0 DDADMM Cc1cc(N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)nc(C)n1 ZINC001059614176 741415469 /nfs/dbraw/zinc/41/54/69/741415469.db2.gz UEXVQOLEDAOXCZ-TXEJJXNPSA-N -1 1 313.361 1.567 20 0 DDADMM C[C@H](CCCNc1ncc(F)cn1)NC(=O)c1ncccc1[O-] ZINC001114907591 751675158 /nfs/dbraw/zinc/67/51/58/751675158.db2.gz WQORNQPOPBTNPI-SNVBAGLBSA-N -1 1 319.340 1.727 20 0 DDADMM Cc1[nH]ccc1C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076085548 742527700 /nfs/dbraw/zinc/52/77/00/742527700.db2.gz TTXFQLPZEWHWRL-JTQLQIEISA-N -1 1 316.361 1.362 20 0 DDADMM CCOCCCC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244703 742623920 /nfs/dbraw/zinc/62/39/20/742623920.db2.gz ZUGVMJLWLFKIFT-LBPRGKRZSA-N -1 1 323.393 1.229 20 0 DDADMM C[C@@H](CCNC(=O)c1ccsn1)NC(=O)c1ncccc1[O-] ZINC001077084074 743221776 /nfs/dbraw/zinc/22/17/76/743221776.db2.gz FQLNMMAFVZPCMQ-VIFPVBQESA-N -1 1 320.374 1.182 20 0 DDADMM Cc1ncoc1CN1CCC(NC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001002615309 743286818 /nfs/dbraw/zinc/28/68/18/743286818.db2.gz NIPMFCSNUKASAL-UHFFFAOYSA-N -1 1 319.365 1.115 20 0 DDADMM CC1(C)OC[C@H](C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)O1 ZINC001181738804 743318149 /nfs/dbraw/zinc/31/81/49/743318149.db2.gz NXWUEZWKMQLRGI-LLVKDONJSA-N -1 1 303.318 1.668 20 0 DDADMM Cc1nc(CN2CCC(NC(=O)c3ncccc3[O-])CC2)co1 ZINC001002665680 743324441 /nfs/dbraw/zinc/32/44/41/743324441.db2.gz SRYDZNHSLFLYRT-UHFFFAOYSA-N -1 1 316.361 1.478 20 0 DDADMM CSc1nc(NC(=O)Cc2ccc3[nH]cnc3c2)cc(=O)[n-]1 ZINC001182841773 743738050 /nfs/dbraw/zinc/73/80/50/743738050.db2.gz XREFEXNSLYGFKP-UHFFFAOYSA-N -1 1 315.358 1.962 20 0 DDADMM CSc1nc(NC(=O)Cc2ccc3nc[nH]c3c2)cc(=O)[n-]1 ZINC001182841773 743738051 /nfs/dbraw/zinc/73/80/51/743738051.db2.gz XREFEXNSLYGFKP-UHFFFAOYSA-N -1 1 315.358 1.962 20 0 DDADMM CCC[C@@H](C)CCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001182873247 743742496 /nfs/dbraw/zinc/74/24/96/743742496.db2.gz CRSCBZTUELSIIH-CHWSQXEVSA-N -1 1 323.441 1.807 20 0 DDADMM Cc1nc(CN2CC(NC(=O)c3ncccc3[O-])C2)cs1 ZINC001030243622 743977891 /nfs/dbraw/zinc/97/78/91/743977891.db2.gz JDUXSALVIXRXOF-UHFFFAOYSA-N -1 1 304.375 1.166 20 0 DDADMM C[C@H](C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O)c1ccc(O)cc1 ZINC001184279963 744014580 /nfs/dbraw/zinc/01/45/80/744014580.db2.gz RVEPFOINHJQKKJ-LURJTMIESA-N -1 1 304.262 1.734 20 0 DDADMM Cc1nc(C(=O)[N-]c2ncn(C)n2)sc1Br ZINC001184867190 744119802 /nfs/dbraw/zinc/11/98/02/744119802.db2.gz SWDXKOQDIFRPGE-UHFFFAOYSA-N -1 1 302.157 1.595 20 0 DDADMM CSc1ncc([O-])c(C(=O)N2CCC(c3cnc[nH]3)CC2)n1 ZINC001185176048 744171571 /nfs/dbraw/zinc/17/15/71/744171571.db2.gz GLWIBBYXMIHDKH-UHFFFAOYSA-N -1 1 319.390 1.647 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1ccc(Cl)nc1 ZINC001185615910 744258262 /nfs/dbraw/zinc/25/82/62/744258262.db2.gz VUSINTNZNKETAT-UHFFFAOYSA-N -1 1 319.795 1.679 20 0 DDADMM Cn1cnc([N-]C(=O)c2ncnc3ccsc32)c2ncnc1-2 ZINC001151523933 744295198 /nfs/dbraw/zinc/29/51/98/744295198.db2.gz WMYCREISEQCUPT-UHFFFAOYSA-N -1 1 311.330 1.572 20 0 DDADMM CCOC(=O)C1=C(NC(=O)c2ccc([O-])cc2F)[C@@H](C)OC1 ZINC001186337443 744388630 /nfs/dbraw/zinc/38/86/30/744388630.db2.gz ITWDYYRLFAECBI-MRVPVSSYSA-N -1 1 309.293 1.497 20 0 DDADMM Cn1c[nH+]cc1[N-]S(=O)(=O)c1cc(C(=O)[O-])ccc1Cl ZINC001187548253 744578581 /nfs/dbraw/zinc/57/85/81/744578581.db2.gz NRJUVYAHWZAVDX-UHFFFAOYSA-N -1 1 315.738 1.573 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc(C(F)(F)F)c[nH]1 ZINC001187694634 744593270 /nfs/dbraw/zinc/59/32/70/744593270.db2.gz PTFUBLWZUDTEDR-UHFFFAOYSA-N -1 1 317.183 1.885 20 0 DDADMM O=C(NCc1nc2cc[nH]cc-2n1)c1n[n-]nc1C(F)(F)F ZINC001187774262 744600730 /nfs/dbraw/zinc/60/07/30/744600730.db2.gz RORBKJPSGRVKIM-UHFFFAOYSA-N -1 1 311.227 1.025 20 0 DDADMM Cc1nnc2cc(NC(=O)c3n[n-]nc3C(F)(F)F)ccn12 ZINC001187759330 744606650 /nfs/dbraw/zinc/60/66/50/744606650.db2.gz YJGXPBZDRMVEJZ-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM O=S(=O)([N-][C@@H]1CCN(c2ccccn2)C1)c1nccs1 ZINC001187918551 744635134 /nfs/dbraw/zinc/63/51/34/744635134.db2.gz IHARBFCZNMUBRU-SNVBAGLBSA-N -1 1 310.404 1.095 20 0 DDADMM Cc1ccc(C2(C[N-]S(=O)(=O)c3nccs3)CC2)nc1 ZINC001187920872 744635319 /nfs/dbraw/zinc/63/53/19/744635319.db2.gz QTSOZHGDYZTIAZ-UHFFFAOYSA-N -1 1 309.416 1.857 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)NC1C[NH+]([C@H](C)c2ccccc2)C1 ZINC001030822870 744678773 /nfs/dbraw/zinc/67/87/73/744678773.db2.gz RCFKFZLXKKJICW-GFCCVEGCSA-N -1 1 312.373 1.666 20 0 DDADMM COC(=O)[C@](C)([N-]S(=O)(=O)c1ccoc1)C(F)(F)F ZINC001188638488 744744305 /nfs/dbraw/zinc/74/43/05/744744305.db2.gz DCBUVMWXRRRJNL-QMMMGPOBSA-N -1 1 301.242 1.052 20 0 DDADMM Cn1nc(C(C)(C)C)cc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001189044090 744815437 /nfs/dbraw/zinc/81/54/37/744815437.db2.gz XUZGNOZUCBANEG-UHFFFAOYSA-N -1 1 315.337 1.342 20 0 DDADMM CC1(C)C[C@H](NC(=O)C2CCC2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089291967 744940570 /nfs/dbraw/zinc/94/05/70/744940570.db2.gz DPYDSJXUVMNRMI-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM CCN1CCC[C@H]1C[N-]S(=O)(=O)c1cc(F)cc(F)c1F ZINC001190348381 745188479 /nfs/dbraw/zinc/18/84/79/745188479.db2.gz PWJKPHOVAKZSNO-JTQLQIEISA-N -1 1 322.352 1.867 20 0 DDADMM CCOc1ccc(C(=O)[N-]c2ncnc3n[nH]nc32)c(F)c1F ZINC001190691763 745298586 /nfs/dbraw/zinc/29/85/86/745298586.db2.gz SDDJFKGSOUZGJR-UHFFFAOYSA-N -1 1 320.259 1.677 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)Cc1ccc(Cl)cc1F ZINC001191913665 745657312 /nfs/dbraw/zinc/65/73/12/745657312.db2.gz JWLWVEUKMZJPAH-UHFFFAOYSA-N -1 1 319.745 1.790 20 0 DDADMM CCOC(=O)[C@H]1COCCN1C(=O)c1c(F)ccc([O-])c1F ZINC001192540976 745826539 /nfs/dbraw/zinc/82/65/39/745826539.db2.gz SCLQBVWXIZELHQ-SECBINFHSA-N -1 1 315.272 1.075 20 0 DDADMM Cc1cc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)n(C(C)(C)C)n1 ZINC001192717228 745879013 /nfs/dbraw/zinc/87/90/13/745879013.db2.gz NKTHSDDINRQJLV-UHFFFAOYSA-N -1 1 320.309 1.798 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1cnc2c(C3CC3)cnn2c1 ZINC001193213766 746016706 /nfs/dbraw/zinc/01/67/06/746016706.db2.gz OBWVQXHBNSCVPI-GFCCVEGCSA-N -1 1 322.390 1.527 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1cc(Cl)ccc1CO ZINC001193262067 746034872 /nfs/dbraw/zinc/03/48/72/746034872.db2.gz OMQBIGSPTHOWOR-NSHDSACASA-N -1 1 305.783 1.753 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1c(Cl)cccc1CO ZINC001193265484 746037566 /nfs/dbraw/zinc/03/75/66/746037566.db2.gz WNQGMICVDCKUKW-JTQLQIEISA-N -1 1 305.783 1.753 20 0 DDADMM O=C(N[C@H]1CCCN(Cc2csnn2)C1)c1ncccc1[O-] ZINC001007192920 752055217 /nfs/dbraw/zinc/05/52/17/752055217.db2.gz YPKFIRBPIWBJMA-JTQLQIEISA-N -1 1 319.390 1.033 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc(Br)[nH]3)c1-2 ZINC001193325604 746063406 /nfs/dbraw/zinc/06/34/06/746063406.db2.gz GNJARRRFSJBLKF-UHFFFAOYSA-N -1 1 321.138 1.378 20 0 DDADMM COC(=O)/C=C/c1cccc([N-]S(=O)(=O)CC2CCC2)n1 ZINC001193478887 746116081 /nfs/dbraw/zinc/11/60/81/746116081.db2.gz MDQHXODVKCAERY-CMDGGOBGSA-N -1 1 310.375 1.810 20 0 DDADMM COc1ccnc(C(=O)Nc2cccc(C(N)=S)c2)c1[O-] ZINC001193532426 746122525 /nfs/dbraw/zinc/12/25/25/746122525.db2.gz XRXSQMPJVPVFPG-UHFFFAOYSA-N -1 1 303.343 1.682 20 0 DDADMM COc1cc(F)c(C(=O)NCCCC[P@](=O)([O-])O)cc1F ZINC001193980683 746246856 /nfs/dbraw/zinc/24/68/56/746246856.db2.gz XTVSTHFPDZXTPS-UHFFFAOYSA-N -1 1 323.232 1.661 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccc(C3OCCO3)cc2)[n-]n1 ZINC001194288761 746343267 /nfs/dbraw/zinc/34/32/67/746343267.db2.gz NWEVHMYXMKLNFJ-UHFFFAOYSA-N -1 1 317.301 1.494 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000993926449 746376500 /nfs/dbraw/zinc/37/65/00/746376500.db2.gz RURFCGIMIKYPGA-FDYHWXHSSA-N -1 1 317.389 1.268 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000993930993 746378866 /nfs/dbraw/zinc/37/88/66/746378866.db2.gz RNBILCUPTBVJKT-ZYFYVMIWSA-N -1 1 317.389 1.578 20 0 DDADMM COC(=O)c1ccc(OC)nc1NC(=O)c1nc(C)ccc1[O-] ZINC001195322546 746564576 /nfs/dbraw/zinc/56/45/76/746564576.db2.gz OIBDFFOUMOPREH-UHFFFAOYSA-N -1 1 317.301 1.538 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCN3C(=O)c4ccccc4[C@H]3C2)n1 ZINC001195324066 746565486 /nfs/dbraw/zinc/56/54/86/746565486.db2.gz ZPXWGRJYWNIWPG-CQSZACIVSA-N -1 1 323.352 1.749 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CCC(n2cnnc2)CC1 ZINC001195304939 746572077 /nfs/dbraw/zinc/57/20/77/746572077.db2.gz DBNQOTBVEBNFIB-UHFFFAOYSA-N -1 1 307.741 1.509 20 0 DDADMM CC(C)C(=O)N[C@@H]1[C@H]2CN(C(=O)c3cc([O-])cnc3Cl)C[C@H]21 ZINC001195306308 746572512 /nfs/dbraw/zinc/57/25/12/746572512.db2.gz WNIISVINRGUKPM-GDNZZTSVSA-N -1 1 323.780 1.283 20 0 DDADMM O=C(Nc1ccccc1-c1nn[nH]n1)c1cc([O-])cnc1Cl ZINC001195309662 746573163 /nfs/dbraw/zinc/57/31/63/746573163.db2.gz SSUMVTZWWQPOJW-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM O=C(NCC1(N2CCCC2)COC1)c1cc([O-])cnc1Cl ZINC001195313001 746574342 /nfs/dbraw/zinc/57/43/42/746574342.db2.gz NTTOHODCVJYZCM-UHFFFAOYSA-N -1 1 311.769 1.035 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cc([O-])cnc1Cl)C(F)(F)F ZINC001195312936 746574669 /nfs/dbraw/zinc/57/46/69/746574669.db2.gz NIJATLNHQGOQPU-ZCFIWIBFSA-N -1 1 312.631 1.274 20 0 DDADMM NC(=O)c1ccc(NC(=S)NCc2ccccc2)cc1[O-] ZINC001195635814 746662142 /nfs/dbraw/zinc/66/21/42/746662142.db2.gz QWYHGZQBDPRBCB-UHFFFAOYSA-N -1 1 301.371 1.978 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)c2ccc(O)cc2)cc1 ZINC001195795016 746707496 /nfs/dbraw/zinc/70/74/96/746707496.db2.gz VBFCFZYHWFXUJN-UHFFFAOYSA-N -1 1 307.327 1.980 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(NC(=S)Nc3ccccc3F)c2[nH]1 ZINC001195794457 746707528 /nfs/dbraw/zinc/70/75/28/746707528.db2.gz RIBRBYWXFSJSIK-UHFFFAOYSA-N -1 1 320.309 1.712 20 0 DDADMM O=C(Nc1cnc2c(c1)COCC2)c1c[nH]c(=S)[n-]c1=O ZINC001196008727 746754227 /nfs/dbraw/zinc/75/42/27/746754227.db2.gz ICFUMKWSFNBFME-UHFFFAOYSA-N -1 1 304.331 1.191 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC(=O)[C@@H]2CCCC[C@H]21 ZINC001196009260 746754845 /nfs/dbraw/zinc/75/48/45/746754845.db2.gz XEDSNWFGQFDSNV-PSASIEDQSA-N -1 1 307.375 1.445 20 0 DDADMM O=C(Nc1ccc2[nH][nH]c(=O)c2c1)c1c[nH]c(=S)[n-]c1=O ZINC001196020356 746759379 /nfs/dbraw/zinc/75/93/79/746759379.db2.gz GBNYWFKXULSSJB-UHFFFAOYSA-N -1 1 303.303 1.305 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(C2CC2)c(Br)c1 ZINC001196451465 746876266 /nfs/dbraw/zinc/87/62/66/746876266.db2.gz BYPKAFFMXMRCFD-UHFFFAOYSA-N -1 1 322.166 1.770 20 0 DDADMM CC(=O)N1CCC[C@@H]([C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003076679 746954919 /nfs/dbraw/zinc/95/49/19/746954919.db2.gz YEVKZZYEPKSALE-ZIAGYGMSSA-N -1 1 317.389 1.508 20 0 DDADMM Cc1cc(OC(C)C)ccc1C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001196755394 746959932 /nfs/dbraw/zinc/95/99/32/746959932.db2.gz URVAHCFLBGJOQE-UHFFFAOYSA-N -1 1 318.333 1.818 20 0 DDADMM COC(=O)CCCCS(=O)(=O)Nc1cc([O-])c(F)c(F)c1 ZINC001196954669 747019422 /nfs/dbraw/zinc/01/94/22/747019422.db2.gz JLYYFZBSBMAHBS-UHFFFAOYSA-N -1 1 323.317 1.755 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc(=O)[n-]c(SC)n2)ccn1 ZINC001197038135 747045330 /nfs/dbraw/zinc/04/53/30/747045330.db2.gz QXMCSTZCIPALIJ-UHFFFAOYSA-N -1 1 320.330 1.338 20 0 DDADMM CN(C)c1nc(Nc2cnn(C3CCOCC3)c2)cc(=O)[n-]1 ZINC001203872723 747104169 /nfs/dbraw/zinc/10/41/69/747104169.db2.gz GVJAJDZDESXFBY-UHFFFAOYSA-N -1 1 304.354 1.540 20 0 DDADMM COC(C)(C[N-]S(=O)(=O)Cc1c(F)cccc1F)OC ZINC001197622854 747209060 /nfs/dbraw/zinc/20/90/60/747209060.db2.gz NMWVOWMVWYLDGW-UHFFFAOYSA-N -1 1 309.334 1.393 20 0 DDADMM O=C1CCCC[C@@H]1[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC001197622869 747209235 /nfs/dbraw/zinc/20/92/35/747209235.db2.gz OFCCUISJVYGOIO-LBPRGKRZSA-N -1 1 303.330 1.896 20 0 DDADMM O=C(NCC1CN(Cc2ccns2)C1)c1ncccc1[O-] ZINC001031628389 747252728 /nfs/dbraw/zinc/25/27/28/747252728.db2.gz KQHKIRDPFDCWBA-UHFFFAOYSA-N -1 1 304.375 1.106 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1ccc(N(C)C)cn1 ZINC001198123454 747390736 /nfs/dbraw/zinc/39/07/36/747390736.db2.gz DIHHJLOXQQFUAP-UHFFFAOYSA-N -1 1 303.322 1.300 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NC(=S)NC[C@@H]1CCCO1 ZINC001200012202 748081523 /nfs/dbraw/zinc/08/15/23/748081523.db2.gz OWPBWXAIIYJMBV-YFKPBYRVSA-N -1 1 315.380 1.334 20 0 DDADMM CC(=O)N1CC[C@H]([N-]S(=O)(=O)c2sc(Cl)nc2C)C1 ZINC001201763871 748597200 /nfs/dbraw/zinc/59/72/00/748597200.db2.gz GPKVTJXLYNJAGI-QMMMGPOBSA-N -1 1 323.827 1.004 20 0 DDADMM C[C@H]1CCN(C(=O)C2(C)CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004760903 748752870 /nfs/dbraw/zinc/75/28/70/748752870.db2.gz UDNQBFKOPSAFJN-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)C1CC=CC1 ZINC001004793940 748807445 /nfs/dbraw/zinc/80/74/45/748807445.db2.gz XYUDDIADEAJYGD-DGCLKSJQSA-N -1 1 319.409 1.336 20 0 DDADMM C/C=C(\C)C(=O)N1CCCC[C@@H]1[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004804478 748812350 /nfs/dbraw/zinc/81/23/50/748812350.db2.gz MXGNMKSCQVPPTF-PEXLVHELSA-N -1 1 307.398 1.336 20 0 DDADMM CC(C)(C)CC(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202499900 748984785 /nfs/dbraw/zinc/98/47/85/748984785.db2.gz IMPSHJVTVVAVHH-QWRGUYRKSA-N -1 1 321.425 1.464 20 0 DDADMM Cc1cc(NC/C=C\CNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001107139423 749357635 /nfs/dbraw/zinc/35/76/35/749357635.db2.gz MOUQHJAVCYEZAX-ARJAWSKDSA-N -1 1 313.361 1.592 20 0 DDADMM COc1cnc(-c2noc(-c3cn[n-]n3)n2)c(Br)c1 ZINC001212800047 749524280 /nfs/dbraw/zinc/52/42/80/749524280.db2.gz LTISCIDCINDTRL-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](CNc2ncc(F)cn2)C1 ZINC001060857116 751023304 /nfs/dbraw/zinc/02/33/04/751023304.db2.gz ZZCHUOUGZDHTGC-JTQLQIEISA-N -1 1 317.324 1.291 20 0 DDADMM Cc1nsc(NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001060856915 751028242 /nfs/dbraw/zinc/02/82/42/751028242.db2.gz UZHYVGCZLXSPRS-SNVBAGLBSA-N -1 1 319.390 1.521 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ncccn1 ZINC001062110048 752771402 /nfs/dbraw/zinc/77/14/02/752771402.db2.gz DSOCQCBLYKBUQW-LBPRGKRZSA-N -1 1 313.361 1.176 20 0 DDADMM O=C(C[C@@H]1C=CCCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999480157 752946694 /nfs/dbraw/zinc/94/66/94/752946694.db2.gz CVCWHSZXCBFRNU-CHWSQXEVSA-N -1 1 319.409 1.337 20 0 DDADMM CCC1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC000999942299 753263437 /nfs/dbraw/zinc/26/34/37/753263437.db2.gz AVPSVRNWBFDDOK-NSHDSACASA-N -1 1 307.398 1.171 20 0 DDADMM CCC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001009834213 753398605 /nfs/dbraw/zinc/39/86/05/753398605.db2.gz UYJXHQBNIIMVPS-XQQFMLRXSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(c2ncccn2)C1 ZINC001063105598 753980298 /nfs/dbraw/zinc/98/02/98/753980298.db2.gz VZEMYEQJNIVDIE-LBPRGKRZSA-N -1 1 313.361 1.318 20 0 DDADMM CC1(C(=O)NCC2(NC(=O)c3ncccc3[O-])CCC2)CC1 ZINC001063308182 754080620 /nfs/dbraw/zinc/08/06/20/754080620.db2.gz CYQJFJZNZGUUAK-UHFFFAOYSA-N -1 1 303.362 1.356 20 0 DDADMM O=C(N[C@@H]1CCN(CC=C(Cl)Cl)C1)c1ncccc1[O-] ZINC001010730715 754128048 /nfs/dbraw/zinc/12/80/48/754128048.db2.gz HDWFXSDHAMCBFH-SECBINFHSA-N -1 1 316.188 1.910 20 0 DDADMM C/C(=C/c1ccco1)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC001137774798 754142863 /nfs/dbraw/zinc/14/28/63/754142863.db2.gz KOQBZRBOVTYPHF-CLFYSBASSA-N -1 1 322.342 1.675 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078789114 754402887 /nfs/dbraw/zinc/40/28/87/754402887.db2.gz QWUZBACEAYBUIF-UWVGGRQHSA-N -1 1 307.398 1.073 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001001849315 754538649 /nfs/dbraw/zinc/53/86/49/754538649.db2.gz CBSICCYOZZGKEG-YPMHNXCESA-N -1 1 317.389 1.412 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C(C)(F)F)CN1C(=O)c1ncccc1[O-] ZINC001012507892 755113893 /nfs/dbraw/zinc/11/38/93/755113893.db2.gz XAAVKEYPOYWPBY-DTWKUNHWSA-N -1 1 313.304 1.162 20 0 DDADMM CC(C)C(=O)N[C@]12CCC[C@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001014188856 755639574 /nfs/dbraw/zinc/63/95/74/755639574.db2.gz NHLBGEPTUCFOQA-DYVFJYSZSA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001014892204 756003596 /nfs/dbraw/zinc/00/35/96/756003596.db2.gz GSFARANNWNFJQK-RYUDHWBXSA-N -1 1 305.378 1.553 20 0 DDADMM CC(=O)NC[C@@H]1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001015443482 756305185 /nfs/dbraw/zinc/30/51/85/756305185.db2.gz IYYLNZXKOVMRFQ-PWSUYJOCSA-N -1 1 318.377 1.094 20 0 DDADMM C/C=C(/C)C(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082022701 756586732 /nfs/dbraw/zinc/58/67/32/756586732.db2.gz LWKXTVIFXVNQMD-RPHDBTCBSA-N -1 1 317.389 1.864 20 0 DDADMM C/C=C(/C)C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001067135485 756747248 /nfs/dbraw/zinc/74/72/48/756747248.db2.gz SVXSJPZLOMFAOD-XGICHPGQSA-N -1 1 305.378 1.674 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2CCC(C)(C)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082726590 756905917 /nfs/dbraw/zinc/90/59/17/756905917.db2.gz MOJIPSULERCZSB-GRYCIOLGSA-N -1 1 321.425 1.273 20 0 DDADMM CC(C)c1nsc(N[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097764093 757508706 /nfs/dbraw/zinc/50/87/06/757508706.db2.gz APHNKYDEYRFRND-SECBINFHSA-N -1 1 321.406 1.993 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C(F)F ZINC001014894275 758256825 /nfs/dbraw/zinc/25/68/25/758256825.db2.gz OHMLOCGKORGTOZ-DTWKUNHWSA-N -1 1 313.304 1.162 20 0 DDADMM CC1CC(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)C1 ZINC001085166338 758331769 /nfs/dbraw/zinc/33/17/69/758331769.db2.gz VXCPFKDYSGDMNR-KIDURHIOSA-N -1 1 307.398 1.073 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)C(C)(C)F)CN1C(=O)c1ncccc1[O-] ZINC001017915714 758387072 /nfs/dbraw/zinc/38/70/72/758387072.db2.gz KVEYIOKFAKEVCM-GHMZBOCLSA-N -1 1 323.368 1.645 20 0 DDADMM CC(C)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])CC[C@H]1C ZINC001018099275 758545841 /nfs/dbraw/zinc/54/58/41/758545841.db2.gz LGCCCOCPAXZIIO-NEPJUHHUSA-N -1 1 305.378 1.553 20 0 DDADMM C[C@@H](N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ncccn1 ZINC001018756053 759227855 /nfs/dbraw/zinc/22/78/55/759227855.db2.gz KGSDPIKGFMVVKW-NEPJUHHUSA-N -1 1 313.361 1.143 20 0 DDADMM CN(C[C@@H]1CCN1Cc1cccnc1)C(=O)c1ncccc1[O-] ZINC001085562084 759728851 /nfs/dbraw/zinc/72/88/51/759728851.db2.gz CHWLUEWDANPOQH-AWEZNQCLSA-N -1 1 312.373 1.529 20 0 DDADMM O=C(NC[C@H]1CCN1CCC(F)(F)F)c1ncccc1[O-] ZINC001038192952 760907422 /nfs/dbraw/zinc/90/74/22/760907422.db2.gz GKPYXHLOBSNGAJ-SECBINFHSA-N -1 1 303.284 1.544 20 0 DDADMM O=C(NCC1CN(C(=O)[C@H]2CC[C@@H](F)C2)C1)c1ncccc1[O-] ZINC001001541615 762946460 /nfs/dbraw/zinc/94/64/60/762946460.db2.gz MEZLENNBKANLJZ-NWDGAFQWSA-N -1 1 321.352 1.114 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cc2cscc2s1 ZINC001131482511 768153647 /nfs/dbraw/zinc/15/36/47/768153647.db2.gz JYOXVWSKPVQEET-UHFFFAOYSA-N -1 1 323.403 1.306 20 0 DDADMM COc1cc(F)c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(OC)c1 ZINC001170217213 766174963 /nfs/dbraw/zinc/17/49/63/766174963.db2.gz GAMSXWFJPLKVMJ-UHFFFAOYSA-N -1 1 321.268 1.616 20 0 DDADMM Cc1c(Cl)ncc(F)c1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170216207 766175114 /nfs/dbraw/zinc/17/51/14/766175114.db2.gz GSWVNJGZNSVOPV-UHFFFAOYSA-N -1 1 310.676 1.955 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncncc3-c3ccccc3)c2[nH]1 ZINC001170216478 766175493 /nfs/dbraw/zinc/17/54/93/766175493.db2.gz FATSJUWKABHWOK-UHFFFAOYSA-N -1 1 321.300 1.916 20 0 DDADMM Cc1cc2nc(C)nc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)c2[nH]1 ZINC001170217889 766176182 /nfs/dbraw/zinc/17/61/82/766176182.db2.gz OASSOATUKMMBEH-UHFFFAOYSA-N -1 1 312.293 1.347 20 0 DDADMM CCc1c(Cl)nc(C)nc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170219039 766177802 /nfs/dbraw/zinc/17/78/02/766177802.db2.gz VLNVGGUQUUHFPD-UHFFFAOYSA-N -1 1 321.728 1.774 20 0 DDADMM CSc1c[nH]c2ncnc(Nc3[n-]c(=O)nc4nc[nH]c43)c12 ZINC001170226780 766201654 /nfs/dbraw/zinc/20/16/54/766201654.db2.gz USQSMBPSPSVXSX-UHFFFAOYSA-N -1 1 314.334 1.795 20 0 DDADMM Cc1ccnc(N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001046334183 767432696 /nfs/dbraw/zinc/43/26/96/767432696.db2.gz WRJYVAOOIKRPLW-TXEJJXNPSA-N -1 1 313.361 1.506 20 0 DDADMM C[C@H]1C[C@@H](CC(=O)NCCNCc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001135406556 771487372 /nfs/dbraw/zinc/48/73/72/771487372.db2.gz WHZZZSHIPCMHFJ-RYUDHWBXSA-N -1 1 323.441 1.569 20 0 DDADMM C[C@H](CC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccccc1 ZINC001144430791 772524102 /nfs/dbraw/zinc/52/41/02/772524102.db2.gz XUKSWOHPUXRRJN-MRVPVSSYSA-N -1 1 313.317 1.848 20 0 DDADMM CC1(C)CN(Cc2c(F)cc([O-])cc2F)CCN1CC(N)=O ZINC001144559579 772564764 /nfs/dbraw/zinc/56/47/64/772564764.db2.gz OANIRZNRGJOLSJ-UHFFFAOYSA-N -1 1 313.348 1.052 20 0 DDADMM [O-]c1cc(F)c(CN2CCN(c3cnccn3)CC2)cc1F ZINC001144647081 772587319 /nfs/dbraw/zinc/58/73/19/772587319.db2.gz YIWBBWPFIFWBKR-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCc2cnc3[nH]ccc3c2)c1[O-] ZINC001147842707 773266936 /nfs/dbraw/zinc/26/69/36/773266936.db2.gz KHKNXPZSBNMQPH-UHFFFAOYSA-N -1 1 312.329 1.394 20 0 DDADMM Cc1cc2c(cn1)[C@H](NC(=O)c1c(CO)cnc(C)c1[O-])CC2 ZINC001147848228 773268460 /nfs/dbraw/zinc/26/84/60/773268460.db2.gz HNWKSJDNNSUNIM-CQSZACIVSA-N -1 1 313.357 1.709 20 0 DDADMM Cc1ncsc1CCNC(=O)c1c([O-])c(C)ncc1CO ZINC001147849070 773269421 /nfs/dbraw/zinc/26/94/21/773269421.db2.gz ZOINZXHNXKFLLY-UHFFFAOYSA-N -1 1 307.375 1.325 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cn(C)c4ccccc34)c1-2 ZINC001148183322 773385209 /nfs/dbraw/zinc/38/52/09/773385209.db2.gz ZZIYPGJJGVHYEV-UHFFFAOYSA-N -1 1 306.329 1.779 20 0 DDADMM O=C(Cc1ccccc1)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000586016695 773738819 /nfs/dbraw/zinc/73/88/19/773738819.db2.gz CUNXZLBUFXVQNO-GFCCVEGCSA-N -1 1 314.307 1.899 20 0 DDADMM CCc1nsc(N[C@H](C)CCNC(=O)c2ncccc2[O-])n1 ZINC001099104961 774835338 /nfs/dbraw/zinc/83/53/38/774835338.db2.gz MXLWQNXBBIGSCV-SECBINFHSA-N -1 1 321.406 1.822 20 0 DDADMM Cc1cc(NCCCNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001094375705 775820420 /nfs/dbraw/zinc/82/04/20/775820420.db2.gz LPSSDEMHSNHTRM-UHFFFAOYSA-N -1 1 301.350 1.426 20 0 DDADMM CCOC(=O)C[C@H]1CC[C@@H](Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)C1 ZINC001173635613 777296012 /nfs/dbraw/zinc/29/60/12/777296012.db2.gz IIVNZBJVQSQHOS-JGVFFNPUSA-N -1 1 321.337 1.250 20 0 DDADMM CCC[C@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101829599 777576133 /nfs/dbraw/zinc/57/61/33/777576133.db2.gz ZKXHZYGASBVUNH-QJPTWQEYSA-N -1 1 309.414 1.131 20 0 DDADMM Cc1nnc([N-]c2cccc(S(=O)(=O)N3CCOCC3)c2)o1 ZINC001174795227 777600473 /nfs/dbraw/zinc/60/04/73/777600473.db2.gz NHQOQCLXCLVPSZ-UHFFFAOYSA-N -1 1 324.362 1.143 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cccc(N2CCOCC2)c1 ZINC001176016361 778000347 /nfs/dbraw/zinc/00/03/47/778000347.db2.gz NGZNUKFAGRMECT-UHFFFAOYSA-N -1 1 319.390 1.633 20 0 DDADMM CCc1c(Nc2c(F)cc(F)c([O-])c2F)c(C(N)=O)nn1C ZINC001176670509 778207230 /nfs/dbraw/zinc/20/72/30/778207230.db2.gz WZOBLFCOSPCWGH-UHFFFAOYSA-N -1 1 314.267 1.948 20 0 DDADMM O=C(Cc1cc(F)c(F)cc1F)NC1(c2nn[n-]n2)CCC1 ZINC001176726401 778221594 /nfs/dbraw/zinc/22/15/94/778221594.db2.gz PRCWIZAEWDLJHC-UHFFFAOYSA-N -1 1 311.267 1.355 20 0 DDADMM COc1cccc([C@H](NC(=O)Cc2nn[n-]n2)c2ccccc2)c1 ZINC001176846931 778300610 /nfs/dbraw/zinc/30/06/10/778300610.db2.gz BGPVNFUUACOVJB-QGZVFWFLSA-N -1 1 323.356 1.657 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1ccc2c(c1)NC(=O)C2 ZINC001177430526 778605816 /nfs/dbraw/zinc/60/58/16/778605816.db2.gz PYPHRFXDYIFIAA-UHFFFAOYSA-N -1 1 316.342 1.526 20 0 DDADMM CC(C)(Cc1ccccn1)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001178182519 778928442 /nfs/dbraw/zinc/92/84/42/778928442.db2.gz YAPYYBFDOVQNOX-UHFFFAOYSA-N -1 1 303.322 1.072 20 0 DDADMM CCC[C@@H](C)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104008762 779242302 /nfs/dbraw/zinc/24/23/02/779242302.db2.gz RTFZDDHEGHCBNW-JHJVBQTASA-N -1 1 323.441 1.521 20 0 DDADMM CCOC(=O)[C@H]1CCN(C(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001179516129 779392780 /nfs/dbraw/zinc/39/27/80/779392780.db2.gz NIJULFKPRIHYKB-NSHDSACASA-N -1 1 305.330 1.538 20 0 DDADMM C[C@@H]1CCCC[C@@H]1CC(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001267136234 837403532 /nfs/dbraw/zinc/40/35/32/837403532.db2.gz ADDNBLQKCLVMJG-VXGBXAGGSA-N -1 1 309.414 1.275 20 0 DDADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)C12CCC(CC1)C2(C)C ZINC001266869716 836972378 /nfs/dbraw/zinc/97/23/78/836972378.db2.gz DILWGPAUYMWVOO-UHFFFAOYSA-N -1 1 321.425 1.275 20 0 DDADMM C[C@H](C(=O)NCCN(C)C(=O)c1ncccc1[O-])C1CCC1 ZINC001408605412 837975785 /nfs/dbraw/zinc/97/57/85/837975785.db2.gz SBKUBCMBUXMWRM-NSHDSACASA-N -1 1 305.378 1.412 20 0 DDADMM O=C(N[C@H]1CCC[C@@H](F)C1)c1nc[n-]c(=O)c1Br ZINC001362608652 841703582 /nfs/dbraw/zinc/70/35/82/841703582.db2.gz ZKHJUIKYJMALOS-RQJHMYQMSA-N -1 1 318.146 1.955 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H]2CCCC(C)(C)O2)sn1 ZINC001364145123 843033332 /nfs/dbraw/zinc/03/33/32/843033332.db2.gz AXKIHGDWRKQLPM-SECBINFHSA-N -1 1 320.436 1.778 20 0 DDADMM O=c1ncc(I)c(OC2CC=CC2)[n-]1 ZINC001226771545 843178827 /nfs/dbraw/zinc/17/88/27/843178827.db2.gz XVYFHSXFKHZRBZ-UHFFFAOYSA-N -1 1 304.087 1.884 20 0 DDADMM CC/C=C(/C)C(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001272195201 844696163 /nfs/dbraw/zinc/69/61/63/844696163.db2.gz NHDKYWCXMYHNLX-FZXKYSEESA-N -1 1 319.409 1.289 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H]1CC1(C)C)NC(=O)c1ncccc1[O-] ZINC001409258237 844722453 /nfs/dbraw/zinc/72/24/53/844722453.db2.gz VIROERKSGSMERN-QWRGUYRKSA-N -1 1 305.378 1.458 20 0 DDADMM CN1CC[C@@]2(CCN(C(=O)c3c(F)ccc([O-])c3F)C2)C1=O ZINC001272245996 844867906 /nfs/dbraw/zinc/86/79/06/844867906.db2.gz AOMSPJFPJWIIRK-HNNXBMFYSA-N -1 1 310.300 1.365 20 0 DDADMM CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1c(F)ccc([O-])c1F ZINC001272246026 844876823 /nfs/dbraw/zinc/87/68/23/844876823.db2.gz ARCBLWAYDCSWAU-NXEZZACHSA-N -1 1 310.300 1.506 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1csnc1OC)[C@@H]1CCCOC1 ZINC001365457038 846255117 /nfs/dbraw/zinc/25/51/17/846255117.db2.gz CGKVEHJKEXUQNH-ZJUUUORDSA-N -1 1 320.436 1.635 20 0 DDADMM COC[C@H](NC(=O)C(C)=CC1CCC(C)CC1)c1nn[n-]n1 ZINC001155069391 861700063 /nfs/dbraw/zinc/70/00/63/861700063.db2.gz PAMJIPDNNHKNIE-OSFROAGISA-N -1 1 307.398 1.776 20 0 DDADMM COC[C@H](NC(=O)/C(C)=C\C1CCC(C)CC1)c1nn[n-]n1 ZINC001155069391 861700072 /nfs/dbraw/zinc/70/00/72/861700072.db2.gz PAMJIPDNNHKNIE-OSFROAGISA-N -1 1 307.398 1.776 20 0 DDADMM CC(=O)NC[C@H](C)CNC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149657096 861788157 /nfs/dbraw/zinc/78/81/57/861788157.db2.gz QTNOVDHAQRIORZ-JTQLQIEISA-N -1 1 316.357 1.753 20 0 DDADMM CN(C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1)c1nn[n-]n1 ZINC001301547876 847929237 /nfs/dbraw/zinc/92/92/37/847929237.db2.gz MZNYDFUOWWCRTQ-UHFFFAOYSA-N -1 1 303.713 1.520 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3nn(C)c4ccccc43)c1-2 ZINC001149780474 861899189 /nfs/dbraw/zinc/89/91/89/861899189.db2.gz CAJKHRXORMSEPS-UHFFFAOYSA-N -1 1 307.317 1.174 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCO[C@@H](C(F)(F)F)C1 ZINC001155267142 861915504 /nfs/dbraw/zinc/91/55/04/861915504.db2.gz VYLWMFXVCWIIBA-SNVBAGLBSA-N -1 1 315.251 1.672 20 0 DDADMM O=C(N[C@@H]1c2ccccc2C[C@@H]1O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155269924 861918586 /nfs/dbraw/zinc/91/85/86/861918586.db2.gz VICLNVYLGSJGSH-LSDHHAIUSA-N -1 1 309.325 1.657 20 0 DDADMM CC(=O)NCCCCCNC(=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155272874 861920520 /nfs/dbraw/zinc/92/05/20/861920520.db2.gz BVVBRBPFTZAKIS-UHFFFAOYSA-N -1 1 304.350 1.305 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCCC1CC1 ZINC001410876283 849899316 /nfs/dbraw/zinc/89/93/16/849899316.db2.gz VNKQTZBXXHJMBH-ZYHUDNBSSA-N -1 1 307.398 1.170 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C3(Oc4ccccc4)CC3)ccnc1-2 ZINC001411357276 850935416 /nfs/dbraw/zinc/93/54/16/850935416.db2.gz WKOYVGYVHCNGNS-UHFFFAOYSA-N -1 1 308.341 1.892 20 0 DDADMM Cc1ccc2ccc(C(=O)NC[C@@H]3COCCN3C)c([O-])c2n1 ZINC001155660054 862350239 /nfs/dbraw/zinc/35/02/39/862350239.db2.gz QSIOPWBZOTXXIO-CYBMUJFWSA-N -1 1 315.373 1.309 20 0 DDADMM CSc1nc(NC(=O)c2cnn3cccnc23)cc(=O)[n-]1 ZINC001150645710 862385514 /nfs/dbraw/zinc/38/55/14/862385514.db2.gz ZCVDIARYOYNKLU-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CCc2cnn(C)c2)[n-]1 ZINC001411537553 853323418 /nfs/dbraw/zinc/32/34/18/853323418.db2.gz NNMSFVJAQSMCFM-UHFFFAOYSA-N -1 1 318.333 1.309 20 0 DDADMM CN(C(=O)CNC(=O)c1ncccc1[O-])c1ccc(F)c(F)c1 ZINC001411689171 853581794 /nfs/dbraw/zinc/58/17/94/853581794.db2.gz FDTKDWOPSZDYJP-UHFFFAOYSA-N -1 1 321.283 1.458 20 0 DDADMM COCC(=O)N[C@H](CCN(C)C(=O)c1ncccc1[O-])C(C)C ZINC001411813916 853772357 /nfs/dbraw/zinc/77/23/57/853772357.db2.gz USEXXDAWBNJATF-GFCCVEGCSA-N -1 1 323.393 1.037 20 0 DDADMM CC(C)NC(=O)N1CCC12CN(C(=O)c1cc(F)ccc1[O-])C2 ZINC001275917683 853942075 /nfs/dbraw/zinc/94/20/75/853942075.db2.gz HKWLKTVCJZZTSU-UHFFFAOYSA-N -1 1 321.352 1.550 20 0 DDADMM CCc1nnc([C@@H](C)NC(=O)c2cnc(SC)[n-]c2=O)[nH]1 ZINC001411986463 854071434 /nfs/dbraw/zinc/07/14/34/854071434.db2.gz HXXUDCVAIOUMLE-ZCFIWIBFSA-N -1 1 308.367 1.076 20 0 DDADMM CN(C)C(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)c1cccc([O-])c1F)C2 ZINC001275984160 854080816 /nfs/dbraw/zinc/08/08/16/854080816.db2.gz LGTCJCFKBDLJES-GNXNZQSNSA-N -1 1 320.364 1.718 20 0 DDADMM CC(=O)Nc1ccc(S[C@H](C)C(=O)N(C)c2nn[n-]n2)cc1 ZINC001412228067 854348348 /nfs/dbraw/zinc/34/83/48/854348348.db2.gz QFJJUBVYTOWOAT-MRVPVSSYSA-N -1 1 320.378 1.302 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2nccnc2C)[n-]1 ZINC001412277588 854401303 /nfs/dbraw/zinc/40/13/03/854401303.db2.gz JRTRDSJMEKEUCS-UHFFFAOYSA-N -1 1 302.290 1.355 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccn(C(C)C)n2)n[n-]1 ZINC001412327252 854444751 /nfs/dbraw/zinc/44/47/51/854444751.db2.gz OIIQJJYQOIWGSR-VIFPVBQESA-N -1 1 320.353 1.250 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccn(C(C)C)n2)[n-]1 ZINC001412327252 854444756 /nfs/dbraw/zinc/44/47/56/854444756.db2.gz OIIQJJYQOIWGSR-VIFPVBQESA-N -1 1 320.353 1.250 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccn(C(C)C)n2)n1 ZINC001412327252 854444759 /nfs/dbraw/zinc/44/47/59/854444759.db2.gz OIIQJJYQOIWGSR-VIFPVBQESA-N -1 1 320.353 1.250 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2ncccc2[O-])c(F)c1 ZINC001412382516 854494324 /nfs/dbraw/zinc/49/43/24/854494324.db2.gz HDVMYKPDXYHXAK-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM CN(C)C(=O)COc1ccc(NC(=O)c2ccc([O-])cn2)cc1 ZINC001412390517 854499626 /nfs/dbraw/zinc/49/96/26/854499626.db2.gz NCOJIXNPQQRPJX-UHFFFAOYSA-N -1 1 315.329 1.507 20 0 DDADMM O=C(c1cc(C2CCCCC2)n[nH]1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001412493353 854622446 /nfs/dbraw/zinc/62/24/46/854622446.db2.gz UZTKOSATMAHGTI-LLVKDONJSA-N -1 1 315.381 1.600 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@@H]1CSCCS1 ZINC001412527218 854660091 /nfs/dbraw/zinc/66/00/91/854660091.db2.gz INGWXKXLLQZABZ-SNVBAGLBSA-N -1 1 315.468 1.423 20 0 DDADMM COC[C@@H](NC(=O)CCCc1ccc(C)s1)c1nn[n-]n1 ZINC001412609337 854805646 /nfs/dbraw/zinc/80/56/46/854805646.db2.gz OSDFEAQHWRSGFD-LLVKDONJSA-N -1 1 309.395 1.396 20 0 DDADMM CCC[C@@H](NC(=O)c1nnc(C(C)(C)C)s1)c1nn[n-]n1 ZINC001412647217 854873784 /nfs/dbraw/zinc/87/37/84/854873784.db2.gz VHYBCNHAYCMQAE-SSDOTTSWSA-N -1 1 309.399 1.620 20 0 DDADMM O=C(N[C@@H]1CS(=O)(=O)c2ccccc21)c1cccc([O-])c1F ZINC001412729752 855039441 /nfs/dbraw/zinc/03/94/41/855039441.db2.gz YGEVJPQXEYCJAL-LLVKDONJSA-N -1 1 321.329 1.790 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc4ccccc4[nH]c3=O)c1-2 ZINC001151227040 862693334 /nfs/dbraw/zinc/69/33/34/862693334.db2.gz BGZVOWVLFVKNTF-UHFFFAOYSA-N -1 1 320.312 1.541 20 0 DDADMM Cn1ccnc1[C@H](NC(=O)c1ccc([O-])cn1)C(C)(C)CO ZINC001413187154 856527291 /nfs/dbraw/zinc/52/72/91/856527291.db2.gz SHXDNGJRHSHWLJ-LBPRGKRZSA-N -1 1 304.350 1.010 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc(C(C)(C)C)on1 ZINC001413500928 856896703 /nfs/dbraw/zinc/89/67/03/856896703.db2.gz AWRGYJDEWBTSMM-UHFFFAOYSA-N -1 1 306.370 1.784 20 0 DDADMM CCc1ccc(CC(=O)N(Cc2nn[n-]n2)CC(C)C)nc1 ZINC001413507457 856905237 /nfs/dbraw/zinc/90/52/37/856905237.db2.gz ZXTUQIFEVZNJJM-UHFFFAOYSA-N -1 1 302.382 1.384 20 0 DDADMM COC[C@@H](NC(=O)COc1cccc(C(C)C)c1)c1nn[n-]n1 ZINC001413559630 857068466 /nfs/dbraw/zinc/06/84/66/857068466.db2.gz ZWGKASSVAUCYPB-CYBMUJFWSA-N -1 1 319.365 1.206 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@@H]2C[C@H]2C2CCC2)[n-]c1=O ZINC001413628357 857258959 /nfs/dbraw/zinc/25/89/59/857258959.db2.gz XJMZHMXFPSNTHO-WDEREUQCSA-N -1 1 305.378 1.978 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2csc(=O)[nH]2)[n-]c1=O ZINC001413765443 858499402 /nfs/dbraw/zinc/49/94/02/858499402.db2.gz KPHZGNOIYFGXIG-QMMMGPOBSA-N -1 1 322.346 1.330 20 0 DDADMM O=c1[n-]c(-c2nnc(N3CCc4ccccc43)n2CC2CC2)no1 ZINC001123441331 859236093 /nfs/dbraw/zinc/23/60/93/859236093.db2.gz GXMFXRCSYXWPPN-UHFFFAOYSA-N -1 1 324.344 1.726 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1ccc2c(c1)CCO2 ZINC001123548541 859294095 /nfs/dbraw/zinc/29/40/95/859294095.db2.gz ASXRYANJNRRNOV-UHFFFAOYSA-N -1 1 317.370 1.644 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1ccn(CC(F)F)n1 ZINC001123657146 859348339 /nfs/dbraw/zinc/34/83/39/859348339.db2.gz NLALGHCIGQXVMK-UHFFFAOYSA-N -1 1 315.305 1.493 20 0 DDADMM O=C(C=Cc1nccs1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001123829404 859433059 /nfs/dbraw/zinc/43/30/59/859433059.db2.gz MPZPMRLXNGZCHQ-TYRPZCRBSA-N -1 1 306.347 1.651 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@@H](C(C)(C)O)C1 ZINC001123881040 859454105 /nfs/dbraw/zinc/45/41/05/859454105.db2.gz LLDKTCTVEVPYPP-SECBINFHSA-N -1 1 311.407 1.402 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCc3nc(N)ncc3C2)c1 ZINC001138329543 860060018 /nfs/dbraw/zinc/06/00/18/860060018.db2.gz YMRRDRSVGMNIKH-UHFFFAOYSA-N -1 1 312.329 1.084 20 0 DDADMM COc1cccc(CN2CCC[C@@H](OC)C2)c1OCC(=O)[O-] ZINC001139266451 860341044 /nfs/dbraw/zinc/34/10/44/860341044.db2.gz MQBQJRABUSGVIQ-CYBMUJFWSA-N -1 1 309.362 1.769 20 0 DDADMM COc1cccc(C[N@H+]2C[C@@H](C)C[C@H]2CO)c1OCC(=O)[O-] ZINC001139267932 860342274 /nfs/dbraw/zinc/34/22/74/860342274.db2.gz IKIZIXYVQOZDMX-AAEUAGOBSA-N -1 1 309.362 1.361 20 0 DDADMM COc1cccc(CN2CC([C@@H](C)OC)C2)c1OCC(=O)[O-] ZINC001139271005 860344410 /nfs/dbraw/zinc/34/44/10/860344410.db2.gz PNVKCXJSWFOUPI-LLVKDONJSA-N -1 1 309.362 1.625 20 0 DDADMM COc1cccc(CN(C)[C@@H]2CCCOC2)c1OCC(=O)[O-] ZINC001139270736 860345518 /nfs/dbraw/zinc/34/55/18/860345518.db2.gz FISQOQMAAUZCMH-CYBMUJFWSA-N -1 1 309.362 1.769 20 0 DDADMM Cc1[nH]c(CN2CC(N3CCC(F)CC3)C2)c(C)c1C(=O)[O-] ZINC001140497737 860646242 /nfs/dbraw/zinc/64/62/42/860646242.db2.gz RXUPTACQJYCVIR-UHFFFAOYSA-N -1 1 309.385 1.948 20 0 DDADMM Cc1ncc(CO)c(C[N@@H+]2CCN3CC(F)(F)C[C@H]3C2)c1O ZINC001140870321 860718805 /nfs/dbraw/zinc/71/88/05/860718805.db2.gz LTLIEAOTAZIXIJ-LBPRGKRZSA-N -1 1 313.348 1.113 20 0 DDADMM CCOC(=O)[C@H]1C(=O)CCCN1Cc1ccc([O-])c(F)c1F ZINC001140892947 860727054 /nfs/dbraw/zinc/72/70/54/860727054.db2.gz DJLPSJZDUKRVNX-CQSZACIVSA-N -1 1 313.300 1.767 20 0 DDADMM Cc1c(NC(=O)c2cncc([O-])c2)nnn1Cc1ccncc1 ZINC001141388931 860838274 /nfs/dbraw/zinc/83/82/74/860838274.db2.gz YKUISZBDPPCFNK-UHFFFAOYSA-N -1 1 310.317 1.383 20 0 DDADMM O=C([N-]c1cc(N2CCOC2=O)ccc1F)c1cccc[n+]1[O-] ZINC000056210582 861155180 /nfs/dbraw/zinc/15/51/80/861155180.db2.gz SSAPPYHCWZPTFB-UHFFFAOYSA-N -1 1 317.276 1.668 20 0 DDADMM CN(C)c1ncc(Br)c(C(=O)[N-]c2cnoc2)n1 ZINC001361422465 881622948 /nfs/dbraw/zinc/62/29/48/881622948.db2.gz OGBBANYYMHYMPV-UHFFFAOYSA-N -1 1 312.127 1.545 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc3cncnc32)n1 ZINC001156607409 863186268 /nfs/dbraw/zinc/18/62/68/863186268.db2.gz DBGSENYGODSUOQ-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM CC(C)C[C@H](CO)NC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152680642 863490296 /nfs/dbraw/zinc/49/02/96/863490296.db2.gz SZLWTGBEIAXFFZ-SNVBAGLBSA-N -1 1 304.346 1.783 20 0 DDADMM CN(CC(=O)Nc1cc(Cl)c([O-])cc1F)[C@@H]1CCC[C@H]1O ZINC001157244274 863678437 /nfs/dbraw/zinc/67/84/37/863678437.db2.gz UXSVYVZQXYYFDA-VXGBXAGGSA-N -1 1 316.760 1.968 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N(C)CCNC(=O)C(C)C)c1 ZINC001153388879 863865937 /nfs/dbraw/zinc/86/59/37/863865937.db2.gz IOVRKPWHVZLANH-UHFFFAOYSA-N -1 1 306.362 1.357 20 0 DDADMM CCOc1cccc(C=CC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001153871269 864183504 /nfs/dbraw/zinc/18/35/04/864183504.db2.gz DCJRSIDDMRNAQR-BQYQJAHWSA-N -1 1 313.361 1.807 20 0 DDADMM O=C(NCc1cnc[nH]1)c1cc(=O)c2cc(Cl)ccc2[nH]1 ZINC001153899661 864205444 /nfs/dbraw/zinc/20/54/44/864205444.db2.gz MAABHPVAIBSDKZ-UHFFFAOYSA-N -1 1 302.721 1.835 20 0 DDADMM CC(C)[C@@H]1C[C@H]1NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001330788522 864605602 /nfs/dbraw/zinc/60/56/02/864605602.db2.gz ZGGZVYPRMDKHLU-CMPLNLGQSA-N -1 1 310.781 1.823 20 0 DDADMM C[C@@H](NC(=O)CCC1CCCCC1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001381460354 881840185 /nfs/dbraw/zinc/84/01/85/881840185.db2.gz XLSSHRQEFYHVRR-NWDGAFQWSA-N -1 1 323.441 1.854 20 0 DDADMM Cc1cnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc1NC(C)C ZINC001159184938 865152466 /nfs/dbraw/zinc/15/24/66/865152466.db2.gz KNTOTMQQBCIXKQ-UHFFFAOYSA-N -1 1 316.325 1.378 20 0 DDADMM COc1ccc(Cl)c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159189564 865155744 /nfs/dbraw/zinc/15/57/44/865155744.db2.gz LXVHALHJIYORPG-UHFFFAOYSA-N -1 1 308.685 1.516 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncc(F)c4cc[nH]c43)c2[nH]1 ZINC001159190059 865155790 /nfs/dbraw/zinc/15/57/90/865155790.db2.gz RUVHIGVNNFCKFN-UHFFFAOYSA-N -1 1 301.241 1.475 20 0 DDADMM Cc1cn(-c2ccc(Nc3[n-]c(=O)nc4nc[nH]c43)nn2)cn1 ZINC001159198765 865162423 /nfs/dbraw/zinc/16/24/23/865162423.db2.gz WQCFTOMRCLOVRQ-UHFFFAOYSA-N -1 1 309.293 1.086 20 0 DDADMM Cc1csc2nc(C)nc(Nc3c(O)[nH]c(=O)[n-]c3=S)c12 ZINC001160858354 866152396 /nfs/dbraw/zinc/15/23/96/866152396.db2.gz RCDFZFOXKHFHBO-SSDOTTSWSA-N -1 1 321.387 1.255 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC001333365982 866674486 /nfs/dbraw/zinc/67/44/86/866674486.db2.gz NWZZHPVEORACMR-CQSZACIVSA-N -1 1 305.378 1.273 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1CCC[C@H](C(=O)OC)C1 ZINC001225898416 882098010 /nfs/dbraw/zinc/09/80/10/882098010.db2.gz AHCCXNSIAIPRMV-UWVGGRQHSA-N -1 1 324.333 1.470 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1CC[C@@H](C(=O)OC)CC1 ZINC001225901922 882102586 /nfs/dbraw/zinc/10/25/86/882102586.db2.gz YEQDSTAWUQIGOL-AOOOYVTPSA-N -1 1 324.333 1.470 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cc(Br)c[nH]c2=O)c1[O-] ZINC001361681091 882148628 /nfs/dbraw/zinc/14/86/28/882148628.db2.gz GEOVSDTUGMHTKM-UHFFFAOYSA-N -1 1 313.111 1.539 20 0 DDADMM Cc1ccc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)o1 ZINC001163299760 868323285 /nfs/dbraw/zinc/32/32/85/868323285.db2.gz YWYJMCISNWHVBE-UHFFFAOYSA-N -1 1 319.365 1.102 20 0 DDADMM CCOC(=O)[C@H]1CSCCN1C(=O)c1cccc([O-])c1F ZINC001361697130 882182088 /nfs/dbraw/zinc/18/20/88/882182088.db2.gz YZSHYUBPQAAZAS-SNVBAGLBSA-N -1 1 313.350 1.652 20 0 DDADMM O=C(C(=O)N1CCCCCCNC(=O)CC1)c1ccc([O-])cc1 ZINC001335771994 868528549 /nfs/dbraw/zinc/52/85/49/868528549.db2.gz QTEASVQXJUSDEJ-UHFFFAOYSA-N -1 1 318.373 1.484 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2cc3n(n2)CCCC3)c1 ZINC001163937712 868809213 /nfs/dbraw/zinc/80/92/13/868809213.db2.gz HVAXVRUJCNTMCS-UHFFFAOYSA-N -1 1 313.357 1.976 20 0 DDADMM CC1(c2cccc(NCC[N-]C(=O)C(F)(F)F)n2)OCCO1 ZINC001164245871 869055054 /nfs/dbraw/zinc/05/50/54/869055054.db2.gz BISXJMVRVFUGMZ-UHFFFAOYSA-N -1 1 319.283 1.392 20 0 DDADMM O=C(NCCC1CCC1)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001336689594 869094260 /nfs/dbraw/zinc/09/42/60/869094260.db2.gz VNZKIQIBRPFWIO-UHFFFAOYSA-N -1 1 310.781 1.968 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001337947749 869709979 /nfs/dbraw/zinc/70/99/79/869709979.db2.gz RDSZCISBVKPVRQ-PEXLVHELSA-N -1 1 303.362 1.473 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=Cc2ccc[nH]2)n[n-]1 ZINC001166126247 869847952 /nfs/dbraw/zinc/84/79/52/869847952.db2.gz JAKFPQJUJHRYJQ-XCODYQFDSA-N -1 1 303.322 1.200 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=Cc2ccc[nH]2)[n-]1 ZINC001166126247 869847962 /nfs/dbraw/zinc/84/79/62/869847962.db2.gz JAKFPQJUJHRYJQ-XCODYQFDSA-N -1 1 303.322 1.200 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C=Cc2ccc[nH]2)n1 ZINC001166126247 869847980 /nfs/dbraw/zinc/84/79/80/869847980.db2.gz JAKFPQJUJHRYJQ-XCODYQFDSA-N -1 1 303.322 1.200 20 0 DDADMM C/C=C\C[C@@H]1CCCN(c2nnc(-c3noc(=O)[n-]3)n2CC)C1 ZINC001340265922 870996689 /nfs/dbraw/zinc/99/66/89/870996689.db2.gz PRCHNNLWOUJDGB-USEMIUTHSA-N -1 1 318.381 1.824 20 0 DDADMM C[C@H](CCNC(=O)[C@]1(C(=O)[O-])CNCCO1)CC(C)(C)C ZINC001340322903 871032789 /nfs/dbraw/zinc/03/27/89/871032789.db2.gz YXXIYOAYINEQLS-ABAIWWIYSA-N -1 1 300.399 1.008 20 0 DDADMM Cn1cc(-c2n[nH]cc2C(=O)Nc2cccc(F)c2[O-])cn1 ZINC001302487743 871071728 /nfs/dbraw/zinc/07/17/28/871071728.db2.gz XHQTYNCWBAXQAK-UHFFFAOYSA-N -1 1 301.281 1.907 20 0 DDADMM Nc1cc(Cl)ccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001302759191 871081168 /nfs/dbraw/zinc/08/11/68/871081168.db2.gz NBQUNUMBXYOPDL-UHFFFAOYSA-N -1 1 306.757 1.455 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cc(CC2CC2)[nH]n1 ZINC001302770708 871082314 /nfs/dbraw/zinc/08/23/14/871082314.db2.gz WSBLPDJVYQKZFN-UHFFFAOYSA-N -1 1 305.363 1.707 20 0 DDADMM CCC(=CC(=O)N[C@H](COC)c1nn[n-]n1)c1ccc(C)cc1 ZINC001303580025 871139571 /nfs/dbraw/zinc/13/95/71/871139571.db2.gz NBEMOMRTXNCDMM-BRADTZPFSA-N -1 1 315.377 1.805 20 0 DDADMM COC(=O)CC1CC(NC(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001303647172 871146510 /nfs/dbraw/zinc/14/65/10/871146510.db2.gz BXIZPCRRFYNGFC-UHFFFAOYSA-N -1 1 305.330 1.585 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccco1)c1ccc(Cl)nc1F ZINC001309222558 871556964 /nfs/dbraw/zinc/55/69/64/871556964.db2.gz CPPFEONAKNKVLJ-SSDOTTSWSA-N -1 1 320.729 1.479 20 0 DDADMM CCn1c(-c2cc(Cl)ncc2[O-])nnc1N1CC[C@H](OC)C1 ZINC001342833586 872406662 /nfs/dbraw/zinc/40/66/62/872406662.db2.gz ACBXEEKAJBPCMV-VIFPVBQESA-N -1 1 323.784 1.944 20 0 DDADMM Cc1c([C@@H](C)Oc2cc(=O)[n-]c(N3CCOCC3)n2)cnn1C ZINC001226720996 882611000 /nfs/dbraw/zinc/61/10/00/882611000.db2.gz BDKOSEVUHCXGGJ-LLVKDONJSA-N -1 1 319.365 1.201 20 0 DDADMM COCCCN(C)c1nnc(-c2c[n-][nH]c2=O)n1CC(C)C ZINC001343607646 872684873 /nfs/dbraw/zinc/68/48/73/872684873.db2.gz IZSHAFYMJJXVAG-UHFFFAOYSA-N -1 1 308.386 1.503 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H]2CCO[C@@H]2C)[n-]c1Cl ZINC001361964799 882722981 /nfs/dbraw/zinc/72/29/81/882722981.db2.gz UTKPNYASMQJYDR-RQJHMYQMSA-N -1 1 301.730 1.603 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)CCCn1ccnc1 ZINC001347041557 873989795 /nfs/dbraw/zinc/98/97/95/873989795.db2.gz FLNGRDNKSSLNPZ-UHFFFAOYSA-N -1 1 307.379 1.138 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2CC[C@@H]1[C@@H]2Oc1cnc[n-]c1=O ZINC001227050561 882796606 /nfs/dbraw/zinc/79/66/06/882796606.db2.gz AURKATIKMZIWSA-CKYFFXLPSA-N -1 1 307.350 1.959 20 0 DDADMM COC(=O)C[C@H]1CSCCN1C(=O)c1ccc(F)c([O-])c1 ZINC001362003993 882801307 /nfs/dbraw/zinc/80/13/07/882801307.db2.gz QMSZSJQJAVNKEL-JTQLQIEISA-N -1 1 313.350 1.652 20 0 DDADMM O=Cc1cc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)ccc1F ZINC001348167837 874395081 /nfs/dbraw/zinc/39/50/81/874395081.db2.gz YVUWOXQXPCZXCT-JTQLQIEISA-N -1 1 317.324 1.246 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCCC[C@]12CCOC2 ZINC001348202186 874419785 /nfs/dbraw/zinc/41/97/85/874419785.db2.gz WOSZXTWTYGGTBH-OAHLLOKOSA-N -1 1 318.402 1.803 20 0 DDADMM COC[C@H](C)OC[C@H](C)Oc1[n-]c(=O)ncc1Br ZINC001227139034 882873087 /nfs/dbraw/zinc/87/30/87/882873087.db2.gz XWPZDXQHGFIWKK-YUMQZZPRSA-N -1 1 321.171 1.764 20 0 DDADMM CC(C)(C)CCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210206158 875268452 /nfs/dbraw/zinc/26/84/52/875268452.db2.gz KGAYCBHYEWUXQA-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM C[C@H](O)c1cccc([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001210515174 875421014 /nfs/dbraw/zinc/42/10/14/875421014.db2.gz QZBGRJHBEIBDSO-VIFPVBQESA-N -1 1 307.375 1.705 20 0 DDADMM O=C(c1ccc(Cn2cccc2)cc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001350236431 875593008 /nfs/dbraw/zinc/59/30/08/875593008.db2.gz XMGHVYYWRBAIOY-HNNXBMFYSA-N -1 1 322.372 1.679 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)NCCCCCCNC(=O)c1ncccc1[O-] ZINC001350413927 875676403 /nfs/dbraw/zinc/67/64/03/875676403.db2.gz MVNKDWPYLOZMSV-OLZOCXBDSA-N -1 1 319.405 1.850 20 0 DDADMM CSc1ncc(C(=O)NC[C@@]2(C)CCCC[C@H]2O)c(=O)[n-]1 ZINC001362069651 882958636 /nfs/dbraw/zinc/95/86/36/882958636.db2.gz MLSHASCLUNOPNK-QMTHXVAHSA-N -1 1 311.407 1.575 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3nccc(OC)c3F)no2)[n-]n1 ZINC001213463707 875946505 /nfs/dbraw/zinc/94/65/05/875946505.db2.gz MQOZRQBTUITFSQ-UHFFFAOYSA-N -1 1 319.252 1.456 20 0 DDADMM O=S(=O)([N-]CCOc1cccc(F)c1)c1c[nH]nc1Cl ZINC001213697580 876028499 /nfs/dbraw/zinc/02/84/99/876028499.db2.gz CWRIBHLPCCJORI-UHFFFAOYSA-N -1 1 319.745 1.560 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CCC=CO1 ZINC001362083747 882991651 /nfs/dbraw/zinc/99/16/51/882991651.db2.gz RSAMMJSUXWVYQD-GFCCVEGCSA-N -1 1 302.334 1.361 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cnn(C)c1C(F)F ZINC001351698632 876388246 /nfs/dbraw/zinc/38/82/46/876388246.db2.gz FYLWUOJZGDWINS-UHFFFAOYSA-N -1 1 315.305 1.703 20 0 DDADMM C[C@H](CS(C)(=O)=O)N(C(=O)c1ccc(F)c([O-])c1)C1CC1 ZINC001362098129 883033000 /nfs/dbraw/zinc/03/30/00/883033000.db2.gz UXVSTTWYBDGXNR-SECBINFHSA-N -1 1 315.366 1.569 20 0 DDADMM NC(=O)C1(NC(=O)c2c([O-])cnc3c(F)cccc32)CCCC1 ZINC001362103595 883045056 /nfs/dbraw/zinc/04/50/56/883045056.db2.gz QCSIHIVRYOOCDF-UHFFFAOYSA-N -1 1 317.320 1.607 20 0 DDADMM CCc1nocc1CN(C)C(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001352252939 876662864 /nfs/dbraw/zinc/66/28/64/876662864.db2.gz YWSQRNGJDJCHBY-UHFFFAOYSA-N -1 1 308.363 1.602 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1cccnc1F)c1nccs1 ZINC000401841983 876694464 /nfs/dbraw/zinc/69/44/64/876694464.db2.gz RVNSFSFVOZEMTR-UHFFFAOYSA-N -1 1 301.368 1.891 20 0 DDADMM CCOC(=O)c1nnsc1[N-]c1ccc(C(N)=O)cc1OC ZINC001215633283 876772401 /nfs/dbraw/zinc/77/24/01/876772401.db2.gz DBZSZRFBCPNYMW-UHFFFAOYSA-N -1 1 322.346 1.566 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(CF)cc1)NCc1n[nH]c(=O)[n-]1 ZINC001379324838 876824639 /nfs/dbraw/zinc/82/46/39/876824639.db2.gz FKHWISCBFAWGLZ-SNVBAGLBSA-N -1 1 321.356 1.230 20 0 DDADMM O=C(Nc1ccncc1[O-])c1nscc1Br ZINC001413849597 876892900 /nfs/dbraw/zinc/89/29/00/876892900.db2.gz RTRLGANQRODCOJ-UHFFFAOYSA-N -1 1 300.137 1.680 20 0 DDADMM CCCn1cc(NC(=O)c2cnc(SC)[n-]c2=O)ccc1=O ZINC001362120426 883089940 /nfs/dbraw/zinc/08/99/40/883089940.db2.gz GHKGHLPBSWMXFD-UHFFFAOYSA-N -1 1 320.374 1.728 20 0 DDADMM N#Cc1ccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)cc1F ZINC000307923862 877075210 /nfs/dbraw/zinc/07/52/10/877075210.db2.gz LSDZAKRXLAEVIL-UHFFFAOYSA-N -1 1 307.306 1.786 20 0 DDADMM COCc1nc(N[C@H](C)c2nnc3ccccn32)cc(=O)[n-]1 ZINC001355216882 878464735 /nfs/dbraw/zinc/46/47/35/878464735.db2.gz WKZSESCHVFLEEV-SECBINFHSA-N -1 1 300.322 1.544 20 0 DDADMM O=C([O-])C1CCC(C(=O)N2CCN(C3CCCC3)CC2)CC1 ZINC000315632397 879125565 /nfs/dbraw/zinc/12/55/65/879125565.db2.gz YJBYRTFGEKLEON-UHFFFAOYSA-N -1 1 308.422 1.964 20 0 DDADMM CC(C)=CC(=O)NCC1(NC(=O)c2ncccc2[O-])CCCC1 ZINC001356935411 879603806 /nfs/dbraw/zinc/60/38/06/879603806.db2.gz RRFICYBLQAMVCH-UHFFFAOYSA-N -1 1 317.389 1.912 20 0 DDADMM C[C@@H](NC(=O)C1CC2(CC2)C1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001380446446 879671085 /nfs/dbraw/zinc/67/10/85/879671085.db2.gz ZFTPXIQYTVKUCT-MNOVXSKESA-N -1 1 317.389 1.600 20 0 DDADMM CC[C@H]1CCN(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)C1 ZINC001357910722 880248874 /nfs/dbraw/zinc/24/88/74/880248874.db2.gz QITFRQIDTRKSFY-LBPRGKRZSA-N -1 1 324.392 1.743 20 0 DDADMM CC[C@H]1CCN(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)C1 ZINC001357910722 880248892 /nfs/dbraw/zinc/24/88/92/880248892.db2.gz QITFRQIDTRKSFY-LBPRGKRZSA-N -1 1 324.392 1.743 20 0 DDADMM CNC(=O)c1ccc(-c2ccc(-c3nnn[n-]3)nc2)c(OC)c1 ZINC001222510792 880526259 /nfs/dbraw/zinc/52/62/59/880526259.db2.gz TVWMEBVIJPFVSP-UHFFFAOYSA-N -1 1 310.317 1.297 20 0 DDADMM CNC(=O)c1ccc(-c2ccc(-c3nn[n-]n3)nc2)c(OC)c1 ZINC001222510792 880526270 /nfs/dbraw/zinc/52/62/70/880526270.db2.gz TVWMEBVIJPFVSP-UHFFFAOYSA-N -1 1 310.317 1.297 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H](F)[C@H](OCc2nn[n-]n2)C1 ZINC001223029700 880790295 /nfs/dbraw/zinc/79/02/95/880790295.db2.gz OIMXAZGKBXONNE-VHSXEESVSA-N -1 1 315.349 1.454 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC(Cc2ccc(Cl)cc2)C1 ZINC001362180907 883230048 /nfs/dbraw/zinc/23/00/48/883230048.db2.gz IHDFEJQQQFHYMB-UHFFFAOYSA-N -1 1 319.796 1.877 20 0 DDADMM C[C@@](NC(=O)c1ccc([O-])cn1)(C(N)=O)c1cccc(Cl)c1 ZINC001362185699 883242748 /nfs/dbraw/zinc/24/27/48/883242748.db2.gz JIBOAZMOWOFGHQ-HNNXBMFYSA-N -1 1 319.748 1.571 20 0 DDADMM COC(=O)[C@@H](c1ccccc1)N(C)C(=O)c1cnncc1[O-] ZINC001362223128 883338577 /nfs/dbraw/zinc/33/85/77/883338577.db2.gz VLAHNCLTSCTFGO-CYBMUJFWSA-N -1 1 301.302 1.169 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])N[C@H](C)c1ncccn1 ZINC001382069539 883341065 /nfs/dbraw/zinc/34/10/65/883341065.db2.gz RXUZVSADVCGMMX-VXGBXAGGSA-N -1 1 315.377 1.389 20 0 DDADMM CC(C)(C)OC(=O)CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362282602 883477035 /nfs/dbraw/zinc/47/70/35/883477035.db2.gz DDILWBKBTTWTMT-UHFFFAOYSA-N -1 1 307.350 1.521 20 0 DDADMM CO[C@@H]1CC[N@@H+](CCOc2ccc(-n3nn[n-]c3=S)cc2)C1 ZINC001228439070 883498890 /nfs/dbraw/zinc/49/88/90/883498890.db2.gz YENHOALQUGIFMT-CYBMUJFWSA-N -1 1 321.406 1.051 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@@H]1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001362296956 883507696 /nfs/dbraw/zinc/50/76/96/883507696.db2.gz LLXDPUSOCCMQTK-BIGNPOOSSA-N -1 1 317.349 1.388 20 0 DDADMM Cc1cc(NC(=O)CCCc2nn[n-]n2)ccc1C(=O)N(C)C ZINC001362318439 883553849 /nfs/dbraw/zinc/55/38/49/883553849.db2.gz HGJZJGWNIYILGK-UHFFFAOYSA-N -1 1 316.365 1.171 20 0 DDADMM COC(=O)C[C@H]1CSCCN1C(=O)c1ccc([O-])cc1F ZINC001362334215 883589142 /nfs/dbraw/zinc/58/91/42/883589142.db2.gz GCTWBYQNZYTQCS-VIFPVBQESA-N -1 1 313.350 1.652 20 0 DDADMM Cc1conc1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC001362374889 883669297 /nfs/dbraw/zinc/66/92/97/883669297.db2.gz FAUCVRSUAKKFSY-UHFFFAOYSA-N -1 1 313.310 1.746 20 0 DDADMM CC(=O)OC[C@H](CO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362464742 883871762 /nfs/dbraw/zinc/87/17/62/883871762.db2.gz FYPRNRVONBDPDH-VIFPVBQESA-N -1 1 321.251 1.065 20 0 DDADMM O=C(NC[C@@H]1CS(=O)(=O)c2ccccc21)c1cncc([O-])c1 ZINC001362480502 883904583 /nfs/dbraw/zinc/90/45/83/883904583.db2.gz GHEYWVVZHVYGBO-LLVKDONJSA-N -1 1 318.354 1.088 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(C2CC2)[nH]c1=O)c1nn[n-]n1 ZINC001362495618 883938998 /nfs/dbraw/zinc/93/89/98/883938998.db2.gz JMWYKCWLMUCJDJ-UHFFFAOYSA-N -1 1 316.365 1.623 20 0 DDADMM CC[C@]1(C)Oc2ccc(NC(=O)CCc3nn[n-]n3)cc2O1 ZINC001362496207 883939968 /nfs/dbraw/zinc/93/99/68/883939968.db2.gz FEUFPVSUTUVJED-CQSZACIVSA-N -1 1 303.322 1.668 20 0 DDADMM CCC(=O)c1ccc([O-])cc1O[C@@H]1CC(=O)N(CC(=O)OC)C1 ZINC001229475355 884004411 /nfs/dbraw/zinc/00/44/11/884004411.db2.gz VEZSRQDTDKNFQD-LLVKDONJSA-N -1 1 321.329 1.138 20 0 DDADMM Cc1ccc(NC(=O)CCc2nn[n-]n2)cc1NC(=O)N(C)C ZINC001362544073 884053810 /nfs/dbraw/zinc/05/38/10/884053810.db2.gz LLNABRBEMGPLAZ-UHFFFAOYSA-N -1 1 317.353 1.173 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(CC(C)=O)CC(C)C ZINC001362579626 884138907 /nfs/dbraw/zinc/13/89/07/884138907.db2.gz XHWIQFBMNKVQDS-UHFFFAOYSA-N -1 1 311.407 1.900 20 0 DDADMM COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1c([O-])cccc1Cl ZINC001362601758 884190761 /nfs/dbraw/zinc/19/07/61/884190761.db2.gz OHJGNMOOTCGJHD-JTQLQIEISA-N -1 1 323.736 1.283 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@@H]1c1ncon1 ZINC001362617981 884234653 /nfs/dbraw/zinc/23/46/53/884234653.db2.gz GEYRMYYXTGTNAM-SNVBAGLBSA-N -1 1 301.306 1.420 20 0 DDADMM CCC(CC)(NC(=O)CO[C@@H]1CCCC[C@H]1C)c1nn[n-]n1 ZINC001362632116 884273951 /nfs/dbraw/zinc/27/39/51/884273951.db2.gz MYKJPTCXYGLYIL-VXGBXAGGSA-N -1 1 309.414 1.927 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCCC[C@H]1C1CCC1 ZINC001362650242 884321354 /nfs/dbraw/zinc/32/13/54/884321354.db2.gz SXXLPIPKIXNARK-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)(C)OCc1cc(CNC(=O)c2cnncc2[O-])no1 ZINC001362650697 884324047 /nfs/dbraw/zinc/32/40/47/884324047.db2.gz FEUCLTWQVKNOPJ-UHFFFAOYSA-N -1 1 306.322 1.415 20 0 DDADMM COC(=O)c1c[n-]c(O[C@H](C(=O)OC)c2cccc(F)c2)n1 ZINC001230282121 884407950 /nfs/dbraw/zinc/40/79/50/884407950.db2.gz PKYUPRXSCHAKFB-NSHDSACASA-N -1 1 308.265 1.629 20 0 DDADMM COC(=O)c1c[n-]c(O[C@@H]2CCCN(c3ccc(C)cn3)C2)n1 ZINC001230281908 884408140 /nfs/dbraw/zinc/40/81/40/884408140.db2.gz KUXMOJYMMQDXIH-GFCCVEGCSA-N -1 1 316.361 1.948 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(C)cc1OC)c1nn[n-]n1 ZINC001362788194 884640009 /nfs/dbraw/zinc/64/00/09/884640009.db2.gz UUIYBHMDWKOJOE-UHFFFAOYSA-N -1 1 303.366 1.962 20 0 DDADMM CC(C)(C)[C@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)c1nc(=O)o[n-]1 ZINC001362823749 884725930 /nfs/dbraw/zinc/72/59/30/884725930.db2.gz RWDCLHDZRFZKCA-GZMMTYOYSA-N -1 1 319.365 1.095 20 0 DDADMM CCC[C@H](O)[C@@H](CO)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001362831566 884747184 /nfs/dbraw/zinc/74/71/84/884747184.db2.gz LRHUBJSLXFHLAG-CABCVRRESA-N -1 1 319.357 1.503 20 0 DDADMM O=C(Cc1ccc(F)c(Cl)c1)NC1(c2nn[n-]n2)CCC1 ZINC001362833224 884751764 /nfs/dbraw/zinc/75/17/64/884751764.db2.gz FWCKBCMZWFUJNR-UHFFFAOYSA-N -1 1 309.732 1.730 20 0 DDADMM C[C@H](C(=O)NC1(c2nn[n-]n2)CCC1)c1ccc(F)cc1F ZINC001362835426 884759582 /nfs/dbraw/zinc/75/95/82/884759582.db2.gz MEUQRJTVXMFORQ-QMMMGPOBSA-N -1 1 307.304 1.777 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccc(F)cc2)C1=O)c1cncc([O-])c1 ZINC001362873252 884851940 /nfs/dbraw/zinc/85/19/40/884851940.db2.gz IMIKTRZWSGARME-CQSZACIVSA-N -1 1 315.304 1.462 20 0 DDADMM Cc1nc(CCCC(=O)NCc2nc([O-])cc(=O)[nH]2)cs1 ZINC001363038321 885293137 /nfs/dbraw/zinc/29/31/37/885293137.db2.gz NUPZGVZZLOHYOE-UHFFFAOYSA-N -1 1 308.363 1.292 20 0 DDADMM CCn1ccc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n1 ZINC001363057162 885355101 /nfs/dbraw/zinc/35/51/01/885355101.db2.gz OAZWORFHEASBBJ-UHFFFAOYSA-N -1 1 303.366 1.760 20 0 DDADMM COC(=O)c1c(NC(=O)CCC2CCC2)n[n-]c1OCCO ZINC001363117682 885506127 /nfs/dbraw/zinc/50/61/27/885506127.db2.gz CUIKSUZKRWQZQF-UHFFFAOYSA-N -1 1 311.338 1.086 20 0 DDADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)CCC1CCC1 ZINC001363117682 885506142 /nfs/dbraw/zinc/50/61/42/885506142.db2.gz CUIKSUZKRWQZQF-UHFFFAOYSA-N -1 1 311.338 1.086 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc(Cl)cc1[O-])c1ccnn1C ZINC001363120086 885511637 /nfs/dbraw/zinc/51/16/37/885511637.db2.gz BDMVQAUNWXBYAF-GFCCVEGCSA-N -1 1 323.736 1.423 20 0 DDADMM CCC[C@@H]1C[C@@H](C(=O)NCc2n[n-]c(C(=O)OCC)n2)CCO1 ZINC001363120577 885514017 /nfs/dbraw/zinc/51/40/17/885514017.db2.gz XAPLYQHOBLAGGC-WDEREUQCSA-N -1 1 324.381 1.193 20 0 DDADMM CCC[C@@H]1C[C@@H](C(=O)NCc2nc(C(=O)OCC)n[n-]2)CCO1 ZINC001363120577 885514021 /nfs/dbraw/zinc/51/40/21/885514021.db2.gz XAPLYQHOBLAGGC-WDEREUQCSA-N -1 1 324.381 1.193 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2C(C)(C)C2(F)F)c(=O)[n-]1 ZINC001363127353 885533779 /nfs/dbraw/zinc/53/37/79/885533779.db2.gz WPHAHEGDQLBJKB-SSDOTTSWSA-N -1 1 303.334 1.925 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2C[C@H]2c2ccncc2)cc(=O)[n-]1 ZINC001363144565 885575088 /nfs/dbraw/zinc/57/50/88/885575088.db2.gz ZZFTYZMTPIREQX-NWDGAFQWSA-N -1 1 316.386 1.719 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCCC2(CC2)CC1 ZINC001363169523 885629499 /nfs/dbraw/zinc/62/94/99/885629499.db2.gz AAFSDBVBTGQTPW-UHFFFAOYSA-N -1 1 303.362 1.310 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc([C@H](C)O)s2)n1 ZINC001363210477 885723928 /nfs/dbraw/zinc/72/39/28/885723928.db2.gz IPEJZVIVLYHSQL-LURJTMIESA-N -1 1 310.335 1.349 20 0 DDADMM COCc1nnc2n1CCN(C(=O)c1c(F)ccc([O-])c1F)C2 ZINC001363212724 885727593 /nfs/dbraw/zinc/72/75/93/885727593.db2.gz OHUWBVCJWCDIMG-UHFFFAOYSA-N -1 1 324.287 1.064 20 0 DDADMM COc1ccc(F)c(CNC(=O)c2cnc(SC)[n-]c2=O)c1 ZINC001363296925 885943198 /nfs/dbraw/zinc/94/31/98/885943198.db2.gz YKBCYIUELMMVAC-UHFFFAOYSA-N -1 1 323.349 1.982 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)C(=O)NC(C)C ZINC001363332952 886047986 /nfs/dbraw/zinc/04/79/86/886047986.db2.gz WHTZXGFNTIKTRP-JTQLQIEISA-N -1 1 308.382 1.257 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc(C2OCCO2)cc1 ZINC001363375612 886170433 /nfs/dbraw/zinc/17/04/33/886170433.db2.gz BOWUNGYKMFFVAI-UHFFFAOYSA-N -1 1 315.333 1.054 20 0 DDADMM COC[C@H](NC(=O)[C@@H](C)Cc1ccccc1C)c1nn[n-]n1 ZINC001363420523 886288947 /nfs/dbraw/zinc/28/89/47/886288947.db2.gz XZIMVSWNPMQFEN-AAEUAGOBSA-N -1 1 303.366 1.191 20 0 DDADMM COC[C@H](NC(=O)c1cc2c(s1)CC[C@H](C)C2)c1nn[n-]n1 ZINC001363440296 886341193 /nfs/dbraw/zinc/34/11/93/886341193.db2.gz YKOSYIZSQNWSNU-WPRPVWTQSA-N -1 1 321.406 1.504 20 0 DDADMM CSc1nc(CNC(=O)CCc2cc(C)on2)cc(=O)[n-]1 ZINC001363456404 886389140 /nfs/dbraw/zinc/38/91/40/886389140.db2.gz JUBKXLPSNRDKRK-UHFFFAOYSA-N -1 1 308.363 1.450 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(CC(C)=O)CCC2)n[n-]1 ZINC001363476717 886442734 /nfs/dbraw/zinc/44/27/34/886442734.db2.gz UAGXHKJIPOLMHK-JTQLQIEISA-N -1 1 322.365 1.308 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(CC(C)=O)CCC2)[n-]1 ZINC001363476717 886442738 /nfs/dbraw/zinc/44/27/38/886442738.db2.gz UAGXHKJIPOLMHK-JTQLQIEISA-N -1 1 322.365 1.308 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(CC(C)=O)CCC2)n1 ZINC001363476717 886442748 /nfs/dbraw/zinc/44/27/48/886442748.db2.gz UAGXHKJIPOLMHK-JTQLQIEISA-N -1 1 322.365 1.308 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)N2CCOCN2)c([O-])c1 ZINC001363521644 886545394 /nfs/dbraw/zinc/54/53/94/886545394.db2.gz HICDNMSOWFOONR-UHFFFAOYSA-N -1 1 323.349 1.674 20 0 DDADMM COc1nscc1[N-]C(=O)c1ccc(S(C)(=O)=O)s1 ZINC001363539023 886582956 /nfs/dbraw/zinc/58/29/56/886582956.db2.gz FTKZTAGERZSIBQ-UHFFFAOYSA-N -1 1 318.401 1.869 20 0 DDADMM [O-]c1cc(CN2Cc3ccnn3CC[C@H]2CO)cc(F)c1F ZINC001232974563 886705953 /nfs/dbraw/zinc/70/59/53/886705953.db2.gz XWKNXMTVDSSUOM-LBPRGKRZSA-N -1 1 309.316 1.634 20 0 DDADMM O=C1OCCN1C1CCN(Cc2cc(Cl)ncc2[O-])CC1 ZINC001233039489 886749052 /nfs/dbraw/zinc/74/90/52/886749052.db2.gz XRUWGIYFIPIGBC-UHFFFAOYSA-N -1 1 311.769 1.857 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@@H]1CCC2(CCC2)O1 ZINC001363621384 886788858 /nfs/dbraw/zinc/78/88/58/886788858.db2.gz LNAPBOHVJFGAPO-VIFPVBQESA-N -1 1 323.349 1.118 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC([C@@H]2COC(=O)N2)CC1 ZINC001363643200 886862878 /nfs/dbraw/zinc/86/28/78/886862878.db2.gz AKDOJLLOGLJLJS-NSHDSACASA-N -1 1 308.309 1.492 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)Nc2nc(C)cc(OC)n2)[n-]1 ZINC001363744984 887124897 /nfs/dbraw/zinc/12/48/97/887124897.db2.gz TXLPGLVPXCBKQI-MRVPVSSYSA-N -1 1 306.326 1.262 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)Nc2nc(C)cc(OC)n2)n[n-]1 ZINC001363744984 887124904 /nfs/dbraw/zinc/12/49/04/887124904.db2.gz TXLPGLVPXCBKQI-MRVPVSSYSA-N -1 1 306.326 1.262 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)Nc2nc(C)cc(OC)n2)n1 ZINC001363744984 887124911 /nfs/dbraw/zinc/12/49/11/887124911.db2.gz TXLPGLVPXCBKQI-MRVPVSSYSA-N -1 1 306.326 1.262 20 0 DDADMM O=C(NC1CC(NCC(F)(F)F)C1)c1cccc([O-])c1F ZINC001363777313 887191858 /nfs/dbraw/zinc/19/18/58/887191858.db2.gz DPBBJDUFLWQELH-UHFFFAOYSA-N -1 1 306.259 1.944 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC1CN(Cc2ccccc2)C1 ZINC001233755898 887280685 /nfs/dbraw/zinc/28/06/85/887280685.db2.gz GUKWDOACLZBFQN-UHFFFAOYSA-N -1 1 315.329 1.232 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@H]1Cc2ccccc2O1 ZINC001233756730 887281569 /nfs/dbraw/zinc/28/15/69/887281569.db2.gz RTTZCPAIYGQQNF-SNVBAGLBSA-N -1 1 302.286 1.351 20 0 DDADMM CC(C)c1nsc(NC(=O)CNC(=O)c2ncccc2[O-])n1 ZINC001363893708 887488651 /nfs/dbraw/zinc/48/86/51/887488651.db2.gz GZIRTBUJBICCEU-UHFFFAOYSA-N -1 1 321.362 1.131 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H]1CNC(=O)c1ccc([O-])cn1 ZINC001363895421 887492345 /nfs/dbraw/zinc/49/23/45/887492345.db2.gz RYSABBMPIWNARJ-JTQLQIEISA-N -1 1 307.350 1.526 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(C)(C)[C@H]2C(C)C)[n-]n1 ZINC001363923650 887550332 /nfs/dbraw/zinc/55/03/32/887550332.db2.gz BIMWGQMKDSTTEJ-LLVKDONJSA-N -1 1 315.395 1.251 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(C)(C)[C@H]2C(C)C)n[n-]1 ZINC001363923650 887550339 /nfs/dbraw/zinc/55/03/39/887550339.db2.gz BIMWGQMKDSTTEJ-LLVKDONJSA-N -1 1 315.395 1.251 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1csnc1OC)[C@H]1CCCCO1 ZINC001364004601 887706482 /nfs/dbraw/zinc/70/64/82/887706482.db2.gz STGQQMZLVWZBIZ-VHSXEESVSA-N -1 1 320.436 1.778 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]Cc1ccns1 ZINC001364037491 887773087 /nfs/dbraw/zinc/77/30/87/887773087.db2.gz XBQCQFGHLCNAMB-UHFFFAOYSA-N -1 1 320.342 1.908 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@H]2CCO[C@H](CC)C2)n[n-]1 ZINC001364064320 887835874 /nfs/dbraw/zinc/83/58/74/887835874.db2.gz QFUUBJVJFKLTNX-WDEREUQCSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H]2CCO[C@H](CC)C2)n1 ZINC001364064320 887835883 /nfs/dbraw/zinc/83/58/83/887835883.db2.gz QFUUBJVJFKLTNX-WDEREUQCSA-N -1 1 324.381 1.193 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H](c1ccccc1)N1CCSCC1 ZINC001364093597 887902925 /nfs/dbraw/zinc/90/29/25/887902925.db2.gz NXXQSQMHXANIEU-ZDUSSCGKSA-N -1 1 318.402 1.844 20 0 DDADMM COC(=O)[C@@H](Cc1ccc(F)cc1)NC(=O)c1ccc([O-])cn1 ZINC001364115259 887955444 /nfs/dbraw/zinc/95/54/44/887955444.db2.gz CZYVSHBXGPSWCC-CQSZACIVSA-N -1 1 318.304 1.440 20 0 DDADMM CC[C@H](CC(F)F)C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001364242807 888205740 /nfs/dbraw/zinc/20/57/40/888205740.db2.gz AUUMXKWLPDQEBT-SECBINFHSA-N -1 1 317.336 1.879 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)OC(C)(C)C)CN1C(=O)c1ccc([O-])cn1 ZINC001364414986 888584885 /nfs/dbraw/zinc/58/48/85/888584885.db2.gz HOACDOGFLRSSEB-MNOVXSKESA-N -1 1 321.377 1.915 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2Cc3ccccc3[C@H]2O)c(=O)[n-]1 ZINC001364428960 888617165 /nfs/dbraw/zinc/61/71/65/888617165.db2.gz XNGSLXTZTULMLJ-VXGBXAGGSA-N -1 1 317.370 1.292 20 0 DDADMM C[C@@H]1[C@@H](C(=O)Nc2c[n-][nH]c2=O)CCN1C(=O)OC(C)(C)C ZINC001364514128 888815121 /nfs/dbraw/zinc/81/51/21/888815121.db2.gz ZMTRFCVJCLMKHF-BDAKNGLRSA-N -1 1 310.354 1.699 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccc(Cl)cc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001364569851 888948213 /nfs/dbraw/zinc/94/82/13/888948213.db2.gz XVJBEFSFDWOSTA-WCFLWFBJSA-N -1 1 317.780 1.973 20 0 DDADMM COC(=O)c1ncoc1CNC(=O)c1c(F)ccc([O-])c1F ZINC001364582775 888973728 /nfs/dbraw/zinc/97/37/28/888973728.db2.gz URRZIUWDXJXQME-UHFFFAOYSA-N -1 1 312.228 1.375 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1cc(F)ccc1O ZINC001364649616 889116780 /nfs/dbraw/zinc/11/67/80/889116780.db2.gz BMWARXNSFPSVDS-UHFFFAOYSA-N -1 1 307.329 1.272 20 0 DDADMM O=C(N[C@H]1Cc2cccnc2NC1=O)c1ccc([O-])c(F)c1 ZINC001364657471 889137186 /nfs/dbraw/zinc/13/71/86/889137186.db2.gz SGRQPZDZIGSECF-NSHDSACASA-N -1 1 301.277 1.220 20 0 DDADMM CN(C)C(=O)c1cc(F)cc(-c2ccc(-c3nnn[n-]3)nc2)c1 ZINC001236508421 889242088 /nfs/dbraw/zinc/24/20/88/889242088.db2.gz QNVCOFXTYXFUPZ-UHFFFAOYSA-N -1 1 312.308 1.770 20 0 DDADMM CN(C)C(=O)c1cc(F)cc(-c2ccc(-c3nn[n-]n3)nc2)c1 ZINC001236508421 889242103 /nfs/dbraw/zinc/24/21/03/889242103.db2.gz QNVCOFXTYXFUPZ-UHFFFAOYSA-N -1 1 312.308 1.770 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)Cc1ccoc1 ZINC001364766522 889369816 /nfs/dbraw/zinc/36/98/16/889369816.db2.gz CGXGCMYNWBPBMG-NSHDSACASA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)Cc1ccoc1 ZINC001364766522 889369827 /nfs/dbraw/zinc/36/98/27/889369827.db2.gz CGXGCMYNWBPBMG-NSHDSACASA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)Cc1ccoc1 ZINC001364766522 889369840 /nfs/dbraw/zinc/36/98/40/889369840.db2.gz CGXGCMYNWBPBMG-NSHDSACASA-N -1 1 318.333 1.481 20 0 DDADMM COC[C@@H](NC(=O)C/C=C/c1ccc(F)cc1)c1nn[n-]n1 ZINC001364817247 889477812 /nfs/dbraw/zinc/47/78/12/889477812.db2.gz ZJVMENZBNDEFBY-QAVQXKDTSA-N -1 1 305.313 1.246 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cnn2ccccc12)C(F)(F)F ZINC001364825860 889492137 /nfs/dbraw/zinc/49/21/37/889492137.db2.gz YDYAGQWTQCDFEQ-JTQLQIEISA-N -1 1 307.297 1.954 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C2CCC2)sn1 ZINC001364873732 889585977 /nfs/dbraw/zinc/58/59/77/889585977.db2.gz NRDDYWMMSHPDNT-UWVGGRQHSA-N -1 1 302.421 1.866 20 0 DDADMM Cc1cc(NC(=O)N2CCC(c3nn[n-]n3)CC2)cc(C)n1 ZINC001365040957 889959098 /nfs/dbraw/zinc/95/90/98/889959098.db2.gz GOOBJSKQMQEYCL-UHFFFAOYSA-N -1 1 301.354 1.045 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCC[C@@]2(CNC(=O)O2)C1 ZINC001238239320 890144784 /nfs/dbraw/zinc/14/47/84/890144784.db2.gz UCKBSKFMARJEFW-OAHLLOKOSA-N -1 1 308.309 1.598 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCOC23CCCC3)c(=O)[n-]1 ZINC001365151537 890201985 /nfs/dbraw/zinc/20/19/85/890201985.db2.gz VTUPWFTUYCTIBI-SNVBAGLBSA-N -1 1 309.391 1.736 20 0 DDADMM CC(=O)N[C@@H](C)C(=O)Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1 ZINC001365196341 890316377 /nfs/dbraw/zinc/31/63/77/890316377.db2.gz HKHGTNNAWHFQQS-LURJTMIESA-N -1 1 323.740 1.294 20 0 DDADMM CC(=O)N[C@@H](C)C(=O)Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1 ZINC001365196341 890316395 /nfs/dbraw/zinc/31/63/95/890316395.db2.gz HKHGTNNAWHFQQS-LURJTMIESA-N -1 1 323.740 1.294 20 0 DDADMM Cc1cc2c(c(-c3cnn(Cc4ccncc4)c3)n1)C(=O)[N-]C2=O ZINC001239041309 890480892 /nfs/dbraw/zinc/48/08/92/890480892.db2.gz SYUOZADIHDAROL-UHFFFAOYSA-N -1 1 319.324 1.580 20 0 DDADMM C[C@H]1CCC[C@@H](CNC(=O)Nc2nn[nH]c2C(=O)NC2CC2)C1 ZINC001365300371 890540505 /nfs/dbraw/zinc/54/05/05/890540505.db2.gz JMYGZJAEWSOHEC-VHSXEESVSA-N -1 1 320.397 1.645 20 0 DDADMM CC(=O)NC[C@@H]1CN(Cc2ccc([O-])c(F)c2F)CCO1 ZINC001365520514 890971581 /nfs/dbraw/zinc/97/15/81/890971581.db2.gz FCEZQMWJXAGKFH-LLVKDONJSA-N -1 1 300.305 1.007 20 0 DDADMM Cc1cc(CN2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)n(C)n1 ZINC001365604565 891141766 /nfs/dbraw/zinc/14/17/66/891141766.db2.gz AJBLUMJPHYLYSJ-JQWIXIFHSA-N -1 1 318.343 1.760 20 0 DDADMM COCC1CCC([N-]S(=O)(=O)c2cc(OC)ns2)CC1 ZINC001365714799 891383594 /nfs/dbraw/zinc/38/35/94/891383594.db2.gz WUVDUIHTGARPIF-UHFFFAOYSA-N -1 1 320.436 1.635 20 0 DDADMM CSCC[C@H](NC(=O)Nc1cccc(C)n1)c1nn[n-]n1 ZINC001365777689 891528137 /nfs/dbraw/zinc/52/81/37/891528137.db2.gz WCKYBRHHOJLZCE-VIFPVBQESA-N -1 1 307.383 1.519 20 0 DDADMM COc1c(C(=O)[O-])cccc1C1=C2C(=NC(=O)C2(C)C)N=CN1 ZINC001242922151 891553170 /nfs/dbraw/zinc/55/31/70/891553170.db2.gz YAXZRNMRBZMEMZ-UHFFFAOYSA-N -1 1 313.313 1.701 20 0 DDADMM NS(=O)(=O)Cc1ccc(-c2ccc([O-])c(F)c2F)nc1 ZINC001243340440 891643308 /nfs/dbraw/zinc/64/33/08/891643308.db2.gz XRSZKCLENWWJHL-UHFFFAOYSA-N -1 1 300.286 1.521 20 0 DDADMM O=C([O-])CCC(=O)Nc1ccc(-c2ncc3c(n2)CNC3)cc1 ZINC001244030058 891779327 /nfs/dbraw/zinc/77/93/27/891779327.db2.gz WHBMKAXIKFJPHY-UHFFFAOYSA-N -1 1 312.329 1.550 20 0 DDADMM COc1ncc(-c2ncnc(C)c2F)cc1[N-]S(C)(=O)=O ZINC001244789272 891922473 /nfs/dbraw/zinc/92/24/73/891922473.db2.gz CDQDUMIJVMSGGK-UHFFFAOYSA-N -1 1 312.326 1.366 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)Cc1ccco1 ZINC001388060453 894480689 /nfs/dbraw/zinc/48/06/89/894480689.db2.gz ZQFYQSFUCWGIAZ-LLVKDONJSA-N -1 1 317.345 1.248 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2ccc(F)cc2)ccn1 ZINC001251609159 894749381 /nfs/dbraw/zinc/74/93/81/894749381.db2.gz QWRJTVBGHNOVPR-UHFFFAOYSA-N -1 1 310.306 1.230 20 0 DDADMM CS[C@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001396519984 913975050 /nfs/dbraw/zinc/97/50/50/913975050.db2.gz DHVROMXNRTZADF-MXWKQRLJSA-N -1 1 323.418 1.163 20 0 DDADMM O=C(CCCC1CC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001367221951 896166870 /nfs/dbraw/zinc/16/68/70/896166870.db2.gz NKVNTLBNZFRTGA-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM CCc1ccccc1C(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367392007 896646733 /nfs/dbraw/zinc/64/67/33/896646733.db2.gz ZLMXAVRHBJOBJX-NSHDSACASA-N -1 1 317.393 1.323 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1cc(F)ncc1F ZINC001258369219 898158605 /nfs/dbraw/zinc/15/86/05/898158605.db2.gz AJTZVULNQHQDMG-UHFFFAOYSA-N -1 1 322.724 1.856 20 0 DDADMM COC(=O)[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259044049 898437911 /nfs/dbraw/zinc/43/79/11/898437911.db2.gz QGELMJPZUKYHMQ-NKWVEPMBSA-N -1 1 323.292 1.191 20 0 DDADMM O=S(=O)([N-]CCc1ncccn1)c1ccc(F)c(F)c1F ZINC001259081541 898455873 /nfs/dbraw/zinc/45/58/73/898455873.db2.gz BJJUIZLVWROTPZ-UHFFFAOYSA-N -1 1 317.292 1.415 20 0 DDADMM Cc1ccc2c(c1)[C@H]([N-]S(=O)(=O)c1cccnc1)C(=O)N2 ZINC001259609331 898740186 /nfs/dbraw/zinc/74/01/86/898740186.db2.gz OOCSNDLGOHJRQK-ZDUSSCGKSA-N -1 1 303.343 1.362 20 0 DDADMM CCOC(=O)C1=C([N-]S(=O)(=O)C2CCCC2)[C@H](C)OC1 ZINC001259804177 898815341 /nfs/dbraw/zinc/81/53/41/898815341.db2.gz VEQKOBHXXCKAME-VIFPVBQESA-N -1 1 303.380 1.084 20 0 DDADMM Cn1cc(S(=O)(=O)Nc2cc3ccc([O-])cc3oc2=O)cn1 ZINC001259821364 898831242 /nfs/dbraw/zinc/83/12/42/898831242.db2.gz VCQCJWSQHDORBV-UHFFFAOYSA-N -1 1 321.314 1.033 20 0 DDADMM CCCC(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CCCC1 ZINC001390175729 898861809 /nfs/dbraw/zinc/86/18/09/898861809.db2.gz MRNAHPNGDCRRTD-ZDUSSCGKSA-N -1 1 319.405 1.992 20 0 DDADMM COc1ccc(CO)c([N-]S(=O)(=O)CCC(F)(F)F)c1 ZINC001259872216 898883358 /nfs/dbraw/zinc/88/33/58/898883358.db2.gz JUBOKXFQSNYBCK-UHFFFAOYSA-N -1 1 313.297 1.882 20 0 DDADMM COc1cccc2c1SC[C@H]([N-]S(=O)(=O)C(F)F)C2 ZINC001259964968 898988161 /nfs/dbraw/zinc/98/81/61/898988161.db2.gz QBZYMGHLKBKUBF-MRVPVSSYSA-N -1 1 309.359 1.854 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)COC1 ZINC001260205880 899088776 /nfs/dbraw/zinc/08/87/76/899088776.db2.gz UCOYDWLXTPRAMZ-UHFFFAOYSA-N -1 1 311.737 1.933 20 0 DDADMM O=c1cc([N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)cn[nH]1 ZINC001260278807 899109192 /nfs/dbraw/zinc/10/91/92/899109192.db2.gz TWCSNPSFDBVURL-UHFFFAOYSA-N -1 1 321.692 1.915 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cc(F)cc1F)c1cncnc1 ZINC001260355918 899126176 /nfs/dbraw/zinc/12/61/76/899126176.db2.gz XTFNYGFDBLSREX-ZETCQYMHSA-N -1 1 317.292 1.933 20 0 DDADMM O=C1OC[C@@H]1[N-]S(=O)(=O)Cc1ccc(C(F)(F)F)cc1 ZINC001260899703 899291357 /nfs/dbraw/zinc/29/13/57/899291357.db2.gz CFYZENWPDHLRBQ-VIFPVBQESA-N -1 1 309.265 1.050 20 0 DDADMM O=C(c1ccc(-n2cccn2)cc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001263886508 900799400 /nfs/dbraw/zinc/79/94/00/900799400.db2.gz MIBZRNRASQGWFQ-GFCCVEGCSA-N -1 1 309.333 1.015 20 0 DDADMM Cc1ccc2[nH]c(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)cc2c1C ZINC001263886771 900799611 /nfs/dbraw/zinc/79/96/11/900799611.db2.gz WEOIRTJBERNSPL-LLVKDONJSA-N -1 1 310.361 1.928 20 0 DDADMM CCC(C)(C)CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369435756 901286777 /nfs/dbraw/zinc/28/67/77/901286777.db2.gz JSRDJOAMRMGLHO-LLVKDONJSA-N -1 1 323.441 1.663 20 0 DDADMM CCCC1(C(=O)N[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001369699268 901795137 /nfs/dbraw/zinc/79/51/37/901795137.db2.gz XRTHHMPZKPPFPG-VXGBXAGGSA-N -1 1 319.405 1.991 20 0 DDADMM CC[C@@H](CNC(=O)C1(CC)CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001391738385 902494560 /nfs/dbraw/zinc/49/45/60/902494560.db2.gz PLELKWVJVUJOBV-NSHDSACASA-N -1 1 309.414 1.465 20 0 DDADMM Cc1nc(CN(C)C[C@H](C)NC(=O)c2ncccc2[O-])c(C)o1 ZINC001375032891 914718118 /nfs/dbraw/zinc/71/81/18/914718118.db2.gz MZFSSHTWUADKPK-JTQLQIEISA-N -1 1 318.377 1.642 20 0 DDADMM C[C@@H](CCCNC(=O)c1ncccc1[O-])NC(=O)c1ccoc1 ZINC001280789642 904037282 /nfs/dbraw/zinc/03/72/82/904037282.db2.gz KPQSHKDOGGOOJM-NSHDSACASA-N -1 1 317.345 1.709 20 0 DDADMM C=C/C(C)=C\CC(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001280996501 904294930 /nfs/dbraw/zinc/29/49/30/904294930.db2.gz QGGNLMJOHXOSDH-LLBKUYECSA-N -1 1 317.389 1.886 20 0 DDADMM C[C@H](CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001372012674 906242911 /nfs/dbraw/zinc/24/29/11/906242911.db2.gz VQIFBMWIWJFDKC-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@H]1CCC[C@@]1(C)C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282983928 906400057 /nfs/dbraw/zinc/40/00/57/906400057.db2.gz YDDYKKYRCJZUQG-YVEFUNNKSA-N -1 1 319.405 1.850 20 0 DDADMM CC1(CC(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)CC1 ZINC001393377055 906830807 /nfs/dbraw/zinc/83/08/07/906830807.db2.gz SAVFLDZGGSEPPZ-SRVKXCTJSA-N -1 1 319.409 1.170 20 0 DDADMM CCN(CCNC(=O)c1[nH]nc(C)c1[O-])Cc1cccnc1C ZINC001372315409 907006129 /nfs/dbraw/zinc/00/61/29/907006129.db2.gz DXVDDDGUTHUSIC-UHFFFAOYSA-N -1 1 317.393 1.379 20 0 DDADMM CC1CC(C(=O)NCC2(NC(=O)c3ncccc3[O-])CC2)C1 ZINC001393595993 907422316 /nfs/dbraw/zinc/42/23/16/907422316.db2.gz IRCIAJQKIYOEQT-UHFFFAOYSA-N -1 1 303.362 1.212 20 0 DDADMM CN(CCCNC(=O)C1(C)CCC1)C(=O)c1ncccc1[O-] ZINC001283614191 907681358 /nfs/dbraw/zinc/68/13/58/907681358.db2.gz YSVBWHGVGWUXJB-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM Cc1cccc(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001372767014 908188011 /nfs/dbraw/zinc/18/80/11/908188011.db2.gz BWHRJABBPDFREO-NSHDSACASA-N -1 1 314.345 1.039 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1ccc(F)s1 ZINC001394005385 908514610 /nfs/dbraw/zinc/51/46/10/908514610.db2.gz UMIKUBVLRQSEPX-BQBZGAKWSA-N -1 1 313.358 1.008 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](C)N(C)Cc2ncccc2C)c1[O-] ZINC001394062460 908681706 /nfs/dbraw/zinc/68/17/06/908681706.db2.gz XAVJHIBKYDOHNS-LLVKDONJSA-N -1 1 317.393 1.377 20 0 DDADMM C/C(=C\C(=O)NCC[C@@H](C)NC(=O)c1ncccc1[O-])C1CC1 ZINC001284420300 908969804 /nfs/dbraw/zinc/96/98/04/908969804.db2.gz RLTJKXZUMAGWET-HCRIHEDKSA-N -1 1 317.389 1.768 20 0 DDADMM C[C@H]1CCC[C@@H]1CC(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001373412442 909791634 /nfs/dbraw/zinc/79/16/34/909791634.db2.gz IUPWZDLZIDWMRS-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM C=C/C(C)=C\CC(=O)N[C@H](CC)CNC(=O)c1ncccc1[O-] ZINC001285047778 909996191 /nfs/dbraw/zinc/99/61/91/909996191.db2.gz YODVLAJUVZWQAD-LLBKUYECSA-N -1 1 317.389 1.934 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)c1ccn(C)c1 ZINC001394964431 911031924 /nfs/dbraw/zinc/03/19/24/911031924.db2.gz YJQIXQBUZFVCTE-WDEREUQCSA-N -1 1 316.361 1.063 20 0 DDADMM CC[C@H](C)CC(=O)NCCN(CC)C(=O)c1ncccc1[O-] ZINC001373801128 911038565 /nfs/dbraw/zinc/03/85/65/911038565.db2.gz QQKWDIGDWUDKFB-LBPRGKRZSA-N -1 1 307.394 1.802 20 0 DDADMM CCC[C@H](OC)C(=O)NCCN(CC)C(=O)c1ncccc1[O-] ZINC001373907376 911324541 /nfs/dbraw/zinc/32/45/41/911324541.db2.gz PIPYQHAKBVRJRC-ZDUSSCGKSA-N -1 1 323.393 1.181 20 0 DDADMM CCCCCC(=O)N[C@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001286182543 911776266 /nfs/dbraw/zinc/77/62/66/911776266.db2.gz QKZZTBPTVVRXHI-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C=C(/C)c3ccco3)nc2n1 ZINC001287286183 912159850 /nfs/dbraw/zinc/15/98/50/912159850.db2.gz ZGURNXYWNUGSQR-CLFYSBASSA-N -1 1 313.317 1.615 20 0 DDADMM Cc1cc(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)c(C)o1 ZINC001375207231 915256396 /nfs/dbraw/zinc/25/63/96/915256396.db2.gz IXPFESIOZPYSOM-LBPRGKRZSA-N -1 1 319.365 1.018 20 0 DDADMM C[C@@H](C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001397413473 915972145 /nfs/dbraw/zinc/97/21/45/915972145.db2.gz IMDJNWHEYRBSLR-QWRGUYRKSA-N -1 1 307.394 1.704 20 0 DDADMM C[C@H](C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-])C1CC1 ZINC001376238902 918041325 /nfs/dbraw/zinc/04/13/25/918041325.db2.gz UAHTUSZIQAIWIT-NSHDSACASA-N -1 1 305.378 1.364 20 0 DDADMM CN(CCN(C)C(=O)c1ncccc1[O-])C(=O)CCC(F)F ZINC001376256595 918085038 /nfs/dbraw/zinc/08/50/38/918085038.db2.gz BRNFDWSVMSCLNB-UHFFFAOYSA-N -1 1 315.320 1.363 20 0 DDADMM CCC(CC)(CNC(=O)N1CCN(C)C[C@@H]1C(C)C)C(=O)[O-] ZINC000424420634 282093651 /nfs/dbraw/zinc/09/36/51/282093651.db2.gz CAAYYEUZDYVWNE-CYBMUJFWSA-N -1 1 313.442 1.859 20 0 DDADMM CS(=O)(=O)NCc1ccc(NC(=O)c2ccccc2[O-])cc1 ZINC000081784668 192323348 /nfs/dbraw/zinc/32/33/48/192323348.db2.gz FGHBEBPOQYJTNR-UHFFFAOYSA-N -1 1 320.370 1.694 20 0 DDADMM O=S(=O)([N-][C@H](CO)[C@H]1CCCO1)c1c(F)cccc1Cl ZINC000447936591 230509100 /nfs/dbraw/zinc/50/91/00/230509100.db2.gz QJYBHTWCJIIIQZ-GHMZBOCLSA-N -1 1 323.773 1.297 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3[C@@H]4CCCCCC[C@@H]34)nc2n1 ZINC000622869644 365548833 /nfs/dbraw/zinc/54/88/33/365548833.db2.gz ATGZNRORPCOEMD-GHMZBOCLSA-N -1 1 315.377 1.881 20 0 DDADMM CC[C@H]1C[C@@H](CC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)CCO1 ZINC000622871129 365552167 /nfs/dbraw/zinc/55/21/67/365552167.db2.gz RIVGWDLEYKEGGD-QWRGUYRKSA-N -1 1 319.365 1.260 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3CCSCC3)nc2n1 ZINC000622994500 365587509 /nfs/dbraw/zinc/58/75/09/365587509.db2.gz RTBRPZZUCCYGIU-UHFFFAOYSA-N -1 1 307.379 1.062 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CCc3ccsc3)nc2n1 ZINC000622994998 365588151 /nfs/dbraw/zinc/58/81/51/365588151.db2.gz KVMILNBKHCUVAT-UHFFFAOYSA-N -1 1 317.374 1.613 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)c3ccsc3)nc2n1 ZINC000622994690 365588845 /nfs/dbraw/zinc/58/88/45/365588845.db2.gz YPXKPJBPEACBGD-MRVPVSSYSA-N -1 1 317.374 1.784 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3cscn3)nc2n1 ZINC000622996575 365590304 /nfs/dbraw/zinc/59/03/04/365590304.db2.gz RTHIATPVXWBSBL-UHFFFAOYSA-N -1 1 304.335 1.079 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@@H]3C3CC3)nc2n1 ZINC000622997996 365591591 /nfs/dbraw/zinc/59/15/91/365591591.db2.gz UGDACBTZLCLXSR-MNOVXSKESA-N -1 1 301.350 1.355 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@]3(C)CC3(F)F)nc2n1 ZINC000622997765 365591763 /nfs/dbraw/zinc/59/17/63/365591763.db2.gz KUPPCJDKJLGISV-LBPRGKRZSA-N -1 1 311.292 1.354 20 0 DDADMM COc1ccc(NC(=O)C2CC=CC2)cc1[N-]S(C)(=O)=O ZINC000076957113 185061025 /nfs/dbraw/zinc/06/10/25/185061025.db2.gz VJFNMGYHUDBEND-UHFFFAOYSA-N -1 1 310.375 1.971 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(Br)cc1Cl ZINC000076963901 185061434 /nfs/dbraw/zinc/06/14/34/185061434.db2.gz XDXQTZXHXSQMLX-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(Br)cc1Cl ZINC000076963901 185061436 /nfs/dbraw/zinc/06/14/36/185061436.db2.gz XDXQTZXHXSQMLX-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM O=C(c1onc2c1CCCC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614390331 361834465 /nfs/dbraw/zinc/83/44/65/361834465.db2.gz SZQZOCZWLZOLSX-VIFPVBQESA-N -1 1 318.333 1.662 20 0 DDADMM O=C(CCc1ccc(O)cc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614390680 361834546 /nfs/dbraw/zinc/83/45/46/361834546.db2.gz XLPDRJUMIVBKRF-GFCCVEGCSA-N -1 1 317.345 1.820 20 0 DDADMM Cn1nc([C@@H]2CCCO2)cc1NC(=O)[N-]O[C@@H]1CCCCO1 ZINC000278641920 214296039 /nfs/dbraw/zinc/29/60/39/214296039.db2.gz CBXHEOWCZKAPAT-WCQYABFASA-N -1 1 310.354 1.851 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)CCC1CCCCC1)C(=O)OC ZINC000451385372 231082447 /nfs/dbraw/zinc/08/24/47/231082447.db2.gz SBYDGMOJIVYMAO-GFCCVEGCSA-N -1 1 307.412 1.064 20 0 DDADMM C[C@H]1NC(=O)CC[C@@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451508948 231114499 /nfs/dbraw/zinc/11/44/99/231114499.db2.gz NJKIUICWNURUBN-SVRRBLITSA-N -1 1 308.812 1.347 20 0 DDADMM C[C@H](NC(=O)c1cc(F)ccc1[O-])C(=O)NCc1ccccc1 ZINC000081945357 192345884 /nfs/dbraw/zinc/34/58/84/192345884.db2.gz DVXXJMSGJKSVSI-NSHDSACASA-N -1 1 316.332 1.966 20 0 DDADMM O=C(c1nc2ccccc2s1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129505784 539184737 /nfs/dbraw/zinc/18/47/37/539184737.db2.gz AFXMWWSPDQSRSJ-VIFPVBQESA-N -1 1 314.374 1.829 20 0 DDADMM CC[C@@](COC)(NC(=O)c1ccc(Cl)cc1[O-])C(=O)OC ZINC000457256532 529516932 /nfs/dbraw/zinc/51/69/32/529516932.db2.gz JRORNRIPUMZEPI-AWEZNQCLSA-N -1 1 315.753 1.744 20 0 DDADMM COc1ccc(F)c(NC(=O)NCc2n[n-]c(=S)n2C)c1 ZINC000088408528 185249007 /nfs/dbraw/zinc/24/90/07/185249007.db2.gz MHHCCPRWKUJUKV-UHFFFAOYSA-N -1 1 311.342 1.947 20 0 DDADMM CCc1cc(N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n2ncnc2n1 ZINC000339976971 539332603 /nfs/dbraw/zinc/33/26/03/539332603.db2.gz OOYFQZVBIYZYAT-SECBINFHSA-N -1 1 315.337 1.159 20 0 DDADMM CNC(=O)[C@H]([N-]S(=O)(=O)c1sccc1Cl)C(C)C ZINC000451331042 529920766 /nfs/dbraw/zinc/92/07/66/529920766.db2.gz CNVHAMIYXAKFIQ-MRVPVSSYSA-N -1 1 310.828 1.450 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc3[nH]ccc32)n[n-]1 ZINC000615916513 362484541 /nfs/dbraw/zinc/48/45/41/362484541.db2.gz AUVNFCLPAVRCGP-UHFFFAOYSA-N -1 1 313.317 1.393 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc3[nH]ccc32)n1 ZINC000615916513 362484545 /nfs/dbraw/zinc/48/45/45/362484545.db2.gz AUVNFCLPAVRCGP-UHFFFAOYSA-N -1 1 313.317 1.393 20 0 DDADMM Cc1ccc(C[C@H](CO)NC(=O)c2cncc([O-])c2)cc1C ZINC000457238356 232020299 /nfs/dbraw/zinc/02/02/99/232020299.db2.gz CQTYMBYNNPGAKP-OAHLLOKOSA-N -1 1 300.358 1.737 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@]2(C)CCCC[C@H]2C)o1 ZINC000457263186 530028696 /nfs/dbraw/zinc/02/86/96/530028696.db2.gz PKOMSZPKAMUXFY-QMTHXVAHSA-N -1 1 314.407 1.886 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2ccnc(N(C)C)c2)c1Br ZINC000616010923 362520345 /nfs/dbraw/zinc/52/03/45/362520345.db2.gz SXNMAVIUOHNPER-UHFFFAOYSA-N -1 1 324.182 1.616 20 0 DDADMM COc1cc2cc(C(=O)Nc3ccncc3[O-])[nH]c2cc1OC ZINC000358345698 299126827 /nfs/dbraw/zinc/12/68/27/299126827.db2.gz ZPJRZOSKIPWZNC-UHFFFAOYSA-N -1 1 313.313 1.960 20 0 DDADMM O=C(NC[C@H]1CCc2cccnc21)c1csc(=NC2CC2)[n-]1 ZINC000623314829 365809855 /nfs/dbraw/zinc/80/98/55/365809855.db2.gz JYBWFMRAZNHBLZ-LLVKDONJSA-N -1 1 314.414 1.994 20 0 DDADMM CCN1C[C@H](CNC(=O)c2ncc3ccccc3c2[O-])CC1=O ZINC000616541197 362743750 /nfs/dbraw/zinc/74/37/50/362743750.db2.gz HXMHAFWIVDTNOA-NSHDSACASA-N -1 1 313.357 1.539 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H]3CCC(=O)NC3)[nH][n-]2)s1 ZINC000623491980 365897882 /nfs/dbraw/zinc/89/78/82/365897882.db2.gz VIBZKXAPVKMTOS-VIFPVBQESA-N -1 1 304.375 1.333 20 0 DDADMM CN(C[C@@H]1CCCCO1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000286998147 219262014 /nfs/dbraw/zinc/26/20/14/219262014.db2.gz AZGKLSNVWYCCJX-AFSRSGBESA-N -1 1 303.366 1.375 20 0 DDADMM NC(=O)c1ccc(Cl)c([N-]S(=O)(=O)C[C@@H]2CCCO2)c1 ZINC000172365272 198086158 /nfs/dbraw/zinc/08/61/58/198086158.db2.gz MMDDPQQEGORQRL-VIFPVBQESA-N -1 1 318.782 1.360 20 0 DDADMM CCN(CC)[C@@H](C(=O)NCCc1nc(=O)o[n-]1)c1ccccc1 ZINC000280104425 215378607 /nfs/dbraw/zinc/37/86/07/215378607.db2.gz MXBJGSPISKDVFZ-CQSZACIVSA-N -1 1 318.377 1.105 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@H](Oc2ccccc2)C1 ZINC000636241754 422742141 /nfs/dbraw/zinc/74/21/41/422742141.db2.gz MIOLEXYBERFRMT-CYBMUJFWSA-N -1 1 301.350 1.202 20 0 DDADMM CC(C)n1c(CCNC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)n[n-]c1=S ZINC000072805046 191231536 /nfs/dbraw/zinc/23/15/36/191231536.db2.gz IMSUVWBXRPQYBM-MXWKQRLJSA-N -1 1 310.423 1.748 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCC(C)(C)C2)c(=O)[n-]1 ZINC000173680437 198239588 /nfs/dbraw/zinc/23/95/88/198239588.db2.gz BATBRDUASYESMW-UHFFFAOYSA-N -1 1 309.435 1.991 20 0 DDADMM CCC(CC)(CCO)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000072932544 353226868 /nfs/dbraw/zinc/22/68/68/353226868.db2.gz VRQMPPKCDBNWRO-UHFFFAOYSA-N -1 1 317.389 1.842 20 0 DDADMM COC1CCN(C(=O)c2cnc3nc(C)ccc3c2[O-])CC1 ZINC000078899899 353539516 /nfs/dbraw/zinc/53/95/16/353539516.db2.gz WTRAQZAUSUYKOE-UHFFFAOYSA-N -1 1 301.346 1.895 20 0 DDADMM COC1CCN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)CC1 ZINC000078899899 353539519 /nfs/dbraw/zinc/53/95/19/353539519.db2.gz WTRAQZAUSUYKOE-UHFFFAOYSA-N -1 1 301.346 1.895 20 0 DDADMM COc1cc(O)cc(NS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)c1 ZINC000355811053 291015691 /nfs/dbraw/zinc/01/56/91/291015691.db2.gz LVHYDSLWWVRRBP-UHFFFAOYSA-N -1 1 312.303 1.228 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)CC1(OC)CCCC1 ZINC000601300030 358485682 /nfs/dbraw/zinc/48/56/82/358485682.db2.gz ULWDXBXCYPMGQF-LBPRGKRZSA-N -1 1 321.439 1.453 20 0 DDADMM Cc1c(C(=O)[O-])sc2ncnc(N3CCN(C)C[C@@H]3C)c12 ZINC000235687815 354334300 /nfs/dbraw/zinc/33/43/00/354334300.db2.gz ZZROEIIUEBSWQT-QMMMGPOBSA-N -1 1 306.391 1.838 20 0 DDADMM O=C(N=c1nc(C(F)(F)F)[n-][nH]1)c1cc(-n2cccn2)ccn1 ZINC000346191725 283017218 /nfs/dbraw/zinc/01/72/18/283017218.db2.gz LGNHKWSZVLLTPS-UHFFFAOYSA-N -1 1 323.238 1.078 20 0 DDADMM CC(C)n1nccc1[C@@H]1OCC[C@@H]1NC(=O)c1cncc([O-])c1 ZINC000346191765 283016977 /nfs/dbraw/zinc/01/69/77/283016977.db2.gz PCUDQNNTDZQBJP-DZGCQCFKSA-N -1 1 316.361 1.825 20 0 DDADMM CC(C)n1c(CCNC(=O)[C@@H](O)c2ccccc2)n[n-]c1=S ZINC000073497234 191320762 /nfs/dbraw/zinc/32/07/62/191320762.db2.gz LQXIMFZCMWZFRZ-ZDUSSCGKSA-N -1 1 320.418 1.914 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nc(C)ncc2Cl)n1 ZINC000591302636 355285201 /nfs/dbraw/zinc/28/52/01/355285201.db2.gz MEZZTXQACLIVFS-UHFFFAOYSA-N -1 1 309.713 1.591 20 0 DDADMM COc1cccc(S([O-])=CC(=O)NCc2cc(C)[nH]n2)c1 ZINC000591765641 355380104 /nfs/dbraw/zinc/38/01/04/355380104.db2.gz NEFCVJBACKJOKZ-NRFANRHFSA-N -1 1 307.375 1.151 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)C[C@@H](OC)C(C)C ZINC000592011887 355463671 /nfs/dbraw/zinc/46/36/71/355463671.db2.gz GNTIMPKSDWXJMI-VXGBXAGGSA-N -1 1 309.428 1.165 20 0 DDADMM CC[C@@H](C)C[C@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OC ZINC000592068052 355481819 /nfs/dbraw/zinc/48/18/19/355481819.db2.gz HBHCPYDEPCYMDZ-BDAKNGLRSA-N -1 1 319.345 1.836 20 0 DDADMM COC(=O)[C@H](NCc1cccc([O-])c1Cl)c1ccnn1C ZINC000592528830 355613668 /nfs/dbraw/zinc/61/36/68/355613668.db2.gz LRCSMOWSEPUKER-CYBMUJFWSA-N -1 1 309.753 1.783 20 0 DDADMM Cc1ncoc1C(=O)[N-]c1ncn(CC(=O)OC(C)(C)C)n1 ZINC000592656484 355656106 /nfs/dbraw/zinc/65/61/06/355656106.db2.gz UXLCUDVNKYOWFD-UHFFFAOYSA-N -1 1 307.310 1.169 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2CCSC2)cc1C ZINC000595325367 356450160 /nfs/dbraw/zinc/45/01/60/356450160.db2.gz GPAXJFMJLKYRPC-SECBINFHSA-N -1 1 319.404 1.406 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C(C2CC2)C2CC2)cc1C ZINC000595302276 356440406 /nfs/dbraw/zinc/44/04/06/356440406.db2.gz AIJGHDCSGGFPRL-UHFFFAOYSA-N -1 1 313.375 1.842 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H](C)C2CC2)cc1C ZINC000595305277 356441922 /nfs/dbraw/zinc/44/19/22/356441922.db2.gz DIHPIHKSECRMJM-SECBINFHSA-N -1 1 301.364 1.699 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@]2(C)CC2(C)C)cc1C ZINC000595361234 356464892 /nfs/dbraw/zinc/46/48/92/356464892.db2.gz JEYGJYGDIVWHMD-CYBMUJFWSA-N -1 1 301.364 1.842 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@]2(C)CCOC2)cc1C ZINC000595312404 356444687 /nfs/dbraw/zinc/44/46/87/356444687.db2.gz MIXMVVDZJPJKOF-CYBMUJFWSA-N -1 1 317.363 1.080 20 0 DDADMM CCC1([N-]S(=O)(=O)c2cc(C)c(C(=O)OC)o2)CCC1 ZINC000595312035 356444828 /nfs/dbraw/zinc/44/48/28/356444828.db2.gz IGMQXNMLMRRCEU-UHFFFAOYSA-N -1 1 301.364 1.986 20 0 DDADMM COC(=O)[C@@]1(NC(=O)c2ncc3ccccc3c2[O-])CCOC1 ZINC000597370925 357152476 /nfs/dbraw/zinc/15/24/76/357152476.db2.gz SONHBDDLIJBSRO-MRXNPFEDSA-N -1 1 316.313 1.002 20 0 DDADMM Cn1[n-]c(CN2CCc3ccc(C(C)(C)C)cc3C2)nc1=O ZINC000347607304 283242185 /nfs/dbraw/zinc/24/21/85/283242185.db2.gz ICHFTJDQZVSBPI-UHFFFAOYSA-N -1 1 300.406 1.964 20 0 DDADMM C[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CC[S@@](=O)C1 ZINC000597572355 357232984 /nfs/dbraw/zinc/23/29/84/357232984.db2.gz LPSCIOAGYDOQDI-KPWVOAKYSA-N -1 1 318.398 1.781 20 0 DDADMM COC(=O)c1ccc2c(c1)CCN2C(=O)c1n[nH]c(C)c1[O-] ZINC000597787316 357329461 /nfs/dbraw/zinc/32/94/61/357329461.db2.gz LXXNKPNRMDZNST-UHFFFAOYSA-N -1 1 301.302 1.413 20 0 DDADMM CC(C)(C)OC(=O)[C@@]1(NC(=O)c2ncccc2[O-])CCOC1 ZINC000598167332 357480703 /nfs/dbraw/zinc/48/07/03/357480703.db2.gz MKIMSCUDWLUBCT-OAHLLOKOSA-N -1 1 308.334 1.018 20 0 DDADMM CCOC(=O)C12CC(C1)CN2C(=O)C(=O)c1ccc([O-])cc1 ZINC000598446185 357585052 /nfs/dbraw/zinc/58/50/52/357585052.db2.gz RGDKGUOMGOTLBN-UHFFFAOYSA-N -1 1 303.314 1.129 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(c2cccc(F)c2)CCCCC1 ZINC000599376606 357915022 /nfs/dbraw/zinc/91/50/22/357915022.db2.gz BGYGJIYZZCUUAK-UHFFFAOYSA-N -1 1 303.341 1.857 20 0 DDADMM CN(C(=O)[C@@H]1CSCN1C(=O)c1cc(F)ccc1[O-])C1CC1 ZINC000179496607 199045748 /nfs/dbraw/zinc/04/57/48/199045748.db2.gz FRHFBDJWDZZVRD-LBPRGKRZSA-N -1 1 324.377 1.667 20 0 DDADMM CCOC[C@@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)C(C)C ZINC000276717147 213065122 /nfs/dbraw/zinc/06/51/22/213065122.db2.gz PIVGFIRSVLNVNV-SNVBAGLBSA-N -1 1 319.379 1.406 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(Cl)cc1[O-])C(N)=O ZINC000600260225 358173766 /nfs/dbraw/zinc/17/37/66/358173766.db2.gz MQWKLCRVKDOAGQ-VIFPVBQESA-N -1 1 302.783 1.382 20 0 DDADMM COC(=O)COCCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000600395158 358208323 /nfs/dbraw/zinc/20/83/23/358208323.db2.gz FNWCLAFFDJPTOG-UHFFFAOYSA-N -1 1 321.251 1.330 20 0 DDADMM Cn1cc(C(=O)NCc2nn[n-]n2)c(-c2ccccc2Cl)n1 ZINC000600498060 358241859 /nfs/dbraw/zinc/24/18/59/358241859.db2.gz TVXXGAAACYGSAJ-UHFFFAOYSA-N -1 1 317.740 1.184 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2cncc([O-])c2)[C@H]2CCC[C@H]21 ZINC000600553150 358255420 /nfs/dbraw/zinc/25/54/20/358255420.db2.gz SHLBFSOQYTUPFI-MJBXVCDLSA-N -1 1 304.346 1.591 20 0 DDADMM CCOC(=O)c1cc(C(=O)Nc2c[n-]c(C(=O)OCC)n2)on1 ZINC000600778120 358313083 /nfs/dbraw/zinc/31/30/83/358313083.db2.gz ZZOWAUYOTQRGGX-UHFFFAOYSA-N -1 1 322.277 1.003 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601389145 358530736 /nfs/dbraw/zinc/53/07/36/358530736.db2.gz FLTPRTUWBWAZNW-BDAKNGLRSA-N -1 1 306.409 1.318 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2cc(C)ns2)CCCC1 ZINC000601405787 358538943 /nfs/dbraw/zinc/53/89/43/358538943.db2.gz AUIMSAXFDHDKTL-UHFFFAOYSA-N -1 1 318.420 1.606 20 0 DDADMM C[C@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC[S@@]1=O ZINC000601534443 358591946 /nfs/dbraw/zinc/59/19/46/358591946.db2.gz UPCYUUKKAZNYBL-FVINQWEUSA-N -1 1 315.822 1.958 20 0 DDADMM O=C(NCC1CC1)[C@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000181147192 199257332 /nfs/dbraw/zinc/25/73/32/199257332.db2.gz GQWFMZNBVYXVFQ-LBPRGKRZSA-N -1 1 320.364 1.910 20 0 DDADMM CC(C)(C)OC(=O)COCCNC(=O)c1cc(F)ccc1[O-] ZINC000601749365 358677543 /nfs/dbraw/zinc/67/75/43/358677543.db2.gz JWQRUCVUAHJXED-UHFFFAOYSA-N -1 1 313.325 1.619 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cc(F)ccc2Cl)n1 ZINC000358946314 299288266 /nfs/dbraw/zinc/28/82/66/299288266.db2.gz CCPCMSHHYCBPQT-UHFFFAOYSA-N -1 1 304.734 1.960 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccc(F)cc1F ZINC000618488260 363650840 /nfs/dbraw/zinc/65/08/40/363650840.db2.gz OUQLGCSPNXOGDZ-CYBMUJFWSA-N -1 1 315.283 1.997 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2C[C@H]2C2CCC2)n[n-]1 ZINC000603152663 359438841 /nfs/dbraw/zinc/43/88/41/359438841.db2.gz DFHQNZRHFHTBPD-DVVUODLYSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2C[C@H]2C2CCC2)[n-]1 ZINC000603152663 359438845 /nfs/dbraw/zinc/43/88/45/359438845.db2.gz DFHQNZRHFHTBPD-DVVUODLYSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2C[C@H]2C2CCC2)n1 ZINC000603152663 359438848 /nfs/dbraw/zinc/43/88/48/359438848.db2.gz DFHQNZRHFHTBPD-DVVUODLYSA-N -1 1 306.366 1.595 20 0 DDADMM CC(C)n1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)n1 ZINC000603546200 359655877 /nfs/dbraw/zinc/65/58/77/359655877.db2.gz AXEMPVYDAOBCKF-ZDUSSCGKSA-N -1 1 311.349 1.497 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3CCC[C@@H]3CCO)cnc2n1 ZINC000187933561 200178791 /nfs/dbraw/zinc/17/87/91/200178791.db2.gz FRXVUYNBLCRTBP-RISCZKNCSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H]3CCC[C@@H]3CCO)c[n-]c2n1 ZINC000187933561 200178792 /nfs/dbraw/zinc/17/87/92/200178792.db2.gz FRXVUYNBLCRTBP-RISCZKNCSA-N -1 1 315.373 1.925 20 0 DDADMM COC(=O)C1(NC(=O)C(=O)c2ccc([O-])cc2)CCCCC1 ZINC000281064655 216055595 /nfs/dbraw/zinc/05/55/95/216055595.db2.gz ZBJVHMTYPJGKAU-UHFFFAOYSA-N -1 1 305.330 1.567 20 0 DDADMM O=C(CCOCC(F)(F)F)NC1(c2nn[n-]n2)CCCC1 ZINC000605531243 359867314 /nfs/dbraw/zinc/86/73/14/359867314.db2.gz WYVBKMXUBFGWTH-UHFFFAOYSA-N -1 1 307.276 1.054 20 0 DDADMM C[C@@H](Cc1cccc(C(F)(F)F)c1)C(=O)NCc1nn[n-]n1 ZINC000606370436 359909329 /nfs/dbraw/zinc/90/93/29/359909329.db2.gz ZIAHPQAHBYOEPO-QMMMGPOBSA-N -1 1 313.283 1.714 20 0 DDADMM O=S(=O)([N-]c1cnn(-c2ccncc2)c1)c1cccnc1 ZINC000607009976 359990767 /nfs/dbraw/zinc/99/07/67/359990767.db2.gz UCYYDVCDCIPNDM-UHFFFAOYSA-N -1 1 301.331 1.463 20 0 DDADMM Cn1ncc2c1C[C@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)CC2 ZINC000281209463 216155674 /nfs/dbraw/zinc/15/56/74/216155674.db2.gz KUOCQSRIOYHDNN-ZCFIWIBFSA-N -1 1 314.271 1.301 20 0 DDADMM COC(=O)c1[nH]c(C)cc1[N-]S(=O)(=O)C[C@H]1CCCCO1 ZINC000610123970 360383967 /nfs/dbraw/zinc/38/39/67/360383967.db2.gz WIBOFTJXDPTNLO-SNVBAGLBSA-N -1 1 316.379 1.421 20 0 DDADMM COC(=O)[C@H](COC(C)C)N(C)C(=O)c1cc(F)ccc1[O-] ZINC000611282589 360688802 /nfs/dbraw/zinc/68/88/02/360688802.db2.gz AOGXBDBPUDRCTI-LBPRGKRZSA-N -1 1 313.325 1.570 20 0 DDADMM COC(=O)c1csc([N-]C(=O)c2cc(C(=O)OC)no2)c1 ZINC000611487505 360731365 /nfs/dbraw/zinc/73/13/65/360731365.db2.gz OBXDEFNETMOSNV-UHFFFAOYSA-N -1 1 310.287 1.562 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@@H]3CCC[C@H]4C[C@H]43)CC2)s[n-]1 ZINC000612119634 360924668 /nfs/dbraw/zinc/92/46/68/360924668.db2.gz QZPULSHYITWXRU-HBNTYKKESA-N -1 1 307.423 1.035 20 0 DDADMM C[C@@H]1Cc2cc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)ccc2O1 ZINC000612814831 361153799 /nfs/dbraw/zinc/15/37/99/361153799.db2.gz FDYQCAXJXXEFPP-PWSUYJOCSA-N -1 1 313.361 1.543 20 0 DDADMM Cc1nn(C)cc1CN1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000292816384 223258473 /nfs/dbraw/zinc/25/84/73/223258473.db2.gz PKOQFHSJFKGXEA-ZYHUDNBSSA-N -1 1 318.343 1.760 20 0 DDADMM COC(=O)C[C@H]1CSCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000613509786 361448870 /nfs/dbraw/zinc/44/88/70/361448870.db2.gz PUHOYBDGBTUKCD-JTQLQIEISA-N -1 1 313.350 1.652 20 0 DDADMM COC(=O)Cn1ncc(NC(=O)c2ccc([O-])c(F)c2)c1C ZINC000619443451 364011383 /nfs/dbraw/zinc/01/13/83/364011383.db2.gz HZCNLAHUWXBYFT-UHFFFAOYSA-N -1 1 307.281 1.462 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC[C@H]2C[C@H]2C1 ZINC000619356611 363986573 /nfs/dbraw/zinc/98/65/73/363986573.db2.gz PZOMUGQKTYNMBS-STQMWFEESA-N -1 1 314.389 1.853 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2n[nH]c(C)c2[O-])cc1OC ZINC000619456408 364022804 /nfs/dbraw/zinc/02/28/04/364022804.db2.gz ZZCUFJITHLPPIZ-UHFFFAOYSA-N -1 1 305.290 1.471 20 0 DDADMM CNC(=O)CC1(CNC(=O)c2cncc([O-])c2)CCCCC1 ZINC000620088814 364276854 /nfs/dbraw/zinc/27/68/54/364276854.db2.gz GHFHUJHRLIUQGS-UHFFFAOYSA-N -1 1 305.378 1.604 20 0 DDADMM CC(C)NC(=O)[C@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000620417339 364408150 /nfs/dbraw/zinc/40/81/50/364408150.db2.gz AVGKEHAEOCNIIM-ZDUSSCGKSA-N -1 1 318.373 1.338 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@H](CN3CCCC3=O)C2)c([O-])c1 ZINC000620253171 364350520 /nfs/dbraw/zinc/35/05/20/364350520.db2.gz GWDVBIJLQPJHIU-LBPRGKRZSA-N -1 1 303.362 1.180 20 0 DDADMM COc1cccc(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)c1C ZINC000620750444 364540925 /nfs/dbraw/zinc/54/09/25/364540925.db2.gz CKWLPADZXBGCMV-UHFFFAOYSA-N -1 1 319.317 1.143 20 0 DDADMM O=C([O-])C1CN(C(=O)NC[C@@H](c2ccco2)N2CCCC2)C1 ZINC000621828912 365046146 /nfs/dbraw/zinc/04/61/46/365046146.db2.gz YREGDOPQQITSMO-LBPRGKRZSA-N -1 1 307.350 1.143 20 0 DDADMM CCn1ncc(Cl)c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000621928830 365119762 /nfs/dbraw/zinc/11/97/62/365119762.db2.gz STDMLPWPZPGJFJ-MRVPVSSYSA-N -1 1 309.761 1.089 20 0 DDADMM CN(C)c1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)ccn1 ZINC000622609690 365450924 /nfs/dbraw/zinc/45/09/24/365450924.db2.gz FFVAKWYNHBMJGQ-AWEZNQCLSA-N -1 1 323.360 1.180 20 0 DDADMM COc1ccc(CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000622612534 365452078 /nfs/dbraw/zinc/45/20/78/365452078.db2.gz ZDPDJZYRNGFCDV-INIZCTEOSA-N -1 1 323.356 1.657 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC[C@@H](O)[C@H](CO)C1 ZINC000622715498 365504366 /nfs/dbraw/zinc/50/43/66/365504366.db2.gz DZHSXVUGCSKHBW-GZMMTYOYSA-N -1 1 319.279 1.226 20 0 DDADMM COc1cc2[n-]cc(C(=O)NC(C)(C)CO)c(=O)c2c(OC)c1 ZINC000626276831 367456836 /nfs/dbraw/zinc/45/68/36/367456836.db2.gz MYTGLAUNNNEXRQ-UHFFFAOYSA-N -1 1 320.345 1.046 20 0 DDADMM Cn1cc(N2C[C@H](C(=O)Nc3c([O-])cccc3F)CC2=O)cn1 ZINC000626277648 367457824 /nfs/dbraw/zinc/45/78/24/367457824.db2.gz JHJBZOGULYRIIG-SECBINFHSA-N -1 1 318.308 1.256 20 0 DDADMM CCN([C@@H]1CCCC[C@H]1C)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349721625 284029655 /nfs/dbraw/zinc/02/96/55/284029655.db2.gz MCXOYMHNPWKOHP-MWLCHTKSSA-N -1 1 301.412 1.985 20 0 DDADMM CCC[C@H](NC(=O)c1ncn(-c2ccccc2)n1)c1nn[n-]n1 ZINC000092376584 193187302 /nfs/dbraw/zinc/18/73/02/193187302.db2.gz XMHLBTZKBLZVBP-NSHDSACASA-N -1 1 312.337 1.052 20 0 DDADMM O=S(=O)([N-]CC[C@@H](O)C(F)(F)F)c1cc(F)cc(F)c1 ZINC000349975625 284125017 /nfs/dbraw/zinc/12/50/17/284125017.db2.gz NZNXRLCLPQNSHI-SECBINFHSA-N -1 1 319.251 1.556 20 0 DDADMM C[C@@H]1C[C@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CC(=O)N1 ZINC000350019517 284133878 /nfs/dbraw/zinc/13/38/78/284133878.db2.gz VYNDQJJSSJHSEJ-KOLCDFICSA-N -1 1 314.345 1.636 20 0 DDADMM COc1ccc([C@@H](NC(=O)CN(C)CCC(=O)[O-])C(C)C)cc1 ZINC000262671189 203376062 /nfs/dbraw/zinc/37/60/62/203376062.db2.gz RRIJPZDTRLCZEX-KRWDZBQOSA-N -1 1 322.405 1.915 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCNC(=O)[C@H]1c1ccccc1 ZINC000094076675 193344064 /nfs/dbraw/zinc/34/40/64/193344064.db2.gz RMPVBBBCWJDSQM-OAHLLOKOSA-N -1 1 314.316 1.845 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2cc(Cl)ccc2[O-])C[C@@H](C)O1 ZINC000282459803 217011962 /nfs/dbraw/zinc/01/19/62/217011962.db2.gz JSHVYKXWEVPEBD-PRHODGIISA-N -1 1 313.737 1.448 20 0 DDADMM COC[C@H](O)CCNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000282712595 217196312 /nfs/dbraw/zinc/19/63/12/217196312.db2.gz VCGHKYRGUBDFEE-SNVBAGLBSA-N -1 1 324.764 1.721 20 0 DDADMM CCO[C@@H]1C[C@@H](O)C12CCN(C(=O)c1ncccc1[O-])CC2 ZINC000265966881 205005150 /nfs/dbraw/zinc/00/51/50/205005150.db2.gz LZJIDQUNOVOLFG-CHWSQXEVSA-N -1 1 306.362 1.179 20 0 DDADMM C[C@@H](O)C1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000283031466 217399117 /nfs/dbraw/zinc/39/91/17/217399117.db2.gz KOAMJLBCHZRCSL-SECBINFHSA-N -1 1 306.391 1.251 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)Cc1c(C)nn(C)c1C)c1nn[n-]n1 ZINC000267560319 206145961 /nfs/dbraw/zinc/14/59/61/206145961.db2.gz GXTDDETYEXUSNH-NOZJJQNGSA-N -1 1 319.413 1.386 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@H](c2ccc(O)cc2)C1 ZINC000636290351 422784152 /nfs/dbraw/zinc/78/41/52/422784152.db2.gz KVOPBLZGJSPGDA-GFCCVEGCSA-N -1 1 301.350 1.244 20 0 DDADMM CCC[C@H](NC(=O)COc1cccc(Cl)c1)c1nn[n-]n1 ZINC000268241646 206572349 /nfs/dbraw/zinc/57/23/49/206572349.db2.gz VBNWIPMGJAOUID-NSHDSACASA-N -1 1 309.757 1.890 20 0 DDADMM CN1CC=C(C[N-]S(=O)(=O)c2c(F)cc(F)cc2F)CC1 ZINC000274050549 211309253 /nfs/dbraw/zinc/30/92/53/211309253.db2.gz YURQPIZPFBXXTH-UHFFFAOYSA-N -1 1 320.336 1.644 20 0 DDADMM COC1(CCNC(=O)c2ccc3n[n-]c(=S)n3c2)CCC1 ZINC000294084758 223842630 /nfs/dbraw/zinc/84/26/30/223842630.db2.gz SFVHVCOLXYCDIU-UHFFFAOYSA-N -1 1 306.391 1.707 20 0 DDADMM C[C@@H](NC(=O)c1cc(Cl)ccc1[O-])[C@@H](C)N1CCOCC1 ZINC000104937474 194054359 /nfs/dbraw/zinc/05/43/59/194054359.db2.gz KZNQHQLCOJQPFQ-GHMZBOCLSA-N -1 1 312.797 1.885 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1ncc(C(C)(C)C)o1 ZINC000352052305 284740249 /nfs/dbraw/zinc/74/02/49/284740249.db2.gz JUVPWMLXKVYTNS-UHFFFAOYSA-N -1 1 322.321 1.112 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1sccc1F)Cn1cccn1 ZINC000338870508 250173557 /nfs/dbraw/zinc/17/35/57/250173557.db2.gz XVXYXXDNTUYRQN-SECBINFHSA-N -1 1 303.384 1.698 20 0 DDADMM O=C1CCCN1CCC[N-]S(=O)(=O)c1sccc1F ZINC000338854012 250163613 /nfs/dbraw/zinc/16/36/13/250163613.db2.gz FBESTRKMJWOWPA-UHFFFAOYSA-N -1 1 306.384 1.178 20 0 DDADMM CC1(C)NC(=O)CC[C@@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338981576 250232143 /nfs/dbraw/zinc/23/21/43/250232143.db2.gz JFGQUDKYBFOASZ-QMMMGPOBSA-N -1 1 306.384 1.223 20 0 DDADMM COCc1nc(C[N-]S(=O)(=O)c2sccc2F)cs1 ZINC000338992465 250236848 /nfs/dbraw/zinc/23/68/48/250236848.db2.gz JZNQKDOQVFYQJP-UHFFFAOYSA-N -1 1 322.408 1.969 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)OC[C@@H]2CCCO2)n1 ZINC000339177481 250330873 /nfs/dbraw/zinc/33/08/73/250330873.db2.gz CNJMCZKXJMUTQK-ZJUUUORDSA-N -1 1 311.338 1.109 20 0 DDADMM CCNC(=O)CN(C)C(=O)c1cc(Br)ccc1[O-] ZINC000048735133 183683410 /nfs/dbraw/zinc/68/34/10/183683410.db2.gz MGGZXWOEWYMPKW-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM C[C@@H]1COCC[C@H]1[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000567497400 304223978 /nfs/dbraw/zinc/22/39/78/304223978.db2.gz UQGGXMFTEYKJNU-NXEZZACHSA-N -1 1 312.416 2.000 20 0 DDADMM CCNC(=O)OC[C@@H]1CCCCN1C(=O)c1cncc([O-])c1 ZINC000285886441 218689529 /nfs/dbraw/zinc/68/95/29/218689529.db2.gz GQFFMCAVXNQKHX-LBPRGKRZSA-N -1 1 307.350 1.528 20 0 DDADMM O=C([O-])[C@H]1CC[N@@H+](CC(=O)Nc2cccc3nsnc32)C1 ZINC000062133942 184208376 /nfs/dbraw/zinc/20/83/76/184208376.db2.gz WKPKSCLZSSARRW-QMMMGPOBSA-N -1 1 306.347 1.036 20 0 DDADMM O=C([O-])[C@H]1CCN(CC(=O)Nc2cccc3nsnc32)C1 ZINC000062133942 184208377 /nfs/dbraw/zinc/20/83/77/184208377.db2.gz WKPKSCLZSSARRW-QMMMGPOBSA-N -1 1 306.347 1.036 20 0 DDADMM CCC[C@@H]1CCCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000567654079 304232353 /nfs/dbraw/zinc/23/23/53/304232353.db2.gz TXCFRBWDHAXVLI-MNOVXSKESA-N -1 1 303.366 1.506 20 0 DDADMM CCn1c(CNC(=O)c2cc(C(C)(C)C)nn2C)n[n-]c1=S ZINC000066636005 184420040 /nfs/dbraw/zinc/42/00/40/184420040.db2.gz IHVDTTNPXHERBZ-UHFFFAOYSA-N -1 1 322.438 1.922 20 0 DDADMM COCC[C@H](C)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000412470776 224036115 /nfs/dbraw/zinc/03/61/15/224036115.db2.gz JXCIVLSECBVMOI-JTQLQIEISA-N -1 1 320.393 1.383 20 0 DDADMM CC(C)[C@@H](O)CC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000567770740 304241833 /nfs/dbraw/zinc/24/18/33/304241833.db2.gz FKCBDWAQHJARJU-NSHDSACASA-N -1 1 314.432 1.982 20 0 DDADMM Cc1ccccc1[C@@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352414006 285034836 /nfs/dbraw/zinc/03/48/36/285034836.db2.gz CZLUHQILJRNDBT-AWEZNQCLSA-N -1 1 323.356 1.703 20 0 DDADMM CO[C@@H]1C[C@H](C(=O)[O-])N(C[C@H](O)COc2c(C)cccc2C)C1 ZINC000579603688 422802783 /nfs/dbraw/zinc/80/27/83/422802783.db2.gz IJSSRQDHIKDJIH-RRFJBIMHSA-N -1 1 323.389 1.217 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H](O)C(C)(C)C)cnc2n1 ZINC000120352248 195150066 /nfs/dbraw/zinc/15/00/66/195150066.db2.gz GZKQBIFDRCHDCY-GFCCVEGCSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@H](O)C(C)(C)C)c2=O ZINC000120352248 195150069 /nfs/dbraw/zinc/15/00/69/195150069.db2.gz GZKQBIFDRCHDCY-GFCCVEGCSA-N -1 1 303.362 1.781 20 0 DDADMM COCC1CCC(NC(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000414399090 533169085 /nfs/dbraw/zinc/16/90/85/533169085.db2.gz BMBHQHPOCUBJID-UHFFFAOYSA-N -1 1 315.373 1.858 20 0 DDADMM C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1ccc([O-])cc1F ZINC000154890834 197002881 /nfs/dbraw/zinc/00/28/81/197002881.db2.gz JWTYYSJIDIEPJS-IUCAKERBSA-N -1 1 303.355 1.425 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)c1n[nH]c2ccccc21 ZINC000120713608 195222357 /nfs/dbraw/zinc/22/23/57/195222357.db2.gz OZEOQIXHLZXTCL-UHFFFAOYSA-N -1 1 304.335 1.018 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCCC1)c1ccc(F)c(F)c1F ZINC000289499327 221019889 /nfs/dbraw/zinc/01/98/89/221019889.db2.gz KSGJDPZAMXQXPC-SNVBAGLBSA-N -1 1 323.336 1.933 20 0 DDADMM O=C([O-])c1cccc(NS(=O)(=O)C[C@H]2CCCO2)c1O ZINC000124650022 195664341 /nfs/dbraw/zinc/66/43/41/195664341.db2.gz XSOAYNQXYXZCML-MRVPVSSYSA-N -1 1 301.320 1.011 20 0 DDADMM C[C@@H](O)C[C@@H](C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352954979 285412550 /nfs/dbraw/zinc/41/25/50/285412550.db2.gz SYCRSQLNPCGFHT-RNFRBKRXSA-N -1 1 312.185 1.480 20 0 DDADMM COc1cc(C)c(CN[C@@H](C(=O)[O-])c2cnn(C)c2)cc1OC ZINC000417594578 533573867 /nfs/dbraw/zinc/57/38/67/533573867.db2.gz IZCWIFFFYWMJDN-OAHLLOKOSA-N -1 1 319.361 1.661 20 0 DDADMM C[C@@H]1C[C@@H](c2ccccc2F)N(C(=O)CCc2nn[n-]n2)C1 ZINC000631541101 422822022 /nfs/dbraw/zinc/82/20/22/422822022.db2.gz FXGIDASUQGUWSK-MFKMUULPSA-N -1 1 303.341 1.881 20 0 DDADMM COC(=O)[C@H](NCc1ncccc1[O-])c1ccc(OC)cc1 ZINC000569508920 304359806 /nfs/dbraw/zinc/35/98/06/304359806.db2.gz UPDFYVAUYZKTGV-OAHLLOKOSA-N -1 1 302.330 1.800 20 0 DDADMM O=C(Nc1c[nH]cc(Br)c1=O)c1cncc([O-])c1 ZINC000179407763 306691905 /nfs/dbraw/zinc/69/19/05/306691905.db2.gz SUNUGBQIABJXHK-UHFFFAOYSA-N -1 1 310.107 1.903 20 0 DDADMM O=C(NCCOc1ccccc1)c1nc2ccccc2c(=O)[n-]1 ZINC000074272340 406907343 /nfs/dbraw/zinc/90/73/43/406907343.db2.gz AEJRMILXLSBHCM-UHFFFAOYSA-N -1 1 309.325 1.732 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(C)C ZINC000035160237 406967687 /nfs/dbraw/zinc/96/76/87/406967687.db2.gz NHXJITWOCYULQX-LLVKDONJSA-N -1 1 307.318 1.441 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)c2cc(C(N)=O)n(C)c2)cc1 ZINC000028195864 406916041 /nfs/dbraw/zinc/91/60/41/406916041.db2.gz NXQUCFBZTVCJLP-UHFFFAOYSA-N -1 1 323.374 1.324 20 0 DDADMM O=C([O-])[C@H]1CC=CC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1O ZINC000029006783 406920583 /nfs/dbraw/zinc/92/05/83/406920583.db2.gz IJABJRBRUZXSIA-ZJUUUORDSA-N -1 1 306.274 1.906 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](C)O[C@@H](C)C1 ZINC000078784375 407045483 /nfs/dbraw/zinc/04/54/83/407045483.db2.gz OEXMXWYKWFZZMN-UWVGGRQHSA-N -1 1 307.394 1.625 20 0 DDADMM O=C(Cc1ccc2c(c1)OCO2)Nc1n[n-]c(C(F)(F)F)n1 ZINC000050171304 407129103 /nfs/dbraw/zinc/12/91/03/407129103.db2.gz RMDNQYRSSGMJKM-UHFFFAOYSA-N -1 1 314.223 1.733 20 0 DDADMM CCc1nc(CC(=O)NCc2n[n-]c(=S)n2CC)cs1 ZINC000066636626 407255931 /nfs/dbraw/zinc/25/59/31/407255931.db2.gz ODBLBCUQUIDVMP-UHFFFAOYSA-N -1 1 311.436 1.838 20 0 DDADMM CC[N@H+](CCNC(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000101934944 407317642 /nfs/dbraw/zinc/31/76/42/407317642.db2.gz JPBVISSQFLOCFG-UHFFFAOYSA-N -1 1 300.362 1.137 20 0 DDADMM O=C(NCCc1n[n-]c(=S)n1C1CC1)c1ccnc(F)c1 ZINC000067079176 407267110 /nfs/dbraw/zinc/26/71/10/407267110.db2.gz JHIDEYRDBAEVTP-UHFFFAOYSA-N -1 1 307.354 1.782 20 0 DDADMM Cc1ccc(-c2n[n-]c(=S)n2CCC(=O)NCCO)cc1 ZINC000097041998 407276135 /nfs/dbraw/zinc/27/61/35/407276135.db2.gz ALBNMCDSBFROMF-UHFFFAOYSA-N -1 1 306.391 1.415 20 0 DDADMM CCOc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccc1C ZINC000124131983 407349004 /nfs/dbraw/zinc/34/90/04/407349004.db2.gz UZCRPTSEDYAOBQ-LLVKDONJSA-N -1 1 303.366 1.783 20 0 DDADMM CCC(CC)n1nc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1C ZINC000124252573 407352366 /nfs/dbraw/zinc/35/23/66/407352366.db2.gz FGCLQHRQJHOATI-SNVBAGLBSA-N -1 1 319.413 1.941 20 0 DDADMM CCc1ccc(O)c(C(=O)N2CC[NH+](CC(C)(C)O)CC2)c1 ZINC000106403427 407359489 /nfs/dbraw/zinc/35/94/89/407359489.db2.gz GFLRPDBLEAIQOA-UHFFFAOYSA-N -1 1 306.406 1.483 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccccc2F)C1=O)c1ncccc1[O-] ZINC000112402989 407428226 /nfs/dbraw/zinc/42/82/26/407428226.db2.gz FLTDEAOEVGMABI-LLVKDONJSA-N -1 1 315.304 1.462 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)c(C)c1 ZINC000170708285 407479668 /nfs/dbraw/zinc/47/96/68/407479668.db2.gz FYCWHLDYNKAXOG-UHFFFAOYSA-N -1 1 320.370 1.903 20 0 DDADMM O=C(NCc1cccc2c1OCCCO2)c1cncc([O-])c1 ZINC000178739049 407574570 /nfs/dbraw/zinc/57/45/70/407574570.db2.gz XYRZANNXNPSOJM-UHFFFAOYSA-N -1 1 300.314 1.879 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)Cc1ccon1)c1ccc(C)o1 ZINC000267035565 407725031 /nfs/dbraw/zinc/72/50/31/407725031.db2.gz LTXHUWYAGRWJLA-LLVKDONJSA-N -1 1 300.336 1.383 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000153176357 407770239 /nfs/dbraw/zinc/77/02/39/407770239.db2.gz MISYWICDTMSDLX-SNVBAGLBSA-N -1 1 301.346 1.611 20 0 DDADMM CC(C)(C)CCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000272128553 407784612 /nfs/dbraw/zinc/78/46/12/407784612.db2.gz LRLDGWRZKIMARR-UHFFFAOYSA-N -1 1 300.380 1.804 20 0 DDADMM CN(C)C(=O)c1cc([N-]S(=O)(=O)c2ccccc2)ccn1 ZINC000132290397 407778138 /nfs/dbraw/zinc/77/81/38/407778138.db2.gz MVVIZVBSLMPRAD-UHFFFAOYSA-N -1 1 305.359 1.584 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)N1CC(=O)Nc2ccccc21)C(=O)[O-] ZINC000262301028 407790413 /nfs/dbraw/zinc/79/04/13/407790413.db2.gz QGNGMVDJHKOZNW-JQWIXIFHSA-N -1 1 319.361 1.203 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)[C@@H]1CCCC[C@H]1O ZINC000133425800 407841919 /nfs/dbraw/zinc/84/19/19/407841919.db2.gz ORVKTZYQOQZUBO-NXEZZACHSA-N -1 1 311.407 1.176 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2ccncc2F)cn1C ZINC000180165732 407862044 /nfs/dbraw/zinc/86/20/44/407862044.db2.gz XKKLCOGVQFAAKN-UHFFFAOYSA-N -1 1 313.310 1.147 20 0 DDADMM CN(C)C(=O)c1cccc(S(=O)(=O)[N-]c2ncccc2F)c1 ZINC000180262329 407872056 /nfs/dbraw/zinc/87/20/56/407872056.db2.gz NLJRNZHYAIIOQQ-UHFFFAOYSA-N -1 1 323.349 1.723 20 0 DDADMM COc1cc(C(=O)NC[C@@H]2CN(C)CCO2)cc(Cl)c1[O-] ZINC000153697500 407880420 /nfs/dbraw/zinc/88/04/20/407880420.db2.gz UQIFHYLDXBEARZ-SNVBAGLBSA-N -1 1 314.769 1.115 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2(C3CC3)CCC2)c1 ZINC000154477674 408041098 /nfs/dbraw/zinc/04/10/98/408041098.db2.gz DGENLRZORWQWOZ-UHFFFAOYSA-N -1 1 313.375 1.925 20 0 DDADMM CCc1cc(C(=O)N2C[C@@H](c3ccccc3)[C@H](C(=O)[O-])C2)n[nH]1 ZINC000262960731 407986749 /nfs/dbraw/zinc/98/67/49/407986749.db2.gz RQWRYHNVBIMNKG-UONOGXRCSA-N -1 1 313.357 1.913 20 0 DDADMM CCc1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cc(=O)[nH]1 ZINC000181411856 407992172 /nfs/dbraw/zinc/99/21/72/407992172.db2.gz JLBMEMPTRRIQPO-UHFFFAOYSA-N -1 1 301.228 1.327 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H](c1ccccc1)N1CCc2ccccc21 ZINC000135465329 408018052 /nfs/dbraw/zinc/01/80/52/408018052.db2.gz JUUWGJFOROMOIX-HNNXBMFYSA-N -1 1 320.356 1.942 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H](c1ccccc1)N1CCc2ccccc21 ZINC000135465329 408018059 /nfs/dbraw/zinc/01/80/59/408018059.db2.gz JUUWGJFOROMOIX-HNNXBMFYSA-N -1 1 320.356 1.942 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H](CO)CC(C)(C)C)c2=O ZINC000119404946 408063387 /nfs/dbraw/zinc/06/33/87/408063387.db2.gz LRLJZWFBFXCRGM-SECBINFHSA-N -1 1 306.366 1.201 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2ccc(Cl)cc2)[n-]1 ZINC000268483899 408065925 /nfs/dbraw/zinc/06/59/25/408065925.db2.gz LYOUQJAHFVTBHX-UHFFFAOYSA-N -1 1 301.755 1.578 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2ccc(Cl)cc2)n[n-]1 ZINC000268483899 408065929 /nfs/dbraw/zinc/06/59/29/408065929.db2.gz LYOUQJAHFVTBHX-UHFFFAOYSA-N -1 1 301.755 1.578 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1c(C)nn(C)c1C)c1ccco1 ZINC000175357105 408112387 /nfs/dbraw/zinc/11/23/87/408112387.db2.gz AFNKLLYYJOPQBS-LLVKDONJSA-N -1 1 313.379 1.296 20 0 DDADMM Cc1cccc([C@H](C)C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1 ZINC000155078829 408123883 /nfs/dbraw/zinc/12/38/83/408123883.db2.gz XNVZTHIHGIGYHY-VIFPVBQESA-N -1 1 308.363 1.259 20 0 DDADMM Cc1cccc([C@H](C)C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1 ZINC000155078829 408123887 /nfs/dbraw/zinc/12/38/87/408123887.db2.gz XNVZTHIHGIGYHY-VIFPVBQESA-N -1 1 308.363 1.259 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1cncc(-c2ccccc2)c1 ZINC000136956993 408132781 /nfs/dbraw/zinc/13/27/81/408132781.db2.gz IEFVMEXGSZYLKV-UHFFFAOYSA-N -1 1 323.356 1.523 20 0 DDADMM COc1cccc(-c2noc([C@H](C)NCc3nc(=O)[n-][nH]3)n2)c1 ZINC000273392323 408179102 /nfs/dbraw/zinc/17/91/02/408179102.db2.gz PCGGQHMHEAGGIP-QMMMGPOBSA-N -1 1 316.321 1.007 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1ccc2c(c1)CCCC2)c1nn[n-]n1 ZINC000273601522 408260978 /nfs/dbraw/zinc/26/09/78/408260978.db2.gz YSLYZUCVRHWCIY-LBPRGKRZSA-N -1 1 313.405 1.883 20 0 DDADMM CCOC(=O)C[C@@H](C)N(C)Cc1nc(=O)c2sccc2[n-]1 ZINC000182625603 408208600 /nfs/dbraw/zinc/20/86/00/408208600.db2.gz AYDYNENQOOPTQX-SECBINFHSA-N -1 1 309.391 1.758 20 0 DDADMM COC(=O)c1ccc(C(=O)[N-]c2nc3ccc(F)cn3n2)o1 ZINC000150893909 408221147 /nfs/dbraw/zinc/22/11/47/408221147.db2.gz NBHNKOOTSXUDKK-UHFFFAOYSA-N -1 1 304.237 1.500 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@@H](C)NC(N)=O)c1 ZINC000182933328 408288605 /nfs/dbraw/zinc/28/86/05/408288605.db2.gz PZRASYWOIVYFHR-ZCFIWIBFSA-N -1 1 316.155 1.458 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2c(F)cccc2Cl)CCC1 ZINC000133131469 162052587 /nfs/dbraw/zinc/05/25/87/162052587.db2.gz XZBUBARGEDSCRS-UHFFFAOYSA-N -1 1 321.757 1.853 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2ccc(F)cc2)n1)c1ncn[n-]1 ZINC000133258691 162054277 /nfs/dbraw/zinc/05/42/77/162054277.db2.gz YGQPGROMDOBXBA-UHFFFAOYSA-N -1 1 319.321 1.375 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2ccc(F)cc2)n1)c1nc[n-]n1 ZINC000133258691 162054279 /nfs/dbraw/zinc/05/42/79/162054279.db2.gz YGQPGROMDOBXBA-UHFFFAOYSA-N -1 1 319.321 1.375 20 0 DDADMM CC(C)Nc1ncccc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183430183 408410622 /nfs/dbraw/zinc/41/06/22/408410622.db2.gz KNMYIQXWONOIRN-JTQLQIEISA-N -1 1 303.370 1.291 20 0 DDADMM C[C@H](CN(C)C(=O)CCCOc1cccc(F)c1)c1nn[n-]n1 ZINC000183443749 408412894 /nfs/dbraw/zinc/41/28/94/408412894.db2.gz DAQZONFAZLSHMZ-LLVKDONJSA-N -1 1 321.356 1.760 20 0 DDADMM C[C@H](NC(=O)c1ccc(Br)cc1[O-])c1nc[nH]n1 ZINC000176743311 408430275 /nfs/dbraw/zinc/43/02/75/408430275.db2.gz LLHDWSVKHVRCGH-LURJTMIESA-N -1 1 311.139 1.764 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccc(F)cc1F)c1nn[n-]n1 ZINC000176773293 408441652 /nfs/dbraw/zinc/44/16/52/408441652.db2.gz PTXPJLREFSEGOP-SNVBAGLBSA-N -1 1 311.292 1.514 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(-c2ccco2)on1)c1nn[n-]n1 ZINC000176781967 408442904 /nfs/dbraw/zinc/44/29/04/408442904.db2.gz SUKJKEDUENGQAI-MRVPVSSYSA-N -1 1 302.294 1.719 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(-n2ccnc2)c1)c1nn[n-]n1 ZINC000176781745 408444064 /nfs/dbraw/zinc/44/40/64/408444064.db2.gz DQXKKPVJYLHSAG-CYBMUJFWSA-N -1 1 311.349 1.657 20 0 DDADMM Cn1cc([C@H]2CSCCN2C(=O)c2ncccc2[O-])cn1 ZINC000192013569 408526646 /nfs/dbraw/zinc/52/66/46/408526646.db2.gz QPAFIOGQMQCGTP-LLVKDONJSA-N -1 1 304.375 1.451 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2cccnc2)o1 ZINC000177315825 408579413 /nfs/dbraw/zinc/57/94/13/408579413.db2.gz UIKFUWGFHKLAGB-UHFFFAOYSA-N -1 1 310.331 1.330 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2cccs2)o1 ZINC000177323379 408579588 /nfs/dbraw/zinc/57/95/88/408579588.db2.gz CBQGAOVKBGDZNE-UHFFFAOYSA-N -1 1 315.372 1.996 20 0 DDADMM COCCOc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000274799387 408536564 /nfs/dbraw/zinc/53/65/64/408536564.db2.gz SASGRKBSZYQJHU-NSHDSACASA-N -1 1 319.365 1.101 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc3[nH]ccc3c2)co1 ZINC000162397481 408695360 /nfs/dbraw/zinc/69/53/60/408695360.db2.gz XAISVCGLEZOXAF-UHFFFAOYSA-N -1 1 319.342 1.921 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc(C(=O)NC2CC2)cc1 ZINC000193113999 408698135 /nfs/dbraw/zinc/69/81/35/408698135.db2.gz PANIWINBGFISQK-SNVBAGLBSA-N -1 1 312.391 1.355 20 0 DDADMM CC[C@H]1[C@H](C)CCN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000185373176 408800985 /nfs/dbraw/zinc/80/09/85/408800985.db2.gz DOGHMWYLRSTGKR-KOLCDFICSA-N -1 1 300.380 1.448 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)N1CCN2CCC[C@@H]2C1 ZINC000193939524 408754531 /nfs/dbraw/zinc/75/45/31/408754531.db2.gz OJDCHSCCZZHQQA-CYBMUJFWSA-N -1 1 324.402 1.162 20 0 DDADMM O=C(C(=O)N1CCN(C2CCCC2)CC1)c1ccc([O-])cc1 ZINC000280793736 408835426 /nfs/dbraw/zinc/83/54/26/408835426.db2.gz ORNASIXDMJWPAV-UHFFFAOYSA-N -1 1 302.374 1.662 20 0 DDADMM CS[C@H]1CCC[C@H]([N-]S(=O)(=O)c2c(C)onc2N)C1 ZINC000290821674 408839386 /nfs/dbraw/zinc/83/93/86/408839386.db2.gz TUYKFUGQBKWPDX-IUCAKERBSA-N -1 1 305.425 1.518 20 0 DDADMM Cc1nnc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)s1 ZINC000280912717 408858429 /nfs/dbraw/zinc/85/84/29/408858429.db2.gz ALVBVNWYCVFPLD-UHFFFAOYSA-N -1 1 308.329 1.741 20 0 DDADMM CC[C@@](C)(OC)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000291844365 408932646 /nfs/dbraw/zinc/93/26/46/408932646.db2.gz DEBYLXCOZYCZPI-CYBMUJFWSA-N -1 1 316.379 1.054 20 0 DDADMM Cc1c(S(=O)(=O)Nc2c(C)cc(C)cc2C(=O)[O-])cnn1C ZINC000291936879 408948682 /nfs/dbraw/zinc/94/86/82/408948682.db2.gz PBVCXEPVIHLXNO-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM CN(C(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C1Cc2ccccc2C1 ZINC000287031338 409003170 /nfs/dbraw/zinc/00/31/70/409003170.db2.gz CRIPFVXUTMCJRP-PGMHBOJBSA-N -1 1 321.384 1.973 20 0 DDADMM CC[C@@H](C)[C@@H](C)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000292185973 408996574 /nfs/dbraw/zinc/99/65/74/408996574.db2.gz JSUZRHWKCUOULG-NXEZZACHSA-N -1 1 314.407 1.921 20 0 DDADMM CS(=O)(=O)CCCc1nc(-c2ccc([O-])cc2F)no1 ZINC000278133369 409069998 /nfs/dbraw/zinc/06/99/98/409069998.db2.gz KLXPYSKYZRUGRJ-UHFFFAOYSA-N -1 1 300.311 1.559 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCC[C@](C)(O)CC3)ccnc1-2 ZINC000287789165 409093401 /nfs/dbraw/zinc/09/34/01/409093401.db2.gz XBKXATKPLHPFBM-BRYHAGSVSA-N -1 1 303.366 1.111 20 0 DDADMM COc1cc(Cl)cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000293350297 409095912 /nfs/dbraw/zinc/09/59/12/409095912.db2.gz BGIZZCOXJFNLOZ-QMMMGPOBSA-N -1 1 309.757 1.737 20 0 DDADMM COCc1nsc([N-]C(=O)c2ccc(C(OC)OC)o2)n1 ZINC000287854039 409104679 /nfs/dbraw/zinc/10/46/79/409104679.db2.gz OGGVDZWLYLFDCC-UHFFFAOYSA-N -1 1 313.335 1.821 20 0 DDADMM COCCN1C(=O)C(=C(C)Nc2ccccc2)C(=O)[N-]C1=S ZINC000293406989 409106692 /nfs/dbraw/zinc/10/66/92/409106692.db2.gz QKUCDFYTHLNLNG-ZRDIBKRKSA-N -1 1 319.386 1.262 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(C)(C)[C@H]2C(C)C)o1 ZINC000293828274 409189077 /nfs/dbraw/zinc/18/90/77/409189077.db2.gz HXIODTOCYQEDAS-GFCCVEGCSA-N -1 1 314.407 1.694 20 0 DDADMM CC(C)NC(=O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000283796358 409219008 /nfs/dbraw/zinc/21/90/08/409219008.db2.gz GJDSVWWWHUFOLP-UHFFFAOYSA-N -1 1 308.762 1.282 20 0 DDADMM CCC[C@H](NC(=O)c1coc2c1C(=O)CCC2)c1nn[n-]n1 ZINC000295089388 409317987 /nfs/dbraw/zinc/31/79/87/409317987.db2.gz XPJMLUYMFLEBBZ-VIFPVBQESA-N -1 1 303.322 1.583 20 0 DDADMM COCC1(O)CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000289429342 409269275 /nfs/dbraw/zinc/26/92/75/409269275.db2.gz KLSMUPPGZHLLPX-UHFFFAOYSA-N -1 1 301.289 1.284 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)CCOC(C)C)c1F ZINC000295258126 409402490 /nfs/dbraw/zinc/40/24/90/409402490.db2.gz BNMZDOCQMFCWDH-UHFFFAOYSA-N -1 1 319.354 1.779 20 0 DDADMM Cc1nc(C(=O)NC2(c3nn[n-]n3)CC2)c(-c2ccccc2)o1 ZINC000357053752 164137867 /nfs/dbraw/zinc/13/78/67/164137867.db2.gz SZUNVCBPRVOKGL-UHFFFAOYSA-N -1 1 310.317 1.582 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc(O)c(Cl)c1 ZINC000357380761 164139700 /nfs/dbraw/zinc/13/97/00/164139700.db2.gz VAWMUUGRZKRYTL-UHFFFAOYSA-N -1 1 321.720 1.515 20 0 DDADMM CC(C)(NC(=O)[C@H]1CCC[C@@H](C(F)(F)F)C1)c1nn[n-]n1 ZINC000359803247 164146181 /nfs/dbraw/zinc/14/61/81/164146181.db2.gz USSJSFGBGFISIS-JGVFFNPUSA-N -1 1 305.304 1.920 20 0 DDADMM CC(=O)N1CC[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC000408018445 164199495 /nfs/dbraw/zinc/19/94/95/164199495.db2.gz RWVVCZCSQACNSK-JTQLQIEISA-N -1 1 320.773 1.378 20 0 DDADMM COC(=O)c1cccc(C[N@H+]2CCC[C@@H](c3n[nH]c(=O)o3)C2)c1 ZINC000295475758 409500110 /nfs/dbraw/zinc/50/01/10/409500110.db2.gz YJVXAXXRPZGCQA-CYBMUJFWSA-N -1 1 317.345 1.529 20 0 DDADMM CC[C@@H]1C[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000331473720 409549715 /nfs/dbraw/zinc/54/97/15/409549715.db2.gz KJARUABHPPOYOF-NXEZZACHSA-N -1 1 314.407 1.885 20 0 DDADMM C[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)CNC(=O)c1ncccc1[O-] ZINC000337897874 409551675 /nfs/dbraw/zinc/55/16/75/409551675.db2.gz FWXZQCNWCAFEIV-FRRDWIJNSA-N -1 1 317.389 1.697 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2csc(C(C)C)n2)[n-]1 ZINC000353907725 409553354 /nfs/dbraw/zinc/55/33/54/409553354.db2.gz XWTSMTVRQDKLJF-UHFFFAOYSA-N -1 1 316.408 1.505 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2csc(C(C)C)n2)n[n-]1 ZINC000353907725 409553362 /nfs/dbraw/zinc/55/33/62/409553362.db2.gz XWTSMTVRQDKLJF-UHFFFAOYSA-N -1 1 316.408 1.505 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)CNC(=O)c2ncccc2[O-])o1 ZINC000337946395 409591949 /nfs/dbraw/zinc/59/19/49/409591949.db2.gz CBRAKNWMZLUMBW-JTQLQIEISA-N -1 1 303.318 1.296 20 0 DDADMM COCc1ccccc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337949116 409592322 /nfs/dbraw/zinc/59/23/22/409592322.db2.gz MJALPPKZWLSDEY-UHFFFAOYSA-N -1 1 315.329 1.302 20 0 DDADMM COC(=O)[C@@H](CN=c1nc(C2CC2)[n-]s1)C1CCOCC1 ZINC000356787084 409604697 /nfs/dbraw/zinc/60/46/97/409604697.db2.gz ZWFYDGUCHFFIHZ-NSHDSACASA-N -1 1 311.407 1.465 20 0 DDADMM C[C@H]1CC[C@@H](CC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000331622705 409702437 /nfs/dbraw/zinc/70/24/37/409702437.db2.gz FQFRMYNNNDSWFS-WDEREUQCSA-N -1 1 309.439 1.426 20 0 DDADMM CC(=O)NCCN(C)C(=O)c1ccc(Br)cc1[O-] ZINC000308287975 409781399 /nfs/dbraw/zinc/78/13/99/409781399.db2.gz FFUAMHDBGXPRLC-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM CC(C)c1cc(N2CCN(c3nc(=N)[n-]s3)CC2)ncn1 ZINC000345646700 409732541 /nfs/dbraw/zinc/73/25/41/409732541.db2.gz WCDNNYYCAKDLDN-UHFFFAOYSA-N -1 1 305.411 1.191 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCc2cc(F)ccc21 ZINC000338177977 409777102 /nfs/dbraw/zinc/77/71/02/409777102.db2.gz DMYOFBMAICYWMZ-UHFFFAOYSA-N -1 1 315.304 1.245 20 0 DDADMM CCc1cc(C(=O)Nc2nn[n-]n2)c2c(n1)onc2C(C)C ZINC000338177755 409778377 /nfs/dbraw/zinc/77/83/77/409778377.db2.gz RKJHGNFOCNYQIB-UHFFFAOYSA-N -1 1 301.310 1.674 20 0 DDADMM CCc1nc(CNS(=O)(=O)c2cccc(F)c2F)n[nH]1 ZINC000296939795 409717340 /nfs/dbraw/zinc/71/73/40/409717340.db2.gz GOOFUTRTPGMBRT-UHFFFAOYSA-N -1 1 302.306 1.124 20 0 DDADMM Cc1ccc(OCCCC(=O)NC2(c3nn[n-]n3)CC2)c(C)c1 ZINC000357074207 409842249 /nfs/dbraw/zinc/84/22/49/409842249.db2.gz IVDIDLVOURNNMB-UHFFFAOYSA-N -1 1 315.377 1.781 20 0 DDADMM O=C(Cc1csc(-c2ccoc2)n1)NC1(c2nn[n-]n2)CC1 ZINC000357070599 409842616 /nfs/dbraw/zinc/84/26/16/409842616.db2.gz DMNHPQNYNLVXMI-UHFFFAOYSA-N -1 1 316.346 1.264 20 0 DDADMM COCC[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(C)(C)C ZINC000338318275 409905230 /nfs/dbraw/zinc/90/52/30/409905230.db2.gz VXWDNWMYVZUNJD-GFCCVEGCSA-N -1 1 320.393 1.855 20 0 DDADMM COC1CCC(CCNS(=O)(=O)c2c(C)o[n-]c2=N)CC1 ZINC000349540294 409865151 /nfs/dbraw/zinc/86/51/51/409865151.db2.gz XMFWPHPIULVCSJ-UHFFFAOYSA-N -1 1 317.411 1.269 20 0 DDADMM COC1CCC(CC[N-]S(=O)(=O)c2c(C)onc2N)CC1 ZINC000349540294 409865159 /nfs/dbraw/zinc/86/51/59/409865159.db2.gz XMFWPHPIULVCSJ-UHFFFAOYSA-N -1 1 317.411 1.269 20 0 DDADMM NC(=O)[C@H]1CCCCC[C@H]1N=c1nc(C(F)(F)F)[n-]s1 ZINC000342775173 409897718 /nfs/dbraw/zinc/89/77/18/409897718.db2.gz DFHIGDDEEZQHCA-NKWVEPMBSA-N -1 1 308.329 1.825 20 0 DDADMM C[C@H](CC(N)=O)NC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338385399 409951458 /nfs/dbraw/zinc/95/14/58/409951458.db2.gz KFEFTKRYKQECIY-SSDOTTSWSA-N -1 1 307.737 1.588 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cccc(OCc2cscn2)c1 ZINC000342856828 409953174 /nfs/dbraw/zinc/95/31/74/409953174.db2.gz ICUGHUNDTSRJCU-UHFFFAOYSA-N -1 1 302.319 1.488 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cccc(OCc2cscn2)c1 ZINC000342856828 409953178 /nfs/dbraw/zinc/95/31/78/409953178.db2.gz ICUGHUNDTSRJCU-UHFFFAOYSA-N -1 1 302.319 1.488 20 0 DDADMM O=c1ccncn1CCc1nc(-c2ccc([O-])cc2Cl)no1 ZINC000350572564 409965499 /nfs/dbraw/zinc/96/54/99/409965499.db2.gz DNXQDHOKXHYATI-UHFFFAOYSA-N -1 1 318.720 1.895 20 0 DDADMM Cn1nnc2c1nccc2-c1nc(-c2ccc([O-])c(F)c2)no1 ZINC000350603631 409970630 /nfs/dbraw/zinc/97/06/30/409970630.db2.gz FWRQLBSKMKYIHG-UHFFFAOYSA-N -1 1 312.264 1.925 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)C(=O)OC ZINC000354699199 410024758 /nfs/dbraw/zinc/02/47/58/410024758.db2.gz BCFCFSXTFQJBKP-SNVBAGLBSA-N -1 1 320.436 1.770 20 0 DDADMM CC(C)[C@H]1CC[C@@H](C)C[C@H]1OCC(=O)Nc1nn[nH]c1C(N)=O ZINC000297891824 410039757 /nfs/dbraw/zinc/03/97/57/410039757.db2.gz VQCSWIIAOHSHTO-GMTAPVOTSA-N -1 1 323.397 1.320 20 0 DDADMM CC(C)[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1cccnc1 ZINC000579912178 422852470 /nfs/dbraw/zinc/85/24/70/422852470.db2.gz URXXRFPEQSITJA-AAEUAGOBSA-N -1 1 302.382 1.596 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2ccc(N(C)C)nc2)c1C ZINC000357365104 409998078 /nfs/dbraw/zinc/99/80/78/409998078.db2.gz BMKXWFPREBDABX-UHFFFAOYSA-N -1 1 309.395 1.542 20 0 DDADMM CC(C)(NC(=O)[C@H]1C[C@@H]1c1cc(F)ccc1F)c1nn[n-]n1 ZINC000354770311 410071872 /nfs/dbraw/zinc/07/18/72/410071872.db2.gz IOZHTDXUXWPJJY-SCZZXKLOSA-N -1 1 307.304 1.633 20 0 DDADMM CC(C)n1cnnc1C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000332424183 410147745 /nfs/dbraw/zinc/14/77/45/410147745.db2.gz AWGDZVVJVAMYOT-UHFFFAOYSA-N -1 1 315.377 1.979 20 0 DDADMM O=C(N[C@@H](CO)c1cccc(F)c1)c1csc(=NC2CC2)[n-]1 ZINC000351677858 410150004 /nfs/dbraw/zinc/15/00/04/410150004.db2.gz YQXHAZBEVYUBJM-LBPRGKRZSA-N -1 1 321.377 1.742 20 0 DDADMM CC(C)(NC(=O)[C@H]1SCCc2sccc21)c1nn[n-]n1 ZINC000354793507 410092305 /nfs/dbraw/zinc/09/23/05/410092305.db2.gz QUTKPKLRATVAQP-VIFPVBQESA-N -1 1 309.420 1.643 20 0 DDADMM Cn1[n-]c(CN2CC(C)(C)O[C@](C)(C(F)(F)F)C2)nc1=O ZINC000339452550 410200387 /nfs/dbraw/zinc/20/03/87/410200387.db2.gz NLKXHTAHWIWWRV-NSHDSACASA-N -1 1 308.304 1.040 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C(C)(C)C)C2)o1 ZINC000332732314 410241879 /nfs/dbraw/zinc/24/18/79/410241879.db2.gz WFUFKMMGSDPOOS-JTQLQIEISA-N -1 1 314.407 1.696 20 0 DDADMM O=C(c1csc(=NC2CC2)[nH]1)N1CCOC[C@H]1[C@H]1CCCO1 ZINC000329345516 410246513 /nfs/dbraw/zinc/24/65/13/410246513.db2.gz BXBYVBZBGWBZGJ-QWHCGFSZSA-N -1 1 323.418 1.159 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCOC[C@H]1[C@H]1CCCO1 ZINC000329345516 410246521 /nfs/dbraw/zinc/24/65/21/410246521.db2.gz BXBYVBZBGWBZGJ-QWHCGFSZSA-N -1 1 323.418 1.159 20 0 DDADMM O=C(Cc1ccc2c[nH]nc2c1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000355035035 410252863 /nfs/dbraw/zinc/25/28/63/410252863.db2.gz IHNDMNVBYSDGMU-UHFFFAOYSA-N -1 1 310.239 1.881 20 0 DDADMM Cn1ccnc1-c1cc(=NC(=O)Cc2[nH]nc3ccccc32)[nH][n-]1 ZINC000355063223 410280382 /nfs/dbraw/zinc/28/03/82/410280382.db2.gz REBNABHPNVKSAQ-UHFFFAOYSA-N -1 1 321.344 1.290 20 0 DDADMM Cn1nc(CN2CCC(Oc3ccc(F)cc3F)CC2)[n-]c1=O ZINC000329547153 410352498 /nfs/dbraw/zinc/35/24/98/410352498.db2.gz BYWAOFXMJNVQRV-UHFFFAOYSA-N -1 1 324.331 1.430 20 0 DDADMM CCNC(=O)NC(=O)[C@H](C)Sc1nc(C(F)F)cc(=O)[n-]1 ZINC000351877101 410311538 /nfs/dbraw/zinc/31/15/38/410311538.db2.gz GFXCUOABALZOCM-YFKPBYRVSA-N -1 1 320.321 1.446 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1CCc3ccccc3C1)c2=O ZINC000358240907 410416228 /nfs/dbraw/zinc/41/62/28/410416228.db2.gz HVFTVDNFBAJSRB-CYBMUJFWSA-N -1 1 322.368 1.961 20 0 DDADMM CC(C)c1nc(C2(NCc3nc(=O)n(C)[n-]3)CCCC2)no1 ZINC000329559556 410359906 /nfs/dbraw/zinc/35/99/06/410359906.db2.gz FPZHJOOGRAMDPK-UHFFFAOYSA-N -1 1 306.370 1.174 20 0 DDADMM Cc1n[nH]c(C(F)F)c1[N-]S(=O)(=O)c1cncc(F)c1 ZINC000355269856 410410080 /nfs/dbraw/zinc/41/00/80/410410080.db2.gz VDDWLVZWJGSZHJ-UHFFFAOYSA-N -1 1 306.269 1.991 20 0 DDADMM O=C1N[C@@H]2CCCC[C@@H]2C[C@H]1S(=O)(=O)c1ccc([O-])cc1 ZINC000333831120 410609845 /nfs/dbraw/zinc/60/98/45/410609845.db2.gz LPJGDAHGKIJDQV-LERXQTSPSA-N -1 1 309.387 1.613 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CSC[C@H]2C)c1 ZINC000352338380 410630774 /nfs/dbraw/zinc/63/07/74/410630774.db2.gz RFSLBDIMPJYBSJ-APPZFPTMSA-N -1 1 305.377 1.096 20 0 DDADMM O=C(N=c1ccc([O-])n[nH]1)c1ncsc1Br ZINC000343724028 410647541 /nfs/dbraw/zinc/64/75/41/410647541.db2.gz YMCUMHDYHIZCNK-UHFFFAOYSA-N -1 1 301.125 1.075 20 0 DDADMM CCC1(CC)CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343823698 410727812 /nfs/dbraw/zinc/72/78/12/410727812.db2.gz FZHWIILURPMKJT-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCCS2)c1 ZINC000330423010 410681646 /nfs/dbraw/zinc/68/16/46/410681646.db2.gz GPJWSCAMSJWAQW-VIFPVBQESA-N -1 1 305.377 1.240 20 0 DDADMM CC(C)CN1CCN(C(=O)N=c2ccc(OC(C)C)n[n-]2)CC1 ZINC000330561421 410778708 /nfs/dbraw/zinc/77/87/08/410778708.db2.gz KDKRYILMQWJBTP-UHFFFAOYSA-N -1 1 321.425 1.491 20 0 DDADMM CSc1nc([N-]C(=O)c2cnc(-c3ncccn3)s2)n[nH]1 ZINC000340266156 410805693 /nfs/dbraw/zinc/80/56/93/410805693.db2.gz JSDZHWCROWWFLQ-UHFFFAOYSA-N -1 1 319.375 1.692 20 0 DDADMM Cc1nc(-c2ccc(NC[C@]3(O)CCOC3)nc2)[n-]c(=O)c1C ZINC000301852924 410812924 /nfs/dbraw/zinc/81/29/24/410812924.db2.gz QEBSVWBFUSJEIL-MRXNPFEDSA-N -1 1 316.361 1.424 20 0 DDADMM Cc1c(C(=O)NC(C)(C)c2nn[n-]n2)[nH]c2c1C(=O)CCC2 ZINC000359797439 410888899 /nfs/dbraw/zinc/88/88/99/410888899.db2.gz OWNFGHPDZRUKGU-UHFFFAOYSA-N -1 1 302.338 1.020 20 0 DDADMM COc1ccc(F)c2[nH]c(C(=O)NC(C)(C)c3nn[n-]n3)cc21 ZINC000356198439 410890591 /nfs/dbraw/zinc/89/05/91/410890591.db2.gz ZYVXAOVERBVBOR-UHFFFAOYSA-N -1 1 318.312 1.494 20 0 DDADMM COc1ccc2cc(C(=O)NC3(c4nn[n-]n4)CC3)c(C)nc2c1 ZINC000348278156 410893301 /nfs/dbraw/zinc/89/33/01/410893301.db2.gz UFKWKTYXJUJYSE-UHFFFAOYSA-N -1 1 324.344 1.484 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1SCCc2ccccc21 ZINC000348299332 410907664 /nfs/dbraw/zinc/90/76/64/410907664.db2.gz WHLDZVVMAKUNOI-LLVKDONJSA-N -1 1 301.375 1.336 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCC[C@@H]2OC)c1 ZINC000341191128 410927055 /nfs/dbraw/zinc/92/70/55/410927055.db2.gz NLOIYOYBBFEQCO-KOLCDFICSA-N -1 1 317.363 1.160 20 0 DDADMM CCN(C[C@@H]1CCCO1)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631787787 422923055 /nfs/dbraw/zinc/92/30/55/422923055.db2.gz YHCMEXDVTDJUCC-LBPRGKRZSA-N -1 1 315.391 1.590 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C)C(=O)NC(C)(C)C)sn1 ZINC000631795185 422924997 /nfs/dbraw/zinc/92/49/97/422924997.db2.gz BGBPPESBDUWBGF-QMMMGPOBSA-N -1 1 305.425 1.033 20 0 DDADMM CO[C@H](C)c1nc(=NCC(C)(C)[C@]2(O)CCOC2)s[n-]1 ZINC000645365941 423007243 /nfs/dbraw/zinc/00/72/43/423007243.db2.gz LHOAIPFZXNYSGJ-RNCFNFMXSA-N -1 1 301.412 1.257 20 0 DDADMM O=C([O-])CCN(Cc1nncn1-c1ccccc1)CC1CC1 ZINC000652467180 423029827 /nfs/dbraw/zinc/02/98/27/423029827.db2.gz NSGJNLKBVQPBHK-UHFFFAOYSA-N -1 1 300.362 1.954 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1C[C@H](C(=O)[O-])[C@@H](c2ccccc2)C1 ZINC000647759037 423034904 /nfs/dbraw/zinc/03/49/04/423034904.db2.gz GJEVAVVZFHUFKR-PMPSAXMXSA-N -1 1 316.401 1.798 20 0 DDADMM COc1ccc(F)cc1S(=O)(=O)N[C@@H]1CCC[N@@H+](C)C1 ZINC000645485169 423052336 /nfs/dbraw/zinc/05/23/36/423052336.db2.gz MNHUNKQQPHGAHV-LLVKDONJSA-N -1 1 302.371 1.207 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cnc(C(C)(C)C)nc1 ZINC000357933584 299024585 /nfs/dbraw/zinc/02/45/85/299024585.db2.gz KCLCZYDQCKAMES-UHFFFAOYSA-N -1 1 301.368 1.079 20 0 DDADMM COc1cc(C(=O)NCc2cc(=O)[n-]c(SC)n2)sn1 ZINC000640655721 423116672 /nfs/dbraw/zinc/11/66/72/423116672.db2.gz QSKUZSOJXCYYLH-UHFFFAOYSA-N -1 1 312.376 1.299 20 0 DDADMM CCc1cnccc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640656885 423117271 /nfs/dbraw/zinc/11/72/71/423117271.db2.gz WRVRHUWKDBUMLT-UHFFFAOYSA-N -1 1 304.375 1.792 20 0 DDADMM CSc1nc(CNC(=O)c2ccn(C(F)F)n2)cc(=O)[n-]1 ZINC000640656206 423118338 /nfs/dbraw/zinc/11/83/38/423118338.db2.gz PLSWEVSSSYFKSF-UHFFFAOYSA-N -1 1 315.305 1.426 20 0 DDADMM O=S(=O)([N-][C@H]1CCCOCC1)c1ncccc1C(F)(F)F ZINC000645641225 423122318 /nfs/dbraw/zinc/12/23/18/423122318.db2.gz ZYNICBSYQDMRRR-VIFPVBQESA-N -1 1 324.324 1.948 20 0 DDADMM COCCOC[C@@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000360543021 418459754 /nfs/dbraw/zinc/45/97/54/418459754.db2.gz FVCQNDJLMDBJJQ-SECBINFHSA-N -1 1 309.334 1.295 20 0 DDADMM Cc1ccc(F)c(S(=O)(=O)N[C@@H]2CC[N@@H+](C)[C@H](C)C2)c1 ZINC000360655904 418485157 /nfs/dbraw/zinc/48/51/57/418485157.db2.gz ZMJZTXFOIFFPDZ-VXGBXAGGSA-N -1 1 300.399 1.895 20 0 DDADMM COc1ccc(C(=O)Nc2n[nH]c(-c3ccncc3)n2)c([O-])c1 ZINC000366624610 418497915 /nfs/dbraw/zinc/49/79/15/418497915.db2.gz XPVZQHKISMCHQN-UHFFFAOYSA-N -1 1 311.301 1.833 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cc(Br)c(F)cc1F ZINC000192123159 222120422 /nfs/dbraw/zinc/12/04/22/222120422.db2.gz CUAUBCWWXLLQTC-UHFFFAOYSA-N -1 1 304.054 1.493 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc(Br)c(F)cc1F ZINC000192123159 222120424 /nfs/dbraw/zinc/12/04/24/222120424.db2.gz CUAUBCWWXLLQTC-UHFFFAOYSA-N -1 1 304.054 1.493 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)c2cccnc2)C1)C(F)(F)F ZINC000291094567 222131875 /nfs/dbraw/zinc/13/18/75/222131875.db2.gz LASOIBRLFDIEJL-SNVBAGLBSA-N -1 1 315.295 1.612 20 0 DDADMM CC(C)C[C@H](CNC(=O)N1CCN(C(C)C)CC1)CC(=O)[O-] ZINC000316074209 418598684 /nfs/dbraw/zinc/59/86/84/418598684.db2.gz AFRQGDADMAFYRW-AWEZNQCLSA-N -1 1 313.442 1.859 20 0 DDADMM Cn1c(=O)ccnc1[N-]S(=O)(=O)c1cc(F)ccc1Cl ZINC000361534329 418672784 /nfs/dbraw/zinc/67/27/84/418672784.db2.gz XLAXFNRFKAVHDM-UHFFFAOYSA-N -1 1 317.729 1.374 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc(C)c1F ZINC000371352702 418781730 /nfs/dbraw/zinc/78/17/30/418781730.db2.gz GXPUYGATZZIOEX-NSHDSACASA-N -1 1 305.313 1.169 20 0 DDADMM CCOc1c(Cl)cccc1CC(=O)N=c1ccc(O)n[n-]1 ZINC000362510772 300082048 /nfs/dbraw/zinc/08/20/48/300082048.db2.gz MBFOWJLDSUAFMZ-UHFFFAOYSA-N -1 1 307.737 1.837 20 0 DDADMM CCOc1c(Cl)cccc1CC(=O)N=c1ccc([O-])n[nH]1 ZINC000362510772 300082051 /nfs/dbraw/zinc/08/20/51/300082051.db2.gz MBFOWJLDSUAFMZ-UHFFFAOYSA-N -1 1 307.737 1.837 20 0 DDADMM Cc1n[nH]c(C)c1[C@H]1COCCN1C(=O)c1ncc(C)cc1[O-] ZINC000408371105 418800049 /nfs/dbraw/zinc/80/00/49/418800049.db2.gz VDUQUZPHCGSMQD-GFCCVEGCSA-N -1 1 316.361 1.649 20 0 DDADMM Cc1c(C(=O)N(C)C)occ1S(=O)(=O)[N-]C(C)(C)C(F)F ZINC000645688760 423144434 /nfs/dbraw/zinc/14/44/34/423144434.db2.gz WKDYJTFCNANETF-UHFFFAOYSA-N -1 1 324.349 1.612 20 0 DDADMM O=C(C/C=C/c1ccccc1F)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000371867800 418822075 /nfs/dbraw/zinc/82/20/75/418822075.db2.gz JZHLXFIBZPHBMR-MASHWEEQSA-N -1 1 317.324 1.342 20 0 DDADMM C[C@@H](CC(=O)Nc1n[n-]c(C(F)(F)F)n1)c1cnn(C)c1 ZINC000411290223 418889651 /nfs/dbraw/zinc/88/96/51/418889651.db2.gz IYZYBLLXFSZPCN-LURJTMIESA-N -1 1 302.260 1.689 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](CO)C(C)(C)C)c(F)c1 ZINC000425168311 228378589 /nfs/dbraw/zinc/37/85/89/228378589.db2.gz HJWUROKXEMZYGU-LLVKDONJSA-N -1 1 307.362 1.959 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCCn2ccnc2)c(F)c1 ZINC000425140350 228372658 /nfs/dbraw/zinc/37/26/58/228372658.db2.gz DIWIIRGHRIUHJM-UHFFFAOYSA-N -1 1 315.345 1.838 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2C[C@@]2(F)c2ccccc2)n1 ZINC000411763717 419402057 /nfs/dbraw/zinc/40/20/57/419402057.db2.gz VYIIZSIIEKZEMK-NOZJJQNGSA-N -1 1 324.337 1.032 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2C[C@@]2(F)c2ccccc2)[n-]1 ZINC000411763717 419402066 /nfs/dbraw/zinc/40/20/66/419402066.db2.gz VYIIZSIIEKZEMK-NOZJJQNGSA-N -1 1 324.337 1.032 20 0 DDADMM Brc1cnccc1CNC1(c2nn[n-]n2)CCCC1 ZINC000638843327 423152286 /nfs/dbraw/zinc/15/22/86/423152286.db2.gz QGOWOJZYUJUQFB-UHFFFAOYSA-N -1 1 323.198 1.916 20 0 DDADMM COCCc1ncc(CNC2(c3nnn[n-]3)CCCC2)s1 ZINC000638843658 423153289 /nfs/dbraw/zinc/15/32/89/423153289.db2.gz VWSHPTFGMVIQJH-UHFFFAOYSA-N -1 1 308.411 1.404 20 0 DDADMM COCCc1ncc(CNC2(c3nn[n-]n3)CCCC2)s1 ZINC000638843658 423153296 /nfs/dbraw/zinc/15/32/96/423153296.db2.gz VWSHPTFGMVIQJH-UHFFFAOYSA-N -1 1 308.411 1.404 20 0 DDADMM CO[C@@](C)([C@@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O)C1CC1 ZINC000412582325 419756358 /nfs/dbraw/zinc/75/63/58/419756358.db2.gz FNZFUOLDFBQIKQ-ABKXIKBNSA-N -1 1 318.377 1.607 20 0 DDADMM CCCC1CCC(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CC1 ZINC000416134057 420252617 /nfs/dbraw/zinc/25/26/17/420252617.db2.gz OBICPXLOTGLIBA-UHFFFAOYSA-N -1 1 309.366 1.985 20 0 DDADMM C[C@H]1CC[NH2+]C[C@@H]1NS(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000420429615 420281586 /nfs/dbraw/zinc/28/15/86/420281586.db2.gz AQMDNKYBWKUXPV-XVKPBYJWSA-N -1 1 324.780 1.895 20 0 DDADMM CC[C@@H](C(=O)OC)N1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000436526667 420337485 /nfs/dbraw/zinc/33/74/85/420337485.db2.gz AZBAODOFXLDJEC-AWEZNQCLSA-N -1 1 324.352 1.241 20 0 DDADMM COC(=O)c1ccc(C)c(NC(=O)c2cncc([O-])c2)c1O ZINC000436579166 420342742 /nfs/dbraw/zinc/34/27/42/420342742.db2.gz CDZTYPUNHOCUOT-UHFFFAOYSA-N -1 1 302.286 1.840 20 0 DDADMM COc1cc(NC(=O)c2ccc(O)cc2[O-])ccc1NC(N)=O ZINC000436631571 420345698 /nfs/dbraw/zinc/34/56/98/420345698.db2.gz VKZRJFBPXNFVLJ-UHFFFAOYSA-N -1 1 317.301 1.849 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@@H](CC(C)C)OC)c1ccco1 ZINC000420667193 420348599 /nfs/dbraw/zinc/34/85/99/420348599.db2.gz IOXLIWXOULDGQA-CHWSQXEVSA-N -1 1 319.423 1.948 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cnn(CCF)c2)c1 ZINC000436637920 420348890 /nfs/dbraw/zinc/34/88/90/420348890.db2.gz BFHIFODASPXWQN-UHFFFAOYSA-N -1 1 307.281 1.597 20 0 DDADMM COCCO[C@@H]1COCC[C@@H]1NC(=O)c1cc(F)ccc1[O-] ZINC000436708577 420357352 /nfs/dbraw/zinc/35/73/52/420357352.db2.gz SFLXWEJGVYSMEB-GXTWGEPZSA-N -1 1 313.325 1.082 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCc2c(=O)[nH]cnc2C1 ZINC000436823578 420372174 /nfs/dbraw/zinc/37/21/74/420372174.db2.gz BTGUQAKHKVJFGK-UHFFFAOYSA-N -1 1 305.721 1.740 20 0 DDADMM O=C(N[C@H](CO)CC(F)F)c1cc(Br)ccc1[O-] ZINC000436878830 420379129 /nfs/dbraw/zinc/37/91/29/420379129.db2.gz DRMLAFBDWRIRLY-ZETCQYMHSA-N -1 1 324.121 1.901 20 0 DDADMM O=C(NCCCOC[C@H]1CCOC1)C(=O)c1ccc([O-])cc1 ZINC000436914343 420385666 /nfs/dbraw/zinc/38/56/66/420385666.db2.gz YYTTUQHTQHNZAW-GFCCVEGCSA-N -1 1 307.346 1.134 20 0 DDADMM COC(=O)CC1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000436929499 420385763 /nfs/dbraw/zinc/38/57/63/420385763.db2.gz FCHQSFSMIJXXAS-UHFFFAOYSA-N -1 1 305.330 1.377 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2ccc(C)cn2)o1 ZINC000416508112 420400034 /nfs/dbraw/zinc/40/00/34/420400034.db2.gz QPVZCQSMOGDPFY-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000420713720 420366055 /nfs/dbraw/zinc/36/60/55/420366055.db2.gz BEZYAFOVXMPLBE-SCZZXKLOSA-N -1 1 320.773 1.411 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCC2(O)CCC2)o1 ZINC000420717743 420367162 /nfs/dbraw/zinc/36/71/62/420367162.db2.gz MILXLTYAPPDIGT-UHFFFAOYSA-N -1 1 317.363 1.040 20 0 DDADMM O=c1[nH]nc2c(-c3nc(-c4ccc([O-])cc4F)no3)cccn12 ZINC000445459851 230178381 /nfs/dbraw/zinc/17/83/81/230178381.db2.gz ILXWTRIQCITVLL-UHFFFAOYSA-N -1 1 313.248 1.997 20 0 DDADMM Cc1nc(-c2ccc(NCC3(CO)COC3)nc2)[n-]c(=O)c1C ZINC000446882156 230310013 /nfs/dbraw/zinc/31/00/13/230310013.db2.gz ZKTOQPDDYDASOY-UHFFFAOYSA-N -1 1 316.361 1.282 20 0 DDADMM CCc1[nH]nc([N-]S(=O)(=O)c2ccc(C(=O)OC)o2)c1C ZINC000438225671 420446915 /nfs/dbraw/zinc/44/69/15/420446915.db2.gz OBZIKVLKXFUKET-UHFFFAOYSA-N -1 1 313.335 1.461 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CCC[C@@H](O)C1 ZINC000439260967 420503576 /nfs/dbraw/zinc/50/35/76/420503576.db2.gz RMKWRHAYXUTVFZ-NWDGAFQWSA-N -1 1 318.377 1.219 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCC[C@H](C)C1 ZINC000457000104 420584406 /nfs/dbraw/zinc/58/44/06/420584406.db2.gz KWLGHTRHVAZLJS-GARJFASQSA-N -1 1 303.366 1.362 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000492738769 420646283 /nfs/dbraw/zinc/64/62/83/420646283.db2.gz GRJSACUPRFWCBJ-YEZKRMTDSA-N -1 1 304.379 1.384 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@H](O)[C@H]3C)cnc2n1 ZINC000452711837 420648930 /nfs/dbraw/zinc/64/89/30/420648930.db2.gz ZNCBIOOPHFSYJK-MFKMUULPSA-N -1 1 301.346 1.629 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@H](O)[C@H]3C)c[n-]c2n1 ZINC000452711837 420648933 /nfs/dbraw/zinc/64/89/33/420648933.db2.gz ZNCBIOOPHFSYJK-MFKMUULPSA-N -1 1 301.346 1.629 20 0 DDADMM CCOC(=O)[C@@H](C)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442673642 420697596 /nfs/dbraw/zinc/69/75/96/420697596.db2.gz VHEFJSOYRGPPSF-QMMMGPOBSA-N -1 1 315.753 1.983 20 0 DDADMM COc1ccc2occ(C(=O)NC(C)(C)c3nn[n-]n3)c2c1 ZINC000442724086 420705238 /nfs/dbraw/zinc/70/52/38/420705238.db2.gz QPUSDJZWCWLGMA-UHFFFAOYSA-N -1 1 301.306 1.620 20 0 DDADMM CC1(C)C[C@@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000454353478 420844781 /nfs/dbraw/zinc/84/47/81/420844781.db2.gz CLFKHJFALTXHCR-NSHDSACASA-N -1 1 315.373 1.858 20 0 DDADMM CCOC(=O)CC1(NC(=O)c2ccc3[nH]nnc3c2)CCCC1 ZINC000447857751 420808326 /nfs/dbraw/zinc/80/83/26/420808326.db2.gz FUXMMUDOHRZXFV-UHFFFAOYSA-N -1 1 316.361 1.954 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)N=c1ccc(OC(C)C)n[n-]1 ZINC000455238208 420988284 /nfs/dbraw/zinc/98/82/84/420988284.db2.gz OXGQZRHKWXQZEF-ZDUSSCGKSA-N -1 1 321.425 1.634 20 0 DDADMM O=C(NCCN1C[C@H]2CC[C@@H](C1)O2)c1c(F)ccc([O-])c1F ZINC000456196232 421117476 /nfs/dbraw/zinc/11/74/76/421117476.db2.gz CIKFVZMWGDVIHV-AOOOYVTPSA-N -1 1 312.316 1.263 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](Cc1ccccc1)OC)c1nn[n-]n1 ZINC000450121735 421145761 /nfs/dbraw/zinc/14/57/61/421145761.db2.gz KUEGTNRZWGLOHP-CHWSQXEVSA-N -1 1 303.366 1.415 20 0 DDADMM CNC(=O)C[C@H](C)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000456475165 421172362 /nfs/dbraw/zinc/17/23/62/421172362.db2.gz IHTMFYALXFKAIR-ZETCQYMHSA-N -1 1 300.742 1.309 20 0 DDADMM C[C@@H]1[C@@H](C(=O)[N-]O[C@@H]2CCOC2)CCN1C(=O)OC(C)(C)C ZINC000492190256 421212182 /nfs/dbraw/zinc/21/21/82/421212182.db2.gz TVMTXOUQRMCLMP-UTUOFQBUSA-N -1 1 314.382 1.469 20 0 DDADMM O=C(C=Cc1cccc(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000492223565 421215185 /nfs/dbraw/zinc/21/51/85/421215185.db2.gz UZFYAQBNSCHBRL-ZHRWSRJISA-N -1 1 301.325 1.758 20 0 DDADMM O=C(N[C@H](c1ccccc1)C1CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000544685060 421227420 /nfs/dbraw/zinc/22/74/20/421227420.db2.gz DNBXCAQUJMSCPR-OAHLLOKOSA-N -1 1 323.356 1.689 20 0 DDADMM C[C@@H]1CCCC[C@H]1CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000523125057 421233418 /nfs/dbraw/zinc/23/34/18/421233418.db2.gz FEXGSKOSRBIEEU-BDAKNGLRSA-N -1 1 300.384 1.363 20 0 DDADMM C[C@@H]1CCCC[C@H]1CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000523125057 421233421 /nfs/dbraw/zinc/23/34/21/421233421.db2.gz FEXGSKOSRBIEEU-BDAKNGLRSA-N -1 1 300.384 1.363 20 0 DDADMM CC[C@@](C)(CNC(=O)OC(C)(C)C)C(=O)[N-]OC(C)(C)CO ZINC000496356340 421239746 /nfs/dbraw/zinc/23/97/46/421239746.db2.gz DLSFBIAFROKMHO-HNNXBMFYSA-N -1 1 318.414 1.746 20 0 DDADMM C[C@H]1CCC[C@@]1(C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000450553757 421209587 /nfs/dbraw/zinc/20/95/87/421209587.db2.gz CJJXVUFLAXFXQT-LKFCYVNXSA-N -1 1 312.391 1.804 20 0 DDADMM Cc1ncc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(C2CC2)n1 ZINC000545277077 421250052 /nfs/dbraw/zinc/25/00/52/421250052.db2.gz QORZJEBSWWPGIT-LLVKDONJSA-N -1 1 313.365 1.195 20 0 DDADMM CC[C@@H]1Cc2ccccc2CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000545955381 421275315 /nfs/dbraw/zinc/27/53/15/421275315.db2.gz JTQLRWKQDKDICN-CYBMUJFWSA-N -1 1 323.356 1.395 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCOCC2CCC2)c1 ZINC000561416191 421324808 /nfs/dbraw/zinc/32/48/08/421324808.db2.gz QDBWVFGAEMUEJP-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM CCSc1n[n-]c(=NC(=O)N[C@@H]2CCc3nc[nH]c3C2)s1 ZINC000562058904 421340442 /nfs/dbraw/zinc/34/04/42/421340442.db2.gz VGLJKKFCOFWRSI-SSDOTTSWSA-N -1 1 324.435 1.474 20 0 DDADMM COCCOc1ccc(CNC(=O)c2ncc(C)cc2[O-])cn1 ZINC000547971735 421382564 /nfs/dbraw/zinc/38/25/64/421382564.db2.gz PJUBBDJMXUQGAQ-UHFFFAOYSA-N -1 1 317.345 1.446 20 0 DDADMM CC(C)(CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)C(F)(F)F ZINC000562920235 421425901 /nfs/dbraw/zinc/42/59/01/421425901.db2.gz UGHGGDOMMQBMPW-QMMMGPOBSA-N -1 1 305.304 1.884 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)c1coc(COC)n1 ZINC000548519144 421447498 /nfs/dbraw/zinc/44/74/98/421447498.db2.gz VANHGOHVOSRMKY-UHFFFAOYSA-N -1 1 308.294 1.282 20 0 DDADMM CCS(=O)(=O)CCN=c1nc(-c2ccccc2C)[n-]s1 ZINC000527878243 421451111 /nfs/dbraw/zinc/45/11/11/421451111.db2.gz BRWKBCLIASQBDV-UHFFFAOYSA-N -1 1 311.432 1.782 20 0 DDADMM O=C(c1cnc2ccccc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000562654824 421392880 /nfs/dbraw/zinc/39/28/80/421392880.db2.gz VQBTXOCOPGOXKL-LBPRGKRZSA-N -1 1 308.345 1.768 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cnc(-c2ccccc2)[nH]1 ZINC000550877394 421525410 /nfs/dbraw/zinc/52/54/10/421525410.db2.gz KZVMIFDEMDJVDF-UHFFFAOYSA-N -1 1 323.360 1.789 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1CCC(C)(C)O1 ZINC000548645055 421457908 /nfs/dbraw/zinc/45/79/08/421457908.db2.gz PHVFXJIOFURIKL-VIFPVBQESA-N -1 1 311.407 1.900 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2C[C@@H](OC(C)C)C2)sc1C ZINC000515046895 421475844 /nfs/dbraw/zinc/47/58/44/421475844.db2.gz HIQMYJLRMIFELY-PHIMTYICSA-N -1 1 304.437 1.994 20 0 DDADMM CCOC(=O)C1(CNC(=O)C(=O)c2ccc([O-])cc2)CCC1 ZINC000548816292 421476743 /nfs/dbraw/zinc/47/67/43/421476743.db2.gz QSWBFZDILNTDBV-UHFFFAOYSA-N -1 1 305.330 1.425 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccnc1C(F)(F)F)c1nc[nH]n1 ZINC000553532774 421619707 /nfs/dbraw/zinc/61/97/07/421619707.db2.gz DLDIIMVBVPQROK-ZCFIWIBFSA-N -1 1 321.284 1.258 20 0 DDADMM Cc1nc([C@H](C)NC(=O)N=c2ncn(C3CCCCC3)[n-]2)n[nH]1 ZINC000554308731 421636414 /nfs/dbraw/zinc/63/64/14/421636414.db2.gz RHYNGNIFFBAJSU-VIFPVBQESA-N -1 1 318.385 1.514 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2cc(F)cc(F)c2)o1 ZINC000531585938 421639339 /nfs/dbraw/zinc/63/93/39/421639339.db2.gz VPXMNEUSRAMHLQ-LURJTMIESA-N -1 1 303.290 1.696 20 0 DDADMM C[C@H](O)[C@H]([N-]S(=O)(=O)c1cscn1)c1ccccc1F ZINC000519551828 421700698 /nfs/dbraw/zinc/70/06/98/421700698.db2.gz VLQNMKPFELIPCX-UFBFGSQYSA-N -1 1 316.379 1.683 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2ccc(C)cn2)sc1C ZINC000571267687 421700976 /nfs/dbraw/zinc/70/09/76/421700976.db2.gz VBBYQLRGKXBHNV-UHFFFAOYSA-N -1 1 311.432 1.984 20 0 DDADMM CCO[C@@H]1C[C@H]1NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000571368600 421708173 /nfs/dbraw/zinc/70/81/73/421708173.db2.gz OSBWHEAIUPGYSQ-GHMZBOCLSA-N -1 1 311.407 1.439 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)[C@@H]2CCCc3[nH]ncc32)s[n-]1 ZINC000555326430 421675349 /nfs/dbraw/zinc/67/53/49/421675349.db2.gz BIZDAGULRMEWPS-JGVFFNPUSA-N -1 1 307.379 1.449 20 0 DDADMM O=C(Cc1c[nH]c2cccc(Cl)c12)NC1(c2nn[n-]n2)CC1 ZINC000556769101 421734532 /nfs/dbraw/zinc/73/45/32/421734532.db2.gz DCHJDOHDKGBKQL-UHFFFAOYSA-N -1 1 316.752 1.682 20 0 DDADMM O=C([C@H]1Cc2ccccc2S1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538225669 421737965 /nfs/dbraw/zinc/73/79/65/421737965.db2.gz ZKNNQLDMJOGTEW-WCQYABFASA-N -1 1 315.402 1.623 20 0 DDADMM CCCc1nc(=NC(=O)N2CCCC[C@H]2c2nnc[nH]2)s[n-]1 ZINC000571754236 421742777 /nfs/dbraw/zinc/74/27/77/421742777.db2.gz FQESBGQKZJBUJM-VIFPVBQESA-N -1 1 321.410 1.790 20 0 DDADMM CCN(CC)CCS(=O)(=O)NC1(C(=O)[O-])CCCCC1 ZINC000557149657 421762558 /nfs/dbraw/zinc/76/25/58/421762558.db2.gz AFTHGCMRGMYJCI-UHFFFAOYSA-N -1 1 306.428 1.035 20 0 DDADMM CCC[C@@H]1C[C@@H](C(=O)NC2(c3nn[n-]n3)CCCC2)CCO1 ZINC000540961076 421779914 /nfs/dbraw/zinc/77/99/14/421779914.db2.gz PTSXYQOSHQOMEK-NWDGAFQWSA-N -1 1 307.398 1.681 20 0 DDADMM C[C@H](c1ccccc1)N(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000557618313 421781596 /nfs/dbraw/zinc/78/15/96/421781596.db2.gz CEKDKJZGGAZCDX-LLVKDONJSA-N -1 1 323.356 1.783 20 0 DDADMM CC(C)Oc1ccc(CNCc2cc(C(=O)[O-])nn2C)cn1 ZINC000635303884 421894081 /nfs/dbraw/zinc/89/40/81/421894081.db2.gz BUDNNBOLNHYPQE-UHFFFAOYSA-N -1 1 304.350 1.590 20 0 DDADMM CC(=O)Nc1cccc(CNCc2cc(C(=O)[O-])nn2C)c1 ZINC000635304989 421895469 /nfs/dbraw/zinc/89/54/69/421895469.db2.gz KMHIIPRXJYTPRT-UHFFFAOYSA-N -1 1 302.334 1.367 20 0 DDADMM O=C([O-])[C@H]1CCCN(CCCS(=O)(=O)c2ccccc2)C1 ZINC000580838486 421846156 /nfs/dbraw/zinc/84/61/56/421846156.db2.gz KVDALNCPUWPWSC-ZDUSSCGKSA-N -1 1 311.403 1.647 20 0 DDADMM CCc1nc(S[C@H](C)C(=O)NCCCOC)[n-]c(=O)c1C ZINC000572782344 421861433 /nfs/dbraw/zinc/86/14/33/421861433.db2.gz SQQXXQJNBJMNCO-SNVBAGLBSA-N -1 1 313.423 1.686 20 0 DDADMM CC(=O)c1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)ccc1C ZINC000573068243 421906141 /nfs/dbraw/zinc/90/61/41/421906141.db2.gz LEUKVAOBSHXNBH-ZDUSSCGKSA-N -1 1 313.361 1.731 20 0 DDADMM CC(C)c1ccc(OCCNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635337421 421920593 /nfs/dbraw/zinc/92/05/93/421920593.db2.gz VGGHAJXJFVDCPI-UHFFFAOYSA-N -1 1 317.393 1.841 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H](C)c2cccc(F)c2)CC1 ZINC000630223344 421973212 /nfs/dbraw/zinc/97/32/12/421973212.db2.gz IJFOXDFCFXDLRR-STQMWFEESA-N -1 1 322.380 1.937 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)[C@@H]2C[C@H](C)[C@@H](C(=O)[O-])O2)CC1 ZINC000630203450 421956616 /nfs/dbraw/zinc/95/66/16/421956616.db2.gz BHQSHGKUFWMKFT-AVGNSLFASA-N -1 1 312.410 1.197 20 0 DDADMM Cc1cc(C)cc(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000630218297 421968043 /nfs/dbraw/zinc/96/80/43/421968043.db2.gz DNOPTELUVBNSMO-HNNXBMFYSA-N -1 1 318.417 1.853 20 0 DDADMM Cc1c(F)cccc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630219784 421970671 /nfs/dbraw/zinc/97/06/71/421970671.db2.gz JVZJSOKKTHAUKO-NSHDSACASA-N -1 1 308.353 1.755 20 0 DDADMM COc1ccccc1[C@H]1CCCN1C(=O)CCCc1nn[n-]n1 ZINC000635486443 422035567 /nfs/dbraw/zinc/03/55/67/422035567.db2.gz ARVMZCOCSGEQAN-CYBMUJFWSA-N -1 1 315.377 1.895 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@H]1CCOC1)c1sccc1Cl ZINC000581439841 421975651 /nfs/dbraw/zinc/97/56/51/421975651.db2.gz KVLWFDYPBAHUAM-IONNQARKSA-N -1 1 311.812 1.077 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C2(c3ccccc3)CC2)CC1 ZINC000630225434 421976932 /nfs/dbraw/zinc/97/69/32/421976932.db2.gz TXBJILHBKKTCDS-AWEZNQCLSA-N -1 1 316.401 1.726 20 0 DDADMM C[C@@H]1CN(C(=O)CCc2nn[n-]n2)CCc2ccc(F)cc21 ZINC000633581347 421982321 /nfs/dbraw/zinc/98/23/21/421982321.db2.gz AHWICMHCKAHBOT-SNVBAGLBSA-N -1 1 303.341 1.460 20 0 DDADMM COC1CCC(C[N-]S(=O)(=O)c2cc(C)ns2)CC1 ZINC000632074657 422070246 /nfs/dbraw/zinc/07/02/46/422070246.db2.gz CFDKYJGKVKTNFW-UHFFFAOYSA-N -1 1 304.437 1.935 20 0 DDADMM CCCCNC(=O)CS(=O)(=O)c1nc(-c2ccccc2)n[n-]1 ZINC000574479292 422115723 /nfs/dbraw/zinc/11/57/23/422115723.db2.gz RNYTUTIIEZOCMB-UHFFFAOYSA-N -1 1 322.390 1.162 20 0 DDADMM CCCCNC(=O)CS(=O)(=O)c1n[n-]c(-c2ccccc2)n1 ZINC000574479292 422115730 /nfs/dbraw/zinc/11/57/30/422115730.db2.gz RNYTUTIIEZOCMB-UHFFFAOYSA-N -1 1 322.390 1.162 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1(CCOC)CCCC1 ZINC000633691218 422052300 /nfs/dbraw/zinc/05/23/00/422052300.db2.gz FVSRLWCGRZQKSS-UHFFFAOYSA-N -1 1 308.382 1.035 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCO[C@@H](C)C2)c1 ZINC000632172283 422137269 /nfs/dbraw/zinc/13/72/69/422137269.db2.gz IVWOADYOHCTDKP-UWVGGRQHSA-N -1 1 301.364 1.247 20 0 DDADMM CCCCN(CCCO)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632182502 422145582 /nfs/dbraw/zinc/14/55/82/422145582.db2.gz MHGPUMFXLADYNI-UHFFFAOYSA-N -1 1 317.407 1.574 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC2(OC)CCC2)c1 ZINC000632191908 422152567 /nfs/dbraw/zinc/15/25/67/422152567.db2.gz NGXQNROGRPYARB-UHFFFAOYSA-N -1 1 301.364 1.248 20 0 DDADMM COc1ccccc1NC(=O)c1c(C)[n-][nH]c1=NC(=O)C1CC1 ZINC000633917938 422153672 /nfs/dbraw/zinc/15/36/72/422153672.db2.gz YDSRECLZLGQGBV-UHFFFAOYSA-N -1 1 314.345 1.749 20 0 DDADMM C[C@H](NS(=O)(=O)c1ncc[nH]1)[C@H]1CCC[N@@H+](C2CCC2)C1 ZINC000632197875 422155437 /nfs/dbraw/zinc/15/54/37/422155437.db2.gz YXEUAXSTMAIYIV-RYUDHWBXSA-N -1 1 312.439 1.341 20 0 DDADMM CN(C)c1cncc(NC(=O)c2csc(=NC3CC3)[n-]2)c1 ZINC000633865990 422119392 /nfs/dbraw/zinc/11/93/92/422119392.db2.gz LNMOBIJVUPDHRK-UHFFFAOYSA-N -1 1 303.391 1.853 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCCN(c2ccccc2)C1 ZINC000635568081 422121234 /nfs/dbraw/zinc/12/12/34/422121234.db2.gz ISOQMTKVDGCVBW-CYBMUJFWSA-N -1 1 314.393 1.308 20 0 DDADMM COc1cc(=NS(=O)(=O)c2c(C)cccc2Cl)[n-]n1C ZINC000574971947 422226522 /nfs/dbraw/zinc/22/65/22/422226522.db2.gz POAFMNPMVJOKMO-UHFFFAOYSA-N -1 1 315.782 1.613 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1COc2c1cccc2Cl ZINC000635677064 422233240 /nfs/dbraw/zinc/23/32/40/422233240.db2.gz PZTXAYURYGDJDT-SNVBAGLBSA-N -1 1 307.741 1.426 20 0 DDADMM CCc1ccnc(CNS(=O)(=O)c2cc(OC)ccc2[O-])c1 ZINC000632301310 422233232 /nfs/dbraw/zinc/23/32/32/422233232.db2.gz UVUNZFWWEALXGL-UHFFFAOYSA-N -1 1 322.386 1.837 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1COc2ccc(Cl)cc21 ZINC000635690591 422242604 /nfs/dbraw/zinc/24/26/04/422242604.db2.gz VALMQTAQGSMIGB-JTQLQIEISA-N -1 1 307.741 1.426 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@@H](COc2ccccc2)C1 ZINC000632356543 422270892 /nfs/dbraw/zinc/27/08/92/422270892.db2.gz TWMJUDISPDYGIS-GFCCVEGCSA-N -1 1 301.350 1.060 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC2(OC)CCCC2)c1 ZINC000632378089 422291885 /nfs/dbraw/zinc/29/18/85/422291885.db2.gz KZXOJSZIAJIRBP-UHFFFAOYSA-N -1 1 315.391 1.638 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)[C@H](O)C(F)F ZINC000632390852 422300823 /nfs/dbraw/zinc/30/08/23/422300823.db2.gz LQNWDCXODNWCAB-XNCJUZBTSA-N -1 1 319.276 1.900 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)[C@H](OC)C2CC2)c1 ZINC000632420588 422328986 /nfs/dbraw/zinc/32/89/86/422328986.db2.gz KBJIXJKUWAPETP-OTYXRUKQSA-N -1 1 315.391 1.493 20 0 DDADMM CCn1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(C2CC2)n1 ZINC000632436176 422341549 /nfs/dbraw/zinc/34/15/49/422341549.db2.gz FSPVIOPDHVSIHH-SECBINFHSA-N -1 1 303.370 1.169 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccncc1)c1nc(C(F)(F)F)no1 ZINC000632479882 422379570 /nfs/dbraw/zinc/37/95/70/422379570.db2.gz LIYUWNFHWPKOAV-LURJTMIESA-N -1 1 322.268 1.523 20 0 DDADMM CCC[C@@H](C)[C@@H](CO)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632508839 422399903 /nfs/dbraw/zinc/39/99/03/422399903.db2.gz PCSVFWWVIYZZRM-ZYHUDNBSSA-N -1 1 317.407 1.476 20 0 DDADMM CC(C)[C@@H]1CCc2[n-]n([C@@H]3CCCS(=O)(=O)C3)c(=O)c2C1 ZINC000634634130 422518088 /nfs/dbraw/zinc/51/80/88/422518088.db2.gz OYNISHMLRDCEAE-JHJVBQTASA-N -1 1 312.435 1.834 20 0 DDADMM Cc1c(-c2ccccc2)[n-]n([C@H]2CCCS(=O)(=O)C2)c1=O ZINC000634633777 422518707 /nfs/dbraw/zinc/51/87/07/422518707.db2.gz GFHFZQWZBMJYDJ-YPMHNXCESA-N -1 1 306.387 1.446 20 0 DDADMM CCc1c(-c2cccc(OC)c2)[n-]n(C(COC)COC)c1=O ZINC000634634745 422518908 /nfs/dbraw/zinc/51/89/08/422518908.db2.gz IUSLSJKVQWPASF-OAHLLOKOSA-N -1 1 320.389 1.929 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCCCCc1ccc(F)cc1 ZINC000632581435 422452145 /nfs/dbraw/zinc/45/21/45/422452145.db2.gz BUCBPTSNELCZFK-UHFFFAOYSA-N -1 1 305.357 1.801 20 0 DDADMM C[C@@H]1[C@H](C(=O)N=c2nc(C3CCOCC3)[n-]s2)CCN1C ZINC000629105137 422479632 /nfs/dbraw/zinc/47/96/32/422479632.db2.gz NMROLEJEBIYSRH-MWLCHTKSSA-N -1 1 310.423 1.133 20 0 DDADMM CCc1c(C(C)C)[n-]n(-c2ccc(S(N)(=O)=O)cc2)c1=O ZINC000634582542 422491186 /nfs/dbraw/zinc/49/11/86/422491186.db2.gz VSKWLHNUUWQHCQ-LBPRGKRZSA-N -1 1 309.391 1.719 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C[C@H](n2cncn2)C(F)(F)F)o1 ZINC000632644457 422492004 /nfs/dbraw/zinc/49/20/04/422492004.db2.gz FHEFKFXZXLPCTG-QMMMGPOBSA-N -1 1 324.284 1.261 20 0 DDADMM O=c1c2c([n-]n1[C@@H]1CCS(=O)(=O)C1)-c1ccccc1CCC2 ZINC000634584845 422494229 /nfs/dbraw/zinc/49/42/29/422494229.db2.gz OSIKZBJTTHNTHG-OCCSQVGLSA-N -1 1 318.398 1.373 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H]1O)c1ncccc1Br ZINC000632699371 422527488 /nfs/dbraw/zinc/52/74/88/422527488.db2.gz AVRMCIKJTKXAGZ-IUCAKERBSA-N -1 1 321.196 1.036 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)C[C@H]1C[C@@H](O)C1 ZINC000629259283 422563600 /nfs/dbraw/zinc/56/36/00/422563600.db2.gz XPXABBZQBXVREP-PHIMTYICSA-N -1 1 307.394 1.631 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](CF)c1ccc(F)cc1 ZINC000632771650 422567674 /nfs/dbraw/zinc/56/76/74/422567674.db2.gz QPCHHEYAAYVIID-SNVBAGLBSA-N -1 1 317.317 1.524 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](CF)c1ccc(F)cc1 ZINC000632771650 422567678 /nfs/dbraw/zinc/56/76/78/422567678.db2.gz QPCHHEYAAYVIID-SNVBAGLBSA-N -1 1 317.317 1.524 20 0 DDADMM CC(C)C1(c2ccccc2)CN(C(=O)CCCc2nn[n-]n2)C1 ZINC000636027912 422579602 /nfs/dbraw/zinc/57/96/02/422579602.db2.gz HMMYBTDOYWZJOK-UHFFFAOYSA-N -1 1 313.405 1.959 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H](CC(F)(F)F)C1 ZINC000636063780 422611359 /nfs/dbraw/zinc/61/13/59/422611359.db2.gz ZZSADWPTCYHCIX-VIFPVBQESA-N -1 1 305.304 1.713 20 0 DDADMM Cn1ccc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)n1 ZINC000578737389 422634364 /nfs/dbraw/zinc/63/43/64/422634364.db2.gz IHDMPAQTMODNTB-UHFFFAOYSA-N -1 1 303.347 1.179 20 0 DDADMM Cn1ccc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)n1 ZINC000578737389 422634370 /nfs/dbraw/zinc/63/43/70/422634370.db2.gz IHDMPAQTMODNTB-UHFFFAOYSA-N -1 1 303.347 1.179 20 0 DDADMM COc1cc(O)cc([N-]S(=O)(=O)CC2(OC)CCC2)c1 ZINC000634791124 422651000 /nfs/dbraw/zinc/65/10/00/422651000.db2.gz LVDGENYWXCMSSH-UHFFFAOYSA-N -1 1 301.364 1.712 20 0 DDADMM Cc1ccccc1[C@]1(F)CCN(C(=O)CCc2nn[n-]n2)C1 ZINC000632903107 422651657 /nfs/dbraw/zinc/65/16/57/422651657.db2.gz VYAOGNIAIRYRDL-HNNXBMFYSA-N -1 1 303.341 1.538 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC[C@H](n2ccnn2)CC1 ZINC000636188549 422701846 /nfs/dbraw/zinc/70/18/46/422701846.db2.gz FLXQUGZPKMTQHE-NSHDSACASA-N -1 1 304.325 1.990 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC[C@H](n2ccnn2)CC1 ZINC000636190589 422702670 /nfs/dbraw/zinc/70/26/70/422702670.db2.gz SPLHPNWELCQUFF-LBPRGKRZSA-N -1 1 304.325 1.990 20 0 DDADMM CCc1nnc(CN2C[C@@H](C(=O)[O-])[C@@H](c3ccccc3)C2)[nH]1 ZINC000578900887 422670199 /nfs/dbraw/zinc/67/01/99/422670199.db2.gz YHXAKZWLQXICIA-CHWSQXEVSA-N -1 1 300.362 1.667 20 0 DDADMM CC1(C)[C@H](C(=O)[O-])[C@H]1C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000634846575 422681875 /nfs/dbraw/zinc/68/18/75/422681875.db2.gz LYWYSJIRZFOGPH-KKUMJFAQSA-N -1 1 316.401 1.734 20 0 DDADMM Cc1c(-c2cc(CN3[C@H](C)CC[C@@H]3C(=O)[O-])on2)cnn1C ZINC000650500911 423177294 /nfs/dbraw/zinc/17/72/94/423177294.db2.gz DDXKBUQBEJCCGH-YMTOWFKASA-N -1 1 304.350 1.821 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2c(C)cccc2F)o1 ZINC000181668377 263368929 /nfs/dbraw/zinc/36/89/29/263368929.db2.gz GBLNZEJJIBDLRE-UHFFFAOYSA-N -1 1 312.322 1.888 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000646067671 423321558 /nfs/dbraw/zinc/32/15/58/423321558.db2.gz XPJWGOBFPWUTKN-KCJUWKMLSA-N -1 1 320.349 1.890 20 0 DDADMM CC[C@H](OCCN=c1ccc(C(=O)NC)n[n-]1)c1ccccc1 ZINC000651392508 423522008 /nfs/dbraw/zinc/52/20/08/423522008.db2.gz SVOARZMWZILWID-HNNXBMFYSA-N -1 1 314.389 1.838 20 0 DDADMM C[C@H]1CCCc2ncc(C(=O)NC3(c4nn[n-]n4)CCCC3)n21 ZINC000651353393 423504124 /nfs/dbraw/zinc/50/41/24/423504124.db2.gz DQQQUUMTLJMDTE-JTQLQIEISA-N -1 1 315.381 1.493 20 0 DDADMM CN1CCN(C(=O)N=c2[n-]nc(C(F)F)s2)CC1(C)C ZINC000639593748 423595698 /nfs/dbraw/zinc/59/56/98/423595698.db2.gz HWVWPGBYLDWZGY-UHFFFAOYSA-N -1 1 305.354 1.456 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(F)cc2ccncc21)c1nn[n-]n1 ZINC000651721181 423657100 /nfs/dbraw/zinc/65/71/00/423657100.db2.gz WCQLWMSFEUQVNE-SECBINFHSA-N -1 1 314.324 1.763 20 0 DDADMM CC(C)N1CCC[C@@H](N2CCC(c3n[n-]c(=N)o3)CC2)C1=O ZINC000639724263 423690888 /nfs/dbraw/zinc/69/08/88/423690888.db2.gz AGVSWGCSUAFAAQ-GFCCVEGCSA-N -1 1 307.398 1.061 20 0 DDADMM NC(=O)N1CC[C@@H](C[N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000641596577 423744354 /nfs/dbraw/zinc/74/43/54/423744354.db2.gz YDSZULGSCKKCKP-ZETCQYMHSA-N -1 1 323.827 1.080 20 0 DDADMM CC[C@H](COC)[N-]S(=O)(=O)c1ncccc1Br ZINC000656906609 423854593 /nfs/dbraw/zinc/85/45/93/423854593.db2.gz DGIRSMOBQKYTFG-MRVPVSSYSA-N -1 1 323.212 1.547 20 0 DDADMM CC(C)C(=O)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656962950 423932104 /nfs/dbraw/zinc/93/21/04/423932104.db2.gz IDNZMVNWZVBPHN-UHFFFAOYSA-N -1 1 321.196 1.348 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1nc2cccnc2n1C)C(C)C ZINC000647273525 423939594 /nfs/dbraw/zinc/93/95/94/423939594.db2.gz VCOKBPRKTIPKSZ-LLVKDONJSA-N -1 1 312.395 1.381 20 0 DDADMM C[C@@]1(NC(=O)c2ncc3ccccc3c2[O-])CCS(=O)(=O)C1 ZINC000665859372 423897300 /nfs/dbraw/zinc/89/73/00/423897300.db2.gz SDUZFKLWZYTARV-OAHLLOKOSA-N -1 1 320.370 1.247 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1C[C@H]1C(=O)[O-])N1CCc2ccccc2C1 ZINC000649460125 423923236 /nfs/dbraw/zinc/92/32/36/423923236.db2.gz GYCQHKHXKDSDRU-NILFDRSVSA-N -1 1 302.374 1.270 20 0 DDADMM O=C(c1cccc2nc[nH]c21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644883792 424011226 /nfs/dbraw/zinc/01/12/26/424011226.db2.gz COJCLTXQMDFQBW-VIFPVBQESA-N -1 1 313.317 1.671 20 0 DDADMM Cc1nc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)sc1C ZINC000644887202 424015967 /nfs/dbraw/zinc/01/59/67/424015967.db2.gz MTDXDZBSFBRFNH-UHFFFAOYSA-N -1 1 308.363 1.868 20 0 DDADMM CC1(S(=O)(=O)[N-][C@H](CO)c2nc(-c3ccccc3)no2)CC1 ZINC000649786837 424207479 /nfs/dbraw/zinc/20/74/79/424207479.db2.gz CJYVATYOBVCUBR-LLVKDONJSA-N -1 1 323.374 1.242 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@@H]2Cc2ccccc2F)nc1=O ZINC000649794285 424210840 /nfs/dbraw/zinc/21/08/40/424210840.db2.gz GMURPWMZNZWLND-CYBMUJFWSA-N -1 1 304.369 1.845 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CCC[C@H](C)O2)sc1C ZINC000657155636 424164411 /nfs/dbraw/zinc/16/44/11/424164411.db2.gz QIOWDEGAQZQOAA-GZMMTYOYSA-N -1 1 304.437 1.996 20 0 DDADMM CC[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccccc1F ZINC000655203952 424289803 /nfs/dbraw/zinc/28/98/03/424289803.db2.gz APXFDFDNYCBOKB-SNVBAGLBSA-N -1 1 321.308 1.917 20 0 DDADMM CC[C@H]1C(=O)NCCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640341221 424364636 /nfs/dbraw/zinc/36/46/36/424364636.db2.gz UBPOPLCJTNWYRA-JTQLQIEISA-N -1 1 304.375 1.461 20 0 DDADMM CCN(Cc1ccc(Cl)cc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662202592 424471391 /nfs/dbraw/zinc/47/13/91/424471391.db2.gz NCCDETKCMDYGRQ-ZDUSSCGKSA-N -1 1 310.781 1.847 20 0 DDADMM Cc1cccnc1[C@@H](N[C@H]1CCN(CC(=O)[O-])C1=O)C(C)C ZINC000662204532 424471526 /nfs/dbraw/zinc/47/15/26/424471526.db2.gz HYDBOJNBAXUCBS-JSGCOSHPSA-N -1 1 305.378 1.362 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2cncs2)c1Br ZINC000341748055 271198076 /nfs/dbraw/zinc/19/80/76/271198076.db2.gz YLFKLHBPOVAUQL-UHFFFAOYSA-N -1 1 301.169 1.865 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc(F)cc1O ZINC000344409508 272017756 /nfs/dbraw/zinc/01/77/56/272017756.db2.gz YETRAAWDGGWHMD-UHFFFAOYSA-N -1 1 305.265 1.000 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)[N-]S(=O)(=O)CCCCF ZINC000344668848 272084730 /nfs/dbraw/zinc/08/47/30/272084730.db2.gz HKLGOLDBUSIGNQ-SSDOTTSWSA-N -1 1 309.281 1.150 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)C[C@@H]1CCCCO1)C(=O)OC ZINC000344981288 272150325 /nfs/dbraw/zinc/15/03/25/272150325.db2.gz IPBJEZJIBOICDP-SRVKXCTJSA-N -1 1 307.412 1.063 20 0 DDADMM CCn1cnc(S(=O)(=O)[N-]c2ccccc2-c2nnc[nH]2)c1 ZINC000345518954 272272589 /nfs/dbraw/zinc/27/25/89/272272589.db2.gz DXSPECLVMTVVDL-UHFFFAOYSA-N -1 1 318.362 1.489 20 0 DDADMM COCc1nc(=NC(=O)c2cc(-c3ccco3)n[nH]2)s[n-]1 ZINC000345764785 272329268 /nfs/dbraw/zinc/32/92/68/272329268.db2.gz DJNOENOUELUAIX-UHFFFAOYSA-N -1 1 305.319 1.342 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@H]1CCOc2ccccc21)c1nn[n-]n1 ZINC000183406997 278177031 /nfs/dbraw/zinc/17/70/31/278177031.db2.gz HNPUTQUGGRERSK-NEPJUHHUSA-N -1 1 315.377 1.718 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1cc(C)no1)[C@@H](O)C(F)(F)F ZINC000330543057 288053363 /nfs/dbraw/zinc/05/33/63/288053363.db2.gz IWWFTOGFMBAJJZ-DTWKUNHWSA-N -1 1 316.301 1.104 20 0 DDADMM Cc1cnc(C(=O)N2CCC(O)(C(F)(F)F)CC2)c([O-])c1 ZINC000408222428 279007669 /nfs/dbraw/zinc/00/76/69/279007669.db2.gz CNXMSSWYZANUJD-UHFFFAOYSA-N -1 1 304.268 1.625 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CCOC3(CCC3)C2)c1Cl ZINC000268944540 279384283 /nfs/dbraw/zinc/38/42/83/279384283.db2.gz CFXLIDRTMXUULX-SECBINFHSA-N -1 1 319.814 1.454 20 0 DDADMM CCO[C@H]1C[C@@](NC(=O)c2cncc([O-])c2)(C(=O)OC)C1(C)C ZINC000286749449 279924805 /nfs/dbraw/zinc/92/48/05/279924805.db2.gz JQAGKAARUXHEBY-BLLLJJGKSA-N -1 1 322.361 1.264 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NCc1cc(F)cc(F)c1)C(=O)[O-] ZINC000263169502 280792209 /nfs/dbraw/zinc/79/22/09/280792209.db2.gz RVDCWONSQLMVRU-XPTSAGLGSA-N -1 1 314.332 1.670 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@@H](C)S1(=O)=O ZINC000288636020 288273720 /nfs/dbraw/zinc/27/37/20/288273720.db2.gz KQRCTFWNKSYXIM-KOLCDFICSA-N -1 1 315.366 1.569 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C2CC2)C2CCCC2)co1 ZINC000130568335 281385280 /nfs/dbraw/zinc/38/52/80/281385280.db2.gz PCBJZJZATBKIPP-UHFFFAOYSA-N -1 1 312.391 1.735 20 0 DDADMM C[C@@H]1CC(NC(=O)c2nc3ccccc3c(=O)[n-]2)C[C@@H](C)O1 ZINC000132643894 281461753 /nfs/dbraw/zinc/46/17/53/281461753.db2.gz MDCKAPGFMDCBPN-NXEZZACHSA-N -1 1 301.346 1.609 20 0 DDADMM CNC(=O)[C@H](C)CN(C)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000135162509 281577738 /nfs/dbraw/zinc/57/77/38/281577738.db2.gz OKCAVGBQQVPMOE-MRVPVSSYSA-N -1 1 314.769 1.508 20 0 DDADMM CC[C@H](CNS(=O)(=O)c1c(F)cccc1F)CC(=O)[O-] ZINC000147388175 281646813 /nfs/dbraw/zinc/64/68/13/281646813.db2.gz RCXOHQXUCYFNRN-QMMMGPOBSA-N -1 1 307.318 1.744 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2nccs2)c1 ZINC000151892850 281706826 /nfs/dbraw/zinc/70/68/26/281706826.db2.gz WMOVQBNKZJTGBI-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM Cc1cnc(C(=O)N2CCCC[C@@H]2CS(C)(=O)=O)c([O-])c1 ZINC000456204842 292872127 /nfs/dbraw/zinc/87/21/27/292872127.db2.gz WYKOFNJDYFDMNB-LLVKDONJSA-N -1 1 312.391 1.135 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)C[C@@H]1CCCCO1)C(=O)OCC ZINC000361420938 299864610 /nfs/dbraw/zinc/86/46/10/299864610.db2.gz QGLZYOSAELGTNF-UARNIUSMSA-N -1 1 319.423 1.373 20 0 DDADMM Cn1c(CO)nnc1SCCC(=O)c1ccc([O-])cc1O ZINC000572974453 304566640 /nfs/dbraw/zinc/56/66/40/304566640.db2.gz VYIALGYEFSRGNA-UHFFFAOYSA-N -1 1 309.347 1.084 20 0 DDADMM CCOC(=O)Cc1nnc([N-]C(=O)c2nc(CC)oc2C)s1 ZINC000354339710 298405860 /nfs/dbraw/zinc/40/58/60/298405860.db2.gz MILXJUSOMWXVAI-UHFFFAOYSA-N -1 1 324.362 1.755 20 0 DDADMM COC(=O)[C@H](CN=c1nc(C)[n-]s1)Cc1cccc(F)c1 ZINC000356737716 298862451 /nfs/dbraw/zinc/86/24/51/298862451.db2.gz PJKHSJGGCYMUGP-NSHDSACASA-N -1 1 309.366 1.851 20 0 DDADMM Cc1c(NS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2)cnn1C ZINC000362446252 300065730 /nfs/dbraw/zinc/06/57/30/300065730.db2.gz HIMNWZBXCJVSJH-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C(C)=O)c(C)nc1C)c1nn[n-]n1 ZINC000362961160 300189429 /nfs/dbraw/zinc/18/94/29/300189429.db2.gz UZHFGOWTCFLKLY-ZDUSSCGKSA-N -1 1 316.365 1.685 20 0 DDADMM Cn1cncc1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000362972230 300191543 /nfs/dbraw/zinc/19/15/43/300191543.db2.gz JRFVTSRKZDABBD-UHFFFAOYSA-N -1 1 312.326 1.183 20 0 DDADMM CC[C@H](CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363517467 300279322 /nfs/dbraw/zinc/27/93/22/300279322.db2.gz IWBFGJQUMGKQMM-TZMCWYRMSA-N -1 1 315.377 1.684 20 0 DDADMM Cc1ccc(N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC000371723600 301492672 /nfs/dbraw/zinc/49/26/72/301492672.db2.gz LKVZYACRBSZMNM-LBPRGKRZSA-N -1 1 313.361 1.284 20 0 DDADMM CN1CCC2(CCN(C(=O)c3cc(F)ccc3[O-])CC2)C1=O ZINC000373911634 301742920 /nfs/dbraw/zinc/74/29/20/301742920.db2.gz SAYNRJKVRRELJJ-UHFFFAOYSA-N -1 1 306.337 1.616 20 0 DDADMM O=C(Nc1ccc2c(c1)N[C@@H](CO)CO2)c1cncc([O-])c1 ZINC000375885119 302010666 /nfs/dbraw/zinc/01/06/66/302010666.db2.gz RKMMELJFJVCPHP-NSHDSACASA-N -1 1 301.302 1.205 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CCN2C(=O)OC[C@@H]2C1 ZINC000376193996 302048775 /nfs/dbraw/zinc/04/87/75/302048775.db2.gz HCLPJWGXOFAWNO-JTQLQIEISA-N -1 1 313.313 1.217 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1)C1CCC1 ZINC000377724324 302220066 /nfs/dbraw/zinc/22/00/66/302220066.db2.gz XZZUQFVNSLRCJR-SNVBAGLBSA-N -1 1 324.327 1.801 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC=C(c2ccccc2)C1 ZINC000377887796 302247340 /nfs/dbraw/zinc/24/73/40/302247340.db2.gz GSUQSOGTLAFQRM-UHFFFAOYSA-N -1 1 305.359 1.483 20 0 DDADMM CCN(C)S(=O)(=O)[N-]c1ccn(-c2ccc(F)cc2C)n1 ZINC000378641810 302348314 /nfs/dbraw/zinc/34/83/14/302348314.db2.gz YWQJUCVZWGYGRC-UHFFFAOYSA-N -1 1 312.370 1.928 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-][C@@H](C(C)=O)c1ccccc1F ZINC000416638938 302378837 /nfs/dbraw/zinc/37/88/37/302378837.db2.gz AEUJPCSGBFFHPT-ZANVPECISA-N -1 1 303.355 1.410 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)n1 ZINC000528445566 303027231 /nfs/dbraw/zinc/02/72/31/303027231.db2.gz GYOXOARFXWTJED-UHFFFAOYSA-N -1 1 320.296 1.194 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)n1 ZINC000528445881 303027474 /nfs/dbraw/zinc/02/74/74/303027474.db2.gz QDTPZMZFLFKMAL-UHFFFAOYSA-N -1 1 320.296 1.194 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@]23C[C@@H]2COC3(C)C)sc1C ZINC000528496360 303034863 /nfs/dbraw/zinc/03/48/63/303034863.db2.gz NIGZLIIHTJOQAO-SKDRFNHKSA-N -1 1 302.421 1.606 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C(C)(C)C ZINC000357360336 306878473 /nfs/dbraw/zinc/87/84/73/306878473.db2.gz DEVONVJQUUPTGA-SNVBAGLBSA-N -1 1 302.396 1.742 20 0 DDADMM COc1cccc2c1CCN(Cc1cnc(C(=O)[O-])cn1)CC2 ZINC000530164478 303177816 /nfs/dbraw/zinc/17/78/16/303177816.db2.gz XRRHCIOHWRXXPH-UHFFFAOYSA-N -1 1 313.357 1.784 20 0 DDADMM O=C([O-])C1(NC(=O)c2ccc3[nH]nnc3c2)Cc2ccccc2C1 ZINC000532592503 303291409 /nfs/dbraw/zinc/29/14/09/303291409.db2.gz XWJKAWTXMNJJIO-UHFFFAOYSA-N -1 1 322.324 1.310 20 0 DDADMM CC(C)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cn1 ZINC000532947795 303302568 /nfs/dbraw/zinc/30/25/68/303302568.db2.gz IITKPEDRJHFQQE-UHFFFAOYSA-N -1 1 300.366 1.917 20 0 DDADMM Cc1noc(C)c1[C@H](C)CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000539326469 303394821 /nfs/dbraw/zinc/39/48/21/303394821.db2.gz XZAAMZPYNILDOP-SKDRFNHKSA-N -1 1 318.381 1.704 20 0 DDADMM C[C@@H]1[C@H](c2ccccc2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546855994 303521181 /nfs/dbraw/zinc/52/11/81/303521181.db2.gz QTDWEPPTKQYHPX-DGCLKSJQSA-N -1 1 323.356 1.436 20 0 DDADMM O=c1nc(N[C@@H]2CCN(c3ccc(F)cc3)C2)nc2[nH][n-]cc1-2 ZINC000562732330 303890701 /nfs/dbraw/zinc/89/07/01/303890701.db2.gz DPQXUNWUAMYXEW-SNVBAGLBSA-N -1 1 314.324 1.262 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]Cc2cn(C)nc2C(F)(F)F)o1 ZINC000358593165 306944167 /nfs/dbraw/zinc/94/41/67/306944167.db2.gz BSLONHYMZRHVLR-UHFFFAOYSA-N -1 1 323.296 1.819 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2[nH]c(C)cc2C)c1 ZINC000359701688 306960461 /nfs/dbraw/zinc/96/04/61/306960461.db2.gz VGOUTACDFIDOSX-UHFFFAOYSA-N -1 1 323.374 1.498 20 0 DDADMM O=C(c1ncccc1[O-])N1CCNC(=O)[C@@H]1c1ccccc1F ZINC000366687550 307079687 /nfs/dbraw/zinc/07/96/87/307079687.db2.gz WQCJWIPGLLMMKS-AWEZNQCLSA-N -1 1 315.304 1.240 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H](Nc2ccccc2)C1 ZINC000373940993 307198314 /nfs/dbraw/zinc/19/83/14/307198314.db2.gz YYWZVAOKEZLGLI-LBPRGKRZSA-N -1 1 322.390 1.271 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC[C@]3(C1)CCCOC3)c2=O ZINC000377143753 307264410 /nfs/dbraw/zinc/26/44/10/307264410.db2.gz YYGAAODNOLALQH-INIZCTEOSA-N -1 1 316.361 1.317 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccnn2C)c(-c2nnc[nH]2)c1 ZINC000378332220 307286018 /nfs/dbraw/zinc/28/60/18/307286018.db2.gz VLPPLHQVNMVEKY-UHFFFAOYSA-N -1 1 318.362 1.314 20 0 DDADMM C[C@H](NC(=O)OC(C)(C)C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC000497305440 307390274 /nfs/dbraw/zinc/39/02/74/307390274.db2.gz OFZSWGBIDAMLMO-UWVGGRQHSA-N -1 1 309.366 1.819 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CCc2cccnc2)c1 ZINC000538951064 307678792 /nfs/dbraw/zinc/67/87/92/307678792.db2.gz DBBGVIWWAHKLHJ-UHFFFAOYSA-N -1 1 321.358 1.006 20 0 DDADMM O=C(N[C@@H]1CC[C@@H]2CCC[C@H]2C1)c1cc(=O)n2[n-]cnc2n1 ZINC000544744696 307718834 /nfs/dbraw/zinc/71/88/34/307718834.db2.gz UTIFOOLXTVEIRV-GARJFASQSA-N -1 1 301.350 1.116 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OC ZINC000564913446 308011364 /nfs/dbraw/zinc/01/13/64/308011364.db2.gz ZDXWOIFTHKDEOX-CABZTGNLSA-N -1 1 318.395 1.380 20 0 DDADMM Cc1c(C(=O)[O-])sc2nc(C)nc(N[C@H]3C[C@@H](O)C3(C)C)c12 ZINC000573924835 308256372 /nfs/dbraw/zinc/25/63/72/308256372.db2.gz ZENHFCXAQKQJIR-DTWKUNHWSA-N -1 1 321.402 2.000 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2NCCc3ccccc32)C[C@@H]1C(=O)[O-] ZINC000577388740 308385117 /nfs/dbraw/zinc/38/51/17/308385117.db2.gz MFSNCYOZKXMBPX-BYCMXARLSA-N -1 1 302.374 1.443 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@@H]1CCC(=O)c2cccn21 ZINC000577454473 308388433 /nfs/dbraw/zinc/38/84/33/308388433.db2.gz AOOBGNGYKVSOSM-ZETCQYMHSA-N -1 1 313.239 1.781 20 0 DDADMM O=C(CS(=O)(=O)c1ncc(-c2ccc(F)cc2)[n-]1)NC1CC1 ZINC000577464343 308389550 /nfs/dbraw/zinc/38/95/50/308389550.db2.gz QCQYHTDVLMKKBD-UHFFFAOYSA-N -1 1 323.349 1.268 20 0 DDADMM O=C(CS(=O)(=O)c1nc(-c2ccc(F)cc2)c[n-]1)NC1CC1 ZINC000577464343 308389551 /nfs/dbraw/zinc/38/95/51/308389551.db2.gz QCQYHTDVLMKKBD-UHFFFAOYSA-N -1 1 323.349 1.268 20 0 DDADMM Cc1nc2n(n1)CCN(c1c(C(=O)[O-])cnc3ccccc31)C2 ZINC000583207103 337271368 /nfs/dbraw/zinc/27/13/68/337271368.db2.gz LRHRYUUUCBWAGK-UHFFFAOYSA-N -1 1 309.329 1.853 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)NC[C@@H](C)C(=O)[O-])N2CCCC2)o1 ZINC000655867807 483972452 /nfs/dbraw/zinc/97/24/52/483972452.db2.gz ZYCHBTNGLYMAIE-DGCLKSJQSA-N -1 1 323.393 1.745 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(F)cc1F)[C@H]1CCOC1 ZINC000656614475 484244748 /nfs/dbraw/zinc/24/47/48/484244748.db2.gz ZPPZWWRAEKCBSS-TVQRCGJNSA-N -1 1 321.345 1.758 20 0 DDADMM CC[C@@H]1CCN([C@H]2CC(=O)N(c3cccc(C(=O)[O-])c3)C2=O)C1 ZINC000531264900 484298253 /nfs/dbraw/zinc/29/82/53/484298253.db2.gz VUTCXKFSZOGRTE-RISCZKNCSA-N -1 1 316.357 1.749 20 0 DDADMM CCCCCc1cc(C(=O)N2CC[C@H](OC)C[C@@H]2C(=O)[O-])n[nH]1 ZINC000659222217 484696622 /nfs/dbraw/zinc/69/66/22/484696622.db2.gz RLOGXXRQFBQSMF-GXTWGEPZSA-N -1 1 323.393 1.847 20 0 DDADMM CCN1CC[C@@H](NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1=O ZINC000670170738 484770173 /nfs/dbraw/zinc/77/01/73/484770173.db2.gz WBZPWIIIODXWPQ-SNVBAGLBSA-N -1 1 320.393 1.139 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000670384593 484803026 /nfs/dbraw/zinc/80/30/26/484803026.db2.gz PBONOMQMKOFZRT-NSHDSACASA-N -1 1 317.349 1.256 20 0 DDADMM Cc1ccn([C@@H](C)CC(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000668869054 485345927 /nfs/dbraw/zinc/34/59/27/485345927.db2.gz JKTUVFCBANVPMQ-NSHDSACASA-N -1 1 303.370 1.241 20 0 DDADMM Cc1cc2ccc(C(=O)Nc3nc(S(C)(=O)=O)n[n-]3)cn2c1 ZINC000672819129 485354601 /nfs/dbraw/zinc/35/46/01/485354601.db2.gz QNZHCCUPRBPIEY-UHFFFAOYSA-N -1 1 319.346 1.022 20 0 DDADMM Cc1cc2ccc(C(=O)Nc3nnc(S(C)(=O)=O)[n-]3)cn2c1 ZINC000672819129 485354605 /nfs/dbraw/zinc/35/46/05/485354605.db2.gz QNZHCCUPRBPIEY-UHFFFAOYSA-N -1 1 319.346 1.022 20 0 DDADMM COc1ccc(CN(C)C(=O)C(=O)c2ccc([O-])cc2)cn1 ZINC000673032303 485380802 /nfs/dbraw/zinc/38/08/02/485380802.db2.gz KWUBPRVJHRQLLT-UHFFFAOYSA-N -1 1 300.314 1.637 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1ncn(-c2ccccc2)n1 ZINC000678138352 485466723 /nfs/dbraw/zinc/46/67/23/485466723.db2.gz FLTVUFAFHNTRTF-UHFFFAOYSA-N -1 1 312.318 1.019 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CCCOCC2)c(F)c1 ZINC000678946275 485736158 /nfs/dbraw/zinc/73/61/58/485736158.db2.gz JXMCFVYYYNAULH-VIFPVBQESA-N -1 1 321.345 1.821 20 0 DDADMM CC[C@H](C)n1nc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1C ZINC000679234664 485815466 /nfs/dbraw/zinc/81/54/66/485815466.db2.gz RCDMTCDXUGFONQ-JTQLQIEISA-N -1 1 317.397 1.875 20 0 DDADMM CCC[C@H](C)N1C[C@@H](C(=O)N[C@@H](CCC)c2nn[n-]n2)CC1=O ZINC000675144328 485865933 /nfs/dbraw/zinc/86/59/33/485865933.db2.gz LFNRSWXMHTZTAR-SRVKXCTJSA-N -1 1 322.413 1.194 20 0 DDADMM C[C@@H](C[C@H]1CCOC1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000675289902 485903411 /nfs/dbraw/zinc/90/34/11/485903411.db2.gz JCNPPBSTPAWAPF-WDEREUQCSA-N -1 1 301.346 1.468 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccc2cc[nH]c2n1 ZINC000679915347 486034789 /nfs/dbraw/zinc/03/47/89/486034789.db2.gz JCJIRVCMIFSOQI-ZDUSSCGKSA-N -1 1 319.328 1.595 20 0 DDADMM CCC[C@@H](NC(=O)c1c2c(nn1C)CCCC2)c1nn[n-]n1 ZINC000675823447 486047013 /nfs/dbraw/zinc/04/70/13/486047013.db2.gz YSQLNJYJXDZIDS-LLVKDONJSA-N -1 1 303.370 1.083 20 0 DDADMM CC(=O)c1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)o1 ZINC000683680874 486079910 /nfs/dbraw/zinc/07/99/10/486079910.db2.gz PKSYIWQPRUSSGX-CYBMUJFWSA-N -1 1 311.301 1.515 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)C[C@H]2Cc3ccccc3O2)n[n-]1 ZINC000683688571 486080436 /nfs/dbraw/zinc/08/04/36/486080436.db2.gz UTSJMCAGIOTFDS-LLVKDONJSA-N -1 1 321.402 1.880 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC(C(C)C)C(C)C)o1 ZINC000676399953 486216874 /nfs/dbraw/zinc/21/68/74/486216874.db2.gz CDCUGRUVCCBBBJ-UHFFFAOYSA-N -1 1 302.396 1.598 20 0 DDADMM O=C1NC(=O)[C@@]2(CCC[N@@H+](Cc3nc4c(s3)CCCC4)C2)N1 ZINC000684027505 486219944 /nfs/dbraw/zinc/21/99/44/486219944.db2.gz GYWDCNHVGFPFNM-HNNXBMFYSA-N -1 1 320.418 1.196 20 0 DDADMM C[C@H]1OCC[C@@]12CN(C(=O)c1ccc([O-])c(F)c1)C[C@@H](C)O2 ZINC000684158474 486266675 /nfs/dbraw/zinc/26/66/75/486266675.db2.gz BIHCMKLZAAOPAN-GLKRBJQHSA-N -1 1 309.337 1.940 20 0 DDADMM O=C(NCCNC(=O)c1ccc([O-])c(F)c1)c1cccnc1 ZINC000681018043 486323845 /nfs/dbraw/zinc/32/38/45/486323845.db2.gz PUVCTIZSFHVRRF-UHFFFAOYSA-N -1 1 303.293 1.086 20 0 DDADMM O=C(CNC(=O)c1ccc([O-])c(F)c1)NCCc1ccccc1 ZINC000681036878 486327901 /nfs/dbraw/zinc/32/79/01/486327901.db2.gz FIJFXFHPCNQCGR-UHFFFAOYSA-N -1 1 316.332 1.620 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N(C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC000681042428 486329666 /nfs/dbraw/zinc/32/96/66/486329666.db2.gz ONPZRKZROQPYKH-NSHDSACASA-N -1 1 313.350 1.323 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@H](NC(=O)c2ccc([O-])c(F)c2)C1 ZINC000681049844 486332737 /nfs/dbraw/zinc/33/27/37/486332737.db2.gz UUKLLIXOJPLZKE-WDEREUQCSA-N -1 1 315.366 1.617 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@]2(CCOC2)O1 ZINC000684523762 486375852 /nfs/dbraw/zinc/37/58/52/486375852.db2.gz GGBNWIHMCFOGFM-WBMJQRKESA-N -1 1 309.337 1.941 20 0 DDADMM COCc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000677047613 486385530 /nfs/dbraw/zinc/38/55/30/486385530.db2.gz FYPXXZCRMDTFGS-ZDUSSCGKSA-N -1 1 301.350 1.366 20 0 DDADMM COCc1ccccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677047855 486385670 /nfs/dbraw/zinc/38/56/70/486385670.db2.gz OAXSEHJUKRYZIP-LLVKDONJSA-N -1 1 301.350 1.366 20 0 DDADMM O=C(N[C@H]1CCCc2c1cnn2CCO)c1c([O-])cccc1F ZINC000681346275 486409858 /nfs/dbraw/zinc/40/98/58/486409858.db2.gz RLPHLAYQLLNZDK-LBPRGKRZSA-N -1 1 319.336 1.528 20 0 DDADMM CN(C)C(=O)NCCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684877498 486450669 /nfs/dbraw/zinc/45/06/69/486450669.db2.gz PMDQTGAFUVLJET-UHFFFAOYSA-N -1 1 319.283 1.412 20 0 DDADMM CCOC[C@H](C)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000681691673 486492534 /nfs/dbraw/zinc/49/25/34/486492534.db2.gz APMQMNAFVWCOPM-QMMMGPOBSA-N -1 1 309.334 1.677 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H]2CCC[C@@H]21 ZINC000681762634 486518278 /nfs/dbraw/zinc/51/82/78/486518278.db2.gz PTGAZIJNKLTDKQ-JSGCOSHPSA-N -1 1 314.389 1.995 20 0 DDADMM Cc1noc([C@H](NC(=O)c2ncccc2[O-])C2CCOCC2)n1 ZINC000681815818 486536997 /nfs/dbraw/zinc/53/69/97/486536997.db2.gz HENCDOBBEJLVRU-GFCCVEGCSA-N -1 1 318.333 1.376 20 0 DDADMM C[C@H](NC(=O)c1c(F)ccc([O-])c1F)[C@H]1CN(C)CCO1 ZINC000685451476 486542062 /nfs/dbraw/zinc/54/20/62/486542062.db2.gz YVHPHDIOGKYYFX-GZMMTYOYSA-N -1 1 300.305 1.119 20 0 DDADMM COc1ccc(Cl)cc1C=CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000491930927 533832978 /nfs/dbraw/zinc/83/29/78/533832978.db2.gz NQOOXXNGJVCTPE-QPJJXVBHSA-N -1 1 321.768 1.926 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCCO[C@@H](CF)C3)cnc2n1 ZINC000412437777 534396641 /nfs/dbraw/zinc/39/66/41/534396641.db2.gz CCBTXJNXPORDFN-NSHDSACASA-N -1 1 319.336 1.844 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCCO[C@@H](CF)C3)c[n-]c2n1 ZINC000412437777 534396648 /nfs/dbraw/zinc/39/66/48/534396648.db2.gz CCBTXJNXPORDFN-NSHDSACASA-N -1 1 319.336 1.844 20 0 DDADMM CC(C)OC(=O)CCCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000157795250 519745065 /nfs/dbraw/zinc/74/50/65/519745065.db2.gz XOUXDTROSOIQFN-UHFFFAOYSA-N -1 1 317.345 1.385 20 0 DDADMM Cc1cnc(C(=O)N2CSC[C@@H]2C(=O)NCC(C)C)c([O-])c1 ZINC000330867338 534525950 /nfs/dbraw/zinc/52/59/50/534525950.db2.gz CZYLIMMENNEGBC-LLVKDONJSA-N -1 1 323.418 1.383 20 0 DDADMM O=C([C@H]1CCCO1)N1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000294992694 534628219 /nfs/dbraw/zinc/62/82/19/534628219.db2.gz UHGSFCUFASYUPC-CQSZACIVSA-N -1 1 324.808 1.869 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1CCO[C@@H](C3CC3)C1)c2=O ZINC000156989562 527582920 /nfs/dbraw/zinc/58/29/20/527582920.db2.gz HUUYLFCANUQDML-ZWNOBZJWSA-N -1 1 316.361 1.361 20 0 DDADMM CCNC(=O)C(C)(C)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000457310178 528032224 /nfs/dbraw/zinc/03/22/24/528032224.db2.gz ZISQXSLGMGIYFV-UHFFFAOYSA-N -1 1 314.769 1.699 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1Oc2ccccc2O[C@@H]1C)c1nn[n-]n1 ZINC000298160858 528200620 /nfs/dbraw/zinc/20/06/20/528200620.db2.gz DOZAOJYXUGDKDO-NRUUGDAUSA-N -1 1 317.349 1.386 20 0 DDADMM CCO/C=C/C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000492797023 528499740 /nfs/dbraw/zinc/49/97/40/528499740.db2.gz HVEJBXDSGANCAD-BQYQJAHWSA-N -1 1 314.363 1.555 20 0 DDADMM CCC(C)(C)OCCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000457361126 528591279 /nfs/dbraw/zinc/59/12/79/528591279.db2.gz QZHGIJVNVFWGKH-UHFFFAOYSA-N -1 1 306.366 1.609 20 0 DDADMM CCC[C@@H](O)[C@@H](CO)NC(=O)c1cc2ccccc2cc1[O-] ZINC000412511748 529052740 /nfs/dbraw/zinc/05/27/40/529052740.db2.gz HVTXHTCKADCVFQ-HUUCEWRRSA-N -1 1 303.358 1.797 20 0 DDADMM CC(C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000416617746 529145070 /nfs/dbraw/zinc/14/50/70/529145070.db2.gz DUNPWVODQYAOCE-MRVPVSSYSA-N -1 1 306.334 1.156 20 0 DDADMM CC[C@@H](O)CCCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000444901574 529219666 /nfs/dbraw/zinc/21/96/66/529219666.db2.gz MNCUFYLCUPWGCK-LLVKDONJSA-N -1 1 303.362 1.925 20 0 DDADMM CC[C@@H](O)CCCNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000444901574 529219669 /nfs/dbraw/zinc/21/96/69/529219669.db2.gz MNCUFYLCUPWGCK-LLVKDONJSA-N -1 1 303.362 1.925 20 0 DDADMM CC[C@@H](O)[C@H](CC)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000412398169 529237555 /nfs/dbraw/zinc/23/75/55/529237555.db2.gz QKRIUAHNPAVXAB-QWHCGFSZSA-N -1 1 303.362 1.923 20 0 DDADMM CC[C@@H](O)[C@H](CC)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000412398169 529237557 /nfs/dbraw/zinc/23/75/57/529237557.db2.gz QKRIUAHNPAVXAB-QWHCGFSZSA-N -1 1 303.362 1.923 20 0 DDADMM c1cn2c(n1)CN(c1c3ccccc3nnc1-c1nnn[n-]1)CC2 ZINC000738437704 598964313 /nfs/dbraw/zinc/96/43/13/598964313.db2.gz TZOYRQHIBPCMNI-UHFFFAOYSA-N -1 1 319.332 1.027 20 0 DDADMM c1cn2c(n1)CN(c1c3ccccc3nnc1-c1nn[n-]n1)CC2 ZINC000738437704 598964315 /nfs/dbraw/zinc/96/43/15/598964315.db2.gz TZOYRQHIBPCMNI-UHFFFAOYSA-N -1 1 319.332 1.027 20 0 DDADMM COc1cc(C)ccc1OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737041553 598981607 /nfs/dbraw/zinc/98/16/07/598981607.db2.gz WOMRJGLQXXOAKK-UHFFFAOYSA-N -1 1 311.301 1.798 20 0 DDADMM COc1cc(C)ccc1OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737041553 598981609 /nfs/dbraw/zinc/98/16/09/598981609.db2.gz WOMRJGLQXXOAKK-UHFFFAOYSA-N -1 1 311.301 1.798 20 0 DDADMM C[C@H](Cc1ccsc1)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736066240 598995317 /nfs/dbraw/zinc/99/53/17/598995317.db2.gz MFTBVGQHCXKFIC-SECBINFHSA-N -1 1 314.374 1.684 20 0 DDADMM C[C@H](Cc1ccsc1)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736066240 598995318 /nfs/dbraw/zinc/99/53/18/598995318.db2.gz MFTBVGQHCXKFIC-SECBINFHSA-N -1 1 314.374 1.684 20 0 DDADMM CN(C)c1cccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000736778874 599027211 /nfs/dbraw/zinc/02/72/11/599027211.db2.gz HKCZNTLKDMAHMC-UHFFFAOYSA-N -1 1 323.360 1.258 20 0 DDADMM CN(C)c1cccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000736778874 599027213 /nfs/dbraw/zinc/02/72/13/599027213.db2.gz HKCZNTLKDMAHMC-UHFFFAOYSA-N -1 1 323.360 1.258 20 0 DDADMM CC(C)C[C@H](CO)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000820055860 599104225 /nfs/dbraw/zinc/10/42/25/599104225.db2.gz KWHZAZXOIGYCJI-ZCFIWIBFSA-N -1 1 302.791 1.796 20 0 DDADMM CC(C)C[C@H](CO)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000820055860 599104229 /nfs/dbraw/zinc/10/42/29/599104229.db2.gz KWHZAZXOIGYCJI-ZCFIWIBFSA-N -1 1 302.791 1.796 20 0 DDADMM COc1ccccc1CNC(=O)[C@H](C)N1CCC(C(=O)[O-])CC1 ZINC000738129247 596923203 /nfs/dbraw/zinc/92/32/03/596923203.db2.gz LGWJDVQMZIGYGL-LBPRGKRZSA-N -1 1 320.389 1.497 20 0 DDADMM C[C@@H]1C(=O)N(CN2CCC(C(=O)[O-])CC2)[C@H]1c1ccccc1 ZINC000818085469 597206577 /nfs/dbraw/zinc/20/65/77/597206577.db2.gz YMMNWVQRPNWCPP-SWLSCSKDSA-N -1 1 302.374 1.960 20 0 DDADMM COC(=O)c1nn(CN2CCC(C(=O)[O-])CC2)c2ccccc21 ZINC000818528024 597480548 /nfs/dbraw/zinc/48/05/48/597480548.db2.gz DYWMIZHHNIWCHE-UHFFFAOYSA-N -1 1 317.345 1.577 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CC[C@@H](C(=O)[O-])C1)c1ccsc1 ZINC000818373965 597507426 /nfs/dbraw/zinc/50/74/26/597507426.db2.gz FMKJXKREYGHURX-ZYHUDNBSSA-N -1 1 311.407 1.467 20 0 DDADMM COCCn1c(C)c(C)n(CN2CC[C@H](C(=O)[O-])C2)c1=S ZINC000818545498 597538173 /nfs/dbraw/zinc/53/81/73/597538173.db2.gz UQJIRMMFQKRKIJ-LBPRGKRZSA-N -1 1 313.423 1.646 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC[C@@H](c2cc3ccccc3[nH]2)C1 ZINC000821530235 597793929 /nfs/dbraw/zinc/79/39/29/597793929.db2.gz BFKLSRUWMWOGLO-CYBMUJFWSA-N -1 1 315.373 1.548 20 0 DDADMM CN(CC(=O)N1CCC[C@H](CC(=O)[O-])C1)[C@@H]1CCSC1 ZINC000820554356 598053335 /nfs/dbraw/zinc/05/33/35/598053335.db2.gz OFPRRXBRQSLGAL-VXGBXAGGSA-N -1 1 300.424 1.137 20 0 DDADMM Nc1nc(NCc2ccc(C(=O)[O-])cc2)cc(-n2cccn2)n1 ZINC000821368932 598161070 /nfs/dbraw/zinc/16/10/70/598161070.db2.gz JXLKTHIPPHHLIF-UHFFFAOYSA-N -1 1 310.317 1.555 20 0 DDADMM O=C1CCCN1c1ccc(Nc2nccnc2-c2nnn[n-]2)cc1 ZINC000738305887 598337770 /nfs/dbraw/zinc/33/77/70/598337770.db2.gz WEUQBESQSZWVDF-UHFFFAOYSA-N -1 1 322.332 1.527 20 0 DDADMM O=C1CCCN1c1ccc(Nc2nccnc2-c2nn[n-]n2)cc1 ZINC000738305887 598337771 /nfs/dbraw/zinc/33/77/71/598337771.db2.gz WEUQBESQSZWVDF-UHFFFAOYSA-N -1 1 322.332 1.527 20 0 DDADMM COc1ccc(Cl)cc1CNc1nccnc1-c1nnn[n-]1 ZINC000737100051 598344519 /nfs/dbraw/zinc/34/45/19/598344519.db2.gz QQWQKOOOBINBOU-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM COc1ccc(Cl)cc1CNc1nccnc1-c1nn[n-]n1 ZINC000737100051 598344521 /nfs/dbraw/zinc/34/45/21/598344521.db2.gz QQWQKOOOBINBOU-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM Cc1nc(CNc2nccnc2-c2nnn[n-]2)nc2ccccc12 ZINC000737478419 598353706 /nfs/dbraw/zinc/35/37/06/598353706.db2.gz UEAKHIDGJWUQAX-UHFFFAOYSA-N -1 1 319.332 1.520 20 0 DDADMM Cc1nc(CNc2nccnc2-c2nn[n-]n2)nc2ccccc12 ZINC000737478419 598353708 /nfs/dbraw/zinc/35/37/08/598353708.db2.gz UEAKHIDGJWUQAX-UHFFFAOYSA-N -1 1 319.332 1.520 20 0 DDADMM CCc1nn(C[Si](C)(C)C)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736708447 598642700 /nfs/dbraw/zinc/64/27/00/598642700.db2.gz WXUYWFYLLMEURX-UHFFFAOYSA-N -1 1 306.446 1.426 20 0 DDADMM O[C@@H](CNc1cccc(-c2nnn[n-]2)n1)COc1ccccc1 ZINC000738385144 599408858 /nfs/dbraw/zinc/40/88/58/599408858.db2.gz WEWXMHYGKMSMTH-NSHDSACASA-N -1 1 312.333 1.114 20 0 DDADMM O[C@@H](CNc1cccc(-c2nn[n-]n2)n1)COc1ccccc1 ZINC000738385144 599408861 /nfs/dbraw/zinc/40/88/61/599408861.db2.gz WEWXMHYGKMSMTH-NSHDSACASA-N -1 1 312.333 1.114 20 0 DDADMM OCC[C@@H](Nc1cc(-c2nnn[n-]2)nc2ccccc21)C1CC1 ZINC000823715951 599572786 /nfs/dbraw/zinc/57/27/86/599572786.db2.gz ZTNAKDIGVIORCF-GFCCVEGCSA-N -1 1 310.361 1.988 20 0 DDADMM OCC[C@@H](Nc1cc(-c2nn[n-]n2)nc2ccccc21)C1CC1 ZINC000823715951 599572787 /nfs/dbraw/zinc/57/27/87/599572787.db2.gz ZTNAKDIGVIORCF-GFCCVEGCSA-N -1 1 310.361 1.988 20 0 DDADMM c1ccc(C[C@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000823780115 599580575 /nfs/dbraw/zinc/58/05/75/599580575.db2.gz OIRSZMUAOHEMIC-CYBMUJFWSA-N -1 1 307.361 1.726 20 0 DDADMM c1ccc(C[C@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000823780115 599580577 /nfs/dbraw/zinc/58/05/77/599580577.db2.gz OIRSZMUAOHEMIC-CYBMUJFWSA-N -1 1 307.361 1.726 20 0 DDADMM Cc1ccc2nc(CN[C@@H](C(=O)[O-])c3ccccc3)cc(=O)n2c1 ZINC000324397505 599758306 /nfs/dbraw/zinc/75/83/06/599758306.db2.gz GSEPHEFJSKHOPW-QGZVFWFLSA-N -1 1 323.352 1.918 20 0 DDADMM CN(CC(=O)Nc1ccsc1C(=O)[O-])C[C@@H]1CCCOC1 ZINC000737400159 599763870 /nfs/dbraw/zinc/76/38/70/599763870.db2.gz VUPWOVJRLYPNFF-JTQLQIEISA-N -1 1 312.391 1.743 20 0 DDADMM CC1CCC2(CC(=O)N(CN3CC[C@H](C(=O)[O-])C3)C2=O)CC1 ZINC000736772460 599766678 /nfs/dbraw/zinc/76/66/78/599766678.db2.gz CNCRWCQWSHJUFI-BGMSHATGSA-N -1 1 308.378 1.306 20 0 DDADMM O=C([O-])c1cccc(OCCN2CCCC[C@H]2C2OCCO2)c1 ZINC000740230641 599843545 /nfs/dbraw/zinc/84/35/45/599843545.db2.gz CIOBRGSVYMECSB-HNNXBMFYSA-N -1 1 321.373 1.991 20 0 DDADMM Cc1cc(C)cc(OCCN(C)C(=O)CNC(C)(C)C(=O)[O-])c1 ZINC000738219224 599926593 /nfs/dbraw/zinc/92/65/93/599926593.db2.gz YLDLYWPYHNVRNQ-UHFFFAOYSA-N -1 1 322.405 1.593 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CCO[C@H](C(=O)[O-])C2)o1 ZINC000314877003 599939534 /nfs/dbraw/zinc/93/95/34/599939534.db2.gz YNEONDJDITUVKI-ZDUSSCGKSA-N -1 1 310.350 1.047 20 0 DDADMM CCOCCN1CCN(Cc2occc2C(=O)[O-])C[C@@H]1CC ZINC000737177773 599962151 /nfs/dbraw/zinc/96/21/51/599962151.db2.gz SKDKOYBTSJSFHI-ZDUSSCGKSA-N -1 1 310.394 1.911 20 0 DDADMM CC(C)(C)CN(CCO)CC(=O)Nc1ccsc1C(=O)[O-] ZINC000736261488 600111021 /nfs/dbraw/zinc/11/10/21/600111021.db2.gz PNGSNPYZYSYTQM-UHFFFAOYSA-N -1 1 314.407 1.725 20 0 DDADMM CN(CCC(=O)NCC(=O)[O-])Cc1ccc(F)cc1Cl ZINC000737412672 600306921 /nfs/dbraw/zinc/30/69/21/600306921.db2.gz ULAUGIJJEOUZKY-UHFFFAOYSA-N -1 1 302.733 1.502 20 0 DDADMM CN(Cc1ccco1)C(=O)CN1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000405480058 600332249 /nfs/dbraw/zinc/33/22/49/600332249.db2.gz JJBAUWOITKQYGY-ZDUSSCGKSA-N -1 1 306.362 1.425 20 0 DDADMM C[C@H](CN(C)CC(=O)Nc1ccc(OC(F)F)cc1)C(=O)[O-] ZINC000736573901 600376400 /nfs/dbraw/zinc/37/64/00/600376400.db2.gz YTOWOHGCVXUQQF-SECBINFHSA-N -1 1 316.304 1.879 20 0 DDADMM CC[C@@H](O)[C@H]1CCCCN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000736923685 600391856 /nfs/dbraw/zinc/39/18/56/600391856.db2.gz JAPIPHLNLRTWIK-HUUCEWRRSA-N -1 1 320.389 1.949 20 0 DDADMM CCc1[nH]c(C(=O)N2CCN(C)C[C@H]2C(C)C)c(C)c1C(=O)[O-] ZINC000737242078 600395118 /nfs/dbraw/zinc/39/51/18/600395118.db2.gz PRUILKUCODKXIP-ZDUSSCGKSA-N -1 1 321.421 1.996 20 0 DDADMM COc1cc(C=CC(=O)[O-])ccc1OS(=O)(=O)c1c[nH]cn1 ZINC000737879033 600401751 /nfs/dbraw/zinc/40/17/51/600401751.db2.gz OEYYDXMRFBWWGJ-HWKANZROSA-N -1 1 324.314 1.284 20 0 DDADMM O=C([O-])CCN(CC(=O)N1CCCCCC1)C[C@H]1CCCO1 ZINC000739718768 600511393 /nfs/dbraw/zinc/51/13/93/600511393.db2.gz MEIHHLVZKFKKFP-CQSZACIVSA-N -1 1 312.410 1.345 20 0 DDADMM O=C([O-])CCN(CC(=O)NCc1ccccc1)C[C@@H]1CCCO1 ZINC000739719106 600512335 /nfs/dbraw/zinc/51/23/35/600512335.db2.gz RFKNXEFYCSKRST-HNNXBMFYSA-N -1 1 320.389 1.259 20 0 DDADMM CC[C@](C)(NCC(=O)NC(=O)N[C@@H]1CCCC[C@H]1C)C(=O)[O-] ZINC000736861910 600561439 /nfs/dbraw/zinc/56/14/39/600561439.db2.gz YCZJEPAYNAXZBH-HFAKWTLXSA-N -1 1 313.398 1.234 20 0 DDADMM COC[C@H]1CCN([C@H](C)C(=O)Nc2cc(C(=O)[O-])ccc2C)C1 ZINC000737752732 600573730 /nfs/dbraw/zinc/57/37/30/600573730.db2.gz XHYGUGQVGSAMEO-OLZOCXBDSA-N -1 1 320.389 1.989 20 0 DDADMM O=C([O-])C[C@H]1CCCCN1CN1C[C@@H](c2ccccn2)CC1=O ZINC000739640322 600637631 /nfs/dbraw/zinc/63/76/31/600637631.db2.gz ZSUKDNZTAGQNMN-UONOGXRCSA-N -1 1 317.389 1.684 20 0 DDADMM CCCN(C)CC(=O)Nc1cc(OC)c(OC)cc1C(=O)[O-] ZINC000737007157 600785390 /nfs/dbraw/zinc/78/53/90/600785390.db2.gz BXUIRFJDOYYIRD-UHFFFAOYSA-N -1 1 310.350 1.682 20 0 DDADMM Cc1nnc(SCCC(=O)N(CCC(=O)[O-])C(C)C)[nH]1 ZINC000833021914 600839257 /nfs/dbraw/zinc/83/92/57/600839257.db2.gz SITLRMVXLJAXDX-UHFFFAOYSA-N -1 1 300.384 1.307 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCCC[C@H]1[C@@H](O)CC)C(=O)[O-] ZINC000736878133 600977398 /nfs/dbraw/zinc/97/73/98/600977398.db2.gz LSNSPUGIVCKNNA-ABHRYQDASA-N -1 1 314.426 1.227 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(N(C)C)cc2)CC1 ZINC000827375318 601122001 /nfs/dbraw/zinc/12/20/01/601122001.db2.gz UHPAOXCXNOUJMU-ZDUSSCGKSA-N -1 1 319.405 1.374 20 0 DDADMM Cc1[nH]c(C(=O)CN2CCN3CCCC[C@@H]3C2)c(C)c1C(=O)[O-] ZINC000832844064 601170722 /nfs/dbraw/zinc/17/07/22/601170722.db2.gz LSJXAWPFJNXGAZ-CYBMUJFWSA-N -1 1 319.405 1.683 20 0 DDADMM CC(C)N(CCC(=O)[O-])C(=O)CSc1n[nH]c(=S)s1 ZINC000826940073 601200208 /nfs/dbraw/zinc/20/02/08/601200208.db2.gz FDYMFCJMYUCBAT-UHFFFAOYSA-N -1 1 321.449 1.631 20 0 DDADMM CN(CCNS(=O)(=O)c1ccc(/C=C\C(=O)[O-])cc1)C1CC1 ZINC000315617071 601226653 /nfs/dbraw/zinc/22/66/53/601226653.db2.gz SIVBZHWVIHTZKR-WTKPLQERSA-N -1 1 324.402 1.157 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)NCC(F)F)c(F)cc1F ZINC000833303754 601388845 /nfs/dbraw/zinc/38/88/45/601388845.db2.gz ZQRJDHILFXZXAF-UHFFFAOYSA-N -1 1 301.217 1.206 20 0 DDADMM O=C([O-])Cc1csc(NC(=O)CN2C[C@H]3CCCC[C@@H]3C2)n1 ZINC000833270140 601425707 /nfs/dbraw/zinc/42/57/07/601425707.db2.gz YRIFVOPLEGIOJX-GHMZBOCLSA-N -1 1 323.418 1.831 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H](C2CCCCC2)CN1CC(=O)N1CCOCC1 ZINC000833179690 601431146 /nfs/dbraw/zinc/43/11/46/601431146.db2.gz POBLXJQGLVEZCM-CABCVRRESA-N -1 1 324.421 1.201 20 0 DDADMM C[C@H]1CCCCN1CCNS(=O)(=O)CC1(CC(=O)[O-])CC1 ZINC000828258124 601507137 /nfs/dbraw/zinc/50/71/37/601507137.db2.gz PRGNRTVALNRLTA-LBPRGKRZSA-N -1 1 318.439 1.035 20 0 DDADMM CSc1cccc(NC(=O)CN2C[C@H](C(=O)[O-])[C@H](C)C2)c1 ZINC000832786004 601527764 /nfs/dbraw/zinc/52/77/64/601527764.db2.gz USCZHTYOHMTQCR-MFKMUULPSA-N -1 1 308.403 2.000 20 0 DDADMM Cc1c(F)cccc1NC(=O)CCN1CCO[C@H](CC(=O)[O-])C1 ZINC000832854718 601573413 /nfs/dbraw/zinc/57/34/13/601573413.db2.gz QEMCAZISRFZTCO-GFCCVEGCSA-N -1 1 324.352 1.638 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)CN1CC[C@]2(CCOC2)C1 ZINC000833106751 601661857 /nfs/dbraw/zinc/66/18/57/601661857.db2.gz VLBFFTUCAVYVLE-INIZCTEOSA-N -1 1 304.346 1.436 20 0 DDADMM C[C@H](C(=O)N1CCO[C@H](CC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000825989831 601740706 /nfs/dbraw/zinc/74/07/06/601740706.db2.gz GXVXFHYOGQPJKC-UKRRQHHQSA-N -1 1 320.389 1.209 20 0 DDADMM COc1cccc(S(=O)(=O)Nc2cc(C(=O)[O-])n(C)c2)c1 ZINC000832572624 601783305 /nfs/dbraw/zinc/78/33/05/601783305.db2.gz GKOPQLHOSHBFPD-UHFFFAOYSA-N -1 1 310.331 1.533 20 0 DDADMM CC(C)(C)CN(CCO)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000824087376 607966276 /nfs/dbraw/zinc/96/62/76/607966276.db2.gz UDNICMSISRXTNU-UHFFFAOYSA-N -1 1 310.789 1.760 20 0 DDADMM CC(C)[C@H](NCC(=O)Nc1cccc2nsnc21)C(=O)[O-] ZINC000035391914 601823178 /nfs/dbraw/zinc/82/31/78/601823178.db2.gz RFVUEJCGLNPJRP-NSHDSACASA-N -1 1 308.363 1.329 20 0 DDADMM COC(=O)c1sc(N)c(C(=O)[O-])c1CSc1cn[nH]n1 ZINC000831474679 601897101 /nfs/dbraw/zinc/89/71/01/601897101.db2.gz CZGIFUZXLRGWQA-UHFFFAOYSA-N -1 1 314.348 1.226 20 0 DDADMM CC[C@H](C)NC(=O)CN1CCCC[C@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000739243374 601928358 /nfs/dbraw/zinc/92/83/58/601928358.db2.gz GKVTWLNYVOCIDV-IHRRRGAJSA-N -1 1 311.426 1.898 20 0 DDADMM Cn1c(CN2CC[C@@](C)(C(=O)[O-])C2)nc2ccccc2c1=O ZINC000833038769 601938559 /nfs/dbraw/zinc/93/85/59/601938559.db2.gz MXJPKPSWJQQGAZ-MRXNPFEDSA-N -1 1 301.346 1.230 20 0 DDADMM C[C@H](C1CC1)N(C(=O)CN1CC[C@H](CNC(=O)[O-])C1)C1CC1 ZINC000738888269 601939535 /nfs/dbraw/zinc/93/95/35/601939535.db2.gz BFPXOEAISVGHIG-VXGBXAGGSA-N -1 1 309.410 1.365 20 0 DDADMM CN(C)C1(C(=O)Nc2ccc3[nH]nc(C(=O)[O-])c3c2)CCC1 ZINC000830584894 601939891 /nfs/dbraw/zinc/93/98/91/601939891.db2.gz GEZGFPPSRCMUDD-UHFFFAOYSA-N -1 1 302.334 1.684 20 0 DDADMM O=C([O-])N(CCNC(=O)Cc1[nH]nc2ccccc21)C1CC1 ZINC000740386792 602028579 /nfs/dbraw/zinc/02/85/79/602028579.db2.gz GUKYWRULSLFMQD-UHFFFAOYSA-N -1 1 302.334 1.364 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCCN(C[C@@H]2COc3ccccc3O2)C1 ZINC000739582355 602047297 /nfs/dbraw/zinc/04/72/97/602047297.db2.gz CCHDIIPSANSJFT-CHWSQXEVSA-N -1 1 306.362 1.901 20 0 DDADMM O[C@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)c1ccncc1 ZINC000826504461 607543202 /nfs/dbraw/zinc/54/32/02/607543202.db2.gz WTVRXYIZOZHBCK-SNVBAGLBSA-N -1 1 317.740 1.456 20 0 DDADMM O[C@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)c1ccncc1 ZINC000826504461 607543204 /nfs/dbraw/zinc/54/32/04/607543204.db2.gz WTVRXYIZOZHBCK-SNVBAGLBSA-N -1 1 317.740 1.456 20 0 DDADMM O=C([O-])CC1(NCc2nnc(-c3ccccc3)o2)CCOCC1 ZINC000833205848 602256565 /nfs/dbraw/zinc/25/65/65/602256565.db2.gz OVBCVKDJBYEAOX-UHFFFAOYSA-N -1 1 317.345 1.850 20 0 DDADMM CCN(C)[C@H](C(=O)N1CCC[C@@H](NC(=O)[O-])C1)c1ccccc1 ZINC000737839616 602319165 /nfs/dbraw/zinc/31/91/65/602319165.db2.gz ACBNZJGMHQILGW-CABCVRRESA-N -1 1 319.405 1.938 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000739532075 602339991 /nfs/dbraw/zinc/33/99/91/602339991.db2.gz UEPMOMNTBMCCEN-STQMWFEESA-N -1 1 323.393 1.969 20 0 DDADMM CC(C)CN1CCN(C(=O)Nc2cc(C(=O)[O-])n(C)c2)CC1 ZINC000826885563 602365079 /nfs/dbraw/zinc/36/50/79/602365079.db2.gz BTPQLMBPISKNMU-UHFFFAOYSA-N -1 1 308.382 1.529 20 0 DDADMM Cc1ccc(N2C(=O)C[C@@H](N3CC[C@@](C)(C(=O)[O-])C3)C2=O)cc1 ZINC000832934617 602390074 /nfs/dbraw/zinc/39/00/74/602390074.db2.gz GRVFKDSZPNCRGH-CXAGYDPISA-N -1 1 316.357 1.424 20 0 DDADMM CCCCn1nnnc1CN[C@]1(CNC(=O)[O-])CCCC[C@H]1C ZINC000739353854 602432258 /nfs/dbraw/zinc/43/22/58/602432258.db2.gz VLBQJBWPIBXISR-DOMZBBRYSA-N -1 1 324.429 1.779 20 0 DDADMM CN(C)[C@H](CNC(=O)NCCN(C)C(=O)[O-])c1ccsc1 ZINC000739692855 602438291 /nfs/dbraw/zinc/43/82/91/602438291.db2.gz BGBOXGAKHPQALL-LLVKDONJSA-N -1 1 314.411 1.260 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(CC(=O)N2CCC(C)CC2)CC1 ZINC000739114702 602451157 /nfs/dbraw/zinc/45/11/57/602451157.db2.gz XBNYDPWQCIAWLD-CYBMUJFWSA-N -1 1 311.426 1.613 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cc2occc2[nH]1 ZINC000826451096 607569610 /nfs/dbraw/zinc/56/96/10/607569610.db2.gz QAIGJMRKRQLJNQ-UHFFFAOYSA-N -1 1 310.273 1.899 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1)C(=O)[O-] ZINC000739657750 602486564 /nfs/dbraw/zinc/48/65/64/602486564.db2.gz LXUWEKVFUKSEDE-JTQLQIEISA-N -1 1 318.333 1.742 20 0 DDADMM CN(C[C@H]1CCN(CC(=O)NCc2ccc(F)cc2)C1)C(=O)[O-] ZINC000739661635 602542256 /nfs/dbraw/zinc/54/22/56/602542256.db2.gz RQGAJHNCOYYOAW-CYBMUJFWSA-N -1 1 323.368 1.374 20 0 DDADMM O=C([O-])N1CCC(CNC(=O)N2CCN3CCCC[C@H]3C2)CC1 ZINC000740496582 602628203 /nfs/dbraw/zinc/62/82/03/602628203.db2.gz NTPCIIHVLWILSI-AWEZNQCLSA-N -1 1 324.425 1.256 20 0 DDADMM COc1ccc(COCCN2CCCN(C(=O)[O-])CC2)cc1 ZINC000738096255 602647818 /nfs/dbraw/zinc/64/78/18/602647818.db2.gz SWHNRULEXGNGGT-UHFFFAOYSA-N -1 1 308.378 1.898 20 0 DDADMM O=C(CN1CCCC[C@@H]1[C@@H]1CCCN1C(=O)[O-])NCC1CCC1 ZINC000740369514 602679270 /nfs/dbraw/zinc/67/92/70/602679270.db2.gz NFDUPOVWSLVTOD-CABCVRRESA-N -1 1 323.437 1.900 20 0 DDADMM O=C([O-])N1CCC[C@H](CN2CCN(Cc3ccco3)CC2)C1 ZINC000740510662 602702563 /nfs/dbraw/zinc/70/25/63/602702563.db2.gz ICMZOTICZRICLD-CQSZACIVSA-N -1 1 307.394 1.787 20 0 DDADMM CCc1cc(CNC(=O)c2csc(CNC(=O)[O-])n2)[nH]n1 ZINC000739537344 602717346 /nfs/dbraw/zinc/71/73/46/602717346.db2.gz FGHZZLRUENDMRS-UHFFFAOYSA-N -1 1 309.351 1.126 20 0 DDADMM CCCc1cc(C(=O)N2CCC([C@@H](C)NC(=O)[O-])CC2)n[nH]1 ZINC000739372737 602755760 /nfs/dbraw/zinc/75/57/60/602755760.db2.gz JKSXHLBPFQXSLF-SNVBAGLBSA-N -1 1 308.382 1.871 20 0 DDADMM C[C@@H]1CN(C[C@H](O)c2c(F)cccc2F)CC[C@@H]1NC(=O)[O-] ZINC000739178789 602779373 /nfs/dbraw/zinc/77/93/73/602779373.db2.gz KJOQDJTXLZZAOF-ICCXJUOJSA-N -1 1 314.332 1.976 20 0 DDADMM CC(C)[C@@H](CNS(=O)(=O)c1c(F)cccc1F)NC(=O)[O-] ZINC000738793054 602786614 /nfs/dbraw/zinc/78/66/14/602786614.db2.gz JRCMJEIDUGJYII-SNVBAGLBSA-N -1 1 322.333 1.535 20 0 DDADMM O=S(=O)(NCC1CCC1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826489862 607587729 /nfs/dbraw/zinc/58/77/29/607587729.db2.gz BXXQAZWBQWOSAZ-UHFFFAOYSA-N -1 1 311.342 1.084 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)[C@H](O)c1ccccc1 ZINC000826467447 607614504 /nfs/dbraw/zinc/61/45/04/607614504.db2.gz WOOGATSGWSLGIZ-CYBMUJFWSA-N -1 1 311.301 1.039 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)[C@H](O)c1ccccc1 ZINC000826467447 607614506 /nfs/dbraw/zinc/61/45/06/607614506.db2.gz WOOGATSGWSLGIZ-CYBMUJFWSA-N -1 1 311.301 1.039 20 0 DDADMM C[C@@H](C(=O)N[C@H]1CCC[C@@H](C)[C@@H]1C)N(C)CCCNC(=O)[O-] ZINC000739094331 603249371 /nfs/dbraw/zinc/24/93/71/603249371.db2.gz QXOPUCNAXACDCD-RFGFWPKPSA-N -1 1 313.442 1.905 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@@H](C(=O)N2CCN(C3CCC3)CC2)C1 ZINC000740568677 603267350 /nfs/dbraw/zinc/26/73/50/603267350.db2.gz ZCXWGTUHCFZBGV-OLZOCXBDSA-N -1 1 309.410 1.510 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)NC1CCN(Cc2cccnc2)CC1 ZINC000738754179 603330894 /nfs/dbraw/zinc/33/08/94/603330894.db2.gz FGQYDAKNLTZZOR-UHFFFAOYSA-N -1 1 320.393 1.208 20 0 DDADMM CCN(CC(=O)NC1CCN(Cc2ccccn2)CC1)C(=O)[O-] ZINC000739394765 603424729 /nfs/dbraw/zinc/42/47/29/603424729.db2.gz YWUHNYNGOCMVON-UHFFFAOYSA-N -1 1 320.393 1.162 20 0 DDADMM O=C([O-])N(CC1CC1)C1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC000831563023 603465898 /nfs/dbraw/zinc/46/58/98/603465898.db2.gz YVFPGDYGQXFGGH-UHFFFAOYSA-N -1 1 306.366 1.333 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000829833377 603543772 /nfs/dbraw/zinc/54/37/72/603543772.db2.gz ITTHTRTWPPJHIY-ZETCQYMHSA-N -1 1 320.271 1.561 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@H](C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000832297178 603555976 /nfs/dbraw/zinc/55/59/76/603555976.db2.gz NMDQPJQSSLNGOG-WDEREUQCSA-N -1 1 315.333 1.642 20 0 DDADMM O=C([O-])N1CCCC[C@H]1C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000831273667 603559426 /nfs/dbraw/zinc/55/94/26/603559426.db2.gz SEVVQRFTDGVFGC-ZDUSSCGKSA-N -1 1 306.366 1.648 20 0 DDADMM Cc1cc(CNC(=O)C[C@@H](Cc2ccccc2)NC(=O)[O-])n[nH]1 ZINC000830040815 603617543 /nfs/dbraw/zinc/61/75/43/603617543.db2.gz DPMWPCXNYWJAQN-CYBMUJFWSA-N -1 1 316.361 1.603 20 0 DDADMM C[C@@H](C(=O)NCCCN(C)C(=O)[O-])N(C)Cc1ccccc1 ZINC000823695775 603672201 /nfs/dbraw/zinc/67/22/01/603672201.db2.gz ZYMBXJKXHBKBON-ZDUSSCGKSA-N -1 1 307.394 1.623 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H]3CCC[C@@H]3NC(=O)[O-])[nH]c2c1 ZINC000830432306 603763740 /nfs/dbraw/zinc/76/37/40/603763740.db2.gz CSVCBXSSRXSVIM-MNOVXSKESA-N -1 1 316.361 1.924 20 0 DDADMM C[C@H](C(=O)N1[C@H](C)CCC[C@@H]1C)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823654471 603813928 /nfs/dbraw/zinc/81/39/28/603813928.db2.gz KIDVNNXILSXZCI-RQJABVFESA-N -1 1 311.426 1.849 20 0 DDADMM O=C([O-])N1CCC[C@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000831641851 603944951 /nfs/dbraw/zinc/94/49/51/603944951.db2.gz WPJVVKFFWFPELB-GHMZBOCLSA-N -1 1 306.366 1.336 20 0 DDADMM CCC[C@@H]1CC(=O)N(CN2CCC[C@H]([C@H](C)NC(=O)[O-])C2)C1 ZINC000826770068 604025460 /nfs/dbraw/zinc/02/54/60/604025460.db2.gz YCKHZACHSGGXEH-MJBXVCDLSA-N -1 1 311.426 1.961 20 0 DDADMM COc1ccc(OC)c([C@H](O)CN2CCC[C@@H]2CNC(=O)[O-])c1 ZINC000829494581 604089125 /nfs/dbraw/zinc/08/91/25/604089125.db2.gz QRNWMVJUMVUEKH-BXUZGUMPSA-N -1 1 324.377 1.469 20 0 DDADMM O=C([O-])Nc1cc2c(cc1NC(=O)CCc1nc[nH]n1)OCO2 ZINC000832825309 604157882 /nfs/dbraw/zinc/15/78/82/604157882.db2.gz MABOAAMNICXGAK-UHFFFAOYSA-N -1 1 319.277 1.195 20 0 DDADMM C[C@H](CN(C)C(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000824880611 604173983 /nfs/dbraw/zinc/17/39/83/604173983.db2.gz JDPYLUNEZXLANQ-SNVBAGLBSA-N -1 1 303.322 1.452 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000825243218 604214377 /nfs/dbraw/zinc/21/43/77/604214377.db2.gz DAMXYDMAXYURIJ-MWLCHTKSSA-N -1 1 317.349 1.466 20 0 DDADMM Cc1ccc(C)c(OC[C@@H](O)CN2CC[C@@H](NC(=O)[O-])C2)c1C ZINC000740119319 604381535 /nfs/dbraw/zinc/38/15/35/604381535.db2.gz NUAGZNOCKZWQSO-CABCVRRESA-N -1 1 322.405 1.693 20 0 DDADMM CN(C)[C@@H](CNC(=O)C1(NC(=O)[O-])CC1)c1cccc(F)c1 ZINC000827994728 604422838 /nfs/dbraw/zinc/42/28/38/604422838.db2.gz NQHREODYXCHLRD-LBPRGKRZSA-N -1 1 309.341 1.345 20 0 DDADMM COCCn1cc(CN2Cc3ccccc3C[C@@H]2C(=O)[O-])cn1 ZINC000831800164 604466326 /nfs/dbraw/zinc/46/63/26/604466326.db2.gz GGEMWSBUMOJKBN-MRXNPFEDSA-N -1 1 315.373 1.541 20 0 DDADMM CC(=O)N[C@@H]1CCCN(Cc2ccc(O[C@H](C)C(=O)[O-])cc2)C1 ZINC000833396067 604534320 /nfs/dbraw/zinc/53/43/20/604534320.db2.gz DHUMSICRMRAWMC-IUODEOHRSA-N -1 1 320.389 1.639 20 0 DDADMM CN(Cc1ccc(C(=O)[O-])cc1)C(=O)CN(C)[C@H]1CCSC1 ZINC000833696418 604663113 /nfs/dbraw/zinc/66/31/13/604663113.db2.gz MCMXUVILOHAXAV-AWEZNQCLSA-N -1 1 322.430 1.781 20 0 DDADMM CC(=O)c1ccccc1OCCN1CCC(O)(C(=O)[O-])CC1 ZINC000833404074 604728422 /nfs/dbraw/zinc/72/84/22/604728422.db2.gz WDPZRSPXIGVIDV-UHFFFAOYSA-N -1 1 307.346 1.180 20 0 DDADMM O=C([O-])[C@@]1(NC(=O)Cc2[nH]nc3ccccc32)CCSC1 ZINC000262147735 604845709 /nfs/dbraw/zinc/84/57/09/604845709.db2.gz LNJWTHUYOBQZHC-CQSZACIVSA-N -1 1 305.359 1.182 20 0 DDADMM CC[C@@]1(C)CC(=O)N(CN2CCC[C@H](C(C)(C)C(=O)[O-])C2)C1=O ZINC000833646531 604865287 /nfs/dbraw/zinc/86/52/87/604865287.db2.gz PHSPIPMNPPNWEG-SJCJKPOMSA-N -1 1 324.421 1.942 20 0 DDADMM CN1CCC[C@H](NC(=O)Nc2nc(CCC(=O)[O-])cs2)C1 ZINC000830934895 604969924 /nfs/dbraw/zinc/96/99/24/604969924.db2.gz MDWUSKVWOOOWQG-VIFPVBQESA-N -1 1 312.395 1.376 20 0 DDADMM C[C@H](Oc1ccccc1F)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000378724278 605073672 /nfs/dbraw/zinc/07/36/72/605073672.db2.gz SMJHSWHEUOVEAA-CABZTGNLSA-N -1 1 321.308 1.128 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccccc1Cl ZINC000833627564 605107326 /nfs/dbraw/zinc/10/73/26/605107326.db2.gz YUNQDWFEAVMMHN-LLVKDONJSA-N -1 1 310.781 1.961 20 0 DDADMM O=C([O-])N(CC(=O)N1CCN(CC2CC2)CC1)c1ccccc1 ZINC000831441411 605269663 /nfs/dbraw/zinc/26/96/63/605269663.db2.gz LCRYJYBZQKDBJS-UHFFFAOYSA-N -1 1 317.389 1.725 20 0 DDADMM O=C([O-])N1CCO[C@H](CC(=O)Nc2ncc(C(F)(F)F)[nH]2)C1 ZINC000831395815 605293968 /nfs/dbraw/zinc/29/39/68/605293968.db2.gz WTJFYSRAQVNXNJ-ZCFIWIBFSA-N -1 1 322.243 1.136 20 0 DDADMM COCCN(CC(=O)N1CCC(c2cc(C)[nH]n2)CC1)C(=O)[O-] ZINC000833885035 605369617 /nfs/dbraw/zinc/36/96/17/605369617.db2.gz ZCGXLOLSYMWPTI-UHFFFAOYSA-N -1 1 324.381 1.051 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCC(O)(C(F)(F)F)CC2)O1 ZINC000833582016 605374309 /nfs/dbraw/zinc/37/43/09/605374309.db2.gz AZGUIAMSJXRZSH-SECBINFHSA-N -1 1 309.284 1.523 20 0 DDADMM Cc1nn2c(CN(C)C3CCN(C(=O)[O-])CC3)c(C)nc2s1 ZINC000833920045 605532458 /nfs/dbraw/zinc/53/24/58/605532458.db2.gz DFROSYDAOCRZDB-UHFFFAOYSA-N -1 1 323.422 1.982 20 0 DDADMM NS(=O)(=O)C[C@@H]1CCCN1Cc1cccc(NC(=O)[O-])c1 ZINC000833987028 605605806 /nfs/dbraw/zinc/60/58/06/605605806.db2.gz WGCKHXYIUDNGHG-LBPRGKRZSA-N -1 1 313.379 1.029 20 0 DDADMM C[C@@H](NC(=O)[C@@H]1c2ccccc2CCN1C(=O)[O-])c1nnc[nH]1 ZINC000825100359 605758770 /nfs/dbraw/zinc/75/87/70/605758770.db2.gz CEZRFOAKODULEH-SKDRFNHKSA-N -1 1 315.333 1.259 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)CCCC(=O)[O-] ZINC000833745954 605760424 /nfs/dbraw/zinc/76/04/24/605760424.db2.gz IBEWKAVVPPLESV-UHFFFAOYSA-N -1 1 319.405 1.940 20 0 DDADMM O=C([O-])N1CC[C@@H](NC[C@@](O)(c2ccccc2)C(F)(F)F)C1 ZINC000831952934 605846951 /nfs/dbraw/zinc/84/69/51/605846951.db2.gz CWQJTBGELQCCRB-DGCLKSJQSA-N -1 1 318.295 1.778 20 0 DDADMM NC(=O)[C@@H](c1ccccc1)N1CCC2(C1)CCN(C(=O)[O-])CC2 ZINC000833980498 605896384 /nfs/dbraw/zinc/89/63/84/605896384.db2.gz OWSZCRSZWXWPEF-CQSZACIVSA-N -1 1 317.389 1.679 20 0 DDADMM O=C(CN(C(=O)[O-])C1CC1)NCc1n[nH]c(-c2ccccc2)n1 ZINC000833997666 605982889 /nfs/dbraw/zinc/98/28/89/605982889.db2.gz HYKQWIPFSVJTIV-UHFFFAOYSA-N -1 1 315.333 1.230 20 0 DDADMM O=C(CN(C(=O)[O-])C1CC1)NCc1nc(-c2ccccc2)n[nH]1 ZINC000833997666 605982892 /nfs/dbraw/zinc/98/28/92/605982892.db2.gz HYKQWIPFSVJTIV-UHFFFAOYSA-N -1 1 315.333 1.230 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)[O-])CCN1CCCNC(=O)C(F)(F)F ZINC000833827663 606043979 /nfs/dbraw/zinc/04/39/79/606043979.db2.gz LWGMJCDMIDSKCR-RKDXNWHRSA-N -1 1 311.304 1.128 20 0 DDADMM Clc1nsc(NCc2nncn2C2CC2)c1-c1nnn[n-]1 ZINC000822590306 606125320 /nfs/dbraw/zinc/12/53/20/606125320.db2.gz KFOHLJDLFHPKCO-UHFFFAOYSA-N -1 1 323.773 1.515 20 0 DDADMM Clc1nsc(NCc2nncn2C2CC2)c1-c1nn[n-]n1 ZINC000822590306 606125321 /nfs/dbraw/zinc/12/53/21/606125321.db2.gz KFOHLJDLFHPKCO-UHFFFAOYSA-N -1 1 323.773 1.515 20 0 DDADMM c1cnc(N2CCC[C@@]3(CCCOC3)C2)c(-c2nnn[n-]2)n1 ZINC000823810495 606143200 /nfs/dbraw/zinc/14/32/00/606143200.db2.gz ZCSDNSCARFOWBC-CQSZACIVSA-N -1 1 301.354 1.054 20 0 DDADMM c1cnc(N2CCC[C@@]3(CCCOC3)C2)c(-c2nn[n-]n2)n1 ZINC000823810495 606143201 /nfs/dbraw/zinc/14/32/01/606143201.db2.gz ZCSDNSCARFOWBC-CQSZACIVSA-N -1 1 301.354 1.054 20 0 DDADMM CC[C@H]1CCCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820979376 606171846 /nfs/dbraw/zinc/17/18/46/606171846.db2.gz HGWKIFQECYTLEB-GXSJLCMTSA-N -1 1 318.385 1.691 20 0 DDADMM CC[C@H]1CCCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820979376 606171847 /nfs/dbraw/zinc/17/18/47/606171847.db2.gz HGWKIFQECYTLEB-GXSJLCMTSA-N -1 1 318.385 1.691 20 0 DDADMM O=C(CCc1ccncc1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822983918 606194388 /nfs/dbraw/zinc/19/43/88/606194388.db2.gz XPHXWHHZIVJSSQ-UHFFFAOYSA-N -1 1 310.317 1.539 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cc(Cl)ccn1 ZINC000823294939 606196598 /nfs/dbraw/zinc/19/65/98/606196598.db2.gz YHDAFWVJLMJTTC-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM Cc1nc(C(C)(C)NCc2ccc(-c3nn[n-]n3)s2)no1 ZINC000822424553 606418523 /nfs/dbraw/zinc/41/85/23/606418523.db2.gz XBDYRWYVTKVURO-UHFFFAOYSA-N -1 1 305.367 1.645 20 0 DDADMM Cc1nc(CCN(C)c2ccc(Cl)c(-c3nnn[n-]3)n2)no1 ZINC000822441092 606462630 /nfs/dbraw/zinc/46/26/30/606462630.db2.gz SHCOUVFGORMEMN-UHFFFAOYSA-N -1 1 320.744 1.285 20 0 DDADMM Cc1nc(CCN(C)c2ccc(Cl)c(-c3nn[n-]n3)n2)no1 ZINC000822441092 606462632 /nfs/dbraw/zinc/46/26/32/606462632.db2.gz SHCOUVFGORMEMN-UHFFFAOYSA-N -1 1 320.744 1.285 20 0 DDADMM Clc1ccc(N[C@@H]2CCc3n[nH]cc3C2)nc1-c1nnn[n-]1 ZINC000822579251 606467218 /nfs/dbraw/zinc/46/72/18/606467218.db2.gz ZKKRNFJPWFQECZ-MRVPVSSYSA-N -1 1 316.756 1.608 20 0 DDADMM Clc1ccc(N[C@@H]2CCc3n[nH]cc3C2)nc1-c1nn[n-]n1 ZINC000822579251 606467219 /nfs/dbraw/zinc/46/72/19/606467219.db2.gz ZKKRNFJPWFQECZ-MRVPVSSYSA-N -1 1 316.756 1.608 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)c1ccccn1 ZINC000820396828 606537746 /nfs/dbraw/zinc/53/77/46/606537746.db2.gz IMHBLLANGNHPAP-QMMMGPOBSA-N -1 1 300.347 1.814 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)c1ccccn1 ZINC000820396828 606537748 /nfs/dbraw/zinc/53/77/48/606537748.db2.gz IMHBLLANGNHPAP-QMMMGPOBSA-N -1 1 300.347 1.814 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)C(C)(C)C ZINC000821640280 606569736 /nfs/dbraw/zinc/56/97/36/606569736.db2.gz FFZBATDVDKTNLT-SECBINFHSA-N -1 1 323.378 1.246 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)C(C)(C)C ZINC000821640280 606569737 /nfs/dbraw/zinc/56/97/37/606569737.db2.gz FFZBATDVDKTNLT-SECBINFHSA-N -1 1 323.378 1.246 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)C[C@H](C)S1 ZINC000820742354 606575594 /nfs/dbraw/zinc/57/55/94/606575594.db2.gz JRLHKFSVSQBKTN-OCAPTIKFSA-N -1 1 309.420 1.894 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)C[C@H](C)S1 ZINC000820742354 606575595 /nfs/dbraw/zinc/57/55/95/606575595.db2.gz JRLHKFSVSQBKTN-OCAPTIKFSA-N -1 1 309.420 1.894 20 0 DDADMM O=C(NC[C@H](O)c1ccsc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823166781 606598955 /nfs/dbraw/zinc/59/89/55/606598955.db2.gz PFHWPWVISPOVFI-QMMMGPOBSA-N -1 1 321.387 1.453 20 0 DDADMM O=C(NC[C@H](O)c1ccsc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823166781 606598957 /nfs/dbraw/zinc/59/89/57/606598957.db2.gz PFHWPWVISPOVFI-QMMMGPOBSA-N -1 1 321.387 1.453 20 0 DDADMM CC(C)(C)SCC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000819951824 606649936 /nfs/dbraw/zinc/64/99/36/606649936.db2.gz XGIFMSKGQLTGGQ-UHFFFAOYSA-N -1 1 307.379 1.837 20 0 DDADMM CC(C)(C)SCC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000819951824 606649937 /nfs/dbraw/zinc/64/99/37/606649937.db2.gz XGIFMSKGQLTGGQ-UHFFFAOYSA-N -1 1 307.379 1.837 20 0 DDADMM Cc1ncc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)s1 ZINC000822468047 606652953 /nfs/dbraw/zinc/65/29/53/606652953.db2.gz WDFZRVPWPTZAST-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM Cc1ncc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)s1 ZINC000822468047 606652954 /nfs/dbraw/zinc/65/29/54/606652954.db2.gz WDFZRVPWPTZAST-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)N1CCCc2ccccc21 ZINC000823123084 606655397 /nfs/dbraw/zinc/65/53/97/606655397.db2.gz NDOHDXJGBPRDAS-UHFFFAOYSA-N -1 1 309.333 1.043 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)N1CCCc2ccccc21 ZINC000823123084 606655398 /nfs/dbraw/zinc/65/53/98/606655398.db2.gz NDOHDXJGBPRDAS-UHFFFAOYSA-N -1 1 309.333 1.043 20 0 DDADMM COc1ccc(F)c(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000821828156 606692045 /nfs/dbraw/zinc/69/20/45/606692045.db2.gz RHRDDEVSJJUPSZ-UHFFFAOYSA-N -1 1 314.280 1.662 20 0 DDADMM COc1ccc(F)c(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000821828156 606692046 /nfs/dbraw/zinc/69/20/46/606692046.db2.gz RHRDDEVSJJUPSZ-UHFFFAOYSA-N -1 1 314.280 1.662 20 0 DDADMM C[C@]1(CNc2c3ccccc3nnc2-c2nnn[n-]2)CCCO1 ZINC000820623031 606724324 /nfs/dbraw/zinc/72/43/24/606724324.db2.gz CECAOVKUGGTCME-OAHLLOKOSA-N -1 1 311.349 1.791 20 0 DDADMM C[C@]1(CNc2c3ccccc3nnc2-c2nn[n-]n2)CCCO1 ZINC000820623031 606724326 /nfs/dbraw/zinc/72/43/26/606724326.db2.gz CECAOVKUGGTCME-OAHLLOKOSA-N -1 1 311.349 1.791 20 0 DDADMM c1cc(-c2nnn[n-]2)oc1CNCc1cn(-c2ccccc2)nn1 ZINC000823774121 606726860 /nfs/dbraw/zinc/72/68/60/606726860.db2.gz ZWKHSNWWFCCWDO-UHFFFAOYSA-N -1 1 322.332 1.330 20 0 DDADMM c1cc(-c2nn[n-]n2)oc1CNCc1cn(-c2ccccc2)nn1 ZINC000823774121 606726861 /nfs/dbraw/zinc/72/68/61/606726861.db2.gz ZWKHSNWWFCCWDO-UHFFFAOYSA-N -1 1 322.332 1.330 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)[C@H]1Cc2ccccc2O1 ZINC000823379875 607025038 /nfs/dbraw/zinc/02/50/38/607025038.db2.gz MHWADXJKAMLRME-SECBINFHSA-N -1 1 314.330 1.265 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)[C@H]1Cc2ccccc2O1 ZINC000823379875 607025039 /nfs/dbraw/zinc/02/50/39/607025039.db2.gz MHWADXJKAMLRME-SECBINFHSA-N -1 1 314.330 1.265 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCC(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000820703183 607104019 /nfs/dbraw/zinc/10/40/19/607104019.db2.gz DGBQCKMKFTYJSE-RKDXNWHRSA-N -1 1 322.394 1.857 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCC(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000820703183 607104020 /nfs/dbraw/zinc/10/40/20/607104020.db2.gz DGBQCKMKFTYJSE-RKDXNWHRSA-N -1 1 322.394 1.857 20 0 DDADMM CCn1nc(C)cc1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000821568405 607104884 /nfs/dbraw/zinc/10/48/84/607104884.db2.gz SPAVRERBWACZBZ-UHFFFAOYSA-N -1 1 304.339 1.100 20 0 DDADMM CCn1nc(C)cc1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000821568405 607104886 /nfs/dbraw/zinc/10/48/86/607104886.db2.gz SPAVRERBWACZBZ-UHFFFAOYSA-N -1 1 304.339 1.100 20 0 DDADMM FC1CC2(C1)CN(c1c3ccccc3nnc1-c1nnn[n-]1)C2 ZINC000826370433 607809599 /nfs/dbraw/zinc/80/95/99/607809599.db2.gz SDOPEEIBZVMIPK-UHFFFAOYSA-N -1 1 311.324 1.748 20 0 DDADMM FC1CC2(C1)CN(c1c3ccccc3nnc1-c1nn[n-]n1)C2 ZINC000826370433 607809600 /nfs/dbraw/zinc/80/96/00/607809600.db2.gz SDOPEEIBZVMIPK-UHFFFAOYSA-N -1 1 311.324 1.748 20 0 DDADMM Cn1c2cccc(NCc3ccc(-c4nnn[n-]4)o3)c2oc1=O ZINC000826354739 607812979 /nfs/dbraw/zinc/81/29/79/607812979.db2.gz SMXIWARFHDXAJS-UHFFFAOYSA-N -1 1 312.289 1.517 20 0 DDADMM Cn1c2cccc(NCc3ccc(-c4nn[n-]n4)o3)c2oc1=O ZINC000826354739 607812980 /nfs/dbraw/zinc/81/29/80/607812980.db2.gz SMXIWARFHDXAJS-UHFFFAOYSA-N -1 1 312.289 1.517 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)CCCSC(C)(C)C)n1 ZINC000826356942 607831147 /nfs/dbraw/zinc/83/11/47/607831147.db2.gz LNARFTOPBGTJEH-UHFFFAOYSA-N -1 1 323.426 1.851 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)CCCSC(C)(C)C)n1 ZINC000826356942 607831148 /nfs/dbraw/zinc/83/11/48/607831148.db2.gz LNARFTOPBGTJEH-UHFFFAOYSA-N -1 1 323.426 1.851 20 0 DDADMM OC[C@H](CC1CC1)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000826507851 607850877 /nfs/dbraw/zinc/85/08/77/607850877.db2.gz XGHIFRNNNJFSIJ-LURJTMIESA-N -1 1 300.775 1.550 20 0 DDADMM OC[C@H](CC1CC1)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000826507851 607850878 /nfs/dbraw/zinc/85/08/78/607850878.db2.gz XGHIFRNNNJFSIJ-LURJTMIESA-N -1 1 300.775 1.550 20 0 DDADMM C[C@@H](CC(=O)OCc1ccnc(-c2nnn[n-]2)c1)c1ccncc1 ZINC000824394829 607856295 /nfs/dbraw/zinc/85/62/95/607856295.db2.gz YWSUTDRIROLTCA-NSHDSACASA-N -1 1 324.344 1.894 20 0 DDADMM C[C@@H](CC(=O)OCc1ccnc(-c2nn[n-]n2)c1)c1ccncc1 ZINC000824394829 607856296 /nfs/dbraw/zinc/85/62/96/607856296.db2.gz YWSUTDRIROLTCA-NSHDSACASA-N -1 1 324.344 1.894 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)c2cccc(-c3nnn[n-]3)n2)C1(C)C ZINC000825582786 607899655 /nfs/dbraw/zinc/89/96/55/607899655.db2.gz NKYSSBVUGADSHZ-VXGBXAGGSA-N -1 1 302.382 1.902 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)c2cccc(-c3nn[n-]n3)n2)C1(C)C ZINC000825582786 607899656 /nfs/dbraw/zinc/89/96/56/607899656.db2.gz NKYSSBVUGADSHZ-VXGBXAGGSA-N -1 1 302.382 1.902 20 0 DDADMM CC(C)(C)CN(CCO)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000824087376 607966275 /nfs/dbraw/zinc/96/62/75/607966275.db2.gz UDNICMSISRXTNU-UHFFFAOYSA-N -1 1 310.789 1.760 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)CCO1 ZINC000824077759 608149292 /nfs/dbraw/zinc/14/92/92/608149292.db2.gz FHRIKOJOMOKKCC-ZJUUUORDSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)CCO1 ZINC000824077759 608149293 /nfs/dbraw/zinc/14/92/93/608149293.db2.gz FHRIKOJOMOKKCC-ZJUUUORDSA-N -1 1 303.370 1.662 20 0 DDADMM CC[C@@H](Nc1cccc(-c2nnn[n-]2)n1)C(=O)OC(C)(C)C ZINC000825679744 608235971 /nfs/dbraw/zinc/23/59/71/608235971.db2.gz CXAAWYFFURFNNS-SECBINFHSA-N -1 1 304.354 1.794 20 0 DDADMM CC[C@@H](Nc1cccc(-c2nn[n-]n2)n1)C(=O)OC(C)(C)C ZINC000825679744 608235972 /nfs/dbraw/zinc/23/59/72/608235972.db2.gz CXAAWYFFURFNNS-SECBINFHSA-N -1 1 304.354 1.794 20 0 DDADMM Cc1n[nH]c(C)c1CCOC(=O)c1sccc1-c1nn[n-]n1 ZINC000826321910 608276190 /nfs/dbraw/zinc/27/61/90/608276190.db2.gz UAMGLVODMMYLHV-UHFFFAOYSA-N -1 1 318.362 1.668 20 0 DDADMM Cc1ccc(S(=O)(=O)Oc2cccc(F)c2-c2nnn[n-]2)o1 ZINC000826293033 608302594 /nfs/dbraw/zinc/30/25/94/608302594.db2.gz XTQJDNAHKVEYTN-UHFFFAOYSA-N -1 1 324.293 1.675 20 0 DDADMM Cc1ccc(S(=O)(=O)Oc2cccc(F)c2-c2nn[n-]n2)o1 ZINC000826293033 608302597 /nfs/dbraw/zinc/30/25/97/608302597.db2.gz XTQJDNAHKVEYTN-UHFFFAOYSA-N -1 1 324.293 1.675 20 0 DDADMM Fc1ccccc1OCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826378471 608390487 /nfs/dbraw/zinc/39/04/87/608390487.db2.gz LTCRVBDDANPWSA-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM Fc1ccccc1OCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826378471 608390489 /nfs/dbraw/zinc/39/04/89/608390489.db2.gz LTCRVBDDANPWSA-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM CCc1nc(CCNc2ccc(-c3nnn[n-]3)nn2)cs1 ZINC000825746093 608409882 /nfs/dbraw/zinc/40/98/82/608409882.db2.gz RLBBWNXWCPKLDZ-UHFFFAOYSA-N -1 1 302.367 1.330 20 0 DDADMM CCc1nc(CCNc2ccc(-c3nn[n-]n3)nn2)cs1 ZINC000825746093 608409888 /nfs/dbraw/zinc/40/98/88/608409888.db2.gz RLBBWNXWCPKLDZ-UHFFFAOYSA-N -1 1 302.367 1.330 20 0 DDADMM C[C@H](c1ccccc1)[C@H](CO)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824771526 608417033 /nfs/dbraw/zinc/41/70/33/608417033.db2.gz QTESOYSCOZYSDF-MFKMUULPSA-N -1 1 311.349 1.233 20 0 DDADMM C[C@H](c1ccccc1)[C@H](CO)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824771526 608417036 /nfs/dbraw/zinc/41/70/36/608417036.db2.gz QTESOYSCOZYSDF-MFKMUULPSA-N -1 1 311.349 1.233 20 0 DDADMM COc1cccc(C[C@@H](C)Nc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826215903 608418081 /nfs/dbraw/zinc/41/80/81/608418081.db2.gz BSIPCWMAUBGKOS-SNVBAGLBSA-N -1 1 311.349 1.708 20 0 DDADMM COc1cccc(C[C@@H](C)Nc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826215903 608418082 /nfs/dbraw/zinc/41/80/82/608418082.db2.gz BSIPCWMAUBGKOS-SNVBAGLBSA-N -1 1 311.349 1.708 20 0 DDADMM OC[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)c1c(F)cccc1F ZINC000826508116 608420358 /nfs/dbraw/zinc/42/03/58/608420358.db2.gz FCVMMYWMWWZSTN-SNVBAGLBSA-N -1 1 319.275 1.080 20 0 DDADMM OC[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)c1c(F)cccc1F ZINC000826508116 608420359 /nfs/dbraw/zinc/42/03/59/608420359.db2.gz FCVMMYWMWWZSTN-SNVBAGLBSA-N -1 1 319.275 1.080 20 0 DDADMM CCO[C@@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C12CCC2 ZINC000825583017 608423155 /nfs/dbraw/zinc/42/31/55/608423155.db2.gz QNDNNFNKYAEPAB-GHMZBOCLSA-N -1 1 301.354 1.416 20 0 DDADMM CCO[C@@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C12CCC2 ZINC000825583017 608423156 /nfs/dbraw/zinc/42/31/56/608423156.db2.gz QNDNNFNKYAEPAB-GHMZBOCLSA-N -1 1 301.354 1.416 20 0 DDADMM CC1(C)[C@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@@H]2CCCO[C@H]21 ZINC000824789770 608423934 /nfs/dbraw/zinc/42/39/34/608423934.db2.gz JLIRQAGQQBESRY-XXILOJSOSA-N -1 1 301.354 1.272 20 0 DDADMM CC1(C)[C@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@@H]2CCCO[C@H]21 ZINC000824789770 608423935 /nfs/dbraw/zinc/42/39/35/608423935.db2.gz JLIRQAGQQBESRY-XXILOJSOSA-N -1 1 301.354 1.272 20 0 DDADMM COCc1ccc(Sc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826164595 608427894 /nfs/dbraw/zinc/42/78/94/608427894.db2.gz IDHZXNKLQBBHQF-UHFFFAOYSA-N -1 1 300.347 1.954 20 0 DDADMM COCc1ccc(Sc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826164595 608427895 /nfs/dbraw/zinc/42/78/95/608427895.db2.gz IDHZXNKLQBBHQF-UHFFFAOYSA-N -1 1 300.347 1.954 20 0 DDADMM Fc1ccc([C@@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826374381 608431073 /nfs/dbraw/zinc/43/10/73/608431073.db2.gz XESXKIDXGCGORF-LLVKDONJSA-N -1 1 311.324 1.790 20 0 DDADMM Fc1ccc([C@@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826374381 608431074 /nfs/dbraw/zinc/43/10/74/608431074.db2.gz XESXKIDXGCGORF-LLVKDONJSA-N -1 1 311.324 1.790 20 0 DDADMM O=C1CN(Cc2ccc(-c3nnn[n-]3)o2)CN1Cc1ccccc1 ZINC000826481318 608851918 /nfs/dbraw/zinc/85/19/18/608851918.db2.gz POVQHMTUKJOVRL-UHFFFAOYSA-N -1 1 324.344 1.262 20 0 DDADMM O=C1CN(Cc2ccc(-c3nn[n-]n3)o2)CN1Cc1ccccc1 ZINC000826481318 608851921 /nfs/dbraw/zinc/85/19/21/608851921.db2.gz POVQHMTUKJOVRL-UHFFFAOYSA-N -1 1 324.344 1.262 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCC[C@H]1CCCO ZINC000826472287 609260579 /nfs/dbraw/zinc/26/05/79/609260579.db2.gz FWKKOBFOHDWEAV-VIFPVBQESA-N -1 1 307.379 1.305 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCC[C@H]1CCCO ZINC000826472287 609260581 /nfs/dbraw/zinc/26/05/81/609260581.db2.gz FWKKOBFOHDWEAV-VIFPVBQESA-N -1 1 307.379 1.305 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1CCc1cccc(F)c1F ZINC000826501422 609503244 /nfs/dbraw/zinc/50/32/44/609503244.db2.gz PIBIQBIPLVSMKA-UHFFFAOYSA-N -1 1 303.272 1.549 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC([C@@H]3CCOC3)CC2)c1 ZINC000826513967 609592187 /nfs/dbraw/zinc/59/21/87/609592187.db2.gz CIEHEVWFAXJYEA-GFCCVEGCSA-N -1 1 300.366 1.515 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC([C@@H]3CCOC3)CC2)c1 ZINC000826513967 609592188 /nfs/dbraw/zinc/59/21/88/609592188.db2.gz CIEHEVWFAXJYEA-GFCCVEGCSA-N -1 1 300.366 1.515 20 0 DDADMM Cc1ccc(N2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)cc1 ZINC000826290514 609595881 /nfs/dbraw/zinc/59/58/81/609595881.db2.gz OUUZIYLWEXOWAK-UHFFFAOYSA-N -1 1 322.376 1.292 20 0 DDADMM Cc1ccc(N2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)cc1 ZINC000826290514 609595883 /nfs/dbraw/zinc/59/58/83/609595883.db2.gz OUUZIYLWEXOWAK-UHFFFAOYSA-N -1 1 322.376 1.292 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCC2CC2)c(Br)n1C ZINC000288082571 698560614 /nfs/dbraw/zinc/56/06/14/698560614.db2.gz JZXZAJZAMINCST-UHFFFAOYSA-N -1 1 322.228 1.569 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C(F)F)[C@H]2CCCO2)[n-]1 ZINC000797179188 699997774 /nfs/dbraw/zinc/99/77/74/699997774.db2.gz IDTOTQIGDRQNKT-NXEZZACHSA-N -1 1 303.261 1.771 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(C2CC2)C2CC2)co1 ZINC000797108840 699994301 /nfs/dbraw/zinc/99/43/01/699994301.db2.gz CBWYDVZYUNIQTP-UHFFFAOYSA-N -1 1 313.375 1.781 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973198074 695444018 /nfs/dbraw/zinc/44/40/18/695444018.db2.gz TVDAOQDHJWNEPK-BJDJZHNGSA-N -1 1 303.362 1.210 20 0 DDADMM CC(=O)N1CCC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000973681081 695509955 /nfs/dbraw/zinc/50/99/55/695509955.db2.gz BTRRLOGXLDMOGU-OLZOCXBDSA-N -1 1 303.362 1.260 20 0 DDADMM CCNC(=O)C(C)(C)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000746283508 700015626 /nfs/dbraw/zinc/01/56/26/700015626.db2.gz YGCQPNJKBVXVCW-UHFFFAOYSA-N -1 1 322.409 1.506 20 0 DDADMM CC1(C)CN(C/C=C\Cl)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000974491946 695679644 /nfs/dbraw/zinc/67/96/44/695679644.db2.gz QLRCCSBFBPQDOO-TXNVCOODSA-N -1 1 309.797 1.980 20 0 DDADMM CC(C)CC(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975218236 695815746 /nfs/dbraw/zinc/81/57/46/695815746.db2.gz GLPSFYSNXLLKIQ-CYBMUJFWSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976395384 695970767 /nfs/dbraw/zinc/97/07/67/695970767.db2.gz CCHLVLSDAJADHM-YABSGUDNSA-N -1 1 303.362 1.020 20 0 DDADMM CC(=O)c1c[nH]c(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1 ZINC000008258753 696034639 /nfs/dbraw/zinc/03/46/39/696034639.db2.gz IDAWZDPKKGKPBK-UHFFFAOYSA-N -1 1 314.297 1.331 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)Cn1cncn1 ZINC000747211459 700060318 /nfs/dbraw/zinc/06/03/18/700060318.db2.gz BOGORRVGFLUDKI-VIFPVBQESA-N -1 1 304.354 1.024 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CSc2nncs2)cc1 ZINC000028864655 696107411 /nfs/dbraw/zinc/10/74/11/696107411.db2.gz SOSNNRYWFGJSTJ-UHFFFAOYSA-N -1 1 309.372 1.595 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2cc(C)ccc2C)n1 ZINC000031721286 696122426 /nfs/dbraw/zinc/12/24/26/696122426.db2.gz XXPDNDHDDPCSRP-UHFFFAOYSA-N -1 1 308.363 1.407 20 0 DDADMM CCOc1ccc(S(=O)(=O)NN=c2nc(OC)cc[n-]2)cc1 ZINC000031721307 696122553 /nfs/dbraw/zinc/12/25/53/696122553.db2.gz ZLEWLTRKSCJQFC-UHFFFAOYSA-N -1 1 324.362 1.189 20 0 DDADMM CCN(CC(=O)NC)C(=O)c1ccc(Br)cc1[O-] ZINC000044490973 696166027 /nfs/dbraw/zinc/16/60/27/696166027.db2.gz NOVWEGHOHKNPCY-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM CN(C[C@H]1CCN1C(=O)C1(CF)CC1)C(=O)c1ncccc1[O-] ZINC000977596433 696228023 /nfs/dbraw/zinc/22/80/23/696228023.db2.gz CEQFTGRZDUSNKU-LLVKDONJSA-N -1 1 321.352 1.210 20 0 DDADMM CCC[C@H](C)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000051391985 696240523 /nfs/dbraw/zinc/24/05/23/696240523.db2.gz QRWMEOOETXESPW-NSHDSACASA-N -1 1 307.346 1.931 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@@H](C)Cc2ccsc2)n1 ZINC000052584016 696258967 /nfs/dbraw/zinc/25/89/67/696258967.db2.gz QWKTZYPJWIHJNI-VIFPVBQESA-N -1 1 307.379 1.804 20 0 DDADMM Cc1cccc(NC(=O)c2cc(NS(C)(=O)=O)ccc2[O-])n1 ZINC000055128500 696282026 /nfs/dbraw/zinc/28/20/26/696282026.db2.gz OUTTXKFUSSXBPI-UHFFFAOYSA-N -1 1 321.358 1.719 20 0 DDADMM CCCCS(=O)(=O)[N-][C@H](C(=O)OC)c1cc(F)ccc1F ZINC000057874208 696300618 /nfs/dbraw/zinc/30/06/18/696300618.db2.gz PVENBRWWVHWIFA-LBPRGKRZSA-N -1 1 321.345 1.898 20 0 DDADMM Cn1c(CNC(=O)Cc2ccc3c(c2)OCCO3)n[n-]c1=S ZINC000066626179 696354574 /nfs/dbraw/zinc/35/45/74/696354574.db2.gz JKSQQKLJXIXEHH-UHFFFAOYSA-N -1 1 320.374 1.108 20 0 DDADMM CC(C)n1c(CNC(=O)CCN2CCCC2=O)n[n-]c1=S ZINC000066650042 696354837 /nfs/dbraw/zinc/35/48/37/696354837.db2.gz ULWAOIJPCMPXOT-UHFFFAOYSA-N -1 1 311.411 1.150 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)c1ccncc1Cl ZINC000073147692 696401137 /nfs/dbraw/zinc/40/11/37/696401137.db2.gz AMEMAVRCUKISBV-UHFFFAOYSA-N -1 1 311.798 1.981 20 0 DDADMM C[C@H](C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000978741951 696450706 /nfs/dbraw/zinc/45/07/06/696450706.db2.gz MMOBJKVDYXHWRH-GMXVVIOVSA-N -1 1 317.389 1.458 20 0 DDADMM CC1(C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000978754742 696452626 /nfs/dbraw/zinc/45/26/26/696452626.db2.gz QROSGBSRABHBSI-PHIMTYICSA-N -1 1 303.362 1.212 20 0 DDADMM CC1(NC(=O)Cc2sc(N)nc2[O-])Cc2ccccc2C1 ZINC000092613673 696595808 /nfs/dbraw/zinc/59/58/08/696595808.db2.gz JBMFTHRZZODIIN-NSHDSACASA-N -1 1 303.387 1.007 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)c(F)c1 ZINC000798837550 700100642 /nfs/dbraw/zinc/10/06/42/700100642.db2.gz XMGGGPRMVUWCGP-ZDUSSCGKSA-N -1 1 316.398 1.525 20 0 DDADMM CCCC[C@H](COC)NC(=O)c1nnc2ccccc2c1O ZINC000119659316 696683557 /nfs/dbraw/zinc/68/35/57/696683557.db2.gz MVZAIJCTNXVBJO-LLVKDONJSA-N -1 1 303.362 1.858 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(F)c(F)c1 ZINC000120806540 696701643 /nfs/dbraw/zinc/70/16/43/696701643.db2.gz CJTXADBDKOSFEZ-WKEGUHRASA-N -1 1 313.329 1.489 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@H]2c2ccc(F)cc2)s1 ZINC000120855780 696702497 /nfs/dbraw/zinc/70/24/97/696702497.db2.gz USIOZDCNEDFLLL-RYUDHWBXSA-N -1 1 321.377 1.836 20 0 DDADMM CN(C[C@@H]1CCC[C@H]1O)C(=O)c1nnc2ccccc2c1O ZINC000127953375 696775434 /nfs/dbraw/zinc/77/54/34/696775434.db2.gz VPTYNFUTZHNMAO-GXFFZTMASA-N -1 1 301.346 1.156 20 0 DDADMM Cn1nccc1CC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000133379189 696825221 /nfs/dbraw/zinc/82/52/21/696825221.db2.gz JXTVVUGHJPEPFJ-UHFFFAOYSA-N -1 1 317.773 1.734 20 0 DDADMM CC(C)(CNC(=O)c1c([O-])cccc1Cl)N1CCOCC1 ZINC000141814163 696868074 /nfs/dbraw/zinc/86/80/74/696868074.db2.gz ZPNIOPOSQMRZEH-UHFFFAOYSA-N -1 1 312.797 1.886 20 0 DDADMM O=C(NO)[C@H]1CCCN1C(=O)c1ccc2ccccc2c1[O-] ZINC000148640143 696874758 /nfs/dbraw/zinc/87/47/58/696874758.db2.gz LJGCUWVPNZYWNR-CYBMUJFWSA-N -1 1 300.314 1.655 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2c(C)nn(C)c2Cl)c1C ZINC000151416786 696885286 /nfs/dbraw/zinc/88/52/86/696885286.db2.gz PBBBGQASEYAYLD-UHFFFAOYSA-N -1 1 303.775 1.523 20 0 DDADMM Cc1cccc([C@H](O)CNC(=O)c2nnc3ccccc3c2O)c1 ZINC000153391447 696914986 /nfs/dbraw/zinc/91/49/86/696914986.db2.gz FXMATFGUPXPHBA-OAHLLOKOSA-N -1 1 323.352 1.695 20 0 DDADMM N#CCC[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000153693485 696920129 /nfs/dbraw/zinc/92/01/29/696920129.db2.gz PGDNAGVQVNVMAW-NEPJUHHUSA-N -1 1 316.386 1.494 20 0 DDADMM COc1ccccc1CO[N-]C(=O)[C@@H]1CC(=O)N(CC(C)C)C1 ZINC000799099330 700118243 /nfs/dbraw/zinc/11/82/43/700118243.db2.gz PQGMGBMZLKSTPT-CQSZACIVSA-N -1 1 320.389 1.748 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(Cc2cncs2)CC1 ZINC000981026834 696982931 /nfs/dbraw/zinc/98/29/31/696982931.db2.gz MVPYXBKMZPHLOF-UHFFFAOYSA-N -1 1 318.402 1.592 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(CCC(F)(F)F)CC1 ZINC000981026893 696983367 /nfs/dbraw/zinc/98/33/67/696983367.db2.gz PTAGCWLQJWKAEH-UHFFFAOYSA-N -1 1 317.311 1.888 20 0 DDADMM CCc1ccc(N2C[C@@H](C(=O)[N-]OCCOC)CC2=O)cc1 ZINC000748770391 700133750 /nfs/dbraw/zinc/13/37/50/700133750.db2.gz SQFYXWDZXCCTTD-ZDUSSCGKSA-N -1 1 306.362 1.296 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)[C@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000983364669 697246273 /nfs/dbraw/zinc/24/62/73/697246273.db2.gz OLBHJYRACXDTLK-NWDGAFQWSA-N -1 1 315.373 1.166 20 0 DDADMM O=C(N[C@H]1CCC[C@@H](NC(=O)C2CC2)C1)c1ncccc1[O-] ZINC000983407866 697257393 /nfs/dbraw/zinc/25/73/93/697257393.db2.gz QRMWYYCFFAHJAM-NEPJUHHUSA-N -1 1 303.362 1.354 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000984499196 697393693 /nfs/dbraw/zinc/39/36/93/697393693.db2.gz ONBDASUOJNMHTM-AVGNSLFASA-N -1 1 317.389 1.506 20 0 DDADMM Cn1nc2c(cc1=O)CN(C(=O)c1c([O-])cccc1Cl)CC2 ZINC000749188163 700149961 /nfs/dbraw/zinc/14/99/61/700149961.db2.gz FLQBRKWLIWLSGV-UHFFFAOYSA-N -1 1 319.748 1.338 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1CC ZINC000984800969 697430724 /nfs/dbraw/zinc/43/07/24/697430724.db2.gz HMAIKBNFMSDISJ-RWMBFGLXSA-N -1 1 319.405 1.943 20 0 DDADMM O=S(=O)([N-]CCCCCCO)c1cc(F)c(F)cc1F ZINC000180660441 697450825 /nfs/dbraw/zinc/45/08/25/697450825.db2.gz FLQRUCLFVVHJAD-UHFFFAOYSA-N -1 1 311.325 1.935 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCCCCCO)cnc2n1 ZINC000182624335 697477482 /nfs/dbraw/zinc/47/74/82/697477482.db2.gz QMLJPCMICWBNLJ-UHFFFAOYSA-N -1 1 303.362 1.926 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCCCCCO)c2=O ZINC000182624335 697477484 /nfs/dbraw/zinc/47/74/84/697477484.db2.gz QMLJPCMICWBNLJ-UHFFFAOYSA-N -1 1 303.362 1.926 20 0 DDADMM O=C(Cc1cc[nH]n1)N[N-]C(=O)c1ccc(F)c(Cl)c1F ZINC000186109094 697530120 /nfs/dbraw/zinc/53/01/20/697530120.db2.gz NFTMHAKNZWCYCJ-UHFFFAOYSA-N -1 1 314.679 1.345 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C[C@@H]1CCC[C@H]1C ZINC000186191717 697531099 /nfs/dbraw/zinc/53/10/99/697531099.db2.gz CYCLPCBRDYFECV-PWSUYJOCSA-N -1 1 318.377 1.638 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CCCCC1 ZINC000985935612 697606557 /nfs/dbraw/zinc/60/65/57/697606557.db2.gz PXZPBTRCIKDNJL-WCQYABFASA-N -1 1 321.425 1.560 20 0 DDADMM Cc1nc(Cl)ccc1NS(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000193495192 697669613 /nfs/dbraw/zinc/66/96/13/697669613.db2.gz OIPLCEDWJZKZJE-UHFFFAOYSA-N -1 1 315.738 1.871 20 0 DDADMM Cc1cccc([C@H](O)CNC(=O)c2c[n-]c(=O)c([N+](=O)[O-])c2)c1 ZINC000193731746 697678563 /nfs/dbraw/zinc/67/85/63/697678563.db2.gz SYNFJKWFWKUWMJ-CYBMUJFWSA-N -1 1 317.301 1.467 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773159398 697721578 /nfs/dbraw/zinc/72/15/78/697721578.db2.gz FIQPGKQHQIJSQC-XCBNKYQSSA-N -1 1 322.394 1.225 20 0 DDADMM Cc1ccccc1CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773165357 697722907 /nfs/dbraw/zinc/72/29/07/697722907.db2.gz WKRVIWJNRDMQQU-LBPRGKRZSA-N -1 1 303.391 1.367 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]C(=O)CCCCc1cn[nH]n1 ZINC000195250077 697736445 /nfs/dbraw/zinc/73/64/45/697736445.db2.gz GPGXQMCNCLOQRQ-UHFFFAOYSA-N -1 1 322.390 1.331 20 0 DDADMM Cc1csc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)c1 ZINC000986251993 697753041 /nfs/dbraw/zinc/75/30/41/697753041.db2.gz OOMQRXMSZDPSNZ-UWVGGRQHSA-N -1 1 321.406 1.273 20 0 DDADMM Cc1cccc(OCC(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000774878317 697937369 /nfs/dbraw/zinc/93/73/69/697937369.db2.gz KTTRTDSARYDENN-UHFFFAOYSA-N -1 1 319.279 1.986 20 0 DDADMM CC(C)(C)c1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)n[nH]1 ZINC000774925249 697942969 /nfs/dbraw/zinc/94/29/69/697942969.db2.gz XAYBNUKCAPSJCP-UHFFFAOYSA-N -1 1 321.299 1.933 20 0 DDADMM O=C([N-]CCCOC(=O)[C@@H]1CCc2n[nH]cc2C1)C(F)(F)F ZINC000774985702 697950488 /nfs/dbraw/zinc/95/04/88/697950488.db2.gz OECYQAAWRPMEBP-MRVPVSSYSA-N -1 1 319.283 1.126 20 0 DDADMM CCOC(=O)C(C)(C)C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774989019 697950806 /nfs/dbraw/zinc/95/08/06/697950806.db2.gz OUEKVUHKPAUVDJ-UHFFFAOYSA-N -1 1 313.272 1.188 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H](C)C(C)(C)C ZINC000777357065 698182168 /nfs/dbraw/zinc/18/21/68/698182168.db2.gz QNHZMVAZJUQCMU-SECBINFHSA-N -1 1 306.366 1.494 20 0 DDADMM CS[C@@H](C)CCC(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000777360434 698182284 /nfs/dbraw/zinc/18/22/84/698182284.db2.gz FKFJMHXZMHWWDT-VIFPVBQESA-N -1 1 324.406 1.343 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H](C)C1CCCC1 ZINC000777361145 698182401 /nfs/dbraw/zinc/18/24/01/698182401.db2.gz OOUZPCPLWQNHEM-JTQLQIEISA-N -1 1 318.377 1.638 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc(F)c1 ZINC000987922659 698266936 /nfs/dbraw/zinc/26/69/36/698266936.db2.gz XYMYZRHUGIWNFR-CABZTGNLSA-N -1 1 319.340 1.042 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2cc(C(N)=O)c[nH]2)c1 ZINC000750421735 700220504 /nfs/dbraw/zinc/22/05/04/700220504.db2.gz ONYTXAMZMURYCM-UHFFFAOYSA-N -1 1 316.313 1.421 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N=c1sccn1C ZINC000255041166 698410728 /nfs/dbraw/zinc/41/07/28/698410728.db2.gz OHLXBZSQNZPGCB-UHFFFAOYSA-N -1 1 306.391 1.929 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000263335390 698500475 /nfs/dbraw/zinc/50/04/75/698500475.db2.gz MJCULOWQKGGLCG-GWCFXTLKSA-N -1 1 314.345 1.655 20 0 DDADMM CC(=O)NCCN(Cc1ccc(Cl)cc1F)[C@@H](C)C(=O)[O-] ZINC000263409946 698505740 /nfs/dbraw/zinc/50/57/40/698505740.db2.gz LBKFGNFOQOLNNQ-VIFPVBQESA-N -1 1 316.760 1.890 20 0 DDADMM C[C@@H](NC(=O)NC1CCN(Cc2ccc(F)cc2)CC1)C(=O)[O-] ZINC000780445999 698519131 /nfs/dbraw/zinc/51/91/31/698519131.db2.gz WEZWHBODXLIEQE-LLVKDONJSA-N -1 1 323.368 1.562 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CCC[C@H]1C(=O)[O-])c1ccc(F)cc1 ZINC000780452298 698519657 /nfs/dbraw/zinc/51/96/57/698519657.db2.gz VAUKLXLFIYWXLV-KBPBESRZSA-N -1 1 323.368 1.687 20 0 DDADMM Cc1ccc([C@H](CNC(=O)N[C@H](C)C(=O)[O-])N2CCCC2)o1 ZINC000780513755 698527375 /nfs/dbraw/zinc/52/73/75/698527375.db2.gz VOTBIFNQQMVVJJ-NEPJUHHUSA-N -1 1 309.366 1.497 20 0 DDADMM O=C([N-]N1Cc2ccccc2C1=O)c1cc(-c2ccoc2)[nH]n1 ZINC000782077916 698681789 /nfs/dbraw/zinc/68/17/89/698681789.db2.gz IQTYUHYJRKGYSJ-UHFFFAOYSA-N -1 1 308.297 1.971 20 0 DDADMM CO[C@@H](C)COC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000782321786 698708919 /nfs/dbraw/zinc/70/89/19/698708919.db2.gz FFJYLACOAMXYAE-VIFPVBQESA-N -1 1 307.350 1.562 20 0 DDADMM CN1CCCC[C@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000783899907 698890742 /nfs/dbraw/zinc/89/07/42/698890742.db2.gz AMPYHTQSQLVWAW-QMMMGPOBSA-N -1 1 314.183 1.973 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC[C@@H]2CCCCO2)co1 ZINC000784959788 699049639 /nfs/dbraw/zinc/04/96/39/699049639.db2.gz RQMBRCQHPZWDMO-NSHDSACASA-N -1 1 317.363 1.304 20 0 DDADMM Cn1ncc(C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)n1 ZINC000786333144 699140755 /nfs/dbraw/zinc/14/07/55/699140755.db2.gz XBWHANSYCHNIMR-UHFFFAOYSA-N -1 1 314.345 1.256 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](c3nccn3C)C2)c([O-])c1 ZINC000444664880 699174953 /nfs/dbraw/zinc/17/49/53/699174953.db2.gz OTYOIWJNXOKEIA-GFCCVEGCSA-N -1 1 300.362 1.849 20 0 DDADMM C[C@@H]1CCC[C@H]1CC(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990978882 699197835 /nfs/dbraw/zinc/19/78/35/699197835.db2.gz QKQZYJRCEBMDOP-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(OCc1nc(-c2nc[nH]n2)no1)c1c([O-])cc(F)cc1F ZINC000787671932 699229344 /nfs/dbraw/zinc/22/93/44/699229344.db2.gz DXBBZPXIIKZXCL-UHFFFAOYSA-N -1 1 323.215 1.196 20 0 DDADMM O=S(=O)([N-]CCOCCF)c1ccc(Cl)nc1F ZINC000702576503 699231096 /nfs/dbraw/zinc/23/10/96/699231096.db2.gz KRAILGCYNJZGQR-UHFFFAOYSA-N -1 1 300.714 1.139 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC000788146174 699283966 /nfs/dbraw/zinc/28/39/66/699283966.db2.gz AAQJYTUPTVCZTF-JQWIXIFHSA-N -1 1 303.391 1.620 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H](c1ccccc1)C1CC1 ZINC000726865402 699386090 /nfs/dbraw/zinc/38/60/90/699386090.db2.gz BTMDNBVVSGDHTO-OAHLLOKOSA-N -1 1 313.357 1.194 20 0 DDADMM C[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H]2CCCC[C@@H]12 ZINC000726865524 699386377 /nfs/dbraw/zinc/38/63/77/699386377.db2.gz ITNKMTDCDNNSAW-RDBSUJKOSA-N -1 1 319.405 1.354 20 0 DDADMM CC[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccc(C)cc1 ZINC000727847618 699427621 /nfs/dbraw/zinc/42/76/21/699427621.db2.gz LAKTVUAXSNTXMK-CQSZACIVSA-N -1 1 315.373 1.503 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1cccc2ccccc21 ZINC000727850146 699428225 /nfs/dbraw/zinc/42/82/25/699428225.db2.gz UGHSDYHTOVYFKG-UHFFFAOYSA-N -1 1 323.352 1.396 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cccc1Cl)[C@@H](C)N1CCOCC1 ZINC000729540560 699482034 /nfs/dbraw/zinc/48/20/34/699482034.db2.gz HKESFWYOYIVUNH-GHMZBOCLSA-N -1 1 312.797 1.885 20 0 DDADMM O=C(Cc1sc(N2CCCCC2)nc1[O-])Nc1cnccn1 ZINC000730816472 699516775 /nfs/dbraw/zinc/51/67/75/699516775.db2.gz VPWKTNAHWGHPTA-JTQLQIEISA-N -1 1 319.390 1.289 20 0 DDADMM CCOC(=O)COC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000732500262 699561214 /nfs/dbraw/zinc/56/12/14/699561214.db2.gz LVMJDICHQLYCJQ-UHFFFAOYSA-N -1 1 314.363 1.251 20 0 DDADMM CC[C@H](Cc1ccccc1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732766676 699569100 /nfs/dbraw/zinc/56/91/00/699569100.db2.gz KBLBSKURADATTG-KGLIPLIRSA-N -1 1 315.377 1.369 20 0 DDADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CCCC[C@@H]1C ZINC000733145773 699580844 /nfs/dbraw/zinc/58/08/44/699580844.db2.gz JUKMVIVSXWXTPH-STQMWFEESA-N -1 1 307.394 1.354 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1cc(F)cc(F)c1)C(F)(F)F ZINC000733320923 699588737 /nfs/dbraw/zinc/58/87/37/699588737.db2.gz WDGZHYMZAQLOIM-SECBINFHSA-N -1 1 319.251 1.820 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3cc(F)ccc3F)ccnc1-2 ZINC000791163853 699613864 /nfs/dbraw/zinc/61/38/64/699613864.db2.gz GEHCBIKGODSVPL-UHFFFAOYSA-N -1 1 302.284 1.801 20 0 DDADMM CCO[N-]C(=O)[C@@H]1CCC(=O)N(C)[C@@H]1c1ccc(OC)cc1 ZINC000734422011 699650181 /nfs/dbraw/zinc/65/01/81/699650181.db2.gz NOWTZLNOJPWXTA-UKRRQHHQSA-N -1 1 306.362 1.672 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H](C(=O)Nc1nnn[n-]1)c1ccccc1 ZINC000736153197 699719081 /nfs/dbraw/zinc/71/90/81/699719081.db2.gz NREJPBSXRUHCSO-SNVBAGLBSA-N -1 1 318.337 1.404 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H](C(=O)Nc1nn[n-]n1)c1ccccc1 ZINC000736153197 699719084 /nfs/dbraw/zinc/71/90/84/699719084.db2.gz NREJPBSXRUHCSO-SNVBAGLBSA-N -1 1 318.337 1.404 20 0 DDADMM O=C(c1cc2c(cccc2F)[nH]1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000738249184 699755762 /nfs/dbraw/zinc/75/57/62/699755762.db2.gz SQFNWAPEFZINHC-LBPRGKRZSA-N -1 1 316.296 1.034 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(CC(=O)N2CCC[C@H](C)C2)CC1 ZINC000739103005 699770851 /nfs/dbraw/zinc/77/08/51/699770851.db2.gz JTLUHKAKVCHSRF-STQMWFEESA-N -1 1 311.426 1.613 20 0 DDADMM C[C@H](CN(C)C(=O)C(F)(F)Cc1ccccc1)c1nn[n-]n1 ZINC000793813818 699780390 /nfs/dbraw/zinc/78/03/90/699780390.db2.gz MLFNTBFDZYIBAD-SNVBAGLBSA-N -1 1 309.320 1.640 20 0 DDADMM CCOC(=O)[C@@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CCO1 ZINC000741292943 699828790 /nfs/dbraw/zinc/82/87/90/699828790.db2.gz QDQHAWDEBLQKCA-LBPRGKRZSA-N -1 1 313.737 1.450 20 0 DDADMM Cc1nsc(C)c1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000795948002 699903108 /nfs/dbraw/zinc/90/31/08/699903108.db2.gz JQUQLCTVPFFHPM-UHFFFAOYSA-N -1 1 318.354 1.873 20 0 DDADMM CC(C)=CCSc1ccccc1C(=O)NN1CC(=O)[N-]C1=O ZINC000743291359 699906101 /nfs/dbraw/zinc/90/61/01/699906101.db2.gz RKOAKUYHUMDMKF-UHFFFAOYSA-N -1 1 319.386 1.942 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)c2ccccc2F)[n-]1 ZINC000796368554 699929626 /nfs/dbraw/zinc/92/96/26/699929626.db2.gz DXGCTWFGFFAZIH-UHFFFAOYSA-N -1 1 305.261 1.980 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCc3cnn(C)c3)n2)cc1 ZINC000801368085 700301623 /nfs/dbraw/zinc/30/16/23/700301623.db2.gz TXEIICUPFWSZDS-UHFFFAOYSA-N -1 1 312.329 1.977 20 0 DDADMM CC(C)CC(=O)COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000751652200 700304604 /nfs/dbraw/zinc/30/46/04/700304604.db2.gz NUFBFASNBOXEFZ-UHFFFAOYSA-N -1 1 319.404 1.892 20 0 DDADMM CC[C@@H](OC(=O)c1sccc1[N-]S(C)(=O)=O)C(=O)OC ZINC000801825414 700342744 /nfs/dbraw/zinc/34/27/44/700342744.db2.gz AOSNCPDCLWFZJU-MRVPVSSYSA-N -1 1 321.376 1.228 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H](F)c1ccc(C(F)(F)F)cc1 ZINC000801891099 700350992 /nfs/dbraw/zinc/35/09/92/700350992.db2.gz SQYHVFHJAANSAI-VIFPVBQESA-N -1 1 303.219 1.546 20 0 DDADMM COC(=O)[C@@H](C[N-]C(=O)C(F)(F)F)Cc1ccccc1OC ZINC000752376880 700359409 /nfs/dbraw/zinc/35/94/09/700359409.db2.gz UBNYTCMYZMHRKL-SNVBAGLBSA-N -1 1 319.279 1.705 20 0 DDADMM O=C(c1cc(F)cc(Cl)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000756932885 700649145 /nfs/dbraw/zinc/64/91/45/700649145.db2.gz QHHLYRYAQWHSHH-SNVBAGLBSA-N -1 1 311.704 1.206 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C(=O)[N-]OC2CCCCC2)CN1C1CC1 ZINC000809361754 701661389 /nfs/dbraw/zinc/66/13/89/701661389.db2.gz JQOXPXMBVXIDGI-NEPJUHHUSA-N -1 1 309.410 1.108 20 0 DDADMM CC1(C)OCC([N-]S(=O)(=O)c2cccc(F)c2F)CO1 ZINC000758629004 700716941 /nfs/dbraw/zinc/71/69/41/700716941.db2.gz CCRJJEWTHZEQLM-UHFFFAOYSA-N -1 1 307.318 1.395 20 0 DDADMM CSCC[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000758972483 700731725 /nfs/dbraw/zinc/73/17/25/700731725.db2.gz AXLDLMXMBUHBPY-UHFFFAOYSA-N -1 1 300.199 1.683 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](CCOC)C(C)C)o1 ZINC000761280926 700854383 /nfs/dbraw/zinc/85/43/83/700854383.db2.gz CWBUMCQQUYZGMF-SNVBAGLBSA-N -1 1 319.379 1.406 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCSC2)o1 ZINC000762145550 700882597 /nfs/dbraw/zinc/88/25/97/700882597.db2.gz IKAHFLCVKLTHSW-QMMMGPOBSA-N -1 1 305.377 1.098 20 0 DDADMM CC(C)c1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)no1 ZINC000763005919 700918907 /nfs/dbraw/zinc/91/89/07/700918907.db2.gz AZPCYNRAHKERTP-SECBINFHSA-N -1 1 308.367 1.241 20 0 DDADMM CC[C@@H](NC(=O)[C@@H](N)c1cccc(C(F)(F)F)c1)C(=O)[O-] ZINC000763030878 700920981 /nfs/dbraw/zinc/92/09/81/700920981.db2.gz XLVQXXVTLLBOSR-ZJUUUORDSA-N -1 1 304.268 1.685 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccccc1NCc1ccccc1 ZINC000763433023 700938015 /nfs/dbraw/zinc/93/80/15/700938015.db2.gz IRQJUFJFJFFRHV-UHFFFAOYSA-N -1 1 324.340 1.495 20 0 DDADMM CCN(C(=O)c1n[nH]c(C)c1Br)[C@H](C)C(=O)[O-] ZINC000851696586 703832286 /nfs/dbraw/zinc/83/22/86/703832286.db2.gz UMJGTQFRZACARA-ZCFIWIBFSA-N -1 1 304.144 1.416 20 0 DDADMM CSc1ccc(Cl)c(C(=O)OCc2nn(C)c(=O)[n-]2)c1 ZINC000765399308 701009623 /nfs/dbraw/zinc/00/96/23/701009623.db2.gz UPLNMXXVPIYPIO-UHFFFAOYSA-N -1 1 313.766 1.841 20 0 DDADMM C[C@H](CC(=O)c1cccc(F)c1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765490277 701015582 /nfs/dbraw/zinc/01/55/82/701015582.db2.gz ZCNSZQWEGXVMKD-SECBINFHSA-N -1 1 321.308 1.200 20 0 DDADMM CCc1cc2c(COC(=O)[C@@H](O)COC)cc(=O)oc2cc1[O-] ZINC000766151214 701040294 /nfs/dbraw/zinc/04/02/94/701040294.db2.gz YZNHMPNKNYYHLU-ZDUSSCGKSA-N -1 1 322.313 1.112 20 0 DDADMM O=C(CCCNC(=O)c1ccc(F)cc1)[N-]OCC(F)(F)F ZINC000766770559 701062516 /nfs/dbraw/zinc/06/25/16/701062516.db2.gz YBJSMMSFCQJFFF-UHFFFAOYSA-N -1 1 322.258 1.946 20 0 DDADMM CC(C)(C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)C1CCCCC1 ZINC000766874227 701067390 /nfs/dbraw/zinc/06/73/90/701067390.db2.gz DNTTYCHPGVNKRN-GFCCVEGCSA-N -1 1 307.398 1.706 20 0 DDADMM CCOC(=O)N[C@@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000767297051 701095992 /nfs/dbraw/zinc/09/59/92/701095992.db2.gz NCVXLECLTZORFN-LLVKDONJSA-N -1 1 310.325 1.882 20 0 DDADMM Cn1cnnc1[C@@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000767303443 701096250 /nfs/dbraw/zinc/09/62/50/701096250.db2.gz XXEPIKONVIDIQK-LLVKDONJSA-N -1 1 304.325 1.680 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CC1(Cl)Cl ZINC000809807764 701691182 /nfs/dbraw/zinc/69/11/82/701691182.db2.gz SHUWKSCMZDIOPN-RXMQYKEDSA-N -1 1 300.167 1.037 20 0 DDADMM COC(=O)CC(C)(C)C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000769148516 701234609 /nfs/dbraw/zinc/23/46/09/701234609.db2.gz SGOYMCUYFJKPIG-UHFFFAOYSA-N -1 1 313.272 1.188 20 0 DDADMM Cc1cc(N2CCCC2)ccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000770058396 701266184 /nfs/dbraw/zinc/26/61/84/701266184.db2.gz XYSATLQGBRUNCS-UHFFFAOYSA-N -1 1 317.349 1.184 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCOC[C@H]1CCO ZINC000770132393 701268375 /nfs/dbraw/zinc/26/83/75/701268375.db2.gz DRTFZJCSMJSUPA-CYBMUJFWSA-N -1 1 301.342 1.769 20 0 DDADMM CCCCOc1ccccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000770261243 701273106 /nfs/dbraw/zinc/27/31/06/701273106.db2.gz WRYZZAREPRTNHG-UHFFFAOYSA-N -1 1 306.322 1.454 20 0 DDADMM CC(C)CCc1ccccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000770330636 701274632 /nfs/dbraw/zinc/27/46/32/701274632.db2.gz YCEZLMZNSZIZOM-UHFFFAOYSA-N -1 1 304.350 1.864 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000771081125 701308803 /nfs/dbraw/zinc/30/88/03/701308803.db2.gz JYPLGFDZLHOHAC-CABZTGNLSA-N -1 1 304.298 1.967 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H](O)c2ccccc2)sc1C ZINC000771948961 701337996 /nfs/dbraw/zinc/33/79/96/701337996.db2.gz HCQFWQVOAOJHRD-LBPRGKRZSA-N -1 1 312.416 1.772 20 0 DDADMM CCN1CCO[C@@H](C(=O)OCCc2cc(C(C)=O)ccc2[O-])C1 ZINC000805472389 701383921 /nfs/dbraw/zinc/38/39/21/701383921.db2.gz IFDOWTYSTPAIHE-MRXNPFEDSA-N -1 1 321.373 1.401 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)N1CCC[C@@H]1c1cccs1 ZINC000805603416 701397618 /nfs/dbraw/zinc/39/76/18/701397618.db2.gz MQEPIBMYDXDKPA-MWLCHTKSSA-N -1 1 320.374 1.775 20 0 DDADMM O=C(O[C@H]1CCN(c2ccc(Cl)cc2)C1=O)c1cn[n-]n1 ZINC000805605905 701398397 /nfs/dbraw/zinc/39/83/97/701398397.db2.gz REWZXENPZKKRME-NSHDSACASA-N -1 1 306.709 1.420 20 0 DDADMM C[C@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccc(Cl)cc1 ZINC000805605868 701398491 /nfs/dbraw/zinc/39/84/91/701398491.db2.gz BWRBXSAAFNCHKJ-QMMMGPOBSA-N -1 1 308.725 1.492 20 0 DDADMM CSc1ccc(NC(=O)[C@@H](C)OC(=O)c2cn[n-]n2)cc1 ZINC000805605095 701398539 /nfs/dbraw/zinc/39/85/39/701398539.db2.gz JAEMDJXANBYMTB-MRVPVSSYSA-N -1 1 306.347 1.711 20 0 DDADMM O=C(OCc1nn(-c2ccccc2)c2c1CCC2)c1cn[n-]n1 ZINC000805610324 701400165 /nfs/dbraw/zinc/40/01/65/701400165.db2.gz ZVKXKXNBJKOQFW-UHFFFAOYSA-N -1 1 309.329 1.836 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H](CO)C3CCCCC3)ccnc1-2 ZINC000806482507 701437054 /nfs/dbraw/zinc/43/70/54/701437054.db2.gz QTPGPVQBRMICGE-AWEZNQCLSA-N -1 1 317.393 1.405 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3CCc4c3cccc4O)ccnc1-2 ZINC000806492619 701437989 /nfs/dbraw/zinc/43/79/89/701437989.db2.gz JSUOYLAWYADVFK-ZDUSSCGKSA-N -1 1 323.356 1.856 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3Cc4ccccc4CO3)ccnc1-2 ZINC000806493204 701438109 /nfs/dbraw/zinc/43/81/09/701438109.db2.gz VCXBBLXILVJFKP-UHFFFAOYSA-N -1 1 309.329 1.821 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCCC(C)(C)C)ccnc1-2 ZINC000806910154 701453875 /nfs/dbraw/zinc/45/38/75/701453875.db2.gz KHIHXQLKLGUYJX-UHFFFAOYSA-N -1 1 317.393 1.223 20 0 DDADMM CC[C@@H](C)C[C@@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806922993 701455175 /nfs/dbraw/zinc/45/51/75/701455175.db2.gz YBXRFHIJIFHTQX-GHMZBOCLSA-N -1 1 317.393 1.221 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCCc3nccs3)ccnc1-2 ZINC000810225201 701744296 /nfs/dbraw/zinc/74/42/96/701744296.db2.gz XLLNMHHLKOAAOQ-UHFFFAOYSA-N -1 1 316.390 1.553 20 0 DDADMM CC[C@H]1CCC[C@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952116 706609589 /nfs/dbraw/zinc/60/95/89/706609589.db2.gz BTANMLLPKHBCDB-WDEREUQCSA-N -1 1 305.378 1.697 20 0 DDADMM C[C@H]1CCCC[C@@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830953779 706610036 /nfs/dbraw/zinc/61/00/36/706610036.db2.gz ZMYYLLBPGIAEFQ-QWRGUYRKSA-N -1 1 305.378 1.697 20 0 DDADMM CCOC1CC2(C[C@H]2[N-]S(=O)(=O)c2cc(C)ns2)C1 ZINC000872657455 707538054 /nfs/dbraw/zinc/53/80/54/707538054.db2.gz DAVPAKKZWFZISX-SQLBVSGCSA-N -1 1 302.421 1.687 20 0 DDADMM CC(C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)=C1CCCC1 ZINC000830959915 706611621 /nfs/dbraw/zinc/61/16/21/706611621.db2.gz VIZNPJMADJNBRU-UHFFFAOYSA-N -1 1 303.362 1.762 20 0 DDADMM CCC[C@@H](C)S(=O)(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000867902348 701818094 /nfs/dbraw/zinc/81/80/94/701818094.db2.gz HWMOXEKZLVSNKY-MRVPVSSYSA-N -1 1 316.345 1.115 20 0 DDADMM COc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1Cl ZINC000815519969 701886411 /nfs/dbraw/zinc/88/64/11/701886411.db2.gz MVWYZXWPKPXSRM-LLVKDONJSA-N -1 1 323.740 1.075 20 0 DDADMM CCOC1CC2(C[C@@H]2C(=O)N2CCC(c3n[nH]c(=O)o3)CC2)C1 ZINC000868064171 701921485 /nfs/dbraw/zinc/92/14/85/701921485.db2.gz PRWRHTZNPQBDMG-BWBHSNQOSA-N -1 1 321.377 1.686 20 0 DDADMM CCOC1CC2(C[C@@H]2C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000868064171 701921487 /nfs/dbraw/zinc/92/14/87/701921487.db2.gz PRWRHTZNPQBDMG-BWBHSNQOSA-N -1 1 321.377 1.686 20 0 DDADMM Cn1nncc1COC(=O)c1ccc(Br)c([O-])c1 ZINC000811158240 701925801 /nfs/dbraw/zinc/92/58/01/701925801.db2.gz IEZFPTSPDNPAST-UHFFFAOYSA-N -1 1 312.123 1.640 20 0 DDADMM Cc1nc([C@H](C)OC(=O)c2ccccc2[N-]S(C)(=O)=O)n[nH]1 ZINC000840111088 701973822 /nfs/dbraw/zinc/97/38/22/701973822.db2.gz MQSPHBXQHWQKNT-QMMMGPOBSA-N -1 1 324.362 1.403 20 0 DDADMM CC1(C)C[C@H]1CNC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868244163 702017730 /nfs/dbraw/zinc/01/77/30/702017730.db2.gz SYVWJEBUMDWDSI-ZANVPECISA-N -1 1 321.343 1.885 20 0 DDADMM CC1(C)CO[C@H](CCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1 ZINC000879263143 706634002 /nfs/dbraw/zinc/63/40/02/706634002.db2.gz MECLGHDXAPOLTL-NWDGAFQWSA-N -1 1 307.398 1.501 20 0 DDADMM O=C(/C=C\c1ccc[nH]1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831129394 706640424 /nfs/dbraw/zinc/64/04/24/706640424.db2.gz DPHAAMCVCLXHGQ-ARJAWSKDSA-N -1 1 301.268 1.165 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCCC23OCCO3)n1 ZINC000816537260 702109129 /nfs/dbraw/zinc/10/91/29/702109129.db2.gz YOTJPCUEKDYFTN-SECBINFHSA-N -1 1 309.322 1.068 20 0 DDADMM C[C@@H](O)c1cn(C2CN(Cc3cccc([O-])c3Cl)C2)nn1 ZINC000816565215 702114209 /nfs/dbraw/zinc/11/42/09/702114209.db2.gz GOSKBMHWPBVGKX-SECBINFHSA-N -1 1 308.769 1.747 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@]23C[C@H]2CCCC3)c1 ZINC000868461359 702141884 /nfs/dbraw/zinc/14/18/84/702141884.db2.gz CHVWOPFNCSWKMZ-MEBBXXQBSA-N -1 1 324.402 1.819 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000812405095 702176999 /nfs/dbraw/zinc/17/69/99/702176999.db2.gz QDKUZFLIHDADAW-JOAKQRRISA-N -1 1 309.753 1.230 20 0 DDADMM CC[C@H](C)CO[N-]C(=O)[C@@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000816978386 702253886 /nfs/dbraw/zinc/25/38/86/702253886.db2.gz SAGWFHDPDZYXOK-GXTWGEPZSA-N -1 1 324.425 1.577 20 0 DDADMM CCOCn1nc(C)c(CC(=O)[N-]O[C@H](CO)C(C)C)c1C ZINC000868726399 702288706 /nfs/dbraw/zinc/28/87/06/702288706.db2.gz IKTFRLSWMZFPAI-CQSZACIVSA-N -1 1 313.398 1.101 20 0 DDADMM C[C@@H](O)CN1c2ccccc2C[C@H]1C[N-]C(=O)C(F)(F)F ZINC000817295313 702333418 /nfs/dbraw/zinc/33/34/18/702333418.db2.gz YGUNRNVQQUEKFH-KOLCDFICSA-N -1 1 302.296 1.477 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)/C=C/c3cccs3)nc2n1 ZINC000813377622 702341205 /nfs/dbraw/zinc/34/12/05/702341205.db2.gz IHCUYWAUUGXEFV-SNAWJCMRSA-N -1 1 301.331 1.439 20 0 DDADMM COc1ccc(N2C[C@@H](C(=O)[N-]OCCC3CC3)CC2=O)cc1 ZINC000817408168 702371977 /nfs/dbraw/zinc/37/19/77/702371977.db2.gz MYWURAMMMPPTDT-ZDUSSCGKSA-N -1 1 318.373 1.896 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc3c([nH]c2=O)CCC3)n1 ZINC000841222646 702393380 /nfs/dbraw/zinc/39/33/80/702393380.db2.gz YQNXANKYQLXRKT-UHFFFAOYSA-N -1 1 316.317 1.428 20 0 DDADMM CC(C)Cn1c([O-])c(C(=O)Nc2cccc(F)c2)c(=O)[nH]c1=O ZINC000817571178 702414547 /nfs/dbraw/zinc/41/45/47/702414547.db2.gz OFRUCENINNQLQL-NSHDSACASA-N -1 1 321.308 1.115 20 0 DDADMM CC(C)c1nccc(N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000866295058 706667846 /nfs/dbraw/zinc/66/78/46/706667846.db2.gz ZGHBYCULIOXJOX-UHFFFAOYSA-N -1 1 302.300 1.715 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)c1ccc(Cl)cc1F ZINC000841549308 702493624 /nfs/dbraw/zinc/49/36/24/702493624.db2.gz TZOKKRSLEYLTDB-QMMMGPOBSA-N -1 1 313.709 1.269 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C=C1CCCC1 ZINC000869363417 702582917 /nfs/dbraw/zinc/58/29/17/702582917.db2.gz UTIMKANCEDXSIS-UHFFFAOYSA-N -1 1 307.268 1.668 20 0 DDADMM CC(C)(C)CCC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869407633 702596839 /nfs/dbraw/zinc/59/68/39/702596839.db2.gz BAPRPEKJYDHPPN-UHFFFAOYSA-N -1 1 311.300 1.994 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000869467293 702616722 /nfs/dbraw/zinc/61/67/22/702616722.db2.gz LRYNSBMJGNGIEU-MYJAWHEDSA-N -1 1 307.268 1.213 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C12CCC(CC1)C2 ZINC000869472534 702617666 /nfs/dbraw/zinc/61/76/66/702617666.db2.gz KYKSZPGIZHJJRL-UHFFFAOYSA-N -1 1 321.295 1.748 20 0 DDADMM CN(CCC(=O)[O-])C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC000818429228 702647293 /nfs/dbraw/zinc/64/72/93/702647293.db2.gz XMIMCEZMDCOZFP-UHFFFAOYSA-N -1 1 320.393 1.162 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H](C)c1ccccc1 ZINC000866408510 706691661 /nfs/dbraw/zinc/69/16/61/706691661.db2.gz ZTFLDCXCTUFPLP-BBATYDOGSA-N -1 1 304.437 1.742 20 0 DDADMM Cc1cc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)c(C)o1 ZINC000843019188 702804598 /nfs/dbraw/zinc/80/45/98/702804598.db2.gz KTJJDEIFSXAQSA-UHFFFAOYSA-N -1 1 314.407 1.440 20 0 DDADMM CCCCCNC(=O)Cc1noc(-c2ccc(N)cc2[O-])n1 ZINC000843217248 702843737 /nfs/dbraw/zinc/84/37/37/702843737.db2.gz LENFUZAHDTYBNA-UHFFFAOYSA-N -1 1 304.350 1.873 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)Cc1ccc(Cl)cc1 ZINC000845947961 703219001 /nfs/dbraw/zinc/21/90/01/703219001.db2.gz VROOBSBZJMMYBL-NSHDSACASA-N -1 1 317.794 1.711 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000845977350 703222381 /nfs/dbraw/zinc/22/23/81/703222381.db2.gz VQHOCPXBAHKLOH-SNVBAGLBSA-N -1 1 315.322 1.612 20 0 DDADMM CC(C)CCc1noc(CN2C[C@H]3COCC[C@]3(C(=O)[O-])C2)n1 ZINC000846282178 703260970 /nfs/dbraw/zinc/26/09/70/703260970.db2.gz NJGUYPFIJOVNTQ-LRDDRELGSA-N -1 1 323.393 1.581 20 0 DDADMM C[C@@H](CO)C1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000846469432 703280160 /nfs/dbraw/zinc/28/01/60/703280160.db2.gz ACOHEKPCFJHVDE-JTQLQIEISA-N -1 1 320.418 1.499 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@]2(CC2(F)F)C1 ZINC000846625056 703305069 /nfs/dbraw/zinc/30/50/69/703305069.db2.gz SALIUWUHYXBPKS-LBPRGKRZSA-N -1 1 310.329 1.889 20 0 DDADMM CCc1nc(C)c(C[N-]S(=O)(=O)c2c[nH]nc2Cl)o1 ZINC000831617678 706740138 /nfs/dbraw/zinc/74/01/38/706740138.db2.gz HEMJGEOGOVLFJC-UHFFFAOYSA-N -1 1 304.759 1.400 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1C[C@@H]1c1ccccc1 ZINC000866596018 706740374 /nfs/dbraw/zinc/74/03/74/706740374.db2.gz AXNHWABGKHNXHG-CHWSQXEVSA-N -1 1 314.432 1.639 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1C[C@H]1c1ccccc1 ZINC000866596477 706740424 /nfs/dbraw/zinc/74/04/24/706740424.db2.gz MONZZOFEIROAGL-STQMWFEESA-N -1 1 316.448 1.885 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C[C@H]1CCOC1 ZINC000848339947 703540805 /nfs/dbraw/zinc/54/08/05/703540805.db2.gz JGMICEBKLFHCRA-GMTAPVOTSA-N -1 1 322.327 1.471 20 0 DDADMM CCCS(=O)(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848506376 703558960 /nfs/dbraw/zinc/55/89/60/703558960.db2.gz BUOCAIGYLLDJOG-RKDXNWHRSA-N -1 1 316.345 1.258 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)N1CCCC1 ZINC000848594502 703567457 /nfs/dbraw/zinc/56/74/57/703567457.db2.gz CLOWMULWKVCGLQ-NXEZZACHSA-N -1 1 307.316 1.734 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]CC1(C)OCCO1)C1CCCCC1 ZINC000849382420 703641742 /nfs/dbraw/zinc/64/17/42/703641742.db2.gz IPOVTOTWHCJVCO-CYBMUJFWSA-N -1 1 321.439 1.264 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCC1)c1ccc(Br)o1 ZINC000849421390 703646365 /nfs/dbraw/zinc/64/63/65/703646365.db2.gz NLIIZWTZVDEHLQ-QMMMGPOBSA-N -1 1 324.196 1.481 20 0 DDADMM O=C([O-])[C@@H]1CSCC[N@@H+]1C[C@@H](O)c1ccc(F)cc1F ZINC000851706647 703833737 /nfs/dbraw/zinc/83/37/37/703833737.db2.gz WIWHQLZMKNLYRZ-NWDGAFQWSA-N -1 1 303.330 1.500 20 0 DDADMM CCOCCC1(C[N-]S(=O)(=O)N=S2(=O)CCCC2)CC1 ZINC000866998550 706868262 /nfs/dbraw/zinc/86/82/62/706868262.db2.gz NJIUKVGVHKNUFD-UHFFFAOYSA-N -1 1 324.468 1.289 20 0 DDADMM CCC[C@@H](C(=O)OCC)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763690 706784478 /nfs/dbraw/zinc/78/44/78/706784478.db2.gz GZKPIRYZSVPPMS-GWCFXTLKSA-N -1 1 324.343 1.861 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879761972 706783290 /nfs/dbraw/zinc/78/32/90/706783290.db2.gz ATGADOWEQAJEKI-OPQQBVKSSA-N -1 1 323.359 1.433 20 0 DDADMM CCN(C[C@@H](O)c1ccc(C)cc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000852726714 704107367 /nfs/dbraw/zinc/10/73/67/704107367.db2.gz XVBSOLRZPRZMSD-HUUCEWRRSA-N -1 1 320.389 1.036 20 0 DDADMM C[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H](O)C(F)(F)F ZINC000819566343 704142768 /nfs/dbraw/zinc/14/27/68/704142768.db2.gz BEKZRVNETRCKND-YLWLKBPMSA-N -1 1 320.296 1.060 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C[C@H]2CC2(C)C)c1C(F)(F)F ZINC000866779425 706798025 /nfs/dbraw/zinc/79/80/25/706798025.db2.gz NGDYBAKNSVZRDZ-SSDOTTSWSA-N -1 1 311.329 1.763 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCOc2ccccc21 ZINC000820791510 704331470 /nfs/dbraw/zinc/33/14/70/704331470.db2.gz VFHXNDBFYBZBEM-SNVBAGLBSA-N -1 1 318.420 1.115 20 0 DDADMM Cc1n[nH]c(C(F)F)c1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000820802433 704332916 /nfs/dbraw/zinc/33/29/16/704332916.db2.gz VKDJVVOHFVOVGL-UHFFFAOYSA-N -1 1 302.328 1.040 20 0 DDADMM O=C(C1CC2(CC2(F)F)C1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342097 704382052 /nfs/dbraw/zinc/38/20/52/704382052.db2.gz HEZOOMVDINSMDN-UHFFFAOYSA-N -1 1 313.304 1.917 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cc(C)n(C)n2)c1 ZINC000821351416 704395222 /nfs/dbraw/zinc/39/52/22/704395222.db2.gz BZZJNUFQIYCDRZ-JOCHJYFZSA-N -1 1 322.386 1.588 20 0 DDADMM CCSCc1ccnc(NC(=O)CCCc2nn[n-]n2)c1 ZINC000821406071 704405052 /nfs/dbraw/zinc/40/50/52/704405052.db2.gz UZJWZUIVHNEIEA-UHFFFAOYSA-N -1 1 306.395 1.809 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)c1cc(=O)[nH]cc1Br ZINC000821740583 704437324 /nfs/dbraw/zinc/43/73/24/704437324.db2.gz DRHBRXSEGCHAPI-SECBINFHSA-N -1 1 319.155 1.228 20 0 DDADMM O=C(NCC1SCCS1)c1ccc2n[n-]c(=S)n2c1 ZINC000856161275 704516935 /nfs/dbraw/zinc/51/69/35/704516935.db2.gz DZHRPWRNXLCFKI-UHFFFAOYSA-N -1 1 312.445 1.554 20 0 DDADMM Cc1cc(C(=O)NOCC2CCC2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000856349407 704523939 /nfs/dbraw/zinc/52/39/39/704523939.db2.gz NEDGNLMZKNBBIY-UHFFFAOYSA-N -1 1 304.306 1.206 20 0 DDADMM CCC1CCN(S(=O)(=O)NN=c2ncc(Cl)c[n-]2)CC1 ZINC000857224393 704565577 /nfs/dbraw/zinc/56/55/77/704565577.db2.gz MZRAYHOJDNCWNF-UHFFFAOYSA-N -1 1 319.818 1.413 20 0 DDADMM Cc1cc(F)cc2c(=O)c(C(=O)[N-]O[C@@H](CO)C(C)C)c[nH]c12 ZINC000857285384 704574314 /nfs/dbraw/zinc/57/43/14/704574314.db2.gz ASSIMPFUZOBHPQ-ZDUSSCGKSA-N -1 1 322.336 1.654 20 0 DDADMM CC(C)[C@H](O)C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866856215 706827046 /nfs/dbraw/zinc/82/70/46/706827046.db2.gz WLCSAQKEKACTSO-MRVPVSSYSA-N -1 1 313.206 1.684 20 0 DDADMM Nc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)ccc1F ZINC000832054826 706833762 /nfs/dbraw/zinc/83/37/62/706833762.db2.gz SIYUAMTZCKAFJJ-UHFFFAOYSA-N -1 1 306.297 1.516 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C(C)(C)CC(F)(F)F ZINC000867153824 706910875 /nfs/dbraw/zinc/91/08/75/706910875.db2.gz HTTPEPRJILSXRW-KRWDZBQOSA-N -1 1 310.363 1.670 20 0 DDADMM CCN(CC)C(=O)N1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858346080 704697873 /nfs/dbraw/zinc/69/78/73/704697873.db2.gz JSDIZCHQUXUQCR-UHFFFAOYSA-N -1 1 313.789 1.419 20 0 DDADMM CCN(CC)C(=O)C1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858373267 704701796 /nfs/dbraw/zinc/70/17/96/704701796.db2.gz ALSYZSGMLQACKB-UHFFFAOYSA-N -1 1 312.801 1.920 20 0 DDADMM O=c1nc(N2CCC[C@@H]([C@@H](O)C(F)(F)F)C2)cc(Cl)[n-]1 ZINC000858517829 704721318 /nfs/dbraw/zinc/72/13/18/704721318.db2.gz WVFMTRAXSRRREV-HZGVNTEJSA-N -1 1 311.691 1.975 20 0 DDADMM CS(=O)(=O)C1(CNc2cc(Cl)[n-]c(=O)n2)CCCC1 ZINC000858543594 704725044 /nfs/dbraw/zinc/72/50/44/704725044.db2.gz MMJLOVKUNNKSEX-UHFFFAOYSA-N -1 1 305.787 1.605 20 0 DDADMM COc1cc(NCCCNc2cc(Cl)[n-]c(=O)n2)ncn1 ZINC000858572810 704728844 /nfs/dbraw/zinc/72/88/44/704728844.db2.gz XRVKYSXRPAQEAC-UHFFFAOYSA-N -1 1 310.745 1.548 20 0 DDADMM CC1(C)[C@H](c2ccccc2F)CCN1CC(=O)NCC(=O)[O-] ZINC000873646364 704815980 /nfs/dbraw/zinc/81/59/80/704815980.db2.gz IQFDQXNSCREQCV-LBPRGKRZSA-N -1 1 308.353 1.594 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-][C@H]1CCCC12OCCO2)C1CC1 ZINC000859227092 704827932 /nfs/dbraw/zinc/82/79/32/704827932.db2.gz YBIYYJVLYDIWKK-STQMWFEESA-N -1 1 319.423 1.017 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2ccccc2SC)n1 ZINC000859252258 704836015 /nfs/dbraw/zinc/83/60/15/704836015.db2.gz ODEXBSPOGNUVCM-UHFFFAOYSA-N -1 1 312.420 1.498 20 0 DDADMM Cc1cccc([C@@H]2C[C@H]2C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000859277753 704846482 /nfs/dbraw/zinc/84/64/82/704846482.db2.gz AFZBTWLQXMPEKK-BFHYXJOUSA-N -1 1 313.361 1.212 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H]1CCC[C@H]1C1CC1 ZINC000867400919 706988660 /nfs/dbraw/zinc/98/86/60/706988660.db2.gz GJEFAHDBUZOGFN-STQMWFEESA-N -1 1 320.480 1.909 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C(C)(C)COC(C)C ZINC000867406673 706990754 /nfs/dbraw/zinc/99/07/54/706990754.db2.gz KILZGCYYLUNAEK-UHFFFAOYSA-N -1 1 314.473 1.532 20 0 DDADMM O=C(NC[C@@H](c1ccco1)N1CCCC1)N[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000874382414 705029109 /nfs/dbraw/zinc/02/91/09/705029109.db2.gz IJLMIAKIEKTWIB-XQQFMLRXSA-N -1 1 321.377 1.579 20 0 DDADMM CN(Cc1ccccc1)C1CN(C(=O)N[C@H]2C[C@H](C(=O)[O-])C2)C1 ZINC000874426896 705059420 /nfs/dbraw/zinc/05/94/20/705059420.db2.gz CNPFIYYNDUNJOZ-HDJSIYSDSA-N -1 1 317.389 1.375 20 0 DDADMM CN(CC1=CCCOC1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000823057523 705126677 /nfs/dbraw/zinc/12/66/77/705126677.db2.gz FOUXLILGSANMJV-UHFFFAOYSA-N -1 1 301.350 1.152 20 0 DDADMM Cc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c2c1CCC2 ZINC000823190258 705167206 /nfs/dbraw/zinc/16/72/06/705167206.db2.gz FGEJOOVCBGKDHI-CQSZACIVSA-N -1 1 313.361 1.211 20 0 DDADMM Cc1cc(C)c(C(=O)N(CCN(C)C)CC(=O)[O-])cc1C=O ZINC000874963461 705238379 /nfs/dbraw/zinc/23/83/79/705238379.db2.gz XKCQUHFAPXUEPM-UHFFFAOYSA-N -1 1 306.362 1.204 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000823638042 705285827 /nfs/dbraw/zinc/28/58/27/705285827.db2.gz ROAZFBIUFDFUCU-AWEZNQCLSA-N -1 1 318.417 1.877 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@@H]1CCO[C@@H]1C ZINC000871724508 707178028 /nfs/dbraw/zinc/17/80/28/707178028.db2.gz WRZFSDNNDPSFMX-ZJUUUORDSA-N -1 1 300.380 1.112 20 0 DDADMM CO[C@@H]1COC[C@H]1[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000824030759 705359836 /nfs/dbraw/zinc/35/98/36/705359836.db2.gz MPNGKQJHIVTOSG-GHMZBOCLSA-N -1 1 307.243 1.587 20 0 DDADMM O=C(CN1CCCCC(=O)C1=O)NCc1ccc([O-])c(Cl)c1 ZINC000834833993 707133882 /nfs/dbraw/zinc/13/38/82/707133882.db2.gz SESNOYFEMAKGND-UHFFFAOYSA-N -1 1 324.764 1.243 20 0 DDADMM CCO[C@@H](C(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CCCC1 ZINC000875634013 705457471 /nfs/dbraw/zinc/45/74/71/705457471.db2.gz XAGMLRZYQUYBET-CQSZACIVSA-N -1 1 302.378 1.876 20 0 DDADMM C[C@H](CC(=O)NCCN(C)Cc1ccc(F)cc1)NC(=O)[O-] ZINC000824645769 705498877 /nfs/dbraw/zinc/49/88/77/705498877.db2.gz BYNWUXZBIJYLDN-LLVKDONJSA-N -1 1 311.357 1.420 20 0 DDADMM CC1([C@H]2CCCN(c3cccc(-c4nnn[n-]4)n3)C2)OCCO1 ZINC000824817709 705535252 /nfs/dbraw/zinc/53/52/52/705535252.db2.gz QMVVYSHACAWCAH-NSHDSACASA-N -1 1 316.365 1.241 20 0 DDADMM CC1([C@H]2CCCN(c3cccc(-c4nn[n-]n4)n3)C2)OCCO1 ZINC000824817709 705535255 /nfs/dbraw/zinc/53/52/55/705535255.db2.gz QMVVYSHACAWCAH-NSHDSACASA-N -1 1 316.365 1.241 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)[C@H]2CCCC[C@H]12 ZINC000824978107 705571097 /nfs/dbraw/zinc/57/10/97/705571097.db2.gz UEYWGOHURDOQRL-QKCSRTOESA-N -1 1 312.377 1.912 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)[C@H]2CCCC[C@H]12 ZINC000824978107 705571100 /nfs/dbraw/zinc/57/11/00/705571100.db2.gz UEYWGOHURDOQRL-QKCSRTOESA-N -1 1 312.377 1.912 20 0 DDADMM O=C(NC[C@H]1COCO1)c1cc(Br)ccc1[O-] ZINC000861964673 705615188 /nfs/dbraw/zinc/61/51/88/705615188.db2.gz JNBKWGNOUNAARC-QMMMGPOBSA-N -1 1 302.124 1.257 20 0 DDADMM O=C(C[C@@H]1C[C@H]1Cc1ccccc1)NCc1nc([O-])cc(=O)[nH]1 ZINC000825284188 705639229 /nfs/dbraw/zinc/63/92/29/705639229.db2.gz WPSYEUZNRXYHAP-OLZOCXBDSA-N -1 1 313.357 1.773 20 0 DDADMM CCCN(CC(C)C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876300293 705683014 /nfs/dbraw/zinc/68/30/14/705683014.db2.gz PRWJXPHJFCEBNO-UHFFFAOYSA-N -1 1 322.409 1.833 20 0 DDADMM C[C@@H]1CCCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000876300266 705683123 /nfs/dbraw/zinc/68/31/23/705683123.db2.gz OTZVQWWTFTVVSU-LLVKDONJSA-N -1 1 320.393 1.587 20 0 DDADMM CCc1cccc(OCCn2cccc(-c3nn[n-]n3)c2=O)c1 ZINC000825722749 705722229 /nfs/dbraw/zinc/72/22/29/705722229.db2.gz LSYPUCOTBZQWGU-UHFFFAOYSA-N -1 1 311.345 1.670 20 0 DDADMM COC[C@H]1CCCN1NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000862597197 705745685 /nfs/dbraw/zinc/74/56/85/705745685.db2.gz NQKGDWHBDQGQRT-LLVKDONJSA-N -1 1 308.382 1.370 20 0 DDADMM Cc1onc(CC(=O)NC[C@@H]2Cc3ccccc32)c1-c1nnn[n-]1 ZINC000826346991 705794775 /nfs/dbraw/zinc/79/47/75/705794775.db2.gz AILBNRYVVSDUDK-NSHDSACASA-N -1 1 324.344 1.162 20 0 DDADMM Cc1onc(CC(=O)NC[C@@H]2Cc3ccccc32)c1-c1nn[n-]n1 ZINC000826346991 705794776 /nfs/dbraw/zinc/79/47/76/705794776.db2.gz AILBNRYVVSDUDK-NSHDSACASA-N -1 1 324.344 1.162 20 0 DDADMM Cc1onc(CC(=O)Nc2ccccc2Cl)c1-c1nnn[n-]1 ZINC000826348839 705795311 /nfs/dbraw/zinc/79/53/11/705795311.db2.gz HTXQVPYUAAIOSV-UHFFFAOYSA-N -1 1 318.724 1.998 20 0 DDADMM Cc1onc(CC(=O)Nc2ccccc2Cl)c1-c1nn[n-]n1 ZINC000826348839 705795312 /nfs/dbraw/zinc/79/53/12/705795312.db2.gz HTXQVPYUAAIOSV-UHFFFAOYSA-N -1 1 318.724 1.998 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc(F)c2)c1-c1nnn[n-]1 ZINC000826349128 705795345 /nfs/dbraw/zinc/79/53/45/705795345.db2.gz VEMODZOUSPKDSL-UHFFFAOYSA-N -1 1 302.269 1.483 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc(F)c2)c1-c1nn[n-]n1 ZINC000826349128 705795347 /nfs/dbraw/zinc/79/53/47/705795347.db2.gz VEMODZOUSPKDSL-UHFFFAOYSA-N -1 1 302.269 1.483 20 0 DDADMM O[C@H](CCc1ccccc1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826502484 705804936 /nfs/dbraw/zinc/80/49/36/705804936.db2.gz DTNVCIYOZUXJLS-GFCCVEGCSA-N -1 1 311.349 1.062 20 0 DDADMM O[C@H](CCc1ccccc1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826502484 705804939 /nfs/dbraw/zinc/80/49/39/705804939.db2.gz DTNVCIYOZUXJLS-GFCCVEGCSA-N -1 1 311.349 1.062 20 0 DDADMM O[C@@]1(CNc2ccc(-c3nnn[n-]3)nn2)CCCc2ccccc21 ZINC000826506164 705805160 /nfs/dbraw/zinc/80/51/60/705805160.db2.gz NZHHNMXVJASLKP-MRXNPFEDSA-N -1 1 323.360 1.293 20 0 DDADMM O[C@@]1(CNc2ccc(-c3nn[n-]n3)nn2)CCCc2ccccc21 ZINC000826506164 705805163 /nfs/dbraw/zinc/80/51/63/705805163.db2.gz NZHHNMXVJASLKP-MRXNPFEDSA-N -1 1 323.360 1.293 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)c1nn[n-]n1 ZINC000826928103 705892986 /nfs/dbraw/zinc/89/29/86/705892986.db2.gz LCPSNOVBWFSOMK-IWTNGPMKSA-N -1 1 307.423 1.402 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H]2CSC[C@H]2C1 ZINC000827122172 705934377 /nfs/dbraw/zinc/93/43/77/705934377.db2.gz UQQLLKSAOSBDHD-GHMZBOCLSA-N -1 1 320.443 1.843 20 0 DDADMM CC[C@@H]1CCC[C@H]1C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000835294594 707215765 /nfs/dbraw/zinc/21/57/65/707215765.db2.gz YLYGRWRTOXNWCF-DGCLKSJQSA-N -1 1 318.377 1.638 20 0 DDADMM CCCCSCC(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000864158521 706084295 /nfs/dbraw/zinc/08/42/95/706084295.db2.gz BJLHKIHUKZHWLE-UHFFFAOYSA-N -1 1 302.440 1.527 20 0 DDADMM O=C([C@@H]1CCOC1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000827948937 706092279 /nfs/dbraw/zinc/09/22/79/706092279.db2.gz DTZBFNNPPDUEBI-CYBMUJFWSA-N -1 1 324.808 1.726 20 0 DDADMM O=C([C@H]1CCOC1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000827948938 706092464 /nfs/dbraw/zinc/09/24/64/706092464.db2.gz DTZBFNNPPDUEBI-ZDUSSCGKSA-N -1 1 324.808 1.726 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)CO1 ZINC000828288256 706154491 /nfs/dbraw/zinc/15/44/91/706154491.db2.gz DXWUFFTYRMFWMW-RQJHMYQMSA-N -1 1 311.190 1.844 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Cl)nc2Cl)CO1 ZINC000828301396 706157019 /nfs/dbraw/zinc/15/70/19/706157019.db2.gz JGTGZSDRMWMWLL-BQBZGAKWSA-N -1 1 311.190 1.844 20 0 DDADMM C[S@@](=N)(=O)N1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000877788441 706213149 /nfs/dbraw/zinc/21/31/49/706213149.db2.gz FNLCYUYCBVFSSL-IBGZPJMESA-N -1 1 303.815 1.755 20 0 DDADMM CC(=O)[C@@H](OC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C(C)C ZINC000828826971 706238798 /nfs/dbraw/zinc/23/87/98/706238798.db2.gz YANWRKJOBWHIRK-INIZCTEOSA-N -1 1 319.361 1.751 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@@]1(C(=O)[O-])CCc2ccccc21 ZINC000908973225 712906261 /nfs/dbraw/zinc/90/62/61/712906261.db2.gz BXWLXMMDUAJVJL-YOEHRIQHSA-N -1 1 302.374 1.513 20 0 DDADMM CC[C@H](C)NC(=S)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000865275036 706394072 /nfs/dbraw/zinc/39/40/72/706394072.db2.gz HLUFKYIXTJOTLK-KWQFWETISA-N -1 1 311.373 1.802 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@H]1c1ccco1 ZINC000872497243 707447044 /nfs/dbraw/zinc/44/70/44/707447044.db2.gz HGYMNNXKXFWNQM-HQVAUKCESA-N -1 1 320.436 1.866 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cnn2c1OCC(C)(C)C2)C(F)F ZINC000919814016 713636441 /nfs/dbraw/zinc/63/64/41/713636441.db2.gz PMTZPYZHYUKPJN-SSDOTTSWSA-N -1 1 309.338 1.234 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CC(C)(C)CO2)o1 ZINC000872549058 707473347 /nfs/dbraw/zinc/47/33/47/707473347.db2.gz KLWIQUWTSWICLJ-VIFPVBQESA-N -1 1 317.363 1.160 20 0 DDADMM COCC[C@@H]([N-]S(=O)(=O)c1cccnc1F)c1ccco1 ZINC000882054682 707480169 /nfs/dbraw/zinc/48/01/69/707480169.db2.gz YZEOWTJVYGFCRI-SNVBAGLBSA-N -1 1 314.338 1.870 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)C1CCCCC1)c1c[nH]nc1Cl ZINC000830632498 706549479 /nfs/dbraw/zinc/54/94/79/706549479.db2.gz AHBDECMKZFNKJG-JTQLQIEISA-N -1 1 321.830 1.673 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)c1nc2ccccc2s1 ZINC000865888260 706553444 /nfs/dbraw/zinc/55/34/44/706553444.db2.gz JUMVOWGFAWDOJN-MRVPVSSYSA-N -1 1 302.363 1.619 20 0 DDADMM COCC(C)(C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816908 706585725 /nfs/dbraw/zinc/58/57/25/706585725.db2.gz VMXNFFUZLAGBLW-GFCCVEGCSA-N -1 1 310.316 1.329 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2(C)CCOCC2)C1 ZINC000830826920 706587954 /nfs/dbraw/zinc/58/79/54/706587954.db2.gz HQAXROUHCRNSIT-CYBMUJFWSA-N -1 1 322.327 1.473 20 0 DDADMM CCCSCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827669 706588427 /nfs/dbraw/zinc/58/84/27/706588427.db2.gz NFNVHUDYCSNWBD-NSHDSACASA-N -1 1 312.357 1.799 20 0 DDADMM CC(=O)CCCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830831980 706588691 /nfs/dbraw/zinc/58/86/91/706588691.db2.gz AGPARVBCMRRVMR-GFCCVEGCSA-N -1 1 308.300 1.415 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(Cl)cnc1Cl)C(C)(C)O ZINC000866838413 706820570 /nfs/dbraw/zinc/82/05/70/706820570.db2.gz KPKZSDIXUFEYAN-ZCFIWIBFSA-N -1 1 313.206 1.826 20 0 DDADMM O=C(C[C@@H]1Cc2ccccc2O1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000866901551 706839810 /nfs/dbraw/zinc/83/98/10/706839810.db2.gz IAYOOSNVHMYRCJ-STQMWFEESA-N -1 1 313.361 1.300 20 0 DDADMM CCC(CC)(CCO)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866904485 706840743 /nfs/dbraw/zinc/84/07/43/706840743.db2.gz PKHFORAMUOBUCO-UHFFFAOYSA-N -1 1 322.377 1.827 20 0 DDADMM O=S(=O)([N-]CC1(CO)CCCCC1)c1ccc(F)nc1F ZINC000866935307 706848859 /nfs/dbraw/zinc/84/88/59/706848859.db2.gz WRDDVPQUXCGYKN-UHFFFAOYSA-N -1 1 320.361 1.581 20 0 DDADMM CCOC(=O)CC[C@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867025003 706875028 /nfs/dbraw/zinc/87/50/28/706875028.db2.gz LAYIQNJCYAJOGJ-QMMMGPOBSA-N -1 1 322.333 1.370 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C(=O)OC ZINC000867055331 706883907 /nfs/dbraw/zinc/88/39/07/706883907.db2.gz TUVKGDTZXUDGGC-ZETCQYMHSA-N -1 1 311.306 1.837 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1(C)C ZINC000867059279 706885981 /nfs/dbraw/zinc/88/59/81/706885981.db2.gz UGBIQOCLPNASMH-HBNTYKKESA-N -1 1 324.468 1.142 20 0 DDADMM C[C@H](O)C[C@H](C)[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000867090865 706893250 /nfs/dbraw/zinc/89/32/50/706893250.db2.gz UJTRAQRFLHHGOJ-BQBZGAKWSA-N -1 1 313.206 1.826 20 0 DDADMM CC1(C)CC[C@@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)O1 ZINC000867132471 706906528 /nfs/dbraw/zinc/90/65/28/706906528.db2.gz CCSTWPPXTOHURA-QMMMGPOBSA-N -1 1 306.334 1.596 20 0 DDADMM CO[C@@H](CC[N-]S(=O)(=O)c1ccc(C)nn1)C(F)(F)F ZINC000867164325 706914007 /nfs/dbraw/zinc/91/40/07/706914007.db2.gz RWGJZYITCHMDTK-QMMMGPOBSA-N -1 1 313.301 1.031 20 0 DDADMM Cc1nocc1C[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC000867337961 706965824 /nfs/dbraw/zinc/96/58/24/706965824.db2.gz RCNODHACIONNRI-UHFFFAOYSA-N -1 1 304.759 1.157 20 0 DDADMM C[C@@H](CN(C)C(=O)c1nc(C(C)(C)C)cs1)c1nn[n-]n1 ZINC000867381493 706981914 /nfs/dbraw/zinc/98/19/14/706981914.db2.gz PONLXIRIMYWPCX-QMMMGPOBSA-N -1 1 308.411 1.829 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)N=[S@@](C)(=O)CC)c1ccccn1 ZINC000867418090 706995266 /nfs/dbraw/zinc/99/52/66/706995266.db2.gz QUYJNJCRSKITNR-MGNBDDOMSA-N -1 1 305.425 1.485 20 0 DDADMM CCCN(C(=O)N[C@H]1CCc2nc[nH]c2C1)[C@H](CC)C(=O)[O-] ZINC000909021246 712918715 /nfs/dbraw/zinc/91/87/15/712918715.db2.gz VDXRRHPJXBXORR-GXFFZTMASA-N -1 1 308.382 1.552 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc(C(C)=O)c1 ZINC000867467706 707011241 /nfs/dbraw/zinc/01/12/41/707011241.db2.gz OCFRDPMBCDEGTD-LJQANCHMSA-N -1 1 318.420 1.341 20 0 DDADMM NC(=O)[C@H]1CCC[C@@H](C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000834825267 707131551 /nfs/dbraw/zinc/13/15/51/707131551.db2.gz JDIQGICBOZVNHN-WDEREUQCSA-N -1 1 310.781 1.954 20 0 DDADMM CC(C)(C(=O)NCc1ccc([O-])c(Cl)c1)S(C)(=O)=O ZINC000834828957 707132843 /nfs/dbraw/zinc/13/28/43/707132843.db2.gz FQJCQDHGARDRKE-UHFFFAOYSA-N -1 1 305.783 1.485 20 0 DDADMM CN(CC(=O)NC1(CC(=O)[O-])CCCCC1)[C@@H]1CCSC1 ZINC000909060538 712928514 /nfs/dbraw/zinc/92/85/14/712928514.db2.gz YQCACDYHPBFQGZ-GFCCVEGCSA-N -1 1 314.451 1.718 20 0 DDADMM COCC(C)(C)CCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000871743575 707185139 /nfs/dbraw/zinc/18/51/39/707185139.db2.gz GDXYHBGWBXONTE-UHFFFAOYSA-N -1 1 303.362 1.716 20 0 DDADMM Cn1nc(C2CC2)nc1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000871750771 707187602 /nfs/dbraw/zinc/18/76/02/707187602.db2.gz GPLFPLYOWCLOLL-UHFFFAOYSA-N -1 1 306.753 1.982 20 0 DDADMM O=c1c2c([n-]n1-c1cnccn1)CN(Cc1ccccc1)CC2 ZINC000871943894 707251198 /nfs/dbraw/zinc/25/11/98/707251198.db2.gz UQQLXCCREVQFGM-CQSZACIVSA-N -1 1 307.357 1.701 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H]1C=CCC1 ZINC000881492819 707278316 /nfs/dbraw/zinc/27/83/16/707278316.db2.gz MCCCRMDNSNNMOO-GFCCVEGCSA-N -1 1 300.362 1.677 20 0 DDADMM CC(C)N1CCC[C@@H]1C(=O)Nc1cccc(OCC(=O)[O-])c1 ZINC000909124562 712941043 /nfs/dbraw/zinc/94/10/43/712941043.db2.gz BDSXZQVMDKWVEN-CQSZACIVSA-N -1 1 306.362 1.961 20 0 DDADMM Cc1nc(CN2CCC(c3nc(C)c(C(=O)[O-])s3)CC2)n[nH]1 ZINC000872164856 707310775 /nfs/dbraw/zinc/31/07/75/707310775.db2.gz WPXXYDZTGZKTRC-UHFFFAOYSA-N -1 1 321.406 1.956 20 0 DDADMM C[C@@H](Cc1ccco1)[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872435145 707409250 /nfs/dbraw/zinc/40/92/50/707409250.db2.gz OCJRUMANEWKQMY-OVWNDWIMSA-N -1 1 322.452 1.941 20 0 DDADMM O=C(NCC[C@H]1CCCS1(=O)=O)c1ccc([O-])c(F)c1 ZINC000881898442 707413361 /nfs/dbraw/zinc/41/33/61/707413361.db2.gz KIKYHKWAMHNSBC-SNVBAGLBSA-N -1 1 301.339 1.228 20 0 DDADMM Cc1nn(C(F)F)c(C)c1CC(=O)[N-]O[C@@H](CO)C(C)C ZINC000836897628 707543235 /nfs/dbraw/zinc/54/32/35/707543235.db2.gz HPZHSEOIUFOMHC-NSHDSACASA-N -1 1 305.325 1.502 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@@]1(C)CC(C)(C)OC1=O)C(C)C ZINC000882223284 707546124 /nfs/dbraw/zinc/54/61/24/707546124.db2.gz IWULEFHEIMZTTQ-GWCFXTLKSA-N -1 1 307.412 1.061 20 0 DDADMM C[N@H+]1CCCC(C)(C)[C@H]1CNC(=O)N1CC2CC1(C(=O)[O-])C2 ZINC000909210260 712964591 /nfs/dbraw/zinc/96/45/91/712964591.db2.gz MWYXPYMSNZFAMJ-BWBHSNQOSA-N -1 1 309.410 1.365 20 0 DDADMM O=C(NC[C@@H](O)C(F)(F)C(F)(F)F)c1cncc([O-])c1 ZINC000882564337 707700144 /nfs/dbraw/zinc/70/01/44/707700144.db2.gz QOZSGGGRPSALSP-SSDOTTSWSA-N -1 1 300.183 1.076 20 0 DDADMM CO[C@H]1C[C@H](C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)C1 ZINC000882589134 707709130 /nfs/dbraw/zinc/70/91/30/707709130.db2.gz RLNPSZMFKYKXRX-LJGSYFOKSA-N -1 1 313.301 1.132 20 0 DDADMM CC1(C)C[C@@H](O)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000909257484 712975628 /nfs/dbraw/zinc/97/56/28/712975628.db2.gz BBRZXEWBEOBUSH-NSHDSACASA-N -1 1 305.378 1.683 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2CCC3(CCC3)O2)sn1 ZINC000882776504 707789570 /nfs/dbraw/zinc/78/95/70/707789570.db2.gz BAVHZOGGYBUCCA-JTQLQIEISA-N -1 1 302.421 1.832 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)C[C@@H](C)C(C)(C)C)N(C)C ZINC000873604131 707902831 /nfs/dbraw/zinc/90/28/31/707902831.db2.gz RCYHOEGQTKKWSL-VXGBXAGGSA-N -1 1 306.472 1.701 20 0 DDADMM CC1(C)C[C@]1(C)CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000883761393 708060385 /nfs/dbraw/zinc/06/03/85/708060385.db2.gz VFQAASZQWQZDSZ-MRXNPFEDSA-N -1 1 320.393 1.490 20 0 DDADMM Cc1cnc(C(=O)N2CCC3(CCNC(=O)O3)CC2)c([O-])c1 ZINC000884885471 708407406 /nfs/dbraw/zinc/40/74/06/708407406.db2.gz GWSZSKYROGRNNV-UHFFFAOYSA-N -1 1 305.334 1.200 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1ccns1)C1(CO)CCC1 ZINC000885200942 708492986 /nfs/dbraw/zinc/49/29/86/708492986.db2.gz MKVIXLZKKJJMIR-NSHDSACASA-N -1 1 304.437 1.609 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)CCC1 ZINC000912541912 713033155 /nfs/dbraw/zinc/03/31/55/713033155.db2.gz KDWFSSLNNAONNT-UHFFFAOYSA-N -1 1 301.368 1.055 20 0 DDADMM CC(F)(F)C[N-]S(=O)(=O)Cc1ccc(-n2cccn2)cc1 ZINC000885209451 708495129 /nfs/dbraw/zinc/49/51/29/708495129.db2.gz YZLKFRYTXBNQTK-UHFFFAOYSA-N -1 1 315.345 1.947 20 0 DDADMM CC(C)OC(=O)C[C@@H]([N-]S(=O)(=O)c1ccns1)C(C)C ZINC000885279097 708509621 /nfs/dbraw/zinc/50/96/21/708509621.db2.gz DFSWGPFKGVQPIB-SNVBAGLBSA-N -1 1 320.436 1.788 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000885379028 708530572 /nfs/dbraw/zinc/53/05/72/708530572.db2.gz PFHLBLIIQRGMSI-IUCAKERBSA-N -1 1 320.361 1.546 20 0 DDADMM COC(=O)c1nc(Cl)ccc1S(=O)(=O)[N-][C@@H](C)CF ZINC000885410636 708538206 /nfs/dbraw/zinc/53/82/06/708538206.db2.gz RAJCFJIQWDZFRR-LURJTMIESA-N -1 1 310.734 1.158 20 0 DDADMM COC(=O)c1c(NC(=O)c2ccc([O-])cc2F)nc2n1CCC2 ZINC000912576339 713038589 /nfs/dbraw/zinc/03/85/89/713038589.db2.gz AXBNRENZAMLPIM-UHFFFAOYSA-N -1 1 319.292 1.713 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)c1 ZINC000885620502 708585912 /nfs/dbraw/zinc/58/59/12/708585912.db2.gz KVIRYXRMMOQJHY-DMWPJOQESA-N -1 1 313.375 1.637 20 0 DDADMM O=C(NCCO[C@@H]1CCOC1)c1ncc2ccccc2c1[O-] ZINC000885718012 708606886 /nfs/dbraw/zinc/60/68/86/708606886.db2.gz UPGXLUHXCFFQGM-GFCCVEGCSA-N -1 1 302.330 1.476 20 0 DDADMM CC(C)c1nc([C@H](CO)NC(=O)c2cncc([O-])c2)cs1 ZINC000898365469 708624203 /nfs/dbraw/zinc/62/42/03/708624203.db2.gz CRNOJXOZTSSZCU-NSHDSACASA-N -1 1 307.375 1.831 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2(C3(O)CCC3)CCC2)sn1 ZINC000885789524 708627922 /nfs/dbraw/zinc/62/79/22/708627922.db2.gz VMOQODJIBAICCN-UHFFFAOYSA-N -1 1 316.448 1.815 20 0 DDADMM CNC(=O)CCCCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266461 708732468 /nfs/dbraw/zinc/73/24/68/708732468.db2.gz NFARLCULNNBITC-UHFFFAOYSA-N -1 1 314.332 1.636 20 0 DDADMM O=C(COCc1cccnc1)NCCc1c(F)cc([O-])cc1F ZINC000886268130 708733105 /nfs/dbraw/zinc/73/31/05/708733105.db2.gz DSSPCAGUVJGCAM-UHFFFAOYSA-N -1 1 322.311 1.941 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@H](CO)CC1CC1 ZINC000927782792 713054999 /nfs/dbraw/zinc/05/49/99/713054999.db2.gz CJTYNHLIXLTQSB-JTQLQIEISA-N -1 1 314.332 1.673 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCC2(COC2)C1 ZINC000927788442 713056664 /nfs/dbraw/zinc/05/66/64/713056664.db2.gz ITRDNSFLZDPORT-UHFFFAOYSA-N -1 1 312.316 1.645 20 0 DDADMM CN(C[C@H]1COCCO1)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898722568 708840781 /nfs/dbraw/zinc/84/07/81/708840781.db2.gz ZXEFEXVXQPLWBR-ZDUSSCGKSA-N -1 1 317.341 1.738 20 0 DDADMM CCc1ccccc1[C@@H]1C[C@H]1C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898755118 708855926 /nfs/dbraw/zinc/85/59/26/708855926.db2.gz MBWAXHMMVQSCJS-QWHCGFSZSA-N -1 1 313.357 1.870 20 0 DDADMM CC[C@H](C(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccc(F)cc1 ZINC000898756546 708856577 /nfs/dbraw/zinc/85/65/77/708856577.db2.gz KXBIHEYHJBPMJA-NSHDSACASA-N -1 1 305.309 1.837 20 0 DDADMM Cc1cccc(CO[N-]C(=O)CC2(CS(C)(=O)=O)CC2)n1 ZINC000898935527 708928683 /nfs/dbraw/zinc/92/86/83/708928683.db2.gz ZJHFAAGQCYSEBU-UHFFFAOYSA-N -1 1 312.391 1.153 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(C(=O)[C@H](N)Cc2cc3ccccc3o2)C1 ZINC000887396481 709035875 /nfs/dbraw/zinc/03/58/75/709035875.db2.gz BJNWIKVKEUYEIQ-CXAGYDPISA-N -1 1 316.357 1.626 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H]1CC[C@@H](C2CC2)O1 ZINC000887486772 709054361 /nfs/dbraw/zinc/05/43/61/709054361.db2.gz ACRCRHSTSPUSGH-MNOVXSKESA-N -1 1 323.418 1.900 20 0 DDADMM COC[C@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@H]1CCCOC1 ZINC000899656568 709141160 /nfs/dbraw/zinc/14/11/60/709141160.db2.gz VMFLOHSEKBZCQS-JSGCOSHPSA-N -1 1 307.346 1.133 20 0 DDADMM CN1CCN(C(=O)c2cccc(Cl)c2[O-])CC[N@@H+](C)CC1 ZINC000888989001 709423787 /nfs/dbraw/zinc/42/37/87/709423787.db2.gz XOHJGYJBCKPSGW-UHFFFAOYSA-N -1 1 311.813 1.365 20 0 DDADMM CN1CCN(C)CCN(C(=O)c2cccc(Cl)c2[O-])CC1 ZINC000888989001 709423790 /nfs/dbraw/zinc/42/37/90/709423790.db2.gz XOHJGYJBCKPSGW-UHFFFAOYSA-N -1 1 311.813 1.365 20 0 DDADMM O=C(C[C@H](O)C(Cl)(Cl)Cl)[N-]OCc1ccccn1 ZINC000909518416 709499132 /nfs/dbraw/zinc/49/91/32/709499132.db2.gz ZDBLFDFZXSDRCN-QMMMGPOBSA-N -1 1 313.568 1.751 20 0 DDADMM CCC(CC)N1C[C@@H](C(=O)[N-]OCc2ccccn2)CC1=O ZINC000909525632 709501167 /nfs/dbraw/zinc/50/11/67/709501167.db2.gz IXOISAVPHLWROU-LBPRGKRZSA-N -1 1 305.378 1.667 20 0 DDADMM O=C(NC1CCC2(CC1)OCCO2)c1cnc(C2CC2)[n-]c1=O ZINC000900243389 709502326 /nfs/dbraw/zinc/50/23/26/709502326.db2.gz OQUJBGQMHPWZBA-UHFFFAOYSA-N -1 1 319.361 1.475 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2cccc3cccnc32)C1 ZINC000909540017 709507631 /nfs/dbraw/zinc/50/76/31/709507631.db2.gz JUGQHCKWMANBPL-ZDUSSCGKSA-N -1 1 313.357 1.970 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1ncccc1C)c1nn[n-]n1 ZINC000912862309 713110262 /nfs/dbraw/zinc/11/02/62/713110262.db2.gz MBPIXFGWUYNXSY-FJOGCWAESA-N -1 1 318.406 1.527 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1CCCCS1)c1nn[n-]n1 ZINC000912861889 713110655 /nfs/dbraw/zinc/11/06/55/713110655.db2.gz BAPFQILTZCRBQT-DTWKUNHWSA-N -1 1 301.441 1.396 20 0 DDADMM CSCC[C@H](NC(=O)C[C@H](C)c1ccco1)c1nn[n-]n1 ZINC000912863163 713111641 /nfs/dbraw/zinc/11/16/41/713111641.db2.gz NEQRBKACXPPAHD-UWVGGRQHSA-N -1 1 309.395 1.897 20 0 DDADMM CN(C(=O)[C@@H]1CCCCN1C)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909644873 709556731 /nfs/dbraw/zinc/55/67/31/709556731.db2.gz XIAZQUPCUKBJOS-LSDHHAIUSA-N -1 1 304.390 1.625 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)N[C@@H](CC(F)(F)F)C(=O)[O-] ZINC000909670589 709568368 /nfs/dbraw/zinc/56/83/68/709568368.db2.gz NAAHRZNUUYZTSZ-ZETCQYMHSA-N -1 1 307.272 1.977 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H](CC(F)(F)F)C(=O)[O-])c2C1 ZINC000909673505 709569870 /nfs/dbraw/zinc/56/98/70/709569870.db2.gz TUZLVRQYCCSNMT-MUWHJKNJSA-N -1 1 319.283 1.670 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@@H]2C[C@H]2c2ccccc2F)C1 ZINC000909726365 709597657 /nfs/dbraw/zinc/59/76/57/709597657.db2.gz RWXBNXSOHJPMIC-OSAQELSMSA-N -1 1 320.364 1.594 20 0 DDADMM CCOC(=O)[C@@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900443957 709598130 /nfs/dbraw/zinc/59/81/30/709598130.db2.gz XZJKMVIJJGERSR-LBPRGKRZSA-N -1 1 319.361 1.617 20 0 DDADMM C[C@@H]1CCN(C(=O)CN(C)[C@@H]2CCSC2)[C@H](C(=O)[O-])C1 ZINC000909782555 709617683 /nfs/dbraw/zinc/61/76/83/709617683.db2.gz XPHGZSKMGXHMAK-UTUOFQBUSA-N -1 1 300.424 1.135 20 0 DDADMM CCOC1CC(C(=O)N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)C1 ZINC000889787924 709635960 /nfs/dbraw/zinc/63/59/60/709635960.db2.gz SLANLPQADVJJEJ-HTAVTVPLSA-N -1 1 321.377 1.669 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cn(C)nc2C)[n-]c1=O ZINC000889792256 709637937 /nfs/dbraw/zinc/63/79/37/709637937.db2.gz VGISOUHUTILACS-LLVKDONJSA-N -1 1 317.349 1.210 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccc(C)nc2)[n-]c1=O ZINC000889793824 709638597 /nfs/dbraw/zinc/63/85/97/709638597.db2.gz CMNGLKMIJUXIIV-LBPRGKRZSA-N -1 1 314.345 1.872 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccnc(F)c2)[n-]c1=O ZINC000889795144 709639292 /nfs/dbraw/zinc/63/92/92/709639292.db2.gz VKBRQDULFNBDTP-SNVBAGLBSA-N -1 1 318.308 1.702 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC[C@@H]1CCC2(CCCC2)O1 ZINC000909918123 709688277 /nfs/dbraw/zinc/68/82/77/709688277.db2.gz MRGYITDXAAGVBM-KGLIPLIRSA-N -1 1 324.421 1.391 20 0 DDADMM CS[C@@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)C(C)(C)C ZINC000910014621 709735898 /nfs/dbraw/zinc/73/58/98/709735898.db2.gz UARWIFJUHOMXSZ-NEPJUHHUSA-N -1 1 316.467 1.677 20 0 DDADMM CN1C[C@@H](NC(=O)c2c([O-])cnc3c(F)cccc32)CCC1=O ZINC000900780928 709754463 /nfs/dbraw/zinc/75/44/63/709754463.db2.gz BRYVSRFHDDVNFJ-VIFPVBQESA-N -1 1 317.320 1.430 20 0 DDADMM CCc1cccnc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910176166 709798409 /nfs/dbraw/zinc/79/84/09/709798409.db2.gz ZIJKPFXPONQUML-GFCCVEGCSA-N -1 1 305.378 1.265 20 0 DDADMM CCc1ccnc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1 ZINC000910177015 709798549 /nfs/dbraw/zinc/79/85/49/709798549.db2.gz HFCHEODOYUSYNW-UHFFFAOYSA-N -1 1 305.378 1.265 20 0 DDADMM Cc1cc(C)c(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)s1 ZINC000910180640 709800468 /nfs/dbraw/zinc/80/04/68/709800468.db2.gz YAUIXEABBDVNLK-GFCCVEGCSA-N -1 1 324.446 1.915 20 0 DDADMM C[C@@H](CC[S@@](C)=O)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900877980 709805080 /nfs/dbraw/zinc/80/50/80/709805080.db2.gz IYNMSZMHEJKWLI-GTUYJWLHSA-N -1 1 324.377 1.966 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)[C@H]2CCC[C@@H]21 ZINC000910249563 709839800 /nfs/dbraw/zinc/83/98/00/709839800.db2.gz XZTNNFYKKISKNZ-BLTAXRJOSA-N -1 1 317.389 1.931 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2C3CCC2(C(=O)[O-])CC3)C1 ZINC000910299260 709875170 /nfs/dbraw/zinc/87/51/70/709875170.db2.gz ZPACXQOQAFGJBE-QOEDAUGTSA-N -1 1 303.362 1.684 20 0 DDADMM CN(C)CC(=O)N[C@H](C(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC000910381931 709916370 /nfs/dbraw/zinc/91/63/70/709916370.db2.gz SYNLFKCPQNKSNK-NSHDSACASA-N -1 1 304.268 1.509 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C(=O)O1 ZINC000901184204 709959161 /nfs/dbraw/zinc/95/91/61/709959161.db2.gz DODPJVFZVYUCPL-SNVBAGLBSA-N -1 1 318.304 1.903 20 0 DDADMM COc1cc(C(=O)N2CC[C@H](O)[C@H](F)C2)cc(Cl)c1[O-] ZINC000890704328 709964410 /nfs/dbraw/zinc/96/44/10/709964410.db2.gz GPLQHAQHGLDAPD-ZJUUUORDSA-N -1 1 303.717 1.599 20 0 DDADMM CCN(CC(=O)N1CCc2cc(C(=O)[O-])ccc2C1)C1CC1 ZINC000910502371 709974804 /nfs/dbraw/zinc/97/48/04/709974804.db2.gz FYFPHSFHNQEZFY-UHFFFAOYSA-N -1 1 302.374 1.754 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CCOC[C@H]1CCO ZINC000901243488 709982308 /nfs/dbraw/zinc/98/23/08/709982308.db2.gz ZSKSWMUMAYJVKO-SNVBAGLBSA-N -1 1 320.320 1.303 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1(C)C ZINC000901332076 710012996 /nfs/dbraw/zinc/01/29/96/710012996.db2.gz UOQAZEIYXOTTIV-XXILOJSOSA-N -1 1 305.378 1.849 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N(CC(=O)[O-])C2CCOCC2)C1 ZINC000901573886 710079832 /nfs/dbraw/zinc/07/98/32/710079832.db2.gz UOFAEJQBIKMPEZ-GXFFZTMASA-N -1 1 321.377 1.168 20 0 DDADMM CO[C@@H](C(=O)[O-])C(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000901607352 710093461 /nfs/dbraw/zinc/09/34/61/710093461.db2.gz QLVPZQNQXWDAPR-CHWSQXEVSA-N -1 1 317.345 1.634 20 0 DDADMM C/C(=C/C(=O)Nc1cc(F)cc(F)c1[O-])CN1CCOCC1 ZINC000910986795 710141688 /nfs/dbraw/zinc/14/16/88/710141688.db2.gz NKZMGJKVPGYBGJ-POHAHGRESA-N -1 1 312.316 1.887 20 0 DDADMM CCc1cc(C(=O)OC)ccc1[N-]S(=O)(=O)C[C@H](C)OC ZINC000901761775 710143826 /nfs/dbraw/zinc/14/38/26/710143826.db2.gz HQJCGKWZMNDFQF-JTQLQIEISA-N -1 1 315.391 1.812 20 0 DDADMM CCn1nnc(C)c1CN[C@@H](C(=O)[O-])c1ccc(F)c(C)c1 ZINC000901843398 710158777 /nfs/dbraw/zinc/15/87/77/710158777.db2.gz PEKPSACZHVSPIG-CQSZACIVSA-N -1 1 306.341 1.969 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CCCC[C@H]1CC(=O)[O-] ZINC000901997554 710197253 /nfs/dbraw/zinc/19/72/53/710197253.db2.gz NOFHMEWOUHHEON-ZETOZRRWSA-N -1 1 305.378 1.931 20 0 DDADMM COCCOc1ccc(C(=O)N(C)c2nn[n-]n2)c(Cl)c1 ZINC000892955166 710531755 /nfs/dbraw/zinc/53/17/55/710531755.db2.gz XCEQJJZXINJNAX-UHFFFAOYSA-N -1 1 311.729 1.155 20 0 DDADMM COCc1nc(N2CCC(O)(c3ccccc3)CC2)cc(=O)[n-]1 ZINC000893207696 710571378 /nfs/dbraw/zinc/57/13/78/710571378.db2.gz ASEIIVLYZGELCL-UHFFFAOYSA-N -1 1 315.373 1.817 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2cncc(C(=O)[O-])c2)C[C@H](C)O1 ZINC000911150344 710655353 /nfs/dbraw/zinc/65/53/53/710655353.db2.gz IHXBGOIMALTJEZ-TXEJJXNPSA-N -1 1 321.377 1.009 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1cncc(C(=O)[O-])c1)c1ccsc1 ZINC000911193299 710677554 /nfs/dbraw/zinc/67/75/54/710677554.db2.gz LGBPFTVNZRHYOR-ZDUSSCGKSA-N -1 1 319.386 1.874 20 0 DDADMM CCc1oc(CN[C@@H]2CC(=O)N(CC3CC3)C2)cc1C(=O)[O-] ZINC000902343341 710723149 /nfs/dbraw/zinc/72/31/49/710723149.db2.gz OBWKHTBWKMVZQB-LLVKDONJSA-N -1 1 306.362 1.641 20 0 DDADMM Cc1cnnc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)c1 ZINC000893668982 710770536 /nfs/dbraw/zinc/77/05/36/710770536.db2.gz JECHADNXJOPUDE-UHFFFAOYSA-N -1 1 302.300 1.680 20 0 DDADMM CCN1CCN(C(=O)c2ccccc2CCC(=O)[O-])[C@@H](C)C1 ZINC000911408057 710785149 /nfs/dbraw/zinc/78/51/49/710785149.db2.gz QVBGBJOANZAREI-ZDUSSCGKSA-N -1 1 304.390 1.870 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)[C@@H]1CC[C@H](CCC(=O)[O-])O1 ZINC000911410041 710786205 /nfs/dbraw/zinc/78/62/05/710786205.db2.gz SJLHRRLVNUBEGJ-MCIONIFRSA-N -1 1 312.410 1.197 20 0 DDADMM CCOC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCCC1 ZINC000913436535 713215354 /nfs/dbraw/zinc/21/53/54/713215354.db2.gz DTXHQVCKNBSRQT-ZDUSSCGKSA-N -1 1 315.377 1.755 20 0 DDADMM CC(C)[C@H](CC(F)(F)F)NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000911666844 710917045 /nfs/dbraw/zinc/91/70/45/710917045.db2.gz FUHILKJTZOZDNS-MNOVXSKESA-N -1 1 324.343 1.876 20 0 DDADMM CC(C)[C@H](CC(F)(F)F)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911666844 710917049 /nfs/dbraw/zinc/91/70/49/710917049.db2.gz FUHILKJTZOZDNS-MNOVXSKESA-N -1 1 324.343 1.876 20 0 DDADMM COCc1nc(NC[C@H](O)Cc2cccc(F)c2)cc(=O)[n-]1 ZINC000894010462 710924572 /nfs/dbraw/zinc/92/45/72/710924572.db2.gz VEUPOBPBLSHEGS-GFCCVEGCSA-N -1 1 307.325 1.483 20 0 DDADMM CC(C)c1n[nH]c(CC2CCN(C(=O)[C@@H](C)CC(=O)[O-])CC2)n1 ZINC000911777824 710980368 /nfs/dbraw/zinc/98/03/68/710980368.db2.gz VFTIMSRVSDGFKU-NSHDSACASA-N -1 1 322.409 1.820 20 0 DDADMM CC(C)c1nnc(CC2CCN(C(=O)[C@@H](C)CC(=O)[O-])CC2)[nH]1 ZINC000911777824 710980370 /nfs/dbraw/zinc/98/03/70/710980370.db2.gz VFTIMSRVSDGFKU-NSHDSACASA-N -1 1 322.409 1.820 20 0 DDADMM Cn1nc(C2CC2)nc1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000902984882 710985329 /nfs/dbraw/zinc/98/53/29/710985329.db2.gz CKPNWPACOPKRFB-UHFFFAOYSA-N -1 1 322.315 1.649 20 0 DDADMM Cc1cn2c(nc(CN3C[C@@H](C(=O)[O-])CC[C@H]3C)cc2=O)s1 ZINC000903407780 711117399 /nfs/dbraw/zinc/11/73/99/711117399.db2.gz LRWHGCHTXDGQLQ-KOLCDFICSA-N -1 1 321.402 1.750 20 0 DDADMM CCCCc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000913493378 713237033 /nfs/dbraw/zinc/23/70/33/713237033.db2.gz QYHCIHCPSLKXOA-AWEZNQCLSA-N -1 1 315.377 1.756 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-][C@@H](C(C)C)C(F)(F)F ZINC000903624746 711228553 /nfs/dbraw/zinc/22/85/53/711228553.db2.gz HELCSKQPMYTGAO-VIFPVBQESA-N -1 1 312.313 1.955 20 0 DDADMM O=C(C/C=C/c1ccc(F)cc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496176 713238276 /nfs/dbraw/zinc/23/82/76/713238276.db2.gz UUHMXGQCLVIFIF-ZIYVKSGCSA-N -1 1 317.324 1.342 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1cc(C)nc(Cl)c1 ZINC000903667703 711240480 /nfs/dbraw/zinc/24/04/80/711240480.db2.gz CTSCIJZHYVCTCC-QGZVFWFLSA-N -1 1 311.816 1.818 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1cnc(F)c(Cl)c1 ZINC000903672254 711241900 /nfs/dbraw/zinc/24/19/00/711241900.db2.gz JZJMGAZWUYFUIQ-KRWDZBQOSA-N -1 1 315.779 1.649 20 0 DDADMM CSc1nc(CNC(=O)C[C@H](O)CC(C)(C)C)cc(=O)[n-]1 ZINC000912249321 711244861 /nfs/dbraw/zinc/24/48/61/711244861.db2.gz OTZDJXUIXQEXRE-JTQLQIEISA-N -1 1 313.423 1.708 20 0 DDADMM COCCn1cc(CN[C@@H](C(=O)[O-])c2ccc(F)cc2)cn1 ZINC000903711196 711250468 /nfs/dbraw/zinc/25/04/68/711250468.db2.gz XBTQWSZBQCHNCP-CQSZACIVSA-N -1 1 307.325 1.584 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)N[C@H](C)c1ccccc1 ZINC000903712173 711251210 /nfs/dbraw/zinc/25/12/10/711251210.db2.gz SAPFKMQIRXIAIQ-KGLIPLIRSA-N -1 1 322.405 1.675 20 0 DDADMM O=C(c1cc(F)c(F)c([O-])c1F)N1CC(N2CCCC2)C1 ZINC000912324926 711279740 /nfs/dbraw/zinc/27/97/40/711279740.db2.gz LBGBBRJONMFCPT-UHFFFAOYSA-N -1 1 300.280 1.730 20 0 DDADMM C[C@H](N[C@H]1C[C@H](NC(=O)[O-])C12CCC2)c1nnc2ccccn21 ZINC000904203182 711408146 /nfs/dbraw/zinc/40/81/46/711408146.db2.gz GDMDKRIGITZBJS-SRVKXCTJSA-N -1 1 315.377 1.959 20 0 DDADMM O=C([O-])N[C@@H]1C[C@H](NCc2nccn2C(F)F)C12CCC2 ZINC000904213194 711411686 /nfs/dbraw/zinc/41/16/86/711411686.db2.gz GPRQJUBKAVXTFS-DTWKUNHWSA-N -1 1 300.309 1.947 20 0 DDADMM COCc1nc(N2CCC[C@@H]([C@@]3(C)COC(=O)N3)C2)cc(=O)[n-]1 ZINC000895530701 711535017 /nfs/dbraw/zinc/53/50/17/711535017.db2.gz JUOQAFVPEWCRHH-MEBBXXQBSA-N -1 1 322.365 1.044 20 0 DDADMM O=CN1CCCN(C(=O)c2ccc3ccc(O)cc3c2[O-])CC1 ZINC000895819427 711616058 /nfs/dbraw/zinc/61/60/58/711616058.db2.gz XPRLCFQFETWXQB-UHFFFAOYSA-N -1 1 314.341 1.555 20 0 DDADMM C[C@@H](COCC1CCCCC1)Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000895832068 711620023 /nfs/dbraw/zinc/62/00/23/711620023.db2.gz FFYYXCDMJDBZPO-JTQLQIEISA-N -1 1 305.382 1.829 20 0 DDADMM O=C(CNC(=O)c1ccc2ccc(O)cc2c1[O-])OCC1CC1 ZINC000896076284 711672878 /nfs/dbraw/zinc/67/28/78/711672878.db2.gz XTAPNLFFVHAQCE-UHFFFAOYSA-N -1 1 315.325 1.934 20 0 DDADMM CCN(CCC(=O)NC)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000896311836 711705033 /nfs/dbraw/zinc/70/50/33/711705033.db2.gz VXAZEEQJSHZJMQ-UHFFFAOYSA-N -1 1 313.785 1.713 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@H](O)[C@H]2CCCC[C@H]21 ZINC000913726254 713289869 /nfs/dbraw/zinc/28/98/69/713289869.db2.gz KYULILKLBVXJDU-YUTCNCBUSA-N -1 1 317.389 1.825 20 0 DDADMM Cn1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)c2ccccc21 ZINC000913742501 713291619 /nfs/dbraw/zinc/29/16/19/713291619.db2.gz ZSUIBKVIGKVBCG-UHFFFAOYSA-N -1 1 310.361 1.711 20 0 DDADMM CCSc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)ccn1 ZINC000913743015 713292140 /nfs/dbraw/zinc/29/21/40/713292140.db2.gz AUAHOZWZVZNEGC-UHFFFAOYSA-N -1 1 318.406 1.727 20 0 DDADMM Cc1nn(CC(=O)N2CCC(c3nn[n-]n3)CC2)c(C)c1Cl ZINC000913743468 713292331 /nfs/dbraw/zinc/29/23/31/713292331.db2.gz XGGJOJFSDZHVDJ-UHFFFAOYSA-N -1 1 323.788 1.073 20 0 DDADMM CCSc1cccnc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913746507 713294325 /nfs/dbraw/zinc/29/43/25/713294325.db2.gz XJKVEMDXIHOZIQ-UHFFFAOYSA-N -1 1 318.406 1.727 20 0 DDADMM C[N-]S(=O)(=O)N=[S@@](=O)(c1ccccc1)C(F)(F)F ZINC000913825737 713306607 /nfs/dbraw/zinc/30/66/07/713306607.db2.gz OKVVIWQIQJWPIW-QGZVFWFLSA-N -1 1 302.299 1.498 20 0 DDADMM Cc1cc([N-]S(=O)(=O)N=S2(=O)CCCC2)cnc1C ZINC000906074646 712238217 /nfs/dbraw/zinc/23/82/17/712238217.db2.gz VBINZSYDIGCNPE-UHFFFAOYSA-N -1 1 303.409 1.617 20 0 DDADMM CC(C)n1nnc([N-]C(=O)c2cnc(Br)s2)n1 ZINC000906287748 712283824 /nfs/dbraw/zinc/28/38/24/712283824.db2.gz IVLUKPHFLGWLPK-UHFFFAOYSA-N -1 1 317.172 1.725 20 0 DDADMM Cc1nc(C2([N-]S(=O)(=O)CC3(F)CC3)CCCCC2)no1 ZINC000913899434 713316518 /nfs/dbraw/zinc/31/65/18/713316518.db2.gz MTIYSMLRGLTEDB-UHFFFAOYSA-N -1 1 317.386 1.959 20 0 DDADMM CC(C)[C@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])cs2)CCN1 ZINC000907055381 712476039 /nfs/dbraw/zinc/47/60/39/712476039.db2.gz KKZSVCMGMLXBQA-SNVBAGLBSA-N -1 1 318.420 1.065 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2c(C)cc(C)c(F)c2C)n1 ZINC000907152636 712500851 /nfs/dbraw/zinc/50/08/51/712500851.db2.gz ARWOLBAGJLRHTN-UHFFFAOYSA-N -1 1 313.354 1.921 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@H](C2CC2)O1)c1c[nH]nc1Cl ZINC000907468075 712579397 /nfs/dbraw/zinc/57/93/97/712579397.db2.gz CETISPLHRQUTAZ-RKDXNWHRSA-N -1 1 305.787 1.299 20 0 DDADMM O=C(Cc1ccc(Cl)cn1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907473626 712581628 /nfs/dbraw/zinc/58/16/28/712581628.db2.gz CVFFPKWYQZMXPQ-JTQLQIEISA-N -1 1 324.797 1.107 20 0 DDADMM O=C(c1ccc2c(c1)COC2)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907476405 712582160 /nfs/dbraw/zinc/58/21/60/712582160.db2.gz QERQFUHAQBGUBR-LBPRGKRZSA-N -1 1 317.374 1.160 20 0 DDADMM O=C([C@@H]1C[C@@H]2C=C[C@H]1CC2)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479976 712583601 /nfs/dbraw/zinc/58/36/01/712583601.db2.gz KEBSRQVYGOBDNX-KXNHARMFSA-N -1 1 305.407 1.419 20 0 DDADMM Cc1cc(C)cc(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000907480498 712583863 /nfs/dbraw/zinc/58/38/63/712583863.db2.gz BATYABRDIUVWDE-ZDUSSCGKSA-N -1 1 317.418 1.676 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](CCO)C2CCCC2)sc1C ZINC000907824945 712631665 /nfs/dbraw/zinc/63/16/65/712631665.db2.gz GTNFAQCULYORED-LBPRGKRZSA-N -1 1 318.464 1.979 20 0 DDADMM CO[C@@H]1CCOC[C@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000907832620 712632738 /nfs/dbraw/zinc/63/27/38/712632738.db2.gz UIURKZGZEXOAFI-NXEZZACHSA-N -1 1 307.318 1.047 20 0 DDADMM CCOC(=O)[C@@H]1CSCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907908218 712646523 /nfs/dbraw/zinc/64/65/23/712646523.db2.gz YHRASOVDLZMCBM-JTQLQIEISA-N -1 1 323.374 1.138 20 0 DDADMM CC[C@H](C)CCCCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907943633 712652861 /nfs/dbraw/zinc/65/28/61/712652861.db2.gz JJGOBSOZQPPIOH-LBPRGKRZSA-N -1 1 309.414 1.616 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2C[C@@H]3CCC[C@@H]23)c1C(F)(F)F ZINC000907958648 712655175 /nfs/dbraw/zinc/65/51/75/712655175.db2.gz LZBZIQFVUSVYHE-DJLDLDEBSA-N -1 1 323.340 1.906 20 0 DDADMM CN(C(=O)[C@H]1CCc2nc[nH]c2C1)c1cccc(CC(=O)[O-])c1 ZINC000908088776 712683671 /nfs/dbraw/zinc/68/36/71/712683671.db2.gz ZCNHRVNZWTXWRN-LBPRGKRZSA-N -1 1 313.357 1.805 20 0 DDADMM Cc1cc(CC(=O)N[C@@H]2CCSC3(CC(C(=O)[O-])C3)C2)[nH]n1 ZINC000908175145 712702970 /nfs/dbraw/zinc/70/29/70/712702970.db2.gz ZHZKVLLBNCINPU-LOIXOFCESA-N -1 1 323.418 1.506 20 0 DDADMM O=C([O-])c1ccc2c(c1)CCN2C(=O)[C@H]1CCCc2n[nH]nc21 ZINC000908646834 712828174 /nfs/dbraw/zinc/82/81/74/712828174.db2.gz SZXDCHQURLYQEL-NSHDSACASA-N -1 1 312.329 1.512 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000908688642 712835635 /nfs/dbraw/zinc/83/56/35/712835635.db2.gz VQBWARYSQSSRTN-BNOWGMLFSA-N -1 1 317.389 1.825 20 0 DDADMM CN(CCc1ccc(F)cc1)C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000908744790 712846069 /nfs/dbraw/zinc/84/60/69/712846069.db2.gz VHIBLWUIDZCVRF-CQSZACIVSA-N -1 1 322.380 1.623 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H](C(=O)[O-])C1CC1)c1cccs1 ZINC000908747342 712846772 /nfs/dbraw/zinc/84/67/72/712846772.db2.gz QPJFNUVXWRFCDT-PWSUYJOCSA-N -1 1 311.407 1.513 20 0 DDADMM C[C@H](CN(Cc1ccccc1)C(=O)CCc1c[nH]nn1)C(=O)[O-] ZINC000908839246 712865809 /nfs/dbraw/zinc/86/58/09/712865809.db2.gz CQRWQWXWRSLNCB-GFCCVEGCSA-N -1 1 316.361 1.487 20 0 DDADMM C[C@H](CN(Cc1ccccc1)C(=O)CCc1cnn[nH]1)C(=O)[O-] ZINC000908839246 712865811 /nfs/dbraw/zinc/86/58/11/712865811.db2.gz CQRWQWXWRSLNCB-GFCCVEGCSA-N -1 1 316.361 1.487 20 0 DDADMM CCN(CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)[O-])C1CC1 ZINC000908897250 712882373 /nfs/dbraw/zinc/88/23/73/712882373.db2.gz YQHLCFYOYJMEGM-OAHLLOKOSA-N -1 1 302.374 1.509 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)CC1(F)CCC1 ZINC000914220703 713361668 /nfs/dbraw/zinc/36/16/68/713361668.db2.gz JCKBBGCHCHPZIB-LLVKDONJSA-N -1 1 307.387 1.530 20 0 DDADMM O=C(NC[C@@H]1CC1(Cl)Cl)c1nc2ccccc2c(=O)[n-]1 ZINC000914464478 713396417 /nfs/dbraw/zinc/39/64/17/713396417.db2.gz BUDCTVZSTXXFIJ-ZETCQYMHSA-N -1 1 312.156 1.847 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H]2COC[C@H]2C)c(=O)[n-]1 ZINC000928889177 713505180 /nfs/dbraw/zinc/50/51/80/713505180.db2.gz KJNIGUPHKQMAJH-KCJUWKMLSA-N -1 1 311.407 1.296 20 0 DDADMM COC(=O)[C@H]1CN(C[C@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929513178 713627833 /nfs/dbraw/zinc/62/78/33/713627833.db2.gz QMOBFVDRZKRUJF-SMDDNHRTSA-N -1 1 307.346 1.085 20 0 DDADMM C[C@@H](CN1CCN(C)C(=O)C1(C)C)C(=O)c1ccc([O-])cc1 ZINC000929826219 713698653 /nfs/dbraw/zinc/69/86/53/713698653.db2.gz SWRSYJWVXFHFFK-LBPRGKRZSA-N -1 1 304.390 1.764 20 0 DDADMM CCOC(=O)[C@H](C[C@@H](C)CC)[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC000921381397 713749551 /nfs/dbraw/zinc/74/95/51/713749551.db2.gz AOKGFNQQCRCPMX-XQQFMLRXSA-N -1 1 321.439 1.453 20 0 DDADMM C[C@H](CN1CCSC[C@@H]1C(N)=O)C(=O)c1ccc([O-])cc1 ZINC000930141961 713766008 /nfs/dbraw/zinc/76/60/08/713766008.db2.gz FHIYCFQLCSVNCA-ZWNOBZJWSA-N -1 1 308.403 1.114 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1ccns1)C(=O)OC(C)(C)C ZINC000921445437 713773308 /nfs/dbraw/zinc/77/33/08/713773308.db2.gz KXAJPFRTAWCNNW-SECBINFHSA-N -1 1 320.436 1.789 20 0 DDADMM CC[C@@H](CS(=O)(=O)NCCc1c(F)cc([O-])cc1F)OC ZINC000921967084 713922737 /nfs/dbraw/zinc/92/27/37/713922737.db2.gz BHSPRVOEOMYHIQ-JTQLQIEISA-N -1 1 323.361 1.557 20 0 DDADMM CNC(=O)C[C@@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922745916 714135579 /nfs/dbraw/zinc/13/55/79/714135579.db2.gz CYORSTZHMWPRBM-MRVPVSSYSA-N -1 1 315.320 1.037 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CC[C@@](F)(CO)C1 ZINC000932055712 714247901 /nfs/dbraw/zinc/24/79/01/714247901.db2.gz VFQAIPBWBGYCRZ-HNNXBMFYSA-N -1 1 320.324 1.516 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(N2CCCCCC2)cc1 ZINC000932532560 714356695 /nfs/dbraw/zinc/35/66/95/714356695.db2.gz HERMGHAZMDQWBN-UHFFFAOYSA-N -1 1 300.366 1.510 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CC2(O)CCOCC2)c1 ZINC000923553960 714399029 /nfs/dbraw/zinc/39/90/29/714399029.db2.gz XHIGYCKXGXQERL-UHFFFAOYSA-N -1 1 322.357 1.612 20 0 DDADMM O=C(NCC[C@@H]1CNC(=O)C1)NCc1ccc([O-])c(Cl)c1 ZINC000923981799 714499905 /nfs/dbraw/zinc/49/99/05/714499905.db2.gz DXLJVHRZERBPJI-JTQLQIEISA-N -1 1 311.769 1.371 20 0 DDADMM CC1(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)CC=CC1 ZINC000924205410 714544615 /nfs/dbraw/zinc/54/46/15/714544615.db2.gz UWUAVJQGSWIGKN-UHFFFAOYSA-N -1 1 307.268 1.524 20 0 DDADMM O=C(Nc1c([O-])cccc1F)[C@@H]1CC[C@H](CN2CCOCC2)O1 ZINC000935100046 714975430 /nfs/dbraw/zinc/97/54/30/714975430.db2.gz MUAOZGUUXYZWHW-RISCZKNCSA-N -1 1 324.352 1.350 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000936478530 715205963 /nfs/dbraw/zinc/20/59/63/715205963.db2.gz ONPJVRURJXMEIF-GFCCVEGCSA-N -1 1 303.362 1.426 20 0 DDADMM CSCC(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937204439 715292066 /nfs/dbraw/zinc/29/20/66/715292066.db2.gz JYFFASRGVXEXMR-NSHDSACASA-N -1 1 323.418 1.261 20 0 DDADMM CC(C)CC(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956343029 715411853 /nfs/dbraw/zinc/41/18/53/715411853.db2.gz CZIYXHNCQFHTGG-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(F)F ZINC000955457341 715788907 /nfs/dbraw/zinc/78/89/07/715788907.db2.gz FRTOBTMNFGDMAI-RKDXNWHRSA-N -1 1 313.304 1.162 20 0 DDADMM CCCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC000955500943 715824926 /nfs/dbraw/zinc/82/49/26/715824926.db2.gz MLTNJTMWCVOMGJ-NEPJUHHUSA-N -1 1 305.378 1.697 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938472866 715861065 /nfs/dbraw/zinc/86/10/65/715861065.db2.gz UAOJHICHDDEFAR-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)CC2CC2)CCN1C(=O)c1ncccc1[O-] ZINC000955647590 715892172 /nfs/dbraw/zinc/89/21/72/715892172.db2.gz CPEYTBNPQCSCGR-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960144317 716430392 /nfs/dbraw/zinc/43/03/92/716430392.db2.gz QXIWQPWYVIEBOM-GRYCIOLGSA-N -1 1 307.398 1.027 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940130486 716641211 /nfs/dbraw/zinc/64/12/11/716641211.db2.gz OFPJPFIKBHMZIG-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(C=C1CCC1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132431 716642643 /nfs/dbraw/zinc/64/26/43/716642643.db2.gz YMRNSAJLYVNYFE-GFCCVEGCSA-N -1 1 301.346 1.228 20 0 DDADMM O=C(c1cc[nH]c1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832655 716981569 /nfs/dbraw/zinc/98/15/69/716981569.db2.gz KKABXXQSXGDDIY-UHFFFAOYSA-N -1 1 314.345 1.104 20 0 DDADMM CC(=O)N1CCC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000964901923 717471042 /nfs/dbraw/zinc/47/10/42/717471042.db2.gz BDCKLTJWZFRHKT-LBPRGKRZSA-N -1 1 318.377 1.190 20 0 DDADMM CCC(=O)N1C[C@H](C)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000966031841 717891342 /nfs/dbraw/zinc/89/13/42/717891342.db2.gz YYTWUFPIWPAQCD-JOYOIKCWSA-N -1 1 318.377 1.046 20 0 DDADMM C/C=C(/C)C(=O)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943212718 718048649 /nfs/dbraw/zinc/04/86/49/718048649.db2.gz HXFXALPLMMGHGH-RYHWZFLVSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C1CC1 ZINC000945291710 718437052 /nfs/dbraw/zinc/43/70/52/718437052.db2.gz ZJEQVKQRBJYSPE-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM CCC(=O)N1CCC[C@@H](N(C)C(=O)c2ncccc2[O-])CC1 ZINC000967537002 718926994 /nfs/dbraw/zinc/92/69/94/718926994.db2.gz BHHJUTQNZPDOPY-GFCCVEGCSA-N -1 1 305.378 1.650 20 0 DDADMM O=C(C1CCC2(CC2)CC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969365959 720087949 /nfs/dbraw/zinc/08/79/49/720087949.db2.gz KGYGIJSVCAGHJB-GFCCVEGCSA-N -1 1 319.409 1.171 20 0 DDADMM O=C([C@@H]1CC[C@@H]2C[C@@H]2CC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969428927 720126931 /nfs/dbraw/zinc/12/69/31/720126931.db2.gz HAMPRUSLAODIAI-FVCCEPFGSA-N -1 1 319.409 1.027 20 0 DDADMM O=C(CC1CC1)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000949665560 720251237 /nfs/dbraw/zinc/25/12/37/720251237.db2.gz SCEHUMLEQLNOJN-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM CC[C@@H](CN1CC(N(CC)C(=O)c2ncccc2[O-])C1)OC ZINC000949952861 720428666 /nfs/dbraw/zinc/42/86/66/720428666.db2.gz ZQNRMDQJCCEELV-ZDUSSCGKSA-N -1 1 307.394 1.359 20 0 DDADMM O=C(CC(C1CC1)C1CC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970810102 720943741 /nfs/dbraw/zinc/94/37/41/720943741.db2.gz QUQCYBVFWJXCBT-GFCCVEGCSA-N -1 1 319.409 1.027 20 0 DDADMM CSCC(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC000953888477 721674522 /nfs/dbraw/zinc/67/45/22/721674522.db2.gz QPECVFROWMFTIX-UHFFFAOYSA-N -1 1 323.418 1.213 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954125404 721724317 /nfs/dbraw/zinc/72/43/17/721724317.db2.gz NKICXNSYSMTMAA-GHMZBOCLSA-N -1 1 303.362 1.116 20 0 DDADMM CC(C)(C)c1cnc(CNCCNC(=O)c2ncccc2[O-])o1 ZINC001126111194 738306232 /nfs/dbraw/zinc/30/62/32/738306232.db2.gz YEGAHJICHBZNIN-UHFFFAOYSA-N -1 1 318.377 1.592 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001020609044 732557305 /nfs/dbraw/zinc/55/73/05/732557305.db2.gz CXMUCGIVRIRZHB-AVGNSLFASA-N -1 1 319.405 1.799 20 0 DDADMM CC(C)(CC(=O)NCCNCc1n[nH]c(=O)[n-]1)CC(F)(F)F ZINC001149166561 733116048 /nfs/dbraw/zinc/11/60/48/733116048.db2.gz HPCYZAWWBUYNRE-UHFFFAOYSA-N -1 1 323.319 1.085 20 0 DDADMM COCC1([N-]S(=O)(=O)c2ccc(Cl)nc2F)CCC1 ZINC000692875677 738715269 /nfs/dbraw/zinc/71/52/69/738715269.db2.gz YZWHFMFIADGYHW-UHFFFAOYSA-N -1 1 308.762 1.722 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@@H]1O)c1ccc(Cl)nc1F ZINC000692877997 738733976 /nfs/dbraw/zinc/73/39/76/738733976.db2.gz CGAJWZKGZULRJK-YUMQZZPRSA-N -1 1 308.762 1.456 20 0 DDADMM O=C(NC[C@@H]1CCCC[N@H+]1C/C=C/Cl)c1cnc[nH]c1=O ZINC001024500890 735876625 /nfs/dbraw/zinc/87/66/25/735876625.db2.gz ZMKOZTJYCRNOTA-TZNOJPMFSA-N -1 1 310.785 1.519 20 0 DDADMM COC(=O)Cc1ccccc1[N-]S(=O)(=O)c1cccc(N)c1 ZINC001213060151 735946982 /nfs/dbraw/zinc/94/69/82/735946982.db2.gz KNLKETDMCLBHEA-UHFFFAOYSA-N -1 1 320.370 1.785 20 0 DDADMM O=C(C=C1CCC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024922886 736171852 /nfs/dbraw/zinc/17/18/52/736171852.db2.gz JCAGILCXEPNBEA-GFCCVEGCSA-N -1 1 305.382 1.091 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)[C@H]1CCOC1 ZINC000692897602 738967352 /nfs/dbraw/zinc/96/73/52/738967352.db2.gz ZZYXRXQZCLKVDA-YUMQZZPRSA-N -1 1 308.762 1.577 20 0 DDADMM CCOC(=O)CC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692806404 737945023 /nfs/dbraw/zinc/94/50/23/737945023.db2.gz LZXPZFGMTSHCSO-UHFFFAOYSA-N -1 1 310.734 1.106 20 0 DDADMM Cc1nc(CNCCNC(=O)c2ncccc2[O-])c(C)s1 ZINC001126124998 738345379 /nfs/dbraw/zinc/34/53/79/738345379.db2.gz MGXWTHGATASWBV-UHFFFAOYSA-N -1 1 306.391 1.380 20 0 DDADMM C[C@@H](CCNC(=O)CC(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001075624155 739242679 /nfs/dbraw/zinc/24/26/79/739242679.db2.gz WEBNGANZMZWLPL-NSHDSACASA-N -1 1 307.394 1.848 20 0 DDADMM Cc1cnc(C)nc1N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001059200937 740368914 /nfs/dbraw/zinc/36/89/14/740368914.db2.gz JCPBIXPZVCLKCT-HAQNSBGRSA-N -1 1 313.361 1.567 20 0 DDADMM O=C(NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001059516909 741090093 /nfs/dbraw/zinc/09/00/93/741090093.db2.gz XLSQZIPDZHSKPB-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM Cc1occc1C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029640854 741169298 /nfs/dbraw/zinc/16/92/98/741169298.db2.gz VRIKDSVBLYKGBQ-NSHDSACASA-N -1 1 319.365 1.006 20 0 DDADMM COc1cc(C(=O)OCCc2cc(C(C)=O)ccc2[O-])ncn1 ZINC000923913234 742221678 /nfs/dbraw/zinc/22/16/78/742221678.db2.gz LUVRHZUQRRCYAT-UHFFFAOYSA-N -1 1 316.313 1.793 20 0 DDADMM Cc1coc(C(=O)N[C@@H](C)CCNC(=O)c2ncccc2[O-])c1 ZINC001076246536 742619099 /nfs/dbraw/zinc/61/90/99/742619099.db2.gz XFWZVLOBTQBRDD-NSHDSACASA-N -1 1 317.345 1.627 20 0 DDADMM O=C(Cc1ccc2cc[nH]c2c1)NCCCC[P@@](=O)([O-])O ZINC001181809664 743349253 /nfs/dbraw/zinc/34/92/53/743349253.db2.gz POIVHKKYXQJVOZ-UHFFFAOYSA-N -1 1 310.290 1.785 20 0 DDADMM Cn1nc(Br)cc1CN(CCC(=O)[O-])C1CC1 ZINC000691605212 743492987 /nfs/dbraw/zinc/49/29/87/743492987.db2.gz LKPPJQUIXCCQCF-UHFFFAOYSA-N -1 1 302.172 1.622 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@@H]2NC(=O)c3ccccc32)n[nH]1 ZINC001182413480 743617457 /nfs/dbraw/zinc/61/74/57/743617457.db2.gz GELQXYIUMGVDAI-GFCCVEGCSA-N -1 1 314.301 1.010 20 0 DDADMM O=C(NC1C[NH+](CCC2CCOCC2)C1)c1ccccc1O ZINC001030112254 743849980 /nfs/dbraw/zinc/84/99/80/743849980.db2.gz JVRHWCBSESAIPA-UHFFFAOYSA-N -1 1 304.390 1.623 20 0 DDADMM O=S(=O)(Cc1cc(F)cc(F)c1)[N-]c1ccn2cnnc2c1 ZINC001185096130 744153151 /nfs/dbraw/zinc/15/31/51/744153151.db2.gz BFOUEGAABXNFGU-UHFFFAOYSA-N -1 1 324.312 1.949 20 0 DDADMM O=C(Nc1ccc(F)c(F)c1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001185111320 744162474 /nfs/dbraw/zinc/16/24/74/744162474.db2.gz WIMKVJRDWGBTID-UHFFFAOYSA-N -1 1 306.232 1.981 20 0 DDADMM O=C(NC1CCCC1)NC1([P@@](=O)([O-])O)Cc2ccccc2C1 ZINC001185910686 744306366 /nfs/dbraw/zinc/30/63/66/744306366.db2.gz ZIWUUTXMDKIYNA-UHFFFAOYSA-N -1 1 324.317 1.901 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccc(Cc2ccc(F)cc2)c1 ZINC001185938285 744309108 /nfs/dbraw/zinc/30/91/08/744309108.db2.gz FORDIXICJBAKSZ-UHFFFAOYSA-N -1 1 311.320 1.860 20 0 DDADMM Cn1ncc(Cl)c1[N-]S(=O)(=O)c1ccc2n[nH]cc2c1 ZINC001185954537 744313159 /nfs/dbraw/zinc/31/31/59/744313159.db2.gz HQHBVHSVUDMSAU-UHFFFAOYSA-N -1 1 311.754 1.751 20 0 DDADMM Cc1cc2n(n1)c(=O)cc(C)n2C(=O)c1ccc([O-])c(F)c1 ZINC001186218692 744366692 /nfs/dbraw/zinc/36/66/92/744366692.db2.gz IPQTWRAMVHSFKR-UHFFFAOYSA-N -1 1 301.277 1.646 20 0 DDADMM Cc1ccnc(N2CCN(C(=O)c3ccc([O-])c(F)c3)CC2)n1 ZINC001186220095 744366813 /nfs/dbraw/zinc/36/68/13/744366813.db2.gz VQYPGWJUXUMJBD-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc2c(c1)S(=O)(=O)C=C2 ZINC001187283940 744534081 /nfs/dbraw/zinc/53/40/81/744534081.db2.gz QTADQIKCRXUHAB-UHFFFAOYSA-N -1 1 317.388 1.223 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cnoc2C)n(-c2ccncc2)n1 ZINC001187337866 744542748 /nfs/dbraw/zinc/54/27/48/744542748.db2.gz NPDJTPQFOSPIOU-UHFFFAOYSA-N -1 1 319.346 1.673 20 0 DDADMM O=C(Cc1c[nH]c2cc(C(F)(F)F)ccc12)NCc1nn[n-]n1 ZINC001187461834 744566337 /nfs/dbraw/zinc/56/63/37/744566337.db2.gz JOQMQESJEPOZFW-UHFFFAOYSA-N -1 1 324.266 1.559 20 0 DDADMM N=C(NC(=O)c1n[n-]nc1C(F)(F)F)c1cccnc1Cl ZINC001187773227 744600283 /nfs/dbraw/zinc/60/02/83/744600283.db2.gz BBHBFXJMGMWGTK-UHFFFAOYSA-N -1 1 318.646 1.627 20 0 DDADMM Cc1ccncc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001188225882 744677677 /nfs/dbraw/zinc/67/76/77/744677677.db2.gz YSUWRKUTUQZNHK-UHFFFAOYSA-N -1 1 302.294 1.602 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc(-c2ccncc2)n[nH]1 ZINC001189331724 744874225 /nfs/dbraw/zinc/87/42/25/744874225.db2.gz MDORHQVDXNJWKN-UHFFFAOYSA-N -1 1 322.288 1.096 20 0 DDADMM O=C(NC1CC(CNC(=O)[C@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000992441822 744877374 /nfs/dbraw/zinc/87/73/74/744877374.db2.gz BHODMFUGERVRAR-HTAVTVPLSA-N -1 1 315.373 1.212 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1c[nH]nc1-c1ccccc1 ZINC001189697464 744956454 /nfs/dbraw/zinc/95/64/54/744956454.db2.gz BQBFUMDRAKPYKQ-UHFFFAOYSA-N -1 1 323.289 1.764 20 0 DDADMM COc1nc(S(=O)(=O)[N-]c2ccc3nccn3c2)ccc1F ZINC001190063005 745095011 /nfs/dbraw/zinc/09/50/11/745095011.db2.gz IBEQLQXITJAXHL-UHFFFAOYSA-N -1 1 322.321 1.678 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2[nH]nc3cccnc32)c(OC)c1 ZINC001190109916 745117159 /nfs/dbraw/zinc/11/71/59/745117159.db2.gz BSZJZYWEQNUJCU-UHFFFAOYSA-N -1 1 314.301 1.933 20 0 DDADMM O=C(Nc1cccc2nsnc21)Nc1c([O-])nc[nH]c1=O ZINC001190279219 745171802 /nfs/dbraw/zinc/17/18/02/745171802.db2.gz KMQRQWVDKPQXBE-UHFFFAOYSA-N -1 1 304.291 1.537 20 0 DDADMM CCn1ccc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2F)n1 ZINC001190403040 745201399 /nfs/dbraw/zinc/20/13/99/745201399.db2.gz GUBACFOSVFEIDP-UHFFFAOYSA-N -1 1 319.308 1.799 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc(C(F)(F)F)nc3)c1-2 ZINC001190367908 745203359 /nfs/dbraw/zinc/20/33/59/745203359.db2.gz TZLUWLRPCNWLIX-UHFFFAOYSA-N -1 1 323.238 1.096 20 0 DDADMM CC(C)(C)[C@H](CO)NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190628903 745278446 /nfs/dbraw/zinc/27/84/46/745278446.db2.gz DVQNBWSXEJEJKH-LBPRGKRZSA-N -1 1 316.361 1.381 20 0 DDADMM CCCCNC(=O)NC1([P@](=O)([O-])O)Cc2ccccc2C1 ZINC001190630008 745278793 /nfs/dbraw/zinc/27/87/93/745278793.db2.gz FBCHLUOWYIJINC-UHFFFAOYSA-N -1 1 312.306 1.759 20 0 DDADMM O=c1cnc2cc([N-]S(=O)(=O)c3ccncc3)ccc2[nH]1 ZINC001190904294 745380514 /nfs/dbraw/zinc/38/05/14/745380514.db2.gz WVLTUKHPYDOZKZ-UHFFFAOYSA-N -1 1 302.315 1.119 20 0 DDADMM COc1cc(C(=O)N(C)CCC2OCCO2)cc(Cl)c1[O-] ZINC001191143335 745444015 /nfs/dbraw/zinc/44/40/15/745444015.db2.gz IACJQWMXRRQMIP-UHFFFAOYSA-N -1 1 315.753 1.889 20 0 DDADMM COC(=O)c1onc(C)c1NC(=O)c1cnc(SC)[n-]c1=O ZINC001191429772 745509254 /nfs/dbraw/zinc/50/92/54/745509254.db2.gz RGZPEZFAJHJCIV-UHFFFAOYSA-N -1 1 324.318 1.239 20 0 DDADMM CSc1ncc(C(=O)Nc2nc(Cl)cnc2N)c(=O)[n-]1 ZINC001191429742 745509261 /nfs/dbraw/zinc/50/92/61/745509261.db2.gz PWRAJHASJCFQHJ-UHFFFAOYSA-N -1 1 312.742 1.182 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCCC2(O)CCC2)sn1 ZINC001191474035 745516398 /nfs/dbraw/zinc/51/63/98/745516398.db2.gz MHZYOEGVVUMUGU-UHFFFAOYSA-N -1 1 306.409 1.125 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc(CCO)cc1 ZINC001191761717 745591499 /nfs/dbraw/zinc/59/14/99/745591499.db2.gz MMCOZSNFZPVQEC-UHFFFAOYSA-N -1 1 304.262 1.073 20 0 DDADMM COc1ccc(C[N-]S(=O)(=O)C(Cl)(Cl)Cl)nc1 ZINC001192050010 745671822 /nfs/dbraw/zinc/67/18/22/745671822.db2.gz PFCFCGMAJBVVPB-UHFFFAOYSA-N -1 1 319.597 1.837 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc(F)c(F)c2[O-])n[nH]1 ZINC001192783841 745891433 /nfs/dbraw/zinc/89/14/33/745891433.db2.gz COODVNUIZYZKNG-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM O=S(=O)([N-]c1ccnnc1Cl)c1cnccc1Cl ZINC001192854943 745917275 /nfs/dbraw/zinc/91/72/75/745917275.db2.gz PRNPXPFEDPXSCB-UHFFFAOYSA-N -1 1 305.146 1.979 20 0 DDADMM O=S(=O)([N-]c1cncnc1Cl)c1cnccc1Cl ZINC001192856393 745917818 /nfs/dbraw/zinc/91/78/18/745917818.db2.gz TZQLNZWQFYKNOX-UHFFFAOYSA-N -1 1 305.146 1.979 20 0 DDADMM COc1ccnc(C(=O)Nc2cccnc2-n2cccn2)c1[O-] ZINC001193519960 746131718 /nfs/dbraw/zinc/13/17/18/746131718.db2.gz GSNQLZUSXQEUSN-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc(NC(C)=O)n2)n1 ZINC001193707199 746187682 /nfs/dbraw/zinc/18/76/82/746187682.db2.gz CMJDMWRVDZETPM-UHFFFAOYSA-N -1 1 317.305 1.192 20 0 DDADMM COCCOc1cc([N-]S(=O)(=O)c2ccccc2N)ccn1 ZINC001193899665 746231675 /nfs/dbraw/zinc/23/16/75/746231675.db2.gz DMCJGMSNKGKNEL-UHFFFAOYSA-N -1 1 323.374 1.490 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc(C(C)(C)C)nn2C)[n-]n1 ZINC001194284146 746339991 /nfs/dbraw/zinc/33/99/91/746339991.db2.gz SNWPNQPWRYADJW-UHFFFAOYSA-N -1 1 305.338 1.480 20 0 DDADMM C[C@@]1(O)C[C@H](C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC001194579079 746413687 /nfs/dbraw/zinc/41/36/87/746413687.db2.gz ZZQGEJRXUQXLBS-DKTWLAJQSA-N -1 1 324.324 1.540 20 0 DDADMM Cn1ncc2cc(NC(=O)c3cc([O-])cnc3Cl)cnc21 ZINC001195298199 746570402 /nfs/dbraw/zinc/57/04/02/746570402.db2.gz PZTDBEWNISVGIU-UHFFFAOYSA-N -1 1 303.709 1.975 20 0 DDADMM COC(=O)c1ccnc(CNC(=O)c2cc([O-])cnc2Cl)c1 ZINC001195312476 746573521 /nfs/dbraw/zinc/57/35/21/746573521.db2.gz HGTBDUIQYPKOGT-UHFFFAOYSA-N -1 1 321.720 1.552 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc2c(c1)CCN(C)C2=O ZINC001195494648 746625379 /nfs/dbraw/zinc/62/53/79/746625379.db2.gz OKVYYPKCCDYZSQ-UHFFFAOYSA-N -1 1 307.375 1.758 20 0 DDADMM CC(C)Nc1nc(C(=O)NCCCC[P@](=O)([O-])O)cs1 ZINC001195689357 746672949 /nfs/dbraw/zinc/67/29/49/746672949.db2.gz CMCNLYMNJRIEGX-UHFFFAOYSA-N -1 1 321.339 1.651 20 0 DDADMM COCCOc1cccc(NC(=O)c2c[nH]c(=S)[n-]c2=O)c1 ZINC001196019737 746758478 /nfs/dbraw/zinc/75/84/78/746758478.db2.gz BBFWEOLFHOYHKO-UHFFFAOYSA-N -1 1 321.358 1.748 20 0 DDADMM Cn1c(CNC(=O)c2c[nH]c(=S)[n-]c2=O)cc2ccccc21 ZINC001196023933 746759969 /nfs/dbraw/zinc/75/99/69/746759969.db2.gz OUBUYKQXTNBFGQ-UHFFFAOYSA-N -1 1 314.370 1.893 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cc(C)cc(F)n1 ZINC001196929245 747000561 /nfs/dbraw/zinc/00/05/61/747000561.db2.gz RXVWASXTKITSME-UHFFFAOYSA-N -1 1 304.343 1.614 20 0 DDADMM O=S(=O)(Cc1cc(Cl)ccc1Cl)[N-]Cc1ncon1 ZINC001197495521 747189381 /nfs/dbraw/zinc/18/93/81/747189381.db2.gz OUVOIZPMYMCTQJ-UHFFFAOYSA-N -1 1 322.173 1.996 20 0 DDADMM CCN(c1ccnc(C)n1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001089637745 747531035 /nfs/dbraw/zinc/53/10/35/747531035.db2.gz ZWXCLKCGMTWLDM-LLVKDONJSA-N -1 1 315.377 1.530 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CC[N@@H+](Cc2ccccn2)C1 ZINC001033026285 747974963 /nfs/dbraw/zinc/97/49/63/747974963.db2.gz VAMAXWZJKALLGA-AWEZNQCLSA-N -1 1 312.373 1.529 20 0 DDADMM O=S(=O)([N-]CC1(O)CC1)c1cc(Cl)c(Cl)cc1F ZINC001201243873 748442010 /nfs/dbraw/zinc/44/20/10/748442010.db2.gz BJOXSLPYYRXICS-UHFFFAOYSA-N -1 1 314.165 1.936 20 0 DDADMM C[C@@H]1CCN(C(=O)C2(C)CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004760901 748753280 /nfs/dbraw/zinc/75/32/80/748753280.db2.gz UDNQBFKOPSAFJN-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM CCOc1cc(NC[C@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001108323049 761933476 /nfs/dbraw/zinc/93/34/76/761933476.db2.gz IAYJGZLBLVIJHS-JTQLQIEISA-N -1 1 317.349 1.206 20 0 DDADMM Cc1nc(Cl)cc(NC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108323812 761934592 /nfs/dbraw/zinc/93/45/92/761934592.db2.gz ZUEMVRQDYVBNJV-QMMMGPOBSA-N -1 1 321.768 1.769 20 0 DDADMM O=C(NC/C=C/CNc1ncc(Cl)cn1)c1ncccc1[O-] ZINC001107139827 749352159 /nfs/dbraw/zinc/35/21/59/749352159.db2.gz SLVUNPDKTDTUJA-OWOJBTEDSA-N -1 1 319.752 1.629 20 0 DDADMM CN(c1ncc(F)cn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001056901029 761996215 /nfs/dbraw/zinc/99/62/15/761996215.db2.gz VEDLOJYAWJUKEP-NSHDSACASA-N -1 1 317.324 1.067 20 0 DDADMM O=C(c1ccccc1O)N1CCC[C@@H]1C[NH2+]Cc1cnon1 ZINC001034865315 750955543 /nfs/dbraw/zinc/95/55/43/750955543.db2.gz WRIYZSXXUPVRBJ-GFCCVEGCSA-N -1 1 302.334 1.170 20 0 DDADMM Cc1csc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001034929627 751040311 /nfs/dbraw/zinc/04/03/11/751040311.db2.gz QYSKAWYSPIZTIH-SNVBAGLBSA-N -1 1 321.406 1.275 20 0 DDADMM CC(C)[C@]1(C)C[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998869493 752324083 /nfs/dbraw/zinc/32/40/83/752324083.db2.gz PNDOHYJEEGWOCX-PXAZEXFGSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@H]1CCCN(C(=O)CC2CC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036495870 752486604 /nfs/dbraw/zinc/48/66/04/752486604.db2.gz QXZZMGZKTSHQFK-JQWIXIFHSA-N -1 1 307.398 1.027 20 0 DDADMM CC(=O)N1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C(C)(C)C1 ZINC001008154649 752581902 /nfs/dbraw/zinc/58/19/02/752581902.db2.gz AMMKIGABKCRZHT-GFCCVEGCSA-N -1 1 318.377 1.046 20 0 DDADMM CC(C)(C)C(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008992869 753026242 /nfs/dbraw/zinc/02/62/42/753026242.db2.gz OZYFAEZRPALNFM-CNDDSTCGSA-N -1 1 317.389 1.268 20 0 DDADMM O=C(N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1(C2CC2)CCC1 ZINC000999811099 753154898 /nfs/dbraw/zinc/15/48/98/753154898.db2.gz BURXKRCQQKOWTJ-GFCCVEGCSA-N -1 1 319.409 1.171 20 0 DDADMM CC(C)(C)C(C)(C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999903916 753232708 /nfs/dbraw/zinc/23/27/08/753232708.db2.gz NWVVJUSDZDOYKR-LLVKDONJSA-N -1 1 323.441 1.663 20 0 DDADMM O=C(C[C@@H]1CC=CCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999903536 753232713 /nfs/dbraw/zinc/23/27/13/753232713.db2.gz GLZYKKYSSXVDOB-OLZOCXBDSA-N -1 1 319.409 1.337 20 0 DDADMM O=C(C=C1CCC1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036686416 753353941 /nfs/dbraw/zinc/35/39/41/753353941.db2.gz ODCUGJFQYWSMQI-OLZOCXBDSA-N -1 1 317.393 1.043 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)/C=C/C2CC2)C1 ZINC001005947646 753407648 /nfs/dbraw/zinc/40/76/48/753407648.db2.gz AUVSODNLADESBQ-XUIVZRPNSA-N -1 1 315.373 1.330 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2CCCC2)C1 ZINC001005949607 753408980 /nfs/dbraw/zinc/40/89/80/753408980.db2.gz HILDTMKSPPPAGR-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM C/C=C(\C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062775860 753699023 /nfs/dbraw/zinc/69/90/23/753699023.db2.gz WZBHNOKEZSUWJR-QDEBKDIKSA-N -1 1 303.362 1.522 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(c2cc(F)ncn2)C1 ZINC001062922878 753848478 /nfs/dbraw/zinc/84/84/78/753848478.db2.gz BTPSMRNUKJVPDD-SNVBAGLBSA-N -1 1 317.324 1.067 20 0 DDADMM Cc1occc1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001000849288 753999449 /nfs/dbraw/zinc/99/94/49/753999449.db2.gz IIVDHWBGGSGSIH-UHFFFAOYSA-N -1 1 315.329 1.191 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CCC1 ZINC001011371529 754478895 /nfs/dbraw/zinc/47/88/95/754478895.db2.gz PRDUUNMCJDHQRD-CMPLNLGQSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ccc[nH]1 ZINC001011479273 754548785 /nfs/dbraw/zinc/54/87/85/754548785.db2.gz STMVXKKPEKSURI-GHMZBOCLSA-N -1 1 314.345 1.148 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)C1CC1)C(=O)c1ncccc1[O-] ZINC001002016747 754646394 /nfs/dbraw/zinc/64/63/94/754646394.db2.gz WOQRPTCKEZJGMP-LBPRGKRZSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@@H]1[C@H](NC(=O)CC2CC2)CCN1C(=O)c1ncccc1[O-] ZINC001011675242 754670538 /nfs/dbraw/zinc/67/05/38/754670538.db2.gz ZUDQIKDNPUORIL-ZYHUDNBSSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C2=CCCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011675019 754670811 /nfs/dbraw/zinc/67/08/11/754670811.db2.gz YAKPSNLATCAVSJ-DGCLKSJQSA-N -1 1 315.373 1.617 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)/C=C/C1CC1 ZINC001067085775 755873152 /nfs/dbraw/zinc/87/31/52/755873152.db2.gz CZGMAXHDVLVFOO-CMDGGOBGSA-N -1 1 317.389 1.674 20 0 DDADMM CC(C)CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001014894985 756004143 /nfs/dbraw/zinc/00/41/43/756004143.db2.gz RQPGWTIXFCYITG-CHWSQXEVSA-N -1 1 319.405 1.943 20 0 DDADMM COCCOc1cncc(NCC[N-]C(=O)C(F)(F)F)c1 ZINC001164251396 756237848 /nfs/dbraw/zinc/23/78/48/756237848.db2.gz UUHGANWXXIBKDE-UHFFFAOYSA-N -1 1 307.272 1.197 20 0 DDADMM CC[C@@H](F)C(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400589 757899837 /nfs/dbraw/zinc/89/98/37/757899837.db2.gz OZXVKIVDITZFAT-GFCCVEGCSA-N -1 1 321.352 1.424 20 0 DDADMM Cc1nnc([C@@H](C)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC001018756074 759226930 /nfs/dbraw/zinc/22/69/30/759226930.db2.gz LHVBGFIVKWUGTH-KOLCDFICSA-N -1 1 317.349 1.044 20 0 DDADMM C[C@@H](Cc1cccc(F)c1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000826614348 759293926 /nfs/dbraw/zinc/29/39/26/759293926.db2.gz ASHUEPKRSKWRNG-GXFFZTMASA-N -1 1 319.340 1.118 20 0 DDADMM CN(C[C@@H]1CCN1Cc1ccccn1)C(=O)c1ncccc1[O-] ZINC001085562308 759729051 /nfs/dbraw/zinc/72/90/51/759729051.db2.gz IJAINFREVSXYSR-AWEZNQCLSA-N -1 1 312.373 1.529 20 0 DDADMM Cc1[nH]nc(C(=O)N2C[C@H](NCc3nccs3)[C@@H](C)C2)c1[O-] ZINC001054570981 759829766 /nfs/dbraw/zinc/82/97/66/759829766.db2.gz JWQMNIARPYZDTR-WPRPVWTQSA-N -1 1 321.406 1.131 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)c2ccco2)C1 ZINC001016432094 760439505 /nfs/dbraw/zinc/43/95/05/760439505.db2.gz UWGDDLUABUUVGO-UHFFFAOYSA-N -1 1 315.329 1.413 20 0 DDADMM CCC1(NC(=O)C(C)C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001069322568 767964455 /nfs/dbraw/zinc/96/44/55/767964455.db2.gz IENDLJBPXNZHDB-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(C[C@H]1C=CCC1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001537495 762943998 /nfs/dbraw/zinc/94/39/98/762943998.db2.gz PADFMWFCQWRSLO-LBPRGKRZSA-N -1 1 315.373 1.332 20 0 DDADMM Cc1nn(-c2cccnc2)nc1C(=O)[N-]c1c(F)ccnc1F ZINC001129367754 762994588 /nfs/dbraw/zinc/99/45/88/762994588.db2.gz YQRABBURYZYZDM-UHFFFAOYSA-N -1 1 316.271 1.896 20 0 DDADMM Cc1nsc(NC2CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC001057314677 763400111 /nfs/dbraw/zinc/40/01/11/763400111.db2.gz WQZXRGSLRVMTEO-UHFFFAOYSA-N -1 1 319.390 1.664 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C2CN(CC3CC(C)(C)C3)C2)c1[O-] ZINC001042619435 764279450 /nfs/dbraw/zinc/27/94/50/764279450.db2.gz DGQLQUAAEBXKAV-UHFFFAOYSA-N -1 1 306.410 1.616 20 0 DDADMM Cc1cc(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)on1 ZINC001042642916 764306033 /nfs/dbraw/zinc/30/60/33/764306033.db2.gz UAZBXOZPFQNBIP-UHFFFAOYSA-N -1 1 302.334 1.040 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncc(-c4ccccc4)cn3)c2[nH]1 ZINC001170212853 766172423 /nfs/dbraw/zinc/17/24/23/766172423.db2.gz DIQZACLEOGRYIA-UHFFFAOYSA-N -1 1 321.300 1.916 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(-c4nnco4)cc3)c2[nH]1 ZINC001170216875 766175521 /nfs/dbraw/zinc/17/55/21/766175521.db2.gz DPHJMIIDSGABGD-UHFFFAOYSA-N -1 1 311.261 1.509 20 0 DDADMM CCOc1cnc(Cl)nc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170226380 766200338 /nfs/dbraw/zinc/20/03/38/766200338.db2.gz JYSFKFWYYOTDIU-UHFFFAOYSA-N -1 1 307.701 1.644 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2cc(O[C@H]3CCOC3)ccn2)[n-]1 ZINC001170230373 766204726 /nfs/dbraw/zinc/20/47/26/766204726.db2.gz QNOPBANWYSZLKI-VIFPVBQESA-N -1 1 314.305 1.365 20 0 DDADMM C[C@H]1NCCn2c(C(=O)NCc3ccccc3C(=O)[O-])ccc21 ZINC000900464349 766864144 /nfs/dbraw/zinc/86/41/44/766864144.db2.gz BNIGFVIJKWYATA-LLVKDONJSA-N -1 1 313.357 1.781 20 0 DDADMM Cc1nc(CN2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)co1 ZINC001046262066 767320386 /nfs/dbraw/zinc/32/03/86/767320386.db2.gz JSKZQCLBFWXTET-INIZCTEOSA-N -1 1 316.361 1.478 20 0 DDADMM C[C@H]1[C@H](Nc2cnc(F)cn2)CCN1C(=O)c1ncccc1[O-] ZINC001068822754 767639971 /nfs/dbraw/zinc/63/99/71/767639971.db2.gz LZACMCDUDVMQLO-VHSXEESVSA-N -1 1 317.324 1.431 20 0 DDADMM Cc1ccc(Cc2nc(C(=O)N(C)c3nn[n-]n3)cs2)cc1 ZINC001130971926 767825451 /nfs/dbraw/zinc/82/54/51/767825451.db2.gz JRUYIBGMHKCESD-UHFFFAOYSA-N -1 1 314.374 1.832 20 0 DDADMM C[C@H]1C[C@H]1C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001048498135 769458352 /nfs/dbraw/zinc/45/83/52/769458352.db2.gz DAYPCGKXVRLWSD-IEECTRCBSA-N -1 1 319.409 1.026 20 0 DDADMM C[C@H]1C[C@H]1C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001048498135 769458355 /nfs/dbraw/zinc/45/83/55/769458355.db2.gz DAYPCGKXVRLWSD-IEECTRCBSA-N -1 1 319.409 1.026 20 0 DDADMM CC1(c2ccccc2C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1 ZINC001133716169 770180836 /nfs/dbraw/zinc/18/08/36/770180836.db2.gz LVSJXFCVYKXFDS-UHFFFAOYSA-N -1 1 315.377 1.081 20 0 DDADMM CCC1(C(=O)N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)CC1 ZINC001071951669 770335266 /nfs/dbraw/zinc/33/52/66/770335266.db2.gz BUNNSAJCTNJPBH-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM COC(=O)[C@H]1CN(Cc2c(F)cc([O-])cc2F)CCCO1 ZINC001144562067 772565302 /nfs/dbraw/zinc/56/53/02/772565302.db2.gz XCKQTWKKHYUCJU-CYBMUJFWSA-N -1 1 301.289 1.434 20 0 DDADMM C[C@@H]1CN(C2CN(Cc3c(F)cc([O-])cc3F)C2)C[C@H](C)O1 ZINC001144567030 772567508 /nfs/dbraw/zinc/56/75/08/772567508.db2.gz AHLBFBIWZUDIPT-PHIMTYICSA-N -1 1 312.360 1.964 20 0 DDADMM COCc1[nH]nc2c1CN(C(=O)Cc1ccc(O)c(F)c1)C2 ZINC001144625898 772578670 /nfs/dbraw/zinc/57/86/70/772578670.db2.gz OXZRVECVHJXNTI-UHFFFAOYSA-N -1 1 305.309 1.486 20 0 DDADMM O=C(Nc1cccc(C(F)(F)F)c1[O-])c1cc(=O)[nH]c(=O)[nH]1 ZINC001147698168 773205517 /nfs/dbraw/zinc/20/55/17/773205517.db2.gz LBYQZLBXFYTQOW-UHFFFAOYSA-N -1 1 315.207 1.865 20 0 DDADMM COC(C)(C)[C@@H]1CCCN1C(=O)c1c(CO)cnc(C)c1[O-] ZINC001147838404 773264331 /nfs/dbraw/zinc/26/43/31/773264331.db2.gz QRTLUHOGRODQJJ-LBPRGKRZSA-N -1 1 308.378 1.618 20 0 DDADMM CC(C)=CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073685533 773305491 /nfs/dbraw/zinc/30/54/91/773305491.db2.gz KIVWRRWOHHWWIX-CHWSQXEVSA-N -1 1 317.389 1.720 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NC[C@H]3C[C@@H](O)C3)cc2)[n-]1 ZINC001148336579 773440583 /nfs/dbraw/zinc/44/05/83/773440583.db2.gz PCNUPOLQDRTXGJ-VDISTLRHSA-N -1 1 301.346 1.300 20 0 DDADMM O=C(NC1CC(=O)C1)c1cc([O-])c(Br)c(O)c1 ZINC001148989752 773666358 /nfs/dbraw/zinc/66/63/58/773666358.db2.gz IHUPKMPHQGLKJF-UHFFFAOYSA-N -1 1 300.108 1.322 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001074585023 774012214 /nfs/dbraw/zinc/01/22/14/774012214.db2.gz IGCCZJIZVHIAGQ-BYNIDDHOSA-N -1 1 319.409 1.026 20 0 DDADMM C[C@H](CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CC1 ZINC001075133423 774374033 /nfs/dbraw/zinc/37/40/33/774374033.db2.gz CGTDGWRMKLOHOF-SCVCMEIPSA-N -1 1 307.398 1.026 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])Nc1cncc(Cl)n1 ZINC001099105310 774836610 /nfs/dbraw/zinc/83/66/10/774836610.db2.gz XNCSVOUNVDLOHA-VIFPVBQESA-N -1 1 321.768 1.851 20 0 DDADMM O=C(NCCCNc1nc(C2CC2)ns1)c1ncccc1[O-] ZINC001094375576 775819096 /nfs/dbraw/zinc/81/90/96/775819096.db2.gz FWIWSPAVXVNDBY-UHFFFAOYSA-N -1 1 319.390 1.748 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])c1cnc(F)cn1 ZINC001101125672 776927664 /nfs/dbraw/zinc/92/76/64/776927664.db2.gz IWDQBTVHNUKUBS-UHFFFAOYSA-N -1 1 319.340 1.363 20 0 DDADMM COc1ncc(Nc2cc(S(=O)(=O)C(N)=O)ccc2[O-])cn1 ZINC001174037017 777402958 /nfs/dbraw/zinc/40/29/58/777402958.db2.gz DSPJVUQEFLNDDZ-UHFFFAOYSA-N -1 1 324.318 1.201 20 0 DDADMM COc1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c(OC)c1OC ZINC001174898474 777629480 /nfs/dbraw/zinc/62/94/80/777629480.db2.gz FSXIXWOWOAYVES-UHFFFAOYSA-N -1 1 324.362 1.823 20 0 DDADMM CCNC(=O)c1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1 ZINC001175627708 777849795 /nfs/dbraw/zinc/84/97/95/777849795.db2.gz ISQGJMNZJNHBFH-UHFFFAOYSA-N -1 1 305.363 1.546 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2cncc(OC)c2)[n-]1 ZINC001175775977 777905866 /nfs/dbraw/zinc/90/58/66/777905866.db2.gz INMBOSQAMUGLEH-UHFFFAOYSA-N -1 1 318.333 1.561 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2cncc(OC)c2)n1 ZINC001175775977 777905875 /nfs/dbraw/zinc/90/58/75/777905875.db2.gz INMBOSQAMUGLEH-UHFFFAOYSA-N -1 1 318.333 1.561 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cnc(N2CCCCC2)nc1 ZINC001176505598 778168147 /nfs/dbraw/zinc/16/81/47/778168147.db2.gz XQQYZKBESUKOHU-UHFFFAOYSA-N -1 1 319.394 1.577 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cncc(Br)c1Cl ZINC001176837908 778269204 /nfs/dbraw/zinc/26/92/04/778269204.db2.gz MPQPZEMYPUZNCA-UHFFFAOYSA-N -1 1 317.534 1.192 20 0 DDADMM O=C(Cc1nn[n-]n1)N1CCC(c2c[nH]c3ccccc23)CC1 ZINC001176841308 778270865 /nfs/dbraw/zinc/27/08/65/778270865.db2.gz VXCCDTNDYPIXHU-UHFFFAOYSA-N -1 1 310.361 1.630 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)C(F)(F)c1ccccn1 ZINC001177303607 778519522 /nfs/dbraw/zinc/51/95/22/778519522.db2.gz XLWRSIGXDKWVGV-UHFFFAOYSA-N -1 1 308.221 1.248 20 0 DDADMM Cn1ccc(C(=O)N2CCN(C(C)(C)C)CC2)c1CC(=O)[O-] ZINC001177365608 778570067 /nfs/dbraw/zinc/57/00/67/778570067.db2.gz UKVOLFKVJWYTKG-UHFFFAOYSA-N -1 1 307.394 1.209 20 0 DDADMM COC[C@@H](NC(=O)c1cnc2ccccc2c1C)c1nn[n-]n1 ZINC001177828726 778733626 /nfs/dbraw/zinc/73/36/26/778733626.db2.gz PYYKKOWXDGFMPQ-CYBMUJFWSA-N -1 1 312.333 1.174 20 0 DDADMM COc1cnc(F)c(CC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001178422732 779048668 /nfs/dbraw/zinc/04/86/68/779048668.db2.gz CEEXJKQFJMXWSK-UHFFFAOYSA-N -1 1 323.240 1.010 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H](CNc1ncccn1)C1CC1 ZINC001115508088 780198398 /nfs/dbraw/zinc/19/83/98/780198398.db2.gz JWHWUVTYIGVIKJ-GFCCVEGCSA-N -1 1 313.361 1.540 20 0 DDADMM O=C(COC(=O)c1cn2ccccc2n1)c1ccc([O-])cc1O ZINC001116251624 780489314 /nfs/dbraw/zinc/48/93/14/780489314.db2.gz VBQICWNHVKYLHT-UHFFFAOYSA-N -1 1 312.281 1.785 20 0 DDADMM CN1CC[C@@H](NC(=O)NCCCC(=O)[O-])[C@H]1c1ccc(F)cc1 ZINC001118199532 781060189 /nfs/dbraw/zinc/06/01/89/781060189.db2.gz MWZVCXHEPGSAMI-UKRRQHHQSA-N -1 1 323.368 1.735 20 0 DDADMM CC[C@@H](COC)NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001119124767 781327518 /nfs/dbraw/zinc/32/75/18/781327518.db2.gz QVUVFRXQWXPWHI-JTQLQIEISA-N -1 1 314.769 1.203 20 0 DDADMM O=C([O-])[C@@]1(N2CCN(C(=O)C[C@H]3C=CCCC3)CC2)CCOC1 ZINC001119581627 781525811 /nfs/dbraw/zinc/52/58/11/781525811.db2.gz JBQAVUXYNKFPJM-WMLDXEAASA-N -1 1 322.405 1.121 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)COCc1ccccc1 ZINC000362542109 837484801 /nfs/dbraw/zinc/48/48/01/837484801.db2.gz QHPABCJIQUUHAT-UHFFFAOYSA-N -1 1 303.318 1.742 20 0 DDADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCCC[C@H]1C1CC1 ZINC001266788055 836836662 /nfs/dbraw/zinc/83/66/62/836836662.db2.gz SBEXBZOWYBFIEF-STQMWFEESA-N -1 1 321.425 1.275 20 0 DDADMM CCc1ccccc1C(=O)N(CC)CCNCc1n[nH]c(=O)[n-]1 ZINC001268474819 840262716 /nfs/dbraw/zinc/26/27/16/840262716.db2.gz HVQZVROJUNKWKB-UHFFFAOYSA-N -1 1 317.393 1.325 20 0 DDADMM CC[C@H](C)N1CC[C@@]2(CCN(C(=O)c3ccc([O-])cn3)C2)C1=O ZINC001269354300 841556777 /nfs/dbraw/zinc/55/67/77/841556777.db2.gz FWNKSIXQQVNYEO-YVEFUNNKSA-N -1 1 317.389 1.650 20 0 DDADMM CN(C)c1nc(NC(=O)C(=O)c2ccccc2)c(N=O)c(=O)[n-]1 ZINC001142684244 861227645 /nfs/dbraw/zinc/22/76/45/861227645.db2.gz YAONOKVDMXNDLL-UHFFFAOYSA-N -1 1 315.289 1.468 20 0 DDADMM CCN(C(=O)[C@@]12C[C@@H]1CN(C(=O)c1ccc([O-])cn1)C2)C(C)C ZINC001270139096 842325082 /nfs/dbraw/zinc/32/50/82/842325082.db2.gz RPAPQARPBSALIX-SJKOYZFVSA-N -1 1 317.389 1.506 20 0 DDADMM CN1CCC12CN(C(=O)CC([O-])(C(F)(F)F)C(F)(F)F)C2 ZINC001270500257 842624079 /nfs/dbraw/zinc/62/40/79/842624079.db2.gz HRDWXQGAHDNMSP-UHFFFAOYSA-N -1 1 320.233 1.149 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C3CCC3)C2)[n-]n1 ZINC001414282383 842918308 /nfs/dbraw/zinc/91/83/08/842918308.db2.gz OKHAMGGYVPBOIR-SNVBAGLBSA-N -1 1 313.379 1.007 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C3CCC3)C2)n[n-]1 ZINC001414282383 842918316 /nfs/dbraw/zinc/91/83/16/842918316.db2.gz OKHAMGGYVPBOIR-SNVBAGLBSA-N -1 1 313.379 1.007 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1ccc(C(F)F)o1)c1ncon1 ZINC001364045270 842973743 /nfs/dbraw/zinc/97/37/43/842973743.db2.gz RRNXKLBXBKUJAE-UHFFFAOYSA-N -1 1 307.278 1.814 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)NC3(CCO)COC3)cc2c1 ZINC001154757365 861428009 /nfs/dbraw/zinc/42/80/09/861428009.db2.gz RXIIVRSUTHFBPY-UHFFFAOYSA-N -1 1 317.341 1.435 20 0 DDADMM CN1C[C@]2(CC1=O)CCCCN2C(=O)c1ccc(F)c(=O)[n-]1 ZINC001271742260 843832576 /nfs/dbraw/zinc/83/25/76/843832576.db2.gz KKOORTGRZBTLLL-OAHLLOKOSA-N -1 1 307.325 1.153 20 0 DDADMM O=C(NCCN1CC2(COC2)C1)c1ccc2cccnc2c1[O-] ZINC001149329938 861550647 /nfs/dbraw/zinc/55/06/47/861550647.db2.gz UHQZKEPHWQLUHR-UHFFFAOYSA-N -1 1 313.357 1.002 20 0 DDADMM CN1C[C@]2(CC1=O)CCCCN2C(=O)c1cccc([O-])c1F ZINC001272282330 845994007 /nfs/dbraw/zinc/99/40/07/845994007.db2.gz AIVYUGAHOLDRNT-MRXNPFEDSA-N -1 1 306.337 1.758 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)Cc1ccno1)C(=O)OC(C)(C)C ZINC001365136624 846024455 /nfs/dbraw/zinc/02/44/55/846024455.db2.gz UESPKAFRNHHHNM-LLVKDONJSA-N -1 1 318.395 1.460 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCC2(OC)CCC2)sn1 ZINC001365408401 846202315 /nfs/dbraw/zinc/20/23/15/846202315.db2.gz LBBZWBGDWDMSMU-UHFFFAOYSA-N -1 1 306.409 1.389 20 0 DDADMM CN1C(=O)C[C@]2(CCC[N@H+](Cc3ccc(O)c(F)c3F)C2)C1=O ZINC001272724696 846723376 /nfs/dbraw/zinc/72/33/76/846723376.db2.gz MPHMBLJGMLCXKR-INIZCTEOSA-N -1 1 324.327 1.641 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2C[C@@H]1CCCCO1 ZINC001032368447 847145223 /nfs/dbraw/zinc/14/52/23/847145223.db2.gz CJJKWBYZDDMOFC-IHRRRGAJSA-N -1 1 317.389 1.255 20 0 DDADMM Cc1conc1CN1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001032369105 847166268 /nfs/dbraw/zinc/16/62/68/847166268.db2.gz SERZOFNHLCEYIY-RYUDHWBXSA-N -1 1 314.345 1.183 20 0 DDADMM O=C(CNC(=O)c1[n-][nH]c2cc(=O)ccc1-2)NC1CCCC1 ZINC001155269499 861914795 /nfs/dbraw/zinc/91/47/95/861914795.db2.gz IMUBQXRXPYFUDM-UHFFFAOYSA-N -1 1 302.334 1.057 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc2[nH]ccc2s1 ZINC001149868971 861955188 /nfs/dbraw/zinc/95/51/88/861955188.db2.gz VQSQPTVFNKRZBD-UHFFFAOYSA-N -1 1 302.292 1.917 20 0 DDADMM CC(C)[C@@H](F)C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001410691817 849653809 /nfs/dbraw/zinc/65/38/09/849653809.db2.gz KGFGKULNTLGJEG-LLVKDONJSA-N -1 1 309.341 1.160 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001273520825 851055960 /nfs/dbraw/zinc/05/59/60/851055960.db2.gz HWBQQXYPBHFQOC-CLLJXQQHSA-N -1 1 321.425 1.275 20 0 DDADMM Cc1ccc2ccc(C(=O)Nc3c[nH]c(=O)nc3N)c([O-])c2n1 ZINC001155659798 862350201 /nfs/dbraw/zinc/35/02/01/862350201.db2.gz KWYMYQMVUKIEAX-UHFFFAOYSA-N -1 1 311.301 1.579 20 0 DDADMM CC(=O)NC[C@@H](C)CNC(=O)c1ccc2ccc(C)nc2c1[O-] ZINC001155661037 862351277 /nfs/dbraw/zinc/35/12/77/862351277.db2.gz MAMPKJUMHGQQBN-SNVBAGLBSA-N -1 1 315.373 1.751 20 0 DDADMM [O-]c1cc(CN2CC3(CCN3Cc3cn[nH]c3)C2)cc(F)c1F ZINC001275619065 853442148 /nfs/dbraw/zinc/44/21/48/853442148.db2.gz NOHSERDMJCFOPP-UHFFFAOYSA-N -1 1 320.343 1.854 20 0 DDADMM CC(C)(C)NC(=O)[C@H]1CC12CN(C(=O)c1cncc([O-])c1)C2 ZINC001275620674 853446830 /nfs/dbraw/zinc/44/68/30/853446830.db2.gz IGOFWWYJEFBZDM-GFCCVEGCSA-N -1 1 303.362 1.164 20 0 DDADMM COC(=O)CCc1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001411772180 853708716 /nfs/dbraw/zinc/70/87/16/853708716.db2.gz GMWGGSWMRDMQGC-UHFFFAOYSA-N -1 1 301.302 1.540 20 0 DDADMM Cc1cccc(C)c1OC[C@H](O)CNC(=O)c1ccc([O-])cn1 ZINC001411902439 853949522 /nfs/dbraw/zinc/94/95/22/853949522.db2.gz VRZQQAINAHNUPT-CQSZACIVSA-N -1 1 316.357 1.574 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](C)N2CCCCC2=O)c(=O)[n-]1 ZINC001412031576 854132477 /nfs/dbraw/zinc/13/24/77/854132477.db2.gz FBGPRDXNJODDIY-SECBINFHSA-N -1 1 324.406 1.035 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H]2[C@@H]3C(=O)CC[C@H]23)[n-]c1Cl ZINC001412298463 854419327 /nfs/dbraw/zinc/41/93/27/854419327.db2.gz AUVAEZYEAQZLTL-APQOSEDMSA-N -1 1 311.725 1.403 20 0 DDADMM C[C@@H]1C(=O)CC[C@H](C(=O)NCc2nc([O-])cc(=O)[nH]2)C1(C)C ZINC001412376026 854486571 /nfs/dbraw/zinc/48/65/71/854486571.db2.gz MQXXRQBRGBCEEP-RKDXNWHRSA-N -1 1 307.350 1.145 20 0 DDADMM CSc1nc(NC(=O)c2cnc3[nH]ccc3c2)cc(=O)[n-]1 ZINC001151007030 862572151 /nfs/dbraw/zinc/57/21/51/862572151.db2.gz FBCIDKLOIIYTBW-UHFFFAOYSA-N -1 1 301.331 1.984 20 0 DDADMM C[C@@H](CN(C)C(=O)CCC1CC1)NC(=O)c1ncccc1[O-] ZINC001412471202 854591243 /nfs/dbraw/zinc/59/12/43/854591243.db2.gz FQTXLSZHFNWJPX-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM CN(C)C(=O)C12CC(NC(=O)c3cccc([O-])c3Cl)(C1)C2 ZINC001276049518 854667883 /nfs/dbraw/zinc/66/78/83/854667883.db2.gz CKMDTPAWNPXGPU-UHFFFAOYSA-N -1 1 308.765 1.786 20 0 DDADMM Cc1noc2nc(C)cc(C(=O)N=c3ncnc4[nH][n-]c(C)c3-4)c12 ZINC001151041284 862592740 /nfs/dbraw/zinc/59/27/40/862592740.db2.gz CWUMKYWKWDKWEJ-UHFFFAOYSA-N -1 1 323.316 1.440 20 0 DDADMM C[C@@H](CSC(F)(F)F)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001412547190 854701896 /nfs/dbraw/zinc/70/18/96/854701896.db2.gz WRAKNCZTUNEWEJ-LURJTMIESA-N -1 1 309.317 1.584 20 0 DDADMM CC[C@H](Cc1ccccc1)C(=O)N[C@@H](COC)c1nn[n-]n1 ZINC001412600441 854792181 /nfs/dbraw/zinc/79/21/81/854792181.db2.gz DJRKHWBHLZTYIK-OLZOCXBDSA-N -1 1 303.366 1.272 20 0 DDADMM COC[C@H](NC(=O)Cc1ccc(C)c(Cl)c1)c1nn[n-]n1 ZINC001412603523 854796816 /nfs/dbraw/zinc/79/68/16/854796816.db2.gz HRBNRZQDGRDOAG-NSHDSACASA-N -1 1 309.757 1.208 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@H]2CN(C)CC2(C)C)c1 ZINC001412671663 854912787 /nfs/dbraw/zinc/91/27/87/854912787.db2.gz CKTDTDSZDNLGPU-FPTDNZKUSA-N -1 1 324.446 1.259 20 0 DDADMM NC(=O)c1ncccc1C(=O)NCCc1c(F)cc([O-])cc1F ZINC001412674394 854922313 /nfs/dbraw/zinc/92/23/13/854922313.db2.gz CDPABFCMJGMVTP-UHFFFAOYSA-N -1 1 321.283 1.137 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1csc(=O)[nH]1 ZINC001412681982 854938283 /nfs/dbraw/zinc/93/82/83/854938283.db2.gz UWKVICWQTDZQHG-UHFFFAOYSA-N -1 1 300.286 1.805 20 0 DDADMM O=C([N-][C@H](CO)c1ncc[nH]1)C(F)(F)c1cc(F)cc(F)c1 ZINC001412701022 854982214 /nfs/dbraw/zinc/98/22/14/854982214.db2.gz GVKPLCRXMXCLMM-SNVBAGLBSA-N -1 1 317.242 1.630 20 0 DDADMM COC(=O)[C@@]1(NC(=O)c2cc(Cl)ccc2[O-])CCSC1 ZINC001412778050 855259636 /nfs/dbraw/zinc/25/96/36/855259636.db2.gz XHWFGYKLAIYNIL-CYBMUJFWSA-N -1 1 315.778 1.824 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2nnc(C)s2)[n-]c1=O ZINC001412779680 855261362 /nfs/dbraw/zinc/26/13/62/855261362.db2.gz ZXNVAENMOYSQDN-QMMMGPOBSA-N -1 1 321.362 1.328 20 0 DDADMM C[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])c1ccsc1 ZINC001412887907 855757319 /nfs/dbraw/zinc/75/73/19/855757319.db2.gz UAEPIMLHCDJNQY-SECBINFHSA-N -1 1 305.359 1.456 20 0 DDADMM CCOC(=O)c1nc([C@H](C)Nc2cc(C(F)F)ncn2)n[n-]1 ZINC001412901217 855771311 /nfs/dbraw/zinc/77/13/11/855771311.db2.gz SCJIKEIKYJPLPQ-LURJTMIESA-N -1 1 312.280 1.882 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)Nc2cc(C(F)F)ncn2)[n-]1 ZINC001412901217 855771316 /nfs/dbraw/zinc/77/13/16/855771316.db2.gz SCJIKEIKYJPLPQ-LURJTMIESA-N -1 1 312.280 1.882 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)Nc2cc(C(F)F)ncn2)n1 ZINC001412901217 855771325 /nfs/dbraw/zinc/77/13/25/855771325.db2.gz SCJIKEIKYJPLPQ-LURJTMIESA-N -1 1 312.280 1.882 20 0 DDADMM CN(C)C(=O)OC1CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001413015659 855934819 /nfs/dbraw/zinc/93/48/19/855934819.db2.gz DPXYLCBSMFVAJQ-UHFFFAOYSA-N -1 1 310.325 1.834 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](CC(C)C)OC)n[n-]1 ZINC001413268656 856594956 /nfs/dbraw/zinc/59/49/56/856594956.db2.gz IIDAIQHENRIFRT-VHSXEESVSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](CC(C)C)OC)[n-]1 ZINC001413268656 856594964 /nfs/dbraw/zinc/59/49/64/856594964.db2.gz IIDAIQHENRIFRT-VHSXEESVSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](CC(C)C)OC)n1 ZINC001413268656 856594969 /nfs/dbraw/zinc/59/49/69/856594969.db2.gz IIDAIQHENRIFRT-VHSXEESVSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(C)CCC(=O)CC2)n[n-]1 ZINC001413269945 856595943 /nfs/dbraw/zinc/59/59/43/856595943.db2.gz KPXRSZPJICKSQM-VIFPVBQESA-N -1 1 322.365 1.308 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(C)CCC(=O)CC2)[n-]1 ZINC001413269945 856595946 /nfs/dbraw/zinc/59/59/46/856595946.db2.gz KPXRSZPJICKSQM-VIFPVBQESA-N -1 1 322.365 1.308 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(C)CCC(=O)CC2)n1 ZINC001413269945 856595950 /nfs/dbraw/zinc/59/59/50/856595950.db2.gz KPXRSZPJICKSQM-VIFPVBQESA-N -1 1 322.365 1.308 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1nocc1C)[C@@H](O)C(F)(F)F ZINC001413319090 856642953 /nfs/dbraw/zinc/64/29/53/856642953.db2.gz JIFGTIMIOUITSH-IONNQARKSA-N -1 1 316.301 1.104 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1nocc1C)[C@H](O)C(F)(F)F ZINC001413319083 856643101 /nfs/dbraw/zinc/64/31/01/856643101.db2.gz JIFGTIMIOUITSH-APPZFPTMSA-N -1 1 316.301 1.104 20 0 DDADMM O=C(N[C@@H](CO)[C@H](O)c1cccnc1)c1cc(Cl)ccc1[O-] ZINC001413416625 856787833 /nfs/dbraw/zinc/78/78/33/856787833.db2.gz KRDDYOBITUSJRQ-GXTWGEPZSA-N -1 1 322.748 1.265 20 0 DDADMM O=S(=O)(C[C@H]1CC[C@@H](C(F)(F)F)O1)[N-]C(CF)CF ZINC001413434514 856806360 /nfs/dbraw/zinc/80/63/60/856806360.db2.gz UPJDKWHPXVRXTM-SFYZADRCSA-N -1 1 311.272 1.323 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1CCS[C@H](C)C1 ZINC001413455816 856834198 /nfs/dbraw/zinc/83/41/98/856834198.db2.gz BTFQOMQSKMOCJG-HTQZYQBOSA-N -1 1 308.450 1.714 20 0 DDADMM COC[C@@H](NC(=O)c1coc(C2CCCCC2)n1)c1nn[n-]n1 ZINC001413559492 857068239 /nfs/dbraw/zinc/06/82/39/857068239.db2.gz ZEMJGQNQQAUJOA-SNVBAGLBSA-N -1 1 320.353 1.353 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N(C)CCOCC1CC1 ZINC001121780467 858594725 /nfs/dbraw/zinc/59/47/25/858594725.db2.gz QSYIPCUEGRMJEX-UHFFFAOYSA-N -1 1 306.370 1.257 20 0 DDADMM O=C(C=CC=C(Cl)Cl)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123911005 859468210 /nfs/dbraw/zinc/46/82/10/859468210.db2.gz ZLBWSWUFYCXWBR-VBOWQXIBSA-N -1 1 316.192 1.856 20 0 DDADMM O=C(c1cc(F)cc2ccoc21)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123960701 859497438 /nfs/dbraw/zinc/49/74/38/859497438.db2.gz DBZNEVPPUSSLCP-SECBINFHSA-N -1 1 301.281 1.715 20 0 DDADMM O=C(c1cc2ccsc2[nH]1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123998518 859516868 /nfs/dbraw/zinc/51/68/68/859516868.db2.gz CKUCVCZOMZMSRV-SECBINFHSA-N -1 1 316.390 1.837 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1ccnn1C1CCC1 ZINC001124070006 859559738 /nfs/dbraw/zinc/55/97/38/859559738.db2.gz HZVOPQCLILYNGX-UHFFFAOYSA-N -1 1 305.363 1.953 20 0 DDADMM C[C@@H]1CC[C@@H](OCC[P@](=O)([O-])O)CN1C(=O)OC(C)(C)C ZINC001224603039 881463768 /nfs/dbraw/zinc/46/37/68/881463768.db2.gz VDKRETLKFWZFTJ-GHMZBOCLSA-N -1 1 323.326 1.969 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H]2CCC3(C2)OCCO3)c1 ZINC001137864542 859986703 /nfs/dbraw/zinc/98/67/03/859986703.db2.gz XVPLXMBWVHSKRC-GFCCVEGCSA-N -1 1 305.330 1.539 20 0 DDADMM CCOC[C@H]1CN(Cc2ncccc2[O-])Cc2ncn(C)c21 ZINC001138390546 860085808 /nfs/dbraw/zinc/08/58/08/860085808.db2.gz BAOALRHOEBZNLK-GFCCVEGCSA-N -1 1 302.378 1.657 20 0 DDADMM CCOC[C@@H]1CN(Cc2ccncc2[O-])Cc2ncn(C)c21 ZINC001140274602 860607911 /nfs/dbraw/zinc/60/79/11/860607911.db2.gz JLKRVOHFSUQDSP-ZDUSSCGKSA-N -1 1 302.378 1.657 20 0 DDADMM Cc1ccnc(N2CCN(Cc3ccccc3C(=O)[O-])CC2)n1 ZINC001140504573 860648125 /nfs/dbraw/zinc/64/81/25/860648125.db2.gz XQJZDXOEHZRKME-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM COCC1=CCN(C(=O)c2c(C)[n-]c(=O)nc2SC)CC1 ZINC001325775427 860824379 /nfs/dbraw/zinc/82/43/79/860824379.db2.gz DDKQYMUBVMQFDM-UHFFFAOYSA-N -1 1 309.391 1.631 20 0 DDADMM O=C(NCc1cccc(Cn2cccn2)c1)c1ccc([O-])cn1 ZINC001142026414 860998836 /nfs/dbraw/zinc/99/88/36/860998836.db2.gz ADLARKPVYKCXTR-UHFFFAOYSA-N -1 1 308.341 1.962 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(NC(C)=O)cn2)n1 ZINC001361441029 881657314 /nfs/dbraw/zinc/65/73/14/881657314.db2.gz QXWNEWAOGHXOGD-UHFFFAOYSA-N -1 1 317.305 1.192 20 0 DDADMM COc1cn(C)nc1[C@@H](C)NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001156912464 863440128 /nfs/dbraw/zinc/44/01/28/863440128.db2.gz WOYVAXXYFVPVGI-SNVBAGLBSA-N -1 1 317.345 1.746 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cnn2cccc(F)c12 ZINC001156909843 863441049 /nfs/dbraw/zinc/44/10/49/863441049.db2.gz SVNIZAWDWPDWLE-UHFFFAOYSA-N -1 1 318.264 1.809 20 0 DDADMM N[C@@H](C(=O)NCCCC(=O)[O-])c1cccc(Br)c1 ZINC001329304775 863588545 /nfs/dbraw/zinc/58/85/45/863588545.db2.gz WVWQVBMNNJUBSQ-LLVKDONJSA-N -1 1 315.167 1.430 20 0 DDADMM CCN(Cc1nncs1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152975135 863629100 /nfs/dbraw/zinc/62/91/00/863629100.db2.gz KXBKAOLDUPNOOJ-SNVBAGLBSA-N -1 1 321.406 1.279 20 0 DDADMM CSc1nc(NC(=O)c2cccc3ccnn32)cc(=O)[n-]1 ZINC001153555792 863943873 /nfs/dbraw/zinc/94/38/73/863943873.db2.gz SMJKKMNMYAOAAL-UHFFFAOYSA-N -1 1 301.331 1.804 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nc3ccccc3o2)c[n-]1 ZINC001153572703 863955519 /nfs/dbraw/zinc/95/55/19/863955519.db2.gz BRUQSSHRXUUIJN-UHFFFAOYSA-N -1 1 300.274 1.980 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@H]1OC(=O)c2ccccc21 ZINC001331185593 864930042 /nfs/dbraw/zinc/93/00/42/864930042.db2.gz TZGQNIKAFASGEM-GFCCVEGCSA-N -1 1 321.329 1.678 20 0 DDADMM Cc1nc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc(C(C)C)n1 ZINC001159184536 865152227 /nfs/dbraw/zinc/15/22/27/865152227.db2.gz CSHJBSYNDNTTIU-UHFFFAOYSA-N -1 1 301.310 1.681 20 0 DDADMM CCOC(=O)c1cc(F)cnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159192572 865158085 /nfs/dbraw/zinc/15/80/85/865158085.db2.gz SVJHHAWXOBVHAQ-UHFFFAOYSA-N -1 1 318.268 1.513 20 0 DDADMM Cc1noc(-c2cccnc2Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159199976 865164387 /nfs/dbraw/zinc/16/43/87/865164387.db2.gz WANGGYWWMVCHBJ-UHFFFAOYSA-N -1 1 310.277 1.556 20 0 DDADMM Cc1cc(C(=O)Nc2cc(F)cc(F)c2[O-])ncc1C(N)=O ZINC001162296524 867420268 /nfs/dbraw/zinc/42/02/68/867420268.db2.gz XSPCXAJWRADKQM-UHFFFAOYSA-N -1 1 307.256 1.725 20 0 DDADMM Cc1cc2c(c(NC[C@H]3COc4cnccc43)n1)C(=O)[N-]C2=O ZINC001162573641 867653407 /nfs/dbraw/zinc/65/34/07/867653407.db2.gz JDGVKXOJCVOYLO-VIFPVBQESA-N -1 1 310.313 1.257 20 0 DDADMM Cn1ncnc1CNCCc1cc2ccc(C(=O)[O-])cc2o1 ZINC001334775778 867824406 /nfs/dbraw/zinc/82/44/06/867824406.db2.gz BZGIDGYICZKGOE-UHFFFAOYSA-N -1 1 300.318 1.592 20 0 DDADMM NC(=O)c1ccc(NCC[N-]C(=O)C(F)(F)F)c2cc[nH]c21 ZINC001164246174 869054532 /nfs/dbraw/zinc/05/45/32/869054532.db2.gz RSFWNSLMOOIJTE-UHFFFAOYSA-N -1 1 314.267 1.357 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1(C2CCCCC2)CC1 ZINC001336626551 869057859 /nfs/dbraw/zinc/05/78/59/869057859.db2.gz GQGHRFHIEDRZHF-UHFFFAOYSA-N -1 1 305.378 1.156 20 0 DDADMM CCn1nnc2c1ccc(NCC[N-]C(=O)C(F)(F)F)c2C ZINC001164250962 869058125 /nfs/dbraw/zinc/05/81/25/869058125.db2.gz IQYGJDWURUQFOM-UHFFFAOYSA-N -1 1 315.299 1.850 20 0 DDADMM COc1cnc(=S)[n-]c1OC[C@H]1COc2ccccc2O1 ZINC001226242979 882301814 /nfs/dbraw/zinc/30/18/14/882301814.db2.gz WXAAEYICOSUYOG-SECBINFHSA-N -1 1 306.343 1.993 20 0 DDADMM COC(=O)c1ccc2nc(OC(=O)CCC[C@H](C)O)[n-]c2c1 ZINC001226261578 882318421 /nfs/dbraw/zinc/31/84/21/882318421.db2.gz TWQKCMSCMHQWGP-VIFPVBQESA-N -1 1 306.318 1.806 20 0 DDADMM COC(=O)c1ccc2[n-]c(OC(=O)CCC[C@H](C)O)nc2c1 ZINC001226261578 882318441 /nfs/dbraw/zinc/31/84/41/882318441.db2.gz TWQKCMSCMHQWGP-VIFPVBQESA-N -1 1 306.318 1.806 20 0 DDADMM CSc1ccccc1CN(C)c1nnc(-c2nnn[n-]2)n1C ZINC001337932723 869698341 /nfs/dbraw/zinc/69/83/41/869698341.db2.gz IJXNYGJKIGQKLT-UHFFFAOYSA-N -1 1 316.394 1.354 20 0 DDADMM CSc1ccccc1CN(C)c1nnc(-c2nn[n-]n2)n1C ZINC001337932723 869698348 /nfs/dbraw/zinc/69/83/48/869698348.db2.gz IJXNYGJKIGQKLT-UHFFFAOYSA-N -1 1 316.394 1.354 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361781064 882362221 /nfs/dbraw/zinc/36/22/21/882362221.db2.gz DXSLRSWSNAUBOY-HTQZYQBOSA-N -1 1 307.276 1.078 20 0 DDADMM C[C@@H]1CN(c2nnc(-c3noc(=O)[n-]3)n2C)Cc2ccccc21 ZINC001338721565 870130992 /nfs/dbraw/zinc/13/09/92/870130992.db2.gz OFPBPSLBDSFPBR-SECBINFHSA-N -1 1 312.333 1.282 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CCC[C@@H]1c1cccs1 ZINC001338718692 870131505 /nfs/dbraw/zinc/13/15/05/870131505.db2.gz FLYHERVYXPEZEZ-MRVPVSSYSA-N -1 1 318.362 1.561 20 0 DDADMM COc1cc(C)nc(N2CCC([N-]C(=O)C(F)(F)F)CC2)n1 ZINC001166896931 870350223 /nfs/dbraw/zinc/35/02/23/870350223.db2.gz OGEMWSMMXACYOO-UHFFFAOYSA-N -1 1 318.299 1.441 20 0 DDADMM CCCCC[C@H]1CCCCN1c1nnc(-c2nnn[n-]2)n1C ZINC001339545113 870548586 /nfs/dbraw/zinc/54/85/86/870548586.db2.gz XVQZBRYEWWFIOY-NSHDSACASA-N -1 1 304.402 1.934 20 0 DDADMM CCCCC[C@H]1CCCCN1c1nnc(-c2nn[n-]n2)n1C ZINC001339545113 870548594 /nfs/dbraw/zinc/54/85/94/870548594.db2.gz XVQZBRYEWWFIOY-NSHDSACASA-N -1 1 304.402 1.934 20 0 DDADMM CC(C)=CC(=O)N[C@H]1CC[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001339641914 870599471 /nfs/dbraw/zinc/59/94/71/870599471.db2.gz MAVTXXZXNDTZLL-STQMWFEESA-N -1 1 317.389 1.863 20 0 DDADMM Cc1cc(=O)oc2cc([O-])cc(OC[C@H]3OCCN(C)C3=O)c12 ZINC001226485464 882461462 /nfs/dbraw/zinc/46/14/62/882461462.db2.gz LQGOPHXGSFUKDH-CYBMUJFWSA-N -1 1 319.313 1.043 20 0 DDADMM Cc1oc(C=O)cc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001301653009 871015104 /nfs/dbraw/zinc/01/51/04/871015104.db2.gz BHUSNKCDBPLFNY-ZDUSSCGKSA-N -1 1 311.301 1.433 20 0 DDADMM NC(=O)c1ccc(CN(C(=O)c2cnncc2[O-])C2CC2)cc1 ZINC001361849400 882495264 /nfs/dbraw/zinc/49/52/64/882495264.db2.gz YQGUYVVAQOMZQQ-UHFFFAOYSA-N -1 1 312.329 1.086 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1Cc2ccc(OC)cc2C1 ZINC001340794373 871356686 /nfs/dbraw/zinc/35/66/86/871356686.db2.gz RSAORJNZWUFTOW-UHFFFAOYSA-N -1 1 312.337 1.007 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1Cc2ccc(OC)cc2C1 ZINC001340794373 871356697 /nfs/dbraw/zinc/35/66/97/871356697.db2.gz RSAORJNZWUFTOW-UHFFFAOYSA-N -1 1 312.337 1.007 20 0 DDADMM CC1CC(C(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCCCC2)C1 ZINC001381711833 882527404 /nfs/dbraw/zinc/52/74/04/882527404.db2.gz AJUJKVRKDHAVOE-UHFFFAOYSA-N -1 1 321.425 1.465 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C2CC(Cl)(Cl)C2)nc1Cl ZINC001309901318 871605502 /nfs/dbraw/zinc/60/55/02/871605502.db2.gz CBZJTPHUBXBHAF-UHFFFAOYSA-N -1 1 318.613 1.688 20 0 DDADMM CC1(C)CN(Cc2ccccc2)CC[C@H]1Oc1c([O-])c(=O)c1=O ZINC001226601705 882533455 /nfs/dbraw/zinc/53/34/55/882533455.db2.gz GRODWZWKQXYHFA-CYBMUJFWSA-N -1 1 315.369 1.668 20 0 DDADMM CC(=O)N[C@@H](CC(=O)Nc1c[n-][nH]c1=O)c1ccc(F)cc1F ZINC001413844372 871831270 /nfs/dbraw/zinc/83/12/70/871831270.db2.gz ISFQYGGHYRUFJD-NSHDSACASA-N -1 1 324.287 1.600 20 0 DDADMM CC(C)COC[C@H](NC(=O)CCCc1nn[n-]n1)c1ccco1 ZINC001361886836 882577536 /nfs/dbraw/zinc/57/75/36/882577536.db2.gz LNVCYWZOQNXZCS-LBPRGKRZSA-N -1 1 321.381 1.646 20 0 DDADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccccc1 ZINC001381750797 882592924 /nfs/dbraw/zinc/59/29/24/882592924.db2.gz XPOQDGQJZKYIDV-CYBMUJFWSA-N -1 1 317.393 1.589 20 0 DDADMM COC(C)(C)C[C@H](C)Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226728029 882616124 /nfs/dbraw/zinc/61/61/24/882616124.db2.gz LSZZIZKURJYNRQ-NSHDSACASA-N -1 1 311.382 1.601 20 0 DDADMM Nc1cn[n-]c(=NNC(=S)NCc2ccccc2)c1Cl ZINC001319277893 872531703 /nfs/dbraw/zinc/53/17/03/872531703.db2.gz JWCWMCFFIWJTBE-UHFFFAOYSA-N -1 1 308.798 1.703 20 0 DDADMM Cc1nc([C@@H](C)[N-]S(=O)(=O)c2cccnc2Cl)no1 ZINC001319651109 872735987 /nfs/dbraw/zinc/73/59/87/872735987.db2.gz HLEIAQCJGYZFDX-ZCFIWIBFSA-N -1 1 302.743 1.466 20 0 DDADMM Cc1ccc(NS(C)(=O)=O)c(NC(=O)c2ccc([O-])cn2)c1 ZINC001361951292 882697485 /nfs/dbraw/zinc/69/74/85/882697485.db2.gz PAYIQDILCYCFDG-UHFFFAOYSA-N -1 1 321.358 1.719 20 0 DDADMM CCCC[C@H](CC)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207450491 873283449 /nfs/dbraw/zinc/28/34/49/873283449.db2.gz GVQJXSRGYGDGMP-QWHCGFSZSA-N -1 1 323.441 1.807 20 0 DDADMM O=c1[nH][n-]cc1-c1nnc(N2CC=CCC2)n1C[C@H]1CCOC1 ZINC001345283729 873335733 /nfs/dbraw/zinc/33/57/33/873335733.db2.gz ZUVQHBACHGJOPC-LLVKDONJSA-N -1 1 316.365 1.177 20 0 DDADMM COCC[C@@H]1CNCCN1C(=O)c1ccc2[n-]c(=S)oc2c1 ZINC001345578229 873444788 /nfs/dbraw/zinc/44/47/88/873444788.db2.gz SRGZCSZXLNDHSZ-LLVKDONJSA-N -1 1 321.402 1.567 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2CCO[C@@H]2C)[n-]c1Cl ZINC001361964797 882723805 /nfs/dbraw/zinc/72/38/05/882723805.db2.gz UTKPNYASMQJYDR-RNFRBKRXSA-N -1 1 301.730 1.603 20 0 DDADMM CC(C)[C@@H](C)CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207660390 873450215 /nfs/dbraw/zinc/45/02/15/873450215.db2.gz GJPIGGCOAFWMPZ-RYUDHWBXSA-N -1 1 309.414 1.273 20 0 DDADMM COCCCCN(C)c1nnc(-c2c[n-][nH]c2=O)n1CC1CC1 ZINC001346172369 873632961 /nfs/dbraw/zinc/63/29/61/873632961.db2.gz UQGYJQGAHOHBNN-UHFFFAOYSA-N -1 1 320.397 1.647 20 0 DDADMM COC(=O)NCCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001208050703 873789532 /nfs/dbraw/zinc/78/95/32/873789532.db2.gz JFOYIIVNUFJORD-UHFFFAOYSA-N -1 1 319.321 1.055 20 0 DDADMM C[C@@]1(CC(=O)N2CC[C@@H](c3nn[n-]n3)C2)CC1(Cl)Cl ZINC001347196426 874049959 /nfs/dbraw/zinc/04/99/59/874049959.db2.gz DQECHHQETHXUFI-GMSGAONNSA-N -1 1 304.181 1.490 20 0 DDADMM CC(F)(F)CCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208444534 874145781 /nfs/dbraw/zinc/14/57/81/874145781.db2.gz SVVBRIGJPJSGFY-VIFPVBQESA-N -1 1 317.340 1.026 20 0 DDADMM C[C@@H](C(=O)[N-]OCCN1CCCC1=O)c1ccc(F)cc1F ZINC001347864775 874294722 /nfs/dbraw/zinc/29/47/22/874294722.db2.gz CLJXTVBOEDDLTH-SNVBAGLBSA-N -1 1 312.316 1.739 20 0 DDADMM O=C(c1cncn1-c1ccccc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347975852 874324274 /nfs/dbraw/zinc/32/42/74/874324274.db2.gz VIZZZHAQWUGIJY-NSHDSACASA-N -1 1 309.333 1.015 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)Oc2cc(F)cc(F)c2)n[n-]1 ZINC001208979294 874574330 /nfs/dbraw/zinc/57/43/30/874574330.db2.gz YKGXJAPBSBBLGP-UHFFFAOYSA-N -1 1 318.257 1.242 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCOCC(F)(F)F ZINC001348473620 874582531 /nfs/dbraw/zinc/58/25/31/874582531.db2.gz HWTTXXXBSIUNNT-UHFFFAOYSA-N -1 1 311.285 1.088 20 0 DDADMM NC(=O)Nc1ccc(CNC(=O)c2ccc(F)c([O-])c2)cc1 ZINC001362027656 882862975 /nfs/dbraw/zinc/86/29/75/882862975.db2.gz GLLNELZAIGTHEC-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](Oc2[n-]c(=O)ncc2Br)C1 ZINC001227138690 882866591 /nfs/dbraw/zinc/86/65/91/882866591.db2.gz UAGUZDOMRVQHLI-RQJHMYQMSA-N -1 1 317.139 1.665 20 0 DDADMM O=C(NCCNC(=O)[C@H]1CCC=CCCC1)c1ncccc1[O-] ZINC001348839500 874822200 /nfs/dbraw/zinc/82/22/00/874822200.db2.gz ZNDBTWFQNGGYRP-ZDUSSCGKSA-N -1 1 317.389 1.770 20 0 DDADMM CO[C@H](C)CO[C@@H](C)COc1[n-]c(=O)ncc1Br ZINC001227140716 882870447 /nfs/dbraw/zinc/87/04/47/882870447.db2.gz ZKRIZYWKOXJGHI-SFYZADRCSA-N -1 1 321.171 1.764 20 0 DDADMM COc1ccc(Nc2cnc(N)cc2C)c(S(=O)(=O)[O-])c1 ZINC001209970061 875179698 /nfs/dbraw/zinc/17/96/98/875179698.db2.gz SHAUMBPNNYAIAP-UHFFFAOYSA-N -1 1 309.347 1.971 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](C)[C@H](O)c1ccccc1 ZINC001349858324 875417709 /nfs/dbraw/zinc/41/77/09/875417709.db2.gz QQLMQLDHLRFQDR-CABZTGNLSA-N -1 1 319.386 1.631 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2ccc3ocnc3c2)ccc1O ZINC001210573566 875440445 /nfs/dbraw/zinc/44/04/45/875440445.db2.gz TZERVBYTZPAXJE-UHFFFAOYSA-N -1 1 305.315 1.916 20 0 DDADMM CCOC(=O)c1nnsc1[N-]c1cnc(N2CCC2)nc1 ZINC001210720793 875499533 /nfs/dbraw/zinc/49/95/33/875499533.db2.gz GKWJJFYTDGTIMW-UHFFFAOYSA-N -1 1 306.351 1.459 20 0 DDADMM CCCCCCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210932405 875602550 /nfs/dbraw/zinc/60/25/50/875602550.db2.gz PIHFSUODPJJXKM-LBPRGKRZSA-N -1 1 309.414 1.561 20 0 DDADMM O=C(C=C(C1CC1)C1CC1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001350429759 875683804 /nfs/dbraw/zinc/68/38/04/875683804.db2.gz UCXQZLNXMJKGEQ-NSHDSACASA-N -1 1 301.394 1.727 20 0 DDADMM COC(=O)c1cncc(Nc2[nH]c(=S)[n-]c(=O)c2N=O)c1 ZINC001211451506 875814375 /nfs/dbraw/zinc/81/43/75/875814375.db2.gz ZGICNVHHMCELAM-UHFFFAOYSA-N -1 1 307.291 1.794 20 0 DDADMM CS(=O)(=O)C1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001362081186 882986996 /nfs/dbraw/zinc/98/69/96/882986996.db2.gz RCAOPWIVCGDCFG-UHFFFAOYSA-N -1 1 301.339 1.181 20 0 DDADMM COc1cnc(-c2noc(-c3c[nH]c(=S)[n-]c3=O)n2)c(C)c1 ZINC001213869026 876085694 /nfs/dbraw/zinc/08/56/94/876085694.db2.gz YGXFECFMUFPJJC-UHFFFAOYSA-N -1 1 317.330 1.900 20 0 DDADMM Cc1ccc(F)cc1C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001379181159 876578847 /nfs/dbraw/zinc/57/88/47/876578847.db2.gz QMKKADSCEWUQCX-JTQLQIEISA-N -1 1 321.356 1.208 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2ccc(F)c3n[nH]cc32)cc1N ZINC001215377416 876686645 /nfs/dbraw/zinc/68/66/45/876686645.db2.gz KONDBYXZWJHWKU-UHFFFAOYSA-N -1 1 321.337 1.667 20 0 DDADMM COc1ccc(Nc2cc(=O)[n-]c(N(C)C)n2)c2c[nH]nc21 ZINC001215748634 876799950 /nfs/dbraw/zinc/79/99/50/876799950.db2.gz RXDSIHSEWVXNFR-UHFFFAOYSA-N -1 1 300.322 1.877 20 0 DDADMM CCOc1cccc(C=CC(=O)N2CC[C@H](c3nn[n-]n3)C2)c1 ZINC001352585029 876817273 /nfs/dbraw/zinc/81/72/73/876817273.db2.gz MYTLCLXKCMOTND-FWWRYZNZSA-N -1 1 313.361 1.628 20 0 DDADMM CCOc1cccc(C=CC(=O)N2CC[C@@H](c3nn[n-]n3)C2)c1 ZINC001352585023 876817620 /nfs/dbraw/zinc/81/76/20/876817620.db2.gz MYTLCLXKCMOTND-FMFIFOJESA-N -1 1 313.361 1.628 20 0 DDADMM COc1ncc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cc1O ZINC001216206039 876891114 /nfs/dbraw/zinc/89/11/14/876891114.db2.gz CWLCSWZBBVYVEO-UHFFFAOYSA-N -1 1 306.282 1.499 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CC[C@H]3C[C@H]3C2)c1Cl ZINC000403619876 876900681 /nfs/dbraw/zinc/90/06/81/876900681.db2.gz KTGQDSXIWFUYNI-KXUCPTDWSA-N -1 1 303.815 1.788 20 0 DDADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CC1(C)CCCC1 ZINC001379657743 877671452 /nfs/dbraw/zinc/67/14/52/877671452.db2.gz QAPLCYPETGNAEG-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM C[C@@H]1COC[C@@H]1[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001354160255 877784282 /nfs/dbraw/zinc/78/42/82/877784282.db2.gz MFLKAKWCEHABMX-SVRRBLITSA-N -1 1 311.190 1.702 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@@H](c2ccccc2)CC1 ZINC001355332761 878509485 /nfs/dbraw/zinc/50/94/85/878509485.db2.gz DCFWPGCIVFVRSM-CYBMUJFWSA-N -1 1 324.392 1.769 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@@H](c2ccccc2)CC1 ZINC001355332761 878509496 /nfs/dbraw/zinc/50/94/96/878509496.db2.gz DCFWPGCIVFVRSM-CYBMUJFWSA-N -1 1 324.392 1.769 20 0 DDADMM C[C@@H](CN(C)C(=O)CCC1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001395654800 912474344 /nfs/dbraw/zinc/47/43/44/912474344.db2.gz FMOIITGNIWHTHI-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1cc(S(=O)(=O)NC2(C(F)(F)F)C[NH2+]C2)ccc1F ZINC001238566298 890271133 /nfs/dbraw/zinc/27/11/33/890271133.db2.gz QJPZGDYPSMHNSQ-UHFFFAOYSA-N -1 1 312.288 1.317 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)C1CCC(O)CC1 ZINC001362209595 883304698 /nfs/dbraw/zinc/30/46/98/883304698.db2.gz PXMMKXYZTLYXOU-PNESKVBLSA-N -1 1 321.425 1.483 20 0 DDADMM C[C@@H](CON)Oc1ccc(S(=O)(=O)c2ccc([O-])cc2)cc1 ZINC001228174536 883375630 /nfs/dbraw/zinc/37/56/30/883375630.db2.gz RBXKVKYHLAYEKM-NSHDSACASA-N -1 1 323.370 1.883 20 0 DDADMM C[C@H](O)C[C@@H](CNC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC001362239105 883381155 /nfs/dbraw/zinc/38/11/55/883381155.db2.gz QNNWPXFJTUQWSD-JSGCOSHPSA-N -1 1 317.393 1.193 20 0 DDADMM CC1N=NC(C(=O)N2C[C@H](C)C(=O)N(C)c3ccccc32)=C1[O-] ZINC001362347997 883619457 /nfs/dbraw/zinc/61/94/57/883619457.db2.gz VLDRSVDZTZALML-VIFPVBQESA-N -1 1 314.345 1.683 20 0 DDADMM CCc1c(NC(=O)CCc2nn[n-]n2)cnn1CCC(C)C ZINC001362347940 883619462 /nfs/dbraw/zinc/61/94/62/883619462.db2.gz GSCQDWARLKEESM-UHFFFAOYSA-N -1 1 305.386 1.576 20 0 DDADMM CN1CCO[C@@H](COc2[n-]c(=S)nc3ccccc32)C1=O ZINC001228765887 883658911 /nfs/dbraw/zinc/65/89/11/883658911.db2.gz OPZVJVNORMEVRL-NSHDSACASA-N -1 1 305.359 1.155 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](CC(F)(F)F)C(C)C ZINC001362371214 883662940 /nfs/dbraw/zinc/66/29/40/883662940.db2.gz CJPLDFUNXTWSHY-ZCFIWIBFSA-N -1 1 323.271 1.603 20 0 DDADMM COc1ccc2c(c1)[C@@H](NC(=O)CCCc1nn[n-]n1)CCO2 ZINC001362457429 883857902 /nfs/dbraw/zinc/85/79/02/883857902.db2.gz CSUOPTRUUFGSCW-LBPRGKRZSA-N -1 1 317.349 1.171 20 0 DDADMM O=C(CCNC(=O)c1ccc([O-])cn1)OCc1ccccc1 ZINC001362464834 883874530 /nfs/dbraw/zinc/87/45/30/883874530.db2.gz GKCUVNCRMWGMQB-UHFFFAOYSA-N -1 1 300.314 1.651 20 0 DDADMM C[C@H](O)C(=O)Oc1ccccc1S(=O)(=O)c1ccc([O-])cc1 ZINC001229582225 884058040 /nfs/dbraw/zinc/05/80/40/884058040.db2.gz NNXYAPDFVDZVPD-JTQLQIEISA-N -1 1 322.338 1.511 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC[C@H](O)[C@@H]2C)c(=O)[n-]1 ZINC001362565319 884109487 /nfs/dbraw/zinc/10/94/87/884109487.db2.gz RALWATYNJLOWFN-ONGXEEELSA-N -1 1 311.407 1.127 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CCCC1CC1 ZINC001382527457 884186509 /nfs/dbraw/zinc/18/65/09/884186509.db2.gz UXNQEUKWHFYLRO-LBPRGKRZSA-N -1 1 323.441 1.711 20 0 DDADMM COC(=O)c1c[n-]c(=O)nc1O[C@H]1CCC[C@H](C(=O)OC)C1 ZINC001229871749 884205176 /nfs/dbraw/zinc/20/51/76/884205176.db2.gz BCEHHGSAEIHDKA-IUCAKERBSA-N -1 1 310.306 1.079 20 0 DDADMM CC(C)CN1C[C@H]2CN(C(=O)c3ccc([O-])cn3)CCN2C1=O ZINC001362621632 884243507 /nfs/dbraw/zinc/24/35/07/884243507.db2.gz QOXKLZHZWSBKBP-GFCCVEGCSA-N -1 1 318.377 1.005 20 0 DDADMM CSc1ncc(C(=O)N[C@@]2(C)CCO[C@H]2C2CC2)c(=O)[n-]1 ZINC001362644926 884306377 /nfs/dbraw/zinc/30/63/77/884306377.db2.gz BAEZWOFHKDLEJY-HZMBPMFUSA-N -1 1 309.391 1.592 20 0 DDADMM CC(C)(C)OC(=O)[C@@]1(NC(=O)c2ccc([O-])cn2)CCOC1 ZINC001362645032 884306969 /nfs/dbraw/zinc/30/69/69/884306969.db2.gz ALJNGLBLZBYZAB-OAHLLOKOSA-N -1 1 308.334 1.018 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC(Cn2cnnc2)CC1 ZINC001362645006 884307113 /nfs/dbraw/zinc/30/71/13/884307113.db2.gz UECJLCCGHXRYND-UHFFFAOYSA-N -1 1 304.325 1.675 20 0 DDADMM CC[C@](NC(=O)c1cnncc1[O-])(C(=O)OC)c1ccccc1 ZINC001362651939 884327087 /nfs/dbraw/zinc/32/70/87/884327087.db2.gz FCWQZGZBSNYQCG-MRXNPFEDSA-N -1 1 315.329 1.391 20 0 DDADMM C[C@H](NC(=O)c1ccccn1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382717312 884547676 /nfs/dbraw/zinc/54/76/76/884547676.db2.gz WGGCVRKIVYFLKJ-QWRGUYRKSA-N -1 1 314.345 1.119 20 0 DDADMM O=C(NC[C@H]1CC2(CO1)CCOCC2)c1ccc(F)c([O-])c1 ZINC001362791245 884649056 /nfs/dbraw/zinc/64/90/56/884649056.db2.gz KSCRXKYQEUMXLK-GFCCVEGCSA-N -1 1 309.337 1.847 20 0 DDADMM O=C(NCCc1ccc(O)c(CO)c1)c1cccc([O-])c1F ZINC001362833632 884754856 /nfs/dbraw/zinc/75/48/56/884754856.db2.gz WZPLDNLTJLFLOY-UHFFFAOYSA-N -1 1 305.305 1.702 20 0 DDADMM CSc1nc(CNC(=O)c2cn(C(C)(C)C)nn2)cc(=O)[n-]1 ZINC001362855443 884806801 /nfs/dbraw/zinc/80/68/01/884806801.db2.gz UDTQGJULWVTNNL-UHFFFAOYSA-N -1 1 322.394 1.181 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C1CC(=O)C1 ZINC001362866386 884833751 /nfs/dbraw/zinc/83/37/51/884833751.db2.gz QMMFHFQYDYTBMZ-XVKPBYJWSA-N -1 1 306.284 1.024 20 0 DDADMM CC[C@@H](O)[C@@H](C)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001362867892 884836266 /nfs/dbraw/zinc/83/62/66/884836266.db2.gz VOZWKJSZEWDNDJ-VPOLOUISSA-N -1 1 324.343 1.451 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC[C@H]2CC[C@@H](C)O2)n[n-]1 ZINC001362939734 885037653 /nfs/dbraw/zinc/03/76/53/885037653.db2.gz URGUUBHUXZPESK-OUAUKWLOSA-N -1 1 324.381 1.506 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC[C@H]2CC[C@@H](C)O2)[n-]1 ZINC001362939734 885037673 /nfs/dbraw/zinc/03/76/73/885037673.db2.gz URGUUBHUXZPESK-OUAUKWLOSA-N -1 1 324.381 1.506 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC[C@H]2CC[C@@H](C)O2)n1 ZINC001362939734 885037690 /nfs/dbraw/zinc/03/76/90/885037690.db2.gz URGUUBHUXZPESK-OUAUKWLOSA-N -1 1 324.381 1.506 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](c1ccccc1)C1(CO)CCC1 ZINC001362952501 885070329 /nfs/dbraw/zinc/07/03/29/885070329.db2.gz AFWYGIZEHDXVEW-HNNXBMFYSA-N -1 1 315.377 1.152 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C[C@H](C)n2ccnc2)[n-]c1=O ZINC001362967951 885110888 /nfs/dbraw/zinc/11/08/88/885110888.db2.gz AQGICXWZUXATQG-JTQLQIEISA-N -1 1 319.365 1.390 20 0 DDADMM CN(CCCNC(=O)C1CC1)Cc1nc(=O)c2sccc2[n-]1 ZINC001231065237 885176337 /nfs/dbraw/zinc/17/63/37/885176337.db2.gz WUNQUMIVEAAMPY-UHFFFAOYSA-N -1 1 320.418 1.745 20 0 DDADMM CSCC[C@H](NC(=O)Cc1ccn(C(C)C)n1)c1nn[n-]n1 ZINC001362996497 885182498 /nfs/dbraw/zinc/18/24/98/885182498.db2.gz AZAXIIWCVJBOBI-NSHDSACASA-N -1 1 323.426 1.130 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](C)c2ccccn2)n[n-]1 ZINC001363045118 885317359 /nfs/dbraw/zinc/31/73/59/885317359.db2.gz CLEIXEIPJZLIDZ-VHSXEESVSA-N -1 1 317.349 1.357 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](C)c2ccccn2)[n-]1 ZINC001363045118 885317377 /nfs/dbraw/zinc/31/73/77/885317377.db2.gz CLEIXEIPJZLIDZ-VHSXEESVSA-N -1 1 317.349 1.357 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](C)c2ccccn2)n1 ZINC001363045118 885317396 /nfs/dbraw/zinc/31/73/96/885317396.db2.gz CLEIXEIPJZLIDZ-VHSXEESVSA-N -1 1 317.349 1.357 20 0 DDADMM O=C([N-]n1ccc(=O)[nH]c1=O)c1ccc(OC(F)F)cc1F ZINC001363059543 885361284 /nfs/dbraw/zinc/36/12/84/885361284.db2.gz QPLXVSDTAZIPFR-UHFFFAOYSA-N -1 1 315.207 1.073 20 0 DDADMM O=C([O-])c1ccc(F)c(CN2CCC3(CCC(=O)N3)CC2)c1 ZINC001231459699 885677986 /nfs/dbraw/zinc/67/79/86/885677986.db2.gz APGGXWRBHRIVLY-UHFFFAOYSA-N -1 1 306.337 1.769 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(NC(N)=O)cc2)n1 ZINC001363211992 885726179 /nfs/dbraw/zinc/72/61/79/885726179.db2.gz UIMAWHSGHHDSHB-UHFFFAOYSA-N -1 1 317.305 1.329 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCc2ccco2)n[n-]1 ZINC001363231211 885774032 /nfs/dbraw/zinc/77/40/32/885774032.db2.gz KYSJPBGSSBFGMI-VIFPVBQESA-N -1 1 306.322 1.384 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCc2ccco2)[n-]1 ZINC001363231211 885774043 /nfs/dbraw/zinc/77/40/43/885774043.db2.gz KYSJPBGSSBFGMI-VIFPVBQESA-N -1 1 306.322 1.384 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCc2ccco2)n1 ZINC001363231211 885774054 /nfs/dbraw/zinc/77/40/54/885774054.db2.gz KYSJPBGSSBFGMI-VIFPVBQESA-N -1 1 306.322 1.384 20 0 DDADMM CC[C@H]1CCCC[C@H]1OCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363278972 885895797 /nfs/dbraw/zinc/89/57/97/885895797.db2.gz YHOGMWBWJKOQAF-NWDGAFQWSA-N -1 1 307.398 1.681 20 0 DDADMM COc1cc(C(N)=O)ccc1NC(=O)c1ccc([O-])cc1F ZINC001363287881 885918712 /nfs/dbraw/zinc/91/87/12/885918712.db2.gz NBOGAKGDJCIQSN-UHFFFAOYSA-N -1 1 304.277 1.891 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)cc(NC2CC2)n1 ZINC001363314083 885992155 /nfs/dbraw/zinc/99/21/55/885992155.db2.gz DRPUXFCAPWNYBL-JTQLQIEISA-N -1 1 313.365 1.107 20 0 DDADMM O=C(c1noc2c1CCCCC2)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363318810 886008071 /nfs/dbraw/zinc/00/80/71/886008071.db2.gz SRJSDFREZZRLQF-VIFPVBQESA-N -1 1 302.338 1.086 20 0 DDADMM CN(C)c1cnn(C2CCN(C(=O)c3ccc([O-])cn3)CC2)c1 ZINC001363343704 886078301 /nfs/dbraw/zinc/07/83/01/886078301.db2.gz IVJVGHLCTKESOA-UHFFFAOYSA-N -1 1 315.377 1.527 20 0 DDADMM O=C(N[C@@H](CO)[C@@H](O)C1CCCCC1)c1cc(F)ccc1[O-] ZINC001363366885 886145629 /nfs/dbraw/zinc/14/56/29/886145629.db2.gz VQUOQFKTXBHESA-ZFWWWQNUSA-N -1 1 311.353 1.563 20 0 DDADMM C[C@H](c1ccccc1)[C@H](NC(=O)c1ccc(F)c([O-])c1)C(N)=O ZINC001363374951 886166535 /nfs/dbraw/zinc/16/65/35/886166535.db2.gz PCRMNCFCRWYNFE-BMIGLBTASA-N -1 1 316.332 1.919 20 0 DDADMM COC[C@H](NC(=O)CCOc1ccc(C)c(C)c1)c1nn[n-]n1 ZINC001363410983 886263340 /nfs/dbraw/zinc/26/33/40/886263340.db2.gz HNZOYQJEDKFLND-ZDUSSCGKSA-N -1 1 319.365 1.089 20 0 DDADMM CC[C@@H](Cc1ccccc1C)C(=O)N[C@H](COC)c1nn[n-]n1 ZINC001363438026 886334666 /nfs/dbraw/zinc/33/46/66/886334666.db2.gz SWJJSFPYGRWWKS-GXTWGEPZSA-N -1 1 317.393 1.581 20 0 DDADMM O=C(c1cccc(O)c1F)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363437376 886336379 /nfs/dbraw/zinc/33/63/79/886336379.db2.gz VYZVPJLSWSIVOP-SECBINFHSA-N -1 1 305.313 1.139 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C)C(=O)OCc2ccccc2)c([O-])c1 ZINC001363467181 886418059 /nfs/dbraw/zinc/41/80/59/886418059.db2.gz DKDUAAHZYALLSE-GFCCVEGCSA-N -1 1 314.341 1.957 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H](O)C1CCCCCCC1 ZINC001363487626 886466723 /nfs/dbraw/zinc/46/67/23/886466723.db2.gz SLONHJPZWRXLOD-AWEZNQCLSA-N -1 1 309.366 1.225 20 0 DDADMM CNC(=O)CN(Cc1ccccc1)C(=O)c1ccc(F)c([O-])c1 ZINC001363521717 886545823 /nfs/dbraw/zinc/54/58/23/886545823.db2.gz COMMMMMDVUPHGC-UHFFFAOYSA-N -1 1 316.332 1.920 20 0 DDADMM CC(C)(C)[C@@H](NC(=O)CCN1CC[C@@H](F)C1)c1nc(=O)o[n-]1 ZINC001363526768 886554824 /nfs/dbraw/zinc/55/48/24/886554824.db2.gz MCYYYUDZSRYXKG-KOLCDFICSA-N -1 1 314.361 1.000 20 0 DDADMM O=C(N[C@H]1Cc2cnccc2NC1=O)c1ccc([O-])c(F)c1 ZINC001363543972 886595024 /nfs/dbraw/zinc/59/50/24/886595024.db2.gz DTKPGHDKOYUDFT-LBPRGKRZSA-N -1 1 301.277 1.220 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H](C)Oc1ccccn1 ZINC001363543432 886596292 /nfs/dbraw/zinc/59/62/92/886596292.db2.gz IKMSNOVPAVPFFT-LLVKDONJSA-N -1 1 304.354 1.047 20 0 DDADMM O=C(Cc1cccc(Cl)c1F)NC1(c2nn[n-]n2)CCC1 ZINC001363553166 886620877 /nfs/dbraw/zinc/62/08/77/886620877.db2.gz SWOCDCCSBHYHBC-UHFFFAOYSA-N -1 1 309.732 1.730 20 0 DDADMM CCOC(=O)[C@H]1COCCN1Cc1ccc(F)c([O-])c1F ZINC001232939160 886685742 /nfs/dbraw/zinc/68/57/42/886685742.db2.gz HCGUNEFIIILECX-LLVKDONJSA-N -1 1 301.289 1.434 20 0 DDADMM CCOC(=O)[C@@H]1C(=O)CCCN1Cc1cc(Cl)ncc1[O-] ZINC001233034142 886744592 /nfs/dbraw/zinc/74/45/92/886744592.db2.gz GFNJNEGYHVYSAZ-ZDUSSCGKSA-N -1 1 312.753 1.537 20 0 DDADMM COC[C@@H]1CN(Cc2cc(Cl)ncc2[O-])Cc2nnn(C)c21 ZINC001233036260 886744640 /nfs/dbraw/zinc/74/46/40/886744640.db2.gz MGSIXIDRIVKXAM-JTQLQIEISA-N -1 1 323.784 1.315 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(Cc2cc(Cl)ncc2[O-])C1 ZINC001233039300 886746318 /nfs/dbraw/zinc/74/63/18/886746318.db2.gz SUEAEDWXIYTSAA-SNVBAGLBSA-N -1 1 304.799 1.450 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CCN(c2ccncn2)CC1 ZINC001233037776 886749256 /nfs/dbraw/zinc/74/92/56/886749256.db2.gz FLFDAJRRFVBTKM-UHFFFAOYSA-N -1 1 305.769 1.553 20 0 DDADMM O=C(NCc1ccc(OC(F)F)cc1F)c1cnncc1[O-] ZINC001363626405 886804549 /nfs/dbraw/zinc/80/45/49/886804549.db2.gz GHRRCCZHYGTQLB-UHFFFAOYSA-N -1 1 313.235 1.853 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C)c2nc(C(F)(F)F)n[nH]2)c([O-])c1 ZINC001363717832 887057114 /nfs/dbraw/zinc/05/71/14/887057114.db2.gz WVDMKFZABZHIRH-LURJTMIESA-N -1 1 315.255 1.724 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ncoc1C(F)(F)F ZINC001363803597 887263123 /nfs/dbraw/zinc/26/31/23/887263123.db2.gz NWSDBDKVXRTIHM-UHFFFAOYSA-N -1 1 318.259 1.505 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OCc1cccnc1OC(C)C ZINC001233758542 887284396 /nfs/dbraw/zinc/28/43/96/887284396.db2.gz KXZJBQMXBMTFSG-UHFFFAOYSA-N -1 1 319.317 1.730 20 0 DDADMM C[C@H](Oc1cccc(F)c1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363816256 887299899 /nfs/dbraw/zinc/29/98/99/887299899.db2.gz CWAUXPUQWYDRGS-VIFPVBQESA-N -1 1 305.313 1.302 20 0 DDADMM CSc1ncc(C(=O)N2CC(Cc3ccoc3)C2)c(=O)[n-]1 ZINC001363882144 887464188 /nfs/dbraw/zinc/46/41/88/887464188.db2.gz FUJGCACFKZZXRB-UHFFFAOYSA-N -1 1 305.359 1.812 20 0 DDADMM Cc1nn2cccnc2c1S(=O)(=O)[N-]CCC(F)(F)F ZINC001363959016 887615486 /nfs/dbraw/zinc/61/54/86/887615486.db2.gz MFFBKWXIPPZODQ-UHFFFAOYSA-N -1 1 308.285 1.268 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCCC2(C)C)[n-]n1 ZINC001363989005 887677348 /nfs/dbraw/zinc/67/73/48/887677348.db2.gz QOEFUYRVTZTUFN-JTQLQIEISA-N -1 1 315.395 1.443 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCCC2(C)C)n[n-]1 ZINC001363989005 887677357 /nfs/dbraw/zinc/67/73/57/887677357.db2.gz QOEFUYRVTZTUFN-JTQLQIEISA-N -1 1 315.395 1.443 20 0 DDADMM COC[C@@H](NC(=O)CSc1ccc(C)cc1C)c1nn[n-]n1 ZINC001364032238 887760279 /nfs/dbraw/zinc/76/02/79/887760279.db2.gz SAXTZRQKUOPZBP-LLVKDONJSA-N -1 1 321.406 1.413 20 0 DDADMM Cn1nc(C(=O)OC(C)(C)C)cc1C(=O)Nc1c[n-][nH]c1=O ZINC001364090938 887896948 /nfs/dbraw/zinc/89/69/48/887896948.db2.gz HMTXQDRJJAGUFS-UHFFFAOYSA-N -1 1 307.310 1.056 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccc(S(=O)(=O)C2CC2)cc1 ZINC001364090951 887897012 /nfs/dbraw/zinc/89/70/12/887897012.db2.gz HSRYRRRVDAXHGD-UHFFFAOYSA-N -1 1 307.331 1.304 20 0 DDADMM Cc1c[nH]cc(C(=O)NCCc2c(F)cc([O-])cc2F)c1=O ZINC001364119441 887964065 /nfs/dbraw/zinc/96/40/65/887964065.db2.gz UBZCOKFUYBVQCA-UHFFFAOYSA-N -1 1 308.284 1.640 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@H]1CCOC[C@H]1C ZINC001234557980 888090106 /nfs/dbraw/zinc/09/01/06/888090106.db2.gz PQERQSWGQCQTKN-ZJUUUORDSA-N -1 1 310.350 1.896 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)CCCn2cccn2)[n-]c1=O ZINC001364234291 888189404 /nfs/dbraw/zinc/18/94/04/888189404.db2.gz MZRUXVKNCBTSTF-UHFFFAOYSA-N -1 1 319.365 1.219 20 0 DDADMM COc1ccc([C@@H](C)NC(=O)CCc2nn[n-]n2)c(OC)c1 ZINC001364450183 888664591 /nfs/dbraw/zinc/66/45/91/888664591.db2.gz BTMJCPBUGFPUHF-SECBINFHSA-N -1 1 305.338 1.027 20 0 DDADMM CCc1ccc(NC(=O)c2coc(S(=O)(=O)[N-]C)c2)nc1 ZINC001364592664 888992848 /nfs/dbraw/zinc/99/28/48/888992848.db2.gz ITSIPYPCISFBEC-UHFFFAOYSA-N -1 1 309.347 1.397 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC2(CCCCO2)CC1 ZINC001364592893 888996278 /nfs/dbraw/zinc/99/62/78/888996278.db2.gz JVTYYIXZIFBIST-UHFFFAOYSA-N -1 1 323.349 1.072 20 0 DDADMM CN(C)C(=O)c1ccc(NC(=O)c2cccc([O-])c2F)cn1 ZINC001364729977 889291181 /nfs/dbraw/zinc/29/11/81/889291181.db2.gz OOBQIEXBGDGHEX-UHFFFAOYSA-N -1 1 303.293 1.880 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H]([C@H]3CCCO3)C2)c(=O)[n-]1 ZINC001364742457 889321650 /nfs/dbraw/zinc/32/16/50/889321650.db2.gz RDPDWSOJTFXREP-MWLCHTKSSA-N -1 1 309.391 1.545 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(c2ccccc2)C(C)C)[n-]n1 ZINC001364795699 889433165 /nfs/dbraw/zinc/43/31/65/889433165.db2.gz KORMDWXHNOIZEX-UHFFFAOYSA-N -1 1 323.374 1.800 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(c2ccccc2)C(C)C)n[n-]1 ZINC001364795699 889433171 /nfs/dbraw/zinc/43/31/71/889433171.db2.gz KORMDWXHNOIZEX-UHFFFAOYSA-N -1 1 323.374 1.800 20 0 DDADMM Cn1cnnc1CC1CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001364904881 889644227 /nfs/dbraw/zinc/64/42/27/889644227.db2.gz YNSOHGHUFKUKHQ-UHFFFAOYSA-N -1 1 318.352 1.755 20 0 DDADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]C(C)(C)/C=C/Cl ZINC001364965327 889775233 /nfs/dbraw/zinc/77/52/33/889775233.db2.gz MQQGCZCSYNXSHS-AATRIKPKSA-N -1 1 321.786 1.314 20 0 DDADMM CC(=O)Nc1cccc(C[N-]S(=O)(=O)c2conc2C)c1 ZINC001365038918 889953778 /nfs/dbraw/zinc/95/37/78/889953778.db2.gz WVXVJYCHPUOKEJ-UHFFFAOYSA-N -1 1 309.347 1.420 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1sc(C)nc1C ZINC001365144476 890191426 /nfs/dbraw/zinc/19/14/26/890191426.db2.gz UCBSBDUAFSKPEK-UHFFFAOYSA-N -1 1 319.433 1.704 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001365149746 890200391 /nfs/dbraw/zinc/20/03/91/890200391.db2.gz URYGAKSBAPAIEI-KCJUWKMLSA-N -1 1 308.425 1.227 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnn(CC(=O)[O-])c3)cc2[nH]1 ZINC001242182931 891362887 /nfs/dbraw/zinc/36/28/87/891362887.db2.gz BEZFIRGOVHGTSP-UHFFFAOYSA-N -1 1 300.274 1.298 20 0 DDADMM COc1cnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)cc1C ZINC001244789345 891921469 /nfs/dbraw/zinc/92/14/69/891921469.db2.gz ABITZLDBIVMVNN-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM CCc1cncnc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244790446 891925310 /nfs/dbraw/zinc/92/53/10/891925310.db2.gz MXJANRFDDPRLAB-UHFFFAOYSA-N -1 1 308.363 1.481 20 0 DDADMM COc1ncc(-c2ccc(C)c(N)n2)cc1[N-]S(C)(=O)=O ZINC001244791839 891927153 /nfs/dbraw/zinc/92/71/53/891927153.db2.gz FFEMACHSBNINBK-UHFFFAOYSA-N -1 1 308.363 1.414 20 0 DDADMM [NH3+][C@@H](Cc1ccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)cc1)C(=O)[O-] ZINC001245646068 892219368 /nfs/dbraw/zinc/21/93/68/892219368.db2.gz ANHYELPDSGQHNS-HNNXBMFYSA-N -1 1 324.336 1.121 20 0 DDADMM C[C@@H](CNC(=O)CCCC1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001366374251 893362720 /nfs/dbraw/zinc/36/27/20/893362720.db2.gz YTVLJQNMKSZYHQ-LBPRGKRZSA-N -1 1 323.441 1.855 20 0 DDADMM C[C@H](CN[C@@H](C)c1ncccn1)NC(=O)c1ncccc1[O-] ZINC001374752534 913804739 /nfs/dbraw/zinc/80/47/39/913804739.db2.gz WCDHAZRYPSVFBI-MNOVXSKESA-N -1 1 301.350 1.046 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)c2cccc(N)c2)cc1N ZINC001250339591 894242301 /nfs/dbraw/zinc/24/23/01/894242301.db2.gz NWOHGXPPPKFUGV-UHFFFAOYSA-N -1 1 321.358 1.438 20 0 DDADMM NS(=O)(=O)c1cc2[n-]c(-c3ccc[nH]c3=O)nc2cc1Cl ZINC001250523391 894314250 /nfs/dbraw/zinc/31/42/50/894314250.db2.gz GNJDZBUJDSBTDF-UHFFFAOYSA-N -1 1 324.749 1.631 20 0 DDADMM NS(=O)(=O)c1cc2nc(-c3ccc[nH]c3=O)[n-]c2cc1Cl ZINC001250523391 894314264 /nfs/dbraw/zinc/31/42/64/894314264.db2.gz GNJDZBUJDSBTDF-UHFFFAOYSA-N -1 1 324.749 1.631 20 0 DDADMM COC(=O)c1sc2ccncc2c1[N-]S(=O)(=O)N(C)C ZINC001251058641 894619253 /nfs/dbraw/zinc/61/92/53/894619253.db2.gz PAXJKYKJNYZKLI-UHFFFAOYSA-N -1 1 315.376 1.301 20 0 DDADMM O=c1[n-]c(CNC[C@H](O)COc2cccc3ccccc32)n[nH]1 ZINC001252395850 895117478 /nfs/dbraw/zinc/11/74/78/895117478.db2.gz KETQOJXASGRQHS-LBPRGKRZSA-N -1 1 314.345 1.193 20 0 DDADMM CC(C)S(=O)(=O)[N-]c1ncc(Br)nc1N(C)C ZINC001252426877 895136876 /nfs/dbraw/zinc/13/68/76/895136876.db2.gz HHUGRIDKWVBGSG-UHFFFAOYSA-N -1 1 323.216 1.455 20 0 DDADMM CC1(CC(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])CC1 ZINC001388524535 895386716 /nfs/dbraw/zinc/38/67/16/895386716.db2.gz RUCGJXYRSWOKCA-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(CNS(=O)(=O)Cc1ccccc1)c1ccc([O-])cc1 ZINC001252942720 895566768 /nfs/dbraw/zinc/56/67/68/895566768.db2.gz LAYZKILPKDEJAK-UHFFFAOYSA-N -1 1 305.355 1.695 20 0 DDADMM CCS(=O)(=O)[N-]c1cc(N2C[C@@H](C)O[C@@H](C)C2)nc(C)n1 ZINC001253277734 895765851 /nfs/dbraw/zinc/76/58/51/895765851.db2.gz OIGZMVKVBKRARF-AOOOYVTPSA-N -1 1 314.411 1.160 20 0 DDADMM NC(=O)c1cccc([N-]S(=O)(=O)c2ccccc2F)c1F ZINC001255501319 896978558 /nfs/dbraw/zinc/97/85/58/896978558.db2.gz QYFUWFVDEAIFMU-UHFFFAOYSA-N -1 1 312.297 1.865 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2ccc(C(N)=O)c(O)c2)c1 ZINC001256958411 897644477 /nfs/dbraw/zinc/64/44/77/897644477.db2.gz HXKNWLDDSFUZPA-UHFFFAOYSA-N -1 1 306.343 1.600 20 0 DDADMM Cc1cccc(S(=O)(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001256979592 897653953 /nfs/dbraw/zinc/65/39/53/897653953.db2.gz NLKINYISNOWUAN-UHFFFAOYSA-N -1 1 305.319 1.168 20 0 DDADMM CCC1(CC[N-]S(=O)(=O)c2cc(F)ccc2F)OCCO1 ZINC001258951397 898378749 /nfs/dbraw/zinc/37/87/49/898378749.db2.gz FGXUNSDQDDEQRE-UHFFFAOYSA-N -1 1 321.345 1.786 20 0 DDADMM Cc1nocc1C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259041797 898436811 /nfs/dbraw/zinc/43/68/11/898436811.db2.gz GUTRWUFRBCSIGR-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM CC[C@H](C)[C@@H](CO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259042033 898437684 /nfs/dbraw/zinc/43/76/84/898437684.db2.gz NQKXRAFWFCNIKI-WRWORJQWSA-N -1 1 311.325 1.789 20 0 DDADMM O=S(=O)([N-]Cc1cnccn1)c1cc(Cl)ccc1F ZINC001259460002 898680016 /nfs/dbraw/zinc/68/00/16/898680016.db2.gz RTWXRPLTUHNSGS-UHFFFAOYSA-N -1 1 301.730 1.748 20 0 DDADMM CCCC(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CCCC1 ZINC001390175725 898861607 /nfs/dbraw/zinc/86/16/07/898861607.db2.gz MRNAHPNGDCRRTD-CYBMUJFWSA-N -1 1 319.405 1.992 20 0 DDADMM CC(C)CCC(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001390188974 898887551 /nfs/dbraw/zinc/88/75/51/898887551.db2.gz MODNLSXJMUPMDZ-QGZVFWFLSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1cccnc1C1(C[N-]S(=O)(=O)C(F)F)CCOCC1 ZINC001259964078 898985944 /nfs/dbraw/zinc/98/59/44/898985944.db2.gz ABGCBVFDDVDDEV-UHFFFAOYSA-N -1 1 320.361 1.580 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)C(F)F)ncc1Br ZINC001259963058 898986007 /nfs/dbraw/zinc/98/60/07/898986007.db2.gz OQWOPPZDHVEEOZ-UHFFFAOYSA-N -1 1 315.139 1.795 20 0 DDADMM COC(=O)C[C@H]([N-]S(=O)(=O)C(F)F)c1ccc(O)cc1 ZINC001259962906 898986474 /nfs/dbraw/zinc/98/64/74/898986474.db2.gz WAPGVSXHEDEZGY-VIFPVBQESA-N -1 1 309.290 1.138 20 0 DDADMM COCCS(=O)(=O)[N-]c1nc(F)cc(C(F)(F)F)c1F ZINC001259965843 898991985 /nfs/dbraw/zinc/99/19/85/898991985.db2.gz SBNFCKMEZIETHS-UHFFFAOYSA-N -1 1 320.239 1.767 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1c(Cl)cnn1C ZINC001260105820 899054632 /nfs/dbraw/zinc/05/46/32/899054632.db2.gz WLHLDDUUSZBYPB-UHFFFAOYSA-N -1 1 303.775 1.225 20 0 DDADMM O=S(=O)([N-]C[C@H](O)CF)c1cc(Cl)c(F)cc1F ZINC001260208380 899090443 /nfs/dbraw/zinc/09/04/43/899090443.db2.gz LZCHVVAPRJECHJ-RXMQYKEDSA-N -1 1 303.689 1.227 20 0 DDADMM CN1CCC[C@](C)(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC001260232541 899102123 /nfs/dbraw/zinc/10/21/23/899102123.db2.gz UAIOIBHWYOUNMW-AWEZNQCLSA-N -1 1 312.391 1.147 20 0 DDADMM COc1cc([N-]S(=O)(=O)CS(C)(=O)=O)ccc1Cl ZINC001260591960 899179642 /nfs/dbraw/zinc/17/96/42/899179642.db2.gz VLTSFCSZPIBULL-UHFFFAOYSA-N -1 1 313.784 1.092 20 0 DDADMM CN(CCCCc1ccccc1)c1nnc(-c2nnn[n-]2)n1C ZINC001262852599 900395693 /nfs/dbraw/zinc/39/56/93/900395693.db2.gz XYCQVEVSPYOUTA-UHFFFAOYSA-N -1 1 312.381 1.454 20 0 DDADMM CN(CCCCc1ccccc1)c1nnc(-c2nn[n-]n2)n1C ZINC001262852599 900395703 /nfs/dbraw/zinc/39/57/03/900395703.db2.gz XYCQVEVSPYOUTA-UHFFFAOYSA-N -1 1 312.381 1.454 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])[C@@H]1CC=CCC1 ZINC001262915315 900418178 /nfs/dbraw/zinc/41/81/78/900418178.db2.gz IIBUZTIATPXBSV-OLZOCXBDSA-N -1 1 315.373 1.474 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)[C@@H](C)c1ccccc1 ZINC001263015245 900468335 /nfs/dbraw/zinc/46/83/35/900468335.db2.gz HHVBWXYDOQWGKO-NSHDSACASA-N -1 1 312.381 1.599 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)[C@@H](C)c1ccccc1 ZINC001263015245 900468340 /nfs/dbraw/zinc/46/83/40/900468340.db2.gz HHVBWXYDOQWGKO-NSHDSACASA-N -1 1 312.381 1.599 20 0 DDADMM CCC(CC)CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001369395964 901181945 /nfs/dbraw/zinc/18/19/45/901181945.db2.gz SQDATUZNYXPOGB-CMPLNLGQSA-N -1 1 309.414 1.416 20 0 DDADMM C[C@H](CNC(=O)CCC1CC1)CNC(=O)c1ncccc1[O-] ZINC001391210090 901232032 /nfs/dbraw/zinc/23/20/32/901232032.db2.gz OJAJNNMYCHKVMR-LLVKDONJSA-N -1 1 305.378 1.460 20 0 DDADMM CCc1nnc([C@@H](C)NCCN(C)C(=O)c2ncccc2[O-])o1 ZINC001391217038 901251276 /nfs/dbraw/zinc/25/12/76/901251276.db2.gz XRTFIYABFWAQAJ-SNVBAGLBSA-N -1 1 319.365 1.155 20 0 DDADMM CCCCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001369736239 901843892 /nfs/dbraw/zinc/84/38/92/901843892.db2.gz FVESYXUQCSGENT-NEPJUHHUSA-N -1 1 309.414 1.560 20 0 DDADMM CCCC[C@@H](CC)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001391572937 902039200 /nfs/dbraw/zinc/03/92/00/902039200.db2.gz PNJHLJSAHUIASQ-CHWSQXEVSA-N -1 1 323.441 1.015 20 0 DDADMM CC[C@H](CNC(=O)C1(CC(C)C)CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001391711708 902417178 /nfs/dbraw/zinc/41/71/78/902417178.db2.gz KTWSFTGVMJDGRA-GFCCVEGCSA-N -1 1 323.441 1.711 20 0 DDADMM Cc1cnc(CN(C)C[C@@H](C)NC(=O)c2ncccc2[O-])cn1 ZINC001375030197 914703314 /nfs/dbraw/zinc/70/33/14/914703314.db2.gz FADYLKLYBZGNDK-GFCCVEGCSA-N -1 1 315.377 1.136 20 0 DDADMM CC[C@H](OC)C(=O)NCCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001280813825 904075727 /nfs/dbraw/zinc/07/57/27/904075727.db2.gz YAPFQGHMQPUTIR-AAEUAGOBSA-N -1 1 323.393 1.227 20 0 DDADMM CCN(C(=O)CC(C)C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001371345701 904754220 /nfs/dbraw/zinc/75/42/20/904754220.db2.gz XHEDQENADSHCMW-GFCCVEGCSA-N -1 1 307.394 1.800 20 0 DDADMM CC[C@@H](F)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001371509545 905130093 /nfs/dbraw/zinc/13/00/93/905130093.db2.gz WKRFCWMIAVMKCE-GMTAPVOTSA-N -1 1 309.341 1.112 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)C[C@H]1C=CCC1 ZINC001294037314 914873092 /nfs/dbraw/zinc/87/30/92/914873092.db2.gz OKJGEEAFAKNYGW-ZDUSSCGKSA-N -1 1 317.389 1.722 20 0 DDADMM O=C(NCCNC(=O)c1cnc(C2CC2)[n-]c1=O)C1=CCCC1 ZINC001282971215 906367094 /nfs/dbraw/zinc/36/70/94/906367094.db2.gz ZJRJXTRFMDNLLO-UHFFFAOYSA-N -1 1 316.361 1.016 20 0 DDADMM O=C(NCCCNC(=O)[C@@H]1CC12CCC2)c1ncccc1[O-] ZINC001283233681 906957956 /nfs/dbraw/zinc/95/79/56/906957956.db2.gz YTKDLRTUXJXPGC-NSHDSACASA-N -1 1 303.362 1.214 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H](C)c1ccco1 ZINC001372831404 908372288 /nfs/dbraw/zinc/37/22/88/908372288.db2.gz GENAIFCBCBEZEG-QWRGUYRKSA-N -1 1 317.345 1.418 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1c[nH]cc1C1CC1 ZINC001393985376 908458375 /nfs/dbraw/zinc/45/83/75/908458375.db2.gz MVAGZAPDZPMFLX-IUCAKERBSA-N -1 1 318.381 1.012 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC1(F)F ZINC001373259908 909335433 /nfs/dbraw/zinc/33/54/33/909335433.db2.gz BBOYPIBOFSCCLC-RKDXNWHRSA-N -1 1 313.304 1.067 20 0 DDADMM CC(C)N(CCCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1C[C@H]1C ZINC001284777388 909479234 /nfs/dbraw/zinc/47/92/34/909479234.db2.gz INYLNXYMIXNSTQ-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1ccccc1C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001373413163 909793886 /nfs/dbraw/zinc/79/38/86/909793886.db2.gz GOFAJASCXTWGRJ-UHFFFAOYSA-N -1 1 313.357 1.598 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C=C1CCCCC1 ZINC001285714523 911081057 /nfs/dbraw/zinc/08/10/57/911081057.db2.gz SDAMZKRHSXIHHH-LBPRGKRZSA-N -1 1 317.389 1.912 20 0 DDADMM CCC[C@](C)(CC)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001373967924 911486729 /nfs/dbraw/zinc/48/67/29/911486729.db2.gz CSILQGUUILXVEE-WBMJQRKESA-N -1 1 323.441 1.807 20 0 DDADMM CC/C=C(\C)C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001295320897 915706958 /nfs/dbraw/zinc/70/69/58/915706958.db2.gz IPESHZNCPXGQFY-BYFNEPLSSA-N -1 1 315.373 1.330 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H](C)C(C)(C)C ZINC001397413474 915972276 /nfs/dbraw/zinc/97/22/76/915972276.db2.gz IMDJNWHEYRBSLR-WDEREUQCSA-N -1 1 307.394 1.704 20 0 DDADMM CC(C)(F)C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001397653452 916609801 /nfs/dbraw/zinc/60/98/01/916609801.db2.gz UVRXYKYDURQPRZ-SNVBAGLBSA-N -1 1 309.341 1.114 20 0 DDADMM CC(C)[C@H](CNC(=O)C1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001376000661 917449290 /nfs/dbraw/zinc/44/92/90/917449290.db2.gz JGKJVBSAZOPZSZ-NSHDSACASA-N -1 1 305.378 1.458 20 0 DDADMM CC(C)(F)C(=O)NC[C@H]1CCC[C@H]1NC(=O)c1ncccc1[O-] ZINC001376412703 918443961 /nfs/dbraw/zinc/44/39/61/918443961.db2.gz YMSPDVKALOGLIV-GHMZBOCLSA-N -1 1 323.368 1.550 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC4CCC3CC4)nc2n1 ZINC000622870144 365550574 /nfs/dbraw/zinc/55/05/74/365550574.db2.gz RUTHVRBOJRZXFD-ILDUYXDCSA-N -1 1 301.350 1.491 20 0 DDADMM CC[C@H](OC1CCCC1)C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000622871205 365551759 /nfs/dbraw/zinc/55/17/59/365551759.db2.gz UABSQRITPVYXTQ-NSHDSACASA-N -1 1 319.365 1.402 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3cscc3C)nc2n1 ZINC000622997245 365590114 /nfs/dbraw/zinc/59/01/14/365590114.db2.gz QLXJWSNTKQEQKN-UHFFFAOYSA-N -1 1 317.374 1.992 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC[C@H](CC)O3)nc2n1 ZINC000622996980 365590431 /nfs/dbraw/zinc/59/04/31/365590431.db2.gz HQMNJWMMTSYCPM-WDEREUQCSA-N -1 1 319.365 1.266 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3C3CCC3)nc2n1 ZINC000622998128 365591567 /nfs/dbraw/zinc/59/15/67/365591567.db2.gz YQXMYWWTLOLRMR-NWDGAFQWSA-N -1 1 315.377 1.745 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](C)[C@H](CO)C1 ZINC000623037327 365616444 /nfs/dbraw/zinc/61/64/44/365616444.db2.gz LXYAWLNHRCAMLU-JQWIXIFHSA-N -1 1 321.421 1.879 20 0 DDADMM COC[C@@H](C)n1ccc([N-]S(=O)(=O)N2CCC[C@@H](C)C2)n1 ZINC000249289940 282141639 /nfs/dbraw/zinc/14/16/39/282141639.db2.gz VOXDADSYDAWCNX-VXGBXAGGSA-N -1 1 316.427 1.479 20 0 DDADMM CC(C)(C)C[C@H](O)CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474439 361875722 /nfs/dbraw/zinc/87/57/22/361875722.db2.gz UOLWDVWEQORYMD-LLVKDONJSA-N -1 1 311.382 1.668 20 0 DDADMM COCC[C@](C)(O)C[N-]S(=O)(=O)c1sccc1Cl ZINC000451227386 231039250 /nfs/dbraw/zinc/03/92/50/231039250.db2.gz LBYLEKNZQZFPOC-JTQLQIEISA-N -1 1 313.828 1.467 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1sccc1Cl)C(=O)NCCF ZINC000451503028 231112587 /nfs/dbraw/zinc/11/25/87/231112587.db2.gz QNLOCZPCQQLHNQ-LURJTMIESA-N -1 1 314.791 1.154 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ncc(C)s2)c1 ZINC000153589490 290648256 /nfs/dbraw/zinc/64/82/56/290648256.db2.gz IYFVERXHOVRMBF-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CC[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCCC1 ZINC000456669119 529477294 /nfs/dbraw/zinc/47/72/94/529477294.db2.gz VYNXIAPDXHIZGR-LBPRGKRZSA-N -1 1 305.378 1.602 20 0 DDADMM CC[C@@]1(C(C)C)C[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000457305386 529520042 /nfs/dbraw/zinc/52/00/42/529520042.db2.gz VGDSUMSIJHTFLG-LRDDRELGSA-N -1 1 305.378 1.458 20 0 DDADMM O=C(NCC1(CO)CC1)c1ccc(Br)c([O-])c1 ZINC000231350716 539266878 /nfs/dbraw/zinc/26/68/78/539266878.db2.gz XDUGKEIRAMUROD-UHFFFAOYSA-N -1 1 300.152 1.657 20 0 DDADMM Cc1ccc([N-]S(C)(=O)=O)c(C(=O)N(C)c2ccn(C)n2)c1 ZINC000274854729 539279283 /nfs/dbraw/zinc/27/92/83/539279283.db2.gz YYFXWKYANCUJNH-UHFFFAOYSA-N -1 1 322.390 1.377 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)C2CC2)c(Br)n1C ZINC000286592619 219070599 /nfs/dbraw/zinc/07/05/99/219070599.db2.gz SCGIQASMRNOPDQ-ZCFIWIBFSA-N -1 1 322.228 1.568 20 0 DDADMM CCC(CC)S(=O)(=O)[N-]C(=O)c1[nH]nc2c1CCCCC2 ZINC000191089874 282218030 /nfs/dbraw/zinc/21/80/30/282218030.db2.gz JCQLTSFZEKRAKP-UHFFFAOYSA-N -1 1 313.423 1.927 20 0 DDADMM COCCS(=O)(=O)Cc1nc(-c2ccc([O-])c(F)c2)no1 ZINC000278210754 214024607 /nfs/dbraw/zinc/02/46/07/214024607.db2.gz QESAKCLYNRASCV-UHFFFAOYSA-N -1 1 316.310 1.143 20 0 DDADMM CN(C[C@@H]1CCS(=O)(=O)C1)C(=O)c1c(F)ccc([O-])c1F ZINC000330879633 529956924 /nfs/dbraw/zinc/95/69/24/529956924.db2.gz YSQQVNJOVZHSGV-QMMMGPOBSA-N -1 1 319.329 1.177 20 0 DDADMM CN1CCC[C@H]([N-]S(=O)(=O)c2sccc2Cl)C1=O ZINC000451279290 530061411 /nfs/dbraw/zinc/06/14/11/530061411.db2.gz XCAVDBQVYJJOHK-QMMMGPOBSA-N -1 1 308.812 1.301 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CC23CCOCC3)c1Br ZINC000616007500 362518129 /nfs/dbraw/zinc/51/81/29/362518129.db2.gz QXMBEHRPDSABLF-MRVPVSSYSA-N -1 1 314.183 1.658 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)C2CN(CC(F)F)C2)c1Br ZINC000616008869 362518422 /nfs/dbraw/zinc/51/84/22/362518422.db2.gz KRGAFXLIKSSINN-UHFFFAOYSA-N -1 1 323.141 1.038 20 0 DDADMM CO[C@@H](CC(C)C)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616011846 362520429 /nfs/dbraw/zinc/52/04/29/362520429.db2.gz UNPPZATVTSGPMB-QMMMGPOBSA-N -1 1 304.188 1.902 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@@]1(C)C(C)C ZINC000186045008 186234882 /nfs/dbraw/zinc/23/48/82/186234882.db2.gz MFKFSMRACAAVQS-GUYCJALGSA-N -1 1 316.405 1.938 20 0 DDADMM CNC(=O)c1cc(S(=O)(=O)[N-]c2ccc(C)c(C)c2)cn1C ZINC000031665387 352269578 /nfs/dbraw/zinc/26/95/78/352269578.db2.gz ZQMMGVQHVFZNKR-UHFFFAOYSA-N -1 1 321.402 1.802 20 0 DDADMM Cn1c(CNC(=O)Cc2ccc3c(c2)CCC3)n[n-]c1=S ZINC000066634620 353008979 /nfs/dbraw/zinc/00/89/79/353008979.db2.gz JQGMGUNRRACKIX-UHFFFAOYSA-N -1 1 302.403 1.825 20 0 DDADMM CCn1c(CNC(=O)COCc2ccccc2)n[n-]c1=S ZINC000066636708 353010061 /nfs/dbraw/zinc/01/00/61/353010061.db2.gz ZSSKFVBLFWZPBO-UHFFFAOYSA-N -1 1 306.391 1.794 20 0 DDADMM CCCNC(=O)CCC(=O)NCc1n[n-]c(=S)n1C(C)C ZINC000069210671 353150651 /nfs/dbraw/zinc/15/06/51/353150651.db2.gz FGDWWUMYVLICOZ-UHFFFAOYSA-N -1 1 313.427 1.444 20 0 DDADMM O=C1NCCc2ccc([N-]S(=O)(=O)c3cccc(F)c3)cc21 ZINC000070934207 353186645 /nfs/dbraw/zinc/18/66/45/353186645.db2.gz ZEDJDFAQJCAOHL-UHFFFAOYSA-N -1 1 320.345 1.912 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2ccc(C(F)(F)F)nc2)no1 ZINC000182072356 199381618 /nfs/dbraw/zinc/38/16/18/199381618.db2.gz CQIGFMGDWLHXRK-UHFFFAOYSA-N -1 1 322.268 1.270 20 0 DDADMM O=C(C=Cc1ccnc2ccccc12)NC1(c2nn[n-]n2)CC1 ZINC000491996210 234355366 /nfs/dbraw/zinc/35/53/66/234355366.db2.gz XOALQPIXRFMNBI-WAYWQWQTSA-N -1 1 306.329 1.567 20 0 DDADMM CCN(C(=O)[C@@H](C)S(=O)(=O)c1ncn[n-]1)C1CCCCC1 ZINC000086169917 353738814 /nfs/dbraw/zinc/73/88/14/353738814.db2.gz MMQXGJMLONKUEN-SNVBAGLBSA-N -1 1 314.411 1.148 20 0 DDADMM CCN(C(=O)[C@@H](C)S(=O)(=O)c1nc[n-]n1)C1CCCCC1 ZINC000086169917 353738816 /nfs/dbraw/zinc/73/88/16/353738816.db2.gz MMQXGJMLONKUEN-SNVBAGLBSA-N -1 1 314.411 1.148 20 0 DDADMM CN(C[C@@H](O)C(F)(F)F)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000091628445 353832854 /nfs/dbraw/zinc/83/28/54/353832854.db2.gz IIWLLZNIGBRPQH-SECBINFHSA-N -1 1 315.251 1.331 20 0 DDADMM Cc1ocnc1C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000090446754 353793170 /nfs/dbraw/zinc/79/31/70/353793170.db2.gz BECZCQYHMXMLEF-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@@H]1O)c1ccc(Br)o1 ZINC000358628872 299209003 /nfs/dbraw/zinc/20/90/03/299209003.db2.gz BUFUTALFMGEKQY-YUMQZZPRSA-N -1 1 324.196 1.624 20 0 DDADMM COC(=O)CCN(Cc1ccccc1)C(=O)c1ncccc1[O-] ZINC000123505488 354032592 /nfs/dbraw/zinc/03/25/92/354032592.db2.gz MPNMIBIIJQUPBA-UHFFFAOYSA-N -1 1 314.341 1.993 20 0 DDADMM COC(=O)C[N-]S(=O)(=O)Cc1ccccc1Br ZINC000137723946 354119511 /nfs/dbraw/zinc/11/95/11/354119511.db2.gz RKIWRJVXRVGNAG-UHFFFAOYSA-N -1 1 322.180 1.042 20 0 DDADMM O=C(C=Cc1ccc(Br)s1)Nc1nnn[n-]1 ZINC000140774117 354137654 /nfs/dbraw/zinc/13/76/54/354137654.db2.gz LNQRPZPMXIGHPY-RQOWECAXSA-N -1 1 300.141 1.676 20 0 DDADMM O=C(C=Cc1ccc(Br)s1)Nc1nn[n-]n1 ZINC000140774117 354137656 /nfs/dbraw/zinc/13/76/56/354137656.db2.gz LNQRPZPMXIGHPY-RQOWECAXSA-N -1 1 300.141 1.676 20 0 DDADMM C[C@@H](CO)[C@H]1CCCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000617860442 363346242 /nfs/dbraw/zinc/34/62/42/363346242.db2.gz VMLZIBKJKYMUEZ-GXFFZTMASA-N -1 1 309.435 1.762 20 0 DDADMM CC(C)N(CCOCCO)C(=O)c1ccc(Cl)cc1[O-] ZINC000380053831 354626742 /nfs/dbraw/zinc/62/67/42/354626742.db2.gz CYWJACREDMRHIX-UHFFFAOYSA-N -1 1 301.770 1.905 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2cnn(-c3ccncc3)c2)cn1C ZINC000589149129 354964685 /nfs/dbraw/zinc/96/46/85/354964685.db2.gz JTELWYJRBMZJIB-UHFFFAOYSA-N -1 1 318.362 1.110 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2cc(C(C)=O)cs2)n1 ZINC000589826059 355022225 /nfs/dbraw/zinc/02/22/25/355022225.db2.gz AYUONUDDECVCSG-UHFFFAOYSA-N -1 1 311.344 1.841 20 0 DDADMM CCCCOCC[N-]S(=O)(=O)c1ccc(C(=O)OCC)o1 ZINC000358840050 291051227 /nfs/dbraw/zinc/05/12/27/291051227.db2.gz IIEQIYQIKPFMAU-UHFFFAOYSA-N -1 1 319.379 1.551 20 0 DDADMM COc1ccc(OC)c(CCNC(=O)c2ncccc2[O-])c1 ZINC000171269873 306683673 /nfs/dbraw/zinc/68/36/73/306683673.db2.gz SFSCUPRJWMHJNW-UHFFFAOYSA-N -1 1 302.330 1.777 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)[C@H]1CC2CCC1CC2 ZINC000565145126 304045376 /nfs/dbraw/zinc/04/53/76/304045376.db2.gz JTAHMYYFYHCPBC-AGVGLQIMSA-N -1 1 321.377 1.841 20 0 DDADMM COC(=O)[C@](C)(O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000591319736 355288453 /nfs/dbraw/zinc/28/84/53/355288453.db2.gz LAOJFSXMXYOYGY-GFCCVEGCSA-N -1 1 321.251 1.065 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H](CC(C)C)OC)C(C)(C)C ZINC000591465442 355317386 /nfs/dbraw/zinc/31/73/86/355317386.db2.gz FQPHFUTUQWCONT-VXGBXAGGSA-N -1 1 323.455 1.555 20 0 DDADMM CN1CCC[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000591817323 355390642 /nfs/dbraw/zinc/39/06/42/355390642.db2.gz KFIMYLQONFVMLR-MRVPVSSYSA-N -1 1 308.325 1.476 20 0 DDADMM COC(=O)c1ccc(C)c(NC(=O)CN(C)CCCC(=O)[O-])c1 ZINC000592987938 355762721 /nfs/dbraw/zinc/76/27/21/355762721.db2.gz CDRLUPYGMIAVFO-UHFFFAOYSA-N -1 1 322.361 1.517 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C1COC1)C1CCCCCC1 ZINC000594481975 356233255 /nfs/dbraw/zinc/23/32/55/356233255.db2.gz LODNUFDNXUWWRJ-CYBMUJFWSA-N -1 1 319.423 1.207 20 0 DDADMM NC(=O)c1ccc(C[N-]S(=O)(=O)c2cc(F)ccc2F)o1 ZINC000080822930 192193816 /nfs/dbraw/zinc/19/38/16/192193816.db2.gz DAEMUHUZKAWHGD-UHFFFAOYSA-N -1 1 316.285 1.135 20 0 DDADMM O=S(=O)([N-]Cc1ccon1)c1sccc1Br ZINC000080829407 192193897 /nfs/dbraw/zinc/19/38/97/192193897.db2.gz FOOSCXZHRAUPCV-UHFFFAOYSA-N -1 1 323.193 1.977 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCC[C@@H](C)O2)c1 ZINC000594853252 356338508 /nfs/dbraw/zinc/33/85/08/356338508.db2.gz WNPHYXOEQNNWSB-KOLCDFICSA-N -1 1 317.363 1.302 20 0 DDADMM CC[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595332603 356452139 /nfs/dbraw/zinc/45/21/39/356452139.db2.gz OASYPMUXAWUBCP-ZJUUUORDSA-N -1 1 301.364 1.699 20 0 DDADMM COCC[C@H](C)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595335738 356452855 /nfs/dbraw/zinc/45/28/55/356452855.db2.gz CITVOTYBFKISIS-VIFPVBQESA-N -1 1 319.379 1.326 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2cscn2)cc1C ZINC000595311811 356444329 /nfs/dbraw/zinc/44/43/29/356444329.db2.gz ODUUQTQGVAUIHG-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C(C)C)C2CC2)c1 ZINC000595364679 356466146 /nfs/dbraw/zinc/46/61/46/356466146.db2.gz RKNZXNXDWPJVTD-GFCCVEGCSA-N -1 1 301.364 1.779 20 0 DDADMM COCCCCCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081617083 192304373 /nfs/dbraw/zinc/30/43/73/192304373.db2.gz DHUQUNXHWMUWFA-UHFFFAOYSA-N -1 1 309.410 1.922 20 0 DDADMM COc1ccc(CCC(=O)N2CCN([C@H](C)C(=O)[O-])CC2)cc1 ZINC000237188988 202183163 /nfs/dbraw/zinc/18/31/63/202183163.db2.gz WLRCOOYZTNOOAB-CYBMUJFWSA-N -1 1 320.389 1.245 20 0 DDADMM CCc1c(C)nn(-c2cccc(C(=O)Nc3nnn[n-]3)c2)c1C ZINC000347525268 283224462 /nfs/dbraw/zinc/22/44/62/283224462.db2.gz PYSIHKLMRHTBMD-UHFFFAOYSA-N -1 1 311.349 1.817 20 0 DDADMM CCc1c(C)nn(-c2cccc(C(=O)Nc3nn[n-]n3)c2)c1C ZINC000347525268 283224465 /nfs/dbraw/zinc/22/44/65/283224465.db2.gz PYSIHKLMRHTBMD-UHFFFAOYSA-N -1 1 311.349 1.817 20 0 DDADMM CC(C)n1cnc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000347569211 283230870 /nfs/dbraw/zinc/23/08/70/283230870.db2.gz PZCMRWBGWJQAIU-SNVBAGLBSA-N -1 1 305.338 1.572 20 0 DDADMM COCCN(CC(=O)OC)C(=O)c1ncc2ccccc2c1[O-] ZINC000597227273 357101953 /nfs/dbraw/zinc/10/19/53/357101953.db2.gz CAZWNQQHBCVTIG-UHFFFAOYSA-N -1 1 318.329 1.202 20 0 DDADMM CC1(C)[C@H](Oc2ccccc2)C[C@@H]1NC(=O)CCc1nn[n-]n1 ZINC000633092287 422754701 /nfs/dbraw/zinc/75/47/01/422754701.db2.gz JUUMTGQSIMKQLR-QWHCGFSZSA-N -1 1 315.377 1.495 20 0 DDADMM COC(=O)[C@H]1C[C@@H](NC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000597777019 357325936 /nfs/dbraw/zinc/32/59/36/357325936.db2.gz JXHSPVXNWCDNSO-PHIMTYICSA-N -1 1 300.314 1.622 20 0 DDADMM C[C@@H](NCc1nc(=O)n(C)[n-]1)c1cccc(N2CCCC2=O)c1 ZINC000347675420 283253889 /nfs/dbraw/zinc/25/38/89/283253889.db2.gz MLSXXMYYHINNJV-LLVKDONJSA-N -1 1 315.377 1.086 20 0 DDADMM Cc1cnc(C(=O)N[C@@]2(C(=O)OC(C)(C)C)CCOC2)c([O-])c1 ZINC000598168559 357480804 /nfs/dbraw/zinc/48/08/04/357480804.db2.gz QVOWMFHSNQYLNI-INIZCTEOSA-N -1 1 322.361 1.326 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2cncc([O-])c2)[C@@H]2CCCC[C@H]12 ZINC000598173308 357483190 /nfs/dbraw/zinc/48/31/90/357483190.db2.gz BQPVXMFEVNRDSG-RBSFLKMASA-N -1 1 318.373 1.981 20 0 DDADMM COC(=O)[C@H]1C[C@H](NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000598519698 357616142 /nfs/dbraw/zinc/61/61/42/357616142.db2.gz YAVGDBJPNBDDCL-MGCOHNPYSA-N -1 1 321.377 1.470 20 0 DDADMM CC(C)(C)OC(=O)[C@@H](C(=O)N=c1ccc(O)n[n-]1)C1CCCC1 ZINC000598832581 357738338 /nfs/dbraw/zinc/73/83/38/357738338.db2.gz RBLXVDVYEGYFCT-CYBMUJFWSA-N -1 1 321.377 1.691 20 0 DDADMM CC(C)(C)OC(=O)[C@@H](C(=O)N=c1ccc(=O)[n-][nH]1)C1CCCC1 ZINC000598832581 357738341 /nfs/dbraw/zinc/73/83/41/357738341.db2.gz RBLXVDVYEGYFCT-CYBMUJFWSA-N -1 1 321.377 1.691 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)CSC)nc2n1 ZINC000598892449 357751681 /nfs/dbraw/zinc/75/16/81/357751681.db2.gz FEJPQWNAYAXEOB-QMMMGPOBSA-N -1 1 309.395 1.308 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)C2CCCC2)c1 ZINC000179244130 199004042 /nfs/dbraw/zinc/00/40/42/199004042.db2.gz DUBKXUMUEVQXAR-SECBINFHSA-N -1 1 301.364 1.923 20 0 DDADMM COc1ccc(C(=O)Nc2nnc3[n-][nH+]c(C)c(C)c2-3)c([O-])c1 ZINC000599276555 357871932 /nfs/dbraw/zinc/87/19/32/357871932.db2.gz MWMJRIFMJOEZRO-UHFFFAOYSA-N -1 1 313.317 1.936 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]2CCC[C@@H]21 ZINC000600551664 358254486 /nfs/dbraw/zinc/25/44/86/358254486.db2.gz PETYXNGCPPVHJB-IJLUTSLNSA-N -1 1 304.346 1.591 20 0 DDADMM COc1ccc(-c2cc(C(=O)N3CC[C@@H](C(=O)[O-])C3)n[nH]2)cc1 ZINC000237404957 202256038 /nfs/dbraw/zinc/25/60/38/202256038.db2.gz KQQBXBNIUQPIOG-LLVKDONJSA-N -1 1 315.329 1.632 20 0 DDADMM COc1cc(C(=O)NCCCNC(C)=O)cc(Cl)c1[O-] ZINC000358909257 299277625 /nfs/dbraw/zinc/27/76/25/299277625.db2.gz SGYWCDWBKNSWKL-UHFFFAOYSA-N -1 1 300.742 1.310 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C)CCC(=O)OC(C)C)sn1 ZINC000601405779 358538975 /nfs/dbraw/zinc/53/89/75/358538975.db2.gz APIQHLMUEHWZAN-SECBINFHSA-N -1 1 320.436 1.850 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)[C@H](C)S[C@H](C)C(=O)[O-])CC1 ZINC000602001513 358771984 /nfs/dbraw/zinc/77/19/84/358771984.db2.gz FNQPLKKNLDRDIG-NWDGAFQWSA-N -1 1 316.467 1.914 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ocnc1C(F)F ZINC000602302087 358920834 /nfs/dbraw/zinc/92/08/34/358920834.db2.gz XWUFZWFEHWRVHR-UHFFFAOYSA-N -1 1 314.248 1.197 20 0 DDADMM Cc1ccccc1CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000618487124 363649270 /nfs/dbraw/zinc/64/92/70/363649270.db2.gz KKPXWWWLHVITOD-INIZCTEOSA-N -1 1 307.357 1.956 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cscc2C)n[n-]1 ZINC000603152236 359439445 /nfs/dbraw/zinc/43/94/45/359439445.db2.gz BRFVWEIVWIAMOV-MRVPVSSYSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cscc2C)[n-]1 ZINC000603152236 359439447 /nfs/dbraw/zinc/43/94/47/359439447.db2.gz BRFVWEIVWIAMOV-MRVPVSSYSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cscc2C)n1 ZINC000603152236 359439450 /nfs/dbraw/zinc/43/94/50/359439450.db2.gz BRFVWEIVWIAMOV-MRVPVSSYSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2ccsc2C)n[n-]1 ZINC000603152214 359439563 /nfs/dbraw/zinc/43/95/63/359439563.db2.gz BKBBMUHHKFYHTM-QMMMGPOBSA-N -1 1 322.390 1.771 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2ccsc2C)[n-]1 ZINC000603152214 359439565 /nfs/dbraw/zinc/43/95/65/359439565.db2.gz BKBBMUHHKFYHTM-QMMMGPOBSA-N -1 1 322.390 1.771 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2ccsc2C)n1 ZINC000603152214 359439567 /nfs/dbraw/zinc/43/95/67/359439567.db2.gz BKBBMUHHKFYHTM-QMMMGPOBSA-N -1 1 322.390 1.771 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2ccccc2OC(F)F)n1 ZINC000358960651 299292815 /nfs/dbraw/zinc/29/28/15/299292815.db2.gz SXTRTOPMVPDGMH-UHFFFAOYSA-N -1 1 318.305 1.769 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCC[C@@H]1CCO ZINC000187807483 200159597 /nfs/dbraw/zinc/15/95/97/200159597.db2.gz NLUOOBZDANINGW-VXGBXAGGSA-N -1 1 321.421 1.657 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)[N-]OC[C@@H]3CCOC3)CC2=O)cc1 ZINC000187859267 200167595 /nfs/dbraw/zinc/16/75/95/200167595.db2.gz XXEDGDLANPESKF-KGLIPLIRSA-N -1 1 318.373 1.432 20 0 DDADMM Cn1ccc2c1cccc2C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605381997 359848363 /nfs/dbraw/zinc/84/83/63/359848363.db2.gz PMFMHZRIOZXLBM-UHFFFAOYSA-N -1 1 310.361 1.891 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H]1CCC[C@H](C)O1 ZINC000618575506 363679566 /nfs/dbraw/zinc/67/95/66/363679566.db2.gz LJMURCDTTHJTOT-WCBMZHEXSA-N -1 1 311.407 1.900 20 0 DDADMM O=C(CCC1CCOCC1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000608356966 360165201 /nfs/dbraw/zinc/16/52/01/360165201.db2.gz YWXGQYIDOFSMLT-OAHLLOKOSA-N -1 1 315.377 1.612 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](C)c2ccncc2)o1 ZINC000610518415 360458305 /nfs/dbraw/zinc/45/83/05/360458305.db2.gz LTGJGEKLCRSWAE-SNVBAGLBSA-N -1 1 324.358 1.543 20 0 DDADMM COC(=O)COc1ccc(NC(=O)C2=C([O-])C(C)N=N2)c(C)c1 ZINC000610989442 360592116 /nfs/dbraw/zinc/59/21/16/360592116.db2.gz BZZYMLIBAFUJIS-UHFFFAOYSA-N -1 1 319.317 1.536 20 0 DDADMM CCC[C@H](NC(=O)c1ncnc2sccc21)c1nn[n-]n1 ZINC000612062179 360906690 /nfs/dbraw/zinc/90/66/90/360906690.db2.gz UAFOTPBWUNTQEY-QMMMGPOBSA-N -1 1 303.351 1.476 20 0 DDADMM O=C(CCc1nn[nH]n1)N(CC[NH+]1CCCC1)c1ccccc1 ZINC000631435637 422763218 /nfs/dbraw/zinc/76/32/18/422763218.db2.gz BNJJMYLAXKSXKM-UHFFFAOYSA-N -1 1 314.393 1.261 20 0 DDADMM O=C(CCc1nn[n-]n1)N(CC[NH+]1CCCC1)c1ccccc1 ZINC000631435637 422763214 /nfs/dbraw/zinc/76/32/14/422763214.db2.gz BNJJMYLAXKSXKM-UHFFFAOYSA-N -1 1 314.393 1.261 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1cnnn1-c1ccccc1)C(F)F ZINC000625168764 366756699 /nfs/dbraw/zinc/75/66/99/366756699.db2.gz MNIMECABBHXHSJ-UHFFFAOYSA-N -1 1 316.333 1.589 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2ccccc2F)CC1 ZINC000193891371 201140501 /nfs/dbraw/zinc/14/05/01/201140501.db2.gz PBXRCXOMGHXTMG-UHFFFAOYSA-N -1 1 301.321 1.889 20 0 DDADMM COc1cc(C(=O)N2CCO[C@H](OC)C2)cc(Cl)c1[O-] ZINC000613817791 361587404 /nfs/dbraw/zinc/58/74/04/361587404.db2.gz ISGNYVTYXHSWOS-NSHDSACASA-N -1 1 301.726 1.499 20 0 DDADMM CN1C[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])CC1=O ZINC000271861664 209275753 /nfs/dbraw/zinc/27/57/53/209275753.db2.gz LQYKDKWUCMJUCQ-QMMMGPOBSA-N -1 1 302.252 1.372 20 0 DDADMM CN(Cc1cc(C(=O)[O-])co1)C[C@H](O)COCc1ccccc1 ZINC000566015260 304110651 /nfs/dbraw/zinc/11/06/51/304110651.db2.gz PNVYRACVMFGTLG-HNNXBMFYSA-N -1 1 319.357 1.987 20 0 DDADMM COC(=O)c1sccc1S(=O)(=O)[N-]C(C)(C)C(F)F ZINC000619502979 364042453 /nfs/dbraw/zinc/04/24/53/364042453.db2.gz MDVFVLLYQWGDNV-UHFFFAOYSA-N -1 1 313.347 1.857 20 0 DDADMM CC(C)(CNC(=O)c1ccc([O-])c(F)c1)[C@]1(O)CCCOC1 ZINC000620117899 364297808 /nfs/dbraw/zinc/29/78/08/364297808.db2.gz OBGHEWGHKMOXKT-INIZCTEOSA-N -1 1 311.353 1.829 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@H](CN2CCCC2=O)C1 ZINC000620253463 364350203 /nfs/dbraw/zinc/35/02/03/364350203.db2.gz JTECCIRXJDLOBQ-LLVKDONJSA-N -1 1 306.337 1.616 20 0 DDADMM Cc1cn(C)nc1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000620922655 364610630 /nfs/dbraw/zinc/61/06/30/364610630.db2.gz WSWPIXJSDFUHAG-UHFFFAOYSA-N -1 1 314.349 1.275 20 0 DDADMM C[C@@H](Cc1ccco1)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000621709268 364994866 /nfs/dbraw/zinc/99/48/66/364994866.db2.gz PXBMZBIEURRNGG-SMDDNHRTSA-N -1 1 311.345 1.877 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1cccc(F)c1C(=O)[O-] ZINC000349109550 283848014 /nfs/dbraw/zinc/84/80/14/283848014.db2.gz QIYAKJVZDSDABS-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM O=C(CCc1nccs1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622610364 365450841 /nfs/dbraw/zinc/45/08/41/365450841.db2.gz TXVUEJFVFMNVLE-ZDUSSCGKSA-N -1 1 314.374 1.495 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)Cc1ccc(Cl)cc1 ZINC000625490227 366961434 /nfs/dbraw/zinc/96/14/34/366961434.db2.gz BIFGWKBWHHZZJP-LLVKDONJSA-N -1 1 303.767 1.117 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)Cc1cccc2cccnc21 ZINC000625491612 366962319 /nfs/dbraw/zinc/96/23/19/366962319.db2.gz RNKYYTGWWDPETL-ZDUSSCGKSA-N -1 1 320.370 1.012 20 0 DDADMM O=C([O-])[C@@H](CC(F)F)NS(=O)(=O)c1c(F)cccc1F ZINC000275305263 212261284 /nfs/dbraw/zinc/26/12/84/212261284.db2.gz QVJHNJBAPIWWFW-SSDOTTSWSA-N -1 1 315.244 1.352 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCC[C@H](C(C)C)C1 ZINC000349717091 284028468 /nfs/dbraw/zinc/02/84/68/284028468.db2.gz CFYKBALUPJANAY-QWRGUYRKSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCC[C@H](C(C)C)C1 ZINC000349717091 284028472 /nfs/dbraw/zinc/02/84/72/284028472.db2.gz CFYKBALUPJANAY-QWRGUYRKSA-N -1 1 301.412 1.889 20 0 DDADMM NC(=O)c1ccc(NC(=O)c2csc(=NC3CC3)[n-]2)cc1 ZINC000349805100 284060500 /nfs/dbraw/zinc/06/05/00/284060500.db2.gz IERUBFHIWDQNNU-UHFFFAOYSA-N -1 1 302.359 1.491 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1ccn(-c2ccncc2)n1 ZINC000349941769 284113505 /nfs/dbraw/zinc/11/35/05/284113505.db2.gz CDJWHLFGRFSJOR-UHFFFAOYSA-N -1 1 318.362 1.110 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N[C@H](C)Cc2cc(C)[nH]n2)s[n-]1 ZINC000350639979 284231815 /nfs/dbraw/zinc/23/18/15/284231815.db2.gz QRSSISZJNLXJMT-VXNVDRBHSA-N -1 1 324.410 1.452 20 0 DDADMM CCc1nc(SCC(=O)NC2CCOCC2)[n-]c(=O)c1C ZINC000566499234 304164489 /nfs/dbraw/zinc/16/44/89/304164489.db2.gz OMVVIVILDUHIJE-UHFFFAOYSA-N -1 1 311.407 1.440 20 0 DDADMM O=S1(=O)CC[C@H](Cc2nc(-c3ccc([O-])cc3F)no2)C1 ZINC000278229609 214035452 /nfs/dbraw/zinc/03/54/52/214035452.db2.gz RMSSNKYNZWTOMK-MRVPVSSYSA-N -1 1 312.322 1.559 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2ccc(C(=O)OC)o2)o1 ZINC000274879505 212004968 /nfs/dbraw/zinc/00/49/68/212004968.db2.gz YZJGIARIKBEWIY-UHFFFAOYSA-N -1 1 314.319 1.095 20 0 DDADMM Cc1cc(C(=O)N=c2nc(-c3ccccc3F)[nH][n-]2)nc(C)n1 ZINC000351077903 284300636 /nfs/dbraw/zinc/30/06/36/284300636.db2.gz XLDGQLHTJRIZAX-UHFFFAOYSA-N -1 1 312.308 1.692 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccc2c1OCCO2)C(F)(F)F ZINC000288275694 220057103 /nfs/dbraw/zinc/05/71/03/220057103.db2.gz DTUGATNXYSBFIL-SSDOTTSWSA-N -1 1 311.281 1.687 20 0 DDADMM C[C@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@H]1n1ccnc1 ZINC000288295853 220068979 /nfs/dbraw/zinc/06/89/79/220068979.db2.gz HMSLPPUISMVVMU-WFASDCNBSA-N -1 1 313.357 1.881 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)[C@@H](C)CC ZINC000266279864 205234528 /nfs/dbraw/zinc/23/45/28/205234528.db2.gz IYTXINOIGRAKGY-CABZTGNLSA-N -1 1 318.395 1.380 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)ccc1F ZINC000282864169 217286267 /nfs/dbraw/zinc/28/62/67/217286267.db2.gz HVOGTIPRFVOPQA-LLVKDONJSA-N -1 1 304.325 1.565 20 0 DDADMM COc1ccccc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282866128 217287889 /nfs/dbraw/zinc/28/78/89/217287889.db2.gz IASAPUTWKSZTGV-JTQLQIEISA-N -1 1 302.334 1.126 20 0 DDADMM O=C(N[C@H]1CCS(=O)(=O)C1)c1ccc2ccccc2c1[O-] ZINC000017637085 182085394 /nfs/dbraw/zinc/08/53/94/182085394.db2.gz RCGHPKKIFBRRQS-NSHDSACASA-N -1 1 305.355 1.462 20 0 DDADMM O=C([O-])CC[C@H](Cc1ccccc1)NC(=O)[C@@H]1CSCN1 ZINC000579481199 422779634 /nfs/dbraw/zinc/77/96/34/422779634.db2.gz WKWWTZIMGHXMAD-OLZOCXBDSA-N -1 1 308.403 1.241 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)SC)cc1[N-]S(C)(=O)=O ZINC000032635952 182652026 /nfs/dbraw/zinc/65/20/26/182652026.db2.gz KDTOGGHAMKNSKK-QMMMGPOBSA-N -1 1 318.420 1.757 20 0 DDADMM CCSc1cccc(C(=O)N=c2[nH][n-]c(C)c2C(=O)NC)c1 ZINC000337156595 249368968 /nfs/dbraw/zinc/36/89/68/249368968.db2.gz PPGQZVYZXNXFNX-UHFFFAOYSA-N -1 1 318.402 1.864 20 0 DDADMM O=S(=O)([N-]CCC1(O)CCOCC1)c1sccc1F ZINC000338985789 250234026 /nfs/dbraw/zinc/23/40/26/250234026.db2.gz PTACCSWMJVIHDG-UHFFFAOYSA-N -1 1 309.384 1.097 20 0 DDADMM CCCNC(=O)CCCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000339202747 250339990 /nfs/dbraw/zinc/33/99/90/250339990.db2.gz ZCVDUOXBTJCKPD-LLVKDONJSA-N -1 1 324.381 1.178 20 0 DDADMM Cc1nn(C)c(Cl)c1CS(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000109407476 194261112 /nfs/dbraw/zinc/26/11/12/194261112.db2.gz CPQPWOZFIFCETP-UHFFFAOYSA-N -1 1 315.786 1.351 20 0 DDADMM CC(C)Cc1noc(C[N-]S(=O)(=O)c2cccs2)n1 ZINC000109562376 194273897 /nfs/dbraw/zinc/27/38/97/194273897.db2.gz OZFQQXDHWRIIRW-UHFFFAOYSA-N -1 1 301.393 1.808 20 0 DDADMM CC[C@H](C)CN(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000110327967 194322673 /nfs/dbraw/zinc/32/26/73/194322673.db2.gz QWVOQGPGIZTBSC-JTQLQIEISA-N -1 1 304.394 1.686 20 0 DDADMM CCOC(=O)c1cc([N-]S(=O)(=O)CCOC)c(F)cc1C ZINC000340638850 251147549 /nfs/dbraw/zinc/14/75/49/251147549.db2.gz IKVUZPHANGRPEU-UHFFFAOYSA-N -1 1 319.354 1.699 20 0 DDADMM CCOC(=O)CC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000340910066 251270517 /nfs/dbraw/zinc/27/05/17/251270517.db2.gz OPFSNFIPICKIJS-UHFFFAOYSA-N -1 1 309.746 1.711 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(CC3CCCC3)no2)o1 ZINC000340927657 251281518 /nfs/dbraw/zinc/28/15/18/251281518.db2.gz PPCGFZXXSBDSRL-UHFFFAOYSA-N -1 1 311.363 1.970 20 0 DDADMM CCCC(C)(C)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OCC ZINC000158546928 197282642 /nfs/dbraw/zinc/28/26/42/197282642.db2.gz ULJYZAKGOWYUDR-UHFFFAOYSA-N -1 1 303.384 1.443 20 0 DDADMM CCOC(=O)CCNC(=O)c1cc(Br)ccc1[O-] ZINC000063519626 184283905 /nfs/dbraw/zinc/28/39/05/184283905.db2.gz JYEXQOADRVINPE-UHFFFAOYSA-N -1 1 316.151 1.838 20 0 DDADMM NC(=O)NCc1ccc([N-]S(=O)(=O)c2ccc(F)cc2)cc1 ZINC000067124185 184450548 /nfs/dbraw/zinc/45/05/48/184450548.db2.gz FNOHYGRPORKHII-UHFFFAOYSA-N -1 1 323.349 1.795 20 0 DDADMM CCC1(C)CCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)CC1 ZINC000270007193 208060226 /nfs/dbraw/zinc/06/02/26/208060226.db2.gz AJKHXZWJPQTHAK-UHFFFAOYSA-N -1 1 314.407 1.840 20 0 DDADMM C[C@@H](CN(C)C(=O)CCSc1ccccc1)c1nn[n-]n1 ZINC000352465373 285070513 /nfs/dbraw/zinc/07/05/13/285070513.db2.gz IZVGFQXXZBZAIQ-NSHDSACASA-N -1 1 305.407 1.944 20 0 DDADMM CO[C@@]1(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)CCOC1 ZINC000425179910 533035613 /nfs/dbraw/zinc/03/56/13/533035613.db2.gz VAVHUQSENCCPIL-CYBMUJFWSA-N -1 1 321.345 1.357 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000286359560 218940410 /nfs/dbraw/zinc/94/04/10/218940410.db2.gz HRSRQEGSWNSHAB-UHFFFAOYSA-N -1 1 320.242 1.378 20 0 DDADMM COCC[C@H](COC)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000352521581 285112010 /nfs/dbraw/zinc/11/20/10/285112010.db2.gz KDMJUXOCINSWSE-SECBINFHSA-N -1 1 309.334 1.295 20 0 DDADMM CCOC(=O)[C@@H](C)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000158072999 197242065 /nfs/dbraw/zinc/24/20/65/197242065.db2.gz KOJGLEMSRHGYEA-QMMMGPOBSA-N -1 1 307.318 1.442 20 0 DDADMM C[C@H](NC(=O)N=c1[n-]nc(-c2ccco2)s1)c1nnc[nH]1 ZINC000352618727 285177780 /nfs/dbraw/zinc/17/77/80/285177780.db2.gz TUHQHARCQTUAAA-LURJTMIESA-N -1 1 305.323 1.221 20 0 DDADMM O=c1nc(CN2CCn3c(cc4c3cccc4Cl)C2)[nH][n-]1 ZINC000278067193 285225858 /nfs/dbraw/zinc/22/58/58/285225858.db2.gz FZLLGCFRMIQGQT-UHFFFAOYSA-N -1 1 303.753 1.722 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2sccc2OC)s1 ZINC000289708860 221170895 /nfs/dbraw/zinc/17/08/95/221170895.db2.gz YLJUKDAJANBMMU-UHFFFAOYSA-N -1 1 319.433 1.649 20 0 DDADMM COc1ccc([N+](=O)[O-])cc1CN1CC[C@@H](OC)C[C@@H]1C(=O)[O-] ZINC000568292667 304284897 /nfs/dbraw/zinc/28/48/97/304284897.db2.gz SSYWHLHTNLPGKX-CHWSQXEVSA-N -1 1 324.333 1.667 20 0 DDADMM COCc1nsc(N=C([O-])[C@H](C)C(=O)OC(C)(C)C)n1 ZINC000413713106 533271186 /nfs/dbraw/zinc/27/11/86/533271186.db2.gz MACSPCGWQZZVAU-ZETCQYMHSA-N -1 1 301.368 1.023 20 0 DDADMM COc1ccc(C(=O)Nc2c(C(N)=O)n[nH]c2C(C)C)c([O-])c1 ZINC000457178598 533347245 /nfs/dbraw/zinc/34/72/45/533347245.db2.gz VGTBJYGFLIOWBF-UHFFFAOYSA-N -1 1 318.333 1.599 20 0 DDADMM COc1cc(C(=O)NC[C@@H](C)c2nncn2C)cc(Cl)c1[O-] ZINC000435831568 533536178 /nfs/dbraw/zinc/53/61/78/533536178.db2.gz SDNDUUNMGQEBJN-MRVPVSSYSA-N -1 1 324.768 1.716 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H](CO)Cc2cccc(C)c2)sc1C ZINC000433802402 533647096 /nfs/dbraw/zinc/64/70/96/533647096.db2.gz IUUCYJDGWBVDMB-ZDUSSCGKSA-N -1 1 320.414 1.984 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@](C)(OC)C2CC2)o1 ZINC000360248719 299619106 /nfs/dbraw/zinc/61/91/06/299619106.db2.gz AGHNWECFFMAIKQ-ZDUSSCGKSA-N -1 1 317.363 1.160 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1CC[C@@H](F)C1 ZINC000360363860 299645510 /nfs/dbraw/zinc/64/55/10/299645510.db2.gz PDWKDKLFXJKQLO-VXGBXAGGSA-N -1 1 320.368 1.991 20 0 DDADMM CCOc1cc(C)ccc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353750135 285907046 /nfs/dbraw/zinc/90/70/46/285907046.db2.gz AVQSHNFHIAENBG-UHFFFAOYSA-N -1 1 313.317 1.377 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2ncc(C)cc2[O-])[C@H]1n1cccn1 ZINC000569267108 304345072 /nfs/dbraw/zinc/34/50/72/304345072.db2.gz VMGQPGLWQXJGON-NJZAAPMLSA-N -1 1 316.361 1.441 20 0 DDADMM COC[C@@](C)(O)CCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633250963 422825136 /nfs/dbraw/zinc/82/51/36/422825136.db2.gz AZDSSABXMPAIPR-AWEZNQCLSA-N -1 1 301.770 1.492 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)c2ccccc21 ZINC000569863027 304383563 /nfs/dbraw/zinc/38/35/63/304383563.db2.gz UJFOZULVHIESOT-LLVKDONJSA-N -1 1 323.356 1.962 20 0 DDADMM Cc1ccc(CCCNC(=O)CCCc2nn[n-]n2)c(C)c1 ZINC000635127703 422828379 /nfs/dbraw/zinc/82/83/79/422828379.db2.gz HRZHJEAAUAQKBD-UHFFFAOYSA-N -1 1 301.394 1.888 20 0 DDADMM CC(C)[C@@H](Cc1ccc(F)cc1)NC(=O)CCc1nn[n-]n1 ZINC000631560263 422831465 /nfs/dbraw/zinc/83/14/65/422831465.db2.gz VVHDTCJUYLEHOO-CYBMUJFWSA-N -1 1 305.357 1.655 20 0 DDADMM Cc1ccc(Cl)cc1N1C[C@@H](C(=O)Nc2nnn[n-]2)CC1=O ZINC000073107790 406888516 /nfs/dbraw/zinc/88/85/16/406888516.db2.gz RKXZGLLVASBGSM-QMMMGPOBSA-N -1 1 320.740 1.153 20 0 DDADMM Cc1ccc(Cl)cc1N1C[C@@H](C(=O)Nc2nn[n-]n2)CC1=O ZINC000073107790 406888517 /nfs/dbraw/zinc/88/85/17/406888517.db2.gz RKXZGLLVASBGSM-QMMMGPOBSA-N -1 1 320.740 1.153 20 0 DDADMM O=S(=O)([N-][C@H]1CCO[C@@H]1C1CC1)c1cc(F)ccc1F ZINC000361179823 299814942 /nfs/dbraw/zinc/81/49/42/299814942.db2.gz OJYVYBTYZSXQTP-WCQYABFASA-N -1 1 303.330 1.811 20 0 DDADMM COc1ccc2cc([C@H](C)C(=O)[N-]OCC(N)=O)ccc2c1 ZINC000089463824 407136141 /nfs/dbraw/zinc/13/61/41/407136141.db2.gz GNKDBNHTEGVADK-JTQLQIEISA-N -1 1 302.330 1.485 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2cc3ccccc3o2)n[n-]1 ZINC000101664475 407314517 /nfs/dbraw/zinc/31/45/17/407314517.db2.gz BBUYFUNCRPSRFW-UHFFFAOYSA-N -1 1 306.303 1.207 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cc3ccccc3o2)[n-]1 ZINC000101664475 407314518 /nfs/dbraw/zinc/31/45/18/407314518.db2.gz BBUYFUNCRPSRFW-UHFFFAOYSA-N -1 1 306.303 1.207 20 0 DDADMM CN(CCS(C)(=O)=O)C(=O)c1ccc2ccccc2c1[O-] ZINC000102791359 407327601 /nfs/dbraw/zinc/32/76/01/407327601.db2.gz VYWRCNSYYFNCKA-UHFFFAOYSA-N -1 1 307.371 1.662 20 0 DDADMM Cc1ccc(C(=O)NCCc2n[n-]c(=S)n2C(C)C)c(=O)[nH]1 ZINC000067073056 407267661 /nfs/dbraw/zinc/26/76/61/407267661.db2.gz ZUQAFDARKLRPCW-UHFFFAOYSA-N -1 1 321.406 1.491 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCC[C@H](C)C1 ZINC000104033682 407345164 /nfs/dbraw/zinc/34/51/64/407345164.db2.gz QHEKARVBWUQAHI-GXFFZTMASA-N -1 1 316.405 1.877 20 0 DDADMM CC(C)CO[N-]C(=O)[C@H](c1ccccc1)N1CCC(O)CC1 ZINC000124519079 407360856 /nfs/dbraw/zinc/36/08/56/407360856.db2.gz RDTDHGLWCFGAPF-INIZCTEOSA-N -1 1 306.406 1.888 20 0 DDADMM CCCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)c2cncc([O-])c2)C1 ZINC000111580058 407412046 /nfs/dbraw/zinc/41/20/46/407412046.db2.gz IZNLYKQLMGLFAR-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)Nc1ccc(N)nc1 ZINC000151658008 407473513 /nfs/dbraw/zinc/47/35/13/407473513.db2.gz ABAQDIKTBVMCSV-UHFFFAOYSA-N -1 1 301.350 1.605 20 0 DDADMM Cc1ccc(O)c(C[N@H+]2CCC[C@H]2C(=O)NCc2ccco2)n1 ZINC000128161775 407505309 /nfs/dbraw/zinc/50/53/09/407505309.db2.gz HFYRINAGNMACDG-HNNXBMFYSA-N -1 1 315.373 1.969 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](CO)CC1)c1cc(F)ccc1F ZINC000152230466 407588965 /nfs/dbraw/zinc/58/89/65/407588965.db2.gz LKPLVIRRKYSURK-JGZJWPJOSA-N -1 1 305.346 1.794 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C=Cc1ccccc1 ZINC000114155205 407548438 /nfs/dbraw/zinc/54/84/38/407548438.db2.gz PXXPIIBLRAQMDM-CMDGGOBGSA-N -1 1 322.368 1.969 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCO1 ZINC000271304929 407627974 /nfs/dbraw/zinc/62/79/74/407627974.db2.gz VKWUPRNFCZRMFI-SFYZADRCSA-N -1 1 309.309 1.950 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C2Cc3ccccc3C2)c1 ZINC000186371586 407650003 /nfs/dbraw/zinc/65/00/03/407650003.db2.gz OHAQWWWMPYIEEZ-UHFFFAOYSA-N -1 1 321.354 1.512 20 0 DDADMM CN(Cc1cn(C)nc1C(F)(F)F)C(=O)c1cncc([O-])c1 ZINC000179009574 407690803 /nfs/dbraw/zinc/69/08/03/407690803.db2.gz RNAGIYGOGPBOCZ-UHFFFAOYSA-N -1 1 314.267 1.812 20 0 DDADMM C[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C(=O)OC(C)(C)C ZINC000152794409 407691417 /nfs/dbraw/zinc/69/14/17/407691417.db2.gz MPEGQZMCVVPFSJ-VIFPVBQESA-N -1 1 317.345 1.383 20 0 DDADMM O=C(CNC(=O)CC1CCCC1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000130462014 407693471 /nfs/dbraw/zinc/69/34/71/407693471.db2.gz VDYYEBPRDAWYIS-UHFFFAOYSA-N -1 1 319.287 1.459 20 0 DDADMM O=C(NCC1CCS(=O)(=O)CC1)c1cc(F)ccc1[O-] ZINC000152620280 407662234 /nfs/dbraw/zinc/66/22/34/407662234.db2.gz PZFLHEWDFVUCGY-UHFFFAOYSA-N -1 1 301.339 1.086 20 0 DDADMM CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)c2cncc([O-])c2)C1 ZINC000116453930 407757106 /nfs/dbraw/zinc/75/71/06/407757106.db2.gz JWHNVYPWKYSBBQ-DGCLKSJQSA-N -1 1 305.378 1.600 20 0 DDADMM COc1cc(NC(=O)CN(C)CCCCC(=O)[O-])cc(OC)c1 ZINC000262233917 407771556 /nfs/dbraw/zinc/77/15/56/407771556.db2.gz MUOQLKSWGIGJSS-UHFFFAOYSA-N -1 1 324.377 1.829 20 0 DDADMM O=C([N-]Cc1nncn1-c1ccccc1)C(F)(F)C(F)F ZINC000267215627 407792738 /nfs/dbraw/zinc/79/27/38/407792738.db2.gz UJTFVLFKLHITRY-UHFFFAOYSA-N -1 1 302.231 1.784 20 0 DDADMM Cc1ccc(F)c(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1 ZINC000179539019 407803621 /nfs/dbraw/zinc/80/36/21/407803621.db2.gz CHCLFPKWBBQMLT-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM CN(C(=O)OC(C)(C)C)[C@H]1CCN(C(=O)c2cncc([O-])c2)C1 ZINC000267297200 407824295 /nfs/dbraw/zinc/82/42/95/407824295.db2.gz DYWBXKIDQUYIEB-LBPRGKRZSA-N -1 1 321.377 1.869 20 0 DDADMM COCc1ccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000262665114 407895488 /nfs/dbraw/zinc/89/54/88/407895488.db2.gz NABJSOSUSNGDIJ-HNNXBMFYSA-N -1 1 320.389 1.246 20 0 DDADMM Cc1n[nH]c(C(F)F)c1[N-]S(=O)(=O)c1c(C)n[nH]c1C ZINC000272410004 407906303 /nfs/dbraw/zinc/90/63/03/407906303.db2.gz GILBLETVOWMLHQ-UHFFFAOYSA-N -1 1 305.310 1.796 20 0 DDADMM CCc1cnc(NC(=O)c2coc(S(=O)(=O)[N-]C)c2)s1 ZINC000153976386 407946698 /nfs/dbraw/zinc/94/66/98/407946698.db2.gz SUHNNVWIFDNYFQ-UHFFFAOYSA-N -1 1 315.376 1.459 20 0 DDADMM Cc1cc(C)c(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])o1 ZINC000181034289 407948918 /nfs/dbraw/zinc/94/89/18/407948918.db2.gz KBWZKCAWCXDATM-UHFFFAOYSA-N -1 1 310.331 1.502 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2cncc([O-])c2)C(=O)N1c1ccccc1 ZINC000174507557 407957516 /nfs/dbraw/zinc/95/75/16/407957516.db2.gz MCSQSWJPOACXHH-NHYWBVRUSA-N -1 1 311.341 1.711 20 0 DDADMM CCNC(=O)C1CN(C(=O)c2cc(Cl)c([O-])c(OC)c2)C1 ZINC000135165101 407983467 /nfs/dbraw/zinc/98/34/67/407983467.db2.gz BHKLOPZBHYAGDV-UHFFFAOYSA-N -1 1 312.753 1.262 20 0 DDADMM CC(=O)NCCCNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000174678170 407975611 /nfs/dbraw/zinc/97/56/11/407975611.db2.gz CVNRYKFKPNCYBI-UHFFFAOYSA-N -1 1 315.377 1.387 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@H](O)C2CC2)c(=O)[n-]1 ZINC000268399189 408026803 /nfs/dbraw/zinc/02/68/03/408026803.db2.gz MPHXIZVQCQJJFG-NSHDSACASA-N -1 1 311.407 1.032 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCC1 ZINC000119286741 408031977 /nfs/dbraw/zinc/03/19/77/408031977.db2.gz AWCBWQFUZNEPSL-UHFFFAOYSA-N -1 1 323.292 1.478 20 0 DDADMM COC(=O)N[C@H](C(=O)Nc1cc(-c2nccn2C)n[nH]1)C(C)C ZINC000119291797 408033505 /nfs/dbraw/zinc/03/35/05/408033505.db2.gz FZDLTDIYNZKJMY-NSHDSACASA-N -1 1 320.353 1.129 20 0 DDADMM Cc1ccc(NC(=O)c2ccc([O-])cc2F)cc1S(N)(=O)=O ZINC000181841942 408043551 /nfs/dbraw/zinc/04/35/51/408043551.db2.gz ZAGKLDRAIBXYES-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2cc(C(C)C)n[nH]2)c[nH]1 ZINC000268480117 408063557 /nfs/dbraw/zinc/06/35/57/408063557.db2.gz ZYBVUGLCRNCPEB-UHFFFAOYSA-N -1 1 312.351 1.449 20 0 DDADMM CCC[C@@H](NC(=O)CCCc1csc(C)n1)c1nn[n-]n1 ZINC000136635235 408116078 /nfs/dbraw/zinc/11/60/78/408116078.db2.gz MHOYFDMTFDGJNP-LLVKDONJSA-N -1 1 308.411 1.945 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCCNC(=O)CN1CC[C@@H](C(=O)[O-])C1 ZINC000246162978 408160878 /nfs/dbraw/zinc/16/08/78/408160878.db2.gz AKQLJLRPYFKAQR-MCIONIFRSA-N -1 1 312.410 1.104 20 0 DDADMM CC(C)(C)c1nn(-c2ccccc2)cc1C(=O)Nc1nnn[n-]1 ZINC000150728256 408197292 /nfs/dbraw/zinc/19/72/92/408197292.db2.gz TWUVDSXGWXPWHJ-UHFFFAOYSA-N -1 1 311.349 1.935 20 0 DDADMM CC(C)(C)c1nn(-c2ccccc2)cc1C(=O)Nc1nn[n-]n1 ZINC000150728256 408197299 /nfs/dbraw/zinc/19/72/99/408197299.db2.gz TWUVDSXGWXPWHJ-UHFFFAOYSA-N -1 1 311.349 1.935 20 0 DDADMM C[C@@H](CN(C)C(=O)CCCOCc1ccccc1)c1nn[n-]n1 ZINC000273468519 408214923 /nfs/dbraw/zinc/21/49/23/408214923.db2.gz GIWMWRAZKKZCHF-ZDUSSCGKSA-N -1 1 317.393 1.759 20 0 DDADMM C[C@H]1CC[C@H](C[NH+]2CCN(C(=O)c3ccc(O)cc3)CC2)O1 ZINC000190772000 408318025 /nfs/dbraw/zinc/31/80/25/408318025.db2.gz VRWSNRLXZGQQFN-XJKSGUPXSA-N -1 1 304.390 1.718 20 0 DDADMM CCC[C@@H](NC(=O)c1cncc(OC(C)C)c1)c1nn[n-]n1 ZINC000133210676 162054404 /nfs/dbraw/zinc/05/44/04/162054404.db2.gz GCAKCBZLAMDEOJ-GFCCVEGCSA-N -1 1 304.354 1.653 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)c1nc[nH]n1 ZINC000183207062 408352981 /nfs/dbraw/zinc/35/29/81/408352981.db2.gz NYFUKNDQJZHFNA-YFKPBYRVSA-N -1 1 306.269 1.262 20 0 DDADMM O=c1nc(CN2C[C@H]3CCC[C@@H](C2)C3O)[n-]c2ccsc21 ZINC000172036395 162253534 /nfs/dbraw/zinc/25/35/34/162253534.db2.gz XLYUOPUVFPJUDH-HWYHXSKPSA-N -1 1 305.403 1.577 20 0 DDADMM C[C@H](CN(C)C(=O)COc1ccccc1Cl)c1nn[n-]n1 ZINC000183409005 408405198 /nfs/dbraw/zinc/40/51/98/408405198.db2.gz YMWWTHHWOUWKTR-SECBINFHSA-N -1 1 309.757 1.494 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc(-n2cccn2)c1)c1nn[n-]n1 ZINC000183422044 408408358 /nfs/dbraw/zinc/40/83/58/408408358.db2.gz ILVORDVWTBKQLY-NSHDSACASA-N -1 1 311.349 1.261 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CSc2ccccc21)c1nn[n-]n1 ZINC000183430221 408409943 /nfs/dbraw/zinc/40/99/43/408409943.db2.gz YBGQMJOODCYZJW-MWLCHTKSSA-N -1 1 303.391 1.651 20 0 DDADMM COc1cccc(OC)c1CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183437916 408411592 /nfs/dbraw/zinc/41/15/92/408411592.db2.gz VXFXNTVQJZWPJB-SNVBAGLBSA-N -1 1 319.365 1.022 20 0 DDADMM CSc1n[nH]c(NC(=O)c2ccnc(OCC(F)F)c2)n1 ZINC000270327805 408488774 /nfs/dbraw/zinc/48/87/74/408488774.db2.gz HECHAYJCOULTER-UHFFFAOYSA-N -1 1 315.305 1.818 20 0 DDADMM C[C@@H](OCc1ccccc1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000274766734 408524399 /nfs/dbraw/zinc/52/43/99/408524399.db2.gz KXEQPOPJESKIIU-NWDGAFQWSA-N -1 1 303.366 1.367 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(c2nncn2C2CC2)CC1 ZINC000265349523 408620726 /nfs/dbraw/zinc/62/07/26/408620726.db2.gz QLZONYIBPZSEFV-UHFFFAOYSA-N -1 1 313.361 1.733 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)c2cccs2)s1 ZINC000275645011 408632428 /nfs/dbraw/zinc/63/24/28/408632428.db2.gz SPBZHBIQZNSZEW-UHFFFAOYSA-N -1 1 307.447 1.800 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-]c1ccn(Cc2ccncc2)n1 ZINC000265714283 408755475 /nfs/dbraw/zinc/75/54/75/408755475.db2.gz JRXNPOYLMPWBFS-UHFFFAOYSA-N -1 1 323.422 1.715 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H](C)CC(C)=O)c(=O)[n-]1 ZINC000270951384 408756740 /nfs/dbraw/zinc/75/67/40/408756740.db2.gz LMFTUXAVTAEQFR-QMMMGPOBSA-N -1 1 311.407 1.629 20 0 DDADMM C[C@H]([C@H](C)NC(=O)c1c(F)ccc([O-])c1F)N1CCOCC1 ZINC000280855088 408847867 /nfs/dbraw/zinc/84/78/67/408847867.db2.gz CWPQTRQRKIWWPX-VHSXEESVSA-N -1 1 314.332 1.509 20 0 DDADMM O=C(CSc1n[nH]c(=S)s1)[N-]OC[C@@H]1CCOC1 ZINC000276439021 408858444 /nfs/dbraw/zinc/85/84/44/408858444.db2.gz KZAVYSOFBCFWHI-ZCFIWIBFSA-N -1 1 307.422 1.003 20 0 DDADMM CCOc1cc(C(=O)NCc2nnc(N)o2)cc(Cl)c1[O-] ZINC000277264571 408908952 /nfs/dbraw/zinc/90/89/52/408908952.db2.gz AAZFEDBVXQNWBV-UHFFFAOYSA-N -1 1 312.713 1.340 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(OC)c(OC)c1C)c1nn[n-]n1 ZINC000286044474 408913630 /nfs/dbraw/zinc/91/36/30/408913630.db2.gz AMDWQHDMRDMTON-LLVKDONJSA-N -1 1 319.365 1.797 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnnc(-c2ccccc2)c1)c1nn[n-]n1 ZINC000291580271 408889019 /nfs/dbraw/zinc/88/90/19/408889019.db2.gz CFGCQWODNPSUDB-LLVKDONJSA-N -1 1 323.360 1.532 20 0 DDADMM O=C(NCCc1cnn(-c2ccccc2)c1)c1ncccc1[O-] ZINC000194653392 163292386 /nfs/dbraw/zinc/29/23/86/163292386.db2.gz VLHONNRLQMIIIK-UHFFFAOYSA-N -1 1 308.341 1.945 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(-n2cccc2)ccn1)c1nn[n-]n1 ZINC000291941777 408949634 /nfs/dbraw/zinc/94/96/34/408949634.db2.gz NLFAIFNFWGRWAC-GFCCVEGCSA-N -1 1 311.349 1.657 20 0 DDADMM Cc1nnsc1C[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC000292079454 408975364 /nfs/dbraw/zinc/97/53/64/408975364.db2.gz QUZUSUCJPULSLC-UHFFFAOYSA-N -1 1 321.815 1.020 20 0 DDADMM Cc1cnc(C)c(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000282061650 408983361 /nfs/dbraw/zinc/98/33/61/408983361.db2.gz ZXQWXJQGYRWCSC-UHFFFAOYSA-N -1 1 316.327 1.988 20 0 DDADMM COC(=O)Nc1ccc([N-]S(=O)(=O)C[C@H](C)OC)cc1F ZINC000282292300 409028398 /nfs/dbraw/zinc/02/83/98/409028398.db2.gz WCRNLNMOYLGATP-QMMMGPOBSA-N -1 1 320.342 1.781 20 0 DDADMM COc1ccc(-c2nc(CC(=O)NCC(C)C)no2)c([O-])c1 ZINC000278035228 409054049 /nfs/dbraw/zinc/05/40/49/409054049.db2.gz XHOQNCDIXXHFBW-UHFFFAOYSA-N -1 1 305.334 1.766 20 0 DDADMM CCC[C@@H](CCO)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287798559 409095156 /nfs/dbraw/zinc/09/51/56/409095156.db2.gz XROLYHDZDHESSX-UQGHUHRHSA-N -1 1 305.382 1.262 20 0 DDADMM O=C(NCC1(CO)CC2(CCC2)C1)C(=O)c1ccc([O-])cc1 ZINC000287969319 409124822 /nfs/dbraw/zinc/12/48/22/409124822.db2.gz IHKJCLOBWDYCPZ-UHFFFAOYSA-N -1 1 303.358 1.634 20 0 DDADMM CC[C@@H](C)CONC(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC000293883954 409200411 /nfs/dbraw/zinc/20/04/11/409200411.db2.gz ZGBLXKVPPWUEHL-SSDOTTSWSA-N -1 1 306.322 1.452 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@H]1O)c1ccc([O-])cc1F ZINC000283721533 409203619 /nfs/dbraw/zinc/20/36/19/409203619.db2.gz VGRJVWGNLPCRHP-NHYWBVRUSA-N -1 1 323.364 1.829 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H](C)C(C)(F)F)c1 ZINC000294768066 409301539 /nfs/dbraw/zinc/30/15/39/409301539.db2.gz RGDHBALIIFGSJA-SSDOTTSWSA-N -1 1 322.333 1.530 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](C3CC3)C2)co1 ZINC000290368109 409377138 /nfs/dbraw/zinc/37/71/38/409377138.db2.gz AKKTXJSLPOANGB-LLVKDONJSA-N -1 1 312.391 1.450 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000295279202 409410690 /nfs/dbraw/zinc/41/06/90/409410690.db2.gz ITDGEIHRBHNVHP-CMPLNLGQSA-N -1 1 303.366 1.954 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000280710706 409420278 /nfs/dbraw/zinc/42/02/78/409420278.db2.gz BFVFIPDHGFDQPU-BWFKNJDFSA-N -1 1 323.414 1.771 20 0 DDADMM Cc1nc([C@H](C)NS(=O)(=O)c2cc(C(=O)[O-])ccc2C)n[nH]1 ZINC000285393622 409426659 /nfs/dbraw/zinc/42/66/59/409426659.db2.gz JKWOGEWMPZXADT-QMMMGPOBSA-N -1 1 324.362 1.159 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1cccc(CO)c1 ZINC000316741173 164023807 /nfs/dbraw/zinc/02/38/07/164023807.db2.gz XLBAGPJBRGHXAN-UHFFFAOYSA-N -1 1 319.386 1.635 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1ccc2[nH]nnc2c1)c1ccccc1 ZINC000320196401 164041509 /nfs/dbraw/zinc/04/15/09/164041509.db2.gz VQAXPWSGNQKNSG-GFCCVEGCSA-N -1 1 310.313 1.556 20 0 DDADMM CC(C)(C)OC(=O)C1CN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000296244031 409476185 /nfs/dbraw/zinc/47/61/85/409476185.db2.gz WLQRCWJQXCDVEQ-UHFFFAOYSA-N -1 1 305.330 1.375 20 0 DDADMM O=C(CCC1CCOCC1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000408066725 164215221 /nfs/dbraw/zinc/21/52/21/164215221.db2.gz BNRBJYBKUDYBNE-GFCCVEGCSA-N -1 1 309.366 1.688 20 0 DDADMM O=C([C@@H]1CCC(F)(F)C1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000408068256 164216392 /nfs/dbraw/zinc/21/63/92/164216392.db2.gz SEHHNYMUKQZTJL-RKDXNWHRSA-N -1 1 301.293 1.917 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](O)[C@@H](C)C1 ZINC000408376631 164312059 /nfs/dbraw/zinc/31/20/59/164312059.db2.gz NVWUDRWLXNTMJG-ONGXEEELSA-N -1 1 307.394 1.631 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)[C@H](C)OC)o1 ZINC000342100446 409559880 /nfs/dbraw/zinc/55/98/80/409559880.db2.gz OICXESZRYOWKGE-BDAKNGLRSA-N -1 1 305.352 1.158 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cnc(C)s1)[C@H](O)C(F)F ZINC000295489321 409504128 /nfs/dbraw/zinc/50/41/28/409504128.db2.gz AXACCYSINZDMDK-SVRRBLITSA-N -1 1 300.352 1.134 20 0 DDADMM CO[C@H](C)c1nsc(=NCCCN2C[C@@H](C)O[C@H](C)C2)[n-]1 ZINC000337920267 409568452 /nfs/dbraw/zinc/56/84/52/409568452.db2.gz YPRSMQGKXGPOTN-IJLUTSLNSA-N -1 1 314.455 1.579 20 0 DDADMM CO[C@H](C)c1nc(=NCCCN2C[C@@H](C)O[C@H](C)C2)s[n-]1 ZINC000337920267 409568460 /nfs/dbraw/zinc/56/84/60/409568460.db2.gz YPRSMQGKXGPOTN-IJLUTSLNSA-N -1 1 314.455 1.579 20 0 DDADMM Cn1nccc1S(=O)(=O)[N-]c1ccc(OC(F)F)cc1F ZINC000337873310 409527437 /nfs/dbraw/zinc/52/74/37/409527437.db2.gz SKPYGEUHOOOSOL-UHFFFAOYSA-N -1 1 321.280 1.961 20 0 DDADMM CO[C@H](C)c1nc(=NCCCOC[C@@H]2CCOC2)s[n-]1 ZINC000337879322 409533150 /nfs/dbraw/zinc/53/31/50/409533150.db2.gz STBUYWAXNKGVGE-MNOVXSKESA-N -1 1 301.412 1.523 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H]2CC[C@H](F)C2)oc1C ZINC000344904980 409551814 /nfs/dbraw/zinc/55/18/14/409551814.db2.gz HJIGPHHXSVOXCL-DTWKUNHWSA-N -1 1 305.327 1.544 20 0 DDADMM CCC[C@H](NCC(=O)N(C)CCCOc1ccccc1)C(=O)[O-] ZINC000296558045 409616539 /nfs/dbraw/zinc/61/65/39/409616539.db2.gz UZKWJMQNFOPYAO-HNNXBMFYSA-N -1 1 322.405 1.757 20 0 DDADMM C[C@H](c1ccccn1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337944853 409589770 /nfs/dbraw/zinc/58/97/70/409589770.db2.gz JDZVWLDYVCIRHL-LLVKDONJSA-N -1 1 314.345 1.132 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc(Cl)c(F)c1 ZINC000337946458 409592669 /nfs/dbraw/zinc/59/26/69/409592669.db2.gz CNZNSPXJPLQWKT-UHFFFAOYSA-N -1 1 323.711 1.948 20 0 DDADMM COc1cc(CNC(=O)c2ncccc2[O-])cc(OC)c1O ZINC000338069368 409692339 /nfs/dbraw/zinc/69/23/39/409692339.db2.gz RUGLAHFKBXOXNC-UHFFFAOYSA-N -1 1 304.302 1.440 20 0 DDADMM CCc1noc(CC)c1C=C(O)N=c1nc([C@H](C)OC)[n-]s1 ZINC000338016167 409647736 /nfs/dbraw/zinc/64/77/36/409647736.db2.gz LRVWOBQNSBDPAZ-QMMMGPOBSA-N -1 1 324.406 1.961 20 0 DDADMM CC[C@@H](C)[C@@H](O)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000305060053 409668309 /nfs/dbraw/zinc/66/83/09/409668309.db2.gz KRVCFFPJPYHDHO-KOLCDFICSA-N -1 1 309.410 1.925 20 0 DDADMM C[C@@]1(CO)CCC[C@@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000305100000 409681703 /nfs/dbraw/zinc/68/17/03/409681703.db2.gz AWJADDHXNDNKEN-AAEUAGOBSA-N -1 1 305.346 1.794 20 0 DDADMM COCc1n[n-]c(=NCC(C)(C)N2C[C@H](C)O[C@@H](C)C2)s1 ZINC000357047631 409814178 /nfs/dbraw/zinc/81/41/78/409814178.db2.gz FYYFJVXEYRAWIE-QWRGUYRKSA-N -1 1 314.455 1.406 20 0 DDADMM Cc1ccc(-n2ccnc2)c(C(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000357049095 409820325 /nfs/dbraw/zinc/82/03/25/409820325.db2.gz MOQPMNFCAMJREG-UHFFFAOYSA-N -1 1 309.333 1.113 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccc3c(c2)OCO3)CC[C@@H]1C(=O)[O-] ZINC000323625845 409920843 /nfs/dbraw/zinc/92/08/43/409920843.db2.gz CYGUWJLYLHITQD-PWSUYJOCSA-N -1 1 320.345 1.396 20 0 DDADMM Cc1noc([C@@H]2CCN(C(=O)c3c(F)ccc([O-])c3F)C2)n1 ZINC000332081637 409857007 /nfs/dbraw/zinc/85/70/07/409857007.db2.gz MNBKSRRHUHKSLS-MRVPVSSYSA-N -1 1 309.272 1.992 20 0 DDADMM CCc1ccc(N2C[C@H](C(=O)[N-]OC(C)(C)CO)CC2=O)cc1 ZINC000297273743 409886686 /nfs/dbraw/zinc/88/66/86/409886686.db2.gz SELOLOYUDLXYME-CYBMUJFWSA-N -1 1 320.389 1.421 20 0 DDADMM O=C(CCOCc1ccccc1)NC1(c2nn[n-]n2)CCCC1 ZINC000346333106 409924745 /nfs/dbraw/zinc/92/47/45/409924745.db2.gz PMVXYWFKOFEWNY-UHFFFAOYSA-N -1 1 315.377 1.692 20 0 DDADMM O=c1nc2ncc(-c3nc(-c4cncs4)no3)cc2c([O-])[nH]1 ZINC000350907870 410012314 /nfs/dbraw/zinc/01/23/14/410012314.db2.gz RUWPXENHJWJAPC-UHFFFAOYSA-N -1 1 314.286 1.610 20 0 DDADMM CC(C)NC(=O)CN(C)CC(=O)Nc1ccc([O-])c(Cl)c1 ZINC000357364867 409997678 /nfs/dbraw/zinc/99/76/78/409997678.db2.gz AUBBEVDOUKWNRS-UHFFFAOYSA-N -1 1 313.785 1.441 20 0 DDADMM CC(=O)N1C[C@H](O)C[C@@H]1c1nc(-c2ccc([O-])cc2Cl)no1 ZINC000351329142 410059912 /nfs/dbraw/zinc/05/99/12/410059912.db2.gz RSDXEOVEROFNHH-BXKDBHETSA-N -1 1 323.736 1.750 20 0 DDADMM CC(C)(NC(=O)[C@@H]1C[C@@H]1c1cccc(F)c1F)c1nn[n-]n1 ZINC000354775720 410079798 /nfs/dbraw/zinc/07/97/98/410079798.db2.gz QFUNUUKBWNRQAV-RKDXNWHRSA-N -1 1 307.304 1.633 20 0 DDADMM O=C(c1ccc(Cl)cn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332386812 410117517 /nfs/dbraw/zinc/11/75/17/410117517.db2.gz YGWIYEUZQKZADT-MRVPVSSYSA-N -1 1 308.725 1.843 20 0 DDADMM CC[C@@H]1OCCC[C@@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000332441571 410162730 /nfs/dbraw/zinc/16/27/30/410162730.db2.gz ICFJBIQVULXMBX-RYUDHWBXSA-N -1 1 309.366 1.686 20 0 DDADMM CCNC(=O)c1ccc(=NCC2(COC)CCCCC2)[n-]n1 ZINC000357776757 410239141 /nfs/dbraw/zinc/23/91/41/410239141.db2.gz WUHHAJIXNDUEAZ-UHFFFAOYSA-N -1 1 306.410 1.657 20 0 DDADMM COC[C@@]1(C)CN(C(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000629899251 422856766 /nfs/dbraw/zinc/85/67/66/422856766.db2.gz PTIBGEPVZUIFGA-CQSZACIVSA-N -1 1 301.289 1.548 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)Cc2c(F)cccc2F)n1 ZINC000347033318 410322219 /nfs/dbraw/zinc/32/22/19/410322219.db2.gz WGWGAFSENHWSET-ZETCQYMHSA-N -1 1 317.317 1.837 20 0 DDADMM Cn1[n-]c(CN2CC=C(c3c(F)cccc3F)CC2)nc1=O ZINC000352023200 410424273 /nfs/dbraw/zinc/42/42/73/410424273.db2.gz VHRZTJLQOSDDMO-UHFFFAOYSA-N -1 1 306.316 1.676 20 0 DDADMM COc1ccc(CN(C)C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)cc1 ZINC000352136331 410504155 /nfs/dbraw/zinc/50/41/55/410504155.db2.gz YHBVZDODMSWITO-UHFFFAOYSA-N -1 1 319.317 1.177 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1cc2ccccc2o1 ZINC000347381509 410509775 /nfs/dbraw/zinc/50/97/75/410509775.db2.gz SXFNHUSMKJNIHO-VIFPVBQESA-N -1 1 323.312 1.655 20 0 DDADMM O=S(=O)([N-]c1ccc2[nH]nnc2c1)c1cncc(Cl)c1 ZINC000339962922 410580123 /nfs/dbraw/zinc/58/01/23/410580123.db2.gz SBIPBKRSWULPNM-UHFFFAOYSA-N -1 1 309.738 1.807 20 0 DDADMM CC(C)[C@H](NC(=O)c1cncc([O-])c1)c1nnc2ccccn21 ZINC000339930038 410557558 /nfs/dbraw/zinc/55/75/58/410557558.db2.gz CDOJSXXZMFUZPQ-AWEZNQCLSA-N -1 1 311.345 1.957 20 0 DDADMM CC(C)CC1(CNC(=O)CNC(=O)c2ncccc2[O-])CCC1 ZINC000343828514 410730610 /nfs/dbraw/zinc/73/06/10/410730610.db2.gz OCRRUXFKJXEDPW-UHFFFAOYSA-N -1 1 319.405 1.850 20 0 DDADMM CCC1(CNC(=O)CNC(=O)c2ncccc2[O-])CCCC1 ZINC000343846397 410744637 /nfs/dbraw/zinc/74/46/37/410744637.db2.gz IJIOFFSUEFEAOQ-UHFFFAOYSA-N -1 1 305.378 1.604 20 0 DDADMM CN(c1ccc(=NCc2ccccc2F)[n-]n1)[C@H]1CCOC1 ZINC000579935846 422860225 /nfs/dbraw/zinc/86/02/25/422860225.db2.gz MUAJTXBBOPOQOU-ZDUSSCGKSA-N -1 1 302.353 1.875 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC[C@H](C)C2)c1 ZINC000359698992 410823497 /nfs/dbraw/zinc/82/34/97/410823497.db2.gz IYQUQUCSJGFGLN-VHSXEESVSA-N -1 1 312.391 1.675 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC[C@@H]2C(F)F)co1 ZINC000337594162 410884844 /nfs/dbraw/zinc/88/48/44/410884844.db2.gz OGFDJRROTUZFDQ-SECBINFHSA-N -1 1 322.333 1.448 20 0 DDADMM CCn1c(Cc2ccccc2)nnc1SCc1nn[n-]n1 ZINC000353161019 410819437 /nfs/dbraw/zinc/81/94/37/410819437.db2.gz AZKGEZMRBXJPRQ-UHFFFAOYSA-N -1 1 301.379 1.694 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CC[C@H](C)C2)c1 ZINC000359698991 410821975 /nfs/dbraw/zinc/82/19/75/410821975.db2.gz IYQUQUCSJGFGLN-UWVGGRQHSA-N -1 1 312.391 1.675 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCOC1)c1cc(F)c(F)cc1F ZINC000344011051 410888868 /nfs/dbraw/zinc/88/88/68/410888868.db2.gz MGVKLOGCBYCKAU-QMMMGPOBSA-N -1 1 309.309 1.809 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@H]1c1cccc(F)c1F ZINC000348297045 410903557 /nfs/dbraw/zinc/90/35/57/410903557.db2.gz JEBURPVRGCHNMV-DTWKUNHWSA-N -1 1 305.288 1.387 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CC[C@@H](C)C2)o1 ZINC000331093033 410943335 /nfs/dbraw/zinc/94/33/35/410943335.db2.gz XXTBAFNIOCVTBM-ZJUUUORDSA-N -1 1 300.380 1.354 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H]2C)o1 ZINC000359942420 410963043 /nfs/dbraw/zinc/96/30/43/410963043.db2.gz VUVWCNVMGYFZOU-ZJUUUORDSA-N -1 1 301.364 1.781 20 0 DDADMM CSCC(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000356350536 410979402 /nfs/dbraw/zinc/97/94/02/410979402.db2.gz HRPFFXMNVSBXDO-UHFFFAOYSA-N -1 1 316.404 1.134 20 0 DDADMM Cc1cnc(C(=O)N2CCC(C(=O)N(C)C(C)C)CC2)c([O-])c1 ZINC000331169338 410993897 /nfs/dbraw/zinc/99/38/97/410993897.db2.gz UUIRFIAMLDWSCJ-UHFFFAOYSA-N -1 1 319.405 1.815 20 0 DDADMM O=C(NCCCN1CCCCC1=O)c1c(F)ccc([O-])c1F ZINC000348535407 411003104 /nfs/dbraw/zinc/00/31/04/411003104.db2.gz YLGPSSAACMLXTC-UHFFFAOYSA-N -1 1 312.316 1.803 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC[C@H](C)[S@](C)=O)sc1C ZINC000331249823 411041149 /nfs/dbraw/zinc/04/11/49/411041149.db2.gz SAKSLVCUODWHFK-SKLCBMLGSA-N -1 1 310.466 1.195 20 0 DDADMM CC[C@@H](CO)N1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000129222094 196030373 /nfs/dbraw/zinc/03/03/73/196030373.db2.gz SOIPDEFZKBHGPI-LBPRGKRZSA-N -1 1 312.797 1.574 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCCc3c2cnn3C)sn1 ZINC000631726135 422896207 /nfs/dbraw/zinc/89/62/07/422896207.db2.gz MQSMNMJEKZXOMC-JTQLQIEISA-N -1 1 312.420 1.541 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C(C)C)C2CCCC2)co1 ZINC000130574594 196092367 /nfs/dbraw/zinc/09/23/67/196092367.db2.gz NFUPGWYUCSHPKV-UHFFFAOYSA-N -1 1 314.407 1.981 20 0 DDADMM Cc1nc(-c2ccc(NCCC3CC(O)C3)nc2)[n-]c(=O)c1C ZINC000580390330 422925710 /nfs/dbraw/zinc/92/57/10/422925710.db2.gz FSIONGSAHXEUBF-UHFFFAOYSA-N -1 1 314.389 1.856 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2cncc([O-])c2)[C@H]1n1cccn1 ZINC000647599592 422968502 /nfs/dbraw/zinc/96/85/02/422968502.db2.gz UKZLLBCIARGYJA-BFHYXJOUSA-N -1 1 302.334 1.132 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CCS1(=O)=O ZINC000131832184 196202528 /nfs/dbraw/zinc/20/25/28/196202528.db2.gz RKXQGGPRPYZQJQ-QMMMGPOBSA-N -1 1 303.767 1.305 20 0 DDADMM Cc1ccc(C(=O)C2CCN(CCOCC(=O)[O-])CC2)cc1 ZINC000652398957 422999918 /nfs/dbraw/zinc/99/99/18/422999918.db2.gz INPPCPCVJBLYES-UHFFFAOYSA-N -1 1 305.374 1.991 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCCC[C@H]1C(=O)N1CCCC1 ZINC000647815864 423059126 /nfs/dbraw/zinc/05/91/26/423059126.db2.gz OVWDPJCGTCIWEE-NWDGAFQWSA-N -1 1 320.393 1.791 20 0 DDADMM CSc1nc(CNC(=O)c2c(C)nsc2C)cc(=O)[n-]1 ZINC000640656254 423118922 /nfs/dbraw/zinc/11/89/22/423118922.db2.gz WKBRJVHKROMZTA-UHFFFAOYSA-N -1 1 310.404 1.907 20 0 DDADMM [O-]C(=Nc1nc(-c2ccccc2)ns1)N1CCn2ccnc21 ZINC000647926238 423118784 /nfs/dbraw/zinc/11/87/84/423118784.db2.gz HVUWHAPHBMSBRJ-UHFFFAOYSA-N -1 1 312.358 1.876 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCOCC1)c1ncccc1C(F)(F)F ZINC000645641224 423123232 /nfs/dbraw/zinc/12/32/32/423123232.db2.gz ZYNICBSYQDMRRR-SECBINFHSA-N -1 1 324.324 1.948 20 0 DDADMM CC(=O)N[C@H]1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000643359306 423124309 /nfs/dbraw/zinc/12/43/09/423124309.db2.gz GHGJQANOTIERCV-JTQLQIEISA-N -1 1 316.279 1.762 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC(CCCO)CC1)c2=O ZINC000360879408 418540542 /nfs/dbraw/zinc/54/05/42/418540542.db2.gz GFAKZZBABMNKTK-UHFFFAOYSA-N -1 1 318.377 1.299 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](C3CC3)C2)o1 ZINC000290981595 222047153 /nfs/dbraw/zinc/04/71/53/222047153.db2.gz UCNSKKVZLJETKE-NSHDSACASA-N -1 1 312.391 1.450 20 0 DDADMM Cc1nnc([N-]C(=O)c2nccnc2NC(=O)C(C)(C)C)s1 ZINC000361360855 418634316 /nfs/dbraw/zinc/63/43/16/418634316.db2.gz XZEXZIYEPPZQGB-UHFFFAOYSA-N -1 1 320.378 1.873 20 0 DDADMM Cc1nc(=NC(=O)[C@@H]2C[N@H+](Cc3ccccc3)C[C@H]2C)s[n-]1 ZINC000375512404 418653267 /nfs/dbraw/zinc/65/32/67/418653267.db2.gz NLQCFIKOYIEYLN-BXUZGUMPSA-N -1 1 316.430 1.975 20 0 DDADMM Cc1nc(=NC(=O)[C@@H]2CN(Cc3ccccc3)C[C@H]2C)s[n-]1 ZINC000375512404 418653269 /nfs/dbraw/zinc/65/32/69/418653269.db2.gz NLQCFIKOYIEYLN-BXUZGUMPSA-N -1 1 316.430 1.975 20 0 DDADMM CN1CC[C@H]2CCN(S(=O)(=O)c3ccc(C(=O)[O-])cc3)C[C@@H]21 ZINC000375578691 418658165 /nfs/dbraw/zinc/65/81/65/418658165.db2.gz QJULGDUIXLPZNK-FZMZJTMJSA-N -1 1 324.402 1.100 20 0 DDADMM CNC(=O)c1ccc(CSc2nc(C(=O)OC)c[n-]2)cc1 ZINC000361995720 418736542 /nfs/dbraw/zinc/73/65/42/418736542.db2.gz JBKVUDFJCOEYMA-UHFFFAOYSA-N -1 1 305.359 1.848 20 0 DDADMM C[C@@H](CC(=O)Nc1nc(-c2ccco2)n[nH]1)c1cnn(C)c1 ZINC000411289224 418889498 /nfs/dbraw/zinc/88/94/98/418889498.db2.gz FWRFHHITTYRDPJ-VIFPVBQESA-N -1 1 300.322 1.931 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC[C@H](C)CCO)c(F)c1 ZINC000425240398 228396881 /nfs/dbraw/zinc/39/68/81/228396881.db2.gz UAMPPDYANKEACM-VIFPVBQESA-N -1 1 307.362 1.960 20 0 DDADMM Cc1cnc(C(=O)N(C)CC(=O)NCc2ccccc2)c([O-])c1 ZINC000427471936 419669795 /nfs/dbraw/zinc/66/97/95/419669795.db2.gz CGZDNFRWSJVGBR-UHFFFAOYSA-N -1 1 313.357 1.484 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncccc1[O-])c1ccc(O)cc1 ZINC000427810168 419736705 /nfs/dbraw/zinc/73/67/05/419736705.db2.gz YGYJZBKPYLWQIK-GFCCVEGCSA-N -1 1 302.286 1.137 20 0 DDADMM O=C(N[C@H]1Cc2cccc(O)c2C1)c1nc2ccccc2c(=O)[n-]1 ZINC000428703232 419916188 /nfs/dbraw/zinc/91/61/88/419916188.db2.gz VTKSJUNMZZFGJU-NSHDSACASA-N -1 1 321.336 1.526 20 0 DDADMM C[C@H](Oc1ccc(Cl)cc1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000429884118 420056334 /nfs/dbraw/zinc/05/63/34/420056334.db2.gz DYFNVIHPYLHKNX-QMMMGPOBSA-N -1 1 309.757 1.672 20 0 DDADMM CO[C@](C)(C(=O)NC1(c2nn[n-]n2)CC1)c1ccccc1F ZINC000430323638 420107456 /nfs/dbraw/zinc/10/74/56/420107456.db2.gz DTSQZLULFDXLRV-ZDUSSCGKSA-N -1 1 305.313 1.006 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1ccc(C)cc1C ZINC000416145950 420256225 /nfs/dbraw/zinc/25/62/25/420256225.db2.gz SOOXJTUWBYSCNG-UHFFFAOYSA-N -1 1 317.345 1.486 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1(c2ccccc2)CC1 ZINC000416143717 420256616 /nfs/dbraw/zinc/25/66/16/420256616.db2.gz HEGRFQMPELMVRA-UHFFFAOYSA-N -1 1 301.302 1.316 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)Cn2ccc(C)cc2=O)c1 ZINC000436504606 420335645 /nfs/dbraw/zinc/33/56/45/420335645.db2.gz LLDCQAYJBDFINM-UHFFFAOYSA-N -1 1 316.313 1.288 20 0 DDADMM CN(C)C(=O)c1cccc(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436517641 420337853 /nfs/dbraw/zinc/33/78/53/420337853.db2.gz VKXBKPCIMRFGCI-UHFFFAOYSA-N -1 1 312.325 1.915 20 0 DDADMM O=C(c1ccncc1Cl)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425317352 420347144 /nfs/dbraw/zinc/34/71/44/420347144.db2.gz FOJZBEZBVZMZEL-UHFFFAOYSA-N -1 1 308.725 1.843 20 0 DDADMM Cc1nccc(CCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000425326268 420349778 /nfs/dbraw/zinc/34/97/78/420349778.db2.gz UNHXKKXTYIELAB-UHFFFAOYSA-N -1 1 317.349 1.212 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@H](C(C)=O)c1ccccc1F)OC ZINC000420699948 420361830 /nfs/dbraw/zinc/36/18/30/420361830.db2.gz NRGHGSDBNSWVLU-SMDDNHRTSA-N -1 1 317.382 1.800 20 0 DDADMM O=C(N[C@@H](CO)[C@H]1CCCO1)c1ccc(C(F)(F)F)cc1[O-] ZINC000436809248 420370600 /nfs/dbraw/zinc/37/06/00/420370600.db2.gz SBBILAHAGNMVED-CMPLNLGQSA-N -1 1 319.279 1.681 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-][C@H](c1nc(C2CC2)no1)C(C)C ZINC000442958138 229978845 /nfs/dbraw/zinc/97/88/45/229978845.db2.gz VTBXWDASYWDLHY-KOLCDFICSA-N -1 1 317.411 1.598 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CCc3ccccc32)c1 ZINC000436893943 420381747 /nfs/dbraw/zinc/38/17/47/420381747.db2.gz POCHNIACBJXNDA-UHFFFAOYSA-N -1 1 319.338 1.842 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)c2cc(F)ccc2[O-])n1C ZINC000436921898 420385291 /nfs/dbraw/zinc/38/52/91/420385291.db2.gz XUYOTHIOEYOMQP-UHFFFAOYSA-N -1 1 307.281 1.694 20 0 DDADMM O=C(NCCc1c[nH]c2ncccc12)C(=O)c1ccc([O-])cc1 ZINC000436967292 420387155 /nfs/dbraw/zinc/38/71/55/420387155.db2.gz QKUYYMDVPAIJRA-UHFFFAOYSA-N -1 1 309.325 1.810 20 0 DDADMM O=C(C(=O)N1CCN(c2ccccc2)CC1)c1ccc([O-])cc1 ZINC000436997920 420390557 /nfs/dbraw/zinc/39/05/57/420390557.db2.gz GNWOYGHNYYMZKT-UHFFFAOYSA-N -1 1 310.353 1.924 20 0 DDADMM Cc1nc([C@@H]2CCCCN2C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)n[nH]1 ZINC000417362326 420449997 /nfs/dbraw/zinc/44/99/97/420449997.db2.gz AVXWXNDIVWNOEV-GARJFASQSA-N -1 1 306.366 1.524 20 0 DDADMM CSCCO[N-]C(=O)C(C)(C)CNC(=O)OC(C)(C)C ZINC000492307648 420518700 /nfs/dbraw/zinc/51/87/00/420518700.db2.gz MRIFSMSVDNCIAZ-UHFFFAOYSA-N -1 1 306.428 1.948 20 0 DDADMM CC[C@H](Nc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1)C(=O)NC ZINC000450934176 420562854 /nfs/dbraw/zinc/56/28/54/420562854.db2.gz BISLQPWGRAFCRZ-LBPRGKRZSA-N -1 1 315.377 1.798 20 0 DDADMM COCC(C)(C)CN=c1[n-]nc2ccc(Br)cn21 ZINC000453049451 420708007 /nfs/dbraw/zinc/70/80/07/420708007.db2.gz CWSLBAGSAZFYHN-UHFFFAOYSA-N -1 1 313.199 1.998 20 0 DDADMM CCN(C(=O)c1cc(Cl)c([O-])c(OC)c1)[C@H]1CCNC1=O ZINC000442938131 420730978 /nfs/dbraw/zinc/73/09/78/420730978.db2.gz PEMGZISHXOAWCV-JTQLQIEISA-N -1 1 312.753 1.405 20 0 DDADMM CC1(C)CCCC[C@@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000448113066 420837728 /nfs/dbraw/zinc/83/77/28/420837728.db2.gz IDFYJFWQXHSHTL-MRVPVSSYSA-N -1 1 300.384 1.363 20 0 DDADMM CC1(C)CCCC[C@@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000448113066 420837731 /nfs/dbraw/zinc/83/77/31/420837731.db2.gz IDFYJFWQXHSHTL-MRVPVSSYSA-N -1 1 300.384 1.363 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@@H]2C[C@@H](O)CC[C@H]2C1 ZINC000456320281 421140437 /nfs/dbraw/zinc/14/04/37/421140437.db2.gz LVFKANCNGAMDJT-MDZLAQPJSA-N -1 1 321.446 1.762 20 0 DDADMM CC(=O)c1nc(N2CCN(c3nc(=N)[n-]s3)CC2)sc1C ZINC000450379562 421190165 /nfs/dbraw/zinc/19/01/65/421190165.db2.gz QAQFDOQRIWHCBT-UHFFFAOYSA-N -1 1 324.435 1.245 20 0 DDADMM C[C@H](CN(C)C(=O)c1csc([C@@H]2CCCO2)n1)c1nn[n-]n1 ZINC000544686856 421227808 /nfs/dbraw/zinc/22/78/08/421227808.db2.gz IWQIRRDVHHWXHR-SCZZXKLOSA-N -1 1 322.394 1.383 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(-c2cccnc2)on1)c1nn[n-]n1 ZINC000545332529 421254975 /nfs/dbraw/zinc/25/49/75/421254975.db2.gz SMEZSAHVCAOZST-VIFPVBQESA-N -1 1 313.321 1.125 20 0 DDADMM O=C(N=c1[n-]ncs1)NC1CCN(Cc2cccnc2)CC1 ZINC000560510536 421276381 /nfs/dbraw/zinc/27/63/81/421276381.db2.gz LZSYQEKMBHEMLB-UHFFFAOYSA-N -1 1 318.406 1.141 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCC1(F)CCC1 ZINC000561645239 421331771 /nfs/dbraw/zinc/33/17/71/421331771.db2.gz ZCKIPIMYLIRDJV-UHFFFAOYSA-N -1 1 320.368 1.993 20 0 DDADMM O=C(NC[C@H](O)COc1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000562268050 421346642 /nfs/dbraw/zinc/34/66/42/421346642.db2.gz RJDPUEZFIKXZMX-AWEZNQCLSA-N -1 1 315.325 1.131 20 0 DDADMM C[C@H](CC(C)(C)NS(=O)(=O)[C@H](C)C(F)(F)F)C(=O)[O-] ZINC000547639663 421348497 /nfs/dbraw/zinc/34/84/97/421348497.db2.gz NVUIRRKCMIDTIH-RNFRBKRXSA-N -1 1 305.318 1.746 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@H](Cc3cnn(C)c3)C2)c([O-])c1 ZINC000547654005 421349692 /nfs/dbraw/zinc/34/96/92/421349692.db2.gz AQDAMBHBPZLLJJ-GFCCVEGCSA-N -1 1 300.362 1.534 20 0 DDADMM O=C([C@H]1CCCCCC(=O)C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000562346068 421354278 /nfs/dbraw/zinc/35/42/78/421354278.db2.gz YOPJRILZGIJSND-RYUDHWBXSA-N -1 1 305.382 1.445 20 0 DDADMM CCN(CC)C(=O)CS(=O)(=O)c1nc(-c2ccccc2)n[n-]1 ZINC000562496077 421373928 /nfs/dbraw/zinc/37/39/28/421373928.db2.gz VHDNQXXQMYGVDP-UHFFFAOYSA-N -1 1 322.390 1.114 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1CN(Cc2ncccc2[O-])CCO1 ZINC000497197278 421384413 /nfs/dbraw/zinc/38/44/13/421384413.db2.gz RCWROKCLTZLUMD-LBPRGKRZSA-N -1 1 323.393 1.513 20 0 DDADMM CCOC(=O)c1nnsc1[N-]C(=O)c1coc(COC)n1 ZINC000547863464 421374849 /nfs/dbraw/zinc/37/48/49/421374849.db2.gz XPAGKFCRPIHLST-UHFFFAOYSA-N -1 1 312.307 1.102 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1CCC(C(F)(F)F)CC1 ZINC000562539411 421379008 /nfs/dbraw/zinc/37/90/08/421379008.db2.gz NKUQSDGLYIZSCD-UHFFFAOYSA-N -1 1 303.288 1.674 20 0 DDADMM CCc1ccc(CNC(=O)CN2CC[C@H](C)[C@H](C(=O)[O-])C2)s1 ZINC000563125908 421459436 /nfs/dbraw/zinc/45/94/36/421459436.db2.gz RAAWCBFLZWFFTF-SMDDNHRTSA-N -1 1 324.446 1.969 20 0 DDADMM CCc1csc(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000548908931 421485595 /nfs/dbraw/zinc/48/55/95/421485595.db2.gz STDYYHSUWNGSJV-VIFPVBQESA-N -1 1 306.395 1.167 20 0 DDADMM O=C(NCCc1ccc(=O)[nH]c1)c1csc(=NC2CC2)[n-]1 ZINC000552230505 421580933 /nfs/dbraw/zinc/58/09/33/421580933.db2.gz HMRLPPAJIVODQU-UHFFFAOYSA-N -1 1 304.375 1.212 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCCO[C@@H](C)C1 ZINC000563632517 421529533 /nfs/dbraw/zinc/52/95/33/421529533.db2.gz WRLOPDPSJJLMHN-QWHCGFSZSA-N -1 1 320.389 1.500 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1cccc(C(=O)OC)c1 ZINC000570184297 421630691 /nfs/dbraw/zinc/63/06/91/421630691.db2.gz UYMDXDMKYDFCHN-UHFFFAOYSA-N -1 1 303.274 1.235 20 0 DDADMM C[C@@H]1CN(Cc2ccccc2)[C@@H](C)CN1C(=O)c1n[nH]c(=O)[n-]1 ZINC000534313198 421689431 /nfs/dbraw/zinc/68/94/31/421689431.db2.gz FFQAQDZGLHYYEZ-NWDGAFQWSA-N -1 1 315.377 1.245 20 0 DDADMM COC(=O)c1[n-]cnc1NC(=O)c1ncn(-c2ccccc2)n1 ZINC000555483136 421679517 /nfs/dbraw/zinc/67/95/17/421679517.db2.gz WLUCPVPIRDCIQY-UHFFFAOYSA-N -1 1 312.289 1.029 20 0 DDADMM Cc1c(C(=O)[O-])sc2ncnc(N[C@H]3CC[C@H](O)CC3)c12 ZINC000520411768 421751127 /nfs/dbraw/zinc/75/11/27/421751127.db2.gz DMEGBBGSRXQQRS-KYZUINATSA-N -1 1 307.375 1.835 20 0 DDADMM CCc1ccc(O)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000540425035 421763720 /nfs/dbraw/zinc/76/37/20/421763720.db2.gz KAEVQQZBFIDIBN-NSHDSACASA-N -1 1 301.350 1.488 20 0 DDADMM CC(C)Cc1nc(=NC[C@H]2CCCCS2(=O)=O)s[n-]1 ZINC000541902873 421813525 /nfs/dbraw/zinc/81/35/25/421813525.db2.gz PZPKREDVAMLBRR-SNVBAGLBSA-N -1 1 303.453 1.538 20 0 DDADMM CC[C@@H](NCc1nc(=O)n(C)[n-]1)c1nc(C(F)(F)F)cs1 ZINC000541635668 421798335 /nfs/dbraw/zinc/79/83/35/421798335.db2.gz VBIXJCRDGQDLQK-ZCFIWIBFSA-N -1 1 321.328 1.825 20 0 DDADMM Cn1cc([C@H]2C[C@@H](NC(=O)c3ncccc3[O-])CCO2)cn1 ZINC000572565773 421800477 /nfs/dbraw/zinc/80/04/77/421800477.db2.gz HLYBBGDYMYUAQM-WCQYABFASA-N -1 1 302.334 1.171 20 0 DDADMM O=C(c1ccccc1OC1CC1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000580970941 421874928 /nfs/dbraw/zinc/87/49/28/421874928.db2.gz LBFGKAMDDKIDQQ-LLVKDONJSA-N -1 1 313.361 1.761 20 0 DDADMM CC(C)c1nc(CNC(=O)N=c2[n-]nc(C3CC3)s2)n[nH]1 ZINC000581054256 421890166 /nfs/dbraw/zinc/89/01/66/421890166.db2.gz ADWLILQAHKHBJG-UHFFFAOYSA-N -1 1 307.383 1.401 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H](CC(=O)[O-])c1cccs1 ZINC000630103169 421890443 /nfs/dbraw/zinc/89/04/43/421890443.db2.gz YEMADIUSSYZDOL-KOLCDFICSA-N -1 1 307.375 1.982 20 0 DDADMM CCCCNC(=O)[C@@H]1CCCN(Cc2cc(C(=O)[O-])nn2C)C1 ZINC000635301981 421891210 /nfs/dbraw/zinc/89/12/10/421891210.db2.gz VBROERAUBMKQHO-GFCCVEGCSA-N -1 1 322.409 1.247 20 0 DDADMM C[C@H](CCCO)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000581069837 421893792 /nfs/dbraw/zinc/89/37/92/421893792.db2.gz VGTXBTFBCFTFPL-SECBINFHSA-N -1 1 300.405 1.736 20 0 DDADMM C[C@H](NCc1cc(C(=O)[O-])nn1C)c1ccc2c(c1)OCO2 ZINC000635305995 421894018 /nfs/dbraw/zinc/89/40/18/421894018.db2.gz UUGZSZDWNVZKTG-VIFPVBQESA-N -1 1 303.318 1.698 20 0 DDADMM O=S(=O)([N-]CC1CCOCC1)c1c[nH]nc1C(F)(F)F ZINC000631860010 421896738 /nfs/dbraw/zinc/89/67/38/421896738.db2.gz TUWSXFUHZVREQE-UHFFFAOYSA-N -1 1 313.301 1.133 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CNCc1ccnc(N2CCCC2)c1 ZINC000635312707 421899393 /nfs/dbraw/zinc/89/93/93/421899393.db2.gz NXOFQJZCGPKGFC-UHFFFAOYSA-N -1 1 315.377 1.403 20 0 DDADMM C[C@@H]1[C@H](C)NCCN1S(=O)(=O)c1cccc(Cl)c1[O-] ZINC000631935126 421952919 /nfs/dbraw/zinc/95/29/19/421952919.db2.gz DNADRZCZWNGHLF-DTWKUNHWSA-N -1 1 304.799 1.417 20 0 DDADMM CCc1ncncc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000581425443 421968173 /nfs/dbraw/zinc/96/81/73/421968173.db2.gz UDCKZKPXUOEMPZ-VIFPVBQESA-N -1 1 303.322 1.147 20 0 DDADMM O=C(c1c(O)cccc1F)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000581728519 422037797 /nfs/dbraw/zinc/03/77/97/422037797.db2.gz LUUPIAKXCMPTCE-UHFFFAOYSA-N -1 1 306.297 1.375 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc3[nH]ccc3c2)CC1 ZINC000630226310 421975644 /nfs/dbraw/zinc/97/56/44/421975644.db2.gz WTXPTIBJLDGPJI-LBPRGKRZSA-N -1 1 315.373 1.789 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H](C)c2ccsc2)CC1 ZINC000630224399 421976439 /nfs/dbraw/zinc/97/64/39/421976439.db2.gz NXKMEZFQWALZMX-VXGBXAGGSA-N -1 1 310.419 1.859 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H](N)CC(F)F)c1Br ZINC000581546230 421997741 /nfs/dbraw/zinc/99/77/41/421997741.db2.gz BIIWSPSHQAEFTQ-SCSAIBSYSA-N -1 1 311.130 1.078 20 0 DDADMM CCCOc1cccc(CCNC(=O)CCc2nn[n-]n2)c1 ZINC000631988278 422001331 /nfs/dbraw/zinc/00/13/31/422001331.db2.gz BERYGRVJCBUCBN-UHFFFAOYSA-N -1 1 303.366 1.280 20 0 DDADMM COCC[C@](C)(O)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632011285 422019833 /nfs/dbraw/zinc/01/98/33/422019833.db2.gz RFGIZTLYDPHSSV-NSHDSACASA-N -1 1 313.322 1.283 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@](C)(O)C(C)C)c1 ZINC000632012899 422020371 /nfs/dbraw/zinc/02/03/71/422020371.db2.gz ZDCKUPQGRBWYHW-CYBMUJFWSA-N -1 1 303.380 1.086 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@H](c2ccccc2F)C1 ZINC000635536596 422084127 /nfs/dbraw/zinc/08/41/27/422084127.db2.gz VJLJKAAXGAXVPG-NSHDSACASA-N -1 1 303.341 1.678 20 0 DDADMM CC(=O)N1CC[C@H](CN(C)C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000632103239 422089118 /nfs/dbraw/zinc/08/91/18/422089118.db2.gz SJOJGTHIJHUHIL-CYBMUJFWSA-N -1 1 324.808 1.915 20 0 DDADMM C[C@@H]1CCN(Cc2cnn(C)c2C(F)(F)F)C[C@H]1C(=O)[O-] ZINC000582062349 422099116 /nfs/dbraw/zinc/09/91/16/422099116.db2.gz FYPQJZWTPUIDRE-PSASIEDQSA-N -1 1 305.300 1.982 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(C(C)C)nc1C ZINC000633691017 422052160 /nfs/dbraw/zinc/05/21/60/422052160.db2.gz JZTYCFUYGNVAIK-UHFFFAOYSA-N -1 1 315.377 1.579 20 0 DDADMM CN(C(=O)CCc1nn[n-]n1)[C@@H](CCc1ccccc1)C(F)F ZINC000633698136 422058416 /nfs/dbraw/zinc/05/84/16/422058416.db2.gz XMFLMBWQNXJYCL-LBPRGKRZSA-N -1 1 323.347 1.857 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1c[nH]c2c1cccc2Cl ZINC000633700538 422059954 /nfs/dbraw/zinc/05/99/54/422059954.db2.gz XOTCZODDGHRYSG-UHFFFAOYSA-N -1 1 318.768 1.626 20 0 DDADMM Cc1nc2ccc(C(=O)N(C)C[C@H](C)c3nn[n-]n3)cc2s1 ZINC000574588388 422140442 /nfs/dbraw/zinc/14/04/42/422140442.db2.gz OQANPOJXXLJUDV-QMMMGPOBSA-N -1 1 316.390 1.994 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)[C@H]2CCCCO2)c1 ZINC000632187010 422148609 /nfs/dbraw/zinc/14/86/09/422148609.db2.gz ZNDREMAGPFMEJN-GXFFZTMASA-N -1 1 315.391 1.637 20 0 DDADMM CCO[C@H]1C[C@@H](NC(=O)CCCc2nn[n-]n2)C12CCCCC2 ZINC000635607523 422160339 /nfs/dbraw/zinc/16/03/39/422160339.db2.gz JRZWQRGASAYCIS-OLZOCXBDSA-N -1 1 321.425 1.767 20 0 DDADMM COc1cccc(F)c1[C@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635612896 422166481 /nfs/dbraw/zinc/16/64/81/422166481.db2.gz WIKHGWNBSLWASK-VIFPVBQESA-N -1 1 307.329 1.548 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCOCC2CCC2)c1 ZINC000632216695 422171297 /nfs/dbraw/zinc/17/12/97/422171297.db2.gz LNKNLYWVWPXVKU-UHFFFAOYSA-N -1 1 315.391 1.496 20 0 DDADMM O=C(NC[C@H](n1cncn1)C(F)(F)F)c1ccc([O-])cc1F ZINC000633984067 422198509 /nfs/dbraw/zinc/19/85/09/422198509.db2.gz KMDJKRXGUGFCEZ-JTQLQIEISA-N -1 1 318.230 1.656 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCSCC[C@H]2C)c1 ZINC000632272412 422211207 /nfs/dbraw/zinc/21/12/07/422211207.db2.gz PTFKMICDMSVUDQ-SNVBAGLBSA-N -1 1 317.432 1.917 20 0 DDADMM COCC1(C)CN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000632288007 422224299 /nfs/dbraw/zinc/22/42/99/422224299.db2.gz CXEVBTNBRJDZMF-UHFFFAOYSA-N -1 1 301.364 1.058 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@](C)(OC)C2)c1 ZINC000632293169 422228257 /nfs/dbraw/zinc/22/82/57/422228257.db2.gz XDOYKUMUKRFMKX-AWEZNQCLSA-N -1 1 315.391 1.590 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCCOc2c(Cl)cccc21 ZINC000632310629 422239582 /nfs/dbraw/zinc/23/95/82/422239582.db2.gz XJUVVCWGAFFIQZ-LLVKDONJSA-N -1 1 321.768 1.816 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](OC(F)F)C2)c1 ZINC000632313583 422241744 /nfs/dbraw/zinc/24/17/44/422241744.db2.gz IGBJUJAHTPMCJU-VIFPVBQESA-N -1 1 323.317 1.403 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@@H]1N(C)C(=O)CCCc1nn[n-]n1 ZINC000635702904 422255658 /nfs/dbraw/zinc/25/56/58/422255658.db2.gz FXBFJWWCNRGTEC-NWDGAFQWSA-N -1 1 309.414 1.575 20 0 DDADMM COc1cccc([C@@H](CNC(=O)[C@@H](C(=O)[O-])C(C)C)N(C)C)c1 ZINC000575128015 422263944 /nfs/dbraw/zinc/26/39/44/422263944.db2.gz XVTQBIOLAHKKFU-CABCVRRESA-N -1 1 322.405 1.771 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C(C)C)C2(CO)CCC2)sn1 ZINC000632357087 422271858 /nfs/dbraw/zinc/27/18/58/422271858.db2.gz JCJBGEKXBPCVCU-GFCCVEGCSA-N -1 1 318.464 1.917 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](C)N(C)[C@@H](C)C2)c1 ZINC000632373043 422288359 /nfs/dbraw/zinc/28/83/59/422288359.db2.gz MEJHNCPNYVVVEO-PHIMTYICSA-N -1 1 314.407 1.114 20 0 DDADMM CC[C@](NC(=O)Cc1ccc([O-])c(Cl)c1)(C(N)=O)C(C)C ZINC000632378192 422290857 /nfs/dbraw/zinc/29/08/57/422290857.db2.gz WJLRSXYRHITFTO-OAHLLOKOSA-N -1 1 312.797 1.994 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)Cc2ccc([O-])c(Cl)c2)[C@H]1OC ZINC000632462538 422365192 /nfs/dbraw/zinc/36/51/92/422365192.db2.gz RHBLVXIZQZPKRH-NJZAAPMLSA-N -1 1 313.781 1.897 20 0 DDADMM CC[C@@H]1CN(C(=O)CCc2nn[n-]n2)c2cccc(Cl)c2O1 ZINC000632413043 422321773 /nfs/dbraw/zinc/32/17/73/422321773.db2.gz RNNGCJFUOXRQFZ-SECBINFHSA-N -1 1 321.768 1.990 20 0 DDADMM CC(C)(C)OCc1cccc(CNC(=O)CCc2nn[n-]n2)c1 ZINC000630888432 422398177 /nfs/dbraw/zinc/39/81/77/422398177.db2.gz DVZUHTKRSASIJO-UHFFFAOYSA-N -1 1 317.393 1.764 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(Cc1c(F)cccc1F)C1CC1 ZINC000635845797 422400550 /nfs/dbraw/zinc/40/05/50/422400550.db2.gz PVZWFGPKZCPZPI-UHFFFAOYSA-N -1 1 321.331 1.992 20 0 DDADMM Cc1n[nH]c(C)c1CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000632518740 422407282 /nfs/dbraw/zinc/40/72/82/422407282.db2.gz FKBXVZUJSPBARK-UHFFFAOYSA-N -1 1 315.345 1.826 20 0 DDADMM CC(C)(C)OC1CC(CCNC(=O)CCCc2nn[n-]n2)C1 ZINC000635855489 422411438 /nfs/dbraw/zinc/41/14/38/422411438.db2.gz CWDXROTZRHWRBK-UHFFFAOYSA-N -1 1 309.414 1.622 20 0 DDADMM CC[C@H](O)Cn1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634628257 422512554 /nfs/dbraw/zinc/51/25/54/422512554.db2.gz UTJSPOJNGWSALX-LSDHHAIUSA-N -1 1 301.390 1.478 20 0 DDADMM CNS(=O)(=O)CCCSc1nc(C)c(C2CC2)c(=O)[n-]1 ZINC000631023849 422477777 /nfs/dbraw/zinc/47/77/77/422477777.db2.gz GICBASYZIAWUSD-UHFFFAOYSA-N -1 1 317.436 1.399 20 0 DDADMM CCC[C@@H](CCO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000632620342 422478705 /nfs/dbraw/zinc/47/87/05/422478705.db2.gz IUDOAMLHQTVWKO-QMMMGPOBSA-N -1 1 311.325 1.933 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CC(C)=C(C)C)c1 ZINC000635946388 422499643 /nfs/dbraw/zinc/49/96/43/422499643.db2.gz RACGMOKFIHSVOR-UHFFFAOYSA-N -1 1 312.391 1.985 20 0 DDADMM Cc1nnc(CC[N-]S(=O)(=O)c2ccc(C(F)F)o2)n1C ZINC000634658541 422540345 /nfs/dbraw/zinc/54/03/45/422540345.db2.gz NGDVAADKWICFEG-UHFFFAOYSA-N -1 1 320.321 1.175 20 0 DDADMM O=S(=O)([N-][C@H]1CCCn2nccc21)c1cc(F)ccc1F ZINC000632788902 422578548 /nfs/dbraw/zinc/57/85/48/422578548.db2.gz KOHOMDSFJMOCQN-NSHDSACASA-N -1 1 313.329 1.975 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1c(F)cc(F)c(F)c1F ZINC000632796291 422585644 /nfs/dbraw/zinc/58/56/44/422585644.db2.gz SALDZXHNKSLSPT-UHFFFAOYSA-N -1 1 303.219 1.005 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-]c1cnn(CCF)c1 ZINC000629318805 422605054 /nfs/dbraw/zinc/60/50/54/422605054.db2.gz GCPPYFOGKVUZCX-LBPRGKRZSA-N -1 1 307.391 1.655 20 0 DDADMM O=C(c1cnn(C(F)F)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000632876631 422639316 /nfs/dbraw/zinc/63/93/16/422639316.db2.gz JCUZWQVAYNQIKH-UHFFFAOYSA-N -1 1 313.264 1.387 20 0 DDADMM COc1ccc([N-]S(=O)(=O)CC2(OC)CCC2)c(O)c1 ZINC000634791622 422649277 /nfs/dbraw/zinc/64/92/77/422649277.db2.gz IKMFOSGDRZSBSE-UHFFFAOYSA-N -1 1 301.364 1.712 20 0 DDADMM Cc1cc(F)cc([C@@]2(F)CCN(C(=O)CCc3nn[n-]n3)C2)c1 ZINC000632897953 422650699 /nfs/dbraw/zinc/65/06/99/422650699.db2.gz ILQMKZYQSFFIKP-OAHLLOKOSA-N -1 1 321.331 1.677 20 0 DDADMM CCOCCN(CC(=O)NCC(=O)[O-])[C@H]1CCc2ccccc21 ZINC000629499068 422702829 /nfs/dbraw/zinc/70/28/29/422702829.db2.gz DCIQQLCAAZLIKZ-HNNXBMFYSA-N -1 1 320.389 1.213 20 0 DDADMM Cc1ccccc1C(F)(F)CNC(=O)CCCc1nn[n-]n1 ZINC000636229811 422731686 /nfs/dbraw/zinc/73/16/86/422731686.db2.gz YWSRIKQNYJSNHX-UHFFFAOYSA-N -1 1 309.320 1.739 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCCN(c2cccc(F)c2)C1 ZINC000631333639 422694604 /nfs/dbraw/zinc/69/46/04/422694604.db2.gz LDVLESJIWZPAQY-GFCCVEGCSA-N -1 1 318.356 1.057 20 0 DDADMM Cc1ccccc1OCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000646113535 423344391 /nfs/dbraw/zinc/34/43/91/423344391.db2.gz LIPITMQSJLCFHY-UHFFFAOYSA-N -1 1 317.345 1.869 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCCN(C(=O)C(C)(C)C)C1 ZINC000646410392 423481197 /nfs/dbraw/zinc/48/11/97/423481197.db2.gz GZJGXTYJJJEZOJ-SNVBAGLBSA-N -1 1 308.382 1.647 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H](C)CC(F)F)co1 ZINC000648962058 423566431 /nfs/dbraw/zinc/56/64/31/423566431.db2.gz QKBDLIVLVUJSSM-SSDOTTSWSA-N -1 1 310.322 1.209 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnc2n1[C@@H](C)CCC2)c1nn[n-]n1 ZINC000651721024 423657512 /nfs/dbraw/zinc/65/75/12/423657512.db2.gz QMJWXBTZFQTVRF-ZJUUUORDSA-N -1 1 303.370 1.169 20 0 DDADMM NC(=O)[C@H]1CCCN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000653951228 423658531 /nfs/dbraw/zinc/65/85/31/423658531.db2.gz TWGNEZWCVHOWDJ-SECBINFHSA-N -1 1 302.252 1.501 20 0 DDADMM CC[C@@H](O)CC(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000649194416 423672011 /nfs/dbraw/zinc/67/20/11/423672011.db2.gz XTRKFBXIVJQGAV-CQSZACIVSA-N -1 1 305.374 1.975 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccccc1-n1ccnn1)C(C)C ZINC000647124345 423763027 /nfs/dbraw/zinc/76/30/27/423763027.db2.gz ATLICNNZYVQVMM-CQSZACIVSA-N -1 1 324.406 1.680 20 0 DDADMM CCCCCNC(=O)[C@H](C)N1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639823603 423758999 /nfs/dbraw/zinc/75/89/99/423758999.db2.gz CJIYANNRJTVLJM-NWDGAFQWSA-N -1 1 309.414 1.356 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@H](OC)C(C)C)c(O)c1 ZINC000647131070 423766786 /nfs/dbraw/zinc/76/67/86/423766786.db2.gz LWHXADVPJAZQCX-ZDUSSCGKSA-N -1 1 303.380 1.814 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(CC(F)F)c1)C1CC1 ZINC000647127231 423764629 /nfs/dbraw/zinc/76/46/29/423764629.db2.gz AZBBUQQMSXCDFX-JTQLQIEISA-N -1 1 309.338 1.315 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-]c1cccc(CO)c1Cl ZINC000641690294 423868737 /nfs/dbraw/zinc/86/87/37/423868737.db2.gz LCOYRPQPGHSLRA-UHFFFAOYSA-N -1 1 315.782 1.675 20 0 DDADMM CO[C@H]1CCCC[C@H]1S(=O)(=O)[N-]c1cnn(CCF)c1 ZINC000641694435 423872851 /nfs/dbraw/zinc/87/28/51/423872851.db2.gz CSUHLJDJZODOJD-NWDGAFQWSA-N -1 1 305.375 1.552 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccncc1)c1nc(C(C)(C)C)no1 ZINC000656923302 423880522 /nfs/dbraw/zinc/88/05/22/423880522.db2.gz QNQUDULAELOCBH-VIFPVBQESA-N -1 1 310.379 1.802 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc2c(cc1F)NC(=O)CC2)C(F)F ZINC000641702484 423882548 /nfs/dbraw/zinc/88/25/48/423882548.db2.gz RBKNNRFGIAVBAV-LURJTMIESA-N -1 1 322.308 1.642 20 0 DDADMM COCC[C@@H](C)[N-]S(=O)(=O)c1ncccc1Br ZINC000656890721 423841270 /nfs/dbraw/zinc/84/12/70/423841270.db2.gz KNVCNNFAZOTWHT-MRVPVSSYSA-N -1 1 323.212 1.547 20 0 DDADMM Cn1[n-]c(CN2CCC(Oc3ccccc3F)CC2)nc1=O ZINC000639980093 424021393 /nfs/dbraw/zinc/02/13/93/424021393.db2.gz KOLNWNDHGKJFEY-UHFFFAOYSA-N -1 1 306.341 1.291 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2CCNC(=O)CC2)c(F)c1 ZINC000644862795 423988450 /nfs/dbraw/zinc/98/84/50/423988450.db2.gz LGSRZSKAWMVJHA-SECBINFHSA-N -1 1 318.345 1.220 20 0 DDADMM C[C@H](CNc1ncc(C(=O)[O-])cc1Cl)N1CCN(C)CC1 ZINC000647449934 424028652 /nfs/dbraw/zinc/02/86/52/424028652.db2.gz WDOWPXLDOVTBIK-SNVBAGLBSA-N -1 1 312.801 1.481 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc(-c2nc(C)no2)nc1 ZINC000649669299 424120344 /nfs/dbraw/zinc/12/03/44/424120344.db2.gz KARBBVGRXBZSHG-QMMMGPOBSA-N -1 1 312.351 1.217 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H](CO)CC2CC2)c(F)c1 ZINC000657182557 424192318 /nfs/dbraw/zinc/19/23/18/424192318.db2.gz RKPKSMXTAUILGF-LLVKDONJSA-N -1 1 319.373 1.960 20 0 DDADMM O=C1CC[C@@H](C[N-]S(=O)(=O)c2cc3cc(F)ccc3o2)N1 ZINC000657189014 424202033 /nfs/dbraw/zinc/20/20/33/424202033.db2.gz BKJVWGWXCZZCRZ-JTQLQIEISA-N -1 1 312.322 1.129 20 0 DDADMM CO[C@](C)(CO)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000655219602 424305200 /nfs/dbraw/zinc/30/52/00/424305200.db2.gz JUGVYSXJPOFCHD-LBPRGKRZSA-N -1 1 307.268 1.538 20 0 DDADMM CC(C)N(C)C(=O)[C@@H](C)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000662223497 424488811 /nfs/dbraw/zinc/48/88/11/424488811.db2.gz OLSJDBHTWJOVCX-DOMZBBRYSA-N -1 1 304.390 1.926 20 0 DDADMM CC(C)N1CC[C@H](N2Cc3ccccc3[C@@H](C(=O)[O-])C2)C1=O ZINC000662224888 424491972 /nfs/dbraw/zinc/49/19/72/424491972.db2.gz WXKFQBAUOPBCDY-GJZGRUSLSA-N -1 1 302.374 1.680 20 0 DDADMM CCN(Cc1ccc(OC)cc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662201111 424465000 /nfs/dbraw/zinc/46/50/00/424465000.db2.gz GPNBZPJSCNLZKJ-CQSZACIVSA-N -1 1 306.362 1.203 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2cccc(OC)c2F)on1 ZINC000655523412 424545496 /nfs/dbraw/zinc/54/54/96/424545496.db2.gz VSRKDWFCVLVZSE-UHFFFAOYSA-N -1 1 314.338 1.863 20 0 DDADMM C[C@@H](NC(=O)CN1CCC(C)CC1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000655575004 424577362 /nfs/dbraw/zinc/57/73/62/424577362.db2.gz DPDXJMGMJDTFAU-HZSPNIEDSA-N -1 1 310.438 1.970 20 0 DDADMM O=S(=O)([N-]CCOCCF)c1cc(F)c(F)cc1F ZINC000660176719 424581941 /nfs/dbraw/zinc/58/19/41/424581941.db2.gz VBXRYTZBSAHHKL-UHFFFAOYSA-N -1 1 301.261 1.368 20 0 DDADMM CC(C)(C)O[C@H]1C[C@H](Nc2nc3[nH][n-]cc-3c(=O)n2)C12CCC2 ZINC000664471180 424603577 /nfs/dbraw/zinc/60/35/77/424603577.db2.gz ZFTBUCNXRBLHGY-QWRGUYRKSA-N -1 1 317.393 1.970 20 0 DDADMM C[C@@H](CNC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1)C(=O)[O-] ZINC000655870398 424687355 /nfs/dbraw/zinc/68/73/55/424687355.db2.gz SKIRZKPZIFDKPE-QMMMGPOBSA-N -1 1 321.312 1.131 20 0 DDADMM C[C@@H](CNC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1)C(=O)[O-] ZINC000655870398 424687357 /nfs/dbraw/zinc/68/73/57/424687357.db2.gz SKIRZKPZIFDKPE-QMMMGPOBSA-N -1 1 321.312 1.131 20 0 DDADMM O=C([O-])C1CCC(NC(=O)N[C@H]2CCC[N@H+]3CCCC[C@H]23)CC1 ZINC000655878799 424690166 /nfs/dbraw/zinc/69/01/66/424690166.db2.gz FUWHWMPXVSMCLI-PFSRBDOWSA-N -1 1 323.437 1.946 20 0 DDADMM O=C(N[C@@H]1CCCS(=O)(=O)C1)c1cc2ccccc2cc1[O-] ZINC000316026984 271015634 /nfs/dbraw/zinc/01/56/34/271015634.db2.gz LMSUQDCYQFATOO-CYBMUJFWSA-N -1 1 319.382 1.852 20 0 DDADMM O=C(Nc1ccc(N2CCNC2=O)cc1)c1ccc(O)cc1[O-] ZINC000321103164 271027025 /nfs/dbraw/zinc/02/70/25/271027025.db2.gz MUPFEDRBXLMSDT-UHFFFAOYSA-N -1 1 313.313 1.880 20 0 DDADMM CCn1nc(C)c(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)c1C ZINC000341526108 271106787 /nfs/dbraw/zinc/10/67/87/271106787.db2.gz CBHFURSWBYSIGV-UHFFFAOYSA-N -1 1 316.287 1.838 20 0 DDADMM CN(CCc1ccccc1O)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000342357555 271388288 /nfs/dbraw/zinc/38/82/88/271388288.db2.gz MYTJAXMWQQEIHU-UHFFFAOYSA-N -1 1 323.352 1.943 20 0 DDADMM CC(C)(C)C1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343407652 271762784 /nfs/dbraw/zinc/76/27/84/271762784.db2.gz PPQYUSBNSZJXLK-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCCCF)c1ccsc1 ZINC000344667081 272084453 /nfs/dbraw/zinc/08/44/53/272084453.db2.gz NRTCVQAXQAMKAB-JTQLQIEISA-N -1 1 309.384 1.631 20 0 DDADMM Cc1oc2ccccc2c1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000345134484 272179665 /nfs/dbraw/zinc/17/96/65/272179665.db2.gz GSTLRGJWRHDIEM-UHFFFAOYSA-N -1 1 323.312 1.402 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1c[nH]cn1)c1cc(C)cc(C)c1 ZINC000345576207 272282846 /nfs/dbraw/zinc/28/28/46/272282846.db2.gz BKCMEKOGDMZDBL-ZDUSSCGKSA-N -1 1 323.374 1.219 20 0 DDADMM CCO[C@@H]1CCCC[C@H]1NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000456949736 276336570 /nfs/dbraw/zinc/33/65/70/276336570.db2.gz OUQFNHXKEAXKPY-CHWSQXEVSA-N -1 1 318.377 1.751 20 0 DDADMM O=C(N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)C(=O)c1ccc([O-])cc1 ZINC000283218841 279939863 /nfs/dbraw/zinc/93/98/63/279939863.db2.gz AREGQUFCGLAIRX-NWANDNLSSA-N -1 1 301.342 1.649 20 0 DDADMM O=C(C=Cc1ccc(OCc2cccnc2)cc1)Nc1nnn[n-]1 ZINC000118685609 281085428 /nfs/dbraw/zinc/08/54/28/281085428.db2.gz VJFOXIWXWOVRLJ-VMPITWQZSA-N -1 1 322.328 1.826 20 0 DDADMM O=C(C=Cc1ccc(OCc2cccnc2)cc1)Nc1nn[n-]n1 ZINC000118685609 281085430 /nfs/dbraw/zinc/08/54/30/281085430.db2.gz VJFOXIWXWOVRLJ-VMPITWQZSA-N -1 1 322.328 1.826 20 0 DDADMM Cn1cc(C(=O)C(=O)Nc2cc(Br)ccc2[O-])cn1 ZINC000126613402 281246717 /nfs/dbraw/zinc/24/67/17/281246717.db2.gz LFHNLQQJKVFRFR-UHFFFAOYSA-N -1 1 324.134 1.710 20 0 DDADMM CC1(C)COC[C@@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000451962956 281292027 /nfs/dbraw/zinc/29/20/27/281292027.db2.gz GQKPZBVDFYKMDJ-VIFPVBQESA-N -1 1 309.309 1.807 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@H]1Cc1ccccc1 ZINC000572260500 304515795 /nfs/dbraw/zinc/51/57/95/304515795.db2.gz UZDSYPFJFNNINF-GHMZBOCLSA-N -1 1 315.329 1.257 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2cc(C3CC3)n[nH]2)cn1 ZINC000354223464 298370717 /nfs/dbraw/zinc/37/07/17/298370717.db2.gz SPLUDJOMLHVSPX-UHFFFAOYSA-N -1 1 322.346 1.270 20 0 DDADMM O=S(=O)([N-]c1cccc(F)c1)c1cccc(-n2cnnn2)c1 ZINC000354274572 298389787 /nfs/dbraw/zinc/38/97/87/298389787.db2.gz YHTGYWFVLWIVGT-UHFFFAOYSA-N -1 1 319.321 1.602 20 0 DDADMM CC(C)(C)n1cc(C(=O)C(=O)N=c2nc(C3CCC3)[nH][n-]2)cn1 ZINC000354342049 298407124 /nfs/dbraw/zinc/40/71/24/298407124.db2.gz VBAZBZSASUWUMN-UHFFFAOYSA-N -1 1 316.365 1.267 20 0 DDADMM COC(=O)C[C@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000362477903 300074206 /nfs/dbraw/zinc/07/42/06/300074206.db2.gz YXHZVHJXCNCLOK-VIFPVBQESA-N -1 1 303.318 1.325 20 0 DDADMM COC(=O)C[C@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000362477903 300074208 /nfs/dbraw/zinc/07/42/08/300074208.db2.gz YXHZVHJXCNCLOK-VIFPVBQESA-N -1 1 303.318 1.325 20 0 DDADMM COc1cc(Cl)ccc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363518439 300279361 /nfs/dbraw/zinc/27/93/61/300279361.db2.gz WAMUGVUPYAVFQT-NSHDSACASA-N -1 1 323.740 1.075 20 0 DDADMM O=C(NCc1nnc2n1CCOC2)c1cc(Cl)ccc1[O-] ZINC000368978362 301116285 /nfs/dbraw/zinc/11/62/85/301116285.db2.gz QOPDVHROJWDMDU-UHFFFAOYSA-N -1 1 308.725 1.097 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])c1nnc2n1CCCCC2 ZINC000376803805 302105804 /nfs/dbraw/zinc/10/58/04/302105804.db2.gz IBVDBVSHLCVJKT-SNVBAGLBSA-N -1 1 301.350 1.596 20 0 DDADMM CCCc1nc(C)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000378301896 302309536 /nfs/dbraw/zinc/30/95/36/302309536.db2.gz QYGMJRWBTFIRBE-SNVBAGLBSA-N -1 1 320.422 1.937 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC[C@@H](c3ccco3)C1)c2=O ZINC000378579536 302339197 /nfs/dbraw/zinc/33/91/97/302339197.db2.gz HIYRAGGZOZKBAT-SNVBAGLBSA-N -1 1 312.329 1.897 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCO[C@@H]3CCC[C@H]31)c2=O ZINC000378592203 302341058 /nfs/dbraw/zinc/34/10/58/302341058.db2.gz TVGNBEMBULCAIU-VXGBXAGGSA-N -1 1 302.334 1.067 20 0 DDADMM C[C@@H](NC(=O)OC(C)(C)C)[C@@H](C)NC(=O)c1cncc([O-])c1 ZINC000497226070 302694093 /nfs/dbraw/zinc/69/40/93/302694093.db2.gz HYFPIWMRBBOBLY-NXEZZACHSA-N -1 1 309.366 1.819 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](O)CC1)c1cc(F)c(F)cc1F ZINC000513061470 302731561 /nfs/dbraw/zinc/73/15/61/302731561.db2.gz MTMRTSASROXSDH-ZKCHVHJHSA-N -1 1 309.309 1.686 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](C(=O)NCC3CC3)C2)c([O-])c1 ZINC000516694875 302795911 /nfs/dbraw/zinc/79/59/11/302795911.db2.gz XIVNLEWYJRZYBA-CYBMUJFWSA-N -1 1 317.389 1.474 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2[C@@H](C)[C@H](C)O[C@H]2C)sc1C ZINC000528544864 303042177 /nfs/dbraw/zinc/04/21/77/303042177.db2.gz AZLIJAHNQZEXGR-MYQHRXMXSA-N -1 1 304.437 1.850 20 0 DDADMM CCc1nc([C@H](C)NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)cs1 ZINC000357368232 306879107 /nfs/dbraw/zinc/87/91/07/306879107.db2.gz TWISVQHKRSZQEL-LURJTMIESA-N -1 1 324.362 1.406 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cc2ccccc2o1)C1CC1 ZINC000531009811 303224960 /nfs/dbraw/zinc/22/49/60/303224960.db2.gz LSQQUKGRZUUJQG-CYBMUJFWSA-N -1 1 309.343 1.663 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCO[C@H](C3CC3)C2)sc1C ZINC000545909736 303503741 /nfs/dbraw/zinc/50/37/41/303503741.db2.gz VGERDQNMVVSEFY-RYUDHWBXSA-N -1 1 316.448 1.996 20 0 DDADMM O=S(=O)([N-][C@@H]1CCn2ccnc2C1)c1cc(F)ccc1F ZINC000547642733 303544397 /nfs/dbraw/zinc/54/43/97/303544397.db2.gz GFAGCSYXNPFKEF-SNVBAGLBSA-N -1 1 313.329 1.455 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccc([C@@H]2C[C@H]2C)o1 ZINC000363682031 307033423 /nfs/dbraw/zinc/03/34/23/307033423.db2.gz WFWSGLDXXYUGDH-NXEZZACHSA-N -1 1 317.345 1.987 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1C[C@]12CCOC2 ZINC000372519747 307169471 /nfs/dbraw/zinc/16/94/71/307169471.db2.gz BUICSNHGZKXJDI-WBMJQRKESA-N -1 1 316.361 1.095 20 0 DDADMM Cc1nn(C)c(C)c1[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000373515320 307189650 /nfs/dbraw/zinc/18/96/50/307189650.db2.gz XUGPIDGVERVUBX-GFCCVEGCSA-N -1 1 316.361 1.351 20 0 DDADMM Cc1nccnc1N1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000374239132 307204664 /nfs/dbraw/zinc/20/46/64/307204664.db2.gz RHUUENFXLKSPTR-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@@H]1c1nccs1 ZINC000377867712 307278016 /nfs/dbraw/zinc/27/80/16/307278016.db2.gz BBTRZBNAKZSRTB-MRVPVSSYSA-N -1 1 314.392 1.378 20 0 DDADMM O=C(N[C@H]1COc2ccccc2[C@@H]1O)c1c(F)ccc([O-])c1F ZINC000378135410 307282608 /nfs/dbraw/zinc/28/26/08/307282608.db2.gz BMQMMLKBRMZHPG-BONVTDFDSA-N -1 1 321.279 1.895 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)[N-]c2cnn(C)c2C(F)(F)F)C1 ZINC000436164616 307305417 /nfs/dbraw/zinc/30/54/17/307305417.db2.gz KMSGYKSDWJTDAF-ZETCQYMHSA-N -1 1 312.317 1.437 20 0 DDADMM O=C([O-])c1ccc(NC[C@@H](c2ccco2)N2CCCCC2)nn1 ZINC000516070860 307412121 /nfs/dbraw/zinc/41/21/21/307412121.db2.gz RZWCIPKXTDFUMQ-ZDUSSCGKSA-N -1 1 316.361 1.829 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)n1 ZINC000528444700 307536363 /nfs/dbraw/zinc/53/63/63/307536363.db2.gz DLQJFHLKPANRJJ-UHFFFAOYSA-N -1 1 320.296 1.194 20 0 DDADMM CCCC1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000546101204 307725580 /nfs/dbraw/zinc/72/55/80/307725580.db2.gz NARAUPRMRISAII-UHFFFAOYSA-N -1 1 303.366 1.506 20 0 DDADMM CCCc1ccc(S(=O)(=O)N=c2cc(OC)n(C)[n-]2)cc1 ZINC000548150099 307759223 /nfs/dbraw/zinc/75/92/23/307759223.db2.gz MJCDFVAPZUJJOB-UHFFFAOYSA-N -1 1 309.391 1.604 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccc(C(C)C)cc2)[n-]n1C ZINC000548477818 307771555 /nfs/dbraw/zinc/77/15/55/307771555.db2.gz VBPPHOCUECFPJI-UHFFFAOYSA-N -1 1 309.391 1.775 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2CC(C)(C)C2)co1 ZINC000548715903 307788609 /nfs/dbraw/zinc/78/86/09/307788609.db2.gz ISRJDJIUGXTRPB-UHFFFAOYSA-N -1 1 300.380 1.354 20 0 DDADMM CC(C)(C)[C@H](CO)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000566169457 308047943 /nfs/dbraw/zinc/04/79/43/308047943.db2.gz JEUINILSKQQITD-NSHDSACASA-N -1 1 314.432 1.982 20 0 DDADMM O=S1(=O)CCC[C@H](CN=c2nc(C(F)(F)F)[n-]s2)C1 ZINC000569404363 308142630 /nfs/dbraw/zinc/14/26/30/308142630.db2.gz POAVJCMWTOFGAN-ZCFIWIBFSA-N -1 1 315.342 1.216 20 0 DDADMM CC[C@@H](Cc1ccccc1)N(C)Cc1cn(CC(=O)[O-])nn1 ZINC000571530156 308197720 /nfs/dbraw/zinc/19/77/20/308197720.db2.gz LTLCZWGYMCUNEN-HNNXBMFYSA-N -1 1 302.378 1.816 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC(C(=O)[O-])CC3)c[n-]c2[nH+]1 ZINC000572284918 308217139 /nfs/dbraw/zinc/21/71/39/308217139.db2.gz LIWBNNUJVPTPJZ-UHFFFAOYSA-N -1 1 315.329 1.581 20 0 DDADMM Cc1nc2c(cccc2C(=O)NC2(c3nn[n-]n3)CCCC2)[nH]1 ZINC000575349985 308275507 /nfs/dbraw/zinc/27/55/07/308275507.db2.gz VAEMDSAYONQDGK-UHFFFAOYSA-N -1 1 311.349 1.584 20 0 DDADMM Cn1cnc2cc([N-]S(=O)(=O)CCOCC3CC3)ccc21 ZINC000576976827 308353785 /nfs/dbraw/zinc/35/37/85/308353785.db2.gz PVMZZMYZPRMGRL-UHFFFAOYSA-N -1 1 309.391 1.742 20 0 DDADMM C[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(Br)cc1[O-] ZINC000384858146 325752780 /nfs/dbraw/zinc/75/27/80/325752780.db2.gz HDGFMNOSLPNDAL-VXNVDRBHSA-N -1 1 300.152 1.750 20 0 DDADMM O=C([O-])c1cnc(NC[C@H]2CC[N@@H+](Cc3ccccc3)C2)nc1 ZINC000394573907 337119285 /nfs/dbraw/zinc/11/92/85/337119285.db2.gz UUTVNJMRYUPIOM-CQSZACIVSA-N -1 1 312.373 1.531 20 0 DDADMM C[C@H]1CN(CC[N-]S(=O)(=O)c2sccc2Cl)CCO1 ZINC000582826839 337206168 /nfs/dbraw/zinc/20/61/68/337206168.db2.gz WGNNKTBYFNFECZ-VIFPVBQESA-N -1 1 324.855 1.401 20 0 DDADMM COc1cccc(NC(=O)CN2C[C@H](C(=O)[O-])CC[C@H]2C)c1 ZINC000397029908 337242464 /nfs/dbraw/zinc/24/24/64/337242464.db2.gz OLERSZHXEURSKC-VXGBXAGGSA-N -1 1 306.362 1.819 20 0 DDADMM CC(=O)NCCCS(=O)(=O)c1nc(-c2ccc(C)cc2)n[n-]1 ZINC000583851924 337342731 /nfs/dbraw/zinc/34/27/31/337342731.db2.gz SXULJJZTKVXKSA-UHFFFAOYSA-N -1 1 322.390 1.080 20 0 DDADMM CC(=O)NCCCS(=O)(=O)c1n[n-]c(-c2ccc(C)cc2)n1 ZINC000583851924 337342732 /nfs/dbraw/zinc/34/27/32/337342732.db2.gz SXULJJZTKVXKSA-UHFFFAOYSA-N -1 1 322.390 1.080 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)c2cccc(C(=O)[O-])n2)CC1 ZINC000656247563 484045754 /nfs/dbraw/zinc/04/57/54/484045754.db2.gz PQCIIMLZDXFVAA-UHFFFAOYSA-N -1 1 305.378 1.726 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc2c(c1)CCNC2=O)C1CC1 ZINC000656633198 484250392 /nfs/dbraw/zinc/25/03/92/484250392.db2.gz XQTPZRARXPYYOQ-AWEZNQCLSA-N -1 1 324.402 1.139 20 0 DDADMM O=C([O-])C1(C(=O)N2CCC[C@@H](c3n[nH]c(C4CC4)n3)C2)CCC1 ZINC000663042528 484620289 /nfs/dbraw/zinc/62/02/89/484620289.db2.gz WTRWGUWAQLCLBE-LLVKDONJSA-N -1 1 318.377 1.643 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000669740622 484629806 /nfs/dbraw/zinc/62/98/06/484629806.db2.gz NVMZSGLYMLCTMR-LLVKDONJSA-N -1 1 315.366 1.571 20 0 DDADMM CC(C)(C)[C@H](C(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000663107689 484673539 /nfs/dbraw/zinc/67/35/39/484673539.db2.gz LJVHCXQSVRHDGK-LBPRGKRZSA-N -1 1 302.334 1.953 20 0 DDADMM CCN1CC[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1=O ZINC000670170814 484769976 /nfs/dbraw/zinc/76/99/76/484769976.db2.gz XRUIYQKEGTVGCR-SNVBAGLBSA-N -1 1 316.279 1.762 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCC[C@@H]3C[C@@H]32)c(C(F)(F)F)n1 ZINC000668279382 485073570 /nfs/dbraw/zinc/07/35/70/485073570.db2.gz KBGQUVLWUJRANO-VGMNWLOBSA-N -1 1 323.340 1.906 20 0 DDADMM C[C@@H](NC(=O)c1ccc([O-])c(F)c1)C1(S(C)(=O)=O)CC1 ZINC000672233919 485263705 /nfs/dbraw/zinc/26/37/05/485263705.db2.gz YPISXVJNKFPZHP-MRVPVSSYSA-N -1 1 301.339 1.227 20 0 DDADMM C[C@@H]1CC[C@H](C(N)=O)CN1C(=O)c1ncc2ccccc2c1[O-] ZINC000666662718 485320039 /nfs/dbraw/zinc/32/00/39/485320039.db2.gz YQEVKFAIYNANRO-PWSUYJOCSA-N -1 1 313.357 1.666 20 0 DDADMM CC[C@H](C)NC(=O)CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000666722939 485339647 /nfs/dbraw/zinc/33/96/47/485339647.db2.gz RZXVOTBHYRAHLM-NSHDSACASA-N -1 1 315.373 1.975 20 0 DDADMM C[C@H]1CS(=O)(=O)CCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000669025129 485382421 /nfs/dbraw/zinc/38/24/21/485382421.db2.gz ABPBHWNNIZHLAF-JTQLQIEISA-N -1 1 320.370 1.200 20 0 DDADMM Cc1cc(C(=O)NCc2nn[n-]n2)c(C)cc1Br ZINC000678202715 485485373 /nfs/dbraw/zinc/48/53/73/485485373.db2.gz ATIMYUNXILENJD-UHFFFAOYSA-N -1 1 310.155 1.509 20 0 DDADMM CCC1(O)CCC(NC(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000678485388 485599077 /nfs/dbraw/zinc/59/90/77/485599077.db2.gz ZQBXGEJBRDXKAV-UHFFFAOYSA-N -1 1 315.373 1.737 20 0 DDADMM Cc1ccc(CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cc1O ZINC000678579556 485638973 /nfs/dbraw/zinc/63/89/73/485638973.db2.gz NYFFKCYFLHMBQD-INIZCTEOSA-N -1 1 323.356 1.662 20 0 DDADMM COc1ccccc1NCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000678797112 485689387 /nfs/dbraw/zinc/68/93/87/485689387.db2.gz OWOIVEJINDWJCI-NSHDSACASA-N -1 1 316.365 1.026 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC23CCCC3)c1 ZINC000679113838 485780511 /nfs/dbraw/zinc/78/05/11/485780511.db2.gz KVLRLGCOROPIHK-JTQLQIEISA-N -1 1 310.375 1.558 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H](C)[C@H](F)C1 ZINC000679260913 485824873 /nfs/dbraw/zinc/82/48/73/485824873.db2.gz ZNOLJTKSPUNODM-NOZJJQNGSA-N -1 1 320.368 1.801 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC(=O)N(CC(F)(F)F)C1 ZINC000683120876 485884890 /nfs/dbraw/zinc/88/48/90/485884890.db2.gz GVOQARWOUWLEGS-UHFFFAOYSA-N -1 1 306.215 1.336 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)C[C@@H](C)O)c(F)c1 ZINC000679937591 486045472 /nfs/dbraw/zinc/04/54/72/486045472.db2.gz WBSOCHFHTPLKEN-HTQZYQBOSA-N -1 1 309.334 1.411 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cccc(C(=O)NC3CC3)c2)c1[O-] ZINC000676629995 486271636 /nfs/dbraw/zinc/27/16/36/486271636.db2.gz NNPDRWJSXBFNCL-UHFFFAOYSA-N -1 1 300.318 1.568 20 0 DDADMM Cc1ccc(C(=O)NC2CC2)cc1NC(=O)C1=C([O-])C(C)N=N1 ZINC000676706758 486296799 /nfs/dbraw/zinc/29/67/99/486296799.db2.gz MHOMLMKKNKZISU-UHFFFAOYSA-N -1 1 314.345 1.877 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(c2ncc(F)cn2)CC1 ZINC000681067565 486336735 /nfs/dbraw/zinc/33/67/35/486336735.db2.gz AYUOIKSGTYUFOB-UHFFFAOYSA-N -1 1 320.299 1.423 20 0 DDADMM O=C(NC1CC1)N[C@H]1CCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000681069850 486337379 /nfs/dbraw/zinc/33/73/79/486337379.db2.gz NCTCBKQLSISVIP-NSHDSACASA-N -1 1 307.325 1.207 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000681070131 486337616 /nfs/dbraw/zinc/33/76/16/486337616.db2.gz WZMXTQCEGONPKE-JTQLQIEISA-N -1 1 301.339 1.181 20 0 DDADMM Cn1[nH]c(C2CCN(C(=O)c3ccc([O-])cc3F)CC2)nc1=N ZINC000684853399 486446356 /nfs/dbraw/zinc/44/63/56/486446356.db2.gz VLTUMHYUZOVKFH-UHFFFAOYSA-N -1 1 319.340 1.092 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCN(CCO)CC1 ZINC000684870575 486449828 /nfs/dbraw/zinc/44/98/28/486449828.db2.gz JTYOKBOPNUWSBW-UHFFFAOYSA-N -1 1 318.295 1.161 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1(C)CCC(F)(F)CC1 ZINC000685506317 486554076 /nfs/dbraw/zinc/55/40/76/486554076.db2.gz WELJQJZWAOOOOH-UHFFFAOYSA-N -1 1 309.338 1.812 20 0 DDADMM O=C(N=c1[n-]nc(C2CC2)s1)c1ccc(-c2nnc[nH]2)cc1 ZINC000564757930 490316892 /nfs/dbraw/zinc/31/68/92/490316892.db2.gz HDFFGXULNIWJPC-UHFFFAOYSA-N -1 1 312.358 1.875 20 0 DDADMM COc1cc(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)ccc1O ZINC000294380242 533748073 /nfs/dbraw/zinc/74/80/73/533748073.db2.gz COLBETRTXSYGNB-UHFFFAOYSA-N -1 1 316.239 1.719 20 0 DDADMM COC(=O)c1ccc(CNC(=O)C(=O)c2ccc([O-])cc2)o1 ZINC000436996475 534119482 /nfs/dbraw/zinc/11/94/82/534119482.db2.gz CHNHMZOLNNQWAZ-UHFFFAOYSA-N -1 1 303.270 1.271 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-][C@H](C)C(C)(F)F)cc1 ZINC000451071670 534154717 /nfs/dbraw/zinc/15/47/17/534154717.db2.gz TTXLMYQQYGEDGP-SECBINFHSA-N -1 1 321.345 1.936 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)CC(C)(C)OC)cc1C ZINC000414383912 534228118 /nfs/dbraw/zinc/22/81/18/534228118.db2.gz SCVPACKUBAEHEO-UHFFFAOYSA-N -1 1 315.391 1.948 20 0 DDADMM C[C@@H](C[S@](C)=O)[N-]S(=O)(=O)c1sccc1Cl ZINC000451398632 534229656 /nfs/dbraw/zinc/22/96/56/534229656.db2.gz WXDAXLHIFRDQAI-XHLQUELWSA-N -1 1 301.842 1.447 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2nnn(C(C)C)c2C)c1 ZINC000294625470 534231442 /nfs/dbraw/zinc/23/14/42/534231442.db2.gz JJUMUBBHRIIRRW-UHFFFAOYSA-N -1 1 318.333 1.912 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccncc2C)o1 ZINC000494575187 534239622 /nfs/dbraw/zinc/23/96/22/534239622.db2.gz QDKSNGBEFVOIKO-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM COC(=O)c1c[n-]c(SCc2nc3ccc(OC)nc3[nH]2)n1 ZINC000432668863 534307478 /nfs/dbraw/zinc/30/74/78/534307478.db2.gz WWNVQXIHMPQILJ-UHFFFAOYSA-N -1 1 319.346 1.769 20 0 DDADMM CCCNC(=O)C1(NC(=O)c2ncccc2[O-])CCCCC1 ZINC000153725604 519866321 /nfs/dbraw/zinc/86/63/21/519866321.db2.gz JZMRAOMGDZANGK-UHFFFAOYSA-N -1 1 305.378 1.746 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CC[C@H](C)C1 ZINC000444463631 534643614 /nfs/dbraw/zinc/64/36/14/534643614.db2.gz HCEQWTZRNPNJQP-CABZTGNLSA-N -1 1 302.378 1.899 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1c(F)cccc1CO ZINC000424062774 534861080 /nfs/dbraw/zinc/86/10/80/534861080.db2.gz LFPZOCPUVAEXMX-UHFFFAOYSA-N -1 1 303.355 1.486 20 0 DDADMM C[C@@H]1C(=O)NCCN1C(=O)c1cc(Br)ccc1[O-] ZINC000156142037 526206781 /nfs/dbraw/zinc/20/67/81/526206781.db2.gz PQTWULLVWVTXSS-SSDOTTSWSA-N -1 1 313.151 1.115 20 0 DDADMM CC(=O)Nc1ccc(F)c([N-]S(=O)(=O)C[C@H]2CCCO2)c1 ZINC000330809313 527005387 /nfs/dbraw/zinc/00/53/87/527005387.db2.gz IRYBVNBSUHCXDH-LLVKDONJSA-N -1 1 316.354 1.705 20 0 DDADMM CCCN(C)C(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC000299410046 527805376 /nfs/dbraw/zinc/80/53/76/527805376.db2.gz ZJKGCIJJCQKHSB-UHFFFAOYSA-N -1 1 300.362 1.964 20 0 DDADMM CCNC(=O)C(C)(C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425183448 528037118 /nfs/dbraw/zinc/03/71/18/528037118.db2.gz GOFCHBRULZLWSB-UHFFFAOYSA-N -1 1 320.361 1.466 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H](c2cccnc2)C(C)C)[n-]n1 ZINC000413177404 528230716 /nfs/dbraw/zinc/23/07/16/528230716.db2.gz LVNWOYNGZGKGTI-AWEZNQCLSA-N -1 1 313.405 1.895 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2cnccc2C)o1 ZINC000451883222 528243231 /nfs/dbraw/zinc/24/32/31/528243231.db2.gz UBLYZTUKFPJXPX-UHFFFAOYSA-N -1 1 324.358 1.638 20 0 DDADMM CC(C)C[C@H](C)CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000330999020 528457099 /nfs/dbraw/zinc/45/70/99/528457099.db2.gz PNNASHLDGOTGHL-NSHDSACASA-N -1 1 311.455 1.672 20 0 DDADMM CCOCCC1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000295003144 528631514 /nfs/dbraw/zinc/63/15/14/528631514.db2.gz AYEGNQJCOLYUKG-UHFFFAOYSA-N -1 1 320.418 1.955 20 0 DDADMM CCC[C@@H](O)[C@H](CO)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000414026256 529070125 /nfs/dbraw/zinc/07/01/25/529070125.db2.gz WFUMPCRGZNVQRO-WDEREUQCSA-N -1 1 317.769 1.306 20 0 DDADMM CC[C@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)CCO1 ZINC000736408325 598957633 /nfs/dbraw/zinc/95/76/33/598957633.db2.gz SQBBCVXPWFDSQS-JTQLQIEISA-N -1 1 311.349 1.425 20 0 DDADMM CC[C@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)CCO1 ZINC000736408325 598957634 /nfs/dbraw/zinc/95/76/34/598957634.db2.gz SQBBCVXPWFDSQS-JTQLQIEISA-N -1 1 311.349 1.425 20 0 DDADMM CC[C@@H](C)[C@@H](O)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736331904 598968957 /nfs/dbraw/zinc/96/89/57/598968957.db2.gz QWEPYIGMQCTUCM-SKDRFNHKSA-N -1 1 313.365 1.629 20 0 DDADMM CC[C@@H](C)[C@@H](O)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736331904 598968958 /nfs/dbraw/zinc/96/89/58/598968958.db2.gz QWEPYIGMQCTUCM-SKDRFNHKSA-N -1 1 313.365 1.629 20 0 DDADMM C[C@@H](C(=O)n1ncc(-c2nn[n-]n2)c1N)c1cccc(Cl)c1 ZINC000819884760 599318364 /nfs/dbraw/zinc/31/83/64/599318364.db2.gz ZEJFSBGIFZZZGJ-SSDOTTSWSA-N -1 1 317.740 1.743 20 0 DDADMM COC[C@@H]1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000736970711 598971270 /nfs/dbraw/zinc/97/12/70/598971270.db2.gz DWUAIIGIWBSPLV-SNVBAGLBSA-N -1 1 311.349 1.283 20 0 DDADMM COC[C@@H]1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000736970711 598971271 /nfs/dbraw/zinc/97/12/71/598971271.db2.gz DWUAIIGIWBSPLV-SNVBAGLBSA-N -1 1 311.349 1.283 20 0 DDADMM CN(C)[C@@H](CNC(=O)C(C)(C)NC(=O)[O-])c1cccc(F)c1 ZINC000737362360 599726188 /nfs/dbraw/zinc/72/61/88/599726188.db2.gz USTJGNBPOFINTR-LBPRGKRZSA-N -1 1 311.357 1.591 20 0 DDADMM O=C(O[C@@H]1CCc2ccccc2C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738221370 599155183 /nfs/dbraw/zinc/15/51/83/599155183.db2.gz WADDYRLPOJWBRJ-CQSZACIVSA-N -1 1 321.340 1.976 20 0 DDADMM O=C(O[C@@H]1CCc2ccccc2C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738221370 599155186 /nfs/dbraw/zinc/15/51/86/599155186.db2.gz WADDYRLPOJWBRJ-CQSZACIVSA-N -1 1 321.340 1.976 20 0 DDADMM O=C(Nc1ccc(C2CC2)nn1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738160032 599164006 /nfs/dbraw/zinc/16/40/06/599164006.db2.gz QOOPKYOPMRKHSL-UHFFFAOYSA-N -1 1 308.305 1.181 20 0 DDADMM O=C(Nc1ccc(C2CC2)nn1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738160032 599164009 /nfs/dbraw/zinc/16/40/09/599164009.db2.gz QOOPKYOPMRKHSL-UHFFFAOYSA-N -1 1 308.305 1.181 20 0 DDADMM CCC(CC)(CNC(=O)c1ccc(-c2nnn[n-]2)nc1)SC ZINC000820887789 599199539 /nfs/dbraw/zinc/19/95/39/599199539.db2.gz QVKBJZQUUWLWOA-UHFFFAOYSA-N -1 1 320.422 1.913 20 0 DDADMM CCC(CC)(CNC(=O)c1ccc(-c2nn[n-]n2)nc1)SC ZINC000820887789 599199541 /nfs/dbraw/zinc/19/95/41/599199541.db2.gz QVKBJZQUUWLWOA-UHFFFAOYSA-N -1 1 320.422 1.913 20 0 DDADMM O=C([O-])C[C@@H]1CCCN([C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000819375567 597087068 /nfs/dbraw/zinc/08/70/68/597087068.db2.gz QCBPPRFIHVIKLU-UWVGGRQHSA-N -1 1 308.300 1.336 20 0 DDADMM CN(C)[C@@H](CNC(=O)CSCC(=O)[O-])c1cccs1 ZINC000157609496 597095111 /nfs/dbraw/zinc/09/51/11/597095111.db2.gz KMGSNOLFCOAGAE-VIFPVBQESA-N -1 1 302.421 1.285 20 0 DDADMM Cc1nn(C)c(C)c1Nc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000822492343 607303398 /nfs/dbraw/zinc/30/33/98/607303398.db2.gz XWPKJFHCSIIIEL-UHFFFAOYSA-N -1 1 320.360 1.931 20 0 DDADMM Cc1nn(C)c(C)c1Nc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000822492343 607303399 /nfs/dbraw/zinc/30/33/99/607303399.db2.gz XWPKJFHCSIIIEL-UHFFFAOYSA-N -1 1 320.360 1.931 20 0 DDADMM Cc1ccc([C@H]2CC(=O)N(CN3CC[C@@H](C(=O)[O-])C3)C2)cc1 ZINC000821075607 597759166 /nfs/dbraw/zinc/75/91/66/597759166.db2.gz CSJLHCYYTLYRMR-CABCVRRESA-N -1 1 302.374 1.675 20 0 DDADMM O=C([O-])CCCCN1CCN(Cc2nc3ccccc3[nH]2)CC1 ZINC000821515908 597802615 /nfs/dbraw/zinc/80/26/15/597802615.db2.gz IRBDXGCBQXIRAV-UHFFFAOYSA-N -1 1 316.405 1.935 20 0 DDADMM O=C([O-])c1cccc(C(=O)Nc2nc(-c3ccccn3)n[nH]2)c1 ZINC000821551023 598140388 /nfs/dbraw/zinc/14/03/88/598140388.db2.gz YYAGADFGSJFORJ-UHFFFAOYSA-N -1 1 309.285 1.817 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)Nc1cc(C(C)(C)C)nn1C)C(=O)[O-] ZINC000820459782 598187545 /nfs/dbraw/zinc/18/75/45/598187545.db2.gz TXANXYMDSYRYQY-HZMBPMFUSA-N -1 1 324.425 1.745 20 0 DDADMM Cc1ccccc1C[C@@H](C)NC(=O)CN[C@@H](C(=O)[O-])C(C)C ZINC000821125393 598189846 /nfs/dbraw/zinc/18/98/46/598189846.db2.gz JJHQYBCLMNZOTA-CZUORRHYSA-N -1 1 306.406 1.741 20 0 DDADMM Cc1ccccc1C[C@H](C)NC(=O)CN[C@@H](C(=O)[O-])C(C)C ZINC000821125394 598189910 /nfs/dbraw/zinc/18/99/10/598189910.db2.gz JJHQYBCLMNZOTA-XJKSGUPXSA-N -1 1 306.406 1.741 20 0 DDADMM CC[C@@H](C)N(C)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000736327182 598252141 /nfs/dbraw/zinc/25/21/41/598252141.db2.gz PEDOELZIERJNQW-MRVPVSSYSA-N -1 1 313.358 1.425 20 0 DDADMM C[C@@H](Nc1nccnc1-c1nnn[n-]1)c1nc2ccccc2[nH]1 ZINC000736138952 598348206 /nfs/dbraw/zinc/34/82/06/598348206.db2.gz SOXSHBRFCPXHLP-MRVPVSSYSA-N -1 1 307.321 1.706 20 0 DDADMM C[C@@H](Nc1nccnc1-c1nn[n-]n1)c1nc2ccccc2[nH]1 ZINC000736138952 598348208 /nfs/dbraw/zinc/34/82/08/598348208.db2.gz SOXSHBRFCPXHLP-MRVPVSSYSA-N -1 1 307.321 1.706 20 0 DDADMM O[C@H](CNc1cnc(-c2nnn[n-]2)cn1)c1ccc(Cl)cc1 ZINC000738385220 598386046 /nfs/dbraw/zinc/38/60/46/598386046.db2.gz XDOVPYFDFZPYJD-LLVKDONJSA-N -1 1 317.740 1.456 20 0 DDADMM O[C@H](CNc1cnc(-c2nn[n-]n2)cn1)c1ccc(Cl)cc1 ZINC000738385220 598386047 /nfs/dbraw/zinc/38/60/47/598386047.db2.gz XDOVPYFDFZPYJD-LLVKDONJSA-N -1 1 317.740 1.456 20 0 DDADMM CCn1c2ccc(F)cc2nc1Cn1cnc(-c2nn[n-]n2)n1 ZINC000736712788 598439432 /nfs/dbraw/zinc/43/94/32/598439432.db2.gz CCFGLPPHVXADQF-UHFFFAOYSA-N -1 1 313.300 1.015 20 0 DDADMM CC[C@@H](Cc1ccccc1)C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000736349132 598779338 /nfs/dbraw/zinc/77/93/38/598779338.db2.gz DSAGTRAXQDWOLE-NSHDSACASA-N -1 1 311.349 1.555 20 0 DDADMM Cc1nc(C2(NCc3ccc(-c4nnn[n-]4)o3)CCCC2)no1 ZINC000822431887 599423561 /nfs/dbraw/zinc/42/35/61/599423561.db2.gz NTECFAMSNBWRQA-UHFFFAOYSA-N -1 1 315.337 1.710 20 0 DDADMM Cc1nc(C2(NCc3ccc(-c4nn[n-]n4)o3)CCCC2)no1 ZINC000822431887 599423563 /nfs/dbraw/zinc/42/35/63/599423563.db2.gz NTECFAMSNBWRQA-UHFFFAOYSA-N -1 1 315.337 1.710 20 0 DDADMM Cc1ncsc1CCn1nc(C)c(C)c(-c2nn[n-]n2)c1=O ZINC000737503177 599521160 /nfs/dbraw/zinc/52/11/60/599521160.db2.gz KTIICFXVGOWTTB-UHFFFAOYSA-N -1 1 317.378 1.048 20 0 DDADMM CCC(=O)N[C@H]1CCCN([C@H](C(=O)[O-])c2ccc(F)cc2)C1 ZINC000736846044 599714554 /nfs/dbraw/zinc/71/45/54/599714554.db2.gz BCHVGFQFIZEVSJ-ZFWWWQNUSA-N -1 1 308.353 1.942 20 0 DDADMM COCC(=O)NC1CCN(Cc2ccc(F)cc2C(=O)[O-])CC1 ZINC000737736467 600086065 /nfs/dbraw/zinc/08/60/65/600086065.db2.gz VCFDZNCTYCIEBA-UHFFFAOYSA-N -1 1 324.352 1.251 20 0 DDADMM C[C@@H](O)[C@H]1CCCCN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000736673343 600090659 /nfs/dbraw/zinc/09/06/59/600090659.db2.gz AYDSBQABAKEFOF-BXUZGUMPSA-N -1 1 306.362 1.559 20 0 DDADMM CCC[C@](C)(NCC(=O)N1CC(=O)Nc2ccccc21)C(=O)[O-] ZINC000736960132 600153811 /nfs/dbraw/zinc/15/38/11/600153811.db2.gz LJLQEOJFESNPIS-INIZCTEOSA-N -1 1 319.361 1.205 20 0 DDADMM CN(C(=O)CN1CCC2(C[C@@H]2C(=O)[O-])CC1)c1ccccc1 ZINC000405461617 600296899 /nfs/dbraw/zinc/29/68/99/600296899.db2.gz XHISRRVUFBCAGF-CQSZACIVSA-N -1 1 302.374 1.836 20 0 DDADMM CN(Cc1noc(CCCC(=O)[O-])n1)[C@@H]1CC[N@H+](C2CC2)C1 ZINC000737500249 600336702 /nfs/dbraw/zinc/33/67/02/600336702.db2.gz IRPYQDLGCFSWKZ-GFCCVEGCSA-N -1 1 308.382 1.145 20 0 DDADMM O=C([O-])c1ccoc1CN1CCC[C@H](N2CCCCC2=O)C1 ZINC000740248866 600500325 /nfs/dbraw/zinc/50/03/25/600500325.db2.gz JEWSHTZCIWHRRW-LBPRGKRZSA-N -1 1 306.362 1.955 20 0 DDADMM CN(Cc1ccco1)C(=O)CN1[C@@H]2CCCC[C@@H]2C[C@H]1C(=O)[O-] ZINC000320743290 600504830 /nfs/dbraw/zinc/50/48/30/600504830.db2.gz BANCBLIXABXBTJ-YUELXQCFSA-N -1 1 320.389 1.956 20 0 DDADMM CN(Cc1ccco1)C(=O)CN(CCC(=O)[O-])C[C@@H]1CCCO1 ZINC000737494138 600513009 /nfs/dbraw/zinc/51/30/09/600513009.db2.gz LDHPHSJSGCWEGD-AWEZNQCLSA-N -1 1 324.377 1.194 20 0 DDADMM O=C([O-])CCCc1nnc(NC(=O)c2ncccc2O)s1 ZINC000739712419 600602659 /nfs/dbraw/zinc/60/26/59/600602659.db2.gz UQXHANJSSXWTMM-UHFFFAOYSA-N -1 1 308.319 1.298 20 0 DDADMM Cc1ccc(C[C@H]2CCCN2Cn2cnc(C(=O)[O-])n2)cc1 ZINC000738588972 600637051 /nfs/dbraw/zinc/63/70/51/600637051.db2.gz IBHRMRHSEHJQTD-CQSZACIVSA-N -1 1 300.362 1.949 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N(C)[C@@H]1CCCC[C@H]1C ZINC000737794286 600640588 /nfs/dbraw/zinc/64/05/88/600640588.db2.gz LCSACWDGSLBJKW-ZIAGYGMSSA-N -1 1 314.426 1.447 20 0 DDADMM C[C@@]1(c2ccccc2)CCCN(CC(=O)NCCC(=O)[O-])C1 ZINC000736743443 600643957 /nfs/dbraw/zinc/64/39/57/600643957.db2.gz YUXODUPKRRUDOJ-QGZVFWFLSA-N -1 1 304.390 1.631 20 0 DDADMM O=C([O-])[C@@H]1CCN(CN2C(=O)C[C@@H]2c2ccc(Cl)cc2)C1 ZINC000819286608 600711775 /nfs/dbraw/zinc/71/17/75/600711775.db2.gz CQIAIPAYAFGPTN-DGCLKSJQSA-N -1 1 308.765 1.977 20 0 DDADMM Cc1nnc(SCCC(=O)Nc2cccc(C(=O)[O-])c2)[nH]1 ZINC000833022208 600835255 /nfs/dbraw/zinc/83/52/55/600835255.db2.gz ZGBRRRLQMJCZIS-UHFFFAOYSA-N -1 1 306.347 1.932 20 0 DDADMM O=C([O-])[C@H](c1ccccc1F)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000833174040 600998267 /nfs/dbraw/zinc/99/82/67/600998267.db2.gz LHAWMRTZDSYMBB-XHSDSOJGSA-N -1 1 322.380 1.482 20 0 DDADMM CCc1nc(NC(=O)CN[C@](C)(C(=O)[O-])C2CC2)sc1C ZINC000830318399 601038761 /nfs/dbraw/zinc/03/87/61/601038761.db2.gz OOAXVXWBLYYKJQ-AWEZNQCLSA-N -1 1 311.407 1.795 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(Cl)cn2)CC1 ZINC000827375056 601099162 /nfs/dbraw/zinc/09/91/62/601099162.db2.gz OYUOQYJIWHJQIL-SNVBAGLBSA-N -1 1 311.769 1.356 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c(O)c1C ZINC000832910784 601122520 /nfs/dbraw/zinc/12/25/20/601122520.db2.gz AMHYHYKMTMXSMK-LBPRGKRZSA-N -1 1 320.389 1.630 20 0 DDADMM Cc1cc(CNS(=O)(=O)c2cc(C(=O)[O-])cc(C)c2C)n[nH]1 ZINC000832885356 601248311 /nfs/dbraw/zinc/24/83/11/601248311.db2.gz NPGFDRMSGGEFFJ-UHFFFAOYSA-N -1 1 323.374 1.512 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)N(C)Cc1nnc[nH]1 ZINC000832914164 601320797 /nfs/dbraw/zinc/32/07/97/601320797.db2.gz BUSSQBULOFBXBW-SNVBAGLBSA-N -1 1 317.349 1.270 20 0 DDADMM COc1ccc(OC)c(NC(=O)CN2C[C@@H](C)[C@H](C(=O)[O-])C2)c1 ZINC000832436059 601511417 /nfs/dbraw/zinc/51/14/17/601511417.db2.gz PXZRIQXJXRNRTA-ZYHUDNBSSA-N -1 1 322.361 1.295 20 0 DDADMM COc1ccccc1S(=O)(=O)Nc1csnc1C(=O)[O-] ZINC000832633899 601602826 /nfs/dbraw/zinc/60/28/26/601602826.db2.gz ZRQBMBAVJPLVAN-UHFFFAOYSA-N -1 1 314.344 1.651 20 0 DDADMM Cc1cc(CC(=O)OCc2cn3cccc(C(=O)[O-])c3n2)n[nH]1 ZINC000832883116 601610135 /nfs/dbraw/zinc/61/01/35/601610135.db2.gz HZFYIEFKFPWAOK-UHFFFAOYSA-N -1 1 314.301 1.350 20 0 DDADMM COc1ccc([C@H](CC(=O)[O-])NC(=O)Cc2cc(C)n[nH]2)cc1 ZINC000832230551 601642159 /nfs/dbraw/zinc/64/21/59/601642159.db2.gz DNIAOIMKCNNFBL-AWEZNQCLSA-N -1 1 317.345 1.601 20 0 DDADMM Cc1nn(C)c(C)c1-c1cc(C(=O)N(CC(=O)[O-])C2CC2)n[nH]1 ZINC000833012823 601672785 /nfs/dbraw/zinc/67/27/85/601672785.db2.gz HHNVCJGSXRZFIT-UHFFFAOYSA-N -1 1 317.349 1.116 20 0 DDADMM CCOC(=O)[C@H](C)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000825486670 607521162 /nfs/dbraw/zinc/52/11/62/607521162.db2.gz VODJGSATBOKSMC-RXMQYKEDSA-N -1 1 316.774 1.588 20 0 DDADMM CCOC(=O)[C@H](C)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000825486670 607521164 /nfs/dbraw/zinc/52/11/64/607521164.db2.gz VODJGSATBOKSMC-RXMQYKEDSA-N -1 1 316.774 1.588 20 0 DDADMM Cn1cc(NS(=O)(=O)c2ccc(Cl)nc2)cc1C(=O)[O-] ZINC000833053480 601785263 /nfs/dbraw/zinc/78/52/63/601785263.db2.gz VRIKIGJKIUUDDU-UHFFFAOYSA-N -1 1 315.738 1.573 20 0 DDADMM C[C@H]1CN(CN2C(=O)CC3(CCCCC3)C2=O)C[C@H]1C(=O)[O-] ZINC000828452250 601815495 /nfs/dbraw/zinc/81/54/95/601815495.db2.gz ZFWVEFNWWAHMGM-NWDGAFQWSA-N -1 1 308.378 1.306 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CCC2(CC1)OCc1ccccc12 ZINC000826000131 601909643 /nfs/dbraw/zinc/90/96/43/601909643.db2.gz MYIYSWKNEKZZQC-GFCCVEGCSA-N -1 1 318.373 1.097 20 0 DDADMM COc1ccccc1NC(=O)[C@@H](C)N1CC[C@](C)(C(=O)[O-])C1 ZINC000832622632 601930750 /nfs/dbraw/zinc/93/07/50/601930750.db2.gz DWPIPHIOBMKRJS-BZNIZROVSA-N -1 1 306.362 1.819 20 0 DDADMM COc1ccc(OC)c(NC(=O)CN2CC[C@](C)(C(=O)[O-])C2)c1 ZINC000832435940 601945368 /nfs/dbraw/zinc/94/53/68/601945368.db2.gz LYUCORBZBDJLNR-INIZCTEOSA-N -1 1 322.361 1.439 20 0 DDADMM O=C([O-])NC[C@H]1CCN(CC(=O)NCCCc2ccccc2)C1 ZINC000740641075 601964108 /nfs/dbraw/zinc/96/41/08/601964108.db2.gz IEEJAJXPTJQSPW-OAHLLOKOSA-N -1 1 319.405 1.325 20 0 DDADMM CN(C)C(=O)c1cccc(CN2CCC[C@@H](N(C)C(=O)[O-])C2)c1 ZINC000739600292 601966041 /nfs/dbraw/zinc/96/60/41/601966041.db2.gz MZXFFYLNQREXDV-OAHLLOKOSA-N -1 1 319.405 1.963 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC000832847571 602028926 /nfs/dbraw/zinc/02/89/26/602028926.db2.gz MQXVBMNKGXWHIU-NSHDSACASA-N -1 1 305.256 1.674 20 0 DDADMM NC(=O)[C@H](NC[C@H]1CCCN(C(=O)[O-])C1)c1ccc(F)cc1 ZINC000740325539 602046448 /nfs/dbraw/zinc/04/64/48/602046448.db2.gz VHNXLRGGEYUOGW-ZWNOBZJWSA-N -1 1 309.341 1.332 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC000827283643 602102497 /nfs/dbraw/zinc/10/24/97/602102497.db2.gz WPXYENIKIUEUIK-GFCCVEGCSA-N -1 1 305.378 1.273 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H]3CCC[C@@H](C(=O)[O-])C3)C2)n[nH]1 ZINC000832996833 602242513 /nfs/dbraw/zinc/24/25/13/602242513.db2.gz HHHRRFDWNILQHI-JHJVBQTASA-N -1 1 320.393 1.710 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CCC[C@H](NC(=O)[O-])C1)c1ccccc1 ZINC000737839624 602319047 /nfs/dbraw/zinc/31/90/47/602319047.db2.gz ACBNZJGMHQILGW-LSDHHAIUSA-N -1 1 319.405 1.938 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000739316539 602340760 /nfs/dbraw/zinc/34/07/60/602340760.db2.gz SSVYNSULJANZBY-QWHCGFSZSA-N -1 1 323.393 1.969 20 0 DDADMM CC(C)CN1CCN(C(=O)[C@@H](NC(=O)[O-])c2ccccc2)CC1 ZINC000738823011 602350886 /nfs/dbraw/zinc/35/08/86/602350886.db2.gz DHPQEFAJDPYTDE-HNNXBMFYSA-N -1 1 319.405 1.796 20 0 DDADMM O=C([O-])NC1CCC(C(=O)N2CCN(C3CCCC3)CC2)CC1 ZINC000740553904 602379356 /nfs/dbraw/zinc/37/93/56/602379356.db2.gz RQFJKMKBSCZHNK-UHFFFAOYSA-N -1 1 323.437 1.900 20 0 DDADMM CCC(CC)[C@H](CCNc1nccnc1-c1nnn[n-]1)OC ZINC000825096599 607564621 /nfs/dbraw/zinc/56/46/21/607564621.db2.gz OKFWDUVSFJAWGS-NSHDSACASA-N -1 1 305.386 1.910 20 0 DDADMM CCC(CC)[C@H](CCNc1nccnc1-c1nn[n-]n1)OC ZINC000825096599 607564622 /nfs/dbraw/zinc/56/46/22/607564622.db2.gz OKFWDUVSFJAWGS-NSHDSACASA-N -1 1 305.386 1.910 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)c2cc(-c3ccco3)[nH]n2)C1)C(=O)[O-] ZINC000739657722 602484690 /nfs/dbraw/zinc/48/46/90/602484690.db2.gz KZIKCVTVBWGBFY-JTQLQIEISA-N -1 1 318.333 1.742 20 0 DDADMM C[C@@](CNC(=O)[O-])(NC(=O)c1[nH]nc2c1CCCC2)C1CC1 ZINC000738928554 602505087 /nfs/dbraw/zinc/50/50/87/602505087.db2.gz WXJSQLIZHRNQRT-HNNXBMFYSA-N -1 1 306.366 1.455 20 0 DDADMM CN(C)C(=O)[C@@H](c1ccccc1)N1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000739593386 602536540 /nfs/dbraw/zinc/53/65/40/602536540.db2.gz DPZJTRNEZSPFBH-DZGCQCFKSA-N -1 1 319.405 1.748 20 0 DDADMM C[C@H]1CCCC[C@]1(CNC(=O)[O-])NCc1nnc2n1CCCC2 ZINC000739104761 602553025 /nfs/dbraw/zinc/55/30/25/602553025.db2.gz WVDJWGIZFWDMOD-BLLLJJGKSA-N -1 1 321.425 1.921 20 0 DDADMM C[C@@H]1CCCC[C@@]1(CNC(=O)[O-])NCc1nnc(C2CC2)n1C ZINC000739103152 602553822 /nfs/dbraw/zinc/55/38/22/602553822.db2.gz TUMKZJVZVKNNNU-BZNIZROVSA-N -1 1 321.425 1.999 20 0 DDADMM CC(C)[C@H](C)NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000824193291 607574709 /nfs/dbraw/zinc/57/47/09/607574709.db2.gz WISQNAJWTAHAAO-QMMMGPOBSA-N -1 1 313.358 1.329 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCC[N@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC000739002332 602678875 /nfs/dbraw/zinc/67/88/75/602678875.db2.gz PYOUVPXVAIFAQP-PWSUYJOCSA-N -1 1 319.365 1.033 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)C[C@@H]2CCCN(C(=O)[O-])C2)c1 ZINC000740195689 602704697 /nfs/dbraw/zinc/70/46/97/602704697.db2.gz NFDBHIDDWXRPSF-ZDUSSCGKSA-N -1 1 320.393 1.650 20 0 DDADMM COC(=O)c1cc(CN2CC[C@H](NC(=O)[O-])[C@H](C)C2)c(C)o1 ZINC000739777367 602783998 /nfs/dbraw/zinc/78/39/98/602783998.db2.gz IVIVSVKMHDIWAY-SKDRFNHKSA-N -1 1 310.350 1.853 20 0 DDADMM Cc1cc(NC(=O)CCN2C[C@H](C)N(C(=O)[O-])[C@@H](C)C2)no1 ZINC000740083567 602834999 /nfs/dbraw/zinc/83/49/99/602834999.db2.gz DWVCQSFJDIOBHX-UWVGGRQHSA-N -1 1 310.354 1.384 20 0 DDADMM CC[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(NC(=O)[O-])cc1O ZINC000739454168 602842859 /nfs/dbraw/zinc/84/28/59/602842859.db2.gz JTSNQKHGFOWIJO-LLVKDONJSA-N -1 1 307.350 1.696 20 0 DDADMM CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739454168 602842861 /nfs/dbraw/zinc/84/28/61/602842861.db2.gz JTSNQKHGFOWIJO-LLVKDONJSA-N -1 1 307.350 1.696 20 0 DDADMM CC[N@H+]1CCC[C@@H]1CNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739454168 602842865 /nfs/dbraw/zinc/84/28/65/602842865.db2.gz JTSNQKHGFOWIJO-LLVKDONJSA-N -1 1 307.350 1.696 20 0 DDADMM CSCC[C@H](NCCNC(=O)[O-])c1nnc2ccccn21 ZINC000740006479 602874613 /nfs/dbraw/zinc/87/46/13/602874613.db2.gz ALLIZSRWMKYBNZ-JTQLQIEISA-N -1 1 309.395 1.381 20 0 DDADMM COCCN1CC[C@@H](NC(=O)c2ccc(C)c(NC(=O)[O-])c2)C1 ZINC000828985399 602885924 /nfs/dbraw/zinc/88/59/24/602885924.db2.gz PRIOHBWIAKSIKN-CYBMUJFWSA-N -1 1 321.377 1.535 20 0 DDADMM CCCN(C(=O)NCc1cc(CC)n[nH]1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739353471 602916679 /nfs/dbraw/zinc/91/66/79/602916679.db2.gz STFFKPNSCDIQJC-ZDUSSCGKSA-N -1 1 323.397 1.646 20 0 DDADMM CC(C)CN1CCO[C@@H](COC(=O)[C@H]2CCCN2C(=O)[O-])C1 ZINC000738824219 602964084 /nfs/dbraw/zinc/96/40/84/602964084.db2.gz JFDHNFOMURIJQJ-CHWSQXEVSA-N -1 1 314.382 1.029 20 0 DDADMM Cc1oc(CO)cc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826340883 607598113 /nfs/dbraw/zinc/59/81/13/607598113.db2.gz OFAMQLPKYPQXNP-UHFFFAOYSA-N -1 1 315.289 1.012 20 0 DDADMM Cc1oc(CO)cc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826340883 607598116 /nfs/dbraw/zinc/59/81/16/607598116.db2.gz OFAMQLPKYPQXNP-UHFFFAOYSA-N -1 1 315.289 1.012 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCN(c3ccc(Cl)cn3)CC2)C1 ZINC000740502182 602979917 /nfs/dbraw/zinc/97/99/17/602979917.db2.gz FHBLFYKGCCOSQU-LBPRGKRZSA-N -1 1 324.812 1.857 20 0 DDADMM CCCc1nnc([C@@H]2CN(C[C@@H]3CCN(C(=O)[O-])C3)CCO2)[nH]1 ZINC000739380288 603073252 /nfs/dbraw/zinc/07/32/52/603073252.db2.gz UABTVBHVTKGYKI-RYUDHWBXSA-N -1 1 323.397 1.130 20 0 DDADMM CCCc1n[nH]c([C@@H]2CN(C[C@@H]3CCN(C(=O)[O-])C3)CCO2)n1 ZINC000739380288 603073256 /nfs/dbraw/zinc/07/32/56/603073256.db2.gz UABTVBHVTKGYKI-RYUDHWBXSA-N -1 1 323.397 1.130 20 0 DDADMM O=C([O-])NCCN1CCC(c2nc(-c3ccccn3)no2)CC1 ZINC000740660013 603084730 /nfs/dbraw/zinc/08/47/30/603084730.db2.gz YJYAALBMSFFQFB-UHFFFAOYSA-N -1 1 317.349 1.579 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCC[C@@H]2CNC(=O)[O-])c1 ZINC000829925141 603113189 /nfs/dbraw/zinc/11/31/89/603113189.db2.gz PBSWYXHVSYRPEX-LLVKDONJSA-N -1 1 302.334 1.744 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)[C@@H](O)c1ccccc1 ZINC000826467448 607614481 /nfs/dbraw/zinc/61/44/81/607614481.db2.gz WOOGATSGWSLGIZ-ZDUSSCGKSA-N -1 1 311.301 1.039 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)[C@@H](O)c1ccccc1 ZINC000826467448 607614483 /nfs/dbraw/zinc/61/44/83/607614483.db2.gz WOOGATSGWSLGIZ-ZDUSSCGKSA-N -1 1 311.301 1.039 20 0 DDADMM CC(C)CN1CCN(C(=O)N[C@H]2CCCN(C(=O)[O-])C2)CC1 ZINC000736444033 603299938 /nfs/dbraw/zinc/29/99/38/603299938.db2.gz VNEGPKLSGZLEGR-ZDUSSCGKSA-N -1 1 312.414 1.112 20 0 DDADMM Cc1cc(C)n(C[C@H]2CN(C[C@H]3CCN(C(=O)[O-])C3)CCO2)n1 ZINC000740069300 603339605 /nfs/dbraw/zinc/33/96/05/603339605.db2.gz NFNMVGLNHSPBRV-HUUCEWRRSA-N -1 1 322.409 1.201 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(-c3ccco3)[nH]n2)CC[C@H]1NC(=O)[O-] ZINC000739163674 603371326 /nfs/dbraw/zinc/37/13/26/603371326.db2.gz GMVNUUWZRQNUSF-VHSXEESVSA-N -1 1 318.333 1.788 20 0 DDADMM O=C([O-])N1CC[C@H](CSc2nc(-c3cccnc3)n[nH]2)C1 ZINC000740502959 603374375 /nfs/dbraw/zinc/37/43/75/603374375.db2.gz VWUUKMVYJBRYHD-VIFPVBQESA-N -1 1 305.363 1.959 20 0 DDADMM CC(=O)c1ccccc1OCCN(C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000823640976 603482274 /nfs/dbraw/zinc/48/22/74/603482274.db2.gz NNEMOYXWXVHYKO-CYBMUJFWSA-N -1 1 306.362 1.952 20 0 DDADMM CCN(C)[C@H](C(=O)N1CC[C@H](N(C)C(=O)[O-])C1)c1ccccc1 ZINC000826988620 603554915 /nfs/dbraw/zinc/55/49/15/603554915.db2.gz LUPLVXGZHNFRKA-GJZGRUSLSA-N -1 1 319.405 1.890 20 0 DDADMM CCCCN(CN1C[C@@H]2CN(C(=O)[O-])CCN2C1=O)C1CC1 ZINC000826830921 603597757 /nfs/dbraw/zinc/59/77/57/603597757.db2.gz VVLQLDZDTBOYRY-ZDUSSCGKSA-N -1 1 310.398 1.308 20 0 DDADMM Cc1nnc(SCC(=O)NCCCN(C(=O)[O-])C(C)C)[nH]1 ZINC000830677018 603750302 /nfs/dbraw/zinc/75/03/02/603750302.db2.gz BYBQGCYXFGGVNR-UHFFFAOYSA-N -1 1 315.399 1.100 20 0 DDADMM CC(C)CN1CCO[C@@H](COC(=O)C(C)(C)CNC(=O)[O-])C1 ZINC000824262614 603787130 /nfs/dbraw/zinc/78/71/30/603787130.db2.gz DFXWHWWZWSOBTP-GFCCVEGCSA-N -1 1 316.398 1.180 20 0 DDADMM CC(C)[C@@H](CCN(C)Cc1cnc2ccnn2c1)NC(=O)[O-] ZINC000824105021 603823482 /nfs/dbraw/zinc/82/34/82/603823482.db2.gz MFPJTEMRDPXYGG-CYBMUJFWSA-N -1 1 305.382 1.843 20 0 DDADMM Cc1nn(C[C@H](C)C(F)(F)F)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334089 607656786 /nfs/dbraw/zinc/65/67/86/607656786.db2.gz HMLKVMBELPYVFR-YFKPBYRVSA-N -1 1 302.260 1.239 20 0 DDADMM O=C([O-])NC1(CC(=O)NCc2nc3ccc(F)cc3[nH]2)CCC1 ZINC000832207318 603938204 /nfs/dbraw/zinc/93/82/04/603938204.db2.gz WGWQOWHISACNMY-UHFFFAOYSA-N -1 1 320.324 1.899 20 0 DDADMM C[C@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000825094236 603943195 /nfs/dbraw/zinc/94/31/95/603943195.db2.gz RCGNAYGUMJRCSS-QJPTWQEYSA-N -1 1 320.393 1.724 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000832340349 604058706 /nfs/dbraw/zinc/05/87/06/604058706.db2.gz QVTNEBVYYPNMAZ-UWVGGRQHSA-N -1 1 320.324 1.755 20 0 DDADMM CCc1cc(CNC(=O)N2CCC[C@@H]([C@@H](C)NC(=O)[O-])C2)[nH]n1 ZINC000827565378 604262916 /nfs/dbraw/zinc/26/29/16/604262916.db2.gz TVISCHIOIUKRIQ-GHMZBOCLSA-N -1 1 323.397 1.550 20 0 DDADMM O=C([O-])NC1(C(=O)N[C@@H]2CCN(Cc3ccccc3)C2)CC1 ZINC000832155754 604422264 /nfs/dbraw/zinc/42/22/64/604422264.db2.gz OSTXJYONAXIANB-CYBMUJFWSA-N -1 1 303.362 1.177 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CC[C@@H](NC(=O)[O-])C1)c1ccccc1 ZINC000739384560 604462909 /nfs/dbraw/zinc/46/29/09/604462909.db2.gz IBPRBOCOYMKZCP-ZIAGYGMSSA-N -1 1 305.378 1.548 20 0 DDADMM C[C@H](C(=O)c1c[nH]c2ncccc12)N1CCC[C@@H](C(=O)[O-])C1 ZINC000833407977 604469994 /nfs/dbraw/zinc/46/99/94/604469994.db2.gz BAWKVBXULNIRSV-GHMZBOCLSA-N -1 1 301.346 1.931 20 0 DDADMM C[C@@H](Oc1ccc(CN(C)[C@@H]2CCCCNC2=O)cc1)C(=O)[O-] ZINC000833536760 604619340 /nfs/dbraw/zinc/61/93/40/604619340.db2.gz DVHHBXOLTUFKEE-IUODEOHRSA-N -1 1 320.389 1.639 20 0 DDADMM Cc1cncc(/C=C\C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000832986665 604712790 /nfs/dbraw/zinc/71/27/90/604712790.db2.gz KEJGVLHSCMZFKW-VQTKUKTRSA-N -1 1 317.389 1.411 20 0 DDADMM COC(=O)c1ccccc1CN1CCC([C@H](O)C(=O)[O-])CC1 ZINC000833717613 604760434 /nfs/dbraw/zinc/76/04/34/604760434.db2.gz SOBCTPVTPMBNQW-AWEZNQCLSA-N -1 1 307.346 1.131 20 0 DDADMM Cc1cc(Cn2cc(-c3nn[n-]n3)c(=O)c3nc(C)ccc32)on1 ZINC000826268065 607716201 /nfs/dbraw/zinc/71/62/01/607716201.db2.gz IPYAKXPIWKMVCY-UHFFFAOYSA-N -1 1 323.316 1.230 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC000833767676 604897732 /nfs/dbraw/zinc/89/77/32/604897732.db2.gz LYEUBTSOGQTQLY-MJBXVCDLSA-N -1 1 317.320 1.464 20 0 DDADMM CCO[C@H]1C[C@H](N(C)CC(=O)NCC(=O)[O-])C1(CC)CC ZINC000262287412 604954338 /nfs/dbraw/zinc/95/43/38/604954338.db2.gz XVVQSKIWUMRQDP-RYUDHWBXSA-N -1 1 300.399 1.103 20 0 DDADMM O=C([O-])[C@H](O)C1CCN(C[C@@H](O)c2cccc(Cl)c2)CC1 ZINC000833771285 604979545 /nfs/dbraw/zinc/97/95/45/604979545.db2.gz ZLTAEYDIUCYOER-ZIAGYGMSSA-N -1 1 313.781 1.531 20 0 DDADMM O=C([O-])[C@H](O)C1CCN(Cc2cc(-c3ccccc3)no2)CC1 ZINC000833770487 604985297 /nfs/dbraw/zinc/98/52/97/604985297.db2.gz HMPQGKNYQVUUQG-MRXNPFEDSA-N -1 1 316.357 1.999 20 0 DDADMM CCc1nc([C@H](C)NC(=O)c2ccccc2NC(=O)[O-])n[nH]1 ZINC000833674105 605072334 /nfs/dbraw/zinc/07/23/34/605072334.db2.gz PWMNDDQMZDGSOP-QMMMGPOBSA-N -1 1 303.322 1.948 20 0 DDADMM CC(C)Cn1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)cn1 ZINC000833494954 605090717 /nfs/dbraw/zinc/09/07/17/605090717.db2.gz UWNZPUJZKWXQQD-ZDUSSCGKSA-N -1 1 322.409 1.160 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](NC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823664957 605131738 /nfs/dbraw/zinc/13/17/38/605131738.db2.gz YRHPTUZFXTVLCT-OCCSQVGLSA-N -1 1 305.378 1.375 20 0 DDADMM C[C@@H]1C[C@H](c2ccc(F)cc2)CN1CN1C[C@H](C(=O)[O-])CC1=O ZINC000833598613 605172519 /nfs/dbraw/zinc/17/25/19/605172519.db2.gz SFXRDERXUWFKOP-KWCYVHTRSA-N -1 1 320.364 1.894 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Cl)cc2)CCN1CCC(=O)[O-] ZINC000833621605 605256836 /nfs/dbraw/zinc/25/68/36/605256836.db2.gz KSWKLFFSICMYLR-NSHDSACASA-N -1 1 310.781 1.961 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NC[C@H]2CCCN2C(=O)[O-])n[nH]1 ZINC000830036252 605292317 /nfs/dbraw/zinc/29/23/17/605292317.db2.gz MCPLSGNFWDNKLM-JOYOIKCWSA-N -1 1 309.370 1.091 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NC[C@@H](NC(=O)[O-])C(C)C)n[nH]1 ZINC000830036634 605301508 /nfs/dbraw/zinc/30/15/08/605301508.db2.gz WWUOIFCREDVAHO-BXKDBHETSA-N -1 1 311.386 1.241 20 0 DDADMM CCCN(C)CC(=O)Nc1cnc2c(c1)C[C@@H](NC(=O)[O-])CC2 ZINC000833852790 605328553 /nfs/dbraw/zinc/32/85/53/605328553.db2.gz DXSSLEQTLLDRAZ-LBPRGKRZSA-N -1 1 320.393 1.487 20 0 DDADMM CC(C)N(CCC(=O)Nc1cc(-c2nccn2C)n[nH]1)C(=O)[O-] ZINC000824341503 605336198 /nfs/dbraw/zinc/33/61/98/605336198.db2.gz MIIMUJRLTVUWQX-UHFFFAOYSA-N -1 1 320.353 1.527 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@H](C(=O)Nc2ccccc2-c2nnc[nH]2)C1 ZINC000834169283 605381782 /nfs/dbraw/zinc/38/17/82/605381782.db2.gz KURWAZTXKJNZMB-VHSXEESVSA-N -1 1 315.333 1.847 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(CNC(=O)[O-])cc2)[C@H](C)CN1C ZINC000833837851 605531452 /nfs/dbraw/zinc/53/14/52/605531452.db2.gz UJRYSZQFCUWUNT-VXGBXAGGSA-N -1 1 305.378 1.619 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CC[C@@H]2CCS(=O)(=O)C2)C1 ZINC000833811916 605605356 /nfs/dbraw/zinc/60/53/56/605605356.db2.gz FSRFBYLTQPJVAJ-YNEHKIRRSA-N -1 1 318.439 1.179 20 0 DDADMM Cn1cnnc1[C@H]1CCCN1CCC1CCN(C(=O)[O-])CC1 ZINC000833955422 605618965 /nfs/dbraw/zinc/61/89/65/605618965.db2.gz BEPNZOITUGANLC-CYBMUJFWSA-N -1 1 307.398 1.732 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCS[C@H]3COCC[C@H]32)cn1 ZINC000834259723 605672651 /nfs/dbraw/zinc/67/26/51/605672651.db2.gz GLUULTMFRXQBKN-NEPJUHHUSA-N -1 1 309.391 1.878 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NC1CCCCCC1 ZINC000833830555 605880958 /nfs/dbraw/zinc/88/09/58/605880958.db2.gz KKUPZTVFFBIQHD-OLZOCXBDSA-N -1 1 311.426 1.803 20 0 DDADMM C[C@@H](C(=O)Nc1ccccc1)N1C[C@H](CNC(=O)[O-])C[C@H]1C ZINC000833831755 605885024 /nfs/dbraw/zinc/88/50/24/605885024.db2.gz PPMSEBKCLRWHKU-AGIUHOORSA-N -1 1 305.378 1.992 20 0 DDADMM O=C([O-])N1CC[C@@H](CN[C@H](CO)c2c(F)cccc2F)C1 ZINC000834077245 605933331 /nfs/dbraw/zinc/93/33/31/605933331.db2.gz DDWPEIHSCYBHAK-JOYOIKCWSA-N -1 1 300.305 1.588 20 0 DDADMM CC(C)[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)N(C)C ZINC000820025861 606135191 /nfs/dbraw/zinc/13/51/91/606135191.db2.gz DRFLYPWOKVNMKP-SNVBAGLBSA-N -1 1 323.378 1.198 20 0 DDADMM CC(C)[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)N(C)C ZINC000820025861 606135193 /nfs/dbraw/zinc/13/51/93/606135193.db2.gz DRFLYPWOKVNMKP-SNVBAGLBSA-N -1 1 323.378 1.198 20 0 DDADMM CC[C@@H]1CCC[C@@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820971927 606171799 /nfs/dbraw/zinc/17/17/99/606171799.db2.gz IFCKJDFETGUUGR-NXEZZACHSA-N -1 1 318.385 1.691 20 0 DDADMM CC[C@@H]1CCC[C@@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820971927 606171800 /nfs/dbraw/zinc/17/18/00/606171800.db2.gz IFCKJDFETGUUGR-NXEZZACHSA-N -1 1 318.385 1.691 20 0 DDADMM CO[C@@H](C)c1nc(Cn2cccc(-c3nn[n-]n3)c2=O)cs1 ZINC000821675324 606177265 /nfs/dbraw/zinc/17/72/65/606177265.db2.gz IUDPTJWTDYVSSL-QMMMGPOBSA-N -1 1 318.362 1.241 20 0 DDADMM CNC(=O)c1cnc(C=Cc2ccc(-c3nn[n-]n3)s2)s1 ZINC000821636554 606390614 /nfs/dbraw/zinc/39/06/14/606390614.db2.gz UZDLTHNNIIYWOX-HYXAFXHYSA-N -1 1 318.387 1.915 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820875744 606462273 /nfs/dbraw/zinc/46/22/73/606462273.db2.gz DUVHOXWWVQPRNE-JGVFFNPUSA-N -1 1 323.788 1.630 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820875744 606462274 /nfs/dbraw/zinc/46/22/74/606462274.db2.gz DUVHOXWWVQPRNE-JGVFFNPUSA-N -1 1 323.788 1.630 20 0 DDADMM CC(C)(C)OC(=O)CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000819928431 606465382 /nfs/dbraw/zinc/46/53/82/606465382.db2.gz OWEVIPAYUWFXOO-UHFFFAOYSA-N -1 1 310.745 1.669 20 0 DDADMM CC(C)(C)OC(=O)CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000819928431 606465384 /nfs/dbraw/zinc/46/53/84/606465384.db2.gz OWEVIPAYUWFXOO-UHFFFAOYSA-N -1 1 310.745 1.669 20 0 DDADMM CSC[C@@](C)(O)CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821955795 606468476 /nfs/dbraw/zinc/46/84/76/606468476.db2.gz BRGMSSYTKQPBKP-NSHDSACASA-N -1 1 314.802 1.441 20 0 DDADMM CSC[C@@](C)(O)CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821955795 606468478 /nfs/dbraw/zinc/46/84/78/606468478.db2.gz BRGMSSYTKQPBKP-NSHDSACASA-N -1 1 314.802 1.441 20 0 DDADMM CCc1cccc(OCC(=O)Nc2n[nH]cc2-c2nnn[n-]2)c1 ZINC000821492350 606531855 /nfs/dbraw/zinc/53/18/55/606531855.db2.gz MTVOQWDGIFQQBM-UHFFFAOYSA-N -1 1 313.321 1.170 20 0 DDADMM CCc1cccc(OCC(=O)Nc2n[nH]cc2-c2nn[n-]n2)c1 ZINC000821492350 606531857 /nfs/dbraw/zinc/53/18/57/606531857.db2.gz MTVOQWDGIFQQBM-UHFFFAOYSA-N -1 1 313.321 1.170 20 0 DDADMM Cc1nn(C)cc1[C@@H](C)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822496407 606547691 /nfs/dbraw/zinc/54/76/91/606547691.db2.gz MBLNMHGZKNANSS-SSDOTTSWSA-N -1 1 317.378 1.461 20 0 DDADMM Cc1nn(C)cc1[C@@H](C)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822496407 606547694 /nfs/dbraw/zinc/54/76/94/606547694.db2.gz MBLNMHGZKNANSS-SSDOTTSWSA-N -1 1 317.378 1.461 20 0 DDADMM CC[C@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)C1CCOCC1 ZINC000820919480 606580905 /nfs/dbraw/zinc/58/09/05/606580905.db2.gz CSCUKKOOXVHICK-JTQLQIEISA-N -1 1 321.406 1.863 20 0 DDADMM CC[C@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)C1CCOCC1 ZINC000820919480 606580906 /nfs/dbraw/zinc/58/09/06/606580906.db2.gz CSCUKKOOXVHICK-JTQLQIEISA-N -1 1 321.406 1.863 20 0 DDADMM O=C(NCc1ccc(O)c(F)c1)c1ccc(-c2nn[n-]n2)s1 ZINC000823254412 606599171 /nfs/dbraw/zinc/59/91/71/606599171.db2.gz UAUYFYCIKAPNRO-UHFFFAOYSA-N -1 1 319.321 1.703 20 0 DDADMM O=C(OCC[C@H]1CCOC1=O)c1sccc1-c1nn[n-]n1 ZINC000823400740 606635459 /nfs/dbraw/zinc/63/54/59/606635459.db2.gz IYYKBYPVKSPTEE-SSDOTTSWSA-N -1 1 308.319 1.038 20 0 DDADMM Cc1nc(C)c(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)s1 ZINC000822427060 606653560 /nfs/dbraw/zinc/65/35/60/606653560.db2.gz BIWNQWNCSKJNFD-UHFFFAOYSA-N -1 1 316.346 1.692 20 0 DDADMM Cc1nc(C)c(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)s1 ZINC000822427060 606653561 /nfs/dbraw/zinc/65/35/61/606653561.db2.gz BIWNQWNCSKJNFD-UHFFFAOYSA-N -1 1 316.346 1.692 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)[C@@H]2C[C@H]2Cc2ccccc2)n1 ZINC000822605125 606684640 /nfs/dbraw/zinc/68/46/40/606684640.db2.gz ZSNREDXNYUXYCX-VXGBXAGGSA-N -1 1 323.360 1.418 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)[C@@H]2C[C@H]2Cc2ccccc2)n1 ZINC000822605125 606684641 /nfs/dbraw/zinc/68/46/41/606684641.db2.gz ZSNREDXNYUXYCX-VXGBXAGGSA-N -1 1 323.360 1.418 20 0 DDADMM CCC1(CC)CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820955384 606697003 /nfs/dbraw/zinc/69/70/03/606697003.db2.gz AQUMBJBJMUZTSZ-UHFFFAOYSA-N -1 1 318.385 1.644 20 0 DDADMM CCC1(CC)CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820955384 606697004 /nfs/dbraw/zinc/69/70/04/606697004.db2.gz AQUMBJBJMUZTSZ-UHFFFAOYSA-N -1 1 318.385 1.644 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCC[C@H]2COCC[C@@H]21 ZINC000823482913 606817956 /nfs/dbraw/zinc/81/79/56/606817956.db2.gz BYAJRNFNDFTJLD-UWVGGRQHSA-N -1 1 319.390 1.569 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCC[C@H]2COCC[C@@H]21 ZINC000823482913 606817958 /nfs/dbraw/zinc/81/79/58/606817958.db2.gz BYAJRNFNDFTJLD-UWVGGRQHSA-N -1 1 319.390 1.569 20 0 DDADMM CSc1[nH]c(=O)c(C(=O)NC2CC=CC2)cc1-c1nn[n-]n1 ZINC000821979599 607143904 /nfs/dbraw/zinc/14/39/04/607143904.db2.gz UFYCNQGKXZQGMN-UHFFFAOYSA-N -1 1 318.362 1.138 20 0 DDADMM O=C(COc1cccc(F)c1-c1nnn[n-]1)N1CCCCC1 ZINC000826418497 607865680 /nfs/dbraw/zinc/86/56/80/607865680.db2.gz JSQIOUXGZBHSIZ-UHFFFAOYSA-N -1 1 305.313 1.397 20 0 DDADMM O=C(COc1cccc(F)c1-c1nn[n-]n1)N1CCCCC1 ZINC000826418497 607865681 /nfs/dbraw/zinc/86/56/81/607865681.db2.gz JSQIOUXGZBHSIZ-UHFFFAOYSA-N -1 1 305.313 1.397 20 0 DDADMM O[C@@H](Cn1ccnc1-c1nnn[n-]1)c1ccc2ccccc2c1 ZINC000826505117 607868891 /nfs/dbraw/zinc/86/88/91/607868891.db2.gz NCSHWRWDFUDUBB-AWEZNQCLSA-N -1 1 306.329 1.950 20 0 DDADMM O[C@@H](Cn1ccnc1-c1nn[n-]n1)c1ccc2ccccc2c1 ZINC000826505117 607868892 /nfs/dbraw/zinc/86/88/92/607868892.db2.gz NCSHWRWDFUDUBB-AWEZNQCLSA-N -1 1 306.329 1.950 20 0 DDADMM C[C@@H](Nc1cccc(-c2nnn[n-]2)n1)[C@@H](O)c1cccc(F)c1 ZINC000824662559 607901360 /nfs/dbraw/zinc/90/13/60/607901360.db2.gz MUQCTWPHHPSHCA-YMTOWFKASA-N -1 1 314.324 1.935 20 0 DDADMM C[C@@H](Nc1cccc(-c2nn[n-]n2)n1)[C@@H](O)c1cccc(F)c1 ZINC000824662559 607901361 /nfs/dbraw/zinc/90/13/61/607901361.db2.gz MUQCTWPHHPSHCA-YMTOWFKASA-N -1 1 314.324 1.935 20 0 DDADMM CSc1ccc(OCCn2ccnc2-c2nnn[n-]2)cc1 ZINC000826230974 608014424 /nfs/dbraw/zinc/01/44/24/608014424.db2.gz RBXHYPWTAKHITH-UHFFFAOYSA-N -1 1 302.363 1.864 20 0 DDADMM CSc1ccc(OCCn2ccnc2-c2nn[n-]n2)cc1 ZINC000826230974 608014425 /nfs/dbraw/zinc/01/44/25/608014425.db2.gz RBXHYPWTAKHITH-UHFFFAOYSA-N -1 1 302.363 1.864 20 0 DDADMM COc1cc(COC(=O)c2sccc2-c2nn[n-]n2)ccn1 ZINC000826180202 608104185 /nfs/dbraw/zinc/10/41/85/608104185.db2.gz XJUMISHFDJBVGN-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM C[C@H]1C[C@@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCC1=O ZINC000824868313 608170314 /nfs/dbraw/zinc/17/03/14/608170314.db2.gz UTQQFJIMSRSSJG-WPRPVWTQSA-N -1 1 315.333 1.516 20 0 DDADMM C[C@@H](Cn1cncn1)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000824463654 608179811 /nfs/dbraw/zinc/17/98/11/608179811.db2.gz ABWCGGOAEDYCQQ-YFKPBYRVSA-N -1 1 311.762 1.069 20 0 DDADMM C[C@@H](Cn1cncn1)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000824463654 608179812 /nfs/dbraw/zinc/17/98/12/608179812.db2.gz ABWCGGOAEDYCQQ-YFKPBYRVSA-N -1 1 311.762 1.069 20 0 DDADMM CCc1nn(C)cc1Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825762521 608297388 /nfs/dbraw/zinc/29/73/88/608297388.db2.gz DHRQVSWCZZXVPU-UHFFFAOYSA-N -1 1 304.745 1.955 20 0 DDADMM CCc1nn(C)cc1Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825762521 608297389 /nfs/dbraw/zinc/29/73/89/608297389.db2.gz DHRQVSWCZZXVPU-UHFFFAOYSA-N -1 1 304.745 1.955 20 0 DDADMM C[C@@H](Cn1ccnc1)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000824463665 608365189 /nfs/dbraw/zinc/36/51/89/608365189.db2.gz AIDGQDJNOONSMH-QMMMGPOBSA-N -1 1 304.745 1.612 20 0 DDADMM C[C@@H](Cn1ccnc1)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000824463665 608365191 /nfs/dbraw/zinc/36/51/91/608365191.db2.gz AIDGQDJNOONSMH-QMMMGPOBSA-N -1 1 304.745 1.612 20 0 DDADMM O=C1Nc2ccccc2[C@@H]1CCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826483469 608393121 /nfs/dbraw/zinc/39/31/21/608393121.db2.gz ONGYIOZCNPTLEP-JTQLQIEISA-N -1 1 322.332 1.195 20 0 DDADMM O=C1Nc2ccccc2[C@@H]1CCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826483469 608393122 /nfs/dbraw/zinc/39/31/22/608393122.db2.gz ONGYIOZCNPTLEP-JTQLQIEISA-N -1 1 322.332 1.195 20 0 DDADMM Cc1ccccc1[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000826306480 608410866 /nfs/dbraw/zinc/41/08/66/608410866.db2.gz ADQCVGVTERYMRF-GFCCVEGCSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1ccccc1[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000826306480 608410868 /nfs/dbraw/zinc/41/08/68/608410868.db2.gz ADQCVGVTERYMRF-GFCCVEGCSA-N -1 1 307.361 1.959 20 0 DDADMM Brc1cncc(Oc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000823832340 608418885 /nfs/dbraw/zinc/41/88/85/608418885.db2.gz MTBCIIGXONUFSN-UHFFFAOYSA-N -1 1 320.110 1.607 20 0 DDADMM Brc1cncc(Oc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000823832340 608418887 /nfs/dbraw/zinc/41/88/87/608418887.db2.gz MTBCIIGXONUFSN-UHFFFAOYSA-N -1 1 320.110 1.607 20 0 DDADMM c1cc(N(C[C@@H]2CCCO2)C2CCCC2)nnc1-c1nnn[n-]1 ZINC000826516708 608425377 /nfs/dbraw/zinc/42/53/77/608425377.db2.gz XHEONQXIQKVJOB-LBPRGKRZSA-N -1 1 315.381 1.585 20 0 DDADMM c1cc(N(C[C@@H]2CCCO2)C2CCCC2)nnc1-c1nn[n-]n1 ZINC000826516708 608425378 /nfs/dbraw/zinc/42/53/78/608425378.db2.gz XHEONQXIQKVJOB-LBPRGKRZSA-N -1 1 315.381 1.585 20 0 DDADMM CCS[C@@H]1CC[C@H](N(C)c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000825668868 608426611 /nfs/dbraw/zinc/42/66/11/608426611.db2.gz LKFUIZSAMLRXIK-VHSXEESVSA-N -1 1 305.411 1.767 20 0 DDADMM CCS[C@@H]1CC[C@H](N(C)c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000825668868 608426612 /nfs/dbraw/zinc/42/66/12/608426612.db2.gz LKFUIZSAMLRXIK-VHSXEESVSA-N -1 1 305.411 1.767 20 0 DDADMM CC(=O)N(C)c1cccc(Nc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000823967837 608427399 /nfs/dbraw/zinc/42/73/99/608427399.db2.gz QLFGJMVNNLNDSK-UHFFFAOYSA-N -1 1 310.321 1.383 20 0 DDADMM CC(=O)N(C)c1cccc(Nc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000823967837 608427401 /nfs/dbraw/zinc/42/74/01/608427401.db2.gz QLFGJMVNNLNDSK-UHFFFAOYSA-N -1 1 310.321 1.383 20 0 DDADMM CC(C)(C)OC1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000824119713 608431061 /nfs/dbraw/zinc/43/10/61/608431061.db2.gz OUDNKQOEIGYNPU-UHFFFAOYSA-N -1 1 303.370 1.441 20 0 DDADMM CC(C)(C)OC1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000824119713 608431062 /nfs/dbraw/zinc/43/10/62/608431062.db2.gz OUDNKQOEIGYNPU-UHFFFAOYSA-N -1 1 303.370 1.441 20 0 DDADMM CO[C@H]1CCC[C@@H]1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000826145338 608473361 /nfs/dbraw/zinc/47/33/61/608473361.db2.gz FDEQPROPGRBPFC-BDAKNGLRSA-N -1 1 307.379 1.473 20 0 DDADMM CO[C@H]1CCC[C@@H]1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000826145338 608473363 /nfs/dbraw/zinc/47/33/63/608473363.db2.gz FDEQPROPGRBPFC-BDAKNGLRSA-N -1 1 307.379 1.473 20 0 DDADMM CC(C)[C@H](Nc1ccc(-c2nnn[n-]2)nn1)C(=O)OC(C)(C)C ZINC000824341978 608539530 /nfs/dbraw/zinc/53/95/30/608539530.db2.gz GRCLALQKCFCBSM-NSHDSACASA-N -1 1 319.369 1.435 20 0 DDADMM CC(C)[C@H](Nc1ccc(-c2nn[n-]n2)nn1)C(=O)OC(C)(C)C ZINC000824341978 608539531 /nfs/dbraw/zinc/53/95/31/608539531.db2.gz GRCLALQKCFCBSM-NSHDSACASA-N -1 1 319.369 1.435 20 0 DDADMM Cc1nc(SCc2ccnc(-c3nn[nH]n3)c2)[n-]c(=O)c1C ZINC000826327667 609182225 /nfs/dbraw/zinc/18/22/25/609182225.db2.gz POHLYZULCKIKDG-UHFFFAOYSA-N -1 1 315.362 1.666 20 0 DDADMM CC[C@@H]1C[C@@H](CC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCO1 ZINC000825180980 609392810 /nfs/dbraw/zinc/39/28/10/609392810.db2.gz BLUILKITNNUITP-VHSXEESVSA-N -1 1 319.369 1.134 20 0 DDADMM CC[C@@H]1C[C@@H](CC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCO1 ZINC000825180980 609392811 /nfs/dbraw/zinc/39/28/11/609392811.db2.gz BLUILKITNNUITP-VHSXEESVSA-N -1 1 319.369 1.134 20 0 DDADMM O=C(C=Cc1cncc(O)c1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826402707 609501116 /nfs/dbraw/zinc/50/11/16/609501116.db2.gz ABKLQPPZCBYAMK-RJRFIUFISA-N -1 1 324.300 1.325 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000973196123 695443587 /nfs/dbraw/zinc/44/35/87/695443587.db2.gz BPKSZIVWZRZSBL-RNJOBUHISA-N -1 1 317.389 1.600 20 0 DDADMM C[C@H](C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000973993310 695615110 /nfs/dbraw/zinc/61/51/10/695615110.db2.gz XNDNCFLZLRBCMV-ZMLRMANQSA-N -1 1 303.362 1.210 20 0 DDADMM CO[C@@H]1CCN(C(=O)N[C@H]2CCCc3cn[nH]c32)[C@@H](C(=O)[O-])C1 ZINC000797725936 700021395 /nfs/dbraw/zinc/02/13/95/700021395.db2.gz XPGNWBOKDGARGK-GRYCIOLGSA-N -1 1 322.365 1.061 20 0 DDADMM CC(C)CC(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975218237 695815800 /nfs/dbraw/zinc/81/58/00/695815800.db2.gz GLPSFYSNXLLKIQ-ZDUSSCGKSA-N -1 1 319.405 1.802 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975229147 695817397 /nfs/dbraw/zinc/81/73/97/695817397.db2.gz XAUWNRHUVXPJRC-RYHWZFLVSA-N -1 1 317.389 1.722 20 0 DDADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@@H](C)c1ccccc1 ZINC000746874189 700043200 /nfs/dbraw/zinc/04/32/00/700043200.db2.gz WTNBQDSPQJDJOH-CHWSQXEVSA-N -1 1 315.373 1.235 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977616863 696235738 /nfs/dbraw/zinc/23/57/38/696235738.db2.gz IYYSENOBYYWAII-VXGBXAGGSA-N -1 1 305.378 1.506 20 0 DDADMM CC(=O)Nc1ccc(F)c(C(=O)[N-]NC(=O)C2CCCC2)c1 ZINC000053477268 696266764 /nfs/dbraw/zinc/26/67/64/696266764.db2.gz KCXSARUNAIXLLY-UHFFFAOYSA-N -1 1 307.325 1.735 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2ccccc2C)n1 ZINC000747616166 700077632 /nfs/dbraw/zinc/07/76/32/700077632.db2.gz ABYNTTYMHFVVAY-UHFFFAOYSA-N -1 1 308.363 1.407 20 0 DDADMM CCCS(=O)(=O)[N-][C@H](C(=O)OC)c1cc(F)ccc1F ZINC000076386049 696429412 /nfs/dbraw/zinc/42/94/12/696429412.db2.gz PGGBEOIFQBBZPE-NSHDSACASA-N -1 1 307.318 1.508 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cc(F)c(F)cc2F)s1 ZINC000080041027 696530055 /nfs/dbraw/zinc/53/00/55/696530055.db2.gz WZKVPOKTORZDOC-MRVPVSSYSA-N -1 1 303.265 1.389 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC[C@@H]2C[C@H]2c2ccccc2)s1 ZINC000080205580 696531735 /nfs/dbraw/zinc/53/17/35/696531735.db2.gz WNRGZIAHXQSHDU-SDDRHHMPSA-N -1 1 303.387 1.253 20 0 DDADMM C[C@@H]1c2ccc(F)cc2CCN1C(=O)Cc1sc(N)nc1[O-] ZINC000080401044 696534351 /nfs/dbraw/zinc/53/43/51/696534351.db2.gz KWVBCXVRWDNMFN-PRHODGIISA-N -1 1 321.377 1.618 20 0 DDADMM CCS(=O)(=O)CC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084937641 696562169 /nfs/dbraw/zinc/56/21/69/696562169.db2.gz CGBZGZKDRVKFSF-UHFFFAOYSA-N -1 1 317.388 1.146 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(CC2CCC2)CC(F)(F)F)s1 ZINC000085430383 696563664 /nfs/dbraw/zinc/56/36/64/696563664.db2.gz GHIIZJFFELACFR-MRVPVSSYSA-N -1 1 323.340 1.524 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)Cc1ccc(C)c(O)c1 ZINC000089454036 696576704 /nfs/dbraw/zinc/57/67/04/696576704.db2.gz PGQKAUMWZZQDGE-UHFFFAOYSA-N -1 1 320.418 1.876 20 0 DDADMM Cc1cc(C(C)(C)C)ccc1OCCCC(=O)[N-]OCC(N)=O ZINC000089465426 696577050 /nfs/dbraw/zinc/57/70/50/696577050.db2.gz PBNPUEYBGMOELZ-UHFFFAOYSA-N -1 1 322.405 1.985 20 0 DDADMM CC1(CC[N-]S(=O)(=O)c2cc(F)ccc2F)OCCO1 ZINC000096744159 696604407 /nfs/dbraw/zinc/60/44/07/696604407.db2.gz LBSPUXXLXLMPGB-UHFFFAOYSA-N -1 1 307.318 1.396 20 0 DDADMM CC(C)(F)C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979690678 696627951 /nfs/dbraw/zinc/62/79/51/696627951.db2.gz WJWGIGDWKKEYEF-MGCOHNPYSA-N -1 1 309.341 1.160 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCc2c[nH]c3ccccc23)s1 ZINC000120786826 696701135 /nfs/dbraw/zinc/70/11/35/696701135.db2.gz CBFGDHMGTUAKGI-GFCCVEGCSA-N -1 1 316.386 1.173 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000135225310 696845860 /nfs/dbraw/zinc/84/58/60/696845860.db2.gz BVBWOWULKOXJIM-RXMQYKEDSA-N -1 1 308.178 1.007 20 0 DDADMM CCc1ccc([C@@H](C)C(=O)N[N-]C(=O)c2cc(C)[nH]n2)cc1 ZINC000153292917 696912759 /nfs/dbraw/zinc/91/27/59/696912759.db2.gz IPKGSNOFJLEFID-LLVKDONJSA-N -1 1 300.362 1.845 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCc2ccccc2)co1 ZINC000163533137 697333582 /nfs/dbraw/zinc/33/35/82/697333582.db2.gz LADNXJQRQPZSLI-UHFFFAOYSA-N -1 1 323.370 1.977 20 0 DDADMM Cn1ccc(CC(=O)N[N-]C(=O)c2ccc(Cl)cc2F)n1 ZINC000181615804 697463168 /nfs/dbraw/zinc/46/31/68/697463168.db2.gz HXXOTOZHHZDXFN-UHFFFAOYSA-N -1 1 310.716 1.216 20 0 DDADMM Cc1ncc(C(=O)NNC(=O)c2cc(Cl)ccc2[O-])c(C)n1 ZINC000181875514 697466006 /nfs/dbraw/zinc/46/60/06/697466006.db2.gz NKRVFDKQBZEFAD-UHFFFAOYSA-N -1 1 320.736 1.527 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(C#N)c([O-])c1)CC1(C)OCCO1 ZINC000188542321 697561120 /nfs/dbraw/zinc/56/11/20/697561120.db2.gz RQDHBJMCAKVDMS-LLVKDONJSA-N -1 1 304.346 1.783 20 0 DDADMM CC(C)(O)C(=O)N[N-]C(=O)c1cc(F)ccc1Br ZINC000190065645 697583190 /nfs/dbraw/zinc/58/31/90/697583190.db2.gz XSLVRPFUERRPQD-UHFFFAOYSA-N -1 1 319.130 1.120 20 0 DDADMM Cc1ccccc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000985904179 697599311 /nfs/dbraw/zinc/59/93/11/697599311.db2.gz SNVHKHIUJIAILA-AAEUAGOBSA-N -1 1 315.377 1.212 20 0 DDADMM O=C(Cc1ccccc1F)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773158390 697720479 /nfs/dbraw/zinc/72/04/79/697720479.db2.gz NYEAZDHQTSAGIQ-LLVKDONJSA-N -1 1 307.354 1.198 20 0 DDADMM Cc1cc(CN[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)no1 ZINC000986196332 697730073 /nfs/dbraw/zinc/73/00/73/697730073.db2.gz YOOQSIFURPILSQ-DGCLKSJQSA-N -1 1 316.361 1.476 20 0 DDADMM C[C@@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000230139081 697781378 /nfs/dbraw/zinc/78/13/78/697781378.db2.gz VULUMPWHASKNHG-QPUJVOFHSA-N -1 1 318.326 1.580 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Br)c([O-])c2)CCN1C ZINC000231348604 697785903 /nfs/dbraw/zinc/78/59/03/697785903.db2.gz GGAVMYPPWMYEAC-SECBINFHSA-N -1 1 313.195 1.931 20 0 DDADMM O=C([N-]CCCOC(=O)[C@@H]1CCCc2n[nH]cc21)C(F)(F)F ZINC000774969212 697948882 /nfs/dbraw/zinc/94/88/82/697948882.db2.gz NOXWSWMROYEKMI-MRVPVSSYSA-N -1 1 319.283 1.441 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1CCC1 ZINC000987670579 698192164 /nfs/dbraw/zinc/19/21/64/698192164.db2.gz GGHHKDQSVOVUJL-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC[C@@](O)(c2ccc(F)cc2)C1 ZINC000777534578 698198555 /nfs/dbraw/zinc/19/85/55/698198555.db2.gz RTKUGUKPHHVLEN-INIZCTEOSA-N -1 1 302.305 1.660 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2CC[C@H](C)[C@H](F)C2)cc1 ZINC000778230033 698251505 /nfs/dbraw/zinc/25/15/05/698251505.db2.gz BAZOQAMLTQXFEY-SMDDNHRTSA-N -1 1 308.353 1.632 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC(C)(C)CCO ZINC000778401984 698271841 /nfs/dbraw/zinc/27/18/41/698271841.db2.gz FVVDDMKMHKXVSN-UHFFFAOYSA-N -1 1 320.393 1.262 20 0 DDADMM Cc1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)ccc1O ZINC000778854399 698377558 /nfs/dbraw/zinc/37/75/58/698377558.db2.gz WDNZKQDAUIWBNC-UHFFFAOYSA-N -1 1 305.252 1.926 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](O)c2c(F)cccc2F)c([O-])c1 ZINC000778994993 698389315 /nfs/dbraw/zinc/38/93/15/698389315.db2.gz AXDWREHLDSUQLM-LBPRGKRZSA-N -1 1 308.284 1.837 20 0 DDADMM Cc1conc1CN[C@H]1C[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC000988692039 698439659 /nfs/dbraw/zinc/43/96/59/698439659.db2.gz SGURNIKYVBJSNM-NEPJUHHUSA-N -1 1 316.361 1.476 20 0 DDADMM CCC1CCC(N(CCS(=O)(=O)CC(=O)[O-])C2CC2)CC1 ZINC000262292315 698463360 /nfs/dbraw/zinc/46/33/60/698463360.db2.gz HQIVZNCPPOURFK-UHFFFAOYSA-N -1 1 317.451 1.919 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2c(C)nn(C)c2Cl)s1 ZINC000779957492 698481434 /nfs/dbraw/zinc/48/14/34/698481434.db2.gz GTWKEPJAPCPXAG-UHFFFAOYSA-N -1 1 321.815 1.020 20 0 DDADMM CC[C@@H](NC(=O)NC[C@@H](C)N1CCc2ccccc2C1)C(=O)[O-] ZINC000780481025 698523231 /nfs/dbraw/zinc/52/32/31/698523231.db2.gz KHGWDRXDLLDEKN-IUODEOHRSA-N -1 1 319.405 1.596 20 0 DDADMM CC[C@H](NC(=O)NC[C@H](C)N1CCc2ccccc2C1)C(=O)[O-] ZINC000780481027 698523579 /nfs/dbraw/zinc/52/35/79/698523579.db2.gz KHGWDRXDLLDEKN-WFASDCNBSA-N -1 1 319.405 1.596 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CSc2cccnn2)cc1 ZINC000781385146 698613381 /nfs/dbraw/zinc/61/33/81/698613381.db2.gz MHYPTHHUMSBLAA-UHFFFAOYSA-N -1 1 303.343 1.534 20 0 DDADMM COc1cccc([C@@H](CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])N(C)C)c1 ZINC000328348235 698746415 /nfs/dbraw/zinc/74/64/15/698746415.db2.gz PXGBJDHMHRJLKD-HZSPNIEDSA-N -1 1 306.362 1.135 20 0 DDADMM COCCOc1cccc(CN=c2[n-]nc(C)n2C2CC2)c1 ZINC000340696081 698796349 /nfs/dbraw/zinc/79/63/49/698796349.db2.gz KOGOMDUCUJQXGB-UHFFFAOYSA-N -1 1 302.378 1.981 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@]1(C)CCO[C@@H]1C ZINC000784398833 698935897 /nfs/dbraw/zinc/93/58/97/698935897.db2.gz ULDJMIKGSJBNPC-LDYMZIIASA-N -1 1 307.803 1.228 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)C1CCCCCC1 ZINC000990439330 699052787 /nfs/dbraw/zinc/05/27/87/699052787.db2.gz GITXZVQVLJQOFP-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1nc(NC[C@@H]2C[N@@H+](Cc3ccccc3)CCO2)cc(=O)[nH]1 ZINC000381836528 699066172 /nfs/dbraw/zinc/06/61/72/699066172.db2.gz AQIZNCAQIYCHNN-OAHLLOKOSA-N -1 1 314.389 1.804 20 0 DDADMM CCCN1CC[C@H](NS(=O)(=O)c2csc(C(=O)[O-])c2)C1 ZINC000389125353 699087765 /nfs/dbraw/zinc/08/77/65/699087765.db2.gz JKDPYRGLJROWHV-VIFPVBQESA-N -1 1 318.420 1.209 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2cccnc2Cl)s1 ZINC000392063266 699100514 /nfs/dbraw/zinc/10/05/14/699100514.db2.gz ONLAWTXDGZAZJG-LURJTMIESA-N -1 1 318.811 1.934 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]CC1=CCOCC1 ZINC000397774217 699117595 /nfs/dbraw/zinc/11/75/95/699117595.db2.gz RKBUMPOEKKONLT-UHFFFAOYSA-N -1 1 316.310 1.359 20 0 DDADMM CC[C@H](O)CCC[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000398621593 699121350 /nfs/dbraw/zinc/12/13/50/699121350.db2.gz WANXWUHEDJRLDV-VIFPVBQESA-N -1 1 320.342 1.563 20 0 DDADMM Cn1[n-]c(COC(=O)CCc2ncc(C(C)(C)C)o2)nc1=O ZINC000786215237 699134423 /nfs/dbraw/zinc/13/44/23/699134423.db2.gz RJMSXCKHBJLLRP-UHFFFAOYSA-N -1 1 308.338 1.070 20 0 DDADMM CC(C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)=C1CCCC1 ZINC000990759284 699152009 /nfs/dbraw/zinc/15/20/09/699152009.db2.gz NJQJOAKCXWRCAW-UHFFFAOYSA-N -1 1 315.373 1.618 20 0 DDADMM O=C(NC1CN(C(=O)[C@@H]2CC[C@H]3C[C@H]3C2)C1)c1ncccc1[O-] ZINC000990978400 699197850 /nfs/dbraw/zinc/19/78/50/699197850.db2.gz OFUHRMVVTZSBEE-TUAOUCFPSA-N -1 1 315.373 1.164 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCC[C@@H]1CCCO1 ZINC000787647571 699227869 /nfs/dbraw/zinc/22/78/69/699227869.db2.gz CDRYHVNHNIFHJF-VIFPVBQESA-N -1 1 319.404 1.846 20 0 DDADMM CC(C)(C)n1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cn1 ZINC000787902229 699252982 /nfs/dbraw/zinc/25/29/82/699252982.db2.gz KWBCIVRUKOULKY-JTQLQIEISA-N -1 1 321.410 1.082 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(Cc2cn(C)nc2Br)C1 ZINC000712429043 699262315 /nfs/dbraw/zinc/26/23/15/699262315.db2.gz DLSHUTWUGURPFY-BDAKNGLRSA-N -1 1 316.199 1.725 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc(C)c1F ZINC000788146182 699284215 /nfs/dbraw/zinc/28/42/15/699284215.db2.gz ABYFJMAAFRSXDX-NSHDSACASA-N -1 1 321.381 1.886 20 0 DDADMM CC(C)(C)CS(=O)(=O)[N-]C(=O)c1[nH]nc2c1CCCCC2 ZINC000789369255 699382038 /nfs/dbraw/zinc/38/20/38/699382038.db2.gz XNKKKHWMTVLJBT-UHFFFAOYSA-N -1 1 313.423 1.784 20 0 DDADMM COc1c(C)ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1C ZINC000727850280 699428237 /nfs/dbraw/zinc/42/82/37/699428237.db2.gz WAZWEVQWCWWTMD-UHFFFAOYSA-N -1 1 317.345 1.191 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1ccc2n[nH]c(=O)n2c1 ZINC000790051699 699438259 /nfs/dbraw/zinc/43/82/59/699438259.db2.gz QYDQVJJXKSKWRE-UHFFFAOYSA-N -1 1 305.681 1.441 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)O[C@H]1CCCCC1=O ZINC000731446714 699528958 /nfs/dbraw/zinc/52/89/58/699528958.db2.gz CSHRCHNIUJEREY-ZDUSSCGKSA-N -1 1 317.345 1.649 20 0 DDADMM Cn1cc(COC(=O)c2sccc2[N-]S(C)(=O)=O)cn1 ZINC000733453138 699596790 /nfs/dbraw/zinc/59/67/90/699596790.db2.gz PPAWDCDOQYIPOB-UHFFFAOYSA-N -1 1 315.376 1.210 20 0 DDADMM CS(=O)(=O)Cc1ccc(C(=O)[N-]c2nc(C3CC3)no2)o1 ZINC000734875202 699665336 /nfs/dbraw/zinc/66/53/36/699665336.db2.gz UIRHXQMJLILKTG-UHFFFAOYSA-N -1 1 311.319 1.337 20 0 DDADMM CC(=CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccc(F)cc1 ZINC000735450347 699694424 /nfs/dbraw/zinc/69/44/24/699694424.db2.gz YTSOUYRYSTWVFQ-AORWBKJGSA-N -1 1 317.324 1.342 20 0 DDADMM COC(=O)Cc1cccc(NC([O-])=NO[C@@H]2CCCCO2)n1 ZINC000792406106 699695844 /nfs/dbraw/zinc/69/58/44/699695844.db2.gz GKFAWENWVLXZGW-CYBMUJFWSA-N -1 1 309.322 1.377 20 0 DDADMM COC(=O)Cc1cccc(NC(=O)[N-]O[C@@H]2CCCCO2)n1 ZINC000792406106 699695845 /nfs/dbraw/zinc/69/58/45/699695845.db2.gz GKFAWENWVLXZGW-CYBMUJFWSA-N -1 1 309.322 1.377 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000736271955 699722604 /nfs/dbraw/zinc/72/26/04/699722604.db2.gz PLRAEHWTRMBREM-DGCLKSJQSA-N -1 1 307.398 1.501 20 0 DDADMM CCCCS(=O)(=O)[N-]C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000793111028 699733473 /nfs/dbraw/zinc/73/34/73/699733473.db2.gz AIIXCWOZFYITIT-UHFFFAOYSA-N -1 1 308.363 1.331 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000737810356 699745301 /nfs/dbraw/zinc/74/53/01/699745301.db2.gz ZBQUVSKKOOAHIE-UPHRSURJSA-N -1 1 302.313 1.153 20 0 DDADMM CCCC(=O)COC(=O)c1ccccc1[N-]S(=O)(=O)CC ZINC000738635375 699764236 /nfs/dbraw/zinc/76/42/36/699764236.db2.gz NQHIPWRZHJHZGG-UHFFFAOYSA-N -1 1 313.375 1.974 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(F)(F)CC2)co1 ZINC000794300926 699801604 /nfs/dbraw/zinc/80/16/04/699801604.db2.gz WSCLXABXZJBNGF-UHFFFAOYSA-N -1 1 308.306 1.059 20 0 DDADMM C[C@@H]1CN(Cc2cc(=O)oc3cc([O-])c(Cl)cc23)C[C@@H]1O ZINC000794562215 699816248 /nfs/dbraw/zinc/81/62/48/699816248.db2.gz NBWXWPIIPQZCTD-OQPBUACISA-N -1 1 309.749 1.965 20 0 DDADMM Cn1[n-]c(CN[C@H](c2ccccc2)[C@@H](O)c2ccccc2)nc1=O ZINC000794683110 699824257 /nfs/dbraw/zinc/82/42/57/699824257.db2.gz HADYHHGVSBTZNW-SJORKVTESA-N -1 1 324.384 1.673 20 0 DDADMM CN(C(=O)COC(=O)c1nn(-c2ccccc2)cc1[O-])C1CC1 ZINC000801204048 700277186 /nfs/dbraw/zinc/27/71/86/700277186.db2.gz ZQLHMDWWXKFWHV-UHFFFAOYSA-N -1 1 315.329 1.356 20 0 DDADMM O=C(COC(=O)c1cccc2c1NCC2)[N-]C(=O)c1ccccc1 ZINC000801400691 700303869 /nfs/dbraw/zinc/30/38/69/700303869.db2.gz CGACOYSXNCVXNH-UHFFFAOYSA-N -1 1 324.336 1.768 20 0 DDADMM CC(C)c1cccc(-n2cc([O-])c(C(=O)O[C@@H](C)C(N)=O)n2)c1 ZINC000801410734 700305195 /nfs/dbraw/zinc/30/51/95/700305195.db2.gz HJCOAMNRHBRUDS-JTQLQIEISA-N -1 1 317.345 1.732 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@H]1CCCCO1 ZINC000751982440 700333539 /nfs/dbraw/zinc/33/35/39/700333539.db2.gz NEFWRSOFIZJXTI-CHWSQXEVSA-N -1 1 319.423 1.207 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)c1nccs1)Nc1cccnc1 ZINC000756679419 700634895 /nfs/dbraw/zinc/63/48/95/700634895.db2.gz RAEBHIDYIIXGEU-UHFFFAOYSA-N -1 1 312.301 1.385 20 0 DDADMM CSCC[C@H]([N-]C(=O)C(F)(F)C(F)F)C(=O)N(C)C ZINC000809314403 701658802 /nfs/dbraw/zinc/65/88/02/701658802.db2.gz HELPEMHXKZTHIF-LURJTMIESA-N -1 1 304.309 1.213 20 0 DDADMM CCc1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c(C)nn1 ZINC000760198085 700797020 /nfs/dbraw/zinc/79/70/20/700797020.db2.gz RQUKKUQUXOVDRJ-CQSZACIVSA-N -1 1 323.360 1.380 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H]2CCC[C@@H](OC)C2)o1 ZINC000761085449 700842232 /nfs/dbraw/zinc/84/22/32/700842232.db2.gz NCCCDXHOGXUSEI-NXEZZACHSA-N -1 1 317.363 1.302 20 0 DDADMM COC(=O)CCCC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000764595277 700977921 /nfs/dbraw/zinc/97/79/21/700977921.db2.gz OYSAGFPRWUCUIN-UHFFFAOYSA-N -1 1 320.297 1.885 20 0 DDADMM Cn1c(Cl)ncc1S(=O)(=O)[N-]c1ccc2n[nH]nc2c1 ZINC000765093785 700998968 /nfs/dbraw/zinc/99/89/68/700998968.db2.gz CCEIARTWEIFSNQ-UHFFFAOYSA-N -1 1 312.742 1.146 20 0 DDADMM Cn1c(Cl)ncc1S(=O)(=O)[N-]c1ccc2nn[nH]c2c1 ZINC000765093785 700998969 /nfs/dbraw/zinc/99/89/69/700998969.db2.gz CCEIARTWEIFSNQ-UHFFFAOYSA-N -1 1 312.742 1.146 20 0 DDADMM CC[C@@H](C)[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000765388416 701009318 /nfs/dbraw/zinc/00/93/18/701009318.db2.gz BAXBKXYCZJRZHU-RISCZKNCSA-N -1 1 303.362 1.982 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2C[C@H]2c2cccc(F)c2F)nc1=O ZINC000765483809 701014955 /nfs/dbraw/zinc/01/49/55/701014955.db2.gz YUYBRBPSDZAUCS-DTWKUNHWSA-N -1 1 309.272 1.234 20 0 DDADMM C[C@@H](CCOc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765486125 701015346 /nfs/dbraw/zinc/01/53/46/701015346.db2.gz DLMQBRAUFDMYKV-NSHDSACASA-N -1 1 305.334 1.257 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCC(=O)C2CC2)c(=O)[n-]1 ZINC000766395793 701048938 /nfs/dbraw/zinc/04/89/38/701048938.db2.gz CLGDHKFBCBDUMK-UHFFFAOYSA-N -1 1 310.375 1.667 20 0 DDADMM CN(C)c1ccc(NC(=O)c2cc(F)ccc2[O-])c(C(N)=O)c1 ZINC000770167047 701269773 /nfs/dbraw/zinc/26/97/73/701269773.db2.gz UNMUGKRYPBEMRK-UHFFFAOYSA-N -1 1 317.320 1.949 20 0 DDADMM CCC[C@@](C)(O)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000770206883 701271298 /nfs/dbraw/zinc/27/12/98/701271298.db2.gz MIIMIMPXDJPAMK-MRXNPFEDSA-N -1 1 323.345 1.046 20 0 DDADMM O=C(N[C@H](CO)C[C@@H](O)c1ccccc1)c1cc(F)ccc1[O-] ZINC000770860960 701297962 /nfs/dbraw/zinc/29/79/62/701297962.db2.gz WVPWMWCXJZTCST-XJKSGUPXSA-N -1 1 319.332 1.746 20 0 DDADMM CN(C)c1nc(COC(=O)c2c([O-])cc(F)cc2F)ns1 ZINC000771335752 701316655 /nfs/dbraw/zinc/31/66/55/701316655.db2.gz AQTIODFIDBITJY-UHFFFAOYSA-N -1 1 315.301 1.945 20 0 DDADMM O=C(O[C@H](C(=O)NC1CC1)c1ccc(F)cc1)c1cn[n-]n1 ZINC000805605237 701398401 /nfs/dbraw/zinc/39/84/01/701398401.db2.gz XBWSURSBOXMOTF-LBPRGKRZSA-N -1 1 304.281 1.121 20 0 DDADMM CC(=O)c1ccccc1NC(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805605085 701398545 /nfs/dbraw/zinc/39/85/45/701398545.db2.gz IUWOBRKTWPMPQN-SECBINFHSA-N -1 1 302.290 1.191 20 0 DDADMM CC(C)C[C@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccccc1 ZINC000805608048 701399390 /nfs/dbraw/zinc/39/93/90/701399390.db2.gz WZSTYPDYOHOFKK-ZDUSSCGKSA-N -1 1 316.361 1.865 20 0 DDADMM CC(C)[C@@H](OC(=O)c1cn[n-]n1)C(=O)NC1CCCCCC1 ZINC000805607308 701399399 /nfs/dbraw/zinc/39/93/99/701399399.db2.gz JRBSFAMWGHUWIQ-CYBMUJFWSA-N -1 1 308.382 1.825 20 0 DDADMM O=C(OCc1cn(-c2ccc(F)c(Cl)c2)nn1)c1cn[n-]n1 ZINC000805609872 701399935 /nfs/dbraw/zinc/39/99/35/701399935.db2.gz WJTGEXNAEXGFFB-UHFFFAOYSA-N -1 1 322.687 1.535 20 0 DDADMM CCC(CC)(CCO)CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806482244 701437004 /nfs/dbraw/zinc/43/70/04/701437004.db2.gz LVFQGLZKQZXELA-UHFFFAOYSA-N -1 1 319.409 1.652 20 0 DDADMM O=C(Cc1ccc(F)cn1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000806547148 701441129 /nfs/dbraw/zinc/44/11/29/701441129.db2.gz CYBATLJPAYIYLW-AWEZNQCLSA-N -1 1 312.308 1.182 20 0 DDADMM C[C@H](CNC(=O)C(=O)c1ccc([O-])cc1)CC1(C)OCCO1 ZINC000806905690 701453589 /nfs/dbraw/zinc/45/35/89/701453589.db2.gz SXGZMAQYCRUZPA-NSHDSACASA-N -1 1 307.346 1.480 20 0 DDADMM C[Si](C)(CNC(=O)C(=O)c1ccc([O-])cc1)c1ccccc1 ZINC000807253280 701471317 /nfs/dbraw/zinc/47/13/17/701471317.db2.gz QHCMIZBMANVPFV-UHFFFAOYSA-N -1 1 313.429 1.846 20 0 DDADMM O=C(NC[C@H]1CCCS(=O)(=O)C1)c1c([O-])cccc1Cl ZINC000807799563 701487247 /nfs/dbraw/zinc/48/72/47/701487247.db2.gz MCVCAAZOPDGLSM-SECBINFHSA-N -1 1 317.794 1.600 20 0 DDADMM O=C(NC[C@@H]1CCCN1CC(F)(F)F)c1cncc([O-])c1 ZINC000830945495 706608328 /nfs/dbraw/zinc/60/83/28/706608328.db2.gz SCFWTNQIWNGTRM-JTQLQIEISA-N -1 1 303.284 1.544 20 0 DDADMM O=C(C=Cc1cccs1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830953772 706610077 /nfs/dbraw/zinc/61/00/77/706610077.db2.gz ZDTHVONMDXYENQ-ONEGZZNKSA-N -1 1 317.370 1.646 20 0 DDADMM CC(C)CC(C)(C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830959341 706611526 /nfs/dbraw/zinc/61/15/26/706611526.db2.gz NMEMOFIKDPCPKR-UHFFFAOYSA-N -1 1 307.394 1.943 20 0 DDADMM CC(C)CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)[N-]OC1CCCC1 ZINC000810890920 701869050 /nfs/dbraw/zinc/86/90/50/701869050.db2.gz WMEARDQRXULRRL-CQSZACIVSA-N -1 1 322.409 1.474 20 0 DDADMM CC1(C)CO[C@@H](CCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1 ZINC000879263144 706633944 /nfs/dbraw/zinc/63/39/44/706633944.db2.gz MECLGHDXAPOLTL-RYUDHWBXSA-N -1 1 307.398 1.501 20 0 DDADMM C[C@]1(c2ccccc2)C[C@H]1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000879263906 706634091 /nfs/dbraw/zinc/63/40/91/706634091.db2.gz UNYKIENQJKBZBA-HACGYAERSA-N -1 1 311.389 1.884 20 0 DDADMM C[C@H]1CCN(C(=O)CCCCO)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000868352574 702077810 /nfs/dbraw/zinc/07/78/10/702077810.db2.gz ZPRHFQBCQYYUPO-NHCYSSNCSA-N -1 1 324.343 1.453 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(N)nc(Cl)c2)n1 ZINC000816535895 702109101 /nfs/dbraw/zinc/10/91/01/702109101.db2.gz DSXPHMDEXQDWEY-UHFFFAOYSA-N -1 1 309.713 1.469 20 0 DDADMM Cc1nc(C(=O)N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)co1 ZINC000812274691 702133244 /nfs/dbraw/zinc/13/32/44/702133244.db2.gz JLLYHXYHITZENX-VIFPVBQESA-N -1 1 319.283 1.514 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)c3ccc(Cl)nc3)ccnc1-2 ZINC000812319247 702145190 /nfs/dbraw/zinc/14/51/90/702145190.db2.gz ATFUSVAGDHGPLG-UHFFFAOYSA-N -1 1 323.765 1.191 20 0 DDADMM CC(C)[C@@]1(C)C[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868698624 702273091 /nfs/dbraw/zinc/27/30/91/702273091.db2.gz JJOWCRGFMNWEMK-MLGOLLRUSA-N -1 1 320.393 1.489 20 0 DDADMM CCOc1ccc(N2C[C@@H](C(=O)[N-]OCC3CC3)CC2=O)cc1 ZINC000817334152 702343688 /nfs/dbraw/zinc/34/36/88/702343688.db2.gz DDIDQVIJSAQGJL-ZDUSSCGKSA-N -1 1 318.373 1.896 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCOCC(F)(F)F)[n-]1 ZINC000841221370 702393248 /nfs/dbraw/zinc/39/32/48/702393248.db2.gz AMLUVMXNVRUBJC-UHFFFAOYSA-N -1 1 309.244 1.494 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCOCC(F)(F)F)n1 ZINC000841221370 702393253 /nfs/dbraw/zinc/39/32/53/702393253.db2.gz AMLUVMXNVRUBJC-UHFFFAOYSA-N -1 1 309.244 1.494 20 0 DDADMM CC(C)(C)c1ccnc(NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC000866288849 706666061 /nfs/dbraw/zinc/66/60/61/706666061.db2.gz PMAXQFZNSLBXNN-UHFFFAOYSA-N -1 1 315.377 1.955 20 0 DDADMM Cc1ccc(NC(=O)c2c(=O)[nH]c(=O)n(CC(C)C)c2[O-])cc1 ZINC000817572069 702414359 /nfs/dbraw/zinc/41/43/59/702414359.db2.gz ZHCDXYBWPBXSLZ-LBPRGKRZSA-N -1 1 317.345 1.284 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C(=O)O1 ZINC000841523386 702478202 /nfs/dbraw/zinc/47/82/02/702478202.db2.gz LPABPPKFFQXVJH-RCOVLWMOSA-N -1 1 307.730 1.461 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)Cc1cccc(F)c1 ZINC000841533045 702482580 /nfs/dbraw/zinc/48/25/80/702482580.db2.gz AQDYKSYVUBSYAM-NSHDSACASA-N -1 1 301.339 1.197 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC3(C)CC(F)(F)C3)ccnc1-2 ZINC000879416115 706674017 /nfs/dbraw/zinc/67/40/17/706674017.db2.gz NZRPTTGMWNTDJJ-UHFFFAOYSA-N -1 1 309.320 1.899 20 0 DDADMM CCNC(=O)[C@@H](NC(=O)c1c(C)nc(C(C)C)[n-]c1=O)C(C)C ZINC000817851999 702501661 /nfs/dbraw/zinc/50/16/61/702501661.db2.gz KWTDPQYUJAAVOO-LBPRGKRZSA-N -1 1 322.409 1.505 20 0 DDADMM C[C@@H]1CC[C@H](C)CN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869212919 702512730 /nfs/dbraw/zinc/51/27/30/702512730.db2.gz ANWDZVWUDVXZRU-WDEREUQCSA-N -1 1 320.393 1.585 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CCCCCCC1 ZINC000869212510 702512891 /nfs/dbraw/zinc/51/28/91/702512891.db2.gz CYEAYSOFCNUCSP-UHFFFAOYSA-N -1 1 320.393 1.731 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@@H](N2CCOC2=O)C1 ZINC000869248064 702533666 /nfs/dbraw/zinc/53/36/66/702533666.db2.gz HQGXAADLAHOMLK-LLVKDONJSA-N -1 1 324.764 1.641 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@H]1CCO[C@@H]1C ZINC000869386567 702589511 /nfs/dbraw/zinc/58/95/11/702589511.db2.gz VUFYXRWLKSBNRM-VXGBXAGGSA-N -1 1 319.361 1.562 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869476524 702619177 /nfs/dbraw/zinc/61/91/77/702619177.db2.gz DNPKFLNYKYOISP-UWVGGRQHSA-N -1 1 309.284 1.459 20 0 DDADMM C[C@H]1CCC[N@@H+](CCOc2ccccc2C(=O)[O-])[C@@H]1C(=O)[O-] ZINC000818329712 702636491 /nfs/dbraw/zinc/63/64/91/702636491.db2.gz CIVQUYJYWGKYGG-FZMZJTMJSA-N -1 1 307.346 1.949 20 0 DDADMM CCc1cc2c(C[N@H+](C)CCC(=O)[O-])cc(=O)oc2cc1[O-] ZINC000818335827 702637677 /nfs/dbraw/zinc/63/76/77/702637677.db2.gz PAMAKFWCVYYJJH-UHFFFAOYSA-N -1 1 305.330 1.968 20 0 DDADMM CCc1cc2c(C[N@@H+](C)CCC(=O)[O-])cc(=O)oc2cc1[O-] ZINC000818335827 702637679 /nfs/dbraw/zinc/63/76/79/702637679.db2.gz PAMAKFWCVYYJJH-UHFFFAOYSA-N -1 1 305.330 1.968 20 0 DDADMM CCC1(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)CCCC1 ZINC000843014723 702803351 /nfs/dbraw/zinc/80/33/51/702803351.db2.gz BKKJZKWKSNVKHH-UHFFFAOYSA-N -1 1 316.467 1.887 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2CCC(C)(C)C2)CCC1 ZINC000843016470 702803740 /nfs/dbraw/zinc/80/37/40/702803740.db2.gz SNDUDNXISKINBW-LBPRGKRZSA-N -1 1 316.467 1.743 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CCC(F)(F)C2)CCC1 ZINC000843015421 702803835 /nfs/dbraw/zinc/80/38/35/702803835.db2.gz VKCDDNHSNVXDGJ-SNVBAGLBSA-N -1 1 324.393 1.352 20 0 DDADMM C[C@H](CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C1CC1 ZINC000843017704 702804269 /nfs/dbraw/zinc/80/42/69/702804269.db2.gz AEOHCIDJRFUTKG-LLVKDONJSA-N -1 1 302.440 1.353 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1(C(F)F)CC1 ZINC000843801462 702926251 /nfs/dbraw/zinc/92/62/51/702926251.db2.gz YLWQXMNQBTXTJC-UHFFFAOYSA-N -1 1 310.304 1.713 20 0 DDADMM CCOc1cccc(C[N-]S(=O)(=O)N=[S@](C)(=O)CC)c1 ZINC000866508100 706717025 /nfs/dbraw/zinc/71/70/25/706717025.db2.gz JXUJTYYKRCWQJY-LJQANCHMSA-N -1 1 320.436 1.537 20 0 DDADMM O=c1nc(NCCC[C@H]2CC2(Cl)Cl)nc2[nH][n-]cc1-2 ZINC000845743727 703196275 /nfs/dbraw/zinc/19/62/75/703196275.db2.gz CRYTZEAAYPVUMB-LURJTMIESA-N -1 1 302.165 1.818 20 0 DDADMM C[C@H](COc1ccccc1)[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866550007 706727482 /nfs/dbraw/zinc/72/74/82/706727482.db2.gz IWFJRPMEJQGLRA-SNVBAGLBSA-N -1 1 306.409 1.016 20 0 DDADMM C[C@@H]1Oc2ccccc2[C@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000831550450 706729643 /nfs/dbraw/zinc/72/96/43/706729643.db2.gz OFDKHMNFESBUIE-CPCISQLKSA-N -1 1 313.766 1.864 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1C[C@H]1c1ccccc1 ZINC000866596020 706740418 /nfs/dbraw/zinc/74/04/18/706740418.db2.gz AXNHWABGKHNXHG-QWHCGFSZSA-N -1 1 314.432 1.639 20 0 DDADMM Cc1ncccc1C=CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847091277 703376595 /nfs/dbraw/zinc/37/65/95/703376595.db2.gz NOTXPBKRRCTSNJ-FMFIFOJESA-N -1 1 314.345 1.898 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@H]1CCCCO1 ZINC000848341441 703540780 /nfs/dbraw/zinc/54/07/80/703540780.db2.gz WHRYUEKTIFRNIQ-GMTAPVOTSA-N -1 1 322.327 1.614 20 0 DDADMM S=c1nc(-c2ccccn2)[n-]n1CN1CCn2nncc2C1 ZINC000848493073 703557488 /nfs/dbraw/zinc/55/74/88/703557488.db2.gz LNDPWAIESKHMDQ-UHFFFAOYSA-N -1 1 314.378 1.067 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]CC(=O)OC(C)C)C1CCCCC1 ZINC000849373309 703640635 /nfs/dbraw/zinc/64/06/35/703640635.db2.gz BBFCBQCUVOLUQQ-ZDUSSCGKSA-N -1 1 321.439 1.453 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)OCCCN1CCOC1=O ZINC000869736819 703839504 /nfs/dbraw/zinc/83/95/04/703839504.db2.gz RBZPDRPFFSKOTG-UHFFFAOYSA-N -1 1 313.737 1.974 20 0 DDADMM C[C@@H]1CN(CCOC(=O)Cc2ccc([O-])c(Cl)c2)CCO1 ZINC000869736530 703839562 /nfs/dbraw/zinc/83/95/62/703839562.db2.gz JQGDCNJOLJDJRR-LLVKDONJSA-N -1 1 313.781 1.852 20 0 DDADMM CC1(C)CCC(O)(C[N-]S(=O)(=O)c2c[nH]nc2Cl)CC1 ZINC000851820022 703864197 /nfs/dbraw/zinc/86/41/97/703864197.db2.gz OPTCFOWSBKJLNG-UHFFFAOYSA-N -1 1 321.830 1.673 20 0 DDADMM CCCCNC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000851882934 703877422 /nfs/dbraw/zinc/87/74/22/703877422.db2.gz LGSLBYLIORUMBY-ZJUUUORDSA-N -1 1 309.332 1.885 20 0 DDADMM CC[C@H](C)NC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000851922911 703883812 /nfs/dbraw/zinc/88/38/12/703883812.db2.gz QXFCNYBFOZBDJD-UTLUCORTSA-N -1 1 309.332 1.883 20 0 DDADMM CCN(Cc1ccc(S(=O)(=O)C(F)F)cc1)[C@H](C)C(=O)[O-] ZINC000852729309 704108048 /nfs/dbraw/zinc/10/80/48/704108048.db2.gz DLRKSZMMYRXBHQ-SECBINFHSA-N -1 1 321.345 1.978 20 0 DDADMM O=C([O-])[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CC1CCCC1 ZINC000852735278 704109270 /nfs/dbraw/zinc/10/92/70/704109270.db2.gz HALPSKLUTZNPAR-WDEREUQCSA-N -1 1 322.327 1.773 20 0 DDADMM C[C@H](c1nncn1C)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852756847 704116188 /nfs/dbraw/zinc/11/61/88/704116188.db2.gz WOTKWUGWNVPMLW-BBBLOLIVSA-N -1 1 319.331 1.265 20 0 DDADMM CCCNC(=O)[C@H](C)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852758282 704117477 /nfs/dbraw/zinc/11/74/77/704117477.db2.gz CQDHSIFPHDTDDE-VWYCJHECSA-N -1 1 323.359 1.290 20 0 DDADMM Cc1cc(C)n(CCC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000819965722 704193551 /nfs/dbraw/zinc/19/35/51/704193551.db2.gz FMIMVXRSGUAZFR-LBPRGKRZSA-N -1 1 319.365 1.385 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H](C)c1cnn(C(C)C)c1 ZINC000866779664 706798067 /nfs/dbraw/zinc/79/80/67/706798067.db2.gz QSPMZFBVDKGGJD-OVWNDWIMSA-N -1 1 322.456 1.477 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCN(Cc2ccccc2)C1=O ZINC000820407400 704273267 /nfs/dbraw/zinc/27/32/67/704273267.db2.gz KAFLQTRXZIHOKS-GFCCVEGCSA-N -1 1 314.345 1.411 20 0 DDADMM COC(=O)C1=NO[C@@]2(CCN(C(=O)c3ccc([O-])cc3F)C2)C1 ZINC000871381683 704279532 /nfs/dbraw/zinc/27/95/32/704279532.db2.gz JNLURCKNRGQORV-HNNXBMFYSA-N -1 1 322.292 1.065 20 0 DDADMM CCC[C@@H](NC(=O)C1=Cc2cc(C)ccc2OC1)c1nn[n-]n1 ZINC000820673885 704318655 /nfs/dbraw/zinc/31/86/55/704318655.db2.gz MYIHWNYIGQBMDV-CYBMUJFWSA-N -1 1 313.361 1.942 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]CC1(c2ccccc2)CC1 ZINC000820782367 704330267 /nfs/dbraw/zinc/33/02/67/704330267.db2.gz HQZNXMDXUFTNHK-UHFFFAOYSA-N -1 1 302.421 1.280 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2noc(C)n2)c1 ZINC000820864571 704340182 /nfs/dbraw/zinc/34/01/82/704340182.db2.gz AVBCFESUEDLNGU-GTUYJWLHSA-N -1 1 324.358 1.799 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000854634351 704430303 /nfs/dbraw/zinc/43/03/03/704430303.db2.gz AOFIDVYYDDWNNC-SECBINFHSA-N -1 1 318.308 1.554 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@](C)(O)C2CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856415961 704528042 /nfs/dbraw/zinc/52/80/42/704528042.db2.gz LRGKMHGXGMRASW-DFAYQTQMSA-N -1 1 322.327 1.063 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@](C)(NC(=O)c2cncc([O-])c2)C1 ZINC000856505483 704531747 /nfs/dbraw/zinc/53/17/47/704531747.db2.gz ZMVWYQHJFLILHB-MRXNPFEDSA-N -1 1 321.377 1.917 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])CN(CCS(=O)(=O)C2CCCCC2)C1 ZINC000857083591 704550108 /nfs/dbraw/zinc/55/01/08/704550108.db2.gz FRBDPFCFAUJEOZ-QWHCGFSZSA-N -1 1 317.451 1.777 20 0 DDADMM C[C@H]1CCN(CCS(=O)(=O)C2CCCCC2)C[C@@H]1C(=O)[O-] ZINC000857084019 704550227 /nfs/dbraw/zinc/55/02/27/704550227.db2.gz LUZRQTQHZLSDDH-JSGCOSHPSA-N -1 1 317.451 1.777 20 0 DDADMM CC[C@@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866845355 706823077 /nfs/dbraw/zinc/82/30/77/706823077.db2.gz FTKOKVPZHHDDIP-PRHODGIISA-N -1 1 324.805 1.950 20 0 DDADMM Cn1nccc1[C@@H](O)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000857365136 704584899 /nfs/dbraw/zinc/58/48/99/704584899.db2.gz JNZGNUYSOUVOJV-HNNXBMFYSA-N -1 1 316.361 1.107 20 0 DDADMM Cn1nccc1[C@H](O)C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000857367714 704585467 /nfs/dbraw/zinc/58/54/67/704585467.db2.gz QGSISACGMZJNOG-OAHLLOKOSA-N -1 1 316.361 1.107 20 0 DDADMM Cc1ccc([C@H](O)C[N-]S(=O)(=O)c2ccc(F)nc2F)o1 ZINC000866864613 706829632 /nfs/dbraw/zinc/82/96/32/706829632.db2.gz RFMAWBHEZXGCTI-MRVPVSSYSA-N -1 1 318.301 1.273 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCn2cncc21 ZINC000857983534 704655547 /nfs/dbraw/zinc/65/55/47/704655547.db2.gz BGNNICAOVFVIPB-SNVBAGLBSA-N -1 1 301.350 1.685 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC=CO1)c1nc[nH]c1Br ZINC000867151727 706910255 /nfs/dbraw/zinc/91/02/55/706910255.db2.gz MSSFXCUOTJDUJZ-SSDOTTSWSA-N -1 1 322.184 1.143 20 0 DDADMM O=S(=O)(Cc1cc(Cl)ccc1F)[N-]CC1OCCCO1 ZINC000867160359 706912387 /nfs/dbraw/zinc/91/23/87/706912387.db2.gz CQXGWQUCHITMBY-UHFFFAOYSA-N -1 1 323.773 1.662 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCCc3nn(C)cc32)sn1 ZINC000867236456 706934119 /nfs/dbraw/zinc/93/41/19/706934119.db2.gz OYZSYSWESDDGMQ-LLVKDONJSA-N -1 1 312.420 1.541 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](Nc3cc(Cl)[n-]c(=O)n3)[C@@H]2C1 ZINC000858547598 704725336 /nfs/dbraw/zinc/72/53/36/704725336.db2.gz IYFMVNOAQBFMSK-AXFHLTTASA-N -1 1 310.785 1.895 20 0 DDADMM CC(C)C[C@H](C(=O)[O-])[N@H+]1C[C@@H](C(=O)[O-])C[C@@H](c2cnn(C)c2)C1 ZINC000873645828 704815759 /nfs/dbraw/zinc/81/57/59/704815759.db2.gz RJXRBMPQMPTXDN-MBNYWOFBSA-N -1 1 323.393 1.410 20 0 DDADMM Cc1ccc(NC2CC2)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000821893205 704836483 /nfs/dbraw/zinc/83/64/83/704836483.db2.gz DVTZPPHZTQNWOL-LLVKDONJSA-N -1 1 314.393 1.958 20 0 DDADMM O=C(c1ccc(I)cc1[O-])N1CC(F)C1 ZINC000867355588 706972540 /nfs/dbraw/zinc/97/25/40/706972540.db2.gz YUNUGXOHQPJQEF-UHFFFAOYSA-N -1 1 321.089 1.791 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867362217 706974928 /nfs/dbraw/zinc/97/49/28/706974928.db2.gz ZWFAQBNWSAWJOM-QMMMGPOBSA-N -1 1 316.333 1.003 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CCS[C@H]1C ZINC000867454141 707006370 /nfs/dbraw/zinc/00/63/70/707006370.db2.gz XUWQNGKUZBQNGW-DTWKUNHWSA-N -1 1 300.471 1.223 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@@H]2CC[C@@H](C3CC3)O2)[n-]1 ZINC000822547057 704971879 /nfs/dbraw/zinc/97/18/79/704971879.db2.gz FZCUUWQZJJSFBW-JQWIXIFHSA-N -1 1 307.350 1.873 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@@H]2CC[C@@H](C3CC3)O2)n1 ZINC000822547057 704971880 /nfs/dbraw/zinc/97/18/80/704971880.db2.gz FZCUUWQZJJSFBW-JQWIXIFHSA-N -1 1 307.350 1.873 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC000859693348 704976221 /nfs/dbraw/zinc/97/62/21/704976221.db2.gz YMUOBHFZRHRCNK-YUMQZZPRSA-N -1 1 305.256 1.899 20 0 DDADMM CCn1cc(CN[C@@H](C(=O)[O-])c2ccc3c(c2)CCO3)cn1 ZINC000859817336 705012634 /nfs/dbraw/zinc/01/26/34/705012634.db2.gz OSKKAYCERPYWRL-OAHLLOKOSA-N -1 1 301.346 1.753 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1nc[nH]c1Br)C1CC1 ZINC000867528258 707029659 /nfs/dbraw/zinc/02/96/59/707029659.db2.gz DBTJWGPBTZJPMY-QMMMGPOBSA-N -1 1 322.228 1.885 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H]1C[C@H](C(=O)[O-])C1)c1ccc(F)cc1 ZINC000874386592 705031568 /nfs/dbraw/zinc/03/15/68/705031568.db2.gz PVZRCERGWJTIPE-MRVWCRGKSA-N -1 1 323.368 1.591 20 0 DDADMM CC(C)N1CCN(C(=O)NCc2ccccc2C(=O)[O-])CC1 ZINC000874409420 705045978 /nfs/dbraw/zinc/04/59/78/705045978.db2.gz KRKDAAPNJXIOIP-UHFFFAOYSA-N -1 1 305.378 1.620 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@](O)(C4CC4)C3)ccnc1-2 ZINC000823061454 705127851 /nfs/dbraw/zinc/12/78/51/705127851.db2.gz BLDRUUBTEXJWRQ-MRXNPFEDSA-N -1 1 315.377 1.111 20 0 DDADMM C[C@H](CN(C)C(=O)c1c(Cl)nn(C)c1Cl)c1nn[n-]n1 ZINC000860785615 705282165 /nfs/dbraw/zinc/28/21/65/705282165.db2.gz DEANSCNBDVWRLI-RXMQYKEDSA-N -1 1 318.168 1.116 20 0 DDADMM CC1(C)C[C@H]1CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875276790 705338031 /nfs/dbraw/zinc/33/80/31/705338031.db2.gz UXBYDPBAWYXIAG-VIFPVBQESA-N -1 1 307.316 1.352 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3CO[C@H](C4CC4)C3)ccnc1-2 ZINC000861025896 705352282 /nfs/dbraw/zinc/35/22/82/705352282.db2.gz UOFODUDILBWJKD-GWCFXTLKSA-N -1 1 301.350 1.031 20 0 DDADMM CC(C)COC(=O)COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000824266278 705413804 /nfs/dbraw/zinc/41/38/04/705413804.db2.gz JAMHNVZRXBYIOI-UHFFFAOYSA-N -1 1 310.335 1.284 20 0 DDADMM CC(C)COC(=O)COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000824266278 705413807 /nfs/dbraw/zinc/41/38/07/705413807.db2.gz JAMHNVZRXBYIOI-UHFFFAOYSA-N -1 1 310.335 1.284 20 0 DDADMM O=C([N-]CC1CCN(C(=O)c2cccnn2)CC1)C(F)(F)F ZINC000861510116 705488784 /nfs/dbraw/zinc/48/87/84/705488784.db2.gz NCNPPPPYMFPFNK-UHFFFAOYSA-N -1 1 316.283 1.007 20 0 DDADMM CON(C)CCCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000824593627 705489524 /nfs/dbraw/zinc/48/95/24/705489524.db2.gz YVVUIKLCMOHRHH-UHFFFAOYSA-N -1 1 304.346 1.864 20 0 DDADMM CSc1nc(CNC(=O)C[C@H]2CC[C@H](C3CC3)O2)cc(=O)[n-]1 ZINC000824602803 705491386 /nfs/dbraw/zinc/49/13/86/705491386.db2.gz JILCXKBMUOGCQA-VXGBXAGGSA-N -1 1 323.418 1.868 20 0 DDADMM CO[C@@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000826147273 705778353 /nfs/dbraw/zinc/77/83/53/705778353.db2.gz RPPZGEJWJXKHKD-SECBINFHSA-N -1 1 308.773 1.920 20 0 DDADMM CO[C@@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000826147273 705778357 /nfs/dbraw/zinc/77/83/57/705778357.db2.gz RPPZGEJWJXKHKD-SECBINFHSA-N -1 1 308.773 1.920 20 0 DDADMM Cc1onc(CC(=O)NCc2ccsc2)c1-c1nnn[n-]1 ZINC000826348030 705794514 /nfs/dbraw/zinc/79/45/14/705794514.db2.gz QQJGFGGQJVVWCL-UHFFFAOYSA-N -1 1 304.335 1.084 20 0 DDADMM Cc1onc(CC(=O)NCc2ccsc2)c1-c1nn[n-]n1 ZINC000826348030 705794520 /nfs/dbraw/zinc/79/45/20/705794520.db2.gz QQJGFGGQJVVWCL-UHFFFAOYSA-N -1 1 304.335 1.084 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1(O)CCOCC1 ZINC000863476724 705936834 /nfs/dbraw/zinc/93/68/34/705936834.db2.gz MLRKBDUKKOYNPV-UHFFFAOYSA-N -1 1 303.318 1.058 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cc2n(n1)CCC2 ZINC000827148372 705940295 /nfs/dbraw/zinc/94/02/95/705940295.db2.gz HVVKDJWHZCGMJV-UHFFFAOYSA-N -1 1 323.150 1.945 20 0 DDADMM CS(=O)(=O)CCn1[n-]c2c(c1=O)CCCc1ccccc1-2 ZINC000871951976 707253687 /nfs/dbraw/zinc/25/36/87/707253687.db2.gz UNUIORNSJXKEFQ-ZDUSSCGKSA-N -1 1 306.387 1.230 20 0 DDADMM O=C(c1ccsc1C(F)F)N1CCOC[C@H]1c1nn[n-]n1 ZINC000827961539 706094363 /nfs/dbraw/zinc/09/43/63/706094363.db2.gz PMIDDMVAHWMLCY-ZETCQYMHSA-N -1 1 315.305 1.413 20 0 DDADMM C[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@H]1CCCOC1 ZINC000828080628 706115022 /nfs/dbraw/zinc/11/50/22/706115022.db2.gz GCOIUPCLCLCPKA-ONGXEEELSA-N -1 1 306.391 1.563 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(S(=O)(=O)c2cc(O)cc(F)c2)C1 ZINC000864495590 706181649 /nfs/dbraw/zinc/18/16/49/706181649.db2.gz JJAOBFTUINCHEF-GFCCVEGCSA-N -1 1 303.311 1.017 20 0 DDADMM Cn1ccc(C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1 ZINC000872459194 707423310 /nfs/dbraw/zinc/42/33/10/707423310.db2.gz RAPOSWHKFACZFW-GOSISDBHSA-N -1 1 307.441 1.256 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCC(F)(F)C1 ZINC000872462573 707426165 /nfs/dbraw/zinc/42/61/65/707426165.db2.gz HWCMZLYFLYVXOL-NTCNTBNZSA-N -1 1 318.411 1.905 20 0 DDADMM CC[C@@H](CSC)[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872470151 707430568 /nfs/dbraw/zinc/43/05/68/707430568.db2.gz GCPZFBDDYIUKGR-XYZCENFISA-N -1 1 316.514 1.859 20 0 DDADMM CCC[C@@](C)(NCc1nc2c(c(=O)[n-]1)COCC2)C(=O)OC ZINC000878112615 706297773 /nfs/dbraw/zinc/29/77/73/706297773.db2.gz MXPQMDFPJDUTLE-OAHLLOKOSA-N -1 1 309.366 1.076 20 0 DDADMM COCCn1ncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1C ZINC000864975291 706317358 /nfs/dbraw/zinc/31/73/58/706317358.db2.gz ROMYZIFCJDMVPN-UHFFFAOYSA-N -1 1 318.259 1.227 20 0 DDADMM O=S(=O)([N-]C1CCC2(CC1)OCCO2)c1c[nH]nc1Cl ZINC000830033841 706441935 /nfs/dbraw/zinc/44/19/35/706441935.db2.gz GAWMZRYFILMKSC-UHFFFAOYSA-N -1 1 321.786 1.027 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1ccnn1C ZINC000872512052 707455724 /nfs/dbraw/zinc/45/57/24/707455724.db2.gz OZJQSAIXKJUIPI-UGZDLDLSSA-N -1 1 322.456 1.212 20 0 DDADMM CCN(CC)C(=O)C[N-]S(=O)(=O)c1sccc1Cl ZINC000830200279 706473427 /nfs/dbraw/zinc/47/34/27/706473427.db2.gz CCHCSYNJSQXZII-UHFFFAOYSA-N -1 1 310.828 1.548 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1c[nH]nc1Cl ZINC000872557433 707478332 /nfs/dbraw/zinc/47/83/32/707478332.db2.gz VIVCLIJUHHNSFO-CIUDSAMLSA-N -1 1 305.787 1.299 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)[C@@H]2CCO[C@@H]21 ZINC000830663412 706556625 /nfs/dbraw/zinc/55/66/25/706556625.db2.gz FMLNJLDZUSSTOB-ZQARSLAVSA-N -1 1 305.787 1.155 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]Cc1nonc1C)c1ccccc1 ZINC000830713881 706567128 /nfs/dbraw/zinc/56/71/28/706567128.db2.gz GHBICGWWJJWPKL-ZDUSSCGKSA-N -1 1 311.363 1.185 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](O)c2ccccc2F)sn1 ZINC000866833578 706819501 /nfs/dbraw/zinc/81/95/01/706819501.db2.gz SFADYPAVMCCPEL-NSHDSACASA-N -1 1 316.379 1.603 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)/C=C\c2ccc[nH]2)C1 ZINC000830807335 706583081 /nfs/dbraw/zinc/58/30/81/706583081.db2.gz HQDQHLWDLFTTRM-ZFDPJTLLSA-N -1 1 315.295 1.697 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCCS2)C1 ZINC000830822503 706586820 /nfs/dbraw/zinc/58/68/20/706586820.db2.gz PVFMHCVAKNEBHL-LDYMZIIASA-N -1 1 310.341 1.552 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1ccccc1CO ZINC000866835539 706819768 /nfs/dbraw/zinc/81/97/68/706819768.db2.gz MNRVTQLNYMGSDJ-UHFFFAOYSA-N -1 1 320.436 1.021 20 0 DDADMM [O-]C(=NO[C@@H]1CCCCO1)Nc1cnnn1-c1ccccc1 ZINC000879950038 706830706 /nfs/dbraw/zinc/83/07/06/706830706.db2.gz CZSBWNWMZGXNGW-CYBMUJFWSA-N -1 1 303.322 1.847 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1cnnn1-c1ccccc1 ZINC000879950038 706830708 /nfs/dbraw/zinc/83/07/08/706830708.db2.gz CZSBWNWMZGXNGW-CYBMUJFWSA-N -1 1 303.322 1.847 20 0 DDADMM CS[C@@H]1CC[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000866885780 706834672 /nfs/dbraw/zinc/83/46/72/706834672.db2.gz TXWVCHWYXUWNGH-HTQZYQBOSA-N -1 1 308.375 1.922 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2oc(=S)[n-]c2c1)c1nn[nH]n1 ZINC000832127246 706848713 /nfs/dbraw/zinc/84/87/13/706848713.db2.gz UUKVHLIYKXDVDM-ZETCQYMHSA-N -1 1 318.362 1.505 20 0 DDADMM CCc1cc(CNC(=O)N2C[C@@H]3CCC[C@H]3[C@H]2C(=O)[O-])n[nH]1 ZINC000908980723 712907876 /nfs/dbraw/zinc/90/78/76/712907876.db2.gz DZYBXPMDXUTKOX-BIMULSAOSA-N -1 1 306.366 1.367 20 0 DDADMM C[C@@]1(C(F)(F)F)CCN(Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC000880002422 706850246 /nfs/dbraw/zinc/85/02/46/706850246.db2.gz JXIIKTGYPFCKML-GFCCVEGCSA-N -1 1 300.284 1.797 20 0 DDADMM O=S(=O)([N-][C@H]1CCCc2c[nH]nc21)c1ccc(F)nc1F ZINC000866975454 706861338 /nfs/dbraw/zinc/86/13/38/706861338.db2.gz UEESWLIFEXAMBO-QMMMGPOBSA-N -1 1 314.317 1.439 20 0 DDADMM Cc1cccc(C)c1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866974139 706861536 /nfs/dbraw/zinc/86/15/36/706861536.db2.gz HBHWEOBVMYKGBW-UHFFFAOYSA-N -1 1 316.448 1.900 20 0 DDADMM CC1(C)C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867008613 706870670 /nfs/dbraw/zinc/87/06/70/706870670.db2.gz JEGATOOABGEOAE-QMMMGPOBSA-N -1 1 306.334 1.596 20 0 DDADMM O=S(=O)([N-][C@@H]([C@H](CO)C1CC1)C1CC1)c1c[nH]nc1Cl ZINC000832233486 706876779 /nfs/dbraw/zinc/87/67/79/706876779.db2.gz ZNSXKBFIRKHMEK-MWLCHTKSSA-N -1 1 319.814 1.139 20 0 DDADMM C/C=C/C[C@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867120668 706902534 /nfs/dbraw/zinc/90/25/34/706902534.db2.gz SWVHWQIAFHCRJX-UFUPEUMYSA-N -1 1 308.762 1.480 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2ncn(C)c2Cl)co1 ZINC000832473788 706922158 /nfs/dbraw/zinc/92/21/58/706922158.db2.gz RDYBDQLCSNGPRF-UHFFFAOYSA-N -1 1 304.759 1.102 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)C[C@]2(C)CC2(Cl)Cl)C(=O)O1 ZINC000867270008 706943000 /nfs/dbraw/zinc/94/30/00/706943000.db2.gz NYGANYRQJJYFII-FJXKBIBVSA-N -1 1 316.206 1.194 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H](CO)C1)c1ccc(F)nc1F ZINC000867410380 706991951 /nfs/dbraw/zinc/99/19/51/706991951.db2.gz ZQEYCOYCNHZLMP-RKDXNWHRSA-N -1 1 306.334 1.189 20 0 DDADMM CC1(CS(=O)(=O)[N-]CC(=O)N=S(C)(C)=O)CCCCC1 ZINC000867427241 706998081 /nfs/dbraw/zinc/99/80/81/706998081.db2.gz LYESWDOBTSOOOV-UHFFFAOYSA-N -1 1 324.468 1.130 20 0 DDADMM O=C([O-])CN(C(=O)N[C@@H]1CCc2nc[nH]c2C1)C1CCCC1 ZINC000909021540 712919165 /nfs/dbraw/zinc/91/91/65/712919165.db2.gz XSDLMDSOVZWWRF-SNVBAGLBSA-N -1 1 306.366 1.306 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCCC12CC2 ZINC000867568267 707042502 /nfs/dbraw/zinc/04/25/02/707042502.db2.gz GVHHGLVXLUKANM-NSHDSACASA-N -1 1 308.469 1.909 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC000834397020 707045022 /nfs/dbraw/zinc/04/50/22/707045022.db2.gz TWFUDHLGMXTCBV-OUAUKWLOSA-N -1 1 323.418 1.900 20 0 DDADMM CSc1nc(CNC(=O)c2ncc(C)cc2C)cc(=O)[n-]1 ZINC000880651846 707048534 /nfs/dbraw/zinc/04/85/34/707048534.db2.gz ZTWLWMNLTKDWFO-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2nc[nH]c2Br)[C@H](C)O1 ZINC000867609350 707052983 /nfs/dbraw/zinc/05/29/83/707052983.db2.gz QGOFBWXXDQUYLP-VQVTYTSYSA-N -1 1 324.200 1.016 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCS[C@@H](C)C2)co1 ZINC000834661917 707099938 /nfs/dbraw/zinc/09/99/38/707099938.db2.gz UXNBVWXERYLMDC-WCBMZHEXSA-N -1 1 318.420 1.202 20 0 DDADMM O=C([N-]S(=O)(=O)CC1CCC1)c1cnn(-c2ccncc2)c1 ZINC000835248722 707204948 /nfs/dbraw/zinc/20/49/48/707204948.db2.gz MZGVQKKHKPIUCH-UHFFFAOYSA-N -1 1 320.374 1.127 20 0 DDADMM CCc1[n-]n(-c2nc3c(cnn3C(C)(C)C)c(=O)[nH]2)c(=O)c1F ZINC000871952270 707253506 /nfs/dbraw/zinc/25/35/06/707253506.db2.gz YPDCIZJOYRSHGZ-VIFPVBQESA-N -1 1 320.328 1.738 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1N(CCS(=O)(=O)c1ccccc1)CC2 ZINC000872168645 707312425 /nfs/dbraw/zinc/31/24/25/707312425.db2.gz XZDOEKDFHXECOL-ZBFHGGJFSA-N -1 1 323.414 1.790 20 0 DDADMM Cc1ccccc1[C@H](C(=O)[O-])N(C)CC(C)(C)S(C)(=O)=O ZINC000872167844 707312446 /nfs/dbraw/zinc/31/24/46/707312446.db2.gz NSPOXBPIIUGPOF-CYBMUJFWSA-N -1 1 313.419 1.876 20 0 DDADMM COC(=O)[C@@H]1[C@H](CNC(=O)c2c([O-])cccc2Cl)C1(F)F ZINC000881820355 707379397 /nfs/dbraw/zinc/37/93/97/707379397.db2.gz FRQNVZOFBXNDFE-WKEGUHRASA-N -1 1 319.691 1.830 20 0 DDADMM C[C@](NC(=O)NCc1ccc2cncn2c1)(C(=O)[O-])C1CC1 ZINC000909173162 712954109 /nfs/dbraw/zinc/95/41/09/712954109.db2.gz YCEILVPSMNRNQV-OAHLLOKOSA-N -1 1 302.334 1.387 20 0 DDADMM CCON(CC1CCCCC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000837199191 707597699 /nfs/dbraw/zinc/59/76/99/707597699.db2.gz MZDCPLKFLZIGFX-UHFFFAOYSA-N -1 1 323.393 1.287 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)O[C@H]1CCOC1 ZINC000837482496 707660787 /nfs/dbraw/zinc/66/07/87/707660787.db2.gz QRZSKFFUKOBZGI-NSHDSACASA-N -1 1 305.334 1.316 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1ccc2c(C)[nH]nc2c1 ZINC000882711803 707757678 /nfs/dbraw/zinc/75/76/78/707757678.db2.gz HCDNHOLNFXBZRW-UHFFFAOYSA-N -1 1 319.390 1.968 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)C(C)(C)OC)c1 ZINC000921422600 713765953 /nfs/dbraw/zinc/76/59/53/713765953.db2.gz AYFYRWRKBLGXHW-QMMMGPOBSA-N -1 1 305.352 1.158 20 0 DDADMM C/C(=C\C(=O)Nc1ccc([O-])c(F)c1F)CN1CCOCC1 ZINC000909373116 713002618 /nfs/dbraw/zinc/00/26/18/713002618.db2.gz UAJCRZNRSGIKOW-CSKARUKUSA-N -1 1 312.316 1.887 20 0 DDADMM C[C@@]1(C(=O)Nc2ccc([O-])c(F)c2F)CCCS1(=O)=O ZINC000909372943 713002630 /nfs/dbraw/zinc/00/26/30/713002630.db2.gz RBKZYDDGBQEMMX-LBPRGKRZSA-N -1 1 305.302 1.576 20 0 DDADMM CC(C)N(Cc1ccco1)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909401567 713008921 /nfs/dbraw/zinc/00/89/21/713008921.db2.gz PGWJUTNAMIQPIZ-CYBMUJFWSA-N -1 1 308.378 1.813 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2ccc3c(c2)CCCO3)C1 ZINC000909407594 713010468 /nfs/dbraw/zinc/01/04/68/713010468.db2.gz UGHJDCXUQRMUGU-CYBMUJFWSA-N -1 1 318.373 1.747 20 0 DDADMM COC(=O)N1CC(NC(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000897076737 708223822 /nfs/dbraw/zinc/22/38/22/708223822.db2.gz ALHSSJHYIAEHDG-UHFFFAOYSA-N -1 1 316.313 1.431 20 0 DDADMM COc1ccc(N(C)C(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1F ZINC000909413644 713011581 /nfs/dbraw/zinc/01/15/81/713011581.db2.gz RNJKMZKOVPMXJG-LLVKDONJSA-N -1 1 324.352 1.594 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CC[C@](F)(CO)C1 ZINC000897690408 708420447 /nfs/dbraw/zinc/42/04/47/708420447.db2.gz SPHYIPKGIJAXQR-MRXNPFEDSA-N -1 1 305.305 1.798 20 0 DDADMM COC(OC)[C@@H](C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897761720 708442553 /nfs/dbraw/zinc/44/25/53/708442553.db2.gz LYBJHPPRBHITAQ-SECBINFHSA-N -1 1 305.330 1.988 20 0 DDADMM CC(C)[C@@H]1C[C@@H](C[N-]S(=O)(=O)c2ccns2)CCO1 ZINC000885217591 708496579 /nfs/dbraw/zinc/49/65/79/708496579.db2.gz KDPWYGCPOVWECV-QWRGUYRKSA-N -1 1 304.437 1.873 20 0 DDADMM C[C@H](O)[C@H]([N-]S(=O)(=O)c1ccns1)c1ccccc1F ZINC000885272933 708507735 /nfs/dbraw/zinc/50/77/35/708507735.db2.gz SHLRHBUYVSVRBX-UFBFGSQYSA-N -1 1 316.379 1.683 20 0 DDADMM COC(=O)C(C)(C)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000885406151 708537129 /nfs/dbraw/zinc/53/71/29/708537129.db2.gz FFXUOFZLILMMHT-UHFFFAOYSA-N -1 1 307.318 1.442 20 0 DDADMM CN1C[C@@H](O)C[C@]1(C)C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885679531 708596330 /nfs/dbraw/zinc/59/63/30/708596330.db2.gz BTBJOBFFHGZAOY-WPPNPWJKSA-N -1 1 302.733 1.578 20 0 DDADMM O=C(NCc1nc2c(s1)COCC2)c1ccc([O-])c(F)c1 ZINC000885973765 708674258 /nfs/dbraw/zinc/67/42/58/708674258.db2.gz BCNJISNTUSBXEQ-UHFFFAOYSA-N -1 1 308.334 1.991 20 0 DDADMM COCC(C)(C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927786460 713055805 /nfs/dbraw/zinc/05/58/05/713055805.db2.gz UNWNTRQLDJWWOX-UHFFFAOYSA-N -1 1 302.321 1.937 20 0 DDADMM CC[C@H](O)[C@@H](C)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000912732221 713077339 /nfs/dbraw/zinc/07/73/39/713077339.db2.gz VJLJRXRZNGAVNL-ZNSHCXBVSA-N -1 1 324.343 1.309 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@H]1C[C@@H]1CCOC1 ZINC000887810275 709129705 /nfs/dbraw/zinc/12/97/05/709129705.db2.gz CYRWTJFGPQVUAP-WCQYABFASA-N -1 1 309.337 1.799 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCOC[C@@H]1C[C@@H]1CCOC1 ZINC000887811205 709130050 /nfs/dbraw/zinc/13/00/50/709130050.db2.gz PYPJGUNPTXPFCD-AAEUAGOBSA-N -1 1 309.337 1.799 20 0 DDADMM COC(=O)C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C1CCCC1 ZINC000899649960 709137446 /nfs/dbraw/zinc/13/74/46/709137446.db2.gz REIMGWSEAULLRD-CQSZACIVSA-N -1 1 319.357 1.813 20 0 DDADMM CN1CCN(C(=O)c2ccc3ccccc3c2[O-])[C@H](CO)C1 ZINC000912747448 713083339 /nfs/dbraw/zinc/08/33/39/713083339.db2.gz JOMGTVMZMMDRKF-ZDUSSCGKSA-N -1 1 300.358 1.294 20 0 DDADMM CC(C)C[C@@H](CC(=O)[O-])C(=O)NCc1cc(N(C)C)ccn1 ZINC000899833217 709209674 /nfs/dbraw/zinc/20/96/74/709209674.db2.gz ANBSXIBYMYFEOX-LBPRGKRZSA-N -1 1 307.394 1.901 20 0 DDADMM CCN1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)CC1 ZINC000899908214 709234120 /nfs/dbraw/zinc/23/41/20/709234120.db2.gz UDMSFHRJGCHFDI-UHFFFAOYSA-N -1 1 303.337 1.857 20 0 DDADMM CCOC(=O)C1([C@H](C)NC(=O)c2cncc([O-])c2)CCOCC1 ZINC000888342430 709283220 /nfs/dbraw/zinc/28/32/20/709283220.db2.gz JLDGTXYLGQHVTF-NSHDSACASA-N -1 1 322.361 1.265 20 0 DDADMM COC(=O)[C@@H]1CCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900120637 709297201 /nfs/dbraw/zinc/29/72/01/709297201.db2.gz CRACFDVVHBEFDQ-NSHDSACASA-N -1 1 318.304 1.857 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-][C@@]2(C)CCNC2=O)cnc1Cl ZINC000889023142 709434384 /nfs/dbraw/zinc/43/43/84/709434384.db2.gz TYZWPQLEWKJXSA-LBPRGKRZSA-N -1 1 317.723 1.530 20 0 DDADMM C[C@@]1([C@H]2CCCN(C(=O)c3ccc([O-])cc3F)C2)COC(=O)N1 ZINC000928023212 713105569 /nfs/dbraw/zinc/10/55/69/713105569.db2.gz GPFOQTYQPDZDDI-QFYYESIMSA-N -1 1 322.336 1.882 20 0 DDADMM O=C([O-])CC[C@@H](Cc1ccccc1)NC(=O)CCc1cnc[nH]1 ZINC000909534837 709505270 /nfs/dbraw/zinc/50/52/70/709505270.db2.gz IUMYZOCTOMTJIJ-AWEZNQCLSA-N -1 1 315.373 1.935 20 0 DDADMM O=c1[nH]c(CC(F)(F)F)c([O-])n1-c1noc2c1CCCC2 ZINC000900275107 709516372 /nfs/dbraw/zinc/51/63/72/709516372.db2.gz ZYRMEDBGECRSAB-SSDOTTSWSA-N -1 1 303.240 1.931 20 0 DDADMM CSCC[C@H](NC(=O)Cc1ccc(C)cc1)c1nn[n-]n1 ZINC000912859872 713109428 /nfs/dbraw/zinc/10/94/28/713109428.db2.gz HHIDTMOBZKUHOV-LBPRGKRZSA-N -1 1 305.407 1.661 20 0 DDADMM CSCC[C@H](NC(=O)C[C@H](C)n1cccc1)c1nn[n-]n1 ZINC000912860148 713109450 /nfs/dbraw/zinc/10/94/50/713109450.db2.gz ILWCMZRGAFPGBA-QWRGUYRKSA-N -1 1 308.411 1.563 20 0 DDADMM CSCC[C@H](NC(=O)Cc1cc(C)ccc1C)c1nn[n-]n1 ZINC000912860600 713110014 /nfs/dbraw/zinc/11/00/14/713110014.db2.gz RIILBYRXJVBITB-ZDUSSCGKSA-N -1 1 319.434 1.970 20 0 DDADMM CSCC[C@H](NC(=O)CCCOC(C)(C)C)c1nn[n-]n1 ZINC000912861861 713110304 /nfs/dbraw/zinc/11/03/04/713110304.db2.gz AMWGQJXUSQAKSF-JTQLQIEISA-N -1 1 315.443 1.705 20 0 DDADMM CCc1ccccc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912861778 713110667 /nfs/dbraw/zinc/11/06/67/713110667.db2.gz XRJOHYSNLDWQOC-LBPRGKRZSA-N -1 1 305.407 1.986 20 0 DDADMM COC(=O)[C@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900339560 709545773 /nfs/dbraw/zinc/54/57/73/709545773.db2.gz JGMMVGBNQRPSGN-LLVKDONJSA-N -1 1 305.334 1.227 20 0 DDADMM CO[C@@H]1CCC[C@@H](C(=O)N[C@@H](CCSC)c2nn[n-]n2)C1 ZINC000912863332 713111690 /nfs/dbraw/zinc/11/16/90/713111690.db2.gz UEURVNIGBQSJRX-MXWKQRLJSA-N -1 1 313.427 1.315 20 0 DDADMM COc1cc(C(=O)[O-])ccc1CCNC(=O)[C@H]1CCCCN1C ZINC000909629868 709548463 /nfs/dbraw/zinc/54/84/63/709548463.db2.gz XMNSGRUJJTVUNM-CQSZACIVSA-N -1 1 320.389 1.536 20 0 DDADMM COc1cc(C(=O)[O-])ccc1CCNC(=O)CN(C)C1CCC1 ZINC000909629584 709548556 /nfs/dbraw/zinc/54/85/56/709548556.db2.gz TZYBHGAEVOSHHA-UHFFFAOYSA-N -1 1 320.389 1.536 20 0 DDADMM CCN(CC(=O)N(C)[C@@H](Cc1ccccc1)C(=O)[O-])C1CC1 ZINC000909641559 709554528 /nfs/dbraw/zinc/55/45/28/709554528.db2.gz AXHCYUODBCCCGA-HNNXBMFYSA-N -1 1 304.390 1.625 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@](C)(CC(=O)[O-])c1cccc(F)c1 ZINC000909680796 709574923 /nfs/dbraw/zinc/57/49/23/709574923.db2.gz HJEMZUHUOGOIAX-CZUORRHYSA-N -1 1 308.353 1.726 20 0 DDADMM C[C@@](CC(=O)[O-])(NC(=O)Cc1cnc[nH]1)c1cccc(F)c1 ZINC000909687334 709578446 /nfs/dbraw/zinc/57/84/46/709578446.db2.gz WTXKLHGKLCGGFC-HNNXBMFYSA-N -1 1 305.309 1.598 20 0 DDADMM CS[C@@H]1CCCCN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)C1 ZINC000909729582 709599078 /nfs/dbraw/zinc/59/90/78/709599078.db2.gz OYUCSRRYYDKVKV-QWHCGFSZSA-N -1 1 314.451 1.527 20 0 DDADMM C[C@H]1NCCn2c(C(=O)NCc3cccc(C(=O)[O-])c3)ccc21 ZINC000900457735 709604017 /nfs/dbraw/zinc/60/40/17/709604017.db2.gz VTRQSPNEJKJNQH-LLVKDONJSA-N -1 1 313.357 1.781 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H](CC(F)F)C(=O)[O-])c2C1 ZINC000909775740 709613874 /nfs/dbraw/zinc/61/38/74/709613874.db2.gz QULOQVVVXBTEGV-MUWHJKNJSA-N -1 1 301.293 1.373 20 0 DDADMM C[C@H](NC(=O)[C@H]1CCCN1C)c1ccc(OCC(=O)[O-])cc1 ZINC000909790211 709621308 /nfs/dbraw/zinc/62/13/08/709621308.db2.gz PZJDGSSIDAJJEG-SMDDNHRTSA-N -1 1 306.362 1.421 20 0 DDADMM CCC1(CC(=O)N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)COC1 ZINC000889780851 709632451 /nfs/dbraw/zinc/63/24/51/709632451.db2.gz LRDIQPWXYFCEIU-LLVKDONJSA-N -1 1 321.377 1.671 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H](OC)C2CCC2)[n-]c1=O ZINC000889786903 709635612 /nfs/dbraw/zinc/63/56/12/709635612.db2.gz OQEQCBUTTUKKBK-YPMHNXCESA-N -1 1 321.377 1.669 20 0 DDADMM C[C@H]1C(=O)NCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900526004 709637037 /nfs/dbraw/zinc/63/70/37/709637037.db2.gz RFZBVWQBISDXAU-QMMMGPOBSA-N -1 1 303.293 1.040 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cccc(F)n2)[n-]c1=O ZINC000889791204 709637282 /nfs/dbraw/zinc/63/72/82/709637282.db2.gz XZJNXVLCTWPUJG-SNVBAGLBSA-N -1 1 318.308 1.702 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ncccc2C)[n-]c1=O ZINC000889791534 709638213 /nfs/dbraw/zinc/63/82/13/709638213.db2.gz IJRWJZGHDOWPAZ-LLVKDONJSA-N -1 1 314.345 1.872 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C[C@H]2CCCCO2)[n-]c1=O ZINC000889791519 709638314 /nfs/dbraw/zinc/63/83/14/709638314.db2.gz IEUBHWSQJZBODR-VXGBXAGGSA-N -1 1 321.377 1.814 20 0 DDADMM C[C@H](NC(=O)[C@H]1CCCN1C)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909820041 709639477 /nfs/dbraw/zinc/63/94/77/709639477.db2.gz MTKBJUNTSZKBJO-AEGPPILISA-N -1 1 304.390 1.529 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCC[C@](C)(C(=O)[O-])C2)C1 ZINC000909839466 709646731 /nfs/dbraw/zinc/64/67/31/709646731.db2.gz DWAHMNPZCFFJFW-SIVJFFJCSA-N -1 1 305.378 1.789 20 0 DDADMM COC(=O)N[C@H](C(=O)Nc1cc([O-])c(F)cc1F)C(C)C ZINC000909978165 709715749 /nfs/dbraw/zinc/71/57/49/709715749.db2.gz SRPJGIJJFROVQC-NSHDSACASA-N -1 1 302.277 1.990 20 0 DDADMM Cn1cc([C@@]2(CNC(=O)c3cc(F)ccc3[O-])CCCO2)cn1 ZINC000890151349 709769495 /nfs/dbraw/zinc/76/94/95/709769495.db2.gz ACCTYCIDLVLZKQ-INIZCTEOSA-N -1 1 319.336 1.701 20 0 DDADMM Cn1cc([C@]2(CNC(=O)c3ccc([O-])cc3F)CCCO2)cn1 ZINC000890152816 709770175 /nfs/dbraw/zinc/77/01/75/709770175.db2.gz WJVTUQOWOIYSKD-MRXNPFEDSA-N -1 1 319.336 1.701 20 0 DDADMM O=C([O-])c1csc([C@@H]2CCCN2C(=O)Cc2c[nH]cn2)n1 ZINC000910110989 709775383 /nfs/dbraw/zinc/77/53/83/709775383.db2.gz XOAASZXMVSEXOH-JTQLQIEISA-N -1 1 306.347 1.471 20 0 DDADMM COc1cc(C)ccc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910171191 709796874 /nfs/dbraw/zinc/79/68/74/709796874.db2.gz VEBKULIKASHWAK-CYBMUJFWSA-N -1 1 320.389 1.625 20 0 DDADMM Cc1ccc(CCC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)s1 ZINC000910174816 709798024 /nfs/dbraw/zinc/79/80/24/709798024.db2.gz XOIUOHHMKQEZNP-GFCCVEGCSA-N -1 1 324.446 1.997 20 0 DDADMM Cc1oc(C(C)C)nc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910178188 709799271 /nfs/dbraw/zinc/79/92/71/709799271.db2.gz UQYAZMALLYIHEO-UHFFFAOYSA-N -1 1 323.393 1.727 20 0 DDADMM CCCN(C)CC(=O)Nc1ccc(O[C@@H](C)C(=O)[O-])c(F)c1 ZINC000910227967 709826985 /nfs/dbraw/zinc/82/69/85/709826985.db2.gz JNHSMNFSXZGZSV-JTQLQIEISA-N -1 1 312.341 1.958 20 0 DDADMM CO[C@@H]1CN(C(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)[C@](C)(C(=O)[O-])C1 ZINC000910262753 709847199 /nfs/dbraw/zinc/84/71/99/709847199.db2.gz DTFKXBIHDLGIHR-AEPCNGEQSA-N -1 1 321.377 1.166 20 0 DDADMM COCCO[N-]C(=O)Cc1sc(-c2ccn(C)n2)nc1C ZINC000900955776 709847277 /nfs/dbraw/zinc/84/72/77/709847277.db2.gz RRCHJURAYCHZFL-UHFFFAOYSA-N -1 1 310.379 1.089 20 0 DDADMM Cc1nc(NCCCN2C[C@H](C)O[C@@H](C)C2)ncc1C(=O)[O-] ZINC000910276181 709858975 /nfs/dbraw/zinc/85/89/75/709858975.db2.gz ABVNLUAAJINTPB-QWRGUYRKSA-N -1 1 308.382 1.394 20 0 DDADMM COCCN1CCC[C@@H]1CNc1ncc(C(=O)[O-])cc1Cl ZINC000900987201 709864714 /nfs/dbraw/zinc/86/47/14/709864714.db2.gz COVORLCGZFKUSB-LLVKDONJSA-N -1 1 313.785 1.956 20 0 DDADMM O=C(N[C@H]1CCOC12CCOCC2)C(=O)c1ccc([O-])cc1 ZINC000890446158 709869080 /nfs/dbraw/zinc/86/90/80/709869080.db2.gz VVTZRSLUXFYABW-ZDUSSCGKSA-N -1 1 305.330 1.029 20 0 DDADMM O=C(N[C@@H]1[C@H]2CCO[C@@H]2C12CCC2)c1cnc(C2CC2)[n-]c1=O ZINC000901201217 709965676 /nfs/dbraw/zinc/96/56/76/709965676.db2.gz VMJRLOBGQPCJDU-RTXFEEFZSA-N -1 1 315.373 1.747 20 0 DDADMM CC[C@@H]1CN(C(=O)CN(C)[C@@H]2CCSC2)C[C@@]1(F)C(=O)[O-] ZINC000910549286 709995068 /nfs/dbraw/zinc/99/50/68/709995068.db2.gz NDNZJCOPVGFGBL-GYSYKLTISA-N -1 1 318.414 1.085 20 0 DDADMM CC[C@@H](O)[C@@H](C)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000901319340 710008607 /nfs/dbraw/zinc/00/86/07/710008607.db2.gz FMSGLZLAGBMPAW-PRHODGIISA-N -1 1 318.370 1.543 20 0 DDADMM C[C@H](CCO)C1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCC1 ZINC000901330333 710012484 /nfs/dbraw/zinc/01/24/84/710012484.db2.gz NLBMKAPYFDVIDV-LLVKDONJSA-N -1 1 319.405 1.978 20 0 DDADMM O=C([O-])/C=C\c1ccc(CN2CCC[C@H](N3CCCC3=O)C2)o1 ZINC000901428913 710037131 /nfs/dbraw/zinc/03/71/31/710037131.db2.gz DLBLACUTCKFNJW-WSROAFLRSA-N -1 1 318.373 1.964 20 0 DDADMM CCc1cc(C(=O)N(C)[C@H](C(=O)[O-])c2cccc(OC)c2)n[nH]1 ZINC000910767809 710069465 /nfs/dbraw/zinc/06/94/65/710069465.db2.gz BNDCDBURKACMAI-AWEZNQCLSA-N -1 1 317.345 1.879 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)C2(C(=O)[O-])CCSCC2)n[nH]1 ZINC000901610805 710094773 /nfs/dbraw/zinc/09/47/73/710094773.db2.gz RPEWSDZUNHBJIL-SECBINFHSA-N -1 1 311.407 1.363 20 0 DDADMM O=C([O-])CCN(Cc1cc2c(cn1)OCCO2)C[C@H]1CCCO1 ZINC000901678297 710117088 /nfs/dbraw/zinc/11/70/88/710117088.db2.gz OZGRSJJTAXXMDZ-CYBMUJFWSA-N -1 1 322.361 1.309 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC/C=C\Cl ZINC000891213373 710125870 /nfs/dbraw/zinc/12/58/70/710125870.db2.gz HXXIPWIXXYDQTN-UTCJRWHESA-N -1 1 308.769 1.853 20 0 DDADMM CCSc1cccc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])c1 ZINC000901743035 710137675 /nfs/dbraw/zinc/13/76/75/710137675.db2.gz ZWBBFEWNJUOUDQ-QWRGUYRKSA-N -1 1 310.419 1.866 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])C1CCS(=O)(=O)CC1 ZINC000910983938 710141113 /nfs/dbraw/zinc/14/11/13/710141113.db2.gz YQXCMIGBZRXIPY-UHFFFAOYSA-N -1 1 305.302 1.434 20 0 DDADMM O=C([O-])[C@]1(O)CCN(Cc2ncc(-c3ccccc3)s2)C1 ZINC000901903102 710169698 /nfs/dbraw/zinc/16/96/98/710169698.db2.gz IQUKOJXNWKIVRE-HNNXBMFYSA-N -1 1 304.371 1.832 20 0 DDADMM COC(=O)c1cc(C)cc([N-]S(=O)(=O)C[C@@H]2CCCO2)c1 ZINC000901953305 710183349 /nfs/dbraw/zinc/18/33/49/710183349.db2.gz AFQVZKMCCQUXBC-ZDUSSCGKSA-N -1 1 313.375 1.702 20 0 DDADMM Cn1nc2c(c1CN1CCSC[C@@H]1CC(=O)[O-])CCCC2 ZINC000901981374 710191666 /nfs/dbraw/zinc/19/16/66/710191666.db2.gz BBPGKDSEVQOOCL-NSHDSACASA-N -1 1 309.435 1.691 20 0 DDADMM CC1(C)OC[C@@H]2C[C@@]21C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891612556 710248382 /nfs/dbraw/zinc/24/83/82/710248382.db2.gz BVALIHCJJYUGCZ-FVMDXXJSSA-N -1 1 303.362 1.815 20 0 DDADMM CN(C)c1ncccc1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891622709 710251402 /nfs/dbraw/zinc/25/14/02/710251402.db2.gz DMEOJOSDQCLFEQ-UHFFFAOYSA-N -1 1 313.361 1.774 20 0 DDADMM CCN(CC)C(=O)CNCc1ncc(Br)cc1[O-] ZINC000892721356 710487822 /nfs/dbraw/zinc/48/78/22/710487822.db2.gz LMORGLYQRZKYJU-UHFFFAOYSA-N -1 1 316.199 1.508 20 0 DDADMM CC(C)COc1cccc(OCC(=O)N(C)c2nn[n-]n2)c1 ZINC000892954847 710531806 /nfs/dbraw/zinc/53/18/06/710531806.db2.gz SSGPODOSFKWGIE-UHFFFAOYSA-N -1 1 305.338 1.276 20 0 DDADMM O=C([O-])c1cccc2c1OCCN(C(=O)CCc1cnc[nH]1)C2 ZINC000911026291 710600255 /nfs/dbraw/zinc/60/02/55/710600255.db2.gz ICPZFGRBGKITTI-UHFFFAOYSA-N -1 1 315.329 1.462 20 0 DDADMM COc1ccc(O[C@@H](C)CNCc2cc(C(=O)[O-])no2)cc1 ZINC000902125677 710624034 /nfs/dbraw/zinc/62/40/34/710624034.db2.gz QVRTUHJETJEMLR-JTQLQIEISA-N -1 1 306.318 1.939 20 0 DDADMM CC(C)CN1CCN(C(=O)C2(C(=O)[O-])CC3(CCC3)C2)CC1 ZINC000911107728 710637073 /nfs/dbraw/zinc/63/70/73/710637073.db2.gz VDQJRCFSWLNYGR-UHFFFAOYSA-N -1 1 308.422 1.822 20 0 DDADMM C[C@H]1CN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)CC[C@@H]1C(=O)[O-] ZINC000902162003 710641699 /nfs/dbraw/zinc/64/16/99/710641699.db2.gz QOQMOIPCIBHDRB-RSLMWUCJSA-N -1 1 305.378 1.645 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2cccc(C(=O)[O-])n2)C[C@H](C)O1 ZINC000911150151 710655576 /nfs/dbraw/zinc/65/55/76/710655576.db2.gz FFNFKCQMMINJON-TXEJJXNPSA-N -1 1 321.377 1.009 20 0 DDADMM O=C([O-])c1nc(C(=O)N2CCN(C3CCCC3)CC2)cs1 ZINC000911167714 710666429 /nfs/dbraw/zinc/66/64/29/710666429.db2.gz NEYUIAPIGJJKHS-UHFFFAOYSA-N -1 1 309.391 1.542 20 0 DDADMM COCCN1CCCN(C(=O)C2(C(=O)[O-])CC3(CCC3)C2)CC1 ZINC000911211848 710688370 /nfs/dbraw/zinc/68/83/70/710688370.db2.gz VIMQKIQNPYITAT-UHFFFAOYSA-N -1 1 324.421 1.202 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccncc1 ZINC000911373660 710768103 /nfs/dbraw/zinc/76/81/03/710768103.db2.gz GICNIOREPAJBLE-CYBMUJFWSA-N -1 1 319.405 1.272 20 0 DDADMM O=C([O-])[C@@H]1CC[C@@H]1C(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC000911377228 710770163 /nfs/dbraw/zinc/77/01/63/710770163.db2.gz VQBZRCVPACWXFQ-DTWKUNHWSA-N -1 1 307.737 1.943 20 0 DDADMM O=C([O-])C1(C(=O)N[C@H]2CCc3nc[nH]c3C2)CC2(CCC2)C1 ZINC000911390959 710775651 /nfs/dbraw/zinc/77/56/51/710775651.db2.gz DUIZTYXRLQDDFP-JTQLQIEISA-N -1 1 303.362 1.418 20 0 DDADMM O=C([O-])C1(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CC2(CCC2)C1 ZINC000911390960 710775673 /nfs/dbraw/zinc/77/56/73/710775673.db2.gz DUIZTYXRLQDDFP-SNVBAGLBSA-N -1 1 303.362 1.418 20 0 DDADMM O=C([O-])c1ccc(C(=O)N[C@H]2CCc3nc[nH]c3C2)c(F)c1 ZINC000911392187 710776234 /nfs/dbraw/zinc/77/62/34/710776234.db2.gz OIYVGZINBAQMSN-VIFPVBQESA-N -1 1 303.293 1.534 20 0 DDADMM COc1cc(OC)c(CN2CC[C@H]3[C@@H](C2)[C@H]3C(=O)[O-])c(OC)c1 ZINC000902555164 710793174 /nfs/dbraw/zinc/79/31/74/710793174.db2.gz PBHMGOHOGKOZRP-OZVIIMIRSA-N -1 1 321.373 1.865 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@H]1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913436800 713215098 /nfs/dbraw/zinc/21/50/98/713215098.db2.gz HJJLCEFDUWIGFW-FOUMNBMASA-N -1 1 301.350 1.076 20 0 DDADMM CO[C@@]1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCSC1 ZINC000928495763 713212631 /nfs/dbraw/zinc/21/26/31/713212631.db2.gz QSZATTXMWBDANO-CYBMUJFWSA-N -1 1 324.431 1.270 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000902818725 710915895 /nfs/dbraw/zinc/91/58/95/710915895.db2.gz PEKYFSLHSPEWEX-NWDGAFQWSA-N -1 1 314.451 1.573 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)c1ccc(F)cc1 ZINC000902862133 710935815 /nfs/dbraw/zinc/93/58/15/710935815.db2.gz FTVCYFXXTLIFOW-WZRBSPASSA-N -1 1 303.293 1.594 20 0 DDADMM CN(C(=O)CN1CCC[C@H](C(=O)[O-])C1)[C@@H](CO)CC(C)(C)C ZINC000911754319 710966757 /nfs/dbraw/zinc/96/67/57/710966757.db2.gz IBGVACGQGQODEW-QWHCGFSZSA-N -1 1 314.426 1.039 20 0 DDADMM COCc1nc(N[C@H]2CCO[C@@H](c3ccnn3C)C2)cc(=O)[n-]1 ZINC000894100026 710966926 /nfs/dbraw/zinc/96/69/26/710966926.db2.gz XLLAAYFSPLZMLT-CMPLNLGQSA-N -1 1 319.365 1.394 20 0 DDADMM CC[C@@H]1C[C@H]1C[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000903408940 711118704 /nfs/dbraw/zinc/11/87/04/711118704.db2.gz OIZBBVADMDCIKR-RCWTZXSCSA-N -1 1 322.327 1.629 20 0 DDADMM C[C@@](CO)(NC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccccc1 ZINC000912089861 711169264 /nfs/dbraw/zinc/16/92/64/711169264.db2.gz DMQINWTWUBBTGW-KRWDZBQOSA-N -1 1 313.357 1.697 20 0 DDADMM CO[C@@H]1CN(C[C@@H]2CCC3(CCOCC3)O2)[C@](C)(C(=O)[O-])C1 ZINC000903608298 711222021 /nfs/dbraw/zinc/22/20/21/711222021.db2.gz USVALLHFFIJEPU-YDHLFZDLSA-N -1 1 313.394 1.279 20 0 DDADMM CC(C)CN(Cc1nnnn1C(C)(C)C)CC(C)(C)C(=O)[O-] ZINC000903622704 711227969 /nfs/dbraw/zinc/22/79/69/711227969.db2.gz NFORGWJBAZIJDV-UHFFFAOYSA-N -1 1 311.430 1.997 20 0 DDADMM Cc1ccc(/C=C\CC(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000913495515 713237832 /nfs/dbraw/zinc/23/78/32/713237832.db2.gz KZNAIFITXCXBGB-SFAKSCPVSA-N -1 1 313.361 1.512 20 0 DDADMM CNc1nc(C)ccc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000912240078 711238836 /nfs/dbraw/zinc/23/88/36/711238836.db2.gz CQJOFRPHCPMYDM-UHFFFAOYSA-N -1 1 319.390 1.579 20 0 DDADMM Cc1c(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)ccn1C(C)C ZINC000913497063 713239018 /nfs/dbraw/zinc/23/90/18/713239018.db2.gz HSOYZAIBXYRUIO-GFCCVEGCSA-N -1 1 304.354 1.104 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)NCc1ccc(C)cc1 ZINC000903712250 711250896 /nfs/dbraw/zinc/25/08/96/711250896.db2.gz URVJRPQDLOYLQP-UHFFFAOYSA-N -1 1 308.378 1.034 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(F)c(F)c2)n[n-]1 ZINC000912364718 711293233 /nfs/dbraw/zinc/29/32/33/711293233.db2.gz YULSYOODRUQTAC-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(F)c(F)c2)[n-]1 ZINC000912364718 711293238 /nfs/dbraw/zinc/29/32/38/711293238.db2.gz YULSYOODRUQTAC-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(F)c(F)c2)n1 ZINC000912364718 711293240 /nfs/dbraw/zinc/29/32/40/711293240.db2.gz YULSYOODRUQTAC-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CC(C)(C)n1ncnc1CN[C@H]1C[C@H](NC(=O)[O-])C12CCC2 ZINC000904237981 711415513 /nfs/dbraw/zinc/41/55/13/711415513.db2.gz YKTWEBVODSHHKS-QWRGUYRKSA-N -1 1 307.398 1.702 20 0 DDADMM CC(C)(C)n1ncnc1CN[C@@H]1C[C@H](NC(=O)[O-])C12CCC2 ZINC000904237980 711415599 /nfs/dbraw/zinc/41/55/99/711415599.db2.gz YKTWEBVODSHHKS-MNOVXSKESA-N -1 1 307.398 1.702 20 0 DDADMM O=C(C[C@H]1CCC2(CCOCC2)CO1)[N-]O[C@H]1CCCCO1 ZINC000896028453 711665824 /nfs/dbraw/zinc/66/58/24/711665824.db2.gz XTTKXLVJDTVOBB-HIFRSBDPSA-N -1 1 313.394 1.927 20 0 DDADMM O=C(Nc1ccncc1[O-])c1nn2c(c1Br)CCC2 ZINC000896262732 711698161 /nfs/dbraw/zinc/69/81/61/711698161.db2.gz SDAIFKHMXVHJEH-UHFFFAOYSA-N -1 1 323.150 1.367 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000896264629 711698384 /nfs/dbraw/zinc/69/83/84/711698384.db2.gz HYOAFMKHILPLFT-GBIKHYSHSA-N -1 1 318.377 1.243 20 0 DDADMM Cc1ccc(-c2noc([C@@]3(C(=O)[O-])CNCCO3)n2)cc1Cl ZINC000904724513 711887165 /nfs/dbraw/zinc/88/71/65/711887165.db2.gz ONUPJEQJXUXQHJ-CQSZACIVSA-N -1 1 323.736 1.598 20 0 DDADMM CN(C)c1ccnc(CNC(=O)C(C)(C)SCC(=O)[O-])c1 ZINC000905128361 711944978 /nfs/dbraw/zinc/94/49/78/711944978.db2.gz IYEUETSRBUUFOI-UHFFFAOYSA-N -1 1 311.407 1.360 20 0 DDADMM O=C(c1ccnn1C1CCCC1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743655 713292480 /nfs/dbraw/zinc/29/24/80/713292480.db2.gz FPIVMEUTNAAQNA-UHFFFAOYSA-N -1 1 315.381 1.531 20 0 DDADMM COC(=Cc1ccccc1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745143 713293352 /nfs/dbraw/zinc/29/33/52/713293352.db2.gz QFMULHBCFJUXHP-SDNWHVSQSA-N -1 1 313.361 1.593 20 0 DDADMM CCc1nc(CN(C)[C@@H](C(=O)[O-])c2cccc(OC)c2)n[nH]1 ZINC000905374684 712019858 /nfs/dbraw/zinc/01/98/58/712019858.db2.gz PCCIDKBTVMFWLI-CQSZACIVSA-N -1 1 304.350 1.633 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC(n2ccnn2)C1 ZINC000913789999 713300927 /nfs/dbraw/zinc/30/09/27/713300927.db2.gz FHHRFVFACDMGDI-UHFFFAOYSA-N -1 1 312.251 1.700 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1ccc(Cl)nc1C ZINC000906066782 712235666 /nfs/dbraw/zinc/23/56/66/712235666.db2.gz OWZIHVBWEUWCFV-QGZVFWFLSA-N -1 1 311.816 1.818 20 0 DDADMM CN([C@@H]1CCSC1)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906904553 712434410 /nfs/dbraw/zinc/43/44/10/712434410.db2.gz NCNWTKYGFQSUTF-SECBINFHSA-N -1 1 317.388 1.216 20 0 DDADMM CC(C)NC(=O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000913932136 713321702 /nfs/dbraw/zinc/32/17/02/713321702.db2.gz NRTUEDUAWYYVIX-UHFFFAOYSA-N -1 1 315.320 1.037 20 0 DDADMM O=C(c1nsc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000907105649 712488665 /nfs/dbraw/zinc/48/86/65/712488665.db2.gz VIPBWBYIMBYHEK-SECBINFHSA-N -1 1 314.374 1.829 20 0 DDADMM C[C@H](CC(=O)N1CCSC[C@H]1c1nn[n-]n1)C(F)(F)F ZINC000907471736 712580634 /nfs/dbraw/zinc/58/06/34/712580634.db2.gz KDNQKKXQJKHRMZ-RQJHMYQMSA-N -1 1 309.317 1.405 20 0 DDADMM C[C@@H](CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)C(F)(F)F ZINC000907471731 712580637 /nfs/dbraw/zinc/58/06/37/712580637.db2.gz KDNQKKXQJKHRMZ-NKWVEPMBSA-N -1 1 309.317 1.405 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccsc1 ZINC000907472375 712580902 /nfs/dbraw/zinc/58/09/02/712580902.db2.gz CDPHZJGGLXWLAV-PSASIEDQSA-N -1 1 309.420 1.681 20 0 DDADMM Cc1nc(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)c(C)s1 ZINC000907475021 712581851 /nfs/dbraw/zinc/58/18/51/712581851.db2.gz MOABZQWYCAECPW-SNVBAGLBSA-N -1 1 324.435 1.132 20 0 DDADMM O=C(C=Cc1ccccc1F)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477163 712582447 /nfs/dbraw/zinc/58/24/47/712582447.db2.gz RPHYAEODBLDPQR-FJOGCWAESA-N -1 1 319.365 1.669 20 0 DDADMM CC[C@H](CC(F)F)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480311 712583523 /nfs/dbraw/zinc/58/35/23/712583523.db2.gz OGMWFSTXRMXYKE-SFYZADRCSA-N -1 1 305.354 1.498 20 0 DDADMM CCC[C@@]1(CO)CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907771665 712624461 /nfs/dbraw/zinc/62/44/61/712624461.db2.gz MHQPYGCCHBQZHQ-INIZCTEOSA-N -1 1 305.378 1.827 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)[C@H]2CC[C@H]2OC)c1 ZINC000907892118 712642996 /nfs/dbraw/zinc/64/29/96/712642996.db2.gz YCFRBZSAGAVAQF-CMPLNLGQSA-N -1 1 301.364 1.199 20 0 DDADMM C[C@H]1CC[C@@](C)(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C1(C)C ZINC000907940661 712652064 /nfs/dbraw/zinc/65/20/64/712652064.db2.gz LABWXCMJOUGZLF-NHYWBVRUSA-N -1 1 321.425 1.472 20 0 DDADMM O=C(NC[C@H](CO)CC1CCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000907967703 712657213 /nfs/dbraw/zinc/65/72/13/712657213.db2.gz UVBDMXYLBJOCDM-GFCCVEGCSA-N -1 1 319.405 1.978 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C(=O)NCCF ZINC000908017923 712667157 /nfs/dbraw/zinc/66/71/57/712667157.db2.gz SYZOTTRDDYXLRR-QMMMGPOBSA-N -1 1 323.299 1.284 20 0 DDADMM CC1(C)CCC[C@H](C[N-]S(=O)(=O)c2c[nH]nc2Cl)O1 ZINC000908099228 712687148 /nfs/dbraw/zinc/68/71/48/712687148.db2.gz KGELKOABBQATBJ-MRVPVSSYSA-N -1 1 307.803 1.689 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1NC(=O)CC12CCCCC2 ZINC000908182142 712704487 /nfs/dbraw/zinc/70/44/87/712704487.db2.gz MOJQLYVPYYVFSJ-UHFFFAOYSA-N -1 1 316.361 1.640 20 0 DDADMM CC(C)[C@]1(CO)CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000908448215 712775766 /nfs/dbraw/zinc/77/57/66/712775766.db2.gz XJWAFUHFVKDFMH-QGZVFWFLSA-N -1 1 319.405 1.930 20 0 DDADMM O=C([O-])[C@@H](Cc1ccccc1)NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000908583321 712814546 /nfs/dbraw/zinc/81/45/46/712814546.db2.gz RIIUITVNTGOXGY-SWLSCSKDSA-N -1 1 313.357 1.327 20 0 DDADMM COc1ccc([C@@H](CC(=O)[O-])NC(=O)[C@@H]2CCCCN2C)cc1 ZINC000908592148 712816601 /nfs/dbraw/zinc/81/66/01/712816601.db2.gz BRFYABALBRXQTH-CABCVRRESA-N -1 1 320.389 1.812 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)[C@H]1CCNC(=O)C1 ZINC000908710092 712839202 /nfs/dbraw/zinc/83/92/02/712839202.db2.gz WTVFZSVPBVXUSI-ZETCQYMHSA-N -1 1 313.151 1.619 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2CCc3cc(F)ccc32)C1 ZINC000908752368 712848038 /nfs/dbraw/zinc/84/80/38/712848038.db2.gz QAQFXGNCGAHNOO-WFASDCNBSA-N -1 1 320.364 1.726 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)NC[C@@H](c1ccsc1)N(C)C ZINC000908814767 712860409 /nfs/dbraw/zinc/86/04/09/712860409.db2.gz UJLSWRRPRNGTKO-LBPRGKRZSA-N -1 1 313.423 1.855 20 0 DDADMM CCc1nc(C)c(C[N-]S(=O)(=O)c2cnc(OC)s2)o1 ZINC000915860230 713433873 /nfs/dbraw/zinc/43/38/73/713433873.db2.gz WPSBBHCQDKDKJA-UHFFFAOYSA-N -1 1 317.392 1.489 20 0 DDADMM CO[C@@]1(C(F)(F)F)CCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000916145621 713444863 /nfs/dbraw/zinc/44/48/63/713444863.db2.gz CMCBBKYXVSHSGD-LBPRGKRZSA-N -1 1 304.268 1.889 20 0 DDADMM C[C@@H](CN1CCN(C(=O)C2CC2)CC1)C(=O)c1ccc([O-])cc1 ZINC000929128363 713552453 /nfs/dbraw/zinc/55/24/53/713552453.db2.gz DHIDCXBKRUPLKQ-ZDUSSCGKSA-N -1 1 316.401 1.765 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@H]1CCC(=O)NC1 ZINC000919340284 713599633 /nfs/dbraw/zinc/59/96/33/713599633.db2.gz JHYJIOTULKLDMW-VIFPVBQESA-N -1 1 317.297 1.068 20 0 DDADMM Cn1ncnc1CCC[N-]S(=O)(=O)c1sccc1Cl ZINC000919527768 713612390 /nfs/dbraw/zinc/61/23/90/713612390.db2.gz BWKVTYOWRUOYRG-UHFFFAOYSA-N -1 1 320.827 1.441 20 0 DDADMM COC(=O)/C(C)=C/C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000921214392 713721947 /nfs/dbraw/zinc/72/19/47/713721947.db2.gz VQLSYBVNKFLFQH-FNORWQNLSA-N -1 1 322.745 1.272 20 0 DDADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)CCC(C)(F)F ZINC000921377760 713748904 /nfs/dbraw/zinc/74/89/04/713748904.db2.gz FHULLHXTPYKRFG-QMMMGPOBSA-N -1 1 319.395 1.246 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)C(C)(C)OC)o1 ZINC000921421536 713765342 /nfs/dbraw/zinc/76/53/42/713765342.db2.gz GBEQVSJATQZDMT-MRVPVSSYSA-N -1 1 305.352 1.158 20 0 DDADMM CC[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@H]1CCCOC1 ZINC000922132933 713970568 /nfs/dbraw/zinc/97/05/68/713970568.db2.gz ZVNUUFBFXOEVMC-WCQYABFASA-N -1 1 305.378 1.995 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC[C@@H]2CCNC(=O)[C@@H]21 ZINC000922426940 714049638 /nfs/dbraw/zinc/04/96/38/714049638.db2.gz DFPJGSNOIQLMGC-IAQYHMDHSA-N -1 1 322.792 1.715 20 0 DDADMM O=C(N[C@@H]1CCCCN(C(=O)c2ncccc2[O-])C1)C(F)F ZINC000943015673 717953301 /nfs/dbraw/zinc/95/33/01/717953301.db2.gz FWTWGJQBNYQCME-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM CCCN(CCO)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932037815 714243583 /nfs/dbraw/zinc/24/35/83/714243583.db2.gz FLGMPAIMAZECPS-UHFFFAOYSA-N -1 1 304.350 1.814 20 0 DDADMM C[C@@H]1CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)[C@@H]1CO ZINC000932048726 714246243 /nfs/dbraw/zinc/24/62/43/714246243.db2.gz MZECIZJGYCLUQM-BXUZGUMPSA-N -1 1 316.361 1.813 20 0 DDADMM NC(=O)[C@H](c1ccc(F)cc1)N1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC000923530020 714392480 /nfs/dbraw/zinc/39/24/80/714392480.db2.gz VFHVMFLJPOIEKL-MJBXVCDLSA-N -1 1 306.337 1.395 20 0 DDADMM C[C@H](Sc1ccc(F)cc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000924252391 714550734 /nfs/dbraw/zinc/55/07/34/714550734.db2.gz MQPMMDYVINUTFV-QMMMGPOBSA-N -1 1 311.338 1.472 20 0 DDADMM CCc1nnc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)s1 ZINC000934270519 714781534 /nfs/dbraw/zinc/78/15/34/714781534.db2.gz SQFDBPRUQNKCPW-SECBINFHSA-N -1 1 321.406 1.942 20 0 DDADMM CCc1nnc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)[nH]1 ZINC000934272714 714783219 /nfs/dbraw/zinc/78/32/19/714783219.db2.gz LMPXRTMMVABEMD-SECBINFHSA-N -1 1 304.354 1.208 20 0 DDADMM COc1cnc([C@H]2CCCN2CC(=O)N2CCCCC2)[n-]c1=O ZINC000934277662 714785431 /nfs/dbraw/zinc/78/54/31/714785431.db2.gz PGQXYHSVYJDJIT-GFCCVEGCSA-N -1 1 320.393 1.340 20 0 DDADMM COc1cncc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)c1 ZINC000934280130 714785780 /nfs/dbraw/zinc/78/57/80/714785780.db2.gz SEASSFKMISHNNE-CYBMUJFWSA-N -1 1 316.361 1.932 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)c1cc(=O)[nH]cc1Br ZINC000935591764 715096100 /nfs/dbraw/zinc/09/61/00/715096100.db2.gz BHOZBTKXQLZTEF-ZETCQYMHSA-N -1 1 317.139 1.248 20 0 DDADMM C[C@H](C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937211632 715292922 /nfs/dbraw/zinc/29/29/22/715292922.db2.gz NQOKAVIAFFQKHG-WCQYABFASA-N -1 1 317.389 1.506 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956241293 715365713 /nfs/dbraw/zinc/36/57/13/715365713.db2.gz PXANUCHDSQPMNA-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(C)C(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956343208 715411773 /nfs/dbraw/zinc/41/17/73/715411773.db2.gz GLXOWVTUXRTQEP-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)C2CCC2)C1 ZINC000956849323 715636417 /nfs/dbraw/zinc/63/64/17/715636417.db2.gz QELJXLHPSQGJJM-MRXNPFEDSA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956850333 715637195 /nfs/dbraw/zinc/63/71/95/715637195.db2.gz KOKPZQRXYPQHGU-PXAZEXFGSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955214013 715677625 /nfs/dbraw/zinc/67/76/25/715677625.db2.gz GFEXEGDKEGFOAS-MNOVXSKESA-N -1 1 303.362 1.116 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])C1CCCC1 ZINC000955979589 716047473 /nfs/dbraw/zinc/04/74/73/716047473.db2.gz PVAJTFIQYGTYIO-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939121832 716144244 /nfs/dbraw/zinc/14/42/44/716144244.db2.gz XYXCWETUAXZQCP-TUAOUCFPSA-N -1 1 303.362 1.116 20 0 DDADMM CC(C)=C(F)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959959055 716371153 /nfs/dbraw/zinc/37/11/53/716371153.db2.gz VPFCVGDASRZLEO-JTQLQIEISA-N -1 1 311.361 1.244 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)C2CC=CC2)C1)c1ncccc1[O-] ZINC000940132197 716642408 /nfs/dbraw/zinc/64/24/08/716642408.db2.gz QHEICXKCPJYTPV-GFCCVEGCSA-N -1 1 301.346 1.084 20 0 DDADMM O=C(CCC1CCC1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132358 716642436 /nfs/dbraw/zinc/64/24/36/716642436.db2.gz VGKMCTJGVKDAAH-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM CCC(=O)N1CCc2ccccc2[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000958766809 716737171 /nfs/dbraw/zinc/73/71/71/716737171.db2.gz NZXRHKUVRZAFRC-CYBMUJFWSA-N -1 1 315.377 1.136 20 0 DDADMM CC(=O)N1CC[C@H]([C@@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000958984100 716794196 /nfs/dbraw/zinc/79/41/96/716794196.db2.gz USNINABCXYOKFU-SKDRFNHKSA-N -1 1 318.377 1.046 20 0 DDADMM CC(=O)N1CC[C@@H]([C@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000958984099 716794311 /nfs/dbraw/zinc/79/43/11/716794311.db2.gz USNINABCXYOKFU-JOYOIKCWSA-N -1 1 318.377 1.046 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000961300185 716901862 /nfs/dbraw/zinc/90/18/62/716901862.db2.gz RLUSTQGHJSSDHL-HITUPNAXSA-N -1 1 315.373 1.284 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1(F)CCCC1 ZINC000941344997 717158571 /nfs/dbraw/zinc/15/85/71/717158571.db2.gz GZVVOUIDSSBSJC-LLVKDONJSA-N -1 1 321.352 1.400 20 0 DDADMM CC(C)C(=O)N1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962940085 717633937 /nfs/dbraw/zinc/63/39/37/717633937.db2.gz BJCZBIVLHZDWRG-QWHCGFSZSA-N -1 1 317.389 1.649 20 0 DDADMM CCC(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000944801093 718342083 /nfs/dbraw/zinc/34/20/83/718342083.db2.gz MCTADULTHNDAFU-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM C[C@@H]1CN(C(=O)C(F)F)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945090137 718392182 /nfs/dbraw/zinc/39/21/82/718392182.db2.gz BMSQAYVUFCTZNP-BDAKNGLRSA-N -1 1 313.304 1.019 20 0 DDADMM CCCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000945121667 718402756 /nfs/dbraw/zinc/40/27/56/718402756.db2.gz JSIBJWMTQVCTHC-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM CCC(=O)N[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1C ZINC000966445113 718553002 /nfs/dbraw/zinc/55/30/02/718553002.db2.gz OALKYNLBOOVJIB-CABZTGNLSA-N -1 1 318.377 1.046 20 0 DDADMM CC(C)(F)C(=O)N[C@@H]1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946090555 718675753 /nfs/dbraw/zinc/67/57/53/718675753.db2.gz QCDSLUFXCIVOTM-GHMZBOCLSA-N -1 1 323.368 1.550 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946533329 718821340 /nfs/dbraw/zinc/82/13/40/718821340.db2.gz RWRYELQNNHNGGL-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC000967902526 719080644 /nfs/dbraw/zinc/08/06/44/719080644.db2.gz ZDDQYGAZIXGHED-ZYHUDNBSSA-N -1 1 303.362 1.116 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C1CC1 ZINC000948389798 719478509 /nfs/dbraw/zinc/47/85/09/719478509.db2.gz LCKDXPMVGXZKAO-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C1(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000949539004 720147578 /nfs/dbraw/zinc/14/75/78/720147578.db2.gz VZUGXCJNDMTXSE-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cnc(CN2CC([C@H](C)NC(=O)c3ncccc3[O-])C2)o1 ZINC000969547172 720184502 /nfs/dbraw/zinc/18/45/02/720184502.db2.gz ZRLHZQYPVKLQTP-NSHDSACASA-N -1 1 316.361 1.334 20 0 DDADMM C[C@H](C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000950539043 720674716 /nfs/dbraw/zinc/67/47/16/720674716.db2.gz FUSDPBFWPTVBHR-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000970533739 720800326 /nfs/dbraw/zinc/80/03/26/720800326.db2.gz KPHXQPODPRSWEY-JHJVBQTASA-N -1 1 321.425 1.417 20 0 DDADMM CN(Cc1cncs1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971396573 721276898 /nfs/dbraw/zinc/27/68/98/721276898.db2.gz FBOSIWLYSFWKAU-LLVKDONJSA-N -1 1 318.402 1.590 20 0 DDADMM CC[C@]1(C)C[C@@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954125610 721724242 /nfs/dbraw/zinc/72/42/42/721724242.db2.gz VGEROWISKAJCDF-SJKOYZFVSA-N -1 1 317.389 1.506 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC23CCC3)C1 ZINC000954127652 721724804 /nfs/dbraw/zinc/72/48/04/721724804.db2.gz CLJUDYZEOPHZQR-GFCCVEGCSA-N -1 1 315.373 1.260 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H]1CO)c1ccc(Cl)nc1F ZINC000692832377 738408017 /nfs/dbraw/zinc/40/80/17/738408017.db2.gz YSKWPWVAGMSFDX-YUMQZZPRSA-N -1 1 308.762 1.313 20 0 DDADMM CCc1cnc(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038195631 733216537 /nfs/dbraw/zinc/21/65/37/733216537.db2.gz WVYQWAPXZFJAKQ-LLVKDONJSA-N -1 1 316.361 1.342 20 0 DDADMM CC(=O)c1cc([N-]S(=O)(=O)c2ccc(C)c(N)c2)ccn1 ZINC001210591603 733217030 /nfs/dbraw/zinc/21/70/30/733217030.db2.gz LNIVUHZESQYLKN-UHFFFAOYSA-N -1 1 305.359 1.398 20 0 DDADMM Cc1conc1CN1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001027843749 738726536 /nfs/dbraw/zinc/72/65/36/738726536.db2.gz GSAOEBDCSPRWFX-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2CC2(C)C)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087151468 733593958 /nfs/dbraw/zinc/59/39/58/733593958.db2.gz RTFFZZHBWLTNMH-GRYCIOLGSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@@H](CC(C)(C)O)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692882314 738741338 /nfs/dbraw/zinc/74/13/38/738741338.db2.gz UORQWQWJNGVJBL-ZETCQYMHSA-N -1 1 310.778 1.702 20 0 DDADMM O=C(C1CCCC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024842563 736125045 /nfs/dbraw/zinc/12/50/45/736125045.db2.gz YMFZSSATZVUYOM-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM Cc1ccoc1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024869708 736138423 /nfs/dbraw/zinc/13/84/23/736138423.db2.gz TXVHRIOPZIDUOK-LLVKDONJSA-N -1 1 319.365 1.196 20 0 DDADMM CCC(=O)N1CCCC[C@@H]1[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001025186800 736347388 /nfs/dbraw/zinc/34/73/88/736347388.db2.gz YVYBLOLBDARGIT-VXGBXAGGSA-N -1 1 307.398 1.266 20 0 DDADMM CCC(=O)N[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])C12CCC2 ZINC001025826576 736876639 /nfs/dbraw/zinc/87/66/39/736876639.db2.gz LJYUULSDVMBQGP-VXGBXAGGSA-N -1 1 303.362 1.354 20 0 DDADMM COC(=O)[C@H]1CC[C@H](Nc2ccc([P@@](=O)([O-])O)cc2)CC1 ZINC001167830334 738944006 /nfs/dbraw/zinc/94/40/06/738944006.db2.gz DCNUWTRQNBBTLR-XYPYZODXSA-N -1 1 313.290 1.633 20 0 DDADMM COC(=O)[C@H]1CC[C@H](Nc2ccc([P@](=O)([O-])O)cc2)CC1 ZINC001167830334 738944007 /nfs/dbraw/zinc/94/40/07/738944007.db2.gz DCNUWTRQNBBTLR-XYPYZODXSA-N -1 1 313.290 1.633 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CC1 ZINC000692888190 738958645 /nfs/dbraw/zinc/95/86/45/738958645.db2.gz HMZTXPKGJVZVGV-LLVKDONJSA-N -1 1 308.762 1.313 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CC1 ZINC000692888191 738958802 /nfs/dbraw/zinc/95/88/02/738958802.db2.gz HMZTXPKGJVZVGV-NSHDSACASA-N -1 1 308.762 1.313 20 0 DDADMM O=S(=O)([N-]CC1CCOCC1)c1ccc(Cl)nc1F ZINC000692892750 738963603 /nfs/dbraw/zinc/96/36/03/738963603.db2.gz KINZQNFZUXYIIB-UHFFFAOYSA-N -1 1 308.762 1.579 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)CC1 ZINC000974297644 737495004 /nfs/dbraw/zinc/49/50/04/737495004.db2.gz NDAAKBVKOWWQBC-ROTMJFINSA-N -1 1 319.409 1.398 20 0 DDADMM CC1(C)CC(CC(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000998086331 751511215 /nfs/dbraw/zinc/51/12/15/751511215.db2.gz WJXSAQLFWLLHBO-UHFFFAOYSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@]1(CO)CCN(Cc2ccc(Cl)cc2C(=O)[O-])C[C@@H]1O ZINC001142646941 742480179 /nfs/dbraw/zinc/48/01/79/742480179.db2.gz JSTSWWDMDBDLLD-ZFWWWQNUSA-N -1 1 313.781 1.603 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@]1(C)C=CCC1 ZINC001076246511 742619137 /nfs/dbraw/zinc/61/91/37/742619137.db2.gz WEOIMURKKDQRLB-YVEFUNNKSA-N -1 1 317.389 1.768 20 0 DDADMM COc1ccc(F)cc1CC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001181690086 743282727 /nfs/dbraw/zinc/28/27/27/743282727.db2.gz XDLFMCNBBSBKOX-UHFFFAOYSA-N -1 1 322.252 1.615 20 0 DDADMM O=C(NN1C[C@H]2CCC[C@@H]2C1)c1ccc2n[n-]c(=S)n2c1 ZINC001181811803 743359179 /nfs/dbraw/zinc/35/91/79/743359179.db2.gz FUQRNSUIJBMOKB-NXEZZACHSA-N -1 1 303.391 1.395 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cncnc2C)cc1C(=O)[O-] ZINC001184664211 744080375 /nfs/dbraw/zinc/08/03/75/744080375.db2.gz OETDCMGVNWFOPA-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)c1ccsc1)C(F)(F)F ZINC001185397065 744215487 /nfs/dbraw/zinc/21/54/87/744215487.db2.gz XEWSOTFJDDAHSX-SSDOTTSWSA-N -1 1 317.310 1.520 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)cc1 ZINC001185555572 744246296 /nfs/dbraw/zinc/24/62/96/744246296.db2.gz AXJXWAQTHVRGAR-UHFFFAOYSA-N -1 1 315.358 1.976 20 0 DDADMM C[C@H](CCc1ccccc1)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001186013368 744326508 /nfs/dbraw/zinc/32/65/08/744326508.db2.gz PZNMOPMMMHPQBG-SECBINFHSA-N -1 1 302.334 1.677 20 0 DDADMM O=C(Nc1cccnc1OC1COC1)c1ccc([O-])cc1F ZINC001186318917 744373511 /nfs/dbraw/zinc/37/35/11/744373511.db2.gz SHTATFVHZCRMSQ-UHFFFAOYSA-N -1 1 304.277 1.956 20 0 DDADMM Nc1ccc(COCCO)c(NC(=O)c2ccc([O-])cc2F)c1 ZINC001186328768 744375750 /nfs/dbraw/zinc/37/57/50/744375750.db2.gz IPCKEJDYHAWEIM-UHFFFAOYSA-N -1 1 320.320 1.875 20 0 DDADMM COC(=O)c1csc(C[N-]S(=O)(=O)c2ccco2)n1 ZINC001186868528 744455737 /nfs/dbraw/zinc/45/57/37/744455737.db2.gz WKKQQSQOCNRCOD-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(C(=O)CCCO)cc1 ZINC001187292077 744535901 /nfs/dbraw/zinc/53/59/01/744535901.db2.gz NXJKFBDIMNDKRQ-UHFFFAOYSA-N -1 1 315.391 1.420 20 0 DDADMM O=C1c2ccccc2CC[C@H]1[N-]S(=O)(=O)c1nccs1 ZINC001187923827 744635793 /nfs/dbraw/zinc/63/57/93/744635793.db2.gz XLFOBJUSYCOJAH-LLVKDONJSA-N -1 1 308.384 1.619 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@@H]1F)c1ccc(Cl)nc1Cl ZINC001187987744 744643567 /nfs/dbraw/zinc/64/35/67/744643567.db2.gz JXRVROBHHWVKGG-NTSWFWBYSA-N -1 1 315.153 1.404 20 0 DDADMM CCn1nnc(-c2ccccc2[N-]S(=O)(=O)C2CCC2)n1 ZINC001188143849 744665451 /nfs/dbraw/zinc/66/54/51/744665451.db2.gz DOEQCXAGHKWJLJ-UHFFFAOYSA-N -1 1 307.379 1.654 20 0 DDADMM O=C(NCC1CC(NC(=O)c2ncccc2[O-])C1)c1cc[nH]c1 ZINC000992182257 744668820 /nfs/dbraw/zinc/66/88/20/744668820.db2.gz YSUJOBDHYIRDMM-UHFFFAOYSA-N -1 1 314.345 1.054 20 0 DDADMM CCn1nnc(-c2ccccc2[N-]S(=O)(=O)CC2CC2)n1 ZINC001188977358 744794479 /nfs/dbraw/zinc/79/44/79/744794479.db2.gz PSPLVKHEAWLVBY-UHFFFAOYSA-N -1 1 307.379 1.512 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc(-c4cc[nH]n4)c3)c1-2 ZINC001188988675 744804197 /nfs/dbraw/zinc/80/41/97/744804197.db2.gz PJAHIRRJFZYCCF-UHFFFAOYSA-N -1 1 319.328 1.677 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc(C(F)(F)F)n[nH]1 ZINC001189535276 744918879 /nfs/dbraw/zinc/91/88/79/744918879.db2.gz ZJQZRXZPUQSJET-UHFFFAOYSA-N -1 1 315.188 1.116 20 0 DDADMM CCN(C(=O)c1cnc(-c2ccccc2)[n-]c1=O)C1CN(C)C1 ZINC001190271853 745170238 /nfs/dbraw/zinc/17/02/38/745170238.db2.gz YJNWSUROCAMPCZ-UHFFFAOYSA-N -1 1 312.373 1.625 20 0 DDADMM O=C(NC[C@H](O)C(F)F)c1ccc(Br)cc1[O-] ZINC000220129148 745301949 /nfs/dbraw/zinc/30/19/49/745301949.db2.gz YTCRKUOKRPAWNU-QMMMGPOBSA-N -1 1 310.094 1.511 20 0 DDADMM COc1ccc(C)nc1NC(=O)c1cnc(SC)[n-]c1=O ZINC001191428168 745509362 /nfs/dbraw/zinc/50/93/62/745509362.db2.gz CTOOGFSFUFVUJL-UHFFFAOYSA-N -1 1 306.347 1.868 20 0 DDADMM CSCCCN(C)c1nnc(Cc2nnn[n-]2)n1CC(C)C ZINC001122708556 745665858 /nfs/dbraw/zinc/66/58/58/745665858.db2.gz APDXBRNBGNUQAD-UHFFFAOYSA-N -1 1 324.458 1.227 20 0 DDADMM CSCCCN(C)c1nnc(Cc2nn[n-]n2)n1CC(C)C ZINC001122708556 745665861 /nfs/dbraw/zinc/66/58/61/745665861.db2.gz APDXBRNBGNUQAD-UHFFFAOYSA-N -1 1 324.458 1.227 20 0 DDADMM C[C@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)c1cncnc1 ZINC001192042389 745680376 /nfs/dbraw/zinc/68/03/76/745680376.db2.gz CJXGLCXZLVMBGJ-YFKPBYRVSA-N -1 1 304.586 1.785 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@](F)(CO)CC1 ZINC001192527446 745820797 /nfs/dbraw/zinc/82/07/97/745820797.db2.gz XZZJRGOEYUQKEX-CQSZACIVSA-N -1 1 303.280 1.997 20 0 DDADMM CCOC(=O)[C@]12C[C@H]1CCN2C(=O)c1c(F)ccc([O-])c1F ZINC001192526453 745821075 /nfs/dbraw/zinc/82/10/75/745821075.db2.gz KDOGQDINXFWSHH-GLEZIHRCSA-N -1 1 311.284 1.838 20 0 DDADMM Cc1cccn2c(CNC(=O)c3cc([O-])cc(F)c3F)nnc12 ZINC001192651374 745845491 /nfs/dbraw/zinc/84/54/91/745845491.db2.gz HURLDCBGSCKQSE-UHFFFAOYSA-N -1 1 318.283 1.952 20 0 DDADMM CC(C)(C)N(C[C@@H](O)CO)C(=O)c1cc([O-])cc(F)c1F ZINC001192660905 745853047 /nfs/dbraw/zinc/85/30/47/745853047.db2.gz GAKLTVKGYDTSFR-SECBINFHSA-N -1 1 303.305 1.264 20 0 DDADMM O=C(N[C@H]1SC(=O)NC1=O)c1cc(Cl)nc(Cl)c1[O-] ZINC001193093780 745987779 /nfs/dbraw/zinc/98/77/79/745987779.db2.gz LYTKBUQJEWRBQE-QMMMGPOBSA-N -1 1 322.129 1.133 20 0 DDADMM O=C([N-]c1noc2nccnc12)c1cc(Br)[nH]n1 ZINC001193283559 746046124 /nfs/dbraw/zinc/04/61/24/746046124.db2.gz UYPYFSRBQNDZHQ-UHFFFAOYSA-N -1 1 309.083 1.356 20 0 DDADMM O=C(N[C@@H]1CCCN(Cc2csnn2)C1)c1ncccc1[O-] ZINC001007192923 752055156 /nfs/dbraw/zinc/05/51/56/752055156.db2.gz YPKFIRBPIWBJMA-SNVBAGLBSA-N -1 1 319.390 1.033 20 0 DDADMM COCc1nsc([N-]C(=O)c2nccc3cccnc32)n1 ZINC001127391349 746090450 /nfs/dbraw/zinc/09/04/50/746090450.db2.gz HHOWEDWYHRXQDZ-UHFFFAOYSA-N -1 1 301.331 1.880 20 0 DDADMM CCOC(=O)c1cc([N-]S(=O)(=O)c2ccccc2N)ccn1 ZINC001193896253 746230614 /nfs/dbraw/zinc/23/06/14/746230614.db2.gz UWISOFNSGLXFRP-UHFFFAOYSA-N -1 1 321.358 1.063 20 0 DDADMM O=S(=O)(Cc1noc2ccccc12)[N-]c1cncc(O)c1 ZINC001194052223 746265791 /nfs/dbraw/zinc/26/57/91/746265791.db2.gz YCOIMQBGIILUBE-UHFFFAOYSA-N -1 1 305.315 1.870 20 0 DDADMM CCC[C@H](CO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC001194586376 746415255 /nfs/dbraw/zinc/41/52/55/746415255.db2.gz JDAYWIVKPMKYAW-MRVPVSSYSA-N -1 1 312.313 1.540 20 0 DDADMM CC(=O)N1CCCC[C@]12CCN(C(=O)c1nc(C)ccc1[O-])C2 ZINC001195324630 746565891 /nfs/dbraw/zinc/56/58/91/746565891.db2.gz UEAQMAPKCHMXEQ-QGZVFWFLSA-N -1 1 317.389 1.713 20 0 DDADMM COC(=O)[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1cc([O-])cnc1Cl)C2 ZINC001195305398 746572030 /nfs/dbraw/zinc/57/20/30/746572030.db2.gz JDYUGYNPWVLUKV-GUBZILKMSA-N -1 1 324.764 1.854 20 0 DDADMM O=C(NCCn1nnc2ccccc21)c1cc([O-])cnc1Cl ZINC001195313226 746574655 /nfs/dbraw/zinc/57/46/55/746574655.db2.gz UCMRCFHKDQTUIB-UHFFFAOYSA-N -1 1 317.736 1.615 20 0 DDADMM COc1cc(C)[nH]c(=O)c1CNC(=O)c1cc([O-])cnc1Cl ZINC001195315797 746575302 /nfs/dbraw/zinc/57/53/02/746575302.db2.gz SRCPWMTWJOHUKU-UHFFFAOYSA-N -1 1 323.736 1.788 20 0 DDADMM C[C@H](CSC(F)(F)F)C(=O)NCc1n[n-]c(=S)n1C ZINC000080026443 746651773 /nfs/dbraw/zinc/65/17/73/746651773.db2.gz JXFLMSVFPXWQJL-RXMQYKEDSA-N -1 1 314.358 1.983 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1ccc(OC)cc1Cl ZINC001195974528 746743392 /nfs/dbraw/zinc/74/33/92/746743392.db2.gz DAXJRZDTCMVVJE-UHFFFAOYSA-N -1 1 307.755 1.653 20 0 DDADMM Cn1ccc2cc(CNC(=O)c3c[nH]c(=S)[n-]c3=O)ccc21 ZINC001196009028 746755165 /nfs/dbraw/zinc/75/51/65/746755165.db2.gz ROGCPLKWRFECBA-UHFFFAOYSA-N -1 1 314.370 1.893 20 0 DDADMM CCOC(=O)c1cn[nH]c1CNC(=O)c1cc(Cl)ncc1[O-] ZINC001196405823 746862914 /nfs/dbraw/zinc/86/29/14/746862914.db2.gz WPGCKAJPNRCYET-UHFFFAOYSA-N -1 1 324.724 1.270 20 0 DDADMM O=C([O-])CCC(=O)CNC(=O)c1cc(Cl)c(F)cc1O ZINC001196478168 746871566 /nfs/dbraw/zinc/87/15/66/746871566.db2.gz OVEKQYZHHHELEO-UHFFFAOYSA-N -1 1 303.673 1.348 20 0 DDADMM O=C(C=C1CCCCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998695420 752142130 /nfs/dbraw/zinc/14/21/30/752142130.db2.gz VIODPFXISUAPCS-ZDUSSCGKSA-N -1 1 319.409 1.481 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001089466054 747012016 /nfs/dbraw/zinc/01/20/16/747012016.db2.gz ZXGUOUOWWCHAMS-IJLUTSLNSA-N -1 1 321.425 1.273 20 0 DDADMM COc1ncnc([N-]C(=O)c2noc(C(C)(C)C)n2)c1OC ZINC001196980357 747023601 /nfs/dbraw/zinc/02/36/01/747023601.db2.gz JMQUPTAYGJJTSK-UHFFFAOYSA-N -1 1 307.310 1.427 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cscc1C(F)F ZINC001127490749 747104480 /nfs/dbraw/zinc/10/44/80/747104480.db2.gz CQSLNROCEJJFDP-UHFFFAOYSA-N -1 1 317.321 1.029 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(F)cc(N(C)C)c3)c1-2 ZINC001198115894 747386882 /nfs/dbraw/zinc/38/68/82/747386882.db2.gz UFHSUEUELRKNJF-UHFFFAOYSA-N -1 1 314.324 1.492 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2ccc(F)c(F)c2F)n1C ZINC001198391758 747491971 /nfs/dbraw/zinc/49/19/71/747491971.db2.gz GRZAZDMEAYPZNO-UHFFFAOYSA-N -1 1 305.281 1.947 20 0 DDADMM CN1CCC[C@H]2CN(S(=O)(=O)c3ccccc3C(=O)[O-])C[C@H]21 ZINC001198766881 747603160 /nfs/dbraw/zinc/60/31/60/747603160.db2.gz AIOGATNMMJPIGP-WCQYABFASA-N -1 1 324.402 1.100 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cccc(OC(F)F)n1 ZINC001199305671 747783219 /nfs/dbraw/zinc/78/32/19/747783219.db2.gz DVZISCXUHBXOPM-UHFFFAOYSA-N -1 1 324.220 1.371 20 0 DDADMM CCN(C/C=C/Cl)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152841905 748401243 /nfs/dbraw/zinc/40/12/43/748401243.db2.gz NMBJEFDTDJZDPM-VUHVRTRXSA-N -1 1 300.790 1.616 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H]1CCCC(=O)C1 ZINC001201766718 748598669 /nfs/dbraw/zinc/59/86/69/748598669.db2.gz HPVPLPBLABSYKE-SSDOTTSWSA-N -1 1 308.812 1.895 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]Cc1cncnc1 ZINC001201769395 748599802 /nfs/dbraw/zinc/59/98/02/748599802.db2.gz WDRKBKANNYWSJK-UHFFFAOYSA-N -1 1 304.784 1.373 20 0 DDADMM CCC(CC)C(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202522880 749168815 /nfs/dbraw/zinc/16/88/15/749168815.db2.gz RHZIHJSXHZUPQD-NEPJUHHUSA-N -1 1 321.425 1.464 20 0 DDADMM CC1(C)CC(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)C1 ZINC000995755868 749223298 /nfs/dbraw/zinc/22/32/98/749223298.db2.gz ROICCISHTALVNX-NSHDSACASA-N -1 1 321.425 1.273 20 0 DDADMM CC(C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)=C1CCC1 ZINC000995787308 749243752 /nfs/dbraw/zinc/24/37/52/749243752.db2.gz RFKTWAGKQYQHFX-LBPRGKRZSA-N -1 1 319.409 1.337 20 0 DDADMM CC1(C)CN(C(=O)[C@@]2(C)C=CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996147665 749396461 /nfs/dbraw/zinc/39/64/61/749396461.db2.gz ZQXUDHIXWCSTDC-BZNIZROVSA-N -1 1 319.409 1.193 20 0 DDADMM CC(C)[C@H](C)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996367318 749528518 /nfs/dbraw/zinc/52/85/18/749528518.db2.gz FERNUTUCCDULGL-QWRGUYRKSA-N -1 1 309.414 1.129 20 0 DDADMM CCC(C)(CC)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996648379 749834797 /nfs/dbraw/zinc/83/47/97/749834797.db2.gz ROTWRKJHDOVPMM-NSHDSACASA-N -1 1 323.441 1.663 20 0 DDADMM Cc1ccc(N(C)CCCNC(=O)c2ncccc2[O-])nn1 ZINC001095526966 750011698 /nfs/dbraw/zinc/01/16/98/750011698.db2.gz QIJSIANVFZKYJF-UHFFFAOYSA-N -1 1 301.350 1.142 20 0 DDADMM Cc1nc(CNC[C@H]2CCCN2C(=O)c2ncccc2[O-])co1 ZINC001034915455 751049408 /nfs/dbraw/zinc/04/94/08/751049408.db2.gz ALENVEJVISJHRG-CYBMUJFWSA-N -1 1 316.361 1.478 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]1CNCc1nncs1 ZINC001034916251 751050466 /nfs/dbraw/zinc/05/04/66/751050466.db2.gz UNKNXSKMDJTORU-SNVBAGLBSA-N -1 1 319.390 1.033 20 0 DDADMM C[N@H+]1CC[C@H](c2ccc(NCCOP(=O)([O-])[O-])cc2)C1 ZINC001168596158 751271035 /nfs/dbraw/zinc/27/10/35/751271035.db2.gz PXVWUJNKTBUICD-LBPRGKRZSA-N -1 1 300.295 1.627 20 0 DDADMM O=C(C(F)=C1CCCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998905214 752353966 /nfs/dbraw/zinc/35/39/66/752353966.db2.gz VMOQAAUFPQEJNH-LLVKDONJSA-N -1 1 323.372 1.389 20 0 DDADMM C[C@H]1CCCN(C(=O)CCC2CC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036524777 752519904 /nfs/dbraw/zinc/51/99/04/752519904.db2.gz ROLNSXUCXLCVOU-WCQYABFASA-N -1 1 321.425 1.417 20 0 DDADMM CC[C@@H](F)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008063531 752528101 /nfs/dbraw/zinc/52/81/01/752528101.db2.gz JTIRIVWBCDAAFC-ZYHUDNBSSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)/C=C\C2CC2)C1 ZINC001005947641 753407549 /nfs/dbraw/zinc/40/75/49/753407549.db2.gz AUVSODNLADESBQ-JMEBYUIHSA-N -1 1 315.373 1.330 20 0 DDADMM Cc1cncc(CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC001010729494 754128246 /nfs/dbraw/zinc/12/82/46/754128246.db2.gz CCCQJAVLBBSYAM-AWEZNQCLSA-N -1 1 312.373 1.495 20 0 DDADMM O=C(N[C@H]1CCN(Cc2cccc(F)c2)C1)c1ncccc1[O-] ZINC001010733031 754129985 /nfs/dbraw/zinc/12/99/85/754129985.db2.gz ONSWYTNXODGFDR-AWEZNQCLSA-N -1 1 315.348 1.931 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C=C1CCC1 ZINC001011640572 754649897 /nfs/dbraw/zinc/64/98/97/754649897.db2.gz KPTNTYDCOBQLDH-AAEUAGOBSA-N -1 1 315.373 1.617 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ccoc1 ZINC001012291911 754979105 /nfs/dbraw/zinc/97/91/05/754979105.db2.gz FWGUSNOQUPNGFM-ZYHUDNBSSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@H]1[C@@H](Nc2ncc(F)cn2)CCN1C(=O)c1ncccc1[O-] ZINC001040102694 762384131 /nfs/dbraw/zinc/38/41/31/762384131.db2.gz FPKNGOUTGRBSFX-ONGXEEELSA-N -1 1 317.324 1.431 20 0 DDADMM Cc1ccnc(N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)n1 ZINC001065244696 758413900 /nfs/dbraw/zinc/41/39/00/758413900.db2.gz ACDTVIFXWITXOR-MRXNPFEDSA-N -1 1 313.361 1.284 20 0 DDADMM O=C(c1ccccc1)N1CCC[C@@H]([NH2+]CCP(=O)([O-])[O-])C1 ZINC001169727546 762633755 /nfs/dbraw/zinc/63/37/55/762633755.db2.gz DUCJEZRNNYPAHW-CYBMUJFWSA-N -1 1 312.306 1.059 20 0 DDADMM CC(C)C(=O)N1CC[C@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001018511270 758928550 /nfs/dbraw/zinc/92/85/50/758928550.db2.gz AULCXBMLVSEJFO-STQMWFEESA-N -1 1 319.405 1.658 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)C1CC1 ZINC001018555958 758970659 /nfs/dbraw/zinc/97/06/59/758970659.db2.gz RPQGZFHMNHHBML-DGCLKSJQSA-N -1 1 317.389 1.412 20 0 DDADMM CN(C(=O)[C@]1(C)CC(c2ccccc2Cl)=NO1)c1nn[n-]n1 ZINC000827659423 759360564 /nfs/dbraw/zinc/36/05/64/759360564.db2.gz RINCPCBNPJPBAB-ZDUSSCGKSA-N -1 1 320.740 1.399 20 0 DDADMM Cc1ccc(OCC(=O)N2CCC(c3nn[n-]n3)CC2)cc1C ZINC000827916300 759408583 /nfs/dbraw/zinc/40/85/83/759408583.db2.gz WKYJCMQLUHGRAH-UHFFFAOYSA-N -1 1 315.377 1.602 20 0 DDADMM CC(C)(C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCCC1 ZINC001018977817 759470629 /nfs/dbraw/zinc/47/06/29/759470629.db2.gz RMVNZTHAUUFJIT-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H]1CN(C(=O)CCC2CCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054347730 759523090 /nfs/dbraw/zinc/52/30/90/759523090.db2.gz XJZKUHHOIXOYNC-WCQYABFASA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H]1CN(C(=O)C2CCCCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054481110 759705674 /nfs/dbraw/zinc/70/56/74/759705674.db2.gz UQKNEQOTOAQDGF-YPMHNXCESA-N -1 1 321.425 1.417 20 0 DDADMM CC1(C)CCC[C@@H]1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019255779 759728281 /nfs/dbraw/zinc/72/82/81/759728281.db2.gz KXAAVYKOFZKYCC-WDEREUQCSA-N -1 1 307.398 1.027 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC(CCCO)CC1 ZINC000828748585 759778169 /nfs/dbraw/zinc/77/81/69/759778169.db2.gz OCUDQPSQKCZUGC-UHFFFAOYSA-N -1 1 305.378 1.684 20 0 DDADMM O=C(NC[C@H]1C[C@H](Nc2cnc(F)cn2)C1)c1ncccc1[O-] ZINC001046796552 767931849 /nfs/dbraw/zinc/93/18/49/767931849.db2.gz JWJJBWISXLFFEO-MGCOHNPYSA-N -1 1 317.324 1.337 20 0 DDADMM Cc1cc(CN[C@H]2C[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)on1 ZINC001086062650 760882407 /nfs/dbraw/zinc/88/24/07/760882407.db2.gz DUKUKFRNRQMLET-PHIMTYICSA-N -1 1 319.365 1.018 20 0 DDADMM O=C(NC[C@H]1CCN1Cc1ccsc1)c1ncccc1[O-] ZINC001038183617 760898917 /nfs/dbraw/zinc/89/89/17/760898917.db2.gz LBINECAVUVHBAO-GFCCVEGCSA-N -1 1 303.387 1.853 20 0 DDADMM O=C(N[C@@H]1[C@H]2CN(c3cccc(F)n3)C[C@H]21)c1ncccc1[O-] ZINC001056181340 761033849 /nfs/dbraw/zinc/03/38/49/761033849.db2.gz HRJMHQYIBKCBJQ-MSRIBSCDSA-N -1 1 314.320 1.186 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1Nc1ncccn1 ZINC001069560176 768060378 /nfs/dbraw/zinc/06/03/78/768060378.db2.gz XJOYTTCSPHIUOC-LBPRGKRZSA-N -1 1 313.361 1.540 20 0 DDADMM CC(C)(C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001001553516 762955816 /nfs/dbraw/zinc/95/58/16/762955816.db2.gz VKSZRTZRTSSKNH-UHFFFAOYSA-N -1 1 317.389 1.412 20 0 DDADMM Cc1nsc(N(C)C[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108932526 763142290 /nfs/dbraw/zinc/14/22/90/763142290.db2.gz AJPWCGKKJIHRCE-MRVPVSSYSA-N -1 1 307.379 1.202 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(Cc2ccc(F)cn2)C1 ZINC001042645400 764308561 /nfs/dbraw/zinc/30/85/61/764308561.db2.gz SKBAJWRXABCFIG-UHFFFAOYSA-N -1 1 316.336 1.278 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)CC1CC1 ZINC001052420128 765808311 /nfs/dbraw/zinc/80/83/11/765808311.db2.gz CHGLSWAJMKAAKC-AAEUAGOBSA-N -1 1 317.389 1.412 20 0 DDADMM O=C(NC1CCN(c2cnc(F)cn2)CC1)c1ncccc1[O-] ZINC001057861603 766104437 /nfs/dbraw/zinc/10/44/37/766104437.db2.gz GDFSSTBCWXZVBU-UHFFFAOYSA-N -1 1 317.324 1.115 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045209930 766158856 /nfs/dbraw/zinc/15/88/56/766158856.db2.gz INQBLVDNMJNUOE-FRRDWIJNSA-N -1 1 321.425 1.273 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncc(OCC4CC4)cn3)c2[nH]1 ZINC001170214151 766173977 /nfs/dbraw/zinc/17/39/77/766173977.db2.gz YFXWZUNPEUDEQO-UHFFFAOYSA-N -1 1 315.293 1.038 20 0 DDADMM COc1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(C)c1OC ZINC001170216784 766175586 /nfs/dbraw/zinc/17/55/86/766175586.db2.gz AQDUFLTVZJNWNZ-UHFFFAOYSA-N -1 1 317.305 1.785 20 0 DDADMM COc1cc(SC)c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cn1 ZINC001170220920 766178664 /nfs/dbraw/zinc/17/86/64/766178664.db2.gz YSXYQMOOZBHKME-UHFFFAOYSA-N -1 1 320.334 1.585 20 0 DDADMM O=C1Cc2c(nc(Cl)cc2Nc2[n-]c(=O)nc3nc[nH]c32)N1 ZINC001170226139 766200553 /nfs/dbraw/zinc/20/05/53/766200553.db2.gz CVVSZFQAHBBJKG-UHFFFAOYSA-N -1 1 317.696 1.345 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2cnc(OCC3CCC3)cn2)[n-]1 ZINC001170229733 766203907 /nfs/dbraw/zinc/20/39/07/766203907.db2.gz ZBENTAZIIYNYMY-UHFFFAOYSA-N -1 1 313.321 1.771 20 0 DDADMM O=C(N[C@@H]1CCCN(c2cccc(F)n2)C1)c1ncccc1[O-] ZINC001058147333 766273472 /nfs/dbraw/zinc/27/34/72/766273472.db2.gz FJSUIRUSRQFUJG-LLVKDONJSA-N -1 1 316.336 1.720 20 0 DDADMM Cc1ccc(CCCC(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001133257835 769636340 /nfs/dbraw/zinc/63/63/40/769636340.db2.gz PMOMMSSZTZJCAF-UHFFFAOYSA-N -1 1 317.393 1.047 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CC(C)(C)C ZINC001071953281 770338333 /nfs/dbraw/zinc/33/83/33/770338333.db2.gz XJQQNPZGSVAIIX-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)c1ccnc(CO)c1 ZINC001136190746 771913705 /nfs/dbraw/zinc/91/37/05/771913705.db2.gz OIUDKVRNLWQJRO-UHFFFAOYSA-N -1 1 310.313 1.922 20 0 DDADMM Cc1cc(CC(=O)Nc2ccc(OCc3nn[n-]n3)cc2)on1 ZINC001136819440 772160851 /nfs/dbraw/zinc/16/08/51/772160851.db2.gz LKUWEIGOJSPPJU-UHFFFAOYSA-N -1 1 314.305 1.256 20 0 DDADMM O=C(Cc1ccc(O)c(F)c1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001144633066 772585911 /nfs/dbraw/zinc/58/59/11/772585911.db2.gz CFENWXDDDUMSCW-UHFFFAOYSA-N -1 1 303.253 1.084 20 0 DDADMM [O-]c1cc(F)c(CN2CCN(c3ccncn3)CC2)cc1F ZINC001144660259 772592007 /nfs/dbraw/zinc/59/20/07/772592007.db2.gz YLKIKDZGIDGFFA-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM CN(C)c1ncc(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)o1 ZINC001147053139 773029258 /nfs/dbraw/zinc/02/92/58/773029258.db2.gz PXODTSJGHNSUEA-UHFFFAOYSA-N -1 1 313.317 1.884 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)c1[O-] ZINC001147840510 773264951 /nfs/dbraw/zinc/26/49/51/773264951.db2.gz KSFGSLXLAUPSTJ-UHFFFAOYSA-N -1 1 314.301 1.422 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cccc(C(N)=S)c2)c1[O-] ZINC001147841449 773265113 /nfs/dbraw/zinc/26/51/13/773265113.db2.gz PQFDMKCQQKDVRJ-UHFFFAOYSA-N -1 1 317.370 1.474 20 0 DDADMM CCOC(=O)[C@H](NC(=O)c1c(CO)cnc(C)c1[O-])[C@@H](C)CC ZINC001147844492 773267759 /nfs/dbraw/zinc/26/77/59/773267759.db2.gz QSCYOMJUODPRHH-TVQRCGJNSA-N -1 1 324.377 1.296 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@H]2CCCC(F)(F)C2)c1[O-] ZINC001147844116 773267908 /nfs/dbraw/zinc/26/79/08/773267908.db2.gz LHBULJOKHSDWAQ-JTQLQIEISA-N -1 1 300.305 1.896 20 0 DDADMM CC(C)CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073663533 773278941 /nfs/dbraw/zinc/27/89/41/773278941.db2.gz QGJKNBLSFGIXHZ-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(F)c(C=O)c1)c1nn[n-]n1 ZINC001148261057 773414452 /nfs/dbraw/zinc/41/44/52/773414452.db2.gz OMFQAAGQTDVIAK-NSHDSACASA-N -1 1 323.353 1.376 20 0 DDADMM O=C(NC[C@@H]1CNC(=O)O1)c1ccc(C(F)(F)F)cc1[O-] ZINC001148805611 773606439 /nfs/dbraw/zinc/60/64/39/773606439.db2.gz BVSONGLNOQPGAK-SSDOTTSWSA-N -1 1 304.224 1.249 20 0 DDADMM O=C(Nc1ccccc1O)c1cc(S(=O)(=O)[O-])ccc1O ZINC001148926125 773652721 /nfs/dbraw/zinc/65/27/21/773652721.db2.gz FCBALKJKNIRHRR-UHFFFAOYSA-N -1 1 309.299 1.597 20 0 DDADMM CC(C)CN1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001074219903 773743396 /nfs/dbraw/zinc/74/33/96/773743396.db2.gz YUZAFVJRVZYRLE-DZGCQCFKSA-N -1 1 319.405 1.359 20 0 DDADMM CCc1nc(C)cc(N(C)CCNC(=O)c2ncccc2[O-])n1 ZINC001100073028 775646924 /nfs/dbraw/zinc/64/69/24/775646924.db2.gz QVCHQNRCFWOLNG-UHFFFAOYSA-N -1 1 315.377 1.314 20 0 DDADMM COc1cnc(-c2noc(-c3ccncc3[O-])n2)c(OC)c1 ZINC001212722590 777112129 /nfs/dbraw/zinc/11/21/29/777112129.db2.gz PFZFJBHCKBSFHK-UHFFFAOYSA-N -1 1 300.274 1.916 20 0 DDADMM CCOC(=O)c1nc[n-]c1-c1nc(-c2cccn3ccnc23)no1 ZINC001212767877 777701682 /nfs/dbraw/zinc/70/16/82/777701682.db2.gz BOUHKSWHBOEOJC-UHFFFAOYSA-N -1 1 324.300 1.951 20 0 DDADMM O=C(c1ccc2[n-]c(=S)oc2c1)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001175553155 777839496 /nfs/dbraw/zinc/83/94/96/777839496.db2.gz UADWEHNMHMIECH-MRVPVSSYSA-N -1 1 316.346 1.259 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cccc(N)c2)cc1CO ZINC001175987351 777986554 /nfs/dbraw/zinc/98/65/54/777986554.db2.gz VCSJYPQTFBRPHP-UHFFFAOYSA-N -1 1 308.359 1.571 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2cnc(N(C)C)nc2)ccc1N ZINC001176332376 778118693 /nfs/dbraw/zinc/11/86/93/778118693.db2.gz PJAYWSBTLQJTOQ-UHFFFAOYSA-N -1 1 307.379 1.234 20 0 DDADMM O=C(Cc1nn[n-]n1)NC1c2ccccc2Oc2ccccc21 ZINC001176847518 778301369 /nfs/dbraw/zinc/30/13/69/778301369.db2.gz ZOAHSVDHLYHCHK-UHFFFAOYSA-N -1 1 307.313 1.754 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)CC1CCC1 ZINC001102824205 778428417 /nfs/dbraw/zinc/42/84/17/778428417.db2.gz KEDNFAYJBLNGHK-DGCLKSJQSA-N -1 1 321.425 1.275 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)[C@@H]1C[C@H]1c1cccs1 ZINC001177544873 778644232 /nfs/dbraw/zinc/64/42/32/778644232.db2.gz ULJSAFDCCYNSAI-NXEZZACHSA-N -1 1 303.320 1.926 20 0 DDADMM CCC[C@H](C)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001178315135 778999347 /nfs/dbraw/zinc/99/93/47/778999347.db2.gz DXGOLKUTIGYBPB-SRVKXCTJSA-N -1 1 309.414 1.416 20 0 DDADMM CSCCCCC(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001178894599 779213189 /nfs/dbraw/zinc/21/31/89/779213189.db2.gz VRFSFLZJZBDTRH-UHFFFAOYSA-N -1 1 313.423 1.730 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCC(C)(F)F ZINC001179025372 779249893 /nfs/dbraw/zinc/24/98/93/779249893.db2.gz OZPCFZRIGAZIED-IUCAKERBSA-N -1 1 317.340 1.025 20 0 DDADMM O=S(=O)([N-]CCC[C@@H]1CCOC1)c1ccc(Cl)nc1F ZINC000692843151 779490107 /nfs/dbraw/zinc/49/01/07/779490107.db2.gz VZZBSMVJLROEQV-SECBINFHSA-N -1 1 322.789 1.969 20 0 DDADMM O=C([O-])C(F)(F)C(F)(F)C(=O)N[C@@H]1CCCc2nccnc21 ZINC001180176531 779636615 /nfs/dbraw/zinc/63/66/15/779636615.db2.gz FGBZURKBVUSXPI-SSDOTTSWSA-N -1 1 321.230 1.325 20 0 DDADMM Cc1ccc(N[C@@H](C)CN(C)C(=O)c2ncccc2[O-])nn1 ZINC001115719320 780374213 /nfs/dbraw/zinc/37/42/13/780374213.db2.gz NCULLVGDXNSSLC-NSHDSACASA-N -1 1 301.350 1.458 20 0 DDADMM O=S(=O)([N-]CC=CC1CC1)c1nc[nH]c1Br ZINC001118936277 781270359 /nfs/dbraw/zinc/27/03/59/781270359.db2.gz HXIZHDUUISZRPI-UPHRSURJSA-N -1 1 306.185 1.417 20 0 DDADMM CC(C)CONC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001119036842 781306116 /nfs/dbraw/zinc/30/61/16/781306116.db2.gz CRQHIUFQKSBKJR-LLVKDONJSA-N -1 1 311.304 1.427 20 0 DDADMM O=C(NC/C=C\C1CC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001119093819 781319423 /nfs/dbraw/zinc/31/94/23/781319423.db2.gz PKCNEHRAEYKQFC-UPHRSURJSA-N -1 1 304.350 1.020 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccc[nH]1 ZINC001409261767 844727078 /nfs/dbraw/zinc/72/70/78/844727078.db2.gz RXGREXBXAISNHH-JTQLQIEISA-N -1 1 302.334 1.054 20 0 DDADMM COC(=O)c1cncc(NC(=O)c2ccc3cccnc3c2[O-])n1 ZINC001149315251 861539970 /nfs/dbraw/zinc/53/99/70/861539970.db2.gz WWKGAOXWSLJIGF-UHFFFAOYSA-N -1 1 324.296 1.769 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001149500339 861662293 /nfs/dbraw/zinc/66/22/93/861662293.db2.gz KBWFYGSUWFEKJP-XHPSBEMXSA-N -1 1 324.319 1.653 20 0 DDADMM COc1ccc2oc(C(=O)[N-]n3ccc(=O)[nH]c3=O)c(C)c2c1 ZINC001149611399 861748922 /nfs/dbraw/zinc/74/89/22/861748922.db2.gz KMYDFIJETKYGLO-UHFFFAOYSA-N -1 1 315.285 1.396 20 0 DDADMM COC(CN(C)C(=O)c1cc2cccc(O)c2cc1[O-])OC ZINC001149646886 861780046 /nfs/dbraw/zinc/78/00/46/861780046.db2.gz SSNBXRNUEXMRAL-UHFFFAOYSA-N -1 1 305.330 1.942 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CCNCC(F)(F)C1 ZINC001149651327 861782356 /nfs/dbraw/zinc/78/23/56/861782356.db2.gz WZETYMGTPMRTKJ-UHFFFAOYSA-N -1 1 322.311 1.932 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(=O)c2cccc(C)c2)n1 ZINC001128847037 847998415 /nfs/dbraw/zinc/99/84/15/847998415.db2.gz KFWYDDVKJHTWRV-UHFFFAOYSA-N -1 1 301.302 1.716 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(OC)cnc2F)n1 ZINC001128946048 848441985 /nfs/dbraw/zinc/44/19/85/848441985.db2.gz HOLQTGGFNDTJCY-UHFFFAOYSA-N -1 1 308.269 1.381 20 0 DDADMM CCOC(=O)[C@H]1CCCCN1C(=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155266968 861913635 /nfs/dbraw/zinc/91/36/35/861913635.db2.gz SUIMSRIVIJKBSA-CYBMUJFWSA-N -1 1 317.345 1.826 20 0 DDADMM O=C(NC[C@@H](O)c1ccc(O)cc1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155269535 861914044 /nfs/dbraw/zinc/91/40/44/861914044.db2.gz JHJKTQNBOUWFEV-CQSZACIVSA-N -1 1 313.313 1.438 20 0 DDADMM CCOC(=O)[C@@H]1CCCCN1C(=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155266969 861915848 /nfs/dbraw/zinc/91/58/48/861915848.db2.gz SUIMSRIVIJKBSA-ZDUSSCGKSA-N -1 1 317.345 1.826 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccns1)OCc1ccccc1 ZINC001434542309 848563287 /nfs/dbraw/zinc/56/32/87/848563287.db2.gz WWYPPCBJMFVRRO-UHFFFAOYSA-N -1 1 312.372 1.165 20 0 DDADMM COc1ncc(CCNC(=O)c2cc(C)cc(C=O)c2[O-])cn1 ZINC001149839952 861934741 /nfs/dbraw/zinc/93/47/41/861934741.db2.gz PAEUNTZFIQEIOX-UHFFFAOYSA-N -1 1 315.329 1.284 20 0 DDADMM C[C@H](CC(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001410448121 849293486 /nfs/dbraw/zinc/29/34/86/849293486.db2.gz YKRRYPRHZVHOLZ-SFYZADRCSA-N -1 1 323.319 1.035 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccoc1C1CC1)NCc1n[nH]c(=O)[n-]1 ZINC001410448463 849295391 /nfs/dbraw/zinc/29/53/91/849295391.db2.gz JENMVAZZOBZFHB-VIFPVBQESA-N -1 1 319.365 1.231 20 0 DDADMM C[C@H](C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-])C(F)(F)F ZINC001410444500 849300505 /nfs/dbraw/zinc/30/05/05/849300505.db2.gz VIRKQLRULGYDSY-JGVFFNPUSA-N -1 1 319.283 1.220 20 0 DDADMM COC(=O)c1c[n-]c(C(=O)OC)c1NC(=O)c1oc(C)nc1C ZINC001411340079 850866558 /nfs/dbraw/zinc/86/65/58/850866558.db2.gz WEYHTFGJJLXYFW-UHFFFAOYSA-N -1 1 321.289 1.445 20 0 DDADMM COc1cccn2ncc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)c12 ZINC001411352395 850902839 /nfs/dbraw/zinc/90/28/39/850902839.db2.gz HSCZAJSXHKDDJD-UHFFFAOYSA-N -1 1 322.328 1.250 20 0 DDADMM O=C(c1ccc(F)c(=O)[n-]1)N1CC2(CCN2Cc2ccc[nH]2)C1 ZINC001273578014 851110076 /nfs/dbraw/zinc/11/00/76/851110076.db2.gz WYQXCJHPTBGEGN-UHFFFAOYSA-N -1 1 316.336 1.355 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC2(C[C@@H]2C(=O)N2CC=CC2)C1 ZINC001275360791 853015078 /nfs/dbraw/zinc/01/50/78/853015078.db2.gz KCRMPHCORYPVQW-CYBMUJFWSA-N -1 1 316.332 1.392 20 0 DDADMM NC(=O)[C@@H]1CCC2(C1)CCN(C(=O)c1cncc([O-])c1)CC2 ZINC001275623778 853449908 /nfs/dbraw/zinc/44/99/08/853449908.db2.gz OSSGTFUXVOVKBK-LLVKDONJSA-N -1 1 303.362 1.295 20 0 DDADMM COCCOCN1CCC12CN(C(=O)c1cc(F)ccc1[O-])C2 ZINC001275918041 853943013 /nfs/dbraw/zinc/94/30/13/853943013.db2.gz QEXYBLWASFRBLH-UHFFFAOYSA-N -1 1 324.352 1.052 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC2(C[C@H]2C(=O)N2CC=CC2)C1 ZINC001275984190 854081387 /nfs/dbraw/zinc/08/13/87/854081387.db2.gz MKQWMWVTMVNEKM-LBPRGKRZSA-N -1 1 316.332 1.392 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(OC(C)C)cn1)c1nn[n-]n1 ZINC001412112892 854225001 /nfs/dbraw/zinc/22/50/01/854225001.db2.gz UGSIGJUJSIXPPE-UHFFFAOYSA-N -1 1 318.381 1.827 20 0 DDADMM Nc1nccnc1C(=O)Nc1cccc([O-])c1Br ZINC001412159863 854280820 /nfs/dbraw/zinc/28/08/20/854280820.db2.gz USCQGIJCQUQVJD-UHFFFAOYSA-N -1 1 309.123 1.779 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2CCN(C(C)(C)C)C2=O)c(=O)[n-]1 ZINC001412276128 854399609 /nfs/dbraw/zinc/39/96/09/854399609.db2.gz PEKKGQONXYPXBW-VIFPVBQESA-N -1 1 324.406 1.033 20 0 DDADMM CC[C@H](NC(=O)c1cnncc1[O-])C(=O)NCc1cccs1 ZINC001412287384 854411778 /nfs/dbraw/zinc/41/17/78/854411778.db2.gz CRTUKXCPFSCQAV-NSHDSACASA-N -1 1 320.374 1.069 20 0 DDADMM CCOC(=O)c1nc(NC(=O)Cc2nnc(C)o2)[n-]c1Cl ZINC001412302359 854417181 /nfs/dbraw/zinc/41/71/81/854417181.db2.gz OQVVNXBJDGXXDK-UHFFFAOYSA-N -1 1 313.701 1.112 20 0 DDADMM CCOC(=O)c1sc(NC(=O)CCCc2nn[n-]n2)nc1C ZINC001412299834 854421779 /nfs/dbraw/zinc/42/17/79/854421779.db2.gz VLMYDNAOBQFGNZ-UHFFFAOYSA-N -1 1 324.366 1.103 20 0 DDADMM CN1c2ccccc2C[C@H](NC(=O)c2ccc(F)c([O-])c2)C1=O ZINC001412328528 854437900 /nfs/dbraw/zinc/43/79/00/854437900.db2.gz HVDRCFFOHFIARZ-ZDUSSCGKSA-N -1 1 314.316 1.849 20 0 DDADMM CCOC(=O)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001412373726 854483777 /nfs/dbraw/zinc/48/37/77/854483777.db2.gz NTFZLRUCXKQGNS-UHFFFAOYSA-N -1 1 303.322 1.338 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001412387003 854495168 /nfs/dbraw/zinc/49/51/68/854495168.db2.gz NHGGYNYSGAWUBB-BXKDBHETSA-N -1 1 309.391 1.217 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H]1CCCC12CC2 ZINC001412414158 854522701 /nfs/dbraw/zinc/52/27/01/854522701.db2.gz AMZFXDAWXZMBGY-LLVKDONJSA-N -1 1 303.362 1.214 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ccc([O-])cn1)c1ccc(F)cc1 ZINC001412444285 854560681 /nfs/dbraw/zinc/56/06/81/854560681.db2.gz GGNOZZZPEBDHQW-CQSZACIVSA-N -1 1 318.304 1.961 20 0 DDADMM C[C@H](CN(C)C(=O)CCC1CC1)NC(=O)c1ncccc1[O-] ZINC001412471199 854591347 /nfs/dbraw/zinc/59/13/47/854591347.db2.gz FQTXLSZHFNWJPX-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(CNC(=O)c1c([O-])cnc2c(F)cccc21)OCC1CC1 ZINC001412483160 854608043 /nfs/dbraw/zinc/60/80/43/854608043.db2.gz MSRFGKQNZUJIKD-UHFFFAOYSA-N -1 1 318.304 1.763 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1CCC(=O)[C@@H](C)C1(C)C ZINC001412533041 854673212 /nfs/dbraw/zinc/67/32/12/854673212.db2.gz UQBXODAAJUYDAR-NEPJUHHUSA-N -1 1 321.425 1.826 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1CCOC2(CCCC2)C1 ZINC001412540683 854684421 /nfs/dbraw/zinc/68/44/21/854684421.db2.gz KZEAPGCVZOKOPV-LLVKDONJSA-N -1 1 305.382 1.435 20 0 DDADMM O=C(C/C=C\c1ccc(F)cc1)NC1(c2nn[n-]n2)CCC1 ZINC001412545100 854695414 /nfs/dbraw/zinc/69/54/14/854695414.db2.gz RIAHWQMHPSCRHR-IWQZZHSRSA-N -1 1 301.325 1.938 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@@H](NC(=O)c2ccc([O-])cc2F)C1 ZINC001412576679 854745995 /nfs/dbraw/zinc/74/59/95/854745995.db2.gz LCOVBYYXAMLFQL-MWLCHTKSSA-N -1 1 315.366 1.617 20 0 DDADMM CCN(C(=O)c1cnncc1[O-])[C@@H](COC)Cc1ccccc1 ZINC001412645543 854872204 /nfs/dbraw/zinc/87/22/04/854872204.db2.gz SMCUARJUONGFNQ-CQSZACIVSA-N -1 1 315.373 1.902 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)c1noc(C)n1 ZINC001412737078 855048176 /nfs/dbraw/zinc/04/81/76/855048176.db2.gz YBPUEGAWIDIGGK-ZCFIWIBFSA-N -1 1 309.351 1.395 20 0 DDADMM O=C(NN1CCCNC1=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001412772317 855246088 /nfs/dbraw/zinc/24/60/88/855246088.db2.gz JOQWIHMRWPAQOY-UHFFFAOYSA-N -1 1 303.240 1.471 20 0 DDADMM NC(=O)C1=CC2(CC1)CCN(C(=O)c1ccc(F)c(=O)[n-]1)CC2 ZINC001276303191 855500402 /nfs/dbraw/zinc/50/04/02/855500402.db2.gz MAGBBFRQQUBKAE-UHFFFAOYSA-N -1 1 319.336 1.354 20 0 DDADMM CC1(C)[C@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C[C@@H]1O ZINC001412848230 855722736 /nfs/dbraw/zinc/72/27/36/855722736.db2.gz IEVZBUMPTWKTFP-NEPJUHHUSA-N -1 1 304.321 1.969 20 0 DDADMM COc1ccc(OC)c([C@H]2C[C@H]2C(=O)Nc2c[n-][nH]c2=O)c1 ZINC001412858929 855731869 /nfs/dbraw/zinc/73/18/69/855731869.db2.gz RISPHILNFXSOBF-MWLCHTKSSA-N -1 1 303.318 1.875 20 0 DDADMM Cc1cccnc1NC(=O)CN1CCC(c2n[n-]c(=O)o2)CC1 ZINC001412993592 855884475 /nfs/dbraw/zinc/88/44/75/855884475.db2.gz XFZAKYVKZWLBLN-UHFFFAOYSA-N -1 1 317.349 1.297 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cnc2c(F)cccc21)c1nc[nH]n1 ZINC001151338816 862737396 /nfs/dbraw/zinc/73/73/96/862737396.db2.gz SACGFEBLZSSCLF-ZETCQYMHSA-N -1 1 301.281 1.689 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cnc2c(F)cccc21)c1nnc[nH]1 ZINC001151338816 862737401 /nfs/dbraw/zinc/73/74/01/862737401.db2.gz SACGFEBLZSSCLF-ZETCQYMHSA-N -1 1 301.281 1.689 20 0 DDADMM COC(=O)[C@@H](CF)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001413021404 855962076 /nfs/dbraw/zinc/96/20/76/855962076.db2.gz LTVWSYBFDUDFTC-SECBINFHSA-N -1 1 310.256 1.320 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]c2nc(CN(C)C)cs2)on1 ZINC001413050239 856146129 /nfs/dbraw/zinc/14/61/29/856146129.db2.gz RPEAHYUPWVKEMK-UHFFFAOYSA-N -1 1 324.362 1.622 20 0 DDADMM CSc1nc(NC(=O)c2cccn3ccnc23)cc(=O)[n-]1 ZINC001151468547 862790689 /nfs/dbraw/zinc/79/06/89/862790689.db2.gz ZACOXMCWDRWEGW-UHFFFAOYSA-N -1 1 301.331 1.804 20 0 DDADMM COc1cccc(C2(C(=O)NCc3nc([O-])cc(=O)[nH]3)CC2)c1 ZINC001413336954 856682853 /nfs/dbraw/zinc/68/28/53/856682853.db2.gz ATAYLQXKRMPVEY-UHFFFAOYSA-N -1 1 315.329 1.244 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)c2csnn2)sn1 ZINC001413372741 856726773 /nfs/dbraw/zinc/72/67/73/856726773.db2.gz GLYRTLWTCMHESJ-YFKPBYRVSA-N -1 1 306.394 1.043 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2Cc3ccccc3[C@H]2C)[n-]n1 ZINC001413405405 856771176 /nfs/dbraw/zinc/77/11/76/856771176.db2.gz VAAYFBIMLVMXRH-SECBINFHSA-N -1 1 321.358 1.462 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2Cc3ccccc3[C@H]2C)n[n-]1 ZINC001413405405 856771185 /nfs/dbraw/zinc/77/11/85/856771185.db2.gz VAAYFBIMLVMXRH-SECBINFHSA-N -1 1 321.358 1.462 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1C[C@@H]1Cc1ccccc1 ZINC001413481511 856870143 /nfs/dbraw/zinc/87/01/43/856870143.db2.gz KPSKRILFZGXXDG-STQMWFEESA-N -1 1 321.402 1.722 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC001413501495 856896685 /nfs/dbraw/zinc/89/66/85/856896685.db2.gz FDQCRDCIFMOUSG-NUEKZKHPSA-N -1 1 311.389 1.770 20 0 DDADMM O=C([N-]c1cnoc1)c1cn2nc(Br)sc2n1 ZINC001152077636 863147585 /nfs/dbraw/zinc/14/75/85/863147585.db2.gz SVPQKTLBDLGILU-UHFFFAOYSA-N -1 1 314.124 1.794 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CCc2c1cccc2C ZINC001121777052 858594073 /nfs/dbraw/zinc/59/40/73/858594073.db2.gz WMEOVGVPKJKKSK-UHFFFAOYSA-N -1 1 310.365 1.405 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CCc2c1cccc2C ZINC001121777052 858594080 /nfs/dbraw/zinc/59/40/80/858594080.db2.gz WMEOVGVPKJKKSK-UHFFFAOYSA-N -1 1 310.365 1.405 20 0 DDADMM COCCCCN(C)c1nnc(-c2c[n-][nH]c2=O)n1CC(C)C ZINC001122722888 858948233 /nfs/dbraw/zinc/94/82/33/858948233.db2.gz DWTMOEVYRBLYKP-UHFFFAOYSA-N -1 1 322.413 1.893 20 0 DDADMM COc1ccccc1C(C)=CC(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123869880 859449054 /nfs/dbraw/zinc/44/90/54/859449054.db2.gz UXAWMMZRSYZMPP-UCQJPZFISA-N -1 1 313.361 1.628 20 0 DDADMM O=C(CCCOc1ccccc1F)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123961163 859496994 /nfs/dbraw/zinc/49/69/94/859496994.db2.gz IKCSUAMXRIRCPP-NSHDSACASA-N -1 1 319.340 1.514 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CCCn2nccc21 ZINC001123962266 859498970 /nfs/dbraw/zinc/49/89/70/859498970.db2.gz RSAMNBHIBOXEQO-MRVPVSSYSA-N -1 1 305.363 1.241 20 0 DDADMM O=C(c1cc2ccsc2[nH]1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123998519 859517642 /nfs/dbraw/zinc/51/76/42/859517642.db2.gz CKUCVCZOMZMSRV-VIFPVBQESA-N -1 1 316.390 1.837 20 0 DDADMM C[C@@H](OCC[P@](=O)([O-])O)C1CN(C(=O)OC(C)(C)C)C1 ZINC001224606523 881466394 /nfs/dbraw/zinc/46/63/94/881466394.db2.gz PQUHVIJGSLXHNB-SECBINFHSA-N -1 1 309.299 1.436 20 0 DDADMM [O-]c1cccnc1CN1CCn2c(Cn3cccn3)cnc2C1 ZINC001138392253 860088078 /nfs/dbraw/zinc/08/80/78/860088078.db2.gz CYXVVQUQTFSGCW-UHFFFAOYSA-N -1 1 310.361 1.244 20 0 DDADMM COc1cccc(CN2C[C@@H]3C[C@@H]3[C@H](F)C2)c1OCC(=O)[O-] ZINC001139268675 860344841 /nfs/dbraw/zinc/34/48/41/860344841.db2.gz QETDTXOZGVHGRK-RWMBFGLXSA-N -1 1 309.337 1.948 20 0 DDADMM [O-]c1cnccc1CN1CCN(c2nccnc2Cl)CC1 ZINC001140274385 860605202 /nfs/dbraw/zinc/60/52/02/860605202.db2.gz ZOBXTMIKWQZIKI-UHFFFAOYSA-N -1 1 305.769 1.553 20 0 DDADMM COC(=O)c1cn2c(n1)CN(Cc1ccc([O-])c(F)c1F)CC2 ZINC001140892690 860726764 /nfs/dbraw/zinc/72/67/64/860726764.db2.gz WQTPKIOTYZFGAT-UHFFFAOYSA-N -1 1 323.299 1.669 20 0 DDADMM COC(=O)[C@@]1(C)COCCN1Cc1ccc([O-])c(F)c1F ZINC001140895780 860727223 /nfs/dbraw/zinc/72/72/23/860727223.db2.gz VPQFYKYVUQYUOV-CQSZACIVSA-N -1 1 301.289 1.434 20 0 DDADMM Cc1cncnc1O[C@H]1CCN(Cc2ccc(C(=O)[O-])o2)C1 ZINC001140949278 860744022 /nfs/dbraw/zinc/74/40/22/860744022.db2.gz WSVKVXRIQFSTMV-LBPRGKRZSA-N -1 1 303.318 1.730 20 0 DDADMM CC(C)CC(=O)NCCC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001361404424 881582706 /nfs/dbraw/zinc/58/27/06/881582706.db2.gz MWXZXLUEVRSSLF-UHFFFAOYSA-N -1 1 320.393 1.552 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc4cccc(F)n43)c1-2 ZINC001156624957 863204436 /nfs/dbraw/zinc/20/44/36/863204436.db2.gz LZDPWLHOLALMBH-UHFFFAOYSA-N -1 1 311.280 1.074 20 0 DDADMM O=C([N-]c1nnc([C@H]2CCCO2)s1)c1ccnc2n[nH]nc21 ZINC001152349884 863295244 /nfs/dbraw/zinc/29/52/44/863295244.db2.gz ZZZQDVBFBSKXST-SSDOTTSWSA-N -1 1 317.334 1.308 20 0 DDADMM CN(C(=O)c1ccc(SC(F)(F)F)nc1)c1nn[n-]n1 ZINC001361435415 881647093 /nfs/dbraw/zinc/64/70/93/881647093.db2.gz HKEOBNVOXNJSML-UHFFFAOYSA-N -1 1 304.257 1.483 20 0 DDADMM CSCC[C@H](NC(=O)c1c([O-])ccc2ccccc21)C(N)=O ZINC001153510198 863920691 /nfs/dbraw/zinc/92/06/91/863920691.db2.gz LSSGODBQJKRNCE-LBPRGKRZSA-N -1 1 318.398 1.882 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@H]1CCSC1 ZINC001330605144 864483356 /nfs/dbraw/zinc/48/33/56/864483356.db2.gz KINVDNBBHGVKJA-VIFPVBQESA-N -1 1 314.794 1.284 20 0 DDADMM Cc1nnc([N-]C(=O)c2ncc(Br)cn2)s1 ZINC001361509652 881795880 /nfs/dbraw/zinc/79/58/80/881795880.db2.gz FIGAXHWSWXMCFU-UHFFFAOYSA-N -1 1 300.141 1.651 20 0 DDADMM Cc1csc2ncnc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)c12 ZINC001159184498 865152622 /nfs/dbraw/zinc/15/26/22/865152622.db2.gz BIHMDZISPVONBL-UHFFFAOYSA-N -1 1 315.318 1.772 20 0 DDADMM Cn1ccc2c1nc(Cl)nc2Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159192764 865158265 /nfs/dbraw/zinc/15/82/65/865158265.db2.gz XSVLMHFRGWVLBS-UHFFFAOYSA-N -1 1 316.712 1.737 20 0 DDADMM CCc1cc(Cl)nc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159196724 865160258 /nfs/dbraw/zinc/16/02/58/865160258.db2.gz ZPXCKLCMKGPTET-UHFFFAOYSA-N -1 1 307.701 1.465 20 0 DDADMM O=C([O-])CNC(=O)CCNCc1ccsc1Br ZINC001331910371 865427617 /nfs/dbraw/zinc/42/76/17/865427617.db2.gz CNQQKBFKRCCRPT-UHFFFAOYSA-N -1 1 321.196 1.191 20 0 DDADMM COc1ccc(C(=O)N(C)c2nn[n-]n2)cc1Br ZINC001361554663 881882579 /nfs/dbraw/zinc/88/25/79/881882579.db2.gz KTRGSDMZJIMOSR-UHFFFAOYSA-N -1 1 312.127 1.247 20 0 DDADMM CCOP(=O)(CCCN1CCO[C@@H](CC(=O)[O-])C1)OCC ZINC001332407844 865821139 /nfs/dbraw/zinc/82/11/39/865821139.db2.gz WFLGQEYMIZZNLP-LBPRGKRZSA-N -1 1 323.326 1.818 20 0 DDADMM O=C(CCc1ccc(F)c2ccccc12)NN1CC(=O)[N-]C1=O ZINC001160530615 865916186 /nfs/dbraw/zinc/91/61/86/865916186.db2.gz UQSAEONMZRCBNR-UHFFFAOYSA-N -1 1 315.304 1.495 20 0 DDADMM CCc1cc2c(ncnc2Nc2c(O)[nH]c(=O)[n-]c2=S)s1 ZINC001160847803 866148098 /nfs/dbraw/zinc/14/80/98/866148098.db2.gz FFAUWEQQFVNLIB-ZETCQYMHSA-N -1 1 321.387 1.201 20 0 DDADMM O=C(Cc1cncc2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC001333429316 866734631 /nfs/dbraw/zinc/73/46/31/866734631.db2.gz GNQOPMNELLEDQM-UHFFFAOYSA-N -1 1 322.372 1.697 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H](C)CO[C@H](C)COC ZINC001225901850 882102238 /nfs/dbraw/zinc/10/22/38/882102238.db2.gz WBLCYGDPFGAVQE-NXEZZACHSA-N -1 1 314.338 1.178 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H](Oc2nc(=O)[n-]cc2C(C)=O)CC1 ZINC001226237280 882300030 /nfs/dbraw/zinc/30/00/30/882300030.db2.gz ICCIHCOYLRATTD-XYPYZODXSA-N -1 1 308.334 1.886 20 0 DDADMM COc1cnc(=S)[n-]c1OC[C@@H]1COc2ccccc2O1 ZINC001226242980 882301054 /nfs/dbraw/zinc/30/10/54/882301054.db2.gz WXAAEYICOSUYOG-VIFPVBQESA-N -1 1 306.343 1.993 20 0 DDADMM CCc1cccc(N(C)c2nnc(-c3noc(=O)[n-]3)n2C)c1 ZINC001338560582 870045660 /nfs/dbraw/zinc/04/56/60/870045660.db2.gz IDMWLRLWPOJFRO-UHFFFAOYSA-N -1 1 300.322 1.489 20 0 DDADMM Cc1nnc([C@@H](C)NC(=O)c2c([O-])cnc3c(F)cccc32)[nH]1 ZINC001297533751 870090515 /nfs/dbraw/zinc/09/05/15/870090515.db2.gz OMGGANRLJAYRFZ-SSDOTTSWSA-N -1 1 315.308 1.997 20 0 DDADMM Cc1cccc(CN(C)c2nnc(-c3noc(=O)[n-]3)n2C)c1 ZINC001338720732 870131808 /nfs/dbraw/zinc/13/18/08/870131808.db2.gz KNPGJWOXNWEWFR-UHFFFAOYSA-N -1 1 300.322 1.103 20 0 DDADMM O=C(CNC(=O)c1ccncc1)Nc1ccc([O-])c(F)c1F ZINC001297819835 870180984 /nfs/dbraw/zinc/18/09/84/870180984.db2.gz HMXFGRJAKUGTEC-UHFFFAOYSA-N -1 1 307.256 1.434 20 0 DDADMM Cc1ccccc1[C@H]1CCCN1c1nnc(Cc2nnn[n-]2)n1C ZINC001338908503 870235538 /nfs/dbraw/zinc/23/55/38/870235538.db2.gz JROHHSGHCRSDGS-CYBMUJFWSA-N -1 1 324.392 1.569 20 0 DDADMM Cc1ccccc1[C@H]1CCCN1c1nnc(Cc2nn[n-]n2)n1C ZINC001338908503 870235553 /nfs/dbraw/zinc/23/55/53/870235553.db2.gz JROHHSGHCRSDGS-CYBMUJFWSA-N -1 1 324.392 1.569 20 0 DDADMM O=C([N-]C1CCN(c2ccnc3ccnn32)CC1)C(F)(F)F ZINC001166896172 870348553 /nfs/dbraw/zinc/34/85/53/870348553.db2.gz UWMWIQHWOZNPLF-UHFFFAOYSA-N -1 1 313.283 1.377 20 0 DDADMM CC1=C(C)C[C@@H](C(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)CC1 ZINC001317241544 870524776 /nfs/dbraw/zinc/52/47/76/870524776.db2.gz MUFOXENOXKJNQQ-RYUDHWBXSA-N -1 1 307.398 1.241 20 0 DDADMM O=C(NCc1c[nH]c(=O)[nH]1)c1c([O-])cnc2c(F)cccc21 ZINC001298772731 870721488 /nfs/dbraw/zinc/72/14/88/870721488.db2.gz NLCVCKKDWSNERE-UHFFFAOYSA-N -1 1 302.265 1.438 20 0 DDADMM CSCC[C@H](NC(=O)c1cnc2[nH]ccc2c1)c1nn[n-]n1 ZINC001301160129 870978038 /nfs/dbraw/zinc/97/80/38/870978038.db2.gz ATYQTOMFARJZHA-JTQLQIEISA-N -1 1 317.378 1.252 20 0 DDADMM O=C(NCCc1ccns1)c1ccc2n[n-]c(=S)n2c1 ZINC001303085021 871100860 /nfs/dbraw/zinc/10/08/60/871100860.db2.gz KIGJRXFYPAKOHN-UHFFFAOYSA-N -1 1 305.388 1.447 20 0 DDADMM Cc1cc(C(F)F)n2ncc(C(=O)Nc3ccncc3[O-])c2n1 ZINC001306521363 871348526 /nfs/dbraw/zinc/34/85/26/871348526.db2.gz BDSSVUDXRBNARR-UHFFFAOYSA-N -1 1 319.271 1.750 20 0 DDADMM CC(=O)C1(C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)CC1 ZINC001306868915 871372735 /nfs/dbraw/zinc/37/27/35/871372735.db2.gz NNXDPOQJEPYHHA-WPRPVWTQSA-N -1 1 320.311 1.414 20 0 DDADMM CC(C)N(CCCNC(=O)CC1CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001317682226 871403534 /nfs/dbraw/zinc/40/35/34/871403534.db2.gz HOEUZDCRJZKDOX-UHFFFAOYSA-N -1 1 309.414 1.417 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-]Cc1c(F)c(F)cc(F)c1F ZINC001309271607 871561012 /nfs/dbraw/zinc/56/10/12/871561012.db2.gz RBYZQIQHEUWEKS-UHFFFAOYSA-N -1 1 324.255 1.851 20 0 DDADMM COC(=O)/C=C/[C@@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC001309958721 871613296 /nfs/dbraw/zinc/61/32/96/871613296.db2.gz DPTJZSCJQOJRPW-QROSGCPLSA-N -1 1 309.343 1.829 20 0 DDADMM CCN(CC)c1nnc(-c2nnn[n-]2)n1CCc1ccccc1 ZINC001342272556 872117959 /nfs/dbraw/zinc/11/79/59/872117959.db2.gz MWJCTAKNAFHHPB-UHFFFAOYSA-N -1 1 312.381 1.547 20 0 DDADMM CCN(CC)c1nnc(-c2nn[n-]n2)n1CCc1ccccc1 ZINC001342272556 872117971 /nfs/dbraw/zinc/11/79/71/872117971.db2.gz MWJCTAKNAFHHPB-UHFFFAOYSA-N -1 1 312.381 1.547 20 0 DDADMM CCOCCCn1c(Cc2nnn[n-]2)nnc1N(CC)C(C)C ZINC001342507553 872238937 /nfs/dbraw/zinc/23/89/37/872238937.db2.gz WPUKYNBFVMRBIG-UHFFFAOYSA-N -1 1 322.417 1.043 20 0 DDADMM CCOCCCn1c(Cc2nn[n-]n2)nnc1N(CC)C(C)C ZINC001342507553 872238953 /nfs/dbraw/zinc/23/89/53/872238953.db2.gz WPUKYNBFVMRBIG-UHFFFAOYSA-N -1 1 322.417 1.043 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)[C@H]2CCC(=O)[C@H](C)C2)[n-]1 ZINC001361895334 882594795 /nfs/dbraw/zinc/59/47/95/882594795.db2.gz MMXFQQPHAVPBLP-SCZZXKLOSA-N -1 1 320.345 1.948 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)C1Cc2ccccc2C1 ZINC001342616463 872296199 /nfs/dbraw/zinc/29/61/99/872296199.db2.gz HWEYHMVHDQGGRD-UHFFFAOYSA-N -1 1 324.392 1.006 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)C1Cc2ccccc2C1 ZINC001342616463 872296214 /nfs/dbraw/zinc/29/62/14/872296214.db2.gz HWEYHMVHDQGGRD-UHFFFAOYSA-N -1 1 324.392 1.006 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CCc2c(C)cccc2C1 ZINC001342616053 872297950 /nfs/dbraw/zinc/29/79/50/872297950.db2.gz GDCIGBYOCBDCBP-UHFFFAOYSA-N -1 1 324.392 1.273 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CCc2c(C)cccc2C1 ZINC001342616053 872297970 /nfs/dbraw/zinc/29/79/70/872297970.db2.gz GDCIGBYOCBDCBP-UHFFFAOYSA-N -1 1 324.392 1.273 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)Cc2ccc(F)cn2)[n-]1 ZINC001361957328 882708930 /nfs/dbraw/zinc/70/89/30/882708930.db2.gz IBYYJPTVZRZBTQ-UHFFFAOYSA-N -1 1 319.292 1.719 20 0 DDADMM Cn1ccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c1-c1ccccc1 ZINC001347199655 874052043 /nfs/dbraw/zinc/05/20/43/874052043.db2.gz XUTZSWVMYFPLFL-ZDUSSCGKSA-N -1 1 322.372 1.835 20 0 DDADMM O=C(c1ccccn1)[C@H](Oc1cnc[n-]c1=O)c1ccccn1 ZINC001227049074 882794627 /nfs/dbraw/zinc/79/46/27/882794627.db2.gz QAIZMVOLHTZKKX-OAHLLOKOSA-N -1 1 308.297 1.975 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1c(C2CC2)cnn1C ZINC001347833577 874284531 /nfs/dbraw/zinc/28/45/31/874284531.db2.gz ZSLPHQUDYYIVRH-UHFFFAOYSA-N -1 1 319.390 1.320 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001349209999 875036862 /nfs/dbraw/zinc/03/68/62/875036862.db2.gz DHQPGQSJFCFFAJ-SUIFULHWSA-N -1 1 312.377 1.479 20 0 DDADMM Cn1cnnc1C1CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001362073879 882969567 /nfs/dbraw/zinc/96/95/67/882969567.db2.gz SEULCBXEJBTOEJ-UHFFFAOYSA-N -1 1 304.325 1.680 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](C1CC1)[C@@H]1CCCOC1 ZINC001350679572 875830928 /nfs/dbraw/zinc/83/09/28/875830928.db2.gz IBSSIIMXTJMQCW-ZYHUDNBSSA-N -1 1 323.418 1.714 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc2c(c1)CCCC(=O)N2 ZINC001362072970 882967956 /nfs/dbraw/zinc/96/79/56/882967956.db2.gz QFZONISZGZAVPZ-UHFFFAOYSA-N -1 1 314.349 1.436 20 0 DDADMM COC(=O)C1([N-]C(=O)C(F)(F)c2cnc(Cl)c(C)c2)CC1 ZINC001351769938 876425413 /nfs/dbraw/zinc/42/54/13/876425413.db2.gz QUOPCHGFMWTCJW-UHFFFAOYSA-N -1 1 318.707 1.957 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1Cc2cc(F)c(F)cc2C1 ZINC001352347589 876702513 /nfs/dbraw/zinc/70/25/13/876702513.db2.gz UYSQCZXJWSXZAG-UHFFFAOYSA-N -1 1 318.291 1.277 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1Cc2cc(F)c(F)cc2C1 ZINC001352347589 876702521 /nfs/dbraw/zinc/70/25/21/876702521.db2.gz UYSQCZXJWSXZAG-UHFFFAOYSA-N -1 1 318.291 1.277 20 0 DDADMM CCCC(CCC)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001215419690 876704097 /nfs/dbraw/zinc/70/40/97/876704097.db2.gz XDRFYGPEXJOYAU-DGCLKSJQSA-N -1 1 323.441 1.663 20 0 DDADMM CCC(=CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)CC ZINC001352812375 876947332 /nfs/dbraw/zinc/94/73/32/876947332.db2.gz YOGCIDGBBVZLHT-CYBMUJFWSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@@H](COc1ccccc1)OCCCOc1nc(=O)[nH]c(=O)[n-]1 ZINC001227571925 883089133 /nfs/dbraw/zinc/08/91/33/883089133.db2.gz ZWWBBLUUZGKIKR-NSHDSACASA-N -1 1 321.333 1.536 20 0 DDADMM CC(C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-])=C1CCCC1 ZINC001354346790 877908795 /nfs/dbraw/zinc/90/87/95/877908795.db2.gz UPDAPPWNMNKGGS-LLVKDONJSA-N -1 1 317.389 1.912 20 0 DDADMM CC[C@H](C)OCC(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001395568146 912294181 /nfs/dbraw/zinc/29/41/81/912294181.db2.gz DFEGQMIFKHTHAS-NEPJUHHUSA-N -1 1 323.393 1.179 20 0 DDADMM Cn1nncc1CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001219675520 878446872 /nfs/dbraw/zinc/44/68/72/878446872.db2.gz DDWHPFYFOYKAEW-UHFFFAOYSA-N -1 1 313.321 1.028 20 0 DDADMM [NH3+][C@@H](C[N-]C(=O)C(F)(F)C(F)(F)C(=O)[O-])c1ccccc1 ZINC001220500003 879065770 /nfs/dbraw/zinc/06/57/70/879065770.db2.gz GJUBSUKWUJAQAT-QMMMGPOBSA-N -1 1 308.231 1.158 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1ccco1)NC(=O)c1ncccc1[O-] ZINC001395647810 912458213 /nfs/dbraw/zinc/45/82/13/912458213.db2.gz GURCAMJXFLKDLC-LLVKDONJSA-N -1 1 317.345 1.200 20 0 DDADMM C[C@@H](NC(=O)C1CCCCC1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001380375522 879497820 /nfs/dbraw/zinc/49/78/20/879497820.db2.gz HTUZMBDOMRKDNF-NEPJUHHUSA-N -1 1 319.405 1.991 20 0 DDADMM CC(C)=CC(=O)NC1(CNC(=O)c2ncccc2[O-])CCCC1 ZINC001356930339 879597086 /nfs/dbraw/zinc/59/70/86/879597086.db2.gz JCNJUYRRBZMGHD-UHFFFAOYSA-N -1 1 317.389 1.912 20 0 DDADMM C[C@@H](CNC(=O)CC1CCC1)N(C)C(=O)c1ncccc1[O-] ZINC001380657883 880135877 /nfs/dbraw/zinc/13/58/77/880135877.db2.gz GGWKKATVFPYXDX-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM C[C@@H](CNC(=O)c1ccn(C)c1)N(C)C(=O)c1ncccc1[O-] ZINC001380682427 880182864 /nfs/dbraw/zinc/18/28/64/880182864.db2.gz SOHWPRIIOLXHOZ-NSHDSACASA-N -1 1 316.361 1.016 20 0 DDADMM CC/C=C(/C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001287915663 912580480 /nfs/dbraw/zinc/58/04/80/912580480.db2.gz NWTAZTHSXVLQEQ-BLJGWETHSA-N -1 1 317.389 1.863 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(C1)CC[C@H](OCc1nn[n-]n1)C2 ZINC001223030271 880790319 /nfs/dbraw/zinc/79/03/19/880790319.db2.gz VCTPICGLIKSFGO-JTQLQIEISA-N -1 1 309.370 1.506 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(C1)CC[C@@H](OCc1nn[n-]n1)C2 ZINC001223030294 880790964 /nfs/dbraw/zinc/79/09/64/880790964.db2.gz VCTPICGLIKSFGO-SNVBAGLBSA-N -1 1 309.370 1.506 20 0 DDADMM CSc1nc(OC2CN(C(=O)OC(C)(C)C)C2)cc(=O)[n-]1 ZINC001228090172 883328833 /nfs/dbraw/zinc/32/88/33/883328833.db2.gz JWKULMRKLDERLN-UHFFFAOYSA-N -1 1 313.379 1.902 20 0 DDADMM C[C@H](O)C(=O)Oc1ccc(S(=O)(=O)c2ccc([O-])cc2)cc1 ZINC001228172358 883373865 /nfs/dbraw/zinc/37/38/65/883373865.db2.gz RRFQLMKXFWINJC-JTQLQIEISA-N -1 1 322.338 1.511 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCOC2(CCCC2)C1 ZINC001362249210 883405032 /nfs/dbraw/zinc/40/50/32/883405032.db2.gz LPLARKHVARRRFD-VIFPVBQESA-N -1 1 323.349 1.118 20 0 DDADMM CCCC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228313024 883441337 /nfs/dbraw/zinc/44/13/37/883441337.db2.gz RZONCIVJXQPWTB-VXGBXAGGSA-N -1 1 323.441 1.854 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H]2C[C@@H](OCc3ccccc3)C2)n1 ZINC001228311694 883441747 /nfs/dbraw/zinc/44/17/47/883441747.db2.gz NJOWCZMCELBBBM-TXEJJXNPSA-N -1 1 303.318 1.718 20 0 DDADMM COC(=O)c1nc(O[C@H]2C[C@@H](OCc3ccccc3)C2)n[n-]1 ZINC001228311694 883441754 /nfs/dbraw/zinc/44/17/54/883441754.db2.gz NJOWCZMCELBBBM-TXEJJXNPSA-N -1 1 303.318 1.718 20 0 DDADMM CC(C)C[C@@H](C)CC(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001382148160 883516930 /nfs/dbraw/zinc/51/69/30/883516930.db2.gz JVBBPXPQIKAYHA-VXGBXAGGSA-N -1 1 311.430 1.519 20 0 DDADMM CCn1cc(Cl)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC001362307109 883529402 /nfs/dbraw/zinc/52/94/02/883529402.db2.gz CBULTOYICPSFRK-QMMMGPOBSA-N -1 1 309.761 1.089 20 0 DDADMM COC(=O)c1ncc2n1CCC[C@@H]2Oc1cnnc(=S)[n-]1 ZINC001228635513 883584216 /nfs/dbraw/zinc/58/42/16/883584216.db2.gz BCXUQWSFGKBLSD-QMMMGPOBSA-N -1 1 307.335 1.057 20 0 DDADMM COC(=O)C[C@H]1CCCN1C(=O)c1cnc(SC)[n-]c1=O ZINC001362340920 883603757 /nfs/dbraw/zinc/60/37/57/883603757.db2.gz SSIGJHDPGXWLOG-MRVPVSSYSA-N -1 1 311.363 1.072 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1CCCC[C@H]1C(=O)OC)c1nn[n-]n1 ZINC001362421635 883772274 /nfs/dbraw/zinc/77/22/74/883772274.db2.gz FTWJIRDYNKQEMD-WDEREUQCSA-N -1 1 323.397 1.311 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@H]1O)c1ccc([O-])cn1 ZINC001362435695 883803553 /nfs/dbraw/zinc/80/35/53/883803553.db2.gz JENBISKRKNZJHS-FZMZJTMJSA-N -1 1 306.362 1.085 20 0 DDADMM CC(C)C[C@H](NC(=O)CCc1nn[n-]n1)C(=O)OC(C)(C)C ZINC001362464218 883873467 /nfs/dbraw/zinc/87/34/67/883873467.db2.gz LKBKANJIGITDLE-JTQLQIEISA-N -1 1 311.386 1.005 20 0 DDADMM C[C@H](CC(=O)Nc1cccc([O-])c1Br)NC(N)=O ZINC001362466193 883876574 /nfs/dbraw/zinc/87/65/74/883876574.db2.gz YJOIYMODJRQVSF-ZCFIWIBFSA-N -1 1 316.155 1.540 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cccc([O-])c2F)CCS1(=O)=O ZINC001362479297 883902453 /nfs/dbraw/zinc/90/24/53/883902453.db2.gz CFCHIGDHANQURD-SECBINFHSA-N -1 1 301.339 1.181 20 0 DDADMM CC(C)OC(=O)[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C(C)C ZINC001362617409 884232022 /nfs/dbraw/zinc/23/20/22/884232022.db2.gz ZZQDLSOGONULMN-LBPRGKRZSA-N -1 1 321.377 1.766 20 0 DDADMM CCOC(=O)C[C@H](Oc1nc(C)[n-]c(=O)c1OC)C(F)(F)F ZINC001230018686 884280809 /nfs/dbraw/zinc/28/08/09/884280809.db2.gz BSENWGWSXHFCIN-ZETCQYMHSA-N -1 1 324.255 1.762 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](OC)C2CCCC2)n[n-]1 ZINC001362658365 884344123 /nfs/dbraw/zinc/34/41/23/884344123.db2.gz GOSHYMICZWKTQI-GXSJLCMTSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](OC)C2CCCC2)[n-]1 ZINC001362658365 884344143 /nfs/dbraw/zinc/34/41/43/884344143.db2.gz GOSHYMICZWKTQI-GXSJLCMTSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](OC)C2CCCC2)n1 ZINC001362658365 884344169 /nfs/dbraw/zinc/34/41/69/884344169.db2.gz GOSHYMICZWKTQI-GXSJLCMTSA-N -1 1 324.381 1.364 20 0 DDADMM CC(C)(C)OC(=O)[C@]1(C)CCN(C(=O)c2ccc([O-])cn2)C1 ZINC001362677205 884389964 /nfs/dbraw/zinc/38/99/64/884389964.db2.gz IDWPXMHUWHNPBM-MRXNPFEDSA-N -1 1 306.362 1.981 20 0 DDADMM COc1ccc(C(=O)Nc2ccnc(OCCO)c2)c([O-])c1 ZINC001362698802 884437786 /nfs/dbraw/zinc/43/77/86/884437786.db2.gz IOAOPAPHRSBAHE-UHFFFAOYSA-N -1 1 304.302 1.419 20 0 DDADMM C[C@@H](NC(=O)CCC(F)F)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382765265 884656003 /nfs/dbraw/zinc/65/60/03/884656003.db2.gz LPCMQOLIBOTMEL-BDAKNGLRSA-N -1 1 315.320 1.456 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC001362815578 884707881 /nfs/dbraw/zinc/70/78/81/884707881.db2.gz HPWHJKLRWCRPQG-ZETCQYMHSA-N -1 1 322.271 1.385 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H]1C[C@H](C)n2ccnc21 ZINC001362827014 884733765 /nfs/dbraw/zinc/73/37/65/884733765.db2.gz WWQJOIMYHAOIBB-CBAPKCEASA-N -1 1 319.390 1.845 20 0 DDADMM C[C@@H](Oc1ccc(F)c(F)c1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001362834957 884757629 /nfs/dbraw/zinc/75/76/29/884757629.db2.gz KLUFRUKUJMOQPE-MRVPVSSYSA-N -1 1 323.303 1.441 20 0 DDADMM O=C(CC1C(=O)N=CNC1=O)NCc1ccc([O-])c(Cl)c1 ZINC001362855738 884806457 /nfs/dbraw/zinc/80/64/57/884806457.db2.gz XTDXIFUPTKYRKN-UHFFFAOYSA-N -1 1 309.709 1.106 20 0 DDADMM CCC(=O)NC[C@@H](C)N(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001382877146 884854752 /nfs/dbraw/zinc/85/47/52/884854752.db2.gz QZUIFWSBMUPIIF-SNVBAGLBSA-N -1 1 322.409 1.601 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1cc(C)no1 ZINC001362884876 884883864 /nfs/dbraw/zinc/88/38/64/884883864.db2.gz BJOBLIQGEYZFOE-SECBINFHSA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1cc(C)no1 ZINC001362884876 884883879 /nfs/dbraw/zinc/88/38/79/884883879.db2.gz BJOBLIQGEYZFOE-SECBINFHSA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1cc(C)no1 ZINC001362884876 884883897 /nfs/dbraw/zinc/88/38/97/884883897.db2.gz BJOBLIQGEYZFOE-SECBINFHSA-N -1 1 319.321 1.255 20 0 DDADMM CC(C)OCCCN=c1ccc(C(=O)NC2CCOCC2)n[n-]1 ZINC000432138738 884902722 /nfs/dbraw/zinc/90/27/22/884902722.db2.gz MUUQGOZYSDQTCW-UHFFFAOYSA-N -1 1 322.409 1.034 20 0 DDADMM COC[C@H](NC(=O)c1ccc([O-])cn1)c1cccc(OC)c1 ZINC001362923591 884997043 /nfs/dbraw/zinc/99/70/43/884997043.db2.gz MQMIZEAURLEUFB-HNNXBMFYSA-N -1 1 302.330 1.913 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](OC)[C@@H](C)CC)n[n-]1 ZINC001362937836 885032775 /nfs/dbraw/zinc/03/27/75/885032775.db2.gz QTCRYLXWRMBOEG-GUBZILKMSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](OC)[C@@H](C)CC)[n-]1 ZINC001362937836 885032787 /nfs/dbraw/zinc/03/27/87/885032787.db2.gz QTCRYLXWRMBOEG-GUBZILKMSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](OC)[C@@H](C)CC)n1 ZINC001362937836 885032801 /nfs/dbraw/zinc/03/28/01/885032801.db2.gz QTCRYLXWRMBOEG-GUBZILKMSA-N -1 1 312.370 1.220 20 0 DDADMM COC(=O)N1CC(NC(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC001362958375 885086181 /nfs/dbraw/zinc/08/61/81/885086181.db2.gz USFBZCCNQKNINQ-UHFFFAOYSA-N -1 1 319.292 1.260 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3cccc([O-])c3F)[C@@H]2C1 ZINC001362985231 885147775 /nfs/dbraw/zinc/14/77/75/885147775.db2.gz WBXKTEBWCDUXPI-IACUBPJLSA-N -1 1 320.364 1.908 20 0 DDADMM CCOC(=O)c1nc[nH]c1CNC(=O)c1cccc([O-])c1F ZINC001363008762 885217503 /nfs/dbraw/zinc/21/75/03/885217503.db2.gz UTTWAPPIEVIPCH-UHFFFAOYSA-N -1 1 307.281 1.361 20 0 DDADMM CSc1ncc(C(=O)N2CCc3cc(O)ccc3C2)c(=O)[n-]1 ZINC001363078297 885410416 /nfs/dbraw/zinc/41/04/16/885410416.db2.gz MFONOIVSSGEKKI-UHFFFAOYSA-N -1 1 317.370 1.808 20 0 DDADMM CSCC[C@H](NC(=O)c1occ2c1CCOC2)c1nn[n-]n1 ZINC001363099760 885461274 /nfs/dbraw/zinc/46/12/74/885461274.db2.gz MRNFLOBOEGSVKV-JTQLQIEISA-N -1 1 323.378 1.090 20 0 DDADMM COC(=O)C(C)(C)n1cc(NC(=O)c2cccc([O-])c2F)cn1 ZINC001363143084 885572647 /nfs/dbraw/zinc/57/26/47/885572647.db2.gz ZJANOFWCLBVKAD-UHFFFAOYSA-N -1 1 321.308 1.888 20 0 DDADMM Cc1cc(C)n(CC(=O)Nc2cc([O-])c(F)cc2F)c(=O)n1 ZINC001363185846 885663568 /nfs/dbraw/zinc/66/35/68/885663568.db2.gz VKNYERUCNPVHOL-UHFFFAOYSA-N -1 1 309.272 1.483 20 0 DDADMM O=C([O-])c1ccc(F)c(CN2CCC3(CCNC(=O)O3)CC2)c1 ZINC001231460957 885681380 /nfs/dbraw/zinc/68/13/80/885681380.db2.gz REUJTRMLXSTDHK-UHFFFAOYSA-N -1 1 322.336 1.988 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1OCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363278969 885896637 /nfs/dbraw/zinc/89/66/37/885896637.db2.gz YHOGMWBWJKOQAF-NEPJUHHUSA-N -1 1 307.398 1.681 20 0 DDADMM Cc1csc(CCCC(=O)NCc2nc([O-])cc(=O)[nH]2)n1 ZINC001363324381 886025341 /nfs/dbraw/zinc/02/53/41/886025341.db2.gz OHVGIFDUNRPYLE-UHFFFAOYSA-N -1 1 308.363 1.292 20 0 DDADMM CC/C=C(/C)C(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001277622365 886134825 /nfs/dbraw/zinc/13/48/25/886134825.db2.gz NTDMHOUNADMRKT-DUWGPSABSA-N -1 1 307.398 1.193 20 0 DDADMM Cc1ccoc1C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001383700101 886352614 /nfs/dbraw/zinc/35/26/14/886352614.db2.gz ILRHDFDNHZYBMF-LLVKDONJSA-N -1 1 317.345 1.579 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2C[C@H]2OC(C)(C)C)n[n-]1 ZINC001363477533 886444663 /nfs/dbraw/zinc/44/46/63/886444663.db2.gz YQHHVAAPAFZJQZ-OPRDCNLKSA-N -1 1 324.381 1.362 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2C[C@H]2OC(C)(C)C)[n-]1 ZINC001363477533 886444671 /nfs/dbraw/zinc/44/46/71/886444671.db2.gz YQHHVAAPAFZJQZ-OPRDCNLKSA-N -1 1 324.381 1.362 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2C[C@H]2OC(C)(C)C)n1 ZINC001363477533 886444678 /nfs/dbraw/zinc/44/46/78/886444678.db2.gz YQHHVAAPAFZJQZ-OPRDCNLKSA-N -1 1 324.381 1.362 20 0 DDADMM CC(C)(CNC(=O)c1cccc([O-])c1F)[C@]1(O)CCCOC1 ZINC001363488893 886472299 /nfs/dbraw/zinc/47/22/99/886472299.db2.gz GEIUUFXCEDTBMS-INIZCTEOSA-N -1 1 311.353 1.829 20 0 DDADMM Cc1cc(NC(=O)c2cnncc2[O-])cc(S(C)(=O)=O)c1 ZINC001363530547 886560384 /nfs/dbraw/zinc/56/03/84/886560384.db2.gz QETUCAAPVUPPNN-UHFFFAOYSA-N -1 1 307.331 1.146 20 0 DDADMM Cn1cnnc1CC1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001363538940 886581421 /nfs/dbraw/zinc/58/14/21/886581421.db2.gz RWZRRZJZOAPESM-UHFFFAOYSA-N -1 1 301.350 1.011 20 0 DDADMM COC[C@@H](NC(=O)Cc1ccc(Cl)c(F)c1)c1nn[n-]n1 ZINC001363593581 886718413 /nfs/dbraw/zinc/71/84/13/886718413.db2.gz XIIXPDSYHNZWBG-SNVBAGLBSA-N -1 1 313.720 1.039 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2[C@H]3Cc4ccccc4[C@@H]23)c(=O)[n-]1 ZINC001363600566 886737860 /nfs/dbraw/zinc/73/78/60/886737860.db2.gz GNJBBEJQHCGTFQ-CYZMBNFOSA-N -1 1 313.382 1.972 20 0 DDADMM C[C@@H](CCNC(=O)c1ccc([O-])cn1)NC(=O)OC(C)(C)C ZINC001363625464 886802738 /nfs/dbraw/zinc/80/27/38/886802738.db2.gz FCZKXIIJEALPIY-JTQLQIEISA-N -1 1 309.366 1.820 20 0 DDADMM CSc1nc(CNC(=O)c2c(C)[nH]nc2Cl)cc(=O)[n-]1 ZINC001363633029 886823515 /nfs/dbraw/zinc/82/35/15/886823515.db2.gz NMKIHTLRGGDJSA-UHFFFAOYSA-N -1 1 313.770 1.519 20 0 DDADMM CCOC(=O)c1n[nH]c(NC(=O)c2cc(F)ccc2[O-])c1C ZINC001363735615 887102237 /nfs/dbraw/zinc/10/22/37/887102237.db2.gz VNBAYMJPKUPHSG-UHFFFAOYSA-N -1 1 307.281 1.992 20 0 DDADMM CCOC(=O)c1[nH]nc(NC(=O)c2cc(F)ccc2[O-])c1C ZINC001363735615 887102247 /nfs/dbraw/zinc/10/22/47/887102247.db2.gz VNBAYMJPKUPHSG-UHFFFAOYSA-N -1 1 307.281 1.992 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc(OC(F)F)cc1 ZINC001363816851 887300004 /nfs/dbraw/zinc/30/00/04/887300004.db2.gz DYDCHWPTIXOIJE-UHFFFAOYSA-N -1 1 309.276 1.610 20 0 DDADMM CCC(CC)n1nc(C)cc1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363823458 887322091 /nfs/dbraw/zinc/32/20/91/887322091.db2.gz WRFADKOYWFGTKL-UHFFFAOYSA-N -1 1 317.397 1.875 20 0 DDADMM COC(=O)[C@@H](O)C1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001363886727 887473800 /nfs/dbraw/zinc/47/38/00/887473800.db2.gz GDIHAPLUIQNDSV-AWEZNQCLSA-N -1 1 315.316 1.416 20 0 DDADMM CCCc1cc(C(=O)N[C@@H](C)c2n[n-]c(C(=O)OCC)n2)[nH]n1 ZINC001363889485 887479047 /nfs/dbraw/zinc/47/90/47/887479047.db2.gz UXQUYKCUYDFSKP-QMMMGPOBSA-N -1 1 320.353 1.148 20 0 DDADMM CCCc1cc(C(=O)N[C@@H](C)c2nc(C(=O)OCC)n[n-]2)[nH]n1 ZINC001363889485 887479051 /nfs/dbraw/zinc/47/90/51/887479051.db2.gz UXQUYKCUYDFSKP-QMMMGPOBSA-N -1 1 320.353 1.148 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(Cl)o2)n[n-]1 ZINC001363889644 887481176 /nfs/dbraw/zinc/48/11/76/887481176.db2.gz VWFISRWJLFVWIJ-LURJTMIESA-N -1 1 312.713 1.719 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(Cl)o2)[n-]1 ZINC001363889644 887481181 /nfs/dbraw/zinc/48/11/81/887481181.db2.gz VWFISRWJLFVWIJ-LURJTMIESA-N -1 1 312.713 1.719 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(Cl)o2)n1 ZINC001363889644 887481188 /nfs/dbraw/zinc/48/11/88/887481188.db2.gz VWFISRWJLFVWIJ-LURJTMIESA-N -1 1 312.713 1.719 20 0 DDADMM COC(=O)c1nc(CNC(=O)c2cncc([O-])c2)ccc1Cl ZINC001363896777 887493893 /nfs/dbraw/zinc/49/38/93/887493893.db2.gz XWWMXFJGOVMIER-UHFFFAOYSA-N -1 1 321.720 1.552 20 0 DDADMM C=CC(=O)OC[C@H](C)Oc1nc2c(cccc2C(=O)OC)[n-]1 ZINC001234042957 887584657 /nfs/dbraw/zinc/58/46/57/887584657.db2.gz BRUOTUWIKUNOGW-VIFPVBQESA-N -1 1 304.302 1.846 20 0 DDADMM C=CC(=O)OC[C@H](C)Oc1nc2cccc(C(=O)OC)c2[n-]1 ZINC001234042957 887584664 /nfs/dbraw/zinc/58/46/64/887584664.db2.gz BRUOTUWIKUNOGW-VIFPVBQESA-N -1 1 304.302 1.846 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C(F)F)o2)n[n-]1 ZINC001364061737 887829434 /nfs/dbraw/zinc/82/94/34/887829434.db2.gz KGRMTAMYDYXXLH-UHFFFAOYSA-N -1 1 314.248 1.442 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C(F)F)o2)n1 ZINC001364061737 887829443 /nfs/dbraw/zinc/82/94/43/887829443.db2.gz KGRMTAMYDYXXLH-UHFFFAOYSA-N -1 1 314.248 1.442 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@@H](C(=O)Nc2c[n-][nH]c2=O)C1(C)C ZINC001364088986 887888804 /nfs/dbraw/zinc/88/88/04/887888804.db2.gz DUSLVUFZNWVXGI-WPRPVWTQSA-N -1 1 324.381 1.993 20 0 DDADMM CCn1ncc(Br)c1C(=O)[N-]n1ccccc1=O ZINC001364091960 887899078 /nfs/dbraw/zinc/89/90/78/887899078.db2.gz PGPSRSOGMQGQIR-UHFFFAOYSA-N -1 1 311.139 1.211 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3ncc(Cl)s3)ccnc1-2 ZINC001364112386 887948406 /nfs/dbraw/zinc/94/84/06/887948406.db2.gz AUNLMWQFTXZGEV-UHFFFAOYSA-N -1 1 322.781 1.773 20 0 DDADMM NC(=O)c1nc[n-]c(=O)c1OC[C@H]1CCc2ccccc2O1 ZINC001234462517 887988911 /nfs/dbraw/zinc/98/89/11/887988911.db2.gz JPBGNNAZQZEIGJ-SNVBAGLBSA-N -1 1 301.302 1.054 20 0 DDADMM CCOC(=O)C1CC(Oc2c(C(N)=O)nc(C(C)C)[n-]c2=O)C1 ZINC001234561854 888100891 /nfs/dbraw/zinc/10/08/91/888100891.db2.gz OEGLXWYAWURRGQ-UHFFFAOYSA-N -1 1 323.349 1.125 20 0 DDADMM CC[C@H]1C[C@@H](C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)CCO1 ZINC001364245850 888211143 /nfs/dbraw/zinc/21/11/43/888211143.db2.gz MKAMRQPLKXCARV-RYUDHWBXSA-N -1 1 323.393 1.402 20 0 DDADMM CCc1cc(NC(=O)N[C@@H](CCSC)c2nn[n-]n2)n(C)n1 ZINC001364253083 888224263 /nfs/dbraw/zinc/22/42/63/888224263.db2.gz LAIRULAIHGTZSY-VIFPVBQESA-N -1 1 324.414 1.112 20 0 DDADMM Cc1nccnc1[C@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001364334939 888409100 /nfs/dbraw/zinc/40/91/00/888409100.db2.gz OSNVHJXKXXDXJV-JTQLQIEISA-N -1 1 311.349 1.323 20 0 DDADMM CCC[C@H](CC)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385305525 888841407 /nfs/dbraw/zinc/84/14/07/888841407.db2.gz FJSVEPPHPPZTFD-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H](C)C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385371411 888985121 /nfs/dbraw/zinc/98/51/21/888985121.db2.gz QIISMHUQOKLVNT-NWDGAFQWSA-N -1 1 305.378 1.506 20 0 DDADMM Cc1oc(C(C)C)nc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001364651875 889123147 /nfs/dbraw/zinc/12/31/47/889123147.db2.gz OUZFFNLVDFRNLJ-UHFFFAOYSA-N -1 1 306.370 1.918 20 0 DDADMM CCCC[C@H](COC)[N-]S(=O)(=O)c1csnc1OC ZINC001364778709 889396883 /nfs/dbraw/zinc/39/68/83/889396883.db2.gz FNNDEDNHKRXQNE-SECBINFHSA-N -1 1 308.425 1.635 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cc1 ZINC001290108205 913384360 /nfs/dbraw/zinc/38/43/60/913384360.db2.gz HFRHCFKNJLCFEG-UHFFFAOYSA-N -1 1 318.358 1.990 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001385865917 889889085 /nfs/dbraw/zinc/88/90/85/889889085.db2.gz PEGLOFOTCSJUPQ-DLOVCJGASA-N -1 1 303.362 1.068 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1CCN(c2cccnn2)CC1 ZINC001238150429 890076068 /nfs/dbraw/zinc/07/60/68/890076068.db2.gz YCGMEBLPMLHHCI-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM O=S(=O)([N-]Cc1ccc[nH]1)c1ncccc1Br ZINC001365131494 890166743 /nfs/dbraw/zinc/16/67/43/890166743.db2.gz QKIYYXGFKNNZAD-UHFFFAOYSA-N -1 1 316.180 1.651 20 0 DDADMM NC(=O)[C@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC001365131113 890166802 /nfs/dbraw/zinc/16/68/02/890166802.db2.gz HZFLYWOWCLRNJM-YUMQZZPRSA-N -1 1 322.333 1.540 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)Cc1nocc1C)c1ccc(C)o1 ZINC001365134312 890173504 /nfs/dbraw/zinc/17/35/04/890173504.db2.gz JYQQMLDEDAUNIB-LLVKDONJSA-N -1 1 314.363 1.692 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)c2ncccc2C)o1 ZINC001365154351 890210045 /nfs/dbraw/zinc/21/00/45/890210045.db2.gz YTTHJGQMXOHVPM-JTQLQIEISA-N -1 1 323.374 1.382 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccc(OC[C@@H]2CCCO2)cc1 ZINC001365205056 890341754 /nfs/dbraw/zinc/34/17/54/890341754.db2.gz CBZKUVSOQPAWDJ-LBPRGKRZSA-N -1 1 303.318 1.925 20 0 DDADMM COc1ccc(CC(=O)Nc2c[n-][nH]c2=O)cc1OC(F)F ZINC001365209491 890356122 /nfs/dbraw/zinc/35/61/22/890356122.db2.gz MQQWMFIFTJHMHH-UHFFFAOYSA-N -1 1 313.260 1.907 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cccc(NC(=O)[C@H]2CCCO2)c1 ZINC001365215092 890370556 /nfs/dbraw/zinc/37/05/56/890370556.db2.gz ZNOFRYGXAWZFAK-GFCCVEGCSA-N -1 1 316.317 1.485 20 0 DDADMM CN(C)c1nc(-c2ccc(C(=O)[O-])cc2F)nc2[nH]cnc21 ZINC001239247540 890565346 /nfs/dbraw/zinc/56/53/46/890565346.db2.gz ZKAPGKLKGSHBDT-UHFFFAOYSA-N -1 1 301.281 1.923 20 0 DDADMM O=S(=O)([N-]Cc1cc(C2CC2)no1)c1c[nH]nc1Cl ZINC001365459246 890832155 /nfs/dbraw/zinc/83/21/55/890832155.db2.gz REBIFMCETAJBTN-UHFFFAOYSA-N -1 1 302.743 1.407 20 0 DDADMM CCS(=O)(=O)c1cccc(-c2ccc(-c3nnn[n-]3)nc2)c1 ZINC001241464242 891184843 /nfs/dbraw/zinc/18/48/43/891184843.db2.gz UVSKHRYIZDWLJV-UHFFFAOYSA-N -1 1 315.358 1.722 20 0 DDADMM CCS(=O)(=O)c1cccc(-c2ccc(-c3nn[n-]n3)nc2)c1 ZINC001241464242 891184853 /nfs/dbraw/zinc/18/48/53/891184853.db2.gz UVSKHRYIZDWLJV-UHFFFAOYSA-N -1 1 315.358 1.722 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H](O)C2CCCCC2)sn1 ZINC001365667296 891280903 /nfs/dbraw/zinc/28/09/03/891280903.db2.gz UYJLPUVMZFGPQY-SNVBAGLBSA-N -1 1 320.436 1.371 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1C[C@@H](OC)C1(C)C ZINC001365679593 891304327 /nfs/dbraw/zinc/30/43/27/891304327.db2.gz HMYOCMAHUBJJAH-DTWKUNHWSA-N -1 1 306.409 1.244 20 0 DDADMM O=C([O-])c1ccc(-c2cccc3nc([C@H]4CNCCO4)cn32)cn1 ZINC001242338512 891396745 /nfs/dbraw/zinc/39/67/45/891396745.db2.gz YYGDYGXXQXOMKS-OAHLLOKOSA-N -1 1 324.340 1.755 20 0 DDADMM c1cnc(N2CCOCC2)c(-c2ccc(-c3nnn[n-]3)nc2)c1 ZINC001243463428 891660805 /nfs/dbraw/zinc/66/08/05/891660805.db2.gz NYLOZICOTHNHTF-UHFFFAOYSA-N -1 1 309.333 1.160 20 0 DDADMM c1cnc(N2CCOCC2)c(-c2ccc(-c3nn[n-]n3)nc2)c1 ZINC001243463428 891660814 /nfs/dbraw/zinc/66/08/14/891660814.db2.gz NYLOZICOTHNHTF-UHFFFAOYSA-N -1 1 309.333 1.160 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnc4nccnc4c3)nc2[n-]1 ZINC001244051224 891785351 /nfs/dbraw/zinc/78/53/51/891785351.db2.gz TVDTWMFQEJVMJQ-UHFFFAOYSA-N -1 1 306.285 1.750 20 0 DDADMM COc1ncc(-c2ccc(N)cc2F)cc1[N-]S(C)(=O)=O ZINC001244792457 891927313 /nfs/dbraw/zinc/92/73/13/891927313.db2.gz BIGFAAJHDSZIBQ-UHFFFAOYSA-N -1 1 311.338 1.850 20 0 DDADMM COCCCNC(=S)Nc1cc2ccc([O-])cc2oc1=O ZINC001245581531 892183247 /nfs/dbraw/zinc/18/32/47/892183247.db2.gz SJXPGHHROZNMHU-UHFFFAOYSA-N -1 1 308.359 1.822 20 0 DDADMM CCC(=O)NC[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001387238430 892678049 /nfs/dbraw/zinc/67/80/49/892678049.db2.gz UBUUORVTKWEFKA-JTQLQIEISA-N -1 1 318.377 1.048 20 0 DDADMM O=C1Nc2cc([N-]S(=O)(=O)c3ccccc3)ccc2C1=O ZINC001251151942 894647543 /nfs/dbraw/zinc/64/75/43/894647543.db2.gz PYHFZIRECPBJBP-UHFFFAOYSA-N -1 1 302.311 1.622 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CC1(F)F ZINC001388239276 894846345 /nfs/dbraw/zinc/84/63/45/894846345.db2.gz CWTUONGAWJGSNV-VIFPVBQESA-N -1 1 313.304 1.021 20 0 DDADMM Cc1ccoc1C(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001366815045 894992948 /nfs/dbraw/zinc/99/29/48/894992948.db2.gz HGKMSMIKXLMWQU-LLVKDONJSA-N -1 1 319.365 1.054 20 0 DDADMM CCCCOC[C@@H](O)CNc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001252505245 895225582 /nfs/dbraw/zinc/22/55/82/895225582.db2.gz ZRRYBXMHLRSFCB-VIFPVBQESA-N -1 1 313.358 1.236 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCCF ZINC001396574997 914077592 /nfs/dbraw/zinc/07/75/92/914077592.db2.gz VCVHNZSPRFGTEN-NWDGAFQWSA-N -1 1 323.368 1.646 20 0 DDADMM CC(C)[C@@H](CNC(=O)C[C@H](C)C1CC1)NCc1n[nH]c(=O)[n-]1 ZINC001367497833 896927243 /nfs/dbraw/zinc/92/72/43/896927243.db2.gz QEEKZXDHNIYRHD-CMPLNLGQSA-N -1 1 309.414 1.177 20 0 DDADMM CCOC(=O)CCCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258948817 898375132 /nfs/dbraw/zinc/37/51/32/898375132.db2.gz UFHCJTOJBUDHPF-UHFFFAOYSA-N -1 1 321.345 1.977 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1ccccn1)c1cc(F)ccc1F ZINC001258950001 898377262 /nfs/dbraw/zinc/37/72/62/898377262.db2.gz GDQAVQTXBASQQS-LBPRGKRZSA-N -1 1 314.313 1.372 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2cccc(CC(N)=O)c2)c1 ZINC001259189422 898516537 /nfs/dbraw/zinc/51/65/37/898516537.db2.gz MSWMDOFWEWCAJW-UHFFFAOYSA-N -1 1 320.370 1.524 20 0 DDADMM Cn1ccc([N-]S(=O)(=O)c2ccc(Cl)cc2F)cc1=O ZINC001259514133 898709976 /nfs/dbraw/zinc/70/99/76/898709976.db2.gz ZGVOCMUVQITSGP-UHFFFAOYSA-N -1 1 316.741 1.979 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2cnc(Cl)nc2Cl)cn1 ZINC001259820783 898831109 /nfs/dbraw/zinc/83/11/09/898831109.db2.gz PUNLUGGWTGIVBY-UHFFFAOYSA-N -1 1 308.150 1.318 20 0 DDADMM O=S(=O)([N-]CC1(c2ccc(F)cn2)CCOCC1)C(F)F ZINC001259963725 898986350 /nfs/dbraw/zinc/98/63/50/898986350.db2.gz MBJHVRDJGBWSLU-UHFFFAOYSA-N -1 1 324.324 1.411 20 0 DDADMM C[C@]12CC3(O)CC([N-]S(=O)(=O)C(F)F)(C1)C[C@@](C)(C3)C2 ZINC001259963121 898987178 /nfs/dbraw/zinc/98/71/78/898987178.db2.gz RCZLPQYXSQRQHM-MPEURRAXSA-N -1 1 309.378 1.992 20 0 DDADMM COC(=O)c1sc2cc(OC)ccc2c1[N-]S(N)(=O)=O ZINC001260074350 899038778 /nfs/dbraw/zinc/03/87/78/899038778.db2.gz IMSHBAHRFCHVSR-UHFFFAOYSA-N -1 1 316.360 1.312 20 0 DDADMM C[N@H+]1CCC[C@H]2CN(S(=O)(=O)c3cccc(C(=O)[O-])c3)C[C@@H]21 ZINC001260187782 899080618 /nfs/dbraw/zinc/08/06/18/899080618.db2.gz ADSCJVGVHLITHS-JSGCOSHPSA-N -1 1 324.402 1.100 20 0 DDADMM CN1CCC[C@H]2CN(S(=O)(=O)c3cccc(C(=O)[O-])c3)C[C@@H]21 ZINC001260187782 899080631 /nfs/dbraw/zinc/08/06/31/899080631.db2.gz ADSCJVGVHLITHS-JSGCOSHPSA-N -1 1 324.402 1.100 20 0 DDADMM CN1CC(C[N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)C1 ZINC001260204969 899084613 /nfs/dbraw/zinc/08/46/13/899084613.db2.gz TYQYVHDFJVFZKC-UHFFFAOYSA-N -1 1 310.753 1.458 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)Cc2ccccc2F)cn1 ZINC001260621469 899185329 /nfs/dbraw/zinc/18/53/29/899185329.db2.gz PRDHIUSOMVERTJ-UHFFFAOYSA-N -1 1 324.333 1.949 20 0 DDADMM CCOC(=O)Cc1cccc([N-]S(=O)(=O)[C@H](C)CC)n1 ZINC001260726326 899231667 /nfs/dbraw/zinc/23/16/67/899231667.db2.gz PHCFOAOSBHZAAD-SNVBAGLBSA-N -1 1 300.380 1.727 20 0 DDADMM CCCc1ccccc1C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001390433626 899397321 /nfs/dbraw/zinc/39/73/21/899397321.db2.gz CZJNQCRNTGLIQQ-NSHDSACASA-N -1 1 317.393 1.371 20 0 DDADMM CCc1ccccc1N1CC(=O)C(C(=O)[N-]OCCN(C)C)=N1 ZINC001261741026 899746490 /nfs/dbraw/zinc/74/64/90/899746490.db2.gz ZOLRPANUPBXNTP-UHFFFAOYSA-N -1 1 318.377 1.363 20 0 DDADMM CCN(C)C(=O)C[N@H+]1CCC[C@H]1CNC(=O)c1ccccc1O ZINC001391031650 900726077 /nfs/dbraw/zinc/72/60/77/900726077.db2.gz NYZPPAQNDHDFCJ-ZDUSSCGKSA-N -1 1 319.405 1.065 20 0 DDADMM CC(C)N1CC[C@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)C1 ZINC000389396050 901339528 /nfs/dbraw/zinc/33/95/28/901339528.db2.gz ZLOBWNNOLQGMOD-LBPRGKRZSA-N -1 1 312.391 1.146 20 0 DDADMM CCCC(C)(C)C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001391380274 901622921 /nfs/dbraw/zinc/62/29/21/901622921.db2.gz LPMTVQWWIJHMEU-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)C1CCC=CCC1 ZINC001280545383 903781403 /nfs/dbraw/zinc/78/14/03/903781403.db2.gz MYAXZPIREGTNCH-UHFFFAOYSA-N -1 1 319.409 1.385 20 0 DDADMM CSCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001370824265 903794742 /nfs/dbraw/zinc/79/47/42/903794742.db2.gz RZLPCZWIIOWUBA-GHMZBOCLSA-N -1 1 323.418 1.260 20 0 DDADMM CC(C)CC(=O)N[C@@H]1CC[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001371223255 904517230 /nfs/dbraw/zinc/51/72/30/904517230.db2.gz XYZPSNMHVMWPCS-QWHCGFSZSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1C[C@H]1C1CCC1)NC(=O)c1ncccc1[O-] ZINC001392772966 905212033 /nfs/dbraw/zinc/21/20/33/905212033.db2.gz HEDKVAYFUIPIFY-WCFLWFBJSA-N -1 1 317.389 1.458 20 0 DDADMM CCCC[C@H](CC)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001393030042 905999462 /nfs/dbraw/zinc/99/94/62/905999462.db2.gz CNXXBHPRFMFHAJ-QWHCGFSZSA-N -1 1 323.441 1.807 20 0 DDADMM CCCC(C)(C)C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001283231485 906948437 /nfs/dbraw/zinc/94/84/37/906948437.db2.gz OIYSQQOJGRSFDZ-UHFFFAOYSA-N -1 1 307.394 1.850 20 0 DDADMM CCN(CCCNC(=O)C[C@H](C)OC)C(=O)c1ncccc1[O-] ZINC001283698629 907810613 /nfs/dbraw/zinc/81/06/13/907810613.db2.gz NNXJPFSQSGZYLQ-LBPRGKRZSA-N -1 1 323.393 1.181 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)[C@@H](C)NCc2c(C)noc2C)c1[O-] ZINC001393938815 908327352 /nfs/dbraw/zinc/32/73/52/908327352.db2.gz VPOGFDLOHNZSGU-HTQZYQBOSA-N -1 1 321.381 1.325 20 0 DDADMM CC[C@@H](C)CC(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001394171653 909010471 /nfs/dbraw/zinc/01/04/71/909010471.db2.gz BQSAJEWGSFXGHF-GFCCVEGCSA-N -1 1 323.441 1.855 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1cccn1C ZINC001373261065 909338597 /nfs/dbraw/zinc/33/85/97/909338597.db2.gz MFZJEKOXBGNAPX-LLVKDONJSA-N -1 1 316.361 1.064 20 0 DDADMM CC[C@@H](F)C(=O)N(C)C[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001394873696 910794738 /nfs/dbraw/zinc/79/47/38/910794738.db2.gz HMWNFKPWGUYMAI-VXGBXAGGSA-N -1 1 323.368 1.598 20 0 DDADMM C=C/C(C)=C/CC(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001285956544 911494828 /nfs/dbraw/zinc/49/48/28/911494828.db2.gz VPVBOPBMNOQIDL-WUXMJOGZSA-N -1 1 315.373 1.688 20 0 DDADMM C[C@@H](NC(=O)C=C1CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001286190943 911799925 /nfs/dbraw/zinc/79/99/25/911799925.db2.gz YWAULKHQWUBROR-LLVKDONJSA-N -1 1 315.373 1.474 20 0 DDADMM CC[C@H](CC(C)C)C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001375767410 916908184 /nfs/dbraw/zinc/90/81/84/916908184.db2.gz OCCRJNZNRYNPHH-OLZOCXBDSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)C1(C)CCCCCC1 ZINC001377809015 923101985 /nfs/dbraw/zinc/10/19/85/923101985.db2.gz QETFWJJUMGAUAV-VXGBXAGGSA-N -1 1 323.441 1.854 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCC(C)(C)C3)nc2n1 ZINC000622870887 365550601 /nfs/dbraw/zinc/55/06/01/365550601.db2.gz KJRLVBJNOGTDDW-JTQLQIEISA-N -1 1 303.366 1.881 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCC[C@H]3CC)nc2n1 ZINC000622993462 365585605 /nfs/dbraw/zinc/58/56/05/365585605.db2.gz OCQXWBQARGIKPY-KOLCDFICSA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)C(C)(C)C)nc2n1 ZINC000622996590 365590003 /nfs/dbraw/zinc/59/00/03/365590003.db2.gz SJRIXQXYQIMPQE-SECBINFHSA-N -1 1 305.382 1.991 20 0 DDADMM O=C(Cn1cc(C2CC2)cn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614388988 361831819 /nfs/dbraw/zinc/83/18/19/361831819.db2.gz JVWMIECLTVFIAR-LLVKDONJSA-N -1 1 317.349 1.255 20 0 DDADMM O=C(Cc1cccc(N2CCCC2=O)c1)[N-]O[C@H]1CCCCO1 ZINC000278615439 214277180 /nfs/dbraw/zinc/27/71/80/214277180.db2.gz JTFWAZIVBBZCQO-KRWDZBQOSA-N -1 1 318.373 1.930 20 0 DDADMM O=C(NCCNC(=O)c1cc(F)ccc1[O-])c1ccc(O)cc1 ZINC000081942786 192344310 /nfs/dbraw/zinc/34/43/10/192344310.db2.gz FCLSZEJVBILWPR-UHFFFAOYSA-N -1 1 318.304 1.397 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCc3c2cccc3C)co1 ZINC000124521705 539180985 /nfs/dbraw/zinc/18/09/85/539180985.db2.gz GLWVBYUFHFTMQG-UHFFFAOYSA-N -1 1 320.370 1.699 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(Cl)cc(Br)c1 ZINC000227770135 539266425 /nfs/dbraw/zinc/26/64/25/539266425.db2.gz NJXQJYORSDUERM-UHFFFAOYSA-N -1 1 316.546 1.546 20 0 DDADMM CC(C)(CNC(=O)c1ncc2ccccc2c1[O-])OCCO ZINC000614906453 362070543 /nfs/dbraw/zinc/07/05/43/362070543.db2.gz QACREZKOOQAKHL-UHFFFAOYSA-N -1 1 304.346 1.458 20 0 DDADMM Cc1nc([C@H]2CN(C(=O)c3ncc(C)cc3[O-])CCO2)no1 ZINC000330298712 231778687 /nfs/dbraw/zinc/77/86/87/231778687.db2.gz HOLILKXOOHUACO-LLVKDONJSA-N -1 1 304.306 1.001 20 0 DDADMM CNC(=O)C[C@@H](C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425190802 529869466 /nfs/dbraw/zinc/86/94/66/529869466.db2.gz WCAAZCFRXKSEQK-MRVPVSSYSA-N -1 1 306.334 1.076 20 0 DDADMM Cc1ccc(C[C@@H](CO)NC(=O)c2ncccc2[O-])cc1C ZINC000457242871 232021092 /nfs/dbraw/zinc/02/10/92/232021092.db2.gz FUZOYOWKFQQQIB-AWEZNQCLSA-N -1 1 300.358 1.737 20 0 DDADMM O=C(N=c1nc(C2CCC2)[nH][n-]1)c1ccc(OC(F)F)cn1 ZINC000358381181 299137520 /nfs/dbraw/zinc/13/75/20/299137520.db2.gz NQQXMNBMLDQGGM-UHFFFAOYSA-N -1 1 309.276 1.743 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C1(C)C ZINC000358383724 299138191 /nfs/dbraw/zinc/13/81/91/299138191.db2.gz KRHZPFMRZCCSME-QWRGUYRKSA-N -1 1 304.350 1.217 20 0 DDADMM CC[C@]1(CO)CCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000286995656 219261219 /nfs/dbraw/zinc/26/12/19/219261219.db2.gz BXMVQJLFLWXMPN-UEIJICEPSA-N -1 1 317.393 1.358 20 0 DDADMM CCC[C@H]1C[C@@H](C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000279872954 215197155 /nfs/dbraw/zinc/19/71/55/215197155.db2.gz SZFBASRCXDJQNM-MLXUZTMQSA-N -1 1 302.378 1.876 20 0 DDADMM O=C(Nc1cnn(-c2ncccn2)c1)c1c(F)ccc([O-])c1F ZINC000343446362 282665853 /nfs/dbraw/zinc/66/58/53/282665853.db2.gz HRAAEBGPUIDHOI-UHFFFAOYSA-N -1 1 317.255 1.898 20 0 DDADMM C[C@@H](Oc1ccc(Br)cc1)C(=O)Nc1nnn[n-]1 ZINC000072678395 191212670 /nfs/dbraw/zinc/21/26/70/191212670.db2.gz JJRSQSQKHPVJTR-ZCFIWIBFSA-N -1 1 312.127 1.368 20 0 DDADMM C[C@@H](Oc1ccc(Br)cc1)C(=O)Nc1nn[n-]n1 ZINC000072678395 191212672 /nfs/dbraw/zinc/21/26/72/191212672.db2.gz JJRSQSQKHPVJTR-ZCFIWIBFSA-N -1 1 312.127 1.368 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccccc2-n2cnnn2)cc1 ZINC000047328529 352490140 /nfs/dbraw/zinc/49/01/40/352490140.db2.gz NUUWEFFTRACNHE-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM COc1cc(NC(=O)COC(C)C)ccc1[N-]S(C)(=O)=O ZINC000063685515 352919590 /nfs/dbraw/zinc/91/95/90/352919590.db2.gz ZSELDXAHYFAKPP-UHFFFAOYSA-N -1 1 316.379 1.430 20 0 DDADMM CC[C@@H](OC)C(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000065843651 352965383 /nfs/dbraw/zinc/96/53/83/352965383.db2.gz FDEIKGUROPWTPP-LLVKDONJSA-N -1 1 316.379 1.430 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1Cc1nc(N)c2ccccc2n1 ZINC000564966524 304031198 /nfs/dbraw/zinc/03/11/98/304031198.db2.gz WZUYLKVWFGLEBB-GHMZBOCLSA-N -1 1 300.362 1.727 20 0 DDADMM O=C([O-])c1cc(NC(=O)NCCc2nc[nH]n2)ccc1Cl ZINC000080646471 192178818 /nfs/dbraw/zinc/17/88/18/192178818.db2.gz NWVHSURJTZPZFY-UHFFFAOYSA-N -1 1 309.713 1.521 20 0 DDADMM O=C(CC1CCCC1)NCCNC(=O)c1cc(F)ccc1[O-] ZINC000080022969 353587513 /nfs/dbraw/zinc/58/75/13/353587513.db2.gz SVANUFZCBVLLEG-UHFFFAOYSA-N -1 1 308.353 1.958 20 0 DDADMM CCc1nc(S(=O)(=O)CCOC2CCCCCC2)n[n-]1 ZINC000086170478 353738623 /nfs/dbraw/zinc/73/86/23/353738623.db2.gz GFSFAEJVMMWSPY-UHFFFAOYSA-N -1 1 301.412 1.880 20 0 DDADMM CS(=O)(=O)Cc1noc([N-]C(=O)c2ccc(Cl)s2)n1 ZINC000126612312 354056175 /nfs/dbraw/zinc/05/61/75/354056175.db2.gz XIKKEKQGJAMJSP-UHFFFAOYSA-N -1 1 321.767 1.581 20 0 DDADMM CCC[C@@](C)([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OC ZINC000601301318 358486417 /nfs/dbraw/zinc/48/64/17/358486417.db2.gz YCQKPGBSQFPLBL-LLVKDONJSA-N -1 1 306.409 1.462 20 0 DDADMM Cc1c(C(=O)[O-])sc2ncnc(N3CCN(C4CC4)CC3)c12 ZINC000315421076 354493933 /nfs/dbraw/zinc/49/39/33/354493933.db2.gz OBKCDBUZMQBEST-UHFFFAOYSA-N -1 1 318.402 1.982 20 0 DDADMM O=C([O-])c1coc(=NCCc2cc(C(F)(F)F)ccn2)[nH]1 ZINC000565034043 304038479 /nfs/dbraw/zinc/03/84/79/304038479.db2.gz CTLDCXRMHOFBQH-UHFFFAOYSA-N -1 1 301.224 1.863 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCCCN2C(=O)CC)n1 ZINC000585681744 354827556 /nfs/dbraw/zinc/82/75/56/354827556.db2.gz KFHXDVMLBSKARY-NSHDSACASA-N -1 1 322.365 1.316 20 0 DDADMM COC[C@H](NC(=O)c1cncc([O-])c1)c1cccc(OC)c1 ZINC000617968468 363412493 /nfs/dbraw/zinc/41/24/93/363412493.db2.gz WCDXUSCQJBOVDB-HNNXBMFYSA-N -1 1 302.330 1.913 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)CC1CC(O)C1 ZINC000332504361 235041852 /nfs/dbraw/zinc/04/18/52/235041852.db2.gz XPXABBZQBXVREP-UHFFFAOYSA-N -1 1 307.394 1.631 20 0 DDADMM Cn1c(=S)[n-]nc1C1CCN(C(=O)C2=CCCCO2)CC1 ZINC000073433030 191316523 /nfs/dbraw/zinc/31/65/23/191316523.db2.gz NKGCNEAYSOSBCN-UHFFFAOYSA-N -1 1 308.407 1.878 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-][C@H]2CCCC2(F)F)CC1 ZINC000590757506 355167971 /nfs/dbraw/zinc/16/79/71/355167971.db2.gz UBHROJZJHQHCPA-VIFPVBQESA-N -1 1 311.350 1.579 20 0 DDADMM CCN(Cc1ccccc1)C(=O)CNC(=O)c1ncccc1[O-] ZINC000171272904 306683743 /nfs/dbraw/zinc/68/37/43/306683743.db2.gz YXXZBQRPJRUKRP-UHFFFAOYSA-N -1 1 313.357 1.566 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCS[C@@H]2C)c1 ZINC000592116529 355504692 /nfs/dbraw/zinc/50/46/92/355504692.db2.gz VQPHSMFFVMOPOG-VXNVDRBHSA-N -1 1 305.377 1.239 20 0 DDADMM CC(C)(C)CCN1CCN(C(=O)CSCC(=O)[O-])CC1 ZINC000592353233 355564860 /nfs/dbraw/zinc/56/48/60/355564860.db2.gz LNQWAVLILNIKGD-UHFFFAOYSA-N -1 1 302.440 1.385 20 0 DDADMM CC[C@@H](CNC(=O)c1c(C)[n-]c(=O)nc1SC)C(=O)OC ZINC000592854245 355717256 /nfs/dbraw/zinc/71/72/56/355717256.db2.gz CCJZEKJMMOYBPA-QMMMGPOBSA-N -1 1 313.379 1.142 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H](OC)C2CC2)cc1C ZINC000595335014 356452603 /nfs/dbraw/zinc/45/26/03/356452603.db2.gz QWDPGKSJHSQOIA-SNVBAGLBSA-N -1 1 317.363 1.078 20 0 DDADMM O=C(CN(Cc1ccccc1)Cc1ccccc1)Nc1nnn[n-]1 ZINC000081411491 192260689 /nfs/dbraw/zinc/26/06/89/192260689.db2.gz BDIMORQONKRBAC-UHFFFAOYSA-N -1 1 322.372 1.841 20 0 DDADMM O=C(CN(Cc1ccccc1)Cc1ccccc1)Nc1nn[n-]n1 ZINC000081411491 192260692 /nfs/dbraw/zinc/26/06/92/192260692.db2.gz BDIMORQONKRBAC-UHFFFAOYSA-N -1 1 322.372 1.841 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C2CC(C)(C)C2)o1 ZINC000595387507 356474510 /nfs/dbraw/zinc/47/45/10/356474510.db2.gz CSWVHAJABFYXFX-UHFFFAOYSA-N -1 1 301.364 1.923 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1CCCC[C@@H]1OC)C(C)(C)C ZINC000601348010 358509685 /nfs/dbraw/zinc/50/96/85/358509685.db2.gz PDDKWPZIENWSIO-TUAOUCFPSA-N -1 1 321.439 1.451 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)N=c1nc(C2CCOCC2)[n-]s1 ZINC000596194779 356809638 /nfs/dbraw/zinc/80/96/38/356809638.db2.gz QMOATFGYZANLCZ-ZJUUUORDSA-N -1 1 313.423 1.854 20 0 DDADMM COC(=O)CCCc1nnc([N-]C(=O)c2ncccc2F)s1 ZINC000597284623 357121397 /nfs/dbraw/zinc/12/13/97/357121397.db2.gz FYVIYCDPWYORCO-UHFFFAOYSA-N -1 1 324.337 1.820 20 0 DDADMM COC(=O)[C@H](CC(F)F)NC(=O)c1ncc2ccccc2c1[O-] ZINC000597455682 357183551 /nfs/dbraw/zinc/18/35/51/357183551.db2.gz JPGVWYUAJFJQQZ-JTQLQIEISA-N -1 1 324.283 1.867 20 0 DDADMM CCOC(=O)C[C@@H](NC(=O)c1ncc(C)cc1[O-])C(F)(F)F ZINC000598051744 357444755 /nfs/dbraw/zinc/44/47/55/357444755.db2.gz XFLISQSTRPCMID-SECBINFHSA-N -1 1 320.267 1.710 20 0 DDADMM COC(=O)[C@H](CF)[N-]S(=O)(=O)c1ccc(C)c(F)c1F ZINC000599306334 357885857 /nfs/dbraw/zinc/88/58/57/357885857.db2.gz GBJZLRKXDBAIPI-ZETCQYMHSA-N -1 1 311.281 1.063 20 0 DDADMM Cc1cc(O[C@@H](C)C(=O)NCc2nn[n-]n2)ccc1C(C)C ZINC000599334531 357899068 /nfs/dbraw/zinc/89/90/68/357899068.db2.gz PBRKDGFYZBDDRR-NSHDSACASA-N -1 1 303.366 1.715 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(COc2ccccc2)cc1 ZINC000599377480 357915001 /nfs/dbraw/zinc/91/50/01/357915001.db2.gz UCCIBTHKFRLPLE-UHFFFAOYSA-N -1 1 309.329 1.709 20 0 DDADMM Cc1ccc(-c2nc(CC(=O)NCc3nn[n-]n3)cs2)o1 ZINC000599377635 357915754 /nfs/dbraw/zinc/91/57/54/357915754.db2.gz XKZZKCXNGYWFSN-UHFFFAOYSA-N -1 1 304.335 1.084 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)[N-]S(=O)(=O)C1(C(C)C)CC1 ZINC000601393219 358532954 /nfs/dbraw/zinc/53/29/54/358532954.db2.gz CSIMCLKNTQOVPR-MRVPVSSYSA-N -1 1 317.329 1.588 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OCC ZINC000601394943 358534026 /nfs/dbraw/zinc/53/40/26/358534026.db2.gz ZGJGDGCUPDORHA-OAQKJQOQSA-N -1 1 318.420 1.628 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@H]1CCCCO1 ZINC000181082643 199249641 /nfs/dbraw/zinc/24/96/41/199249641.db2.gz OWKJKHIUPNFLGO-GFCCVEGCSA-N -1 1 316.354 1.705 20 0 DDADMM C[C@@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)CC[S@@](=O)C1 ZINC000601534297 358591455 /nfs/dbraw/zinc/59/14/55/358591455.db2.gz APUONVPSGBABHY-CFMSYZGJSA-N -1 1 315.822 1.815 20 0 DDADMM COc1ccc(C(C)(C)NC(=O)CCCc2nn[n-]n2)cc1F ZINC000636260986 422757701 /nfs/dbraw/zinc/75/77/01/422757701.db2.gz ZWYOXNKPYJSTQY-UHFFFAOYSA-N -1 1 321.356 1.722 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@H](C(=O)OC(C)C)C(C)C)C1CC1 ZINC000565596510 304078994 /nfs/dbraw/zinc/07/89/94/304078994.db2.gz MBPXFLPARKIZAM-OLZOCXBDSA-N -1 1 321.439 1.307 20 0 DDADMM C[C@H](CCNC(=O)N=c1[n-]sc2ccccc21)[S@](C)=O ZINC000603050515 359377344 /nfs/dbraw/zinc/37/73/44/359377344.db2.gz IXBQNGVMJCIPLF-YBYGRFCBSA-N -1 1 311.432 1.997 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cccc(F)c2)n[n-]1 ZINC000603161351 359447234 /nfs/dbraw/zinc/44/72/34/359447234.db2.gz FBNHVMRHKLSHCN-QMMMGPOBSA-N -1 1 306.297 1.612 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cccc(F)c2)[n-]1 ZINC000603161351 359447237 /nfs/dbraw/zinc/44/72/37/359447237.db2.gz FBNHVMRHKLSHCN-QMMMGPOBSA-N -1 1 306.297 1.612 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cccc(F)c2)n1 ZINC000603161351 359447239 /nfs/dbraw/zinc/44/72/39/359447239.db2.gz FBNHVMRHKLSHCN-QMMMGPOBSA-N -1 1 306.297 1.612 20 0 DDADMM O=C([O-])C[C@H]1COCCN1C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000263257138 304084920 /nfs/dbraw/zinc/08/49/20/304084920.db2.gz FUTKNFPQTSQODO-VIFPVBQESA-N -1 1 321.358 1.454 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1c(O)cccc1Cl ZINC000603418588 359628371 /nfs/dbraw/zinc/62/83/71/359628371.db2.gz GWUHDBGWRFUOFK-UHFFFAOYSA-N -1 1 321.782 1.987 20 0 DDADMM CS[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccc1 ZINC000187257716 200084672 /nfs/dbraw/zinc/08/46/72/200084672.db2.gz YOEPNACXEISXSP-CMPLNLGQSA-N -1 1 305.407 1.866 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(OC2CCCCC2)cc1 ZINC000605129139 359832610 /nfs/dbraw/zinc/83/26/10/359832610.db2.gz ZWLNKUCVWRMQPH-UHFFFAOYSA-N -1 1 301.350 1.841 20 0 DDADMM C[C@@H](Oc1cccc(F)c1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605530993 359867398 /nfs/dbraw/zinc/86/73/98/359867398.db2.gz SLKDOFMRPBFGDK-SNVBAGLBSA-N -1 1 319.340 1.692 20 0 DDADMM CN(CC1(CO)CC1)C(=O)c1ccc(Br)cc1[O-] ZINC000189100676 200348656 /nfs/dbraw/zinc/34/86/56/200348656.db2.gz VXTSUHKEDLCFSK-UHFFFAOYSA-N -1 1 314.179 1.999 20 0 DDADMM Cc1nc2ccc(C(=O)NC(C)(C)c3nn[n-]n3)cc2nc1C ZINC000607952788 360077134 /nfs/dbraw/zinc/07/71/34/360077134.db2.gz FNQBGIFLXMPTFK-UHFFFAOYSA-N -1 1 311.349 1.425 20 0 DDADMM Cc1nccc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000281157485 216118208 /nfs/dbraw/zinc/11/82/08/216118208.db2.gz BEQVCDORFZDBCW-UHFFFAOYSA-N -1 1 302.300 1.680 20 0 DDADMM CC1(Cn2cc(C(=O)Nc3nc(Cl)ccc3[O-])nn2)COC1 ZINC000194584994 201255799 /nfs/dbraw/zinc/25/57/99/201255799.db2.gz SJZUTOMTBILHKL-UHFFFAOYSA-N -1 1 323.740 1.321 20 0 DDADMM CC(C)OC[C@@H](CO)N(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000614019006 361662188 /nfs/dbraw/zinc/66/21/88/361662188.db2.gz NZNJEISBQYAPHG-CYBMUJFWSA-N -1 1 318.373 1.798 20 0 DDADMM COc1ncc(NC(=O)c2c(F)ccc([O-])c2F)cc1C(N)=O ZINC000348851483 283722932 /nfs/dbraw/zinc/72/29/32/283722932.db2.gz HFCSXNJILDQCIG-UHFFFAOYSA-N -1 1 323.255 1.425 20 0 DDADMM CC(C)(C)N1C[C@H](CNC(=O)C(=O)c2ccc([O-])cc2)CC1=O ZINC000620013069 364243916 /nfs/dbraw/zinc/24/39/16/364243916.db2.gz QYKYQFODDSPHCI-NSHDSACASA-N -1 1 318.373 1.338 20 0 DDADMM CC(C)NC(=O)[C@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000620416333 364407793 /nfs/dbraw/zinc/40/77/93/364407793.db2.gz ZHERYWWLAVAXRE-LBPRGKRZSA-N -1 1 308.353 1.908 20 0 DDADMM C[C@@H]1CN(C(=O)c2cncc([O-])c2)C[C@@H](CC(F)(F)F)O1 ZINC000620806300 364561546 /nfs/dbraw/zinc/56/15/46/364561546.db2.gz QGUPEOSUYQJEMM-LDYMZIIASA-N -1 1 304.268 1.969 20 0 DDADMM O=C(c1ccc2c(c1)CCO2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000566137554 304121093 /nfs/dbraw/zinc/12/10/93/304121093.db2.gz YSVROLCAOFBNPY-UHFFFAOYSA-N -1 1 315.329 1.730 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3C[C@H](O)C34CCC4)cnc2n1 ZINC000622174964 365311923 /nfs/dbraw/zinc/31/19/23/365311923.db2.gz UZBBPRMVBXXSTM-OLZOCXBDSA-N -1 1 313.357 1.677 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1C[C@H](O)C13CCC3)c2=O ZINC000622174964 365311926 /nfs/dbraw/zinc/31/19/26/365311926.db2.gz UZBBPRMVBXXSTM-OLZOCXBDSA-N -1 1 313.357 1.677 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H]4COC[C@H]4C3)cnc2n1 ZINC000622179223 365315594 /nfs/dbraw/zinc/31/55/94/365315594.db2.gz UGZDGGYMAFFMHR-VXGBXAGGSA-N -1 1 313.357 1.752 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC[C@@H]3COC[C@H]3C1)c2=O ZINC000622179223 365315602 /nfs/dbraw/zinc/31/56/02/365315602.db2.gz UGZDGGYMAFFMHR-VXGBXAGGSA-N -1 1 313.357 1.752 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC[C@@H]1CC1(F)F ZINC000622199867 365325849 /nfs/dbraw/zinc/32/58/49/365325849.db2.gz DAUUJUPZCRMCGT-SNVBAGLBSA-N -1 1 324.331 1.756 20 0 DDADMM Cn1nccc1[C@H](CO)NC(=O)c1cc2ccccc2cc1[O-] ZINC000622693794 365488342 /nfs/dbraw/zinc/48/83/42/365488342.db2.gz WRXMNBPKYOSXSU-AWEZNQCLSA-N -1 1 311.341 1.742 20 0 DDADMM CCN(CC)CCS(=O)(=O)N1CCC(CCC(=O)[O-])CC1 ZINC000566183551 304125558 /nfs/dbraw/zinc/12/55/58/304125558.db2.gz GSOLWFYENXPYPU-UHFFFAOYSA-N -1 1 320.455 1.235 20 0 DDADMM COc1cc2[n-]cc(C(=O)N[C@@H](C)CCO)c(=O)c2c(OC)c1 ZINC000626136456 367356661 /nfs/dbraw/zinc/35/66/61/367356661.db2.gz XQKSLUJRPXIARH-VIFPVBQESA-N -1 1 320.345 1.046 20 0 DDADMM CO[C@]1(C[N-]S(=O)(=O)c2cccc(F)c2F)CCOC1 ZINC000359244002 299374186 /nfs/dbraw/zinc/37/41/86/299374186.db2.gz YWGUSHVZBHZQIC-LBPRGKRZSA-N -1 1 307.318 1.049 20 0 DDADMM CCCn1nccc1NC(=O)CN1C[C@@H](C)C[C@H](C(=O)[O-])C1 ZINC000635018183 422770700 /nfs/dbraw/zinc/77/07/00/422770700.db2.gz LXGVFBCQVVNXPC-RYUDHWBXSA-N -1 1 308.382 1.274 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc(-c2csnn2)cc1 ZINC000282240059 216849947 /nfs/dbraw/zinc/84/99/47/216849947.db2.gz PXNKVFIOZWBKCT-VIFPVBQESA-N -1 1 313.404 1.982 20 0 DDADMM CN(Cc1ccccc1)C(=O)N1CCN(CCCC(=O)[O-])CC1 ZINC000262211335 203230896 /nfs/dbraw/zinc/23/08/96/203230896.db2.gz JARLDWDENUWNLY-UHFFFAOYSA-N -1 1 319.405 1.721 20 0 DDADMM CCC[C@H](NCC(=O)NC(=O)Nc1ccc(OC)cc1)C(=O)[O-] ZINC000262276948 203246795 /nfs/dbraw/zinc/24/67/95/203246795.db2.gz JXBPEPRFBZNXNM-LBPRGKRZSA-N -1 1 323.349 1.186 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2nc(C)sc2C)n1 ZINC000350178018 284164971 /nfs/dbraw/zinc/16/49/71/284164971.db2.gz VOPDDABZCPTHGA-UHFFFAOYSA-N -1 1 315.376 1.244 20 0 DDADMM COc1cc([N-]S(=O)(=O)C[C@@H]2CCCO2)cc(OC)c1 ZINC000264625682 204036824 /nfs/dbraw/zinc/03/68/24/204036824.db2.gz JJNKBOMUPXGRBE-NSHDSACASA-N -1 1 301.364 1.625 20 0 DDADMM O=S(=O)([N-]CCN1CCSCC1)c1cccc(F)c1F ZINC000264889971 204243363 /nfs/dbraw/zinc/24/33/63/204243363.db2.gz XATMYPPCNLXDLR-UHFFFAOYSA-N -1 1 322.402 1.292 20 0 DDADMM COC1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CCOCC1 ZINC000351300769 284316364 /nfs/dbraw/zinc/31/63/64/284316364.db2.gz KUUMUGQVTOTDKS-UHFFFAOYSA-N -1 1 317.345 1.916 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCc3n[nH]cc3C2)c1 ZINC000282701447 217187409 /nfs/dbraw/zinc/18/74/09/217187409.db2.gz FTSSUBGMXOQYTM-VIFPVBQESA-N -1 1 315.329 1.646 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CNCC(F)(F)F)c1 ZINC000282719171 217198871 /nfs/dbraw/zinc/19/88/71/217198871.db2.gz HBHUREMZAMYIIG-UHFFFAOYSA-N -1 1 306.240 1.269 20 0 DDADMM CCc1ccc([C@@H](COC)[N-]S(=O)(=O)Cc2ccon2)o1 ZINC000266148187 205135768 /nfs/dbraw/zinc/13/57/68/205135768.db2.gz FASSJLPLIXWXEE-GFCCVEGCSA-N -1 1 314.363 1.637 20 0 DDADMM O=C(COc1ccc(F)cc1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282804286 217261923 /nfs/dbraw/zinc/26/19/23/217261923.db2.gz ASJOHIDDMVMIQV-JTQLQIEISA-N -1 1 320.324 1.022 20 0 DDADMM O=C(COc1ccccc1F)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282883468 217300603 /nfs/dbraw/zinc/30/06/03/217300603.db2.gz JSNNDZGUNXNSIT-SNVBAGLBSA-N -1 1 320.324 1.022 20 0 DDADMM COC(=O)c1[n-]c(=NC[C@H]2COc3ccccc3O2)sc1C ZINC000359449504 299446403 /nfs/dbraw/zinc/44/64/03/299446403.db2.gz PWWXKCYKWPSIOS-JTQLQIEISA-N -1 1 320.370 1.912 20 0 DDADMM O=C([O-])C1CCN(CC(=O)N2CCCc3ccccc32)CC1 ZINC000019774853 182145844 /nfs/dbraw/zinc/14/58/44/182145844.db2.gz AFZJGQRYGMMFHI-UHFFFAOYSA-N -1 1 302.374 1.762 20 0 DDADMM Cn1cc(S(=O)(=O)Nc2ccc(F)c(F)c2)cc1C(=O)[O-] ZINC000021504657 182275963 /nfs/dbraw/zinc/27/59/63/182275963.db2.gz BPFSQINYXQEMDT-UHFFFAOYSA-N -1 1 316.285 1.802 20 0 DDADMM C[C@@H](O)[C@H]1CN(c2c(C(=O)[O-])cnc3ccccc32)CCO1 ZINC000566918191 304188488 /nfs/dbraw/zinc/18/84/88/304188488.db2.gz QANKVWLHDWJZNK-QMTHXVAHSA-N -1 1 302.330 1.519 20 0 DDADMM C[C@H](O)[C@@H]1CN(c2c(C(=O)[O-])cnc3ccccc32)CCO1 ZINC000566918184 304188613 /nfs/dbraw/zinc/18/86/13/304188613.db2.gz QANKVWLHDWJZNK-HZMBPMFUSA-N -1 1 302.330 1.519 20 0 DDADMM CN1C[C@@H](C(=O)N=c2cc(-c3cccc(F)c3F)[n-][nH]2)CC1=O ZINC000567096398 304197135 /nfs/dbraw/zinc/19/71/35/304197135.db2.gz AWSQARJHEUBDBH-QMMMGPOBSA-N -1 1 320.299 1.194 20 0 DDADMM COCCCCNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000064354967 248201767 /nfs/dbraw/zinc/20/17/67/248201767.db2.gz HDXSBQIRPHXIDL-UHFFFAOYSA-N -1 1 320.393 1.527 20 0 DDADMM Cc1nc(C(=O)Nc2ccncc2[O-])nn1-c1ccc(F)cc1 ZINC000336892202 249283868 /nfs/dbraw/zinc/28/38/68/249283868.db2.gz JKIPQKHIFHFNME-UHFFFAOYSA-N -1 1 313.292 1.490 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cccc2ccsc21 ZINC000337138134 249361528 /nfs/dbraw/zinc/36/15/28/249361528.db2.gz FUGDQSUYFWGIOV-UHFFFAOYSA-N -1 1 314.370 1.967 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc(C)c(F)cc1F ZINC000337136171 249361546 /nfs/dbraw/zinc/36/15/46/249361546.db2.gz DSVHGGGIZZJUIV-UHFFFAOYSA-N -1 1 308.288 1.339 20 0 DDADMM CCc1ccc([C@@H](C)C(=O)N=c2[nH][n-]c(C)c2C(=O)NC)cc1 ZINC000337167007 249372425 /nfs/dbraw/zinc/37/24/25/249372425.db2.gz YOXMQOOXHRTGLB-SNVBAGLBSA-N -1 1 314.389 1.804 20 0 DDADMM Cc1cc(C2(NC(=O)c3ncccc3[O-])CCOCC2)on1 ZINC000629725354 422794741 /nfs/dbraw/zinc/79/47/41/422794741.db2.gz DKZPBZNFMPTUHP-UHFFFAOYSA-N -1 1 303.318 1.519 20 0 DDADMM O=c1cc(/C=C/c2nccn2-c2ccccc2)nc2nc[n-]n21 ZINC000352028745 284722775 /nfs/dbraw/zinc/72/27/75/284722775.db2.gz VSAUGFQLUZBIGS-VOTSOKGWSA-N -1 1 304.313 1.774 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]c2ccc(C)[nH]c2=O)c1 ZINC000359634649 299503832 /nfs/dbraw/zinc/50/38/32/299503832.db2.gz DQWUUOLKBJAQKR-UHFFFAOYSA-N -1 1 312.303 1.276 20 0 DDADMM CC[C@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1c(C)noc1C ZINC000352053102 284741366 /nfs/dbraw/zinc/74/13/66/284741366.db2.gz QKNKKRXVAJZCHF-QMMMGPOBSA-N -1 1 322.321 1.382 20 0 DDADMM CC[C@H](C)[C@@H]([N-]S(=O)(=O)c1sccc1F)C(=O)OC ZINC000338855479 250164701 /nfs/dbraw/zinc/16/47/01/250164701.db2.gz QUFYKFURENOYRQ-IONNQARKSA-N -1 1 309.384 1.753 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)c1ccccc1)c1sccc1F ZINC000338927799 250200298 /nfs/dbraw/zinc/20/02/98/250200298.db2.gz XMIWLTNOOHHKPT-NSHDSACASA-N -1 1 315.391 1.942 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1cc(C)cnc1Cl ZINC000284934691 218241252 /nfs/dbraw/zinc/24/12/52/218241252.db2.gz AAPGSKLMIFXZHC-UHFFFAOYSA-N -1 1 300.771 1.886 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CC[C@@H](F)C2)c(C(F)(F)F)n1 ZINC000338989146 250237050 /nfs/dbraw/zinc/23/70/50/250237050.db2.gz GJJNHTRVAMFGFY-RNFRBKRXSA-N -1 1 315.292 1.608 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2COc3ccccc3C2)n1 ZINC000339170387 250326012 /nfs/dbraw/zinc/32/60/12/250326012.db2.gz NKSSEZXVPIVJGD-LLVKDONJSA-N -1 1 315.329 1.776 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)CCc1ccccc1 ZINC000352133846 284811926 /nfs/dbraw/zinc/81/19/26/284811926.db2.gz GHRDQMDFUGWOQX-JTQLQIEISA-N -1 1 317.345 1.648 20 0 DDADMM O=C(NCCc1cc(F)cc2c1OCOC2)c1cncc([O-])c1 ZINC000109948489 194298969 /nfs/dbraw/zinc/29/89/69/194298969.db2.gz PKHHFDWSNIBVJW-UHFFFAOYSA-N -1 1 318.304 1.765 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(C(=O)N2CCCC2)cc1 ZINC000110097083 194310641 /nfs/dbraw/zinc/31/06/41/194310641.db2.gz HFQWTJTUBHBAEJ-UHFFFAOYSA-N -1 1 312.391 1.311 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc2[nH]ccc2c(C(=O)OC)c1 ZINC000340773498 251204703 /nfs/dbraw/zinc/20/47/03/251204703.db2.gz IETIRYFUDNZFPO-UHFFFAOYSA-N -1 1 312.347 1.343 20 0 DDADMM CCNC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000340866573 251250497 /nfs/dbraw/zinc/25/04/97/251250497.db2.gz ZZSOVVYTIIADIU-SSDOTTSWSA-N -1 1 308.762 1.282 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cccc3c2CNC3=O)cc1 ZINC000340994442 251324797 /nfs/dbraw/zinc/32/47/97/251324797.db2.gz MOPJKOWWVHPSNW-UHFFFAOYSA-N -1 1 318.354 1.739 20 0 DDADMM O=C([O-])[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(F)c(Cl)c2)C1 ZINC000062134609 184208168 /nfs/dbraw/zinc/20/81/68/184208168.db2.gz MWHRBKRSXPGONL-MRVPVSSYSA-N -1 1 300.717 1.824 20 0 DDADMM O=C([O-])[C@@H]1CCN(CC(=O)Nc2ccc(F)c(Cl)c2)C1 ZINC000062134609 184208170 /nfs/dbraw/zinc/20/81/70/184208170.db2.gz MWHRBKRSXPGONL-MRVPVSSYSA-N -1 1 300.717 1.824 20 0 DDADMM C[C@@H](CSc1ccc(F)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000269091563 207233293 /nfs/dbraw/zinc/23/32/93/207233293.db2.gz LKNYQISERZELRY-QMMMGPOBSA-N -1 1 311.338 1.137 20 0 DDADMM Cc1ccc(S(=O)(=O)N2CC[C@H](N(C)C)C2)cc1C(=O)[O-] ZINC000062966618 184262948 /nfs/dbraw/zinc/26/29/48/184262948.db2.gz UYZMDYLTGCMWTC-NSHDSACASA-N -1 1 312.391 1.018 20 0 DDADMM CC[C@]([N-]S(=O)(=O)c1c[nH]cn1)(C(=O)OC)c1ccccc1 ZINC000352338462 284974863 /nfs/dbraw/zinc/97/48/63/284974863.db2.gz RZHJQPSCDNRTNC-CQSZACIVSA-N -1 1 323.374 1.167 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCC[C@@H]2CO)c(=O)[n-]1 ZINC000330497913 533069932 /nfs/dbraw/zinc/06/99/32/533069932.db2.gz ZEYXNYVCTZRYCT-SNVBAGLBSA-N -1 1 311.407 1.128 20 0 DDADMM COC(=O)CCCc1nnc([N-]C(=O)c2ncoc2C)s1 ZINC000157899976 197225764 /nfs/dbraw/zinc/22/57/64/197225764.db2.gz HILYGUOAWGHMGQ-UHFFFAOYSA-N -1 1 310.335 1.583 20 0 DDADMM O=S(=O)([N-]CC(F)(F)c1ccc(Cl)cc1)N1CCC1 ZINC000352646637 285195736 /nfs/dbraw/zinc/19/57/36/285195736.db2.gz SYXOPPQOSVHBJP-UHFFFAOYSA-N -1 1 310.753 1.972 20 0 DDADMM Cc1ccccc1[C@@H]1CN(C(=O)CCc2nn[n-]n2)[C@@H](C)CO1 ZINC000631514938 422807889 /nfs/dbraw/zinc/80/78/89/422807889.db2.gz BRCDUEBAMUOLSM-JSGCOSHPSA-N -1 1 315.377 1.429 20 0 DDADMM Cc1ccc(C[C@H](CO)Nc2nc3[nH][n-]cc-3c(=O)n2)cc1C ZINC000413386677 224187404 /nfs/dbraw/zinc/18/74/04/224187404.db2.gz UPMKXRNXWBPHSA-GFCCVEGCSA-N -1 1 313.361 1.064 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2cc(F)cc(F)c2)n1 ZINC000352711578 285246807 /nfs/dbraw/zinc/24/68/07/285246807.db2.gz HGYGDOVCAWKCKT-ZCFIWIBFSA-N -1 1 303.290 1.696 20 0 DDADMM COCC[C@@H](C)CN=c1ccc(C(=O)NC2CCCC2)n[n-]1 ZINC000413497352 224207642 /nfs/dbraw/zinc/20/76/42/224207642.db2.gz WDVDVJAIIBDXIQ-GFCCVEGCSA-N -1 1 306.410 1.655 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1C[C@H](Sc2ccccc2)C1 ZINC000636328887 422809394 /nfs/dbraw/zinc/80/93/94/422809394.db2.gz HDNZHMHCYVAGSD-AULYBMBSSA-N -1 1 317.418 1.962 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1ccc(Br)o1)C1CC1 ZINC000352872927 285350822 /nfs/dbraw/zinc/35/08/22/285350822.db2.gz RRZIFIKAPUVXDY-SNVBAGLBSA-N -1 1 324.196 1.481 20 0 DDADMM COCC(C)(C)N1CCN(Cc2cc(C(=O)[O-])c(C)o2)CC1 ZINC000568281681 304283660 /nfs/dbraw/zinc/28/36/60/304283660.db2.gz XUBUDDUPRNSRMH-UHFFFAOYSA-N -1 1 310.394 1.829 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)[C@H]1CCC[C@H](C)C1 ZINC000416241661 533446215 /nfs/dbraw/zinc/44/62/15/533446215.db2.gz DLMMRXBUNNGKHF-GUBZILKMSA-N -1 1 309.366 1.841 20 0 DDADMM CO[C@@H]1COCC[C@H]1C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000451782877 533515224 /nfs/dbraw/zinc/51/52/24/533515224.db2.gz ZIYYNQNKDAJURE-JOYOIKCWSA-N -1 1 321.345 1.295 20 0 DDADMM COc1ccc(CNC(=O)C(=O)c2ccc([O-])cc2)cc1O ZINC000436528468 533576868 /nfs/dbraw/zinc/57/68/68/533576868.db2.gz HPNQPBILQDPBAQ-UHFFFAOYSA-N -1 1 301.298 1.606 20 0 DDADMM CCc1nnc(Oc2ccc([N-]S(C)(=O)=O)nc2)nc1CC ZINC000568714529 304306985 /nfs/dbraw/zinc/30/69/85/304306985.db2.gz ZMGQZAWOSHHKAP-UHFFFAOYSA-N -1 1 323.378 1.555 20 0 DDADMM C[C@H](O)CN1Cc2cccc(Br)c2C[C@H]1C(=O)[O-] ZINC000568893441 304320884 /nfs/dbraw/zinc/32/08/84/304320884.db2.gz KWWLBKKPVNYYGN-UFBFGSQYSA-N -1 1 314.179 1.641 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@@H]1c1cn[nH]c1 ZINC000631531985 422815692 /nfs/dbraw/zinc/81/56/92/422815692.db2.gz SDBZAVXHIOSJAC-CYBMUJFWSA-N -1 1 309.329 1.624 20 0 DDADMM Cc1ccc([C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000353479171 285760511 /nfs/dbraw/zinc/76/05/11/285760511.db2.gz GZHOKWVZBQMOHT-LLVKDONJSA-N -1 1 311.345 1.260 20 0 DDADMM CC[C@]([N-]S(=O)(=O)c1cccc(F)c1F)(C(N)=O)C(C)C ZINC000181534054 288423970 /nfs/dbraw/zinc/42/39/70/288423970.db2.gz WTAMOAJYMMOBFZ-CYBMUJFWSA-N -1 1 320.361 1.533 20 0 DDADMM O=C(c1ncccc1[O-])N1CCO[C@H](COc2ccccc2)C1 ZINC000360561846 299689871 /nfs/dbraw/zinc/68/98/71/299689871.db2.gz OFXMSPOKEBJAPO-AWEZNQCLSA-N -1 1 314.341 1.707 20 0 DDADMM COC(=O)[C@@H](NCc1ncccc1[O-])c1ccc(OC)cc1 ZINC000569508919 304359605 /nfs/dbraw/zinc/35/96/05/304359605.db2.gz UPDFYVAUYZKTGV-HNNXBMFYSA-N -1 1 302.330 1.800 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1c(C)cccc1O ZINC000569643595 304370864 /nfs/dbraw/zinc/37/08/64/304370864.db2.gz OPVCFERXBRFEKM-UHFFFAOYSA-N -1 1 301.364 1.642 20 0 DDADMM CCO[C@H]1C[C@H]1C(=O)N1CCC(c2n[n-]c(=S)n2C)CC1 ZINC000068427836 406641104 /nfs/dbraw/zinc/64/11/04/406641104.db2.gz KANQTERPOLXNAQ-MNOVXSKESA-N -1 1 310.423 1.609 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCNC(=O)CC1 ZINC000068465475 406643594 /nfs/dbraw/zinc/64/35/94/406643594.db2.gz RSPFAEAJDVBVCJ-UHFFFAOYSA-N -1 1 313.151 1.117 20 0 DDADMM COc1cccc(CN2CC[C@@](C(=O)[O-])(C(F)(F)F)C2)n1 ZINC000070094205 406807923 /nfs/dbraw/zinc/80/79/23/406807923.db2.gz KQFBBALQKHNSEE-GFCCVEGCSA-N -1 1 304.268 1.929 20 0 DDADMM COC[C@H](O)C[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000071270076 406836479 /nfs/dbraw/zinc/83/64/79/406836479.db2.gz GJQBKFIYNXNQQB-RXMQYKEDSA-N -1 1 320.219 1.341 20 0 DDADMM Cc1cccc(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)c1 ZINC000074162125 406904260 /nfs/dbraw/zinc/90/42/60/406904260.db2.gz QCDWFGLIMMPTEV-UHFFFAOYSA-N -1 1 310.357 1.878 20 0 DDADMM COc1ccc(NC(=O)CSC)cc1[N-]S(C)(=O)=O ZINC000029024205 406920659 /nfs/dbraw/zinc/92/06/59/406920659.db2.gz NCCNFZSATDBJJD-UHFFFAOYSA-N -1 1 304.393 1.368 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C)CC[C@H]2C)o1 ZINC000075286862 406928800 /nfs/dbraw/zinc/92/88/00/406928800.db2.gz PENOVYLARBXADA-VHSXEESVSA-N -1 1 300.380 1.448 20 0 DDADMM CC(=O)NCCCNC(=O)c1cc(Br)ccc1[O-] ZINC000031241874 406938233 /nfs/dbraw/zinc/93/82/33/406938233.db2.gz JQGCPXPZAZWGHY-UHFFFAOYSA-N -1 1 315.167 1.411 20 0 DDADMM O=C(CO)Nc1cccc([N-]S(=O)(=O)c2ccccc2)c1 ZINC000078469883 407029836 /nfs/dbraw/zinc/02/98/36/407029836.db2.gz OQUAJHNXTIVKII-UHFFFAOYSA-N -1 1 306.343 1.418 20 0 DDADMM Cc1cccc(C(C)C)c1NC(=O)CS(=O)(=O)c1ncn[n-]1 ZINC000086169753 407109288 /nfs/dbraw/zinc/10/92/88/407109288.db2.gz BBMSSXJCVVISPF-UHFFFAOYSA-N -1 1 322.390 1.649 20 0 DDADMM Cc1cccc(C(C)C)c1NC(=O)CS(=O)(=O)c1nc[n-]n1 ZINC000086169753 407109291 /nfs/dbraw/zinc/10/92/91/407109291.db2.gz BBMSSXJCVVISPF-UHFFFAOYSA-N -1 1 322.390 1.649 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@H]1O)c1ccc(F)c(F)c1F ZINC000089166239 407126124 /nfs/dbraw/zinc/12/61/24/407126124.db2.gz AASQSRYYLBSMHW-IONNQARKSA-N -1 1 309.309 1.543 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@@H]1O)c1ccc(F)c(F)c1F ZINC000052005687 407146633 /nfs/dbraw/zinc/14/66/33/407146633.db2.gz JIWUPTBOQRPJOQ-IUCAKERBSA-N -1 1 309.309 1.686 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc(Cl)cc2F)n1 ZINC000064347923 407238957 /nfs/dbraw/zinc/23/89/57/407238957.db2.gz ALBUNQXCRPDBPB-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2ccc(Cl)cc2F)[n-]1 ZINC000064347923 407238960 /nfs/dbraw/zinc/23/89/60/407238960.db2.gz ALBUNQXCRPDBPB-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM COCCn1ccc(NC(=O)c2cc(-c3ccco3)n[nH]2)n1 ZINC000101530998 407310187 /nfs/dbraw/zinc/31/01/87/407310187.db2.gz LHHQCWIGJRMYDS-UHFFFAOYSA-N -1 1 301.306 1.765 20 0 DDADMM NC(=O)C[C@@H]1CCCCN1Cc1nc(=O)c2sccc2[n-]1 ZINC000103471785 407340861 /nfs/dbraw/zinc/34/08/61/407340861.db2.gz WMZHABBLSWBWAE-VIFPVBQESA-N -1 1 306.391 1.215 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)C1CCCCC1 ZINC000103937099 407344180 /nfs/dbraw/zinc/34/41/80/407344180.db2.gz KBXHERQRVQRIBI-UHFFFAOYSA-N -1 1 316.405 1.973 20 0 DDADMM Cc1ccc(CNC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)cc1 ZINC000103938762 407344560 /nfs/dbraw/zinc/34/45/60/407344560.db2.gz YTMXSTFULGPMKV-UHFFFAOYSA-N -1 1 324.384 1.807 20 0 DDADMM Cc1cccc(OCCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000124522172 407360729 /nfs/dbraw/zinc/36/07/29/407360729.db2.gz IKVDMONRLFEYJL-GFCCVEGCSA-N -1 1 303.366 1.539 20 0 DDADMM CC[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@H](C)O1 ZINC000111422244 407410101 /nfs/dbraw/zinc/41/01/01/407410101.db2.gz QPDGDTIASSJBCT-WDEREUQCSA-N -1 1 301.346 1.563 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2coc3ccccc23)n1 ZINC000113421865 407501848 /nfs/dbraw/zinc/50/18/48/407501848.db2.gz IAEXFHLHTJASSX-UHFFFAOYSA-N -1 1 306.303 1.207 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2coc3ccccc23)[n-]1 ZINC000113421865 407501854 /nfs/dbraw/zinc/50/18/54/407501854.db2.gz IAEXFHLHTJASSX-UHFFFAOYSA-N -1 1 306.303 1.207 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N[C@@H]1CCC[C@H]1O)c2=O ZINC000178608371 407507464 /nfs/dbraw/zinc/50/74/64/407507464.db2.gz MFLNDPBABCGJQB-TZMCWYRMSA-N -1 1 302.330 1.592 20 0 DDADMM C[C@@H]1C[C@@H](C[N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000271249438 407596755 /nfs/dbraw/zinc/59/67/55/407596755.db2.gz HHWKYPMPWVIJBL-BDAKNGLRSA-N -1 1 307.803 1.167 20 0 DDADMM O=C(NCCCc1ccccn1)c1nc2ccccc2c(=O)[n-]1 ZINC000178806931 407608181 /nfs/dbraw/zinc/60/81/81/407608181.db2.gz NXWLHEOOSYEEIQ-UHFFFAOYSA-N -1 1 308.341 1.681 20 0 DDADMM Cc1ccc(O[C@@H](C)C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000129478160 407613683 /nfs/dbraw/zinc/61/36/83/407613683.db2.gz BIYRKIAOWOLWLN-STQMWFEESA-N -1 1 315.377 1.682 20 0 DDADMM C[C@@H](O)[C@@H]1CCN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000227523222 407631303 /nfs/dbraw/zinc/63/13/03/407631303.db2.gz ITKCNHCIFABSCZ-RKDXNWHRSA-N -1 1 314.179 1.998 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)C2CCCCC2)CC1 ZINC000171278151 407645553 /nfs/dbraw/zinc/64/55/53/407645553.db2.gz WGTIYWMKHUSLRC-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-]c1ccc2n[nH]nc2c1 ZINC000130771707 407706644 /nfs/dbraw/zinc/70/66/44/407706644.db2.gz BMERXMNQMPXPPP-UHFFFAOYSA-N -1 1 310.360 1.829 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-]c1ccc2nn[nH]c2c1 ZINC000130771707 407706650 /nfs/dbraw/zinc/70/66/50/407706650.db2.gz BMERXMNQMPXPPP-UHFFFAOYSA-N -1 1 310.360 1.829 20 0 DDADMM CC(=O)N1CCc2ccc(S(=O)(=O)[N-]c3ccncc3)cc21 ZINC000115927540 407713657 /nfs/dbraw/zinc/71/36/57/407713657.db2.gz HIDMBOKYAVXHIF-UHFFFAOYSA-N -1 1 317.370 1.791 20 0 DDADMM COc1ccc(NC(=O)N(C)OC)cc1[N-]S(C)(=O)=O ZINC000272032638 407748349 /nfs/dbraw/zinc/74/83/49/407748349.db2.gz NDCLLUIMCUHLSE-UHFFFAOYSA-N -1 1 303.340 1.092 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccc(F)cc1)c1ncccc1[O-] ZINC000267217176 407793359 /nfs/dbraw/zinc/79/33/59/407793359.db2.gz NOAAIOHRIGTSCY-LBPRGKRZSA-N -1 1 304.321 1.507 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCC1(O)CCCCCC1)c2=O ZINC000179506729 407798977 /nfs/dbraw/zinc/79/89/77/407798977.db2.gz TWKYGPDRBLYBKV-UHFFFAOYSA-N -1 1 318.377 1.489 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)CCOCC1CC1 ZINC000268058740 407871512 /nfs/dbraw/zinc/87/15/12/407871512.db2.gz STLJPVHLMDKAHS-UHFFFAOYSA-N -1 1 311.407 1.711 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cnn(CC3CC3)c2)o1 ZINC000173337032 407876552 /nfs/dbraw/zinc/87/65/52/407876552.db2.gz BAGCSNQYGYMOAM-UHFFFAOYSA-N -1 1 324.362 1.047 20 0 DDADMM CCN(CC)S(=O)(=O)[N-]c1c[nH]cc(Br)c1=O ZINC000272373588 407889975 /nfs/dbraw/zinc/88/99/75/407889975.db2.gz AHIKTEOHKCPCHT-UHFFFAOYSA-N -1 1 324.200 1.548 20 0 DDADMM CCNC(=O)CN(CC)C(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000134232656 407890957 /nfs/dbraw/zinc/89/09/57/407890957.db2.gz GCCPXCNMAJXEJX-UHFFFAOYSA-N -1 1 316.361 1.242 20 0 DDADMM CCNC(=O)CN(CC)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000134232656 407890969 /nfs/dbraw/zinc/89/09/69/407890969.db2.gz GCCPXCNMAJXEJX-UHFFFAOYSA-N -1 1 316.361 1.242 20 0 DDADMM COc1ccc(CCC(=O)N2CCN(CCC(=O)[O-])CC2)cc1 ZINC000262475121 407846347 /nfs/dbraw/zinc/84/63/47/407846347.db2.gz JNPRDJSYMVPNIL-UHFFFAOYSA-N -1 1 320.389 1.247 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC(=O)NCC1CC1 ZINC000272502843 407950548 /nfs/dbraw/zinc/95/05/48/407950548.db2.gz PNOSMUZATFUKOW-UHFFFAOYSA-N -1 1 320.393 1.044 20 0 DDADMM CC[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000154059143 407961458 /nfs/dbraw/zinc/96/14/58/407961458.db2.gz XALRVQDJYQOPRZ-KOLCDFICSA-N -1 1 301.364 1.923 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])CN(C(=O)NCc2ccc3cncn3c2)C1 ZINC000263104632 408027026 /nfs/dbraw/zinc/02/70/26/408027026.db2.gz BFDAJGJIRHTJEK-WCQYABFASA-N -1 1 316.361 1.587 20 0 DDADMM COCc1cccc([N-]S(=O)(=O)c2ccc(C(N)=O)cc2)c1 ZINC000075581238 161613109 /nfs/dbraw/zinc/61/31/09/161613109.db2.gz FMNPCSFUYYUVAN-UHFFFAOYSA-N -1 1 320.370 1.733 20 0 DDADMM CCCNC(=O)[C@H]1CCC[N@@H+](Cc2nc(CC)cc(=O)[nH]2)C1 ZINC000119356414 408047918 /nfs/dbraw/zinc/04/79/18/408047918.db2.gz ARTFLXOECXZXKS-LBPRGKRZSA-N -1 1 306.410 1.483 20 0 DDADMM COCCC1(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCC1 ZINC000119351238 408048467 /nfs/dbraw/zinc/04/84/67/408048467.db2.gz QFKYKCDQHDABQT-UHFFFAOYSA-N -1 1 318.377 1.611 20 0 DDADMM C[S@](=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCCO2)cc1 ZINC000174741170 407987479 /nfs/dbraw/zinc/98/74/79/407987479.db2.gz ZBARLEOPKVIWDC-BLVKFPJESA-N -1 1 317.432 1.735 20 0 DDADMM CN1C(=O)C[C@H](C(=O)Nc2nnn[n-]2)[C@H]1c1cccc(Cl)c1 ZINC000135465124 408017431 /nfs/dbraw/zinc/01/74/31/408017431.db2.gz CPILWACUPWEBKT-GXSJLCMTSA-N -1 1 320.740 1.011 20 0 DDADMM CN1C(=O)C[C@H](C(=O)Nc2nn[n-]n2)[C@H]1c1cccc(Cl)c1 ZINC000135465124 408017439 /nfs/dbraw/zinc/01/74/39/408017439.db2.gz CPILWACUPWEBKT-GXSJLCMTSA-N -1 1 320.740 1.011 20 0 DDADMM CCc1ncsc1NC(=O)c1cnc2n(C)[n-]cc-2c1=O ZINC000119406646 408062545 /nfs/dbraw/zinc/06/25/45/408062545.db2.gz HYUDDDWNZQZJSW-UHFFFAOYSA-N -1 1 303.347 1.945 20 0 DDADMM CN(Cc1ccccc1)C(=O)CNC(=O)c1cc(F)ccc1[O-] ZINC000175201036 408077655 /nfs/dbraw/zinc/07/76/55/408077655.db2.gz UOJVOOSXGIABIL-UHFFFAOYSA-N -1 1 316.332 1.920 20 0 DDADMM C[C@H](N1CCN(C(=O)c2ncccc2[O-])CC1)C(F)(F)F ZINC000175428123 408126647 /nfs/dbraw/zinc/12/66/47/408126647.db2.gz YMKHATBSXUSPNT-VIFPVBQESA-N -1 1 303.284 1.496 20 0 DDADMM C[C@@H](N1CCN(C(=O)c2cncc([O-])c2)CC1)C(F)(F)F ZINC000175427904 408126688 /nfs/dbraw/zinc/12/66/88/408126688.db2.gz CBFDVPAVYNBMMT-SECBINFHSA-N -1 1 303.284 1.496 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H]3CCCC[C@@H]3C2)co1 ZINC000155323017 408153329 /nfs/dbraw/zinc/15/33/29/408153329.db2.gz RBARNJJXXHWZRT-PHIMTYICSA-N -1 1 312.391 1.450 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@H]1CO)c1cc(F)c(F)cc1F ZINC000269287975 408254553 /nfs/dbraw/zinc/25/45/53/408254553.db2.gz JFYPGVLIAOFKTG-QPUJVOFHSA-N -1 1 323.336 1.933 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1CC[C@H](C)C1 ZINC000263682058 408204283 /nfs/dbraw/zinc/20/42/83/408204283.db2.gz HEAXMOULUXPLER-NSHDSACASA-N -1 1 312.373 1.964 20 0 DDADMM CC[C@@H](C)[C@H](C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000182974153 408298523 /nfs/dbraw/zinc/29/85/23/408298523.db2.gz FZDHWGOGHKNMMK-BDAKNGLRSA-N -1 1 300.380 1.660 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cnn(C)c2C(F)(F)F)n[n-]1 ZINC000131135936 162005302 /nfs/dbraw/zinc/00/53/02/162005302.db2.gz AOXDOQPQGQCBPI-UHFFFAOYSA-N -1 1 323.300 1.093 20 0 DDADMM C[S@](=O)CCC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000132496566 162037587 /nfs/dbraw/zinc/03/75/87/162037587.db2.gz SJHPJIUWUFDCQS-QGZVFWFLSA-N -1 1 313.803 1.526 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@@H]1O)c1cc(F)c(F)cc1F ZINC000269656668 408380957 /nfs/dbraw/zinc/38/09/57/408380957.db2.gz AMOOZTCAFZDKBQ-MNOVXSKESA-N -1 1 309.309 1.686 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1C[C@@H]1c1cccc(F)c1F)c1nn[n-]n1 ZINC000183453284 408417345 /nfs/dbraw/zinc/41/73/45/408417345.db2.gz QPKFIGPPEXGBJM-JMJZKYOTSA-N -1 1 321.331 1.844 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc2c(c1)c(C)nn2C)c1nn[n-]n1 ZINC000176751638 408433103 /nfs/dbraw/zinc/43/31/03/408433103.db2.gz KBYMNEAUBGXPAC-LLVKDONJSA-N -1 1 314.353 1.061 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1c(C)nn(C)c1Cl)c1nn[n-]n1 ZINC000176761309 408434302 /nfs/dbraw/zinc/43/43/02/408434302.db2.gz NZTNXTQRSLQLEL-FGEFZZPRSA-N -1 1 323.788 1.566 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CC(=O)Nc2ccccc21)c1nn[n-]n1 ZINC000176756098 408435516 /nfs/dbraw/zinc/43/55/16/408435516.db2.gz BJBLGAPTOURUNK-CMPLNLGQSA-N -1 1 314.349 1.283 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1ccc2[nH]ccc2c1)c1nn[n-]n1 ZINC000274727549 408512097 /nfs/dbraw/zinc/51/20/97/408512097.db2.gz BVWJZTNADSYRSN-MALLOTDXSA-N -1 1 310.361 1.956 20 0 DDADMM C[C@H](CN(C)C(=O)[C@](C)(O)[C@H](C)c1ccccc1)c1nn[n-]n1 ZINC000274784415 408531942 /nfs/dbraw/zinc/53/19/42/408531942.db2.gz OMRMGGDQXBQWSB-XHBSWPGZSA-N -1 1 317.393 1.316 20 0 DDADMM C[C@]1(O)CCN(C(=O)c2ccc(Br)cc2[O-])C1 ZINC000177394425 408599748 /nfs/dbraw/zinc/59/97/48/408599748.db2.gz HBZUKAQGRLONFM-LBPRGKRZSA-N -1 1 300.152 1.752 20 0 DDADMM Cc1c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)sc2nccn12 ZINC000274789534 408533339 /nfs/dbraw/zinc/53/33/39/408533339.db2.gz PTSRCFYBGIDIOP-SSDOTTSWSA-N -1 1 305.367 1.093 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)c1ccccc1 ZINC000265343467 408618238 /nfs/dbraw/zinc/61/82/38/408618238.db2.gz PBLLZEDOWMFRPG-ZDUSSCGKSA-N -1 1 324.358 1.317 20 0 DDADMM C[C@](NC(=O)c1c([O-])cccc1F)(C(N)=O)c1ccccc1 ZINC000184490894 408626320 /nfs/dbraw/zinc/62/63/20/408626320.db2.gz OHQBSNURBQSUGH-MRXNPFEDSA-N -1 1 302.305 1.662 20 0 DDADMM Cc1ccc(S(=O)(=O)N(C)CCN(C)C)c(C)c1C(=O)[O-] ZINC000184903227 408713578 /nfs/dbraw/zinc/71/35/78/408713578.db2.gz RKMRDSBWAXHVHQ-UHFFFAOYSA-N -1 1 314.407 1.184 20 0 DDADMM C[C@@]1(C(=O)[O-])CCC[C@H]1NS(=O)(=O)c1c(F)cccc1F ZINC000164849076 408804372 /nfs/dbraw/zinc/80/43/72/408804372.db2.gz VFQBYHRSWTVIRQ-ZWNOBZJWSA-N -1 1 319.329 1.887 20 0 DDADMM Cn1cc(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)c(C2CC2)n1 ZINC000291498847 408875497 /nfs/dbraw/zinc/87/54/97/408875497.db2.gz NKBRWYOQZIIDQH-WQRHYEAKSA-N -1 1 311.349 1.597 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN(c2cnccn2)CC1 ZINC000280836124 408844014 /nfs/dbraw/zinc/84/40/14/408844014.db2.gz UIFXEUUWNWAVQD-UHFFFAOYSA-N -1 1 320.299 1.423 20 0 DDADMM CNc1nc(C)cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)n1 ZINC000280858683 408847972 /nfs/dbraw/zinc/84/79/72/408847972.db2.gz WUWZDFVZFKBKTH-UHFFFAOYSA-N -1 1 301.232 1.216 20 0 DDADMM O=C(CCNC(=O)C(=O)c1ccc([O-])cc1)NC1CCCCC1 ZINC000280887844 408853535 /nfs/dbraw/zinc/85/35/35/408853535.db2.gz ZFHAUXKPLWDYBA-UHFFFAOYSA-N -1 1 318.373 1.530 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)Oc1ccc(Cl)cc1)c1nn[n-]n1 ZINC000277262502 408908352 /nfs/dbraw/zinc/90/83/52/408908352.db2.gz GGKBGXSVTIVKIB-UWVGGRQHSA-N -1 1 323.784 1.883 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ncn(C(C)(C)C)n2)c1 ZINC000291568692 408886819 /nfs/dbraw/zinc/88/68/19/408886819.db2.gz XQTSHODNCQKBQD-UHFFFAOYSA-N -1 1 318.333 1.778 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2cccc3c2OC(C)(C)C3)no1 ZINC000192637390 163230744 /nfs/dbraw/zinc/23/07/44/163230744.db2.gz NUMHBKOGJKOVLD-UHFFFAOYSA-N -1 1 323.374 1.570 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC(N2CCNC2=O)CC1 ZINC000193381118 163253944 /nfs/dbraw/zinc/25/39/44/163253944.db2.gz KDYUPDJVCURANB-UHFFFAOYSA-N -1 1 323.780 1.675 20 0 DDADMM Cc1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])cc1C ZINC000194027085 163274164 /nfs/dbraw/zinc/27/41/64/163274164.db2.gz UIXYGOONHZSQRY-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)(F)F)c1cccc(Cl)c1F ZINC000228545688 163341267 /nfs/dbraw/zinc/34/12/67/163341267.db2.gz UPFRHCNRJIMBBQ-ZETCQYMHSA-N -1 1 321.679 1.681 20 0 DDADMM CN(CCO)C(=O)c1ccc(I)c([O-])c1 ZINC000230888299 163348536 /nfs/dbraw/zinc/34/85/36/163348536.db2.gz HURPSNGPUBEMTM-UHFFFAOYSA-N -1 1 321.114 1.061 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)c3ccco3)CC2)n1 ZINC000277876429 409028546 /nfs/dbraw/zinc/02/85/46/409028546.db2.gz YXRIUHUTVNCLRK-UHFFFAOYSA-N -1 1 318.333 1.594 20 0 DDADMM COCC1(CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCC1 ZINC000287513982 409048103 /nfs/dbraw/zinc/04/81/03/409048103.db2.gz HUFJFGVUPWKONV-UNOMPAQXSA-N -1 1 303.366 1.280 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3[C@@H]4CCO[C@H]4C3(C)C)ccnc1-2 ZINC000279266979 409182318 /nfs/dbraw/zinc/18/23/18/409182318.db2.gz JDAFQJKSDOSLHL-UVZJUXJKSA-N -1 1 315.377 1.277 20 0 DDADMM C[C@H]1OCC[C@]12CN(C(=O)c1c(F)ccc([O-])c1F)CCO2 ZINC000289330321 409249815 /nfs/dbraw/zinc/24/98/15/409249815.db2.gz MBTDROBQJLJZDT-PSLIRLAXSA-N -1 1 313.300 1.690 20 0 DDADMM COCC1([N-]S(=O)(=O)c2c(C)nn(C)c2Cl)CCC1 ZINC000284010029 409256805 /nfs/dbraw/zinc/25/68/05/409256805.db2.gz PWOFJPGIRAKVLQ-UHFFFAOYSA-N -1 1 307.803 1.229 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2nc(C)oc2c1)c1nn[n-]n1 ZINC000280329607 409293372 /nfs/dbraw/zinc/29/33/72/409293372.db2.gz JBPYWHAVBFAFIE-NSHDSACASA-N -1 1 300.322 1.921 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)c1ncn(C)n1 ZINC000284276706 409309370 /nfs/dbraw/zinc/30/93/70/409309370.db2.gz LTDGWTLQSXHNAQ-ZETCQYMHSA-N -1 1 318.761 1.647 20 0 DDADMM Cc1ncsc1CS(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000290490805 409421757 /nfs/dbraw/zinc/42/17/57/409421757.db2.gz GXEKCBKCEFJRME-UHFFFAOYSA-N -1 1 300.409 1.841 20 0 DDADMM CC(C)(C(N)=O)N1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000295413972 409472807 /nfs/dbraw/zinc/47/28/07/409472807.db2.gz RVEOKMXOPMOXHM-UHFFFAOYSA-N -1 1 311.813 1.427 20 0 DDADMM Cc1ccn(-c2ccc(C(=O)NC3(c4nn[n-]n4)CC3)cc2)n1 ZINC000357053547 164137946 /nfs/dbraw/zinc/13/79/46/164137946.db2.gz SQCMHVASXZFFIJ-UHFFFAOYSA-N -1 1 309.333 1.113 20 0 DDADMM O=C([C@H]1CCc2c[nH]nc2C1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000407998920 164193367 /nfs/dbraw/zinc/19/33/67/164193367.db2.gz SYUFCTXNQIXAEW-GXSJLCMTSA-N -1 1 317.349 1.009 20 0 DDADMM C[C@]1(O)CCOC[C@H]1[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000408064882 164214733 /nfs/dbraw/zinc/21/47/33/164214733.db2.gz MBVHXFPJAYDJHA-MKBNYLNASA-N -1 1 306.362 1.179 20 0 DDADMM COC(=O)c1nscc1[N-]S(=O)(=O)N1CCC[C@H](C)C1 ZINC000408339640 164300801 /nfs/dbraw/zinc/30/08/01/164300801.db2.gz LNRWZGUQJMLBQQ-QMMMGPOBSA-N -1 1 319.408 1.318 20 0 DDADMM COc1cc(C(=O)N2C[C@@H]3CC[C@@H](O)[C@H]3C2)cc(Cl)c1[O-] ZINC000408429344 164329206 /nfs/dbraw/zinc/32/92/06/164329206.db2.gz JVYJIPSTSWALPZ-PTOFAABTSA-N -1 1 311.765 1.897 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC(C(C)(C)O)C1 ZINC000408441559 164332608 /nfs/dbraw/zinc/33/26/08/164332608.db2.gz IHHULUPQLGOSII-UHFFFAOYSA-N -1 1 307.394 1.631 20 0 DDADMM C[C@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000285569669 409491862 /nfs/dbraw/zinc/49/18/62/409491862.db2.gz KENHAZKOPUNESS-VHSXEESVSA-N -1 1 305.346 1.916 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2nncn2C2CC2)c1 ZINC000285586302 409500124 /nfs/dbraw/zinc/50/01/24/409500124.db2.gz BCONXZTZUZQOCL-UHFFFAOYSA-N -1 1 301.306 1.529 20 0 DDADMM C[C@@H]1CCC[C@H](C)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000337893865 409547992 /nfs/dbraw/zinc/54/79/92/409547992.db2.gz BTACBTOMRNLBRQ-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM CN(C)S(=O)(=O)CCCSc1nc(C2CC2)cc(=O)[n-]1 ZINC000331498521 409572428 /nfs/dbraw/zinc/57/24/28/409572428.db2.gz PPPMSKIPSDJORD-UHFFFAOYSA-N -1 1 317.436 1.433 20 0 DDADMM CCCN(CC(F)F)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337947308 409593522 /nfs/dbraw/zinc/59/35/22/409593522.db2.gz PYHSQDVJYLVWLY-UHFFFAOYSA-N -1 1 301.293 1.021 20 0 DDADMM CC[C@@H](C)[C@H](O)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000305060054 409666085 /nfs/dbraw/zinc/66/60/85/409666085.db2.gz KRVCFFPJPYHDHO-MWLCHTKSSA-N -1 1 309.410 1.925 20 0 DDADMM CSc1n[nH]c(NC(=O)c2ccc(-n3cncn3)cc2)n1 ZINC000354120617 409671664 /nfs/dbraw/zinc/67/16/64/409671664.db2.gz UMPSNHNVYFWNMV-UHFFFAOYSA-N -1 1 301.335 1.360 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H]2C[C@H]2C(F)F)c(=O)[n-]1 ZINC000345530854 409682650 /nfs/dbraw/zinc/68/26/50/409682650.db2.gz MIQDJAWVNYJUEG-BDAKNGLRSA-N -1 1 317.361 1.915 20 0 DDADMM C[C@@]1(CO)CCC[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000305121350 409690017 /nfs/dbraw/zinc/69/00/17/409690017.db2.gz MQUSTAGOIHWNDX-STQMWFEESA-N -1 1 323.336 1.933 20 0 DDADMM CC[C@@H]1CCC[C@@H]1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331643394 409727985 /nfs/dbraw/zinc/72/79/85/409727985.db2.gz JPFVHCDWBPYIBN-MNOVXSKESA-N -1 1 324.454 1.361 20 0 DDADMM CC(C)(C)C(C)(C)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000342603126 409755340 /nfs/dbraw/zinc/75/53/40/409755340.db2.gz VEHVJBZVAMGLHO-UHFFFAOYSA-N -1 1 311.455 1.672 20 0 DDADMM O=C(Nc1ccc(-n2nn[n-]c2=O)cc1)c1cccc2nc[nH]c21 ZINC000356977863 409759090 /nfs/dbraw/zinc/75/90/90/409759090.db2.gz JZBQYSIFDMLLNE-UHFFFAOYSA-N -1 1 321.300 1.497 20 0 DDADMM Cc1cc(COCC(=O)Nc2nc(-c3ccccn3)n[nH]2)on1 ZINC000338158606 409759356 /nfs/dbraw/zinc/75/93/56/409759356.db2.gz JLGSLGFAYPCXSH-UHFFFAOYSA-N -1 1 314.305 1.318 20 0 DDADMM CN(C(=O)c1cc(F)c(F)c([O-])c1F)[C@@H]1CN2CCC1CC2 ZINC000349145261 409717897 /nfs/dbraw/zinc/71/78/97/409717897.db2.gz DSUTZMGMBNEFBY-LLVKDONJSA-N -1 1 314.307 1.976 20 0 DDADMM C[C@H](NC(=O)c1c(F)ccc([O-])c1F)C1(S(C)(=O)=O)CC1 ZINC000342698924 409823971 /nfs/dbraw/zinc/82/39/71/409823971.db2.gz NOUNYKHJWOPBMY-ZETCQYMHSA-N -1 1 319.329 1.366 20 0 DDADMM CCO[C@H](C)c1ncc(C(=O)NC2(c3nn[n-]n3)CC2)s1 ZINC000357077661 409845076 /nfs/dbraw/zinc/84/50/76/409845076.db2.gz XAQKKXAPRWPHHW-SSDOTTSWSA-N -1 1 308.367 1.173 20 0 DDADMM O=C(NCc1ccn(Cc2ccccc2)n1)c1ncccc1[O-] ZINC000357011030 409788901 /nfs/dbraw/zinc/78/89/01/409788901.db2.gz XIZRCLSJGOVRSW-UHFFFAOYSA-N -1 1 308.341 1.962 20 0 DDADMM COc1ccc(C[C@@H](C)C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357044198 409815927 /nfs/dbraw/zinc/81/59/27/409815927.db2.gz IOCIQAVVWGWUTN-SNVBAGLBSA-N -1 1 301.350 1.192 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1c(F)c(F)cc(F)c1F ZINC000357050233 409819544 /nfs/dbraw/zinc/81/95/44/409819544.db2.gz NZOMCUXFWXMIMK-UHFFFAOYSA-N -1 1 301.203 1.175 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C[C@@H](C)OC)C(C)C)o1 ZINC000354454673 409867940 /nfs/dbraw/zinc/86/79/40/409867940.db2.gz ABFJDRZOWZIZQK-SNVBAGLBSA-N -1 1 318.395 1.073 20 0 DDADMM C[C@H](CCc1cccc(F)c1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354748872 410058849 /nfs/dbraw/zinc/05/88/49/410058849.db2.gz CWSPBRUMPXYYKC-SNVBAGLBSA-N -1 1 305.357 1.959 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc(=O)[nH]1 ZINC000332334586 410074311 /nfs/dbraw/zinc/07/43/11/410074311.db2.gz PWXBJOHDNAEWIE-VIFPVBQESA-N -1 1 318.333 1.458 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CCC(=O)Nc1cn[nH]c1 ZINC000357563046 410103114 /nfs/dbraw/zinc/10/31/14/410103114.db2.gz BMSSZGLMRLPDQF-UHFFFAOYSA-N -1 1 324.344 1.847 20 0 DDADMM CO[C@H]1CCC[C@@H]1CN=c1ccc(C(=O)NC2CCCC2)n[n-]1 ZINC000329146855 410128053 /nfs/dbraw/zinc/12/80/53/410128053.db2.gz ASMSNLVVGFECHN-DOMZBBRYSA-N -1 1 318.421 1.798 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2cc3c(s2)CCC3)C1=O ZINC000298210797 410136360 /nfs/dbraw/zinc/13/63/60/410136360.db2.gz KYBBAPHZGSJCGH-AWEZNQCLSA-N -1 1 307.375 1.602 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cc(Cl)ccc1O ZINC000343147181 410185189 /nfs/dbraw/zinc/18/51/89/410185189.db2.gz BIFQIJQURLUVDY-UHFFFAOYSA-N -1 1 321.720 1.515 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cnc(C)s2)co1 ZINC000339481524 410222181 /nfs/dbraw/zinc/22/21/81/410222181.db2.gz OLBCJOCBMZFGBA-UHFFFAOYSA-N -1 1 301.349 1.205 20 0 DDADMM Cn1[n-]c(CN2CC[N@@H+](Cc3ccccc3)C(C)(C)C2)nc1=O ZINC000329637744 410395494 /nfs/dbraw/zinc/39/54/94/410395494.db2.gz YNBVFBUOGBFPCF-UHFFFAOYSA-N -1 1 315.421 1.205 20 0 DDADMM CCn1cnc(S(=O)(=O)NC[C@H]2CCCC[N@@H+]2C2CC2)c1 ZINC000333185900 410412801 /nfs/dbraw/zinc/41/28/01/410412801.db2.gz UFHKEEDUICWSAP-CYBMUJFWSA-N -1 1 312.439 1.198 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H](C)c1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000358388681 410457685 /nfs/dbraw/zinc/45/76/85/410457685.db2.gz LJWGOTFIPQJSCT-IUCAKERBSA-N -1 1 309.320 1.844 20 0 DDADMM [O-]C(=Cc1cccc(O)c1)Nc1nc(-c2cccnc2)ns1 ZINC000339729429 410424864 /nfs/dbraw/zinc/42/48/64/410424864.db2.gz ZLQYFTHVDRHNQK-UHFFFAOYSA-N -1 1 312.354 1.909 20 0 DDADMM COCCOc1ccccc1/C=C/c1cc(=O)n2[n-]cnc2n1 ZINC000352027300 410428167 /nfs/dbraw/zinc/42/81/67/410428167.db2.gz OEVXDMKVADLIBH-VOTSOKGWSA-N -1 1 312.329 1.613 20 0 DDADMM CCOC(=O)c1ccc(/C=C/c2cc(=O)n3[n-]cnc3n2)o1 ZINC000352039625 410435008 /nfs/dbraw/zinc/43/50/08/410435008.db2.gz HSUZVSUZDROVCP-ONEGZZNKSA-N -1 1 300.274 1.358 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCC[C@@H]1CO)c2=O ZINC000298893367 410442452 /nfs/dbraw/zinc/44/24/52/410442452.db2.gz JMQAFCZPVCCWIM-SNVBAGLBSA-N -1 1 302.330 1.546 20 0 DDADMM COCCN(Cc1scnc1C)C(=O)c1cncc([O-])c1 ZINC000355569134 410584526 /nfs/dbraw/zinc/58/45/26/410584526.db2.gz PGPVKUNJLYPKNX-UHFFFAOYSA-N -1 1 307.375 1.841 20 0 DDADMM Cn1[n-]c(CN2CCc3cc(Br)ccc32)nc1=O ZINC000347496495 410586020 /nfs/dbraw/zinc/58/60/20/410586020.db2.gz ZNIHRBPCIUPRLN-UHFFFAOYSA-N -1 1 309.167 1.434 20 0 DDADMM CCc1cnc(N2CCN(C(=O)c3cncc([O-])c3)CC2)s1 ZINC000339896015 410537196 /nfs/dbraw/zinc/53/71/96/410537196.db2.gz MPJCJFDGFOANEN-UHFFFAOYSA-N -1 1 318.402 1.769 20 0 DDADMM CCO[C@@H](C)c1noc(CN(C)C(=O)c2cncc([O-])c2)n1 ZINC000339904120 410544391 /nfs/dbraw/zinc/54/43/91/410544391.db2.gz IECDSBJARHIELV-VIFPVBQESA-N -1 1 306.322 1.540 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C[C@H]3OCCC[C@@H]23)sc1C ZINC000333449740 410553488 /nfs/dbraw/zinc/55/34/88/410553488.db2.gz NJOUCDFFNYFPKI-HBNTYKKESA-N -1 1 302.421 1.606 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H]1CCO[C@@H](C(C)C)C1)c1nn[n-]n1 ZINC000359329101 410606588 /nfs/dbraw/zinc/60/65/88/410606588.db2.gz LNYJJYCHAVGXGK-FRRDWIJNSA-N -1 1 309.414 1.998 20 0 DDADMM CC(=O)NCC[C@H]1CCC[N@@H+]([C@@H](C)c2nc(C)cc(=O)[n-]2)C1 ZINC000347637004 410639852 /nfs/dbraw/zinc/63/98/52/410639852.db2.gz HCZCULABMBQBDV-GXTWGEPZSA-N -1 1 306.410 1.790 20 0 DDADMM COc1cncc(S(=O)(=O)[N-]c2ccnc(N(C)C)c2)c1 ZINC000337284144 410692350 /nfs/dbraw/zinc/69/23/50/410692350.db2.gz JIDSDCYIQSZQHN-UHFFFAOYSA-N -1 1 308.363 1.352 20 0 DDADMM COc1cncc(S(=O)(=O)[N-][C@H](C(C)C)C(F)(F)F)c1 ZINC000337321559 410714681 /nfs/dbraw/zinc/71/46/81/410714681.db2.gz ZKNHFIGTDPLSDH-SNVBAGLBSA-N -1 1 312.313 1.955 20 0 DDADMM CCCc1n[n-]c(=NC(=O)c2ccc(-c3nnc[nH]3)cc2)s1 ZINC000352989804 410722528 /nfs/dbraw/zinc/72/25/28/410722528.db2.gz ROWHGTQAOHVAPM-UHFFFAOYSA-N -1 1 314.374 1.950 20 0 DDADMM CC(C)C[C@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343844630 410743273 /nfs/dbraw/zinc/74/32/73/410743273.db2.gz FKKNENNLNUSUGM-CYBMUJFWSA-N -1 1 319.405 1.802 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)[C@@H]2CCCOC2)c1 ZINC000355761049 410680892 /nfs/dbraw/zinc/68/08/92/410680892.db2.gz OKBDGOUZZGTJDD-NXEZZACHSA-N -1 1 317.363 1.160 20 0 DDADMM CS[C@H](C)CCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000347725643 410688878 /nfs/dbraw/zinc/68/88/78/410688878.db2.gz VAPVXRWKTDGVIV-MRVPVSSYSA-N -1 1 318.420 1.510 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1CC[C@H]1C ZINC000343937471 410828360 /nfs/dbraw/zinc/82/83/60/410828360.db2.gz YPHSSLZMJLDHNY-RNCFNFMXSA-N -1 1 302.378 1.899 20 0 DDADMM O=C(Cc1ccc(OCc2ccccn2)cc1)Nc1nnn[n-]1 ZINC000337529864 410846429 /nfs/dbraw/zinc/84/64/29/410846429.db2.gz CMIWBAUEFIEAQW-UHFFFAOYSA-N -1 1 310.317 1.355 20 0 DDADMM O=C(Cc1ccc(OCc2ccccn2)cc1)Nc1nn[n-]n1 ZINC000337529864 410846435 /nfs/dbraw/zinc/84/64/35/410846435.db2.gz CMIWBAUEFIEAQW-UHFFFAOYSA-N -1 1 310.317 1.355 20 0 DDADMM CCC[C@H]1[C@H](C)CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343959529 410851446 /nfs/dbraw/zinc/85/14/46/410851446.db2.gz BCWTYPXIPOLHQZ-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CC(=O)c1cccc(O[C@H](C)C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000359795740 410886246 /nfs/dbraw/zinc/88/62/46/410886246.db2.gz VQGXMNIAJXUIIG-SNVBAGLBSA-N -1 1 317.349 1.221 20 0 DDADMM O=C(Cc1coc(-c2cccs2)n1)NC1(c2nn[n-]n2)CC1 ZINC000348299316 410905821 /nfs/dbraw/zinc/90/58/21/410905821.db2.gz WAAQLEZZRMDMHD-UHFFFAOYSA-N -1 1 316.346 1.264 20 0 DDADMM COc1ccccc1[C@H](C)CC(=O)NC1(c2nn[n-]n2)CC1 ZINC000348299676 410907753 /nfs/dbraw/zinc/90/77/53/410907753.db2.gz YVPCAMUWTQMPEX-SNVBAGLBSA-N -1 1 301.350 1.507 20 0 DDADMM O=C(NCc1cccc2ccccc21)c1cc(=O)n2[n-]cnc2n1 ZINC000353378639 410954002 /nfs/dbraw/zinc/95/40/02/410954002.db2.gz VPZVBHCKRYMMLS-UHFFFAOYSA-N -1 1 319.324 1.501 20 0 DDADMM Cc1nnc2n1C[C@H](CNC(=O)c1c(F)ccc([O-])c1F)CC2 ZINC000348461843 410974382 /nfs/dbraw/zinc/97/43/82/410974382.db2.gz RCCPXAGMEFAIAD-VIFPVBQESA-N -1 1 322.315 1.563 20 0 DDADMM Cc1nc2ccccn2c1CN1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000344130621 410975760 /nfs/dbraw/zinc/97/57/60/410975760.db2.gz XVIRIBCHUMBZTB-LBPRGKRZSA-N -1 1 312.377 1.846 20 0 DDADMM O=S(=O)([N-]CCOCC(F)(F)F)c1cccc(F)c1F ZINC000337806896 411011256 /nfs/dbraw/zinc/01/12/56/411011256.db2.gz LKEBLHVCQAAXPB-UHFFFAOYSA-N -1 1 319.251 1.822 20 0 DDADMM CSC[C@@H](CCO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331281334 411055206 /nfs/dbraw/zinc/05/52/06/411055206.db2.gz QQIUJRFYVDCOOR-SECBINFHSA-N -1 1 310.466 1.152 20 0 DDADMM C[C@@H](CC(C)(C)C)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000341924029 411083855 /nfs/dbraw/zinc/08/38/55/411083855.db2.gz JIUWDIIJHDMTJW-JTQLQIEISA-N -1 1 311.455 1.672 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H]2[C@@H](O)C(F)(F)F)c([O-])c1 ZINC000331201577 411015479 /nfs/dbraw/zinc/01/54/79/411015479.db2.gz NEMCORKUVVCGBK-GZMMTYOYSA-N -1 1 304.268 1.623 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1 ZINC000353713043 411116295 /nfs/dbraw/zinc/11/62/95/411116295.db2.gz SBNPDXNVIUHAJA-LLVKDONJSA-N -1 1 311.345 1.293 20 0 DDADMM CCO[C@H]1C[C@H](N(C)C(=O)CCc2nn[n-]n2)C1(CC)CC ZINC000631678198 422877545 /nfs/dbraw/zinc/87/75/45/422877545.db2.gz AXRRIUPKVLALFY-RYUDHWBXSA-N -1 1 309.414 1.575 20 0 DDADMM C[C@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C(F)(F)F ZINC000629952909 422891374 /nfs/dbraw/zinc/89/13/74/422891374.db2.gz ZTHAHUBOKXPXGU-KCJUWKMLSA-N -1 1 313.283 1.994 20 0 DDADMM Cc1ccc(CNC(=O)CCc2nn[n-]n2)c(OCC2CC2)c1 ZINC000631725892 422895772 /nfs/dbraw/zinc/89/57/72/422895772.db2.gz GFQKYPDHFRIHHC-UHFFFAOYSA-N -1 1 315.377 1.546 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCCO[C@@H]1CCOC1 ZINC000631752555 422906223 /nfs/dbraw/zinc/90/62/23/422906223.db2.gz NLZXIVXZTFIVEO-GFCCVEGCSA-N -1 1 313.781 1.900 20 0 DDADMM CCOCCCCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631771822 422914716 /nfs/dbraw/zinc/91/47/16/422914716.db2.gz OHYCVXLRHSMYBT-UHFFFAOYSA-N -1 1 303.380 1.496 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000631778313 422917719 /nfs/dbraw/zinc/91/77/19/422917719.db2.gz KUTJWAUYFKOGOM-OLZOCXBDSA-N -1 1 322.792 1.858 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000580329072 422920555 /nfs/dbraw/zinc/92/05/55/422920555.db2.gz KHXSHGRVXLQVMD-VIFPVBQESA-N -1 1 307.325 1.862 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N(C)Cc2nc(=O)o[n-]2)o1 ZINC000640450259 422963566 /nfs/dbraw/zinc/96/35/66/422963566.db2.gz DVSXMTIFOSJGCJ-UHFFFAOYSA-N -1 1 308.338 1.070 20 0 DDADMM CNC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1sccc1Cl ZINC000649871584 422971026 /nfs/dbraw/zinc/97/10/26/422971026.db2.gz DGTCHKGZRCRNDY-VIFPVBQESA-N -1 1 324.855 1.841 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2nnc(C(C)C)[nH]2)sc1C ZINC000650080341 423040576 /nfs/dbraw/zinc/04/05/76/423040576.db2.gz ATNVHNHOZZTEBJ-UHFFFAOYSA-N -1 1 315.424 1.480 20 0 DDADMM CCOc1nc2cc(C(=O)Nc3c(C)[n-][nH]c3=O)ccc2[nH]1 ZINC000647816468 423057790 /nfs/dbraw/zinc/05/77/90/423057790.db2.gz JWOJVJXSCRBFSR-UHFFFAOYSA-N -1 1 301.306 1.951 20 0 DDADMM COC[C@H](C)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000571637255 304476217 /nfs/dbraw/zinc/47/62/17/304476217.db2.gz BQOGLEFCQXKBDT-SECBINFHSA-N -1 1 300.405 1.857 20 0 DDADMM CO[C@@H](C)c1nc(=NCC(C)(C)C2(O)CCOCC2)s[n-]1 ZINC000419017111 226006238 /nfs/dbraw/zinc/00/62/38/226006238.db2.gz NPHRCPFDQDCHRP-JTQLQIEISA-N -1 1 315.439 1.647 20 0 DDADMM CNC(=O)c1ccc(=NCC[C@@H]2CCc3ccccc3N2)[n-]n1 ZINC000650307526 423125257 /nfs/dbraw/zinc/12/52/57/423125257.db2.gz CELWWXNHXSNOBF-ZDUSSCGKSA-N -1 1 311.389 1.487 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CC(=O)N(c3ccccc3)C2)c([O-])c1 ZINC000360475696 418444317 /nfs/dbraw/zinc/44/43/17/418444317.db2.gz FKNDNTBPXFGXFY-GFCCVEGCSA-N -1 1 311.341 1.631 20 0 DDADMM CCc1nc(=NC[C@@H]2CCCN(C)[C@@H]2c2cnn(C)c2)s[n-]1 ZINC000360481735 418446186 /nfs/dbraw/zinc/44/61/86/418446186.db2.gz AOCUSIHVVGAKBE-FZMZJTMJSA-N -1 1 320.466 1.751 20 0 DDADMM CCC[C@H]1C[C@H]1C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000360616513 418477376 /nfs/dbraw/zinc/47/73/76/418477376.db2.gz FPNFHBYXOYJRGR-GXSJLCMTSA-N -1 1 312.391 1.675 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cnn2c1OCCC2 ZINC000366590771 418495019 /nfs/dbraw/zinc/49/50/19/418495019.db2.gz ZEPRHPXBXDCUIB-UHFFFAOYSA-N -1 1 302.216 1.055 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Br)c([O-])c2)C[C@H]1CO ZINC000360835682 418529050 /nfs/dbraw/zinc/52/90/50/418529050.db2.gz LEPCTJONGXBWCY-WPRPVWTQSA-N -1 1 314.179 1.855 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N(C)Cc2ccco2)n1 ZINC000188082440 222006367 /nfs/dbraw/zinc/00/63/67/222006367.db2.gz ZAYIVESJSCIQGC-UHFFFAOYSA-N -1 1 307.375 1.676 20 0 DDADMM NC(=O)c1cc(NC(=O)c2c[nH]nc2-c2ccsc2)c[nH]1 ZINC000188355423 222012125 /nfs/dbraw/zinc/01/21/25/222012125.db2.gz CAUATJACRGPFTC-UHFFFAOYSA-N -1 1 301.331 1.818 20 0 DDADMM CC(C)(C)c1nnc(S(=O)(=O)CC(=O)Nc2ccccc2)[n-]1 ZINC000195173976 222193745 /nfs/dbraw/zinc/19/37/45/222193745.db2.gz ONDYFHYIHDKTCY-UHFFFAOYSA-N -1 1 322.390 1.515 20 0 DDADMM CC(C)(C)c1n[n-]c(S(=O)(=O)CC(=O)Nc2ccccc2)n1 ZINC000195173976 222193747 /nfs/dbraw/zinc/19/37/47/222193747.db2.gz ONDYFHYIHDKTCY-UHFFFAOYSA-N -1 1 322.390 1.515 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)CC(=O)Nc2ccccc2)n[n-]1 ZINC000195173976 222193749 /nfs/dbraw/zinc/19/37/49/222193749.db2.gz ONDYFHYIHDKTCY-UHFFFAOYSA-N -1 1 322.390 1.515 20 0 DDADMM O=C1CC[C@@H](N=c2nc(C(F)(F)F)[n-]s2)[C@@H](C2CC2)N1 ZINC000367416895 418597973 /nfs/dbraw/zinc/59/79/73/418597973.db2.gz BWKYALBAMJRCIW-HTRCEHHLSA-N -1 1 306.313 1.448 20 0 DDADMM C[C@@H]1CCC[C@H](CNC(=O)CNC(=O)c2ncccc2[O-])[C@@H]1C ZINC000361248579 418611918 /nfs/dbraw/zinc/61/19/18/418611918.db2.gz PJAOLKZCQAGEOG-JHJVBQTASA-N -1 1 319.405 1.706 20 0 DDADMM CC(C)n1ccc(=NC(=O)c2c[n-]c3c(cnn3C)c2=O)[nH]1 ZINC000361312423 418623975 /nfs/dbraw/zinc/62/39/75/418623975.db2.gz SVGBFVBDSWLRCW-UHFFFAOYSA-N -1 1 300.322 1.126 20 0 DDADMM CC(C)n1ccc(=NC(=O)c2cnc3c(cnn3C)c2[O-])[nH]1 ZINC000361312423 418623977 /nfs/dbraw/zinc/62/39/77/418623977.db2.gz SVGBFVBDSWLRCW-UHFFFAOYSA-N -1 1 300.322 1.126 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@H]4[C@H](CO)[C@H]4C3)nc2)[n-]c(=O)c1C ZINC000368229148 418706709 /nfs/dbraw/zinc/70/67/09/418706709.db2.gz RPYMHCFQETUMOI-WDNDVIMCSA-N -1 1 312.373 1.536 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1C[C@@H]1c1cccnc1 ZINC000368273242 418713794 /nfs/dbraw/zinc/71/37/94/418713794.db2.gz QDDYJZAYLMZUBX-NEPJUHHUSA-N -1 1 314.345 1.156 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)N[C@@H]2CCCc3ccccc32)C1 ZINC000361506798 418665164 /nfs/dbraw/zinc/66/51/64/418665164.db2.gz NBLNTTLWHOETPB-GDBMZVCRSA-N -1 1 316.401 1.977 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@@H]2CCCc3ccccc32)C1 ZINC000361506798 418665166 /nfs/dbraw/zinc/66/51/66/418665166.db2.gz NBLNTTLWHOETPB-GDBMZVCRSA-N -1 1 316.401 1.977 20 0 DDADMM Cn1c(=O)ccnc1[N-]S(=O)(=O)c1ccc(Cl)cc1F ZINC000361532713 418672319 /nfs/dbraw/zinc/67/23/19/418672319.db2.gz HMDBWXVSSMHYAB-UHFFFAOYSA-N -1 1 317.729 1.374 20 0 DDADMM CN1CCC[C@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C1=O ZINC000361971671 418732185 /nfs/dbraw/zinc/73/21/85/418732185.db2.gz DKTVONPOIJLOTC-JTQLQIEISA-N -1 1 320.773 1.378 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Br)c([O-])c2)CC(=O)N1 ZINC000386972979 418741550 /nfs/dbraw/zinc/74/15/50/418741550.db2.gz BYSNJOQERHWFBP-ZETCQYMHSA-N -1 1 313.151 1.115 20 0 DDADMM Cc1cnn(C2CN(C(=O)c3cnc4nc(C)ccc4c3[O-])C2)c1 ZINC000371205271 418767484 /nfs/dbraw/zinc/76/74/84/418767484.db2.gz QCFSGFGUBVFPGG-UHFFFAOYSA-N -1 1 323.356 1.846 20 0 DDADMM Cc1cnn(C2CN(C(=O)c3c[n-]c4nc(C)ccc4c3=O)C2)c1 ZINC000371205271 418767486 /nfs/dbraw/zinc/76/74/86/418767486.db2.gz QCFSGFGUBVFPGG-UHFFFAOYSA-N -1 1 323.356 1.846 20 0 DDADMM NC(=O)c1[nH]nnc1NC(=O)c1ccc([C@H]2CCCOC2)cc1 ZINC000410861106 418852763 /nfs/dbraw/zinc/85/27/63/418852763.db2.gz RRGGZCXJKWBMEB-NSHDSACASA-N -1 1 315.333 1.050 20 0 DDADMM CC1(C)CC(=O)c2ccc(OS(=O)(=O)c3c[n-]cn3)cc2O1 ZINC000365423875 418860013 /nfs/dbraw/zinc/86/00/13/418860013.db2.gz VZOOXIRBPRXRIN-UHFFFAOYSA-N -1 1 322.342 1.921 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000411112369 418870456 /nfs/dbraw/zinc/87/04/56/418870456.db2.gz SPKOTBJWOLNBHD-ZCFIWIBFSA-N -1 1 319.287 1.614 20 0 DDADMM CSc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(O)c1 ZINC000365587651 418878694 /nfs/dbraw/zinc/87/86/94/418878694.db2.gz SPFZUMRJVSCNPP-SECBINFHSA-N -1 1 319.390 1.647 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC2CCOCC2)c(F)c1 ZINC000425155043 228374410 /nfs/dbraw/zinc/37/44/10/228374410.db2.gz JIUBGHCHMZOOKT-UHFFFAOYSA-N -1 1 305.346 1.978 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCC[C@@H]2CO)c(F)c1 ZINC000425183499 228383927 /nfs/dbraw/zinc/38/39/27/228383927.db2.gz GXHGLWKJGPURAK-BXKDBHETSA-N -1 1 305.346 1.713 20 0 DDADMM Cc1cccc(OC[C@H](O)CNC(=O)c2ncc(C)cc2[O-])c1 ZINC000427139307 419600828 /nfs/dbraw/zinc/60/08/28/419600828.db2.gz FDOIYQFJLGXPJW-CYBMUJFWSA-N -1 1 316.357 1.574 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2cccc(F)c2F)CCC1 ZINC000427242084 419626106 /nfs/dbraw/zinc/62/61/06/419626106.db2.gz HBTJBQQNPBYPNV-UHFFFAOYSA-N -1 1 319.329 1.729 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncccc1[O-])c1cccc(O)c1 ZINC000427654378 419708110 /nfs/dbraw/zinc/70/81/10/419708110.db2.gz BQZKKCJLNIRTBF-LBPRGKRZSA-N -1 1 302.286 1.137 20 0 DDADMM C[C@@H](CCCC(F)(F)F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000427974410 419778429 /nfs/dbraw/zinc/77/84/29/419778429.db2.gz SHLIQRSTGPFIRF-ZETCQYMHSA-N -1 1 317.271 1.269 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)Cc2cccc3cccnc32)n1 ZINC000428070184 419807632 /nfs/dbraw/zinc/80/76/32/419807632.db2.gz LUWQVZDHHGGRPG-UHFFFAOYSA-N -1 1 318.358 1.546 20 0 DDADMM C[C@@H]1CNCCN1C(=O)N=c1[n-]nc(/C=C/c2ccco2)s1 ZINC000415384612 420045310 /nfs/dbraw/zinc/04/53/10/420045310.db2.gz UYMANTMDMKCYAP-ORAHPGNNSA-N -1 1 319.390 1.549 20 0 DDADMM O=C(C(=O)N1CCO[C@@H](COCC2CC2)C1)c1ccc([O-])cc1 ZINC000436929252 229543361 /nfs/dbraw/zinc/54/33/61/229543361.db2.gz YVRAFXDZNBAOCO-OAHLLOKOSA-N -1 1 319.357 1.229 20 0 DDADMM O=S(=O)(Cc1cccnc1)c1nnc(Cc2cccs2)[n-]1 ZINC000429904242 420058434 /nfs/dbraw/zinc/05/84/34/420058434.db2.gz SJZYTCJDFMUSEP-UHFFFAOYSA-N -1 1 320.399 1.826 20 0 DDADMM O=S(=O)(Cc1cccnc1)c1n[n-]c(Cc2cccs2)n1 ZINC000429904242 420058441 /nfs/dbraw/zinc/05/84/41/420058441.db2.gz SJZYTCJDFMUSEP-UHFFFAOYSA-N -1 1 320.399 1.826 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cnn3ccncc23)c1 ZINC000436509082 420335678 /nfs/dbraw/zinc/33/56/78/420335678.db2.gz RCVWCQLJAPYTJF-UHFFFAOYSA-N -1 1 312.285 1.474 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(Cc2cscn2)CC1 ZINC000436547687 420339264 /nfs/dbraw/zinc/33/92/64/420339264.db2.gz PMAUKHDGMIPIQP-UHFFFAOYSA-N -1 1 321.377 1.946 20 0 DDADMM Cc1cccc(C[C@@H](CO)NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436589094 420341691 /nfs/dbraw/zinc/34/16/91/420341691.db2.gz VCAFUPOVOIQJAP-HNNXBMFYSA-N -1 1 313.353 1.603 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H](CC(C)C)OC)C(C)C ZINC000420649708 420341950 /nfs/dbraw/zinc/34/19/50/420341950.db2.gz PGLKTOMNBQMBGQ-RYUDHWBXSA-N -1 1 309.428 1.165 20 0 DDADMM O=C(c1ccc2[nH]cnc2c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425312704 420346603 /nfs/dbraw/zinc/34/66/03/420346603.db2.gz ZRKOVDCFPWVMTH-JTQLQIEISA-N -1 1 313.317 1.671 20 0 DDADMM O=C(C(=O)N1CCC(c2n[nH]c(=O)o2)CC1)c1ccccc1 ZINC000425325295 420351865 /nfs/dbraw/zinc/35/18/65/420351865.db2.gz RZVIKLJGOMYDNZ-UHFFFAOYSA-N -1 1 301.302 1.364 20 0 DDADMM O=C(C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1ccccc1 ZINC000425325295 420351870 /nfs/dbraw/zinc/35/18/70/420351870.db2.gz RZVIKLJGOMYDNZ-UHFFFAOYSA-N -1 1 301.302 1.364 20 0 DDADMM CN(Cc1nnnn1C)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436749144 420362340 /nfs/dbraw/zinc/36/23/40/420362340.db2.gz AIGOKEDVXJORRO-UHFFFAOYSA-N -1 1 315.255 1.207 20 0 DDADMM CNC(=O)[C@H](CCSC)NC(=O)c1c([O-])cccc1F ZINC000436747702 420362567 /nfs/dbraw/zinc/36/25/67/420362567.db2.gz MRXVEGCKVNECGT-VIFPVBQESA-N -1 1 300.355 1.129 20 0 DDADMM Cc1nnc(NC(=O)c2cc(Br)ccc2[O-])n1C ZINC000436841327 420373724 /nfs/dbraw/zinc/37/37/24/420373724.db2.gz YYFMAMGHWXLTNR-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM O=C(C(=O)N1CCC[C@@H]([C@@H]2CCOC2)C1)c1ccc([O-])cc1 ZINC000436967382 420386796 /nfs/dbraw/zinc/38/67/96/420386796.db2.gz SFXWZGRTKCUXAQ-ZIAGYGMSSA-N -1 1 303.358 1.850 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(c2ncc(F)cn2)CC1 ZINC000436960945 420387704 /nfs/dbraw/zinc/38/77/04/420387704.db2.gz SLHMRVGHSLTPAS-UHFFFAOYSA-N -1 1 320.299 1.423 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC[C@H](N2CCCC2=O)C1 ZINC000437001767 420391649 /nfs/dbraw/zinc/39/16/49/420391649.db2.gz LFBKBKDJBUSMMY-NSHDSACASA-N -1 1 308.765 1.883 20 0 DDADMM O=C(N[C@@H]1CN(c2ccccc2)C1=O)C(=O)c1ccc([O-])cc1 ZINC000437002814 420391993 /nfs/dbraw/zinc/39/19/93/420391993.db2.gz QONATIDESQQPCS-CQSZACIVSA-N -1 1 310.309 1.107 20 0 DDADMM C[C@@H]1CC[C@@H](C[N-]S(=O)(=O)c2ccc(Br)o2)O1 ZINC000416528162 420407691 /nfs/dbraw/zinc/40/76/91/420407691.db2.gz DAQUSRKILAAUEN-SFYZADRCSA-N -1 1 324.196 1.888 20 0 DDADMM CCc1ccnc(CNC(=O)c2coc(S(=O)(=O)[N-]C)c2)c1 ZINC000437720710 420421130 /nfs/dbraw/zinc/42/11/30/420421130.db2.gz HBCLPBQPNDYVJK-UHFFFAOYSA-N -1 1 323.374 1.075 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000416582038 420424604 /nfs/dbraw/zinc/42/46/04/420424604.db2.gz JYHDZYJYHZIJKN-SNVBAGLBSA-N -1 1 319.329 1.585 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(F)c(OC(F)F)cc1F ZINC000447276288 230356162 /nfs/dbraw/zinc/35/61/62/230356162.db2.gz ZWIFAVKWWCNTAX-UHFFFAOYSA-N -1 1 317.260 1.954 20 0 DDADMM NC(=O)Cc1csc(NC(=O)c2c(F)ccc([O-])c2F)n1 ZINC000438786543 420465144 /nfs/dbraw/zinc/46/51/44/420465144.db2.gz SCKDOUKVOVQTBB-UHFFFAOYSA-N -1 1 313.285 1.407 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C\c2ccncc2)c1 ZINC000492387800 420542610 /nfs/dbraw/zinc/54/26/10/420542610.db2.gz ADXCUJGHKBAFCF-RJRFIUFISA-N -1 1 319.342 1.087 20 0 DDADMM COC[C@@H]1CCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000457082930 420604881 /nfs/dbraw/zinc/60/48/81/420604881.db2.gz MDGLTEUZISLJQB-LLVKDONJSA-N -1 1 318.377 1.563 20 0 DDADMM COc1cccc([C@H](C)CC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000440099646 420554554 /nfs/dbraw/zinc/55/45/54/420554554.db2.gz GANPHCSVEVQTOS-SNVBAGLBSA-N -1 1 303.366 1.753 20 0 DDADMM COCCOC[C@@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000440097149 420554577 /nfs/dbraw/zinc/55/45/77/420554577.db2.gz MRHKYBOYOQLJQO-LLVKDONJSA-N -1 1 319.361 1.425 20 0 DDADMM COCCOC[C@@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000440097149 420554580 /nfs/dbraw/zinc/55/45/80/420554580.db2.gz MRHKYBOYOQLJQO-LLVKDONJSA-N -1 1 319.361 1.425 20 0 DDADMM CN(C)C(=O)N[C@@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000456940796 420571358 /nfs/dbraw/zinc/57/13/58/420571358.db2.gz WJGJADPLNNBEJF-LLVKDONJSA-N -1 1 309.341 1.407 20 0 DDADMM NC(=O)C[C@H]1COCCN1C(=O)c1cc2ccccc2cc1[O-] ZINC000457015861 420588989 /nfs/dbraw/zinc/58/89/89/420588989.db2.gz BKSZLTNQHMYLPC-ZDUSSCGKSA-N -1 1 314.341 1.262 20 0 DDADMM CC(C)(NC(=O)C=Cc1cc(F)c(F)c(F)c1)c1nn[n-]n1 ZINC000492648563 420618162 /nfs/dbraw/zinc/61/81/62/420618162.db2.gz YPALDDUXVGPARG-ONEGZZNKSA-N -1 1 311.267 1.682 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCC1CCCCCC1 ZINC000452797221 420664591 /nfs/dbraw/zinc/66/45/91/420664591.db2.gz VABMXPMYRSBLJT-UHFFFAOYSA-N -1 1 305.378 1.604 20 0 DDADMM CC[C@H](O)CS(=O)(=O)c1nc(-c2ccc(Cl)cc2)n[n-]1 ZINC000453021687 420702285 /nfs/dbraw/zinc/70/22/85/420702285.db2.gz HBWNPDAUWNEQRM-JTQLQIEISA-N -1 1 315.782 1.670 20 0 DDADMM CC[C@H](O)CS(=O)(=O)c1n[n-]c(-c2ccc(Cl)cc2)n1 ZINC000453021687 420702289 /nfs/dbraw/zinc/70/22/89/420702289.db2.gz HBWNPDAUWNEQRM-JTQLQIEISA-N -1 1 315.782 1.670 20 0 DDADMM Cc1cc(NC(=O)c2cc(=O)n3[n-]cnc3n2)cc(C)c1Cl ZINC000443177242 420747564 /nfs/dbraw/zinc/74/75/64/420747564.db2.gz RRKCFFMSECPIBI-UHFFFAOYSA-N -1 1 317.736 1.940 20 0 DDADMM COCCN(CCO)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442827150 420722143 /nfs/dbraw/zinc/72/21/43/420722143.db2.gz YOTMCRWBRILZOA-UHFFFAOYSA-N -1 1 303.742 1.135 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2nc3ccccc3[nH]2)[n-]n1 ZINC000447654815 420791601 /nfs/dbraw/zinc/79/16/01/420791601.db2.gz UJQNCFBETNBQPZ-UHFFFAOYSA-N -1 1 310.361 1.179 20 0 DDADMM COc1cc(NC(=O)CC(C)(C)O)ccc1[N-]S(C)(=O)=O ZINC000471695113 420943995 /nfs/dbraw/zinc/94/39/95/420943995.db2.gz BWJCZBVNEMOICL-UHFFFAOYSA-N -1 1 316.379 1.166 20 0 DDADMM O=C(CCc1ccc2ccccc2n1)NC1(c2nn[n-]n2)CC1 ZINC000487335802 421017982 /nfs/dbraw/zinc/01/79/82/421017982.db2.gz NXKOBBMXCRBLHA-UHFFFAOYSA-N -1 1 308.345 1.486 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)c2nccn21 ZINC000456434993 421167167 /nfs/dbraw/zinc/16/71/67/421167167.db2.gz VDIOQHLVBDLIJJ-KWQFWETISA-N -1 1 312.333 1.306 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)Cc2noc3ccccc32)no1 ZINC000544835954 421232306 /nfs/dbraw/zinc/23/23/06/421232306.db2.gz DPOHHKAIGNADIM-UHFFFAOYSA-N -1 1 308.319 1.139 20 0 DDADMM C[C@H]1CCCC[C@H]1CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000523125059 421233655 /nfs/dbraw/zinc/23/36/55/421233655.db2.gz FEXGSKOSRBIEEU-IUCAKERBSA-N -1 1 300.384 1.363 20 0 DDADMM C[C@H]1CCCC[C@H]1CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000523125059 421233657 /nfs/dbraw/zinc/23/36/57/421233657.db2.gz FEXGSKOSRBIEEU-IUCAKERBSA-N -1 1 300.384 1.363 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H]1CC12CC2 ZINC000561072609 421303527 /nfs/dbraw/zinc/30/35/27/421303527.db2.gz GBGLGRUSNQUMJC-NSHDSACASA-N -1 1 300.362 1.511 20 0 DDADMM c1cc2c(cc1CNC1(c3nnn[n-]3)CCCC1)OCCO2 ZINC000546035188 421281690 /nfs/dbraw/zinc/28/16/90/421281690.db2.gz CQTPUSAZOUPTMN-UHFFFAOYSA-N -1 1 301.350 1.530 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1Cc2ccccc2S1)c1nn[n-]n1 ZINC000547488996 421337714 /nfs/dbraw/zinc/33/77/14/421337714.db2.gz OERFGNGDPFLXMZ-SKDRFNHKSA-N -1 1 303.391 1.479 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(-c2ccncc2)on1)c1nn[n-]n1 ZINC000547811400 421371426 /nfs/dbraw/zinc/37/14/26/421371426.db2.gz OQDDALRDUBMFMZ-VIFPVBQESA-N -1 1 313.321 1.125 20 0 DDADMM COC1(C)CN(c2ccc(=NCc3ccccc3F)[n-]n2)C1 ZINC000561227345 421316047 /nfs/dbraw/zinc/31/60/47/421316047.db2.gz JZXUSMPVMSZQQE-UHFFFAOYSA-N -1 1 302.353 1.875 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@H]3CC[C@H]3C(N)=O)[nH][n-]2)c1 ZINC000561315979 421321699 /nfs/dbraw/zinc/32/16/99/421321699.db2.gz MTSULKWMSLHQHT-ZJUUUORDSA-N -1 1 316.336 1.396 20 0 DDADMM O=S(=O)(Cc1ccccn1)c1nc(-c2ccccc2F)n[n-]1 ZINC000562593339 421384906 /nfs/dbraw/zinc/38/49/06/421384906.db2.gz ITIUTJAGFNFSRN-UHFFFAOYSA-N -1 1 318.333 1.980 20 0 DDADMM O=S(=O)(Cc1ccccn1)c1n[n-]c(-c2ccccc2F)n1 ZINC000562593339 421384910 /nfs/dbraw/zinc/38/49/10/421384910.db2.gz ITIUTJAGFNFSRN-UHFFFAOYSA-N -1 1 318.333 1.980 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1CCCN1Cc1ccc(Cl)cc1 ZINC000528601154 421499639 /nfs/dbraw/zinc/49/96/39/421499639.db2.gz XNNNYXDCOWUCEM-NSHDSACASA-N -1 1 306.757 1.456 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1CCCN1Cc1ccc(Cl)cc1 ZINC000528601154 421499642 /nfs/dbraw/zinc/49/96/42/421499642.db2.gz XNNNYXDCOWUCEM-NSHDSACASA-N -1 1 306.757 1.456 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1cncnc1 ZINC000529931815 421568883 /nfs/dbraw/zinc/56/88/83/421568883.db2.gz FVTHNGSVNSNTNQ-UHFFFAOYSA-N -1 1 315.377 1.551 20 0 DDADMM CCc1ncnc(CC)c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000551733238 421540354 /nfs/dbraw/zinc/54/03/54/421540354.db2.gz NRVPVWRFKRDMSG-JTQLQIEISA-N -1 1 315.381 1.134 20 0 DDADMM O=C(CN1CCC(c2c(F)cccc2F)CC1)Nc1nnn[n-]1 ZINC000563795066 421542642 /nfs/dbraw/zinc/54/26/42/421542642.db2.gz HKSQYTPEEXNFGD-UHFFFAOYSA-N -1 1 322.319 1.296 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]c2c[nH]c(=O)c(C)c2)c1 ZINC000535233957 421701816 /nfs/dbraw/zinc/70/18/16/421701816.db2.gz MYJMFHOMMJZQNS-UHFFFAOYSA-N -1 1 312.303 1.276 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)n(C)n1 ZINC000537123195 421727150 /nfs/dbraw/zinc/72/71/50/421727150.db2.gz HYRQQHUSZFHPDG-JTQLQIEISA-N -1 1 303.370 1.076 20 0 DDADMM CCCCOCCNC(=O)CN1CCC[C@H](CC(=O)[O-])C1 ZINC000533203299 421669293 /nfs/dbraw/zinc/66/92/93/421669293.db2.gz WEYNLZZSSOSYLX-CYBMUJFWSA-N -1 1 300.399 1.106 20 0 DDADMM CN1CCN(C(=O)CSCC(=O)[O-])C2(CCCCC2)C1 ZINC000571805307 421745282 /nfs/dbraw/zinc/74/52/82/421745282.db2.gz AQTDYMIIFXOICL-UHFFFAOYSA-N -1 1 300.424 1.281 20 0 DDADMM O=C(N[C@H]1CC(=O)N2CCCC[C@@H]12)c1ccc(Cl)cc1[O-] ZINC000558708652 421823369 /nfs/dbraw/zinc/82/33/69/421823369.db2.gz WISYJOSTMZXBOT-RYUDHWBXSA-N -1 1 308.765 1.929 20 0 DDADMM CCc1nn(C)c(CC)c1CNC1(c2nnn[n-]2)CCCC1 ZINC000542782083 421829150 /nfs/dbraw/zinc/82/91/50/421829150.db2.gz AJVUHSCMEZBFBO-UHFFFAOYSA-N -1 1 303.414 1.617 20 0 DDADMM CCc1nn(C)c(CC)c1CNC1(c2nn[n-]n2)CCCC1 ZINC000542782083 421829151 /nfs/dbraw/zinc/82/91/51/421829151.db2.gz AJVUHSCMEZBFBO-UHFFFAOYSA-N -1 1 303.414 1.617 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2nc(C)c(C)s2)s1 ZINC000540941451 421778391 /nfs/dbraw/zinc/77/83/91/421778391.db2.gz DFNKIYBLJCUDCV-UHFFFAOYSA-N -1 1 304.422 1.398 20 0 DDADMM Cc1cnc(C(=O)N2CC[N@@H+]([C@@H]3C[C@H]3C)C[C@H](C)C2)c([O-])c1 ZINC000558027364 421797056 /nfs/dbraw/zinc/79/70/56/421797056.db2.gz PCLGOFWPXGOCER-BFHYXJOUSA-N -1 1 303.406 1.898 20 0 DDADMM Cc1cc(NCCNC(=O)c2c(F)ccc([O-])c2F)n(C)n1 ZINC000558390620 421804295 /nfs/dbraw/zinc/80/42/95/421804295.db2.gz PICCYNFJTAAOGH-UHFFFAOYSA-N -1 1 310.304 1.554 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCc2cc(F)c(F)c(F)c21 ZINC000633334055 421847160 /nfs/dbraw/zinc/84/71/60/421847160.db2.gz RDSNCGHCACIBDQ-MRVPVSSYSA-N -1 1 311.267 1.353 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C)COCC(F)(F)F)sn1 ZINC000631810979 421850329 /nfs/dbraw/zinc/85/03/29/421850329.db2.gz JZFSAKUOCIMWCY-ZETCQYMHSA-N -1 1 318.342 1.697 20 0 DDADMM CCC(=O)N1CC[C@H](NC(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000631820388 421855616 /nfs/dbraw/zinc/85/56/16/421855616.db2.gz TUUIOWLMRXGRBL-NSHDSACASA-N -1 1 310.781 1.715 20 0 DDADMM CC(C)N1C[C@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1=O ZINC000631879441 421907892 /nfs/dbraw/zinc/90/78/92/421907892.db2.gz XSLGKNKVTRBJHS-MRVPVSSYSA-N -1 1 322.333 1.505 20 0 DDADMM CC(C)Oc1ccc([C@@H](CN=c2nn[n-]n2C)N(C)C)cc1 ZINC000581153421 421911095 /nfs/dbraw/zinc/91/10/95/421911095.db2.gz SHHCBEMLXTYYIM-CQSZACIVSA-N -1 1 304.398 1.134 20 0 DDADMM CCN(CC)c1ccc(NC(=O)CCCc2nn[n-]n2)cn1 ZINC000635334402 421920489 /nfs/dbraw/zinc/92/04/89/421920489.db2.gz ZDYKOUGXPOHFDX-UHFFFAOYSA-N -1 1 303.370 1.402 20 0 DDADMM CCCOc1ccc(CNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635348349 421928437 /nfs/dbraw/zinc/92/84/37/421928437.db2.gz FYLFRWYDBNLHGH-UHFFFAOYSA-N -1 1 303.366 1.628 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N(C)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000630174864 421936883 /nfs/dbraw/zinc/93/68/83/421936883.db2.gz FTSRSUJVUKJYEG-IUODEOHRSA-N -1 1 315.373 1.743 20 0 DDADMM CN(C)c1ccncc1C(=O)NCCc1nc(C(=O)[O-])cs1 ZINC000630190072 421949314 /nfs/dbraw/zinc/94/93/14/421949314.db2.gz NASMQMPTJZKIHU-UHFFFAOYSA-N -1 1 320.374 1.275 20 0 DDADMM O=C(C(=O)N1CCC(c2ncco2)CC1)c1ccc([O-])cc1 ZINC000627945749 421964583 /nfs/dbraw/zinc/96/45/83/421964583.db2.gz LKRDGHOLAQDXBT-UHFFFAOYSA-N -1 1 300.314 1.969 20 0 DDADMM COc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c(O)c1 ZINC000630220603 421970237 /nfs/dbraw/zinc/97/02/37/421970237.db2.gz PXFVTBDHDRANIM-NSHDSACASA-N -1 1 322.361 1.022 20 0 DDADMM Cc1cccc(C)c1OC[C@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635418308 421975867 /nfs/dbraw/zinc/97/58/67/421975867.db2.gz LIHZXALOBPDZIH-ZDUSSCGKSA-N -1 1 317.393 1.723 20 0 DDADMM COc1ccc2oc(C(=O)NC3(c4nn[n-]n4)CC3)c(C)c2c1 ZINC000573557837 421979910 /nfs/dbraw/zinc/97/99/10/421979910.db2.gz KSGWBLXTTSYHDT-UHFFFAOYSA-N -1 1 313.317 1.682 20 0 DDADMM CO[C@H]1CN(C(=O)c2[nH]nc3ccccc32)[C@@](C)(C(=O)[O-])C1 ZINC000630315112 422018848 /nfs/dbraw/zinc/01/88/48/422018848.db2.gz UYJRTVLMHRTTOC-RFAUZJTJSA-N -1 1 303.318 1.267 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1CC12CC2 ZINC000581663156 422022013 /nfs/dbraw/zinc/02/20/13/422022013.db2.gz VTTQSSZBEGVXBP-LBPRGKRZSA-N -1 1 300.362 1.653 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1(Sc2ccccc2)CC1 ZINC000635544888 422096065 /nfs/dbraw/zinc/09/60/65/422096065.db2.gz NLXLVXKQQOPFSW-UHFFFAOYSA-N -1 1 317.418 1.964 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCOC3(CCC3)C2)sn1 ZINC000632120707 422103179 /nfs/dbraw/zinc/10/31/79/422103179.db2.gz ZLZYADUADVYXHF-JTQLQIEISA-N -1 1 302.421 1.832 20 0 DDADMM CC(F)(F)CCS(=O)(=O)[N-][C@@H]1CN(c2ccccc2)C1=O ZINC000632198681 422155235 /nfs/dbraw/zinc/15/52/35/422155235.db2.gz LABOZICODIFQQX-LLVKDONJSA-N -1 1 318.345 1.367 20 0 DDADMM Fc1ccc(Cc2n[n-]c(=NC[C@H]3COCCN3)s2)cc1 ZINC000630531061 422160449 /nfs/dbraw/zinc/16/04/49/422160449.db2.gz ALLBZUQEMHUUTK-LBPRGKRZSA-N -1 1 308.382 1.090 20 0 DDADMM CCc1nc(S[C@@H](C)C(=O)NC(=O)NC2CC2)[n-]c(=O)c1C ZINC000583451861 422170541 /nfs/dbraw/zinc/17/05/41/422170541.db2.gz KQTQSVWQOUVTAU-QMMMGPOBSA-N -1 1 324.406 1.522 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCS[C@H](c2ccccc2)C1 ZINC000635579702 422130855 /nfs/dbraw/zinc/13/08/55/422130855.db2.gz JBCLSSAXELLDPV-ZDUSSCGKSA-N -1 1 317.418 1.839 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2cccc(F)c2)CC1 ZINC000633893230 422136364 /nfs/dbraw/zinc/13/63/64/422136364.db2.gz CISYTSZKURFHBH-UHFFFAOYSA-N -1 1 301.321 1.889 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@H](c2ccc(F)cc2)C1 ZINC000635670387 422224673 /nfs/dbraw/zinc/22/46/73/422224673.db2.gz MEBGDHJFEFTRCA-GFCCVEGCSA-N -1 1 303.341 1.678 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](CO)CC2CCC2)c1 ZINC000632294529 422229079 /nfs/dbraw/zinc/22/90/79/422229079.db2.gz LVDGMUMUQKOSIA-LLVKDONJSA-N -1 1 315.391 1.230 20 0 DDADMM Cn1[nH]c(=NC(=O)c2c(F)ccc([O-])c2F)cc1OC(F)F ZINC000575028133 422239706 /nfs/dbraw/zinc/23/97/06/422239706.db2.gz UCWLPXIOCRRDLW-UHFFFAOYSA-N -1 1 319.214 1.680 20 0 DDADMM CCCC[N@H+](C)C[C@@H](C)NS(=O)(=O)c1cc(O)cc(F)c1 ZINC000632343314 422262330 /nfs/dbraw/zinc/26/23/30/422262330.db2.gz LDORSLYEXHTZOM-LLVKDONJSA-N -1 1 318.414 1.930 20 0 DDADMM CC1(C)CC(=O)CCN(C(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000628685175 422285694 /nfs/dbraw/zinc/28/56/94/422285694.db2.gz OOYFNDUELYIFHZ-UHFFFAOYSA-N -1 1 307.419 1.971 20 0 DDADMM CC[C@@H]1[C@H](CO)CCN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632390393 422298871 /nfs/dbraw/zinc/29/88/71/422298871.db2.gz AEUYAKHQZJCKAK-CMPLNLGQSA-N -1 1 315.391 1.182 20 0 DDADMM Cc1nocc1C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000632397751 422308137 /nfs/dbraw/zinc/30/81/37/422308137.db2.gz KUHQSVURUOTHRK-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)CC(F)F ZINC000632497558 422392142 /nfs/dbraw/zinc/39/21/42/422392142.db2.gz KULVWGVSRPFXNF-RXMQYKEDSA-N -1 1 321.271 1.998 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])c1cn(C[C@@H]2CCOC2)nn1 ZINC000628960417 422419546 /nfs/dbraw/zinc/41/95/46/422419546.db2.gz OZKDOHYANRHRHY-VIFPVBQESA-N -1 1 306.297 1.412 20 0 DDADMM COCCN(CCF)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632554388 422431644 /nfs/dbraw/zinc/43/16/44/422431644.db2.gz UTKKTRFTOBMZTC-UHFFFAOYSA-N -1 1 307.343 1.007 20 0 DDADMM CC[C@]1(O)CCCN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000632666709 422505383 /nfs/dbraw/zinc/50/53/83/422505383.db2.gz CDXJKVPUTIIFRB-AWEZNQCLSA-N -1 1 315.391 1.326 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@H]1CCCCC(F)(F)C1 ZINC000635952036 422505456 /nfs/dbraw/zinc/50/54/56/422505456.db2.gz LGSUIZXLAYDGLO-JTQLQIEISA-N -1 1 301.341 1.854 20 0 DDADMM CC(C)[C@H]1CCc2[n-]n(C3CCS(=O)(=O)CC3)c(=O)c2C1 ZINC000634620055 422511875 /nfs/dbraw/zinc/51/18/75/422511875.db2.gz RHKWLYNTOHNFHJ-AAEUAGOBSA-N -1 1 312.435 1.834 20 0 DDADMM CN(CC[N-]S(=O)(=O)c1ccc(C(F)F)o1)CC(F)F ZINC000632601455 422465168 /nfs/dbraw/zinc/46/51/68/422465168.db2.gz GFHNLGDNLYFSOA-UHFFFAOYSA-N -1 1 318.292 1.692 20 0 DDADMM COc1cccc2c1CN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C2 ZINC000629113997 422480462 /nfs/dbraw/zinc/48/04/62/422480462.db2.gz HGJSPRJHTGJJMJ-UHFFFAOYSA-N -1 1 317.301 1.061 20 0 DDADMM COc1ccc(COCCN2C[C@H](OC)C[C@@]2(C)C(=O)[O-])cc1 ZINC000634695385 422555407 /nfs/dbraw/zinc/55/54/07/422555407.db2.gz UJAKWSMAOPKYPM-WBVHZDCISA-N -1 1 323.389 1.776 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1ccc(OC)cc1O)C1CC1 ZINC000629296871 422590536 /nfs/dbraw/zinc/59/05/36/422590536.db2.gz WULXSVXCRPMYGE-CQSZACIVSA-N -1 1 315.391 1.958 20 0 DDADMM CS(=O)(=O)[C@@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000632896632 422650049 /nfs/dbraw/zinc/65/00/49/422650049.db2.gz GRWKWLLIBFCJDR-SNVBAGLBSA-N -1 1 317.794 1.234 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@@H]3CCc4cncn4C3)n2)o1 ZINC000579112328 422708409 /nfs/dbraw/zinc/70/84/09/422708409.db2.gz CQDXQITXAJWMIH-SNVBAGLBSA-N -1 1 312.333 1.771 20 0 DDADMM C[C@@H](CS(C)(=O)=O)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631295178 422668308 /nfs/dbraw/zinc/66/83/08/422668308.db2.gz VPEDYLFNHDAESL-QMMMGPOBSA-N -1 1 305.783 1.137 20 0 DDADMM Cc1noc(C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)n1 ZINC000631314847 422681405 /nfs/dbraw/zinc/68/14/05/422681405.db2.gz FPPDXSAHXXCKND-UHFFFAOYSA-N -1 1 315.329 1.819 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H]1C1CC1 ZINC000650700146 423230981 /nfs/dbraw/zinc/23/09/81/423230981.db2.gz ZTKIMSXXDJMROW-ZDUSSCGKSA-N -1 1 300.362 1.605 20 0 DDADMM O=C([O-])[C@H](CC(F)(F)F)NC(=O)c1[nH]nc2c1CCCC2 ZINC000648339448 423323936 /nfs/dbraw/zinc/32/39/36/423323936.db2.gz JTABHBAORFZDHP-QMMMGPOBSA-N -1 1 305.256 1.424 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@@H](C)[C@H](C(=O)[O-])C2)n[nH]1 ZINC000646152838 423369481 /nfs/dbraw/zinc/36/94/81/423369481.db2.gz DBVFFVZLGBSWOQ-GBIKHYSHSA-N -1 1 308.382 1.401 20 0 DDADMM CC[C@H](C)C(=O)N1CSC[C@H]1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000646412397 423479305 /nfs/dbraw/zinc/47/93/05/423479305.db2.gz GVMVNHSWIHKRKS-CBAPKCEASA-N -1 1 312.395 1.310 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000646411667 423479990 /nfs/dbraw/zinc/47/99/90/423479990.db2.gz MSORHASXRRJYJT-SECBINFHSA-N -1 1 318.308 1.554 20 0 DDADMM COc1cc(CS(=O)(=O)[N-]C(C)(C)C(F)F)sn1 ZINC000641467669 423656161 /nfs/dbraw/zinc/65/61/61/423656161.db2.gz FUCMFVQSFBAXEV-UHFFFAOYSA-N -1 1 300.352 1.615 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1C[C@H]1c1ccccc1C ZINC000651806025 423691595 /nfs/dbraw/zinc/69/15/95/423691595.db2.gz NXYUACPEAXBFLI-NWDGAFQWSA-N -1 1 307.375 1.538 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@H]1c1ccccc1C ZINC000651806025 423691598 /nfs/dbraw/zinc/69/15/98/423691598.db2.gz NXYUACPEAXBFLI-NWDGAFQWSA-N -1 1 307.375 1.538 20 0 DDADMM Cn1nnc2c1CC[C@H](NC(=O)c1ccc3ccccc3c1[O-])C2 ZINC000654112907 423710628 /nfs/dbraw/zinc/71/06/28/423710628.db2.gz XNTGQCMALFUVMQ-LBPRGKRZSA-N -1 1 322.368 1.961 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ncc3c(n2)CCC3)sn1 ZINC000641614221 423755969 /nfs/dbraw/zinc/75/59/69/423755969.db2.gz YPJAPCFIQLBXJK-UHFFFAOYSA-N -1 1 310.404 1.209 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)c(C)c1)C(C)C ZINC000647127136 423765844 /nfs/dbraw/zinc/76/58/44/423765844.db2.gz WZBHQDHFZPKQRR-CYBMUJFWSA-N -1 1 314.407 1.507 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc2c(c1)nnn2C)C1CC1 ZINC000647136580 423774796 /nfs/dbraw/zinc/77/47/96/423774796.db2.gz VIBGEXUKQJHLJT-ZDUSSCGKSA-N -1 1 310.379 1.135 20 0 DDADMM CC[C@@H](COC)[N-]S(=O)(=O)c1ncccc1Br ZINC000656906611 423855418 /nfs/dbraw/zinc/85/54/18/423855418.db2.gz DGIRSMOBQKYTFG-QMMMGPOBSA-N -1 1 323.212 1.547 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)N[C@@H]3CC[C@H](C(=O)[O-])C3)c[nH]c12 ZINC000647261303 423919049 /nfs/dbraw/zinc/91/90/49/423919049.db2.gz VVCKBIBZLAULDQ-WDEREUQCSA-N -1 1 314.341 1.820 20 0 DDADMM O=C([C@H]1CCc2cccnc21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887232 424019346 /nfs/dbraw/zinc/01/93/46/424019346.db2.gz RLZMFZHATCLTFS-LBPRGKRZSA-N -1 1 314.345 1.606 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1ncccc1Br)OC ZINC000656992537 423964431 /nfs/dbraw/zinc/96/44/31/423964431.db2.gz SYKZUGLCVCZXNY-QMMMGPOBSA-N -1 1 323.212 1.547 20 0 DDADMM CC[C@H](NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(=O)NC ZINC000654494903 423964791 /nfs/dbraw/zinc/96/47/91/423964791.db2.gz MYYSVUQBDRCMDB-VIFPVBQESA-N -1 1 304.268 1.665 20 0 DDADMM CO[C@@H]1COC[C@@H]1[N-]S(=O)(=O)Cc1cc(F)ccc1Cl ZINC000657011687 423990477 /nfs/dbraw/zinc/99/04/77/423990477.db2.gz HTTMPSIUNCPCEZ-NWDGAFQWSA-N -1 1 323.773 1.312 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](CO)C2CCC2)c(F)c1 ZINC000657053501 424043726 /nfs/dbraw/zinc/04/37/26/424043726.db2.gz GDMUJMRQEPBIQT-GFCCVEGCSA-N -1 1 305.346 1.713 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1Cc2ccccc2[C@@H]1C ZINC000657111028 424118265 /nfs/dbraw/zinc/11/82/65/424118265.db2.gz JYNJTUBXOIHDGP-QPUJVOFHSA-N -1 1 307.375 1.572 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1ccc(C(=O)[O-])cn1)c1ccsc1 ZINC000642211250 424129751 /nfs/dbraw/zinc/12/97/51/424129751.db2.gz YPZJSZHTAFPRJF-ZDUSSCGKSA-N -1 1 319.386 1.874 20 0 DDADMM CO[C@@](C)(CO)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000655219601 424306628 /nfs/dbraw/zinc/30/66/28/424306628.db2.gz JUGVYSXJPOFCHD-GFCCVEGCSA-N -1 1 307.268 1.538 20 0 DDADMM O=C(NCc1nc([C@H]2CCCO2)n[nH]1)c1c([O-])cccc1F ZINC000655242197 424328733 /nfs/dbraw/zinc/32/87/33/424328733.db2.gz MZRQYXQDCMQGSU-SNVBAGLBSA-N -1 1 306.297 1.431 20 0 DDADMM CC1(C)CNC(=O)[C@@H]1NC(=O)N=c1[n-]sc2ccccc21 ZINC000640342326 424364947 /nfs/dbraw/zinc/36/49/47/424364947.db2.gz IIPUFYNZULBCCL-JTQLQIEISA-N -1 1 304.375 1.364 20 0 DDADMM CN(CCCOc1ccccc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662202715 424469411 /nfs/dbraw/zinc/46/94/11/424469411.db2.gz ORZSGJHUAGNKKK-CQSZACIVSA-N -1 1 306.362 1.073 20 0 DDADMM CCC[C@H]1CN(C(=O)CCCCC(=O)[O-])CCN1CCOC ZINC000655549668 424560931 /nfs/dbraw/zinc/56/09/31/424560931.db2.gz YYFPYIXLZZTAET-AWEZNQCLSA-N -1 1 314.426 1.591 20 0 DDADMM C[C@@H](CNC(=O)NC[C@@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000655855134 424683518 /nfs/dbraw/zinc/68/35/18/424683518.db2.gz CVGDRDFUBXYJFO-STQMWFEESA-N -1 1 323.393 1.827 20 0 DDADMM NS(=O)(=O)Cc1ccc(NC(=O)c2ccc([O-])cc2F)cc1 ZINC000317633674 271022323 /nfs/dbraw/zinc/02/23/23/271022323.db2.gz CXUMQJFUVYWCTE-UHFFFAOYSA-N -1 1 324.333 1.572 20 0 DDADMM CCOc1cccc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)n1 ZINC000342257472 271356499 /nfs/dbraw/zinc/35/64/99/271356499.db2.gz QEDRYLGCJYQCML-UHFFFAOYSA-N -1 1 308.363 1.450 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCCC12CCCCC2 ZINC000345072499 272168433 /nfs/dbraw/zinc/16/84/33/272168433.db2.gz WTAOYCAMDDTVOS-UHFFFAOYSA-N -1 1 317.389 1.842 20 0 DDADMM Cc1ccc2[n-]cc(C(=O)Nc3cccn(C)c3=O)c(=O)c2c1 ZINC000346994423 272598818 /nfs/dbraw/zinc/59/88/18/272598818.db2.gz ZGIWVNRLOKPYMU-UHFFFAOYSA-N -1 1 309.325 1.788 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NCc1cccc(OC)c1)C(=O)[O-] ZINC000263145424 287750896 /nfs/dbraw/zinc/75/08/96/287750896.db2.gz OULJRWQBAPJHBI-NHYWBVRUSA-N -1 1 308.378 1.400 20 0 DDADMM Cc1ccc2c(c1)CC[C@@H](C(=O)/N=c1/ccnc3n(C)[n-]cc1-3)O2 ZINC000283006204 278872790 /nfs/dbraw/zinc/87/27/90/278872790.db2.gz DEGVSBSMBVZFJB-AKGOGDBKSA-N -1 1 322.368 1.983 20 0 DDADMM C[C@@H]1CO[C@H](CO)CN1C(=O)c1ccc2ccccc2c1[O-] ZINC000129258760 281338447 /nfs/dbraw/zinc/33/84/47/281338447.db2.gz QGLZKKZOQXLKBB-YPMHNXCESA-N -1 1 301.342 1.767 20 0 DDADMM CC[C@@H](CNS(=O)(=O)c1c(F)cccc1F)CC(=O)[O-] ZINC000147388383 281646881 /nfs/dbraw/zinc/64/68/81/281646881.db2.gz RCXOHQXUCYFNRN-MRVPVSSYSA-N -1 1 307.318 1.744 20 0 DDADMM CO[C@H](C)CC[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338884279 294235700 /nfs/dbraw/zinc/23/57/00/294235700.db2.gz RZKDYVBAISDGPL-SSDOTTSWSA-N -1 1 315.317 1.142 20 0 DDADMM C[C@@H]1CNCCN1C(=O)N=c1[n-]nc(-c2ccsc2)s1 ZINC000331953521 297102036 /nfs/dbraw/zinc/10/20/36/297102036.db2.gz PBBAWHOARZEWKP-MRVPVSSYSA-N -1 1 309.420 1.514 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)[C@]12CCC(=O)N1CCS2 ZINC000573065114 304573813 /nfs/dbraw/zinc/57/38/13/304573813.db2.gz ZKGGLOVFAAPCCE-CYBMUJFWSA-N -1 1 314.313 1.674 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@@H](C)C2CCC2)o1 ZINC000356884032 298899115 /nfs/dbraw/zinc/89/91/15/298899115.db2.gz WCIRWCJWOZLYMQ-VIFPVBQESA-N -1 1 300.380 1.448 20 0 DDADMM COCCOCCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000357301693 299005086 /nfs/dbraw/zinc/00/50/86/299005086.db2.gz FSEDPGCBAYWKNH-UHFFFAOYSA-N -1 1 305.334 1.774 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCCC[C@@H]1[C@H]1CNC(=O)C1 ZINC000362323851 300049093 /nfs/dbraw/zinc/04/90/93/300049093.db2.gz BNCVJXAWNKJXGR-ZWNOBZJWSA-N -1 1 306.337 1.662 20 0 DDADMM O=C(c1ccco1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000363193384 300229232 /nfs/dbraw/zinc/22/92/32/300229232.db2.gz WHIJWOSWGMWJHZ-UHFFFAOYSA-N -1 1 315.329 1.369 20 0 DDADMM CC[C@@H]1CCCC[C@H]1OCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000364171858 300353198 /nfs/dbraw/zinc/35/31/98/300353198.db2.gz WCNSNIUEXWBPFN-UPJWGTAASA-N -1 1 323.397 1.085 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1C[C@H]1c1ccco1 ZINC000367635425 300867216 /nfs/dbraw/zinc/86/72/16/300867216.db2.gz QDUUOLVLTQHBED-ZJUUUORDSA-N -1 1 303.318 1.354 20 0 DDADMM O=C(N[C@@H]1CC(=O)N2CCCC[C@@H]12)c1c(F)ccc([O-])c1F ZINC000367724142 300877218 /nfs/dbraw/zinc/87/72/18/300877218.db2.gz WAYXZFUYOUKEAY-ZJUUUORDSA-N -1 1 310.300 1.554 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@@H]4COC[C@@H]4C3)nc2)[n-]c(=O)c1C ZINC000367942845 300916809 /nfs/dbraw/zinc/91/68/09/300916809.db2.gz NDEDXJISXBQOHV-OKILXGFUSA-N -1 1 312.373 1.944 20 0 DDADMM Cc1nc([C@H]2CCCCN2C(=O)N=c2[n-]nc(C)s2)n[nH]1 ZINC000369217017 301146888 /nfs/dbraw/zinc/14/68/88/301146888.db2.gz YVINLPTWOAJXQU-SECBINFHSA-N -1 1 307.383 1.454 20 0 DDADMM Cc1cn(-c2cccnc2)nc1NC(=O)[N-]O[C@@H]1CCCCO1 ZINC000372355876 301556902 /nfs/dbraw/zinc/55/69/02/301556902.db2.gz MSKQBWLYDSWTGR-CYBMUJFWSA-N -1 1 317.349 1.577 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@]3(C)CNC(=O)C3)[nH][n-]2)s1 ZINC000372363805 301557563 /nfs/dbraw/zinc/55/75/63/301557563.db2.gz DFSNUGRJXNDPJA-AWEZNQCLSA-N -1 1 304.375 1.333 20 0 DDADMM COC(=O)COc1ccc(N(C)C(=O)c2cncc([O-])c2)cc1 ZINC000354780225 306786754 /nfs/dbraw/zinc/78/67/54/306786754.db2.gz IEEQNQZIVDTRTL-UHFFFAOYSA-N -1 1 316.313 1.616 20 0 DDADMM Cc1onc(-c2ccncc2)c1C(=O)[N-]N1CCCNC1=O ZINC000378632340 302347000 /nfs/dbraw/zinc/34/70/00/302347000.db2.gz LVOPGLGOALILDL-UHFFFAOYSA-N -1 1 301.306 1.105 20 0 DDADMM CC(C)CCc1noc(C[N-]S(=O)(=O)CCOC(C)C)n1 ZINC000356015981 306826694 /nfs/dbraw/zinc/82/66/94/306826694.db2.gz XFIYJVJKOIBZHF-UHFFFAOYSA-N -1 1 319.427 1.503 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C(=O)[N-]O[C@H]1CCOC1 ZINC000495968425 302505319 /nfs/dbraw/zinc/50/53/19/302505319.db2.gz JRGDITDGNPTBGT-GRYCIOLGSA-N -1 1 314.382 1.326 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC[C@H]2CCCOC2)sc1C ZINC000528452960 303028376 /nfs/dbraw/zinc/02/83/76/303028376.db2.gz XORWOXZWJDFLMA-LLVKDONJSA-N -1 1 304.437 1.855 20 0 DDADMM CC1(C)C[C@@H](S(=O)(=O)[N-]C(=O)c2cccc3cn[nH]c32)CO1 ZINC000529105192 303090144 /nfs/dbraw/zinc/09/01/44/303090144.db2.gz LIQVPYLWXRFLTL-SNVBAGLBSA-N -1 1 323.374 1.190 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)c1cn(C[C@H]2CCOC2)nn1 ZINC000529465249 303130592 /nfs/dbraw/zinc/13/05/92/303130592.db2.gz KGOYDYRLSBMARV-MRVPVSSYSA-N -1 1 324.287 1.551 20 0 DDADMM Cc1cccc(CC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)c1 ZINC000531245123 303235787 /nfs/dbraw/zinc/23/57/87/303235787.db2.gz KUMDHFVJBIJTLW-UHFFFAOYSA-N -1 1 317.418 1.150 20 0 DDADMM O=C([O-])CCN(C[C@H]1CCCO1)C(=O)c1ccc2[nH]nnc2c1 ZINC000531746808 303254430 /nfs/dbraw/zinc/25/44/30/303254430.db2.gz AVMQRVKRWFXQKP-LLVKDONJSA-N -1 1 318.333 1.054 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCO[C@@H]3CCCC[C@H]32)CCCC1 ZINC000533202503 303313769 /nfs/dbraw/zinc/31/37/69/303313769.db2.gz DPYJKXNBFXNZAR-CHWSQXEVSA-N -1 1 310.394 1.143 20 0 DDADMM CSc1n[nH]c([N-]S(=O)(=O)Cc2ccc(F)cc2F)n1 ZINC000537874547 303380857 /nfs/dbraw/zinc/38/08/57/303380857.db2.gz JXINZXKRWBETGD-UHFFFAOYSA-N -1 1 320.346 1.747 20 0 DDADMM Cc1nnc(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)s1 ZINC000541891374 303432983 /nfs/dbraw/zinc/43/29/83/303432983.db2.gz VAGBQRAJKWASOL-UHFFFAOYSA-N -1 1 321.406 1.878 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1c1ccccc1 ZINC000545885173 303501559 /nfs/dbraw/zinc/50/15/59/303501559.db2.gz NEJLWUXXLFSKGT-HZMBPMFUSA-N -1 1 309.329 1.251 20 0 DDADMM CCc1cc(=O)[n-]c(SC[C@@H]2CCCS(=O)(=O)C2)n1 ZINC000551462183 303633085 /nfs/dbraw/zinc/63/30/85/303633085.db2.gz VCOZXKAFDVFYJB-VIFPVBQESA-N -1 1 302.421 1.662 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@H]1C[C@]12CCOC2 ZINC000363846935 307036755 /nfs/dbraw/zinc/03/67/55/307036755.db2.gz CLTCVVQYCGHCGD-KRTXAFLBSA-N -1 1 313.151 1.915 20 0 DDADMM Cc1nc([C@H]2COCCN2C(=O)c2ccc([O-])cc2F)no1 ZINC000369434803 307119979 /nfs/dbraw/zinc/11/99/79/307119979.db2.gz FCNPGCGSBHMEFK-GFCCVEGCSA-N -1 1 307.281 1.436 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCOC[C@H](C)C1 ZINC000371328236 307147469 /nfs/dbraw/zinc/14/74/69/307147469.db2.gz TUNKKCHPVOVGQU-SNVBAGLBSA-N -1 1 307.394 1.897 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@]2(C)CCCNC2=O)c1 ZINC000374484783 307208851 /nfs/dbraw/zinc/20/88/51/307208851.db2.gz NYOWUTSCYNOFPW-HNNXBMFYSA-N -1 1 306.318 1.034 20 0 DDADMM Cc1nc(CO[C@H]2CCN(C(=O)c3cncc([O-])c3)C2)cs1 ZINC000374889860 307215977 /nfs/dbraw/zinc/21/59/77/307215977.db2.gz AHRFIVFBLWYIRH-AWEZNQCLSA-N -1 1 319.386 1.983 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3C[C@H]4OCCC[C@@H]34)ccnc1-2 ZINC000376598627 307248940 /nfs/dbraw/zinc/24/89/40/307248940.db2.gz TZJBTSSPHFATCT-GEEVKMDQSA-N -1 1 301.350 1.031 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H]3CCO[C@H]3C3CC3)ccnc1-2 ZINC000376672746 307252874 /nfs/dbraw/zinc/25/28/74/307252874.db2.gz MNIYRBPYZPBRHQ-PWONOCEESA-N -1 1 301.350 1.031 20 0 DDADMM C[C@H]1OC(=O)N[C@@H]1C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000496698968 307357014 /nfs/dbraw/zinc/35/70/14/307357014.db2.gz HCHFYRQMJRQPDO-INWYIAFRSA-N -1 1 322.271 1.212 20 0 DDADMM CC(C)C[C@@H](C)OC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000496981716 307373463 /nfs/dbraw/zinc/37/34/63/307373463.db2.gz NMHGCYRDUHYNDT-SNVBAGLBSA-N -1 1 313.427 1.644 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)NOCCO)c([O-])c1 ZINC000497285575 307388590 /nfs/dbraw/zinc/38/85/90/307388590.db2.gz MKJNXFWFLYCRIK-UHFFFAOYSA-N -1 1 312.322 1.393 20 0 DDADMM CC1(C)CN(C(=O)c2cc(=O)n3[n-]cnc3n2)Cc2ccccc21 ZINC000546128007 307726328 /nfs/dbraw/zinc/72/63/28/307726328.db2.gz FAGKKDKJMWRSNG-UHFFFAOYSA-N -1 1 323.356 1.351 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CCC(C)(C)O2)sc1C ZINC000548294340 307762979 /nfs/dbraw/zinc/76/29/79/307762979.db2.gz FDXMBQBNQRPIQW-SNVBAGLBSA-N -1 1 304.437 1.996 20 0 DDADMM O=C(NCCCn1cccnc1=O)c1cc(Cl)ccc1[O-] ZINC000560537132 307915286 /nfs/dbraw/zinc/91/52/86/307915286.db2.gz NFUKWTIJIVVBMI-UHFFFAOYSA-N -1 1 307.737 1.422 20 0 DDADMM Cc1cncc(-c2nc(CN3C[C@@H](C)[C@](C)(C(=O)[O-])C3)no2)c1 ZINC000565112602 308021379 /nfs/dbraw/zinc/02/13/79/308021379.db2.gz WAMJLRZWFBILGV-BDJLRTHQSA-N -1 1 316.361 1.983 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1c(F)cccc1CO)C1CC1 ZINC000565716633 308038206 /nfs/dbraw/zinc/03/82/06/308038206.db2.gz WLUFRHAJUMKTMQ-LBPRGKRZSA-N -1 1 303.355 1.485 20 0 DDADMM O=C(c1ccnc(OC(F)F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000567014653 308068647 /nfs/dbraw/zinc/06/86/47/308068647.db2.gz ZYYXTCUVGYKWKT-VIFPVBQESA-N -1 1 324.291 1.216 20 0 DDADMM CN1CCC[C@@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)C1=O ZINC000576935307 308350570 /nfs/dbraw/zinc/35/05/70/308350570.db2.gz ZNKDDLPBUKJEKR-LBPRGKRZSA-N -1 1 314.345 1.589 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2NCCc3ccccc32)C[C@H]1C(=O)[O-] ZINC000577388742 308385200 /nfs/dbraw/zinc/38/52/00/308385200.db2.gz MFSNCYOZKXMBPX-KCPJHIHWSA-N -1 1 302.374 1.443 20 0 DDADMM C[C@@H]1C[C@@H](O)CN1C(=O)c1cc(Br)ccc1[O-] ZINC000384875114 325752860 /nfs/dbraw/zinc/75/28/60/325752860.db2.gz YXSBBFXJOVISPX-VXNVDRBHSA-N -1 1 300.152 1.750 20 0 DDADMM O=C(N=c1ncn(C2CCCCC2)[n-]1)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000583072325 337235872 /nfs/dbraw/zinc/23/58/72/337235872.db2.gz NITCUZLJXYLQHS-BETUJISGSA-N -1 1 318.425 1.563 20 0 DDADMM Cc1ccsc1-c1nc(=NC(=O)N2CCN[C@@H](C)C2)s[n-]1 ZINC000583151230 337246683 /nfs/dbraw/zinc/24/66/83/337246683.db2.gz PPNYWDWEIHFYMU-VIFPVBQESA-N -1 1 323.447 1.823 20 0 DDADMM COC[C@@H](C(N)=O)N(C)C(=O)c1cc2ccccc2cc1[O-] ZINC000436932648 484127371 /nfs/dbraw/zinc/12/73/71/484127371.db2.gz PWBNUMMIHOGWIK-ZDUSSCGKSA-N -1 1 302.330 1.118 20 0 DDADMM COC(=O)c1n[nH]cc1NC(=O)c1cc(NC(C)=O)ccc1[O-] ZINC000436992742 484134639 /nfs/dbraw/zinc/13/46/39/484134639.db2.gz XVXPWQYNZFHEBC-UHFFFAOYSA-N -1 1 318.289 1.113 20 0 DDADMM CN1CCc2c([N-]S(=O)(=O)C[C@H]3CCCO3)cccc2C1=O ZINC000656635762 484254121 /nfs/dbraw/zinc/25/41/21/484254121.db2.gz GCTZAWBDSFJMLK-LLVKDONJSA-N -1 1 324.402 1.235 20 0 DDADMM Cc1cc(N[C@@H]2C[C@H](C(=O)[O-])C[C@H]2O)c2cc(F)ccc2n1 ZINC000662894711 484525926 /nfs/dbraw/zinc/52/59/26/484525926.db2.gz BZJGPZQCFGUBCS-TZTCFGBESA-N -1 1 304.321 1.740 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)c1cccc(OCC(=O)[O-])c1 ZINC000663045521 484623609 /nfs/dbraw/zinc/62/36/09/484623609.db2.gz WLSKGFJTXGKTKW-HNNXBMFYSA-N -1 1 320.389 1.562 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C)nc1C ZINC000663301817 484793567 /nfs/dbraw/zinc/79/35/67/484793567.db2.gz PBDNVZZAUCJWRH-JTQLQIEISA-N -1 1 315.395 1.007 20 0 DDADMM O=C([C@@H]1Cc2ccccc2O1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670384430 484801953 /nfs/dbraw/zinc/80/19/53/484801953.db2.gz SOQCRQYAEHKZFV-AAEUAGOBSA-N -1 1 315.329 1.485 20 0 DDADMM CN1C[C@@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)CCC1=O ZINC000670417634 484820269 /nfs/dbraw/zinc/82/02/69/484820269.db2.gz RNMFWRKTQJNJPR-VIFPVBQESA-N -1 1 316.279 1.762 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCC[C@H]2O)c(F)c1 ZINC000668236903 485046322 /nfs/dbraw/zinc/04/63/22/485046322.db2.gz CRITWQDJPNEEGV-GHMZBOCLSA-N -1 1 307.318 1.165 20 0 DDADMM O=C(NCCOC[C@H]1CCCO1)c1ncc2ccccc2c1[O-] ZINC000668797976 485325112 /nfs/dbraw/zinc/32/51/12/485325112.db2.gz HIZLJIMEAXTCHR-CYBMUJFWSA-N -1 1 316.357 1.866 20 0 DDADMM C[C@H](C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)c1cccnc1 ZINC000673701772 485446072 /nfs/dbraw/zinc/44/60/72/485446072.db2.gz OBSJAWGFKLBDEX-SMDDNHRTSA-N -1 1 308.345 1.604 20 0 DDADMM C[C@@H](C(=O)NCc1nn[n-]n1)c1ccc(Cl)c(Cl)c1 ZINC000673741549 485448003 /nfs/dbraw/zinc/44/80/03/485448003.db2.gz ZSIZAPZDHSVFHD-ZCFIWIBFSA-N -1 1 300.149 1.926 20 0 DDADMM CSc1ccncc1[N-]C(=O)c1nc2nccc(C)n2n1 ZINC000674255877 485573289 /nfs/dbraw/zinc/57/32/89/485573289.db2.gz GIUHMRZBFUWIGQ-UHFFFAOYSA-N -1 1 300.347 1.802 20 0 DDADMM O=C(CCOc1cccc(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000678754571 485673723 /nfs/dbraw/zinc/67/37/23/485673723.db2.gz JWLOAELCTCDFPA-NSHDSACASA-N -1 1 319.340 1.514 20 0 DDADMM Cc1ccccc1-c1noc(CS(=O)(=O)c2ncn[n-]2)n1 ZINC000674754559 485718084 /nfs/dbraw/zinc/71/80/84/485718084.db2.gz SFUVZVUMBRMALS-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM Cc1ccccc1-c1noc(CS(=O)(=O)c2nc[n-]n2)n1 ZINC000674754559 485718090 /nfs/dbraw/zinc/71/80/90/485718090.db2.gz SFUVZVUMBRMALS-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM CCC[C@@H](NC(=O)CNc1ccccc1Cl)c1nn[n-]n1 ZINC000675144145 485866330 /nfs/dbraw/zinc/86/63/30/485866330.db2.gz IBUFOYBCRXDBHR-LLVKDONJSA-N -1 1 308.773 1.923 20 0 DDADMM CC(C)(C)Oc1cccc(CCC(=O)NCc2nn[n-]n2)n1 ZINC000675157039 485869244 /nfs/dbraw/zinc/86/92/44/485869244.db2.gz XTEHSOIMKNYLMO-UHFFFAOYSA-N -1 1 304.354 1.021 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(Br)c(F)cc1F ZINC000683243776 485918397 /nfs/dbraw/zinc/91/83/97/485918397.db2.gz JKWFHJPUFLDZBH-UHFFFAOYSA-N -1 1 318.081 1.170 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NC2CC=CC2)o1 ZINC000675349527 485922277 /nfs/dbraw/zinc/92/22/77/485922277.db2.gz ZPCSQYNJNUKZBH-UHFFFAOYSA-N -1 1 312.391 1.805 20 0 DDADMM C[C@@H]1COCC[C@H]1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000683526873 486040165 /nfs/dbraw/zinc/04/01/65/486040165.db2.gz KVYSHVDFAVGENG-RTXFEEFZSA-N -1 1 301.350 1.078 20 0 DDADMM O=C([O-])CN(C(=O)c1cc(-c2ccoc2)[nH]n1)C1CCOCC1 ZINC000675996945 486084910 /nfs/dbraw/zinc/08/49/10/486084910.db2.gz KFVDGYXIJYMAGH-UHFFFAOYSA-N -1 1 319.317 1.376 20 0 DDADMM C[C@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccncc1 ZINC000676125016 486123836 /nfs/dbraw/zinc/12/38/36/486123836.db2.gz WKWWTWJEHKTVDX-MLGOLLRUSA-N -1 1 322.372 1.994 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1c(F)cc(OC)cc1F)C1CC1 ZINC000676733534 486304531 /nfs/dbraw/zinc/30/45/31/486304531.db2.gz JJVAOQFDVHRXNM-LBPRGKRZSA-N -1 1 321.345 1.677 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2ccc([O-])c(F)c2)[C@]12CCCO2 ZINC000681067608 486336521 /nfs/dbraw/zinc/33/65/21/486336521.db2.gz CMSCKDNMTKHAFR-SQWLQELKSA-N -1 1 309.337 1.988 20 0 DDADMM NC(=O)N1CC[C@@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000684873991 486449553 /nfs/dbraw/zinc/44/95/53/486449553.db2.gz HTONLHLRPGEDBM-MRVPVSSYSA-N -1 1 317.267 1.294 20 0 DDADMM O=C(Nc1cccc(-c2nc(=O)o[n-]2)c1)c1cnc2nc[nH]c2c1 ZINC000677878013 486563689 /nfs/dbraw/zinc/56/36/89/486563689.db2.gz PMJBFTZPWGZMFF-UHFFFAOYSA-N -1 1 322.284 1.554 20 0 DDADMM C[C@@H]1CCC[C@@H](OCCCCCC(=O)NCc2nn[n-]n2)C1 ZINC000678041404 486614889 /nfs/dbraw/zinc/61/48/89/486614889.db2.gz JOAMYOHLGGOREY-CHWSQXEVSA-N -1 1 309.414 1.972 20 0 DDADMM COC(=O)c1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)o1 ZINC000331813588 533983667 /nfs/dbraw/zinc/98/36/67/533983667.db2.gz OBYMWKJODRTHJR-MRVPVSSYSA-N -1 1 321.289 1.175 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)C(=O)N[C@H](C(=O)[O-])C(C)C ZINC000422658411 534126081 /nfs/dbraw/zinc/12/60/81/534126081.db2.gz RSVAKGMSIDIQJI-LBPRGKRZSA-N -1 1 318.333 1.035 20 0 DDADMM Cc1cn2c(n1)[C@@H](CNC(=O)c1ncc(C)cc1[O-])CCC2 ZINC000331716855 534508885 /nfs/dbraw/zinc/50/88/85/534508885.db2.gz GJMYHFVSPHVSIG-GFCCVEGCSA-N -1 1 300.362 1.908 20 0 DDADMM Cc1cnc(C(=O)N2CSC[C@H]2C(=O)NC(C)(C)C)c([O-])c1 ZINC000330887068 534525320 /nfs/dbraw/zinc/52/53/20/534525320.db2.gz SKIYYJZRORCVSE-JTQLQIEISA-N -1 1 323.418 1.525 20 0 DDADMM O=C([C@H]1CCCc2nccn21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000424524902 534629826 /nfs/dbraw/zinc/62/98/26/534629826.db2.gz ADASWQHXLCGNPK-GHMZBOCLSA-N -1 1 317.349 1.255 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC[C@@](C)(F)C1 ZINC000295430470 534636927 /nfs/dbraw/zinc/63/69/27/534636927.db2.gz DPTXSJUHBXVKIN-MRXNPFEDSA-N -1 1 320.368 1.533 20 0 DDADMM O=C(Nc1ccc(Cl)cc1[O-])c1cn([C@H]2CCOC2)nn1 ZINC000331932486 534829900 /nfs/dbraw/zinc/82/99/00/534829900.db2.gz LHJFVMHCFAZDSY-VIFPVBQESA-N -1 1 308.725 1.851 20 0 DDADMM C/C=C(/C=C/C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])CC ZINC000492332557 527556103 /nfs/dbraw/zinc/55/61/03/527556103.db2.gz SYPLLDAJPPOCDP-ZVBUZHGDSA-N -1 1 310.375 1.891 20 0 DDADMM CCC(=O)N1CC[C@H]([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000451312919 528378920 /nfs/dbraw/zinc/37/89/20/528378920.db2.gz KMOVCDRMCUEWRX-QMMMGPOBSA-N -1 1 322.839 1.691 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2ccc(F)cc2O)CC1 ZINC000414374957 528678533 /nfs/dbraw/zinc/67/85/33/528678533.db2.gz QGONFHYVLCSVNX-UHFFFAOYSA-N -1 1 317.338 1.759 20 0 DDADMM CC1(C)COC[C@@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000451964444 529133500 /nfs/dbraw/zinc/13/35/00/529133500.db2.gz JHSDABKVURJVGW-ZETCQYMHSA-N -1 1 324.196 1.745 20 0 DDADMM c1ccc(O[C@@H]2CCCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000738436927 598831078 /nfs/dbraw/zinc/83/10/78/598831078.db2.gz VVJLFAYSHKGBQZ-CYBMUJFWSA-N -1 1 323.360 1.705 20 0 DDADMM c1ccc(O[C@@H]2CCCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000738436927 598831079 /nfs/dbraw/zinc/83/10/79/598831079.db2.gz VVJLFAYSHKGBQZ-CYBMUJFWSA-N -1 1 323.360 1.705 20 0 DDADMM C[C@H]1CC(NC(=O)c2ccc(-c3nnn[n-]3)nc2)C[C@H](C)C1 ZINC000736223677 598838344 /nfs/dbraw/zinc/83/83/44/598838344.db2.gz JQXMBZJNCHNHIY-NXEZZACHSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@H]1CC(NC(=O)c2ccc(-c3nn[n-]n3)nc2)C[C@H](C)C1 ZINC000736223677 598838346 /nfs/dbraw/zinc/83/83/46/598838346.db2.gz JQXMBZJNCHNHIY-NXEZZACHSA-N -1 1 300.366 1.816 20 0 DDADMM CO[C@H](C)CN(C(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)C ZINC000736959371 598943838 /nfs/dbraw/zinc/94/38/38/598943838.db2.gz BNDGDMOPAKIVIZ-SNVBAGLBSA-N -1 1 304.354 1.147 20 0 DDADMM CO[C@H](C)CN(C(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)C ZINC000736959371 598943839 /nfs/dbraw/zinc/94/38/39/598943839.db2.gz BNDGDMOPAKIVIZ-SNVBAGLBSA-N -1 1 304.354 1.147 20 0 DDADMM O=C(NCCc1cccs1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738087279 598984051 /nfs/dbraw/zinc/98/40/51/598984051.db2.gz CQLRLLINBVVQGU-UHFFFAOYSA-N -1 1 300.347 1.296 20 0 DDADMM O=C(NCCc1cccs1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738087279 598984053 /nfs/dbraw/zinc/98/40/53/598984053.db2.gz CQLRLLINBVVQGU-UHFFFAOYSA-N -1 1 300.347 1.296 20 0 DDADMM CC(C)[C@@H](C)N(C(=O)c1ccc(-c2nnn[n-]2)nc1)C1CC1 ZINC000735703905 598990292 /nfs/dbraw/zinc/99/02/92/598990292.db2.gz XMBWMWZUYWSBIB-SNVBAGLBSA-N -1 1 300.366 1.911 20 0 DDADMM CC(C)[C@@H](C)N(C(=O)c1ccc(-c2nn[n-]n2)nc1)C1CC1 ZINC000735703905 598990293 /nfs/dbraw/zinc/99/02/93/598990293.db2.gz XMBWMWZUYWSBIB-SNVBAGLBSA-N -1 1 300.366 1.911 20 0 DDADMM C[C@H]1CCC[C@H](CNC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736251485 598997269 /nfs/dbraw/zinc/99/72/69/598997269.db2.gz OQWSPBCRZNVARY-QWRGUYRKSA-N -1 1 300.366 1.818 20 0 DDADMM C[C@H]1CCC[C@H](CNC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736251485 598997271 /nfs/dbraw/zinc/99/72/71/598997271.db2.gz OQWSPBCRZNVARY-QWRGUYRKSA-N -1 1 300.366 1.818 20 0 DDADMM CCOC[C@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736610850 599006416 /nfs/dbraw/zinc/00/64/16/599006416.db2.gz XQAXVXTZDJVREJ-NSHDSACASA-N -1 1 316.365 1.150 20 0 DDADMM CCOC[C@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736610850 599006419 /nfs/dbraw/zinc/00/64/19/599006419.db2.gz XQAXVXTZDJVREJ-NSHDSACASA-N -1 1 316.365 1.150 20 0 DDADMM COc1ccc(-c2noc(-c3ccc(-c4nnn[n-]4)nc3)n2)cc1 ZINC000737062447 599010129 /nfs/dbraw/zinc/01/01/29/599010129.db2.gz MMLIFFVYEGMPPU-UHFFFAOYSA-N -1 1 321.300 1.987 20 0 DDADMM COc1ccc(-c2noc(-c3ccc(-c4nn[n-]n4)nc3)n2)cc1 ZINC000737062447 599010131 /nfs/dbraw/zinc/01/01/31/599010131.db2.gz MMLIFFVYEGMPPU-UHFFFAOYSA-N -1 1 321.300 1.987 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N(Cc1cccnc1)C1CC1 ZINC000738257812 599021512 /nfs/dbraw/zinc/02/15/12/599021512.db2.gz DQRXAJCHTBVMGA-UHFFFAOYSA-N -1 1 321.344 1.462 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N(Cc1cccnc1)C1CC1 ZINC000738257812 599021514 /nfs/dbraw/zinc/02/15/14/599021514.db2.gz DQRXAJCHTBVMGA-UHFFFAOYSA-N -1 1 321.344 1.462 20 0 DDADMM O=C(NCCCc1nccs1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738075327 599029178 /nfs/dbraw/zinc/02/91/78/599029178.db2.gz NCSYVUSDUOAVMU-UHFFFAOYSA-N -1 1 315.362 1.081 20 0 DDADMM O=C(NCCCc1nccs1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738075327 599029180 /nfs/dbraw/zinc/02/91/80/599029180.db2.gz NCSYVUSDUOAVMU-UHFFFAOYSA-N -1 1 315.362 1.081 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCCC[C@H]1c1cn[nH]c1 ZINC000738258158 599034042 /nfs/dbraw/zinc/03/40/42/599034042.db2.gz GGSMNFDRFAWEHK-ZDUSSCGKSA-N -1 1 324.348 1.352 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCCC[C@H]1c1cn[nH]c1 ZINC000738258158 599034043 /nfs/dbraw/zinc/03/40/43/599034043.db2.gz GGSMNFDRFAWEHK-ZDUSSCGKSA-N -1 1 324.348 1.352 20 0 DDADMM CCc1cnc(CCNc2cccc(-c3nnn[n-]3)n2)s1 ZINC000821496182 599083651 /nfs/dbraw/zinc/08/36/51/599083651.db2.gz DZQTXPNXBNRXOO-UHFFFAOYSA-N -1 1 301.379 1.935 20 0 DDADMM CCc1cnc(CCNc2cccc(-c3nn[n-]n3)n2)s1 ZINC000821496182 599083653 /nfs/dbraw/zinc/08/36/53/599083653.db2.gz DZQTXPNXBNRXOO-UHFFFAOYSA-N -1 1 301.379 1.935 20 0 DDADMM CCO[C@@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)C12CCC2 ZINC000736613744 599119998 /nfs/dbraw/zinc/11/99/98/599119998.db2.gz SXVRDEJYRZGZEZ-VHSXEESVSA-N -1 1 301.354 1.416 20 0 DDADMM CCO[C@@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)C12CCC2 ZINC000736613744 599120000 /nfs/dbraw/zinc/12/00/00/599120000.db2.gz SXVRDEJYRZGZEZ-VHSXEESVSA-N -1 1 301.354 1.416 20 0 DDADMM CCS(=O)(=O)C[C@H](C)N(C)C[C@@H]1CCCN(C(=O)[O-])C1 ZINC000739518558 602840911 /nfs/dbraw/zinc/84/09/11/602840911.db2.gz ZXWBDONDBFIFIC-RYUDHWBXSA-N -1 1 306.428 1.131 20 0 DDADMM CN(C)CCN(CC(=O)[O-])C(=O)c1cc(=O)c2ccccc2[nH]1 ZINC000322731113 596901234 /nfs/dbraw/zinc/90/12/34/596901234.db2.gz YJIIFXRMGQEXGY-UHFFFAOYSA-N -1 1 317.345 1.029 20 0 DDADMM O=C([O-])C1CCN(CC(=O)NCc2cccc(Cl)c2)CC1 ZINC000262177941 596923947 /nfs/dbraw/zinc/92/39/47/596923947.db2.gz RQBVBFHQKFVOFC-UHFFFAOYSA-N -1 1 310.781 1.753 20 0 DDADMM C[C@H](CNC(=O)N(C)CCC(=O)[O-])N1CCc2ccccc2C1 ZINC000818009300 596990905 /nfs/dbraw/zinc/99/09/05/596990905.db2.gz ZOPZUHUHXMIMFB-CYBMUJFWSA-N -1 1 319.405 1.549 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(Cn2cnc(-c3ccccn3)n2)C1 ZINC000821516016 597492645 /nfs/dbraw/zinc/49/26/45/597492645.db2.gz MJKLJYJWXCEWHN-LBPRGKRZSA-N -1 1 301.350 1.484 20 0 DDADMM CN(CC(=O)N1CCC[C@@H](CC(=O)[O-])C1)[C@@H]1CCSC1 ZINC000820554354 598053194 /nfs/dbraw/zinc/05/31/94/598053194.db2.gz OFPRRXBRQSLGAL-NWDGAFQWSA-N -1 1 300.424 1.137 20 0 DDADMM O=C(Nc1nc(C(F)(F)F)c[nH]1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823379647 607325063 /nfs/dbraw/zinc/32/50/63/607325063.db2.gz BYMDKQMLCOPDDO-UHFFFAOYSA-N -1 1 324.226 1.256 20 0 DDADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@@H](c2ccccc2)O1 ZINC000736293328 598348165 /nfs/dbraw/zinc/34/81/65/598348165.db2.gz NWPNQRMJWPACNV-AAEUAGOBSA-N -1 1 323.360 1.623 20 0 DDADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@@H](c2ccccc2)O1 ZINC000736293328 598348166 /nfs/dbraw/zinc/34/81/66/598348166.db2.gz NWPNQRMJWPACNV-AAEUAGOBSA-N -1 1 323.360 1.623 20 0 DDADMM CN(C[C@@H](O)C(F)(F)F)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825901172 608409691 /nfs/dbraw/zinc/40/96/91/608409691.db2.gz CMKSFGMOLMDTAU-ZCFIWIBFSA-N -1 1 322.678 1.275 20 0 DDADMM CN(C[C@@H](O)C(F)(F)F)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825901172 608409692 /nfs/dbraw/zinc/40/96/92/608409692.db2.gz CMKSFGMOLMDTAU-ZCFIWIBFSA-N -1 1 322.678 1.275 20 0 DDADMM Clc1nsc(N2CCc3cncnc3C2)c1-c1nnn[n-]1 ZINC000737583510 598545707 /nfs/dbraw/zinc/54/57/07/598545707.db2.gz LQVQPJDDCZZUNW-UHFFFAOYSA-N -1 1 320.769 1.329 20 0 DDADMM Clc1nsc(N2CCc3cncnc3C2)c1-c1nn[n-]n1 ZINC000737583510 598545709 /nfs/dbraw/zinc/54/57/09/598545709.db2.gz LQVQPJDDCZZUNW-UHFFFAOYSA-N -1 1 320.769 1.329 20 0 DDADMM O=C(NCC1(O)CCCCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738041162 599387233 /nfs/dbraw/zinc/38/72/33/599387233.db2.gz WVHOILGUCLHXSI-UHFFFAOYSA-N -1 1 316.365 1.077 20 0 DDADMM O=C(NCC1(O)CCCCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738041162 599387236 /nfs/dbraw/zinc/38/72/36/599387236.db2.gz WVHOILGUCLHXSI-UHFFFAOYSA-N -1 1 316.365 1.077 20 0 DDADMM COC(=O)[C@@H]1CC12CCN(c1cccc(-c3nnn[n-]3)n1)CC2 ZINC000736908307 599439897 /nfs/dbraw/zinc/43/98/97/599439897.db2.gz LBAJRWIAPWXTHA-JTQLQIEISA-N -1 1 314.349 1.041 20 0 DDADMM COC(=O)[C@@H]1CC12CCN(c1cccc(-c3nn[n-]n3)n1)CC2 ZINC000736908307 599439900 /nfs/dbraw/zinc/43/99/00/599439900.db2.gz LBAJRWIAPWXTHA-JTQLQIEISA-N -1 1 314.349 1.041 20 0 DDADMM COCCOCCCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000821692790 599467340 /nfs/dbraw/zinc/46/73/40/599467340.db2.gz CDTCUJWAMVICNQ-UHFFFAOYSA-N -1 1 318.790 1.442 20 0 DDADMM COCCOCCCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000821692790 599467342 /nfs/dbraw/zinc/46/73/42/599467342.db2.gz CDTCUJWAMVICNQ-UHFFFAOYSA-N -1 1 318.790 1.442 20 0 DDADMM CC[C@H]1C[C@@H](C)CN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820973297 599683807 /nfs/dbraw/zinc/68/38/07/599683807.db2.gz VZAGIOPTPIJUCL-ZJUUUORDSA-N -1 1 322.394 1.071 20 0 DDADMM CC[C@H]1C[C@@H](C)CN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820973297 599683808 /nfs/dbraw/zinc/68/38/08/599683808.db2.gz VZAGIOPTPIJUCL-ZJUUUORDSA-N -1 1 322.394 1.071 20 0 DDADMM CC(C)CCc1noc(Cn2cccc(-c3nn[n-]n3)c2=O)n1 ZINC000820081358 599693896 /nfs/dbraw/zinc/69/38/96/599693896.db2.gz DKKFGFJBBLDFLW-UHFFFAOYSA-N -1 1 315.337 1.048 20 0 DDADMM Cc1cccc(O[C@H](C)C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000378735888 599778018 /nfs/dbraw/zinc/77/80/18/599778018.db2.gz ZIBALZVCGHHVFV-RISCZKNCSA-N -1 1 317.345 1.298 20 0 DDADMM CC(C)C[C@@H]1NC(=O)N(CN2CCCC[C@H]2CC(=O)[O-])C1=O ZINC000736429384 599839176 /nfs/dbraw/zinc/83/91/76/599839176.db2.gz UXYBOQNGMNJMDZ-RYUDHWBXSA-N -1 1 311.382 1.240 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1CN1C(=O)[C@H]2CC=CC[C@@H]2C1=O ZINC000739639377 599841659 /nfs/dbraw/zinc/84/16/59/599841659.db2.gz LNHXYOUDKNULNY-AVGNSLFASA-N -1 1 306.362 1.224 20 0 DDADMM CC[C@@H]1CN(Cc2cc(C(=O)[O-])oc2C)CC[N@H+]1CCOC ZINC000736943172 599960999 /nfs/dbraw/zinc/96/09/99/599960999.db2.gz JBMJQAZMATVZEB-CQSZACIVSA-N -1 1 310.394 1.829 20 0 DDADMM O=C([O-])CC1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000738564293 600077606 /nfs/dbraw/zinc/07/76/06/600077606.db2.gz MZFIKVSNKCOTJI-OAHLLOKOSA-N -1 1 302.374 1.979 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCO[C@@H]3CCCC[C@H]32)CCCCC1 ZINC000739308644 600148788 /nfs/dbraw/zinc/14/87/88/600148788.db2.gz SDHMXDQYAVGJMP-ZIAGYGMSSA-N -1 1 324.421 1.534 20 0 DDADMM Cc1[nH]nc(S(=O)(=O)Nc2cc(F)ccc2F)c1C(=O)[O-] ZINC000738828474 600229396 /nfs/dbraw/zinc/22/93/96/600229396.db2.gz CZQDTRPDCICONT-UHFFFAOYSA-N -1 1 317.273 1.495 20 0 DDADMM C[C@@](NS(=O)(=O)c1c(F)cccc1F)(C(=O)[O-])C1CC1 ZINC000036983590 600239438 /nfs/dbraw/zinc/23/94/38/600239438.db2.gz NXGGOHPZIFQGAQ-LBPRGKRZSA-N -1 1 305.302 1.496 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@H]2CCCCN2C)C(=O)[O-])cc1 ZINC000738554151 600364308 /nfs/dbraw/zinc/36/43/08/600364308.db2.gz IYFXDGLUEDJFFU-HUUCEWRRSA-N -1 1 304.390 1.764 20 0 DDADMM COc1ccc(NC(=O)CN(C)C[C@@H](C)C(=O)[O-])cc1OC ZINC000738028344 600374862 /nfs/dbraw/zinc/37/48/62/600374862.db2.gz ONXWVAFRGVHGJB-SNVBAGLBSA-N -1 1 310.350 1.295 20 0 DDADMM COC(=O)CCN(CC(=O)Nc1ccc(C(=O)[O-])cc1)C(C)C ZINC000737598564 600395702 /nfs/dbraw/zinc/39/57/02/600395702.db2.gz CMFFBAMQEQYFRL-UHFFFAOYSA-N -1 1 322.361 1.597 20 0 DDADMM Cc1[nH]c(C(=O)N2CCN(C)C[C@H]2C(C)C)c(C)c1C(=O)[O-] ZINC000738162312 600417324 /nfs/dbraw/zinc/41/73/24/600417324.db2.gz WFVWQSFVIXDFEO-LBPRGKRZSA-N -1 1 307.394 1.742 20 0 DDADMM CCN(CC(=O)NC1(C(=O)[O-])CCCCC1)C[C@@H]1CCCO1 ZINC000737066526 600507632 /nfs/dbraw/zinc/50/76/32/600507632.db2.gz AYPAUFNUYRWZPY-ZDUSSCGKSA-N -1 1 312.410 1.391 20 0 DDADMM CC(C)[C@H](C)NC(=O)CN(CCC(=O)[O-])C[C@@H]1CCCO1 ZINC000736354032 600513635 /nfs/dbraw/zinc/51/36/35/600513635.db2.gz TTWDOODHFPQGPZ-STQMWFEESA-N -1 1 300.399 1.103 20 0 DDADMM CC[C@@](C)(NCC(=O)NC(=O)Nc1ccc(OC)cc1)C(=O)[O-] ZINC000736861433 600560229 /nfs/dbraw/zinc/56/02/29/600560229.db2.gz MEOLIUWEYLMSLQ-OAHLLOKOSA-N -1 1 323.349 1.186 20 0 DDADMM CC[C@@H](O)CN1CCN(Cc2ccc(F)cc2C(=O)[O-])CC1 ZINC000829004177 600841187 /nfs/dbraw/zinc/84/11/87/600841187.db2.gz BQGOVXHCYZQCJC-CQSZACIVSA-N -1 1 310.369 1.412 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccncc2)CC1 ZINC000827362639 601101263 /nfs/dbraw/zinc/10/12/63/601101263.db2.gz RDMCPXNUVTXQKS-ZDUSSCGKSA-N -1 1 305.378 1.022 20 0 DDADMM CCc1nc([C@H]2CN(Cc3cc(C(=O)[O-])c(C)o3)CCO2)n[nH]1 ZINC000737275323 601106022 /nfs/dbraw/zinc/10/60/22/601106022.db2.gz RSCQNUBMEMESFH-GFCCVEGCSA-N -1 1 320.349 1.540 20 0 DDADMM Cc1csc(NC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)n1 ZINC000832989827 601123755 /nfs/dbraw/zinc/12/37/55/601123755.db2.gz PWFOUOJWKIEFJS-JTQLQIEISA-N -1 1 312.395 1.464 20 0 DDADMM C[C@@](NCC(=O)NC1C2CC3CC(C2)CC1C3)(C(=O)[O-])C1CC1 ZINC000827728273 601175223 /nfs/dbraw/zinc/17/52/23/601175223.db2.gz QTQRBZMEAOMJTR-HSGDZHQBSA-N -1 1 320.433 1.770 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2ccnc(C(=O)[O-])c2)C[C@@H](C)O1 ZINC000828448749 601247696 /nfs/dbraw/zinc/24/76/96/601247696.db2.gz GHBPGONUCJGTBF-VXGBXAGGSA-N -1 1 321.377 1.009 20 0 DDADMM Cc1cc(CNS(=O)(=O)c2ccc(C=CC(=O)[O-])cc2)n[nH]1 ZINC000832885728 601248277 /nfs/dbraw/zinc/24/82/77/601248277.db2.gz QRJQXFKVNPXBBI-DAXSKMNVSA-N -1 1 321.358 1.294 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(CCCN2C(=O)c3ccccc3C2=O)C1 ZINC000833358424 601440774 /nfs/dbraw/zinc/44/07/74/601440774.db2.gz SEGVJJQVHLXBHJ-MRXNPFEDSA-N -1 1 320.320 1.171 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C[C@H](O)COc2ccc(F)cc2)C1 ZINC000833175219 601447679 /nfs/dbraw/zinc/44/76/79/601447679.db2.gz OCXIXKAQKQVBAI-SMDDNHRTSA-N -1 1 301.289 1.064 20 0 DDADMM CSc1ccc(NC(=O)CN2C[C@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000832780741 601524474 /nfs/dbraw/zinc/52/44/74/601524474.db2.gz CJZBSDHDFZLFAJ-GXFFZTMASA-N -1 1 308.403 2.000 20 0 DDADMM C[C@@H]1C[N@H+](Cc2cc(=O)oc3cc([O-])ccc23)C[C@@H]1C(=O)[O-] ZINC000315665245 601526447 /nfs/dbraw/zinc/52/64/47/601526447.db2.gz ZPDDOXAGSAIUTJ-RNCFNFMXSA-N -1 1 303.314 1.651 20 0 DDADMM C[C@@H]1C[N@@H+](Cc2cc(=O)oc3cc([O-])ccc23)C[C@@H]1C(=O)[O-] ZINC000315665245 601526450 /nfs/dbraw/zinc/52/64/50/601526450.db2.gz ZPDDOXAGSAIUTJ-RNCFNFMXSA-N -1 1 303.314 1.651 20 0 DDADMM O=C([O-])c1nscc1NS(=O)(=O)c1c[nH]c2ncccc12 ZINC000833347052 601604236 /nfs/dbraw/zinc/60/42/36/601604236.db2.gz STAMPDHSWKEGIK-UHFFFAOYSA-N -1 1 324.343 1.518 20 0 DDADMM Cc1sc(C(=O)[O-])cc1CN1CCc2nn(C)c(=O)cc2C1 ZINC000833031077 601648322 /nfs/dbraw/zinc/64/83/22/601648322.db2.gz GHIIVVRZTWXPOS-UHFFFAOYSA-N -1 1 319.386 1.407 20 0 DDADMM CN(C)[C@@H](CNC(=O)NCC(C)(C)C(=O)[O-])c1ccsc1 ZINC000830581597 601666634 /nfs/dbraw/zinc/66/66/34/601666634.db2.gz PNHTVSYPCTXJPG-NSHDSACASA-N -1 1 313.423 1.761 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1C[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000832847385 601759683 /nfs/dbraw/zinc/75/96/83/601759683.db2.gz IIYIDNSJZNQNJO-FSPLSTOPSA-N -1 1 305.256 1.530 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cnoc2)c(Cl)cc1F ZINC000749555461 601839602 /nfs/dbraw/zinc/83/96/02/601839602.db2.gz VCODEZIKGZVVQV-UHFFFAOYSA-N -1 1 320.685 1.966 20 0 DDADMM Cc1nnc(SCCC(=O)N(CC(=O)[O-])C2CCCC2)[nH]1 ZINC000833021555 601873491 /nfs/dbraw/zinc/87/34/91/601873491.db2.gz PGUVWDXJBCUJMW-UHFFFAOYSA-N -1 1 312.395 1.451 20 0 DDADMM O=C([O-])NC1CCC(C(=O)N2CCN(CC3CC3)CC2)CC1 ZINC000740552706 601874278 /nfs/dbraw/zinc/87/42/78/601874278.db2.gz KMSSQXTYEJAVJV-UHFFFAOYSA-N -1 1 309.410 1.367 20 0 DDADMM O=C([O-])N[C@H](C(=O)N1CCN(CC2CC2)CC1)c1ccccc1 ZINC000740690895 601874464 /nfs/dbraw/zinc/87/44/64/601874464.db2.gz YZDHBXSSMQSUCL-HNNXBMFYSA-N -1 1 317.389 1.550 20 0 DDADMM CCC(C)(C)NC(=O)[C@@H](C)N1CCSC[C@H]1CC(=O)[O-] ZINC000251295530 601921489 /nfs/dbraw/zinc/92/14/89/601921489.db2.gz QOLXGZQTYIJLBU-GHMZBOCLSA-N -1 1 302.440 1.572 20 0 DDADMM Cc1cc(NC(=O)CN(C2CC2)C2CCN(C(=O)[O-])CC2)no1 ZINC000740083836 601934263 /nfs/dbraw/zinc/93/42/63/601934263.db2.gz GOAWUSWGJMLVJI-UHFFFAOYSA-N -1 1 322.365 1.528 20 0 DDADMM Cc1cc(N)nc(SCc2ccnc(-c3nn[n-]n3)c2)n1 ZINC000826269237 607537924 /nfs/dbraw/zinc/53/79/24/607537924.db2.gz FVNYOVKWLYAOAB-UHFFFAOYSA-N -1 1 300.351 1.235 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(C(=O)[C@H]1CCCc3[nH]ncc31)CC2 ZINC000833179205 602019980 /nfs/dbraw/zinc/01/99/80/602019980.db2.gz KZISELSWJOHEFG-JQWIXIFHSA-N -1 1 303.362 1.543 20 0 DDADMM Cc1cc(NC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)nnc1C ZINC000832894107 602040653 /nfs/dbraw/zinc/04/06/53/602040653.db2.gz COWMONORZSTHSC-NSHDSACASA-N -1 1 321.381 1.106 20 0 DDADMM CN(Cc1cccs1)C(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000739716931 602044439 /nfs/dbraw/zinc/04/44/39/602044439.db2.gz WSDHDJVNGCDDAF-NSHDSACASA-N -1 1 311.407 1.296 20 0 DDADMM CC(C)C[C@@H]1CCC(=O)N(CN2C[C@H](C(=O)[O-])[C@H](C)C2)C1=O ZINC000826832345 602084067 /nfs/dbraw/zinc/08/40/67/602084067.db2.gz QAEQHNNVIGHUMV-AGIUHOORSA-N -1 1 310.394 1.408 20 0 DDADMM CC(C)[C@H](C(=O)Nc1nnc(CCC(=O)[O-])s1)N(C)C ZINC000736348452 602168480 /nfs/dbraw/zinc/16/84/80/602168480.db2.gz AJIFVNPTLLHKJL-SNVBAGLBSA-N -1 1 300.384 1.080 20 0 DDADMM COc1ccccc1CCNC(=O)CN[C@H](C(=O)[O-])C(C)(C)C ZINC000832613382 602226896 /nfs/dbraw/zinc/22/68/96/602226896.db2.gz LOCHWSDIKQXJEZ-OAHLLOKOSA-N -1 1 322.405 1.443 20 0 DDADMM CSc1[nH]c(=O)c(C(=O)[N-]OCC(C)C)cc1-c1nn[nH]n1 ZINC000826229653 607563169 /nfs/dbraw/zinc/56/31/69/607563169.db2.gz MBLBHINVAJACMW-UHFFFAOYSA-N -1 1 324.366 1.007 20 0 DDADMM CN(CC1CCN(Cc2cnc3ccnn3c2)CC1)C(=O)[O-] ZINC000739667095 602381656 /nfs/dbraw/zinc/38/16/56/602381656.db2.gz VPNZWUNOZVNAKU-UHFFFAOYSA-N -1 1 303.366 1.551 20 0 DDADMM C[C@@H]1CCCN(C(=O)CN2CCC(CN(C)C(=O)[O-])CC2)C1 ZINC000739105165 602385365 /nfs/dbraw/zinc/38/53/65/602385365.db2.gz NEWOHCYKOZLYOT-CYBMUJFWSA-N -1 1 311.426 1.567 20 0 DDADMM COC(=O)c1coc(CN2CCC([C@H](C)NC(=O)[O-])CC2)c1 ZINC000739794056 602448450 /nfs/dbraw/zinc/44/84/50/602448450.db2.gz UGSVZNWQWFBUPL-JTQLQIEISA-N -1 1 310.350 1.934 20 0 DDADMM O=C([O-])N1CCCC[C@@H]1C(=O)N1CCN(C2CCCC2)CC1 ZINC000740727942 602498287 /nfs/dbraw/zinc/49/82/87/602498287.db2.gz MLSMMSONBGZIBC-CQSZACIVSA-N -1 1 309.410 1.606 20 0 DDADMM CN(C[C@@H]1CCN(CC(=O)NCc2ccccc2)C1)C(=O)[O-] ZINC000739659440 602538891 /nfs/dbraw/zinc/53/88/91/602538891.db2.gz CLVZKFHNKBGYNY-AWEZNQCLSA-N -1 1 305.378 1.235 20 0 DDADMM CN(C[C@@H]1CCN(CC(=O)NC2CCCCCC2)C1)C(=O)[O-] ZINC000739661886 602538996 /nfs/dbraw/zinc/53/89/96/602538996.db2.gz UODTVNJEQDNGSS-ZDUSSCGKSA-N -1 1 311.426 1.757 20 0 DDADMM O=C([O-])N1CCN(CCNCc2c(F)cccc2Cl)CC1 ZINC000740527385 602649692 /nfs/dbraw/zinc/64/96/92/602649692.db2.gz RRJANAPORCJNOL-UHFFFAOYSA-N -1 1 315.776 1.864 20 0 DDADMM CN(Cc1ccccc1NS(=O)(=O)c1cccnc1)C(=O)[O-] ZINC000739716932 602654403 /nfs/dbraw/zinc/65/44/03/602654403.db2.gz WSDJDAXEYUTCSX-UHFFFAOYSA-N -1 1 321.358 1.992 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2)C1 ZINC000737810108 602676768 /nfs/dbraw/zinc/67/67/68/602676768.db2.gz HMIZLVPRHFUGTN-AWEZNQCLSA-N -1 1 321.377 1.617 20 0 DDADMM Cc1ccc(CNC(=O)CN2CC[C@H](NC(=O)[O-])[C@H](C)C2)cc1 ZINC000740126665 602789439 /nfs/dbraw/zinc/78/94/39/602789439.db2.gz OHQJMYWXJCZKBS-HIFRSBDPSA-N -1 1 319.405 1.589 20 0 DDADMM CCc1cc(CNC(=O)N2CC[C@@H](NC(=O)[O-])[C@@H](C)C2)[nH]n1 ZINC000739535780 602838429 /nfs/dbraw/zinc/83/84/29/602838429.db2.gz GLJHNVIVJSJBFJ-JOYOIKCWSA-N -1 1 309.370 1.160 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)N(C)C[C@@H]1CCCN(C(=O)[O-])C1 ZINC000739518553 602840787 /nfs/dbraw/zinc/84/07/87/602840787.db2.gz ZXWBDONDBFIFIC-NEPJUHHUSA-N -1 1 306.428 1.131 20 0 DDADMM C[C@H](C(=O)NCc1nnc[nH]1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823704756 602903434 /nfs/dbraw/zinc/90/34/34/602903434.db2.gz TVICRWWBKNJNGV-CABZTGNLSA-N -1 1 303.322 1.066 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1CCCC[C@@H]1[C@H]1CCCN1C(=O)[O-] ZINC000739729899 603047918 /nfs/dbraw/zinc/04/79/18/603047918.db2.gz NTPFRZDSMBZAGB-MGPQQGTHSA-N -1 1 309.410 1.604 20 0 DDADMM C[C@H](C(=O)N1CCC(NC(=O)[O-])CC1)N(C)Cc1ccccc1 ZINC000738614764 603118139 /nfs/dbraw/zinc/11/81/39/603118139.db2.gz NJUQGIWGASZVHW-CYBMUJFWSA-N -1 1 319.405 1.766 20 0 DDADMM C[C@H](NCCNC(=O)[O-])c1ccc(S(C)(=O)=O)c(F)c1 ZINC000825496410 603177126 /nfs/dbraw/zinc/17/71/26/603177126.db2.gz QRGPWPFNTGRLQH-QMMMGPOBSA-N -1 1 304.343 1.147 20 0 DDADMM CC(C)(NC(=O)[O-])c1noc(CN2CCN(C3CCC3)CC2)n1 ZINC000738753895 603237209 /nfs/dbraw/zinc/23/72/09/603237209.db2.gz CDAMWNSKHFQWIT-UHFFFAOYSA-N -1 1 323.397 1.242 20 0 DDADMM CC(C)N1CCN(C(=O)[C@@H](CNC(=O)[O-])c2ccccc2)CC1 ZINC000824362286 603304237 /nfs/dbraw/zinc/30/42/37/603304237.db2.gz AHGFRNZNJHBVLL-HNNXBMFYSA-N -1 1 319.405 1.590 20 0 DDADMM COc1ccc(OC)c([C@@H](O)CN(C)[C@@H]2CCN(C(=O)[O-])C2)c1 ZINC000829493862 603484229 /nfs/dbraw/zinc/48/42/29/603484229.db2.gz FDFUYBATXUTLSR-RISCZKNCSA-N -1 1 324.377 1.421 20 0 DDADMM CN(CC(C)(C)CNC(=O)[O-])C(=O)N[C@@H]1CCCN(C)C1 ZINC000828480053 603567573 /nfs/dbraw/zinc/56/75/73/603567573.db2.gz CXSVFIHXKZKSBA-LLVKDONJSA-N -1 1 300.403 1.016 20 0 DDADMM CC(C)C[C@H]1CC(=O)N(CN2CC[C@@H](CN(C)C(=O)[O-])C2)C1 ZINC000824224359 603588173 /nfs/dbraw/zinc/58/81/73/603588173.db2.gz JXYYOURMENAFEP-KBPBESRZSA-N -1 1 311.426 1.770 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)c1ccc(NC(=O)[O-])cc1Cl ZINC000828426483 603645569 /nfs/dbraw/zinc/64/55/69/603645569.db2.gz HGQLEYNQKJPSBM-UHFFFAOYSA-N -1 1 309.713 1.820 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC000826758984 603763120 /nfs/dbraw/zinc/76/31/20/603763120.db2.gz UKRTWKHUTYAUEJ-NSHDSACASA-N -1 1 304.350 1.924 20 0 DDADMM CCCN1CCN(C(=O)CC2C[C@H]3CC[C@@H](C2)N3C(=O)[O-])CC1 ZINC000826922116 603862037 /nfs/dbraw/zinc/86/20/37/603862037.db2.gz UTBLGPTVEKXHRC-GOOCMWNKSA-N -1 1 323.437 1.852 20 0 DDADMM CC1(CNS(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)CC1 ZINC000824823252 607660301 /nfs/dbraw/zinc/66/03/01/607660301.db2.gz KWBLTTNRDDIPRS-UHFFFAOYSA-N -1 1 311.342 1.084 20 0 DDADMM CC1(CNS(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)CC1 ZINC000824823252 607660302 /nfs/dbraw/zinc/66/03/02/607660302.db2.gz KWBLTTNRDDIPRS-UHFFFAOYSA-N -1 1 311.342 1.084 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@@H]1CCCN(C(=O)[O-])C1 ZINC000829834807 603940840 /nfs/dbraw/zinc/94/08/40/603940840.db2.gz VVFMMRMJNNKEPA-SSDOTTSWSA-N -1 1 320.271 1.609 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@H]1NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000832457315 603954032 /nfs/dbraw/zinc/95/40/32/603954032.db2.gz ISULUXCNDSRKGA-HOSYDEDBSA-N -1 1 306.366 1.382 20 0 DDADMM CCc1cc(CNC(=O)N(C)C2CCN(C(=O)[O-])CC2)[nH]n1 ZINC000827555889 604039947 /nfs/dbraw/zinc/03/99/47/604039947.db2.gz ANAYFDACZRDKDI-UHFFFAOYSA-N -1 1 309.370 1.256 20 0 DDADMM CN(CCNC(=O)[C@H]1CCN(C(=O)[O-])C1)Cc1ccc(F)cc1 ZINC000828321886 604066305 /nfs/dbraw/zinc/06/63/05/604066305.db2.gz ZIJCFRIIJXOMQO-ZDUSSCGKSA-N -1 1 323.368 1.374 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000827524357 604067073 /nfs/dbraw/zinc/06/70/73/604067073.db2.gz IEAJCKZQFBNXJZ-ZDUSSCGKSA-N -1 1 311.357 1.420 20 0 DDADMM CCc1cc(C(=O)N2CCC(OCCCNC(=O)[O-])CC2)n[nH]1 ZINC000827557726 604072497 /nfs/dbraw/zinc/07/24/97/604072497.db2.gz WRVHZMBSTSAZHK-UHFFFAOYSA-N -1 1 324.381 1.251 20 0 DDADMM O=C([O-])N1CCC[C@H]1[C@H]1CCCCN1C(=O)CCc1nc[nH]n1 ZINC000831998050 604153033 /nfs/dbraw/zinc/15/30/33/604153033.db2.gz RHSINJYRDCNFLL-NEPJUHHUSA-N -1 1 321.381 1.261 20 0 DDADMM O=S(=O)(Oc1cccnc1F)c1ccccc1-c1nn[n-]n1 ZINC000826494239 607677501 /nfs/dbraw/zinc/67/75/01/607677501.db2.gz TXFOLXBFBSHMEL-UHFFFAOYSA-N -1 1 321.293 1.169 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)NC2CCCCC2)C1 ZINC000825256711 604206350 /nfs/dbraw/zinc/20/63/50/604206350.db2.gz ZTFRFHOUVBDHEC-CHWSQXEVSA-N -1 1 311.426 1.803 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC[C@H]([C@H]3CCOC3)C2)c1 ZINC000826514562 607682742 /nfs/dbraw/zinc/68/27/42/607682742.db2.gz VUNQRSWAMDCVTO-RYUDHWBXSA-N -1 1 300.366 1.515 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC[C@H]([C@H]3CCOC3)C2)c1 ZINC000826514562 607682743 /nfs/dbraw/zinc/68/27/43/607682743.db2.gz VUNQRSWAMDCVTO-RYUDHWBXSA-N -1 1 300.366 1.515 20 0 DDADMM CN(CCNC(=O)[O-])C[C@H](c1cccs1)N1CCOCC1 ZINC000828329793 604364864 /nfs/dbraw/zinc/36/48/64/604364864.db2.gz MVTFNDOWRQIAOS-GFCCVEGCSA-N -1 1 313.423 1.321 20 0 DDADMM Cc1cc(C)cc(OC[C@H](O)CN2CC[C@@H](NC(=O)[O-])C2)c1 ZINC000740069096 604373504 /nfs/dbraw/zinc/37/35/04/604373504.db2.gz USEXJGFGYIHTEA-ZIAGYGMSSA-N -1 1 308.378 1.385 20 0 DDADMM CCN(CCc1nc(-c2ccccc2)no1)C1CN(C(=O)[O-])C1 ZINC000827156850 604558962 /nfs/dbraw/zinc/55/89/62/604558962.db2.gz OOTUXJVZMIDCCM-UHFFFAOYSA-N -1 1 316.361 1.963 20 0 DDADMM CCC[C@H](C(=O)Nc1ccc2[nH]nc(C(=O)[O-])c2c1)N(C)C ZINC000833647294 604699774 /nfs/dbraw/zinc/69/97/74/604699774.db2.gz APUCDRBETPYFQD-GFCCVEGCSA-N -1 1 304.350 1.930 20 0 DDADMM CC(C)(C)C[C@H](O)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824085500 607710093 /nfs/dbraw/zinc/71/00/93/607710093.db2.gz DSSKYUMTXBMAQE-NSHDSACASA-N -1 1 305.338 1.102 20 0 DDADMM CC(C)(C)C[C@H](O)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824085500 607710094 /nfs/dbraw/zinc/71/00/94/607710094.db2.gz DSSKYUMTXBMAQE-NSHDSACASA-N -1 1 305.338 1.102 20 0 DDADMM O=C([O-])CCCN(CC(=O)N1CCCC1)Cc1ccccc1 ZINC000833225645 604783176 /nfs/dbraw/zinc/78/31/76/604783176.db2.gz AFHFTTBMEKHELV-UHFFFAOYSA-N -1 1 304.390 1.976 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN[C@](C)(C(N)=O)c2ccccc2)O1 ZINC000833580915 604833534 /nfs/dbraw/zinc/83/35/34/604833534.db2.gz SRYRUKPKJZEDFW-LRDDRELGSA-N -1 1 304.346 1.124 20 0 DDADMM CN(CC(=O)NCc1ccc(NC(=O)[O-])cc1)C[C@H](O)C1CC1 ZINC000833691764 604916791 /nfs/dbraw/zinc/91/67/91/604916791.db2.gz HSJNEPLMTYZWLZ-AWEZNQCLSA-N -1 1 321.377 1.095 20 0 DDADMM C[C@@H](O)[C@@H]1CCCN(CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC000833529991 604922623 /nfs/dbraw/zinc/92/26/23/604922623.db2.gz UKPUNIVCXXDXKM-DGCLKSJQSA-N -1 1 306.362 1.416 20 0 DDADMM CCc1nc([C@H](C)NC(=O)c2ccc(C(=O)[O-])c(F)c2)n[nH]1 ZINC000833672574 605023429 /nfs/dbraw/zinc/02/34/29/605023429.db2.gz CACNUYGFGASKEK-ZETCQYMHSA-N -1 1 306.297 1.695 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCC1=CCCC1 ZINC000833625539 605056125 /nfs/dbraw/zinc/05/61/25/605056125.db2.gz MYJZENQJHBJIGE-CYBMUJFWSA-N -1 1 309.410 1.677 20 0 DDADMM Cc1ccc(-c2cc(C(=O)N3CC[C@H](NC(=O)[O-])C3)n[nH]2)cc1 ZINC000740093852 605065040 /nfs/dbraw/zinc/06/50/40/605065040.db2.gz BXMMNWIAIUNUQQ-LBPRGKRZSA-N -1 1 314.345 1.867 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)C1CCC(F)(F)CC1 ZINC000833626273 605115647 /nfs/dbraw/zinc/11/56/47/605115647.db2.gz ZIRDEUSKTTWNSI-LLVKDONJSA-N -1 1 318.364 1.819 20 0 DDADMM CC1(c2ccccc2)CCN(CC(=O)NCCC(=O)[O-])CC1 ZINC000833576725 605149640 /nfs/dbraw/zinc/14/96/40/605149640.db2.gz CJBBPDHMJLEICD-UHFFFAOYSA-N -1 1 304.390 1.631 20 0 DDADMM CN(CC1(O)CCCC1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825902139 607738402 /nfs/dbraw/zinc/73/84/02/607738402.db2.gz OKIHBGSUBGOYFM-UHFFFAOYSA-N -1 1 308.773 1.656 20 0 DDADMM CN(CC1(O)CCCC1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825902139 607738403 /nfs/dbraw/zinc/73/84/03/607738403.db2.gz OKIHBGSUBGOYFM-UHFFFAOYSA-N -1 1 308.773 1.656 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCC(C)(OC)CC1)C(=O)[O-] ZINC000833637163 605186846 /nfs/dbraw/zinc/18/68/46/605186846.db2.gz CMQCIWQFXMVDCC-AAEUAGOBSA-N -1 1 300.399 1.103 20 0 DDADMM COc1cc(C(=O)N2CCN(CCC(=O)[O-])[C@@H](C)C2)ccc1C ZINC000833727282 605254780 /nfs/dbraw/zinc/25/47/80/605254780.db2.gz UQQCQHAZTOIJTP-ZDUSSCGKSA-N -1 1 320.389 1.625 20 0 DDADMM C[C@H]1CN(C(=O)[C@@H]2CC2(Cl)Cl)CCN1CCC(=O)[O-] ZINC000833618860 605277961 /nfs/dbraw/zinc/27/79/61/605277961.db2.gz RMKMHECXNITXJS-IUCAKERBSA-N -1 1 309.193 1.188 20 0 DDADMM COCCC[C@@H](C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000833723564 605403298 /nfs/dbraw/zinc/40/32/98/605403298.db2.gz PYVRTOWWBZAQAG-OLZOCXBDSA-N -1 1 300.399 1.057 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)CC2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000830029758 605434616 /nfs/dbraw/zinc/43/46/16/605434616.db2.gz IZHMJTHOTUHNTF-JTQLQIEISA-N -1 1 308.382 1.545 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)[C@H]2CCC[C@@H](NC(=O)[O-])C2)n[nH]1 ZINC000830031174 605436360 /nfs/dbraw/zinc/43/63/60/605436360.db2.gz SWXMWXCSSGWZKZ-ZMLRMANQSA-N -1 1 308.382 1.592 20 0 DDADMM CCN(CCC1CCN(C(=O)[O-])CC1)[C@H]1CCS(=O)(=O)C1 ZINC000833856885 605460891 /nfs/dbraw/zinc/46/08/91/605460891.db2.gz BSNPIGFTHSHVSJ-ZDUSSCGKSA-N -1 1 318.439 1.276 20 0 DDADMM O=C([O-])N1CCC[C@H]1CN1CCN(Cc2cccc(O)c2)CC1 ZINC000834111613 605579217 /nfs/dbraw/zinc/57/92/17/605579217.db2.gz QBGFLRXIDISNLE-HNNXBMFYSA-N -1 1 319.405 1.652 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)[O-])CCN1C(=O)c1cc(-c2ccco2)[nH]n1 ZINC000825831898 605729173 /nfs/dbraw/zinc/72/91/73/605729173.db2.gz ONYWCZICSBKGES-NXEZZACHSA-N -1 1 318.333 1.930 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@@H](C)CN1C(=O)[O-] ZINC000833839032 605777586 /nfs/dbraw/zinc/77/75/86/605777586.db2.gz YFPVWRAVBJJKPP-VHSXEESVSA-N -1 1 318.333 1.883 20 0 DDADMM O=C([O-])N1CC[C@H](NCC(=O)Nc2ccc(F)c(F)c2F)C1 ZINC000831492876 605831480 /nfs/dbraw/zinc/83/14/80/605831480.db2.gz JXHVSHWFVTVZHR-ZETCQYMHSA-N -1 1 317.267 1.384 20 0 DDADMM O=C([O-])NC1(C(=O)N[C@H]2CCc3nc[nH]c3C2)CCCCC1 ZINC000834150712 605833442 /nfs/dbraw/zinc/83/34/42/605833442.db2.gz HKPULOPJVIMUHY-JTQLQIEISA-N -1 1 306.366 1.354 20 0 DDADMM O=C([O-])N1CC[C@@H](Nc2ccc(CCN3CCOCC3)cc2)C1 ZINC000831964437 605840624 /nfs/dbraw/zinc/84/06/24/605840624.db2.gz WSVOZRRDAOOMBW-MRXNPFEDSA-N -1 1 319.405 1.726 20 0 DDADMM O=C([O-])N1CC[C@@H](NCC(=O)N2CCCc3ccccc32)C1 ZINC000831953555 605844360 /nfs/dbraw/zinc/84/43/60/605844360.db2.gz MPWMDMJNEZQQED-CYBMUJFWSA-N -1 1 303.362 1.308 20 0 DDADMM O=C([O-])N1CCC2(C[C@H]2C(=O)Nc2ccc3[nH]nnc3c2)CC1 ZINC000834055538 605941608 /nfs/dbraw/zinc/94/16/08/605941608.db2.gz UNQMGJULDFAVGB-JTQLQIEISA-N -1 1 315.333 1.677 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)cc1 ZINC000834258279 605992017 /nfs/dbraw/zinc/99/20/17/605992017.db2.gz NUOHIEAWCPJBOJ-SNVBAGLBSA-N -1 1 316.321 1.309 20 0 DDADMM O=C([O-])Nc1cnc(C(=O)Nc2nc(-c3ccco3)n[nH]2)cn1 ZINC000834278647 606057077 /nfs/dbraw/zinc/05/70/77/606057077.db2.gz LOCMBUGJEWJNMY-UHFFFAOYSA-N -1 1 315.249 1.197 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)N2Cc1cnc2cnccn12 ZINC000834094910 606062864 /nfs/dbraw/zinc/06/28/64/606062864.db2.gz IFNBDCNZBBEWSC-NEPJUHHUSA-N -1 1 301.350 1.446 20 0 DDADMM CC(C)[C@@H](C)NC(=O)COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820016199 606139067 /nfs/dbraw/zinc/13/90/67/606139067.db2.gz KHXMEQDLYBKKNM-MRVPVSSYSA-N -1 1 323.378 1.246 20 0 DDADMM CC(C)[C@@H](C)NC(=O)COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820016199 606139068 /nfs/dbraw/zinc/13/90/68/606139068.db2.gz KHXMEQDLYBKKNM-MRVPVSSYSA-N -1 1 323.378 1.246 20 0 DDADMM CS[C@H]1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000821951497 606163987 /nfs/dbraw/zinc/16/39/87/606163987.db2.gz ZFUMLHXDEXTUBT-YUMQZZPRSA-N -1 1 322.398 1.006 20 0 DDADMM CS[C@H]1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000821951497 606163989 /nfs/dbraw/zinc/16/39/89/606163989.db2.gz ZFUMLHXDEXTUBT-YUMQZZPRSA-N -1 1 322.398 1.006 20 0 DDADMM Cc1ncc(CN(C)C(=O)c2ccc(-c3nnn[n-]3)s2)s1 ZINC000822468239 606515637 /nfs/dbraw/zinc/51/56/37/606515637.db2.gz YARMTIKSEQGWFL-UHFFFAOYSA-N -1 1 320.403 1.965 20 0 DDADMM Cc1ncc(CN(C)C(=O)c2ccc(-c3nn[n-]n3)s2)s1 ZINC000822468239 606515639 /nfs/dbraw/zinc/51/56/39/606515639.db2.gz YARMTIKSEQGWFL-UHFFFAOYSA-N -1 1 320.403 1.965 20 0 DDADMM Cc1ccc(OCC(=O)Nc2n[nH]cc2-c2nnn[n-]2)cc1C ZINC000822262850 606531027 /nfs/dbraw/zinc/53/10/27/606531027.db2.gz FKVWNRVONWRSEJ-UHFFFAOYSA-N -1 1 313.321 1.224 20 0 DDADMM Cc1ccc(OCC(=O)Nc2n[nH]cc2-c2nn[n-]n2)cc1C ZINC000822262850 606531028 /nfs/dbraw/zinc/53/10/28/606531028.db2.gz FKVWNRVONWRSEJ-UHFFFAOYSA-N -1 1 313.321 1.224 20 0 DDADMM Cc1cccnc1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822369631 606552504 /nfs/dbraw/zinc/55/25/04/606552504.db2.gz KXRHOUODNWTBPP-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM Cc1cccnc1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822369631 606552505 /nfs/dbraw/zinc/55/25/05/606552505.db2.gz KXRHOUODNWTBPP-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM O=C(NCCc1ccccc1O)c1ccc(-c2nnn[n-]2)s1 ZINC000823224165 606591954 /nfs/dbraw/zinc/59/19/54/606591954.db2.gz ROGKNLYLJJWVFD-UHFFFAOYSA-N -1 1 315.358 1.606 20 0 DDADMM O=C(NCCc1ccccc1O)c1ccc(-c2nn[n-]n2)s1 ZINC000823224165 606591956 /nfs/dbraw/zinc/59/19/56/606591956.db2.gz ROGKNLYLJJWVFD-UHFFFAOYSA-N -1 1 315.358 1.606 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cccnc1Cl ZINC000823436217 606647531 /nfs/dbraw/zinc/64/75/31/606647531.db2.gz MJCWUYKXRGQWND-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cccnc1Cl ZINC000823436217 606647532 /nfs/dbraw/zinc/64/75/32/606647532.db2.gz MJCWUYKXRGQWND-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM c1c(CNc2cccc(-c3nnn[n-]3)n2)nnn1-c1ccccc1 ZINC000823772328 606725135 /nfs/dbraw/zinc/72/51/35/606725135.db2.gz JNOUQFHTANLCBY-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1c(CNc2cccc(-c3nn[n-]n3)n2)nnn1-c1ccccc1 ZINC000823772328 606725136 /nfs/dbraw/zinc/72/51/36/606725136.db2.gz JNOUQFHTANLCBY-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM C[C@@H](OC1CCCCCC1)C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000820519312 606763928 /nfs/dbraw/zinc/76/39/28/606763928.db2.gz RGNJNQSGURTHNX-SECBINFHSA-N -1 1 319.369 1.414 20 0 DDADMM O=C(CCc1ccccn1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822983669 607107274 /nfs/dbraw/zinc/10/72/74/607107274.db2.gz RWEIGONARXKFOC-UHFFFAOYSA-N -1 1 301.335 1.290 20 0 DDADMM O=C(CCc1ccccn1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822983669 607107275 /nfs/dbraw/zinc/10/72/75/607107275.db2.gz RWEIGONARXKFOC-UHFFFAOYSA-N -1 1 301.335 1.290 20 0 DDADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1cccc(C(F)F)c1 ZINC000823136335 607213209 /nfs/dbraw/zinc/21/32/09/607213209.db2.gz WHMCVKDYIHFDLA-UHFFFAOYSA-N -1 1 320.263 1.035 20 0 DDADMM O=C(COc1cccc(F)c1-c1nnn[n-]1)N1CCCCCC1 ZINC000826418486 607866429 /nfs/dbraw/zinc/86/64/29/607866429.db2.gz JBNHTVPSGVJULO-UHFFFAOYSA-N -1 1 319.340 1.787 20 0 DDADMM O=C(COc1cccc(F)c1-c1nn[n-]n1)N1CCCCCC1 ZINC000826418486 607866430 /nfs/dbraw/zinc/86/64/30/607866430.db2.gz JBNHTVPSGVJULO-UHFFFAOYSA-N -1 1 319.340 1.787 20 0 DDADMM CC[C@@H](C)C(=O)Cn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000825052729 608022893 /nfs/dbraw/zinc/02/28/93/608022893.db2.gz YCOAEJCJQPVKAO-SNVBAGLBSA-N -1 1 311.345 1.797 20 0 DDADMM CCOC(=O)C[C@@H](C)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825498926 608027032 /nfs/dbraw/zinc/02/70/32/608027032.db2.gz DYEHTACDRJULDR-MRVPVSSYSA-N -1 1 323.378 1.247 20 0 DDADMM CCOC(=O)C[C@@H](C)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825498926 608027033 /nfs/dbraw/zinc/02/70/33/608027033.db2.gz DYEHTACDRJULDR-MRVPVSSYSA-N -1 1 323.378 1.247 20 0 DDADMM NC(=O)c1[nH]nc2ccc(NCc3ccc(-c4nnn[n-]4)o3)cc21 ZINC000826394152 608028394 /nfs/dbraw/zinc/02/83/94/608028394.db2.gz YSGZVNVSHDPLPT-UHFFFAOYSA-N -1 1 324.304 1.047 20 0 DDADMM NC(=O)c1[nH]nc2ccc(NCc3ccc(-c4nn[n-]n4)o3)cc21 ZINC000826394152 608028395 /nfs/dbraw/zinc/02/83/95/608028395.db2.gz YSGZVNVSHDPLPT-UHFFFAOYSA-N -1 1 324.304 1.047 20 0 DDADMM FC(F)(F)[C@H]1COCCN1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826367930 608043798 /nfs/dbraw/zinc/04/37/98/608043798.db2.gz UUJOMPVDVVYOMU-SNVBAGLBSA-N -1 1 314.271 1.025 20 0 DDADMM FC(F)(F)[C@H]1COCCN1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826367930 608043799 /nfs/dbraw/zinc/04/37/99/608043799.db2.gz UUJOMPVDVVYOMU-SNVBAGLBSA-N -1 1 314.271 1.025 20 0 DDADMM O=C(Nc1scnc1C1CC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826461135 608102294 /nfs/dbraw/zinc/10/22/94/608102294.db2.gz HORVXXPHWXESSM-UHFFFAOYSA-N -1 1 313.346 1.848 20 0 DDADMM O=C(Nc1scnc1C1CC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826461135 608102295 /nfs/dbraw/zinc/10/22/95/608102295.db2.gz HORVXXPHWXESSM-UHFFFAOYSA-N -1 1 313.346 1.848 20 0 DDADMM Cc1ccc(-c2noc(Cn3ccnc3-c3nnn[n-]3)n2)cc1 ZINC000826280484 608148987 /nfs/dbraw/zinc/14/89/87/608148987.db2.gz DANKAIDFJIZCNC-UHFFFAOYSA-N -1 1 308.305 1.470 20 0 DDADMM Cc1ccc(-c2noc(Cn3ccnc3-c3nn[n-]n3)n2)cc1 ZINC000826280484 608148988 /nfs/dbraw/zinc/14/89/88/608148988.db2.gz DANKAIDFJIZCNC-UHFFFAOYSA-N -1 1 308.305 1.470 20 0 DDADMM c1cn(-c2ccccc2CNc2nccnc2-c2nnn[n-]2)cn1 ZINC000826519517 608382878 /nfs/dbraw/zinc/38/28/78/608382878.db2.gz BNFKXMLYHOSXIT-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1cn(-c2ccccc2CNc2nccnc2-c2nn[n-]n2)cn1 ZINC000826519517 608382880 /nfs/dbraw/zinc/38/28/80/608382880.db2.gz BNFKXMLYHOSXIT-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM CC(C)[C@H]1OCCC[C@@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000824229178 608428319 /nfs/dbraw/zinc/42/83/19/608428319.db2.gz OTYNWFCZPUKJLJ-ZWNOBZJWSA-N -1 1 303.370 1.520 20 0 DDADMM CC(C)[C@H]1OCCC[C@@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000824229178 608428321 /nfs/dbraw/zinc/42/83/21/608428321.db2.gz OTYNWFCZPUKJLJ-ZWNOBZJWSA-N -1 1 303.370 1.520 20 0 DDADMM C[C@]1(c2ccccc2)CN(c2ccc(-c3nnn[n-]3)nn2)CCO1 ZINC000824844669 608432591 /nfs/dbraw/zinc/43/25/91/608432591.db2.gz ZUEQGRPQMZGSDZ-MRXNPFEDSA-N -1 1 323.360 1.409 20 0 DDADMM C[C@]1(c2ccccc2)CN(c2ccc(-c3nn[n-]n3)nn2)CCO1 ZINC000824844669 608432592 /nfs/dbraw/zinc/43/25/92/608432592.db2.gz ZUEQGRPQMZGSDZ-MRXNPFEDSA-N -1 1 323.360 1.409 20 0 DDADMM c1ccc2[nH]c(C3CN(c4ccc(-c5nnn[n-]5)nn4)C3)nc2c1 ZINC000826522948 608432638 /nfs/dbraw/zinc/43/26/38/608432638.db2.gz UQBLWJCCOZKKJK-UHFFFAOYSA-N -1 1 319.332 1.137 20 0 DDADMM c1ccc2[nH]c(C3CN(c4ccc(-c5nn[n-]n5)nn4)C3)nc2c1 ZINC000826522948 608432639 /nfs/dbraw/zinc/43/26/39/608432639.db2.gz UQBLWJCCOZKKJK-UHFFFAOYSA-N -1 1 319.332 1.137 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@H]1CCOC2(CCCC2)C1 ZINC000826513922 608435154 /nfs/dbraw/zinc/43/51/54/608435154.db2.gz ASPGKNWZVOTZSE-JTQLQIEISA-N -1 1 301.354 1.560 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@H]1CCOC2(CCCC2)C1 ZINC000826513922 608435156 /nfs/dbraw/zinc/43/51/56/608435156.db2.gz ASPGKNWZVOTZSE-JTQLQIEISA-N -1 1 301.354 1.560 20 0 DDADMM O[C@H](c1ccccc1)C1(CNc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000826505126 608438248 /nfs/dbraw/zinc/43/82/48/608438248.db2.gz NJHOKTSWYCPLOE-CQSZACIVSA-N -1 1 323.360 1.582 20 0 DDADMM O[C@H](c1ccccc1)C1(CNc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000826505126 608438249 /nfs/dbraw/zinc/43/82/49/608438249.db2.gz NJHOKTSWYCPLOE-CQSZACIVSA-N -1 1 323.360 1.582 20 0 DDADMM CN(CC1CCOCC1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825914988 608440776 /nfs/dbraw/zinc/44/07/76/608440776.db2.gz QPWOIZTYJPFMKT-UHFFFAOYSA-N -1 1 308.773 1.778 20 0 DDADMM CN(CC1CCOCC1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825914988 608440778 /nfs/dbraw/zinc/44/07/78/608440778.db2.gz QPWOIZTYJPFMKT-UHFFFAOYSA-N -1 1 308.773 1.778 20 0 DDADMM O=C(NC[C@@H]1CCCCS1)c1ccc(-c2nnn[n-]2)s1 ZINC000826435831 609513854 /nfs/dbraw/zinc/51/38/54/609513854.db2.gz YQKBFZSRRXNKOV-QMMMGPOBSA-N -1 1 309.420 1.944 20 0 DDADMM O=C(NC[C@@H]1CCCCS1)c1ccc(-c2nn[n-]n2)s1 ZINC000826435831 609513856 /nfs/dbraw/zinc/51/38/56/609513856.db2.gz YQKBFZSRRXNKOV-QMMMGPOBSA-N -1 1 309.420 1.944 20 0 DDADMM C[C@@H](C(=O)Nc1nc(Br)ccc1[O-])n1cncn1 ZINC000121582116 696712701 /nfs/dbraw/zinc/71/27/01/696712701.db2.gz LZSJUKQXJRTWSW-LURJTMIESA-N -1 1 312.127 1.341 20 0 DDADMM C[C@@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)c1ccco1 ZINC000745126565 699972398 /nfs/dbraw/zinc/97/23/98/699972398.db2.gz PEYTXVBXZVXEKK-LLVKDONJSA-N -1 1 301.298 1.883 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]([C@@H]2CCOC2)C(F)(F)F)[n-]1 ZINC000797131086 699995489 /nfs/dbraw/zinc/99/54/89/699995489.db2.gz YQMCBTKLSIZEFF-GMSGAONNSA-N -1 1 321.251 1.926 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]([C@H]2CCOC2)C(F)(F)F)[n-]1 ZINC000797131095 699995550 /nfs/dbraw/zinc/99/55/50/699995550.db2.gz YQMCBTKLSIZEFF-XVKPBYJWSA-N -1 1 321.251 1.926 20 0 DDADMM O=C([O-])C1(NC(=O)N[C@@H]2CCCc3cn[nH]c32)CCSCC1 ZINC000797725767 700021228 /nfs/dbraw/zinc/02/12/28/700021228.db2.gz VKCDZGXFMVERTE-SNVBAGLBSA-N -1 1 324.406 1.437 20 0 DDADMM CCC(Nc1ccc(F)cc1F)=C1C(=O)[N-]C(=S)NC1=O ZINC000009769318 696037299 /nfs/dbraw/zinc/03/72/99/696037299.db2.gz LTWYTCPRPZAGEU-UHFFFAOYSA-N -1 1 311.313 1.572 20 0 DDADMM C[C@@](O)(CNC(=O)C(=O)c1ccc([O-])cc1)c1cccs1 ZINC000798296969 700055455 /nfs/dbraw/zinc/05/54/55/700055455.db2.gz PNHWAVKFUYVMNA-OAHLLOKOSA-N -1 1 305.355 1.660 20 0 DDADMM Cc1cc(COC(=O)c2sccc2[N-]S(C)(=O)=O)no1 ZINC000042776076 696150967 /nfs/dbraw/zinc/15/09/67/696150967.db2.gz HNSRUHPPXVFSNU-UHFFFAOYSA-N -1 1 316.360 1.773 20 0 DDADMM CSCC(=O)Nc1nc(Cc2n[n-]c(=S)n2C)cs1 ZINC000047436955 696197394 /nfs/dbraw/zinc/19/73/94/696197394.db2.gz FGLDOKXSDJDKKC-UHFFFAOYSA-N -1 1 315.449 1.826 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)[C@@H]1CC12CC2)C(=O)c1ncccc1[O-] ZINC000977601943 696230724 /nfs/dbraw/zinc/23/07/24/696230724.db2.gz QCCFGVCLBKGSLY-RYUDHWBXSA-N -1 1 315.373 1.260 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)/C=C(\C)C2CC2)cc1 ZINC000052111159 696251981 /nfs/dbraw/zinc/25/19/81/696251981.db2.gz ZWQLRTNZDKDMNG-PKNBQFBNSA-N -1 1 317.341 1.851 20 0 DDADMM CCC(N[C@H](C)CC)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000054501858 696276629 /nfs/dbraw/zinc/27/66/29/696276629.db2.gz AZNYOAIUBUFREN-ZOAHYWAASA-N -1 1 311.451 1.938 20 0 DDADMM Cn1c(CCNC(=O)c2ccnc(C3CC3)n2)n[n-]c1=S ZINC000069703094 696385824 /nfs/dbraw/zinc/38/58/24/696385824.db2.gz HLEPRSAZOWRLQS-UHFFFAOYSA-N -1 1 304.379 1.118 20 0 DDADMM CN(CCc1cccs1)CC(=O)N[N-]C(=O)c1cccs1 ZINC000072800834 696396685 /nfs/dbraw/zinc/39/66/85/696396685.db2.gz RDYMSFIZFSOMQF-UHFFFAOYSA-N -1 1 323.443 1.745 20 0 DDADMM CC1(C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)CCC1 ZINC000978742637 696450629 /nfs/dbraw/zinc/45/06/29/696450629.db2.gz APTIFTHFLNIAEZ-TXEJJXNPSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1ccc([C@@H](C)N(C)C(=O)Cc2sc(N)nc2[O-])cc1 ZINC000079486595 696460765 /nfs/dbraw/zinc/46/07/65/696460765.db2.gz BUKWMGJFYFRSHU-ZYHUDNBSSA-N -1 1 305.403 1.861 20 0 DDADMM C=CCN(Cc1ccccc1F)C(=O)Cc1sc(N)nc1[O-] ZINC000079688866 696462902 /nfs/dbraw/zinc/46/29/02/696462902.db2.gz IVXQTQFUFAMRCT-LBPRGKRZSA-N -1 1 321.377 1.687 20 0 DDADMM Cc1ccccc1[C@@H](NC(=O)Cc1sc(N)nc1[O-])C1CC1 ZINC000080235439 696531587 /nfs/dbraw/zinc/53/15/87/696531587.db2.gz SRIXZKMBCVHBOM-JSGCOSHPSA-N -1 1 317.414 1.909 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCCC3(CCCCC3)C2)s1 ZINC000080212816 696531633 /nfs/dbraw/zinc/53/16/33/696531633.db2.gz UEYCYHCKZGFQMT-NSHDSACASA-N -1 1 309.435 1.906 20 0 DDADMM CN(C(=O)Cc1sc(N)nc1[O-])[C@@H]1CCc2ccccc2C1 ZINC000080291692 696532164 /nfs/dbraw/zinc/53/21/64/696532164.db2.gz VKQRIVPOMYKOPL-CHWSQXEVSA-N -1 1 317.414 1.349 20 0 DDADMM CN1CCCN(C(=O)Cc2sc(N)nc2[O-])c2ccccc21 ZINC000080408704 696534336 /nfs/dbraw/zinc/53/43/36/696534336.db2.gz YRXOEXDOWUCJFN-LBPRGKRZSA-N -1 1 318.402 1.206 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCNC(=O)C(C)(C)C ZINC000081623772 696546437 /nfs/dbraw/zinc/54/64/37/696546437.db2.gz QJUNTLAKDSXVAW-UHFFFAOYSA-N -1 1 322.409 1.094 20 0 DDADMM Cn1c(CCNC(=O)c2cccc3[nH]ccc32)n[n-]c1=S ZINC000081683833 696547088 /nfs/dbraw/zinc/54/70/88/696547088.db2.gz ANQHZDJRVNCJNX-UHFFFAOYSA-N -1 1 301.375 1.931 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000081828404 696548242 /nfs/dbraw/zinc/54/82/42/696548242.db2.gz LHQGGAPARPDAEE-RTXFEEFZSA-N -1 1 319.405 1.745 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000979431145 696555604 /nfs/dbraw/zinc/55/56/04/696555604.db2.gz VDQWWEWJNOIAAJ-KKOKHZNYSA-N -1 1 303.362 1.068 20 0 DDADMM Cn1nc(CN2CCSC[C@H]2CC(=O)[O-])c2ccccc21 ZINC000091130691 696586174 /nfs/dbraw/zinc/58/61/74/696586174.db2.gz MPOYJMNKKWVJTH-LLVKDONJSA-N -1 1 305.403 1.965 20 0 DDADMM O=C(Cn1cccc(C(F)(F)F)c1=O)Nc1ccncc1[O-] ZINC000109890461 696638496 /nfs/dbraw/zinc/63/84/96/696638496.db2.gz RECZFIRYGALUIN-UHFFFAOYSA-N -1 1 313.235 1.606 20 0 DDADMM O=C(COCC1CC1)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799023755 700110678 /nfs/dbraw/zinc/11/06/78/700110678.db2.gz IJFBDXXTHRUBQO-UHFFFAOYSA-N -1 1 322.327 1.330 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)CCCOC(C)C ZINC000132323362 696813890 /nfs/dbraw/zinc/81/38/90/696813890.db2.gz AYZQMSDFWIUEMJ-UHFFFAOYSA-N -1 1 322.365 1.017 20 0 DDADMM O=C(N[C@H]1CC[C@H](CO)CC1)c1nnc2ccccc2c1O ZINC000133008644 696821505 /nfs/dbraw/zinc/82/15/05/696821505.db2.gz PBROMOWJFHRUIY-PHIMTYICSA-N -1 1 301.346 1.204 20 0 DDADMM CN(CCc1nccs1)C(=O)c1nnc2ccccc2c1O ZINC000156557316 696964686 /nfs/dbraw/zinc/96/46/86/696964686.db2.gz JIJKHEGYOUYQGG-UHFFFAOYSA-N -1 1 314.370 1.694 20 0 DDADMM Cc1cnc(CN2CCCN(C(=O)c3ncccc3[O-])CC2)o1 ZINC000981026372 696982701 /nfs/dbraw/zinc/98/27/01/696982701.db2.gz CKVVDBXLXKFPBW-UHFFFAOYSA-N -1 1 316.361 1.432 20 0 DDADMM CC1CC(C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000982670463 697167306 /nfs/dbraw/zinc/16/73/06/697167306.db2.gz KKVSEUFJENUJDK-CPCZMJQVSA-N -1 1 317.389 1.412 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000982672472 697167586 /nfs/dbraw/zinc/16/75/86/697167586.db2.gz YDMIPKLMDTWMND-NSHDSACASA-N -1 1 315.329 1.272 20 0 DDADMM O=C(Nc1c([O-])cccc1F)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000748849932 700138732 /nfs/dbraw/zinc/13/87/32/700138732.db2.gz DFQUGSCEFUFQAN-QMMMGPOBSA-N -1 1 304.281 1.246 20 0 DDADMM O=C(N[C@@H]1CCC[C@H](NC(=O)C(F)F)C1)c1ncccc1[O-] ZINC000983392622 697253059 /nfs/dbraw/zinc/25/30/59/697253059.db2.gz SWTUEYXNIZSHPQ-BDAKNGLRSA-N -1 1 313.304 1.210 20 0 DDADMM CC(C)(F)C(=O)N[C@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000983429575 697262806 /nfs/dbraw/zinc/26/28/06/697262806.db2.gz NYZCASLDYHZKQN-MNOVXSKESA-N -1 1 323.368 1.693 20 0 DDADMM CC(C)C(=O)N[C@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000983489876 697270988 /nfs/dbraw/zinc/27/09/88/697270988.db2.gz HSGDSRUGUBTPNO-NWDGAFQWSA-N -1 1 305.378 1.600 20 0 DDADMM CC(C)C(=O)N[C@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000983489879 697270994 /nfs/dbraw/zinc/27/09/94/697270994.db2.gz HSGDSRUGUBTPNO-RYUDHWBXSA-N -1 1 305.378 1.600 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000983915520 697315091 /nfs/dbraw/zinc/31/50/91/697315091.db2.gz PYNSZLFKRZSQDK-QWHCGFSZSA-N -1 1 319.405 1.896 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)Nc2ccc(C#N)c(Cl)c2)n[nH]1 ZINC000182887535 697481021 /nfs/dbraw/zinc/48/10/21/697481021.db2.gz KSHOLSBMRAFPGI-UHFFFAOYSA-N -1 1 318.724 1.710 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)Nc2cc(F)ccc2Cl)n[nH]1 ZINC000184829271 697508522 /nfs/dbraw/zinc/50/85/22/697508522.db2.gz DTOKIWJBNIGIHY-UHFFFAOYSA-N -1 1 311.704 1.977 20 0 DDADMM CC1(CC[N-]S(=O)(=O)c2cccc(F)c2F)OCCO1 ZINC000184991934 697511936 /nfs/dbraw/zinc/51/19/36/697511936.db2.gz HHKFGKOGKBFHLN-UHFFFAOYSA-N -1 1 307.318 1.396 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2ncc(C)cn2)c(=O)[n-]1 ZINC000772291881 697617430 /nfs/dbraw/zinc/61/74/30/697617430.db2.gz MYAWNDRSLQTUMK-UHFFFAOYSA-N -1 1 319.390 1.882 20 0 DDADMM COc1ccc(NC(=O)C[C@H](C)OC)cc1[N-]S(C)(=O)=O ZINC000749566994 700170481 /nfs/dbraw/zinc/17/04/81/700170481.db2.gz BPLQRDACZPDOSJ-VIFPVBQESA-N -1 1 316.379 1.430 20 0 DDADMM CC(C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C)=C1CCCC1 ZINC000986135712 697700014 /nfs/dbraw/zinc/70/00/14/697700014.db2.gz HWDMSWPDUUIPLO-DGCLKSJQSA-N -1 1 319.409 1.480 20 0 DDADMM O=C(CCNS(=O)(=O)c1ccccc1)[N-]Oc1ccccc1 ZINC000773470132 697764645 /nfs/dbraw/zinc/76/46/45/697764645.db2.gz WGTHBPWVFLWFFM-UHFFFAOYSA-N -1 1 320.370 1.465 20 0 DDADMM O=C([N-][C@H]1CCCc2c1cnn2CCO)C(F)(F)C(F)F ZINC000230188021 697781946 /nfs/dbraw/zinc/78/19/46/697781946.db2.gz QVXWFOCWXHGSQA-QMMMGPOBSA-N -1 1 309.263 1.269 20 0 DDADMM COC(=O)CCc1nc(COC(=O)c2ccc([O-])cc2F)no1 ZINC000773569225 697782527 /nfs/dbraw/zinc/78/25/27/697782527.db2.gz KPBYBDHKOUHEHS-UHFFFAOYSA-N -1 1 324.264 1.377 20 0 DDADMM Cc1cc(NC(=O)COC(=O)c2ccc([O-])cc2F)n(C)n1 ZINC000773567852 697782551 /nfs/dbraw/zinc/78/25/51/697782551.db2.gz BDNKFXOYUPBSJV-UHFFFAOYSA-N -1 1 307.281 1.369 20 0 DDADMM NC(=O)c1cccc(NC(=O)c2ccc3n[n-]c(=S)n3c2)c1 ZINC000773726704 697798073 /nfs/dbraw/zinc/79/80/73/697798073.db2.gz PKAVIAWXUJUOLC-UHFFFAOYSA-N -1 1 313.342 1.369 20 0 DDADMM CCC(C)(C)NC(=S)NNc1nc(=O)c2cnn(C)c2[n-]1 ZINC000774182848 697852223 /nfs/dbraw/zinc/85/22/23/697852223.db2.gz WNRNIDQCNLJKGD-UHFFFAOYSA-N -1 1 309.399 1.049 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)C[C@@H]2CCOC2)co1 ZINC000774751829 697923307 /nfs/dbraw/zinc/92/33/07/697923307.db2.gz SJKZRVXPQWIQIV-UWVGGRQHSA-N -1 1 317.363 1.160 20 0 DDADMM CCOc1cccnc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774966183 697948093 /nfs/dbraw/zinc/94/80/93/697948093.db2.gz DVXLNQLUFUKCNR-UHFFFAOYSA-N -1 1 320.267 1.706 20 0 DDADMM O=C(C=Cc1cccc(F)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776562406 698117378 /nfs/dbraw/zinc/11/73/78/698117378.db2.gz CWDYZQDYCMXRHA-RXNFCKPNSA-N -1 1 319.365 1.669 20 0 DDADMM Cc1cncc(C=CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000776566143 698117820 /nfs/dbraw/zinc/11/78/20/698117820.db2.gz XGIZFVVPDNTZRU-ZZKXABKFSA-N -1 1 316.390 1.233 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CC1(C)C ZINC000987669793 698191778 /nfs/dbraw/zinc/19/17/78/698191778.db2.gz AQNBRMXUULLWNH-WOPDTQHZSA-N -1 1 317.389 1.553 20 0 DDADMM CCOCCOC1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000777518436 698197399 /nfs/dbraw/zinc/19/73/99/698197399.db2.gz PALKFNFFBSFJGN-UHFFFAOYSA-N -1 1 323.393 1.492 20 0 DDADMM COc1ccc(Br)c(C(=O)[N-]N2CN=NC2=O)c1 ZINC000777768435 698220330 /nfs/dbraw/zinc/22/03/30/698220330.db2.gz PEAVYTNIHADLGX-UHFFFAOYSA-N -1 1 313.111 1.139 20 0 DDADMM O=S(=O)([N-]Cc1ccon1)c1c(Cl)ccnc1Cl ZINC000778011651 698237644 /nfs/dbraw/zinc/23/76/44/698237644.db2.gz ASJAQQRJNRPNLA-UHFFFAOYSA-N -1 1 308.146 1.855 20 0 DDADMM O=C([C@H](F)Cc1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000778394425 698271172 /nfs/dbraw/zinc/27/11/72/698271172.db2.gz UJLJFLUDTBTNPU-QWHCGFSZSA-N -1 1 303.341 1.487 20 0 DDADMM CC[C@H]1C[C@H](OC(=O)c2coc(S(=O)(=O)[N-]C)c2)CCO1 ZINC000779295504 698410749 /nfs/dbraw/zinc/41/07/49/698410749.db2.gz NXOKCTNFKNYGNT-WDEREUQCSA-N -1 1 317.363 1.302 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C=Cc1ccco1 ZINC000255070437 698412063 /nfs/dbraw/zinc/41/20/63/698412063.db2.gz AVNUSWCYVLPUSM-WAYWQWQTSA-N -1 1 312.329 1.562 20 0 DDADMM O=C([N-]CCOCCOC(=O)[C@H]1CC=CCC1)C(F)(F)F ZINC000779456848 698425076 /nfs/dbraw/zinc/42/50/76/698425076.db2.gz QLUFDZKAVYFEQO-JTQLQIEISA-N -1 1 309.284 1.581 20 0 DDADMM CCCCO[C@@H]1C[C@@H](N(C)CC(=O)NCC(=O)[O-])C1(C)C ZINC000262230654 698460216 /nfs/dbraw/zinc/46/02/16/698460216.db2.gz NAMIFTFNGKNLJQ-VXGBXAGGSA-N -1 1 300.399 1.103 20 0 DDADMM CN(C)[C@H](CNC(=O)N(CC(=O)[O-])C1CC1)c1cccs1 ZINC000780341089 698508199 /nfs/dbraw/zinc/50/81/99/698508199.db2.gz GGPUCUGWWCFZEY-LLVKDONJSA-N -1 1 311.407 1.609 20 0 DDADMM CC[C@H](NC(=O)NC[C@@H](C)N1CCc2ccccc2C1)C(=O)[O-] ZINC000780481023 698523174 /nfs/dbraw/zinc/52/31/74/698523174.db2.gz KHGWDRXDLLDEKN-DOMZBBRYSA-N -1 1 319.405 1.596 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3CCC[C@H]3C(=O)[O-])[nH]c2c1 ZINC000780621337 698539704 /nfs/dbraw/zinc/53/97/04/698539704.db2.gz UNYOYYFVXHSQMY-LBPRGKRZSA-N -1 1 302.334 1.630 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CC2(CCC2)C1 ZINC000989325701 698586623 /nfs/dbraw/zinc/58/66/23/698586623.db2.gz IOVMALUQOIGTFV-JQWIXIFHSA-N -1 1 319.409 1.170 20 0 DDADMM CCCn1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cn1 ZINC000781703878 698642012 /nfs/dbraw/zinc/64/20/12/698642012.db2.gz SIBUPXJMDDGWDJ-UHFFFAOYSA-N -1 1 315.329 1.407 20 0 DDADMM C[C@@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccccc1 ZINC000314538110 698690009 /nfs/dbraw/zinc/69/00/09/698690009.db2.gz WYJXIUKQNGZPDB-DZGCQCFKSA-N -1 1 304.390 1.703 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cc(NC(C)=O)c(F)cc1F ZINC000800833661 700247691 /nfs/dbraw/zinc/24/76/91/700247691.db2.gz DYGIAOHZBUSZHL-SSDOTTSWSA-N -1 1 322.333 1.700 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)CCCc2ccsc2)n1 ZINC000783649696 698864968 /nfs/dbraw/zinc/86/49/68/698864968.db2.gz LESYDNLKICSUDX-UHFFFAOYSA-N -1 1 314.392 1.231 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)CCCc2ccsc2)[n-]1 ZINC000783649696 698864973 /nfs/dbraw/zinc/86/49/73/698864973.db2.gz LESYDNLKICSUDX-UHFFFAOYSA-N -1 1 314.392 1.231 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(Cc2occc2Br)C1 ZINC000394147746 699106007 /nfs/dbraw/zinc/10/60/07/699106007.db2.gz QBOPXNLYRSWFFH-GFCCVEGCSA-N -1 1 318.167 1.965 20 0 DDADMM COCCN1CCC[C@@H](Nc2ncc(C(=O)[O-])cc2[N+](=O)[O-])C1 ZINC000399392668 699123026 /nfs/dbraw/zinc/12/30/26/699123026.db2.gz OQVJNSCDRHTFHA-LLVKDONJSA-N -1 1 324.337 1.211 20 0 DDADMM COCCN1CCC[C@@H](Nc2nccc(C(=O)[O-])c2[N+](=O)[O-])C1 ZINC000399396148 699123089 /nfs/dbraw/zinc/12/30/89/699123089.db2.gz PYEHOXJITYUVFQ-SNVBAGLBSA-N -1 1 324.337 1.211 20 0 DDADMM O=C(CC1CCCCC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990967901 699195303 /nfs/dbraw/zinc/19/53/03/699195303.db2.gz DGWXAINHXKIRGA-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CO[C@@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC000787901336 699252962 /nfs/dbraw/zinc/25/29/62/699252962.db2.gz CQQCQNPQPVHPKS-VXGBXAGGSA-N -1 1 319.390 1.204 20 0 DDADMM COC[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000714275865 699270507 /nfs/dbraw/zinc/27/05/07/699270507.db2.gz MFRWPVHGUXSVTM-OCAPTIKFSA-N -1 1 308.762 1.577 20 0 DDADMM O=C(COCc1ccccc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000788147836 699284070 /nfs/dbraw/zinc/28/40/70/699284070.db2.gz JIJSGJZTFZLDDZ-GFCCVEGCSA-N -1 1 319.390 1.033 20 0 DDADMM O=C(N[C@H]1CC12CC(O)C2)c1cc(Br)ccc1[O-] ZINC000724987198 699328691 /nfs/dbraw/zinc/32/86/91/699328691.db2.gz SUOJAORBKPEOBC-SAVVLTDYSA-N -1 1 312.163 1.798 20 0 DDADMM CC(C)c1ncc(S(=O)(=O)Oc2ccc3c(c2)C(=O)NC3)[n-]1 ZINC000788948618 699349724 /nfs/dbraw/zinc/34/97/24/699349724.db2.gz AWAIWNCBJZYNOK-UHFFFAOYSA-N -1 1 321.358 1.544 20 0 DDADMM CC(C)c1nc(S(=O)(=O)Oc2ccc3c(c2)C(=O)NC3)c[n-]1 ZINC000788948618 699349728 /nfs/dbraw/zinc/34/97/28/699349728.db2.gz AWAIWNCBJZYNOK-UHFFFAOYSA-N -1 1 321.358 1.544 20 0 DDADMM O=C(COC(=O)c1nc(Cl)cs1)[N-]C(=O)c1ccccc1 ZINC000789220371 699371080 /nfs/dbraw/zinc/37/10/80/699371080.db2.gz PKAOMIZFPVEPJO-UHFFFAOYSA-N -1 1 324.745 1.910 20 0 DDADMM CCC1CCC(CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000727852289 699428031 /nfs/dbraw/zinc/42/80/31/699428031.db2.gz QESWNMCPBXZKJG-UHFFFAOYSA-N -1 1 307.394 1.259 20 0 DDADMM CC(C)Cc1ccccc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000790604171 699468670 /nfs/dbraw/zinc/46/86/70/699468670.db2.gz MWDHBCGRZHSLOY-CQSZACIVSA-N -1 1 315.377 1.612 20 0 DDADMM Cc1noc(C)c1CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791090357 699610965 /nfs/dbraw/zinc/61/09/65/699610965.db2.gz TWELFQGHIRXECE-UHFFFAOYSA-N -1 1 300.322 1.268 20 0 DDADMM CC[S@@](=O)CCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000734358326 699648338 /nfs/dbraw/zinc/64/83/38/699648338.db2.gz RGCCFUPKKQLEHJ-OAQYLSRUSA-N -1 1 307.375 1.142 20 0 DDADMM CC[S@@](=O)CCNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000734358326 699648340 /nfs/dbraw/zinc/64/83/40/699648340.db2.gz RGCCFUPKKQLEHJ-OAQYLSRUSA-N -1 1 307.375 1.142 20 0 DDADMM CC(C)CN(C1CCN(C)CC1)S(=O)(=O)CCCC(=O)[O-] ZINC000736437982 699725772 /nfs/dbraw/zinc/72/57/72/699725772.db2.gz NASQSPLPDJWJCX-UHFFFAOYSA-N -1 1 320.455 1.233 20 0 DDADMM O=C(c1cnc2ccsc2c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000738284738 699756576 /nfs/dbraw/zinc/75/65/76/699756576.db2.gz WPVGNFZUEYSNHR-JTQLQIEISA-N -1 1 316.346 1.023 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2c(F)cc(F)cc2F)n[nH]1 ZINC000738382770 699758489 /nfs/dbraw/zinc/75/84/89/699758489.db2.gz HNWPXKKJGKGWLC-UHFFFAOYSA-N -1 1 319.308 1.868 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2c(F)cc(F)cc2F)[nH]n1 ZINC000738382770 699758493 /nfs/dbraw/zinc/75/84/93/699758493.db2.gz HNWPXKKJGKGWLC-UHFFFAOYSA-N -1 1 319.308 1.868 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)N1CCc2sccc2C1 ZINC000739682432 699777653 /nfs/dbraw/zinc/77/76/53/699777653.db2.gz OVIGGHVSFALOKP-UHFFFAOYSA-N -1 1 311.407 1.222 20 0 DDADMM Cc1ccccc1CN(C)C(=O)[C@H](C)N(C)CCCNC(=O)[O-] ZINC000740184839 699786852 /nfs/dbraw/zinc/78/68/52/699786852.db2.gz RBDTXOGYNGTREZ-AWEZNQCLSA-N -1 1 321.421 1.931 20 0 DDADMM CC(C)c1ncc(S(=O)(=O)Oc2ccc3c(c2)CC(=O)N3)[n-]1 ZINC000795428064 699866389 /nfs/dbraw/zinc/86/63/89/699866389.db2.gz GFQHIGWVOGUUTH-UHFFFAOYSA-N -1 1 321.358 1.795 20 0 DDADMM CC(C)c1nc(S(=O)(=O)Oc2ccc3c(c2)CC(=O)N3)c[n-]1 ZINC000795428064 699866390 /nfs/dbraw/zinc/86/63/90/699866390.db2.gz GFQHIGWVOGUUTH-UHFFFAOYSA-N -1 1 321.358 1.795 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]CC2CC2)c(F)cc1Cl ZINC000795785165 699891493 /nfs/dbraw/zinc/89/14/93/699891493.db2.gz ZSSTZGBMSKBGSG-UHFFFAOYSA-N -1 1 321.757 1.954 20 0 DDADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1c1ccccc1 ZINC000742896905 699893371 /nfs/dbraw/zinc/89/33/71/699893371.db2.gz FHLNWFHOQNGAFD-SWLSCSKDSA-N -1 1 313.357 1.146 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)Cc2cnn(C)c2C)c1 ZINC000795860500 699897596 /nfs/dbraw/zinc/89/75/96/699897596.db2.gz LDYJAIGFIQGDKZ-UHFFFAOYSA-N -1 1 316.357 1.965 20 0 DDADMM O=C(Cc1ccc(Cl)s1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000801153687 700272311 /nfs/dbraw/zinc/27/23/11/700272311.db2.gz AGFFLVYXOZBJPN-MRVPVSSYSA-N -1 1 313.770 1.057 20 0 DDADMM CCOC(=O)[C@@H](C)OC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801325617 700297133 /nfs/dbraw/zinc/29/71/33/700297133.db2.gz GUHXLXWDFNTRAT-SECBINFHSA-N -1 1 322.292 1.825 20 0 DDADMM CCCNC(=O)COC(=O)c1nn(-c2ccc(C)cc2)cc1[O-] ZINC000801366338 700300957 /nfs/dbraw/zinc/30/09/57/700300957.db2.gz FUZAYEMHWWIKBE-UHFFFAOYSA-N -1 1 317.345 1.569 20 0 DDADMM CON(CC1CCOCC1)C(=O)c1cc(NC(C)=O)ccc1[O-] ZINC000801594687 700325573 /nfs/dbraw/zinc/32/55/73/700325573.db2.gz KPXUUWSJQMFDDI-UHFFFAOYSA-N -1 1 322.361 1.781 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cc(F)cc4nccnc43)ccnc1-2 ZINC000801704122 700332903 /nfs/dbraw/zinc/33/29/03/700332903.db2.gz YSQKKJIPHUZNNN-UHFFFAOYSA-N -1 1 322.303 1.676 20 0 DDADMM O=C(CNC(=O)c1cncc([O-])c1)OCc1ccc(Cl)cc1 ZINC000753524022 700441018 /nfs/dbraw/zinc/44/10/18/700441018.db2.gz SCZRIRKTVXLVHJ-UHFFFAOYSA-N -1 1 320.732 1.914 20 0 DDADMM O=S(=O)([N-]Cc1ccncn1)c1ccc(Cl)nc1Cl ZINC000809382025 701662217 /nfs/dbraw/zinc/66/22/17/701662217.db2.gz XVYYQRNPIWAHOL-UHFFFAOYSA-N -1 1 319.173 1.657 20 0 DDADMM CC(C)(C)C1=CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000759858779 700777699 /nfs/dbraw/zinc/77/76/99/700777699.db2.gz DDDCUVFZGRDLJF-UHFFFAOYSA-N -1 1 305.378 1.132 20 0 DDADMM CC(C)Cc1cc([N-]S(=O)(=O)c2ncn(C)c2Cl)n[nH]1 ZINC000760894659 700827963 /nfs/dbraw/zinc/82/79/63/700827963.db2.gz MGUIQQVWOPNTST-UHFFFAOYSA-N -1 1 317.802 1.796 20 0 DDADMM COc1coc(COC(=O)c2c([O-])cc(F)cc2F)cc1=O ZINC000761123202 700845595 /nfs/dbraw/zinc/84/55/95/700845595.db2.gz TVBVZYYOASVDKV-UHFFFAOYSA-N -1 1 312.224 1.989 20 0 DDADMM Cn1[n-]c(COC(=O)C(c2ccccc2)c2ccccc2)nc1=O ZINC000765388879 701009255 /nfs/dbraw/zinc/00/92/55/701009255.db2.gz GISQUANYNHGFMT-UHFFFAOYSA-N -1 1 323.352 1.984 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2ccc(Cl)c(Cl)c2)nc1=O ZINC000765391272 701009482 /nfs/dbraw/zinc/00/94/82/701009482.db2.gz WMVLDWWNKYGDCD-UHFFFAOYSA-N -1 1 316.144 1.701 20 0 DDADMM Cn1[n-]c(COC(=O)C2(c3cccc(F)c3)CCCC2)nc1=O ZINC000765433528 701012687 /nfs/dbraw/zinc/01/26/87/701012687.db2.gz LTDRYBUDALGKAA-UHFFFAOYSA-N -1 1 319.336 1.803 20 0 DDADMM Cn1[n-]c(COC(=O)c2sccc2Br)nc1=O ZINC000765449907 701013323 /nfs/dbraw/zinc/01/33/23/701013323.db2.gz UQMGWDYLTQGVFU-UHFFFAOYSA-N -1 1 318.152 1.289 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCCC(C)(C)O ZINC000765464242 701014013 /nfs/dbraw/zinc/01/40/13/701014013.db2.gz XLXKRNXJBKYDDI-UHFFFAOYSA-N -1 1 315.391 1.766 20 0 DDADMM Cn1[n-]c(COC(=O)CCCCCc2ccc(O)cc2)nc1=O ZINC000765466229 701014046 /nfs/dbraw/zinc/01/40/46/701014046.db2.gz MERRNDFINSXCST-UHFFFAOYSA-N -1 1 319.361 1.660 20 0 DDADMM C[C@@H](Cc1cccc(Cl)c1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765496914 701016154 /nfs/dbraw/zinc/01/61/54/701016154.db2.gz NSBFKJUUVBULCU-VIFPVBQESA-N -1 1 309.753 1.684 20 0 DDADMM O=C([N-][C@@H]1CCN(C2CCCCC2)C1=O)C(F)(F)C(F)F ZINC000766280566 701045137 /nfs/dbraw/zinc/04/51/37/701045137.db2.gz VYDSCOZWIXAUFZ-SECBINFHSA-N -1 1 310.291 1.937 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCc1cn[nH]c1 ZINC000803321440 701101306 /nfs/dbraw/zinc/10/13/06/701101306.db2.gz KQGDYWPLSONEEC-UHFFFAOYSA-N -1 1 309.347 1.528 20 0 DDADMM COc1cc(S(=O)(=O)[N-]c2ccncc2F)cnc1Cl ZINC000767704672 701130476 /nfs/dbraw/zinc/13/04/76/701130476.db2.gz NNASCIGAHTUUHJ-UHFFFAOYSA-N -1 1 317.729 1.500 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)CC(C)(C)OC ZINC000809824359 701693395 /nfs/dbraw/zinc/69/33/95/701693395.db2.gz OYCDVBDIYMUXED-LLVKDONJSA-N -1 1 307.412 1.063 20 0 DDADMM Cc1c(CN(C)C(=O)c2ccc3n[n-]c(=S)n3c2)cnn1C ZINC000830855880 706592322 /nfs/dbraw/zinc/59/23/22/706592322.db2.gz UHTVOBFOZRROHX-UHFFFAOYSA-N -1 1 316.390 1.332 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)C1(Cc2ccc(Cl)cc2)CC1 ZINC000771290443 701315693 /nfs/dbraw/zinc/31/56/93/701315693.db2.gz FVMFQUUWBUNHNZ-UHFFFAOYSA-N -1 1 307.737 1.246 20 0 DDADMM O=C(CNC(=O)c1c([O-])cccc1F)NCc1ccccc1 ZINC000772165025 701344994 /nfs/dbraw/zinc/34/49/94/701344994.db2.gz LWIQQZQPRLSXMW-UHFFFAOYSA-N -1 1 302.305 1.578 20 0 DDADMM CC[C@@H](OC(=O)c1cn[n-]n1)C(=O)Nc1ccc(OC)cc1 ZINC000805604350 701397854 /nfs/dbraw/zinc/39/78/54/701397854.db2.gz FXGCVHLBCDATNY-GFCCVEGCSA-N -1 1 304.306 1.387 20 0 DDADMM CC(C)(C)[C@H](NC(=O)COC(=O)c1cn[n-]n1)c1cccs1 ZINC000805606248 701399200 /nfs/dbraw/zinc/39/92/00/701399200.db2.gz VRVBFHSBYMEPDT-GFCCVEGCSA-N -1 1 322.390 1.927 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)NCCCSc1ccccc1 ZINC000805609015 701399781 /nfs/dbraw/zinc/39/97/81/701399781.db2.gz LYBFXJVGTSWFDH-UHFFFAOYSA-N -1 1 320.374 1.260 20 0 DDADMM O=c1cc(/C=C\c2cn(-c3ccccc3)nn2)nc2nc[n-]n21 ZINC000806570626 701441663 /nfs/dbraw/zinc/44/16/63/701441663.db2.gz FTVWABCZBKLWST-SREVYHEPSA-N -1 1 305.301 1.169 20 0 DDADMM CC(C)Cn1ncc(NC(=O)NN2CC(=O)[N-]C2=O)c1C1CC1 ZINC000806814671 701449458 /nfs/dbraw/zinc/44/94/58/701449458.db2.gz FSILRXDGRXLLLC-UHFFFAOYSA-N -1 1 320.353 1.005 20 0 DDADMM CCCCC[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)OC ZINC000807020189 701458857 /nfs/dbraw/zinc/45/88/57/701458857.db2.gz RYXMCBSECRDIPU-CYBMUJFWSA-N -1 1 307.346 1.813 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@H]2CCCC23OCCO3)n[nH]1 ZINC000807196136 701469183 /nfs/dbraw/zinc/46/91/83/701469183.db2.gz QGXMVIPKGNVFHV-SECBINFHSA-N -1 1 309.322 1.068 20 0 DDADMM O=C1OCc2ccc([N-]S(=O)(=O)C[C@@H]3CCCCO3)cc21 ZINC000808126223 701499736 /nfs/dbraw/zinc/49/97/36/701499736.db2.gz WGQPNVGOOMPLQE-LBPRGKRZSA-N -1 1 311.359 1.668 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@@H]2CCO[C@H]2C12CCC2)c1c[nH]nc1Cl ZINC000830944877 706607664 /nfs/dbraw/zinc/60/76/64/706607664.db2.gz RMBWVCFPZOWYSP-FXBDTBDDSA-N -1 1 317.798 1.299 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2c(Cl)cccc2Cl)CCOC1=O ZINC000810102824 701729498 /nfs/dbraw/zinc/72/94/98/701729498.db2.gz KWGRCHZBKYWAFX-LLVKDONJSA-N -1 1 324.185 1.977 20 0 DDADMM CCC[C@H](C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C(C)C ZINC000830959294 706611424 /nfs/dbraw/zinc/61/14/24/706611424.db2.gz MHQFULWJSSTFDN-NSHDSACASA-N -1 1 307.394 1.943 20 0 DDADMM C[C@@H](O)[C@@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)CCO1 ZINC000839671530 701777100 /nfs/dbraw/zinc/77/71/00/701777100.db2.gz LPQNSFGUKXORTB-BMIGLBTASA-N -1 1 305.330 1.080 20 0 DDADMM O=Cc1cc(Cl)c(F)cc1OS(=O)(=O)c1c[n-]cn1 ZINC000815087879 701796296 /nfs/dbraw/zinc/79/62/96/701796296.db2.gz RTXMQNBTQXBMJA-UHFFFAOYSA-N -1 1 304.686 1.782 20 0 DDADMM CC(F)(F)c1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000815519698 701886599 /nfs/dbraw/zinc/88/65/99/701886599.db2.gz GAICEQNDEJMOOR-NSHDSACASA-N -1 1 323.303 1.525 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)c1sccc1F ZINC000816014357 701991516 /nfs/dbraw/zinc/99/15/16/701991516.db2.gz WHJCUGTYJQVXDD-UHFFFAOYSA-N -1 1 322.321 1.326 20 0 DDADMM CCCc1occc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831124976 706639286 /nfs/dbraw/zinc/63/92/86/706639286.db2.gz FLVZIVGJQCYUKU-UHFFFAOYSA-N -1 1 318.295 1.983 20 0 DDADMM O=C([N-]CC1CN(C(=O)C2(F)CCCCC2)C1)C(F)(F)F ZINC000831126016 706639738 /nfs/dbraw/zinc/63/97/38/706639738.db2.gz VTSMAYIPUUMRTL-UHFFFAOYSA-N -1 1 310.291 1.796 20 0 DDADMM CCOC(CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)OCC ZINC000816524336 702105791 /nfs/dbraw/zinc/10/57/91/702105791.db2.gz BFXZFRFTOLQVMS-UHFFFAOYSA-N -1 1 313.354 1.270 20 0 DDADMM O=c1nc(NC[C@@H]2CC=CC[C@H]2C(F)(F)F)nc2[nH][n-]cc1-2 ZINC000866177990 706641336 /nfs/dbraw/zinc/64/13/36/706641336.db2.gz KVAQPYNSCGMUIW-IONNQARKSA-N -1 1 313.283 1.989 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCC2CCCC2)C1)C(F)(F)F ZINC000866183997 706642616 /nfs/dbraw/zinc/64/26/16/706642616.db2.gz HIOKXYLDUSVQIU-UHFFFAOYSA-N -1 1 307.316 1.497 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NCC1(C2CC2)CC1 ZINC000868434175 702125568 /nfs/dbraw/zinc/12/55/68/702125568.db2.gz WWKGZCJLKWLGTN-UHFFFAOYSA-N -1 1 318.377 1.244 20 0 DDADMM O=C([N-]CC1CN(C(=O)c2ccccc2O)C1)C(F)(F)F ZINC000831154555 706645964 /nfs/dbraw/zinc/64/59/64/706645964.db2.gz WBOTUWAFEKHMCI-UHFFFAOYSA-N -1 1 302.252 1.143 20 0 DDADMM C[C@@H](C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)c1ccco1 ZINC000831145553 706644106 /nfs/dbraw/zinc/64/41/06/706644106.db2.gz XRTJWYZOJSNMQD-MRVPVSSYSA-N -1 1 304.268 1.520 20 0 DDADMM O=C(Cn1c(=O)c2ccccc2[nH]c1=S)[N-]OCCC1CC1 ZINC000817441949 702381482 /nfs/dbraw/zinc/38/14/82/702381482.db2.gz RAVFILQCNXUKDL-UHFFFAOYSA-N -1 1 319.386 1.533 20 0 DDADMM CS(C)(=O)=NC(=O)CNC(=O)c1c([O-])cccc1Cl ZINC000868950082 702398921 /nfs/dbraw/zinc/39/89/21/702398921.db2.gz COXFWNQFBCRCOT-UHFFFAOYSA-N -1 1 304.755 1.030 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC[C@@H](O)C3CCCC3)ccnc1-2 ZINC000879415866 706674221 /nfs/dbraw/zinc/67/42/21/706674221.db2.gz GVSZZTRLAYZKER-CQSZACIVSA-N -1 1 317.393 1.405 20 0 DDADMM O=S(=O)([N-]C1(CCO)CC1)c1c(Cl)ccnc1Cl ZINC000814281941 702506385 /nfs/dbraw/zinc/50/63/85/702506385.db2.gz WRZOSUDPYQIFOF-UHFFFAOYSA-N -1 1 311.190 1.582 20 0 DDADMM C[C@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C[C@H]1C ZINC000869212954 702513093 /nfs/dbraw/zinc/51/30/93/702513093.db2.gz BPJCSGIOEHCHJW-WDEREUQCSA-N -1 1 320.393 1.442 20 0 DDADMM CO[C@](C)([C@@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CC1 ZINC000841705682 702544163 /nfs/dbraw/zinc/54/41/63/702544163.db2.gz PQDJYAIWSMTFQS-QLJPJBMISA-N -1 1 317.393 1.667 20 0 DDADMM Cc1ccc(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)cc1 ZINC000869315306 702565624 /nfs/dbraw/zinc/56/56/24/702565624.db2.gz WEALJXSMUJLBRJ-UHFFFAOYSA-N -1 1 317.263 1.790 20 0 DDADMM Cc1ccsc1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869315772 702565707 /nfs/dbraw/zinc/56/57/07/702565707.db2.gz FZYCWISJCDKMMQ-UHFFFAOYSA-N -1 1 323.292 1.851 20 0 DDADMM CC/C(C)=C(/C)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869469342 702617199 /nfs/dbraw/zinc/61/71/99/702617199.db2.gz ARMDCLOAFBIZLE-HJWRWDBZSA-N -1 1 309.284 1.914 20 0 DDADMM CCOCCC[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000866387097 706687335 /nfs/dbraw/zinc/68/73/35/706687335.db2.gz MLHCGKIEZUEEIE-LJQANCHMSA-N -1 1 320.436 1.404 20 0 DDADMM Cn1ccc(N2CCC[C@H](O[N-]C(=O)CC(C)(C)C)C2=O)n1 ZINC000842063668 702665826 /nfs/dbraw/zinc/66/58/26/702665826.db2.gz PSUFHQQQDWEYQJ-NSHDSACASA-N -1 1 308.382 1.400 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H](C)CC(F)F)co1 ZINC000842439656 702721333 /nfs/dbraw/zinc/72/13/33/702721333.db2.gz RQFFRWCRKSFDOP-SSDOTTSWSA-N -1 1 311.306 1.636 20 0 DDADMM C[C@@H]1CCC[C@]1(C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843013210 702803570 /nfs/dbraw/zinc/80/35/70/702803570.db2.gz CHBOCMJBFNQXAY-OCCSQVGLSA-N -1 1 316.467 1.743 20 0 DDADMM Cc1cc(C)c(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)o1 ZINC000843017208 702804108 /nfs/dbraw/zinc/80/41/08/702804108.db2.gz ZXRYHFNXHVUHKP-UHFFFAOYSA-N -1 1 314.407 1.440 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2CCSCC2)CCC1 ZINC000843017568 702804364 /nfs/dbraw/zinc/80/43/64/702804364.db2.gz FHEVPPUEOCFGEM-UHFFFAOYSA-N -1 1 320.480 1.060 20 0 DDADMM CCCO[N-]C(=O)[C@H]1CC(=O)N(c2cc(C)ccc2OC)C1 ZINC000844081431 702969233 /nfs/dbraw/zinc/96/92/33/702969233.db2.gz ZPPGCIPEZWXONL-LBPRGKRZSA-N -1 1 306.362 1.814 20 0 DDADMM O=S(=O)([N-]Cc1nc(C2CC2)no1)c1ccc(C(F)F)o1 ZINC000866549187 706726882 /nfs/dbraw/zinc/72/68/82/706726882.db2.gz GWENMKOMUXYZHF-UHFFFAOYSA-N -1 1 319.289 1.956 20 0 DDADMM CCO[N-]C(=O)CNCc1cc(C(=O)OC)ccc1Cl ZINC000846091254 703236834 /nfs/dbraw/zinc/23/68/34/703236834.db2.gz KQLTYRDUSMODBF-UHFFFAOYSA-N -1 1 300.742 1.284 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@]2(CC2(F)F)C1 ZINC000846582746 703300041 /nfs/dbraw/zinc/30/00/41/703300041.db2.gz MOLUXRRLOYNAQC-LBPRGKRZSA-N -1 1 315.276 1.158 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000846707066 703321824 /nfs/dbraw/zinc/32/18/24/703321824.db2.gz COPXLCNKFKVWKQ-UHFFFAOYSA-N -1 1 316.361 1.979 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000846707066 703321827 /nfs/dbraw/zinc/32/18/27/703321827.db2.gz COPXLCNKFKVWKQ-UHFFFAOYSA-N -1 1 316.361 1.979 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cn(C)nc2C(C)(C)C)on1 ZINC000866606326 706743325 /nfs/dbraw/zinc/74/33/25/706743325.db2.gz VJXHFOLHXSTXCW-UHFFFAOYSA-N -1 1 312.395 1.493 20 0 DDADMM COC(OC)[C@H](NC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000848028079 703497243 /nfs/dbraw/zinc/49/72/43/703497243.db2.gz GDUGHYQDEVXOMN-CQSZACIVSA-N -1 1 302.330 1.877 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2SCCS2)o1 ZINC000851832015 703866655 /nfs/dbraw/zinc/86/66/55/703866655.db2.gz CDPSUGJMUNRDOD-UHFFFAOYSA-N -1 1 323.417 1.151 20 0 DDADMM Cn1nnnc1C1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000831754994 706772233 /nfs/dbraw/zinc/77/22/33/706772233.db2.gz MXVQTIDNSOELBG-UHFFFAOYSA-N -1 1 321.768 1.589 20 0 DDADMM Cc1cnc(C(=O)N2CCN[C@@H](c3ccc(O)cc3)C2)c([O-])c1 ZINC000870087084 703911669 /nfs/dbraw/zinc/91/16/69/703911669.db2.gz KKFOXRMTKDEIRR-CQSZACIVSA-N -1 1 313.357 1.588 20 0 DDADMM C[C@H]1CCC[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866741888 706783889 /nfs/dbraw/zinc/78/38/89/706783889.db2.gz MJOADWPQRACJQS-NKWVEPMBSA-N -1 1 308.201 1.639 20 0 DDADMM CCCCOC(=O)[C@@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879766338 706786542 /nfs/dbraw/zinc/78/65/42/706786542.db2.gz WYCZRXODNXGYGT-ZWNOBZJWSA-N -1 1 324.343 1.861 20 0 DDADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC000819064533 704063934 /nfs/dbraw/zinc/06/39/34/704063934.db2.gz VLMVBPQANOMEBA-QMMMGPOBSA-N -1 1 310.158 1.587 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@H]2C=CCC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852504263 704064802 /nfs/dbraw/zinc/06/48/02/704064802.db2.gz BZIVYSBXFMOGMT-VWYCJHECSA-N -1 1 319.327 1.804 20 0 DDADMM CCn1ccnc1CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852756699 704116178 /nfs/dbraw/zinc/11/61/78/704116178.db2.gz AXPATFVXSHEZCT-MNOVXSKESA-N -1 1 318.343 1.792 20 0 DDADMM CN1CC[C@@H]([N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)C1=O ZINC000820171786 704233735 /nfs/dbraw/zinc/23/37/35/704233735.db2.gz DJWRNIIYKOTHRJ-SNVBAGLBSA-N -1 1 304.243 1.404 20 0 DDADMM CC1(c2cccc(CNC(=O)c3ncccc3[O-])c2)OCCO1 ZINC000820328212 704261051 /nfs/dbraw/zinc/26/10/51/704261051.db2.gz PWUIEAZSRBTRMS-UHFFFAOYSA-N -1 1 314.341 1.937 20 0 DDADMM C[C@H](NCc1ccc(-c2nnn[n-]2)o1)c1nnc2n1CCCC2 ZINC000820431548 704277693 /nfs/dbraw/zinc/27/76/93/704277693.db2.gz GRCLOUCOJGAZEF-VIFPVBQESA-N -1 1 314.353 1.238 20 0 DDADMM C[C@H](NCc1ccc(-c2nn[n-]n2)o1)c1nnc2n1CCCC2 ZINC000820431548 704277696 /nfs/dbraw/zinc/27/76/96/704277696.db2.gz GRCLOUCOJGAZEF-VIFPVBQESA-N -1 1 314.353 1.238 20 0 DDADMM CC1(C)C[C@](C)([N-]S(=O)(=O)Cc2ccccc2F)C(=O)O1 ZINC000820733980 704325228 /nfs/dbraw/zinc/32/52/28/704325228.db2.gz AWRYUWOYKOLDQF-AWEZNQCLSA-N -1 1 315.366 1.729 20 0 DDADMM CC1(/C=C\C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCOCC1 ZINC000854342540 704382531 /nfs/dbraw/zinc/38/25/31/704382531.db2.gz WYQKQWILZHEYJX-DJWKRKHSSA-N -1 1 321.377 1.854 20 0 DDADMM CC1=C(C(=O)[N-]Oc2ccccc2)[C@@H](C)n2nnnc2N1C ZINC000854536097 704413952 /nfs/dbraw/zinc/41/39/52/704413952.db2.gz OVIQUKCEMHUSQP-SNVBAGLBSA-N -1 1 300.322 1.068 20 0 DDADMM O=C(N[C@H]1C[C@@H](O)C12CCC2)c1ccc2n[n-]c(=S)n2c1 ZINC000855919516 704506765 /nfs/dbraw/zinc/50/67/65/704506765.db2.gz DNCJRQNMICUHDJ-VHSXEESVSA-N -1 1 304.375 1.051 20 0 DDADMM CC1=NO[C@@H](CNC(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000857275939 704572999 /nfs/dbraw/zinc/57/29/99/704572999.db2.gz CLVCITURINRUOG-SNVBAGLBSA-N -1 1 300.318 1.538 20 0 DDADMM CC1=NO[C@@H](CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000857275939 704573001 /nfs/dbraw/zinc/57/30/01/704573001.db2.gz CLVCITURINRUOG-SNVBAGLBSA-N -1 1 300.318 1.538 20 0 DDADMM CC(C)(CO)O[N-]C(=O)CCc1nnc(-c2ccsc2)o1 ZINC000857285075 704574340 /nfs/dbraw/zinc/57/43/40/704574340.db2.gz QPPQHLHRHKTPFF-UHFFFAOYSA-N -1 1 311.363 1.549 20 0 DDADMM O=C(NCC1CC1)[C@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858353892 704699118 /nfs/dbraw/zinc/69/91/18/704699118.db2.gz JUZGJFSNYIMSDH-JTQLQIEISA-N -1 1 310.785 1.578 20 0 DDADMM O=c1nc(N2CCC(OC[C@@H]3CCCO3)CC2)cc(Cl)[n-]1 ZINC000858438792 704711228 /nfs/dbraw/zinc/71/12/28/704711228.db2.gz DUHUXIBLWSDLEJ-NSHDSACASA-N -1 1 313.785 2.000 20 0 DDADMM C[C@H]1C[C@H]1C[N-]S(=O)(=O)c1ccc(Br)nc1F ZINC000858901064 704769926 /nfs/dbraw/zinc/76/99/26/704769926.db2.gz IPEFAQFYNMVAQV-BQBZGAKWSA-N -1 1 323.187 1.918 20 0 DDADMM COc1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)c(C)c1 ZINC000821798647 704811004 /nfs/dbraw/zinc/81/10/04/704811004.db2.gz IKUQCLZZTBXQDU-UHFFFAOYSA-N -1 1 324.344 1.509 20 0 DDADMM COc1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)c(C)c1 ZINC000821798647 704811010 /nfs/dbraw/zinc/81/10/10/704811010.db2.gz IKUQCLZZTBXQDU-UHFFFAOYSA-N -1 1 324.344 1.509 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2ccc(C)c(F)c2F)n1 ZINC000859250153 704834327 /nfs/dbraw/zinc/83/43/27/704834327.db2.gz QKMXQTOKRRCRJT-UHFFFAOYSA-N -1 1 316.333 1.363 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@H](c2c(F)cccc2F)C1 ZINC000822010638 704859381 /nfs/dbraw/zinc/85/93/81/704859381.db2.gz BXEDTSDHSOJNHC-JTQLQIEISA-N -1 1 321.331 1.817 20 0 DDADMM CON(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000859412423 704894076 /nfs/dbraw/zinc/89/40/76/704894076.db2.gz FXOIZNYHZXHUKB-SECBINFHSA-N -1 1 312.347 1.119 20 0 DDADMM O=S(=O)([N-]C[C@@H]1COCO1)c1cc2cc(F)ccc2o1 ZINC000867397445 706987023 /nfs/dbraw/zinc/98/70/23/706987023.db2.gz LWSUBZSUTILFGM-SNVBAGLBSA-N -1 1 301.295 1.223 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H](C1CC1)C1CCOCC1 ZINC000867459906 707008293 /nfs/dbraw/zinc/00/82/93/707008293.db2.gz ZROJJOMLXVPPCI-BUXKBTBVSA-N -1 1 324.468 1.144 20 0 DDADMM CCN1CC[C@H]([N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)C1=O ZINC000822779616 705036202 /nfs/dbraw/zinc/03/62/02/705036202.db2.gz XDDTXTRPTSUQCR-NSHDSACASA-N -1 1 318.270 1.794 20 0 DDADMM C[C@@H]1c2ccc(Cl)n2CCN1C(=O)CCCc1nn[n-]n1 ZINC000822973932 705098465 /nfs/dbraw/zinc/09/84/65/705098465.db2.gz SOZWZWXKNNHIPR-SECBINFHSA-N -1 1 308.773 1.581 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC3SCCS3)ccnc1-2 ZINC000823057353 705126416 /nfs/dbraw/zinc/12/64/16/705126416.db2.gz BDPGLTAVSOHTRG-UHFFFAOYSA-N -1 1 309.420 1.269 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@@H]2C[C@H]2C2CC2)n[n-]1 ZINC000880665869 707051893 /nfs/dbraw/zinc/05/18/93/707051893.db2.gz IDMNVNGBOZJEAU-MIMYLULJSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@@H]2C[C@H]2C2CC2)[n-]1 ZINC000880665869 707051896 /nfs/dbraw/zinc/05/18/96/707051896.db2.gz IDMNVNGBOZJEAU-MIMYLULJSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@@H]2C[C@H]2C2CC2)n1 ZINC000880665869 707051899 /nfs/dbraw/zinc/05/18/99/707051899.db2.gz IDMNVNGBOZJEAU-MIMYLULJSA-N -1 1 306.366 1.595 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1CCCC12CC2 ZINC000860648571 705245559 /nfs/dbraw/zinc/24/55/59/705245559.db2.gz SGDWZLRFZVYNDK-GFCCVEGCSA-N -1 1 316.361 1.392 20 0 DDADMM COCCC1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000861026021 705352290 /nfs/dbraw/zinc/35/22/90/705352290.db2.gz HRVSOMGCIYJQRQ-UHFFFAOYSA-N -1 1 317.393 1.622 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@H]1CCCS1(=O)=O ZINC000834821981 707130254 /nfs/dbraw/zinc/13/02/54/707130254.db2.gz ILRPYYVHHOPDJN-LLVKDONJSA-N -1 1 303.767 1.239 20 0 DDADMM CC1(C)C[C@H](Nc2snc(Cl)c2-c2nnn[n-]2)C(=O)O1 ZINC000824796370 705530882 /nfs/dbraw/zinc/53/08/82/705530882.db2.gz OXZFUSWTMZYHOO-BYPYZUCNSA-N -1 1 314.758 1.483 20 0 DDADMM CC1(C)C[C@H](Nc2snc(Cl)c2-c2nn[n-]n2)C(=O)O1 ZINC000824796370 705530886 /nfs/dbraw/zinc/53/08/86/705530886.db2.gz OXZFUSWTMZYHOO-BYPYZUCNSA-N -1 1 314.758 1.483 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@@H]([N@H+]2CC[C@@H](O)C2)C1 ZINC000861709117 705545230 /nfs/dbraw/zinc/54/52/30/705545230.db2.gz DUGKNZCKSUBZIW-VXGBXAGGSA-N -1 1 310.781 1.327 20 0 DDADMM CCCN(CC(=O)OC)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825307618 705643071 /nfs/dbraw/zinc/64/30/71/705643071.db2.gz HQTPPFFSRXZNHB-UHFFFAOYSA-N -1 1 316.774 1.366 20 0 DDADMM CCCN(CC(=O)OC)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825307618 705643072 /nfs/dbraw/zinc/64/30/72/705643072.db2.gz HQTPPFFSRXZNHB-UHFFFAOYSA-N -1 1 316.774 1.366 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC12CCC(CC1)C2 ZINC000876300216 705683134 /nfs/dbraw/zinc/68/31/34/705683134.db2.gz NEQUUORTMRUMFV-UHFFFAOYSA-N -1 1 318.377 1.387 20 0 DDADMM COc1ccc(Cl)cc1C(F)(F)C(=O)N(C)c1nn[n-]n1 ZINC000825532003 705688629 /nfs/dbraw/zinc/68/86/29/705688629.db2.gz QOMBDBYQAVNQTO-UHFFFAOYSA-N -1 1 317.683 1.617 20 0 DDADMM Cc1cccc(CO[N-]C(=O)[C@@H]2C[C@]23c2ccccc2NC3=O)n1 ZINC000825565497 705694022 /nfs/dbraw/zinc/69/40/22/705694022.db2.gz FVHOHZPIUYEHSV-KSSFIOAISA-N -1 1 323.352 1.848 20 0 DDADMM CCc1noc(C)c1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825771820 705729134 /nfs/dbraw/zinc/72/91/34/705729134.db2.gz SOQLAMPXFCLNGA-UHFFFAOYSA-N -1 1 317.309 1.203 20 0 DDADMM CCc1noc(C)c1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825771820 705729138 /nfs/dbraw/zinc/72/91/38/705729138.db2.gz SOQLAMPXFCLNGA-UHFFFAOYSA-N -1 1 317.309 1.203 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000825972821 705753561 /nfs/dbraw/zinc/75/35/61/705753561.db2.gz DMCQSFBWARNURL-OTYXRUKQSA-N -1 1 308.407 1.545 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)CC2(C)C)c1-c1nnn[n-]1 ZINC000826343604 705792910 /nfs/dbraw/zinc/79/29/10/705792910.db2.gz HQNTZPNSDHCCPF-QMMMGPOBSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)CC2(C)C)c1-c1nn[n-]n1 ZINC000826343604 705792912 /nfs/dbraw/zinc/79/29/12/705792912.db2.gz HQNTZPNSDHCCPF-QMMMGPOBSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)NCCc2ccccc2)c1-c1nnn[n-]1 ZINC000826347761 705794409 /nfs/dbraw/zinc/79/44/09/705794409.db2.gz DVGCOBBQJNRZCE-UHFFFAOYSA-N -1 1 312.333 1.065 20 0 DDADMM Cc1onc(CC(=O)NCCc2ccccc2)c1-c1nn[n-]n1 ZINC000826347761 705794412 /nfs/dbraw/zinc/79/44/12/705794412.db2.gz DVGCOBBQJNRZCE-UHFFFAOYSA-N -1 1 312.333 1.065 20 0 DDADMM CC(C)c1ccccc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826834796 705881269 /nfs/dbraw/zinc/88/12/69/705881269.db2.gz XJJISRQDLJWGKU-ZDUSSCGKSA-N -1 1 301.350 1.537 20 0 DDADMM CCc1noc([C@@H](C)[N-]S(=O)(=O)c2c(C)nn(C)c2C)n1 ZINC000863456458 705933980 /nfs/dbraw/zinc/93/39/80/705933980.db2.gz AVYNVCHJEHZHRX-MRVPVSSYSA-N -1 1 313.383 1.022 20 0 DDADMM C[C@@H]1C[C@H](O)CN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000863948824 706044214 /nfs/dbraw/zinc/04/42/14/706044214.db2.gz PKEYOTUQWAHVKV-ZJUUUORDSA-N -1 1 304.321 1.922 20 0 DDADMM C[C@H](O)CN1CCN(C(=O)Nc2cccc(C(=O)[O-])c2)C[C@H]1C ZINC000827796415 706069245 /nfs/dbraw/zinc/06/92/45/706069245.db2.gz UFCPPHBPWXFXEO-NEPJUHHUSA-N -1 1 321.377 1.304 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC000828689986 706217916 /nfs/dbraw/zinc/21/79/16/706217916.db2.gz WZNQJBQQFAPLHP-CNDDSTCGSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H](O)C[N-]S(=O)(=O)c1cnc(Cl)cc1C(F)(F)F ZINC000881911780 707420639 /nfs/dbraw/zinc/42/06/39/707420639.db2.gz CORQQSPTABVWSJ-YFKPBYRVSA-N -1 1 318.704 1.413 20 0 DDADMM O=S(=O)([N-]CC(F)(F)c1ccc(F)cc1F)N1CCC1 ZINC000872549256 707473147 /nfs/dbraw/zinc/47/31/47/707473147.db2.gz NCXXMMWXTFQAAO-UHFFFAOYSA-N -1 1 312.288 1.597 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccco1)c1ccc(C(F)F)o1 ZINC000866832890 706819163 /nfs/dbraw/zinc/81/91/63/706819163.db2.gz KZEKUNKWISKXHK-SSDOTTSWSA-N -1 1 307.274 1.822 20 0 DDADMM CC[C@@](C)(CC(=O)OC)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830783179 706578943 /nfs/dbraw/zinc/57/89/43/706578943.db2.gz VHOPQRKGPKPICV-JTQLQIEISA-N -1 1 309.775 1.073 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CC1(C)C ZINC000879087298 706584881 /nfs/dbraw/zinc/58/48/81/706584881.db2.gz HXULWXRKWBPASC-LLVKDONJSA-N -1 1 316.398 1.574 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CCCCC1)c1ccc(F)nc1F ZINC000866835313 706819893 /nfs/dbraw/zinc/81/98/93/706819893.db2.gz XWYPFEORMBAGKC-JTQLQIEISA-N -1 1 320.361 1.579 20 0 DDADMM CC(C)(C)[C@H](O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816306 706585128 /nfs/dbraw/zinc/58/51/28/706585128.db2.gz OCOIJOFFTUOMDY-PELKAZGASA-N -1 1 310.316 1.063 20 0 DDADMM CCCCO[C@H](C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830829076 706588278 /nfs/dbraw/zinc/58/82/78/706588278.db2.gz VDWVVCGWCPLQCK-ZWNOBZJWSA-N -1 1 324.343 1.861 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000832075598 706838328 /nfs/dbraw/zinc/83/83/28/706838328.db2.gz HQIVLNLCPVWENJ-UWVGGRQHSA-N -1 1 313.350 1.038 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@]1(C)CC1(Cl)Cl ZINC000832141942 706853323 /nfs/dbraw/zinc/85/33/23/706853323.db2.gz NPWJXDIBMMDECY-MRVPVSSYSA-N -1 1 314.194 1.427 20 0 DDADMM CCOC(=O)CC[C@@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867025001 706875019 /nfs/dbraw/zinc/87/50/19/706875019.db2.gz LAYIQNJCYAJOGJ-MRVPVSSYSA-N -1 1 322.333 1.370 20 0 DDADMM CC[C@H](CSC)[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867057765 706884928 /nfs/dbraw/zinc/88/49/28/706884928.db2.gz AOYXOIXTHIJWRQ-SECBINFHSA-N -1 1 300.471 1.224 20 0 DDADMM C[C@H]1CCCN1CCNS(=O)(=O)c1cccc(Cl)c1[O-] ZINC000867146866 706908548 /nfs/dbraw/zinc/90/85/48/706908548.db2.gz ANFOZQYKGOONCE-JTQLQIEISA-N -1 1 318.826 1.808 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C(C)(C)O ZINC000867182278 706917533 /nfs/dbraw/zinc/91/75/33/706917533.db2.gz UEZAAPWAIQMUDW-JTQLQIEISA-N -1 1 324.805 1.948 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@]1(C)CCCCO1 ZINC000832484128 706924014 /nfs/dbraw/zinc/92/40/14/706924014.db2.gz VBUXBUBIOLAAQT-AWEZNQCLSA-N -1 1 311.407 1.902 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2C[C@@H](O)c3ccccc32)sn1 ZINC000867515670 707024732 /nfs/dbraw/zinc/02/47/32/707024732.db2.gz ZICJKJFGFZOWSC-NWDGAFQWSA-N -1 1 310.400 1.908 20 0 DDADMM Cc1cc(C[N-]C(=O)C(F)(F)F)cc(N[C@@H]2CCNC2=O)c1 ZINC000834667982 707100803 /nfs/dbraw/zinc/10/08/03/707100803.db2.gz DWDBALGTCCOIDI-LLVKDONJSA-N -1 1 315.295 1.474 20 0 DDADMM CC(C)(C)n1nnc(CC(=O)NCc2ccc([O-])c(Cl)c2)n1 ZINC000834823163 707130904 /nfs/dbraw/zinc/13/09/04/707130904.db2.gz UVXNRCFDIVGOSX-UHFFFAOYSA-N -1 1 323.784 1.646 20 0 DDADMM O=C(NCCN1CCOCC1)NCc1ccc([O-])c(Cl)c1 ZINC000871626739 707144037 /nfs/dbraw/zinc/14/40/37/707144037.db2.gz SUKQDHHFPRGRGT-UHFFFAOYSA-N -1 1 313.785 1.177 20 0 DDADMM CC(C)(O)[C@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000871766360 707192859 /nfs/dbraw/zinc/19/28/59/707192859.db2.gz OCKOHPUYTSSHRE-JTQLQIEISA-N -1 1 301.346 1.156 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)CN1CCOCC1 ZINC000909077959 712932715 /nfs/dbraw/zinc/93/27/15/712932715.db2.gz AXPJDPBRVZVRFI-LBPRGKRZSA-N -1 1 306.362 1.600 20 0 DDADMM CN(CC(=O)NCCCCCCC(=O)[O-])[C@H]1CCSC1 ZINC000909148282 712946959 /nfs/dbraw/zinc/94/69/59/712946959.db2.gz WKLVBVKATXSMBF-LBPRGKRZSA-N -1 1 302.440 1.575 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCSC1 ZINC000872482983 707437979 /nfs/dbraw/zinc/43/79/79/707437979.db2.gz YGYQMFDQVXALLF-HUTHGQBESA-N -1 1 314.498 1.470 20 0 DDADMM C[C@@H]1C[C@@H](C)CN(S(=O)(=O)[N-]c2ccn(CCF)n2)C1 ZINC000872406834 707400315 /nfs/dbraw/zinc/40/03/15/707400315.db2.gz VQSOXDFUVRKSMV-GHMZBOCLSA-N -1 1 304.391 1.487 20 0 DDADMM C[C@@H]1CSC[C@H]1[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872486911 707439979 /nfs/dbraw/zinc/43/99/79/707439979.db2.gz IVOXAOWOXKXJGI-CGMALJKESA-N -1 1 314.498 1.469 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CC1=CCCOC1 ZINC000872487624 707440548 /nfs/dbraw/zinc/44/05/48/707440548.db2.gz AVRZSHOKIVSMGY-GOSISDBHSA-N -1 1 310.441 1.064 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@H](C(C)C)N1C[C@@H](C)O[C@H](C)C1 ZINC000836897718 707543754 /nfs/dbraw/zinc/54/37/54/707543754.db2.gz IJQLHXFWDAKIIM-KBXIAJHMSA-N -1 1 316.442 1.185 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC(F)(F)[C@@H]1O)c1cccnc1F ZINC000882265549 707563421 /nfs/dbraw/zinc/56/34/21/707563421.db2.gz UUNYCIQVYHEBEP-IONNQARKSA-N -1 1 310.297 1.048 20 0 DDADMM Cn1cnn(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)c1=S ZINC000872751779 707584714 /nfs/dbraw/zinc/58/47/14/707584714.db2.gz ACOXDXJATQMDJA-SNVBAGLBSA-N -1 1 323.344 1.051 20 0 DDADMM CC1(C)CC(=O)N(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000872752472 707585040 /nfs/dbraw/zinc/58/50/40/707585040.db2.gz FATPTEDFYWDZNU-ZDUSSCGKSA-N -1 1 321.343 1.345 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-]CC1CSC1)c1ccccc1 ZINC000882405975 707625378 /nfs/dbraw/zinc/62/53/78/707625378.db2.gz KAYOFEXGBMEGHD-SFHVURJKSA-N -1 1 320.461 1.341 20 0 DDADMM CC1(C)C[C@@]1(C)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000882579183 707705743 /nfs/dbraw/zinc/70/57/43/707705743.db2.gz SDUPKYKFGVAZQX-JTQLQIEISA-N -1 1 322.228 1.887 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2CCC[C@@H]2c2ccccc2)nc1=O ZINC000837866851 707768726 /nfs/dbraw/zinc/76/87/26/707768726.db2.gz XXYWFEILEAXPTQ-CHWSQXEVSA-N -1 1 301.346 1.736 20 0 DDADMM O=C([N-]CCCOC(=O)c1cc2n(n1)CCC2)C(F)(F)F ZINC000837900014 707776887 /nfs/dbraw/zinc/77/68/87/707776887.db2.gz SFPCGMKDXJFXPF-UHFFFAOYSA-N -1 1 305.256 1.055 20 0 DDADMM COC(=O)[C@]1(O)CCN(C(=O)c2ccc3ccccc3c2[O-])C1 ZINC000882842108 707814101 /nfs/dbraw/zinc/81/41/01/707814101.db2.gz NDLQMQQHXKUWHV-KRWDZBQOSA-N -1 1 315.325 1.295 20 0 DDADMM C/C(=C/C(=O)OCCC[N-]C(=O)C(F)(F)F)c1cnccn1 ZINC000838230013 707873305 /nfs/dbraw/zinc/87/33/05/707873305.db2.gz JGJLHXQRKZVFRC-CLFYSBASSA-N -1 1 317.267 1.492 20 0 DDADMM CC[C@H](C)N(CC(=O)[O-])C(=O)c1cc(-c2ccn(C)c2)[nH]n1 ZINC000909311081 712988470 /nfs/dbraw/zinc/98/84/70/712988470.db2.gz JEUHKZVGPQRPED-JTQLQIEISA-N -1 1 304.350 1.741 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NC(=O)CN(C)CCc1ccccc1 ZINC000909374214 713003097 /nfs/dbraw/zinc/00/30/97/713003097.db2.gz OBZSJDPCANFMME-KRWDZBQOSA-N -1 1 322.405 1.157 20 0 DDADMM CC[C@@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C(=O)OC ZINC000896895438 708175445 /nfs/dbraw/zinc/17/54/45/708175445.db2.gz SPUCVQIGTVGVOI-CYBMUJFWSA-N -1 1 303.314 1.932 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2nn(C)cc2C)c1 ZINC000909400592 713008558 /nfs/dbraw/zinc/00/85/58/713008558.db2.gz PBWBKCDTXYOQGR-JOCHJYFZSA-N -1 1 322.386 1.588 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC(F)(F)C1 ZINC000912543192 713033279 /nfs/dbraw/zinc/03/32/79/713033279.db2.gz SWTGTWZQGQWWAW-SSDOTTSWSA-N -1 1 323.321 1.053 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC2(CCCC2)O1)c1ccns1 ZINC000885282006 708510456 /nfs/dbraw/zinc/51/04/56/708510456.db2.gz ZLOVPCBWUYIQAB-SNVBAGLBSA-N -1 1 302.421 1.913 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC12CCOCC2)c1ccns1 ZINC000885341133 708522795 /nfs/dbraw/zinc/52/27/95/708522795.db2.gz DNUQESOMLIVATH-JTQLQIEISA-N -1 1 302.421 1.628 20 0 DDADMM O=C(COC1CCOCC1)NCCc1c(F)cc([O-])cc1F ZINC000886264951 708732197 /nfs/dbraw/zinc/73/21/97/708732197.db2.gz RKDIOBRWMYGHEL-UHFFFAOYSA-N -1 1 315.316 1.525 20 0 DDADMM COCc1cc(C(=O)NCCc2c(F)cc([O-])cc2F)no1 ZINC000886266809 708732553 /nfs/dbraw/zinc/73/25/53/708732553.db2.gz ZRHYDLUXXZBBIS-UHFFFAOYSA-N -1 1 312.272 1.777 20 0 DDADMM Cc1cc(=O)c(C(=O)NCCc2c(F)cc([O-])cc2F)cn1C ZINC000886268376 708732968 /nfs/dbraw/zinc/73/29/68/708732968.db2.gz NFOBGLYVLDCXJG-UHFFFAOYSA-N -1 1 322.311 1.650 20 0 DDADMM COC1(C(=O)NCCc2c(F)cc([O-])cc2F)CCOCC1 ZINC000886268427 708733014 /nfs/dbraw/zinc/73/30/14/708733014.db2.gz OOSDEPKWGWPBJA-UHFFFAOYSA-N -1 1 315.316 1.525 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)[C@H](C)C1 ZINC000886368909 708750364 /nfs/dbraw/zinc/75/03/64/708750364.db2.gz MUGQBPIETMMPKZ-ZYHUDNBSSA-N -1 1 305.330 1.375 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCC(CO)CC1 ZINC000927780019 713054187 /nfs/dbraw/zinc/05/41/87/713054187.db2.gz OXNOHRRZTSDYBV-UHFFFAOYSA-N -1 1 314.332 1.627 20 0 DDADMM CC(C)[C@@H](CO)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927784006 713055219 /nfs/dbraw/zinc/05/52/19/713055219.db2.gz CCKIEVFZKAFHKR-SNVBAGLBSA-N -1 1 316.348 1.777 20 0 DDADMM CCOC(=O)[C@H](F)[C@H]1CCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000898545328 708784494 /nfs/dbraw/zinc/78/44/94/708784494.db2.gz NJTINPZRINNCTF-TVQRCGJNSA-N -1 1 313.300 1.895 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)c(C)n1Cc1ccccc1 ZINC000912617065 713052515 /nfs/dbraw/zinc/05/25/15/713052515.db2.gz CPAQRXNCTYZTRF-UHFFFAOYSA-N -1 1 310.361 1.943 20 0 DDADMM Cc1ccccc1C(C)(C)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898758749 708857474 /nfs/dbraw/zinc/85/74/74/708857474.db2.gz ZDQMFGKXIODJDN-UHFFFAOYSA-N -1 1 301.346 1.790 20 0 DDADMM Cc1cccc(CO[N-]C(=O)CCC(=O)c2cnn(C)c2)n1 ZINC000898931043 708927715 /nfs/dbraw/zinc/92/77/15/708927715.db2.gz SROBFBHJHSQPGZ-UHFFFAOYSA-N -1 1 302.334 1.334 20 0 DDADMM CN1CCOC[C@H]1CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000899139006 708997129 /nfs/dbraw/zinc/99/71/29/708997129.db2.gz HTXPFMBEJPKKHV-GFCCVEGCSA-N -1 1 316.357 1.311 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)[C@H](N)Cc1cc2ccccc2o1 ZINC000887396615 709035912 /nfs/dbraw/zinc/03/59/12/709035912.db2.gz GNBSCTKPPBXQJC-CYBMUJFWSA-N -1 1 304.346 1.624 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)o1 ZINC000899414424 709076961 /nfs/dbraw/zinc/07/69/61/709076961.db2.gz RIIPFLGUFZJQEJ-NAKRPEOUSA-N -1 1 312.391 1.210 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3CC4(CCC4)CO3)ccnc1-2 ZINC000888056072 709202851 /nfs/dbraw/zinc/20/28/51/709202851.db2.gz IPGMFBWGOMHCKJ-LLVKDONJSA-N -1 1 315.377 1.423 20 0 DDADMM CCNC(=O)[C@H](C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000899981704 709257582 /nfs/dbraw/zinc/25/75/82/709257582.db2.gz WTFZHYUHDOMROL-QMMMGPOBSA-N -1 1 305.309 1.334 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@H]2NCc1cnn2c1CCC2 ZINC000900073707 709281978 /nfs/dbraw/zinc/28/19/78/709281978.db2.gz KYRKNDKMLRTCLL-LLVKDONJSA-N -1 1 301.350 1.349 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000900277759 709517363 /nfs/dbraw/zinc/51/73/63/709517363.db2.gz FHKTWFJEFIWWJF-VIFPVBQESA-N -1 1 318.304 1.715 20 0 DDADMM CSCC[C@H](NC(=O)C=CC1CCOCC1)c1nn[n-]n1 ZINC000912860784 713110046 /nfs/dbraw/zinc/11/00/46/713110046.db2.gz YEBWTTHGTLWDBN-VUDBWIFFSA-N -1 1 311.411 1.093 20 0 DDADMM CSCC[C@H](NC(=O)/C=C/C1CCOCC1)c1nn[n-]n1 ZINC000912860784 713110048 /nfs/dbraw/zinc/11/00/48/713110048.db2.gz YEBWTTHGTLWDBN-VUDBWIFFSA-N -1 1 311.411 1.093 20 0 DDADMM CSCC[C@H](NC(=O)C[C@@H]1CCC(F)(F)C1)c1nn[n-]n1 ZINC000912862190 713110355 /nfs/dbraw/zinc/11/03/55/713110355.db2.gz KXBKIPIDKKUJCY-IUCAKERBSA-N -1 1 319.381 1.936 20 0 DDADMM CCc1cncc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c1 ZINC000912862320 713110821 /nfs/dbraw/zinc/11/08/21/713110821.db2.gz MJDJFCJOEHPFFN-NSHDSACASA-N -1 1 306.395 1.381 20 0 DDADMM CO[C@H](CC(C)C)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912862981 713111232 /nfs/dbraw/zinc/11/12/32/713111232.db2.gz HPQJGHISJZHMGC-VHSXEESVSA-N -1 1 301.416 1.171 20 0 DDADMM O=C([O-])C[C@H]1COCCN1C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000909617127 709541977 /nfs/dbraw/zinc/54/19/77/709541977.db2.gz PWSATFVXKSZJJE-LBPRGKRZSA-N -1 1 315.329 1.392 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000889593122 709556917 /nfs/dbraw/zinc/55/69/17/709556917.db2.gz NPHCSLHJPISKSK-SGMGOOAPSA-N -1 1 314.389 1.899 20 0 DDADMM Cc1cc(CC(=O)NC[C@H](C(=O)[O-])c2ccc(F)cc2)[nH]n1 ZINC000909704266 709588150 /nfs/dbraw/zinc/58/81/50/709588150.db2.gz SJUIMKOYTXLAAO-ZDUSSCGKSA-N -1 1 305.309 1.384 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(C(=O)c2cccc3[nH]cnc32)C1 ZINC000909711876 709591524 /nfs/dbraw/zinc/59/15/24/709591524.db2.gz PPAVIQYZXSLTQU-MRXNPFEDSA-N -1 1 317.345 1.516 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CCC[C@H]1CC(=O)[O-] ZINC000909737640 709601486 /nfs/dbraw/zinc/60/14/86/709601486.db2.gz HUJWJTVRJCSDKM-HNNXBMFYSA-N -1 1 304.390 1.627 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N(C)C[C@@H](C)C(=O)[O-] ZINC000900462341 709606930 /nfs/dbraw/zinc/60/69/30/709606930.db2.gz KTCDAGWMRGDPQF-DGCLKSJQSA-N -1 1 308.378 1.654 20 0 DDADMM CCN(CC(=O)N1CC[C@@](C(=O)[O-])(C(F)(F)F)C1)C1CC1 ZINC000909764959 709607980 /nfs/dbraw/zinc/60/79/80/709607980.db2.gz ACWUEYCTJBBTCL-GFCCVEGCSA-N -1 1 308.300 1.336 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)[C@@H](C(=O)[O-])C1 ZINC000909782068 709617579 /nfs/dbraw/zinc/61/75/79/709617579.db2.gz QKCXKQXSINKPOH-HTRCEHHLSA-N -1 1 305.256 1.754 20 0 DDADMM Cn1cc(CCCNC(=O)c2cnc(C3CC3)[n-]c2=O)cn1 ZINC000900511593 709630865 /nfs/dbraw/zinc/63/08/65/709630865.db2.gz MTSGRDAWRKJSGZ-UHFFFAOYSA-N -1 1 301.350 1.156 20 0 DDADMM CCC[C@H](CNC(=O)c1c(C)n[nH]c1C(F)(F)F)C(=O)[O-] ZINC000909812211 709633729 /nfs/dbraw/zinc/63/37/29/709633729.db2.gz QZBSKHNHJBMKDF-SSDOTTSWSA-N -1 1 307.272 1.968 20 0 DDADMM CCC/C=C/[C@H](O)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786632 709635669 /nfs/dbraw/zinc/63/56/69/709635669.db2.gz NOSNXTCEHBOGKS-LHXDFBSTSA-N -1 1 321.377 1.572 20 0 DDADMM CC[C@H](C)OCC(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889790648 709637387 /nfs/dbraw/zinc/63/73/87/709637387.db2.gz TXDXNZUZACAWOC-QWRGUYRKSA-N -1 1 309.366 1.669 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccc(F)nc2)[n-]c1=O ZINC000889791635 709638142 /nfs/dbraw/zinc/63/81/42/709638142.db2.gz JYHWSAUVYAAPFO-SNVBAGLBSA-N -1 1 318.308 1.702 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CC[C@H]2CCCO2)[n-]c1=O ZINC000889793868 709638695 /nfs/dbraw/zinc/63/86/95/709638695.db2.gz DDOTXUDXUIFGPL-VXGBXAGGSA-N -1 1 321.377 1.814 20 0 DDADMM CCN(CC(=O)N[C@@H](C)[C@H](Cc1ccccc1)C(=O)[O-])C1CC1 ZINC000909823027 709640635 /nfs/dbraw/zinc/64/06/35/709640635.db2.gz NJSJBSQJAKQAKJ-BBRMVZONSA-N -1 1 318.417 1.919 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)[C@@H]2CCCc3[nH]ncc32)s1 ZINC000909847763 709650450 /nfs/dbraw/zinc/65/04/50/709650450.db2.gz NLQNPEFRYQJYBI-SECBINFHSA-N -1 1 305.359 1.906 20 0 DDADMM CC(C)C[C@@H](C(=O)[O-])N(C)C(=O)c1cc([C@@H]2CCCN2C)n[nH]1 ZINC000909855043 709654079 /nfs/dbraw/zinc/65/40/79/709654079.db2.gz OCHHBJOCXLKVSL-KBPBESRZSA-N -1 1 322.409 1.748 20 0 DDADMM COC(=O)c1cc(C2CN(C(=O)c3ccc([O-])c(F)c3)C2)[nH]n1 ZINC000889961422 709687938 /nfs/dbraw/zinc/68/79/38/709687938.db2.gz KQWCHZQNGVSDKX-UHFFFAOYSA-N -1 1 319.292 1.281 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)c2cc(C(F)F)[nH]n2)CC12CCC2 ZINC000909939130 709696991 /nfs/dbraw/zinc/69/69/91/709696991.db2.gz XNSPNLYNYQJBNR-ZDUSSCGKSA-N -1 1 317.267 1.766 20 0 DDADMM Cc1nnc2n1C[C@H](C(=O)Nc1cc([O-])c(F)cc1F)CC2 ZINC000909983685 709718770 /nfs/dbraw/zinc/71/87/70/709718770.db2.gz AKCLGFSJAILUMT-MRVPVSSYSA-N -1 1 308.288 1.771 20 0 DDADMM CO[C@@H]1CCN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)[C@H](C(=O)[O-])C1 ZINC000909985134 709720408 /nfs/dbraw/zinc/72/04/08/709720408.db2.gz XILIGECXYRWAIA-JHEVNIALSA-N -1 1 321.377 1.166 20 0 DDADMM CCN(CC)[C@@H](C(=O)N1CC([C@@H](F)C(=O)[O-])C1)c1ccccc1 ZINC000910128680 709783365 /nfs/dbraw/zinc/78/33/65/709783365.db2.gz KCUAVSSDCAKIFH-HUUCEWRRSA-N -1 1 322.380 1.951 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@H]1CC=C(C(=O)[O-])C1 ZINC000910201666 709810693 /nfs/dbraw/zinc/81/06/93/709810693.db2.gz XUIBRFAOHYRXMZ-OAHLLOKOSA-N -1 1 302.374 1.451 20 0 DDADMM O=C([O-])[C@@H]1c2ccoc2CCN1C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000910204112 709812758 /nfs/dbraw/zinc/81/27/58/709812758.db2.gz AGRVMLRAPUDVEM-XPTSAGLGSA-N -1 1 315.329 1.318 20 0 DDADMM Cc1scc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1C ZINC000910280714 709862382 /nfs/dbraw/zinc/86/23/82/709862382.db2.gz MQTPAFVCXUWSCI-LBPRGKRZSA-N -1 1 310.419 1.778 20 0 DDADMM CC(C)CO[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000901037783 709894319 /nfs/dbraw/zinc/89/43/19/709894319.db2.gz HEEQAMMEZYSBFO-GFCCVEGCSA-N -1 1 305.378 1.947 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1c1nc(-c2ccccc2)no1 ZINC000910458132 709953385 /nfs/dbraw/zinc/95/33/85/709953385.db2.gz QROBSUKSRHJQJH-LBPRGKRZSA-N -1 1 316.361 1.722 20 0 DDADMM CN(CC(C)(CO)CO)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901228847 709976386 /nfs/dbraw/zinc/97/63/86/709976386.db2.gz YDGGXHXPRUGEGL-UHFFFAOYSA-N -1 1 322.336 1.142 20 0 DDADMM CN(CC(=O)N[C@](C)(Cc1ccc(F)cc1)C(=O)[O-])C1CCC1 ZINC000910513347 709978259 /nfs/dbraw/zinc/97/82/59/709978259.db2.gz RZLKJVNOKZKZMM-QGZVFWFLSA-N -1 1 322.380 1.812 20 0 DDADMM O=C([O-])/C=C/c1ccc(CN2CCC[C@H](N3CCCC3=O)C2)o1 ZINC000901428910 710037492 /nfs/dbraw/zinc/03/74/92/710037492.db2.gz DLBLACUTCKFNJW-GWJCSSMESA-N -1 1 318.373 1.964 20 0 DDADMM O=C(N[C@@H](CO)[C@H](O)C1CCCCC1)c1ccc([O-])c(F)c1 ZINC000913050054 713154868 /nfs/dbraw/zinc/15/48/68/713154868.db2.gz VYIDCOKMAXOPAY-DZGCQCFKSA-N -1 1 311.353 1.563 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC2(C1)CS(=O)(=O)C2 ZINC000910689341 710048293 /nfs/dbraw/zinc/04/82/93/710048293.db2.gz MMGNDPXHTBEXEV-UHFFFAOYSA-N -1 1 317.366 1.416 20 0 DDADMM COc1ccc(C2CC2)c([N-]S(=O)(=O)N=S(C)(C)=O)c1 ZINC000901695819 710121903 /nfs/dbraw/zinc/12/19/03/710121903.db2.gz LJJBPOMXGXRSEU-UHFFFAOYSA-N -1 1 318.420 1.957 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCc1cn(-c2ccc(F)cc2)nn1 ZINC000901795137 710149365 /nfs/dbraw/zinc/14/93/65/710149365.db2.gz XZPQCWMFHWQMAM-OAHLLOKOSA-N -1 1 322.340 1.376 20 0 DDADMM Cn1c(CN[C@]2(CC(=O)[O-])CCOC2)nc2cc(Cl)ccc21 ZINC000901838272 710158225 /nfs/dbraw/zinc/15/82/25/710158225.db2.gz SQHKKQDJDNNKOT-HNNXBMFYSA-N -1 1 323.780 1.950 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1CC[C@H]1C(=O)[O-])N1CCc2ccccc2C1 ZINC000901886742 710165397 /nfs/dbraw/zinc/16/53/97/710165397.db2.gz WYYGQAOCPFJTTO-DAXOMENPSA-N -1 1 316.401 1.660 20 0 DDADMM O=C([O-])[C@H]1CSCCN(Cc2cnnn2-c2ccccc2)C1 ZINC000901892685 710166993 /nfs/dbraw/zinc/16/69/93/710166993.db2.gz VLINDAGCVUOVNP-GFCCVEGCSA-N -1 1 318.402 1.517 20 0 DDADMM COCc1nc(N2CCN(c3ccccc3)CC2)cc(=O)[n-]1 ZINC000891396897 710184225 /nfs/dbraw/zinc/18/42/25/710184225.db2.gz GULDYKOXEYLYAH-UHFFFAOYSA-N -1 1 300.362 1.655 20 0 DDADMM NC(=O)[C@H](F)C1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000913106236 713164414 /nfs/dbraw/zinc/16/44/14/713164414.db2.gz OXVXEVFEMHFFCO-LLVKDONJSA-N -1 1 316.279 1.346 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1ncc2ccccn21 ZINC000891613978 710248678 /nfs/dbraw/zinc/24/86/78/710248678.db2.gz KDQNDEAGVCYJAO-UHFFFAOYSA-N -1 1 309.329 1.961 20 0 DDADMM O=C(COCC(F)(F)F)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891624020 710251219 /nfs/dbraw/zinc/25/12/19/710251219.db2.gz OGZCTICFSMOETH-UHFFFAOYSA-N -1 1 305.256 1.578 20 0 DDADMM COCc1nc(NC[C@@H](O)c2ccccc2OC)cc(=O)[n-]1 ZINC000891810748 710300308 /nfs/dbraw/zinc/30/03/08/710300308.db2.gz VLGQZIKPZBUEFP-LLVKDONJSA-N -1 1 305.334 1.483 20 0 DDADMM Cc1cnc2c(c1)N(C(=O)c1cnc(C3CC3)[n-]c1=O)CCN2 ZINC000913177443 713177113 /nfs/dbraw/zinc/17/71/13/713177113.db2.gz QGXBPEOIVQWXRB-UHFFFAOYSA-N -1 1 311.345 1.835 20 0 DDADMM COCc1nc(N(C)Cc2ccc([S@@](C)=O)cc2)cc(=O)[n-]1 ZINC000892836604 710510114 /nfs/dbraw/zinc/51/01/14/710510114.db2.gz NQLVBRNQXGZJOI-JOCHJYFZSA-N -1 1 321.402 1.702 20 0 DDADMM COCc1nc(NCC2(C(=O)OC)CCCCC2)cc(=O)[n-]1 ZINC000893152973 710563390 /nfs/dbraw/zinc/56/33/90/710563390.db2.gz ZBNOOCDILIRZEN-UHFFFAOYSA-N -1 1 309.366 1.864 20 0 DDADMM CCc1cc(C(=O)N2CC[C@@H](c3ncc(C(=O)[O-])s3)C2)n[nH]1 ZINC000911035905 710604762 /nfs/dbraw/zinc/60/47/62/710604762.db2.gz XGTCCIPOZSJDKG-MRVPVSSYSA-N -1 1 320.374 1.757 20 0 DDADMM COc1cc(C(=O)NC2CC(OC)(OC)C2)cc(Cl)c1[O-] ZINC000911049005 710610469 /nfs/dbraw/zinc/61/04/69/710610469.db2.gz XPWXZYUPEVJGKT-UHFFFAOYSA-N -1 1 315.753 1.936 20 0 DDADMM C[C@@]1(C(=O)[O-])CCCN1C(=O)CC1(N2CCOCC2)CCCC1 ZINC000902114072 710619933 /nfs/dbraw/zinc/61/99/33/710619933.db2.gz WSDYUSFEUQHBOX-INIZCTEOSA-N -1 1 324.421 1.487 20 0 DDADMM O=C([O-])Cn1ncc2ccc(NC(=O)CN3CCCC3)cc21 ZINC000911072568 710621649 /nfs/dbraw/zinc/62/16/49/710621649.db2.gz NMQAIRUXULNDGY-UHFFFAOYSA-N -1 1 302.334 1.155 20 0 DDADMM Cc1nn(CCC(=O)[O-])c(C)c1CNCc1cnn(C)c1C ZINC000902238199 710675807 /nfs/dbraw/zinc/67/58/07/710675807.db2.gz LWOXBHCGUJRJJR-UHFFFAOYSA-N -1 1 305.382 1.306 20 0 DDADMM Cc1c(CNCc2ccc(O[C@H](C)C(=O)[O-])cc2)cnn1C ZINC000902238163 710676029 /nfs/dbraw/zinc/67/60/29/710676029.db2.gz LJCPXDCIQUZZGX-GFCCVEGCSA-N -1 1 303.362 1.870 20 0 DDADMM COCC[N@H+]1CCCN(C(=O)c2ccc(C)c(C(=O)[O-])c2)CC1 ZINC000911212320 710688247 /nfs/dbraw/zinc/68/82/47/710688247.db2.gz XGTRZFAVJRKLRE-UHFFFAOYSA-N -1 1 320.389 1.488 20 0 DDADMM COCCN1CCCN(C(=O)c2ccc(C)c(C(=O)[O-])c2)CC1 ZINC000911212320 710688248 /nfs/dbraw/zinc/68/82/48/710688248.db2.gz XGTRZFAVJRKLRE-UHFFFAOYSA-N -1 1 320.389 1.488 20 0 DDADMM O=C([O-])Cc1cccc(C(=O)N2CCN(CC3CC3)CC2)c1 ZINC000911220423 710693077 /nfs/dbraw/zinc/69/30/77/710693077.db2.gz BIWARVUKZLPZBF-UHFFFAOYSA-N -1 1 302.374 1.482 20 0 DDADMM COCC(C)(C)N1CCN(Cc2ccc(/C=C\C(=O)[O-])o2)CC1 ZINC000902327901 710718614 /nfs/dbraw/zinc/71/86/14/710718614.db2.gz YAPNWDJAQBEMFJ-SREVYHEPSA-N -1 1 322.405 1.920 20 0 DDADMM CCc1cc(C(=O)N(CCC(=O)[O-])Cc2cccnc2)n[nH]1 ZINC000911276657 710722873 /nfs/dbraw/zinc/72/28/73/710722873.db2.gz KVFYXQNLWKBBQF-UHFFFAOYSA-N -1 1 302.334 1.484 20 0 DDADMM CC[N@@H+]1CCC[C@@H]1CN(CCC(=O)[O-])C(=O)c1cncc([O-])c1 ZINC000911287858 710728315 /nfs/dbraw/zinc/72/83/15/710728315.db2.gz QGMXVYQAOOCAFR-CYBMUJFWSA-N -1 1 321.377 1.188 20 0 DDADMM CC[N@H+]1CCC[C@@H]1CN(CCC(=O)[O-])C(=O)c1cncc([O-])c1 ZINC000911287858 710728317 /nfs/dbraw/zinc/72/83/17/710728317.db2.gz QGMXVYQAOOCAFR-CYBMUJFWSA-N -1 1 321.377 1.188 20 0 DDADMM CCCCNC(=O)[C@@H]1CC[C@@H](C)N(Cc2cc(C(=O)[O-])no2)C1 ZINC000902513404 710776752 /nfs/dbraw/zinc/77/67/52/710776752.db2.gz WLKPGKUKLBDBGZ-VXGBXAGGSA-N -1 1 323.393 1.890 20 0 DDADMM CC[C@H](c1ccncc1)N(C)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911416438 710788711 /nfs/dbraw/zinc/78/87/11/710788711.db2.gz MOWIGRMTDOSBHD-LSDHHAIUSA-N -1 1 319.405 1.788 20 0 DDADMM COCCN(C(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccccc1 ZINC000911452189 710805188 /nfs/dbraw/zinc/80/51/88/710805188.db2.gz LBAQGKYXLVZQSC-CQSZACIVSA-N -1 1 320.389 1.463 20 0 DDADMM CO[C@]1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCSC1 ZINC000928491233 713211542 /nfs/dbraw/zinc/21/15/42/713211542.db2.gz ZFYPQPSFGQKXEI-AWEZNQCLSA-N -1 1 309.391 1.312 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)c1cc(C(=O)[O-])cnc1C ZINC000911572112 710860291 /nfs/dbraw/zinc/86/02/91/710860291.db2.gz MNDWPUAXHFVRTB-ZDUSSCGKSA-N -1 1 305.378 1.645 20 0 DDADMM CS(C)(=O)=NC(=O)CO[N-]C(=O)CCC1CCCCC1 ZINC000902704549 710870267 /nfs/dbraw/zinc/87/02/67/710870267.db2.gz DTYKBJZRLCOEKQ-UHFFFAOYSA-N -1 1 304.412 1.649 20 0 DDADMM COCc1nc(N[C@H]2COc3ccccc3[C@@H]2O)cc(=O)[n-]1 ZINC000893897529 710878374 /nfs/dbraw/zinc/87/83/74/710878374.db2.gz LQSVAFVLBJROFW-BONVTDFDSA-N -1 1 303.318 1.235 20 0 DDADMM CCOC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCCC1 ZINC000913436533 713215302 /nfs/dbraw/zinc/21/53/02/713215302.db2.gz DTXHQVCKNBSRQT-CYBMUJFWSA-N -1 1 315.377 1.755 20 0 DDADMM C[C@H](C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCCO1 ZINC000913436778 713215379 /nfs/dbraw/zinc/21/53/79/713215379.db2.gz HFWIHOZSRZGXHO-CYZMBNFOSA-N -1 1 301.350 1.220 20 0 DDADMM CC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CC(F)(F)C1 ZINC000913438264 713216084 /nfs/dbraw/zinc/21/60/84/713216084.db2.gz QBCFPFWQWIKSDT-JTQLQIEISA-N -1 1 307.304 1.841 20 0 DDADMM O=C([O-])C1(C(=O)N[C@H]2CCC[N@H+]3CCSC[C@@H]23)CC=CC1 ZINC000902819788 710916209 /nfs/dbraw/zinc/91/62/09/710916209.db2.gz WZUBQBCOSOYVOC-RYUDHWBXSA-N -1 1 310.419 1.103 20 0 DDADMM O=C([O-])C1(C(=O)N[C@H]2CCCN3CCSC[C@@H]23)CC=CC1 ZINC000902819788 710916214 /nfs/dbraw/zinc/91/62/14/710916214.db2.gz WZUBQBCOSOYVOC-RYUDHWBXSA-N -1 1 310.419 1.103 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H](C)C(F)F)c(=O)[n-]1 ZINC000894051940 710943928 /nfs/dbraw/zinc/94/39/28/710943928.db2.gz FIVUYRNYOFEHTR-SSDOTTSWSA-N -1 1 305.350 1.915 20 0 DDADMM CO[C@@]1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCSC1 ZINC000902931765 710963837 /nfs/dbraw/zinc/96/38/37/710963837.db2.gz MKCXFUMCXYPZFL-OAHLLOKOSA-N -1 1 319.386 1.175 20 0 DDADMM COC[C@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)C(C)C ZINC000902979790 710983449 /nfs/dbraw/zinc/98/34/49/710983449.db2.gz FNGMTDFXTXPZSZ-NSHDSACASA-N -1 1 303.362 1.572 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)N=S(C)(C)=O ZINC000903135999 711044114 /nfs/dbraw/zinc/04/41/14/711044114.db2.gz VCFYCAKLWKJBJT-UHFFFAOYSA-N -1 1 304.393 1.316 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2nnc(C(F)F)[nH]2)cc1C ZINC000903150384 711048305 /nfs/dbraw/zinc/04/83/05/711048305.db2.gz SVUAADDHFCJFJJ-UHFFFAOYSA-N -1 1 318.305 1.860 20 0 DDADMM C[C@@H]1C(=O)N(C)[C@H](C)[C@@H](C)N1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000912004339 711112669 /nfs/dbraw/zinc/11/26/69/711112669.db2.gz SXLPNQQFFKVMNU-OPRDCNLKSA-N -1 1 318.377 1.139 20 0 DDADMM Cc1nc([C@H](C)NCc2ncc(Br)cc2[O-])n[nH]1 ZINC000894466694 711136175 /nfs/dbraw/zinc/13/61/75/711136175.db2.gz DRECPKIBTDDPHK-LURJTMIESA-N -1 1 312.171 1.827 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@@H]1[C@@H](O)c1ccccc1 ZINC000912104453 711175859 /nfs/dbraw/zinc/17/58/59/711175859.db2.gz RWFGRECQPZWZDQ-CJNGLKHVSA-N -1 1 315.377 1.247 20 0 DDADMM C[C@H]1COCC[N@@H+](C[C@H](O)COc2ccc(C(=O)[O-])cc2)C1 ZINC000903633210 711230778 /nfs/dbraw/zinc/23/07/78/711230778.db2.gz PURYFEGMKRKKPE-OCCSQVGLSA-N -1 1 309.362 1.093 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1[C@H](CO)CC[C@H]1CO ZINC000912235100 711236193 /nfs/dbraw/zinc/23/61/93/711236193.db2.gz NXPMMRFIIDDLBO-STQMWFEESA-N -1 1 301.342 1.503 20 0 DDADMM O=C(c1cccc(Cl)c1F)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496373 713238252 /nfs/dbraw/zinc/23/82/52/713238252.db2.gz YTQNNZPTHRXNMZ-SECBINFHSA-N -1 1 311.704 1.206 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cnc(F)c(Cl)c1 ZINC000903672656 711242107 /nfs/dbraw/zinc/24/21/07/711242107.db2.gz RZSQEXFFVMEGQR-UHFFFAOYSA-N -1 1 301.752 1.258 20 0 DDADMM O=C([O-])c1ccc(CN2CCCN(C(=O)C3CC3)CC2)s1 ZINC000903685057 711244808 /nfs/dbraw/zinc/24/48/08/711244808.db2.gz MNMGASBKLNRAFC-UHFFFAOYSA-N -1 1 308.403 1.891 20 0 DDADMM O=C(N[C@H]1C[C@@H](O)c2ccccc21)c1cnc(C2CC2)[n-]c1=O ZINC000912463173 711339237 /nfs/dbraw/zinc/33/92/37/711339237.db2.gz CHCOGADMFYQDHR-UONOGXRCSA-N -1 1 311.341 1.968 20 0 DDADMM O=C(N[C@@H]1C[C@@H](O)c2ccccc21)c1cnc(C2CC2)[n-]c1=O ZINC000912463175 711339594 /nfs/dbraw/zinc/33/95/94/711339594.db2.gz CHCOGADMFYQDHR-ZIAGYGMSSA-N -1 1 311.341 1.968 20 0 DDADMM COc1ccc(C)cc1CN[C@@H](C(=O)[O-])c1cnn(C)c1C ZINC000904047410 711369799 /nfs/dbraw/zinc/36/97/99/711369799.db2.gz DXOQDNBHRAWBHJ-OAHLLOKOSA-N -1 1 303.362 1.961 20 0 DDADMM COCc1nc(N2CCN(C)c3ncccc3C2)cc(=O)[n-]1 ZINC000895042822 711382302 /nfs/dbraw/zinc/38/23/02/711382302.db2.gz UWEVMWGLWTZZFO-UHFFFAOYSA-N -1 1 301.350 1.180 20 0 DDADMM O=C(NCC1(C2(O)CCOCC2)CC1)c1cc(F)ccc1[O-] ZINC000928672277 713258440 /nfs/dbraw/zinc/25/84/40/713258440.db2.gz PDEZQWINGAIACQ-UHFFFAOYSA-N -1 1 309.337 1.583 20 0 DDADMM Cc1cc(CN2CC(C[N-]C(=O)C(F)(F)F)C2)cnc1F ZINC000895879849 711635587 /nfs/dbraw/zinc/63/55/87/711635587.db2.gz PAOAEZVXDTUTEL-UHFFFAOYSA-N -1 1 305.275 1.639 20 0 DDADMM C[C@@H]1C(=O)NCCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896114442 711678126 /nfs/dbraw/zinc/67/81/26/711678126.db2.gz BMPIQMGKVSDZFD-SECBINFHSA-N -1 1 300.314 1.212 20 0 DDADMM CN1CC[C@H]1CNC(=O)C[C@@](C)(C(=O)[O-])c1ccc(Cl)cc1 ZINC000905131423 711945952 /nfs/dbraw/zinc/94/59/52/711945952.db2.gz RKRSEUXRKCKAOZ-XJKSGUPXSA-N -1 1 324.808 1.893 20 0 DDADMM CN1CC[C@H]1CNC(=O)C[C@@]1(C(=O)[O-])CCc2ccccc21 ZINC000905130694 711945978 /nfs/dbraw/zinc/94/59/78/711945978.db2.gz IGRWKOXSHMKEEU-GUYCJALGSA-N -1 1 302.374 1.166 20 0 DDADMM Cn1cc(CC(=O)N2CCC(c3nn[n-]n3)CC2)c2ccccc21 ZINC000913743040 713292079 /nfs/dbraw/zinc/29/20/79/713292079.db2.gz BTRAATSAXRBIKP-UHFFFAOYSA-N -1 1 324.388 1.640 20 0 DDADMM CC[C@H](C)CC[C@H](O)CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744716 713293356 /nfs/dbraw/zinc/29/33/56/713293356.db2.gz AVXJXOFAEIYVFK-AAEUAGOBSA-N -1 1 309.414 1.483 20 0 DDADMM CC[C@H](CNC(=O)[C@H](N)c1cccc(C(F)(F)F)c1)C(=O)[O-] ZINC000905288652 711993580 /nfs/dbraw/zinc/99/35/80/711993580.db2.gz DBAPNKPPAKZTAT-LDYMZIIASA-N -1 1 318.295 1.932 20 0 DDADMM Cc1c(C(=O)N2CCC(c3nn[n-]n3)CC2)ccn1C(C)C ZINC000913746143 713294013 /nfs/dbraw/zinc/29/40/13/713294013.db2.gz LLXJYJOUDXNCKX-UHFFFAOYSA-N -1 1 302.382 1.910 20 0 DDADMM C[C@H](C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC000913780466 713299523 /nfs/dbraw/zinc/29/95/23/713299523.db2.gz WNDUOAJUZNGMPG-KWBADKCTSA-N -1 1 322.327 1.471 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1cc2cccn2cn1 ZINC000905948934 712197412 /nfs/dbraw/zinc/19/74/12/712197412.db2.gz PDTCUYYLSJHMPF-UHFFFAOYSA-N -1 1 309.329 1.961 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CCOC2(CCCC2)C1)c1nn[n-]n1 ZINC000907348921 712549501 /nfs/dbraw/zinc/54/95/01/712549501.db2.gz CFNLSEJPHPMLJY-NWDGAFQWSA-N -1 1 307.398 1.501 20 0 DDADMM C[C@@]1(NC(=O)c2cnc(C3CC3)[n-]c2=O)CCO[C@@H]1C1CC1 ZINC000907351332 712550298 /nfs/dbraw/zinc/55/02/98/712550298.db2.gz PURLWBCNDDDMNR-MLGOLLRUSA-N -1 1 303.362 1.747 20 0 DDADMM O=C(c1ccc2c(c1)CCO2)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907472977 712581274 /nfs/dbraw/zinc/58/12/74/712581274.db2.gz AKZUPJGIAHHYCL-NSHDSACASA-N -1 1 317.374 1.065 20 0 DDADMM CC[C@@H](CC(F)F)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480310 712583607 /nfs/dbraw/zinc/58/36/07/712583607.db2.gz OGMWFSTXRMXYKE-JGVFFNPUSA-N -1 1 305.354 1.498 20 0 DDADMM CC(C)(C)C[C@@H](O)CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481422 712584073 /nfs/dbraw/zinc/58/40/73/712584073.db2.gz WEVOTAANHMYQDH-VHSXEESVSA-N -1 1 313.427 1.003 20 0 DDADMM CC[C@H]1CCC[C@]1(C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907944520 712652899 /nfs/dbraw/zinc/65/28/99/712652899.db2.gz UIDQQCLJDRQXJJ-FZMZJTMJSA-N -1 1 307.398 1.226 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)OC[C@@H]2CCCO2)[n-]c1=O ZINC000908017571 712666916 /nfs/dbraw/zinc/66/69/16/712666916.db2.gz VTYIDKKAKDLEKB-WDEREUQCSA-N -1 1 323.349 1.643 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N[C@@H](CC(=O)[O-])Cc1ccccc1 ZINC000908228313 712714582 /nfs/dbraw/zinc/71/45/82/712714582.db2.gz CCAYESDPAAPATB-HUUCEWRRSA-N -1 1 304.390 1.673 20 0 DDADMM O=S(=O)([N-]CC[C@H](O)C1CC1)c1c(F)cccc1Cl ZINC000908304644 712734601 /nfs/dbraw/zinc/73/46/01/712734601.db2.gz TVCPPKXAGZVRBX-NSHDSACASA-N -1 1 307.774 1.918 20 0 DDADMM O=C(NCCO[C@H]1CCCCO1)c1cnc(C2CC2)[n-]c1=O ZINC000908311978 712737493 /nfs/dbraw/zinc/73/74/93/712737493.db2.gz WLQGVWAPMAXDGQ-LBPRGKRZSA-N -1 1 307.350 1.333 20 0 DDADMM CC(C)O[N-]C(=O)[C@H](C)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC000908570345 712809483 /nfs/dbraw/zinc/80/94/83/712809483.db2.gz QPPUYLOWMOCONS-MNOVXSKESA-N -1 1 304.387 1.307 20 0 DDADMM C[C@H](Cc1ccsc1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908750106 712847605 /nfs/dbraw/zinc/84/76/05/712847605.db2.gz CWWUYLNYDXJEEW-YPMHNXCESA-N -1 1 310.419 1.592 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@@H]2CCc3cc(F)ccc32)C1 ZINC000908752365 712848183 /nfs/dbraw/zinc/84/81/83/712848183.db2.gz QAQFXGNCGAHNOO-IUODEOHRSA-N -1 1 320.364 1.726 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC2CC(c3ccccc3)C2)C1 ZINC000908782616 712853664 /nfs/dbraw/zinc/85/36/64/712853664.db2.gz FKKCKBACBMLMKM-QQFBHYJXSA-N -1 1 316.401 1.845 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C12CCC2 ZINC000908825769 712862833 /nfs/dbraw/zinc/86/28/33/712862833.db2.gz SIZHBGVUNJOAMU-HZSPNIEDSA-N -1 1 324.421 1.247 20 0 DDADMM C[C@H](CCc1cccn1C)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908832937 712864311 /nfs/dbraw/zinc/86/43/11/712864311.db2.gz VMHGDNHMTUEXGD-KGLIPLIRSA-N -1 1 321.421 1.259 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)N[C@@H](C(=O)[O-])C1CC1)c1ccco1 ZINC000908875696 712876856 /nfs/dbraw/zinc/87/68/56/712876856.db2.gz IWNYKNQTCQBYKG-GXTWGEPZSA-N -1 1 323.393 1.825 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)[C@H]1COC(C)(C)C1 ZINC000918061460 713527813 /nfs/dbraw/zinc/52/78/13/713527813.db2.gz RPUWGVUOSIISBO-NEPJUHHUSA-N -1 1 321.439 1.405 20 0 DDADMM COCCCNC(=O)CN(C)C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000929245286 713575411 /nfs/dbraw/zinc/57/54/11/713575411.db2.gz BPUJBDKFAXXBAY-ZDUSSCGKSA-N -1 1 322.405 1.296 20 0 DDADMM CCOC(=O)[C@H]1CN(C[C@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929700034 713671968 /nfs/dbraw/zinc/67/19/68/713671968.db2.gz ABKQKLRDACYHEH-SWLSCSKDSA-N -1 1 321.373 1.475 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-][C@H]1c2ccccc2OC[C@H]1F ZINC000921317851 713732019 /nfs/dbraw/zinc/73/20/19/713732019.db2.gz JXKZBXYEVJIENO-MFKMUULPSA-N -1 1 312.322 1.733 20 0 DDADMM O=S(=O)(CC1(F)CC1)[N-][C@H]1c2ccccc2OC[C@H]1F ZINC000921318587 713732082 /nfs/dbraw/zinc/73/20/82/713732082.db2.gz ZSQGMHPXOTWFEB-PWSUYJOCSA-N -1 1 303.330 1.880 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](c2ncccn2)C2CC2)sc1C ZINC000921417277 713763951 /nfs/dbraw/zinc/76/39/51/713763951.db2.gz BSJPRYMXPSWEGL-LLVKDONJSA-N -1 1 324.431 1.980 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2COC[C@@H]2C)c(F)c1 ZINC000921496544 713788767 /nfs/dbraw/zinc/78/87/67/713788767.db2.gz WGNSKPQWZVQGBD-WRWORJQWSA-N -1 1 307.318 1.287 20 0 DDADMM C[C@@H](CN1CC[C@H](S(C)(=O)=O)C1)C(=O)c1ccc([O-])cc1 ZINC000930338804 713807845 /nfs/dbraw/zinc/80/78/45/713807845.db2.gz QRZPXYVRDJCWNL-FZMZJTMJSA-N -1 1 311.403 1.330 20 0 DDADMM O=S(=O)([N-]CC1CC2(C1)OCCO2)c1ccc(C(F)F)o1 ZINC000921659098 713833537 /nfs/dbraw/zinc/83/35/37/713833537.db2.gz AFKUCUCAGFFXML-UHFFFAOYSA-N -1 1 323.317 1.649 20 0 DDADMM CCC[C@H](O)CC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000921681224 713841157 /nfs/dbraw/zinc/84/11/57/713841157.db2.gz LMHXXHPCPOSRLS-QMMMGPOBSA-N -1 1 311.325 1.933 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C[C@]23C[C@H]2CCC3)c1C(F)(F)F ZINC000921924570 713910017 /nfs/dbraw/zinc/91/00/17/713910017.db2.gz ULTHADVBRAXNLE-KCJUWKMLSA-N -1 1 323.340 1.907 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC[C@H]1CCOC1 ZINC000921931436 713913200 /nfs/dbraw/zinc/91/32/00/713913200.db2.gz FFRSFHPKOZYXCG-JTQLQIEISA-N -1 1 314.332 1.939 20 0 DDADMM CC(C)OCCS(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921965236 713921878 /nfs/dbraw/zinc/92/18/78/713921878.db2.gz MFYVOCWEPAPUCR-UHFFFAOYSA-N -1 1 323.361 1.557 20 0 DDADMM C[C@H]1OCC[C@@H]1S(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921965775 713922204 /nfs/dbraw/zinc/92/22/04/713922204.db2.gz QDELLYWALKVZPQ-OQPBUACISA-N -1 1 321.345 1.310 20 0 DDADMM C[C@@H]1NC(=O)[C@H](C)N(Cc2cc(=O)oc3cc([O-])ccc23)[C@H]1C ZINC000930838565 713933875 /nfs/dbraw/zinc/93/38/75/713933875.db2.gz ZYZCDWHYEXWKQB-DCAQKATOSA-N -1 1 316.357 1.596 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1C[C@@H]2C[C@]2(CO)C1 ZINC000932047559 714245677 /nfs/dbraw/zinc/24/56/77/714245677.db2.gz CDKRQGJRKHXEEC-MEDUHNTESA-N -1 1 314.345 1.424 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)Cc1n[nH]c2ccccc21 ZINC000923152611 714256244 /nfs/dbraw/zinc/25/62/44/714256244.db2.gz JIMLNIYFOPCEOO-GHMZBOCLSA-N -1 1 301.346 1.817 20 0 DDADMM Cc1csc(C[C@H]2CCCN(C(=O)CCc3nn[n-]n3)C2)n1 ZINC000924345409 714567014 /nfs/dbraw/zinc/56/70/14/714567014.db2.gz JTTDCSGDGUCWPN-LLVKDONJSA-N -1 1 320.422 1.379 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2nccc(C)n2)[n-]c1=O ZINC000934273891 714783211 /nfs/dbraw/zinc/78/32/11/714783211.db2.gz PXIJPCFUDPNPKF-LLVKDONJSA-N -1 1 301.350 1.626 20 0 DDADMM COc1cnc([C@H]2CCCN2C[C@H](O)CC(F)(F)F)[n-]c1=O ZINC000934274262 714783789 /nfs/dbraw/zinc/78/37/89/714783789.db2.gz SFFJJKLJUGWSJD-RKDXNWHRSA-N -1 1 321.299 1.641 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)C[C@@H]1C ZINC000926608436 715096344 /nfs/dbraw/zinc/09/63/44/715096344.db2.gz LYYSPQLFNUOBNM-ONGXEEELSA-N -1 1 311.765 1.856 20 0 DDADMM Cc1cccc(C(=O)C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000935829555 715131273 /nfs/dbraw/zinc/13/12/73/715131273.db2.gz KPMFSDQDCGANKX-UHFFFAOYSA-N -1 1 315.329 1.673 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)/C=C\C2CC2)C1 ZINC000936996500 715265988 /nfs/dbraw/zinc/26/59/88/715265988.db2.gz JZNMVQXTFALREQ-FWWRYZNZSA-N -1 1 315.373 1.426 20 0 DDADMM CCC(=O)N1CC(N(C)C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000954939995 715553867 /nfs/dbraw/zinc/55/38/67/715553867.db2.gz HEMOPYINDMFXBF-UHFFFAOYSA-N -1 1 320.393 1.307 20 0 DDADMM O=C(CCC1CCC1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937861642 715617490 /nfs/dbraw/zinc/61/74/90/715617490.db2.gz VGKMCTJGVKDAAH-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM CCC1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000937864831 715619249 /nfs/dbraw/zinc/61/92/49/715619249.db2.gz ZCPCTGAANDJIBO-NSHDSACASA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937865290 715619746 /nfs/dbraw/zinc/61/97/46/715619746.db2.gz GPPQTFGZXNNODL-NEPJUHHUSA-N -1 1 305.378 1.410 20 0 DDADMM C/C=C(/C)C(=O)N1CCC(CN(CC)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000957698792 716006220 /nfs/dbraw/zinc/00/62/20/716006220.db2.gz OKMMERCUDQLKTF-QCDXTXTGSA-N -1 1 321.425 1.537 20 0 DDADMM C[C@]1(NC(=O)[C@H]2CC23CC3)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957722935 716017223 /nfs/dbraw/zinc/01/72/23/716017223.db2.gz TWQVYLOFOHCSEI-BZNIZROVSA-N -1 1 315.373 1.308 20 0 DDADMM CC1CC(C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000955957991 716036572 /nfs/dbraw/zinc/03/65/72/716036572.db2.gz FFHIQIQREKHCIA-BPCQOVAHSA-N -1 1 317.389 1.554 20 0 DDADMM O=C([N-][C@@H]1CCN(C(=O)c2ccc(F)c(F)c2F)C1)C(F)F ZINC000939013960 716086953 /nfs/dbraw/zinc/08/69/53/716086953.db2.gz OXYBAWVLNJABGN-ZCFIWIBFSA-N -1 1 322.233 1.700 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000959705855 716251244 /nfs/dbraw/zinc/25/12/44/716251244.db2.gz JJABFPDEBGEWCZ-JHJVBQTASA-N -1 1 319.405 1.656 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2CC23CC3)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000959759405 716263367 /nfs/dbraw/zinc/26/33/67/716263367.db2.gz OWAVJEWHNOTXRZ-GRYCIOLGSA-N -1 1 315.373 1.164 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CN(C(=O)C3CCC3)C[C@H]2C1 ZINC000959779566 716278320 /nfs/dbraw/zinc/27/83/20/716278320.db2.gz WTCGGPZBAMRANS-BETUJISGSA-N -1 1 315.373 1.118 20 0 DDADMM O=C(/C=C/C1CC1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940602701 716872028 /nfs/dbraw/zinc/87/20/28/716872028.db2.gz LNIDZWHOCLBWMK-VOTSOKGWSA-N -1 1 315.373 1.428 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000965170569 717560904 /nfs/dbraw/zinc/56/09/04/717560904.db2.gz TWNLJBWNEVBAAW-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@@H](C)C(=O)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943205123 718046661 /nfs/dbraw/zinc/04/66/61/718046661.db2.gz AVJIIDSGUGIKFA-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C(C)(C)F ZINC000945276764 718434973 /nfs/dbraw/zinc/43/49/73/718434973.db2.gz DNCQNVBNWUHKCT-GHMZBOCLSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ccoc1 ZINC000966329529 718517103 /nfs/dbraw/zinc/51/71/03/718517103.db2.gz MDEJZKKHJKBDAK-CMPLNLGQSA-N -1 1 315.329 1.271 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946320739 718744375 /nfs/dbraw/zinc/74/43/75/718744375.db2.gz FDERCVQJUSOQOE-NEPJUHHUSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886572 719844715 /nfs/dbraw/zinc/84/47/15/719844715.db2.gz RKOZECMZCNLRGC-FVCCEPFGSA-N -1 1 315.373 1.259 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1CCCC1 ZINC000950543266 720676595 /nfs/dbraw/zinc/67/65/95/720676595.db2.gz VFZNODRIVYBWNR-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1ncoc1CN(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971396485 721277329 /nfs/dbraw/zinc/27/73/29/721277329.db2.gz AZBVAQZGBYBNBV-LBPRGKRZSA-N -1 1 316.361 1.430 20 0 DDADMM CCC(=O)N1CC[C@]2(C1)CCCN(C(=O)c1ncccc1[O-])C2 ZINC000952814795 721511913 /nfs/dbraw/zinc/51/19/13/721511913.db2.gz GZCHDUQNISODSZ-KRWDZBQOSA-N -1 1 317.389 1.652 20 0 DDADMM CC(C)(C)CC(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024872993 736139674 /nfs/dbraw/zinc/13/96/74/736139674.db2.gz CMNQXDCOVJFNAP-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM CN(CCF)[C@H]1CCCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001027646975 738504783 /nfs/dbraw/zinc/50/47/83/738504783.db2.gz BTHQNGJVYUIDOT-JTQLQIEISA-N -1 1 322.340 1.434 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@@H]3CN(CCF)CC[C@H]32)c1[O-] ZINC001021565915 733317333 /nfs/dbraw/zinc/31/73/33/733317333.db2.gz FTEBAKFHHGDHBV-VXGBXAGGSA-N -1 1 310.373 1.320 20 0 DDADMM COCc1cncc([N-]S(=O)(=O)c2ccc(N)c(N)c2)c1 ZINC001211080237 733399988 /nfs/dbraw/zinc/39/99/88/733399988.db2.gz UTHQLWJPSFBCKW-UHFFFAOYSA-N -1 1 308.363 1.193 20 0 DDADMM Cc1nocc1CN1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001027843562 738726488 /nfs/dbraw/zinc/72/64/88/738726488.db2.gz CNMOCBLPCICQLS-CYBMUJFWSA-N -1 1 316.361 1.478 20 0 DDADMM Cc1noc([C@H](C)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001022000847 733711535 /nfs/dbraw/zinc/71/15/35/733711535.db2.gz KNUXUYZKLKDVDA-INTQDDNPSA-N -1 1 317.349 1.090 20 0 DDADMM O=C(NC[C@H]1CCCC[N@H+]1C/C=C\Cl)c1cnc[nH]c1=O ZINC001024500891 735876679 /nfs/dbraw/zinc/87/66/79/735876679.db2.gz ZMKOZTJYCRNOTA-USEMIUTHSA-N -1 1 310.785 1.519 20 0 DDADMM Cc1ccc(NC[C@@H](C)N(C)C(=O)c2ncccc2[O-])nn1 ZINC001104536305 736202761 /nfs/dbraw/zinc/20/27/61/736202761.db2.gz LQKOVPPHPVDATP-LLVKDONJSA-N -1 1 301.350 1.458 20 0 DDADMM Cc1nc(C(C)C)[nH]c(=O)c1C(=O)NC[C@H]1CCC[N@@H+]1CCF ZINC001028065969 738984453 /nfs/dbraw/zinc/98/44/53/738984453.db2.gz OOUBZESVRRUSMR-GFCCVEGCSA-N -1 1 324.400 1.778 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(CC3CCCC3)CCO2)c1[O-] ZINC001035342281 751425856 /nfs/dbraw/zinc/42/58/56/751425856.db2.gz JYPUOEGXQVTCEN-ZDUSSCGKSA-N -1 1 322.409 1.045 20 0 DDADMM O=C(NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(F)F ZINC001059516627 741090137 /nfs/dbraw/zinc/09/01/37/741090137.db2.gz QOJUOAVVEWLVJU-VIFPVBQESA-N -1 1 313.304 1.021 20 0 DDADMM O=C(CCCF)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059518797 741091211 /nfs/dbraw/zinc/09/12/11/741091211.db2.gz TZUGBBHDIVFZIA-GFCCVEGCSA-N -1 1 323.368 1.505 20 0 DDADMM CC1CC(C(=O)N2CCCCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001088294331 741341497 /nfs/dbraw/zinc/34/14/97/741341497.db2.gz VVRLCYUHKDNONY-BPCQOVAHSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1cnc(C)nc1N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001059613574 741414399 /nfs/dbraw/zinc/41/43/99/741414399.db2.gz JCPBIXPZVCLKCT-TXEJJXNPSA-N -1 1 313.361 1.567 20 0 DDADMM COCC[C@@H](C)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076635793 742836261 /nfs/dbraw/zinc/83/62/61/742836261.db2.gz MHQWRFKEHXJTLA-NEPJUHHUSA-N -1 1 323.393 1.084 20 0 DDADMM C[C@@H](CCNC(=O)C1(C2CC2)CC1)NC(=O)c1ncccc1[O-] ZINC001076991288 743156228 /nfs/dbraw/zinc/15/62/28/743156228.db2.gz UBXPRNYCDWFMFQ-NSHDSACASA-N -1 1 317.389 1.602 20 0 DDADMM CN(C)c1nc(NC(=O)[C@H]2C[C@@]2(F)Cl)c(N=O)c(=O)[n-]1 ZINC001181659780 743290285 /nfs/dbraw/zinc/29/02/85/743290285.db2.gz ONIMUZOKIXVNRQ-KNODYTOMSA-N -1 1 303.681 1.509 20 0 DDADMM O=Nc1c(=O)[nH]c(=O)[nH]c1NC(=O)Cc1[nH]nc2ccccc21 ZINC001182057905 743464131 /nfs/dbraw/zinc/46/41/31/743464131.db2.gz QWTKAJCFBLCPSY-UHFFFAOYSA-N -1 1 314.261 1.343 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)Cc1n[nH]c2ccccc12 ZINC001182057905 743464136 /nfs/dbraw/zinc/46/41/36/743464136.db2.gz QWTKAJCFBLCPSY-UHFFFAOYSA-N -1 1 314.261 1.343 20 0 DDADMM Cc1n[nH]c(C(=O)NC2CN(CC3CCC(C)CC3)C2)c1[O-] ZINC001030223023 743961490 /nfs/dbraw/zinc/96/14/90/743961490.db2.gz WQFITCLQDICSFC-UHFFFAOYSA-N -1 1 306.410 1.664 20 0 DDADMM CC(C)OC(=O)CC(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001184440813 744037760 /nfs/dbraw/zinc/03/77/60/744037760.db2.gz XBVQXEJWKUUCKF-UHFFFAOYSA-N -1 1 303.318 1.858 20 0 DDADMM CCOC(=O)C1=C(O)CCN(C(=O)c2cccc([S-])c2)CC1 ZINC001186150104 744350801 /nfs/dbraw/zinc/35/08/01/744350801.db2.gz ZZWVHVTYFRRXOG-CYBMUJFWSA-N -1 1 321.398 1.960 20 0 DDADMM COC(=O)c1cncc(CNC(=O)c2ccc([O-])c(F)c2)c1 ZINC001186234105 744361301 /nfs/dbraw/zinc/36/13/01/744361301.db2.gz QIFHAVQDOQNSIP-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM Cn1cc2c(n1)[C@@H](CNC(=O)c1ccc([O-])c(F)c1)OCC2 ZINC001186237755 744362485 /nfs/dbraw/zinc/36/24/85/744362485.db2.gz ZCJUNSOKRKZXNZ-CYBMUJFWSA-N -1 1 305.309 1.309 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cncc(OC(F)(F)F)c1 ZINC001187257444 744538317 /nfs/dbraw/zinc/53/83/17/744538317.db2.gz ZDAGADDSQGZCEG-UHFFFAOYSA-N -1 1 314.285 1.758 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]c1cc(Cl)nnc1Cl ZINC001187329216 744540999 /nfs/dbraw/zinc/54/09/99/744540999.db2.gz UIYOZHFDVIUGKW-UHFFFAOYSA-N -1 1 309.134 1.881 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)c1ccc(F)cc1)c1nccs1 ZINC001187907356 744628027 /nfs/dbraw/zinc/62/80/27/744628027.db2.gz AVOAYLKEEISJJZ-NSHDSACASA-N -1 1 316.379 1.684 20 0 DDADMM COc1cc2c(cc1C)C[C@@H]([N-]S(=O)(=O)c1nccs1)C2 ZINC001187913331 744629224 /nfs/dbraw/zinc/62/92/24/744629224.db2.gz WTTYVQBHEYDKAU-GFCCVEGCSA-N -1 1 324.427 1.906 20 0 DDADMM O=S(=O)([N-]C1CCC2(CC1)OCCO2)c1nccs1 ZINC001187917575 744629778 /nfs/dbraw/zinc/62/97/78/744629778.db2.gz CKLIHQSUFNTVRU-UHFFFAOYSA-N -1 1 304.393 1.107 20 0 DDADMM O=S(=O)([N-]C1(c2ccc(F)cc2)COC1)c1nccs1 ZINC001187918865 744635482 /nfs/dbraw/zinc/63/54/82/744635482.db2.gz PNYWTLIHBQYYJF-UHFFFAOYSA-N -1 1 314.363 1.486 20 0 DDADMM COc1ccc(Cl)cc1NC(=O)NCC[P@](=O)([O-])O ZINC001187935351 744637315 /nfs/dbraw/zinc/63/73/15/744637315.db2.gz LDJLLIWZZZTEIU-UHFFFAOYSA-N -1 1 308.658 1.648 20 0 DDADMM Cc1[nH]ncc1C(=O)Nc1c(C)cc(C)cc1S(=O)(=O)[O-] ZINC001188058318 744654254 /nfs/dbraw/zinc/65/42/54/744654254.db2.gz MEZBYXRAUBNDQO-UHFFFAOYSA-N -1 1 309.347 1.834 20 0 DDADMM COc1cc2nc[nH]c(=O)c2cc1[N-]S(=O)(=O)C1CCC1 ZINC001188143723 744665161 /nfs/dbraw/zinc/66/51/61/744665161.db2.gz ACVKSRULXUYDFJ-UHFFFAOYSA-N -1 1 309.347 1.638 20 0 DDADMM COC(=O)c1c(F)ccc([N-]S(=O)(=O)CC2CC2)c1OC ZINC001188973031 744802190 /nfs/dbraw/zinc/80/21/90/744802190.db2.gz AINHUVCQRAEYCL-UHFFFAOYSA-N -1 1 317.338 1.773 20 0 DDADMM C[C@H](C[C@@H](C)Nc1ncc(F)cn1)NC(=O)c1ncccc1[O-] ZINC001089272761 744847214 /nfs/dbraw/zinc/84/72/14/744847214.db2.gz QRZQQOMGEOHQEN-NXEZZACHSA-N -1 1 319.340 1.725 20 0 DDADMM CC(C)n1nccc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001189362129 744885932 /nfs/dbraw/zinc/88/59/32/744885932.db2.gz KLHAKGUJCRBDFA-UHFFFAOYSA-N -1 1 319.325 1.676 20 0 DDADMM CCOC(=O)[C@H](CC)[N-]S(=O)(=O)Cc1cccc(F)c1F ZINC001189481366 744911422 /nfs/dbraw/zinc/91/14/22/744911422.db2.gz GADGJEJEAAIKAO-NSHDSACASA-N -1 1 321.345 1.726 20 0 DDADMM Cc1nonc1CNC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190267663 745168044 /nfs/dbraw/zinc/16/80/44/745168044.db2.gz MFIYLOKDZOEYRR-UHFFFAOYSA-N -1 1 311.301 1.471 20 0 DDADMM O=S(=O)([N-]c1cnnc(Cl)c1Cl)c1ccncc1 ZINC001190860222 745358391 /nfs/dbraw/zinc/35/83/91/745358391.db2.gz OWRXAFDOCFVBLT-UHFFFAOYSA-N -1 1 305.146 1.979 20 0 DDADMM Cc1cccc(CNC(=O)NCC[N-]C(=O)C(F)(F)F)c1 ZINC001191006966 745402242 /nfs/dbraw/zinc/40/22/42/745402242.db2.gz MYHKIKPGPWWPHB-UHFFFAOYSA-N -1 1 303.284 1.473 20 0 DDADMM COc1cc(C(=O)N[C@@H](CO)C(F)(F)F)cc(Cl)c1[O-] ZINC001191155814 745438541 /nfs/dbraw/zinc/43/85/41/745438541.db2.gz NCAPSWNRBMVKQM-QMMMGPOBSA-N -1 1 313.659 1.707 20 0 DDADMM COc1cc(C(=O)N[C@H](CO)C(F)(F)F)cc(Cl)c1[O-] ZINC001191155812 745438664 /nfs/dbraw/zinc/43/86/64/745438664.db2.gz NCAPSWNRBMVKQM-MRVPVSSYSA-N -1 1 313.659 1.707 20 0 DDADMM Cc1ncnc(C)c1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001191307934 745476517 /nfs/dbraw/zinc/47/65/17/745476517.db2.gz CLBOJTXEIKZALP-UHFFFAOYSA-N -1 1 317.309 1.305 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2c3ccccc3C[C@@H]2O)c(=O)[n-]1 ZINC001191436117 745511756 /nfs/dbraw/zinc/51/17/56/745511756.db2.gz DKXKXGMFWCWYHT-NWDGAFQWSA-N -1 1 317.370 1.292 20 0 DDADMM NC(=O)c1cc(Cl)nc(Cl)c1[N-]C(=O)c1ncon1 ZINC001192015327 745674148 /nfs/dbraw/zinc/67/41/48/745674148.db2.gz OMRMVBBYDWYKRI-UHFFFAOYSA-N -1 1 302.077 1.123 20 0 DDADMM CCOC(=O)CCC[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192043421 745680416 /nfs/dbraw/zinc/68/04/16/745680416.db2.gz UYJJFXNABGUGEA-UHFFFAOYSA-N -1 1 312.602 1.577 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@H](O)CC1)C(Cl)(Cl)Cl ZINC001192053382 745685558 /nfs/dbraw/zinc/68/55/58/745685558.db2.gz CWKOVRADICCXKY-LJGSYFOKSA-N -1 1 310.630 1.785 20 0 DDADMM O=C(N[C@@H]1NC(=O)c2ccccc21)c1cc([O-])cc(F)c1F ZINC001192678597 745859846 /nfs/dbraw/zinc/85/98/46/745859846.db2.gz IDWMDDNQCHVGJA-ZDUSSCGKSA-N -1 1 304.252 1.842 20 0 DDADMM CCOC(=O)c1cncc([N-]S(=O)(=O)C[C@H]2CCCO2)c1 ZINC001193206128 746013433 /nfs/dbraw/zinc/01/34/33/746013433.db2.gz QNQNCEAIKBCFMR-GFCCVEGCSA-N -1 1 314.363 1.179 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)c(F)c1 ZINC001193275171 746041998 /nfs/dbraw/zinc/04/19/98/746041998.db2.gz RZGDPTBLCCLQFO-SNVBAGLBSA-N -1 1 316.354 1.106 20 0 DDADMM CCCc1ncncc1C(=O)Nc1cc(=O)[n-]c(SC)n1 ZINC001193436386 746094323 /nfs/dbraw/zinc/09/43/23/746094323.db2.gz QJADLAKEQGZABZ-UHFFFAOYSA-N -1 1 305.363 1.899 20 0 DDADMM C[C@@H]1CCC[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000998623104 752062507 /nfs/dbraw/zinc/06/25/07/752062507.db2.gz MKNKOABZJVDZJY-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM COc1ccnc(C(=O)Nc2cn(C)nc2C(F)(F)F)c1[O-] ZINC001193524771 746133040 /nfs/dbraw/zinc/13/30/40/746133040.db2.gz LIKDPKANJSHKBH-UHFFFAOYSA-N -1 1 316.239 1.800 20 0 DDADMM NC(=O)c1cc(F)cc([N-]S(=O)(=O)c2ccccc2N)c1 ZINC001193928668 746223854 /nfs/dbraw/zinc/22/38/54/746223854.db2.gz IZFOOCBOQAAWFX-UHFFFAOYSA-N -1 1 309.322 1.308 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)CCC(=O)N2 ZINC001193928323 746224097 /nfs/dbraw/zinc/22/40/97/746224097.db2.gz FAAZJTAUCMKDKF-UHFFFAOYSA-N -1 1 317.370 1.954 20 0 DDADMM COCCOc1cccc(NC(=O)c2cc(C(=O)OC)n[n-]2)c1 ZINC001194288410 746343593 /nfs/dbraw/zinc/34/35/93/746343593.db2.gz BEWAKKBHULKIIE-UHFFFAOYSA-N -1 1 319.317 1.474 20 0 DDADMM O=C1CCC([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CC1 ZINC001194586686 746415397 /nfs/dbraw/zinc/41/53/97/746415397.db2.gz PYQVQWSBXBDYRX-UHFFFAOYSA-N -1 1 322.308 1.890 20 0 DDADMM Cc1c(C(=O)Nc2nc(Br)ccc2[O-])ncn1C ZINC001194849048 746481705 /nfs/dbraw/zinc/48/17/05/746481705.db2.gz HHXXSQATJOUZFU-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM CCOc1cnc([N-]S(=O)(=O)CC[Si](C)(C)C)cn1 ZINC001195041968 746515155 /nfs/dbraw/zinc/51/51/55/746515155.db2.gz PLKLNNRCIMXDJD-UHFFFAOYSA-N -1 1 303.460 1.955 20 0 DDADMM C[Si](C)(C)CCS(=O)(=O)[N-]c1ncccc1CCO ZINC001195048962 746516167 /nfs/dbraw/zinc/51/61/67/746516167.db2.gz XHVLENAHPQDEAE-UHFFFAOYSA-N -1 1 302.472 1.696 20 0 DDADMM Cn1ncc(C(=O)NCCCC[P@](=O)([O-])O)c1C(F)F ZINC001195276367 746562579 /nfs/dbraw/zinc/56/25/79/746562579.db2.gz YUDYJVFZHJVXKG-UHFFFAOYSA-N -1 1 311.225 1.045 20 0 DDADMM Cn1cc2c(n1)[C@H](CNC(=O)c1cc([O-])cnc1Cl)OCC2 ZINC001195305466 746571767 /nfs/dbraw/zinc/57/17/67/746571767.db2.gz FBLSTKAKVLEAFV-NSHDSACASA-N -1 1 322.752 1.218 20 0 DDADMM O=C(NCCN1CCC(F)(F)C1)c1cc([O-])cnc1Cl ZINC001195315401 746575514 /nfs/dbraw/zinc/57/55/14/746575514.db2.gz QPVXCJQJZFTOIM-UHFFFAOYSA-N -1 1 305.712 1.511 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cccc(N2CCOCC2)c1 ZINC001195483933 746622214 /nfs/dbraw/zinc/62/22/14/746622214.db2.gz HPWNFXLFKCFADM-UHFFFAOYSA-N -1 1 309.391 1.966 20 0 DDADMM COc1cc(F)cc(CNC(=O)c2c[nH]c(=S)[n-]c2=O)c1 ZINC001196025118 746761005 /nfs/dbraw/zinc/76/10/05/746761005.db2.gz WZHXVYLGTAHRAF-UHFFFAOYSA-N -1 1 309.322 1.549 20 0 DDADMM COc1cccc(NC(=S)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001196072220 746771577 /nfs/dbraw/zinc/77/15/77/746771577.db2.gz FPHWOKCWJDNGCX-UHFFFAOYSA-N -1 1 316.346 1.876 20 0 DDADMM COC(=O)/C=C/[C@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC001196100798 746777613 /nfs/dbraw/zinc/77/76/13/746777613.db2.gz QYGOTCGFFXIKTB-ZAXDUSRUSA-N -1 1 322.745 1.270 20 0 DDADMM Cn1cccc([N-]S(=O)(=O)Cc2c(F)cccc2F)c1=O ZINC001197616362 747207221 /nfs/dbraw/zinc/20/72/21/747207221.db2.gz PPQXWGDVGRRDOD-UHFFFAOYSA-N -1 1 314.313 1.605 20 0 DDADMM CCOc1cncc(C(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001197618202 747207556 /nfs/dbraw/zinc/20/75/56/747207556.db2.gz HFFHIJRUTAAINZ-UHFFFAOYSA-N -1 1 302.267 1.168 20 0 DDADMM O=C(NCC1CN(CCC(F)(F)F)C1)c1ncccc1O ZINC001031627977 747245450 /nfs/dbraw/zinc/24/54/50/747245450.db2.gz AGRQUXWPYYXVLA-UHFFFAOYSA-N -1 1 303.284 1.401 20 0 DDADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)Cc1cccc(Cl)c1Cl ZINC001197755034 747258898 /nfs/dbraw/zinc/25/88/98/747258898.db2.gz WHKITMSSIILLJZ-VIFPVBQESA-N -1 1 324.185 1.728 20 0 DDADMM O=S(=O)(Cc1ccccn1)[N-]Cc1noc(C(F)(F)F)n1 ZINC001197838073 747274204 /nfs/dbraw/zinc/27/42/04/747274204.db2.gz HCJOTASMNQFLQT-UHFFFAOYSA-N -1 1 322.268 1.103 20 0 DDADMM CCOC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)Cc1ccccn1 ZINC001197840487 747275880 /nfs/dbraw/zinc/27/58/80/747275880.db2.gz PHNHIDCGLHFTAS-CYBMUJFWSA-N -1 1 314.407 1.479 20 0 DDADMM O=C([N-]c1noc2nccnc12)c1ccc(OC(F)F)cn1 ZINC001197916741 747308125 /nfs/dbraw/zinc/30/81/25/747308125.db2.gz JGNVNEICDGBVEA-UHFFFAOYSA-N -1 1 307.216 1.867 20 0 DDADMM Cc1nonc1[N-]C(=O)c1cncc(OCC(F)(F)F)n1 ZINC001197993939 747344550 /nfs/dbraw/zinc/34/45/50/747344550.db2.gz RPLIQEPXWLRFJB-UHFFFAOYSA-N -1 1 303.200 1.361 20 0 DDADMM C=CS(=O)(=O)[N-][C@H](C(=O)OC(C)(C)C)[C@@H](C)OC(C)(C)C ZINC001198567672 747528825 /nfs/dbraw/zinc/52/88/25/747528825.db2.gz SNIHEYFUORZWBD-MNOVXSKESA-N -1 1 321.439 1.963 20 0 DDADMM COc1ncnc([N-]C(=O)c2cnoc2C(F)(F)F)c1OC ZINC001199050023 747686217 /nfs/dbraw/zinc/68/62/17/747686217.db2.gz SWTURTPHZPSMPA-UHFFFAOYSA-N -1 1 318.211 1.753 20 0 DDADMM CCOc1nccc(C(=O)[N-]c2nnc(-c3ccco3)o2)n1 ZINC001199635465 747926045 /nfs/dbraw/zinc/92/60/45/747926045.db2.gz GDCHRZYWNGUQNM-UHFFFAOYSA-N -1 1 301.262 1.771 20 0 DDADMM CN(C)c1nc(NC(=S)Nc2cccnc2)c(N=O)c(=O)[n-]1 ZINC001199890373 748033959 /nfs/dbraw/zinc/03/39/59/748033959.db2.gz ZJOBZSLLWKWSIJ-UHFFFAOYSA-N -1 1 319.350 1.850 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cn(C2CCC2)cn1 ZINC001201904636 748634742 /nfs/dbraw/zinc/63/47/42/748634742.db2.gz LEJHKAAGBRTIKR-UHFFFAOYSA-N -1 1 301.368 1.303 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cn(CC2CCC2)cn1 ZINC001201905903 748636017 /nfs/dbraw/zinc/63/60/17/748636017.db2.gz KBHXXKNJVWJEGJ-UHFFFAOYSA-N -1 1 315.395 1.378 20 0 DDADMM CC(C)=C(F)C(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202752271 749639696 /nfs/dbraw/zinc/63/96/96/749639696.db2.gz BIVXTWAMDBAORF-NXEZZACHSA-N -1 1 323.372 1.291 20 0 DDADMM CC[C@@H](C)C(=O)NC[C@]12CCC[C@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107287162 749739022 /nfs/dbraw/zinc/73/90/22/749739022.db2.gz YCACGBWWMYSBGN-XHBSWPGZSA-N -1 1 321.425 1.417 20 0 DDADMM CC[C@@H](C)C(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107287162 749739026 /nfs/dbraw/zinc/73/90/26/749739026.db2.gz YCACGBWWMYSBGN-XHBSWPGZSA-N -1 1 321.425 1.417 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])c1cccc(F)n1 ZINC001095526658 750011124 /nfs/dbraw/zinc/01/11/24/750011124.db2.gz FSSWMRLMJFDBCB-UHFFFAOYSA-N -1 1 304.325 1.578 20 0 DDADMM Cc1nc(C)c(C)c(NC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001107690070 750384017 /nfs/dbraw/zinc/38/40/17/750384017.db2.gz JRWAJBWOLPMTII-SECBINFHSA-N -1 1 315.377 1.733 20 0 DDADMM C[C@H](CNc1cnc2ccccc2n1)NC(=O)c1ncccc1[O-] ZINC001107690030 750384268 /nfs/dbraw/zinc/38/42/68/750384268.db2.gz HLVPMUNXMLLEAX-LLVKDONJSA-N -1 1 323.356 1.961 20 0 DDADMM C[C@H]1CC[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000997144589 750556358 /nfs/dbraw/zinc/55/63/58/750556358.db2.gz DMAXJCVAPBJTNA-HAQNSBGRSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@]1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC=CCC1 ZINC001034946302 751071459 /nfs/dbraw/zinc/07/14/59/751071459.db2.gz BMADHUUKSKNNBF-MLGOLLRUSA-N -1 1 319.409 1.337 20 0 DDADMM C[N@H+]1CCCC[C@H]1c1ccc(NCCOP(=O)([O-])[O-])nc1 ZINC001168590195 751208106 /nfs/dbraw/zinc/20/81/06/751208106.db2.gz VFCKHXDGTPYKQH-LBPRGKRZSA-N -1 1 315.310 1.760 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)CC[N@H+]1C ZINC000692876467 751299067 /nfs/dbraw/zinc/29/90/67/751299067.db2.gz GAXRSIFDVNOTSQ-BDAKNGLRSA-N -1 1 321.805 1.635 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036502745 752491389 /nfs/dbraw/zinc/49/13/89/752491389.db2.gz KTIBVDZQQBPDTQ-VXGBXAGGSA-N -1 1 307.398 1.193 20 0 DDADMM Cc1csc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000999102915 752529880 /nfs/dbraw/zinc/52/98/80/752529880.db2.gz NFAHPDWMEYPTSS-SNVBAGLBSA-N -1 1 321.406 1.275 20 0 DDADMM CN(C(=O)C1CCC1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016155119 753027272 /nfs/dbraw/zinc/02/72/72/753027272.db2.gz YRDPRQFHGMICLL-UHFFFAOYSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C=C2CCC2)C1 ZINC001005950894 753410930 /nfs/dbraw/zinc/41/09/30/753410930.db2.gz LVVZEILQAOLCPZ-LLVKDONJSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)[C@H](F)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064058833 754469506 /nfs/dbraw/zinc/46/95/06/754469506.db2.gz NPEYBLWYFBKAKW-LBPRGKRZSA-N -1 1 323.368 1.550 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CCCC1 ZINC001011364293 754471867 /nfs/dbraw/zinc/47/18/67/754471867.db2.gz VBSLQJCOXCSXIH-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM CC1(NC(=O)c2ncccc2[O-])CCN(c2ncccn2)CC1 ZINC001065077111 755065774 /nfs/dbraw/zinc/06/57/74/755065774.db2.gz QUTJHLRHKUEHMT-UHFFFAOYSA-N -1 1 313.361 1.366 20 0 DDADMM C[C@@H]1CN(Cc2cncs2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001079611096 755473112 /nfs/dbraw/zinc/47/31/12/755473112.db2.gz NFEJFUDKTKMWJU-ZYHUDNBSSA-N -1 1 318.402 1.494 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2CCCC23CC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082591185 756859517 /nfs/dbraw/zinc/85/95/17/756859517.db2.gz FKEPMZYWCRHNCE-IJLUTSLNSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@H]1C[C@H]1C(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400626 757899617 /nfs/dbraw/zinc/89/96/17/757899617.db2.gz RAQWHCFUCMHLAH-WCQYABFASA-N -1 1 315.373 1.332 20 0 DDADMM C[C@H](F)CCN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232400 758287743 /nfs/dbraw/zinc/28/77/43/758287743.db2.gz LZYGBINIWJRHMY-LBPRGKRZSA-N -1 1 323.368 1.062 20 0 DDADMM CC(C)C(=O)N[C@H]1CC[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001018003693 758463626 /nfs/dbraw/zinc/46/36/26/758463626.db2.gz ZKSZLYMQNMRYDY-NEPJUHHUSA-N -1 1 305.378 1.553 20 0 DDADMM CCN1Cc2ccccc2C[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001054037463 759095085 /nfs/dbraw/zinc/09/50/85/759095085.db2.gz GKTZTJXGPCVLSV-AWEZNQCLSA-N -1 1 314.389 1.600 20 0 DDADMM C[C@H]1C[C@H]1C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018730653 759197909 /nfs/dbraw/zinc/19/79/09/759197909.db2.gz XMQGQFHRPAQZPZ-NWDGAFQWSA-N -1 1 315.373 1.118 20 0 DDADMM CC1=C(C)C[C@@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001018900341 759385158 /nfs/dbraw/zinc/38/51/58/759385158.db2.gz XYBDVXJZBNEHEW-STQMWFEESA-N -1 1 319.409 1.337 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C[C@@H]2CCN2Cc2ccccc2)c1[O-] ZINC001085550390 759687060 /nfs/dbraw/zinc/68/70/60/759687060.db2.gz SMAZIUDPMSHAKS-AWEZNQCLSA-N -1 1 314.389 1.770 20 0 DDADMM Cc1cccnc1Oc1ccc(C(=O)N(C)c2nn[n-]n2)cc1 ZINC001131172145 767917806 /nfs/dbraw/zinc/91/78/06/767917806.db2.gz FIDIHTGDVHKCNH-UHFFFAOYSA-N -1 1 310.317 1.972 20 0 DDADMM Cc1nsc(N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001056612435 761288260 /nfs/dbraw/zinc/28/82/60/761288260.db2.gz JHMZRBYHYDJIRS-SECBINFHSA-N -1 1 305.363 1.274 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541231 762946395 /nfs/dbraw/zinc/94/63/95/762946395.db2.gz JDGXMMPPWYDCDO-NSHDSACASA-N -1 1 303.362 1.022 20 0 DDADMM Cc1coc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)c1 ZINC001001553529 762955705 /nfs/dbraw/zinc/95/57/05/762955705.db2.gz VXNGSIMFXNBEKL-UHFFFAOYSA-N -1 1 315.329 1.191 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(CNc2ncccn2)CC1 ZINC001057492536 763833942 /nfs/dbraw/zinc/83/39/42/763833942.db2.gz FODUZIUKDKIDSF-UHFFFAOYSA-N -1 1 313.361 1.542 20 0 DDADMM O=C(NCC1CC(Nc2ncc(F)cn2)C1)c1ncccc1[O-] ZINC001051910694 765269859 /nfs/dbraw/zinc/26/98/59/765269859.db2.gz AAXJCIFFOMQJCK-UHFFFAOYSA-N -1 1 317.324 1.337 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nnc(Cl)c4c3CCC4)c2[nH]1 ZINC001170217049 766174996 /nfs/dbraw/zinc/17/49/96/766174996.db2.gz KBOVVMYNQIPZJM-UHFFFAOYSA-N -1 1 319.712 1.391 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc(-c4cccnc4)ncn3)c2[nH]1 ZINC001170216473 766175544 /nfs/dbraw/zinc/17/55/44/766175544.db2.gz DWWXLRSVAUZWQB-UHFFFAOYSA-N -1 1 322.288 1.311 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc4ncsc4n3)c2[nH]1 ZINC001170218205 766176712 /nfs/dbraw/zinc/17/67/12/766176712.db2.gz RQICNMYWDBCDKQ-UHFFFAOYSA-N -1 1 301.291 1.464 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc4c(ncnc4Cl)[nH]3)c2[nH]1 ZINC001170219051 766177617 /nfs/dbraw/zinc/17/76/17/766177617.db2.gz WIRSKCNBDLYTBL-UHFFFAOYSA-N -1 1 318.684 1.384 20 0 DDADMM NC(=O)c1cc(Nc2[n-]c(=O)nc3nc[nH]c32)nc2ccccc21 ZINC001170226348 766200053 /nfs/dbraw/zinc/20/00/53/766200053.db2.gz ILOIHEDRKMOXAE-UHFFFAOYSA-N -1 1 321.300 1.449 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc(Cl)nc3C)nc2n1 ZINC001129409156 766870975 /nfs/dbraw/zinc/87/09/75/766870975.db2.gz NPHUFIUEZHINKQ-UHFFFAOYSA-N -1 1 318.724 1.335 20 0 DDADMM Cn1cncc1C[N@H+]1CC[C@](C)(NC(=O)c2ccccc2O)C1 ZINC001046145980 766996054 /nfs/dbraw/zinc/99/60/54/766996054.db2.gz CEKNMNQQHQBSMW-KRWDZBQOSA-N -1 1 314.389 1.520 20 0 DDADMM Cc1oncc1CN1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001046262978 767321925 /nfs/dbraw/zinc/32/19/25/767321925.db2.gz ZYDSFKBQNTXVOL-MRXNPFEDSA-N -1 1 316.361 1.478 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC[C@@H](Cc2ccccc2)C1 ZINC001121673467 782620045 /nfs/dbraw/zinc/62/00/45/782620045.db2.gz AHRUQRUDMWMBDZ-ZDUSSCGKSA-N -1 1 324.392 1.547 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC[C@@H](Cc2ccccc2)C1 ZINC001121673467 782620048 /nfs/dbraw/zinc/62/00/48/782620048.db2.gz AHRUQRUDMWMBDZ-ZDUSSCGKSA-N -1 1 324.392 1.547 20 0 DDADMM C[C@@H]1C[C@@H](Nc2nccnc2F)CN1C(=O)c1ncccc1[O-] ZINC001069065244 767814264 /nfs/dbraw/zinc/81/42/64/767814264.db2.gz IJVLDWJNOXXKKJ-NXEZZACHSA-N -1 1 317.324 1.431 20 0 DDADMM Cc1oc2ncn(C)c(=O)c2c1C(=O)[N-]c1nocc1C1CC1 ZINC001133284873 769674859 /nfs/dbraw/zinc/67/48/59/769674859.db2.gz ROBZZQORIUNPEB-UHFFFAOYSA-N -1 1 314.301 1.953 20 0 DDADMM Cc1ccc(N[C@H](CNC(=O)c2ncccc2[O-])C2CC2)nn1 ZINC001096652086 771373451 /nfs/dbraw/zinc/37/34/51/771373451.db2.gz UFYCMZFQUUYVRF-GFCCVEGCSA-N -1 1 313.361 1.506 20 0 DDADMM O=C(Cc1ccc(F)c(C(F)(F)F)c1)NCc1nn[n-]n1 ZINC001143694876 772294556 /nfs/dbraw/zinc/29/45/56/772294556.db2.gz YFXKTLWILVUBIH-UHFFFAOYSA-N -1 1 303.219 1.217 20 0 DDADMM CCOC(=O)CN1CCN(Cc2cc(F)c([O-])cc2F)CC1 ZINC001144646655 772587249 /nfs/dbraw/zinc/58/72/49/772587249.db2.gz FXNAICYWVXIPHK-UHFFFAOYSA-N -1 1 314.332 1.351 20 0 DDADMM O=C(CC1Cc2ccccc2C1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001146808614 772954518 /nfs/dbraw/zinc/95/45/18/772954518.db2.gz KKYQBPXKQQNAOQ-UHFFFAOYSA-N -1 1 309.329 1.802 20 0 DDADMM Cc1cc(NC(=O)c2c([O-])c(C)ncc2CO)c2cc[nH]c2n1 ZINC001147834411 773260660 /nfs/dbraw/zinc/26/06/60/773260660.db2.gz SWVQVBLDQZKWIA-UHFFFAOYSA-N -1 1 312.329 1.977 20 0 DDADMM COc1c(O)cccc1NC(=O)c1c(CO)cnc(C)c1[O-] ZINC001147842665 773266677 /nfs/dbraw/zinc/26/66/77/773266677.db2.gz IIQCXSBKEMXORC-UHFFFAOYSA-N -1 1 304.302 1.554 20 0 DDADMM CC(C)=CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073685535 773305335 /nfs/dbraw/zinc/30/53/35/773305335.db2.gz KIVWRRWOHHWWIX-QWHCGFSZSA-N -1 1 317.389 1.720 20 0 DDADMM COc1cc(C)ccc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001148566171 773526474 /nfs/dbraw/zinc/52/64/74/773526474.db2.gz SJYXPZCAGOPADV-UHFFFAOYSA-N -1 1 304.262 1.855 20 0 DDADMM O=C(c1ccccc1O)N1C[C@@H](C(F)(F)F)[C@H]([NH2+]CCF)C1 ZINC001099215646 774903687 /nfs/dbraw/zinc/90/36/87/774903687.db2.gz LCRGPXSVVQFRDG-GHMZBOCLSA-N -1 1 320.286 1.954 20 0 DDADMM CN(CCNC(=O)c1c[nH]c2ccccc2c1=O)c1ncccn1 ZINC001100410236 776115832 /nfs/dbraw/zinc/11/58/32/776115832.db2.gz JRAWWQAESJJYSY-UHFFFAOYSA-N -1 1 323.356 1.184 20 0 DDADMM CC(C)(O)c1cccc([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001174408340 777481214 /nfs/dbraw/zinc/48/12/14/777481214.db2.gz RCKCWUSBTWRPJK-UHFFFAOYSA-N -1 1 321.402 1.879 20 0 DDADMM C/C(=C/C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001101772807 777498586 /nfs/dbraw/zinc/49/85/86/777498586.db2.gz PGIYCSGQQGIYNS-ITSNTBJPSA-N -1 1 319.409 1.051 20 0 DDADMM CC/C=C(\C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101779310 777507258 /nfs/dbraw/zinc/50/72/58/777507258.db2.gz PBIMXAYHIZTSDP-VDUSXYPOSA-N -1 1 307.398 1.051 20 0 DDADMM CC(=O)N1CC=C(Nc2nc[n-]c(=O)c2Br)CC1 ZINC001176028788 778004429 /nfs/dbraw/zinc/00/44/29/778004429.db2.gz NQVMSVNQOSCVAA-UHFFFAOYSA-N -1 1 313.155 1.493 20 0 DDADMM CCN(C)C(=O)c1cccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c1 ZINC001176464899 778155796 /nfs/dbraw/zinc/15/57/96/778155796.db2.gz VXDAMSDQLFJSEZ-UHFFFAOYSA-N -1 1 319.390 1.889 20 0 DDADMM O=C(Cc1cncc(Cl)n1)Nc1n[n-]c(C(F)(F)F)n1 ZINC001177224596 778494825 /nfs/dbraw/zinc/49/48/25/778494825.db2.gz WNLCJMRPAAQKEI-UHFFFAOYSA-N -1 1 306.635 1.448 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C(F)(F)c1ccccn1 ZINC001177297154 778516917 /nfs/dbraw/zinc/51/69/17/778516917.db2.gz ZEWLBZGYPPYZKA-UHFFFAOYSA-N -1 1 311.204 1.411 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)C1=NO[C@@H](c2ccccc2)C1 ZINC001178808147 779179890 /nfs/dbraw/zinc/17/98/90/779179890.db2.gz XTBBFDIGKKVXFO-SNVBAGLBSA-N -1 1 324.300 1.515 20 0 DDADMM COc1cn(C)nc1[C@@H](C)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001178934992 779220343 /nfs/dbraw/zinc/22/03/43/779220343.db2.gz IBEPYEOPTJLDLI-SSDOTTSWSA-N -1 1 323.378 1.012 20 0 DDADMM CN1N=C(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCC1=O ZINC001180444154 779765270 /nfs/dbraw/zinc/76/52/70/779765270.db2.gz ZEXVZBHEJPIADP-UHFFFAOYSA-N -1 1 313.317 1.125 20 0 DDADMM C[C@@H](CSCCNCc1cn(-c2ccccc2)nn1)C(=O)[O-] ZINC001118194820 781059282 /nfs/dbraw/zinc/05/92/82/781059282.db2.gz CQDRLMUEAASUDM-LBPRGKRZSA-N -1 1 320.418 1.811 20 0 DDADMM O=C(N[C@H]1[C@@H]2OC[C@H]3C[C@H]1C[C@H]23)c1ccc2n[n-]c(=S)n2c1 ZINC001118985245 781291109 /nfs/dbraw/zinc/29/11/09/781291109.db2.gz OTNQMYVPQXQJDB-BSFRTMCYSA-N -1 1 316.386 1.171 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1COC(C)(C)C1 ZINC000396522322 836006606 /nfs/dbraw/zinc/00/66/06/836006606.db2.gz CZLPGFBYQYFRPG-SSDOTTSWSA-N -1 1 310.828 1.951 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1sc(Cl)nc1C)C1CC1 ZINC000398295647 836615337 /nfs/dbraw/zinc/61/53/37/836615337.db2.gz JEFCEGFWZXTQLY-QMMMGPOBSA-N -1 1 310.828 1.808 20 0 DDADMM C[C@@H](CO)C[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257508094 855153676 /nfs/dbraw/zinc/15/36/76/855153676.db2.gz HWOHSSXAPFQSDE-RXMQYKEDSA-N -1 1 304.220 1.962 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CC12CCCC2 ZINC001268487776 840287352 /nfs/dbraw/zinc/28/73/52/840287352.db2.gz KHRGOIKVZWAPFJ-LLVKDONJSA-N -1 1 307.398 1.029 20 0 DDADMM Cc1oncc1CNC/C=C\CNC(=O)c1ncccc1O ZINC001268532027 840399385 /nfs/dbraw/zinc/39/93/85/840399385.db2.gz CJHAKBXJILREGJ-IHWYPQMZSA-N -1 1 302.334 1.159 20 0 DDADMM CC(C)CN1CC[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC001268845829 840893938 /nfs/dbraw/zinc/89/39/38/840893938.db2.gz VHLSMPRHVMWWAP-QGZVFWFLSA-N -1 1 317.389 1.508 20 0 DDADMM CN(C)C(=O)[C@]12C[C@H]1CCN2C(=O)Cc1ccc([O-])c(Cl)c1 ZINC001269510560 841708500 /nfs/dbraw/zinc/70/85/00/841708500.db2.gz XHTWJWLJIZNEGE-BZNIZROVSA-N -1 1 322.792 1.667 20 0 DDADMM NC(=O)c1noc2c1CN(C(=O)c1cc(Cl)ccc1[O-])CC2 ZINC001269574561 841782196 /nfs/dbraw/zinc/78/21/96/841782196.db2.gz VXQNWEPJJPADFD-UHFFFAOYSA-N -1 1 321.720 1.331 20 0 DDADMM CN(C)C(=O)c1[nH]nc2c1CN(C(=O)c1ccc([S-])cc1)C2 ZINC001269639502 841883692 /nfs/dbraw/zinc/88/36/92/841883692.db2.gz RUSQINOVGCORHZ-UHFFFAOYSA-N -1 1 316.386 1.556 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)N3CCNC(=O)[C@H]3C)cc2c1 ZINC001154749342 861420522 /nfs/dbraw/zinc/42/05/22/861420522.db2.gz FYSYXTCHLADTON-SNVBAGLBSA-N -1 1 314.341 1.515 20 0 DDADMM CCOC(=O)[C@H]1CC[C@@H](Oc2[n-]c(=S)ncc2F)CO1 ZINC001227383292 843554346 /nfs/dbraw/zinc/55/43/46/843554346.db2.gz MERHNCVNTIHNHR-VXNVDRBHSA-N -1 1 302.327 1.394 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)NCc3nnc(C)[nH]3)cc2c1 ZINC001154761163 861430746 /nfs/dbraw/zinc/43/07/46/861430746.db2.gz ZEIWOVIYEVONSB-UHFFFAOYSA-N -1 1 312.329 1.911 20 0 DDADMM CNC(=O)[C@@H]1CC12CCN(C(=O)c1ccc([O-])cc1F)CC2 ZINC001272225872 844777796 /nfs/dbraw/zinc/77/77/96/844777796.db2.gz ZZRURXFMKQDJTR-LBPRGKRZSA-N -1 1 306.337 1.520 20 0 DDADMM CCC[C@H]1CCCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364993804 845984514 /nfs/dbraw/zinc/98/45/14/845984514.db2.gz ZCMIMLCOKQOROE-VIFPVBQESA-N -1 1 301.368 1.150 20 0 DDADMM CCC[C@H]1CCCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364993804 845984526 /nfs/dbraw/zinc/98/45/26/845984526.db2.gz ZCMIMLCOKQOROE-VIFPVBQESA-N -1 1 301.368 1.150 20 0 DDADMM Cc1c[nH]c(C2([N-]S(=O)(=O)c3cc(C)ns3)CCC2)n1 ZINC001365728093 846509258 /nfs/dbraw/zinc/50/92/58/846509258.db2.gz CHCWSCGTVXTWEU-UHFFFAOYSA-N -1 1 312.420 1.841 20 0 DDADMM COC[C@H](NC(=O)c1cc2ccc(Cl)cc2[nH]1)c1nn[n-]n1 ZINC001155070367 861699862 /nfs/dbraw/zinc/69/98/62/861699862.db2.gz VQUDUGVHJWNZQP-NSHDSACASA-N -1 1 320.740 1.452 20 0 DDADMM CC(C)CNC(=O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000045969718 861710497 /nfs/dbraw/zinc/71/04/97/861710497.db2.gz DPBZXVFYNJJNFM-UHFFFAOYSA-N -1 1 324.324 1.154 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CCCNC(=O)C1 ZINC001149650894 861782859 /nfs/dbraw/zinc/78/28/59/861782859.db2.gz RUKDNEHSMHTMBW-UHFFFAOYSA-N -1 1 300.314 1.213 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCC[C@@H](n2cncn2)C1 ZINC001155266713 861912945 /nfs/dbraw/zinc/91/29/45/861912945.db2.gz NXWXUQGCQFPGEJ-SNVBAGLBSA-N -1 1 312.333 1.337 20 0 DDADMM O=C(NCc1ccc2c(c1)CC(=O)N2)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155275719 861922481 /nfs/dbraw/zinc/92/24/81/861922481.db2.gz DTNULOTYSAYGJD-UHFFFAOYSA-N -1 1 322.324 1.693 20 0 DDADMM Cc1ccn2c(CNc3nc(C)cc4c3C(=O)[N-]C4=O)cnc2c1 ZINC001155281898 861930499 /nfs/dbraw/zinc/93/04/99/861930499.db2.gz ROENVNWSLORFJA-UHFFFAOYSA-N -1 1 321.340 1.842 20 0 DDADMM CCC[C@@H](C)C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001410989393 850066228 /nfs/dbraw/zinc/06/62/28/850066228.db2.gz AKUPQZGDSSQTPD-PXAZEXFGSA-N -1 1 319.405 1.944 20 0 DDADMM CCC(CC)C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001411206103 850399186 /nfs/dbraw/zinc/39/91/86/850399186.db2.gz NQZHRTZJJCZHDM-LLVKDONJSA-N -1 1 311.430 1.567 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2c(C)csc2C(=O)OC)no1 ZINC001411338182 850853905 /nfs/dbraw/zinc/85/39/05/850853905.db2.gz CHDBIXOCTCELBX-UHFFFAOYSA-N -1 1 324.314 1.870 20 0 DDADMM C[C@@H](NC(=O)CC(F)(F)F)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001411344879 850884566 /nfs/dbraw/zinc/88/45/66/850884566.db2.gz DNAAIJUFVUHTCZ-SFYZADRCSA-N -1 1 319.283 1.363 20 0 DDADMM CO[C@H]1CC[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)C1 ZINC001327549299 862264653 /nfs/dbraw/zinc/26/46/53/862264653.db2.gz DHCCTYISOTWTQL-OLZOCXBDSA-N -1 1 305.330 1.301 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cccc2c1CCCO2 ZINC001155612723 862290405 /nfs/dbraw/zinc/29/04/05/862290405.db2.gz YRUMDEFAFFKTBQ-UHFFFAOYSA-N -1 1 311.301 1.636 20 0 DDADMM CC(C)(C)NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1ccc([O-])c(F)c1)C2 ZINC001275373054 853032777 /nfs/dbraw/zinc/03/27/77/853032777.db2.gz ATBCQXAGXOYKCV-PIGZYNQJSA-N -1 1 320.364 1.908 20 0 DDADMM NC(=O)c1ccc2c(n1)CCN(C(=O)c1ccc([O-])c(F)c1)C2 ZINC001275373704 853033179 /nfs/dbraw/zinc/03/31/79/853033179.db2.gz LPJWJVSYTBVBID-UHFFFAOYSA-N -1 1 315.304 1.224 20 0 DDADMM C[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)c1nnc2n1CCC2 ZINC001411668515 853550086 /nfs/dbraw/zinc/55/00/86/853550086.db2.gz WWHLZJQDCSQTRM-QMMMGPOBSA-N -1 1 314.349 1.088 20 0 DDADMM O=C(NCc1nc(C(F)(F)F)n[nH]1)c1cccc([O-])c1F ZINC001412049377 854154075 /nfs/dbraw/zinc/15/40/75/854154075.db2.gz JSAHFPKHWCGYHA-UHFFFAOYSA-N -1 1 304.203 1.598 20 0 DDADMM O=C(NC[C@@H]1CS(=O)(=O)c2ccccc21)c1ccc([O-])cn1 ZINC001412166783 854283102 /nfs/dbraw/zinc/28/31/02/854283102.db2.gz NXTYKRUQXYFDNF-SNVBAGLBSA-N -1 1 318.354 1.088 20 0 DDADMM CCO[C@@H](CC)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001412294680 854416605 /nfs/dbraw/zinc/41/66/05/854416605.db2.gz VDOLAGNILKKSQN-DCAQKATOSA-N -1 1 324.343 1.860 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2conc2C(C)C)n[n-]1 ZINC001412326699 854443407 /nfs/dbraw/zinc/44/34/07/854443407.db2.gz KPFRFXWWQGBQFB-QMMMGPOBSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2conc2C(C)C)[n-]1 ZINC001412326699 854443414 /nfs/dbraw/zinc/44/34/14/854443414.db2.gz KPFRFXWWQGBQFB-QMMMGPOBSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2conc2C(C)C)n1 ZINC001412326699 854443417 /nfs/dbraw/zinc/44/34/17/854443417.db2.gz KPFRFXWWQGBQFB-QMMMGPOBSA-N -1 1 321.337 1.584 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1CCCC12CC2 ZINC001412414160 854522938 /nfs/dbraw/zinc/52/29/38/854522938.db2.gz AMZFXDAWXZMBGY-NSHDSACASA-N -1 1 303.362 1.214 20 0 DDADMM CSc1ncc(C(=O)NCC(=O)C2(C)CCCC2)c(=O)[n-]1 ZINC001412455298 854570535 /nfs/dbraw/zinc/57/05/35/854570535.db2.gz ZGTYMIFGSWOCOO-UHFFFAOYSA-N -1 1 309.391 1.783 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CCN2C(=O)c1cccc([O-])c1Cl ZINC001276049457 854668066 /nfs/dbraw/zinc/66/80/66/854668066.db2.gz BQTAOSIQBZELKJ-BJOHPYRUSA-N -1 1 308.765 1.738 20 0 DDADMM CCc1[nH]nc(Cl)c1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001412550900 854711277 /nfs/dbraw/zinc/71/12/77/854711277.db2.gz XGQYPGURSNOUHN-UHFFFAOYSA-N -1 1 311.777 1.437 20 0 DDADMM O=C(C[C@H]1CCCO1)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001412569947 854737512 /nfs/dbraw/zinc/73/75/12/854737512.db2.gz HHDMQSLEHXFZNV-GFCCVEGCSA-N -1 1 303.322 1.286 20 0 DDADMM Cc1ccncc1[C@H](C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001412749438 855101414 /nfs/dbraw/zinc/10/14/14/855101414.db2.gz LITBNYHKLAUDSD-NSHDSACASA-N -1 1 314.345 1.098 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cnn2cc(C)cnc12 ZINC001151136126 862644450 /nfs/dbraw/zinc/64/44/50/862644450.db2.gz ZXDDNXYWEOWVPV-UHFFFAOYSA-N -1 1 315.289 1.373 20 0 DDADMM CCOC(=O)c1cn(C)nc1NC(=O)c1ccc([O-])c(F)c1 ZINC001412823721 855309637 /nfs/dbraw/zinc/30/96/37/855309637.db2.gz YCNCEYFOPRVDJK-UHFFFAOYSA-N -1 1 307.281 1.694 20 0 DDADMM CCCc1ncc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)o1 ZINC001412936942 855800407 /nfs/dbraw/zinc/80/04/07/855800407.db2.gz HVFSKHYRBLYPES-UHFFFAOYSA-N -1 1 302.334 1.930 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)CCCF)CCCN1C(=O)c1ncccc1[O-] ZINC001413157267 856495401 /nfs/dbraw/zinc/49/54/01/856495401.db2.gz IAHZMUMXMAYCOX-NEPJUHHUSA-N -1 1 323.368 1.646 20 0 DDADMM O=C(NCc1n[nH]c([C@H]2CCCO2)n1)c1cc(F)cc(F)c1[O-] ZINC001413202095 856542431 /nfs/dbraw/zinc/54/24/31/856542431.db2.gz QYVUCTCKWGZLSS-SNVBAGLBSA-N -1 1 324.287 1.570 20 0 DDADMM O=C([N-]CCNc1ncnc2c1ncn2C1CC1)C(F)(F)F ZINC001156204730 862816464 /nfs/dbraw/zinc/81/64/64/862816464.db2.gz HSKABXRYEWANDS-UHFFFAOYSA-N -1 1 314.271 1.252 20 0 DDADMM COc1ccc(C(=O)C[C@@H](C)C(=O)N(C)c2nn[n-]n2)cc1 ZINC001413329679 856669924 /nfs/dbraw/zinc/66/99/24/856669924.db2.gz WSIVCLCIKYSDIA-SECBINFHSA-N -1 1 303.322 1.080 20 0 DDADMM CSc1ncc(C(=O)Nc2cnn(C)c2C2CC2)c(=O)[n-]1 ZINC001413374491 856722760 /nfs/dbraw/zinc/72/27/60/856722760.db2.gz FDPGNLRZNSYIOJ-UHFFFAOYSA-N -1 1 305.363 1.767 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(CC(C)C)CC1 ZINC001413402102 856769094 /nfs/dbraw/zinc/76/90/94/856769094.db2.gz OXJJBNSHWQQGDE-UHFFFAOYSA-N -1 1 301.368 1.053 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC[C@@H](O)C1CCCC1 ZINC001413420862 856787761 /nfs/dbraw/zinc/78/77/61/856787761.db2.gz WTMJMYFWCMASMK-SNVBAGLBSA-N -1 1 320.436 1.371 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCC[C@]23CCCO3)sn1 ZINC001413425183 856793851 /nfs/dbraw/zinc/79/38/51/856793851.db2.gz ZTLZJVPXBPYUGU-SKDRFNHKSA-N -1 1 318.420 1.532 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2cnoc2C)cc1C ZINC001413446336 856823586 /nfs/dbraw/zinc/82/35/86/856823586.db2.gz MZFDNWAVKYUGLT-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cccc(F)c1F)c1cncs1 ZINC001413453137 856830310 /nfs/dbraw/zinc/83/03/10/856830310.db2.gz JBMZIOBRTQSFLZ-UHFFFAOYSA-N -1 1 318.326 1.583 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC001413481158 856869065 /nfs/dbraw/zinc/86/90/65/856869065.db2.gz NFIDJUYJLKRELM-JGVFFNPUSA-N -1 1 321.786 1.073 20 0 DDADMM Cn1ccc(NC(=O)NCCc2c(F)cc([O-])cc2F)cc1=O ZINC001413575391 857104662 /nfs/dbraw/zinc/10/46/62/857104662.db2.gz GVWWULSMSMEWBC-UHFFFAOYSA-N -1 1 323.299 1.733 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@H]1CCC[C@@H](OC)C1 ZINC001328384051 862940840 /nfs/dbraw/zinc/94/08/40/862940840.db2.gz YVBLBNJSUSTRFV-NWDGAFQWSA-N -1 1 301.383 1.971 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2csc(=O)[nH]2)[n-]c1=O ZINC001413765430 858499392 /nfs/dbraw/zinc/49/93/92/858499392.db2.gz KPHZGNOIYFGXIG-MRVPVSSYSA-N -1 1 322.346 1.330 20 0 DDADMM CCSc1cccc(NC(=O)[C@]2(C(=O)[O-])CNCCO2)c1 ZINC001121958891 858645681 /nfs/dbraw/zinc/64/56/81/858645681.db2.gz DYOUYCLZZXDDDB-AWEZNQCLSA-N -1 1 310.375 1.180 20 0 DDADMM CCCC(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001413933580 881362060 /nfs/dbraw/zinc/36/20/60/881362060.db2.gz LBQNNSHDGTZJEM-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2nccs2)CC1 ZINC001123277904 859158044 /nfs/dbraw/zinc/15/80/44/859158044.db2.gz PJJRPVBOCXCWKD-VUDBWIFFSA-N -1 1 309.391 1.164 20 0 DDADMM CC1(C)[C@@H]2CC[C@@]1(C(=O)N1CCC[C@@H](c3nn[n-]n3)C1)C(=O)C2 ZINC001123671330 859354293 /nfs/dbraw/zinc/35/42/93/859354293.db2.gz LLWUSXZHLOOGQU-UVWXRNBGSA-N -1 1 317.393 1.301 20 0 DDADMM C[C@H]1CN2CCN1C[C@@H]2C(=O)Nc1cc(Cl)c([O-])cc1F ZINC001123778905 859410373 /nfs/dbraw/zinc/41/03/73/859410373.db2.gz BVAOSJPUKJHIHU-QPUJVOFHSA-N -1 1 313.760 1.512 20 0 DDADMM C[C@@H]1CN2CCN1C[C@@H]2C(=O)Nc1cc(Cl)c([O-])cc1F ZINC001123778904 859410472 /nfs/dbraw/zinc/41/04/72/859410472.db2.gz BVAOSJPUKJHIHU-PRHODGIISA-N -1 1 313.760 1.512 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@@H]1[C@H]1CCC[C@@H]1O ZINC001123800910 859420167 /nfs/dbraw/zinc/42/01/67/859420167.db2.gz GXUVQJPCFVBTGF-MXWKQRLJSA-N -1 1 323.418 1.545 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@]12C[C@H]1COC21CCC1 ZINC001123982642 859509894 /nfs/dbraw/zinc/50/98/94/859509894.db2.gz ARDCECIWEXEJSX-LKFCYVNXSA-N -1 1 321.402 1.468 20 0 DDADMM O=C(c1ccc2[nH]ccc2c1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123998385 859517237 /nfs/dbraw/zinc/51/72/37/859517237.db2.gz BPKNKOWNRVEXHU-NSHDSACASA-N -1 1 310.361 1.776 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2C[C@@H]1C[C@H]2OCC[P@](=O)([O-])O ZINC001224606778 881466426 /nfs/dbraw/zinc/46/64/26/881466426.db2.gz RSCMRMMKKMDBSV-GMTAPVOTSA-N -1 1 321.310 1.579 20 0 DDADMM Cc1oc(C=O)cc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC001137774653 859975303 /nfs/dbraw/zinc/97/53/03/859975303.db2.gz DKDBDFHKDXLFSW-UHFFFAOYSA-N -1 1 324.314 1.006 20 0 DDADMM COc1cccc(CN2CC[C@@H](O)[C@H](C)C2)c1OCC(=O)[O-] ZINC001139268785 860344960 /nfs/dbraw/zinc/34/49/60/860344960.db2.gz UBKQLVYUFJHEAW-DGCLKSJQSA-N -1 1 309.362 1.361 20 0 DDADMM CC(C)c1ccc(NC(=O)Nc2c(O)[nH]c(=O)[n-]c2=S)cc1 ZINC001202929359 860385718 /nfs/dbraw/zinc/38/57/18/860385718.db2.gz FGVSYVSMHYZGFL-SNVBAGLBSA-N -1 1 320.374 1.467 20 0 DDADMM CCOC[C@@H]1CN(Cc2ccncc2[O-])Cc2nnn(CC)c21 ZINC001140273985 860605184 /nfs/dbraw/zinc/60/51/84/860605184.db2.gz BPUXTQZESGCFPO-ZDUSSCGKSA-N -1 1 317.393 1.535 20 0 DDADMM Cc1nn(C)c2ncc(NC(=O)c3cnc(C4CC4)[n-]c3=O)cc12 ZINC001141325938 860826697 /nfs/dbraw/zinc/82/66/97/860826697.db2.gz AFPPXNIGEOWBKU-UHFFFAOYSA-N -1 1 324.344 1.902 20 0 DDADMM Cc1cc2cccnc2c(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)c1 ZINC001154195405 860861076 /nfs/dbraw/zinc/86/10/76/860861076.db2.gz SEDLMWNMUKXDBS-UHFFFAOYSA-N -1 1 311.301 1.579 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2n[nH]c3cc(F)ccc32)n1 ZINC001154344113 861022674 /nfs/dbraw/zinc/02/26/74/861022674.db2.gz PFEKRXHVORNRLN-UHFFFAOYSA-N -1 1 317.280 1.854 20 0 DDADMM Cc1nnc([C@@H](C)NCCCNC(=O)c2[nH]nc(C)c2[O-])s1 ZINC001156626933 863206620 /nfs/dbraw/zinc/20/66/20/863206620.db2.gz QQNPGYXONNJKQE-MRVPVSSYSA-N -1 1 324.410 1.054 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cncc(OC)c2C)n1 ZINC001361440423 881656284 /nfs/dbraw/zinc/65/62/84/881656284.db2.gz AZCDSIGCTGOKFV-UHFFFAOYSA-N -1 1 304.306 1.551 20 0 DDADMM Cc1[nH]nc(NC(=O)CCc2nn[n-]n2)c1-c1ccc(F)cc1 ZINC001157051375 863540128 /nfs/dbraw/zinc/54/01/28/863540128.db2.gz URNXZSBHUGSFBT-UHFFFAOYSA-N -1 1 315.312 1.609 20 0 DDADMM Cc1nnc2cc(NC(=O)c3cnc4cccnc4c3[O-])ccn12 ZINC001153851800 864157706 /nfs/dbraw/zinc/15/77/06/864157706.db2.gz QDGJKMPVYHBSOD-UHFFFAOYSA-N -1 1 320.312 1.527 20 0 DDADMM CCC[C@H](C)CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225283345 881758094 /nfs/dbraw/zinc/75/80/94/881758094.db2.gz JMRMXLFYRKGFTJ-YNEHKIRRSA-N -1 1 323.441 1.854 20 0 DDADMM COc1cccc2cnc(Nc3[n-]c(=O)nc4nc[nH]c43)nc21 ZINC001159198184 865161481 /nfs/dbraw/zinc/16/14/81/865161481.db2.gz IVHLZUODMRWWGA-UHFFFAOYSA-N -1 1 309.289 1.754 20 0 DDADMM CCOC(OCC)[C@H](C)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001160185563 865716176 /nfs/dbraw/zinc/71/61/76/865716176.db2.gz YTBAZOIXIMTYQQ-QMMMGPOBSA-N -1 1 315.395 1.297 20 0 DDADMM CCc1cc2c(ncnc2Nc2c(O)[nH]c(=O)[n-]c2=S)s1 ZINC001160847802 866148129 /nfs/dbraw/zinc/14/81/29/866148129.db2.gz FFAUWEQQFVNLIB-SSDOTTSWSA-N -1 1 321.387 1.201 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCOC1)c1c(Cl)ccnc1Cl ZINC001320432294 866732279 /nfs/dbraw/zinc/73/22/79/866732279.db2.gz SZIBROYFQAAGAI-SSDOTTSWSA-N -1 1 311.190 1.703 20 0 DDADMM CCOC(=O)C(F)(F)[C@H](CC)Oc1cc(=O)[n-]c(=S)[nH]1 ZINC001225766493 882017106 /nfs/dbraw/zinc/01/71/06/882017106.db2.gz UKELUZCLPJOOPD-LURJTMIESA-N -1 1 308.306 1.827 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C/C=C\C2CC2)c(C(F)(F)F)n1 ZINC001324214694 867147300 /nfs/dbraw/zinc/14/73/00/867147300.db2.gz WFUODCKPXULHMU-IHWYPQMZSA-N -1 1 309.313 1.683 20 0 DDADMM Cc1cc(C(F)F)n(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)n1 ZINC001361644836 882075219 /nfs/dbraw/zinc/07/52/19/882075219.db2.gz DJPVKOQHEOHTRG-UHFFFAOYSA-N -1 1 324.213 1.905 20 0 DDADMM CCOc1ccc(N2C[C@@H](C(=O)[N-]OC(C)C)CC2=O)cc1 ZINC001324792289 867526302 /nfs/dbraw/zinc/52/63/02/867526302.db2.gz RXWHEXHWCNZWMT-LBPRGKRZSA-N -1 1 306.362 1.894 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1OCC[C@H]1COC(C)(C)O1 ZINC001225899076 882098391 /nfs/dbraw/zinc/09/83/91/882098391.db2.gz ICAAWCIMYMRCPG-VIFPVBQESA-N -1 1 312.322 1.279 20 0 DDADMM CCOCC(COCC)Oc1nc(=O)[n-]cc1C(=O)OCC ZINC001225899432 882100658 /nfs/dbraw/zinc/10/06/58/882100658.db2.gz LWOFBJUZUIUTBQ-UHFFFAOYSA-N -1 1 314.338 1.179 20 0 DDADMM O=C(c1ccccc1)N1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001361710622 882207883 /nfs/dbraw/zinc/20/78/83/882207883.db2.gz YOCURZKLYHSHQN-UHFFFAOYSA-N -1 1 311.341 1.385 20 0 DDADMM CCC[C@@H](C)CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163746693 868675303 /nfs/dbraw/zinc/67/53/03/868675303.db2.gz UPJDIAAQNMLRGY-GFCCVEGCSA-N -1 1 323.441 1.713 20 0 DDADMM Cc1cc2c(c(N3CCC(=O)[C@H]4CCCC[C@H]43)n1)C(=O)[N-]C2=O ZINC001163791565 868708300 /nfs/dbraw/zinc/70/83/00/868708300.db2.gz BDCXQUXLHXESQM-CMPLNLGQSA-N -1 1 313.357 1.612 20 0 DDADMM C[C@@H]1Oc2ccc(NCC[N-]C(=O)C(F)(F)F)cc2NC1=O ZINC001164243943 869050652 /nfs/dbraw/zinc/05/06/52/869050652.db2.gz MPSYQBSERNELDJ-ZETCQYMHSA-N -1 1 317.267 1.496 20 0 DDADMM CC[C@@H](C)Oc1nccnc1NCC[N-]C(=O)C(F)(F)F ZINC001164244703 869052202 /nfs/dbraw/zinc/05/22/02/869052202.db2.gz LCDWVUFJFUQAPL-MRVPVSSYSA-N -1 1 306.288 1.744 20 0 DDADMM C[C@H](C[C@H](C)O)[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001337594317 869557375 /nfs/dbraw/zinc/55/73/75/869557375.db2.gz GUQIMTDFHHTSBT-RQJHMYQMSA-N -1 1 313.206 1.826 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CC2(CCCC2)c2ccccc21 ZINC001338720633 870131679 /nfs/dbraw/zinc/13/16/79/870131679.db2.gz OONHXGWFNJTCKM-UHFFFAOYSA-N -1 1 322.376 1.959 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CC2(CCCC2)c2ccccc21 ZINC001338720633 870131685 /nfs/dbraw/zinc/13/16/85/870131685.db2.gz OONHXGWFNJTCKM-UHFFFAOYSA-N -1 1 322.376 1.959 20 0 DDADMM COC(=O)C1=CC[C@@H](NC(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001166729960 870199015 /nfs/dbraw/zinc/19/90/15/870199015.db2.gz AUDYMHFUFLXSSN-GFCCVEGCSA-N -1 1 303.314 1.505 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)/C=C/[C@@H]2CCCO2)[n-]1 ZINC001339113049 870350999 /nfs/dbraw/zinc/35/09/99/870350999.db2.gz HOPNMLMLRVFZDQ-PORFMDCZSA-N -1 1 306.318 1.678 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N(C)Cc1nccs1 ZINC001339441505 870499011 /nfs/dbraw/zinc/49/90/11/870499011.db2.gz OOAYCFQGODACQT-UHFFFAOYSA-N -1 1 305.367 1.487 20 0 DDADMM CN1CCOc2cc(Nc3c(N)[nH]c(=S)[n-]c3=O)cnc21 ZINC001203486026 870586262 /nfs/dbraw/zinc/58/62/62/870586262.db2.gz QJKGFFUTLVTMMN-UHFFFAOYSA-N -1 1 306.351 1.020 20 0 DDADMM CC/C(C)=C\C(=O)N1C[C@H](NC(=O)c2cnn[nH]2)CC[C@@H]1C ZINC001339693064 870635452 /nfs/dbraw/zinc/63/54/52/870635452.db2.gz AFVISBIIYOBFIJ-DXGKCEBASA-N -1 1 305.382 1.270 20 0 DDADMM COCCCN(C)c1nnc(-c2cc(Cl)ncc2[O-])n1C ZINC001339800550 870701015 /nfs/dbraw/zinc/70/10/15/870701015.db2.gz GUUHGPLOYRJVSG-UHFFFAOYSA-N -1 1 311.773 1.709 20 0 DDADMM COC(=O)CCCCC(=O)OCC(=O)c1ccc([O-])cc1O ZINC001340003392 870832890 /nfs/dbraw/zinc/83/28/90/870832890.db2.gz ZTWQBRMQNUBYOJ-UHFFFAOYSA-N -1 1 310.302 1.557 20 0 DDADMM CCc1cccc(NC(=O)c2coc(S(=O)(=O)[N-]C)c2)c1O ZINC001304254520 871204039 /nfs/dbraw/zinc/20/40/39/871204039.db2.gz QXBHPEVJAIFKMS-UHFFFAOYSA-N -1 1 324.358 1.708 20 0 DDADMM COCCCn1cnnc1SCC(=O)c1ccc([O-])cc1O ZINC001317690480 871417124 /nfs/dbraw/zinc/41/71/24/871417124.db2.gz MZFKRZSEZMANAI-UHFFFAOYSA-N -1 1 323.374 1.701 20 0 DDADMM O=C(CCCNC(=O)C1CC1)OCC(=O)c1ccc([O-])cc1O ZINC001317846440 871586581 /nfs/dbraw/zinc/58/65/81/871586581.db2.gz NCAXRGRMGKAAHQ-UHFFFAOYSA-N -1 1 321.329 1.130 20 0 DDADMM Cc1cc(OS(=O)(=O)c2c[n-]cn2)c(C=O)cc1Cl ZINC001309694761 871592439 /nfs/dbraw/zinc/59/24/39/871592439.db2.gz YUTKOELLPUTRQJ-UHFFFAOYSA-N -1 1 300.723 1.952 20 0 DDADMM COc1coc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cc1=O ZINC001361875424 882552398 /nfs/dbraw/zinc/55/23/98/882552398.db2.gz UGCYBQFIPZZPKJ-UHFFFAOYSA-N -1 1 317.301 1.275 20 0 DDADMM CC(C)COC[C@@H](NC(=O)CCCc1nn[n-]n1)c1ccco1 ZINC001361886832 882578262 /nfs/dbraw/zinc/57/82/62/882578262.db2.gz LNVCYWZOQNXZCS-GFCCVEGCSA-N -1 1 321.381 1.646 20 0 DDADMM COC(=O)C[C@@H]1CC[C@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC001342723056 872352831 /nfs/dbraw/zinc/35/28/31/872352831.db2.gz PQKBAWKEJWZRKM-PWSUYJOCSA-N -1 1 305.330 1.423 20 0 DDADMM CCCC(=O)[C@@H](CCC)Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226721856 882609455 /nfs/dbraw/zinc/60/94/55/882609455.db2.gz JQODASAWEWWFMN-CYBMUJFWSA-N -1 1 323.393 1.936 20 0 DDADMM COC(=O)Cc1csc([N-]C(=O)c2nnc(C3CC3)o2)n1 ZINC001361952791 882700258 /nfs/dbraw/zinc/70/02/58/882700258.db2.gz NFPCQBGJVLIRJC-UHFFFAOYSA-N -1 1 308.319 1.371 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cccnc2OC)[n-]c1Cl ZINC001361961202 882714990 /nfs/dbraw/zinc/71/49/90/882714990.db2.gz HHXAMOWRIJPUPF-UHFFFAOYSA-N -1 1 324.724 1.896 20 0 DDADMM COCc1ccccc1CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001345601982 873452438 /nfs/dbraw/zinc/45/24/38/873452438.db2.gz IBQTZZCBYDTWSU-UHFFFAOYSA-N -1 1 319.386 1.856 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCO[C@H](C(F)(F)F)C1 ZINC001346055109 873602479 /nfs/dbraw/zinc/60/24/79/873602479.db2.gz VPEHGUZUZBKKHZ-LURJTMIESA-N -1 1 323.296 1.183 20 0 DDADMM CCN1CC[NH+](CCCNC(=O)C(CC)(CC)C(=O)[O-])CC1 ZINC001346333231 873704841 /nfs/dbraw/zinc/70/48/41/873704841.db2.gz QJEQJTHTJOBBRS-UHFFFAOYSA-N -1 1 313.442 1.021 20 0 DDADMM Cn1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c2cc(F)ccc21 ZINC001347975870 874325557 /nfs/dbraw/zinc/32/55/57/874325557.db2.gz VUCZYRPOZOXBRA-VIFPVBQESA-N -1 1 314.324 1.460 20 0 DDADMM CC(C)C[C@@H](C)CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210615895 875458807 /nfs/dbraw/zinc/45/88/07/875458807.db2.gz WJEHFLIPMRDIMC-CHWSQXEVSA-N -1 1 323.441 1.663 20 0 DDADMM O=C(Cc1c[nH]c2cc(F)ccc12)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001350233819 875590589 /nfs/dbraw/zinc/59/05/89/875590589.db2.gz HZJYHNUKRHQHJQ-SECBINFHSA-N -1 1 314.324 1.379 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3cnc(OC)c(C)c3)no2)[n-]n1 ZINC001213463633 875943450 /nfs/dbraw/zinc/94/34/50/875943450.db2.gz IXRWBBJHDFMRKZ-UHFFFAOYSA-N -1 1 315.289 1.625 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ccc(OC)cn3)no2)[n-]n1 ZINC001213464161 875946326 /nfs/dbraw/zinc/94/63/26/875946326.db2.gz YEKMVZWJOSQNFP-UHFFFAOYSA-N -1 1 301.262 1.317 20 0 DDADMM CCCC[C@H](CC)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001214191783 876207056 /nfs/dbraw/zinc/20/70/56/876207056.db2.gz YESFYCLEMSEHOR-FRRDWIJNSA-N -1 1 323.441 1.663 20 0 DDADMM COC(=O)C[C@H]1CCC[C@@H](Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)C1 ZINC001227431625 883015446 /nfs/dbraw/zinc/01/54/46/883015446.db2.gz XFJHSOFIOABDRD-JGVFFNPUSA-N -1 1 322.321 1.265 20 0 DDADMM CC[C@@H](NC(=O)c1cnncc1[O-])c1nnc2n1CCCCC2 ZINC001362097977 883030931 /nfs/dbraw/zinc/03/09/31/883030931.db2.gz DFQWWQDYQAEGAJ-LLVKDONJSA-N -1 1 316.365 1.381 20 0 DDADMM COc1ccc(C(N)=O)cc1Nc1cc(=O)[n-]c(SC)n1 ZINC001215326000 876650665 /nfs/dbraw/zinc/65/06/65/876650665.db2.gz YACWGYRPAUQZDR-UHFFFAOYSA-N -1 1 306.347 1.755 20 0 DDADMM CCN(CC(=O)N(C)C)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362112786 883070064 /nfs/dbraw/zinc/07/00/64/883070064.db2.gz JJUDSKSUPHACEP-UHFFFAOYSA-N -1 1 318.295 1.961 20 0 DDADMM O=C(c1ccco1)[C@H](Oc1nc(=O)[nH]c(=O)[n-]1)c1ccco1 ZINC001227566008 883082621 /nfs/dbraw/zinc/08/26/21/883082621.db2.gz DWVFIUSQSGZSBN-SNVBAGLBSA-N -1 1 303.230 1.472 20 0 DDADMM O=c1oc2cc([O-])ccc2cc1NC1=CCS(=O)(=O)CC1 ZINC001216563659 876978969 /nfs/dbraw/zinc/97/89/69/876978969.db2.gz AZGRCWGRDOLNGL-UHFFFAOYSA-N -1 1 307.327 1.613 20 0 DDADMM CC(C)(C)OC(=O)n1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)n1 ZINC001216672083 877015326 /nfs/dbraw/zinc/01/53/26/877015326.db2.gz QKCXCFMQLOBTLE-UHFFFAOYSA-N -1 1 324.366 1.776 20 0 DDADMM Cc1n[nH]c(C(=O)NC2CCN(Cc3ccncc3)CC2)c1[O-] ZINC001362120503 883090640 /nfs/dbraw/zinc/09/06/40/883090640.db2.gz AKBNQLGRWIFBQD-UHFFFAOYSA-N -1 1 315.377 1.213 20 0 DDADMM CCC[C@H](C)C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001395542867 912226734 /nfs/dbraw/zinc/22/67/34/912226734.db2.gz WYGVGPPZQLBWGM-RYUDHWBXSA-N -1 1 307.394 1.800 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C1CCCCCCC1 ZINC001374257479 912338931 /nfs/dbraw/zinc/33/89/31/912338931.db2.gz RYJJJHPLOACJQH-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM C[C@H]1CN(c2nnc(-c3nnn[n-]3)n2C)CC[C@H]1c1ccccc1 ZINC001355332501 878506423 /nfs/dbraw/zinc/50/64/23/878506423.db2.gz COJJMCCDTXLJJO-WCQYABFASA-N -1 1 324.392 1.625 20 0 DDADMM C[C@H]1CN(c2nnc(-c3nn[n-]n3)n2C)CC[C@H]1c1ccccc1 ZINC001355332501 878506430 /nfs/dbraw/zinc/50/64/30/878506430.db2.gz COJJMCCDTXLJJO-WCQYABFASA-N -1 1 324.392 1.625 20 0 DDADMM Nc1ncncc1C(=O)Nc1nc(Br)ccc1[O-] ZINC000192371981 878658040 /nfs/dbraw/zinc/65/80/40/878658040.db2.gz QPKZPNANAHCCHT-UHFFFAOYSA-N -1 1 310.111 1.174 20 0 DDADMM CC(C)C(=O)N(C)C[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001380221347 879086457 /nfs/dbraw/zinc/08/64/57/879086457.db2.gz UQOWVYQXNDZAAL-GFCCVEGCSA-N -1 1 305.378 1.506 20 0 DDADMM C[C@H](NC(=O)C(C)(C)F)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001381139055 881114115 /nfs/dbraw/zinc/11/41/15/881114115.db2.gz QWYCNGDHSSSJGK-VIFPVBQESA-N -1 1 309.341 1.112 20 0 DDADMM CCOC(=O)c1nc([C@H](C)Nc2ccnc(COC)n2)n[n-]1 ZINC001413892192 881328558 /nfs/dbraw/zinc/32/85/58/881328558.db2.gz MGQKIRMBKHDJND-QMMMGPOBSA-N -1 1 306.326 1.091 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)Nc2ccnc(COC)n2)[n-]1 ZINC001413892192 881328571 /nfs/dbraw/zinc/32/85/71/881328571.db2.gz MGQKIRMBKHDJND-QMMMGPOBSA-N -1 1 306.326 1.091 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)Nc2ccnc(COC)n2)n1 ZINC001413892192 881328575 /nfs/dbraw/zinc/32/85/75/881328575.db2.gz MGQKIRMBKHDJND-QMMMGPOBSA-N -1 1 306.326 1.091 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2[C@@H]3CCCO[C@H]3C2(C)C)c(=O)[n-]1 ZINC001362172925 883209826 /nfs/dbraw/zinc/20/98/26/883209826.db2.gz OABOXLIUQMXAFT-JMJZKYOTSA-N -1 1 323.418 1.838 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(F)c(Br)c1 ZINC001362186236 883242437 /nfs/dbraw/zinc/24/24/37/883242437.db2.gz PQDMMFNFUURVIW-UHFFFAOYSA-N -1 1 314.118 1.673 20 0 DDADMM CC(C)=CC(=O)NC[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001288034707 912684786 /nfs/dbraw/zinc/68/47/86/912684786.db2.gz SVDOUTNPPUBCNA-OLZOCXBDSA-N -1 1 317.389 1.768 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N(C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC001362254120 883416718 /nfs/dbraw/zinc/41/67/18/883416718.db2.gz MYJLLNZRHIUSNN-NSHDSACASA-N -1 1 313.350 1.323 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H](C(=O)c2ccco2)c2ccco2)n1 ZINC001228309899 883438452 /nfs/dbraw/zinc/43/84/52/883438452.db2.gz AULCOMRSSWCYBL-NSHDSACASA-N -1 1 317.257 1.780 20 0 DDADMM COC(=O)c1nc(O[C@H](C(=O)c2ccco2)c2ccco2)n[n-]1 ZINC001228309899 883438456 /nfs/dbraw/zinc/43/84/56/883438456.db2.gz AULCOMRSSWCYBL-NSHDSACASA-N -1 1 317.257 1.780 20 0 DDADMM O=C(N1CCC[C@H](c2nn[n-]n2)C1)C1(C(F)(F)F)CCC1 ZINC001362271065 883452303 /nfs/dbraw/zinc/45/23/03/883452303.db2.gz RWKUHBVMPXMPPO-QMMMGPOBSA-N -1 1 303.288 1.638 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001382156103 883533482 /nfs/dbraw/zinc/53/34/82/883533482.db2.gz ZOXPJESKKRQBPY-GHMZBOCLSA-N -1 1 309.414 1.273 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@H]1CCn2ccnc21 ZINC001228551273 883546755 /nfs/dbraw/zinc/54/67/55/883546755.db2.gz OIKSBXLTVXHMAT-VIFPVBQESA-N -1 1 306.347 1.662 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2CC[C@@H]1[C@@H]2Oc1cnnc(=S)[n-]1 ZINC001228636312 883584246 /nfs/dbraw/zinc/58/42/46/883584246.db2.gz LIGHXWPYAPIPDQ-FXPVBKGRSA-N -1 1 324.406 1.937 20 0 DDADMM CSc1ncc(C(=O)NCc2cccc(N(C)C)n2)c(=O)[n-]1 ZINC001362334808 883592198 /nfs/dbraw/zinc/59/21/98/883592198.db2.gz NAELUXZHDRNPOB-UHFFFAOYSA-N -1 1 319.390 1.295 20 0 DDADMM CN(C)C(=O)c1noc2c1CN(Cc1ncccc1[O-])CC2 ZINC001277318970 883600786 /nfs/dbraw/zinc/60/07/86/883600786.db2.gz XPNILQAUULBFHX-UHFFFAOYSA-N -1 1 302.334 1.035 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H](C)Cc1cccs1 ZINC001362387989 883695498 /nfs/dbraw/zinc/69/54/98/883695498.db2.gz WEJVSIXMUUQXSX-MRVPVSSYSA-N -1 1 323.374 1.661 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C)c(C(=O)OC)c1)c1nn[n-]n1 ZINC001362394391 883709884 /nfs/dbraw/zinc/70/98/84/883709884.db2.gz QRXGCOOXVJJREG-LBPRGKRZSA-N -1 1 317.349 1.566 20 0 DDADMM CC[C@H](NC(=O)CCCc1nn[n-]n1)[C@@H](O)c1ccccc1F ZINC001362445534 883828933 /nfs/dbraw/zinc/82/89/33/883828933.db2.gz JMKJQKJYTTXREP-WFASDCNBSA-N -1 1 321.356 1.290 20 0 DDADMM Cc1cnc(C(=O)NCc2ncc(C(F)(F)F)cn2)c([O-])c1 ZINC001362457459 883857078 /nfs/dbraw/zinc/85/70/78/883857078.db2.gz AOLZBGIIYQPKSB-UHFFFAOYSA-N -1 1 312.251 1.834 20 0 DDADMM CS(=O)(=O)C[C@H]1CCCCN1C(=O)c1ccc(F)c([O-])c1 ZINC001362462766 883867534 /nfs/dbraw/zinc/86/75/34/883867534.db2.gz QTVCPIFQUFJXOO-LLVKDONJSA-N -1 1 315.366 1.571 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)C1CC(=O)C1 ZINC001362463273 883869496 /nfs/dbraw/zinc/86/94/96/883869496.db2.gz OWIMWURWOHTSSB-NSHDSACASA-N -1 1 323.715 1.177 20 0 DDADMM CCC(CC)(NC(=O)c1cc(C(C)=O)cn1C)c1nn[n-]n1 ZINC001362500389 883950819 /nfs/dbraw/zinc/95/08/19/883950819.db2.gz ZTMZVTXDDDTVIY-UHFFFAOYSA-N -1 1 304.354 1.186 20 0 DDADMM CCc1c[nH]c(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC001362551779 884074812 /nfs/dbraw/zinc/07/48/12/884074812.db2.gz ZUDDOZCUHASGGV-VIFPVBQESA-N -1 1 305.338 1.009 20 0 DDADMM Cc1ccc(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C(C)(C)C)o1 ZINC001382509904 884159507 /nfs/dbraw/zinc/15/95/07/884159507.db2.gz JWSWWMQMVRBTRC-LLVKDONJSA-N -1 1 321.381 1.346 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CC1(C)C ZINC001382521020 884176931 /nfs/dbraw/zinc/17/69/31/884176931.db2.gz UJTJLCARLUSORS-NXEZZACHSA-N -1 1 309.414 1.177 20 0 DDADMM COc1c(=O)[n-]c(C)nc1OC[C@H]1CC[C@]2(CCCCO2)O1 ZINC001230020626 884281468 /nfs/dbraw/zinc/28/14/68/884281468.db2.gz FYKBUTSEXMOGPK-ABAIWWIYSA-N -1 1 310.350 1.954 20 0 DDADMM Cc1cc(CNC(=O)c2ccc([O-])cn2)nc(N2CCCC2)n1 ZINC001362674692 884383381 /nfs/dbraw/zinc/38/33/81/884383381.db2.gz SKZAPLFNLXZTAK-UHFFFAOYSA-N -1 1 313.361 1.416 20 0 DDADMM COC(=O)c1c[n-]c(O[C@@H](C(=O)OC)c2cccc(F)c2)n1 ZINC001230282120 884408436 /nfs/dbraw/zinc/40/84/36/884408436.db2.gz PKYUPRXSCHAKFB-LLVKDONJSA-N -1 1 308.265 1.629 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2C(=O)OC[C@@H]2C1 ZINC001362750588 884550301 /nfs/dbraw/zinc/55/03/01/884550301.db2.gz NHCNDTYODDGMBT-UWVGGRQHSA-N -1 1 319.361 1.033 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](CCCO)C1 ZINC001362752887 884555292 /nfs/dbraw/zinc/55/52/92/884555292.db2.gz YSDYDYFXQMOQSM-LBPRGKRZSA-N -1 1 307.394 1.849 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)OCc1ccccc1)c1nn[n-]n1 ZINC001362782313 884625289 /nfs/dbraw/zinc/62/52/89/884625289.db2.gz CIIHOAXNGIFKCB-LBPRGKRZSA-N -1 1 317.393 1.937 20 0 DDADMM CC[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccccn1 ZINC001362787799 884639109 /nfs/dbraw/zinc/63/91/09/884639109.db2.gz JZVMIAYTIYWGIB-MRVPVSSYSA-N -1 1 304.306 1.173 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(C(F)(F)F)[nH]c1=O ZINC001362798492 884664790 /nfs/dbraw/zinc/66/47/90/884664790.db2.gz VYNAJTYBSLUMPZ-UHFFFAOYSA-N -1 1 302.212 1.795 20 0 DDADMM CC[C@@](NC(=O)c1ccc([O-])cn1)(C(=O)OC)c1ccccc1 ZINC001362803174 884680459 /nfs/dbraw/zinc/68/04/59/884680459.db2.gz OOQDACCRZNDPSL-KRWDZBQOSA-N -1 1 314.341 1.996 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CC[C@H]2C(=O)OCC)n1 ZINC001362818465 884713221 /nfs/dbraw/zinc/71/32/21/884713221.db2.gz MYSZAGZCZQYCTP-DTWKUNHWSA-N -1 1 309.322 1.114 20 0 DDADMM CCn1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c(C(C)C)n1 ZINC001362824788 884728940 /nfs/dbraw/zinc/72/89/40/884728940.db2.gz ZTDVTJBECMTKDC-SNVBAGLBSA-N -1 1 303.370 1.169 20 0 DDADMM CCC(CC)(NC(=O)c1cnc([C@@H](C)O)s1)c1nn[n-]n1 ZINC001362858906 884817128 /nfs/dbraw/zinc/81/71/28/884817128.db2.gz SPARYLSMTDXALW-SSDOTTSWSA-N -1 1 310.383 1.155 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@@H]1CC1(F)F ZINC001362890877 884897837 /nfs/dbraw/zinc/89/78/37/884897837.db2.gz RUROWGQSTDNCHW-YUMQZZPRSA-N -1 1 314.292 1.300 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@@H]1CC1(F)F ZINC001362890877 884897851 /nfs/dbraw/zinc/89/78/51/884897851.db2.gz RUROWGQSTDNCHW-YUMQZZPRSA-N -1 1 314.292 1.300 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@@H]1CC1(F)F ZINC001362890877 884897864 /nfs/dbraw/zinc/89/78/64/884897864.db2.gz RUROWGQSTDNCHW-YUMQZZPRSA-N -1 1 314.292 1.300 20 0 DDADMM CC[C@H](C)Oc1ccc(C(=O)N[C@H](COC)c2nn[n-]n2)cc1 ZINC001362906860 884948092 /nfs/dbraw/zinc/94/80/92/884948092.db2.gz GHGYLXXIASXDNW-GXFFZTMASA-N -1 1 319.365 1.495 20 0 DDADMM CC[C@H](NC(=O)CCc1c(C)nc(SC)[n-]c1=O)[C@@H](C)O ZINC001362915308 884977914 /nfs/dbraw/zinc/97/79/14/884977914.db2.gz QJQNKRHRGJIQGE-KOLCDFICSA-N -1 1 313.423 1.421 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCC(=O)[C@@H](C)C2)n[n-]1 ZINC001362935889 885025875 /nfs/dbraw/zinc/02/58/75/885025875.db2.gz NPOGHDPFUYOMQS-LPEHRKFASA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCC(=O)[C@@H](C)C2)[n-]1 ZINC001362935889 885025889 /nfs/dbraw/zinc/02/58/89/885025889.db2.gz NPOGHDPFUYOMQS-LPEHRKFASA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCC(=O)[C@@H](C)C2)n1 ZINC001362935889 885025904 /nfs/dbraw/zinc/02/59/04/885025904.db2.gz NPOGHDPFUYOMQS-LPEHRKFASA-N -1 1 322.365 1.164 20 0 DDADMM CC(C)N(C)C(=O)c1ccccc1NC(=O)CCc1nn[n-]n1 ZINC001362963707 885099268 /nfs/dbraw/zinc/09/92/68/885099268.db2.gz IRCNOTJYSURPIS-UHFFFAOYSA-N -1 1 316.365 1.251 20 0 DDADMM CCCc1cc(C(=O)NCc2cc(=O)[n-]c(SC)n2)[nH]n1 ZINC001362963223 885100324 /nfs/dbraw/zinc/10/03/24/885100324.db2.gz XYUNGWOVVVCZIT-UHFFFAOYSA-N -1 1 307.379 1.510 20 0 DDADMM CCCn1ncc(NC(=O)CCCc2nn[n-]n2)c1C1CC1 ZINC001363005481 885206386 /nfs/dbraw/zinc/20/63/86/885206386.db2.gz PABXGZRIGCAZSS-UHFFFAOYSA-N -1 1 303.370 1.645 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)c2cnc(SC)[n-]c2=O)C12CCC2 ZINC001363010706 885223359 /nfs/dbraw/zinc/22/33/59/885223359.db2.gz ZCJPEKRRTKUVAK-ZJUUUORDSA-N -1 1 309.391 1.592 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H](O)c1ccncc1 ZINC001363080411 885415023 /nfs/dbraw/zinc/41/50/23/885415023.db2.gz PGRAFHRRKYMSHT-SNVBAGLBSA-N -1 1 320.374 1.071 20 0 DDADMM CCC(CC)(NC(=O)C[C@@H](OC)C(F)(F)F)c1nn[n-]n1 ZINC001363136967 885554509 /nfs/dbraw/zinc/55/45/09/885554509.db2.gz PRDMDXRBKOTALA-SSDOTTSWSA-N -1 1 309.292 1.299 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2c3ccccc3C[C@@H]2O)c(=O)[n-]1 ZINC001363171694 885634850 /nfs/dbraw/zinc/63/48/50/885634850.db2.gz DKXKXGMFWCWYHT-RYUDHWBXSA-N -1 1 317.370 1.292 20 0 DDADMM CSc1ncc(C(=O)NCC[C@@H](O)C2CCCC2)c(=O)[n-]1 ZINC001363184380 885661899 /nfs/dbraw/zinc/66/18/99/885661899.db2.gz ICXUWNOWOGNVKZ-LLVKDONJSA-N -1 1 311.407 1.575 20 0 DDADMM O=C([C@@H]1CC12CN(Cc1ccc([O-])c(F)c1F)C2)N1CC=CC1 ZINC001277543610 885770165 /nfs/dbraw/zinc/77/01/65/885770165.db2.gz STONKVGCBBGNMN-LBPRGKRZSA-N -1 1 320.339 1.891 20 0 DDADMM CCC(=O)NC1CCN(Cc2ccc(OC)c(C(=O)[O-])c2)CC1 ZINC001231752861 885857535 /nfs/dbraw/zinc/85/75/35/885857535.db2.gz WUKSPILIOKUWPD-UHFFFAOYSA-N -1 1 320.389 1.884 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)C(C)(C)C ZINC001383565473 886039911 /nfs/dbraw/zinc/03/99/11/886039911.db2.gz MQWNZYCCXCFZRQ-WDEREUQCSA-N -1 1 307.394 1.846 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2c(F)ccc([O-])c2F)ccn1 ZINC001363332435 886048142 /nfs/dbraw/zinc/04/81/42/886048142.db2.gz XMTSQMOLYCRJBL-UHFFFAOYSA-N -1 1 322.267 1.782 20 0 DDADMM CCCC(=O)N1CC=C(CCNC(=O)c2ncccc2[O-])CC1 ZINC001288706066 913041419 /nfs/dbraw/zinc/04/14/19/913041419.db2.gz BVUBTTQBAUCFHI-UHFFFAOYSA-N -1 1 317.389 1.866 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cncc(C)c2)n[n-]1 ZINC001363473086 886431394 /nfs/dbraw/zinc/43/13/94/886431394.db2.gz FCHBELXASNCWEG-SECBINFHSA-N -1 1 303.322 1.176 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cncc(C)c2)[n-]1 ZINC001363473086 886431410 /nfs/dbraw/zinc/43/14/10/886431410.db2.gz FCHBELXASNCWEG-SECBINFHSA-N -1 1 303.322 1.176 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cncc(C)c2)n1 ZINC001363473086 886431423 /nfs/dbraw/zinc/43/14/23/886431423.db2.gz FCHBELXASNCWEG-SECBINFHSA-N -1 1 303.322 1.176 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2nc(CC)oc2C)n[n-]1 ZINC001363476098 886442818 /nfs/dbraw/zinc/44/28/18/886442818.db2.gz PBMGYUCOOLZDAL-ZETCQYMHSA-N -1 1 321.337 1.331 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2nc(CC)oc2C)[n-]1 ZINC001363476098 886442826 /nfs/dbraw/zinc/44/28/26/886442826.db2.gz PBMGYUCOOLZDAL-ZETCQYMHSA-N -1 1 321.337 1.331 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2nc(CC)oc2C)n1 ZINC001363476098 886442834 /nfs/dbraw/zinc/44/28/34/886442834.db2.gz PBMGYUCOOLZDAL-ZETCQYMHSA-N -1 1 321.337 1.331 20 0 DDADMM CC(C)(C)OC(=O)c1cc(C(=O)Nc2ncccc2CO)[n-]n1 ZINC001363501167 886498201 /nfs/dbraw/zinc/49/82/01/886498201.db2.gz ZVNASWJYFPLWPN-UHFFFAOYSA-N -1 1 318.333 1.505 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1C[C@@H]2C(=O)OC[C@@H]2C1 ZINC001363501895 886498253 /nfs/dbraw/zinc/49/82/53/886498253.db2.gz RIISFSAMRQIKLA-IUCAKERBSA-N -1 1 315.247 1.656 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H](c3nnc[nH]3)C2)c(=O)[n-]1 ZINC001363553835 886622585 /nfs/dbraw/zinc/62/25/85/886622585.db2.gz URNFLWSGNHNXOM-MRVPVSSYSA-N -1 1 320.378 1.042 20 0 DDADMM CO[C@@H]1CCC[C@@H]1C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001363622667 886791868 /nfs/dbraw/zinc/79/18/68/886791868.db2.gz KRHSNSDVPPYERV-WDEREUQCSA-N -1 1 309.366 1.012 20 0 DDADMM C[C@H]1CO[C@H](C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)C1 ZINC001363643069 886862336 /nfs/dbraw/zinc/86/23/36/886862336.db2.gz PXEWRYYLZUVSGW-RCWTZXSCSA-N -1 1 322.327 1.469 20 0 DDADMM CCSCc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)no1 ZINC001363663383 886917428 /nfs/dbraw/zinc/91/74/28/886917428.db2.gz XATJXTQJXCXCER-UHFFFAOYSA-N -1 1 322.394 1.461 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]2c2ccccc2)[n-]n1 ZINC001363758855 887155928 /nfs/dbraw/zinc/15/59/28/887155928.db2.gz XEBOFUIONGXDRQ-LBPRGKRZSA-N -1 1 321.358 1.332 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]2c2ccccc2)n[n-]1 ZINC001363758855 887155936 /nfs/dbraw/zinc/15/59/36/887155936.db2.gz XEBOFUIONGXDRQ-LBPRGKRZSA-N -1 1 321.358 1.332 20 0 DDADMM CCCC(=O)c1ccc([O-])cc1OC[C@@H]1OCCN(C)C1=O ZINC001233650604 887187802 /nfs/dbraw/zinc/18/78/02/887187802.db2.gz MUOWUKJMPYRNJM-HNNXBMFYSA-N -1 1 307.346 1.611 20 0 DDADMM CC(C)(C)c1ncc(C(=O)NC2(c3nn[n-]n3)CCC2)cn1 ZINC001363823994 887321185 /nfs/dbraw/zinc/32/11/85/887321185.db2.gz YXXNMTVGRXRNFP-UHFFFAOYSA-N -1 1 301.354 1.096 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccc(F)cc1)c1ccns1 ZINC001363859699 887413264 /nfs/dbraw/zinc/41/32/64/887413264.db2.gz JDGFCZWEVLZGAP-SNVBAGLBSA-N -1 1 302.352 1.294 20 0 DDADMM CNS(=O)(=O)C1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001363907327 887516547 /nfs/dbraw/zinc/51/65/47/887516547.db2.gz JPPGRQAZPRXTGN-UHFFFAOYSA-N -1 1 320.361 1.184 20 0 DDADMM COC(=O)c1cccc2[n-]c(OC3CN(C(=O)C(C)C)C3)nc21 ZINC001234043467 887584610 /nfs/dbraw/zinc/58/46/10/887584610.db2.gz CULCJDLATQVJGE-UHFFFAOYSA-N -1 1 317.345 1.595 20 0 DDADMM COC(=O)c1cccc2nc(OC3CN(C(=O)C(C)C)C3)[n-]c21 ZINC001234043467 887584615 /nfs/dbraw/zinc/58/46/15/887584615.db2.gz CULCJDLATQVJGE-UHFFFAOYSA-N -1 1 317.345 1.595 20 0 DDADMM COC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)c1ccc(F)c([O-])c1 ZINC001363963987 887626581 /nfs/dbraw/zinc/62/65/81/887626581.db2.gz DRTVAZDVDVYBKM-JQWIXIFHSA-N -1 1 311.309 1.372 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@@H]1CC12CCC2 ZINC001363973413 887643768 /nfs/dbraw/zinc/64/37/68/887643768.db2.gz YFIKSBUGVDSUEK-WDEREUQCSA-N -1 1 318.377 1.835 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@@H]1CC12CCC2 ZINC001363973413 887643770 /nfs/dbraw/zinc/64/37/70/887643770.db2.gz YFIKSBUGVDSUEK-WDEREUQCSA-N -1 1 318.377 1.835 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@@H]1CC12CCC2 ZINC001363973413 887643771 /nfs/dbraw/zinc/64/37/71/887643771.db2.gz YFIKSBUGVDSUEK-WDEREUQCSA-N -1 1 318.377 1.835 20 0 DDADMM COc1cc(CCNC(=O)c2ccc([O-])cn2)cc(OC)c1 ZINC001363977038 887653401 /nfs/dbraw/zinc/65/34/01/887653401.db2.gz QMZIKYVTQRCBRT-UHFFFAOYSA-N -1 1 302.330 1.777 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C2CC2)C(C)(C)CO)sn1 ZINC001364006609 887710705 /nfs/dbraw/zinc/71/07/05/887710705.db2.gz NOSLPHHYZXKXPV-NSHDSACASA-N -1 1 320.436 1.227 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]Cc2ccc[nH]c2=O)c(F)c1 ZINC001364017707 887731660 /nfs/dbraw/zinc/73/16/60/887731660.db2.gz BMDVEJQZQROCGS-UHFFFAOYSA-N -1 1 314.313 1.852 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1cc(C2CC2)no1 ZINC001364083137 887874804 /nfs/dbraw/zinc/87/48/04/887874804.db2.gz RQJCSTTWFBUMLU-UHFFFAOYSA-N -1 1 315.376 1.496 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CC(=O)N(c2c(F)cccc2F)C1 ZINC001364144803 888018979 /nfs/dbraw/zinc/01/89/79/888018979.db2.gz MJZNTMPWTBUQKA-ZETCQYMHSA-N -1 1 322.271 1.385 20 0 DDADMM Cc1csc(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC001364177578 888086378 /nfs/dbraw/zinc/08/63/78/888086378.db2.gz JRPLFKFIRIWWFP-UHFFFAOYSA-N -1 1 321.362 1.342 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@H](C)C(=O)OC(C)(C)C ZINC001234610980 888139974 /nfs/dbraw/zinc/13/99/74/888139974.db2.gz XQCVKLQKZOVSRG-SSDOTTSWSA-N -1 1 315.297 1.822 20 0 DDADMM CC[C@@H](O)[C@H](C)C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001364322348 888377285 /nfs/dbraw/zinc/37/72/85/888377285.db2.gz SCPCFEGIXBONKS-WDEREUQCSA-N -1 1 323.393 1.483 20 0 DDADMM COCC[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)c2ccccc21 ZINC001364527042 888850837 /nfs/dbraw/zinc/85/08/37/888850837.db2.gz ANKRHLTUKAZUTC-LBPRGKRZSA-N -1 1 302.378 1.639 20 0 DDADMM COC[C@H](NC(=O)CCOc1cc(C)ccc1C)c1nn[n-]n1 ZINC001364811434 889465382 /nfs/dbraw/zinc/46/53/82/889465382.db2.gz AHFYWJBHYURZMO-LBPRGKRZSA-N -1 1 319.365 1.089 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]3CCC[C@H]3O2)sn1 ZINC001364914873 889658520 /nfs/dbraw/zinc/65/85/20/889658520.db2.gz GWDZNKBHZIMHAX-KXUCPTDWSA-N -1 1 318.420 1.388 20 0 DDADMM CC[C@@H](F)C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001385762600 889707095 /nfs/dbraw/zinc/70/70/95/889707095.db2.gz HZGKKJVNOWKADQ-MNOVXSKESA-N -1 1 309.341 1.160 20 0 DDADMM Cc1nn2cccnc2c1S(=O)(=O)[N-]CC=C(Cl)Cl ZINC001364947534 889734797 /nfs/dbraw/zinc/73/47/97/889734797.db2.gz AIQLFPPLUKCOCL-UHFFFAOYSA-N -1 1 321.189 1.635 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C1CC1)[C@H]1CCCOC1 ZINC001364985433 889819167 /nfs/dbraw/zinc/81/91/67/889819167.db2.gz UMNZZKLPQRCYIG-QWRGUYRKSA-N -1 1 315.395 1.049 20 0 DDADMM CS[C@@H](C)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001385865882 889888215 /nfs/dbraw/zinc/88/82/15/889888215.db2.gz PALXYOCVMLHYFG-ONGXEEELSA-N -1 1 323.418 1.163 20 0 DDADMM CN(Cc1ccns1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001365051931 889989043 /nfs/dbraw/zinc/98/90/43/889989043.db2.gz UGQMGPLVIJCVCD-UHFFFAOYSA-N -1 1 302.363 1.462 20 0 DDADMM CCC(CC)(CCO)C[N-]S(=O)(=O)c1csnc1OC ZINC001365135576 890175598 /nfs/dbraw/zinc/17/55/98/890175598.db2.gz MPIRIUUCSRZUOR-UHFFFAOYSA-N -1 1 322.452 1.619 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)CC[C@@H]2C)[n-]n1 ZINC001365143996 890188854 /nfs/dbraw/zinc/18/88/54/890188854.db2.gz RKZDOZDFJMJSFK-IUCAKERBSA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)CC[C@@H]2C)n[n-]1 ZINC001365143996 890188859 /nfs/dbraw/zinc/18/88/59/890188859.db2.gz RKZDOZDFJMJSFK-IUCAKERBSA-N -1 1 301.368 1.005 20 0 DDADMM CN1CCN(c2nccc(-c3ccc(C(=O)[O-])cc3F)n2)CC1 ZINC001239251265 890567802 /nfs/dbraw/zinc/56/78/02/890567802.db2.gz XHJOJWBQPNZQRC-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001386234692 890615030 /nfs/dbraw/zinc/61/50/30/890615030.db2.gz FDJCRRPBHDGPKP-QJPTWQEYSA-N -1 1 319.405 1.704 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(F)CCCCC1 ZINC001386245335 890635818 /nfs/dbraw/zinc/63/58/18/890635818.db2.gz WACYCVIVXUCGFF-LLVKDONJSA-N -1 1 323.368 1.694 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC12CCC2 ZINC001386245611 890636007 /nfs/dbraw/zinc/63/60/07/890636007.db2.gz XGKOSRYUIFFRKA-GHMZBOCLSA-N -1 1 303.362 1.212 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)C1CCCCC1 ZINC001365434384 890792697 /nfs/dbraw/zinc/79/26/97/890792697.db2.gz SMAQHVHWIXNSPV-VIFPVBQESA-N -1 1 315.395 1.443 20 0 DDADMM Nc1ncccc1CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC001365439699 890799231 /nfs/dbraw/zinc/79/92/31/890799231.db2.gz IEKKBOMMEIWFPN-UHFFFAOYSA-N -1 1 322.315 1.690 20 0 DDADMM COC(=O)c1nc2ccc(-c3cc(OC)nnc3OC)[n-]c-2n1 ZINC001240325569 890898460 /nfs/dbraw/zinc/89/84/60/890898460.db2.gz AEHIPGIEJYQGKK-UHFFFAOYSA-N -1 1 315.289 1.219 20 0 DDADMM COC(=O)c1nc2ccc(-c3cc(OC)nnc3OC)nc2[n-]1 ZINC001240325569 890898474 /nfs/dbraw/zinc/89/84/74/890898474.db2.gz AEHIPGIEJYQGKK-UHFFFAOYSA-N -1 1 315.289 1.219 20 0 DDADMM Cc1cc2c(c(-c3ccc(N4CCOCC4)cc3)n1)C(=O)[N-]C2=O ZINC001240760924 891034367 /nfs/dbraw/zinc/03/43/67/891034367.db2.gz BTQGZSKGKQFCKQ-UHFFFAOYSA-N -1 1 323.352 1.777 20 0 DDADMM CCCCC(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001386547247 891186953 /nfs/dbraw/zinc/18/69/53/891186953.db2.gz GAUSXRHXPSVRLR-GFCCVEGCSA-N -1 1 305.378 1.556 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)Cc2ccsc2)[n-]n1 ZINC001365633611 891214199 /nfs/dbraw/zinc/21/41/99/891214199.db2.gz DIVVMNHBGBDEBB-UHFFFAOYSA-N -1 1 315.376 1.079 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)Cc2ccsc2)n[n-]1 ZINC001365633611 891214219 /nfs/dbraw/zinc/21/42/19/891214219.db2.gz DIVVMNHBGBDEBB-UHFFFAOYSA-N -1 1 315.376 1.079 20 0 DDADMM C[C@H](CNC(=O)Cc1cccs1)NC(=O)c1ncccc1[O-] ZINC001386618976 891317561 /nfs/dbraw/zinc/31/75/61/891317561.db2.gz LOTXTWKOWLUVNY-SNVBAGLBSA-N -1 1 319.386 1.326 20 0 DDADMM Cc1cc2[nH]ncc2cc1-c1ccc2c(c1)S(=O)(=O)[N-]C2=O ZINC001242283736 891382895 /nfs/dbraw/zinc/38/28/95/891382895.db2.gz XDCVROJNROIZMZ-UHFFFAOYSA-N -1 1 313.338 1.970 20 0 DDADMM CS[C@@H](C)C(=O)NCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001386650326 891401717 /nfs/dbraw/zinc/40/17/17/891401717.db2.gz KKLJUQBJJSZUIP-ZJUUUORDSA-N -1 1 311.407 1.163 20 0 DDADMM CC(=O)Nc1nc2nc[nH]c2c(-c2cnc(F)c(C(=O)[O-])c2)n1 ZINC001244753575 891904999 /nfs/dbraw/zinc/90/49/99/891904999.db2.gz QHVHEXHXMABBRB-UHFFFAOYSA-N -1 1 316.252 1.162 20 0 DDADMM CN1CCN(c2cc(-c3cc(C(=O)[O-])cnc3F)ncn2)CC1 ZINC001244758440 891911487 /nfs/dbraw/zinc/91/14/87/891911487.db2.gz RUPZGCFUFGRDLK-UHFFFAOYSA-N -1 1 317.324 1.128 20 0 DDADMM COc1ncc(-c2cnn(C(C)C)c2)cc1[N-]S(C)(=O)=O ZINC001244792795 891927643 /nfs/dbraw/zinc/92/76/43/891927643.db2.gz VFWCPNXBZACBCY-UHFFFAOYSA-N -1 1 310.379 1.906 20 0 DDADMM C[C@H]1C[C@H]1C(=O)NCCC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001396331177 913627344 /nfs/dbraw/zinc/62/73/44/913627344.db2.gz QPTNOQSALXLINI-NWDGAFQWSA-N -1 1 317.389 1.460 20 0 DDADMM CC1(C)CC(CC(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001366056699 892350528 /nfs/dbraw/zinc/35/05/28/892350528.db2.gz VAXQYILCNPPULN-LBPRGKRZSA-N -1 1 321.425 1.321 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)CCC1CCC1 ZINC001387180828 892559708 /nfs/dbraw/zinc/55/97/08/892559708.db2.gz PEZJGZMCTXVXEC-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM CC(C)[C@H](F)C(=O)N[C@H](C)CCNC(=O)c1ncccc1[O-] ZINC001387440740 893107497 /nfs/dbraw/zinc/10/74/97/893107497.db2.gz KSDGAAWYGKXNSU-PWSUYJOCSA-N -1 1 311.357 1.406 20 0 DDADMM COc1cc(Cl)c(-c2noc(Cc3nnn[n-]3)n2)cc1OC ZINC001247139959 893121298 /nfs/dbraw/zinc/12/12/98/893121298.db2.gz NOZYMGHHLVCEBD-UHFFFAOYSA-N -1 1 322.712 1.511 20 0 DDADMM COc1cc(Cl)c(-c2noc(Cc3nn[n-]n3)n2)cc1OC ZINC001247139959 893121304 /nfs/dbraw/zinc/12/13/04/893121304.db2.gz NOZYMGHHLVCEBD-UHFFFAOYSA-N -1 1 322.712 1.511 20 0 DDADMM O=C(NCCN(C(=O)c1ccc[nH]1)C1CC1)c1ncccc1[O-] ZINC001387483356 893205373 /nfs/dbraw/zinc/20/53/73/893205373.db2.gz XHPACXCPWBHBKP-UHFFFAOYSA-N -1 1 314.345 1.150 20 0 DDADMM O=C(CCCF)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001387667786 893581131 /nfs/dbraw/zinc/58/11/31/893581131.db2.gz MXIKRSFDKCBINE-NSHDSACASA-N -1 1 309.341 1.258 20 0 DDADMM CN(CCC1OCCO1)Cc1ccc(F)c(C(=O)[O-])c1F ZINC001249777522 894124259 /nfs/dbraw/zinc/12/42/59/894124259.db2.gz VQNDMHMAIOMLOP-UHFFFAOYSA-N -1 1 301.289 1.858 20 0 DDADMM COC(=O)c1ncc(N)nc1Nc1cc([O-])c(F)c(F)c1F ZINC001249961619 894175660 /nfs/dbraw/zinc/17/56/60/894175660.db2.gz VBGYKWFOPRZYPD-UHFFFAOYSA-N -1 1 314.223 1.712 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2ccc3nncn3c2)c1 ZINC001250005986 894183221 /nfs/dbraw/zinc/18/32/21/894183221.db2.gz XLNMHNMYBAUWGF-UHFFFAOYSA-N -1 1 318.358 1.972 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cc(N)ccc2N)c(C)cc1CO ZINC001250062506 894192850 /nfs/dbraw/zinc/19/28/50/894192850.db2.gz BFHANIMSRJRQGQ-UHFFFAOYSA-N -1 1 321.402 1.761 20 0 DDADMM COc1ccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)cn1 ZINC001250530955 894312950 /nfs/dbraw/zinc/31/29/50/894312950.db2.gz NBQWCEUQSPQLOC-UHFFFAOYSA-N -1 1 309.347 1.532 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1ccccc1)c1ccccn1 ZINC001251170357 894652973 /nfs/dbraw/zinc/65/29/73/894652973.db2.gz UYEAVNUQOKSXQA-CQSZACIVSA-N -1 1 320.370 1.664 20 0 DDADMM CC(=O)Nc1ccc(S(=O)(=O)[N-]c2ncccc2F)cc1 ZINC001251808199 894817234 /nfs/dbraw/zinc/81/72/34/894817234.db2.gz JFAYSKUQCNNZLR-UHFFFAOYSA-N -1 1 309.322 1.980 20 0 DDADMM CC(C)CCC(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001388385183 895120177 /nfs/dbraw/zinc/12/01/77/895120177.db2.gz COQABBWQGNKUNF-GFCCVEGCSA-N -1 1 307.394 1.706 20 0 DDADMM CC(C)S(=O)(=O)[N-]c1cc(NC(=O)OC(C)(C)C)ncn1 ZINC001252426624 895136668 /nfs/dbraw/zinc/13/66/68/895136668.db2.gz CWYUTCSMEHNUJC-UHFFFAOYSA-N -1 1 316.383 1.974 20 0 DDADMM CCCS(=O)(=O)[N-]c1cccnc1OC1CCOCC1 ZINC001253618171 895928136 /nfs/dbraw/zinc/92/81/36/895928136.db2.gz AJVATFNOKZJABA-UHFFFAOYSA-N -1 1 300.380 1.791 20 0 DDADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001367152377 896020631 /nfs/dbraw/zinc/02/06/31/896020631.db2.gz HMDWTUPSVAKVPZ-PJXYFTJBSA-N -1 1 319.409 1.075 20 0 DDADMM Cc1ccccc1S(=O)(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001254520984 896478159 /nfs/dbraw/zinc/47/81/59/896478159.db2.gz YPKDSDLGIHYDKZ-UHFFFAOYSA-N -1 1 310.291 1.395 20 0 DDADMM COC(=O)[C@H](CCC(=O)[O-])N[C@H]1CCN(Cc2ccccc2)C1 ZINC001255099528 896731542 /nfs/dbraw/zinc/73/15/42/896731542.db2.gz IDOSKJOYKJHDLN-GJZGRUSLSA-N -1 1 320.389 1.257 20 0 DDADMM CC[C@H](CC1CCCC1)C(=O)N[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367700075 897507765 /nfs/dbraw/zinc/50/77/65/897507765.db2.gz VUIAZLSHXLQHTH-DGCLKSJQSA-N -1 1 323.441 1.711 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2cccc(CC(N)=O)c2)c1 ZINC001256945769 897641000 /nfs/dbraw/zinc/64/10/00/897641000.db2.gz FVYBJLVRBRZRAI-UHFFFAOYSA-N -1 1 304.371 1.824 20 0 DDADMM CC1(C)COC2(CCC([NH2+]CCP(=O)([O-])[O-])CC2)OC1 ZINC001258518855 898233878 /nfs/dbraw/zinc/23/38/78/898233878.db2.gz FLCIHKCISNHEIA-UHFFFAOYSA-N -1 1 307.327 1.466 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-][C@H](CO)c1cccc(C)c1 ZINC001258804667 898326141 /nfs/dbraw/zinc/32/61/41/898326141.db2.gz HOZOGPLRNUJWKV-CYBMUJFWSA-N -1 1 310.375 1.612 20 0 DDADMM CCOC(=O)CC1([N-]S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC001258951733 898380735 /nfs/dbraw/zinc/38/07/35/898380735.db2.gz YLDNDXUTSIMHOQ-UHFFFAOYSA-N -1 1 319.329 1.729 20 0 DDADMM Cc1ncncc1[N-]S(=O)(=O)C[C@]12CC[C@H](CC1=O)C2(C)C ZINC001258979524 898391492 /nfs/dbraw/zinc/39/14/92/898391492.db2.gz YEAFNOGEOTYNLI-IAQYHMDHSA-N -1 1 323.418 1.922 20 0 DDADMM CC(C)C[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(N)=O ZINC001259043413 898437966 /nfs/dbraw/zinc/43/79/66/898437966.db2.gz XTLOATZGWGUKPY-SNVBAGLBSA-N -1 1 324.324 1.282 20 0 DDADMM O=S(=O)([N-]c1ncncc1Cl)c1ccc2c(c1)CCO2 ZINC001259349819 898619376 /nfs/dbraw/zinc/61/93/76/898619376.db2.gz CPHAEJRFORFPNN-UHFFFAOYSA-N -1 1 311.750 1.866 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC[C@H]1F)c1cccc(Cl)c1F ZINC001259471757 898684448 /nfs/dbraw/zinc/68/44/48/898684448.db2.gz QXQWBJIULNXNFO-BDAKNGLRSA-N -1 1 311.737 1.884 20 0 DDADMM O=S(=O)([N-]c1ccc(F)cc1OCCO)C1CCOCC1 ZINC001259916213 898926569 /nfs/dbraw/zinc/92/65/69/898926569.db2.gz UDUYAVUASODEKP-UHFFFAOYSA-N -1 1 319.354 1.118 20 0 DDADMM CN(C1CC1)[C@H]1CCN(S(=O)(=O)c2cccc(C(=O)[O-])c2)C1 ZINC001260188973 899080269 /nfs/dbraw/zinc/08/02/69/899080269.db2.gz YFUWZJQAYMFMKI-ZDUSSCGKSA-N -1 1 324.402 1.242 20 0 DDADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260205294 899087169 /nfs/dbraw/zinc/08/71/69/899087169.db2.gz CMEVXWXOEAWHLJ-QMMMGPOBSA-N -1 1 311.693 1.212 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)CCN1 ZINC001260206589 899088613 /nfs/dbraw/zinc/08/86/13/899088613.db2.gz UFNTUHGTILTVOI-ZCFIWIBFSA-N -1 1 324.736 1.175 20 0 DDADMM Cn1nc2c(c1NS(=O)(=O)c1ccc(C(=O)[O-])cc1)CCC2 ZINC001260221069 899093781 /nfs/dbraw/zinc/09/37/81/899093781.db2.gz GDSOAFWXRPCWSS-UHFFFAOYSA-N -1 1 321.358 1.408 20 0 DDADMM Cc1ncnc(C)c1NS(=O)(=O)c1ccc(O)c(C(=O)[O-])c1 ZINC001260393519 899133838 /nfs/dbraw/zinc/13/38/38/899133838.db2.gz MOXBGDJROXOGOB-UHFFFAOYSA-N -1 1 323.330 1.298 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccnc(C2CCC2)c1 ZINC001260585246 899175190 /nfs/dbraw/zinc/17/51/90/899175190.db2.gz VNFATLZMEVDONY-UHFFFAOYSA-N -1 1 304.393 1.093 20 0 DDADMM O=S(=O)([N-][C@H](c1ccccn1)C(F)(F)F)c1cn[nH]c1 ZINC001260963818 899304683 /nfs/dbraw/zinc/30/46/83/899304683.db2.gz CARTUKIRBQRPAD-SECBINFHSA-N -1 1 306.269 1.387 20 0 DDADMM CO[C@@H](CNCc1cc(Br)cnc1Cl)C(=O)[O-] ZINC001262163172 899994571 /nfs/dbraw/zinc/99/45/71/899994571.db2.gz JXKLTMROQUBWIG-QMMMGPOBSA-N -1 1 323.574 1.687 20 0 DDADMM CC[C@H]1CCCN1c1nnc(-c2nnn[n-]2)n1Cc1ccccc1 ZINC001263164126 900520417 /nfs/dbraw/zinc/52/04/17/900520417.db2.gz LFYWGEYDKQHOQS-ZDUSSCGKSA-N -1 1 324.392 1.885 20 0 DDADMM CC[C@H]1CCCN1c1nnc(-c2nn[n-]n2)n1Cc1ccccc1 ZINC001263164126 900520428 /nfs/dbraw/zinc/52/04/28/900520428.db2.gz LFYWGEYDKQHOQS-ZDUSSCGKSA-N -1 1 324.392 1.885 20 0 DDADMM O=C(CCC1CC1)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001369627859 901657804 /nfs/dbraw/zinc/65/78/04/901657804.db2.gz YKYIKSRWORJHKB-UHFFFAOYSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)N(C)C(=O)c1ncccc1[O-] ZINC001369812250 902014002 /nfs/dbraw/zinc/01/40/02/902014002.db2.gz VABPZCAQFWJNLX-CIQGVGRVSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@H](CNC(=O)[C@H]1CC12CC2)N(C)C(=O)c1ncccc1[O-] ZINC001369955137 902274724 /nfs/dbraw/zinc/27/47/24/902274724.db2.gz AHJRFRAKMSXMRZ-GHMZBOCLSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(Cc1ccccc1OCc1ccncc1)Nc1nnn[n-]1 ZINC001293898401 914756218 /nfs/dbraw/zinc/75/62/18/914756218.db2.gz AALAVKNHKXZQSQ-UHFFFAOYSA-N -1 1 310.317 1.355 20 0 DDADMM O=C(Cc1ccccc1OCc1ccncc1)Nc1nn[n-]n1 ZINC001293898401 914756223 /nfs/dbraw/zinc/75/62/23/914756223.db2.gz AALAVKNHKXZQSQ-UHFFFAOYSA-N -1 1 310.317 1.355 20 0 DDADMM CC(C)CC(=O)N[C@@H]1CC[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001371223242 904517412 /nfs/dbraw/zinc/51/74/12/904517412.db2.gz XYZPSNMHVMWPCS-CHWSQXEVSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCCF ZINC001371245971 904561662 /nfs/dbraw/zinc/56/16/62/904561662.db2.gz YQMITOSJVBZMCA-RYUDHWBXSA-N -1 1 323.368 1.646 20 0 DDADMM CC(C)=CC(=O)N[C@H](C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001281438214 904847261 /nfs/dbraw/zinc/84/72/61/904847261.db2.gz QTNYUDSIUOBNPC-VXGBXAGGSA-N -1 1 305.378 1.767 20 0 DDADMM CCCCC(=O)N(C)C[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001371580932 905258487 /nfs/dbraw/zinc/25/84/87/905258487.db2.gz QVJVIMPYSVKXRD-LBPRGKRZSA-N -1 1 305.378 1.650 20 0 DDADMM CCC(=O)NCC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001371875223 905904875 /nfs/dbraw/zinc/90/48/75/905904875.db2.gz FABODDDFZXZHPF-VXGBXAGGSA-N -1 1 305.378 1.412 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CC12CC2 ZINC001283712177 907835040 /nfs/dbraw/zinc/83/50/40/907835040.db2.gz VAYJWGDWZOZRCQ-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM CCN(CCCNC(=O)CCCF)C(=O)c1ncccc1[O-] ZINC001283747757 907893425 /nfs/dbraw/zinc/89/34/25/907893425.db2.gz JRYMBZVHHDOTFP-UHFFFAOYSA-N -1 1 311.357 1.505 20 0 DDADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1)c1cc[nH]c1 ZINC001394186296 909034322 /nfs/dbraw/zinc/03/43/22/909034322.db2.gz TVASYYMOQGBBCE-UHFFFAOYSA-N -1 1 318.381 1.061 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)CCC1(C)CC1 ZINC001373259961 909335702 /nfs/dbraw/zinc/33/57/02/909335702.db2.gz BVLSGZZQOBJOGV-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CC[C@H](CC(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1)C(C)C ZINC001394536243 909930241 /nfs/dbraw/zinc/93/02/41/909930241.db2.gz HQWDLRFYWAUGDV-NWDGAFQWSA-N -1 1 311.430 1.519 20 0 DDADMM Cc1cc(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C(C)(C)C)co1 ZINC001394816500 910647890 /nfs/dbraw/zinc/64/78/90/910647890.db2.gz DHFATDLDKWFMEP-NSHDSACASA-N -1 1 321.381 1.346 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])c1ccsc1 ZINC001395453803 912021865 /nfs/dbraw/zinc/02/18/65/912021865.db2.gz TYLCUILOTNMULF-NSHDSACASA-N -1 1 317.370 1.493 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001294290654 915043074 /nfs/dbraw/zinc/04/30/74/915043074.db2.gz UBNHCLSTCHHENZ-STQMWFEESA-N -1 1 319.405 1.658 20 0 DDADMM CN(CCCNC(=O)[C@@H]1CC12CC2)C(=O)c1ncccc1[O-] ZINC001294350489 915075104 /nfs/dbraw/zinc/07/51/04/915075104.db2.gz DSYREMIOOUZAOZ-NSHDSACASA-N -1 1 303.362 1.166 20 0 DDADMM O=C(NCCNC(=O)c1ccc(F)c([O-])c1)c1ccc(O)cc1 ZINC001294909575 915453621 /nfs/dbraw/zinc/45/36/21/915453621.db2.gz RJVLZDFKKODXDR-UHFFFAOYSA-N -1 1 318.304 1.397 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)/C=C\C(C)(C)C ZINC001296289851 916325680 /nfs/dbraw/zinc/32/56/80/916325680.db2.gz HBJGHFADYNEGRJ-HJWRWDBZSA-N -1 1 319.405 1.968 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001377545135 922288906 /nfs/dbraw/zinc/28/89/06/922288906.db2.gz KXJIOSYGIXKDMN-YNEHKIRRSA-N -1 1 319.405 1.704 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CC1(C)CCCC1 ZINC001377794741 923065517 /nfs/dbraw/zinc/06/55/17/923065517.db2.gz NKXGCEUGJIIAHT-MNOVXSKESA-N -1 1 309.414 1.464 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CC1CCCCCC1 ZINC001377863402 923267204 /nfs/dbraw/zinc/26/72/04/923267204.db2.gz PHSREDCJRSUBOE-RYUDHWBXSA-N -1 1 323.441 1.854 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C(C)(C)C(C)(F)F ZINC001377923730 923432091 /nfs/dbraw/zinc/43/20/91/923432091.db2.gz YBAOLHRAEWDIPJ-YUMQZZPRSA-N -1 1 319.356 1.175 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3coc(C)c3)nc2n1 ZINC000622997338 365589838 /nfs/dbraw/zinc/58/98/38/365589838.db2.gz VHDUTSDQJOYZBB-UHFFFAOYSA-N -1 1 301.306 1.524 20 0 DDADMM Cn1nc(C2CCN(C(=O)c3ccc([O-])c(F)c3)CC2)nc1N ZINC000614297961 361794121 /nfs/dbraw/zinc/79/41/21/361794121.db2.gz RVGGZYRUAIURJK-UHFFFAOYSA-N -1 1 319.340 1.092 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC[C@@H](Cn2ccnn2)C1 ZINC000162665699 197582756 /nfs/dbraw/zinc/58/27/56/197582756.db2.gz SFWJVRWPERRXJN-LLVKDONJSA-N -1 1 304.325 1.675 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](C)[C@H](CO)C1 ZINC000623037328 365615965 /nfs/dbraw/zinc/61/59/65/365615965.db2.gz LXYAWLNHRCAMLU-PWSUYJOCSA-N -1 1 321.421 1.879 20 0 DDADMM O=C(c1ncnc2[nH]ccc21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614389731 361833359 /nfs/dbraw/zinc/83/33/59/361833359.db2.gz AHWDSWPMYWQUKL-MRVPVSSYSA-N -1 1 314.305 1.018 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1cncnc1 ZINC000614389762 361832019 /nfs/dbraw/zinc/83/20/19/361832019.db2.gz PBWMZLMHCZVPHY-VHSXEESVSA-N -1 1 303.322 1.075 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cc(O)cc(Br)c1 ZINC000451108505 231010742 /nfs/dbraw/zinc/01/07/42/231010742.db2.gz WGBVZPKBULCIPT-SSDOTTSWSA-N -1 1 324.196 1.931 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc([S@](C)=O)cc2)c([O-])c1 ZINC000614629536 361953304 /nfs/dbraw/zinc/95/33/04/361953304.db2.gz FXLBCKIMVHDBID-NRFANRHFSA-N -1 1 304.371 1.763 20 0 DDADMM O=S(=O)([N-][C@@H](CO)[C@H]1CCCO1)c1sccc1Cl ZINC000451476110 231106392 /nfs/dbraw/zinc/10/63/92/231106392.db2.gz DXWGROJBXXNTGX-DTWKUNHWSA-N -1 1 311.812 1.220 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2CCOC[C@H]2C)c1Br ZINC000444289201 529460443 /nfs/dbraw/zinc/46/04/43/529460443.db2.gz MUYHALDQPOSRJT-SFYZADRCSA-N -1 1 316.199 1.768 20 0 DDADMM CC(C)c1nc(S(=O)(=O)[N-]c2ccc3[nH]nnc3c2)c[nH]1 ZINC000130807142 539186923 /nfs/dbraw/zinc/18/69/23/539186923.db2.gz ODWANQGXGUYYCZ-UHFFFAOYSA-N -1 1 306.351 1.605 20 0 DDADMM CC(C)c1nc(S(=O)(=O)[N-]c2ccc3nn[nH]c3c2)c[nH]1 ZINC000130807142 539186926 /nfs/dbraw/zinc/18/69/26/539186926.db2.gz ODWANQGXGUYYCZ-UHFFFAOYSA-N -1 1 306.351 1.605 20 0 DDADMM CC[C@@H](CNS(C)(=O)=O)NC(=O)c1cc(Cl)ccc1[O-] ZINC000295693897 529492891 /nfs/dbraw/zinc/49/28/91/529492891.db2.gz UYFVMFUJNXJRAB-VIFPVBQESA-N -1 1 320.798 1.103 20 0 DDADMM COC[C@](C)(O)CCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000452339372 231350075 /nfs/dbraw/zinc/35/00/75/231350075.db2.gz FFYBEBIGCCBYOJ-CYBMUJFWSA-N -1 1 321.295 1.928 20 0 DDADMM CC(C)Oc1cncc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000155496369 539209598 /nfs/dbraw/zinc/20/95/98/539209598.db2.gz OIRDXFWOPIDHMM-LLVKDONJSA-N -1 1 316.365 1.402 20 0 DDADMM Cc1nccc(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)n1 ZINC000181065090 539236816 /nfs/dbraw/zinc/23/68/16/539236816.db2.gz RNQPLBILDNADJS-UHFFFAOYSA-N -1 1 324.337 1.548 20 0 DDADMM CN(C[C@@H]1CCCN1C)S(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000092625275 185329592 /nfs/dbraw/zinc/32/95/92/185329592.db2.gz COTODDUWVIVOSH-LBPRGKRZSA-N -1 1 312.391 1.100 20 0 DDADMM Cc1cc(C(F)(F)F)nn1CC(=O)Nc1c(C)[n-][nH]c1=O ZINC000615220149 362201052 /nfs/dbraw/zinc/20/10/52/362201052.db2.gz SPQQBDJWNGNMKP-UHFFFAOYSA-N -1 1 303.244 1.586 20 0 DDADMM CCOc1cc(C(=O)N[C@@H]2Cc3cncn3C2)cc(Cl)c1[O-] ZINC000623280281 365776861 /nfs/dbraw/zinc/77/68/61/365776861.db2.gz SOAKOADBTAELIF-SNVBAGLBSA-N -1 1 321.764 1.996 20 0 DDADMM CN1CC[C@H]([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)C1=O ZINC000451217091 529806464 /nfs/dbraw/zinc/80/64/64/529806464.db2.gz KMYIFWYGKQHZCZ-JTQLQIEISA-N -1 1 312.322 1.081 20 0 DDADMM CN1C[C@@H]2CCCN(S(=O)(=O)c3ccc(C(=O)[O-])cc3)[C@@H]2C1 ZINC000424603581 529823559 /nfs/dbraw/zinc/82/35/59/529823559.db2.gz SUISUZNOMXJFLB-GXTWGEPZSA-N -1 1 324.402 1.100 20 0 DDADMM COC[C@](C)(O)CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000615478262 362299498 /nfs/dbraw/zinc/29/94/98/362299498.db2.gz ITJNUSKIENLUGA-MRXNPFEDSA-N -1 1 304.346 1.458 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc3c(c2)CCC3)n[n-]1 ZINC000615918956 362486190 /nfs/dbraw/zinc/48/61/90/362486190.db2.gz MQAYXKYHDBUQID-UHFFFAOYSA-N -1 1 314.345 1.400 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc3c(c2)CCC3)n1 ZINC000615918956 362486197 /nfs/dbraw/zinc/48/61/97/362486197.db2.gz MQAYXKYHDBUQID-UHFFFAOYSA-N -1 1 314.345 1.400 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@]2(C)CC=CCC2)c1 ZINC000452164893 530031850 /nfs/dbraw/zinc/03/18/50/530031850.db2.gz FVCKSWGPXZMRRL-HNNXBMFYSA-N -1 1 324.402 1.985 20 0 DDADMM CON1CCC(N(C)C(=O)c2csc(=NC3CC3)[n-]2)CC1 ZINC000457317388 232036361 /nfs/dbraw/zinc/03/63/61/232036361.db2.gz ZBIYSCXKHQPPHQ-UHFFFAOYSA-N -1 1 310.423 1.237 20 0 DDADMM Cc1nnc(C(=O)N=c2[nH][n-]c(C)c2Br)s1 ZINC000616004571 362516525 /nfs/dbraw/zinc/51/65/25/362516525.db2.gz YNIDSCXHRUZNQE-UHFFFAOYSA-N -1 1 302.157 1.315 20 0 DDADMM O=C(c1c([O-])cnc2ccc(Cl)cc21)N1C[C@H](O)[C@H](CO)C1 ZINC000458040602 232193154 /nfs/dbraw/zinc/19/31/54/232193154.db2.gz RTBCZMBMYOFABE-SDBXPKJASA-N -1 1 322.748 1.019 20 0 DDADMM C[C@H](NC(=O)c1ncc2ccccc2c1[O-])[C@@H](O)C(F)(F)F ZINC000616248636 362600906 /nfs/dbraw/zinc/60/09/06/362600906.db2.gz UHYPHMNMFKAXFA-JVXZTZIISA-N -1 1 314.263 1.982 20 0 DDADMM C[C@@H]1c2ccccc2OCCN1C(=O)CCCc1nn[n-]n1 ZINC000636237935 422737324 /nfs/dbraw/zinc/73/73/24/422737324.db2.gz CPYFPVNYWMBALI-LLVKDONJSA-N -1 1 301.350 1.505 20 0 DDADMM NS(=O)(=O)Cc1cccc(-c2nc3cccnc3c(=O)[n-]2)c1 ZINC000491530357 539544428 /nfs/dbraw/zinc/54/44/28/539544428.db2.gz KQLISPJTXHSCGM-UHFFFAOYSA-N -1 1 316.342 1.186 20 0 DDADMM O=C(NC[C@@H]1CNC(=O)C1)c1ccc(Br)cc1[O-] ZINC000398286328 302357880 /nfs/dbraw/zinc/35/78/80/302357880.db2.gz CPQDJIXUSMPKGA-ZETCQYMHSA-N -1 1 313.151 1.021 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(=O)OC(C)(C)C)sc1C ZINC000331254639 232891953 /nfs/dbraw/zinc/89/19/53/232891953.db2.gz XMFFUDSLYWNLLV-UHFFFAOYSA-N -1 1 306.409 1.380 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(=O)OC ZINC000152679789 186096212 /nfs/dbraw/zinc/09/62/12/186096212.db2.gz AZDWHWOBLUXXGY-VIFPVBQESA-N -1 1 311.281 1.334 20 0 DDADMM C[S@](=O)CCC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000564906239 304025307 /nfs/dbraw/zinc/02/53/07/304025307.db2.gz OAOMOGVJUJBWEP-SFHVURJKSA-N -1 1 318.445 1.343 20 0 DDADMM COC(=O)C(C)(C)[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC000032433491 352279610 /nfs/dbraw/zinc/27/96/10/352279610.db2.gz MVMHPXXJXUYTDZ-UHFFFAOYSA-N -1 1 309.746 1.709 20 0 DDADMM COC[C@@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000032660645 352282700 /nfs/dbraw/zinc/28/27/00/352282700.db2.gz RACDMWOHAKFUHP-SECBINFHSA-N -1 1 318.395 1.121 20 0 DDADMM Cc1nnc(CNC(=O)c2cc(Br)ccc2[O-])o1 ZINC000053966088 352673311 /nfs/dbraw/zinc/67/33/11/352673311.db2.gz ITJAPOREQLASGC-UHFFFAOYSA-N -1 1 312.123 1.776 20 0 DDADMM Cc1ccc(C=CC(=O)Nc2nnn[n-]2)c(Br)c1 ZINC000491845826 234312666 /nfs/dbraw/zinc/31/26/66/234312666.db2.gz OOWPCWXRKDSKEQ-PLNGDYQASA-N -1 1 308.139 1.923 20 0 DDADMM Cc1ccc(C=CC(=O)Nc2nn[n-]n2)c(Br)c1 ZINC000491845826 234312669 /nfs/dbraw/zinc/31/26/69/234312669.db2.gz OOWPCWXRKDSKEQ-PLNGDYQASA-N -1 1 308.139 1.923 20 0 DDADMM Cc1nsc(N2CCCN(C(=O)c3cncc([O-])c3)CC2)n1 ZINC000174730979 198377203 /nfs/dbraw/zinc/37/72/03/198377203.db2.gz WWFUXKFYLCSDCB-UHFFFAOYSA-N -1 1 319.390 1.300 20 0 DDADMM CC[C@](C)(O)CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000174795666 198387189 /nfs/dbraw/zinc/38/71/89/198387189.db2.gz DWJAMRNQKXDKIE-INIZCTEOSA-N -1 1 320.393 1.262 20 0 DDADMM COCCOc1ccc(CNC(=O)c2cc(F)ccc2[O-])cn1 ZINC000080003161 353586785 /nfs/dbraw/zinc/58/67/85/353586785.db2.gz KPVPYNAHYCLQCI-UHFFFAOYSA-N -1 1 320.320 1.882 20 0 DDADMM COc1cc(C(=O)NCc2nncn2C2CC2)cc(Cl)c1[O-] ZINC000358627588 299208993 /nfs/dbraw/zinc/20/89/93/299208993.db2.gz JTFDPICSVADKOA-UHFFFAOYSA-N -1 1 322.752 1.911 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1cn(C)c2ccccc12)c1nn[n-]n1 ZINC000124450932 354038917 /nfs/dbraw/zinc/03/89/17/354038917.db2.gz UTVAPVOQJHUXTA-LLVKDONJSA-N -1 1 312.377 1.496 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(-c2n[nH]c(C3CC3)n2)cc1 ZINC000154401474 354206618 /nfs/dbraw/zinc/20/66/18/354206618.db2.gz AVYOPEJSJHFVFQ-UHFFFAOYSA-N -1 1 322.390 1.737 20 0 DDADMM CC(C)(C)NC(=O)NC(=O)CN1CCC(CCC(=O)[O-])CC1 ZINC000315057592 354490821 /nfs/dbraw/zinc/49/08/21/354490821.db2.gz NBYNEUKQQICJTB-UHFFFAOYSA-N -1 1 313.398 1.188 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000319425948 354521665 /nfs/dbraw/zinc/52/16/65/354521665.db2.gz GFEUWUUCJREZGR-CQSZACIVSA-N -1 1 312.297 1.657 20 0 DDADMM O=C(Cc1ccccc1O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000586754276 354857039 /nfs/dbraw/zinc/85/70/39/354857039.db2.gz ACLXWQAUEINCPX-HNNXBMFYSA-N -1 1 309.329 1.354 20 0 DDADMM COC[C@@H](NC(=O)c1cncc([O-])c1)c1cccc(OC)c1 ZINC000617968469 363412463 /nfs/dbraw/zinc/41/24/63/363412463.db2.gz WCDXUSCQJBOVDB-OAHLLOKOSA-N -1 1 302.330 1.913 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCCc3ccccc3)nc2n1 ZINC000588006743 354892824 /nfs/dbraw/zinc/89/28/24/354892824.db2.gz UBKWMPFGTPTFDT-UHFFFAOYSA-N -1 1 311.345 1.687 20 0 DDADMM CCOC(=O)c1ccc(CNC(=O)C(=O)c2ccc([O-])cc2)o1 ZINC000588636754 354922768 /nfs/dbraw/zinc/92/27/68/354922768.db2.gz YVUPNSJHVRKMSS-UHFFFAOYSA-N -1 1 317.297 1.661 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)c2c(C)noc2C)n1 ZINC000590355583 355076121 /nfs/dbraw/zinc/07/61/21/355076121.db2.gz WABFWAFGRKEEOF-ZETCQYMHSA-N -1 1 306.322 1.933 20 0 DDADMM C[C@@](NC(=O)c1cncc([O-])c1)(C(N)=O)c1cccc(Cl)c1 ZINC000346391371 283081519 /nfs/dbraw/zinc/08/15/19/283081519.db2.gz TUUZRPLJYXELIO-HNNXBMFYSA-N -1 1 319.748 1.571 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H](C)C1CC1 ZINC000591445263 355313128 /nfs/dbraw/zinc/31/31/28/355313128.db2.gz JDHPXEPZVHQIQI-SECBINFHSA-N -1 1 302.378 1.757 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc3n(n2)CCCC3)n1 ZINC000591302424 355285014 /nfs/dbraw/zinc/28/50/14/355285014.db2.gz ACFBDNVWGLJDDZ-UHFFFAOYSA-N -1 1 303.322 1.372 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3c(c2)CCO3)n1 ZINC000591303262 355285225 /nfs/dbraw/zinc/28/52/25/355285225.db2.gz QUGOMWXVVBPCAV-UHFFFAOYSA-N -1 1 301.302 1.774 20 0 DDADMM COC(=O)c1[nH]c(C)cc1[N-]S(=O)(=O)C[C@@H](OC)C1CC1 ZINC000592350821 355565281 /nfs/dbraw/zinc/56/52/81/355565281.db2.gz HDIIWYVMKIVIQV-LLVKDONJSA-N -1 1 316.379 1.276 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N2C[C@@H](C)O[C@H](C)C2)n1 ZINC000005155002 181211040 /nfs/dbraw/zinc/21/10/40/181211040.db2.gz AUDWLYUBXISWSX-NXEZZACHSA-N -1 1 311.407 1.060 20 0 DDADMM C[S@](=O)Cc1noc(-c2[n-]cnc2C(=O)c2ccccc2)n1 ZINC000346588394 283132469 /nfs/dbraw/zinc/13/24/69/283132469.db2.gz XRXUKMAXGRLDSV-QFIPXVFZSA-N -1 1 316.342 1.569 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn(C)c2SC)n1 ZINC000593900398 356047476 /nfs/dbraw/zinc/04/74/76/356047476.db2.gz DRPQOTGBAOHANW-UHFFFAOYSA-N -1 1 309.351 1.294 20 0 DDADMM CCOC(=O)CCN(C(=O)C(=O)c1ccc([O-])cc1)C(C)C ZINC000594409979 356201231 /nfs/dbraw/zinc/20/12/31/356201231.db2.gz XDLZYJAQZFPWGD-UHFFFAOYSA-N -1 1 307.346 1.765 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2C[C@H]2C(C)C)cc1C ZINC000595338342 356454018 /nfs/dbraw/zinc/45/40/18/356454018.db2.gz RCMOMMYRHGGXJC-VHSXEESVSA-N -1 1 301.364 1.697 20 0 DDADMM C[C@@H](CCOCc1ccccc1)NC(=O)CCc1nn[n-]n1 ZINC000633087214 422752696 /nfs/dbraw/zinc/75/26/96/422752696.db2.gz HGWRUATYDZYURL-LBPRGKRZSA-N -1 1 303.366 1.244 20 0 DDADMM O=C(NCCOC[C@H]1CCCO1)c1c(F)ccc([O-])c1F ZINC000565331170 304062788 /nfs/dbraw/zinc/06/27/88/304062788.db2.gz FPWITQHMVVBHJF-SECBINFHSA-N -1 1 301.289 1.596 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(C3CC3)cnn2C)n1 ZINC000598845099 357742673 /nfs/dbraw/zinc/74/26/73/357742673.db2.gz GBAWNAZGAORWAC-UHFFFAOYSA-N -1 1 303.322 1.450 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2oc(C)nc2C)o1 ZINC000599316128 357890315 /nfs/dbraw/zinc/89/03/15/357890315.db2.gz CYFZYMPRJOIORG-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]CCC2CC2)c1F ZINC000599252002 357863462 /nfs/dbraw/zinc/86/34/62/357863462.db2.gz YICQHGDWPZUKKT-UHFFFAOYSA-N -1 1 319.329 1.830 20 0 DDADMM Cc1ccc(-c2nc(C)c(C(=O)NCc3nn[n-]n3)s2)o1 ZINC000599334641 357898841 /nfs/dbraw/zinc/89/88/41/357898841.db2.gz OFQUNEVNKHJHRI-UHFFFAOYSA-N -1 1 304.335 1.463 20 0 DDADMM COc1cc(C(=O)NCc2nn[n-]n2)ccc1OC1CCCC1 ZINC000599334891 357898972 /nfs/dbraw/zinc/89/89/72/357898972.db2.gz UXTRUIYPUWQKOC-UHFFFAOYSA-N -1 1 317.349 1.460 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@]2(C)C[C@H]3C[C@H]3C2)o1 ZINC000599482441 357952525 /nfs/dbraw/zinc/95/25/25/357952525.db2.gz USPFGBXZYLTXJE-WDAIWFPHSA-N -1 1 313.375 1.781 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)Cc1cccnc1 ZINC000358893589 299271990 /nfs/dbraw/zinc/27/19/90/299271990.db2.gz HPPSSBMPNZQXMF-UHFFFAOYSA-N -1 1 323.349 1.773 20 0 DDADMM O=C(C[C@H]1CCCC(=O)N1)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000348019467 283338373 /nfs/dbraw/zinc/33/83/73/283338373.db2.gz YNOQGOXPQRPJRD-SECBINFHSA-N -1 1 304.375 1.557 20 0 DDADMM CC(C)(C)[C@@H](CO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000180371359 199151208 /nfs/dbraw/zinc/15/12/08/199151208.db2.gz QUVSAGZLCYANPL-LLVKDONJSA-N -1 1 311.325 1.789 20 0 DDADMM O=C(CCc1ccc(OC(F)(F)F)cc1)NCc1nn[n-]n1 ZINC000600496839 358241010 /nfs/dbraw/zinc/24/10/10/358241010.db2.gz WQDLTWPYBFMYEH-UHFFFAOYSA-N -1 1 315.255 1.347 20 0 DDADMM CC(C)SCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000601414729 358542176 /nfs/dbraw/zinc/54/21/76/358542176.db2.gz GJKSLEMNFMKTTA-UHFFFAOYSA-N -1 1 317.358 1.848 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)CC1(OC)CCCC1 ZINC000601439923 358554369 /nfs/dbraw/zinc/55/43/69/358554369.db2.gz OKBGZGPTVWPBRR-GFCCVEGCSA-N -1 1 319.423 1.207 20 0 DDADMM N=c1[n-]nc([C@H]2CCCN(CC[S@@](=O)c3ccccc3)C2)o1 ZINC000602893438 359277361 /nfs/dbraw/zinc/27/73/61/359277361.db2.gz ALRIVSYPMKKAIB-AMXDTQDGSA-N -1 1 320.418 1.469 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2CCCSC2)n[n-]1 ZINC000603158461 359445496 /nfs/dbraw/zinc/44/54/96/359445496.db2.gz ZCZGGSNFORQUCO-BDAKNGLRSA-N -1 1 312.395 1.302 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2CCCSC2)[n-]1 ZINC000603158461 359445502 /nfs/dbraw/zinc/44/55/02/359445502.db2.gz ZCZGGSNFORQUCO-BDAKNGLRSA-N -1 1 312.395 1.302 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2CCCSC2)n1 ZINC000603158461 359445508 /nfs/dbraw/zinc/44/55/08/359445508.db2.gz ZCZGGSNFORQUCO-BDAKNGLRSA-N -1 1 312.395 1.302 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2ccccc2C)n[n-]1 ZINC000603167374 359451276 /nfs/dbraw/zinc/45/12/76/359451276.db2.gz WUTKWMRJVZNYFK-NSHDSACASA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2ccccc2C)[n-]1 ZINC000603167374 359451278 /nfs/dbraw/zinc/45/12/78/359451278.db2.gz WUTKWMRJVZNYFK-NSHDSACASA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2ccccc2C)n1 ZINC000603167374 359451279 /nfs/dbraw/zinc/45/12/79/359451279.db2.gz WUTKWMRJVZNYFK-NSHDSACASA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cscc2C)n[n-]1 ZINC000603152237 359438866 /nfs/dbraw/zinc/43/88/66/359438866.db2.gz BRFVWEIVWIAMOV-QMMMGPOBSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cscc2C)[n-]1 ZINC000603152237 359438868 /nfs/dbraw/zinc/43/88/68/359438868.db2.gz BRFVWEIVWIAMOV-QMMMGPOBSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cscc2C)n1 ZINC000603152237 359438873 /nfs/dbraw/zinc/43/88/73/359438873.db2.gz BRFVWEIVWIAMOV-QMMMGPOBSA-N -1 1 308.363 1.842 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cc(C)[nH]c2C(=O)OC)CC1 ZINC000603418139 359628754 /nfs/dbraw/zinc/62/87/54/359628754.db2.gz INPMMFDRRPCZOV-UHFFFAOYSA-N -1 1 302.352 1.030 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc3c(c2)OCCO3)ccn1 ZINC000358977975 299297212 /nfs/dbraw/zinc/29/72/12/299297212.db2.gz YDOBLGCYRZUXIB-UHFFFAOYSA-N -1 1 322.342 1.662 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)NC2(c3nn[n-]n3)CCCC2)c1C ZINC000605381581 359847718 /nfs/dbraw/zinc/84/77/18/359847718.db2.gz BPJUXVVSEHOCAA-UHFFFAOYSA-N -1 1 316.365 1.547 20 0 DDADMM C[C@@H](Cc1ccc(C(F)(F)F)cc1)C(=O)NCc1nn[n-]n1 ZINC000606370034 359909186 /nfs/dbraw/zinc/90/91/86/359909186.db2.gz AQYHFCVAHJUGIL-QMMMGPOBSA-N -1 1 313.283 1.714 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H]1CCO)c1cc(F)ccc1F ZINC000188899954 200318746 /nfs/dbraw/zinc/31/87/46/200318746.db2.gz AYJJYAPXYKSGAB-SKDRFNHKSA-N -1 1 305.346 1.794 20 0 DDADMM CCNC(=O)c1ccc(=NCCCCC(=O)OC(C)(C)C)[n-]n1 ZINC000611338559 360701536 /nfs/dbraw/zinc/70/15/36/360701536.db2.gz LKEOJWFDAJDBTJ-UHFFFAOYSA-N -1 1 322.409 1.572 20 0 DDADMM CS[C@@H](C)CCC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000611362045 360705804 /nfs/dbraw/zinc/70/58/04/360705804.db2.gz XVBNUTPNQMANPH-GXFFZTMASA-N -1 1 305.407 1.937 20 0 DDADMM CN(C(=O)c1ccc(C(F)(F)F)cc1[O-])[C@H]1CCN(C)C1=O ZINC000271966755 209346952 /nfs/dbraw/zinc/34/69/52/209346952.db2.gz GWQRTVGKZQSDBP-JTQLQIEISA-N -1 1 316.279 1.714 20 0 DDADMM Cc1ccn2cnc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)c2c1 ZINC000612818373 361155499 /nfs/dbraw/zinc/15/54/99/361155499.db2.gz UWCFNZMWZIFSAX-NSHDSACASA-N -1 1 311.349 1.176 20 0 DDADMM CN(C(=O)c1ncc2ccccc2c1[O-])C1(C(N)=O)CCCC1 ZINC000613012895 361240214 /nfs/dbraw/zinc/24/02/14/361240214.db2.gz PTQQULBAKMLZMC-UHFFFAOYSA-N -1 1 313.357 1.811 20 0 DDADMM CC(=O)CSCC(=O)Nc1nc(Br)ccc1[O-] ZINC000194572568 201254281 /nfs/dbraw/zinc/25/42/81/201254281.db2.gz VEDSVVXPKBFCKC-UHFFFAOYSA-N -1 1 319.180 1.810 20 0 DDADMM CCC[C@H](NC(=O)C(F)(F)c1ncccc1C)c1nn[n-]n1 ZINC000194730942 201278817 /nfs/dbraw/zinc/27/88/17/201278817.db2.gz MBRMJTRLDGNSAR-VIFPVBQESA-N -1 1 310.308 1.653 20 0 DDADMM COC(=O)[C@H]([N-]C(=O)C(F)F)c1ccc(OC)c(Cl)c1 ZINC000194872708 201303626 /nfs/dbraw/zinc/30/36/26/201303626.db2.gz SXOUGLHXVPTHLN-SECBINFHSA-N -1 1 307.680 1.944 20 0 DDADMM Cc1noc(C)c1CN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000195150930 201348271 /nfs/dbraw/zinc/34/82/71/201348271.db2.gz LJNITKXPQNVKSH-UHFFFAOYSA-N -1 1 316.361 1.350 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H](CCc1ccccc1)C(F)F ZINC000636269303 422765190 /nfs/dbraw/zinc/76/51/90/422765190.db2.gz BQVJXFLQIQJREZ-LBPRGKRZSA-N -1 1 323.347 1.905 20 0 DDADMM O=C(N[C@@H]1C[C@@H](N2CCOCC2)C12CCC2)c1ncccc1[O-] ZINC000619969934 364219549 /nfs/dbraw/zinc/21/95/49/364219549.db2.gz ZJIVDMVLAYAQLP-ZIAGYGMSSA-N -1 1 317.389 1.160 20 0 DDADMM CC(C)(CNC(=O)c1ccc([O-])cc1F)[C@@]1(O)CCCOC1 ZINC000620117524 364295747 /nfs/dbraw/zinc/29/57/47/364295747.db2.gz UBYZRZZGHQKHNX-MRXNPFEDSA-N -1 1 311.353 1.829 20 0 DDADMM CC(C)(C)c1nnc2n1CCN(C(=O)c1cncc([O-])c1)C2 ZINC000620815559 364566024 /nfs/dbraw/zinc/56/60/24/364566024.db2.gz YCPKTYCFMMGEOH-UHFFFAOYSA-N -1 1 301.350 1.332 20 0 DDADMM N=c1nc(N2CCN(Cc3cncc(Cl)c3)CC2)s[n-]1 ZINC000621268410 364763347 /nfs/dbraw/zinc/76/33/47/364763347.db2.gz IVTJRDIPAHJMTB-UHFFFAOYSA-N -1 1 310.814 1.321 20 0 DDADMM Cc1ccccc1COCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000621928740 365120127 /nfs/dbraw/zinc/12/01/27/365120127.db2.gz OBFNZRMIVHTEIO-CYBMUJFWSA-N -1 1 315.377 1.431 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cc(Cl)ccn1 ZINC000622612527 365452654 /nfs/dbraw/zinc/45/26/54/365452654.db2.gz ZAKFASQSXQBIPY-LBPRGKRZSA-N -1 1 314.736 1.768 20 0 DDADMM O=S(=O)([N-][C@H]1CO[C@H](C2CC2)C1)c1cccc(F)c1F ZINC000625581557 367030415 /nfs/dbraw/zinc/03/04/15/367030415.db2.gz NPQMHGFIFCLVRL-KOLCDFICSA-N -1 1 303.330 1.811 20 0 DDADMM COc1ccccc1[C@H](CNC(=O)[C@H](C)C(=O)[O-])N1CCCC1 ZINC000566212388 304129846 /nfs/dbraw/zinc/12/98/46/304129846.db2.gz VOAOVZPEIDVQRC-JSGCOSHPSA-N -1 1 320.389 1.669 20 0 DDADMM Cc1ccc(CO[C@H](C)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000626443651 367548529 /nfs/dbraw/zinc/54/85/29/367548529.db2.gz PCXFKCXRJXZCBJ-CHWSQXEVSA-N -1 1 317.393 1.675 20 0 DDADMM C[C@H]1CN(C(=O)c2nn(-c3ccccc3F)cc2[O-])C[C@H](C)N1 ZINC000566246907 304133183 /nfs/dbraw/zinc/13/31/83/304133183.db2.gz RAAXTAADKVZZHJ-QWRGUYRKSA-N -1 1 318.352 1.539 20 0 DDADMM C[C@@H]1CN(C(=O)NCc2n[nH]c(C(C)(C)C)n2)CC[C@@H]1C(=O)[O-] ZINC000626764643 367732584 /nfs/dbraw/zinc/73/25/84/367732584.db2.gz KNQNIYHGRFTTIF-ZJUUUORDSA-N -1 1 323.397 1.354 20 0 DDADMM COc1cc(NCCN=c2[nH]c(C(=O)[O-])co2)cc(OC)c1 ZINC000566293637 304140154 /nfs/dbraw/zinc/14/01/54/304140154.db2.gz YZDDBHDHSGZNML-UHFFFAOYSA-N -1 1 307.306 1.336 20 0 DDADMM CN(CCCOc1ccc(Cl)cc1)CC(=O)NCC(=O)[O-] ZINC000262203304 203228874 /nfs/dbraw/zinc/22/88/74/203228874.db2.gz IQTHWCCCMFIQBG-UHFFFAOYSA-N -1 1 314.769 1.242 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cc(CO)ccc2C)cn1 ZINC000350934210 284284204 /nfs/dbraw/zinc/28/42/04/284284204.db2.gz COQVDDPLTXTXBL-UHFFFAOYSA-N -1 1 308.359 1.692 20 0 DDADMM COC[C@@H](O)CNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000282696976 217184139 /nfs/dbraw/zinc/18/41/39/217184139.db2.gz SIYVUHCLXRCNCV-VIFPVBQESA-N -1 1 310.737 1.331 20 0 DDADMM COCC[C@@H](CO)NC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000282729870 217208690 /nfs/dbraw/zinc/20/86/90/217208690.db2.gz DLAUSRQYDVBPTJ-JTQLQIEISA-N -1 1 324.764 1.721 20 0 DDADMM C[C@@]1(C(=O)Nc2nnn[n-]2)CCCN(C(=O)c2ccccc2)C1 ZINC000266265348 205224667 /nfs/dbraw/zinc/22/46/67/205224667.db2.gz XATNMTPQAZEMPH-OAHLLOKOSA-N -1 1 314.349 1.081 20 0 DDADMM C[C@@]1(C(=O)Nc2nn[n-]n2)CCCN(C(=O)c2ccccc2)C1 ZINC000266265348 205224670 /nfs/dbraw/zinc/22/46/70/205224670.db2.gz XATNMTPQAZEMPH-OAHLLOKOSA-N -1 1 314.349 1.081 20 0 DDADMM CC(C)c1ccc(C(=O)Nc2ccn(CCC(N)=O)n2)c([O-])c1 ZINC000266490088 205384642 /nfs/dbraw/zinc/38/46/42/205384642.db2.gz XAHBRVLWLNCGTL-UHFFFAOYSA-N -1 1 316.361 1.840 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CSc2ccccc21 ZINC000566765787 304180076 /nfs/dbraw/zinc/18/00/76/304180076.db2.gz JOXOTMFJKISTHB-VIFPVBQESA-N -1 1 311.388 1.521 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CSc2ccccc21 ZINC000566765787 304180079 /nfs/dbraw/zinc/18/00/79/304180079.db2.gz JOXOTMFJKISTHB-VIFPVBQESA-N -1 1 311.388 1.521 20 0 DDADMM CCCn1c(SCc2nn[n-]n2)nnc1-c1ccncc1 ZINC000267465155 206087742 /nfs/dbraw/zinc/08/77/42/206087742.db2.gz ZVQCYDYWDWJMBM-UHFFFAOYSA-N -1 1 302.367 1.556 20 0 DDADMM Cc1nc(-c2ccc(NCC[C@@H](O)C(F)F)nc2)[n-]c(=O)c1C ZINC000631463045 422778897 /nfs/dbraw/zinc/77/88/97/422778897.db2.gz XOBNZSBLVOHQMM-LLVKDONJSA-N -1 1 324.331 1.711 20 0 DDADMM CCOc1ccccc1NC(=O)[C@@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000267896855 206324761 /nfs/dbraw/zinc/32/47/61/206324761.db2.gz CFKWZXOTRIMEQJ-SECBINFHSA-N -1 1 324.362 1.004 20 0 DDADMM CCOc1ccccc1NC(=O)[C@@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000267896855 206324767 /nfs/dbraw/zinc/32/47/67/206324767.db2.gz CFKWZXOTRIMEQJ-SECBINFHSA-N -1 1 324.362 1.004 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](c2nncn2C2CC2)C1 ZINC000267913412 206335902 /nfs/dbraw/zinc/33/59/02/206335902.db2.gz AYDNIHCDZNSZLY-LLVKDONJSA-N -1 1 313.361 1.733 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1cc2ccccc2s1 ZINC000174575294 248370371 /nfs/dbraw/zinc/37/03/71/248370371.db2.gz RJRFISKUZLUVMF-UHFFFAOYSA-N -1 1 302.359 1.675 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCN(c2ccccc2)C1)c1nn[n-]n1 ZINC000579554427 422788444 /nfs/dbraw/zinc/78/84/44/422788444.db2.gz SHQFXJUFXMDUHP-GXTWGEPZSA-N -1 1 314.393 1.684 20 0 DDADMM O=C([O-])Cn1cc(CN2CCC[C@H]2CCc2ccccc2)nn1 ZINC000567241640 304206454 /nfs/dbraw/zinc/20/64/54/304206454.db2.gz CJUZYJLUGPBLCV-INIZCTEOSA-N -1 1 314.389 1.960 20 0 DDADMM CC(C)C[C@@H](CNC(=O)N1CCN(CC2CC2)CC1)C(=O)[O-] ZINC000336854578 249260483 /nfs/dbraw/zinc/26/04/83/249260483.db2.gz PNWDMATVBIBRLI-AWEZNQCLSA-N -1 1 311.426 1.471 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(F)c(SC)c1 ZINC000337130160 249359117 /nfs/dbraw/zinc/35/91/17/249359117.db2.gz AZFMRIUYQFOFID-UHFFFAOYSA-N -1 1 322.365 1.613 20 0 DDADMM CCSCC[C@@H](C)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000338231240 249850901 /nfs/dbraw/zinc/85/09/01/249850901.db2.gz XBXXENVKHJPEEZ-SECBINFHSA-N -1 1 321.420 1.876 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1[C@H](C)C[C@@H]2CCCC[C@@H]21 ZINC000352052235 284740305 /nfs/dbraw/zinc/74/03/05/284740305.db2.gz JDRNBVZIDDCAFU-UTLUCORTSA-N -1 1 307.350 1.690 20 0 DDADMM C[C@H]1CCNC(=O)[C@@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284791522 218179205 /nfs/dbraw/zinc/17/92/05/218179205.db2.gz XXEADMIWBFQQJO-WRWORJQWSA-N -1 1 320.773 1.282 20 0 DDADMM Cc1nc2cc([N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C)ccc2o1 ZINC000284854189 218208333 /nfs/dbraw/zinc/20/83/33/218208333.db2.gz HTOXWABTWVYADQ-QMMMGPOBSA-N -1 1 311.363 1.355 20 0 DDADMM NC(=O)C1(C[N-]S(=O)(=O)c2sccc2F)CCCC1 ZINC000338903258 250190128 /nfs/dbraw/zinc/19/01/28/250190128.db2.gz KFNISZARIZKQDB-UHFFFAOYSA-N -1 1 306.384 1.211 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1sccc1F)Nc1ccccc1 ZINC000338886704 250181742 /nfs/dbraw/zinc/18/17/42/250181742.db2.gz HVRYZCLFDBOQGK-UHFFFAOYSA-N -1 1 314.363 1.804 20 0 DDADMM CCNC(=O)[C@H]([N-]S(=O)(=O)c1sccc1F)C(C)C ZINC000339000796 250241485 /nfs/dbraw/zinc/24/14/85/250241485.db2.gz NKXDYYKWHXETPG-SECBINFHSA-N -1 1 308.400 1.326 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)Cn3cccn3)cnc2n1 ZINC000339055130 250273248 /nfs/dbraw/zinc/27/32/48/250273248.db2.gz XDTZGJHNOBDIBR-LLVKDONJSA-N -1 1 311.345 1.659 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H](C)Cn3cccn3)c[n-]c2n1 ZINC000339055130 250273251 /nfs/dbraw/zinc/27/32/51/250273251.db2.gz XDTZGJHNOBDIBR-LLVKDONJSA-N -1 1 311.345 1.659 20 0 DDADMM COc1ncccc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339187594 250334258 /nfs/dbraw/zinc/33/42/58/250334258.db2.gz YHTVGWBHRZEIAX-UHFFFAOYSA-N -1 1 304.306 1.199 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)NCCc1nnc(-c2ccc(Cl)cc2)[nH]1 ZINC000567470603 304221580 /nfs/dbraw/zinc/22/15/80/304221580.db2.gz HTDBAKHRAHLVPU-MRVPVSSYSA-N -1 1 322.752 1.505 20 0 DDADMM CCN(C[C@H](O)C(F)(F)F)C(=O)C(=O)c1ccc([O-])cc1 ZINC000288595784 220271417 /nfs/dbraw/zinc/27/14/17/220271417.db2.gz PRVWLSGCMCSFBM-JTQLQIEISA-N -1 1 305.252 1.347 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1cccc(Cl)c1 ZINC000352135630 284813825 /nfs/dbraw/zinc/81/38/25/284813825.db2.gz QJMWMFMWNBDWDJ-UHFFFAOYSA-N -1 1 323.736 1.822 20 0 DDADMM COc1ccc(C[C@@H]2CCCN(Cc3n[nH]c(=O)[n-]3)C2)cc1 ZINC000340907593 251269420 /nfs/dbraw/zinc/26/94/20/251269420.db2.gz PFNDHZDWIOXPII-ZDUSSCGKSA-N -1 1 302.378 1.974 20 0 DDADMM COCCOC[C@H](C)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631501959 422800960 /nfs/dbraw/zinc/80/09/60/422800960.db2.gz ICUYKXSBRVVXBK-JTQLQIEISA-N -1 1 301.770 1.756 20 0 DDADMM C[C@H](c1ccccc1F)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352421468 285039293 /nfs/dbraw/zinc/03/92/93/285039293.db2.gz CRSQAUFVUKUKMN-SECBINFHSA-N -1 1 315.308 1.390 20 0 DDADMM Cc1c(Cl)cccc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352447404 285057164 /nfs/dbraw/zinc/05/71/64/285057164.db2.gz LMZKGTGIORFQBO-UHFFFAOYSA-N -1 1 303.709 1.632 20 0 DDADMM COc1ccc([C@@H](NCc2c(C)cnn2C)C(=O)[O-])cc1F ZINC000417578849 533133781 /nfs/dbraw/zinc/13/37/81/533133781.db2.gz ZZWXCXIFYYUAKV-CQSZACIVSA-N -1 1 307.325 1.792 20 0 DDADMM CNC(=O)c1ccc(=NCC2([C@@H]3CCCCO3)CCC2)[n-]n1 ZINC000631510213 422807263 /nfs/dbraw/zinc/80/72/63/422807263.db2.gz DLQVRXIYOMMFBG-ZDUSSCGKSA-N -1 1 304.394 1.409 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(-n2ccnn2)c1)c1nn[n-]n1 ZINC000155477160 197058157 /nfs/dbraw/zinc/05/81/57/197058157.db2.gz JNZPJTRAEFLEEG-GFCCVEGCSA-N -1 1 312.337 1.052 20 0 DDADMM COCCC1(CNS(=O)(=O)c2c(C)o[n-]c2=N)CCCC1 ZINC000330879744 533210632 /nfs/dbraw/zinc/21/06/32/533210632.db2.gz HTPJMEKKTBEFCD-UHFFFAOYSA-N -1 1 317.411 1.271 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)c2c(C)onc2N)CCCC1 ZINC000330879744 533210633 /nfs/dbraw/zinc/21/06/33/533210633.db2.gz HTPJMEKKTBEFCD-UHFFFAOYSA-N -1 1 317.411 1.271 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C[C@@H]2C[C@H]2c2ccccc2)n1 ZINC000352798522 285308179 /nfs/dbraw/zinc/30/81/79/285308179.db2.gz UNKCAFCVDRZXPR-QWRGUYRKSA-N -1 1 320.374 1.341 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C[C@@H]2C[C@H]2c2ccccc2)[n-]1 ZINC000352798522 285308183 /nfs/dbraw/zinc/30/81/83/285308183.db2.gz UNKCAFCVDRZXPR-QWRGUYRKSA-N -1 1 320.374 1.341 20 0 DDADMM CCC[C@@H](NC(=O)CSc1ccccc1OC)c1nn[n-]n1 ZINC000120930881 195266281 /nfs/dbraw/zinc/26/62/81/195266281.db2.gz KZPFJAGQXVYUHH-SNVBAGLBSA-N -1 1 321.406 1.958 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C(C)C)C(C)(C)O)sc1C ZINC000360138239 299603612 /nfs/dbraw/zinc/60/36/12/299603612.db2.gz BLEFMPVQLQESCE-JTQLQIEISA-N -1 1 306.453 1.834 20 0 DDADMM COc1cc(C)c(NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1C ZINC000444618422 533595694 /nfs/dbraw/zinc/59/56/94/533595694.db2.gz SXSPEANBVQKXMB-UHFFFAOYSA-N -1 1 313.317 1.295 20 0 DDADMM COc1cc(C(=O)NCCc2cn(C)cn2)cc(Cl)c1[O-] ZINC000457871182 533535725 /nfs/dbraw/zinc/53/57/25/533535725.db2.gz BOTDVLGTQAIZAI-UHFFFAOYSA-N -1 1 309.753 1.760 20 0 DDADMM COC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2c(F)cc(C)cc2F)C1 ZINC000425184477 533538691 /nfs/dbraw/zinc/53/86/91/533538691.db2.gz IYWHPMWKUGTCFN-DTORHVGOSA-N -1 1 319.329 1.503 20 0 DDADMM C[C@H]1CCc2onc(C(=O)N=c3nc(C(F)(F)F)[n-][nH]3)c2C1 ZINC000568349156 304286718 /nfs/dbraw/zinc/28/67/18/304286718.db2.gz BIJRGARITLROBT-YFKPBYRVSA-N -1 1 315.255 1.611 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2ccc(C(=O)[O-])cc2)C[C@@H](C)O1 ZINC000568984482 304326947 /nfs/dbraw/zinc/32/69/47/304326947.db2.gz LGGUIMNJJVJBGI-CHWSQXEVSA-N -1 1 320.389 1.614 20 0 DDADMM CCc1ccc(CC)c(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000353723506 285890538 /nfs/dbraw/zinc/89/05/38/285890538.db2.gz WLCAAWIKFRWCBF-UHFFFAOYSA-N -1 1 311.345 1.795 20 0 DDADMM COCCO[N-]C(=O)[C@@]1(C)CCCN(C(=O)OC(C)(C)C)C1 ZINC000497089715 307381106 /nfs/dbraw/zinc/38/11/06/307381106.db2.gz BRGOJNRHMJSHQA-HNNXBMFYSA-N -1 1 316.398 1.718 20 0 DDADMM CCn1c(=S)[n-]nc1C1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000068452246 406642236 /nfs/dbraw/zinc/64/22/36/406642236.db2.gz BYUWAPVOTQWEEQ-NSHDSACASA-N -1 1 310.423 1.846 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc3c(c2)OCCO3)cn1 ZINC000007056539 406746502 /nfs/dbraw/zinc/74/65/02/406746502.db2.gz MWJWKNABEFPRAJ-UHFFFAOYSA-N -1 1 322.342 1.662 20 0 DDADMM CCC[C@@](C)([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC ZINC000035248801 406970113 /nfs/dbraw/zinc/97/01/13/406970113.db2.gz DTYGUSMFPBIGHC-CYBMUJFWSA-N -1 1 321.345 1.975 20 0 DDADMM COc1ncccc1C[N-]S(=O)(=O)c1c(F)cccc1F ZINC000028041545 406915207 /nfs/dbraw/zinc/91/52/07/406915207.db2.gz BECWWBYZFWOEAS-UHFFFAOYSA-N -1 1 314.313 1.847 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CC)C(C)C ZINC000049130974 407115972 /nfs/dbraw/zinc/11/59/72/407115972.db2.gz JTNJIVTZTKMBNY-SNVBAGLBSA-N -1 1 303.384 1.299 20 0 DDADMM CCOCCN(C)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000089221413 407126883 /nfs/dbraw/zinc/12/68/83/407126883.db2.gz CWPOFTZASMNBAL-UHFFFAOYSA-N -1 1 313.423 1.228 20 0 DDADMM C[C@H](CN1CCN(C)CC1)NC(=O)c1c([O-])cc(F)cc1F ZINC000106921959 407367371 /nfs/dbraw/zinc/36/73/71/407367371.db2.gz ZEVLZKJCVQTNQN-SNVBAGLBSA-N -1 1 313.348 1.036 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)c2nccs2)o1 ZINC000107700760 407378704 /nfs/dbraw/zinc/37/87/04/407378704.db2.gz ZIDZPPSDNSAQEB-ZETCQYMHSA-N -1 1 316.360 1.562 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2C[C@H]3CC[C@@H]2C3)o1 ZINC000170630177 407459308 /nfs/dbraw/zinc/45/93/08/407459308.db2.gz LYQCJUWHGMAPNF-AXFHLTTASA-N -1 1 312.391 1.354 20 0 DDADMM COCC[C@](C)(O)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000178735494 407572211 /nfs/dbraw/zinc/57/22/11/407572211.db2.gz KJYFTZZCHBNJFU-LBPRGKRZSA-N -1 1 309.334 1.031 20 0 DDADMM O=C(c1cc(-c2ccccc2)no1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129294279 407601097 /nfs/dbraw/zinc/60/10/97/407601097.db2.gz HIBQWIGNOFXXJD-LBPRGKRZSA-N -1 1 324.344 1.875 20 0 DDADMM CC(C)(O)C(C)(C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000271284815 407614859 /nfs/dbraw/zinc/61/48/59/407614859.db2.gz AUFDFBYEZBJTJS-UHFFFAOYSA-N -1 1 311.325 1.932 20 0 DDADMM Cc1cc(C(=O)Nc2nn[n-]n2)nn1-c1ccc(F)cc1F ZINC000152448584 407637409 /nfs/dbraw/zinc/63/74/09/407637409.db2.gz WQMDYLUFQCSLLE-UHFFFAOYSA-N -1 1 305.248 1.224 20 0 DDADMM CC[C@H]1CCC[C@@H](NC(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000153076147 407747993 /nfs/dbraw/zinc/74/79/93/407747993.db2.gz WQNPYPRCKGJGGH-CMPLNLGQSA-N -1 1 314.407 1.886 20 0 DDADMM O=c1cc(CN2CCSC3(CCOCC3)C2)nc2cc[n-]n21 ZINC000186833825 407782509 /nfs/dbraw/zinc/78/25/09/407782509.db2.gz UWJWGHUKKZAPQI-UHFFFAOYSA-N -1 1 320.418 1.121 20 0 DDADMM CC[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1 ZINC000117264752 407818771 /nfs/dbraw/zinc/81/87/71/407818771.db2.gz UIHSLAOSCREIMX-NSHDSACASA-N -1 1 314.407 1.840 20 0 DDADMM CC[C@](C)(O)C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272223884 407822096 /nfs/dbraw/zinc/82/20/96/407822096.db2.gz NZLSGQRNFBAMFH-JTQLQIEISA-N -1 1 313.206 1.828 20 0 DDADMM CCC[C@@H](O)C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272220678 407823587 /nfs/dbraw/zinc/82/35/87/407823587.db2.gz NJSMYHRVBZRTEN-SSDOTTSWSA-N -1 1 313.206 1.828 20 0 DDADMM COc1cc(C(=O)NCCC(=O)NC(C)C)cc(Cl)c1[O-] ZINC000153593234 407857783 /nfs/dbraw/zinc/85/77/83/407857783.db2.gz YKCTURQRBWFPSO-UHFFFAOYSA-N -1 1 314.769 1.699 20 0 DDADMM CC[C@@H](OC1CCCCC1)C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000133665124 407865175 /nfs/dbraw/zinc/86/51/75/407865175.db2.gz RGRPTGXIDXBLHE-GFCCVEGCSA-N -1 1 310.398 1.275 20 0 DDADMM COc1cc(C(=O)N[C@H](C)Cn2cncn2)cc(Cl)c1[O-] ZINC000153675280 407874121 /nfs/dbraw/zinc/87/41/21/407874121.db2.gz MFAGYTKRSCKHEA-MRVPVSSYSA-N -1 1 310.741 1.464 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C)nc2n[nH]c(C)c21)c1nn[n-]n1 ZINC000268241609 407965761 /nfs/dbraw/zinc/96/57/61/407965761.db2.gz UZONDKDAICCARE-SNVBAGLBSA-N -1 1 314.353 1.359 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CS[C@@H]2CCCOC2)cc1 ZINC000272432856 407916435 /nfs/dbraw/zinc/91/64/35/407916435.db2.gz JWKBFFCEMATREH-CYBMUJFWSA-N -1 1 309.387 1.864 20 0 DDADMM O=C(CSc1nc(C2CC2)cc(=O)[n-]1)NCc1cccnc1 ZINC000188145687 407918984 /nfs/dbraw/zinc/91/89/84/407918984.db2.gz ABGAXROUKFESRZ-UHFFFAOYSA-N -1 1 316.386 1.863 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCCC2(C)C)c1 ZINC000153902463 407928861 /nfs/dbraw/zinc/92/88/61/407928861.db2.gz AFDYQAIFTHOZCO-JTQLQIEISA-N -1 1 301.364 1.923 20 0 DDADMM CSc1ncc(C(=O)Nc2nn[n-]n2)n1-c1ccc(F)cc1 ZINC000118685632 407935175 /nfs/dbraw/zinc/93/51/75/407935175.db2.gz KCUDNYWCPCORDH-UHFFFAOYSA-N -1 1 319.325 1.499 20 0 DDADMM CCOC(=O)c1cc(NC(=O)Cc2ccc(OC)c(F)c2)n[nH]1 ZINC000181752790 408035618 /nfs/dbraw/zinc/03/56/18/408035618.db2.gz XROTUDWOXHAVOD-UHFFFAOYSA-N -1 1 321.308 1.915 20 0 DDADMM CC1(C)CN=C(N2CCN(C(=O)c3ncccc3[O-])CC2)S1 ZINC000268430401 408040674 /nfs/dbraw/zinc/04/06/74/408040674.db2.gz NALHLGAREOUETL-UHFFFAOYSA-N -1 1 320.418 1.426 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1CCC[C@H]3OCC[C@@H]31)c2=O ZINC000119352562 408048523 /nfs/dbraw/zinc/04/85/23/408048523.db2.gz UQPMWSOICKIEMB-OASPWFOLSA-N -1 1 316.361 1.361 20 0 DDADMM CCSCC[C@@H](C)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000135345141 408006746 /nfs/dbraw/zinc/00/67/46/408006746.db2.gz LUWSOXXQWUOHQZ-MRVPVSSYSA-N -1 1 311.860 1.884 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)COc1ccccc1)c1nn[n-]n1 ZINC000154596660 408057537 /nfs/dbraw/zinc/05/75/37/408057537.db2.gz CVMHZZFEWMXLAU-WCQYABFASA-N -1 1 303.366 1.872 20 0 DDADMM COc1c(C)cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1C ZINC000120315248 408125265 /nfs/dbraw/zinc/12/52/65/408125265.db2.gz KGWYCVZKEVWQLD-LBPRGKRZSA-N -1 1 315.377 1.845 20 0 DDADMM COc1ccc(NC(=O)N(C)C(C)C)cc1[N-]S(C)(=O)=O ZINC000268508368 408076479 /nfs/dbraw/zinc/07/64/79/408076479.db2.gz VBPBLOYCOSBCTH-UHFFFAOYSA-N -1 1 315.395 1.939 20 0 DDADMM CCC[C@@H](NC(=O)c1occc1Br)c1nn[n-]n1 ZINC000136664269 408114731 /nfs/dbraw/zinc/11/47/31/408114731.db2.gz SETZHPJZMFMRRQ-SSDOTTSWSA-N -1 1 314.143 1.826 20 0 DDADMM CO[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC[C@H]1C ZINC000190414802 408239057 /nfs/dbraw/zinc/23/90/57/408239057.db2.gz LQAORXHWCQCBJS-MFKMUULPSA-N -1 1 301.346 1.420 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc2cc(F)ccc2[nH]1)c1nn[n-]n1 ZINC000273445134 408202223 /nfs/dbraw/zinc/20/22/23/408202223.db2.gz BOILPJSOYFLKEZ-QMMMGPOBSA-N -1 1 302.313 1.696 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc2ccccc2oc1=O)c1nn[n-]n1 ZINC000273523740 408232157 /nfs/dbraw/zinc/23/21/57/408232157.db2.gz PWGMSAVBIWSFHY-VIFPVBQESA-N -1 1 313.317 1.182 20 0 DDADMM CCOC(=O)[C@@H](C)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000133078134 162051085 /nfs/dbraw/zinc/05/10/85/162051085.db2.gz HEQNGYWJIPRMQO-QMMMGPOBSA-N -1 1 323.773 1.957 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnc(CC(C)C)nc1)C1CC1 ZINC000571164770 304441239 /nfs/dbraw/zinc/44/12/39/304441239.db2.gz APWCKKXUAISNSW-CYBMUJFWSA-N -1 1 313.423 1.842 20 0 DDADMM CC1(C)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC(C)(C)O1 ZINC000191059270 408364303 /nfs/dbraw/zinc/36/43/03/408364303.db2.gz YKYJWAPZYDDTGL-UHFFFAOYSA-N -1 1 315.373 1.953 20 0 DDADMM Cc1c(NCC(C)(C)C)cccc1C(=O)NN1CC(=O)[N-]C1=O ZINC000176840176 408458333 /nfs/dbraw/zinc/45/83/33/408458333.db2.gz COJGZIFLGHRLHS-UHFFFAOYSA-N -1 1 318.377 1.650 20 0 DDADMM Cc1ccc(C)c(OCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183398319 408398284 /nfs/dbraw/zinc/39/82/84/408398284.db2.gz VNRAAWCYTJWMDW-GFCCVEGCSA-N -1 1 303.366 1.457 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccnc(OC2CCC2)c1)c1nn[n-]n1 ZINC000183415771 408405175 /nfs/dbraw/zinc/40/51/75/408405175.db2.gz PMONCUFYOJKKJU-JTQLQIEISA-N -1 1 316.365 1.402 20 0 DDADMM Cc1cccc(OCCCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183416696 408405970 /nfs/dbraw/zinc/40/59/70/408405970.db2.gz NBKPIEYNNJRFTC-CYBMUJFWSA-N -1 1 317.393 1.929 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(OC)c1 ZINC000183438702 408413664 /nfs/dbraw/zinc/41/36/64/408413664.db2.gz HGRDRQYPZDNFEC-SECBINFHSA-N -1 1 305.338 1.093 20 0 DDADMM C[C@]12CCC(=O)N1[C@H](C(=O)Nc1ccc(F)cc1[O-])CS2 ZINC000270396689 408516966 /nfs/dbraw/zinc/51/69/66/408516966.db2.gz ULYHPHFKJRYEAO-HZMBPMFUSA-N -1 1 310.350 1.924 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC[C@H](CO)[C@@H](O)C1 ZINC000191991506 408519138 /nfs/dbraw/zinc/51/91/38/408519138.db2.gz QRYNNLXGOBCPDD-CJNGLKHVSA-N -1 1 301.342 1.361 20 0 DDADMM COCCC(C)(C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000183649791 408463166 /nfs/dbraw/zinc/46/31/66/408463166.db2.gz KDQNXWCNVYAYDB-UHFFFAOYSA-N -1 1 320.393 1.318 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCN(C(=O)c2cncc([O-])c2)C1 ZINC000264740297 408547560 /nfs/dbraw/zinc/54/75/60/408547560.db2.gz GNLKOOOWCWMNOP-NSHDSACASA-N -1 1 307.350 1.526 20 0 DDADMM COc1c(F)ccc(F)c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000274827379 408548046 /nfs/dbraw/zinc/54/80/46/408548046.db2.gz YOMLEYKLYNLZHR-SSDOTTSWSA-N -1 1 311.292 1.362 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC[C@@H](C)[C@@H](C)C2)o1 ZINC000183367567 306710751 /nfs/dbraw/zinc/71/07/51/306710751.db2.gz SOGDCQBYAYTKKS-OUAUKWLOSA-N -1 1 314.407 1.742 20 0 DDADMM CC(C)C[C@@H](C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000184932078 408719470 /nfs/dbraw/zinc/71/94/70/408719470.db2.gz KYTRZZOUEVIAOI-SECBINFHSA-N -1 1 300.380 1.660 20 0 DDADMM Cc1ccc(N2CC[C@@H](N3CCC[C@H](C(=O)[O-])C3)C2=O)cc1 ZINC000164543362 408799883 /nfs/dbraw/zinc/79/98/83/408799883.db2.gz MNQQABOPRHAIMO-DZGCQCFKSA-N -1 1 302.374 1.897 20 0 DDADMM C[C@H](C(=O)Nc1ccc(Cl)cn1)N1CCC[C@H](C(=O)[O-])C1 ZINC000164644364 408802417 /nfs/dbraw/zinc/80/24/17/408802417.db2.gz VVOTXCUIOGHCLL-ZJUUUORDSA-N -1 1 311.769 1.859 20 0 DDADMM CCC(O)(CC)CC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000276267215 408828497 /nfs/dbraw/zinc/82/84/97/408828497.db2.gz UHUOIXRFZLLCRI-UHFFFAOYSA-N -1 1 309.819 1.293 20 0 DDADMM CCc1nnc(N2CCC(c3n[n-]c(=O)o3)CC2)nc1CC ZINC000285644158 408834807 /nfs/dbraw/zinc/83/48/07/408834807.db2.gz NVKKONSCBVWDCI-UHFFFAOYSA-N -1 1 304.354 1.057 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)NC(=O)c1c(F)ccc([O-])c1F ZINC000280871730 408850467 /nfs/dbraw/zinc/85/04/67/408850467.db2.gz FELGQZWRLCSTRJ-SSDOTTSWSA-N -1 1 307.318 1.223 20 0 DDADMM Cc1nnc(CNC(=O)C(=O)c2ccc([O-])cc2)n1C1CC1 ZINC000280935426 408861283 /nfs/dbraw/zinc/86/12/83/408861283.db2.gz HKVACWCSBYKABB-UHFFFAOYSA-N -1 1 300.318 1.126 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CCc2ccccc2)c1 ZINC000192730866 163235367 /nfs/dbraw/zinc/23/53/67/163235367.db2.gz AQDBQLUZBJDIFB-UHFFFAOYSA-N -1 1 320.370 1.611 20 0 DDADMM O=C([O-])c1ccccc1OCCN1CC[N@H+]2CCCC[C@@H]2C1 ZINC000237965637 163394285 /nfs/dbraw/zinc/39/42/85/163394285.db2.gz NIDLMKCNKGEELE-CQSZACIVSA-N -1 1 304.390 1.934 20 0 DDADMM O=C(NC1CCN(c2cccnn2)CC1)c1cc(F)ccc1[O-] ZINC000277565391 408966548 /nfs/dbraw/zinc/96/65/48/408966548.db2.gz NLNKUKRCRUAONZ-UHFFFAOYSA-N -1 1 316.336 1.720 20 0 DDADMM CN1CCO[C@H]2CCN(C(=O)c3c(F)ccc([O-])c3F)C[C@@H]21 ZINC000286413784 408980600 /nfs/dbraw/zinc/98/06/00/408980600.db2.gz OOACPRIWXQXGJR-JQWIXIFHSA-N -1 1 312.316 1.216 20 0 DDADMM Cc1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(C2CC2)n1 ZINC000282286731 409026931 /nfs/dbraw/zinc/02/69/31/409026931.db2.gz KLLNDZYJPHEBOL-QMMMGPOBSA-N -1 1 301.354 1.051 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)(F)F)c1cnc(Cl)c(F)c1 ZINC000287638027 409068712 /nfs/dbraw/zinc/06/87/12/409068712.db2.gz BTQNFPJAIIMBNL-ZCFIWIBFSA-N -1 1 322.667 1.076 20 0 DDADMM CCC[C@H](NC(=O)CCc1cccc(OC)c1F)c1nn[n-]n1 ZINC000287438153 409037652 /nfs/dbraw/zinc/03/76/52/409037652.db2.gz KWPZEVUUVVDRLK-NSHDSACASA-N -1 1 321.356 1.938 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1)c1cccc(F)c1 ZINC000283039328 409074754 /nfs/dbraw/zinc/07/47/54/409074754.db2.gz ZFZQIUQNOYZWNS-PWSUYJOCSA-N -1 1 318.352 1.747 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)Oc1ccc(C=O)cc1)c1nn[n-]n1 ZINC000287682903 409076679 /nfs/dbraw/zinc/07/66/79/409076679.db2.gz WHVOWALFAVVHPE-MFKMUULPSA-N -1 1 317.349 1.437 20 0 DDADMM COc1cc(Cl)cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000293350290 409095316 /nfs/dbraw/zinc/09/53/16/409095316.db2.gz BGIZZCOXJFNLOZ-MRVPVSSYSA-N -1 1 309.757 1.737 20 0 DDADMM O=C(NCC1(O)CCCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000283136073 409095499 /nfs/dbraw/zinc/09/54/99/409095499.db2.gz RSQVQRWOZUSZJQ-UHFFFAOYSA-N -1 1 306.391 1.443 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H]3CCO[C@@H](C4CC4)C3)ccnc1-2 ZINC000279300281 409187512 /nfs/dbraw/zinc/18/75/12/409187512.db2.gz LMXMODNDDVRVLX-BCHMWYAZSA-N -1 1 315.377 1.421 20 0 DDADMM C[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000293594417 409144247 /nfs/dbraw/zinc/14/42/47/409144247.db2.gz URFBGSDDSQMPII-HTQZYQBOSA-N -1 1 311.329 1.906 20 0 DDADMM CC(=O)N1C[C@@H](O)C[C@@H]1c1nc(-c2ccc([O-])cc2Cl)no1 ZINC000289137219 409223787 /nfs/dbraw/zinc/22/37/87/409223787.db2.gz RSDXEOVEROFNHH-JOYOIKCWSA-N -1 1 323.736 1.750 20 0 DDADMM CCOCC(C)(C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000294010504 409225041 /nfs/dbraw/zinc/22/50/41/409225041.db2.gz YKNJNSLZASCMFJ-UHFFFAOYSA-N -1 1 320.393 1.113 20 0 DDADMM Cc1nc(Cl)ccc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000294113627 409243252 /nfs/dbraw/zinc/24/32/52/409243252.db2.gz FYVGTGSKORTQKC-VIFPVBQESA-N -1 1 321.768 1.475 20 0 DDADMM C[C@@H](Cn1cncn1)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284063265 409268686 /nfs/dbraw/zinc/26/86/86/409268686.db2.gz YPSZMUNFYZCRTK-QMMMGPOBSA-N -1 1 318.761 1.438 20 0 DDADMM O=C(NC[C@H](CO)[C@@H]1CCOC1)c1cc2ccccc2cc1[O-] ZINC000280578722 409367210 /nfs/dbraw/zinc/36/72/10/409367210.db2.gz WQVVOQPZNCYGQO-HUUCEWRRSA-N -1 1 315.369 1.920 20 0 DDADMM CN(C)C(=O)O[C@@H]1CCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000295355290 409448461 /nfs/dbraw/zinc/44/84/61/409448461.db2.gz FJWJRXXOOULIRS-SNVBAGLBSA-N -1 1 312.753 1.958 20 0 DDADMM Cc1nc(C2CC2)oc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000408177639 164252772 /nfs/dbraw/zinc/25/27/72/164252772.db2.gz QPZKXUFRCRZTKS-SNVBAGLBSA-N -1 1 318.333 1.969 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ccc(Br)o2)CCCO1 ZINC000408216203 164263631 /nfs/dbraw/zinc/26/36/31/164263631.db2.gz VRHRMFYXGGNOCK-SNVBAGLBSA-N -1 1 324.196 1.890 20 0 DDADMM Cc1cc2cn[nH]c2c(C(=O)[N-]S(=O)(=O)[C@@H]2CCCOC2)c1 ZINC000408406420 164320996 /nfs/dbraw/zinc/32/09/96/164320996.db2.gz HOMZWFWSJKDTCF-LLVKDONJSA-N -1 1 323.374 1.110 20 0 DDADMM COC(=O)[C@@H](CN=c1nc(C)[n-]s1)Cc1cccc(F)c1 ZINC000356737713 409559342 /nfs/dbraw/zinc/55/93/42/409559342.db2.gz PJKHSJGGCYMUGP-LLVKDONJSA-N -1 1 309.366 1.851 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C(C)(C)c1nccs1 ZINC000290758076 409509946 /nfs/dbraw/zinc/50/99/46/409509946.db2.gz NHLNJKPSIACGHJ-UHFFFAOYSA-N -1 1 302.381 1.235 20 0 DDADMM COCc1nnc(S(=O)(=O)C[C@@H]2CCCC(F)(F)C2)[n-]1 ZINC000337901414 409551275 /nfs/dbraw/zinc/55/12/75/409551275.db2.gz QLVGVAPMGIWZMO-MRVPVSSYSA-N -1 1 309.338 1.550 20 0 DDADMM COCc1nc(S(=O)(=O)C[C@@H]2CCCC(F)(F)C2)n[n-]1 ZINC000337901414 409551280 /nfs/dbraw/zinc/55/12/80/409551280.db2.gz QLVGVAPMGIWZMO-MRVPVSSYSA-N -1 1 309.338 1.550 20 0 DDADMM CO[C@H](C)c1nc(=NCCOCC(F)(F)C(F)F)s[n-]1 ZINC000337941858 409588934 /nfs/dbraw/zinc/58/89/34/409588934.db2.gz HYNQXAQYPQGHPC-ZCFIWIBFSA-N -1 1 317.308 1.996 20 0 DDADMM COc1ccc(OC)c(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000345558931 409695891 /nfs/dbraw/zinc/69/58/91/409695891.db2.gz ZZYYZKUCDDPLRT-UHFFFAOYSA-N -1 1 317.349 1.416 20 0 DDADMM CCOc1nc2ccc(C(=O)Nc3cccn(C)c3=O)cc2[n-]1 ZINC000346316294 409909997 /nfs/dbraw/zinc/90/99/97/409909997.db2.gz PRTPGHHFEAARHI-UHFFFAOYSA-N -1 1 312.329 1.913 20 0 DDADMM COC[C@@H](CNC(=O)c1c([O-])cnc2ccc(Cl)cc21)OC ZINC000338412523 409965321 /nfs/dbraw/zinc/96/53/21/409965321.db2.gz ROUCJTSYJJOLAG-SNVBAGLBSA-N -1 1 324.764 1.985 20 0 DDADMM Cc1nc([C@H]2CCCO2)sc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000342931202 410008431 /nfs/dbraw/zinc/00/84/31/410008431.db2.gz WUGKCYADLQBQCL-MRVPVSSYSA-N -1 1 320.378 1.235 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@@H](C)CCc1cccc(F)c1 ZINC000297868151 410031908 /nfs/dbraw/zinc/03/19/08/410031908.db2.gz ZGGIKVGGTJFFJO-VIFPVBQESA-N -1 1 319.340 1.511 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1 ZINC000332302041 410055427 /nfs/dbraw/zinc/05/54/27/410055427.db2.gz IAOZQQWWSYIQGF-NSHDSACASA-N -1 1 303.318 1.804 20 0 DDADMM CC(C)(NC(=O)CCc1ccnc2ccccc12)c1nn[n-]n1 ZINC000354773135 410076256 /nfs/dbraw/zinc/07/62/56/410076256.db2.gz MRDWKBAJVKUDCS-UHFFFAOYSA-N -1 1 310.361 1.732 20 0 DDADMM Cc1ccc(Cl)cc1N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000332433433 410151841 /nfs/dbraw/zinc/15/18/41/410151841.db2.gz XFERCJUWVYOUTJ-UHFFFAOYSA-N -1 1 321.812 1.392 20 0 DDADMM CCN1C[C@H](C)N(C(=O)N=c2ccc(C3CC3)n[n-]2)C[C@@H]1C ZINC000346734777 410119706 /nfs/dbraw/zinc/11/97/06/410119706.db2.gz ZNFIBKPDGUNSOM-RYUDHWBXSA-N -1 1 303.410 1.722 20 0 DDADMM Cn1[n-]c(CN2CCN(c3ccccc3Cl)CC2)nc1=O ZINC000332404194 410132875 /nfs/dbraw/zinc/13/28/75/410132875.db2.gz ARXXPOHVBNCQDR-UHFFFAOYSA-N -1 1 307.785 1.084 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3c(c2)OCO3)n1 ZINC000339390493 410154205 /nfs/dbraw/zinc/15/42/05/410154205.db2.gz AXLVJNAHVSTVFZ-UHFFFAOYSA-N -1 1 303.274 1.567 20 0 DDADMM O=C([N-]OCc1ccccc1)[C@@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000298279789 410164542 /nfs/dbraw/zinc/16/45/42/410164542.db2.gz IPUMDDRPUHUMHY-LLVKDONJSA-N -1 1 316.279 1.645 20 0 DDADMM CCc1nc(=NC(=O)C(=O)c2ccc(OC)c(Cl)c2)[n-][nH]1 ZINC000354979473 410219729 /nfs/dbraw/zinc/21/97/29/410219729.db2.gz BCELZZKHNLROPY-UHFFFAOYSA-N -1 1 308.725 1.272 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc2c[nH]nc2c1 ZINC000343173868 410208717 /nfs/dbraw/zinc/20/87/17/410208717.db2.gz CFGZTHIGURIHSZ-UHFFFAOYSA-N -1 1 311.301 1.032 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@]1(C)CCO[C@H]1C ZINC000332905974 410286381 /nfs/dbraw/zinc/28/63/81/410286381.db2.gz VCNIDFRZUWWZKS-ZBEGNZNMSA-N -1 1 318.377 1.278 20 0 DDADMM Cn1[n-]c(CN2C[C@]3(C[C@@H]4CC[C@H]3C4)OC(C)(C)C2)nc1=O ZINC000333080738 410345033 /nfs/dbraw/zinc/34/50/33/410345033.db2.gz QLLPNZQTQWTFRC-WQGACYEGSA-N -1 1 306.410 1.278 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(C(C)=O)cc2)n1 ZINC000352151295 410512189 /nfs/dbraw/zinc/51/21/89/410512189.db2.gz PYGUATMUTDWIRM-UHFFFAOYSA-N -1 1 315.329 1.970 20 0 DDADMM Cn1[n-]c(CN2CCC(Cc3ccccc3F)CC2)nc1=O ZINC000347415470 410532391 /nfs/dbraw/zinc/53/23/91/410532391.db2.gz QHUJPRUWVPUJTB-UHFFFAOYSA-N -1 1 304.369 1.702 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C[C@@H]3OCCC[C@@H]23)sc1C ZINC000333449736 410553696 /nfs/dbraw/zinc/55/36/96/410553696.db2.gz NJOUCDFFNYFPKI-AXFHLTTASA-N -1 1 302.421 1.606 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000359374124 410627810 /nfs/dbraw/zinc/62/78/10/410627810.db2.gz WHZCRMKUBKSFLK-BDAKNGLRSA-N -1 1 300.384 1.363 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000359374124 410627817 /nfs/dbraw/zinc/62/78/17/410627817.db2.gz WHZCRMKUBKSFLK-BDAKNGLRSA-N -1 1 300.384 1.363 20 0 DDADMM O=S(=O)(C[C@H]1CCOC1)c1n[n-]c(CCC2CCCC2)n1 ZINC000355677829 410635993 /nfs/dbraw/zinc/63/59/93/410635993.db2.gz DOJGCEOWWIJFKV-LBPRGKRZSA-N -1 1 313.423 1.738 20 0 DDADMM CC(C)NC(=O)CCCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000330577753 410794048 /nfs/dbraw/zinc/79/40/48/410794048.db2.gz HBIHFTUYDJGPIL-LLVKDONJSA-N -1 1 324.381 1.176 20 0 DDADMM Cc1ccc(S(=O)(=O)N[C@@H]2CCC[N@H+](C)[C@@H]2C)c(F)c1 ZINC000343973613 410859485 /nfs/dbraw/zinc/85/94/85/410859485.db2.gz PLNZFYPBJSTSFY-DGCLKSJQSA-N -1 1 300.399 1.895 20 0 DDADMM CC(C)(C)c1ccccc1OCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000359780704 410877481 /nfs/dbraw/zinc/87/74/81/410877481.db2.gz CQINXDCJGNJIME-UHFFFAOYSA-N -1 1 317.393 1.928 20 0 DDADMM O=C(Nc1ccc(-c2cc[nH]n2)cc1)c1cc(=O)n2[n-]cnc2n1 ZINC000353374993 410952504 /nfs/dbraw/zinc/95/25/04/410952504.db2.gz PAERPTZQRZTVNL-UHFFFAOYSA-N -1 1 321.300 1.060 20 0 DDADMM O=S(=O)([N-]Cc1ccccn1)c1ccc(Br)o1 ZINC000353417005 410971984 /nfs/dbraw/zinc/97/19/84/410971984.db2.gz YXFJLCSBWVLJEH-UHFFFAOYSA-N -1 1 317.164 1.916 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CC(=O)N[C@H](C)C2)c1 ZINC000331241084 411034808 /nfs/dbraw/zinc/03/48/08/411034808.db2.gz PSWJPRPYODOSSK-PSASIEDQSA-N -1 1 306.318 1.032 20 0 DDADMM CC(C)C[C@@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353851460 411135628 /nfs/dbraw/zinc/13/56/28/411135628.db2.gz QOMBWEFUNWBHDT-NSHDSACASA-N -1 1 303.366 1.458 20 0 DDADMM CC(C)Oc1ncccc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000580065622 422882842 /nfs/dbraw/zinc/88/28/42/422882842.db2.gz JCEHJTNJPKEBMW-JTQLQIEISA-N -1 1 304.354 1.258 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCCC[C@H]1[C@H]1CCCCC1=O ZINC000631718153 422893000 /nfs/dbraw/zinc/89/30/00/422893000.db2.gz IEHAFSKLZQDYKL-OLZOCXBDSA-N -1 1 319.409 1.663 20 0 DDADMM C[C@H](CO[C@H]1CCOC1)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631712225 422889527 /nfs/dbraw/zinc/88/95/27/422889527.db2.gz IQMKYZOAWXSBIO-PWSUYJOCSA-N -1 1 313.781 1.898 20 0 DDADMM CCO[C@H](CC)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000580167518 422896200 /nfs/dbraw/zinc/89/62/00/422896200.db2.gz CEXHFTXIXCDQCU-GFCCVEGCSA-N -1 1 318.370 1.951 20 0 DDADMM Cc1cnc(CCNC(=O)c2csc(=NC3CC3)[n-]2)cn1 ZINC000580758547 422951654 /nfs/dbraw/zinc/95/16/54/422951654.db2.gz FKDSFQBGDWXECP-UHFFFAOYSA-N -1 1 303.391 1.210 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2ccc([O-])c(F)c2)[C@@H]1n1cccn1 ZINC000647600278 422967670 /nfs/dbraw/zinc/96/76/70/422967670.db2.gz QWISOCPOQWFJTJ-CFVMTHIKSA-N -1 1 319.336 1.876 20 0 DDADMM O=C([C@@H](O)Cc1ccccc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000647659816 422987653 /nfs/dbraw/zinc/98/76/53/422987653.db2.gz WGQCARBDIKGFMF-OLZOCXBDSA-N -1 1 317.345 1.085 20 0 DDADMM CO[C@@H](CC(C)C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000642902469 422996909 /nfs/dbraw/zinc/99/69/09/422996909.db2.gz SUJCRBLCRGPPKJ-STQMWFEESA-N -1 1 303.366 1.466 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2(C)CCCC2)co1 ZINC000132777567 196282098 /nfs/dbraw/zinc/28/20/98/196282098.db2.gz WXLYHGIOEFMOBR-UHFFFAOYSA-N -1 1 300.380 1.498 20 0 DDADMM CNC(=O)C(C)(C)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000133125884 196313421 /nfs/dbraw/zinc/31/34/21/196313421.db2.gz GSACPAUBSHFLBE-UHFFFAOYSA-N -1 1 322.789 1.530 20 0 DDADMM CCN(CCCS(=O)(=O)c1cccc(C)c1)CCC(=O)[O-] ZINC000652464673 423028397 /nfs/dbraw/zinc/02/83/97/423028397.db2.gz RMJPWKCDHPTRRI-UHFFFAOYSA-N -1 1 313.419 1.955 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CNC(=O)NCc1ccc(C)cc1 ZINC000647816627 423061122 /nfs/dbraw/zinc/06/11/22/423061122.db2.gz NRHYWQSMTLXMIH-UHFFFAOYSA-N -1 1 317.349 1.170 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)Nc2nn[n-]n2)nc1 ZINC000497228285 416661172 /nfs/dbraw/zinc/66/11/72/416661172.db2.gz NLAKUIGYHRIMKS-UHFFFAOYSA-N -1 1 305.298 1.194 20 0 DDADMM CC[C@H](O)CC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645605388 423104418 /nfs/dbraw/zinc/10/44/18/423104418.db2.gz QPTZXUWXELFLLE-QMMMGPOBSA-N -1 1 312.313 1.540 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)c1nc[nH]n1 ZINC000645635866 423118873 /nfs/dbraw/zinc/11/88/73/423118873.db2.gz RMHMTKAVEWDELC-LURJTMIESA-N -1 1 321.284 1.258 20 0 DDADMM Cc1ccc(F)c(S(=O)(=O)N[C@H]2CC[N@@H+](C)[C@H](C)C2)c1 ZINC000360655901 418485773 /nfs/dbraw/zinc/48/57/73/418485773.db2.gz ZMJZTXFOIFFPDZ-NEPJUHHUSA-N -1 1 300.399 1.895 20 0 DDADMM CC(C)N(C(=O)CSc1nc2[nH]ncc2c(=O)[nH]1)C(C)C ZINC000194344929 418542474 /nfs/dbraw/zinc/54/24/74/418542474.db2.gz LZWNXSRIIPCUCT-UHFFFAOYSA-N -1 1 309.395 1.384 20 0 DDADMM Cn1nccc1S(=O)(=O)[N-]c1ccccc1-c1nnc[nH]1 ZINC000361089613 418581176 /nfs/dbraw/zinc/58/11/76/418581176.db2.gz ZJTHMUHVOKBRBF-UHFFFAOYSA-N -1 1 304.335 1.006 20 0 DDADMM CCc1nocc1CN(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294235654 418583677 /nfs/dbraw/zinc/58/36/77/418583677.db2.gz VHPYOBBTEDUAJC-UHFFFAOYSA-N -1 1 317.374 1.841 20 0 DDADMM Cc1nc([C@H](NC(=O)[C@H]2CC[C@@H]2C(=O)[O-])c2ccccc2)n[nH]1 ZINC000375612132 418662538 /nfs/dbraw/zinc/66/25/38/418662538.db2.gz NFEZHWMWWRHQTH-RWMBFGLXSA-N -1 1 314.345 1.430 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC12CC3CC(CC(C3)C1)C2 ZINC000361494376 418663106 /nfs/dbraw/zinc/66/31/06/418663106.db2.gz GWMDPTLVNAXIPS-GNFNDJEDSA-N -1 1 320.433 1.868 20 0 DDADMM COCCn1ncc2c1[C@@H](NC(=O)c1ncccc1[O-])CCC2 ZINC000371887436 418822937 /nfs/dbraw/zinc/82/29/37/418822937.db2.gz GJHCDVMQRBJFIV-LBPRGKRZSA-N -1 1 316.361 1.438 20 0 DDADMM CCCN1C[C@H](C(=O)Nc2nc(-c3ccco3)n[nH]2)CC1=O ZINC000411289867 418889080 /nfs/dbraw/zinc/88/90/80/418889080.db2.gz HITPAYXCOFAQDV-SECBINFHSA-N -1 1 303.322 1.262 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)c1ccc(C(=O)NC(C)(C)C)cc1 ZINC000411899474 419461016 /nfs/dbraw/zinc/46/10/16/419461016.db2.gz KWZFZGBMMZVGGS-UHFFFAOYSA-N -1 1 317.393 1.273 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C)c2nnnn2-c2ccccc2)c([O-])c1 ZINC000427472073 419670528 /nfs/dbraw/zinc/67/05/28/419670528.db2.gz ONEWTGMMIDFIAG-NSHDSACASA-N -1 1 324.344 1.562 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncc(C)cc1[O-])c1ccsc1 ZINC000427815264 419740760 /nfs/dbraw/zinc/74/07/60/419740760.db2.gz YJSPFHFTMPJMAK-NSHDSACASA-N -1 1 306.343 1.801 20 0 DDADMM CCOC(=O)C[C@@H]1C[C@H](OC)CN1C(=O)c1ncc(C)cc1[O-] ZINC000427814206 419741074 /nfs/dbraw/zinc/74/10/74/419741074.db2.gz WTRNPDAKQUATCT-RYUDHWBXSA-N -1 1 322.361 1.278 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](C)c1ccc(F)cc1 ZINC000416127782 420251065 /nfs/dbraw/zinc/25/10/65/420251065.db2.gz DFQRBJZYWRVJPS-QMMMGPOBSA-N -1 1 321.308 1.569 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](O)C(F)F)c(F)cc1F ZINC000435633605 420270883 /nfs/dbraw/zinc/27/08/83/420270883.db2.gz CZMZOXKDAOKIBT-QMMMGPOBSA-N -1 1 301.261 1.178 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2nnnn2CC(F)(F)F)n1 ZINC000436211330 420315802 /nfs/dbraw/zinc/31/58/02/420315802.db2.gz QHTXXWWPCKGNLN-UHFFFAOYSA-N -1 1 320.300 1.586 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cnc3n[nH]nc3c2)c1 ZINC000436503773 420334288 /nfs/dbraw/zinc/33/42/88/420334288.db2.gz HWTPAHUWUDWIGJ-UHFFFAOYSA-N -1 1 313.273 1.097 20 0 DDADMM COc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)nc1 ZINC000425325185 420349540 /nfs/dbraw/zinc/34/95/40/420349540.db2.gz RFLFCVHQXKJONI-UHFFFAOYSA-N -1 1 304.306 1.199 20 0 DDADMM CCCc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)no1 ZINC000425323505 420351980 /nfs/dbraw/zinc/35/19/80/420351980.db2.gz OXJRBZUTMOFAQF-UHFFFAOYSA-N -1 1 306.322 1.736 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000420720674 420369110 /nfs/dbraw/zinc/36/91/10/420369110.db2.gz STHBSFNJEATENN-SVRRBLITSA-N -1 1 322.308 1.036 20 0 DDADMM O=C(N[C@H](CO)[C@H]1CCCO1)c1ccc(C(F)(F)F)cc1[O-] ZINC000436809252 420370024 /nfs/dbraw/zinc/37/00/24/420370024.db2.gz SBBILAHAGNMVED-ZYHUDNBSSA-N -1 1 319.279 1.681 20 0 DDADMM O=C(Nc1ccccc1Cn1cncn1)c1ccc(O)cc1[O-] ZINC000436828531 420371448 /nfs/dbraw/zinc/37/14/48/420371448.db2.gz YTLJCKUMTFQXFS-UHFFFAOYSA-N -1 1 310.313 1.990 20 0 DDADMM CC(C)(CF)[N-]S(=O)(=O)c1cc2oc(=O)[nH]c2cc1F ZINC000416464742 420382344 /nfs/dbraw/zinc/38/23/44/420382344.db2.gz IXFLVRVLQGGXNB-UHFFFAOYSA-N -1 1 306.290 1.287 20 0 DDADMM COC[C@@H](NC(=O)c1cc2ccccc2cc1[O-])C(=O)OC ZINC000436909921 420382413 /nfs/dbraw/zinc/38/24/13/420382413.db2.gz ZVPYJIVDLVGBSX-CYBMUJFWSA-N -1 1 303.314 1.463 20 0 DDADMM COC(=O)c1cccc(NC(=O)C(=O)c2ccc([O-])cc2)c1O ZINC000436979672 420388516 /nfs/dbraw/zinc/38/85/16/420388516.db2.gz KZWRHYOFCHYTCW-UHFFFAOYSA-N -1 1 315.281 1.706 20 0 DDADMM O=S(=O)([N-]c1c(F)cccc1CO)c1cnc2n1CCC2 ZINC000437676455 420417732 /nfs/dbraw/zinc/41/77/32/420417732.db2.gz RLJJVZVKPMGWBG-UHFFFAOYSA-N -1 1 311.338 1.262 20 0 DDADMM CC(C)(C)OC(=O)[C@@]12CCC[C@H]1CN(Cc1n[nH]c(=O)[n-]1)C2 ZINC000439485039 420515872 /nfs/dbraw/zinc/51/58/72/420515872.db2.gz HNZYQPOPQBTQBJ-ZUZCIYMTSA-N -1 1 308.382 1.454 20 0 DDADMM CC1(C)CCC[C@@H](CN=c2[n-]cc(S(N)(=O)=O)s2)C1 ZINC000450928912 420563383 /nfs/dbraw/zinc/56/33/83/420563383.db2.gz STCRWYBIKOJFCE-SECBINFHSA-N -1 1 303.453 1.841 20 0 DDADMM CCC[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC000452664102 420639868 /nfs/dbraw/zinc/63/98/68/420639868.db2.gz SFMMTIBXFHZTLP-GFCCVEGCSA-N -1 1 307.394 1.848 20 0 DDADMM CC(C)(C)OC(=O)NCC1(C(=O)[N-]OC[C@@H]2CCOC2)CC1 ZINC000492725916 420642533 /nfs/dbraw/zinc/64/25/33/420642533.db2.gz UMICQKKRXUGPAB-LLVKDONJSA-N -1 1 314.382 1.376 20 0 DDADMM COc1cc(C(=O)N[C@H]2CC[S@@](=O)C2)cc(Cl)c1[O-] ZINC000442669794 420698290 /nfs/dbraw/zinc/69/82/90/420698290.db2.gz HSRMSMDRJTYXIS-WPCRTTGESA-N -1 1 303.767 1.305 20 0 DDADMM CCCCS(=O)(=O)[N-][C@@]1(C(=O)OC)C[C@H](OCC)C1(C)C ZINC000444274972 420757721 /nfs/dbraw/zinc/75/77/21/420757721.db2.gz GNCNCXXELCWNRD-SMDDNHRTSA-N -1 1 321.439 1.453 20 0 DDADMM CC1(/C=C/C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCOCC1 ZINC000493164374 420775389 /nfs/dbraw/zinc/77/53/89/420775389.db2.gz LKVCUIVMDXLPPV-ZYOFXKKJSA-N -1 1 305.382 1.279 20 0 DDADMM COc1cc(C(=O)NCCc2ccn(C)n2)cc(Cl)c1[O-] ZINC000442801182 420718557 /nfs/dbraw/zinc/71/85/57/420718557.db2.gz NXSYXXAAIGPDHI-UHFFFAOYSA-N -1 1 309.753 1.760 20 0 DDADMM CC(C)C[C@@H]([N-]S(=O)(=O)C1COC1)C(=O)OC(C)(C)C ZINC000493871096 420963692 /nfs/dbraw/zinc/96/36/92/420963692.db2.gz GUYMGVQLTXHPHM-LLVKDONJSA-N -1 1 307.412 1.061 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(-n2cccc2)ccn1)c1nn[n-]n1 ZINC000487129246 421004187 /nfs/dbraw/zinc/00/41/87/421004187.db2.gz XABQBYQXFCYUSY-NSHDSACASA-N -1 1 311.349 1.261 20 0 DDADMM COCc1nc(=N[C@H](C)[C@H]2CCCN(C(=O)OC)C2)s[n-]1 ZINC000495534401 421019253 /nfs/dbraw/zinc/01/92/53/421019253.db2.gz RWNMJFBEUSSHGM-ZJUUUORDSA-N -1 1 314.411 1.385 20 0 DDADMM Cn1c(SCc2nn[n-]n2)nnc1-c1cccc(Cl)c1 ZINC000488911381 421125392 /nfs/dbraw/zinc/12/53/92/421125392.db2.gz OVYVYCDOZOIMNN-UHFFFAOYSA-N -1 1 307.770 1.941 20 0 DDADMM CN(C(=O)c1c[n-]c2c(cnn2C)c1=O)[C@H](CO)CC(C)(C)C ZINC000456453865 421169677 /nfs/dbraw/zinc/16/96/77/421169677.db2.gz UENKFGWEEUXRCO-JTQLQIEISA-N -1 1 320.393 1.543 20 0 DDADMM C[C@H](CN(C)C(=O)CC1CC(OC(C)(C)C)C1)c1nn[n-]n1 ZINC000489965920 421181727 /nfs/dbraw/zinc/18/17/27/421181727.db2.gz VOZZMLYIUAAWAG-VOMCLLRMSA-N -1 1 309.414 1.745 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCCC[C@@H]1CC(=O)Nc1nnn[n-]1 ZINC000496703540 421302709 /nfs/dbraw/zinc/30/27/09/421302709.db2.gz SSRFJBHGBDCPIE-ZJUUUORDSA-N -1 1 324.385 1.612 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCCC[C@@H]1CC(=O)Nc1nn[n-]n1 ZINC000496703540 421302712 /nfs/dbraw/zinc/30/27/12/421302712.db2.gz SSRFJBHGBDCPIE-ZJUUUORDSA-N -1 1 324.385 1.612 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CC[C@@H](C(F)(F)F)C1)c1nn[n-]n1 ZINC000560638157 421286247 /nfs/dbraw/zinc/28/62/47/421286247.db2.gz MTSKCXDPYKMVID-HRDYMLBCSA-N -1 1 305.304 1.740 20 0 DDADMM CCCN1CCC[C@H]1C(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC000526016526 421324215 /nfs/dbraw/zinc/32/42/15/421324215.db2.gz QCTOUPCNRPAIPR-ZDUSSCGKSA-N -1 1 316.365 1.181 20 0 DDADMM Cc1nc2ccc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)cc2o1 ZINC000526918848 421337956 /nfs/dbraw/zinc/33/79/56/421337956.db2.gz VDBBNQPCYSIWHG-NSHDSACASA-N -1 1 312.333 1.669 20 0 DDADMM Nc1cccc(S(=O)(=O)Nc2ccc(C(=O)[O-])cc2O)c1 ZINC000547541946 421342703 /nfs/dbraw/zinc/34/27/03/421342703.db2.gz UQGVCZLVACUQMK-UHFFFAOYSA-N -1 1 308.315 1.473 20 0 DDADMM C[C@H](C(F)(F)F)S(=O)(=O)NCCCC(C)(C)C(=O)[O-] ZINC000547569893 421344690 /nfs/dbraw/zinc/34/46/90/421344690.db2.gz VMDINNKMGSRVII-SSDOTTSWSA-N -1 1 305.318 1.748 20 0 DDADMM CC(C)(C)OC(=O)N1CC([C@@H](F)C(=O)[N-]C2=NCCS2)C1 ZINC000497141924 421371046 /nfs/dbraw/zinc/37/10/46/421371046.db2.gz AWJKLYDIGHGPLT-SECBINFHSA-N -1 1 317.386 1.410 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1ccc2c(c1)COC2)c1nn[n-]n1 ZINC000547811121 421371513 /nfs/dbraw/zinc/37/15/13/421371513.db2.gz YQMNUEQQIGICRS-SNVBAGLBSA-N -1 1 301.350 1.035 20 0 DDADMM Cc1cc(C)cc(OCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000548138548 421405152 /nfs/dbraw/zinc/40/51/52/421405152.db2.gz DVHIXQFVIQVXPY-LBPRGKRZSA-N -1 1 303.366 1.457 20 0 DDADMM O=C(N[C@H](CO)c1ccsc1)c1csc(=NC2CC2)[n-]1 ZINC000548436713 421438166 /nfs/dbraw/zinc/43/81/66/421438166.db2.gz OXBPSYMWPDFZAB-SNVBAGLBSA-N -1 1 309.416 1.664 20 0 DDADMM CC(C)(CNC(=O)c1cc(=O)n2[n-]cnc2n1)C1=CCCCC1 ZINC000548469979 421443218 /nfs/dbraw/zinc/44/32/18/421443218.db2.gz NQZKTHUVFASHJY-UHFFFAOYSA-N -1 1 315.377 1.674 20 0 DDADMM Cc1ccc2c(c1)CN(CCc1cn(CC(=O)[O-])nn1)CCO2 ZINC000563454462 421511012 /nfs/dbraw/zinc/51/10/12/421511012.db2.gz KWKBAZGAMAKAIA-UHFFFAOYSA-N -1 1 316.361 1.108 20 0 DDADMM O=C([O-])c1cn([C@@H]2CCCN(Cc3cccc(F)c3)C2)nn1 ZINC000563287935 421482232 /nfs/dbraw/zinc/48/22/32/421482232.db2.gz GVAFXSZYPRTMDY-CYBMUJFWSA-N -1 1 304.325 1.953 20 0 DDADMM CCCc1ncc(C(=O)[N-]c2nc(C(=O)OC)ns2)s1 ZINC000564023585 421563684 /nfs/dbraw/zinc/56/36/84/421563684.db2.gz ZMEMJUPIYFTJQT-UHFFFAOYSA-N -1 1 312.376 1.986 20 0 DDADMM CC(C)n1cc(CNC(=O)c2csc(=NC3CC3)[n-]2)nn1 ZINC000552206096 421579754 /nfs/dbraw/zinc/57/97/54/421579754.db2.gz UTZXYOIGAAJYCS-UHFFFAOYSA-N -1 1 306.395 1.242 20 0 DDADMM CCN(C(=O)c1ccc(S(=O)(=O)[N-]C)o1)[C@@H](C)C(C)C ZINC000564326651 421580662 /nfs/dbraw/zinc/58/06/62/421580662.db2.gz MNRYGUZWDTYPNM-JTQLQIEISA-N -1 1 302.396 1.694 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cncc([O-])c1)[C@@H]1CCC[C@H](OC)C1 ZINC000564462831 421587454 /nfs/dbraw/zinc/58/74/54/421587454.db2.gz UGMUXGOFUNNBQQ-DDTOSNHZSA-N -1 1 322.361 1.264 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccccc1C(=O)OC)c1nn[n-]n1 ZINC000553527001 421619038 /nfs/dbraw/zinc/61/90/38/421619038.db2.gz IZPCHLHGOPRGNF-LBPRGKRZSA-N -1 1 317.349 1.186 20 0 DDADMM CC(C)(CC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1)C(=O)[O-] ZINC000554730379 421660564 /nfs/dbraw/zinc/66/05/64/421660564.db2.gz XSCJEXAFLCFGNS-UHFFFAOYSA-N -1 1 320.324 1.728 20 0 DDADMM CC(C)(CC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1)C(=O)[O-] ZINC000554730379 421660567 /nfs/dbraw/zinc/66/05/67/421660567.db2.gz XSCJEXAFLCFGNS-UHFFFAOYSA-N -1 1 320.324 1.728 20 0 DDADMM CNc1snc(C)c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000537123483 421727391 /nfs/dbraw/zinc/72/73/91/421727391.db2.gz VCRGBKVOMMAYDS-MRVPVSSYSA-N -1 1 307.383 1.026 20 0 DDADMM COc1cc(C)cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1O ZINC000537123558 421727901 /nfs/dbraw/zinc/72/79/01/421727901.db2.gz XIGSYRHLUMFDGP-SNVBAGLBSA-N -1 1 317.349 1.242 20 0 DDADMM CC(C)(NC(=O)[C@H]1CCN(c2ccccc2F)C1)c1nn[n-]n1 ZINC000518867859 421669560 /nfs/dbraw/zinc/66/95/60/421669560.db2.gz XILUSSDYXDLURO-JTQLQIEISA-N -1 1 318.356 1.217 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H](C)C(C)(C)C)co1 ZINC000533714694 421682702 /nfs/dbraw/zinc/68/27/02/421682702.db2.gz UTWSUTHWJXSVAS-VIFPVBQESA-N -1 1 302.396 1.694 20 0 DDADMM C[C@H](N=c1[nH]c(C(=O)[O-])co1)C1CCN(CC(F)(F)F)CC1 ZINC000519829138 421734116 /nfs/dbraw/zinc/73/41/16/421734116.db2.gz VTFOXXAXMBHMIT-QMMMGPOBSA-N -1 1 321.299 1.869 20 0 DDADMM COC(=O)[C@H](C)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000571638044 421734389 /nfs/dbraw/zinc/73/43/89/421734389.db2.gz GBUXFRGUWZWXHC-MRVPVSSYSA-N -1 1 314.388 1.384 20 0 DDADMM O=C(CSc1ccc(F)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000540426634 421763117 /nfs/dbraw/zinc/76/31/17/421763117.db2.gz KNQRPTMVELTTAW-SNVBAGLBSA-N -1 1 321.381 1.837 20 0 DDADMM C[C@@H]1CCN(CC(=O)NCc2ccccc2F)C[C@H]1C(=O)[O-] ZINC000581011065 421880686 /nfs/dbraw/zinc/88/06/86/421880686.db2.gz JBNCUFJUMBAQOB-DGCLKSJQSA-N -1 1 308.353 1.485 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N(CC(=O)[O-])Cc1ccccc1 ZINC000630126724 421903378 /nfs/dbraw/zinc/90/33/78/421903378.db2.gz JJIJELJVPZFTRF-GFCCVEGCSA-N -1 1 301.346 1.702 20 0 DDADMM COC[C@H](Cc1ccccc1)N(C)Cc1cc(C(=O)[O-])nn1C ZINC000635310375 421897033 /nfs/dbraw/zinc/89/70/33/421897033.db2.gz DKMFHFXMCCZACQ-HNNXBMFYSA-N -1 1 317.389 1.808 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2ncc3n2CCCC3)c1Br ZINC000633346741 421853832 /nfs/dbraw/zinc/85/38/32/421853832.db2.gz ITXOYQQRVXSBQJ-UHFFFAOYSA-N -1 1 324.182 1.688 20 0 DDADMM COc1cc(F)cc(C[C@@H](C)NC(=O)CCc2nn[n-]n2)c1 ZINC000633369179 421867832 /nfs/dbraw/zinc/86/78/32/421867832.db2.gz XZVNDUZLKWUMTK-SECBINFHSA-N -1 1 307.329 1.027 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@H](OC)C2)c1 ZINC000631896037 421919470 /nfs/dbraw/zinc/91/94/70/421919470.db2.gz MYBJQGHEIHTMOD-NSHDSACASA-N -1 1 301.364 1.200 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2Cc3ccccc32)CC1 ZINC000630222173 421974225 /nfs/dbraw/zinc/97/42/25/421974225.db2.gz DEXCOKFHZBUQRY-IUODEOHRSA-N -1 1 302.374 1.334 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2C[C@H]2OC(C)(C)C)CC1 ZINC000630220259 421969885 /nfs/dbraw/zinc/96/98/85/421969885.db2.gz NGJAYMKPBDGFKD-YNEHKIRRSA-N -1 1 312.410 1.197 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C[C@H](C)c2ccco2)CC1 ZINC000630220339 421970161 /nfs/dbraw/zinc/97/01/61/421970161.db2.gz ODTQJHKNOIGATB-QWHCGFSZSA-N -1 1 308.378 1.781 20 0 DDADMM CC(C)Cn1cc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cn1 ZINC000630220950 421970756 /nfs/dbraw/zinc/97/07/56/421970756.db2.gz SIKLKJKGGTUPDQ-ZDUSSCGKSA-N -1 1 322.409 1.160 20 0 DDADMM O=C([O-])[C@H]1CSCCN(C(=O)c2cccc3n[nH]cc32)C1 ZINC000630220190 421970778 /nfs/dbraw/zinc/97/07/78/421970778.db2.gz RIVTVJOEOVKCCC-SECBINFHSA-N -1 1 305.359 1.453 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCC[C@H](O)C2)c1 ZINC000632024747 422030841 /nfs/dbraw/zinc/03/08/41/422030841.db2.gz SXOSNCNOUCGWBM-QWRGUYRKSA-N -1 1 315.391 1.230 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](CO)CC(C)C)c1 ZINC000632000747 422010436 /nfs/dbraw/zinc/01/04/36/422010436.db2.gz VYHBBYIRXMWRGT-SNVBAGLBSA-N -1 1 303.380 1.086 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](CO)Cc2ccccc2)sn1 ZINC000632000090 422011944 /nfs/dbraw/zinc/01/19/44/422011944.db2.gz CCPLBQJHLDBGRI-GFCCVEGCSA-N -1 1 312.416 1.333 20 0 DDADMM COCc1cc(CNC(=O)CCCc2nn[n-]n2)ccc1F ZINC000635537290 422085538 /nfs/dbraw/zinc/08/55/38/422085538.db2.gz YQLBKNUMJABOLI-UHFFFAOYSA-N -1 1 307.329 1.124 20 0 DDADMM CCC(=O)N1CC[C@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1 ZINC000632105201 422091729 /nfs/dbraw/zinc/09/17/29/422091729.db2.gz PUGQJNHLWIACQN-QMMMGPOBSA-N -1 1 322.333 1.506 20 0 DDADMM CN(Cc1ccccc1Br)C(=O)CCc1nn[n-]n1 ZINC000630443580 422106939 /nfs/dbraw/zinc/10/69/39/422106939.db2.gz ZMFWFLDLHGHSHM-UHFFFAOYSA-N -1 1 324.182 1.553 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1(c2ccccc2F)CC1 ZINC000633691698 422052819 /nfs/dbraw/zinc/05/28/19/422052819.db2.gz JKHMWOKQIPNXGI-UHFFFAOYSA-N -1 1 316.336 1.309 20 0 DDADMM O=C([C@@H]1Cc2ccc(Cl)cc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000632054256 422053380 /nfs/dbraw/zinc/05/33/80/422053380.db2.gz QRCFBLFLXXJEHT-GXFFZTMASA-N -1 1 317.780 1.899 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCN2CCCC2=O)c(F)c1 ZINC000574046261 422053599 /nfs/dbraw/zinc/05/35/99/422053599.db2.gz HQPXRYZDSVQBDV-UHFFFAOYSA-N -1 1 318.345 1.174 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H](c2nn[n-]n2)C1)[C@@H]1CCCCO1 ZINC000632054218 422054367 /nfs/dbraw/zinc/05/43/67/422054367.db2.gz PQXMPPMKPFIEAP-RYUDHWBXSA-N -1 1 307.398 1.501 20 0 DDADMM CN(C)C(=O)C1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000630492119 422137396 /nfs/dbraw/zinc/13/73/96/422137396.db2.gz BOHMGABEPJYZMP-UHFFFAOYSA-N -1 1 324.808 1.915 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC(C)(C)OC[C@@H]2C)c1 ZINC000632274997 422214152 /nfs/dbraw/zinc/21/41/52/422214152.db2.gz OGZRMAWJTLGARW-JTQLQIEISA-N -1 1 315.391 1.589 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCOC[C@@H]2C)c1 ZINC000632278647 422217431 /nfs/dbraw/zinc/21/74/31/422217431.db2.gz WZOYDSPXHVOBFQ-ONGXEEELSA-N -1 1 301.364 1.104 20 0 DDADMM COc1ccc(N(C)C(=O)CCCc2nn[n-]n2)cc1Cl ZINC000635674205 422230002 /nfs/dbraw/zinc/23/00/02/422230002.db2.gz BRQFTQPEIWPJDV-UHFFFAOYSA-N -1 1 309.757 1.847 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](C)N2CCCCC2=O)sn1 ZINC000632296530 422231421 /nfs/dbraw/zinc/23/14/21/422231421.db2.gz LARRDZMVNRDKPI-SNVBAGLBSA-N -1 1 317.436 1.131 20 0 DDADMM CC[C@@H]1C[N@@H+](C)CCN1C(=O)Nc1ccc2c(c1)C(=O)NC2=O ZINC000575139333 422270680 /nfs/dbraw/zinc/27/06/80/422270680.db2.gz UDZIDBMHOOFWIQ-LLVKDONJSA-N -1 1 316.361 1.128 20 0 DDADMM CC(C)Oc1cccc([C@@H](C)NC(=O)CCc2nn[n-]n2)c1 ZINC000630749038 422312909 /nfs/dbraw/zinc/31/29/09/422312909.db2.gz MUDSNWAADJNIDE-LLVKDONJSA-N -1 1 303.366 1.797 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NC1(C)COC1 ZINC000634188516 422313752 /nfs/dbraw/zinc/31/37/52/422313752.db2.gz CVUOPNWUOJZABR-UHFFFAOYSA-N -1 1 314.345 1.000 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCCOc2cc(F)ccc21 ZINC000635773314 422332080 /nfs/dbraw/zinc/33/20/80/422332080.db2.gz GQGPAYSVIPWTOG-LBPRGKRZSA-N -1 1 319.340 1.692 20 0 DDADMM O=C([O-])[C@@]12CCC[C@H]1CN(C(=O)[C@@H]1NCCc3ccccc31)C2 ZINC000575873061 422341016 /nfs/dbraw/zinc/34/10/16/422341016.db2.gz GKMWEOXEOVSBOZ-JCKWVBRZSA-N -1 1 314.385 1.587 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1Cc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000632436567 422342717 /nfs/dbraw/zinc/34/27/17/422342717.db2.gz KKNKIZWNUKKQAM-PRHODGIISA-N -1 1 305.769 1.755 20 0 DDADMM C[C@H](CN(C)C(=O)C1C[C@H]2CCCC[C@@H](C1)C2=O)c1nn[n-]n1 ZINC000632437377 422344812 /nfs/dbraw/zinc/34/48/12/422344812.db2.gz ZDFMQZHIICZCRI-FKJOKYEKSA-N -1 1 319.409 1.547 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1ccccc1C(F)(F)F ZINC000630807711 422347755 /nfs/dbraw/zinc/34/77/55/422347755.db2.gz BKGDBYPTBSAVBR-UHFFFAOYSA-N -1 1 313.283 1.510 20 0 DDADMM C[C@@H]1OCC[C@@H]1S(=O)(=O)Nc1cccc(C(=O)[O-])c1O ZINC000575992670 422354675 /nfs/dbraw/zinc/35/46/75/422354675.db2.gz FTVVWIOICZRSIS-XVKPBYJWSA-N -1 1 301.320 1.010 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-][C@H](C)c1nc(C(F)(F)F)no1 ZINC000632480173 422378682 /nfs/dbraw/zinc/37/86/82/422378682.db2.gz NYKFDYQLXNQMLW-PHDIDXHHSA-N -1 1 317.289 1.104 20 0 DDADMM COC(=O)[C@]1([N-]S(=O)(=O)[C@@H]2C[C@@H]3CC[C@H]2C3)CCSC1 ZINC000575776749 422324928 /nfs/dbraw/zinc/32/49/28/422324928.db2.gz VCMMYNQNWWBKQL-XZUYRWCXSA-N -1 1 319.448 1.143 20 0 DDADMM C[C@H]1SCC[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632504832 422396475 /nfs/dbraw/zinc/39/64/75/422396475.db2.gz NDMFYPCLVHBAHY-RITPCOANSA-N -1 1 315.342 1.601 20 0 DDADMM C[C@H]1CO[C@H](c2ccccc2)CN1C(=O)CCc1nn[n-]n1 ZINC000630905376 422405555 /nfs/dbraw/zinc/40/55/55/422405555.db2.gz VXYUQQUTUIOAJH-AAEUAGOBSA-N -1 1 301.350 1.121 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1ccncc1C(F)(F)F ZINC000635869793 422425567 /nfs/dbraw/zinc/42/55/67/422425567.db2.gz RJCIAWXYLPAMPG-UHFFFAOYSA-N -1 1 314.271 1.253 20 0 DDADMM Cc1cnc(SCC2(CS(C)(=O)=O)CCC2)[n-]c1=O ZINC000577769496 422428165 /nfs/dbraw/zinc/42/81/65/422428165.db2.gz NBNPOXIGPIJHEW-UHFFFAOYSA-N -1 1 302.421 1.798 20 0 DDADMM Cc1ccccc1[C@H](N)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000578010973 422478421 /nfs/dbraw/zinc/47/84/21/422478421.db2.gz JMPRCRUNPMNKBJ-YPMHNXCESA-N -1 1 315.377 1.225 20 0 DDADMM CN1CCC[C@@H](NC(=O)CCCc2nn[n-]n2)c2ccccc21 ZINC000636002159 422557374 /nfs/dbraw/zinc/55/73/74/422557374.db2.gz ZOXMAESOBRPHHX-CYBMUJFWSA-N -1 1 314.393 1.610 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)cc1)C1CC1 ZINC000629282126 422581199 /nfs/dbraw/zinc/58/11/99/422581199.db2.gz HOTZRVFIEWBTRG-ZDUSSCGKSA-N -1 1 312.391 1.342 20 0 DDADMM CCN(Cc1ccc(C)cc1)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000578621328 422609124 /nfs/dbraw/zinc/60/91/24/422609124.db2.gz FQLJBFRTEIUWPN-UHFFFAOYSA-N -1 1 317.345 1.867 20 0 DDADMM COC1(OC)CCN(C(=O)c2cc(Cl)ccc2[O-])C[C@@H]1O ZINC000636084253 422627053 /nfs/dbraw/zinc/62/70/53/422627053.db2.gz ZLMOXNYNUUBUOM-LBPRGKRZSA-N -1 1 315.753 1.242 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(Cc2ccccc2F)CC1 ZINC000631245466 422629684 /nfs/dbraw/zinc/62/96/84/422629684.db2.gz PLRBLVAIMVSSSB-UHFFFAOYSA-N -1 1 317.368 1.753 20 0 DDADMM O=C(c1ncc2n1CCCC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000632876934 422638782 /nfs/dbraw/zinc/63/87/82/422638782.db2.gz NENCHSYOUFKPMA-UHFFFAOYSA-N -1 1 317.349 1.328 20 0 DDADMM CNC(=O)CCCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629377322 422640304 /nfs/dbraw/zinc/64/03/04/422640304.db2.gz AWSVHXYWLRCBQI-UHFFFAOYSA-N -1 1 302.334 1.433 20 0 DDADMM COc1ncc(Cl)c(N2CCN(C(C)(C)C(=O)[O-])CC2)n1 ZINC000629381629 422642141 /nfs/dbraw/zinc/64/21/41/422642141.db2.gz RJLTZGYZCDGVEO-UHFFFAOYSA-N -1 1 314.773 1.124 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc(C(=O)N(C)C)cc1F ZINC000634797510 422652105 /nfs/dbraw/zinc/65/21/05/422652105.db2.gz JLKGFFQQMZFOGT-SECBINFHSA-N -1 1 318.370 1.304 20 0 DDADMM CCC(O)(CC)CN(C)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000629482137 422694795 /nfs/dbraw/zinc/69/47/95/422694795.db2.gz PKRKVZHWGBNXAD-UHFFFAOYSA-N -1 1 303.362 1.546 20 0 DDADMM CC[C@@H](Cc1ccc(Cl)cc1)NC(=O)CCc1nn[n-]n1 ZINC000631335655 422696258 /nfs/dbraw/zinc/69/62/58/422696258.db2.gz CLCWHRBGHSCYDS-LBPRGKRZSA-N -1 1 307.785 1.923 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000629497697 422700817 /nfs/dbraw/zinc/70/08/17/422700817.db2.gz HEYKFCIMVFWSJS-GHMZBOCLSA-N -1 1 324.343 1.830 20 0 DDADMM C[C@@H]1CC[C@@H](C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)O1 ZINC000645752843 423171969 /nfs/dbraw/zinc/17/19/69/423171969.db2.gz GPEIQEMYICEVIO-BDAKNGLRSA-N -1 1 324.324 1.946 20 0 DDADMM C[C@H]1CC[C@@H](C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)O1 ZINC000645752845 423172520 /nfs/dbraw/zinc/17/25/20/423172520.db2.gz GPEIQEMYICEVIO-IUCAKERBSA-N -1 1 324.324 1.946 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@H](C)OC2(CCCC2)C1 ZINC000645880697 423225383 /nfs/dbraw/zinc/22/53/83/423225383.db2.gz WDOFJFFFJMDKCU-VIFPVBQESA-N -1 1 315.395 1.118 20 0 DDADMM O=C(NCC[C@]1(O)CCCOC1)c1c(F)ccc([O-])c1F ZINC000652953571 423230197 /nfs/dbraw/zinc/23/01/97/423230197.db2.gz OPYJFGIJOVJUPF-CQSZACIVSA-N -1 1 301.289 1.332 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1CC[C@@H]1c1ccc(Cl)cc1F ZINC000648307625 423311037 /nfs/dbraw/zinc/31/10/37/423311037.db2.gz FZZWSWLTHNBNGN-PSASIEDQSA-N -1 1 309.732 1.802 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC(C)(C(=O)[O-])CC2)n[nH]1 ZINC000646152883 423369008 /nfs/dbraw/zinc/36/90/08/423369008.db2.gz IZUCNCHZJURYGI-SNVBAGLBSA-N -1 1 308.382 1.545 20 0 DDADMM CC(C)CN1CCO[C@@H](CNC(=O)[C@@]2(C(=O)[O-])CC=CCC2)C1 ZINC000653303669 423430125 /nfs/dbraw/zinc/43/01/25/423430125.db2.gz WTCOJEQJGHWRKX-WMLDXEAASA-N -1 1 324.421 1.271 20 0 DDADMM Cn1nc(C2CC2)nc1CC(=O)Nc1ccc([O-])c(F)c1F ZINC000648924267 423545170 /nfs/dbraw/zinc/54/51/70/423545170.db2.gz HJTZHWTWZTZAJL-UHFFFAOYSA-N -1 1 308.288 1.858 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)COCC2CC2)sc1C ZINC000651887680 423726559 /nfs/dbraw/zinc/72/65/59/423726559.db2.gz XERGHNDVFZGLFH-MRVPVSSYSA-N -1 1 304.437 1.853 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2cnn(C)c2C)[nH]nc1C(F)(F)F ZINC000644634781 423735883 /nfs/dbraw/zinc/73/58/83/423735883.db2.gz IMJNBHWESWVQDY-UHFFFAOYSA-N -1 1 323.300 1.580 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000647072960 423738613 /nfs/dbraw/zinc/73/86/13/423738613.db2.gz SCBGWYNTHDRDDO-SNVBAGLBSA-N -1 1 315.366 1.428 20 0 DDADMM CCOc1cccc(CN2CCC[C@H](c3n[n-]c(=N)o3)C2)n1 ZINC000639822456 423759998 /nfs/dbraw/zinc/75/99/98/423759998.db2.gz OZXCYAIEIUZHJY-NSHDSACASA-N -1 1 303.366 1.655 20 0 DDADMM CC(C)[C@H](O)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656915028 423868265 /nfs/dbraw/zinc/86/82/65/423868265.db2.gz RJAQBJMYAIIBOH-SECBINFHSA-N -1 1 323.212 1.139 20 0 DDADMM Cc1nn2cc(S(=O)(=O)[N-][C@H](C)C(F)F)cnc2c1Cl ZINC000641702838 423887667 /nfs/dbraw/zinc/88/76/67/423887667.db2.gz XBSXWLKRZQPGMB-ZCFIWIBFSA-N -1 1 324.740 1.623 20 0 DDADMM CO[C@H]1CCCC[C@H]1S(=O)(=O)[N-]c1c(C)nn(C)c1C ZINC000641679347 423850139 /nfs/dbraw/zinc/85/01/39/423850139.db2.gz QLZNXUJZMXMSQG-NWDGAFQWSA-N -1 1 301.412 1.736 20 0 DDADMM CN1CCN(Cc2ccc(NC(=O)[C@@H]3C[C@H]3C(=O)[O-])cc2)CC1 ZINC000649465160 423931873 /nfs/dbraw/zinc/93/18/73/423931873.db2.gz REOUJFXSZLELLE-HUUCEWRRSA-N -1 1 317.389 1.093 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(C)nn1 ZINC000644883989 424013821 /nfs/dbraw/zinc/01/38/21/424013821.db2.gz LGLVYIYDNTUCTO-SNVBAGLBSA-N -1 1 303.322 1.202 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2CCCN(C)C2)c(F)c1 ZINC000644855484 423978189 /nfs/dbraw/zinc/97/81/89/423978189.db2.gz IEODIDHGTLDDNR-JTQLQIEISA-N -1 1 304.362 1.646 20 0 DDADMM CCC[C@H](NC(=O)c1scc2c1OCCO2)c1nn[n-]n1 ZINC000654871409 423987393 /nfs/dbraw/zinc/98/73/93/423987393.db2.gz QOEQTHVWHWSWAD-ZETCQYMHSA-N -1 1 309.351 1.304 20 0 DDADMM O=C([O-])c1ccc(N[C@@H]2CCCC[C@H]2N2CCOCC2)nc1 ZINC000647452432 424033091 /nfs/dbraw/zinc/03/30/91/424033091.db2.gz ZLLOXDWDRVOTLK-ZIAGYGMSSA-N -1 1 305.378 1.835 20 0 DDADMM O=C(C[C@@H]1OCc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000654944623 424043789 /nfs/dbraw/zinc/04/37/89/424043789.db2.gz WWDXQPVYBANPAJ-FZMZJTMJSA-N -1 1 313.361 1.567 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2ccc(Cl)o2)o1 ZINC000642259157 424145421 /nfs/dbraw/zinc/14/54/21/424145421.db2.gz IFKSPLBVOSCUDW-UHFFFAOYSA-N -1 1 318.738 1.364 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)CC1CC1)c1cc(F)c(F)cc1F ZINC000657182620 424194191 /nfs/dbraw/zinc/19/41/91/424194191.db2.gz KHGPGNMPYZWFGZ-VIFPVBQESA-N -1 1 323.336 1.791 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2C[C@@H](O)C23CCC3)c(F)c1 ZINC000657185573 424199631 /nfs/dbraw/zinc/19/96/31/424199631.db2.gz FPTWRKPZFJERNO-VXGBXAGGSA-N -1 1 317.357 1.855 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2cccc(OCC(=O)[O-])c2)n[nH]1 ZINC000659757923 424225178 /nfs/dbraw/zinc/22/51/78/424225178.db2.gz MNFCQOMGECFILM-SNVBAGLBSA-N -1 1 317.345 1.543 20 0 DDADMM Cc1nnc([C@@H](C)N2C[C@H](C(=O)[O-])[C@@H](c3ccccc3)C2)[nH]1 ZINC000659797297 424263623 /nfs/dbraw/zinc/26/36/23/424263623.db2.gz RYBGSFOWWQGGDC-HONMWMINSA-N -1 1 300.362 1.974 20 0 DDADMM C[C@H](CNC(=O)c1c([O-])cccc1F)N1C[C@@H](C)O[C@@H](C)C1 ZINC000655243447 424332560 /nfs/dbraw/zinc/33/25/60/424332560.db2.gz FNODVTBHHAYRIX-UTUOFQBUSA-N -1 1 310.369 1.759 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CC[N@@H+]2C[C@H](O)C[C@H]2C1 ZINC000640338224 424360600 /nfs/dbraw/zinc/36/06/00/424360600.db2.gz SVTMZBSAWDQJOP-WDEREUQCSA-N -1 1 318.402 1.001 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC1(CCO)CCOCC1 ZINC000640338199 424360958 /nfs/dbraw/zinc/36/09/58/424360958.db2.gz SDVLKSVOULNSGQ-UHFFFAOYSA-N -1 1 321.402 1.771 20 0 DDADMM CCN1CC[C@H](NC(=O)N=c2[n-]sc3ccccc32)C1=O ZINC000640342475 424361841 /nfs/dbraw/zinc/36/18/41/424361841.db2.gz JQWXNEXTDKVOLE-JTQLQIEISA-N -1 1 304.375 1.461 20 0 DDADMM O=C(CNC(=O)N=c1[n-]sc2ccccc21)NCC1CC1 ZINC000640343277 424362505 /nfs/dbraw/zinc/36/25/05/424362505.db2.gz SXIBVFGGTMRCQU-UHFFFAOYSA-N -1 1 304.375 1.366 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C2([C@@H](C)O)CC2)c(F)c1 ZINC000660048953 424486257 /nfs/dbraw/zinc/48/62/57/424486257.db2.gz PXFJPBPNSLXAAI-SSDOTTSWSA-N -1 1 307.318 1.165 20 0 DDADMM C[C@H]1COC2(CCC2)CN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000664344620 424550697 /nfs/dbraw/zinc/55/06/97/424550697.db2.gz AEWNZJPDOUYDGV-NSHDSACASA-N -1 1 313.357 1.707 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)NC[C@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665322269 424783269 /nfs/dbraw/zinc/78/32/69/424783269.db2.gz ABJFWTDEQXCMAC-KOLCDFICSA-N -1 1 323.397 1.789 20 0 DDADMM CCN1C[C@H](C)N(C(=O)NC[C@H](C(=O)[O-])C2CCC2)C[C@H]1C ZINC000665322286 424783292 /nfs/dbraw/zinc/78/32/92/424783292.db2.gz APRFQFPRFLSXIJ-DYEKYZERSA-N -1 1 311.426 1.611 20 0 DDADMM O=C([O-])CC[C@@H]1CCCN(C(=O)[C@H]2NCCc3ccccc32)C1 ZINC000321596419 271027857 /nfs/dbraw/zinc/02/78/57/271027857.db2.gz QPXABVHLLCCYSO-GUYCJALGSA-N -1 1 316.401 1.977 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC(C(F)F)CC1 ZINC000344429724 272023841 /nfs/dbraw/zinc/02/38/41/272023841.db2.gz RCSQZMRPXZHJAJ-UHFFFAOYSA-N -1 1 313.304 1.021 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)C[C@H]1CCCCO1)C(=O)OC ZINC000344981290 272150087 /nfs/dbraw/zinc/15/00/87/272150087.db2.gz IPBJEZJIBOICDP-TUAOUCFPSA-N -1 1 307.412 1.063 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCCSC2)o1 ZINC000345889149 272358483 /nfs/dbraw/zinc/35/84/83/272358483.db2.gz OZAKDFVUSLQCFQ-VIFPVBQESA-N -1 1 318.420 1.061 20 0 DDADMM CC(C)[C@@H]1C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000297492617 275687711 /nfs/dbraw/zinc/68/77/11/275687711.db2.gz MOOKTHWYROJAQS-NEPJUHHUSA-N -1 1 320.418 1.951 20 0 DDADMM CO[C@H]1CCCC[C@@H]1NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287609150 277335260 /nfs/dbraw/zinc/33/52/60/277335260.db2.gz MWXKXQYSXUUPCA-PWONOCEESA-N -1 1 303.366 1.421 20 0 DDADMM CC[C@H](Nc1ccc(OC)c([N-]S(C)(=O)=O)c1)C(=O)OC ZINC000279969165 288092966 /nfs/dbraw/zinc/09/29/66/288092966.db2.gz YSOXYXCBDBBKLO-JTQLQIEISA-N -1 1 316.379 1.430 20 0 DDADMM CNC(=O)CCCN(C)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000135218998 281580473 /nfs/dbraw/zinc/58/04/73/281580473.db2.gz NGRXLIYBENZRPQ-UHFFFAOYSA-N -1 1 314.769 1.652 20 0 DDADMM CN1CC[C@@H]2OCCN(C(=O)c3cc(F)c([O-])c(F)c3)[C@H]2C1 ZINC000285899586 290167928 /nfs/dbraw/zinc/16/79/28/290167928.db2.gz STMOFPZICUVCOH-STQMWFEESA-N -1 1 312.316 1.216 20 0 DDADMM C[C@@H](C[S@@](C)=O)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000572837849 304559585 /nfs/dbraw/zinc/55/95/85/304559585.db2.gz DZOWAMZTXANKGY-DCXZOGHSSA-N -1 1 318.445 1.342 20 0 DDADMM CN(C[C@@H]1CCC[C@@H]1O)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000165857089 298217297 /nfs/dbraw/zinc/21/72/97/298217297.db2.gz SJCRIQGWHZZVRU-GWCFXTLKSA-N -1 1 301.346 1.156 20 0 DDADMM CC(C)CC[C@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353992762 298332037 /nfs/dbraw/zinc/33/20/37/298332037.db2.gz LQXSXRZATJMJMJ-LLVKDONJSA-N -1 1 303.366 1.458 20 0 DDADMM CCN1C[C@H](C)N(C(=O)N=c2nc3ccccn3[n-]2)C[C@H]1C ZINC000354205719 298363159 /nfs/dbraw/zinc/36/31/59/298363159.db2.gz RSZXRWCALLIFPX-NEPJUHHUSA-N -1 1 302.382 1.098 20 0 DDADMM CCC1(CC)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000362451562 300067376 /nfs/dbraw/zinc/06/73/76/300067376.db2.gz FLCUZBFUVNHQAN-UHFFFAOYSA-N -1 1 303.366 1.460 20 0 DDADMM O=C(COc1ccc(Cl)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000363166738 300224737 /nfs/dbraw/zinc/22/47/37/300224737.db2.gz WRMCFAWQKRLCNH-SNVBAGLBSA-N -1 1 321.768 1.638 20 0 DDADMM CCCc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000363517709 300279544 /nfs/dbraw/zinc/27/95/44/300279544.db2.gz MQYGZXHRIGAQNW-ZDUSSCGKSA-N -1 1 301.350 1.366 20 0 DDADMM Cc1noc([C@@H](NC(=O)c2ncccc2[O-])c2ccccc2)n1 ZINC000364497118 300405302 /nfs/dbraw/zinc/40/53/02/300405302.db2.gz UXONNKHBXIPHIA-ZDUSSCGKSA-N -1 1 310.313 1.998 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C(F)(F)F)CC2)n1 ZINC000369363987 301172937 /nfs/dbraw/zinc/17/29/37/301172937.db2.gz SXDXLZWATMTZHV-UHFFFAOYSA-N -1 1 320.271 1.250 20 0 DDADMM CCCCC[C@@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)C(C)C ZINC000370329347 301317623 /nfs/dbraw/zinc/31/76/23/301317623.db2.gz CRNMRNJKPWAFKB-OLZOCXBDSA-N -1 1 309.414 1.952 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@]2(CCCCO2)C1 ZINC000377885346 302246462 /nfs/dbraw/zinc/24/64/62/302246462.db2.gz SDAGGGTXQVQXGK-CYBMUJFWSA-N -1 1 315.395 1.119 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1sccc1Cl)S(C)(=O)=O ZINC000517290746 302811000 /nfs/dbraw/zinc/81/10/00/302811000.db2.gz WRCPAJJVIYDHFP-ZCFIWIBFSA-N -1 1 317.841 1.113 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]c2ccc[nH]c2=O)s1 ZINC000518429423 302825778 /nfs/dbraw/zinc/82/57/78/302825778.db2.gz LJCOTDBMWQXWGV-UHFFFAOYSA-N -1 1 314.348 1.003 20 0 DDADMM COc1cc(C(=O)N[C@H](C)c2ncnn2C)cc(Cl)c1[O-] ZINC000356702361 306861008 /nfs/dbraw/zinc/86/10/08/306861008.db2.gz NOWBUKYMXXLCML-SSDOTTSWSA-N -1 1 310.741 1.674 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@H]2c2nc3c([nH]2)CCCC3)nc1=O ZINC000329030913 302890279 /nfs/dbraw/zinc/89/02/79/302890279.db2.gz PPGYKSLMJRELOC-GFCCVEGCSA-N -1 1 302.382 1.047 20 0 DDADMM COc1cc(C(=O)NC[C@H](C)S(C)(=O)=O)cc(Cl)c1[O-] ZINC000525952024 302922171 /nfs/dbraw/zinc/92/21/71/302922171.db2.gz WMIHTNUVSDEKPB-ZETCQYMHSA-N -1 1 321.782 1.217 20 0 DDADMM C[C@]1(CNc2nc3[nH][n-]cc-3c(=O)n2)COc2ccccc2O1 ZINC000528079091 303001968 /nfs/dbraw/zinc/00/19/68/303001968.db2.gz NYWLBRVNTCTTJJ-HNNXBMFYSA-N -1 1 313.317 1.074 20 0 DDADMM C[C@]1(Br)C[C@@H]1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000528140969 303006616 /nfs/dbraw/zinc/00/66/16/303006616.db2.gz REXLDYBQTHLQNG-NFNCENRGSA-N -1 1 313.077 1.936 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc2cc(=O)[nH]cc21)c1nn[n-]n1 ZINC000529021043 303081591 /nfs/dbraw/zinc/08/15/91/303081591.db2.gz MBGGPGILWPNYPI-VIFPVBQESA-N -1 1 312.333 1.329 20 0 DDADMM CS(=O)(=O)N1CCCC[C@@H]1C(=O)Nc1cccc(F)c1[O-] ZINC000530004716 303169628 /nfs/dbraw/zinc/16/96/28/303169628.db2.gz DETMCPICBTZBOK-LLVKDONJSA-N -1 1 316.354 1.284 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2nnc3ccccn32)sc1C ZINC000532501925 303288364 /nfs/dbraw/zinc/28/83/64/303288364.db2.gz RUMXQYFQOHSVBE-UHFFFAOYSA-N -1 1 323.403 1.281 20 0 DDADMM O=C([O-])CCN(C[C@H]1CCCO1)C(=O)c1cc(C2CC2)[nH]n1 ZINC000532599090 303291952 /nfs/dbraw/zinc/29/19/52/303291952.db2.gz ZCWNNPXOGKMFIT-LLVKDONJSA-N -1 1 307.350 1.383 20 0 DDADMM CCCn1c(CO)nnc1SCC(=O)c1ccc([O-])cc1 ZINC000532880291 303300595 /nfs/dbraw/zinc/30/05/95/303300595.db2.gz AQRLRBXNGQRCGM-UHFFFAOYSA-N -1 1 307.375 1.861 20 0 DDADMM COc1ccc(CN(C)C(=O)CNC2(C(=O)[O-])CCCC2)cc1 ZINC000532880972 303300707 /nfs/dbraw/zinc/30/07/07/303300707.db2.gz GUSVHJDOOJCFRK-UHFFFAOYSA-N -1 1 320.389 1.641 20 0 DDADMM O=C(N=c1cc(-c2ccc(Cl)s2)[n-][nH]1)[C@H]1COCCO1 ZINC000535286616 303345848 /nfs/dbraw/zinc/34/58/48/303345848.db2.gz AFPWHSFSWCLOJT-MRVPVSSYSA-N -1 1 313.766 1.567 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CCOC[C@@H]2C)sc1C ZINC000560472667 303816236 /nfs/dbraw/zinc/81/62/36/303816236.db2.gz BKBQYCHYOSCFEB-KWQFWETISA-N -1 1 304.437 1.711 20 0 DDADMM FC(F)(F)c1nc(=NC2CC[NH+]([C@@H]3CCOC3)CC2)s[n-]1 ZINC000367265227 307090482 /nfs/dbraw/zinc/09/04/82/307090482.db2.gz UMBFQZLFKCPPQQ-SECBINFHSA-N -1 1 322.356 1.644 20 0 DDADMM CN1C[C@@H]2CN(C(=O)c3cc(Cl)ccc3[O-])CCN2C1=O ZINC000373295526 307183505 /nfs/dbraw/zinc/18/35/05/307183505.db2.gz WEOBTAPDIUGCBU-SNVBAGLBSA-N -1 1 309.753 1.237 20 0 DDADMM O=C(N=c1nc(-c2ccccn2)[nH][n-]1)c1cc2c(cn1)OCCC2 ZINC000376283383 307242184 /nfs/dbraw/zinc/24/21/84/307242184.db2.gz DGMGKQBEIOXGGC-UHFFFAOYSA-N -1 1 322.328 1.261 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000377632593 307275172 /nfs/dbraw/zinc/27/51/72/307275172.db2.gz TVHPURQXZDDVOL-RYUDHWBXSA-N -1 1 313.300 1.690 20 0 DDADMM O=c1cc(CN2CCCS(=O)(=O)CC2)c2ccc([O-])cc2o1 ZINC000377634549 307275220 /nfs/dbraw/zinc/27/52/20/307275220.db2.gz ONAKXNSYYXGAIA-UHFFFAOYSA-N -1 1 323.370 1.119 20 0 DDADMM CCOC[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000529893176 307574695 /nfs/dbraw/zinc/57/46/95/307574695.db2.gz HPKRMRFNZSDVFA-SECBINFHSA-N -1 1 301.289 1.548 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)c2ccccc21 ZINC000544743797 307718922 /nfs/dbraw/zinc/71/89/22/307718922.db2.gz GXKAOTULTHAHIK-SNVBAGLBSA-N -1 1 309.329 1.572 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2cccc(F)c2F)CC1 ZINC000566168930 308047909 /nfs/dbraw/zinc/04/79/09/308047909.db2.gz ABDBVRBWCSDECI-UHFFFAOYSA-N -1 1 305.302 1.090 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000567234994 308075919 /nfs/dbraw/zinc/07/59/19/308075919.db2.gz VMCACAPCHKKVAH-NSHDSACASA-N -1 1 308.403 1.895 20 0 DDADMM O=C([O-])[C@H](CN1CCCCC1)NS(=O)(=O)CCC1CCC1 ZINC000569461563 308144541 /nfs/dbraw/zinc/14/45/41/308144541.db2.gz WWORNMTZHSTNPE-ZDUSSCGKSA-N -1 1 318.439 1.035 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NCc1ccc(F)c(CN(C)C)c1 ZINC000570166420 308161277 /nfs/dbraw/zinc/16/12/77/308161277.db2.gz PHMUKDRQBRFCOB-CQSZACIVSA-N -1 1 310.369 1.860 20 0 DDADMM COc1ccnc(N2CCN(c3nc(C(=O)[O-])co3)CC2)c1 ZINC000576043336 308286173 /nfs/dbraw/zinc/28/61/73/308286173.db2.gz KPJHKWMVSOPUQD-UHFFFAOYSA-N -1 1 304.306 1.103 20 0 DDADMM O=C(NCC[NH+]1CCC1)c1cnc2c(F)cc(F)cc2c1O ZINC000576063192 308287185 /nfs/dbraw/zinc/28/71/85/308287185.db2.gz DYCRQGAIHUHOSM-UHFFFAOYSA-N -1 1 307.300 1.242 20 0 DDADMM CCc1cnc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)o1 ZINC000576579458 308324332 /nfs/dbraw/zinc/32/43/32/308324332.db2.gz IVNHRWFPDDLVRK-UHFFFAOYSA-N -1 1 318.358 1.996 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2ccnn2C)c(=O)[n-]1 ZINC000195405970 325712688 /nfs/dbraw/zinc/71/26/88/325712688.db2.gz NHSFLOIKPMRSAK-UHFFFAOYSA-N -1 1 307.379 1.105 20 0 DDADMM CCO[C@@H]1COC[C@H]1[N-]S(=O)(=O)Cc1ccc(F)cc1F ZINC000582282695 326003219 /nfs/dbraw/zinc/00/32/19/326003219.db2.gz LDLQJHUKNUXNSX-CHWSQXEVSA-N -1 1 321.345 1.188 20 0 DDADMM Cc1cnc(S[C@H](C)c2nc(N)nc(N(C)C)n2)[n-]c1=O ZINC000582371351 326022300 /nfs/dbraw/zinc/02/23/00/326022300.db2.gz WFZWAXIZDADFOG-SSDOTTSWSA-N -1 1 307.383 1.007 20 0 DDADMM NC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000583422549 332399351 /nfs/dbraw/zinc/39/93/51/332399351.db2.gz BQAGYBYQBBZKKA-MNOVXSKESA-N -1 1 308.359 1.365 20 0 DDADMM C[C@@H]1CN(c2ccc(C(=O)[O-])nn2)CCN1Cc1ccccc1 ZINC000396363375 337240803 /nfs/dbraw/zinc/24/08/03/337240803.db2.gz KBTWRBVEBACELK-CYBMUJFWSA-N -1 1 312.373 1.886 20 0 DDADMM CN(C)Cc1ccc(CNc2ccc(C(=O)[O-])nn2)cc1F ZINC000584616847 337373026 /nfs/dbraw/zinc/37/30/26/337373026.db2.gz OASTYNCVYFEQPF-UHFFFAOYSA-N -1 1 304.325 1.410 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2ccc(N)cc2F)s1 ZINC000391809265 483985918 /nfs/dbraw/zinc/98/59/18/483985918.db2.gz YDUZLOKKFUJSMY-UHFFFAOYSA-N -1 1 316.383 1.300 20 0 DDADMM COc1nn(C)cc1NC(=O)c1cc(NC(C)=O)ccc1[O-] ZINC000436989141 484133137 /nfs/dbraw/zinc/13/31/37/484133137.db2.gz NRDTYTYZPANIGJ-UHFFFAOYSA-N -1 1 304.306 1.345 20 0 DDADMM O=C(Nc1nc2c(s1)COCC2)C(=O)c1ccc([O-])cc1 ZINC000436986762 484133173 /nfs/dbraw/zinc/13/31/73/484133173.db2.gz SDMYBAFYARYXCG-UHFFFAOYSA-N -1 1 304.327 1.743 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccc2[nH]ccc21)[C@@H]1CCOC1 ZINC000656621729 484246126 /nfs/dbraw/zinc/24/61/26/484246126.db2.gz WJLWXTFLVJXNSE-ABAIWWIYSA-N -1 1 324.402 1.961 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1n[nH]c(SC)n1)C1CCCC1 ZINC000656729019 484305995 /nfs/dbraw/zinc/30/59/95/484305995.db2.gz SATNBNSWZNFGNI-VIFPVBQESA-N -1 1 320.440 1.474 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000663047283 484624463 /nfs/dbraw/zinc/62/44/63/484624463.db2.gz IPFIBDIJHWZQDK-CYBMUJFWSA-N -1 1 313.260 1.929 20 0 DDADMM O=C(CNC(=O)c1ncc2ccccc2c1[O-])NC1CCCC1 ZINC000667571813 484670860 /nfs/dbraw/zinc/67/08/60/484670860.db2.gz CWEICKPUSIXQOQ-UHFFFAOYSA-N -1 1 313.357 1.729 20 0 DDADMM O=C([O-])CN(C(=O)NC[C@@H]1CCN1Cc1ccccc1)C1CC1 ZINC000663269065 484776217 /nfs/dbraw/zinc/77/62/17/484776217.db2.gz BQUSCVFUSPKBCJ-HNNXBMFYSA-N -1 1 317.389 1.520 20 0 DDADMM N[C@@H](C(=O)NC[C@@H](C(=O)[O-])C1CCC1)c1cccc(Cl)c1 ZINC000663280048 484783258 /nfs/dbraw/zinc/78/32/58/484783258.db2.gz NPKBFITYJLREBB-CHWSQXEVSA-N -1 1 310.781 1.957 20 0 DDADMM CO[C@@H]1CC[C@@H](C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000668743787 485306430 /nfs/dbraw/zinc/30/64/30/485306430.db2.gz JOALKEVSWMIWJC-VXGBXAGGSA-N -1 1 318.377 1.483 20 0 DDADMM CN1C(=O)CC[C@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000672743409 485342594 /nfs/dbraw/zinc/34/25/94/485342594.db2.gz IMTBFMCUIVSNJL-LBPRGKRZSA-N -1 1 300.318 1.342 20 0 DDADMM Cc1oc(C2CC2)nc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000672770906 485346258 /nfs/dbraw/zinc/34/62/58/485346258.db2.gz RDBKDFNYBJTPJR-CYBMUJFWSA-N -1 1 324.344 1.893 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1CCCCN1Cc1ccc(F)cc1 ZINC000673837910 485454194 /nfs/dbraw/zinc/45/41/94/485454194.db2.gz MOFTXFCMROAOAL-ZDUSSCGKSA-N -1 1 318.356 1.010 20 0 DDADMM CC1(C)CN(C(=O)C(=O)c2ccc([O-])cc2)[C@H]1[C@@H]1CCCO1 ZINC000685885731 485474479 /nfs/dbraw/zinc/47/44/79/485474479.db2.gz AYRUMOYHEWZCOR-ZFWWWQNUSA-N -1 1 303.358 1.991 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@]2(C)CCCOC2)c(F)c1 ZINC000678495330 485605911 /nfs/dbraw/zinc/60/59/11/485605911.db2.gz ORXMXZVLZSNHHL-ZDUSSCGKSA-N -1 1 321.345 1.821 20 0 DDADMM COc1cc(C(=O)N2C[C@@H](O)CC(F)(F)C2)cc(Cl)c1[O-] ZINC000682591736 485692769 /nfs/dbraw/zinc/69/27/69/485692769.db2.gz QWPOPXVSEOUPJI-QMMMGPOBSA-N -1 1 321.707 1.896 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](C)[C@@H](F)C2)co1 ZINC000679248493 485821604 /nfs/dbraw/zinc/82/16/04/485821604.db2.gz COJLOANLJCSADN-WPRPVWTQSA-N -1 1 304.343 1.008 20 0 DDADMM C[C@H]1CN(C(=O)C2CC2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000675042521 485846979 /nfs/dbraw/zinc/84/69/79/485846979.db2.gz XTJZRSKOCJFPHH-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)C1Cc2ccccc2C1 ZINC000683350451 485964383 /nfs/dbraw/zinc/96/43/83/485964383.db2.gz BRHIQPWAWRNTRG-MRXNPFEDSA-N -1 1 319.368 1.820 20 0 DDADMM O=C(NC1CC1)C1(c2nc(-c3ccc([O-])cc3F)no2)CC1 ZINC000683591987 486057778 /nfs/dbraw/zinc/05/77/78/486057778.db2.gz IHTOHMMKUPMASY-UHFFFAOYSA-N -1 1 303.293 1.892 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)CCOC(C)(C)C)o1 ZINC000676065843 486101430 /nfs/dbraw/zinc/10/14/30/486101430.db2.gz ICNUFUFERNEUEJ-UHFFFAOYSA-N -1 1 318.395 1.075 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](c2cccc(F)c2)N(C)C)c1[O-] ZINC000676630561 486273014 /nfs/dbraw/zinc/27/30/14/486273014.db2.gz ZHGVRNYGYFOEOV-GFCCVEGCSA-N -1 1 306.341 1.596 20 0 DDADMM C[C@H](CS(C)(=O)=O)N(C(=O)c1ccc([O-])c(F)c1)C1CC1 ZINC000681046139 486329542 /nfs/dbraw/zinc/32/95/42/486329542.db2.gz DIWWZZCYVZZKBB-SECBINFHSA-N -1 1 315.366 1.569 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCNC(=O)[C@@H]1c1cccs1 ZINC000681049600 486331518 /nfs/dbraw/zinc/33/15/18/486331518.db2.gz KBWKARVQIKDSKS-ZDUSSCGKSA-N -1 1 320.345 1.906 20 0 DDADMM Cn1cc(C[C@@H]2CCN(C(=O)c3ccc([O-])c(F)c3)C2)cn1 ZINC000681053552 486333509 /nfs/dbraw/zinc/33/35/09/486333509.db2.gz YNMQTCAIXJEFJK-NSHDSACASA-N -1 1 303.337 1.970 20 0 DDADMM COC[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CCO1 ZINC000684875997 486450376 /nfs/dbraw/zinc/45/03/76/486450376.db2.gz MWYBJDKPYSTNBW-JTQLQIEISA-N -1 1 319.279 1.898 20 0 DDADMM CC[C@@H]1CN(C(=O)C(C)C)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000681737940 486507021 /nfs/dbraw/zinc/50/70/21/486507021.db2.gz AVMYQUIQEVNRDH-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H](Oc1cccnc1)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000685637296 486579394 /nfs/dbraw/zinc/57/93/94/486579394.db2.gz FRBLRHJAYMUTOR-BXUZGUMPSA-N -1 1 324.344 1.268 20 0 DDADMM C[C@H]1CCC[C@H](OCCCCCC(=O)NCc2nn[n-]n2)C1 ZINC000678041407 486614916 /nfs/dbraw/zinc/61/49/16/486614916.db2.gz JOAMYOHLGGOREY-STQMWFEESA-N -1 1 309.414 1.972 20 0 DDADMM COC(=O)c1c[n-]c(SCc2nc(CC(F)(F)F)no2)n1 ZINC000432660183 534307484 /nfs/dbraw/zinc/30/74/84/534307484.db2.gz RZNVIRIFMADSEM-UHFFFAOYSA-N -1 1 322.268 1.976 20 0 DDADMM COC(=O)c1cnc(S[C@H](Cc2ccccc2)C(N)=O)[n-]1 ZINC000432655556 534307687 /nfs/dbraw/zinc/30/76/87/534307687.db2.gz GVFRSLRGMACOLT-LLVKDONJSA-N -1 1 305.359 1.385 20 0 DDADMM COC(=O)c1c[n-]c(S[C@H](Cc2ccccc2)C(N)=O)n1 ZINC000432655556 534307688 /nfs/dbraw/zinc/30/76/88/534307688.db2.gz GVFRSLRGMACOLT-LLVKDONJSA-N -1 1 305.359 1.385 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2C[C@H](C)O[C@H]2C)c1 ZINC000416605279 534321023 /nfs/dbraw/zinc/32/10/23/534321023.db2.gz MMJSQGBDHJLBAO-GUBZILKMSA-N -1 1 317.363 1.158 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN[C@H](c2ccncc2)C1 ZINC000367086192 518202073 /nfs/dbraw/zinc/20/20/73/518202073.db2.gz SQWGPLWGLLWFRP-HNNXBMFYSA-N -1 1 301.321 1.713 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc(Cl)s2)n1 ZINC000338111931 525284177 /nfs/dbraw/zinc/28/41/77/525284177.db2.gz NMMYRNZWHIJZCH-UHFFFAOYSA-N -1 1 306.756 1.175 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2ccc(Cl)s2)n[n-]1 ZINC000338111931 525284181 /nfs/dbraw/zinc/28/41/81/525284181.db2.gz NMMYRNZWHIJZCH-UHFFFAOYSA-N -1 1 306.756 1.175 20 0 DDADMM O=S(=O)([N-]C[C@H]1COCCO1)c1c(F)cccc1Cl ZINC000330911255 534868514 /nfs/dbraw/zinc/86/85/14/534868514.db2.gz HGSGAHGUKITJTM-QMMMGPOBSA-N -1 1 309.746 1.173 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H]1CO)c1cc(F)c(F)cc1F ZINC000452028901 534870712 /nfs/dbraw/zinc/87/07/12/534870712.db2.gz HUKXJQVJZBPDND-CPCISQLKSA-N -1 1 309.309 1.543 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@](C)(C(=O)[N-]O[C@H]2CCOC2)C1 ZINC000495158954 526423381 /nfs/dbraw/zinc/42/33/81/526423381.db2.gz YMNWADCNOCIHGZ-NHYWBVRUSA-N -1 1 314.382 1.470 20 0 DDADMM CC(C)(CCNC(=O)N1CCC(c2cnc[nH]2)CC1)C(=O)[O-] ZINC000424405594 527035918 /nfs/dbraw/zinc/03/59/18/527035918.db2.gz OUQHQARLYKSHNW-UHFFFAOYSA-N -1 1 308.382 1.800 20 0 DDADMM CC(C)(NC(=O)C=Cc1ccc2c(c1)OCCO2)c1nn[n-]n1 ZINC000492039236 527261218 /nfs/dbraw/zinc/26/12/18/527261218.db2.gz IUSSXTQVUSUTFS-GQCTYLIASA-N -1 1 315.333 1.036 20 0 DDADMM CCO[C@@H]1CCCC[C@H]1NS(=O)(=O)c1c(C)o[n-]c1=N ZINC000452097736 527744948 /nfs/dbraw/zinc/74/49/48/527744948.db2.gz NYLGPGJQTARZBP-NXEZZACHSA-N -1 1 303.384 1.022 20 0 DDADMM CCO[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000452097736 527744951 /nfs/dbraw/zinc/74/49/51/527744951.db2.gz NYLGPGJQTARZBP-NXEZZACHSA-N -1 1 303.384 1.022 20 0 DDADMM CCOC(=O)[C@H](CC)C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000412321430 528001708 /nfs/dbraw/zinc/00/17/08/528001708.db2.gz PDPFEXXOABZCQY-SNVBAGLBSA-N -1 1 309.318 1.707 20 0 DDADMM CC1(CO)CN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000332003345 528003064 /nfs/dbraw/zinc/00/30/64/528003064.db2.gz RNMFACJOEILYEY-UHFFFAOYSA-N -1 1 300.152 1.609 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CC)[C@@H](O)CC)o1 ZINC000451977321 528243017 /nfs/dbraw/zinc/24/30/17/528243017.db2.gz NZZVHCFJKWGYCP-UWVGGRQHSA-N -1 1 319.379 1.284 20 0 DDADMM CCC[C@H](OC)c1noc(-c2coc(S(=O)(=O)[N-]C)c2)n1 ZINC000296629385 528357430 /nfs/dbraw/zinc/35/74/30/528357430.db2.gz ZWNHOZZBPPFIEQ-VIFPVBQESA-N -1 1 315.351 1.725 20 0 DDADMM CCCCN(C)c1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000299681456 528371980 /nfs/dbraw/zinc/37/19/80/528371980.db2.gz XOUWWDVGRJVDBF-UHFFFAOYSA-N -1 1 304.350 1.120 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1sc(=S)[nH]c1C ZINC000295654565 528561390 /nfs/dbraw/zinc/56/13/90/528561390.db2.gz NLSCAQKFXKYYOD-UHFFFAOYSA-N -1 1 318.420 1.672 20 0 DDADMM CCCC[C@@H](COC)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295709677 528830838 /nfs/dbraw/zinc/83/08/38/528830838.db2.gz CQULHJIYYMUNHX-NSHDSACASA-N -1 1 308.407 1.953 20 0 DDADMM CCCn1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000331909420 528831183 /nfs/dbraw/zinc/83/11/83/528831183.db2.gz YGIXTAPWPLBZLV-SNVBAGLBSA-N -1 1 305.338 1.402 20 0 DDADMM CC[C@H]1CCCC[C@@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332481958 529202933 /nfs/dbraw/zinc/20/29/33/529202933.db2.gz WWYZKXWNMABJBY-RYUDHWBXSA-N -1 1 323.466 1.816 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2ccc3c(c2)OCO3)c1C ZINC000333499244 536012334 /nfs/dbraw/zinc/01/23/34/536012334.db2.gz VXVJUFAKNOSMSI-UHFFFAOYSA-N -1 1 309.347 1.810 20 0 DDADMM OCC[C@@H]1CCCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000738394409 598854046 /nfs/dbraw/zinc/85/40/46/598854046.db2.gz RAUFBEGSPWFTGN-ZETCQYMHSA-N -1 1 314.802 1.575 20 0 DDADMM OCC[C@@H]1CCCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000738394409 598854048 /nfs/dbraw/zinc/85/40/48/598854048.db2.gz RAUFBEGSPWFTGN-ZETCQYMHSA-N -1 1 314.802 1.575 20 0 DDADMM CC[C@@H](C)[C@H](O)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736331896 598969081 /nfs/dbraw/zinc/96/90/81/598969081.db2.gz QWEPYIGMQCTUCM-BXKDBHETSA-N -1 1 313.365 1.629 20 0 DDADMM CC[C@@H](C)[C@H](O)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736331896 598969082 /nfs/dbraw/zinc/96/90/82/598969082.db2.gz QWEPYIGMQCTUCM-BXKDBHETSA-N -1 1 313.365 1.629 20 0 DDADMM C[C@H](Cc1cccs1)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736065645 598990629 /nfs/dbraw/zinc/99/06/29/598990629.db2.gz GDNFZRBPIVBRIV-SECBINFHSA-N -1 1 314.374 1.684 20 0 DDADMM C[C@H](Cc1cccs1)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736065645 598990632 /nfs/dbraw/zinc/99/06/32/598990632.db2.gz GDNFZRBPIVBRIV-SECBINFHSA-N -1 1 314.374 1.684 20 0 DDADMM COc1ccc(-c2noc(-c3ccc(-c4nnn[n-]4)nc3)n2)cn1 ZINC000737062859 599010192 /nfs/dbraw/zinc/01/01/92/599010192.db2.gz RPQSHXGKPLAXRF-UHFFFAOYSA-N -1 1 322.288 1.382 20 0 DDADMM COc1ccc(-c2noc(-c3ccc(-c4nn[n-]n4)nc3)n2)cn1 ZINC000737062859 599010194 /nfs/dbraw/zinc/01/01/94/599010194.db2.gz RPQSHXGKPLAXRF-UHFFFAOYSA-N -1 1 322.288 1.382 20 0 DDADMM O=C(N[C@@H]1CCc2c1cccc2O)c1ccc(-c2nnn[n-]2)nc1 ZINC000738037379 599033943 /nfs/dbraw/zinc/03/39/43/599033943.db2.gz UQIPSSISVZENEI-GFCCVEGCSA-N -1 1 322.328 1.385 20 0 DDADMM O=C(N[C@@H]1CCc2c1cccc2O)c1ccc(-c2nn[n-]n2)nc1 ZINC000738037379 599033945 /nfs/dbraw/zinc/03/39/45/599033945.db2.gz UQIPSSISVZENEI-GFCCVEGCSA-N -1 1 322.328 1.385 20 0 DDADMM C[C@@H](COCc1ccccc1)Nc1nccnc1-c1nnn[n-]1 ZINC000820286513 599080333 /nfs/dbraw/zinc/08/03/33/599080333.db2.gz BYDCRLAVQFNCID-NSHDSACASA-N -1 1 311.349 1.674 20 0 DDADMM C[C@@H](COCc1ccccc1)Nc1nccnc1-c1nn[n-]n1 ZINC000820286513 599080335 /nfs/dbraw/zinc/08/03/35/599080335.db2.gz BYDCRLAVQFNCID-NSHDSACASA-N -1 1 311.349 1.674 20 0 DDADMM O=C(O[C@H]1CCc2ccccc2C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738221366 599154934 /nfs/dbraw/zinc/15/49/34/599154934.db2.gz WADDYRLPOJWBRJ-AWEZNQCLSA-N -1 1 321.340 1.976 20 0 DDADMM O=C(O[C@H]1CCc2ccccc2C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738221366 599154935 /nfs/dbraw/zinc/15/49/35/599154935.db2.gz WADDYRLPOJWBRJ-AWEZNQCLSA-N -1 1 321.340 1.976 20 0 DDADMM O=C(Cn1cc(-c2nn[n-]n2)c(=O)c2ccccc21)C1CCCC1 ZINC000737980283 599167216 /nfs/dbraw/zinc/16/72/16/599167216.db2.gz BMHXQSYMGWTGHE-UHFFFAOYSA-N -1 1 323.356 1.941 20 0 DDADMM c1ccc2c(c1)CC[C@H](CNc1nccnc1-c1nnn[n-]1)C2 ZINC000738437559 599232260 /nfs/dbraw/zinc/23/22/60/599232260.db2.gz QESFWNCMOAXAMH-NSHDSACASA-N -1 1 307.361 1.874 20 0 DDADMM c1ccc2c(c1)CC[C@H](CNc1nccnc1-c1nn[n-]n1)C2 ZINC000738437559 599232262 /nfs/dbraw/zinc/23/22/62/599232262.db2.gz QESFWNCMOAXAMH-NSHDSACASA-N -1 1 307.361 1.874 20 0 DDADMM CN(CCC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000818428999 596981499 /nfs/dbraw/zinc/98/14/99/596981499.db2.gz DYNSKSFLWHAXDM-LBPRGKRZSA-N -1 1 309.366 1.533 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)NC[C@@H]1CCN(c2ccccc2)C1 ZINC000737991958 596996115 /nfs/dbraw/zinc/99/61/15/596996115.db2.gz VQAXJYDAOAJMLN-AWEZNQCLSA-N -1 1 319.405 1.036 20 0 DDADMM Cc1nc(C)c(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000737472995 599242301 /nfs/dbraw/zinc/24/23/01/599242301.db2.gz DDHBYJIXKUONAM-UHFFFAOYSA-N -1 1 315.362 1.265 20 0 DDADMM Cc1nc(C)c(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000737472995 599242302 /nfs/dbraw/zinc/24/23/02/599242302.db2.gz DDHBYJIXKUONAM-UHFFFAOYSA-N -1 1 315.362 1.265 20 0 DDADMM C[C@@H]1C(=O)N(CN2CCC(C(=O)[O-])CC2)[C@@H]1c1ccccc1 ZINC000818085471 597206587 /nfs/dbraw/zinc/20/65/87/597206587.db2.gz YMMNWVQRPNWCPP-WFASDCNBSA-N -1 1 302.374 1.960 20 0 DDADMM C[C@@H](NCC(=O)NCC(=O)[O-])c1ccc(OC(F)(F)F)cc1 ZINC000820142652 597807429 /nfs/dbraw/zinc/80/74/29/597807429.db2.gz ZQMLKORZSHUWMW-MRVPVSSYSA-N -1 1 320.267 1.437 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1ccn(-c2ccccc2)n1 ZINC000738372057 598283767 /nfs/dbraw/zinc/28/37/67/598283767.db2.gz MYWAFQCWMZKZQZ-UHFFFAOYSA-N -1 1 319.328 1.262 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCCNc1nccnc1-c1nnn[n-]1 ZINC000736269346 598344599 /nfs/dbraw/zinc/34/45/99/598344599.db2.gz GPEUODHAUQCJEU-GHMZBOCLSA-N -1 1 303.370 1.664 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCCNc1nccnc1-c1nn[n-]n1 ZINC000736269346 598344601 /nfs/dbraw/zinc/34/46/01/598344601.db2.gz GPEUODHAUQCJEU-GHMZBOCLSA-N -1 1 303.370 1.664 20 0 DDADMM Fc1cc(F)cc(CCNc2nccnc2-c2nnn[n-]2)c1 ZINC000737654192 598351884 /nfs/dbraw/zinc/35/18/84/598351884.db2.gz YPGLRMJLFWIZAR-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM Fc1cc(F)cc(CCNc2nccnc2-c2nn[n-]n2)c1 ZINC000737654192 598351886 /nfs/dbraw/zinc/35/18/86/598351886.db2.gz YPGLRMJLFWIZAR-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM Fc1ccccc1[C@@H]1CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC000737663926 598428050 /nfs/dbraw/zinc/42/80/50/598428050.db2.gz KXUHEKAKXDVFKD-SNVBAGLBSA-N -1 1 311.324 1.790 20 0 DDADMM Fc1ccccc1[C@@H]1CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC000737663926 598428051 /nfs/dbraw/zinc/42/80/51/598428051.db2.gz KXUHEKAKXDVFKD-SNVBAGLBSA-N -1 1 311.324 1.790 20 0 DDADMM Cc1cc(C)cc(OCCn2cccc(-c3nn[n-]n3)c2=O)c1 ZINC000737257572 598675585 /nfs/dbraw/zinc/67/55/85/598675585.db2.gz WJRURKATXXFWNF-UHFFFAOYSA-N -1 1 311.345 1.724 20 0 DDADMM CCc1ccccc1NC(=O)Cn1cccc(-c2nn[n-]n2)c1=O ZINC000736701576 598675979 /nfs/dbraw/zinc/67/59/79/598675979.db2.gz FBQGLKKYWHKTCB-UHFFFAOYSA-N -1 1 324.344 1.230 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1CCOc1ccccc1F ZINC000738372990 598677608 /nfs/dbraw/zinc/67/76/08/598677608.db2.gz YYZRDBJQJSPGBT-UHFFFAOYSA-N -1 1 301.281 1.247 20 0 DDADMM C[C@@]1(CNc2snc(Cl)c2-c2nnn[n-]2)CCOC1 ZINC000736217019 598817115 /nfs/dbraw/zinc/81/71/15/598817115.db2.gz PUMTZVCXRXPGHJ-JTQLQIEISA-N -1 1 300.775 1.815 20 0 DDADMM C[C@@]1(CNc2snc(Cl)c2-c2nn[n-]n2)CCOC1 ZINC000736217019 598817117 /nfs/dbraw/zinc/81/71/17/598817117.db2.gz PUMTZVCXRXPGHJ-JTQLQIEISA-N -1 1 300.775 1.815 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000736370503 599808535 /nfs/dbraw/zinc/80/85/35/599808535.db2.gz VZHPOCMSZMCHKV-TZMCWYRMSA-N -1 1 323.393 1.825 20 0 DDADMM CCOCCN1CCN(Cc2ccc(C(=O)[O-])o2)C[C@@H]1CC ZINC000737177848 599962509 /nfs/dbraw/zinc/96/25/09/599962509.db2.gz UFUMHZCBISQBEK-ZDUSSCGKSA-N -1 1 310.394 1.911 20 0 DDADMM O=C([O-])[C@H]1Cc2ccccc2CN1C(=O)CN1CCCCC1 ZINC000739628120 600002932 /nfs/dbraw/zinc/00/29/32/600002932.db2.gz YGIPNZZMHLKFKK-OAHLLOKOSA-N -1 1 302.374 1.510 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@H](C)N1CCC[C@@H](C(=O)[O-])C1 ZINC000263148578 600134057 /nfs/dbraw/zinc/13/40/57/600134057.db2.gz LGGRTLVYOYWGBU-NWDGAFQWSA-N -1 1 308.382 1.588 20 0 DDADMM Cc1ccc([C@H](CNC(=O)c2[nH]nc3c2CCC3)C(=O)[O-])cc1 ZINC000738570826 600155557 /nfs/dbraw/zinc/15/55/57/600155557.db2.gz XXKAKAJKTGZFRX-ZDUSSCGKSA-N -1 1 313.357 1.805 20 0 DDADMM C[C@@H]1CN(CCC(=O)NCC(=O)[O-])C[C@H](c2ccccc2)O1 ZINC000736813156 600160153 /nfs/dbraw/zinc/16/01/53/600160153.db2.gz ZAKGXTMWUCPPCC-TZMCWYRMSA-N -1 1 306.362 1.039 20 0 DDADMM C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cn1cnc(C(=O)[O-])n1 ZINC000736764432 600259559 /nfs/dbraw/zinc/25/95/59/600259559.db2.gz WOPPVZPNZQKDHO-PWSUYJOCSA-N -1 1 304.325 1.951 20 0 DDADMM CC(C)CCN1C(=O)C[C@@H](N2CCC3(C[C@@H]3C(=O)[O-])CC2)C1=O ZINC000736426285 600314765 /nfs/dbraw/zinc/31/47/65/600314765.db2.gz KVMBOMNEMQDEAT-CHWSQXEVSA-N -1 1 322.405 1.347 20 0 DDADMM COc1cc(NC(=O)CN(C)C[C@@H](C)C(=O)[O-])cc(OC)c1 ZINC000737891362 600368419 /nfs/dbraw/zinc/36/84/19/600368419.db2.gz FYBGOBWYVSTINX-SNVBAGLBSA-N -1 1 310.350 1.295 20 0 DDADMM COCCN(CC(=O)[O-])C[C@H](O)c1ccc(C(F)(F)F)cc1 ZINC000737777796 600447455 /nfs/dbraw/zinc/44/74/55/600447455.db2.gz PVNLHNAVOLEMTF-LBPRGKRZSA-N -1 1 321.295 1.772 20 0 DDADMM COC(=O)c1coc(CN(CCC(=O)[O-])C[C@H]2CCCO2)c1 ZINC000737713811 600512211 /nfs/dbraw/zinc/51/22/11/600512211.db2.gz WSOWSDRQWOYYKS-GFCCVEGCSA-N -1 1 311.334 1.522 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N[C@H]1CCCC[C@H]1C ZINC000737794078 600641844 /nfs/dbraw/zinc/64/18/44/600641844.db2.gz IUZOGKMWVYTXAM-OLZOCXBDSA-N -1 1 300.399 1.104 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CCC[C@](C)(c2ccccc2)C1 ZINC000736205881 600643481 /nfs/dbraw/zinc/64/34/81/600643481.db2.gz AUBVYULAHGGIJG-DYVFJYSZSA-N -1 1 304.390 1.629 20 0 DDADMM CCN1CCN(c2ccc(C(=O)O[C@@H](C)C(=O)[O-])cc2)CC1 ZINC000737117097 600804662 /nfs/dbraw/zinc/80/46/62/600804662.db2.gz VBPDLUFYWIVTDH-LBPRGKRZSA-N -1 1 306.362 1.458 20 0 DDADMM Cc1ccc([C@@H]2C[C@H](C)CCN2CC(=O)NCCC(=O)[O-])o1 ZINC000832930053 600858281 /nfs/dbraw/zinc/85/82/81/600858281.db2.gz PEJNPPBYRBLJGZ-YPMHNXCESA-N -1 1 308.378 1.952 20 0 DDADMM COCCN1CCCN(C(=O)[C@@H]2CCC[C@H](C(=O)[O-])C2)CC1 ZINC000320647090 600910990 /nfs/dbraw/zinc/91/09/90/600910990.db2.gz FWQHCUDFXBLQHX-KGLIPLIRSA-N -1 1 312.410 1.058 20 0 DDADMM Cc1nnc(SCC(=O)N(C)[C@@H](C(=O)[O-])c2ccccc2)[nH]1 ZINC000832990573 600961566 /nfs/dbraw/zinc/96/15/66/600961566.db2.gz CORDHPAJMSCUTI-GFCCVEGCSA-N -1 1 320.374 1.490 20 0 DDADMM Cc1n[nH]c(SCC(=O)N(C)[C@@H](C(=O)[O-])c2ccccc2)n1 ZINC000832990573 600961570 /nfs/dbraw/zinc/96/15/70/600961570.db2.gz CORDHPAJMSCUTI-GFCCVEGCSA-N -1 1 320.374 1.490 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N1C(=O)CN1CCSC[C@@H]1CC(=O)[O-] ZINC000091130873 601016476 /nfs/dbraw/zinc/01/64/76/601016476.db2.gz MKRIWVUQSGSAQU-UPJWGTAASA-N -1 1 314.451 1.668 20 0 DDADMM CC(C)C[C@H](C)N(C)C(=O)CN1CCSC[C@H]1CC(=O)[O-] ZINC000826759924 601026235 /nfs/dbraw/zinc/02/62/35/601026235.db2.gz WEIXPPZBBZUXFC-QWHCGFSZSA-N -1 1 316.467 1.772 20 0 DDADMM Cc1cccc(C[C@@H](CNC(=O)CCc2nc[nH]n2)C(=O)[O-])c1 ZINC000832969717 601137324 /nfs/dbraw/zinc/13/73/24/601137324.db2.gz ODZNJKMZBCNYEL-ZDUSSCGKSA-N -1 1 316.361 1.105 20 0 DDADMM COc1ccc(CN(C)C(=O)CN[C@](C)(C(=O)[O-])C2CC2)cc1 ZINC000832304229 601176013 /nfs/dbraw/zinc/17/60/13/601176013.db2.gz DWCDWHHOBMMFSK-KRWDZBQOSA-N -1 1 320.389 1.497 20 0 DDADMM C[C@H](O)CN1CCN(C(=O)Nc2ccc(C(=O)[O-])cc2)C[C@@H]1C ZINC000827796633 601191969 /nfs/dbraw/zinc/19/19/69/601191969.db2.gz ZUBBXHOTRVRLEV-RYUDHWBXSA-N -1 1 321.377 1.304 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1ccnc(C(=O)[O-])c1)c1ccsc1 ZINC000830585120 601277481 /nfs/dbraw/zinc/27/74/81/601277481.db2.gz PRBLCRNSILLNIE-ZDUSSCGKSA-N -1 1 319.386 1.874 20 0 DDADMM O=C([O-])c1cc(C(=O)N2CCC(c3cnc[nH]3)CC2)ccn1 ZINC000833295669 601294786 /nfs/dbraw/zinc/29/47/86/601294786.db2.gz FDPZCUZQNRHFAR-UHFFFAOYSA-N -1 1 300.318 1.523 20 0 DDADMM COC[C@]1(C)CC(=O)N(CN2CCC3(C[C@@H]3C(=O)[O-])CC2)C1 ZINC000831660445 601300780 /nfs/dbraw/zinc/30/07/80/601300780.db2.gz IKOXVIQPSUXGON-IUODEOHRSA-N -1 1 310.394 1.016 20 0 DDADMM CC1(C)C(=O)N(CN2CC[C@@H](C(=O)[O-])C2)[C@H]1c1ccccc1 ZINC000818075801 601397793 /nfs/dbraw/zinc/39/77/93/601397793.db2.gz NGWUCMXYQVRKPK-KGLIPLIRSA-N -1 1 302.374 1.960 20 0 DDADMM O=C([O-])[C@@H](c1ccccc1F)N1CCN(CCCCO)CC1 ZINC000833173801 601423546 /nfs/dbraw/zinc/42/35/46/601423546.db2.gz IUOSKLNABNAQED-OAHLLOKOSA-N -1 1 310.369 1.342 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C[C@@H](O)COCc2ccccc2F)C1 ZINC000833174632 601439300 /nfs/dbraw/zinc/43/93/00/601439300.db2.gz IBKUZPCZYJXTQT-IUODEOHRSA-N -1 1 315.316 1.202 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCC(c2cccc(F)c2)CC1 ZINC000833237184 601452366 /nfs/dbraw/zinc/45/23/66/601452366.db2.gz WITYXZFBHWPKJL-UHFFFAOYSA-N -1 1 308.353 1.596 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCc1ccsc1C(=O)OC ZINC000831585797 601490086 /nfs/dbraw/zinc/49/00/86/601490086.db2.gz PSNIACKQMQYZHZ-ZDUSSCGKSA-N -1 1 301.364 1.504 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(Cl)cn1)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828425370 601507920 /nfs/dbraw/zinc/50/79/20/601507920.db2.gz ZKZQUIWQPLGRIE-WCABBAIRSA-N -1 1 311.769 1.715 20 0 DDADMM CCCCCN(CCO)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825276037 607943714 /nfs/dbraw/zinc/94/37/14/607943714.db2.gz NIDVFJVLTOHBHS-UHFFFAOYSA-N -1 1 309.395 1.553 20 0 DDADMM CCCCCN(CCO)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825276037 607943715 /nfs/dbraw/zinc/94/37/15/607943715.db2.gz NIDVFJVLTOHBHS-UHFFFAOYSA-N -1 1 309.395 1.553 20 0 DDADMM O=C([O-])c1ccc(CN2CCC(N3CCNC3=O)CC2)cc1F ZINC000833312829 601620655 /nfs/dbraw/zinc/62/06/55/601620655.db2.gz HHOOEKHERVEGEI-UHFFFAOYSA-N -1 1 321.352 1.514 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CC[C@@](F)(C(=O)[O-])C1 ZINC000830585051 601653990 /nfs/dbraw/zinc/65/39/90/601653990.db2.gz MJWGNBLANAJIJO-CJNGLKHVSA-N -1 1 308.353 1.185 20 0 DDADMM Cc1cc(CC(=O)Nc2nc(CCCC(=O)[O-])cs2)n[nH]1 ZINC000832883509 601785513 /nfs/dbraw/zinc/78/55/13/601785513.db2.gz NTFAUKPCGLMIMF-UHFFFAOYSA-N -1 1 308.363 1.763 20 0 DDADMM C[C@@H]1CN(CN2C[C@@H](c3ccccn3)CC2=O)C[C@@H]1C(=O)[O-] ZINC000828452202 601816643 /nfs/dbraw/zinc/81/66/43/601816643.db2.gz YVDLHEHVOOVDGF-AGIUHOORSA-N -1 1 303.362 1.008 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CN2C[C@@H](c3ccccn3)CC2=O)C1 ZINC000828029011 601890335 /nfs/dbraw/zinc/89/03/35/601890335.db2.gz MHXNTLBYVKFOSJ-BLLLJJGKSA-N -1 1 303.362 1.152 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C[C@H](O)c1ccc(F)c(F)c1 ZINC000833220977 601894840 /nfs/dbraw/zinc/89/48/40/601894840.db2.gz GDFNQLRNIHOHIT-MFKMUULPSA-N -1 1 317.357 1.890 20 0 DDADMM O=C([O-])NC[C@H]1CCN(CC(=O)NCc2ccc(F)cc2)C1 ZINC000740642104 601964085 /nfs/dbraw/zinc/96/40/85/601964085.db2.gz LKCGIMCXJNHLSX-GFCCVEGCSA-N -1 1 309.341 1.031 20 0 DDADMM C[C@H](C(=O)N1CCC(C)CC1)N1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000739111614 601980821 /nfs/dbraw/zinc/98/08/21/601980821.db2.gz CNPUABGIQGSVEN-ZIAGYGMSSA-N -1 1 311.426 1.708 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@](C)(C(=O)[O-])C2)c1Br ZINC000823843168 602016439 /nfs/dbraw/zinc/01/64/39/602016439.db2.gz XVGFLEKHJBNKKK-NSHDSACASA-N -1 1 316.155 1.417 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@H]1CCCc2[nH]ncc21)c1ccccn1 ZINC000833126769 602043925 /nfs/dbraw/zinc/04/39/25/602043925.db2.gz SWYCPETZMIBGAC-TVQRCGJNSA-N -1 1 300.318 1.167 20 0 DDADMM Clc1ccc(SCc2ccnc(-c3nnn[n-]3)c2)nn1 ZINC000826352992 607542737 /nfs/dbraw/zinc/54/27/37/607542737.db2.gz WYXWKCUHYAFIRB-UHFFFAOYSA-N -1 1 305.754 1.997 20 0 DDADMM Clc1ccc(SCc2ccnc(-c3nn[n-]n3)c2)nn1 ZINC000826352992 607542739 /nfs/dbraw/zinc/54/27/39/607542739.db2.gz WYXWKCUHYAFIRB-UHFFFAOYSA-N -1 1 305.754 1.997 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)Nc1ccc(CN2CCN(C)CC2)cc1 ZINC000827311013 602095059 /nfs/dbraw/zinc/09/50/59/602095059.db2.gz OMGYGCJIEGNMRG-CYBMUJFWSA-N -1 1 319.405 1.483 20 0 DDADMM CN1CCO[C@H]2CN(c3nc(Cl)c(C(=O)[O-])s3)C[C@@H]21 ZINC000830965496 602137543 /nfs/dbraw/zinc/13/75/43/602137543.db2.gz RMVAUNOANMEBJN-BQBZGAKWSA-N -1 1 303.771 1.014 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)N[C@H]2CCCc3cn[nH]c32)o1 ZINC000833324836 602225220 /nfs/dbraw/zinc/22/52/20/602225220.db2.gz MOLQEGGZDIBORM-QMMMGPOBSA-N -1 1 311.319 1.057 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCCN1CC(=O)N1CCCC[C@H]1C ZINC000739008298 602274261 /nfs/dbraw/zinc/27/42/61/602274261.db2.gz JALWOPVBCLHTGD-HZSPNIEDSA-N -1 1 311.426 1.898 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000739529678 602351423 /nfs/dbraw/zinc/35/14/23/602351423.db2.gz IAFHLKJHHGTXJG-QWHCGFSZSA-N -1 1 311.357 1.591 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000739311215 602362994 /nfs/dbraw/zinc/36/29/94/602362994.db2.gz DGSIUHLTYJAMBD-FRRDWIJNSA-N -1 1 315.414 1.038 20 0 DDADMM C[C@@H](O)[C@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000824691017 607562961 /nfs/dbraw/zinc/56/29/61/607562961.db2.gz XENOULFBYPHIBF-BDAKNGLRSA-N -1 1 308.773 1.512 20 0 DDADMM C[C@@H](O)[C@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000824691017 607562962 /nfs/dbraw/zinc/56/29/62/607562962.db2.gz XENOULFBYPHIBF-BDAKNGLRSA-N -1 1 308.773 1.512 20 0 DDADMM CN(CC1CCN(CC(=O)NCCC(C)(C)C)CC1)C(=O)[O-] ZINC000739658643 602381967 /nfs/dbraw/zinc/38/19/67/602381967.db2.gz AIUZXHIKYPFGFZ-UHFFFAOYSA-N -1 1 313.442 1.861 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(CC(=O)NC2CCCCC2)CC1 ZINC000739029330 602444747 /nfs/dbraw/zinc/44/47/47/602444747.db2.gz UXVLUWPBCMXNGX-LBPRGKRZSA-N -1 1 311.426 1.803 20 0 DDADMM O=C([O-])NC[C@@H](Nc1ncnc2[nH]cnc21)C1CCCCC1 ZINC000740615122 602456788 /nfs/dbraw/zinc/45/67/88/602456788.db2.gz VMRHLWOECSUSDZ-SNVBAGLBSA-N -1 1 304.354 1.981 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CCCN(C(=O)[O-])CC1 ZINC000737952165 602457898 /nfs/dbraw/zinc/45/78/98/602457898.db2.gz ZQNUWSIOFMCFGY-HNNXBMFYSA-N -1 1 319.405 1.372 20 0 DDADMM O=C([O-])N1CCC[C@H]1CNS(=O)(=O)c1c(F)cccc1F ZINC000740520776 602593314 /nfs/dbraw/zinc/59/33/14/602593314.db2.gz NCYCFZHZBKGETD-QMMMGPOBSA-N -1 1 320.317 1.386 20 0 DDADMM CCCN(C[C@H]1CCCN(C(=O)[O-])C1)[C@@H]1CCS(=O)(=O)C1 ZINC000739359530 602702932 /nfs/dbraw/zinc/70/29/32/602702932.db2.gz YGSLZWYJFJCUCA-CHWSQXEVSA-N -1 1 318.439 1.276 20 0 DDADMM CCc1cc(CNC(=O)[C@@H]2C[C@H]3CCCC[C@H]3N2C(=O)[O-])n[nH]1 ZINC000739536964 602717482 /nfs/dbraw/zinc/71/74/82/602717482.db2.gz XGEIDLLNPVRIGQ-HONMWMINSA-N -1 1 320.393 1.899 20 0 DDADMM O=C([O-])N1CC[C@@H](N[C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000740505219 602719713 /nfs/dbraw/zinc/71/97/13/602719713.db2.gz LEVQNPAEJOQUKV-ZYHUDNBSSA-N -1 1 307.325 1.273 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCN(C(=O)[O-])C[C@@H]2C)c1 ZINC000740054543 602729995 /nfs/dbraw/zinc/72/99/95/602729995.db2.gz AMGHZGCLWVNGCC-JTQLQIEISA-N -1 1 302.334 1.696 20 0 DDADMM CCN(CC(=O)NCc1ccc(F)c(CN(C)C)c1)C(=O)[O-] ZINC000739395426 602761504 /nfs/dbraw/zinc/76/15/04/602761504.db2.gz ZAOFMFQNBUGURY-UHFFFAOYSA-N -1 1 311.357 1.503 20 0 DDADMM Cc1ccccc1OC[C@@H](O)CN1C[C@@H](C)N(C(=O)[O-])[C@@H](C)C1 ZINC000740193202 602835415 /nfs/dbraw/zinc/83/54/15/602835415.db2.gz GPJKPZCDABIYOI-ZNMIVQPWSA-N -1 1 322.405 1.807 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@H]2CC[N@@H+]3CCC[C@@H]3C2)c([O-])c1 ZINC000740698486 602851273 /nfs/dbraw/zinc/85/12/73/602851273.db2.gz MTGGUSQBHGGPJO-NWDGAFQWSA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@H]2CC[N@H+]3CCC[C@@H]3C2)c([O-])c1 ZINC000740698486 602851275 /nfs/dbraw/zinc/85/12/75/602851275.db2.gz MTGGUSQBHGGPJO-NWDGAFQWSA-N -1 1 319.361 1.839 20 0 DDADMM C[C@@H](CS(C)(=O)=O)N(C[C@H]1CCCN(C(=O)[O-])C1)C1CC1 ZINC000738949286 602862890 /nfs/dbraw/zinc/86/28/90/602862890.db2.gz KCFRAPXOLOHQBY-RYUDHWBXSA-N -1 1 318.439 1.274 20 0 DDADMM CCc1cc(CNC(=O)c2ccc(NC(=O)[O-])cc2O)[nH]n1 ZINC000739537237 602865649 /nfs/dbraw/zinc/86/56/49/602865649.db2.gz DPWCBHRVNCDCBG-UHFFFAOYSA-N -1 1 304.306 1.698 20 0 DDADMM O=C([O-])N(CC(=O)Nc1ccc2nc[nH]c2c1)C[C@H]1CCCO1 ZINC000740362779 602869278 /nfs/dbraw/zinc/86/92/78/602869278.db2.gz BVZQNQBJNMHEOY-LLVKDONJSA-N -1 1 318.333 1.660 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)NC[C@H]1CCCN1C(=O)[O-] ZINC000738801622 602913457 /nfs/dbraw/zinc/91/34/57/602913457.db2.gz SNMMQOOYAAUNMK-CHWSQXEVSA-N -1 1 312.414 1.110 20 0 DDADMM C[C@H]1CN(C(=O)c2nnc3ccccc3c2O)CCN1C(=O)[O-] ZINC000739171012 602924677 /nfs/dbraw/zinc/92/46/77/602924677.db2.gz GDNQNZOVIMGEMJ-VIFPVBQESA-N -1 1 316.317 1.160 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CCN(C(=O)[O-])[C@@H](C)C1)c1ccccc1 ZINC000739385653 602941836 /nfs/dbraw/zinc/94/18/36/602941836.db2.gz SHEDSPSKDHZOGT-DZGCQCFKSA-N -1 1 319.405 1.890 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@@H]1NC(=O)Cc1[nH]nc2ccccc21 ZINC000740627173 602975804 /nfs/dbraw/zinc/97/58/04/602975804.db2.gz ZJXQSBFBBGWMMN-PWSUYJOCSA-N -1 1 316.361 1.658 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)NCCN(C(=O)[O-])C1CC1 ZINC000739199836 602994164 /nfs/dbraw/zinc/99/41/64/602994164.db2.gz SNFNWKLIPUUFPQ-GXTWGEPZSA-N -1 1 324.425 1.397 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)NCCCN(C)C(=O)[O-] ZINC000739199049 602995864 /nfs/dbraw/zinc/99/58/64/602995864.db2.gz NXWDMLOZSJQAOJ-STQMWFEESA-N -1 1 312.414 1.255 20 0 DDADMM O=C([O-])NC[C@@H](NC(=O)c1cc(F)cc2nc[nH]c21)C1CC1 ZINC000740610734 603045998 /nfs/dbraw/zinc/04/59/98/603045998.db2.gz GVKYXZCBWOEAPD-LLVKDONJSA-N -1 1 306.297 1.478 20 0 DDADMM C[C@H]1C[C@H]1C(=O)Nc1ccc(-c2nc(CNC(=O)[O-])n[nH]2)cc1 ZINC000739083127 603056069 /nfs/dbraw/zinc/05/60/69/603056069.db2.gz NZDFFIFXOYYOSX-GZMMTYOYSA-N -1 1 315.333 1.834 20 0 DDADMM C[C@H]1C[C@H]1C(=O)Nc1ccc(-c2n[nH]c(CNC(=O)[O-])n2)cc1 ZINC000739083127 603056071 /nfs/dbraw/zinc/05/60/71/603056071.db2.gz NZDFFIFXOYYOSX-GZMMTYOYSA-N -1 1 315.333 1.834 20 0 DDADMM Cc1cc(C)c(N)c(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000826263116 607606353 /nfs/dbraw/zinc/60/63/53/607606353.db2.gz LVZZWYNRQLFGGH-UHFFFAOYSA-N -1 1 324.344 1.818 20 0 DDADMM Cc1cc(C)c(N)c(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000826263116 607606354 /nfs/dbraw/zinc/60/63/54/607606354.db2.gz LVZZWYNRQLFGGH-UHFFFAOYSA-N -1 1 324.344 1.818 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H](C(=O)N2CCN(C3CCC3)CC2)C1 ZINC000740568678 603267295 /nfs/dbraw/zinc/26/72/95/603267295.db2.gz ZCXWGTUHCFZBGV-QWHCGFSZSA-N -1 1 309.410 1.510 20 0 DDADMM CN(CC(=O)N(C)C1CCCCC1)C[C@H]1CCN(C(=O)[O-])C1 ZINC000739618239 603325740 /nfs/dbraw/zinc/32/57/40/603325740.db2.gz YFYRCYGJLXFCOD-CYBMUJFWSA-N -1 1 311.426 1.709 20 0 DDADMM CCN(CC1CCN(CC(=O)N[C@@H](C)C(C)C)CC1)C(=O)[O-] ZINC000827093113 603512940 /nfs/dbraw/zinc/51/29/40/603512940.db2.gz LYQDMMPEFOUSTN-ZDUSSCGKSA-N -1 1 313.442 1.859 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)[C@H]1CCC[C@H](NC(=O)[O-])C1 ZINC000829833428 603543701 /nfs/dbraw/zinc/54/37/01/603543701.db2.gz JZJKNAYTEGKNHW-AAEUAGOBSA-N -1 1 308.382 1.593 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1CNCc1cn2ccccc2n1 ZINC000826126673 603564516 /nfs/dbraw/zinc/56/45/16/603564516.db2.gz PODOPNNWAHLMHW-AAEUAGOBSA-N -1 1 318.377 1.927 20 0 DDADMM C[C@@H](C1CC1)N(C(=O)CN1CCC[C@@H]1CN(C)C(=O)[O-])C1CC1 ZINC000824545241 603579772 /nfs/dbraw/zinc/57/97/72/603579772.db2.gz VSRQPAVQHPCBMT-SWLSCSKDSA-N -1 1 323.437 1.850 20 0 DDADMM CN(C[C@@H]1CCCN1Cc1cc(=O)n2ccccc2n1)C(=O)[O-] ZINC000828224631 603581132 /nfs/dbraw/zinc/58/11/32/603581132.db2.gz ZAFUYWWHNBZEMB-ZDUSSCGKSA-N -1 1 316.361 1.269 20 0 DDADMM O=C([O-])N1CCC[C@@H](NC(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000831985122 603635166 /nfs/dbraw/zinc/63/51/66/603635166.db2.gz HKHJORWRADYMME-GFCCVEGCSA-N -1 1 321.381 1.441 20 0 DDADMM C[C@H](C(=O)N1CCCN(C(=O)[O-])CC1)N(C)Cc1ccccc1 ZINC000823668737 603681358 /nfs/dbraw/zinc/68/13/58/603681358.db2.gz GQNZLEMAYLKGFG-CQSZACIVSA-N -1 1 319.405 1.719 20 0 DDADMM CC(C)[C@@H](CCN(C)[C@@H](C)C(=O)NC(C)(C)C)NC(=O)[O-] ZINC000824097720 603850671 /nfs/dbraw/zinc/85/06/71/603850671.db2.gz ADTRGOMVRNDGRQ-NWDGAFQWSA-N -1 1 301.431 1.904 20 0 DDADMM CC(C)[C@H](CCN(C)Cc1cn2cccnc2n1)NC(=O)[O-] ZINC000824104007 603854254 /nfs/dbraw/zinc/85/42/54/603854254.db2.gz BLAIFNIJDPQHFU-ZDUSSCGKSA-N -1 1 305.382 1.843 20 0 DDADMM O=C([O-])N1CCC(CNC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000831645587 603944888 /nfs/dbraw/zinc/94/48/88/603944888.db2.gz DEYVQNBHAJLYCK-LLVKDONJSA-N -1 1 306.366 1.336 20 0 DDADMM CCCC[C@@H](CNC(=O)[O-])NC(=O)c1ccc2[nH]nnc2c1 ZINC000826805462 604013655 /nfs/dbraw/zinc/01/36/55/604013655.db2.gz FUFMMJVFYWYPKK-JTQLQIEISA-N -1 1 305.338 1.514 20 0 DDADMM CN(C[C@H]1CCCN(CN2C(=O)C(C)(C)C2(C)C)C1)C(=O)[O-] ZINC000828182146 604205677 /nfs/dbraw/zinc/20/56/77/604205677.db2.gz ZMTHATPGVIFUHY-GFCCVEGCSA-N -1 1 311.426 1.913 20 0 DDADMM CC(C)CCNC(=O)[C@H](C)N1CCC[C@@H]([C@H](C)NC(=O)[O-])C1 ZINC000824238807 604222269 /nfs/dbraw/zinc/22/22/69/604222269.db2.gz LMFSGWGDFJZTAX-MELADBBJSA-N -1 1 313.442 1.905 20 0 DDADMM C[C@H]1CCCN(CCNC(=O)OC(C)(C)C)[C@@H]1CNC(=O)[O-] ZINC000825926902 604369255 /nfs/dbraw/zinc/36/92/55/604369255.db2.gz ZCBMOISTSDBAKL-NWDGAFQWSA-N -1 1 315.414 1.879 20 0 DDADMM CC(C)c1nnc(NC(=O)CNC2(C(=O)[O-])CCCC2)s1 ZINC000817990626 604474438 /nfs/dbraw/zinc/47/44/38/604474438.db2.gz NUFAVENYHFHNEK-UHFFFAOYSA-N -1 1 312.395 1.587 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@H]1N(C)CC(=O)NCCC(=O)[O-] ZINC000829056516 604500150 /nfs/dbraw/zinc/50/01/50/604500150.db2.gz XCXNHHJWWGPSDB-VXGBXAGGSA-N -1 1 300.399 1.103 20 0 DDADMM O=C([O-])c1cccc(OCC[N@H+]2CCOC3(CCOCC3)C2)c1 ZINC000833331916 604683599 /nfs/dbraw/zinc/68/35/99/604683599.db2.gz UCTAOAJONYRSOR-UHFFFAOYSA-N -1 1 321.373 1.645 20 0 DDADMM O=C([O-])c1cccc(OCCN2CCOC3(CCOCC3)C2)c1 ZINC000833331916 604683600 /nfs/dbraw/zinc/68/36/00/604683600.db2.gz UCTAOAJONYRSOR-UHFFFAOYSA-N -1 1 321.373 1.645 20 0 DDADMM O=C([O-])[C@@H](c1ccccc1F)[N@H+]1CCOC2(CCOCC2)C1 ZINC000833174272 604683644 /nfs/dbraw/zinc/68/36/44/604683644.db2.gz OCRUFJMMQYIRRD-CQSZACIVSA-N -1 1 309.337 1.833 20 0 DDADMM O=C([O-])[C@@H](c1ccccc1F)N1CCOC2(CCOCC2)C1 ZINC000833174272 604683645 /nfs/dbraw/zinc/68/36/45/604683645.db2.gz OCRUFJMMQYIRRD-CQSZACIVSA-N -1 1 309.337 1.833 20 0 DDADMM CCC[C@@](C)(NCC(=O)Nc1oc(C)c(C)c1C#N)C(=O)[O-] ZINC000386446856 604763887 /nfs/dbraw/zinc/76/38/87/604763887.db2.gz FZXIVNCYYVNPFC-OAHLLOKOSA-N -1 1 307.350 1.940 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)c2cccc(OCC(=O)[O-])c2)CCN1C ZINC000833588788 604839130 /nfs/dbraw/zinc/83/91/30/604839130.db2.gz RTLZFZONJGQHJV-NEPJUHHUSA-N -1 1 306.362 1.315 20 0 DDADMM C[C@@H](C(=O)Nc1ncccn1)N1CCC[C@@H](C(C)(C)C(=O)[O-])C1 ZINC000833412288 604939455 /nfs/dbraw/zinc/93/94/55/604939455.db2.gz SYXIMBPODPIGEY-NWDGAFQWSA-N -1 1 320.393 1.626 20 0 DDADMM Cn1ccnc1[C@H](O)C1CCN(Cc2ccc(C(=O)[O-])o2)CC1 ZINC000833759780 604990112 /nfs/dbraw/zinc/99/01/12/604990112.db2.gz HWYBXGDIVGOATI-CQSZACIVSA-N -1 1 319.361 1.657 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)N[C@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000833511903 605021944 /nfs/dbraw/zinc/02/19/44/605021944.db2.gz DVXNRXPSSNVPPA-UWVGGRQHSA-N -1 1 324.385 1.431 20 0 DDADMM C[C@@H]1CCCC[C@H]1NC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833612623 605043536 /nfs/dbraw/zinc/04/35/36/605043536.db2.gz PLISRBNCQWWNDH-HZSPNIEDSA-N -1 1 311.426 1.756 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](C[N@H+]2CC[C@@H](Oc3cccnc3)C2)O1 ZINC000833584250 605114984 /nfs/dbraw/zinc/11/49/84/605114984.db2.gz MXLNRKOJUXUZBD-KGLIPLIRSA-N -1 1 304.346 1.682 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)C1(c2ccccc2)CC1 ZINC000833625647 605156345 /nfs/dbraw/zinc/15/63/45/605156345.db2.gz XAPOVEBEMLGUJT-AWEZNQCLSA-N -1 1 316.401 1.726 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C/c1cncc(F)c1 ZINC000833618717 605161247 /nfs/dbraw/zinc/16/12/47/605161247.db2.gz DXOHJIQXOQEKNY-JDGPPOGSSA-N -1 1 321.352 1.241 20 0 DDADMM COCCC[C@@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833723519 605302406 /nfs/dbraw/zinc/30/24/06/605302406.db2.gz PRPGMMUXCBGXRJ-CHWSQXEVSA-N -1 1 300.399 1.057 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCC[C@@H](NC(=O)C(C)C)C2)O1 ZINC000833584598 605327346 /nfs/dbraw/zinc/32/73/46/605327346.db2.gz OHQCNUSUECCOCZ-CHWSQXEVSA-N -1 1 310.394 1.371 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)[C@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000831030924 605338052 /nfs/dbraw/zinc/33/80/52/605338052.db2.gz INSATYONVLXJSX-VIFPVBQESA-N -1 1 318.337 1.139 20 0 DDADMM CC(C)C[C@H](NC(=O)CN(C)C[C@H]1CCCC[C@H]1O)C(=O)[O-] ZINC000833478928 605393254 /nfs/dbraw/zinc/39/32/54/605393254.db2.gz WLSLCWOBLBFHOU-HZSPNIEDSA-N -1 1 314.426 1.085 20 0 DDADMM CCOC(=O)[C@H]1CCCN(Cc2ccc(NC(=O)[O-])nc2)C1 ZINC000827297544 605482609 /nfs/dbraw/zinc/48/26/09/605482609.db2.gz KJWBWKYGEJAPAT-LBPRGKRZSA-N -1 1 307.350 1.947 20 0 DDADMM CCCN1CCN(C(=O)C[C@@H]2CSCCN2C(=O)[O-])CC1 ZINC000826921796 605599569 /nfs/dbraw/zinc/59/95/69/605599569.db2.gz QTILMRINTBMUDP-GFCCVEGCSA-N -1 1 315.439 1.026 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(Cc2cc(C(N)=O)cs2)C1 ZINC000833816149 605604322 /nfs/dbraw/zinc/60/43/22/605604322.db2.gz YELZNWIZFUXYLU-UWVGGRQHSA-N -1 1 311.407 1.715 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCN(c3ncccc3F)CC2)C1 ZINC000834079640 605668279 /nfs/dbraw/zinc/66/82/79/605668279.db2.gz POWUTZBYOAWBHL-GFCCVEGCSA-N -1 1 308.357 1.343 20 0 DDADMM O=C([O-])N1CC(CNC(=O)c2c[nH]c(-c3ccccc3)n2)C1 ZINC000834036342 605784858 /nfs/dbraw/zinc/78/48/58/605784858.db2.gz JMIKLFKCRDBOIW-UHFFFAOYSA-N -1 1 300.318 1.416 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CCCN(C(=O)[O-])CC1)C2 ZINC000833915106 605847616 /nfs/dbraw/zinc/84/76/16/605847616.db2.gz BGHWUCNCQXIUFS-LLVKDONJSA-N -1 1 306.366 1.035 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)N(C)Cc1ccco1 ZINC000833828356 605883688 /nfs/dbraw/zinc/88/36/88/605883688.db2.gz DFJMFSOPVQMXHQ-VXGBXAGGSA-N -1 1 309.366 1.216 20 0 DDADMM CCO[C@@H](CCNC(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)C ZINC000821351869 606030420 /nfs/dbraw/zinc/03/04/20/606030420.db2.gz WDFABNOFVDEWPB-ZDUSSCGKSA-N -1 1 318.381 1.443 20 0 DDADMM CCO[C@@H](CCNC(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)C ZINC000821351869 606030423 /nfs/dbraw/zinc/03/04/23/606030423.db2.gz WDFABNOFVDEWPB-ZDUSSCGKSA-N -1 1 318.381 1.443 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(Sc2nnc(C3CC3)s2)n1 ZINC000823810385 606046979 /nfs/dbraw/zinc/04/69/79/606046979.db2.gz YMZMHFJUZIWKHQ-UHFFFAOYSA-N -1 1 304.364 1.537 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1CCN(c2cccc(-c3nnn[n-]3)n2)C1 ZINC000819922057 606100608 /nfs/dbraw/zinc/10/06/08/606100608.db2.gz FXRYQCAJBLXIME-JTQLQIEISA-N -1 1 316.365 1.430 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1CCN(c2cccc(-c3nn[n-]n3)n2)C1 ZINC000819922057 606100611 /nfs/dbraw/zinc/10/06/11/606100611.db2.gz FXRYQCAJBLXIME-JTQLQIEISA-N -1 1 316.365 1.430 20 0 DDADMM COC[C@H](Cc1ccccc1)Nc1cccc(-c2nnn[n-]2)n1 ZINC000821683146 606141212 /nfs/dbraw/zinc/14/12/12/606141212.db2.gz WQJCPIXPQYQQJU-ZDUSSCGKSA-N -1 1 310.361 1.931 20 0 DDADMM COC[C@H](Cc1ccccc1)Nc1cccc(-c2nn[n-]n2)n1 ZINC000821683146 606141213 /nfs/dbraw/zinc/14/12/13/606141213.db2.gz WQJCPIXPQYQQJU-ZDUSSCGKSA-N -1 1 310.361 1.931 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@H]1Cc2ccccc21 ZINC000823294834 606298784 /nfs/dbraw/zinc/29/87/84/606298784.db2.gz TWCDKXNTCLDQGX-LBPRGKRZSA-N -1 1 307.313 1.851 20 0 DDADMM C[C@H](Nc1ccc(Cl)c(-c2nnn[n-]2)n1)c1nncn1C ZINC000820455345 606454872 /nfs/dbraw/zinc/45/48/72/606454872.db2.gz HMBDIXCNUXTACE-LURJTMIESA-N -1 1 305.733 1.217 20 0 DDADMM C[C@H](Nc1ccc(Cl)c(-c2nn[n-]n2)n1)c1nncn1C ZINC000820455345 606454875 /nfs/dbraw/zinc/45/48/75/606454875.db2.gz HMBDIXCNUXTACE-LURJTMIESA-N -1 1 305.733 1.217 20 0 DDADMM CCn1cc(NC(=O)c2ccc(-c3nnn[n-]3)s2)ccc1=O ZINC000821566469 606514764 /nfs/dbraw/zinc/51/47/64/606514764.db2.gz FWXPWFYJRMWVTJ-UHFFFAOYSA-N -1 1 316.346 1.362 20 0 DDADMM CCn1cc(NC(=O)c2ccc(-c3nn[n-]n3)s2)ccc1=O ZINC000821566469 606514765 /nfs/dbraw/zinc/51/47/65/606514765.db2.gz FWXPWFYJRMWVTJ-UHFFFAOYSA-N -1 1 316.346 1.362 20 0 DDADMM Cc1noc([C@H](C)NC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000822519383 606539857 /nfs/dbraw/zinc/53/98/57/606539857.db2.gz FKVTXRQEXYSHBC-YFKPBYRVSA-N -1 1 305.323 1.111 20 0 DDADMM Cc1noc([C@H](C)NC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000822519383 606539859 /nfs/dbraw/zinc/53/98/59/606539859.db2.gz FKVTXRQEXYSHBC-YFKPBYRVSA-N -1 1 305.323 1.111 20 0 DDADMM Cc1cnc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)s1 ZINC000822395132 606575029 /nfs/dbraw/zinc/57/50/29/606575029.db2.gz SBTNGUOJZGAJTC-UHFFFAOYSA-N -1 1 306.376 1.623 20 0 DDADMM Cc1cnc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)s1 ZINC000822395132 606575030 /nfs/dbraw/zinc/57/50/30/606575030.db2.gz SBTNGUOJZGAJTC-UHFFFAOYSA-N -1 1 306.376 1.623 20 0 DDADMM CC(C)CC(C)(C)CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820051930 606578697 /nfs/dbraw/zinc/57/86/97/606578697.db2.gz YEKKAJGMKZMJKT-UHFFFAOYSA-N -1 1 320.401 1.794 20 0 DDADMM CC(C)CC(C)(C)CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820051930 606578698 /nfs/dbraw/zinc/57/86/98/606578698.db2.gz YEKKAJGMKZMJKT-UHFFFAOYSA-N -1 1 320.401 1.794 20 0 DDADMM CCc1ncc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)s1 ZINC000821518443 606646982 /nfs/dbraw/zinc/64/69/82/606646982.db2.gz UMJJHJJFXAPHFH-UHFFFAOYSA-N -1 1 316.346 1.638 20 0 DDADMM CCc1ncc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)s1 ZINC000821518443 606646983 /nfs/dbraw/zinc/64/69/83/606646983.db2.gz UMJJHJJFXAPHFH-UHFFFAOYSA-N -1 1 316.346 1.638 20 0 DDADMM CC[C@@H]1CCC[C@H]1NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820981881 606848622 /nfs/dbraw/zinc/84/86/22/606848622.db2.gz XEGIVOWMMOFGHX-MWLCHTKSSA-N -1 1 322.394 1.119 20 0 DDADMM CC[C@@H]1CCC[C@H]1NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820981881 606848623 /nfs/dbraw/zinc/84/86/23/606848623.db2.gz XEGIVOWMMOFGHX-MWLCHTKSSA-N -1 1 322.394 1.119 20 0 DDADMM Cc1ccc2c(c1)[C@H](NC(=O)c1ccc(-c3nnn[n-]3)nc1)CO2 ZINC000822274994 606936862 /nfs/dbraw/zinc/93/68/62/606936862.db2.gz MPFCFNLORVWQJK-CYBMUJFWSA-N -1 1 322.328 1.434 20 0 DDADMM Cc1ccc2c(c1)[C@H](NC(=O)c1ccc(-c3nn[n-]n3)nc1)CO2 ZINC000822274994 606936863 /nfs/dbraw/zinc/93/68/63/606936863.db2.gz MPFCFNLORVWQJK-CYBMUJFWSA-N -1 1 322.328 1.434 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000820533403 607106450 /nfs/dbraw/zinc/10/64/50/607106450.db2.gz DZQQBNARAUUTEG-MRVPVSSYSA-N -1 1 316.346 1.729 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000820533403 607106452 /nfs/dbraw/zinc/10/64/52/607106452.db2.gz DZQQBNARAUUTEG-MRVPVSSYSA-N -1 1 316.346 1.729 20 0 DDADMM Cc1cc(COC(=O)c2sccc2-c2nn[n-]n2)nn1C ZINC000822200966 607155236 /nfs/dbraw/zinc/15/52/36/607155236.db2.gz LFSQZLUJGXKIRZ-UHFFFAOYSA-N -1 1 304.335 1.327 20 0 DDADMM Cc1nn(Cc2cnc(Cl)s2)c(=O)c(-c2nn[n-]n2)c1C ZINC000822505367 607161318 /nfs/dbraw/zinc/16/13/18/607161318.db2.gz KKBXLUXBSPXFCT-UHFFFAOYSA-N -1 1 323.769 1.198 20 0 DDADMM CCCCC[C@H]1CCCN1C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821049663 607225014 /nfs/dbraw/zinc/22/50/14/607225014.db2.gz MMEMVOYWHQCRRL-NSHDSACASA-N -1 1 318.385 1.030 20 0 DDADMM CCCCCNC(=O)COc1cccc(F)c1-c1nnn[n-]1 ZINC000825275990 607863258 /nfs/dbraw/zinc/86/32/58/607863258.db2.gz LCPJEQAJKJVGLX-UHFFFAOYSA-N -1 1 307.329 1.691 20 0 DDADMM CCCCCNC(=O)COc1cccc(F)c1-c1nn[n-]n1 ZINC000825275990 607863259 /nfs/dbraw/zinc/86/32/59/607863259.db2.gz LCPJEQAJKJVGLX-UHFFFAOYSA-N -1 1 307.329 1.691 20 0 DDADMM Cc1nc(Oc2cccc(F)c2-c2nnn[n-]2)nc(N(C)C)n1 ZINC000826327650 607867259 /nfs/dbraw/zinc/86/72/59/607867259.db2.gz PDZZJLOYFQGFKR-UHFFFAOYSA-N -1 1 316.300 1.358 20 0 DDADMM Cc1nc(Oc2cccc(F)c2-c2nn[n-]n2)nc(N(C)C)n1 ZINC000826327650 607867260 /nfs/dbraw/zinc/86/72/60/607867260.db2.gz PDZZJLOYFQGFKR-UHFFFAOYSA-N -1 1 316.300 1.358 20 0 DDADMM Cc1nnc([C@H]2CCCN(c3cccc(-c4nnn[n-]4)n3)C2)o1 ZINC000826335322 607898493 /nfs/dbraw/zinc/89/84/93/607898493.db2.gz ITCZLBLKENYKGD-JTQLQIEISA-N -1 1 312.337 1.337 20 0 DDADMM Cc1nnc([C@H]2CCCN(c3cccc(-c4nn[n-]n4)n3)C2)o1 ZINC000826335322 607898494 /nfs/dbraw/zinc/89/84/94/607898494.db2.gz ITCZLBLKENYKGD-JTQLQIEISA-N -1 1 312.337 1.337 20 0 DDADMM C[C@](O)(CC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-])C1CC1 ZINC000824671121 607928625 /nfs/dbraw/zinc/92/86/25/607928625.db2.gz XMPCTUUDHMGGFT-AWEZNQCLSA-N -1 1 303.322 1.062 20 0 DDADMM O=C(N[C@H]1CC[C@H](CO)CC1)c1ccc(-c2nnn[n-]2)s1 ZINC000826450690 607941744 /nfs/dbraw/zinc/94/17/44/607941744.db2.gz YVUDKDNRMJUAMU-KYZUINATSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(N[C@H]1CC[C@H](CO)CC1)c1ccc(-c2nn[n-]n2)s1 ZINC000826450690 607941745 /nfs/dbraw/zinc/94/17/45/607941745.db2.gz YVUDKDNRMJUAMU-KYZUINATSA-N -1 1 307.379 1.209 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1cccc(-c2ccoc2)c1 ZINC000826395883 608026798 /nfs/dbraw/zinc/02/67/98/608026798.db2.gz XDFZJDZRWWTXPU-UHFFFAOYSA-N -1 1 321.300 1.594 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCCC[C@@H]1CCO ZINC000826472554 608054540 /nfs/dbraw/zinc/05/45/40/608054540.db2.gz OEVOPHIXQOVUKT-SECBINFHSA-N -1 1 307.379 1.305 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCCC[C@@H]1CCO ZINC000826472554 608054541 /nfs/dbraw/zinc/05/45/41/608054541.db2.gz OEVOPHIXQOVUKT-SECBINFHSA-N -1 1 307.379 1.305 20 0 DDADMM COCc1nsc([N-]C(=O)c2cc(-c3nn[nH]n3)cs2)n1 ZINC000826165568 608127231 /nfs/dbraw/zinc/12/72/31/608127231.db2.gz AYUJWRFZNLDGKG-UHFFFAOYSA-N -1 1 323.363 1.178 20 0 DDADMM c1cc(N[C@H]2CCO[C@]3(CCOC3)C2)nc(-c2nnn[n-]2)c1 ZINC000826517179 608198731 /nfs/dbraw/zinc/19/87/31/608198731.db2.gz UKXGFQBRPJXLBU-IINYFYTJSA-N -1 1 302.338 1.012 20 0 DDADMM c1cc(N[C@H]2CCO[C@]3(CCOC3)C2)nc(-c2nn[n-]n2)c1 ZINC000826517179 608198732 /nfs/dbraw/zinc/19/87/32/608198732.db2.gz UKXGFQBRPJXLBU-IINYFYTJSA-N -1 1 302.338 1.012 20 0 DDADMM c1cc(N2CCC[C@H](C[C@H]3CCOC3)C2)nnc1-c1nnn[n-]1 ZINC000826516830 608298703 /nfs/dbraw/zinc/29/87/03/608298703.db2.gz DHHOZBQDVBXYAE-VXGBXAGGSA-N -1 1 315.381 1.300 20 0 DDADMM c1cc(N2CCC[C@H](C[C@H]3CCOC3)C2)nnc1-c1nn[n-]n1 ZINC000826516830 608298704 /nfs/dbraw/zinc/29/87/04/608298704.db2.gz DHHOZBQDVBXYAE-VXGBXAGGSA-N -1 1 315.381 1.300 20 0 DDADMM CSc1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)ccn1 ZINC000826230268 608325016 /nfs/dbraw/zinc/32/50/16/608325016.db2.gz LXAULFPJYMEBKB-UHFFFAOYSA-N -1 1 313.346 1.631 20 0 DDADMM CSc1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)ccn1 ZINC000826230268 608325017 /nfs/dbraw/zinc/32/50/17/608325017.db2.gz LXAULFPJYMEBKB-UHFFFAOYSA-N -1 1 313.346 1.631 20 0 DDADMM CN(CC1CCOCC1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825914336 608440069 /nfs/dbraw/zinc/44/00/69/608440069.db2.gz AIEHIUUWFDXZAT-UHFFFAOYSA-N -1 1 314.802 1.839 20 0 DDADMM CN(CC1CCOCC1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825914336 608440071 /nfs/dbraw/zinc/44/00/71/608440071.db2.gz AIEHIUUWFDXZAT-UHFFFAOYSA-N -1 1 314.802 1.839 20 0 DDADMM COc1cccc(C2=CCN(c3nccnc3-c3nnn[n-]3)C2)c1 ZINC000826214925 608585620 /nfs/dbraw/zinc/58/56/20/608585620.db2.gz AXADKRCVNMZEKL-UHFFFAOYSA-N -1 1 321.344 1.569 20 0 DDADMM COc1cccc(C2=CCN(c3nccnc3-c3nn[n-]n3)C2)c1 ZINC000826214925 608585621 /nfs/dbraw/zinc/58/56/21/608585621.db2.gz AXADKRCVNMZEKL-UHFFFAOYSA-N -1 1 321.344 1.569 20 0 DDADMM Cc1c(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cccc1N(C)C ZINC000826242634 608591656 /nfs/dbraw/zinc/59/16/56/608591656.db2.gz YMKKJCNISLKIPH-UHFFFAOYSA-N -1 1 323.360 1.888 20 0 DDADMM Cc1c(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cccc1N(C)C ZINC000826242634 608591658 /nfs/dbraw/zinc/59/16/58/608591658.db2.gz YMKKJCNISLKIPH-UHFFFAOYSA-N -1 1 323.360 1.888 20 0 DDADMM FC(F)(F)[C@H]1CN(Cc2ccc(-c3nnn[n-]3)o2)CCO1 ZINC000826368012 608717436 /nfs/dbraw/zinc/71/74/36/608717436.db2.gz YGFIBRVVMDQTAH-SECBINFHSA-N -1 1 303.244 1.223 20 0 DDADMM FC(F)(F)[C@H]1CN(Cc2ccc(-c3nn[n-]n3)o2)CCO1 ZINC000826368012 608717438 /nfs/dbraw/zinc/71/74/38/608717438.db2.gz YGFIBRVVMDQTAH-SECBINFHSA-N -1 1 303.244 1.223 20 0 DDADMM C[C@@H](c1ccccc1)N(C)C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000824771688 608889725 /nfs/dbraw/zinc/88/97/25/608889725.db2.gz SJRZWCJHPCMXOK-NSHDSACASA-N -1 1 311.349 1.283 20 0 DDADMM C[C@@H](c1ccccc1)N(C)C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000824771688 608889727 /nfs/dbraw/zinc/88/97/27/608889727.db2.gz SJRZWCJHPCMXOK-NSHDSACASA-N -1 1 311.349 1.283 20 0 DDADMM Fc1ccc(OCCn2ccnc2-c2nnn[n-]2)c(Cl)c1 ZINC000826376272 608892358 /nfs/dbraw/zinc/89/23/58/608892358.db2.gz VYTGYMAYUUKBCZ-UHFFFAOYSA-N -1 1 308.704 1.935 20 0 DDADMM Fc1ccc(OCCn2ccnc2-c2nn[n-]n2)c(Cl)c1 ZINC000826376272 608892359 /nfs/dbraw/zinc/89/23/59/608892359.db2.gz VYTGYMAYUUKBCZ-UHFFFAOYSA-N -1 1 308.704 1.935 20 0 DDADMM Cc1cc(Cl)c(C(=O)n2ncc(-c3nn[n-]n3)c2N)cc1C ZINC000826267442 609322699 /nfs/dbraw/zinc/32/26/99/609322699.db2.gz GJPULQSAUGEMFH-UHFFFAOYSA-N -1 1 317.740 1.604 20 0 DDADMM CCCn1cc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)cn1 ZINC000825362569 609392876 /nfs/dbraw/zinc/39/28/76/609392876.db2.gz OZINHVTZVGDGLY-UHFFFAOYSA-N -1 1 313.321 1.225 20 0 DDADMM CCCn1cc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)cn1 ZINC000825362569 609392879 /nfs/dbraw/zinc/39/28/79/609392879.db2.gz OZINHVTZVGDGLY-UHFFFAOYSA-N -1 1 313.321 1.225 20 0 DDADMM COC[C@H](OC(=O)c1ccc(C(=O)OC)[n-]1)c1nccs1 ZINC000797176125 699997564 /nfs/dbraw/zinc/99/75/64/699997564.db2.gz KRGXWNCUYRVNKB-JTQLQIEISA-N -1 1 310.331 1.802 20 0 DDADMM Cc1coc(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC000973200179 695444674 /nfs/dbraw/zinc/44/46/74/695444674.db2.gz ZHHNFSLWHXOZAR-XYPYZODXSA-N -1 1 315.329 1.379 20 0 DDADMM O=C(NC[C@@H](O)c1ccccc1F)C(=O)c1ccc([O-])cc1 ZINC000798173292 700046564 /nfs/dbraw/zinc/04/65/64/700046564.db2.gz QGMJGWJAQLTYLG-CQSZACIVSA-N -1 1 303.289 1.564 20 0 DDADMM O=C([N-]CCCCCCNC(=O)C(F)(F)F)C(F)(F)F ZINC000003155577 696006174 /nfs/dbraw/zinc/00/61/74/696006174.db2.gz SROHYHMPDSABTC-UHFFFAOYSA-N -1 1 308.222 1.904 20 0 DDADMM CC(C)(C)NC(=S)N[N-]C(=O)c1cc(-c2ccco2)[nH]n1 ZINC000029834228 696112496 /nfs/dbraw/zinc/11/24/96/696112496.db2.gz LGACPYQQGYZOAZ-UHFFFAOYSA-N -1 1 307.379 1.577 20 0 DDADMM CCc1ncncc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000051742763 696246935 /nfs/dbraw/zinc/24/69/35/696246935.db2.gz NFUHROYBYSDILF-UHFFFAOYSA-N -1 1 313.313 1.152 20 0 DDADMM CS(=O)(=O)Nc1ccc([O-])c(C(=O)Nc2ccccn2)c1 ZINC000055128431 696282021 /nfs/dbraw/zinc/28/20/21/696282021.db2.gz JONGOTWZYIAKKR-UHFFFAOYSA-N -1 1 307.331 1.411 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=S)Nc2c(C)cccc2C)n[nH]1 ZINC000056085746 696288036 /nfs/dbraw/zinc/28/80/36/696288036.db2.gz XIIJIEUUWWOMEK-UHFFFAOYSA-N -1 1 303.391 1.966 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OC[C@@H]1CCCO1 ZINC000057604265 696298609 /nfs/dbraw/zinc/29/86/09/696298609.db2.gz KFFNUFHMWAMSSR-LBPRGKRZSA-N -1 1 319.361 1.707 20 0 DDADMM C[C@@H](OC[C@H]1CCCCO1)C(=O)NCc1n[n-]c(=S)n1C ZINC000066626064 696354015 /nfs/dbraw/zinc/35/40/15/696354015.db2.gz RXCYSGSHQDDGRH-NXEZZACHSA-N -1 1 314.411 1.068 20 0 DDADMM CCCc1cc(C(=O)NCc2n[n-]c(=S)n2C2CC2)[nH]n1 ZINC000067070444 696358904 /nfs/dbraw/zinc/35/89/04/696358904.db2.gz KUMPRXXMHBPKHK-UHFFFAOYSA-N -1 1 306.395 1.881 20 0 DDADMM CN(CCc1ccc(F)cc1)C(=O)Cc1sc(N)nc1[O-] ZINC000079717856 696462788 /nfs/dbraw/zinc/46/27/88/696462788.db2.gz DMLAUMILQXRSRX-LLVKDONJSA-N -1 1 309.366 1.173 20 0 DDADMM CC(C)N(C)c1cccc(NC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080177772 696531324 /nfs/dbraw/zinc/53/13/24/696531324.db2.gz KWPDZOQZZZCNHQ-GFCCVEGCSA-N -1 1 320.418 1.817 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)c1cc(C#N)cn1C ZINC000081789953 696547736 /nfs/dbraw/zinc/54/77/36/696547736.db2.gz FLSSYEFQVJNBGJ-UHFFFAOYSA-N -1 1 304.379 1.143 20 0 DDADMM O=C(CSc1nc(-c2ccccc2)cc(=O)[n-]1)NC1CC1 ZINC000096640716 696604327 /nfs/dbraw/zinc/60/43/27/696604327.db2.gz YVHGYXPTOMHDSX-UHFFFAOYSA-N -1 1 301.371 1.808 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCC(F)(F)C2)o1 ZINC000798921455 700105462 /nfs/dbraw/zinc/10/54/62/700105462.db2.gz LWNXNDOPMNIIOA-QMMMGPOBSA-N -1 1 323.317 1.780 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1cc2ccccc2o1 ZINC000120817237 696701522 /nfs/dbraw/zinc/70/15/22/696701522.db2.gz ZVVWFMORBRSMON-PELKAZGASA-N -1 1 317.370 1.957 20 0 DDADMM CC1(C)C[C@@H](NC(=O)Cc2sc(N)nc2[O-])c2ccccc21 ZINC000124078420 696738668 /nfs/dbraw/zinc/73/86/68/696738668.db2.gz VCMKEDJXIBJIEW-NEPJUHHUSA-N -1 1 317.414 1.872 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(Cl)nc(N(C)C)c1)c1nn[n-]n1 ZINC000124215736 696740300 /nfs/dbraw/zinc/74/03/00/696740300.db2.gz RUXYMUPTQXDHCR-QMMMGPOBSA-N -1 1 323.788 1.190 20 0 DDADMM CCC(=CC(=O)OCCc1c(C)nc2[n-]cnn2c1=O)CC ZINC000130610702 696799754 /nfs/dbraw/zinc/79/97/54/696799754.db2.gz ISFDSHZOVUBFGJ-UHFFFAOYSA-N -1 1 304.350 1.558 20 0 DDADMM CCOC(=O)[C@H](CCOC)NC(=O)c1c([O-])cccc1Cl ZINC000799071284 700113493 /nfs/dbraw/zinc/11/34/93/700113493.db2.gz GOTZJNDXKKNKGN-JTQLQIEISA-N -1 1 315.753 1.744 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cccc(-c3ncco3)c2)s1 ZINC000155052609 696942041 /nfs/dbraw/zinc/94/20/41/696942041.db2.gz FNXXFHHVDGADPJ-JTQLQIEISA-N -1 1 316.342 1.627 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC2(CO)CCCCC2)c1Cl ZINC000157671085 696980508 /nfs/dbraw/zinc/98/05/08/696980508.db2.gz WEUDLDLWZGGXFF-UHFFFAOYSA-N -1 1 321.830 1.295 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@H](NCc3ccon3)C2)c1[O-] ZINC000981021596 696981630 /nfs/dbraw/zinc/98/16/30/696981630.db2.gz YBWMRARRRNOPRU-WDEREUQCSA-N -1 1 319.365 1.243 20 0 DDADMM CC(=O)N[C@@H]1CC[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000981364209 697076516 /nfs/dbraw/zinc/07/65/16/697076516.db2.gz RIRZEBLSKOSGJP-CMPLNLGQSA-N -1 1 318.377 1.094 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)C2CCCC2)C1)c1ncccc1[O-] ZINC000982331163 697101160 /nfs/dbraw/zinc/10/11/60/697101160.db2.gz HQCZNHMQZDPVCL-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2c(C)cc(C)cc2C)C1 ZINC000748768401 700133547 /nfs/dbraw/zinc/13/35/47/700133547.db2.gz RRCWJONTVKNGNR-AWEZNQCLSA-N -1 1 320.389 1.659 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982628723 697161923 /nfs/dbraw/zinc/16/19/23/697161923.db2.gz ZPUJGEIKNBNIKC-CTPBAPBTSA-N -1 1 303.362 1.332 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982669869 697167135 /nfs/dbraw/zinc/16/71/35/697167135.db2.gz GNQIYCYGFYFOET-NEPJUHHUSA-N -1 1 317.389 1.412 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2scnc2C)co1 ZINC000166132042 697340675 /nfs/dbraw/zinc/34/06/75/697340675.db2.gz SVIRSOKMBOSACU-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984109718 697341503 /nfs/dbraw/zinc/34/15/03/697341503.db2.gz DOJVLQRSYPIZHD-JHJVBQTASA-N -1 1 317.389 1.506 20 0 DDADMM Cc1[nH]c(=O)ccc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000749208689 700151484 /nfs/dbraw/zinc/15/14/84/700151484.db2.gz SQYLNCFYNPPTEQ-ZDUSSCGKSA-N -1 1 310.317 1.128 20 0 DDADMM COC(=O)N1CC(NC(=O)c2cc3ccccc3cc2[O-])C1 ZINC000178750424 697428079 /nfs/dbraw/zinc/42/80/79/697428079.db2.gz KBNXLLMSHHGCSP-UHFFFAOYSA-N -1 1 300.314 1.726 20 0 DDADMM CCc1[nH]nc(C(=O)[N-]N2Cc3ccccc3C2=O)c1[N+](=O)[O-] ZINC000180362195 697448193 /nfs/dbraw/zinc/44/81/93/697448193.db2.gz FUPBPSQKXFAESN-UHFFFAOYSA-N -1 1 315.289 1.181 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C1CCCCC1 ZINC000181999912 697468907 /nfs/dbraw/zinc/46/89/07/697468907.db2.gz WVGBOLUJJMMLCI-UHFFFAOYSA-N -1 1 304.350 1.392 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC2(SC)CCC2)co1 ZINC000799693554 700158683 /nfs/dbraw/zinc/15/86/83/700158683.db2.gz GAFLHCGYNYYGLM-UHFFFAOYSA-N -1 1 319.404 1.630 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc(F)c1 ZINC000985900613 697598763 /nfs/dbraw/zinc/59/87/63/697598763.db2.gz JRYLRTDTMPOFHG-SKDRFNHKSA-N -1 1 319.340 1.042 20 0 DDADMM COc1cc(C)c(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1F ZINC000772478275 697635074 /nfs/dbraw/zinc/63/50/74/697635074.db2.gz NEEHKNSPVXQCKR-UHFFFAOYSA-N -1 1 321.308 1.022 20 0 DDADMM O=C(CCCc1ccccn1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773159818 697721282 /nfs/dbraw/zinc/72/12/82/697721282.db2.gz MPKODRNTNHXQKF-LBPRGKRZSA-N -1 1 318.406 1.234 20 0 DDADMM Cc1cc(CN[C@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)no1 ZINC000986196328 697730455 /nfs/dbraw/zinc/73/04/55/697730455.db2.gz YOOQSIFURPILSQ-AAEUAGOBSA-N -1 1 316.361 1.476 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)[C@H]1CCCN(CC(F)(F)F)C1 ZINC000195458349 697743721 /nfs/dbraw/zinc/74/37/21/697743721.db2.gz KWLHNECBTPTPEM-WDEREUQCSA-N -1 1 310.316 1.835 20 0 DDADMM CCOc1cccc(S(=O)(=O)NN=c2nc(OC)cc[n-]2)c1 ZINC000799915654 700174937 /nfs/dbraw/zinc/17/49/37/700174937.db2.gz PXOFZQHBOVNNHP-UHFFFAOYSA-N -1 1 324.362 1.189 20 0 DDADMM O=C(c1ccc(Cl)nc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000774219164 697856659 /nfs/dbraw/zinc/85/66/59/697856659.db2.gz WIOWZOGNOJPOMW-SECBINFHSA-N -1 1 308.725 1.843 20 0 DDADMM O=C([N-]CCCOC(=O)c1cn2ccccc2n1)C(F)(F)F ZINC000774880896 697937388 /nfs/dbraw/zinc/93/73/88/697937388.db2.gz NBJZWGYUWGELQW-UHFFFAOYSA-N -1 1 315.251 1.560 20 0 DDADMM O=C(COCc1ccccc1)OCCC[N-]C(=O)C(F)(F)F ZINC000774901544 697940034 /nfs/dbraw/zinc/94/00/34/697940034.db2.gz KXNBAHQPMATXRF-UHFFFAOYSA-N -1 1 319.279 1.815 20 0 DDADMM O=C(CCCn1cccn1)OCCC[N-]C(=O)C(F)(F)F ZINC000774937000 697944091 /nfs/dbraw/zinc/94/40/91/697944091.db2.gz MWUYREQWYXQTKI-UHFFFAOYSA-N -1 1 307.272 1.275 20 0 DDADMM CC[C@@H](C)n1nc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1C ZINC000775876655 698047255 /nfs/dbraw/zinc/04/72/55/698047255.db2.gz ZXTCBIZJLQMHAZ-SNVBAGLBSA-N -1 1 319.365 1.041 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)[C@H]1OC ZINC000777335538 698181010 /nfs/dbraw/zinc/18/10/10/698181010.db2.gz IEIVJZRXOZGMES-NQBHXWOUSA-N -1 1 323.393 1.536 20 0 DDADMM CN1CC[C@@H]([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)C1 ZINC000777977708 698235034 /nfs/dbraw/zinc/23/50/34/698235034.db2.gz WGZAEAOYSNNOJO-SSDOTTSWSA-N -1 1 310.206 1.371 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CCCCCC1 ZINC000988350443 698333630 /nfs/dbraw/zinc/33/36/30/698333630.db2.gz LEAIUARNRQULPF-DGCLKSJQSA-N -1 1 321.425 1.560 20 0 DDADMM COC[C@@H]([N-]C(=O)C(F)(F)c1cccc(Cl)c1)C(=O)OC ZINC000778506874 698343211 /nfs/dbraw/zinc/34/32/11/698343211.db2.gz QZWUUXUCCOOSTC-SNVBAGLBSA-N -1 1 321.707 1.736 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000778717469 698361699 /nfs/dbraw/zinc/36/16/99/698361699.db2.gz DDUHVDIPURQJLW-FWLQQBITSA-N -1 1 313.361 1.512 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCn2ccnc2C1 ZINC000779216946 698402399 /nfs/dbraw/zinc/40/23/99/698402399.db2.gz ZONILCXQXLKOFM-LLVKDONJSA-N -1 1 315.377 1.555 20 0 DDADMM CCC1(C(=O)OCC(=O)[N-]C(=O)c2ccc(OC)cc2)CC1 ZINC000750489435 700225030 /nfs/dbraw/zinc/22/50/30/700225030.db2.gz NSIUSQPLMYLROL-UHFFFAOYSA-N -1 1 305.330 1.685 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)Cc1ccc(F)cc1F ZINC000779893903 698477947 /nfs/dbraw/zinc/47/79/47/698477947.db2.gz VOJILUQESXUSKA-UHFFFAOYSA-N -1 1 321.345 1.728 20 0 DDADMM CC[C@H]1C[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCO1 ZINC000780140276 698492051 /nfs/dbraw/zinc/49/20/51/698492051.db2.gz FQGXLURRFOPTSM-KGLIPLIRSA-N -1 1 319.357 1.691 20 0 DDADMM CC[C@@H](NC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C)C(=O)[O-] ZINC000780450978 698519448 /nfs/dbraw/zinc/51/94/48/698519448.db2.gz KKPHBMQTPSGZEI-OLZOCXBDSA-N -1 1 311.357 1.591 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)N1CCC[C@@H]1C(=O)[O-])c1ccco1 ZINC000780478551 698523251 /nfs/dbraw/zinc/52/32/51/698523251.db2.gz CTCAPMUZXCZSQY-OLZOCXBDSA-N -1 1 323.393 1.921 20 0 DDADMM O=C([O-])[C@H](CC(F)F)NC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000780682255 698547905 /nfs/dbraw/zinc/54/79/05/698547905.db2.gz AMRHFNAPQWGNNM-SFYZADRCSA-N -1 1 302.281 1.195 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@@H]2COC(=O)N[C@H]2C1 ZINC000282184255 698548404 /nfs/dbraw/zinc/54/84/04/698548404.db2.gz SAJXRISTTFDTSW-KCJUWKMLSA-N -1 1 310.737 1.616 20 0 DDADMM Cc1ccc(-c2ccc(C(=O)[N-]N3CC(=O)N(C)C3=O)s2)o1 ZINC000782042674 698677955 /nfs/dbraw/zinc/67/79/55/698677955.db2.gz LCEMBPMSMDJGQE-UHFFFAOYSA-N -1 1 319.342 1.855 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H]1C[C@H](C)O ZINC000319031483 698709129 /nfs/dbraw/zinc/70/91/29/698709129.db2.gz RGQQMXXIPREBSX-JQWIXIFHSA-N -1 1 307.394 1.990 20 0 DDADMM COc1cccc([C@@H](CNC(=O)NC(C)(C)C(=O)[O-])N(C)C)c1 ZINC000320823087 698723592 /nfs/dbraw/zinc/72/35/92/698723592.db2.gz POGYMOFRQZPSHT-CYBMUJFWSA-N -1 1 323.393 1.460 20 0 DDADMM COc1cccc([C@@H](CNC(=O)C[C@H](C)CC(=O)[O-])N(C)C)c1 ZINC000321077333 698724966 /nfs/dbraw/zinc/72/49/66/698724966.db2.gz HFEVGGCQLQYMQS-SWLSCSKDSA-N -1 1 322.405 1.915 20 0 DDADMM Cc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(Cl)c1C ZINC000783571233 698853278 /nfs/dbraw/zinc/85/32/78/698853278.db2.gz BWLWBPQWLSBQSC-NSHDSACASA-N -1 1 321.768 1.684 20 0 DDADMM O=C(COC(=O)[C@H]1CC1(Cl)Cl)[N-]C(=O)c1ccccc1 ZINC000784218901 698917760 /nfs/dbraw/zinc/91/77/60/698917760.db2.gz NKTKCXJKKOUQPY-SECBINFHSA-N -1 1 316.140 1.680 20 0 DDADMM COc1cc(C(=O)NCCC2(C)OCCO2)cc(Cl)c1[O-] ZINC000785419743 699075555 /nfs/dbraw/zinc/07/55/55/699075555.db2.gz YSDSHSIQTGIUTC-UHFFFAOYSA-N -1 1 315.753 1.937 20 0 DDADMM CO[C@@H]([C@H](C)[N-]S(=O)(=O)c1ncn(C)c1Cl)C1CC1 ZINC000388217648 699084225 /nfs/dbraw/zinc/08/42/25/699084225.db2.gz HBLMWYIPJLXTKN-CBAPKCEASA-N -1 1 307.803 1.165 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])CS1 ZINC000397894805 699118129 /nfs/dbraw/zinc/11/81/29/699118129.db2.gz QOKQPQAWRYCKIA-YUMQZZPRSA-N -1 1 320.367 1.906 20 0 DDADMM O=C(/C=C\c1ccco1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990970951 699195905 /nfs/dbraw/zinc/19/59/05/699195905.db2.gz RILZGCIWZNLGNU-WAYWQWQTSA-N -1 1 313.313 1.034 20 0 DDADMM C[C@@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC000990976025 699197487 /nfs/dbraw/zinc/19/74/87/699197487.db2.gz VLDPISUNDQUDSK-JTQLQIEISA-N -1 1 305.378 1.410 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-][C@@H]2CCCCC23OCCO3)no1 ZINC000715985342 699280004 /nfs/dbraw/zinc/28/00/04/699280004.db2.gz QGHHQFYEZILKMD-GFCCVEGCSA-N -1 1 316.379 1.088 20 0 DDADMM C[C@@H](c1cccs1)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000726867660 699386535 /nfs/dbraw/zinc/38/65/35/699386535.db2.gz OBVDEHHFAIPGCD-JTQLQIEISA-N -1 1 307.375 1.208 20 0 DDADMM COCCC(=O)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000789590313 699397548 /nfs/dbraw/zinc/39/75/48/699397548.db2.gz WYQRDFKRPLNKIO-UHFFFAOYSA-N -1 1 312.797 1.726 20 0 DDADMM CCCC[C@H]1CCC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727860684 699428534 /nfs/dbraw/zinc/42/85/34/699428534.db2.gz GAQPSWQOJSGYBB-STQMWFEESA-N -1 1 307.394 1.402 20 0 DDADMM Cn1nnnc1SCCC(=O)Nc1nc(Cl)ccc1[O-] ZINC000790047513 699437266 /nfs/dbraw/zinc/43/72/66/699437266.db2.gz HQXIGLILRKLYOF-UHFFFAOYSA-N -1 1 314.758 1.085 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])c1ccc2c(c1)CC(=O)N2C ZINC000728309382 699443768 /nfs/dbraw/zinc/44/37/68/699443768.db2.gz VZCPFOSITTUTCY-JTQLQIEISA-N -1 1 311.341 1.797 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)CCC(F)(F)F)C(F)(F)F ZINC000790189241 699447266 /nfs/dbraw/zinc/44/72/66/699447266.db2.gz GRIZRAYSQMSAMA-YFKPBYRVSA-N -1 1 303.224 1.436 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1ccc(C)[nH]c1=O ZINC000790402814 699457565 /nfs/dbraw/zinc/45/75/65/699457565.db2.gz IQUJDQDGXMABRS-UHFFFAOYSA-N -1 1 316.770 1.592 20 0 DDADMM CC[C@@H](C)Oc1ccccc1C=CC(=O)NCc1nn[n-]n1 ZINC000732158331 699548160 /nfs/dbraw/zinc/54/81/60/699548160.db2.gz ZONWZESXQKYPAP-TYBABMIJSA-N -1 1 301.350 1.707 20 0 DDADMM CC[C@H](C)Oc1ccccc1C=CC(=O)NCc1nn[n-]n1 ZINC000732158330 699548320 /nfs/dbraw/zinc/54/83/20/699548320.db2.gz ZONWZESXQKYPAP-IQQGHNRFSA-N -1 1 301.350 1.707 20 0 DDADMM O=C(C=Cc1cccc(OC2CCCC2)c1)NCc1nn[n-]n1 ZINC000732158285 699548404 /nfs/dbraw/zinc/54/84/04/699548404.db2.gz XOKXBTDBZOIXJW-CMDGGOBGSA-N -1 1 313.361 1.851 20 0 DDADMM CC(C)OC(=O)N1CCC(NC(=O)c2cncc([O-])c2)CC1 ZINC000733001470 699576769 /nfs/dbraw/zinc/57/67/69/699576769.db2.gz OKRWFPIFXREZBD-UHFFFAOYSA-N -1 1 307.350 1.526 20 0 DDADMM CCc1nc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)cs1 ZINC000791089133 699610894 /nfs/dbraw/zinc/61/08/94/699610894.db2.gz LZMIIDNUIVJSLG-UHFFFAOYSA-N -1 1 316.390 1.682 20 0 DDADMM CCOc1ccc(CC(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000791167227 699614077 /nfs/dbraw/zinc/61/40/77/699614077.db2.gz GTMSKAMHEZYBCV-UHFFFAOYSA-N -1 1 310.357 1.922 20 0 DDADMM Cc1ccc(NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000791284636 699619585 /nfs/dbraw/zinc/61/95/85/699619585.db2.gz AVFMRXIBBRRDMK-UHFFFAOYSA-N -1 1 309.329 1.227 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC2(OC)CCCC2)co1 ZINC000791432952 699633948 /nfs/dbraw/zinc/63/39/48/699633948.db2.gz YMJPBCKULIDYCI-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM Nc1cc(COC(=O)c2nn(-c3ccccc3)cc2[O-])ccn1 ZINC000791534737 699639467 /nfs/dbraw/zinc/63/94/67/699639467.db2.gz FVDWYWADAXYGQZ-UHFFFAOYSA-N -1 1 310.313 1.912 20 0 DDADMM CC(C)=C[C@@H]1[C@H](C(=O)N2CCOC[C@H]2c2nn[n-]n2)C1(C)C ZINC000735679833 699700280 /nfs/dbraw/zinc/70/02/80/699700280.db2.gz PCGOCFQMJVMBMH-GRYCIOLGSA-N -1 1 305.382 1.338 20 0 DDADMM O=S(=O)([N-]CCNc1ncccn1)c1cccc(F)c1F ZINC000736330530 699723758 /nfs/dbraw/zinc/72/37/58/699723758.db2.gz XDRKYVNCWJXNRM-UHFFFAOYSA-N -1 1 314.317 1.145 20 0 DDADMM O=C([N-]S(=O)(=O)CC1CCC1)c1cccc(-c2nnc[nH]2)c1 ZINC000793179436 699737748 /nfs/dbraw/zinc/73/77/48/699737748.db2.gz UDQVKWXNALGOCE-UHFFFAOYSA-N -1 1 320.374 1.331 20 0 DDADMM Cc1ccc(SCC(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000737368282 699739335 /nfs/dbraw/zinc/73/93/35/699739335.db2.gz HPGREIQKOGXHJC-LBPRGKRZSA-N -1 1 319.390 1.200 20 0 DDADMM O=C([O-])N1CC[C@H](CCNC(=O)Cc2[nH]nc3ccccc32)C1 ZINC000740388590 699792325 /nfs/dbraw/zinc/79/23/25/699792325.db2.gz SZSOKWBHGOHJAQ-NSHDSACASA-N -1 1 316.361 1.612 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cccc3c2COC3=O)o1 ZINC000795164862 699849734 /nfs/dbraw/zinc/84/97/34/699849734.db2.gz HPYIRLVIGMCAOO-UHFFFAOYSA-N -1 1 322.342 1.386 20 0 DDADMM O=S(=O)(C[C@H]1CCCOC1)[N-]c1cnn(-c2ccccn2)c1 ZINC000795324255 699859026 /nfs/dbraw/zinc/85/90/26/699859026.db2.gz NAKJLASVKZSAPR-LBPRGKRZSA-N -1 1 322.390 1.436 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@H](OC1CCCC1)c1ccccc1 ZINC000743286359 699905700 /nfs/dbraw/zinc/90/57/00/699905700.db2.gz AYXILJWGUSVCRB-CQSZACIVSA-N -1 1 317.345 1.270 20 0 DDADMM CCC[C@H](C)NC(=O)[C@@H](C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796347757 699928347 /nfs/dbraw/zinc/92/83/47/699928347.db2.gz WOLHADYSQANTJN-VHSXEESVSA-N -1 1 310.350 1.651 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2ncnn2CC(C)C)[n-]1 ZINC000796360087 699929117 /nfs/dbraw/zinc/92/91/17/699929117.db2.gz DKSCUXOTDMEPOK-UHFFFAOYSA-N -1 1 306.322 1.406 20 0 DDADMM O=C(O[C@H]1CCCNC1=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801203057 700277161 /nfs/dbraw/zinc/27/71/61/700277161.db2.gz DQZNHTCSZFCLDT-LBPRGKRZSA-N -1 1 301.302 1.013 20 0 DDADMM CCOCC(=O)COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801324666 700297255 /nfs/dbraw/zinc/29/72/55/700297255.db2.gz ACVOOQGJSBAWLA-UHFFFAOYSA-N -1 1 322.292 1.479 20 0 DDADMM O=C(O[C@@H]1CCNC1=O)c1nn(-c2cccc(Cl)c2)cc1[O-] ZINC000801360382 700300852 /nfs/dbraw/zinc/30/08/52/700300852.db2.gz DKUZPHCETFXRCY-LLVKDONJSA-N -1 1 321.720 1.277 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc(-n2cccc2)nc1 ZINC000753310080 700421275 /nfs/dbraw/zinc/42/12/75/700421275.db2.gz PRQZTGWWXRMZGL-UHFFFAOYSA-N -1 1 323.360 1.585 20 0 DDADMM CCc1c(C(=O)OCc2nc(=O)n(C)[n-]2)cnn1CCC(C)C ZINC000755596686 700572258 /nfs/dbraw/zinc/57/22/58/700572258.db2.gz PXYCANLQBJOBIB-UHFFFAOYSA-N -1 1 321.381 1.270 20 0 DDADMM CCCCOCCOC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000756520976 700625669 /nfs/dbraw/zinc/62/56/69/700625669.db2.gz USQPWYNTVUTJQW-UHFFFAOYSA-N -1 1 305.352 1.161 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@]2(C)CCCO2)cc1 ZINC000756558742 700628215 /nfs/dbraw/zinc/62/82/15/700628215.db2.gz PZJPRYWIALSXLI-INIZCTEOSA-N -1 1 321.329 1.064 20 0 DDADMM COC(=O)[C@@]1([N-]C(=O)C(F)(F)c2nccs2)CCSC1 ZINC000756744633 700639211 /nfs/dbraw/zinc/63/92/11/700639211.db2.gz PUVCWXRTQCSBQE-SNVBAGLBSA-N -1 1 322.358 1.400 20 0 DDADMM COC[C@](C)([N-]C(=O)C(F)(F)c1ccc(F)cc1)C(=O)OC ZINC000757433674 700662822 /nfs/dbraw/zinc/66/28/22/700662822.db2.gz CFBOSXKNANEVIL-ZDUSSCGKSA-N -1 1 319.279 1.612 20 0 DDADMM CCc1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c(C)nn1 ZINC000759712015 700767692 /nfs/dbraw/zinc/76/76/92/700767692.db2.gz RGMCBPADYSLLKG-UHFFFAOYSA-N -1 1 319.283 1.573 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H]3COC(C)(C)O3)cnc2n1 ZINC000761678945 700866636 /nfs/dbraw/zinc/86/66/36/700866636.db2.gz NNVNPNFXFJSIKA-JTQLQIEISA-N -1 1 317.345 1.525 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@H]1COC(C)(C)O1)c2=O ZINC000761678945 700866638 /nfs/dbraw/zinc/86/66/38/700866638.db2.gz NNVNPNFXFJSIKA-JTQLQIEISA-N -1 1 317.345 1.525 20 0 DDADMM Cc1ccc(OCCCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765385413 701009192 /nfs/dbraw/zinc/00/91/92/701009192.db2.gz HVTSQVUTBHWGOF-UHFFFAOYSA-N -1 1 305.334 1.319 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccccc2C(F)(F)F)nc1=O ZINC000765388835 701009238 /nfs/dbraw/zinc/00/92/38/701009238.db2.gz FMQDGZJWEDPYHK-UHFFFAOYSA-N -1 1 301.224 1.484 20 0 DDADMM CC(C)c1cccc(O[C@@H](C)C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765465200 701014030 /nfs/dbraw/zinc/01/40/30/701014030.db2.gz WCGHQYCNMKUKEY-NSHDSACASA-N -1 1 319.361 1.743 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2c[nH]c3cc(Cl)ccc23)nc1=O ZINC000765465796 701014130 /nfs/dbraw/zinc/01/41/30/701014130.db2.gz UFOWAOZSLRQLGO-UHFFFAOYSA-N -1 1 320.736 1.529 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2C[C@]23CCCc2ccccc23)nc1=O ZINC000765486173 701015328 /nfs/dbraw/zinc/01/53/28/701015328.db2.gz GFBQYYPJAROFSG-GUYCJALGSA-N -1 1 313.357 1.446 20 0 DDADMM CSCc1cc(C(=O)OCc2nn(C)c(=O)[n-]2)ccc1F ZINC000765488231 701015483 /nfs/dbraw/zinc/01/54/83/701015483.db2.gz WSHBIJCHRQQOMG-UHFFFAOYSA-N -1 1 311.338 1.468 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2C[C@]23CCCc2ccccc23)nc1=O ZINC000765486172 701015491 /nfs/dbraw/zinc/01/54/91/701015491.db2.gz GFBQYYPJAROFSG-DYVFJYSZSA-N -1 1 313.357 1.446 20 0 DDADMM COc1cccc(/C(C)=C\C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765493062 701015920 /nfs/dbraw/zinc/01/59/20/701015920.db2.gz SIOGYRNJOTWXAH-YFHOEESVSA-N -1 1 303.318 1.264 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCCc2sccc21 ZINC000767117376 701084957 /nfs/dbraw/zinc/08/49/57/701084957.db2.gz HNDZOZCVHULRDP-LLVKDONJSA-N -1 1 319.386 1.182 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCC[C@@H]2C[C@@H]21 ZINC000804297720 701177361 /nfs/dbraw/zinc/17/73/61/701177361.db2.gz OVZIFHRCAYWALO-OCCSQVGLSA-N -1 1 314.389 1.995 20 0 DDADMM NC(=O)[C@@H](Cc1ccccc1)NC(=O)c1c([O-])cccc1Cl ZINC000769731651 701254284 /nfs/dbraw/zinc/25/42/84/701254284.db2.gz DAEUEJFLAYGTBS-GFCCVEGCSA-N -1 1 318.760 1.872 20 0 DDADMM Cc1nc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)sc1C ZINC000770261397 701273185 /nfs/dbraw/zinc/27/31/85/701273185.db2.gz ZWMQKOIBMLGTJB-NSHDSACASA-N -1 1 314.374 1.792 20 0 DDADMM C/C=C\C(=O)Nc1cc(S(=O)(=O)N(CC)CC)ccc1[O-] ZINC000770946734 701301379 /nfs/dbraw/zinc/30/13/79/701301379.db2.gz OOZGIYQAUVMMLY-DAXSKMNVSA-N -1 1 312.391 1.937 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)NCc1ccccc1Cl ZINC000805606210 701399167 /nfs/dbraw/zinc/39/91/67/701399167.db2.gz UUDWOHGIAXXDAO-MRVPVSSYSA-N -1 1 308.725 1.320 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)c1cccc(Br)c1 ZINC000805609499 701399773 /nfs/dbraw/zinc/39/97/73/701399773.db2.gz RZROHKMKMBFUKP-UHFFFAOYSA-N -1 1 310.107 1.607 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)Nc1cc(Cl)ccc1Cl ZINC000805610375 701400099 /nfs/dbraw/zinc/40/00/99/701400099.db2.gz ZPAKUPBFOQKGEU-UHFFFAOYSA-N -1 1 315.116 1.907 20 0 DDADMM Cc1cccc([C@H](O)C[N-]S(=O)(=O)c2c[nH]nc2Cl)c1 ZINC000830896385 706599040 /nfs/dbraw/zinc/59/90/40/706599040.db2.gz DKQRHXFRAJSASF-SNVBAGLBSA-N -1 1 315.782 1.383 20 0 DDADMM CCO[C@@H]1CCCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806481519 701437070 /nfs/dbraw/zinc/43/70/70/701437070.db2.gz DYASBURBJUUOBH-LLVKDONJSA-N -1 1 303.366 1.375 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@]4(C3)CCCOC4)ccnc1-2 ZINC000806489822 701437783 /nfs/dbraw/zinc/43/77/83/701437783.db2.gz FTUJKQVCMYHMQO-INIZCTEOSA-N -1 1 315.377 1.376 20 0 DDADMM C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@H](O)c1cccc(F)c1 ZINC000807199088 701469281 /nfs/dbraw/zinc/46/92/81/701469281.db2.gz RRLMYTYDGNSUBS-BONVTDFDSA-N -1 1 317.316 1.952 20 0 DDADMM CO[C@H]1CCC[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)C1 ZINC000814564237 701721464 /nfs/dbraw/zinc/72/14/64/701721464.db2.gz NMIZUVWVCPXXMZ-KGLIPLIRSA-N -1 1 319.357 1.691 20 0 DDADMM CC(=CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C(C)(C)C ZINC000830953478 706609957 /nfs/dbraw/zinc/60/99/57/706609957.db2.gz VXODOACRPSLZIC-JXMROGBWSA-N -1 1 305.378 1.864 20 0 DDADMM Cn1cnc(C(=O)[N-]c2nc(-c3ccc(F)cc3)ns2)n1 ZINC000810397363 701764376 /nfs/dbraw/zinc/76/43/76/701764376.db2.gz YDUQFYZJVHYCQU-UHFFFAOYSA-N -1 1 304.310 1.725 20 0 DDADMM CC1CCN(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000867889866 701812836 /nfs/dbraw/zinc/81/28/36/701812836.db2.gz HDISXHVWLVAPEV-CYBMUJFWSA-N -1 1 321.343 1.981 20 0 DDADMM CC(C)(C)[C@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO1 ZINC000830991471 706616691 /nfs/dbraw/zinc/61/66/91/706616691.db2.gz YTGDNFCTDDTAPP-PSASIEDQSA-N -1 1 321.830 1.935 20 0 DDADMM CO[C@@](C)([C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000839828113 701841146 /nfs/dbraw/zinc/84/11/46/701841146.db2.gz DAHKOPRNPPEMFN-VFZGTOFNSA-N -1 1 320.418 1.951 20 0 DDADMM O=C(NCCS(=O)(=O)C1CC1)c1cc(Cl)ccc1[O-] ZINC000839854044 701845543 /nfs/dbraw/zinc/84/55/43/701845543.db2.gz NMYXLIGLLIZNKX-UHFFFAOYSA-N -1 1 303.767 1.353 20 0 DDADMM COC1([C@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)CCC1 ZINC000839877245 701854908 /nfs/dbraw/zinc/85/49/08/701854908.db2.gz KMQVVENPKPXLMT-VIFPVBQESA-N -1 1 306.391 1.705 20 0 DDADMM CCc1sc(C(=O)NCc2nn[n-]n2)cc1Br ZINC000815558336 701897371 /nfs/dbraw/zinc/89/73/71/701897371.db2.gz ZZFPUHXKOKQHKP-UHFFFAOYSA-N -1 1 316.184 1.516 20 0 DDADMM CC1(CC[N-]S(=O)(=O)c2sccc2Cl)OCCO1 ZINC000831102048 706635043 /nfs/dbraw/zinc/63/50/43/706635043.db2.gz WQKBLDAXHRBGQS-UHFFFAOYSA-N -1 1 311.812 1.833 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831111843 706636823 /nfs/dbraw/zinc/63/68/23/706636823.db2.gz FNUVGYXVPGKKET-GRLWKWRFSA-N -1 1 304.312 1.415 20 0 DDADMM CCc1occc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831125273 706639432 /nfs/dbraw/zinc/63/94/32/706639432.db2.gz JJBAPLZELQXJQR-UHFFFAOYSA-N -1 1 304.268 1.593 20 0 DDADMM O=C(CCCn1cccc1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831139243 706642119 /nfs/dbraw/zinc/64/21/19/706642119.db2.gz GOVBZHUGFBLCON-UHFFFAOYSA-N -1 1 317.311 1.405 20 0 DDADMM CNc1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)cn1 ZINC000840519193 702138898 /nfs/dbraw/zinc/13/88/98/702138898.db2.gz SREFKBFDKQGPIT-UHFFFAOYSA-N -1 1 305.256 1.349 20 0 DDADMM Cc1ccc(O)c(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1 ZINC000831154362 706646036 /nfs/dbraw/zinc/64/60/36/706646036.db2.gz NQIHVHNCDAJTBS-UHFFFAOYSA-N -1 1 316.279 1.451 20 0 DDADMM CCOCCCCCC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162307 706647364 /nfs/dbraw/zinc/64/73/64/706647364.db2.gz GFDJBNPAHFKVPK-UHFFFAOYSA-N -1 1 324.343 1.720 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H]1C(F)F ZINC000868604530 702220789 /nfs/dbraw/zinc/22/07/89/702220789.db2.gz OCJNIZAURCSHOC-JTQLQIEISA-N -1 1 310.304 1.460 20 0 DDADMM CS(=O)(=O)C[C@H]1CCN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000816996610 702255681 /nfs/dbraw/zinc/25/56/81/702255681.db2.gz QBKFKZPJUXCPKZ-VIFPVBQESA-N -1 1 317.794 1.552 20 0 DDADMM CN(C(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H]1CC(C)(C)OC1=O ZINC000817070471 702264141 /nfs/dbraw/zinc/26/41/41/702264141.db2.gz WRSYRTJHZVULOK-SECBINFHSA-N -1 1 320.374 1.184 20 0 DDADMM O=C([N-]C[C@@H]1Cc2ccccc2N1CCCO)C(F)(F)F ZINC000817291817 702333020 /nfs/dbraw/zinc/33/30/20/702333020.db2.gz GBSHGFKCFOKPFD-NSHDSACASA-N -1 1 302.296 1.479 20 0 DDADMM O=C([N-]OCCC1CC1)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000817399408 702370082 /nfs/dbraw/zinc/37/00/82/702370082.db2.gz KMPQGMQMNKXXKX-HNNXBMFYSA-N -1 1 302.374 1.883 20 0 DDADMM CC(C)(C)c1nccc(N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000866294737 706667558 /nfs/dbraw/zinc/66/75/58/706667558.db2.gz VTBHGVDTWDNSEM-UHFFFAOYSA-N -1 1 316.327 1.889 20 0 DDADMM Cc1cccc(CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)c1C ZINC000814129715 702483277 /nfs/dbraw/zinc/48/32/77/702483277.db2.gz FQFQLYPYPLDHFR-UHFFFAOYSA-N -1 1 315.373 1.202 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)C[C@H]1CCCCC1(F)F ZINC000841544206 702491461 /nfs/dbraw/zinc/49/14/61/702491461.db2.gz IUVUZNJRWNOLCX-BDAKNGLRSA-N -1 1 317.329 1.242 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)c2cnn(CC)n2)n1 ZINC000841667151 702525981 /nfs/dbraw/zinc/52/59/81/702525981.db2.gz MFTFXOIXTFPRID-UHFFFAOYSA-N -1 1 307.379 1.721 20 0 DDADMM CCC1(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)CCC1 ZINC000869430555 702604954 /nfs/dbraw/zinc/60/49/54/702604954.db2.gz HTNPMMVSYAZDEF-UHFFFAOYSA-N -1 1 309.284 1.748 20 0 DDADMM COC[C@H](C)[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1F ZINC000866385987 706687088 /nfs/dbraw/zinc/68/70/88/706687088.db2.gz VBJSWWSIOVVBNJ-ZRNGKTOUSA-N -1 1 324.399 1.152 20 0 DDADMM CCC(CC)(CO)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000842107168 702672118 /nfs/dbraw/zinc/67/21/18/702672118.db2.gz XJMBUEVPQOLFFP-UHFFFAOYSA-N -1 1 307.346 1.285 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)N=S1(=O)CCCC1)c1ccccc1 ZINC000866408388 706691447 /nfs/dbraw/zinc/69/14/47/706691447.db2.gz XRQKEVPGRZZAQG-GFCCVEGCSA-N -1 1 316.448 1.886 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H](C)c1ccccc1 ZINC000866408511 706691599 /nfs/dbraw/zinc/69/15/99/706691599.db2.gz ZTFLDCXCTUFPLP-VOJFVSQTSA-N -1 1 304.437 1.742 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2CC2(Cl)Cl)sn1 ZINC000866470142 706706809 /nfs/dbraw/zinc/70/68/09/706706809.db2.gz STIRHTYNAMZODD-ZCFIWIBFSA-N -1 1 301.220 1.924 20 0 DDADMM CC[C@@H](C)C[C@H](CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000844034362 702960487 /nfs/dbraw/zinc/96/04/87/702960487.db2.gz BGQMZOCGFQFKND-MWLCHTKSSA-N -1 1 308.407 1.545 20 0 DDADMM CCC[C@H](CC)S(=O)(=O)NN=c1nc(OC)cc(C)[n-]1 ZINC000844657185 703052154 /nfs/dbraw/zinc/05/21/54/703052154.db2.gz NNGCNAKLNMSUFW-JTQLQIEISA-N -1 1 302.400 1.619 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1csc2ccccc12 ZINC000866511689 706717450 /nfs/dbraw/zinc/71/74/50/706717450.db2.gz RWVAEQCGWYXUTG-UHFFFAOYSA-N -1 1 318.445 1.963 20 0 DDADMM CC1=NO[C@@H](C(=O)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)C1 ZINC000847101316 703377573 /nfs/dbraw/zinc/37/75/73/703377573.db2.gz XSZMLPHLSDTDKJ-SNVBAGLBSA-N -1 1 321.299 1.068 20 0 DDADMM O=S(=O)([N-]CCNc1ncccn1)c1ccc(C(F)F)o1 ZINC000866599605 706741352 /nfs/dbraw/zinc/74/13/52/706741352.db2.gz ANDKIUBVZWWBFY-UHFFFAOYSA-N -1 1 318.305 1.398 20 0 DDADMM COCOCCCNC(=O)c1cc(Br)ccc1[O-] ZINC000848171700 703520281 /nfs/dbraw/zinc/52/02/81/703520281.db2.gz DKPQZOSLGROOHZ-UHFFFAOYSA-N -1 1 318.167 1.895 20 0 DDADMM CCc1nc(SCCS(=O)(=O)N(C)C)[n-]c(=O)c1C ZINC000849921847 703689589 /nfs/dbraw/zinc/68/95/89/703689589.db2.gz QEOFDMGDZVTJNW-UHFFFAOYSA-N -1 1 305.425 1.037 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2CCC[C@H]2Cc2ccccc2)nc1=O ZINC000850333171 703724942 /nfs/dbraw/zinc/72/49/42/703724942.db2.gz CZLKCXAVSWJIRN-UONOGXRCSA-N -1 1 315.373 1.811 20 0 DDADMM CC[C@@H](c1ccccc1)[N@@H+](CCS(=O)(=O)CC)CC(=O)[O-] ZINC000851706970 703833646 /nfs/dbraw/zinc/83/36/46/703833646.db2.gz OEALODQOSROJHS-AWEZNQCLSA-N -1 1 313.419 1.959 20 0 DDADMM CC[C@@H](c1ccccc1)N(CCS(=O)(=O)CC)CC(=O)[O-] ZINC000851706970 703833649 /nfs/dbraw/zinc/83/36/49/703833649.db2.gz OEALODQOSROJHS-AWEZNQCLSA-N -1 1 313.419 1.959 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC12OCCO2)c1cccc(F)c1F ZINC000851816930 703863195 /nfs/dbraw/zinc/86/31/95/703863195.db2.gz YOKUAMVPHMQXKD-LLVKDONJSA-N -1 1 319.329 1.539 20 0 DDADMM CCOC(=O)[C@@H](CC)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763816 706784328 /nfs/dbraw/zinc/78/43/28/706784328.db2.gz HTPHQFBQBZRWEX-BXKDBHETSA-N -1 1 310.316 1.471 20 0 DDADMM COC(=O)C12CC(C1)CN2Cc1cc(=O)oc2cc([O-])ccc12 ZINC000852441192 704050583 /nfs/dbraw/zinc/05/05/83/704050583.db2.gz LGMHEJKSHOCTCH-UHFFFAOYSA-N -1 1 315.325 1.636 20 0 DDADMM CC[C@]1(O)CCCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000870681376 704102894 /nfs/dbraw/zinc/10/28/94/704102894.db2.gz ACBIEGYOZDSGRC-INIZCTEOSA-N -1 1 307.394 1.991 20 0 DDADMM CC[S@](=O)CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000866765033 706793115 /nfs/dbraw/zinc/79/31/15/706793115.db2.gz ZZIVTSVXIYWHJK-KRWDZBQOSA-N -1 1 301.336 1.264 20 0 DDADMM CCCCC[C@H](C)CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000819614592 704150983 /nfs/dbraw/zinc/15/09/83/704150983.db2.gz MBSAFOIXTVCVMX-LBPRGKRZSA-N -1 1 309.414 1.616 20 0 DDADMM CCC(=O)N1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000819671970 704156472 /nfs/dbraw/zinc/15/64/72/704156472.db2.gz XNGGTDOZQBCDQZ-UHFFFAOYSA-N -1 1 306.366 1.266 20 0 DDADMM CC1(C)C[C@@](C)([N-]S(=O)(=O)c2cc(F)ccc2F)C(=O)O1 ZINC000820734575 704325386 /nfs/dbraw/zinc/32/53/86/704325386.db2.gz HFXUSMKUNVMAER-CYBMUJFWSA-N -1 1 319.329 1.727 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cccc(Cl)c1F ZINC000821132748 704375243 /nfs/dbraw/zinc/37/52/43/704375243.db2.gz XVMVPKUHISYQMO-UHFFFAOYSA-N -1 1 300.764 1.863 20 0 DDADMM CCOC(=O)c1c[n-]nc1S(=O)(=O)Oc1cccc(F)c1 ZINC000821691627 704430979 /nfs/dbraw/zinc/43/09/79/704430979.db2.gz NZVSQOLTEIOQIE-UHFFFAOYSA-N -1 1 314.294 1.493 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC[C@H](Cn2ccnn2)C1 ZINC000855235538 704474481 /nfs/dbraw/zinc/47/44/81/704474481.db2.gz BRIABKCCTHNAKM-JTQLQIEISA-N -1 1 306.753 1.799 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@H](C)O1 ZINC000855930356 704507354 /nfs/dbraw/zinc/50/73/54/704507354.db2.gz IBXDVFPPSGSGAC-ZJUUUORDSA-N -1 1 306.391 1.658 20 0 DDADMM CCC(CC)CCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000856169959 704517268 /nfs/dbraw/zinc/51/72/68/704517268.db2.gz WHKRKMOPRSVKJH-UHFFFAOYSA-N -1 1 309.410 1.602 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2CCC=CO2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856417426 704528122 /nfs/dbraw/zinc/52/81/22/704528122.db2.gz UWPVOTYZVPKYHC-VWYCJHECSA-N -1 1 320.311 1.595 20 0 DDADMM COC[C@H](C)CC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417937 704528207 /nfs/dbraw/zinc/52/82/07/704528207.db2.gz OMYYLRLTKMAALC-MXWKQRLJSA-N -1 1 324.343 1.575 20 0 DDADMM CC(C)OCC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856420108 704528294 /nfs/dbraw/zinc/52/82/94/704528294.db2.gz XURSUFXORXVDQD-ZJUUUORDSA-N -1 1 310.316 1.327 20 0 DDADMM Nc1nc2nc(CN3CCCC[C@@H]3c3ccccc3)cc(=O)n2[n-]1 ZINC000856735023 704535968 /nfs/dbraw/zinc/53/59/68/704535968.db2.gz IXFRIAQHHDBPNT-CQSZACIVSA-N -1 1 324.388 1.727 20 0 DDADMM CCCCCCO[C@H](C)C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000857621240 704608378 /nfs/dbraw/zinc/60/83/78/704608378.db2.gz BINGICSPSCZYJS-LLVKDONJSA-N -1 1 321.381 1.650 20 0 DDADMM C[C@@H]1CC[C@@H]([N-]S(=O)(=O)c2cnn(C)c2C(F)(F)F)C1 ZINC000867151654 706910401 /nfs/dbraw/zinc/91/04/01/706910401.db2.gz LRHUGCBFXZVNQI-HTQZYQBOSA-N -1 1 311.329 1.906 20 0 DDADMM CCC(O)(CC)CC[N-]S(=O)(=O)N=S(=O)(CC)CC ZINC000867170397 706914486 /nfs/dbraw/zinc/91/44/86/706914486.db2.gz KWFMUMMLHQLSFY-UHFFFAOYSA-N -1 1 314.473 1.270 20 0 DDADMM Cn1cc(N2C[C@@H](C(=O)[N-]OCc3ccccc3)CC2=O)cn1 ZINC000858167037 704672626 /nfs/dbraw/zinc/67/26/26/704672626.db2.gz IWEROAJHTNIUQN-ZDUSSCGKSA-N -1 1 314.345 1.021 20 0 DDADMM O=c1nc(NC[C@H]2CCC3(CCOCC3)[C@@H]2O)cc(Cl)[n-]1 ZINC000858532503 704723155 /nfs/dbraw/zinc/72/31/55/704723155.db2.gz KZXHRFFNMOHVGU-BXKDBHETSA-N -1 1 313.785 1.815 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(CC(C)(C)C)OC)c1 ZINC000867264047 706941871 /nfs/dbraw/zinc/94/18/71/706941871.db2.gz XDOJNDAPZLJQGW-UHFFFAOYSA-N -1 1 303.380 1.999 20 0 DDADMM C[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1ccc(Br)nc1F ZINC000858901067 704770098 /nfs/dbraw/zinc/77/00/98/704770098.db2.gz IPEFAQFYNMVAQV-RQJHMYQMSA-N -1 1 323.187 1.918 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)[C@@H]1CCN(C(=O)c2ccccc2)C1 ZINC000858988255 704781062 /nfs/dbraw/zinc/78/10/62/704781062.db2.gz ZWSBPUAKSOVSNW-CABCVRRESA-N -1 1 318.373 1.723 20 0 DDADMM COc1ccccc1CC(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000821889828 704836262 /nfs/dbraw/zinc/83/62/62/704836262.db2.gz GMTKGNZIVCHNEC-UHFFFAOYSA-N -1 1 316.346 1.513 20 0 DDADMM COc1ccccc1CC(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000821889828 704836268 /nfs/dbraw/zinc/83/62/68/704836268.db2.gz GMTKGNZIVCHNEC-UHFFFAOYSA-N -1 1 316.346 1.513 20 0 DDADMM CC1=NO[C@@H](C[N-]S(=O)(=O)c2cc(Cl)cnc2Cl)C1 ZINC000867347860 706969482 /nfs/dbraw/zinc/96/94/82/706969482.db2.gz AUILGWMJUAKJFR-MRVPVSSYSA-N -1 1 324.189 1.832 20 0 DDADMM CCO[C@@H](COC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C1CC1 ZINC000859497490 704918109 /nfs/dbraw/zinc/91/81/09/704918109.db2.gz LTYMTJWCIFUSOY-NSHDSACASA-N -1 1 317.363 1.160 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1CC[C@@](O)(c2ccc(F)cc2)C1 ZINC000874041084 704924014 /nfs/dbraw/zinc/92/40/14/704924014.db2.gz YAKLZBYYFZCCLF-INIZCTEOSA-N -1 1 319.336 1.351 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1ccc(F)nc1F)OCC1CC1 ZINC000867404770 706990021 /nfs/dbraw/zinc/99/00/21/706990021.db2.gz WSQCTTJBOLJTFB-UHFFFAOYSA-N -1 1 320.361 1.843 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@@H]1CCCCO1 ZINC000867487809 707016619 /nfs/dbraw/zinc/01/66/19/707016619.db2.gz TULKRACQTFAXSX-ZJUUUORDSA-N -1 1 320.361 1.986 20 0 DDADMM O=C([O-])C[C@@H]1CN(CCCc2ccc3c(c2)CCO3)CCO1 ZINC000859807087 705010055 /nfs/dbraw/zinc/01/00/55/705010055.db2.gz AKUINCCPCPYKSC-OAHLLOKOSA-N -1 1 305.374 1.730 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)c1ccc(F)cc1 ZINC000874386591 705031579 /nfs/dbraw/zinc/03/15/79/705031579.db2.gz PVZRCERGWJTIPE-KWCYVHTRSA-N -1 1 323.368 1.591 20 0 DDADMM CN(CCNC(=O)N[C@H]1C[C@H](C(=O)[O-])C1)Cc1ccc(F)cc1 ZINC000874394406 705036211 /nfs/dbraw/zinc/03/62/11/705036211.db2.gz ZJCZGSWRBQEHDY-MQMHXKEQSA-N -1 1 323.368 1.420 20 0 DDADMM O=C(CC[C@H]1CCCO1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822950050 705091060 /nfs/dbraw/zinc/09/10/60/705091060.db2.gz CKSRIDSVUUHRTM-SNVBAGLBSA-N -1 1 303.322 1.470 20 0 DDADMM COC(=O)CC[C@@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000874530835 705096157 /nfs/dbraw/zinc/09/61/57/705096157.db2.gz QWCSEZUNAHTYCS-LBPRGKRZSA-N -1 1 319.357 1.767 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2 ZINC000860315772 705155358 /nfs/dbraw/zinc/15/53/58/705155358.db2.gz SDNZQRNYNFEXKV-MCYUEQNJSA-N -1 1 323.732 1.705 20 0 DDADMM COC(=O)[C@]12CCC[C@H]1N(C(=O)c1ncc(C)cc1[O-])CC2 ZINC000874932342 705232354 /nfs/dbraw/zinc/23/23/54/705232354.db2.gz SKDJIDXBSLRKHJ-WBMJQRKESA-N -1 1 304.346 1.653 20 0 DDADMM c1ccc(-c2nn[nH]n2)c(OCC[N@H+]2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000823773575 705314233 /nfs/dbraw/zinc/31/42/33/705314233.db2.gz WEOQLDZKAZXRQR-TXEJJXNPSA-N -1 1 301.350 1.109 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC2CCC(F)(F)CC2)co1 ZINC000860971417 705334143 /nfs/dbraw/zinc/33/41/43/705334143.db2.gz QURAINYXTXRYOM-UHFFFAOYSA-N -1 1 323.317 1.922 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000823942561 705343070 /nfs/dbraw/zinc/34/30/70/705343070.db2.gz GJZGRJZFVYGPRV-DHZHZOJOSA-N -1 1 323.360 1.951 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000823942561 705343074 /nfs/dbraw/zinc/34/30/74/705343074.db2.gz GJZGRJZFVYGPRV-DHZHZOJOSA-N -1 1 323.360 1.951 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@H](CO)Cc2cccc(F)c21 ZINC000911688857 710931627 /nfs/dbraw/zinc/93/16/27/710931627.db2.gz KAUWROPEZFYNNW-SNVBAGLBSA-N -1 1 302.305 1.738 20 0 DDADMM O=C([C@H]1C[C@@H](O)C1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000825209017 705625894 /nfs/dbraw/zinc/62/58/94/705625894.db2.gz VAFGTLPLUJUJKF-BETUJISGSA-N -1 1 324.808 1.461 20 0 DDADMM CCCC1(CNC(=O)Cc2noc(C)c2-c2nnn[n-]2)CCC1 ZINC000825248605 705632956 /nfs/dbraw/zinc/63/29/56/705632956.db2.gz WTZPPPJGCJVWEX-UHFFFAOYSA-N -1 1 318.381 1.792 20 0 DDADMM CCCC1(CNC(=O)Cc2noc(C)c2-c2nn[n-]n2)CCC1 ZINC000825248605 705632958 /nfs/dbraw/zinc/63/29/58/705632958.db2.gz WTZPPPJGCJVWEX-UHFFFAOYSA-N -1 1 318.381 1.792 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)[C@H]1C[C@]1(C)Br ZINC000862152331 705665266 /nfs/dbraw/zinc/66/52/66/705665266.db2.gz BZXMUOURYWBFCK-HQJQHLMTSA-N -1 1 308.172 1.549 20 0 DDADMM CO[C@@H](C(=O)N(C)c1nn[n-]n1)c1ccccc1C(F)(F)F ZINC000825531572 705688895 /nfs/dbraw/zinc/68/88/95/705688895.db2.gz LZGAEFLHIYQWDA-SECBINFHSA-N -1 1 315.255 1.569 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)NCC1(O)CCOCC1 ZINC000876393147 705707965 /nfs/dbraw/zinc/70/79/65/705707965.db2.gz DHRRHZUXBLOLEO-UHFFFAOYSA-N -1 1 314.769 1.386 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC[C@]2(CNC(=O)C2)C1 ZINC000876397217 705708306 /nfs/dbraw/zinc/70/83/06/705708306.db2.gz YMJPMQONFDENEP-HNNXBMFYSA-N -1 1 323.780 1.467 20 0 DDADMM CCOc1cc(C(=O)NN2CCOC2=O)cc(Cl)c1[O-] ZINC000862695696 705776952 /nfs/dbraw/zinc/77/69/52/705776952.db2.gz CNLORTOEHCZWDO-UHFFFAOYSA-N -1 1 300.698 1.541 20 0 DDADMM Cc1ccc(C(=O)n2ncc(-c3nn[n-]n3)c2N)c(Cl)c1C ZINC000826282237 705786681 /nfs/dbraw/zinc/78/66/81/705786681.db2.gz FIABBGZJZBIWHW-UHFFFAOYSA-N -1 1 317.740 1.604 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H]3CCCC[C@H]3C2)c1-c1nnn[n-]1 ZINC000826343613 705792781 /nfs/dbraw/zinc/79/27/81/705792781.db2.gz HVDHWOHIKJRDGJ-QWRGUYRKSA-N -1 1 316.365 1.354 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H]3CCCC[C@H]3C2)c1-c1nn[n-]n1 ZINC000826343613 705792783 /nfs/dbraw/zinc/79/27/83/705792783.db2.gz HVDHWOHIKJRDGJ-QWRGUYRKSA-N -1 1 316.365 1.354 20 0 DDADMM Cc1onc(CC(=O)N2c3ccccc3C[C@H]2C)c1-c1nnn[n-]1 ZINC000826345869 705793657 /nfs/dbraw/zinc/79/36/57/705793657.db2.gz WNGOSNKOKAJJDO-SECBINFHSA-N -1 1 324.344 1.683 20 0 DDADMM Cc1onc(CC(=O)N2c3ccccc3C[C@H]2C)c1-c1nn[n-]n1 ZINC000826345869 705793662 /nfs/dbraw/zinc/79/36/62/705793662.db2.gz WNGOSNKOKAJJDO-SECBINFHSA-N -1 1 324.344 1.683 20 0 DDADMM Cc1onc(CC(=O)NCC(C2CC2)C2CC2)c1-c1nnn[n-]1 ZINC000826346901 705794288 /nfs/dbraw/zinc/79/42/88/705794288.db2.gz YOXMHJMQUWEIDM-UHFFFAOYSA-N -1 1 316.365 1.258 20 0 DDADMM Cc1onc(CC(=O)NCC(C2CC2)C2CC2)c1-c1nn[n-]n1 ZINC000826346901 705794290 /nfs/dbraw/zinc/79/42/90/705794290.db2.gz YOXMHJMQUWEIDM-UHFFFAOYSA-N -1 1 316.365 1.258 20 0 DDADMM CC[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CCOCC1 ZINC000826566961 705816202 /nfs/dbraw/zinc/81/62/02/705816202.db2.gz ASIXKZSRVVBVBE-GFCCVEGCSA-N -1 1 320.418 1.953 20 0 DDADMM C[C@H](C(=O)Nc1ccccc1-n1ccc(C(=O)[O-])n1)N(C)C ZINC000908960677 712903765 /nfs/dbraw/zinc/90/37/65/712903765.db2.gz HEKLWMOZZRXSML-SNVBAGLBSA-N -1 1 302.334 1.459 20 0 DDADMM CN(Cn1[n-]c(-c2ccccn2)nc1=S)[C@H]1C[C@@H](C(N)=O)C1 ZINC000863323020 705901760 /nfs/dbraw/zinc/90/17/60/705901760.db2.gz YTFGSQQHZKNYQL-AOOOYVTPSA-N -1 1 318.406 1.156 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)NC[C@H]3C[C@@H](O)C3)c2)o1 ZINC000827508150 706017050 /nfs/dbraw/zinc/01/70/50/706017050.db2.gz GQTIAZYFIQIBKA-KLPPZKSPSA-N -1 1 315.325 1.965 20 0 DDADMM CC(C)c1c(Cc2ccccc2)[n-]n(CCS(C)(=O)=O)c1=O ZINC000871951199 707252873 /nfs/dbraw/zinc/25/28/73/707252873.db2.gz LKTDNXAUPSZXQS-HNNXBMFYSA-N -1 1 322.430 1.744 20 0 DDADMM CC(C)C1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)COC1 ZINC000827902127 706084724 /nfs/dbraw/zinc/08/47/24/706084724.db2.gz RRGLEUWKPBFMRZ-GFCCVEGCSA-N -1 1 301.350 1.078 20 0 DDADMM CC(C)(C)O[C@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1 ZINC000828103234 706118472 /nfs/dbraw/zinc/11/84/72/706118472.db2.gz FGVJUQCDJRNOGZ-OCAPTIKFSA-N -1 1 307.803 1.688 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC[C@@H]2CCCO2)C1 ZINC000864429700 706166220 /nfs/dbraw/zinc/16/62/20/706166220.db2.gz YVMKYXYIKBAHEV-JOYOIKCWSA-N -1 1 323.315 1.018 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2c(c1)C[C@H](C)O2)c1nn[n-]n1 ZINC000829802112 706398935 /nfs/dbraw/zinc/39/89/35/706398935.db2.gz XRUPIVJJFHAMCX-CABZTGNLSA-N -1 1 301.350 1.794 20 0 DDADMM O=C(/C=C\CCc1ccccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000865596776 706473148 /nfs/dbraw/zinc/47/31/48/706473148.db2.gz YPCRTZRSXJMRNA-UQYHUFHVSA-N -1 1 313.361 1.289 20 0 DDADMM O=c1[n-]c(CN2CCO[C@H](C3CCC3)C2)nc2c1COCC2 ZINC000878707926 706475142 /nfs/dbraw/zinc/47/51/42/706475142.db2.gz QROQLUUSBPIGPN-AWEZNQCLSA-N -1 1 305.378 1.256 20 0 DDADMM C[C@H](Cc1cccc(O)c1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830614664 706545427 /nfs/dbraw/zinc/54/54/27/706545427.db2.gz MNDDWAVFGSWSQX-MRVPVSSYSA-N -1 1 315.782 1.678 20 0 DDADMM Cc1nnc(SCC(=O)N[C@H](CNC(=O)[O-])CC(C)C)[nH]1 ZINC000830678441 706560278 /nfs/dbraw/zinc/56/02/78/706560278.db2.gz OPIRWWGZFPRLFG-VIFPVBQESA-N -1 1 315.399 1.004 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@H](CNC(=O)[O-])CC(C)C)n1 ZINC000830678441 706560281 /nfs/dbraw/zinc/56/02/81/706560281.db2.gz OPIRWWGZFPRLFG-VIFPVBQESA-N -1 1 315.399 1.004 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC1CCCC1 ZINC000830773880 706577185 /nfs/dbraw/zinc/57/71/85/706577185.db2.gz ORNGHAMYTVPDHF-ZETCQYMHSA-N -1 1 321.786 1.216 20 0 DDADMM CCC[C@H](OCC)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830788523 706579931 /nfs/dbraw/zinc/57/99/31/706579931.db2.gz DRVIWFSFTOSUTG-GXFFZTMASA-N -1 1 324.343 1.861 20 0 DDADMM Cc1cc[nH]c1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830801697 706582277 /nfs/dbraw/zinc/58/22/77/706582277.db2.gz MXOMRJQHLMVFPJ-GFCCVEGCSA-N -1 1 303.284 1.606 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CC23CCC3)C1 ZINC000830816448 706585542 /nfs/dbraw/zinc/58/55/42/706585542.db2.gz PDYKVRRJWQKXGL-CABZTGNLSA-N -1 1 304.312 1.846 20 0 DDADMM CCO[C@@H]1C[C@H]1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830826412 706587912 /nfs/dbraw/zinc/58/79/12/706587912.db2.gz CEIMXVBANIYEKM-KBVBSXBZSA-N -1 1 308.300 1.081 20 0 DDADMM CC(C)OCCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830832224 706588626 /nfs/dbraw/zinc/58/86/26/706588626.db2.gz COEPTNCGAHUXCG-GFCCVEGCSA-N -1 1 310.316 1.471 20 0 DDADMM CO[C@@]1(C)C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1(C)C ZINC000866904625 706840609 /nfs/dbraw/zinc/84/06/09/706840609.db2.gz SDHGADOTDTYQSW-RNCFNFMXSA-N -1 1 320.361 1.842 20 0 DDADMM COc1cccc(CC[N-]S(=O)(=O)c2cc(C)ns2)n1 ZINC000866939659 706850713 /nfs/dbraw/zinc/85/07/13/706850713.db2.gz AZKHAVRACQTGNW-UHFFFAOYSA-N -1 1 313.404 1.376 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@H](c1cccnc1)C1CC1 ZINC000867021886 706874792 /nfs/dbraw/zinc/87/47/92/706874792.db2.gz HGPOQUFJAMTOJD-NSHDSACASA-N -1 1 303.409 1.095 20 0 DDADMM CC(C)[C@@H]1C[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)CCO1 ZINC000867052851 706882764 /nfs/dbraw/zinc/88/27/64/706882764.db2.gz TWDYXPNBBKDYBQ-NEPJUHHUSA-N -1 1 324.468 1.286 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CC1(c2ccccn2)CC1 ZINC000867095848 706894536 /nfs/dbraw/zinc/89/45/36/706894536.db2.gz YGWHWFFKDUXAND-LJQANCHMSA-N -1 1 317.436 1.065 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)O1)c1c([O-])cccc1F ZINC000832397729 706904642 /nfs/dbraw/zinc/90/46/42/706904642.db2.gz YWICFAGADXFKPT-LLVKDONJSA-N -1 1 309.337 1.989 20 0 DDADMM C[C@]1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCCCO1 ZINC000832463978 706920066 /nfs/dbraw/zinc/92/00/66/706920066.db2.gz LFRWQHJMGFFGSD-CQSZACIVSA-N -1 1 306.391 1.707 20 0 DDADMM CC[C@@H](O)CC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000832579181 706944038 /nfs/dbraw/zinc/94/40/38/706944038.db2.gz DCLDQECYGYISIW-GUDRVLHUSA-N -1 1 324.343 1.451 20 0 DDADMM CC(C)([N-]S(=O)(=O)N=S(C)(C)=O)c1ccccc1F ZINC000867298210 706951706 /nfs/dbraw/zinc/95/17/06/706951706.db2.gz CDJMWWPLCOQJSJ-UHFFFAOYSA-N -1 1 308.400 1.623 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)[C@H](C)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000833014466 706999501 /nfs/dbraw/zinc/99/95/01/706999501.db2.gz XCTGIHKOSWHBGL-ZHAHWJHGSA-N -1 1 308.382 1.016 20 0 DDADMM O=C(NC[C@@H]1C[C@H]2CCC[C@H]2O1)c1ccc2n[n-]c(=S)n2c1 ZINC000834379864 707041339 /nfs/dbraw/zinc/04/13/39/707041339.db2.gz ULUCYPCHSFQXGB-ADEWGFFLSA-N -1 1 318.402 1.705 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCn2nc(C(F)(F)F)cc2C1 ZINC000834398863 707045466 /nfs/dbraw/zinc/04/54/66/707045466.db2.gz GDJMXLWFNHZEOF-UHFFFAOYSA-N -1 1 312.251 1.659 20 0 DDADMM CS(=O)(=O)C1(C(=O)NCc2ccc([O-])c(Cl)c2)CC1 ZINC000834825026 707131199 /nfs/dbraw/zinc/13/11/99/707131199.db2.gz GGZGBGVLWXZJIM-UHFFFAOYSA-N -1 1 303.767 1.239 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@]2(CCc3ccccc32)C1 ZINC000834838059 707134811 /nfs/dbraw/zinc/13/48/11/707134811.db2.gz BURFZDPTGNPVJC-QGZVFWFLSA-N -1 1 311.389 1.639 20 0 DDADMM CC1(C)CO[C@@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000871746538 707186492 /nfs/dbraw/zinc/18/64/92/707186492.db2.gz UODUYUUYBMRPQG-SNVBAGLBSA-N -1 1 301.346 1.468 20 0 DDADMM CO[C@H]1CN2C(=O)N(Cc3ccc([O-])c(Cl)c3)C(=O)[C@@]2(C)C1 ZINC000871910717 707241982 /nfs/dbraw/zinc/24/19/82/707241982.db2.gz AJSPMNYRZMNHAA-MEBBXXQBSA-N -1 1 324.764 1.987 20 0 DDADMM O=C1[C@H]2[C@H](O)CCCN2C(=O)N1Cc1ccc([O-])c(Cl)c1 ZINC000871912083 707242727 /nfs/dbraw/zinc/24/27/27/707242727.db2.gz PSPKPOVERHHLBG-VXGBXAGGSA-N -1 1 310.737 1.333 20 0 DDADMM Cn1nnnc1C1CCN(Cc2csc(C(=O)[O-])c2)CC1 ZINC000872165866 707311572 /nfs/dbraw/zinc/31/15/72/707311572.db2.gz NCTORXKCBZUDST-UHFFFAOYSA-N -1 1 307.379 1.349 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cc(F)c(F)c(F)c1F ZINC000872403218 707399575 /nfs/dbraw/zinc/39/95/75/707399575.db2.gz UCZLDMFPFBGYAN-UHFFFAOYSA-N -1 1 315.244 1.548 20 0 DDADMM Cc1cc(OCC(=O)[O-])ccc1NC(=O)CN(C)C1CCC1 ZINC000909162938 712951013 /nfs/dbraw/zinc/95/10/13/712951013.db2.gz CCQJTYUUJHPMMC-UHFFFAOYSA-N -1 1 306.362 1.881 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)CCO1 ZINC000872468473 707430105 /nfs/dbraw/zinc/43/01/05/707430105.db2.gz VLUPMLRGVLPPHW-CLFVBBPSSA-N -1 1 312.457 1.285 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CC12CCOCC2 ZINC000872496225 707446575 /nfs/dbraw/zinc/44/65/75/707446575.db2.gz SFXNUQHROHZKKZ-GIGQVBGESA-N -1 1 324.468 1.286 20 0 DDADMM C[C@@H]([N-]C(=O)C(F)(F)C(F)F)C(=O)NCCc1ccccc1 ZINC000837256144 707605482 /nfs/dbraw/zinc/60/54/82/707605482.db2.gz HCNGCJXXZLOOLH-SECBINFHSA-N -1 1 320.286 1.750 20 0 DDADMM CN1CCOC[C@@H]1CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873082294 707723131 /nfs/dbraw/zinc/72/31/31/707723131.db2.gz ATWHAEMZMKOYDT-NSHDSACASA-N -1 1 313.785 1.175 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000882645086 707731221 /nfs/dbraw/zinc/73/12/21/707731221.db2.gz KBCYNRQWDHNWAV-QMMMGPOBSA-N -1 1 322.333 1.226 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@H]1CCCOC1 ZINC000882760445 707776370 /nfs/dbraw/zinc/77/63/70/707776370.db2.gz DOKDSFMJNXWNIB-VHSXEESVSA-N -1 1 320.361 1.843 20 0 DDADMM O=C(Cc1n[nH]c2c1CCCC2)NCC1(C(=O)[O-])CCCC1 ZINC000909276299 712980562 /nfs/dbraw/zinc/98/05/62/712980562.db2.gz PNWPSEACHMAHHY-UHFFFAOYSA-N -1 1 305.378 1.592 20 0 DDADMM O=C([O-])c1ccc(NC(=O)[C@@H]2CCc3[nH]cnc3C2)cc1F ZINC000909295264 712984434 /nfs/dbraw/zinc/98/44/34/712984434.db2.gz DDUXLUDSKVHUII-MRVPVSSYSA-N -1 1 303.293 1.991 20 0 DDADMM CCN(CC(=O)NCCc1ccc(OCC(=O)[O-])cc1)C1CC1 ZINC000909296119 712984783 /nfs/dbraw/zinc/98/47/83/712984783.db2.gz AKGNYZUXSNCMBB-UHFFFAOYSA-N -1 1 320.389 1.293 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@]23C[C@H]2CCCC3)co1 ZINC000927443672 712985620 /nfs/dbraw/zinc/98/56/20/712985620.db2.gz VHQMXNBVCRAUIK-RISCZKNCSA-N -1 1 312.391 1.498 20 0 DDADMM O=C(N[C@@H]1CCC[C@]12CCCO2)c1nc2ccccc2c(=O)[n-]1 ZINC000883154749 707942542 /nfs/dbraw/zinc/94/25/42/707942542.db2.gz RGPIWMKMDSBPLI-DYVFJYSZSA-N -1 1 313.357 1.755 20 0 DDADMM CCN(CC(=O)N[C@H](C(=O)[O-])c1ccc(OC)cc1)C1CC1 ZINC000909328680 712992824 /nfs/dbraw/zinc/99/28/24/712992824.db2.gz LOZUIGKPMRTZNW-HNNXBMFYSA-N -1 1 306.362 1.421 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)NCCOc2ccccc2F)C1 ZINC000909396705 713007811 /nfs/dbraw/zinc/00/78/11/713007811.db2.gz UXXXGXBKSPPCHB-LBPRGKRZSA-N -1 1 324.352 1.117 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCOc2ccccc2F)C1 ZINC000909396705 713007812 /nfs/dbraw/zinc/00/78/12/713007812.db2.gz UXXXGXBKSPPCHB-LBPRGKRZSA-N -1 1 324.352 1.117 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2nn(C)cc2C)c1 ZINC000909400594 713008502 /nfs/dbraw/zinc/00/85/02/713008502.db2.gz PBWBKCDTXYOQGR-QFIPXVFZSA-N -1 1 322.386 1.588 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCCc2cccc(F)c2)C1 ZINC000909409179 713010600 /nfs/dbraw/zinc/01/06/00/713010600.db2.gz UWLJPLMIWWTUAE-CQSZACIVSA-N -1 1 322.380 1.671 20 0 DDADMM COc1ccc(-c2nc(C[C@@H]3CC(=O)N(C)C3)no2)c([O-])c1 ZINC000897128530 708235633 /nfs/dbraw/zinc/23/56/33/708235633.db2.gz JQDKVDDRVPVCHP-SECBINFHSA-N -1 1 303.318 1.472 20 0 DDADMM CC(C)Cn1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000897161254 708242030 /nfs/dbraw/zinc/24/20/30/708242030.db2.gz BYWXIWGGFLSNCG-NSHDSACASA-N -1 1 303.370 1.072 20 0 DDADMM CCn1cc(C(=O)[N-]N2CCCNC2=O)c(-c2ccccc2)n1 ZINC000897329366 708293691 /nfs/dbraw/zinc/29/36/91/708293691.db2.gz KYVKMEVDUCFJJF-UHFFFAOYSA-N -1 1 313.361 1.630 20 0 DDADMM C[C@H](CN(C(=O)[C@H]1C[C@H](C)Cc2c[nH]nc21)C1CC1)C(=O)[O-] ZINC000909453373 713022134 /nfs/dbraw/zinc/02/21/34/713022134.db2.gz FQIOZCIGUAJQJV-BREBYQMCSA-N -1 1 305.378 1.787 20 0 DDADMM C[C@H](CN(C(=O)c1cccc(-c2nnc[nH]2)c1)C1CC1)C(=O)[O-] ZINC000909455177 713022660 /nfs/dbraw/zinc/02/26/60/713022660.db2.gz DJCSSUQVNAAMBI-SNVBAGLBSA-N -1 1 314.345 1.797 20 0 DDADMM C[C@H](CN(C(=O)CN(C)[C@H]1CCSC1)C1CC1)C(=O)[O-] ZINC000909455728 713022827 /nfs/dbraw/zinc/02/28/27/713022827.db2.gz BCSOPVZMMZNUSW-PWSUYJOCSA-N -1 1 300.424 1.135 20 0 DDADMM O=C(NOC[C@H]1CCOC1)c1ccc2ccc(O)cc2c1[O-] ZINC000897530011 708363965 /nfs/dbraw/zinc/36/39/65/708363965.db2.gz BKJOMEPATBGEFH-JTQLQIEISA-N -1 1 303.314 1.949 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1ccns1)C(=O)N1CCCC1 ZINC000885012741 708442516 /nfs/dbraw/zinc/44/25/16/708442516.db2.gz KTLQYWVXFOIELE-NSHDSACASA-N -1 1 317.436 1.068 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)Cc1ccccc1)c1ccns1 ZINC000885024153 708445912 /nfs/dbraw/zinc/44/59/12/708445912.db2.gz RQAZHSCGTDQFDE-GFCCVEGCSA-N -1 1 312.416 1.273 20 0 DDADMM O=S(=O)([N-]C1(c2ccc3c(c2)OCO3)CC1)c1ccns1 ZINC000885066517 708460704 /nfs/dbraw/zinc/46/07/04/708460704.db2.gz ZQSBIVTUCVVMEN-UHFFFAOYSA-N -1 1 324.383 1.839 20 0 DDADMM C[C@@H](O)[C@H]1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])CCO1 ZINC000897983226 708510074 /nfs/dbraw/zinc/51/00/74/708510074.db2.gz AYGXSQMODKIJRO-MEBBXXQBSA-N -1 1 317.341 1.473 20 0 DDADMM O=S(=O)([N-]Cc1cc(C(F)(F)F)on1)c1ccns1 ZINC000885304447 708515788 /nfs/dbraw/zinc/51/57/88/708515788.db2.gz HTCMABYMSOHJHT-UHFFFAOYSA-N -1 1 313.282 1.628 20 0 DDADMM CN1C[C@H]([N-]S(=O)(=O)c2ccns2)Cc2ccccc21 ZINC000885323772 708519805 /nfs/dbraw/zinc/51/98/05/708519805.db2.gz YHUIQVBCKCRQJD-LLVKDONJSA-N -1 1 309.416 1.483 20 0 DDADMM CC[C@@H](C)NC(=O)CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000885378861 708530262 /nfs/dbraw/zinc/53/02/62/708530262.db2.gz LMJCXBIQOICIEG-MRVPVSSYSA-N -1 1 324.349 1.800 20 0 DDADMM COC(=O)C1(C[N-]S(=O)(=O)c2sccc2Cl)CC1 ZINC000885398621 708535659 /nfs/dbraw/zinc/53/56/59/708535659.db2.gz JDLJXXVCVLKFLI-UHFFFAOYSA-N -1 1 309.796 1.633 20 0 DDADMM O=C([N-][C@H]([C@H]1CCOC1)C(F)(F)F)C(F)(F)C1(O)CCC1 ZINC000885538669 708570762 /nfs/dbraw/zinc/57/07/62/708570762.db2.gz WDMIWACEGVTSRK-JGVFFNPUSA-N -1 1 317.254 1.620 20 0 DDADMM O=C(NCC[C@]1(O)CCOC1)c1ccc2ccc(O)cc2c1[O-] ZINC000898275008 708594745 /nfs/dbraw/zinc/59/47/45/708594745.db2.gz KBDKYSJLZRJTEC-KRWDZBQOSA-N -1 1 317.341 1.522 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN(c2nc[nH]n2)CC1 ZINC000898330802 708612003 /nfs/dbraw/zinc/61/20/03/708612003.db2.gz LHZDLACPVXAONZ-UHFFFAOYSA-N -1 1 307.741 1.126 20 0 DDADMM COC[C@@H](CNC(=O)c1c(C)[n-]c(=O)nc1SC)C(C)C ZINC000885806524 708630489 /nfs/dbraw/zinc/63/04/89/708630489.db2.gz NGZKVRCASGWEAU-SNVBAGLBSA-N -1 1 313.423 1.861 20 0 DDADMM COC[C@]1(C(=O)NCCc2c(F)cc([O-])cc2F)CCOC1 ZINC000886268552 708732954 /nfs/dbraw/zinc/73/29/54/708732954.db2.gz ULIJLRYFXLIVSL-OAHLLOKOSA-N -1 1 315.316 1.382 20 0 DDADMM CN(CCn1cccn1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782020 713054590 /nfs/dbraw/zinc/05/45/90/713054590.db2.gz XDFXGOGXQDSKLG-UHFFFAOYSA-N -1 1 324.331 1.751 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC[C@H](O)C(F)F ZINC000927783713 713055408 /nfs/dbraw/zinc/05/54/08/713055408.db2.gz CSRYUIGCZAFRQV-NSHDSACASA-N -1 1 324.274 1.528 20 0 DDADMM CN(C[C@H](O)C1CC1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927785562 713055708 /nfs/dbraw/zinc/05/57/08/713055708.db2.gz LCFXXWGHNVVXTA-AWEZNQCLSA-N -1 1 314.332 1.625 20 0 DDADMM O=C([N-]Oc1ccc(F)cc1)C1CCN(c2cnccn2)CC1 ZINC000898571505 708793734 /nfs/dbraw/zinc/79/37/34/708793734.db2.gz IBPYWHVPCHNMQC-UHFFFAOYSA-N -1 1 316.336 1.942 20 0 DDADMM Cc1ccc(CCCC(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC000898754205 708855470 /nfs/dbraw/zinc/85/54/70/708855470.db2.gz UGYZFDVSMGIQGT-UHFFFAOYSA-N -1 1 301.346 1.835 20 0 DDADMM CCOc1ccccc1C(F)(F)C(=O)[N-]C1=NCC(=O)N1C ZINC000898776805 708863484 /nfs/dbraw/zinc/86/34/84/708863484.db2.gz YHBQYFIWZMETPT-UHFFFAOYSA-N -1 1 311.288 1.121 20 0 DDADMM C[C@@]1(CO)C[C@@H](O)CN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000912657938 713062498 /nfs/dbraw/zinc/06/24/98/713062498.db2.gz MBBNLUCXPLKGJT-RNCFNFMXSA-N -1 1 319.279 1.369 20 0 DDADMM Cc1cnc(C(=O)NC2(c3ncccn3)CCOCC2)c([O-])c1 ZINC000886943100 708898931 /nfs/dbraw/zinc/89/89/31/708898931.db2.gz NAHYIFDTCKBERT-UHFFFAOYSA-N -1 1 314.345 1.321 20 0 DDADMM O=C(NCC[C@H](O)C(F)F)c1ccc2ccc(O)cc2c1[O-] ZINC000899160760 709005019 /nfs/dbraw/zinc/00/50/19/709005019.db2.gz GXYFWQQCRGRPPF-LBPRGKRZSA-N -1 1 311.284 1.997 20 0 DDADMM Cn1nccc1[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000887592770 709076743 /nfs/dbraw/zinc/07/67/43/709076743.db2.gz WKQAOTBJEDEEJN-LBPRGKRZSA-N -1 1 323.299 1.618 20 0 DDADMM Cn1nccc1[C@@H]1CN(C(=O)c2ccc([O-])cc2F)CCO1 ZINC000887596182 709078148 /nfs/dbraw/zinc/07/81/48/709078148.db2.gz QMUWFRUDZKKXOL-AWEZNQCLSA-N -1 1 305.309 1.479 20 0 DDADMM CCOC(=O)[C@@]1(F)CN(C(=O)c2ncccc2[O-])C[C@@H]1CC ZINC000899636922 709134239 /nfs/dbraw/zinc/13/42/39/709134239.db2.gz INPOBXQWFCGXEA-ZUZCIYMTSA-N -1 1 310.325 1.541 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@H]2C[C@H]2CCCO2)c([O-])c1 ZINC000887843126 709140997 /nfs/dbraw/zinc/14/09/97/709140997.db2.gz DQWNUDBJKHNVLJ-CHWSQXEVSA-N -1 1 306.362 1.506 20 0 DDADMM CN(CCc1ccc2c(c1)OCO2)C(=O)c1ncccc1[O-] ZINC000899784719 709195664 /nfs/dbraw/zinc/19/56/64/709195664.db2.gz KZUGLAXQSAEHTF-UHFFFAOYSA-N -1 1 300.314 1.831 20 0 DDADMM COc1cc2c(cc1O)CCN(C(=O)c1ncccc1[O-])C2 ZINC000899790951 709197232 /nfs/dbraw/zinc/19/72/32/709197232.db2.gz JQJYGXYYQJWLQE-UHFFFAOYSA-N -1 1 300.314 1.700 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC(=O)N2CCC[C@@H]21 ZINC000888163086 709229004 /nfs/dbraw/zinc/22/90/04/709229004.db2.gz DASBVWDQFYCCOX-ZDUSSCGKSA-N -1 1 311.341 1.735 20 0 DDADMM CCCN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H](C)C(=O)OC ZINC000888606982 709350079 /nfs/dbraw/zinc/35/00/79/709350079.db2.gz YVQYOQSFVPEJBM-VIFPVBQESA-N -1 1 307.350 1.473 20 0 DDADMM O=C([N-]CCCOC(=O)c1cc2cccn2cn1)C(F)(F)F ZINC000909537536 709506437 /nfs/dbraw/zinc/50/64/37/709506437.db2.gz SFHKYASCAJMTSJ-UHFFFAOYSA-N -1 1 315.251 1.560 20 0 DDADMM CSCC[C@H](NC(=O)c1cc2ccccn2c1)c1nn[n-]n1 ZINC000912860185 713109693 /nfs/dbraw/zinc/10/96/93/713109693.db2.gz KJMAYFPNRAVSRR-LBPRGKRZSA-N -1 1 316.390 1.677 20 0 DDADMM CSCC[C@H](NC(=O)CCCn1cccc1)c1nn[n-]n1 ZINC000912861199 713110073 /nfs/dbraw/zinc/11/00/73/713110073.db2.gz GIJUUKUTACCBBY-NSHDSACASA-N -1 1 308.411 1.392 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cccnc1C)c1nn[n-]n1 ZINC000912863011 713111342 /nfs/dbraw/zinc/11/13/42/713111342.db2.gz IOSIQQPJFFMYDN-FYJFLYSWSA-N -1 1 318.406 1.527 20 0 DDADMM COCc1cc(NC(=O)CN(C)C2CCC2)cc(C(=O)[O-])c1 ZINC000909625546 709545749 /nfs/dbraw/zinc/54/57/49/709545749.db2.gz BQJYRRNIADUEND-UHFFFAOYSA-N -1 1 306.362 1.954 20 0 DDADMM O=C([O-])[C@H](CC(F)(F)F)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000909671530 709569045 /nfs/dbraw/zinc/56/90/45/709569045.db2.gz QTAIAGZJYILGAH-RCOVLWMOSA-N -1 1 305.256 1.351 20 0 DDADMM C[C@H]1CCSCCN1C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000909730604 709598699 /nfs/dbraw/zinc/59/86/99/709598699.db2.gz UQPBZQUBKWKONG-RYUDHWBXSA-N -1 1 300.424 1.137 20 0 DDADMM C[C@H]1CCSCCN1C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909730604 709598703 /nfs/dbraw/zinc/59/87/03/709598703.db2.gz UQPBZQUBKWKONG-RYUDHWBXSA-N -1 1 300.424 1.137 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@H](CC(F)F)C(=O)[O-])c1ccccc1 ZINC000909775411 709613911 /nfs/dbraw/zinc/61/39/11/709613911.db2.gz YCEDFOCFTPJQFY-DGCLKSJQSA-N -1 1 314.332 1.904 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@H](CC(F)F)C(=O)[O-] ZINC000909775420 709613943 /nfs/dbraw/zinc/61/39/43/709613943.db2.gz OXKIDAPNRBMVCU-GFCCVEGCSA-N -1 1 314.332 1.386 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)[C@H](C(=O)[O-])C1 ZINC000909782082 709617721 /nfs/dbraw/zinc/61/77/21/709617721.db2.gz QKCXKQXSINKPOH-XPUUQOCRSA-N -1 1 305.256 1.754 20 0 DDADMM C[C@@H]1[C@H](C(=O)[O-])CCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000909804153 709629283 /nfs/dbraw/zinc/62/92/83/709629283.db2.gz HQOMYCNVSPJGKO-BXKDBHETSA-N -1 1 300.318 1.407 20 0 DDADMM C[C@H]1[C@@H](C(=O)[O-])CCN1C(=O)c1cn[nH]c1-c1ccccn1 ZINC000909809450 709632268 /nfs/dbraw/zinc/63/22/68/709632268.db2.gz NDOBSZMEPPVSJH-UWVGGRQHSA-N -1 1 300.318 1.407 20 0 DDADMM COC[C@H](C)[C@@H](C)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786662 709635663 /nfs/dbraw/zinc/63/56/63/709635663.db2.gz NEDFFAWKHQCZMD-QJPTWQEYSA-N -1 1 323.393 1.773 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cncs2)[n-]c1=O ZINC000889789632 709636865 /nfs/dbraw/zinc/63/68/65/709636865.db2.gz IDDFTJQCUAAPGD-QMMMGPOBSA-N -1 1 306.347 1.625 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)Nc1cccc([C@H](C)C(=O)[O-])c1 ZINC000909833733 709644553 /nfs/dbraw/zinc/64/45/53/709644553.db2.gz JLBZIYAOUIXPHH-STQMWFEESA-N -1 1 320.389 1.782 20 0 DDADMM CC[C@@H]1COC(C)(C)CN1C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909873710 709663539 /nfs/dbraw/zinc/66/35/39/709663539.db2.gz KVQCRMPSRYPYIP-CHWSQXEVSA-N -1 1 312.410 1.199 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)NC[C@H](CC3CC3)C(=O)[O-])c2C1 ZINC000909883443 709668492 /nfs/dbraw/zinc/66/84/92/709668492.db2.gz LJFJJWIYBLCURO-ONGXEEELSA-N -1 1 305.378 1.765 20 0 DDADMM COC(=O)c1cc(C2CN(C(=O)c3cc(F)ccc3[O-])C2)[nH]n1 ZINC000889963758 709688822 /nfs/dbraw/zinc/68/88/22/709688822.db2.gz ZLSPWJLMDHYPPX-UHFFFAOYSA-N -1 1 319.292 1.281 20 0 DDADMM CC[C@@H](COCC1CC1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909944589 709700397 /nfs/dbraw/zinc/70/03/97/709700397.db2.gz LLANMBVHZIULKR-KBPBESRZSA-N -1 1 312.410 1.104 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)[C@H](C(=O)[O-])C1 ZINC000909983285 709718500 /nfs/dbraw/zinc/71/85/00/709718500.db2.gz TWDIOFMWLPWKIA-XPUUQOCRSA-N -1 1 321.255 1.133 20 0 DDADMM C[C@H](C(=O)N(C)[C@H]1C[C@@H](C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000909990524 709724298 /nfs/dbraw/zinc/72/42/98/709724298.db2.gz FUFJZYGUKAVUQX-WQVCFCJDSA-N -1 1 316.401 1.755 20 0 DDADMM O=C([O-])[C@]1(Cc2ccccc2)CCCN1C(=O)CN1CCCC1 ZINC000910098905 709772220 /nfs/dbraw/zinc/77/22/20/709772220.db2.gz BPJRPMFUMKDMJH-GOSISDBHSA-N -1 1 316.401 1.771 20 0 DDADMM O=C([O-])[C@H](F)C1CN(C(=O)c2cc(C3CCCCC3)[nH]n2)C1 ZINC000910132749 709785235 /nfs/dbraw/zinc/78/52/35/709785235.db2.gz PJQMMZDWVORZNM-CYBMUJFWSA-N -1 1 309.341 1.952 20 0 DDADMM O=C(Cc1n[nH]c2c1CCCC2)N[C@H]1CCCC[C@H]1C(=O)[O-] ZINC000910139199 709788384 /nfs/dbraw/zinc/78/83/84/709788384.db2.gz QKWDWMSAVSCHCH-NEPJUHHUSA-N -1 1 305.378 1.591 20 0 DDADMM CNc1ccccc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910169273 709796292 /nfs/dbraw/zinc/79/62/92/709796292.db2.gz CYFJNMXKAGXBFL-GFCCVEGCSA-N -1 1 305.378 1.349 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2[nH]cnc2C(C)(C)C)CC1 ZINC000910174287 709797783 /nfs/dbraw/zinc/79/77/83/709797783.db2.gz FKZNOFVKQFAEOI-NSHDSACASA-N -1 1 322.409 1.328 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910173859 709798180 /nfs/dbraw/zinc/79/81/80/709798180.db2.gz OMAMCVOSAQPUCL-HZSPNIEDSA-N -1 1 312.410 1.199 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CC[C@H]3CC[C@@H](C2)S3)C1 ZINC000910208816 709815874 /nfs/dbraw/zinc/81/58/74/709815874.db2.gz HAYFEAHQDPPKRX-XQQFMLRXSA-N -1 1 312.435 1.280 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(C(=O)c2cc(C3CCCCC3)[nH]n2)C1 ZINC000910217675 709820142 /nfs/dbraw/zinc/82/01/42/709820142.db2.gz HLGUIZJHSKRKIE-MRXNPFEDSA-N -1 1 321.377 1.773 20 0 DDADMM Cc1nccc(N2CCOC[C@H]2C(=O)[O-])c1Br ZINC000900945465 709841491 /nfs/dbraw/zinc/84/14/91/709841491.db2.gz LFJVTWIEGGCVBZ-VIFPVBQESA-N -1 1 301.140 1.442 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cc(C(F)(F)F)[nH]n2)[C@](C)(C(=O)[O-])C1 ZINC000910263250 709847536 /nfs/dbraw/zinc/84/75/36/709847536.db2.gz KJMYGNHLGUWGTK-KGFZYKRKSA-N -1 1 321.255 1.133 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cccc3[nH]cnc32)[C@@](C)(C(=O)[O-])C1 ZINC000910266687 709850840 /nfs/dbraw/zinc/85/08/40/709850840.db2.gz QZFDLZIBYHZEGZ-BJOHPYRUSA-N -1 1 303.318 1.267 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@H]2CCOC3(CCC3)C2)[n-]1 ZINC000890437321 709864628 /nfs/dbraw/zinc/86/46/28/709864628.db2.gz AOYKCZBFLKQKEX-JTQLQIEISA-N -1 1 307.350 1.874 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCOC3(CCC3)C2)n1 ZINC000890437321 709864631 /nfs/dbraw/zinc/86/46/31/709864631.db2.gz AOYKCZBFLKQKEX-JTQLQIEISA-N -1 1 307.350 1.874 20 0 DDADMM CCC(CC)[C@H](CNc1cc(C(=O)[O-])ncn1)N1CCOCC1 ZINC000910340191 709901488 /nfs/dbraw/zinc/90/14/88/709901488.db2.gz PZFRYQKQRPCGBB-AWEZNQCLSA-N -1 1 322.409 1.724 20 0 DDADMM C[C@@]1(C2CCN(C(=O)c3ccc([O-])c(F)c3)CC2)COC(=O)N1 ZINC000928158964 713141677 /nfs/dbraw/zinc/14/16/77/713141677.db2.gz ASFQPELJPQCKOX-INIZCTEOSA-N -1 1 322.336 1.882 20 0 DDADMM C[C@H]1CO[C@H](CO)CN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901103664 709925894 /nfs/dbraw/zinc/92/58/94/709925894.db2.gz XXKVSZGDIXGVFJ-UWVGGRQHSA-N -1 1 320.320 1.301 20 0 DDADMM O=C([O-])[C@H](CC1CCOCC1)NC(=O)c1ccc2cncn2c1 ZINC000910453962 709950536 /nfs/dbraw/zinc/95/05/36/709950536.db2.gz SJQBQFWCZBMULM-AWEZNQCLSA-N -1 1 317.345 1.334 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cccc3nn[nH]c32)C[C@@]1(F)C(=O)[O-] ZINC000910552339 709996141 /nfs/dbraw/zinc/99/61/41/709996141.db2.gz GWQWVSPDYGSEGA-CLAHSXSESA-N -1 1 306.297 1.233 20 0 DDADMM O=C([O-])C[C@]1(NCc2cnn(-c3ccccc3)n2)CCCOC1 ZINC000901528396 710066449 /nfs/dbraw/zinc/06/64/49/710066449.db2.gz XCJKYHKNWDAVTC-MRXNPFEDSA-N -1 1 316.361 1.381 20 0 DDADMM C[C@@H]1CN(Cc2cn(-c3ccc(F)cc3)nn2)C[C@@]1(C)C(=O)[O-] ZINC000901542123 710070126 /nfs/dbraw/zinc/07/01/26/710070126.db2.gz GDYPSMDOYMUEJH-BDJLRTHQSA-N -1 1 318.352 1.949 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1ccnn1-c1ccccc1 ZINC000901664480 710113920 /nfs/dbraw/zinc/11/39/20/710113920.db2.gz UQAQIDOAXOQFAP-UHFFFAOYSA-N -1 1 303.362 1.795 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)CCc2c[nH]nn2)C[C@H]1c1ccccc1 ZINC000901704241 710126106 /nfs/dbraw/zinc/12/61/06/710126106.db2.gz ICCZQKATUIASEF-UONOGXRCSA-N -1 1 314.345 1.064 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)CCc2cnn[nH]2)C[C@H]1c1ccccc1 ZINC000901704241 710126110 /nfs/dbraw/zinc/12/61/10/710126110.db2.gz ICCZQKATUIASEF-UONOGXRCSA-N -1 1 314.345 1.064 20 0 DDADMM C[C@H](NCc1ccccc1OC(F)F)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901741150 710136538 /nfs/dbraw/zinc/13/65/38/710136538.db2.gz JIAIPPHZJLTOEE-IUCAKERBSA-N -1 1 316.304 1.355 20 0 DDADMM O=C([O-])Cn1cc(CNCCc2ccc(F)cc2Cl)nn1 ZINC000901801870 710150939 /nfs/dbraw/zinc/15/09/39/710150939.db2.gz GKFYEGMYRKMECC-UHFFFAOYSA-N -1 1 312.732 1.488 20 0 DDADMM C[C@H](CNC(=O)[C@]1(C(=O)[O-])C[C@H]1C)N1CCc2ccccc2C1 ZINC000901886190 710164983 /nfs/dbraw/zinc/16/49/83/710164983.db2.gz DMAISIRLTNAXSJ-VFVRVIDISA-N -1 1 316.401 1.660 20 0 DDADMM COc1nc2ccccc2cc1CN1CC[C@](O)(C(=O)[O-])C1 ZINC000901900471 710168351 /nfs/dbraw/zinc/16/83/51/710168351.db2.gz GSFXZOHOSZBUGL-MRXNPFEDSA-N -1 1 302.330 1.265 20 0 DDADMM COc1cc(Cl)c(CN[C@@H]2CCO[C@@H]2C(=O)[O-])cc1OC ZINC000901922365 710175743 /nfs/dbraw/zinc/17/57/43/710175743.db2.gz UPYASSVROKNBNW-MFKMUULPSA-N -1 1 315.753 1.689 20 0 DDADMM COCc1nc(N(C)Cc2ccc3c(c2)OCO3)cc(=O)[n-]1 ZINC000891565426 710237735 /nfs/dbraw/zinc/23/77/35/710237735.db2.gz ZTRINGZDTVAMHR-UHFFFAOYSA-N -1 1 303.318 1.694 20 0 DDADMM Cn1cc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)ccc1=O ZINC000891622761 710251226 /nfs/dbraw/zinc/25/12/26/710251226.db2.gz FGDDAXAQISNTCN-UHFFFAOYSA-N -1 1 300.318 1.012 20 0 DDADMM Cc1nn(C)c(C)c1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891627090 710252091 /nfs/dbraw/zinc/25/20/91/710252091.db2.gz SHWQUAUERIJOEU-UHFFFAOYSA-N -1 1 301.350 1.664 20 0 DDADMM COCc1nc(N(C)Cc2nnc3ccccn32)cc(=O)[n-]1 ZINC000892172994 710387306 /nfs/dbraw/zinc/38/73/06/710387306.db2.gz RAKDSLMUILSOGO-UHFFFAOYSA-N -1 1 300.322 1.008 20 0 DDADMM COCc1nc(N2CCC(N3CCCCC3=O)CC2)cc(=O)[n-]1 ZINC000892936626 710528228 /nfs/dbraw/zinc/52/82/28/710528228.db2.gz JCLSJZBTHQJSMI-UHFFFAOYSA-N -1 1 320.393 1.310 20 0 DDADMM COc1ccc(SC)c(OC)c1C(=O)N(C)c1nn[n-]n1 ZINC000892954577 710531833 /nfs/dbraw/zinc/53/18/33/710531833.db2.gz MHVBTHRTOILUSI-UHFFFAOYSA-N -1 1 309.351 1.215 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)Nc1cncc(C(=O)[O-])c1 ZINC000902044182 710593119 /nfs/dbraw/zinc/59/31/19/710593119.db2.gz ZTOCCOYMXDGGHH-UHFFFAOYSA-N -1 1 307.350 1.077 20 0 DDADMM Cc1ncc(C(=O)N2CC[C@@H](c3ncc(C(=O)[O-])s3)C2)[nH]1 ZINC000911047638 710609825 /nfs/dbraw/zinc/60/98/25/710609825.db2.gz UPUKBTCGEARPMT-MRVPVSSYSA-N -1 1 306.347 1.503 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1cncc(C(=O)[O-])c1)c1cccs1 ZINC000911099591 710633382 /nfs/dbraw/zinc/63/33/82/710633382.db2.gz GNGDALFSVNFIFQ-LBPRGKRZSA-N -1 1 319.386 1.874 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2ccc(/C=C/C(=O)[O-])o2)C1 ZINC000911351362 710756001 /nfs/dbraw/zinc/75/60/01/710756001.db2.gz BMXVTNNWSFRHLW-PZBABLGHSA-N -1 1 322.361 1.218 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCC[C@@H](O)c2ccccc2)C1 ZINC000911432561 710796356 /nfs/dbraw/zinc/79/63/56/710796356.db2.gz LCCQGHHIRKDGKR-LSDHHAIUSA-N -1 1 320.389 1.023 20 0 DDADMM C[C@@H]1CC[C@H](C)N1CC(=O)N[C@@H](c1nc(=O)o[n-]1)C(C)(C)C ZINC000911587742 710869435 /nfs/dbraw/zinc/86/94/35/710869435.db2.gz VPTJFSCNACXDJR-UMNHJUIQSA-N -1 1 310.398 1.439 20 0 DDADMM O=C([O-])C12CCC(C(=O)NCc3ccc4cncn4c3)(CC1)C2 ZINC000911646245 710903554 /nfs/dbraw/zinc/90/35/54/710903554.db2.gz UXICXVSHTPLOOH-UHFFFAOYSA-N -1 1 313.357 1.986 20 0 DDADMM CO[C@](C)(C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C1CC1 ZINC000913438391 713215899 /nfs/dbraw/zinc/21/58/99/713215899.db2.gz RRSZVEVATZPYFU-DOMZBBRYSA-N -1 1 301.350 1.220 20 0 DDADMM O=C([O-])c1cc(C(=O)N[C@H]2CCCN3CCSC[C@H]23)ccn1 ZINC000902817718 710914651 /nfs/dbraw/zinc/91/46/51/710914651.db2.gz BHWHDPLAPYRMIT-WCQYABFASA-N -1 1 321.402 1.089 20 0 DDADMM CC(C)(C)[C@H](C(=O)[O-])C(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000902818020 710915524 /nfs/dbraw/zinc/91/55/24/710915524.db2.gz HZFJJTKKCWSWSO-UTUOFQBUSA-N -1 1 314.451 1.429 20 0 DDADMM O=C([O-])CC[C@H]1Cc2ccccc2N(C(=O)Cc2nnc[nH]2)C1 ZINC000902819549 710915992 /nfs/dbraw/zinc/91/59/92/710915992.db2.gz HNKJWXJGQJCUHZ-NSHDSACASA-N -1 1 314.345 1.418 20 0 DDADMM COCc1nc(NC[C@H](Cc2ccccc2)OC)cc(=O)[n-]1 ZINC000894030960 710936459 /nfs/dbraw/zinc/93/64/59/710936459.db2.gz XUGWLUIWJBHYJG-ZDUSSCGKSA-N -1 1 303.362 1.998 20 0 DDADMM CCCN(C(=O)c1cc(-c2ccn(C)c2)[nH]n1)[C@@H](C)C(=O)[O-] ZINC000911707510 710940999 /nfs/dbraw/zinc/94/09/99/710940999.db2.gz KTFUKQHKFQMXBR-JTQLQIEISA-N -1 1 304.350 1.741 20 0 DDADMM CN1CCCC[C@H]1C(=O)NCc1nc2cc(C(=O)[O-])ccc2[nH]1 ZINC000911748002 710964027 /nfs/dbraw/zinc/96/40/27/710964027.db2.gz KMJQEBYYPUZTBL-ZDUSSCGKSA-N -1 1 316.361 1.362 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2C[C@@H](N3CCOCC3)C2(C)C)c([O-])c1 ZINC000911840271 711025813 /nfs/dbraw/zinc/02/58/13/711025813.db2.gz FJHBXMPZXIRFSF-ZIAGYGMSSA-N -1 1 319.405 1.325 20 0 DDADMM CN1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C[C@@H]1C(C)(C)C ZINC000912032743 711135799 /nfs/dbraw/zinc/13/57/99/711135799.db2.gz LVLJPFYUSNTQSG-GFCCVEGCSA-N -1 1 318.377 1.731 20 0 DDADMM CC1(C)CCN(CCNS(=O)(=O)CCCCCC(=O)[O-])C1 ZINC000903550116 711186160 /nfs/dbraw/zinc/18/61/60/711186160.db2.gz OOPOSPNOWDLWQN-UHFFFAOYSA-N -1 1 320.455 1.283 20 0 DDADMM CC(C)(C)c1nc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cs1 ZINC000913495387 713237801 /nfs/dbraw/zinc/23/78/01/713237801.db2.gz XBGWACSYOIKSQJ-VIFPVBQESA-N -1 1 322.394 1.167 20 0 DDADMM O=C(c1cc2cc(F)ccc2o1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495159 713237972 /nfs/dbraw/zinc/23/79/72/713237972.db2.gz GWKNRRUVAMNIHL-JTQLQIEISA-N -1 1 317.280 1.299 20 0 DDADMM CSc1nc(CNC(=O)[C@H](C)c2ccncc2)cc(=O)[n-]1 ZINC000912241487 711240059 /nfs/dbraw/zinc/24/00/59/711240059.db2.gz YUUTZLYXYSLSOE-SECBINFHSA-N -1 1 304.375 1.719 20 0 DDADMM O=C(c1cc2cccc(F)c2o1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496056 713238539 /nfs/dbraw/zinc/23/85/39/713238539.db2.gz RPHMBULBXIPWDP-JTQLQIEISA-N -1 1 317.280 1.299 20 0 DDADMM CSc1nc(CNC(=O)C=CC2CCOCC2)cc(=O)[n-]1 ZINC000912252648 711247378 /nfs/dbraw/zinc/24/73/78/711247378.db2.gz ZQHNFUWKYNOFNU-NSCUHMNNSA-N -1 1 309.391 1.503 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)N(C(C)C)C(C)C ZINC000903712290 711250740 /nfs/dbraw/zinc/25/07/40/711250740.db2.gz VXKBKRRGCKVLOJ-ZDUSSCGKSA-N -1 1 302.415 1.443 20 0 DDADMM COC[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CCOCC1 ZINC000912297514 711265766 /nfs/dbraw/zinc/26/57/66/711265766.db2.gz SMBZADJEOBKXKS-ZDUSSCGKSA-N -1 1 321.377 1.231 20 0 DDADMM COCc1nc(NC[C@@H](O)Cc2cccc(Cl)c2)cc(=O)[n-]1 ZINC000895076054 711397990 /nfs/dbraw/zinc/39/79/90/711397990.db2.gz YFAJWRAKSICSJM-LBPRGKRZSA-N -1 1 323.780 1.998 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2ccncc2Cl)n1 ZINC000895242155 711462703 /nfs/dbraw/zinc/46/27/03/711462703.db2.gz SAOZPFUBFAMUFP-QMMMGPOBSA-N -1 1 309.757 1.881 20 0 DDADMM CC(C)OC(=O)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896172473 711685672 /nfs/dbraw/zinc/68/56/72/711685672.db2.gz QQEYUTGZKBQGQB-UHFFFAOYSA-N -1 1 303.314 1.932 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C[C@@H]3CC[C@@H](C4CC4)O3)ccnc1-2 ZINC000904938676 711904876 /nfs/dbraw/zinc/90/48/76/711904876.db2.gz CSESBOWFPZZJBU-FZMZJTMJSA-N -1 1 300.362 1.628 20 0 DDADMM COc1cnc(C=Cc2cn(C[C@@H]3CCCO3)nn2)[n-]c1=O ZINC000905077455 711928726 /nfs/dbraw/zinc/92/87/26/711928726.db2.gz WSUBLLLFAFZCRB-WYGGZMRJSA-N -1 1 303.322 1.132 20 0 DDADMM CSc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000913742250 713291679 /nfs/dbraw/zinc/29/16/79/713291679.db2.gz QIUFXXREKMFNJT-UHFFFAOYSA-N -1 1 303.391 1.941 20 0 DDADMM COc1ccccc1CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742218 713291806 /nfs/dbraw/zinc/29/18/06/713291806.db2.gz OCKPGRGKMXDJSU-UHFFFAOYSA-N -1 1 301.350 1.157 20 0 DDADMM COc1cccc(F)c1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742955 713292101 /nfs/dbraw/zinc/29/21/01/713292101.db2.gz RYDAGIPYWLZCGP-UHFFFAOYSA-N -1 1 305.313 1.367 20 0 DDADMM O=C(N1CCC(c2nn[n-]n2)CC1)C(F)(F)c1ccccc1 ZINC000913743531 713292322 /nfs/dbraw/zinc/29/23/22/713292322.db2.gz ZQSZMVBLBIAYAE-UHFFFAOYSA-N -1 1 307.304 1.698 20 0 DDADMM O=C(C=Cc1ccnc(Cl)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743682 713292553 /nfs/dbraw/zinc/29/25/53/713292553.db2.gz GPZLYMJGJUMGQL-UPHRSURJSA-N -1 1 318.768 1.668 20 0 DDADMM CCOc1nc(C)ccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744810 713293366 /nfs/dbraw/zinc/29/33/66/713293366.db2.gz GBWVZWBOXZWWBS-UHFFFAOYSA-N -1 1 316.365 1.322 20 0 DDADMM O=C(c1cc2cccnc2s1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746077 713293922 /nfs/dbraw/zinc/29/39/22/713293922.db2.gz ITZVTCJWMDJBTO-UHFFFAOYSA-N -1 1 314.374 1.829 20 0 DDADMM CC1(C)CC[C@H](CC(=O)N2CCC(c3nn[n-]n3)CC2)OC1 ZINC000913747198 713294597 /nfs/dbraw/zinc/29/45/97/713294597.db2.gz TVSCUMWAZQDEEE-GFCCVEGCSA-N -1 1 307.398 1.501 20 0 DDADMM CN(Cc1ccc(OCC(=O)[O-])cc1)Cc1cccc(=O)[nH]1 ZINC000905770732 712143012 /nfs/dbraw/zinc/14/30/12/712143012.db2.gz QRPUGTCNMFQTCW-UHFFFAOYSA-N -1 1 302.330 1.883 20 0 DDADMM CSC[C@H](NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000906004687 712218342 /nfs/dbraw/zinc/21/83/42/712218342.db2.gz JGVBAUUVRFWMKZ-QMMMGPOBSA-N -1 1 311.331 1.059 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@H]1CCCO1 ZINC000913834106 713307888 /nfs/dbraw/zinc/30/78/88/713307888.db2.gz TYQOZWINWCEAPD-SNVBAGLBSA-N -1 1 300.305 1.691 20 0 DDADMM CN(CC1CCCC1)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906844249 712421148 /nfs/dbraw/zinc/42/11/48/712421148.db2.gz IDXAGPXZRWMYQH-UHFFFAOYSA-N -1 1 313.375 1.901 20 0 DDADMM COc1cccc2[nH]c(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)cc21 ZINC000907350620 712550151 /nfs/dbraw/zinc/55/01/51/712550151.db2.gz SWZCDEBPENWYLW-SECBINFHSA-N -1 1 314.349 1.565 20 0 DDADMM CC(=O)[C@H]1CC[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)CC1 ZINC000907433290 712571012 /nfs/dbraw/zinc/57/10/12/712571012.db2.gz PITREGSCNHSVII-KYZUINATSA-N -1 1 305.787 1.489 20 0 DDADMM O=C(c1ccc2cc[nH]c2c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907473054 712581116 /nfs/dbraw/zinc/58/11/16/712581116.db2.gz BOVVIRXVPHVTKZ-GFCCVEGCSA-N -1 1 314.374 1.611 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c(C)n1C ZINC000907478932 712582917 /nfs/dbraw/zinc/58/29/17/712582917.db2.gz XXFQYJROQHJAQH-LLVKDONJSA-N -1 1 306.395 1.085 20 0 DDADMM CO[C@@H]1CCC[C@H](C(=O)N2CCSC[C@@H]2c2nn[n-]n2)C1 ZINC000907479798 712583190 /nfs/dbraw/zinc/58/31/90/712583190.db2.gz IIVCRROVTVJJMZ-HBNTYKKESA-N -1 1 311.411 1.021 20 0 DDADMM O=C(c1cc(Cl)cs1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479890 712583484 /nfs/dbraw/zinc/58/34/84/712583484.db2.gz NDPXQCDZFAUQBQ-ZETCQYMHSA-N -1 1 315.811 1.845 20 0 DDADMM C[C@H]1C[C@H](C(=O)N2CCSC[C@@H]2c2nn[n-]n2)C[C@@H](C)C1 ZINC000907481118 712583770 /nfs/dbraw/zinc/58/37/70/712583770.db2.gz ZOBVUCBNQBPUEE-NOOOWODRSA-N -1 1 309.439 1.889 20 0 DDADMM Cc1cnccc1C=CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481284 712583848 /nfs/dbraw/zinc/58/38/48/712583848.db2.gz VAGREHLQZRLZHE-JDGPPOGSSA-N -1 1 316.390 1.233 20 0 DDADMM CO[C@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)C1CCCC1 ZINC000907481836 712584145 /nfs/dbraw/zinc/58/41/45/712584145.db2.gz LPXQKQUVOMFAAM-QWRGUYRKSA-N -1 1 311.411 1.021 20 0 DDADMM COC(=O)[C@H]1C[C@H](C)CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907494982 712585573 /nfs/dbraw/zinc/58/55/73/712585573.db2.gz QFYZRPKGDUUVQA-BXKDBHETSA-N -1 1 319.361 1.473 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(N)=O ZINC000907699079 712615433 /nfs/dbraw/zinc/61/54/33/712615433.db2.gz ILQNKJJXEDXDON-NSHDSACASA-N -1 1 310.297 1.036 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2c[nH]c3ccccc23)C1 ZINC000907884716 712641336 /nfs/dbraw/zinc/64/13/36/712641336.db2.gz HIHWVIWAQXEJKA-LLVKDONJSA-N -1 1 301.346 1.903 20 0 DDADMM O=C([C@H]1CCCC[C@H]1C1CC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907940619 712652079 /nfs/dbraw/zinc/65/20/79/712652079.db2.gz JULKGFQSSLMTBG-STQMWFEESA-N -1 1 319.409 1.226 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2CCC[C@H]12)c1c[nH]nc1C(F)(F)F ZINC000907964374 712656187 /nfs/dbraw/zinc/65/61/87/712656187.db2.gz DBBLEPDLPLBTQV-BIIVOSGPSA-N -1 1 309.313 1.895 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2C[C@H](NC(=O)[C@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC000907965784 712656649 /nfs/dbraw/zinc/65/66/49/712656649.db2.gz OMWOFWKHHRSXFB-QEGBUVANSA-N -1 1 303.362 1.445 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](CC(=O)[O-])Cc2ccccc2)c1C ZINC000908236310 712716357 /nfs/dbraw/zinc/71/63/57/712716357.db2.gz UVOHSMGKOSFSLQ-CYBMUJFWSA-N -1 1 301.346 1.842 20 0 DDADMM COCc1nc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)cs1 ZINC000908284111 712728098 /nfs/dbraw/zinc/72/80/98/712728098.db2.gz SMNJVUBOWVMTHD-UHFFFAOYSA-N -1 1 320.374 1.593 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@H]1CCc2nc[nH]c2C1)CC1CCCC1 ZINC000908287207 712728560 /nfs/dbraw/zinc/72/85/60/712728560.db2.gz AWIMLDYZGLWSLS-STQMWFEESA-N -1 1 319.405 1.912 20 0 DDADMM O=S(=O)([N-]CC(F)(F)C1(O)CCCCC1)N1CCCC1 ZINC000908498047 712790261 /nfs/dbraw/zinc/79/02/61/712790261.db2.gz PIVSNVTXIAAGTM-UHFFFAOYSA-N -1 1 312.382 1.247 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)Cc2n[nH]c3c2CCCC3)cc1 ZINC000908580534 712814040 /nfs/dbraw/zinc/81/40/40/712814040.db2.gz XRRTUHHKHSTOTR-UHFFFAOYSA-N -1 1 313.357 1.846 20 0 DDADMM CN(CC(=O)N[C@@H](CC(=O)[O-])c1ccc(F)cc1)C1CCC1 ZINC000908606553 712820285 /nfs/dbraw/zinc/82/02/85/712820285.db2.gz MAFFLBUYIIFYFG-AWEZNQCLSA-N -1 1 308.353 1.942 20 0 DDADMM O=C([O-])c1ccc2c(c1)CCN2C(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000908646833 712828134 /nfs/dbraw/zinc/82/81/34/712828134.db2.gz SZXDCHQURLYQEL-LLVKDONJSA-N -1 1 312.329 1.512 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CCCC[C@H]3C(=O)[O-])n[nH]2)c1 ZINC000908660250 712831147 /nfs/dbraw/zinc/83/11/47/712831147.db2.gz JLPGYLZIFMXZHE-ZDUSSCGKSA-N -1 1 302.334 1.495 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC2(c3cccc(F)c3)CC2)C1 ZINC000908824829 712862541 /nfs/dbraw/zinc/86/25/41/712862541.db2.gz APBZKIOJODGEHD-GFCCVEGCSA-N -1 1 320.364 1.728 20 0 DDADMM C[C@@H](CN1CCN(C(=O)N(C)C)CC1)C(=O)c1ccc([O-])cc1 ZINC000929116691 713549904 /nfs/dbraw/zinc/54/99/04/713549904.db2.gz VSXLXVLRUMOXLR-ZDUSSCGKSA-N -1 1 319.405 1.510 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1C[C@H]2CC[C@@H](C1)S2 ZINC000920831183 713697310 /nfs/dbraw/zinc/69/73/10/713697310.db2.gz WRHAQKMIFXAXQQ-PBINXNQUSA-N -1 1 317.436 1.518 20 0 DDADMM COC(=O)[C@H]1COCCN1C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000930013505 713735916 /nfs/dbraw/zinc/73/59/16/713735916.db2.gz GMGJNORVFFAHKY-BXUZGUMPSA-N -1 1 307.346 1.085 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@]2(C)CCCCO2)c1Cl ZINC000921678635 713840779 /nfs/dbraw/zinc/84/07/79/713840779.db2.gz MTLPRSIEIFTWFF-NSHDSACASA-N -1 1 307.803 1.311 20 0 DDADMM COCC[C@@H]1COCCN1C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000930534086 713859691 /nfs/dbraw/zinc/85/96/91/713859691.db2.gz CMSUAVFOEIBGHE-UKRRQHHQSA-N -1 1 307.390 1.948 20 0 DDADMM COCC[C@H](C)S(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921964456 713921907 /nfs/dbraw/zinc/92/19/07/713921907.db2.gz BFBRLAKMKYBXQD-VIFPVBQESA-N -1 1 323.361 1.557 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@](CO)(c2ccccc2)C1)c1ccns1 ZINC000922070461 713952612 /nfs/dbraw/zinc/95/26/12/713952612.db2.gz WBYSSEPVIMFPBN-XBXGTLAGSA-N -1 1 324.427 1.514 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCC[C@@H]1CCO ZINC000932049171 714246283 /nfs/dbraw/zinc/24/62/83/714246283.db2.gz QYBOCZMBPJTRMS-CYBMUJFWSA-N -1 1 316.361 1.957 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@@H](CO)C1CCC1 ZINC000932057214 714248123 /nfs/dbraw/zinc/24/81/23/714248123.db2.gz FMBUUKOQBUMOBP-AWEZNQCLSA-N -1 1 316.361 1.860 20 0 DDADMM CC[C@]1(C(=O)[O-])CCCN([C@H](C)C(=O)NCC(F)(F)F)C1 ZINC000923468862 714366185 /nfs/dbraw/zinc/36/61/85/714366185.db2.gz GKVSRUVKBSHGBI-SKDRFNHKSA-N -1 1 310.316 1.630 20 0 DDADMM Cn1nc(C2CC2)cc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000923825145 714475891 /nfs/dbraw/zinc/47/58/91/714475891.db2.gz MYHIRRFVENPEBY-UHFFFAOYSA-N -1 1 319.283 1.523 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC1CSC1 ZINC000923834563 714477058 /nfs/dbraw/zinc/47/70/58/714477058.db2.gz FEGGKQUDRGWWOO-UHFFFAOYSA-N -1 1 302.346 1.875 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1C[C@@H]2[C@H](O)CC[C@@H]2C1 ZINC000924008764 714506086 /nfs/dbraw/zinc/50/60/86/714506086.db2.gz UXRIFZIGICRYRI-SUZMYJTESA-N -1 1 316.332 1.922 20 0 DDADMM CCn1nc(C)c(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)n1 ZINC000934278388 714785172 /nfs/dbraw/zinc/78/51/72/714785172.db2.gz GJEAFHWKIJRXFU-GFCCVEGCSA-N -1 1 318.381 1.448 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(Cl)n1 ZINC000935036394 714960713 /nfs/dbraw/zinc/96/07/13/714960713.db2.gz BOEUDUYQNYRWDI-MRVPVSSYSA-N -1 1 322.756 1.277 20 0 DDADMM CCc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc(Cl)n1 ZINC000935365414 715037873 /nfs/dbraw/zinc/03/78/73/715037873.db2.gz HFUMYOKLDWWFTA-QMMMGPOBSA-N -1 1 308.773 1.686 20 0 DDADMM O=C(c1cccc2c1OCC2)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000935457068 715060572 /nfs/dbraw/zinc/06/05/72/715060572.db2.gz OCBJHAONJUGRQF-LLVKDONJSA-N -1 1 317.374 1.065 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CC2(CC2)C1 ZINC000926454024 715068809 /nfs/dbraw/zinc/06/88/09/715068809.db2.gz XILBPRJFGXKXNP-UHFFFAOYSA-N -1 1 310.357 1.305 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1cccc(O)c1 ZINC000935793992 715128894 /nfs/dbraw/zinc/12/88/94/715128894.db2.gz LAWORQUTTZYKDZ-CMPLNLGQSA-N -1 1 317.345 1.991 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)/C=C\C2CC2)C1 ZINC000936996499 715265835 /nfs/dbraw/zinc/26/58/35/715265835.db2.gz JZNMVQXTFALREQ-FMFIFOJESA-N -1 1 315.373 1.426 20 0 DDADMM CC1(C(=O)N2CCCC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000943202966 718045536 /nfs/dbraw/zinc/04/55/36/718045536.db2.gz FFEKKYUZDOAOCM-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM C/C=C(/C)C(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956342943 715411695 /nfs/dbraw/zinc/41/16/95/715411695.db2.gz BSCCLKLCMIVULU-QCDXTXTGSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)C2(CF)CC2)C1 ZINC000956850155 715637138 /nfs/dbraw/zinc/63/71/38/715637138.db2.gz GMTIWOQTMRFSHU-OAHLLOKOSA-N -1 1 321.352 1.258 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955178781 715658771 /nfs/dbraw/zinc/65/87/71/715658771.db2.gz UOKUJYQGDGPUDA-QWHCGFSZSA-N -1 1 317.389 1.362 20 0 DDADMM CCN(C(=O)c1ccc2oc(=O)nc-2[n-]1)C1CN(CC(C)C)C1 ZINC000957132325 715762998 /nfs/dbraw/zinc/76/29/98/715762998.db2.gz PBPWRVJUSSVJBO-UHFFFAOYSA-N -1 1 318.377 1.731 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC000955656945 715895233 /nfs/dbraw/zinc/89/52/33/715895233.db2.gz ZBBWNUPSECAVHF-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM CCC(C)(CC)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940134267 716643669 /nfs/dbraw/zinc/64/36/69/716643669.db2.gz IJAICWOPKTZDQF-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CC1(C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)CCC1 ZINC000940831892 716981555 /nfs/dbraw/zinc/98/15/55/716981555.db2.gz OATQUCJWBQIGAF-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2[C@@H]1CCCN2C(=O)C1CC1 ZINC000962553573 717509712 /nfs/dbraw/zinc/50/97/12/717509712.db2.gz SUAHSGBTDQVLCD-STQMWFEESA-N -1 1 315.373 1.403 20 0 DDADMM O=C(CC1CC1)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943812009 718198061 /nfs/dbraw/zinc/19/80/61/718198061.db2.gz OYCWRKMSBSEGNQ-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CC1CC(C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000943947426 718229853 /nfs/dbraw/zinc/22/98/53/718229853.db2.gz FFHIQIQREKHCIA-WXRRBKDZSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000945129383 718405277 /nfs/dbraw/zinc/40/52/77/718405277.db2.gz VZQWVEXTFDOPOA-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)CC1CC1 ZINC000945291746 718437036 /nfs/dbraw/zinc/43/70/36/718437036.db2.gz ZVYVBINEVOTGDE-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1CCC1 ZINC000966347853 718522890 /nfs/dbraw/zinc/52/28/90/718522890.db2.gz KSFLVDSVBWIWEU-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H]1CN(C(=O)CC2(C)CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966629855 718610061 /nfs/dbraw/zinc/61/00/61/718610061.db2.gz RRCHQKXUPZAQRJ-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946536223 718822827 /nfs/dbraw/zinc/82/28/27/718822827.db2.gz VIBFIKFJUHGSNT-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C/C=C/Cl)[C@@H](C)C2)c1[O-] ZINC000947209153 719074490 /nfs/dbraw/zinc/07/44/90/719074490.db2.gz ASNWCSOVYXTSIQ-DLSFUBIUSA-N -1 1 312.801 1.759 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2ccco2)C1 ZINC000967902258 719080383 /nfs/dbraw/zinc/08/03/83/719080383.db2.gz WWUIDBZIFNYTMU-UHFFFAOYSA-N -1 1 315.329 1.367 20 0 DDADMM Cc1nnc([C@@H](C)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC000968485987 719615625 /nfs/dbraw/zinc/61/56/25/719615625.db2.gz LHVBGFIVKWUGTH-MWLCHTKSSA-N -1 1 317.349 1.044 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2ncc(C)o2)C1 ZINC000949948501 720426724 /nfs/dbraw/zinc/42/67/24/720426724.db2.gz PTJPFKUKIQJFDF-UHFFFAOYSA-N -1 1 316.361 1.430 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C[C@@H]2CC[C@@H](C)O2)C1 ZINC000949952532 720428273 /nfs/dbraw/zinc/42/82/73/720428273.db2.gz QCEMKURZVGEREE-OCCSQVGLSA-N -1 1 319.405 1.501 20 0 DDADMM Cc1cc(CN(C)[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)on1 ZINC000971392976 721274519 /nfs/dbraw/zinc/27/45/19/721274519.db2.gz DCXZFILYADEYBF-GFCCVEGCSA-N -1 1 316.361 1.430 20 0 DDADMM CN(Cc1ccns1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971398551 721278515 /nfs/dbraw/zinc/27/85/15/721278515.db2.gz VTGREUQZMVNLKI-NSHDSACASA-N -1 1 318.402 1.590 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CC[N@H+]2CC[C@H]2CCCCO2)c1[O-] ZINC001038166313 732320335 /nfs/dbraw/zinc/32/03/35/732320335.db2.gz ZKRSCPIINOQTLQ-QWHCGFSZSA-N -1 1 322.409 1.187 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167118768 732692705 /nfs/dbraw/zinc/69/27/05/732692705.db2.gz PHDUNZJRWLTUEB-VXGBXAGGSA-N -1 1 321.425 1.323 20 0 DDADMM O=C(N[C@@H]1CCN(Cc2cncs2)C1)c1ncccc1[O-] ZINC001010733097 733192099 /nfs/dbraw/zinc/19/20/99/733192099.db2.gz PNJZHNAWBJUEBM-SNVBAGLBSA-N -1 1 304.375 1.248 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001022403852 734519412 /nfs/dbraw/zinc/51/94/12/734519412.db2.gz XHPFMHVNZXDTDK-QJPTWQEYSA-N -1 1 321.352 1.208 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@@H]1/C=C\c1ccccc1 ZINC001121268342 782433783 /nfs/dbraw/zinc/43/37/83/782433783.db2.gz MSLOCBZUAJWBRE-ASCRHOAZSA-N -1 1 322.376 1.677 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@@H]1/C=C\c1ccccc1 ZINC001121268342 782433787 /nfs/dbraw/zinc/43/37/87/782433787.db2.gz MSLOCBZUAJWBRE-ASCRHOAZSA-N -1 1 322.376 1.677 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024932709 736174539 /nfs/dbraw/zinc/17/45/39/736174539.db2.gz BABKCHRQJOFCFC-MNOVXSKESA-N -1 1 307.398 1.027 20 0 DDADMM O=C(Nc1cccc(C(F)(F)F)c1[O-])[C@H]1CCCS1(=O)=O ZINC001143480939 736405183 /nfs/dbraw/zinc/40/51/83/736405183.db2.gz NHXXUHXVYHNXHO-SECBINFHSA-N -1 1 323.292 1.927 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)C)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104939679 737393899 /nfs/dbraw/zinc/39/38/99/737393899.db2.gz BWTWHCXRDYJOBC-GHMZBOCLSA-N -1 1 309.414 1.131 20 0 DDADMM Cn1ncc2c1n(C)cc(C(=O)Nc1cccc([O-])c1F)c2=O ZINC001137580677 737525508 /nfs/dbraw/zinc/52/55/08/737525508.db2.gz UMUVURORLDGEOE-UHFFFAOYSA-N -1 1 316.292 1.369 20 0 DDADMM O=C(N[C@@H]1CCCN(Cc2cccnc2)C1)c1ncccc1[O-] ZINC001007191128 751486468 /nfs/dbraw/zinc/48/64/68/751486468.db2.gz RVCLVGBGDPADRX-CQSZACIVSA-N -1 1 312.373 1.577 20 0 DDADMM CS(=O)(=O)CCC(=O)Nc1cccc(C(F)(F)F)c1[O-] ZINC001142533154 742149059 /nfs/dbraw/zinc/14/90/59/742149059.db2.gz XEIJIMCTVBZMSY-UHFFFAOYSA-N -1 1 311.281 1.784 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001060073340 742422190 /nfs/dbraw/zinc/42/21/90/742422190.db2.gz ZJUGEFHBKUWNLB-JHJVBQTASA-N -1 1 317.389 1.412 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](C)CCNC(=O)c2ncccc2[O-])o1 ZINC001076244211 742623090 /nfs/dbraw/zinc/62/30/90/742623090.db2.gz MLHXKZLENHMPJJ-JTQLQIEISA-N -1 1 317.345 1.627 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CC1CCC1 ZINC001076244701 742623815 /nfs/dbraw/zinc/62/38/15/742623815.db2.gz ZSUCCDRZXGXJNW-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1CC2(CC2)C1 ZINC001076245253 742624499 /nfs/dbraw/zinc/62/44/99/742624499.db2.gz VALBFSGZCHKALY-NSHDSACASA-N -1 1 317.389 1.602 20 0 DDADMM CSc1nc(NC(=O)[C@@H]2CCCN(C(C)=O)C2)cc(=O)[n-]1 ZINC001180679553 742892837 /nfs/dbraw/zinc/89/28/37/742892837.db2.gz FMJUYIJDJOLIRU-SECBINFHSA-N -1 1 310.379 1.101 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002346079 743065209 /nfs/dbraw/zinc/06/52/09/743065209.db2.gz QBAWBKZCQLFWJN-TWEXNZEWSA-N -1 1 317.389 1.816 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)[C@@H]1CCc2ccccc21 ZINC001181757870 743331109 /nfs/dbraw/zinc/33/11/09/743331109.db2.gz KQXOSRZTYZWNQK-SECBINFHSA-N -1 1 300.274 1.954 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(COC)cc2)n1 ZINC001182197527 743523505 /nfs/dbraw/zinc/52/35/05/743523505.db2.gz RTJAGNIDMOSGBN-UHFFFAOYSA-N -1 1 317.345 1.914 20 0 DDADMM NC(=O)c1ccc(CC(=O)Nc2nc(Cl)ccc2[O-])cn1 ZINC001183218868 743798992 /nfs/dbraw/zinc/79/89/92/743798992.db2.gz QESLJRFAHRLXOC-UHFFFAOYSA-N -1 1 306.709 1.116 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(C4CCC4)ccn3)c1-2 ZINC001185295310 744200294 /nfs/dbraw/zinc/20/02/94/744200294.db2.gz CDFVLACNEMSHAO-UHFFFAOYSA-N -1 1 308.345 1.950 20 0 DDADMM O=S(=O)([N-]c1ccc(F)c(CO)c1)c1ccc2n[nH]cc2c1 ZINC001185989725 744318277 /nfs/dbraw/zinc/31/82/77/744318277.db2.gz FSRXRMMQBWSFKW-UHFFFAOYSA-N -1 1 321.333 1.995 20 0 DDADMM O=S(=O)([N-][C@H]1[C@@H]2C[C@@H]3C[C@H]1C[C@](O)(C2)C3)c1nccs1 ZINC001187846764 744617891 /nfs/dbraw/zinc/61/78/91/744617891.db2.gz NHLQCOLNNTZKHJ-VZYVEOEESA-N -1 1 314.432 1.361 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCN1Cc1ccccc1)c1nccs1 ZINC001187918072 744627441 /nfs/dbraw/zinc/62/74/41/744627441.db2.gz QKSIPOOZKSEKLU-ZDUSSCGKSA-N -1 1 323.443 1.696 20 0 DDADMM O=S(=O)([N-]CCOc1ccccc1F)c1nccs1 ZINC001187907601 744627870 /nfs/dbraw/zinc/62/78/70/744627870.db2.gz DSUJJYXPHACYHR-UHFFFAOYSA-N -1 1 302.352 1.640 20 0 DDADMM O=S(=O)([N-]CCc1cc(F)cc(F)c1)c1nccs1 ZINC001187917694 744631260 /nfs/dbraw/zinc/63/12/60/744631260.db2.gz GDYLMTLWFWFVOC-UHFFFAOYSA-N -1 1 304.343 1.942 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1ccccc1F)c1nccs1 ZINC001187920309 744635232 /nfs/dbraw/zinc/63/52/32/744635232.db2.gz JGUIACDHHYZFPG-SNVBAGLBSA-N -1 1 302.352 1.294 20 0 DDADMM CSc1cccc(NC(=O)Nc2c(O)[nH]c(=O)[n-]c2=S)c1 ZINC001188067331 744655602 /nfs/dbraw/zinc/65/56/02/744655602.db2.gz VLXHTCYLEYUWPV-MRVPVSSYSA-N -1 1 324.387 1.065 20 0 DDADMM CCCCOC(=O)CNC(=O)Nc1cc(Cl)ncc1[O-] ZINC001188246497 744675544 /nfs/dbraw/zinc/67/55/44/744675544.db2.gz BPECFQCOPXGZJV-UHFFFAOYSA-N -1 1 301.730 1.905 20 0 DDADMM CC(C)(C)c1cc(C(=O)NCCCC[P@](=O)([O-])O)[nH]n1 ZINC001189250629 744853539 /nfs/dbraw/zinc/85/35/39/744853539.db2.gz PDEXXPHAGVNBGC-UHFFFAOYSA-N -1 1 303.299 1.395 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cccc(-c2cn[nH]c2)c1 ZINC001189257455 744855243 /nfs/dbraw/zinc/85/52/43/744855243.db2.gz VWHSJMFFBYWCAP-UHFFFAOYSA-N -1 1 321.300 1.701 20 0 DDADMM COc1nc(S(=O)(=O)[N-]CC2(F)CCOCC2)ccc1F ZINC001190116921 745120754 /nfs/dbraw/zinc/12/07/54/745120754.db2.gz QLTQZTYSRQVXNI-UHFFFAOYSA-N -1 1 322.333 1.026 20 0 DDADMM Cc1nnc(CNC(=O)c2cnc(-c3ccccc3)[n-]c2=O)[nH]1 ZINC001190269894 745169157 /nfs/dbraw/zinc/16/91/57/745169157.db2.gz LCUQPFDDSMGKNF-UHFFFAOYSA-N -1 1 310.317 1.206 20 0 DDADMM COC(=O)c1ccc(NS(=O)(=O)c2ncc[n-]2)c(Cl)c1 ZINC001190730175 745310024 /nfs/dbraw/zinc/31/00/24/745310024.db2.gz TUDQYXJZVMYLLA-UHFFFAOYSA-N -1 1 315.738 1.651 20 0 DDADMM COc1cc(C(=O)NCCc2nncs2)cc(Cl)c1[O-] ZINC001191157719 745438686 /nfs/dbraw/zinc/43/86/86/745438686.db2.gz AUJCDRITHAPKLQ-UHFFFAOYSA-N -1 1 313.766 1.878 20 0 DDADMM CSc1ncc(C(=O)N2CC(C)(C)C[C@]2(C)CO)c(=O)[n-]1 ZINC001191426471 745508820 /nfs/dbraw/zinc/50/88/20/745508820.db2.gz AYZAZQRGWJTSLW-CQSZACIVSA-N -1 1 311.407 1.527 20 0 DDADMM CSc1ncc(C(=O)Nc2cc(Cl)c[nH]c2=O)c(=O)[n-]1 ZINC001191429764 745509196 /nfs/dbraw/zinc/50/91/96/745509196.db2.gz QTWUQGSDHPOODY-UHFFFAOYSA-N -1 1 312.738 1.910 20 0 DDADMM CSc1ncc(C(=O)NCc2cncn2C2CCC2)c(=O)[n-]1 ZINC001191441530 745513390 /nfs/dbraw/zinc/51/33/90/745513390.db2.gz VPASDQLJVDDTBJ-UHFFFAOYSA-N -1 1 319.390 1.756 20 0 DDADMM O=S(=O)([N-]CCOc1cccnc1)C(Cl)(Cl)Cl ZINC001192043357 745680341 /nfs/dbraw/zinc/68/03/41/745680341.db2.gz TYRGLCWVAFOPFA-UHFFFAOYSA-N -1 1 319.597 1.708 20 0 DDADMM COC(=O)[C@H](NC(=O)c1c(F)ccc([O-])c1F)C(F)(F)F ZINC001192552767 745813891 /nfs/dbraw/zinc/81/38/91/745813891.db2.gz RUZHOEBNZJWCJC-QMMMGPOBSA-N -1 1 313.178 1.504 20 0 DDADMM COC(=O)c1cncc(CNC(=O)c2cc([O-])cc(F)c2F)c1 ZINC001192683655 745861785 /nfs/dbraw/zinc/86/17/85/745861785.db2.gz HIHWISATELNTOH-UHFFFAOYSA-N -1 1 322.267 1.782 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1C[C@H](CO)CC(F)(F)C1 ZINC001192661437 745868191 /nfs/dbraw/zinc/86/81/91/745868191.db2.gz XDULUZDBSCBCDQ-SSDOTTSWSA-N -1 1 307.243 1.760 20 0 DDADMM COC(=O)c1cc(C)c([N-]S(=O)(=O)C[C@@H]2CCCO2)cn1 ZINC001193207662 746013738 /nfs/dbraw/zinc/01/37/38/746013738.db2.gz NKXYYHMMPMVSCJ-JTQLQIEISA-N -1 1 314.363 1.097 20 0 DDADMM Cc1cccc2c1[C@H]([N-]S(=O)(=O)C[C@H]1CCCO1)C(=O)N2 ZINC001193277572 746042120 /nfs/dbraw/zinc/04/21/20/746042120.db2.gz AEKDATMEWVPJST-MFKMUULPSA-N -1 1 310.375 1.087 20 0 DDADMM COc1nc(C)c(C(=O)Nc2n[n-]c(C(F)(F)F)n2)s1 ZINC001193482646 746117082 /nfs/dbraw/zinc/11/70/82/746117082.db2.gz LRIPOBVXSWUCRD-UHFFFAOYSA-N -1 1 307.257 1.849 20 0 DDADMM COc1ccnc(C(=O)Nc2cc(N)ccc2OCCO)c1[O-] ZINC001193532002 746122165 /nfs/dbraw/zinc/12/21/65/746122165.db2.gz PVFDBOQNJMEKPO-UHFFFAOYSA-N -1 1 319.317 1.001 20 0 DDADMM COC(C)(C[N-]S(=O)(=O)Cc1noc2ccccc12)OC ZINC001194119982 746279512 /nfs/dbraw/zinc/27/95/12/746279512.db2.gz WGIPLAFALMVWAQ-UHFFFAOYSA-N -1 1 314.363 1.256 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccccc2-c2ncc[nH]2)[n-]n1 ZINC001194289143 746344541 /nfs/dbraw/zinc/34/45/41/746344541.db2.gz ZJTACPMDYNIDQA-UHFFFAOYSA-N -1 1 311.301 1.839 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccc3c(c2C)OCCO3)[n-]n1 ZINC001194294702 746347866 /nfs/dbraw/zinc/34/78/66/746347866.db2.gz QKTOFELRZMSQOJ-UHFFFAOYSA-N -1 1 317.301 1.528 20 0 DDADMM CC[C@H](C)OC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1[O-] ZINC001195126719 746533555 /nfs/dbraw/zinc/53/35/55/746533555.db2.gz WDVDSMLTSYKWJU-VIFPVBQESA-N -1 1 316.379 1.990 20 0 DDADMM COCCOc1cc(NC(=O)c2nc(C)ccc2[O-])ccn1 ZINC001195322882 746564875 /nfs/dbraw/zinc/56/48/75/746564875.db2.gz PWMDWAINGQSVHO-UHFFFAOYSA-N -1 1 303.318 1.768 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2cc(N)ccc2COCCO)n1 ZINC001195325377 746566213 /nfs/dbraw/zinc/56/62/13/746566213.db2.gz BWCMMXUBWJNNEQ-UHFFFAOYSA-N -1 1 317.345 1.439 20 0 DDADMM CN(C(=O)c1cc([O-])cnc1Cl)[C@H]1CCC2(C1)OCCO2 ZINC001195308586 746572561 /nfs/dbraw/zinc/57/25/61/746572561.db2.gz HBULPOYTXZZQKC-VIFPVBQESA-N -1 1 312.753 1.808 20 0 DDADMM CCOC(=O)CNC(=S)Nc1cc(C(=O)OC)ccc1[O-] ZINC001195556729 746631329 /nfs/dbraw/zinc/63/13/29/746631329.db2.gz GGUYPASEFJXKMY-UHFFFAOYSA-N -1 1 312.347 1.028 20 0 DDADMM Cc1cc(C)n2nc(NC(=O)c3c[nH]c(=S)[n-]c3=O)cc2n1 ZINC001196013954 746756727 /nfs/dbraw/zinc/75/67/27/746756727.db2.gz JQOWXFULXPQEPV-UHFFFAOYSA-N -1 1 316.346 1.383 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cccnc1OC ZINC001196913631 747008138 /nfs/dbraw/zinc/00/81/38/747008138.db2.gz RLLNKJLLSXUHPT-UHFFFAOYSA-N -1 1 302.352 1.175 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(OCCCCCCO)cc1 ZINC001197347604 747133747 /nfs/dbraw/zinc/13/37/47/747133747.db2.gz HYULMRVEFRPKCI-UHFFFAOYSA-N -1 1 319.365 1.061 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)Cc2ccccn2)c1F ZINC001197829126 747292342 /nfs/dbraw/zinc/29/23/42/747292342.db2.gz MXLZBOKDVNABLC-UHFFFAOYSA-N -1 1 324.333 1.949 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@]12C[C@H]1CCC2 ZINC001003581418 747303789 /nfs/dbraw/zinc/30/37/89/747303789.db2.gz ZCRASAASUWAYKS-SJKOYZFVSA-N -1 1 315.373 1.166 20 0 DDADMM CN(C)c1cccnc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001198222108 747410985 /nfs/dbraw/zinc/41/09/85/747410985.db2.gz SNVKUXYVOWGWDC-UHFFFAOYSA-N -1 1 300.244 1.537 20 0 DDADMM Cc1cnc2ncc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)n2c1 ZINC001198361078 747477184 /nfs/dbraw/zinc/47/71/84/747477184.db2.gz VHMJOSOZEZKQIO-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2c(O)cccc2Cl)n1C ZINC001198398774 747495167 /nfs/dbraw/zinc/49/51/67/747495167.db2.gz MMFUVRHNGZFVJO-UHFFFAOYSA-N -1 1 301.755 1.888 20 0 DDADMM C=CS(=O)(=O)[N-]c1cccc(I)n1 ZINC001198566228 747527320 /nfs/dbraw/zinc/52/73/20/747527320.db2.gz DETOGUFALKPRRX-UHFFFAOYSA-N -1 1 310.116 1.571 20 0 DDADMM COc1cnc(C(=O)Nc2cc(=O)[n-]c(SC)n2)c(C)c1 ZINC001199751784 747979033 /nfs/dbraw/zinc/97/90/33/747979033.db2.gz URZCNPKHOSHIQO-UHFFFAOYSA-N -1 1 306.347 1.868 20 0 DDADMM CSc1nc(NC(=O)c2cccc(N(C)C)n2)cc(=O)[n-]1 ZINC001199789277 747996633 /nfs/dbraw/zinc/99/66/33/747996633.db2.gz ZTVKGYNNOXUXIV-UHFFFAOYSA-N -1 1 305.363 1.617 20 0 DDADMM CCOC(=O)[C@H](C)NC(=S)Nc1cc(F)c(F)cc1[O-] ZINC001199835988 748013518 /nfs/dbraw/zinc/01/35/18/748013518.db2.gz OMVYTMRRUUEKRM-LURJTMIESA-N -1 1 304.318 1.908 20 0 DDADMM O=C1OC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)c(Cl)cc1F ZINC001201280083 748451316 /nfs/dbraw/zinc/45/13/16/748451316.db2.gz NIQUBPPEYUANKQ-ZETCQYMHSA-N -1 1 314.121 1.336 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]Cc1cnoc1C ZINC001201728205 748586120 /nfs/dbraw/zinc/58/61/20/748586120.db2.gz HSQVAPUFHMHJCN-UHFFFAOYSA-N -1 1 307.784 1.880 20 0 DDADMM CC[C@H](C)[C@@H](CO)[N-]S(=O)(=O)c1sc(Cl)nc1C ZINC001201765775 748597738 /nfs/dbraw/zinc/59/77/38/748597738.db2.gz UVDOBEMNMVMTCC-POYBYMJQSA-N -1 1 312.844 1.790 20 0 DDADMM C/C(=C/C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C1CC1 ZINC000995522913 748884813 /nfs/dbraw/zinc/88/48/13/748884813.db2.gz MMHXBKXEGGAQAP-GYGFLDJKSA-N -1 1 319.409 1.193 20 0 DDADMM CN(CCCN(C)C(=O)C(C)(C)F)C(=O)c1ncccc1[O-] ZINC001066611101 749092016 /nfs/dbraw/zinc/09/20/16/749092016.db2.gz LIGJBPXAMSJZRT-UHFFFAOYSA-N -1 1 311.357 1.456 20 0 DDADMM O=C(NC/C=C\CNc1cnc(F)cn1)c1ncccc1[O-] ZINC001107138870 749343742 /nfs/dbraw/zinc/34/37/42/749343742.db2.gz BTKACENUNAODTE-UPHRSURJSA-N -1 1 303.297 1.114 20 0 DDADMM Cc1cocc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996156478 749405474 /nfs/dbraw/zinc/40/54/74/749405474.db2.gz XXGUXNSRJGLOJV-LLVKDONJSA-N -1 1 319.365 1.052 20 0 DDADMM CCC[C@H](NC(=O)c1nnc(-c2ccco2)o1)c1nn[n-]n1 ZINC001137206345 749509167 /nfs/dbraw/zinc/50/91/67/749509167.db2.gz OPIBIUIZIDPSDT-ZETCQYMHSA-N -1 1 303.282 1.114 20 0 DDADMM C[C@@H]1C[C@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)[C@@H](C)O1 ZINC000686393459 750366520 /nfs/dbraw/zinc/36/65/20/750366520.db2.gz JIUPZVYHLQAKJW-IWSPIJDZSA-N -1 1 322.789 1.966 20 0 DDADMM CCCCCN1CCO[C@@](C)(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001107871921 750507811 /nfs/dbraw/zinc/50/78/11/750507811.db2.gz LPKVWYCPTARYSQ-INIZCTEOSA-N -1 1 324.425 1.435 20 0 DDADMM CC(C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)=C1CCC1 ZINC000999120678 752546462 /nfs/dbraw/zinc/54/64/62/752546462.db2.gz LTAIWVINGJXFBF-GFCCVEGCSA-N -1 1 305.382 1.091 20 0 DDADMM O=C(N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1(C2CC2)CCC1 ZINC000999811105 753154768 /nfs/dbraw/zinc/15/47/68/753154768.db2.gz BURXKRCQQKOWTJ-LBPRGKRZSA-N -1 1 319.409 1.171 20 0 DDADMM CC[C@H](C)CC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839076 753758979 /nfs/dbraw/zinc/75/89/79/753758979.db2.gz HBAWAGPQDHNPCY-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CC[C@@H](C)CC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062857242 753772495 /nfs/dbraw/zinc/77/24/95/753772495.db2.gz MCBHZVLGQRMRAG-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1ccnc(N2CC[C@@H](N(C)C(=O)c3ncccc3[O-])C2)n1 ZINC001062923666 753850299 /nfs/dbraw/zinc/85/02/99/753850299.db2.gz XDVZIEFZPOIZRV-GFCCVEGCSA-N -1 1 313.361 1.237 20 0 DDADMM CC(=O)N1CCC[C@@H]1CN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001001988496 754624262 /nfs/dbraw/zinc/62/42/62/754624262.db2.gz UYUZLPACTVRNOF-GFCCVEGCSA-N -1 1 318.377 1.143 20 0 DDADMM Cc1cc(NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])ncn1 ZINC001064897796 754938432 /nfs/dbraw/zinc/93/84/32/754938432.db2.gz SUOQMJWMMMHJPS-LBPRGKRZSA-N -1 1 313.361 1.602 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cc[nH]c1 ZINC001012813428 755240870 /nfs/dbraw/zinc/24/08/70/755240870.db2.gz YPDLDQMXIMLXIT-JQWIXIFHSA-N -1 1 314.345 1.148 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(CCC(F)(F)F)C[C@H]2C)c1[O-] ZINC001079579311 755454231 /nfs/dbraw/zinc/45/42/31/755454231.db2.gz BKRXQXNICBGDCN-VXNVDRBHSA-N -1 1 320.315 1.426 20 0 DDADMM CCN(C(=O)C1(CF)CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080507980 755950056 /nfs/dbraw/zinc/95/00/56/755950056.db2.gz BTUWUKJISUEWGY-UHFFFAOYSA-N -1 1 321.352 1.210 20 0 DDADMM CC(C)(C)C(=O)Nc1ccc(N)nc1NCc1cc(=O)[n-]o1 ZINC001169482178 762443716 /nfs/dbraw/zinc/44/37/16/762443716.db2.gz OSYLYGQLGBLEKS-UHFFFAOYSA-N -1 1 305.338 1.954 20 0 DDADMM O=C(CC1CC1)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001000995164 762451336 /nfs/dbraw/zinc/45/13/36/762451336.db2.gz ZHYYQVXFSTZTJD-UPJWGTAASA-N -1 1 315.373 1.449 20 0 DDADMM CC(C)C(=O)N[C@@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015342950 756252481 /nfs/dbraw/zinc/25/24/81/756252481.db2.gz NVYMLVAAHFLGOE-VXGBXAGGSA-N -1 1 305.378 1.458 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(c2cnc(F)cn2)C1 ZINC001065244907 758414184 /nfs/dbraw/zinc/41/41/84/758414184.db2.gz GSXNMOOVELIJQX-HNNXBMFYSA-N -1 1 317.324 1.115 20 0 DDADMM CCCCN1CC2(C1)CC[C@@H](CNC(=O)c1[nH]nc(C)c1[O-])O2 ZINC001053589756 758606452 /nfs/dbraw/zinc/60/64/52/758606452.db2.gz QBHCXYZEHGBPFW-LBPRGKRZSA-N -1 1 322.409 1.187 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCC3(CN(CC(C)C)C3)O2)c1[O-] ZINC001053589421 758606588 /nfs/dbraw/zinc/60/65/88/758606588.db2.gz JGDJBOQBPAEYFR-GFCCVEGCSA-N -1 1 322.409 1.043 20 0 DDADMM COCC1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCCC1 ZINC000824349345 759173101 /nfs/dbraw/zinc/17/31/01/759173101.db2.gz CDZZDWNCYPDXMH-UHFFFAOYSA-N -1 1 305.378 1.996 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C)c1nn[n-]n1 ZINC000824912911 759212387 /nfs/dbraw/zinc/21/23/87/759212387.db2.gz INPPZEZJTQELCT-NMUGVGKYSA-N -1 1 313.427 1.170 20 0 DDADMM Cc1oc(C(C)C)cc1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000827967898 759430422 /nfs/dbraw/zinc/43/04/22/759430422.db2.gz NZNQGQVNAYFDJB-LLVKDONJSA-N -1 1 305.338 1.438 20 0 DDADMM C[C@H]1C[C@H]1C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962271 759454200 /nfs/dbraw/zinc/45/42/00/759454200.db2.gz NICCFVNNMXKIML-NWDGAFQWSA-N -1 1 315.373 1.118 20 0 DDADMM O=C(C1CCCCCCC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019127560 759610545 /nfs/dbraw/zinc/61/05/45/759610545.db2.gz SLNZFLXSRYKNRQ-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM C[C@H]1CN(C(=O)CC2=CCCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054493451 759720646 /nfs/dbraw/zinc/72/06/46/759720646.db2.gz YUSZNQJONLRINP-AAEUAGOBSA-N -1 1 319.409 1.337 20 0 DDADMM CN(C[C@H]1CCN1Cc1nccs1)C(=O)c1ncccc1[O-] ZINC001085562688 759728856 /nfs/dbraw/zinc/72/88/56/759728856.db2.gz RRWAKCBLUSIIMB-LLVKDONJSA-N -1 1 318.402 1.590 20 0 DDADMM C[C@@H]1CN(C(=O)C2CC3(CCC3)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054992000 760268972 /nfs/dbraw/zinc/26/89/72/760268972.db2.gz MSIOVHZAWZPYOQ-ZYHUDNBSSA-N -1 1 319.409 1.027 20 0 DDADMM CC[C@@]1(C)C[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541803 762946474 /nfs/dbraw/zinc/94/64/74/762946474.db2.gz PBIIGTYFVNBQCI-SJCJKPOMSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001542514 762946993 /nfs/dbraw/zinc/94/69/93/762946993.db2.gz UEWAXZWBSMQYNY-PWSUYJOCSA-N -1 1 303.362 1.022 20 0 DDADMM Cc1nccc(N2C[C@@H](C)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001041876207 763436522 /nfs/dbraw/zinc/43/65/22/763436522.db2.gz ZWNODCQXFUVUSQ-ZYHUDNBSSA-N -1 1 313.361 1.140 20 0 DDADMM CC1(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)CCC1 ZINC001047125327 768263315 /nfs/dbraw/zinc/26/33/15/768263315.db2.gz BEUCCJAVMMIULC-SRVKXCTJSA-N -1 1 319.409 1.170 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(-c4cccnc4)nc3)c2[nH]1 ZINC001170218362 766176695 /nfs/dbraw/zinc/17/66/95/766176695.db2.gz LOWZPBGHVXRFMN-UHFFFAOYSA-N -1 1 321.300 1.916 20 0 DDADMM CCOc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc(OC)c1 ZINC001170222438 766195822 /nfs/dbraw/zinc/19/58/22/766195822.db2.gz DNDMHIXZPYQDMJ-UHFFFAOYSA-N -1 1 317.305 1.867 20 0 DDADMM CN(C(=O)[C@H](Oc1ccccc1)c1ccccc1)c1nn[n-]n1 ZINC001130969428 767822541 /nfs/dbraw/zinc/82/25/41/767822541.db2.gz LWTOBJDGNFZRAX-CQSZACIVSA-N -1 1 309.329 1.983 20 0 DDADMM CC(C)CCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071575407 769651838 /nfs/dbraw/zinc/65/18/38/769651838.db2.gz SUAKGBYDCGOZTR-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM COCCOc1cc(NC(=O)c2cnncc2[O-])ccc1OC ZINC001135925625 771791882 /nfs/dbraw/zinc/79/18/82/771791882.db2.gz JSUUUTOYRJXWSW-UHFFFAOYSA-N -1 1 319.317 1.468 20 0 DDADMM COC(=O)[C@@H]1CN(Cc2cc(F)c([O-])cc2F)CCCO1 ZINC001144660211 772592285 /nfs/dbraw/zinc/59/22/85/772592285.db2.gz WLNQATZKTGBRQG-ZDUSSCGKSA-N -1 1 301.289 1.434 20 0 DDADMM Cc1cc(NC(=O)c2c([O-])c(C)ncc2CO)n(CCF)n1 ZINC001147837207 773264098 /nfs/dbraw/zinc/26/40/98/773264098.db2.gz XPLGYSFGOJKATG-UHFFFAOYSA-N -1 1 308.313 1.315 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CC(Oc3ccccc3)C2)c1[O-] ZINC001147838562 773264484 /nfs/dbraw/zinc/26/44/84/773264484.db2.gz SGQIMEGLANHFEG-UHFFFAOYSA-N -1 1 314.341 1.491 20 0 DDADMM CC(=O)c1ccc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001148311274 773427554 /nfs/dbraw/zinc/42/75/54/773427554.db2.gz GOFXFYZDMQRCSU-UHFFFAOYSA-N -1 1 313.273 1.171 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCc3ncccc3O)cc2)[n-]1 ZINC001148335704 773440639 /nfs/dbraw/zinc/44/06/39/773440639.db2.gz GIVGPQRSSLZBIM-UHFFFAOYSA-N -1 1 324.340 1.830 20 0 DDADMM O=C(NCCN(c1cnc(F)cn1)C1CC1)c1ncccc1[O-] ZINC001101323629 777069859 /nfs/dbraw/zinc/06/98/59/777069859.db2.gz SUAQFFCLYOJCSS-UHFFFAOYSA-N -1 1 317.324 1.115 20 0 DDADMM C[S@@](=O)c1ccc([N-]S(=O)(=O)c2ccccc2N)cc1 ZINC001175480904 777801295 /nfs/dbraw/zinc/80/12/95/777801295.db2.gz YLQQKXLLKGFUMJ-LJQANCHMSA-N -1 1 310.400 1.807 20 0 DDADMM C[S@](=O)c1ccc([N-]S(=O)(=O)c2ccccc2N)cc1 ZINC001175480901 777801960 /nfs/dbraw/zinc/80/19/60/777801960.db2.gz YLQQKXLLKGFUMJ-IBGZPJMESA-N -1 1 310.400 1.807 20 0 DDADMM CNc1ccc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)c(F)n1 ZINC001203353175 778483187 /nfs/dbraw/zinc/48/31/87/778483187.db2.gz CYIOGZVJMZYXGZ-UHFFFAOYSA-N -1 1 307.289 1.966 20 0 DDADMM Cc1cc(CCNC(=O)c2ncc3ccccc3c2[O-])nn1C ZINC001177284032 778531996 /nfs/dbraw/zinc/53/19/96/778531996.db2.gz XJCPYDUVADQPDB-UHFFFAOYSA-N -1 1 310.357 1.955 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(C)(C)c2cnccn2)n1 ZINC001178191341 778933947 /nfs/dbraw/zinc/93/39/47/778933947.db2.gz KJWROJGMFUGRTR-UHFFFAOYSA-N -1 1 303.322 1.293 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)[C@H]1C[C@@H]1C)c1ccccc1N ZINC001178563651 779102820 /nfs/dbraw/zinc/10/28/20/779102820.db2.gz IAMRGTRMTFRENU-IUCAKERBSA-N -1 1 307.375 1.899 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)[C@H]1C[C@@H]1C)c1ccccc1N ZINC001178563651 779102827 /nfs/dbraw/zinc/10/28/27/779102827.db2.gz IAMRGTRMTFRENU-IUCAKERBSA-N -1 1 307.375 1.899 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCCC1CC1 ZINC001179374638 779364619 /nfs/dbraw/zinc/36/46/19/779364619.db2.gz XIGFAVPVCCCHRB-JQWIXIFHSA-N -1 1 307.398 1.170 20 0 DDADMM O=C(CCn1ncc2ccccc21)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001180062626 779608969 /nfs/dbraw/zinc/60/89/69/779608969.db2.gz IPJWTODFJCXPLM-UHFFFAOYSA-N -1 1 323.316 1.437 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@](O)(c1ccccc1)C1CCCCC1 ZINC001180219125 779657653 /nfs/dbraw/zinc/65/76/53/779657653.db2.gz UHUFVFYEHQPQMG-MRXNPFEDSA-N -1 1 315.377 1.284 20 0 DDADMM Cc1cccc(OCC(=O)NCCCC[P@](=O)([O-])O)c1C ZINC001180503478 779809958 /nfs/dbraw/zinc/80/99/58/779809958.db2.gz WLQDFNQSSYECNB-UHFFFAOYSA-N -1 1 315.306 1.756 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)NC[C@]12C[C@H]1CCC2 ZINC001119854362 781645758 /nfs/dbraw/zinc/64/57/58/781645758.db2.gz VVZWYSLZUISWMK-BZNIZROVSA-N -1 1 322.792 1.968 20 0 DDADMM CC1CC(C(=O)NCCC[C@@H]2CCCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001267164634 837446840 /nfs/dbraw/zinc/44/68/40/837446840.db2.gz GWIWEAJBIGZKFY-WXRRBKDZSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H](CC1CCCCC1)C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001266852364 836937082 /nfs/dbraw/zinc/93/70/82/836937082.db2.gz NTJTZLRCOXMRPX-LBPRGKRZSA-N -1 1 323.441 1.665 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)c1ccc(F)s1 ZINC001408554843 837253398 /nfs/dbraw/zinc/25/33/98/837253398.db2.gz FLZYBLIGRSYKDC-UHFFFAOYSA-N -1 1 323.349 1.490 20 0 DDADMM O=C(CCCC1CC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409094077 844258735 /nfs/dbraw/zinc/25/87/35/844258735.db2.gz XHJBGANYLSWSPD-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM CCCC1(C(=O)NCCN(CC)C(=O)c2ncccc2[O-])CC1 ZINC001408794156 838815593 /nfs/dbraw/zinc/81/55/93/838815593.db2.gz BFZPGXRPLAFZQY-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM CCOC(=O)c1n[n-]c(CNCc2c(F)cc(C)cc2F)n1 ZINC001414186421 839040771 /nfs/dbraw/zinc/04/07/71/839040771.db2.gz KPNNWRLUBVTBLJ-UHFFFAOYSA-N -1 1 310.304 1.858 20 0 DDADMM Cc1nc(CNC/C=C\CNC(=O)c2ncccc2[O-])co1 ZINC001268535274 840405974 /nfs/dbraw/zinc/40/59/74/840405974.db2.gz SHIHVUYKYDJZEG-IHWYPQMZSA-N -1 1 302.334 1.159 20 0 DDADMM CCC(C)(C)CC(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001326277232 861251535 /nfs/dbraw/zinc/25/15/35/861251535.db2.gz YGMKHIITPUQVFB-GFCCVEGCSA-N -1 1 323.441 1.665 20 0 DDADMM NC(=O)[C@H]1CC12CN(C(=O)c1ccc(C(F)(F)F)cc1[O-])C2 ZINC001269919226 842154662 /nfs/dbraw/zinc/15/46/62/842154662.db2.gz LBSAGUAUMWFFTL-SECBINFHSA-N -1 1 314.263 1.358 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CCN(C(=O)c1ccc([O-])cn1)CC2 ZINC001270139560 842328027 /nfs/dbraw/zinc/32/80/27/842328027.db2.gz WRNFFBUJOBMNRI-LBPRGKRZSA-N -1 1 303.362 1.118 20 0 DDADMM Cc1ccc(CN2CCCN(C(=O)[C@@H](C)C(=O)[O-])CC2)cc1 ZINC001326487626 861403160 /nfs/dbraw/zinc/40/31/60/861403160.db2.gz VGBMYWJZRGUWCV-CQSZACIVSA-N -1 1 304.390 1.750 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H](C)c1ccccn1 ZINC001424364284 844699147 /nfs/dbraw/zinc/69/91/47/844699147.db2.gz ZCZQQFPAGXKERV-VIFPVBQESA-N -1 1 313.404 1.629 20 0 DDADMM CCN=c1ncc(N)cn1C(=O)c1ccc2cccnc2c1[O-] ZINC001149318501 861545227 /nfs/dbraw/zinc/54/52/27/861545227.db2.gz DNKCNGXFPIARND-UHFFFAOYSA-N -1 1 309.329 1.328 20 0 DDADMM O=C(NCCN1CCCCO1)c1ccc2cccnc2c1[O-] ZINC001149327099 861548384 /nfs/dbraw/zinc/54/83/84/861548384.db2.gz QXEAEQCLDPRELS-UHFFFAOYSA-N -1 1 301.346 1.698 20 0 DDADMM CN1CC[C@@]2(CCN(C(=O)c3ccc(Cl)cc3[O-])C2)C1=O ZINC001272264836 845466646 /nfs/dbraw/zinc/46/66/46/845466646.db2.gz JZUXLKPIRIQUPO-HNNXBMFYSA-N -1 1 308.765 1.740 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H](OCCCC[P@](=O)([O-])O)CO1 ZINC001225019123 845782008 /nfs/dbraw/zinc/78/20/08/845782008.db2.gz RYKBZZZVHHSQSP-WDEREUQCSA-N -1 1 310.283 1.072 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnnc3ccccc32)n1 ZINC001149465283 861633327 /nfs/dbraw/zinc/63/33/27/861633327.db2.gz SGCDIBIEXFQLLN-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM COC[C@H](NC(=O)c1ccc2nc(C)sc2c1)c1nn[n-]n1 ZINC001155067746 861700314 /nfs/dbraw/zinc/70/03/14/861700314.db2.gz BQFJAZZYYCYUAP-JTQLQIEISA-N -1 1 318.362 1.235 20 0 DDADMM CN1C[C@@]2(CCN(Cc3cc(F)c([O-])c(F)c3)C2)OCC1=O ZINC001272780347 847420184 /nfs/dbraw/zinc/42/01/84/847420184.db2.gz GGMBUUFWFCEUAE-OAHLLOKOSA-N -1 1 312.316 1.104 20 0 DDADMM CSCC[C@@H](NC(=O)c1[n-][nH]c2cc(=O)ccc1-2)C(C)(C)O ZINC001155270062 861917017 /nfs/dbraw/zinc/91/70/17/861917017.db2.gz ZFNHCVHLJJQTAK-GFCCVEGCSA-N -1 1 323.418 1.891 20 0 DDADMM COC(=O)C1(NC(=O)c2[n-][nH]c3cc(=O)ccc2-3)CCCC1 ZINC001155269628 861917906 /nfs/dbraw/zinc/91/79/06/861917906.db2.gz MSMGWINKDKVAMP-UHFFFAOYSA-N -1 1 303.318 1.484 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)Cc2ccccn2)c1 ZINC001434546189 848581218 /nfs/dbraw/zinc/58/12/18/848581218.db2.gz YPRNBBSUDXNDEA-UHFFFAOYSA-N -1 1 308.359 1.617 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC001327208575 861964570 /nfs/dbraw/zinc/96/45/70/861964570.db2.gz LZYACASXFDBJSQ-HNNXBMFYSA-N -1 1 319.405 1.519 20 0 DDADMM Cc1conc1CN[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001410384144 849181312 /nfs/dbraw/zinc/18/13/12/849181312.db2.gz SAVPWVLGFDEKLL-LLVKDONJSA-N -1 1 304.350 1.334 20 0 DDADMM O=C(CC1CCC1)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001410565931 849507359 /nfs/dbraw/zinc/50/73/59/849507359.db2.gz TUZYDLHIRAUWKR-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCC(C)(F)F ZINC001410853892 849865740 /nfs/dbraw/zinc/86/57/40/849865740.db2.gz OZPCFZRIGAZIED-BDAKNGLRSA-N -1 1 317.340 1.025 20 0 DDADMM CCC[C@H](C)C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001410989396 850066182 /nfs/dbraw/zinc/06/61/82/850066182.db2.gz AKUPQZGDSSQTPD-SJCJKPOMSA-N -1 1 319.405 1.944 20 0 DDADMM Cn1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c(C2CCC2)n1 ZINC001411355231 850908376 /nfs/dbraw/zinc/90/83/76/850908376.db2.gz QUVZMNWNBMSGJF-UHFFFAOYSA-N -1 1 310.361 1.595 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccc(F)c(F)c1)c1nccs1 ZINC001187912178 851086120 /nfs/dbraw/zinc/08/61/20/851086120.db2.gz DAUKFLPVOZWSAM-SNVBAGLBSA-N -1 1 320.342 1.433 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cc2n[nH]cc2s1 ZINC001155546237 862215091 /nfs/dbraw/zinc/21/50/91/862215091.db2.gz QCJPHOAHXSIIJV-UHFFFAOYSA-N -1 1 306.303 1.960 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@]12CCN(C(=O)c1cc(F)ccc1[O-])C2 ZINC001275360676 853015315 /nfs/dbraw/zinc/01/53/15/853015315.db2.gz GSMCOPUVVJBEJE-LRDDRELGSA-N -1 1 306.337 1.649 20 0 DDADMM CN(C)C(=O)c1n[nH]c2c1CN(C(=O)c1cc(F)ccc1[O-])C2 ZINC001275361255 853016723 /nfs/dbraw/zinc/01/67/23/853016723.db2.gz RFJOGWZRZXYCQY-UHFFFAOYSA-N -1 1 318.308 1.112 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC2(CCN2Cc2cn[nH]c2)C1 ZINC001275408807 853081555 /nfs/dbraw/zinc/08/15/55/853081555.db2.gz RRTNQRUBHYLEBC-UHFFFAOYSA-N -1 1 316.336 1.355 20 0 DDADMM NC(=O)[C@H]1CC12CCN(C(=O)c1c([O-])cccc1Cl)CC2 ZINC001275466315 853165613 /nfs/dbraw/zinc/16/56/13/853165613.db2.gz CDGNJKJXTZMZMS-SECBINFHSA-N -1 1 308.765 1.773 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)Cn2nccc2C)[n-]1 ZINC001411532748 853313061 /nfs/dbraw/zinc/31/30/61/853313061.db2.gz AAXFOUQJNXJUND-UHFFFAOYSA-N -1 1 304.306 1.148 20 0 DDADMM Cc1nc2ccccc2nc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001150869944 862507546 /nfs/dbraw/zinc/50/75/46/862507546.db2.gz VPECCWLSYKRZNW-UHFFFAOYSA-N -1 1 321.300 1.562 20 0 DDADMM CCC(CC)(NC(=O)c1csc(Cl)n1)c1nn[n-]n1 ZINC001412108303 854227337 /nfs/dbraw/zinc/22/73/37/854227337.db2.gz KQFKVRFSEDNSFU-UHFFFAOYSA-N -1 1 300.775 1.755 20 0 DDADMM CCOC(=O)C[C@H](NC(=O)c1ccc([O-])cn1)C(F)(F)F ZINC001412324386 854442177 /nfs/dbraw/zinc/44/21/77/854442177.db2.gz GQXQFZLSXCNPJA-VIFPVBQESA-N -1 1 306.240 1.401 20 0 DDADMM CC(C)CNC(=O)c1ccccc1NC(=O)c1cnncc1O ZINC001412388148 854497837 /nfs/dbraw/zinc/49/78/37/854497837.db2.gz DCWKRCPFERVPMG-UHFFFAOYSA-N -1 1 314.345 1.820 20 0 DDADMM CC(C)CNC(=O)c1ccccc1NC(=O)c1cnncc1[O-] ZINC001412388148 854497839 /nfs/dbraw/zinc/49/78/39/854497839.db2.gz DCWKRCPFERVPMG-UHFFFAOYSA-N -1 1 314.345 1.820 20 0 DDADMM COc1cccc([C@H]2CN(C(=O)c3ccc([O-])cn3)CCN2)c1 ZINC001412431875 854543909 /nfs/dbraw/zinc/54/39/09/854543909.db2.gz ZWCBNZVXDVDMQS-MRXNPFEDSA-N -1 1 313.357 1.583 20 0 DDADMM CCO[C@H](CC)C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001412456785 854571582 /nfs/dbraw/zinc/57/15/82/854571582.db2.gz GFBMJVGTRQQTEH-WCQYABFASA-N -1 1 323.393 1.179 20 0 DDADMM O=C(NC[C@@H]1CCN(CC(F)F)C1)c1ccc([O-])c(F)c1 ZINC001412501188 854630921 /nfs/dbraw/zinc/63/09/21/854630921.db2.gz JXIMCMKMMXJWNX-VIFPVBQESA-N -1 1 302.296 1.848 20 0 DDADMM COC(=O)CCN(C(=O)c1cnc(SC)[n-]c1=O)C(C)C ZINC001412530876 854668685 /nfs/dbraw/zinc/66/86/85/854668685.db2.gz NRCUEYNHGAHZCF-UHFFFAOYSA-N -1 1 313.379 1.318 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1[nH]ccc1C ZINC001412582511 854753464 /nfs/dbraw/zinc/75/34/64/854753464.db2.gz FWJBPUSMQJBQNR-SNVBAGLBSA-N -1 1 317.349 1.595 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1[nH]ccc1C ZINC001412582511 854753470 /nfs/dbraw/zinc/75/34/70/854753470.db2.gz FWJBPUSMQJBQNR-SNVBAGLBSA-N -1 1 317.349 1.595 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1[nH]ccc1C ZINC001412582511 854753475 /nfs/dbraw/zinc/75/34/75/854753475.db2.gz FWJBPUSMQJBQNR-SNVBAGLBSA-N -1 1 317.349 1.595 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CC(C)(C)OC ZINC001412593042 854784004 /nfs/dbraw/zinc/78/40/04/854784004.db2.gz RPXHZDSALMATFO-JTQLQIEISA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CC(C)(C)OC ZINC001412593042 854784006 /nfs/dbraw/zinc/78/40/06/854784006.db2.gz RPXHZDSALMATFO-JTQLQIEISA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CC(C)(C)OC ZINC001412593042 854784008 /nfs/dbraw/zinc/78/40/08/854784008.db2.gz RPXHZDSALMATFO-JTQLQIEISA-N -1 1 324.381 1.460 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc4c(cccc4F)[nH]3)c1-2 ZINC001151099465 862625156 /nfs/dbraw/zinc/62/51/56/862625156.db2.gz UWTJMNNSXVBSSG-UHFFFAOYSA-N -1 1 310.292 1.908 20 0 DDADMM CCOCc1nc(C)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)s1 ZINC001412791993 855273344 /nfs/dbraw/zinc/27/33/44/855273344.db2.gz GKBZPGODCCDBPU-QMMMGPOBSA-N -1 1 324.410 1.377 20 0 DDADMM C[C@H]1C[C@H]1C(=O)Nc1ccc(C(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001412842742 855718535 /nfs/dbraw/zinc/71/85/35/855718535.db2.gz AHCRGDXGIQHQDJ-GZMMTYOYSA-N -1 1 300.318 1.962 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)Nc2cc(Cl)nc(N)n2)[n-]1 ZINC001412903380 855772965 /nfs/dbraw/zinc/77/29/65/855772965.db2.gz XGNXWIJAFPQJCZ-RXMQYKEDSA-N -1 1 311.733 1.180 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)Nc2cc(Cl)nc(N)n2)n[n-]1 ZINC001412903380 855772969 /nfs/dbraw/zinc/77/29/69/855772969.db2.gz XGNXWIJAFPQJCZ-RXMQYKEDSA-N -1 1 311.733 1.180 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)Nc2cc(Cl)nc(N)n2)n1 ZINC001412903380 855772973 /nfs/dbraw/zinc/77/29/73/855772973.db2.gz XGNXWIJAFPQJCZ-RXMQYKEDSA-N -1 1 311.733 1.180 20 0 DDADMM CCOC(=O)c1sc(NC(=O)c2ccc([O-])cn2)nc1C ZINC001413194132 856535730 /nfs/dbraw/zinc/53/57/30/856535730.db2.gz OAVZUFBBZVBCJC-UHFFFAOYSA-N -1 1 307.331 1.981 20 0 DDADMM Cc1conc1CS(=O)(=O)[N-][C@@H]1CCCCC12OCCO2 ZINC001413322225 856650886 /nfs/dbraw/zinc/65/08/86/856650886.db2.gz SYBSGFNLYCZZLZ-GFCCVEGCSA-N -1 1 316.379 1.088 20 0 DDADMM CC(C)(NC(=O)CCCc1nn[n-]n1)C(=O)Nc1ccccc1 ZINC001413336255 856681425 /nfs/dbraw/zinc/68/14/25/856681425.db2.gz PLODBZCJKLVHSD-UHFFFAOYSA-N -1 1 316.365 1.056 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1csc(C)n1)c1noc(C)n1 ZINC001413365067 856716667 /nfs/dbraw/zinc/71/66/67/856716667.db2.gz DCUFYOBZNIJMSC-SNVBAGLBSA-N -1 1 316.408 1.714 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)c2cncc(F)c2)sn1 ZINC001413365425 856721891 /nfs/dbraw/zinc/72/18/91/856721891.db2.gz JZHBKZQJLGPFOY-SSDOTTSWSA-N -1 1 317.367 1.725 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC(C)(C)OCC2CC2)sn1 ZINC001413371553 856725474 /nfs/dbraw/zinc/72/54/74/856725474.db2.gz AXVJYHWTOFMERX-UHFFFAOYSA-N -1 1 320.436 1.635 20 0 DDADMM CSc1nc(CNC(=O)Nc2c(C)nn(C)c2C)cc(=O)[n-]1 ZINC001413544815 857046827 /nfs/dbraw/zinc/04/68/27/857046827.db2.gz ZIYCIKZJHVQPAF-UHFFFAOYSA-N -1 1 322.394 1.576 20 0 DDADMM O=C([O-])[C@H]1CC(=O)N(CCNCc2ccc(F)c(F)c2F)C1 ZINC001328487053 863001911 /nfs/dbraw/zinc/00/19/11/863001911.db2.gz DTXMVMZYJLQZNF-VIFPVBQESA-N -1 1 316.279 1.127 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc([O-])c2F)CCN1c1cnccn1 ZINC001413820185 858566757 /nfs/dbraw/zinc/56/67/57/858566757.db2.gz HBGCMZBOYQIFPK-LLVKDONJSA-N -1 1 316.336 1.672 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1ccc2c(n1)CCC2 ZINC001123898113 859461522 /nfs/dbraw/zinc/46/15/22/859461522.db2.gz OVTKOQRUBOGXND-UHFFFAOYSA-N -1 1 302.359 1.915 20 0 DDADMM CC(C)(C)OC(=O)N1CCCC[C@H](OCC[P@](=O)([O-])O)C1 ZINC001224606302 881465591 /nfs/dbraw/zinc/46/55/91/881465591.db2.gz QWVFCELNEAREMC-NSHDSACASA-N -1 1 323.326 1.970 20 0 DDADMM O=C([O-])Cn1cc(CN2C[C@@H](F)C[C@H]2CO)c2ccccc21 ZINC001138189144 860038332 /nfs/dbraw/zinc/03/83/32/860038332.db2.gz XPMIRVRYEBHTHY-STQMWFEESA-N -1 1 306.337 1.631 20 0 DDADMM CCOC[C@@H]1CN(Cc2ncccc2[O-])Cc2nnn(C)c21 ZINC001138393131 860087473 /nfs/dbraw/zinc/08/74/73/860087473.db2.gz RYLKQKNWURGHFX-NSHDSACASA-N -1 1 303.366 1.052 20 0 DDADMM COc1cccc(C[N@H+]2CC[C@@]3(CCCO3)C2)c1OCC(=O)[O-] ZINC001139267960 860341859 /nfs/dbraw/zinc/34/18/59/860341859.db2.gz IXZZTMAYITTWBV-KRWDZBQOSA-N -1 1 321.373 1.914 20 0 DDADMM COc1cccc(CN2CC[C@@]3(CCCO3)C2)c1OCC(=O)[O-] ZINC001139267960 860341866 /nfs/dbraw/zinc/34/18/66/860341866.db2.gz IXZZTMAYITTWBV-KRWDZBQOSA-N -1 1 321.373 1.914 20 0 DDADMM [O-]c1cnccc1CN1CCn2ncc(Br)c2C1 ZINC001140279392 860609272 /nfs/dbraw/zinc/60/92/72/860609272.db2.gz OGMUZFSGCBXYJA-UHFFFAOYSA-N -1 1 309.167 1.762 20 0 DDADMM COC(=O)CO[C@H]1CCN(Cc2[nH]c(C)c(C(=O)[O-])c2C)C1 ZINC001140497559 860644896 /nfs/dbraw/zinc/64/48/96/860644896.db2.gz CSLAUKFMMHLMOH-NSHDSACASA-N -1 1 310.350 1.094 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)c1ccc(-n2cnnn2)cc1 ZINC001140653162 860668402 /nfs/dbraw/zinc/66/84/02/860668402.db2.gz XJBQSGTWMBRKIV-UHFFFAOYSA-N -1 1 317.255 1.898 20 0 DDADMM [O-]c1ccc(CN2Cc3ccnn3CC[C@H]2CO)c(F)c1F ZINC001140892674 860726398 /nfs/dbraw/zinc/72/63/98/860726398.db2.gz VZWVLHMCVDOBLH-LBPRGKRZSA-N -1 1 309.316 1.634 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2ccc([O-])c(F)c2F)CCO1 ZINC001140892874 860726810 /nfs/dbraw/zinc/72/68/10/860726810.db2.gz BYBHIAHTDQVKHF-NSHDSACASA-N -1 1 301.289 1.434 20 0 DDADMM [O-]c1c(F)cc(CN2CCN(c3cccnn3)CC2)cc1F ZINC001140991375 860753688 /nfs/dbraw/zinc/75/36/88/860753688.db2.gz OXEGDXPYEUIWFH-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2cc(F)c([O-])c(F)c2)CCC1=O ZINC001140987713 860753783 /nfs/dbraw/zinc/75/37/83/860753783.db2.gz AOVRBYANQZQHHY-JTQLQIEISA-N -1 1 313.300 1.625 20 0 DDADMM CCc1nnsc1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001361405072 881585927 /nfs/dbraw/zinc/58/59/27/881585927.db2.gz VRTZNIAVRMDSPG-UHFFFAOYSA-N -1 1 305.363 1.727 20 0 DDADMM O=C(Nc1cccc(Cn2cccnc2=O)c1)c1ccc([O-])cn1 ZINC001141891118 860964989 /nfs/dbraw/zinc/96/49/89/860964989.db2.gz FOKJAMHXDICYCU-UHFFFAOYSA-N -1 1 322.324 1.645 20 0 DDADMM CSc1nc(NC(=O)c2cccn3cnnc23)cc(=O)[n-]1 ZINC001152381895 863311816 /nfs/dbraw/zinc/31/18/16/863311816.db2.gz BVBRCBGGRCTUDK-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM CCOC(=O)[C@@H]1CSCN1C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001156762082 863329324 /nfs/dbraw/zinc/32/93/24/863329324.db2.gz JGMUYRIBELJEQJ-LBPRGKRZSA-N -1 1 323.370 1.591 20 0 DDADMM Nc1cccnc1NC1([P@](=O)([O-])O)Cc2ccccc2C1 ZINC001157155679 863619239 /nfs/dbraw/zinc/61/92/39/863619239.db2.gz CGOPOBMKSJAPLU-UHFFFAOYSA-N -1 1 305.274 1.749 20 0 DDADMM Cn1cc(CNCCSCC(=O)[O-])c(Br)n1 ZINC001329681039 863787685 /nfs/dbraw/zinc/78/76/85/863787685.db2.gz DZSZMJSPLGYAFV-UHFFFAOYSA-N -1 1 308.201 1.090 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cc2cccnc2[nH]c1=O ZINC001153739862 864051632 /nfs/dbraw/zinc/05/16/32/864051632.db2.gz LRWGOSRKOGQJJX-UHFFFAOYSA-N -1 1 324.222 1.725 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc2cnccc2n1 ZINC001153810957 864123412 /nfs/dbraw/zinc/12/34/12/864123412.db2.gz FJMIPPXZZXXDBO-UHFFFAOYSA-N -1 1 311.349 1.441 20 0 DDADMM CC(C)(C)c1nnc(NC(=O)c2cnc3cccnc3c2[O-])o1 ZINC001153854317 864160568 /nfs/dbraw/zinc/16/05/68/864160568.db2.gz VRJUXIIVKRUAPP-UHFFFAOYSA-N -1 1 313.317 1.856 20 0 DDADMM Cc1cc(C)c(CNC(=O)c2c[n-]c3cccnc3c2=O)c(=O)[nH]1 ZINC001153859903 864165317 /nfs/dbraw/zinc/16/53/17/864165317.db2.gz ARFVHBNCEBHRTM-UHFFFAOYSA-N -1 1 324.340 1.571 20 0 DDADMM CS[C@@H](C)CNC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001330224172 864170836 /nfs/dbraw/zinc/17/08/36/864170836.db2.gz BLQYETVVCWNVDA-QMMMGPOBSA-N -1 1 316.810 1.530 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1c[nH]c2ccc(F)cc21 ZINC001154137103 864441045 /nfs/dbraw/zinc/44/10/45/864441045.db2.gz BYHWTPCVMRXBGE-UHFFFAOYSA-N -1 1 314.253 1.995 20 0 DDADMM C[C@@H](NC(=O)c1nnc2ccccc2c1O)c1nn(C)cc1[O-] ZINC001158308961 864564649 /nfs/dbraw/zinc/56/46/49/864564649.db2.gz ZTNOSYSRSJKUSO-MRVPVSSYSA-N -1 1 313.317 1.266 20 0 DDADMM COc1cnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(Cl)c1 ZINC001159189931 865156192 /nfs/dbraw/zinc/15/61/92/865156192.db2.gz PEFFPDJLZBMHOY-UHFFFAOYSA-N -1 1 308.685 1.516 20 0 DDADMM Cc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc(C(C)C)n1 ZINC001159189241 865156355 /nfs/dbraw/zinc/15/63/55/865156355.db2.gz IXNNOCVRQDSDFW-UHFFFAOYSA-N -1 1 301.310 1.681 20 0 DDADMM C[C@@H]1CCc2nnc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)cc2C1 ZINC001159196732 865160331 /nfs/dbraw/zinc/16/03/31/865160331.db2.gz ZVVXWUIJIVIWFP-ZCFIWIBFSA-N -1 1 313.321 1.374 20 0 DDADMM CC(=O)N[C@H](CC(=O)N(C)c1nn[n-]n1)c1ccc(Cl)cc1 ZINC001361565631 881906039 /nfs/dbraw/zinc/90/60/39/881906039.db2.gz OJAZAYFVBSPJMX-LLVKDONJSA-N -1 1 322.756 1.083 20 0 DDADMM O=C([N-]c1ncn(Cc2cccnc2)n1)c1coc(C2CC2)n1 ZINC001320441532 866741628 /nfs/dbraw/zinc/74/16/28/866741628.db2.gz AVUGFTQXEXNLQI-UHFFFAOYSA-N -1 1 310.317 1.839 20 0 DDADMM C[C@@H](O)[C@@H](CO)Nc1ccc([C@H](C)[N-]C(=O)C(F)(F)F)cc1 ZINC001161782232 866989954 /nfs/dbraw/zinc/98/99/54/866989954.db2.gz JBKCBQNRIIXGJU-YGOYTEALSA-N -1 1 320.311 1.580 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@]12C[C@H]1CCCC2 ZINC001320837148 867064022 /nfs/dbraw/zinc/06/40/22/867064022.db2.gz KKPZTNVEIVPJRB-IAQYHMDHSA-N -1 1 307.398 1.029 20 0 DDADMM C/C=C(/C)C(=O)NCC(C)(C)CN(C)C(=O)c1ncccc1[O-] ZINC001334040516 867257843 /nfs/dbraw/zinc/25/78/43/867257843.db2.gz WVWHBKQMXKTLOC-SDQBBNPISA-N -1 1 319.405 1.968 20 0 DDADMM CC=C(C)C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001324463800 867290762 /nfs/dbraw/zinc/29/07/62/867290762.db2.gz FFJIBNIQRREMAI-QNDISFKXSA-N -1 1 307.398 1.241 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1OC1CC(C)(C(=O)OC)C1 ZINC001225900905 882100927 /nfs/dbraw/zinc/10/09/27/882100927.db2.gz JSQCZJBVVOUZJJ-UHFFFAOYSA-N -1 1 310.306 1.079 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H](C)n1ccnc1 ZINC001321832415 867822394 /nfs/dbraw/zinc/82/23/94/867822394.db2.gz OFRGVTOFZANNLE-LLVKDONJSA-N -1 1 314.349 1.126 20 0 DDADMM CCOCC[C@H](NCc1cn(Cc2ccccc2)nn1)C(=O)[O-] ZINC001335191669 868124645 /nfs/dbraw/zinc/12/46/45/868124645.db2.gz GFTVTFKZKWPFIN-HNNXBMFYSA-N -1 1 318.377 1.296 20 0 DDADMM Cc1c(C(=O)COC(=O)c2cn[n-]n2)cnn1-c1ccccc1 ZINC001322670308 868316540 /nfs/dbraw/zinc/31/65/40/868316540.db2.gz IALMDQMFSKBGNE-UHFFFAOYSA-N -1 1 311.301 1.339 20 0 DDADMM O=C(COC(=O)c1cnn2ccncc12)c1ccc([O-])cc1O ZINC001322865847 868433621 /nfs/dbraw/zinc/43/36/21/868433621.db2.gz CPLLPYRLEFFTFU-UHFFFAOYSA-N -1 1 313.269 1.180 20 0 DDADMM O=C([N-]CCNc1ccc([C@@H]2CCC(=O)N2)cc1)C(F)(F)F ZINC001164251383 869060639 /nfs/dbraw/zinc/06/06/39/869060639.db2.gz UEPFOEQAFDURMJ-NSHDSACASA-N -1 1 315.295 1.728 20 0 DDADMM CCC(CC)(NC(=O)C=C(C)c1cnccn1)c1nn[n-]n1 ZINC001297487299 870072581 /nfs/dbraw/zinc/07/25/81/870072581.db2.gz IFDCEMJTOZCBAZ-CSKARUKUSA-N -1 1 301.354 1.225 20 0 DDADMM Cc1ccccc1[C@@H]1CCCN1c1nnc(Cc2nnn[n-]2)n1C ZINC001338908504 870235993 /nfs/dbraw/zinc/23/59/93/870235993.db2.gz JROHHSGHCRSDGS-ZDUSSCGKSA-N -1 1 324.392 1.569 20 0 DDADMM Cc1ccccc1[C@@H]1CCCN1c1nnc(Cc2nn[n-]n2)n1C ZINC001338908504 870236006 /nfs/dbraw/zinc/23/60/06/870236006.db2.gz JROHHSGHCRSDGS-ZDUSSCGKSA-N -1 1 324.392 1.569 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CC2(CCC2)c2ccccc21 ZINC001338909816 870237071 /nfs/dbraw/zinc/23/70/71/870237071.db2.gz SHVYCBNRYRGMFW-UHFFFAOYSA-N -1 1 322.376 1.492 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CC2(CCC2)c2ccccc21 ZINC001338909816 870237085 /nfs/dbraw/zinc/23/70/85/870237085.db2.gz SHVYCBNRYRGMFW-UHFFFAOYSA-N -1 1 322.376 1.492 20 0 DDADMM O=C(NC/C=C/CNC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ncccc1[O-] ZINC001298356594 870498433 /nfs/dbraw/zinc/49/84/33/870498433.db2.gz KZRJOPVCBBUQJV-DRTHLIACSA-N -1 1 315.373 1.236 20 0 DDADMM Cc1ccc(C[C@@H]2CCCN2c2nnc(-c3nnn[n-]3)n2C)cc1 ZINC001339441831 870498814 /nfs/dbraw/zinc/49/88/14/870498814.db2.gz XXKKZZBZSNDDRC-ZDUSSCGKSA-N -1 1 324.392 1.515 20 0 DDADMM Cc1ccc(C[C@@H]2CCCN2c2nnc(-c3nn[n-]n3)n2C)cc1 ZINC001339441831 870498821 /nfs/dbraw/zinc/49/88/21/870498821.db2.gz XXKKZZBZSNDDRC-ZDUSSCGKSA-N -1 1 324.392 1.515 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CCc2cc(C)ccc2C1 ZINC001339514239 870534975 /nfs/dbraw/zinc/53/49/75/870534975.db2.gz MKUJLVHUPBCULW-UHFFFAOYSA-N -1 1 324.392 1.273 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CCc2cc(C)ccc2C1 ZINC001339514239 870534991 /nfs/dbraw/zinc/53/49/91/870534991.db2.gz MKUJLVHUPBCULW-UHFFFAOYSA-N -1 1 324.392 1.273 20 0 DDADMM CCNC(=O)c1ccc(NC(=O)CCCc2nn[n-]n2)c(C)c1 ZINC001361826847 882448133 /nfs/dbraw/zinc/44/81/33/882448133.db2.gz PURMKJYTOBTGMF-UHFFFAOYSA-N -1 1 316.365 1.219 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CCn2nccc2C1 ZINC001301155872 870978167 /nfs/dbraw/zinc/97/81/67/870978167.db2.gz DDYDPHQVBZRPNO-UHFFFAOYSA-N -1 1 312.304 1.932 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N(C)Cc1ccccc1 ZINC001340388868 871074942 /nfs/dbraw/zinc/07/49/42/871074942.db2.gz GPJFEXJIQWELPL-UHFFFAOYSA-N -1 1 300.322 1.278 20 0 DDADMM O=C(NCc1cc(=O)c2ccccc2[nH]1)C(=O)c1ccc([O-])cc1 ZINC001302806702 871084752 /nfs/dbraw/zinc/08/47/52/871084752.db2.gz VGICLGPOJVTTJH-UHFFFAOYSA-N -1 1 322.320 1.733 20 0 DDADMM O=C(CCCOc1cccc(F)c1)NC1(c2nn[n-]n2)CCC1 ZINC001303221332 871111283 /nfs/dbraw/zinc/11/12/83/871111283.db2.gz FOKRWFVKQLWOEY-UHFFFAOYSA-N -1 1 319.340 1.693 20 0 DDADMM Cn1cccc1-c1nnc(C[N-]S(=O)(=O)c2cccs2)o1 ZINC001341507926 871685700 /nfs/dbraw/zinc/68/57/00/871685700.db2.gz ZQPFXQJJCQXMHZ-UHFFFAOYSA-N -1 1 324.387 1.615 20 0 DDADMM Cc1nc(C2(NC(=O)CCCc3nn[n-]n3)CCCCC2)no1 ZINC001361892828 882590090 /nfs/dbraw/zinc/59/00/90/882590090.db2.gz YXZZAEDVYAHENG-UHFFFAOYSA-N -1 1 319.369 1.190 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1C[C@@H](C)C[C@H]1C ZINC001342472705 872224019 /nfs/dbraw/zinc/22/40/19/872224019.db2.gz CZXQKORMCHTKCH-WDEREUQCSA-N -1 1 320.401 1.120 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1C[C@@H](C)C[C@H]1C ZINC001342472705 872224035 /nfs/dbraw/zinc/22/40/35/872224035.db2.gz CZXQKORMCHTKCH-WDEREUQCSA-N -1 1 320.401 1.120 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1CCC[C@H](C)C1 ZINC001342557495 872263234 /nfs/dbraw/zinc/26/32/34/872263234.db2.gz CTVXVDQJQWZQAV-NSHDSACASA-N -1 1 320.401 1.121 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1CCC[C@H](C)C1 ZINC001342557495 872263250 /nfs/dbraw/zinc/26/32/50/872263250.db2.gz CTVXVDQJQWZQAV-NSHDSACASA-N -1 1 320.401 1.121 20 0 DDADMM CSCC[C@H](NC(=O)Nc1cccnc1F)c1nn[n-]n1 ZINC001206796677 872596860 /nfs/dbraw/zinc/59/68/60/872596860.db2.gz ZSOMPXRRSPWSAB-QMMMGPOBSA-N -1 1 311.346 1.350 20 0 DDADMM CCOC(=O)Cc1cccc(NC(=O)CCCc2nn[n-]n2)c1 ZINC001361917431 882636048 /nfs/dbraw/zinc/63/60/48/882636048.db2.gz NANBZDFYKLUKEQ-UHFFFAOYSA-N -1 1 317.349 1.267 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cc(C)ncn2)[n-]c1Cl ZINC001361958802 882711187 /nfs/dbraw/zinc/71/11/87/882711187.db2.gz BFPOKZICRXPKJC-UHFFFAOYSA-N -1 1 309.713 1.591 20 0 DDADMM CCCN(C)c1nnc(-c2nnn[n-]2)n1Cc1cccs1 ZINC001345949613 873568594 /nfs/dbraw/zinc/56/85/94/873568594.db2.gz WGKLFEREZDGKAE-UHFFFAOYSA-N -1 1 304.383 1.414 20 0 DDADMM CCCN(C)c1nnc(-c2nn[n-]n2)n1Cc1cccs1 ZINC001345949613 873568600 /nfs/dbraw/zinc/56/86/00/873568600.db2.gz WGKLFEREZDGKAE-UHFFFAOYSA-N -1 1 304.383 1.414 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCN(C)CC(F)(F)F ZINC001346493873 873775848 /nfs/dbraw/zinc/77/58/48/873775848.db2.gz AXOUZHDFCFGJDH-UHFFFAOYSA-N -1 1 324.328 1.003 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC[C@@H](O)C1CCCC1 ZINC001347199384 874051788 /nfs/dbraw/zinc/05/17/88/874051788.db2.gz WYPTXHHVBZCKGN-SNVBAGLBSA-N -1 1 311.407 1.450 20 0 DDADMM CCC[C@](C)(CC)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001378134746 874219066 /nfs/dbraw/zinc/21/90/66/874219066.db2.gz QLNXOHDYLJBXRH-WBMJQRKESA-N -1 1 323.441 1.015 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](c1ccccc1)[C@H](C)O ZINC001347842690 874289561 /nfs/dbraw/zinc/28/95/61/874289561.db2.gz YOOMVOXBWBBMGA-CABZTGNLSA-N -1 1 319.386 1.631 20 0 DDADMM O=C(c1sccc1-n1cccc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347974447 874324883 /nfs/dbraw/zinc/32/48/83/874324883.db2.gz KNVBIKRIWRCOKG-JTQLQIEISA-N -1 1 314.374 1.682 20 0 DDADMM Cc1cnc(Cl)c(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)c1 ZINC001348164132 874390851 /nfs/dbraw/zinc/39/08/51/874390851.db2.gz AYSCGBISZJJOJE-SNVBAGLBSA-N -1 1 320.784 1.651 20 0 DDADMM CS(=O)(=O)Cc1cccc(CNC(=O)c2ccc([O-])cn2)c1 ZINC001362023170 882851344 /nfs/dbraw/zinc/85/13/44/882851344.db2.gz NOKACIGDHPKASX-UHFFFAOYSA-N -1 1 320.370 1.262 20 0 DDADMM NC(=O)N1CC[C@@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC001362054783 882925963 /nfs/dbraw/zinc/92/59/63/882925963.db2.gz RKRLFFPSVHHHFT-SNVBAGLBSA-N -1 1 315.329 1.134 20 0 DDADMM CC1(C(=O)NC2(CNC(=O)c3ncccc3[O-])CC2)CCCC1 ZINC001378751552 875549627 /nfs/dbraw/zinc/54/96/27/875549627.db2.gz VYIRZJWBODWKLA-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM OC[C@@H]1CC[C@H](n2cnc3c2ncnc3[N-]c2nccs2)O1 ZINC001210990853 875619291 /nfs/dbraw/zinc/61/92/91/875619291.db2.gz OXQREYLXPAOSAL-DTWKUNHWSA-N -1 1 318.362 1.696 20 0 DDADMM Nc1ncc2cc(Nc3c(N)[nH]c(=S)[n-]c3=O)ccc2n1 ZINC001213108830 875831283 /nfs/dbraw/zinc/83/12/83/875831283.db2.gz XVRBVDUUQXOKSN-UHFFFAOYSA-N -1 1 301.335 1.322 20 0 DDADMM CCOC(=O)N1CCC(Oc2[n-]c(=S)ncc2F)CC1 ZINC001227383467 882991126 /nfs/dbraw/zinc/99/11/26/882991126.db2.gz NISTYAXGXYMWCQ-UHFFFAOYSA-N -1 1 301.343 1.904 20 0 DDADMM CC1(C)OC[C@H]2OC=C[C@@H](Oc3[n-]c(=S)ncc3F)[C@@H]2O1 ZINC001227387124 882992865 /nfs/dbraw/zinc/99/28/65/882992865.db2.gz UBVQGNPCRKTDJX-BBBLOLIVSA-N -1 1 314.338 1.716 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(OCc2nn[n-]n2)cc1)[C@@H]1CCCO1 ZINC001362105519 883050042 /nfs/dbraw/zinc/05/00/42/883050042.db2.gz ZWUJBAGURFZSKI-MFKMUULPSA-N -1 1 317.349 1.532 20 0 DDADMM CCCC1(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001215360095 876675600 /nfs/dbraw/zinc/67/56/00/876675600.db2.gz ULJNGRJXBMBIDL-VXGBXAGGSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1ccc(CC(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)s1 ZINC001379318770 876810254 /nfs/dbraw/zinc/81/02/54/876810254.db2.gz FMLZBAHJDOKKRH-SECBINFHSA-N -1 1 323.422 1.059 20 0 DDADMM COc1ncc(Nc2ccc(F)nc2)cc1[N-]S(C)(=O)=O ZINC001216151778 876877267 /nfs/dbraw/zinc/87/72/67/876877267.db2.gz HZKVFTBMUGALLQ-UHFFFAOYSA-N -1 1 312.326 1.739 20 0 DDADMM O=C(N[C@@H]1CCN(Cc2ccccc2)C1=O)c1ccc([O-])cn1 ZINC001362113688 883074057 /nfs/dbraw/zinc/07/40/57/883074057.db2.gz RCOSTSWXORMZBK-OAHLLOKOSA-N -1 1 311.341 1.318 20 0 DDADMM CC1(C)CC[C@@H](C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001379900959 878268218 /nfs/dbraw/zinc/26/82/18/878268218.db2.gz HOQSSERUMVUQMZ-NEPJUHHUSA-N -1 1 321.425 1.321 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)C1CC=CC1 ZINC001287599314 912338556 /nfs/dbraw/zinc/33/85/56/912338556.db2.gz XLNFIIMSEZDKAN-LLVKDONJSA-N -1 1 303.362 1.330 20 0 DDADMM Cn1c(-c2cc(Cl)ncc2[O-])nnc1N1C[C@@H]2COC[C@@H]2C1 ZINC001355514866 878593247 /nfs/dbraw/zinc/59/32/47/878593247.db2.gz YJGUVCYWSNKHLV-DTORHVGOSA-N -1 1 321.768 1.319 20 0 DDADMM CCN(Cc1ccccc1F)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001355764895 878706876 /nfs/dbraw/zinc/70/68/76/878706876.db2.gz MLSDWLPWUSEQAO-UHFFFAOYSA-N -1 1 318.312 1.324 20 0 DDADMM Cc1nc(CNC2(CNC(=O)c3ncccc3[O-])CC2)co1 ZINC001380067724 878707366 /nfs/dbraw/zinc/70/73/66/878707366.db2.gz DAUDQNQFRUXIDT-UHFFFAOYSA-N -1 1 302.334 1.136 20 0 DDADMM O=C([O-])[C@@H]1CCCC[C@@H]1C(=O)N1CCN(C2CCCC2)CC1 ZINC000315117313 878953878 /nfs/dbraw/zinc/95/38/78/878953878.db2.gz WRMGEKWKYTZZJE-LSDHHAIUSA-N -1 1 308.422 1.964 20 0 DDADMM O=C([O-])[C@@]1(C(=O)Nc2cc(Cl)cc(Cl)c2)CNCCO1 ZINC001358185155 880361795 /nfs/dbraw/zinc/36/17/95/880361795.db2.gz IHSOHNLPKMLCQO-LBPRGKRZSA-N -1 1 319.144 1.375 20 0 DDADMM CCC[C@H](OC)C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001380849804 880520355 /nfs/dbraw/zinc/52/03/55/880520355.db2.gz QMLFNRALDXXVOB-YPMHNXCESA-N -1 1 323.393 1.179 20 0 DDADMM C[C@@H](NC(=O)C(C)(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001381224264 881316850 /nfs/dbraw/zinc/31/68/50/881316850.db2.gz AMZZGGMMLDATEK-SNVBAGLBSA-N -1 1 305.378 1.410 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](CO)c2ccccc2)c(=O)[n-]1 ZINC001362243317 883391780 /nfs/dbraw/zinc/39/17/80/883391780.db2.gz JSCHORDHQITTBX-LLVKDONJSA-N -1 1 319.386 1.410 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)Cc2cc(C)n[nH]2)c(=O)[n-]1 ZINC001362281870 883473879 /nfs/dbraw/zinc/47/38/79/883473879.db2.gz CMEDJZBZAUSHKF-ZETCQYMHSA-N -1 1 307.379 1.297 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](OC1=NC(=O)CC([O-])=N1)C(F)(F)F ZINC001228391610 883479449 /nfs/dbraw/zinc/47/94/49/883479449.db2.gz XEYNGPPEOSTUDA-YLWLKBPMSA-N -1 1 310.228 1.397 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2CC[C@@H]1[C@H]2Oc1cnnc(=S)[n-]1 ZINC001228636313 883583729 /nfs/dbraw/zinc/58/37/29/883583729.db2.gz LIGHXWPYAPIPDQ-KKZNHRDASA-N -1 1 324.406 1.937 20 0 DDADMM CC(C)[C@H](C)C(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001382262617 883706182 /nfs/dbraw/zinc/70/61/82/883706182.db2.gz UWJOBPZRSZSIBW-NEPJUHHUSA-N -1 1 307.394 1.656 20 0 DDADMM O=C1[N-]C(=O)[C@@H](Cc2ccc(OC[C@@H]3COCCO3)cc2)S1 ZINC001229616011 884079793 /nfs/dbraw/zinc/07/97/93/884079793.db2.gz FSGMKRIPHLENEX-QWHCGFSZSA-N -1 1 323.370 1.375 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1cccc(O)c1 ZINC001362558632 884091669 /nfs/dbraw/zinc/09/16/69/884091669.db2.gz AZOQFGFHZQADED-NSHDSACASA-N -1 1 315.377 1.589 20 0 DDADMM CC(C)(C)OC(=O)NCCC(C)(C)NC(=O)c1cnncc1[O-] ZINC001362566664 884111582 /nfs/dbraw/zinc/11/15/82/884111582.db2.gz CGVQWTWGJZBMQP-UHFFFAOYSA-N -1 1 324.381 1.605 20 0 DDADMM COC(=O)C[C@H]1CCC[C@H](Oc2nc(C)[n-]c(=O)c2OC)C1 ZINC001230024333 884284692 /nfs/dbraw/zinc/28/46/92/884284692.db2.gz FQKLHLXRILSUBA-QWRGUYRKSA-N -1 1 310.350 2.000 20 0 DDADMM C[S@](=O)Cc1ccc(CNC(=O)c2ccc([O-])cn2)cc1 ZINC001362639860 884297093 /nfs/dbraw/zinc/29/70/93/884297093.db2.gz OCNPGBZJVRAKGS-NRFANRHFSA-N -1 1 304.371 1.596 20 0 DDADMM CC(C)(C)OC(=O)c1cc(C(=O)Nc2ncccc2O)[n-]n1 ZINC001362645786 884309656 /nfs/dbraw/zinc/30/96/56/884309656.db2.gz CPNBOZWIBMVGSB-UHFFFAOYSA-N -1 1 304.306 1.718 20 0 DDADMM CC(C)CN1CCN(C(=O)c2ccc(F)c([O-])c2)[C@@H](C)C1=O ZINC001362677450 884394325 /nfs/dbraw/zinc/39/43/25/884394325.db2.gz LASCEWVKXOKQLJ-NSHDSACASA-N -1 1 308.353 1.860 20 0 DDADMM C[C@@H]1CN(CCN(C)C(=O)c2ccc(F)c([O-])c2)C[C@H](C)O1 ZINC001362840277 884769293 /nfs/dbraw/zinc/76/92/93/884769293.db2.gz ZOCRCKWECRZCFY-TXEJJXNPSA-N -1 1 310.369 1.713 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(COC)o2)n[n-]1 ZINC001362931458 885016251 /nfs/dbraw/zinc/01/62/51/885016251.db2.gz FKRPSOZSJIAALG-MRVPVSSYSA-N -1 1 322.321 1.212 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(COC)o2)[n-]1 ZINC001362931458 885016260 /nfs/dbraw/zinc/01/62/60/885016260.db2.gz FKRPSOZSJIAALG-MRVPVSSYSA-N -1 1 322.321 1.212 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(COC)o2)n1 ZINC001362931458 885016272 /nfs/dbraw/zinc/01/62/72/885016272.db2.gz FKRPSOZSJIAALG-MRVPVSSYSA-N -1 1 322.321 1.212 20 0 DDADMM CN(C(=O)C1CC1)c1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001362942649 885044801 /nfs/dbraw/zinc/04/48/01/885044801.db2.gz XCHFXGIGBJTOET-UHFFFAOYSA-N -1 1 314.349 1.144 20 0 DDADMM CCN(CCNC(=O)OC(C)(C)C)C(=O)c1cnncc1[O-] ZINC001362965975 885105146 /nfs/dbraw/zinc/10/51/46/885105146.db2.gz ICXBRRXHZOHRBZ-UHFFFAOYSA-N -1 1 310.354 1.169 20 0 DDADMM Cn1nccc1[C@H]1C[C@@H](NC(=O)c2cncc([O-])c2)CCO1 ZINC001362967747 885110648 /nfs/dbraw/zinc/11/06/48/885110648.db2.gz QGVPPVDUESSHKN-SMDDNHRTSA-N -1 1 302.334 1.171 20 0 DDADMM Cc1c[nH]c(C2(NC(=O)c3cccc([O-])c3F)CCOCC2)n1 ZINC001362989717 885161343 /nfs/dbraw/zinc/16/13/43/885161343.db2.gz JRHZKCJODRZJMU-UHFFFAOYSA-N -1 1 319.336 1.999 20 0 DDADMM CSc1ncc(C(=O)N2CCC(c3nnc[nH]3)CC2)c(=O)[n-]1 ZINC001362996523 885182611 /nfs/dbraw/zinc/18/26/11/885182611.db2.gz CDMFGAIPKOHSKE-UHFFFAOYSA-N -1 1 320.378 1.042 20 0 DDADMM CC(C)(C)C[C@@H]1C[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC001363021981 885249843 /nfs/dbraw/zinc/24/98/43/885249843.db2.gz JGGRLPCGAGGIJH-WDEREUQCSA-N -1 1 305.378 1.458 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H](C)c2ccco2)n[n-]1 ZINC001363045275 885317263 /nfs/dbraw/zinc/31/72/63/885317263.db2.gz GCSHELQFYMZKHP-UWVGGRQHSA-N -1 1 320.349 1.945 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H](C)c2ccco2)[n-]1 ZINC001363045275 885317284 /nfs/dbraw/zinc/31/72/84/885317284.db2.gz GCSHELQFYMZKHP-UWVGGRQHSA-N -1 1 320.349 1.945 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H](C)c2ccco2)n1 ZINC001363045275 885317298 /nfs/dbraw/zinc/31/72/98/885317298.db2.gz GCSHELQFYMZKHP-UWVGGRQHSA-N -1 1 320.349 1.945 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(F)ccc2[O-])CCN1c1cnccn1 ZINC001363079357 885411987 /nfs/dbraw/zinc/41/19/87/885411987.db2.gz PXNXDZPTGLCVBR-NSHDSACASA-N -1 1 316.336 1.672 20 0 DDADMM CCC(CC)(NC(=O)c1cnc2n1CCCCC2)c1nn[n-]n1 ZINC001363138316 885558156 /nfs/dbraw/zinc/55/81/56/885558156.db2.gz TVOOIWKLPBZJCQ-UHFFFAOYSA-N -1 1 317.397 1.568 20 0 DDADMM NC(=O)[C@H](Cc1ccc(Cl)cc1)NC(=O)c1ccc([O-])cn1 ZINC001363160700 885611558 /nfs/dbraw/zinc/61/15/58/885611558.db2.gz QZOHUZMWJGZLAK-ZDUSSCGKSA-N -1 1 319.748 1.267 20 0 DDADMM CC(C)(C)C[C@@H](O)CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363206638 885711010 /nfs/dbraw/zinc/71/10/10/885711010.db2.gz JRPKULAZMBCIFH-JTQLQIEISA-N -1 1 323.393 1.058 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H](C)[C@H]2CCCO2)n[n-]1 ZINC001363229287 885768826 /nfs/dbraw/zinc/76/88/26/885768826.db2.gz AVPYPOTXVSZNLR-OUAUKWLOSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H](C)[C@H]2CCCO2)[n-]1 ZINC001363229287 885768837 /nfs/dbraw/zinc/76/88/37/885768837.db2.gz AVPYPOTXVSZNLR-OUAUKWLOSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H](C)[C@H]2CCCO2)n1 ZINC001363229287 885768846 /nfs/dbraw/zinc/76/88/46/885768846.db2.gz AVPYPOTXVSZNLR-OUAUKWLOSA-N -1 1 324.381 1.364 20 0 DDADMM CSc1ncc(C(=O)N2C[C@]3(C)COC[C@]3(C)C2)c(=O)[n-]1 ZINC001363229130 885768864 /nfs/dbraw/zinc/76/88/64/885768864.db2.gz VUATWIFPDDTYOK-OKILXGFUSA-N -1 1 309.391 1.403 20 0 DDADMM CC(=O)N[C@H]1CCCN(Cc2ccccc2OCC(=O)[O-])C1 ZINC001231792102 885873534 /nfs/dbraw/zinc/87/35/34/885873534.db2.gz KRCXJJFNYSGUPU-AWEZNQCLSA-N -1 1 306.362 1.251 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cccc2c1OCCCO2 ZINC001363301487 885954053 /nfs/dbraw/zinc/95/40/53/885954053.db2.gz IJAZLMXZKSHFJO-UHFFFAOYSA-N -1 1 303.322 1.322 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(C)(CC(F)(F)F)C1 ZINC001363318528 886009504 /nfs/dbraw/zinc/00/95/04/886009504.db2.gz HDQPVYKUFYPQTN-UHFFFAOYSA-N -1 1 321.255 1.311 20 0 DDADMM COc1nscc1[N-]C(=O)c1ncc(Br)cn1 ZINC001363375672 886170251 /nfs/dbraw/zinc/17/02/51/886170251.db2.gz DCGAEHRPQVTHFY-UHFFFAOYSA-N -1 1 315.152 1.957 20 0 DDADMM C[C@@H](COCC(=O)NC1(c2nn[n-]n2)CCC1)c1ccccc1 ZINC001363376279 886170573 /nfs/dbraw/zinc/17/05/73/886170573.db2.gz OZGPFEOZUPIEQQ-LBPRGKRZSA-N -1 1 315.377 1.515 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(S(C)(=O)=O)s1 ZINC001363376413 886172808 /nfs/dbraw/zinc/17/28/08/886172808.db2.gz MTZCGATUZHLCEU-UHFFFAOYSA-N -1 1 301.349 1.141 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnncc1[O-])NC(=O)OC(C)(C)C ZINC001363413325 886269805 /nfs/dbraw/zinc/26/98/05/886269805.db2.gz OPBHVLVCLFIQPM-VIFPVBQESA-N -1 1 310.354 1.167 20 0 DDADMM COC[C@@H](NC(=O)c1cc2c(s1)CC[C@H](C)C2)c1nn[n-]n1 ZINC001363440294 886340981 /nfs/dbraw/zinc/34/09/81/886340981.db2.gz YKOSYIZSQNWSNU-WCBMZHEXSA-N -1 1 321.406 1.504 20 0 DDADMM CC(C)(C)[C@H](NC(=O)c1[nH]nc2c1CCCC2)c1nc(=O)o[n-]1 ZINC001363455150 886386411 /nfs/dbraw/zinc/38/64/11/886386411.db2.gz BVJSBQVIBWSIMX-LLVKDONJSA-N -1 1 319.365 1.482 20 0 DDADMM CSc1cccnc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC001363473972 886436357 /nfs/dbraw/zinc/43/63/57/886436357.db2.gz CFIMSRBYTGFMIZ-UHFFFAOYSA-N -1 1 318.358 1.273 20 0 DDADMM CSCC[C@H](NC(=O)c1coc(C(C)(C)C)n1)c1nn[n-]n1 ZINC001363520035 886542874 /nfs/dbraw/zinc/54/28/74/886542874.db2.gz CUMBWKBDFAICON-QMMMGPOBSA-N -1 1 324.410 1.709 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1cccc2c1NC(=O)CO2 ZINC001363534992 886572197 /nfs/dbraw/zinc/57/21/97/886572197.db2.gz GMKOVQQKJJTULZ-UHFFFAOYSA-N -1 1 314.297 1.984 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H](C)Oc1ccccn1 ZINC001363543434 886595600 /nfs/dbraw/zinc/59/56/00/886595600.db2.gz IKMSNOVPAVPFFT-NSHDSACASA-N -1 1 304.354 1.047 20 0 DDADMM CCCCn1cc(C(=O)NC2(c3nn[n-]n3)CCC2)c(C)n1 ZINC001363548334 886608808 /nfs/dbraw/zinc/60/88/08/886608808.db2.gz IKBBXSREVPZUPO-UHFFFAOYSA-N -1 1 303.370 1.314 20 0 DDADMM CO[C@@H](C(=O)N(Cc1nn[n-]n1)CC(C)C)C1CCCCC1 ZINC001363549588 886614011 /nfs/dbraw/zinc/61/40/11/886614011.db2.gz XJKGQLMDZYYXOH-CQSZACIVSA-N -1 1 309.414 1.780 20 0 DDADMM COC[C@H]1CN(Cc2cc([O-])c(F)c(F)c2)Cc2nnn(C)c21 ZINC001232972714 886702670 /nfs/dbraw/zinc/70/26/70/886702670.db2.gz VIDGURZIXPUQCO-SNVBAGLBSA-N -1 1 324.331 1.545 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CCN(c2cnccn2)CC1 ZINC001233033061 886739674 /nfs/dbraw/zinc/73/96/74/886739674.db2.gz YUUDYMNDXDREAZ-UHFFFAOYSA-N -1 1 305.769 1.553 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)c1coc(C2CC2)n1 ZINC001363692636 886993041 /nfs/dbraw/zinc/99/30/41/886993041.db2.gz VTXHEEXIDCZDTI-UHFFFAOYSA-N -1 1 316.317 1.050 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H]1CCCn2cc(C)nc21 ZINC001233760738 887294333 /nfs/dbraw/zinc/29/43/33/887294333.db2.gz XBNYZXQIZFHEIN-VIFPVBQESA-N -1 1 304.306 1.388 20 0 DDADMM COc1ccc(F)cc1CC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363822358 887315844 /nfs/dbraw/zinc/31/58/44/887315844.db2.gz QZAJRNZVAXUAQA-UHFFFAOYSA-N -1 1 305.313 1.086 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC1CC(C)C1)c1ccsc1 ZINC001363857163 887408785 /nfs/dbraw/zinc/40/87/85/887408785.db2.gz GLJZYVLTJSZYGZ-RTYFJBAXSA-N -1 1 317.432 1.928 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2ccc(Cl)nn2)n1 ZINC001363919617 887541774 /nfs/dbraw/zinc/54/17/74/887541774.db2.gz OMZIRINOTUOESM-SSDOTTSWSA-N -1 1 310.745 1.276 20 0 DDADMM O=S(=O)([N-]Cc1ccno1)c1ncccc1Br ZINC001363959030 887614981 /nfs/dbraw/zinc/61/49/81/887614981.db2.gz ASQVJPXPPVCPBB-UHFFFAOYSA-N -1 1 318.152 1.311 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CCC(C)(C)C ZINC001363969124 887636061 /nfs/dbraw/zinc/63/60/61/887636061.db2.gz BPHNTGQELVDACQ-SECBINFHSA-N -1 1 317.411 1.689 20 0 DDADMM O=S(=O)([N-]Cc1ncc(Cl)s1)c1c[nH]nc1Cl ZINC001364043984 887784598 /nfs/dbraw/zinc/78/45/98/887784598.db2.gz ZPNDZGZRRDKRCX-UHFFFAOYSA-N -1 1 313.191 1.652 20 0 DDADMM C[C@@H]1CN(c2ccc(C(=O)Nc3c[n-][nH]c3=O)cn2)C[C@@H](C)O1 ZINC001364095368 887907178 /nfs/dbraw/zinc/90/71/78/887907178.db2.gz SANFLTSJUFKOFC-NXEZZACHSA-N -1 1 317.349 1.376 20 0 DDADMM C[C@H]1Oc2ccccc2N(CCC(=O)Nc2c[n-][nH]c2=O)C1=O ZINC001364098507 887913670 /nfs/dbraw/zinc/91/36/70/887913670.db2.gz WSWBZXMMZGJRDE-SECBINFHSA-N -1 1 316.317 1.258 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@@H](C)CCc2ccccc2)c(=O)[n-]1 ZINC001234470375 888002213 /nfs/dbraw/zinc/00/22/13/888002213.db2.gz RLLAUDBZKRBQIN-JTQLQIEISA-N -1 1 301.346 1.990 20 0 DDADMM COc1ccccc1[C@@H](C)Oc1c(C(N)=O)nc(C)[n-]c1=O ZINC001234472412 888003824 /nfs/dbraw/zinc/00/38/24/888003824.db2.gz PMCUPCCLMMPXGA-MRVPVSSYSA-N -1 1 303.318 1.738 20 0 DDADMM CC[C@H]1OC(=O)C(Oc2c(C(N)=O)nc(C(C)C)[n-]c2=O)=C1C ZINC001234560192 888094702 /nfs/dbraw/zinc/09/47/02/888094702.db2.gz BIDDYSKWAYJAQC-MRVPVSSYSA-N -1 1 321.333 1.393 20 0 DDADMM C=CC(=O)OC[C@@H](C)Oc1c(C(=O)OC)nc(C(C)C)[n-]c1=O ZINC001234562284 888100246 /nfs/dbraw/zinc/10/02/46/888100246.db2.gz XJWYRYNEQCTARR-SECBINFHSA-N -1 1 324.333 1.589 20 0 DDADMM COc1c(C)[nH]cc(CN(C)C(=O)c2ccc([O-])cn2)c1=O ZINC001364262851 888246413 /nfs/dbraw/zinc/24/64/13/888246413.db2.gz QDEMMRMQXSXFJP-UHFFFAOYSA-N -1 1 303.318 1.065 20 0 DDADMM COCc1ccc(C(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)o1 ZINC001364290600 888308158 /nfs/dbraw/zinc/30/81/58/888308158.db2.gz RYFYKYSZZXZTIJ-UHFFFAOYSA-N -1 1 307.306 1.967 20 0 DDADMM Cc1c(Br)cncc1C(=O)Nc1ccncc1[O-] ZINC001364491212 888757774 /nfs/dbraw/zinc/75/77/74/888757774.db2.gz SARWAGTZRJZESG-UHFFFAOYSA-N -1 1 308.135 1.927 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)Nc2ncnc3c2CCC3)n[n-]1 ZINC001364565355 888936155 /nfs/dbraw/zinc/93/61/55/888936155.db2.gz ALVZXGRMMJQSQS-MRVPVSSYSA-N -1 1 302.338 1.433 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)Nc2ncnc3c2CCC3)n1 ZINC001364565355 888936162 /nfs/dbraw/zinc/93/61/62/888936162.db2.gz ALVZXGRMMJQSQS-MRVPVSSYSA-N -1 1 302.338 1.433 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCCCC2CC2)C1)C(F)(F)F ZINC001331327951 888953630 /nfs/dbraw/zinc/95/36/30/888953630.db2.gz OXNFDZQFNQSING-UHFFFAOYSA-N -1 1 307.316 1.497 20 0 DDADMM CCNC(=O)NC(=O)CSc1nc(C)c(C2CC2)c(=O)[n-]1 ZINC001364615404 889040781 /nfs/dbraw/zinc/04/07/81/889040781.db2.gz UATSVDPEYSIBRU-UHFFFAOYSA-N -1 1 310.379 1.306 20 0 DDADMM CN1C(=O)c2ccccc2[C@H]1C[N-]S(=O)(=O)c1ccns1 ZINC001364901180 889637005 /nfs/dbraw/zinc/63/70/05/889637005.db2.gz MITPBIYDFMPVBY-LLVKDONJSA-N -1 1 323.399 1.248 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC(=O)C2CCCC2)sn1 ZINC001364922670 889673480 /nfs/dbraw/zinc/67/34/80/889673480.db2.gz JMRDJMVOFHKLAI-UHFFFAOYSA-N -1 1 304.393 1.189 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ccc(C(N)=O)cc2)sn1 ZINC001365018650 889903455 /nfs/dbraw/zinc/90/34/55/889903455.db2.gz SVNCNJMHYVCNOM-UHFFFAOYSA-N -1 1 311.388 1.029 20 0 DDADMM CCCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1C ZINC001396219357 913396171 /nfs/dbraw/zinc/39/61/71/913396171.db2.gz BFPHIJNKOFBNMB-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2ccccn2)[n-]c1=O ZINC001365040071 889955760 /nfs/dbraw/zinc/95/57/60/889955760.db2.gz GWNLEQDDADWNLG-UHFFFAOYSA-N -1 1 303.322 1.643 20 0 DDADMM CC[C@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001386005260 890142057 /nfs/dbraw/zinc/14/20/57/890142057.db2.gz SGJCSXIEDQOMBM-ZDEQEGDKSA-N -1 1 319.405 1.846 20 0 DDADMM CCO[C@@H](C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-])C(C)C ZINC001386270570 890685379 /nfs/dbraw/zinc/68/53/79/890685379.db2.gz ZEYYXEGOTZQKCC-SMDDNHRTSA-N -1 1 323.393 1.083 20 0 DDADMM COc1cc(CS(=O)(=O)[N-][C@H](C)c2nnc(C)o2)sn1 ZINC001365681040 891305620 /nfs/dbraw/zinc/30/56/20/891305620.db2.gz BAZDCYRORVNUMW-ZCFIWIBFSA-N -1 1 318.380 1.024 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC3CCC2CC3)[n-]n1 ZINC001365708661 891375412 /nfs/dbraw/zinc/37/54/12/891375412.db2.gz VURUYVQPUZPNHF-UDNWOFFPSA-N -1 1 313.379 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC3CCC2CC3)n[n-]1 ZINC001365708661 891375427 /nfs/dbraw/zinc/37/54/27/891375427.db2.gz VURUYVQPUZPNHF-UDNWOFFPSA-N -1 1 313.379 1.053 20 0 DDADMM CCc1c[nH]c(CNS(=O)(=O)c2cc(OC)ccc2[O-])n1 ZINC001365736539 891422888 /nfs/dbraw/zinc/42/28/88/891422888.db2.gz YZUBSUMUYNIKRQ-UHFFFAOYSA-N -1 1 311.363 1.165 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCC(F)F)c(C(F)(F)F)n1 ZINC001365748478 891452016 /nfs/dbraw/zinc/45/20/16/891452016.db2.gz FDWXAPDPLKBQIU-UHFFFAOYSA-N -1 1 307.244 1.372 20 0 DDADMM COc1cccc(NC(=O)N[C@@H](CCSC)c2nn[n-]n2)c1 ZINC001365756747 891474323 /nfs/dbraw/zinc/47/43/23/891474323.db2.gz OKXNEAWXHUGMKE-NSHDSACASA-N -1 1 322.394 1.824 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCNc1cnccn1 ZINC001292158026 913620954 /nfs/dbraw/zinc/62/09/54/913620954.db2.gz XHBJAVNKLWEROV-UHFFFAOYSA-N -1 1 306.753 1.606 20 0 DDADMM CC(=O)[N-]S(=O)(=O)c1ccc(-c2c(O)cccc2F)nc1 ZINC001245654830 892225215 /nfs/dbraw/zinc/22/52/15/892225215.db2.gz QEZJRSCOHQSNGI-UHFFFAOYSA-N -1 1 310.306 1.418 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](C)NCc2cc(C3CC3)no2)c1[O-] ZINC001366274088 892984825 /nfs/dbraw/zinc/98/48/25/892984825.db2.gz WDNHEWSTGVOONA-MRVPVSSYSA-N -1 1 319.365 1.197 20 0 DDADMM CN(C)c1nc(Nc2ccccc2CC(N)=O)c(N=O)c(=O)[n-]1 ZINC001249598797 894055831 /nfs/dbraw/zinc/05/58/31/894055831.db2.gz KQVOYEUENQFGLY-UHFFFAOYSA-N -1 1 316.321 1.418 20 0 DDADMM CN1Cc2ccc(Nc3c(N)[nH]c(=S)[n-]c3=O)cc2C1=O ZINC001249979635 894179132 /nfs/dbraw/zinc/17/91/32/894179132.db2.gz QAPLUAVLIYKTDB-UHFFFAOYSA-N -1 1 303.347 1.382 20 0 DDADMM COC(=O)c1cc2nc(-c3ccc(=O)[nH]n3)[n-]c2cc1OC ZINC001251060175 894618391 /nfs/dbraw/zinc/61/83/91/894618391.db2.gz HCSKFAHUEZJDGB-UHFFFAOYSA-N -1 1 300.274 1.521 20 0 DDADMM CNC(=O)Cc1cccc([N-]S(=O)(=O)c2ccc(F)cc2)c1 ZINC001251661516 894765937 /nfs/dbraw/zinc/76/59/37/894765937.db2.gz MKSOOLDMFGQZMU-UHFFFAOYSA-N -1 1 322.361 1.915 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(F)cc2)cc(C(N)=O)c1 ZINC001251668048 894768259 /nfs/dbraw/zinc/76/82/59/894768259.db2.gz HISSAHNBUZOXII-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM CS[C@H](C)C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001396518323 913969299 /nfs/dbraw/zinc/96/92/99/913969299.db2.gz ZANLJAIHRSPVEI-MXWKQRLJSA-N -1 1 323.418 1.163 20 0 DDADMM Cc1ccc([C@@H](C)C(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)o1 ZINC001367388020 896632971 /nfs/dbraw/zinc/63/29/71/896632971.db2.gz GLEQCWNIKHDJOO-MWLCHTKSSA-N -1 1 321.381 1.152 20 0 DDADMM O=c1[nH]ncc([N-]S(=O)(=O)c2ccccc2F)c1Cl ZINC001255416384 896896346 /nfs/dbraw/zinc/89/63/46/896896346.db2.gz CCSRIZRONSUFJN-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM Cc1cnc(CNC[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])s1 ZINC001367730229 897610598 /nfs/dbraw/zinc/61/05/98/897610598.db2.gz JJQYONKONOYCJD-ZETCQYMHSA-N -1 1 309.395 1.097 20 0 DDADMM Cc1cccc(C)c1C(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367756635 897686052 /nfs/dbraw/zinc/68/60/52/897686052.db2.gz DSWNCKUQAYIODJ-NSHDSACASA-N -1 1 303.366 1.035 20 0 DDADMM O=C1OC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257520974 897873958 /nfs/dbraw/zinc/87/39/58/897873958.db2.gz QRAHTFHKXKSKAJ-BYPYZUCNSA-N -1 1 302.160 1.259 20 0 DDADMM O=S(=O)([N-]C1(CO)COC1)c1c(Cl)cccc1Cl ZINC001257775462 897945430 /nfs/dbraw/zinc/94/54/30/897945430.db2.gz DUOXAXYPFOMQAG-UHFFFAOYSA-N -1 1 312.174 1.033 20 0 DDADMM CC/C(C)=C/C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001299532038 898325314 /nfs/dbraw/zinc/32/53/14/898325314.db2.gz CWPZILJOTAEJHX-JICACKBISA-N -1 1 317.389 1.864 20 0 DDADMM CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001396683476 914246368 /nfs/dbraw/zinc/24/63/68/914246368.db2.gz LUNQIDDXVLTKMT-WOPDTQHZSA-N -1 1 303.362 1.449 20 0 DDADMM C[C@@]1(O)CC[C@H]([N-]S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC001258948574 898374993 /nfs/dbraw/zinc/37/49/93/898374993.db2.gz XRMHRZZDZYSWIH-GSJFWJNXSA-N -1 1 305.346 1.937 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@H](O)CC1)c1cc(F)ccc1F ZINC001258951069 898378204 /nfs/dbraw/zinc/37/82/04/898378204.db2.gz MJYUGULQIHUPHD-HOMQSWHASA-N -1 1 305.346 1.794 20 0 DDADMM O=C1SCC[C@@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259081151 898455050 /nfs/dbraw/zinc/45/50/50/898455050.db2.gz BAJOAURTDTWXGA-LURJTMIESA-N -1 1 311.306 1.414 20 0 DDADMM O=C1CCCC[C@@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259081687 898456017 /nfs/dbraw/zinc/45/60/17/898456017.db2.gz ITRZDWWXUDXSOX-QMMMGPOBSA-N -1 1 307.293 1.894 20 0 DDADMM COC(=O)[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259082425 898458726 /nfs/dbraw/zinc/45/87/26/898458726.db2.gz AIEFDZIDFKZNCY-NKWVEPMBSA-N -1 1 323.292 1.191 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCCN1 ZINC001259083056 898459417 /nfs/dbraw/zinc/45/94/17/898459417.db2.gz CRETXEKWYXWNBT-SSDOTTSWSA-N -1 1 322.308 1.051 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1ccc(COC)cc1 ZINC000355844194 898555855 /nfs/dbraw/zinc/55/58/55/898555855.db2.gz IVPDIODZVSHIGU-UHFFFAOYSA-N -1 1 303.318 1.985 20 0 DDADMM CS(=O)(=O)c1ccc([N-]S(=O)(=O)C2CC2)cc1Cl ZINC001259275241 898569188 /nfs/dbraw/zinc/56/91/88/898569188.db2.gz QBFKWRFOEGKLGB-UHFFFAOYSA-N -1 1 309.796 1.648 20 0 DDADMM CC(=O)NC[C@@H](C)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259461367 898679836 /nfs/dbraw/zinc/67/98/36/898679836.db2.gz QECKLFYFACNUBI-MRVPVSSYSA-N -1 1 322.789 1.530 20 0 DDADMM NC(=O)c1cc(O)cc([N-]S(=O)(=O)CCC(F)(F)F)c1 ZINC001259874025 898883259 /nfs/dbraw/zinc/88/32/59/898883259.db2.gz MLVWIHIQHVZVEJ-UHFFFAOYSA-N -1 1 312.269 1.185 20 0 DDADMM CCOC(=O)C[C@@H]([N-]S(=O)(=O)C(F)F)c1cccc(O)c1 ZINC001259962847 898986153 /nfs/dbraw/zinc/98/61/53/898986153.db2.gz UEUWARRTKXVDJP-SNVBAGLBSA-N -1 1 323.317 1.529 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2cccc(CC(N)=O)c2)ccc1F ZINC001260760415 899254425 /nfs/dbraw/zinc/25/44/25/899254425.db2.gz IMZQMRLRVXGCIW-UHFFFAOYSA-N -1 1 322.361 1.963 20 0 DDADMM Cc1ccc2[nH]cc(CCNC(=O)CCCc3nn[n-]n3)c2c1 ZINC001262656223 900288214 /nfs/dbraw/zinc/28/82/14/900288214.db2.gz RMWQHMMHQMHXDX-UHFFFAOYSA-N -1 1 312.377 1.671 20 0 DDADMM O=C([O-])[C@]1(C(=O)Nc2cccc3ccccc32)CNCCO1 ZINC001263064094 900478427 /nfs/dbraw/zinc/47/84/27/900478427.db2.gz PDTIRFRZCIJDJI-MRXNPFEDSA-N -1 1 300.314 1.222 20 0 DDADMM C[C@@H](NC(=O)c1nccs1)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001369695120 901788377 /nfs/dbraw/zinc/78/83/77/901788377.db2.gz YICGDSDXYSLFRN-RKDXNWHRSA-N -1 1 320.374 1.181 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NC(C)(C)c2cnn(C)c2)c1 ZINC001293599062 914574155 /nfs/dbraw/zinc/57/41/55/914574155.db2.gz WICPJRLKKAWVAS-UHFFFAOYSA-N -1 1 301.346 1.912 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](NC/C(Cl)=C/Cl)C1 ZINC001370357527 903000238 /nfs/dbraw/zinc/00/02/38/903000238.db2.gz CIGKUWHWQRMUAT-MBACFSSFSA-N -1 1 316.188 1.910 20 0 DDADMM Cc1nc(C(=O)N(C)c2nn[n-]n2)c(-c2ccccc2)s1 ZINC001279188123 903190214 /nfs/dbraw/zinc/19/02/14/903190214.db2.gz PIOSURIAENZCHJ-UHFFFAOYSA-N -1 1 300.347 1.908 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)CC(F)(F)F ZINC001370472796 903240886 /nfs/dbraw/zinc/24/08/86/903240886.db2.gz NQZXJJBLXZUQAL-QMMMGPOBSA-N -1 1 319.283 1.316 20 0 DDADMM CC/C=C(/C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001281133020 904451181 /nfs/dbraw/zinc/45/11/81/904451181.db2.gz PTNSXKQBFCIMBJ-BLJGWETHSA-N -1 1 317.389 1.863 20 0 DDADMM C[C@@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)CC1CC1 ZINC001371243172 904556058 /nfs/dbraw/zinc/55/60/58/904556058.db2.gz MSIIBLVVKDWNBT-QWRGUYRKSA-N -1 1 305.378 1.600 20 0 DDADMM Cc1csc(CN(C)C[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001392652000 904750682 /nfs/dbraw/zinc/75/06/82/904750682.db2.gz SGNJKLTZPDWCKS-JTQLQIEISA-N -1 1 320.418 1.802 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)c1c[nH]cc1C1CC1 ZINC001393985381 908458740 /nfs/dbraw/zinc/45/87/40/908458740.db2.gz MVAGZAPDZPMFLX-RKDXNWHRSA-N -1 1 318.381 1.012 20 0 DDADMM Cc1conc1CN(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001394067839 908697113 /nfs/dbraw/zinc/69/71/13/908697113.db2.gz UYGRXYLUBCJSDA-NSHDSACASA-N -1 1 304.350 1.334 20 0 DDADMM C[C@@H](CNC(=O)CC1CC(C)(C)C1)NC(=O)c1ncccc1[O-] ZINC001373043488 908820041 /nfs/dbraw/zinc/82/00/41/908820041.db2.gz XWVWSVAEGCGQAN-NSHDSACASA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@H](C)CCC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373402056 909759685 /nfs/dbraw/zinc/75/96/85/909759685.db2.gz ZBYKJDOOLLWIPC-STQMWFEESA-N -1 1 323.441 1.015 20 0 DDADMM CC(C)[C@@H](C)CC(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001373413251 909793550 /nfs/dbraw/zinc/79/35/50/909793550.db2.gz GZOHFNJUJSVFCF-LBPRGKRZSA-N -1 1 307.394 1.658 20 0 DDADMM CCC1(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])CCC1 ZINC001416887055 910335116 /nfs/dbraw/zinc/33/51/16/910335116.db2.gz CFUGGYYEDBILGA-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM Cc1c[nH]cc1C(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001285532301 910748858 /nfs/dbraw/zinc/74/88/58/910748858.db2.gz PYBBSXWWSCYPFD-NSCUHMNNSA-N -1 1 314.345 1.140 20 0 DDADMM Cc1cnoc1C(=O)N[C@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001394967743 911040087 /nfs/dbraw/zinc/04/00/87/911040087.db2.gz ULKXRYZMMUKTMZ-VHSXEESVSA-N -1 1 318.333 1.020 20 0 DDADMM CCCCO[C@@H](C)C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001285714460 911082307 /nfs/dbraw/zinc/08/23/07/911082307.db2.gz RDCDEBQZVZAPCR-RYUDHWBXSA-N -1 1 323.393 1.227 20 0 DDADMM CSC[C@@H](C)C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001397397231 915923567 /nfs/dbraw/zinc/92/35/67/915923567.db2.gz SIVLNDWHHKHQNP-NXEZZACHSA-N -1 1 311.407 1.021 20 0 DDADMM CN(CCNC(=O)C=C1CCCCC1)C(=O)c1ncccc1[O-] ZINC001296018836 916186040 /nfs/dbraw/zinc/18/60/40/916186040.db2.gz QFGGJYKWOKXCDI-UHFFFAOYSA-N -1 1 317.389 1.866 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H](CO)Cc2ccncc2)c1 ZINC001296621060 916509044 /nfs/dbraw/zinc/50/90/44/916509044.db2.gz SGEPJYFNIBXQSQ-AWEZNQCLSA-N -1 1 314.341 1.242 20 0 DDADMM CCC(C)(C)C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001397649015 916601009 /nfs/dbraw/zinc/60/10/09/916601009.db2.gz OIWIJOPNPJDJSN-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM CCCC(C)(C)C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001377418241 921830163 /nfs/dbraw/zinc/83/01/63/921830163.db2.gz ZQJCRZKBEYNSDC-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC[C@@H]4C[C@@H]43)nc2n1 ZINC000622992238 365584424 /nfs/dbraw/zinc/58/44/24/365584424.db2.gz CURPHHHMNYBEKC-IEBDPFPHSA-N -1 1 301.350 1.355 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CC[C@@H]4C[C@@H]43)nc2n1 ZINC000622993298 365585968 /nfs/dbraw/zinc/58/59/68/365585968.db2.gz IIHLOMLZDYUJBB-YWVKMMECSA-N -1 1 301.350 1.355 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@H](OC)C3)nc2n1 ZINC000622997648 365591649 /nfs/dbraw/zinc/59/16/49/365591649.db2.gz GNEULOAONAGGCX-KOLCDFICSA-N -1 1 319.365 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@](C)(CC)OC)nc2n1 ZINC000622997699 365591698 /nfs/dbraw/zinc/59/16/98/365591698.db2.gz HZYQIDSCEQGPMX-CQSZACIVSA-N -1 1 307.354 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](OC)[C@H](C)CC)nc2n1 ZINC000622997916 365591966 /nfs/dbraw/zinc/59/19/66/365591966.db2.gz QKTAEVXFGIVAFG-SKDRFNHKSA-N -1 1 321.381 1.370 20 0 DDADMM CNC(=O)[C@@]1(C)CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000614358153 361821270 /nfs/dbraw/zinc/82/12/70/361821270.db2.gz IMCGOTFLSWEAKQ-KRWDZBQOSA-N -1 1 313.357 1.539 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)[N-]OCC(C)(C)O)CC2=O)cc1 ZINC000278563123 214238875 /nfs/dbraw/zinc/23/88/75/214238875.db2.gz HZJUDKTXXVCIBG-GFCCVEGCSA-N -1 1 306.362 1.167 20 0 DDADMM CCC(O)(CC)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081944008 192344601 /nfs/dbraw/zinc/34/46/01/192344601.db2.gz GUJYGLMJFGMXLP-UHFFFAOYSA-N -1 1 309.410 1.657 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)C1CC1)c1cc2cc(F)ccc2o1 ZINC000451567019 231127680 /nfs/dbraw/zinc/12/76/80/231127680.db2.gz NJNUPSHQLLSBDX-NSHDSACASA-N -1 1 313.350 1.869 20 0 DDADMM CC[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)[C@H](O)C(C)C ZINC000412717196 529676616 /nfs/dbraw/zinc/67/66/16/529676616.db2.gz LEOMOYCLJQIHMK-VXGBXAGGSA-N -1 1 306.366 1.199 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(NC(=O)CCc2cnc[nH]2)c1 ZINC000276572158 539280151 /nfs/dbraw/zinc/28/01/51/539280151.db2.gz IMTWJHXSWBGTRP-UHFFFAOYSA-N -1 1 323.374 1.480 20 0 DDADMM CCN(CC)C(=O)N1CCC(C(=O)Nc2c(C)[n-][nH]c2=O)CC1 ZINC000615225504 362202965 /nfs/dbraw/zinc/20/29/65/362202965.db2.gz CYYBCZJRALHTAS-UHFFFAOYSA-N -1 1 323.397 1.536 20 0 DDADMM COc1cc(C(=O)N[C@@H]2Cc3cncn3C2)cc(Cl)c1[O-] ZINC000623276075 365772771 /nfs/dbraw/zinc/77/27/71/365772771.db2.gz TZWNXLCVJGAGQL-SECBINFHSA-N -1 1 307.737 1.605 20 0 DDADMM CN(C)c1ccnc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC000195248906 282306001 /nfs/dbraw/zinc/30/60/01/282306001.db2.gz HMBITPXDTGFMRA-UHFFFAOYSA-N -1 1 300.244 1.537 20 0 DDADMM CCOc1ccc2cc(C(=O)NC3(c4nn[n-]n4)CC3)[nH]c2c1 ZINC000348294260 539383412 /nfs/dbraw/zinc/38/34/12/539383412.db2.gz QWPPQJDSWRCRME-UHFFFAOYSA-N -1 1 312.333 1.499 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2c(F)cccc2F)n[n-]1 ZINC000615920162 362485941 /nfs/dbraw/zinc/48/59/41/362485941.db2.gz NNCZZZRCJZBKMW-UHFFFAOYSA-N -1 1 310.260 1.190 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2c(F)cccc2F)n1 ZINC000615920162 362485947 /nfs/dbraw/zinc/48/59/47/362485947.db2.gz NNCZZZRCJZBKMW-UHFFFAOYSA-N -1 1 310.260 1.190 20 0 DDADMM CCOC1CC(C(=O)N=c2[nH][n-]c(C)c2Br)C1 ZINC000616004596 362516477 /nfs/dbraw/zinc/51/64/77/362516477.db2.gz ZFRIPDKTNPOVQG-UHFFFAOYSA-N -1 1 302.172 1.656 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCC(=O)[C@H](C)C2)c1Br ZINC000616007482 362517650 /nfs/dbraw/zinc/51/76/50/362517650.db2.gz PZQUVTKZQMSHLL-HTRCEHHLSA-N -1 1 314.183 1.846 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CC23CCOCC3)c1Br ZINC000616007501 362518222 /nfs/dbraw/zinc/51/82/22/362518222.db2.gz QXMBEHRPDSABLF-QMMMGPOBSA-N -1 1 314.183 1.658 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCCOCC2)c1Br ZINC000616009229 362519459 /nfs/dbraw/zinc/51/94/59/362519459.db2.gz SNQHGELFISYXGG-QMMMGPOBSA-N -1 1 302.172 1.658 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@]23C[C@H]2COC3(C)C)c1Br ZINC000616011702 362519828 /nfs/dbraw/zinc/51/98/28/362519828.db2.gz RGCZCYCIYQWJOS-MADCSZMMSA-N -1 1 314.183 1.656 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H](C(C)C)N(C)C)c1Br ZINC000616011329 362520278 /nfs/dbraw/zinc/52/02/78/362520278.db2.gz YKNGBSKMAADRCP-VIFPVBQESA-N -1 1 303.204 1.427 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc([C@@H]2CCCS2)no1 ZINC000357094059 539473605 /nfs/dbraw/zinc/47/36/05/539473605.db2.gz XZDJEQZMLTWCQD-VIFPVBQESA-N -1 1 321.424 1.094 20 0 DDADMM C[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(=O)OC(C)(C)C ZINC000358330295 299122771 /nfs/dbraw/zinc/12/27/71/299122771.db2.gz IVLQHZHIPZIRTN-MRVPVSSYSA-N -1 1 320.349 1.134 20 0 DDADMM CCOC(=O)CC[C@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358355857 299128812 /nfs/dbraw/zinc/12/88/12/299128812.db2.gz PPLKWXDQZNCRPN-VIFPVBQESA-N -1 1 320.349 1.136 20 0 DDADMM CC[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1c(C)noc1C ZINC000358374950 299134790 /nfs/dbraw/zinc/13/47/90/299134790.db2.gz GKEGJRPZNLLTIK-GZMMTYOYSA-N -1 1 306.370 1.560 20 0 DDADMM CC(C)n1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1C1CC1 ZINC000358378711 299136401 /nfs/dbraw/zinc/13/64/01/299136401.db2.gz FJFHEDRGWNAWDQ-JTQLQIEISA-N -1 1 317.397 1.730 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cncc([O-])c1)[C@@H]1CCC[C@@H](OC)C1 ZINC000564462832 303999749 /nfs/dbraw/zinc/99/97/49/303999749.db2.gz UGMUXGOFUNNBQQ-LERXQTSPSA-N -1 1 322.361 1.264 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1COc2cc(C)c(C)cc21)c1nn[n-]n1 ZINC000186046804 186235350 /nfs/dbraw/zinc/23/53/50/186235350.db2.gz GYVMOUQPZVCEIM-STQMWFEESA-N -1 1 315.377 1.950 20 0 DDADMM C[C@@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)CCS1(=O)=O ZINC000631400911 422742129 /nfs/dbraw/zinc/74/21/29/422742129.db2.gz SIIMWZPVHQQCBD-SECBINFHSA-N -1 1 317.794 1.234 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCc2ccc(C)c(OC)c2)n1 ZINC000054782449 352703636 /nfs/dbraw/zinc/70/36/36/352703636.db2.gz AAQSRPUDSNURFQ-UHFFFAOYSA-N -1 1 317.349 1.629 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)c1c[nH]c(=O)c(Br)c1 ZINC000173377419 198208310 /nfs/dbraw/zinc/20/83/10/198208310.db2.gz NKXNZEYKOJLLRV-SECBINFHSA-N -1 1 317.139 1.325 20 0 DDADMM CC(C)OC(=O)[C@H](C)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000173972438 198275592 /nfs/dbraw/zinc/27/55/92/198275592.db2.gz JVODIYSBTGWODB-SECBINFHSA-N -1 1 321.345 1.831 20 0 DDADMM O=C1OCCN1CCC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084937683 353730402 /nfs/dbraw/zinc/73/04/02/353730402.db2.gz AUPOTPRJJPBIHJ-UHFFFAOYSA-N -1 1 324.358 1.553 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(O)c1C ZINC000119512213 354004706 /nfs/dbraw/zinc/00/47/06/354004706.db2.gz LAZUEAJALROTHS-LLVKDONJSA-N -1 1 301.350 1.542 20 0 DDADMM CC(C)Oc1cccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)n1 ZINC000124164835 354036909 /nfs/dbraw/zinc/03/69/09/354036909.db2.gz NDPIZLKCLXQNBF-JTQLQIEISA-N -1 1 304.354 1.258 20 0 DDADMM COC(=O)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000129431994 354081622 /nfs/dbraw/zinc/08/16/22/354081622.db2.gz NNVBPZWLZYAQAV-MRVPVSSYSA-N -1 1 321.362 1.068 20 0 DDADMM COC(=O)CC(C)(C)CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000154873718 354209495 /nfs/dbraw/zinc/20/94/95/354209495.db2.gz ZCVAVTWUFAPUAU-UHFFFAOYSA-N -1 1 308.260 1.741 20 0 DDADMM C[C@H]1CCN(Cc2cc(=O)oc3cc([O-])ccc23)CC[S@]1=O ZINC000277035833 213253031 /nfs/dbraw/zinc/25/30/31/213253031.db2.gz BKAKLGDYLBEAJZ-KPWVOAKYSA-N -1 1 321.398 1.842 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H](C(=O)NC2(c3nn[n-]n3)CCCC2)C1 ZINC000346194078 283018704 /nfs/dbraw/zinc/01/87/04/283018704.db2.gz YLKMHXVQALEOHO-GHMZBOCLSA-N -1 1 321.381 1.065 20 0 DDADMM C[C@@H]1N(C(=O)c2nc3ccccc3c(=O)[n-]2)CCOC1(C)C ZINC000332497133 235036126 /nfs/dbraw/zinc/03/61/26/235036126.db2.gz CALCHOZPSHHOGX-JTQLQIEISA-N -1 1 301.346 1.563 20 0 DDADMM COC(=O)c1ncccc1S(=O)(=O)[N-]C(C)(C)C(F)F ZINC000590756543 355167881 /nfs/dbraw/zinc/16/78/81/355167881.db2.gz OTFOJEBTZLQQLK-UHFFFAOYSA-N -1 1 308.306 1.190 20 0 DDADMM CN(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H]1CCCC[C@H]1O ZINC000332596313 235169587 /nfs/dbraw/zinc/16/95/87/235169587.db2.gz KZWOSMQUZAINNY-CHWSQXEVSA-N -1 1 301.346 1.299 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@@H](C)n2nc(C)cc2C)[n-]1 ZINC000591302936 355284898 /nfs/dbraw/zinc/28/48/98/355284898.db2.gz GIQDNNIMRXVURF-LLVKDONJSA-N -1 1 319.365 1.990 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@@H](C)n2nc(C)cc2C)n1 ZINC000591302936 355284902 /nfs/dbraw/zinc/28/49/02/355284902.db2.gz GIQDNNIMRXVURF-LLVKDONJSA-N -1 1 319.365 1.990 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)CC(C)(C)OC ZINC000592076182 355485493 /nfs/dbraw/zinc/48/54/93/355485493.db2.gz WFCITVLWQGHRLC-SNVBAGLBSA-N -1 1 309.428 1.309 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)C[C@@H](OC)C(C)C)c1 ZINC000592350607 355565212 /nfs/dbraw/zinc/56/52/12/355565212.db2.gz YDOCCNVLSRNMFV-CYBMUJFWSA-N -1 1 315.391 1.886 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@H]1C1CC1 ZINC000593891669 356043957 /nfs/dbraw/zinc/04/39/57/356043957.db2.gz JDEVPIBLEKLVOG-QWHCGFSZSA-N -1 1 307.321 1.803 20 0 DDADMM COC(=O)C1(C(=O)CSc2nc(C3CC3)cc(=O)[n-]2)CC1 ZINC000594449467 356221059 /nfs/dbraw/zinc/22/10/59/356221059.db2.gz YYNICMHESZSFKE-UHFFFAOYSA-N -1 1 308.359 1.674 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C1CCCC1)C(C)C ZINC000594750723 356307969 /nfs/dbraw/zinc/30/79/69/356307969.db2.gz OCKDCJYLJNCPDI-OLZOCXBDSA-N -1 1 321.439 1.309 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCC[C@@H](C)CO)cc1C ZINC000595321896 356448946 /nfs/dbraw/zinc/44/89/46/356448946.db2.gz RNLPOIZVTDRFSP-SECBINFHSA-N -1 1 319.379 1.062 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)OC ZINC000595329947 356450948 /nfs/dbraw/zinc/45/09/48/356450948.db2.gz FDUPQVKUSZZUJH-VIFPVBQESA-N -1 1 305.352 1.078 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CS[C@H](C)C2)cc1C ZINC000595338009 356453994 /nfs/dbraw/zinc/45/39/94/356453994.db2.gz OFROOLADFPXFHX-RKDXNWHRSA-N -1 1 319.404 1.547 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCS[C@H]2C)cc1C ZINC000595349080 356459191 /nfs/dbraw/zinc/45/91/91/356459191.db2.gz XRSJRPVUDZNWOT-IUCAKERBSA-N -1 1 319.404 1.547 20 0 DDADMM CCc1nc(S[C@H](C(=O)NC(N)=O)C(C)C)[n-]c(=O)c1C ZINC000565242352 304057318 /nfs/dbraw/zinc/05/73/18/304057318.db2.gz NWANAIUJEUDMBT-VIFPVBQESA-N -1 1 312.395 1.365 20 0 DDADMM COC(=O)[C@@](C)([N-]S(=O)(=O)c1ccc(C(F)F)o1)C1CC1 ZINC000601349818 358510601 /nfs/dbraw/zinc/51/06/01/358510601.db2.gz KHYRVWHYNHABSU-LBPRGKRZSA-N -1 1 323.317 1.837 20 0 DDADMM C[C@@H](N=c1nc(C2CCOCC2)[n-]s1)C(=O)OC(C)(C)C ZINC000596182891 356805051 /nfs/dbraw/zinc/80/50/51/356805051.db2.gz SSLJAKKYEFBRHZ-SECBINFHSA-N -1 1 313.423 1.996 20 0 DDADMM COC(=O)[C@H](CC1CC1)N=c1nc(C2CCOCC2)[n-]s1 ZINC000596271773 356840453 /nfs/dbraw/zinc/84/04/53/356840453.db2.gz BMJVSZNHTNWFNH-NSHDSACASA-N -1 1 311.407 1.608 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C1=Cc2ccccc2OC1)C1CC1 ZINC000276859104 213138151 /nfs/dbraw/zinc/13/81/51/213138151.db2.gz ICGJMHNXZRNWJA-AWEZNQCLSA-N -1 1 323.370 1.291 20 0 DDADMM COC[C@@]1(C(=O)OC)CCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000597963366 357401322 /nfs/dbraw/zinc/40/13/22/357401322.db2.gz OMZCTTKCLQKUEC-OAHLLOKOSA-N -1 1 311.309 1.326 20 0 DDADMM COC[C@H](C)[N-]S(=O)(=O)c1cc(F)cc(C(=O)OC)c1F ZINC000599205558 357850315 /nfs/dbraw/zinc/85/03/15/357850315.db2.gz HGNDMNSMZRXTHN-ZETCQYMHSA-N -1 1 323.317 1.065 20 0 DDADMM COc1ccc2c(c1)CCC[C@@H]2CC(=O)NCc1nn[n-]n1 ZINC000599334115 357898068 /nfs/dbraw/zinc/89/80/68/357898068.db2.gz FNZQXPCQPIQQPV-LLVKDONJSA-N -1 1 301.350 1.335 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(c2cccc(Cl)c2)CCCC1 ZINC000599377733 357915563 /nfs/dbraw/zinc/91/55/63/357915563.db2.gz YYSQWXPPPNETLG-UHFFFAOYSA-N -1 1 305.769 1.981 20 0 DDADMM C[C@H]1c2nncn2CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000276723038 213068655 /nfs/dbraw/zinc/06/86/55/213068655.db2.gz AUILPTQNKSVPOZ-JTQLQIEISA-N -1 1 312.329 1.667 20 0 DDADMM O=C(COc1c(Cl)cccc1Cl)NCc1nn[n-]n1 ZINC000600497000 358240962 /nfs/dbraw/zinc/24/09/62/358240962.db2.gz ICKKWMCZWBTXOF-UHFFFAOYSA-N -1 1 302.121 1.202 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(OCc2ccncc2)cc1 ZINC000600496242 358241108 /nfs/dbraw/zinc/24/11/08/358241108.db2.gz AKCBPDGNRSHICS-UHFFFAOYSA-N -1 1 310.317 1.104 20 0 DDADMM COC(=O)C[C@@H](C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000600673880 358278749 /nfs/dbraw/zinc/27/87/49/358278749.db2.gz IBCQGWRYAWZZGK-SNVBAGLBSA-N -1 1 303.318 1.716 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)C(=O)c2cncc([O-])c2)c1 ZINC000600986192 358370079 /nfs/dbraw/zinc/37/00/79/358370079.db2.gz IAMLVDIGWSJFEF-UHFFFAOYSA-N -1 1 300.318 1.201 20 0 DDADMM COC(=O)[C@@H]1O[C@@H](C(=O)Nc2ccc([O-])c(Cl)c2)C[C@H]1C ZINC000601356195 358513970 /nfs/dbraw/zinc/51/39/70/358513970.db2.gz JGDFXMWXDSRJQN-NZXMKCKXSA-N -1 1 313.737 1.951 20 0 DDADMM CCC(CC)(CC(=O)OC)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601365865 358518397 /nfs/dbraw/zinc/51/83/97/358518397.db2.gz YCTPGCXKUTZHJI-UHFFFAOYSA-N -1 1 320.436 1.852 20 0 DDADMM CO[C@@H]1CCC[C@H]1S(=O)(=O)[N-][C@H](C(=O)OC(C)C)C(C)C ZINC000601428839 358548817 /nfs/dbraw/zinc/54/88/17/358548817.db2.gz GKTJIVVXMNWESE-UPJWGTAASA-N -1 1 321.439 1.450 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1F)c1cc(=O)n2[n-]cnc2n1 ZINC000348135684 283385877 /nfs/dbraw/zinc/38/58/77/283385877.db2.gz LFRZJYFWDXNQAB-UHFFFAOYSA-N -1 1 309.207 1.087 20 0 DDADMM COC(=O)C[C@@H]1CCCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000601764997 358685094 /nfs/dbraw/zinc/68/50/94/358685094.db2.gz FVCFMJIJZGBNDH-LBPRGKRZSA-N -1 1 305.330 1.519 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCc1ccoc1 ZINC000618476661 363645344 /nfs/dbraw/zinc/64/53/44/363645344.db2.gz TXVFYTIZPIICDN-UHFFFAOYSA-N -1 1 314.345 1.546 20 0 DDADMM N=c1[n-]nc([C@@H]2CCCN(CC[S@](=O)c3ccccc3)C2)o1 ZINC000602893439 359277265 /nfs/dbraw/zinc/27/72/65/359277265.db2.gz ALRIVSYPMKKAIB-IPQOISQHSA-N -1 1 320.418 1.469 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2ccsc2C)n[n-]1 ZINC000603014907 359358077 /nfs/dbraw/zinc/35/80/77/359358077.db2.gz HXUBANRNKDVIKQ-UHFFFAOYSA-N -1 1 308.363 1.210 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2ccsc2C)n1 ZINC000603014907 359358081 /nfs/dbraw/zinc/35/80/81/359358081.db2.gz HXUBANRNKDVIKQ-UHFFFAOYSA-N -1 1 308.363 1.210 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2C[C@H](C)C[C@H](C)C2)n[n-]1 ZINC000603018369 359360401 /nfs/dbraw/zinc/36/04/01/359360401.db2.gz QMXVNUSJHCZSJU-URLYPYJESA-N -1 1 308.382 1.670 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2C[C@H](C)C[C@H](C)C2)n1 ZINC000603018369 359360403 /nfs/dbraw/zinc/36/04/03/359360403.db2.gz QMXVNUSJHCZSJU-URLYPYJESA-N -1 1 308.382 1.670 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C3CC3)cc2)n[n-]1 ZINC000603022088 359364854 /nfs/dbraw/zinc/36/48/54/359364854.db2.gz OSMVJLFTCDNNIL-UHFFFAOYSA-N -1 1 314.345 1.789 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C3CC3)cc2)n1 ZINC000603022088 359364858 /nfs/dbraw/zinc/36/48/58/359364858.db2.gz OSMVJLFTCDNNIL-UHFFFAOYSA-N -1 1 314.345 1.789 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](C)c2ccccc2)n[n-]1 ZINC000603157957 359444615 /nfs/dbraw/zinc/44/46/15/359444615.db2.gz XKRAKZNVBXVPLG-MNOVXSKESA-N -1 1 316.361 1.962 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](C)c2ccccc2)[n-]1 ZINC000603157957 359444617 /nfs/dbraw/zinc/44/46/17/359444617.db2.gz XKRAKZNVBXVPLG-MNOVXSKESA-N -1 1 316.361 1.962 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](C)c2ccccc2)n1 ZINC000603157957 359444620 /nfs/dbraw/zinc/44/46/20/359444620.db2.gz XKRAKZNVBXVPLG-MNOVXSKESA-N -1 1 316.361 1.962 20 0 DDADMM CCc1nc(C)c(C(=O)NC2(c3nn[n-]n3)CCCC2)s1 ZINC000605381764 359847845 /nfs/dbraw/zinc/84/78/45/359847845.db2.gz UOMRXWPITGNNBN-UHFFFAOYSA-N -1 1 306.395 1.726 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC(C)(C)OC)c(F)c1 ZINC000608039048 360108012 /nfs/dbraw/zinc/10/80/12/360108012.db2.gz FKTRSXIKHHOTKM-UHFFFAOYSA-N -1 1 309.334 1.677 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC1CC1)c1ccccc1F ZINC000610702582 360510213 /nfs/dbraw/zinc/51/02/13/360510213.db2.gz UNXBPYRUSIHBBU-GFCCVEGCSA-N -1 1 301.339 1.369 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)C(C)C ZINC000611082569 360617369 /nfs/dbraw/zinc/61/73/69/360617369.db2.gz XRYOBWINLSJDPC-SNVBAGLBSA-N -1 1 305.352 1.016 20 0 DDADMM CCOC(=O)CCN(C(=O)c1csc(=NC2CC2)[n-]1)C1CC1 ZINC000611098755 360622925 /nfs/dbraw/zinc/62/29/25/360622925.db2.gz KJVHAIAVFUHWAG-UHFFFAOYSA-N -1 1 323.418 1.697 20 0 DDADMM CNC(=O)N1CCN(C(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000611789678 360825561 /nfs/dbraw/zinc/82/55/61/360825561.db2.gz OWBWZICMHFYAGN-UHFFFAOYSA-N -1 1 314.345 1.038 20 0 DDADMM CC(C)(CNC(=O)c1ncc2ccccc2c1[O-])S(C)(=O)=O ZINC000611965880 360875250 /nfs/dbraw/zinc/87/52/50/360875250.db2.gz YEJBRVPVFFSXKC-UHFFFAOYSA-N -1 1 322.386 1.493 20 0 DDADMM O=C(c1nc2cnccc2s1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612814926 361154229 /nfs/dbraw/zinc/15/42/29/361154229.db2.gz GGLDIURBMNYOGR-QMMMGPOBSA-N -1 1 315.362 1.224 20 0 DDADMM CO[C@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)C1CCCCC1 ZINC000612814875 361154630 /nfs/dbraw/zinc/15/46/30/361154630.db2.gz FQDYJUFKBCJCDR-STQMWFEESA-N -1 1 307.398 1.501 20 0 DDADMM CC(C)(CS(C)(=O)=O)NC(=O)c1ncc2ccccc2c1[O-] ZINC000613505463 361446148 /nfs/dbraw/zinc/44/61/48/361446148.db2.gz PDQDWUFETTUGEZ-UHFFFAOYSA-N -1 1 322.386 1.493 20 0 DDADMM COC(=O)C1(NC(=O)c2ccc([O-])c(F)c2)CCSCC1 ZINC000613517714 361452955 /nfs/dbraw/zinc/45/29/55/361452955.db2.gz BNCXIZCIRSZQFB-UHFFFAOYSA-N -1 1 313.350 1.700 20 0 DDADMM CSc1ccc(O)c(C(=O)N2CC[N@@H+](C[C@@H](C)O)C[C@@H]2C)c1 ZINC000613655025 361506235 /nfs/dbraw/zinc/50/62/35/361506235.db2.gz IHQNIDXOUOHOGH-NWDGAFQWSA-N -1 1 324.446 1.641 20 0 DDADMM CN1C[C@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])CC1=O ZINC000271861660 209274964 /nfs/dbraw/zinc/27/49/64/209274964.db2.gz LQYKDKWUCMJUCQ-MRVPVSSYSA-N -1 1 302.252 1.372 20 0 DDADMM O=C(C(=O)N1CC[C@H](N2CCC2)[C@@H](F)C1)c1ccc([O-])cc1 ZINC000614096349 361701209 /nfs/dbraw/zinc/70/12/09/361701209.db2.gz BDVFDXKCQJSZJV-KBPBESRZSA-N -1 1 306.337 1.220 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1nc2cccnc2n1C)C1CC1 ZINC000566009578 304110101 /nfs/dbraw/zinc/11/01/01/304110101.db2.gz BKNOMKRUNSNBLN-LLVKDONJSA-N -1 1 310.379 1.135 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NCC1=CCCC1 ZINC000620003850 364237889 /nfs/dbraw/zinc/23/78/89/364237889.db2.gz UDVRIAVHGRRXKD-UHFFFAOYSA-N -1 1 324.384 1.909 20 0 DDADMM CC(C)NC(=O)[C@H]1CCCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000620415735 364406860 /nfs/dbraw/zinc/40/68/60/364406860.db2.gz FHJKXKDHNJZMMT-NSHDSACASA-N -1 1 315.377 1.335 20 0 DDADMM COc1cc2[n-]cc(C(=O)NC3CCC3)c(=O)c2c(OC)c1 ZINC000625353589 366867234 /nfs/dbraw/zinc/86/72/34/366867234.db2.gz TVTSKZUSLPMDTB-UHFFFAOYSA-N -1 1 302.330 1.828 20 0 DDADMM CO[C@@]1(C[N-]S(=O)(=O)c2cc(F)ccc2F)CCOC1 ZINC000359207684 299355724 /nfs/dbraw/zinc/35/57/24/299355724.db2.gz QMCYXWHZKJGXJO-GFCCVEGCSA-N -1 1 307.318 1.049 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)O1 ZINC000091160215 193009526 /nfs/dbraw/zinc/00/95/26/193009526.db2.gz VPUJMXOZMWELPP-UFBFGSQYSA-N -1 1 316.354 1.703 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC3CCC(O)CC3)cnc2n1 ZINC000622176883 365313224 /nfs/dbraw/zinc/31/32/24/365313224.db2.gz HXEUWHHSQCAIIM-UHFFFAOYSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCC3CCC(O)CC3)c[n-]c2n1 ZINC000622176883 365313231 /nfs/dbraw/zinc/31/32/31/365313231.db2.gz HXEUWHHSQCAIIM-UHFFFAOYSA-N -1 1 315.373 1.925 20 0 DDADMM O=C(CC[C@H]1CCCO1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622609519 365449769 /nfs/dbraw/zinc/44/97/69/365449769.db2.gz BFWVFMGAWXLMKY-TZMCWYRMSA-N -1 1 301.350 1.365 20 0 DDADMM CC(C)CO[C@H](C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622609567 365450146 /nfs/dbraw/zinc/45/01/46/365450146.db2.gz BZXHZAZSAXWFSR-YPMHNXCESA-N -1 1 303.366 1.466 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccc2[nH]cnc2c1 ZINC000622611471 365452731 /nfs/dbraw/zinc/45/27/31/365452731.db2.gz FTMPYEXNOAOUMN-AWEZNQCLSA-N -1 1 319.328 1.595 20 0 DDADMM Cc1cccc([C@H]2C(=O)NCCN2C(=O)c2cncc([O-])c2)c1 ZINC000622753634 365521498 /nfs/dbraw/zinc/52/14/98/365521498.db2.gz CSVRKFJIJWKSTA-HNNXBMFYSA-N -1 1 311.341 1.409 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1[C@H]1CCN(CC(F)(F)F)C1=O ZINC000566220826 304131025 /nfs/dbraw/zinc/13/10/25/304131025.db2.gz NWJNFVQMXAYLSK-UTLUCORTSA-N -1 1 308.300 1.335 20 0 DDADMM C[C@H]1CN(C(=O)NCc2n[nH]c(C(C)(C)C)n2)CC[C@H]1C(=O)[O-] ZINC000626764642 367732984 /nfs/dbraw/zinc/73/29/84/367732984.db2.gz KNQNIYHGRFTTIF-VHSXEESVSA-N -1 1 323.397 1.354 20 0 DDADMM CC(=O)N[C@H](CC(C)C)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000626847528 367797376 /nfs/dbraw/zinc/79/73/76/367797376.db2.gz VYWLFVULUBRATN-NWDGAFQWSA-N -1 1 324.381 1.032 20 0 DDADMM Cn1cc(Cl)c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000626906427 367828859 /nfs/dbraw/zinc/82/88/59/367828859.db2.gz JJWNQIAJKDIEJD-UHFFFAOYSA-N -1 1 311.729 1.182 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@H]1CCC[C@H](C)[C@H]1C ZINC000349667179 284008318 /nfs/dbraw/zinc/00/83/18/284008318.db2.gz PBLOYJKPRHPGCL-NGZCFLSTSA-N -1 1 301.412 1.746 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCC[C@H](C)[C@H]1C ZINC000349667179 284008323 /nfs/dbraw/zinc/00/83/23/284008323.db2.gz PBLOYJKPRHPGCL-NGZCFLSTSA-N -1 1 301.412 1.746 20 0 DDADMM COc1cc2[n-]cc(C(=O)N[C@H](C)CF)c(=O)c2c(OC)c1 ZINC000627299541 368112661 /nfs/dbraw/zinc/11/26/61/368112661.db2.gz WYVYEHGPVLVUDM-MRVPVSSYSA-N -1 1 308.309 1.633 20 0 DDADMM C[C@@H]1OCC[C@H]1S(=O)(=O)Nc1cc(F)cc(C(=O)[O-])c1F ZINC000566313937 304143298 /nfs/dbraw/zinc/14/32/98/304143298.db2.gz VASORZRUISJWMK-QUBYGPBYSA-N -1 1 321.301 1.582 20 0 DDADMM O=C([O-])[C@@H](CC(F)(F)F)NC(=O)c1ccc2[nH]nnc2c1 ZINC000261751814 203107617 /nfs/dbraw/zinc/10/76/17/203107617.db2.gz TXIYJBCKPMAQBR-MRVPVSSYSA-N -1 1 302.212 1.093 20 0 DDADMM CCCn1nccc1NC(=O)CN1CCCC[C@H]1CC(=O)[O-] ZINC000635018536 422771911 /nfs/dbraw/zinc/77/19/11/422771911.db2.gz ONPWTTYKTLQJFU-LBPRGKRZSA-N -1 1 308.382 1.561 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(CC(=O)Nc2cc(C)cc(C)c2)C1 ZINC000635018242 422771949 /nfs/dbraw/zinc/77/19/49/422771949.db2.gz FKHZWZLVIWMYRA-KRWDZBQOSA-N -1 1 320.389 1.665 20 0 DDADMM O=C([O-])[C@@H]1CC[C@@H]1C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000262084872 203194078 /nfs/dbraw/zinc/19/40/78/203194078.db2.gz LAJIUNQZVYRELU-VHSXEESVSA-N -1 1 305.309 1.472 20 0 DDADMM O=C(N[C@@H]1CS(=O)(=O)c2ccccc21)c1cc(F)ccc1[O-] ZINC000175242203 284137431 /nfs/dbraw/zinc/13/74/31/284137431.db2.gz MJMYBALLRIDULH-GFCCVEGCSA-N -1 1 321.329 1.790 20 0 DDADMM CCOC(=O)[C@H]1CCCN(CC(=O)[N-]OCc2ccccc2)C1 ZINC000093190713 193213426 /nfs/dbraw/zinc/21/34/26/193213426.db2.gz RVZBPBNFBZXCMA-HNNXBMFYSA-N -1 1 320.389 1.510 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2cccc(Cl)c2)n1 ZINC000350150343 284158883 /nfs/dbraw/zinc/15/88/83/284158883.db2.gz VZFGZLJNTNBXBC-UHFFFAOYSA-N -1 1 314.750 1.824 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3ccoc3C)no2)co1 ZINC000350432022 284195703 /nfs/dbraw/zinc/19/57/03/284195703.db2.gz GCBDFWXQLAHBHK-UHFFFAOYSA-N -1 1 309.303 1.806 20 0 DDADMM CCCCOCC[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000264633524 204043222 /nfs/dbraw/zinc/04/32/22/204043222.db2.gz UKJGLSJCZLDNDC-UHFFFAOYSA-N -1 1 305.352 1.161 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCC(C)(C)C2)o1 ZINC000350837546 284269341 /nfs/dbraw/zinc/26/93/41/284269341.db2.gz UKGDFDTVESIYIB-VIFPVBQESA-N -1 1 301.364 1.923 20 0 DDADMM CCCc1nc(=NC(=O)N2CCN(C)[C@@H](C(C)C)C2)s[n-]1 ZINC000350900948 284280067 /nfs/dbraw/zinc/28/00/67/284280067.db2.gz OQIPJJBZHVBFGT-LLVKDONJSA-N -1 1 311.455 1.716 20 0 DDADMM CSc1cccc(F)c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000282516836 217055502 /nfs/dbraw/zinc/05/55/02/217055502.db2.gz XVIQAGGIFLVTJZ-QMMMGPOBSA-N -1 1 309.370 1.936 20 0 DDADMM CCCC[C@@H](C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000282712938 217196641 /nfs/dbraw/zinc/19/66/41/217196641.db2.gz KVHWMKJXKVEHPT-SECBINFHSA-N -1 1 300.380 1.804 20 0 DDADMM COC(=O)[C@H](CF)NC(=O)c1cc(Br)ccc1[O-] ZINC000288275026 220056784 /nfs/dbraw/zinc/05/67/84/220056784.db2.gz MIMGPRMTKKHKOU-QMMMGPOBSA-N -1 1 320.114 1.396 20 0 DDADMM Cn1[n-]c(CSc2nnc3sc4ccccc4n23)nc1=O ZINC000351647768 284431419 /nfs/dbraw/zinc/43/14/19/284431419.db2.gz JPLWHRZQTQYFTM-UHFFFAOYSA-N -1 1 318.387 1.658 20 0 DDADMM CCN1CCC[C@H]1CNS(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000021810328 182292078 /nfs/dbraw/zinc/29/20/78/182292078.db2.gz QVSHNFAVQGKQOR-LBPRGKRZSA-N -1 1 312.391 1.147 20 0 DDADMM CCC[C@H](NC(=O)c1[nH]c2c(c1C)C(=O)CCC2)c1nn[n-]n1 ZINC000267699603 206226740 /nfs/dbraw/zinc/22/67/40/206226740.db2.gz PZSXHJISFLHJBL-JTQLQIEISA-N -1 1 316.365 1.626 20 0 DDADMM CCC[C@@H](NC(=O)c1cnn(-c2ccccc2)c1)c1nn[n-]n1 ZINC000267704083 206229550 /nfs/dbraw/zinc/22/95/50/206229550.db2.gz QOSIQOBGPPGBEC-CYBMUJFWSA-N -1 1 311.349 1.657 20 0 DDADMM CCc1nc(C)c([C@H](C)N(C)C(=O)CCc2nn[n-]n2)s1 ZINC000631463951 422780693 /nfs/dbraw/zinc/78/06/93/422780693.db2.gz JMTHITRYGBJICY-VIFPVBQESA-N -1 1 308.411 1.679 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cn(C(C)(C)C)nc1C ZINC000337142731 249364270 /nfs/dbraw/zinc/36/42/70/249364270.db2.gz XOJIMHNFLFZRLA-UHFFFAOYSA-N -1 1 318.381 1.012 20 0 DDADMM CO[N-]C(=O)[C@@H]1CC(=O)N(c2cccc(Br)c2)C1 ZINC000043732875 183384228 /nfs/dbraw/zinc/38/42/28/183384228.db2.gz ORILYMOYILOMKF-MRVPVSSYSA-N -1 1 313.151 1.480 20 0 DDADMM O=C([O-])C(=O)N1CCC(c2nnc(-c3ccccc3)[nH]2)CC1 ZINC000274125533 211377218 /nfs/dbraw/zinc/37/72/18/211377218.db2.gz CVOJIHONAHXGPS-UHFFFAOYSA-N -1 1 300.318 1.262 20 0 DDADMM COCCOCCCC[N-]S(=O)(=O)c1sccc1F ZINC000338881652 250179925 /nfs/dbraw/zinc/17/99/25/250179925.db2.gz HFKNGBUVXXBLTK-UHFFFAOYSA-N -1 1 311.400 1.609 20 0 DDADMM CCc1ccc(S(=O)(=O)N[C@H](C)c2nnc[nH]2)cc1C(=O)[O-] ZINC000273809983 211080613 /nfs/dbraw/zinc/08/06/13/211080613.db2.gz UGNPTSLJZGUMCC-MRVPVSSYSA-N -1 1 324.362 1.105 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]CC[C@H](O)C(F)(F)F)s1 ZINC000339039501 250264189 /nfs/dbraw/zinc/26/41/89/250264189.db2.gz JHKBWSAXUFHYIK-LURJTMIESA-N -1 1 304.315 1.043 20 0 DDADMM CCc1ccc(NS(=O)(=O)c2c(C)cnn2C)c(C(=O)[O-])c1 ZINC000285244841 218349521 /nfs/dbraw/zinc/34/95/21/218349521.db2.gz UIBCBHURSPGSQI-UHFFFAOYSA-N -1 1 323.374 1.790 20 0 DDADMM O=C(COc1ccccc1)NCC(=O)Nc1ccc(F)cc1[O-] ZINC000340332142 251011744 /nfs/dbraw/zinc/01/17/44/251011744.db2.gz JWMSOSOVLVXKRX-UHFFFAOYSA-N -1 1 318.304 1.665 20 0 DDADMM NC(=O)c1cccc([N-]S(=O)(=O)c2ccc3c(c2)COC3)c1 ZINC000340577932 251121141 /nfs/dbraw/zinc/12/11/41/251121141.db2.gz VEAMQMPWMFBRQJ-UHFFFAOYSA-N -1 1 318.354 1.617 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1cc(Cl)ccc1F)C1CC1 ZINC000340838216 251237082 /nfs/dbraw/zinc/23/70/82/251237082.db2.gz GZIFBSMHDXTQTA-LBPRGKRZSA-N -1 1 307.774 1.918 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)F)c1cc(Cl)ccc1F ZINC000340874448 251255835 /nfs/dbraw/zinc/25/58/35/251255835.db2.gz RUSJLCVJVRPZFB-SSDOTTSWSA-N -1 1 317.716 1.774 20 0 DDADMM CN(C)C[C@@H]1CCCN1S(=O)(=O)c1ccccc1C(=O)[O-] ZINC000061643367 184180519 /nfs/dbraw/zinc/18/05/19/184180519.db2.gz PJAIATTVMOSWGQ-NSHDSACASA-N -1 1 312.391 1.100 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CSC[C@H]2C)o1 ZINC000352334131 284971887 /nfs/dbraw/zinc/97/18/87/284971887.db2.gz YFVUGJKRAOQUQE-SFYZADRCSA-N -1 1 305.377 1.096 20 0 DDADMM COC(=O)Cc1csc(N(C)C(=O)c2ccccc2[O-])n1 ZINC000114639469 194674148 /nfs/dbraw/zinc/67/41/48/194674148.db2.gz CNGJWISRQICZRH-UHFFFAOYSA-N -1 1 306.343 1.841 20 0 DDADMM CC(C)c1cccc(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000352425168 285041802 /nfs/dbraw/zinc/04/18/02/285041802.db2.gz XVNSCBJEBGELDN-UHFFFAOYSA-N -1 1 311.345 1.818 20 0 DDADMM CN(C(=O)CNC(=O)c1ncccc1[O-])c1ccc2cc[nH]c2c1 ZINC000352439617 285051309 /nfs/dbraw/zinc/05/13/09/285051309.db2.gz SFDWZTSLOFUSMV-UHFFFAOYSA-N -1 1 324.340 1.661 20 0 DDADMM CCCCOCC[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000270058848 208113124 /nfs/dbraw/zinc/11/31/24/208113124.db2.gz WQHAEUIEWRSQPZ-UHFFFAOYSA-N -1 1 305.352 1.161 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc2nccnc2c1 ZINC000352538874 285126173 /nfs/dbraw/zinc/12/61/73/285126173.db2.gz QHAHRGSICQJNLE-UHFFFAOYSA-N -1 1 323.312 1.099 20 0 DDADMM COC(=O)c1ccccc1S(=O)(=O)[N-][C@@H](C)C(F)(F)F ZINC000288673340 220339554 /nfs/dbraw/zinc/33/95/54/220339554.db2.gz SVPDKISJJBXZOV-ZETCQYMHSA-N -1 1 311.281 1.702 20 0 DDADMM Cc1ccc(NC(=O)CNC(=O)c2ncccc2[O-])c(C)n1 ZINC000352585025 285157704 /nfs/dbraw/zinc/15/77/04/285157704.db2.gz YYRXIKVNNWGIOU-UHFFFAOYSA-N -1 1 300.318 1.168 20 0 DDADMM O=c1nc(CN2CCc3cc(Br)ccc3C2)[nH][n-]1 ZINC000276763163 285225284 /nfs/dbraw/zinc/22/52/84/285225284.db2.gz GNHPTUYPCVUKNL-UHFFFAOYSA-N -1 1 309.167 1.419 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCc2cc(F)c(F)c(F)c2)[n-]n1 ZINC000413233768 224159009 /nfs/dbraw/zinc/15/90/09/224159009.db2.gz LQHAGJZCPQEWPI-UHFFFAOYSA-N -1 1 324.306 1.672 20 0 DDADMM COc1ccc([C@H](NCc2cc(C)nn2C)C(=O)[O-])cc1F ZINC000417572930 533196721 /nfs/dbraw/zinc/19/67/21/533196721.db2.gz PPPMPAAFTMSAAK-AWEZNQCLSA-N -1 1 307.325 1.792 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2ccc3c(c2)CCC=C3)n[n-]1 ZINC000352797845 285307598 /nfs/dbraw/zinc/30/75/98/285307598.db2.gz RXGPMCJZFBEHOC-UHFFFAOYSA-N -1 1 318.358 1.420 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc3c(c2)CCC=C3)n1 ZINC000352797845 285307601 /nfs/dbraw/zinc/30/76/01/285307601.db2.gz RXGPMCJZFBEHOC-UHFFFAOYSA-N -1 1 318.358 1.420 20 0 DDADMM C[C@H]1C[C@H](CCNC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000295013032 224378462 /nfs/dbraw/zinc/37/84/62/224378462.db2.gz TXRCOQPVILMHAU-WDEREUQCSA-N -1 1 320.418 1.953 20 0 DDADMM CC(C)[C@H]1CN(C(=O)N=c2[n-]nc(C3CC3)s2)CCN1C ZINC000631544113 422823746 /nfs/dbraw/zinc/82/37/46/422823746.db2.gz BTGWNZZVXDKCEV-LLVKDONJSA-N -1 1 309.439 1.641 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CC[C@H]2CCCC[C@H]2C1 ZINC000416131808 286188358 /nfs/dbraw/zinc/18/83/58/286188358.db2.gz ZZDXVXGIFKIGQT-OUAUKWLOSA-N -1 1 321.377 1.985 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(-c2nc[nH]n2)cc1)c1nn[n-]n1 ZINC000186046351 407565791 /nfs/dbraw/zinc/56/57/91/407565791.db2.gz DDEODWQKDNRQRZ-NSHDSACASA-N -1 1 312.337 1.256 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)Cc2ccco2)c(=O)[n-]1 ZINC000073278545 406888965 /nfs/dbraw/zinc/88/89/65/406888965.db2.gz SAKJYAVKWZEFHA-UHFFFAOYSA-N -1 1 321.402 1.984 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCc1ccccc1 ZINC000074162362 406903845 /nfs/dbraw/zinc/90/38/45/406903845.db2.gz SPEADXIXHCPTIZ-UHFFFAOYSA-N -1 1 324.384 1.888 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCc3sccc3C2)C1 ZINC000035297082 406971311 /nfs/dbraw/zinc/97/13/11/406971311.db2.gz GLFPTQVFVKGLLG-LBPRGKRZSA-N -1 1 308.403 1.429 20 0 DDADMM O=C([O-])[C@@H]1CC=CC[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1O ZINC000029006771 406920773 /nfs/dbraw/zinc/92/07/73/406920773.db2.gz IJABJRBRUZXSIA-VHSXEESVSA-N -1 1 306.274 1.906 20 0 DDADMM CS(=O)(=O)NCCCNC(=O)c1cc2ccccc2cc1[O-] ZINC000031216757 406938774 /nfs/dbraw/zinc/93/87/74/406938774.db2.gz KSKZDYKQJXONIE-UHFFFAOYSA-N -1 1 322.386 1.215 20 0 DDADMM C[C@@H](CS(C)(=O)=O)NC(=O)c1cc2ccccc2cc1[O-] ZINC000078637281 407037788 /nfs/dbraw/zinc/03/77/88/407037788.db2.gz MPQDFAXSSTVNRV-JTQLQIEISA-N -1 1 307.371 1.708 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCCCCC2)o1 ZINC000048079153 407089304 /nfs/dbraw/zinc/08/93/04/407089304.db2.gz DXPQNYJOKJEENB-UHFFFAOYSA-N -1 1 300.380 1.594 20 0 DDADMM O=C(CS(=O)(=O)c1ccc([O-])cc1)NCc1cccs1 ZINC000049489006 407122126 /nfs/dbraw/zinc/12/21/26/407122126.db2.gz KVWSYBXLXRTLHQ-UHFFFAOYSA-N -1 1 311.384 1.544 20 0 DDADMM CCCNC(=O)C1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000080001575 407069011 /nfs/dbraw/zinc/06/90/11/407069011.db2.gz LHLHWAVPOUAERL-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM CCn1cc([N-]S(=O)(=O)c2cc(F)ccc2F)ccc1=O ZINC000047211647 407071477 /nfs/dbraw/zinc/07/14/77/407071477.db2.gz FURLQYFSOURLHK-UHFFFAOYSA-N -1 1 314.313 1.947 20 0 DDADMM CS(=O)(=O)[N-]c1cc(I)ccc1O ZINC000095721662 407215306 /nfs/dbraw/zinc/21/53/06/407215306.db2.gz SUQAZDHRRIXYNQ-UHFFFAOYSA-N -1 1 313.116 1.368 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1CC(=O)N(c2cccc3ccccc32)C1 ZINC000102894818 407328234 /nfs/dbraw/zinc/32/82/34/407328234.db2.gz RTQRWDMHEUAIQX-NSHDSACASA-N -1 1 322.328 1.345 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1CC(=O)N(c2cccc3ccccc32)C1 ZINC000102894818 407328238 /nfs/dbraw/zinc/32/82/38/407328238.db2.gz RTQRWDMHEUAIQX-NSHDSACASA-N -1 1 322.328 1.345 20 0 DDADMM CC(C)(C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C1CCCC1 ZINC000124162449 407350018 /nfs/dbraw/zinc/35/00/18/407350018.db2.gz JRNUYLCPDHGUDK-UHFFFAOYSA-N -1 1 300.384 1.363 20 0 DDADMM CC(C)(C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C1CCCC1 ZINC000124162449 407350019 /nfs/dbraw/zinc/35/00/19/407350019.db2.gz JRNUYLCPDHGUDK-UHFFFAOYSA-N -1 1 300.384 1.363 20 0 DDADMM C[C@@H](CN(C)C(=O)COC/C=C/c1ccccc1)c1nn[n-]n1 ZINC000124600065 407363620 /nfs/dbraw/zinc/36/36/20/407363620.db2.gz ZARSLMVPPJRVLR-PPGNKHEKSA-N -1 1 315.377 1.492 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)Sc1ccccc1)c1nn[n-]n1 ZINC000124637095 407365113 /nfs/dbraw/zinc/36/51/13/407365113.db2.gz ACYAEMZPBVCDFT-GHMZBOCLSA-N -1 1 305.407 1.942 20 0 DDADMM C[C@@H](NC(=O)c1ccc(Br)c([O-])c1)c1nc[nH]n1 ZINC000124750860 407368639 /nfs/dbraw/zinc/36/86/39/407368639.db2.gz ROOPDEFXSNUBTF-ZCFIWIBFSA-N -1 1 311.139 1.764 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)C[C@H]1CCCO1)C(=O)OC(C)(C)C ZINC000125883143 407400515 /nfs/dbraw/zinc/40/05/15/407400515.db2.gz XSTOPAPOFSLEDV-VXGBXAGGSA-N -1 1 321.439 1.451 20 0 DDADMM NC(=O)CSc1ccccc1NC(=O)c1cncc([O-])c1 ZINC000111776323 407416501 /nfs/dbraw/zinc/41/65/01/407416501.db2.gz HHNLYRJLSOCPEE-UHFFFAOYSA-N -1 1 303.343 1.617 20 0 DDADMM COc1ccc2nc(-c3ccnc(-n4cncn4)c3)[n-]c(=O)c2c1 ZINC000113459217 407508781 /nfs/dbraw/zinc/50/87/81/407508781.db2.gz YUZUXXCJCBABAL-UHFFFAOYSA-N -1 1 320.312 1.574 20 0 DDADMM CCCCO[C@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000114155692 407546901 /nfs/dbraw/zinc/54/69/01/407546901.db2.gz CXMGARMVSVUXRN-GFCCVEGCSA-N -1 1 320.393 1.461 20 0 DDADMM Cc1ccccc1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000114155189 407547089 /nfs/dbraw/zinc/54/70/89/407547089.db2.gz QUNNPEXXCFZXRI-UHFFFAOYSA-N -1 1 310.357 1.878 20 0 DDADMM Cc1ccc(-n2[n-]c(-c3nc(C4(N)CCC4)no3)cc2=O)cc1 ZINC000261789420 407617045 /nfs/dbraw/zinc/61/70/45/407617045.db2.gz GANBBMVOVSDVGF-UHFFFAOYSA-N -1 1 311.345 1.862 20 0 DDADMM COC(=O)c1ccc(Cl)cc1S(=O)(=O)[N-]CC(F)F ZINC000178831552 407618502 /nfs/dbraw/zinc/61/85/02/407618502.db2.gz NGUQJWMULVEAIA-UHFFFAOYSA-N -1 1 313.709 1.670 20 0 DDADMM CO[N-]C(=O)[C@H]1CC(=O)N(C)[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC000115327730 407667243 /nfs/dbraw/zinc/66/72/43/407667243.db2.gz NAPMCCXTMFZBQE-CMPLNLGQSA-N -1 1 316.279 1.902 20 0 DDADMM COc1cc(C(=O)NCCN(C)CC(F)F)cc(Cl)c1[O-] ZINC000629846872 422837154 /nfs/dbraw/zinc/83/71/54/422837154.db2.gz CXLMQUUOZYNMMZ-UHFFFAOYSA-N -1 1 322.739 1.981 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Br)c([O-])c2)CC[C@H]1O ZINC000186601509 407716145 /nfs/dbraw/zinc/71/61/45/407716145.db2.gz KGMPILKLTHYPRB-GZMMTYOYSA-N -1 1 314.179 1.998 20 0 DDADMM O=C(NCc1ccncn1)c1ccc(Br)cc1[O-] ZINC000236558876 407727104 /nfs/dbraw/zinc/72/71/04/407727104.db2.gz NLYNLYDRJPYBGB-UHFFFAOYSA-N -1 1 308.135 1.875 20 0 DDADMM CCc1nc(S(=O)(=O)[C@H](C)C(=O)Nc2ccccc2C)n[n-]1 ZINC000153152038 407765497 /nfs/dbraw/zinc/76/54/97/407765497.db2.gz PDQJGSHTEOZFDZ-SNVBAGLBSA-N -1 1 322.390 1.476 20 0 DDADMM CCCN(C)C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000267156705 407770587 /nfs/dbraw/zinc/77/05/87/407770587.db2.gz MXYQFROAOMRDLU-UHFFFAOYSA-N -1 1 315.395 1.940 20 0 DDADMM CC(C)[C@H](O)C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272163374 407800937 /nfs/dbraw/zinc/80/09/37/407800937.db2.gz FQQAXGHRTFTRRT-MRVPVSSYSA-N -1 1 313.206 1.684 20 0 DDADMM COC(=O)C[C@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000267236041 407801459 /nfs/dbraw/zinc/80/14/59/407801459.db2.gz DLEJLKFNSPZJQQ-ZETCQYMHSA-N -1 1 316.151 1.836 20 0 DDADMM C/C=C/C[C@H](CO)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000187136167 407824259 /nfs/dbraw/zinc/82/42/59/407824259.db2.gz PRFQQZALXYKLFJ-XUIVZRPNSA-N -1 1 307.394 1.433 20 0 DDADMM C[C@@H](CO)[C@H](C)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272253242 407835924 /nfs/dbraw/zinc/83/59/24/407835924.db2.gz TWEPFVOZVYETCL-BQBZGAKWSA-N -1 1 313.206 1.684 20 0 DDADMM O=C(NCCN1CCOCC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000180334829 407877761 /nfs/dbraw/zinc/87/77/61/407877761.db2.gz WUEWXQDVPNIBGQ-UHFFFAOYSA-N -1 1 318.295 1.473 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H](CCO)c1ccco1)c2=O ZINC000187962663 407909452 /nfs/dbraw/zinc/90/94/52/407909452.db2.gz YVIBNANJJOHEST-LLVKDONJSA-N -1 1 316.317 1.120 20 0 DDADMM C[C@@H](NC(=O)c1ccc([O-])cc1F)C(=O)NCc1ccco1 ZINC000181188490 407964343 /nfs/dbraw/zinc/96/43/43/407964343.db2.gz SVSKBOLYPBLJHL-SECBINFHSA-N -1 1 306.293 1.559 20 0 DDADMM CSCC[C@H](C)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119270324 408027472 /nfs/dbraw/zinc/02/74/72/408027472.db2.gz KEMCKKPXKUUVLH-VIFPVBQESA-N -1 1 308.407 1.888 20 0 DDADMM CCCCNC(=O)[C@@H]1CCC[N@@H+](Cc2nc(CC)cc(=O)[nH]2)C1 ZINC000119361260 408049869 /nfs/dbraw/zinc/04/98/69/408049869.db2.gz LADZCNUAKKVYBW-CYBMUJFWSA-N -1 1 320.437 1.873 20 0 DDADMM CCN(C[C@@H](O)C(F)(F)F)C(=O)c1cccc2nn[nH]c21 ZINC000188874513 407985152 /nfs/dbraw/zinc/98/51/52/407985152.db2.gz FUZKIGWWJGKHLC-SECBINFHSA-N -1 1 302.256 1.343 20 0 DDADMM CN(CCc1ccccc1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119143564 408002287 /nfs/dbraw/zinc/00/22/87/408002287.db2.gz JMNCJWXQWBFVHO-UHFFFAOYSA-N -1 1 310.357 1.989 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@H](O)CC(C)(C)C)c2=O ZINC000182028112 408065985 /nfs/dbraw/zinc/06/59/85/408065985.db2.gz PMNBATKFPVQMPM-SECBINFHSA-N -1 1 306.366 1.201 20 0 DDADMM CC[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)[C@H]1CCCO1 ZINC000119436509 408069244 /nfs/dbraw/zinc/06/92/44/408069244.db2.gz JIHGQHBKLXIWDX-NWDGAFQWSA-N -1 1 304.350 1.361 20 0 DDADMM CS[C@@H](C)CC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000121374533 408195953 /nfs/dbraw/zinc/19/59/53/408195953.db2.gz PWEGTSUEXUBBCL-QMMMGPOBSA-N -1 1 308.407 1.397 20 0 DDADMM O=C(Cc1cccc2cccnc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155580016 408184091 /nfs/dbraw/zinc/18/40/91/408184091.db2.gz SWUCEBKWCRZUFT-CQSZACIVSA-N -1 1 322.372 1.697 20 0 DDADMM C[C@@H](CC(=O)c1ccc(Cl)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000273555718 408243570 /nfs/dbraw/zinc/24/35/70/408243570.db2.gz IBRYITRIERDCAA-QMMMGPOBSA-N -1 1 323.736 1.132 20 0 DDADMM COCCN1CC[C@@H](NC(=O)c2cc(F)c(F)c([O-])c2F)C1 ZINC000273575628 408252418 /nfs/dbraw/zinc/25/24/18/408252418.db2.gz YYTQWINTMXDYCT-MRVPVSSYSA-N -1 1 318.295 1.260 20 0 DDADMM O=C(N[C@H](CO)Cc1c[nH]c2ccccc12)c1ncccc1[O-] ZINC000155784236 408210599 /nfs/dbraw/zinc/21/05/99/408210599.db2.gz MWZALWAQYGBSOR-LBPRGKRZSA-N -1 1 311.341 1.602 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-]c1nc(C2CCC2)n[nH]1 ZINC000274178672 408316718 /nfs/dbraw/zinc/31/67/18/408316718.db2.gz ISBXBJAESKJMMG-UHFFFAOYSA-N -1 1 314.392 1.943 20 0 DDADMM CC(C)[C@H](Cc1ccccc1)C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000176256002 408325322 /nfs/dbraw/zinc/32/53/22/408325322.db2.gz XMRMNHPWGYJMLD-AWEZNQCLSA-N -1 1 316.405 1.662 20 0 DDADMM COCCN1C[C@@H](C(=O)Nc2ccc([O-])c(Cl)c2)CC1=O ZINC000182869943 408271602 /nfs/dbraw/zinc/27/16/02/408271602.db2.gz TYZMXJUODNMXHC-VIFPVBQESA-N -1 1 312.753 1.479 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(OC[C@@H]2CCCCO2)CC1 ZINC000176214379 408312041 /nfs/dbraw/zinc/31/20/41/408312041.db2.gz DROJEPIQLNQUQP-INIZCTEOSA-N -1 1 320.389 1.978 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2(CC(C)C)CC2)co1 ZINC000156125675 162143758 /nfs/dbraw/zinc/14/37/58/162143758.db2.gz GFYYNIOBCOTYKD-UHFFFAOYSA-N -1 1 314.407 1.744 20 0 DDADMM COc1ccc(-c2n[nH]c(C[N-]C(=O)C(F)(F)F)n2)cc1 ZINC000170902824 162199149 /nfs/dbraw/zinc/19/91/49/162199149.db2.gz PCTSRBXIPXUKKH-UHFFFAOYSA-N -1 1 300.240 1.659 20 0 DDADMM COc1ccc(-c2nc(C[N-]C(=O)C(F)(F)F)n[nH]2)cc1 ZINC000170902824 162199152 /nfs/dbraw/zinc/19/91/52/162199152.db2.gz PCTSRBXIPXUKKH-UHFFFAOYSA-N -1 1 300.240 1.659 20 0 DDADMM O=C(N[C@H]1Cc2ccccc2[C@H]1O)c1nc2ccccc2c(=O)[n-]1 ZINC000170993343 162201973 /nfs/dbraw/zinc/20/19/73/162201973.db2.gz AMHINQJYRZIKSG-LSDHHAIUSA-N -1 1 321.336 1.311 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@H]1CCOc2ccccc21)c1nn[n-]n1 ZINC000183407011 408400513 /nfs/dbraw/zinc/40/05/13/408400513.db2.gz HNPUTQUGGRERSK-NWDGAFQWSA-N -1 1 315.377 1.718 20 0 DDADMM COc1ccccc1[C@@H](C)CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183413610 408405820 /nfs/dbraw/zinc/40/58/20/408405820.db2.gz OPQKVFBTTANAKF-NWDGAFQWSA-N -1 1 317.393 1.964 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@@H]1c1ccc(F)cc1F)c1nn[n-]n1 ZINC000183421596 408408932 /nfs/dbraw/zinc/40/89/32/408408932.db2.gz IRQUHOXEOCOEML-GGZOMVNGSA-N -1 1 321.331 1.844 20 0 DDADMM CC[C@H](C(=O)[O-])N1CCN(C(=O)c2cc3ccccc3[nH]2)CC1 ZINC000191415592 408422715 /nfs/dbraw/zinc/42/27/15/408422715.db2.gz GLOHZSXKYGHTCN-OAHLLOKOSA-N -1 1 315.373 1.789 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(NC(C)=O)cc1)c1nn[n-]n1 ZINC000176769438 408437417 /nfs/dbraw/zinc/43/74/17/408437417.db2.gz MWMHJDVQQFCRBN-LBPRGKRZSA-N -1 1 302.338 1.429 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccccc1OCC)c1nn[n-]n1 ZINC000176764092 408439091 /nfs/dbraw/zinc/43/90/91/408439091.db2.gz CSLOCTDTJWAJTN-LLVKDONJSA-N -1 1 319.365 1.635 20 0 DDADMM CCC[C@H](NC(=O)CCn1cnc2ccccc21)c1nn[n-]n1 ZINC000176771870 408440630 /nfs/dbraw/zinc/44/06/30/408440630.db2.gz YZSLJGTVAQYPHY-LBPRGKRZSA-N -1 1 313.365 1.597 20 0 DDADMM CCC[C@H](NC(=O)c1cc(=O)[nH]c2ccccc21)c1nn[n-]n1 ZINC000176777546 408440815 /nfs/dbraw/zinc/44/08/15/408440815.db2.gz JHNLHBCSQOGGNL-LBPRGKRZSA-N -1 1 312.333 1.725 20 0 DDADMM COC(=O)c1c[n-]c(SCc2csc(NC(C)=O)n2)n1 ZINC000274944234 408571548 /nfs/dbraw/zinc/57/15/48/408571548.db2.gz RNWIOPOKUCYEIY-UHFFFAOYSA-N -1 1 312.376 1.904 20 0 DDADMM CCOC(=O)[C@H](C)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000265251871 408592305 /nfs/dbraw/zinc/59/23/05/408592305.db2.gz YCYSULVDCRORFS-VIFPVBQESA-N -1 1 316.379 1.430 20 0 DDADMM C[C@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1cc2ccccc2o1 ZINC000178308266 162654725 /nfs/dbraw/zinc/65/47/25/162654725.db2.gz KFZJBBDIPSDPIT-JTQLQIEISA-N -1 1 314.345 1.697 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(NC(C)=O)c1C)c1nn[n-]n1 ZINC000275952773 408729461 /nfs/dbraw/zinc/72/94/61/408729461.db2.gz GSPDIIVEMGUSKM-ZDUSSCGKSA-N -1 1 316.365 1.738 20 0 DDADMM C[C@H](CNS(=O)(=O)c1cc(C(=O)[O-])cs1)N(C)C1CC1 ZINC000184993932 408732839 /nfs/dbraw/zinc/73/28/39/408732839.db2.gz CEILLGYEHYDHHD-MRVPVSSYSA-N -1 1 318.420 1.207 20 0 DDADMM Cc1cccc(OCCNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000164582357 408800777 /nfs/dbraw/zinc/80/07/77/408800777.db2.gz RKROYOQTRADSKY-CQSZACIVSA-N -1 1 320.389 1.287 20 0 DDADMM COc1ccc(-c2n[n-]c(S(=O)(=O)Cc3ccon3)n2)cc1 ZINC000195120378 408813527 /nfs/dbraw/zinc/81/35/27/408813527.db2.gz ZHESEIOSVJLVOY-UHFFFAOYSA-N -1 1 320.330 1.442 20 0 DDADMM COc1ccc(-c2nc(S(=O)(=O)Cc3ccon3)n[n-]2)cc1 ZINC000195120378 408813529 /nfs/dbraw/zinc/81/35/29/408813529.db2.gz ZHESEIOSVJLVOY-UHFFFAOYSA-N -1 1 320.330 1.442 20 0 DDADMM CCNC(=O)C(C)(C)CNC(=O)c1c(F)ccc([O-])c1F ZINC000280957804 408865631 /nfs/dbraw/zinc/86/56/31/408865631.db2.gz JICHZXDOXMRWJC-UHFFFAOYSA-N -1 1 300.305 1.563 20 0 DDADMM O=C(N[C@@H]1CCO[C@]2(CCSC2)C1)C(=O)c1ccc([O-])cc1 ZINC000280976270 408867937 /nfs/dbraw/zinc/86/79/37/408867937.db2.gz KVVJXZUWBHKOHW-MLGOLLRUSA-N -1 1 321.398 1.746 20 0 DDADMM CO[C@@](C)(C[N-]S(=O)(=O)c1ncn(C)c1Cl)C1CC1 ZINC000291767709 408919604 /nfs/dbraw/zinc/91/96/04/408919604.db2.gz VJCBCJKVAXXHOJ-NSHDSACASA-N -1 1 307.803 1.167 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)N(C)CC(F)(F)F)c1nn[n-]n1 ZINC000193471657 163256541 /nfs/dbraw/zinc/25/65/41/163256541.db2.gz IJTKJAFPLVFFDI-HTQZYQBOSA-N -1 1 308.308 1.040 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@H]([C@H](O)C(F)(F)F)C1 ZINC000277523568 408957871 /nfs/dbraw/zinc/95/78/71/408957871.db2.gz ODYMTULJICHXDR-KWQFWETISA-N -1 1 304.268 1.563 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2c([O-])cccc2F)CCCC1 ZINC000286347723 408968379 /nfs/dbraw/zinc/96/83/79/408968379.db2.gz HBSFXWIMIPMFBQ-UHFFFAOYSA-N -1 1 315.366 1.619 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1C1CC1 ZINC000278049421 409057894 /nfs/dbraw/zinc/05/78/94/409057894.db2.gz WTMXJACRQAMUJH-SNVBAGLBSA-N -1 1 315.377 1.961 20 0 DDADMM O=C([N-]OCC1CC1)[C@H]1CC(=O)N(c2c(F)cccc2F)C1 ZINC000293849347 409193448 /nfs/dbraw/zinc/19/34/48/409193448.db2.gz UUILYNAPYYABRL-JTQLQIEISA-N -1 1 310.300 1.776 20 0 DDADMM Cc1nc(NC(=O)c2cc(C)ccc2[N-]S(C)(=O)=O)nn1C ZINC000293677493 409158694 /nfs/dbraw/zinc/15/86/94/409158694.db2.gz LBHJEGHEQUQVKU-UHFFFAOYSA-N -1 1 323.378 1.056 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)C)C[C@H](C)N1C(=O)c1ncccc1[O-] ZINC000293709990 409166158 /nfs/dbraw/zinc/16/61/58/409166158.db2.gz OFCRNQSQKWBVTF-RYUDHWBXSA-N -1 1 319.405 1.895 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cccc(C3CC3)n2)o1 ZINC000293960864 409215187 /nfs/dbraw/zinc/21/51/87/409215187.db2.gz RBKISHCIELZHAY-UHFFFAOYSA-N -1 1 321.358 1.712 20 0 DDADMM CCOC[C@@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000283789009 409217901 /nfs/dbraw/zinc/21/79/01/409217901.db2.gz UQKGYKPWCBCEHT-SNVBAGLBSA-N -1 1 306.391 1.517 20 0 DDADMM COC(=O)CSCCNC(=O)c1c(F)ccc([O-])c1F ZINC000289377875 409256945 /nfs/dbraw/zinc/25/69/45/409256945.db2.gz MEHYYXDTYSZXQL-UHFFFAOYSA-N -1 1 305.302 1.306 20 0 DDADMM CSCCONC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000280173318 409262935 /nfs/dbraw/zinc/26/29/35/409262935.db2.gz ZHNDBPQUGZAVGU-UHFFFAOYSA-N -1 1 317.436 1.556 20 0 DDADMM COC(=O)[C@@H](c1ccsc1)N1CCC(c2nc(=O)[n-][nH]2)CC1 ZINC000289464648 409278107 /nfs/dbraw/zinc/27/81/07/409278107.db2.gz QJRFHGDOSIVFKV-LLVKDONJSA-N -1 1 322.390 1.253 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC1CCC1)c1ccc(F)c(F)c1F ZINC000280307347 409288580 /nfs/dbraw/zinc/28/85/80/409288580.db2.gz RWBOPAAMSBQLDT-SECBINFHSA-N -1 1 323.336 1.933 20 0 DDADMM Cc1cc(F)c(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1F ZINC000295994717 409394426 /nfs/dbraw/zinc/39/44/26/409394426.db2.gz MDBXFYOJQXGNDV-VIFPVBQESA-N -1 1 309.320 1.591 20 0 DDADMM CCOC(=O)N1CC[C@H](NC(=O)c2c(F)ccc([O-])c2F)C1 ZINC000290423644 409396277 /nfs/dbraw/zinc/39/62/77/409396277.db2.gz KXJPMCYCCJIFNZ-QMMMGPOBSA-N -1 1 314.288 1.631 20 0 DDADMM CC[C@](C)(OC)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000280659479 409399654 /nfs/dbraw/zinc/39/96/54/409399654.db2.gz FJMPMHQFNKBGIU-HNNXBMFYSA-N -1 1 306.366 1.071 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2cc3ccccc3cc2[O-])CC1 ZINC000280684315 409408224 /nfs/dbraw/zinc/40/82/24/409408224.db2.gz FBDLBPVUMIRBSB-UHFFFAOYSA-N -1 1 319.382 1.852 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cn(-c2ccccc2)nc1C ZINC000290491004 409421433 /nfs/dbraw/zinc/42/14/33/409421433.db2.gz JGGCGSZMUQGMJK-UHFFFAOYSA-N -1 1 323.374 1.486 20 0 DDADMM CN(C)C(=O)O[C@H]1CCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000295399348 409466333 /nfs/dbraw/zinc/46/63/33/409466333.db2.gz IKMGTLXGZHNVTE-JTQLQIEISA-N -1 1 312.753 1.958 20 0 DDADMM O=C([O-])CN1CCCN(S(=O)(=O)/C=C/c2ccccc2)CC1 ZINC000315324864 164018702 /nfs/dbraw/zinc/01/87/02/164018702.db2.gz IAFFJOOVQFWWLL-KPKJPENVSA-N -1 1 324.402 1.079 20 0 DDADMM CC[C@@H](CC(=O)NC1(c2nn[n-]n2)CC1)c1ccc(OC)cc1 ZINC000357033233 164137251 /nfs/dbraw/zinc/13/72/51/164137251.db2.gz BQAVZJADXAGSML-NSHDSACASA-N -1 1 315.377 1.898 20 0 DDADMM Cc1c(NS(=O)(=O)c2cc(C(=O)[O-])ccc2C)cnn1C ZINC000362431148 164157209 /nfs/dbraw/zinc/15/72/09/164157209.db2.gz RJBNNXGHXHHFKZ-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM CCOC[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(C)C ZINC000295425803 409476240 /nfs/dbraw/zinc/47/62/40/409476240.db2.gz NSGRRTWGAHGKES-NSHDSACASA-N -1 1 308.407 1.809 20 0 DDADMM Cn1cc(Cl)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000407996460 164192786 /nfs/dbraw/zinc/19/27/86/164192786.db2.gz LDJMSCWVRYVCRA-QMMMGPOBSA-N -1 1 310.741 1.787 20 0 DDADMM CC1(C)CN(C(=O)C2CC2)CCN1C(=O)c1cncc([O-])c1 ZINC000408002832 164194938 /nfs/dbraw/zinc/19/49/38/164194938.db2.gz BLTSNIQZSCZKPX-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM CC(=O)N1CCC([N-]S(=O)(=O)c2nc(C)c(C)s2)CC1 ZINC000408404279 164320518 /nfs/dbraw/zinc/32/05/18/164320518.db2.gz XTMJYSOYVZKCOZ-UHFFFAOYSA-N -1 1 317.436 1.049 20 0 DDADMM CC[C@@H]1CCCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000337914313 409565064 /nfs/dbraw/zinc/56/50/64/409565064.db2.gz RZWPUPIDBIOZOV-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM CO[C@@H](C)c1nc(=N[C@H](c2nnc[nH]2)c2ccccc2)s[n-]1 ZINC000337874278 409528369 /nfs/dbraw/zinc/52/83/69/409528369.db2.gz PSXZDVRVWDETNU-ONGXEEELSA-N -1 1 316.390 1.987 20 0 DDADMM C[C@H](c1ccccc1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337943247 409589475 /nfs/dbraw/zinc/58/94/75/409589475.db2.gz AVNFVOGPMDJSME-GFCCVEGCSA-N -1 1 313.357 1.737 20 0 DDADMM CCN(Cc1cccs1)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337948481 409592608 /nfs/dbraw/zinc/59/26/08/409592608.db2.gz HZXJXEAXYRQUBA-UHFFFAOYSA-N -1 1 319.386 1.627 20 0 DDADMM COc1ccc(NC(=O)CNC(=O)c2ncccc2[O-])cc1F ZINC000337947485 409593324 /nfs/dbraw/zinc/59/33/24/409593324.db2.gz GTYIZZOIBGFCMZ-UHFFFAOYSA-N -1 1 319.292 1.303 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCS1 ZINC000296838219 409657855 /nfs/dbraw/zinc/65/78/55/409657855.db2.gz SPAOTPIEFZJPPO-VIFPVBQESA-N -1 1 308.432 1.986 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cnn(CCOC)c1)C(F)(F)F ZINC000345643959 409727766 /nfs/dbraw/zinc/72/77/66/409727766.db2.gz RZJJUMVLAVARFJ-SECBINFHSA-N -1 1 315.317 1.149 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(Cc2ccccc2F)CC1 ZINC000357052796 409824908 /nfs/dbraw/zinc/82/49/08/409824908.db2.gz SBDCPESREGWHIV-UHFFFAOYSA-N -1 1 301.325 1.467 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)Cc1cccc2[nH]ccc21 ZINC000349449922 409842967 /nfs/dbraw/zinc/84/29/67/409842967.db2.gz KXJMPKRAQLOUBO-UHFFFAOYSA-N -1 1 320.374 1.698 20 0 DDADMM Cc1cc(O[C@H](C)C(=O)NC2(c3nn[n-]n3)CC2)ccc1Cl ZINC000357047181 409816187 /nfs/dbraw/zinc/81/61/87/409816187.db2.gz LJTHLPNJFIEPTL-SECBINFHSA-N -1 1 321.768 1.734 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@]1(O)CCCC(C)(C)C1 ZINC000349538896 409862348 /nfs/dbraw/zinc/86/23/48/409862348.db2.gz SMCHUKCLYIDJON-CYBMUJFWSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@]1(O)CCCC(C)(C)C1 ZINC000349538896 409862355 /nfs/dbraw/zinc/86/23/55/409862355.db2.gz SMCHUKCLYIDJON-CYBMUJFWSA-N -1 1 317.411 1.005 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(Cn3cncn3)no2)c1 ZINC000350563715 409963739 /nfs/dbraw/zinc/96/37/39/409963739.db2.gz AIORBJHFOVPLCU-UHFFFAOYSA-N -1 1 300.278 1.040 20 0 DDADMM CC(C)[C@@H](NS(C)(=O)=O)C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000357358183 409990464 /nfs/dbraw/zinc/99/04/64/409990464.db2.gz PCIKQZKNNUQMFR-LLVKDONJSA-N -1 1 320.798 1.558 20 0 DDADMM Cn1[n-]c(CN2CC[C@@](F)(c3cccc(Cl)c3)C2)nc1=O ZINC000338371683 409947102 /nfs/dbraw/zinc/94/71/02/409947102.db2.gz QYCWHPAARMPIBJ-AWEZNQCLSA-N -1 1 310.760 1.833 20 0 DDADMM CCO[C@@H](C)c1nc(CC(=O)NC(C)(C)c2nn[n-]n2)cs1 ZINC000354771950 410077581 /nfs/dbraw/zinc/07/75/81/410077581.db2.gz LEFAHAAOMXXGHR-QMMMGPOBSA-N -1 1 324.410 1.348 20 0 DDADMM Cc1ccc(Br)cc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354777123 410078542 /nfs/dbraw/zinc/07/85/42/410078542.db2.gz SHGNMSPPECCUGU-UHFFFAOYSA-N -1 1 324.182 1.936 20 0 DDADMM CC(C)(Oc1ccc(Cl)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000298118567 410121353 /nfs/dbraw/zinc/12/13/53/410121353.db2.gz SQSRGZCZXYANSI-UHFFFAOYSA-N -1 1 311.725 1.080 20 0 DDADMM CC(C)c1cc(C(=O)N2CCCC[C@@H]2c2n[nH]c(=O)[n-]2)n[nH]1 ZINC000329193146 410157726 /nfs/dbraw/zinc/15/77/26/410157726.db2.gz SIWYSJHFEVGJFS-LLVKDONJSA-N -1 1 304.354 1.724 20 0 DDADMM C[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])c2ccccc21 ZINC000343149717 410185728 /nfs/dbraw/zinc/18/57/28/410185728.db2.gz CYNCSZCHXLHUKM-NSHDSACASA-N -1 1 311.341 1.667 20 0 DDADMM CCOc1ccc([C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cc1 ZINC000329269259 410204259 /nfs/dbraw/zinc/20/42/59/410204259.db2.gz GVUDOUITBYWGEY-CQSZACIVSA-N -1 1 302.378 1.844 20 0 DDADMM COC[C@]1(C)CN(C(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000629899249 422856087 /nfs/dbraw/zinc/85/60/87/422856087.db2.gz PTIBGEPVZUIFGA-AWEZNQCLSA-N -1 1 301.289 1.548 20 0 DDADMM C[C@H]1C[C@H]([N-]C(=O)C(F)(F)c2c(F)cccc2F)C(=O)O1 ZINC000298533924 410275198 /nfs/dbraw/zinc/27/51/98/410275198.db2.gz VWONKPGGDAZVEQ-RCOVLWMOSA-N -1 1 305.227 1.877 20 0 DDADMM Cc1sc2nc(SCc3nc(=O)n(C)[n-]3)nc(N)c2c1C ZINC000329301110 410220191 /nfs/dbraw/zinc/22/01/91/410220191.db2.gz WAADLQLIFASHNB-UHFFFAOYSA-N -1 1 322.419 1.604 20 0 DDADMM Cc1cc(NC(=O)CNC(=O)c2ncccc2[O-])ccc1F ZINC000343273347 410298239 /nfs/dbraw/zinc/29/82/39/410298239.db2.gz GQSXNZJOAWZTGA-UHFFFAOYSA-N -1 1 303.293 1.603 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C[C@@H]1CCOC1 ZINC000351974534 410383964 /nfs/dbraw/zinc/38/39/64/410383964.db2.gz IDIZZLMYBLPLGA-NSHDSACASA-N -1 1 304.350 1.095 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NC[C@H]1C[C@@H]1C ZINC000298923815 410451668 /nfs/dbraw/zinc/45/16/68/410451668.db2.gz BAOAXBRRAZNALP-GXFFZTMASA-N -1 1 312.373 1.867 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C[C@@H]3OCCC[C@H]23)sc1C ZINC000333449742 410553813 /nfs/dbraw/zinc/55/38/13/410553813.db2.gz NJOUCDFFNYFPKI-MXWKQRLJSA-N -1 1 302.421 1.606 20 0 DDADMM CC[C@@]([N-]S(=O)(=O)c1c[nH]cn1)(C(=O)OC)c1ccccc1 ZINC000352338461 410632509 /nfs/dbraw/zinc/63/25/09/410632509.db2.gz RZHJQPSCDNRTNC-AWEZNQCLSA-N -1 1 323.374 1.167 20 0 DDADMM O=C(N=c1ccc([O-])n[nH]1)c1cscc1Br ZINC000343735391 410655461 /nfs/dbraw/zinc/65/54/61/410655461.db2.gz QRWSKIOTCAYDTM-UHFFFAOYSA-N -1 1 300.137 1.680 20 0 DDADMM Cc1nc(-c2ccc(N3CC[C@H](CO)C3)nc2)[n-]c(=O)c1C ZINC000301384070 410712505 /nfs/dbraw/zinc/71/25/05/410712505.db2.gz BLRATESFYHZDSE-LBPRGKRZSA-N -1 1 300.362 1.680 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)CCOC)o1 ZINC000352984513 410718330 /nfs/dbraw/zinc/71/83/30/410718330.db2.gz PTGKLQXRBJEUBK-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM CC(=O)c1cccc(O[C@@H](C)C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000359795738 410885413 /nfs/dbraw/zinc/88/54/13/410885413.db2.gz VQGXMNIAJXUIIG-JTQLQIEISA-N -1 1 317.349 1.221 20 0 DDADMM O=C(CCc1ncc(-c2ccccc2)o1)NC1(c2nn[n-]n2)CC1 ZINC000348278230 410894438 /nfs/dbraw/zinc/89/44/38/410894438.db2.gz UZFBKPWYOPZIJE-UHFFFAOYSA-N -1 1 324.344 1.593 20 0 DDADMM Cc1nc(-c2ccccc2)oc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348281003 410894588 /nfs/dbraw/zinc/89/45/88/410894588.db2.gz SJMNRGMTWCQBEA-UHFFFAOYSA-N -1 1 310.317 1.582 20 0 DDADMM CC(C)(C)Oc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348289140 410900800 /nfs/dbraw/zinc/90/08/00/410900800.db2.gz ASJYGAAMMZFHGJ-UHFFFAOYSA-N -1 1 301.350 1.796 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cccc(-c2nc[nH]n2)c1 ZINC000348445982 410970291 /nfs/dbraw/zinc/97/02/91/410970291.db2.gz SRUHZADGFYAHTH-UHFFFAOYSA-N -1 1 324.348 1.184 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000344123843 410973251 /nfs/dbraw/zinc/97/32/51/410973251.db2.gz HAUXLNLVSKYMKX-SFYZADRCSA-N -1 1 320.436 1.626 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H]2[C@H](O)C(F)(F)F)c([O-])c1 ZINC000331201580 411014830 /nfs/dbraw/zinc/01/48/30/411014830.db2.gz NEMCORKUVVCGBK-KWQFWETISA-N -1 1 304.268 1.623 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1cnn(CC(F)(F)F)c1 ZINC000331229891 411030127 /nfs/dbraw/zinc/03/01/27/411030127.db2.gz GYGXJYOBDYXJEV-UHFFFAOYSA-N -1 1 315.317 1.612 20 0 DDADMM CCC1(CC)CN(C(=O)C(=O)c2ccc([O-])cc2)CC[S@@]1=O ZINC000331243540 411038535 /nfs/dbraw/zinc/03/85/35/411038535.db2.gz QCMZSADWAXWAHZ-QFIPXVFZSA-N -1 1 323.414 1.725 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCOCC2)C1)c1ccc([O-])cc1F ZINC000331430670 411086610 /nfs/dbraw/zinc/08/66/10/411086610.db2.gz QDMKUCODJWJZCM-LLVKDONJSA-N -1 1 309.337 1.989 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCCC[C@@H]2C)o1 ZINC000130523076 196087155 /nfs/dbraw/zinc/08/71/55/196087155.db2.gz IBPLGANFCUHYPS-QWRGUYRKSA-N -1 1 314.407 1.744 20 0 DDADMM CCOc1nccc(C)c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000580547422 422940064 /nfs/dbraw/zinc/94/00/64/422940064.db2.gz VVZSGGGCZWXVLE-LLVKDONJSA-N -1 1 316.365 1.322 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)[C@H]1CCCS(=O)(=O)C1 ZINC000652422314 423011690 /nfs/dbraw/zinc/01/16/90/423011690.db2.gz YIIDJPBOUIABDX-ZETCQYMHSA-N -1 1 305.302 1.434 20 0 DDADMM CO[C@H]1C[C@H](CC(=O)[O-])N(Cc2cn3c(cccc3C)n2)C1 ZINC000652503593 423047144 /nfs/dbraw/zinc/04/71/44/423047144.db2.gz VUTKAYOAEOBAIK-KGLIPLIRSA-N -1 1 303.362 1.707 20 0 DDADMM CC(C)[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000271638012 209084256 /nfs/dbraw/zinc/08/42/56/209084256.db2.gz GBIWCOPJELALJR-UWVGGRQHSA-N -1 1 321.830 1.555 20 0 DDADMM CCOCCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000647890528 423102371 /nfs/dbraw/zinc/10/23/71/423102371.db2.gz SJQFTQWZIASAAZ-UHFFFAOYSA-N -1 1 301.290 1.133 20 0 DDADMM C[C@H]1OCC[C@@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645632597 423118147 /nfs/dbraw/zinc/11/81/47/423118147.db2.gz LOLGZKZEUDJIRE-APPZFPTMSA-N -1 1 310.297 1.556 20 0 DDADMM O=C(NCc1ncc2c(n1)CCOC2)c1ccc([O-])c(F)c1 ZINC000652753596 423118228 /nfs/dbraw/zinc/11/82/28/423118228.db2.gz JVOMGIUXFQRNFC-UHFFFAOYSA-N -1 1 303.293 1.324 20 0 DDADMM CO[C@@]1(C)CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000296443512 225874516 /nfs/dbraw/zinc/87/45/16/225874516.db2.gz WEXZUWYHFWLPSP-AWEZNQCLSA-N -1 1 306.391 1.659 20 0 DDADMM Cn1cnnc1CNC(=O)c1ccc(Br)c([O-])c1 ZINC000133034486 221602153 /nfs/dbraw/zinc/60/21/53/221602153.db2.gz NTPJRZADSACJHN-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM NC(=O)c1ccc(=NC[C@@H]2CCCN(c3ccccc3)C2)[n-]n1 ZINC000366000464 418413952 /nfs/dbraw/zinc/41/39/52/418413952.db2.gz LJSOYOFWNWEPIO-ZDUSSCGKSA-N -1 1 311.389 1.326 20 0 DDADMM O=C(N[C@H]1CC[C@@H](C(=O)N2CCCCC2)C1)c1cncc([O-])c1 ZINC000373834388 418465315 /nfs/dbraw/zinc/46/53/15/418465315.db2.gz DSZOPKVAGAZNOQ-OCCSQVGLSA-N -1 1 317.389 1.698 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-][C@H](CC(F)(F)F)C(=O)OC ZINC000360866281 418535955 /nfs/dbraw/zinc/53/59/55/418535955.db2.gz ZCPZPPBZDFPURI-MRVPVSSYSA-N -1 1 320.333 1.047 20 0 DDADMM CCc1cc(=O)[nH]c(C[N@H+]2CC[C@@](O)(c3ccc(F)cc3)C2)n1 ZINC000374891789 418574207 /nfs/dbraw/zinc/57/42/07/418574207.db2.gz IOXXBYLCDMRGMC-KRWDZBQOSA-N -1 1 317.364 1.977 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCCc2nn(C)cc21)c1nn[n-]n1 ZINC000366972458 418546568 /nfs/dbraw/zinc/54/65/68/418546568.db2.gz FRJNUIBWQWEREW-JOYOIKCWSA-N -1 1 303.370 1.011 20 0 DDADMM Cc1nc2c(c(N3CCC(c4n[n-]c(=O)o4)CC3)n1)CCCC2 ZINC000291126944 222156521 /nfs/dbraw/zinc/15/65/21/222156521.db2.gz MRSBTYXCHANWNZ-UHFFFAOYSA-N -1 1 315.377 1.724 20 0 DDADMM COc1ccccc1NC(=O)CN1CCCC[C@H]1CC(=O)[O-] ZINC000314785830 418595797 /nfs/dbraw/zinc/59/57/97/418595797.db2.gz UNKAABLSCFDVHV-LBPRGKRZSA-N -1 1 306.362 1.963 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@@H](c2ccccc2)C1 ZINC000367722930 418627392 /nfs/dbraw/zinc/62/73/92/418627392.db2.gz BYXAHMGJCHUQPD-GFCCVEGCSA-N -1 1 307.375 1.574 20 0 DDADMM O=C([O-])c1cccc(CNC(=O)NCCN2CC=CCC2)c1 ZINC000392265186 418758785 /nfs/dbraw/zinc/75/87/85/418758785.db2.gz VVLDDZDOTUDALS-UHFFFAOYSA-N -1 1 303.362 1.446 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2[C@H]2CCC[C@H]2O)c([O-])c1 ZINC000408061931 418785226 /nfs/dbraw/zinc/78/52/26/418785226.db2.gz GKOMWEGVWZWOPH-JHJVBQTASA-N -1 1 306.362 1.098 20 0 DDADMM CN(C)C(=O)N1CCN(C(=O)c2ccc([O-])cc2F)C(C)(C)C1 ZINC000408410355 418801946 /nfs/dbraw/zinc/80/19/46/418801946.db2.gz ORUGOHLVFZAFDE-UHFFFAOYSA-N -1 1 323.368 1.749 20 0 DDADMM COCCc1nc(=N[C@H](c2nnc[nH]2)c2ccccc2)s[n-]1 ZINC000365235676 418844250 /nfs/dbraw/zinc/84/42/50/418844250.db2.gz RAKAAYBBYYZTMO-LBPRGKRZSA-N -1 1 316.390 1.469 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]Cc2nccn2C)c(F)c1 ZINC000425171594 228379640 /nfs/dbraw/zinc/37/96/40/228379640.db2.gz RHVGZJGLPJQDRL-UHFFFAOYSA-N -1 1 301.318 1.485 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCC[C@@H](C)CO)c(F)c1 ZINC000425173531 228381270 /nfs/dbraw/zinc/38/12/70/228381270.db2.gz WGIQOEUHSVZOMN-SECBINFHSA-N -1 1 307.362 1.960 20 0 DDADMM Cc1c(S(=O)(=O)[N-]c2cc(F)c(F)cc2F)cnn1C ZINC000427219447 419623298 /nfs/dbraw/zinc/62/32/98/419623298.db2.gz PVBAPLCRSUHLKT-UHFFFAOYSA-N -1 1 305.281 1.947 20 0 DDADMM CC(=O)N(C)c1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000427466168 419667651 /nfs/dbraw/zinc/66/76/51/419667651.db2.gz KSMSKHIDKOJDQH-JTQLQIEISA-N -1 1 316.365 1.058 20 0 DDADMM COc1ccccc1C(=O)CNC(=O)c1ncc(C)cc1[O-] ZINC000427559070 419685051 /nfs/dbraw/zinc/68/50/51/419685051.db2.gz JVROUNDBPFXHPT-UHFFFAOYSA-N -1 1 300.314 1.717 20 0 DDADMM Cc1c(S(=O)(=O)[N-]c2nc3ccc(F)cc3[nH]2)cnn1C ZINC000427764884 419731392 /nfs/dbraw/zinc/73/13/92/419731392.db2.gz LEUQUUZGHGRIAI-UHFFFAOYSA-N -1 1 309.326 1.545 20 0 DDADMM COc1cc(C)cc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1C ZINC000428053642 419802064 /nfs/dbraw/zinc/80/20/64/419802064.db2.gz KTCLLWQOIJYZNY-UHFFFAOYSA-N -1 1 313.317 1.295 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc(C(=O)C(C)C)cc2)n1 ZINC000428062716 419805824 /nfs/dbraw/zinc/80/58/24/419805824.db2.gz ZKZPRCVFTAEKKM-UHFFFAOYSA-N -1 1 323.374 1.695 20 0 DDADMM COc1ccncc1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000431697783 229051697 /nfs/dbraw/zinc/05/16/97/229051697.db2.gz PXYLZFAAJQNOIV-UHFFFAOYSA-N -1 1 310.313 1.257 20 0 DDADMM CCOc1nc(C(F)(F)F)ccc1C(=O)N=c1nc(C)[nH][n-]1 ZINC000429979181 420067011 /nfs/dbraw/zinc/06/70/11/420067011.db2.gz HJKQEEKBYXRPFH-UHFFFAOYSA-N -1 1 315.255 1.600 20 0 DDADMM CNC(=O)[C@@]1(C)CCN(Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000430271413 420100290 /nfs/dbraw/zinc/10/02/90/420100290.db2.gz XMERBDGMNRAIRM-AWEZNQCLSA-N -1 1 306.391 1.355 20 0 DDADMM O=C(Nc1nc(-c2ccco2)n[nH]1)c1cc2n(n1)CCCO2 ZINC000431613675 420239794 /nfs/dbraw/zinc/23/97/94/420239794.db2.gz IHFTVZYHNIBRED-UHFFFAOYSA-N -1 1 300.278 1.296 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(F)ccc1[O-])C(=O)N(C)C ZINC000436751842 420363482 /nfs/dbraw/zinc/36/34/82/420363482.db2.gz VMMZAQGUNLCGNG-NSHDSACASA-N -1 1 314.382 1.471 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCn2cc(C)cn2)c1 ZINC000436502742 420335460 /nfs/dbraw/zinc/33/54/60/420335460.db2.gz DHNOEQLNXOYOPF-UHFFFAOYSA-N -1 1 303.318 1.713 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2nccn3ccnc23)c1 ZINC000436518331 420336436 /nfs/dbraw/zinc/33/64/36/420336436.db2.gz KCENGPDROKQGKY-UHFFFAOYSA-N -1 1 312.285 1.474 20 0 DDADMM CNC(=O)CCOC1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000436579996 420341114 /nfs/dbraw/zinc/34/11/14/420341114.db2.gz WFTLOSSGFYKXEV-UHFFFAOYSA-N -1 1 324.352 1.289 20 0 DDADMM CO[C@@H](CCNC(=O)C(=O)c1ccc([O-])cc1)C(F)(F)F ZINC000436574979 420341261 /nfs/dbraw/zinc/34/12/61/420341261.db2.gz HSAHWVXRWONLNC-JTQLQIEISA-N -1 1 305.252 1.659 20 0 DDADMM O=C(Cn1cc(Cl)cn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425294541 420342494 /nfs/dbraw/zinc/34/24/94/420342494.db2.gz DDFTYBYSPFYPJF-MRVPVSSYSA-N -1 1 311.729 1.031 20 0 DDADMM CCc1cncc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000425296839 420344484 /nfs/dbraw/zinc/34/44/84/420344484.db2.gz HODJRNGKPAAHES-NSHDSACASA-N -1 1 302.334 1.752 20 0 DDADMM O=C(c1cc2cccnc2[nH]1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425314829 420346010 /nfs/dbraw/zinc/34/60/10/420346010.db2.gz ACVQENQKKNUNPF-UHFFFAOYSA-N -1 1 313.317 1.671 20 0 DDADMM C[C@@H](NC(=O)c1ccc([O-])cc1F)C1(S(C)(=O)=O)CC1 ZINC000436646561 420348566 /nfs/dbraw/zinc/34/85/66/420348566.db2.gz IEBIWXIZQHZIKQ-MRVPVSSYSA-N -1 1 301.339 1.227 20 0 DDADMM O=C(NCc1cccnc1-n1cccn1)C(=O)c1ccc([O-])cc1 ZINC000436683773 420355916 /nfs/dbraw/zinc/35/59/16/420355916.db2.gz VTSGCHGAXNUORI-UHFFFAOYSA-N -1 1 322.324 1.472 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000436711703 420359158 /nfs/dbraw/zinc/35/91/58/420359158.db2.gz KFUWGZJVOHCDPA-SECBINFHSA-N -1 1 301.339 1.038 20 0 DDADMM CC(C)c1nc(S(=O)(=O)N[C@@H]2CC[N@@H+](C)[C@@H](C)C2)cn1C ZINC000416408171 420361871 /nfs/dbraw/zinc/36/18/71/420361871.db2.gz OLLULWHHPGMEMJ-NWDGAFQWSA-N -1 1 314.455 1.305 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)C(=O)NC3CC3)[nH][n-]2)c1 ZINC000416253873 420298177 /nfs/dbraw/zinc/29/81/77/420298177.db2.gz SZSDTQWRHYUSRA-UHFFFAOYSA-N -1 1 302.309 1.163 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1)[C@H]1CCOC1 ZINC000436910799 420382949 /nfs/dbraw/zinc/38/29/49/420382949.db2.gz DMLQRNIWQAZVQY-QMMMGPOBSA-N -1 1 308.725 1.806 20 0 DDADMM O=C(Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1)[C@H]1CCOC1 ZINC000436910799 420382953 /nfs/dbraw/zinc/38/29/53/420382953.db2.gz DMLQRNIWQAZVQY-QMMMGPOBSA-N -1 1 308.725 1.806 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2sccc2Cl)CCC(=O)N1 ZINC000416504295 420398804 /nfs/dbraw/zinc/39/88/04/420398804.db2.gz ZUACJAAYAMIBQL-SNVBAGLBSA-N -1 1 308.812 1.349 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000416564673 420418774 /nfs/dbraw/zinc/41/87/74/420418774.db2.gz TWIUPLUDWMXBFE-OUAUKWLOSA-N -1 1 313.375 1.923 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]c2cccc(F)c2-c2nc[nH]n2)c1 ZINC000447272684 230356452 /nfs/dbraw/zinc/35/64/52/230356452.db2.gz SOKZXUHILIIPTB-UHFFFAOYSA-N -1 1 322.325 1.145 20 0 DDADMM CC[C@@H]1CC[C@H](C)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000456822047 420550292 /nfs/dbraw/zinc/55/02/92/420550292.db2.gz QPOYMQBARXBWTH-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM CCOC(=O)C[C@H](NC(=O)c1cncc([O-])c1)c1ccncc1 ZINC000456917307 420569072 /nfs/dbraw/zinc/56/90/72/420569072.db2.gz MJTSQFNUYROSIF-AWEZNQCLSA-N -1 1 315.329 1.607 20 0 DDADMM CC(C)(C)CCC1(O)CC(C(=O)NC2(c3nn[n-]n3)CC2)C1 ZINC000450959345 420570903 /nfs/dbraw/zinc/57/09/03/420570903.db2.gz KVPKYLZLYCMVHJ-UHFFFAOYSA-N -1 1 307.398 1.272 20 0 DDADMM COC(=O)CCC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000450983565 420576914 /nfs/dbraw/zinc/57/69/14/420576914.db2.gz YQBCZZXHSFZZHU-UHFFFAOYSA-N -1 1 311.281 1.335 20 0 DDADMM CC(C)(C)C[C@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000457006397 420585691 /nfs/dbraw/zinc/58/56/91/420585691.db2.gz DNTSSYSTWGJTOM-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](C)C[C@@H](O)C1 ZINC000457048136 420597242 /nfs/dbraw/zinc/59/72/42/420597242.db2.gz YOCNVKBGGXAPLK-MWLCHTKSSA-N -1 1 307.394 1.631 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@@H]3CC[C@H](C(=O)[O-])O3)[nH]c21 ZINC000442662240 420696060 /nfs/dbraw/zinc/69/60/60/420696060.db2.gz HFDGJDYMOGIKCI-WDEREUQCSA-N -1 1 303.318 1.120 20 0 DDADMM Cc1ocnc1C[N-]S(=O)(=O)c1cnccc1C(F)(F)F ZINC000442758214 420709574 /nfs/dbraw/zinc/70/95/74/420709574.db2.gz ZBLUNGNBBKPFOG-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM COc1cc(C(=O)NC[C@@]2(OC)CCOC2)cc(Cl)c1[O-] ZINC000442802090 420717976 /nfs/dbraw/zinc/71/79/76/420717976.db2.gz QMQXEJZKXIODGT-AWEZNQCLSA-N -1 1 315.753 1.590 20 0 DDADMM CO[C@H]1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)[C@H](C)C1 ZINC000442899723 420727878 /nfs/dbraw/zinc/72/78/78/420727878.db2.gz QLSIPSTTZJIHHH-ZJUUUORDSA-N -1 1 304.350 1.313 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)/C=C\C3CC3)CC2)n1 ZINC000493018177 420736811 /nfs/dbraw/zinc/73/68/11/420736811.db2.gz OVDGWADSLYXWRE-WAYWQWQTSA-N -1 1 318.377 1.654 20 0 DDADMM Cn1[n-]c(CN(CCC(F)(F)F)CC(F)(F)F)nc1=O ZINC000447834660 420805774 /nfs/dbraw/zinc/80/57/74/420805774.db2.gz RKIFFARWDOVBEL-UHFFFAOYSA-N -1 1 306.210 1.425 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)c1csc(=NC2CC2)[n-]1)C(=O)OC ZINC000493309448 420815585 /nfs/dbraw/zinc/81/55/85/420815585.db2.gz GEDMLDXAJBTYIJ-KCJUWKMLSA-N -1 1 311.407 1.457 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)C2(SC)CC2)c1Br ZINC000448315864 420848973 /nfs/dbraw/zinc/84/89/73/420848973.db2.gz KKNUFYHNNHFUDS-UHFFFAOYSA-N -1 1 304.213 1.991 20 0 DDADMM O=C(C=Cc1ccc(F)c(Cl)c1)NC1(c2nn[n-]n2)CC1 ZINC000493749618 420931831 /nfs/dbraw/zinc/93/18/31/420931831.db2.gz FVZIONHFGSUFJT-DUXPYHPUSA-N -1 1 307.716 1.811 20 0 DDADMM COc1ccc2c(c1)CN(C(=O)c1cncc([O-])c1)CCO2 ZINC000456186074 421115958 /nfs/dbraw/zinc/11/59/58/421115958.db2.gz KWSJLYIYMLWOJC-UHFFFAOYSA-N -1 1 300.314 1.831 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCN(c3ncccc3F)C2)c([O-])c1 ZINC000545887132 421267192 /nfs/dbraw/zinc/26/71/92/421267192.db2.gz VAUQPMOVHNFRCT-LLVKDONJSA-N -1 1 316.336 1.638 20 0 DDADMM CC(C)(NC(=O)c1cc(Cl)cc2c1OCC2)c1nn[n-]n1 ZINC000546615398 421297736 /nfs/dbraw/zinc/29/77/36/421297736.db2.gz QGCPCCUJUMANIM-UHFFFAOYSA-N -1 1 307.741 1.453 20 0 DDADMM CCOc1ccc(S(=O)(=O)N=c2cc(OC)n(C)[n-]2)cc1 ZINC000547499522 421338319 /nfs/dbraw/zinc/33/83/19/421338319.db2.gz PIIFAPQIXMGTPL-UHFFFAOYSA-N -1 1 311.363 1.050 20 0 DDADMM O=C([C@@H]1CCCCCC(=O)C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000562346069 421354169 /nfs/dbraw/zinc/35/41/69/421354169.db2.gz YOPJRILZGIJSND-VXGBXAGGSA-N -1 1 305.382 1.445 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCN(Cc2ccco2)CC1 ZINC000527106913 421355920 /nfs/dbraw/zinc/35/59/20/421355920.db2.gz QIQQEQHHNUGFEB-UHFFFAOYSA-N -1 1 311.345 1.509 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@@H](CO)O1)c1sccc1Cl ZINC000562551644 421380759 /nfs/dbraw/zinc/38/07/59/421380759.db2.gz LDEZLDNHTBVCMP-SFYZADRCSA-N -1 1 311.812 1.220 20 0 DDADMM C[C@@H]1CN(c2cccc(Cl)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000563362234 421491631 /nfs/dbraw/zinc/49/16/31/421491631.db2.gz BZLCHQABGNRJBS-LLVKDONJSA-N -1 1 321.812 1.473 20 0 DDADMM C[C@H](O)[C@@H]1CCN(c2ccc(=NCc3ccccc3F)[n-]n2)C1 ZINC000563612220 421527885 /nfs/dbraw/zinc/52/78/85/421527885.db2.gz JCYJXYFBRGVXPY-GXTWGEPZSA-N -1 1 316.380 1.857 20 0 DDADMM C[C@H](CN(C)C(=O)CCCc1ccc(F)cc1)c1nn[n-]n1 ZINC000563390628 421500678 /nfs/dbraw/zinc/50/06/78/421500678.db2.gz USGGKWDVYVCUFV-LLVKDONJSA-N -1 1 305.357 1.924 20 0 DDADMM O=C(Cc1ccc2c(c1)COC2)Nc1nc(SCCO)n[nH]1 ZINC000564389758 421583864 /nfs/dbraw/zinc/58/38/64/421583864.db2.gz ILXFLWKDEXRQJU-UHFFFAOYSA-N -1 1 320.374 1.101 20 0 DDADMM COCCC1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000530189543 421584283 /nfs/dbraw/zinc/58/42/83/421584283.db2.gz HVDSCGDHDTWSON-UHFFFAOYSA-N -1 1 315.373 1.812 20 0 DDADMM O=C(Cc1ccc2c[nH]nc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000530288541 421592076 /nfs/dbraw/zinc/59/20/76/421592076.db2.gz JBKKWTDKHILJII-GFCCVEGCSA-N -1 1 311.349 1.025 20 0 DDADMM COc1cc(CN2CCC(n3cc(C(=O)[O-])cn3)CC2)ccn1 ZINC000563724798 421537915 /nfs/dbraw/zinc/53/79/15/421537915.db2.gz RWSXQEHUGQXGHU-UHFFFAOYSA-N -1 1 316.361 1.822 20 0 DDADMM CCC[C@H](NC(=O)c1nn(C)c2c1CCCC2)c1nn[n-]n1 ZINC000563781633 421541514 /nfs/dbraw/zinc/54/15/14/421541514.db2.gz PBBIIRAHFJXORM-JTQLQIEISA-N -1 1 303.370 1.083 20 0 DDADMM CC[C@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1c(C)noc1C ZINC000538226760 421736989 /nfs/dbraw/zinc/73/69/89/421736989.db2.gz XXYQWTAHNOTETJ-RYUDHWBXSA-N -1 1 318.381 1.704 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1cccnc1 ZINC000538223708 421738112 /nfs/dbraw/zinc/73/81/12/421738112.db2.gz BPCACGDBDMQMSK-WCQYABFASA-N -1 1 300.366 1.495 20 0 DDADMM Cc1cc(C(=O)NC(C)(C)c2nn[n-]n2)nn1C1CCCCC1 ZINC000538526627 421740295 /nfs/dbraw/zinc/74/02/95/421740295.db2.gz QSGPEQLGXMLVQL-UHFFFAOYSA-N -1 1 317.397 1.875 20 0 DDADMM CCc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc(=O)[nH]1 ZINC000539770570 421751309 /nfs/dbraw/zinc/75/13/09/421751309.db2.gz BLBGAJAIDJXQFB-UHFFFAOYSA-N -1 1 302.338 1.062 20 0 DDADMM O=C(CSc1ccc(F)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000540426632 421763714 /nfs/dbraw/zinc/76/37/14/421763714.db2.gz KNQRPTMVELTTAW-JTQLQIEISA-N -1 1 321.381 1.837 20 0 DDADMM COc1ccc(C)cc1CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000540423818 421763344 /nfs/dbraw/zinc/76/33/44/421763344.db2.gz KZCGGWSKXMNQPC-GFCCVEGCSA-N -1 1 315.377 1.465 20 0 DDADMM Cn1ncc(NS(=O)(=O)c2ccc3c(c2)CCC3)c1C(=O)[O-] ZINC000539842034 421755706 /nfs/dbraw/zinc/75/57/06/421755706.db2.gz PLWCGSWVJUWKFW-UHFFFAOYSA-N -1 1 321.358 1.408 20 0 DDADMM CO[C@@H](C(=O)NC1(c2nn[n-]n2)CCCC1)c1ccccc1 ZINC000541889693 421812853 /nfs/dbraw/zinc/81/28/53/421812853.db2.gz PVYCWSDBYALCPQ-GFCCVEGCSA-N -1 1 301.350 1.473 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@H](OC(F)F)C1 ZINC000559161405 421830551 /nfs/dbraw/zinc/83/05/51/421830551.db2.gz VDZPVLLLYMPDIR-QMMMGPOBSA-N -1 1 309.272 1.377 20 0 DDADMM O=C(c1cnn2c1CCCC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000521278847 421780597 /nfs/dbraw/zinc/78/05/97/421780597.db2.gz SARDRAQLCORGKS-SNVBAGLBSA-N -1 1 317.349 1.328 20 0 DDADMM C[C@H](CCc1ccc2c(c1)OCO2)N=c1[nH]c(C(=O)[O-])co1 ZINC000572410261 421785242 /nfs/dbraw/zinc/78/52/42/421785242.db2.gz UVQSNHZHOZNPGI-SECBINFHSA-N -1 1 304.302 1.957 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[O-])CN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000572474096 421792321 /nfs/dbraw/zinc/79/23/21/421792321.db2.gz MQRSWLLAWPFIPU-UWVGGRQHSA-N -1 1 303.318 1.995 20 0 DDADMM N=c1nc(N2CCN(C(=O)C34CCC(CC3)C4)CC2)s[n-]1 ZINC000541477377 421794313 /nfs/dbraw/zinc/79/43/13/421794313.db2.gz XCJQBKLBNPVDEP-UHFFFAOYSA-N -1 1 307.423 1.180 20 0 DDADMM O=C([O-])[C@H](Cc1ccccc1)NC(=O)[C@H]1CCCN1C1CC1 ZINC000630097159 421886785 /nfs/dbraw/zinc/88/67/85/421886785.db2.gz VSBWPVRVOIETLF-LSDHHAIUSA-N -1 1 302.374 1.425 20 0 DDADMM CC[C@@H](O)CNC(=O)c1c[n-]c2cc(OC)cc(OC)c2c1=O ZINC000627726445 421862726 /nfs/dbraw/zinc/86/27/26/421862726.db2.gz DVLCJGJBFGJMMW-SECBINFHSA-N -1 1 320.345 1.046 20 0 DDADMM COc1cccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1F ZINC000630223875 421973253 /nfs/dbraw/zinc/97/32/53/421973253.db2.gz KOHPBDCHPRLZTJ-NSHDSACASA-N -1 1 324.352 1.455 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N(C)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000630174865 421936274 /nfs/dbraw/zinc/93/62/74/421936274.db2.gz FTSRSUJVUKJYEG-SWLSCSKDSA-N -1 1 315.373 1.743 20 0 DDADMM C[C@](CNC(=O)[C@@H]1CCCN1C1CC1)(C(=O)[O-])c1ccccc1 ZINC000630172145 421936841 /nfs/dbraw/zinc/93/68/41/421936841.db2.gz ZNYATOKINWNWLH-MAUKXSAKSA-N -1 1 316.401 1.772 20 0 DDADMM CCn1nnc(C)c1CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633532294 421955567 /nfs/dbraw/zinc/95/55/67/421955567.db2.gz RGGUMJKYKHUORA-UHFFFAOYSA-N -1 1 308.769 1.824 20 0 DDADMM COc1ccc(CCCNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635403761 421958313 /nfs/dbraw/zinc/95/83/13/421958313.db2.gz AWTIVIZGRHKPSX-UHFFFAOYSA-N -1 1 303.366 1.280 20 0 DDADMM COc1cccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1C ZINC000630221107 421970886 /nfs/dbraw/zinc/97/08/86/421970886.db2.gz TVOVZUJTHGKXRO-GFCCVEGCSA-N -1 1 320.389 1.625 20 0 DDADMM Cc1cc(CNC(=O)c2cnccc2N(C)C)oc1C(=O)[O-] ZINC000630330061 422027450 /nfs/dbraw/zinc/02/74/50/422027450.db2.gz JKZPKXQWPYBGSQ-UHFFFAOYSA-N -1 1 303.318 1.677 20 0 DDADMM C[C@@H]1CO[C@@H](c2ccccc2)CN1C(=O)CCCc1nn[n-]n1 ZINC000635483303 422031580 /nfs/dbraw/zinc/03/15/80/422031580.db2.gz TYOHGWMCMYTCRS-TZMCWYRMSA-N -1 1 315.377 1.511 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccoc2C2CC2)CC1 ZINC000630228086 421978722 /nfs/dbraw/zinc/97/87/22/421978722.db2.gz SVIXRVOBIAGRLA-UHFFFAOYSA-N -1 1 306.362 1.778 20 0 DDADMM Cc1c(F)cccc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630227704 421979018 /nfs/dbraw/zinc/97/90/18/421979018.db2.gz PRAIYIKASSTPSY-UHFFFAOYSA-N -1 1 308.353 1.755 20 0 DDADMM Cn1[n-]c(CN2CCn3c(cc4c3cccc4Cl)C2)nc1=O ZINC000573650607 421995810 /nfs/dbraw/zinc/99/58/10/421995810.db2.gz MBYWMNFBOXTLRK-UHFFFAOYSA-N -1 1 317.780 1.732 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC[C@H](OCCO)C1 ZINC000631986465 422002488 /nfs/dbraw/zinc/00/24/88/422002488.db2.gz DDFXFGLPKAEOSN-LBPRGKRZSA-N -1 1 313.781 1.588 20 0 DDADMM O=C([O-])[C@@H](C[C@H]1CCCOC1)NC(=O)c1n[nH]c2ccccc21 ZINC000630277540 422003258 /nfs/dbraw/zinc/00/32/58/422003258.db2.gz ZXLUANPXEJZDCS-ZWNOBZJWSA-N -1 1 317.345 1.563 20 0 DDADMM C[C@@H](O)CN1Cc2cccc(Br)c2C[C@H]1C(=O)[O-] ZINC000581889753 422064793 /nfs/dbraw/zinc/06/47/93/422064793.db2.gz KWWLBKKPVNYYGN-PELKAZGASA-N -1 1 314.179 1.641 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@]2(C)CCCC[C@@H]2O)sn1 ZINC000632068004 422065105 /nfs/dbraw/zinc/06/51/05/422065105.db2.gz XWVJMDWSEKCRJE-JQWIXIFHSA-N -1 1 304.437 1.671 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCNC(=O)C2CCC2)sn1 ZINC000632069129 422066702 /nfs/dbraw/zinc/06/67/02/422066702.db2.gz WNXCQFOQAUZITH-UHFFFAOYSA-N -1 1 317.436 1.036 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H](C)c2ccncc2)c1 ZINC000632072503 422068275 /nfs/dbraw/zinc/06/82/75/422068275.db2.gz GCEKFCYPGSVXMW-NSHDSACASA-N -1 1 322.386 1.878 20 0 DDADMM O=S(=O)([N-]CCn1cccc1)c1c[nH]nc1C(F)(F)F ZINC000632072117 422069109 /nfs/dbraw/zinc/06/91/09/422069109.db2.gz VXMXJAUHSFWJBP-UHFFFAOYSA-N -1 1 308.285 1.209 20 0 DDADMM CC[C@@H](CCO)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632087990 422078645 /nfs/dbraw/zinc/07/86/45/422078645.db2.gz MLIBUOBQFXHKKH-JTQLQIEISA-N -1 1 303.380 1.088 20 0 DDADMM C[N@H+](Cc1ccccc1)CC(C)(C)NC(=O)CCc1nn[nH]n1 ZINC000632090568 422079403 /nfs/dbraw/zinc/07/94/03/422079403.db2.gz KYWXTVUZNGRNCO-UHFFFAOYSA-N -1 1 316.409 1.159 20 0 DDADMM CNC(=O)[C@H](CC(C)C)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632123793 422105447 /nfs/dbraw/zinc/10/54/47/422105447.db2.gz JSRJQVXWNTTYFL-LBPRGKRZSA-N -1 1 312.797 1.865 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)C1CC1 ZINC000632131722 422109738 /nfs/dbraw/zinc/10/97/38/422109738.db2.gz ATBNGNRGVCSRSK-SSDOTTSWSA-N -1 1 313.301 1.132 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1[C@@H]2Cc3c(cccc3Cl)[C@@H]21 ZINC000633685337 422046983 /nfs/dbraw/zinc/04/69/83/422046983.db2.gz ASFCTMZJFFPAFW-BIGNPOOSSA-N -1 1 303.753 1.240 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C12CC3CC(CC(C3)C1)C2 ZINC000633691718 422053871 /nfs/dbraw/zinc/05/38/71/422053871.db2.gz JWJYHHMYEHLXHI-UHFFFAOYSA-N -1 1 316.405 1.655 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCC[C@H](n2cncn2)C1 ZINC000574047509 422054896 /nfs/dbraw/zinc/05/48/96/422054896.db2.gz ZTLFZNHLZKKOPO-ZDUSSCGKSA-N -1 1 304.325 1.953 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@H]1CCC1(F)F)c1ccco1 ZINC000632060267 422058511 /nfs/dbraw/zinc/05/85/11/422058511.db2.gz HNVSDWKQYLAITA-NXEZZACHSA-N -1 1 309.334 1.932 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(Cc2ccc(O)cc2)CC1 ZINC000633895951 422138757 /nfs/dbraw/zinc/13/87/57/422138757.db2.gz DAFJEHUWVMJHTE-UHFFFAOYSA-N -1 1 313.357 1.451 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2([C@@H](C)CCO)CCC2)sn1 ZINC000632183879 422145149 /nfs/dbraw/zinc/14/51/49/422145149.db2.gz IHJXXBSNBDMQDO-JTQLQIEISA-N -1 1 318.464 1.919 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCC[C@@H]2OC)c1 ZINC000632183528 422145667 /nfs/dbraw/zinc/14/56/67/422145667.db2.gz WHUQZPVEVBDCKW-MFKMUULPSA-N -1 1 315.391 1.494 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCC1 ZINC000632191312 422152068 /nfs/dbraw/zinc/15/20/68/422152068.db2.gz ABGRNKCFKGZYRZ-UHFFFAOYSA-N -1 1 313.301 1.276 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCN(c2nccnn2)CC1 ZINC000628377697 422158657 /nfs/dbraw/zinc/15/86/57/422158657.db2.gz FIZYHOJLCYYGBJ-UHFFFAOYSA-N -1 1 319.752 1.193 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccccc1N1CCCCC1 ZINC000632325702 422248836 /nfs/dbraw/zinc/24/88/36/422248836.db2.gz BLULIUYRBSUNJH-UHFFFAOYSA-N -1 1 314.393 1.439 20 0 DDADMM O=C(N=c1nc(C(F)(F)F)[n-][nH]1)c1ncc2n1CCCC2 ZINC000630803577 422344606 /nfs/dbraw/zinc/34/46/06/422344606.db2.gz SLDYSWAUIGOSKR-UHFFFAOYSA-N -1 1 300.244 1.031 20 0 DDADMM C[C@]1(CNC(=O)CCCc2nn[n-]n2)CCCc2ccccc21 ZINC000635764820 422322897 /nfs/dbraw/zinc/32/28/97/422322897.db2.gz CAORIKPPRXCSON-QGZVFWFLSA-N -1 1 313.405 1.933 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)CC(F)F ZINC000632497559 422392186 /nfs/dbraw/zinc/39/21/86/422392186.db2.gz KULVWGVSRPFXNF-YFKPBYRVSA-N -1 1 321.271 1.998 20 0 DDADMM O=C([O-])CCCNC(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000577727005 422414453 /nfs/dbraw/zinc/41/44/53/422414453.db2.gz RPZNJGAHFDTJRU-GFCCVEGCSA-N -1 1 309.366 1.581 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])c1ccnc(N2CCOCC2)n1 ZINC000628959945 422419824 /nfs/dbraw/zinc/41/98/24/422419824.db2.gz GMDGHGRPXNZBDE-UHFFFAOYSA-N -1 1 318.308 1.410 20 0 DDADMM CC(=O)N1CCC(CNC(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000630936771 422425670 /nfs/dbraw/zinc/42/56/70/422425670.db2.gz ZXPLCSNVSXOGRT-UHFFFAOYSA-N -1 1 324.808 1.963 20 0 DDADMM COCCN(Cc1ccc(F)cc1Cl)C[C@@](C)(O)C(=O)[O-] ZINC000578137436 422505846 /nfs/dbraw/zinc/50/58/46/422505846.db2.gz IQMGAXVWRGLOTQ-CQSZACIVSA-N -1 1 319.760 1.763 20 0 DDADMM Cn1cnnc1S(=O)(=O)[N-]CC1(C(F)(F)F)CCCC1 ZINC000632600985 422465196 /nfs/dbraw/zinc/46/51/96/422465196.db2.gz UFRDLWFYNCCXRZ-UHFFFAOYSA-N -1 1 312.317 1.216 20 0 DDADMM CC(C)(F)C[N-]S(=O)(=O)c1cc2oc(=O)[nH]c2cc1F ZINC000632608574 422469531 /nfs/dbraw/zinc/46/95/31/422469531.db2.gz WLZWPNPNHOMUTQ-UHFFFAOYSA-N -1 1 306.290 1.287 20 0 DDADMM Cc1nc(SCCN2C(=O)CNC2=O)[n-]c(=O)c1C1CC1 ZINC000631026019 422478149 /nfs/dbraw/zinc/47/81/49/422478149.db2.gz YZGNJXAXSXSIPN-UHFFFAOYSA-N -1 1 308.363 1.012 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1c(F)cccc1F)C1CC1 ZINC000632740464 422552806 /nfs/dbraw/zinc/55/28/06/422552806.db2.gz BDYJRYSUTMFTKJ-UHFFFAOYSA-N -1 1 307.304 1.602 20 0 DDADMM CO[C@@H]1CN(C[C@@H](O)COc2cccc(C)c2)[C@@](C)(C(=O)[O-])C1 ZINC000634695053 422554429 /nfs/dbraw/zinc/55/44/29/422554429.db2.gz OMPLAJUQKOUGBA-UKPHBRMFSA-N -1 1 323.389 1.299 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC2CC1(C(F)(F)F)C2 ZINC000578424379 422565924 /nfs/dbraw/zinc/56/59/24/422565924.db2.gz PQYBWFKIVBEREF-UHFFFAOYSA-N -1 1 311.285 1.111 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCCn3nccc32)c1 ZINC000632787921 422578991 /nfs/dbraw/zinc/57/89/91/422578991.db2.gz APCCDMHQWFFOPK-LLVKDONJSA-N -1 1 323.374 1.411 20 0 DDADMM CCc1ccc(C(=O)[O-])cc1NS(=O)(=O)c1cnc(C)n1C ZINC000629289450 422587164 /nfs/dbraw/zinc/58/71/64/422587164.db2.gz HMDPNEUGJZIJDF-UHFFFAOYSA-N -1 1 323.374 1.790 20 0 DDADMM O=C(CCc1nn[n-]n1)N(CCC(F)(F)F)CC(F)(F)F ZINC000632801796 422589851 /nfs/dbraw/zinc/58/98/51/422589851.db2.gz UYBBYZMESVXTDR-UHFFFAOYSA-N -1 1 319.209 1.476 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3CC[C@@H](F)C3)c(=O)c2c(OC)c1 ZINC000627608855 422622489 /nfs/dbraw/zinc/62/24/89/422622489.db2.gz XLZUQDHANBQUDF-SECBINFHSA-N -1 1 320.320 1.729 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H](O)C1CCOCC1 ZINC000629378077 422640164 /nfs/dbraw/zinc/64/01/64/422640164.db2.gz NGNPWJNJONZLMO-OAHLLOKOSA-N -1 1 317.345 1.304 20 0 DDADMM O=S(=O)(CCOCC1CCC1)[N-]c1cnc(C2CC2)nc1 ZINC000634788842 422646378 /nfs/dbraw/zinc/64/63/78/422646378.db2.gz LIBUDQQUNYONLS-UHFFFAOYSA-N -1 1 311.407 1.912 20 0 DDADMM CC[C@H](Cc1ccc(Cl)cc1)NC(=O)CCc1nn[n-]n1 ZINC000631335649 422695745 /nfs/dbraw/zinc/69/57/45/422695745.db2.gz CLCWHRBGHSCYDS-GFCCVEGCSA-N -1 1 307.785 1.923 20 0 DDADMM Cc1ccc([C@@H]2C[C@H]2NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000579234957 422730964 /nfs/dbraw/zinc/73/09/64/422730964.db2.gz SURZLJWYKCGQJI-NWDGAFQWSA-N -1 1 309.329 1.012 20 0 DDADMM Cc1scc(CN2CCN(c3nc(=N)[n-]s3)CC2)c1C ZINC000638172310 422725126 /nfs/dbraw/zinc/72/51/26/422725126.db2.gz YXMALXIRFOKWIE-UHFFFAOYSA-N -1 1 309.464 1.951 20 0 DDADMM C[N@@H+]1C[C@@H](c2ccccc2)[C@H](CNS(=O)(=O)c2ccco2)C1 ZINC000645843213 423210162 /nfs/dbraw/zinc/21/01/62/423210162.db2.gz DTGSUSFQTYTMNK-CABCVRRESA-N -1 1 320.414 1.903 20 0 DDADMM O=C(c1ccnc(-c2ncc[nH]2)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000645880829 423225726 /nfs/dbraw/zinc/22/57/26/423225726.db2.gz FZNCGMRSHHBGTD-LLVKDONJSA-N -1 1 324.348 1.005 20 0 DDADMM CN(CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1ccccc1 ZINC000646113688 423345694 /nfs/dbraw/zinc/34/56/94/423345694.db2.gz CTWXCPUEHGOIGS-UHFFFAOYSA-N -1 1 316.361 1.618 20 0 DDADMM COc1cccc(NCC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000653092887 423304272 /nfs/dbraw/zinc/30/42/72/423304272.db2.gz OVBIEVBGRIZBBB-UHFFFAOYSA-N -1 1 316.365 1.206 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000646067673 423322843 /nfs/dbraw/zinc/32/28/43/423322843.db2.gz XPJWGOBFPWUTKN-LDYMZIIASA-N -1 1 320.349 1.890 20 0 DDADMM O=C([O-])CC[C@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000648452535 423366234 /nfs/dbraw/zinc/36/62/34/423366234.db2.gz SVUARJUFIDJMIF-VXGBXAGGSA-N -1 1 305.378 1.933 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCc1ccc2c(c1)OCCO2 ZINC000646409566 423478264 /nfs/dbraw/zinc/47/82/64/423478264.db2.gz MKJWJNZEBAZIAH-UHFFFAOYSA-N -1 1 303.318 1.766 20 0 DDADMM COCCCOc1ccc(C(=O)Nc2c(C)[n-][nH]c2=O)cn1 ZINC000646413361 423480070 /nfs/dbraw/zinc/48/00/70/423480070.db2.gz WKDIVQFTJMXRMQ-UHFFFAOYSA-N -1 1 306.322 1.486 20 0 DDADMM CCOc1ccccc1C(=O)NCC(=O)Nc1c(C)[n-][nH]c1=O ZINC000646412371 423481206 /nfs/dbraw/zinc/48/12/06/423481206.db2.gz FRQWTZUYWIGOKB-UHFFFAOYSA-N -1 1 318.333 1.191 20 0 DDADMM C[C@]12CCC(=O)N1[C@@H](C(=O)Nc1c([O-])cccc1F)CS2 ZINC000648841121 423494126 /nfs/dbraw/zinc/49/41/26/423494126.db2.gz BYESEVCPOVAKLN-OTYXRUKQSA-N -1 1 310.350 1.924 20 0 DDADMM NC(=O)C1(C(=O)N=c2cc(-c3cccc(F)c3F)[n-][nH]2)CCC1 ZINC000648929241 423547093 /nfs/dbraw/zinc/54/70/93/423547093.db2.gz XNEWXKDGKJSQLP-UHFFFAOYSA-N -1 1 320.299 1.371 20 0 DDADMM O=c1[n-]c(CN2CCC[C@@H](Cn3cnc4ccccc43)C2)n[nH]1 ZINC000639593299 423593021 /nfs/dbraw/zinc/59/30/21/423593021.db2.gz SDBLCCROTPUDQL-GFCCVEGCSA-N -1 1 312.377 1.772 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@H]2CC[C@@](O)(C(F)(F)F)C2)o1 ZINC000644276403 423583328 /nfs/dbraw/zinc/58/33/28/423583328.db2.gz VGROGIDDKLGUJY-WPRPVWTQSA-N -1 1 313.297 1.712 20 0 DDADMM CC[C@H](CNC(=O)[C@H]1CCCN1Cc1ccccc1)C(=O)[O-] ZINC000649263415 423706493 /nfs/dbraw/zinc/70/64/93/423706493.db2.gz ADDJCBYHKMECEU-HUUCEWRRSA-N -1 1 304.390 1.878 20 0 DDADMM C[C@H](CN(C)C(=O)C1CCC(C(C)(C)O)CC1)c1nn[n-]n1 ZINC000651720548 423657451 /nfs/dbraw/zinc/65/74/51/423657451.db2.gz CFHAIKWPIAEBKE-VOMCLLRMSA-N -1 1 309.414 1.339 20 0 DDADMM N=c1[n-]nc(C2CCN(CCOc3ccc(F)cc3)CC2)o1 ZINC000639727647 423695234 /nfs/dbraw/zinc/69/52/34/423695234.db2.gz WFKMRDYXZDRZMP-UHFFFAOYSA-N -1 1 306.341 1.880 20 0 DDADMM CCC(C)(C)NC(=O)[C@H](C)N1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639825984 423763395 /nfs/dbraw/zinc/76/33/95/423763395.db2.gz QWFAMALEKJQHFM-WDEREUQCSA-N -1 1 309.414 1.355 20 0 DDADMM Cn1[nH]c([C@H]2CCCN2C(=O)c2ccc([O-])c(F)c2)nc1=N ZINC000651977497 423760972 /nfs/dbraw/zinc/76/09/72/423760972.db2.gz MWUUKWSGDXJGEA-SNVBAGLBSA-N -1 1 305.313 1.050 20 0 DDADMM C[C@H](NC(=O)[C@H](N)c1ccccc1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000656856029 423798203 /nfs/dbraw/zinc/79/82/03/423798203.db2.gz XIZCLHLQEBJUPV-SCUASFONSA-N -1 1 304.390 1.938 20 0 DDADMM CCC[C@H](O)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656915076 423866185 /nfs/dbraw/zinc/86/61/85/423866185.db2.gz YJIQJUULRKQXQW-QMMMGPOBSA-N -1 1 323.212 1.283 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](C(=O)[O-])C3)c[n-]c2[nH+]1 ZINC000647215449 423876270 /nfs/dbraw/zinc/87/62/70/423876270.db2.gz VDNVYYLLKRNYFC-VIFPVBQESA-N -1 1 301.302 1.191 20 0 DDADMM Cc1cccc(CN2CCN(c3ncc(C(=O)[O-])cn3)CC2)c1 ZINC000649383278 423831006 /nfs/dbraw/zinc/83/10/06/423831006.db2.gz NRXGQHNQXLCKDA-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM COc1cccc([C@@H](CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])N(C)C)c1 ZINC000649456591 423913594 /nfs/dbraw/zinc/91/35/94/423913594.db2.gz PXGBJDHMHRJLKD-MGPQQGTHSA-N -1 1 306.362 1.135 20 0 DDADMM CC(=O)C(C)(C)CCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644886814 424018072 /nfs/dbraw/zinc/01/80/72/424018072.db2.gz AOPLOAOISXKQSE-UHFFFAOYSA-N -1 1 309.366 1.877 20 0 DDADMM CO[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1ncccc1Br ZINC000656990758 423965754 /nfs/dbraw/zinc/96/57/54/423965754.db2.gz BEHBEWZCEUAUIW-HTQZYQBOSA-N -1 1 323.212 1.546 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)[C@@H]1Cc2ccc(Cl)cc21 ZINC000639961721 423994297 /nfs/dbraw/zinc/99/42/97/423994297.db2.gz YEVPOOCECHPXLT-SNVBAGLBSA-N -1 1 324.793 1.821 20 0 DDADMM COC[C@H](CC[N-]S(=O)(=O)c1c(F)cc(C)cc1F)OC ZINC000657052699 424043067 /nfs/dbraw/zinc/04/30/67/424043067.db2.gz YGYUIWCUEGSFGG-JTQLQIEISA-N -1 1 323.361 1.603 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](CO)C2CCC2)c(F)c1 ZINC000657055463 424046708 /nfs/dbraw/zinc/04/67/08/424046708.db2.gz GHVOZENCGRSZRN-LBPRGKRZSA-N -1 1 321.345 1.413 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H](O)C12CCC2)c1cc(F)ccc1F ZINC000657185011 424196182 /nfs/dbraw/zinc/19/61/82/424196182.db2.gz PBFVDTHTOHYOHS-VXGBXAGGSA-N -1 1 303.330 1.547 20 0 DDADMM CCN1C[C@@H](CNC(=O)N=c2[n-]sc3ccccc32)CC1=O ZINC000640336790 424356338 /nfs/dbraw/zinc/35/63/38/424356338.db2.gz AFBRLOLADQVUER-SNVBAGLBSA-N -1 1 318.402 1.708 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N[C@H](CO)[C@@H]1CCCOC1 ZINC000640337551 424360084 /nfs/dbraw/zinc/36/00/84/424360084.db2.gz HVFBXYAINZPQHZ-ZYHUDNBSSA-N -1 1 321.402 1.627 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@H]1CCCC(=O)N1 ZINC000640339575 424360794 /nfs/dbraw/zinc/36/07/94/424360794.db2.gz SJEXYOZPQGJLEP-SECBINFHSA-N -1 1 304.375 1.508 20 0 DDADMM CCNC(=O)NCCNC(=O)N=c1[n-]sc2ccccc21 ZINC000640344179 424366879 /nfs/dbraw/zinc/36/68/79/424366879.db2.gz ZFOHAUQVZJNGSZ-UHFFFAOYSA-N -1 1 307.379 1.159 20 0 DDADMM CC1(C)OCC[C@@H]1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000664453052 424594715 /nfs/dbraw/zinc/59/47/15/424594715.db2.gz QIOYACSTTOERJF-SNVBAGLBSA-N -1 1 301.346 1.468 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C1CC1)[C@H]1CCCCO1 ZINC000655628119 424602170 /nfs/dbraw/zinc/60/21/70/424602170.db2.gz XBSXDUHFNJSQMU-GHMZBOCLSA-N -1 1 315.395 1.191 20 0 DDADMM C[C@H](CNC(=O)NC[C@@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000655859119 424683334 /nfs/dbraw/zinc/68/33/34/424683334.db2.gz FLGXUPRMERKVEI-NEPJUHHUSA-N -1 1 309.366 1.436 20 0 DDADMM O=C([O-])[C@H](CNC(=O)N1CCC(c2cnc[nH]2)CC1)C1CCC1 ZINC000665323861 424783551 /nfs/dbraw/zinc/78/35/51/424783551.db2.gz HZYLNNBKBJMGGC-CYBMUJFWSA-N -1 1 320.393 1.800 20 0 DDADMM CNC(=O)c1sc2[n-]c(-c3ccncn3)nc(=O)c2c1C ZINC000345307440 272215242 /nfs/dbraw/zinc/21/52/42/272215242.db2.gz FHHLXUIFUWDGRY-UHFFFAOYSA-N -1 1 301.331 1.522 20 0 DDADMM CC(C)(C)[C@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000345706765 272310525 /nfs/dbraw/zinc/31/05/25/272310525.db2.gz FECUCWSQXQFTTN-NSHDSACASA-N -1 1 305.378 1.412 20 0 DDADMM Cc1ccc(-c2cc(C(=O)N=c3ncn(C(C)(C)C)[n-]3)[nH]n2)o1 ZINC000345737826 272319623 /nfs/dbraw/zinc/31/96/23/272319623.db2.gz LJRDHVMQARXQDC-UHFFFAOYSA-N -1 1 314.349 1.999 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1C[C@H]1C(F)F ZINC000345807638 272339845 /nfs/dbraw/zinc/33/98/45/272339845.db2.gz NUUDGBDWXQWJKO-MNOVXSKESA-N -1 1 324.331 1.754 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCCC[C@@H]1C(C)C ZINC000416150450 277365629 /nfs/dbraw/zinc/36/56/29/277365629.db2.gz KDNSFCOOTFNWRV-ZJUUUORDSA-N -1 1 309.366 1.841 20 0 DDADMM CCCn1nccc1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000127967703 281293463 /nfs/dbraw/zinc/29/34/63/281293463.db2.gz TYYCMHRJIKKONP-UHFFFAOYSA-N -1 1 312.351 1.047 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H]1CCCS1 ZINC000130164101 281369749 /nfs/dbraw/zinc/36/97/49/281369749.db2.gz QWNMBWCQDIUYAK-LLVKDONJSA-N -1 1 320.418 1.194 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCC(C)(C)C)co1 ZINC000152938217 281768177 /nfs/dbraw/zinc/76/81/77/281768177.db2.gz UURLQUFRTZXCQZ-UHFFFAOYSA-N -1 1 302.396 1.744 20 0 DDADMM CN1CC[C@H]2OCCN(C(=O)c3s[n-]c(=O)c3Cl)[C@@H]2C1 ZINC000285055923 290235637 /nfs/dbraw/zinc/23/56/37/290235637.db2.gz QNWDVKRVTLEWLE-HTQZYQBOSA-N -1 1 317.798 1.047 20 0 DDADMM COC[C@@](C)([N-]S(=O)(=O)CCC1CCCCC1)C(=O)OC ZINC000451303551 292952856 /nfs/dbraw/zinc/95/28/56/292952856.db2.gz GWJZAVOGTQHTHF-CQSZACIVSA-N -1 1 321.439 1.454 20 0 DDADMM CCNC(=O)[C@@H](C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000361729868 299926592 /nfs/dbraw/zinc/92/65/92/299926592.db2.gz QLJZKSLNHVKLIJ-SSDOTTSWSA-N -1 1 308.762 1.282 20 0 DDADMM C[C@@H]1C[C@@H](C)[C@@H](C)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000354735256 298509913 /nfs/dbraw/zinc/50/99/13/298509913.db2.gz PKZUYJHUJREIGJ-IJLUTSLNSA-N -1 1 305.378 1.410 20 0 DDADMM CC[C@H](C)c1ncc([N-]S(=O)(=O)CCC(=O)OC)cn1 ZINC000357094987 298962415 /nfs/dbraw/zinc/96/24/15/298962415.db2.gz ZNPUXLOLEKZTAD-VIFPVBQESA-N -1 1 301.368 1.295 20 0 DDADMM CNC(=O)c1ccc(=NC[C@@H]2C[C@@]2(C)c2cccs2)[n-]n1 ZINC000363299157 300246854 /nfs/dbraw/zinc/24/68/54/300246854.db2.gz LXDZDOPWDYNBMU-ZUZCIYMTSA-N -1 1 302.403 1.709 20 0 DDADMM O=C(c1cnsn1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000364454513 300399420 /nfs/dbraw/zinc/39/94/20/300399420.db2.gz ODHBTMBFXLOYAS-UHFFFAOYSA-N -1 1 317.370 1.979 20 0 DDADMM Cc1cn(-c2cccnc2)nc1[N-]S(=O)(=O)N1CCC[C@H]1C ZINC000364882906 300458763 /nfs/dbraw/zinc/45/87/63/300458763.db2.gz NRFYUOGXIJAACY-GFCCVEGCSA-N -1 1 321.406 1.717 20 0 DDADMM C[C@H]1CC([N-]S(=O)(=O)C[C@@]23CC[C@@H](CC2=O)C3(C)C)=NO1 ZINC000370388631 301328754 /nfs/dbraw/zinc/32/87/54/301328754.db2.gz IGKHZIZSJFQETP-BHDSKKPTSA-N -1 1 314.407 1.424 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(OC)cc2)C1 ZINC000370439600 301339469 /nfs/dbraw/zinc/33/94/69/301339469.db2.gz TYYVABSVFRITSK-GFCCVEGCSA-N -1 1 322.361 1.132 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000376391607 302071195 /nfs/dbraw/zinc/07/11/95/302071195.db2.gz MHRPUOAHSPHALY-QPUJVOFHSA-N -1 1 315.778 1.305 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(CCOc3ccc(F)cc3)C2)n[nH]1 ZINC000377497032 302185615 /nfs/dbraw/zinc/18/56/15/302185615.db2.gz LWVXDKLJJSLWSG-NSHDSACASA-N -1 1 306.341 1.908 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000420718393 302385320 /nfs/dbraw/zinc/38/53/20/302385320.db2.gz NTROMQPWJBAHTE-SVRRBLITSA-N -1 1 308.812 1.334 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](CO)OC[C@H]1C ZINC000494666673 302413588 /nfs/dbraw/zinc/41/35/88/302413588.db2.gz LWXDCWHUTNPDOR-MWLCHTKSSA-N -1 1 323.393 1.010 20 0 DDADMM CO[C@H](Cc1ccccc1)CS(=O)(=O)[N-]c1cnn(C)c1 ZINC000516308504 302788467 /nfs/dbraw/zinc/78/84/67/302788467.db2.gz CDLNLSPLOYJCOU-CQSZACIVSA-N -1 1 309.391 1.420 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])c1cn(C[C@H]2CCOC2)nn1 ZINC000528900806 303070940 /nfs/dbraw/zinc/07/09/40/303070940.db2.gz IVEIMYIWFJBJOU-SECBINFHSA-N -1 1 306.297 1.412 20 0 DDADMM COc1cc(NC(=O)c2ccn(C)c2)ccc1[N-]S(C)(=O)=O ZINC000357336772 306876944 /nfs/dbraw/zinc/87/69/44/306876944.db2.gz PXECWROYWDOGTF-UHFFFAOYSA-N -1 1 323.374 1.658 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@]2(CC=CCC2)C1 ZINC000357366715 306878996 /nfs/dbraw/zinc/87/89/96/306878996.db2.gz RFDPRYNHQASXGM-MRXNPFEDSA-N -1 1 319.361 1.859 20 0 DDADMM CC1(C)CCC[C@@H]1CCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000529563890 303138048 /nfs/dbraw/zinc/13/80/48/303138048.db2.gz CNEUXFYNZRZPRU-SNVBAGLBSA-N -1 1 303.366 1.364 20 0 DDADMM CNC(=O)c1ccc(CN2CCc3occc3[C@H]2C(=O)[O-])cc1 ZINC000530166063 303178176 /nfs/dbraw/zinc/17/81/76/303178176.db2.gz WZKNVXXMRWIOKK-HNNXBMFYSA-N -1 1 314.341 1.823 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(Cc2cn3cc(Cl)ccc3n2)C1 ZINC000530169955 303179087 /nfs/dbraw/zinc/17/90/87/303179087.db2.gz KBLIBLPEWUPEGH-CQSZACIVSA-N -1 1 309.753 1.663 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1cnn(-c2ccccn2)c1 ZINC000531143796 303232687 /nfs/dbraw/zinc/23/26/87/303232687.db2.gz JUBUQLRJLAOYBE-UHFFFAOYSA-N -1 1 310.379 1.434 20 0 DDADMM O=S(=O)(Cc1cc2c(c(Cl)c1)OCO2)c1ncn[n-]1 ZINC000531362304 303241985 /nfs/dbraw/zinc/24/19/85/303241985.db2.gz PGOMIBWQSGOBIS-UHFFFAOYSA-N -1 1 301.711 1.161 20 0 DDADMM O=S(=O)(Cc1cc2c(c(Cl)c1)OCO2)c1nc[n-]n1 ZINC000531362304 303241987 /nfs/dbraw/zinc/24/19/87/303241987.db2.gz PGOMIBWQSGOBIS-UHFFFAOYSA-N -1 1 301.711 1.161 20 0 DDADMM C[C@H](CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1)n1cccc1 ZINC000531817879 303258965 /nfs/dbraw/zinc/25/89/65/303258965.db2.gz YTLDFIMLOQGIKW-LLVKDONJSA-N -1 1 320.422 1.052 20 0 DDADMM O=C([O-])C[C@H]1CCCN(C(=O)Cc2n[nH]c3ccccc32)C1 ZINC000532875847 303300176 /nfs/dbraw/zinc/30/01/76/303300176.db2.gz UHKASHLRISHIAA-LLVKDONJSA-N -1 1 301.346 1.819 20 0 DDADMM O=C(CNC1(C(=O)[O-])CCCC1)NC[C@@H]1Cc2ccccc2O1 ZINC000532881354 303300618 /nfs/dbraw/zinc/30/06/18/303300618.db2.gz OGSQTWZLISRLIJ-ZDUSSCGKSA-N -1 1 318.373 1.093 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-]c1[nH]nc(C)c1C ZINC000533037955 303306064 /nfs/dbraw/zinc/30/60/64/303306064.db2.gz MWKAFCXEGJSLCS-UHFFFAOYSA-N -1 1 316.364 1.070 20 0 DDADMM CC[C@@H](C)[C@H](C)N(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CC1 ZINC000357606185 306898063 /nfs/dbraw/zinc/89/80/63/306898063.db2.gz LNPXXTHGEBJRPP-BDAKNGLRSA-N -1 1 309.366 1.936 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)cn1 ZINC000536701425 303368598 /nfs/dbraw/zinc/36/85/98/303368598.db2.gz KPLUUDVFXIKZKL-LLVKDONJSA-N -1 1 314.363 1.179 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H](C)c2nc[nH]n2)c(=O)[n-]1 ZINC000538862758 303391638 /nfs/dbraw/zinc/39/16/38/303391638.db2.gz RBPQPVIYJXPFJM-QMMMGPOBSA-N -1 1 322.394 1.141 20 0 DDADMM O=C(c1cnc2ccsc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000539328009 303394881 /nfs/dbraw/zinc/39/48/81/303394881.db2.gz LVRHGIFSAPRAII-VIFPVBQESA-N -1 1 314.374 1.829 20 0 DDADMM CC(C)[C@H]1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000547427343 303529686 /nfs/dbraw/zinc/52/96/86/303529686.db2.gz VRGOIHXIXUUYSJ-NWDGAFQWSA-N -1 1 317.393 1.752 20 0 DDADMM CC(C)[C@@H]1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000547427342 303529712 /nfs/dbraw/zinc/52/97/12/303529712.db2.gz VRGOIHXIXUUYSJ-NEPJUHHUSA-N -1 1 317.393 1.752 20 0 DDADMM Cc1cc(C)c(C(=O)[O-])cc1NS(=O)(=O)c1ccnn1C ZINC000547872237 303557264 /nfs/dbraw/zinc/55/72/64/303557264.db2.gz XORJQXHMQKLHTE-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM C[C@@H]1CNCCN1C(=O)c1nn(-c2ccccc2F)cc1[O-] ZINC000563158904 303927277 /nfs/dbraw/zinc/92/72/77/303927277.db2.gz ZLYVFVACHLDEKG-SNVBAGLBSA-N -1 1 304.325 1.151 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCC2(C)CC2)o1 ZINC000359760208 306962488 /nfs/dbraw/zinc/96/24/88/306962488.db2.gz UKAAJCAQENHKIT-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM CCc1nnsc1C(=O)[N-]c1n[nH]c(-c2ccncc2)n1 ZINC000366594078 307077341 /nfs/dbraw/zinc/07/73/41/307077341.db2.gz SGXPULFGYFCJJN-UHFFFAOYSA-N -1 1 301.335 1.533 20 0 DDADMM C[C@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)CC[S@@](=O)C1 ZINC000369338572 307119179 /nfs/dbraw/zinc/11/91/79/307119179.db2.gz JGXZVNSLEWPGOW-KPWVOAKYSA-N -1 1 321.398 1.699 20 0 DDADMM Cc1ccc(CCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(C)c1 ZINC000370860419 307138632 /nfs/dbraw/zinc/13/86/32/307138632.db2.gz KBTXRWHGRGQIKA-AWEZNQCLSA-N -1 1 315.377 1.349 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(c2cccc(OC)c2)C1 ZINC000375369591 307224635 /nfs/dbraw/zinc/22/46/35/307224635.db2.gz MQVYJPUSIINBRJ-LBPRGKRZSA-N -1 1 322.361 1.132 20 0 DDADMM Cn1cc(-c2cccc(/C=C/c3cc(=O)n4[n-]cnc4n3)c2)cn1 ZINC000376612133 307249554 /nfs/dbraw/zinc/24/95/54/307249554.db2.gz SWHPJSRREJLFOI-AATRIKPKSA-N -1 1 318.340 1.989 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3CCO[C@H]3C3CC3)ccnc1-2 ZINC000376672745 307252869 /nfs/dbraw/zinc/25/28/69/307252869.db2.gz MNIYRBPYZPBRHQ-NLKYIXICSA-N -1 1 301.350 1.031 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000377632594 307275095 /nfs/dbraw/zinc/27/50/95/307275095.db2.gz TVHPURQXZDDVOL-VXGBXAGGSA-N -1 1 313.300 1.690 20 0 DDADMM CNC(=O)OC[C@@H]1CCCCN1C(=O)c1ncc(C)cc1[O-] ZINC000496297371 307336200 /nfs/dbraw/zinc/33/62/00/307336200.db2.gz XAORPCPCMYETDF-NSHDSACASA-N -1 1 307.350 1.446 20 0 DDADMM CC(C)[C@H](C(=O)[N-]OCCO)[C@H](C)NC(=O)OC(C)(C)C ZINC000496508671 307347015 /nfs/dbraw/zinc/34/70/15/307347015.db2.gz RWEMDFHABLNISU-QWRGUYRKSA-N -1 1 304.387 1.212 20 0 DDADMM COC(=O)c1ccc(CNC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000540159266 307685697 /nfs/dbraw/zinc/68/56/97/307685697.db2.gz YYNUTDBSODBCTG-UHFFFAOYSA-N -1 1 313.309 1.678 20 0 DDADMM CC(C)(C)n1cnc([N-]C(=O)c2csc(-c3nc[nH]n3)n2)n1 ZINC000542459271 307699459 /nfs/dbraw/zinc/69/94/59/307699459.db2.gz YXLBCOMBXHIVIP-UHFFFAOYSA-N -1 1 318.366 1.527 20 0 DDADMM O=C(N[C@@H]1CC[C@@H]2CCC[C@@H]2C1)c1cc(=O)n2[n-]cnc2n1 ZINC000544744699 307718970 /nfs/dbraw/zinc/71/89/70/307718970.db2.gz UTIFOOLXTVEIRV-HBNTYKKESA-N -1 1 301.350 1.116 20 0 DDADMM C[C@@H]1CCc2ccccc2[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544781462 307719443 /nfs/dbraw/zinc/71/94/43/307719443.db2.gz YVYBPNBRSBFYEF-BMIGLBTASA-N -1 1 323.356 1.471 20 0 DDADMM O=C(N[C@@H]1CC[C@H]2CCCC[C@H]2C1)c1cc(=O)n2[n-]cnc2n1 ZINC000546068492 307725178 /nfs/dbraw/zinc/72/51/78/307725178.db2.gz ZPRYFPZJHNIARB-GRYCIOLGSA-N -1 1 315.377 1.506 20 0 DDADMM CCC(CC)CS(=O)(=O)N[C@H](CN1CCCCC1)C(=O)[O-] ZINC000547567435 307739897 /nfs/dbraw/zinc/73/98/97/307739897.db2.gz GAQKWFIGMHUSIB-CYBMUJFWSA-N -1 1 320.455 1.281 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)[C@H](C)CC ZINC000564912050 308011273 /nfs/dbraw/zinc/01/12/73/308011273.db2.gz CLPZTWCZMCHCJV-RAIGVLPGSA-N -1 1 321.439 1.309 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@H](C(=O)OC(C)(C)C)C(C)C ZINC000565979586 308039957 /nfs/dbraw/zinc/03/99/57/308039957.db2.gz LZUPQGYTPRRMNJ-NSHDSACASA-N -1 1 323.455 1.697 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@@H](n3cncn3)C2)cc1F ZINC000566507771 308056083 /nfs/dbraw/zinc/05/60/83/308056083.db2.gz AYFLAABPKPKETR-GFCCVEGCSA-N -1 1 304.325 1.953 20 0 DDADMM C[C@H](CCO)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000567107148 308071362 /nfs/dbraw/zinc/07/13/62/308071362.db2.gz OWLCUXWEIHGQMW-SECBINFHSA-N -1 1 300.405 1.593 20 0 DDADMM CC1(C)CN(C(=O)c2cccc3nn[nH]c32)C[C@]2(CCOC2)O1 ZINC000570259384 308164567 /nfs/dbraw/zinc/16/45/67/308164567.db2.gz OQFBRKDDMXKPHN-INIZCTEOSA-N -1 1 316.361 1.368 20 0 DDADMM C[N@H+](CC(=O)Nc1nn[nH]n1)[C@H]1CCCC[C@H]1c1ccccc1 ZINC000570661368 308176227 /nfs/dbraw/zinc/17/62/27/308176227.db2.gz GBKUJORKKSDFBP-KBPBESRZSA-N -1 1 314.393 1.796 20 0 DDADMM C[N@@H+](CC(=O)Nc1nn[nH]n1)[C@H]1CCCC[C@H]1c1ccccc1 ZINC000570661368 308176228 /nfs/dbraw/zinc/17/62/28/308176228.db2.gz GBKUJORKKSDFBP-KBPBESRZSA-N -1 1 314.393 1.796 20 0 DDADMM CCc1nc(S[C@@H](C)C(=O)Nc2ncccn2)[n-]c(=O)c1C ZINC000572016208 308208630 /nfs/dbraw/zinc/20/86/30/308208630.db2.gz FIUOONWLIYRAIF-VIFPVBQESA-N -1 1 319.390 1.962 20 0 DDADMM CN(C)Cc1ccc(CNC(=O)NCCCC(=O)[O-])cc1F ZINC000576940161 308350930 /nfs/dbraw/zinc/35/09/30/308350930.db2.gz IJJAQLZANYRGLP-UHFFFAOYSA-N -1 1 311.357 1.551 20 0 DDADMM O=C([O-])Cn1cc(CCN2CCc3c(Cl)cccc3C2)nn1 ZINC000577521949 308395440 /nfs/dbraw/zinc/39/54/40/308395440.db2.gz VECOSTKASJQPLA-UHFFFAOYSA-N -1 1 320.780 1.617 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccc(F)c1)[C@H]1CCOC1 ZINC000656613805 484243774 /nfs/dbraw/zinc/24/37/74/484243774.db2.gz SEKXWDUEXMTTNT-GWCFXTLKSA-N -1 1 303.355 1.619 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccc(F)c1F)[C@@H]1CCOC1 ZINC000656619484 484246013 /nfs/dbraw/zinc/24/60/13/484246013.db2.gz OMOXXXZACHCGGO-SKDRFNHKSA-N -1 1 321.345 1.758 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccc(O)c1F)[C@@H]1CCOC1 ZINC000656627086 484249023 /nfs/dbraw/zinc/24/90/23/484249023.db2.gz RUCDPOPRBODXEQ-SKDRFNHKSA-N -1 1 319.354 1.325 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cccc2c1CCN(C)C2=O ZINC000656635667 484253936 /nfs/dbraw/zinc/25/39/36/484253936.db2.gz BMZGORQSLTZQHT-JTQLQIEISA-N -1 1 312.391 1.091 20 0 DDADMM Cc1c[nH]c(NC(=O)c2cc(C)ccc2[N-]S(C)(=O)=O)n1 ZINC000531624659 484298468 /nfs/dbraw/zinc/29/84/68/484298468.db2.gz TXPCRLLLHXISJI-UHFFFAOYSA-N -1 1 308.363 1.650 20 0 DDADMM Cc1nc(C(C)C)ccc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000293614718 484330819 /nfs/dbraw/zinc/33/08/19/484330819.db2.gz WSTBSUGZJMTSRM-SNVBAGLBSA-N -1 1 302.382 1.902 20 0 DDADMM Cc1nccnc1N1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000669620877 484589358 /nfs/dbraw/zinc/58/93/58/484589358.db2.gz QARBXEOCBYDCCT-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM CC(C)(NC(=O)NC[C@@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663271100 484777254 /nfs/dbraw/zinc/77/72/54/484777254.db2.gz IDDDLHLLXHCABE-ZDUSSCGKSA-N -1 1 305.378 1.423 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC1(F)F)c1cnn2c1OCCC2 ZINC000668204094 485022534 /nfs/dbraw/zinc/02/25/34/485022534.db2.gz SGCSLXAZEHCYIZ-VIFPVBQESA-N -1 1 307.322 1.132 20 0 DDADMM CC(C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000668334066 485113140 /nfs/dbraw/zinc/11/31/40/485113140.db2.gz OZZMKRADBDWGEV-SNVBAGLBSA-N -1 1 310.375 1.624 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@H]1CCCc2nn[nH]c21 ZINC000666315044 485170065 /nfs/dbraw/zinc/17/00/65/485170065.db2.gz RCCOFESDQXQEEE-NSHDSACASA-N -1 1 324.344 1.959 20 0 DDADMM O=C([O-])c1cccc(CNC(=O)N[C@@H]2CCCc3cn[nH]c32)c1 ZINC000666537152 485263143 /nfs/dbraw/zinc/26/31/43/485263143.db2.gz TVOOAQWYFOTBBT-CYBMUJFWSA-N -1 1 314.345 1.985 20 0 DDADMM CC(C)N1CC[C@H](NC(=O)c2ncc3ccccc3c2[O-])C1=O ZINC000668779043 485317124 /nfs/dbraw/zinc/31/71/24/485317124.db2.gz FQIXOJGCZTXEDZ-ZDUSSCGKSA-N -1 1 313.357 1.680 20 0 DDADMM CC[C@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@]2(CCOC2)O1 ZINC000673147566 485392271 /nfs/dbraw/zinc/39/22/71/485392271.db2.gz ZXPJRZQGEZLPIH-WMLDXEAASA-N -1 1 319.357 1.371 20 0 DDADMM CCNC(=O)CN(C)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000682358420 485563380 /nfs/dbraw/zinc/56/33/80/485563380.db2.gz CLDAXWZZEFRYAQ-UHFFFAOYSA-N -1 1 300.742 1.262 20 0 DDADMM CC[C@]1([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCOC1 ZINC000682937450 485832119 /nfs/dbraw/zinc/83/21/19/485832119.db2.gz LPSWHNPBSOHSKQ-LBPRGKRZSA-N -1 1 309.309 1.951 20 0 DDADMM O=C(NC1CCN(c2cccnn2)CC1)c1ccc([O-])c(F)c1 ZINC000683258715 485923844 /nfs/dbraw/zinc/92/38/44/485923844.db2.gz PUAZQUJDYHTZKN-UHFFFAOYSA-N -1 1 316.336 1.720 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])c(F)c2)CCS(=O)(=O)C1 ZINC000683348126 485963232 /nfs/dbraw/zinc/96/32/32/485963232.db2.gz HRGSEEWERKGQCU-SECBINFHSA-N -1 1 301.339 1.038 20 0 DDADMM O=C(CCCOc1ccc2c(c1)CCCC2)NCc1nn[n-]n1 ZINC000675791167 486037195 /nfs/dbraw/zinc/03/71/95/486037195.db2.gz QRKZHDRPSYZDCJ-UHFFFAOYSA-N -1 1 315.377 1.554 20 0 DDADMM CS(=O)(=O)c1ccc(NC(=O)c2ccc([O-])c(F)c2)cn1 ZINC000681020371 486325035 /nfs/dbraw/zinc/32/50/35/486325035.db2.gz ZGDCBTJTQRTNSG-UHFFFAOYSA-N -1 1 310.306 1.582 20 0 DDADMM NC(=O)CN(Cc1ccccc1)C(=O)c1ccc([O-])c(F)c1 ZINC000681046694 486330698 /nfs/dbraw/zinc/33/06/98/486330698.db2.gz OOCBLQQKYVBYKM-UHFFFAOYSA-N -1 1 302.305 1.659 20 0 DDADMM CCO[C@H]1C[C@H](O)C12CCN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC000681048573 486330746 /nfs/dbraw/zinc/33/07/46/486330746.db2.gz BNCHBMWLCIEHHM-GJZGRUSLSA-N -1 1 323.364 1.923 20 0 DDADMM CCCCOc1ccc(C(=O)NCc2nn[n-]n2)c(Cl)c1 ZINC000681189464 486368292 /nfs/dbraw/zinc/36/82/92/486368292.db2.gz FMFOYMIZLMVTAV-UHFFFAOYSA-N -1 1 309.757 1.962 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677049539 486387093 /nfs/dbraw/zinc/38/70/93/486387093.db2.gz VDPMSUGKKMFCGH-KCJUWKMLSA-N -1 1 304.354 1.314 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCO[C@H](C(C)(C)C)C1 ZINC000685504994 486552707 /nfs/dbraw/zinc/55/27/07/486552707.db2.gz ZRUOENWDGIRKTL-ZJUUUORDSA-N -1 1 317.411 1.437 20 0 DDADMM Cn1ccnc1[C@H]1OCCC[C@@H]1NC(=O)c1ccc([O-])c(F)c1 ZINC000681865643 486564947 /nfs/dbraw/zinc/56/49/47/486564947.db2.gz OAXMBVKKMGIQEC-JSGCOSHPSA-N -1 1 319.336 1.915 20 0 DDADMM CS[C@@H](CO)[C@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000451336611 533939899 /nfs/dbraw/zinc/93/98/99/533939899.db2.gz FDDXETQJKOAYQV-XPUUQOCRSA-N -1 1 315.869 1.792 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](CC(C)C)NC(C)=O)n1 ZINC000415650699 517697123 /nfs/dbraw/zinc/69/71/23/517697123.db2.gz SNJOLRAWONYALZ-JTQLQIEISA-N -1 1 310.354 1.076 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCC(C)(C)O2)c1 ZINC000494373238 534319328 /nfs/dbraw/zinc/31/93/28/534319328.db2.gz YFXKLYAUEFXBPM-JTQLQIEISA-N -1 1 317.363 1.302 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCO[C@H](Cn2cccn2)C1 ZINC000331733607 534648398 /nfs/dbraw/zinc/64/83/98/534648398.db2.gz ROVXDLXDXTUNRM-JTQLQIEISA-N -1 1 323.299 1.408 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCC[C@@H](F)C2)c1 ZINC000424755447 534703176 /nfs/dbraw/zinc/70/31/76/534703176.db2.gz CMCOKMDQYDUAJA-DTWKUNHWSA-N -1 1 316.354 1.506 20 0 DDADMM CC(=O)N1CC[C@H]([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000451316527 526667497 /nfs/dbraw/zinc/66/74/97/526667497.db2.gz UBTGZNQBUPEBAO-QMMMGPOBSA-N -1 1 308.812 1.301 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)NCCCCCC(=O)[O-])n[nH]1 ZINC000414615095 526844002 /nfs/dbraw/zinc/84/40/02/526844002.db2.gz UWPFGKNGMWOYPO-UHFFFAOYSA-N -1 1 311.386 1.546 20 0 DDADMM CCC[C@@H]1CCCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000456863597 527740687 /nfs/dbraw/zinc/74/06/87/527740687.db2.gz RHMSVLJCRFLMNQ-VXGBXAGGSA-N -1 1 317.393 1.754 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)COC1 ZINC000451951472 527750446 /nfs/dbraw/zinc/75/04/46/527750446.db2.gz LNPMUSGBFJEIFQ-UHFFFAOYSA-N -1 1 309.309 1.809 20 0 DDADMM CC(C)OCC[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000292699209 527977076 /nfs/dbraw/zinc/97/70/76/527977076.db2.gz AJFMKFBMXNCLLF-UHFFFAOYSA-N -1 1 315.317 1.142 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2ccc(Br)o2)COC1 ZINC000331934260 527984959 /nfs/dbraw/zinc/98/49/59/527984959.db2.gz GPAUYGCCIYETGW-UHFFFAOYSA-N -1 1 310.169 1.357 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2cc(C)ccc2OC)[n-]n1 ZINC000413032430 528230734 /nfs/dbraw/zinc/23/07/34/528230734.db2.gz JZVTYNIFVDSXTA-UHFFFAOYSA-N -1 1 314.389 1.620 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2COCC2(C)C)o1 ZINC000451963147 528242714 /nfs/dbraw/zinc/24/27/14/528242714.db2.gz HDSCPOJWQWNYGN-SNVBAGLBSA-N -1 1 317.363 1.160 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](C)SC)o1 ZINC000443717480 528243799 /nfs/dbraw/zinc/24/37/99/528243799.db2.gz JMHPDTNJMPLVNO-QMMMGPOBSA-N -1 1 307.393 1.486 20 0 DDADMM CCOC(=O)c1n[n-]c(-c2cccc(CNC(=O)N(C)C)c2)n1 ZINC000432957819 528369389 /nfs/dbraw/zinc/36/93/89/528369389.db2.gz BABCATTXZSQXOL-UHFFFAOYSA-N -1 1 317.349 1.420 20 0 DDADMM CC1(C)C(=O)N[C@H]1C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000294952672 528867092 /nfs/dbraw/zinc/86/70/92/528867092.db2.gz XXUDXSBJQMXZLS-ZDUSSCGKSA-N -1 1 303.362 1.164 20 0 DDADMM CC[C@H](C)NC(=O)[C@H]1CSCN1C(=O)c1ncc(C)cc1[O-] ZINC000330894038 529177039 /nfs/dbraw/zinc/17/70/39/529177039.db2.gz ZIIWMQFUGFHDBS-WDEREUQCSA-N -1 1 323.418 1.525 20 0 DDADMM O[C@@H]1CCC[C@H](CNc2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000823696725 599575010 /nfs/dbraw/zinc/57/50/10/599575010.db2.gz GUGNEKLCPUDUIK-NKWVEPMBSA-N -1 1 314.802 1.940 20 0 DDADMM O[C@@H]1CCC[C@H](CNc2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000823696725 599575013 /nfs/dbraw/zinc/57/50/13/599575013.db2.gz GUGNEKLCPUDUIK-NKWVEPMBSA-N -1 1 314.802 1.940 20 0 DDADMM Clc1nsc(NCCOc2cccnc2)c1-c1nnn[n-]1 ZINC000737583352 598915116 /nfs/dbraw/zinc/91/51/16/598915116.db2.gz IQQZHQCSDUDFPB-UHFFFAOYSA-N -1 1 323.769 1.863 20 0 DDADMM Clc1nsc(NCCOc2cccnc2)c1-c1nn[n-]n1 ZINC000737583352 598915117 /nfs/dbraw/zinc/91/51/17/598915117.db2.gz IQQZHQCSDUDFPB-UHFFFAOYSA-N -1 1 323.769 1.863 20 0 DDADMM COC(=O)[C@H](C)Sc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736894278 598955879 /nfs/dbraw/zinc/95/58/79/598955879.db2.gz UJZCVYCEJZYOES-ZETCQYMHSA-N -1 1 316.346 1.464 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NC[C@H]1CCCOC1 ZINC000738436893 598962635 /nfs/dbraw/zinc/96/26/35/598962635.db2.gz LESPJVWXWQREGR-SNVBAGLBSA-N -1 1 311.349 1.648 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NC[C@H]1CCCOC1 ZINC000738436893 598962636 /nfs/dbraw/zinc/96/26/36/598962636.db2.gz LESPJVWXWQREGR-SNVBAGLBSA-N -1 1 311.349 1.648 20 0 DDADMM Cc1cccc(CCCOC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000737392443 598980444 /nfs/dbraw/zinc/98/04/44/598980444.db2.gz UTPPGTDNVSMHCH-UHFFFAOYSA-N -1 1 324.344 1.755 20 0 DDADMM Cc1cccc(CCCOC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000737392443 598980447 /nfs/dbraw/zinc/98/04/47/598980447.db2.gz UTPPGTDNVSMHCH-UHFFFAOYSA-N -1 1 324.344 1.755 20 0 DDADMM COc1cccc([C@H](C)NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737127150 598988102 /nfs/dbraw/zinc/98/81/02/598988102.db2.gz PCALYWAHFVPBIJ-JTQLQIEISA-N -1 1 324.344 1.761 20 0 DDADMM COc1cccc([C@H](C)NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737127150 598988104 /nfs/dbraw/zinc/98/81/04/598988104.db2.gz PCALYWAHFVPBIJ-JTQLQIEISA-N -1 1 324.344 1.761 20 0 DDADMM c1ccc(N2CCC[C@H](Nc3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000738436022 599060769 /nfs/dbraw/zinc/06/07/69/599060769.db2.gz MHTIXOGKFWMTFM-LBPRGKRZSA-N -1 1 322.376 1.738 20 0 DDADMM c1ccc(N2CCC[C@H](Nc3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000738436022 599060772 /nfs/dbraw/zinc/06/07/72/599060772.db2.gz MHTIXOGKFWMTFM-LBPRGKRZSA-N -1 1 322.376 1.738 20 0 DDADMM C[C@@]1(c2ccccc2)C[C@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736224247 599094111 /nfs/dbraw/zinc/09/41/11/599094111.db2.gz RFBAIAFWXOVWKS-PBHICJAKSA-N -1 1 320.356 1.722 20 0 DDADMM C[C@@]1(c2ccccc2)C[C@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736224247 599094114 /nfs/dbraw/zinc/09/41/14/599094114.db2.gz RFBAIAFWXOVWKS-PBHICJAKSA-N -1 1 320.356 1.722 20 0 DDADMM CN(CCC(=O)[O-])C(=O)NC1CCN(Cc2ccncc2)CC1 ZINC000818428974 596991573 /nfs/dbraw/zinc/99/15/73/596991573.db2.gz BNEJRGTXQFWXSI-UHFFFAOYSA-N -1 1 320.393 1.162 20 0 DDADMM CC(=O)NCCN(Cc1cccc(Cl)c1F)[C@H](C)C(=O)[O-] ZINC000263446304 597703926 /nfs/dbraw/zinc/70/39/26/597703926.db2.gz XZCWWMHEFJRLCB-SECBINFHSA-N -1 1 316.760 1.890 20 0 DDADMM C[C@@H](NCC(=O)NCC(=O)[O-])c1ccc(-c2cccnc2)cc1 ZINC000820141821 597808981 /nfs/dbraw/zinc/80/89/81/597808981.db2.gz IYWSRLPBDXFIQE-GFCCVEGCSA-N -1 1 313.357 1.600 20 0 DDADMM O=C1CCCN1c1ccc(Nc2cnc(-c3nnn[n-]3)cn2)cc1 ZINC000738303952 598384857 /nfs/dbraw/zinc/38/48/57/598384857.db2.gz JOWDLNFFWPEGDC-UHFFFAOYSA-N -1 1 322.332 1.527 20 0 DDADMM O=C1CCCN1c1ccc(Nc2cnc(-c3nn[n-]n3)cn2)cc1 ZINC000738303952 598384858 /nfs/dbraw/zinc/38/48/58/598384858.db2.gz JOWDLNFFWPEGDC-UHFFFAOYSA-N -1 1 322.332 1.527 20 0 DDADMM CCN(C(C)C)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000736508455 598693360 /nfs/dbraw/zinc/69/33/60/598693360.db2.gz MCGQYVHFBISOFF-UHFFFAOYSA-N -1 1 313.358 1.425 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1cccc(F)c1Cl ZINC000822903928 599316689 /nfs/dbraw/zinc/31/66/89/599316689.db2.gz QGXGMXZJTJCFPY-UHFFFAOYSA-N -1 1 307.676 1.126 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@H]1C[C@@H]1C1CCCCC1 ZINC000822904315 599318968 /nfs/dbraw/zinc/31/89/68/599318968.db2.gz VSGYGQYUGBYJMX-ZJUUUORDSA-N -1 1 301.354 1.502 20 0 DDADMM OCCCSCCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000823722655 599529587 /nfs/dbraw/zinc/52/95/87/599529587.db2.gz VYJYUDPMQPOWKZ-UHFFFAOYSA-N -1 1 314.802 1.443 20 0 DDADMM OCCCSCCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000823722655 599529589 /nfs/dbraw/zinc/52/95/89/599529589.db2.gz VYJYUDPMQPOWKZ-UHFFFAOYSA-N -1 1 314.802 1.443 20 0 DDADMM NC(=O)[C@@H]1CCC[C@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000737748517 599533081 /nfs/dbraw/zinc/53/30/81/599533081.db2.gz ZTMPCMYAJXHZSF-SFYZADRCSA-N -1 1 321.772 1.371 20 0 DDADMM NC(=O)[C@@H]1CCC[C@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000737748517 599533083 /nfs/dbraw/zinc/53/30/83/599533083.db2.gz ZTMPCMYAJXHZSF-SFYZADRCSA-N -1 1 321.772 1.371 20 0 DDADMM Cc1cnn([C@H]2CCCN(c3cccc(-c4nnn[n-]4)n3)C2)c1 ZINC000822402843 599539737 /nfs/dbraw/zinc/53/97/37/599539737.db2.gz IYEKRQSVNSTTOD-LBPRGKRZSA-N -1 1 310.365 1.608 20 0 DDADMM Cc1cnn([C@H]2CCCN(c3cccc(-c4nn[n-]n4)n3)C2)c1 ZINC000822402843 599539739 /nfs/dbraw/zinc/53/97/39/599539739.db2.gz IYEKRQSVNSTTOD-LBPRGKRZSA-N -1 1 310.365 1.608 20 0 DDADMM COC[C@H]1CCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000821684616 599566295 /nfs/dbraw/zinc/56/62/95/599566295.db2.gz FRDRTCLZYPPMSI-NSHDSACASA-N -1 1 310.361 1.888 20 0 DDADMM COC[C@H]1CCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000821684616 599566297 /nfs/dbraw/zinc/56/62/97/599566297.db2.gz FRDRTCLZYPPMSI-NSHDSACASA-N -1 1 310.361 1.888 20 0 DDADMM C[C@@H](Cc1ccccc1F)C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000736067201 599603996 /nfs/dbraw/zinc/60/39/96/599603996.db2.gz VOASRYPEIUYIHL-QMMMGPOBSA-N -1 1 315.312 1.304 20 0 DDADMM CCCCOC(=O)[C@@H](C)OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821071730 599661382 /nfs/dbraw/zinc/66/13/82/599661382.db2.gz KTKHJROGQIWYGN-SECBINFHSA-N -1 1 319.321 1.150 20 0 DDADMM CCCCOC(=O)[C@@H](C)OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821071730 599661383 /nfs/dbraw/zinc/66/13/83/599661383.db2.gz KTKHJROGQIWYGN-SECBINFHSA-N -1 1 319.321 1.150 20 0 DDADMM CCN(CCNS(=O)(=O)c1ccc(C(=O)[O-])cc1)C1CC1 ZINC000217926582 599800511 /nfs/dbraw/zinc/80/05/11/599800511.db2.gz HHPLFNAJSRWQSE-UHFFFAOYSA-N -1 1 312.391 1.147 20 0 DDADMM C[N@H+]1CCC[C@H](NC(=O)Nc2ccc(CCC(=O)[O-])cc2)C1 ZINC000316987038 599830386 /nfs/dbraw/zinc/83/03/86/599830386.db2.gz MKLMCNICIPQALY-AWEZNQCLSA-N -1 1 305.378 1.920 20 0 DDADMM CC(C)c1ccc(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)cn1 ZINC000820191920 607403506 /nfs/dbraw/zinc/40/35/06/607403506.db2.gz ARITXQQKGCQRHA-UHFFFAOYSA-N -1 1 312.337 1.371 20 0 DDADMM CC(C)c1ccc(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)cn1 ZINC000820191920 607403507 /nfs/dbraw/zinc/40/35/07/607403507.db2.gz ARITXQQKGCQRHA-UHFFFAOYSA-N -1 1 312.337 1.371 20 0 DDADMM Cc1cc(C)c(CCNC(=O)CNC(C)(C)C(=O)[O-])c(C)c1 ZINC000738217196 599928131 /nfs/dbraw/zinc/92/81/31/599928131.db2.gz DIUMUQOSVWZQKG-UHFFFAOYSA-N -1 1 306.406 1.723 20 0 DDADMM CN1CCN(Cc2cccc(NC(=O)[C@@H]3C[C@@H]3C(=O)[O-])c2)CC1 ZINC000737529476 600076789 /nfs/dbraw/zinc/07/67/89/600076789.db2.gz XXPLAYPOGXXWLP-CABCVRRESA-N -1 1 317.389 1.093 20 0 DDADMM O=C([O-])c1coc(C(=O)COC(=O)c2[nH]nc3c2CCC3)c1 ZINC000740256774 600141457 /nfs/dbraw/zinc/14/14/57/600141457.db2.gz BEDHQAJFMMWRPF-UHFFFAOYSA-N -1 1 304.258 1.229 20 0 DDADMM CCC[C@](C)(NCC(=O)N(C)Cc1ccccc1OC)C(=O)[O-] ZINC000736962374 600153878 /nfs/dbraw/zinc/15/38/78/600153878.db2.gz ZYHAJTBMAKAJCY-KRWDZBQOSA-N -1 1 322.405 1.887 20 0 DDADMM O=C([O-])C1CCC(NC(=O)N2CCN(C3CCC3)CC2)CC1 ZINC000393237828 600236581 /nfs/dbraw/zinc/23/65/81/600236581.db2.gz FWMIOYRSFDTGHW-UHFFFAOYSA-N -1 1 309.410 1.510 20 0 DDADMM C[C@@H]1CO[C@@H](c2ccccc2)CN1CCC(=O)NCC(=O)[O-] ZINC000736823181 600297676 /nfs/dbraw/zinc/29/76/76/600297676.db2.gz VQYKFLFKGCFKSE-TZMCWYRMSA-N -1 1 306.362 1.039 20 0 DDADMM C[C@H](C(=O)Nc1c(F)cccc1F)N(C)C[C@H](C)C(=O)[O-] ZINC000736574752 600365702 /nfs/dbraw/zinc/36/57/02/600365702.db2.gz HYTCTLQUNQDWBM-DTWKUNHWSA-N -1 1 300.305 1.944 20 0 DDADMM COc1ccc(OC)c(NC(=O)CN(C)C[C@H](C)C(=O)[O-])c1 ZINC000738047291 600376901 /nfs/dbraw/zinc/37/69/01/600376901.db2.gz GBKDSCOUPVEEIH-JTQLQIEISA-N -1 1 310.350 1.295 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cnn(CC(=O)[O-])c2)c(F)c1 ZINC000404966706 600529797 /nfs/dbraw/zinc/52/97/97/600529797.db2.gz JMAIZOASGSCKHQ-UHFFFAOYSA-N -1 1 313.310 1.216 20 0 DDADMM CC[C@](C)(NCC(=O)NCCOc1cccc(C)c1)C(=O)[O-] ZINC000736861173 600563392 /nfs/dbraw/zinc/56/33/92/600563392.db2.gz HBCNZLJEKNPHHK-INIZCTEOSA-N -1 1 308.378 1.333 20 0 DDADMM Cc1[nH]c(C(=O)N2CCN(C3CCC3)CC2)c(C)c1C(=O)[O-] ZINC000738158780 600634842 /nfs/dbraw/zinc/63/48/42/600634842.db2.gz HOAUAKVMZVGDOI-UHFFFAOYSA-N -1 1 305.378 1.640 20 0 DDADMM C[C@@H](CN(CN1C[C@H](c2ccccn2)CC1=O)C1CC1)C(=O)[O-] ZINC000736584976 600636431 /nfs/dbraw/zinc/63/64/31/600636431.db2.gz QTVZNGNMEPSJNT-QWHCGFSZSA-N -1 1 317.389 1.540 20 0 DDADMM CCN1CC[C@H](NS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2)C1 ZINC000389374322 600788037 /nfs/dbraw/zinc/78/80/37/600788037.db2.gz XETCSXPWQGJZLW-NSHDSACASA-N -1 1 312.391 1.066 20 0 DDADMM Cn1ccc(N2CCC[C@@H](N3CCC(CC(=O)[O-])CC3)C2=O)n1 ZINC000833056430 600819740 /nfs/dbraw/zinc/81/97/40/600819740.db2.gz ZOGLNSPKNPDOTJ-CYBMUJFWSA-N -1 1 320.393 1.102 20 0 DDADMM CCCCc1noc(CN(CCC(=O)[O-])C2CCOCC2)n1 ZINC000829440014 600860083 /nfs/dbraw/zinc/86/00/83/600860083.db2.gz HYKVMMGXXKUPQP-UHFFFAOYSA-N -1 1 311.382 1.868 20 0 DDADMM Cc1nnc(SCCC(=O)NC2CCC(C(=O)[O-])CC2)[nH]1 ZINC000821224724 600937102 /nfs/dbraw/zinc/93/71/02/600937102.db2.gz JEUUINKNVJIMQT-UHFFFAOYSA-N -1 1 312.395 1.355 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCc2cccnc2)CC1 ZINC000827361389 601093783 /nfs/dbraw/zinc/09/37/83/601093783.db2.gz ABBGHUHSIWQFNH-ZDUSSCGKSA-N -1 1 305.378 1.022 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2cccnc2)CC1 ZINC000827354015 601095129 /nfs/dbraw/zinc/09/51/29/601095129.db2.gz AOQUELCVLXEKBK-ZFDPJTLLSA-N -1 1 303.362 1.102 20 0 DDADMM O=C([O-])Nc1ccc(CNC(=O)C[N@@H+]2CCC[C@@H](CO)C2)cc1 ZINC000833285872 601154407 /nfs/dbraw/zinc/15/44/07/601154407.db2.gz JLTVZYSHTZWZRG-CYBMUJFWSA-N -1 1 321.377 1.097 20 0 DDADMM O=C([O-])Nc1ccc(CNC(=O)CN2CCC[C@@H](CO)C2)cc1 ZINC000833285872 601154408 /nfs/dbraw/zinc/15/44/08/601154408.db2.gz JLTVZYSHTZWZRG-CYBMUJFWSA-N -1 1 321.377 1.097 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1C[C@H]2CCC[C@H]21 ZINC000826005196 601339664 /nfs/dbraw/zinc/33/96/64/601339664.db2.gz TUOASRKUHQUYJE-KCPJHIHWSA-N -1 1 302.374 1.874 20 0 DDADMM CC1(C)C(=O)N(CN2CC[C@H](C(=O)[O-])C2)[C@@H]1c1ccccc1 ZINC000818075802 601397734 /nfs/dbraw/zinc/39/77/34/601397734.db2.gz NGWUCMXYQVRKPK-UONOGXRCSA-N -1 1 302.374 1.960 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCc1cn(-c2ccccc2)nn1 ZINC000831586015 601488074 /nfs/dbraw/zinc/48/80/74/601488074.db2.gz RNVDVZOVERPEOD-OAHLLOKOSA-N -1 1 304.350 1.237 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCc1ccn(-c2cccc(F)c2)n1 ZINC000831585375 601488748 /nfs/dbraw/zinc/48/87/48/601488748.db2.gz MSRDIZIRLBSYEI-INIZCTEOSA-N -1 1 321.352 1.981 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CC[C@](F)(C(=O)[O-])C1 ZINC000830585052 601653939 /nfs/dbraw/zinc/65/39/39/601653939.db2.gz MJWGNBLANAJIJO-CZUORRHYSA-N -1 1 308.353 1.185 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C(=O)[C@@H]2CCCN2Cc2ccccc2)C1 ZINC000262256661 601716717 /nfs/dbraw/zinc/71/67/17/601716717.db2.gz YISLNFUAOAJHGE-YOEHRIQHSA-N -1 1 320.364 1.676 20 0 DDADMM C[C@H]1CN(CN2C(=O)CC3(CCC(C)CC3)C2=O)C[C@@H]1C(=O)[O-] ZINC000828233529 601817973 /nfs/dbraw/zinc/81/79/73/601817973.db2.gz QFYYDJKVULBGBW-UDRCLVEDSA-N -1 1 322.405 1.552 20 0 DDADMM C[C@H]1CN(CN2C(=O)NC3(CCCCCC3)C2=O)C[C@H]1C(=O)[O-] ZINC000828449083 601818530 /nfs/dbraw/zinc/81/85/30/601818530.db2.gz IWTUENYMJYCOHS-NWDGAFQWSA-N -1 1 323.393 1.241 20 0 DDADMM O=[S@](Cc1ccnc(-c2nnn[n-]2)c1)c1cccc(F)c1 ZINC000826496063 607530248 /nfs/dbraw/zinc/53/02/48/607530248.db2.gz JWPQTRKEQMZGQL-OAQYLSRUSA-N -1 1 303.322 1.709 20 0 DDADMM O=[S@](Cc1ccnc(-c2nn[n-]n2)c1)c1cccc(F)c1 ZINC000826496063 607530249 /nfs/dbraw/zinc/53/02/49/607530249.db2.gz JWPQTRKEQMZGQL-OAQYLSRUSA-N -1 1 303.322 1.709 20 0 DDADMM C[C@@H](NC(=O)c1[nH]nc2c1CCCC2)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000739025916 601870797 /nfs/dbraw/zinc/87/07/97/601870797.db2.gz LDVAGPXQIRZUFX-GHMZBOCLSA-N -1 1 320.393 1.797 20 0 DDADMM C[C@]1(C(=O)[O-])CCN([C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000828020297 601912201 /nfs/dbraw/zinc/91/22/01/601912201.db2.gz NAMOCVHEBHDMFZ-CJNGLKHVSA-N -1 1 306.337 1.728 20 0 DDADMM c1cc(CSCc2nnnn2C2CC2)cc(-c2nnn[n-]2)n1 ZINC000826516439 607534879 /nfs/dbraw/zinc/53/48/79/607534879.db2.gz LIBJUNZEVLBSCF-UHFFFAOYSA-N -1 1 315.366 1.017 20 0 DDADMM c1cc(CSCc2nnnn2C2CC2)cc(-c2nn[n-]n2)n1 ZINC000826516439 607534881 /nfs/dbraw/zinc/53/48/81/607534881.db2.gz LIBJUNZEVLBSCF-UHFFFAOYSA-N -1 1 315.366 1.017 20 0 DDADMM C[C@H](C(=O)NCc1cccs1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738626045 601949061 /nfs/dbraw/zinc/94/90/61/601949061.db2.gz AQSKIUMUXBBCIS-GHMZBOCLSA-N -1 1 311.407 1.342 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000739321004 601953858 /nfs/dbraw/zinc/95/38/58/601953858.db2.gz UDHNTGBESMNGSN-NSHDSACASA-N -1 1 317.349 1.524 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000739321004 601953861 /nfs/dbraw/zinc/95/38/61/601953861.db2.gz UDHNTGBESMNGSN-NSHDSACASA-N -1 1 317.349 1.524 20 0 DDADMM C[C@H](NC(=O)CN1CC[C@H](CNC(=O)[O-])C1)c1ccc(F)cc1 ZINC000738959535 601956930 /nfs/dbraw/zinc/95/69/30/601956930.db2.gz CTKWTDCXVHOKHF-NWDGAFQWSA-N -1 1 323.368 1.592 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)NCCCc2ccccc2)C1 ZINC000740641072 601964451 /nfs/dbraw/zinc/96/44/51/601964451.db2.gz IEEJAJXPTJQSPW-HNNXBMFYSA-N -1 1 319.405 1.325 20 0 DDADMM COc1ccc(Br)c(CNCCNC(=O)[O-])c1 ZINC000739882013 602052981 /nfs/dbraw/zinc/05/29/81/602052981.db2.gz GPQMQWGWDWHYOR-UHFFFAOYSA-N -1 1 303.156 1.815 20 0 DDADMM Clc1ccc(N2CC[C@@H]([C@@H]3CCOC3)C2)nc1-c1nnn[n-]1 ZINC000826352276 607544038 /nfs/dbraw/zinc/54/40/38/607544038.db2.gz VPXSRGPMYBDWGM-NXEZZACHSA-N -1 1 320.784 1.778 20 0 DDADMM Clc1ccc(N2CC[C@@H]([C@@H]3CCOC3)C2)nc1-c1nn[n-]n1 ZINC000826352276 607544040 /nfs/dbraw/zinc/54/40/40/607544040.db2.gz VPXSRGPMYBDWGM-NXEZZACHSA-N -1 1 320.784 1.778 20 0 DDADMM c1cc(NCc2ccnc(-c3nnn[n-]3)c2)c2c(c1)OCCO2 ZINC000826517241 607549454 /nfs/dbraw/zinc/54/94/54/607549454.db2.gz WCFFPQNJIQWBJG-UHFFFAOYSA-N -1 1 310.317 1.645 20 0 DDADMM c1cc(NCc2ccnc(-c3nn[n-]n3)c2)c2c(c1)OCCO2 ZINC000826517241 607549456 /nfs/dbraw/zinc/54/94/56/607549456.db2.gz WCFFPQNJIQWBJG-UHFFFAOYSA-N -1 1 310.317 1.645 20 0 DDADMM COc1ccc([C@@H](NCc2cc(=O)c(OC)co2)C(=O)[O-])cc1 ZINC000832252722 602203819 /nfs/dbraw/zinc/20/38/19/602203819.db2.gz RGFWFPFMPPVNOL-OAHLLOKOSA-N -1 1 319.313 1.573 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CC[C@H](CNC(=O)[O-])C2)c1 ZINC000740054248 602250991 /nfs/dbraw/zinc/25/09/91/602250991.db2.gz OUHLTLJZLOSVMR-SNVBAGLBSA-N -1 1 302.334 1.601 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)NC[C@H](c1ccsc1)N(C)C ZINC000739315580 602383088 /nfs/dbraw/zinc/38/30/88/602383088.db2.gz PQFAAWAFLBLLNH-VXGBXAGGSA-N -1 1 313.423 1.903 20 0 DDADMM COC(=O)c1ccc(CN2CCC(CN(C)C(=O)[O-])CC2)o1 ZINC000739787266 602387520 /nfs/dbraw/zinc/38/75/20/602387520.db2.gz YZEVJXZXOGPXFY-UHFFFAOYSA-N -1 1 310.350 1.888 20 0 DDADMM CCCN(CC(=O)NC(C1CC1)C1CC1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739352552 602544043 /nfs/dbraw/zinc/54/40/43/602544043.db2.gz DFQABGXYAODZDN-AWEZNQCLSA-N -1 1 323.437 1.756 20 0 DDADMM O=C([O-])N1CCC[C@H](CCNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000740424741 602642582 /nfs/dbraw/zinc/64/25/82/602642582.db2.gz NAWXPCOISXAORB-SNVBAGLBSA-N -1 1 317.349 1.468 20 0 DDADMM O=C([O-])NCCCNCc1cnn(-c2ccc(Cl)cc2)n1 ZINC000740652338 602647509 /nfs/dbraw/zinc/64/75/09/602647509.db2.gz MWVHDHLPYVBNSR-UHFFFAOYSA-N -1 1 309.757 1.668 20 0 DDADMM CC(C)[C@@H](CN[C@H](C(=O)NC1CC1)c1ccccc1)NC(=O)[O-] ZINC000738791744 602790404 /nfs/dbraw/zinc/79/04/04/602790404.db2.gz FALXEYKBHUPMEV-CABCVRRESA-N -1 1 319.405 1.888 20 0 DDADMM C[C@@H]1CN(Cc2cc(=O)n3ccccc3n2)CC[C@H]1NC(=O)[O-] ZINC000739179325 602797084 /nfs/dbraw/zinc/79/70/84/602797084.db2.gz AXVVFSDZQOUUJT-DGCLKSJQSA-N -1 1 316.361 1.173 20 0 DDADMM C[C@H]1CN(CC(=O)N(C)Cc2ccccc2)CC[C@@H]1NC(=O)[O-] ZINC000739171439 602799028 /nfs/dbraw/zinc/79/90/28/602799028.db2.gz IHHWWWVOCOHXPS-ZFWWWQNUSA-N -1 1 319.405 1.623 20 0 DDADMM Cc1nc2ccc(NC(=O)[C@H]3COCCN3C(=O)[O-])cc2[nH]1 ZINC000740230432 602834189 /nfs/dbraw/zinc/83/41/89/602834189.db2.gz VBMVYIFZEYBRMG-GFCCVEGCSA-N -1 1 304.306 1.189 20 0 DDADMM CCN(C[C@@H]1CCCN(C(=O)[O-])C1)[C@@H](C)CS(=O)(=O)CC ZINC000739415224 602840841 /nfs/dbraw/zinc/84/08/41/602840841.db2.gz UQBJNXZICWPJHZ-STQMWFEESA-N -1 1 320.455 1.522 20 0 DDADMM CC(C)[C@@H](CNC(=O)N1CCN(C(C)C)CC1)NC(=O)[O-] ZINC000738788485 602851754 /nfs/dbraw/zinc/85/17/54/602851754.db2.gz XMQYVCWLFLQXNO-GFCCVEGCSA-N -1 1 300.403 1.014 20 0 DDADMM C[C@@H]1CC(=O)N(CN2CCC[C@@H]([C@@H]3CCCN3C(=O)[O-])C2)C1 ZINC000739076184 602860297 /nfs/dbraw/zinc/86/02/97/602860297.db2.gz OBKIWKZVPYUGEF-MCIONIFRSA-N -1 1 309.410 1.667 20 0 DDADMM CCc1cc(CNC(=O)N2CCC[C@H](CN(C)C(=O)[O-])C2)[nH]n1 ZINC000739539577 602916671 /nfs/dbraw/zinc/91/66/71/602916671.db2.gz PCJQJPRNDYYKSK-LLVKDONJSA-N -1 1 323.397 1.504 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000740621332 602972470 /nfs/dbraw/zinc/97/24/70/602972470.db2.gz COFUIABDMHHRDE-VHSXEESVSA-N -1 1 303.322 1.124 20 0 DDADMM O=C([O-])NC[C@H]1CCCC[C@H]1NC(=O)c1[nH]nc2c1CCCC2 ZINC000740629954 602989463 /nfs/dbraw/zinc/98/94/63/602989463.db2.gz KSAGZRQEVLHHCE-ZYHUDNBSSA-N -1 1 320.393 1.845 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)C1CCN(C(=O)[O-])CC1 ZINC000737757500 602990020 /nfs/dbraw/zinc/99/00/20/602990020.db2.gz DBYLCVAKRQQIHC-GXTWGEPZSA-N -1 1 309.410 1.462 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)C1(O)CCCCC1 ZINC000826467013 607599571 /nfs/dbraw/zinc/59/95/71/607599571.db2.gz DAYNTDQDUQGZIB-UHFFFAOYSA-N -1 1 303.322 1.000 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)C1(O)CCCCC1 ZINC000826467013 607599572 /nfs/dbraw/zinc/59/95/72/607599572.db2.gz DAYNTDQDUQGZIB-UHFFFAOYSA-N -1 1 303.322 1.000 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](NC(=O)[O-])c2ccccc2)c1C ZINC000740031491 603016077 /nfs/dbraw/zinc/01/60/77/603016077.db2.gz LQOLBIKTXUELDU-GFCCVEGCSA-N -1 1 302.334 1.765 20 0 DDADMM O=C([O-])NC1CCC(NC(=O)Cc2[nH]nc3ccccc32)CC1 ZINC000740554401 603065562 /nfs/dbraw/zinc/06/55/62/603065562.db2.gz JSKMREYITPBIAY-UHFFFAOYSA-N -1 1 316.361 1.800 20 0 DDADMM CCc1nnc([C@@H]2CN(C[C@@H]3CCCN(C(=O)[O-])C3)CCO2)[nH]1 ZINC000739555336 603072721 /nfs/dbraw/zinc/07/27/21/603072721.db2.gz ZSYBTZVSKYJPQS-RYUDHWBXSA-N -1 1 323.397 1.130 20 0 DDADMM CCc1ccc(N)c(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000825712647 607607226 /nfs/dbraw/zinc/60/72/26/607607226.db2.gz TWMDQTHQUBOTDE-UHFFFAOYSA-N -1 1 324.344 1.763 20 0 DDADMM CCc1ccc(N)c(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000825712647 607607228 /nfs/dbraw/zinc/60/72/28/607607228.db2.gz TWMDQTHQUBOTDE-UHFFFAOYSA-N -1 1 324.344 1.763 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)c1cccc2c[nH]nc21)C(=O)[O-] ZINC000828218855 603154079 /nfs/dbraw/zinc/15/40/79/603154079.db2.gz XAUMMANWBXITNY-NSHDSACASA-N -1 1 302.334 1.777 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N[C@@H]1CCCN(C(=O)[O-])C1 ZINC000738249439 603294459 /nfs/dbraw/zinc/29/44/59/603294459.db2.gz RXWWBPDWYJXRQK-GFCCVEGCSA-N -1 1 309.370 1.092 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)CC[C@@H]1NC(=O)[O-] ZINC000739172593 603354645 /nfs/dbraw/zinc/35/46/45/603354645.db2.gz ZZWKAPLLBAYILI-ONGXEEELSA-N -1 1 318.333 1.788 20 0 DDADMM CCN(CC(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1)C(=O)[O-] ZINC000739393484 603429409 /nfs/dbraw/zinc/42/94/09/603429409.db2.gz RFERPNFOODIDIM-ZDUSSCGKSA-N -1 1 323.393 1.841 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCN(C(=O)c2cc(-c3ccccc3)[nH]n2)C1 ZINC000827865767 603516487 /nfs/dbraw/zinc/51/64/87/603516487.db2.gz WACQIVQLAGZRPN-LBPRGKRZSA-N -1 1 314.345 1.901 20 0 DDADMM CN(C)Cc1ccsc1C(=O)NC[C@@H]1CCCN1C(=O)[O-] ZINC000828047188 603530154 /nfs/dbraw/zinc/53/01/54/603530154.db2.gz YYDWGAKBFQHIKX-NSHDSACASA-N -1 1 311.407 1.682 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]1C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000832341399 603560272 /nfs/dbraw/zinc/56/02/72/603560272.db2.gz SYQNKXDWQANTIQ-NWDGAFQWSA-N -1 1 306.366 1.552 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@H](C(=O)N2CCC(c3nc[nH]n3)CC2)C1 ZINC000832318060 603562581 /nfs/dbraw/zinc/56/25/81/603562581.db2.gz ICPDAKBZFUWQEB-RYUDHWBXSA-N -1 1 321.381 1.337 20 0 DDADMM COC(=O)c1ccc(CN2CCC[C@H]2CNC(=O)[O-])cc1F ZINC000828771443 603609505 /nfs/dbraw/zinc/60/95/05/603609505.db2.gz BQVPZMXMMLTSNJ-NSHDSACASA-N -1 1 310.325 1.844 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000829833018 603619773 /nfs/dbraw/zinc/61/97/73/603619773.db2.gz DSAONHDTAGFIBE-GFCCVEGCSA-N -1 1 309.370 1.044 20 0 DDADMM O=C([O-])N1CC[C@@H](C(=O)NCCc2nc3ccc(F)cc3[nH]2)C1 ZINC000831705055 603737917 /nfs/dbraw/zinc/73/79/17/603737917.db2.gz YBTJFEWXYRKIPC-SECBINFHSA-N -1 1 320.324 1.361 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H](NC(=O)[O-])C(C)C)[nH]c2c1 ZINC000830433459 603764427 /nfs/dbraw/zinc/76/44/27/603764427.db2.gz UQADVIYGOJBWII-CYBMUJFWSA-N -1 1 304.350 1.780 20 0 DDADMM CCc1cc(CNC(=O)N[C@@H]2CCC[C@H]2CNC(=O)[O-])[nH]n1 ZINC000827563711 603809112 /nfs/dbraw/zinc/80/91/12/603809112.db2.gz ILJGXFLKNBVJIW-JOYOIKCWSA-N -1 1 309.370 1.208 20 0 DDADMM CC(C)[C@@H](CCN(C)Cc1cn2cccnc2n1)NC(=O)[O-] ZINC000824104006 603854138 /nfs/dbraw/zinc/85/41/38/603854138.db2.gz BLAIFNIJDPQHFU-CYBMUJFWSA-N -1 1 305.382 1.843 20 0 DDADMM CCc1oc(C(=O)OC)cc1CN1CC[C@H](CNC(=O)[O-])C1 ZINC000827681612 603963755 /nfs/dbraw/zinc/96/37/55/603963755.db2.gz PXGFCTVFVCHKSQ-SNVBAGLBSA-N -1 1 310.350 1.718 20 0 DDADMM Cc1nc2ccc(NC(=O)COC3CN(C(=O)[O-])C3)cc2[nH]1 ZINC000830786107 603971942 /nfs/dbraw/zinc/97/19/42/603971942.db2.gz YDNGFNGFARTAAK-UHFFFAOYSA-N -1 1 304.306 1.189 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@@]2(C)CCN(C(=O)[O-])C2)c1 ZINC000828046177 603995577 /nfs/dbraw/zinc/99/55/77/603995577.db2.gz IBGFJEAQVZZGLH-HNNXBMFYSA-N -1 1 306.366 1.472 20 0 DDADMM C[C@@H](CN(C)C(=O)[O-])C(=O)NC[C@@H](c1ccsc1)N(C)C ZINC000824853981 604087029 /nfs/dbraw/zinc/08/70/29/604087029.db2.gz FVDUZFYDPUEUEV-JQWIXIFHSA-N -1 1 313.423 1.713 20 0 DDADMM CC(C)N1CCN(C(=O)NC2C[C@H]3CC[C@@H](C2)N3C(=O)[O-])CC1 ZINC000824363145 604209850 /nfs/dbraw/zinc/20/98/50/604209850.db2.gz OKZZPXGYVNVGIR-AGUYFDCRSA-N -1 1 324.425 1.395 20 0 DDADMM Cn1cc(CNc2cccc(-c3nnn[n-]3)n2)c(C(F)(F)F)n1 ZINC000826361541 607680684 /nfs/dbraw/zinc/68/06/84/607680684.db2.gz BJJHOPUSLVQORN-UHFFFAOYSA-N -1 1 324.270 1.626 20 0 DDADMM Cn1cc(CNc2cccc(-c3nn[n-]n3)n2)c(C(F)(F)F)n1 ZINC000826361541 607680686 /nfs/dbraw/zinc/68/06/86/607680686.db2.gz BJJHOPUSLVQORN-UHFFFAOYSA-N -1 1 324.270 1.626 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)N2CCC(C)CC2)C1 ZINC000825936800 604253884 /nfs/dbraw/zinc/25/38/84/604253884.db2.gz CQLYURDDOVVMCB-ZIAGYGMSSA-N -1 1 311.426 1.613 20 0 DDADMM CN(C[C@H]1CCCN1CCNC(=O)[O-])C(=O)OC(C)(C)C ZINC000828223821 604368744 /nfs/dbraw/zinc/36/87/44/604368744.db2.gz QDSNLYRAAFLQQS-LLVKDONJSA-N -1 1 301.387 1.585 20 0 DDADMM C[C@H](NC(=O)[O-])c1ccc(CNC(=O)[C@@H]2CCCN2C)cc1 ZINC000825371912 604448608 /nfs/dbraw/zinc/44/86/08/604448608.db2.gz JXYWQBNVBUFPPY-FZMZJTMJSA-N -1 1 305.378 1.726 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@@H]2CC(=O)[O-])c1Br ZINC000832990309 604565689 /nfs/dbraw/zinc/56/56/89/604565689.db2.gz UQVOROIZBYRFMZ-SSDOTTSWSA-N -1 1 316.155 1.560 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3CC[C@@H](NC(=O)[O-])C3)[nH]c2c1 ZINC000830433518 604606787 /nfs/dbraw/zinc/60/67/87/604606787.db2.gz VUGBURAKQCPCCS-SNVBAGLBSA-N -1 1 317.349 1.423 20 0 DDADMM CC(=O)NC1CCN(Cc2c(C)nn(CCC(=O)[O-])c2C)CC1 ZINC000833396377 604619540 /nfs/dbraw/zinc/61/95/40/604619540.db2.gz IJKBAMYUJFSAFQ-UHFFFAOYSA-N -1 1 322.409 1.075 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)CC(C)(C)NC(=O)[O-])C2)n[nH]1 ZINC000830734920 604646018 /nfs/dbraw/zinc/64/60/18/604646018.db2.gz OKTRTNDHHSGRMR-JTQLQIEISA-N -1 1 309.370 1.255 20 0 DDADMM CSC[C@@H]1CCCN1CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000832758552 604678797 /nfs/dbraw/zinc/67/87/97/604678797.db2.gz LEBBYEJWXGEBFJ-LBPRGKRZSA-N -1 1 314.451 1.718 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CN(C(=O)c2cccc3n[nH]cc32)CCO1 ZINC000825336215 604711239 /nfs/dbraw/zinc/71/12/39/604711239.db2.gz RESZCJIWDAFGGB-NOZJJQNGSA-N -1 1 318.333 1.060 20 0 DDADMM CC(=O)NC[C@@H]1CCCN(Cc2ccc(C(=O)[O-])cc2F)C1 ZINC000833396793 604751804 /nfs/dbraw/zinc/75/18/04/604751804.db2.gz PVQFCCCLQQIVAB-LBPRGKRZSA-N -1 1 308.353 1.872 20 0 DDADMM O=C([O-])Nc1ccc(CNCCS(=O)(=O)CC2CC2)cn1 ZINC000832842009 604777795 /nfs/dbraw/zinc/77/77/95/604777795.db2.gz MKIZADKADXKTGQ-UHFFFAOYSA-N -1 1 313.379 1.086 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)Nc2nc(CC(=O)[O-])cs2)CCN1C ZINC000833584736 604803370 /nfs/dbraw/zinc/80/33/70/604803370.db2.gz UDGLIWLBVDRHEX-RKDXNWHRSA-N -1 1 312.395 1.327 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)CCc2ccc(C(=O)[O-])cc2)CCN1C ZINC000833585003 604838706 /nfs/dbraw/zinc/83/87/06/604838706.db2.gz YLRDDPKHTGMKMB-OLZOCXBDSA-N -1 1 304.390 1.868 20 0 DDADMM C[C@@H](O)[C@@H]1CCCN(CC(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC000833531360 604922752 /nfs/dbraw/zinc/92/27/52/604922752.db2.gz ZRWBXHXZNRTNBK-DGCLKSJQSA-N -1 1 306.362 1.416 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)c2ccnc(C(=O)[O-])c2)n[nH]1 ZINC000833512721 605023763 /nfs/dbraw/zinc/02/37/63/605023763.db2.gz SAMJRSKSACNYFM-QMMMGPOBSA-N -1 1 303.322 1.512 20 0 DDADMM C[C@@H](OC1CCCCCC1)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833535155 605081009 /nfs/dbraw/zinc/08/10/09/605081009.db2.gz DTFAUVJOQOUJKK-RISCZKNCSA-N -1 1 323.393 1.650 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)C1C2CC3CC(C2)CC1C3 ZINC000321129156 605091732 /nfs/dbraw/zinc/09/17/32/605091732.db2.gz BVWMHWHIYVVKNA-HWRGSQRISA-N -1 1 317.389 1.594 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)CN2C[C@H](C(=O)[O-])CC2=O)C12CCC2 ZINC000833659459 605176306 /nfs/dbraw/zinc/17/63/06/605176306.db2.gz AYFRHGLSYLKFFE-FRRDWIJNSA-N -1 1 310.394 1.157 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cc2occc2[nH]1 ZINC000833624525 605206360 /nfs/dbraw/zinc/20/63/60/605206360.db2.gz ITKPGQVVXQWKTP-JTQLQIEISA-N -1 1 305.334 1.382 20 0 DDADMM Cc1nc(COC(=O)C[C@@H](C)C2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000830760853 605263159 /nfs/dbraw/zinc/26/31/59/605263159.db2.gz JIOWGMXCMVIQAI-SECBINFHSA-N -1 1 310.354 1.573 20 0 DDADMM O=C([O-])N[C@H]1CC[C@@H](C(=O)Nc2ncc(C(F)(F)F)[nH]2)C1 ZINC000832297226 605293775 /nfs/dbraw/zinc/29/37/75/605293775.db2.gz NZPZQENIKMGKMF-RITPCOANSA-N -1 1 306.244 1.803 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCN(Cc3ccncc3)CC2)O1 ZINC000833581256 605374121 /nfs/dbraw/zinc/37/41/21/605374121.db2.gz VSEUZMSNSDIXCW-OAHLLOKOSA-N -1 1 317.389 1.347 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@@H](C(=O)Nc2ccccc2-c2nnc[nH]2)C1 ZINC000834169278 605381808 /nfs/dbraw/zinc/38/18/08/605381808.db2.gz KURWAZTXKJNZMB-NXEZZACHSA-N -1 1 315.333 1.847 20 0 DDADMM CCc1cc(CNC(=O)N(C2CC2)[C@@H]2CCN(C(=O)[O-])C2)[nH]n1 ZINC000827556031 605399056 /nfs/dbraw/zinc/39/90/56/605399056.db2.gz CPBGVIXLUUOKTF-CYBMUJFWSA-N -1 1 321.381 1.398 20 0 DDADMM CCn1c(C)nnc1CN1C[C@@H]2CCC[C@@H](NC(=O)[O-])[C@H]2C1 ZINC000833866468 605435003 /nfs/dbraw/zinc/43/50/03/605435003.db2.gz HVNRASBXHFCULY-RWMBFGLXSA-N -1 1 307.398 1.475 20 0 DDADMM Cn1cccc1C(=O)CN1C[C@@H]2CCC[C@H](NC(=O)[O-])[C@@H]2C1 ZINC000833952660 605454768 /nfs/dbraw/zinc/45/47/68/605454768.db2.gz OHJPGWFEWOHUKE-XQQFMLRXSA-N -1 1 305.378 1.576 20 0 DDADMM CCN(CCC1CCN(C(=O)[O-])CC1)[C@H](C)CS(C)(=O)=O ZINC000833857628 605598802 /nfs/dbraw/zinc/59/88/02/605598802.db2.gz PHZZBIYWXIVNOF-GFCCVEGCSA-N -1 1 320.455 1.522 20 0 DDADMM NS(=O)(=O)C1CCN(Cc2cccc(NC(=O)[O-])c2)CC1 ZINC000833986128 605616649 /nfs/dbraw/zinc/61/66/49/605616649.db2.gz ITNPNOGFEQJQQS-UHFFFAOYSA-N -1 1 313.379 1.029 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NC(C1CC1)C1CC1 ZINC000833833452 605902413 /nfs/dbraw/zinc/90/24/13/605902413.db2.gz YHPHPACZKBMOJH-MNOVXSKESA-N -1 1 309.410 1.269 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CN1C(=O)C[C@@H]1c1ccccc1 ZINC000833835279 605957723 /nfs/dbraw/zinc/95/77/23/605957723.db2.gz WYFIQCCTOUTPPC-UMVBOHGHSA-N -1 1 317.389 1.896 20 0 DDADMM CCCCO[C@H](C)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000821071945 606196525 /nfs/dbraw/zinc/19/65/25/606196525.db2.gz OIJLZYYERJRZRI-SECBINFHSA-N -1 1 305.338 1.716 20 0 DDADMM O=C1N[C@@H](c2ccc(-c3nn[n-]n3)s2)Nc2cc(F)ccc21 ZINC000823541097 606394334 /nfs/dbraw/zinc/39/43/34/606394334.db2.gz MNOJFBVSRGSBPA-NSHDSACASA-N -1 1 316.321 1.921 20 0 DDADMM OC[C@H]1CCCC[C@H]1Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000823715162 606469886 /nfs/dbraw/zinc/46/98/86/606469886.db2.gz RUPONPCFXYYPON-PSASIEDQSA-N -1 1 308.773 1.878 20 0 DDADMM OC[C@H]1CCCC[C@H]1Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000823715162 606469887 /nfs/dbraw/zinc/46/98/87/606469887.db2.gz RUPONPCFXYYPON-PSASIEDQSA-N -1 1 308.773 1.878 20 0 DDADMM O=C(NCc1ccc(Cl)nc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823249637 606516173 /nfs/dbraw/zinc/51/61/73/606516173.db2.gz DZFWRMSOTSJVSI-UHFFFAOYSA-N -1 1 320.765 1.907 20 0 DDADMM O=C(NCc1ccc(Cl)nc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823249637 606516175 /nfs/dbraw/zinc/51/61/75/606516175.db2.gz DZFWRMSOTSJVSI-UHFFFAOYSA-N -1 1 320.765 1.907 20 0 DDADMM CC(C)n1nccc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820220475 606519870 /nfs/dbraw/zinc/51/98/70/606519870.db2.gz VRMIIYUUENJHJZ-UHFFFAOYSA-N -1 1 303.351 1.958 20 0 DDADMM CC(C)n1nccc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820220475 606519872 /nfs/dbraw/zinc/51/98/72/606519872.db2.gz VRMIIYUUENJHJZ-UHFFFAOYSA-N -1 1 303.351 1.958 20 0 DDADMM CCOC1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000821367648 606529630 /nfs/dbraw/zinc/52/96/30/606529630.db2.gz LTKBVLPJJPUFLM-UHFFFAOYSA-N -1 1 307.379 1.569 20 0 DDADMM CCOC1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000821367648 606529632 /nfs/dbraw/zinc/52/96/32/606529632.db2.gz LTKBVLPJJPUFLM-UHFFFAOYSA-N -1 1 307.379 1.569 20 0 DDADMM Cn1cc(-c2noc(-c3ccc(-c4nnn[n-]4)s3)n2)cn1 ZINC000822665634 606620490 /nfs/dbraw/zinc/62/04/90/606620490.db2.gz VDMICPBDFFHVTJ-UHFFFAOYSA-N -1 1 300.307 1.379 20 0 DDADMM Cn1cc(-c2noc(-c3ccc(-c4nn[n-]n4)s3)n2)cn1 ZINC000822665634 606620491 /nfs/dbraw/zinc/62/04/91/606620491.db2.gz VDMICPBDFFHVTJ-UHFFFAOYSA-N -1 1 300.307 1.379 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cc(C2CC2)[nH]n1 ZINC000823435885 606652463 /nfs/dbraw/zinc/65/24/63/606652463.db2.gz CPCVELBURICLPQ-UHFFFAOYSA-N -1 1 311.305 1.219 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cc(C2CC2)[nH]n1 ZINC000823435885 606652464 /nfs/dbraw/zinc/65/24/64/606652464.db2.gz CPCVELBURICLPQ-UHFFFAOYSA-N -1 1 311.305 1.219 20 0 DDADMM O=C(C[C@H]1CCCCO1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822940849 606698613 /nfs/dbraw/zinc/69/86/13/606698613.db2.gz HCGBQNWLRUVEOD-SNVBAGLBSA-N -1 1 303.322 1.470 20 0 DDADMM CC[C@H]1CC[C@@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)O1 ZINC000820971321 606840299 /nfs/dbraw/zinc/84/02/99/606840299.db2.gz BTGMCSYEDRBVIZ-JQWIXIFHSA-N -1 1 303.322 1.263 20 0 DDADMM CC[C@H]1CC[C@@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)O1 ZINC000820971321 606840300 /nfs/dbraw/zinc/84/03/00/606840300.db2.gz BTGMCSYEDRBVIZ-JQWIXIFHSA-N -1 1 303.322 1.263 20 0 DDADMM O=C(Cc1cccc(-c2nn[nH]n2)c1)Nc1ccc(F)cc1[O-] ZINC000823103445 607160197 /nfs/dbraw/zinc/16/01/97/607160197.db2.gz GODQZYMXLWPQBB-UHFFFAOYSA-N -1 1 313.292 1.893 20 0 DDADMM CN(C(=O)Cn1cnc(-c2nn[n-]n2)n1)C1CCCCCCC1 ZINC000821572236 607211986 /nfs/dbraw/zinc/21/19/86/607211986.db2.gz WXRPVFNHQLTMJR-UHFFFAOYSA-N -1 1 318.385 1.030 20 0 DDADMM Cn1c(COc2cccc(F)c2-c2nnn[n-]2)nnc1C1CC1 ZINC000826355868 607865269 /nfs/dbraw/zinc/86/52/69/607865269.db2.gz QFQMOVJTURVISN-UHFFFAOYSA-N -1 1 315.312 1.591 20 0 DDADMM Cn1c(COc2cccc(F)c2-c2nn[n-]n2)nnc1C1CC1 ZINC000826355868 607865270 /nfs/dbraw/zinc/86/52/70/607865270.db2.gz QFQMOVJTURVISN-UHFFFAOYSA-N -1 1 315.312 1.591 20 0 DDADMM c1cc(NCc2nc(-c3ccncc3)no2)nc(-c2nnn[n-]2)c1 ZINC000826517151 607895680 /nfs/dbraw/zinc/89/56/80/607895680.db2.gz SVCWTGWAEXJPOE-UHFFFAOYSA-N -1 1 321.304 1.319 20 0 DDADMM c1cc(NCc2nc(-c3ccncc3)no2)nc(-c2nn[n-]n2)c1 ZINC000826517151 607895681 /nfs/dbraw/zinc/89/56/81/607895681.db2.gz SVCWTGWAEXJPOE-UHFFFAOYSA-N -1 1 321.304 1.319 20 0 DDADMM CC(C)(C)OC(=O)N1CC(Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC000824104391 607899426 /nfs/dbraw/zinc/89/94/26/607899426.db2.gz RGJYRZDJRUUWHJ-UHFFFAOYSA-N -1 1 317.353 1.293 20 0 DDADMM CC(C)(C)OC(=O)N1CC(Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC000824104391 607899427 /nfs/dbraw/zinc/89/94/27/607899427.db2.gz RGJYRZDJRUUWHJ-UHFFFAOYSA-N -1 1 317.353 1.293 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)Cn2ccnc2-c2nnn[n-]2)c1C ZINC000824010452 608013200 /nfs/dbraw/zinc/01/32/00/608013200.db2.gz NRGLUZOPHHOYCW-UHFFFAOYSA-N -1 1 313.321 1.094 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)Cn2ccnc2-c2nn[n-]n2)c1C ZINC000824010452 608013201 /nfs/dbraw/zinc/01/32/01/608013201.db2.gz NRGLUZOPHHOYCW-UHFFFAOYSA-N -1 1 313.321 1.094 20 0 DDADMM CCSc1cccc(C(=O)n2ncc(-c3nn[n-]n3)c2N)c1 ZINC000825675113 608119831 /nfs/dbraw/zinc/11/98/31/608119831.db2.gz WINKCNNOXNJUBI-UHFFFAOYSA-N -1 1 315.362 1.446 20 0 DDADMM Cn1cc(NCc2ccc(-c3nnn[n-]3)o2)c(-c2ccccn2)n1 ZINC000826362296 608133776 /nfs/dbraw/zinc/13/37/76/608133776.db2.gz MGRMGUNDOAOOPS-UHFFFAOYSA-N -1 1 322.332 1.867 20 0 DDADMM Cn1cc(NCc2ccc(-c3nn[n-]n3)o2)c(-c2ccccn2)n1 ZINC000826362296 608133777 /nfs/dbraw/zinc/13/37/77/608133777.db2.gz MGRMGUNDOAOOPS-UHFFFAOYSA-N -1 1 322.332 1.867 20 0 DDADMM Clc1nsc(N[C@H]2CCc3n[nH]cc3C2)c1-c1nn[n-]n1 ZINC000826354332 608184183 /nfs/dbraw/zinc/18/41/83/608184183.db2.gz YFDWSNVGYXJNND-LURJTMIESA-N -1 1 322.785 1.669 20 0 DDADMM CC(C)(C)CN(CCO)c1snc(Cl)c1-c1nnn[n-]1 ZINC000824085544 608191951 /nfs/dbraw/zinc/19/19/51/608191951.db2.gz FZGUKGOMCKFJND-UHFFFAOYSA-N -1 1 316.818 1.821 20 0 DDADMM CC(C)(C)CN(CCO)c1snc(Cl)c1-c1nn[n-]n1 ZINC000824085544 608191953 /nfs/dbraw/zinc/19/19/53/608191953.db2.gz FZGUKGOMCKFJND-UHFFFAOYSA-N -1 1 316.818 1.821 20 0 DDADMM FC1CC2(C1)CN(c1snc(Cl)c1-c1nnn[n-]1)C2 ZINC000826370423 608193244 /nfs/dbraw/zinc/19/32/44/608193244.db2.gz RWAFBWWUSULPQB-UHFFFAOYSA-N -1 1 300.750 1.915 20 0 DDADMM FC1CC2(C1)CN(c1snc(Cl)c1-c1nn[n-]n1)C2 ZINC000826370423 608193245 /nfs/dbraw/zinc/19/32/45/608193245.db2.gz RWAFBWWUSULPQB-UHFFFAOYSA-N -1 1 300.750 1.915 20 0 DDADMM c1cc(N[C@H]2CCO[C@@]3(CCOC3)C2)nc(-c2nnn[n-]2)c1 ZINC000826517178 608198725 /nfs/dbraw/zinc/19/87/25/608198725.db2.gz UKXGFQBRPJXLBU-HZMBPMFUSA-N -1 1 302.338 1.012 20 0 DDADMM c1cc(N[C@H]2CCO[C@@]3(CCOC3)C2)nc(-c2nn[n-]n2)c1 ZINC000826517178 608198727 /nfs/dbraw/zinc/19/87/27/608198727.db2.gz UKXGFQBRPJXLBU-HZMBPMFUSA-N -1 1 302.338 1.012 20 0 DDADMM CSc1[nH]c(=O)c(C(=O)NC2(C)CCC2)cc1-c1nn[n-]n1 ZINC000826229522 608204816 /nfs/dbraw/zinc/20/48/16/608204816.db2.gz HXXRMJQBHAECAZ-UHFFFAOYSA-N -1 1 320.378 1.362 20 0 DDADMM Cc1ccc2c(c1C)OC[C@H]2Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826294493 608412164 /nfs/dbraw/zinc/41/21/64/608412164.db2.gz SWEDKFUHBCOWBR-GFCCVEGCSA-N -1 1 309.333 1.819 20 0 DDADMM Cc1ccc2c(c1C)OC[C@H]2Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826294493 608412166 /nfs/dbraw/zinc/41/21/66/608412166.db2.gz SWEDKFUHBCOWBR-GFCCVEGCSA-N -1 1 309.333 1.819 20 0 DDADMM CC(=O)Nc1ccc(Oc2ccc(-c3nnn[n-]3)nn2)c(F)c1 ZINC000823999461 608425358 /nfs/dbraw/zinc/42/53/58/608425358.db2.gz BHQVGZODTGHQMY-UHFFFAOYSA-N -1 1 315.268 1.547 20 0 DDADMM CC(=O)Nc1ccc(Oc2ccc(-c3nn[n-]n3)nn2)c(F)c1 ZINC000823999461 608425360 /nfs/dbraw/zinc/42/53/60/608425360.db2.gz BHQVGZODTGHQMY-UHFFFAOYSA-N -1 1 315.268 1.547 20 0 DDADMM CN1Cc2cc(Oc3ccc(-c4nnn[n-]4)nn3)ccc2C1=O ZINC000826045549 608553682 /nfs/dbraw/zinc/55/36/82/608553682.db2.gz YSRWQJDLDWBCPF-UHFFFAOYSA-N -1 1 309.289 1.035 20 0 DDADMM CN1Cc2cc(Oc3ccc(-c4nn[n-]n4)nn3)ccc2C1=O ZINC000826045549 608553684 /nfs/dbraw/zinc/55/36/84/608553684.db2.gz YSRWQJDLDWBCPF-UHFFFAOYSA-N -1 1 309.289 1.035 20 0 DDADMM COC(=O)CN(Cc1ccc(-c2nnn[n-]2)o1)C1CCCC1 ZINC000826138483 608731439 /nfs/dbraw/zinc/73/14/39/608731439.db2.gz WQBNZMDVSXROBS-UHFFFAOYSA-N -1 1 305.338 1.377 20 0 DDADMM COC(=O)CN(Cc1ccc(-c2nn[n-]n2)o1)C1CCCC1 ZINC000826138483 608731440 /nfs/dbraw/zinc/73/14/40/608731440.db2.gz WQBNZMDVSXROBS-UHFFFAOYSA-N -1 1 305.338 1.377 20 0 DDADMM CN(Cc1cc(F)c(F)c(F)c1)c1nccnc1-c1nnn[n-]1 ZINC000825953362 609171621 /nfs/dbraw/zinc/17/16/21/609171621.db2.gz HCTXEKOBCDSVJG-UHFFFAOYSA-N -1 1 321.266 1.710 20 0 DDADMM CN(Cc1cc(F)c(F)c(F)c1)c1nccnc1-c1nn[n-]n1 ZINC000825953362 609171623 /nfs/dbraw/zinc/17/16/23/609171623.db2.gz HCTXEKOBCDSVJG-UHFFFAOYSA-N -1 1 321.266 1.710 20 0 DDADMM Cc1onc(CC(=O)N2CCc3cc(C)ccc32)c1-c1nnn[n-]1 ZINC000826294562 609470009 /nfs/dbraw/zinc/47/00/09/609470009.db2.gz VDFLGUHGZYHRRE-UHFFFAOYSA-N -1 1 324.344 1.603 20 0 DDADMM Cc1onc(CC(=O)N2CCc3cc(C)ccc32)c1-c1nn[n-]n1 ZINC000826294562 609470011 /nfs/dbraw/zinc/47/00/11/609470011.db2.gz VDFLGUHGZYHRRE-UHFFFAOYSA-N -1 1 324.344 1.603 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)[C@@H](C)SC)co1 ZINC000797210069 699999007 /nfs/dbraw/zinc/99/90/07/699999007.db2.gz MYPRVYQPFHMOMM-JGVFFNPUSA-N -1 1 307.393 1.485 20 0 DDADMM CCc1occc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000797486377 700011625 /nfs/dbraw/zinc/01/16/25/700011625.db2.gz SMUCTMZDDUZRKJ-UHFFFAOYSA-N -1 1 301.298 1.955 20 0 DDADMM C[C@H](C(=O)[O-])N(C(=O)N[C@@H]1CCCc2cn[nH]c21)C1CCC1 ZINC000797723699 700021112 /nfs/dbraw/zinc/02/11/12/700021112.db2.gz FXVUITHOGHRAGX-BXKDBHETSA-N -1 1 306.366 1.824 20 0 DDADMM CC(C)C[C@@H](C(=O)[O-])N(C)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000797723463 700020733 /nfs/dbraw/zinc/02/07/33/700020733.db2.gz ATQDFMLMUBVJKU-NEPJUHHUSA-N -1 1 308.382 1.928 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(OC)C2CCCC2)co1 ZINC000757654036 700667835 /nfs/dbraw/zinc/66/78/35/700667835.db2.gz KYQGINHSELINSK-UHFFFAOYSA-N -1 1 302.352 1.134 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NC(=O)c1cnn[nH]1)c1ccsc1 ZINC000976222054 695942542 /nfs/dbraw/zinc/94/25/42/695942542.db2.gz XDMWREUECYGZDR-GHMZBOCLSA-N -1 1 319.390 1.337 20 0 DDADMM CC(=CC(=O)N1CCC(O)(c2nn[n-]n2)CC1)c1ccccc1 ZINC000798270350 700053851 /nfs/dbraw/zinc/05/38/51/700053851.db2.gz NMDQKMTUYIOFEV-VAWYXSNFSA-N -1 1 313.361 1.113 20 0 DDADMM C[C@](O)(CNC(=O)C(=O)c1ccc([O-])cc1)c1cccs1 ZINC000798296968 700055319 /nfs/dbraw/zinc/05/53/19/700055319.db2.gz PNHWAVKFUYVMNA-HNNXBMFYSA-N -1 1 305.355 1.660 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCOC[C@H]1CCOC1 ZINC000747385870 700067162 /nfs/dbraw/zinc/06/71/62/700067162.db2.gz GEJKBPQFIVGABW-LBPRGKRZSA-N -1 1 323.393 1.397 20 0 DDADMM Cc1csc(C[N-]S(=O)(=O)c2cccnc2Cl)n1 ZINC000038110654 696136711 /nfs/dbraw/zinc/13/67/11/696136711.db2.gz NUGGHFVHLUGEQG-UHFFFAOYSA-N -1 1 303.796 1.978 20 0 DDADMM COc1cc[n-]c(=NNC(=O)Nc2ccc([N+](=O)[O-])cc2)n1 ZINC000046063136 696180280 /nfs/dbraw/zinc/18/02/80/696180280.db2.gz GZXFOWYNZBECJB-UHFFFAOYSA-N -1 1 304.266 1.542 20 0 DDADMM CCCNC(=O)N[N-]C(=O)c1cc(Br)ccc1F ZINC000049279943 696222370 /nfs/dbraw/zinc/22/23/70/696222370.db2.gz FVOKXQMSSSGBQP-UHFFFAOYSA-N -1 1 318.146 1.942 20 0 DDADMM O=C([N-]CC1CCN(C(=O)NCC(F)F)CC1)C(F)(F)F ZINC000798542216 700072544 /nfs/dbraw/zinc/07/25/44/700072544.db2.gz LBYZZSGREGLSBJ-UHFFFAOYSA-N -1 1 317.258 1.352 20 0 DDADMM Cn1c(CNC(=O)c2cc(-c3ccco3)n[nH]2)n[n-]c1=S ZINC000066626112 696354073 /nfs/dbraw/zinc/35/40/73/696354073.db2.gz YBIVBHUQIKTTKS-UHFFFAOYSA-N -1 1 304.335 1.391 20 0 DDADMM Cc1cnn(CCC(=O)NCCc2n[n-]c(=S)n2C2CC2)c1 ZINC000067079443 696358842 /nfs/dbraw/zinc/35/88/42/696358842.db2.gz FPDOXNDVWOURRM-UHFFFAOYSA-N -1 1 320.422 1.530 20 0 DDADMM CC(C)n1c(CCNC(=O)C2(C)CCOCC2)n[n-]c1=S ZINC000067074928 696358896 /nfs/dbraw/zinc/35/88/96/696358896.db2.gz JLCKNFJMKTVVGK-UHFFFAOYSA-N -1 1 312.439 1.997 20 0 DDADMM COc1ccc2oc(C(=O)[N-]NC(=O)CN(C)C)c(C)c2c1 ZINC000075653347 696422841 /nfs/dbraw/zinc/42/28/41/696422841.db2.gz LQUOWSMNZJZDDF-UHFFFAOYSA-N -1 1 305.334 1.072 20 0 DDADMM C[C@@H](C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000978741953 696450610 /nfs/dbraw/zinc/45/06/10/696450610.db2.gz MMOBJKVDYXHWRH-WZRBSPASSA-N -1 1 317.389 1.458 20 0 DDADMM CC(C)N(Cc1ccccc1)C(=O)Cc1sc(N)nc1[O-] ZINC000079449105 696460847 /nfs/dbraw/zinc/46/08/47/696460847.db2.gz VDLOOCQTGVSAMZ-GFCCVEGCSA-N -1 1 305.403 1.770 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@@H](C)c2cccc(C#N)c2)n1 ZINC000080587691 696535529 /nfs/dbraw/zinc/53/55/29/696535529.db2.gz HGZPCPRGMMULQI-JTQLQIEISA-N -1 1 312.333 1.744 20 0 DDADMM CCCn1cc(NC(=O)NCc2n[n-]c(=S)n2CC)cn1 ZINC000081636570 696546615 /nfs/dbraw/zinc/54/66/15/696546615.db2.gz VACMHDTZNAWMOR-UHFFFAOYSA-N -1 1 309.399 1.889 20 0 DDADMM CC1(CNC(=O)Cc2sc(N)nc2[O-])Cc2ccccc2C1 ZINC000091801858 696591427 /nfs/dbraw/zinc/59/14/27/696591427.db2.gz JTSZHXZEGLUHKF-LBPRGKRZSA-N -1 1 317.414 1.255 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1C[C@@H]1c1cccc2ccccc21 ZINC000107759526 696631805 /nfs/dbraw/zinc/63/18/05/696631805.db2.gz WUYPQNQBPABTPB-KGLIPLIRSA-N -1 1 309.325 1.526 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC[C@@H]2CCCC2=O)o1 ZINC000748173102 700103713 /nfs/dbraw/zinc/10/37/13/700103713.db2.gz MICKFDKSQDGQPD-VIFPVBQESA-N -1 1 315.347 1.104 20 0 DDADMM Cc1ccc(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)cn1 ZINC000111356435 696643729 /nfs/dbraw/zinc/64/37/29/696643729.db2.gz ZOIQTZLCIWJYCZ-UHFFFAOYSA-N -1 1 314.798 1.298 20 0 DDADMM CCS(=O)(=O)c1ccc(F)c(C(=O)[N-]c2nncs2)c1 ZINC000112002520 696647764 /nfs/dbraw/zinc/64/77/64/696647764.db2.gz ZXPONWGMWFNGIQ-UHFFFAOYSA-N -1 1 315.351 1.723 20 0 DDADMM CCC(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000979832012 696650827 /nfs/dbraw/zinc/65/08/27/696650827.db2.gz RUVOFGUKJJJRSZ-QJPTWQEYSA-N -1 1 303.362 1.164 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)c2cccnc2)o1 ZINC000113475659 696657524 /nfs/dbraw/zinc/65/75/24/696657524.db2.gz LZNXBRAFDRGSQO-SECBINFHSA-N -1 1 310.331 1.501 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N1CCCCC1 ZINC000126411549 696761852 /nfs/dbraw/zinc/76/18/52/696761852.db2.gz AJHUXVNUGCGULD-QWHCGFSZSA-N -1 1 304.456 1.741 20 0 DDADMM CS/C=C/C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799021738 700110177 /nfs/dbraw/zinc/11/01/77/700110177.db2.gz NDUPSDUKNQBOHW-QPJJXVBHSA-N -1 1 310.341 1.780 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(-n2cccc2)nc1)c1nn[n-]n1 ZINC000136649565 696855030 /nfs/dbraw/zinc/85/50/30/696855030.db2.gz NFZDQELSIGAZGP-GFCCVEGCSA-N -1 1 311.349 1.657 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)C(=O)N1CCCC1 ZINC000799036869 700111530 /nfs/dbraw/zinc/11/15/30/700111530.db2.gz RXULIDAEQMJHSA-NSHDSACASA-N -1 1 320.393 1.355 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCC2(Cc3ccccc3)CC2)s1 ZINC000154717085 696936765 /nfs/dbraw/zinc/93/67/65/696936765.db2.gz FUSCTAYBGWVJES-GFCCVEGCSA-N -1 1 317.414 1.472 20 0 DDADMM Cc1ccc(C(=O)Nc2nc(Br)ccc2[O-])c(=O)[nH]1 ZINC000156392421 696962403 /nfs/dbraw/zinc/96/24/03/696962403.db2.gz WXEMVQZZDIIJFP-UHFFFAOYSA-N -1 1 324.134 1.799 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2c(C)cc(C)cc2C)C1 ZINC000748768402 700133378 /nfs/dbraw/zinc/13/33/78/700133378.db2.gz RRCWJONTVKNGNR-CQSZACIVSA-N -1 1 320.389 1.659 20 0 DDADMM CCC(CC)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982468515 697134954 /nfs/dbraw/zinc/13/49/54/697134954.db2.gz QSLUPGHVTGIQOC-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983119246 697213640 /nfs/dbraw/zinc/21/36/40/697213640.db2.gz PPLDNYVGXMIQCS-GHMZBOCLSA-N -1 1 309.341 1.114 20 0 DDADMM CC1(C(=O)N[C@@H]2CCC[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000983586478 697282090 /nfs/dbraw/zinc/28/20/90/697282090.db2.gz VYQNDCBAKPWLFY-NWDGAFQWSA-N -1 1 317.389 1.745 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCc2ccsc2)co1 ZINC000163545391 697333519 /nfs/dbraw/zinc/33/35/19/697333519.db2.gz LWEMGTFEJQRKFG-UHFFFAOYSA-N -1 1 315.372 1.649 20 0 DDADMM COC(=O)N1CC(NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000180760175 697451857 /nfs/dbraw/zinc/45/18/57/697451857.db2.gz XPHUBFXEIWMNBR-UHFFFAOYSA-N -1 1 318.251 1.591 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(C(F)(F)F)cc1Cl ZINC000182578430 697476838 /nfs/dbraw/zinc/47/68/38/697476838.db2.gz YLBLGPMUMHEICQ-UHFFFAOYSA-N -1 1 321.642 1.555 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)Nc2cnc(Cl)c(C)c2)n[nH]1 ZINC000186495534 697534216 /nfs/dbraw/zinc/53/42/16/697534216.db2.gz RCVLYTKUTJEPMS-UHFFFAOYSA-N -1 1 308.729 1.541 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC000985689605 697556807 /nfs/dbraw/zinc/55/68/07/697556807.db2.gz SBUWDHFZOFLYHI-RYUDHWBXSA-N -1 1 321.425 1.369 20 0 DDADMM O=C(NNc1ccncc1Cl)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000193689114 697677032 /nfs/dbraw/zinc/67/70/32/697677032.db2.gz ZWFKAQXUZGSZEH-UHFFFAOYSA-N -1 1 309.669 1.501 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc2nc(Cl)ccc2c1 ZINC000193805568 697680776 /nfs/dbraw/zinc/68/07/76/697680776.db2.gz BTMBXXVEEQVPSX-UHFFFAOYSA-N -1 1 304.693 1.085 20 0 DDADMM O=C(c1ccnc(Cl)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773158434 697720588 /nfs/dbraw/zinc/72/05/88/697720588.db2.gz ONQQLNTZCOMASI-QMMMGPOBSA-N -1 1 310.770 1.178 20 0 DDADMM COc1cccc(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000773159786 697721674 /nfs/dbraw/zinc/72/16/74/697721674.db2.gz SIDLPKMWHFFJDW-LBPRGKRZSA-N -1 1 319.390 1.068 20 0 DDADMM CCc1cc(=O)[n-]c(SCN2C(=O)c3ccccc3C2=O)n1 ZINC000195063088 697729534 /nfs/dbraw/zinc/72/95/34/697729534.db2.gz ZZEQYIUTZOKPNN-UHFFFAOYSA-N -1 1 315.354 1.678 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000773498602 697768462 /nfs/dbraw/zinc/76/84/62/697768462.db2.gz QGHNGSNEYPREMR-UTCJRWHESA-N -1 1 315.329 1.900 20 0 DDADMM C[C@H](OC(=O)c1ccc([O-])cc1F)C(=O)NC[C@@H]1CCCO1 ZINC000773566925 697782533 /nfs/dbraw/zinc/78/25/33/697782533.db2.gz QILCSXURRXUZOR-ONGXEEELSA-N -1 1 311.309 1.372 20 0 DDADMM COC(=O)[C@@](C)(NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000773993306 697831269 /nfs/dbraw/zinc/83/12/69/697831269.db2.gz GYFULFCQBAQMMS-AWEZNQCLSA-N -1 1 320.374 1.090 20 0 DDADMM C[C@@H](O)C[C@@H]1CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774069285 697839887 /nfs/dbraw/zinc/83/98/87/697839887.db2.gz OVGBRNTVGHKFCY-KOLCDFICSA-N -1 1 306.391 1.394 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)C=Cc2ccccc2F)n[nH]1 ZINC000800040580 700182556 /nfs/dbraw/zinc/18/25/56/700182556.db2.gz CWDXIFLKXOXWGY-WAYWQWQTSA-N -1 1 310.310 1.060 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2C[C@@H]2C(C)C)o1 ZINC000774858658 697935566 /nfs/dbraw/zinc/93/55/66/697935566.db2.gz FAFLLHPCFSMFBO-NXEZZACHSA-N -1 1 301.364 1.637 20 0 DDADMM O=C(OCCC[N-]C(=O)C(F)(F)F)C1SCCS1 ZINC000774992210 697951651 /nfs/dbraw/zinc/95/16/51/697951651.db2.gz PMSFYHJEICMGLC-UHFFFAOYSA-N -1 1 303.327 1.404 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cc1 ZINC000987402233 698109225 /nfs/dbraw/zinc/10/92/25/698109225.db2.gz DYUFLHSSTNNUKR-YPMHNXCESA-N -1 1 315.377 1.212 20 0 DDADMM C[C@@H]1CC[C@H](CCC(=O)N2CCSC[C@@H]2c2nn[n-]n2)O1 ZINC000776562115 698117300 /nfs/dbraw/zinc/11/73/00/698117300.db2.gz BGTVVKITALOPOQ-GMTAPVOTSA-N -1 1 311.411 1.164 20 0 DDADMM Cc1ccc(O)c(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000776563805 698117385 /nfs/dbraw/zinc/11/73/85/698117385.db2.gz KTZFHQODIVPXQD-SNVBAGLBSA-N -1 1 305.363 1.144 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1CC[C@H](C)C1 ZINC000777357103 698182271 /nfs/dbraw/zinc/18/22/71/698182271.db2.gz QQZTUMQVZDLYLZ-GXSJLCMTSA-N -1 1 304.350 1.248 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C=C1CCC1 ZINC000987671541 698192708 /nfs/dbraw/zinc/19/27/08/698192708.db2.gz KPTNTYDCOBQLDH-YPMHNXCESA-N -1 1 315.373 1.617 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000988190645 698306808 /nfs/dbraw/zinc/30/68/08/698306808.db2.gz YSBIFOBYAQMCBR-FRRDWIJNSA-N -1 1 317.389 1.364 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000988234085 698314228 /nfs/dbraw/zinc/31/42/28/698314228.db2.gz YSBIFOBYAQMCBR-AVGNSLFASA-N -1 1 317.389 1.364 20 0 DDADMM O=C(OCCOCCO)c1ccc(Br)c([O-])c1 ZINC000778829053 698373335 /nfs/dbraw/zinc/37/33/35/698373335.db2.gz SKNIFFOVKYZZIN-UHFFFAOYSA-N -1 1 305.124 1.320 20 0 DDADMM Cc1cc(C)c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)o1 ZINC000988514256 698395113 /nfs/dbraw/zinc/39/51/13/698395113.db2.gz COPISCXRNVNGHI-ONGXEEELSA-N -1 1 319.365 1.113 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1CCC[C@@H]1CCC1CCCC1 ZINC000262249756 698461227 /nfs/dbraw/zinc/46/12/27/698461227.db2.gz CEJMPZZASNRPIZ-CQSZACIVSA-N -1 1 317.451 1.921 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CCC[C@H]1C(=O)[O-])c1cccs1 ZINC000780341203 698508151 /nfs/dbraw/zinc/50/81/51/698508151.db2.gz JZIHNRUXMXFXHU-QWRGUYRKSA-N -1 1 311.407 1.609 20 0 DDADMM CC(C)[C@H](NC(=O)NC[C@@H](c1cccs1)N(C)C)C(=O)[O-] ZINC000780342134 698508683 /nfs/dbraw/zinc/50/86/83/698508683.db2.gz VXPUEFSQZVQNRO-JQWIXIFHSA-N -1 1 313.423 1.759 20 0 DDADMM CC[C@H](NC(=O)NC[C@@H](c1ccco1)N(CC)CC)C(=O)[O-] ZINC000780486120 698523686 /nfs/dbraw/zinc/52/36/86/698523686.db2.gz PLOAWXQIUGDZHU-RYUDHWBXSA-N -1 1 311.382 1.825 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000313935322 698685739 /nfs/dbraw/zinc/68/57/39/698685739.db2.gz YXNOXYGWAAPQHK-GFCCVEGCSA-N -1 1 318.326 1.173 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCc2c[nH]nc2C1 ZINC000750785834 700246403 /nfs/dbraw/zinc/24/64/03/700246403.db2.gz BYBPMKNHZIZMPW-UHFFFAOYSA-N -1 1 301.350 1.536 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](O)C(C)(C)C1 ZINC000318827085 698707254 /nfs/dbraw/zinc/70/72/54/698707254.db2.gz APAWRQQZKGRQBH-LLVKDONJSA-N -1 1 307.394 1.847 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)[C@@H]1CC[C@@H](C)CC1 ZINC000989698257 698732951 /nfs/dbraw/zinc/73/29/51/698732951.db2.gz WLHMIWNJHLVKLG-ZDEQEGDKSA-N -1 1 321.425 1.416 20 0 DDADMM COc1ccc(C2(C(=O)NN3CC(=O)[N-]C3=O)CCCC2)cc1 ZINC000783569510 698853029 /nfs/dbraw/zinc/85/30/29/698853029.db2.gz SLUMNFPEMCSFHX-UHFFFAOYSA-N -1 1 317.345 1.090 20 0 DDADMM O=C(CCCc1ccsc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000783591662 698854901 /nfs/dbraw/zinc/85/49/01/698854901.db2.gz NMTJJHOOVCQACG-GFCCVEGCSA-N -1 1 305.407 1.990 20 0 DDADMM C[C@@H](CO[N-]C(=O)Cc1cncs1)NC(=O)OC(C)(C)C ZINC000783917774 698891640 /nfs/dbraw/zinc/89/16/40/698891640.db2.gz QWZCKEJURPFRES-VIFPVBQESA-N -1 1 315.395 1.647 20 0 DDADMM CCN1CCN(C(=O)[C@@H](C)OC(=O)c2ccc([O-])cc2F)CC1 ZINC000784518028 698944391 /nfs/dbraw/zinc/94/43/91/698944391.db2.gz QLQWQHGGMICERE-LLVKDONJSA-N -1 1 324.352 1.241 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](CO)C1CCCC1 ZINC000386344068 699076872 /nfs/dbraw/zinc/07/68/72/699076872.db2.gz LYZCPDZAMOXIHJ-GFCCVEGCSA-N -1 1 307.394 1.895 20 0 DDADMM CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])CC(C)(C)C1 ZINC000990616331 699109393 /nfs/dbraw/zinc/10/93/93/699109393.db2.gz VKWUFFLXXWZAKC-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn3c(n2)CCCC3)n1 ZINC000415654222 699129704 /nfs/dbraw/zinc/12/97/04/699129704.db2.gz XXWHELNSMKWMPY-UHFFFAOYSA-N -1 1 303.322 1.372 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H]2CCC(=O)N2C)c1 ZINC000786178217 699132225 /nfs/dbraw/zinc/13/22/25/699132225.db2.gz KZCMBHJDPYAGKM-CYBMUJFWSA-N -1 1 305.330 1.301 20 0 DDADMM Cc1nccn1CCOC(=O)[C@@H]([N-]C(=O)C(F)(F)F)C(C)C ZINC000786766295 699166260 /nfs/dbraw/zinc/16/62/60/699166260.db2.gz ORSGAKCEQSCBQQ-JTQLQIEISA-N -1 1 321.299 1.438 20 0 DDADMM CCc1occc1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990970052 699195643 /nfs/dbraw/zinc/19/56/43/699195643.db2.gz SQSYKLHDEOYGIB-UHFFFAOYSA-N -1 1 315.329 1.197 20 0 DDADMM C[C@@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000990972930 699196301 /nfs/dbraw/zinc/19/63/01/699196301.db2.gz VUNXTJPXFZWYMU-SNVBAGLBSA-N -1 1 315.329 1.125 20 0 DDADMM O=C([O-])[C@]1(O)CCN(Cc2ccccc2Br)C1 ZINC000706470665 699243521 /nfs/dbraw/zinc/24/35/21/699243521.db2.gz HKKKVAWPHTXCOQ-LBPRGKRZSA-N -1 1 300.152 1.471 20 0 DDADMM CC1(C)CC(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000991136790 699245280 /nfs/dbraw/zinc/24/52/80/699245280.db2.gz YMKDFKOHQWUULA-UHFFFAOYSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H](NC(=O)c1cncc([O-])c1)[C@H](O)c1ccc2c(c1)OCO2 ZINC000787936896 699256424 /nfs/dbraw/zinc/25/64/24/699256424.db2.gz VMJIBWSBSMIFBB-VFZGTOFNSA-N -1 1 316.313 1.368 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2cccnc2F)sc1C ZINC000719648009 699302841 /nfs/dbraw/zinc/30/28/41/699302841.db2.gz JRDPEQISUNFBAU-UHFFFAOYSA-N -1 1 301.368 1.773 20 0 DDADMM O=C(NC[C@H]1C[C@H](O)C1)c1ccc(Br)c([O-])c1 ZINC000720983385 699310019 /nfs/dbraw/zinc/31/00/19/699310019.db2.gz LDUVNSJCZBHDME-XWEPSHTISA-N -1 1 300.152 1.655 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)C2=Cc3ccccc3OC2)cn1 ZINC000788749581 699337752 /nfs/dbraw/zinc/33/77/52/699337752.db2.gz XVXQUXROHZGADS-UHFFFAOYSA-N -1 1 303.343 1.960 20 0 DDADMM O=C(NCCS(=O)(=O)Cc1ccccc1)c1ncccc1[O-] ZINC000725891018 699338570 /nfs/dbraw/zinc/33/85/70/699338570.db2.gz NPMFACVFDOUTPJ-UHFFFAOYSA-N -1 1 320.370 1.132 20 0 DDADMM O=C([N-]S(=O)(=O)CCCF)c1[nH]nc2c1CCCCC2 ZINC000789394084 699383750 /nfs/dbraw/zinc/38/37/50/699383750.db2.gz SZZBZMNZPQYMDT-UHFFFAOYSA-N -1 1 303.359 1.098 20 0 DDADMM Cc1cc([C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)c(C)s1 ZINC000726865656 699386037 /nfs/dbraw/zinc/38/60/37/699386037.db2.gz KGMWCDVCVNLXNR-JTQLQIEISA-N -1 1 321.402 1.482 20 0 DDADMM CCOC1CC(CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000789901403 699418682 /nfs/dbraw/zinc/41/86/82/699418682.db2.gz LATIUNGZEBGNNX-ABIUDSBCSA-N -1 1 315.377 1.611 20 0 DDADMM CCCOc1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000727850430 699428338 /nfs/dbraw/zinc/42/83/38/699428338.db2.gz YEVMEWGLXZHAIS-UHFFFAOYSA-N -1 1 317.345 1.354 20 0 DDADMM CC(C)CC[C@H]1CCC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727860447 699428770 /nfs/dbraw/zinc/42/87/70/699428770.db2.gz DXONKUSTKCXRBY-ZIAGYGMSSA-N -1 1 321.421 1.648 20 0 DDADMM CC(C)(C)[C@H](O)C(=O)Nc1nc(Br)ccc1[O-] ZINC000790043836 699437022 /nfs/dbraw/zinc/43/70/22/699437022.db2.gz SSTMOCPLFDNTGO-MRVPVSSYSA-N -1 1 303.156 1.895 20 0 DDADMM CCCc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)sc1C ZINC000732089673 699546161 /nfs/dbraw/zinc/54/61/61/699546161.db2.gz AODYWGFIRLFJRS-NSHDSACASA-N -1 1 321.406 1.736 20 0 DDADMM CCN(C)C(=O)c1cccc(OS(=O)(=O)c2c[n-]nc2C)c1 ZINC000733796642 699617423 /nfs/dbraw/zinc/61/74/23/699617423.db2.gz CLLMVUFFXDWFTA-UHFFFAOYSA-N -1 1 323.374 1.578 20 0 DDADMM Cc1cccc([C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)c1C ZINC000734469759 699652464 /nfs/dbraw/zinc/65/24/64/699652464.db2.gz QUIVCWHTEXYOPP-CYBMUJFWSA-N -1 1 315.373 1.421 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000735647754 699698702 /nfs/dbraw/zinc/69/87/02/699698702.db2.gz BJGNALPIVKMKIP-CYBMUJFWSA-N -1 1 315.377 1.711 20 0 DDADMM CC(C)=C[C@@H]1[C@H](C(=O)N2CCOC[C@@H]2c2nn[n-]n2)C1(C)C ZINC000735679835 699700381 /nfs/dbraw/zinc/70/03/81/699700381.db2.gz PCGOCFQMJVMBMH-IJLUTSLNSA-N -1 1 305.382 1.338 20 0 DDADMM CC(C)=C[C@@H]1[C@@H](C(=O)N2CCOC[C@@H]2c2nn[n-]n2)C1(C)C ZINC000735679836 699700402 /nfs/dbraw/zinc/70/04/02/699700402.db2.gz PCGOCFQMJVMBMH-UTUOFQBUSA-N -1 1 305.382 1.338 20 0 DDADMM O=C([C@H]1Cc2cc(F)ccc2O1)N1CCC(c2nn[n-]n2)CC1 ZINC000737791958 699744918 /nfs/dbraw/zinc/74/49/18/699744918.db2.gz LPUZLDBNADANAJ-CYBMUJFWSA-N -1 1 317.324 1.049 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2occc2C(=O)OC)[n-]1 ZINC000796345514 699928084 /nfs/dbraw/zinc/92/80/84/699928084.db2.gz NKNATOFNHDXTEX-UHFFFAOYSA-N -1 1 307.258 1.538 20 0 DDADMM CC[C@](C)(OC)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000796400366 699931931 /nfs/dbraw/zinc/93/19/31/699931931.db2.gz XKUHMHHYQHMQOL-INIZCTEOSA-N -1 1 323.345 1.310 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)c1ccc(F)cc1)NCC(F)F ZINC000796472551 699935625 /nfs/dbraw/zinc/93/56/25/699935625.db2.gz DPHNBTDIAQMUQV-UHFFFAOYSA-N -1 1 310.222 1.415 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCCOCC1CC1 ZINC000744795923 699961337 /nfs/dbraw/zinc/96/13/37/699961337.db2.gz ONXMGKAYFACMMP-UHFFFAOYSA-N -1 1 319.404 1.703 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCC1(C)COC1 ZINC000744879715 699964389 /nfs/dbraw/zinc/96/43/89/699964389.db2.gz DTWJYTJCFOQLAD-UHFFFAOYSA-N -1 1 313.375 1.642 20 0 DDADMM O=C(OCc1ncnn1CCF)c1c([O-])cc(F)cc1F ZINC000801245786 700283649 /nfs/dbraw/zinc/28/36/49/700283649.db2.gz GJAJDLXDNGTPPB-UHFFFAOYSA-N -1 1 301.224 1.588 20 0 DDADMM CCOC(=O)[C@H](C)OC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801325618 700297055 /nfs/dbraw/zinc/29/70/55/700297055.db2.gz GUHXLXWDFNTRAT-VIFPVBQESA-N -1 1 322.292 1.825 20 0 DDADMM O=C(COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-])C1CC1 ZINC000801326265 700297658 /nfs/dbraw/zinc/29/76/58/700297658.db2.gz YOBJAPLSXUQCHC-UHFFFAOYSA-N -1 1 304.277 1.853 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCC(=O)C(F)F)n2)cc1 ZINC000801372286 700301317 /nfs/dbraw/zinc/30/13/17/700301317.db2.gz WIVMFUSSJJLLMP-UHFFFAOYSA-N -1 1 310.256 1.877 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)O[C@@H]3CCN(C)C3=O)n2)cc1 ZINC000801369761 700301554 /nfs/dbraw/zinc/30/15/54/700301554.db2.gz WZPWIXGEEVDFED-CYBMUJFWSA-N -1 1 315.329 1.274 20 0 DDADMM CC[C@@H](OC(=O)c1nn(-c2cccc(C)c2C)cc1[O-])C(N)=O ZINC000801417293 700305878 /nfs/dbraw/zinc/30/58/78/700305878.db2.gz JGDCPXJVEGECEE-CYBMUJFWSA-N -1 1 317.345 1.615 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cccc(=O)[nH]1 ZINC000801635511 700327726 /nfs/dbraw/zinc/32/77/26/700327726.db2.gz RSXCVTUIMAHJAK-UHFFFAOYSA-N -1 1 310.107 1.903 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cc4nccnc4cc3F)ccnc1-2 ZINC000801702614 700332680 /nfs/dbraw/zinc/33/26/80/700332680.db2.gz OODHZQLLDFQAJV-UHFFFAOYSA-N -1 1 322.303 1.676 20 0 DDADMM COC(=O)C[C@H]1CN(C(=O)c2c([O-])cccc2Cl)CCO1 ZINC000752819758 700390009 /nfs/dbraw/zinc/39/00/09/700390009.db2.gz CIOXYFNTXJTVNM-VIFPVBQESA-N -1 1 313.737 1.450 20 0 DDADMM CC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCC(F)(F)CC1 ZINC000752831548 700390934 /nfs/dbraw/zinc/39/09/34/700390934.db2.gz MPEKOEUPSVLINP-UHFFFAOYSA-N -1 1 315.320 1.011 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2ccccc2C(N)=O)c1 ZINC000755161042 700553446 /nfs/dbraw/zinc/55/34/46/700553446.db2.gz RVGYSXADCNQXJT-UHFFFAOYSA-N -1 1 313.313 1.702 20 0 DDADMM CC1=C(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCCO1 ZINC000756442660 700621962 /nfs/dbraw/zinc/62/19/62/700621962.db2.gz OOKVFYGNJJZZCN-UHFFFAOYSA-N -1 1 303.314 1.571 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H]2CCC[C@H](OC)C2)co1 ZINC000756525614 700625695 /nfs/dbraw/zinc/62/56/95/700625695.db2.gz AGGURYOEZCIHJA-WDEREUQCSA-N -1 1 317.363 1.302 20 0 DDADMM O=C(CCC(=O)c1ccc2c(c1)OCCO2)[N-]OCC(F)F ZINC000759348642 700748016 /nfs/dbraw/zinc/74/80/16/700748016.db2.gz AMDZVNZBQFVYBJ-UHFFFAOYSA-N -1 1 315.272 1.734 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)Cc1noc2ccccc21 ZINC000760572005 700814667 /nfs/dbraw/zinc/81/46/67/700814667.db2.gz VIUXBUXJQSPOMD-UHFFFAOYSA-N -1 1 308.319 1.139 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000766776671 701062817 /nfs/dbraw/zinc/06/28/17/701062817.db2.gz RRFVNEXDRHBZNA-VIFPVBQESA-N -1 1 302.252 1.650 20 0 DDADMM CCC[C@H](C)[C@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000768346207 701170611 /nfs/dbraw/zinc/17/06/11/701170611.db2.gz PYKYNTCZBPOAGO-QWHCGFSZSA-N -1 1 307.394 1.354 20 0 DDADMM O=Cc1cc(Cl)cc(F)c1OS(=O)(=O)c1c[n-]cn1 ZINC000768564665 701187117 /nfs/dbraw/zinc/18/71/17/701187117.db2.gz XNSILBYHVIVYIR-UHFFFAOYSA-N -1 1 304.686 1.782 20 0 DDADMM C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)c1c([O-])cccc1Cl ZINC000804436356 701189122 /nfs/dbraw/zinc/18/91/22/701189122.db2.gz PCAGAKTZHAJEIP-NEPJUHHUSA-N -1 1 324.808 1.981 20 0 DDADMM Cn1ncc(C[N-]S(=O)(=O)c2c(Cl)cccc2Cl)n1 ZINC000805100479 701231788 /nfs/dbraw/zinc/23/17/88/701231788.db2.gz AYERZQJWQWGJPT-UHFFFAOYSA-N -1 1 321.189 1.600 20 0 DDADMM O=C(O[C@@H]1CCCN(c2ccccc2Cl)C1=O)c1cn[n-]n1 ZINC000805605819 701398565 /nfs/dbraw/zinc/39/85/65/701398565.db2.gz QKPXXPZIDXYPIN-GFCCVEGCSA-N -1 1 320.736 1.811 20 0 DDADMM CNc1nc(C)cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000805620602 701400751 /nfs/dbraw/zinc/40/07/51/701400751.db2.gz FHFSUHSVQSNWMJ-UHFFFAOYSA-N -1 1 320.271 1.052 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3cc(Cl)ccn3)ccnc1-2 ZINC000806488678 701437457 /nfs/dbraw/zinc/43/74/57/701437457.db2.gz QRMBFYHYVSGTLC-UHFFFAOYSA-N -1 1 316.752 1.712 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCOC3CCCC3)ccnc1-2 ZINC000806488319 701437590 /nfs/dbraw/zinc/43/75/90/701437590.db2.gz MFDDTLQZCIXOTO-UHFFFAOYSA-N -1 1 303.366 1.423 20 0 DDADMM Cc1noc(C)c1[C@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806493289 701438069 /nfs/dbraw/zinc/43/80/69/701438069.db2.gz WFQDYINWCFRCRK-QMMMGPOBSA-N -1 1 314.349 1.829 20 0 DDADMM O=C(NCCN1CCc2ccccc21)C(=O)c1ccc([O-])cc1 ZINC000806514027 701438952 /nfs/dbraw/zinc/43/89/52/701438952.db2.gz PLWWYUXAVJPKJP-UHFFFAOYSA-N -1 1 310.353 1.754 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCCC12OCCO2)c1c[nH]nc1Cl ZINC000830913226 706601456 /nfs/dbraw/zinc/60/14/56/706601456.db2.gz CWJOFYVBHNNLBK-SECBINFHSA-N -1 1 321.786 1.027 20 0 DDADMM O=C(NCc1cn(-c2ccccc2)nn1)C(=O)c1ccc([O-])cc1 ZINC000807037144 701459368 /nfs/dbraw/zinc/45/93/68/701459368.db2.gz USXDMSRNQWFJSU-UHFFFAOYSA-N -1 1 322.324 1.472 20 0 DDADMM Cc1cnc(CN2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)nc1 ZINC000810068620 701721307 /nfs/dbraw/zinc/72/13/07/701721307.db2.gz ABDGUYWYCFBBPK-LLVKDONJSA-N -1 1 316.327 1.676 20 0 DDADMM CCOC(=O)[C@H](CC=C(C)C)[N-]S(=O)(=O)C[C@@H](C)OC ZINC000867758551 701735062 /nfs/dbraw/zinc/73/50/62/701735062.db2.gz IKEWNLHMUVQSLH-NEPJUHHUSA-N -1 1 307.412 1.229 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830965966 706612857 /nfs/dbraw/zinc/61/28/57/706612857.db2.gz MDTXRLJTPSYHCH-NSHDSACASA-N -1 1 323.393 1.322 20 0 DDADMM CCCCCCO[C@H](C)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000839709553 701791807 /nfs/dbraw/zinc/79/18/07/701791807.db2.gz JMJKINFQSXJNHE-OLZOCXBDSA-N -1 1 309.414 1.891 20 0 DDADMM O=C(CCC1CCCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830970011 706613235 /nfs/dbraw/zinc/61/32/35/706613235.db2.gz LTQXGUBSSDOAFN-UHFFFAOYSA-N -1 1 305.378 1.842 20 0 DDADMM CC(C)CO[N-]C(=O)[C@@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000810702644 701825709 /nfs/dbraw/zinc/82/57/09/701825709.db2.gz ZPFRSDARELQSEX-CYBMUJFWSA-N -1 1 310.398 1.187 20 0 DDADMM CO[C@](C)([C@@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000839828112 701841224 /nfs/dbraw/zinc/84/12/24/701841224.db2.gz DAHKOPRNPPEMFN-RFAUZJTJSA-N -1 1 320.418 1.951 20 0 DDADMM CC(C)(C)[C@@H]1CCC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000839889141 701856199 /nfs/dbraw/zinc/85/61/99/701856199.db2.gz CPCLWOTWIFBXMJ-NEPJUHHUSA-N -1 1 307.394 1.258 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)c2cnccn2)C1)C(F)(F)F ZINC000810900836 701870956 /nfs/dbraw/zinc/87/09/56/701870956.db2.gz KNVUQWNKSCICAB-VIFPVBQESA-N -1 1 316.283 1.007 20 0 DDADMM CCn1ncc(C(=O)[N-]c2nc(Cc3ccccc3)ns2)n1 ZINC000815508772 701884374 /nfs/dbraw/zinc/88/43/74/701884374.db2.gz HXBHMEGDZQBHPK-UHFFFAOYSA-N -1 1 314.374 1.993 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCc2cncs2)o1 ZINC000811116897 701916695 /nfs/dbraw/zinc/91/66/95/701916695.db2.gz BIULFJTXUUOWQH-UHFFFAOYSA-N -1 1 316.360 1.044 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO[C@@H]1C1CC1 ZINC000831090781 706633269 /nfs/dbraw/zinc/63/32/69/706633269.db2.gz JRDUQMQGHWDNDN-KOLCDFICSA-N -1 1 305.787 1.299 20 0 DDADMM CCCCCCO[C@H](C)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000840336102 702073675 /nfs/dbraw/zinc/07/36/75/702073675.db2.gz VZSNUAKHPWKJFP-NEPJUHHUSA-N -1 1 311.386 1.085 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NCC1CCCC1 ZINC000866179452 706641833 /nfs/dbraw/zinc/64/18/33/706641833.db2.gz AKMZGEOFGUKYDD-UHFFFAOYSA-N -1 1 306.366 1.244 20 0 DDADMM O=C(CCN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)[N-]Oc1ccccc1 ZINC000816684094 702149433 /nfs/dbraw/zinc/14/94/33/702149433.db2.gz MIGAEOHTCCDRDC-OKILXGFUSA-N -1 1 316.357 1.662 20 0 DDADMM Cc1ccc(CN2C[C@@H](C(=O)[N-]OC3CCC3)CC2=O)cc1 ZINC000812792937 702240289 /nfs/dbraw/zinc/24/02/89/702240289.db2.gz JMNPAIFXCQXFEQ-AWEZNQCLSA-N -1 1 302.374 1.944 20 0 DDADMM CC[C@@H](C)CO[N-]C(=O)[C@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000816978388 702253918 /nfs/dbraw/zinc/25/39/18/702253918.db2.gz SAGWFHDPDZYXOK-OCCSQVGLSA-N -1 1 324.425 1.577 20 0 DDADMM CCOc1ccc(N2C[C@H](C(=O)[N-]OCC3CC3)CC2=O)cc1 ZINC000817334150 702343721 /nfs/dbraw/zinc/34/37/21/702343721.db2.gz DDIDQVIJSAQGJL-CYBMUJFWSA-N -1 1 318.373 1.896 20 0 DDADMM CCC[C@@H](NC(=O)c1ccnc(C(F)(F)F)c1)c1nn[n-]n1 ZINC000813474775 702356508 /nfs/dbraw/zinc/35/65/08/702356508.db2.gz BSRIEVNGNJZXRO-MRVPVSSYSA-N -1 1 314.271 1.885 20 0 DDADMM CC[C@]1(C)NC(=O)N(NC(=O)c2cccc(Cl)c2[O-])C1=O ZINC000813545795 702371011 /nfs/dbraw/zinc/37/10/11/702371011.db2.gz AJAQUXOCAISWBG-ZDUSSCGKSA-N -1 1 311.725 1.411 20 0 DDADMM CCOc1cc(C(=O)NCC(=O)N(C)OC)cc(Cl)c1[O-] ZINC000817659796 702438488 /nfs/dbraw/zinc/43/84/88/702438488.db2.gz LHLDZBMVLYUPJW-UHFFFAOYSA-N -1 1 316.741 1.194 20 0 DDADMM COc1cccc(CS(=O)(=O)[N-][C@@H]2C(=O)OCC2(C)C)c1 ZINC000841534690 702485301 /nfs/dbraw/zinc/48/53/01/702485301.db2.gz MGSQODLFXUHJJN-GFCCVEGCSA-N -1 1 313.375 1.066 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC(C)(C)[C@H]3CCCCO3)ccnc1-2 ZINC000879416768 706674456 /nfs/dbraw/zinc/67/44/56/706674456.db2.gz ZAQHKKFLGDHFRJ-CYBMUJFWSA-N -1 1 317.393 1.811 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1c[nH]nc1Cl)CC(F)(F)F ZINC000841562979 702499085 /nfs/dbraw/zinc/49/90/85/702499085.db2.gz GKBMVPXGRHKNMS-RXMQYKEDSA-N -1 1 305.709 1.930 20 0 DDADMM CC[C@]1(C)CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000869214313 702513408 /nfs/dbraw/zinc/51/34/08/702513408.db2.gz VHDIDHBRYCKAAL-MRXNPFEDSA-N -1 1 320.393 1.587 20 0 DDADMM C[C@@H]1[C@@H](C)CCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869214225 702513646 /nfs/dbraw/zinc/51/36/46/702513646.db2.gz RPWKRVPYLYTLQB-WDEREUQCSA-N -1 1 320.393 1.585 20 0 DDADMM C[C@@H]1CCCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)[C@@H]1C ZINC000869214222 702513765 /nfs/dbraw/zinc/51/37/65/702513765.db2.gz RPWKRVPYLYTLQB-GHMZBOCLSA-N -1 1 320.393 1.585 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2c[nH]c3cccc(Cl)c23)nc1=O ZINC000814528313 702538707 /nfs/dbraw/zinc/53/87/07/702538707.db2.gz NWNXOBYGDZXEBG-UHFFFAOYSA-N -1 1 320.736 1.529 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccccc1OC ZINC000866384330 706686600 /nfs/dbraw/zinc/68/66/00/706686600.db2.gz KRQQWHSMQQHCMV-GOSISDBHSA-N -1 1 306.409 1.147 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc2c(c1)C(=O)OC2)C(C)C ZINC000842585871 702736823 /nfs/dbraw/zinc/73/68/23/702736823.db2.gz IWPKXEYUMZDDQI-CYBMUJFWSA-N -1 1 313.375 1.770 20 0 DDADMM O=C(NC[C@@H]1C[C@H]1C(F)(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000831360391 706693153 /nfs/dbraw/zinc/69/31/53/706693153.db2.gz QVLYWBBQIRUVKH-JGVFFNPUSA-N -1 1 316.308 1.946 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)N=S(C)(C)=O)c1ccccc1 ZINC000866418263 706694281 /nfs/dbraw/zinc/69/42/81/706694281.db2.gz HHIHZTMNIZGNAN-NSHDSACASA-N -1 1 304.437 1.742 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@H]1CC1(Cl)Cl ZINC000866470181 706706747 /nfs/dbraw/zinc/70/67/47/706706747.db2.gz UMNLWMFCDMBAQH-SSDOTTSWSA-N -1 1 321.251 1.276 20 0 DDADMM CC(C)=CCC[C@@H](C)CC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000843792914 702924863 /nfs/dbraw/zinc/92/48/63/702924863.db2.gz XBWGNLMBULGYOP-OLZOCXBDSA-N -1 1 307.398 1.872 20 0 DDADMM CC(C)N(CCC(=O)[O-])CCS(=O)(=O)Cc1ccccc1 ZINC000846268912 703258724 /nfs/dbraw/zinc/25/87/24/703258724.db2.gz CSQVPDDXULDUPC-UHFFFAOYSA-N -1 1 313.419 1.787 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1cncn1Cc1ccccc1 ZINC000846271870 703259029 /nfs/dbraw/zinc/25/90/29/703259029.db2.gz ORBILVUAMIKWKU-UHFFFAOYSA-N -1 1 317.389 1.855 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OC ZINC000866579064 706734363 /nfs/dbraw/zinc/73/43/63/706734363.db2.gz SJUWKZSHASGFPT-XVKPBYJWSA-N -1 1 306.409 1.318 20 0 DDADMM C[C@@H](CN1CCOCC1)OC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869736065 703839039 /nfs/dbraw/zinc/83/90/39/703839039.db2.gz ABBDQPWWAFPOMN-NSHDSACASA-N -1 1 313.781 1.852 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@@H](c4cccnc4)C3)ccnc1-2 ZINC000831748703 706770227 /nfs/dbraw/zinc/77/02/27/706770227.db2.gz KQNKDGVSVUJIED-CYBMUJFWSA-N -1 1 322.372 1.758 20 0 DDADMM COC/C=C/C(=O)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870162449 703939460 /nfs/dbraw/zinc/93/94/60/703939460.db2.gz NGXBFGVCFMFUID-NSCUHMNNSA-N -1 1 324.808 1.892 20 0 DDADMM Cn1cccc1C(=O)CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763773 706784731 /nfs/dbraw/zinc/78/47/31/706784731.db2.gz OIBZKULAKUEOBH-ZDUSSCGKSA-N -1 1 317.311 1.351 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)/C=C\[C@@H]1CCCO1 ZINC000819285236 704100668 /nfs/dbraw/zinc/10/06/68/704100668.db2.gz ZGIPEKYPGPBLDJ-FJOGCWAESA-N -1 1 316.361 1.403 20 0 DDADMM CC[C@@H](C(=O)OC)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852756985 704116653 /nfs/dbraw/zinc/11/66/53/704116653.db2.gz FSMOHXJTRDTHNQ-UTLUCORTSA-N -1 1 310.316 1.327 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](O)c2ccsc2)sn1 ZINC000867055260 706883732 /nfs/dbraw/zinc/88/37/32/706883732.db2.gz HTLISSPTGZETQQ-SECBINFHSA-N -1 1 304.418 1.525 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cccc(OC(F)F)c1 ZINC000821150546 704376649 /nfs/dbraw/zinc/37/66/49/704376649.db2.gz GPXICGNIJUDSSY-UHFFFAOYSA-N -1 1 314.335 1.672 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCC[C@H](C)O)c1 ZINC000821353398 704395584 /nfs/dbraw/zinc/39/55/84/704395584.db2.gz UADBLQPSYSLKKL-PRWKNARSSA-N -1 1 300.376 1.507 20 0 DDADMM CC[C@H](COC)[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866823683 706814914 /nfs/dbraw/zinc/81/49/14/706814914.db2.gz PMVXANCENQPUOZ-SSDOTTSWSA-N -1 1 315.317 1.142 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCO[C@@H](c2ccccc2)O1 ZINC000854634429 704430415 /nfs/dbraw/zinc/43/04/15/704430415.db2.gz DVKVGWQGUXBYBL-XHDPSFHLSA-N -1 1 303.318 1.867 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@@H](C)C1=O ZINC000855581169 704492260 /nfs/dbraw/zinc/49/22/60/704492260.db2.gz PHMVDWITINSLOZ-RKDXNWHRSA-N -1 1 304.375 1.315 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856415084 704527865 /nfs/dbraw/zinc/52/78/65/704527865.db2.gz HQAGHYVMNLRERM-GCHJQGSQSA-N -1 1 318.339 1.948 20 0 DDADMM CC(C)[C@](C)(O)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417236 704527948 /nfs/dbraw/zinc/52/79/48/704527948.db2.gz MJDLFQNLWTXGNX-NRUUGDAUSA-N -1 1 324.343 1.309 20 0 DDADMM COC(C)(C)CC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856416897 704527955 /nfs/dbraw/zinc/52/79/55/704527955.db2.gz YRWFDCNVSDNJAY-ZJUUUORDSA-N -1 1 324.343 1.717 20 0 DDADMM COCC(C)(C)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418068 704528142 /nfs/dbraw/zinc/52/81/42/704528142.db2.gz SQEIJLXRIDOTHU-ZJUUUORDSA-N -1 1 324.343 1.575 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](CCO)c2cccs2)sn1 ZINC000866860393 706828139 /nfs/dbraw/zinc/82/81/39/706828139.db2.gz SOJOKRKRGHTIAN-VIFPVBQESA-N -1 1 318.445 1.915 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)N=[S@](C)(=O)CC)c1ccncc1 ZINC000866865213 706829600 /nfs/dbraw/zinc/82/96/00/706829600.db2.gz VNQQWFUKTOTRNA-ADLMAVQZSA-N -1 1 305.425 1.485 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C)[C@H](O)c2ccccc2)sn1 ZINC000867274036 706944651 /nfs/dbraw/zinc/94/46/51/706944651.db2.gz PFUBQJNLDRDJAX-GWCFXTLKSA-N -1 1 312.416 1.852 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]C[C@H]1CSc2ccccc21 ZINC000867318314 706958702 /nfs/dbraw/zinc/95/87/02/706958702.db2.gz QKDPYLXFIXZEEQ-VIFPVBQESA-N -1 1 320.461 1.438 20 0 DDADMM COC(=O)C1=CC[C@@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000859286931 704849703 /nfs/dbraw/zinc/84/97/03/704849703.db2.gz JEWDFERQPYIJMX-SECBINFHSA-N -1 1 317.313 1.505 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@H]1c1ccccc1F ZINC000867384705 706983333 /nfs/dbraw/zinc/98/33/33/706983333.db2.gz JVACQXWDOQOYQD-KFHAODIQSA-N -1 1 320.411 1.634 20 0 DDADMM CO[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C12CCC2 ZINC000867386347 706983703 /nfs/dbraw/zinc/98/37/03/706983703.db2.gz JOESRVKQHKDDKJ-VHSXEESVSA-N -1 1 318.345 1.596 20 0 DDADMM CC1(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CC(F)(F)C1 ZINC000822700113 705012305 /nfs/dbraw/zinc/01/23/05/705012305.db2.gz MLWRAWGKBHTSBI-UHFFFAOYSA-N -1 1 301.293 1.917 20 0 DDADMM COc1ccc2nc(CN3CC[C@@](OC)(C(=O)[O-])C3)sc2c1 ZINC000859820424 705012971 /nfs/dbraw/zinc/01/29/71/705012971.db2.gz TYGULNVZEYYCBL-HNNXBMFYSA-N -1 1 322.386 1.980 20 0 DDADMM O=C(CCc1cccs1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822983093 705101476 /nfs/dbraw/zinc/10/14/76/705101476.db2.gz JRZHQUHZUSHERC-UHFFFAOYSA-N -1 1 306.376 1.956 20 0 DDADMM O=C(CCc1cccs1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822983093 705101479 /nfs/dbraw/zinc/10/14/79/705101479.db2.gz JRZHQUHZUSHERC-UHFFFAOYSA-N -1 1 306.376 1.956 20 0 DDADMM CCN(C(=O)CCCc1nn[n-]n1)[C@@H](C)[C@@H](O)c1ccccc1 ZINC000823057597 705126772 /nfs/dbraw/zinc/12/67/72/705126772.db2.gz VQZARVKDOWPJLJ-BLLLJJGKSA-N -1 1 317.393 1.493 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCS[C@@H](C)C2)o1 ZINC000834690032 707103518 /nfs/dbraw/zinc/10/35/18/707103518.db2.gz WJTWNECAHRYJIH-IUCAKERBSA-N -1 1 318.420 1.202 20 0 DDADMM O=C(/C=C\CN1CCOCC1)NCc1ccc([O-])c(Cl)c1 ZINC000834821909 707130284 /nfs/dbraw/zinc/13/02/84/707130284.db2.gz HKFSCBRZUFKDLP-UPHRSURJSA-N -1 1 310.781 1.550 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3C[C@]3(C)Br)ccnc1-2 ZINC000875632312 705456646 /nfs/dbraw/zinc/45/66/46/705456646.db2.gz CAIOGLXNNKRDFP-UFBFGSQYSA-N -1 1 309.167 1.454 20 0 DDADMM Cc1[nH]nc(C(=O)N2C[C@H](CO)Cc3cccc(F)c32)c1[O-] ZINC000911689678 710931174 /nfs/dbraw/zinc/93/11/74/710931174.db2.gz JAQOFZOXIYNSQY-SECBINFHSA-N -1 1 305.309 1.374 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCO1 ZINC000824875369 705548139 /nfs/dbraw/zinc/54/81/39/705548139.db2.gz MEWHZBBLPLOFEU-KOLCDFICSA-N -1 1 303.322 1.120 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCO1 ZINC000824875369 705548143 /nfs/dbraw/zinc/54/81/43/705548143.db2.gz MEWHZBBLPLOFEU-KOLCDFICSA-N -1 1 303.322 1.120 20 0 DDADMM CCn1nnc(C)c1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000862079691 705645031 /nfs/dbraw/zinc/64/50/31/705645031.db2.gz ATOAHHAUKKKPDI-UHFFFAOYSA-N -1 1 318.381 1.464 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CCn2ncnc2C1 ZINC000876395879 705707682 /nfs/dbraw/zinc/70/76/82/705707682.db2.gz QNVCZTNSXJHJBC-UHFFFAOYSA-N -1 1 307.741 1.363 20 0 DDADMM COC[C@@](C)(O)CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876393290 705707719 /nfs/dbraw/zinc/70/77/19/705707719.db2.gz GSXNTWMVLKUXPZ-ZDUSSCGKSA-N -1 1 302.758 1.242 20 0 DDADMM CO[C@@H]1CCC[C@@H]1Sc1nc(C)nc(C)c1-c1nn[n-]n1 ZINC000826145436 705777644 /nfs/dbraw/zinc/77/76/44/705777644.db2.gz GLRXKLMYOSTAKI-ZJUUUORDSA-N -1 1 306.395 1.933 20 0 DDADMM Cc1onc(CC(=O)NC(C(C)C)C(C)C)c1-c1nnn[n-]1 ZINC000826345129 705793414 /nfs/dbraw/zinc/79/34/14/705793414.db2.gz CRXOTVDTDVFXQA-UHFFFAOYSA-N -1 1 306.370 1.502 20 0 DDADMM Cc1onc(CC(=O)NC(C(C)C)C(C)C)c1-c1nn[n-]n1 ZINC000826345129 705793416 /nfs/dbraw/zinc/79/34/16/705793416.db2.gz CRXOTVDTDVFXQA-UHFFFAOYSA-N -1 1 306.370 1.502 20 0 DDADMM Cc1noc([C@@H]2OCC[C@@H]2NC(=O)c2c([O-])cccc2Cl)n1 ZINC000863752920 706000405 /nfs/dbraw/zinc/00/04/05/706000405.db2.gz IPQGIOLEBMOQBL-JOYOIKCWSA-N -1 1 323.736 1.997 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@]1(C)CC=CCC1 ZINC000835294964 707215813 /nfs/dbraw/zinc/21/58/13/707215813.db2.gz ZDKQRIHHOVDHLG-MRXNPFEDSA-N -1 1 316.361 1.558 20 0 DDADMM COCc1nsc([N-]C(=O)c2c(Cl)nn(C)c2Cl)n1 ZINC000863905287 706034505 /nfs/dbraw/zinc/03/45/05/706034505.db2.gz GLTBHDXHTNVMOT-UHFFFAOYSA-N -1 1 322.177 1.977 20 0 DDADMM COc1ccc(C(=O)[N-]c2c(C)onc2OC(F)F)nn1 ZINC000864048603 706050807 /nfs/dbraw/zinc/05/08/07/706050807.db2.gz JMOSAOCPKBZMBF-UHFFFAOYSA-N -1 1 300.221 1.635 20 0 DDADMM O=C(N[C@@H](C1CC1)C1CCOCC1)c1cnc(C2CC2)[n-]c1=O ZINC000864065878 706057924 /nfs/dbraw/zinc/05/79/24/706057924.db2.gz LCGLOZBEWMYXOD-AWEZNQCLSA-N -1 1 317.389 1.995 20 0 DDADMM COc1cc(C(=O)[N-]c2nc(C(C)(C)OC)ns2)ncn1 ZINC000828125140 706122645 /nfs/dbraw/zinc/12/26/45/706122645.db2.gz VJAAZNKDMROICC-UHFFFAOYSA-N -1 1 309.351 1.471 20 0 DDADMM Cn1cc(CN2CCN(Cc3ccc([O-])c(Cl)c3)CC2)nn1 ZINC000877705550 706183523 /nfs/dbraw/zinc/18/35/23/706183523.db2.gz JJEOFIXYTJQAFY-UHFFFAOYSA-N -1 1 321.812 1.492 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@]1(C)CC1(Cl)Cl)c1nn[n-]n1 ZINC000829165723 706296454 /nfs/dbraw/zinc/29/64/54/706296454.db2.gz AIMOYGBAYVUEQT-GMSGAONNSA-N -1 1 306.197 1.736 20 0 DDADMM CC1(NC(=S)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000865275356 706393954 /nfs/dbraw/zinc/39/39/54/706393954.db2.gz KTKYXGNRHQCJNW-NSHDSACASA-N -1 1 309.357 1.556 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)ccn1 ZINC000872492506 707444130 /nfs/dbraw/zinc/44/41/30/707444130.db2.gz GGVYZSJBZFVGPC-IBGZPJMESA-N -1 1 319.452 1.621 20 0 DDADMM Cc1nc(SC[C@H](C)S(C)(=O)=O)[n-]c(=O)c1C1CC1 ZINC000865373293 706415889 /nfs/dbraw/zinc/41/58/89/706415889.db2.gz NOEQHFMXGQQARB-ZETCQYMHSA-N -1 1 302.421 1.893 20 0 DDADMM COCCN(Cc1nc2c(c(=O)[n-]1)COCC2)c1ccccc1 ZINC000878683141 706467804 /nfs/dbraw/zinc/46/78/04/706467804.db2.gz SXCPFHMGDHCEFK-UHFFFAOYSA-N -1 1 315.373 1.908 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCC[C@H]2CCOC2=O)sn1 ZINC000872563928 707482705 /nfs/dbraw/zinc/48/27/05/707482705.db2.gz JMHHXFDXZQVGDY-VIFPVBQESA-N -1 1 304.393 1.073 20 0 DDADMM Cc1noc(C)c1C[C@@H](C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830589878 706540149 /nfs/dbraw/zinc/54/01/49/706540149.db2.gz SKYJUJOSVVPCDV-ZCFIWIBFSA-N -1 1 318.786 1.577 20 0 DDADMM CC(C)CC(=O)N1CCCN(C(=O)c2cncc([O-])c2)CC1 ZINC000830666035 706557257 /nfs/dbraw/zinc/55/72/57/706557257.db2.gz IEYVTRJPCJBVJT-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM CCO[C@@H](C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830788050 706579644 /nfs/dbraw/zinc/57/96/44/706579644.db2.gz ACQVCONQWKVBIB-MFKMUULPSA-N -1 1 322.327 1.471 20 0 DDADMM COC(C)(C)CC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830800996 706582305 /nfs/dbraw/zinc/58/23/05/706582305.db2.gz DYTDOBRMDRXZQH-LBPRGKRZSA-N -1 1 310.316 1.471 20 0 DDADMM CSC1(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000830802437 706582808 /nfs/dbraw/zinc/58/28/08/706582808.db2.gz UQZRWYZDSRNFJA-LLVKDONJSA-N -1 1 324.368 1.942 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCCCS2)C1 ZINC000830807621 706583069 /nfs/dbraw/zinc/58/30/69/706583069.db2.gz NLZRGYZQRFCFGL-SKDRFNHKSA-N -1 1 324.368 1.942 20 0 DDADMM Cc1ccn(C)c1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830808388 706583597 /nfs/dbraw/zinc/58/35/97/706583597.db2.gz ZZGKMUGITRRRLR-CYBMUJFWSA-N -1 1 317.311 1.617 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CCCCC1)c1ccc(F)nc1F ZINC000866835314 706820014 /nfs/dbraw/zinc/82/00/14/706820014.db2.gz XWYPFEORMBAGKC-SNVBAGLBSA-N -1 1 320.361 1.579 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(C)cc1OC ZINC000866953198 706854791 /nfs/dbraw/zinc/85/47/91/706854791.db2.gz OGFPOWKJZXWQTN-IBGZPJMESA-N -1 1 320.436 1.456 20 0 DDADMM O=S(=O)([N-][C@H]([C@H](CO)C1CC1)C1CC1)c1c[nH]nc1Cl ZINC000832233485 706876823 /nfs/dbraw/zinc/87/68/23/706876823.db2.gz ZNSXKBFIRKHMEK-KOLCDFICSA-N -1 1 319.814 1.139 20 0 DDADMM CN(C(=O)[C@@H]1CCc2[nH]cnc2C1)[C@@H](C(=O)[O-])c1ccccc1 ZINC000909003340 712913503 /nfs/dbraw/zinc/91/35/03/712913503.db2.gz YXGCSVZPTGUJOK-IUODEOHRSA-N -1 1 313.357 1.799 20 0 DDADMM CC1(C)CC(C[N-]S(=O)(=O)c2nc[nH]c2Br)C1 ZINC000867154852 706911180 /nfs/dbraw/zinc/91/11/80/706911180.db2.gz QCCCVMPSWHKZPG-UHFFFAOYSA-N -1 1 322.228 1.887 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1CSC[C@H]1C ZINC000867262072 706940820 /nfs/dbraw/zinc/94/08/20/706940820.db2.gz PSZUJILAJFHLRI-BDAKNGLRSA-N -1 1 300.471 1.080 20 0 DDADMM CSCCc1ccccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000880353391 706950993 /nfs/dbraw/zinc/95/09/93/706950993.db2.gz CUEZXAQQKSLBER-UHFFFAOYSA-N -1 1 308.363 1.180 20 0 DDADMM CC1=NO[C@@H](C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000867344143 706967667 /nfs/dbraw/zinc/96/76/67/706967667.db2.gz DQMSMASKIVJEEE-SSDOTTSWSA-N -1 1 308.281 1.547 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867381692 706982609 /nfs/dbraw/zinc/98/26/09/706982609.db2.gz QEEMIYYWLRHBCM-QMMMGPOBSA-N -1 1 322.745 1.104 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1CCn3ccnc31)c2=O ZINC000833298924 707004796 /nfs/dbraw/zinc/00/47/96/707004796.db2.gz FLUKSCBZAILANH-GFCCVEGCSA-N -1 1 309.329 1.715 20 0 DDADMM CC(C)(C)OCCC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867513953 707024388 /nfs/dbraw/zinc/02/43/88/707024388.db2.gz MCBOSOSYLSFGIV-UHFFFAOYSA-N -1 1 308.350 1.843 20 0 DDADMM CCOC1CC(CC(=O)NCc2cc(=O)[n-]c(SC)n2)C1 ZINC000880651813 707048788 /nfs/dbraw/zinc/04/87/88/707048788.db2.gz ZADXSHWUMYOHKP-UHFFFAOYSA-N -1 1 311.407 1.726 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@H]1CCCNC1=O ZINC000867713131 707082801 /nfs/dbraw/zinc/08/28/01/707082801.db2.gz MJCRTLYOGLSIBD-LURJTMIESA-N -1 1 314.139 1.014 20 0 DDADMM CCC(=O)N1CC[C@H](C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000834829107 707132650 /nfs/dbraw/zinc/13/26/50/707132650.db2.gz KXIZLFRADNLIGP-NSHDSACASA-N -1 1 310.781 1.920 20 0 DDADMM N[C@H](C(=O)N[C@H]1C[C@H](C(=O)[O-])C1)c1cccc(C(F)(F)F)c1 ZINC000871671199 707163190 /nfs/dbraw/zinc/16/31/90/707163190.db2.gz ITLXQUYYQXNLOI-LSJOCFKGSA-N -1 1 316.279 1.685 20 0 DDADMM COc1ccc(CCC(=O)[N-]O[C@@H](C)C(=O)NC2CC2)cc1 ZINC000871799495 707208323 /nfs/dbraw/zinc/20/83/23/707208323.db2.gz NYMGHRCAYHEDGQ-NSHDSACASA-N -1 1 306.362 1.343 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCCOC1 ZINC000872438774 707410513 /nfs/dbraw/zinc/41/05/13/707410513.db2.gz GMTFCBYSMWPXGO-MGNBDDOMSA-N -1 1 312.457 1.144 20 0 DDADMM O=C([O-])CN(C(=O)NCc1ccc2cncn2c1)C1CCCC1 ZINC000909168975 712952426 /nfs/dbraw/zinc/95/24/26/712952426.db2.gz HCEDUVOQCPPRIH-UHFFFAOYSA-N -1 1 316.361 1.873 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Nc1ncc(OC)s1 ZINC000836601111 707487901 /nfs/dbraw/zinc/48/79/01/707487901.db2.gz DGQRSSMCTMPLDN-UHFFFAOYSA-N -1 1 303.340 1.547 20 0 DDADMM CC(C)(CO)O[N-]C(=O)CCC(=O)c1ccc(Cl)cn1 ZINC000836805122 707519830 /nfs/dbraw/zinc/51/98/30/707519830.db2.gz ANRKRAPWTPHWEF-UHFFFAOYSA-N -1 1 300.742 1.517 20 0 DDADMM CC[C@@H]1CC(=O)N(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000872754282 707585652 /nfs/dbraw/zinc/58/56/52/707585652.db2.gz ZQSIOZSKANZLQS-MFKMUULPSA-N -1 1 321.343 1.345 20 0 DDADMM O=C([O-])[C@@H]1CC=CC[C@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000872931094 707659926 /nfs/dbraw/zinc/65/99/26/707659926.db2.gz VZAXOEOSMYXBPP-GHMZBOCLSA-N -1 1 317.320 1.985 20 0 DDADMM COCc1cccc([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)c1 ZINC000882676436 707744441 /nfs/dbraw/zinc/74/44/41/707744441.db2.gz KSLLQCBIWYELSE-LJQANCHMSA-N -1 1 321.424 1.064 20 0 DDADMM CCCOc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)c(OC)c1 ZINC000837889430 707775322 /nfs/dbraw/zinc/77/53/22/707775322.db2.gz JDAQVKWPJJATLY-UHFFFAOYSA-N -1 1 321.333 1.263 20 0 DDADMM O=S(=O)([N-]C1CCC2(COC2)CC1)c1ccc(F)nc1F ZINC000882822796 707809643 /nfs/dbraw/zinc/80/96/43/707809643.db2.gz XYQISKJVBQRFCN-UHFFFAOYSA-N -1 1 318.345 1.597 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C2CCC3(COC3)CC2)c1Cl ZINC000882823935 707810137 /nfs/dbraw/zinc/81/01/37/707810137.db2.gz ABQORPYZZZCVFI-UHFFFAOYSA-N -1 1 319.814 1.311 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@H]2C[C@@H](OC)C2)c1 ZINC000873403071 707838993 /nfs/dbraw/zinc/83/89/93/707838993.db2.gz CSKLMYWRDCNSEH-SMSPXONGSA-N -1 1 312.387 1.771 20 0 DDADMM CC[C@@H](Cc1cccc(C)c1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000873436360 707850666 /nfs/dbraw/zinc/85/06/66/707850666.db2.gz IYTYNQGEVYYJSW-ZDUSSCGKSA-N -1 1 303.362 1.729 20 0 DDADMM C[C@@H](Oc1ccccn1)C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000838273008 707883494 /nfs/dbraw/zinc/88/34/94/707883494.db2.gz CKSJIIVHTWRQSO-SECBINFHSA-N -1 1 320.267 1.461 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@@H](O)C1CCC1 ZINC000838309266 707895872 /nfs/dbraw/zinc/89/58/72/707895872.db2.gz LUXDDXPLRGATTR-HNNXBMFYSA-N -1 1 304.298 1.703 20 0 DDADMM CCC[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1CCCC1 ZINC000838333890 707901002 /nfs/dbraw/zinc/90/10/02/707901002.db2.gz ZAPWKUBFTNXHLI-CYBMUJFWSA-N -1 1 307.394 1.402 20 0 DDADMM O=C([O-])C1(NC(=O)c2cccc3nc[nH]c32)CCSCC1 ZINC000909350268 712997946 /nfs/dbraw/zinc/99/79/46/712997946.db2.gz VYBGQZHGIWXKIP-UHFFFAOYSA-N -1 1 305.359 1.643 20 0 DDADMM Cc1ccc(OCCNC(=O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000909397154 713007659 /nfs/dbraw/zinc/00/76/59/713007659.db2.gz YPVGJBGJIQAEMT-CQSZACIVSA-N -1 1 320.389 1.287 20 0 DDADMM Cc1ccc(OCCNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000909397154 713007663 /nfs/dbraw/zinc/00/76/63/713007663.db2.gz YPVGJBGJIQAEMT-CQSZACIVSA-N -1 1 320.389 1.287 20 0 DDADMM COc1cccnc1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000909395723 713007773 /nfs/dbraw/zinc/00/77/73/713007773.db2.gz INSNYYLRZZXDRL-UHFFFAOYSA-N -1 1 300.318 1.393 20 0 DDADMM COC[C@H](CCO)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897066572 708222118 /nfs/dbraw/zinc/22/21/18/708222118.db2.gz XUWUWQANQPZVEM-NSHDSACASA-N -1 1 305.330 1.378 20 0 DDADMM C[C@@H](CN(C(=O)c1ccc(-c2nnc[nH]2)cc1)C1CC1)C(=O)[O-] ZINC000909455898 713022782 /nfs/dbraw/zinc/02/27/82/713022782.db2.gz LBRANOYWSNWXFQ-JTQLQIEISA-N -1 1 314.345 1.797 20 0 DDADMM O=C(NCCOC(=O)C1CC1)c1ccc2ccc(O)cc2c1[O-] ZINC000897660065 708409105 /nfs/dbraw/zinc/40/91/05/708409105.db2.gz SCFVUIWLZCZJNI-UHFFFAOYSA-N -1 1 315.325 1.934 20 0 DDADMM O=S(=O)([N-]CCCN1CCc2ccccc21)c1ccns1 ZINC000884914283 708418323 /nfs/dbraw/zinc/41/83/23/708418323.db2.gz FZHRRFRJCBEQNS-UHFFFAOYSA-N -1 1 323.443 1.874 20 0 DDADMM O=C([O-])CCCN(Cc1ccccc1)C(=O)CCc1c[nH]nn1 ZINC000909475759 713027901 /nfs/dbraw/zinc/02/79/01/713027901.db2.gz QFUYWLZXIZWVGU-UHFFFAOYSA-N -1 1 316.361 1.631 20 0 DDADMM O=C([O-])CCCN(Cc1ccccc1)C(=O)CCc1cnn[nH]1 ZINC000909475759 713027903 /nfs/dbraw/zinc/02/79/03/713027903.db2.gz QFUYWLZXIZWVGU-UHFFFAOYSA-N -1 1 316.361 1.631 20 0 DDADMM CC(C)[C@H]1OCCC[C@H]1C[N-]S(=O)(=O)c1ccns1 ZINC000885043046 708453530 /nfs/dbraw/zinc/45/35/30/708453530.db2.gz DOGYVNYYZXQLNX-CMPLNLGQSA-N -1 1 304.437 1.873 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCC[C@@H]1C ZINC000912527250 713030866 /nfs/dbraw/zinc/03/08/66/713030866.db2.gz BTYVETXBCQNDKF-WPRPVWTQSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CCCC(C)C ZINC000912531346 713031377 /nfs/dbraw/zinc/03/13/77/713031377.db2.gz KLRAZGHNYZCQFO-JTQLQIEISA-N -1 1 317.411 1.689 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)c1ccccc1 ZINC000912531326 713031476 /nfs/dbraw/zinc/03/14/76/713031476.db2.gz KBVCMIZSASNRAC-SECBINFHSA-N -1 1 309.347 1.236 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)CC1CCCC1)c1ccns1 ZINC000885166273 708484040 /nfs/dbraw/zinc/48/40/40/708484040.db2.gz BQEUSNHIJTYDLV-NSHDSACASA-N -1 1 304.437 1.610 20 0 DDADMM C[C@H](c1ccccc1)[C@H](O)C[N-]S(=O)(=O)c1ccns1 ZINC000885309038 708516623 /nfs/dbraw/zinc/51/66/23/708516623.db2.gz AIKKHNYMQCNDPX-ZYHUDNBSSA-N -1 1 312.416 1.586 20 0 DDADMM O=C(N[C@@H]([C@@H]1CCOC1)C(F)(F)F)C(=O)c1ccc([O-])cc1 ZINC000885526983 708567574 /nfs/dbraw/zinc/56/75/74/708567574.db2.gz FRKIQSNFAOCDOZ-SKDRFNHKSA-N -1 1 317.263 1.659 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2CCOC3(CCC3)C2)cc(=O)[n-]1 ZINC000898527068 708668639 /nfs/dbraw/zinc/66/86/39/708668639.db2.gz YGXNKQSSKPWFIZ-SNVBAGLBSA-N -1 1 323.418 1.870 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC2(CC1)C[C@@H](O)CCO2 ZINC000886107791 708699774 /nfs/dbraw/zinc/69/97/74/708699774.db2.gz ANJLDDSRLKOWGN-LBPRGKRZSA-N -1 1 309.337 1.677 20 0 DDADMM CCn1cncc([N-]S(=O)(=O)C[C@H](CC(C)C)OC)c1=O ZINC000886417296 708757552 /nfs/dbraw/zinc/75/75/52/708757552.db2.gz PKUIUJHOBRYZIJ-NSHDSACASA-N -1 1 317.411 1.066 20 0 DDADMM CC[C@@H]1C(=O)NCCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000886501037 708768670 /nfs/dbraw/zinc/76/86/70/708768670.db2.gz UOLVMLAPKCHIIW-GFCCVEGCSA-N -1 1 310.781 1.715 20 0 DDADMM CCOC(=O)[C@@H](F)[C@H]1CCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000898545330 708784531 /nfs/dbraw/zinc/78/45/31/708784531.db2.gz NJTINPZRINNCTF-ZANVPECISA-N -1 1 313.300 1.895 20 0 DDADMM Cn1nnc2c1C[C@@H](NC(=O)c1ccc(Cl)cc1[O-])CC2 ZINC000886758713 708838584 /nfs/dbraw/zinc/83/85/84/708838584.db2.gz NVLNCBLFWZGPIL-VIFPVBQESA-N -1 1 306.753 1.461 20 0 DDADMM CCc1ccc([C@H](C)C(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC000898754676 708855416 /nfs/dbraw/zinc/85/54/16/708855416.db2.gz YCZBFOJUENHTHM-JTQLQIEISA-N -1 1 301.346 1.870 20 0 DDADMM C[C@@]1(CO)C[C@@H](O)CN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000912661172 713063156 /nfs/dbraw/zinc/06/31/56/713063156.db2.gz XBUOBSVAKOFISZ-RNCFNFMXSA-N -1 1 319.279 1.369 20 0 DDADMM Cc1cccc(CO[N-]C(=O)CCC(=O)NC2CCCC2)n1 ZINC000898933869 708928477 /nfs/dbraw/zinc/92/84/77/708928477.db2.gz HIJIRLFKSAFQRE-UHFFFAOYSA-N -1 1 305.378 1.777 20 0 DDADMM Cc1cccc(CO[N-]C(=O)[C@H]2CC(=O)N(C(C)(C)C)C2)n1 ZINC000898936128 708928901 /nfs/dbraw/zinc/92/89/01/708928901.db2.gz NOBKNUOHGLTGKR-LBPRGKRZSA-N -1 1 305.378 1.585 20 0 DDADMM CSc1ccc([O-])c(C(=O)Nn2nnc3c2CCCC3)c1 ZINC000887216174 708991611 /nfs/dbraw/zinc/99/16/11/708991611.db2.gz LHXNBZBLECRQDG-UHFFFAOYSA-N -1 1 304.375 1.968 20 0 DDADMM [O-]c1cc(F)c(CCNC(=S)NC2CCOCC2)c(F)c1 ZINC000899610484 709125413 /nfs/dbraw/zinc/12/54/13/709125413.db2.gz VIAUUQYEEKHJDC-UHFFFAOYSA-N -1 1 316.373 1.856 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)n[nH]1 ZINC000888120284 709218484 /nfs/dbraw/zinc/21/84/84/709218484.db2.gz IDQXUCWSBRPYKJ-WJZNIJOASA-N -1 1 309.366 1.973 20 0 DDADMM NC(=O)[C@H]1CCCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000899961601 709250966 /nfs/dbraw/zinc/25/09/66/709250966.db2.gz UIDWSFHWDDDKFE-VIFPVBQESA-N -1 1 317.320 1.417 20 0 DDADMM CN(C)C(=O)CN(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900003331 709262936 /nfs/dbraw/zinc/26/29/36/709262936.db2.gz GWFQRAJNXCUBPC-UHFFFAOYSA-N -1 1 305.309 1.240 20 0 DDADMM CN(CC(=O)NC(C)(C)C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900025304 709270774 /nfs/dbraw/zinc/27/07/74/709270774.db2.gz CGRMJYAVRBFJES-UHFFFAOYSA-N -1 1 306.366 1.046 20 0 DDADMM C[C@@H]1Cc2cc(CN[C@H](C(=O)[O-])c3ccnn3C)ccc2O1 ZINC000900039915 709274494 /nfs/dbraw/zinc/27/44/94/709274494.db2.gz FIQJADQSFZUWMQ-BMIGLBTASA-N -1 1 301.346 1.659 20 0 DDADMM C[C@]1([C@@H]2CCCN(C(=O)c3cncc([O-])c3)C2)COC(=O)N1 ZINC000928023398 713105467 /nfs/dbraw/zinc/10/54/67/713105467.db2.gz PVQUUXWJJRYUJB-IAQYHMDHSA-N -1 1 305.334 1.138 20 0 DDADMM C[C@@]1(NC(=O)Cc2n[nH]c3c2CCCC3)CCCC[C@@H]1C(=O)[O-] ZINC000909532467 709504068 /nfs/dbraw/zinc/50/40/68/709504068.db2.gz YCTGLZCYBTXXIQ-SJKOYZFVSA-N -1 1 319.405 1.981 20 0 DDADMM C[C@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)Cc1cccs1 ZINC000909584679 709527866 /nfs/dbraw/zinc/52/78/66/709527866.db2.gz IKOMKNSTOXHEGN-STQMWFEESA-N -1 1 324.446 1.840 20 0 DDADMM COCCC(C)(C)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912861882 713110531 /nfs/dbraw/zinc/11/05/31/713110531.db2.gz AYCHZWWCQXWKEN-VIFPVBQESA-N -1 1 301.416 1.173 20 0 DDADMM C[C@@H](C(=O)[O-])N(Cc1ccccc1)C(=O)CCc1c[nH]nn1 ZINC000909745961 709603514 /nfs/dbraw/zinc/60/35/14/709603514.db2.gz FZBIZNRHVXZEFX-NSHDSACASA-N -1 1 302.334 1.239 20 0 DDADMM C[C@@H](C(=O)[O-])N(Cc1ccccc1)C(=O)CCc1cnn[nH]1 ZINC000909745961 709603517 /nfs/dbraw/zinc/60/35/17/709603517.db2.gz FZBIZNRHVXZEFX-NSHDSACASA-N -1 1 302.334 1.239 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)[C@@H](C(=O)[O-])C1 ZINC000909782073 709617629 /nfs/dbraw/zinc/61/76/29/709617629.db2.gz QKCXKQXSINKPOH-POYBYMJQSA-N -1 1 305.256 1.754 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc([C@@H]3CCCN3C)n[nH]2)[C@H](C(=O)[O-])C1 ZINC000909783553 709618740 /nfs/dbraw/zinc/61/87/40/709618740.db2.gz UHCJAZQLYXVBCE-SWHYSGLUSA-N -1 1 320.393 1.502 20 0 DDADMM CN(C(=O)[C@@H]1C[C@H]1c1cnc[nH]1)c1ccc(OCC(=O)[O-])cc1 ZINC000909785013 709619855 /nfs/dbraw/zinc/61/98/55/709619855.db2.gz MTYIJJTVDSJSSQ-CHWSQXEVSA-N -1 1 315.329 1.640 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccsn2)[n-]c1=O ZINC000889785178 709635143 /nfs/dbraw/zinc/63/51/43/709635143.db2.gz CFBHUVFEKXDPKF-SECBINFHSA-N -1 1 306.347 1.625 20 0 DDADMM CCC[C@@H](O)CC(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889789966 709637476 /nfs/dbraw/zinc/63/74/76/709637476.db2.gz LNZNRIYZNQBHIB-GHMZBOCLSA-N -1 1 309.366 1.405 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC[C@@H]2C[C@H]2c2ccccc2)C1 ZINC000909857828 709655422 /nfs/dbraw/zinc/65/54/22/709655422.db2.gz GJZKSGFUWIPREH-JYJNAYRXSA-N -1 1 316.401 1.703 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCC2CCC(F)CC2)C1 ZINC000909872106 709663240 /nfs/dbraw/zinc/66/32/40/709663240.db2.gz VHXONNYOCUZMRY-CPCZMJQVSA-N -1 1 300.374 1.428 20 0 DDADMM CCN(CC(=O)N(C)C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900584941 709663324 /nfs/dbraw/zinc/66/33/24/709663324.db2.gz VAHRIAFPUPTOOR-UHFFFAOYSA-N -1 1 319.336 1.630 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NCc1nc(C(=O)[O-])co1 ZINC000909884885 709669062 /nfs/dbraw/zinc/66/90/62/709669062.db2.gz OLMQDERBDPENNR-UHFFFAOYSA-N -1 1 317.345 1.164 20 0 DDADMM CSCC1(CCNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CC1 ZINC000909944804 709700687 /nfs/dbraw/zinc/70/06/87/709700687.db2.gz WNEFFDZTYFMDPE-GFCCVEGCSA-N -1 1 314.451 1.433 20 0 DDADMM CN(CC(=O)N1CCCCC[C@H]1C(=O)[O-])[C@H]1CCSC1 ZINC000910046277 709751131 /nfs/dbraw/zinc/75/11/31/709751131.db2.gz RCVKLRYPQGOZNR-RYUDHWBXSA-N -1 1 300.424 1.280 20 0 DDADMM C[N@H+]1CCCC[C@H]1C(=O)N1CCc2c(cccc2C(=O)[O-])C1 ZINC000910067232 709762643 /nfs/dbraw/zinc/76/26/43/709762643.db2.gz ARCRCEWIQNKWLH-HNNXBMFYSA-N -1 1 302.374 1.754 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CCc2c(cccc2C(=O)[O-])C1 ZINC000910067232 709762645 /nfs/dbraw/zinc/76/26/45/709762645.db2.gz ARCRCEWIQNKWLH-HNNXBMFYSA-N -1 1 302.374 1.754 20 0 DDADMM Cc1nn[nH]c1C(=O)N1[C@H](C(=O)[O-])CC[C@H]1c1ccccc1 ZINC000910099318 709772215 /nfs/dbraw/zinc/77/22/15/709772215.db2.gz KTHXNEFTBSQHHX-RYUDHWBXSA-N -1 1 300.318 1.544 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C/C2(C)CCOCC2)CC1 ZINC000910172266 709797349 /nfs/dbraw/zinc/79/73/49/709797349.db2.gz ADKGWFXTHKXRNV-RDFMZFSFSA-N -1 1 324.421 1.367 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000910207578 709815122 /nfs/dbraw/zinc/81/51/22/709815122.db2.gz AEKMYHKHEQFUGW-HNNXBMFYSA-N -1 1 320.364 1.893 20 0 DDADMM CCN(CC(=O)N1CCc2c(F)ccc(C(=O)[O-])c2C1)C1CC1 ZINC000910209012 709815943 /nfs/dbraw/zinc/81/59/43/709815943.db2.gz IOZBSPXEGZCFEY-UHFFFAOYSA-N -1 1 320.364 1.893 20 0 DDADMM COc1ccc([C@@H](C)NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000910243280 709835946 /nfs/dbraw/zinc/83/59/46/709835946.db2.gz AZHORWLMXROVDG-TZMCWYRMSA-N -1 1 320.389 1.669 20 0 DDADMM COc1cc(F)cc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000910245249 709837036 /nfs/dbraw/zinc/83/70/36/709837036.db2.gz ZYPDEGOVOOKVRJ-GFCCVEGCSA-N -1 1 324.352 1.247 20 0 DDADMM CN(CC(=O)N[C@](C)(CC(=O)[O-])C1CC1)[C@H]1CCSC1 ZINC000910319619 709888468 /nfs/dbraw/zinc/88/84/68/709888468.db2.gz UJDJBKXZTORROC-SMDDNHRTSA-N -1 1 300.424 1.183 20 0 DDADMM C[C@@H]1CN(C[C@@H]2CCCN2c2ccc(C(=O)[O-])nn2)C[C@@H](C)O1 ZINC000910353149 709907028 /nfs/dbraw/zinc/90/70/28/709907028.db2.gz XRLLBUBLMUTEFO-UPJWGTAASA-N -1 1 320.393 1.253 20 0 DDADMM O=C(N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1)c1cc(F)ccc1[O-] ZINC000890532182 709908332 /nfs/dbraw/zinc/90/83/32/709908332.db2.gz UZJAMIOCIRTTQV-SNVBAGLBSA-N -1 1 318.308 1.036 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)CCc2cnc[nH]2)C[C@H]1C(F)(F)F ZINC000910393378 709921587 /nfs/dbraw/zinc/92/15/87/709921587.db2.gz WJOBXKAUEHSMCK-RKDXNWHRSA-N -1 1 305.256 1.064 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CCO[C@@H](CF)C1 ZINC000910404013 709926004 /nfs/dbraw/zinc/92/60/04/709926004.db2.gz XHAPHXJCUDBNJK-VIFPVBQESA-N -1 1 308.284 1.890 20 0 DDADMM CNC(=O)[C@@H]1CCCCN1Cc1ccc(O[C@H](C)C(=O)[O-])cc1 ZINC000901434598 710038820 /nfs/dbraw/zinc/03/88/20/710038820.db2.gz WPZHAEJFSHKHPV-DOMZBBRYSA-N -1 1 320.389 1.639 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1COC[C@H]1C1CC1 ZINC000891057171 710074630 /nfs/dbraw/zinc/07/46/30/710074630.db2.gz NMNMVOIZWUDUMI-RYUDHWBXSA-N -1 1 319.405 1.943 20 0 DDADMM O=C(N[C@H](CO)[C@H](O)C1CCCCC1)c1c([O-])cccc1F ZINC000913049342 713154380 /nfs/dbraw/zinc/15/43/80/713154380.db2.gz QXULCYCFGSDURS-IUODEOHRSA-N -1 1 311.353 1.563 20 0 DDADMM Cn1ccc2ccc([N-]S(=O)(=O)N=S(C)(C)=O)cc21 ZINC000901609537 710093987 /nfs/dbraw/zinc/09/39/87/710093987.db2.gz PZAYSTOGYLJOQF-UHFFFAOYSA-N -1 1 301.393 1.563 20 0 DDADMM CC[C@H](C)C(=O)CSc1nc([O-])cc(=O)n1CCOC ZINC000901654379 710109711 /nfs/dbraw/zinc/10/97/11/710109711.db2.gz PCGSSDHZTYRZEI-VIFPVBQESA-N -1 1 300.380 1.303 20 0 DDADMM CCn1c(CN[C@]2(CC(=O)[O-])CCOC2)nc2ccccc21 ZINC000901838661 710158272 /nfs/dbraw/zinc/15/82/72/710158272.db2.gz VCEMPGJEGXNYNZ-INIZCTEOSA-N -1 1 303.362 1.780 20 0 DDADMM CCn1nncc1CN[C@@H](C(=O)[O-])c1ccc(OC)c(F)c1 ZINC000901853293 710160230 /nfs/dbraw/zinc/16/02/30/710160230.db2.gz KJTUWLPQZHIRAC-CYBMUJFWSA-N -1 1 308.313 1.361 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(Cc2cn3cccc(F)c3n2)C1 ZINC000901866028 710162445 /nfs/dbraw/zinc/16/24/45/710162445.db2.gz SLEBTLSTJMYKJO-MRXNPFEDSA-N -1 1 321.352 1.787 20 0 DDADMM COc1nccnc1CN[C@@H](Cc1cccc(Cl)c1)C(=O)[O-] ZINC000901868342 710163060 /nfs/dbraw/zinc/16/30/60/710163060.db2.gz LJGONRYWHYRSRG-LBPRGKRZSA-N -1 1 321.764 1.924 20 0 DDADMM C[C@@H]1CN(Cc2cnnn2-c2ccccc2)CC[C@@H]1C(=O)[O-] ZINC000901890238 710166252 /nfs/dbraw/zinc/16/62/52/710166252.db2.gz FLLMKNFXACHJKT-DOMZBBRYSA-N -1 1 300.362 1.810 20 0 DDADMM CCc1nn(C)cc1CN1C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C1 ZINC000901968041 710188670 /nfs/dbraw/zinc/18/86/70/710188670.db2.gz PXOVUYCOOMCJPI-UWVGGRQHSA-N -1 1 305.300 1.677 20 0 DDADMM CC(C)N1C(=O)CC[C@H]1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891616764 710249731 /nfs/dbraw/zinc/24/97/31/710249731.db2.gz ZWNVGFNBJIUOJY-LBPRGKRZSA-N -1 1 318.377 1.399 20 0 DDADMM CN1CCOC[C@H]1CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000913154795 713172381 /nfs/dbraw/zinc/17/23/81/713172381.db2.gz JIMIMQVMNYMPPR-SNVBAGLBSA-N -1 1 319.336 1.140 20 0 DDADMM CN(C[C@H]1C[C@@H](O)C1)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000913175913 713176963 /nfs/dbraw/zinc/17/69/63/713176963.db2.gz ZCQZKYUZCBWHGP-AOOOYVTPSA-N -1 1 304.321 1.922 20 0 DDADMM COCc1nc(N2Cc3ccc(C(=O)OC)cc3C2)cc(=O)[n-]1 ZINC000892397899 710429895 /nfs/dbraw/zinc/42/98/95/710429895.db2.gz SAOIKGQEYGZDEK-UHFFFAOYSA-N -1 1 315.329 1.635 20 0 DDADMM CNC(=O)c1cccc(CCNc2cc(=O)[n-]c(COC)n2)c1 ZINC000892644047 710475024 /nfs/dbraw/zinc/47/50/24/710475024.db2.gz AQJOFXKETXNVNT-UHFFFAOYSA-N -1 1 316.361 1.343 20 0 DDADMM COCc1nc(N2CC(=O)N(Cc3ccccc3)C2)cc(=O)[n-]1 ZINC000893040607 710545607 /nfs/dbraw/zinc/54/56/07/710545607.db2.gz GXLUDPWFCROHFU-UHFFFAOYSA-N -1 1 314.345 1.135 20 0 DDADMM COCc1nc(N[C@H](CO)Cc2ccccc2C)cc(=O)[n-]1 ZINC000893408853 710641761 /nfs/dbraw/zinc/64/17/61/710641761.db2.gz MCNLAGMEXCBSRM-ZDUSSCGKSA-N -1 1 303.362 1.653 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1CC[C@@H]1C(=O)[O-])c1ccc(F)cc1 ZINC000911192385 710677382 /nfs/dbraw/zinc/67/73/82/710677382.db2.gz DBXAUDGWHIMMJY-HZSPNIEDSA-N -1 1 308.353 1.655 20 0 DDADMM COCc1nc(NCc2ccc(OC(F)F)cn2)cc(=O)[n-]1 ZINC000893540094 710696868 /nfs/dbraw/zinc/69/68/68/710696868.db2.gz OURPSBQMZUWTJH-UHFFFAOYSA-N -1 1 312.276 1.937 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC000902304430 710706769 /nfs/dbraw/zinc/70/67/69/710706769.db2.gz CGMCFIXXBAYTAW-HNNXBMFYSA-N -1 1 309.366 1.405 20 0 DDADMM O=C([O-])C12CCC(C(=O)N[C@@H]3CCc4[nH]cnc4C3)(CC1)C2 ZINC000911392473 710776303 /nfs/dbraw/zinc/77/63/03/710776303.db2.gz SDMRJAMKNRCMMF-CPONVNJPSA-N -1 1 303.362 1.418 20 0 DDADMM CCCCNC(=O)[C@H]1CC[C@H](C)N(Cc2cc(C(=O)[O-])no2)C1 ZINC000902513403 710776482 /nfs/dbraw/zinc/77/64/82/710776482.db2.gz WLKPGKUKLBDBGZ-RYUDHWBXSA-N -1 1 323.393 1.890 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)/C=C\c1cc(C(=O)[O-])co1 ZINC000911409656 710786218 /nfs/dbraw/zinc/78/62/18/710786218.db2.gz MPZDNSFKGPHPAS-ZRUQZJFASA-N -1 1 306.362 1.790 20 0 DDADMM C[C@@H]1CN(Cc2cn(-c3ccccc3)nn2)C[C@H]1CC(=O)[O-] ZINC000902558573 710794430 /nfs/dbraw/zinc/79/44/30/710794430.db2.gz SZWFLOHKCKEMKD-CHWSQXEVSA-N -1 1 300.362 1.810 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2C[C@@H]2Cc2ccccc2)C1 ZINC000911445353 710802384 /nfs/dbraw/zinc/80/23/84/710802384.db2.gz STGYSWNEWSNVHI-HRCADAONSA-N -1 1 316.401 1.530 20 0 DDADMM CCCO[N-]C(=O)[C@@H](C)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC000911552953 710849534 /nfs/dbraw/zinc/84/95/34/710849534.db2.gz QOSJBAUWTAHVSS-WDEREUQCSA-N -1 1 304.387 1.308 20 0 DDADMM O=C([O-])c1cccc(C(=O)N[C@@H]2CCCN3CCSC[C@@H]23)c1 ZINC000902817865 710914563 /nfs/dbraw/zinc/91/45/63/710914563.db2.gz FGSRKUIBCVFBQP-KGLIPLIRSA-N -1 1 320.414 1.694 20 0 DDADMM Cc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c2c1CCC2 ZINC000913497017 713238834 /nfs/dbraw/zinc/23/88/34/713238834.db2.gz GYPLFVXYLJPDJS-AWEZNQCLSA-N -1 1 313.361 1.211 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C(=O)NC1CC1)c1ccccc1 ZINC000903711704 711250079 /nfs/dbraw/zinc/25/00/79/711250079.db2.gz HXKHDMZQXSBZNZ-INIZCTEOSA-N -1 1 320.389 1.429 20 0 DDADMM COCc1nc(NCC(C)(C)C(=O)N2CCCC2)cc(=O)[n-]1 ZINC000894772249 711266607 /nfs/dbraw/zinc/26/66/07/711266607.db2.gz GNRKYSLHQBAFKJ-UHFFFAOYSA-N -1 1 308.382 1.389 20 0 DDADMM O=C([O-])c1cccc2c1OCCN(C[C@@H]1C[C@H]3COC[C@@H]3O1)C2 ZINC000903926693 711335573 /nfs/dbraw/zinc/33/55/73/711335573.db2.gz FETDYXJGDITESD-YDHLFZDLSA-N -1 1 319.357 1.383 20 0 DDADMM COC(=O)[C@H]1CSCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000895083342 711401053 /nfs/dbraw/zinc/40/10/53/711401053.db2.gz DDWXPPTVTGGVLA-SNVBAGLBSA-N -1 1 315.778 1.776 20 0 DDADMM CC(C)[C@H](N[C@H]1C[C@@H](NC(=O)[O-])C12CCC2)c1nncn1C ZINC000904240811 711416158 /nfs/dbraw/zinc/41/61/58/711416158.db2.gz XOGRGNXZUJXPRM-TUAOUCFPSA-N -1 1 307.398 1.681 20 0 DDADMM c1ccc(-n2[n-]nnc2=N[C@H]2CCCN3CCSC[C@H]23)cc1 ZINC000895571462 711546269 /nfs/dbraw/zinc/54/62/69/711546269.db2.gz GLEYFXVRPQNJKT-UONOGXRCSA-N -1 1 316.434 1.076 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000896264631 711698293 /nfs/dbraw/zinc/69/82/93/711698293.db2.gz HYOAFMKHILPLFT-OPQQBVKSSA-N -1 1 318.377 1.243 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1F ZINC000913743211 713292107 /nfs/dbraw/zinc/29/21/07/713292107.db2.gz KYQBBLQXHKKQEJ-UHFFFAOYSA-N -1 1 318.356 1.425 20 0 DDADMM O=C(c1ccn(-c2ccccc2)n1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743434 713292473 /nfs/dbraw/zinc/29/24/73/713292473.db2.gz VWGSWFVFQNIKLW-UHFFFAOYSA-N -1 1 323.360 1.405 20 0 DDADMM Cc1nc(CC(=O)N2CCC(c3nn[n-]n3)CC2)c(C)s1 ZINC000913743945 713292521 /nfs/dbraw/zinc/29/25/21/713292521.db2.gz SNTICLXLPGTQCG-UHFFFAOYSA-N -1 1 306.395 1.222 20 0 DDADMM O=C(C=CC=C(Cl)Cl)N1CCC(c2nn[n-]n2)CC1 ZINC000913745383 713293527 /nfs/dbraw/zinc/29/35/27/713293527.db2.gz BIBKDPRWIOCKTG-IWQZZHSRSA-N -1 1 302.165 1.781 20 0 DDADMM CC[C@H](CNC(=O)[C@H](N)c1ccc(C(F)(F)F)cc1)C(=O)[O-] ZINC000905289341 711994085 /nfs/dbraw/zinc/99/40/85/711994085.db2.gz JIKVMEAZLDWLRH-LDYMZIIASA-N -1 1 318.295 1.932 20 0 DDADMM O=C([C@@H]1C[C@H]1c1cccc(O)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746433 713294387 /nfs/dbraw/zinc/29/43/87/713294387.db2.gz SYITZAPZSPHRTA-UONOGXRCSA-N -1 1 313.361 1.415 20 0 DDADMM CCn1nc(C2CC2)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000907103527 712488058 /nfs/dbraw/zinc/48/80/58/712488058.db2.gz GOSVCVXFDOIHBY-LLVKDONJSA-N -1 1 315.381 1.313 20 0 DDADMM C[C@@H](CN(C)C(=O)C(C)(C)Nc1ccccc1)c1nn[n-]n1 ZINC000907350542 712550024 /nfs/dbraw/zinc/55/00/24/712550024.db2.gz QWSBTUVYXSSRJU-NSHDSACASA-N -1 1 302.382 1.652 20 0 DDADMM O=C(CC1CCSCC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477172 712582469 /nfs/dbraw/zinc/58/24/69/712582469.db2.gz RYEZDQVPPVAIRE-JTQLQIEISA-N -1 1 313.452 1.350 20 0 DDADMM Cc1ccc([C@@H](C)C(=O)N2CCSC[C@@H]2c2nn[n-]n2)o1 ZINC000907477878 712582740 /nfs/dbraw/zinc/58/27/40/712582740.db2.gz VJDUMPFCMCAPJQ-NXEZZACHSA-N -1 1 307.379 1.521 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480409 712583634 /nfs/dbraw/zinc/58/36/34/712583634.db2.gz OYYILQAILABFFD-SFYZADRCSA-N -1 1 323.344 1.795 20 0 DDADMM O=C(C=CC=C(Cl)Cl)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481605 712584130 /nfs/dbraw/zinc/58/41/30/712584130.db2.gz JJOPDTNPMWLFQN-RCYFRSRISA-N -1 1 320.205 1.691 20 0 DDADMM C[C@@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)[C@H]1CO ZINC000907631966 712606084 /nfs/dbraw/zinc/60/60/84/712606084.db2.gz IRLWJKXOJYXMEG-SKDRFNHKSA-N -1 1 304.321 1.922 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2c[nH]c3ccccc23)C1 ZINC000907884719 712641523 /nfs/dbraw/zinc/64/15/23/712641523.db2.gz HIHWVIWAQXEJKA-NSHDSACASA-N -1 1 301.346 1.903 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2C[C@H](NC(=O)[C@H]3CCc4nc[nH]c4C3)[C@@H]2C1 ZINC000907956369 712654887 /nfs/dbraw/zinc/65/48/87/712654887.db2.gz BCLAJOXIVWIFFJ-KSLZLVKMSA-N -1 1 303.362 1.130 20 0 DDADMM O=C([O-])C[C@@H](Cc1ccccc1)NC(=O)c1[nH]nc2c1CCC2 ZINC000908228679 712714680 /nfs/dbraw/zinc/71/46/80/712714680.db2.gz HRPLVNKFMCZOFW-GFCCVEGCSA-N -1 1 313.357 1.714 20 0 DDADMM C[C@@H](C(=O)[N-]OCC1CC1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC000908382065 712757180 /nfs/dbraw/zinc/75/71/80/712757180.db2.gz FRKNHIYVIMLLAJ-ZYHUDNBSSA-N -1 1 316.398 1.308 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1C[C@@]2(CCCO2)[C@H]1C1CC1 ZINC000908449952 712776418 /nfs/dbraw/zinc/77/64/18/712776418.db2.gz GBQWTOPBNOLPCO-DYVFJYSZSA-N -1 1 315.373 1.843 20 0 DDADMM CC1CCN(CC(=O)NCCc2ccc(C(=O)[O-])cc2)CC1 ZINC000908601913 712818980 /nfs/dbraw/zinc/81/89/80/712818980.db2.gz GLQUFUUIEYOOGN-UHFFFAOYSA-N -1 1 304.390 1.775 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C12CCC2 ZINC000908825771 712863003 /nfs/dbraw/zinc/86/30/03/712863003.db2.gz SIZHBGVUNJOAMU-MGPQQGTHSA-N -1 1 324.421 1.247 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccco1)c1noc(C(F)(F)F)n1 ZINC000916399626 713453373 /nfs/dbraw/zinc/45/33/73/713453373.db2.gz UJHZKVJXNQPMNG-YFKPBYRVSA-N -1 1 311.241 1.721 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)CC1(F)CC1)c1nc(C(F)(F)F)no1 ZINC000916478016 713455397 /nfs/dbraw/zinc/45/53/97/713455397.db2.gz CIQSYUICIIFPSZ-RXMQYKEDSA-N -1 1 317.264 1.571 20 0 DDADMM CC[C@H](NC(=O)CCCc1nn[n-]n1)c1cc(F)ccc1OC ZINC000928779221 713481858 /nfs/dbraw/zinc/48/18/58/713481858.db2.gz HCXNLEUOTMMPON-LBPRGKRZSA-N -1 1 321.356 1.938 20 0 DDADMM Cc1[n-]n(-c2ncnc3c2cnn3-c2ccccc2)c(=O)c1F ZINC000917346063 713493650 /nfs/dbraw/zinc/49/36/50/713493650.db2.gz ZDWCZOSGPLWBFY-LBPRGKRZSA-N -1 1 310.292 1.876 20 0 DDADMM Cc1cc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)n[nH]1 ZINC000919551978 713614091 /nfs/dbraw/zinc/61/40/91/713614091.db2.gz TXMPOTGOVAMELO-UHFFFAOYSA-N -1 1 300.270 1.887 20 0 DDADMM Cc1cc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)nn1C ZINC000919588776 713617924 /nfs/dbraw/zinc/61/79/24/713617924.db2.gz IPYDLVUAUUJYCD-UHFFFAOYSA-N -1 1 314.297 1.898 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC(N2CCNC2=O)CC1 ZINC000920456300 713671273 /nfs/dbraw/zinc/67/12/73/713671273.db2.gz HZKFTKGJLZFOQI-UHFFFAOYSA-N -1 1 323.780 1.675 20 0 DDADMM C[C@H](CN1CC(=O)N[C@H]2CCCC[C@H]21)C(=O)c1ccc([O-])cc1 ZINC000929829799 713699575 /nfs/dbraw/zinc/69/95/75/713699575.db2.gz YIEMIVNQZSKGIG-UHOFOFEASA-N -1 1 316.401 1.954 20 0 DDADMM CC(=O)N1CCN(C[C@@H](C)C(=O)c2ccc([O-])cc2)[C@@H](C)C1 ZINC000929840388 713703293 /nfs/dbraw/zinc/70/32/93/713703293.db2.gz BZZPKQXXLIGRMX-OLZOCXBDSA-N -1 1 304.390 1.764 20 0 DDADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)CC1CC2(CCC2)C1 ZINC000921380063 713749386 /nfs/dbraw/zinc/74/93/86/713749386.db2.gz BZVVBEJVKLBIGI-LBPRGKRZSA-N -1 1 319.423 1.064 20 0 DDADMM Cn1ccc(CNC(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC000921724215 713854581 /nfs/dbraw/zinc/85/45/81/713854581.db2.gz IESPLCYMWVOCTJ-UHFFFAOYSA-N -1 1 310.304 1.446 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000921976382 713926227 /nfs/dbraw/zinc/92/62/27/713926227.db2.gz NRNVRJVHDVPEKU-XCBNKYQSSA-N -1 1 321.757 1.709 20 0 DDADMM COC(=O)[C@@H]1CN(C[C@@H](C)C(=O)c2ccc([O-])cc2)C[C@H](C)O1 ZINC000930855320 713938122 /nfs/dbraw/zinc/93/81/22/713938122.db2.gz DSXDZZBEULKOBH-XUJVJEKNSA-N -1 1 321.373 1.473 20 0 DDADMM O=C(NCCOCCF)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932059897 714248952 /nfs/dbraw/zinc/24/89/52/714248952.db2.gz LZNJLONDRNVPDU-UHFFFAOYSA-N -1 1 308.313 1.686 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000923154733 714256771 /nfs/dbraw/zinc/25/67/71/714256771.db2.gz FDBDDLAUQUPSSD-RNFRBKRXSA-N -1 1 305.256 1.754 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N[C@@H]1C[C@H](C(=O)[O-])[C@H]3C[C@H]31)C2 ZINC000923192019 714266713 /nfs/dbraw/zinc/26/67/13/714266713.db2.gz GKEHPNSDTBERDM-XPORZQOISA-N -1 1 303.362 1.048 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@@H]1C[C@H](C(=O)[O-])[C@H]2C[C@H]21 ZINC000923194394 714267816 /nfs/dbraw/zinc/26/78/16/714267816.db2.gz NKVWYTGJWWWASQ-FKSUSPILSA-N -1 1 317.267 1.576 20 0 DDADMM Cc1ccccc1[C@@H](C)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000932281703 714299913 /nfs/dbraw/zinc/29/99/13/714299913.db2.gz BVFQNNYCLLDHAP-DGCLKSJQSA-N -1 1 301.350 1.212 20 0 DDADMM COC(=O)[C@H](C[C@H]1CCCO1)NC(=O)c1ccc([O-])c(F)c1 ZINC000924871851 714684288 /nfs/dbraw/zinc/68/42/88/714684288.db2.gz ZLQWYFWXHXYGRP-PWSUYJOCSA-N -1 1 311.309 1.372 20 0 DDADMM COC(=O)/C(C)=C/CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934271594 714782685 /nfs/dbraw/zinc/78/26/85/714782685.db2.gz CLPSDXKNWKQXNM-CDCCAWJDSA-N -1 1 307.350 1.447 20 0 DDADMM COc1cnc([C@H]2CCCN2CCOC[C@@H]2CCCO2)[n-]c1=O ZINC000934275963 714784184 /nfs/dbraw/zinc/78/41/84/714784184.db2.gz JIGDPJBPTUPTPT-QWHCGFSZSA-N -1 1 323.393 1.523 20 0 DDADMM CC(C)S(=O)(=O)C=C([O-])N=[S@](C)(=O)C1CCCCC1 ZINC000926007195 714987788 /nfs/dbraw/zinc/98/77/88/714987788.db2.gz LHPYXIJXICELAP-GOSISDBHSA-N -1 1 309.453 1.767 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1C ZINC000935792853 715128751 /nfs/dbraw/zinc/12/87/51/715128751.db2.gz CLBFQITXAIJRLS-LLVKDONJSA-N -1 1 302.334 1.807 20 0 DDADMM CN(C(=O)C(C)(C)F)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937212824 715293193 /nfs/dbraw/zinc/29/31/93/715293193.db2.gz ZMFNPAIQYXNTRD-JTQLQIEISA-N -1 1 309.341 1.208 20 0 DDADMM CC[C@H](C)C(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956343153 715411953 /nfs/dbraw/zinc/41/19/53/715411953.db2.gz FCNALQZPFUFFDT-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CN(C(=O)[C@@H]1CCC1(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955044005 715594465 /nfs/dbraw/zinc/59/44/65/715594465.db2.gz RKDJSDJFWLKRAM-LBPRGKRZSA-N -1 1 317.389 1.506 20 0 DDADMM CCC(CC)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937861459 715617362 /nfs/dbraw/zinc/61/73/62/715617362.db2.gz SEMZGEFUOBVMAI-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM CC(C)(C)CC(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956848528 715635775 /nfs/dbraw/zinc/63/57/75/715635775.db2.gz BYROFRLIMCBIJD-KRWDZBQOSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956852361 715638889 /nfs/dbraw/zinc/63/88/89/715638889.db2.gz VLDSOMBPIPNNSL-ZUZCIYMTSA-N -1 1 309.341 1.256 20 0 DDADMM C[C@H](C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000938159522 715740112 /nfs/dbraw/zinc/74/01/12/715740112.db2.gz MOISQRWKOMDADU-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@]1(NC(=O)[C@@H]2CC23CC3)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957722936 716016966 /nfs/dbraw/zinc/01/69/66/716016966.db2.gz TWQVYLOFOHCSEI-MEDUHNTESA-N -1 1 315.373 1.308 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC000939065537 716111221 /nfs/dbraw/zinc/11/12/21/716111221.db2.gz RQIAYSBXTSDHQU-WHOHXGKFSA-N -1 1 303.362 1.020 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939832066 716450657 /nfs/dbraw/zinc/45/06/57/716450657.db2.gz CMNWRZNEXFADFI-JHJVBQTASA-N -1 1 317.389 1.506 20 0 DDADMM CCC1(C(=O)N2C[C@@H](C)[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000958576031 716635155 /nfs/dbraw/zinc/63/51/55/716635155.db2.gz PLUSQAAVGHOWBB-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC000940130351 716641226 /nfs/dbraw/zinc/64/12/26/716641226.db2.gz MUPANUPSSUEYSZ-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM CC(F)(F)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940138992 716646507 /nfs/dbraw/zinc/64/65/07/716646507.db2.gz LLIVPMDMAFNPKV-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM CSCC(=O)N1CC[C@@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959043211 716842083 /nfs/dbraw/zinc/84/20/83/716842083.db2.gz VNHNOYGGPYLLPV-WDEREUQCSA-N -1 1 323.418 1.117 20 0 DDADMM C[C@@H](NC(=O)C1CC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000959131329 716878690 /nfs/dbraw/zinc/87/86/90/716878690.db2.gz BGCYSGBPZDQQND-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940831463 716980693 /nfs/dbraw/zinc/98/06/93/716980693.db2.gz BLTLRMKLLHOOKE-CYBMUJFWSA-N -1 1 323.368 1.456 20 0 DDADMM CC(C)C(=O)N[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000943629953 718163800 /nfs/dbraw/zinc/16/38/00/718163800.db2.gz LINDFDPDUUGKHB-HAQNSBGRSA-N -1 1 305.378 1.600 20 0 DDADMM CCCC(=O)N1CCC[C@@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000945564266 718494318 /nfs/dbraw/zinc/49/43/18/718494318.db2.gz AEPGMKSOESZETF-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CCCC(=O)N1CCC[C@H](C)[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000945720277 718545788 /nfs/dbraw/zinc/54/57/88/718545788.db2.gz NLAJXKSJEGUNEJ-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)C(=O)N1CC[C@H]2[C@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945884869 718604508 /nfs/dbraw/zinc/60/45/08/718604508.db2.gz GUMJGVKREGHRCV-OLZOCXBDSA-N -1 1 317.389 1.506 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946532888 718820739 /nfs/dbraw/zinc/82/07/39/718820739.db2.gz HGLDBBJIBYNGFZ-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccco2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000947187410 719065737 /nfs/dbraw/zinc/06/57/37/719065737.db2.gz NBWVQPIVBNUXSD-GHMZBOCLSA-N -1 1 315.329 1.271 20 0 DDADMM CC[C@@H](F)C(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC000967902667 719080393 /nfs/dbraw/zinc/08/03/93/719080393.db2.gz AMNRYUYSBQIJBF-LLVKDONJSA-N -1 1 309.341 1.208 20 0 DDADMM CC1(C(=O)N2C[C@H]3CC[C@@H](C2)N3C(=O)c2ncccc2[O-])CC1 ZINC000968885993 719843649 /nfs/dbraw/zinc/84/36/49/719843649.db2.gz ADRSTIBORUZKIU-TXEJJXNPSA-N -1 1 315.373 1.403 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)C2CN(Cc3ccsc3)C2)c1[O-] ZINC000969500697 720162776 /nfs/dbraw/zinc/16/27/76/720162776.db2.gz UZFJYKAUHHYBKD-VIFPVBQESA-N -1 1 320.418 1.736 20 0 DDADMM Cc1cc(CN2CC([C@@H](C)NC(=O)c3ncccc3[O-])C2)no1 ZINC000969546695 720183633 /nfs/dbraw/zinc/18/36/33/720183633.db2.gz PRYDMPVUYJAIIG-LLVKDONJSA-N -1 1 316.361 1.334 20 0 DDADMM CCC(=O)N1CCC[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000952902738 721522024 /nfs/dbraw/zinc/52/20/24/721522024.db2.gz JIYQVHLQUFSIGZ-QGZVFWFLSA-N -1 1 317.389 1.652 20 0 DDADMM CCC(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000953233718 721572568 /nfs/dbraw/zinc/57/25/68/721572568.db2.gz SMGRTHYPYIGIBH-RYUDHWBXSA-N -1 1 303.362 1.260 20 0 DDADMM CC(C)C(=O)N(C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953766425 721655136 /nfs/dbraw/zinc/65/51/36/721655136.db2.gz CDIXSXGEPLLNJE-UHFFFAOYSA-N -1 1 305.378 1.506 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC2(C)C)C1 ZINC000954123855 721723249 /nfs/dbraw/zinc/72/32/49/721723249.db2.gz ASVNRWZYSTXXNC-LLVKDONJSA-N -1 1 303.362 1.116 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CC2CCC2)C1 ZINC000954124139 721723551 /nfs/dbraw/zinc/72/35/51/721723551.db2.gz MTLHUCOKXOPTIP-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM CCC1(C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)CCC1 ZINC000954127808 721725069 /nfs/dbraw/zinc/72/50/69/721725069.db2.gz HEXRVYUEEOFGIH-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CCCC(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001020671889 732619616 /nfs/dbraw/zinc/61/96/16/732619616.db2.gz RQWMJUUANKDPQF-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM O=C1O[C@H](c2ccccc2)CC1=C([O-])N=S1(=O)CCOCC1 ZINC000891368265 733578072 /nfs/dbraw/zinc/57/80/72/733578072.db2.gz CUTQZIDRDKXFKH-STQMWFEESA-N -1 1 323.370 1.316 20 0 DDADMM COc1cnc(F)c([N-]S(=O)(=O)c2ccc(C)c(N)c2)c1 ZINC001216408689 734730495 /nfs/dbraw/zinc/73/04/95/734730495.db2.gz HRJMYGIOGKIGGW-UHFFFAOYSA-N -1 1 311.338 1.921 20 0 DDADMM Cc1ccc(F)c(CN(C)c2nnc(-c3nnn[n-]3)n2C)c1 ZINC001121360492 782471912 /nfs/dbraw/zinc/47/19/12/782471912.db2.gz YTOSRZHVRNQCDE-UHFFFAOYSA-N -1 1 302.317 1.079 20 0 DDADMM Cc1ccc(F)c(CN(C)c2nnc(-c3nn[n-]n3)n2C)c1 ZINC001121360492 782471918 /nfs/dbraw/zinc/47/19/18/782471918.db2.gz YTOSRZHVRNQCDE-UHFFFAOYSA-N -1 1 302.317 1.079 20 0 DDADMM CCc1nsc(NC[C@H](C)N(C)C(=O)c2ncccc2[O-])n1 ZINC001104536888 736203829 /nfs/dbraw/zinc/20/38/29/736203829.db2.gz WXBCTLMZNWBLBS-VIFPVBQESA-N -1 1 321.406 1.774 20 0 DDADMM CCC(C)(CC)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025164652 736333958 /nfs/dbraw/zinc/33/39/58/736333958.db2.gz BMSUBKUXDHVSAB-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM CC/C=C(/C)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075808246 740600861 /nfs/dbraw/zinc/60/08/61/740600861.db2.gz KWMVYAGEZFGQEX-DSDFTUOUSA-N -1 1 305.378 1.768 20 0 DDADMM CCC(CC)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001012319400 740617495 /nfs/dbraw/zinc/61/74/95/740617495.db2.gz FKCUBSIBOQSVGN-WCQYABFASA-N -1 1 319.405 1.943 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2ccoc2)CC1 ZINC001029935188 741605046 /nfs/dbraw/zinc/60/50/46/741605046.db2.gz SFZFJVBYNMJWGO-UHFFFAOYSA-N -1 1 319.365 1.088 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001029990312 741671990 /nfs/dbraw/zinc/67/19/90/741671990.db2.gz JMBRYZDDEGDIGO-AAEUAGOBSA-N -1 1 321.425 1.227 20 0 DDADMM C[C@@]1(CO)CN(Cc2ccc(Cl)cc2C(=O)[O-])CC[C@@H]1O ZINC001142647082 742480232 /nfs/dbraw/zinc/48/02/32/742480232.db2.gz NDONLZJZIIWSTR-ZFWWWQNUSA-N -1 1 313.781 1.603 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C(=O)CCc1ccccc1 ZINC001180964455 743001172 /nfs/dbraw/zinc/00/11/72/743001172.db2.gz KUSXIEWEDZRPPH-UHFFFAOYSA-N -1 1 316.273 1.426 20 0 DDADMM Cc1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)ccc1O ZINC001168696565 743210852 /nfs/dbraw/zinc/21/08/52/743210852.db2.gz XRAYWYNHWCMCBJ-CQSZACIVSA-N -1 1 309.329 1.733 20 0 DDADMM CN(C)c1nc(NC(=O)[C@@H]2C[C@@]2(F)Cl)c(N=O)c(=O)[n-]1 ZINC001181659781 743289632 /nfs/dbraw/zinc/28/96/32/743289632.db2.gz ONIMUZOKIXVNRQ-MFXDVPHUSA-N -1 1 303.681 1.509 20 0 DDADMM Cc1c(F)cccc1CC(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001181710891 743304379 /nfs/dbraw/zinc/30/43/79/743304379.db2.gz RTERLKNHVPOUAK-UHFFFAOYSA-N -1 1 301.281 1.687 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)[C@H]1CCc2ccccc21 ZINC001181761953 743332405 /nfs/dbraw/zinc/33/24/05/743332405.db2.gz ARCYFCJSCAKZOM-VIFPVBQESA-N -1 1 311.301 1.384 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C(F)F)CCCN1C(=O)c1ncccc1[O-] ZINC001014660585 743431911 /nfs/dbraw/zinc/43/19/11/743431911.db2.gz FMADJHBACVKERO-IUCAKERBSA-N -1 1 313.304 1.162 20 0 DDADMM CC(C)N1CC[C@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC001182830041 743734341 /nfs/dbraw/zinc/73/43/41/743734341.db2.gz NWLYYIPEPPFDBQ-QMMMGPOBSA-N -1 1 314.183 1.971 20 0 DDADMM COc1ccc(C(=O)C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001183358157 743822182 /nfs/dbraw/zinc/82/21/82/743822182.db2.gz HJWUMXOAOZDUCQ-UHFFFAOYSA-N -1 1 318.245 1.116 20 0 DDADMM Cc1cc(CN2CC(NC(=O)c3ncccc3[O-])C2)cs1 ZINC001030241798 743977382 /nfs/dbraw/zinc/97/73/82/743977382.db2.gz MIYCHXDGPQZNRV-UHFFFAOYSA-N -1 1 303.387 1.771 20 0 DDADMM CC(C)c1ocnc1CN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001030242627 743978513 /nfs/dbraw/zinc/97/85/13/743978513.db2.gz WGAFGVLRQITYHB-UHFFFAOYSA-N -1 1 316.361 1.513 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCCOCC1CC1 ZINC001184892741 744116031 /nfs/dbraw/zinc/11/60/31/744116031.db2.gz RSXACBUUWRUXKB-UHFFFAOYSA-N -1 1 306.409 1.247 20 0 DDADMM Cc1ccc(CNC(=O)Nc2cc(=O)[n-]c(N(C)C)n2)cc1 ZINC001185369569 744217611 /nfs/dbraw/zinc/21/76/11/744217611.db2.gz RXMRPCRFVPJQCN-UHFFFAOYSA-N -1 1 301.350 1.878 20 0 DDADMM COC(=O)[C@](C)([N-]S(=O)(=O)c1ccsc1)C(F)(F)F ZINC001185412769 744226702 /nfs/dbraw/zinc/22/67/02/744226702.db2.gz ADVVINQBHHNFPW-QMMMGPOBSA-N -1 1 317.310 1.520 20 0 DDADMM COc1ccccc1CNC(=O)Nc1ccc(C(N)=O)c([O-])c1 ZINC001186143921 744350044 /nfs/dbraw/zinc/35/00/44/744350044.db2.gz QTYSTRWVLJVUFM-UHFFFAOYSA-N -1 1 315.329 1.821 20 0 DDADMM O=C(NCCn1nnc2ccccc21)c1ccc([O-])c(F)c1 ZINC001186237238 744362011 /nfs/dbraw/zinc/36/20/11/744362011.db2.gz RVJPUKVNIAGZKD-UHFFFAOYSA-N -1 1 300.293 1.706 20 0 DDADMM COCCOc1nccc(CNC(=O)c2ccc([O-])c(F)c2)n1 ZINC001186242857 744362610 /nfs/dbraw/zinc/36/26/10/744362610.db2.gz RISRVOBSEWGIMM-UHFFFAOYSA-N -1 1 321.308 1.277 20 0 DDADMM C[C@@H]1CN(C2CN(C(=O)c3ccc([O-])c(F)c3)C2)C[C@H](C)O1 ZINC001186220182 744366946 /nfs/dbraw/zinc/36/69/46/744366946.db2.gz ZOHNTUNNCBGKBU-PHIMTYICSA-N -1 1 308.353 1.465 20 0 DDADMM COc1nc(NC(=O)c2ccc([O-])cc2F)c(C)c(OC)n1 ZINC001186319552 744373950 /nfs/dbraw/zinc/37/39/50/744373950.db2.gz XIVDEYHTAJNSRD-UHFFFAOYSA-N -1 1 307.281 1.899 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(F)c(C(=O)OC)c1F ZINC001187287665 744534983 /nfs/dbraw/zinc/53/49/83/744534983.db2.gz OCVMDOYYZLGIIF-UHFFFAOYSA-N -1 1 323.317 1.530 20 0 DDADMM COC(=O)c1ccc(C[N-]S(=O)(=O)c2cnoc2C)cc1 ZINC001187391401 744562901 /nfs/dbraw/zinc/56/29/01/744562901.db2.gz PRKYJIDWNWZKPK-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM O=C1OC[C@@H]1[N-]S(=O)(=O)CCc1cccc2ccccc21 ZINC001187659345 744588573 /nfs/dbraw/zinc/58/85/73/744588573.db2.gz QUKMIJUSGVUKMG-AWEZNQCLSA-N -1 1 305.355 1.227 20 0 DDADMM CN(C)[C@H]1CCOc2c([N-]S(=O)(=O)c3c[nH]cn3)cccc21 ZINC001187769417 744598743 /nfs/dbraw/zinc/59/87/43/744598743.db2.gz QHKWOUUYRADWQC-LBPRGKRZSA-N -1 1 322.390 1.596 20 0 DDADMM O=C(Nc1cnc2c(c1)COCC2)c1n[n-]nc1C(F)(F)F ZINC001187757182 744605665 /nfs/dbraw/zinc/60/56/65/744605665.db2.gz YTWHADKDJFUOEI-UHFFFAOYSA-N -1 1 313.239 1.544 20 0 DDADMM Cc1nc(Cl)ncc1NC(=O)c1n[n-]nc1C(F)(F)F ZINC001187758746 744606003 /nfs/dbraw/zinc/60/60/03/744606003.db2.gz FZLGTBGPEHNXCO-UHFFFAOYSA-N -1 1 306.635 1.828 20 0 DDADMM O=C(Nc1ccc2[nH]c(=O)cnc2c1)c1n[n-]nc1C(F)(F)F ZINC001187766237 744607690 /nfs/dbraw/zinc/60/76/90/744607690.db2.gz LQAKWJSLFWXACN-UHFFFAOYSA-N -1 1 324.222 1.312 20 0 DDADMM COc1ccc(OCC[N-]S(=O)(=O)c2nccs2)cc1 ZINC001187909178 744628454 /nfs/dbraw/zinc/62/84/54/744628454.db2.gz VNPYJGMPSMPZJX-UHFFFAOYSA-N -1 1 314.388 1.509 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1cc(F)ccc1F)c1nccs1 ZINC001187923108 744635944 /nfs/dbraw/zinc/63/59/44/744635944.db2.gz FISGINPKTRZLRO-SNVBAGLBSA-N -1 1 320.342 1.433 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1nnsc1C1CC1 ZINC001188101436 744659946 /nfs/dbraw/zinc/65/99/46/744659946.db2.gz MKLRSXBJAOCTMX-UHFFFAOYSA-N -1 1 305.296 1.103 20 0 DDADMM O=C(NC1CN(c2ncnc3ccccc32)C1)c1ccncc1[O-] ZINC001188644376 744735120 /nfs/dbraw/zinc/73/51/20/744735120.db2.gz CLSZVUJOEVPTOU-UHFFFAOYSA-N -1 1 321.340 1.349 20 0 DDADMM COc1cccc(C2([N-]S(=O)(=O)c3ccoc3)COC2)c1 ZINC001188633123 744742786 /nfs/dbraw/zinc/74/27/86/744742786.db2.gz JWXXELGZLHAFPB-UHFFFAOYSA-N -1 1 309.343 1.492 20 0 DDADMM CSc1nnc([N-]C(=O)c2ccc(CO)o2)c(SC)n1 ZINC001189144739 744833762 /nfs/dbraw/zinc/83/37/62/744833762.db2.gz CYZCRLTXCMZAAQ-UHFFFAOYSA-N -1 1 312.376 1.653 20 0 DDADMM CCOC(C[N-]S(=O)(=O)Cc1cccc(F)c1F)OCC ZINC001189469255 744896215 /nfs/dbraw/zinc/89/62/15/744896215.db2.gz GCBBJTCPISLAIK-UHFFFAOYSA-N -1 1 323.361 1.783 20 0 DDADMM CCCc1nnsc1C(=O)[N-]c1ncn(C)c2ncnc1-2 ZINC001190150074 745116277 /nfs/dbraw/zinc/11/62/77/745116277.db2.gz AMIFWQIDBFPMLU-UHFFFAOYSA-N -1 1 303.351 1.371 20 0 DDADMM C[C@H](NC(=O)c1cnc(-c2ccccn2)[n-]c1=O)[C@@H]1CCCO1 ZINC001190637743 745282299 /nfs/dbraw/zinc/28/22/99/745282299.db2.gz LNSGGEBZUJUJFB-GWCFXTLKSA-N -1 1 314.345 1.542 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)c1C ZINC001191115545 745428228 /nfs/dbraw/zinc/42/82/28/745428228.db2.gz LCIJMVJAHNYXLM-UHFFFAOYSA-N -1 1 305.298 1.238 20 0 DDADMM COc1cc(C(=O)N2C[C@H](CO)C[C@H](F)C2)cc(Cl)c1[O-] ZINC001191138706 745443254 /nfs/dbraw/zinc/44/32/54/745443254.db2.gz KBVKPBHOGBVYLF-SCZZXKLOSA-N -1 1 317.744 1.847 20 0 DDADMM CSc1ncc(C(=O)NCc2ccc3n[nH]nc3c2)c(=O)[n-]1 ZINC001191436662 745512034 /nfs/dbraw/zinc/51/20/34/745512034.db2.gz GZJPDHRLAKOMQW-UHFFFAOYSA-N -1 1 316.346 1.105 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2COCc3ccccc32)c(=O)[n-]1 ZINC001191439582 745513066 /nfs/dbraw/zinc/51/30/66/745513066.db2.gz BCFPLKJJRQQSRX-LBPRGKRZSA-N -1 1 317.370 1.905 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NS(=O)(=O)CC1CCCC1 ZINC001191490255 745520850 /nfs/dbraw/zinc/52/08/50/745520850.db2.gz ZJSQZPCCPQPRBY-UHFFFAOYSA-N -1 1 318.380 1.801 20 0 DDADMM COc1cc(Br)c([O-])c(NC(=O)c2ncon2)c1 ZINC001192025800 745676583 /nfs/dbraw/zinc/67/65/83/745676583.db2.gz YEODJOTYLBQJSG-UHFFFAOYSA-N -1 1 314.095 1.799 20 0 DDADMM O=S(=O)([N-]CCc1nncs1)C(Cl)(Cl)Cl ZINC001192053334 745685685 /nfs/dbraw/zinc/68/56/85/745685685.db2.gz CDYMDLKRQBZDIK-UHFFFAOYSA-N -1 1 310.615 1.328 20 0 DDADMM O=C(NCC[P@@](=O)([O-])O)Nc1ccc(OC(F)F)cc1 ZINC001192195176 745714019 /nfs/dbraw/zinc/71/40/19/745714019.db2.gz PELWCCYMUNXNDI-UHFFFAOYSA-N -1 1 310.193 1.587 20 0 DDADMM CN1C(=O)CC[C@@H]2CN(C(=O)c3cc([O-])cc(F)c3F)CC[C@@H]21 ZINC001192665772 745868986 /nfs/dbraw/zinc/86/89/86/745868986.db2.gz WBHOASUNPZXYEO-RNCFNFMXSA-N -1 1 324.327 1.753 20 0 DDADMM O=C(NCc1ccc2[nH]nnc2c1)c1ccc([O-])c(F)c1F ZINC001192842851 745913484 /nfs/dbraw/zinc/91/34/84/745913484.db2.gz NIGKMJGVBMMGSG-UHFFFAOYSA-N -1 1 304.256 1.872 20 0 DDADMM Cc1nc2nc[nH]c2c(NC(=O)c2cc(F)c([O-])cc2F)n1 ZINC001192860315 745919571 /nfs/dbraw/zinc/91/95/71/745919571.db2.gz RHNJASFFRVBUJU-UHFFFAOYSA-N -1 1 305.244 1.897 20 0 DDADMM Cc1cc([N-]S(=O)(=O)C[C@@H]2CCCO2)cc2snnc21 ZINC001193275772 746041705 /nfs/dbraw/zinc/04/17/05/746041705.db2.gz WIOQODSXQKMFOH-JTQLQIEISA-N -1 1 313.404 1.920 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)c1ccncc1 ZINC001193341041 746069292 /nfs/dbraw/zinc/06/92/92/746069292.db2.gz FQLUCPMZBXBSAJ-VIFPVBQESA-N -1 1 313.329 1.837 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2nccc(OC)c2[O-])c[nH]1 ZINC001193525145 746133967 /nfs/dbraw/zinc/13/39/67/746133967.db2.gz WCVMIWKOFCPGFC-UHFFFAOYSA-N -1 1 305.290 1.553 20 0 DDADMM O=C(c1ccc(O)cc1C(F)(F)F)N1CC[N@H+]2CC[C@H]2C1 ZINC001193746276 746194939 /nfs/dbraw/zinc/19/49/39/746194939.db2.gz SEQMCYUHFFDAOX-VIFPVBQESA-N -1 1 300.280 1.941 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccccc2N)c(CO)c1 ZINC001193928332 746224125 /nfs/dbraw/zinc/22/41/25/746224125.db2.gz FFONIPHCHAHCMN-UHFFFAOYSA-N -1 1 308.359 1.571 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1cccc2c1CCNC2=O ZINC001193935088 746227274 /nfs/dbraw/zinc/22/72/74/746227274.db2.gz SDTGETRFMKXATM-UHFFFAOYSA-N -1 1 317.370 1.356 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCN3C(=O)c4ccccc4[C@@H]3C2)n1 ZINC001195324055 746565257 /nfs/dbraw/zinc/56/52/57/746565257.db2.gz ZPXWGRJYWNIWPG-AWEZNQCLSA-N -1 1 323.352 1.749 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CCC2(CCC(=O)O2)CC1 ZINC001195304981 746571752 /nfs/dbraw/zinc/57/17/52/746571752.db2.gz DHDOYKHMEQHGIZ-UHFFFAOYSA-N -1 1 310.737 1.752 20 0 DDADMM O=C(N[C@]12CCC[C@H]1CN(C(=O)C1CC1)C2)c1ncccc1[O-] ZINC001007356774 752109945 /nfs/dbraw/zinc/10/99/45/752109945.db2.gz LNBMZIIUVWMCEN-SJCJKPOMSA-N -1 1 315.373 1.308 20 0 DDADMM O=C1NCCc2c([N-]S(=O)(=O)c3ccc(O)cc3)cccc21 ZINC001195810535 746712855 /nfs/dbraw/zinc/71/28/55/746712855.db2.gz HZTCGGGXZXSLMB-UHFFFAOYSA-N -1 1 318.354 1.479 20 0 DDADMM O=C(Nc1ccnn1CC(F)(F)F)c1c[nH]c(=S)[n-]c1=O ZINC001196013651 746756616 /nfs/dbraw/zinc/75/66/16/746756616.db2.gz DYVWNCYSMYGKLC-UHFFFAOYSA-N -1 1 319.268 1.482 20 0 DDADMM O=C(Nc1nnccc1C(F)(F)F)c1c[nH]c(=S)[n-]c1=O ZINC001196014402 746756984 /nfs/dbraw/zinc/75/69/84/746756984.db2.gz OKPOAHZFKUSEKS-UHFFFAOYSA-N -1 1 317.252 1.532 20 0 DDADMM CC(C)CC1(NC(=O)c2c[nH]c(=S)[n-]c2=O)CCOCC1 ZINC001196027172 746765738 /nfs/dbraw/zinc/76/57/38/746765738.db2.gz SKCNCYQLQAGKIW-UHFFFAOYSA-N -1 1 311.407 1.796 20 0 DDADMM O=C(CCCNC(=O)c1c[nH]c(=S)[n-]c1=O)c1cccnc1 ZINC001196027629 746766202 /nfs/dbraw/zinc/76/62/02/746766202.db2.gz YTIMSSIAXMCICP-UHFFFAOYSA-N -1 1 318.358 1.259 20 0 DDADMM Cc1cccc(NC(=S)Nc2c(O)[nH]c(=O)[n-]c2=S)c1C ZINC001196440575 746860478 /nfs/dbraw/zinc/86/04/78/746860478.db2.gz BEDSFGFBFPSXHP-VIFPVBQESA-N -1 1 322.415 1.125 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cnc(Cl)nc1 ZINC001196915470 746996189 /nfs/dbraw/zinc/99/61/89/746996189.db2.gz ZFHQRABBLKIEBS-UHFFFAOYSA-N -1 1 307.759 1.215 20 0 DDADMM CCOc1ccc(C)c(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)c1 ZINC001197123240 747073535 /nfs/dbraw/zinc/07/35/35/747073535.db2.gz ZFRLYPLUDHORFT-UHFFFAOYSA-N -1 1 304.306 1.429 20 0 DDADMM C[C@@H](c1nc(-c2cccnc2)no1)[N@@H+]1CC[C@@H](c2nn[nH]n2)C1 ZINC001197297087 747123560 /nfs/dbraw/zinc/12/35/60/747123560.db2.gz NPRALHLVSZPFRU-GXSJLCMTSA-N -1 1 312.337 1.195 20 0 DDADMM CCOc1cncc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001197611384 747205167 /nfs/dbraw/zinc/20/51/67/747205167.db2.gz OBEBKWQDKGCVTO-UHFFFAOYSA-N -1 1 305.250 1.332 20 0 DDADMM O=C(CNS(=O)(=O)Cc1ccccn1)c1ccc([O-])cc1 ZINC001197857327 747283672 /nfs/dbraw/zinc/28/36/72/747283672.db2.gz NQPVJOSFBDOPHS-UHFFFAOYSA-N -1 1 306.343 1.090 20 0 DDADMM Cc1ccc(NC(=S)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001197945340 747318151 /nfs/dbraw/zinc/31/81/51/747318151.db2.gz ABLDLSGCRGDIMW-UHFFFAOYSA-N -1 1 316.346 1.881 20 0 DDADMM CC(C)Cn1cnc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC001198561824 747525220 /nfs/dbraw/zinc/52/52/20/747525220.db2.gz YBTHBGMEGMWUHO-UHFFFAOYSA-N -1 1 302.260 1.928 20 0 DDADMM COc1nc(Cl)ccc1S(=O)(=O)[N-][C@@H]1CCOC[C@H]1F ZINC001198723682 747579545 /nfs/dbraw/zinc/57/95/45/747579545.db2.gz YBTZBCTWCLDGOS-HTQZYQBOSA-N -1 1 324.761 1.149 20 0 DDADMM C[C@@H](CN1CCCC1)NS(=O)(=O)c1ccccc1C(=O)[O-] ZINC001198799620 747616039 /nfs/dbraw/zinc/61/60/39/747616039.db2.gz UZPLZYNTRLBYEL-NSHDSACASA-N -1 1 312.391 1.147 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1ccc2c(c1)CCCC2=O ZINC001198886345 747646727 /nfs/dbraw/zinc/64/67/27/747646727.db2.gz DFPBVEZQJJNRFY-UHFFFAOYSA-N -1 1 314.301 1.241 20 0 DDADMM COCCOc1ccncc1C(=O)[N-]c1noc2nccnc12 ZINC001199231323 747752479 /nfs/dbraw/zinc/75/24/79/747752479.db2.gz KGXMWKNIVQWLBN-UHFFFAOYSA-N -1 1 315.289 1.290 20 0 DDADMM Cc1ccc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)[nH]c1=O ZINC001199263493 747768526 /nfs/dbraw/zinc/76/85/26/747768526.db2.gz TUQJGBOGMUZSEA-UHFFFAOYSA-N -1 1 318.293 1.307 20 0 DDADMM CCOC(=O)[C@H](C)NC(=S)Nc1cc(F)cc(F)c1[O-] ZINC001199845202 748017741 /nfs/dbraw/zinc/01/77/41/748017741.db2.gz USMOATFDNKISQH-LURJTMIESA-N -1 1 304.318 1.908 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1ncc(Cl)cc1O ZINC001201905089 748634638 /nfs/dbraw/zinc/63/46/38/748634638.db2.gz FRKBIVWPZVKUMG-UHFFFAOYSA-N -1 1 308.743 1.136 20 0 DDADMM CCCCC(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202488827 748880991 /nfs/dbraw/zinc/88/09/91/748880991.db2.gz HXICAKGAEYQXRN-QWRGUYRKSA-N -1 1 307.398 1.218 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996153260 749401489 /nfs/dbraw/zinc/40/14/89/749401489.db2.gz HJVMFNVUXZEZCA-WDEREUQCSA-N -1 1 321.425 1.273 20 0 DDADMM CN(Cc1nccs1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001137227584 749562262 /nfs/dbraw/zinc/56/22/62/749562262.db2.gz GKBAXJUXDUYNJR-UHFFFAOYSA-N -1 1 305.388 1.747 20 0 DDADMM CCN(C(=O)c1cc(=O)[nH]c(C2CC2)n1)[C@@H]1CC[N@@H+](CCF)C1 ZINC001033868998 750026943 /nfs/dbraw/zinc/02/69/43/750026943.db2.gz IUOCTATXOCDDGR-GFCCVEGCSA-N -1 1 322.384 1.566 20 0 DDADMM C[C@@H]1CCC[C@H](C[N-]S(=O)(=O)c2ncn(C)c2Cl)O1 ZINC000688952268 750621815 /nfs/dbraw/zinc/62/18/15/750621815.db2.gz GMKIDESLOLHUHX-RKDXNWHRSA-N -1 1 307.803 1.309 20 0 DDADMM C[C@H](CNc1ncccn1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001108146542 750756045 /nfs/dbraw/zinc/75/60/45/750756045.db2.gz WUUAJCDCNHAWAL-SECBINFHSA-N -1 1 314.349 1.080 20 0 DDADMM CCc1ccoc1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035037774 751139971 /nfs/dbraw/zinc/13/99/71/751139971.db2.gz ZRZVRPUWWIXRNU-LLVKDONJSA-N -1 1 319.365 1.060 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035054221 751163679 /nfs/dbraw/zinc/16/36/79/751163679.db2.gz INQBLVDNMJNUOE-JHJVBQTASA-N -1 1 321.425 1.273 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]([NH2+]Cc2cnns2)C1 ZINC000998977497 752414679 /nfs/dbraw/zinc/41/46/79/752414679.db2.gz OWJWVZFWUUUBFO-JTQLQIEISA-N -1 1 319.390 1.033 20 0 DDADMM CC[C@H](F)C(=O)N1C[C@@H](C(F)(F)F)[C@H]([N-]C(=O)C(F)F)C1 ZINC001008802537 752925461 /nfs/dbraw/zinc/92/54/61/752925461.db2.gz GJUQRJOIGBNQQD-DSYKOEDSSA-N -1 1 320.233 1.505 20 0 DDADMM CCC(CC)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005948952 753409293 /nfs/dbraw/zinc/40/92/93/753409293.db2.gz FOCNXOWLZUMECK-LLVKDONJSA-N -1 1 319.405 1.800 20 0 DDADMM CC/C(C)=C/C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839548 753760410 /nfs/dbraw/zinc/76/04/10/753760410.db2.gz VJQVQDYVKSQJSS-ZRDIBKRKSA-N -1 1 317.389 1.912 20 0 DDADMM C[C@@H](CCNC(=O)CCC1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001078178702 753811974 /nfs/dbraw/zinc/81/19/74/753811974.db2.gz ZQKXLUBKQHWAPN-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@H]1[C@H](NC(=O)C2CC=CC2)CCN1C(=O)c1ncccc1[O-] ZINC001011671862 754669110 /nfs/dbraw/zinc/66/91/10/754669110.db2.gz KJAQUOVPDIGSNA-WCQYABFASA-N -1 1 315.373 1.473 20 0 DDADMM CC(=O)N1C[C@@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@H]1C ZINC001012118094 754860024 /nfs/dbraw/zinc/86/00/24/754860024.db2.gz UUHTXCRIMKMECH-SKDRFNHKSA-N -1 1 320.393 1.353 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001012448740 755079132 /nfs/dbraw/zinc/07/91/32/755079132.db2.gz IRECZTBKWLDICF-QJJZASRKSA-N -1 1 303.362 1.473 20 0 DDADMM CCN(C(=O)CCC(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079280023 755205977 /nfs/dbraw/zinc/20/59/77/755205977.db2.gz WEKCORYBJNGNID-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@]3(C2)CCCN(CCF)C3)c1[O-] ZINC001040166488 762417476 /nfs/dbraw/zinc/41/74/76/762417476.db2.gz QGPVFKQBLUPQGX-OAHLLOKOSA-N -1 1 310.373 1.321 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C2CCC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014669508 755870093 /nfs/dbraw/zinc/87/00/93/755870093.db2.gz LJKCUQMUEAYNCC-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM CC(=O)N[C@@H]1CC[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001015276681 756206798 /nfs/dbraw/zinc/20/67/98/756206798.db2.gz RIRZEBLSKOSGJP-ZYHUDNBSSA-N -1 1 318.377 1.094 20 0 DDADMM CCC(C)(C)C(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017195699 757706473 /nfs/dbraw/zinc/70/64/73/757706473.db2.gz YBTQKMXHRDUEQQ-LBPRGKRZSA-N -1 1 323.441 1.617 20 0 DDADMM Cn1cc(CN2CC[C@]3(CC(F)(F)C3(F)F)C2)c(C(=O)[O-])n1 ZINC001119540726 757802123 /nfs/dbraw/zinc/80/21/23/757802123.db2.gz PZAPKKDQTNCCTO-NSHDSACASA-N -1 1 321.274 1.985 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@H]3CCN(C/C=C/Cl)[C@H]3C2)c1[O-] ZINC001084922135 758067362 /nfs/dbraw/zinc/06/73/62/758067362.db2.gz NEAUJLJOMBYVGC-HKJRJLCPSA-N -1 1 324.812 1.713 20 0 DDADMM CC1=C(C)C[C@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001018900340 759384800 /nfs/dbraw/zinc/38/48/00/759384800.db2.gz XYBDVXJZBNEHEW-OLZOCXBDSA-N -1 1 319.409 1.337 20 0 DDADMM C[C@H](C[C@@H]1CCOC1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000826908657 759431152 /nfs/dbraw/zinc/43/11/52/759431152.db2.gz DDPGQONQYVRHMC-ZJUUUORDSA-N -1 1 306.391 1.563 20 0 DDADMM C[C@H]1CN(C(=O)CC2CCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054345824 759521571 /nfs/dbraw/zinc/52/15/71/759521571.db2.gz DAYDXLOKNLYISD-JQWIXIFHSA-N -1 1 307.398 1.027 20 0 DDADMM CN(C[C@H]1CCN1CCC(F)(F)F)C(=O)c1ncccc1[O-] ZINC001085562029 759729138 /nfs/dbraw/zinc/72/91/38/759729138.db2.gz BBEXJIQYKUEYPQ-SNVBAGLBSA-N -1 1 317.311 1.886 20 0 DDADMM Cc1nc(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])cs1 ZINC001038183926 760898573 /nfs/dbraw/zinc/89/85/73/760898573.db2.gz OBZAQKJGDDWATJ-LBPRGKRZSA-N -1 1 318.402 1.556 20 0 DDADMM CCc1ccoc1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000107125 761513149 /nfs/dbraw/zinc/51/31/49/761513149.db2.gz WRLATSFDVFSSQG-NSHDSACASA-N -1 1 319.365 1.060 20 0 DDADMM CCC(=O)N1CCCC[C@H]1[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039186829 761811366 /nfs/dbraw/zinc/81/13/66/761811366.db2.gz DDCYHFWUWLRLHS-RYUDHWBXSA-N -1 1 307.398 1.123 20 0 DDADMM CCC(=O)N1CCCC[C@@H]1[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039186831 761812085 /nfs/dbraw/zinc/81/20/85/761812085.db2.gz DDCYHFWUWLRLHS-VXGBXAGGSA-N -1 1 307.398 1.123 20 0 DDADMM CC1(C)C[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001001464185 762886349 /nfs/dbraw/zinc/88/63/49/762886349.db2.gz AWHQTMQKDMHYCI-NSHDSACASA-N -1 1 303.362 1.022 20 0 DDADMM Cc1ccc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)o1 ZINC001001537487 762944443 /nfs/dbraw/zinc/94/44/43/762944443.db2.gz ONJRFPCYVYUWAZ-UHFFFAOYSA-N -1 1 315.329 1.191 20 0 DDADMM Cc1cc(NCCCN(C)C(=O)c2ncccc2[O-])nc(C)n1 ZINC001109436992 763706970 /nfs/dbraw/zinc/70/69/70/763706970.db2.gz TUPULDFBSAWREP-UHFFFAOYSA-N -1 1 315.377 1.768 20 0 DDADMM Cc1ccc(N2CCC(NC(=O)c3ncccc3[O-])CC2)nn1 ZINC001057861762 766105284 /nfs/dbraw/zinc/10/52/84/766105284.db2.gz PVMVJIVLEGJAHZ-UHFFFAOYSA-N -1 1 313.361 1.284 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncnc4c3CCCCC4)c2[nH]1 ZINC001170217429 766176398 /nfs/dbraw/zinc/17/63/98/766176398.db2.gz RNVUHKIOBOJPME-UHFFFAOYSA-N -1 1 313.321 1.518 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(-n4ccnn4)cc3)c2[nH]1 ZINC001170218296 766176428 /nfs/dbraw/zinc/17/64/28/766176428.db2.gz UDQSPCWITBFQRI-UHFFFAOYSA-N -1 1 310.277 1.040 20 0 DDADMM CC1(c2cccc(Nc3[n-]c(=O)nc4nc[nH]c43)n2)OCCO1 ZINC001170234743 766190115 /nfs/dbraw/zinc/19/01/15/766190115.db2.gz YTSQFJLBTRTVJN-UHFFFAOYSA-N -1 1 314.305 1.417 20 0 DDADMM CC(C)Cc1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)s1 ZINC001129630279 766953633 /nfs/dbraw/zinc/95/36/33/766953633.db2.gz YXKSEXZDDWAGAT-UHFFFAOYSA-N -1 1 323.422 1.290 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)C1Cc2ccccc2C1 ZINC001121674011 782621121 /nfs/dbraw/zinc/62/11/21/782621121.db2.gz TYMQOONHWWGGIQ-UHFFFAOYSA-N -1 1 310.365 1.082 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)C1Cc2ccccc2C1 ZINC001121674011 782621127 /nfs/dbraw/zinc/62/11/27/782621127.db2.gz TYMQOONHWWGGIQ-UHFFFAOYSA-N -1 1 310.365 1.082 20 0 DDADMM CN(C(=O)[C@@H](Oc1ccccc1)c1ccccc1)c1nn[n-]n1 ZINC001130969427 767823057 /nfs/dbraw/zinc/82/30/57/767823057.db2.gz LWTOBJDGNFZRAX-AWEZNQCLSA-N -1 1 309.329 1.983 20 0 DDADMM CCC[C@@](C)(NC(=O)c1cc(C)cc(C=O)c1[O-])C(=O)OC ZINC001136354776 771944665 /nfs/dbraw/zinc/94/46/65/771944665.db2.gz YAVYRKMJDGLCAG-MRXNPFEDSA-N -1 1 307.346 1.975 20 0 DDADMM COC(=O)[C@@]12COC[C@@H]1CN(Cc1c(F)cc([O-])cc1F)C2 ZINC001144545234 772559294 /nfs/dbraw/zinc/55/92/94/772559294.db2.gz XRLLOWBNJRMSIT-VFZGTOFNSA-N -1 1 313.300 1.292 20 0 DDADMM CN(C)C(=O)Sc1cccc(NC(=O)CCc2nn[n-]n2)c1 ZINC001146634272 772910001 /nfs/dbraw/zinc/91/00/01/772910001.db2.gz XEGDNYHWWLZXEF-UHFFFAOYSA-N -1 1 320.378 1.545 20 0 DDADMM COC(=O)c1ccc(OC)nc1[N-]C(=O)c1cc(OC)no1 ZINC001146932293 772978509 /nfs/dbraw/zinc/97/85/09/772978509.db2.gz PXTPZKIEERQPFL-UHFFFAOYSA-N -1 1 307.262 1.126 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC[C@H](c2nnc3ccccn32)C1 ZINC001147019715 773017549 /nfs/dbraw/zinc/01/75/49/773017549.db2.gz GJSRNOHVGLRRLK-LBPRGKRZSA-N -1 1 323.356 1.850 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@](C)(CO)c2ccccc2)c1[O-] ZINC001147843195 773266121 /nfs/dbraw/zinc/26/61/21/773266121.db2.gz ADZWNDZBAZGGET-QGZVFWFLSA-N -1 1 316.357 1.225 20 0 DDADMM NC(=O)c1[nH]ncc1NC(=O)c1cc(Cl)cc(Cl)c1[O-] ZINC001148804976 773606228 /nfs/dbraw/zinc/60/62/28/773606228.db2.gz ACFKTPQWKIWCLR-UHFFFAOYSA-N -1 1 315.116 1.773 20 0 DDADMM Cn1ncc(NC(=O)c2cc([O-])c(Br)c(O)c2)n1 ZINC001148982074 773663782 /nfs/dbraw/zinc/66/37/82/773663782.db2.gz ZTGAALOKDMNLJW-UHFFFAOYSA-N -1 1 313.111 1.241 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1CCC(C)(C)C1 ZINC001074862490 774196682 /nfs/dbraw/zinc/19/66/82/774196682.db2.gz IHERIGDBNGBFER-SRVKXCTJSA-N -1 1 321.425 1.416 20 0 DDADMM CCOc1cc(NCCNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001093562760 774890135 /nfs/dbraw/zinc/89/01/35/774890135.db2.gz FZFJKSAIMNHSNS-UHFFFAOYSA-N -1 1 317.349 1.126 20 0 DDADMM CC(=O)NCCCCCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000146468729 776483737 /nfs/dbraw/zinc/48/37/37/776483737.db2.gz NKRSGYGJXGIRIC-UHFFFAOYSA-N -1 1 307.276 1.459 20 0 DDADMM CC(=O)Nc1ccccc1[N-]S(=O)(=O)c1ccc(O)c(N)c1 ZINC001173994600 777390756 /nfs/dbraw/zinc/39/07/56/777390756.db2.gz UJBFLRMIECDNEU-UHFFFAOYSA-N -1 1 321.358 1.734 20 0 DDADMM NC(=O)c1ccccc1[N-]c1nonc1-c1cn2ccncc2n1 ZINC001173980376 777395075 /nfs/dbraw/zinc/39/50/75/777395075.db2.gz YPOGRXSGURBWJK-UHFFFAOYSA-N -1 1 321.300 1.622 20 0 DDADMM CC(=O)NCc1cccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c1 ZINC001174367697 777466943 /nfs/dbraw/zinc/46/69/43/777466943.db2.gz MAXZQLLSEKJYQG-UHFFFAOYSA-N -1 1 305.363 1.433 20 0 DDADMM CN(C)c1nc(Nc2cnn(CC3CC3)c2)c(N=O)c(=O)[n-]1 ZINC001174467817 777495429 /nfs/dbraw/zinc/49/54/29/777495429.db2.gz HSIRSECEYWNFIM-UHFFFAOYSA-N -1 1 303.326 1.996 20 0 DDADMM CCOC(=O)c1nc[n-]c1-c1nc(-c2cc(OC)ccn2)no1 ZINC001212768267 777708319 /nfs/dbraw/zinc/70/83/19/777708319.db2.gz WZIIICOEDSBKKS-UHFFFAOYSA-N -1 1 315.289 1.707 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cc(N)ccc2N)cc2c[nH]nc21 ZINC001175520880 777822832 /nfs/dbraw/zinc/82/28/32/777822832.db2.gz DJWPUNLRUZJDQX-UHFFFAOYSA-N -1 1 317.374 1.837 20 0 DDADMM Cc1c2ccccc2[nH]c(=O)c1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001175553081 777839097 /nfs/dbraw/zinc/83/90/97/777839097.db2.gz SUZNFJBIGCYEST-SNVBAGLBSA-N -1 1 324.344 1.392 20 0 DDADMM Cc1cc(NC(=O)Cc2nn[n-]n2)n(-c2ccccc2F)n1 ZINC001176838116 778269304 /nfs/dbraw/zinc/26/93/04/778269304.db2.gz QAAUKITXXDWNRC-UHFFFAOYSA-N -1 1 301.285 1.014 20 0 DDADMM Cc1nc(CC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c(C)s1 ZINC001177275138 778528467 /nfs/dbraw/zinc/52/84/67/778528467.db2.gz NFDNIQLFFOMUKY-UHFFFAOYSA-N -1 1 304.335 1.313 20 0 DDADMM CC1(C)CCN(CCS(=O)(=O)CC(=O)[O-])CC(C)(C)C1 ZINC000699664067 779745933 /nfs/dbraw/zinc/74/59/33/779745933.db2.gz FPYHRGKCPZSJSM-UHFFFAOYSA-N -1 1 305.440 1.634 20 0 DDADMM CC(C)c1ccc(OCC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001180542165 779822857 /nfs/dbraw/zinc/82/28/57/779822857.db2.gz KMGPPFJZHSMTST-UHFFFAOYSA-N -1 1 318.333 1.611 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)[C@@H]1CCCN(C(=O)CC)C1 ZINC001117772626 780898138 /nfs/dbraw/zinc/89/81/38/780898138.db2.gz CYYVIFXBBJBJRS-LLVKDONJSA-N -1 1 314.382 1.025 20 0 DDADMM Cc1nsc(C)c1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC001118841725 781255330 /nfs/dbraw/zinc/25/53/30/781255330.db2.gz ZWWYGJRIXBSTGB-UHFFFAOYSA-N -1 1 304.335 1.052 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC/C=C/C2CC2)C1 ZINC001118974012 781287714 /nfs/dbraw/zinc/28/77/14/781287714.db2.gz VDLPPLJIKCDQAC-IBUXWKBASA-N -1 1 319.327 1.805 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC001119233463 781371856 /nfs/dbraw/zinc/37/18/56/781371856.db2.gz FWYDFNPBSALWBI-DDFAGTSDSA-N -1 1 308.765 1.577 20 0 DDADMM CCN(CCNC(=O)c1ccsc1)C(=O)c1ncccc1[O-] ZINC001408713478 838525388 /nfs/dbraw/zinc/52/53/88/838525388.db2.gz YJICDKNKTPOVHS-UHFFFAOYSA-N -1 1 319.386 1.741 20 0 DDADMM CC[C@@H](CNC(=O)C(F)=C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001267799536 838996205 /nfs/dbraw/zinc/99/62/05/838996205.db2.gz BRHYFBZTDVDJEP-JTQLQIEISA-N -1 1 311.361 1.292 20 0 DDADMM CC1=C(C)C[C@@](C)(C(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001268674561 840656747 /nfs/dbraw/zinc/65/67/47/840656747.db2.gz VFRINJSDNURFNM-WBMJQRKESA-N -1 1 321.425 1.631 20 0 DDADMM CC(C)(C)[C@H](NC(=O)CCCCc1cn[nH]n1)c1nc(=O)o[n-]1 ZINC001142962609 861324568 /nfs/dbraw/zinc/32/45/68/861324568.db2.gz AGMUBMLHZOGVAZ-LLVKDONJSA-N -1 1 322.369 1.097 20 0 DDADMM CCC(CO)(CO)NC(=O)c1cc2cc(OC)ccc2cc1[O-] ZINC001154754727 861428252 /nfs/dbraw/zinc/42/82/52/861428252.db2.gz KRLSMYOOGAOFFD-UHFFFAOYSA-N -1 1 319.357 1.417 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)[C@@H]1CC(=O)N(C(C)(C)C)C1 ZINC001326576617 861458128 /nfs/dbraw/zinc/45/81/28/861458128.db2.gz MNPFDBRMJWQPBN-SNVBAGLBSA-N -1 1 314.382 1.023 20 0 DDADMM CN1C[C@@]2(CC1=O)CCCCN2C(=O)c1ccc([O-])cc1F ZINC001272223959 844768870 /nfs/dbraw/zinc/76/88/70/844768870.db2.gz FHEBWGUAPDYGEL-INIZCTEOSA-N -1 1 306.337 1.758 20 0 DDADMM O=C(c1ccc2cccnc2c1[O-])N1CCN2C(=O)CC[C@@H]2C1 ZINC001149320930 861545163 /nfs/dbraw/zinc/54/51/63/861545163.db2.gz QQEAYJVTRZAEKG-GFCCVEGCSA-N -1 1 311.341 1.387 20 0 DDADMM CC(C)(C)NC(=O)CCNC(=O)c1ccc2cccnc2c1[O-] ZINC001149327547 861548785 /nfs/dbraw/zinc/54/87/85/861548785.db2.gz DQTKVEKEDLBOGT-UHFFFAOYSA-N -1 1 315.373 1.975 20 0 DDADMM O=C(Nc1ncn(Cc2cccnc2)n1)C(=O)c1ccc([O-])cc1 ZINC001326724120 861573888 /nfs/dbraw/zinc/57/38/88/861573888.db2.gz YRGZQWAXXRMKKE-UHFFFAOYSA-N -1 1 323.312 1.248 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2nnc(C3CC3)o2)c1 ZINC001155140853 861766346 /nfs/dbraw/zinc/76/63/46/861766346.db2.gz YWXGZUCTNZERHQ-UHFFFAOYSA-N -1 1 301.302 1.704 20 0 DDADMM C[C@H]1C(=O)NCCN1C(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149645969 861776510 /nfs/dbraw/zinc/77/65/10/861776510.db2.gz HWRDEEOGIUIMKW-VIFPVBQESA-N -1 1 300.314 1.212 20 0 DDADMM O=C(Nc1ncnc2n[nH]nc21)c1cc2cccc(O)c2cc1[O-] ZINC001149645401 861777285 /nfs/dbraw/zinc/77/72/85/861777285.db2.gz QOMPSAXFJBHRJR-UHFFFAOYSA-N -1 1 322.284 1.565 20 0 DDADMM CC(C)(CNC(=O)c1ccc(Cl)cc1[O-])CS(N)(=O)=O ZINC001364470932 847552617 /nfs/dbraw/zinc/55/26/17/847552617.db2.gz FUFFLEHBCICOLP-UHFFFAOYSA-N -1 1 320.798 1.090 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1C[C@H](CO)CC(F)(F)C1 ZINC001155261389 861906706 /nfs/dbraw/zinc/90/67/06/861906706.db2.gz PZOMOEGDQMJDHW-MRVPVSSYSA-N -1 1 311.288 1.358 20 0 DDADMM CCCCOC(=O)[C@H](C)NC(=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155269969 861917060 /nfs/dbraw/zinc/91/70/60/861917060.db2.gz WPOVYHPEDLCZKO-VIFPVBQESA-N -1 1 305.334 1.730 20 0 DDADMM Cc1nscc1C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001410193616 848881357 /nfs/dbraw/zinc/88/13/57/848881357.db2.gz VNNBSQVXKVKYLU-MRVPVSSYSA-N -1 1 320.374 1.100 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CC1CCCC1 ZINC001410324339 849076646 /nfs/dbraw/zinc/07/66/46/849076646.db2.gz ZVYBDPGQMDXTPL-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])C1(C2CC2)CC1 ZINC001410584629 849511691 /nfs/dbraw/zinc/51/16/91/849511691.db2.gz UPCNRPCTADNKFF-LBPRGKRZSA-N -1 1 315.373 1.308 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])C1(F)CCCC1 ZINC001410595864 849524192 /nfs/dbraw/zinc/52/41/92/849524192.db2.gz GZYJOPKDVWXRIN-LLVKDONJSA-N -1 1 321.352 1.400 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc4[nH]ccc4c(F)c3)c1-2 ZINC001155395387 862038093 /nfs/dbraw/zinc/03/80/93/862038093.db2.gz OUCHFKBBOWOQMI-UHFFFAOYSA-N -1 1 310.292 1.908 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cncc(C(C)=O)c2)n1 ZINC001411371922 851774021 /nfs/dbraw/zinc/77/40/21/851774021.db2.gz PXEQJWSELCSJBF-UHFFFAOYSA-N -1 1 302.290 1.436 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCCCCC(C)=O)sn1 ZINC001475298050 862346840 /nfs/dbraw/zinc/34/68/40/862346840.db2.gz ADHYTESCBVJURY-UHFFFAOYSA-N -1 1 306.409 1.579 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H](C)NC(=O)C1(C)CCC1 ZINC001411390319 853019465 /nfs/dbraw/zinc/01/94/65/853019465.db2.gz FYPYCJLJEGUAFB-GHMZBOCLSA-N -1 1 305.378 1.600 20 0 DDADMM NC(=O)[C@H]1CCC[C@@]12CCN(C(=O)c1ccc(F)c([O-])c1)C2 ZINC001275408144 853079929 /nfs/dbraw/zinc/07/99/29/853079929.db2.gz LOSRURYTINPCNA-BZNIZROVSA-N -1 1 306.337 1.649 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3nc4ccccc4nc3C)c1-2 ZINC001150858114 862503506 /nfs/dbraw/zinc/50/35/06/862503506.db2.gz HAWDGDQBYMKJRY-UHFFFAOYSA-N -1 1 319.328 1.539 20 0 DDADMM COC(=O)c1cc(NC(=O)c2c([O-])cccc2OC)cn1C ZINC001411848024 853826679 /nfs/dbraw/zinc/82/66/79/853826679.db2.gz OZAGYAPFIYMQSK-UHFFFAOYSA-N -1 1 304.302 1.778 20 0 DDADMM CO[C@H](Cc1ccccc1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001412082418 854205308 /nfs/dbraw/zinc/20/53/08/854205308.db2.gz MFPJDXSHHLCFKM-OAHLLOKOSA-N -1 1 310.357 1.538 20 0 DDADMM CC(F)(F)C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001412215424 854341677 /nfs/dbraw/zinc/34/16/77/854341677.db2.gz KWEFVGFHKRJWJQ-KYZUINATSA-N -1 1 313.304 1.067 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc([O-])cn1)[C@@H]1CCC[C@H](OC)C1 ZINC001412315826 854436907 /nfs/dbraw/zinc/43/69/07/854436907.db2.gz ZONOSSWHEYROAU-SCDSUCTJSA-N -1 1 322.361 1.264 20 0 DDADMM Cc1nc(CN2CCN(C(=O)c3ccc([O-])cn3)CC2)cs1 ZINC001412380466 854491805 /nfs/dbraw/zinc/49/18/05/854491805.db2.gz BUDRDNYZAZOUDU-UHFFFAOYSA-N -1 1 318.402 1.510 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ccc([O-])cn2)CCN1C(=O)OC(C)(C)C ZINC001412430216 854540796 /nfs/dbraw/zinc/54/07/96/854540796.db2.gz OVNFFSCBBLLWBK-PWSUYJOCSA-N -1 1 321.377 1.915 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@](C)(O)c1ccc(F)cc1 ZINC001412545816 854696551 /nfs/dbraw/zinc/69/65/51/854696551.db2.gz PAERJFWSTZTQQW-OAHLLOKOSA-N -1 1 321.356 1.231 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001412564261 854728368 /nfs/dbraw/zinc/72/83/68/854728368.db2.gz ZCVIWHPKHMQFKG-ZJUUUORDSA-N -1 1 313.350 1.038 20 0 DDADMM O=C(Nc1ccc2c(c1)CC(=O)N2)c1cnc(C2CC2)[n-]c1=O ZINC001412576896 854745786 /nfs/dbraw/zinc/74/57/86/854745786.db2.gz VJWSHYSHQOWQOM-UHFFFAOYSA-N -1 1 310.313 1.807 20 0 DDADMM CCOC[C@H](C(=O)OC)N(C)C(=O)c1cc(Cl)ccc1[O-] ZINC001412679347 854931686 /nfs/dbraw/zinc/93/16/86/854931686.db2.gz IZBQPGOQKHINEM-LLVKDONJSA-N -1 1 315.753 1.696 20 0 DDADMM NC(=O)[C@H]1CCC[C@]12CCN(C(=O)c1ccc(F)c(=O)[n-]1)C2 ZINC001276302912 855498926 /nfs/dbraw/zinc/49/89/26/855498926.db2.gz KXEZOFUXFAWJBT-RFAUZJTJSA-N -1 1 307.325 1.044 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CCS(=O)(=O)c2ccccc21 ZINC001412854993 855726958 /nfs/dbraw/zinc/72/69/58/855726958.db2.gz LWQOITXIGZHLJP-VIFPVBQESA-N -1 1 307.331 1.015 20 0 DDADMM CSc1nc(CNC(=O)c2cc(Cl)ccn2)cc(=O)[n-]1 ZINC001412860619 855733254 /nfs/dbraw/zinc/73/32/54/855733254.db2.gz YVGLZYIANLDBMA-UHFFFAOYSA-N -1 1 310.766 1.883 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1CCC[C@@H](C(=O)OC)C1)c1nn[n-]n1 ZINC001412935709 855799951 /nfs/dbraw/zinc/79/99/51/855799951.db2.gz MJXZJZFFMVUTRF-WDEREUQCSA-N -1 1 323.397 1.311 20 0 DDADMM Cn1cc2ccc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)cc2n1 ZINC001151507790 862810204 /nfs/dbraw/zinc/81/02/04/862810204.db2.gz JLCADDMTDUFCJG-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM CNS(=O)(=O)[C@H]1CCCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001413239512 856576270 /nfs/dbraw/zinc/57/62/70/856576270.db2.gz NALVZKVDLZCDDU-JTQLQIEISA-N -1 1 320.361 1.184 20 0 DDADMM Cc1c(NC(=O)CCc2nn[n-]n2)cnn1-c1ccccc1F ZINC001151513844 862812701 /nfs/dbraw/zinc/81/27/01/862812701.db2.gz INGPRENHIOPINI-UHFFFAOYSA-N -1 1 315.312 1.404 20 0 DDADMM CCN(Cc1ccccc1)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001413259944 856592123 /nfs/dbraw/zinc/59/21/23/856592123.db2.gz XCIHKCAVAGORSA-UHFFFAOYSA-N -1 1 323.374 1.407 20 0 DDADMM CCN(Cc1ccccc1)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001413259944 856592130 /nfs/dbraw/zinc/59/21/30/856592130.db2.gz XCIHKCAVAGORSA-UHFFFAOYSA-N -1 1 323.374 1.407 20 0 DDADMM CCC[C@](C)(NC(=O)OC(C)(C)C)C(=O)N(C)c1nn[n-]n1 ZINC001413329034 856667766 /nfs/dbraw/zinc/66/77/66/856667766.db2.gz UGSJTYFXWJOQNS-ZDUSSCGKSA-N -1 1 312.374 1.246 20 0 DDADMM COC(=O)[C@@H]1C[C@H]1c1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001413381426 856734403 /nfs/dbraw/zinc/73/44/03/856734403.db2.gz WYJFDQRCFXUVDF-NWDGAFQWSA-N -1 1 313.313 1.711 20 0 DDADMM CC(C)(C)OC(=O)NC1(C[N-]S(=O)(=O)C(F)F)CCC1 ZINC001259964893 856807520 /nfs/dbraw/zinc/80/75/20/856807520.db2.gz MRXJQKSTFBSELA-UHFFFAOYSA-N -1 1 314.354 1.576 20 0 DDADMM Cc1nc(CNS(=O)(=O)c2cc(Cl)ccc2Cl)n[nH]1 ZINC001413464882 856847678 /nfs/dbraw/zinc/84/76/78/856847678.db2.gz AHKJZRBUMUWUSG-UHFFFAOYSA-N -1 1 321.189 1.898 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1[nH]cnc1C(C)(C)C ZINC001413505117 856902144 /nfs/dbraw/zinc/90/21/44/856902144.db2.gz QMLVPAVWNPZDHQ-UHFFFAOYSA-N -1 1 305.386 1.519 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc2ncncc2c1 ZINC001151775478 862962485 /nfs/dbraw/zinc/96/24/85/862962485.db2.gz GFZZRJHHFXNZQT-UHFFFAOYSA-N -1 1 309.262 1.318 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1CCc2ncsc2C1 ZINC001123808984 859425135 /nfs/dbraw/zinc/42/51/35/859425135.db2.gz PLKJNELYJQRMJA-ZETCQYMHSA-N -1 1 322.415 1.523 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H]2CCC3(C2)OCCO3)c1 ZINC001137864543 859986308 /nfs/dbraw/zinc/98/63/08/859986308.db2.gz XVPLXMBWVHSKRC-LBPRGKRZSA-N -1 1 305.330 1.539 20 0 DDADMM C/C=C/C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)OCC ZINC001138133584 860011662 /nfs/dbraw/zinc/01/16/62/860011662.db2.gz MPHBDPKALFINGQ-LQPUYASZSA-N -1 1 305.330 1.589 20 0 DDADMM CC(=O)C[C@H]1COCCN1C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001138178118 860034789 /nfs/dbraw/zinc/03/47/89/860034789.db2.gz SZDPRSDXTCNLIV-ZDUSSCGKSA-N -1 1 305.330 1.333 20 0 DDADMM O=S(=O)(c1ccccc1)[C@H]1CCN(Cc2ncccc2[O-])C1 ZINC001138395174 860090886 /nfs/dbraw/zinc/09/08/86/860090886.db2.gz VWDMEFPZACWIKF-AWEZNQCLSA-N -1 1 318.398 1.835 20 0 DDADMM Cc1cc(C)cc(NC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001202852454 860154227 /nfs/dbraw/zinc/15/42/27/860154227.db2.gz YOSUAZMWDRWHSA-UHFFFAOYSA-N -1 1 314.305 1.977 20 0 DDADMM O=C([O-])COc1ccc(CN2CCN([C@@H]3CCOC3)CC2)cc1 ZINC001138920954 860229359 /nfs/dbraw/zinc/22/93/59/860229359.db2.gz OZGQOEGLOYQZTB-OAHLLOKOSA-N -1 1 320.389 1.057 20 0 DDADMM COc1cccc(CN2CCC(C(C)=O)CC2)c1OCC(=O)[O-] ZINC001139271404 860346836 /nfs/dbraw/zinc/34/68/36/860346836.db2.gz GXLCWQYHCZNYGM-UHFFFAOYSA-N -1 1 321.373 1.960 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C2CCC(NC(C)=O)CC2)[n-]1 ZINC001361383552 881539222 /nfs/dbraw/zinc/53/92/22/881539222.db2.gz LBWFWLRBWIHXKI-UHFFFAOYSA-N -1 1 322.365 1.220 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2CCC(NC(C)=O)CC2)n1 ZINC001361383552 881539227 /nfs/dbraw/zinc/53/92/27/881539227.db2.gz LBWFWLRBWIHXKI-UHFFFAOYSA-N -1 1 322.365 1.220 20 0 DDADMM Cc1[nH]c(CN2CCN(C[C@@H]3CCCO3)CC2)c(C)c1C(=O)[O-] ZINC001140496228 860645053 /nfs/dbraw/zinc/64/50/53/860645053.db2.gz WVCFLAXUDUSMEF-AWEZNQCLSA-N -1 1 321.421 1.626 20 0 DDADMM Cc1[nH]c(CN2CCC3(CC(=O)CO3)CC2)c(C)c1C(=O)[O-] ZINC001140500908 860646190 /nfs/dbraw/zinc/64/61/90/860646190.db2.gz KLDOQCHOSDGQLS-UHFFFAOYSA-N -1 1 306.362 1.654 20 0 DDADMM CCOC(COCCNC(=O)c1cccc([O-])c1F)OCC ZINC001154151165 860822215 /nfs/dbraw/zinc/82/22/15/860822215.db2.gz BAFQIOUPFLOLCF-UHFFFAOYSA-N -1 1 315.341 1.677 20 0 DDADMM COc1cccc2c(Cl)c(C(=O)NCc3nn[n-]n3)cnc12 ZINC001154167372 860831099 /nfs/dbraw/zinc/83/10/99/860831099.db2.gz ZDUAFTJVSKTDDJ-UHFFFAOYSA-N -1 1 318.724 1.340 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H](C)c2nccc(N)n2)c1 ZINC001141406791 860843449 /nfs/dbraw/zinc/84/34/49/860843449.db2.gz GRUCXWXHBNFUBF-VIFPVBQESA-N -1 1 300.318 1.376 20 0 DDADMM COC(=O)c1n[nH]c2ccc(NC(=O)c3ccc([O-])cn3)cc21 ZINC001141452456 860855551 /nfs/dbraw/zinc/85/55/51/860855551.db2.gz LMCUOFVNRHYYLI-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM Cc1nc(CN[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])co1 ZINC001381363740 881587978 /nfs/dbraw/zinc/58/79/78/881587978.db2.gz IPVTVDBXPDIKTM-ZJUUUORDSA-N -1 1 304.350 1.380 20 0 DDADMM CCC[C@](C)([N-]S(=O)(=O)c1cccnc1F)C(=O)OC ZINC000401772197 860888967 /nfs/dbraw/zinc/88/89/67/860888967.db2.gz AAXXFKVICKDSSO-LBPRGKRZSA-N -1 1 304.343 1.231 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCC[C@H](F)C(=O)C1 ZINC001152670448 863482033 /nfs/dbraw/zinc/48/20/33/863482033.db2.gz DCUTVTKBYAHHOM-JTQLQIEISA-N -1 1 318.304 1.789 20 0 DDADMM CC(C)CC(CC(C)C)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001152668503 863483541 /nfs/dbraw/zinc/48/35/41/863483541.db2.gz ZJCZVLGMEQPURK-UHFFFAOYSA-N -1 1 311.430 1.425 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3c(c2)CNC3=O)n1 ZINC001152766447 863526600 /nfs/dbraw/zinc/52/66/00/863526600.db2.gz QPWMVGMYZBVTOC-UHFFFAOYSA-N -1 1 314.301 1.082 20 0 DDADMM C[C@@]1(CO)CCN(C(=O)c2c([O-])ccc3ccccc32)C[C@@H]1O ZINC001153499401 863914201 /nfs/dbraw/zinc/91/42/01/863914201.db2.gz VFJADCWGEACULJ-YJBOKZPZSA-N -1 1 315.369 1.751 20 0 DDADMM CCOc1cc(N)n(C(=O)c2c([O-])ccc3ccccc32)c(=N)n1 ZINC001153499357 863914424 /nfs/dbraw/zinc/91/44/24/863914424.db2.gz SSJXHWCPRQYDFR-UHFFFAOYSA-N -1 1 324.340 1.891 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nc3ccccc3o2)n1 ZINC001153572312 863955451 /nfs/dbraw/zinc/95/54/51/863955451.db2.gz UFRFTLRQDQAUQH-UHFFFAOYSA-N -1 1 300.274 1.980 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)c1cc2ncccc2o1 ZINC001153691815 864019916 /nfs/dbraw/zinc/01/99/16/864019916.db2.gz WHZUZOPRJBPNSH-UHFFFAOYSA-N -1 1 300.274 1.980 20 0 DDADMM COC(CCCNC(=O)c1c[n-]c2cccnc2c1=O)OC ZINC001153862478 864173647 /nfs/dbraw/zinc/17/36/47/864173647.db2.gz WFPUWBOYFSYOJP-UHFFFAOYSA-N -1 1 305.334 1.052 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cn(-c2ccccc2)cn1 ZINC001153872509 864184981 /nfs/dbraw/zinc/18/49/81/864184981.db2.gz PIJLXIAXRZNDPO-UHFFFAOYSA-N -1 1 309.333 1.195 20 0 DDADMM CC1(CCNC(=O)C(=O)NCc2ccc([O-])c(Cl)c2)CC1 ZINC001330344510 864256669 /nfs/dbraw/zinc/25/66/69/864256669.db2.gz KRQKHHYBRCMZRI-UHFFFAOYSA-N -1 1 310.781 1.968 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C/C=C/C2CC2)c1C(F)(F)F ZINC001330385918 864295382 /nfs/dbraw/zinc/29/53/82/864295382.db2.gz NYJKEMNAPLGZGI-NSCUHMNNSA-N -1 1 309.313 1.683 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CCOC(C)C ZINC001330436826 864346407 /nfs/dbraw/zinc/34/64/07/864346407.db2.gz SPQIMWRCHRVABD-UHFFFAOYSA-N -1 1 309.334 1.677 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCCC(=O)NC(C)C ZINC001330820555 864632819 /nfs/dbraw/zinc/63/28/19/864632819.db2.gz XCAOZSFSCWDHMD-UHFFFAOYSA-N -1 1 302.371 1.071 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cn(C3CC3)nn2)[n-]1 ZINC001361516420 881811652 /nfs/dbraw/zinc/81/16/52/881811652.db2.gz HBQLLEJIGLXMTG-UHFFFAOYSA-N -1 1 317.305 1.183 20 0 DDADMM CCOC(=O)c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)nc1 ZINC001159192395 865157420 /nfs/dbraw/zinc/15/74/20/865157420.db2.gz OTIYAEFZOZNYMV-UHFFFAOYSA-N -1 1 300.278 1.374 20 0 DDADMM CC(C)COc1cncc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159195813 865160535 /nfs/dbraw/zinc/16/05/35/865160535.db2.gz PYYJSUDCAOEWFW-UHFFFAOYSA-N -1 1 317.309 1.284 20 0 DDADMM CCOCC[C@H](NCc1cn(-c2ccccc2)nn1)C(=O)[O-] ZINC001332006134 865496687 /nfs/dbraw/zinc/49/66/87/865496687.db2.gz DGIORSIACHDTLU-AWEZNQCLSA-N -1 1 304.350 1.237 20 0 DDADMM NCc1nccnc1[N-]c1nc(Cl)cc(O[C@H]2CCOC2)n1 ZINC001160251638 865747661 /nfs/dbraw/zinc/74/76/61/865747661.db2.gz NCVAQFHWNVCFHH-QMMMGPOBSA-N -1 1 322.756 1.290 20 0 DDADMM Cc1cc(C)c(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)[nH]1 ZINC001333029420 866353518 /nfs/dbraw/zinc/35/35/18/866353518.db2.gz MLYGMIOKRXXTOI-UHFFFAOYSA-N -1 1 300.314 1.745 20 0 DDADMM COC(=O)[C@H](Oc1cc([O-])cc(O)c1C(C)=O)C(F)(F)F ZINC001225680286 881971676 /nfs/dbraw/zinc/97/16/76/881971676.db2.gz WUTQGBLJBAXGLG-JTQLQIEISA-N -1 1 308.208 1.783 20 0 DDADMM O=C(NCC1=CCCC1)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001333096569 866417584 /nfs/dbraw/zinc/41/75/84/866417584.db2.gz JWOBUGSFFDSVKS-UHFFFAOYSA-N -1 1 308.765 1.888 20 0 DDADMM [NH3+]Cc1ccc(S(=O)(=O)[N-]c2ccccc2C(=O)[O-])cc1 ZINC001161748029 866959819 /nfs/dbraw/zinc/95/98/19/866959819.db2.gz DHXQSHNFESBTPO-UHFFFAOYSA-N -1 1 306.343 1.644 20 0 DDADMM O=C(NC/C=C\CNCc1nccs1)c1ncccc1[O-] ZINC001321026511 867227903 /nfs/dbraw/zinc/22/79/03/867227903.db2.gz DZJZVBCMODDZPU-UPHRSURJSA-N -1 1 304.375 1.320 20 0 DDADMM Cn1cnnc1[C@@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC001361639083 882062512 /nfs/dbraw/zinc/06/25/12/882062512.db2.gz HJEZQIZZDCEDBY-SNVBAGLBSA-N -1 1 304.325 1.680 20 0 DDADMM O=c1ncc(F)c(O[C@H]2COCCN(Cc3ccccc3)C2)[n-]1 ZINC001225885568 882089180 /nfs/dbraw/zinc/08/91/80/882089180.db2.gz NGRHUOFROWLPBX-CYBMUJFWSA-N -1 1 319.336 1.601 20 0 DDADMM C[C@@H]1CC/C(=C\C(=O)N2CCN([C@]3(C(=O)[O-])CCOC3)CC2)C1 ZINC001334961124 867949586 /nfs/dbraw/zinc/94/95/86/867949586.db2.gz HHYQISBKDXTHCY-DJJZEKFXSA-N -1 1 322.405 1.121 20 0 DDADMM CCCCCC(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001335606237 868417420 /nfs/dbraw/zinc/41/74/20/868417420.db2.gz SWSGJNRQGKUBJZ-JOCQHMNTSA-N -1 1 319.405 1.992 20 0 DDADMM CN1CCO[C@@H](COc2cc(=O)[n-]c(-c3ccccc3)n2)C1=O ZINC001226185142 882258697 /nfs/dbraw/zinc/25/86/97/882258697.db2.gz OMEHJTDRLDTSBF-LBPRGKRZSA-N -1 1 315.329 1.085 20 0 DDADMM C[C@@H]1CN(c2nnc(Cc3nnn[n-]3)n2C)CCc2ccccc21 ZINC001338123871 869817305 /nfs/dbraw/zinc/81/73/05/869817305.db2.gz VMSJVMYIHWUJGE-LLVKDONJSA-N -1 1 324.392 1.085 20 0 DDADMM C[C@@H]1CN(c2nnc(Cc3nn[n-]n3)n2C)CCc2ccccc21 ZINC001338123871 869817312 /nfs/dbraw/zinc/81/73/12/869817312.db2.gz VMSJVMYIHWUJGE-LLVKDONJSA-N -1 1 324.392 1.085 20 0 DDADMM C[C@@H]1CN(c2nnc(-c3cc(Cl)ncc3[O-])n2C)CCCO1 ZINC001338337266 869928553 /nfs/dbraw/zinc/92/85/53/869928553.db2.gz BHLJEHDLTNQQJY-SECBINFHSA-N -1 1 323.784 1.851 20 0 DDADMM O=C(NCCCn1cccnc1=O)c1ncc2ccccc2c1[O-] ZINC001297515929 870084592 /nfs/dbraw/zinc/08/45/92/870084592.db2.gz FWHWKVIEIWDVCI-UHFFFAOYSA-N -1 1 324.340 1.317 20 0 DDADMM NC(=O)COc1ccc(NC(=O)c2cccc([O-])c2F)cc1 ZINC001361793704 882385001 /nfs/dbraw/zinc/38/50/01/882385001.db2.gz OPZUORRLGZSOIY-UHFFFAOYSA-N -1 1 304.277 1.648 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC[C@H](c2ccccc2C)C1 ZINC001339260316 870424947 /nfs/dbraw/zinc/42/49/47/870424947.db2.gz LMWRZAVRNILPEN-LBPRGKRZSA-N -1 1 324.392 1.780 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC[C@H](c2ccccc2C)C1 ZINC001339260316 870424954 /nfs/dbraw/zinc/42/49/54/870424954.db2.gz LMWRZAVRNILPEN-LBPRGKRZSA-N -1 1 324.392 1.780 20 0 DDADMM C/C=C\C[C@H]1CCCN(c2nnc(Cc3nnn[n-]3)n2C)C1 ZINC001339604875 870577570 /nfs/dbraw/zinc/57/75/70/870577570.db2.gz ZDJIAURTOFLBAL-BYCRGOAPSA-N -1 1 302.386 1.102 20 0 DDADMM C/C=C\C[C@H]1CCCN(c2nnc(Cc3nn[n-]n3)n2C)C1 ZINC001339604875 870577577 /nfs/dbraw/zinc/57/75/77/870577577.db2.gz ZDJIAURTOFLBAL-BYCRGOAPSA-N -1 1 302.386 1.102 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnn2CC2CCC2)n1 ZINC001361814544 882424506 /nfs/dbraw/zinc/42/45/06/882424506.db2.gz KCYUTBOCWSDJMK-UHFFFAOYSA-N -1 1 317.349 1.835 20 0 DDADMM CCc1cccc(N(C)c2nnc(-c3noc(=O)[n-]3)n2CC)c1 ZINC001340266209 870997251 /nfs/dbraw/zinc/99/72/51/870997251.db2.gz REEQGHRENNXEII-UHFFFAOYSA-N -1 1 314.349 1.972 20 0 DDADMM CN(C(=O)c1[nH]c2ccccc2c1Br)c1nn[n-]n1 ZINC001301547783 871000145 /nfs/dbraw/zinc/00/01/45/871000145.db2.gz LVBBZUKZKNNMNZ-UHFFFAOYSA-N -1 1 321.138 1.720 20 0 DDADMM Cn1cnc(C=CC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)c1 ZINC001301709916 871019903 /nfs/dbraw/zinc/01/99/03/871019903.db2.gz YKIVZOVTSQYBSO-DAXSKMNVSA-N -1 1 309.329 1.963 20 0 DDADMM O=C(c1ccc(-c2c[nH]cn2)cc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001302936246 871090132 /nfs/dbraw/zinc/09/01/32/871090132.db2.gz GPIWRPNJNOIAAI-LBPRGKRZSA-N -1 1 309.333 1.220 20 0 DDADMM COCC(C)(C)CCC[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001309555379 871580842 /nfs/dbraw/zinc/58/08/42/871580842.db2.gz HJPGSWSMYAUQGA-UHFFFAOYSA-N -1 1 322.452 1.883 20 0 DDADMM Cc1cc(C(=O)NCc2nn[n-]n2)c(C)n1Cc1ccco1 ZINC001318497486 872116238 /nfs/dbraw/zinc/11/62/38/872116238.db2.gz ZNAYYJFEYIBUCT-UHFFFAOYSA-N -1 1 300.322 1.189 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)[C@H]1CCc2ccccc21 ZINC001342590655 872282682 /nfs/dbraw/zinc/28/26/82/872282682.db2.gz KXDIKHBGZCRBRO-LBPRGKRZSA-N -1 1 310.365 1.602 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)[C@H]1CCc2ccccc21 ZINC001342590655 872282692 /nfs/dbraw/zinc/28/26/92/872282692.db2.gz KXDIKHBGZCRBRO-LBPRGKRZSA-N -1 1 310.365 1.602 20 0 DDADMM C[N@@H+]1CCO[C@]2(CCCN(Cc3c(F)ccc(O)c3F)C2)C1 ZINC001276491131 872488947 /nfs/dbraw/zinc/48/89/47/872488947.db2.gz CBGGKNFIKALVGK-MRXNPFEDSA-N -1 1 312.360 1.967 20 0 DDADMM CCNC(=O)NCc1ccc(NC(=O)C2=C([O-])C(C)N=N2)cc1 ZINC001361988743 882768446 /nfs/dbraw/zinc/76/84/46/882768446.db2.gz LMDLMLJJGMVDRF-UHFFFAOYSA-N -1 1 317.349 1.495 20 0 DDADMM Cn1cc(-c2cccc(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)c2)cn1 ZINC001347472386 874154853 /nfs/dbraw/zinc/15/48/53/874154853.db2.gz BZHJGUWVGHPAFT-CYBMUJFWSA-N -1 1 323.360 1.230 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1cnc2n1CCCC2 ZINC001347556934 874186287 /nfs/dbraw/zinc/18/62/87/874186287.db2.gz GSFWNJODGMBPQR-UHFFFAOYSA-N -1 1 319.390 1.242 20 0 DDADMM Cc1cc2ccccc2nc1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347973374 874324977 /nfs/dbraw/zinc/32/49/77/874324977.db2.gz CSMJUHQSYLSROM-LBPRGKRZSA-N -1 1 308.345 1.686 20 0 DDADMM COc1cnc(C2(NC(=O)/C=C\SC)CCCC2)[n-]c1=O ZINC001348502200 874599473 /nfs/dbraw/zinc/59/94/73/874599473.db2.gz DLBBFCHJRNMXME-YVMONPNESA-N -1 1 309.391 1.953 20 0 DDADMM C[C@H](CC(F)(F)F)C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348838606 874820923 /nfs/dbraw/zinc/82/09/23/874820923.db2.gz HSAFATSPOCTXCP-MRVPVSSYSA-N -1 1 319.283 1.222 20 0 DDADMM CCOC(=O)[C@H](CC)Oc1[n-]c(=O)ncc1Br ZINC001227138281 882869758 /nfs/dbraw/zinc/86/97/58/882869758.db2.gz PQSDYSKXBUNSNS-ZETCQYMHSA-N -1 1 305.128 1.665 20 0 DDADMM COc1cc(C)c([N-]S(=O)(=O)c2ccc(O)c(N)c2)cn1 ZINC001209870998 875151610 /nfs/dbraw/zinc/15/16/10/875151610.db2.gz FSCDZQJUYBIFJR-UHFFFAOYSA-N -1 1 309.347 1.487 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCNC(=O)[C@@H]1C[C@H]1C ZINC001349442489 875176863 /nfs/dbraw/zinc/17/68/63/875176863.db2.gz HSTDHQXOMKBPQR-MWLCHTKSSA-N -1 1 320.393 1.116 20 0 DDADMM COCCN(Cc1ccco1)C(=O)c1cnc(SC)[n-]c1=O ZINC001362045822 882907266 /nfs/dbraw/zinc/90/72/66/882907266.db2.gz MMJCVIMZYUCXAJ-UHFFFAOYSA-N -1 1 323.374 1.786 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccccc1C=O)c1noc(C)n1 ZINC001210593288 875448792 /nfs/dbraw/zinc/44/87/92/875448792.db2.gz INKZCJRXYZPEEA-NSHDSACASA-N -1 1 309.347 1.620 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H](C)c1ccncc1 ZINC001350038040 875509688 /nfs/dbraw/zinc/50/96/88/875509688.db2.gz KXAFDPXRIYTSAA-MRVPVSSYSA-N -1 1 304.375 1.708 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2ccnc(OC3CC3)c2)ccc1O ZINC001210748639 875510277 /nfs/dbraw/zinc/51/02/77/875510277.db2.gz JHYAVKCSASCRNT-UHFFFAOYSA-N -1 1 321.358 1.711 20 0 DDADMM O=S(=O)([N-]Cc1ncc[nH]1)c1cnc(Cl)cc1Cl ZINC001211009773 875625147 /nfs/dbraw/zinc/62/51/47/875625147.db2.gz KXSAYORQKBSIRG-UHFFFAOYSA-N -1 1 307.162 1.590 20 0 DDADMM CC(C)OC(=O)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001362066635 882953078 /nfs/dbraw/zinc/95/30/78/882953078.db2.gz OEGUVKAESJAWQJ-UHFFFAOYSA-N -1 1 306.293 1.761 20 0 DDADMM CCOC(=O)c1cccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c1 ZINC001211204276 875711120 /nfs/dbraw/zinc/71/11/20/875711120.db2.gz SXPBIIPAIOURIO-UHFFFAOYSA-N -1 1 306.347 1.973 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccc2[nH]c(=O)ccc2c1 ZINC001213769573 876050449 /nfs/dbraw/zinc/05/04/49/876050449.db2.gz DNDJJBQJLGKXMV-UHFFFAOYSA-N -1 1 301.331 1.638 20 0 DDADMM CCOC(=O)[C@@H](CC(C)C)Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1 ZINC001227430513 883009259 /nfs/dbraw/zinc/00/92/59/883009259.db2.gz LKQWXWUJOJEIGM-SSDOTTSWSA-N -1 1 310.310 1.121 20 0 DDADMM CCOC(=O)[C@@H](CC(C)C)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227430513 883009277 /nfs/dbraw/zinc/00/92/77/883009277.db2.gz LKQWXWUJOJEIGM-SSDOTTSWSA-N -1 1 310.310 1.121 20 0 DDADMM CCC[C@@H](C)CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001214296250 876265362 /nfs/dbraw/zinc/26/53/62/876265362.db2.gz FLYPKQMCOISRLR-IJLUTSLNSA-N -1 1 309.414 1.273 20 0 DDADMM CCn1c(-c2nc(Cl)n[n-]2)nnc1N(C)CC1=CCCOC1 ZINC001352358280 876706865 /nfs/dbraw/zinc/70/68/65/876706865.db2.gz YDJVLYLRCXLOHQ-UHFFFAOYSA-N -1 1 323.788 1.519 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H](CCC(N)=O)C2)c(=O)[n-]1 ZINC001362107890 883058724 /nfs/dbraw/zinc/05/87/24/883058724.db2.gz GXCSONFFUBNXCJ-SECBINFHSA-N -1 1 324.406 1.022 20 0 DDADMM COCOc1ccc([N-]S(=O)(=O)c2ccc(N)cc2)cn1 ZINC001215666596 876781706 /nfs/dbraw/zinc/78/17/06/876781706.db2.gz YOAHXNJGQPHCPD-UHFFFAOYSA-N -1 1 309.347 1.447 20 0 DDADMM CCCCOC[C@H](C)OC[C@@H](C)Oc1nc(=O)[nH]c(=O)[n-]1 ZINC001227570870 883089689 /nfs/dbraw/zinc/08/96/89/883089689.db2.gz OLVUGJGLIQOGRC-VHSXEESVSA-N -1 1 301.343 1.272 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)CN(C)Cc2cccnc2)c1[O-] ZINC001379647405 877635210 /nfs/dbraw/zinc/63/52/10/877635210.db2.gz RRPMJFUGVYCSBJ-SNVBAGLBSA-N -1 1 303.366 1.069 20 0 DDADMM CC1(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)CCCCC1 ZINC001379891653 878242472 /nfs/dbraw/zinc/24/24/72/878242472.db2.gz IPSRJJJJROVVNN-LBPRGKRZSA-N -1 1 321.425 1.465 20 0 DDADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)C1=CCCC1 ZINC001380323953 879334946 /nfs/dbraw/zinc/33/49/46/879334946.db2.gz ZEDXSANUJYOOTK-CYBMUJFWSA-N -1 1 319.409 1.385 20 0 DDADMM C[C@@]1(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C=CCC1 ZINC001356827355 879438360 /nfs/dbraw/zinc/43/83/60/879438360.db2.gz HPVAZGMDFDCTPY-QGZVFWFLSA-N -1 1 315.373 1.332 20 0 DDADMM C/C=C(\C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCCC1 ZINC001356935111 879604789 /nfs/dbraw/zinc/60/47/89/879604789.db2.gz IUFFPTHFZJZVDY-KGVSQERTSA-N -1 1 317.389 1.912 20 0 DDADMM Cc1ccc(C)c(C(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001374313794 912516070 /nfs/dbraw/zinc/51/60/70/912516070.db2.gz IVMRFRORHJNYPC-GFCCVEGCSA-N -1 1 317.393 1.377 20 0 DDADMM C[C@@H](CNC(=O)C1CC(C)C1)N(C)C(=O)c1ncccc1[O-] ZINC001380674182 880163791 /nfs/dbraw/zinc/16/37/91/880163791.db2.gz ZBLDBWHJIDHLMK-CXQJBGSLSA-N -1 1 305.378 1.410 20 0 DDADMM CSc1cc(NC(=O)[C@]2(C(=O)[O-])CNCCO2)ccc1C ZINC001357812088 880210607 /nfs/dbraw/zinc/21/06/07/880210607.db2.gz WGBIZJWIWZRMBL-AWEZNQCLSA-N -1 1 310.375 1.099 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H]2C[C@@H](OCc3nn[n-]n3)C[C@@H]21 ZINC001223029738 880790477 /nfs/dbraw/zinc/79/04/77/880790477.db2.gz OSDKLNVUMOOREI-MXWKQRLJSA-N -1 1 309.370 1.504 20 0 DDADMM O=c1nc2c(c(OC[C@H]3COc4ccccc4O3)[n-]1)COC2 ZINC001227690050 883133975 /nfs/dbraw/zinc/13/39/75/883133975.db2.gz KACMCFNBQUXKOB-SECBINFHSA-N -1 1 302.286 1.431 20 0 DDADMM CCCC(O)(CCC)CNC(=O)c1cnc(SC)[n-]c1=O ZINC001362138807 883134095 /nfs/dbraw/zinc/13/40/95/883134095.db2.gz LEZZTLCAVYMHLF-UHFFFAOYSA-N -1 1 313.423 1.965 20 0 DDADMM C[C@@H]1OCc2c1nc(=O)[n-]c2OC[C@@H]1COc2ccccc2O1 ZINC001227709077 883140662 /nfs/dbraw/zinc/14/06/62/883140662.db2.gz AWBCLXXAEMQNHV-UWVGGRQHSA-N -1 1 316.313 1.992 20 0 DDADMM CS[C@@H]1CC[C@@H](N(C)C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001362185750 883241387 /nfs/dbraw/zinc/24/13/87/883241387.db2.gz CZYKFGBOFQCJND-GHMZBOCLSA-N -1 1 323.418 1.260 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H](C)c2ccc(C(=O)OC)cc2)n1 ZINC001228308345 883436588 /nfs/dbraw/zinc/43/65/88/883436588.db2.gz DDFSWPUIWDLHHE-MRVPVSSYSA-N -1 1 305.290 1.518 20 0 DDADMM COC(=O)c1nc(O[C@H](C)c2ccc(C(=O)OC)cc2)n[n-]1 ZINC001228308345 883436594 /nfs/dbraw/zinc/43/65/94/883436594.db2.gz DDFSWPUIWDLHHE-MRVPVSSYSA-N -1 1 305.290 1.518 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H]3COCC[C@@H]32)c(=O)[n-]1 ZINC001362287717 883485934 /nfs/dbraw/zinc/48/59/34/883485934.db2.gz RIGNWQJVZWIYMY-KOLCDFICSA-N -1 1 309.391 1.545 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)NC(=O)c1cnc(SC)[n-]c1=O ZINC001362352263 883627833 /nfs/dbraw/zinc/62/78/33/883627833.db2.gz JYZGXPILRPKREH-YUMQZZPRSA-N -1 1 313.379 1.222 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(F)c([O-])c2)C[C@]2(CCOC2)O1 ZINC001362379103 883678925 /nfs/dbraw/zinc/67/89/25/883678925.db2.gz RMZVJNMAPGLZOS-INIZCTEOSA-N -1 1 309.337 1.941 20 0 DDADMM CCN(CC)C(=O)CCCC(=O)NC(CC)(CC)c1nn[n-]n1 ZINC001362496914 883942028 /nfs/dbraw/zinc/94/20/28/883942028.db2.gz OPQZTEFEHHFJKO-UHFFFAOYSA-N -1 1 324.429 1.370 20 0 DDADMM COC(=O)C[C@]1(NC(=O)c2cccc([O-])c2F)CCCOC1 ZINC001362513880 883980301 /nfs/dbraw/zinc/98/03/01/883980301.db2.gz BJOASWNNWTUGFO-OAHLLOKOSA-N -1 1 311.309 1.373 20 0 DDADMM CC[C@@H](CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)CC(F)(F)F ZINC001362569090 884115247 /nfs/dbraw/zinc/11/52/47/884115247.db2.gz MGPJWFXCROLJQB-ZCFIWIBFSA-N -1 1 323.271 1.605 20 0 DDADMM CC(C)[C@@H](C)C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001382527420 884186906 /nfs/dbraw/zinc/18/69/06/884186906.db2.gz COIHJGAWJCEXGA-GHMZBOCLSA-N -1 1 311.430 1.423 20 0 DDADMM CC[C@H]1C[C@@H](CC(=O)NC(CC)(CC)c2nn[n-]n2)CCO1 ZINC001362626084 884257916 /nfs/dbraw/zinc/25/79/16/884257916.db2.gz QLVVSOPUALMGQE-RYUDHWBXSA-N -1 1 309.414 1.927 20 0 DDADMM CCOC(=O)[C@@H]1CCCC[C@H]1Oc1nc(C)[n-]c(=O)c1OC ZINC001230021611 884280774 /nfs/dbraw/zinc/28/07/74/884280774.db2.gz NTPOLHRWKUVJOE-GHMZBOCLSA-N -1 1 310.350 2.000 20 0 DDADMM CCOC(=O)C(F)(F)[C@H](CC)Oc1nc(C)[n-]c(=O)c1OC ZINC001230022202 884285266 /nfs/dbraw/zinc/28/52/66/884285266.db2.gz SLVIQWILGQMRTJ-QMMMGPOBSA-N -1 1 320.292 1.855 20 0 DDADMM O=C(N[C@@H](CO)[C@H]1CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC001362770407 884599363 /nfs/dbraw/zinc/59/93/63/884599363.db2.gz MGNJCHHUSXTPRP-KWQFWETISA-N -1 1 319.279 1.538 20 0 DDADMM CNC(=O)CN(Cc1ccccc1)C(=O)c1ccc([O-])cc1F ZINC001362800761 884674795 /nfs/dbraw/zinc/67/47/95/884674795.db2.gz ZZHFBFPVQOSTBG-UHFFFAOYSA-N -1 1 316.332 1.920 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H]2[C@H]2CCCOC2)c(=O)[n-]1 ZINC001362828148 884738014 /nfs/dbraw/zinc/73/80/14/884738014.db2.gz WJWSCCAOVHKYQT-JQWIXIFHSA-N -1 1 323.418 1.935 20 0 DDADMM CCC(CC)(NC(=O)c1cc2c([nH]1)CCCC2)c1nn[n-]n1 ZINC001362851438 884794500 /nfs/dbraw/zinc/79/45/00/884794500.db2.gz AMLCCHRLKJUBEO-UHFFFAOYSA-N -1 1 302.382 1.852 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(C(C)=O)c(O)c1)c1nn[n-]n1 ZINC001362853974 884804345 /nfs/dbraw/zinc/80/43/45/884804345.db2.gz HDEQOLRGHFKJJA-UHFFFAOYSA-N -1 1 317.349 1.553 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1Cc2ccc(F)cc21)c1nn[n-]n1 ZINC001362857640 884812124 /nfs/dbraw/zinc/81/21/24/884812124.db2.gz OHDDUOGNDRYFDY-GFCCVEGCSA-N -1 1 303.341 1.810 20 0 DDADMM CSc1ncc(C(=O)NCc2nn(C)cc2Cl)c(=O)[n-]1 ZINC001362868862 884838306 /nfs/dbraw/zinc/83/83/06/884838306.db2.gz MAFINMSKUNKZRS-UHFFFAOYSA-N -1 1 313.770 1.221 20 0 DDADMM COC[C@@H](NC(=O)CCOc1cc(C)cc(C)c1)c1nn[n-]n1 ZINC001362912314 884963471 /nfs/dbraw/zinc/96/34/71/884963471.db2.gz XACIUTFOTUFKKX-CYBMUJFWSA-N -1 1 319.365 1.089 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cnc(SC)[n-]c2=O)[C@@H](CC)CO1 ZINC001362921696 884991822 /nfs/dbraw/zinc/99/18/22/884991822.db2.gz QHMIARQFJLAHBC-VHSXEESVSA-N -1 1 311.407 1.934 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cnc(SC)[n-]c2=O)[C@H](CC)CO1 ZINC001362921694 884992280 /nfs/dbraw/zinc/99/22/80/884992280.db2.gz QHMIARQFJLAHBC-NXEZZACHSA-N -1 1 311.407 1.934 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)c2ccc(OC)cc2)o1 ZINC001362926533 885006738 /nfs/dbraw/zinc/00/67/38/885006738.db2.gz VGCIUEJJDHELAF-UHFFFAOYSA-N -1 1 324.358 1.473 20 0 DDADMM CC(C)(C)OC(=O)Cn1cc(NC(=O)c2ccc([O-])cn2)cn1 ZINC001362947540 885057833 /nfs/dbraw/zinc/05/78/33/885057833.db2.gz DJTPUQNSHBAXLV-UHFFFAOYSA-N -1 1 318.333 1.578 20 0 DDADMM COCC1(C2CCC2)CN(C(=O)c2cnc(SC)[n-]c2=O)C1 ZINC001363034909 885283655 /nfs/dbraw/zinc/28/36/55/885283655.db2.gz WFDUJYOPARDMTR-UHFFFAOYSA-N -1 1 323.418 1.793 20 0 DDADMM Cc1c(NC(=O)c2ccc([O-])cn2)cccc1N1CCNC1=O ZINC001363066839 885381294 /nfs/dbraw/zinc/38/12/94/885381294.db2.gz RVLNTSLEKAJNDI-UHFFFAOYSA-N -1 1 312.329 1.878 20 0 DDADMM C[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)[C@@H](C)C(C)(C)C ZINC001363107100 885481915 /nfs/dbraw/zinc/48/19/15/885481915.db2.gz IDYGZYXTDHTDKH-HTQZYQBOSA-N -1 1 302.400 1.465 20 0 DDADMM C[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)[C@@H](C)C(C)(C)C ZINC001363107100 885481920 /nfs/dbraw/zinc/48/19/20/885481920.db2.gz IDYGZYXTDHTDKH-HTQZYQBOSA-N -1 1 302.400 1.465 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccccc2C2CCC2)n1 ZINC001363114897 885498122 /nfs/dbraw/zinc/49/81/22/885498122.db2.gz ZRBPMRHJWXAENP-UHFFFAOYSA-N -1 1 320.374 1.728 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2ccccc2C2CCC2)[n-]1 ZINC001363114897 885498125 /nfs/dbraw/zinc/49/81/25/885498125.db2.gz ZRBPMRHJWXAENP-UHFFFAOYSA-N -1 1 320.374 1.728 20 0 DDADMM COc1ccc(CNC(=O)c2ccc(F)c([O-])c2)c(OC)n1 ZINC001363176684 885646490 /nfs/dbraw/zinc/64/64/90/885646490.db2.gz TTWCZGWFERJOSK-UHFFFAOYSA-N -1 1 306.293 1.874 20 0 DDADMM O=C(NC1CC1)OC[C@H]1CCCCN1C(=O)c1ccc([O-])cn1 ZINC001363182266 885659477 /nfs/dbraw/zinc/65/94/77/885659477.db2.gz BBUIOMIQZJJAQB-GFCCVEGCSA-N -1 1 319.361 1.671 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@H]2COC(=O)N[C@@H]2C1 ZINC001363203251 885704173 /nfs/dbraw/zinc/70/41/73/885704173.db2.gz SAJXRISTTFDTSW-GZMMTYOYSA-N -1 1 310.737 1.616 20 0 DDADMM CN(C)C(=O)c1n[nH]c2c1CN(Cc1ccc([O-])c(F)c1F)C2 ZINC001277543038 885766270 /nfs/dbraw/zinc/76/62/70/885766270.db2.gz DFRXGMLOXLSGGE-UHFFFAOYSA-N -1 1 322.315 1.611 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2ccc(Cl)c([O-])c2)CCC1=O ZINC001231732224 885839280 /nfs/dbraw/zinc/83/92/80/885839280.db2.gz WYWKJKUGJMRPQU-NSHDSACASA-N -1 1 311.765 2.000 20 0 DDADMM COC(=O)C[C@@](C)(NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC001363265398 885856898 /nfs/dbraw/zinc/85/68/98/885856898.db2.gz FHMVULCTTXRMTA-MRXNPFEDSA-N -1 1 319.361 1.521 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1scnc1C1CC1 ZINC001363274879 885880230 /nfs/dbraw/zinc/88/02/30/885880230.db2.gz BEFHECGSCRSIGV-UHFFFAOYSA-N -1 1 306.395 1.832 20 0 DDADMM COc1cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)ccc1C ZINC001363276396 885886397 /nfs/dbraw/zinc/88/63/97/885886397.db2.gz METKQVZTXMGNHI-UHFFFAOYSA-N -1 1 303.366 1.815 20 0 DDADMM O=C(c1cc(=O)[nH]c(C2CC2)c1)N1CCC(c2nn[n-]n2)CC1 ZINC001363281791 885904682 /nfs/dbraw/zinc/90/46/82/885904682.db2.gz IEWGJHROAORGII-UHFFFAOYSA-N -1 1 314.349 1.198 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCS(=O)(=O)C[C@@H]1C1CC1 ZINC001363300959 885953502 /nfs/dbraw/zinc/95/35/02/885953502.db2.gz YJYTUEUDEXEHKQ-LLVKDONJSA-N -1 1 313.350 1.181 20 0 DDADMM COC(=O)c1ncoc1CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC001363333565 886050482 /nfs/dbraw/zinc/05/04/82/886050482.db2.gz JWCLAMIHUVMSRQ-UHFFFAOYSA-N -1 1 324.720 1.679 20 0 DDADMM CC1(C)CCC[C@H](CNC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001363349849 886100449 /nfs/dbraw/zinc/10/04/49/886100449.db2.gz ZUKIOHKELODVMM-LBPRGKRZSA-N -1 1 319.405 1.850 20 0 DDADMM COC(=O)Cc1ccc(NC(=O)c2n[nH]c(C)c2[O-])cc1F ZINC001363395064 886215342 /nfs/dbraw/zinc/21/53/42/886215342.db2.gz YMNHSNSXTSPFSB-UHFFFAOYSA-N -1 1 307.281 1.531 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](C)c2ccncc2)c(=O)[n-]1 ZINC001363507587 886511427 /nfs/dbraw/zinc/51/14/27/886511427.db2.gz DKLQKWFWCZLXMB-VIFPVBQESA-N -1 1 304.375 1.833 20 0 DDADMM CC(C)c1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)c(O)c1 ZINC001363553510 886620134 /nfs/dbraw/zinc/62/01/34/886620134.db2.gz UJKKRZQVDDDNHD-UHFFFAOYSA-N -1 1 301.350 1.838 20 0 DDADMM CC1(C)CN(Cc2ccc(F)c([O-])c2F)CCN1CC(N)=O ZINC001232934424 886682669 /nfs/dbraw/zinc/68/26/69/886682669.db2.gz FTNNWEYOZSXWIM-UHFFFAOYSA-N -1 1 313.348 1.052 20 0 DDADMM [O-]c1c(F)ccc(CN2CCN(c3ncc(O)cn3)CC2)c1F ZINC001232941395 886685039 /nfs/dbraw/zinc/68/50/39/886685039.db2.gz VVAUJMXUTLTSKN-UHFFFAOYSA-N -1 1 322.315 1.488 20 0 DDADMM COC(=O)CC[C@H]1COCCN1Cc1cc([O-])c(F)c(F)c1 ZINC001232973826 886703066 /nfs/dbraw/zinc/70/30/66/886703066.db2.gz BEPIXCFLHZELHM-NSHDSACASA-N -1 1 315.316 1.824 20 0 DDADMM CCS(=O)(=O)N1CCN(Cc2cc([O-])c(F)c(F)c2)CC1 ZINC001232975749 886705663 /nfs/dbraw/zinc/70/56/63/886705663.db2.gz OJBZHKYKFNWXGK-UHFFFAOYSA-N -1 1 320.361 1.138 20 0 DDADMM CCC(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001383952455 886762071 /nfs/dbraw/zinc/76/20/71/886762071.db2.gz YKRKCMVSOIURFN-RYUDHWBXSA-N -1 1 305.378 1.412 20 0 DDADMM NC(=O)c1cccc(C(=O)NCCc2c(F)cc([O-])cc2F)c1 ZINC001363625515 886801814 /nfs/dbraw/zinc/80/18/14/886801814.db2.gz FLWQSXIHOLFKML-UHFFFAOYSA-N -1 1 320.295 1.742 20 0 DDADMM COC(=O)[C@]1(CNC(=O)c2cc(Cl)ccc2[O-])CCOC1 ZINC001363697274 887006008 /nfs/dbraw/zinc/00/60/08/887006008.db2.gz RHTWUCIPXWFPBV-AWEZNQCLSA-N -1 1 313.737 1.355 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2c[n-][nH]c2=O)c(C)n1 ZINC001363713558 887047329 /nfs/dbraw/zinc/04/73/29/887047329.db2.gz ZFFXKBOMHTURRB-UHFFFAOYSA-N -1 1 307.379 1.815 20 0 DDADMM CC(C)(C)OC(=O)CCCC(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363720783 887065343 /nfs/dbraw/zinc/06/53/43/887065343.db2.gz KXIQIFBPAVPWRY-SNVBAGLBSA-N -1 1 309.370 1.028 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)Cc2ccccc2C)[n-]n1 ZINC001363743746 887122420 /nfs/dbraw/zinc/12/24/20/887122420.db2.gz WYVAZNGSABOKMB-UHFFFAOYSA-N -1 1 323.374 1.325 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)Cc2ccccc2C)n[n-]1 ZINC001363743746 887122429 /nfs/dbraw/zinc/12/24/29/887122429.db2.gz WYVAZNGSABOKMB-UHFFFAOYSA-N -1 1 323.374 1.325 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H]3CCC[C@@H]32)[n-]n1 ZINC001363768800 887174261 /nfs/dbraw/zinc/17/42/61/887174261.db2.gz YOFGIVZRNJMGAM-KOLCDFICSA-N -1 1 313.379 1.150 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H]3CCC[C@@H]32)n[n-]1 ZINC001363768800 887174266 /nfs/dbraw/zinc/17/42/66/887174266.db2.gz YOFGIVZRNJMGAM-KOLCDFICSA-N -1 1 313.379 1.150 20 0 DDADMM COc1cnc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c(F)c1 ZINC001363811873 887285251 /nfs/dbraw/zinc/28/52/51/887285251.db2.gz XQYWIBIXWDMGEG-UHFFFAOYSA-N -1 1 308.317 1.041 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@@H]1CC[C@]2(CCCCO2)O1 ZINC001233757720 887285956 /nfs/dbraw/zinc/28/59/56/887285956.db2.gz GKSBRQWNJOFKCG-BONVTDFDSA-N -1 1 324.333 1.423 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccc(O)cn2)[n-]c1=O ZINC001363846586 887383549 /nfs/dbraw/zinc/38/35/49/887383549.db2.gz GSPOQGFYYPXIRJ-NSHDSACASA-N -1 1 316.317 1.269 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1cscn1 ZINC001363974472 887646374 /nfs/dbraw/zinc/64/63/74/887646374.db2.gz YTJNILGHRZMAMQ-SECBINFHSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1cscn1 ZINC001363974472 887646380 /nfs/dbraw/zinc/64/63/80/887646380.db2.gz YTJNILGHRZMAMQ-SECBINFHSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1cscn1 ZINC001363974472 887646385 /nfs/dbraw/zinc/64/63/85/887646385.db2.gz YTJNILGHRZMAMQ-SECBINFHSA-N -1 1 321.362 1.415 20 0 DDADMM CC[C@@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)[C@H]1C ZINC001363988005 887675051 /nfs/dbraw/zinc/67/50/51/887675051.db2.gz JSTVPWVNPLXNBL-DTWKUNHWSA-N -1 1 301.368 1.005 20 0 DDADMM CC[C@@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)[C@H]1C ZINC001363988005 887675054 /nfs/dbraw/zinc/67/50/54/887675054.db2.gz JSTVPWVNPLXNBL-DTWKUNHWSA-N -1 1 301.368 1.005 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CC(C)(C)CC[C@H]2O)sn1 ZINC001364023891 887740983 /nfs/dbraw/zinc/74/09/83/887740983.db2.gz GMQXYMCJFMPVHC-RKDXNWHRSA-N -1 1 320.436 1.370 20 0 DDADMM CC1(C)CO[C@@H](CC(=O)Nc2nc(SCCO)n[nH]2)C1 ZINC001364051588 887801322 /nfs/dbraw/zinc/80/13/22/887801322.db2.gz GIUVBWMJHLIYQN-QMMMGPOBSA-N -1 1 300.384 1.033 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(CC)cc2O)n1 ZINC001364084014 887875299 /nfs/dbraw/zinc/87/52/99/887875299.db2.gz NGAWUANOUSFNEC-UHFFFAOYSA-N -1 1 318.333 1.179 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@H]2CCC(=O)c3ccccc32)c(=O)[n-]1 ZINC001234470244 888001635 /nfs/dbraw/zinc/00/16/35/888001635.db2.gz QEKYJDWDHYUCIQ-LBPRGKRZSA-N -1 1 313.313 1.686 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@@H](C)c2cnc3ccccn32)c(=O)[n-]1 ZINC001234472572 888004156 /nfs/dbraw/zinc/00/41/56/888004156.db2.gz UZFWOQIWQLIZSP-QMMMGPOBSA-N -1 1 313.317 1.377 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@@H]1CCCCC1=O ZINC001234558427 888095559 /nfs/dbraw/zinc/09/55/59/888095559.db2.gz WMEFAUDMBUWMHZ-SNVBAGLBSA-N -1 1 308.334 1.983 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@H]1C[C@H](C(=O)OC)C1 ZINC001234560365 888099103 /nfs/dbraw/zinc/09/91/03/888099103.db2.gz MFFJLSDTYNNVEO-KYZUINATSA-N -1 1 324.333 1.423 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@H]1CO[C@H]2OCC[C@@H]12 ZINC001234560721 888099204 /nfs/dbraw/zinc/09/92/04/888099204.db2.gz NXTZGZSPSLCMDZ-KOSWAMCASA-N -1 1 324.333 1.232 20 0 DDADMM CCC[C@@H](Oc1c(=O)[n-]c(C)nc1C(=O)OC)C(=O)OCC ZINC001234564280 888102905 /nfs/dbraw/zinc/10/29/05/888102905.db2.gz IOCUWGZWNXZYJQ-SECBINFHSA-N -1 1 312.322 1.388 20 0 DDADMM CC[C@@H](CC(=O)OC)Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O ZINC001234566090 888104110 /nfs/dbraw/zinc/10/41/10/888104110.db2.gz SHQLOXLUKIWIQR-QMMMGPOBSA-N -1 1 311.338 1.125 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@@H](C)c1cn(C)nc1C ZINC001234601328 888132228 /nfs/dbraw/zinc/13/22/28/888132228.db2.gz JFODNOGYQCNZPP-QMMMGPOBSA-N -1 1 309.297 1.895 20 0 DDADMM O=C(Nc1nc(C2CCOCC2)ns1)c1cnncc1[O-] ZINC001364467503 888706505 /nfs/dbraw/zinc/70/65/05/888706505.db2.gz CLSCJAYBAZEDBV-UHFFFAOYSA-N -1 1 307.335 1.180 20 0 DDADMM Cc1nc(C(C)C)ncc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001364651378 889123275 /nfs/dbraw/zinc/12/32/75/889123275.db2.gz LSQBCGJGBRFMGY-UHFFFAOYSA-N -1 1 317.397 1.720 20 0 DDADMM CO[C@@H](C(=O)NC1(c2nn[n-]n2)CCC1)c1ccc(F)cc1 ZINC001364662378 889147238 /nfs/dbraw/zinc/14/72/38/889147238.db2.gz HYJVFGVAWASRDE-LLVKDONJSA-N -1 1 305.313 1.222 20 0 DDADMM COc1cccc2c1[C@H](C(=O)NC1(c3nn[n-]n3)CCC1)CC2 ZINC001364662463 889149184 /nfs/dbraw/zinc/14/91/84/889149184.db2.gz KHQRIZHVGBEYMC-LLVKDONJSA-N -1 1 313.361 1.434 20 0 DDADMM CN(CCO)Cc1cc(Br)ccc1OCC(=O)[O-] ZINC001236254915 889157293 /nfs/dbraw/zinc/15/72/93/889157293.db2.gz FTYHRTFQAODPGF-UHFFFAOYSA-N -1 1 318.167 1.337 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@H]1CCCn2ncnc21 ZINC001364709165 889245977 /nfs/dbraw/zinc/24/59/77/889245977.db2.gz LYGRXJQVRNSFLS-JTQLQIEISA-N -1 1 306.753 1.831 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H]([C@@H]3CCCO3)C2)c(=O)[n-]1 ZINC001364742460 889322357 /nfs/dbraw/zinc/32/23/57/889322357.db2.gz RDPDWSOJTFXREP-ONGXEEELSA-N -1 1 309.391 1.545 20 0 DDADMM CC[C@@H](SC)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385584739 889363879 /nfs/dbraw/zinc/36/38/79/889363879.db2.gz YJGFBKGRASRZMK-ZYHUDNBSSA-N -1 1 323.418 1.260 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-][C@H](CO)c1cc(F)ccc1F ZINC001364802691 889445163 /nfs/dbraw/zinc/44/51/63/889445163.db2.gz COAOZBCGSHCXRY-GFCCVEGCSA-N -1 1 318.301 1.106 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)OCCC(F)(F)F)n[n-]1 ZINC001364879985 889597047 /nfs/dbraw/zinc/59/70/47/889597047.db2.gz HSJIVDZNHJJGAN-ZCFIWIBFSA-N -1 1 324.259 1.721 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)OCCC(F)(F)F)[n-]1 ZINC001364879985 889597054 /nfs/dbraw/zinc/59/70/54/889597054.db2.gz HSJIVDZNHJJGAN-ZCFIWIBFSA-N -1 1 324.259 1.721 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)OCCC(F)(F)F)n1 ZINC001364879985 889597064 /nfs/dbraw/zinc/59/70/64/889597064.db2.gz HSJIVDZNHJJGAN-ZCFIWIBFSA-N -1 1 324.259 1.721 20 0 DDADMM CC[C@H](C)CCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385725150 889641962 /nfs/dbraw/zinc/64/19/62/889641962.db2.gz PVSMCYNADSDHCB-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CCCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001396219364 913396743 /nfs/dbraw/zinc/39/67/43/913396743.db2.gz BFPHIJNKOFBNMB-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC2CCC(C)CC2)[n-]n1 ZINC001365029693 889934744 /nfs/dbraw/zinc/93/47/44/889934744.db2.gz CGKOEDRSKDYRQW-UHFFFAOYSA-N -1 1 315.395 1.301 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC2CCC(C)CC2)n[n-]1 ZINC001365029693 889934762 /nfs/dbraw/zinc/93/47/62/889934762.db2.gz CGKOEDRSKDYRQW-UHFFFAOYSA-N -1 1 315.395 1.301 20 0 DDADMM COC(=O)c1cc2n(n1)CCC[C@H]2NC(=O)c1ncccc1[O-] ZINC001365144386 890191686 /nfs/dbraw/zinc/19/16/86/890191686.db2.gz JLAIXVFBLSEPOW-SECBINFHSA-N -1 1 316.317 1.035 20 0 DDADMM CCCCNC(=O)[C@@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001290423597 913430652 /nfs/dbraw/zinc/43/06/52/913430652.db2.gz OTIZJRHTLZSMBP-LBPRGKRZSA-N -1 1 309.391 1.219 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccc(OC[C@H]2CCCO2)cc1 ZINC001365205055 890339928 /nfs/dbraw/zinc/33/99/28/890339928.db2.gz CBZKUVSOQPAWDJ-GFCCVEGCSA-N -1 1 303.318 1.925 20 0 DDADMM COC(=O)c1nccnc1NCc1cc(=O)[n-]c(SC)n1 ZINC001365230117 890398086 /nfs/dbraw/zinc/39/80/86/890398086.db2.gz AJKPFZRBPRGKEX-UHFFFAOYSA-N -1 1 307.335 1.093 20 0 DDADMM C[S@](=O)CCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001365237448 890413767 /nfs/dbraw/zinc/41/37/67/890413767.db2.gz IERMCHHPJREUSK-NRFANRHFSA-N -1 1 308.363 1.078 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1scnc1C ZINC001365404837 890745765 /nfs/dbraw/zinc/74/57/65/890745765.db2.gz WRNLLPYUBDEIQA-UHFFFAOYSA-N -1 1 319.433 1.438 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C/C=C\c1cccnc1 ZINC001365436630 890795240 /nfs/dbraw/zinc/79/52/40/890795240.db2.gz IYBXFXWYQHYLAS-HYXAFXHYSA-N -1 1 311.388 1.538 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CC1CCC1 ZINC001365447923 890812183 /nfs/dbraw/zinc/81/21/83/890812183.db2.gz UICAANYQGGCXCS-QMMMGPOBSA-N -1 1 301.368 1.053 20 0 DDADMM O=[P@@]([O-])(O)c1ccc(-c2ccc(N3CCOCC3)cc2)cc1 ZINC001240765490 891036331 /nfs/dbraw/zinc/03/63/31/891036331.db2.gz QJHJGMHIDHVUNH-UHFFFAOYSA-N -1 1 319.297 1.993 20 0 DDADMM O=[P@]([O-])(O)c1ccc(-c2ccc(N3CCOCC3)cc2)cc1 ZINC001240765490 891036337 /nfs/dbraw/zinc/03/63/37/891036337.db2.gz QJHJGMHIDHVUNH-UHFFFAOYSA-N -1 1 319.297 1.993 20 0 DDADMM C[C@]1(c2cccnc2-c2c(F)cc([O-])cc2F)NC(=O)NC1=O ZINC001241118910 891121943 /nfs/dbraw/zinc/12/19/43/891121943.db2.gz IOWMQDKXSCQIDS-OAHLLOKOSA-N -1 1 319.267 1.787 20 0 DDADMM CC[C@@H]1CCC[C@H]1NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365682659 891308496 /nfs/dbraw/zinc/30/84/96/891308496.db2.gz XXUBGNADBCTQPS-RKDXNWHRSA-N -1 1 301.368 1.053 20 0 DDADMM CC[C@@H]1CCC[C@H]1NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365682659 891308510 /nfs/dbraw/zinc/30/85/10/891308510.db2.gz XXUBGNADBCTQPS-RKDXNWHRSA-N -1 1 301.368 1.053 20 0 DDADMM Cc1cc2c(c(-c3cncc(N4CCCC4)c3)n1)C(=O)[N-]C2=O ZINC001242619017 891463002 /nfs/dbraw/zinc/46/30/02/891463002.db2.gz GINUUGMVWUWNSE-UHFFFAOYSA-N -1 1 308.341 1.936 20 0 DDADMM Cc1cc2c(c(-c3cccnc3OC(F)F)n1)C(=O)[N-]C2=O ZINC001242629820 891466052 /nfs/dbraw/zinc/46/60/52/891466052.db2.gz QQDNYNOTJFAXLQ-UHFFFAOYSA-N -1 1 305.240 1.937 20 0 DDADMM Cc1cc(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])c(C)[nH]1 ZINC001386738054 891601268 /nfs/dbraw/zinc/60/12/68/891601268.db2.gz BGRBXHGKWFEJHQ-SNVBAGLBSA-N -1 1 316.361 1.280 20 0 DDADMM COc1ccnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c1 ZINC001244789858 891922316 /nfs/dbraw/zinc/92/23/16/891922316.db2.gz KIMUKPRTKQRHHL-UHFFFAOYSA-N -1 1 309.347 1.532 20 0 DDADMM C[C@@H](CNC(=O)CC(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001387116387 892418625 /nfs/dbraw/zinc/41/86/25/892418625.db2.gz SVHUETHRVXOGOJ-QMMMGPOBSA-N -1 1 301.293 1.067 20 0 DDADMM Cc1nc(CN[C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])sc1C ZINC001366267168 892955604 /nfs/dbraw/zinc/95/56/04/892955604.db2.gz IBPJXDMBIDKACY-ZETCQYMHSA-N -1 1 323.422 1.405 20 0 DDADMM CCCN(CCNC(=O)CCC1CC1)C(=O)c1ncccc1[O-] ZINC001387407118 893025637 /nfs/dbraw/zinc/02/56/37/893025637.db2.gz XQUZWUXNPHBYBQ-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM COc1c(Cl)ccc(-c2noc(Cc3nnn[n-]3)n2)c1F ZINC001247140026 893120441 /nfs/dbraw/zinc/12/04/41/893120441.db2.gz PCNWNHJRGOJRBQ-UHFFFAOYSA-N -1 1 310.676 1.642 20 0 DDADMM COc1c(Cl)ccc(-c2noc(Cc3nn[n-]n3)n2)c1F ZINC001247140026 893120449 /nfs/dbraw/zinc/12/04/49/893120449.db2.gz PCNWNHJRGOJRBQ-UHFFFAOYSA-N -1 1 310.676 1.642 20 0 DDADMM Cc1ccc(-c2noc(Cc3nnn[n-]3)n2)c(Br)c1 ZINC001247142250 893120651 /nfs/dbraw/zinc/12/06/51/893120651.db2.gz LVBUSNOVTBPCDG-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM Cc1ccc(-c2noc(Cc3nn[n-]n3)n2)c(Br)c1 ZINC001247142250 893120660 /nfs/dbraw/zinc/12/06/60/893120660.db2.gz LVBUSNOVTBPCDG-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM CC(C)CC(C)(C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001366389768 893409980 /nfs/dbraw/zinc/40/99/80/893409980.db2.gz VTQBGSWYYFUPMX-NSHDSACASA-N -1 1 309.414 1.273 20 0 DDADMM CCOc1cc(C(=O)NCCOCCCO)cc(Cl)c1[O-] ZINC000342623143 893521611 /nfs/dbraw/zinc/52/16/11/893521611.db2.gz OZFMVLUDAJORFO-UHFFFAOYSA-N -1 1 317.769 1.573 20 0 DDADMM CCC(CC)[C@@H](C)C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001387768500 893819253 /nfs/dbraw/zinc/81/92/53/893819253.db2.gz UEQJZUZKDVPHKY-LLVKDONJSA-N -1 1 319.405 1.800 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])Cc1nn(C)cc1Cl ZINC001366517286 893821469 /nfs/dbraw/zinc/82/14/69/893821469.db2.gz BJJFSPUHTXMFQM-UHFFFAOYSA-N -1 1 323.784 1.036 20 0 DDADMM NC(=O)Cc1ccccc1Nc1[nH]c(=S)[n-]c(=O)c1N=O ZINC001249598853 894054515 /nfs/dbraw/zinc/05/45/15/894054515.db2.gz LPGYAGFFERJDRA-UHFFFAOYSA-N -1 1 305.319 1.640 20 0 DDADMM CN(C)c1nc(Nc2ccc3c(c2)OCC(=O)N3)cc(=O)[n-]1 ZINC001249616701 894063641 /nfs/dbraw/zinc/06/36/41/894063641.db2.gz XTOYFSMMYJPDEB-UHFFFAOYSA-N -1 1 301.306 1.323 20 0 DDADMM Cc1ocnc1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC000346116024 894157395 /nfs/dbraw/zinc/15/73/95/894157395.db2.gz CPIZSZOKBPRZJN-UHFFFAOYSA-N -1 1 300.278 1.327 20 0 DDADMM C[C@@H]1CCC[C@@H](C(=O)NCCN(C)C(=O)c2ncccc2[O-])C1 ZINC001388026104 894392662 /nfs/dbraw/zinc/39/26/62/894392662.db2.gz IPYFZSSOFLZKCE-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM CC[C@@H](O)CNc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001251790912 894815503 /nfs/dbraw/zinc/81/55/03/894815503.db2.gz QDRKSWALIKIDPV-MRVPVSSYSA-N -1 1 301.306 1.156 20 0 DDADMM CCCC[C@H](CC)COC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001253022677 895611629 /nfs/dbraw/zinc/61/16/29/895611629.db2.gz QGUYFIFOMYLYKU-RYUDHWBXSA-N -1 1 300.403 1.194 20 0 DDADMM CC(C)(C)OC(=O)[C@@H](O)CNc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001253698843 896011044 /nfs/dbraw/zinc/01/10/44/896011044.db2.gz UUVJCTHLTYFRAO-ZDUSSCGKSA-N -1 1 319.361 1.692 20 0 DDADMM O=C(NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1)C1CCC1 ZINC001367204772 896131865 /nfs/dbraw/zinc/13/18/65/896131865.db2.gz ONVZNGUVWDNWMC-UHFFFAOYSA-N -1 1 307.398 1.029 20 0 DDADMM CCCCS(=O)(=O)[N-][C@H](C(=O)OC)c1ccccc1OC ZINC001253931644 896159903 /nfs/dbraw/zinc/15/99/03/896159903.db2.gz HIRVZIPVZSSLFS-ZDUSSCGKSA-N -1 1 315.391 1.629 20 0 DDADMM Cc1ccc(C)c(S(=O)(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001254718021 896581195 /nfs/dbraw/zinc/58/11/95/896581195.db2.gz MLLSCCGAUWIJJF-UHFFFAOYSA-N -1 1 324.318 1.703 20 0 DDADMM C[C@H](CN(C)Cc1cncc(F)c1)NC(=O)c1ncccc1[O-] ZINC001367798646 897839240 /nfs/dbraw/zinc/83/92/40/897839240.db2.gz ZKXLVTYIRLTBBR-LLVKDONJSA-N -1 1 318.352 1.572 20 0 DDADMM COC(C[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1)OC ZINC001257515703 897870989 /nfs/dbraw/zinc/87/09/89/897870989.db2.gz PFJMMAXDYMJDFO-UHFFFAOYSA-N -1 1 320.219 1.952 20 0 DDADMM CCOc1cccc(C[N-]S(=O)(=O)c2c(C)noc2C)n1 ZINC001258790723 898322951 /nfs/dbraw/zinc/32/29/51/898322951.db2.gz JSHQOYNWBIBYRC-UHFFFAOYSA-N -1 1 311.363 1.564 20 0 DDADMM CCC[C@](C)(CC)C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001367967308 898344173 /nfs/dbraw/zinc/34/41/73/898344173.db2.gz KOJFPSLFJKSUTL-LRDDRELGSA-N -1 1 323.441 1.015 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1cccnc1)c1cc(F)ccc1F ZINC001258951324 898376366 /nfs/dbraw/zinc/37/63/66/898376366.db2.gz YQOPKMDNCLWVJZ-GFCCVEGCSA-N -1 1 314.313 1.372 20 0 DDADMM Cn1ccc(C[N-]S(=O)(=O)c2cc(F)ccc2F)cc1=O ZINC001258949757 898377391 /nfs/dbraw/zinc/37/73/91/898377391.db2.gz QVZAECXSBGJKAC-UHFFFAOYSA-N -1 1 314.313 1.142 20 0 DDADMM Cn1cc(C2([N-]S(=O)(=O)c3c(F)cccc3F)CC2)cn1 ZINC001259070426 898446813 /nfs/dbraw/zinc/44/68/13/898446813.db2.gz FZVFVKAUCBLVEG-UHFFFAOYSA-N -1 1 313.329 1.666 20 0 DDADMM Cc1oncc1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259073861 898453081 /nfs/dbraw/zinc/45/30/81/898453081.db2.gz MCRDHBUALCKEGF-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM O=c1[nH]cnc([O-])c1NS(=O)(=O)c1ccc(F)c(F)c1F ZINC001259074279 898453212 /nfs/dbraw/zinc/45/32/12/898453212.db2.gz AAMLVDCVNQAYSR-UHFFFAOYSA-N -1 1 321.236 1.106 20 0 DDADMM COC(=O)[C@H]1C[C@H]1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259082424 898458162 /nfs/dbraw/zinc/45/81/62/898458162.db2.gz AIEFDZIDFKZNCY-BQBZGAKWSA-N -1 1 323.292 1.191 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cc(=O)[n-]c(N(C)C)n2)cc1C ZINC001259162606 898497274 /nfs/dbraw/zinc/49/72/74/898497274.db2.gz DLQNRAQAAUVHDI-UHFFFAOYSA-N -1 1 322.390 1.666 20 0 DDADMM CC(C)CS(=O)(=O)[N-]c1ncccc1CN1CCOCC1 ZINC001259878971 898889061 /nfs/dbraw/zinc/88/90/61/898889061.db2.gz ACNSHKLNRFEVEH-UHFFFAOYSA-N -1 1 313.423 1.312 20 0 DDADMM COC(=O)c1cc(Cl)ncc1[N-]S(=O)(=O)CC(C)C ZINC001259878864 898890511 /nfs/dbraw/zinc/89/05/11/898890511.db2.gz VKURCWYFCFREEN-UHFFFAOYSA-N -1 1 306.771 1.919 20 0 DDADMM CCCCC(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001390193200 898894774 /nfs/dbraw/zinc/89/47/74/898894774.db2.gz QABYYJMCOXLTGT-MRXNPFEDSA-N -1 1 305.378 1.698 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C2CCOCC2)c(OC)c1F ZINC001259916252 898926728 /nfs/dbraw/zinc/92/67/28/898926728.db2.gz VOTGBXJIIDEVAO-UHFFFAOYSA-N -1 1 319.354 1.764 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H]([N-]S(=O)(=O)C(F)F)C1 ZINC001259958858 898980718 /nfs/dbraw/zinc/98/07/18/898980718.db2.gz IBWYMISQLPXRFR-MRVPVSSYSA-N -1 1 314.354 1.528 20 0 DDADMM CC(C)(C)OC(=O)NCC1([N-]S(=O)(=O)C(F)F)CCC1 ZINC001259962330 898984358 /nfs/dbraw/zinc/98/43/58/898984358.db2.gz IDJSGBOYNAGAFS-UHFFFAOYSA-N -1 1 314.354 1.576 20 0 DDADMM Cc1ccccc1CS(=O)(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001260016727 899013314 /nfs/dbraw/zinc/01/33/14/899013314.db2.gz HZBWMCHNBXWTEI-UHFFFAOYSA-N -1 1 324.318 1.536 20 0 DDADMM Cc1ccsc1S(=O)(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001260062669 899030306 /nfs/dbraw/zinc/03/03/06/899030306.db2.gz INEUFQOZFCUKIE-UHFFFAOYSA-N -1 1 316.320 1.456 20 0 DDADMM O=S(=O)([N-]CCC1COC1)c1cc(Cl)c(F)cc1F ZINC001260204615 899088678 /nfs/dbraw/zinc/08/86/78/899088678.db2.gz HABCSSGXAKAGRL-UHFFFAOYSA-N -1 1 311.737 1.933 20 0 DDADMM O=C1CC[C@@H]([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)CN1 ZINC001260206480 899089500 /nfs/dbraw/zinc/08/95/00/899089500.db2.gz QAKRCDONEKHCIY-ZCFIWIBFSA-N -1 1 324.736 1.175 20 0 DDADMM O=C([O-])c1ccc(F)c(S(=O)(=O)Nc2ncccc2F)c1 ZINC001260209126 899091786 /nfs/dbraw/zinc/09/17/86/899091786.db2.gz JCKLHHSTROLQST-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM Cc1nc2ccc([N-]S(=O)(=O)CS(C)(=O)=O)cc2s1 ZINC001260591539 899177962 /nfs/dbraw/zinc/17/79/62/899177962.db2.gz YBZBHHIHIWANAV-UHFFFAOYSA-N -1 1 320.417 1.349 20 0 DDADMM CC(=O)c1ccnc(Cl)c1[N-]S(=O)(=O)c1cn[nH]c1 ZINC001260954747 899293008 /nfs/dbraw/zinc/29/30/08/899293008.db2.gz ZLTXMESLMHPVKB-UHFFFAOYSA-N -1 1 300.727 1.462 20 0 DDADMM CC(C)c1ccoc1C(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001390449206 899428111 /nfs/dbraw/zinc/42/81/11/899428111.db2.gz BIUQBLSPVGVNNU-SECBINFHSA-N -1 1 307.354 1.135 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CC=C(C(C)(C)C)CC1 ZINC001263015725 900468432 /nfs/dbraw/zinc/46/84/32/900468432.db2.gz YAARNUVNCFDGMM-UHFFFAOYSA-N -1 1 316.413 1.585 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CC=C(C(C)(C)C)CC1 ZINC001263015725 900468438 /nfs/dbraw/zinc/46/84/38/900468438.db2.gz YAARNUVNCFDGMM-UHFFFAOYSA-N -1 1 316.413 1.585 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001263886686 900800611 /nfs/dbraw/zinc/80/06/11/900800611.db2.gz SHYGYDVKEQQQAD-CUZBXDDWSA-N -1 1 301.325 1.758 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)c1nccs1 ZINC001369695104 901789439 /nfs/dbraw/zinc/78/94/39/901789439.db2.gz YICGDSDXYSLFRN-BDAKNGLRSA-N -1 1 320.374 1.181 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1ccncc1 ZINC001369822698 902037275 /nfs/dbraw/zinc/03/72/75/902037275.db2.gz ATQTURUQFBWRMP-LLVKDONJSA-N -1 1 314.345 1.073 20 0 DDADMM C[C@H](CNC(=O)[C@H]1CC1(C)C)N(C)C(=O)c1ncccc1[O-] ZINC001369822332 902038038 /nfs/dbraw/zinc/03/80/38/902038038.db2.gz KIZBLWUDPBZPCX-GHMZBOCLSA-N -1 1 305.378 1.410 20 0 DDADMM CCN(CCCNC(=O)c1sccc1C)Cc1n[nH]c(=O)[n-]1 ZINC001266015222 902758744 /nfs/dbraw/zinc/75/87/44/902758744.db2.gz ZNZMSHUBPQNASK-UHFFFAOYSA-N -1 1 323.422 1.522 20 0 DDADMM CCCC1(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C(C)C)CC1 ZINC001392237672 903613931 /nfs/dbraw/zinc/61/39/31/903613931.db2.gz RPUHTROCKFQNDI-NSHDSACASA-N -1 1 309.414 1.321 20 0 DDADMM CC(C)CC(=O)NC[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001370942314 904027886 /nfs/dbraw/zinc/02/78/86/904027886.db2.gz GOYXQWYFORIQDD-OLZOCXBDSA-N -1 1 319.405 1.848 20 0 DDADMM CC/C=C(\C)C(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001280986938 904282122 /nfs/dbraw/zinc/28/21/22/904282122.db2.gz XKJKIPXYSRXLFL-YTRUQHMWSA-N -1 1 305.378 1.720 20 0 DDADMM CCCCCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001283331030 907146697 /nfs/dbraw/zinc/14/66/97/907146697.db2.gz YFSWNGQGEWSDKV-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM CS[C@@H](C)C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001394239513 909163041 /nfs/dbraw/zinc/16/30/41/909163041.db2.gz XQCDKRXTSNFAQJ-ZUZCIYMTSA-N -1 1 323.418 1.260 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001394427318 909603049 /nfs/dbraw/zinc/60/30/49/909603049.db2.gz JNSOZEGTZIEKAL-BDJLRTHQSA-N -1 1 323.368 1.504 20 0 DDADMM CO[C@H](C)CC(=O)NCCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001286657764 912077392 /nfs/dbraw/zinc/07/73/92/912077392.db2.gz DBJSXMOHYMZIQP-NWDGAFQWSA-N -1 1 323.393 1.227 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H](CNC(=O)CC1CC1)C1CC1 ZINC001395491982 912099895 /nfs/dbraw/zinc/09/98/95/912099895.db2.gz YKQIHMGBYHTESG-CYBMUJFWSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1nc2ccc(NC(=O)CCCn3c(=O)[n-][nH]c3=O)cc2[nH]1 ZINC001294767483 915352902 /nfs/dbraw/zinc/35/29/02/915352902.db2.gz DQHXSVQKYDIMPZ-UHFFFAOYSA-N -1 1 316.321 1.293 20 0 DDADMM CC/C=C(\C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC001295336092 915722407 /nfs/dbraw/zinc/72/24/07/915722407.db2.gz JTYUSQHUFMRMAX-BYFNEPLSSA-N -1 1 315.373 1.330 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccc(Cl)[nH]1 ZINC001397415763 915978650 /nfs/dbraw/zinc/97/86/50/915978650.db2.gz OVLNVBMCFKDMIU-QMMMGPOBSA-N -1 1 322.752 1.317 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@]1(C)C[C@H]2C[C@H]2C1 ZINC001397418089 915984271 /nfs/dbraw/zinc/98/42/71/915984271.db2.gz DZEUBZVSISOHKQ-YXPOGWMNSA-N -1 1 317.389 1.458 20 0 DDADMM C[C@@H](CNC(=O)CCC1CCCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001376780689 919355796 /nfs/dbraw/zinc/35/57/96/919355796.db2.gz POZXCOIAFIGHMI-LBPRGKRZSA-N -1 1 323.441 1.855 20 0 DDADMM CCCC[C@H](CC)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001376903628 919726737 /nfs/dbraw/zinc/72/67/37/919726737.db2.gz YFGFYWMKIILALK-STQMWFEESA-N -1 1 323.441 1.807 20 0 DDADMM Cc1ccsc1C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001377420136 921836768 /nfs/dbraw/zinc/83/67/68/921836768.db2.gz QRKKZVHRLSDYCA-JTQLQIEISA-N -1 1 319.386 1.705 20 0 DDADMM C[C@@H](C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-])c1ccco1 ZINC001377546717 922291999 /nfs/dbraw/zinc/29/19/99/922291999.db2.gz TWUPDZQXAFSYMX-WDEREUQCSA-N -1 1 317.345 1.418 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCc4ccccc4C3)nc2n1 ZINC000622869781 365548742 /nfs/dbraw/zinc/54/87/42/365548742.db2.gz FZSDCHJLOPVRCC-CYBMUJFWSA-N -1 1 323.356 1.470 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3[C@H]4CCCCCC[C@H]34)nc2n1 ZINC000622869646 365549293 /nfs/dbraw/zinc/54/92/93/365549293.db2.gz ATGZNRORPCOEMD-QWRGUYRKSA-N -1 1 315.377 1.881 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](OC)C3CCCC3)nc2n1 ZINC000622992367 365585083 /nfs/dbraw/zinc/58/50/83/365585083.db2.gz IKJIBVHBFRTCCD-GFCCVEGCSA-N -1 1 319.365 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)CC(C)C)nc2n1 ZINC000622997276 365589248 /nfs/dbraw/zinc/58/92/48/365589248.db2.gz RXKLDEWDWSMUGI-SNVBAGLBSA-N -1 1 305.382 1.991 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](OC)[C@@H](C)CC)nc2n1 ZINC000622997915 365592033 /nfs/dbraw/zinc/59/20/33/365592033.db2.gz QKTAEVXFGIVAFG-JOYOIKCWSA-N -1 1 321.381 1.370 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ncccc1F ZINC000614387754 361833188 /nfs/dbraw/zinc/83/31/88/361833188.db2.gz CXPNDWNYLAZWLI-UWVGGRQHSA-N -1 1 320.324 1.819 20 0 DDADMM COc1cc(CNC(=O)c2cnc3nc(C)ccc3c2[O-])ccn1 ZINC000078933169 185130447 /nfs/dbraw/zinc/13/04/47/185130447.db2.gz QCKSFPAYYHNEDK-UHFFFAOYSA-N -1 1 324.340 1.977 20 0 DDADMM COc1cc(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)ccn1 ZINC000078933169 185130448 /nfs/dbraw/zinc/13/04/48/185130448.db2.gz QCKSFPAYYHNEDK-UHFFFAOYSA-N -1 1 324.340 1.977 20 0 DDADMM C[C@H](O)CC[N-]S(=O)(=O)c1sccc1Br ZINC000082996916 185210673 /nfs/dbraw/zinc/21/06/73/185210673.db2.gz LLVLKCQUAVIIHC-LURJTMIESA-N -1 1 314.226 1.560 20 0 DDADMM CO[C@@H](C)c1nc(=N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)s[n-]1 ZINC000328825012 231358319 /nfs/dbraw/zinc/35/83/19/231358319.db2.gz SGBYUQYZYBMXNF-TUAOUCFPSA-N -1 1 324.450 1.870 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)CCOCC1CC1 ZINC000088332613 185246347 /nfs/dbraw/zinc/24/63/47/185246347.db2.gz HCVCSGCGZGUNNC-UHFFFAOYSA-N -1 1 321.421 1.874 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cccc(C(=O)NC2CC2)c1 ZINC000615222729 362202203 /nfs/dbraw/zinc/20/22/03/362202203.db2.gz UUMOECUFLOJQGF-UHFFFAOYSA-N -1 1 300.318 1.568 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1(S(=O)(=O)c2ccccc2)CC1 ZINC000615228502 362203568 /nfs/dbraw/zinc/20/35/68/362203568.db2.gz XXYAVMFRBPIVQL-UHFFFAOYSA-N -1 1 321.358 1.369 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1ccc2c(c1)CCO2)c1nn[n-]n1 ZINC000255517324 282262451 /nfs/dbraw/zinc/26/24/51/282262451.db2.gz AVXPJYPBLDNLBQ-SCXUMTSISA-N -1 1 313.361 1.805 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCO[C@H]3C2(C)C)sc1C ZINC000333298713 539319332 /nfs/dbraw/zinc/31/93/32/539319332.db2.gz SMISMHUYXAWEAE-HBNTYKKESA-N -1 1 316.448 1.852 20 0 DDADMM O=C(Nc1c(=O)[nH][n-]c1-c1ccccc1)[C@H]1CCCc2n[nH]nc21 ZINC000615281647 362234938 /nfs/dbraw/zinc/23/49/38/362234938.db2.gz URUNHNVHYZZMJO-JTQLQIEISA-N -1 1 324.344 1.959 20 0 DDADMM Cc1cc(N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)n(C)n1 ZINC000278183926 214010541 /nfs/dbraw/zinc/01/05/41/214010541.db2.gz RAFYXHUNDGHQHS-LBPRGKRZSA-N -1 1 315.377 1.228 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(Cl)cc2)n[n-]1 ZINC000615919566 362485868 /nfs/dbraw/zinc/48/58/68/362485868.db2.gz GJLGBWUZVAJQOC-UHFFFAOYSA-N -1 1 308.725 1.565 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(Cl)cc2)n1 ZINC000615919566 362485872 /nfs/dbraw/zinc/48/58/72/362485872.db2.gz GJLGBWUZVAJQOC-UHFFFAOYSA-N -1 1 308.725 1.565 20 0 DDADMM CCCc1ncc(C(=O)NCc2n[n-]c(C(=O)OCC)n2)s1 ZINC000615919679 362487083 /nfs/dbraw/zinc/48/70/83/362487083.db2.gz KMDPMLVTFMHGOW-UHFFFAOYSA-N -1 1 323.378 1.320 20 0 DDADMM CCCc1ncc(C(=O)NCc2nc(C(=O)OCC)n[n-]2)s1 ZINC000615919679 362487086 /nfs/dbraw/zinc/48/70/86/362487086.db2.gz KMDPMLVTFMHGOW-UHFFFAOYSA-N -1 1 323.378 1.320 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2c(C)n[nH]c2C(F)F)nc1C ZINC000330753584 232042772 /nfs/dbraw/zinc/04/27/72/232042772.db2.gz DLWTXGBPPFWKOV-UHFFFAOYSA-N -1 1 319.337 1.981 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCCN2C2CC2)c1Br ZINC000616009630 362518841 /nfs/dbraw/zinc/51/88/41/362518841.db2.gz XWVDMIAGNDVUQG-SECBINFHSA-N -1 1 313.199 1.468 20 0 DDADMM CC(C)(NC(=O)c1coc(Br)c1)c1nn[n-]n1 ZINC000354748185 539451694 /nfs/dbraw/zinc/45/16/94/539451694.db2.gz BIWBDHORBUGIPE-UHFFFAOYSA-N -1 1 300.116 1.220 20 0 DDADMM CCCC(O)(CCC)CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000305682001 282399266 /nfs/dbraw/zinc/39/92/66/282399266.db2.gz NHJPVQYMEFGXOE-GFCCVEGCSA-N -1 1 309.414 1.627 20 0 DDADMM Cc1noc([N-]C(=O)c2cn(-c3cccc(Cl)c3)nn2)n1 ZINC000188569129 282404507 /nfs/dbraw/zinc/40/45/07/282404507.db2.gz XULNIDNMMAWNOX-UHFFFAOYSA-N -1 1 304.697 1.864 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCCC[C@H]1c1ccccc1 ZINC000358202527 539480759 /nfs/dbraw/zinc/48/07/59/539480759.db2.gz WOIPSQHLVGBXLP-AWEZNQCLSA-N -1 1 323.356 1.785 20 0 DDADMM Cn1cncc1CNC(=O)c1ccc(Br)cc1[O-] ZINC000388437985 539508701 /nfs/dbraw/zinc/50/87/01/539508701.db2.gz DSDQOGUZGISZDF-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM CO[C@@H]1CCC[C@@H]1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358342797 299126340 /nfs/dbraw/zinc/12/63/40/299126340.db2.gz UJAXDQXYTOVZTI-BXKDBHETSA-N -1 1 304.350 1.219 20 0 DDADMM O=C(NCC[C@@]1(O)CCOC1)c1ncc2ccccc2c1[O-] ZINC000616535387 362740379 /nfs/dbraw/zinc/74/03/79/362740379.db2.gz HBRJBYXDZQOIGI-MRXNPFEDSA-N -1 1 302.330 1.212 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)Oc1ccccc1F)c1nn[n-]n1 ZINC000358391173 299140064 /nfs/dbraw/zinc/14/00/64/299140064.db2.gz OHACNSBJBDSYOX-ZJUUUORDSA-N -1 1 307.329 1.368 20 0 DDADMM O=C([O-])CC[C@H]1CCCN(C(=O)[C@H]2Cc3ccccc3CN2)C1 ZINC000320493113 290819804 /nfs/dbraw/zinc/81/98/04/290819804.db2.gz HIMHCAMINJJJMB-CZUORRHYSA-N -1 1 316.401 1.804 20 0 DDADMM COC(=O)c1cc(OC)c(S(=O)(=O)[N-]c2cnc[nH]2)s1 ZINC000564556744 304000999 /nfs/dbraw/zinc/00/09/99/304000999.db2.gz AUDGFGLYKQBXMS-UHFFFAOYSA-N -1 1 317.348 1.067 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc(OCC2CC2)cn1)c1nn[n-]n1 ZINC000286959221 219244744 /nfs/dbraw/zinc/24/47/44/219244744.db2.gz BYIAWXZTMAOHQH-SNVBAGLBSA-N -1 1 317.353 1.050 20 0 DDADMM Cc1cnc(C(=O)N(C)[C@@H](CO)Cc2ccccc2)c([O-])c1 ZINC000616932378 362878689 /nfs/dbraw/zinc/87/86/89/362878689.db2.gz SCNCQQZANDZZFZ-CQSZACIVSA-N -1 1 300.358 1.771 20 0 DDADMM CCOC[C@H](NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C(C)C ZINC000287012201 219269155 /nfs/dbraw/zinc/26/91/55/219269155.db2.gz BSWYOSSFLYNPPT-CYUIRQCWSA-N -1 1 305.382 1.524 20 0 DDADMM CCSCC[C@H](C)NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287020659 219273004 /nfs/dbraw/zinc/27/30/04/219273004.db2.gz CEMCZPSOYZXKES-ORHXRLAQSA-N -1 1 307.423 1.995 20 0 DDADMM CCn1cc(NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)cn1 ZINC000080549569 192167019 /nfs/dbraw/zinc/16/70/19/192167019.db2.gz WJUOFUGADYXDKD-UHFFFAOYSA-N -1 1 303.366 1.845 20 0 DDADMM CSCCO[N-]C(=O)[C@@H]1CC(=O)N(Cc2ccc(C)cc2)C1 ZINC000279898798 215219134 /nfs/dbraw/zinc/21/91/34/215219134.db2.gz GCYVXGNJTPWJMI-CQSZACIVSA-N -1 1 322.430 1.754 20 0 DDADMM CCN(C)C(=O)Nc1ccc(N2CCN(C)CC2)cc1C(=O)[O-] ZINC000287062854 219292564 /nfs/dbraw/zinc/29/25/64/219292564.db2.gz AXTDKGSGMYMESA-UHFFFAOYSA-N -1 1 320.393 1.620 20 0 DDADMM Cc1cc(C)c(N2C[C@@H](C(=O)Nc3nnn[n-]3)CC2=O)c(C)c1 ZINC000170852287 197836646 /nfs/dbraw/zinc/83/66/46/197836646.db2.gz NHMCBEBIDFTNRD-NSHDSACASA-N -1 1 314.349 1.117 20 0 DDADMM Cc1cc(C)c(N2C[C@@H](C(=O)Nc3nn[n-]n3)CC2=O)c(C)c1 ZINC000170852287 197836648 /nfs/dbraw/zinc/83/66/48/197836648.db2.gz NHMCBEBIDFTNRD-NSHDSACASA-N -1 1 314.349 1.117 20 0 DDADMM COc1ccc([C@@H](CCO)NC(=O)c2ncccc2[O-])cc1 ZINC000133638371 186002110 /nfs/dbraw/zinc/00/21/10/186002110.db2.gz UPDYUDCGUATZDX-CYBMUJFWSA-N -1 1 302.330 1.649 20 0 DDADMM COC(=O)c1cc(F)cc([N-]S(=O)(=O)c2cn[nH]c2)c1F ZINC000358500711 299175142 /nfs/dbraw/zinc/17/51/42/299175142.db2.gz UMGGBZHVNKRBQC-UHFFFAOYSA-N -1 1 317.273 1.275 20 0 DDADMM COc1c(F)cc([N-]S(=O)(=O)C[C@H]2CCCO2)cc1F ZINC000172366962 198086583 /nfs/dbraw/zinc/08/65/83/198086583.db2.gz MADAVGLXSWISEH-SECBINFHSA-N -1 1 307.318 1.894 20 0 DDADMM CN1C(=O)COc2cc([N-]S(=O)(=O)c3ccccc3)ccc21 ZINC000011908313 352146431 /nfs/dbraw/zinc/14/64/31/352146431.db2.gz DBJNICGZQWYQBC-UHFFFAOYSA-N -1 1 318.354 1.843 20 0 DDADMM O=C(CC1CC1)Nc1cc(S(=O)(=O)N2CCCC2)ccc1[O-] ZINC000028884018 352232748 /nfs/dbraw/zinc/23/27/48/352232748.db2.gz QNMWJXNLSCDOEJ-UHFFFAOYSA-N -1 1 324.402 1.915 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H](C)C(=O)Nc2nccs2)n1 ZINC000041626054 352310686 /nfs/dbraw/zinc/31/06/86/352310686.db2.gz PIFIIPWKPNZEJZ-ZETCQYMHSA-N -1 1 310.404 1.908 20 0 DDADMM C[C@@]1(NS(=O)(=O)CCN2CCCC2)CCCC[C@@H]1C(=O)[O-] ZINC000564927082 304027183 /nfs/dbraw/zinc/02/71/83/304027183.db2.gz QVBAHXCDDVFLOS-TZMCWYRMSA-N -1 1 318.439 1.035 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCO[C@H]2C2CC2)sc1C ZINC000534817768 303336715 /nfs/dbraw/zinc/33/67/15/303336715.db2.gz BSUXZHRAFVQVGD-QWRGUYRKSA-N -1 1 302.421 1.606 20 0 DDADMM COCc1nc2n(n1)CCC[C@H]2NC(=O)c1ncc(C)cc1[O-] ZINC000331862289 234207271 /nfs/dbraw/zinc/20/72/71/234207271.db2.gz XXUVBUDKPUSECG-SNVBAGLBSA-N -1 1 317.349 1.098 20 0 DDADMM CCSCC[C@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000173272581 198204678 /nfs/dbraw/zinc/20/46/78/198204678.db2.gz URZBRFYWEGCDMC-VIFPVBQESA-N -1 1 320.436 1.449 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc3c(c2)CCO3)cn1 ZINC000069447077 353161505 /nfs/dbraw/zinc/16/15/05/353161505.db2.gz ZJTZEUDJWGAJFV-UHFFFAOYSA-N -1 1 306.343 1.826 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)N[C@H](C)c1nnc[nH]1 ZINC000174534124 198348661 /nfs/dbraw/zinc/34/86/61/198348661.db2.gz VQOORYASIRBXBY-SECBINFHSA-N -1 1 323.378 1.057 20 0 DDADMM CC(C)C(=O)N[C@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000080022828 353587626 /nfs/dbraw/zinc/58/76/26/353587626.db2.gz JYQNQTXLNBJLGE-LBPRGKRZSA-N -1 1 308.353 1.908 20 0 DDADMM O=C(N[C@H]1CC[S@@](=O)C1)c1ccc(Br)cc1[O-] ZINC000081788702 353692819 /nfs/dbraw/zinc/69/28/19/353692819.db2.gz SAVUYNHPBSEUHB-QPFGOUBPSA-N -1 1 318.192 1.406 20 0 DDADMM CC(C)C(=O)NC1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000081940471 353699435 /nfs/dbraw/zinc/69/94/35/353699435.db2.gz NYMSXEUBYWGKND-UHFFFAOYSA-N -1 1 308.353 1.908 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H](O)c1cccc(F)c1)c1nn[n-]n1 ZINC000089307685 353765009 /nfs/dbraw/zinc/76/50/09/353765009.db2.gz VENMJBZEWFARNF-NWDGAFQWSA-N -1 1 307.329 1.420 20 0 DDADMM C[C@H](CNS(=O)(=O)c1ccccn1)[N@@H+](C)Cc1ccccc1 ZINC000131922797 354103636 /nfs/dbraw/zinc/10/36/36/354103636.db2.gz IZJDMRCWJGQUKE-CQSZACIVSA-N -1 1 319.430 1.880 20 0 DDADMM Cc1nc(C)c(CCC(=O)N2CC[N@H+](C)C[C@@H]2C(C)C)c(=O)[nH]1 ZINC000153990865 354203064 /nfs/dbraw/zinc/20/30/64/354203064.db2.gz RYMCQQMMWNLMNK-OAHLLOKOSA-N -1 1 320.437 1.118 20 0 DDADMM C[C@H](CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)CC(C)(C)C ZINC000586757177 354858297 /nfs/dbraw/zinc/85/82/97/354858297.db2.gz MEQLLIULRSTSQD-MRVPVSSYSA-N -1 1 302.400 1.609 20 0 DDADMM C[C@H](CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)CC(C)(C)C ZINC000586757177 354858299 /nfs/dbraw/zinc/85/82/99/354858299.db2.gz MEQLLIULRSTSQD-MRVPVSSYSA-N -1 1 302.400 1.609 20 0 DDADMM COC(=O)[C@]1(C)CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000601317108 358492378 /nfs/dbraw/zinc/49/23/78/358492378.db2.gz WDRPNRINARNKLC-OAHLLOKOSA-N -1 1 311.765 2.000 20 0 DDADMM Cc1cnc(C(=O)NCc2cccc(C(=O)N(C)C)c2)c([O-])c1 ZINC000495014827 235115390 /nfs/dbraw/zinc/11/53/90/235115390.db2.gz DHANXZVTWRACKO-UHFFFAOYSA-N -1 1 313.357 1.727 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC2CCOCC2)o1 ZINC000358868186 291063532 /nfs/dbraw/zinc/06/35/32/291063532.db2.gz VPEDTFMUIFDNOZ-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM CCO[C@@H]1C[C@@H](N=c2nc([C@@H](C)OC)[n-]s2)[C@@H]1SC ZINC000591991438 355455333 /nfs/dbraw/zinc/45/53/33/355455333.db2.gz VTDLQINECGQONG-KYXWUPHJSA-N -1 1 303.453 1.988 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCOCC1CCC1)C(C)(C)C ZINC000592029496 355470749 /nfs/dbraw/zinc/47/07/49/355470749.db2.gz LZHJQKZAHZIJEF-GFCCVEGCSA-N -1 1 321.439 1.310 20 0 DDADMM Cn1ccnc1[C@H](Nc1nc2[nH][n-]cc-2c(=O)n1)c1ccccc1 ZINC000592454701 355588491 /nfs/dbraw/zinc/58/84/91/355588491.db2.gz MGDNOCULWUQIJK-GFCCVEGCSA-N -1 1 321.344 1.367 20 0 DDADMM CC(C)(C)OC(=O)C(C)(C)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000592779401 355687008 /nfs/dbraw/zinc/68/70/08/355687008.db2.gz CMOKOUHVSCEMHJ-SNVBAGLBSA-N -1 1 323.397 1.274 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CC[C@H](O)C1 ZINC000276961644 213203946 /nfs/dbraw/zinc/20/39/46/213203946.db2.gz ZGDMUJUFRQGERX-MNOVXSKESA-N -1 1 307.394 1.679 20 0 DDADMM C[C@@H](CNC(=O)C(=O)c1ccc([O-])cc1)C(=O)OC(C)(C)C ZINC000594393847 356195333 /nfs/dbraw/zinc/19/53/33/356195333.db2.gz WHEUCHLWGRHLEA-JTQLQIEISA-N -1 1 307.346 1.669 20 0 DDADMM CC[C@H](O)CCC[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595314511 356445535 /nfs/dbraw/zinc/44/55/35/356445535.db2.gz GSFUKWIHONNQSA-JTQLQIEISA-N -1 1 319.379 1.204 20 0 DDADMM CC[C@H](CSC)[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595319564 356448039 /nfs/dbraw/zinc/44/80/39/356448039.db2.gz RSEIFOYDKDELNO-SECBINFHSA-N -1 1 321.420 1.795 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCOC2(C)C)o1 ZINC000595392264 356476042 /nfs/dbraw/zinc/47/60/42/356476042.db2.gz LAQXXMCCXSUJBK-VIFPVBQESA-N -1 1 317.363 1.160 20 0 DDADMM Fc1ccc(-c2noc(=NCc3n[nH]c(C4CC4)n3)[n-]2)cc1 ZINC000624248846 366260064 /nfs/dbraw/zinc/26/00/64/366260064.db2.gz GFVNUVGIZRTQAD-UHFFFAOYSA-N -1 1 300.297 1.905 20 0 DDADMM CCn1cc(CNC(=O)c2cc(Cl)c([O-])c(OC)c2)nn1 ZINC000618155367 363482443 /nfs/dbraw/zinc/48/24/43/363482443.db2.gz HPZLXBJDUMTKKN-UHFFFAOYSA-N -1 1 310.741 1.596 20 0 DDADMM COc1cc(C(=O)N(C)C[C@@H]2COCCO2)cc(Cl)c1[O-] ZINC000618234044 363534510 /nfs/dbraw/zinc/53/45/10/363534510.db2.gz JWZJQIUPVLDEEK-SNVBAGLBSA-N -1 1 315.753 1.542 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H](c1ccccn1)C1CCC1 ZINC000636256899 422753977 /nfs/dbraw/zinc/75/39/77/422753977.db2.gz YUJKFWBVWZFNOD-OAHLLOKOSA-N -1 1 300.366 1.575 20 0 DDADMM C[C@H](C[S@@](C)=O)N(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000597494122 357195619 /nfs/dbraw/zinc/19/56/19/357195619.db2.gz GZVCYWOBOJNBIO-LADRHHBVSA-N -1 1 306.387 1.779 20 0 DDADMM CCCc1nc(=N[C@@H](C[C@H]2CCCOC2)C(=O)OC)s[n-]1 ZINC000599166949 357836942 /nfs/dbraw/zinc/83/69/42/357836942.db2.gz WYTVRMVKZFXCMT-MNOVXSKESA-N -1 1 313.423 1.683 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)[C@H]1COC(C)(C)C1 ZINC000599338244 357900266 /nfs/dbraw/zinc/90/02/66/357900266.db2.gz AHUDYBCAKIDRNN-GHMZBOCLSA-N -1 1 321.439 1.451 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@]2(C)C[C@H]3C[C@H]3C2)c1 ZINC000599483296 357953146 /nfs/dbraw/zinc/95/31/46/357953146.db2.gz XJVDTFWPYFLVSZ-WDAIWFPHSA-N -1 1 313.375 1.781 20 0 DDADMM O=C([O-])CSCC(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000262362923 304072073 /nfs/dbraw/zinc/07/20/73/304072073.db2.gz JJCAMPMLNUQDMK-UHFFFAOYSA-N -1 1 311.338 1.179 20 0 DDADMM COC(=O)[C@@]1(OC)CCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000600292309 358185436 /nfs/dbraw/zinc/18/54/36/358185436.db2.gz WTDJKHOKPNCBEB-CQSZACIVSA-N -1 1 313.737 1.450 20 0 DDADMM Cc1ccc(C(=O)c2ccccc2C(=O)NCc2nn[n-]n2)cc1 ZINC000600497873 358240891 /nfs/dbraw/zinc/24/08/91/358240891.db2.gz QWISQYXDISTIQT-UHFFFAOYSA-N -1 1 321.340 1.669 20 0 DDADMM Cc1cccc(-c2nc(CC(=O)NCc3nn[n-]n3)cs2)c1 ZINC000600497925 358241827 /nfs/dbraw/zinc/24/18/27/358241827.db2.gz RMYDEQFQPCDQMY-UHFFFAOYSA-N -1 1 314.374 1.491 20 0 DDADMM CC(C)Cc1nc(=NC(=O)[C@@H]2CCCc3nn[nH]c32)s[n-]1 ZINC000618350167 363601568 /nfs/dbraw/zinc/60/15/68/363601568.db2.gz SGZZUZJNOMKQLU-MRVPVSSYSA-N -1 1 306.395 1.335 20 0 DDADMM COCC[C@@](C)(O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180669384 199194435 /nfs/dbraw/zinc/19/44/35/199194435.db2.gz NDWWPJNKBNHRPV-CYBMUJFWSA-N -1 1 321.295 1.928 20 0 DDADMM CC(C)(C)OC(=O)[C@@H](C1CC1)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000602823177 359217423 /nfs/dbraw/zinc/21/74/23/359217423.db2.gz ZKAQTZJKDGKIRX-GFCCVEGCSA-N -1 1 322.409 1.810 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@]2(C)CC=C(C)CC2)n[n-]1 ZINC000603019792 359362382 /nfs/dbraw/zinc/36/23/82/359362382.db2.gz FDMGQDBXFVBLFR-HNNXBMFYSA-N -1 1 306.366 1.734 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@]2(C)CC=C(C)CC2)n1 ZINC000603019792 359362388 /nfs/dbraw/zinc/36/23/88/359362388.db2.gz FDMGQDBXFVBLFR-HNNXBMFYSA-N -1 1 306.366 1.734 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](CC)SC)n[n-]1 ZINC000603154911 359441716 /nfs/dbraw/zinc/44/17/16/359441716.db2.gz MOLQRPYPUZJDLX-JGVFFNPUSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](CC)SC)[n-]1 ZINC000603154911 359441722 /nfs/dbraw/zinc/44/17/22/359441722.db2.gz MOLQRPYPUZJDLX-JGVFFNPUSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](CC)SC)n1 ZINC000603154911 359441725 /nfs/dbraw/zinc/44/17/25/359441725.db2.gz MOLQRPYPUZJDLX-JGVFFNPUSA-N -1 1 300.384 1.300 20 0 DDADMM C[C@H](CNC(=O)c1c[n-]c2c(cnn2C)c1=O)C(F)(F)F ZINC000358954679 299290678 /nfs/dbraw/zinc/29/06/78/299290678.db2.gz PHKMZHBGFBPOOB-ZCFIWIBFSA-N -1 1 302.256 1.602 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2)C(=O)OCC ZINC000603311091 359561890 /nfs/dbraw/zinc/56/18/90/359561890.db2.gz VRVYTMLOTYJSNJ-QSLLACEHSA-N -1 1 317.407 1.124 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)C[C@@H]1C(C)(C)C1(F)F ZINC000603371382 359598994 /nfs/dbraw/zinc/59/89/94/359598994.db2.gz ORODJWKLQYYYPW-JGVFFNPUSA-N -1 1 317.329 1.098 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@H](O)C1)c1c(F)cccc1Cl ZINC000188318164 200226567 /nfs/dbraw/zinc/22/65/67/200226567.db2.gz SAYVGEWTDSYQMC-IUCAKERBSA-N -1 1 307.774 1.918 20 0 DDADMM Cc1nn(C)c(C)c1OCC(=O)Nc1nc(Cl)ccc1[O-] ZINC000188378026 200236698 /nfs/dbraw/zinc/23/66/98/200236698.db2.gz MIUJODNJYBXZRA-UHFFFAOYSA-N -1 1 310.741 1.808 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](C)[C@@H]2CCOC2)c(F)c1 ZINC000608033322 360107295 /nfs/dbraw/zinc/10/72/95/360107295.db2.gz FQMIKBHHCXZRCB-DTWKUNHWSA-N -1 1 321.345 1.677 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1Cc2ccccc2O1 ZINC000608355997 360165480 /nfs/dbraw/zinc/16/54/80/360165480.db2.gz HEPHHLLPCQFCFD-HUUCEWRRSA-N -1 1 321.340 1.409 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]CC1CC(F)(F)C1 ZINC000292399979 223050474 /nfs/dbraw/zinc/05/04/74/223050474.db2.gz SXPOIXLOKOAFMC-UHFFFAOYSA-N -1 1 313.757 1.706 20 0 DDADMM CCC[C@H](NC(=O)c1nc2cnccc2s1)c1nn[n-]n1 ZINC000612055600 360903237 /nfs/dbraw/zinc/90/32/37/360903237.db2.gz GAEWDRUYSOUVEC-ZETCQYMHSA-N -1 1 303.351 1.476 20 0 DDADMM O=C(Nc1cncnc1N1CCCC1)c1ccc2[nH][n-]c(=O)c2c1 ZINC000612387110 360993840 /nfs/dbraw/zinc/99/38/40/360993840.db2.gz QFSPYBJOAMFNQQ-UHFFFAOYSA-N -1 1 324.344 1.911 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCSC[C@H]1CCO ZINC000612641918 361090186 /nfs/dbraw/zinc/09/01/86/361090186.db2.gz ARVXEZHDRLGOID-GFCCVEGCSA-N -1 1 318.398 1.880 20 0 DDADMM CN1NN=C2C1=NCC[C@@H]2C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000612759490 361136718 /nfs/dbraw/zinc/13/67/18/361136718.db2.gz KSLVLRXENIEJRA-QMMMGPOBSA-N -1 1 307.741 1.209 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1C(=O)c1cccc(-c2nc(=O)o[n-]2)c1 ZINC000613227035 361337465 /nfs/dbraw/zinc/33/74/65/361337465.db2.gz PUCGVCONLOREEF-WDEREUQCSA-N -1 1 316.361 1.195 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ncc3ccccc3c2[O-])[C@H]1OC ZINC000613513848 361451054 /nfs/dbraw/zinc/45/10/54/361451054.db2.gz OXFGONZXWMMKCK-XJKCOSOUSA-N -1 1 316.357 1.863 20 0 DDADMM CCOC1CC(O)(CNC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000614060322 361679425 /nfs/dbraw/zinc/67/94/25/361679425.db2.gz FBWUJCSEUMVWFO-UHFFFAOYSA-N -1 1 316.357 1.600 20 0 DDADMM C[C@H]([NH3+])C(=O)Nc1cccc([N-]S(=O)(=O)c2ccccc2)c1 ZINC000195184887 201354955 /nfs/dbraw/zinc/35/49/55/201354955.db2.gz DRPWCPJVFYICPN-NSHDSACASA-N -1 1 319.386 1.773 20 0 DDADMM Cn1cc(C[C@@H](CO)CNC(=O)c2ccc(Cl)cc2[O-])cn1 ZINC000566016536 304110704 /nfs/dbraw/zinc/11/07/04/304110704.db2.gz JFNDLYNSPIELJF-LLVKDONJSA-N -1 1 323.780 1.360 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)[C@H]2C[C@H]2C)n[n-]1 ZINC000619244364 363942708 /nfs/dbraw/zinc/94/27/08/363942708.db2.gz ZSTXULVXWYGXJQ-VWYCJHECSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)[C@H]2C[C@H]2C)[n-]1 ZINC000619244364 363942710 /nfs/dbraw/zinc/94/27/10/363942710.db2.gz ZSTXULVXWYGXJQ-VWYCJHECSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)[C@H]2C[C@H]2C)n1 ZINC000619244364 363942712 /nfs/dbraw/zinc/94/27/12/363942712.db2.gz ZSTXULVXWYGXJQ-VWYCJHECSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(=O)c2cc(F)ccc2C)c[n-]1 ZINC000619449192 364015235 /nfs/dbraw/zinc/01/52/35/364015235.db2.gz WGSDQHHTHYBDMR-UHFFFAOYSA-N -1 1 319.292 1.855 20 0 DDADMM CC(C)(CNC(=O)c1ccc([O-])c(F)c1)[C@@]1(O)CCCOC1 ZINC000620117901 364297823 /nfs/dbraw/zinc/29/78/23/364297823.db2.gz OBGHEWGHKMOXKT-MRXNPFEDSA-N -1 1 311.353 1.829 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@H](CC(F)(F)F)C2)c([O-])c1 ZINC000620776865 364547784 /nfs/dbraw/zinc/54/77/84/364547784.db2.gz BZDFIRBCABQUBZ-SECBINFHSA-N -1 1 304.268 1.889 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1Cc1ccc2c(c1)OCCO2 ZINC000091133196 193000251 /nfs/dbraw/zinc/00/02/51/193000251.db2.gz SAWQCWQSHOTAFC-LBPRGKRZSA-N -1 1 309.387 1.850 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cc(C2CC2)n[nH]1 ZINC000622609935 365449776 /nfs/dbraw/zinc/44/97/76/365449776.db2.gz LIJFFJZSJJIVKG-ZDUSSCGKSA-N -1 1 309.333 1.320 20 0 DDADMM CO[C@H]1C[C@@H](C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)C1 ZINC000625667518 367079976 /nfs/dbraw/zinc/07/99/76/367079976.db2.gz LUTRVKPLIKNOLM-AOOOYVTPSA-N -1 1 305.346 1.977 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CO[C@H](C3CC3)C2)sc1C ZINC000625583963 367033277 /nfs/dbraw/zinc/03/32/77/367033277.db2.gz ZCUXXTUEFCOJIC-QWRGUYRKSA-N -1 1 302.421 1.606 20 0 DDADMM CCCn1nccc1NC(=O)CN1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC000635018181 422771712 /nfs/dbraw/zinc/77/17/12/422771712.db2.gz LXGVFBCQVVNXPC-NEPJUHHUSA-N -1 1 308.382 1.274 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)Nc1ccc2c(c1)OCCO2)C(=O)[O-] ZINC000262327493 203263268 /nfs/dbraw/zinc/26/32/68/203263268.db2.gz XIFKKVGBFUUDAR-PWSUYJOCSA-N -1 1 322.361 1.628 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCSC[C@H]2CC(=O)[O-])c1 ZINC000262541348 203324287 /nfs/dbraw/zinc/32/42/87/203324287.db2.gz RKZGKIVODOANHP-LLVKDONJSA-N -1 1 319.386 1.904 20 0 DDADMM Cc1ccc(NC(=O)NC(=O)CN2CC[C@H](C(=O)[O-])C2)cc1C ZINC000262663966 203371152 /nfs/dbraw/zinc/37/11/52/203371152.db2.gz OPSCVIVEKPTEGW-LBPRGKRZSA-N -1 1 319.361 1.358 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)[C@H](C)CC ZINC000266279863 205235036 /nfs/dbraw/zinc/23/50/36/205235036.db2.gz IYTXINOIGRAKGY-BXKDBHETSA-N -1 1 318.395 1.380 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2c(C)nn(C)c2Cl)o1 ZINC000274654386 211797776 /nfs/dbraw/zinc/79/77/76/211797776.db2.gz FQFNJOGGOPKCCC-UHFFFAOYSA-N -1 1 318.786 1.411 20 0 DDADMM O=C(c1nccc2ccccc21)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282953338 217348966 /nfs/dbraw/zinc/34/89/66/217348966.db2.gz QQDRJFFWJMYSCZ-GFCCVEGCSA-N -1 1 323.356 1.666 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000019775148 182146725 /nfs/dbraw/zinc/14/67/25/182146725.db2.gz ZRCCQJYJPWHKNC-LBPRGKRZSA-N -1 1 319.361 1.380 20 0 DDADMM CC1(C)CCCc2sc(NC(=O)CCc3nn[n-]n3)nc21 ZINC000633143027 422777198 /nfs/dbraw/zinc/77/71/98/422777198.db2.gz HIRIDWOTQNXFGA-UHFFFAOYSA-N -1 1 306.395 1.841 20 0 DDADMM CC(C)Oc1ncccc1C(=O)N=c1nc(-c2ccccn2)[nH][n-]1 ZINC000351637181 284425651 /nfs/dbraw/zinc/42/56/51/284425651.db2.gz RYASAGXRYMWWLL-UHFFFAOYSA-N -1 1 324.344 1.723 20 0 DDADMM COc1cc(C(=O)NC[C@@]2(O)CCO[C@H]2C)cc(Cl)c1[O-] ZINC000334100863 249215520 /nfs/dbraw/zinc/21/55/20/249215520.db2.gz DGNANRJACGDUQT-RTHLEPHNSA-N -1 1 315.753 1.324 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc2sccc2[nH]1 ZINC000337160839 249370178 /nfs/dbraw/zinc/37/01/78/249370178.db2.gz TVEJYGSXLDBZBP-UHFFFAOYSA-N -1 1 303.347 1.295 20 0 DDADMM O=C([O-])[C@H]1Cn2c(=O)[nH]nc2CN1CCC1CCCCCC1 ZINC000333623351 304208281 /nfs/dbraw/zinc/20/82/81/304208281.db2.gz URAPGJRQACXCFA-GFCCVEGCSA-N -1 1 308.382 1.613 20 0 DDADMM COc1cncc(S(=O)(=O)Nc2ccc(C)c(C(=O)[O-])c2)c1 ZINC000337185939 249381201 /nfs/dbraw/zinc/38/12/01/249381201.db2.gz ONMASDMGBHDBIP-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCC[C@H](SC)C1 ZINC000352057311 284744998 /nfs/dbraw/zinc/74/49/98/284744998.db2.gz JRSIRAOQDKDTFS-YUMQZZPRSA-N -1 1 313.379 1.300 20 0 DDADMM CCOc1cc(C(=O)NCC[C@H](O)COC)cc(Cl)c1[O-] ZINC000273843676 211106364 /nfs/dbraw/zinc/10/63/64/211106364.db2.gz JUJAEWNJHREXMY-JTQLQIEISA-N -1 1 317.769 1.572 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)Cc1ccccc1)c1sccc1F ZINC000338902676 250190666 /nfs/dbraw/zinc/19/06/66/250190666.db2.gz HSRJTBUUKSJRRZ-NSHDSACASA-N -1 1 315.391 1.769 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)c2[nH]nc3c2CCCCC3)s[n-]1 ZINC000338924216 250198784 /nfs/dbraw/zinc/19/87/84/250198784.db2.gz HJRKGXFTPSFVGT-QMMMGPOBSA-N -1 1 321.406 1.912 20 0 DDADMM CS[C@@H](C)C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338934904 250205198 /nfs/dbraw/zinc/20/51/98/250205198.db2.gz NJBNBILKDYNEPR-LURJTMIESA-N -1 1 317.358 1.469 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2sccc2F)CCOCC1 ZINC000338997020 250240300 /nfs/dbraw/zinc/24/03/00/250240300.db2.gz ZFGBDRINLLTITP-UHFFFAOYSA-N -1 1 323.411 1.609 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1sccc1F)N1CCCCC1=O ZINC000338977251 250229195 /nfs/dbraw/zinc/22/91/95/250229195.db2.gz ZLFVXDIJMZLBPP-SECBINFHSA-N -1 1 320.411 1.567 20 0 DDADMM Cc1nccnc1CC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000339058719 250274800 /nfs/dbraw/zinc/27/48/00/250274800.db2.gz UZZGSRMKEMZPCF-UHFFFAOYSA-N -1 1 313.329 1.584 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@H]1CCC[C@H]1F ZINC000339119075 250303777 /nfs/dbraw/zinc/30/37/77/250303777.db2.gz JMQRPUWDJPQHIT-RQJHMYQMSA-N -1 1 308.356 1.099 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)OC[C@H]2CCCO2)n1 ZINC000339177480 250330929 /nfs/dbraw/zinc/33/09/29/250330929.db2.gz CNJMCZKXJMUTQK-VHSXEESVSA-N -1 1 311.338 1.109 20 0 DDADMM COc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(F)c1 ZINC000339193107 250338215 /nfs/dbraw/zinc/33/82/15/250338215.db2.gz QYSIWBNKJRHLGK-UHFFFAOYSA-N -1 1 321.308 1.943 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(C)(C)CC2)co1 ZINC000339195996 250338359 /nfs/dbraw/zinc/33/83/59/250338359.db2.gz IXONFHMFYYCJRO-UHFFFAOYSA-N -1 1 300.380 1.450 20 0 DDADMM CN(Cc1ccccc1)C1CN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000288590476 220265745 /nfs/dbraw/zinc/26/57/45/220265745.db2.gz YAEWUKYELMUBGA-UHFFFAOYSA-N -1 1 324.380 1.918 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2(SC)CC2)c1 ZINC000359683051 299513681 /nfs/dbraw/zinc/51/36/81/299513681.db2.gz OVCPDKYWBSERPG-UHFFFAOYSA-N -1 1 316.404 1.134 20 0 DDADMM O=C(NCCc1ccc(-n2cccn2)cc1)c1cncc([O-])c1 ZINC000109938805 194300198 /nfs/dbraw/zinc/30/01/98/194300198.db2.gz JHXNVLINZFZMOZ-UHFFFAOYSA-N -1 1 308.341 1.945 20 0 DDADMM O=C(Cc1ccc(-n2cnnn2)cc1)Nc1ccc(F)cc1[O-] ZINC000340328436 251010054 /nfs/dbraw/zinc/01/00/54/251010054.db2.gz AHPQMXJTXLDYDC-UHFFFAOYSA-N -1 1 313.292 1.688 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)[C@H](C)N2CC[C@@H](C(=O)[O-])C2)c1C ZINC000567593033 304227913 /nfs/dbraw/zinc/22/79/13/304227913.db2.gz ORODAVMJXCESKR-WDEREUQCSA-N -1 1 322.361 1.396 20 0 DDADMM O=C(N[C@@H]1CC(=O)N(C2CCCCC2)C1)c1cncc([O-])c1 ZINC000269074571 207222210 /nfs/dbraw/zinc/22/22/10/207222210.db2.gz UQYLFZOVGXZXKP-GFCCVEGCSA-N -1 1 303.362 1.451 20 0 DDADMM COC[C@H](Nc1nc2[nH][n-]cc-2c(=O)n1)c1cccc(Cl)c1 ZINC000341131581 251399498 /nfs/dbraw/zinc/39/94/98/251399498.db2.gz VBJKYOSKSKZWKB-NSHDSACASA-N -1 1 319.752 1.885 20 0 DDADMM O=C(NC[C@@H]1CNC(=O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC000412460263 224034482 /nfs/dbraw/zinc/03/44/82/224034482.db2.gz GQRZYKGRUXIBEN-ZETCQYMHSA-N -1 1 302.252 1.277 20 0 DDADMM Cc1csc(Nc2ccc(C(=O)Nc3nnn[n-]3)cc2)n1 ZINC000352373311 285003460 /nfs/dbraw/zinc/00/34/60/285003460.db2.gz GTQUPYMAFUUMHT-UHFFFAOYSA-N -1 1 301.335 1.961 20 0 DDADMM Cc1csc(Nc2ccc(C(=O)Nc3nn[n-]n3)cc2)n1 ZINC000352373311 285003461 /nfs/dbraw/zinc/00/34/61/285003461.db2.gz GTQUPYMAFUUMHT-UHFFFAOYSA-N -1 1 301.335 1.961 20 0 DDADMM Cc1ccc2cccc(NC(=O)c3cc(=O)n4[n-]cnc4n3)c2n1 ZINC000352417385 285036880 /nfs/dbraw/zinc/03/68/80/285036880.db2.gz ZQPRSXJGROPHEC-UHFFFAOYSA-N -1 1 320.312 1.527 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCCC[C@H]2OC)c1 ZINC000270016795 208068098 /nfs/dbraw/zinc/06/80/98/208068098.db2.gz NJBCCEOMLDQOMD-GHMZBOCLSA-N -1 1 317.363 1.302 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H]2C(C)(C)C)co1 ZINC000270075269 208132558 /nfs/dbraw/zinc/13/25/58/208132558.db2.gz SVVPTFPMEHZGDK-NSHDSACASA-N -1 1 314.407 1.838 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](CC)OC)o1 ZINC000352594009 285163685 /nfs/dbraw/zinc/16/36/85/285163685.db2.gz ZLVPIWKHBOLYMU-SECBINFHSA-N -1 1 305.352 1.160 20 0 DDADMM CCC[C@H]1CCCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000156308424 197103452 /nfs/dbraw/zinc/10/34/52/197103452.db2.gz APOJQTUOBZVYOE-NSHDSACASA-N -1 1 314.407 1.840 20 0 DDADMM CC(C)[C@@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1cccnc1 ZINC000568163664 304269936 /nfs/dbraw/zinc/26/99/36/304269936.db2.gz LQIUXJKKDXIIGL-ZIAGYGMSSA-N -1 1 314.393 1.741 20 0 DDADMM CO[C@H](Cc1ccccc1)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000295873355 533194614 /nfs/dbraw/zinc/19/46/14/533194614.db2.gz CTUQXOXOFVWFPT-DGCLKSJQSA-N -1 1 303.366 1.019 20 0 DDADMM CC(C)(C)c1cc(CN2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)no1 ZINC000289906558 221308407 /nfs/dbraw/zinc/30/84/07/221308407.db2.gz TYFRSRMXTGPPRU-SNVBAGLBSA-N -1 1 305.382 1.763 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2cncc(C)c2)o1 ZINC000120961764 195272262 /nfs/dbraw/zinc/27/22/62/195272262.db2.gz VMGBVFMUYCRIGZ-UHFFFAOYSA-N -1 1 324.358 1.638 20 0 DDADMM CCc1nnc([N-]C(=O)c2cc(Cn3cc(C)cn3)on2)s1 ZINC000121870964 195365888 /nfs/dbraw/zinc/36/58/88/195365888.db2.gz OVVOVHZCYVNNBN-UHFFFAOYSA-N -1 1 318.362 1.894 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2c(F)cc(C)cc2F)CC1 ZINC000425169819 533256567 /nfs/dbraw/zinc/25/65/67/533256567.db2.gz LIJZDVATQWVETP-UHFFFAOYSA-N -1 1 305.302 1.257 20 0 DDADMM CO[C@]1(C[N-]S(=O)(=O)c2sccc2Cl)CCOC1 ZINC000451393328 533381924 /nfs/dbraw/zinc/38/19/24/533381924.db2.gz PAGFOHVJTMMKAZ-JTQLQIEISA-N -1 1 311.812 1.485 20 0 DDADMM COC(=O)[C@]1(C)CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000412927005 533575980 /nfs/dbraw/zinc/57/59/80/533575980.db2.gz DYTAKCGQWQRSSR-MRXNPFEDSA-N -1 1 305.330 1.377 20 0 DDADMM COC(=O)CCCc1nnc([N-]C(=O)c2ocnc2C)s1 ZINC000353026083 285467312 /nfs/dbraw/zinc/46/73/12/285467312.db2.gz KRIIUZFTGJXNGV-UHFFFAOYSA-N -1 1 310.335 1.583 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@H](COC(F)F)C1 ZINC000353285408 285643411 /nfs/dbraw/zinc/64/34/11/285643411.db2.gz HOJMMTSYJGDRFE-VIFPVBQESA-N -1 1 323.299 1.624 20 0 DDADMM Cc1ccc(C)c(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000340366406 292087562 /nfs/dbraw/zinc/08/75/62/292087562.db2.gz PBOWGLHJCSSPIZ-UHFFFAOYSA-N -1 1 316.386 1.759 20 0 DDADMM NS(=O)(=O)c1c[n-]c(=NC[C@@H]2CCC[C@@H]2C2CC2)s1 ZINC000568961861 304324077 /nfs/dbraw/zinc/32/40/77/304324077.db2.gz XXTKNGWPHOYXAO-VHSXEESVSA-N -1 1 301.437 1.451 20 0 DDADMM Cc1ccccc1C[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353682970 285867232 /nfs/dbraw/zinc/86/72/32/285867232.db2.gz ZSWDVTYRGBWHTA-NSHDSACASA-N -1 1 311.345 1.087 20 0 DDADMM COc1ccc(OCCN(C)C(=O)CCCc2nn[n-]n2)cc1 ZINC000635120960 422823554 /nfs/dbraw/zinc/82/35/54/422823554.db2.gz OCUVMOKJRFQZLV-UHFFFAOYSA-N -1 1 319.365 1.068 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(C2CC2)n1 ZINC000569430803 304352503 /nfs/dbraw/zinc/35/25/03/304352503.db2.gz YXKPAONBWSMXDM-LBPRGKRZSA-N -1 1 312.377 1.800 20 0 DDADMM CC(C)(C)c1n[nH]cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000569634087 304370430 /nfs/dbraw/zinc/37/04/30/304370430.db2.gz HXZHRMRYNZPUPV-VIFPVBQESA-N -1 1 303.370 1.240 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)CC(=O)NCC(=O)[O-])C1(CC)CC ZINC000262287411 286331766 /nfs/dbraw/zinc/33/17/66/286331766.db2.gz XVVQSKIWUMRQDP-NWDGAFQWSA-N -1 1 300.399 1.103 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000570151615 304398163 /nfs/dbraw/zinc/39/81/63/304398163.db2.gz PNNIWXVXPTZMHS-YWVIFJGQSA-N -1 1 324.384 1.598 20 0 DDADMM CCN(CCNC(=O)CCCc1nn[n-]n1)c1cccc(C)c1 ZINC000635136054 422832334 /nfs/dbraw/zinc/83/23/34/422832334.db2.gz UGSFWBALGSCCRM-UHFFFAOYSA-N -1 1 316.409 1.474 20 0 DDADMM O=C(CS(=O)(=O)c1ccc([O-])cc1)NCC1CCCCC1 ZINC000069163598 406685919 /nfs/dbraw/zinc/68/59/19/406685919.db2.gz OBCPEXCQPJSNIP-UHFFFAOYSA-N -1 1 311.403 1.862 20 0 DDADMM O=C(NC[C@H](O)C1CCCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000069221701 406692228 /nfs/dbraw/zinc/69/22/28/406692228.db2.gz AMBPWOZUXXVGJU-AWEZNQCLSA-N -1 1 315.373 1.594 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)Cc2ccc(C)cc2)o1 ZINC000031602339 406940039 /nfs/dbraw/zinc/94/00/39/406940039.db2.gz ZTUOSTGOSBUXBO-UHFFFAOYSA-N -1 1 322.386 1.768 20 0 DDADMM CCCNC(=O)CNC(=O)c1ccc(Br)cc1[O-] ZINC000044490734 407024121 /nfs/dbraw/zinc/02/41/21/407024121.db2.gz WKVYIFMWMWQRTQ-UHFFFAOYSA-N -1 1 315.167 1.411 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCC[C@H](CO)C1 ZINC000044489792 407024427 /nfs/dbraw/zinc/02/44/27/407024427.db2.gz DNLVSXUSMOECMB-VIFPVBQESA-N -1 1 314.179 1.999 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Br)cc1Cl ZINC000044929545 407033971 /nfs/dbraw/zinc/03/39/71/407033971.db2.gz GTXDFQFENPSQRP-UHFFFAOYSA-N -1 1 316.546 1.546 20 0 DDADMM CCCN(C)C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000049479138 407121224 /nfs/dbraw/zinc/12/12/24/407121224.db2.gz ROXJJSWKVGWYKU-UHFFFAOYSA-N -1 1 302.396 1.838 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC[C@H](CO)C1 ZINC000051885687 407145258 /nfs/dbraw/zinc/14/52/58/407145258.db2.gz SINJIVYNXYFOCY-QMMMGPOBSA-N -1 1 300.152 1.609 20 0 DDADMM CCn1c(CNC(=O)CCc2nc(C(C)C)no2)n[n-]c1=S ZINC000066636849 407255850 /nfs/dbraw/zinc/25/58/50/407255850.db2.gz ZXNNQMTWZGXAHJ-UHFFFAOYSA-N -1 1 324.410 1.716 20 0 DDADMM CC(=O)c1csc(C(=O)NCCc2n[n-]c(=S)n2C)c1 ZINC000067051479 407266359 /nfs/dbraw/zinc/26/63/59/407266359.db2.gz MWPLBLDNSIILBO-UHFFFAOYSA-N -1 1 310.404 1.714 20 0 DDADMM CO[C@@H](C(=O)NCCc1n[n-]c(=S)n1C)c1ccccc1 ZINC000067050918 407266890 /nfs/dbraw/zinc/26/68/90/407266890.db2.gz DUOHJTIAQGZIBL-GFCCVEGCSA-N -1 1 306.391 1.524 20 0 DDADMM C[C@@H](CN(C)C(=O)c1c(F)c(F)cc(F)c1F)c1nn[n-]n1 ZINC000124048402 407346841 /nfs/dbraw/zinc/34/68/41/407346841.db2.gz FRNKKVHHAACKAV-YFKPBYRVSA-N -1 1 317.246 1.632 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)c2cccnc2)o1 ZINC000107698497 407378689 /nfs/dbraw/zinc/37/86/89/407378689.db2.gz LPKAZIDJYUESMX-VIFPVBQESA-N -1 1 310.331 1.501 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2nccs2)o1 ZINC000107700229 407378819 /nfs/dbraw/zinc/37/88/19/407378819.db2.gz UWHJCEOSHVUTDN-UHFFFAOYSA-N -1 1 316.360 1.044 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccccc2F)o1 ZINC000107698094 407378822 /nfs/dbraw/zinc/37/88/22/407378822.db2.gz ZIMQBZSVQWNWHW-UHFFFAOYSA-N -1 1 313.306 1.684 20 0 DDADMM CN(C(=O)CS(=O)(=O)c1n[n-]c(C2CC2)n1)c1ccccc1 ZINC000127154120 407429473 /nfs/dbraw/zinc/42/94/73/407429473.db2.gz MABHGPKSRJRQPR-UHFFFAOYSA-N -1 1 320.374 1.119 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC[C@@H](CCO)C1 ZINC000127272401 407432434 /nfs/dbraw/zinc/43/24/34/407432434.db2.gz QAQUJCRYBOQLHH-VIFPVBQESA-N -1 1 314.179 1.999 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2C[C@H]3CC[C@@H]2C3)o1 ZINC000170630178 407459448 /nfs/dbraw/zinc/45/94/48/407459448.db2.gz LYQCJUWHGMAPNF-HBNTYKKESA-N -1 1 312.391 1.354 20 0 DDADMM O=C(N[C@H](CO)Cc1ccc(O)cc1)c1c([O-])cccc1F ZINC000185871153 407526900 /nfs/dbraw/zinc/52/69/00/407526900.db2.gz GVWUWLDMYLXDLE-NSHDSACASA-N -1 1 305.305 1.570 20 0 DDADMM C[C@@H]1CO[C@H](c2ccccc2)C[N@@H+]1CCCOC(=O)NC(N)=O ZINC000271504778 407706358 /nfs/dbraw/zinc/70/63/58/407706358.db2.gz LATQGMIRGGTOSE-OCCSQVGLSA-N -1 1 321.377 1.643 20 0 DDADMM COC(OC)[C@@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000234588796 407710823 /nfs/dbraw/zinc/71/08/23/407710823.db2.gz KATALPINDUAFRR-SSDOTTSWSA-N -1 1 318.167 1.892 20 0 DDADMM COc1ccc(C(=O)N2CCN(CCCC(=O)[O-])CC2)cc1 ZINC000262156067 407754263 /nfs/dbraw/zinc/75/42/63/407754263.db2.gz AMIMVANMLSQDKF-UHFFFAOYSA-N -1 1 306.362 1.318 20 0 DDADMM CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000116454151 407756024 /nfs/dbraw/zinc/75/60/24/407756024.db2.gz LBXXQADTAWRCET-NEPJUHHUSA-N -1 1 305.378 1.600 20 0 DDADMM O=c1nc(CN2CCC[C@H](C3OCCO3)C2)[n-]c2ccsc21 ZINC000171669215 407736602 /nfs/dbraw/zinc/73/66/02/407736602.db2.gz YVNLBVGLLFAGOU-JTQLQIEISA-N -1 1 321.402 1.570 20 0 DDADMM Cc1ccc(OCCNC(=O)CCCc2nn[n-]n2)c(C)c1 ZINC000635140300 422837240 /nfs/dbraw/zinc/83/72/40/422837240.db2.gz YIEUVSLFRIXPJW-UHFFFAOYSA-N -1 1 303.366 1.334 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H](c1ccccc1)N1CCC(O)CC1 ZINC000186891292 407792491 /nfs/dbraw/zinc/79/24/91/407792491.db2.gz WVUGLSBTXSFTJG-MRXNPFEDSA-N -1 1 322.405 1.269 20 0 DDADMM O=C([N-]c1nc2ccccn2n1)c1cnc(-c2ncccn2)s1 ZINC000132824513 407804378 /nfs/dbraw/zinc/80/43/78/407804378.db2.gz FFPLCOLWJHQFCM-UHFFFAOYSA-N -1 1 323.341 1.895 20 0 DDADMM O=C(NCCc1nnc2n1CCCCC2)c1ccc([O-])cc1F ZINC000272218033 407820348 /nfs/dbraw/zinc/82/03/48/407820348.db2.gz KCBZCBMLGSHENE-UHFFFAOYSA-N -1 1 318.352 1.822 20 0 DDADMM CC(C)c1cc(C(=O)N2C[C@@H](C(=O)[O-])[C@H](C(F)(F)F)C2)n[nH]1 ZINC000262413394 407829458 /nfs/dbraw/zinc/82/94/58/407829458.db2.gz ZMCOCDXXDJIBJI-HTQZYQBOSA-N -1 1 319.283 1.868 20 0 DDADMM Cn1cc(C(=O)C(=O)Nc2ccc([O-])c(C(F)(F)F)c2)cn1 ZINC000134182814 407887601 /nfs/dbraw/zinc/88/76/01/407887601.db2.gz IYPALRWMRNQQOC-UHFFFAOYSA-N -1 1 313.235 1.966 20 0 DDADMM CC[C@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000154059252 407963374 /nfs/dbraw/zinc/96/33/74/407963374.db2.gz XALRVQDJYQOPRZ-ONGXEEELSA-N -1 1 301.364 1.923 20 0 DDADMM C[C@H]1CCc2sc(C(=O)NCCCc3nc(=O)[n-][nH]3)cc2C1 ZINC000174582820 407968282 /nfs/dbraw/zinc/96/82/82/407968282.db2.gz KLEWVCSKDWBJHG-VIFPVBQESA-N -1 1 320.418 1.647 20 0 DDADMM COC(=O)c1cccc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)c1 ZINC000135040653 407969559 /nfs/dbraw/zinc/96/95/59/407969559.db2.gz HODJBHMLVSTOEE-UHFFFAOYSA-N -1 1 309.347 1.614 20 0 DDADMM COc1cc(C(=O)N[C@@H](C(N)=O)C(C)C)cc(Cl)c1[O-] ZINC000135081169 407972460 /nfs/dbraw/zinc/97/24/60/407972460.db2.gz JGYYNGRFXWLNHM-SNVBAGLBSA-N -1 1 300.742 1.294 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1CCc3c1cccc3O)c2=O ZINC000119250939 408023900 /nfs/dbraw/zinc/02/39/00/408023900.db2.gz LXERQDUHNKIOEE-ZDUSSCGKSA-N -1 1 324.340 1.797 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1CCc3c1cccc3O)c2=O ZINC000119250839 408024671 /nfs/dbraw/zinc/02/46/71/408024671.db2.gz LXERQDUHNKIOEE-CYBMUJFWSA-N -1 1 324.340 1.797 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NCCCc1ccccc1)C(=O)[O-] ZINC000263194771 408053140 /nfs/dbraw/zinc/05/31/40/408053140.db2.gz WFTPMAJYPIEBAK-BBRMVZONSA-N -1 1 306.406 1.824 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])C[N@@H+](CC(=O)NCCCc2ccccc2)C1 ZINC000263322044 408106298 /nfs/dbraw/zinc/10/62/98/408106298.db2.gz JUHNNJVISKNTNK-GDBMZVCRSA-N -1 1 318.417 1.778 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000263453314 408143292 /nfs/dbraw/zinc/14/32/92/408143292.db2.gz TXQGVBHFQIHMDN-HNNXBMFYSA-N -1 1 300.318 1.409 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H]3CCCC[C@@H]3C2)co1 ZINC000155323128 408153171 /nfs/dbraw/zinc/15/31/71/408153171.db2.gz RBARNJJXXHWZRT-GHMZBOCLSA-N -1 1 312.391 1.450 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3ccccc3F)nc2n1 ZINC000137514648 408157132 /nfs/dbraw/zinc/15/71/32/408157132.db2.gz PIJMZTLVHFFNKC-UHFFFAOYSA-N -1 1 315.308 1.762 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)N1CCC[C@@H](c2ncc(C(F)(F)F)[nH]2)C1 ZINC000263562572 408175479 /nfs/dbraw/zinc/17/54/79/408175479.db2.gz SUPJVRWKEFLGMX-JGVFFNPUSA-N -1 1 319.283 1.855 20 0 DDADMM COC(=O)Cc1cccc(NC(=O)c2ccc([O-])cc2F)n1 ZINC000190143593 408176948 /nfs/dbraw/zinc/17/69/48/408176948.db2.gz LIWWQKZYYJSWCX-UHFFFAOYSA-N -1 1 304.277 1.894 20 0 DDADMM O=C(c1coc(-c2ccccc2)n1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155587784 408184213 /nfs/dbraw/zinc/18/42/13/408184213.db2.gz XKLOUICZGLKUFK-LBPRGKRZSA-N -1 1 324.344 1.875 20 0 DDADMM C[C@@H]1CN=C(N2CCN(C(=O)c3ncccc3[O-])CC2)S1 ZINC000175871401 408225406 /nfs/dbraw/zinc/22/54/06/408225406.db2.gz RBOFLSPCPUCWDA-SNVBAGLBSA-N -1 1 306.391 1.036 20 0 DDADMM CCCC[C@@H](C(=O)Nc1cc(C)on1)N1CC[C@@H](C(=O)[O-])C1 ZINC000246716091 408322720 /nfs/dbraw/zinc/32/27/20/408322720.db2.gz XEVRAQWSKMWGIZ-NEPJUHHUSA-N -1 1 309.366 1.887 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CC[C@@H]1CCOC1 ZINC000176080166 408283647 /nfs/dbraw/zinc/28/36/47/408283647.db2.gz YFCBKSJRKWSLFC-GFCCVEGCSA-N -1 1 318.377 1.072 20 0 DDADMM CCOc1cccnc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000176155022 408295457 /nfs/dbraw/zinc/29/54/57/408295457.db2.gz WJQPKPWTGRIWIA-UHFFFAOYSA-N -1 1 301.228 1.870 20 0 DDADMM COCC1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000132706152 162042305 /nfs/dbraw/zinc/04/23/05/162042305.db2.gz IXUNKJJPNMFVNV-UHFFFAOYSA-N -1 1 304.350 1.173 20 0 DDADMM O=S(=O)([N-]CC(F)(F)CO)c1cc(F)cc(Cl)c1 ZINC000274280889 408351278 /nfs/dbraw/zinc/35/12/78/408351278.db2.gz VSMXSOPJQXLZJP-UHFFFAOYSA-N -1 1 303.689 1.385 20 0 DDADMM CC[C@@H](CCO)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000158955297 408372525 /nfs/dbraw/zinc/37/25/25/408372525.db2.gz BBKQRPXTEIDVJU-LBPRGKRZSA-N -1 1 320.393 1.262 20 0 DDADMM CC[C@@H](Oc1ccccc1F)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183407900 408400471 /nfs/dbraw/zinc/40/04/71/408400471.db2.gz SCHLFDGUXJNMMG-CMPLNLGQSA-N -1 1 321.356 1.758 20 0 DDADMM Cc1c(Cl)cccc1OCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183407053 408400561 /nfs/dbraw/zinc/40/05/61/408400561.db2.gz MKIIMGUIEXNKOT-SECBINFHSA-N -1 1 323.784 1.802 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]2C(C)(C)C)o1 ZINC000264202875 408402857 /nfs/dbraw/zinc/40/28/57/408402857.db2.gz HVBOGBRMBIGBET-LLVKDONJSA-N -1 1 314.407 1.838 20 0 DDADMM C[C@@H](CN(C)C(=O)CCC(=O)c1cccs1)c1nn[n-]n1 ZINC000183446740 408413061 /nfs/dbraw/zinc/41/30/61/408413061.db2.gz PHLBQJQXKJUVKO-VIFPVBQESA-N -1 1 307.379 1.486 20 0 DDADMM CCC(CC)[C@@H](O)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000269787768 408429160 /nfs/dbraw/zinc/42/91/60/408429160.db2.gz QBHYJKIGJOAKFG-JTQLQIEISA-N -1 1 319.379 1.142 20 0 DDADMM CCC[C@@H](NC(=O)CCC(=O)c1ccccc1)c1nn[n-]n1 ZINC000176754147 408432023 /nfs/dbraw/zinc/43/20/23/408432023.db2.gz STLCVHYPWUFAFU-GFCCVEGCSA-N -1 1 301.350 1.820 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1COc2ccccc2C1)c1nn[n-]n1 ZINC000176760980 408435305 /nfs/dbraw/zinc/43/53/05/408435305.db2.gz KKXRCZMPHOOHPR-RYUDHWBXSA-N -1 1 301.350 1.408 20 0 DDADMM Cc1ccccc1NCCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000274721705 408510211 /nfs/dbraw/zinc/51/02/11/408510211.db2.gz AQNLACUUWWBALI-GFCCVEGCSA-N -1 1 302.382 1.572 20 0 DDADMM CN1C(=S)N=NC1[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000264914015 408549492 /nfs/dbraw/zinc/54/94/92/408549492.db2.gz IGQWQGKNNPGJCQ-SECBINFHSA-N -1 1 319.390 1.224 20 0 DDADMM O=C(NCCCc1nnc2n1CCCC2)c1c([O-])cccc1F ZINC000274870181 408562423 /nfs/dbraw/zinc/56/24/23/408562423.db2.gz YZCIBKHWBCICSA-UHFFFAOYSA-N -1 1 318.352 1.822 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H](CCO)c2ccco2)c1Cl ZINC000184267957 408581122 /nfs/dbraw/zinc/58/11/22/408581122.db2.gz BWZVZVPVONHOKT-QMMMGPOBSA-N -1 1 319.770 1.069 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)c1ncn(C)n1 ZINC000275582994 408611104 /nfs/dbraw/zinc/61/11/04/408611104.db2.gz ONINUUWSLWRKIK-VIFPVBQESA-N -1 1 318.381 1.408 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2cscn2)o1 ZINC000177440268 408618368 /nfs/dbraw/zinc/61/83/68/408618368.db2.gz CMHWDCMIJGMIAM-UHFFFAOYSA-N -1 1 316.360 1.391 20 0 DDADMM CC[C@@H](C)N(OC)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000270851183 408709045 /nfs/dbraw/zinc/70/90/45/408709045.db2.gz JYZXMXQLACSDIV-SECBINFHSA-N -1 1 306.366 1.370 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(C)noc1C)[C@H](O)C(F)(F)F ZINC000270861267 408713411 /nfs/dbraw/zinc/71/34/11/408713411.db2.gz QZHSQYUSKDABNY-APPZFPTMSA-N -1 1 316.301 1.272 20 0 DDADMM CCC[C@H](NC(=O)CCC(=O)c1cccs1)c1nn[n-]n1 ZINC000177653102 408678432 /nfs/dbraw/zinc/67/84/32/408678432.db2.gz JZKMCNKAHIZHRH-VIFPVBQESA-N -1 1 307.379 1.882 20 0 DDADMM CS(=O)(=O)c1n[n-]c(-c2ccccc2Br)n1 ZINC000195110291 408811235 /nfs/dbraw/zinc/81/12/35/408811235.db2.gz VKVZGCOUSPOWLR-UHFFFAOYSA-N -1 1 302.153 1.638 20 0 DDADMM COCCc1noc(CN(C)C(=O)c2cc(F)ccc2[O-])n1 ZINC000163490912 408780045 /nfs/dbraw/zinc/78/00/45/408780045.db2.gz NBAXLGIOLDJPEL-UHFFFAOYSA-N -1 1 309.297 1.375 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnnc(-c2ccccc2)c1)c1nn[n-]n1 ZINC000291580274 408888752 /nfs/dbraw/zinc/88/87/52/408888752.db2.gz CFGCQWODNPSUDB-NSHDSACASA-N -1 1 323.360 1.532 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@](C)(OC)C2CC2)c1 ZINC000291805534 408925970 /nfs/dbraw/zinc/92/59/70/408925970.db2.gz ZALFIHXPWBTTIV-CYBMUJFWSA-N -1 1 317.363 1.160 20 0 DDADMM O=C([N-][C@@H]1CCN(c2ccc(Cl)c(F)c2)C1=O)C(F)F ZINC000194822119 163297924 /nfs/dbraw/zinc/29/79/24/163297924.db2.gz HOZUCFMWIOJETC-SECBINFHSA-N -1 1 306.671 1.966 20 0 DDADMM C[C@@H](Sc1nc(C2CC2)cc(=O)[n-]1)C(=O)Nc1ncccn1 ZINC000277499336 408952669 /nfs/dbraw/zinc/95/26/69/408952669.db2.gz DJVFKFSXHIRIKI-MRVPVSSYSA-N -1 1 317.374 1.969 20 0 DDADMM CN1CCO[C@@H]2CCN(C(=O)c3c(F)ccc([O-])c3F)C[C@@H]21 ZINC000286413764 408980868 /nfs/dbraw/zinc/98/08/68/408980868.db2.gz OOACPRIWXQXGJR-CMPLNLGQSA-N -1 1 312.316 1.216 20 0 DDADMM O=C(NC1(c2nnc[nH]2)CCC1)c1cc(F)c(F)c([O-])c1F ZINC000277752461 409005430 /nfs/dbraw/zinc/00/54/30/409005430.db2.gz UYQRTLRMLPEWDE-UHFFFAOYSA-N -1 1 312.251 1.737 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc(-c2csnn2)cc1 ZINC000282240055 409017447 /nfs/dbraw/zinc/01/74/47/409017447.db2.gz PXNKVFIOZWBKCT-SECBINFHSA-N -1 1 313.404 1.982 20 0 DDADMM C[C@H](O)[C@H](NC(=O)C1CC1)c1nc(-c2ccc([O-])c(F)c2)no1 ZINC000277883274 409028159 /nfs/dbraw/zinc/02/81/59/409028159.db2.gz CKZRBNXFVXERKQ-MADCSZMMSA-N -1 1 321.308 1.529 20 0 DDADMM C[C@@H]1CCSCCN1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287554767 409055024 /nfs/dbraw/zinc/05/50/24/409055024.db2.gz JYVCLCAKRDCQBL-IQHDWMNZSA-N -1 1 305.407 1.701 20 0 DDADMM O=c1nc(C2CCN(c3ncnc4sccc43)CC2)[nH][n-]1 ZINC000287583476 409060420 /nfs/dbraw/zinc/06/04/20/409060420.db2.gz DTBCAPACSJICAO-UHFFFAOYSA-N -1 1 302.363 1.487 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCC[C@@]3(C)CCCO)ccnc1-2 ZINC000287827826 409100296 /nfs/dbraw/zinc/10/02/96/409100296.db2.gz ZIGLFKHAXKQRPS-UEIJICEPSA-N -1 1 317.393 1.501 20 0 DDADMM CN(CCN1CCOC(C)(C)C1)C(=O)C(=O)c1ccc([O-])cc1 ZINC000287962960 409123059 /nfs/dbraw/zinc/12/30/59/409123059.db2.gz HQWDBNLKUJTCBF-UHFFFAOYSA-N -1 1 320.389 1.144 20 0 DDADMM O=C(CCNC(=O)C(=O)c1ccc([O-])cc1)OC1CCCCC1 ZINC000287977684 409125914 /nfs/dbraw/zinc/12/59/14/409125914.db2.gz WEFNTLUUDCYCTF-UHFFFAOYSA-N -1 1 319.357 1.957 20 0 DDADMM Cn1nccc1C1=C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000283378776 409146645 /nfs/dbraw/zinc/14/66/45/409146645.db2.gz OOOFUQNCWKNSOG-OLZOCXBDSA-N -1 1 310.357 1.981 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@@]3(C)CCCS3)ccnc1-2 ZINC000279457877 409218411 /nfs/dbraw/zinc/21/84/11/409218411.db2.gz VYBKMHJSJIHHIB-JVVDOCDTSA-N -1 1 305.407 1.749 20 0 DDADMM C[C@@H](CC(=O)NN1CC(=O)[N-]C1=O)c1c[nH]c2ccccc21 ZINC000279522500 409230492 /nfs/dbraw/zinc/23/04/92/409230492.db2.gz ONYPRGVGPFBKNI-VIFPVBQESA-N -1 1 300.318 1.245 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000279845386 409251433 /nfs/dbraw/zinc/25/14/33/409251433.db2.gz FRLNYZKZDGCCOX-KCJUWKMLSA-N -1 1 323.418 1.852 20 0 DDADMM CO[C@H](C)c1nc(CN2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)cs1 ZINC000289351295 409252037 /nfs/dbraw/zinc/25/20/37/409252037.db2.gz GDULASJOQIAFPU-NXEZZACHSA-N -1 1 323.422 1.642 20 0 DDADMM CN(C)C(=O)C1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000288970269 409202139 /nfs/dbraw/zinc/20/21/39/409202139.db2.gz BQQURLZBPHLGRI-UHFFFAOYSA-N -1 1 312.316 1.611 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc(C(=O)OC)cc1)c1nn[n-]n1 ZINC000295064778 409312686 /nfs/dbraw/zinc/31/26/86/409312686.db2.gz VMAFMWWXWUFJPM-GFCCVEGCSA-N -1 1 317.349 1.186 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000294271661 409269617 /nfs/dbraw/zinc/26/96/17/409269617.db2.gz UHFQTFFQPYBHCY-LLVKDONJSA-N -1 1 320.324 1.092 20 0 DDADMM CC[C@@](C)(OC)c1noc(-c2coc(S(=O)(=O)[N-]C)c2)n1 ZINC000290383788 409382857 /nfs/dbraw/zinc/38/28/57/409382857.db2.gz GEPOUXYBMKBMOL-GFCCVEGCSA-N -1 1 315.351 1.509 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2C[C@H](C)O[C@@H]2C)c1Br ZINC000631590113 422842113 /nfs/dbraw/zinc/84/21/13/422842113.db2.gz HANNCVAPIPSJBF-XLPZGREQSA-N -1 1 316.199 1.909 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2oc(C)nc2c1)c1nn[n-]n1 ZINC000280530757 409344019 /nfs/dbraw/zinc/34/40/19/409344019.db2.gz YNFKEWZZBCLOQN-SNVBAGLBSA-N -1 1 300.322 1.921 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2c(F)ccc([O-])c2F)[C@@H]1OC ZINC000290452363 409406815 /nfs/dbraw/zinc/40/68/15/409406815.db2.gz MJUMUODSKVKENV-PLMOITTCSA-N -1 1 301.289 1.593 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2c(F)ccc([O-])c2F)[C@H]1OC ZINC000290452361 409406880 /nfs/dbraw/zinc/40/68/80/409406880.db2.gz MJUMUODSKVKENV-IYYTYJHQSA-N -1 1 301.289 1.593 20 0 DDADMM CC[C@@]1(C)CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000296056077 409412825 /nfs/dbraw/zinc/41/28/25/409412825.db2.gz UKOBJXMXWCKDBC-AWEZNQCLSA-N -1 1 306.391 1.659 20 0 DDADMM CNS(=O)(=O)c1cc(NC(=O)c2cncc([O-])c2)ccc1C ZINC000316318519 164021756 /nfs/dbraw/zinc/02/17/56/164021756.db2.gz NMRTWBNIFKGUDZ-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM CC[C@H]1C[C@@H](C)CN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000407995011 164192675 /nfs/dbraw/zinc/19/26/75/164192675.db2.gz FIPUCHYFQRNLRT-KOLCDFICSA-N -1 1 300.380 1.448 20 0 DDADMM CC[C@@]1(C)CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000408264035 164279007 /nfs/dbraw/zinc/27/90/07/164279007.db2.gz HUZNHIQVZCIIBO-ZDUSSCGKSA-N -1 1 300.380 1.450 20 0 DDADMM CCSCC[C@H](C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337914842 409564055 /nfs/dbraw/zinc/56/40/55/409564055.db2.gz SQYDSMJHPDJVQO-JTQLQIEISA-N -1 1 311.407 1.165 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-][C@@H]2CC[C@@H](F)C2)s1 ZINC000344903500 409549405 /nfs/dbraw/zinc/54/94/05/409549405.db2.gz JRXSFGHJUYKWBB-HTQZYQBOSA-N -1 1 307.372 1.270 20 0 DDADMM Cc1noc(C)c1CCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000331500064 409575875 /nfs/dbraw/zinc/57/58/75/409575875.db2.gz QPJUVXNPUZPFRF-NSHDSACASA-N -1 1 320.349 1.719 20 0 DDADMM CN(Cc1ccccc1F)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337942190 409587969 /nfs/dbraw/zinc/58/79/69/409587969.db2.gz KRVBZRVCDZFVRR-UHFFFAOYSA-N -1 1 317.320 1.315 20 0 DDADMM C[C@@H](c1ccco1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337952888 409598515 /nfs/dbraw/zinc/59/85/15/409598515.db2.gz XJHBTSPKJHTQFT-JTQLQIEISA-N -1 1 303.318 1.330 20 0 DDADMM C[C@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@H]1CO ZINC000305168562 409708795 /nfs/dbraw/zinc/70/87/95/409708795.db2.gz IQRBNPIWZINIGR-GWCFXTLKSA-N -1 1 301.346 1.568 20 0 DDADMM O=C(NCc1nc(CO)cs1)c1csc(=NC2CC2)[n-]1 ZINC000356964862 409752983 /nfs/dbraw/zinc/75/29/83/409752983.db2.gz CRASXASGILMOPU-UHFFFAOYSA-N -1 1 310.404 1.018 20 0 DDADMM CC(C)(CO)O[N-]C(=O)CCC(=O)c1ccc2c(c1)CCC2 ZINC000297133998 409821311 /nfs/dbraw/zinc/82/13/11/409821311.db2.gz HTOLCWAYBAGVBD-UHFFFAOYSA-N -1 1 305.374 1.957 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CC2)c(C(F)(F)F)o1 ZINC000357068857 409836207 /nfs/dbraw/zinc/83/62/07/409836207.db2.gz AOAXAYPQHGGHCO-UHFFFAOYSA-N -1 1 301.228 1.539 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CC2)cnn1-c1cccc(C)c1 ZINC000357082551 409853296 /nfs/dbraw/zinc/85/32/96/409853296.db2.gz XEQNXCBWMAKWMR-UHFFFAOYSA-N -1 1 323.360 1.421 20 0 DDADMM C[C@@H]1CN(CC(=O)NCCOc2ccccc2)CC[C@H]1C(=O)[O-] ZINC000324078902 409922590 /nfs/dbraw/zinc/92/25/90/409922590.db2.gz YYWNZTCDENTZHN-UKRRQHHQSA-N -1 1 320.389 1.224 20 0 DDADMM C[C@@H]1CN(CC(=O)N2CCc3ccccc32)CC[C@@H]1C(=O)[O-] ZINC000318876144 409883304 /nfs/dbraw/zinc/88/33/04/409883304.db2.gz LIAWQKUTGIMUFZ-OCCSQVGLSA-N -1 1 302.374 1.618 20 0 DDADMM CCC(=CC(=O)Nc1nn[nH]c1C(=O)NC)c1ccc(C)cc1 ZINC000297755761 409985211 /nfs/dbraw/zinc/98/52/11/409985211.db2.gz OQLCSEUHYZQOGT-PKNBQFBNSA-N -1 1 313.361 1.905 20 0 DDADMM CC1CCC(C)(CNC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000357354001 409989442 /nfs/dbraw/zinc/98/94/42/409989442.db2.gz XUFKKNDRKNFXEO-UHFFFAOYSA-N -1 1 319.405 1.850 20 0 DDADMM COCCCOCCN(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000297657469 409945493 /nfs/dbraw/zinc/94/54/93/409945493.db2.gz YXBUJHFVQJCXBV-UHFFFAOYSA-N -1 1 324.406 1.143 20 0 DDADMM CC(C)(C)Oc1ccc(C(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000297667465 409948414 /nfs/dbraw/zinc/94/84/14/409948414.db2.gz GDMUAFMKYVRKFC-UHFFFAOYSA-N -1 1 303.322 1.333 20 0 DDADMM COc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc(C)n1 ZINC000332271470 410030760 /nfs/dbraw/zinc/03/07/60/410030760.db2.gz GQEKLDXUUBIPEC-JTQLQIEISA-N -1 1 318.333 1.507 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1coc(C2CCCCC2)n1 ZINC000338846196 410046381 /nfs/dbraw/zinc/04/63/81/410046381.db2.gz DMDVLQWCXGVWEC-UHFFFAOYSA-N -1 1 302.338 1.655 20 0 DDADMM CC[C@H](C)Oc1ccc(C(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000297930054 410050220 /nfs/dbraw/zinc/05/02/20/410050220.db2.gz YLAWJLHPWXCLSP-QMMMGPOBSA-N -1 1 303.322 1.333 20 0 DDADMM COc1ncccc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332307454 410058031 /nfs/dbraw/zinc/05/80/31/410058031.db2.gz LOBJKAWGIXOTLI-VIFPVBQESA-N -1 1 304.306 1.199 20 0 DDADMM CC(C)(NC(=O)C[C@@H]1OCCc2ccccc21)c1nn[n-]n1 ZINC000354748794 410059026 /nfs/dbraw/zinc/05/90/26/410059026.db2.gz CNMPBIOWKGEPKR-LBPRGKRZSA-N -1 1 301.350 1.255 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2OCCc3ccccc32)n1 ZINC000339169367 410063933 /nfs/dbraw/zinc/06/39/33/410063933.db2.gz AGCSWIQFZHLQAV-CYBMUJFWSA-N -1 1 315.329 1.839 20 0 DDADMM Cc1ccc(CSCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354776779 410079297 /nfs/dbraw/zinc/07/92/97/410079297.db2.gz RFWDFWHPNVMMBY-UHFFFAOYSA-N -1 1 305.407 1.793 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1CC3CCC1CC3)c2=O ZINC000351676090 410147185 /nfs/dbraw/zinc/14/71/85/410147185.db2.gz SWPVJKJABDLZCE-SRHKJQAYSA-N -1 1 300.362 1.982 20 0 DDADMM CO[C@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ccccc1 ZINC000332383778 410116153 /nfs/dbraw/zinc/11/61/53/410116153.db2.gz BJPMSZOFIFOAIG-STQMWFEESA-N -1 1 317.345 1.869 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)Cc2cccc(C)n2)co1 ZINC000339362316 410127789 /nfs/dbraw/zinc/12/77/89/410127789.db2.gz ZFNGYXDEFYMSDV-UHFFFAOYSA-N -1 1 323.374 1.163 20 0 DDADMM CC(C)(NC(=O)c1cc(-c2ccc(F)cc2)on1)c1nn[n-]n1 ZINC000354873152 410142977 /nfs/dbraw/zinc/14/29/77/410142977.db2.gz RIZQUVKSABGROZ-UHFFFAOYSA-N -1 1 316.296 1.659 20 0 DDADMM Cc1nc(S[C@@H](Cc2ccccc2)C(N)=O)[n-]c(=O)c1C ZINC000351713115 410174772 /nfs/dbraw/zinc/17/47/72/410174772.db2.gz SPHQSFDCJOYHOD-LBPRGKRZSA-N -1 1 303.387 1.988 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@H]([C@H]2CCOC2)C1 ZINC000333139628 410381417 /nfs/dbraw/zinc/38/14/17/410381417.db2.gz YKIUNPQSNSYWCN-QWRGUYRKSA-N -1 1 307.419 1.638 20 0 DDADMM N=c1nc(N2CCN(Cc3ncc(Cl)s3)CC2)s[n-]1 ZINC000351996613 410400254 /nfs/dbraw/zinc/40/02/54/410400254.db2.gz PXULOPRVKTZDRL-UHFFFAOYSA-N -1 1 316.843 1.383 20 0 DDADMM CC[N@@H+]1CC[C@H](NS(=O)(=O)c2cn3c(n2)CCC[C@@H]3C)C1 ZINC000333185680 410410938 /nfs/dbraw/zinc/41/09/38/410410938.db2.gz QRRUVYOYGSDYRR-RYUDHWBXSA-N -1 1 312.439 1.153 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)CO[C@H]2CCOC2)sc1C ZINC000333243910 410440116 /nfs/dbraw/zinc/44/01/16/410440116.db2.gz IYDFVIWKCZUPCL-KCJUWKMLSA-N -1 1 320.436 1.232 20 0 DDADMM COCCOc1cccc(CNC(=O)c2cncc([O-])c2)c1 ZINC000339847281 410498083 /nfs/dbraw/zinc/49/80/83/410498083.db2.gz IDKKIUZCVWGEPU-UHFFFAOYSA-N -1 1 302.330 1.742 20 0 DDADMM CS(=O)(=O)Nc1cccc(CNC(=O)c2cncc([O-])c2)c1 ZINC000339835806 410490704 /nfs/dbraw/zinc/49/07/04/410490704.db2.gz GUQOYBIKTQHTHN-UHFFFAOYSA-N -1 1 321.358 1.089 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCOC[C@@H]2CCCO2)[n-]1 ZINC000352164130 410517860 /nfs/dbraw/zinc/51/78/60/410517860.db2.gz MVQVDMTUIVBVIV-JTQLQIEISA-N -1 1 311.338 1.111 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCOC[C@@H]2CCCO2)n1 ZINC000352164130 410517868 /nfs/dbraw/zinc/51/78/68/410517868.db2.gz MVQVDMTUIVBVIV-JTQLQIEISA-N -1 1 311.338 1.111 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CSCc2cccnc2)n1 ZINC000352163960 410519575 /nfs/dbraw/zinc/51/95/75/410519575.db2.gz KBCPAAQCYBEUGL-UHFFFAOYSA-N -1 1 320.374 1.853 20 0 DDADMM C[C@@H](c1ccc([S@](C)=O)cc1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC000347413220 410532319 /nfs/dbraw/zinc/53/23/19/410532319.db2.gz BMKOMKIZSWXRLY-CWKPULSASA-N -1 1 308.407 1.039 20 0 DDADMM C[C@@H](NC(=O)c1nn(-c2cccc(F)c2)cc1[O-])c1nnc[nH]1 ZINC000339896562 410537532 /nfs/dbraw/zinc/53/75/32/410537532.db2.gz QQDPLOQKRKXECF-MRVPVSSYSA-N -1 1 316.296 1.326 20 0 DDADMM CC[C@@H](C)[C@@H](NC(C)=O)C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000355858629 410705261 /nfs/dbraw/zinc/70/52/61/410705261.db2.gz WPCWOTFNJFRXPI-YMTOWFKASA-N -1 1 322.361 1.668 20 0 DDADMM CCC(CC)[C@@H](C)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000330520851 410747220 /nfs/dbraw/zinc/74/72/20/410747220.db2.gz HGDQUXPKBRICBT-SNVBAGLBSA-N -1 1 311.455 1.672 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C[C@@H]3C[C@@H]3C)CC2)n1 ZINC000356032150 410784536 /nfs/dbraw/zinc/78/45/36/410784536.db2.gz DDJNSTFGKQBVGZ-JQWIXIFHSA-N -1 1 320.393 1.734 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)Nc1ccc(=O)[nH]c1)c2=O ZINC000359642683 410789287 /nfs/dbraw/zinc/78/92/87/410789287.db2.gz CLQQKQJMEBWDFL-UHFFFAOYSA-N -1 1 311.297 1.890 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCCO1 ZINC000343900591 410795382 /nfs/dbraw/zinc/79/53/82/410795382.db2.gz BNJFLUJAPXXMHY-SNVBAGLBSA-N -1 1 304.350 1.315 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(C)o1)[C@@H](O)C(F)(F)F ZINC000330647960 410846667 /nfs/dbraw/zinc/84/66/67/410846667.db2.gz IHXJJMDJXBNGJX-IONNQARKSA-N -1 1 301.286 1.568 20 0 DDADMM CCCCNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000330649690 410849439 /nfs/dbraw/zinc/84/94/39/410849439.db2.gz IYLTWHDNJGPRGZ-UHFFFAOYSA-N -1 1 302.396 1.886 20 0 DDADMM O=C(N[C@@H]1CC[S@](=O)C1)c1ccc(Br)c([O-])c1 ZINC000343977911 410863440 /nfs/dbraw/zinc/86/34/40/410863440.db2.gz KPTWZNRKBIBWBO-RBHXEPJQSA-N -1 1 318.192 1.406 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCC1CC1)c1cccs1 ZINC000356179681 410882703 /nfs/dbraw/zinc/88/27/03/410882703.db2.gz ISSACTDGYVJSRU-NSHDSACASA-N -1 1 303.405 1.682 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(c2cccc(F)c2)CCCC1 ZINC000348290971 410901675 /nfs/dbraw/zinc/90/16/75/410901675.db2.gz HLZLFMNYBYUYLA-UHFFFAOYSA-N -1 1 315.352 1.956 20 0 DDADMM COc1cc(C(=O)N2CCO[C@@H](C(N)=O)C2)cc(Cl)c1[O-] ZINC000330883267 410903281 /nfs/dbraw/zinc/90/32/81/410903281.db2.gz OWYZKVPABUIKAN-SNVBAGLBSA-N -1 1 314.725 1.430 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000631637284 422861677 /nfs/dbraw/zinc/86/16/77/422861677.db2.gz NHHPTQKBFDBRIK-AOOOYVTPSA-N -1 1 301.364 1.199 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2c(F)ccc([O-])c2F)o1 ZINC000348530161 411000341 /nfs/dbraw/zinc/00/03/41/411000341.db2.gz ICIQIHXFADQPKL-UHFFFAOYSA-N -1 1 311.240 1.980 20 0 DDADMM CC(C)(NC(=O)C1=Cc2cc(F)ccc2OC1)c1nn[n-]n1 ZINC000341880070 411081635 /nfs/dbraw/zinc/08/16/35/411081635.db2.gz ZGQWUVWRMMEGNW-UHFFFAOYSA-N -1 1 303.297 1.166 20 0 DDADMM CN(C[C@@H]1CCS(=O)(=O)C1)C(=O)c1cc(F)ccc1[O-] ZINC000331223647 411026877 /nfs/dbraw/zinc/02/68/77/411026877.db2.gz AELLWIWPIZQNMY-VIFPVBQESA-N -1 1 301.339 1.038 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCO[C@H](c2ccccc2)C1 ZINC000635197580 422872928 /nfs/dbraw/zinc/87/29/28/422872928.db2.gz JCDDNSOAAHGNPH-ZDUSSCGKSA-N -1 1 301.350 1.123 20 0 DDADMM O=C(N[C@H]1CCN(C2CCC2)C1=O)c1cc(Cl)ccc1[O-] ZINC000629928761 422883079 /nfs/dbraw/zinc/88/30/79/422883079.db2.gz DOTLKUCOTYUDHH-LBPRGKRZSA-N -1 1 308.765 1.929 20 0 DDADMM C[C@@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C(F)(F)F ZINC000629952910 422892070 /nfs/dbraw/zinc/89/20/70/422892070.db2.gz ZTHAHUBOKXPXGU-KWQFWETISA-N -1 1 313.283 1.994 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)c1cccc(N2CCCC2)c1 ZINC000631742533 422901378 /nfs/dbraw/zinc/90/13/78/422901378.db2.gz XZWOCNFNIHKKQT-GFCCVEGCSA-N -1 1 314.393 1.610 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](C)OC(C)(C)C2)c1 ZINC000631801131 422927633 /nfs/dbraw/zinc/92/76/33/422927633.db2.gz XHAOOHFVLPCYLK-JTQLQIEISA-N -1 1 315.391 1.589 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N(C)C[C@H]1CCCO1 ZINC000652386680 422995833 /nfs/dbraw/zinc/99/58/33/422995833.db2.gz DFGJYLOPKXBHNV-IUODEOHRSA-N -1 1 320.389 1.500 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H](C)CCCO)c(F)c1 ZINC000650083028 423042194 /nfs/dbraw/zinc/04/21/94/423042194.db2.gz NWMPUCFYVCTGRQ-SECBINFHSA-N -1 1 323.361 1.660 20 0 DDADMM CCN(CCCN1C[C@@H](C)O[C@@H](C(=O)[O-])C1)CC(F)(F)F ZINC000652509730 423049696 /nfs/dbraw/zinc/04/96/96/423049696.db2.gz ITBIVRUIRVMXRO-GHMZBOCLSA-N -1 1 312.332 1.435 20 0 DDADMM CC[C@@H](C)Oc1ccc(C(=O)N=c2ncn(CC(=O)[O-])[nH]2)cc1 ZINC000647780795 423045599 /nfs/dbraw/zinc/04/55/99/423045599.db2.gz OBAHEIWVDQCBSO-SNVBAGLBSA-N -1 1 318.333 1.214 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@H]1O)c1ncccc1C(F)(F)F ZINC000645554118 423080358 /nfs/dbraw/zinc/08/03/58/423080358.db2.gz VHQGJQSRBYVSTP-NXEZZACHSA-N -1 1 324.324 1.682 20 0 DDADMM CCC[C@@H](O)C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645557847 423081645 /nfs/dbraw/zinc/08/16/45/423081645.db2.gz HMSXDTXWVWONSF-MRVPVSSYSA-N -1 1 312.313 1.540 20 0 DDADMM Cc1oc2ncn(C)c(=O)c2c1C(=O)[N-]c1ncn(C(C)C)n1 ZINC000650277709 423113335 /nfs/dbraw/zinc/11/33/35/423113335.db2.gz KMJXZKWETBHCIV-UHFFFAOYSA-N -1 1 316.321 1.260 20 0 DDADMM CO[C@@H]1CCC[C@H](C(=O)NCc2cc(=O)[n-]c(SC)n2)C1 ZINC000640655330 423115251 /nfs/dbraw/zinc/11/52/51/423115251.db2.gz LIEUVHMUFLZOES-GXSJLCMTSA-N -1 1 311.407 1.726 20 0 DDADMM CSc1nc(CNC(=O)C2Cc3ccccc3C2)cc(=O)[n-]1 ZINC000640656626 423117567 /nfs/dbraw/zinc/11/75/67/423117567.db2.gz RQNLSXPKGUTFHD-UHFFFAOYSA-N -1 1 315.398 1.935 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCOC1)c1ncccc1C(F)(F)F ZINC000645648671 423125208 /nfs/dbraw/zinc/12/52/08/423125208.db2.gz IQAKHKITGYJYJI-VIFPVBQESA-N -1 1 324.324 1.805 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CC[C@H](F)C1 ZINC000360373164 418420208 /nfs/dbraw/zinc/42/02/08/418420208.db2.gz QDECCBJRRIIXSB-WDEREUQCSA-N -1 1 306.341 1.601 20 0 DDADMM CC[C@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@@]2(CCOC2)O1 ZINC000373694586 418448410 /nfs/dbraw/zinc/44/84/10/418448410.db2.gz HIAABBOFQHPICD-BLLLJJGKSA-N -1 1 309.337 1.941 20 0 DDADMM C[C@H](C(=O)NC1CCCC1)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000373830760 418463823 /nfs/dbraw/zinc/46/38/23/418463823.db2.gz IZVMUHMYWGMSDV-SNVBAGLBSA-N -1 1 307.398 1.137 20 0 DDADMM C[C@H](C(=O)NC(C)(C)C)S(=O)(=O)c1nnc(C(C)(C)C)[n-]1 ZINC000195171013 222193855 /nfs/dbraw/zinc/19/38/55/222193855.db2.gz ZRQFAEPPUVDLIM-MRVPVSSYSA-N -1 1 316.427 1.179 20 0 DDADMM C[C@H](C(=O)NC(C)(C)C)S(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000195171013 222193857 /nfs/dbraw/zinc/19/38/57/222193857.db2.gz ZRQFAEPPUVDLIM-MRVPVSSYSA-N -1 1 316.427 1.179 20 0 DDADMM C[C@H](C(=O)NC(C)(C)C)S(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195171013 222193859 /nfs/dbraw/zinc/19/38/59/222193859.db2.gz ZRQFAEPPUVDLIM-MRVPVSSYSA-N -1 1 316.427 1.179 20 0 DDADMM CC(C)NS(=O)(=O)CCNC(=O)c1cc(Cl)ccc1[O-] ZINC000195218483 222194956 /nfs/dbraw/zinc/19/49/56/222194956.db2.gz ZTJBOVILXZJJTM-UHFFFAOYSA-N -1 1 320.798 1.103 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@H]1[C@H]1CCCO1 ZINC000375064241 418598647 /nfs/dbraw/zinc/59/86/47/418598647.db2.gz IJBHEXHTAPVFNS-UONOGXRCSA-N -1 1 313.357 1.707 20 0 DDADMM COc1ccc(NC(=O)CN2CCC(C)(C(=O)[O-])CC2)cc1 ZINC000316091883 418599766 /nfs/dbraw/zinc/59/97/66/418599766.db2.gz JWKRIUFZNCABRT-UHFFFAOYSA-N -1 1 306.362 1.820 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2[C@H](CCC(=O)N2C2CC2)C1 ZINC000361205913 418605736 /nfs/dbraw/zinc/60/57/36/418605736.db2.gz ZUCZGEIGEPXLEG-DGCLKSJQSA-N -1 1 315.373 1.403 20 0 DDADMM COc1ccccc1CNC(=O)CN1CCC(C)(C(=O)[O-])CC1 ZINC000390264555 418754024 /nfs/dbraw/zinc/75/40/24/418754024.db2.gz YZWAUKMZZBPLFI-UHFFFAOYSA-N -1 1 320.389 1.498 20 0 DDADMM COC(=O)[C@@H]1C[C@H](OC)CN1C(=O)c1ccc(Cl)cc1[O-] ZINC000364852535 418815553 /nfs/dbraw/zinc/81/55/53/418815553.db2.gz VUKCKLSHAHKJLP-ONGXEEELSA-N -1 1 313.737 1.448 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC[C@]1(O)CCOC1 ZINC000652806359 423146162 /nfs/dbraw/zinc/14/61/62/423146162.db2.gz PUVOHVILBPMTNI-INIZCTEOSA-N -1 1 323.393 1.059 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H](CO)C(C)C)c(F)c1 ZINC000425234687 228396214 /nfs/dbraw/zinc/39/62/14/228396214.db2.gz MPVLBXLSBLEULR-JTQLQIEISA-N -1 1 307.362 1.816 20 0 DDADMM COC(=O)[C@H](c1ccccc1)N(C)C(=O)c1ncccc1[O-] ZINC000427450210 419663126 /nfs/dbraw/zinc/66/31/26/419663126.db2.gz KRYKTWOCVNALOY-AWEZNQCLSA-N -1 1 300.314 1.774 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C)C(F)(F)C2)o1 ZINC000427656538 419712467 /nfs/dbraw/zinc/71/24/67/419712467.db2.gz LDXATDLXBOIAHG-MRVPVSSYSA-N -1 1 322.333 1.305 20 0 DDADMM NC(=O)c1csc(=NCCNC(=O)CC2CCCCC2)[n-]1 ZINC000432314261 229094564 /nfs/dbraw/zinc/09/45/64/229094564.db2.gz MZLIWEOCNMASIQ-UHFFFAOYSA-N -1 1 310.423 1.162 20 0 DDADMM O=c1nc(NCC[C@H]2COc3ccccc3O2)nc2[nH][n-]cc1-2 ZINC000418987082 420039389 /nfs/dbraw/zinc/03/93/89/420039389.db2.gz HEUNOFCXQCQMPO-VIFPVBQESA-N -1 1 313.317 1.074 20 0 DDADMM CCN(Cc1cccc(F)c1)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416127505 420250854 /nfs/dbraw/zinc/25/08/54/420250854.db2.gz AFTFKAACQPTCMV-UHFFFAOYSA-N -1 1 321.308 1.698 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](SC)C(C)(C)C ZINC000416192712 420274611 /nfs/dbraw/zinc/27/46/11/420274611.db2.gz BICCDYHURSKUBB-SSDOTTSWSA-N -1 1 315.395 1.404 20 0 DDADMM NS(=O)(=O)c1ccccc1CNC(=O)c1cc(F)ccc1[O-] ZINC000436737944 420363624 /nfs/dbraw/zinc/36/36/24/420363624.db2.gz KCYCFZMFXVHHPO-UHFFFAOYSA-N -1 1 324.333 1.109 20 0 DDADMM O=C(NC[C@H](CO)c1cccnc1)c1ccc(Cl)cc1[O-] ZINC000436742007 420363694 /nfs/dbraw/zinc/36/36/94/420363694.db2.gz VEEGFJHKEQETJB-LLVKDONJSA-N -1 1 306.749 1.947 20 0 DDADMM COc1ncc(NC(=O)c2cc(F)ccc2[O-])cc1C(N)=O ZINC000436583284 420341830 /nfs/dbraw/zinc/34/18/30/420341830.db2.gz DIJQWNPKNISWGF-UHFFFAOYSA-N -1 1 305.265 1.286 20 0 DDADMM O=C(c1cn2c(n1)CCCC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425316555 420349035 /nfs/dbraw/zinc/34/90/35/420349035.db2.gz CZDQROPDYAPTJC-UHFFFAOYSA-N -1 1 317.349 1.328 20 0 DDADMM CNC(=O)CC[C@H]1CCCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000436696173 420356410 /nfs/dbraw/zinc/35/64/10/420356410.db2.gz HFUOWJBEZTZCQJ-CYBMUJFWSA-N -1 1 318.373 1.482 20 0 DDADMM CN(Cc1ccc2c(c1)OCO2)C(=O)C(=O)c1ccc([O-])cc1 ZINC000436697641 420356775 /nfs/dbraw/zinc/35/67/75/420356775.db2.gz LVNYSWSCUIBKOX-UHFFFAOYSA-N -1 1 313.309 1.962 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000436713367 420359182 /nfs/dbraw/zinc/35/91/82/420359182.db2.gz QXJUTAWHXYLBDB-SECBINFHSA-N -1 1 301.339 1.038 20 0 DDADMM CCOC(=O)[C@H](C)CCc1nc2cc(F)c([O-])cc2c(=O)[nH]1 ZINC000416410998 420361032 /nfs/dbraw/zinc/36/10/32/420361032.db2.gz HWVSASCTHTXHFT-MRVPVSSYSA-N -1 1 308.309 1.900 20 0 DDADMM COCC[C@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C(C)(C)C ZINC000416241204 420292555 /nfs/dbraw/zinc/29/25/55/420292555.db2.gz LDXRHONMJJNTGK-QMMMGPOBSA-N -1 1 313.354 1.077 20 0 DDADMM NC(=O)N1CCc2ccc(NC(=O)c3ccc(O)cc3[O-])cc21 ZINC000436819206 420369285 /nfs/dbraw/zinc/36/92/85/420369285.db2.gz CZBABERXZVRYLB-UHFFFAOYSA-N -1 1 313.313 1.791 20 0 DDADMM Cc1cccc(C(=O)NCCNC(=O)c2c([O-])cccc2F)c1 ZINC000436959769 420387264 /nfs/dbraw/zinc/38/72/64/420387264.db2.gz ONRCJJTYKPPHLF-UHFFFAOYSA-N -1 1 316.332 2.000 20 0 DDADMM COC(=O)Cc1cccc(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436956299 420387677 /nfs/dbraw/zinc/38/76/77/420387677.db2.gz PZJVLVBDYNOQHE-UHFFFAOYSA-N -1 1 313.309 1.929 20 0 DDADMM NC(=O)CC[C@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000436970650 420389466 /nfs/dbraw/zinc/38/94/66/420389466.db2.gz GMBSAMHEWARCOF-LLVKDONJSA-N -1 1 304.346 1.079 20 0 DDADMM CC[C@@H]1OCC[C@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000416553251 420415271 /nfs/dbraw/zinc/41/52/71/420415271.db2.gz DRKSEBKEYYYTNZ-SFYZADRCSA-N -1 1 324.196 1.888 20 0 DDADMM CC(C)[C@H](CC(F)(F)F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000438618903 420461659 /nfs/dbraw/zinc/46/16/59/420461659.db2.gz BKCAGSCCMMDUDI-QMMMGPOBSA-N -1 1 317.271 1.124 20 0 DDADMM CCC(O)(CC)CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000440214406 420561318 /nfs/dbraw/zinc/56/13/18/420561318.db2.gz IODRLAZUYYNQLN-UHFFFAOYSA-N -1 1 303.362 1.925 20 0 DDADMM CCC(O)(CC)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000440214406 420561320 /nfs/dbraw/zinc/56/13/20/420561320.db2.gz IODRLAZUYYNQLN-UHFFFAOYSA-N -1 1 303.362 1.925 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@](O)(C(F)F)C3)cnc2n1 ZINC000452672207 420640879 /nfs/dbraw/zinc/64/08/79/420640879.db2.gz SNWWHYMCWFWTFK-HNNXBMFYSA-N -1 1 323.299 1.486 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@](O)(C(F)F)C3)c[n-]c2n1 ZINC000452672207 420640884 /nfs/dbraw/zinc/64/08/84/420640884.db2.gz SNWWHYMCWFWTFK-HNNXBMFYSA-N -1 1 323.299 1.486 20 0 DDADMM COC[C@H](CCO)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442673156 420698601 /nfs/dbraw/zinc/69/86/01/420698601.db2.gz QDKZNOKDXFUCQL-VIFPVBQESA-N -1 1 303.742 1.181 20 0 DDADMM COc1cc(C(=O)NCCNc2cnccn2)cc(Cl)c1[O-] ZINC000442661948 420696210 /nfs/dbraw/zinc/69/62/10/420696210.db2.gz VHQLCAJBKWFQEI-UHFFFAOYSA-N -1 1 322.752 1.108 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CC)C(C)(C)C ZINC000443070465 420742494 /nfs/dbraw/zinc/74/24/94/420742494.db2.gz AOZSPLHHQURYHW-JTQLQIEISA-N -1 1 317.411 1.689 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2cccc(Cl)c2)[n-]n1 ZINC000447648915 420791243 /nfs/dbraw/zinc/79/12/43/420791243.db2.gz DTODICBZFLXTNP-UHFFFAOYSA-N -1 1 304.781 1.956 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C\C2CCCC2)c1 ZINC000493269884 420804846 /nfs/dbraw/zinc/80/48/46/420804846.db2.gz RASIIAHQEGYLHG-YVMONPNESA-N -1 1 310.375 1.725 20 0 DDADMM Cc1ncccc1C=CC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000493288690 420809421 /nfs/dbraw/zinc/80/94/21/420809421.db2.gz DXYRSTHWOXQJAD-DAXSKMNVSA-N -1 1 312.333 1.052 20 0 DDADMM COC1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCCC1 ZINC000454262867 420828009 /nfs/dbraw/zinc/82/80/09/420828009.db2.gz DUGHNHQBYPKSGW-UHFFFAOYSA-N -1 1 301.346 1.612 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1Cc2c(cccc2C)O1)c1nn[n-]n1 ZINC000450122743 421145091 /nfs/dbraw/zinc/14/50/91/421145091.db2.gz RELCLBDQGQVVRH-AAEUAGOBSA-N -1 1 301.350 1.469 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1Cc2cccc(C)c2O1)c1nn[n-]n1 ZINC000450123583 421145475 /nfs/dbraw/zinc/14/54/75/421145475.db2.gz YVWIDDIBAOTOBG-NWDGAFQWSA-N -1 1 301.350 1.469 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1COc2ccc(Cl)cc21 ZINC000489584456 421164760 /nfs/dbraw/zinc/16/47/60/421164760.db2.gz YTVPEUNZZXOOOM-SECBINFHSA-N -1 1 305.725 1.135 20 0 DDADMM Cc1c(NC(=O)c2ccc(O)cc2[O-])cnn1[C@@H]1CCOC1 ZINC000456259079 421134376 /nfs/dbraw/zinc/13/43/76/421134376.db2.gz LQNVHBDWVMYGFS-SNVBAGLBSA-N -1 1 303.318 1.816 20 0 DDADMM COc1cc(C=CC(=O)NC(C)(C)c2nn[n-]n2)ccc1F ZINC000492243259 421218272 /nfs/dbraw/zinc/21/82/72/421218272.db2.gz NYYPFJPONCBASX-FNORWQNLSA-N -1 1 305.313 1.412 20 0 DDADMM C[C@@H]1CCCC[C@@H]1CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000523125060 421233305 /nfs/dbraw/zinc/23/33/05/421233305.db2.gz FEXGSKOSRBIEEU-RKDXNWHRSA-N -1 1 300.384 1.363 20 0 DDADMM C[C@@H]1CCCC[C@@H]1CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000523125060 421233309 /nfs/dbraw/zinc/23/33/09/421233309.db2.gz FEXGSKOSRBIEEU-RKDXNWHRSA-N -1 1 300.384 1.363 20 0 DDADMM Cc1nn(C)c2ncc(CNC3(c4nnn[n-]4)CCCC3)cc12 ZINC000545328815 421254642 /nfs/dbraw/zinc/25/46/42/421254642.db2.gz BTINUHVTMAVPTE-UHFFFAOYSA-N -1 1 312.381 1.349 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CC[C@@H](C(F)(F)F)C1)c1nn[n-]n1 ZINC000560638158 421286402 /nfs/dbraw/zinc/28/64/02/421286402.db2.gz MTSKCXDPYKMVID-IWSPIJDZSA-N -1 1 305.304 1.740 20 0 DDADMM Cc1nc2ccc(C(=O)NC3(c4nn[n-]n4)CCCC3)cc2o1 ZINC000527163838 421361417 /nfs/dbraw/zinc/36/14/17/421361417.db2.gz AHZLIQKKVYPTJJ-UHFFFAOYSA-N -1 1 312.333 1.849 20 0 DDADMM O=C(c1cc(-c2ccoc2)[nH]n1)N1CCc2c(=O)[nH]cnc2C1 ZINC000515089058 421478763 /nfs/dbraw/zinc/47/87/63/421478763.db2.gz BMHUZRXRVIRQAM-UHFFFAOYSA-N -1 1 311.301 1.364 20 0 DDADMM O=C(NC[C@@H]1C[C@H]1C1CCCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000563939247 421557680 /nfs/dbraw/zinc/55/76/80/421557680.db2.gz LYVCWUOKJNPGRM-RYUDHWBXSA-N -1 1 315.377 1.364 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CC2)nn1C1CCCCC1 ZINC000564267147 421576842 /nfs/dbraw/zinc/57/68/42/421576842.db2.gz FSWZFEDONIDRCW-UHFFFAOYSA-N -1 1 315.381 1.629 20 0 DDADMM Nc1nsc(N2CC[NH+](Cc3ccc4cc[nH]c4c3)CC2)n1 ZINC000529536404 421542566 /nfs/dbraw/zinc/54/25/66/421542566.db2.gz KFLVNEIKWUNULA-UHFFFAOYSA-N -1 1 314.418 1.754 20 0 DDADMM N=c1nc(N2CCN(Cc3ccc4cc[nH]c4c3)CC2)s[n-]1 ZINC000529536404 421542568 /nfs/dbraw/zinc/54/25/68/421542568.db2.gz KFLVNEIKWUNULA-UHFFFAOYSA-N -1 1 314.418 1.754 20 0 DDADMM COc1cc(C(=O)N=c2cc3ccccn3[nH]2)cc(OC)c1[O-] ZINC000552986121 421597979 /nfs/dbraw/zinc/59/79/79/421597979.db2.gz LFXDIAZGVKCPAH-UHFFFAOYSA-N -1 1 313.313 1.731 20 0 DDADMM O=S1(=O)CCCC[C@@H]1CN=c1nc(C(F)(F)F)[n-]s1 ZINC000553161352 421603536 /nfs/dbraw/zinc/60/35/36/421603536.db2.gz LASKFULZUUMPOE-ZCFIWIBFSA-N -1 1 315.342 1.358 20 0 DDADMM C[C@@H]1[C@@H](c2ccccc2)CCN1Cc1cn(CC(=O)[O-])nn1 ZINC000571172524 421693398 /nfs/dbraw/zinc/69/33/98/421693398.db2.gz ZKAMDGCTVBZHTF-DOMZBBRYSA-N -1 1 300.362 1.741 20 0 DDADMM CC1(C)[C@H](NC(=O)C(=O)c2ccc([O-])cc2)[C@H]2CCCO[C@H]21 ZINC000519755402 421724095 /nfs/dbraw/zinc/72/40/95/421724095.db2.gz PKAUGLCXAOFOPU-BPLDGKMQSA-N -1 1 303.358 1.895 20 0 DDADMM CCCN(C)CC(=O)Nc1cc(-c2n[nH]c(=O)[n-]2)ccc1C ZINC000519760114 421724868 /nfs/dbraw/zinc/72/48/68/421724868.db2.gz ADBFJVURBQYFHP-UHFFFAOYSA-N -1 1 303.366 1.766 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC[C@@H](CC(=O)[O-])C2)n[nH]1 ZINC000556907498 421750346 /nfs/dbraw/zinc/75/03/46/421750346.db2.gz HGAWOJXTNQDDSQ-JQWIXIFHSA-N -1 1 308.382 1.545 20 0 DDADMM CO[C@H]1C[C@@H](CC(=O)[O-])N(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000571975019 421756479 /nfs/dbraw/zinc/75/64/79/421756479.db2.gz FNMGTBHGYMSQHG-QWRGUYRKSA-N -1 1 319.317 1.374 20 0 DDADMM O=C([O-])CCN(CCOCCO)Cc1cccc(F)c1F ZINC000572651992 421808231 /nfs/dbraw/zinc/80/82/31/421808231.db2.gz BLQQEOYRIPLYAC-UHFFFAOYSA-N -1 1 303.305 1.250 20 0 DDADMM CC(C)(C)n1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cn1 ZINC000558658874 421819043 /nfs/dbraw/zinc/81/90/43/421819043.db2.gz SBJLEIZTZCGTSB-SNVBAGLBSA-N -1 1 303.370 1.171 20 0 DDADMM CN(CCCN(C)C(=O)NCCCC(=O)[O-])Cc1ccco1 ZINC000521040556 421770589 /nfs/dbraw/zinc/77/05/89/421770589.db2.gz NOEFAJSMNYNXLS-UHFFFAOYSA-N -1 1 311.382 1.608 20 0 DDADMM CC[C@H](NCc1cc(C(=O)[O-])nn1C)c1c(C)nn(C)c1C ZINC000635308743 421897876 /nfs/dbraw/zinc/89/78/76/421897876.db2.gz KMFVWGGYFVZYNA-LBPRGKRZSA-N -1 1 305.382 1.710 20 0 DDADMM CCS[C@H]1CCCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000543695615 421839996 /nfs/dbraw/zinc/83/99/96/421839996.db2.gz PRRXZXRISGRBMI-KOLCDFICSA-N -1 1 321.406 1.212 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCS[C@@H]2COCC[C@@H]21 ZINC000543749377 421841159 /nfs/dbraw/zinc/84/11/59/421841159.db2.gz KLTSOGNWZDIXFW-NWDGAFQWSA-N -1 1 304.375 1.304 20 0 DDADMM O=C([O-])COCCNCc1cccc(F)c1Br ZINC000635314840 421904894 /nfs/dbraw/zinc/90/48/94/421904894.db2.gz BLAWPYPYVWVWMJ-UHFFFAOYSA-N -1 1 306.131 1.779 20 0 DDADMM CC(=O)c1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)ccc1C ZINC000573068242 421907559 /nfs/dbraw/zinc/90/75/59/421907559.db2.gz LEUKVAOBSHXNBH-CYBMUJFWSA-N -1 1 313.361 1.731 20 0 DDADMM CCC[C@@H](OCC)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630221409 421970024 /nfs/dbraw/zinc/97/00/24/421970024.db2.gz ZTRWQOHPVLDEOG-QWHCGFSZSA-N -1 1 300.399 1.199 20 0 DDADMM COc1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c(F)c1 ZINC000630223765 421971941 /nfs/dbraw/zinc/97/19/41/421971941.db2.gz LMZAQDPIWNBTJI-UHFFFAOYSA-N -1 1 324.352 1.455 20 0 DDADMM CN(CC(=O)Nc1cccc(-c2nc(=O)o[n-]2)c1)CC(C)(C)C ZINC000635479799 422027682 /nfs/dbraw/zinc/02/76/82/422027682.db2.gz WVAYSXXFMANHCF-UHFFFAOYSA-N -1 1 318.377 1.946 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N[C@H]1CCS(=O)(=O)C1 ZINC000630347729 422035503 /nfs/dbraw/zinc/03/55/03/422035503.db2.gz XCXJFHKEWKDPFS-QMMMGPOBSA-N -1 1 311.388 1.027 20 0 DDADMM COC1(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CCCCC1 ZINC000630226627 421974997 /nfs/dbraw/zinc/97/49/97/421974997.db2.gz AMRZACRFVLIXFG-UHFFFAOYSA-N -1 1 312.410 1.343 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)COc2ccccc2)CC1 ZINC000630224184 421975537 /nfs/dbraw/zinc/97/55/37/421975537.db2.gz MZDJNGPZMMSCQQ-ZDUSSCGKSA-N -1 1 306.362 1.073 20 0 DDADMM COc1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)ccc1F ZINC000630226623 421976656 /nfs/dbraw/zinc/97/66/56/421976656.db2.gz AKCHFZXXXGUAFC-UHFFFAOYSA-N -1 1 324.352 1.455 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2coc(C(F)F)c2)CC1 ZINC000630226790 421979132 /nfs/dbraw/zinc/97/91/32/421979132.db2.gz GINAARMYECLDEW-UHFFFAOYSA-N -1 1 316.304 1.838 20 0 DDADMM C[C@@](CO)([N-]S(=O)(=O)c1c[nH]c(=O)s1)c1ccccc1 ZINC000632005224 422016012 /nfs/dbraw/zinc/01/60/12/422016012.db2.gz KLUGWICJAWADSK-LBPRGKRZSA-N -1 1 314.388 1.035 20 0 DDADMM CC[C@@H](C)[C@H](O)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632012155 422019254 /nfs/dbraw/zinc/01/92/54/422019254.db2.gz MFQYAYMUQRNHOL-BXKDBHETSA-N -1 1 303.380 1.086 20 0 DDADMM CN1CCC[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1=O ZINC000632066025 422063725 /nfs/dbraw/zinc/06/37/25/422063725.db2.gz QJNDUZZROWVBIR-SSDOTTSWSA-N -1 1 308.306 1.116 20 0 DDADMM CN(C)[C@@H](C(=O)NC1(c2nn[n-]n2)CC1)c1ccc(Cl)cc1 ZINC000574289716 422089986 /nfs/dbraw/zinc/08/99/86/422089986.db2.gz IQXDZSBELIKAPT-LLVKDONJSA-N -1 1 320.784 1.261 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCOC(C)(C)[C@H]2C)c1 ZINC000632106341 422091125 /nfs/dbraw/zinc/09/11/25/422091125.db2.gz GIHDDBGFMJRSKX-SNVBAGLBSA-N -1 1 315.391 1.589 20 0 DDADMM CN(C)C[C@@H]1CCCN1S(=O)(=O)c1cccc(Cl)c1[O-] ZINC000632106202 422091696 /nfs/dbraw/zinc/09/16/96/422091696.db2.gz HKDJVMKMWXANLB-JTQLQIEISA-N -1 1 318.826 1.760 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](CO)CC(C)(C)C)c1 ZINC000632128234 422106563 /nfs/dbraw/zinc/10/65/63/422106563.db2.gz UUCHJOIRGDPGPG-JTQLQIEISA-N -1 1 317.407 1.476 20 0 DDADMM C[C@H]1CN(S(=O)(=O)c2cc(O)cc(F)c2)CC[C@@H]1C(=O)[O-] ZINC000630447566 422108228 /nfs/dbraw/zinc/10/82/28/422108228.db2.gz SMLBDTHXNIDBHX-UFBFGSQYSA-N -1 1 317.338 1.263 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC(C)(C)[C@H](O)C(C)C)sn1 ZINC000632138665 422113823 /nfs/dbraw/zinc/11/38/23/422113823.db2.gz CJGYNIRXQCPGAZ-LLVKDONJSA-N -1 1 306.453 1.773 20 0 DDADMM CC(C)(C)c1csc(CCNC(=O)CCCc2nn[n-]n2)n1 ZINC000635505244 422050765 /nfs/dbraw/zinc/05/07/65/422050765.db2.gz NUOFYSYBFMRAAT-UHFFFAOYSA-N -1 1 322.438 1.635 20 0 DDADMM CON1CCC(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC1 ZINC000633691922 422052269 /nfs/dbraw/zinc/05/22/69/422052269.db2.gz KGEYQWQXVPTZGO-UHFFFAOYSA-N -1 1 316.361 1.790 20 0 DDADMM CCn1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(C2CC2)n1 ZINC000632054448 422054300 /nfs/dbraw/zinc/05/43/00/422054300.db2.gz XPEYWKCUXMKYQM-LLVKDONJSA-N -1 1 315.381 1.313 20 0 DDADMM CCOc1ccccc1C(=O)N=c1[nH][n-]c(C)c1C(=O)NC ZINC000633693014 422054998 /nfs/dbraw/zinc/05/49/98/422054998.db2.gz VYEOEIHEEHHKKW-UHFFFAOYSA-N -1 1 302.334 1.151 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CC(=O)N(C(C)(C)C)C2)sn1 ZINC000632059216 422059333 /nfs/dbraw/zinc/05/93/33/422059333.db2.gz DPOCEBHSZKZAHR-VIFPVBQESA-N -1 1 317.436 1.129 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC1(Cc2ccccc2)CC1 ZINC000574627610 422145277 /nfs/dbraw/zinc/14/52/77/422145277.db2.gz VYCJVGAVZMQZNC-UHFFFAOYSA-N -1 1 321.402 1.697 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(Cc2ccccc2)CC1 ZINC000574627610 422145283 /nfs/dbraw/zinc/14/52/83/422145283.db2.gz VYCJVGAVZMQZNC-UHFFFAOYSA-N -1 1 321.402 1.697 20 0 DDADMM CCSc1nnc([N-]C(=O)c2c(C)onc2CO)s1 ZINC000574819857 422188620 /nfs/dbraw/zinc/18/86/20/422188620.db2.gz HRIPUQJDISTNSD-UHFFFAOYSA-N -1 1 300.365 1.691 20 0 DDADMM O=C([O-])[C@]1(CN=c2[nH]c(C(F)(F)F)cs2)CCCOC1 ZINC000630463899 422119039 /nfs/dbraw/zinc/11/90/39/422119039.db2.gz RBWRLIQVIRDENW-JTQLQIEISA-N -1 1 310.297 1.877 20 0 DDADMM Cc1nnc(SCCC(=O)N=c2nc(C3(C)CC3)[n-]s2)[nH]1 ZINC000628539934 422212113 /nfs/dbraw/zinc/21/21/13/422212113.db2.gz ILRDLDRYQYNJSM-UHFFFAOYSA-N -1 1 324.435 1.559 20 0 DDADMM CCCCO[C@H]1C[C@H](NC(=O)CCCc2nn[n-]n2)C1(C)C ZINC000635670827 422225747 /nfs/dbraw/zinc/22/57/47/422225747.db2.gz XGMMBNAAZXPNQK-RYUDHWBXSA-N -1 1 309.414 1.622 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](CO)CC2CCCC2)sn1 ZINC000632302337 422235692 /nfs/dbraw/zinc/23/56/92/422235692.db2.gz DDPXUJUETYETAP-LBPRGKRZSA-N -1 1 318.464 1.919 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cccc2oc(=O)[nH]c21 ZINC000584002991 422238939 /nfs/dbraw/zinc/23/89/39/422238939.db2.gz RFSPTNUAKZECEO-UHFFFAOYSA-N -1 1 314.305 1.246 20 0 DDADMM CC[C@@H](NS(=O)(=O)c1cc(OC)ccc1[O-])C(C)(C)O ZINC000632372687 422286179 /nfs/dbraw/zinc/28/61/79/422286179.db2.gz ALEVDHUTXJVPLU-GFCCVEGCSA-N -1 1 303.380 1.229 20 0 DDADMM CCC[C@H](C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)OC ZINC000632379186 422292594 /nfs/dbraw/zinc/29/25/94/422292594.db2.gz MNTGKMQCYLULEJ-SSDOTTSWSA-N -1 1 315.317 1.522 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCOCC2CCCC2)sn1 ZINC000632432463 422338386 /nfs/dbraw/zinc/33/83/86/422338386.db2.gz QDSXQKGYJLMENY-UHFFFAOYSA-N -1 1 304.437 1.937 20 0 DDADMM CN(C[C@@H]1CCCN1C)S(=O)(=O)c1cccc(Cl)c1[O-] ZINC000632476090 422373903 /nfs/dbraw/zinc/37/39/03/422373903.db2.gz SKCXVLBRYBWQOQ-JTQLQIEISA-N -1 1 318.826 1.760 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](OC)[C@H](C)C2)c1 ZINC000632411314 422320575 /nfs/dbraw/zinc/32/05/75/422320575.db2.gz YLCMIZSGNWFMNP-ZWNOBZJWSA-N -1 1 315.391 1.446 20 0 DDADMM Cc1ccc(OCCCCNC(=O)CCc2nn[n-]n2)cc1 ZINC000630759140 422320689 /nfs/dbraw/zinc/32/06/89/422320689.db2.gz DAEOMJRAEGJVBK-UHFFFAOYSA-N -1 1 303.366 1.416 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632419042 422326252 /nfs/dbraw/zinc/32/62/52/422326252.db2.gz UPHOGRJMVOUBOD-QMMMGPOBSA-N -1 1 319.333 1.860 20 0 DDADMM CCCN1CC[C@H](NS(=O)(=O)c2cccc(Cl)c2[O-])C1 ZINC000632567956 422444475 /nfs/dbraw/zinc/44/44/75/422444475.db2.gz SERHTFJHJKZWIV-JTQLQIEISA-N -1 1 318.826 1.808 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC(F)(c2ccccc2F)C1 ZINC000635956341 422511138 /nfs/dbraw/zinc/51/11/38/422511138.db2.gz XNCRWSNOZDHSCA-UHFFFAOYSA-N -1 1 307.304 1.369 20 0 DDADMM CSc1cccc(F)c1CNC(=O)CCCc1nn[n-]n1 ZINC000635915051 422469541 /nfs/dbraw/zinc/46/95/41/422469541.db2.gz SIKFTRFNASFAIQ-UHFFFAOYSA-N -1 1 309.370 1.700 20 0 DDADMM Cc1nc(SCCCN2C(=O)CNC2=O)[n-]c(=O)c1C1CC1 ZINC000631024728 422476521 /nfs/dbraw/zinc/47/65/21/422476521.db2.gz YYVNRBJVXBJJLJ-UHFFFAOYSA-N -1 1 322.390 1.402 20 0 DDADMM Cc1nc(SCC(=O)N2CCOCC2)[n-]c(=O)c1C1CC1 ZINC000631028608 422477443 /nfs/dbraw/zinc/47/74/43/422477443.db2.gz KVFMXXCMVXVYED-UHFFFAOYSA-N -1 1 309.391 1.319 20 0 DDADMM COc1cccc([C@@H]2C[C@H]2NC(=O)CCCc2nn[n-]n2)c1 ZINC000635996644 422550893 /nfs/dbraw/zinc/55/08/93/422550893.db2.gz XUADWGFXEOGDBE-QWHCGFSZSA-N -1 1 301.350 1.203 20 0 DDADMM CO[C@@H]1CN(C[C@@H](O)COc2ccccc2C)[C@@](C)(C(=O)[O-])C1 ZINC000634694902 422555178 /nfs/dbraw/zinc/55/51/78/422555178.db2.gz MSBORVXHQDTUAW-JKIFEVAISA-N -1 1 323.389 1.299 20 0 DDADMM CCc1nc(SCC2(CS(C)(=O)=O)CC2)[n-]c(=O)c1C ZINC000578453837 422575782 /nfs/dbraw/zinc/57/57/82/422575782.db2.gz HLYFSJDMZPRRNI-UHFFFAOYSA-N -1 1 316.448 1.970 20 0 DDADMM CC(C)[C@@H]1N(C(=O)CCCc2nn[n-]n2)CC12CCOCC2 ZINC000636025521 422576054 /nfs/dbraw/zinc/57/60/54/422576054.db2.gz KTXXWONTCLQHRM-AWEZNQCLSA-N -1 1 307.398 1.186 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCN(c2ccc(F)cc2)C1 ZINC000636029879 422578325 /nfs/dbraw/zinc/57/83/25/422578325.db2.gz OJZUGQXLIYVBPR-GFCCVEGCSA-N -1 1 318.356 1.057 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@H](C(F)(F)F)C2(CCC2)C1 ZINC000632904111 422654527 /nfs/dbraw/zinc/65/45/27/422654527.db2.gz LJCIAIQIOAXYIO-VIFPVBQESA-N -1 1 317.315 1.713 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1cnn(CCF)c1)C1CC1 ZINC000629319743 422605600 /nfs/dbraw/zinc/60/56/00/422605600.db2.gz OFAPQAWFMQQOSY-GFCCVEGCSA-N -1 1 305.375 1.409 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCOC[C@]12CCOC2 ZINC000632871353 422634743 /nfs/dbraw/zinc/63/47/43/422634743.db2.gz FTTWRIOGLSGCJH-OAHLLOKOSA-N -1 1 311.765 1.606 20 0 DDADMM CCC(=O)N[C@H](C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629374948 422636297 /nfs/dbraw/zinc/63/62/97/422636297.db2.gz XHEAASHMOWOYTK-SNVBAGLBSA-N -1 1 302.334 1.431 20 0 DDADMM COC[C@@H](C)N(CC(=O)NCC(=O)[O-])[C@H]1CCc2ccccc21 ZINC000629507628 422705071 /nfs/dbraw/zinc/70/50/71/422705071.db2.gz OZLPPCWCOKRRJQ-DOMZBBRYSA-N -1 1 320.389 1.212 20 0 DDADMM CC1(C)CN(C(=O)N=c2[n-]nc([C@@H]3CCCO3)s2)CCN1 ZINC000632980034 422692064 /nfs/dbraw/zinc/69/20/64/422692064.db2.gz MZCIVQSRZUCNKJ-VIFPVBQESA-N -1 1 311.411 1.027 20 0 DDADMM C[C@@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)Cn1cccn1 ZINC000097094506 263336165 /nfs/dbraw/zinc/33/61/65/263336165.db2.gz OIDWCKUYXCOZQQ-NSHDSACASA-N -1 1 311.345 1.186 20 0 DDADMM Cn1nccc1[C@H]1COCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000652985171 423247144 /nfs/dbraw/zinc/24/71/44/423247144.db2.gz HFJPJZJSKCQKCC-CYBMUJFWSA-N -1 1 305.309 1.479 20 0 DDADMM COc1cccc(CN(C)[C@H]2CCCCN(CC(=O)[O-])C2=O)c1 ZINC000643872116 423387482 /nfs/dbraw/zinc/38/74/82/423387482.db2.gz RQXOWZIWTIRYCZ-HNNXBMFYSA-N -1 1 320.389 1.593 20 0 DDADMM CCN1C(=O)C(C)(C)N[C@H]1c1n[nH]c2cc(C(=O)[O-])ccc21 ZINC000639428606 423497403 /nfs/dbraw/zinc/49/74/03/423497403.db2.gz WIAXOMSNRYKIOF-GFCCVEGCSA-N -1 1 302.334 1.490 20 0 DDADMM CCC[C@@H](NC(=O)COC[C@H](C)c1ccccc1)c1nn[n-]n1 ZINC000651462232 423552727 /nfs/dbraw/zinc/55/27/27/423552727.db2.gz UGYOOHBRQANIGB-GXTWGEPZSA-N -1 1 317.393 1.977 20 0 DDADMM CCCC(C)(C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000651637856 423625850 /nfs/dbraw/zinc/62/58/50/423625850.db2.gz AWVCLZZOKUZLPV-UHFFFAOYSA-N -1 1 300.380 1.804 20 0 DDADMM COCc1nc(=NC(=O)[C@H]2C[C@H](C)Cc3cn[nH]c32)s[n-]1 ZINC000646956979 423690880 /nfs/dbraw/zinc/69/08/80/423690880.db2.gz OHNOTGWQYXXDTI-APPZFPTMSA-N -1 1 307.379 1.134 20 0 DDADMM O=C(c1c([O-])cnc2ccc(Cl)cc21)N1C[C@H]2C[C@@]2(O)C1 ZINC000641581358 423734754 /nfs/dbraw/zinc/73/47/54/423734754.db2.gz JJAQQXONDLHWRT-ANRSDYALSA-N -1 1 304.733 1.801 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@@H](OC)C(C)C)c(OC)n1 ZINC000647125698 423763217 /nfs/dbraw/zinc/76/32/17/423763217.db2.gz OODDMCCMHWWARP-LLVKDONJSA-N -1 1 318.395 1.512 20 0 DDADMM CC(C)C[C@@H](C)N(C)C(=O)CN1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639822712 423759285 /nfs/dbraw/zinc/75/92/85/423759285.db2.gz WKMOACAXSHQZDL-OLZOCXBDSA-N -1 1 323.441 1.555 20 0 DDADMM C[C@@H](C(=O)N1CCCCCC1)N1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639827486 423767174 /nfs/dbraw/zinc/76/71/74/423767174.db2.gz ZEODKUOGHCUIBZ-QWHCGFSZSA-N -1 1 321.425 1.453 20 0 DDADMM C[C@@H](NC(=O)NC[C@](C)(C(=O)[O-])c1ccccc1)c1nnc[nH]1 ZINC000652112580 423871815 /nfs/dbraw/zinc/87/18/15/423871815.db2.gz FCYRRHINCWLUCY-BMIGLBTASA-N -1 1 317.349 1.207 20 0 DDADMM C[C@H](CNC(=O)C(C)(C)C(=O)[O-])N1CCc2ccccc2C1 ZINC000649460279 423924703 /nfs/dbraw/zinc/92/47/03/423924703.db2.gz XUHPCHQIOAICPN-GFCCVEGCSA-N -1 1 304.390 1.660 20 0 DDADMM O=C(CCOCC(F)(F)F)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887257 424016954 /nfs/dbraw/zinc/01/69/54/424016954.db2.gz ZFZWERFKXPYZFV-UHFFFAOYSA-N -1 1 323.271 1.450 20 0 DDADMM CC(C)(C)n1nnc(CC[N@H+]2CCC[C@H](CCC(=O)[O-])C2)n1 ZINC000659788042 424253432 /nfs/dbraw/zinc/25/34/32/424253432.db2.gz DYWQBNSMNIMOBT-GFCCVEGCSA-N -1 1 309.414 1.547 20 0 DDADMM C[C@@H](CC(=O)[O-])N(C)CCCS(=O)(=O)c1ccc(F)cc1 ZINC000659791655 424259486 /nfs/dbraw/zinc/25/94/86/424259486.db2.gz LCQDWTJONCJJRG-NSHDSACASA-N -1 1 317.382 1.785 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N[C@H](CO)[C@H]1CCCOC1 ZINC000640337541 424359427 /nfs/dbraw/zinc/35/94/27/424359427.db2.gz HVFBXYAINZPQHZ-CMPLNLGQSA-N -1 1 321.402 1.627 20 0 DDADMM CCN(CCOCCO)C(=O)N=c1[n-]sc2ccccc21 ZINC000640338538 424359731 /nfs/dbraw/zinc/35/97/31/424359731.db2.gz FGKQAQACOJTMDW-UHFFFAOYSA-N -1 1 309.391 1.581 20 0 DDADMM COCCOCCCNC(=O)N=c1[n-]sc2ccccc21 ZINC000640341894 424362523 /nfs/dbraw/zinc/36/25/23/424362523.db2.gz ZXBUUJMQVBKQPW-UHFFFAOYSA-N -1 1 309.391 1.893 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1c(C)onc1N)C1CCCCC1 ZINC000660081154 424510828 /nfs/dbraw/zinc/51/08/28/424510828.db2.gz FJZJMZXSXSXCCU-LLVKDONJSA-N -1 1 317.411 1.439 20 0 DDADMM CC(=O)NCCN(Cc1cnn(C(C)(C)C)c1)[C@@H](C)C(=O)[O-] ZINC000662210731 424478049 /nfs/dbraw/zinc/47/80/49/424478049.db2.gz JOXMLOFZGWVQLJ-NSHDSACASA-N -1 1 310.398 1.049 20 0 DDADMM CC(C)(C)n1nnc(Cc2nc(-c3ccc([O-])c(F)c3)no2)n1 ZINC000664850286 424709329 /nfs/dbraw/zinc/70/93/29/424709329.db2.gz RGPQKXGGRXEOAJ-UHFFFAOYSA-N -1 1 318.312 1.914 20 0 DDADMM CCN1C[C@H](C)N(C(=O)NC[C@@H](C(=O)[O-])C2CCC2)C[C@H]1C ZINC000665322287 424783074 /nfs/dbraw/zinc/78/30/74/424783074.db2.gz APRFQFPRFLSXIJ-MBNYWOFBSA-N -1 1 311.426 1.611 20 0 DDADMM Cn1[n-]c(CN2CCc3ccc(Br)cc3C2)nc1=O ZINC000342142630 271316262 /nfs/dbraw/zinc/31/62/62/271316262.db2.gz AAKPDBFTLUPXBW-UHFFFAOYSA-N -1 1 323.194 1.429 20 0 DDADMM COc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1OC ZINC000345481114 272262148 /nfs/dbraw/zinc/26/21/48/272262148.db2.gz KUDVCSIZQCMVSX-UHFFFAOYSA-N -1 1 317.349 1.416 20 0 DDADMM CCNC(=O)NC(=O)CSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000345921559 272365907 /nfs/dbraw/zinc/36/59/07/272365907.db2.gz CUNRUMNZHOSHLZ-UHFFFAOYSA-N -1 1 324.284 1.139 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cc(C)cnc1N)c1ccco1 ZINC000347093004 272613293 /nfs/dbraw/zinc/61/32/93/272613293.db2.gz MWNAHSHFSUDMBA-SNVBAGLBSA-N -1 1 311.363 1.231 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CC(n2ccnn2)C1 ZINC000354710242 306784285 /nfs/dbraw/zinc/78/42/85/306784285.db2.gz SFOUAKJVXSXPSH-UHFFFAOYSA-N -1 1 323.150 1.443 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000127514331 281277717 /nfs/dbraw/zinc/27/77/17/281277717.db2.gz MFEBEZBUMGTHJB-ZYHUDNBSSA-N -1 1 312.391 1.215 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000127513877 281277784 /nfs/dbraw/zinc/27/77/84/281277784.db2.gz MFEBEZBUMGTHJB-PWSUYJOCSA-N -1 1 312.391 1.215 20 0 DDADMM CCn1cc(C(=O)C(=O)Nc2nc(-c3ccco3)n[nH]2)cn1 ZINC000128477178 281312078 /nfs/dbraw/zinc/31/20/78/281312078.db2.gz FCWPHEYZSFYNOE-UHFFFAOYSA-N -1 1 300.278 1.103 20 0 DDADMM C[C@H]1C(=O)N(C)CCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000186834289 290297150 /nfs/dbraw/zinc/29/71/50/290297150.db2.gz RPFWRUZNEGZGRH-QMMMGPOBSA-N -1 1 316.279 1.714 20 0 DDADMM Cn1cc([C@@H]2C[C@H](NC(=O)c3ccc([O-])cc3F)CCO2)cn1 ZINC000572326251 304518658 /nfs/dbraw/zinc/51/86/58/304518658.db2.gz JCMDVXSIAZUYNL-ABAIWWIYSA-N -1 1 319.336 1.915 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1N(C)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000572564696 304538259 /nfs/dbraw/zinc/53/82/59/304538259.db2.gz SVTYKLWIYQLEKK-MNOVXSKESA-N -1 1 301.412 1.985 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(Cc2nnnn2-c2ccccc2)C1 ZINC000324422983 298262684 /nfs/dbraw/zinc/26/26/84/298262684.db2.gz SXCBWPIWOAUKRD-MRXNPFEDSA-N -1 1 315.377 1.595 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C(C)=O)c(C)nc1C)c1nn[n-]n1 ZINC000362961159 300189165 /nfs/dbraw/zinc/18/91/65/300189165.db2.gz UZHFGOWTCFLKLY-CYBMUJFWSA-N -1 1 316.365 1.685 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c2ccccc2n1 ZINC000363518560 300279596 /nfs/dbraw/zinc/27/95/96/300279596.db2.gz XQTKROAZJFPQAU-CQSZACIVSA-N -1 1 324.344 1.270 20 0 DDADMM c1ccc(-c2nc(=NC[C@H]3CN4CCCC[C@@H]4CO3)[n-]o2)cc1 ZINC000364278610 300367765 /nfs/dbraw/zinc/36/77/65/300367765.db2.gz JGMOBCVHKPYYHJ-CABCVRRESA-N -1 1 314.389 1.824 20 0 DDADMM COCCn1ncc2c1[C@H](NC(=O)c1ncccc1[O-])CCC2 ZINC000371887435 301508897 /nfs/dbraw/zinc/50/88/97/301508897.db2.gz GJHCDVMQRBJFIV-GFCCVEGCSA-N -1 1 316.361 1.438 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC(n2cncn2)C1 ZINC000373077059 301659831 /nfs/dbraw/zinc/65/98/31/301659831.db2.gz BPRUGPKTOKJQKW-UHFFFAOYSA-N -1 1 323.150 1.443 20 0 DDADMM C[C@H]1Oc2ccccc2[C@@H]1NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000377491347 302184552 /nfs/dbraw/zinc/18/45/52/302184552.db2.gz MZWAOKDQHIEIHM-KZHTWXLSSA-N -1 1 323.356 1.986 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC=C(c2ccccc2F)C1 ZINC000377883961 302246550 /nfs/dbraw/zinc/24/65/50/302246550.db2.gz LVPKVECARZJLOI-UHFFFAOYSA-N -1 1 323.349 1.623 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000356108806 306835395 /nfs/dbraw/zinc/83/53/95/306835395.db2.gz UVVJIUOLPWGWEB-GHMZBOCLSA-N -1 1 301.346 1.468 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C(=O)OC(C)(C)C)CC2)c([O-])c1 ZINC000496216750 302539741 /nfs/dbraw/zinc/53/97/41/302539741.db2.gz NKTGHULLIVWPFL-UHFFFAOYSA-N -1 1 321.377 1.789 20 0 DDADMM CC[C@@H](Cc1ccccc1)C(=O)N=c1[nH][n-]c(C)c1C(=O)NC ZINC000518768952 302829906 /nfs/dbraw/zinc/82/99/06/302829906.db2.gz VRJLQFISECOTOK-ZDUSSCGKSA-N -1 1 314.389 1.707 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC[C@@H]2CCCOC2)sc1C ZINC000528452963 303028585 /nfs/dbraw/zinc/02/85/85/303028585.db2.gz XORWOXZWJDFLMA-NSHDSACASA-N -1 1 304.437 1.855 20 0 DDADMM C[C@@H]1[C@@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])[C@H]1C1CC1 ZINC000528951768 303074492 /nfs/dbraw/zinc/07/44/92/303074492.db2.gz RNIMULACGHFBIN-HAGUPOPPSA-N -1 1 310.375 1.270 20 0 DDADMM C[C@H]([C@H]1Cc2ccccc2O1)N(C)Cc1cnc(C(=O)[O-])cn1 ZINC000530161580 303177275 /nfs/dbraw/zinc/17/72/75/303177275.db2.gz COKULKDVAZFXSO-BDJLRTHQSA-N -1 1 313.357 1.999 20 0 DDADMM CCOC[C@@H]1CN(CC[C@H](C(=O)[O-])c2ccccc2)CCO1 ZINC000530173038 303179701 /nfs/dbraw/zinc/17/97/01/303179701.db2.gz SAHIPNKWZZSLOT-HOTGVXAUSA-N -1 1 307.390 1.982 20 0 DDADMM Cc1cccc(OCCNC(=O)CNC2(C(=O)[O-])CCCC2)c1 ZINC000532880844 303300508 /nfs/dbraw/zinc/30/05/08/303300508.db2.gz BXAYMKDCSYNFKF-UHFFFAOYSA-N -1 1 320.389 1.477 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2C[C@@H](C)O[C@H](C)C2)sc1C ZINC000535764928 303351502 /nfs/dbraw/zinc/35/15/02/303351502.db2.gz DLODFOPVVPDUMZ-HTQZYQBOSA-N -1 1 304.437 1.994 20 0 DDADMM CC(C)Oc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)ccn1 ZINC000539324125 303394569 /nfs/dbraw/zinc/39/45/69/303394569.db2.gz CYGAGKVATWCETM-LBPRGKRZSA-N -1 1 316.365 1.402 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@H](NC(=O)c2c([O-])cccc2F)C1 ZINC000547476740 303534546 /nfs/dbraw/zinc/53/45/46/303534546.db2.gz GRTSEYZWUOVBBV-UWVGGRQHSA-N -1 1 315.366 1.617 20 0 DDADMM COc1ccc(CN[C@H](C(=O)[O-])c2cnn(C)c2)c(C)c1OC ZINC000417595304 533695721 /nfs/dbraw/zinc/69/57/21/533695721.db2.gz NYBWTHKDILNHPU-AWEZNQCLSA-N -1 1 319.361 1.661 20 0 DDADMM COc1cncc(C(=O)[N-]c2nnc(C(F)(F)F)s2)n1 ZINC000358030965 306926750 /nfs/dbraw/zinc/92/67/50/306926750.db2.gz YPRAMKOBQSBYFB-UHFFFAOYSA-N -1 1 305.241 1.608 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H](C)[C@@H](CO)C3)cnc2n1 ZINC000362348247 307017622 /nfs/dbraw/zinc/01/76/22/307017622.db2.gz GICOAVLHAPFUCP-GXSJLCMTSA-N -1 1 301.346 1.344 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](C)[C@@H](CO)C3)c[n-]c2n1 ZINC000362348247 307017623 /nfs/dbraw/zinc/01/76/23/307017623.db2.gz GICOAVLHAPFUCP-GXSJLCMTSA-N -1 1 301.346 1.344 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@H]4OCCC[C@@H]4C3)ccnc1-2 ZINC000376641113 307251140 /nfs/dbraw/zinc/25/11/40/307251140.db2.gz QHDZTRHIYXCRCY-NLGCZKHGSA-N -1 1 315.377 1.375 20 0 DDADMM CC(C)CN(C(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC000377601105 307274394 /nfs/dbraw/zinc/27/43/94/307274394.db2.gz AESBPBVHGHNIHJ-UHFFFAOYSA-N -1 1 321.425 1.337 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1C[C@@H](c2ccccc2)[C@@H]1C ZINC000377861101 307277715 /nfs/dbraw/zinc/27/77/15/307277715.db2.gz PUYCYZWKOGQWSD-ZWKOPEQDSA-N -1 1 321.402 1.866 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@@H](c2ccccc2)[C@@H]1C ZINC000377861101 307277716 /nfs/dbraw/zinc/27/77/16/307277716.db2.gz PUYCYZWKOGQWSD-ZWKOPEQDSA-N -1 1 321.402 1.866 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2cc3ccccc3cc2[O-])CCO1 ZINC000391267394 307294191 /nfs/dbraw/zinc/29/41/91/307294191.db2.gz CQFRKYGWWRTRDK-HNNXBMFYSA-N -1 1 315.325 1.559 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(O)(Cc2ccncc2)CC1 ZINC000535841057 307660918 /nfs/dbraw/zinc/66/09/18/307660918.db2.gz ZGFWCBFGYPTZAR-UHFFFAOYSA-N -1 1 313.357 1.392 20 0 DDADMM C[C@H](NCc1nc(=O)n(C)[n-]1)c1nc(-c2cccc(F)c2)no1 ZINC000543541985 307707294 /nfs/dbraw/zinc/70/72/94/307707294.db2.gz UALGWEJPLATMEL-QMMMGPOBSA-N -1 1 318.312 1.148 20 0 DDADMM CN(C)c1ncc(CN=c2[n-]ncn2Cc2ccccc2)cn1 ZINC000548617182 307779724 /nfs/dbraw/zinc/77/97/24/307779724.db2.gz BKNXRWJPDRHYRZ-UHFFFAOYSA-N -1 1 309.377 1.216 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC(=O)C(C)(C)C ZINC000551760506 307806843 /nfs/dbraw/zinc/80/68/43/307806843.db2.gz FLXKAZTVENEFOP-UHFFFAOYSA-N -1 1 318.377 1.326 20 0 DDADMM O=C(Nc1ncn(Cc2ccccc2)n1)C(=O)c1ccc([O-])cc1 ZINC000554514348 307834186 /nfs/dbraw/zinc/83/41/86/307834186.db2.gz TYXWNWOLEQKEAP-UHFFFAOYSA-N -1 1 322.324 1.853 20 0 DDADMM COc1cc(=NS(=O)(=O)c2c(F)cc(C)cc2F)[n-]n1C ZINC000562963550 307949860 /nfs/dbraw/zinc/94/98/60/307949860.db2.gz JNPFGPNTVSETBG-UHFFFAOYSA-N -1 1 317.317 1.238 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)C[C@H]1CCOc2ccccc21 ZINC000570377806 308167952 /nfs/dbraw/zinc/16/79/52/308167952.db2.gz QYYIJJOIVMWNDC-SECBINFHSA-N -1 1 315.333 1.059 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)C[C@H](CC)OC)cn1 ZINC000573554347 308244561 /nfs/dbraw/zinc/24/45/61/308244561.db2.gz QGGAYCFCNXJLHE-NSHDSACASA-N -1 1 316.379 1.425 20 0 DDADMM COc1ccccc1N1CC[C@@H](N2CCC(C(=O)[O-])CC2)C1=O ZINC000573682096 308249231 /nfs/dbraw/zinc/24/92/31/308249231.db2.gz OIKNMZAPVMLSER-CQSZACIVSA-N -1 1 318.373 1.597 20 0 DDADMM O=C(NCc1cnc2nccn2c1)c1c(F)ccc([O-])c1F ZINC000577444230 308388319 /nfs/dbraw/zinc/38/83/19/308388319.db2.gz AMYQLRFHDLEPRB-UHFFFAOYSA-N -1 1 304.256 1.643 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)[C@H]1CCc2nnnn2CC1 ZINC000583636324 336009727 /nfs/dbraw/zinc/00/97/27/336009727.db2.gz ROWJFYOJYQHMDJ-ZETCQYMHSA-N -1 1 309.276 1.248 20 0 DDADMM C[C@H]1CCN(c2ccc(=NCc3ccccc3F)[n-]n2)[C@@H]1CO ZINC000582775541 337147852 /nfs/dbraw/zinc/14/78/52/337147852.db2.gz XJSKSLLXZKGGGO-SWLSCSKDSA-N -1 1 316.380 1.857 20 0 DDADMM [O-]c1cccnc1CN1CCN(CC(F)(F)C(F)F)CC1 ZINC000583745558 337328504 /nfs/dbraw/zinc/32/85/04/337328504.db2.gz ZUUKWRBKUJAMQQ-UHFFFAOYSA-N -1 1 307.291 1.805 20 0 DDADMM Cc1csc(CCNC(=O)c2nc3ccccc3c(=O)[n-]2)n1 ZINC000078399566 483969051 /nfs/dbraw/zinc/96/90/51/483969051.db2.gz DMIHZTPTBAIVAF-UHFFFAOYSA-N -1 1 314.370 1.661 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)C2(C(=O)[O-])CCOCC2)CC1 ZINC000656247418 484045454 /nfs/dbraw/zinc/04/54/54/484045454.db2.gz LPVQMBCDBBRCOA-UHFFFAOYSA-N -1 1 312.410 1.201 20 0 DDADMM O=C(N[C@H](CO)Cc1ccncc1)c1cc(Cl)ccc1[O-] ZINC000436994432 484134614 /nfs/dbraw/zinc/13/46/14/484134614.db2.gz MYELUWMLACTFMB-LBPRGKRZSA-N -1 1 306.749 1.774 20 0 DDADMM CN(C)[C@@H](CNC(=O)C1(C(=O)[O-])CC=CC1)c1ccsc1 ZINC000663112932 484679128 /nfs/dbraw/zinc/67/91/28/484679128.db2.gz DHKSPZXVTKJMKV-LBPRGKRZSA-N -1 1 308.403 1.888 20 0 DDADMM CN(CC(=O)NC(C)(C)C)C(=O)c1ncc2ccccc2c1[O-] ZINC000665587028 484953491 /nfs/dbraw/zinc/95/34/91/484953491.db2.gz AUFROBJHHXAHMD-UHFFFAOYSA-N -1 1 315.373 1.927 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCC2(O)CCC2)c(F)c1 ZINC000671188411 484966596 /nfs/dbraw/zinc/96/65/96/484966596.db2.gz BFTJKIRROZHGCC-UHFFFAOYSA-N -1 1 321.345 1.557 20 0 DDADMM O=C(N[C@@H]1CCNC(=O)CC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000665659275 484992580 /nfs/dbraw/zinc/99/25/80/484992580.db2.gz KOOKSOMBPGYRHO-VIFPVBQESA-N -1 1 316.279 1.810 20 0 DDADMM O=C(Nc1cnn(C[C@H]2CCCO2)c1)C(=O)c1ccc([O-])cc1 ZINC000672755474 485343973 /nfs/dbraw/zinc/34/39/73/485343973.db2.gz DRWZVEJPEXCDMX-CQSZACIVSA-N -1 1 315.329 1.589 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCCC1(F)F ZINC000673379115 485408233 /nfs/dbraw/zinc/40/82/33/485408233.db2.gz DQBQYAAMKUYFPN-NSHDSACASA-N -1 1 324.331 1.898 20 0 DDADMM O=C(CNc1cccc(Cl)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000678796682 485689903 /nfs/dbraw/zinc/68/99/03/485689903.db2.gz HOJIPNRJDUMDIK-SNVBAGLBSA-N -1 1 320.784 1.671 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]c2ccncc2F)c(F)c1 ZINC000679202559 485805835 /nfs/dbraw/zinc/80/58/35/485805835.db2.gz JWGIOKWLNKZYLM-UHFFFAOYSA-N -1 1 318.276 1.730 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccc(C(F)F)cc2)n[nH]1 ZINC000675138643 485862579 /nfs/dbraw/zinc/86/25/79/485862579.db2.gz IDZWTCXBDYIIBA-UHFFFAOYSA-N -1 1 316.289 1.247 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CC12CCC2 ZINC000675336005 485917778 /nfs/dbraw/zinc/91/77/78/485917778.db2.gz NWHPJWBWPYHOQA-LBPRGKRZSA-N -1 1 300.362 1.858 20 0 DDADMM O=C(CCCOc1ccc2c(c1)CCC2)NCc1nn[n-]n1 ZINC000675790954 486038114 /nfs/dbraw/zinc/03/81/14/486038114.db2.gz MHCSIOTXAOUZFV-UHFFFAOYSA-N -1 1 301.350 1.164 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCN(C3CCCCC3)CC2)cn1 ZINC000676228811 486151960 /nfs/dbraw/zinc/15/19/60/486151960.db2.gz SFYONMUUGZCZAC-UHFFFAOYSA-N -1 1 317.389 1.870 20 0 DDADMM CCOc1cccc(CNC(=O)CNC2(C(=O)[O-])CCCC2)c1 ZINC000676231518 486153269 /nfs/dbraw/zinc/15/32/69/486153269.db2.gz IJQXFLZNVUJAEX-UHFFFAOYSA-N -1 1 320.389 1.689 20 0 DDADMM Cc1n[nH]c(C(=O)NCCN(C)Cc2ccc(F)cc2)c1[O-] ZINC000676700240 486293575 /nfs/dbraw/zinc/29/35/75/486293575.db2.gz IKEWNIJISHVLKE-UHFFFAOYSA-N -1 1 306.341 1.425 20 0 DDADMM O=C(NCCc1nnc2n1CCCCC2)c1ccc([O-])c(F)c1 ZINC000681014278 486323544 /nfs/dbraw/zinc/32/35/44/486323544.db2.gz JMIFMBIXWDWZAA-UHFFFAOYSA-N -1 1 318.352 1.822 20 0 DDADMM O=C(N[C@H]1CCCN(c2ncccn2)C1)c1ccc([O-])c(F)c1 ZINC000681018578 486324400 /nfs/dbraw/zinc/32/44/00/486324400.db2.gz HAKHGNUSQDAIKE-LBPRGKRZSA-N -1 1 316.336 1.720 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC[C@@H]1CN1CCOCC1 ZINC000681050255 486332301 /nfs/dbraw/zinc/33/23/01/486332301.db2.gz LRSOVLMREBYONN-CYBMUJFWSA-N -1 1 308.353 1.468 20 0 DDADMM O=C(N[C@H]1CCOC2(CCOCC2)C1)c1ccc([O-])c(F)c1 ZINC000681058198 486334511 /nfs/dbraw/zinc/33/45/11/486334511.db2.gz HNKCVMTXABZPFM-LBPRGKRZSA-N -1 1 309.337 1.989 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(OC)nc1C(F)(F)F ZINC000681548644 486451431 /nfs/dbraw/zinc/45/14/31/486451431.db2.gz NZZFNTAXWWMBDC-UHFFFAOYSA-N -1 1 314.285 1.497 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@H](C)O[C@H](C(F)(F)F)C2)c([O-])c1 ZINC000681735888 486505324 /nfs/dbraw/zinc/50/53/24/486505324.db2.gz ZKUYKTNVDPGZCQ-SCZZXKLOSA-N -1 1 304.268 1.887 20 0 DDADMM O=C(NCCOC[C@H]1CCOC1)c1c(F)ccc([O-])c1F ZINC000685433963 486538327 /nfs/dbraw/zinc/53/83/27/486538327.db2.gz DWPNYDREYWEHFJ-VIFPVBQESA-N -1 1 301.289 1.453 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc(Cl)s1 ZINC000685491419 486547921 /nfs/dbraw/zinc/54/79/21/486547921.db2.gz XOOHESOQPOTMNW-UHFFFAOYSA-N -1 1 307.784 1.759 20 0 DDADMM COc1cccc(S([O-])=CC(=O)NCCN2CCCC2)c1 ZINC000424572866 533810217 /nfs/dbraw/zinc/81/02/17/533810217.db2.gz XYHNOIOJEMXXJY-NRFANRHFSA-N -1 1 310.419 1.015 20 0 DDADMM COC(=O)N1CC([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000492098520 533933031 /nfs/dbraw/zinc/93/30/31/533933031.db2.gz IVCJDBAPJPJFPH-UHFFFAOYSA-N -1 1 310.331 1.162 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C(C)C)c1 ZINC000451916843 534321736 /nfs/dbraw/zinc/32/17/36/534321736.db2.gz LUPRGYMISSDODI-ONGXEEELSA-N -1 1 301.364 1.637 20 0 DDADMM Cc1nc(C[C@@H]2CCCN(C(=O)c3ncc(C)cc3[O-])C2)no1 ZINC000331715569 534409627 /nfs/dbraw/zinc/40/96/27/534409627.db2.gz GANNZASJBXIMSU-LBPRGKRZSA-N -1 1 316.361 1.882 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2[C@H]2CCCCC2=O)c([O-])c1 ZINC000330334535 534523315 /nfs/dbraw/zinc/52/33/15/534523315.db2.gz YSGVFKOXXMCXPI-CHWSQXEVSA-N -1 1 318.373 1.696 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H]1CC[C@H](C)O1 ZINC000457356632 534643493 /nfs/dbraw/zinc/64/34/93/534643493.db2.gz GZNWYSZOEJSCAJ-JOYOIKCWSA-N -1 1 318.377 1.278 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CS[C@@H](C)C1 ZINC000412577322 534643865 /nfs/dbraw/zinc/64/38/65/534643865.db2.gz BMCVUSKYWBCQQH-KWQFWETISA-N -1 1 320.418 1.604 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCN2C(=O)OCC[C@@H]2C1 ZINC000494316146 534660531 /nfs/dbraw/zinc/66/05/31/534660531.db2.gz MTEREPOFWXQMMS-SNVBAGLBSA-N -1 1 310.737 1.712 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1cnn(CC(F)F)c1 ZINC000424066145 534861176 /nfs/dbraw/zinc/86/11/76/534861176.db2.gz RYFFSPOZVHKEPF-UHFFFAOYSA-N -1 1 309.338 1.317 20 0 DDADMM CC(C)(C)CCCC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000330963666 527654845 /nfs/dbraw/zinc/65/48/45/527654845.db2.gz QTINEUCMDVXPPV-UHFFFAOYSA-N -1 1 311.455 1.816 20 0 DDADMM CCC[C@H](NC(=O)Cc1cc(F)c(C)cc1F)c1nn[n-]n1 ZINC000294978368 528179455 /nfs/dbraw/zinc/17/94/55/528179455.db2.gz OICRJPCONDGBQM-LBPRGKRZSA-N -1 1 309.320 1.986 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H]2CCOc3ccccc32)[n-]n1 ZINC000413143817 528231062 /nfs/dbraw/zinc/23/10/62/528231062.db2.gz WYIVEPUETFTQBI-LBPRGKRZSA-N -1 1 312.373 1.626 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](O)[C@H](C)CC)o1 ZINC000443277839 528243979 /nfs/dbraw/zinc/24/39/79/528243979.db2.gz UHJASLPBVUEJES-ZJUUUORDSA-N -1 1 319.379 1.142 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)c1ccccn1 ZINC000298130866 528286473 /nfs/dbraw/zinc/28/64/73/528286473.db2.gz YETSOOBVVNOZEX-VIFPVBQESA-N -1 1 324.362 1.021 20 0 DDADMM CC(C)[C@@H](CO)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451292746 528482096 /nfs/dbraw/zinc/48/20/96/528482096.db2.gz UTLWXKYHAWTTKA-LLVKDONJSA-N -1 1 301.339 1.867 20 0 DDADMM CC(C)N(C(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H]1CCOC1 ZINC000293005451 528789131 /nfs/dbraw/zinc/78/91/31/528789131.db2.gz ZOERZZIJBAEEJO-LLVKDONJSA-N -1 1 306.391 1.658 20 0 DDADMM CCC[C@@H](NC(=O)COCCc1ccccc1)c1nn[n-]n1 ZINC000434781693 528973164 /nfs/dbraw/zinc/97/31/64/528973164.db2.gz GTYLZURAUDLOCE-CYBMUJFWSA-N -1 1 303.366 1.416 20 0 DDADMM CCN(C)C(=O)CCc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000299644542 529119149 /nfs/dbraw/zinc/11/91/49/529119149.db2.gz VWLSKCLPUVDWIA-UHFFFAOYSA-N -1 1 300.362 1.964 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(Br)o1)[C@@H](C)O ZINC000451897110 529195714 /nfs/dbraw/zinc/19/57/14/529195714.db2.gz TUSYIWNNDNRQSU-RNFRBKRXSA-N -1 1 312.185 1.480 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(F)cc1F)[C@@H](O)C(F)F ZINC000295699699 529196402 /nfs/dbraw/zinc/19/64/02/529196402.db2.gz JXQGJNXLKNMMNQ-PSASIEDQSA-N -1 1 315.288 1.648 20 0 DDADMM O[C@@H]1CCC[C@@H](Nc2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000738390208 598960773 /nfs/dbraw/zinc/96/07/73/598960773.db2.gz QJTKCWCHWZGHFB-NXEZZACHSA-N -1 1 311.349 1.525 20 0 DDADMM O[C@@H]1CCC[C@@H](Nc2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000738390208 598960776 /nfs/dbraw/zinc/96/07/76/598960776.db2.gz QJTKCWCHWZGHFB-NXEZZACHSA-N -1 1 311.349 1.525 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2ccccc2)cccc1-c1nn[nH]n1 ZINC000822130644 607255280 /nfs/dbraw/zinc/25/52/80/607255280.db2.gz XYONRHZDHQZUMK-UHFFFAOYSA-N -1 1 315.358 1.976 20 0 DDADMM c1csc(CCNc2c3ccccc3nnc2-c2nnn[n-]2)n1 ZINC000738437629 598964248 /nfs/dbraw/zinc/96/42/48/598964248.db2.gz RIEULEXKBYFKQX-UHFFFAOYSA-N -1 1 324.373 1.921 20 0 DDADMM c1csc(CCNc2c3ccccc3nnc2-c2nn[n-]n2)n1 ZINC000738437629 598964250 /nfs/dbraw/zinc/96/42/50/598964250.db2.gz RIEULEXKBYFKQX-UHFFFAOYSA-N -1 1 324.373 1.921 20 0 DDADMM Cn1cc(CCOC(=O)c2sccc2-c2nn[n-]n2)cn1 ZINC000737602060 599015393 /nfs/dbraw/zinc/01/53/93/599015393.db2.gz MSXHHCLBNFZJAF-UHFFFAOYSA-N -1 1 304.335 1.061 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccncc1 ZINC000736365552 599078312 /nfs/dbraw/zinc/07/83/12/599078312.db2.gz WUMVZUJKDXCXSD-GFCCVEGCSA-N -1 1 309.333 1.538 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccncc1 ZINC000736365552 599078315 /nfs/dbraw/zinc/07/83/15/599078315.db2.gz WUMVZUJKDXCXSD-GFCCVEGCSA-N -1 1 309.333 1.538 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CN2C[C@H](c3ccccn3)CC2=O)C1 ZINC000819379856 597048417 /nfs/dbraw/zinc/04/84/17/597048417.db2.gz DBMKGNNDOVCMPJ-UONOGXRCSA-N -1 1 317.389 1.542 20 0 DDADMM Cc1ccc(-n2cnnn2)cc1NCc1ccc(-c2nnn[n-]2)o1 ZINC000822224953 607312929 /nfs/dbraw/zinc/31/29/29/607312929.db2.gz HCNKWWJIQOMFJX-UHFFFAOYSA-N -1 1 323.320 1.356 20 0 DDADMM Cc1ccc(-n2cnnn2)cc1NCc1ccc(-c2nn[n-]n2)o1 ZINC000822224953 607312930 /nfs/dbraw/zinc/31/29/30/607312930.db2.gz HCNKWWJIQOMFJX-UHFFFAOYSA-N -1 1 323.320 1.356 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)NC[C@H](c2ccco2)N2CCCC2)C1 ZINC000819258156 597506376 /nfs/dbraw/zinc/50/63/76/597506376.db2.gz QTVATSDPBOIEFQ-CHWSQXEVSA-N -1 1 321.377 1.533 20 0 DDADMM COc1cccc(C2CCN(CC(=O)NCC(=O)[O-])CC2)c1 ZINC000820865391 597773638 /nfs/dbraw/zinc/77/36/38/597773638.db2.gz MDSGMUBEBJCIBH-UHFFFAOYSA-N -1 1 306.362 1.075 20 0 DDADMM C[C@H](c1nc(C2CC2)no1)N1CCN(CCCCC(=O)[O-])CC1 ZINC000737721859 597776227 /nfs/dbraw/zinc/77/62/27/597776227.db2.gz RLASVKQDZDTRMN-GFCCVEGCSA-N -1 1 322.409 1.881 20 0 DDADMM O=C([O-])c1ccc(C(=O)N[C@H](c2nn[nH]n2)c2ccccc2)cc1 ZINC000821544554 598160690 /nfs/dbraw/zinc/16/06/90/598160690.db2.gz DDLIIEYSHRYPDU-ZDUSSCGKSA-N -1 1 323.312 1.417 20 0 DDADMM O=C([O-])CCCCNC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000821519487 598170737 /nfs/dbraw/zinc/17/07/37/598170737.db2.gz SUMXSQKVPIAUDN-UHFFFAOYSA-N -1 1 321.358 1.609 20 0 DDADMM CCc1nc([C@H](C)NC(=O)Nc2ccccc2C(=O)[O-])n[nH]1 ZINC000820492608 598179956 /nfs/dbraw/zinc/17/99/56/598179956.db2.gz NXLONPOKEYFNNN-QMMMGPOBSA-N -1 1 303.322 1.948 20 0 DDADMM Cc1ccn(Cc2ccc(F)c(F)c2)c(=O)c1-c1nn[n-]n1 ZINC000822374346 607348356 /nfs/dbraw/zinc/34/83/56/607348356.db2.gz RVGZTNXCFDMJDU-UHFFFAOYSA-N -1 1 303.272 1.663 20 0 DDADMM CSc1[nH]c(=O)c(C(=O)N2CC[C@@H](C)C2)cc1-c1nn[n-]n1 ZINC000737186969 598288562 /nfs/dbraw/zinc/28/85/62/598288562.db2.gz MQYKROBSKBNCOF-SSDOTTSWSA-N -1 1 320.378 1.171 20 0 DDADMM c1c(CNc2nccnc2-c2nnn[n-]2)noc1-c1ccccc1 ZINC000738420325 598369325 /nfs/dbraw/zinc/36/93/25/598369325.db2.gz DWLDXRQDAPBCFE-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM c1c(CNc2nccnc2-c2nn[n-]n2)noc1-c1ccccc1 ZINC000738420325 598369327 /nfs/dbraw/zinc/36/93/27/598369327.db2.gz DWLDXRQDAPBCFE-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1ccc(OC(F)F)cc1 ZINC000738372747 598676569 /nfs/dbraw/zinc/67/65/69/598676569.db2.gz UORDHDGTSGNDCU-UHFFFAOYSA-N -1 1 319.271 1.678 20 0 DDADMM CC[C@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)C[C@@H](C)O1 ZINC000736409555 598678559 /nfs/dbraw/zinc/67/85/59/598678559.db2.gz WFMHIFYNBOQIKP-RQJHMYQMSA-N -1 1 314.802 1.980 20 0 DDADMM CC[C@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)C[C@@H](C)O1 ZINC000736409555 598678561 /nfs/dbraw/zinc/67/85/61/598678561.db2.gz WFMHIFYNBOQIKP-RQJHMYQMSA-N -1 1 314.802 1.980 20 0 DDADMM Cc1cc(CN(C)c2ccc(Cl)c(-c3nnn[n-]3)n2)no1 ZINC000737263215 598744651 /nfs/dbraw/zinc/74/46/51/598744651.db2.gz QHFQLIUCUDEIHB-UHFFFAOYSA-N -1 1 305.729 1.848 20 0 DDADMM Cc1cc(CN(C)c2ccc(Cl)c(-c3nn[n-]n3)n2)no1 ZINC000737263215 598744653 /nfs/dbraw/zinc/74/46/53/598744653.db2.gz QHFQLIUCUDEIHB-UHFFFAOYSA-N -1 1 305.729 1.848 20 0 DDADMM CCCNC(=O)[C@@H]1CCCN(c2cccc(-c3nnn[n-]3)n2)C1 ZINC000736480300 599421596 /nfs/dbraw/zinc/42/15/96/599421596.db2.gz KATFSYJXBHQXIU-LLVKDONJSA-N -1 1 315.381 1.004 20 0 DDADMM CCCNC(=O)[C@@H]1CCCN(c2cccc(-c3nn[n-]n3)n2)C1 ZINC000736480300 599421599 /nfs/dbraw/zinc/42/15/99/599421599.db2.gz KATFSYJXBHQXIU-LLVKDONJSA-N -1 1 315.381 1.004 20 0 DDADMM O[C@@H]1CCCC[C@H]1Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000823706614 599514701 /nfs/dbraw/zinc/51/47/01/599514701.db2.gz MSXRJFFQEVHRTD-PHDIDXHHSA-N -1 1 300.775 1.692 20 0 DDADMM O[C@@H]1CCCC[C@H]1Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000823706614 599514703 /nfs/dbraw/zinc/51/47/03/599514703.db2.gz MSXRJFFQEVHRTD-PHDIDXHHSA-N -1 1 300.775 1.692 20 0 DDADMM O=C([O-])CCOc1ccc(NC(=O)c2ncccc2O)cc1 ZINC000739740589 599741677 /nfs/dbraw/zinc/74/16/77/599741677.db2.gz XSQOFDUEAPGCBV-UHFFFAOYSA-N -1 1 302.286 1.893 20 0 DDADMM O=C([O-])CCN(CC(=O)NC1CCOCC1)Cc1ccccc1 ZINC000692456196 599809985 /nfs/dbraw/zinc/80/99/85/599809985.db2.gz RPEJYQGQGRGVRH-UHFFFAOYSA-N -1 1 320.389 1.259 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000736368951 599813743 /nfs/dbraw/zinc/81/37/43/599813743.db2.gz HCGIFJMDDXTMSQ-OAHLLOKOSA-N -1 1 319.405 1.453 20 0 DDADMM O=C([O-])C[C@H]1CCCC[N@H+]1Cc1c([O-])nnn1-c1ccccc1 ZINC000739639525 599839341 /nfs/dbraw/zinc/83/93/41/599839341.db2.gz OXKHQVIKCWSPIJ-CYBMUJFWSA-N -1 1 316.361 1.802 20 0 DDADMM C[C@H](CN(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)C1CC1)C(=O)[O-] ZINC000736578583 599934492 /nfs/dbraw/zinc/93/44/92/599934492.db2.gz NHOAEEXUFNTPED-RTXFEEFZSA-N -1 1 306.362 1.080 20 0 DDADMM O=C([O-])C1CCC(NC(=O)N2CCN3CCCC[C@H]3C2)CC1 ZINC000316780581 600029899 /nfs/dbraw/zinc/02/98/99/600029899.db2.gz PVXYWGUZUCPSAI-RUXDESIVSA-N -1 1 309.410 1.510 20 0 DDADMM C[C@@H](O)[C@H]1CCCCN1CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000736678849 600090866 /nfs/dbraw/zinc/09/08/66/600090866.db2.gz XZAKZSBGOZXXRI-CHWSQXEVSA-N -1 1 312.410 1.125 20 0 DDADMM COc1ccccc1NC(=O)[C@H](C)N1CCC[C@@H](C(=O)[O-])C1 ZINC000262665858 600140081 /nfs/dbraw/zinc/14/00/81/600140081.db2.gz NGSXTMGCSAPINP-NWDGAFQWSA-N -1 1 306.362 1.819 20 0 DDADMM C[C@H]1CN(c2ccc(C(=O)[O-])cc2)CC[N@H+]1CCCC(=O)[O-] ZINC000736826320 600227773 /nfs/dbraw/zinc/22/77/73/600227773.db2.gz VSHCSTPFCHRDNH-LBPRGKRZSA-N -1 1 306.362 1.760 20 0 DDADMM CN(CC(=O)[O-])C(=O)CCN1CCCC[C@H]1c1nccs1 ZINC000737402578 600295872 /nfs/dbraw/zinc/29/58/72/600295872.db2.gz LIVWYTHMLYUYKF-NSHDSACASA-N -1 1 311.407 1.603 20 0 DDADMM Cc1ccsc1CNC(=O)CN1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000738798890 600297392 /nfs/dbraw/zinc/29/73/92/600297392.db2.gz SCVLAKBAGXRQDJ-GFCCVEGCSA-N -1 1 322.430 1.859 20 0 DDADMM C[C@@H](O)CN1CCN([C@H](C(=O)[O-])c2cccc(Cl)c2)CC1 ZINC000736675086 600408140 /nfs/dbraw/zinc/40/81/40/600408140.db2.gz BHJDGGTXKPQRCN-RISCZKNCSA-N -1 1 312.797 1.464 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN[C@@](C)(CO)c1ccccc1)C(=O)[O-] ZINC000736882967 600459246 /nfs/dbraw/zinc/45/92/46/600459246.db2.gz IZGKNSCWEKBNOF-NUTKFTJISA-N -1 1 322.405 1.099 20 0 DDADMM CCc1cc(CNC(=O)c2cccc(OCC(=O)[O-])c2)[nH]n1 ZINC000737254647 600497402 /nfs/dbraw/zinc/49/74/02/600497402.db2.gz RQZGXHATHMFNGU-UHFFFAOYSA-N -1 1 303.318 1.366 20 0 DDADMM O=C([O-])[C@H]1CCC[C@H](C(=O)N2CCN(C3CCCC3)CC2)C1 ZINC000315640586 600654570 /nfs/dbraw/zinc/65/45/70/600654570.db2.gz ZOKDTCVSFLCEDB-KBPBESRZSA-N -1 1 308.422 1.964 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)N(C)CC[C@H](C)O ZINC000832914938 600833493 /nfs/dbraw/zinc/83/34/93/600833493.db2.gz HDTVGWKBGMSCMN-NWDGAFQWSA-N -1 1 308.378 1.723 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2ccc3n[nH]cc3c2)c[nH]1 ZINC000037508869 600981935 /nfs/dbraw/zinc/98/19/35/600981935.db2.gz CPHJYBNRJXXURK-UHFFFAOYSA-N -1 1 306.303 1.390 20 0 DDADMM CN(Cc1ccc(S(=O)(=O)C(F)F)cc1)C(C)(C)C(=O)[O-] ZINC000830840037 601004305 /nfs/dbraw/zinc/00/43/05/601004305.db2.gz IJFXLLLDXSGRGG-UHFFFAOYSA-N -1 1 321.345 1.978 20 0 DDADMM C[C@H](O)CCN(C)CC(=O)NCc1ccc(NC(=O)[O-])cc1 ZINC000827795768 601017998 /nfs/dbraw/zinc/01/79/98/601017998.db2.gz BKDBFIXBOBGKES-NSHDSACASA-N -1 1 309.366 1.095 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccncc2)CC1 ZINC000827362638 601101271 /nfs/dbraw/zinc/10/12/71/601101271.db2.gz RDMCPXNUVTXQKS-CYBMUJFWSA-N -1 1 305.378 1.022 20 0 DDADMM CCN1C[C@H](C)[C@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC000737111558 601111284 /nfs/dbraw/zinc/11/12/84/601111284.db2.gz KLOKAALNAMTTMC-GXFFZTMASA-N -1 1 312.391 1.003 20 0 DDADMM COc1ccc(CN(C)C(=O)CN[C@@](C)(C(=O)[O-])C2CC2)cc1 ZINC000832304230 601175901 /nfs/dbraw/zinc/17/59/01/601175901.db2.gz DWCDWHHOBMMFSK-QGZVFWFLSA-N -1 1 320.389 1.497 20 0 DDADMM CCc1nsc(N2CCCN(C/C=C(\C)C(=O)[O-])CC2)n1 ZINC000830350623 601179438 /nfs/dbraw/zinc/17/94/38/601179438.db2.gz KLPSUTUEKCETJX-VZUCSPMQSA-N -1 1 310.423 1.644 20 0 DDADMM Cc1oc(S(=O)(=O)N[C@H]2CCN(C)[C@H](C)C2)cc1C(=O)[O-] ZINC000833028236 601223585 /nfs/dbraw/zinc/22/35/85/601223585.db2.gz LYYDXVGYQMARAX-SCZZXKLOSA-N -1 1 316.379 1.047 20 0 DDADMM C[C@@H](C(=O)N1C[C@H](C(=O)[O-])[C@H](C)C1)N1CCc2ccccc2C1 ZINC000828320105 601756930 /nfs/dbraw/zinc/75/69/30/601756930.db2.gz ZCYUXYGUDBTGNX-WWGRRREGSA-N -1 1 316.401 1.612 20 0 DDADMM C[C@H]1CN(CN2C(=O)CC3(CCC(C)CC3)C2=O)C[C@H]1C(=O)[O-] ZINC000828233531 601818102 /nfs/dbraw/zinc/81/81/02/601818102.db2.gz QFYYDJKVULBGBW-XPFWZQFZSA-N -1 1 322.405 1.552 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)C(C)(C)NC(=O)[O-])N2CCCC2)o1 ZINC000738549952 601886311 /nfs/dbraw/zinc/88/63/11/601886311.db2.gz CYVMOORAFOVNKA-GFCCVEGCSA-N -1 1 323.393 1.887 20 0 DDADMM CCC(C)(C)NC(=O)[C@@H](C)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091131897 601921771 /nfs/dbraw/zinc/92/17/71/601921771.db2.gz QOLXGZQTYIJLBU-MNOVXSKESA-N -1 1 302.440 1.572 20 0 DDADMM Cc1cc(NC(=O)CN2CC[C@](C)(C(=O)[O-])C2)n(C(C)(C)C)n1 ZINC000832893275 601949621 /nfs/dbraw/zinc/94/96/21/601949621.db2.gz SPQVZXHZGBZVLH-INIZCTEOSA-N -1 1 322.409 1.682 20 0 DDADMM Cc1cccn2c(=O)cc(CN3CC[C@@H](CNC(=O)[O-])C3)nc12 ZINC000740191999 601958096 /nfs/dbraw/zinc/95/80/96/601958096.db2.gz UKMYXUOSPVBQIR-LBPRGKRZSA-N -1 1 316.361 1.092 20 0 DDADMM CN(C)C(=O)c1cccc(CN2CCC[C@H](N(C)C(=O)[O-])C2)c1 ZINC000739600291 601965905 /nfs/dbraw/zinc/96/59/05/601965905.db2.gz MZXFFYLNQREXDV-HNNXBMFYSA-N -1 1 319.405 1.963 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000833222978 602041195 /nfs/dbraw/zinc/04/11/95/602041195.db2.gz SKSABPIWQSBBMH-NXEZZACHSA-N -1 1 309.391 1.248 20 0 DDADMM CCN1CCN(c2ccccc2NC(=O)[C@@H](C)CC(=O)[O-])CC1 ZINC000829780180 602085018 /nfs/dbraw/zinc/08/50/18/602085018.db2.gz DKTIJLWNSXERAS-ZDUSSCGKSA-N -1 1 319.405 1.878 20 0 DDADMM O=c1c2ccccc2sn1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826501389 607552535 /nfs/dbraw/zinc/55/25/35/607552535.db2.gz NYKCDNLEKHKERU-UHFFFAOYSA-N -1 1 310.342 1.686 20 0 DDADMM O=c1c2ccccc2sn1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826501389 607552537 /nfs/dbraw/zinc/55/25/37/607552537.db2.gz NYKCDNLEKHKERU-UHFFFAOYSA-N -1 1 310.342 1.686 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000739530795 602378158 /nfs/dbraw/zinc/37/81/58/602378158.db2.gz KHSLHSWRGXCVIY-AWEZNQCLSA-N -1 1 305.378 1.207 20 0 DDADMM O=C([O-])N1CCC[C@H](CN(C2CC2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000740507614 602431314 /nfs/dbraw/zinc/43/13/14/602431314.db2.gz SUBWSUSKKGAIML-AAEUAGOBSA-N -1 1 316.423 1.028 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(CC(=O)NCCC(C)(C)C)CC1 ZINC000739029673 602445678 /nfs/dbraw/zinc/44/56/78/602445678.db2.gz WOLUYUMXGHQJNF-LBPRGKRZSA-N -1 1 313.442 1.907 20 0 DDADMM COC(=O)c1ccc(CN2CCC([C@@H](C)NC(=O)[O-])CC2)o1 ZINC000739785423 602447148 /nfs/dbraw/zinc/44/71/48/602447148.db2.gz JFFDZOUNBKVYAY-SNVBAGLBSA-N -1 1 310.350 1.934 20 0 DDADMM C[C@H](C(=O)Nc1ccccc1)N1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738635771 602538440 /nfs/dbraw/zinc/53/84/40/602538440.db2.gz AUCKZHUHAWKTSB-OLZOCXBDSA-N -1 1 305.378 1.945 20 0 DDADMM C[C@@H](C(=O)NC1CCCCC1)N1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738627311 602540773 /nfs/dbraw/zinc/54/07/73/602540773.db2.gz XYWHSYGHNRBBLS-QWHCGFSZSA-N -1 1 311.426 1.756 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000736332276 602666074 /nfs/dbraw/zinc/66/60/74/602666074.db2.gz SWLZCVIVHUVZFQ-UHFFFAOYSA-N -1 1 321.312 1.273 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000736332276 602666075 /nfs/dbraw/zinc/66/60/75/602666075.db2.gz SWLZCVIVHUVZFQ-UHFFFAOYSA-N -1 1 321.312 1.273 20 0 DDADMM CCOC(=O)CN(CC(C)C)C[C@H]1CCCN(C(=O)[O-])C1 ZINC000739475142 602703783 /nfs/dbraw/zinc/70/37/83/602703783.db2.gz JRFMBEFATWDYCP-CYBMUJFWSA-N -1 1 300.399 1.898 20 0 DDADMM COC(=O)c1cc(CN2CC[C@H](NC(=O)[O-])[C@H](C)C2)oc1C ZINC000739776237 602772393 /nfs/dbraw/zinc/77/23/93/602772393.db2.gz BVYFFCFIRKVISV-RNCFNFMXSA-N -1 1 310.350 1.853 20 0 DDADMM CC(C)CN(C(=O)CN1CC[C@@H](NC(=O)[O-])[C@H](C)C1)C1CC1 ZINC000738819208 602793475 /nfs/dbraw/zinc/79/34/75/602793475.db2.gz DMZLGMSKECCZSU-TZMCWYRMSA-N -1 1 311.426 1.611 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H](Cc2ccccc2)N(C)C)CCN1C(=O)[O-] ZINC000739124123 602943614 /nfs/dbraw/zinc/94/36/14/602943614.db2.gz OVBNOIPJKVPUBW-UKRRQHHQSA-N -1 1 319.405 1.370 20 0 DDADMM CC(C)N(CCC(=O)N1C[C@@H]2CCCCN2C[C@@H]1C)C(=O)[O-] ZINC000738839070 602989999 /nfs/dbraw/zinc/98/99/99/602989999.db2.gz XTKVPWIGHPCWFN-KBPBESRZSA-N -1 1 311.426 1.850 20 0 DDADMM CN(C)[C@@H](CNC(=O)CC(C)(C)NC(=O)[O-])c1cccs1 ZINC000739603063 603011683 /nfs/dbraw/zinc/01/16/83/603011683.db2.gz SCXLMGHSFISPLN-JTQLQIEISA-N -1 1 313.423 1.903 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]1C(=O)N1CCN(C2CCCC2)CC1 ZINC000740577847 603020183 /nfs/dbraw/zinc/02/01/83/603020183.db2.gz RNQJOMZDUHJQOP-ZIAGYGMSSA-N -1 1 309.410 1.510 20 0 DDADMM CC(C)[C@@](C)(CNC(=O)[O-])NCc1nnc2n1CCCCC2 ZINC000738768210 603136490 /nfs/dbraw/zinc/13/64/90/603136490.db2.gz CEEVLIHRIUDEMR-OAHLLOKOSA-N -1 1 309.414 1.776 20 0 DDADMM C[C@H](CNC(=O)[O-])N(C)C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000738934502 603198036 /nfs/dbraw/zinc/19/80/36/603198036.db2.gz TVLGSDZKTAVLSL-SNVBAGLBSA-N -1 1 302.334 1.805 20 0 DDADMM O=C([O-])N1CC[C@@H](C(=O)Nc2ccn(-c3ccncc3)n2)C1 ZINC000740474752 603251634 /nfs/dbraw/zinc/25/16/34/603251634.db2.gz BIINJDBXDFDMGS-SNVBAGLBSA-N -1 1 301.306 1.206 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(F)c(F)c1)N(C)CCCNC(=O)[O-] ZINC000738628363 603257517 /nfs/dbraw/zinc/25/75/17/603257517.db2.gz CSQFBTKMBLJPPY-VIFPVBQESA-N -1 1 315.320 1.881 20 0 DDADMM Cc1ccc(CNC(=O)CN(C)CCCNC(=O)[O-])cc1F ZINC000740127663 603258738 /nfs/dbraw/zinc/25/87/38/603258738.db2.gz ZGVCDADFKWLXMK-UHFFFAOYSA-N -1 1 311.357 1.340 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccco3)[nH]n2)CC[C@@H]1NC(=O)[O-] ZINC000739163675 603371435 /nfs/dbraw/zinc/37/14/35/603371435.db2.gz GMVNUUWZRQNUSF-ZJUUUORDSA-N -1 1 318.333 1.788 20 0 DDADMM NC(=O)c1cccc(CNCc2ccc(NC(=O)[O-])nc2)c1 ZINC000831233801 603536898 /nfs/dbraw/zinc/53/68/98/603536898.db2.gz IWLHWZGZKCSZRF-UHFFFAOYSA-N -1 1 300.318 1.560 20 0 DDADMM CCN(CCCNC(=O)N1CCC(c2cnc[nH]2)CC1)C(=O)[O-] ZINC000827116572 603623152 /nfs/dbraw/zinc/62/31/52/603623152.db2.gz LFDYZSUWJBRWKS-UHFFFAOYSA-N -1 1 323.397 1.689 20 0 DDADMM CN(C)[C@@H](CNC(=O)CC1CN(C(=O)[O-])C1)c1ccsc1 ZINC000827994138 603652461 /nfs/dbraw/zinc/65/24/61/603652461.db2.gz HWSHICKKTKWOHE-LBPRGKRZSA-N -1 1 311.407 1.467 20 0 DDADMM Cc1cc(NC(=O)CCN2CCN(C(=O)[O-])CC2(C)C)no1 ZINC000830104054 603914640 /nfs/dbraw/zinc/91/46/40/603914640.db2.gz SFKVUHDOVKCFCD-UHFFFAOYSA-N -1 1 310.354 1.386 20 0 DDADMM O=C([O-])N1CC[C@](F)(C(=O)NCc2nc3ccc(F)cc3[nH]2)C1 ZINC000831903656 603936428 /nfs/dbraw/zinc/93/64/28/603936428.db2.gz YIZHNYVROLSDOS-CQSZACIVSA-N -1 1 324.287 1.410 20 0 DDADMM CCC[C@@H](C)NC(=O)[C@H](C)N1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000826734233 603986396 /nfs/dbraw/zinc/98/63/96/603986396.db2.gz HEWSSVFPMREUFN-RQJABVFESA-N -1 1 311.426 1.896 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000832340344 604058692 /nfs/dbraw/zinc/05/86/92/604058692.db2.gz QVTNEBVYYPNMAZ-NXEZZACHSA-N -1 1 320.324 1.755 20 0 DDADMM CN(C)C(=O)c1cccc(CN2CCC[C@H]2CNC(=O)[O-])c1 ZINC000827988680 604084540 /nfs/dbraw/zinc/08/45/40/604084540.db2.gz KLEWKZLNVHOCRY-AWEZNQCLSA-N -1 1 305.378 1.620 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)NCc2cccnc2)C1 ZINC000825264429 604161087 /nfs/dbraw/zinc/16/10/87/604161087.db2.gz KGOORXBEOFEXJW-OCCSQVGLSA-N -1 1 320.393 1.066 20 0 DDADMM C[C@@H](NC1CCN(C(=O)[O-])CC1)c1nnc2n1CCCCC2 ZINC000825478512 604178612 /nfs/dbraw/zinc/17/86/12/604178612.db2.gz HMVHESSASTYELL-LLVKDONJSA-N -1 1 307.398 1.798 20 0 DDADMM CN(CC1CCN(CN2C(=O)C(C)(C)C2(C)C)CC1)C(=O)[O-] ZINC000828261014 604205579 /nfs/dbraw/zinc/20/55/79/604205579.db2.gz YAPXXNPCWWYZCW-UHFFFAOYSA-N -1 1 311.426 1.913 20 0 DDADMM CCOc1ccc(OC[C@H](O)CN2CC[C@@H](NC(=O)[O-])C2)cc1 ZINC000739505733 604369300 /nfs/dbraw/zinc/36/93/00/604369300.db2.gz SYOCATLHEXVDMD-CHWSQXEVSA-N -1 1 324.377 1.167 20 0 DDADMM Cc1cc([C@@H](C)N2CCO[C@H](c3n[nH]c(C)n3)C2)oc1C(=O)[O-] ZINC000832991270 604385719 /nfs/dbraw/zinc/38/57/19/604385719.db2.gz LDGIKYXDTPOAQS-SKDRFNHKSA-N -1 1 320.349 1.847 20 0 DDADMM CC(C)(C(=O)[O-])[C@H](NC(=O)CCc1nc[nH]n1)c1ccccc1 ZINC000826090632 604387142 /nfs/dbraw/zinc/38/71/42/604387142.db2.gz VESDEXAGLVSPRT-CQSZACIVSA-N -1 1 316.361 1.706 20 0 DDADMM O=C([O-])C1(NCc2cc(F)ccc2F)CCS(=O)(=O)CC1 ZINC000833175570 604437698 /nfs/dbraw/zinc/43/76/98/604437698.db2.gz JLLMERLFZMSTJZ-UHFFFAOYSA-N -1 1 319.329 1.086 20 0 DDADMM CN1CCO[C@@H]2CN([C@@H](C(=O)[O-])c3cccc(Cl)c3)C[C@@H]21 ZINC000830966278 604445949 /nfs/dbraw/zinc/44/59/49/604445949.db2.gz ZEGFYIQXBUXVPL-BFHYXJOUSA-N -1 1 310.781 1.481 20 0 DDADMM C[C@H](Oc1ccc(CN(C)[C@H]2CCCCNC2=O)cc1)C(=O)[O-] ZINC000833536762 604619528 /nfs/dbraw/zinc/61/95/28/604619528.db2.gz DVHHBXOLTUFKEE-WFASDCNBSA-N -1 1 320.389 1.639 20 0 DDADMM Cn1cc([C@@]2(C)CN(CC3CCN(C(=O)[O-])CC3)CCO2)cn1 ZINC000830992773 604637345 /nfs/dbraw/zinc/63/73/45/604637345.db2.gz AHEBCCYZKYOCPO-MRXNPFEDSA-N -1 1 322.409 1.358 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CN(C(=O)c2cccc3n[nH]cc32)CCO1 ZINC000825336217 604711278 /nfs/dbraw/zinc/71/12/78/604711278.db2.gz RESZCJIWDAFGGB-RNCFNFMXSA-N -1 1 318.333 1.060 20 0 DDADMM Cc1nn(CCCSCC(C)C)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334665 607710316 /nfs/dbraw/zinc/71/03/16/607710316.db2.gz DPICRNZBOSOVJR-UHFFFAOYSA-N -1 1 322.438 1.820 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)c2cccc(NC(=O)[O-])c2)n[nH]1 ZINC000833673390 605023618 /nfs/dbraw/zinc/02/36/18/605023618.db2.gz KLUAMDHSABBFFP-MRVPVSSYSA-N -1 1 303.322 1.948 20 0 DDADMM CCN(C[C@@H]1CCCO1)[C@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000833654187 605044068 /nfs/dbraw/zinc/04/40/68/605044068.db2.gz FTUSDAQATPSJES-OCCSQVGLSA-N -1 1 312.410 1.199 20 0 DDADMM CCO[C@@H]1C[C@@H](NCc2cn(CC(=O)[O-])nn2)C12CCCCC2 ZINC000833662226 605092339 /nfs/dbraw/zinc/09/23/39/605092339.db2.gz YZICKUSFQYHTND-ZIAGYGMSSA-N -1 1 322.409 1.580 20 0 DDADMM CCO[C@@H]1C[C@@H](O)C12CCN(Cc1ccc(C(=O)[O-])[nH]1)CC2 ZINC000833659731 605110490 /nfs/dbraw/zinc/11/04/90/605110490.db2.gz GCDOUOOFSPJSBH-ZIAGYGMSSA-N -1 1 308.378 1.465 20 0 DDADMM CC(C)C[C@H](NC(=O)[O-])C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000824217283 605153500 /nfs/dbraw/zinc/15/35/00/605153500.db2.gz DQCMDEFAYTZYIW-JTQLQIEISA-N -1 1 318.337 1.488 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)C(C)(C)c1cccnc1 ZINC000833618938 605200158 /nfs/dbraw/zinc/20/01/58/605200158.db2.gz HCHFNUWPQCGCQG-CYBMUJFWSA-N -1 1 319.405 1.367 20 0 DDADMM CC(C)(C)CCN1CCN(C(=O)c2cncc(C(=O)[O-])c2)CC1 ZINC000833429334 605222715 /nfs/dbraw/zinc/22/27/15/605222715.db2.gz JGCSTXYMZVZMMW-UHFFFAOYSA-N -1 1 319.405 1.974 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CC[C@H]1NC(=O)[O-] ZINC000833851259 605338363 /nfs/dbraw/zinc/33/83/63/605338363.db2.gz MONOHOFZEOGGHD-GXSJLCMTSA-N -1 1 317.349 1.466 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H](F)Cc2ccccc2)CCN1CCC(=O)[O-] ZINC000833620459 605407809 /nfs/dbraw/zinc/40/78/09/605407809.db2.gz XTSYGSXQFLGDGG-HIFRSBDPSA-N -1 1 322.380 1.575 20 0 DDADMM O=C([O-])Nc1ccc(CN2CC[C@@H](N3CCOCC3)C2)cn1 ZINC000834260311 605533121 /nfs/dbraw/zinc/53/31/21/605533121.db2.gz PDKQXOPOKSGXPV-CYBMUJFWSA-N -1 1 306.366 1.078 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@H](NC(=O)[O-])C[C@H]1C ZINC000829835071 605561801 /nfs/dbraw/zinc/56/18/01/605561801.db2.gz XQTJZTMPJBBQAD-MFKMUULPSA-N -1 1 323.397 1.481 20 0 DDADMM O=C([O-])N1CCC[C@H](NCC(=O)Nc2ccc(F)c(F)c2)C1 ZINC000834007944 605566948 /nfs/dbraw/zinc/56/69/48/605566948.db2.gz ZXVLBOWGOLJRLU-JTQLQIEISA-N -1 1 313.304 1.635 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@H]1NCc1nnnn1-c1ccccc1 ZINC000834217366 605597194 /nfs/dbraw/zinc/59/71/94/605597194.db2.gz UUWGBURGYIHGMM-DGCLKSJQSA-N -1 1 316.365 1.188 20 0 DDADMM CCCN(C(=O)NCc1cc(C)n[nH]1)C1CCN(C(=O)[O-])CC1 ZINC000826875402 605630987 /nfs/dbraw/zinc/63/09/87/605630987.db2.gz DUMFSUBGMCXZGM-UHFFFAOYSA-N -1 1 323.397 1.782 20 0 DDADMM O=C([O-])N(CC(=O)Nc1ccccc1-c1nnc[nH]1)C1CC1 ZINC000834003688 605709939 /nfs/dbraw/zinc/70/99/39/605709939.db2.gz PECRATJOZXRDEH-UHFFFAOYSA-N -1 1 301.306 1.553 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[N@@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000834220631 605807570 /nfs/dbraw/zinc/80/75/70/605807570.db2.gz OYTRJKOPCIQPHY-AWEZNQCLSA-N -1 1 321.377 1.214 20 0 DDADMM COC[C@@](C)(CC(=O)OC)NCc1cc(C(=O)[O-])ccc1F ZINC000833720892 605841754 /nfs/dbraw/zinc/84/17/54/605841754.db2.gz HHJDYOCEEWVFRV-OAHLLOKOSA-N -1 1 313.325 1.582 20 0 DDADMM CC(C)S(=O)(=O)CCCN1C[C@H](CNC(=O)[O-])C[C@@H]1C ZINC000833833837 605907550 /nfs/dbraw/zinc/90/75/50/605907550.db2.gz KYCGTECIQCGJGB-RYUDHWBXSA-N -1 1 306.428 1.178 20 0 DDADMM COCCCn1c(=O)[nH]nc1SC1CCN(C(=O)[O-])CC1 ZINC000833883755 605962680 /nfs/dbraw/zinc/96/26/80/605962680.db2.gz RJOGGSFVQLJEKH-UHFFFAOYSA-N -1 1 316.383 1.255 20 0 DDADMM C[C@@H]1[C@H](C)N(Cc2ccc(-n3cncn3)cc2)CCN1C(=O)[O-] ZINC000833828763 606073600 /nfs/dbraw/zinc/07/36/00/606073600.db2.gz PJCJOOPLBLVYNA-QWHCGFSZSA-N -1 1 315.377 1.840 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820979377 606171755 /nfs/dbraw/zinc/17/17/55/606171755.db2.gz HGWKIFQECYTLEB-KOLCDFICSA-N -1 1 318.385 1.691 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820979377 606171757 /nfs/dbraw/zinc/17/17/57/606171757.db2.gz HGWKIFQECYTLEB-KOLCDFICSA-N -1 1 318.385 1.691 20 0 DDADMM c1cc(CNc2cccc(-c3nnn[n-]3)n2)c2c(c1)OCCO2 ZINC000823757681 606219007 /nfs/dbraw/zinc/21/90/07/606219007.db2.gz SYMMRBIBGUSQIA-UHFFFAOYSA-N -1 1 310.317 1.645 20 0 DDADMM c1cc(CNc2cccc(-c3nn[n-]n3)n2)c2c(c1)OCCO2 ZINC000823757681 606219009 /nfs/dbraw/zinc/21/90/09/606219009.db2.gz SYMMRBIBGUSQIA-UHFFFAOYSA-N -1 1 310.317 1.645 20 0 DDADMM C[C@@H](Cn1cccn1)OC(=O)c1sccc1-c1nn[n-]n1 ZINC000820308107 606410365 /nfs/dbraw/zinc/41/03/65/606410365.db2.gz QZZVCQPGNOEBFH-QMMMGPOBSA-N -1 1 304.335 1.370 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cn2ccccc2n1 ZINC000823436501 606517853 /nfs/dbraw/zinc/51/78/53/606517853.db2.gz YFZOCVVUUXQKRF-UHFFFAOYSA-N -1 1 321.300 1.266 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cn2ccccc2n1 ZINC000823436501 606517855 /nfs/dbraw/zinc/51/78/55/606517855.db2.gz YFZOCVVUUXQKRF-UHFFFAOYSA-N -1 1 321.300 1.266 20 0 DDADMM CC(C)Oc1ccc(C(=O)Nc2n[nH]cc2-c2nnn[n-]2)cc1 ZINC000820155134 606531704 /nfs/dbraw/zinc/53/17/04/606531704.db2.gz JOCULTBCAJIBGQ-UHFFFAOYSA-N -1 1 313.321 1.629 20 0 DDADMM CC(C)Oc1ccc(C(=O)Nc2n[nH]cc2-c2nn[n-]n2)cc1 ZINC000820155134 606531705 /nfs/dbraw/zinc/53/17/05/606531705.db2.gz JOCULTBCAJIBGQ-UHFFFAOYSA-N -1 1 313.321 1.629 20 0 DDADMM O=C(NC[C@H]1CCCC[C@H]1O)c1ccc(-c2nnn[n-]2)s1 ZINC000823175311 606571661 /nfs/dbraw/zinc/57/16/61/606571661.db2.gz FMUGGDZHWMYBNE-RKDXNWHRSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(NC[C@H]1CCCC[C@H]1O)c1ccc(-c2nn[n-]n2)s1 ZINC000823175311 606571663 /nfs/dbraw/zinc/57/16/63/606571663.db2.gz FMUGGDZHWMYBNE-RKDXNWHRSA-N -1 1 307.379 1.209 20 0 DDADMM Cc1ccc([C@@H](O)CNC(=O)c2ccc(-c3nnn[n-]3)s2)o1 ZINC000822230608 606598992 /nfs/dbraw/zinc/59/89/92/606598992.db2.gz LITJGHHTMFZHCE-QMMMGPOBSA-N -1 1 319.346 1.293 20 0 DDADMM Cc1ccc([C@@H](O)CNC(=O)c2ccc(-c3nn[n-]n3)s2)o1 ZINC000822230608 606598994 /nfs/dbraw/zinc/59/89/94/606598994.db2.gz LITJGHHTMFZHCE-QMMMGPOBSA-N -1 1 319.346 1.293 20 0 DDADMM O=C(OCC[C@H]1CCOC1=O)c1ccc(-c2nnn[n-]2)s1 ZINC000823400692 606635533 /nfs/dbraw/zinc/63/55/33/606635533.db2.gz IBVLPTQDVOXUAX-SSDOTTSWSA-N -1 1 308.319 1.038 20 0 DDADMM O=C(OCC[C@H]1CCOC1=O)c1ccc(-c2nn[n-]n2)s1 ZINC000823400692 606635534 /nfs/dbraw/zinc/63/55/34/606635534.db2.gz IBVLPTQDVOXUAX-SSDOTTSWSA-N -1 1 308.319 1.038 20 0 DDADMM COC[C@@H](C)CC(=O)Nc1nn(C(C)(C)C)cc1-c1nnn[n-]1 ZINC000821681246 606684498 /nfs/dbraw/zinc/68/44/98/606684498.db2.gz FWHLNKFSJWPTNW-VIFPVBQESA-N -1 1 321.385 1.429 20 0 DDADMM COC[C@@H](C)CC(=O)Nc1nn(C(C)(C)C)cc1-c1nn[n-]n1 ZINC000821681246 606684499 /nfs/dbraw/zinc/68/44/99/606684499.db2.gz FWHLNKFSJWPTNW-VIFPVBQESA-N -1 1 321.385 1.429 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1ncc(-c2ccccc2)o1 ZINC000823658053 606769963 /nfs/dbraw/zinc/76/99/63/606769963.db2.gz ZFXHYCYAGNMVGX-UHFFFAOYSA-N -1 1 320.312 1.732 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)[C@@H]2CCSC2)n1 ZINC000819971297 606810077 /nfs/dbraw/zinc/81/00/77/606810077.db2.gz HWNXFCGGTUNXLC-MRVPVSSYSA-N -1 1 321.410 1.510 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)[C@@H]2CCSC2)n1 ZINC000819971297 606810079 /nfs/dbraw/zinc/81/00/79/606810079.db2.gz HWNXFCGGTUNXLC-MRVPVSSYSA-N -1 1 321.410 1.510 20 0 DDADMM CCc1nnc(Sc2cccc(F)c2-c2nnn[n-]2)n1N ZINC000821547857 607027608 /nfs/dbraw/zinc/02/76/08/607027608.db2.gz DNHRDKMJJWZAPL-UHFFFAOYSA-N -1 1 306.330 1.025 20 0 DDADMM CCc1nnc(Sc2cccc(F)c2-c2nn[n-]n2)n1N ZINC000821547857 607027609 /nfs/dbraw/zinc/02/76/09/607027609.db2.gz DNHRDKMJJWZAPL-UHFFFAOYSA-N -1 1 306.330 1.025 20 0 DDADMM CN(CCc1nccs1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825950789 607802390 /nfs/dbraw/zinc/80/23/90/607802390.db2.gz VNHUWNNVYQQXEJ-UHFFFAOYSA-N -1 1 315.362 1.033 20 0 DDADMM CN(CCc1nccs1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825950789 607802391 /nfs/dbraw/zinc/80/23/91/607802391.db2.gz VNHUWNNVYQQXEJ-UHFFFAOYSA-N -1 1 315.362 1.033 20 0 DDADMM Cc1ncc2c(n1)CC[C@H](Nc1cccc(-c3nnn[n-]3)n1)C2 ZINC000826330090 607898496 /nfs/dbraw/zinc/89/84/96/607898496.db2.gz FEUYKIGFQMZQHK-NSHDSACASA-N -1 1 308.349 1.330 20 0 DDADMM Cc1ncc2c(n1)CC[C@H](Nc1cccc(-c3nn[n-]n3)n1)C2 ZINC000826330090 607898497 /nfs/dbraw/zinc/89/84/97/607898497.db2.gz FEUYKIGFQMZQHK-NSHDSACASA-N -1 1 308.349 1.330 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCC23CCC(CC2)C3)n1 ZINC000826357037 607961095 /nfs/dbraw/zinc/96/10/95/607961095.db2.gz OUCFRFSMPLWYSM-UHFFFAOYSA-N -1 1 316.369 1.302 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCC23CCC(CC2)C3)n1 ZINC000826357037 607961094 /nfs/dbraw/zinc/96/10/94/607961094.db2.gz OUCFRFSMPLWYSM-UHFFFAOYSA-N -1 1 316.369 1.302 20 0 DDADMM OCC[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)c1cccs1 ZINC000826509427 607990837 /nfs/dbraw/zinc/99/08/37/607990837.db2.gz VMYXECUSRIYYCG-MRVPVSSYSA-N -1 1 303.351 1.254 20 0 DDADMM OCC[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)c1cccs1 ZINC000826509427 607990838 /nfs/dbraw/zinc/99/08/38/607990838.db2.gz VMYXECUSRIYYCG-MRVPVSSYSA-N -1 1 303.351 1.254 20 0 DDADMM O=C(Nc1ccnc(CO)c1)c1ccc(-c2nnn[n-]2)s1 ZINC000826459070 608207283 /nfs/dbraw/zinc/20/72/83/608207283.db2.gz TWJMPBGQMNUZBK-UHFFFAOYSA-N -1 1 302.319 1.068 20 0 DDADMM O=C(Nc1ccnc(CO)c1)c1ccc(-c2nn[n-]n2)s1 ZINC000826459070 608207284 /nfs/dbraw/zinc/20/72/84/608207284.db2.gz TWJMPBGQMNUZBK-UHFFFAOYSA-N -1 1 302.319 1.068 20 0 DDADMM CC(C)[C@H]1C[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000824215848 608250262 /nfs/dbraw/zinc/25/02/62/608250262.db2.gz MVVPAQBLBTTWLX-DGCLKSJQSA-N -1 1 316.365 1.195 20 0 DDADMM CC(C)[C@H]1C[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000824215848 608250264 /nfs/dbraw/zinc/25/02/64/608250264.db2.gz MVVPAQBLBTTWLX-DGCLKSJQSA-N -1 1 316.365 1.195 20 0 DDADMM c1cc2c(cc1CNc1ccc(-c3nnn[n-]3)nn1)OCCO2 ZINC000826518147 608390421 /nfs/dbraw/zinc/39/04/21/608390421.db2.gz CWAGMGIWZUXJDS-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM c1cc2c(cc1CNc1ccc(-c3nn[n-]n3)nn1)OCCO2 ZINC000826518147 608390422 /nfs/dbraw/zinc/39/04/22/608390422.db2.gz CWAGMGIWZUXJDS-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM O=C1OCCN1c1cccc(Nc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826483563 608397440 /nfs/dbraw/zinc/39/74/40/608397440.db2.gz RNLOTMYRXBGGOX-UHFFFAOYSA-N -1 1 324.304 1.357 20 0 DDADMM O=C1OCCN1c1cccc(Nc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826483563 608397442 /nfs/dbraw/zinc/39/74/42/608397442.db2.gz RNLOTMYRXBGGOX-UHFFFAOYSA-N -1 1 324.304 1.357 20 0 DDADMM CCCCN(CCCO)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825284957 608414110 /nfs/dbraw/zinc/41/41/10/608414110.db2.gz DXQKUELMMIKORD-UHFFFAOYSA-N -1 1 310.789 1.904 20 0 DDADMM CCCCN(CCCO)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825284957 608414112 /nfs/dbraw/zinc/41/41/12/608414112.db2.gz DXQKUELMMIKORD-UHFFFAOYSA-N -1 1 310.789 1.904 20 0 DDADMM c1ccc2c(c1)CC[C@H](CNc1ccc(-c3nnn[n-]3)nn1)C2 ZINC000826523110 608420645 /nfs/dbraw/zinc/42/06/45/608420645.db2.gz AYMZJIMWXJEOLX-NSHDSACASA-N -1 1 307.361 1.874 20 0 DDADMM c1ccc2c(c1)CC[C@H](CNc1ccc(-c3nn[n-]n3)nn1)C2 ZINC000826523110 608420646 /nfs/dbraw/zinc/42/06/46/608420646.db2.gz AYMZJIMWXJEOLX-NSHDSACASA-N -1 1 307.361 1.874 20 0 DDADMM c1ccc(C2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)nc1 ZINC000826520394 608438193 /nfs/dbraw/zinc/43/81/93/608438193.db2.gz IGTSILNSYDNPHQ-UHFFFAOYSA-N -1 1 308.349 1.436 20 0 DDADMM c1ccc(C2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)nc1 ZINC000826520394 608438194 /nfs/dbraw/zinc/43/81/94/608438194.db2.gz IGTSILNSYDNPHQ-UHFFFAOYSA-N -1 1 308.349 1.436 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NCCNC(=O)c1ccccc1 ZINC000826280570 608542795 /nfs/dbraw/zinc/54/27/95/608542795.db2.gz HKKCJLANOVCYSQ-UHFFFAOYSA-N -1 1 323.360 1.412 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC[C@@H]2C2CCOCC2)c1 ZINC000826514471 609170536 /nfs/dbraw/zinc/17/05/36/609170536.db2.gz SDIBLYGMYOAHDM-CYBMUJFWSA-N -1 1 300.366 1.657 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC[C@@H]2C2CCOCC2)c1 ZINC000826514471 609170538 /nfs/dbraw/zinc/17/05/38/609170538.db2.gz SDIBLYGMYOAHDM-CYBMUJFWSA-N -1 1 300.366 1.657 20 0 DDADMM CN(C[C@H]1CCCC[C@H]1O)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825909224 609403064 /nfs/dbraw/zinc/40/30/64/609403064.db2.gz UMZXHZAOWFMKRP-NXEZZACHSA-N -1 1 321.406 1.551 20 0 DDADMM CN(C[C@H]1CCCC[C@H]1O)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825909224 609403067 /nfs/dbraw/zinc/40/30/67/609403067.db2.gz UMZXHZAOWFMKRP-NXEZZACHSA-N -1 1 321.406 1.551 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@H](C)[C@H](C)C2)c1-c1nnn[n-]1 ZINC000826343991 609468328 /nfs/dbraw/zinc/46/83/28/609468328.db2.gz UFQUDRYVGXBXPN-DTWKUNHWSA-N -1 1 304.354 1.210 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@H](C)[C@H](C)C2)c1-c1nn[n-]n1 ZINC000826343991 609468332 /nfs/dbraw/zinc/46/83/32/609468332.db2.gz UFQUDRYVGXBXPN-DTWKUNHWSA-N -1 1 304.354 1.210 20 0 DDADMM C[C@H](C[S@@](C)=O)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000824448956 609597898 /nfs/dbraw/zinc/59/78/98/609597898.db2.gz LGWXGASPCWLDLC-CJIKFEGJSA-N -1 1 306.804 1.156 20 0 DDADMM C[C@H](C[S@@](C)=O)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000824448956 609597901 /nfs/dbraw/zinc/59/79/01/609597901.db2.gz LGWXGASPCWLDLC-CJIKFEGJSA-N -1 1 306.804 1.156 20 0 DDADMM C[C@H]1CC[C@@H](C(F)(F)F)CN1c1ccc(-c2nnn[n-]2)nn1 ZINC000824906676 609576159 /nfs/dbraw/zinc/57/61/59/609576159.db2.gz CRHARULRJYDMBL-JGVFFNPUSA-N -1 1 313.287 1.824 20 0 DDADMM C[C@H]1CC[C@@H](C(F)(F)F)CN1c1ccc(-c2nn[n-]n2)nn1 ZINC000824906676 609576161 /nfs/dbraw/zinc/57/61/61/609576161.db2.gz CRHARULRJYDMBL-JGVFFNPUSA-N -1 1 313.287 1.824 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cccc2nonc21)c1ccco1 ZINC000121188156 696707017 /nfs/dbraw/zinc/70/70/17/696707017.db2.gz NJFDYZKDEUPIPH-SNVBAGLBSA-N -1 1 323.330 1.482 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973197287 695443866 /nfs/dbraw/zinc/44/38/66/695443866.db2.gz AZSQWLMFFJCQSV-ZDEQEGDKSA-N -1 1 317.389 1.456 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000975438134 695844092 /nfs/dbraw/zinc/84/40/92/695844092.db2.gz QRSFHLZAKIPTRX-FRRDWIJNSA-N -1 1 317.389 1.412 20 0 DDADMM CC(C)CCOc1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000029023457 696108808 /nfs/dbraw/zinc/10/88/08/696108808.db2.gz LZBGQNQKIYFGHW-UHFFFAOYSA-N -1 1 305.334 1.308 20 0 DDADMM O=C1[N-]C(=S)NC(=O)C1=CNCCCSc1ccccc1 ZINC000031434599 696120439 /nfs/dbraw/zinc/12/04/39/696120439.db2.gz BKZHDMOPKAFTOC-UHFFFAOYSA-N -1 1 321.427 1.173 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1ccoc1 ZINC000977371845 696132753 /nfs/dbraw/zinc/13/27/53/696132753.db2.gz QCNGLSGFNDKBTH-GFCCVEGCSA-N -1 1 315.329 1.367 20 0 DDADMM CCCCCNC(C)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000054501906 696276476 /nfs/dbraw/zinc/27/64/76/696276476.db2.gz MJWZFNFMAGJUFL-QXMHVHEDSA-N -1 1 311.451 1.940 20 0 DDADMM CCC(=O)[C@H](C)OC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000057603975 696298375 /nfs/dbraw/zinc/29/83/75/696298375.db2.gz PBSHNEKAAYDBHR-NSHDSACASA-N -1 1 319.361 1.895 20 0 DDADMM CC(C)(C)C(=O)N1CCC[C@H](C(=O)[N-]O[C@@H]2CCCCO2)C1 ZINC000060336433 696309849 /nfs/dbraw/zinc/30/98/49/696309849.db2.gz GHUIAPPSDOIWIE-QWHCGFSZSA-N -1 1 312.410 1.846 20 0 DDADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)C1=CCCC1 ZINC000978725385 696447447 /nfs/dbraw/zinc/44/74/47/696447447.db2.gz RLTUTDHHNSSCPP-BJHJDKERSA-N -1 1 315.373 1.522 20 0 DDADMM CN(Cc1ccc(F)cc1F)C(=O)Cc1sc(N)nc1[O-] ZINC000079430831 696460428 /nfs/dbraw/zinc/46/04/28/696460428.db2.gz KRZBUBSFLYBPGK-SNVBAGLBSA-N -1 1 313.329 1.270 20 0 DDADMM Cc1cc(C)c(CNC(=O)Cc2sc(N)nc2[O-])c(C)c1 ZINC000079483270 696460648 /nfs/dbraw/zinc/46/06/48/696460648.db2.gz IEDCGYPVMZUOME-LBPRGKRZSA-N -1 1 305.403 1.575 20 0 DDADMM CN(CCCc1ccccc1)C(=O)Cc1sc(N)nc1[O-] ZINC000079563480 696461572 /nfs/dbraw/zinc/46/15/72/696461572.db2.gz HEYUTWFRONEFFK-GFCCVEGCSA-N -1 1 305.403 1.424 20 0 DDADMM Cc1ccc(C(C)(C)CNC(=O)Cc2sc(N)nc2[O-])cc1 ZINC000080011620 696466227 /nfs/dbraw/zinc/46/62/27/696466227.db2.gz ZKHSQLUPGXDGQI-GFCCVEGCSA-N -1 1 319.430 1.736 20 0 DDADMM CC(C)(CNC(=O)Cc1sc(N)nc1[O-])Cc1ccccc1 ZINC000080352171 696533643 /nfs/dbraw/zinc/53/36/43/696533643.db2.gz FMCFOVQTOPKFLX-GFCCVEGCSA-N -1 1 319.430 1.718 20 0 DDADMM C[C@]1(c2ccccc2)C[C@H]1NC(=O)Cc1sc(N)nc1[O-] ZINC000080486486 696535028 /nfs/dbraw/zinc/53/50/28/696535028.db2.gz NIAMGFPQNMWLMO-UEKVPHQBSA-N -1 1 303.387 1.180 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)c1 ZINC000798820981 700099410 /nfs/dbraw/zinc/09/94/10/700099410.db2.gz ADEJTVVPYJUSPR-CYBMUJFWSA-N -1 1 314.407 1.086 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)CCSc1ccccn1 ZINC000087388449 696568401 /nfs/dbraw/zinc/56/84/01/696568401.db2.gz ZXLPSCXGDJTLEG-UHFFFAOYSA-N -1 1 323.447 1.943 20 0 DDADMM CCn1c(CNC(=O)CSCC(F)(F)F)n[n-]c1=S ZINC000090551705 696582963 /nfs/dbraw/zinc/58/29/63/696582963.db2.gz JOQLLASOFWLKMD-UHFFFAOYSA-N -1 1 314.358 1.872 20 0 DDADMM Cc1ccccc1CS(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C ZINC000798896881 700104709 /nfs/dbraw/zinc/10/47/09/700104709.db2.gz FEPSZWQPRJCQQW-CQSZACIVSA-N -1 1 312.435 1.527 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC2(C)CCCC2)co1 ZINC000119128079 696677778 /nfs/dbraw/zinc/67/77/78/696677778.db2.gz IVWFFSCVOVWTLR-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM CCc1ccc(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)cc1 ZINC000798852737 700102026 /nfs/dbraw/zinc/10/20/26/700102026.db2.gz VLNCGBSSMVPXDM-AWEZNQCLSA-N -1 1 312.435 1.640 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccc(Cl)cc2F)s1 ZINC000120785294 696701264 /nfs/dbraw/zinc/70/12/64/696701264.db2.gz LEUDYUHEKSMUSO-QMMMGPOBSA-N -1 1 301.730 1.764 20 0 DDADMM CCS(=O)(=O)CCSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000126971674 696767412 /nfs/dbraw/zinc/76/74/12/696767412.db2.gz SIOWQMUKOBVNAD-UHFFFAOYSA-N -1 1 316.326 1.728 20 0 DDADMM CC(C)OCC(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799021672 700110271 /nfs/dbraw/zinc/11/02/71/700110271.db2.gz KUONLAXMHZAOEJ-UHFFFAOYSA-N -1 1 310.316 1.329 20 0 DDADMM CCOC(=O)[C@@H](CCOC)NC(=O)c1c([O-])cccc1Cl ZINC000799071285 700113452 /nfs/dbraw/zinc/11/34/52/700113452.db2.gz GOTZJNDXKKNKGN-SNVBAGLBSA-N -1 1 315.753 1.744 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2nccs2)o1 ZINC000761082176 700841592 /nfs/dbraw/zinc/84/15/92/700841592.db2.gz JJANGHLBVUSUKW-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM Cn1ncnc1[N-]C(=O)c1sccc1S(=O)(=O)C(F)F ZINC000150974423 696879133 /nfs/dbraw/zinc/87/91/33/696879133.db2.gz RQOUDQCDAKBLHR-UHFFFAOYSA-N -1 1 322.318 1.125 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H](O)CC(C)C)cnc2n1 ZINC000154307704 696930138 /nfs/dbraw/zinc/93/01/38/696930138.db2.gz WWXAVSIIBAENNC-LLVKDONJSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@H](O)CC(C)C)c2=O ZINC000154307704 696930141 /nfs/dbraw/zinc/93/01/41/696930141.db2.gz WWXAVSIIBAENNC-LLVKDONJSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1n[nH]cc1C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000804970040 701222067 /nfs/dbraw/zinc/22/20/67/701222067.db2.gz UUYFENBZHIGOGC-UHFFFAOYSA-N -1 1 321.189 1.898 20 0 DDADMM O=C(N[C@@H]1CCC[C@H](NC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000983416964 697259918 /nfs/dbraw/zinc/25/99/18/697259918.db2.gz MVJHAUSNBDXJCN-QWHCGFSZSA-N -1 1 317.389 1.745 20 0 DDADMM C[C@@H]1CCN(C(=O)C2CC2)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983429921 697263053 /nfs/dbraw/zinc/26/30/53/697263053.db2.gz LSHDYBPPMBVEOJ-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)N1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)c1ncccc1[O-] ZINC000984204850 697355724 /nfs/dbraw/zinc/35/57/24/697355724.db2.gz FUEMWQVSBIGLTQ-FQUUOJAGSA-N -1 1 315.373 1.116 20 0 DDADMM COCCO[C@@H]1CCCN(CC(=O)[N-]OCc2ccccc2)C1 ZINC000180787012 697452231 /nfs/dbraw/zinc/45/22/31/697452231.db2.gz VXBNIWVNRMFCPM-MRXNPFEDSA-N -1 1 322.405 1.362 20 0 DDADMM COCCC[C@@H](C)C(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000183493158 697488981 /nfs/dbraw/zinc/48/89/81/697488981.db2.gz PEWLHMHTDUPIDG-SECBINFHSA-N -1 1 314.769 1.869 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C2(C)CCCC2)CC1 ZINC000985558077 697529992 /nfs/dbraw/zinc/52/99/92/697529992.db2.gz FLWNIHYHSCLXFV-UHFFFAOYSA-N -1 1 321.425 1.513 20 0 DDADMM CN(C)C(=O)N[N-]C(=O)c1cccc(Br)c1F ZINC000192097155 697619401 /nfs/dbraw/zinc/61/94/01/697619401.db2.gz IWHBWTGRJFVHEA-UHFFFAOYSA-N -1 1 304.119 1.504 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](CCO)Cc2ccccc2)c([O-])c1 ZINC000799803307 700167935 /nfs/dbraw/zinc/16/79/35/700167935.db2.gz HFRQXULSUAMKNI-AWEZNQCLSA-N -1 1 300.358 1.819 20 0 DDADMM NC(=O)CO[N-]C(=O)CCCn1ccc2cc(Cl)ccc21 ZINC000772939148 697695437 /nfs/dbraw/zinc/69/54/37/697695437.db2.gz MEPDBGFHBDXZIL-UHFFFAOYSA-N -1 1 309.753 1.608 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1(C)CCCC1 ZINC000986222077 697741557 /nfs/dbraw/zinc/74/15/57/697741557.db2.gz LECSEKPJFNQTFZ-NEPJUHHUSA-N -1 1 321.425 1.560 20 0 DDADMM CO[C@H]1C[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1(C)C ZINC000227836298 697772184 /nfs/dbraw/zinc/77/21/84/697772184.db2.gz MDFHWIOYPNDMKC-SFYZADRCSA-N -1 1 307.803 1.165 20 0 DDADMM O=C(NCc1ccc(=O)[nH]c1)c1ccc2n[n-]c(=S)n2c1 ZINC000773980497 697830385 /nfs/dbraw/zinc/83/03/85/697830385.db2.gz WSPSJNGEGQKNCT-UHFFFAOYSA-N -1 1 301.331 1.049 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)[N-]OC1CCOCC1)c2=O ZINC000776263535 698089131 /nfs/dbraw/zinc/08/91/31/698089131.db2.gz FWNUELONVSLYQI-UHFFFAOYSA-N -1 1 318.329 1.377 20 0 DDADMM O=C(CCC1CCOCC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776561944 698117363 /nfs/dbraw/zinc/11/73/63/698117363.db2.gz BIZUKJSANUUWEU-LLVKDONJSA-N -1 1 311.411 1.023 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C(F)=C2CCCC2)c1 ZINC000777443825 698191641 /nfs/dbraw/zinc/19/16/41/698191641.db2.gz FUCLTNFSPNSNFI-UHFFFAOYSA-N -1 1 314.338 1.776 20 0 DDADMM O=C(COC(=O)c1cccc(Cl)n1)[N-]C(=O)c1ccccc1 ZINC000750161317 700207175 /nfs/dbraw/zinc/20/71/75/700207175.db2.gz GKGVRCJWBWACLH-UHFFFAOYSA-N -1 1 318.716 1.848 20 0 DDADMM Cc1ccsc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000987848246 698245987 /nfs/dbraw/zinc/24/59/87/698245987.db2.gz ZSGRTOOVFGRDCI-UWVGGRQHSA-N -1 1 321.406 1.273 20 0 DDADMM O=C(Nn1cc(Br)cn1)c1ccc([O-])cc1F ZINC000778392043 698270605 /nfs/dbraw/zinc/27/06/05/698270605.db2.gz ICUZPTZLNRNXCS-UHFFFAOYSA-N -1 1 300.087 1.874 20 0 DDADMM O=C([N-]OC1CCCCC1)[C@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000779316470 698412645 /nfs/dbraw/zinc/41/26/45/698412645.db2.gz DPFMUTQFEKIYAE-ZDUSSCGKSA-N -1 1 317.389 1.811 20 0 DDADMM Cc1ncoc1CN[C@H]1C[C@@H](C)N(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC000988631426 698424208 /nfs/dbraw/zinc/42/42/08/698424208.db2.gz GVUKABCITQMTPG-KCJUWKMLSA-N -1 1 319.365 1.113 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC[C@@H]2COC(=O)N[C@H]2C1 ZINC000282015315 698546913 /nfs/dbraw/zinc/54/69/13/698546913.db2.gz KUNMICGXORCMMH-KCJUWKMLSA-N -1 1 310.737 1.616 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000305226859 698642710 /nfs/dbraw/zinc/64/27/10/698642710.db2.gz ZEWQZBIIKIBNPU-KCJUWKMLSA-N -1 1 309.819 1.149 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC2(CNC(=O)O2)CC1 ZINC000781858624 698656207 /nfs/dbraw/zinc/65/62/07/698656207.db2.gz YSNWLVZAONYRPA-UHFFFAOYSA-N -1 1 310.737 1.760 20 0 DDADMM CCN(Cc1cn(C)nn1)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000782103870 698684247 /nfs/dbraw/zinc/68/42/47/698684247.db2.gz XHBSWCXOZMNDRI-UHFFFAOYSA-N -1 1 314.345 1.649 20 0 DDADMM Cn1[n-]c(COC(=O)C2(Cc3ccccc3Cl)CC2)nc1=O ZINC000784278485 698924681 /nfs/dbraw/zinc/92/46/81/698924681.db2.gz JZPMOCMDPJXINE-UHFFFAOYSA-N -1 1 321.764 1.828 20 0 DDADMM CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])CC(C)(C)C1 ZINC000990678888 699129275 /nfs/dbraw/zinc/12/92/75/699129275.db2.gz AJUGGYQDGKCTPM-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM CSC1(C(=O)OCCc2c(C)nc3nc[n-]n3c2=O)CCC1 ZINC000786486520 699149760 /nfs/dbraw/zinc/14/97/60/699149760.db2.gz DMBQDTWHYPDEJT-UHFFFAOYSA-N -1 1 322.390 1.097 20 0 DDADMM Cn1[n-]c(COC(=O)c2c[nH]cc2-c2ccccc2F)nc1=O ZINC000787194443 699195913 /nfs/dbraw/zinc/19/59/13/699195913.db2.gz TUVYNTFTKFPNOS-UHFFFAOYSA-N -1 1 316.292 1.600 20 0 DDADMM C[C@@]1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000990974689 699197082 /nfs/dbraw/zinc/19/70/82/699197082.db2.gz JQTKKHWIULGCAB-MRXNPFEDSA-N -1 1 301.346 1.084 20 0 DDADMM CC(C)[C@@H](CCO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703176658 699235589 /nfs/dbraw/zinc/23/55/89/699235589.db2.gz CJAUQIQQRLAHOO-MRVPVSSYSA-N -1 1 310.778 1.559 20 0 DDADMM CC(C)[C@H](CCO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703176662 699235630 /nfs/dbraw/zinc/23/56/30/699235630.db2.gz CJAUQIQQRLAHOO-QMMMGPOBSA-N -1 1 310.778 1.559 20 0 DDADMM Cn1cc(COC(=O)c2nn(-c3ccc(F)cc3)cc2[O-])cn1 ZINC000787956223 699260038 /nfs/dbraw/zinc/26/00/38/699260038.db2.gz RXGKFDZBRSMFHT-UHFFFAOYSA-N -1 1 316.292 1.808 20 0 DDADMM CC(C)CN1C[C@@H](C(=O)Nc2nc(Cl)ccc2[O-])CC1=O ZINC000790055774 699438492 /nfs/dbraw/zinc/43/84/92/699438492.db2.gz FNWPUBMOTSOATK-VIFPVBQESA-N -1 1 311.769 1.884 20 0 DDADMM O=C(COC(=O)c1c([O-])cc(F)cc1F)NC1CCOCC1 ZINC000728098499 699438587 /nfs/dbraw/zinc/43/85/87/699438587.db2.gz SATJWAXENBVBDW-UHFFFAOYSA-N -1 1 315.272 1.122 20 0 DDADMM CC1(C)OCC([N-]S(=O)(=O)c2cc(Cl)ccc2F)CO1 ZINC000790273061 699451107 /nfs/dbraw/zinc/45/11/07/699451107.db2.gz CKLOWVCKPXNFFZ-UHFFFAOYSA-N -1 1 323.773 1.909 20 0 DDADMM COc1ccsc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000730060073 699498645 /nfs/dbraw/zinc/49/86/45/699498645.db2.gz KBEKWNKOEBABTQ-UHFFFAOYSA-N -1 1 319.338 1.870 20 0 DDADMM CCN1C(=S)N=NC1CNC(=O)c1c([O-])cccc1Cl ZINC000731227742 699524597 /nfs/dbraw/zinc/52/45/97/699524597.db2.gz RCPKTLJRKYXBMB-UHFFFAOYSA-N -1 1 312.782 1.876 20 0 DDADMM COC(=O)Cc1cccc(OS(=O)(=O)c2c[n-]nc2C)c1 ZINC000732222417 699551196 /nfs/dbraw/zinc/55/11/96/699551196.db2.gz RPVKDGNXRWSSBW-UHFFFAOYSA-N -1 1 310.331 1.201 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCCc2ccccc21 ZINC000733147807 699580707 /nfs/dbraw/zinc/58/07/07/699580707.db2.gz GJXVXRJQRPNYJV-UHFFFAOYSA-N -1 1 313.357 1.296 20 0 DDADMM CCCN(CC(=O)OC)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000735296056 699689626 /nfs/dbraw/zinc/68/96/26/699689626.db2.gz GPZBXEPESHJGGA-UHFFFAOYSA-N -1 1 321.406 1.845 20 0 DDADMM CCCC(=O)COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000738617306 699763951 /nfs/dbraw/zinc/76/39/51/699763951.db2.gz NGSBJLWDAXEZIQ-UHFFFAOYSA-N -1 1 305.377 1.646 20 0 DDADMM CC(C)CCNC(=O)[C@H](C)N1CCC([C@@H](C)NC(=O)[O-])CC1 ZINC000738817840 699766464 /nfs/dbraw/zinc/76/64/64/699766464.db2.gz HFDQCUGDVIJWJB-OLZOCXBDSA-N -1 1 313.442 1.905 20 0 DDADMM CN(C)c1noc(C[N-]C(=O)C(F)(F)c2ccccc2F)n1 ZINC000793852830 699782803 /nfs/dbraw/zinc/78/28/03/699782803.db2.gz RIBPFISSDSXQAD-UHFFFAOYSA-N -1 1 314.267 1.683 20 0 DDADMM C[C@@H]1CN(Cc2cc(=O)oc3cc([O-])c(Cl)cc23)C[C@H]1O ZINC000794562213 699816313 /nfs/dbraw/zinc/81/63/13/699816313.db2.gz NBWXWPIIPQZCTD-AMIZOPFISA-N -1 1 309.749 1.965 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CC[C@@H]2CCC[C@@H]2C1 ZINC000742120196 699861112 /nfs/dbraw/zinc/86/11/12/699861112.db2.gz OGNQMONZRJJNIK-YNEHKIRRSA-N -1 1 305.378 1.012 20 0 DDADMM CCCCCC[C@H](C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000743325679 699907307 /nfs/dbraw/zinc/90/73/07/699907307.db2.gz RBUOQXQLZFTQOL-VIFPVBQESA-N -1 1 302.400 1.753 20 0 DDADMM CCCCCC[C@H](C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000743325679 699907306 /nfs/dbraw/zinc/90/73/06/699907306.db2.gz RBUOQXQLZFTQOL-VIFPVBQESA-N -1 1 302.400 1.753 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nnsc2Cl)[n-]1 ZINC000796369045 699929915 /nfs/dbraw/zinc/92/99/15/699929915.db2.gz KNSPUGBWOGXMPN-UHFFFAOYSA-N -1 1 301.711 1.663 20 0 DDADMM O=C(NC[C@H](O)COc1cccc(F)c1)c1cc(F)ccc1[O-] ZINC000751066100 700267919 /nfs/dbraw/zinc/26/79/19/700267919.db2.gz WOQPYGAFQHVKPV-LBPRGKRZSA-N -1 1 323.295 1.840 20 0 DDADMM COC(=O)CCC(C)(C)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000751254495 700276956 /nfs/dbraw/zinc/27/69/56/700276956.db2.gz SPWRKSDTUHNGFK-UHFFFAOYSA-N -1 1 323.802 1.084 20 0 DDADMM COC(=O)/C(C)=C\COC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801203705 700277450 /nfs/dbraw/zinc/27/74/50/700277450.db2.gz OGLJZPPFOGIXHG-FLIBITNWSA-N -1 1 316.313 1.854 20 0 DDADMM CCC[C@H](NC(=O)c1cc(=O)c2ccccc2o1)c1nn[n-]n1 ZINC000751452445 700290785 /nfs/dbraw/zinc/29/07/85/700290785.db2.gz HDUNZOLRXWZNCW-JTQLQIEISA-N -1 1 313.317 1.577 20 0 DDADMM CC1(COC(=O)c2nn(-c3ccc(F)cc3)cc2[O-])COC1 ZINC000801325774 700297595 /nfs/dbraw/zinc/29/75/95/700297595.db2.gz PUNGANBBOQOTRN-UHFFFAOYSA-N -1 1 306.293 1.910 20 0 DDADMM CC(C)c1cccc(-n2cc([O-])c(C(=O)O[C@H](C)C(N)=O)n2)c1 ZINC000801410735 700305347 /nfs/dbraw/zinc/30/53/47/700305347.db2.gz HJCOAMNRHBRUDS-SNVBAGLBSA-N -1 1 317.345 1.732 20 0 DDADMM Cc1nc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c(C)s1 ZINC000751785359 700315483 /nfs/dbraw/zinc/31/54/83/700315483.db2.gz OOORFMZJRMKTIV-UHFFFAOYSA-N -1 1 318.354 1.873 20 0 DDADMM Cc1nc(Cl)ccc1CC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801703425 700333287 /nfs/dbraw/zinc/33/32/87/700333287.db2.gz JPHDUVQCMDZXPE-UHFFFAOYSA-N -1 1 315.764 1.880 20 0 DDADMM CCCCC[C@@H]([N-]S(=O)(=O)Cc1ccon1)C(=O)OC ZINC000751985556 700333440 /nfs/dbraw/zinc/33/34/40/700333440.db2.gz NCAVRSIVGUJNQO-LLVKDONJSA-N -1 1 304.368 1.216 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H]2CCc3cccnc32)o1 ZINC000802221413 700382417 /nfs/dbraw/zinc/38/24/17/700382417.db2.gz JQNBZKIIYBQSLA-SNVBAGLBSA-N -1 1 322.342 1.427 20 0 DDADMM CC[C@H](C)C(=O)COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000756379037 700618435 /nfs/dbraw/zinc/61/84/35/700618435.db2.gz IAIMLZAJLHDOFD-QMMMGPOBSA-N -1 1 319.404 1.892 20 0 DDADMM CO[C@@H](C[N-]C(=O)C(F)(F)c1nccs1)C(F)(F)F ZINC000756696371 700635868 /nfs/dbraw/zinc/63/58/68/700635868.db2.gz NEVVOKRWWCRCCK-YFKPBYRVSA-N -1 1 304.240 1.928 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C(=O)O1 ZINC000809382144 701662323 /nfs/dbraw/zinc/66/23/23/701662323.db2.gz CLGRIRGTMMAIQZ-CDUCUWFYSA-N -1 1 309.265 1.086 20 0 DDADMM Cc1nn(CC(F)(F)F)c(C)c1CC(=O)[N-]OCC(F)F ZINC000759350142 700748353 /nfs/dbraw/zinc/74/83/53/700748353.db2.gz XITGJJKRXWDRMU-UHFFFAOYSA-N -1 1 315.242 1.918 20 0 DDADMM Cc1nc(COC(=O)c2sccc2[N-]S(C)(=O)=O)no1 ZINC000759496732 700754675 /nfs/dbraw/zinc/75/46/75/700754675.db2.gz JJHVWSRKPQUOIE-UHFFFAOYSA-N -1 1 317.348 1.168 20 0 DDADMM COC(=O)N1CCC[C@@H](NC(=O)c2c(F)ccc([O-])c2F)C1 ZINC000809647446 701677852 /nfs/dbraw/zinc/67/78/52/701677852.db2.gz CCIQFAQOIVGFQM-MRVPVSSYSA-N -1 1 314.288 1.631 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc(C(F)(F)F)c2)nc1=O ZINC000765388266 701009137 /nfs/dbraw/zinc/00/91/37/701009137.db2.gz AEWLGJOAFCKNDG-UHFFFAOYSA-N -1 1 301.224 1.484 20 0 DDADMM Cn1[n-]c(COC(=O)CCc2ccc3ccccc3c2)nc1=O ZINC000765461387 701013769 /nfs/dbraw/zinc/01/37/69/701013769.db2.gz SPQVBOFWRVSWHO-UHFFFAOYSA-N -1 1 311.341 1.938 20 0 DDADMM Cn1[n-]c(COC(=O)c2ncoc2-c2ccccc2)nc1=O ZINC000765474361 701014518 /nfs/dbraw/zinc/01/45/18/701014518.db2.gz OFPMBEUWFKINJB-UHFFFAOYSA-N -1 1 300.274 1.120 20 0 DDADMM O=C(C=Cc1ccc2[nH]ccc2c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000765790580 701027345 /nfs/dbraw/zinc/02/73/45/701027345.db2.gz OIWOADPCFMQOBZ-CTGSTSKSSA-N -1 1 324.344 1.294 20 0 DDADMM CON(C)C(=O)[C@H](CCSC)[N-]C(=O)C(F)(F)C(F)F ZINC000766262187 701044367 /nfs/dbraw/zinc/04/43/67/701044367.db2.gz DRHNHBWFVSWITN-LURJTMIESA-N -1 1 320.308 1.145 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC[C@H](C)[S@](C)=O ZINC000766738405 701061558 /nfs/dbraw/zinc/06/15/58/701061558.db2.gz YEUYLWZJZDVBKV-FPVGNUTFSA-N -1 1 313.423 1.501 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000803102376 701081290 /nfs/dbraw/zinc/08/12/90/701081290.db2.gz PHRDFFXWQMJSBK-ZJUUUORDSA-N -1 1 323.393 1.932 20 0 DDADMM Cn1cc(O)c(=O)cc1CNC(=O)c1c([O-])cccc1Cl ZINC000769954715 701261954 /nfs/dbraw/zinc/26/19/54/701261954.db2.gz SYVLEFFICTVAON-UHFFFAOYSA-N -1 1 308.721 1.380 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)c1ccc(OC(F)F)cc1 ZINC000805604669 701397813 /nfs/dbraw/zinc/39/78/13/701397813.db2.gz OQYDXMHEKSGQHV-ZETCQYMHSA-N -1 1 311.244 1.834 20 0 DDADMM O=C(OC[C@H]1CCN(C(=O)c2ccccc2)C1)c1cn[n-]n1 ZINC000805605854 701398437 /nfs/dbraw/zinc/39/84/37/701398437.db2.gz QTAWSCJTMSIQIC-NSHDSACASA-N -1 1 300.318 1.124 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)N1CCCc2ccccc21 ZINC000805606387 701399212 /nfs/dbraw/zinc/39/92/12/701399212.db2.gz WVRGGQDOKQIMJX-JTQLQIEISA-N -1 1 300.318 1.329 20 0 DDADMM CC(C)(C)OC(=O)COCCNc1cc(Cl)[n-]c(=O)n1 ZINC000866028975 706599061 /nfs/dbraw/zinc/59/90/61/706599061.db2.gz JBOUNLIWUCGHNK-UHFFFAOYSA-N -1 1 303.746 1.606 20 0 DDADMM COCCC1(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)CCC1 ZINC000806482379 701436976 /nfs/dbraw/zinc/43/69/76/701436976.db2.gz OZSWLJCQIZZDNW-UHFFFAOYSA-N -1 1 303.366 1.423 20 0 DDADMM COC(OC)[C@@H](C)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000808152456 701501721 /nfs/dbraw/zinc/50/17/21/701501721.db2.gz KOMHOMDVMQCVJV-SECBINFHSA-N -1 1 311.382 1.525 20 0 DDADMM O=S(=O)([N-][C@]12CCC[C@H]1OCC2)c1ccc(F)nc1F ZINC000867883019 701809487 /nfs/dbraw/zinc/80/94/87/701809487.db2.gz ABZRMDGZLCRCEO-SKDRFNHKSA-N -1 1 304.318 1.350 20 0 DDADMM Cc1c[nH]nc1C(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000810905806 701872642 /nfs/dbraw/zinc/87/26/42/701872642.db2.gz DPRNREMBXWNFPO-VIFPVBQESA-N -1 1 318.299 1.249 20 0 DDADMM Cc1cnc(C(=O)NCc2cc(C(F)(F)F)nn2C)c([O-])c1 ZINC000831035585 706624265 /nfs/dbraw/zinc/62/42/65/706624265.db2.gz XDRYZRBWTSNJQG-UHFFFAOYSA-N -1 1 314.267 1.778 20 0 DDADMM C/C=C/C[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OCC ZINC000831110904 706636917 /nfs/dbraw/zinc/63/69/17/706636917.db2.gz OQOXKMXWHKDFAN-RYEJSQLPSA-N -1 1 321.786 1.239 20 0 DDADMM O=C([N-]C[C@H]1CCCN(c2nnc(C3CC3)o2)C1)C(F)(F)F ZINC000811856050 702072658 /nfs/dbraw/zinc/07/26/58/702072658.db2.gz JKVCSYFBCPRLGP-MRVPVSSYSA-N -1 1 318.299 1.842 20 0 DDADMM CCCCCCO[C@@H](C)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000840336104 702073520 /nfs/dbraw/zinc/07/35/20/702073520.db2.gz VZSNUAKHPWKJFP-NWDGAFQWSA-N -1 1 311.386 1.085 20 0 DDADMM C[C@@H](C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)c1ccncc1 ZINC000831122189 706639456 /nfs/dbraw/zinc/63/94/56/706639456.db2.gz YLFAINDNEVBJPB-SECBINFHSA-N -1 1 315.295 1.322 20 0 DDADMM CC1(C)CCC(CC(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1 ZINC000816493373 702096226 /nfs/dbraw/zinc/09/62/26/702096226.db2.gz YSYPIHVNMVFHKR-UHFFFAOYSA-N -1 1 321.425 1.616 20 0 DDADMM Cc1ccc(CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)s1 ZINC000831148913 706644619 /nfs/dbraw/zinc/64/46/19/706644619.db2.gz BYQDCGSAVXBSIA-UHFFFAOYSA-N -1 1 320.336 1.736 20 0 DDADMM C[C@H]1[C@H](CO)CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000840556308 702152163 /nfs/dbraw/zinc/15/21/63/702152163.db2.gz CHLCKDMQIXXIIG-ONGXEEELSA-N -1 1 306.391 1.251 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C)NC(=O)OCc1ccccc1 ZINC000816741556 702173678 /nfs/dbraw/zinc/17/36/78/702173678.db2.gz QPGPNDNPOCFUKG-JTQLQIEISA-N -1 1 318.333 1.677 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CC1CN(C(=O)OC(C)(C)C)C1 ZINC000816741119 702173905 /nfs/dbraw/zinc/17/39/05/702173905.db2.gz YLZCHFZOCOPBDG-UHFFFAOYSA-N -1 1 310.354 1.619 20 0 DDADMM Cc1ccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)s1 ZINC000831162092 706647011 /nfs/dbraw/zinc/64/70/11/706647011.db2.gz AWEYGOZKQXKHIH-UHFFFAOYSA-N -1 1 306.309 1.807 20 0 DDADMM O=C(Nc1nnc2ccccn21)c1ccc2n[n-]c(=S)n2c1 ZINC000813415543 702344854 /nfs/dbraw/zinc/34/48/54/702344854.db2.gz UCPFRFUOZMWKED-UHFFFAOYSA-N -1 1 311.330 1.313 20 0 DDADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)[N-]OCC1CC1 ZINC000817344854 702346812 /nfs/dbraw/zinc/34/68/12/702346812.db2.gz XKYQQLZBCOLDHC-SECBINFHSA-N -1 1 302.334 1.139 20 0 DDADMM O=C([N-]CC1CN(c2ncnc3c2CCCC3)C1)C(F)(F)F ZINC000866294758 706667534 /nfs/dbraw/zinc/66/75/34/706667534.db2.gz WGEGBEBTCLSRRS-UHFFFAOYSA-N -1 1 314.311 1.470 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)C[C@H]1CCCC1(F)F ZINC000841533316 702483470 /nfs/dbraw/zinc/48/34/70/702483470.db2.gz FFAUKHFQPYUDME-RKDXNWHRSA-N -1 1 311.350 1.293 20 0 DDADMM Cc1ncc([C@@H](C)NC(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000879415785 706673952 /nfs/dbraw/zinc/67/39/52/706673952.db2.gz CNDJNLZPNMKJHO-MRVPVSSYSA-N -1 1 316.390 1.989 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C(C)(C)C(C)(C)C)CCC1 ZINC000843014268 702803401 /nfs/dbraw/zinc/80/34/01/702803401.db2.gz MSZGFHYANUAFCC-UHFFFAOYSA-N -1 1 318.483 1.989 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843015737 702803809 /nfs/dbraw/zinc/80/38/09/702803809.db2.gz WPALNJMVMFKQDJ-CHWSQXEVSA-N -1 1 316.467 1.599 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cn2c(n1)CCC2 ZINC000843867511 702935509 /nfs/dbraw/zinc/93/55/09/702935509.db2.gz YTGFDNXEFCGZDM-UHFFFAOYSA-N -1 1 323.150 1.945 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-]CC1CCC1)c1ccccc1F ZINC000866482566 706709822 /nfs/dbraw/zinc/70/98/22/706709822.db2.gz MWRMHUMOCSUNNF-LJQANCHMSA-N -1 1 320.411 1.917 20 0 DDADMM CCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000844080111 702968910 /nfs/dbraw/zinc/96/89/10/702968910.db2.gz MQFMMBMVPTVKCG-LLVKDONJSA-N -1 1 320.345 1.269 20 0 DDADMM CN(C)c1cc(CN2CC[C@](C(=O)[O-])(C(F)(F)F)C2)ccn1 ZINC000846277778 703259698 /nfs/dbraw/zinc/25/96/98/703259698.db2.gz LFBMEDKLTCAXLX-ZDUSSCGKSA-N -1 1 317.311 1.987 20 0 DDADMM COC(=O)[C@H](CCF)[N-]C(=O)C(F)(F)c1ccc(F)cc1 ZINC000846433066 703275427 /nfs/dbraw/zinc/27/54/27/703275427.db2.gz OPBUZQWWVKVSED-JTQLQIEISA-N -1 1 307.243 1.935 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CC(C)(C)COC(C)=O)[n-]1 ZINC000846651019 703308304 /nfs/dbraw/zinc/30/83/04/703308304.db2.gz ONIXNWNWUGESDJ-UHFFFAOYSA-N -1 1 311.338 1.504 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CC(C)(C)COC(C)=O)n1 ZINC000846651019 703308305 /nfs/dbraw/zinc/30/83/05/703308305.db2.gz ONIXNWNWUGESDJ-UHFFFAOYSA-N -1 1 311.338 1.504 20 0 DDADMM CC(C)(C)OC(=O)NCc1nocc1C(=O)[N-]c1nc[nH]n1 ZINC000847358068 703406967 /nfs/dbraw/zinc/40/69/67/703406967.db2.gz STCZSGHAJBFLNY-UHFFFAOYSA-N -1 1 308.298 1.070 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC[C@@H](C)COC)co1 ZINC000847875199 703479554 /nfs/dbraw/zinc/47/95/54/703479554.db2.gz QFUXSRYWFJTAQV-SECBINFHSA-N -1 1 305.352 1.017 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000866914584 706843533 /nfs/dbraw/zinc/84/35/33/706843533.db2.gz ZDMBRUDTXBPKHA-JTQLQIEISA-N -1 1 318.420 1.462 20 0 DDADMM CC[C@](C)(OC)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848339704 703540423 /nfs/dbraw/zinc/54/04/23/703540423.db2.gz NKYJCZNNFWFPFC-BREBYQMCSA-N -1 1 324.343 1.860 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2COC3(CCC3)C2)sc1C ZINC000849447635 703648877 /nfs/dbraw/zinc/64/88/77/703648877.db2.gz LRZISUHXWVISFG-SNVBAGLBSA-N -1 1 302.421 1.750 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H](O)c2ccccc21)c1c[nH]nc1Cl ZINC000849454793 703650178 /nfs/dbraw/zinc/65/01/78/703650178.db2.gz NXQMEAGHRDISGD-NXEZZACHSA-N -1 1 313.766 1.520 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)/C=C\Cn2cncn2)c1 ZINC000850162130 703709286 /nfs/dbraw/zinc/70/92/86/703709286.db2.gz DFEPWYHADHACSU-IHWYPQMZSA-N -1 1 315.329 1.528 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)C3CCC(C(=O)[O-])CC3)C2)n[nH]1 ZINC000851692637 703831218 /nfs/dbraw/zinc/83/12/18/703831218.db2.gz HMASRIUKXUHTTR-BPCQOVAHSA-N -1 1 320.393 1.710 20 0 DDADMM C[C@@H](CCc1ccc(F)cc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000869862452 703867220 /nfs/dbraw/zinc/86/72/20/703867220.db2.gz KLCXANICMSRFLT-JTQLQIEISA-N -1 1 307.325 1.560 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869887171 703871725 /nfs/dbraw/zinc/87/17/25/703871725.db2.gz SENQMOVOEKOSMG-PXIYARARSA-N -1 1 321.295 1.459 20 0 DDADMM CC[C@@H](C)NC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000851922910 703883819 /nfs/dbraw/zinc/88/38/19/703883819.db2.gz QXFCNYBFOZBDJD-BBBLOLIVSA-N -1 1 309.332 1.883 20 0 DDADMM CCOCC(=O)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870160383 703938694 /nfs/dbraw/zinc/93/86/94/703938694.db2.gz MIVJPDQNHKCZDF-UHFFFAOYSA-N -1 1 312.797 1.726 20 0 DDADMM CCC[C@@H](C(=O)OCC)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763692 706784550 /nfs/dbraw/zinc/78/45/50/706784550.db2.gz GZKPIRYZSVPPMS-GXFFZTMASA-N -1 1 324.343 1.861 20 0 DDADMM CC(C)N(C)C(=O)[C@@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763228 706784737 /nfs/dbraw/zinc/78/47/37/706784737.db2.gz CYTXLBQWYOOEAU-ZWNOBZJWSA-N -1 1 323.359 1.385 20 0 DDADMM CN1CCN(Cc2cc(=O)oc3cc([O-])ccc23)CCC1=O ZINC000852580838 704081209 /nfs/dbraw/zinc/08/12/09/704081209.db2.gz PHGDSBKARYQNBP-UHFFFAOYSA-N -1 1 302.330 1.163 20 0 DDADMM C[C@H]1CCN(CC(=O)Nc2ccc(Cl)nc2)C[C@H]1C(=O)[O-] ZINC000852731009 704108463 /nfs/dbraw/zinc/10/84/63/704108463.db2.gz XUEHONUNWHWTPO-GXSJLCMTSA-N -1 1 311.769 1.716 20 0 DDADMM O=C([O-])[C@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CC1CC=CC1 ZINC000852733603 704109002 /nfs/dbraw/zinc/10/90/02/704109002.db2.gz SUEDHUKOAAUDKB-GHMZBOCLSA-N -1 1 320.311 1.549 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866762736 706792208 /nfs/dbraw/zinc/79/22/08/706792208.db2.gz AKBFBEPSHBQMLR-UHFFFAOYSA-N -1 1 313.162 1.230 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCC[C@H](C2CC2)C1 ZINC000819797759 704174393 /nfs/dbraw/zinc/17/43/93/704174393.db2.gz HYYHXMMBXNRIQK-QWHCGFSZSA-N -1 1 305.378 1.012 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC(=O)OC(C)C)c(F)c1 ZINC000819865656 704178882 /nfs/dbraw/zinc/17/88/82/704178882.db2.gz SWKQRLPFGFZTNA-UHFFFAOYSA-N -1 1 307.318 1.503 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC(=O)OCC2CC2)c(F)c1 ZINC000819865268 704179007 /nfs/dbraw/zinc/17/90/07/704179007.db2.gz NQYVCZLMJDKZNC-UHFFFAOYSA-N -1 1 319.329 1.505 20 0 DDADMM CC(C)n1ncc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1N ZINC000819971207 704194687 /nfs/dbraw/zinc/19/46/87/704194687.db2.gz ZWKRWPCBVILICL-SECBINFHSA-N -1 1 320.353 1.155 20 0 DDADMM CSc1nc(C)c(CCC(=O)O[C@@H]2COC[C@H]2C)c(=O)[n-]1 ZINC000853193784 704214880 /nfs/dbraw/zinc/21/48/80/704214880.db2.gz ZDXXNBQVKMUKHU-LDYMZIIASA-N -1 1 312.391 1.723 20 0 DDADMM O=C(c1cccn2nccc12)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000879854597 706802641 /nfs/dbraw/zinc/80/26/41/706802641.db2.gz HKKNPXXZYYLQKO-SNVBAGLBSA-N -1 1 313.317 1.443 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cc(C)no2)c1 ZINC000821107572 704372533 /nfs/dbraw/zinc/37/25/33/704372533.db2.gz DZDMWJOVXILIFG-NRFANRHFSA-N -1 1 309.343 1.843 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2ncc(C)cn2)c1 ZINC000821352735 704395613 /nfs/dbraw/zinc/39/56/13/704395613.db2.gz NFZKLIJNPGECSW-QFIPXVFZSA-N -1 1 320.370 1.645 20 0 DDADMM O=C(N[C@@H]1C[C@@H](O)C12CCC2)c1ccc2n[n-]c(=S)n2c1 ZINC000855919514 704506777 /nfs/dbraw/zinc/50/67/77/704506777.db2.gz DNCJRQNMICUHDJ-NXEZZACHSA-N -1 1 304.375 1.051 20 0 DDADMM COC(=O)N(C)CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000855934555 704507526 /nfs/dbraw/zinc/50/75/26/704507526.db2.gz QTQIYSOXWFSPHR-UHFFFAOYSA-N -1 1 303.318 1.368 20 0 DDADMM CCC[C@@H](OC)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856415987 704528048 /nfs/dbraw/zinc/52/80/48/704528048.db2.gz QKIJHNXGFLATFL-OUAUKWLOSA-N -1 1 324.343 1.717 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)n[nH]1 ZINC000856419598 704528299 /nfs/dbraw/zinc/52/82/99/704528299.db2.gz QDYUFTSQYAENQE-XCBNKYQSSA-N -1 1 318.299 1.247 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@](C)(O)c2ccccc2)sn1 ZINC000866843850 706822562 /nfs/dbraw/zinc/82/25/62/706822562.db2.gz NPKMVROKRYFDKY-CYBMUJFWSA-N -1 1 312.416 1.638 20 0 DDADMM CN(OCC(F)(F)F)C(=O)CNC(=O)c1ccc([O-])c(F)c1 ZINC000857425527 704588787 /nfs/dbraw/zinc/58/87/87/704588787.db2.gz IDVMBUKKTZOEEZ-UHFFFAOYSA-N -1 1 324.230 1.213 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3csc(C4CC4)n3)nc2n1 ZINC000857621253 704608261 /nfs/dbraw/zinc/60/82/61/704608261.db2.gz BKLNSWMZOFFKRS-UHFFFAOYSA-N -1 1 316.346 1.312 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1Cc2ccncc2C1 ZINC000857880918 704636929 /nfs/dbraw/zinc/63/69/29/704636929.db2.gz OZDBJUQULJHFRK-UHFFFAOYSA-N -1 1 302.359 1.764 20 0 DDADMM Cc1nc(N)sc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000832055089 706833793 /nfs/dbraw/zinc/83/37/93/706833793.db2.gz XZSJSQTYCIWBNH-ZETCQYMHSA-N -1 1 309.351 1.142 20 0 DDADMM CCC1(CC)CN(c2cc(Cl)[n-]c(=O)n2)CC[S@@]1=O ZINC000858486896 704717412 /nfs/dbraw/zinc/71/74/12/704717412.db2.gz QQAXXTKBASMVKW-IBGZPJMESA-N -1 1 303.815 1.963 20 0 DDADMM CC(C)(C[C@@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1)C(N)=O ZINC000858558730 704727428 /nfs/dbraw/zinc/72/74/28/704727428.db2.gz XTFHYMLGMCMSML-VIFPVBQESA-N -1 1 312.801 1.954 20 0 DDADMM CC(C)n1ncnc1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867275235 706945073 /nfs/dbraw/zinc/94/50/73/706945073.db2.gz TXGHTERFIDEZSS-UHFFFAOYSA-N -1 1 317.321 1.011 20 0 DDADMM CC[C@@H]1CCC[C@@H]1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867331562 706963564 /nfs/dbraw/zinc/96/35/64/706963564.db2.gz NQSKKUBZCJFJKC-VXGBXAGGSA-N -1 1 308.469 1.909 20 0 DDADMM Cc1nc(C)c(C[N-]S(=O)(=O)c2c(C)nn(C)c2Cl)o1 ZINC000859176687 704812768 /nfs/dbraw/zinc/81/27/68/704812768.db2.gz XBSSIYIZDPDKEM-UHFFFAOYSA-N -1 1 318.786 1.465 20 0 DDADMM CC(C)C[C@H](C(=O)[O-])[N@H+]1C[C@H](C(=O)[O-])C[C@H](c2cnn(C)c2)C1 ZINC000873645829 704815615 /nfs/dbraw/zinc/81/56/15/704815615.db2.gz RJXRBMPQMPTXDN-OUCADQQQSA-N -1 1 323.393 1.410 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc2occc2c1 ZINC000867504183 707021487 /nfs/dbraw/zinc/02/14/87/707021487.db2.gz FNJSVELEJIJHLM-LJQANCHMSA-N -1 1 316.404 1.885 20 0 DDADMM Cc1cccc(Cl)c1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000823192510 705167768 /nfs/dbraw/zinc/16/77/68/705167768.db2.gz WLKHGWBQVMVBOT-SNVBAGLBSA-N -1 1 307.741 1.375 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000861025327 705352385 /nfs/dbraw/zinc/35/23/85/705352385.db2.gz HIAZIVRNXABVDC-COMAGPEQSA-N -1 1 303.366 1.275 20 0 DDADMM COCCN(C)c1nc(O)c(CC(=O)NCCC(C)C)s1 ZINC000871597764 707134144 /nfs/dbraw/zinc/13/41/44/707134144.db2.gz WYUFFTKXCWJDRZ-LLVKDONJSA-N -1 1 315.439 1.115 20 0 DDADMM CC[C@@H](C)CONC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875613328 705449024 /nfs/dbraw/zinc/44/90/24/705449024.db2.gz BKHMXSPEGQEBQK-MRVPVSSYSA-N -1 1 311.304 1.284 20 0 DDADMM CCOC1CC2(C[C@H]2C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000875632484 705456901 /nfs/dbraw/zinc/45/69/01/705456901.db2.gz CRIMFADBQVNCGE-YWFMTQBDSA-N -1 1 300.362 1.486 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C)c(C)cn2)cc(=O)[n-]1 ZINC000824602786 705491343 /nfs/dbraw/zinc/49/13/43/705491343.db2.gz IUFSKSIUGUYITE-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM CCC1(CNC(=O)Cc2noc(C)c2-c2nnn[n-]2)CCCC1 ZINC000825170463 705615465 /nfs/dbraw/zinc/61/54/65/705615465.db2.gz ADWFEMBEQDTLOY-UHFFFAOYSA-N -1 1 318.381 1.792 20 0 DDADMM CCC1(CNC(=O)Cc2noc(C)c2-c2nn[n-]n2)CCCC1 ZINC000825170463 705615468 /nfs/dbraw/zinc/61/54/68/705615468.db2.gz ADWFEMBEQDTLOY-UHFFFAOYSA-N -1 1 318.381 1.792 20 0 DDADMM O=C(NC[C@@H]1CC1(Cl)Cl)c1ccc2n[n-]c(=S)n2c1 ZINC000825425171 705669128 /nfs/dbraw/zinc/66/91/28/705669128.db2.gz FOFXBHUWNPYQIU-ZETCQYMHSA-N -1 1 317.201 1.942 20 0 DDADMM CCOC(=O)c1cnn(CCCCCOC)c1-c1nn[n-]n1 ZINC000825569849 705694729 /nfs/dbraw/zinc/69/47/29/705694729.db2.gz BMDFHQFQQXQBBF-UHFFFAOYSA-N -1 1 308.342 1.057 20 0 DDADMM CN(C(=O)NCc1ccc([O-])c(Cl)c1)[C@H]1CCN(C)C1=O ZINC000876394213 705707890 /nfs/dbraw/zinc/70/78/90/705707890.db2.gz RUXFWNCFENHIJF-NSHDSACASA-N -1 1 311.769 1.418 20 0 DDADMM C[C@@H](O)[C@H]1CN(C(=O)NCc2ccc([O-])c(Cl)c2)CCO1 ZINC000876396263 705708559 /nfs/dbraw/zinc/70/85/59/705708559.db2.gz BHQWMAAOISZKRD-NOZJJQNGSA-N -1 1 314.769 1.337 20 0 DDADMM CC1(C)CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCCO1 ZINC000825898528 705743074 /nfs/dbraw/zinc/74/30/74/705743074.db2.gz BWOHJVQVQDVXBS-UHFFFAOYSA-N -1 1 306.391 1.659 20 0 DDADMM C[C@@](O)(C(=O)Nc1nnn[n-]1)c1ccccc1C(F)(F)F ZINC000826042276 705762801 /nfs/dbraw/zinc/76/28/01/705762801.db2.gz VZUXBEMDZALKEW-JTQLQIEISA-N -1 1 301.228 1.065 20 0 DDADMM C[C@@](O)(C(=O)Nc1nn[n-]n1)c1ccccc1C(F)(F)F ZINC000826042276 705762802 /nfs/dbraw/zinc/76/28/02/705762802.db2.gz VZUXBEMDZALKEW-JTQLQIEISA-N -1 1 301.228 1.065 20 0 DDADMM Cc1sc(C(=O)[N-]N2CCOC2=O)cc1Br ZINC000862705243 705781158 /nfs/dbraw/zinc/78/11/58/705781158.db2.gz PLTRTXSJWUMQPY-UHFFFAOYSA-N -1 1 305.153 1.916 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(C)cc2O)c1-c1nnn[n-]1 ZINC000826290836 705787584 /nfs/dbraw/zinc/78/75/84/705787584.db2.gz BYHMZPRLWXQYFI-UHFFFAOYSA-N -1 1 314.305 1.358 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(C)cc2O)c1-c1nn[n-]n1 ZINC000826290836 705787586 /nfs/dbraw/zinc/78/75/86/705787586.db2.gz BYHMZPRLWXQYFI-UHFFFAOYSA-N -1 1 314.305 1.358 20 0 DDADMM Cc1cn2c(n1)CN(c1snc(Cl)c1-c1nnn[n-]1)CC2 ZINC000826317327 705791033 /nfs/dbraw/zinc/79/10/33/705791033.db2.gz YFBSIMGWVJEKIC-UHFFFAOYSA-N -1 1 322.785 1.502 20 0 DDADMM Cc1cn2c(n1)CN(c1snc(Cl)c1-c1nn[n-]n1)CC2 ZINC000826317327 705791035 /nfs/dbraw/zinc/79/10/35/705791035.db2.gz YFBSIMGWVJEKIC-UHFFFAOYSA-N -1 1 322.785 1.502 20 0 DDADMM Cc1onc(CC(=O)N2C3CCCC2CCC3)c1-c1nnn[n-]1 ZINC000826343799 705792885 /nfs/dbraw/zinc/79/28/85/705792885.db2.gz NQNQGZNEVMUDGR-UHFFFAOYSA-N -1 1 316.365 1.639 20 0 DDADMM Cc1onc(CC(=O)N2C3CCCC2CCC3)c1-c1nn[n-]n1 ZINC000826343799 705792886 /nfs/dbraw/zinc/79/28/86/705792886.db2.gz NQNQGZNEVMUDGR-UHFFFAOYSA-N -1 1 316.365 1.639 20 0 DDADMM Cc1onc(CC(=O)NC[C@@H]2CC=CCC2)c1-c1nnn[n-]1 ZINC000826347260 705794336 /nfs/dbraw/zinc/79/43/36/705794336.db2.gz KQYCZGNDMCQPJU-SNVBAGLBSA-N -1 1 302.338 1.178 20 0 DDADMM Cc1onc(CC(=O)NC[C@@H]2CC=CCC2)c1-c1nn[n-]n1 ZINC000826347260 705794338 /nfs/dbraw/zinc/79/43/38/705794338.db2.gz KQYCZGNDMCQPJU-SNVBAGLBSA-N -1 1 302.338 1.178 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc(F)c2C)c1-c1nnn[n-]1 ZINC000826349220 705795380 /nfs/dbraw/zinc/79/53/80/705795380.db2.gz ZZFZDXOENIADMN-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc(F)c2C)c1-c1nn[n-]n1 ZINC000826349220 705795381 /nfs/dbraw/zinc/79/53/81/705795381.db2.gz ZZFZDXOENIADMN-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM O=[S@](Cc1ccnc(-c2nnn[n-]2)c1)c1ccc(CO)cc1 ZINC000826496388 705804694 /nfs/dbraw/zinc/80/46/94/705804694.db2.gz UNNIEVJSUNJUSU-JOCHJYFZSA-N -1 1 315.358 1.062 20 0 DDADMM O=[S@](Cc1ccnc(-c2nn[n-]n2)c1)c1ccc(CO)cc1 ZINC000826496388 705804695 /nfs/dbraw/zinc/80/46/95/705804695.db2.gz UNNIEVJSUNJUSU-JOCHJYFZSA-N -1 1 315.358 1.062 20 0 DDADMM CC[C@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000828075692 706113999 /nfs/dbraw/zinc/11/39/99/706113999.db2.gz HSHOMQIXVCWOHW-IUCAKERBSA-N -1 1 309.819 1.149 20 0 DDADMM C[C@@H](NC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000864428097 706165826 /nfs/dbraw/zinc/16/58/26/706165826.db2.gz AKHOBUOOFYPIRM-PRHODGIISA-N -1 1 307.316 1.637 20 0 DDADMM Cn1cc(CN[C@]2(C(=O)[O-])CCSC2)c(Br)n1 ZINC000864511442 706185262 /nfs/dbraw/zinc/18/52/62/706185262.db2.gz XWYUKZFGTHPGPR-SNVBAGLBSA-N -1 1 320.212 1.233 20 0 DDADMM O=C([O-])C1=CC[C@@H](NCc2cn(-c3ccc(F)cc3)nn2)C1 ZINC000864514731 706185763 /nfs/dbraw/zinc/18/57/63/706185763.db2.gz TYGZJOFTGUJQRM-GFCCVEGCSA-N -1 1 302.309 1.669 20 0 DDADMM O=c1[n-]c(Cn2cc(-c3ccsc3)nn2)nc2c1COCC2 ZINC000864654440 706225728 /nfs/dbraw/zinc/22/57/28/706225728.db2.gz HDFJMAQEQLVIQH-UHFFFAOYSA-N -1 1 315.358 1.623 20 0 DDADMM C[C@H](CO)NC(=O)c1ccc(I)cc1[O-] ZINC000864819544 706275840 /nfs/dbraw/zinc/27/58/40/706275840.db2.gz VQZFHLLGQHFODV-ZCFIWIBFSA-N -1 1 321.114 1.107 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@]1(C)CC1(Cl)Cl)c1nn[n-]n1 ZINC000829165724 706296548 /nfs/dbraw/zinc/29/65/48/706296548.db2.gz AIMOYGBAYVUEQT-OIBJUYFYSA-N -1 1 306.197 1.736 20 0 DDADMM COC(=O)C1(NCc2nc3c(c(=O)[n-]2)COCC3)CCCCC1 ZINC000878112344 706297577 /nfs/dbraw/zinc/29/75/77/706297577.db2.gz IMCPDRJILVLGCV-UHFFFAOYSA-N -1 1 321.377 1.220 20 0 DDADMM COC(=O)CN(Cc1nc2c(c(=O)[n-]1)COCC2)C1CCCC1 ZINC000878193458 706321986 /nfs/dbraw/zinc/32/19/86/706321986.db2.gz CDKIWOYDZKXCND-UHFFFAOYSA-N -1 1 321.377 1.173 20 0 DDADMM CCC(C)(C)NC(=S)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000865275380 706394086 /nfs/dbraw/zinc/39/40/86/706394086.db2.gz KZUYWTASHKBPEA-UHFFFAOYSA-N -1 1 310.423 1.408 20 0 DDADMM CCC[C@@H](NC(=O)c1conc1C(F)(F)F)c1nn[n-]n1 ZINC000829802032 706398783 /nfs/dbraw/zinc/39/87/83/706398783.db2.gz VBZMVTCDFVJIGH-ZCFIWIBFSA-N -1 1 304.232 1.478 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC(C)(C)C ZINC000830429481 706516255 /nfs/dbraw/zinc/51/62/55/706516255.db2.gz UBEKHRCMEPVHHA-LURJTMIESA-N -1 1 309.775 1.072 20 0 DDADMM C[C@@]1(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CCOC1 ZINC000830807318 706583208 /nfs/dbraw/zinc/58/32/08/706583208.db2.gz HMOBOBZEIIBOKY-NEPJUHHUSA-N -1 1 308.300 1.083 20 0 DDADMM COC[C@@H](C)CC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830815375 706584715 /nfs/dbraw/zinc/58/47/15/706584715.db2.gz AAXBFBAPIDGUQN-JOYOIKCWSA-N -1 1 310.316 1.329 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@]2(C)CCCO2)C1 ZINC000830815399 706584746 /nfs/dbraw/zinc/58/47/46/706584746.db2.gz AQCMGPAZAFLTDO-NEPJUHHUSA-N -1 1 308.300 1.225 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CCn2cccc2)C1 ZINC000830819848 706586297 /nfs/dbraw/zinc/58/62/97/706586297.db2.gz BZHZDSDWZIECLA-ZDUSSCGKSA-N -1 1 317.311 1.548 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC000832075995 706838315 /nfs/dbraw/zinc/83/83/15/706838315.db2.gz MASRTLDQDQYRMI-VHSXEESVSA-N -1 1 313.350 1.038 20 0 DDADMM CC(C)[C@@H](CO)[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866927583 706847285 /nfs/dbraw/zinc/84/72/85/706847285.db2.gz GUKWKWTURKLJFG-MRVPVSSYSA-N -1 1 313.206 1.684 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCOCC1 ZINC000866938722 706850450 /nfs/dbraw/zinc/85/04/50/706850450.db2.gz YLKPHZWLUIOITJ-UHFFFAOYSA-N -1 1 306.334 1.455 20 0 DDADMM CC[C@H](O)CC[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000866968585 706859179 /nfs/dbraw/zinc/85/91/79/706859179.db2.gz PZPWPNAPRDJHOS-WLRWDXFRSA-N -1 1 320.436 1.139 20 0 DDADMM CCC1(CC)[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)C[C@H]1OC ZINC000866971275 706860289 /nfs/dbraw/zinc/86/02/89/706860289.db2.gz AJBJSEFEIVEWMK-NXEZZACHSA-N -1 1 312.457 1.142 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCSCC(C)C ZINC000867015217 706873009 /nfs/dbraw/zinc/87/30/09/706873009.db2.gz BQBXOLCAWSKUJS-UHFFFAOYSA-N -1 1 316.514 1.718 20 0 DDADMM C[C@H](NCc1nc(=O)n(C)[n-]1)c1ccc(C(F)(F)F)nc1 ZINC000880103630 706879056 /nfs/dbraw/zinc/87/90/56/706879056.db2.gz VGTRFPFLQUMSAJ-ZETCQYMHSA-N -1 1 301.272 1.373 20 0 DDADMM C[C@H]1C[C@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867046824 706881445 /nfs/dbraw/zinc/88/14/45/706881445.db2.gz DBPBVVJOFLPJFX-DTWKUNHWSA-N -1 1 306.334 1.453 20 0 DDADMM CCCCn1cc(C[N-]S(=O)(=O)N=S(C)(C)=O)c(C)n1 ZINC000867054799 706883433 /nfs/dbraw/zinc/88/34/33/706883433.db2.gz PBQQRAAODANMIG-UHFFFAOYSA-N -1 1 322.456 1.054 20 0 DDADMM CO[C@@H]1CCC[C@H]1C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867057873 706885090 /nfs/dbraw/zinc/88/50/90/706885090.db2.gz DWKXAGFLEPVKDZ-DTWKUNHWSA-N -1 1 322.789 1.968 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H](C)c1nccs1 ZINC000867100056 706896242 /nfs/dbraw/zinc/89/62/42/706896242.db2.gz FZCNNRAZTVBJHX-WNWIJWBNSA-N -1 1 311.454 1.199 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2ccc(F)nc2F)cn1 ZINC000867264795 706941649 /nfs/dbraw/zinc/94/16/49/706941649.db2.gz XRZJQOQJGCOWCD-UHFFFAOYSA-N -1 1 302.306 1.055 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)c2ccccc2O1 ZINC000867331152 706963361 /nfs/dbraw/zinc/96/33/61/706963361.db2.gz PMBDRCTYFNXXCM-MWLCHTKSSA-N -1 1 318.420 1.461 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@]1(C)CC1(Cl)Cl ZINC000867350526 706971081 /nfs/dbraw/zinc/97/10/81/706971081.db2.gz ICEDRUXOZQWHGF-GYKQLYQFSA-N -1 1 323.267 1.522 20 0 DDADMM C[C@H](CN(C)C(=O)C1([C@H]2CCCCO2)CCC1)c1nn[n-]n1 ZINC000867380978 706981892 /nfs/dbraw/zinc/98/18/92/706981892.db2.gz LOFHHDYJOLHDCQ-VXGBXAGGSA-N -1 1 307.398 1.501 20 0 DDADMM O=C([O-])[C@@H](c1cccc(Cl)c1)N1CCN(CCCO)CC1 ZINC000833172871 707001541 /nfs/dbraw/zinc/00/15/41/707001541.db2.gz LKMLUDOAOCCTJY-CQSZACIVSA-N -1 1 312.797 1.466 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CC(C)(C)F)c1Br ZINC000867576612 707044720 /nfs/dbraw/zinc/04/47/20/707044720.db2.gz ODROXEUDZIMEDP-UHFFFAOYSA-N -1 1 314.180 1.209 20 0 DDADMM CC[C@@H](NC(=O)c1c(C)nc(C(C)C)[n-]c1=O)C(OC)OC ZINC000834419020 707049866 /nfs/dbraw/zinc/04/98/66/707049866.db2.gz NXNRRCFYYSEPKU-SNVBAGLBSA-N -1 1 311.382 1.741 20 0 DDADMM COC(=O)[C@@H]1C[C@H](F)CN1C(=O)c1ncc2ccccc2c1[O-] ZINC000834843536 707136232 /nfs/dbraw/zinc/13/62/32/707136232.db2.gz HYJYKBHCGNILCH-JQWIXIFHSA-N -1 1 318.304 1.666 20 0 DDADMM CN(CC(=O)NC1(CC(=O)[O-])CCCCC1)[C@H]1CCSC1 ZINC000909060539 712928417 /nfs/dbraw/zinc/92/84/17/712928417.db2.gz YQCACDYHPBFQGZ-LBPRGKRZSA-N -1 1 314.451 1.718 20 0 DDADMM COCc1noc(CO[N-]C(=O)CCc2ccc(OC)cc2)n1 ZINC000871798556 707208516 /nfs/dbraw/zinc/20/85/16/707208516.db2.gz ZBAWDGUCAPPYRG-UHFFFAOYSA-N -1 1 321.333 1.405 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@]1(C)CCC[C@@H]1C ZINC000835292365 707214992 /nfs/dbraw/zinc/21/49/92/707214992.db2.gz HZBQAJKMUDLWGX-QFYYESIMSA-N -1 1 318.377 1.638 20 0 DDADMM Cn1nnnc1C1CCN(Cc2ccc(C(=O)[O-])cc2F)CC1 ZINC000872166120 707311412 /nfs/dbraw/zinc/31/14/12/707311412.db2.gz QTCXNAPXZCUQSL-UHFFFAOYSA-N -1 1 319.340 1.427 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)CCC1CCCCC1)N(C)C ZINC000835939469 707352944 /nfs/dbraw/zinc/35/29/44/707352944.db2.gz KJIVTRBWKHEJRX-CQSZACIVSA-N -1 1 318.483 1.989 20 0 DDADMM COCC(C)(C)C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872489669 707441988 /nfs/dbraw/zinc/44/19/88/707441988.db2.gz OTJORIYRMHQZFV-GOSISDBHSA-N -1 1 314.473 1.390 20 0 DDADMM CCCN(C(=O)NCc1ccc2cncn2c1)[C@@H](C)C(=O)[O-] ZINC000909171808 712953366 /nfs/dbraw/zinc/95/33/66/712953366.db2.gz PJBYYEGBGCONCI-NSHDSACASA-N -1 1 304.350 1.729 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](F)CN1C(=O)NCc1ccc2cncn2c1 ZINC000909172253 712953439 /nfs/dbraw/zinc/95/34/39/712953439.db2.gz RQJLRJWKPJGGOS-JQWIXIFHSA-N -1 1 306.297 1.041 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@@H]2CCC[C@H]2O1)c1ccc(F)nc1F ZINC000872555633 707476988 /nfs/dbraw/zinc/47/69/88/707476988.db2.gz MMARBNUQJSORPW-LPEHRKFASA-N -1 1 318.345 1.596 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@@H](C)N1CCc2ccccc2C1 ZINC000836896038 707542804 /nfs/dbraw/zinc/54/28/04/707542804.db2.gz HCTSBYCWVHXXDS-CJNGLKHVSA-N -1 1 306.406 1.498 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@]2(C)CC(C)(C)OC2=O)sn1 ZINC000882223962 707546458 /nfs/dbraw/zinc/54/64/58/707546458.db2.gz TTYBRBMCXXGUEO-LLVKDONJSA-N -1 1 304.393 1.214 20 0 DDADMM CC1(C)C[C@@H](O)CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000836998224 707561910 /nfs/dbraw/zinc/56/19/10/707561910.db2.gz CZMBFRBQVJXSJJ-NSHDSACASA-N -1 1 320.418 1.641 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OC ZINC000882298215 707575009 /nfs/dbraw/zinc/57/50/09/707575009.db2.gz DXBQXBQSCRPCSE-VHSXEESVSA-N -1 1 318.370 1.477 20 0 DDADMM C[C@@H]1CN(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C(=O)[C@H]1C ZINC000872751334 707584289 /nfs/dbraw/zinc/58/42/89/707584289.db2.gz BOWLYAYUUVEOLS-GBIKHYSHSA-N -1 1 321.343 1.201 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000872930283 707659004 /nfs/dbraw/zinc/65/90/04/707659004.db2.gz HPBOCHQUEFLJHA-JTQLQIEISA-N -1 1 323.780 1.608 20 0 DDADMM CCn1ncc(CNC(=O)NCc2ccc([O-])c(Cl)c2)n1 ZINC000873078712 707721664 /nfs/dbraw/zinc/72/16/64/707721664.db2.gz RGGQPXXZXWMWCR-UHFFFAOYSA-N -1 1 309.757 1.656 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@H]1CCc2nc[nH]c2C1)c1ccccc1 ZINC000909293412 712984244 /nfs/dbraw/zinc/98/42/44/712984244.db2.gz HZTRGLQPGFXGPW-QWHCGFSZSA-N -1 1 313.357 1.499 20 0 DDADMM O=C([N-]CCCOC(=O)c1cnc2[nH]ccc2c1)C(F)(F)F ZINC000838230307 707874046 /nfs/dbraw/zinc/87/40/46/707874046.db2.gz VRRKNCGTGYRVCZ-UHFFFAOYSA-N -1 1 315.251 1.740 20 0 DDADMM CC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCC(=O)CC1 ZINC000838293888 707889483 /nfs/dbraw/zinc/88/94/83/707889483.db2.gz UECPKVJSGWEKKR-UHFFFAOYSA-N -1 1 317.341 1.636 20 0 DDADMM CCOC[C@H]1CCCCN1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000839073899 708022520 /nfs/dbraw/zinc/02/25/20/708022520.db2.gz BWTRQEGSNSTZHR-GFCCVEGCSA-N -1 1 317.393 1.765 20 0 DDADMM O=C(NC[C@@]1(O)CCSC1)c1ccc2ccc(O)cc2c1[O-] ZINC000896829029 708158026 /nfs/dbraw/zinc/15/80/26/708158026.db2.gz YOMLHBGJPQATII-INIZCTEOSA-N -1 1 319.382 1.849 20 0 DDADMM C[C@H]1CO[C@H](CO)CN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897013968 708207768 /nfs/dbraw/zinc/20/77/68/708207768.db2.gz IRGTVBBQCJSOPE-GWCFXTLKSA-N -1 1 317.341 1.473 20 0 DDADMM C[C@@H](CN(C(=O)[C@H]1C[C@H](C)Cc2c[nH]nc21)C1CC1)C(=O)[O-] ZINC000909453549 713022013 /nfs/dbraw/zinc/02/20/13/713022013.db2.gz FQIOZCIGUAJQJV-NRUUGDAUSA-N -1 1 305.378 1.787 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1ccns1)c1ccc(F)cc1 ZINC000885077110 708464041 /nfs/dbraw/zinc/46/40/41/708464041.db2.gz MXUIANMUHBLFCO-LBPRGKRZSA-N -1 1 316.379 1.468 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1ccns1)c1ccc(F)cc1 ZINC000885077109 708464172 /nfs/dbraw/zinc/46/41/72/708464172.db2.gz MXUIANMUHBLFCO-GFCCVEGCSA-N -1 1 316.379 1.468 20 0 DDADMM CCOC(=O)C[C@](C)([N-]S(=O)(=O)c1ccns1)C(C)C ZINC000885117625 708475431 /nfs/dbraw/zinc/47/54/31/708475431.db2.gz TVVJORGQGXZGJE-LBPRGKRZSA-N -1 1 320.436 1.789 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)C[C@H]1CCCC(C)(C)O1 ZINC000885232488 708499225 /nfs/dbraw/zinc/49/92/25/708499225.db2.gz XSPIVMKYZXHDSS-GHMZBOCLSA-N -1 1 319.423 1.205 20 0 DDADMM C[C@@H](O)[C@H]([N-]S(=O)(=O)c1ccns1)c1ccccc1F ZINC000885272930 708507596 /nfs/dbraw/zinc/50/75/96/708507596.db2.gz SHLRHBUYVSVRBX-PELKAZGASA-N -1 1 316.379 1.683 20 0 DDADMM CC(=O)N[C@@H]1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000897995864 708514104 /nfs/dbraw/zinc/51/41/04/708514104.db2.gz KULOQVRONJQYIA-GFCCVEGCSA-N -1 1 314.341 1.602 20 0 DDADMM CC[C@H](C)NC(=O)CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000885378862 708530165 /nfs/dbraw/zinc/53/01/65/708530165.db2.gz LMJCXBIQOICIEG-QMMMGPOBSA-N -1 1 324.349 1.800 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000885383834 708532299 /nfs/dbraw/zinc/53/22/99/708532299.db2.gz VDCJRZSWJVOPMB-CBAPKCEASA-N -1 1 305.425 1.033 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]([C@@H]2CCOC2)C(F)(F)F)c([O-])c1 ZINC000885533502 708569664 /nfs/dbraw/zinc/56/96/64/708569664.db2.gz OUMYPUDZSNBXKC-KCJUWKMLSA-N -1 1 304.268 1.793 20 0 DDADMM CS(=O)(=O)CCCC(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885677010 708595420 /nfs/dbraw/zinc/59/54/20/708595420.db2.gz YQDDIHWCIHXURP-UHFFFAOYSA-N -1 1 309.746 1.948 20 0 DDADMM CN(C)Cc1csc(CNC(=O)c2cc(F)ccc2[O-])n1 ZINC000927767670 713051313 /nfs/dbraw/zinc/05/13/13/713051313.db2.gz DGZQFIGEDJLQKK-UHFFFAOYSA-N -1 1 309.366 1.979 20 0 DDADMM O=C(COC[C@H]1CCCO1)NCCc1c(F)cc([O-])cc1F ZINC000886264582 708732059 /nfs/dbraw/zinc/73/20/59/708732059.db2.gz CUUIEYFROPJENC-LLVKDONJSA-N -1 1 315.316 1.525 20 0 DDADMM CC[C@@H](C(=O)NCCc1c(F)cc([O-])cc1F)C(=O)OC ZINC000886268462 708732921 /nfs/dbraw/zinc/73/29/21/708732921.db2.gz PVSQLJUKTONIAQ-VIFPVBQESA-N -1 1 301.289 1.528 20 0 DDADMM CNc1nc(C)cc(C(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC000886268301 708733092 /nfs/dbraw/zinc/73/30/92/708733092.db2.gz LBJVNMKNEBZCPV-UHFFFAOYSA-N -1 1 322.315 1.783 20 0 DDADMM CCc1c[nH]c(CC(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC000886270208 708733815 /nfs/dbraw/zinc/73/38/15/708733815.db2.gz WHYYEZGVQUQJGB-UHFFFAOYSA-N -1 1 309.316 1.857 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-]c1[nH]nc2c1COCC2 ZINC000886479437 708767518 /nfs/dbraw/zinc/76/75/18/708767518.db2.gz PEMSEOZNKALCSL-UHFFFAOYSA-N -1 1 315.376 1.353 20 0 DDADMM C[C@H]1CCN(C(=O)NCCc2c(F)cc([O-])cc2F)[C@H]1CO ZINC000927782441 713054895 /nfs/dbraw/zinc/05/48/95/713054895.db2.gz DLEGVLZQRVOGOB-XPTSAGLGSA-N -1 1 314.332 1.625 20 0 DDADMM CC(C)[C@H](CO)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927784004 713055242 /nfs/dbraw/zinc/05/52/42/713055242.db2.gz CCKIEVFZKAFHKR-JTQLQIEISA-N -1 1 316.348 1.777 20 0 DDADMM C[C@@H](O)[C@@H]1CCN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927785028 713055547 /nfs/dbraw/zinc/05/55/47/713055547.db2.gz WNUWGHRWNGMNOA-NXEZZACHSA-N -1 1 314.332 1.625 20 0 DDADMM Cc1nnc2n1C[C@H](C(=O)[N-]OCc1cccc(C)n1)CC2 ZINC000912630160 713055698 /nfs/dbraw/zinc/05/56/98/713055698.db2.gz FEWYKCKZKPNNBZ-GFCCVEGCSA-N -1 1 301.350 1.100 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CC[C@H]1c1ccc(F)cc1 ZINC000898755115 708856051 /nfs/dbraw/zinc/85/60/51/708856051.db2.gz MBADDGINSLLISE-NWDGAFQWSA-N -1 1 317.320 1.837 20 0 DDADMM C[C@H]1C(=O)N([N-]C(=O)c2ccc(C(C)(C)C)s2)C(=O)N1C ZINC000899032490 708959551 /nfs/dbraw/zinc/95/95/51/708959551.db2.gz IIXLLXZWZGJDAD-QMMMGPOBSA-N -1 1 309.391 1.973 20 0 DDADMM Cn1nccc1[C@H]1CN(C(=O)c2cc(F)ccc2[O-])CCO1 ZINC000887596239 709078067 /nfs/dbraw/zinc/07/80/67/709078067.db2.gz RHOBGNDKYRAZIJ-CQSZACIVSA-N -1 1 305.309 1.479 20 0 DDADMM COc1cc(C(=O)N2CC(N3CCCC3=O)C2)cc(Cl)c1[O-] ZINC000888763520 709375813 /nfs/dbraw/zinc/37/58/13/709375813.db2.gz CHBJHVXONFAYHA-UHFFFAOYSA-N -1 1 324.764 1.501 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H]([C@]3(C)COC(=O)N3)C2)c([O-])c1 ZINC000928019798 713104235 /nfs/dbraw/zinc/10/42/35/713104235.db2.gz MNHZTMITYXOXKD-ZBEGNZNMSA-N -1 1 319.361 1.446 20 0 DDADMM CN(CC(=O)Nc1ccc(OCC(=O)[O-])cc1)[C@@H]1CCSC1 ZINC000909493730 709488274 /nfs/dbraw/zinc/48/82/74/709488274.db2.gz VELPCGIMYWBKFL-GFCCVEGCSA-N -1 1 324.402 1.526 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H]2C2CCCC2)o1 ZINC000889396952 709490520 /nfs/dbraw/zinc/49/05/20/709490520.db2.gz SFLOGQFGVFIEPR-NSHDSACASA-N -1 1 312.391 1.592 20 0 DDADMM CC[N@@H+](CC(=O)N[C@@H](C)c1nc(C)c(C(=O)[O-])s1)C1CC1 ZINC000909502164 709492033 /nfs/dbraw/zinc/49/20/33/709492033.db2.gz CUVLDGIIHWGOND-VIFPVBQESA-N -1 1 311.407 1.811 20 0 DDADMM CCN(CC(=O)N[C@@H](C)c1nc(C)c(C(=O)[O-])s1)C1CC1 ZINC000909502164 709492037 /nfs/dbraw/zinc/49/20/37/709492037.db2.gz CUVLDGIIHWGOND-VIFPVBQESA-N -1 1 311.407 1.811 20 0 DDADMM CSCC[C@H](NC(=O)CC[C@H]1CCCCO1)c1nn[n-]n1 ZINC000912860723 713110023 /nfs/dbraw/zinc/11/00/23/713110023.db2.gz VYAUAPVKYSGKSN-MNOVXSKESA-N -1 1 313.427 1.459 20 0 DDADMM O=C(NCc1cccc2c1OCO2)c1cnc(C2CC2)[n-]c1=O ZINC000900320793 709538330 /nfs/dbraw/zinc/53/83/30/709538330.db2.gz JNTVOQZDMTXELS-UHFFFAOYSA-N -1 1 313.313 1.718 20 0 DDADMM CSCC[C@H](NC(=O)C(C)=Cc1cccnc1)c1nn[n-]n1 ZINC000912863406 713111509 /nfs/dbraw/zinc/11/15/09/713111509.db2.gz WZAYUOCJTYGXHC-OANVXVOSSA-N -1 1 318.406 1.609 20 0 DDADMM COC[C@H](NC(=O)[C@H]1CCCCN1Cc1ccccc1)C(=O)[O-] ZINC000909662939 709564203 /nfs/dbraw/zinc/56/42/03/709564203.db2.gz ZIUPRAIFMVUVMB-LSDHHAIUSA-N -1 1 320.389 1.257 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000900389973 709569494 /nfs/dbraw/zinc/56/94/94/709569494.db2.gz YNPACFWDGSWFQP-LLVKDONJSA-N -1 1 313.361 1.803 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000909684996 709576738 /nfs/dbraw/zinc/57/67/38/709576738.db2.gz YSTVJVDKDRJDSV-DEKYYXRVSA-N -1 1 303.362 1.226 20 0 DDADMM O=C([O-])CC1(NC(=O)Cc2n[nH]c3c2CCCC3)CCCC1 ZINC000909708005 709589364 /nfs/dbraw/zinc/58/93/64/709589364.db2.gz AQBFJMCBEHMIAX-UHFFFAOYSA-N -1 1 305.378 1.735 20 0 DDADMM COc1cccc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC000900448318 709600118 /nfs/dbraw/zinc/60/01/18/709600118.db2.gz JYXPMRAOXVCDNS-UHFFFAOYSA-N -1 1 300.318 1.393 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CCC[C@@H]3CC(=O)[O-])n[nH]2)c1 ZINC000909738348 709601305 /nfs/dbraw/zinc/60/13/05/709601305.db2.gz RIQWCRQWROLZAM-LLVKDONJSA-N -1 1 302.334 1.495 20 0 DDADMM CC(C)(C)CC[C@H](NC(=O)c1ccc2c(n1)CNCC2)C(=O)[O-] ZINC000900458802 709604538 /nfs/dbraw/zinc/60/45/38/709604538.db2.gz VWYPSNHYXMSVAH-ZDUSSCGKSA-N -1 1 319.405 1.737 20 0 DDADMM CC(C)C[C@H](CNC(=O)c1ccc2n1CCN[C@H]2C)C(=O)[O-] ZINC000900463131 709607354 /nfs/dbraw/zinc/60/73/54/709607354.db2.gz UFFHFYFNVGZQJW-NWDGAFQWSA-N -1 1 307.394 1.629 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2CO[C@@H](C)C2)[n-]c1=O ZINC000889789422 709637042 /nfs/dbraw/zinc/63/70/42/709637042.db2.gz FGBSZTCBGPOGPT-DCAQKATOSA-N -1 1 307.350 1.279 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CC[C@](C(=O)[O-])(c2ccccc2)C1 ZINC000909854821 709653962 /nfs/dbraw/zinc/65/39/62/709653962.db2.gz NFVGTFDJODLFIJ-MAUKXSAKSA-N -1 1 316.401 1.726 20 0 DDADMM O=C(OCCN1CCNC1=O)c1cc(Cl)cc(Cl)c1[O-] ZINC000909858567 709656371 /nfs/dbraw/zinc/65/63/71/709656371.db2.gz FNIUGZCEKMFAGD-UHFFFAOYSA-N -1 1 319.144 1.881 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)c2cc(C(F)(F)F)[nH]n2)C[C@H]1C1CC1 ZINC000909903262 709679445 /nfs/dbraw/zinc/67/94/45/709679445.db2.gz HIANYQPWRSZICU-JGVFFNPUSA-N -1 1 317.267 1.611 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)C[C@H]1C1CC1 ZINC000909903804 709680242 /nfs/dbraw/zinc/68/02/42/709680242.db2.gz SOWNPULFLMSMHZ-WDEREUQCSA-N -1 1 300.318 1.141 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CC4CC3(C(=O)[O-])C4)n[nH]2)c1 ZINC000909948419 709703362 /nfs/dbraw/zinc/70/33/62/709703362.db2.gz YBBYGYOPKVDMKC-UHFFFAOYSA-N -1 1 300.318 1.104 20 0 DDADMM O=C([O-])c1ccc2c(c1)[C@H](CNC(=O)Cc1cnc[nH]1)CCC2 ZINC000909952272 709705529 /nfs/dbraw/zinc/70/55/29/709705529.db2.gz WHVPTJPMSYYCAL-ZDUSSCGKSA-N -1 1 313.357 1.887 20 0 DDADMM CC(C)(C(=O)Nc1cc([O-])c(F)cc1F)N1CCOCC1 ZINC000909986461 709721103 /nfs/dbraw/zinc/72/11/03/709721103.db2.gz LRBXUFHDQJYAHU-UHFFFAOYSA-N -1 1 300.305 1.720 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)c1cn(C2CCOCC2)nn1 ZINC000909986784 709721672 /nfs/dbraw/zinc/72/16/72/709721672.db2.gz BPJQGELZGXXGDI-UHFFFAOYSA-N -1 1 324.287 1.866 20 0 DDADMM CO[C@H]1CC[C@@H]1N(C)C(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000900791779 709760097 /nfs/dbraw/zinc/76/00/97/709760097.db2.gz DZFJBAMMQRGKRO-STQMWFEESA-N -1 1 301.346 1.893 20 0 DDADMM CO[C@H]1CC[C@@H]1N(C)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000900791779 709760101 /nfs/dbraw/zinc/76/01/01/709760101.db2.gz DZFJBAMMQRGKRO-STQMWFEESA-N -1 1 301.346 1.893 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)N[C@@H](C(=O)[O-])C1CCCCC1 ZINC000910089478 709767280 /nfs/dbraw/zinc/76/72/80/709767280.db2.gz DBYHPWFOHOHPRU-TZMCWYRMSA-N -1 1 312.410 1.104 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cnc3[nH]ccc3c2)CC1 ZINC000910178932 709799710 /nfs/dbraw/zinc/79/97/10/709799710.db2.gz RSHXXEBFJYJBDY-UHFFFAOYSA-N -1 1 316.361 1.136 20 0 DDADMM CC(C)N(C)CC(=O)Nc1ccc(O[C@H](C)C(=O)[O-])c(F)c1 ZINC000910226865 709825842 /nfs/dbraw/zinc/82/58/42/709825842.db2.gz DHKIAQBPGFLVGO-SNVBAGLBSA-N -1 1 312.341 1.956 20 0 DDADMM CCC(F)(F)C(C)(C)CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000910232094 709828763 /nfs/dbraw/zinc/82/87/63/709828763.db2.gz RYECMMZYPSOJOG-LLVKDONJSA-N -1 1 320.380 1.971 20 0 DDADMM COCC(C)(C)N1CCN(c2nc(C)c(C(=O)[O-])s2)CC1 ZINC000900963071 709850752 /nfs/dbraw/zinc/85/07/52/709850752.db2.gz WZQMCAXAXJALGG-UHFFFAOYSA-N -1 1 313.423 1.697 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cccc3[nH]cnc32)[C@](C)(C(=O)[O-])C1 ZINC000910266690 709851047 /nfs/dbraw/zinc/85/10/47/709851047.db2.gz QZFDLZIBYHZEGZ-VFZGTOFNSA-N -1 1 303.318 1.267 20 0 DDADMM C[C@]1(C(=O)[O-])CN(C(=O)c2cc(C3CCCCC3)[nH]n2)CCO1 ZINC000910328866 709894861 /nfs/dbraw/zinc/89/48/61/709894861.db2.gz PRBDAGTUFXJZKS-MRXNPFEDSA-N -1 1 321.377 1.773 20 0 DDADMM Cc1ncc(CCNC(=O)c2cnc(C3CC3)[n-]c2=O)s1 ZINC000901152908 709949031 /nfs/dbraw/zinc/94/90/31/709949031.db2.gz JZUXZVKUCYAVHL-UHFFFAOYSA-N -1 1 304.375 1.797 20 0 DDADMM COc1cc(C(=O)N2CC[C@@H](O)[C@@H](F)C2)cc(Cl)c1[O-] ZINC000890704327 709964202 /nfs/dbraw/zinc/96/42/02/709964202.db2.gz GPLQHAQHGLDAPD-VHSXEESVSA-N -1 1 303.717 1.599 20 0 DDADMM O=C(NCC[C@H](O)C1CC1)c1c([O-])cnc2c(F)cccc21 ZINC000890714103 709968919 /nfs/dbraw/zinc/96/89/19/709968919.db2.gz OVRZBELLTLEGGC-LBPRGKRZSA-N -1 1 304.321 1.970 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)Nc2ccc3ccccc3n2)C1 ZINC000910625584 710025300 /nfs/dbraw/zinc/02/53/00/710025300.db2.gz UPXUFELCOWEUAX-CYBMUJFWSA-N -1 1 313.357 1.970 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2ccc3ccccc3n2)C1 ZINC000910625584 710025304 /nfs/dbraw/zinc/02/53/04/710025304.db2.gz UPXUFELCOWEUAX-CYBMUJFWSA-N -1 1 313.357 1.970 20 0 DDADMM O=C(N[C@H](CO)[C@@H](O)C1CCCCC1)c1ccc([O-])c(F)c1 ZINC000913050055 713154843 /nfs/dbraw/zinc/15/48/43/713154843.db2.gz VYIDCOKMAXOPAY-HIFRSBDPSA-N -1 1 311.353 1.563 20 0 DDADMM CN1CCCN(C(=O)Cn2cc(C(=O)[O-])c3ccccc32)CC1 ZINC000910685694 710046485 /nfs/dbraw/zinc/04/64/85/710046485.db2.gz ZUBJIDBUDKDONB-UHFFFAOYSA-N -1 1 315.373 1.504 20 0 DDADMM COC[C@@H](NCc1sc2nc(C)nc(C)c2c1C)C(=O)[O-] ZINC000901476368 710050239 /nfs/dbraw/zinc/05/02/39/710050239.db2.gz BACNBJSATWFOBF-SNVBAGLBSA-N -1 1 309.391 1.806 20 0 DDADMM COC[C@@H](NCc1ccc(F)cc1Br)C(=O)[O-] ZINC000901477532 710050379 /nfs/dbraw/zinc/05/03/79/710050379.db2.gz KZUWLEQGQRHJHD-SNVBAGLBSA-N -1 1 306.131 1.777 20 0 DDADMM COc1cccc([C@H](C(=O)[O-])N(C)C(=O)CN2CCCC2)c1 ZINC000910770062 710069660 /nfs/dbraw/zinc/06/96/60/710069660.db2.gz CPRGQQWDBWZWEM-OAHLLOKOSA-N -1 1 306.362 1.375 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H]1C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000901607994 710093276 /nfs/dbraw/zinc/09/32/76/710093276.db2.gz HGSSQAUIWJQJMN-QJPTWQEYSA-N -1 1 318.377 1.499 20 0 DDADMM O=c1cc(-c2ccccc2)nc(SCC2(CO)COC2)[n-]1 ZINC000901619985 710097818 /nfs/dbraw/zinc/09/78/18/710097818.db2.gz VTQUHVRGIMTOIU-UHFFFAOYSA-N -1 1 304.371 1.950 20 0 DDADMM C[C@H](C(=O)[O-])N(C(=O)CN(C)CCc1ccccc1)C1CC1 ZINC000901639960 710105216 /nfs/dbraw/zinc/10/52/16/710105216.db2.gz NEAURJBVVJZNLZ-CYBMUJFWSA-N -1 1 304.390 1.625 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCC[C@@H](C)[C@@H]1C(=O)[O-] ZINC000901696139 710122037 /nfs/dbraw/zinc/12/20/37/710122037.db2.gz WTMHUNGZPWEFMF-DMTCVQMQSA-N -1 1 305.378 1.787 20 0 DDADMM O=C(NCCOC1CCSCC1)C(=O)c1ccc([O-])cc1 ZINC000891225235 710130121 /nfs/dbraw/zinc/13/01/21/710130121.db2.gz QACBAOCZWUUISM-UHFFFAOYSA-N -1 1 309.387 1.603 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2c(C)csc2C)n1 ZINC000901846034 710159142 /nfs/dbraw/zinc/15/91/42/710159142.db2.gz VQTPADOZWSWCRJ-UHFFFAOYSA-N -1 1 314.392 1.469 20 0 DDADMM CO[C@]1(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)CCSC1 ZINC000891613751 710248697 /nfs/dbraw/zinc/24/86/97/710248697.db2.gz AYHCJAMOJIQXBG-CQSZACIVSA-N -1 1 309.391 1.522 20 0 DDADMM COCc1nc(NCc2ccc(N3CCCC3)nc2)cc(=O)[n-]1 ZINC000891714024 710273360 /nfs/dbraw/zinc/27/33/60/710273360.db2.gz KCEQYHDPBWPBOW-UHFFFAOYSA-N -1 1 315.377 1.936 20 0 DDADMM COCc1nc(N[C@H]2CCc3nc(C)ncc3C2)cc(=O)[n-]1 ZINC000892208193 710395777 /nfs/dbraw/zinc/39/57/77/710395777.db2.gz VHMILLZNUVTSFF-NSHDSACASA-N -1 1 301.350 1.396 20 0 DDADMM CN(C(=O)CCCc1ccccc1Br)c1nn[n-]n1 ZINC000892954314 710531551 /nfs/dbraw/zinc/53/15/51/710531551.db2.gz IFMWDORAQDKXCW-UHFFFAOYSA-N -1 1 324.182 1.948 20 0 DDADMM COCc1nc(N2CC[C@]3(C2)OCc2ccccc23)cc(=O)[n-]1 ZINC000893225854 710574885 /nfs/dbraw/zinc/57/48/85/710574885.db2.gz OWRVREPJXJDKAX-QGZVFWFLSA-N -1 1 313.357 1.964 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NCC1(C(=O)[O-])CCC1 ZINC000902088119 710610083 /nfs/dbraw/zinc/61/00/83/710610083.db2.gz OCRYSWJXRZIHKV-UHFFFAOYSA-N -1 1 304.390 1.532 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)Nc1ccc(N2CCN(C)CC2)cc1C ZINC000911096072 710631575 /nfs/dbraw/zinc/63/15/75/710631575.db2.gz NVPKJQMWOJOWOT-CQSZACIVSA-N -1 1 319.405 1.796 20 0 DDADMM Cc1cc(C(=O)NC[C@@H]2CN(CC(C)C)CCO2)oc1C(=O)[O-] ZINC000911118167 710642873 /nfs/dbraw/zinc/64/28/73/710642873.db2.gz JKZMFSBSPGEOSP-GFCCVEGCSA-N -1 1 324.377 1.373 20 0 DDADMM O=C([O-])C[C@H](NC(=O)[C@@H]1CCc2nc[nH]c2C1)C(F)(F)F ZINC000911161745 710663090 /nfs/dbraw/zinc/66/30/90/710663090.db2.gz OZNGTLCPYDANFF-MUWHJKNJSA-N -1 1 305.256 1.036 20 0 DDADMM O=C([O-])c1ccnc(C(=O)N2CCN(C3CCCC3)CC2)c1 ZINC000911169853 710667629 /nfs/dbraw/zinc/66/76/29/710667629.db2.gz ZWPHHWJTCDCNHE-UHFFFAOYSA-N -1 1 303.362 1.480 20 0 DDADMM O=C([O-])C12CCC(C(=O)N3CCN(CC4CC4)CC3)(CC1)C2 ZINC000911220441 710693069 /nfs/dbraw/zinc/69/30/69/710693069.db2.gz BQIRQBPRNOOLBZ-UHFFFAOYSA-N -1 1 306.406 1.576 20 0 DDADMM Cc1ccc([C@H]2CCN(Cc3cn(CC(=O)[O-])nn3)C2)cc1 ZINC000902324697 710716998 /nfs/dbraw/zinc/71/69/98/710716998.db2.gz HFRZITHTWIPKDU-AWEZNQCLSA-N -1 1 300.362 1.661 20 0 DDADMM C[C@@]1(CNCc2cc(C(=O)[O-])no2)OCCc2ccccc21 ZINC000902496613 710770792 /nfs/dbraw/zinc/77/07/92/710770792.db2.gz IXLGPFFHOPUVCJ-INIZCTEOSA-N -1 1 302.330 1.951 20 0 DDADMM O=C([O-])Cc1occc1C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000911388412 710774401 /nfs/dbraw/zinc/77/44/01/710774401.db2.gz YBAGOCYHCJOJNF-UHFFFAOYSA-N -1 1 303.318 1.650 20 0 DDADMM O=C([O-])c1cccc(CC(=O)N2CCC(c3nc[nH]n3)CC2)c1 ZINC000911437907 710798784 /nfs/dbraw/zinc/79/87/84/710798784.db2.gz NPDVTVXFEDLVON-UHFFFAOYSA-N -1 1 314.345 1.452 20 0 DDADMM C[C@]1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCCCO1 ZINC000913436721 713215073 /nfs/dbraw/zinc/21/50/73/713215073.db2.gz GQQKNAGMEHOQQO-IUODEOHRSA-N -1 1 301.350 1.365 20 0 DDADMM COCc1nc(N2CCC3(C2)Oc2ccccc2O3)cc(=O)[n-]1 ZINC000893893834 710876899 /nfs/dbraw/zinc/87/68/99/710876899.db2.gz JPCNFFLVICYNSV-UHFFFAOYSA-N -1 1 315.329 1.706 20 0 DDADMM COCc1nc(N2CC[C@@](CO)(c3ccccc3)C2)cc(=O)[n-]1 ZINC000893934136 710891643 /nfs/dbraw/zinc/89/16/43/710891643.db2.gz VSYRTGLNRZGXAN-QGZVFWFLSA-N -1 1 315.373 1.469 20 0 DDADMM CCOC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC000913437561 713215734 /nfs/dbraw/zinc/21/57/34/713215734.db2.gz MWHGOPHAJYTPQQ-LBPRGKRZSA-N -1 1 301.350 1.365 20 0 DDADMM CCCc1[nH]ccc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913439011 713215911 /nfs/dbraw/zinc/21/59/11/713215911.db2.gz WAJUPKCMUPFKSP-AWEZNQCLSA-N -1 1 310.361 2.000 20 0 DDADMM CSCC(C)(C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913439008 713216032 /nfs/dbraw/zinc/21/60/32/713216032.db2.gz VYFKVOFZDLUESO-NSHDSACASA-N -1 1 305.407 1.795 20 0 DDADMM COCc1nc(N(C)C[C@](C)(O)c2ccccc2)cc(=O)[n-]1 ZINC000894275849 711047969 /nfs/dbraw/zinc/04/79/69/711047969.db2.gz MYMXPDLHTWQKOY-INIZCTEOSA-N -1 1 303.362 1.673 20 0 DDADMM CC(C)CCCCCCNC(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC000911949072 711085949 /nfs/dbraw/zinc/08/59/49/711085949.db2.gz VLULAFXEMZRCPH-OAHLLOKOSA-N -1 1 300.399 1.152 20 0 DDADMM CSCCCCCCC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913493394 713236705 /nfs/dbraw/zinc/23/67/05/713236705.db2.gz SFIXDGRBEBZFLB-LLVKDONJSA-N -1 1 313.427 1.413 20 0 DDADMM Cc1cc(Cl)c(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1C ZINC000913494435 713237327 /nfs/dbraw/zinc/23/73/27/713237327.db2.gz AIBCMWIMIPQYJY-LBPRGKRZSA-N -1 1 321.768 1.684 20 0 DDADMM O=C(c1cc2cc(F)ccc2o1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495160 713237840 /nfs/dbraw/zinc/23/78/40/713237840.db2.gz GWKNRRUVAMNIHL-SNVBAGLBSA-N -1 1 317.280 1.299 20 0 DDADMM C[C@H](Cc1ccccc1F)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495668 713237945 /nfs/dbraw/zinc/23/79/45/713237945.db2.gz NMVGBPYIKRLQAD-MFKMUULPSA-N -1 1 319.340 1.118 20 0 DDADMM O=C(c1cccc(F)c1Cl)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495451 713238015 /nfs/dbraw/zinc/23/80/15/713238015.db2.gz KMHBHEDZLRDJSK-SECBINFHSA-N -1 1 311.704 1.206 20 0 DDADMM CCCS(=O)(=O)[N-]c1c(F)c(OC)ccc1C(=O)OC ZINC000903652097 711236114 /nfs/dbraw/zinc/23/61/14/711236114.db2.gz DWEPSSUGSHESSB-UHFFFAOYSA-N -1 1 305.327 1.773 20 0 DDADMM O=C([C@H](F)CC1CCCCC1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913497957 713239410 /nfs/dbraw/zinc/23/94/10/713239410.db2.gz NJQQFVKVMJSFRJ-NEPJUHHUSA-N -1 1 311.361 1.408 20 0 DDADMM O=C(CCCc1ccc(F)cc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913500340 713239709 /nfs/dbraw/zinc/23/97/09/713239709.db2.gz WMOMUKOIZGWTOS-ZDUSSCGKSA-N -1 1 319.340 1.262 20 0 DDADMM O=C([O-])c1cccc2c1OCCN(C[C@@H]1C[C@@H]3COC[C@H]3O1)C2 ZINC000903926689 711335828 /nfs/dbraw/zinc/33/58/28/711335828.db2.gz FETDYXJGDITESD-VNHYZAJKSA-N -1 1 319.357 1.383 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC=CC23CCCCC3)co1 ZINC000912479261 711346611 /nfs/dbraw/zinc/34/66/11/711346611.db2.gz ZYINRKVSNIJJFN-UHFFFAOYSA-N -1 1 324.402 1.903 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)[O-])C(=O)N1CCc2sccc2C1 ZINC000904216528 711411994 /nfs/dbraw/zinc/41/19/94/711411994.db2.gz SSCCGWCDYFJBLF-PWSUYJOCSA-N -1 1 310.419 1.864 20 0 DDADMM COCc1nc(NCc2nc(CN(C)C)cs2)cc(=O)[n-]1 ZINC000895479713 711522954 /nfs/dbraw/zinc/52/29/54/711522954.db2.gz UAMNLQUEPJVRMQ-UHFFFAOYSA-N -1 1 309.395 1.459 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[n-]1 ZINC000913559788 713260493 /nfs/dbraw/zinc/26/04/93/713260493.db2.gz PHBWTZNWUKXFAR-CHWSQXEVSA-N -1 1 315.377 1.005 20 0 DDADMM CN1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])CC1=O ZINC000896530002 711740295 /nfs/dbraw/zinc/74/02/95/711740295.db2.gz OOBDFMSAQDKYOX-UHFFFAOYSA-N -1 1 300.314 1.165 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1C ZINC000913745617 713293726 /nfs/dbraw/zinc/29/37/26/713293726.db2.gz LLUJCJJQBFJITE-UHFFFAOYSA-N -1 1 313.361 1.731 20 0 DDADMM COc1ccc([C@@H](NCc2ccc(OC)nn2)C(=O)[O-])cc1 ZINC000905382587 712022521 /nfs/dbraw/zinc/02/25/21/712022521.db2.gz ZMUOIGMYRHEJQZ-CQSZACIVSA-N -1 1 303.318 1.409 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]4C[C@@H]4C[C@H]3C)nc2n1 ZINC000906058683 712233206 /nfs/dbraw/zinc/23/32/06/712233206.db2.gz WYWCCQYZYQHEGA-FYLLDIAZSA-N -1 1 315.377 1.601 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1cc(F)ccc1N(C)C ZINC000906074758 712238362 /nfs/dbraw/zinc/23/83/62/712238362.db2.gz WHVOGOJPFSXKTN-LJQANCHMSA-N -1 1 323.415 1.666 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1cc(F)ccc1N(C)C ZINC000906074756 712238391 /nfs/dbraw/zinc/23/83/91/712238391.db2.gz WHVOGOJPFSXKTN-IBGZPJMESA-N -1 1 323.415 1.666 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2cn(C)nc2C2CCCC2)o1 ZINC000907014420 712464626 /nfs/dbraw/zinc/46/46/26/712464626.db2.gz ODVOPMBAJRSUGM-UHFFFAOYSA-N -1 1 324.406 1.853 20 0 DDADMM Nc1c[nH]nc1[C@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000907425048 712568479 /nfs/dbraw/zinc/56/84/79/712568479.db2.gz SBQCVCHMWNAOEC-JTQLQIEISA-N -1 1 320.780 1.910 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](C3CC3)O2)sc1C ZINC000907466659 712579020 /nfs/dbraw/zinc/57/90/20/712579020.db2.gz DICQCTZVIORIOU-RYUDHWBXSA-N -1 1 316.448 1.996 20 0 DDADMM C[C@@H](CC(=O)N1CCSC[C@H]1c1nn[n-]n1)n1cccc1 ZINC000907475000 712581833 /nfs/dbraw/zinc/58/18/33/712581833.db2.gz LQQQNGWDXPGLGU-QWRGUYRKSA-N -1 1 306.395 1.269 20 0 DDADMM O=C(CC(F)(F)C(F)F)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907476287 712582211 /nfs/dbraw/zinc/58/22/11/712582211.db2.gz MROISPVGOLTXKP-YFKPBYRVSA-N -1 1 313.280 1.107 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477057 712582456 /nfs/dbraw/zinc/58/24/56/712582456.db2.gz DYAVNDYCMHAAHS-GCLPIYDQSA-N -1 1 317.374 1.235 20 0 DDADMM Cc1ccc([C@@H](C)C(=O)N2CCSC[C@H]2c2nn[n-]n2)o1 ZINC000907477884 712582753 /nfs/dbraw/zinc/58/27/53/712582753.db2.gz VJDUMPFCMCAPJQ-ZJUUUORDSA-N -1 1 307.379 1.521 20 0 DDADMM CC1=C(C)C[C@@H](C(=O)N2CCSC[C@H]2c2nn[n-]n2)CC1 ZINC000907481399 712583911 /nfs/dbraw/zinc/58/39/11/712583911.db2.gz WDFHFOMLWULQQT-RYUDHWBXSA-N -1 1 307.423 1.953 20 0 DDADMM O=C(c1cncc(Cl)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481971 712584034 /nfs/dbraw/zinc/58/40/34/712584034.db2.gz ZYQQWMSIBRZQLM-SECBINFHSA-N -1 1 310.770 1.178 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2CC3(C2)CO[C@H](C)C3)sc1C ZINC000907723393 712618577 /nfs/dbraw/zinc/61/85/77/712618577.db2.gz GMCHGGMLYPNNEC-AQDOCOICSA-N -1 1 316.448 1.996 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-]C1(c2nc(C)no2)CC1 ZINC000907860260 712637324 /nfs/dbraw/zinc/63/73/24/712637324.db2.gz MSQGNIUDQUUWHC-NSHDSACASA-N -1 1 317.411 1.348 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N(CCO)CC(F)F ZINC000907890564 712642625 /nfs/dbraw/zinc/64/26/25/712642625.db2.gz WPZGXLGOTIOUAT-UHFFFAOYSA-N -1 1 314.263 1.779 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)[C@@H]2CC[C@@H]2OC)c1 ZINC000907892120 712643026 /nfs/dbraw/zinc/64/30/26/712643026.db2.gz YCFRBZSAGAVAQF-PWSUYJOCSA-N -1 1 301.364 1.199 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2CCC[C@@H]12)c1c[nH]nc1C(F)(F)F ZINC000907964377 712656521 /nfs/dbraw/zinc/65/65/21/712656521.db2.gz DBBLEPDLPLBTQV-XLPZGREQSA-N -1 1 309.313 1.895 20 0 DDADMM CN(C)c1cccnc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000908010529 712665528 /nfs/dbraw/zinc/66/55/28/712665528.db2.gz DRGXPAPHFXNIFS-UHFFFAOYSA-N -1 1 317.349 1.256 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2CCCC(C)(C)O2)c1Cl ZINC000908102689 712688380 /nfs/dbraw/zinc/68/83/80/712688380.db2.gz BJLFYTPVJQJFCR-SECBINFHSA-N -1 1 321.830 1.700 20 0 DDADMM CC(C)OC(=O)C(C)(C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000908484552 712787206 /nfs/dbraw/zinc/78/72/06/712787206.db2.gz IJQFMNLZUGCWPX-UHFFFAOYSA-N -1 1 322.333 1.368 20 0 DDADMM CCN(CC(=O)N(CCC(=O)[O-])Cc1ccccc1)C1CC1 ZINC000908581123 712814051 /nfs/dbraw/zinc/81/40/51/712814051.db2.gz CDXOTJXSODEQJE-UHFFFAOYSA-N -1 1 304.390 1.974 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000908748810 712847043 /nfs/dbraw/zinc/84/70/43/712847043.db2.gz ICDCZSJISGNMGP-GWCFXTLKSA-N -1 1 311.357 1.543 20 0 DDADMM COC[C@@H](NC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccc(C)o1 ZINC000908801328 712857471 /nfs/dbraw/zinc/85/74/71/712857471.db2.gz FNKPSYBTVCYKTR-QWHCGFSZSA-N -1 1 324.377 1.188 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC[C@@H]1C=CCC1 ZINC000914280121 713369925 /nfs/dbraw/zinc/36/99/25/713369925.db2.gz WYABHTHZCAXOOO-CYBMUJFWSA-N -1 1 324.384 1.765 20 0 DDADMM COC(=O)c1ccnc(S(=O)(=O)[N-][C@@H]2CCCC2(F)F)c1 ZINC000915673839 713427155 /nfs/dbraw/zinc/42/71/55/713427155.db2.gz BMYABHXGGLMVGE-SECBINFHSA-N -1 1 320.317 1.334 20 0 DDADMM COC(=O)[C@@H](CC1CCOCC1)NC(=O)c1ncc(C)cc1[O-] ZINC000916660483 713462796 /nfs/dbraw/zinc/46/27/96/713462796.db2.gz PJGALRWFCYNWEF-GFCCVEGCSA-N -1 1 322.361 1.184 20 0 DDADMM CC(C)(C)NC(=O)COC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000917944960 713520496 /nfs/dbraw/zinc/52/04/96/713520496.db2.gz ISTYIOFUINAXAS-UHFFFAOYSA-N -1 1 317.345 1.649 20 0 DDADMM COCCN(CC(=O)OC)C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000929638542 713658494 /nfs/dbraw/zinc/65/84/94/713658494.db2.gz YRHOBOQTMIGGQY-LBPRGKRZSA-N -1 1 309.362 1.332 20 0 DDADMM CCOC(=O)[C@H](C[C@@H](C)CC)[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC000921381395 713749764 /nfs/dbraw/zinc/74/97/64/713749764.db2.gz AOKGFNQQCRCPMX-AVGNSLFASA-N -1 1 321.439 1.453 20 0 DDADMM COCC[C@@H](C)S(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921964455 713921930 /nfs/dbraw/zinc/92/19/30/713921930.db2.gz BFBRLAKMKYBXQD-SECBINFHSA-N -1 1 323.361 1.557 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CCCC1(F)F)C(C)C ZINC000921974873 713925400 /nfs/dbraw/zinc/92/54/00/713925400.db2.gz JMZMYVYFRALVBX-VHSXEESVSA-N -1 1 313.366 1.539 20 0 DDADMM COC(=O)[C@@H]1CN(C[C@H](C)C(=O)c2ccc([O-])cc2)C[C@H](C)O1 ZINC000930855319 713938046 /nfs/dbraw/zinc/93/80/46/713938046.db2.gz DSXDZZBEULKOBH-HUBLWGQQSA-N -1 1 321.373 1.473 20 0 DDADMM O=C(N[C@H]1CCCCN(C(=O)c2ncccc2[O-])C1)C(F)F ZINC000943015674 717953502 /nfs/dbraw/zinc/95/35/02/717953502.db2.gz FWTWGJQBNYQCME-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C[C@H]2CC[C@@H](C3CC3)O2)CC1 ZINC000923104494 714238270 /nfs/dbraw/zinc/23/82/70/714238270.db2.gz YBFYSNVDPGTQOQ-YUELXQCFSA-N -1 1 324.421 1.342 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NCC[C@@H]1CCC2(CCC2)O1 ZINC000923113104 714241007 /nfs/dbraw/zinc/24/10/07/714241007.db2.gz SLZJKFHGJPVBFH-KGLIPLIRSA-N -1 1 324.421 1.391 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCC[C@@H]3C[C@@]32C(=O)[O-])C1 ZINC000923148966 714255253 /nfs/dbraw/zinc/25/52/53/714255253.db2.gz BDFUOQZQMLCYGW-JRFJBVBDSA-N -1 1 303.362 1.541 20 0 DDADMM CN1CC[C@@H]2CC[C@H](C1)N2C(=O)c1s[n-]c(=O)c1Cl ZINC000923270735 714292168 /nfs/dbraw/zinc/29/21/68/714292168.db2.gz XYVNBDUYSAHWJE-JGVFFNPUSA-N -1 1 301.799 1.811 20 0 DDADMM COCCN1CC[C@@H](NC(=O)c2cc(F)cc(F)c2[O-])C1 ZINC000932260000 714293585 /nfs/dbraw/zinc/29/35/85/714293585.db2.gz HAVNKIMRWKJHHG-SNVBAGLBSA-N -1 1 300.305 1.121 20 0 DDADMM COc1nccc(C(=O)OCCc2cc(C(C)=O)ccc2[O-])n1 ZINC000923926389 714489999 /nfs/dbraw/zinc/48/99/99/714489999.db2.gz XYQXJXUNIAZIQJ-UHFFFAOYSA-N -1 1 316.313 1.793 20 0 DDADMM C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000923945848 714492805 /nfs/dbraw/zinc/49/28/05/714492805.db2.gz XPMKKYPMGWFYBE-ORXSELOVSA-N -1 1 318.377 1.099 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CC[C@]3(CO[C@H](C)C3)O2)c([O-])c1 ZINC000924271028 714553836 /nfs/dbraw/zinc/55/38/36/714553836.db2.gz CRBUYMVJXLEKGW-WQGACYEGSA-N -1 1 306.362 1.552 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2nn(C)cc2C)[n-]c1=O ZINC000934277070 714784681 /nfs/dbraw/zinc/78/46/81/714784681.db2.gz YKZAFXZTVOMHCG-LBPRGKRZSA-N -1 1 303.366 1.570 20 0 DDADMM C[S@@](=O)(=NC([O-])=CS(=O)(=O)C1CC1)c1ccccc1 ZINC000925952133 714971801 /nfs/dbraw/zinc/97/18/01/714971801.db2.gz HSHLKFSVYNOROF-SFHVURJKSA-N -1 1 301.389 1.247 20 0 DDADMM O=C([N-]c1n[nH]c(C2CCC2)n1)c1ncc(Br)cn1 ZINC000935379201 715041018 /nfs/dbraw/zinc/04/10/18/715041018.db2.gz ATGKPIIOCLODNQ-UHFFFAOYSA-N -1 1 323.154 1.877 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2CC=CC2)C1 ZINC000936966118 715262423 /nfs/dbraw/zinc/26/24/23/715262423.db2.gz MEKGKJGAYIZABN-CYBMUJFWSA-N -1 1 315.373 1.426 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2CC=CC2)C1 ZINC000936966119 715262451 /nfs/dbraw/zinc/26/24/51/715262451.db2.gz MEKGKJGAYIZABN-ZDUSSCGKSA-N -1 1 315.373 1.426 20 0 DDADMM CN(C(=O)C=C1CCC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937212657 715292952 /nfs/dbraw/zinc/29/29/52/715292952.db2.gz XXRVFQWPBPAYHS-ZDUSSCGKSA-N -1 1 315.373 1.570 20 0 DDADMM O=C(N[C@H]1CCCCN(C(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000943203262 718045704 /nfs/dbraw/zinc/04/57/04/718045704.db2.gz IEZIFILICHJMPK-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956342896 715411806 /nfs/dbraw/zinc/41/18/06/715411806.db2.gz AHPIUCDLHICANT-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849141 715636570 /nfs/dbraw/zinc/63/65/70/715636570.db2.gz LYSXRYMNIBLXTI-BZNIZROVSA-N -1 1 305.378 1.554 20 0 DDADMM CC[C@@H](F)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000957793978 716052456 /nfs/dbraw/zinc/05/24/56/716052456.db2.gz YOFOPMFLTJNNIY-GMTAPVOTSA-N -1 1 309.341 1.112 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)C)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959595370 716231926 /nfs/dbraw/zinc/23/19/26/716231926.db2.gz YNPVFFXDSWQQBP-NWDGAFQWSA-N -1 1 319.405 1.658 20 0 DDADMM O=C([C@@H]1C[C@H]1C1CC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960157164 716435357 /nfs/dbraw/zinc/43/53/57/716435357.db2.gz BBUYBGMOFQGDNI-RWMBFGLXSA-N -1 1 319.409 1.027 20 0 DDADMM CC1(C)CC(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000940250818 716697815 /nfs/dbraw/zinc/69/78/15/716697815.db2.gz GLZFXHWWSCIZJU-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CC2CC2)C1 ZINC000959010001 716820781 /nfs/dbraw/zinc/82/07/81/716820781.db2.gz XCFZOSSREJIMQO-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959107999 716869958 /nfs/dbraw/zinc/86/99/58/716869958.db2.gz MICDNTFHIAZJDW-WIGWWYOCSA-N -1 1 317.389 1.720 20 0 DDADMM O=C(NC[C@H]1CCCCN1C(=O)C1CCC1)c1ncccc1[O-] ZINC000964393632 717243702 /nfs/dbraw/zinc/24/37/02/717243702.db2.gz OGBUYJVQQBYLFV-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)C(=O)N1CC[C@@H]2[C@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962940083 717633637 /nfs/dbraw/zinc/63/36/37/717633637.db2.gz BJCZBIVLHZDWRG-CHWSQXEVSA-N -1 1 317.389 1.649 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2(C)CC2)C1 ZINC000942755722 717857020 /nfs/dbraw/zinc/85/70/20/717857020.db2.gz JEDDICNGVYBHOG-GFCCVEGCSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)CC(=O)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943215575 718049713 /nfs/dbraw/zinc/04/97/13/718049713.db2.gz XAIXAIZFHIDGCV-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(C)(F)F ZINC000966334298 718518385 /nfs/dbraw/zinc/51/83/85/718518385.db2.gz XVNAPQBHMQLIKM-BDAKNGLRSA-N -1 1 313.304 1.019 20 0 DDADMM C[C@H]1CN(C(=O)C2(C)CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966490585 718566519 /nfs/dbraw/zinc/56/65/19/718566519.db2.gz LLECOSWOKQBORZ-QWRGUYRKSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ccoc1 ZINC000948618865 719592259 /nfs/dbraw/zinc/59/22/59/719592259.db2.gz MDEJZKKHJKBDAK-ZYHUDNBSSA-N -1 1 315.329 1.271 20 0 DDADMM Cc1ccoc1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949531985 720144211 /nfs/dbraw/zinc/14/42/11/720144211.db2.gz WGEDXMCXBJEFDH-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM CC1=CC[C@](C)(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000970772958 720930652 /nfs/dbraw/zinc/93/06/52/720930652.db2.gz PUXXPGMCKOHPTM-WBMJQRKESA-N -1 1 319.409 1.337 20 0 DDADMM CC1(C)CCC[C@@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000970827247 720950053 /nfs/dbraw/zinc/95/00/53/720950053.db2.gz UFGRFJBDDHYVGC-VXGBXAGGSA-N -1 1 321.425 1.417 20 0 DDADMM CCC(=O)N1CC[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000953012483 721535320 /nfs/dbraw/zinc/53/53/20/721535320.db2.gz GBWMWFUXBBCTAQ-MRXNPFEDSA-N -1 1 303.362 1.262 20 0 DDADMM O=S(=O)([N-]CC1=CCOCC1)c1ccc(Cl)nc1F ZINC000692850824 738534686 /nfs/dbraw/zinc/53/46/86/738534686.db2.gz ASJWSGRDBCQYHJ-UHFFFAOYSA-N -1 1 306.746 1.499 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CC[N@H+]2CC[C@@H]2CCCCO2)c1[O-] ZINC001038166309 732320642 /nfs/dbraw/zinc/32/06/42/732320642.db2.gz ZKRSCPIINOQTLQ-OLZOCXBDSA-N -1 1 322.409 1.187 20 0 DDADMM COc1ccc(N)cc1S(=O)(=O)Nc1ccccc1C(=O)[O-] ZINC001210969643 733354166 /nfs/dbraw/zinc/35/41/66/733354166.db2.gz USWDVCQLZKSYOC-UHFFFAOYSA-N -1 1 322.342 1.776 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CC[C@H](c2cccc(F)c2)C1 ZINC001121268754 782433134 /nfs/dbraw/zinc/43/31/34/782433134.db2.gz VKPGCDCIAPZWES-JTQLQIEISA-N -1 1 314.328 1.128 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CC[C@H](c2cccc(F)c2)C1 ZINC001121268754 782433139 /nfs/dbraw/zinc/43/31/39/782433139.db2.gz VKPGCDCIAPZWES-JTQLQIEISA-N -1 1 314.328 1.128 20 0 DDADMM Cc1ccccc1[C@@H]1CCN(c2nnc(Cc3nnn[n-]3)n2C)C1 ZINC001121317472 782456678 /nfs/dbraw/zinc/45/66/78/782456678.db2.gz QRVXUIFUXYBUJO-GFCCVEGCSA-N -1 1 324.392 1.221 20 0 DDADMM Cc1ccccc1[C@@H]1CCN(c2nnc(Cc3nn[n-]n3)n2C)C1 ZINC001121317472 782456682 /nfs/dbraw/zinc/45/66/82/782456682.db2.gz QRVXUIFUXYBUJO-GFCCVEGCSA-N -1 1 324.392 1.221 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CCCc2sccc2C1 ZINC001121455874 782514751 /nfs/dbraw/zinc/51/47/51/782514751.db2.gz JLMVHPKHFYNYLM-UHFFFAOYSA-N -1 1 316.390 1.925 20 0 DDADMM C[C@H](CNc1ncc(Cl)cn1)N(C)C(=O)c1ncccc1[O-] ZINC001104536612 736203560 /nfs/dbraw/zinc/20/35/60/736203560.db2.gz QYELBZUXFLKLDV-SECBINFHSA-N -1 1 321.768 1.803 20 0 DDADMM O=S(=O)([N-]CCCCCCO)c1ccc(Cl)nc1F ZINC000692825438 738379185 /nfs/dbraw/zinc/37/91/85/738379185.db2.gz UESFRJXEEJTKEQ-UHFFFAOYSA-N -1 1 310.778 1.705 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)c1ncn(C)n1 ZINC000692828007 738392483 /nfs/dbraw/zinc/39/24/83/738392483.db2.gz VLDQUHRIAFHWBG-LURJTMIESA-N -1 1 319.749 1.042 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN(Cc3ccns3)C2)c1[O-] ZINC001028485055 739568621 /nfs/dbraw/zinc/56/86/21/739568621.db2.gz IOALUFHCHCDUAA-SNVBAGLBSA-N -1 1 321.406 1.132 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(C)(F)F ZINC001012169970 740268378 /nfs/dbraw/zinc/26/83/78/740268378.db2.gz JGZYMKJOFSPTRX-IUCAKERBSA-N -1 1 313.304 1.162 20 0 DDADMM O=C(N[C@H]1C[C@H](Nc2ncc(Cl)cn2)C1)c1ncccc1[O-] ZINC001059200801 740369023 /nfs/dbraw/zinc/36/90/23/740369023.db2.gz BOAKJIMMDQSSOF-MGCOHNPYSA-N -1 1 319.752 1.604 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001029769772 741285167 /nfs/dbraw/zinc/28/51/67/741285167.db2.gz PRVNREQZHWXJJV-NWDGAFQWSA-N -1 1 323.441 1.473 20 0 DDADMM O=C(/C=C/C1CC1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088287053 741330611 /nfs/dbraw/zinc/33/06/11/741330611.db2.gz OFNJXAZWFAKWLB-SBDDDAINSA-N -1 1 319.409 1.337 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088298131 741348126 /nfs/dbraw/zinc/34/81/26/741348126.db2.gz DNPMUQUQHPVRKZ-RYUDHWBXSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1ncoc1C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076243872 742623394 /nfs/dbraw/zinc/62/33/94/742623394.db2.gz BXBSQPXWNJYJNX-VIFPVBQESA-N -1 1 318.333 1.022 20 0 DDADMM Cc1cc(CN2CCC(NC(=O)c3ncccc3[O-])CC2)no1 ZINC001002660355 743315273 /nfs/dbraw/zinc/31/52/73/743315273.db2.gz QQQLCJRDDMIGCI-UHFFFAOYSA-N -1 1 316.361 1.478 20 0 DDADMM Cc1cc(C)c(NC(=O)Nc2c(O)[nH]c(=O)[n-]c2=S)c(C)c1 ZINC001182125680 743485036 /nfs/dbraw/zinc/48/50/36/743485036.db2.gz KBZKTKKINVYHIC-JTQLQIEISA-N -1 1 320.374 1.269 20 0 DDADMM CC[C@@H](C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccccc1 ZINC001182133260 743494641 /nfs/dbraw/zinc/49/46/41/743494641.db2.gz DMRUFCFXZNFOQC-SECBINFHSA-N -1 1 313.317 1.848 20 0 DDADMM O=C(Cc1ccc([S-])cc1)N1CCN(C2CCOCC2)CC1 ZINC001183190774 743793518 /nfs/dbraw/zinc/79/35/18/743793518.db2.gz MFWMJWIFSHUVHR-UHFFFAOYSA-N -1 1 320.458 1.841 20 0 DDADMM C[C@H](OCc1ccccc1)C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001183495504 743848142 /nfs/dbraw/zinc/84/81/42/743848142.db2.gz YLQYOXXKFBKOBY-VIFPVBQESA-N -1 1 313.317 1.602 20 0 DDADMM Cc1cnc([C@@H](C)N2CC(NC(=O)c3ncccc3[O-])C2)o1 ZINC001030241273 743976803 /nfs/dbraw/zinc/97/68/03/743976803.db2.gz BWPUEPCCJAZNMK-SNVBAGLBSA-N -1 1 302.334 1.259 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cc(F)c(CO)c(F)c2)cc1 ZINC001214035019 743983299 /nfs/dbraw/zinc/98/32/99/743983299.db2.gz NZRGVNCVYOUDBB-UHFFFAOYSA-N -1 1 314.313 1.840 20 0 DDADMM CSc1nc(NC(=O)C23CCC(O)(CC2)CC3)cc(=O)[n-]1 ZINC001185272485 744195247 /nfs/dbraw/zinc/19/52/47/744195247.db2.gz WAOVLDXAHATUCQ-UHFFFAOYSA-N -1 1 309.391 1.928 20 0 DDADMM COc1ccc(CNC(=O)Nc2cc(=O)[n-]c(N(C)C)n2)cc1 ZINC001185510532 744239434 /nfs/dbraw/zinc/23/94/34/744239434.db2.gz KUHVAQYUWGKBLA-UHFFFAOYSA-N -1 1 317.349 1.579 20 0 DDADMM Cc1cccc([N-]S(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)c1 ZINC001185556175 744246707 /nfs/dbraw/zinc/24/67/07/744246707.db2.gz VFFNUORUWRTZOL-UHFFFAOYSA-N -1 1 315.358 1.976 20 0 DDADMM O=C(NCC[N-]C(=O)C(F)(F)F)Nc1ccc2c(c1)CCC2 ZINC001185774356 744284048 /nfs/dbraw/zinc/28/40/48/744284048.db2.gz IDIJKQLRYMCKRY-UHFFFAOYSA-N -1 1 315.295 1.975 20 0 DDADMM COc1ccc(CNC(=O)c2ccc([O-])c(F)c2)nc1OC ZINC001186233059 744360638 /nfs/dbraw/zinc/36/06/38/744360638.db2.gz BWXBXHTUSXIDJJ-UHFFFAOYSA-N -1 1 306.293 1.874 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(C(=O)C(F)(F)F)CC1 ZINC001186318047 744373421 /nfs/dbraw/zinc/37/34/21/744373421.db2.gz DDEQLUWGODWYJE-UHFFFAOYSA-N -1 1 320.242 1.378 20 0 DDADMM COC(=O)c1cncc(CNC(=O)c2ccc([O-])cc2F)c1 ZINC001186339471 744389384 /nfs/dbraw/zinc/38/93/84/744389384.db2.gz FUQNJUYERXFUPO-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM O=S(=O)([N-]Cc1cnoc1)c1ccc(Cl)nc1Cl ZINC001187979939 744642032 /nfs/dbraw/zinc/64/20/32/744642032.db2.gz CTZGJCJZGGNBHL-UHFFFAOYSA-N -1 1 308.146 1.855 20 0 DDADMM Cc1cc(NC(=O)c2ncc(C(F)(F)F)[n-]2)n2ncnc2n1 ZINC001188278246 744685388 /nfs/dbraw/zinc/68/53/88/744685388.db2.gz OPAXGKCMNPAGIB-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM Cc1cc(NC(=O)c2nc(C(F)(F)F)c[n-]2)n2ncnc2n1 ZINC001188278246 744685389 /nfs/dbraw/zinc/68/53/89/744685389.db2.gz OPAXGKCMNPAGIB-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM NC(=O)c1n[nH]cc1NC(=O)c1ccc([O-])c(C(F)(F)F)c1 ZINC001188561720 744717393 /nfs/dbraw/zinc/71/73/93/744717393.db2.gz BKPXSGHYRRIVJK-UHFFFAOYSA-N -1 1 314.223 1.485 20 0 DDADMM CC(C)(C)NC(=O)O[C@@H]1CC[C@@H](NC(=O)c2ccncc2[O-])C1 ZINC001188644726 744735249 /nfs/dbraw/zinc/73/52/49/744735249.db2.gz MMCZOEBUHFAJQI-GHMZBOCLSA-N -1 1 321.377 1.963 20 0 DDADMM CCCc1nnsc1C(=O)Nc1cc(=O)[n-]c(SC)n1 ZINC001190151196 745116504 /nfs/dbraw/zinc/11/65/04/745116504.db2.gz WFELYYYAUXAZRJ-UHFFFAOYSA-N -1 1 311.392 1.960 20 0 DDADMM CN(CC1(C)COC1)C(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190263036 745166618 /nfs/dbraw/zinc/16/66/18/745166618.db2.gz ZDPPUTIXRUQZFN-UHFFFAOYSA-N -1 1 313.357 1.958 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ncc(Cl)cc1C(F)(F)F ZINC001190711847 745290559 /nfs/dbraw/zinc/29/05/59/745290559.db2.gz TXRISOBXPICRKU-UHFFFAOYSA-N -1 1 306.635 1.197 20 0 DDADMM COCCOc1ccc([N-]S(=O)(=O)c2ccncc2)cc1 ZINC001190847166 745349762 /nfs/dbraw/zinc/34/97/62/745349762.db2.gz VZXWKEUUSSQIEZ-UHFFFAOYSA-N -1 1 308.359 1.908 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(=O)[nH]c2Cl)n1 ZINC001190903852 745380355 /nfs/dbraw/zinc/38/03/55/745380355.db2.gz ZPPRPMJNUDUGDO-UHFFFAOYSA-N -1 1 310.697 1.593 20 0 DDADMM COc1cc(C(=O)N2C[C@H](F)C[C@H](CO)C2)cc(Cl)c1[O-] ZINC001191138836 745443277 /nfs/dbraw/zinc/44/32/77/745443277.db2.gz KBVKPBHOGBVYLF-WCBMZHEXSA-N -1 1 317.744 1.847 20 0 DDADMM CSc1ncc(C(=O)Nc2ccnc(NC(C)=O)c2)c(=O)[n-]1 ZINC001191429791 745509575 /nfs/dbraw/zinc/50/95/75/745509575.db2.gz SAXUDDMMXMFACY-UHFFFAOYSA-N -1 1 319.346 1.510 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2CCCc3nccnc32)c(=O)[n-]1 ZINC001191439931 745512470 /nfs/dbraw/zinc/51/24/70/745512470.db2.gz IIQLUZALWLYIFF-JTQLQIEISA-N -1 1 317.374 1.502 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2ccc(C)nc2F)cc1 ZINC001191571713 745552913 /nfs/dbraw/zinc/55/29/13/745552913.db2.gz BBUZJRCZDUNEKM-UHFFFAOYSA-N -1 1 323.349 1.690 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc([C@H](C)O)cc2)n[nH]1 ZINC001192341252 745758944 /nfs/dbraw/zinc/75/89/44/745758944.db2.gz WEEGXPNFVVSHAE-VIFPVBQESA-N -1 1 303.318 1.892 20 0 DDADMM Nc1nc(=O)c2ccccc2n1C(=O)c1c(F)ccc([O-])c1F ZINC001192539917 745826997 /nfs/dbraw/zinc/82/69/97/745826997.db2.gz OMDPJIZUEGJGPF-UHFFFAOYSA-N -1 1 317.251 1.894 20 0 DDADMM O=C(NC1CN(CC2CCCC2)C1)c1ccc2oc(=O)nc-2[n-]1 ZINC001031251067 746017424 /nfs/dbraw/zinc/01/74/24/746017424.db2.gz BQRFDJSKWOKLMT-UHFFFAOYSA-N -1 1 316.361 1.533 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2nccc(OC)c2[O-])cn1 ZINC001193520730 746132145 /nfs/dbraw/zinc/13/21/45/746132145.db2.gz QQMJMGZBHRLFSL-UHFFFAOYSA-N -1 1 303.274 1.230 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)NC(=O)NC2 ZINC001193935336 746227211 /nfs/dbraw/zinc/22/72/11/746227211.db2.gz ZKAJEPDNOXWDOH-UHFFFAOYSA-N -1 1 318.358 1.705 20 0 DDADMM CCCOC(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001194150518 746291808 /nfs/dbraw/zinc/29/18/08/746291808.db2.gz OHRCYZVNRCKHRB-UHFFFAOYSA-N -1 1 303.103 1.227 20 0 DDADMM C[C@@H]1CCC[C@@H]1CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000993750947 746307839 /nfs/dbraw/zinc/30/78/39/746307839.db2.gz MNHFCQODUSCKSN-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1coc(C[N-]S(=O)(=O)c2ccc(C(F)(F)F)cn2)n1 ZINC001194261942 746324561 /nfs/dbraw/zinc/32/45/61/746324561.db2.gz YZBODRLPBBGNBQ-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccccc2-n2cccn2)[n-]n1 ZINC001194288786 746343381 /nfs/dbraw/zinc/34/33/81/746343381.db2.gz UGTTWXWUEZNYQL-UHFFFAOYSA-N -1 1 311.301 1.634 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC[C@H]3[C@H](CCC(=O)N3C)C2)n1 ZINC001195326740 746566749 /nfs/dbraw/zinc/56/67/49/746566749.db2.gz UTXGKKOBVWBSEO-NEPJUHHUSA-N -1 1 303.362 1.179 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC[C@H]3[C@@H](CCC(=O)N3C)C2)n1 ZINC001195326743 746567005 /nfs/dbraw/zinc/56/70/05/746567005.db2.gz UTXGKKOBVWBSEO-RYUDHWBXSA-N -1 1 303.362 1.179 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CCc2ccc(=O)[nH]c2CC1 ZINC001195306347 746572433 /nfs/dbraw/zinc/57/24/33/746572433.db2.gz XQWMMZXRKCJDAJ-UHFFFAOYSA-N -1 1 319.748 1.782 20 0 DDADMM Cc1ccc([O-])c(C(=O)n2oc(=O)nc2-c2ccc(N)cc2)n1 ZINC001195330536 746587754 /nfs/dbraw/zinc/58/77/54/746587754.db2.gz PEPVLMSZPCDDDN-UHFFFAOYSA-N -1 1 312.285 1.183 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(S(C)(=O)=O)nc1C ZINC001195458376 746606096 /nfs/dbraw/zinc/60/60/96/746606096.db2.gz MSUWKYDPIXJVHB-UHFFFAOYSA-N -1 1 317.392 1.236 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cccc(CO)c2C)cn1 ZINC001195723463 746685923 /nfs/dbraw/zinc/68/59/23/746685923.db2.gz UHXHNZNJOKENRM-UHFFFAOYSA-N -1 1 308.359 1.692 20 0 DDADMM Cc1nc2ccc([N-]S(=O)(=O)c3ccc(O)cc3)cn2n1 ZINC001195765817 746690767 /nfs/dbraw/zinc/69/07/67/746690767.db2.gz DYJZRPZANTZJBF-UHFFFAOYSA-N -1 1 304.331 1.544 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cccnc1OC(F)F ZINC001195737944 746695102 /nfs/dbraw/zinc/69/51/02/746695102.db2.gz DTCBQHDHNFXFCW-UHFFFAOYSA-N -1 1 322.231 1.307 20 0 DDADMM C[C@@H](NC(=O)c1c[nH]c(=S)[n-]c1=O)c1cnc2ccccn21 ZINC001196019733 746758493 /nfs/dbraw/zinc/75/84/93/746758493.db2.gz ARUGFGLIVMIBJL-MRVPVSSYSA-N -1 1 315.358 1.610 20 0 DDADMM Cn1ncc2c1cccc2CNC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196027362 746765809 /nfs/dbraw/zinc/76/58/09/746765809.db2.gz WCYQFOCODGFALD-UHFFFAOYSA-N -1 1 315.358 1.288 20 0 DDADMM Nc1cccc(N(c2cc(F)cc(F)c2[O-])S(N)(=O)=O)c1 ZINC001212491167 746908438 /nfs/dbraw/zinc/90/84/38/746908438.db2.gz LDJBDEYFKJJUJI-UHFFFAOYSA-N -1 1 315.301 1.594 20 0 DDADMM COc1cc([N-]S(=O)(=O)Cc2ccccn2)cc(C(N)=O)c1 ZINC001197834255 747272818 /nfs/dbraw/zinc/27/28/18/747272818.db2.gz BNOXJDOXKQSBCU-UHFFFAOYSA-N -1 1 321.358 1.131 20 0 DDADMM CCOc1cncc(C(=O)[N-]c2nnc(-c3ccco3)o2)n1 ZINC001197976446 747329612 /nfs/dbraw/zinc/32/96/12/747329612.db2.gz RBOHVFJXCPVGOW-UHFFFAOYSA-N -1 1 301.262 1.771 20 0 DDADMM COc1nccc([N-]S(=O)(=O)c2cnc(C)n2C)c1Cl ZINC001198353938 747474923 /nfs/dbraw/zinc/47/49/23/747474923.db2.gz CATKJWPOHQBGCA-UHFFFAOYSA-N -1 1 316.770 1.586 20 0 DDADMM CCC(=O)N(C)C1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001003942929 747789062 /nfs/dbraw/zinc/78/90/62/747789062.db2.gz ZKBQNOKCLJZILN-UHFFFAOYSA-N -1 1 305.378 1.697 20 0 DDADMM CC(C)n1ccc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1=O ZINC001199491310 747861294 /nfs/dbraw/zinc/86/12/94/747861294.db2.gz NOYYLYVHEKQCHF-UHFFFAOYSA-N -1 1 319.277 1.281 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001004012118 747921146 /nfs/dbraw/zinc/92/11/46/747921146.db2.gz RGLYPDWLCBAVFP-OLZOCXBDSA-N -1 1 317.389 1.268 20 0 DDADMM CCOC(=O)CCNC(=S)Nc1cc(F)cc(F)c1[O-] ZINC001200175317 748151652 /nfs/dbraw/zinc/15/16/52/748151652.db2.gz MHZLIISUNHXGCU-UHFFFAOYSA-N -1 1 304.318 1.910 20 0 DDADMM CC1(C)CN(C(=O)C2CCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995317359 748543503 /nfs/dbraw/zinc/54/35/03/748543503.db2.gz YTMXXIUYAYCFAH-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM COC(=O)[C@H]1C[C@@H]1C[N-]S(=O)(=O)c1sc(Cl)nc1C ZINC001201770988 748600040 /nfs/dbraw/zinc/60/00/40/748600040.db2.gz YQUUERQPXIWZFZ-RQJHMYQMSA-N -1 1 324.811 1.192 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C(C)(C)F)CCCN1C(=O)c1ncccc1[O-] ZINC001014690186 748732791 /nfs/dbraw/zinc/73/27/91/748732791.db2.gz YMIIFQJJBIESPK-MNOVXSKESA-N -1 1 323.368 1.645 20 0 DDADMM C[C@H]1CCN(C(=O)C(C)(C)C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004769056 748772627 /nfs/dbraw/zinc/77/26/27/748772627.db2.gz PXMXFLZZOZXLJE-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(CCC1CCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998858167 752300628 /nfs/dbraw/zinc/30/06/28/752300628.db2.gz IQWJYBIXNSHXPI-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM COCc1nc2cc(NC(=O)c3n[nH]c(C)c3[O-])ccc2o1 ZINC001137227285 749560523 /nfs/dbraw/zinc/56/05/23/749560523.db2.gz ZEAKLPQUBVFGRY-UHFFFAOYSA-N -1 1 302.290 1.964 20 0 DDADMM CCN(C(=O)c1cc(=O)[nH]c(C2CC2)n1)[C@H]1CC[N@@H+](CCF)C1 ZINC001033869000 750026866 /nfs/dbraw/zinc/02/68/66/750026866.db2.gz IUOCTATXOCDDGR-LBPRGKRZSA-N -1 1 322.384 1.566 20 0 DDADMM C[C@H](CNc1ccnc(C2CC2)n1)NC(=O)c1ncccc1[O-] ZINC001107690267 750384587 /nfs/dbraw/zinc/38/45/87/750384587.db2.gz QJAMJTWFHWDKDD-SNVBAGLBSA-N -1 1 313.361 1.685 20 0 DDADMM CCc1nsc(NC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001107690326 750385397 /nfs/dbraw/zinc/38/53/97/750385397.db2.gz ULOYLKIIFOQROA-MRVPVSSYSA-N -1 1 307.379 1.432 20 0 DDADMM O=C(C1=CCCCCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999011184 752434249 /nfs/dbraw/zinc/43/42/49/752434249.db2.gz VUIFEKWMCUZNSB-CYBMUJFWSA-N -1 1 319.409 1.481 20 0 DDADMM C[C@H]1CCCN(C(=O)C=C2CCC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036535575 752526992 /nfs/dbraw/zinc/52/69/92/752526992.db2.gz XJDFOZGZKJZFSO-AAEUAGOBSA-N -1 1 319.409 1.337 20 0 DDADMM COCCCC(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066924679 752785761 /nfs/dbraw/zinc/78/57/61/752785761.db2.gz CJYZIJRTEOZLNM-UHFFFAOYSA-N -1 1 323.393 1.134 20 0 DDADMM Cc1nsc(N2C[C@@H]3C[C@H]2CN3C(=O)c2ncccc2[O-])n1 ZINC001062479621 753047523 /nfs/dbraw/zinc/04/75/23/753047523.db2.gz BMIUXSDAHWCZJU-UWVGGRQHSA-N -1 1 317.374 1.050 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1c1ncccn1 ZINC001039734004 762191221 /nfs/dbraw/zinc/19/12/21/762191221.db2.gz SHDRPYVJLNPBGN-VXGBXAGGSA-N -1 1 313.361 1.365 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005956040 753416722 /nfs/dbraw/zinc/41/67/22/753416722.db2.gz HODCJLDGJISADF-ZWNOBZJWSA-N -1 1 323.368 1.358 20 0 DDADMM C[C@H](NC(=O)CCCc1nn[n-]n1)c1ccc2[nH]c(=O)oc2c1 ZINC001169118497 762239613 /nfs/dbraw/zinc/23/96/13/762239613.db2.gz WHNAODPJABNFGB-QMMMGPOBSA-N -1 1 316.321 1.247 20 0 DDADMM Cc1cc(N2CC[C@@H](N(C)C(=O)c3ncccc3[O-])C2)ncn1 ZINC001062923634 753849597 /nfs/dbraw/zinc/84/95/97/753849597.db2.gz WIQBVVZNMXRWPQ-GFCCVEGCSA-N -1 1 313.361 1.237 20 0 DDADMM Cc1cc(CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001010717803 754120653 /nfs/dbraw/zinc/12/06/53/754120653.db2.gz GVSADVNHNXQERE-NSHDSACASA-N -1 1 302.334 1.088 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)C2(C3CC3)CC2)CC1 ZINC001011035669 754318901 /nfs/dbraw/zinc/31/89/01/754318901.db2.gz FCKPBFLOXCMJSZ-UHFFFAOYSA-N -1 1 315.373 1.262 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ccoc2)CCN1C(=O)c1ncccc1[O-] ZINC001011674491 754669961 /nfs/dbraw/zinc/66/99/61/754669961.db2.gz USIKANUXIRSBNI-CMPLNLGQSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCC1CC1 ZINC001012403402 755050044 /nfs/dbraw/zinc/05/00/44/755050044.db2.gz IDJUAIMKKHPYHB-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM CCN(C(=O)[C@H](C)C1CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079565069 755466328 /nfs/dbraw/zinc/46/63/28/755466328.db2.gz DHAHAVFAYWEAEE-LLVKDONJSA-N -1 1 317.389 1.506 20 0 DDADMM CC[C@@H](C)CC(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079674263 755515631 /nfs/dbraw/zinc/51/56/31/755515631.db2.gz JOIQFQNBVCUJGD-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])Nc1ncc(Cl)cn1 ZINC001097764571 757510798 /nfs/dbraw/zinc/51/07/98/757510798.db2.gz JCOSPTIJRWJLAK-MRVPVSSYSA-N -1 1 307.741 1.461 20 0 DDADMM CCc1cc(N[C@H](C)CNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001097765315 757511844 /nfs/dbraw/zinc/51/18/44/757511844.db2.gz QZOQEVVPIACGJU-SNVBAGLBSA-N -1 1 315.377 1.678 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017092859 757630022 /nfs/dbraw/zinc/63/00/22/757630022.db2.gz KCQFFJZNXGXUPE-CSXHZRMWSA-N -1 1 307.398 1.147 20 0 DDADMM O=C(NCC1=CCN(C(=O)C2CCC2)CC1)c1ncccc1[O-] ZINC001017399721 757898022 /nfs/dbraw/zinc/89/80/22/757898022.db2.gz AMWOROCOMKJWGX-UHFFFAOYSA-N -1 1 315.373 1.476 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(c2cccc(F)n2)C1 ZINC001065245073 758414083 /nfs/dbraw/zinc/41/40/83/758414083.db2.gz KYIJEEPMBLQFPC-INIZCTEOSA-N -1 1 316.336 1.720 20 0 DDADMM CC[C@@H](C)n1c(=O)[nH]c(C)c(NCc2ncccc2[O-])c1=O ZINC001169731072 762638594 /nfs/dbraw/zinc/63/85/94/762638594.db2.gz BCZYBINJEAIHGT-SECBINFHSA-N -1 1 304.350 1.941 20 0 DDADMM CCCCN1CC2(C1)CC[C@H](CNC(=O)c1[nH]nc(C)c1[O-])O2 ZINC001053589755 758606974 /nfs/dbraw/zinc/60/69/74/758606974.db2.gz QBHCXYZEHGBPFW-GFCCVEGCSA-N -1 1 322.409 1.187 20 0 DDADMM CCC(=O)N1CC[C@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001018453320 758845728 /nfs/dbraw/zinc/84/57/28/758845728.db2.gz VSEOTYXSVDRWFL-RYUDHWBXSA-N -1 1 305.378 1.412 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001020205997 760678415 /nfs/dbraw/zinc/67/84/15/760678415.db2.gz GKCNEACSXINUAG-KXNHARMFSA-N -1 1 303.362 1.162 20 0 DDADMM C[C@@H](CNc1ncccn1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001108733215 762890165 /nfs/dbraw/zinc/89/01/65/762890165.db2.gz WUUAJCDCNHAWAL-VIFPVBQESA-N -1 1 314.349 1.080 20 0 DDADMM Cc1cocc1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001553493 762954690 /nfs/dbraw/zinc/95/46/90/762954690.db2.gz UQUWYUMFILAZJO-UHFFFAOYSA-N -1 1 315.329 1.191 20 0 DDADMM Cc1cc(N[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])nc(C)n1 ZINC001112983250 765099904 /nfs/dbraw/zinc/09/99/04/765099904.db2.gz HTKKHFSGKBYBJB-GHMZBOCLSA-N -1 1 315.377 1.813 20 0 DDADMM CCCCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052422533 765810355 /nfs/dbraw/zinc/81/03/55/765810355.db2.gz RTIGLLYFTOHFJS-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(NCC1(Nc2cncc(Cl)n2)CC1)c1ncccc1[O-] ZINC001110098174 766245350 /nfs/dbraw/zinc/24/53/50/766245350.db2.gz WUSBPJWHGIJPCH-UHFFFAOYSA-N -1 1 319.752 1.605 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])c1ncccn1 ZINC001067755147 766680154 /nfs/dbraw/zinc/68/01/54/766680154.db2.gz TXWTWOSGGVULFX-GFCCVEGCSA-N -1 1 313.361 1.318 20 0 DDADMM Cc1cc(CN2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)no1 ZINC001046261711 767319800 /nfs/dbraw/zinc/31/98/00/767319800.db2.gz GKBKWXHPBGXHGR-INIZCTEOSA-N -1 1 316.361 1.478 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071951966 770335242 /nfs/dbraw/zinc/33/52/42/770335242.db2.gz GGQNGUSVLSFEPF-WJQOWHFOSA-N -1 1 303.362 1.330 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1ccc(C(=O)[O-])c(Cl)c1)N(C)C ZINC000218567460 771826706 /nfs/dbraw/zinc/82/67/06/771826706.db2.gz MKCOMPNPBGGXOV-QMMMGPOBSA-N -1 1 320.798 1.267 20 0 DDADMM Nc1c(Cl)c(F)cc(C(=O)NCc2nn[n-]n2)c1Cl ZINC001136627422 772051258 /nfs/dbraw/zinc/05/12/58/772051258.db2.gz IRVWTOROWQFTPO-UHFFFAOYSA-N -1 1 305.100 1.158 20 0 DDADMM CCOC(=O)Nc1ccc(OC(=O)CCc2nn[n-]n2)cc1 ZINC001136687592 772086630 /nfs/dbraw/zinc/08/66/30/772086630.db2.gz WVVXGKGUYDCPGE-UHFFFAOYSA-N -1 1 305.294 1.306 20 0 DDADMM C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@@](C)(O)c1ccccc1 ZINC001144682982 772595739 /nfs/dbraw/zinc/59/57/39/772595739.db2.gz LPLLWTTWTLZQLP-KPZWWZAWSA-N -1 1 313.353 1.987 20 0 DDADMM COc1ccc(Cl)c2c1C[C@@H]([NH2+]CCP(=O)([O-])[O-])CC2 ZINC001171290619 772966999 /nfs/dbraw/zinc/96/69/99/772966999.db2.gz ZVPODVZNIPQNKD-VIFPVBQESA-N -1 1 319.725 1.973 20 0 DDADMM O=C(Nc1cnn(CCF)c1)c1ccc2n[n-]c(=S)n2c1 ZINC001147519269 773151908 /nfs/dbraw/zinc/15/19/08/773151908.db2.gz MBSSAGLXFJKNGI-UHFFFAOYSA-N -1 1 306.326 1.436 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2nnc(-c3ccco3)o2)c1[O-] ZINC001147834034 773261277 /nfs/dbraw/zinc/26/12/77/773261277.db2.gz JVFWFIOCFXLHMO-UHFFFAOYSA-N -1 1 316.273 1.483 20 0 DDADMM COc1cc(NC(=O)c2c(CO)cnc(C)c2[O-])c(C)cn1 ZINC001147835320 773262177 /nfs/dbraw/zinc/26/21/77/773262177.db2.gz DUJGRSFMWLEELI-UHFFFAOYSA-N -1 1 303.318 1.552 20 0 DDADMM NC(=O)c1cc(Cl)ccc1NC(=O)C(=O)c1ccc([O-])cc1 ZINC001147881570 773284944 /nfs/dbraw/zinc/28/49/44/773284944.db2.gz MESXYFPTFUCWIR-UHFFFAOYSA-N -1 1 318.716 1.966 20 0 DDADMM COc1cccc(C(=O)n2c(N)nc(=O)c3ccccc32)c1[O-] ZINC001148207899 773390301 /nfs/dbraw/zinc/39/03/01/773390301.db2.gz RWPSDLXRGQAGEL-UHFFFAOYSA-N -1 1 311.297 1.624 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCc3cnc(C)nc3)cc2)[n-]1 ZINC001148337467 773440814 /nfs/dbraw/zinc/44/08/14/773440814.db2.gz ZKFCMBJUCOMXFG-UHFFFAOYSA-N -1 1 323.356 1.828 20 0 DDADMM CC(=O)c1cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)ccc1O ZINC001148669053 773573454 /nfs/dbraw/zinc/57/34/54/773573454.db2.gz PCFRFXYHAQWHRL-UHFFFAOYSA-N -1 1 313.273 1.219 20 0 DDADMM Cc1ccc(O)c(NC(=O)c2cc(S(=O)(=O)[O-])ccc2O)n1 ZINC001148915248 773633081 /nfs/dbraw/zinc/63/30/81/773633081.db2.gz NHJQIIDZEGSGOK-UHFFFAOYSA-N -1 1 324.314 1.300 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])Nc1ncc(F)cn1 ZINC001099104368 774834666 /nfs/dbraw/zinc/83/46/66/774834666.db2.gz FLUXFPKRLQQBBF-SECBINFHSA-N -1 1 305.313 1.337 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])c1ncc(Cl)cn1 ZINC001100500799 776241607 /nfs/dbraw/zinc/24/16/07/776241607.db2.gz BHNMNGNJWPNXBT-UHFFFAOYSA-N -1 1 321.768 1.487 20 0 DDADMM COC(=O)COc1ccc(Nc2cc(=O)[n-]c(SC)n2)cn1 ZINC001212657806 776779210 /nfs/dbraw/zinc/77/92/10/776779210.db2.gz GOGBCGAPWWWDEW-UHFFFAOYSA-N -1 1 322.346 1.595 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cncc(C(=O)[O-])c2)cc1N ZINC001175841618 777923761 /nfs/dbraw/zinc/92/37/61/777923761.db2.gz OAEAHXMVHKASHA-UHFFFAOYSA-N -1 1 307.331 1.471 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cc(C2CCC2)nn1-c1ccccc1 ZINC001176840584 778271165 /nfs/dbraw/zinc/27/11/65/778271165.db2.gz SHHUWHAHPVEBJZ-UHFFFAOYSA-N -1 1 323.360 1.834 20 0 DDADMM Cc1nnc(CC(=O)Nc2nc(Br)ccc2[O-])[nH]1 ZINC001177433479 778607572 /nfs/dbraw/zinc/60/75/72/778607572.db2.gz DGHQWRGQCACNBI-UHFFFAOYSA-N -1 1 312.127 1.157 20 0 DDADMM NC(=O)NCCCCC(=O)Nc1cc(F)c([O-])cc1Cl ZINC001179656338 779437877 /nfs/dbraw/zinc/43/78/77/779437877.db2.gz RXHBXSKGWYRFII-UHFFFAOYSA-N -1 1 303.721 1.962 20 0 DDADMM Cc1ccc(CC(=O)NCCCC[P@](=O)([O-])O)cc1F ZINC001179939598 779545934 /nfs/dbraw/zinc/54/59/34/779545934.db2.gz KZLRVWATPVAYNQ-UHFFFAOYSA-N -1 1 303.270 1.751 20 0 DDADMM Cc1cc(N[C@H](C)CN(C)C(=O)c2ncccc2[O-])ncn1 ZINC001115719181 780374672 /nfs/dbraw/zinc/37/46/72/780374672.db2.gz JQVLDXSFUYTOMO-LLVKDONJSA-N -1 1 301.350 1.458 20 0 DDADMM C[C@H]1CCCN(C(=O)C(=O)OCC(=O)c2ccc([O-])cc2O)C1 ZINC001117339017 780727157 /nfs/dbraw/zinc/72/71/57/780727157.db2.gz FVTDKXIRLUYWIN-JTQLQIEISA-N -1 1 321.329 1.082 20 0 DDADMM CN1CC[C@@H](NC(=O)NCCCC(=O)[O-])[C@@H]1c1ccc(F)cc1 ZINC001118199530 781059958 /nfs/dbraw/zinc/05/99/58/781059958.db2.gz MWZVCXHEPGSAMI-HIFRSBDPSA-N -1 1 323.368 1.735 20 0 DDADMM CN(C(=O)c1coc(/C=C\C(=O)[O-])c1)[C@@H]1CN2CCC1CC2 ZINC001119539641 781501260 /nfs/dbraw/zinc/50/12/60/781501260.db2.gz VIKGSEKNEREBQJ-PYLYLYNFSA-N -1 1 304.346 1.544 20 0 DDADMM CC1CC(C(=O)NCCC[C@H]2CCCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001267164629 837446635 /nfs/dbraw/zinc/44/66/35/837446635.db2.gz GWIWEAJBIGZKFY-BPCQOVAHSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(Nc1nnc(Cc2ccccc2)s1)c1cnncc1O ZINC001300453421 836499143 /nfs/dbraw/zinc/49/91/43/836499143.db2.gz RGSNVQMTUHQBDK-UHFFFAOYSA-N -1 1 313.342 1.877 20 0 DDADMM O=C(Nc1nnc(Cc2ccccc2)s1)c1cnncc1[O-] ZINC001300453421 836499148 /nfs/dbraw/zinc/49/91/48/836499148.db2.gz RGSNVQMTUHQBDK-UHFFFAOYSA-N -1 1 313.342 1.877 20 0 DDADMM O=C(Nc1nc2cc3c(cc2[nH]1)OCO3)c1ccc(O)cc1[O-] ZINC001299183803 844297908 /nfs/dbraw/zinc/29/79/08/844297908.db2.gz ZEGQPFIAWWLHBR-UHFFFAOYSA-N -1 1 313.269 1.955 20 0 DDADMM CC[C@H](C)N1CC[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC001268842757 840891896 /nfs/dbraw/zinc/89/18/96/840891896.db2.gz PLCMUVFNFNEREB-SJCJKPOMSA-N -1 1 317.389 1.650 20 0 DDADMM NS(=O)(=O)c1ccsc1C(=O)[N-]c1cccc(F)c1F ZINC001362083204 840893074 /nfs/dbraw/zinc/89/30/74/840893074.db2.gz JUPNPAYHEGVESZ-UHFFFAOYSA-N -1 1 318.326 1.926 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CN(C(=O)Cc1ccc([O-])c(Cl)c1)C2 ZINC001269510164 841714786 /nfs/dbraw/zinc/71/47/86/841714786.db2.gz SXONQSWZMATXTH-NSHDSACASA-N -1 1 322.792 1.525 20 0 DDADMM C/C=C(/C)C(=O)N[C@]1(CNCc2n[nH]c(=O)[n-]2)CCCC[C@H]1C ZINC001269656912 841902558 /nfs/dbraw/zinc/90/25/58/841902558.db2.gz HFNHIWRMNCYZAB-KVQQGXJRSA-N -1 1 321.425 1.631 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)c2cnn(C)c2C)sn1 ZINC001363752612 842642977 /nfs/dbraw/zinc/64/29/77/842642977.db2.gz LOZIMXZJQBQVKQ-SSDOTTSWSA-N -1 1 316.408 1.233 20 0 DDADMM Cc1ccc2ccc(C(=O)NC34CC(C(N)=O)(C3)C4)c([O-])c2n1 ZINC001270530727 842644538 /nfs/dbraw/zinc/64/45/38/842644538.db2.gz XWZRZWMVMOEARA-UHFFFAOYSA-N -1 1 311.341 1.387 20 0 DDADMM CCCC1(CNS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1 ZINC001363836446 842768123 /nfs/dbraw/zinc/76/81/23/842768123.db2.gz HWUHRCZEADOGDF-UHFFFAOYSA-N -1 1 301.368 1.055 20 0 DDADMM CCCC1(CNS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1 ZINC001363836446 842768131 /nfs/dbraw/zinc/76/81/31/842768131.db2.gz HWUHRCZEADOGDF-UHFFFAOYSA-N -1 1 301.368 1.055 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2csc(C)n2)sn1 ZINC001363924100 842854152 /nfs/dbraw/zinc/85/41/52/842854152.db2.gz AKIMFOCHDVHICD-UHFFFAOYSA-N -1 1 319.433 1.438 20 0 DDADMM CN1C(=O)C[C@@]2(CCCN(C(=O)c3cccc([O-])c3F)C2)C1=O ZINC001272283245 845997400 /nfs/dbraw/zinc/99/74/00/845997400.db2.gz PYGDLZGLWQFGJH-MRXNPFEDSA-N -1 1 320.320 1.142 20 0 DDADMM CC(C)(C)CC(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272354252 846062435 /nfs/dbraw/zinc/06/24/35/846062435.db2.gz OEGJRFIDKCDEBP-LLVKDONJSA-N -1 1 309.414 1.275 20 0 DDADMM Cc1cc2c(c(NCc3c(C)cc(C)[nH]c3=O)n1)C(=O)[N-]C2=O ZINC001155136035 861764555 /nfs/dbraw/zinc/76/45/55/861764555.db2.gz FXHWSHQPSRLTQM-UHFFFAOYSA-N -1 1 312.329 1.603 20 0 DDADMM CC(=O)N[C@@H](C)C1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC001005541297 848376954 /nfs/dbraw/zinc/37/69/54/848376954.db2.gz IDMBQVKNAXQFDR-VIFPVBQESA-N -1 1 320.393 1.211 20 0 DDADMM O=C(NCc1ccc2nonc2c1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155269690 861917437 /nfs/dbraw/zinc/91/74/37/861917437.db2.gz OTABOYADHPLXLT-UHFFFAOYSA-N -1 1 309.285 1.735 20 0 DDADMM CCOC(=O)[C@H]1CN(C(=O)c2ccc([O-])cn2)CCC1(F)F ZINC001149862908 861952730 /nfs/dbraw/zinc/95/27/30/861952730.db2.gz DAKJOAIGGNWFEO-SNVBAGLBSA-N -1 1 314.288 1.448 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(NS(=O)(=O)C(C)C)c1 ZINC001252407024 851560919 /nfs/dbraw/zinc/56/09/19/851560919.db2.gz QHQNAXUWZDJFQL-UHFFFAOYSA-N -1 1 307.393 1.336 20 0 DDADMM O=C1N(C2COC2)CC[C@@]12CCCN2Cc1ccc([S-])cc1 ZINC001274112225 851939228 /nfs/dbraw/zinc/93/92/28/851939228.db2.gz FQDNRMSQFCUCRI-KRWDZBQOSA-N -1 1 318.442 1.941 20 0 DDADMM CC(C)NC(=O)N1CCC12CN(C(=O)c1cc([O-])cc(F)c1)C2 ZINC001275006155 852740121 /nfs/dbraw/zinc/74/01/21/852740121.db2.gz ZZCNCNTWDYTETI-UHFFFAOYSA-N -1 1 321.352 1.550 20 0 DDADMM COC(=O)[C@@H](Nc1nc(C)cc2c1C(=O)[N-]C2=O)C(C)(C)C ZINC001155737421 862421114 /nfs/dbraw/zinc/42/11/14/862421114.db2.gz HLFYUWIGRJRTPK-SNVBAGLBSA-N -1 1 305.334 1.273 20 0 DDADMM C[C@H]1c2nc(C(N)=O)cn2CCN1C(=O)c1ccc(F)c([O-])c1 ZINC001275409032 853081589 /nfs/dbraw/zinc/08/15/89/853081589.db2.gz WTFTYMBQLDEHCW-QMMMGPOBSA-N -1 1 318.308 1.044 20 0 DDADMM Cc1ccoc1C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001411493828 853224036 /nfs/dbraw/zinc/22/40/36/853224036.db2.gz MIOIRFRLCDULSW-NSHDSACASA-N -1 1 317.345 1.579 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC[C@H]2C[C@]21C(=O)N1CC=CC1 ZINC001275984692 854081858 /nfs/dbraw/zinc/08/18/58/854081858.db2.gz SHNMKLYEJJUBAQ-APPDUMDISA-N -1 1 316.332 1.534 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2[C@H]3CCO[C@H]3C23CCC3)c(=O)[n-]1 ZINC001412002883 854094613 /nfs/dbraw/zinc/09/46/13/854094613.db2.gz HWZOFVZINALZFH-FBIMIBRVSA-N -1 1 321.402 1.592 20 0 DDADMM CCC(CC)(NC(=O)CN1CCCCCCC1=O)c1nn[n-]n1 ZINC001412098547 854220349 /nfs/dbraw/zinc/22/03/49/854220349.db2.gz AOPUBLILOZEIHQ-UHFFFAOYSA-N -1 1 322.413 1.124 20 0 DDADMM Cc1[nH]ccc1C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001412292555 854414708 /nfs/dbraw/zinc/41/47/08/854414708.db2.gz MKYGAVKYLWIVLT-ONGXEEELSA-N -1 1 317.311 1.995 20 0 DDADMM Nc1ncc2c(n1)CN(C(=O)c1ccc(Cl)cc1[O-])CC2 ZINC001412351836 854465076 /nfs/dbraw/zinc/46/50/76/854465076.db2.gz YJXOVEBFXAROSE-UHFFFAOYSA-N -1 1 304.737 1.616 20 0 DDADMM CSCC[C@H](NC(=O)c1conc1C1CC1)c1nn[n-]n1 ZINC001412369069 854481825 /nfs/dbraw/zinc/48/18/25/854481825.db2.gz OUPLXSGJLMRYSQ-VIFPVBQESA-N -1 1 308.367 1.289 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H]1c1cc(C)no1 ZINC001412424032 854531726 /nfs/dbraw/zinc/53/17/26/854531726.db2.gz QUBOZJRJVGFPSH-MRVPVSSYSA-N -1 1 320.305 1.170 20 0 DDADMM COC(=O)N1CCC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001412492926 854621482 /nfs/dbraw/zinc/62/14/82/854621482.db2.gz RUAFGOXEYFPGOK-SNVBAGLBSA-N -1 1 320.349 1.020 20 0 DDADMM COC[C@H](NC(=O)[C@@H](C)Cc1ccccc1Cl)c1nn[n-]n1 ZINC001412612706 854811631 /nfs/dbraw/zinc/81/16/31/854811631.db2.gz TTWUGLYQTZIOMW-CABZTGNLSA-N -1 1 323.784 1.536 20 0 DDADMM Cc1noc(C)c1CCC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001412613784 854813889 /nfs/dbraw/zinc/81/38/89/854813889.db2.gz RTTBVMYRZFXUAK-LBPRGKRZSA-N -1 1 318.381 1.218 20 0 DDADMM CCC[C@H](NC(=O)c1nnc(C(C)(C)C)s1)c1nn[n-]n1 ZINC001412647223 854873674 /nfs/dbraw/zinc/87/36/74/854873674.db2.gz VHYBCNHAYCMQAE-ZETCQYMHSA-N -1 1 309.399 1.620 20 0 DDADMM C[C@H]1c2nc(C(N)=O)cn2CCN1C(=O)c1cc([O-])cc(F)c1 ZINC001276182868 854919186 /nfs/dbraw/zinc/91/91/86/854919186.db2.gz AIHJDSCRYJYYIT-QMMMGPOBSA-N -1 1 318.308 1.044 20 0 DDADMM O=C(NCc1ccc(N2CCOC2=O)cc1)c1cnncc1[O-] ZINC001412733498 855044110 /nfs/dbraw/zinc/04/41/10/855044110.db2.gz RSSMEOOBDMEDOJ-UHFFFAOYSA-N -1 1 314.301 1.069 20 0 DDADMM C[C@H](NC(=O)c1ccc([O-])cn1)c1ccc(S(C)(=O)=O)cc1 ZINC001412767963 855239602 /nfs/dbraw/zinc/23/96/02/855239602.db2.gz GURARIWJTPXCNO-JTQLQIEISA-N -1 1 320.370 1.682 20 0 DDADMM O=C(N[C@H](CO)C[C@H](O)c1ccccc1)c1ccc([O-])cc1F ZINC001412771467 855245321 /nfs/dbraw/zinc/24/53/21/855245321.db2.gz FPALZVWHIXLNRE-LRDDRELGSA-N -1 1 319.332 1.746 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCOC[C@H]1[C@H]1CCCC1=O ZINC001412813908 855301120 /nfs/dbraw/zinc/30/11/20/855301120.db2.gz FTMSZANVYMUEFE-PWSUYJOCSA-N -1 1 307.321 1.742 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001412894966 855760540 /nfs/dbraw/zinc/76/05/40/855760540.db2.gz JHBYIXGHZHUKKC-LLVKDONJSA-N -1 1 315.366 1.571 20 0 DDADMM CCc1cccc(N(C)C(=O)CNC(=O)c2ncccc2[O-])c1 ZINC001413045388 856051040 /nfs/dbraw/zinc/05/10/40/856051040.db2.gz QNAZTTWQOJBVKZ-UHFFFAOYSA-N -1 1 313.357 1.742 20 0 DDADMM Cc1cnc(NCC[N-]C(=O)C(F)(F)F)nc1NC1CC1 ZINC001156196657 862802010 /nfs/dbraw/zinc/80/20/10/862802010.db2.gz BVQKRQSVIQAKRC-UHFFFAOYSA-N -1 1 303.288 1.450 20 0 DDADMM CSc1nc(CNC(=O)c2c(C)cc[nH]c2=O)cc(=O)[n-]1 ZINC001413194794 856537568 /nfs/dbraw/zinc/53/75/68/856537568.db2.gz INFMRXDDUNDART-UHFFFAOYSA-N -1 1 306.347 1.243 20 0 DDADMM CCOC(=O)c1n[nH]c(CNCc2ccc(F)c(OC)c2)n1 ZINC001413255313 856588984 /nfs/dbraw/zinc/58/89/84/856588984.db2.gz DRBNALJNWJQQMN-UHFFFAOYSA-N -1 1 308.313 1.419 20 0 DDADMM O=C(N[C@H]1CCN(C2CCOCC2)C1=O)c1ccc(F)c([O-])c1 ZINC001413378344 856735638 /nfs/dbraw/zinc/73/56/38/856735638.db2.gz WDPUQILWPBIJJQ-ZDUSSCGKSA-N -1 1 322.336 1.041 20 0 DDADMM COc1cncc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1C ZINC001413506563 856906861 /nfs/dbraw/zinc/90/68/61/856906861.db2.gz UUVUBHDQPBFEIV-UHFFFAOYSA-N -1 1 304.354 1.210 20 0 DDADMM Cc1ccc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)nc1C ZINC001413563482 857073757 /nfs/dbraw/zinc/07/37/57/857073757.db2.gz VCLHZCAECZUOPY-UHFFFAOYSA-N -1 1 310.361 1.675 20 0 DDADMM Cc1cccc(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)c1 ZINC001413664375 857301988 /nfs/dbraw/zinc/30/19/88/857301988.db2.gz XMDBFEVWLGWOHQ-UHFFFAOYSA-N -1 1 301.346 1.819 20 0 DDADMM CNc1nc2cc(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)ccc2[nH]1 ZINC001156288907 862911408 /nfs/dbraw/zinc/91/14/08/862911408.db2.gz JTECOQXFRKCXBX-UHFFFAOYSA-N -1 1 324.304 1.229 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N1Cc2ccccc2[C@@H]1C ZINC001121968191 858648585 /nfs/dbraw/zinc/64/85/85/858648585.db2.gz CWKWEIDMYLFUQO-VIFPVBQESA-N -1 1 312.333 1.723 20 0 DDADMM C[C@@H]1C[C@H](C)CN1c1nnc(-c2nnn[n-]2)n1Cc1ccccc1 ZINC001122081473 858679746 /nfs/dbraw/zinc/67/97/46/858679746.db2.gz FCJBUCFCYVLWOL-NWDGAFQWSA-N -1 1 324.392 1.741 20 0 DDADMM C[C@@H]1C[C@H](C)CN1c1nnc(-c2nn[n-]n2)n1Cc1ccccc1 ZINC001122081473 858679749 /nfs/dbraw/zinc/67/97/49/858679749.db2.gz FCJBUCFCYVLWOL-NWDGAFQWSA-N -1 1 324.392 1.741 20 0 DDADMM O=C([O-])Cn1cc(CN2C[C@H](F)C[C@H](CO)C2)c2ccccc21 ZINC001138188821 860037769 /nfs/dbraw/zinc/03/77/69/860037769.db2.gz MLHGTDWQSUIMQW-GXTWGEPZSA-N -1 1 320.364 1.878 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc4ccccc4nc3N)c1-2 ZINC001154280794 860946051 /nfs/dbraw/zinc/94/60/51/860946051.db2.gz AJDBMYQDBLELOP-UHFFFAOYSA-N -1 1 319.328 1.418 20 0 DDADMM O=C(c1cccc(-c2cnco2)c1)N1CCC(c2nn[n-]n2)CC1 ZINC001152486268 863386311 /nfs/dbraw/zinc/38/63/11/863386311.db2.gz MDFGZNQRIBRVGA-UHFFFAOYSA-N -1 1 324.344 1.875 20 0 DDADMM O=C(N[C@@H]1CCCNC(=O)C1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152685141 863495452 /nfs/dbraw/zinc/49/54/52/863495452.db2.gz MYCQPHCCOXQARP-SECBINFHSA-N -1 1 315.329 1.045 20 0 DDADMM CC[C@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccc(F)cc1 ZINC001152802592 863544212 /nfs/dbraw/zinc/54/42/12/863544212.db2.gz JYGDQLJREJZGJO-LBPRGKRZSA-N -1 1 321.356 1.049 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2[nH]cc(C)c(=O)c2C)c1 ZINC001157325688 863739425 /nfs/dbraw/zinc/73/94/25/863739425.db2.gz RTBACNTWMXUBTQ-UHFFFAOYSA-N -1 1 314.341 1.748 20 0 DDADMM O=C(Nc1ccc(Cl)nn1)c1cnc2cccnc2c1[O-] ZINC001153853776 864160719 /nfs/dbraw/zinc/16/07/19/864160719.db2.gz CZIYRQDTAKZLSX-UHFFFAOYSA-N -1 1 301.693 1.619 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NOCc1ccc(F)cc1 ZINC001154003938 864306602 /nfs/dbraw/zinc/30/66/02/864306602.db2.gz APNSDGZEGCXGCE-UHFFFAOYSA-N -1 1 309.322 1.780 20 0 DDADMM CC(C)(C)c1ccnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159186038 865154706 /nfs/dbraw/zinc/15/47/06/865154706.db2.gz PMJICHAFFYCFFS-UHFFFAOYSA-N -1 1 301.310 1.547 20 0 DDADMM O=C(Nc1cccc(N2C(=O)CCC2=O)c1)c1cnncc1[O-] ZINC001159497616 865351445 /nfs/dbraw/zinc/35/14/45/865351445.db2.gz ASLUUDXFDBIXBS-UHFFFAOYSA-N -1 1 312.285 1.088 20 0 DDADMM Cc1cc(C(F)(F)[N-]c2nccnc2CN)c(Cl)nn1 ZINC001160250557 865747781 /nfs/dbraw/zinc/74/77/81/865747781.db2.gz NFEFJBSKPCVYNC-UHFFFAOYSA-N -1 1 300.700 1.849 20 0 DDADMM C=CC(=O)Nc1ccc([O-])c(C(=O)NOCc2ccccn2)c1 ZINC001161228436 866454585 /nfs/dbraw/zinc/45/45/85/866454585.db2.gz UQHXBTOVZIQGNR-UHFFFAOYSA-N -1 1 313.313 1.773 20 0 DDADMM CC1=CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC001333306360 866616413 /nfs/dbraw/zinc/61/64/13/866616413.db2.gz BXANWDKDUDKRFM-UHFFFAOYSA-N -1 1 304.350 1.117 20 0 DDADMM O=C(Nc1cc(N2CCOC2=O)ccc1F)c1cnncc1[O-] ZINC001361680116 882147449 /nfs/dbraw/zinc/14/74/49/882147449.db2.gz CXRVOJXNXISOCQ-UHFFFAOYSA-N -1 1 318.264 1.530 20 0 DDADMM Cc1nc([N-]C(=O)c2coc3c2C(=O)NCC(C)(C)C3)nn1C ZINC001361696712 882179187 /nfs/dbraw/zinc/17/91/87/882179187.db2.gz HQGZKCMDGGVUGJ-UHFFFAOYSA-N -1 1 317.349 1.281 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(OC2CCCC2)nc1 ZINC001361719477 882225407 /nfs/dbraw/zinc/22/54/07/882225407.db2.gz XRMLTOYXJIMJMN-UHFFFAOYSA-N -1 1 316.365 1.878 20 0 DDADMM CCC(=CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)CC ZINC001164045459 868911571 /nfs/dbraw/zinc/91/15/71/868911571.db2.gz VNQGCZJUVUOTTF-UHFFFAOYSA-N -1 1 321.425 1.633 20 0 DDADMM C[C@H](C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)C1CCC1 ZINC001165696870 869685736 /nfs/dbraw/zinc/68/57/36/869685736.db2.gz MABGLPDDZCCYNM-NSHDSACASA-N -1 1 321.425 1.323 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=Cc2ccc[nH]2)n[n-]1 ZINC001166126246 869847039 /nfs/dbraw/zinc/84/70/39/869847039.db2.gz JAKFPQJUJHRYJQ-UCUJLANTSA-N -1 1 303.322 1.200 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=Cc2ccc[nH]2)[n-]1 ZINC001166126246 869847051 /nfs/dbraw/zinc/84/70/51/869847051.db2.gz JAKFPQJUJHRYJQ-UCUJLANTSA-N -1 1 303.322 1.200 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C=Cc2ccc[nH]2)n1 ZINC001166126246 869847066 /nfs/dbraw/zinc/84/70/66/869847066.db2.gz JAKFPQJUJHRYJQ-UCUJLANTSA-N -1 1 303.322 1.200 20 0 DDADMM Cc1cccc([C@@H]2CCCN2c2nnc(Cc3nnn[n-]3)n2C)c1 ZINC001338274588 869898545 /nfs/dbraw/zinc/89/85/45/869898545.db2.gz ZECJPGSKHRTAFD-ZDUSSCGKSA-N -1 1 324.392 1.569 20 0 DDADMM Cc1cccc([C@@H]2CCCN2c2nnc(Cc3nn[n-]n3)n2C)c1 ZINC001338274588 869898553 /nfs/dbraw/zinc/89/85/53/869898553.db2.gz ZECJPGSKHRTAFD-ZDUSSCGKSA-N -1 1 324.392 1.569 20 0 DDADMM CN(CCc1ccccc1F)c1nnc(-c2c[n-][nH]c2=O)n1C ZINC001338271245 869898613 /nfs/dbraw/zinc/89/86/13/869898613.db2.gz NBPWMSKWOURDIE-UHFFFAOYSA-N -1 1 316.340 1.729 20 0 DDADMM CC(C)(C)C=CC(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001317009541 870115501 /nfs/dbraw/zinc/11/55/01/870115501.db2.gz FICGCDGNIPUNEI-ZADCQDASSA-N -1 1 307.398 1.097 20 0 DDADMM COC1CCN(c2nnc(-c3cc(Cl)ncc3[O-])n2C)CC1 ZINC001338972848 870274504 /nfs/dbraw/zinc/27/45/04/870274504.db2.gz CJVVKYSFTSQAJD-UHFFFAOYSA-N -1 1 323.784 1.851 20 0 DDADMM CCC[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccccn1 ZINC001361799066 882396025 /nfs/dbraw/zinc/39/60/25/882396025.db2.gz OATCYMAJTMCMJE-SNVBAGLBSA-N -1 1 318.333 1.563 20 0 DDADMM COCCOc1ccc(CNCc2cnn(C)c2C(=O)[O-])cc1 ZINC001339268455 870430707 /nfs/dbraw/zinc/43/07/07/870430707.db2.gz POIZVRFZIVFNSS-UHFFFAOYSA-N -1 1 319.361 1.433 20 0 DDADMM NS(=O)(=O)CCCCCC(=O)Nc1cc([O-])c(F)cc1F ZINC001298972653 870789853 /nfs/dbraw/zinc/78/98/53/870789853.db2.gz HGHLEYOUCZTJKX-UHFFFAOYSA-N -1 1 322.333 1.458 20 0 DDADMM C=CC(=O)Nc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001303220746 871111296 /nfs/dbraw/zinc/11/12/96/871111296.db2.gz AZZWTNHOLKXOJY-UHFFFAOYSA-N -1 1 312.333 1.133 20 0 DDADMM Cn1nccc1[C@@H](O)CNC(=O)c1cc2ccccc2cc1[O-] ZINC001304014818 871178885 /nfs/dbraw/zinc/17/88/85/871178885.db2.gz ZCTPTWPEDBSUGR-INIZCTEOSA-N -1 1 311.341 1.742 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccc(Cn2ccccc2=O)o1 ZINC001306521693 871348588 /nfs/dbraw/zinc/34/85/88/871348588.db2.gz FTYSYJORWPBFRB-UHFFFAOYSA-N -1 1 311.297 1.264 20 0 DDADMM COC(=O)/C=C/[C@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC001309958720 871613327 /nfs/dbraw/zinc/61/33/27/871613327.db2.gz DPTJZSCJQOJRPW-JARNTUPDSA-N -1 1 309.343 1.829 20 0 DDADMM O=C(NC1CN(CCOCC2CCC2)C1)c1ncccc1[O-] ZINC001318017770 871690828 /nfs/dbraw/zinc/69/08/28/871690828.db2.gz IPCJSGYXAFZWSX-UHFFFAOYSA-N -1 1 305.378 1.018 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2nc(-c3ccsc3)no2)n[n-]1 ZINC001318050106 871710166 /nfs/dbraw/zinc/71/01/66/871710166.db2.gz KSIFSUWWFPPLKC-UHFFFAOYSA-N -1 1 311.348 1.199 20 0 DDADMM CCCN(C(=O)c1ccc(F)c([O-])c1)[C@@H]1CCS(=O)(=O)C1 ZINC001361891654 882587536 /nfs/dbraw/zinc/58/75/36/882587536.db2.gz YHGXDDFFGFPJSN-LLVKDONJSA-N -1 1 315.366 1.571 20 0 DDADMM O=c1cc(O[C@H]2CC(F)(F)C2(F)F)nc(N2CCOCC2)[n-]1 ZINC001226727720 882615486 /nfs/dbraw/zinc/61/54/86/882615486.db2.gz HQUUSAVXUKDWFC-ZETCQYMHSA-N -1 1 323.246 1.441 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)CCCOC)o1 ZINC001344312740 872977429 /nfs/dbraw/zinc/97/74/29/872977429.db2.gz KFLWYEQHXMNOLQ-VIFPVBQESA-N -1 1 305.352 1.160 20 0 DDADMM CNC(=O)c1cc(NC(=O)c2cnncc2[O-])ccc1Cl ZINC001361947087 882689369 /nfs/dbraw/zinc/68/93/69/882689369.db2.gz MIKJGCHGXVKLPV-UHFFFAOYSA-N -1 1 306.709 1.448 20 0 DDADMM Cc1cccc(N(C)c2nnc(Cc3nnn[n-]3)n2CC2CC2)c1 ZINC001346039191 873599104 /nfs/dbraw/zinc/59/91/04/873599104.db2.gz PTIGMDGEUIJHCW-UHFFFAOYSA-N -1 1 324.392 1.868 20 0 DDADMM Cc1cccc(N(C)c2nnc(Cc3nn[n-]n3)n2CC2CC2)c1 ZINC001346039191 873599107 /nfs/dbraw/zinc/59/91/07/873599107.db2.gz PTIGMDGEUIJHCW-UHFFFAOYSA-N -1 1 324.392 1.868 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCc2ccccc2C1 ZINC001346060760 873602457 /nfs/dbraw/zinc/60/24/57/873602457.db2.gz PKSJOQTWFBUXDQ-UHFFFAOYSA-N -1 1 301.371 1.978 20 0 DDADMM CCn1cccc1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC001346506949 873782545 /nfs/dbraw/zinc/78/25/45/873782545.db2.gz OFDRDBDXEXOJIB-UHFFFAOYSA-N -1 1 313.361 1.803 20 0 DDADMM O=C(c1cccc(-n2cccn2)c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347973556 874324204 /nfs/dbraw/zinc/32/42/04/874324204.db2.gz FAQFLJCXWMADCH-GFCCVEGCSA-N -1 1 309.333 1.015 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC1(OC(C)C)CCC1 ZINC001348027436 874337386 /nfs/dbraw/zinc/33/73/86/874337386.db2.gz VEGWYPFWUUULQV-UHFFFAOYSA-N -1 1 311.407 1.857 20 0 DDADMM Cc1cc(Cl)nc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)c1 ZINC001348174703 874401799 /nfs/dbraw/zinc/40/17/99/874401799.db2.gz ASOWXCJBXPBUGE-JTQLQIEISA-N -1 1 320.784 1.651 20 0 DDADMM CCOc1ccc(NC(=O)CCCc2nn[n-]n2)cc1OCC ZINC001362022069 882848824 /nfs/dbraw/zinc/84/88/24/882848824.db2.gz MOBRPHOPUHFJFE-UHFFFAOYSA-N -1 1 319.365 1.959 20 0 DDADMM Cc1cn(-c2ccccc2)nc1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001349002716 874918036 /nfs/dbraw/zinc/91/80/36/874918036.db2.gz QFVTVDQBQMEBAF-LBPRGKRZSA-N -1 1 323.360 1.324 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@H]([C@@H]2CCOC2)C1 ZINC001349354107 875127757 /nfs/dbraw/zinc/12/77/57/875127757.db2.gz AYWGTKWGYVULQN-VHSXEESVSA-N -1 1 309.391 1.278 20 0 DDADMM CCC[C@@H](C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001209900433 875163441 /nfs/dbraw/zinc/16/34/41/875163441.db2.gz ULBMKWLJQNKZCV-QWHCGFSZSA-N -1 1 323.441 1.663 20 0 DDADMM CN(C)c1ccccc1[N-]S(=O)(=O)c1cc(N)ccc1N ZINC001209995093 875190580 /nfs/dbraw/zinc/19/05/80/875190580.db2.gz IYQFCJSSLVVEKY-UHFFFAOYSA-N -1 1 306.391 1.718 20 0 DDADMM CCC[C@@H](CC)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210377415 875356040 /nfs/dbraw/zinc/35/60/40/875356040.db2.gz GIQZQEXYPBKJDC-NEPJUHHUSA-N -1 1 309.414 1.417 20 0 DDADMM C[C@@H]1CC(=O)NCCN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362093761 883019009 /nfs/dbraw/zinc/01/90/09/883019009.db2.gz DEIGUWHLCAIVTK-MRVPVSSYSA-N -1 1 316.279 1.762 20 0 DDADMM COc1ccc([C@@H](C)Oc2nc3[nH]c(=O)[nH]c(=O)c3[n-]2)cc1 ZINC001227431383 883018182 /nfs/dbraw/zinc/01/81/82/883018182.db2.gz VMVZVFBCBDMXRY-SSDOTTSWSA-N -1 1 302.290 1.913 20 0 DDADMM COc1ccc([C@@H](C)Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)cc1 ZINC001227431383 883018201 /nfs/dbraw/zinc/01/82/01/883018201.db2.gz VMVZVFBCBDMXRY-SSDOTTSWSA-N -1 1 302.290 1.913 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(F)(F)C(F)(F)C1 ZINC001352281694 876676112 /nfs/dbraw/zinc/67/61/12/876676112.db2.gz OZHRAFVOUIPGEC-UHFFFAOYSA-N -1 1 311.260 1.506 20 0 DDADMM COc1ncc(Nc2cccnc2F)cc1[N-]S(C)(=O)=O ZINC001216151840 876877740 /nfs/dbraw/zinc/87/77/40/876877740.db2.gz JNJHWTKPZBKLIM-UHFFFAOYSA-N -1 1 312.326 1.739 20 0 DDADMM CCOC(=O)C[C@@H](Oc1nc(=O)[nH]c(=O)[n-]1)c1ccccc1 ZINC001227566605 883085248 /nfs/dbraw/zinc/08/52/48/883085248.db2.gz ITXLYCISHMUOFP-SNVBAGLBSA-N -1 1 305.290 1.356 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@H](c2ccccn2)C1 ZINC001352900999 876996006 /nfs/dbraw/zinc/99/60/06/876996006.db2.gz ORGFTZKFNMUIJR-SNVBAGLBSA-N -1 1 316.386 1.804 20 0 DDADMM C[C@@H]1C[C@H](Oc2nc(=O)[nH]c(=O)[n-]2)CN1C(=O)OC(C)(C)C ZINC001227569237 883085690 /nfs/dbraw/zinc/08/56/90/883085690.db2.gz WCLBSFXLUSQGCD-SFYZADRCSA-N -1 1 312.326 1.060 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)/C=C/[C@@H]2CCCO2)[n-]c1=O ZINC001353050260 877084980 /nfs/dbraw/zinc/08/49/80/877084980.db2.gz KXWNGMPMGQNIBG-FGEFZZPRSA-N -1 1 307.350 1.277 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCN([C@@H]3CCOC3)CC2)c1 ZINC001300404882 877550544 /nfs/dbraw/zinc/55/05/44/877550544.db2.gz JZVXRTUAJYKXGQ-CQSZACIVSA-N -1 1 318.373 1.060 20 0 DDADMM CCC(CC)C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC001379626703 877568308 /nfs/dbraw/zinc/56/83/08/877568308.db2.gz DUFAPTXFRZVUFS-WCQYABFASA-N -1 1 323.441 1.014 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)CN(C)CCc2ccns2)c1[O-] ZINC001379640473 877613306 /nfs/dbraw/zinc/61/33/06/877613306.db2.gz HXZKVRSFTSIYSO-VIFPVBQESA-N -1 1 323.422 1.173 20 0 DDADMM O=C([O-])/C=C/c1ccc(CN2CCC[C@@H](n3ccnn3)C2)o1 ZINC001353923636 877649064 /nfs/dbraw/zinc/64/90/64/877649064.db2.gz ZVHQGWRLLLJSGD-BTDICHCPSA-N -1 1 302.334 1.806 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)NC1CCN(Cc2cccnc2)CC1 ZINC001354073314 877733442 /nfs/dbraw/zinc/73/34/42/877733442.db2.gz KTSHFOKHEZAIFC-AWEZNQCLSA-N -1 1 305.378 1.273 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)c(C)n1Cc1ccco1 ZINC001354102896 877754054 /nfs/dbraw/zinc/75/40/54/877754054.db2.gz XBEPFVFWOAKPGQ-UHFFFAOYSA-N -1 1 300.322 1.536 20 0 DDADMM O=C([O-])[C@]1(C(=O)Nc2ccc(C(F)F)cc2)CNCCO1 ZINC001355145619 878446528 /nfs/dbraw/zinc/44/65/28/878446528.db2.gz FZMIZXGGHADVIZ-CYBMUJFWSA-N -1 1 300.261 1.006 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)N(C)[C@H]1CCN(C)C1 ZINC000313857857 878529817 /nfs/dbraw/zinc/52/98/17/878529817.db2.gz IVLRBVFOQYEGON-LBPRGKRZSA-N -1 1 312.391 1.018 20 0 DDADMM CCOc1ccc(S(=O)(=O)Nc2ncccn2)cc1C(=O)[O-] ZINC000314178843 878616033 /nfs/dbraw/zinc/61/60/33/878616033.db2.gz WMARNQREPXJRAD-UHFFFAOYSA-N -1 1 323.330 1.374 20 0 DDADMM COC(=O)[C@@H](NCc1cc(=O)oc2cc([O-])ccc12)C(C)C ZINC000315121522 878960651 /nfs/dbraw/zinc/96/06/51/878960651.db2.gz SIUMLCZEBVNPAK-HNNXBMFYSA-N -1 1 305.330 1.786 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CNc3ccccc3C2)c(=O)[n-]1 ZINC001220602460 879130224 /nfs/dbraw/zinc/13/02/24/879130224.db2.gz WSUOLNGBWFESGC-JTQLQIEISA-N -1 1 316.386 1.671 20 0 DDADMM CC[C@H](C)CC(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001380281828 879225550 /nfs/dbraw/zinc/22/55/50/879225550.db2.gz OXZMDOPDOPHLTQ-HIFPTAJRSA-N -1 1 319.405 1.848 20 0 DDADMM CC1(C)CCC[C@@H]1[C@H]1CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)C1 ZINC001357668933 880127461 /nfs/dbraw/zinc/12/74/61/880127461.db2.gz VKMIMCWVWDGESA-OGHNNQOOSA-N -1 1 324.421 1.104 20 0 DDADMM NC(=O)[C@@H]1CCC[C@]12CCN(C(=O)c1cc([O-])cc(F)c1F)C2 ZINC001276826782 880188192 /nfs/dbraw/zinc/18/81/92/880188192.db2.gz DRHQFPYYXPFKCT-MEDUHNTESA-N -1 1 324.327 1.788 20 0 DDADMM O=C(NCCN(C(=O)c1ncccc1[O-])C1CC1)[C@H]1CC12CC2 ZINC001374327792 912556253 /nfs/dbraw/zinc/55/62/53/912556253.db2.gz OHKKIRWDZATGGT-GFCCVEGCSA-N -1 1 315.373 1.308 20 0 DDADMM Cn1ncc(C2CC2)c1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000849924294 881289966 /nfs/dbraw/zinc/28/99/66/881289966.db2.gz DFLMLZHEUZGBOI-UHFFFAOYSA-N -1 1 319.283 1.523 20 0 DDADMM C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1cc(Cl)ccc1[O-] ZINC001362150120 883158643 /nfs/dbraw/zinc/15/86/43/883158643.db2.gz UXLOMSXTMLAIDI-BDAKNGLRSA-N -1 1 319.810 1.939 20 0 DDADMM CC(C)(C)S(=O)(=O)CCNC(=O)c1ccc(F)c([O-])c1 ZINC001362156641 883171942 /nfs/dbraw/zinc/17/19/42/883171942.db2.gz QFMUDGSJPAIZFO-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM C[C@@H]1COCC[C@@H]1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001362158033 883175847 /nfs/dbraw/zinc/17/58/47/883175847.db2.gz CRGUSBYDUHZQLR-MFKMUULPSA-N -1 1 317.349 1.390 20 0 DDADMM Cn1nc(C2CCCCC2)cc1NC(=O)CCCc1nn[n-]n1 ZINC001362214254 883316089 /nfs/dbraw/zinc/31/60/89/883316089.db2.gz AJIIMAQVFRCIAC-UHFFFAOYSA-N -1 1 317.397 1.942 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1C[C@H]1c1ccc(OC(F)F)cc1 ZINC001362235772 883374275 /nfs/dbraw/zinc/37/42/75/883374275.db2.gz IOEIYUWJZYKLHC-VHSXEESVSA-N -1 1 309.276 1.221 20 0 DDADMM Cc1ccc(N2CCC(Oc3cnnc(=S)[n-]3)CC2)nc1 ZINC001228635988 883583826 /nfs/dbraw/zinc/58/38/26/883583826.db2.gz GNSVRBASXCYBJD-UHFFFAOYSA-N -1 1 303.391 1.912 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](CC(F)(F)F)C(C)C ZINC001362371213 883662361 /nfs/dbraw/zinc/66/23/61/883662361.db2.gz CJPLDFUNXTWSHY-LURJTMIESA-N -1 1 323.271 1.603 20 0 DDADMM CCC(CC)(NC(=O)c1cc(=O)[nH]c(C2CC2)c1)c1nn[n-]n1 ZINC001362425407 883779939 /nfs/dbraw/zinc/77/99/39/883779939.db2.gz OTFJJKXAZITLKN-UHFFFAOYSA-N -1 1 316.365 1.623 20 0 DDADMM O=C(NCc1noc2c1CCCC2)c1cnc(C2CC2)[n-]c1=O ZINC001362519189 883992115 /nfs/dbraw/zinc/99/21/15/883992115.db2.gz XRVYQHMDTIZXDL-UHFFFAOYSA-N -1 1 314.345 1.856 20 0 DDADMM O=C1[N-]C(=O)[C@@H](Cc2ccc(O[C@H]3CCOC3=O)cc2)S1 ZINC001229619548 884078306 /nfs/dbraw/zinc/07/83/06/884078306.db2.gz WPSGVQDLHYROAY-WDEREUQCSA-N -1 1 307.327 1.275 20 0 DDADMM CCOC(=O)C[C@@H](Oc1nc(C)[n-]c(=O)c1OC)C(F)(F)F ZINC001230018681 884281433 /nfs/dbraw/zinc/28/14/33/884281433.db2.gz BSENWGWSXHFCIN-SSDOTTSWSA-N -1 1 324.255 1.762 20 0 DDADMM CCOC(=O)C(F)(F)[C@@H](CC)Oc1nc(C)[n-]c(=O)c1OC ZINC001230022197 884284272 /nfs/dbraw/zinc/28/42/72/884284272.db2.gz SLVIQWILGQMRTJ-MRVPVSSYSA-N -1 1 320.292 1.855 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CSC(c2ccccc2)=N1 ZINC001362658056 884342462 /nfs/dbraw/zinc/34/24/62/884342462.db2.gz NABVSLXACIWIKJ-JTQLQIEISA-N -1 1 302.359 1.924 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(C)cc2O)n1 ZINC001362662862 884353999 /nfs/dbraw/zinc/35/39/99/884353999.db2.gz XIZAGRWYJYZNGQ-VIFPVBQESA-N -1 1 318.333 1.486 20 0 DDADMM CCC(C)(CC)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001230208453 884377629 /nfs/dbraw/zinc/37/76/29/884377629.db2.gz MFHKTEIPZXVFFT-VXGBXAGGSA-N -1 1 323.441 1.854 20 0 DDADMM COC(=O)c1c[n-]c(O[C@@H]2C=CCN(C(=O)OC(C)(C)C)C2)n1 ZINC001230282399 884408075 /nfs/dbraw/zinc/40/80/75/884408075.db2.gz UQGFBXRUFZYIDC-SNVBAGLBSA-N -1 1 323.349 1.751 20 0 DDADMM Cc1nccnc1N1CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001362705509 884452326 /nfs/dbraw/zinc/45/23/26/884452326.db2.gz VULJWEOBLBPXJA-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM O=C(CCSc1ccccn1)NCc1nc([O-])cc(=O)[nH]1 ZINC001362715107 884473273 /nfs/dbraw/zinc/47/32/73/884473273.db2.gz CNUJQUKWSHRLDB-UHFFFAOYSA-N -1 1 306.347 1.081 20 0 DDADMM COC(=O)[C@@H](C)c1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001362727208 884495125 /nfs/dbraw/zinc/49/51/25/884495125.db2.gz IHYNMOVPZKSMMU-VIFPVBQESA-N -1 1 303.322 1.048 20 0 DDADMM CNC(=O)c1c(C)[nH]nc1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC001362738518 884519623 /nfs/dbraw/zinc/51/96/23/884519623.db2.gz CMNAGXZMBUJZIH-UHFFFAOYSA-N -1 1 322.752 1.618 20 0 DDADMM C[C@@H](NC(=O)c1cnncc1[O-])c1nc(C(F)(F)F)no1 ZINC001362801158 884674770 /nfs/dbraw/zinc/67/47/70/884674770.db2.gz BFCHPIHHZFEHAZ-SCSAIBSYSA-N -1 1 303.200 1.075 20 0 DDADMM Cc1sc(Cl)nc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001362833616 884754956 /nfs/dbraw/zinc/75/49/56/884754956.db2.gz WPRNAGSGXPMNJS-UHFFFAOYSA-N -1 1 314.802 1.916 20 0 DDADMM Cc1cccc(CO[C@@H](C)C(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001362838456 884765681 /nfs/dbraw/zinc/76/56/81/884765681.db2.gz ZELFLORDXLHNCC-LBPRGKRZSA-N -1 1 315.377 1.609 20 0 DDADMM CCC(CC)(NC(=O)c1cnn2c1C[C@@H](C)CC2)c1nn[n-]n1 ZINC001362851470 884796439 /nfs/dbraw/zinc/79/64/39/884796439.db2.gz AYOJBDFGULADAO-JTQLQIEISA-N -1 1 317.397 1.424 20 0 DDADMM COC[C@@H](NC(=O)CC[C@@H]1CCc2ccccc21)c1nn[n-]n1 ZINC001362897850 884918239 /nfs/dbraw/zinc/91/82/39/884918239.db2.gz GVJWOZUXALZVSE-GXTWGEPZSA-N -1 1 315.377 1.514 20 0 DDADMM COC[C@@H](NC(=O)c1ccc([O-])cn1)c1cccc(OC)c1 ZINC001362923595 885000222 /nfs/dbraw/zinc/00/02/22/885000222.db2.gz MQMIZEAURLEUFB-OAHLLOKOSA-N -1 1 302.330 1.913 20 0 DDADMM CC1(C)C[C@@](C)(NC(=O)c2cnc(C3CC3)[n-]c2=O)C(=O)O1 ZINC001362929503 885012913 /nfs/dbraw/zinc/01/29/13/885012913.db2.gz HVDZGUMXTAEBGI-OAHLLOKOSA-N -1 1 305.334 1.274 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)nn1C(C)C ZINC001362992990 885172739 /nfs/dbraw/zinc/17/27/39/885172739.db2.gz BOSPHBFEACKWIV-NSHDSACASA-N -1 1 303.370 1.305 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)C2(n3cccn3)CC2)n[nH]1 ZINC001362997742 885186964 /nfs/dbraw/zinc/18/69/64/885186964.db2.gz XMFIRAUURWUFHF-UHFFFAOYSA-N -1 1 303.322 1.299 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cnoc2C(C)C)n[n-]1 ZINC001363045737 885321831 /nfs/dbraw/zinc/32/18/31/885321831.db2.gz KCJKNANZUGUQQC-QMMMGPOBSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cnoc2C(C)C)[n-]1 ZINC001363045737 885321843 /nfs/dbraw/zinc/32/18/43/885321843.db2.gz KCJKNANZUGUQQC-QMMMGPOBSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cnoc2C(C)C)n1 ZINC001363045737 885321861 /nfs/dbraw/zinc/32/18/61/885321861.db2.gz KCJKNANZUGUQQC-QMMMGPOBSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)NCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001363060793 885362033 /nfs/dbraw/zinc/36/20/33/885362033.db2.gz ZOHWYNCVTWQTJV-UHFFFAOYSA-N -1 1 304.306 1.263 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CCN(C(=O)c2ccccc2)C1 ZINC001363120329 885513883 /nfs/dbraw/zinc/51/38/83/885513883.db2.gz RDSPOYTZUIBQQO-NSHDSACASA-N -1 1 300.318 1.216 20 0 DDADMM O=C(CN1C(=O)COc2ccc(Cl)cc21)Nc1c[n-][nH]c1=O ZINC001363126217 885527045 /nfs/dbraw/zinc/52/70/45/885527045.db2.gz HAEYVZSLOHGKLK-UHFFFAOYSA-N -1 1 322.708 1.133 20 0 DDADMM CN1C(=O)N=NC1CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001363137431 885556489 /nfs/dbraw/zinc/55/64/89/885556489.db2.gz CYSZBFNEGHTQEJ-UHFFFAOYSA-N -1 1 316.239 1.175 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)C1CC2(CSC2)C1 ZINC001363206952 885714746 /nfs/dbraw/zinc/71/47/46/885714746.db2.gz LOJNXIMKTKIBQQ-UHFFFAOYSA-N -1 1 321.402 1.014 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCc1ccc(C)cn1 ZINC001363215027 885732240 /nfs/dbraw/zinc/73/22/40/885732240.db2.gz KOKONRRVJLLIPP-UHFFFAOYSA-N -1 1 318.402 1.888 20 0 DDADMM CCC[C@H](C)C(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001383408165 885735755 /nfs/dbraw/zinc/73/57/55/885735755.db2.gz BUUGGYNFQVNQOD-HIFPTAJRSA-N -1 1 319.405 1.943 20 0 DDADMM CC(C)(C)CC(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001383443168 885794539 /nfs/dbraw/zinc/79/45/39/885794539.db2.gz MWSXGCQKFOVAEL-HAQNSBGRSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCCCCCCC1 ZINC001363250243 885819326 /nfs/dbraw/zinc/81/93/26/885819326.db2.gz AWXNESOLGFXRBA-UHFFFAOYSA-N -1 1 305.378 1.700 20 0 DDADMM CCC[C@@H](C)C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001383455206 885821660 /nfs/dbraw/zinc/82/16/60/885821660.db2.gz SDGGCOHCFLQUQN-JHJVBQTASA-N -1 1 319.405 1.848 20 0 DDADMM NC(=O)[C@@H]1CCn2nccc2CN1Cc1cc(F)c([O-])c(F)c1 ZINC001277565697 885871226 /nfs/dbraw/zinc/87/12/26/885871226.db2.gz RNWAGUJZTSHUOJ-ZDUSSCGKSA-N -1 1 322.315 1.127 20 0 DDADMM O=C([O-])COc1ccccc1CN1CCC2(CC(=O)CO2)CC1 ZINC001231789273 885874641 /nfs/dbraw/zinc/87/46/41/885874641.db2.gz KQTNDGRDQFYMCL-UHFFFAOYSA-N -1 1 319.357 1.474 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CCC2)c(C)n1C1CC1 ZINC001363278686 885895603 /nfs/dbraw/zinc/89/56/03/885895603.db2.gz VGAOJHQQXXJRRS-UHFFFAOYSA-N -1 1 300.366 1.762 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)cc(NC2CC2)n1 ZINC001363314085 885991859 /nfs/dbraw/zinc/99/18/59/885991859.db2.gz DRPUXFCAPWNYBL-SNVBAGLBSA-N -1 1 313.365 1.107 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](c1ccccn1)C(C)C ZINC001363352083 886105614 /nfs/dbraw/zinc/10/56/14/886105614.db2.gz PDGHOOIQUVQNFB-JTQLQIEISA-N -1 1 318.333 1.419 20 0 DDADMM Cc1ccc(OCCC(=O)NC2(c3nn[n-]n3)CCC2)c(C)c1 ZINC001363358085 886121829 /nfs/dbraw/zinc/12/18/29/886121829.db2.gz DZZYWLJGODFFBN-UHFFFAOYSA-N -1 1 315.377 1.781 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCN(C(=O)C2CC2)C1 ZINC001363395094 886215470 /nfs/dbraw/zinc/21/54/70/886215470.db2.gz ZBCWSBHENQHKMU-UHFFFAOYSA-N -1 1 318.377 1.266 20 0 DDADMM CCCCC1CCC(C(=O)N[C@@H](COC)c2nn[n-]n2)CC1 ZINC001363410941 886262848 /nfs/dbraw/zinc/26/28/48/886262848.db2.gz HHHPVICERMYPRX-BPCQOVAHSA-N -1 1 309.414 2.000 20 0 DDADMM CCSc1ccc(CC(=O)N[C@H](COC)c2nn[n-]n2)cc1 ZINC001363428876 886316622 /nfs/dbraw/zinc/31/66/22/886316622.db2.gz BEEZWNGJQIHKGM-GFCCVEGCSA-N -1 1 321.406 1.358 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)[C@H]1CC12CC2 ZINC001383796114 886536853 /nfs/dbraw/zinc/53/68/53/886536853.db2.gz MXVVMQVYIFRXFA-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CCOC(=O)[C@@](C)(NC(=O)c1cnncc1[O-])c1ccccc1 ZINC001363534173 886571085 /nfs/dbraw/zinc/57/10/85/886571085.db2.gz MBKQBTIVKOCZGL-INIZCTEOSA-N -1 1 315.329 1.391 20 0 DDADMM C[C@@H](Oc1ccccc1Cl)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363547111 886606717 /nfs/dbraw/zinc/60/67/17/886606717.db2.gz FRZBFZVTCGGNKO-SECBINFHSA-N -1 1 321.768 1.816 20 0 DDADMM CN(C)C(=O)c1ccc(NC(=O)c2cc(F)ccc2[O-])cn1 ZINC001363555516 886625018 /nfs/dbraw/zinc/62/50/18/886625018.db2.gz LESJLJCCKFNSDH-UHFFFAOYSA-N -1 1 303.293 1.880 20 0 DDADMM C[C@@H](CCCCNC(=O)c1ncccc1[O-])NC(=O)C1CCC1 ZINC001288795335 913067871 /nfs/dbraw/zinc/06/78/71/913067871.db2.gz ZSCOWWGFJVCHBT-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM COC[C@@H]1c2nnn(C)c2CCN1Cc1ccc(F)c([O-])c1F ZINC001232935612 886681991 /nfs/dbraw/zinc/68/19/91/886681991.db2.gz IIBDTBIVOSUMBR-GFCCVEGCSA-N -1 1 324.331 1.545 20 0 DDADMM CO[C@@H]1CCC[C@H]1C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001363622659 886792472 /nfs/dbraw/zinc/79/24/72/886792472.db2.gz KRHSNSDVPPYERV-GHMZBOCLSA-N -1 1 309.366 1.012 20 0 DDADMM COc1cnc(C2(NC(=O)[C@H](O)C3CCC3)CCCC2)[n-]c1=O ZINC001363709717 887038154 /nfs/dbraw/zinc/03/81/54/887038154.db2.gz OXIMGXBILBSASJ-GFCCVEGCSA-N -1 1 321.377 1.237 20 0 DDADMM COC(=O)c1c[n-]c(SCCc2ccc(C(N)=O)cc2)n1 ZINC001363756594 887150861 /nfs/dbraw/zinc/15/08/61/887150861.db2.gz RJVSDCKKRQAIDT-UHFFFAOYSA-N -1 1 305.359 1.630 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OCc1ccc(OC(C)C)nc1 ZINC001233757554 887281660 /nfs/dbraw/zinc/28/16/60/887281660.db2.gz DTWUDPQLLUENGW-UHFFFAOYSA-N -1 1 319.317 1.730 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC1CC(OC(=O)C(C)(C)C)C1 ZINC001233757370 887282001 /nfs/dbraw/zinc/28/20/01/887282001.db2.gz BTOFVVDHGUHJJS-UHFFFAOYSA-N -1 1 324.333 1.468 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H]1CC12CCC2 ZINC001363973395 887644091 /nfs/dbraw/zinc/64/40/91/887644091.db2.gz YFIKSBUGVDSUEK-MNOVXSKESA-N -1 1 318.377 1.835 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H]1CC12CCC2 ZINC001363973395 887644101 /nfs/dbraw/zinc/64/41/01/887644101.db2.gz YFIKSBUGVDSUEK-MNOVXSKESA-N -1 1 318.377 1.835 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H]1CC12CCC2 ZINC001363973395 887644111 /nfs/dbraw/zinc/64/41/11/887644111.db2.gz YFIKSBUGVDSUEK-MNOVXSKESA-N -1 1 318.377 1.835 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)c1c(C)n[nH]c1C ZINC001364007263 887712767 /nfs/dbraw/zinc/71/27/67/887712767.db2.gz WVLYQVBWHNVTMC-QMMMGPOBSA-N -1 1 316.408 1.531 20 0 DDADMM CCOC(=O)c1cn(C)nc1NC(=O)c1ccc([O-])cc1F ZINC001364017671 887730448 /nfs/dbraw/zinc/73/04/48/887730448.db2.gz FFXQNOUPVYDUCP-UHFFFAOYSA-N -1 1 307.281 1.694 20 0 DDADMM CN1Cc2ccccc2[C@H](NC(=O)c2cccc([O-])c2F)C1=O ZINC001364052891 887804076 /nfs/dbraw/zinc/80/40/76/887804076.db2.gz FAPWBBVGLLDAFE-HNNXBMFYSA-N -1 1 314.316 1.974 20 0 DDADMM COC(=O)C(F)(F)C[N-]S(=O)(=O)c1cc(F)cc(F)c1 ZINC001364127912 887984628 /nfs/dbraw/zinc/98/46/28/887984628.db2.gz MZEZIOMTRZVMKR-UHFFFAOYSA-N -1 1 315.244 1.051 20 0 DDADMM COC(=O)C[C@H]1CCC[C@@H](Oc2c(C(N)=O)nc(C)[n-]c2=O)C1 ZINC001234470628 888004115 /nfs/dbraw/zinc/00/41/15/888004115.db2.gz WFJLODGYADDUDX-VHSXEESVSA-N -1 1 323.349 1.090 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@@H](C)c2cccc(N(C)C)c2)c(=O)[n-]1 ZINC001234478262 888014276 /nfs/dbraw/zinc/01/42/76/888014276.db2.gz QMNYJCLBVROLIW-VIFPVBQESA-N -1 1 316.361 1.796 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H]1COCc2ccccc21 ZINC001234564764 888104963 /nfs/dbraw/zinc/10/49/63/888104963.db2.gz PJNDYBFVYZELKM-LBPRGKRZSA-N -1 1 316.313 1.928 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC(=O)NC[C@H]1c1ccccc1 ZINC001364210511 888152323 /nfs/dbraw/zinc/15/23/23/888152323.db2.gz DIVOAKWFXNZQAC-HNNXBMFYSA-N -1 1 314.316 1.845 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2nc(C)cc(=O)[nH]2)[n-]c1=O ZINC001364522235 888839060 /nfs/dbraw/zinc/83/90/60/888839060.db2.gz RAJRPYHOLJXMNX-SNVBAGLBSA-N -1 1 317.349 1.332 20 0 DDADMM O=C(c1nc[n-]c(=O)c1Br)N1CC[C@@H](CF)C1 ZINC001364603768 889017397 /nfs/dbraw/zinc/01/73/97/889017397.db2.gz LAQGYEXGQUHDLU-LURJTMIESA-N -1 1 304.119 1.376 20 0 DDADMM COC(=O)CCc1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001364612015 889032932 /nfs/dbraw/zinc/03/29/32/889032932.db2.gz YVYJYXSVDQCAFL-UHFFFAOYSA-N -1 1 301.302 1.540 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1CCC[C@]12CCCO2 ZINC001364911130 889654853 /nfs/dbraw/zinc/65/48/53/889654853.db2.gz UCWLFODASPFSRC-PWSUYJOCSA-N -1 1 318.420 1.532 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC001364914999 889658559 /nfs/dbraw/zinc/65/85/59/889658559.db2.gz KIKZZGSQVURLMV-UTLUCORTSA-N -1 1 318.420 1.388 20 0 DDADMM CS(=O)(=O)N1CCCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001364932366 889692951 /nfs/dbraw/zinc/69/29/51/889692951.db2.gz XWOSTXBZBXCMDZ-UHFFFAOYSA-N -1 1 320.361 1.138 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1c(F)cccc1F)c1ccns1 ZINC001364956062 889753621 /nfs/dbraw/zinc/75/36/21/889753621.db2.gz FUQPWRUFMASZTQ-VIFPVBQESA-N -1 1 320.342 1.433 20 0 DDADMM COC(=O)c1cnc(CCNC(=O)c2ncc(C)cc2[O-])s1 ZINC001365065961 890025789 /nfs/dbraw/zinc/02/57/89/890025789.db2.gz UMCNWTMWPKZVHV-UHFFFAOYSA-N -1 1 321.358 1.311 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCc2[nH]c(=O)[nH]c(=O)c2C1 ZINC001238236991 890145125 /nfs/dbraw/zinc/14/51/25/890145125.db2.gz TXXPWNBVINSSSQ-UHFFFAOYSA-N -1 1 319.292 1.283 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CC[C@H](Oc2cnccn2)C1 ZINC001238244566 890148610 /nfs/dbraw/zinc/14/86/10/890148610.db2.gz LAYPNSUWBAIASQ-ZDUSSCGKSA-N -1 1 317.320 1.967 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Nc2nocc2C)[n-]c1=O ZINC001365165877 890236430 /nfs/dbraw/zinc/23/64/30/890236430.db2.gz MONPPKAAJACZTA-SECBINFHSA-N -1 1 319.321 1.856 20 0 DDADMM CCCC[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001386164337 890456925 /nfs/dbraw/zinc/45/69/25/890456925.db2.gz JASHVQPXLVQMCI-AVGNSLFASA-N -1 1 319.405 1.991 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1CCNC(=O)C1CC1 ZINC001365309908 890559866 /nfs/dbraw/zinc/55/98/66/890559866.db2.gz GJOQPHXCIMYLSC-ONGXEEELSA-N -1 1 321.343 1.044 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)c3ccccc32)[n-]n1 ZINC001365433547 890790096 /nfs/dbraw/zinc/79/00/96/890790096.db2.gz OVCWKLPBKXQQPE-SECBINFHSA-N -1 1 321.358 1.509 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)c3ccccc32)n[n-]1 ZINC001365433547 890790110 /nfs/dbraw/zinc/79/01/10/890790110.db2.gz OVCWKLPBKXQQPE-SECBINFHSA-N -1 1 321.358 1.509 20 0 DDADMM O=S(=O)([N-]CCc1cnoc1)c1ccc(Br)o1 ZINC001365456131 890827599 /nfs/dbraw/zinc/82/75/99/890827599.db2.gz XLUFBBZOENBYMG-UHFFFAOYSA-N -1 1 321.152 1.551 20 0 DDADMM Cc1[nH]nc2ccc(-c3ccc4c(c3)S(=O)(=O)[N-]C4=O)cc12 ZINC001241668864 891241092 /nfs/dbraw/zinc/24/10/92/891241092.db2.gz IXTGGBLBJWBPDQ-UHFFFAOYSA-N -1 1 313.338 1.970 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C(C)(C)c1cnn(C)c1 ZINC001365653692 891257750 /nfs/dbraw/zinc/25/77/50/891257750.db2.gz BCYHSINLXFFOJD-UHFFFAOYSA-N -1 1 316.408 1.099 20 0 DDADMM CC[C@@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001365716741 891386894 /nfs/dbraw/zinc/38/68/94/891386894.db2.gz FBANYCQVNHJAEL-BDAKNGLRSA-N -1 1 308.425 1.227 20 0 DDADMM Cc1cc(C)nc(NC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC001365777075 891526450 /nfs/dbraw/zinc/52/64/50/891526450.db2.gz QHLVSUGCQILOFX-UHFFFAOYSA-N -1 1 301.354 1.623 20 0 DDADMM COc1ncc(-c2cccn3ccnc23)cc1[N-]S(C)(=O)=O ZINC001244792416 891928213 /nfs/dbraw/zinc/92/82/13/891928213.db2.gz SROXMTODGJXMJQ-UHFFFAOYSA-N -1 1 318.358 1.776 20 0 DDADMM CCc1nnc(CNC[C@@H](C)NC(=O)c2ncccc2[O-])s1 ZINC001374752747 913803560 /nfs/dbraw/zinc/80/35/60/913803560.db2.gz XRMQXGFFHHLDJJ-SECBINFHSA-N -1 1 321.406 1.109 20 0 DDADMM COc1cccc([C@H](O)CNc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001250739025 894393907 /nfs/dbraw/zinc/39/39/07/894393907.db2.gz ISXXFMOWJLMBTB-SNVBAGLBSA-N -1 1 301.306 1.164 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001388058981 894476116 /nfs/dbraw/zinc/47/61/16/894476116.db2.gz VAYBAVYGPIIFPY-CIQGVGRVSA-N -1 1 317.389 1.458 20 0 DDADMM CCO[C@@H](CC)C(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001388424986 895213418 /nfs/dbraw/zinc/21/34/18/895213418.db2.gz OTDHRGUSWGNHGN-AAEUAGOBSA-N -1 1 323.393 1.084 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NS(=O)(=O)Cc1ccccc1 ZINC001252817617 895475172 /nfs/dbraw/zinc/47/51/72/895475172.db2.gz PLLVZFVQOWCQGC-UHFFFAOYSA-N -1 1 310.291 1.228 20 0 DDADMM Cc1nnc(Cn2ccc([N-]C(=O)OC(C)(C)C)nc2=O)o1 ZINC001253416360 895840619 /nfs/dbraw/zinc/84/06/19/895840619.db2.gz WAYHZVUFORBMEW-UHFFFAOYSA-N -1 1 307.310 1.330 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cnc(C2CC2)nc1 ZINC001292799548 914011131 /nfs/dbraw/zinc/01/11/31/914011131.db2.gz YOLSXHRMULGSFY-UHFFFAOYSA-N -1 1 303.347 1.699 20 0 DDADMM CC[C@@H](CNC(=O)C(C)(C)C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001367101941 895870426 /nfs/dbraw/zinc/87/04/26/895870426.db2.gz QDZAIBKKRKDCRZ-LBPRGKRZSA-N -1 1 323.441 1.711 20 0 DDADMM CCCS(=O)(=O)[N-][C@@H](COC(C)(C)C)C(=O)OC(C)(C)C ZINC001253600679 895924774 /nfs/dbraw/zinc/92/47/74/895924774.db2.gz IDKQLSHZZWIIDH-NSHDSACASA-N -1 1 323.455 1.841 20 0 DDADMM CC[C@@H](CNC(=O)[C@H]1CC12CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001367142290 895989716 /nfs/dbraw/zinc/98/97/16/895989716.db2.gz MYDZHNIJJCHWFQ-WDEREUQCSA-N -1 1 307.398 1.075 20 0 DDADMM CCc1ccsc1C(=O)NC[C@@H](CC)NCc1n[nH]c(=O)[n-]1 ZINC001367143533 895994264 /nfs/dbraw/zinc/99/42/64/895994264.db2.gz ZVISJDZLFSJWPI-SNVBAGLBSA-N -1 1 323.422 1.432 20 0 DDADMM CCC1(C(=O)N[C@@H](CNC(=O)c2ncccc2[O-])C(C)C)CC1 ZINC001388888647 896127952 /nfs/dbraw/zinc/12/79/52/896127952.db2.gz FYGKESGJUJOHJM-LBPRGKRZSA-N -1 1 319.405 1.848 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001367209855 896141518 /nfs/dbraw/zinc/14/15/18/896141518.db2.gz YXYIJZNDQPLUPY-GFCCVEGCSA-N -1 1 321.425 1.275 20 0 DDADMM CC(C)CCCC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001367222462 896166241 /nfs/dbraw/zinc/16/62/41/896166241.db2.gz AFOQFMHRYJCHRX-GFCCVEGCSA-N -1 1 309.414 1.417 20 0 DDADMM CCCC(=O)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001396552817 914040194 /nfs/dbraw/zinc/04/01/94/914040194.db2.gz CXPNOJOIKCCUEW-NWDGAFQWSA-N -1 1 305.378 1.602 20 0 DDADMM CC(C)(C)OC(=O)N1CCCC[C@@H]([NH2+]CCP(=O)([O-])[O-])C1 ZINC001254187886 896286760 /nfs/dbraw/zinc/28/67/60/896286760.db2.gz FOBBZSRNGIUNHJ-LLVKDONJSA-N -1 1 322.342 1.543 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)NC(=O)C2=O ZINC001254619111 896535403 /nfs/dbraw/zinc/53/54/03/896535403.db2.gz NUZPISLTIBWOTH-UHFFFAOYSA-N -1 1 316.338 1.931 20 0 DDADMM Cc1ccc(CC(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001389173022 896606910 /nfs/dbraw/zinc/60/69/10/896606910.db2.gz XHCABPIQTKGOIL-SNVBAGLBSA-N -1 1 317.345 1.166 20 0 DDADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)C1CCC1 ZINC001367421722 896730606 /nfs/dbraw/zinc/73/06/06/896730606.db2.gz WKUYYBCEGARDFO-YPMHNXCESA-N -1 1 323.441 1.567 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)C1CCOCC1 ZINC001255281489 896820872 /nfs/dbraw/zinc/82/08/72/896820872.db2.gz YCUJZCHNGWHUPS-UHFFFAOYSA-N -1 1 320.455 1.124 20 0 DDADMM Cc1noc(C)c1CN(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001367795989 897826643 /nfs/dbraw/zinc/82/66/43/897826643.db2.gz QYUZXPYDVGSFEY-JTQLQIEISA-N -1 1 318.377 1.642 20 0 DDADMM CCOC(=O)C[C@@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258948663 898372942 /nfs/dbraw/zinc/37/29/42/898372942.db2.gz DPXWIBNBBVVKNG-MRVPVSSYSA-N -1 1 307.318 1.585 20 0 DDADMM COc1cc(Br)nc([N-]S(=O)(=O)C2CC2)c1 ZINC001259271848 898563353 /nfs/dbraw/zinc/56/33/53/898563353.db2.gz VMEBFNMQRPNWJT-UHFFFAOYSA-N -1 1 307.169 1.757 20 0 DDADMM COc1cc2c(cc1[N-]S(=O)(=O)C1CC1)N(C(C)=O)CC2 ZINC001259276173 898572545 /nfs/dbraw/zinc/57/25/45/898572545.db2.gz ULJSWSVBNVHVJB-UHFFFAOYSA-N -1 1 310.375 1.508 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)c2ccc(Cl)cc2F)c(=O)[nH]1 ZINC001259516030 898709041 /nfs/dbraw/zinc/70/90/41/898709041.db2.gz XENDHDUJEKCDNR-VIFPVBQESA-N -1 1 317.729 1.155 20 0 DDADMM Cc1cc(C)cc(S(=O)(=O)[N-]c2ccnc(C(N)=O)c2)c1 ZINC001259517658 898711487 /nfs/dbraw/zinc/71/14/87/898711487.db2.gz DHABKVMJPLECOZ-UHFFFAOYSA-N -1 1 305.359 1.020 20 0 DDADMM O=S(=O)([N-]c1cnncc1Br)c1cccnc1 ZINC001259602898 898731985 /nfs/dbraw/zinc/73/19/85/898731985.db2.gz WZANQTJGPNEWDN-UHFFFAOYSA-N -1 1 315.152 1.435 20 0 DDADMM COC(=O)c1cnn(C)c1[N-]S(=O)(=O)CC1CCCCC1 ZINC001259615492 898746740 /nfs/dbraw/zinc/74/67/40/898746740.db2.gz ZJGMRTFVNOMXJR-UHFFFAOYSA-N -1 1 315.395 1.529 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc2oc(C(=O)OC)nc2c1 ZINC001259972826 898998523 /nfs/dbraw/zinc/99/85/23/898998523.db2.gz BACMERLRVXFTFL-UHFFFAOYSA-N -1 1 314.319 1.003 20 0 DDADMM COc1cncc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)c1C ZINC001260221822 899096505 /nfs/dbraw/zinc/09/65/05/899096505.db2.gz VJOSMTOVSNQWPN-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM C[C@@]1(O)C[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)C1 ZINC001260288432 899111496 /nfs/dbraw/zinc/11/14/96/899111496.db2.gz PJFHOKGHKBOEGJ-MHWOZMEASA-N -1 1 311.737 1.810 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)CS(C)(=O)=O)c2cccnc12 ZINC001260590669 899177029 /nfs/dbraw/zinc/17/70/29/899177029.db2.gz LVCCLBQDKCDBIA-UHFFFAOYSA-N -1 1 314.388 1.287 20 0 DDADMM Cc1cccc2cccc([N-]S(=O)(=O)CS(C)(=O)=O)c12 ZINC001260593435 899180044 /nfs/dbraw/zinc/18/00/44/899180044.db2.gz MTNLJQDNYSJAHZ-UHFFFAOYSA-N -1 1 313.400 1.892 20 0 DDADMM O=C([O-])CSCCNCc1cccnc1Br ZINC001261845778 899786474 /nfs/dbraw/zinc/78/64/74/899786474.db2.gz ODNRHWDZGRXVCR-UHFFFAOYSA-N -1 1 305.197 1.752 20 0 DDADMM O=C(NCC[N-]C(=O)C(F)(F)F)NCCc1ccc(F)cc1 ZINC000586428723 900635930 /nfs/dbraw/zinc/63/59/30/900635930.db2.gz LWCAUDWBXBPYHM-UHFFFAOYSA-N -1 1 321.274 1.346 20 0 DDADMM CN(C(=O)CC(C)(C)C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396785837 914465318 /nfs/dbraw/zinc/46/53/18/914465318.db2.gz UXRLFLWJVIZLCC-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1CCC2(CCC2)O1 ZINC001263905857 900809949 /nfs/dbraw/zinc/80/99/49/900809949.db2.gz OHCROLRMIXETKX-VIFPVBQESA-N -1 1 309.391 1.611 20 0 DDADMM O=C(NCC[C@@H]1CCCCN1C(=O)C1CC1)c1ncccc1[O-] ZINC001391455754 901772044 /nfs/dbraw/zinc/77/20/44/901772044.db2.gz MIDLLBIWRQQYAH-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM CCC1(C(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001392138056 903372182 /nfs/dbraw/zinc/37/21/82/903372182.db2.gz FIQQSOQSBBCNAA-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@H]1CCCC12CC2)NCc1n[nH]c(=O)[n-]1 ZINC001392236262 903611154 /nfs/dbraw/zinc/61/11/54/903611154.db2.gz ZRJKABMSXFLJGF-VXGBXAGGSA-N -1 1 321.425 1.321 20 0 DDADMM C[C@@H](NC[C@H](C)NC(=O)c1ncccc1[O-])c1ncccn1 ZINC001392556553 904453330 /nfs/dbraw/zinc/45/33/30/904453330.db2.gz WCDHAZRYPSVFBI-WDEREUQCSA-N -1 1 301.350 1.046 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC12CC2 ZINC001375060536 914821218 /nfs/dbraw/zinc/82/12/18/914821218.db2.gz QEZBQWLAZHCWDZ-MNOVXSKESA-N -1 1 303.362 1.212 20 0 DDADMM Cc1cc(C(=O)N(C)CCCNC(=O)c2ncccc2[O-])co1 ZINC001294037671 914873684 /nfs/dbraw/zinc/87/36/84/914873684.db2.gz WASQGLKPVSYSHF-UHFFFAOYSA-N -1 1 317.345 1.581 20 0 DDADMM CC[C@H](F)C(=O)NCC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001372150592 906584498 /nfs/dbraw/zinc/58/44/98/906584498.db2.gz LUEJISWCOUBNOF-LBPRGKRZSA-N -1 1 323.368 1.504 20 0 DDADMM CC(C)[C@@H](C)CC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001372839466 908399189 /nfs/dbraw/zinc/39/91/89/908399189.db2.gz YFUZOUUNZGTXGK-RYUDHWBXSA-N -1 1 307.394 1.704 20 0 DDADMM CCC(C)(CC)C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001394013439 908531906 /nfs/dbraw/zinc/53/19/06/908531906.db2.gz QACZCWAONIWDBH-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM CC(C)COCCC(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001285635605 910933755 /nfs/dbraw/zinc/93/37/55/910933755.db2.gz QLELKGKMQGDHLY-GFCCVEGCSA-N -1 1 323.393 1.084 20 0 DDADMM Cc1ccccc1C(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001374192769 912126172 /nfs/dbraw/zinc/12/61/72/912126172.db2.gz FLMIVBABJJOAFX-NSHDSACASA-N -1 1 303.366 1.069 20 0 DDADMM COCC(C)(C)C(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001294185727 914968618 /nfs/dbraw/zinc/96/86/18/914968618.db2.gz MGBOYKJYKYCAHN-UHFFFAOYSA-N -1 1 323.393 1.038 20 0 DDADMM CC[C@H](CNC(=O)[C@H]1C[C@H]2C[C@H]2C1)NC(=O)c1ncccc1[O-] ZINC001375197686 915220940 /nfs/dbraw/zinc/22/09/40/915220940.db2.gz LSRPCGICKQHJPS-MROQNXINSA-N -1 1 317.389 1.458 20 0 DDADMM CC(C)N(CCCNC(=O)[C@@H]1C[C@H]1C)C(=O)c1ncccc1[O-] ZINC001296718205 916550962 /nfs/dbraw/zinc/55/09/62/916550962.db2.gz AAWDFWBMDSZVRA-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)c1ccsc1 ZINC001376703892 919105417 /nfs/dbraw/zinc/10/54/17/919105417.db2.gz BPDDMEMAXVZUFU-UHFFFAOYSA-N -1 1 307.379 1.014 20 0 DDADMM C[C@H]1CC[C@H](CC(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)C1 ZINC001376768312 919314258 /nfs/dbraw/zinc/31/42/58/919314258.db2.gz OYPLHICCIFYFJI-RYUDHWBXSA-N -1 1 321.425 1.465 20 0 DDADMM Cc1noc([C@@H](C)N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001378035715 923771866 /nfs/dbraw/zinc/77/18/66/923771866.db2.gz BFNVXHDLAUVZEQ-VHSXEESVSA-N -1 1 319.365 1.290 20 0 DDADMM Cc1cncc(CCC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c1 ZINC000622869741 365548736 /nfs/dbraw/zinc/54/87/36/365548736.db2.gz FDXQHRXTDLKFIS-UHFFFAOYSA-N -1 1 312.333 1.001 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3nc(C)sc3C)nc2n1 ZINC000622993368 365585380 /nfs/dbraw/zinc/58/53/80/365585380.db2.gz KJLWTMSXTANRCR-UHFFFAOYSA-N -1 1 318.362 1.306 20 0 DDADMM CCc1nc(C(=O)Nc2nc3nc(CC)cc(=O)n3[n-]2)c(C)o1 ZINC000622993444 365585560 /nfs/dbraw/zinc/58/55/60/365585560.db2.gz MVXYLYLMYOUSKY-UHFFFAOYSA-N -1 1 316.321 1.091 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3CCC(OC)CC3)nc2n1 ZINC000622993468 365585988 /nfs/dbraw/zinc/58/59/88/365585988.db2.gz ODTYRWFWOBSWLR-UHFFFAOYSA-N -1 1 319.365 1.124 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@H]3C3CCCC3)nc2n1 ZINC000622993763 365586492 /nfs/dbraw/zinc/58/64/92/365586492.db2.gz ZSFRIURMSSUJCE-RYUDHWBXSA-N -1 1 315.377 1.745 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCC[C@@H]3OC)nc2n1 ZINC000622993678 365586521 /nfs/dbraw/zinc/58/65/21/365586521.db2.gz WWUZIIIELDJYRT-MNOVXSKESA-N -1 1 319.365 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CC[C@@H]3CCCO3)nc2n1 ZINC000622995780 365588950 /nfs/dbraw/zinc/58/89/50/365588950.db2.gz PDHFSTOQHDXJSC-NSHDSACASA-N -1 1 319.365 1.268 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC3(C)C)nc2n1 ZINC000622998327 365591003 /nfs/dbraw/zinc/59/10/03/365591003.db2.gz DQUDCDZWZRQEPW-JTQLQIEISA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CC(C)(C)COC)nc2n1 ZINC000622997567 365591329 /nfs/dbraw/zinc/59/13/29/365591329.db2.gz CCOOOSNHQWHNOH-UHFFFAOYSA-N -1 1 321.381 1.371 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCC3(C)C)nc2n1 ZINC000622998328 365591452 /nfs/dbraw/zinc/59/14/52/365591452.db2.gz DQUDCDZWZRQEPW-SNVBAGLBSA-N -1 1 303.366 1.745 20 0 DDADMM C[C@H](CO)[C@H](C)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451230210 231040566 /nfs/dbraw/zinc/04/05/66/231040566.db2.gz NOYWZDRRDVMREA-BDAKNGLRSA-N -1 1 301.339 1.867 20 0 DDADMM C[C@H](C[S@@](C)=O)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451388450 231084128 /nfs/dbraw/zinc/08/41/28/231084128.db2.gz CAELXBNZCRGAFQ-LILOVNGRSA-N -1 1 319.379 1.617 20 0 DDADMM O=C(Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-])C1=CCCC1 ZINC000092625341 539176635 /nfs/dbraw/zinc/17/66/35/539176635.db2.gz UNIVRULXFSDOSJ-UHFFFAOYSA-N -1 1 322.386 1.882 20 0 DDADMM CC[C@@H](CN=c1ccc(C(=O)NCCO)n[n-]1)CC(F)(F)F ZINC000413522556 529474490 /nfs/dbraw/zinc/47/44/90/529474490.db2.gz QWBNABSJMSDSIR-SECBINFHSA-N -1 1 320.315 1.011 20 0 DDADMM Cn1ccc2c1cccc2C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129417206 539184423 /nfs/dbraw/zinc/18/44/23/539184423.db2.gz FLSGTSQVGIXHIX-NSHDSACASA-N -1 1 310.361 1.711 20 0 DDADMM O=C([N-]c1nc2ccccn2n1)c1csc(-c2ncccn2)n1 ZINC000132989395 539190291 /nfs/dbraw/zinc/19/02/91/539190291.db2.gz VXQPWMBZPZRVLH-UHFFFAOYSA-N -1 1 323.341 1.895 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC ZINC000299672334 529507559 /nfs/dbraw/zinc/50/75/59/529507559.db2.gz ZEQWFSJSLUEZQX-GFCCVEGCSA-N -1 1 307.318 1.585 20 0 DDADMM Cc1noc(C)c1C(C)(C)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000280766833 282333242 /nfs/dbraw/zinc/33/32/42/282333242.db2.gz ITFHENMFKUDCQA-MRVPVSSYSA-N -1 1 306.370 1.344 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ncc(C)cc1[O-])c1ccncc1 ZINC000615835443 362458962 /nfs/dbraw/zinc/45/89/62/362458962.db2.gz BKCBZNJYHZGPIW-LBPRGKRZSA-N -1 1 315.329 1.525 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@]2(C)CCCC[C@@H]2C)co1 ZINC000457260194 530026227 /nfs/dbraw/zinc/02/62/27/530026227.db2.gz NIUPQDTVXVNKJD-HZMBPMFUSA-N -1 1 314.407 1.886 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)c1 ZINC000292354053 530031787 /nfs/dbraw/zinc/03/17/87/530031787.db2.gz WRMKOZZTIPXFDN-IWIIMEHWSA-N -1 1 310.375 1.285 20 0 DDADMM COCC1(CC[N-]S(=O)(=O)c2c(C)onc2N)CCC1 ZINC000292922808 282359313 /nfs/dbraw/zinc/35/93/13/282359313.db2.gz YFLPWOFKOOVTRG-UHFFFAOYSA-N -1 1 303.384 1.050 20 0 DDADMM CCn1nc(-c2nc(-c3ccc([O-])c(F)c3)no2)ccc1=O ZINC000350831873 539416921 /nfs/dbraw/zinc/41/69/21/539416921.db2.gz GWXZQZAVRBPQJE-UHFFFAOYSA-N -1 1 302.265 1.825 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCCCC[C@H]1CCO ZINC000356844525 539471974 /nfs/dbraw/zinc/47/19/74/539471974.db2.gz YQHPMCAMJPCTAH-LBPRGKRZSA-N -1 1 309.435 1.907 20 0 DDADMM Cc1nc(S[C@@H](C)C(=O)NCC(F)(F)F)[n-]c(=O)c1C ZINC000330674508 282413127 /nfs/dbraw/zinc/41/31/27/282413127.db2.gz IISBQXABVRPJPM-ZETCQYMHSA-N -1 1 309.313 1.958 20 0 DDADMM COCCN(CCO)C(=O)c1cc(Br)ccc1[O-] ZINC000181850934 199361403 /nfs/dbraw/zinc/36/14/03/199361403.db2.gz CUDCJIXUJGDSIF-UHFFFAOYSA-N -1 1 318.167 1.236 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1ccc3c(c1)COC3)c2=O ZINC000358378921 299136004 /nfs/dbraw/zinc/13/60/04/299136004.db2.gz CSDBNSXNYUBGMO-UHFFFAOYSA-N -1 1 324.340 1.634 20 0 DDADMM CCN1C[C@@H](C(=O)N=c2cc(-c3ccc(C)s3)[n-][nH]2)CC1=O ZINC000623492477 365897314 /nfs/dbraw/zinc/89/73/14/365897314.db2.gz FCOLJSFGWHRVMQ-JTQLQIEISA-N -1 1 318.402 1.675 20 0 DDADMM CC(C)OC(=O)[C@H](C)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358400392 299142962 /nfs/dbraw/zinc/14/29/62/299142962.db2.gz PHKZJSGZCHNEHM-VIFPVBQESA-N -1 1 320.349 1.086 20 0 DDADMM Cc1nc(S(=O)(=O)Nc2cc(C(=O)[O-])c(F)cc2F)c[nH]1 ZINC000070069619 190854699 /nfs/dbraw/zinc/85/46/99/190854699.db2.gz GPLGMRWQAAPHLZ-UHFFFAOYSA-N -1 1 317.273 1.495 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H]3CC[C@H](CO)CC3)ccnc1-2 ZINC000287053305 219289118 /nfs/dbraw/zinc/28/91/18/219289118.db2.gz DSKLYTSOEHHGKH-SGCOTTDSSA-N -1 1 303.366 1.014 20 0 DDADMM Cc1ccccc1CCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000343095089 282636869 /nfs/dbraw/zinc/63/68/69/282636869.db2.gz MPZLQTJUPHBPJT-UHFFFAOYSA-N -1 1 313.357 1.184 20 0 DDADMM C[C@H]1CC(NC(=O)CNC(=O)c2ncccc2[O-])C[C@H](C)C1 ZINC000343722688 282739070 /nfs/dbraw/zinc/73/90/70/282739070.db2.gz MJALHADIGHFZOE-GHMZBOCLSA-N -1 1 305.378 1.458 20 0 DDADMM O=C(COc1ccccc1F)Nc1n[n-]c(C(F)(F)F)n1 ZINC000029388792 352238539 /nfs/dbraw/zinc/23/85/39/352238539.db2.gz FOBFDGNKEACBLC-UHFFFAOYSA-N -1 1 304.203 1.980 20 0 DDADMM CNC(=O)c1cc(S(=O)(=O)[N-]c2ccc(C)cc2C)cn1C ZINC000031665375 352269569 /nfs/dbraw/zinc/26/95/69/352269569.db2.gz UZMWXHCISQJAJL-UHFFFAOYSA-N -1 1 321.402 1.802 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(CC(N)=O)cc2)c([O-])c1 ZINC000030016823 352249092 /nfs/dbraw/zinc/24/90/92/352249092.db2.gz PSWUHEGDZRPOMC-UHFFFAOYSA-N -1 1 300.314 1.681 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CCCCC1 ZINC000042127422 352330845 /nfs/dbraw/zinc/33/08/45/352330845.db2.gz DXTSMLPSPFPGIA-UHFFFAOYSA-N -1 1 312.373 1.695 20 0 DDADMM CCOCCO[C@H](C)C(=O)NCc1n[n-]c(=S)n1CC ZINC000066635997 353010107 /nfs/dbraw/zinc/01/01/07/353010107.db2.gz GLYGXAWAQHVIGF-SECBINFHSA-N -1 1 302.400 1.018 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2cccc(C(=O)[O-])c2C)c1 ZINC000358561851 299197784 /nfs/dbraw/zinc/19/77/84/299197784.db2.gz ARFDDQVBYHSLTD-UHFFFAOYSA-N -1 1 321.358 1.780 20 0 DDADMM Cc1cnc(C(=O)N([C@@H](C)CS(C)(=O)=O)C2CC2)c([O-])c1 ZINC000331951093 234312147 /nfs/dbraw/zinc/31/21/47/234312147.db2.gz KCUHCVLPUHQTSP-JTQLQIEISA-N -1 1 312.391 1.133 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N(CCO)Cc1ccccc1 ZINC000073889479 353276675 /nfs/dbraw/zinc/27/66/75/353276675.db2.gz XTMSBVIEHYWQMC-UHFFFAOYSA-N -1 1 323.352 1.970 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](C)c1cnn(C)c1 ZINC000081829574 353695224 /nfs/dbraw/zinc/69/52/24/353695224.db2.gz MMZSIFOPKCKJPW-SECBINFHSA-N -1 1 317.393 1.600 20 0 DDADMM NC(=O)c1csc(NC(=O)c2cn[nH]c2-c2ccccc2)n1 ZINC000125819976 354048255 /nfs/dbraw/zinc/04/82/55/354048255.db2.gz WUJJIMSUIYRJRO-UHFFFAOYSA-N -1 1 313.342 1.884 20 0 DDADMM CC1(C)CCC(O)(CNC(=O)c2cc([N+](=O)[O-])c[n-]c2=O)CC1 ZINC000390888516 354642764 /nfs/dbraw/zinc/64/27/64/354642764.db2.gz KBNWKFGHKLCLPI-UHFFFAOYSA-N -1 1 323.349 1.757 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2c[nH]c3ncccc23)n1 ZINC000585681646 354827486 /nfs/dbraw/zinc/82/74/86/354827486.db2.gz CNJYLBSQTAFYQV-UHFFFAOYSA-N -1 1 313.317 1.644 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC000592076353 355485400 /nfs/dbraw/zinc/48/54/00/355485400.db2.gz YUWMKXMYWSYONQ-WDEREUQCSA-N -1 1 307.412 1.063 20 0 DDADMM Cc1c([N-]S(=O)(=O)C[C@@H]2CCCO2)cnn1CC(C)C ZINC000346512518 283113020 /nfs/dbraw/zinc/11/30/20/283113020.db2.gz VYHCOHFABBXABF-LBPRGKRZSA-N -1 1 301.412 1.768 20 0 DDADMM COC(=O)[C@@H]1C[C@H](O)CN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000592910147 355737060 /nfs/dbraw/zinc/73/70/60/355737060.db2.gz LKCSUOUADKLCSE-SCZZXKLOSA-N -1 1 313.737 1.042 20 0 DDADMM COC(=O)CC1(NC(=O)c2cc(Cl)c([O-])c(OC)c2)CC1 ZINC000593790542 355995524 /nfs/dbraw/zinc/99/55/24/355995524.db2.gz QTDIDNDCVISZQJ-UHFFFAOYSA-N -1 1 313.737 1.880 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3c(n2)OCCO3)n1 ZINC000594020079 356090749 /nfs/dbraw/zinc/09/07/49/356090749.db2.gz YCOSLXJNFIKVDD-UHFFFAOYSA-N -1 1 318.289 1.005 20 0 DDADMM COC(=O)C1(C(=O)CSc2nc(C(F)F)cc(=O)[n-]2)CC1 ZINC000594451196 356221698 /nfs/dbraw/zinc/22/16/98/356221698.db2.gz YLUKDBJPYGRZDA-UHFFFAOYSA-N -1 1 318.301 1.734 20 0 DDADMM CC1(Cn2cc(C(=O)Nc3ccc([O-])c(Cl)c3)nn2)COC1 ZINC000184015736 199662982 /nfs/dbraw/zinc/66/29/82/199662982.db2.gz LWHSRJKSXAEFJX-UHFFFAOYSA-N -1 1 322.752 1.926 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]C[C@]2(C)CC2(F)F)oc1C ZINC000594849856 356336755 /nfs/dbraw/zinc/33/67/55/356336755.db2.gz AEMIDOIGFSQYSD-NSHDSACASA-N -1 1 323.317 1.698 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCC[C@@H]3C[C@@H]32)c1 ZINC000594854141 356339149 /nfs/dbraw/zinc/33/91/49/356339149.db2.gz YVZBBKKZXHHPJV-SCVCMEIPSA-N -1 1 313.375 1.781 20 0 DDADMM CCSC1(C[N-]S(=O)(=O)c2cc(C(=O)OC)co2)CC1 ZINC000595348437 356458363 /nfs/dbraw/zinc/45/83/63/356458363.db2.gz FJMLUSBDGBWTJC-UHFFFAOYSA-N -1 1 319.404 1.630 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2CCCOC2)cc1C ZINC000595303195 356440757 /nfs/dbraw/zinc/44/07/57/356440757.db2.gz QUQMOQGDGVFNFW-SNVBAGLBSA-N -1 1 317.363 1.080 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2CCCCO2)cc1C ZINC000595307466 356442850 /nfs/dbraw/zinc/44/28/50/356442850.db2.gz XDESDXBPMQOARX-SNVBAGLBSA-N -1 1 317.363 1.222 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1CCC[C@H]1OC)C(C)(C)C ZINC000601347310 358510148 /nfs/dbraw/zinc/51/01/48/358510148.db2.gz FTHQJANDTSDHAM-MXWKQRLJSA-N -1 1 307.412 1.061 20 0 DDADMM CCOC(=O)c1coc(=NC[C@@H]2CCN2Cc2ccccc2)[n-]1 ZINC000596557447 356916144 /nfs/dbraw/zinc/91/61/44/356916144.db2.gz HQWSGJSENWANIW-AWEZNQCLSA-N -1 1 315.373 1.960 20 0 DDADMM Cn1[n-]nnc1=NC[C@@H]1CCCCN1Cc1cccc(Cl)c1 ZINC000597950353 357396771 /nfs/dbraw/zinc/39/67/71/357396771.db2.gz BJFGFJOTOBTHPV-AWEZNQCLSA-N -1 1 320.828 1.752 20 0 DDADMM CC(C)(C)OC(=O)[C@]1(C(=O)N=c2ccc(O)n[n-]2)CC1(C)C ZINC000598833498 357739282 /nfs/dbraw/zinc/73/92/82/357739282.db2.gz XXMFYFLWSZVEBI-OAHLLOKOSA-N -1 1 307.350 1.301 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-][C@H](C(=O)OC)C(C)(C)C)C1CC1 ZINC000599237522 357859117 /nfs/dbraw/zinc/85/91/17/357859117.db2.gz RWQUELWTGVGDDP-VXGBXAGGSA-N -1 1 321.439 1.309 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)[C@@H]1CCCCO1 ZINC000347890372 283291770 /nfs/dbraw/zinc/29/17/70/283291770.db2.gz XECNSJFAOQMUSU-WPRPVWTQSA-N -1 1 311.407 1.900 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2C[C@@H](OC)C2)cc1C ZINC000599518205 357962252 /nfs/dbraw/zinc/96/22/52/357962252.db2.gz MSUDZNRLDTXRKY-AOOOYVTPSA-N -1 1 317.363 1.078 20 0 DDADMM Cc1n[nH]cc1S(=O)(=O)[N-]c1cnn(-c2ccncc2)c1 ZINC000599926280 358082919 /nfs/dbraw/zinc/08/29/19/358082919.db2.gz DHSSZZUACDKAPP-UHFFFAOYSA-N -1 1 304.335 1.100 20 0 DDADMM O=C(COc1ccc(Cl)c2ccccc12)NCc1nn[n-]n1 ZINC000600498674 358242128 /nfs/dbraw/zinc/24/21/28/358242128.db2.gz XGSMNFPNVGLSJP-UHFFFAOYSA-N -1 1 317.736 1.702 20 0 DDADMM CNC(=O)CCN(C)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180498023 199167274 /nfs/dbraw/zinc/16/72/74/199167274.db2.gz QMIJQPOQAWWHTN-UHFFFAOYSA-N -1 1 304.268 1.619 20 0 DDADMM O=C(NCc1nn[n-]n1)c1csc(-c2c(F)cccc2F)n1 ZINC000600505591 358243750 /nfs/dbraw/zinc/24/37/50/358243750.db2.gz PKRZWQKITVYPGK-UHFFFAOYSA-N -1 1 322.300 1.531 20 0 DDADMM COC(=O)c1ccc(OC)cc1S(=O)(=O)[N-]CC(C)(F)F ZINC000601422450 358545951 /nfs/dbraw/zinc/54/59/51/358545951.db2.gz WDGDABTVISQVNE-UHFFFAOYSA-N -1 1 323.317 1.415 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCCC23CC3)cc1C ZINC000601480805 358570829 /nfs/dbraw/zinc/57/08/29/358570829.db2.gz LPBSSTFGYAJRBQ-JTQLQIEISA-N -1 1 313.375 1.986 20 0 DDADMM CCC[C@H](C[N-]S(=O)(=O)c1cc(C)ns1)C(=O)OCC ZINC000601406799 358539421 /nfs/dbraw/zinc/53/94/21/358539421.db2.gz SEQDNLIWIMAIRY-SNVBAGLBSA-N -1 1 320.436 1.709 20 0 DDADMM COC(=O)c1nn(-c2nc3ccccc3c(=O)[n-]2)c2c1CCC2 ZINC000601938374 358744485 /nfs/dbraw/zinc/74/44/85/358744485.db2.gz FZEYKPHUJNPWHB-UHFFFAOYSA-N -1 1 310.313 1.796 20 0 DDADMM COC(=O)c1ccc(CNCc2cc(C(=O)[O-])nn2C)cc1 ZINC000602066318 358798606 /nfs/dbraw/zinc/79/86/06/358798606.db2.gz MDLQIZJGIWMCHV-UHFFFAOYSA-N -1 1 303.318 1.195 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2CCC=CCC2)n[n-]1 ZINC000603157145 359444770 /nfs/dbraw/zinc/44/47/70/359444770.db2.gz UPJSGZWPHKCKOY-SNVBAGLBSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2CCC=CCC2)[n-]1 ZINC000603157145 359444773 /nfs/dbraw/zinc/44/47/73/359444773.db2.gz UPJSGZWPHKCKOY-SNVBAGLBSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2CCC=CCC2)n1 ZINC000603157145 359444777 /nfs/dbraw/zinc/44/47/77/359444777.db2.gz UPJSGZWPHKCKOY-SNVBAGLBSA-N -1 1 306.366 1.905 20 0 DDADMM O=C(CSc1nc(C2CC2)cc(=O)[n-]1)NCC(F)(F)F ZINC000188140544 200200290 /nfs/dbraw/zinc/20/02/90/200200290.db2.gz GYITVSABTUCXEB-UHFFFAOYSA-N -1 1 307.297 1.830 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc(-n2cccn2)cc1 ZINC000605381527 359848050 /nfs/dbraw/zinc/84/80/50/359848050.db2.gz XVCZXZXDUSATEF-UHFFFAOYSA-N -1 1 323.360 1.585 20 0 DDADMM O=C(CSc1ccncc1)NC1(c2nn[n-]n2)CCCC1 ZINC000605530061 359865847 /nfs/dbraw/zinc/86/58/47/359865847.db2.gz HDRKBXWSLWICAC-UHFFFAOYSA-N -1 1 304.379 1.273 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]1CCO)c1ccc(F)c(F)c1F ZINC000188925353 200322459 /nfs/dbraw/zinc/32/24/59/200322459.db2.gz ADKQXKIXXKDKNT-WCBMZHEXSA-N -1 1 323.336 1.933 20 0 DDADMM CCC[C@H](NC(=O)C(=O)Nc1c(F)cccc1F)c1nn[n-]n1 ZINC000612061787 360906576 /nfs/dbraw/zinc/90/65/76/360906576.db2.gz RJUVTMXYUYMURG-VIFPVBQESA-N -1 1 324.291 1.074 20 0 DDADMM Cc1ccn([C@@H](C)CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000612818430 361156505 /nfs/dbraw/zinc/15/65/05/361156505.db2.gz WHGMATBSVDAUOY-RYUDHWBXSA-N -1 1 303.370 1.062 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)[C@@H]3C[C@@]34CCOC4)[nH]c21 ZINC000613113798 361272158 /nfs/dbraw/zinc/27/21/58/361272158.db2.gz AFGAWIAPQVSNHA-MGPLVRAMSA-N -1 1 315.329 1.715 20 0 DDADMM C[C@H](CN(C)C(=O)c1coc(-c2cccnc2)n1)c1nn[n-]n1 ZINC000613476744 361434981 /nfs/dbraw/zinc/43/49/81/361434981.db2.gz ZTFZRDJFKVPNAD-SECBINFHSA-N -1 1 313.321 1.125 20 0 DDADMM NC(=O)c1cc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)c[nH]1 ZINC000613668372 361511344 /nfs/dbraw/zinc/51/13/44/361511344.db2.gz MTCYFAWKWODDDM-UHFFFAOYSA-N -1 1 311.301 1.462 20 0 DDADMM C[C@@](O)(C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1)C1CC1 ZINC000565952652 304107232 /nfs/dbraw/zinc/10/72/32/304107232.db2.gz BZPIINHVXATROO-HNNXBMFYSA-N -1 1 307.300 1.876 20 0 DDADMM CC(C)OCCS(=O)(=O)c1nc(Cc2ccccc2)n[n-]1 ZINC000195283840 201373768 /nfs/dbraw/zinc/37/37/68/201373768.db2.gz JOQGFFPJJFQSSZ-UHFFFAOYSA-N -1 1 309.391 1.594 20 0 DDADMM CC(C)OCCS(=O)(=O)c1n[n-]c(Cc2ccccc2)n1 ZINC000195283840 201373770 /nfs/dbraw/zinc/37/37/70/201373770.db2.gz JOQGFFPJJFQSSZ-UHFFFAOYSA-N -1 1 309.391 1.594 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC2(CCCC2)OC[C@@H]1C ZINC000619924943 364205102 /nfs/dbraw/zinc/20/51/02/364205102.db2.gz LKAZBGGQYGSORI-VIFPVBQESA-N -1 1 323.349 1.070 20 0 DDADMM C[C@H](O)C1(NC(=O)c2ccc(Br)cc2[O-])CC1 ZINC000620064289 364265767 /nfs/dbraw/zinc/26/57/67/364265767.db2.gz YCFYHGLPYAGHAD-ZETCQYMHSA-N -1 1 300.152 1.798 20 0 DDADMM O=C(C(=O)N1C[C@]23COC[C@@]2(C1)CCCC3)c1ccc([O-])cc1 ZINC000620954214 364621352 /nfs/dbraw/zinc/62/13/52/364621352.db2.gz TXXGRJBIJHEBFN-HDICACEKSA-N -1 1 315.369 1.994 20 0 DDADMM C[C@H](CNC(=O)N1CC(C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000621829948 365046867 /nfs/dbraw/zinc/04/68/67/365046867.db2.gz RNHLKPZOLBGTGX-GFCCVEGCSA-N -1 1 317.389 1.159 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3C[C@@H](O)C34CCC4)cnc2n1 ZINC000622174965 365312555 /nfs/dbraw/zinc/31/25/55/365312555.db2.gz UZBBPRMVBXXSTM-QWHCGFSZSA-N -1 1 313.357 1.677 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1C[C@@H](O)C13CCC3)c2=O ZINC000622174965 365312559 /nfs/dbraw/zinc/31/25/59/365312559.db2.gz UZBBPRMVBXXSTM-QWHCGFSZSA-N -1 1 313.357 1.677 20 0 DDADMM COC(=O)C1(NC(=O)c2ccc(Br)cc2[O-])CC1 ZINC000091699695 193093853 /nfs/dbraw/zinc/09/38/53/193093853.db2.gz FSDVWNBTXVCDES-UHFFFAOYSA-N -1 1 314.135 1.590 20 0 DDADMM COc1ccc(CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000622612535 365452486 /nfs/dbraw/zinc/45/24/86/365452486.db2.gz ZDPDJZYRNGFCDV-MRXNPFEDSA-N -1 1 323.356 1.657 20 0 DDADMM O=S(=O)([N-][C@@H](CCc1ccccc1)C(F)F)[C@@H]1CCOC1 ZINC000625423019 366915566 /nfs/dbraw/zinc/91/55/66/366915566.db2.gz KYALIYVHKBDUCI-OLZOCXBDSA-N -1 1 319.373 1.961 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC000625706667 367100834 /nfs/dbraw/zinc/10/08/34/367100834.db2.gz JMSJHBHOQHMLPD-HZSPNIEDSA-N -1 1 309.373 1.671 20 0 DDADMM COc1cc2[n-]cc(C(=O)NC3CC=CC3)c(=O)c2c(OC)c1 ZINC000626100085 367337688 /nfs/dbraw/zinc/33/76/88/367337688.db2.gz CVTOIZRJEAUWFF-UHFFFAOYSA-N -1 1 314.341 1.994 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@H]1C(=O)[O-] ZINC000566235098 304131441 /nfs/dbraw/zinc/13/14/41/304131441.db2.gz NDCIZBBUVZUHNL-ZWNOBZJWSA-N -1 1 314.345 1.655 20 0 DDADMM Cn1cc(C(=O)NCc2n[n-]c(=S)n2C)c(C(C)(C)C)n1 ZINC000092243213 193178953 /nfs/dbraw/zinc/17/89/53/193178953.db2.gz SNURYJZPNLTSAM-UHFFFAOYSA-N -1 1 308.411 1.439 20 0 DDADMM O=C([O-])[C@H]1CC[C@@H]1C(=O)NCCN1CCc2ccccc2C1 ZINC000261984601 203167345 /nfs/dbraw/zinc/16/73/45/203167345.db2.gz CHBSBUWGWXTUOA-GJZGRUSLSA-N -1 1 302.374 1.272 20 0 DDADMM COCCCNC(=O)CN(C)Cc1cccc([O-])c1Cl ZINC000350399980 284190766 /nfs/dbraw/zinc/19/07/66/284190766.db2.gz WATIMZVXGKPPDU-UHFFFAOYSA-N -1 1 300.786 1.630 20 0 DDADMM O=c1[n-]nnn1-c1ccc(NS(=O)(=O)c2ccsc2)cc1 ZINC000350800475 284262214 /nfs/dbraw/zinc/26/22/14/284262214.db2.gz FSTRURMAZOBJIP-UHFFFAOYSA-N -1 1 323.359 1.230 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2ccccc2C(=O)[O-])cn1 ZINC000350804153 284263052 /nfs/dbraw/zinc/26/30/52/284263052.db2.gz WXMSIFGADOYCCT-UHFFFAOYSA-N -1 1 308.315 1.589 20 0 DDADMM CNC(=O)CCN(C)C(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000282596701 217113862 /nfs/dbraw/zinc/11/38/62/217113862.db2.gz YQEVHDQDAUDQOI-UHFFFAOYSA-N -1 1 321.764 1.802 20 0 DDADMM COCCCn1ccc([N-]S(=O)(=O)N2CCCCC2)n1 ZINC000266067775 205071111 /nfs/dbraw/zinc/07/11/11/205071111.db2.gz XDVLDFIQWXFFKW-UHFFFAOYSA-N -1 1 302.400 1.062 20 0 DDADMM COc1cc(C)sc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282828328 217278840 /nfs/dbraw/zinc/27/88/40/217278840.db2.gz DBBSKVLXFRUYTC-VIFPVBQESA-N -1 1 322.390 1.496 20 0 DDADMM Cc1cc(C(=O)N(C)c2ccccc2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000151545440 248295089 /nfs/dbraw/zinc/29/50/89/248295089.db2.gz XJYKPOMBXOPXKA-UHFFFAOYSA-N -1 1 310.313 1.609 20 0 DDADMM Cc1cc(C(=O)N(C)c2ccccc2)[n-]c2nc(=O)[nH]c(=O)c1-2 ZINC000151545440 248295093 /nfs/dbraw/zinc/29/50/93/248295093.db2.gz XJYKPOMBXOPXKA-UHFFFAOYSA-N -1 1 310.313 1.609 20 0 DDADMM COc1ccc(CN[C@@]2(C(=O)[O-])CCOc3ccccc32)cn1 ZINC000336865540 249272861 /nfs/dbraw/zinc/27/28/61/249272861.db2.gz DRJMPRQOGWZBBR-KRWDZBQOSA-N -1 1 314.341 1.942 20 0 DDADMM Cn1nncc1C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000337046906 249328660 /nfs/dbraw/zinc/32/86/60/249328660.db2.gz LQYDPCHDOZQEDX-UHFFFAOYSA-N -1 1 304.260 1.158 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](C)Oc1ccccc1F ZINC000337162578 249370672 /nfs/dbraw/zinc/37/06/72/249370672.db2.gz VIXAWLVFBXKUAE-VIFPVBQESA-N -1 1 320.324 1.045 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000338212043 249839905 /nfs/dbraw/zinc/83/99/05/249839905.db2.gz BQJZJTXFJSUWBX-RNCFNFMXSA-N -1 1 319.379 1.142 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H](CO)C2CCCCC2)[n-]n1 ZINC000338765874 250127110 /nfs/dbraw/zinc/12/71/10/250127110.db2.gz JCOYSRZUNNNIFZ-ZDUSSCGKSA-N -1 1 306.410 1.249 20 0 DDADMM NC(=O)c1coc(C[N-]S(=O)(=O)c2sccc2F)c1 ZINC000338959948 250220024 /nfs/dbraw/zinc/22/00/24/250220024.db2.gz GYSSOAFAPLDCNF-UHFFFAOYSA-N -1 1 304.324 1.058 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2c[nH]c(C(N)=O)c2)c1 ZINC000339163379 250322395 /nfs/dbraw/zinc/32/23/95/250322395.db2.gz CXBHOYJDJNFAEE-UHFFFAOYSA-N -1 1 302.290 1.030 20 0 DDADMM COC(=O)c1coc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000339195479 250337699 /nfs/dbraw/zinc/33/76/99/250337699.db2.gz DZMVGOIMRCOXOW-MRVPVSSYSA-N -1 1 321.289 1.175 20 0 DDADMM O=C(COc1ccccc1F)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339193790 250338199 /nfs/dbraw/zinc/33/81/99/250338199.db2.gz LAMPNMUAXCLUNY-UHFFFAOYSA-N -1 1 321.308 1.699 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ccc3c(c2)CCC3)n1 ZINC000285067925 218277771 /nfs/dbraw/zinc/27/77/71/218277771.db2.gz JDUWCWKRDQJAOF-UHFFFAOYSA-N -1 1 320.370 1.659 20 0 DDADMM CC(C)N(C)C(=O)C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000339831303 250686036 /nfs/dbraw/zinc/68/60/36/250686036.db2.gz UTOSPRWDFSSSRH-UHFFFAOYSA-N -1 1 305.378 1.506 20 0 DDADMM Cn1nncc1C[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000288598827 220273762 /nfs/dbraw/zinc/27/37/62/220273762.db2.gz MEEZRPASVBZBIC-UHFFFAOYSA-N -1 1 302.231 1.502 20 0 DDADMM CCOC(=O)c1cnc(C)c(NC(=O)c2cncc([O-])c2)c1 ZINC000340005423 250811951 /nfs/dbraw/zinc/81/19/51/250811951.db2.gz MVSNWBFAGYNFGP-UHFFFAOYSA-N -1 1 301.302 1.920 20 0 DDADMM CCOC(=O)CC[C@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000340603777 251134662 /nfs/dbraw/zinc/13/46/62/251134662.db2.gz JDIRLFFUXRDCDQ-QMMMGPOBSA-N -1 1 320.436 1.770 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NCc1ccncc1)c2=O ZINC000058489872 184120612 /nfs/dbraw/zinc/12/06/12/184120612.db2.gz KDSBVNBFHRWJTB-UHFFFAOYSA-N -1 1 309.325 1.862 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)CCC(F)(F)F)C(F)(F)F ZINC000340948154 251294747 /nfs/dbraw/zinc/29/47/47/251294747.db2.gz DXKUQVZZNTZMTM-YFKPBYRVSA-N -1 1 303.224 1.172 20 0 DDADMM CC[C@@H](C)[C@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OC ZINC000340932084 251284777 /nfs/dbraw/zinc/28/47/77/251284777.db2.gz RLDCRTCSAMJWNI-SFYZADRCSA-N -1 1 305.318 1.446 20 0 DDADMM COc1ccc(NC(=O)C[N@H+]2CC[C@H](C(=O)[O-])C2)cc1Cl ZINC000062133777 184208544 /nfs/dbraw/zinc/20/85/44/184208544.db2.gz JDWAWAMRKHKRBU-VIFPVBQESA-N -1 1 312.753 1.694 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@H](C(=O)[O-])C2)cc1Cl ZINC000062133777 184208545 /nfs/dbraw/zinc/20/85/45/184208545.db2.gz JDWAWAMRKHKRBU-VIFPVBQESA-N -1 1 312.753 1.694 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H](C)[C@@H](C)CO)c(=O)[n-]1 ZINC000269101518 207241101 /nfs/dbraw/zinc/24/11/01/207241101.db2.gz IAKAVQWNGYIZGW-DTWKUNHWSA-N -1 1 313.423 1.278 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NCc1cnn(C)c1)c2=O ZINC000065421289 184341986 /nfs/dbraw/zinc/34/19/86/184341986.db2.gz PZQODXFTJIRQMV-UHFFFAOYSA-N -1 1 312.329 1.200 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1C[C@@H](C)[C@H]1C ZINC000294400846 224010577 /nfs/dbraw/zinc/01/05/77/224010577.db2.gz YORCDDYUHMRSRU-ZYHUDNBSSA-N -1 1 312.373 1.962 20 0 DDADMM C[C@H]1CCC[C@@]1(O)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000294565725 224092423 /nfs/dbraw/zinc/09/24/23/224092423.db2.gz LUVRFQVQQRZMIY-TVQRCGJNSA-N -1 1 305.346 1.794 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CC1CCOCC1 ZINC000117260665 194805384 /nfs/dbraw/zinc/80/53/84/194805384.db2.gz AGOKWWDXBYYQPG-UHFFFAOYSA-N -1 1 318.377 1.072 20 0 DDADMM CC(C)[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1 ZINC000352421175 285039022 /nfs/dbraw/zinc/03/90/22/285039022.db2.gz AZNMJXCRQKFEMG-CQSZACIVSA-N -1 1 311.345 1.545 20 0 DDADMM Cc1ccc(Cl)cc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352441468 285052485 /nfs/dbraw/zinc/05/24/85/285052485.db2.gz XXHJDIDACQNOQF-UHFFFAOYSA-N -1 1 303.709 1.632 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc2[nH]cnc2c1 ZINC000352538947 285126104 /nfs/dbraw/zinc/12/61/04/285126104.db2.gz RBTKVRWQKPDCIA-UHFFFAOYSA-N -1 1 311.301 1.032 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc2nc[nH]c2c1 ZINC000352538947 285126108 /nfs/dbraw/zinc/12/61/08/285126108.db2.gz RBTKVRWQKPDCIA-UHFFFAOYSA-N -1 1 311.301 1.032 20 0 DDADMM COC[C@H](NCc1c(F)cccc1OC(F)(F)F)C(=O)[O-] ZINC000417624528 533092911 /nfs/dbraw/zinc/09/29/11/533092911.db2.gz KXWCUBAGQFDVPC-VIFPVBQESA-N -1 1 311.231 1.914 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-]c1ccn(CCCOC)n1 ZINC000158173749 197250503 /nfs/dbraw/zinc/25/05/03/197250503.db2.gz CMFKVAPUDNOEQA-UHFFFAOYSA-N -1 1 304.416 1.308 20 0 DDADMM C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)c1ccc([O-])cc1F ZINC000154890750 197002430 /nfs/dbraw/zinc/00/24/30/197002430.db2.gz JWTYYSJIDIEPJS-RKDXNWHRSA-N -1 1 303.355 1.425 20 0 DDADMM COC(=O)[C@H](C)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425168648 533178833 /nfs/dbraw/zinc/17/88/33/533178833.db2.gz MACLPFGVHHKJBB-MRVPVSSYSA-N -1 1 307.318 1.361 20 0 DDADMM Cc1cccnc1C(C)(C)CN=c1ccc(C(=O)N(C)C)n[n-]1 ZINC000413293413 224171276 /nfs/dbraw/zinc/17/12/76/224171276.db2.gz BOUFWCQHRCZPDW-UHFFFAOYSA-N -1 1 313.405 1.693 20 0 DDADMM CC[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1 ZINC000352723137 285255446 /nfs/dbraw/zinc/25/54/46/285255446.db2.gz ADRKCPUIUZMZIC-NSHDSACASA-N -1 1 311.345 1.341 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2c(F)ccc([O-])c2F)CCC1 ZINC000289624723 221111900 /nfs/dbraw/zinc/11/19/00/221111900.db2.gz YDWRJUPZNKCGPH-UHFFFAOYSA-N -1 1 319.329 1.368 20 0 DDADMM O=C(c1ccc2cc[nH]c2c1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000289682162 221151614 /nfs/dbraw/zinc/15/16/14/221151614.db2.gz PSZIFVVTCBODOQ-GFCCVEGCSA-N -1 1 311.345 1.599 20 0 DDADMM O=c1[n-]nc(C2CCN(C[C@@H](O)c3ccccc3F)CC2)o1 ZINC000294879638 224282144 /nfs/dbraw/zinc/28/21/44/224282144.db2.gz AAFMTRRCLIHBHW-CYBMUJFWSA-N -1 1 307.325 1.415 20 0 DDADMM COCc1nc(=NCCOCC(F)(F)C(F)F)s[n-]1 ZINC000433807851 533271852 /nfs/dbraw/zinc/27/18/52/533271852.db2.gz LRIQGRQGUFHOFC-UHFFFAOYSA-N -1 1 303.281 1.435 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2ccc(Br)o2)CCCOC1 ZINC000352951351 285409404 /nfs/dbraw/zinc/40/94/04/285409404.db2.gz DSYWMOCGIGCUKE-SNVBAGLBSA-N -1 1 324.196 1.890 20 0 DDADMM COC(=O)[C@H]1CSCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000414048274 533505959 /nfs/dbraw/zinc/50/59/59/533505959.db2.gz YPGOQYQBOVSIEV-SNVBAGLBSA-N -1 1 310.375 1.074 20 0 DDADMM COC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC000416614844 533538632 /nfs/dbraw/zinc/53/86/32/533538632.db2.gz GJIOFZVPAWEKOT-OTSSQURYSA-N -1 1 321.757 1.709 20 0 DDADMM COCCC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000352986991 285437286 /nfs/dbraw/zinc/43/72/86/285437286.db2.gz SZOPCAYGPKHBFR-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)C2(OC)CCC2)o1 ZINC000416622925 292016572 /nfs/dbraw/zinc/01/65/72/292016572.db2.gz XACIMEWWDNKDKS-SECBINFHSA-N -1 1 317.363 1.302 20 0 DDADMM COc1cc(C(=O)N2CCN(C)C(=O)[C@H]2C)cc(Cl)c1[O-] ZINC000442803421 286195391 /nfs/dbraw/zinc/19/53/91/286195391.db2.gz WIOPISRXWIMBQX-MRVPVSSYSA-N -1 1 312.753 1.357 20 0 DDADMM COc1ccnc(N2CCN(C(=O)[C@H](C(=O)[O-])C(C)C)CC2)c1 ZINC000570399531 304409723 /nfs/dbraw/zinc/40/97/23/304409723.db2.gz GBQKTDQRLUKBMZ-CQSZACIVSA-N -1 1 321.377 1.096 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@H]1COc1ccccc1 ZINC000633269534 422834489 /nfs/dbraw/zinc/83/44/89/422834489.db2.gz NKBYITIDELXMHE-LBPRGKRZSA-N -1 1 301.350 1.202 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCN(C)CC(F)F ZINC000629845441 422835614 /nfs/dbraw/zinc/83/56/14/422835614.db2.gz HJRQKNGGHFBIIL-UHFFFAOYSA-N -1 1 320.365 1.139 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)O[C@H](C)C1 ZINC000078784373 407045237 /nfs/dbraw/zinc/04/52/37/407045237.db2.gz OEXMXWYKWFZZMN-NXEZZACHSA-N -1 1 307.394 1.625 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCC(F)(F)F)c(=O)[n-]1 ZINC000048429931 407098619 /nfs/dbraw/zinc/09/86/19/407098619.db2.gz SDCAPVIQNZVEOI-UHFFFAOYSA-N -1 1 309.313 1.411 20 0 DDADMM Cc1cc(C)c(NC(=O)CS(=O)(=O)c2ncn[n-]2)c(C)c1 ZINC000086169906 407108806 /nfs/dbraw/zinc/10/88/06/407108806.db2.gz COELLHPCGVBYPU-UHFFFAOYSA-N -1 1 308.363 1.142 20 0 DDADMM Cc1cc(C)c(NC(=O)CS(=O)(=O)c2nc[n-]n2)c(C)c1 ZINC000086169906 407108809 /nfs/dbraw/zinc/10/88/09/407108809.db2.gz COELLHPCGVBYPU-UHFFFAOYSA-N -1 1 308.363 1.142 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]2C(C)C)o1 ZINC000049095482 407115040 /nfs/dbraw/zinc/11/50/40/407115040.db2.gz DNCWVJSGOKMGKI-SNVBAGLBSA-N -1 1 300.380 1.448 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCC[C@@H](C)C2)o1 ZINC000055487390 407203919 /nfs/dbraw/zinc/20/39/19/407203919.db2.gz IBJCWSAAGYYWCK-MNOVXSKESA-N -1 1 314.407 1.744 20 0 DDADMM C[C@H](Oc1cccc(Cl)c1Cl)C(=O)Nc1nnn[n-]1 ZINC000102895066 407328580 /nfs/dbraw/zinc/32/85/80/407328580.db2.gz TZKPPSPURBSOMM-YFKPBYRVSA-N -1 1 302.121 1.913 20 0 DDADMM C[C@H](Oc1cccc(Cl)c1Cl)C(=O)Nc1nn[n-]n1 ZINC000102895066 407328581 /nfs/dbraw/zinc/32/85/81/407328581.db2.gz TZKPPSPURBSOMM-YFKPBYRVSA-N -1 1 302.121 1.913 20 0 DDADMM NC(=O)C[C@H]1CCCCN1Cc1nc(=O)c2sccc2[n-]1 ZINC000103471782 407340733 /nfs/dbraw/zinc/34/07/33/407340733.db2.gz WMZHABBLSWBWAE-SECBINFHSA-N -1 1 306.391 1.215 20 0 DDADMM C[C@H](CC[N-]S(=O)(=O)c1cc(F)ccc1F)[S@@](C)=O ZINC000124168057 407350035 /nfs/dbraw/zinc/35/00/35/407350035.db2.gz SRMNXNDBZNWHOO-CVJBHZAOSA-N -1 1 311.375 1.400 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000124585964 407362851 /nfs/dbraw/zinc/36/28/51/407362851.db2.gz WNGZIFYDDLSGSM-SKDRFNHKSA-N -1 1 323.784 1.539 20 0 DDADMM Cc1ccc(-n2nc(C(=O)Nc3nn[n-]n3)c3c2CCC3)c(C)c1 ZINC000106907729 407366416 /nfs/dbraw/zinc/36/64/16/407366416.db2.gz WHPVFQPGWGQTFL-UHFFFAOYSA-N -1 1 323.360 1.743 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2scnc2C)o1 ZINC000107700669 407378908 /nfs/dbraw/zinc/37/89/08/407378908.db2.gz KEIBEMGVKDUKHP-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2nccs2)o1 ZINC000107699431 407378937 /nfs/dbraw/zinc/37/89/37/407378937.db2.gz NUKTZTRKZZKXSZ-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM O=C([N-]S(=O)(=O)C1CCCCC1)c1cccc2cn[nH]c21 ZINC000127214190 407431205 /nfs/dbraw/zinc/43/12/05/407431205.db2.gz NOQBRQUUXKGQEX-UHFFFAOYSA-N -1 1 307.375 1.955 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H](C)CC1CC1 ZINC000112602900 407433037 /nfs/dbraw/zinc/43/30/37/407433037.db2.gz CVESGWQPBAUUCP-VIFPVBQESA-N -1 1 302.378 1.487 20 0 DDADMM Cn1cc(N2CCC[C@H](NC(=O)c3c([O-])cccc3F)C2)cn1 ZINC000185515891 407443866 /nfs/dbraw/zinc/44/38/66/407443866.db2.gz SKSLFRDTZMJKII-NSHDSACASA-N -1 1 318.352 1.664 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H](CO)CC(C)(C)C)c1Cl ZINC000128833458 407574472 /nfs/dbraw/zinc/57/44/72/407574472.db2.gz CCRNGZSQAZDEHN-MRVPVSSYSA-N -1 1 309.819 1.149 20 0 DDADMM O=C(Nc1nn[n-]n1)c1csc(-c2c(F)cccc2F)n1 ZINC000170852398 407531954 /nfs/dbraw/zinc/53/19/54/407531954.db2.gz LDATUZPKLKCHRZ-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1noc2ccccc12)C(=O)OC ZINC000185927651 407541190 /nfs/dbraw/zinc/54/11/90/407541190.db2.gz MZXQRCAXLVKOCU-SNVBAGLBSA-N -1 1 312.347 1.199 20 0 DDADMM COC[C@@H](CCO)NC(=O)c1cc(Br)ccc1[O-] ZINC000225921311 407612424 /nfs/dbraw/zinc/61/24/24/407612424.db2.gz FPFBRKZUYNXEPY-SECBINFHSA-N -1 1 318.167 1.282 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCCCNC1=O ZINC000266990402 407705738 /nfs/dbraw/zinc/70/57/38/407705738.db2.gz IYCVWXRJNAQGLV-SNVBAGLBSA-N -1 1 320.393 1.187 20 0 DDADMM O=C(NC[C@]1(O)CCSC1)c1c[nH]c2ccccc2c1=O ZINC000267005409 407713331 /nfs/dbraw/zinc/71/33/31/407713331.db2.gz PVVUDKROGGDEJC-OAHLLOKOSA-N -1 1 304.371 1.538 20 0 DDADMM Cc1nc2n(n1)CCN(Cc1cc(=O)oc3cc([O-])ccc13)C2 ZINC000267101963 407749386 /nfs/dbraw/zinc/74/93/86/407749386.db2.gz YEQHTSLJAZBOHE-UHFFFAOYSA-N -1 1 312.329 1.414 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000153176464 407770910 /nfs/dbraw/zinc/77/09/10/407770910.db2.gz MISYWICDTMSDLX-JTQLQIEISA-N -1 1 301.346 1.611 20 0 DDADMM Cc1ccc(C(=O)NCCNC(=O)c2c([O-])cccc2F)cc1 ZINC000132561883 407792541 /nfs/dbraw/zinc/79/25/41/407792541.db2.gz VPIUETNHHZDBRE-UHFFFAOYSA-N -1 1 316.332 2.000 20 0 DDADMM COc1ccc(NC(=O)N[C@H]2C[C@H]2C)cc1[N-]S(C)(=O)=O ZINC000267252667 407808695 /nfs/dbraw/zinc/80/86/95/407808695.db2.gz YPOVGBJWOWHYEZ-SCZZXKLOSA-N -1 1 313.379 1.597 20 0 DDADMM COc1ccc(NC(=O)N[C@@H]2C[C@@H]2C)cc1[N-]S(C)(=O)=O ZINC000267252672 407808845 /nfs/dbraw/zinc/80/88/45/407808845.db2.gz YPOVGBJWOWHYEZ-WCBMZHEXSA-N -1 1 313.379 1.597 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1cccc2c1OCO2)c1nn[n-]n1 ZINC000187288531 407840630 /nfs/dbraw/zinc/84/06/30/407840630.db2.gz JLJCPCKEJKWRBK-JTQLQIEISA-N -1 1 317.349 1.123 20 0 DDADMM CCOc1ccccc1NC(=O)[C@H](C)N1CC[C@@H](C(=O)[O-])C1 ZINC000262688251 407902141 /nfs/dbraw/zinc/90/21/41/407902141.db2.gz YRPIYMMIEMGKOA-NWDGAFQWSA-N -1 1 306.362 1.819 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])C(=O)N1c1ccccc1 ZINC000174507749 407957368 /nfs/dbraw/zinc/95/73/68/407957368.db2.gz UGSWJBFOIDGHOS-DGCLKSJQSA-N -1 1 311.341 1.711 20 0 DDADMM CN1CCCN(C(=O)c2nn(-c3ccc(F)cc3)cc2[O-])CC1 ZINC000180882368 407930824 /nfs/dbraw/zinc/93/08/24/407930824.db2.gz YNPYOVHCJJZLKQ-UHFFFAOYSA-N -1 1 318.352 1.495 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@H](C)n2nc(C)cc2C)n[nH]1 ZINC000181751626 408034499 /nfs/dbraw/zinc/03/44/99/408034499.db2.gz NZSZNMRCEWWKHW-JTQLQIEISA-N -1 1 305.338 1.599 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCCOC(C)C)o1 ZINC000182345673 408131603 /nfs/dbraw/zinc/13/16/03/408131603.db2.gz WAYISEIYPIETLR-UHFFFAOYSA-N -1 1 318.395 1.123 20 0 DDADMM O=C([O-])C1CCC(NC(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC000263431524 408138020 /nfs/dbraw/zinc/13/80/20/408138020.db2.gz NORSANAKSXOVMR-UHFFFAOYSA-N -1 1 314.345 1.845 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2cc(C3CC3)n[nH]2)nc1Cl ZINC000268733011 408183424 /nfs/dbraw/zinc/18/34/24/408183424.db2.gz UOANQXOLPPAJHQ-UHFFFAOYSA-N -1 1 301.759 1.475 20 0 DDADMM CCCCS[C@@H](C)C(=O)Nc1nc(SCCO)n[nH]1 ZINC000268776260 408188676 /nfs/dbraw/zinc/18/86/76/408188676.db2.gz ZMRSUKBDQOETOA-QMMMGPOBSA-N -1 1 304.441 1.749 20 0 DDADMM O=C([N-]Cc1ccc(-n2cncn2)cc1)C(F)(F)C(F)F ZINC000176009758 408266346 /nfs/dbraw/zinc/26/63/46/408266346.db2.gz BVMRVSDKZGXVED-UHFFFAOYSA-N -1 1 302.231 1.784 20 0 DDADMM CCOc1cc(C(=O)N2CC(=O)N(CC)C2)cc(Cl)c1[O-] ZINC000190493668 408260099 /nfs/dbraw/zinc/26/00/99/408260099.db2.gz CDTHISSGSVADLY-UHFFFAOYSA-N -1 1 312.753 1.706 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC ZINC000263676202 408200528 /nfs/dbraw/zinc/20/05/28/408200528.db2.gz KYPDSAWKUSHTNB-GFCCVEGCSA-N -1 1 307.318 1.585 20 0 DDADMM CC(C)(C)CNC(=O)c1ccc(C(=O)Nc2nnn[n-]2)cc1 ZINC000121438053 408209782 /nfs/dbraw/zinc/20/97/82/408209782.db2.gz YGZQEJPPHNOKTP-UHFFFAOYSA-N -1 1 302.338 1.228 20 0 DDADMM CC(C)(C)CNC(=O)c1ccc(C(=O)Nc2nn[n-]n2)cc1 ZINC000121438053 408209788 /nfs/dbraw/zinc/20/97/88/408209788.db2.gz YGZQEJPPHNOKTP-UHFFFAOYSA-N -1 1 302.338 1.228 20 0 DDADMM O=S(=O)([N-]CCCn1cccn1)c1cccc(F)c1F ZINC000263739548 408232508 /nfs/dbraw/zinc/23/25/08/408232508.db2.gz VEOYQQIZQUBGIK-UHFFFAOYSA-N -1 1 301.318 1.530 20 0 DDADMM CCN(CC)S(=O)(=O)CC(=O)Nc1ccc([O-])c(Cl)c1 ZINC000182867543 408269907 /nfs/dbraw/zinc/26/99/07/408269907.db2.gz GCKIOGHAXZGUED-UHFFFAOYSA-N -1 1 320.798 1.656 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)C[S@@](C)=O)cnc2n1 ZINC000182912227 408281219 /nfs/dbraw/zinc/28/12/19/408281219.db2.gz PGIFGJAUPZGVFV-JLTPVHFHSA-N -1 1 307.375 1.141 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)C[S@@](C)=O)c2=O ZINC000182912227 408281223 /nfs/dbraw/zinc/28/12/23/408281223.db2.gz PGIFGJAUPZGVFV-JLTPVHFHSA-N -1 1 307.375 1.141 20 0 DDADMM C[C@H]1CN(C2CC2)C[C@H]1NS(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000273697725 408289082 /nfs/dbraw/zinc/28/90/82/408289082.db2.gz JIRLHBXLRUPCOH-IINYFYTJSA-N -1 1 324.402 1.146 20 0 DDADMM CCC[C@H]1CCCCN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000183026709 408310356 /nfs/dbraw/zinc/31/03/56/408310356.db2.gz SGIOVNLFAJGLBW-NSHDSACASA-N -1 1 314.407 1.983 20 0 DDADMM O=C(NC[C@@H]1CCCN(c2ncccn2)C1)c1ncccc1[O-] ZINC000132172589 162030140 /nfs/dbraw/zinc/03/01/40/162030140.db2.gz JQBIZAZSPKOQBA-LBPRGKRZSA-N -1 1 313.361 1.224 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N(C[C@H]1CCOC1)C1CC1)c2=O ZINC000132619313 162041176 /nfs/dbraw/zinc/04/11/76/162041176.db2.gz RISIKOVHRFDENI-SNVBAGLBSA-N -1 1 316.361 1.315 20 0 DDADMM C[C@H](C[S@](C)=O)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000183193471 408350635 /nfs/dbraw/zinc/35/06/35/408350635.db2.gz ZEYLUZICINDBID-DMWPKQFHSA-N -1 1 315.338 1.149 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H]2CCC[C@@H]2O)c(=O)[n-]1 ZINC000269562703 408353339 /nfs/dbraw/zinc/35/33/39/408353339.db2.gz ZEAQLNVMLRHYCP-QWRGUYRKSA-N -1 1 311.407 1.175 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCc2cc(F)cc(F)c2)C1 ZINC000164525732 162152248 /nfs/dbraw/zinc/15/22/48/162152248.db2.gz WIYMUSUMSGMBTH-LLVKDONJSA-N -1 1 312.316 1.378 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCCc2c(O)cccc21)c1nn[n-]n1 ZINC000191022388 408361028 /nfs/dbraw/zinc/36/10/28/408361028.db2.gz VALQKCPSGVDCAE-ZWNOBZJWSA-N -1 1 315.377 1.587 20 0 DDADMM O=C(Nc1nnn[n-]1)C1CCN(c2nc3ccccc3o2)CC1 ZINC000264054111 408354786 /nfs/dbraw/zinc/35/47/86/408354786.db2.gz YYLXDTJTJSFZRB-UHFFFAOYSA-N -1 1 313.321 1.196 20 0 DDADMM O=C(Nc1nn[n-]n1)C1CCN(c2nc3ccccc3o2)CC1 ZINC000264054111 408354793 /nfs/dbraw/zinc/35/47/93/408354793.db2.gz YYLXDTJTJSFZRB-UHFFFAOYSA-N -1 1 313.321 1.196 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(Br)cc1)c1nn[n-]n1 ZINC000183397786 408398894 /nfs/dbraw/zinc/39/88/94/408398894.db2.gz JBBALQKVMYEHRV-MRVPVSSYSA-N -1 1 324.182 1.838 20 0 DDADMM C[C@@H](CN(C)C(=O)CSc1ccc(O)cc1)c1nn[n-]n1 ZINC000183400436 408401218 /nfs/dbraw/zinc/40/12/18/408401218.db2.gz CMLHOSHHJVBJSA-VIFPVBQESA-N -1 1 307.379 1.260 20 0 DDADMM C[C@@H](Sc1ccccn1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183423271 408408521 /nfs/dbraw/zinc/40/85/21/408408521.db2.gz ZKJUBSDVOGYSCD-VHSXEESVSA-N -1 1 306.395 1.337 20 0 DDADMM COc1ccc(Cl)cc1CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183433410 408410701 /nfs/dbraw/zinc/41/07/01/408410701.db2.gz FIIOZRDNUWRBLG-VIFPVBQESA-N -1 1 323.784 1.666 20 0 DDADMM CCC[C@@H](NC(=O)c1cc2ccccc2[nH]c1=O)c1nn[n-]n1 ZINC000176741662 408429227 /nfs/dbraw/zinc/42/92/27/408429227.db2.gz FYXOICOAOOJURB-GFCCVEGCSA-N -1 1 312.333 1.312 20 0 DDADMM CCC[C@H](NC(=O)CCC(=O)c1ccccc1)c1nn[n-]n1 ZINC000176754135 408432713 /nfs/dbraw/zinc/43/27/13/408432713.db2.gz STLCVHYPWUFAFU-LBPRGKRZSA-N -1 1 301.350 1.820 20 0 DDADMM O=C([N-]Cc1cccc(-n2cncn2)c1)C(F)(F)C(F)F ZINC000176769179 408438418 /nfs/dbraw/zinc/43/84/18/408438418.db2.gz METDHIAMLJBWRW-UHFFFAOYSA-N -1 1 302.231 1.784 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2cccc(F)c2F)OCCCO1 ZINC000192222345 408558353 /nfs/dbraw/zinc/55/83/53/408558353.db2.gz CCAAPHPAOJVDTH-UHFFFAOYSA-N -1 1 307.318 1.396 20 0 DDADMM C[C@@]1(O)CCN(C(=O)c2ccc(Br)cc2[O-])C1 ZINC000177394442 408601005 /nfs/dbraw/zinc/60/10/05/408601005.db2.gz HBZUKAQGRLONFM-GFCCVEGCSA-N -1 1 300.152 1.752 20 0 DDADMM COc1ccc2c(c1)nc(CNC(=O)c1ncccc1[O-])n2C ZINC000184507484 408632110 /nfs/dbraw/zinc/63/21/10/408632110.db2.gz BUNGFWSLUAAIKC-UHFFFAOYSA-N -1 1 312.329 1.613 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)NCc1ccccc1OC)C(=O)[O-] ZINC000185050316 408744376 /nfs/dbraw/zinc/74/43/76/408744376.db2.gz IRUOJBFXGQJSBL-WCQYABFASA-N -1 1 308.378 1.543 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(C2CCC(C)CC2)C1 ZINC000184787196 408688301 /nfs/dbraw/zinc/68/83/01/408688301.db2.gz MFSJVQROYISPJW-ROKHWSDSSA-N -1 1 312.410 1.498 20 0 DDADMM C=CC(=O)NCCC(=O)Nc1nc(Br)ccc1[O-] ZINC000194573614 408785945 /nfs/dbraw/zinc/78/59/45/408785945.db2.gz GQXHCJPGRXXWSB-UHFFFAOYSA-N -1 1 314.139 1.181 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC000194982328 408806290 /nfs/dbraw/zinc/80/62/90/408806290.db2.gz ZANFJXAMIXCJBW-SNVBAGLBSA-N -1 1 309.370 1.182 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2OCCC[C@@H]12)c1ccc(F)c(F)c1F ZINC000249897926 408772346 /nfs/dbraw/zinc/77/23/46/408772346.db2.gz ROVIWVDWKNBLEV-FXBDTBDDSA-N -1 1 321.320 1.950 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc([C@@H](C)O)cc1 ZINC000290811801 408836909 /nfs/dbraw/zinc/83/69/09/408836909.db2.gz SHOSTFMRBXBCHF-MRVPVSSYSA-N -1 1 311.363 1.097 20 0 DDADMM CCOC(=O)c1csc(CCNC(=O)c2cncc([O-])c2)n1 ZINC000276476063 408865494 /nfs/dbraw/zinc/86/54/94/408865494.db2.gz KQWKYYPTJFNIDI-UHFFFAOYSA-N -1 1 321.358 1.393 20 0 DDADMM O=C(N[C@@H]1CCO[C@@]2(CCSC2)C1)C(=O)c1ccc([O-])cc1 ZINC000280976274 408868700 /nfs/dbraw/zinc/86/87/00/408868700.db2.gz KVVJXZUWBHKOHW-WBMJQRKESA-N -1 1 321.398 1.746 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000286022666 408909972 /nfs/dbraw/zinc/90/99/72/408909972.db2.gz JDNHGQYWHUNDKW-DTWKUNHWSA-N -1 1 322.390 1.336 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)NCc2ccc(F)cc2)n1 ZINC000187596311 163023211 /nfs/dbraw/zinc/02/32/11/163023211.db2.gz DZBPDGUJKYMIKX-UHFFFAOYSA-N -1 1 323.349 1.744 20 0 DDADMM CCN(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000188442524 163053168 /nfs/dbraw/zinc/05/31/68/163053168.db2.gz SHZBJIXORMCPIQ-MRVPVSSYSA-N -1 1 322.789 1.624 20 0 DDADMM CCc1cc(O)ccc1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000191093873 163172458 /nfs/dbraw/zinc/17/24/58/163172458.db2.gz KLIHJWJFPPEELV-UHFFFAOYSA-N -1 1 324.358 1.708 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2C1)c1nc2ccccc2c(=O)[n-]1 ZINC000194610101 163289651 /nfs/dbraw/zinc/28/96/51/163289651.db2.gz NBFPIWOEIIDRSB-LBPRGKRZSA-N -1 1 321.336 1.657 20 0 DDADMM O=C(NC[C@H](O)C(F)(F)F)c1c[nH]c2ccccc2c1=O ZINC000225104471 163335519 /nfs/dbraw/zinc/33/55/19/163335519.db2.gz HKLPVSXIHMYDKF-JTQLQIEISA-N -1 1 300.236 1.181 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)CC3CCC3)CC2)n1 ZINC000277592538 408973072 /nfs/dbraw/zinc/97/30/72/408973072.db2.gz DLIOAUGIPJYQTF-UHFFFAOYSA-N -1 1 320.393 1.878 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@H]3CC3(C)C)CC2)n1 ZINC000277595734 408974180 /nfs/dbraw/zinc/97/41/80/408974180.db2.gz DRWLVPUTLKTOJG-LLVKDONJSA-N -1 1 320.393 1.734 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](CF)C(=O)OC)cc(Cl)c1[O-] ZINC000292157352 408992093 /nfs/dbraw/zinc/99/20/93/408992093.db2.gz CIPUSZSCKGYPEY-VIFPVBQESA-N -1 1 319.716 1.685 20 0 DDADMM O=C(Nc1cccc(OC2CCCC2)c1)NN1CC(=O)[N-]C1=O ZINC000277780742 409010842 /nfs/dbraw/zinc/01/08/42/409010842.db2.gz MDPFTVODGRCFQZ-UHFFFAOYSA-N -1 1 318.333 1.596 20 0 DDADMM Cc1c(C(=O)Nc2n[n-]c(C(F)(F)F)n2)nnn1C(C)C ZINC000292384482 409027478 /nfs/dbraw/zinc/02/74/78/409027478.db2.gz BJIKNYVQEZWCNK-UHFFFAOYSA-N -1 1 303.248 1.557 20 0 DDADMM O=S1(=O)CCCN1Cc1nc(-c2ccc([O-])c(F)c2)no1 ZINC000277898647 409032016 /nfs/dbraw/zinc/03/20/16/409032016.db2.gz DJBRMZJZJMLZKV-UHFFFAOYSA-N -1 1 313.310 1.117 20 0 DDADMM CCN(C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C1CCCC1 ZINC000287589749 409061174 /nfs/dbraw/zinc/06/11/74/409061174.db2.gz WEIGDRSRZPBFAV-UYRXBGFRSA-N -1 1 315.377 1.071 20 0 DDADMM C[C@H](O)C[C@@H]1CCCN1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287606587 409062861 /nfs/dbraw/zinc/06/28/61/409062861.db2.gz MTQSMRCRLMMTIW-DWAGSSQZSA-N -1 1 303.366 1.109 20 0 DDADMM C[C@H](O)[C@H](NC(=O)C1CC1)c1nc(-c2ccc([O-])cc2F)no1 ZINC000278107440 409066006 /nfs/dbraw/zinc/06/60/06/409066006.db2.gz ILNFPSJJMKWPBO-MADCSZMMSA-N -1 1 321.308 1.529 20 0 DDADMM Cc1nnc(CS(=O)(=O)c2nnc(C(C)(C)C)[n-]2)s1 ZINC000278143433 409072956 /nfs/dbraw/zinc/07/29/56/409072956.db2.gz GGRXLTWJTIMSLW-UHFFFAOYSA-N -1 1 301.397 1.236 20 0 DDADMM Cc1nnc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)s1 ZINC000278143433 409072959 /nfs/dbraw/zinc/07/29/59/409072959.db2.gz GGRXLTWJTIMSLW-UHFFFAOYSA-N -1 1 301.397 1.236 20 0 DDADMM Cc1nnc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)s1 ZINC000278143433 409072960 /nfs/dbraw/zinc/07/29/60/409072960.db2.gz GGRXLTWJTIMSLW-UHFFFAOYSA-N -1 1 301.397 1.236 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1)c1cccc(F)c1 ZINC000283039321 409075172 /nfs/dbraw/zinc/07/51/72/409075172.db2.gz ZFZQIUQNOYZWNS-JQWIXIFHSA-N -1 1 318.352 1.747 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCC[C@]3(C)CCCO)ccnc1-2 ZINC000287827829 409100581 /nfs/dbraw/zinc/10/05/81/409100581.db2.gz ZIGLFKHAXKQRPS-YZYPPFLQSA-N -1 1 317.393 1.501 20 0 DDADMM CC(C)N1C(=S)N=NC1CNC(=O)C(=O)c1ccc([O-])cc1 ZINC000283182801 409106469 /nfs/dbraw/zinc/10/64/69/409106469.db2.gz VJDMEZXUBUKQED-UHFFFAOYSA-N -1 1 320.374 1.352 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCC[S@](=O)C(C)(C)C)ccnc1-2 ZINC000279303348 409188378 /nfs/dbraw/zinc/18/83/78/409188378.db2.gz LTGNOQRQOFDLPJ-OAIBDCNXSA-N -1 1 323.422 1.011 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@]1(O)CCO[C@H]1C ZINC000279040457 409137736 /nfs/dbraw/zinc/13/77/36/409137736.db2.gz AAZRRKUEBDRFEP-MGPLVRAMSA-N -1 1 323.393 1.058 20 0 DDADMM COC(=O)[C@@]1(C)CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000283360141 409142620 /nfs/dbraw/zinc/14/26/20/409142620.db2.gz IYYBMYFCQOLFAM-AWEZNQCLSA-N -1 1 320.374 1.043 20 0 DDADMM COC(=O)[C@]1(C)CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000283360146 409143382 /nfs/dbraw/zinc/14/33/82/409143382.db2.gz IYYBMYFCQOLFAM-CQSZACIVSA-N -1 1 320.374 1.043 20 0 DDADMM O=C(C[C@@H]1CCCS(=O)(=O)C1)Nc1ccc(F)cc1[O-] ZINC000293620442 409148613 /nfs/dbraw/zinc/14/86/13/409148613.db2.gz ZAJUSVHVJVKODJ-VIFPVBQESA-N -1 1 301.339 1.685 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)CN3CCCCCCC3=O)ccnc1-2 ZINC000279160375 409161243 /nfs/dbraw/zinc/16/12/43/409161243.db2.gz DNHHPDXZXMDTBF-UYRXBGFRSA-N -1 1 315.377 1.073 20 0 DDADMM COCCC1(C)CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000288781418 409177826 /nfs/dbraw/zinc/17/78/26/409177826.db2.gz JJWIVKHFIKUVFW-PDGQHHTCSA-N -1 1 303.366 1.232 20 0 DDADMM CNC(=O)C(C)(C)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284155623 409286732 /nfs/dbraw/zinc/28/67/32/409286732.db2.gz FKXCFVMSYFVOPM-UHFFFAOYSA-N -1 1 322.789 1.530 20 0 DDADMM CCOC(=O)N1CC[C@@H](NC(=O)c2c(F)ccc([O-])c2F)C1 ZINC000290423641 409395506 /nfs/dbraw/zinc/39/55/06/409395506.db2.gz KXJPMCYCCJIFNZ-MRVPVSSYSA-N -1 1 314.288 1.631 20 0 DDADMM Cc1c(S(=O)(=O)Nc2ccc(C(=O)[O-])c(C)c2)cnn1C ZINC000313956751 164012203 /nfs/dbraw/zinc/01/22/03/164012203.db2.gz HUJLNHOEBFOMOA-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM Cc1cccc2cc(C(=O)Nc3nc(S(C)(=O)=O)n[n-]3)oc21 ZINC000338114085 164076887 /nfs/dbraw/zinc/07/68/87/164076887.db2.gz WUHUBDREXVUCCI-UHFFFAOYSA-N -1 1 320.330 1.515 20 0 DDADMM Cc1cccc2cc(C(=O)Nc3nnc(S(C)(=O)=O)[n-]3)oc21 ZINC000338114085 164076889 /nfs/dbraw/zinc/07/68/89/164076889.db2.gz WUHUBDREXVUCCI-UHFFFAOYSA-N -1 1 320.330 1.515 20 0 DDADMM CC[C@@H](C)c1ncc([N-]S(=O)(=O)CCC(=O)OC)cn1 ZINC000357094985 164138388 /nfs/dbraw/zinc/13/83/88/164138388.db2.gz ZNPUXLOLEKZTAD-SECBINFHSA-N -1 1 301.368 1.295 20 0 DDADMM Cc1nc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(C)s1 ZINC000407994887 164192295 /nfs/dbraw/zinc/19/22/95/164192295.db2.gz DYNVXEWRFIWFCJ-VIFPVBQESA-N -1 1 308.363 1.868 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)nn1C ZINC000407994363 164192441 /nfs/dbraw/zinc/19/24/41/164192441.db2.gz CICQCLDDIAMBQF-JTQLQIEISA-N -1 1 319.365 1.652 20 0 DDADMM C[C@H]1CCCN(C(=O)C[N@H+]2CCC[C@@H](c3n[n-]c(=O)o3)C2)C1 ZINC000408007372 164195582 /nfs/dbraw/zinc/19/55/82/164195582.db2.gz COCNDRIYXJBSQS-NWDGAFQWSA-N -1 1 308.382 1.213 20 0 DDADMM O=C([N-]S(=O)(=O)c1cccnc1)c1[nH]nc2c1CCCCC2 ZINC000408483684 164346795 /nfs/dbraw/zinc/34/67/95/164346795.db2.gz XIUDEQCVOXNFEX-UHFFFAOYSA-N -1 1 320.374 1.192 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCC2(C)C)o1 ZINC000344815291 409518704 /nfs/dbraw/zinc/51/87/04/409518704.db2.gz UGLLSHKGWXQKBK-SNVBAGLBSA-N -1 1 301.364 1.923 20 0 DDADMM O=C(CCc1nccs1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000331457252 409529427 /nfs/dbraw/zinc/52/94/27/409529427.db2.gz KDVJQPFSQYMQIP-SECBINFHSA-N -1 1 308.363 1.571 20 0 DDADMM COc1cc(C(=O)N[C@](C)(C(N)=O)C(C)C)cc(Cl)c1[O-] ZINC000341981329 409531492 /nfs/dbraw/zinc/53/14/92/409531492.db2.gz DIDGWAQRWYZSHL-AWEZNQCLSA-N -1 1 314.769 1.684 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cnc2ccccc2c1 ZINC000337942367 409588850 /nfs/dbraw/zinc/58/88/50/409588850.db2.gz UKJPOSJHAIMYER-UHFFFAOYSA-N -1 1 322.324 1.704 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc2[nH]ccc2c1 ZINC000337952149 409596898 /nfs/dbraw/zinc/59/68/98/409596898.db2.gz RWLPZEIMBZYSIA-UHFFFAOYSA-N -1 1 310.313 1.637 20 0 DDADMM O=C(NCCn1ccc(C(F)(F)F)n1)c1ncccc1[O-] ZINC000636420558 422847888 /nfs/dbraw/zinc/84/78/88/422847888.db2.gz BNMUGPMCQSAEKY-UHFFFAOYSA-N -1 1 300.240 1.433 20 0 DDADMM COc1cccc(CCC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000345549037 409691508 /nfs/dbraw/zinc/69/15/08/409691508.db2.gz NRFLUCAHEMZUHY-UHFFFAOYSA-N -1 1 315.377 1.727 20 0 DDADMM COc1cc(Cl)c(C(=O)NC2(c3nn[n-]n3)CC2)cc1OC ZINC000357072541 409839277 /nfs/dbraw/zinc/83/92/77/409839277.db2.gz MCMOIDWQITWPSD-UHFFFAOYSA-N -1 1 323.740 1.289 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(F)cc(C(F)(F)F)c1 ZINC000357077802 409845378 /nfs/dbraw/zinc/84/53/78/409845378.db2.gz XKMRXOPDLBISDT-UHFFFAOYSA-N -1 1 315.230 1.777 20 0 DDADMM Cc1cccc(C(=O)NC2(c3nn[n-]n3)CC2)c1Br ZINC000357079876 409851650 /nfs/dbraw/zinc/85/16/50/409851650.db2.gz ZQJGMDCRYUYJBL-UHFFFAOYSA-N -1 1 322.166 1.690 20 0 DDADMM CCOC[C@@H](O)CNC(=O)c1ccc(Br)cc1[O-] ZINC000311018316 409796024 /nfs/dbraw/zinc/79/60/24/409796024.db2.gz LDKUTNDZEUZOKU-VIFPVBQESA-N -1 1 318.167 1.282 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCCc2c(O)cccc21 ZINC000349501080 409854165 /nfs/dbraw/zinc/85/41/65/409854165.db2.gz QDLZRARMWYDCRL-NSHDSACASA-N -1 1 323.374 1.457 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCCc2c(O)cccc21 ZINC000349501080 409854173 /nfs/dbraw/zinc/85/41/73/409854173.db2.gz QDLZRARMWYDCRL-NSHDSACASA-N -1 1 323.374 1.457 20 0 DDADMM CC(C)n1ncc(C(=O)NC2(c3nn[n-]n3)CC2)c1C1CC1 ZINC000357047037 409814717 /nfs/dbraw/zinc/81/47/17/409814717.db2.gz LGVOVWVTSTYVHC-UHFFFAOYSA-N -1 1 301.354 1.274 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCc1cc2ccccc2o1 ZINC000349579238 409876453 /nfs/dbraw/zinc/87/64/53/409876453.db2.gz KVBBHGQDEBVGMO-UHFFFAOYSA-N -1 1 321.358 1.663 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1cc2ccccc2o1 ZINC000349579238 409876459 /nfs/dbraw/zinc/87/64/59/409876459.db2.gz KVBBHGQDEBVGMO-UHFFFAOYSA-N -1 1 321.358 1.663 20 0 DDADMM C[C@@H](C1CCCCC1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000338341527 409927385 /nfs/dbraw/zinc/92/73/85/409927385.db2.gz GXQKNGGHSZAWQW-JTQLQIEISA-N -1 1 303.366 1.458 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cnn(Cc2ccccc2Cl)c1 ZINC000342853013 409949145 /nfs/dbraw/zinc/94/91/45/409949145.db2.gz RXEWOGUKVOQKLI-UHFFFAOYSA-N -1 1 303.713 1.350 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnn(Cc2ccccc2Cl)c1 ZINC000342853013 409949151 /nfs/dbraw/zinc/94/91/51/409949151.db2.gz RXEWOGUKVOQKLI-UHFFFAOYSA-N -1 1 303.713 1.350 20 0 DDADMM CCc1oc(C(=O)[N-]c2nc3ccccn3n2)cc1C(=O)OC ZINC000338472175 410012822 /nfs/dbraw/zinc/01/28/22/410012822.db2.gz ZSENJYIEJUYQJR-UHFFFAOYSA-N -1 1 314.301 1.924 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC(F)(F)C1 ZINC000332267342 410027690 /nfs/dbraw/zinc/02/76/90/410027690.db2.gz WCHFJBFXGSYOQM-UHFFFAOYSA-N -1 1 310.304 1.462 20 0 DDADMM CC(C)Cc1ccc([C@H](C)C(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000297888045 410037230 /nfs/dbraw/zinc/03/72/30/410037230.db2.gz VGCFRFLKZZMDKV-JTQLQIEISA-N -1 1 315.377 1.844 20 0 DDADMM C[C@H]1CSCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000192272091 306725286 /nfs/dbraw/zinc/72/52/86/306725286.db2.gz ZKVDIZKECCSZOX-SNVBAGLBSA-N -1 1 303.387 1.748 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1CC3CCC1CC3)c2=O ZINC000351676093 410148678 /nfs/dbraw/zinc/14/86/78/410148678.db2.gz SWPVJKJABDLZCE-ZPPKWKGLSA-N -1 1 300.362 1.982 20 0 DDADMM COc1ccc(SCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354795709 410091559 /nfs/dbraw/zinc/09/15/59/410091559.db2.gz BNWDNMMZVJYKFG-UHFFFAOYSA-N -1 1 307.379 1.352 20 0 DDADMM COc1ccc(O)c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332371642 410105454 /nfs/dbraw/zinc/10/54/54/410105454.db2.gz VBQMUGJZPFOZPA-VIFPVBQESA-N -1 1 319.317 1.509 20 0 DDADMM Cc1nc(S[C@H](C)C(=O)Nc2ncccn2)[n-]c(=O)c1C ZINC000346746276 410125870 /nfs/dbraw/zinc/12/58/70/410125870.db2.gz PBIDYBRXHVMIRW-SECBINFHSA-N -1 1 305.363 1.708 20 0 DDADMM CN(C(=O)c1ccc(Br)c([O-])c1)C1CC(O)C1 ZINC000332433097 410153433 /nfs/dbraw/zinc/15/34/33/410153433.db2.gz HZVAHCXFUAHNRV-UHFFFAOYSA-N -1 1 300.152 1.750 20 0 DDADMM CC(C)(C)NC(=O)N1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000354894405 410155582 /nfs/dbraw/zinc/15/55/82/410155582.db2.gz XGZASSIBTKJHGI-UHFFFAOYSA-N -1 1 306.366 1.053 20 0 DDADMM CC1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCOCC1 ZINC000346830584 410180505 /nfs/dbraw/zinc/18/05/05/410180505.db2.gz PCSIAPOKVHZZST-UHFFFAOYSA-N -1 1 301.346 1.470 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cnccc1Cl ZINC000298375451 410204877 /nfs/dbraw/zinc/20/48/77/410204877.db2.gz MGPISZYVZJKLPT-UHFFFAOYSA-N -1 1 300.742 1.667 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351859409 410297729 /nfs/dbraw/zinc/29/77/29/410297729.db2.gz OKOYXTXVTGXBOU-LLVKDONJSA-N -1 1 311.382 1.932 20 0 DDADMM CCN1C[C@H](C)N(C(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@@H]1C ZINC000358354074 410453827 /nfs/dbraw/zinc/45/38/27/410453827.db2.gz NKFZXMDOAOMOLC-QWRGUYRKSA-N -1 1 317.393 1.229 20 0 DDADMM Cn1[n-]cc2c(=O)c(C(=O)Nc3ccc4c[nH]nc4c3)cnc1-2 ZINC000358313098 410443844 /nfs/dbraw/zinc/44/38/44/410443844.db2.gz CJNWRGJCMSIFOM-UHFFFAOYSA-N -1 1 308.301 1.803 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC(=O)N(C4CC4)C3)cnc2n1 ZINC000333251378 410445516 /nfs/dbraw/zinc/44/55/16/410445516.db2.gz YLWNZLMRPCDZNE-UHFFFAOYSA-N -1 1 312.329 1.048 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC(=O)N(C3CC3)C1)c2=O ZINC000333251378 410445524 /nfs/dbraw/zinc/44/55/24/410445524.db2.gz YLWNZLMRPCDZNE-UHFFFAOYSA-N -1 1 312.329 1.048 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCCCCO1 ZINC000329951784 410495670 /nfs/dbraw/zinc/49/56/70/410495670.db2.gz CSIFAFRNPQJRDS-UHFFFAOYSA-N -1 1 304.350 1.538 20 0 DDADMM CNC(=O)c1ccc(OC)c(NC(=O)c2cncc([O-])c2)c1 ZINC000339852062 410500549 /nfs/dbraw/zinc/50/05/49/410500549.db2.gz ZEVBOYDULJWJET-UHFFFAOYSA-N -1 1 301.302 1.408 20 0 DDADMM CN(Cc1ccc(S(C)(=O)=O)cc1)C(=O)c1cncc([O-])c1 ZINC000339957209 410575374 /nfs/dbraw/zinc/57/53/74/410575374.db2.gz RNRWGYKHKKBXPY-UHFFFAOYSA-N -1 1 320.370 1.463 20 0 DDADMM CC1(C)CCC(C[N@H+]2CC3N=NC(=O)N3C[C@H]2C(=O)[O-])CC1 ZINC000333582691 410585276 /nfs/dbraw/zinc/58/52/76/410585276.db2.gz ODCCFPRIFIPDIC-NSHDSACASA-N -1 1 308.382 1.469 20 0 DDADMM CC(C)=CCCNC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000359367753 410625107 /nfs/dbraw/zinc/62/51/07/410625107.db2.gz XDKSNTYRXSATCF-UHFFFAOYSA-N -1 1 310.427 1.139 20 0 DDADMM CCCCCc1n[n-]c(=NC(=O)NC[C@H]2CCC[N@H+]2C)s1 ZINC000355864687 410709549 /nfs/dbraw/zinc/70/95/49/410709549.db2.gz OKFUGUHJBFEZPZ-LLVKDONJSA-N -1 1 311.455 1.908 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)[C@H]2CCCOC2)c1 ZINC000355761052 410680237 /nfs/dbraw/zinc/68/02/37/410680237.db2.gz OKBDGOUZZGTJDD-UWVGGRQHSA-N -1 1 317.363 1.160 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCCS2)c1 ZINC000330423008 410681317 /nfs/dbraw/zinc/68/13/17/410681317.db2.gz GPJWSCAMSJWAQW-SECBINFHSA-N -1 1 305.377 1.240 20 0 DDADMM CCC[C@@H](NC(=O)c1cnn(-c2cccnc2)c1)c1nn[n-]n1 ZINC000347876293 410771259 /nfs/dbraw/zinc/77/12/59/410771259.db2.gz NMWPXMUOYKMWJD-GFCCVEGCSA-N -1 1 312.337 1.052 20 0 DDADMM C[C@H](NC(=O)c1c(F)ccc([O-])c1F)c1nnc2n1CCC2 ZINC000347937411 410804122 /nfs/dbraw/zinc/80/41/22/410804122.db2.gz SEZCAXBIWAWYRF-ZETCQYMHSA-N -1 1 308.288 1.699 20 0 DDADMM Cc1sc(-c2ccco2)nc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359795904 410885147 /nfs/dbraw/zinc/88/51/47/410885147.db2.gz WCCFCGGFXLKWIL-UHFFFAOYSA-N -1 1 318.362 1.890 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCCC[C@@H]1C(F)F)c2=O ZINC000337565679 410865498 /nfs/dbraw/zinc/86/54/98/410865498.db2.gz BBPNWFMGSLDXQP-SNVBAGLBSA-N -1 1 310.304 1.934 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)N2CCN(C)[C@@H](C)[C@H]2C)s1 ZINC000330691782 410881660 /nfs/dbraw/zinc/88/16/60/410881660.db2.gz BIEOFASJPLTSSG-WDEREUQCSA-N -1 1 311.455 1.859 20 0 DDADMM CC(C)(NC(=O)Cc1ccccc1Br)c1nn[n-]n1 ZINC000359788668 410882812 /nfs/dbraw/zinc/88/28/12/410882812.db2.gz NMRALGMZHUOETK-UHFFFAOYSA-N -1 1 324.182 1.556 20 0 DDADMM CC(C)(NC(=O)CSc1ccccc1Cl)c1nn[n-]n1 ZINC000359798252 410887687 /nfs/dbraw/zinc/88/76/87/410887687.db2.gz PFWXIVIZUASFQG-UHFFFAOYSA-N -1 1 311.798 1.997 20 0 DDADMM Cc1cc(Br)ccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348274904 410889761 /nfs/dbraw/zinc/88/97/61/410889761.db2.gz KORORWAFDYYBRC-UHFFFAOYSA-N -1 1 322.166 1.690 20 0 DDADMM CC[C@H](Sc1ccccc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348282457 410896493 /nfs/dbraw/zinc/89/64/93/410896493.db2.gz NCSPXULUGGELKK-NSHDSACASA-N -1 1 303.391 1.876 20 0 DDADMM CC(C)c1cccc(OCC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000359794864 410886795 /nfs/dbraw/zinc/88/67/95/410886795.db2.gz UOCAXXHWGCXRIE-UHFFFAOYSA-N -1 1 303.366 1.753 20 0 DDADMM CCC1(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CCCCC1 ZINC000359954834 410969055 /nfs/dbraw/zinc/96/90/55/410969055.db2.gz QMNJQSBRJQNVDP-UHFFFAOYSA-N -1 1 300.384 1.507 20 0 DDADMM CCC1(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CCCCC1 ZINC000359954834 410969057 /nfs/dbraw/zinc/96/90/57/410969057.db2.gz QMNJQSBRJQNVDP-UHFFFAOYSA-N -1 1 300.384 1.507 20 0 DDADMM CCCC[C@@H](CC)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331143801 410979106 /nfs/dbraw/zinc/97/91/06/410979106.db2.gz RUMRTKQREGYRHQ-LLVKDONJSA-N -1 1 311.455 1.816 20 0 DDADMM CCCN(Cc1nc(=O)n(C)[n-]1)[C@H](C)C(=O)Nc1ccccc1 ZINC000353478925 411015418 /nfs/dbraw/zinc/01/54/18/411015418.db2.gz MOQIOXAOMYZWAZ-GFCCVEGCSA-N -1 1 317.393 1.348 20 0 DDADMM CC[C@H](C)[C@@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353489871 411027593 /nfs/dbraw/zinc/02/75/93/411027593.db2.gz QATFSLSNVXZJCA-JQWIXIFHSA-N -1 1 303.366 1.458 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccc(C(N)=O)cc2)cc1O ZINC000360232116 411107104 /nfs/dbraw/zinc/10/71/04/411107104.db2.gz YYNLRHYZTGMRMT-UHFFFAOYSA-N -1 1 306.343 1.600 20 0 DDADMM CCN1CCC[C@H]1CNS(=O)(=O)c1cccc(Cl)c1[O-] ZINC000631648811 422866724 /nfs/dbraw/zinc/86/67/24/422866724.db2.gz RJHAAMZTKQCPJW-JTQLQIEISA-N -1 1 318.826 1.808 20 0 DDADMM C[C@H]1CCc2c(F)cccc2[C@H]1NC(=O)CCc1nn[n-]n1 ZINC000631656698 422870966 /nfs/dbraw/zinc/87/09/66/422870966.db2.gz KIQZLWHCEURZFY-VFZGTOFNSA-N -1 1 303.341 1.711 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC(OC)CC2)c1 ZINC000631690307 422882001 /nfs/dbraw/zinc/88/20/01/422882001.db2.gz GZOSVYJVYRWANP-UHFFFAOYSA-N -1 1 301.364 1.200 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@H]2CCCO2)c1 ZINC000631701850 422886038 /nfs/dbraw/zinc/88/60/38/422886038.db2.gz CGWKYWUDRYHJBW-SNVBAGLBSA-N -1 1 301.364 1.248 20 0 DDADMM O=C1NCCCC[C@@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631733429 422896801 /nfs/dbraw/zinc/89/68/01/422896801.db2.gz FEABOPWXASTKMX-ZETCQYMHSA-N -1 1 308.306 1.164 20 0 DDADMM Cc1cccc(S(=O)(=O)CCCN2CCC[C@@H]2C(=O)[O-])c1 ZINC000652363746 422977804 /nfs/dbraw/zinc/97/78/04/422977804.db2.gz MRDBHEIZXAXFRB-CQSZACIVSA-N -1 1 311.403 1.708 20 0 DDADMM COCC(C)(C)N1CCN([C@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000652429868 423012920 /nfs/dbraw/zinc/01/29/20/423012920.db2.gz ZAOGSWUNZCQEDH-HNNXBMFYSA-N -1 1 324.396 1.994 20 0 DDADMM CCC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000133135810 196313916 /nfs/dbraw/zinc/31/39/16/196313916.db2.gz DEJQRCRGFIUZQL-SECBINFHSA-N -1 1 318.345 1.254 20 0 DDADMM COCC1CN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000640554273 423024712 /nfs/dbraw/zinc/02/47/12/423024712.db2.gz TYFPGBGMXCMREY-UHFFFAOYSA-N -1 1 300.152 1.873 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)CCCCO)c(F)c1 ZINC000650106756 423049590 /nfs/dbraw/zinc/04/95/90/423049590.db2.gz YYJODAQFBZTHDW-SECBINFHSA-N -1 1 323.361 1.803 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCCc1ccc2c(c1)CC(=O)N2 ZINC000647816677 423061513 /nfs/dbraw/zinc/06/15/13/423061513.db2.gz PQULJKKDEJXUMV-UHFFFAOYSA-N -1 1 314.345 1.880 20 0 DDADMM Cn1cnnc1COCc1nc(-c2ccc([O-])cc2Cl)no1 ZINC000350566225 306753003 /nfs/dbraw/zinc/75/30/03/306753003.db2.gz LYIDPPCMVSRXJC-UHFFFAOYSA-N -1 1 321.724 1.941 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)C(C)(C)O ZINC000645556310 423082221 /nfs/dbraw/zinc/08/22/21/423082221.db2.gz KYCOICGUVHTTCQ-SSDOTTSWSA-N -1 1 312.313 1.538 20 0 DDADMM Brc1ccc2ncnc(NCc3nn[n-]n3)c2c1 ZINC000603395099 416636966 /nfs/dbraw/zinc/63/69/66/416636966.db2.gz RLCSZGRFJJDMPD-UHFFFAOYSA-N -1 1 306.127 1.518 20 0 DDADMM O=S(=O)([N-]Cc1cccnc1)c1c[nH]nc1C(F)(F)F ZINC000647889765 423102131 /nfs/dbraw/zinc/10/21/31/423102131.db2.gz PEBNMPLUFVHUFE-UHFFFAOYSA-N -1 1 306.269 1.302 20 0 DDADMM C[C@H](CCCCO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000295766724 225210182 /nfs/dbraw/zinc/21/01/82/225210182.db2.gz PMMMRQMVGSLOBL-MRVPVSSYSA-N -1 1 311.325 1.933 20 0 DDADMM Cc1ccc(CN[C@]2(C(=O)[O-])CCc3c2cccc3F)nn1 ZINC000417564864 225366781 /nfs/dbraw/zinc/36/67/81/225366781.db2.gz JKHOHULXIOQCQM-MRXNPFEDSA-N -1 1 301.321 1.940 20 0 DDADMM CSc1nc(CNC(=O)COc2cccc(C)c2)cc(=O)[n-]1 ZINC000640658011 423118121 /nfs/dbraw/zinc/11/81/21/423118121.db2.gz LIBSSNLEQMEAIQ-UHFFFAOYSA-N -1 1 319.386 1.908 20 0 DDADMM C[C@H](O)C[C@H](C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645647645 423126270 /nfs/dbraw/zinc/12/62/70/423126270.db2.gz ZAGRSBXTTMOABI-YUMQZZPRSA-N -1 1 312.313 1.538 20 0 DDADMM C[C@@H](O)C[C@@H](C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645647642 423126439 /nfs/dbraw/zinc/12/64/39/423126439.db2.gz ZAGRSBXTTMOABI-HTQZYQBOSA-N -1 1 312.313 1.538 20 0 DDADMM C[C@@H]1[C@H](N2CCOCC2)CCN1C(=O)c1ccc([O-])cc1F ZINC000291045772 222094236 /nfs/dbraw/zinc/09/42/36/222094236.db2.gz WYUAPBWBRVHFKJ-IAQYHMDHSA-N -1 1 308.353 1.467 20 0 DDADMM COc1cnccc1[C@@H](C)[N-]S(=O)(=O)c1c(C)noc1C ZINC000189159531 222037419 /nfs/dbraw/zinc/03/74/19/222037419.db2.gz IHGHCSXHSSFILG-MRVPVSSYSA-N -1 1 311.363 1.735 20 0 DDADMM CC(=O)CCc1ccc(O[C@H](C)C(=O)Nc2nnn[n-]2)cc1 ZINC000342850759 418629636 /nfs/dbraw/zinc/62/96/36/418629636.db2.gz AWQIIZOLWPIIQJ-SNVBAGLBSA-N -1 1 303.322 1.127 20 0 DDADMM CC(=O)CCc1ccc(O[C@H](C)C(=O)Nc2nn[n-]n2)cc1 ZINC000342850759 418629638 /nfs/dbraw/zinc/62/96/38/418629638.db2.gz AWQIIZOLWPIIQJ-SNVBAGLBSA-N -1 1 303.322 1.127 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCCO1 ZINC000390750915 418755507 /nfs/dbraw/zinc/75/55/07/418755507.db2.gz VSEWTZURCOGCAM-ZFWWWQNUSA-N -1 1 320.389 1.114 20 0 DDADMM C[C@@H]1N(C(=O)C(=O)c2ccc([O-])cc2)CCC12CCOCC2 ZINC000408409785 418801422 /nfs/dbraw/zinc/80/14/22/418801422.db2.gz DFIZCTHGJJIUSR-LBPRGKRZSA-N -1 1 303.358 1.993 20 0 DDADMM O=C(Nc1ccnc2ccnn21)c1csc(=NC2CC2)[n-]1 ZINC000425913603 419364846 /nfs/dbraw/zinc/36/48/46/419364846.db2.gz GTZCJNBJMXGLML-UHFFFAOYSA-N -1 1 300.347 1.434 20 0 DDADMM CCOC(=O)[C@@H](NC(=O)c1ncc(C)cc1[O-])C1CCOCC1 ZINC000427640399 419703183 /nfs/dbraw/zinc/70/31/83/419703183.db2.gz AVHKPKFZXITLJL-ZDUSSCGKSA-N -1 1 322.361 1.184 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc(OCCO)cc2)c([O-])c1 ZINC000427761481 419727244 /nfs/dbraw/zinc/72/72/44/419727244.db2.gz FNLJQBIBTZXTLH-UHFFFAOYSA-N -1 1 302.330 1.397 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](CO)CC(F)F)sc1C ZINC000428416190 419861998 /nfs/dbraw/zinc/86/19/98/419861998.db2.gz DRNMYPAJJXMHBG-ZETCQYMHSA-N -1 1 300.352 1.054 20 0 DDADMM Cc1cncc([N-]S(=O)(=O)c2ccc(-n3cnnn3)cc2)c1 ZINC000432373034 229099480 /nfs/dbraw/zinc/09/94/80/229099480.db2.gz KTLJYIYHTJRJQN-UHFFFAOYSA-N -1 1 316.346 1.167 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ncc(C)cc2OC)n1 ZINC000415634444 420131304 /nfs/dbraw/zinc/13/13/04/420131304.db2.gz CAKDGWPIOZXPBW-UHFFFAOYSA-N -1 1 304.306 1.551 20 0 DDADMM CCOc1ccc(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)cc1 ZINC000416128655 420251131 /nfs/dbraw/zinc/25/11/31/420251131.db2.gz BLODJQJWTLXXSW-UHFFFAOYSA-N -1 1 319.317 1.225 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCC(C)(C)C[C@@H]1C ZINC000416169053 420265863 /nfs/dbraw/zinc/26/58/63/420265863.db2.gz BSYNBDSHLBEKEK-DTWKUNHWSA-N -1 1 309.366 1.841 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000416197715 420276689 /nfs/dbraw/zinc/27/66/89/420276689.db2.gz ISMXSUWVLUBEHO-RFAUZJTJSA-N -1 1 307.350 1.549 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Cl)cc2[O-])[C@@H](C)CN1CCO ZINC000416293399 420311640 /nfs/dbraw/zinc/31/16/40/420311640.db2.gz JTCNZXMSRHRHQW-MNOVXSKESA-N -1 1 312.797 1.573 20 0 DDADMM CCOC(=O)[C@@H](CO)NC(=O)c1ccc2ccccc2c1[O-] ZINC000436743834 420363300 /nfs/dbraw/zinc/36/33/00/420363300.db2.gz YSQAOIWROJHFOP-CYBMUJFWSA-N -1 1 303.314 1.199 20 0 DDADMM CC(C)CC(=O)NCCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425311182 420345043 /nfs/dbraw/zinc/34/50/43/420345043.db2.gz XWEHYTWKHHMTQI-LLVKDONJSA-N -1 1 324.381 1.034 20 0 DDADMM Cc1cncc(CCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000425318461 420348140 /nfs/dbraw/zinc/34/81/40/420348140.db2.gz IAVBABZEDCAVQQ-UHFFFAOYSA-N -1 1 316.361 1.817 20 0 DDADMM C[C@H](CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)n1cccn1 ZINC000425322710 420351116 /nfs/dbraw/zinc/35/11/16/420351116.db2.gz NRWSSENNIHSBBN-SNVBAGLBSA-N -1 1 305.338 1.329 20 0 DDADMM Nc1noc(CCNC(=O)c2ccc(C(F)(F)F)cc2[O-])n1 ZINC000436753511 420362457 /nfs/dbraw/zinc/36/24/57/420362457.db2.gz VQLSVHOTUZXTTP-UHFFFAOYSA-N -1 1 316.239 1.179 20 0 DDADMM CC[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CCO1 ZINC000420717874 420368316 /nfs/dbraw/zinc/36/83/16/420368316.db2.gz DPKRAOFHUROSCJ-NXEZZACHSA-N -1 1 317.363 1.302 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1cc(F)c(F)cc1F)C1CC1 ZINC000443278086 230002136 /nfs/dbraw/zinc/00/21/36/230002136.db2.gz PUJWUFAIBRKSJB-GFCCVEGCSA-N -1 1 309.309 1.543 20 0 DDADMM CC(C)[C@@H]1C[C@H](CN=c2[n-]cc(S(N)(=O)=O)s2)CCO1 ZINC000450741271 420519049 /nfs/dbraw/zinc/51/90/49/420519049.db2.gz WWRQNXQKODPIMQ-ZJUUUORDSA-N -1 1 319.452 1.076 20 0 DDADMM C[C@H](CO[N-]C(=O)[C@H]1CO[C@H](C)C1)NC(=O)OC(C)(C)C ZINC000492413486 420551717 /nfs/dbraw/zinc/55/17/17/420551717.db2.gz QNLMSJVXCUERPM-GMTAPVOTSA-N -1 1 302.371 1.372 20 0 DDADMM C[C@@]1(C(=O)[N-]OCCO)CCN(C(=O)OCc2ccccc2)C1 ZINC000492486286 420570942 /nfs/dbraw/zinc/57/09/42/420570942.db2.gz YFKFQOJJDVBGSS-MRXNPFEDSA-N -1 1 322.361 1.075 20 0 DDADMM COc1cccc(C=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000492688946 420630448 /nfs/dbraw/zinc/63/04/48/420630448.db2.gz RBOMOJJNQMOHKW-TVRMLOFPSA-N -1 1 301.350 1.484 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CCCC2(F)F)co1 ZINC000452812536 420667323 /nfs/dbraw/zinc/66/73/23/420667323.db2.gz PHJFOTXSYYFWTC-SECBINFHSA-N -1 1 322.333 1.353 20 0 DDADMM COc1cc(C(=O)Nc2c[nH]c(C(N)=O)c2)cc(Cl)c1[O-] ZINC000442672746 420698343 /nfs/dbraw/zinc/69/83/43/420698343.db2.gz PDODTIGYTVCLEH-UHFFFAOYSA-N -1 1 309.709 1.734 20 0 DDADMM COc1cc(C(=O)N2C[C@H](CO)OC[C@@H]2C)cc(Cl)c1[O-] ZINC000442670145 420698765 /nfs/dbraw/zinc/69/87/65/420698765.db2.gz DGMFXOXYDVAZDR-WCBMZHEXSA-N -1 1 315.753 1.276 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCC[C@H](O)CC)o1 ZINC000443238041 420751366 /nfs/dbraw/zinc/75/13/66/420751366.db2.gz SVLQXFRCTMYZQE-SNVBAGLBSA-N -1 1 319.379 1.286 20 0 DDADMM CC(C)(C)OC(=O)N[C@@]1(C)CCCC[C@H]1C(=O)Nc1nnn[n-]1 ZINC000494044506 420983314 /nfs/dbraw/zinc/98/33/14/420983314.db2.gz QNCNKEDIWAQUHL-XPTSAGLGSA-N -1 1 324.385 1.612 20 0 DDADMM CC(C)(C)OC(=O)N[C@@]1(C)CCCC[C@H]1C(=O)Nc1nn[n-]n1 ZINC000494044506 420983317 /nfs/dbraw/zinc/98/33/17/420983317.db2.gz QNCNKEDIWAQUHL-XPTSAGLGSA-N -1 1 324.385 1.612 20 0 DDADMM CC(C)(C)OC(=O)N[C@@]1(C)CCCC[C@@H]1C(=O)Nc1nnn[n-]1 ZINC000494044505 420983850 /nfs/dbraw/zinc/98/38/50/420983850.db2.gz QNCNKEDIWAQUHL-OTYXRUKQSA-N -1 1 324.385 1.612 20 0 DDADMM CC(C)(C)OC(=O)N[C@@]1(C)CCCC[C@@H]1C(=O)Nc1nn[n-]n1 ZINC000494044505 420983853 /nfs/dbraw/zinc/98/38/53/420983853.db2.gz QNCNKEDIWAQUHL-OTYXRUKQSA-N -1 1 324.385 1.612 20 0 DDADMM C[C@@H](CO)NC(=O)c1ccc(NC(=O)OC(C)(C)C)cc1[O-] ZINC000494123589 420995982 /nfs/dbraw/zinc/99/59/82/420995982.db2.gz JGDNMLGQNQKMCQ-VIFPVBQESA-N -1 1 310.350 1.850 20 0 DDADMM C[C@H](CNC(=O)OC(C)(C)C)CNC(=O)c1ncccc1[O-] ZINC000495575676 421027270 /nfs/dbraw/zinc/02/72/70/421027270.db2.gz SUFNYQXZDWFKDJ-JTQLQIEISA-N -1 1 309.366 1.678 20 0 DDADMM CC(C)c1nnc(CCNc2nc3[nH][n-]cc-3c(=O)n2)s1 ZINC000488746134 421110072 /nfs/dbraw/zinc/11/00/72/421110072.db2.gz FTLWHWCWKNBAJF-UHFFFAOYSA-N -1 1 305.367 1.062 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C3CC3)[C@H]2C2CC2)o1 ZINC000456312291 421141063 /nfs/dbraw/zinc/14/10/63/421141063.db2.gz VWIKEYXHNDVCPO-SMDDNHRTSA-N -1 1 324.402 1.448 20 0 DDADMM C[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544684590 421228084 /nfs/dbraw/zinc/22/80/84/421228084.db2.gz YBABSNBAZCZWRM-SCVCMEIPSA-N -1 1 301.350 1.211 20 0 DDADMM O=C(NCc1ccc(OC2CCCCC2)nc1)c1cnn[nH]1 ZINC000522926974 421228642 /nfs/dbraw/zinc/22/86/42/421228642.db2.gz RMCYLJQEEHFRCC-UHFFFAOYSA-N -1 1 301.350 1.841 20 0 DDADMM CCOC(=O)c1csc(=N[C@H]2CCO[C@@]3(CCOC3)C2)[n-]1 ZINC000450415862 421194864 /nfs/dbraw/zinc/19/48/64/421194864.db2.gz FDMLARYRTFIKEX-HZMBPMFUSA-N -1 1 312.391 1.492 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CC[C@@H](CO)[C@H](O)C1 ZINC000545989961 421278100 /nfs/dbraw/zinc/27/81/00/421278100.db2.gz CZEQOPSQWQSEBN-SWLSCSKDSA-N -1 1 301.342 1.361 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1Cc2ccccc2S1)c1nn[n-]n1 ZINC000547488994 421336750 /nfs/dbraw/zinc/33/67/50/421336750.db2.gz OERFGNGDPFLXMZ-CABZTGNLSA-N -1 1 303.391 1.479 20 0 DDADMM CCC(CC)CS(=O)(=O)N[C@@H](CN1CCCCC1)C(=O)[O-] ZINC000547567437 421343353 /nfs/dbraw/zinc/34/33/53/421343353.db2.gz GAQKWFIGMHUSIB-ZDUSSCGKSA-N -1 1 320.455 1.281 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1c(F)cc(F)cc1F)N1CCCC1 ZINC000548369278 421430047 /nfs/dbraw/zinc/43/00/47/421430047.db2.gz AFLRIVINELMPIG-SECBINFHSA-N -1 1 322.352 1.867 20 0 DDADMM COc1cc(-c2noc(CN3CC[C@H](C(=O)[O-])C3)n2)ccc1C ZINC000562964548 421431803 /nfs/dbraw/zinc/43/18/03/421431803.db2.gz CYBVAEXPUQHRCG-LBPRGKRZSA-N -1 1 317.345 1.960 20 0 DDADMM CC(C)(C)N1CC[C@H](NC(=O)c2csc(=NC3CC3)[n-]2)C1=O ZINC000514606653 421439165 /nfs/dbraw/zinc/43/91/65/421439165.db2.gz VUYAZUKVCRLQTH-JTQLQIEISA-N -1 1 322.434 1.269 20 0 DDADMM COC(=O)CCC1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000547977410 421385032 /nfs/dbraw/zinc/38/50/32/421385032.db2.gz YODUNTJNKKEKMV-UHFFFAOYSA-N -1 1 306.362 1.901 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CCn3ccnc3C2)cc(Cl)c1[O-] ZINC000527485567 421389743 /nfs/dbraw/zinc/38/97/43/421389743.db2.gz VFDZQCDPHBCPFF-SNVBAGLBSA-N -1 1 321.764 1.996 20 0 DDADMM O=C([O-])Cn1cc(CCN(Cc2ccccc2F)C2CC2)nn1 ZINC000563277821 421481359 /nfs/dbraw/zinc/48/13/59/421481359.db2.gz LMSYPXJSSCQBRP-UHFFFAOYSA-N -1 1 318.352 1.709 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)c1cccc(Br)c1[O-] ZINC000552119598 421575141 /nfs/dbraw/zinc/57/51/41/421575141.db2.gz NXQFJCXBULCOHP-UHFFFAOYSA-N -1 1 311.139 1.545 20 0 DDADMM C[C@@H](CNc1nc(C(F)(F)F)nc2[nH]cnc21)CC(=O)[O-] ZINC000563626545 421530183 /nfs/dbraw/zinc/53/01/83/421530183.db2.gz YRKLBEQLCDVPSY-RXMQYKEDSA-N -1 1 303.244 1.846 20 0 DDADMM Cc1cnc(S[C@@H](C)C(=O)NC(=O)NCC(C)C)[n-]c1=O ZINC000570291561 421636997 /nfs/dbraw/zinc/63/69/97/421636997.db2.gz CRHVLJFTYSNXIC-VIFPVBQESA-N -1 1 312.395 1.453 20 0 DDADMM O=C(C[C@H]1CSc2ccccc2O1)NC1(c2nn[n-]n2)CC1 ZINC000556265727 421705543 /nfs/dbraw/zinc/70/55/43/421705543.db2.gz VOAFGSGAKHXRCJ-VIFPVBQESA-N -1 1 317.374 1.248 20 0 DDADMM N=c1nc(N2CCN(Cc3cnc(C4CC4)s3)CC2)s[n-]1 ZINC000537710907 421734195 /nfs/dbraw/zinc/73/41/95/421734195.db2.gz QIVWTHVUSIMFPW-UHFFFAOYSA-N -1 1 322.463 1.607 20 0 DDADMM COc1cccc(F)c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538223361 421738045 /nfs/dbraw/zinc/73/80/45/421738045.db2.gz MEJZKZXMRJSLKW-VIFPVBQESA-N -1 1 305.313 1.367 20 0 DDADMM CC[C@H](C)[C@H](C)N(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000541842034 421807425 /nfs/dbraw/zinc/80/74/25/421807425.db2.gz IQNCNHUWJUOYIC-UWVGGRQHSA-N -1 1 303.366 1.457 20 0 DDADMM O=C(Cc1ccc2c(c1)COC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000542650800 421826391 /nfs/dbraw/zinc/82/63/91/421826391.db2.gz PQKHGWFQXFUOQD-LBPRGKRZSA-N -1 1 313.361 1.179 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)N2CCC[C@@H](CC(=O)[O-])C2)n[nH]1 ZINC000572492924 421794836 /nfs/dbraw/zinc/79/48/36/421794836.db2.gz PCEQEUVNVADNPL-JTQLQIEISA-N -1 1 323.397 1.499 20 0 DDADMM Cc1cnc(SCCCN2C(=O)NC(C)(C)C2=O)[n-]c1=O ZINC000572542507 421799376 /nfs/dbraw/zinc/79/93/76/421799376.db2.gz CWABMKJEURDQRS-UHFFFAOYSA-N -1 1 310.379 1.303 20 0 DDADMM CCOc1ccc(CNCc2cc(C(=O)[O-])nn2C)cc1F ZINC000635306460 421895087 /nfs/dbraw/zinc/89/50/87/421895087.db2.gz VEFKNBUPAXRDOH-UHFFFAOYSA-N -1 1 307.325 1.946 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCOCC2CCC2)o1 ZINC000580867121 421851122 /nfs/dbraw/zinc/85/11/22/421851122.db2.gz GLFLYNYFZTWHOF-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N(C)Cc1ccc(C(=O)[O-])cc1 ZINC000630134825 421910219 /nfs/dbraw/zinc/91/02/19/421910219.db2.gz CRPWBDHOEGXRAX-LLVKDONJSA-N -1 1 301.346 1.945 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NCCOc1ccc(C(=O)[O-])cc1 ZINC000630155466 421922944 /nfs/dbraw/zinc/92/29/44/421922944.db2.gz JAOXRVCXURIBJM-LLVKDONJSA-N -1 1 317.345 1.482 20 0 DDADMM Cc1ncc(C(=O)N(C)Cc2ccc(OCC(=O)[O-])cc2)[nH]1 ZINC000630162126 421928326 /nfs/dbraw/zinc/92/83/26/421928326.db2.gz XHUACNFJZKEZQR-UHFFFAOYSA-N -1 1 303.318 1.454 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCc1cc2ccccc2[nH]1 ZINC000581338221 421951956 /nfs/dbraw/zinc/95/19/56/421951956.db2.gz RQKUPDIAXXGKHD-UHFFFAOYSA-N -1 1 320.374 1.398 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1cc2ccccc2[nH]1 ZINC000581338221 421951963 /nfs/dbraw/zinc/95/19/63/421951963.db2.gz RQKUPDIAXXGKHD-UHFFFAOYSA-N -1 1 320.374 1.398 20 0 DDADMM CCC[C@H](OCC)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630221410 421970223 /nfs/dbraw/zinc/97/02/23/421970223.db2.gz ZTRWQOHPVLDEOG-STQMWFEESA-N -1 1 300.399 1.199 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)CC1 ZINC000630221180 421970860 /nfs/dbraw/zinc/97/08/60/421970860.db2.gz VEQGDOXVDSFEBB-LJISPDSOSA-N -1 1 306.406 1.596 20 0 DDADMM CO[C@H](C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)c1ccccc1 ZINC000630219982 421970879 /nfs/dbraw/zinc/97/08/79/421970879.db2.gz KOOROLONHUVCEM-CJNGLKHVSA-N -1 1 320.389 1.382 20 0 DDADMM C[C@@H](CSc1ccccc1)N(C)C(=O)CCc1nn[n-]n1 ZINC000633565706 421972329 /nfs/dbraw/zinc/97/23/29/421972329.db2.gz NMCPIDZSZUHIOU-NSHDSACASA-N -1 1 305.407 1.772 20 0 DDADMM CCOc1ccccc1CCNC(=O)CCCc1nn[n-]n1 ZINC000635485530 422031784 /nfs/dbraw/zinc/03/17/84/422031784.db2.gz ZIWFWNDAWHVDKV-UHFFFAOYSA-N -1 1 303.366 1.280 20 0 DDADMM CC(C)(NC(=O)CCc1nn[n-]n1)c1nc2ccccc2s1 ZINC000633671474 422038910 /nfs/dbraw/zinc/03/89/10/422038910.db2.gz CKKZOCDHRFNRTE-UHFFFAOYSA-N -1 1 316.390 1.794 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCO[C@H](c2ccccc2F)C1 ZINC000635492518 422038994 /nfs/dbraw/zinc/03/89/94/422038994.db2.gz IITSJLLRXMJZJU-ZDUSSCGKSA-N -1 1 319.340 1.262 20 0 DDADMM Cc1ccc(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1 ZINC000630225808 421975108 /nfs/dbraw/zinc/97/51/08/421975108.db2.gz VQZNCWKLIFFRRC-AWEZNQCLSA-N -1 1 304.390 1.545 20 0 DDADMM CCCc1cc(C(=O)N2CCc3occc3[C@@H]2C(=O)[O-])n[nH]1 ZINC000630234788 421981588 /nfs/dbraw/zinc/98/15/88/421981588.db2.gz FWKNKNDBNCLDEK-CYBMUJFWSA-N -1 1 303.318 1.779 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NC[C@@H](C(=O)[O-])C1CCCCC1 ZINC000630267405 421998100 /nfs/dbraw/zinc/99/81/00/421998100.db2.gz PNUBFGCCQZHPFK-SMDDNHRTSA-N -1 1 307.394 1.986 20 0 DDADMM CO[C@@H](C)CC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000573797965 422016280 /nfs/dbraw/zinc/01/62/80/422016280.db2.gz JLCDIIZEAGSXHV-VIFPVBQESA-N -1 1 300.405 2.000 20 0 DDADMM CCC[C@](C)(O)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632011073 422020364 /nfs/dbraw/zinc/02/03/64/422020364.db2.gz MUJZMCMJBOYCKV-VIFPVBQESA-N -1 1 315.317 1.258 20 0 DDADMM CN(Cc1ccc(Br)cc1)C(=O)CCc1nn[n-]n1 ZINC000630446906 422109684 /nfs/dbraw/zinc/10/96/84/422109684.db2.gz ANMWGXKKZYUCPQ-UHFFFAOYSA-N -1 1 324.182 1.553 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(F)c1F ZINC000632054486 422052654 /nfs/dbraw/zinc/05/26/54/422052654.db2.gz YUSJZDJHFSNBQU-VIFPVBQESA-N -1 1 307.304 1.806 20 0 DDADMM CC[C@H](NC(C)=O)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000633691189 422052642 /nfs/dbraw/zinc/05/26/42/422052642.db2.gz DRJWNNDMZJLJAU-ZDUSSCGKSA-N -1 1 302.334 1.431 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCn3cc(C)nc3C2)c1 ZINC000632198826 422154965 /nfs/dbraw/zinc/15/49/65/422154965.db2.gz OTLZFOSWYMVDKW-UHFFFAOYSA-N -1 1 323.374 1.110 20 0 DDADMM CC(C)(C)c1ncc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cn1 ZINC000583340495 422161510 /nfs/dbraw/zinc/16/15/10/422161510.db2.gz BHRYMKUXGPUTSP-JTQLQIEISA-N -1 1 315.381 1.307 20 0 DDADMM C[C@H](CNC(=O)CCCc1nn[n-]n1)Oc1cccc(F)c1 ZINC000635616371 422167936 /nfs/dbraw/zinc/16/79/36/422167936.db2.gz VBRAUIDCKFJVNG-SNVBAGLBSA-N -1 1 307.329 1.245 20 0 DDADMM O=C(c1cc2c([nH]1)CCOC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000583930838 422220175 /nfs/dbraw/zinc/22/01/75/422220175.db2.gz XDXRWAPXARKYSD-SECBINFHSA-N -1 1 318.333 1.196 20 0 DDADMM CC[C@H](C)[C@@H]([N-]S(=O)(=O)CC1(N(C)C)CC1)C(F)(F)F ZINC000632280367 422220400 /nfs/dbraw/zinc/22/04/00/422220400.db2.gz ADUUKSVPZVKBJZ-VHSXEESVSA-N -1 1 316.389 1.977 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C[C@@H](C)O[C@H]1C ZINC000634022112 422225883 /nfs/dbraw/zinc/22/58/83/422225883.db2.gz OKECGSPRCWFXCA-YDEJPDAXSA-N -1 1 318.377 1.276 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1ccc(C(F)F)o1)OCCO ZINC000632339973 422259247 /nfs/dbraw/zinc/25/92/47/422259247.db2.gz KYHQERAQKFMNFV-UHFFFAOYSA-N -1 1 313.322 1.283 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cncc(Br)c1 ZINC000635738392 422297483 /nfs/dbraw/zinc/29/74/83/422297483.db2.gz QOVFCYGHMWZYIY-UHFFFAOYSA-N -1 1 311.143 1.319 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCCOc2cc(F)ccc21 ZINC000635773313 422332197 /nfs/dbraw/zinc/33/21/97/422332197.db2.gz GQGPAYSVIPWTOG-GFCCVEGCSA-N -1 1 319.340 1.692 20 0 DDADMM O=C([C@@H]1C[C@H]1C(F)(F)F)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000575863040 422339048 /nfs/dbraw/zinc/33/90/48/422339048.db2.gz ADKSXFGRUHCSHR-BWZBUEFSSA-N -1 1 305.256 1.680 20 0 DDADMM C[C@@H]1C[C@H](c2cccnc2)N(C(=O)CCCc2nn[n-]n2)C1 ZINC000635794302 422349455 /nfs/dbraw/zinc/34/94/55/422349455.db2.gz JSTNXUHAOAEGQP-DGCLKSJQSA-N -1 1 300.366 1.527 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCCCCC(C)=O)c1 ZINC000632416621 422324568 /nfs/dbraw/zinc/32/45/68/422324568.db2.gz YQERAOHBMFBIMQ-UHFFFAOYSA-N -1 1 315.391 1.829 20 0 DDADMM O=C(CCc1nn[n-]n1)NC1(Cc2cccc(F)c2)CCC1 ZINC000632556270 422433758 /nfs/dbraw/zinc/43/37/58/422433758.db2.gz CXFDONYXKAJUTM-UHFFFAOYSA-N -1 1 303.341 1.553 20 0 DDADMM Cc1ccccc1C(F)(F)C[N-]S(=O)(=O)c1nncn1C ZINC000632570721 422445358 /nfs/dbraw/zinc/44/53/58/422445358.db2.gz VQNHQNAHZBCQTI-UHFFFAOYSA-N -1 1 316.333 1.194 20 0 DDADMM C[C@H]1CN(C(=O)CCCc2nn[n-]n2)Cc2ccccc2O1 ZINC000635957716 422511798 /nfs/dbraw/zinc/51/17/98/422511798.db2.gz OHOUQIWHDZHPDP-NSHDSACASA-N -1 1 301.350 1.332 20 0 DDADMM CCc1c(C)[n-]n(-c2ccc(S(=O)(=O)N(C)C)cc2)c1=O ZINC000634629646 422516867 /nfs/dbraw/zinc/51/68/67/422516867.db2.gz YRZKKHAJXCKTME-ZDUSSCGKSA-N -1 1 309.391 1.686 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(-c2cccc(F)c2)no1 ZINC000577998905 422472590 /nfs/dbraw/zinc/47/25/90/422472590.db2.gz XVVUSUOGYONCTP-UHFFFAOYSA-N -1 1 314.280 1.413 20 0 DDADMM Cc1cc(CC(=O)N=c2nc(C3CCOCC3)[n-]s2)n[nH]1 ZINC000629101824 422476571 /nfs/dbraw/zinc/47/65/71/422476571.db2.gz TWYDRHZOQKCFDF-UHFFFAOYSA-N -1 1 307.379 1.067 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(Cc1ccco1)C[C@H]1CCCO1 ZINC000635941858 422494681 /nfs/dbraw/zinc/49/46/81/422494681.db2.gz NVRHBJHRJRUUKY-CYBMUJFWSA-N -1 1 319.365 1.323 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)[C@H](C)O1 ZINC000632649775 422497026 /nfs/dbraw/zinc/49/70/26/422497026.db2.gz UUVGQKJZYLXRTO-BKPPORCPSA-N -1 1 309.309 1.948 20 0 DDADMM O=C([O-])Cn1cc(CN2CCC[C@@H]2Cc2ccc(F)cc2)nn1 ZINC000578242393 422531557 /nfs/dbraw/zinc/53/15/57/422531557.db2.gz VISBNPODJNNUPG-OAHLLOKOSA-N -1 1 318.352 1.709 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H](C)[C@H](O)[C@@H](C)C3)cnc2n1 ZINC000622162231 422537238 /nfs/dbraw/zinc/53/72/38/422537238.db2.gz BEYCULCDNMEAOM-MSRIBSCDSA-N -1 1 315.373 1.733 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@@H](C)[C@H](O)[C@@H](C)C3)c[n-]c2n1 ZINC000622162231 422537243 /nfs/dbraw/zinc/53/72/43/422537243.db2.gz BEYCULCDNMEAOM-MSRIBSCDSA-N -1 1 315.373 1.733 20 0 DDADMM CN(C(=O)CCCc1nn[n-]n1)[C@@H](CO)c1ccc(Cl)cc1 ZINC000635981584 422538644 /nfs/dbraw/zinc/53/86/44/422538644.db2.gz YXNLMBUQSCHZPC-LBPRGKRZSA-N -1 1 323.784 1.368 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H]1C(=O)c1ccccc1 ZINC000635997374 422550202 /nfs/dbraw/zinc/55/02/02/422550202.db2.gz VBTDNGDPIMZLCC-CYBMUJFWSA-N -1 1 313.361 1.396 20 0 DDADMM COc1cc(F)cc(C[C@@H](C)NC(=O)CCCc2nn[n-]n2)c1 ZINC000636011293 422561007 /nfs/dbraw/zinc/56/10/07/422561007.db2.gz VTGOBDSGPLISAT-SNVBAGLBSA-N -1 1 321.356 1.418 20 0 DDADMM C[C@@]1(c2cccc(F)c2)CCN(C(=O)CCCc2nn[n-]n2)C1 ZINC000636121316 422659454 /nfs/dbraw/zinc/65/94/54/422659454.db2.gz SWKVOVRVGTYKQB-MRXNPFEDSA-N -1 1 317.368 1.852 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@@H]1[C@H]1CCCC[C@@H]1O ZINC000632820411 422603293 /nfs/dbraw/zinc/60/32/93/422603293.db2.gz HFYNLLHLUBLYSR-UPJWGTAASA-N -1 1 307.398 1.065 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-]c1cc(CC2CCCCC2)n[nH]1 ZINC000629349457 422625452 /nfs/dbraw/zinc/62/54/52/422625452.db2.gz JNBJNCKDAHPAEX-UHFFFAOYSA-N -1 1 324.410 1.462 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2cc(C3CCCC3)n[nH]2)n1C ZINC000629349738 422627194 /nfs/dbraw/zinc/62/71/94/422627194.db2.gz SKPRKELWGARUPZ-UHFFFAOYSA-N -1 1 309.395 1.910 20 0 DDADMM COCCCOCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629377832 422639792 /nfs/dbraw/zinc/63/97/92/422639792.db2.gz LASVGIYHQKFKPC-UHFFFAOYSA-N -1 1 305.334 1.570 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H](C[C@H]1CCCO1)C(F)(F)F ZINC000636139374 422669756 /nfs/dbraw/zinc/66/97/56/422669756.db2.gz GMKXKGCLDGCMSV-BDAKNGLRSA-N -1 1 321.303 1.139 20 0 DDADMM COc1ccc(CCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1F ZINC000124281398 263340530 /nfs/dbraw/zinc/34/05/30/263340530.db2.gz DCQZFVWBGWSBDQ-SNVBAGLBSA-N -1 1 321.356 1.542 20 0 DDADMM CCNC(=O)CCC(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000648928891 423547170 /nfs/dbraw/zinc/54/71/70/423547170.db2.gz GJGWPMDAQKOIHU-UHFFFAOYSA-N -1 1 322.315 1.632 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1C[C@H]1c1ccccc1C(F)(F)F ZINC000648931401 423549747 /nfs/dbraw/zinc/54/97/47/423549747.db2.gz DQDNHBNRUAVKDO-DTWKUNHWSA-N -1 1 311.267 1.638 20 0 DDADMM CC(C)(NC(=O)C[C@H]1CSc2ccccc2O1)c1nn[n-]n1 ZINC000649025702 423589326 /nfs/dbraw/zinc/58/93/26/423589326.db2.gz GZNQNHQHVGHKKH-VIFPVBQESA-N -1 1 319.390 1.494 20 0 DDADMM C[C@@H]1CCCc2ncc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)n21 ZINC000651561565 423595185 /nfs/dbraw/zinc/59/51/85/423595185.db2.gz QDASZTKVKUJENQ-GHMZBOCLSA-N -1 1 315.381 1.313 20 0 DDADMM O=C(c1ccc2oc(=O)nc-2[n-]1)N1CCN(CC2CC2)CC1 ZINC000646691321 423577324 /nfs/dbraw/zinc/57/73/24/423577324.db2.gz WVGZHWYHIWMJHX-UHFFFAOYSA-N -1 1 302.334 1.096 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cn(-c2ccccc2)cn1)c1nn[n-]n1 ZINC000651720963 423657971 /nfs/dbraw/zinc/65/79/71/423657971.db2.gz PJYVQLGYRYGALW-NSHDSACASA-N -1 1 311.349 1.261 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cn(C2CCC2)nn1 ZINC000649210226 423678682 /nfs/dbraw/zinc/67/86/82/423678682.db2.gz XHKDRIJVRHOWNX-CYBMUJFWSA-N -1 1 324.348 1.036 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](CC(N)=O)C1 ZINC000644512089 423680245 /nfs/dbraw/zinc/68/02/45/423680245.db2.gz ZEQIZFLLDSAEBP-JTQLQIEISA-N -1 1 320.393 1.126 20 0 DDADMM CC(C)[C@@H]1CCO[C@@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000649219566 423683271 /nfs/dbraw/zinc/68/32/71/423683271.db2.gz IONRZQGTGMRNDQ-RYUDHWBXSA-N -1 1 309.366 1.542 20 0 DDADMM CC(C)C[C@@H](C)N(C)C(=O)CN1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639822711 423758753 /nfs/dbraw/zinc/75/87/53/423758753.db2.gz WKMOACAXSHQZDL-CHWSQXEVSA-N -1 1 323.441 1.555 20 0 DDADMM CCC(C)(C)NC(=O)[C@H](C)N1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639825982 423762254 /nfs/dbraw/zinc/76/22/54/423762254.db2.gz QWFAMALEKJQHFM-QWRGUYRKSA-N -1 1 309.414 1.355 20 0 DDADMM CC(=O)N1CCCN(C(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000665761585 423816336 /nfs/dbraw/zinc/81/63/36/423816336.db2.gz JCRLNMWRDQSOAD-UHFFFAOYSA-N -1 1 313.357 1.635 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccc(C(N)=O)c(Cl)c2)CC1 ZINC000641680452 423857073 /nfs/dbraw/zinc/85/70/73/423857073.db2.gz QMEOWCRZUZLZRS-UHFFFAOYSA-N -1 1 318.782 1.360 20 0 DDADMM O=C(C[C@@H]1OCc2ccccc21)NC1(c2nn[n-]n2)CCCC1 ZINC000654806643 423926490 /nfs/dbraw/zinc/92/64/90/423926490.db2.gz GSOIZXWTOSLGMG-ZDUSSCGKSA-N -1 1 313.361 1.747 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644884534 424009642 /nfs/dbraw/zinc/00/96/42/424009642.db2.gz YFKYNVUFWUSANS-JTQLQIEISA-N -1 1 319.365 1.950 20 0 DDADMM O=c1[n-]c([C@@H]2CCCCN2CCCO[C@@H]2CCCCO2)n[nH]1 ZINC000649595086 424065207 /nfs/dbraw/zinc/06/52/07/424065207.db2.gz DCVGHJOTFVHHTL-QWHCGFSZSA-N -1 1 310.398 1.971 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCC1)c1c(F)cccc1Cl ZINC000657055798 424046318 /nfs/dbraw/zinc/04/63/18/424046318.db2.gz JSMUQJAPTFHELT-LLVKDONJSA-N -1 1 307.774 1.918 20 0 DDADMM CN(CCCNC(=O)c1ccc(Cl)cc1[O-])S(C)(=O)=O ZINC000642277848 424153999 /nfs/dbraw/zinc/15/39/99/424153999.db2.gz XWKOLAKZUCFBPB-UHFFFAOYSA-N -1 1 320.798 1.057 20 0 DDADMM C[C@@H](CN(CCC(=O)[O-])Cc1ccccc1)CS(C)(=O)=O ZINC000659790767 424256398 /nfs/dbraw/zinc/25/63/98/424256398.db2.gz XZUNJVJODXIWKA-ZDUSSCGKSA-N -1 1 313.419 1.644 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N[C@@]1(CCO)CCOC1 ZINC000640339754 424360579 /nfs/dbraw/zinc/36/05/79/424360579.db2.gz WWUZGWGQZQDONG-AWEZNQCLSA-N -1 1 307.375 1.381 20 0 DDADMM CC1(C)CN(C(=O)N=c2[n-]sc3ccccc32)C[C@@H](CO)O1 ZINC000640337852 424361175 /nfs/dbraw/zinc/36/11/75/424361175.db2.gz MDFIFLZMOZRDJI-JTQLQIEISA-N -1 1 321.402 1.722 20 0 DDADMM CO[C@@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)C1CCCC1 ZINC000664436101 424589846 /nfs/dbraw/zinc/58/98/46/424589846.db2.gz FGFOMWFJCMUTDV-AWEZNQCLSA-N -1 1 315.373 1.858 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1[C@@H]2Cc3cc(F)ccc3[C@@H]21 ZINC000660104613 424529064 /nfs/dbraw/zinc/52/90/64/424529064.db2.gz UWPZIXILMHWLRQ-GRYCIOLGSA-N -1 1 323.349 1.321 20 0 DDADMM CC1(C)CCC(CN=c2ccc(C(=O)NCCO)n[n-]2)CC1 ZINC000340081801 271049165 /nfs/dbraw/zinc/04/91/65/271049165.db2.gz GBCJXTXGFBEQTC-UHFFFAOYSA-N -1 1 306.410 1.249 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)Nc2c(C)ccn(C)c2=O)c1 ZINC000342778940 271515694 /nfs/dbraw/zinc/51/56/94/271515694.db2.gz KUYMIKDOZPBSNG-UHFFFAOYSA-N -1 1 324.358 1.209 20 0 DDADMM C[C@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])C[C@@H](C)C1 ZINC000344729952 272102948 /nfs/dbraw/zinc/10/29/48/272102948.db2.gz KTMSWWRWNXTGNG-RYUDHWBXSA-N -1 1 305.378 1.412 20 0 DDADMM C[C@@H](CC(F)(F)F)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000344822525 272125074 /nfs/dbraw/zinc/12/50/74/272125074.db2.gz KAFRLQUOUGAGPP-LURJTMIESA-N -1 1 302.256 1.745 20 0 DDADMM O=C(Nc1ccncc1[O-])c1cc(N2CCCC2=O)ccc1F ZINC000345375767 272234456 /nfs/dbraw/zinc/23/44/56/272234456.db2.gz MILAZAKAKVVTFN-UHFFFAOYSA-N -1 1 315.304 1.727 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1CCC1)c1ccsc1 ZINC000345587981 272285952 /nfs/dbraw/zinc/28/59/52/272285952.db2.gz ONCPDECMCGSWAF-NSHDSACASA-N -1 1 303.405 1.682 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(Cl)cnc1N)C(F)(F)F ZINC000345632801 272294437 /nfs/dbraw/zinc/29/44/37/272294437.db2.gz FPMXHQFOPIOBHD-SSDOTTSWSA-N -1 1 317.720 1.936 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC[C@](C)(F)C1 ZINC000347309239 272658102 /nfs/dbraw/zinc/65/81/02/272658102.db2.gz FQXCESHFZYIIHD-INIZCTEOSA-N -1 1 320.368 1.945 20 0 DDADMM CC(C)Cc1n[n-]c(=NC(=O)N2C[C@@H](C)N(C)[C@@H](C)C2)s1 ZINC000436499098 279245439 /nfs/dbraw/zinc/24/54/39/279245439.db2.gz VDXLLQCEVMYASA-PHIMTYICSA-N -1 1 311.455 1.715 20 0 DDADMM O=C([C@H]1CSc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129400727 280148161 /nfs/dbraw/zinc/14/81/61/280148161.db2.gz UGUKUHVCDPTWAT-PWSUYJOCSA-N -1 1 315.402 1.795 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@@H]1[C@@H]1CCCC1=O ZINC000332013689 280166405 /nfs/dbraw/zinc/16/64/05/280166405.db2.gz XUTWAFVBCPRHSD-WCQYABFASA-N -1 1 307.321 1.742 20 0 DDADMM CC(C)(C)OC(=O)NCCCNC(=O)c1ccc2[nH]nnc2c1 ZINC000112539723 281068776 /nfs/dbraw/zinc/06/87/76/281068776.db2.gz ATVGIOUPNJIBIP-UHFFFAOYSA-N -1 1 319.365 1.603 20 0 DDADMM CNC(=O)c1cccc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)c1 ZINC000114039906 281072939 /nfs/dbraw/zinc/07/29/39/281072939.db2.gz JZZKNKFQNICYDS-UHFFFAOYSA-N -1 1 320.374 1.016 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(C)nc2C)o1 ZINC000119141731 281091058 /nfs/dbraw/zinc/09/10/58/281091058.db2.gz JPZPQIKKKMMJDQ-UHFFFAOYSA-N -1 1 309.347 1.452 20 0 DDADMM C[C@H]1CCCC[C@H]1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332396006 290414187 /nfs/dbraw/zinc/41/41/87/290414187.db2.gz LNVMSIYDMLLZKM-WDEREUQCSA-N -1 1 324.454 1.361 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)c3cncc(Cl)c3)ccnc1-2 ZINC000340371553 294132926 /nfs/dbraw/zinc/13/29/26/294132926.db2.gz RYQSCKHLPVHTKK-UHFFFAOYSA-N -1 1 323.765 1.191 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](CO)Cc2ccc(F)cc2)c([O-])c1 ZINC000361486185 299876600 /nfs/dbraw/zinc/87/66/00/299876600.db2.gz QRIYJEYAQROKGG-CYBMUJFWSA-N -1 1 304.321 1.568 20 0 DDADMM CC[C@@H](CC(F)(F)F)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000354966462 298583118 /nfs/dbraw/zinc/58/31/18/298583118.db2.gz HYCZPMXMZGOIFS-QMMMGPOBSA-N -1 1 319.283 1.364 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc2c(c1)ncn2C)C1CC1 ZINC000573845140 304618621 /nfs/dbraw/zinc/61/86/21/304618621.db2.gz QZHVQTNYCKBDAS-AWEZNQCLSA-N -1 1 309.391 1.740 20 0 DDADMM COCc1n[n-]c(=NC[C@@H](c2ccc(F)cc2)N(C)C)s1 ZINC000357040580 298949453 /nfs/dbraw/zinc/94/94/53/298949453.db2.gz PRWMZHCCRFKSEI-LBPRGKRZSA-N -1 1 310.398 1.960 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@H]2CCCC[C@H]21 ZINC000365783087 300581653 /nfs/dbraw/zinc/58/16/53/300581653.db2.gz OKFMITMURCLJBF-TZMCWYRMSA-N -1 1 314.389 1.995 20 0 DDADMM C[C@H]1[C@@H](c2ccccc2)[C@H]1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000369167762 301139714 /nfs/dbraw/zinc/13/97/14/301139714.db2.gz WIVVFNCXEQLBOY-ATGSNQNLSA-N -1 1 311.389 1.955 20 0 DDADMM O=C(Nc1cccc(-c2n[nH]c(=O)[n-]2)c1)[C@H]1C[C@@H]1c1nc[nH]n1 ZINC000370564070 301365795 /nfs/dbraw/zinc/36/57/95/301365795.db2.gz RUWYWKANJYDLBQ-UWVGGRQHSA-N -1 1 311.305 1.038 20 0 DDADMM CCC[C@H](O)CNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000355089049 306794107 /nfs/dbraw/zinc/79/41/07/306794107.db2.gz PSNNVJVRGPCGTR-JTQLQIEISA-N -1 1 313.423 1.422 20 0 DDADMM NC(=O)c1cccc(CCNC(=O)c2c(F)ccc([O-])c2F)c1 ZINC000355499622 306805648 /nfs/dbraw/zinc/80/56/48/306805648.db2.gz DKWHLOQJNMNQQX-UHFFFAOYSA-N -1 1 320.295 1.742 20 0 DDADMM CC(C)(C)[N@H+]1CC[C@@](F)(C(=O)Nc2nc(C(F)(F)F)n[n-]2)C1 ZINC000377716816 302218399 /nfs/dbraw/zinc/21/83/99/302218399.db2.gz AKNMGFDHTKRKIH-NSHDSACASA-N -1 1 323.294 1.975 20 0 DDADMM CC(C)(C)[N@@H+]1CC[C@@](F)(C(=O)Nc2n[nH]c(C(F)(F)F)n2)C1 ZINC000377716816 302218402 /nfs/dbraw/zinc/21/84/02/302218402.db2.gz AKNMGFDHTKRKIH-NSHDSACASA-N -1 1 323.294 1.975 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC[C@H](c3ccco3)C1)c2=O ZINC000378579533 302339083 /nfs/dbraw/zinc/33/90/83/302339083.db2.gz HIYRAGGZOZKBAT-JTQLQIEISA-N -1 1 312.329 1.897 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCO[C@H]3CCC[C@@H]31)c2=O ZINC000378592199 302341007 /nfs/dbraw/zinc/34/10/07/302341007.db2.gz TVGNBEMBULCAIU-RYUDHWBXSA-N -1 1 302.334 1.067 20 0 DDADMM O=C(N=c1ccc(O)n[n-]1)c1cn2cc(Cl)cc(Cl)c2n1 ZINC000441292875 302391684 /nfs/dbraw/zinc/39/16/84/302391684.db2.gz CCBNFNSQLXTPKS-UHFFFAOYSA-N -1 1 324.127 1.811 20 0 DDADMM COc1ccc(CNC(=O)c2csc(=NC3CC3)[n-]2)nc1 ZINC000444496848 302393773 /nfs/dbraw/zinc/39/37/73/302393773.db2.gz QWFWTHLMHDELKC-UHFFFAOYSA-N -1 1 304.375 1.473 20 0 DDADMM COc1cc([N-]S(=O)(=O)C[C@@H](OC)C2CC2)cc(OC)c1 ZINC000516180632 302786036 /nfs/dbraw/zinc/78/60/36/302786036.db2.gz ACXIJXOBXQDWQU-CQSZACIVSA-N -1 1 315.391 1.871 20 0 DDADMM Cn1ncc2c1nc(Oc1ccc(-n3cncn3)cc1)[n-]c2=O ZINC000356739423 306862105 /nfs/dbraw/zinc/86/21/05/306862105.db2.gz JKCZOEUJTAQGJA-UHFFFAOYSA-N -1 1 309.289 1.442 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1C[C@@H](CO)[C@H](CO)C1 ZINC000529691922 303144872 /nfs/dbraw/zinc/14/48/72/303144872.db2.gz MOBFBRDCDSNZLH-STQMWFEESA-N -1 1 301.342 1.218 20 0 DDADMM Cc1ccn(C[C@](C)(O)C(=O)Nc2cccc(F)c2[O-])c(=O)c1 ZINC000530004117 303169671 /nfs/dbraw/zinc/16/96/71/303169671.db2.gz BGXHTWIYOVVOCQ-INIZCTEOSA-N -1 1 320.320 1.391 20 0 DDADMM COC(=O)Cc1ccc(S(=O)(=O)[N-]c2ncccc2F)cc1 ZINC000537594497 303374964 /nfs/dbraw/zinc/37/49/64/303374964.db2.gz JSLZMOSSDABCPK-UHFFFAOYSA-N -1 1 324.333 1.737 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCn3ccnc3C2)sc1C ZINC000547643366 303544382 /nfs/dbraw/zinc/54/43/82/303544382.db2.gz CZZYFTHFPFVQHJ-SNVBAGLBSA-N -1 1 312.420 1.250 20 0 DDADMM COc1ccc(Cn2ccc([N-]S(=O)(=O)N3CCC3)n2)cc1 ZINC000551947377 303646121 /nfs/dbraw/zinc/64/61/21/303646121.db2.gz JXXXIIKOENDDOW-UHFFFAOYSA-N -1 1 322.390 1.302 20 0 DDADMM CC(C)(C)[C@@H](O)C[C@@H](CO)NC(=O)c1cc(Cl)ccc1[O-] ZINC000552008867 303649796 /nfs/dbraw/zinc/64/97/96/303649796.db2.gz AOJQYRGANCLDJN-GWCFXTLKSA-N -1 1 315.797 1.933 20 0 DDADMM CC(=CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000364528405 307047180 /nfs/dbraw/zinc/04/71/80/307047180.db2.gz HCAANPIIKNCIMV-FROQITRMSA-N -1 1 317.324 1.342 20 0 DDADMM CC(=CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000364528403 307047213 /nfs/dbraw/zinc/04/72/13/307047213.db2.gz HCAANPIIKNCIMV-AORWBKJGSA-N -1 1 317.324 1.342 20 0 DDADMM O=C(c1cccc(OC(F)F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366662488 307078986 /nfs/dbraw/zinc/07/89/86/307078986.db2.gz JXIQZGIXLNRWAL-JTQLQIEISA-N -1 1 323.303 1.821 20 0 DDADMM Cc1ccc([C@@H]2C[C@@H]2C(=O)N(C)C[C@H](C)c2nn[n-]n2)s1 ZINC000368633656 307106936 /nfs/dbraw/zinc/10/69/36/307106936.db2.gz MIKRYRAGVQTMIQ-GDPRMGEGSA-N -1 1 305.407 1.935 20 0 DDADMM CC[C@](C)(CNC(=O)OC(C)(C)C)C(=O)[N-]OCCOC ZINC000496481671 307345597 /nfs/dbraw/zinc/34/55/97/307345597.db2.gz QPBVSVPQSLUDSG-CQSZACIVSA-N -1 1 304.387 1.622 20 0 DDADMM CCCN(CCC)S(=O)(=O)[N-]c1csnc1C(=O)OC ZINC000531695258 307622387 /nfs/dbraw/zinc/62/23/87/307622387.db2.gz DKKORHYTZRZUKF-UHFFFAOYSA-N -1 1 321.424 1.708 20 0 DDADMM C[C@H]1CN(CC[N-]S(=O)(=O)c2cc3ccccc3o2)CCO1 ZINC000548041635 307755282 /nfs/dbraw/zinc/75/52/82/307755282.db2.gz JNLOZPFPYROCDA-LBPRGKRZSA-N -1 1 324.402 1.432 20 0 DDADMM C[C@@H](CCCCC(=O)[O-])NS(=O)(=O)[C@@H](C)C(F)(F)F ZINC000548220242 307760410 /nfs/dbraw/zinc/76/04/10/307760410.db2.gz ZGZIIAHTUXVAPG-YUMQZZPRSA-N -1 1 305.318 1.890 20 0 DDADMM CCC[C@H](C)[C@@H](CO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000565083174 308019423 /nfs/dbraw/zinc/01/94/23/308019423.db2.gz LDDAMTKCYKQFIF-GZMMTYOYSA-N -1 1 306.453 1.835 20 0 DDADMM CC1=C(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CCC(C)(C)C1 ZINC000569898453 308153275 /nfs/dbraw/zinc/15/32/75/308153275.db2.gz IPDZYJOBYZCABO-UHFFFAOYSA-N -1 1 312.395 1.673 20 0 DDADMM CC1=C(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CCC(C)(C)C1 ZINC000569898453 308153277 /nfs/dbraw/zinc/15/32/77/308153277.db2.gz IPDZYJOBYZCABO-UHFFFAOYSA-N -1 1 312.395 1.673 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccn(CCc2ccccc2)n1 ZINC000570520877 308172588 /nfs/dbraw/zinc/17/25/88/308172588.db2.gz ABBHABHOYZSMJP-UHFFFAOYSA-N -1 1 323.360 1.058 20 0 DDADMM CCn1nnc(C)c1CN1Cc2ccccc2C[C@@H]1C(=O)[O-] ZINC000570855368 308183163 /nfs/dbraw/zinc/18/31/63/308183163.db2.gz UELAFOQBYZCOCQ-CQSZACIVSA-N -1 1 300.362 1.618 20 0 DDADMM CC(C)[C@@]1(C)C[C@H]1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000576362802 308310020 /nfs/dbraw/zinc/31/00/20/308310020.db2.gz VZJJSKIRBOFLTA-QMTHXVAHSA-N -1 1 324.454 1.217 20 0 DDADMM O=C(c1cc2sccc2[nH]1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000576687858 308332221 /nfs/dbraw/zinc/33/22/21/308332221.db2.gz OKKIBZSVZYASFJ-QMMMGPOBSA-N -1 1 302.363 1.762 20 0 DDADMM Cc1nc2cccc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)c2[nH]1 ZINC000577194236 308369804 /nfs/dbraw/zinc/36/98/04/308369804.db2.gz GEVXXQMOBZWIRP-SNVBAGLBSA-N -1 1 311.349 1.404 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1(C2CCC2)CCC1 ZINC000577591568 308399414 /nfs/dbraw/zinc/39/94/14/308399414.db2.gz GVLDETUGMREARQ-UHFFFAOYSA-N -1 1 307.350 1.597 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCCC[C@H]1CC(C)C ZINC000579409361 308529253 /nfs/dbraw/zinc/52/92/53/308529253.db2.gz NTDJGVBFOKUVGU-NSHDSACASA-N -1 1 301.412 1.985 20 0 DDADMM O=S(=O)(CCCOCc1ccccc1)[N-]c1cncnc1 ZINC000581498880 325865915 /nfs/dbraw/zinc/86/59/15/325865915.db2.gz MMLCOILEAKMVGY-UHFFFAOYSA-N -1 1 307.375 1.825 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCOC1(C)C ZINC000581589781 325880527 /nfs/dbraw/zinc/88/05/27/325880527.db2.gz HTMGUGKTLQSHBX-LBPRGKRZSA-N -1 1 318.377 1.278 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(C2CC2)cc1 ZINC000582110720 325971686 /nfs/dbraw/zinc/97/16/86/325971686.db2.gz LKCGNZSFOMXRMQ-JTQLQIEISA-N -1 1 323.356 1.786 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCC[C@H]2F)c(F)c1 ZINC000582254645 326000722 /nfs/dbraw/zinc/00/07/22/326000722.db2.gz LIKGUEUROYDQNC-KOLCDFICSA-N -1 1 319.329 1.781 20 0 DDADMM COc1cc(C)ccc1C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000582346673 326015516 /nfs/dbraw/zinc/01/55/16/326015516.db2.gz TYDLPFGKGVFCFN-UHFFFAOYSA-N -1 1 315.333 1.266 20 0 DDADMM O=C1CCCN1CC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000583569136 335734204 /nfs/dbraw/zinc/73/42/04/335734204.db2.gz NJJQOCPHONRBLH-UHFFFAOYSA-N -1 1 322.308 1.005 20 0 DDADMM O=C([O-])[C@H](NS(=O)(=O)c1c(F)cccc1F)C1CCC1 ZINC000399213384 337314376 /nfs/dbraw/zinc/31/43/76/337314376.db2.gz QYDZCKPQWGCYKO-SNVBAGLBSA-N -1 1 305.302 1.496 20 0 DDADMM COc1cc(C(=O)N2CC(c3nc(C)no3)C2)cc(Cl)c1[O-] ZINC000584447741 337339997 /nfs/dbraw/zinc/33/99/97/337339997.db2.gz KHMJBEDZASOSAU-UHFFFAOYSA-N -1 1 323.736 1.985 20 0 DDADMM COC1([C@@H](C)[N-]S(=O)(=O)c2nc(C)c(C)s2)CCC1 ZINC000584458825 337343902 /nfs/dbraw/zinc/34/39/02/337343902.db2.gz NELBRMUJYYXVCO-SNVBAGLBSA-N -1 1 304.437 1.996 20 0 DDADMM COC(=O)C[C@H](C)N(OC)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC001120771779 782131807 /nfs/dbraw/zinc/13/18/07/782131807.db2.gz FEYNIBNMFDOQRR-VIFPVBQESA-N -1 1 315.753 1.930 20 0 DDADMM Cc1ccc(C)n1[C@@H](C)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000372372341 483934381 /nfs/dbraw/zinc/93/43/81/483934381.db2.gz FJKUMAHXNDEYHA-STQMWFEESA-N -1 1 302.382 1.585 20 0 DDADMM CCN(CCNC(=O)NC[C@@H](C)C(=O)[O-])CCC(F)(F)F ZINC000655876614 483973516 /nfs/dbraw/zinc/97/35/16/483973516.db2.gz MTHLWGLMXHKTCK-SECBINFHSA-N -1 1 313.320 1.281 20 0 DDADMM C[C@@H](NC(=O)N[C@@H](C)c1nnc[nH]1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000655901904 483995067 /nfs/dbraw/zinc/99/50/67/483995067.db2.gz MJPCIEALZPYOET-XFWSIPNHSA-N -1 1 309.370 1.300 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccc(F)c1)[C@@H]1CCOC1 ZINC000656613808 484243892 /nfs/dbraw/zinc/24/38/92/484243892.db2.gz SEKXWDUEXMTTNT-ZWNOBZJWSA-N -1 1 303.355 1.619 20 0 DDADMM O=C1NCCc2cc([N-]S(=O)(=O)C[C@@H]3CCCCO3)ccc21 ZINC000656632621 484250425 /nfs/dbraw/zinc/25/04/25/484250425.db2.gz HHZDDFPQXGZTOV-ZDUSSCGKSA-N -1 1 324.402 1.283 20 0 DDADMM CN(C)c1noc(CN2CC[C@H](C(=O)[O-])[C@@H]3CCCC[C@H]32)n1 ZINC000656763291 484319572 /nfs/dbraw/zinc/31/95/72/484319572.db2.gz AVALYXILYFOCTD-SDDRHHMPSA-N -1 1 308.382 1.601 20 0 DDADMM COc1cccc([C@H](CNC(=O)C(C)(C)C(=O)[O-])N(C)C)c1 ZINC000317693562 484391595 /nfs/dbraw/zinc/39/15/95/484391595.db2.gz ITHYDNBMJJZAGG-ZDUSSCGKSA-N -1 1 308.378 1.525 20 0 DDADMM CN(C)[C@H](CNC(=O)C1(C(=O)[O-])CC=CC1)c1cccs1 ZINC000663096881 484661905 /nfs/dbraw/zinc/66/19/05/484661905.db2.gz FVMVWRTVBOUYKT-LLVKDONJSA-N -1 1 308.403 1.888 20 0 DDADMM CCCN1CCC[C@H]1C(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1 ZINC000663137800 484696265 /nfs/dbraw/zinc/69/62/65/484696265.db2.gz BQGIESAMCFZCIS-NSHDSACASA-N -1 1 308.382 1.516 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1n[nH]nc1-c1ccccc1)C1CCC1 ZINC000663154345 484707756 /nfs/dbraw/zinc/70/77/56/484707756.db2.gz UQLJEYCMVXYZIM-GFCCVEGCSA-N -1 1 314.345 1.702 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@H]1NCCc2ccccc21)C1CCC1 ZINC000663280984 484783211 /nfs/dbraw/zinc/78/32/11/484783211.db2.gz XFQTXOJJZJSLJZ-CABCVRRESA-N -1 1 302.374 1.491 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H](CO)C(C)C)c(F)c1 ZINC000672421340 485298390 /nfs/dbraw/zinc/29/83/90/485298390.db2.gz FIQUZGXVEBGAIQ-VIFPVBQESA-N -1 1 323.361 1.516 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ncnc2ccsc21 ZINC000668869466 485345841 /nfs/dbraw/zinc/34/58/41/485345841.db2.gz OCBGTGNNQYSMCN-UHFFFAOYSA-N -1 1 315.362 1.404 20 0 DDADMM CCn1cnc(S(=O)(=O)[N-]c2cnn(-c3ccncc3)c2)c1 ZINC000673898212 485462090 /nfs/dbraw/zinc/46/20/90/485462090.db2.gz YOIASOKEBNGMFJ-UHFFFAOYSA-N -1 1 318.362 1.285 20 0 DDADMM O=c1[n-]nc(C2CCN(c3ncnc4c3CCCC4)CC2)o1 ZINC000685919313 485488029 /nfs/dbraw/zinc/48/80/29/485488029.db2.gz MIBICEINOAPGEX-UHFFFAOYSA-N -1 1 301.350 1.828 20 0 DDADMM CC1N=NC(C(=O)N2CCN3CCOc4cccc2c43)=C1[O-] ZINC000678430731 485580014 /nfs/dbraw/zinc/58/00/14/485580014.db2.gz MNEGTXVKKVVJGS-UHFFFAOYSA-N -1 1 300.318 1.283 20 0 DDADMM CC1(C(=O)[O-])CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)CC1 ZINC000679100128 485775000 /nfs/dbraw/zinc/77/50/00/485775000.db2.gz GFWADRFOGBMNIK-UHFFFAOYSA-N -1 1 303.318 1.997 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC=CCC1 ZINC000679325421 485851809 /nfs/dbraw/zinc/85/18/09/485851809.db2.gz XBXNXKDGGZIFSC-UHFFFAOYSA-N -1 1 300.362 1.773 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC[C@@H]2CCOC2)c(F)c1 ZINC000680104154 486094309 /nfs/dbraw/zinc/09/43/09/486094309.db2.gz DXXTXXPVKXEZOB-SECBINFHSA-N -1 1 321.345 1.678 20 0 DDADMM CCc1c(C(=O)N(CCN(C)C)CC(=O)[O-])[nH]c(C)c1C(C)=O ZINC000676206028 486142505 /nfs/dbraw/zinc/14/25/05/486142505.db2.gz CAHSGZCEPVMPDZ-UHFFFAOYSA-N -1 1 323.393 1.177 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CC(C)(C)C2)o1 ZINC000684079765 486238961 /nfs/dbraw/zinc/23/89/61/486238961.db2.gz XWCCCNZSBZBYMA-UHFFFAOYSA-N -1 1 314.407 1.838 20 0 DDADMM Cc1ccc(NC(=O)c2ccc([O-])c(F)c2)cc1S(N)(=O)=O ZINC000681015944 486323534 /nfs/dbraw/zinc/32/35/34/486323534.db2.gz JXFBPSNRBUSHHC-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1ccc([O-])c(F)c1)N1CCOCC1 ZINC000681014291 486324101 /nfs/dbraw/zinc/32/41/01/486324101.db2.gz JMRPXNJPONUDEL-CQSZACIVSA-N -1 1 310.369 1.618 20 0 DDADMM NC(=O)[C@H]1Cc2ccccc2N(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000681039273 486328257 /nfs/dbraw/zinc/32/82/57/486328257.db2.gz IDHGTFLIUOPRSG-LBPRGKRZSA-N -1 1 314.316 1.836 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC(N2CCCC2=O)CC1 ZINC000681041540 486328997 /nfs/dbraw/zinc/32/89/97/486328997.db2.gz MCOXSSMVDDDYGL-UHFFFAOYSA-N -1 1 306.337 1.758 20 0 DDADMM C[C@H]([C@H](C)N(C)C(=O)c1ccc([O-])c(F)c1)S(C)(=O)=O ZINC000681046161 486329570 /nfs/dbraw/zinc/32/95/70/486329570.db2.gz FCELQVSMXNKZJV-DTWKUNHWSA-N -1 1 303.355 1.425 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2c(n1)CCCC2 ZINC000676817104 486331537 /nfs/dbraw/zinc/33/15/37/486331537.db2.gz XBOBFHCCRJFRJP-UHFFFAOYSA-N -1 1 312.377 1.673 20 0 DDADMM Cn1c(CNC(=O)c2ccc([O-])c(F)c2)nnc1C1CCC1 ZINC000681069213 486336826 /nfs/dbraw/zinc/33/68/26/486336826.db2.gz WFLLSXAMCKFMOY-UHFFFAOYSA-N -1 1 304.325 1.857 20 0 DDADMM CC[C@H](C)[C@H](OC)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000684566904 486384764 /nfs/dbraw/zinc/38/47/64/486384764.db2.gz JBPJXEJCODEMIF-DRZSPHRISA-N -1 1 303.366 1.466 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CCN(C)c2ccccc21)c1nn[n-]n1 ZINC000681353886 486411112 /nfs/dbraw/zinc/41/11/12/486411112.db2.gz XSGQHTHMFFPHSA-AAEUAGOBSA-N -1 1 314.393 1.385 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccncc1 ZINC000677927955 486580937 /nfs/dbraw/zinc/58/09/37/486580937.db2.gz SMUSKCNIGANRIL-WCQYABFASA-N -1 1 300.366 1.495 20 0 DDADMM COC(=O)c1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000491928575 533960604 /nfs/dbraw/zinc/96/06/04/533960604.db2.gz IEZQODZLYVFWDX-TWGQIWQCSA-N -1 1 315.333 1.051 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000297328677 534084148 /nfs/dbraw/zinc/08/41/48/534084148.db2.gz ADPPQXJCHLNGAO-UWVGGRQHSA-N -1 1 306.391 1.563 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)[C@H](OC)C2CC2)o1 ZINC000451995383 534240894 /nfs/dbraw/zinc/24/08/94/534240894.db2.gz QZPDNEMUPSNDSX-UFBFGSQYSA-N -1 1 317.363 1.158 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC(O)(C(F)F)C1 ZINC000425023736 534691827 /nfs/dbraw/zinc/69/18/27/534691827.db2.gz OTZNNPNYFALGGU-UHFFFAOYSA-N -1 1 322.105 1.607 20 0 DDADMM CC(=O)Nc1ncccc1[N-]S(=O)(=O)CCOCC1CC1 ZINC000424208781 527107270 /nfs/dbraw/zinc/10/72/70/527107270.db2.gz KRKYRJKXCUXQHB-UHFFFAOYSA-N -1 1 313.379 1.208 20 0 DDADMM CCC[C@H](OC)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000294928493 528351328 /nfs/dbraw/zinc/35/13/28/528351328.db2.gz JXWAQOGNPMAMQU-LBPRGKRZSA-N -1 1 318.370 1.951 20 0 DDADMM CC(C)N1CCO[C@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)C1 ZINC000431729651 529054116 /nfs/dbraw/zinc/05/41/16/529054116.db2.gz RRMYHKAFKSOLEK-LBPRGKRZSA-N -1 1 320.418 1.608 20 0 DDADMM CC[C@H]1CCCC[C@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332481955 529208151 /nfs/dbraw/zinc/20/81/51/529208151.db2.gz WWYZKXWNMABJBY-NWDGAFQWSA-N -1 1 323.466 1.816 20 0 DDADMM CC[C@@H](O)CS(=O)(=O)c1nnc(Cc2cccs2)[n-]1 ZINC000295888281 529233082 /nfs/dbraw/zinc/23/30/82/529233082.db2.gz KTPQATZOSBAAIV-MRVPVSSYSA-N -1 1 301.393 1.002 20 0 DDADMM CC[C@@H](O)CS(=O)(=O)c1nc(Cc2cccs2)n[n-]1 ZINC000295888281 529233085 /nfs/dbraw/zinc/23/30/85/529233085.db2.gz KTPQATZOSBAAIV-MRVPVSSYSA-N -1 1 301.393 1.002 20 0 DDADMM CC[C@@H](O)CS(=O)(=O)c1n[n-]c(Cc2cccs2)n1 ZINC000295888281 529233087 /nfs/dbraw/zinc/23/30/87/529233087.db2.gz KTPQATZOSBAAIV-MRVPVSSYSA-N -1 1 301.393 1.002 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)NC[C@@H](CC(C)C)C(=O)[O-] ZINC000424429815 529288340 /nfs/dbraw/zinc/28/83/40/529288340.db2.gz KWYGFNBNTUDSTH-KGLIPLIRSA-N -1 1 313.442 1.859 20 0 DDADMM CC[C@@H]1CCC[C@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331982104 529316881 /nfs/dbraw/zinc/31/68/81/529316881.db2.gz WOFNNUPNJDTCIQ-GHMZBOCLSA-N -1 1 309.439 1.426 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000330890324 529371825 /nfs/dbraw/zinc/37/18/25/529371825.db2.gz WBSPSPVZIFOMQI-NXEZZACHSA-N -1 1 309.391 1.217 20 0 DDADMM CCn1cc(CN(C)c2ccc(Cl)c(-c3nnn[n-]3)n2)cn1 ZINC000736721411 598871932 /nfs/dbraw/zinc/87/19/32/598871932.db2.gz GOXXJXRDTNHODX-UHFFFAOYSA-N -1 1 318.772 1.768 20 0 DDADMM CCn1cc(CN(C)c2ccc(Cl)c(-c3nn[n-]n3)n2)cn1 ZINC000736721411 598871934 /nfs/dbraw/zinc/87/19/34/598871934.db2.gz GOXXJXRDTNHODX-UHFFFAOYSA-N -1 1 318.772 1.768 20 0 DDADMM Cn1cc(CCNc2c3ccccc3nnc2-c2nnn[n-]2)cn1 ZINC000737601269 598959937 /nfs/dbraw/zinc/95/99/37/598959937.db2.gz GLIKCYNYHHDCKB-UHFFFAOYSA-N -1 1 321.348 1.198 20 0 DDADMM Cn1cc(CCNc2c3ccccc3nnc2-c2nn[n-]n2)cn1 ZINC000737601269 598959938 /nfs/dbraw/zinc/95/99/38/598959938.db2.gz GLIKCYNYHHDCKB-UHFFFAOYSA-N -1 1 321.348 1.198 20 0 DDADMM CC1CCC(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736236747 598997297 /nfs/dbraw/zinc/99/72/97/598997297.db2.gz MOCZAIUTYZKOCI-UHFFFAOYSA-N -1 1 300.366 1.818 20 0 DDADMM CC1CCC(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736236747 598997298 /nfs/dbraw/zinc/99/72/98/598997298.db2.gz MOCZAIUTYZKOCI-UHFFFAOYSA-N -1 1 300.366 1.818 20 0 DDADMM c1cc2c(s1)CCO[C@@H]2CNc1nccnc1-c1nnn[n-]1 ZINC000823808947 599076661 /nfs/dbraw/zinc/07/66/61/599076661.db2.gz GEJWNVPLMQDDDJ-SECBINFHSA-N -1 1 315.362 1.444 20 0 DDADMM c1cc2c(s1)CCO[C@@H]2CNc1nccnc1-c1nn[n-]n1 ZINC000823808947 599076663 /nfs/dbraw/zinc/07/66/63/599076663.db2.gz GEJWNVPLMQDDDJ-SECBINFHSA-N -1 1 315.362 1.444 20 0 DDADMM CCCCOCCOCCNc1cccc(-c2nnn[n-]2)n1 ZINC000821077487 599099648 /nfs/dbraw/zinc/09/96/48/599099648.db2.gz HVVUGDYPQQGNRW-UHFFFAOYSA-N -1 1 306.370 1.507 20 0 DDADMM CCCCOCCOCCNc1cccc(-c2nn[n-]n2)n1 ZINC000821077487 599099651 /nfs/dbraw/zinc/09/96/51/599099651.db2.gz HVVUGDYPQQGNRW-UHFFFAOYSA-N -1 1 306.370 1.507 20 0 DDADMM CCN(C)S(=O)(=O)c1ccc(Cl)cc1-c1nn[n-]n1 ZINC000821165473 599342529 /nfs/dbraw/zinc/34/25/29/599342529.db2.gz SSTGSPADGIAJAW-UHFFFAOYSA-N -1 1 301.759 1.161 20 0 DDADMM COc1ccnc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737156504 599143107 /nfs/dbraw/zinc/14/31/07/599143107.db2.gz XRIPEGSMKJJRQE-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM COc1ccnc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737156504 599143108 /nfs/dbraw/zinc/14/31/08/599143108.db2.gz XRIPEGSMKJJRQE-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)N(C)CC1CCN(C(=O)[O-])CC1 ZINC000739518306 602840830 /nfs/dbraw/zinc/84/08/30/602840830.db2.gz YLBIFABWTFRGOA-LLVKDONJSA-N -1 1 306.428 1.131 20 0 DDADMM CCCC[C@H]1NC(=O)N(CN2CCC[C@H](CC(=O)[O-])C2)C1=O ZINC000818162083 597043767 /nfs/dbraw/zinc/04/37/67/597043767.db2.gz QENBTZSESZJHIN-VXGBXAGGSA-N -1 1 311.382 1.241 20 0 DDADMM CC(C)[C@H](NCC(=O)N1CCC(c2ccccc2)=N1)C(=O)[O-] ZINC000817977557 597107277 /nfs/dbraw/zinc/10/72/77/597107277.db2.gz MDYJOKCWKJWIAM-HNNXBMFYSA-N -1 1 303.362 1.322 20 0 DDADMM CCc1nc(C)c(C(=O)N=c2cc[nH]cc2-c2nn[n-]n2)s1 ZINC000736698028 599251869 /nfs/dbraw/zinc/25/18/69/599251869.db2.gz GMFFKXIYRHZKEI-UHFFFAOYSA-N -1 1 315.362 1.263 20 0 DDADMM C[C@H](NCC(=O)NCC(=O)[O-])c1ccc(OC(F)F)cc1 ZINC000820142177 597807360 /nfs/dbraw/zinc/80/73/60/597807360.db2.gz OOPFFLKHZJOPHL-QMMMGPOBSA-N -1 1 302.277 1.139 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N1CC(=O)Nc2ccccc21)C(=O)[O-] ZINC000820459809 598187341 /nfs/dbraw/zinc/18/73/41/598187341.db2.gz XKGGYSFUKZOLPJ-BONVTDFDSA-N -1 1 319.361 1.061 20 0 DDADMM Cc1ccccc1OS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737432293 598259227 /nfs/dbraw/zinc/25/92/27/598259227.db2.gz UHYIYNDHAXPKCR-UHFFFAOYSA-N -1 1 317.330 1.338 20 0 DDADMM Cc1ccccc1OS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737432293 598259228 /nfs/dbraw/zinc/25/92/28/598259228.db2.gz UHYIYNDHAXPKCR-UHFFFAOYSA-N -1 1 317.330 1.338 20 0 DDADMM CN(Cc1cccc(Cl)c1)c1nccnc1-c1nnn[n-]1 ZINC000736827393 598338214 /nfs/dbraw/zinc/33/82/14/598338214.db2.gz CIUVVGHBERFLAY-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM CN(Cc1cccc(Cl)c1)c1nccnc1-c1nn[n-]n1 ZINC000736827393 598338216 /nfs/dbraw/zinc/33/82/16/598338216.db2.gz CIUVVGHBERFLAY-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM Cc1ccc(C)n1C1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000737331847 598350340 /nfs/dbraw/zinc/35/03/40/598350340.db2.gz SALLOADDDHVMIU-UHFFFAOYSA-N -1 1 324.392 1.917 20 0 DDADMM Cc1ccc(C)n1C1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000737331847 598350343 /nfs/dbraw/zinc/35/03/43/598350343.db2.gz SALLOADDDHVMIU-UHFFFAOYSA-N -1 1 324.392 1.917 20 0 DDADMM CCN(CCCNc1cnc(-c2nnn[n-]2)cn1)c1ccccc1 ZINC000736536775 598385156 /nfs/dbraw/zinc/38/51/56/598385156.db2.gz GRWJKDLWEOAKDQ-UHFFFAOYSA-N -1 1 324.392 1.985 20 0 DDADMM CCN(CCCNc1cnc(-c2nn[n-]n2)cn1)c1ccccc1 ZINC000736536775 598385158 /nfs/dbraw/zinc/38/51/58/598385158.db2.gz GRWJKDLWEOAKDQ-UHFFFAOYSA-N -1 1 324.392 1.985 20 0 DDADMM O=C(COC(=O)c1sccc1-c1nn[n-]n1)NCC1CCC1 ZINC000737915642 598542644 /nfs/dbraw/zinc/54/26/44/598542644.db2.gz LDWVNXIKFPWGOV-UHFFFAOYSA-N -1 1 321.362 1.001 20 0 DDADMM CC(C)C(=O)NCCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000735685598 598570876 /nfs/dbraw/zinc/57/08/76/598570876.db2.gz YBXPHKQJDANVTJ-UHFFFAOYSA-N -1 1 309.761 1.099 20 0 DDADMM CC(C)C(=O)NCCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000735685598 598570877 /nfs/dbraw/zinc/57/08/77/598570877.db2.gz YBXPHKQJDANVTJ-UHFFFAOYSA-N -1 1 309.761 1.099 20 0 DDADMM CCCNC(=O)C1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000736481962 599401382 /nfs/dbraw/zinc/40/13/82/599401382.db2.gz TVCQEJDMLHLAPY-UHFFFAOYSA-N -1 1 315.381 1.004 20 0 DDADMM CCCNC(=O)C1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000736481962 599401384 /nfs/dbraw/zinc/40/13/84/599401384.db2.gz TVCQEJDMLHLAPY-UHFFFAOYSA-N -1 1 315.381 1.004 20 0 DDADMM CCc1nc(CN(C)c2cccc(-c3nnn[n-]3)n2)cs1 ZINC000736704176 599418378 /nfs/dbraw/zinc/41/83/78/599418378.db2.gz JQGUMQILLPDXMS-UHFFFAOYSA-N -1 1 301.379 1.917 20 0 DDADMM CCc1nc(CN(C)c2cccc(-c3nn[n-]n3)n2)cs1 ZINC000736704176 599418380 /nfs/dbraw/zinc/41/83/80/599418380.db2.gz JQGUMQILLPDXMS-UHFFFAOYSA-N -1 1 301.379 1.917 20 0 DDADMM CCCNC(=O)[C@H]1CCCN(c2cccc(-c3nnn[n-]3)n2)C1 ZINC000736480301 599421993 /nfs/dbraw/zinc/42/19/93/599421993.db2.gz KATFSYJXBHQXIU-NSHDSACASA-N -1 1 315.381 1.004 20 0 DDADMM CCCNC(=O)[C@H]1CCCN(c2cccc(-c3nn[n-]n3)n2)C1 ZINC000736480301 599421995 /nfs/dbraw/zinc/42/19/95/599421995.db2.gz KATFSYJXBHQXIU-NSHDSACASA-N -1 1 315.381 1.004 20 0 DDADMM CC(C)CC[C@@](C)(O)CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820073055 599487934 /nfs/dbraw/zinc/48/79/34/599487934.db2.gz PKIYHKONMDAYTE-OAHLLOKOSA-N -1 1 318.381 1.179 20 0 DDADMM CC(C)CC[C@@](C)(O)CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820073055 599487937 /nfs/dbraw/zinc/48/79/37/599487937.db2.gz PKIYHKONMDAYTE-OAHLLOKOSA-N -1 1 318.381 1.179 20 0 DDADMM CN1C[C@H](Nc2cc(-c3nnn[n-]3)nc3ccccc32)CCC1=O ZINC000821621688 599565616 /nfs/dbraw/zinc/56/56/16/599565616.db2.gz BTMWRMDBRUXNKW-SNVBAGLBSA-N -1 1 323.360 1.448 20 0 DDADMM CN1C[C@H](Nc2cc(-c3nn[n-]n3)nc3ccccc32)CCC1=O ZINC000821621688 599565618 /nfs/dbraw/zinc/56/56/18/599565618.db2.gz BTMWRMDBRUXNKW-SNVBAGLBSA-N -1 1 323.360 1.448 20 0 DDADMM C[C@H]1COc2ccccc2N1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820814461 599634684 /nfs/dbraw/zinc/63/46/84/599634684.db2.gz WCPHQAQVJGTTCZ-JTQLQIEISA-N -1 1 322.328 1.689 20 0 DDADMM C[C@H]1COc2ccccc2N1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820814461 599634685 /nfs/dbraw/zinc/63/46/85/599634685.db2.gz WCPHQAQVJGTTCZ-JTQLQIEISA-N -1 1 322.328 1.689 20 0 DDADMM O=C(COC(=O)c1sccc1-c1nn[n-]n1)C1CCCC1 ZINC000823397360 599659758 /nfs/dbraw/zinc/65/97/58/599659758.db2.gz VZUSCGPJRJALRB-UHFFFAOYSA-N -1 1 306.347 1.844 20 0 DDADMM COc1ccc([C@H](NCc2cnc3ccnn3c2)C(=O)[O-])cc1 ZINC000737967804 599861360 /nfs/dbraw/zinc/86/13/60/599861360.db2.gz DNPATVJZIXRICP-HNNXBMFYSA-N -1 1 312.329 1.653 20 0 DDADMM CCOc1cc(C(=O)Nc2nnc(C)[nH]2)ccc1OCC(=O)[O-] ZINC000737186741 600025371 /nfs/dbraw/zinc/02/53/71/600025371.db2.gz WDCMHCNOTZLYDY-UHFFFAOYSA-N -1 1 320.305 1.228 20 0 DDADMM O=C([O-])[C@H]1C[C@@H]1C(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000739555365 600078981 /nfs/dbraw/zinc/07/89/81/600078981.db2.gz YRPFCPZEAQHHDU-KBPBESRZSA-N -1 1 320.414 1.895 20 0 DDADMM C[C@H](O)[C@@H]1CCCCN1CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000736678852 600090483 /nfs/dbraw/zinc/09/04/83/600090483.db2.gz XZAKZSBGOZXXRI-STQMWFEESA-N -1 1 312.410 1.125 20 0 DDADMM CCC[C@@](C)(NCC(=O)NC(=O)NC1CCCCC1)C(=O)[O-] ZINC000314257090 600154905 /nfs/dbraw/zinc/15/49/05/600154905.db2.gz GZINSMLYYLOKIM-OAHLLOKOSA-N -1 1 313.398 1.378 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H](O)CN1CCOc1cccc2ccccc21 ZINC000740056461 600314137 /nfs/dbraw/zinc/31/41/37/600314137.db2.gz FDHZQDVXYPUVSF-HIFRSBDPSA-N -1 1 301.342 1.738 20 0 DDADMM O=C([O-])c1ccc(CN2CCCC[C@@H]2CN2CCCC2=O)o1 ZINC000740123254 600333968 /nfs/dbraw/zinc/33/39/68/600333968.db2.gz DJOVPSDDAAGAGD-GFCCVEGCSA-N -1 1 306.362 1.955 20 0 DDADMM C[C@@H](CN(C)CC(=O)Nc1ccnn1C1CCCC1)C(=O)[O-] ZINC000736570114 600362868 /nfs/dbraw/zinc/36/28/68/600362868.db2.gz BEPALGATVNKROW-NSHDSACASA-N -1 1 308.382 1.589 20 0 DDADMM Cc1cccc(C[C@H](CNC(=O)[C@H]2CCCCN2C)C(=O)[O-])c1 ZINC000738702558 600363532 /nfs/dbraw/zinc/36/35/32/600363532.db2.gz JWCANSRNRWBKTI-HZPDHXFCSA-N -1 1 318.417 1.839 20 0 DDADMM CC(C)[C@@]1(C)NC(=O)N(CN2CCCC[C@H]2CC(=O)[O-])C1=O ZINC000736392638 600417571 /nfs/dbraw/zinc/41/75/71/600417571.db2.gz VFRFFXWIELVMLG-XHDPSFHLSA-N -1 1 311.382 1.240 20 0 DDADMM CC(C)C[C@@H](NC(=O)CN[C@@](C)(CO)c1ccccc1)C(=O)[O-] ZINC000736416811 600459354 /nfs/dbraw/zinc/45/93/54/600459354.db2.gz SWJKDAWTEDMXJA-PBHICJAKSA-N -1 1 322.405 1.099 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N[C@@H]1CCCC[C@H]1C ZINC000737794075 600641140 /nfs/dbraw/zinc/64/11/40/600641140.db2.gz IUZOGKMWVYTXAM-CHWSQXEVSA-N -1 1 300.399 1.104 20 0 DDADMM CC(C)OCCCN(C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000736485177 600714736 /nfs/dbraw/zinc/71/47/36/600714736.db2.gz RWTJVYNAEIAXSP-UHFFFAOYSA-N -1 1 314.426 1.637 20 0 DDADMM Cn1nc2c(cc1=O)CN([C@H](C(=O)[O-])c1ccccc1F)CC2 ZINC000833060701 600827304 /nfs/dbraw/zinc/82/73/04/600827304.db2.gz VNOWEBRJKDVXNS-HNNXBMFYSA-N -1 1 317.320 1.103 20 0 DDADMM O=C([O-])CN(Cc1ccc(F)c(F)c1)C(=O)Cc1ccn[nH]1 ZINC000833249554 600941532 /nfs/dbraw/zinc/94/15/32/600941532.db2.gz YAASCYZGWUPJIX-UHFFFAOYSA-N -1 1 309.272 1.344 20 0 DDADMM Cc1[nH]c(C(=O)[C@@H](C)N2CC[C@H](CCO)C2)c(C)c1C(=O)[O-] ZINC000738237817 600970593 /nfs/dbraw/zinc/97/05/93/600970593.db2.gz XHBYNXWUPAEGPU-VXGBXAGGSA-N -1 1 308.378 1.605 20 0 DDADMM Cc1ccccc1C[C@H](C)NC(=O)CN[C@](C)(C(=O)[O-])C1CC1 ZINC000832980583 601036259 /nfs/dbraw/zinc/03/62/59/601036259.db2.gz HJEFTTHMMSIIAX-UGSOOPFHSA-N -1 1 318.417 1.885 20 0 DDADMM Cc1cnc(CN(C)[C@H]2CCN(Cc3ccc(C(=O)[O-])o3)C2)o1 ZINC000738803488 601104549 /nfs/dbraw/zinc/10/45/49/601104549.db2.gz UKCQVRSWVJIOIW-LBPRGKRZSA-N -1 1 319.361 1.981 20 0 DDADMM C/C(=C\CN1CCN(Cc2cn3ccccc3n2)CC1)C(=O)[O-] ZINC000825746436 601155217 /nfs/dbraw/zinc/15/52/17/601155217.db2.gz ILWBWCIVNQZFIK-LHHJGKSTSA-N -1 1 314.389 1.483 20 0 DDADMM C/C(=C/CN1CCN(C[C@@H](O)c2ccccc2)CC1)C(=O)[O-] ZINC000825741248 601164231 /nfs/dbraw/zinc/16/42/31/601164231.db2.gz KVXXEYFBADJBLS-ACQAZONXSA-N -1 1 304.390 1.369 20 0 DDADMM COCCN(C/C=C(/C)C(=O)[O-])Cc1ccc2c(c1)OCO2 ZINC000831724958 601167957 /nfs/dbraw/zinc/16/79/57/601167957.db2.gz IFIWGKSJLOBQLV-XGICHPGQSA-N -1 1 307.346 1.895 20 0 DDADMM C[C@@](NC(=O)CSc1n[nH]c(=S)s1)(C(=O)[O-])C1CC1 ZINC000827635996 601288139 /nfs/dbraw/zinc/28/81/39/601288139.db2.gz JJHJCOCSLQTZDW-JTQLQIEISA-N -1 1 319.433 1.288 20 0 DDADMM O=C([O-])CCCN1CCN(CC(=O)Nc2ccccc2F)CC1 ZINC000821524143 601426165 /nfs/dbraw/zinc/42/61/65/601426165.db2.gz DVEBEKPCRIIMRV-UHFFFAOYSA-N -1 1 323.368 1.247 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCc1ccn(-c2ccccc2)n1 ZINC000831586449 601491478 /nfs/dbraw/zinc/49/14/78/601491478.db2.gz XCRXYGPBAAJHIZ-INIZCTEOSA-N -1 1 303.362 1.842 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN2C[C@H](C(=O)[O-])[C@H](C)C2)cc1 ZINC000825903157 601499871 /nfs/dbraw/zinc/49/98/71/601499871.db2.gz WXTGXDTYLYLAAO-YGRLFVJLSA-N -1 1 319.361 1.236 20 0 DDADMM COc1ccccc1NC(=O)[C@H](C)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000832622684 601533396 /nfs/dbraw/zinc/53/33/96/601533396.db2.gz FLAXFGUIOLGGJA-WOPDTQHZSA-N -1 1 306.362 1.675 20 0 DDADMM Cc1sc(C(=O)[O-])cc1CN1CCC[C@@H]1C(=O)NC1CC1 ZINC000833032492 601618378 /nfs/dbraw/zinc/61/83/78/601618378.db2.gz HTEOKGVFGFBKKD-GFCCVEGCSA-N -1 1 308.403 1.998 20 0 DDADMM CC(C)[C@H](NCC(=O)NCCc1ccc(Cl)cc1)C(=O)[O-] ZINC000827091790 601824840 /nfs/dbraw/zinc/82/48/40/601824840.db2.gz DQFYEQSPHWYVPN-AWEZNQCLSA-N -1 1 312.797 1.698 20 0 DDADMM COc1ccccc1CCNC(=O)CN[C@H](C(=O)[O-])C(C)C ZINC000832612844 601825207 /nfs/dbraw/zinc/82/52/07/601825207.db2.gz GBIXPHCMFZRBLQ-HNNXBMFYSA-N -1 1 308.378 1.053 20 0 DDADMM O=C([O-])NC[C@H]1CCN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000740641341 601879002 /nfs/dbraw/zinc/87/90/02/601879002.db2.gz AURBQLFNKBCRQO-SNVBAGLBSA-N -1 1 315.329 1.258 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)CCNC(=O)[O-])N2CCCC2)o1 ZINC000738261486 601887502 /nfs/dbraw/zinc/88/75/02/601887502.db2.gz LKXBCGYSQXJIRU-GFCCVEGCSA-N -1 1 309.366 1.499 20 0 DDADMM CC(C)[C@@H](Sc1c[nH]nn1)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000826723393 601896506 /nfs/dbraw/zinc/89/65/06/601896506.db2.gz SWAWFEJYOAJEQX-LLVKDONJSA-N -1 1 312.395 1.245 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(C[C@@H](O)c2cc(F)ccc2F)C1 ZINC000740643784 601940541 /nfs/dbraw/zinc/94/05/41/601940541.db2.gz QTPZVWBAJPGHPS-TVQRCGJNSA-N -1 1 300.305 1.588 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@@H](C)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000826021016 601942040 /nfs/dbraw/zinc/94/20/40/601942040.db2.gz KMNMLCUHEQPXRC-IAQYHMDHSA-N -1 1 308.382 1.588 20 0 DDADMM COc1ccc(OC)c(NC(=O)CN2CC[C@@](C)(C(=O)[O-])C2)c1 ZINC000832435941 601945363 /nfs/dbraw/zinc/94/53/63/601945363.db2.gz LYUCORBZBDJLNR-MRXNPFEDSA-N -1 1 322.361 1.439 20 0 DDADMM O=C([O-])NC[C@H]1CCN(CC(=O)N2CCc3sccc3C2)C1 ZINC000740642581 601946033 /nfs/dbraw/zinc/94/60/33/601946033.db2.gz NVGVPWWMVFNQFH-LLVKDONJSA-N -1 1 323.418 1.222 20 0 DDADMM C[C@@]1(C(=O)[O-])CC[N@H+](Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000387853686 601949610 /nfs/dbraw/zinc/94/96/10/601949610.db2.gz JRQYLFAEDLZRTC-MRXNPFEDSA-N -1 1 303.314 1.795 20 0 DDADMM C[C@@]1(C(=O)[O-])CC[N@@H+](Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000387853686 601949611 /nfs/dbraw/zinc/94/96/11/601949611.db2.gz JRQYLFAEDLZRTC-MRXNPFEDSA-N -1 1 303.314 1.795 20 0 DDADMM Clc1ccc(N2CC[C@@H]([C@H]3CCOC3)C2)nc1-c1nnn[n-]1 ZINC000826352279 607543954 /nfs/dbraw/zinc/54/39/54/607543954.db2.gz VPXSRGPMYBDWGM-ZJUUUORDSA-N -1 1 320.784 1.778 20 0 DDADMM Clc1ccc(N2CC[C@@H]([C@H]3CCOC3)C2)nc1-c1nn[n-]n1 ZINC000826352279 607543955 /nfs/dbraw/zinc/54/39/55/607543955.db2.gz VPXSRGPMYBDWGM-ZJUUUORDSA-N -1 1 320.784 1.778 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000827295833 602150625 /nfs/dbraw/zinc/15/06/25/602150625.db2.gz OPQLGSYARLZFLR-QMMMGPOBSA-N -1 1 306.297 1.338 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000827295833 602150629 /nfs/dbraw/zinc/15/06/29/602150629.db2.gz OPQLGSYARLZFLR-QMMMGPOBSA-N -1 1 306.297 1.338 20 0 DDADMM CCN(C)[C@@H](C(=O)NC1CCN(C(=O)[O-])CC1)c1ccccc1 ZINC000737068154 602166368 /nfs/dbraw/zinc/16/63/68/602166368.db2.gz FSUVZWMUEORMIF-OAHLLOKOSA-N -1 1 319.405 1.938 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C[C@@H](O)COc2cccc3[nH]ccc32)C1 ZINC000833176019 602206439 /nfs/dbraw/zinc/20/64/39/602206439.db2.gz VVMTZRPPKJCQJE-BDJLRTHQSA-N -1 1 322.336 1.406 20 0 DDADMM O=C([O-])CC1(NCc2nnc(-c3ccco3)o2)CCOCC1 ZINC000833206096 602256805 /nfs/dbraw/zinc/25/68/05/602256805.db2.gz YHKUECUMYAILHV-UHFFFAOYSA-N -1 1 307.306 1.443 20 0 DDADMM CN(C(=O)[O-])C1CCN(C(=O)c2cccc3c[nH]nc32)CC1 ZINC000737934592 602527309 /nfs/dbraw/zinc/52/73/09/602527309.db2.gz WKUFKBQLTYRVFB-UHFFFAOYSA-N -1 1 302.334 1.777 20 0 DDADMM CN(C[C@@H]1CCN(C[C@@H](O)c2c(F)cccc2F)C1)C(=O)[O-] ZINC000739661643 602529359 /nfs/dbraw/zinc/52/93/59/602529359.db2.gz RYCKEULIPPYHKO-GXFFZTMASA-N -1 1 314.332 1.930 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(C(=O)N[C@@H]2CCCN(C)C2)CC1 ZINC000739009517 602704818 /nfs/dbraw/zinc/70/48/18/602704818.db2.gz UPVGVKNPMDFUNN-WCQYABFASA-N -1 1 312.414 1.158 20 0 DDADMM CCN(C1CC1)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825394363 607583520 /nfs/dbraw/zinc/58/35/20/607583520.db2.gz AGNQMDLJSSVQLA-UHFFFAOYSA-N -1 1 311.342 1.179 20 0 DDADMM CCN(C1CC1)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825394363 607583521 /nfs/dbraw/zinc/58/35/21/607583521.db2.gz AGNQMDLJSSVQLA-UHFFFAOYSA-N -1 1 311.342 1.179 20 0 DDADMM C[C@@H]1C[C@H](C)CN(C(=O)CN2CC[C@@H](NC(=O)[O-])[C@H](C)C2)C1 ZINC000739073189 602770475 /nfs/dbraw/zinc/77/04/75/602770475.db2.gz FOURTOPQANWTDY-XJFOESAGSA-N -1 1 311.426 1.469 20 0 DDADMM O=C(CN(CC1CC1)C(=O)[O-])Nc1nc(-c2cccs2)n[nH]1 ZINC000831468322 602837937 /nfs/dbraw/zinc/83/79/37/602837937.db2.gz GEDQFEWHVALLCN-UHFFFAOYSA-N -1 1 321.362 1.862 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)C1CCC(NC(=O)[O-])CC1 ZINC000738800971 602856627 /nfs/dbraw/zinc/85/66/27/602856627.db2.gz MYRISBLZVCMIHE-RUXDESIVSA-N -1 1 311.426 1.611 20 0 DDADMM CN(CCN1CCN(C(=O)[O-])CC1)Cc1ccc(F)c(F)c1 ZINC000739684805 602873616 /nfs/dbraw/zinc/87/36/16/602873616.db2.gz PTCKSSKDSSUEDA-UHFFFAOYSA-N -1 1 313.348 1.692 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)NCCCN(C)C(=O)[O-] ZINC000739199047 602995689 /nfs/dbraw/zinc/99/56/89/602995689.db2.gz NXWDMLOZSJQAOJ-OLZOCXBDSA-N -1 1 312.414 1.255 20 0 DDADMM O=C([O-])N1[C@@H]2CC[C@H]1CC(NC(=O)c1[nH]nc3c1CCC3)C2 ZINC000740394790 603008214 /nfs/dbraw/zinc/00/82/14/603008214.db2.gz WIJFMYDSDBHJES-PBINXNQUSA-N -1 1 304.350 1.302 20 0 DDADMM CN1CCCC[C@H]1C(=O)NC[C@@H](NC(=O)[O-])c1ccccc1 ZINC000739726843 603037529 /nfs/dbraw/zinc/03/75/29/603037529.db2.gz LSXOEWBLRGYJFL-KGLIPLIRSA-N -1 1 305.378 1.596 20 0 DDADMM O=C([O-])NC[C@H](NC(=O)Cc1[nH]nc2ccccc21)C1CC1 ZINC000740603637 603060720 /nfs/dbraw/zinc/06/07/20/603060720.db2.gz KEMGSBCXUMNNOJ-ZDUSSCGKSA-N -1 1 302.334 1.268 20 0 DDADMM O=C([O-])NC1CCC(NCc2cn(-c3ccccc3)nn2)CC1 ZINC000740559865 603090046 /nfs/dbraw/zinc/09/00/46/603090046.db2.gz OUCIGOLTYLABJV-UHFFFAOYSA-N -1 1 315.377 1.936 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N[C@H]1CCCN(C(=O)[O-])C1 ZINC000737359191 603210028 /nfs/dbraw/zinc/21/00/28/603210028.db2.gz UDSUCCZPCSWQNL-GJZGRUSLSA-N -1 1 319.405 1.418 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H](C(=O)N2CCN(CC3CC3)CC2)C1 ZINC000740567517 603244613 /nfs/dbraw/zinc/24/46/13/603244613.db2.gz XMTAOHGBWAXMRY-ZIAGYGMSSA-N -1 1 309.410 1.367 20 0 DDADMM C[C@@H](C(=O)N1CCCCCC1)N1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000739177283 603414092 /nfs/dbraw/zinc/41/40/92/603414092.db2.gz OODDUGAFSGOJPW-RDBSUJKOSA-N -1 1 311.426 1.756 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3CCN(C(=O)[O-])CC3)[nH]c2c1 ZINC000830432556 603467246 /nfs/dbraw/zinc/46/72/46/603467246.db2.gz HFPGGHBRSXANQZ-UHFFFAOYSA-N -1 1 317.349 1.377 20 0 DDADMM CN(C)C(=O)c1cccc(CN(C)[C@H]2CCN(C(=O)[O-])C2)c1 ZINC000827989551 603485230 /nfs/dbraw/zinc/48/52/30/603485230.db2.gz YFSBFZPHHJJKPP-AWEZNQCLSA-N -1 1 305.378 1.573 20 0 DDADMM CN(C[C@H](O)c1c(F)cccc1F)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828170932 603495690 /nfs/dbraw/zinc/49/56/90/603495690.db2.gz VNONLSHLLUPRFY-SKDRFNHKSA-N -1 1 300.305 1.682 20 0 DDADMM C[C@H](CNC(=O)[O-])N(C)C(=O)c1c[nH]c2ccccc2c1=O ZINC000824966750 603548781 /nfs/dbraw/zinc/54/87/81/603548781.db2.gz JYMPLGQCKXMCQU-SECBINFHSA-N -1 1 303.318 1.256 20 0 DDADMM C[N@@H+](Cc1c([O-])nnn1-c1ccccc1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828346160 603595187 /nfs/dbraw/zinc/59/51/87/603595187.db2.gz SGYDVRVSVQCGPA-GFCCVEGCSA-N -1 1 317.349 1.157 20 0 DDADMM COc1ccccc1N1CC[C@@H](N[C@@H]2CCN(C(=O)[O-])C2)C1=O ZINC000829639030 603607281 /nfs/dbraw/zinc/60/72/81/603607281.db2.gz XIWRBOPNVQERMX-VXGBXAGGSA-N -1 1 319.361 1.142 20 0 DDADMM CCN(CC1CCN(C(=O)[C@@H]2CCCCN2C)CC1)C(=O)[O-] ZINC000827054559 603740755 /nfs/dbraw/zinc/74/07/55/603740755.db2.gz CEVBFTRSGGKEON-AWEZNQCLSA-N -1 1 311.426 1.709 20 0 DDADMM C[C@H](C(=O)NCc1cnc[nH]1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823701818 603904045 /nfs/dbraw/zinc/90/40/45/603904045.db2.gz HRHIZAFWCCUALF-GWCFXTLKSA-N -1 1 302.334 1.671 20 0 DDADMM O=C([O-])N[C@@H](CCC(=O)NCc1cnc[nH]1)c1ccccc1 ZINC000832119209 603905912 /nfs/dbraw/zinc/90/59/12/603905912.db2.gz HXTNBTKXAAJPNR-ZDUSSCGKSA-N -1 1 302.334 1.815 20 0 DDADMM CN(C[C@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1)C(=O)[O-] ZINC000828176123 603943762 /nfs/dbraw/zinc/94/37/62/603943762.db2.gz OOOOOIQJZUMTQU-VXGBXAGGSA-N -1 1 320.393 1.678 20 0 DDADMM CCN(CCNC(=O)NCc1nc2ccc(C)cc2[nH]1)C(=O)[O-] ZINC000827146778 604113275 /nfs/dbraw/zinc/11/32/75/604113275.db2.gz STACOIZJDRLMQH-UHFFFAOYSA-N -1 1 319.365 1.670 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)NCc2ccccc2)C1 ZINC000825263151 604179340 /nfs/dbraw/zinc/17/93/40/604179340.db2.gz FGVKJZOQYVPVKR-DZGCQCFKSA-N -1 1 319.405 1.671 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)N2CCC(C)CC2)C1 ZINC000825936798 604253863 /nfs/dbraw/zinc/25/38/63/604253863.db2.gz CQLYURDDOVVMCB-KGLIPLIRSA-N -1 1 311.426 1.613 20 0 DDADMM O=C([O-])NCCN1CCCC[C@@H]1CNC(=O)c1ccccc1 ZINC000832641925 604371256 /nfs/dbraw/zinc/37/12/56/604371256.db2.gz OMFMQNPIDZCYAF-CQSZACIVSA-N -1 1 305.378 1.539 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)C2(NC(=O)[O-])CC2)N2CCCC2)o1 ZINC000830257091 604449104 /nfs/dbraw/zinc/44/91/04/604449104.db2.gz RAMQULLKLAAYJS-GFCCVEGCSA-N -1 1 321.377 1.641 20 0 DDADMM C[C@@H](C(=O)c1c[nH]c2ncccc12)N1CCC[C@@H](C(=O)[O-])C1 ZINC000833407984 604469880 /nfs/dbraw/zinc/46/98/80/604469880.db2.gz BAWKVBXULNIRSV-WDEREUQCSA-N -1 1 301.346 1.931 20 0 DDADMM COC(=O)[C@@H](c1cccc(C(=O)[O-])c1)N1CCN(C(C)C)CC1 ZINC000833701659 604472523 /nfs/dbraw/zinc/47/25/23/604472523.db2.gz LWFZGEVOQPQDBN-OAHLLOKOSA-N -1 1 320.389 1.625 20 0 DDADMM COc1cc(OC)cc([C@@H](C(=O)[O-])N(C)Cc2nnc[nH]2)c1 ZINC000832040326 604480244 /nfs/dbraw/zinc/48/02/44/604480244.db2.gz CYEVNNUCRNVDGF-ZDUSSCGKSA-N -1 1 306.322 1.080 20 0 DDADMM CN(CC(=O)N(C)c1ccccc1C(=O)[O-])C[C@@H]1CCC[C@@H]1O ZINC000833690734 604521099 /nfs/dbraw/zinc/52/10/99/604521099.db2.gz BVZPTUAPKUDORJ-WFASDCNBSA-N -1 1 320.389 1.440 20 0 DDADMM COC1(C(=O)Nc2ccc3[nH]nnc3c2)CCN(C(=O)[O-])CC1 ZINC000828856880 604526083 /nfs/dbraw/zinc/52/60/83/604526083.db2.gz WBLNMJWNXVFRRG-UHFFFAOYSA-N -1 1 319.321 1.055 20 0 DDADMM C[C@H]1CN(C)C[C@H](C)N1C(=O)c1cccc(OCC(=O)[O-])c1 ZINC000833620702 604580440 /nfs/dbraw/zinc/58/04/40/604580440.db2.gz DIZQXMLFXHDHOL-RYUDHWBXSA-N -1 1 306.362 1.315 20 0 DDADMM C[C@@H]1CN(C)C[C@@H](C)N1C(=O)CCc1ccc(C(=O)[O-])cc1 ZINC000833627911 604581055 /nfs/dbraw/zinc/58/10/55/604581055.db2.gz XJYIHNIRWVSTSC-CHWSQXEVSA-N -1 1 304.390 1.868 20 0 DDADMM Cc1n[nH]c(CCNC(=O)C[C@@H](C)[C@H]2CCCN(C(=O)[O-])C2)n1 ZINC000830741538 604581934 /nfs/dbraw/zinc/58/19/34/604581934.db2.gz JVKXXEILIXMFSL-PWSUYJOCSA-N -1 1 323.397 1.188 20 0 DDADMM CO[C@@H](C)c1nc(CNC2(CC(=O)[O-])CCOCC2)cs1 ZINC000831501418 604792413 /nfs/dbraw/zinc/79/24/13/604792413.db2.gz SIKVRMKCBLAWAL-JTQLQIEISA-N -1 1 314.407 1.964 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1CN1C(=O)NC2(CCCCC2)C1=O ZINC000833211292 604983472 /nfs/dbraw/zinc/98/34/72/604983472.db2.gz XUWNSWCVOYHEHG-NSHDSACASA-N -1 1 309.366 1.138 20 0 DDADMM CCOCCN(CC)[C@@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000833661709 605044832 /nfs/dbraw/zinc/04/48/32/605044832.db2.gz HEDAGDNFUYWIPV-LBPRGKRZSA-N -1 1 300.399 1.057 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN[C@@H](C)c1ccc(OC)cc1)C(=O)[O-] ZINC000833636844 605067484 /nfs/dbraw/zinc/06/74/84/605067484.db2.gz AVSDPYPRBZYMKW-MKBNYLNASA-N -1 1 322.405 1.961 20 0 DDADMM CC(C)Oc1ccccc1[C@H](C)N[C@H](C)C(=O)NCC(=O)[O-] ZINC000833507642 605070320 /nfs/dbraw/zinc/07/03/20/605070320.db2.gz ZBFSLXKTISTWDN-NWDGAFQWSA-N -1 1 308.378 1.714 20 0 DDADMM COC1CCC(CC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CC1 ZINC000833718493 605075873 /nfs/dbraw/zinc/07/58/73/605075873.db2.gz BYQUVKKCLGQBOR-GDKBPFBDSA-N -1 1 309.366 1.117 20 0 DDADMM CN(c1cccnn1)C1CCN(Cc2ccc(C(=O)[O-])[nH]2)CC1 ZINC000833697466 605107945 /nfs/dbraw/zinc/10/79/45/605107945.db2.gz HALCQJHIHPQVQM-UHFFFAOYSA-N -1 1 315.377 1.604 20 0 DDADMM C[C@H](Oc1ccc(CN[C@@H](CO)CC(F)(F)F)cc1)C(=O)[O-] ZINC000833542066 605122992 /nfs/dbraw/zinc/12/29/92/605122992.db2.gz SZVPZCRRXJUCJV-GXSJLCMTSA-N -1 1 321.295 1.941 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)NCC2(C(=O)[O-])CCCC2)C[C@H]1C ZINC000833656166 605123827 /nfs/dbraw/zinc/12/38/27/605123827.db2.gz ICGWQQBNTUQMOS-CHWSQXEVSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC1CCCCC1 ZINC000833622008 605134906 /nfs/dbraw/zinc/13/49/06/605134906.db2.gz DEBNJVWRKWHLRJ-CYBMUJFWSA-N -1 1 311.426 1.757 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc(N(C)C)c1 ZINC000833624701 605162244 /nfs/dbraw/zinc/16/22/44/605162244.db2.gz JXFGFCVOLGOCAP-ZDUSSCGKSA-N -1 1 319.405 1.374 20 0 DDADMM Cc1ccc(S(=O)(=O)NC2CCN(C)CC2)c(C(=O)[O-])c1 ZINC000833751863 605192997 /nfs/dbraw/zinc/19/29/97/605192997.db2.gz YDBPBCJAXRBNOD-UHFFFAOYSA-N -1 1 312.391 1.066 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCCN(C(=O)[O-])CC2)n[nH]1 ZINC000830031576 605301865 /nfs/dbraw/zinc/30/18/65/605301865.db2.gz WSFBJIMVRXLKDA-JTQLQIEISA-N -1 1 309.370 1.044 20 0 DDADMM CCCN(C[C@@H]1CC(C(=O)[O-])=C(C)O1)[C@H]1CCS(=O)(=O)C1 ZINC000833651085 605321922 /nfs/dbraw/zinc/32/19/22/605321922.db2.gz MJVGOPOFYSEZAL-RYUDHWBXSA-N -1 1 317.407 1.033 20 0 DDADMM COCCC[C@H](C)C(=O)N1CCN(CCC(=O)[O-])[C@@H](C)C1 ZINC000833723188 605408246 /nfs/dbraw/zinc/40/82/46/605408246.db2.gz FEKHGSFQPHINPC-STQMWFEESA-N -1 1 300.399 1.057 20 0 DDADMM O=C([O-])N1CC[C@H](CCC(=O)N2CCN3CCCC[C@H]3C2)C1 ZINC000834078427 605439361 /nfs/dbraw/zinc/43/93/61/605439361.db2.gz LIFZOTMQTCSILG-KBPBESRZSA-N -1 1 309.410 1.463 20 0 DDADMM C[C@@H]1CN(C[C@H]2CCCN2C(=O)CCCC(=O)[O-])C[C@@H](C)O1 ZINC000833622578 605762524 /nfs/dbraw/zinc/76/25/24/605762524.db2.gz IELIHNLFZPTBFU-MGPQQGTHSA-N -1 1 312.410 1.342 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CC[C@H](NC(=O)[O-])C[C@H]1C ZINC000833844588 605771152 /nfs/dbraw/zinc/77/11/52/605771152.db2.gz JREPSCVTUJJNIK-HZSPNIEDSA-N -1 1 311.426 1.898 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)[C@@H]2[C@@H](C)OC(C)(C)N2C(=O)[O-])n[nH]1 ZINC000830030256 605806445 /nfs/dbraw/zinc/80/64/45/605806445.db2.gz NDRPQWDVXHMFRR-XRNSZHNASA-N -1 1 324.381 1.269 20 0 DDADMM O=C([O-])c1cc(C(=O)N[C@@H]2CCN(Cc3ccccc3)C2)on1 ZINC000379242337 605866335 /nfs/dbraw/zinc/86/63/35/605866335.db2.gz XSMMTJNAQJRKJA-GFCCVEGCSA-N -1 1 315.329 1.377 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1C[C@@H](O)c1cc(F)ccc1F ZINC000833835066 605898256 /nfs/dbraw/zinc/89/82/56/605898256.db2.gz ULDGZCFRAPOVGZ-GPCCPHFNSA-N -1 1 314.332 1.976 20 0 DDADMM CCN(CC(=O)N(C)C1C[C@H]2CC[C@@H](C1)N2C(=O)[O-])C1CC1 ZINC000833856388 605918038 /nfs/dbraw/zinc/91/80/38/605918038.db2.gz HBKHHLOUSQIMOX-PBWFPOADSA-N -1 1 309.410 1.603 20 0 DDADMM Cc1ccc(OC[C@@H](O)CN2CC[C@H](NC(=O)[O-])C2)c(C)c1 ZINC000740149369 605929084 /nfs/dbraw/zinc/92/90/84/605929084.db2.gz YMRJDKCTZFOJBY-KBPBESRZSA-N -1 1 308.378 1.385 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H](C(=O)N[C@@H]2CCc3nc[nH]c3C2)C1 ZINC000834165990 605960077 /nfs/dbraw/zinc/96/00/77/605960077.db2.gz CEJBDKODOPKAQA-HBNTYKKESA-N -1 1 306.366 1.210 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)[O-])CCN1C[C@H](O)COCc1ccccc1 ZINC000833825582 606035609 /nfs/dbraw/zinc/03/56/09/606035609.db2.gz CGCREYNFXFGNCN-FMKPAKJESA-N -1 1 322.405 1.637 20 0 DDADMM C[C@H]1[C@H](C)N(C(=O)[O-])CCN1CCCNC(=O)C(F)(F)F ZINC000833827661 606043681 /nfs/dbraw/zinc/04/36/81/606043681.db2.gz LWGMJCDMIDSKCR-IUCAKERBSA-N -1 1 311.304 1.128 20 0 DDADMM CC(C)N1CC[C@H](OC(=O)c2ccc(-c3nnn[n-]3)s2)C1=O ZINC000820132367 606135752 /nfs/dbraw/zinc/13/57/52/606135752.db2.gz IPSAGQOVWVFIKV-QMMMGPOBSA-N -1 1 321.362 1.094 20 0 DDADMM CC(C)N1CC[C@H](OC(=O)c2ccc(-c3nn[n-]n3)s2)C1=O ZINC000820132367 606135754 /nfs/dbraw/zinc/13/57/54/606135754.db2.gz IPSAGQOVWVFIKV-QMMMGPOBSA-N -1 1 321.362 1.094 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCCC(C)(C)C2)n1 ZINC000822608218 606164457 /nfs/dbraw/zinc/16/44/57/606164457.db2.gz BKFLPHMYFZXFKF-UHFFFAOYSA-N -1 1 304.358 1.254 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCCC(C)(C)C2)n1 ZINC000822608218 606164459 /nfs/dbraw/zinc/16/44/59/606164459.db2.gz BKFLPHMYFZXFKF-UHFFFAOYSA-N -1 1 304.358 1.254 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC/C=C/c2ccccc2)n1 ZINC000822614860 606173337 /nfs/dbraw/zinc/17/33/37/606173337.db2.gz VKVIPWPZULORHF-VMPITWQZSA-N -1 1 324.348 1.435 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC/C=C/c2ccccc2)n1 ZINC000822614860 606173339 /nfs/dbraw/zinc/17/33/39/606173339.db2.gz VKVIPWPZULORHF-VMPITWQZSA-N -1 1 324.348 1.435 20 0 DDADMM Cc1nc(C)c(NC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000822431628 606274118 /nfs/dbraw/zinc/27/41/18/606274118.db2.gz CPSQIZDGBLEFCD-UHFFFAOYSA-N -1 1 301.335 1.587 20 0 DDADMM Cc1nc(C)c(NC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000822431628 606274121 /nfs/dbraw/zinc/27/41/21/606274121.db2.gz CPSQIZDGBLEFCD-UHFFFAOYSA-N -1 1 301.335 1.587 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@@H]1Cc2ccccc21 ZINC000823294833 606298862 /nfs/dbraw/zinc/29/88/62/606298862.db2.gz TWCDKXNTCLDQGX-GFCCVEGCSA-N -1 1 307.313 1.851 20 0 DDADMM C[C@@H]1CC[C@H](C(N)=O)CN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820683971 606457038 /nfs/dbraw/zinc/45/70/38/606457038.db2.gz KHKFLKCVSQNBAB-SFYZADRCSA-N -1 1 321.772 1.005 20 0 DDADMM C[C@@H]1CC[C@H](C(N)=O)CN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820683971 606457040 /nfs/dbraw/zinc/45/70/40/606457040.db2.gz KHKFLKCVSQNBAB-SFYZADRCSA-N -1 1 321.772 1.005 20 0 DDADMM C[C@@H](Cc1ccco1)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820299249 606508364 /nfs/dbraw/zinc/50/83/64/606508364.db2.gz FOBPIGGWQBNCNZ-QMMMGPOBSA-N -1 1 303.347 1.882 20 0 DDADMM C[C@@H](Cc1ccco1)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820299249 606508366 /nfs/dbraw/zinc/50/83/66/606508366.db2.gz FOBPIGGWQBNCNZ-QMMMGPOBSA-N -1 1 303.347 1.882 20 0 DDADMM O=C(Nc1ccc2nccn2c1)c1ccc(-c2nn[n-]n2)s1 ZINC000823356262 606560986 /nfs/dbraw/zinc/56/09/86/606560986.db2.gz KHVXRBROKVZKEA-UHFFFAOYSA-N -1 1 311.330 1.828 20 0 DDADMM Cc1ccccc1CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000822361460 606596369 /nfs/dbraw/zinc/59/63/69/606596369.db2.gz BKCRJGNZANYAIS-UHFFFAOYSA-N -1 1 312.337 1.230 20 0 DDADMM Cc1ccccc1CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000822361460 606596370 /nfs/dbraw/zinc/59/63/70/606596370.db2.gz BKCRJGNZANYAIS-UHFFFAOYSA-N -1 1 312.337 1.230 20 0 DDADMM CC(C)C1(CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000820031901 606616368 /nfs/dbraw/zinc/61/63/68/606616368.db2.gz JQTYNFDPGQMWNF-UHFFFAOYSA-N -1 1 304.358 1.158 20 0 DDADMM CC(C)C1(CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000820031901 606616370 /nfs/dbraw/zinc/61/63/70/606616370.db2.gz JQTYNFDPGQMWNF-UHFFFAOYSA-N -1 1 304.358 1.158 20 0 DDADMM COc1ccc(-c2nnc(Cn3ccnc3-c3nnn[n-]3)o2)cc1 ZINC000821732124 606655060 /nfs/dbraw/zinc/65/50/60/606655060.db2.gz KGEFTVJCIJDRCS-UHFFFAOYSA-N -1 1 324.304 1.170 20 0 DDADMM COc1ccc(-c2nnc(Cn3ccnc3-c3nn[n-]n3)o2)cc1 ZINC000821732124 606655061 /nfs/dbraw/zinc/65/50/61/606655061.db2.gz KGEFTVJCIJDRCS-UHFFFAOYSA-N -1 1 324.304 1.170 20 0 DDADMM CCc1noc(CC)c1CNc1nccnc1-c1nnn[n-]1 ZINC000821559155 606724492 /nfs/dbraw/zinc/72/44/92/606724492.db2.gz WSHZWBHCJIEYAE-UHFFFAOYSA-N -1 1 300.326 1.382 20 0 DDADMM CCc1noc(CC)c1CNc1nccnc1-c1nn[n-]n1 ZINC000821559155 606724493 /nfs/dbraw/zinc/72/44/93/606724493.db2.gz WSHZWBHCJIEYAE-UHFFFAOYSA-N -1 1 300.326 1.382 20 0 DDADMM CN(CCOCC1CC1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000821603864 606733439 /nfs/dbraw/zinc/73/34/39/606733439.db2.gz RWKOOHQGQCOHMY-UHFFFAOYSA-N -1 1 314.802 1.839 20 0 DDADMM CN(CCOCC1CC1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000821603864 606733440 /nfs/dbraw/zinc/73/34/40/606733440.db2.gz RWKOOHQGQCOHMY-UHFFFAOYSA-N -1 1 314.802 1.839 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCOC2(CCCC2)C1 ZINC000823483273 607071125 /nfs/dbraw/zinc/07/11/25/607071125.db2.gz GDANFXFETNJYFP-UHFFFAOYSA-N -1 1 319.390 1.713 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCOC2(CCCC2)C1 ZINC000823483273 607071126 /nfs/dbraw/zinc/07/11/26/607071126.db2.gz GDANFXFETNJYFP-UHFFFAOYSA-N -1 1 319.390 1.713 20 0 DDADMM Cc1cc(Cl)ccc1C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000822202163 607146887 /nfs/dbraw/zinc/14/68/87/607146887.db2.gz NOWJYJCFASHIRZ-UHFFFAOYSA-N -1 1 303.713 1.296 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)C2CCC(C)(C)CC2)n1 ZINC000822604514 607239146 /nfs/dbraw/zinc/23/91/46/607239146.db2.gz GPDAKZOELKDHBD-UHFFFAOYSA-N -1 1 303.370 1.755 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)C2CCC(C)(C)CC2)n1 ZINC000822604514 607239147 /nfs/dbraw/zinc/23/91/47/607239147.db2.gz GPDAKZOELKDHBD-UHFFFAOYSA-N -1 1 303.370 1.755 20 0 DDADMM O=C1CCc2cc(Oc3cccc(-c4nnn[n-]4)n3)ccc2N1 ZINC000826480779 607895646 /nfs/dbraw/zinc/89/56/46/607895646.db2.gz QCMKPJMRNQYZDD-UHFFFAOYSA-N -1 1 308.301 1.939 20 0 DDADMM O=C1CCc2cc(Oc3cccc(-c4nn[n-]n4)n3)ccc2N1 ZINC000826480779 607895647 /nfs/dbraw/zinc/89/56/47/607895647.db2.gz QCMKPJMRNQYZDD-UHFFFAOYSA-N -1 1 308.301 1.939 20 0 DDADMM CN(Cc1nc2ccccc2[nH]1)c1cccc(-c2nnn[n-]2)n1 ZINC000825992441 607896285 /nfs/dbraw/zinc/89/62/85/607896285.db2.gz XBIJWIOCLDPZOL-UHFFFAOYSA-N -1 1 306.333 1.774 20 0 DDADMM CN(Cc1nc2ccccc2[nH]1)c1cccc(-c2nn[n-]n2)n1 ZINC000825992441 607896286 /nfs/dbraw/zinc/89/62/86/607896286.db2.gz XBIJWIOCLDPZOL-UHFFFAOYSA-N -1 1 306.333 1.774 20 0 DDADMM C[C@H](CO)CCCNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000824435119 607967151 /nfs/dbraw/zinc/96/71/51/607967151.db2.gz LTQWDGQCNXYRRQ-JTQLQIEISA-N -1 1 313.365 1.630 20 0 DDADMM C[C@H](CO)CCCNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000824435119 607967152 /nfs/dbraw/zinc/96/71/52/607967152.db2.gz LTQWDGQCNXYRRQ-JTQLQIEISA-N -1 1 313.365 1.630 20 0 DDADMM O=C(OCC[C@@H]1CCCCO1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826462640 608143745 /nfs/dbraw/zinc/14/37/45/608143745.db2.gz DGKYPMQOUVLQRH-NSHDSACASA-N -1 1 303.322 1.378 20 0 DDADMM O=C(OCC[C@@H]1CCCCO1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826462640 608143746 /nfs/dbraw/zinc/14/37/46/608143746.db2.gz DGKYPMQOUVLQRH-NSHDSACASA-N -1 1 303.322 1.378 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)c1cnn(-c2ccccc2)c1 ZINC000826425360 608147911 /nfs/dbraw/zinc/14/79/11/608147911.db2.gz ZVOLGQSTVBVVQC-UHFFFAOYSA-N -1 1 320.316 1.132 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)c1cnn(-c2ccccc2)c1 ZINC000826425360 608147912 /nfs/dbraw/zinc/14/79/12/608147912.db2.gz ZVOLGQSTVBVVQC-UHFFFAOYSA-N -1 1 320.316 1.132 20 0 DDADMM CCC1(CO)CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000825171702 608151426 /nfs/dbraw/zinc/15/14/26/608151426.db2.gz UJVSSRPUVYHBRF-UHFFFAOYSA-N -1 1 322.800 1.904 20 0 DDADMM CCC1(CO)CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000825171702 608151425 /nfs/dbraw/zinc/15/14/25/608151425.db2.gz UJVSSRPUVYHBRF-UHFFFAOYSA-N -1 1 322.800 1.904 20 0 DDADMM CSc1cc(CNc2ccc(-c3nnn[n-]3)nn2)ccn1 ZINC000826230372 608241555 /nfs/dbraw/zinc/24/15/55/608241555.db2.gz PWHDACIRQGKAAE-UHFFFAOYSA-N -1 1 300.351 1.386 20 0 DDADMM CSc1cc(CNc2ccc(-c3nn[n-]n3)nn2)ccn1 ZINC000826230372 608241557 /nfs/dbraw/zinc/24/15/57/608241557.db2.gz PWHDACIRQGKAAE-UHFFFAOYSA-N -1 1 300.351 1.386 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1Sc1nnc2n1CCCCC2 ZINC000826515333 608393935 /nfs/dbraw/zinc/39/39/35/608393935.db2.gz VMOLTXCHTOGTOD-UHFFFAOYSA-N -1 1 315.366 1.126 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1Sc1nnc2n1CCCCC2 ZINC000826515333 608393936 /nfs/dbraw/zinc/39/39/36/608393936.db2.gz VMOLTXCHTOGTOD-UHFFFAOYSA-N -1 1 315.366 1.126 20 0 DDADMM CCC(=O)Nc1cccc(CNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000825034771 608400038 /nfs/dbraw/zinc/40/00/38/608400038.db2.gz PEGHGCBYUQLSSM-UHFFFAOYSA-N -1 1 324.348 1.617 20 0 DDADMM CCC(=O)Nc1cccc(CNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000825034771 608400040 /nfs/dbraw/zinc/40/00/40/608400040.db2.gz PEGHGCBYUQLSSM-UHFFFAOYSA-N -1 1 324.348 1.617 20 0 DDADMM C[C@@H]1C[C@@H](Nc2snc(Cl)c2-c2nnn[n-]2)CCO1 ZINC000824898007 608801346 /nfs/dbraw/zinc/80/13/46/608801346.db2.gz COOHONBSZYGPDM-RITPCOANSA-N -1 1 300.775 1.956 20 0 DDADMM C[C@@H]1C[C@@H](Nc2snc(Cl)c2-c2nn[n-]n2)CCO1 ZINC000824898007 608801349 /nfs/dbraw/zinc/80/13/49/608801349.db2.gz COOHONBSZYGPDM-RITPCOANSA-N -1 1 300.775 1.956 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)Nc1ccc2c(c1)CCC2 ZINC000826425220 608890754 /nfs/dbraw/zinc/89/07/54/608890754.db2.gz UVUBZVBDHQIANT-UHFFFAOYSA-N -1 1 309.333 1.191 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)Nc1ccc2c(c1)CCC2 ZINC000826425220 608890757 /nfs/dbraw/zinc/89/07/57/608890757.db2.gz UVUBZVBDHQIANT-UHFFFAOYSA-N -1 1 309.333 1.191 20 0 DDADMM CSc1[nH]c(=O)c(C(=O)NC(C)(C)C)cc1-c1nn[n-]n1 ZINC000826229651 609296563 /nfs/dbraw/zinc/29/65/63/609296563.db2.gz MAWMXRSKPKRFRY-UHFFFAOYSA-N -1 1 308.367 1.218 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCOC3(CCOCC3)C2)[n-]1 ZINC000797082494 699992721 /nfs/dbraw/zinc/99/27/21/699992721.db2.gz ANCDYCDHDNOMDA-LLVKDONJSA-N -1 1 323.345 1.686 20 0 DDADMM CC1CC(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000973154551 695434074 /nfs/dbraw/zinc/43/40/74/695434074.db2.gz NDLOZOUZLPEUJK-LOTOBDBSSA-N -1 1 303.362 1.210 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000973196122 695443482 /nfs/dbraw/zinc/44/34/82/695443482.db2.gz BPKSZIVWZRZSBL-FDYHWXHSSA-N -1 1 317.389 1.600 20 0 DDADMM C[C@@]1(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000973198783 695444139 /nfs/dbraw/zinc/44/41/39/695444139.db2.gz HBAQYFHNLKXRTJ-PSTGCABASA-N -1 1 315.373 1.521 20 0 DDADMM CCC(=O)N[C@H]1C[C@@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000973768671 695528844 /nfs/dbraw/zinc/52/88/44/695528844.db2.gz AMRRWPFXDUYJSS-PHIMTYICSA-N -1 1 320.393 1.401 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)C2CC=CC2)C1)c1ncccc1[O-] ZINC000973974133 695608648 /nfs/dbraw/zinc/60/86/48/695608648.db2.gz NOHXTYYDXMRZRT-TXEJJXNPSA-N -1 1 301.346 1.130 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)C2(C3CC3)CC2)C1)c1ncccc1[O-] ZINC000974058822 695629589 /nfs/dbraw/zinc/62/95/89/695629589.db2.gz XACQRMAGMMRRAZ-TXEJJXNPSA-N -1 1 315.373 1.354 20 0 DDADMM O=C(COC(=O)c1cn2ccccc2n1)[N-]C(=O)c1ccccc1 ZINC000005253969 696012830 /nfs/dbraw/zinc/01/28/30/696012830.db2.gz SBZDQXLCEMDOOM-UHFFFAOYSA-N -1 1 323.308 1.448 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CC(C)(C)C)cc1 ZINC000011481786 696045838 /nfs/dbraw/zinc/04/58/38/696045838.db2.gz JEWXMXRTSCVXLA-UHFFFAOYSA-N -1 1 307.346 1.931 20 0 DDADMM C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@@H](O)c1ccc(F)cc1 ZINC000798301094 700055957 /nfs/dbraw/zinc/05/59/57/700055957.db2.gz NRTVWHYUXAVWTE-MEBBXXQBSA-N -1 1 317.316 1.952 20 0 DDADMM CCc1nnc([N-]C(=O)c2cnn(-c3ccccc3)n2)s1 ZINC000023116378 696081342 /nfs/dbraw/zinc/08/13/42/696081342.db2.gz YBYJQTBHQPHRSM-UHFFFAOYSA-N -1 1 300.347 1.934 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCc2ccccc2Cl)C1 ZINC000035296711 696128559 /nfs/dbraw/zinc/12/85/59/696128559.db2.gz CAKWMQFQPUWVIZ-GFCCVEGCSA-N -1 1 310.781 1.753 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cccc(F)c2)o1 ZINC000042263901 696147138 /nfs/dbraw/zinc/14/71/38/696147138.db2.gz BZVCUPLCIGCMRL-UHFFFAOYSA-N -1 1 313.306 1.684 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ccc(F)cc2)o1 ZINC000042263943 696147270 /nfs/dbraw/zinc/14/72/70/696147270.db2.gz VNOYOPOLUGPMRS-UHFFFAOYSA-N -1 1 313.306 1.684 20 0 DDADMM O=C(NC[C@@H]1CCCO1)NN=c1c(F)c(F)[n-]c(F)c1F ZINC000042868085 696151310 /nfs/dbraw/zinc/15/13/10/696151310.db2.gz IGEFQBUBHBVPQG-YFKPBYRVSA-N -1 1 308.235 1.443 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C[C@@H]2CCCO2)cc1 ZINC000046542354 696188904 /nfs/dbraw/zinc/18/89/04/696188904.db2.gz FIQBRXKAKZCDBF-ZDUSSCGKSA-N -1 1 321.329 1.064 20 0 DDADMM COCCN1C(=O)C(=CNc2cccc(F)c2)C(=O)[N-]C1=S ZINC000060861058 696314068 /nfs/dbraw/zinc/31/40/68/696314068.db2.gz MLWPYLNAWJTYMY-FLIBITNWSA-N -1 1 323.349 1.011 20 0 DDADMM CCC(=O)N[N-]S(=O)(=O)c1ccc(F)c(C(F)(F)F)c1 ZINC000063911396 696332353 /nfs/dbraw/zinc/33/23/53/696332353.db2.gz FBTBWWPIYQUGQI-UHFFFAOYSA-N -1 1 314.260 1.564 20 0 DDADMM CC(C)n1c(CNC(=O)[C@H]2CC(=O)N(C3CC3)C2)n[n-]c1=S ZINC000066640589 696354903 /nfs/dbraw/zinc/35/49/03/696354903.db2.gz TWGNXIBFPKWBBG-VIFPVBQESA-N -1 1 323.422 1.149 20 0 DDADMM C[C@@H](OCC1CC1)C(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000068351019 696371370 /nfs/dbraw/zinc/37/13/70/696371370.db2.gz BXZLKKNGZUQZLK-MRVPVSSYSA-N -1 1 312.753 1.622 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCCOC(C)C)c(=O)[n-]1 ZINC000070034666 696386906 /nfs/dbraw/zinc/38/69/06/696386906.db2.gz JXOSOOOQYANOAT-UHFFFAOYSA-N -1 1 314.407 1.701 20 0 DDADMM O=C(NC[C@H]1C[C@@H](NC(=O)[C@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000978741024 696450467 /nfs/dbraw/zinc/45/04/67/696450467.db2.gz RYXHXRDHFAWSQG-GRYCIOLGSA-N -1 1 315.373 1.212 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2csc3ccccc23)s1 ZINC000079588334 696461773 /nfs/dbraw/zinc/46/17/73/696461773.db2.gz DCLWRQGHEUCYQO-LLVKDONJSA-N -1 1 319.411 1.864 20 0 DDADMM C[C@@H]1CCN(C(=O)Cc2sc(N)nc2[O-])c2ccccc21 ZINC000079617203 696462010 /nfs/dbraw/zinc/46/20/10/696462010.db2.gz JIEQFZFGPLFDPW-SKDRFNHKSA-N -1 1 303.387 1.874 20 0 DDADMM C#CCN(Cc1ccc(F)cc1)C(=O)Cc1sc(N)nc1[O-] ZINC000079786549 696463499 /nfs/dbraw/zinc/46/34/99/696463499.db2.gz SSJSYNWOQNTGIU-LBPRGKRZSA-N -1 1 319.361 1.134 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccc3scnc3c2)s1 ZINC000079898869 696465013 /nfs/dbraw/zinc/46/50/13/696465013.db2.gz TVUQFHHOJGPQFF-VIFPVBQESA-N -1 1 306.372 1.582 20 0 DDADMM Cc1cc(C)cc([C@H](C)NC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080107870 696530630 /nfs/dbraw/zinc/53/06/30/696530630.db2.gz DLXOPLRZIDQMOB-CMPLNLGQSA-N -1 1 305.403 1.827 20 0 DDADMM C[C@@H]1Cc2ccccc2[C@H]1NC(=O)Cc1sc(N)nc1[O-] ZINC000080212131 696531665 /nfs/dbraw/zinc/53/16/65/696531665.db2.gz IRAPDDSDTXOWSQ-DYLAAIIWSA-N -1 1 303.387 1.383 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC2(c3cccc(F)c3)CC2)s1 ZINC000080453497 696534835 /nfs/dbraw/zinc/53/48/35/696534835.db2.gz FODWIACEUXUSFD-JTQLQIEISA-N -1 1 307.350 1.278 20 0 DDADMM C[C@H]1OCC[C@H]1C(=O)N[N-]C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000092547134 696595530 /nfs/dbraw/zinc/59/55/30/696595530.db2.gz JXRIVNCSEGBHOZ-RKDXNWHRSA-N -1 1 320.374 1.324 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccc(F)cc2NC2CC2)s1 ZINC000119488797 696681619 /nfs/dbraw/zinc/68/16/19/696681619.db2.gz FBJYYGBOVXOHDH-NSHDSACASA-N -1 1 322.365 1.685 20 0 DDADMM C[C@H]1CO[C@@H](CO)CN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000129733147 696791398 /nfs/dbraw/zinc/79/13/98/696791398.db2.gz QIQOVDDFINIUGC-GXFFZTMASA-N -1 1 305.330 1.080 20 0 DDADMM CCC[C@H](NC(=O)c1cc(Cl)nc(N(C)C)c1)c1nn[n-]n1 ZINC000136735440 696855889 /nfs/dbraw/zinc/85/58/89/696855889.db2.gz LOPBXJNAQLNRNG-VIFPVBQESA-N -1 1 323.788 1.585 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCN(c2ncccn2)CC1 ZINC000141942254 696868059 /nfs/dbraw/zinc/86/80/59/696868059.db2.gz MXPNIJHBXGBYKY-UHFFFAOYSA-N -1 1 318.764 1.798 20 0 DDADMM CC[C@@H](F)CN1CCCC2(CN(C(=O)c3n[nH]c(C)c3[O-])C2)C1 ZINC000981719287 696889687 /nfs/dbraw/zinc/88/96/87/696889687.db2.gz AMVQRDMGBWCKIR-GFCCVEGCSA-N -1 1 324.400 1.710 20 0 DDADMM C[C@H](Cc1ccc(F)cc1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155600339 696950960 /nfs/dbraw/zinc/95/09/60/696950960.db2.gz BDKFPSOBSVLYNV-DGCLKSJQSA-N -1 1 317.368 1.924 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@H]1CCCO1 ZINC000157762375 696980844 /nfs/dbraw/zinc/98/08/44/696980844.db2.gz AGJNZSLWONJNTP-RKDXNWHRSA-N -1 1 307.803 1.309 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983144999 697218385 /nfs/dbraw/zinc/21/83/85/697218385.db2.gz ZTPQGGCUITUIMU-WCQYABFASA-N -1 1 323.368 1.360 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)C2(C)CC2)C1 ZINC000984301250 697365860 /nfs/dbraw/zinc/36/58/60/697365860.db2.gz JQWNWOONKUZIGX-LBPRGKRZSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1cccnc1NC(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC000172602070 697369302 /nfs/dbraw/zinc/36/93/02/697369302.db2.gz PFUYWENSPQMURF-UHFFFAOYSA-N -1 1 311.301 1.288 20 0 DDADMM Cc1cccnc1NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[n-]1 ZINC000172602070 697369303 /nfs/dbraw/zinc/36/93/03/697369303.db2.gz PFUYWENSPQMURF-UHFFFAOYSA-N -1 1 311.301 1.288 20 0 DDADMM COCCCNC(=O)[C@@H](C)OC(=O)c1c([O-])cc(F)cc1F ZINC000749282046 700154792 /nfs/dbraw/zinc/15/47/92/700154792.db2.gz XAYXWZLJFSHLSG-MRVPVSSYSA-N -1 1 317.288 1.368 20 0 DDADMM O=C(CCOC[C@@H]1CCCO1)Nc1nc(Cl)ccc1[O-] ZINC000188367295 697557628 /nfs/dbraw/zinc/55/76/28/697557628.db2.gz YHWHPSCBZPZBIK-VIFPVBQESA-N -1 1 300.742 1.965 20 0 DDADMM CCC[C@@H](NC(=O)CC1CCC2(CC1)OCCO2)c1nn[n-]n1 ZINC000191897512 697616367 /nfs/dbraw/zinc/61/63/67/697616367.db2.gz OGIPJKQXGMCQPY-GFCCVEGCSA-N -1 1 323.397 1.481 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)[N-]OC3CCOCC3)CC2=O)cc1 ZINC000772722184 697667451 /nfs/dbraw/zinc/66/74/51/697667451.db2.gz DROPRQUVBDADAF-CYBMUJFWSA-N -1 1 318.373 1.575 20 0 DDADMM CCOc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1 ZINC000773152874 697719181 /nfs/dbraw/zinc/71/91/81/697719181.db2.gz NVAZXSONNCCPTR-LBPRGKRZSA-N -1 1 319.390 1.529 20 0 DDADMM COc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1 ZINC000773159873 697721640 /nfs/dbraw/zinc/72/16/40/697721640.db2.gz TZCXLHPFWZJJGN-NSHDSACASA-N -1 1 305.363 1.139 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]N=c2sc3ccccc3n2C)o1 ZINC000773233642 697732360 /nfs/dbraw/zinc/73/23/60/697732360.db2.gz XEUWGTYEXJRBCH-UHFFFAOYSA-N -1 1 323.399 1.935 20 0 DDADMM O=C([C@@H]1CC1(Cl)Cl)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000773490844 697768069 /nfs/dbraw/zinc/76/80/69/697768069.db2.gz IDEOMADPKUZXSE-ZETCQYMHSA-N -1 1 306.149 1.675 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1cncc2ccccc21)c1nn[n-]n1 ZINC000773997944 697831868 /nfs/dbraw/zinc/83/18/68/697831868.db2.gz JILCZRLBPFKJTB-GFCCVEGCSA-N -1 1 324.388 1.943 20 0 DDADMM C[C@@H](O)c1ncc(C(=O)[N-]c2n[nH]c(-c3ccccn3)n2)s1 ZINC000774374892 697873725 /nfs/dbraw/zinc/87/37/25/697873725.db2.gz DNVPZSXCVOIZPL-SSDOTTSWSA-N -1 1 316.346 1.629 20 0 DDADMM O=C(OCCC[N-]C(=O)C(F)(F)F)[C@@H]1CSCCS1 ZINC000774899611 697939766 /nfs/dbraw/zinc/93/97/66/697939766.db2.gz ABMWCJCFJPTHRX-ZETCQYMHSA-N -1 1 317.354 1.447 20 0 DDADMM CCC(=O)N[C@@H]1CCCCCN(C(=O)c2ncccc2[O-])C1 ZINC000987003781 697969499 /nfs/dbraw/zinc/96/94/99/697969499.db2.gz PZCFJPCNCWWHPE-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccccn1)c1cc(F)ccc1F ZINC000775594728 698013261 /nfs/dbraw/zinc/01/32/61/698013261.db2.gz RTIXLSLCBUSSFL-GFCCVEGCSA-N -1 1 314.313 1.372 20 0 DDADMM O=C(c1cccc(Cl)c1[O-])N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000775725169 698026257 /nfs/dbraw/zinc/02/62/57/698026257.db2.gz PWCOWGLMRFCWNE-KBPBESRZSA-N -1 1 324.808 1.717 20 0 DDADMM O=C(c1cc2ccccc2[nH]1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776562079 698117046 /nfs/dbraw/zinc/11/70/46/698117046.db2.gz AQFOMASPFURBLK-GFCCVEGCSA-N -1 1 314.374 1.611 20 0 DDADMM COc1nc(C)ccc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000777228477 698175527 /nfs/dbraw/zinc/17/55/27/698175527.db2.gz LDGBCIYOYGAXQF-UHFFFAOYSA-N -1 1 320.267 1.624 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987688022 698198912 /nfs/dbraw/zinc/19/89/12/698198912.db2.gz KNHYOWFMLZJLIZ-MDZLAQPJSA-N -1 1 323.368 1.501 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987705516 698204597 /nfs/dbraw/zinc/20/45/97/698204597.db2.gz HUYGCSBHZUQYRM-ZDUSSCGKSA-N -1 1 317.389 1.674 20 0 DDADMM CCc1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cc(=O)[nH]1 ZINC000778502215 698342776 /nfs/dbraw/zinc/34/27/76/698342776.db2.gz IGOJXPFPEDGBMH-CQSZACIVSA-N -1 1 324.344 1.382 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCc1ccc(CO)cc1 ZINC000778590007 698350172 /nfs/dbraw/zinc/35/01/72/698350172.db2.gz QBZFAHVDWSBKOY-UHFFFAOYSA-N -1 1 322.386 1.380 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CCC[C@@H]1C(=O)[O-])c1cccs1 ZINC000780341202 698508353 /nfs/dbraw/zinc/50/83/53/698508353.db2.gz JZIHNRUXMXFXHU-MNOVXSKESA-N -1 1 311.407 1.609 20 0 DDADMM CCC[C@H](NC(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000780382519 698513709 /nfs/dbraw/zinc/51/37/09/698513709.db2.gz ZCWNOTLWHBRFLT-HNNXBMFYSA-N -1 1 319.405 1.597 20 0 DDADMM CC(C)[C@@H](NC(=O)NCc1n[nH]c(-c2ccccc2)n1)C(=O)[O-] ZINC000780505933 698525888 /nfs/dbraw/zinc/52/58/88/698525888.db2.gz BWRMFFAEDGCBAB-GFCCVEGCSA-N -1 1 317.349 1.380 20 0 DDADMM CC(C)[C@@H](NC(=O)NCc1nc(-c2ccccc2)n[nH]1)C(=O)[O-] ZINC000780505933 698525889 /nfs/dbraw/zinc/52/58/89/698525889.db2.gz BWRMFFAEDGCBAB-GFCCVEGCSA-N -1 1 317.349 1.380 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccco1)c1cc(F)c(F)cc1F ZINC000305180069 698638775 /nfs/dbraw/zinc/63/87/75/698638775.db2.gz BTQIUIVVQXMXCP-SNVBAGLBSA-N -1 1 321.276 1.709 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OC[C@H](C)OC ZINC000782322791 698709028 /nfs/dbraw/zinc/70/90/28/698709028.db2.gz NRMZKVGAMCYOOR-JTQLQIEISA-N -1 1 301.364 1.640 20 0 DDADMM CC(=O)OCCc1cccc(NC(=O)C2=C([O-])C(C)N=N2)c1 ZINC000783614416 698858953 /nfs/dbraw/zinc/85/89/53/698858953.db2.gz ZJYGQTYZSRRILL-UHFFFAOYSA-N -1 1 303.318 1.782 20 0 DDADMM COc1cc(C(=O)N2CC(=O)N(C)C[C@H]2C)cc(Cl)c1[O-] ZINC000785424861 699076138 /nfs/dbraw/zinc/07/61/38/699076138.db2.gz DUDCATDDPGPTPV-MRVPVSSYSA-N -1 1 312.753 1.357 20 0 DDADMM Cc1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c(C)nn1 ZINC000786015101 699118026 /nfs/dbraw/zinc/11/80/26/699118026.db2.gz JKTQYONCDZSNDD-UHFFFAOYSA-N -1 1 313.313 1.207 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971066 699195811 /nfs/dbraw/zinc/19/58/11/699195811.db2.gz DZTATMQTSUVDKL-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)[C@@]1(C)C[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971043 699195964 /nfs/dbraw/zinc/19/59/64/699195964.db2.gz DVYUAPVFSVQQKH-YVEFUNNKSA-N -1 1 317.389 1.410 20 0 DDADMM CC[C@]1(C)C[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990972419 699196453 /nfs/dbraw/zinc/19/64/53/699196453.db2.gz OWVFEDUAAXDOLB-MEDUHNTESA-N -1 1 303.362 1.164 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCC(C)(C)OC)o1 ZINC000787641666 699227032 /nfs/dbraw/zinc/22/70/32/699227032.db2.gz RQGUOOJEPDCTAY-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM CC(=O)CC(C)(C)CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000788586892 699325511 /nfs/dbraw/zinc/32/55/11/699325511.db2.gz DHHNTMZVCCQOGS-AWEZNQCLSA-N -1 1 315.377 1.801 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCCc1ccccc1 ZINC000727847975 699427527 /nfs/dbraw/zinc/42/75/27/699427527.db2.gz ARWZDYRJYYKXCM-UHFFFAOYSA-N -1 1 315.373 1.066 20 0 DDADMM CCc1ccc(CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000727847549 699427637 /nfs/dbraw/zinc/42/76/37/699427637.db2.gz HOYVLFRDDCXUIG-UHFFFAOYSA-N -1 1 315.373 1.148 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC(C)C)c1cc(F)ccc1F ZINC000728057916 699436487 /nfs/dbraw/zinc/43/64/87/699436487.db2.gz JOKFIRUWEMNATJ-LBPRGKRZSA-N -1 1 321.345 1.754 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1ccc(-n2ccnc2)nn1 ZINC000790047539 699437416 /nfs/dbraw/zinc/43/74/16/699437416.db2.gz KTDQRVQFOCONCE-UHFFFAOYSA-N -1 1 316.708 1.669 20 0 DDADMM CCOC(=O)c1n[n-]c(-c2cccc(CNC(=O)OC)c2)n1 ZINC000790157766 699446165 /nfs/dbraw/zinc/44/61/65/699446165.db2.gz KYPUZMPUSFSOMF-UHFFFAOYSA-N -1 1 304.306 1.504 20 0 DDADMM C[C@@H](OC(=O)c1ccc(Cl)c(Cl)c1[O-])C(=O)NC(N)=O ZINC000730360624 699509111 /nfs/dbraw/zinc/50/91/11/699509111.db2.gz ZLCFTQNKJOFTRT-SCSAIBSYSA-N -1 1 321.116 1.439 20 0 DDADMM Cc1nc(C(C)C)sc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732092306 699545899 /nfs/dbraw/zinc/54/58/99/699545899.db2.gz XIINQTKYEDAABF-VIFPVBQESA-N -1 1 322.394 1.302 20 0 DDADMM CC(C)c1ocnc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000732383605 699558497 /nfs/dbraw/zinc/55/84/97/699558497.db2.gz AMFLBJYYNBTQHT-UHFFFAOYSA-N -1 1 316.313 1.911 20 0 DDADMM CC[C@](C)(NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000733151830 699580999 /nfs/dbraw/zinc/58/09/99/699580999.db2.gz FJUBSESZQVRRMJ-KRWDZBQOSA-N -1 1 315.373 1.368 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3Cc4ccccc4C(=O)O3)ccnc1-2 ZINC000791160248 699613413 /nfs/dbraw/zinc/61/34/13/699613413.db2.gz CGAPHGUEZATHJR-CQSZACIVSA-N -1 1 322.324 1.062 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CCC2CC2)cc1 ZINC000734610350 699656701 /nfs/dbraw/zinc/65/67/01/699656701.db2.gz IRMVUUZMRNWHLZ-UHFFFAOYSA-N -1 1 305.330 1.685 20 0 DDADMM CC[S@](=O)CC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000735080833 699676576 /nfs/dbraw/zinc/67/65/76/699676576.db2.gz NCCGQTHPIXZSFM-SFHVURJKSA-N -1 1 315.338 1.151 20 0 DDADMM O=C(Cn1cccn1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000792668518 699706913 /nfs/dbraw/zinc/70/69/13/699706913.db2.gz JOUTURZAZKKKMU-UHFFFAOYSA-N -1 1 300.270 1.439 20 0 DDADMM O=C(CCCc1ccccc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737837934 699746085 /nfs/dbraw/zinc/74/60/85/699746085.db2.gz VQBZIGXNBCDFKH-ZDUSSCGKSA-N -1 1 301.350 1.123 20 0 DDADMM CC[C@H](C)CC[C@H](O)CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000793711870 699773646 /nfs/dbraw/zinc/77/36/46/699773646.db2.gz DTVKJUQKQLFVIH-XQQFMLRXSA-N -1 1 309.414 1.483 20 0 DDADMM CC(C)c1nn(C)cc1[N-]S(=O)(=O)C[C@H]1CCCOC1 ZINC000795362024 699861519 /nfs/dbraw/zinc/86/15/19/699861519.db2.gz SBRLMZCCCUHQTO-NSHDSACASA-N -1 1 301.412 1.712 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nnc(C(C)(C)C)o2)[n-]1 ZINC000796368972 699930043 /nfs/dbraw/zinc/93/00/43/699930043.db2.gz JZWGTLATAGOEDY-UHFFFAOYSA-N -1 1 307.306 1.839 20 0 DDADMM C[C@H](C1CCCCC1)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000801152502 700271999 /nfs/dbraw/zinc/27/19/99/700271999.db2.gz WTCYLIGVSVDECY-GFCCVEGCSA-N -1 1 307.394 1.354 20 0 DDADMM O=C(OC[C@@H]1COC(=O)O1)c1nn(-c2ccccc2)cc1[O-] ZINC000801203478 700277367 /nfs/dbraw/zinc/27/73/67/700277367.db2.gz UKCIMQZCYVAVJC-SNVBAGLBSA-N -1 1 304.258 1.270 20 0 DDADMM CC[C@H](OC(=O)c1nn(-c2cccc(Cl)c2)cc1[O-])C(N)=O ZINC000801360915 700301009 /nfs/dbraw/zinc/30/10/09/700301009.db2.gz RMKKRCCEGJQWIM-NSHDSACASA-N -1 1 323.736 1.652 20 0 DDADMM CC[C@@H](OC(=O)c1nn(-c2ccc(OC)cc2)cc1[O-])C(N)=O ZINC000801367006 700301470 /nfs/dbraw/zinc/30/14/70/700301470.db2.gz AFGOUNGHEJREPQ-GFCCVEGCSA-N -1 1 319.317 1.007 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)O[C@@H](C)C(N)=O)n1 ZINC000801417303 700305893 /nfs/dbraw/zinc/30/58/93/700305893.db2.gz JNXIFRCYXKBTBJ-VIFPVBQESA-N -1 1 303.318 1.171 20 0 DDADMM CC(=O)c1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000801700954 700331997 /nfs/dbraw/zinc/33/19/97/700331997.db2.gz VQCNCKVASDJXCQ-UHFFFAOYSA-N -1 1 300.343 1.858 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3CCC(=O)c4cccn43)ccnc1-2 ZINC000801702345 700332281 /nfs/dbraw/zinc/33/22/81/700332281.db2.gz BUINLILNRUSMTR-CYBMUJFWSA-N -1 1 309.329 1.300 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3cccc(F)c3F)ccnc1-2 ZINC000801702183 700332712 /nfs/dbraw/zinc/33/27/12/700332712.db2.gz UVADLGNDAQVHKM-UHFFFAOYSA-N -1 1 302.284 1.801 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(C)(C)C ZINC000753379303 700427339 /nfs/dbraw/zinc/42/73/39/700427339.db2.gz VYOBOAJSFLTYQF-LLVKDONJSA-N -1 1 318.395 1.380 20 0 DDADMM COc1cc(C)cnc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000753553902 700442688 /nfs/dbraw/zinc/44/26/88/700442688.db2.gz VVOXTSFOLBJHKS-UHFFFAOYSA-N -1 1 320.267 1.624 20 0 DDADMM CCN(CCS(C)(=O)=O)C(=O)c1c([O-])cccc1Cl ZINC000753833079 700460616 /nfs/dbraw/zinc/46/06/16/700460616.db2.gz PLHQRIKDYONJIZ-UHFFFAOYSA-N -1 1 305.783 1.552 20 0 DDADMM Cc1ccc(N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cc1C ZINC000754074430 700480913 /nfs/dbraw/zinc/48/09/13/700480913.db2.gz IKZNMQSBEQKCTQ-UHFFFAOYSA-N -1 1 301.394 1.047 20 0 DDADMM CCS(=O)(=O)c1ccc(CCC(=O)[N-]OCC(F)F)cc1 ZINC000759330391 700746445 /nfs/dbraw/zinc/74/64/45/700746445.db2.gz FCWPKDKRHNLIQG-UHFFFAOYSA-N -1 1 321.345 1.726 20 0 DDADMM O=C([N-]OCC(F)F)[C@@H]1CC(=O)N(c2c(F)cccc2F)C1 ZINC000759337838 700747292 /nfs/dbraw/zinc/74/72/92/700747292.db2.gz LPBVYERNPHNDPQ-SSDOTTSWSA-N -1 1 320.242 1.631 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2nc3cc[nH]cc-3n2)c1 ZINC000760952955 700831609 /nfs/dbraw/zinc/83/16/09/700831609.db2.gz YOGHEJHLVNSOMG-UHFFFAOYSA-N -1 1 311.301 1.874 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCC1=O ZINC000761467685 700861420 /nfs/dbraw/zinc/86/14/20/700861420.db2.gz QIOMUFGLTOBPAH-YPMHNXCESA-N -1 1 317.341 1.492 20 0 DDADMM CNc1snc(C)c1C(=O)[N-]N1CCc2ccccc2C1=O ZINC000762649256 700901519 /nfs/dbraw/zinc/90/15/19/700901519.db2.gz SEAXEJMXCDFPMT-UHFFFAOYSA-N -1 1 316.386 1.837 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N[C@@H](C(=O)[O-])C(C)C ZINC000763078208 700924752 /nfs/dbraw/zinc/92/47/52/700924752.db2.gz CUDKQGNKSJVZOS-SWLSCSKDSA-N -1 1 308.378 1.701 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3CC(C)(C)OC3=O)cnc2n1 ZINC000763234548 700930485 /nfs/dbraw/zinc/93/04/85/700930485.db2.gz JWHYUQLEAUVGJG-NSHDSACASA-N -1 1 315.329 1.468 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1CC(C)(C)OC1=O)c2=O ZINC000763234548 700930486 /nfs/dbraw/zinc/93/04/86/700930486.db2.gz JWHYUQLEAUVGJG-NSHDSACASA-N -1 1 315.329 1.468 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(F)c(F)c(F)c2F)nc1=O ZINC000765435996 701012528 /nfs/dbraw/zinc/01/25/28/701012528.db2.gz GVMWPQGQFMVSRZ-UHFFFAOYSA-N -1 1 305.187 1.022 20 0 DDADMM Cc1nc(CC(C)C)sc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765462615 701013847 /nfs/dbraw/zinc/01/38/47/701013847.db2.gz HCEALGIYVNWNJK-UHFFFAOYSA-N -1 1 310.379 1.429 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2csc(C(C)(C)C)n2)nc1=O ZINC000765465885 701014111 /nfs/dbraw/zinc/01/41/11/701014111.db2.gz VCAMLGFWZJSUEW-UHFFFAOYSA-N -1 1 310.379 1.148 20 0 DDADMM COc1ccccc1[C@H](C)CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765468922 701014312 /nfs/dbraw/zinc/01/43/12/701014312.db2.gz VPMXDNFIXYUDCG-SNVBAGLBSA-N -1 1 305.334 1.354 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2C[C@@H]2c2cccc(F)c2F)nc1=O ZINC000765483813 701015166 /nfs/dbraw/zinc/01/51/66/701015166.db2.gz YUYBRBPSDZAUCS-RKDXNWHRSA-N -1 1 309.272 1.234 20 0 DDADMM CCCn1cc(C(=O)OCCc2cc(C(C)=O)ccc2[O-])nn1 ZINC000767028151 701078608 /nfs/dbraw/zinc/07/86/08/701078608.db2.gz SKTGLUSYABNYJF-UHFFFAOYSA-N -1 1 317.345 1.996 20 0 DDADMM CC(C)OCCOC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000772023310 701340660 /nfs/dbraw/zinc/34/06/60/701340660.db2.gz QLQDTEXUROUDSH-UHFFFAOYSA-N -1 1 307.393 1.701 20 0 DDADMM Cc1ccccc1[C@@H](C)NC(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805603025 701397380 /nfs/dbraw/zinc/39/73/80/701397380.db2.gz FICBBMHTDVEKGJ-GHMZBOCLSA-N -1 1 302.334 1.536 20 0 DDADMM COc1ccc(C(=O)[C@H](C)OC(=O)c2cn[n-]n2)c(OC)c1 ZINC000805603504 701397411 /nfs/dbraw/zinc/39/74/11/701397411.db2.gz OQGFTKQODIIWBJ-QMMMGPOBSA-N -1 1 305.290 1.250 20 0 DDADMM CN(C(=O)COC(=O)c1cn[n-]n1)[C@H]1CCCc2ccccc21 ZINC000805605424 701398760 /nfs/dbraw/zinc/39/87/60/701398760.db2.gz MLMZREFMURYJNN-AWEZNQCLSA-N -1 1 314.345 1.498 20 0 DDADMM CC(C)N(Cc1ccccc1)C(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805606269 701399120 /nfs/dbraw/zinc/39/91/20/701399120.db2.gz VEHYEGAOTVBHKQ-GFCCVEGCSA-N -1 1 316.361 1.787 20 0 DDADMM CCN(C(=O)COC(=O)c1cn[n-]n1)[C@H](C)c1ccc(F)cc1 ZINC000805607093 701399322 /nfs/dbraw/zinc/39/93/22/701399322.db2.gz GSOZGTDFZPDHFL-SNVBAGLBSA-N -1 1 320.324 1.710 20 0 DDADMM Cc1cc(=O)oc2cc(OCCOC(=O)c3cn[n-]n3)ccc12 ZINC000805607853 701399565 /nfs/dbraw/zinc/39/95/65/701399565.db2.gz SGPVYQWFTXJWBS-UHFFFAOYSA-N -1 1 315.285 1.455 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3ccc(F)c(F)c3)ccnc1-2 ZINC000806481531 701436980 /nfs/dbraw/zinc/43/69/80/701436980.db2.gz FASJDWCTJLPLJN-UHFFFAOYSA-N -1 1 317.299 1.942 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000806487960 701437444 /nfs/dbraw/zinc/43/74/44/701437444.db2.gz HEMGPPBRHHZOSN-ZDUSSCGKSA-N -1 1 303.366 1.231 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@H](C2CC2)O1 ZINC000806489740 701437700 /nfs/dbraw/zinc/43/77/00/701437700.db2.gz BQPILNQOISRHMB-QMTHXVAHSA-N -1 1 315.377 1.373 20 0 DDADMM Cc1cc(C)cc(NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000806990692 701457777 /nfs/dbraw/zinc/45/77/77/701457777.db2.gz HEBWUWLZIYOPRN-UHFFFAOYSA-N -1 1 323.356 1.536 20 0 DDADMM CCO[C@H](C)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807705275 701484391 /nfs/dbraw/zinc/48/43/91/701484391.db2.gz ANMSQGYHIHXYKQ-MMWGEVLESA-N -1 1 324.343 1.715 20 0 DDADMM CCCCCCO[C@@H](C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000839607267 701753046 /nfs/dbraw/zinc/75/30/46/701753046.db2.gz VMFQXRIOCJOAFU-VIFPVBQESA-N -1 1 318.399 1.132 20 0 DDADMM CCCCCCO[C@@H](C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000839607267 701753049 /nfs/dbraw/zinc/75/30/49/701753049.db2.gz VMFQXRIOCJOAFU-VIFPVBQESA-N -1 1 318.399 1.132 20 0 DDADMM CCn1ncn([N-]C(=O)N[C@H](CC(C)(C)C)C(F)(F)F)c1=O ZINC000879193418 706611871 /nfs/dbraw/zinc/61/18/71/706611871.db2.gz TYWAETQZZWMKNT-MRVPVSSYSA-N -1 1 323.319 1.685 20 0 DDADMM CC(C)(C)N1CC[C@](F)(C(=O)[N-]S(=O)(=O)CC2CCC2)C1 ZINC000810641874 701808456 /nfs/dbraw/zinc/80/84/56/701808456.db2.gz JHOQMGWRUSVSMZ-CQSZACIVSA-N -1 1 320.430 1.445 20 0 DDADMM CC(C)CN(C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000867890245 701813358 /nfs/dbraw/zinc/81/33/58/701813358.db2.gz LTEGVDXZAFYBGG-LBPRGKRZSA-N -1 1 309.332 1.837 20 0 DDADMM COc1cc(C(=O)NO[C@H](CO)C(C)C)cc(Cl)c1[O-] ZINC000836900859 707546138 /nfs/dbraw/zinc/54/61/38/707546138.db2.gz GCXJGNVCIOIECN-LLVKDONJSA-N -1 1 303.742 1.733 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)[C@@H](C)O1 ZINC000839843396 701843116 /nfs/dbraw/zinc/84/31/16/701843116.db2.gz BIGLVNLGVXQOEP-FXPVBKGRSA-N -1 1 306.391 1.561 20 0 DDADMM CC[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@H](O)C(C)C ZINC000839866874 701852211 /nfs/dbraw/zinc/85/22/11/701852211.db2.gz GYWRBAXNZLXLEE-CMPLNLGQSA-N -1 1 308.407 1.543 20 0 DDADMM CCC1(O)CCC([N-]S(=O)(=O)c2c[nH]nc2Cl)CC1 ZINC000831019937 706621578 /nfs/dbraw/zinc/62/15/78/706621578.db2.gz LEODBZIKNUQHEU-UHFFFAOYSA-N -1 1 307.803 1.425 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1(C)C ZINC000831039069 706625251 /nfs/dbraw/zinc/62/52/51/706625251.db2.gz SWUITCTXEJOWES-BWVDBABLSA-N -1 1 307.803 1.401 20 0 DDADMM O=C(/C=C\C1CCCC1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831120749 706638382 /nfs/dbraw/zinc/63/83/82/706638382.db2.gz DIDHNEZASKZFLG-WAYWQWQTSA-N -1 1 304.312 1.870 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCCC23OCCO3)n1 ZINC000816537261 702109013 /nfs/dbraw/zinc/10/90/13/702109013.db2.gz YOTJPCUEKDYFTN-VIFPVBQESA-N -1 1 309.322 1.068 20 0 DDADMM Cc1ccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)nc1 ZINC000831140185 706642447 /nfs/dbraw/zinc/64/24/47/706642447.db2.gz VAALXDPJDUDXDJ-UHFFFAOYSA-N -1 1 301.268 1.141 20 0 DDADMM O=C([N-]Cc1n[nH]c(-c2ccncc2)n1)C(F)(F)C(F)F ZINC000840534305 702145060 /nfs/dbraw/zinc/14/50/60/702145060.db2.gz KCFFZNAXRPMWJP-UHFFFAOYSA-N -1 1 303.219 1.383 20 0 DDADMM CO[C@H](C(=O)OCc1cc(=O)oc2cc([O-])ccc12)C1CC1 ZINC000840537254 702146547 /nfs/dbraw/zinc/14/65/47/702146547.db2.gz JALSUGRDJAFAFR-HNNXBMFYSA-N -1 1 304.298 1.967 20 0 DDADMM Cc1nc([N-]C(=O)c2nc(Br)ccc2F)nn1C ZINC000816721745 702163526 /nfs/dbraw/zinc/16/35/26/702163526.db2.gz PSGBPGOMKDCURC-UHFFFAOYSA-N -1 1 314.118 1.672 20 0 DDADMM Cn1cnc([N-]C(=O)c2nc(Br)ccc2F)n1 ZINC000816745981 702176583 /nfs/dbraw/zinc/17/65/83/702176583.db2.gz CFNRJFGEAMAXHH-UHFFFAOYSA-N -1 1 300.091 1.364 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H](O)c1ccc(Cl)cc1 ZINC000813457637 702352757 /nfs/dbraw/zinc/35/27/57/702352757.db2.gz WJHMCFZWNKJIBS-NSHDSACASA-N -1 1 321.768 1.472 20 0 DDADMM O=C(NC[C@H]1C[C@@H]2COC[C@@H]2O1)c1ncc2ccccc2c1[O-] ZINC000831235076 706665526 /nfs/dbraw/zinc/66/55/26/706665526.db2.gz QQUILWUAPVUSDC-BZPMIXESSA-N -1 1 314.341 1.474 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)[N-]n1cnn(CC)c1=O)C(F)(F)F ZINC000879383485 706668492 /nfs/dbraw/zinc/66/84/92/706668492.db2.gz AAZQZCZPMRRYML-SFYZADRCSA-N -1 1 309.292 1.295 20 0 DDADMM CC[C@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000817643035 702433036 /nfs/dbraw/zinc/43/30/36/702433036.db2.gz HDIHFXHWPMNCHC-QWRGUYRKSA-N -1 1 306.391 1.705 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-][C@H]2C(=O)OCC2(C)C)c(F)c1 ZINC000841533084 702482646 /nfs/dbraw/zinc/48/26/46/702482646.db2.gz CGNVQDBRPQBFQT-LBPRGKRZSA-N -1 1 315.366 1.505 20 0 DDADMM C[C@H]1CCCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)[C@H]1C ZINC000869214224 702513359 /nfs/dbraw/zinc/51/33/59/702513359.db2.gz RPWKRVPYLYTLQB-QWRGUYRKSA-N -1 1 320.393 1.585 20 0 DDADMM CO[C@@H](CNC(=O)N=c1ccnc2n(C)[n-]cc1-2)CC(C)C ZINC000841705780 702544116 /nfs/dbraw/zinc/54/41/16/702544116.db2.gz QKOXRXVLJGKQHL-LLVKDONJSA-N -1 1 305.382 1.524 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2=CCCCC2)CCC1 ZINC000843014441 702803566 /nfs/dbraw/zinc/80/35/66/702803566.db2.gz FYOOPUYNRPXBRY-UHFFFAOYSA-N -1 1 300.424 1.417 20 0 DDADMM CC[C@@H](CC(C)C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843015236 702803900 /nfs/dbraw/zinc/80/39/00/702803900.db2.gz SMWBSIJBEVCDOV-ZDUSSCGKSA-N -1 1 318.483 1.989 20 0 DDADMM C[C@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)c1ccccc1 ZINC000843015556 702803968 /nfs/dbraw/zinc/80/39/68/702803968.db2.gz MFPNUSIIXYEGCZ-ZDUSSCGKSA-N -1 1 324.446 1.720 20 0 DDADMM Cc1ccccc1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843019462 702804567 /nfs/dbraw/zinc/80/45/67/702804567.db2.gz SJNITEOITLNLON-UHFFFAOYSA-N -1 1 310.419 1.539 20 0 DDADMM COCCN1[C@H](C)CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@H]1C ZINC000844023702 702957933 /nfs/dbraw/zinc/95/79/33/702957933.db2.gz UOPMYMFHYNHVMK-BETUJISGSA-N -1 1 320.389 1.143 20 0 DDADMM CC(C)(CNC(=O)c1c([O-])cccc1Cl)[C@@]1(O)CCOC1 ZINC000844040622 702961214 /nfs/dbraw/zinc/96/12/14/702961214.db2.gz NANCDIXKWMOSAX-OAHLLOKOSA-N -1 1 313.781 1.953 20 0 DDADMM CCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccccc2OCC)C1 ZINC000844079717 702968625 /nfs/dbraw/zinc/96/86/25/702968625.db2.gz INOQMDGBCDQMDB-GFCCVEGCSA-N -1 1 306.362 1.896 20 0 DDADMM CCCn1cc([N-]S(=O)(=O)CC2(OC)CCOCC2)cn1 ZINC000844655737 703051728 /nfs/dbraw/zinc/05/17/28/703051728.db2.gz CZSFODAIMXDUST-UHFFFAOYSA-N -1 1 317.411 1.230 20 0 DDADMM CCCS(=O)(=O)CCN(C)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000846273422 703259539 /nfs/dbraw/zinc/25/95/39/703259539.db2.gz YXBWDROWMQRXMR-AWEZNQCLSA-N -1 1 313.419 1.439 20 0 DDADMM CCN(C(=O)c1ccc2n[n-]c(=S)n2c1)[C@H]1CCCOC1 ZINC000846444933 703277243 /nfs/dbraw/zinc/27/72/43/703277243.db2.gz HUTAFSORMUQISJ-NSHDSACASA-N -1 1 306.391 1.659 20 0 DDADMM O=C(OCc1ccccc1)c1ccc(C(=O)O[C@H]2CNOC2)[n-]1 ZINC000848057384 703501180 /nfs/dbraw/zinc/50/11/80/703501180.db2.gz NUJFKZNJKUEPET-LBPRGKRZSA-N -1 1 316.313 1.432 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C=C(C)c2ccccc2)n[n-]1 ZINC000879654560 706750952 /nfs/dbraw/zinc/75/09/52/706750952.db2.gz MLQVUMWIMQWMHN-PKNBQFBNSA-N -1 1 314.345 1.701 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C=C(C)c2ccccc2)n1 ZINC000879654560 706750955 /nfs/dbraw/zinc/75/09/55/706750955.db2.gz MLQVUMWIMQWMHN-PKNBQFBNSA-N -1 1 314.345 1.701 20 0 DDADMM CC(C)(C)n1nnc(CNC(=O)c2c([O-])cccc2Cl)n1 ZINC000848294650 703536965 /nfs/dbraw/zinc/53/69/65/703536965.db2.gz CTWYSKYPGCEANT-UHFFFAOYSA-N -1 1 309.757 1.717 20 0 DDADMM COc1cnc(F)c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000848378288 703544806 /nfs/dbraw/zinc/54/48/06/703544806.db2.gz OFFZSLXUWKEEIC-UHFFFAOYSA-N -1 1 322.296 1.338 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@H]1CCCCO1 ZINC000848510145 703559272 /nfs/dbraw/zinc/55/92/72/703559272.db2.gz RAKYAMBYUCKENK-VHSXEESVSA-N -1 1 321.830 1.700 20 0 DDADMM CCN1C[C@@H](COC(=O)c2c([O-])cc(F)cc2F)OC1=O ZINC000848709226 703578719 /nfs/dbraw/zinc/57/87/19/703578719.db2.gz LSRISQSLQVHCMZ-QMMMGPOBSA-N -1 1 301.245 1.668 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OC[C@@H](O)C(C)C ZINC000849718536 703671483 /nfs/dbraw/zinc/67/14/83/703671483.db2.gz UPQCRMDYBZRFDZ-CYBMUJFWSA-N -1 1 315.391 1.622 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@@H](C)C(C)=O)c1 ZINC000849812197 703680604 /nfs/dbraw/zinc/68/06/04/703680604.db2.gz UKUJNUFBSZEYIG-FIKIJFGZSA-N -1 1 312.387 1.961 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)N2CC[C@H](C(=O)[O-])C2)cc1OC ZINC000818599150 703935132 /nfs/dbraw/zinc/93/51/32/703935132.db2.gz RDASVIZUJHDUBO-QWRGUYRKSA-N -1 1 322.361 1.437 20 0 DDADMM CCCOC(=O)[C@@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764767 706785209 /nfs/dbraw/zinc/78/52/09/706785209.db2.gz IJTFUFKFMOEQIL-BXKDBHETSA-N -1 1 310.316 1.471 20 0 DDADMM CC(C)COC(=O)CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852757654 704117123 /nfs/dbraw/zinc/11/71/23/704117123.db2.gz ZURHIVHEGSARAD-MNOVXSKESA-N -1 1 324.343 1.575 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCCc2n[nH]cc2C1 ZINC000852977698 704181216 /nfs/dbraw/zinc/18/12/16/704181216.db2.gz ZYEQFAQZWJOCFH-UHFFFAOYSA-N -1 1 314.374 1.330 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2nc(C)no2)c1 ZINC000820053572 704211439 /nfs/dbraw/zinc/21/14/39/704211439.db2.gz YJTVJGZPPVGRAP-CLTRCRFRSA-N -1 1 324.358 1.799 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]Cc1ccc(F)cc1 ZINC000820754082 704327310 /nfs/dbraw/zinc/32/73/10/704327310.db2.gz VQMUGICGQMMMMI-UHFFFAOYSA-N -1 1 306.384 1.422 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)c1ccccn1 ZINC000820768518 704328800 /nfs/dbraw/zinc/32/88/00/704328800.db2.gz SCTUZXZKPMZXHT-SNVBAGLBSA-N -1 1 303.409 1.239 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)N=S(C)(C)=O)N(C)c1ccccc1 ZINC000820787593 704330914 /nfs/dbraw/zinc/33/09/14/704330914.db2.gz BZUFWINXZHOHJS-NSHDSACASA-N -1 1 319.452 1.073 20 0 DDADMM O=C(c1cccn2nccc12)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000879854595 706802433 /nfs/dbraw/zinc/80/24/33/706802433.db2.gz HKKNPXXZYYLQKO-JTQLQIEISA-N -1 1 313.317 1.443 20 0 DDADMM O=C(Cc1csc(C2CC2)n1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000821195148 704380016 /nfs/dbraw/zinc/38/00/16/704380016.db2.gz MRIUBUGNOBIWIG-SNVBAGLBSA-N -1 1 318.406 1.482 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342155 704382170 /nfs/dbraw/zinc/38/21/70/704382170.db2.gz JHZJOXHBTULUCM-ZHACJKMWSA-N -1 1 314.345 1.980 20 0 DDADMM O=C(/C=C/C1CCOCC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342386 704382515 /nfs/dbraw/zinc/38/25/15/704382515.db2.gz RHUQHVGPYWCSIW-OWOJBTEDSA-N -1 1 307.350 1.464 20 0 DDADMM COC(=O)[C@@H]1CO[C@H](CCC(=O)Nc2ccc(F)cc2[O-])C1 ZINC000821344114 704394319 /nfs/dbraw/zinc/39/43/19/704394319.db2.gz IFMWABWZJAWSGH-GXSJLCMTSA-N -1 1 311.309 1.828 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCC2OCCO2)c1 ZINC000821353048 704395399 /nfs/dbraw/zinc/39/53/99/704395399.db2.gz PRMGOQXJSPOVCA-OAQYLSRUSA-N -1 1 314.359 1.109 20 0 DDADMM O=C(/C=C/[C@@H]1CCCO1)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000821424647 704407777 /nfs/dbraw/zinc/40/77/77/704407777.db2.gz CBQWOGATKRJFLO-XEHSLEBBSA-N -1 1 303.314 1.221 20 0 DDADMM Nc1n[nH]c(C2CCN(C(=O)c3ccc([O-])c(F)c3)CC2)n1 ZINC000854505457 704409611 /nfs/dbraw/zinc/40/96/11/704409611.db2.gz WPDZQYSIALHNNG-UHFFFAOYSA-N -1 1 305.313 1.251 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1sc(N(C)C)nc1Cl ZINC000854634899 704430568 /nfs/dbraw/zinc/43/05/68/704430568.db2.gz ILHNXXHHNSHWKX-UHFFFAOYSA-N -1 1 301.759 1.852 20 0 DDADMM CC(=O)[C@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000854723971 704444386 /nfs/dbraw/zinc/44/43/86/704444386.db2.gz KLARAOWAOYZJGX-LLVKDONJSA-N -1 1 304.375 1.602 20 0 DDADMM C[C@H](c1nc2ccc(Cl)cc2[nH]1)N(C)C(=O)c1cc(=O)[nH][n-]1 ZINC000854818667 704456323 /nfs/dbraw/zinc/45/63/23/704456323.db2.gz OMDRLVHTVKJREQ-SSDOTTSWSA-N -1 1 319.752 1.612 20 0 DDADMM O=C(NCCN1CCC(O)CC1)c1ccc2oc(=S)[n-]c2c1 ZINC000854850789 704458767 /nfs/dbraw/zinc/45/87/67/704458767.db2.gz KKCKEMOYGUPWLD-UHFFFAOYSA-N -1 1 321.402 1.303 20 0 DDADMM O=C(NCC[S@](=O)CC(F)(F)F)c1ccc([O-])c(F)c1 ZINC000855269585 704476405 /nfs/dbraw/zinc/47/64/05/704476405.db2.gz AGGSZURJFYHSQP-FQEVSTJZSA-N -1 1 313.272 1.572 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@@H]1CCO ZINC000855540100 704491129 /nfs/dbraw/zinc/49/11/29/704491129.db2.gz BEESWHOGBWGSRL-ZYHUDNBSSA-N -1 1 320.418 1.641 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC=CO1)c1c[nH]nc1C(F)(F)F ZINC000867151919 706910387 /nfs/dbraw/zinc/91/03/87/706910387.db2.gz QNIFKEDIVPTCFO-ZETCQYMHSA-N -1 1 311.285 1.400 20 0 DDADMM CCC1(CC)CN(c2cc(Cl)[n-]c(=O)n2)CCS1(=O)=O ZINC000858491853 704717992 /nfs/dbraw/zinc/71/79/92/704717992.db2.gz PLMPONAZJXTASZ-UHFFFAOYSA-N -1 1 319.814 1.629 20 0 DDADMM CC(C)(C[C@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1)C(N)=O ZINC000858558728 704727388 /nfs/dbraw/zinc/72/73/88/704727388.db2.gz XTFHYMLGMCMSML-SECBINFHSA-N -1 1 312.801 1.954 20 0 DDADMM CC(C)(CNc1cc(Cl)[n-]c(=O)n1)[C@]1(O)CCCOC1 ZINC000858633529 704737798 /nfs/dbraw/zinc/73/77/98/704737798.db2.gz VKNKCMOSBYUNLK-ZDUSSCGKSA-N -1 1 301.774 1.815 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)[C@H]1COC(C)(C)C1)C(C)(C)C ZINC000859057011 704791913 /nfs/dbraw/zinc/79/19/13/704791913.db2.gz CHOCDKXQQUHOSE-GHMZBOCLSA-N -1 1 321.439 1.451 20 0 DDADMM CC(C)C[C@H](C(=O)[O-])[N@@H+]1C[C@@H](c2cnn(C)c2)C[C@H](C(=O)[O-])C1 ZINC000873645830 704814882 /nfs/dbraw/zinc/81/48/82/704814882.db2.gz RJXRBMPQMPTXDN-SGMGOOAPSA-N -1 1 323.393 1.410 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1ccc2cccnc2c1)c1nn[n-]n1 ZINC000821893933 704836431 /nfs/dbraw/zinc/83/64/31/704836431.db2.gz KAWFAVBPDCCDLF-LLVKDONJSA-N -1 1 310.361 1.553 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[C@@H](C)n2ccnc21 ZINC000867357544 706973539 /nfs/dbraw/zinc/97/35/39/706973539.db2.gz MTLDIJVABBTACT-NXEZZACHSA-N -1 1 320.440 1.231 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)cnc2Cl)CO1 ZINC000867453973 707006240 /nfs/dbraw/zinc/00/62/40/707006240.db2.gz SPTITOGWUVCSAS-POYBYMJQSA-N -1 1 311.190 1.844 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCc2cc(OC)ccc21)c1nn[n-]n1 ZINC000859945288 705049358 /nfs/dbraw/zinc/04/93/58/705049358.db2.gz ONCXFRPPQZBSTD-KBPBESRZSA-N -1 1 315.377 1.896 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCC3SCCS3)ccnc1-2 ZINC000823057826 705126535 /nfs/dbraw/zinc/12/65/35/705126535.db2.gz HODJCZJKUIVFKL-UHFFFAOYSA-N -1 1 323.447 1.660 20 0 DDADMM C[C@@H]1CC(C)(C)C[C@](C)(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000874909272 705224250 /nfs/dbraw/zinc/22/42/50/705224250.db2.gz FACBCZWMVGYFNF-SJKOYZFVSA-N -1 1 321.421 1.648 20 0 DDADMM O=C(OCCCN1CCCC1=O)c1ccc(-c2nnn[n-]2)s1 ZINC000823401553 705232665 /nfs/dbraw/zinc/23/26/65/705232665.db2.gz WHLJWIJJADLQIG-UHFFFAOYSA-N -1 1 321.362 1.098 20 0 DDADMM O=C(OCCCN1CCCC1=O)c1ccc(-c2nn[n-]n2)s1 ZINC000823401553 705232668 /nfs/dbraw/zinc/23/26/68/705232668.db2.gz WHLJWIJJADLQIG-UHFFFAOYSA-N -1 1 321.362 1.098 20 0 DDADMM O=C([N-]N1CCCC1=O)c1ccc(Br)c(F)c1F ZINC000860664862 705249651 /nfs/dbraw/zinc/24/96/51/705249651.db2.gz FMNLKXDRZKILKT-UHFFFAOYSA-N -1 1 319.105 1.995 20 0 DDADMM CCOc1cccc(C=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000860785547 705282194 /nfs/dbraw/zinc/28/21/94/705282194.db2.gz BGDZEXCCEFCXGJ-JLVNDZCYSA-N -1 1 315.377 1.874 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCCCc2ccccc2)C1 ZINC000823637916 705285837 /nfs/dbraw/zinc/28/58/37/705285837.db2.gz OXYSFRBYXFVDII-MRXNPFEDSA-N -1 1 318.417 1.922 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@H]1CCOC1 ZINC000824346889 705432857 /nfs/dbraw/zinc/43/28/57/705432857.db2.gz YEYVKIWQSOTRFO-OPRDCNLKSA-N -1 1 308.300 1.081 20 0 DDADMM C[C@@H](CCO)C1(CNc2ccc(-c3nnn[n-]3)nn2)CCC1 ZINC000824407679 705447060 /nfs/dbraw/zinc/44/70/60/705447060.db2.gz YPYQOESABCMTME-JTQLQIEISA-N -1 1 303.370 1.257 20 0 DDADMM C[C@@H](CCO)C1(CNc2ccc(-c3nn[n-]n3)nn2)CCC1 ZINC000824407679 705447065 /nfs/dbraw/zinc/44/70/65/705447065.db2.gz YPYQOESABCMTME-JTQLQIEISA-N -1 1 303.370 1.257 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC[C@@H](n2ccnn2)CC1 ZINC000824526267 705472090 /nfs/dbraw/zinc/47/20/90/705472090.db2.gz XNAVUNCSMWAUHV-GFCCVEGCSA-N -1 1 304.325 1.990 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC(F)(F)C[C@@H]1CO ZINC000876395889 705707554 /nfs/dbraw/zinc/70/75/54/705707554.db2.gz RFPWHUOSAJNAQF-SECBINFHSA-N -1 1 320.723 1.957 20 0 DDADMM CNC(=O)CCCN(C)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876396130 705707917 /nfs/dbraw/zinc/70/79/17/705707917.db2.gz XNORGPXFHZNONV-UHFFFAOYSA-N -1 1 313.785 1.713 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000876397218 705708478 /nfs/dbraw/zinc/70/84/78/705708478.db2.gz YMJPMQONFDENEP-OAHLLOKOSA-N -1 1 323.780 1.467 20 0 DDADMM COC(=O)C1=CC[C@H](NC(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC000862663576 705768714 /nfs/dbraw/zinc/76/87/14/705768714.db2.gz GSBVICONSGWWFI-VIFPVBQESA-N -1 1 323.374 1.204 20 0 DDADMM CC(C)CC[C@](C)(O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000826128600 705775321 /nfs/dbraw/zinc/77/53/21/705775321.db2.gz PQBRSPRNXVZONT-HNNXBMFYSA-N -1 1 322.434 1.935 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@@H](C(C)C)C2)c1-c1nnn[n-]1 ZINC000826343576 705792829 /nfs/dbraw/zinc/79/28/29/705792829.db2.gz GQYKKMRDNHIQLZ-SNVBAGLBSA-N -1 1 304.354 1.210 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@@H](C(C)C)C2)c1-c1nn[n-]n1 ZINC000826343576 705792831 /nfs/dbraw/zinc/79/28/31/705792831.db2.gz GQYKKMRDNHIQLZ-SNVBAGLBSA-N -1 1 304.354 1.210 20 0 DDADMM Cc1onc(CC(=O)NC2(C)CCCCC2)c1-c1nnn[n-]1 ZINC000826346163 705793863 /nfs/dbraw/zinc/79/38/63/705793863.db2.gz FDASBIURBJENGM-UHFFFAOYSA-N -1 1 304.354 1.545 20 0 DDADMM Cc1onc(CC(=O)NC2(C)CCCCC2)c1-c1nn[n-]n1 ZINC000826346163 705793866 /nfs/dbraw/zinc/79/38/66/705793866.db2.gz FDASBIURBJENGM-UHFFFAOYSA-N -1 1 304.354 1.545 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@@H](C)[C@@H]2C)c1-c1nnn[n-]1 ZINC000826346049 705793991 /nfs/dbraw/zinc/79/39/91/705793991.db2.gz BILMFZPXMAUATA-WCABBAIRSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@@H](C)[C@@H]2C)c1-c1nn[n-]n1 ZINC000826346049 705793995 /nfs/dbraw/zinc/79/39/95/705793995.db2.gz BILMFZPXMAUATA-WCABBAIRSA-N -1 1 318.381 1.647 20 0 DDADMM CC(C)OC[C@H](NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000863418977 705923884 /nfs/dbraw/zinc/92/38/84/705923884.db2.gz MKTXPRIWVAHRHN-JTQLQIEISA-N -1 1 323.317 1.121 20 0 DDADMM O=C(C[C@@H]1COC(=O)C1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000863476962 705936760 /nfs/dbraw/zinc/93/67/60/705936760.db2.gz RKIDLJPRYBYSMY-JTQLQIEISA-N -1 1 301.302 1.470 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]CC(=O)OC1CCCCC1)C(C)C ZINC000827315954 705973918 /nfs/dbraw/zinc/97/39/18/705973918.db2.gz WYUVCAXBEWKKJV-CYBMUJFWSA-N -1 1 321.439 1.453 20 0 DDADMM CSCCCCCCC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000863862493 706030398 /nfs/dbraw/zinc/03/03/98/706030398.db2.gz VTPZNFCCTJIBPV-UHFFFAOYSA-N -1 1 320.440 1.460 20 0 DDADMM CSCCCCCCC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000863862493 706030400 /nfs/dbraw/zinc/03/04/00/706030400.db2.gz VTPZNFCCTJIBPV-UHFFFAOYSA-N -1 1 320.440 1.460 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(Cl)n[nH]c2CC)n1 ZINC000863913337 706036795 /nfs/dbraw/zinc/03/67/95/706036795.db2.gz SJQLYFAQHWZLPV-UHFFFAOYSA-N -1 1 311.729 1.778 20 0 DDADMM O=C([O-])C1=CC[C@@H](NC(=O)[C@@H]2CCCN2Cc2ccccc2)C1 ZINC000864166668 706087350 /nfs/dbraw/zinc/08/73/50/706087350.db2.gz ZSUBJQJAVRIXST-CVEARBPZSA-N -1 1 314.385 1.941 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@H](C(=O)[O-])C(C)(C)C ZINC000908968124 712905238 /nfs/dbraw/zinc/90/52/38/712905238.db2.gz AEHKHQZPTXVBOK-HNNXBMFYSA-N -1 1 306.406 1.776 20 0 DDADMM CC[C@@H](C(=O)Nc1ccc(C(=O)NCCC(=O)[O-])cc1)N(C)C ZINC000828585963 706203397 /nfs/dbraw/zinc/20/33/97/706203397.db2.gz BHLQCAKHTGQKDZ-ZDUSSCGKSA-N -1 1 321.377 1.170 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC(OC(F)F)C1 ZINC000829617689 706367937 /nfs/dbraw/zinc/36/79/37/706367937.db2.gz HNWTUSAUQDOSSQ-UHFFFAOYSA-N -1 1 300.290 1.082 20 0 DDADMM COC(=O)[C@H]1C[C@H](C)CCN1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878452939 706391642 /nfs/dbraw/zinc/39/16/42/706391642.db2.gz FMKDJXRMJAWCKA-ZWNOBZJWSA-N -1 1 321.377 1.028 20 0 DDADMM CCOC(=O)[C@H](C)N(Cc1nc2c(c(=O)[n-]1)COCC2)C1CC1 ZINC000878494392 706406897 /nfs/dbraw/zinc/40/68/97/706406897.db2.gz KAVPTJYLGOFYMF-JTQLQIEISA-N -1 1 321.377 1.171 20 0 DDADMM CCO[C@H](C)c1nccn1CC(=O)CC[N-]C(=O)C(F)(F)F ZINC000865362223 706413542 /nfs/dbraw/zinc/41/35/42/706413542.db2.gz PBFONVDAJDPRIU-SECBINFHSA-N -1 1 321.299 1.618 20 0 DDADMM C[C@H]1CCCC[C@@H]1OCC[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830002687 706434824 /nfs/dbraw/zinc/43/48/24/706434824.db2.gz ONOLMBRXYUUNRJ-UWVGGRQHSA-N -1 1 321.830 1.937 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CC(C)(C)CO2)c1 ZINC000872548210 707472614 /nfs/dbraw/zinc/47/26/14/707472614.db2.gz IHBRXKDTIUPQES-SNVBAGLBSA-N -1 1 317.363 1.160 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2CC3(CC3)C2)C1 ZINC000830790253 706580096 /nfs/dbraw/zinc/58/00/96/706580096.db2.gz QNBPWAFIFOFVFJ-LBPRGKRZSA-N -1 1 304.312 1.846 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCCOCC2)C1 ZINC000830802215 706582649 /nfs/dbraw/zinc/58/26/49/706582649.db2.gz RFVHJQOFHDLHRX-MFKMUULPSA-N -1 1 322.327 1.473 20 0 DDADMM COC(=O)CCC(C)(C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830809147 706583734 /nfs/dbraw/zinc/58/37/34/706583734.db2.gz WQEHFFYHUMTEMV-UHFFFAOYSA-N -1 1 309.775 1.073 20 0 DDADMM C[C@@](O)(CC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830816175 706585288 /nfs/dbraw/zinc/58/52/88/706585288.db2.gz LUUMFBGPRCHYKH-CHWSQXEVSA-N -1 1 322.327 1.207 20 0 DDADMM CC(C)SCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830832588 706589472 /nfs/dbraw/zinc/58/94/72/706589472.db2.gz GQVLJXOAFQZLQC-LLVKDONJSA-N -1 1 312.357 1.798 20 0 DDADMM CS[C@@H]1CC[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000866885781 706834662 /nfs/dbraw/zinc/83/46/62/706834662.db2.gz TXWVCHWYXUWNGH-JGVFFNPUSA-N -1 1 308.375 1.922 20 0 DDADMM CCS[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866915769 706843619 /nfs/dbraw/zinc/84/36/19/706843619.db2.gz LDLWBOGFFGMEKR-DTWKUNHWSA-N -1 1 300.471 1.223 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000832132927 706850526 /nfs/dbraw/zinc/85/05/26/706850526.db2.gz REAJKNCUFSFJPH-JTQLQIEISA-N -1 1 307.350 1.526 20 0 DDADMM O=S(=O)([N-]CC1(CCO)CCC1)c1ccc(F)nc1F ZINC000866981540 706863585 /nfs/dbraw/zinc/86/35/85/706863585.db2.gz IQULDQAITWSJHT-UHFFFAOYSA-N -1 1 306.334 1.191 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@@H]1CCCO1 ZINC000867013647 706872435 /nfs/dbraw/zinc/87/24/35/706872435.db2.gz XWAVWWWFPNABEN-BDAKNGLRSA-N -1 1 306.334 1.596 20 0 DDADMM C[C@]1(CS(=O)(=O)[N-]CC2(C)OCCO2)CC1(Cl)Cl ZINC000867039640 706879329 /nfs/dbraw/zinc/87/93/29/706879329.db2.gz FMXZLAZUVFJFEJ-MRVPVSSYSA-N -1 1 318.222 1.253 20 0 DDADMM CC1(C)CC[C@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)O1 ZINC000867132470 706906390 /nfs/dbraw/zinc/90/63/90/706906390.db2.gz CCSTWPPXTOHURA-MRVPVSSYSA-N -1 1 306.334 1.596 20 0 DDADMM CN(C(=O)[C@@H]1CCc2[nH]cnc2C1)[C@H](C(=O)[O-])c1ccccc1 ZINC000909003339 712913662 /nfs/dbraw/zinc/91/36/62/712913662.db2.gz YXGCSVZPTGUJOK-DOMZBBRYSA-N -1 1 313.357 1.799 20 0 DDADMM Cc1cccnc1CC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867350873 706971103 /nfs/dbraw/zinc/97/11/03/706971103.db2.gz UAZSJQAYOGQLFF-UHFFFAOYSA-N -1 1 317.436 1.029 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])[C@@H]1C ZINC000832814316 706990553 /nfs/dbraw/zinc/99/05/53/706990553.db2.gz CONRSCNYKMPOHO-ZYHUDNBSSA-N -1 1 314.341 1.964 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1occc1C(=O)OC)c1ccccn1 ZINC000867417605 706995288 /nfs/dbraw/zinc/99/52/88/706995288.db2.gz BKGMGUSQUKUVAW-LLVKDONJSA-N -1 1 324.358 1.891 20 0 DDADMM COCC1([N-]S(=O)(=O)N=S2(=O)CCCC2)CCCC1 ZINC000867498306 707019797 /nfs/dbraw/zinc/01/97/97/707019797.db2.gz WZGJBAGABVVHNS-UHFFFAOYSA-N -1 1 310.441 1.042 20 0 DDADMM O=C(NC[C@@H]1C[C@@H]2CCC[C@H]2O1)c1ccc2n[n-]c(=S)n2c1 ZINC000834379867 707041434 /nfs/dbraw/zinc/04/14/34/707041434.db2.gz ULUCYPCHSFQXGB-ZMLRMANQSA-N -1 1 318.402 1.705 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)c2ccccc2)C1 ZINC000867567931 707042218 /nfs/dbraw/zinc/04/22/18/707042218.db2.gz KSZDWFQNNFQARM-XCJKDKRRSA-N -1 1 318.420 1.155 20 0 DDADMM CO[C@H]1CN2C(=O)N(Cc3ccc([O-])c(Cl)c3)C(=O)[C@]2(C)C1 ZINC000871910713 707242277 /nfs/dbraw/zinc/24/22/77/707242277.db2.gz AJSPMNYRZMNHAA-BMIGLBTASA-N -1 1 324.764 1.987 20 0 DDADMM CO[C@@H]1CCN2C(=O)N(Cc3ccc([O-])c(Cl)c3)C(=O)[C@H]2C1 ZINC000871912907 707243121 /nfs/dbraw/zinc/24/31/21/707243121.db2.gz YXVFEAPELLDVAK-ZYHUDNBSSA-N -1 1 324.764 1.987 20 0 DDADMM CC[C@@H](OC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C(F)(F)F ZINC000835652559 707302344 /nfs/dbraw/zinc/30/23/44/707302344.db2.gz DNKKUXYSOYVRCF-SSDOTTSWSA-N -1 1 315.269 1.685 20 0 DDADMM COC(=O)[C@]12CCC[C@H]1CN(C(=O)c1ccc([O-])c(F)c1)C2 ZINC000881714080 707330294 /nfs/dbraw/zinc/33/02/94/707330294.db2.gz MZVMCZCSODZZBA-ZBEGNZNMSA-N -1 1 307.321 1.947 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CC1CC(F)(F)C1 ZINC000872489962 707441995 /nfs/dbraw/zinc/44/19/95/707441995.db2.gz UQHMOEYYMYSQRX-GOSISDBHSA-N -1 1 318.411 1.762 20 0 DDADMM CCOCC(C)(C)[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872491629 707443175 /nfs/dbraw/zinc/44/31/75/707443175.db2.gz XUSBNBVDZUKAGM-SFHVURJKSA-N -1 1 314.473 1.532 20 0 DDADMM C[C@@H]1SCC[C@@H]1[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872499105 707448450 /nfs/dbraw/zinc/44/84/50/707448450.db2.gz DPJWZOQWIONYCP-IRWWLHRVSA-N -1 1 314.498 1.611 20 0 DDADMM C[C@@H]1CCN(C(=O)NCc2ccc3cncn3c2)[C@@H](C(=O)[O-])C1 ZINC000909171601 712953269 /nfs/dbraw/zinc/95/32/69/712953269.db2.gz NRKZUQNXRVUEKU-BXUZGUMPSA-N -1 1 316.361 1.729 20 0 DDADMM CO[N-]C(=O)CNC(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000927220322 712958752 /nfs/dbraw/zinc/95/87/52/712958752.db2.gz QPXOILVAFYWMOK-LLVKDONJSA-N -1 1 323.397 1.100 20 0 DDADMM CN(C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H](CO)CC(C)(C)C ZINC000836576257 707483179 /nfs/dbraw/zinc/48/31/79/707483179.db2.gz VTMHEVTZIZCKTQ-LLVKDONJSA-N -1 1 319.409 1.603 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-]CC(=O)C(C)(C)C ZINC000882073275 707487237 /nfs/dbraw/zinc/48/72/37/707487237.db2.gz OWUKSQZCDMIFOV-SNVBAGLBSA-N -1 1 307.412 1.253 20 0 DDADMM CC1CCN(CC(=O)N(CCc2ccccc2)CC(=O)[O-])CC1 ZINC000836713681 707506393 /nfs/dbraw/zinc/50/63/93/707506393.db2.gz KDDWBLZYLZLRRE-UHFFFAOYSA-N -1 1 318.417 1.874 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000836907109 707549039 /nfs/dbraw/zinc/54/90/39/707549039.db2.gz QBZBDAKOVGPUBO-RISCZKNCSA-N -1 1 324.352 1.243 20 0 DDADMM Cc1nc(SCC(=O)N=S(C)(C)=O)[n-]c(=O)c1C1CC1 ZINC000882277240 707568772 /nfs/dbraw/zinc/56/87/72/707568772.db2.gz BRFSGGPMQKIGBR-UHFFFAOYSA-N -1 1 315.420 1.714 20 0 DDADMM C[C@@H](CS(C)(=O)=O)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872929489 707658079 /nfs/dbraw/zinc/65/80/79/707658079.db2.gz BESKVDOUJAAKJL-QMMMGPOBSA-N -1 1 320.798 1.278 20 0 DDADMM O=C(NC[C@@H](O)C(F)(F)C(F)(F)F)c1c([O-])cccc1F ZINC000882561548 707697802 /nfs/dbraw/zinc/69/78/02/707697802.db2.gz YEWIXBWGJRGXME-SSDOTTSWSA-N -1 1 317.185 1.820 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)N=S1(=O)CCCC1)CC(F)F ZINC000882578359 707705857 /nfs/dbraw/zinc/70/58/57/707705857.db2.gz RRZVNXMAGNMFSZ-UHFFFAOYSA-N -1 1 318.411 1.764 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2C[C@H](OC)C2)o1 ZINC000882589672 707709203 /nfs/dbraw/zinc/70/92/03/707709203.db2.gz IWBLSMLSSBKFDB-MGCOHNPYSA-N -1 1 317.363 1.160 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)CC[C@@H]2CCCCO2)[n-]1 ZINC000837740797 707734359 /nfs/dbraw/zinc/73/43/59/707734359.db2.gz QSLMELVALFTVJZ-LBPRGKRZSA-N -1 1 323.345 1.877 20 0 DDADMM O=S(=O)([N-]c1ncsc1C1CC1)N1CCCOCC1 ZINC000882773590 707787188 /nfs/dbraw/zinc/78/71/88/707787188.db2.gz UWSCJYXEMHNLES-UHFFFAOYSA-N -1 1 303.409 1.400 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)Nc1ccc(C(=O)[O-])c(F)c1 ZINC000909296026 712984803 /nfs/dbraw/zinc/98/48/03/712984803.db2.gz NFHRQYGJXPYFCA-UHFFFAOYSA-N -1 1 324.352 1.821 20 0 DDADMM CCCCC[C@@H](NC(=O)[C@@H](C)CN1CCOCC1)C(=O)[O-] ZINC000909342746 712995961 /nfs/dbraw/zinc/99/59/61/712995961.db2.gz OOSLBPGAEWRZMX-QWHCGFSZSA-N -1 1 300.399 1.104 20 0 DDADMM CC1(C)CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCS1 ZINC000909410487 713011151 /nfs/dbraw/zinc/01/11/51/713011151.db2.gz HUPYNKRNHIJIPA-LLVKDONJSA-N -1 1 300.424 1.137 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2cccc(OCCF)c2)C1 ZINC000909413239 713011759 /nfs/dbraw/zinc/01/17/59/713011759.db2.gz NUBYHMSDQJIKLC-GFCCVEGCSA-N -1 1 324.352 1.770 20 0 DDADMM CCS[C@@H]1CCC[C@H]1NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909415406 713012201 /nfs/dbraw/zinc/01/22/01/713012201.db2.gz ONXKRWUUMVCDIQ-YNEHKIRRSA-N -1 1 314.451 1.573 20 0 DDADMM O=C([N-]CCCOC(=O)c1cnc2cc[nH]c2c1)C(F)(F)F ZINC000884633561 708346045 /nfs/dbraw/zinc/34/60/45/708346045.db2.gz OXRQMHBQJMOKGD-UHFFFAOYSA-N -1 1 315.251 1.788 20 0 DDADMM O=S(=O)([N-]C[C@@]1(O)CCCc2ccccc21)c1ccns1 ZINC000885024946 708446207 /nfs/dbraw/zinc/44/62/07/708446207.db2.gz HHZBGKHUDKPIOC-AWEZNQCLSA-N -1 1 324.427 1.646 20 0 DDADMM C[C@@H](C[C@H](O)c1ccccc1)[N-]S(=O)(=O)c1ccns1 ZINC000885055144 708457727 /nfs/dbraw/zinc/45/77/27/708457727.db2.gz ROGNFZVKMIPIIN-JQWIXIFHSA-N -1 1 312.416 1.934 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1CCCCCC1 ZINC000912528229 713030734 /nfs/dbraw/zinc/03/07/34/713030734.db2.gz QQPTYKLWUYKUMO-UHFFFAOYSA-N -1 1 301.368 1.197 20 0 DDADMM C[C@H](C[C@H](O)c1cccs1)[N-]S(=O)(=O)c1ccns1 ZINC000885068720 708461159 /nfs/dbraw/zinc/46/11/59/708461159.db2.gz FFMBRWHHULVRJT-BDAKNGLRSA-N -1 1 318.445 1.995 20 0 DDADMM Cc1ccc([C@H](O)[C@H](C)[N-]S(=O)(=O)c2ccns2)cc1 ZINC000885186220 708488395 /nfs/dbraw/zinc/48/83/95/708488395.db2.gz VMOYIPCVEVWYRX-GXFFZTMASA-N -1 1 312.416 1.852 20 0 DDADMM C[C@@]1(Cc2cccs2)CCCN1C(=O)CCc1nn[n-]n1 ZINC000885250505 708502111 /nfs/dbraw/zinc/50/21/11/708502111.db2.gz JMPDCIQCZBVKGP-AWEZNQCLSA-N -1 1 305.407 1.818 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1ccns1)C1(CO)CC=CC1 ZINC000885269028 708506393 /nfs/dbraw/zinc/50/63/93/708506393.db2.gz ZSVZQFKHPNVSJU-LBPRGKRZSA-N -1 1 316.448 1.775 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000885382217 708531266 /nfs/dbraw/zinc/53/12/66/708531266.db2.gz GCKOKZPRFIQBBS-APPZFPTMSA-N -1 1 319.452 1.341 20 0 DDADMM O=C(CCS(=O)(=O)[N-]C(CF)CF)OCc1ccccc1 ZINC000885416663 708540009 /nfs/dbraw/zinc/54/00/09/708540009.db2.gz JPQSVEQGNIGTOD-UHFFFAOYSA-N -1 1 321.345 1.347 20 0 DDADMM CCOC(=O)C1(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1 ZINC000885426558 708542698 /nfs/dbraw/zinc/54/26/98/708542698.db2.gz DTZMVVLGXVIZNH-UHFFFAOYSA-N -1 1 323.317 1.839 20 0 DDADMM CCS(=O)(=O)N[C@H](C)C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885677831 708595742 /nfs/dbraw/zinc/59/57/42/708595742.db2.gz AQLDAWQYVHJYFE-ZCFIWIBFSA-N -1 1 324.761 1.451 20 0 DDADMM C[C@@H](C(=O)NCCc1c(F)cc([O-])cc1F)c1cnn(C)c1 ZINC000886266754 708732411 /nfs/dbraw/zinc/73/24/11/708732411.db2.gz XPLDCSIMMADACH-SECBINFHSA-N -1 1 309.316 1.866 20 0 DDADMM CO[C@@H](C(=O)NCCc1c(F)cc([O-])cc1F)[C@H]1CCOC1 ZINC000886270215 708733831 /nfs/dbraw/zinc/73/38/31/708733831.db2.gz WOMWFXXLPGCAAR-LKFCYVNXSA-N -1 1 315.316 1.381 20 0 DDADMM CCC[C@H](O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927781231 713054631 /nfs/dbraw/zinc/05/46/31/713054631.db2.gz MASNPKREFADVIM-VIFPVBQESA-N -1 1 302.321 1.673 20 0 DDADMM N[C@H](Cc1cc2ccccc2o1)C(=O)N1CCC[C@@H]1C(=O)[O-] ZINC000887395850 709035975 /nfs/dbraw/zinc/03/59/75/709035975.db2.gz ZBNAOTTUPFIGRZ-CHWSQXEVSA-N -1 1 302.330 1.378 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](N)Cc1cc2ccccc2o1)C(=O)[O-] ZINC000887398252 709036304 /nfs/dbraw/zinc/03/63/04/709036304.db2.gz TURDOUWRDGLONC-MFKMUULPSA-N -1 1 304.346 1.482 20 0 DDADMM O=C(NCC[C@H]1CCC2(CCOCC2)CO1)c1cncc([O-])c1 ZINC000899456744 709086357 /nfs/dbraw/zinc/08/63/57/709086357.db2.gz RPMHCFGCXACRKM-OAHLLOKOSA-N -1 1 320.389 1.883 20 0 DDADMM Cc1sc([C@@H](C)NS(=O)(=O)C(F)F)nc1C(=O)[O-] ZINC000899951118 709247634 /nfs/dbraw/zinc/24/76/34/709247634.db2.gz VGJUDAWZYPDENM-GSVOUGTGSA-N -1 1 300.308 1.353 20 0 DDADMM CN=[S@@](C)(=O)CCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000912789408 713093337 /nfs/dbraw/zinc/09/33/37/713093337.db2.gz HZOZWGWCQAXMFT-NRFANRHFSA-N -1 1 324.324 1.868 20 0 DDADMM COC1(OC)CCOC[C@H]1NC(=O)c1ccc(Cl)cc1[O-] ZINC000912853301 713107573 /nfs/dbraw/zinc/10/75/73/713107573.db2.gz IQAPKDFULMBBKA-GFCCVEGCSA-N -1 1 315.753 1.553 20 0 DDADMM CN(Cc1ccc(OCC(=O)[O-])cc1)C(=O)[C@@H]1CCCCN1C ZINC000909506606 709494064 /nfs/dbraw/zinc/49/40/64/709494064.db2.gz LTGVIJRSIOPADM-HNNXBMFYSA-N -1 1 320.389 1.593 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)Nc1cccc(CCC(=O)[O-])c1 ZINC000909511486 709496759 /nfs/dbraw/zinc/49/67/59/709496759.db2.gz VFMBJLGURUTMCD-CYBMUJFWSA-N -1 1 320.389 1.611 20 0 DDADMM CSCC[C@H](NC(=O)C(C)=Cc1ccncc1)c1nn[n-]n1 ZINC000912862810 713111092 /nfs/dbraw/zinc/11/10/92/713111092.db2.gz DEQSAYPANXOUNZ-PRDAAYKISA-N -1 1 318.406 1.609 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(C(=O)CN2CCC(C)CC2)C1 ZINC000909711335 709591434 /nfs/dbraw/zinc/59/14/34/709591434.db2.gz NDFAMGXWOSICIA-MRXNPFEDSA-N -1 1 312.410 1.058 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC000900457821 709603927 /nfs/dbraw/zinc/60/39/27/709603927.db2.gz NKCBWQDGQRZAQA-DYVFJYSZSA-N -1 1 320.389 1.798 20 0 DDADMM CCc1[nH]ncc1C(=O)N(C)c1ccc(OCC(=O)[O-])cc1 ZINC000909786147 709620048 /nfs/dbraw/zinc/62/00/48/709620048.db2.gz JXNHVKODBRKMGC-UHFFFAOYSA-N -1 1 303.318 1.712 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Cn2nccc2C)[n-]c1=O ZINC000889787109 709635465 /nfs/dbraw/zinc/63/54/65/709635465.db2.gz QGZYWBOJNZGMAX-LLVKDONJSA-N -1 1 317.349 1.059 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CC[C@@H](C)OC)[n-]c1=O ZINC000889789329 709636837 /nfs/dbraw/zinc/63/68/37/709636837.db2.gz CQUSFISJNDWJLO-GHMZBOCLSA-N -1 1 309.366 1.669 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2CCC=CO2)[n-]c1=O ZINC000889789620 709636874 /nfs/dbraw/zinc/63/68/74/709636874.db2.gz HXRMYDRRZPJGIC-WDEREUQCSA-N -1 1 305.334 1.547 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@@H](Cc1cccc(Cl)c1)C(=O)[O-] ZINC000909817395 709637505 /nfs/dbraw/zinc/63/75/05/709637505.db2.gz YUYNHRDRKDEYFL-KBPBESRZSA-N -1 1 324.808 1.936 20 0 DDADMM CC[C@H](SC)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889790166 709637615 /nfs/dbraw/zinc/63/76/15/709637615.db2.gz OGUULRYGMZSHLM-KOLCDFICSA-N -1 1 311.407 1.996 20 0 DDADMM CCN(CC(=O)OC)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900564598 709653280 /nfs/dbraw/zinc/65/32/80/709653280.db2.gz XMNUOIXKAUXCQN-UHFFFAOYSA-N -1 1 306.293 1.715 20 0 DDADMM CC(C)O[C@H]1CCCN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)C1 ZINC000909874570 709664453 /nfs/dbraw/zinc/66/44/53/709664453.db2.gz HCJJNKMSPGLJHP-KBPBESRZSA-N -1 1 312.410 1.199 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC[C@@H]1CCC2(CCCC2)O1 ZINC000909918121 709688452 /nfs/dbraw/zinc/68/84/52/709688452.db2.gz MRGYITDXAAGVBM-KBPBESRZSA-N -1 1 324.421 1.391 20 0 DDADMM O=C([O-])C[C@H](CNC(=O)c1[nH]nc2c1CCCCC2)C1CC1 ZINC000909964983 709711149 /nfs/dbraw/zinc/71/11/49/709711149.db2.gz BORNFTGLLICRKX-LLVKDONJSA-N -1 1 305.378 1.909 20 0 DDADMM O=C(CC(=O)Nc1cc([O-])c(F)cc1F)NCC(F)(F)F ZINC000909985565 709720349 /nfs/dbraw/zinc/72/03/49/709720349.db2.gz LWTKCTFQJBVEMX-UHFFFAOYSA-N -1 1 312.194 1.678 20 0 DDADMM COCC(=O)Nc1ccc(NC(=O)c2ccc(O)cc2[O-])cc1 ZINC000912924231 713126677 /nfs/dbraw/zinc/12/66/77/713126677.db2.gz BRZUVELOYDTIOX-UHFFFAOYSA-N -1 1 316.313 1.935 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3CC=CC3)c(=O)c2c(OC)c1 ZINC000910023908 709741466 /nfs/dbraw/zinc/74/14/66/709741466.db2.gz VHSBZLGNSLZDJP-UHFFFAOYSA-N -1 1 300.314 1.557 20 0 DDADMM CO[C@@H]1CC[C@@H]1N(C)C(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000900791778 709760328 /nfs/dbraw/zinc/76/03/28/709760328.db2.gz DZFJBAMMQRGKRO-QWHCGFSZSA-N -1 1 301.346 1.893 20 0 DDADMM CO[C@@H]1CC[C@@H]1N(C)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000900791778 709760330 /nfs/dbraw/zinc/76/03/30/709760330.db2.gz DZFJBAMMQRGKRO-QWHCGFSZSA-N -1 1 301.346 1.893 20 0 DDADMM CCC[C@@]1(C(=O)[O-])CCCN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000910119158 709778441 /nfs/dbraw/zinc/77/84/41/709778441.db2.gz WVAOHWYHZOQLFB-HNNXBMFYSA-N -1 1 302.334 1.817 20 0 DDADMM COCCOC[C@H](C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900844331 709787945 /nfs/dbraw/zinc/78/79/45/709787945.db2.gz XVHAPLPVUROSDM-JTQLQIEISA-N -1 1 322.336 1.861 20 0 DDADMM Cc1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)nc1C ZINC000910179211 709799633 /nfs/dbraw/zinc/79/96/33/709799633.db2.gz ULIIUOAEMLIZBZ-UHFFFAOYSA-N -1 1 305.378 1.319 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@H](C1CCC1)[C@@H]1CCCO1 ZINC000910313423 709884457 /nfs/dbraw/zinc/88/44/57/709884457.db2.gz WYTWUQFGJWVTKO-OFQRWUPVSA-N -1 1 324.421 1.247 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@@H](C1CCC1)[C@H]1CCCO1 ZINC000910313422 709884749 /nfs/dbraw/zinc/88/47/49/709884749.db2.gz WYTWUQFGJWVTKO-LZWOXQAQSA-N -1 1 324.421 1.247 20 0 DDADMM C[C@]1(C(=O)[O-])CN(C(=O)c2cnc(-c3ccccc3)[nH]2)CCO1 ZINC000910329436 709895333 /nfs/dbraw/zinc/89/53/33/709895333.db2.gz VIIXGGRAFWNDTE-MRXNPFEDSA-N -1 1 315.329 1.392 20 0 DDADMM CN(C)Cc1cc(CNc2ncc(C(=O)[O-])cn2)ccc1F ZINC000910357297 709908505 /nfs/dbraw/zinc/90/85/05/709908505.db2.gz JAZKAGVHJIDIFV-UHFFFAOYSA-N -1 1 304.325 1.988 20 0 DDADMM O=C([O-])[C@@H]1[C@H](CNC(=O)Cc2[nH]nc3ccccc32)C1(F)F ZINC000910495203 709972917 /nfs/dbraw/zinc/97/29/17/709972917.db2.gz PTNRVFGAWRVPAW-UFBFGSQYSA-N -1 1 309.272 1.188 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)[C@H]1CCCc2[nH]ncc21)C1CCCC1 ZINC000910558132 709998847 /nfs/dbraw/zinc/99/88/47/709998847.db2.gz CHORLFLDGNYBMG-SMDDNHRTSA-N -1 1 305.378 1.979 20 0 DDADMM COC(=O)[C@@](C)(Cn1cccn1)NC(=O)c1ccc([O-])c(F)c1 ZINC000890945128 710036417 /nfs/dbraw/zinc/03/64/17/710036417.db2.gz IFJRNFAZDNCDRA-OAHLLOKOSA-N -1 1 321.308 1.090 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCCCOC(C)C ZINC000901656589 710110363 /nfs/dbraw/zinc/11/03/63/710110363.db2.gz LDSWJWRFBFFXEZ-UHFFFAOYSA-N -1 1 302.396 1.503 20 0 DDADMM CC(C)Oc1ccccc1CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901742115 710137050 /nfs/dbraw/zinc/13/70/50/710137050.db2.gz RUDRBYMJPDRUGK-RYUDHWBXSA-N -1 1 308.378 1.541 20 0 DDADMM CCOc1cc(F)cc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])c1 ZINC000901745526 710139433 /nfs/dbraw/zinc/13/94/33/710139433.db2.gz LDQWQEDTCCGMHA-UWVGGRQHSA-N -1 1 312.341 1.292 20 0 DDADMM CC(C)(C)OC(=O)COCC(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910986879 710142171 /nfs/dbraw/zinc/14/21/71/710142171.db2.gz PBSYAMDRIPGTHG-UHFFFAOYSA-N -1 1 317.288 1.967 20 0 DDADMM CCn1cncc1CN[C@@H](C(=O)[O-])c1ccc(OC)c(F)c1 ZINC000901859963 710160945 /nfs/dbraw/zinc/16/09/45/710160945.db2.gz IEYADIVYNUNXDA-CQSZACIVSA-N -1 1 307.325 1.966 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(Cc2cn3cccc(F)c3n2)C1 ZINC000901866027 710162689 /nfs/dbraw/zinc/16/26/89/710162689.db2.gz SLEBTLSTJMYKJO-INIZCTEOSA-N -1 1 321.352 1.787 20 0 DDADMM Cc1ccc2nc(CN3CCSC[C@@H](C(=O)[O-])C3)cn2c1 ZINC000901891862 710166553 /nfs/dbraw/zinc/16/65/53/710166553.db2.gz RBJPASPQGLSMDG-LBPRGKRZSA-N -1 1 305.403 1.892 20 0 DDADMM COCc1cncc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)c1 ZINC000891612720 710248432 /nfs/dbraw/zinc/24/84/32/710248432.db2.gz AJTCWUASAPBVSF-UHFFFAOYSA-N -1 1 314.345 1.855 20 0 DDADMM CN(C)c1cc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)ccn1 ZINC000891621889 710250978 /nfs/dbraw/zinc/25/09/78/710250978.db2.gz KALBSGNXWVWVOX-UHFFFAOYSA-N -1 1 313.361 1.774 20 0 DDADMM COCc1nc(N2CCCN(C(=O)C(C)C)CC2)cc(=O)[n-]1 ZINC000892303679 710413319 /nfs/dbraw/zinc/41/33/19/710413319.db2.gz NEKBCZAOFJLJIO-UHFFFAOYSA-N -1 1 308.382 1.023 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)[N-]S(=O)(=O)c3ccccc3)c2C1 ZINC000892399628 710430388 /nfs/dbraw/zinc/43/03/88/710430388.db2.gz GTEWFYZFUKDHTJ-JTQLQIEISA-N -1 1 319.386 1.653 20 0 DDADMM O=C([O-])C12CC(C(=O)NC[C@H](c3ccco3)N3CCCC3)(C1)C2 ZINC000911096343 710632318 /nfs/dbraw/zinc/63/23/18/710632318.db2.gz QCSYCGSCOLMIMQ-AXOLYNHLSA-N -1 1 318.373 1.788 20 0 DDADMM CN(C)[C@H](CNC(=O)c1cc(C(=O)[O-])on1)c1cccs1 ZINC000911100344 710634116 /nfs/dbraw/zinc/63/41/16/710634116.db2.gz MEZKTPUFPWJEEL-SECBINFHSA-N -1 1 309.347 1.467 20 0 DDADMM C[C@H](C(=O)Nc1ccc(N2CCOCC2)cc1C(=O)[O-])N(C)C ZINC000911149657 710655280 /nfs/dbraw/zinc/65/52/80/710655280.db2.gz ZQBXFUXTSUJNFZ-LLVKDONJSA-N -1 1 321.377 1.110 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)[C@@H]1CCCN1C1CCCC1)C(F)(F)F ZINC000911163039 710663549 /nfs/dbraw/zinc/66/35/49/710663549.db2.gz VTLMJMSWBMMXQN-WDEREUQCSA-N -1 1 322.327 1.915 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@H](CCO)CC1 ZINC000911209646 710686888 /nfs/dbraw/zinc/68/68/88/710686888.db2.gz LFMODAPDVMYSEA-NSHDSACASA-N -1 1 305.378 1.684 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)C23CCC(C(=O)[O-])(CC2)C3)C1 ZINC000911354342 710759865 /nfs/dbraw/zinc/75/98/65/710759865.db2.gz XPEQRDBXWOPZEB-NVPAJSRCSA-N -1 1 324.421 1.249 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)Cc1ccc(C(=O)[O-])cc1 ZINC000911409894 710786514 /nfs/dbraw/zinc/78/65/14/710786514.db2.gz RBSRPZWLXPKXAK-HNNXBMFYSA-N -1 1 304.390 1.726 20 0 DDADMM C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)CC(C)(C)CC(=O)[O-] ZINC000911579068 710864135 /nfs/dbraw/zinc/86/41/35/710864135.db2.gz NBNROQZUNRTJDY-GFCCVEGCSA-N -1 1 314.426 1.181 20 0 DDADMM COCc1nc(N[C@@H]2CCC[C@H]3CN(C(C)=O)C[C@@H]32)cc(=O)[n-]1 ZINC000893889185 710875804 /nfs/dbraw/zinc/87/58/04/710875804.db2.gz WRPWECZHVXZDMT-RWMBFGLXSA-N -1 1 320.393 1.388 20 0 DDADMM COCc1nc(N[C@@H]2CCC[C@@H]3CN(C(C)=O)C[C@@H]32)cc(=O)[n-]1 ZINC000893889183 710876115 /nfs/dbraw/zinc/87/61/15/710876115.db2.gz WRPWECZHVXZDMT-FRRDWIJNSA-N -1 1 320.393 1.388 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@H]1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913436803 713215402 /nfs/dbraw/zinc/21/54/02/713215402.db2.gz HJJLCEFDUWIGFW-OJAKKHQRSA-N -1 1 301.350 1.076 20 0 DDADMM O=C(CC(F)(F)C(F)F)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913438952 713216128 /nfs/dbraw/zinc/21/61/28/713216128.db2.gz VASMVKPYIDDUOG-SECBINFHSA-N -1 1 317.246 1.696 20 0 DDADMM CC(C)(C)[C@H](C(=O)[O-])C(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000902818021 710915295 /nfs/dbraw/zinc/91/52/95/710915295.db2.gz HZFJJTKKCWSWSO-WOPDTQHZSA-N -1 1 314.451 1.429 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@]23C[C@H]2COC3)c(=O)[n-]1 ZINC000894195051 711010895 /nfs/dbraw/zinc/01/08/95/711010895.db2.gz VJVCVGGJSDBKEG-XPTSAGLGSA-N -1 1 309.391 1.050 20 0 DDADMM COCc1nc(NCc2c(C3CCC3)cnn2C)cc(=O)[n-]1 ZINC000894582083 711192834 /nfs/dbraw/zinc/19/28/34/711192834.db2.gz NWOLCZJLWOHBFZ-UHFFFAOYSA-N -1 1 303.366 1.942 20 0 DDADMM O=C([O-])c1cnn2c1[nH]c(CN1CCC[C@@H](CF)C1)cc2=O ZINC000903607880 711222003 /nfs/dbraw/zinc/22/20/03/711222003.db2.gz NZKNFCQWNPYAJG-VIFPVBQESA-N -1 1 308.313 1.315 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc2ncn(C)c2c1)C1CC1 ZINC000903656057 711237085 /nfs/dbraw/zinc/23/70/85/711237085.db2.gz LWLYNAOSUCZJCR-CQSZACIVSA-N -1 1 309.391 1.740 20 0 DDADMM O=C(c1c[nH]c2cccc(F)c21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495798 713238337 /nfs/dbraw/zinc/23/83/37/713238337.db2.gz OYFYRDCITKTCAT-LLVKDONJSA-N -1 1 316.296 1.034 20 0 DDADMM CSc1nc(CNC(=O)[C@@H](O)C2CCCCC2)cc(=O)[n-]1 ZINC000912246450 711243416 /nfs/dbraw/zinc/24/34/16/711243416.db2.gz DSUWNDICFNMOBL-LBPRGKRZSA-N -1 1 311.407 1.462 20 0 DDADMM CCc1ccc(NC(=O)[C@@H](C)N(CCOC)CCC(=O)[O-])cc1 ZINC000903712233 711250835 /nfs/dbraw/zinc/25/08/35/711250835.db2.gz UHMRQIOIICVADY-CYBMUJFWSA-N -1 1 322.405 1.999 20 0 DDADMM CCCCCCO[C@H](C)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913498800 713239423 /nfs/dbraw/zinc/23/94/23/713239423.db2.gz JTLSTGQDPVEKFO-NEPJUHHUSA-N -1 1 311.386 1.085 20 0 DDADMM O=C([O-])c1ccc2c(c1)OCCN(C[C@@H]1C[C@@H]3COC[C@@H]3O1)C2 ZINC000903959074 711348720 /nfs/dbraw/zinc/34/87/20/711348720.db2.gz XNCNTSYZMSAENO-YCPHGPKFSA-N -1 1 319.357 1.383 20 0 DDADMM COCc1nc(N[C@H]2C[C@H](C(=O)OC(C)(C)C)C2)cc(=O)[n-]1 ZINC000895085165 711402576 /nfs/dbraw/zinc/40/25/76/711402576.db2.gz FQRHERIIOHNSDT-MGCOHNPYSA-N -1 1 309.366 1.861 20 0 DDADMM CC(C)c1ccc(-c2noc([C@]3(C(=O)[O-])CNCCO3)n2)cc1 ZINC000904519277 711866922 /nfs/dbraw/zinc/86/69/22/711866922.db2.gz UHNQFNUWRBABAU-INIZCTEOSA-N -1 1 317.345 1.760 20 0 DDADMM CC(C)(c1noc([C@@]2(C(=O)[O-])CNCCO2)n1)C1CCCCC1 ZINC000904694259 711885389 /nfs/dbraw/zinc/88/53/89/711885389.db2.gz FOAXLKHJQPDPBC-MRXNPFEDSA-N -1 1 323.393 1.827 20 0 DDADMM Cc1ccccc1OCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742095 713291718 /nfs/dbraw/zinc/29/17/18/713291718.db2.gz GRYYGQLOSYOMSK-UHFFFAOYSA-N -1 1 301.350 1.293 20 0 DDADMM O=C(c1cc2cc(F)ccc2[nH]1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742624 713292092 /nfs/dbraw/zinc/29/20/92/713292092.db2.gz MINLWTOXQZGLGO-UHFFFAOYSA-N -1 1 314.324 1.840 20 0 DDADMM COc1ccc(-c2n[n-]c(S(=O)(=O)C(C)C)n2)c(OC)c1 ZINC000905251734 711980451 /nfs/dbraw/zinc/98/04/51/711980451.db2.gz GOQSTEFVRCDVTK-UHFFFAOYSA-N -1 1 311.363 1.671 20 0 DDADMM COc1ccc(-c2nc(S(=O)(=O)C(C)C)n[n-]2)c(OC)c1 ZINC000905251734 711980453 /nfs/dbraw/zinc/98/04/53/711980453.db2.gz GOQSTEFVRCDVTK-UHFFFAOYSA-N -1 1 311.363 1.671 20 0 DDADMM CCC[C@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccn1 ZINC000913744888 713293336 /nfs/dbraw/zinc/29/33/36/713293336.db2.gz JALNWCUGTKHCBA-ZDUSSCGKSA-N -1 1 314.393 1.885 20 0 DDADMM CCc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(O)c1 ZINC000913744625 713293453 /nfs/dbraw/zinc/29/34/53/713293453.db2.gz WTPPWXWFBYTOMX-UHFFFAOYSA-N -1 1 301.350 1.488 20 0 DDADMM O=C(c1ncsc1C1CC1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746560 713294313 /nfs/dbraw/zinc/29/43/13/713294313.db2.gz ABPVRQSRTRXGDB-UHFFFAOYSA-N -1 1 304.379 1.553 20 0 DDADMM O=C(C1C[C@H]2CC[C@@H](C1)S2)N1CCC(c2nn[n-]n2)CC1 ZINC000913746994 713294413 /nfs/dbraw/zinc/29/44/13/713294413.db2.gz NSTLKZIRDZIHIM-YOGCLGLASA-N -1 1 307.423 1.580 20 0 DDADMM COc1ccc([C@H](NCCS(=O)(=O)C(C)C)C(=O)[O-])cc1 ZINC000905379441 712021642 /nfs/dbraw/zinc/02/16/42/712021642.db2.gz DODOPKUDEWYVRG-ZDUSSCGKSA-N -1 1 315.391 1.234 20 0 DDADMM CN(CCc1ccc2c(c1)OCO2)Cc1cc(C(=O)[O-])no1 ZINC000905776139 712144414 /nfs/dbraw/zinc/14/44/14/712144414.db2.gz PFVLUPWGRAPKLG-UHFFFAOYSA-N -1 1 304.302 1.776 20 0 DDADMM Cc1ccc(/C=C/S(=O)(=O)NCC(F)(F)C(=O)[O-])cc1 ZINC000906000081 712216677 /nfs/dbraw/zinc/21/66/77/712216677.db2.gz TVOHKCNSBGSVJJ-VOTSOKGWSA-N -1 1 305.302 1.605 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1ccc2nc(C)cn2c1 ZINC000906056828 712232445 /nfs/dbraw/zinc/23/24/45/712232445.db2.gz CWLFWRWHQWMWRN-IBGZPJMESA-N -1 1 316.408 1.417 20 0 DDADMM C[C@]1(C(=O)Nc2ccc([O-])c(Cl)c2)CCCS1(=O)=O ZINC000907092595 712485709 /nfs/dbraw/zinc/48/57/09/712485709.db2.gz IREFLOHDJXGNBP-GFCCVEGCSA-N -1 1 303.767 1.951 20 0 DDADMM CCO[C@@H](CC(=O)N1CCSC[C@H]1c1nn[n-]n1)C(C)C ZINC000907473374 712581330 /nfs/dbraw/zinc/58/13/30/712581330.db2.gz GQVPCKLPNVUSJD-QWRGUYRKSA-N -1 1 313.427 1.267 20 0 DDADMM C[C@H](CC(=O)N1CCSC[C@H]1c1nn[n-]n1)n1cccc1 ZINC000907474999 712581806 /nfs/dbraw/zinc/58/18/06/712581806.db2.gz LQQQNGWDXPGLGU-MNOVXSKESA-N -1 1 306.395 1.269 20 0 DDADMM COc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)ccc1F ZINC000907476375 712582255 /nfs/dbraw/zinc/58/22/55/712582255.db2.gz QARLNDVQMQGWJH-SNVBAGLBSA-N -1 1 323.353 1.278 20 0 DDADMM O=C(CCCc1ccsc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480119 712583586 /nfs/dbraw/zinc/58/35/86/712583586.db2.gz PXDKXQAMWBOCPG-NSHDSACASA-N -1 1 323.447 1.901 20 0 DDADMM C[C@H]1C[C@H](C(=O)N2CCSC[C@H]2c2nn[n-]n2)C[C@@H](C)C1 ZINC000907481119 712583746 /nfs/dbraw/zinc/58/37/46/712583746.db2.gz ZOBVUCBNQBPUEE-QCNOEVLYSA-N -1 1 309.439 1.889 20 0 DDADMM O=C([C@@H]1CC2CCC1CC2)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481019 712583758 /nfs/dbraw/zinc/58/37/58/712583758.db2.gz SEDAHMLOUSLSIH-HCWSGVFWSA-N -1 1 307.423 1.643 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCSC(C)(C)C1 ZINC000907750893 712621802 /nfs/dbraw/zinc/62/18/02/712621802.db2.gz AWKGBTAVNGQIPE-MRVPVSSYSA-N -1 1 305.425 1.518 20 0 DDADMM CC(=CC(=O)N1CCC(O)(c2nn[n-]n2)CC1)c1cccs1 ZINC000907941960 712652581 /nfs/dbraw/zinc/65/25/81/712652581.db2.gz UHFKONDQIPKCBN-MDZDMXLPSA-N -1 1 319.390 1.175 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)c1cccs1 ZINC000908641253 712827486 /nfs/dbraw/zinc/82/74/86/712827486.db2.gz AOKKKODRKVKANN-OPRDCNLKSA-N -1 1 305.359 1.907 20 0 DDADMM C[C@H](CCc1ccccc1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908693812 712836451 /nfs/dbraw/zinc/83/64/51/712836451.db2.gz JNGIQRVXSODCFL-ZBFHGGJFSA-N -1 1 318.417 1.921 20 0 DDADMM COCCN(Cc1ccco1)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908734580 712843793 /nfs/dbraw/zinc/84/37/93/712843793.db2.gz ZOBLPIODVQEQOR-CYBMUJFWSA-N -1 1 324.377 1.051 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000908809186 712858574 /nfs/dbraw/zinc/85/85/74/712858574.db2.gz QEAUFQGGWXIJKQ-UHFFFAOYSA-N -1 1 319.405 1.549 20 0 DDADMM CC1(C)CC[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)OC1 ZINC000914302630 713373649 /nfs/dbraw/zinc/37/36/49/713373649.db2.gz JXMCIPHNZBNCRT-NSHDSACASA-N -1 1 305.378 1.995 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)c1[nH]nc2c1CCC2)c1cccs1 ZINC000917099527 713482327 /nfs/dbraw/zinc/48/23/27/713482327.db2.gz HQQYSHBSHXHBLS-SNVBAGLBSA-N -1 1 305.359 1.906 20 0 DDADMM C[C@H](CN1CCS(=O)(=O)[C@@H](C)C1)C(=O)c1ccc([O-])cc1 ZINC000929679390 713667316 /nfs/dbraw/zinc/66/73/16/713667316.db2.gz HGSYPBASGOYTGI-NEPJUHHUSA-N -1 1 311.403 1.330 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@H]2Cc3ccccc3[C@@H]12)c1c[nH]nc1Cl ZINC000920508491 713676156 /nfs/dbraw/zinc/67/61/56/713676156.db2.gz YFKXSLIABMBKLX-MVWJERBFSA-N -1 1 309.778 1.680 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)C(C)(C)OC)o1 ZINC000921421921 713765440 /nfs/dbraw/zinc/76/54/40/713765440.db2.gz NKWAMTJXVJUUIF-SECBINFHSA-N -1 1 319.379 1.548 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@]2(C)CCCCO2)o1 ZINC000921676630 713839346 /nfs/dbraw/zinc/83/93/46/713839346.db2.gz VIALESBAFQBUNU-ZDUSSCGKSA-N -1 1 317.363 1.304 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCS[C@H](C)C2)c1 ZINC000921712725 713851521 /nfs/dbraw/zinc/85/15/21/713851521.db2.gz QVDZDCXJPMEROU-PSASIEDQSA-N -1 1 319.404 1.629 20 0 DDADMM O=S(=O)([N-]CCOC1CCOCC1)c1cccc(F)c1F ZINC000921878158 713894570 /nfs/dbraw/zinc/89/45/70/713894570.db2.gz JGSUVMWBLWTQJM-UHFFFAOYSA-N -1 1 321.345 1.439 20 0 DDADMM CCC(=O)N[C@H]1CC[C@H](CNC(=O)c2ncccc2[O-])CC1 ZINC000963892170 717936303 /nfs/dbraw/zinc/93/63/03/717936303.db2.gz ALJYHOHRLVCCPR-HAQNSBGRSA-N -1 1 305.378 1.602 20 0 DDADMM CO[N-]C(=O)CNCc1ccc(Br)c(F)c1F ZINC000922828774 714161040 /nfs/dbraw/zinc/16/10/40/714161040.db2.gz ZGSNJFITGOQJHZ-UHFFFAOYSA-N -1 1 309.110 1.495 20 0 DDADMM C[C@@H]1COCCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932041299 714244495 /nfs/dbraw/zinc/24/44/95/714244495.db2.gz ZSUDPLVPSXKCLE-LLVKDONJSA-N -1 1 302.334 1.831 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CC[C@H](CO)C1 ZINC000932042941 714244713 /nfs/dbraw/zinc/24/47/13/714244713.db2.gz JMTJKJFHBLUAOT-NSHDSACASA-N -1 1 302.334 1.424 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CC[C@@H](CO)C1 ZINC000932042940 714244722 /nfs/dbraw/zinc/24/47/22/714244722.db2.gz JMTJKJFHBLUAOT-LLVKDONJSA-N -1 1 302.334 1.424 20 0 DDADMM CC1(C)[C@@H](O)C[C@@H]1NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932048527 714246202 /nfs/dbraw/zinc/24/62/02/714246202.db2.gz LROLENGQUWZPIU-STQMWFEESA-N -1 1 316.361 1.859 20 0 DDADMM CO[C@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCO1 ZINC000932059832 714249047 /nfs/dbraw/zinc/24/90/47/714249047.db2.gz XDHYZRADBZZIIE-CQSZACIVSA-N -1 1 318.333 1.415 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@@H]1CC12CC(O)C2 ZINC000932061624 714249669 /nfs/dbraw/zinc/24/96/69/714249669.db2.gz GUMOSRKZJZQZMQ-MFOWVQHXSA-N -1 1 314.345 1.613 20 0 DDADMM CCCN(C)C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933108272 714516214 /nfs/dbraw/zinc/51/62/14/714516214.db2.gz VXDILZLABLQISD-UHFFFAOYSA-N -1 1 300.305 1.198 20 0 DDADMM O=C(CCc1nn[n-]n1)N1C[C@@H]2CCC[C@]2(C(F)(F)F)C1 ZINC000924091198 714523935 /nfs/dbraw/zinc/52/39/35/714523935.db2.gz MGCGBCSMDVKXTR-KWQFWETISA-N -1 1 303.288 1.323 20 0 DDADMM CCOC(=O)[C@@H](C[C@@H]1CCCO1)NC(=O)c1cncc([O-])c1 ZINC000924873960 714685084 /nfs/dbraw/zinc/68/50/84/714685084.db2.gz PIQSMODAUIOLRP-QWHCGFSZSA-N -1 1 308.334 1.018 20 0 DDADMM COc1cnc([C@@H]2CCCN2C[C@@H]2CC3(CC3)C(=O)O2)[n-]c1=O ZINC000934272557 714783295 /nfs/dbraw/zinc/78/32/95/714783295.db2.gz LAUNHOBYPXNXNN-QWRGUYRKSA-N -1 1 319.361 1.423 20 0 DDADMM COc1cnc([C@@H]2CCCN2C[C@@H](O)C(F)(F)F)[n-]c1=O ZINC000934274934 714783597 /nfs/dbraw/zinc/78/35/97/714783597.db2.gz XKGLIBUHESCSOD-IONNQARKSA-N -1 1 307.272 1.251 20 0 DDADMM COCCN1CC(=O)N(CCc2c(F)cc([O-])cc2F)C1=O ZINC000925463059 714864622 /nfs/dbraw/zinc/86/46/22/714864622.db2.gz PKLLRDNZMLASEH-UHFFFAOYSA-N -1 1 314.288 1.124 20 0 DDADMM Cc1nn(C)cc1C1=NO[C@@H](C(=O)Nc2c([O-])cccc2F)C1 ZINC000935100409 714975692 /nfs/dbraw/zinc/97/56/92/714975692.db2.gz OGMPRVKXLFTAML-CYBMUJFWSA-N -1 1 318.308 1.705 20 0 DDADMM O=C(N1CCC[C@@H](c2n[n-]c(=O)o2)C1)[C@]12C[C@H]1COC21CCC1 ZINC000935792808 715128675 /nfs/dbraw/zinc/12/86/75/715128675.db2.gz BYNJTENLGYKXFD-GDLVEWKHSA-N -1 1 319.361 1.440 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@@H]2CC=CCC2)c1 ZINC000936051910 715146315 /nfs/dbraw/zinc/14/63/15/715146315.db2.gz BUTVTYGSYRORPT-LLVKDONJSA-N -1 1 324.402 1.985 20 0 DDADMM CN(C(=O)[C@H]1CC1(C)C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937210247 715292717 /nfs/dbraw/zinc/29/27/17/715292717.db2.gz AHMGRUZYENTZNG-NWDGAFQWSA-N -1 1 317.389 1.506 20 0 DDADMM CN(C(=O)c1ccoc1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211457 715293034 /nfs/dbraw/zinc/29/30/34/715293034.db2.gz JWVJWRPCVNSTNO-LBPRGKRZSA-N -1 1 315.329 1.367 20 0 DDADMM CN(C(=O)C1(C)CCC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216567 715293651 /nfs/dbraw/zinc/29/36/51/715293651.db2.gz GXXHORHZBUXFTJ-GFCCVEGCSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216421 715293736 /nfs/dbraw/zinc/29/37/36/715293736.db2.gz BUERDIDMFPXLSX-SRVKXCTJSA-N -1 1 303.362 1.116 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)[C@@H]2C[C@H]2C2CC2)C1)c1ncccc1[O-] ZINC000937866110 715620342 /nfs/dbraw/zinc/62/03/42/715620342.db2.gz CDDLUAKAIXBQGD-RWMBFGLXSA-N -1 1 315.373 1.164 20 0 DDADMM CC(C)(C)CC(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956848529 715635927 /nfs/dbraw/zinc/63/59/27/715635927.db2.gz BYROFRLIMCBIJD-QGZVFWFLSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C(F)F)CCN1C(=O)c1ncccc1[O-] ZINC000955649292 715892703 /nfs/dbraw/zinc/89/27/03/715892703.db2.gz IINJRIAAGGWYOP-IUCAKERBSA-N -1 1 313.304 1.162 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC(CN(CC)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000957679126 715999355 /nfs/dbraw/zinc/99/93/55/715999355.db2.gz DZDIBVFUXZQZOU-GFCCVEGCSA-N -1 1 323.441 1.617 20 0 DDADMM CC(C)=CC(=O)N1C[C@@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959355901 716980457 /nfs/dbraw/zinc/98/04/57/716980457.db2.gz VWXUNFVNLVNEOL-OLZOCXBDSA-N -1 1 317.389 1.578 20 0 DDADMM CC(C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964850042 717453366 /nfs/dbraw/zinc/45/33/66/717453366.db2.gz PDMGNZHNIKYCDK-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000965170566 717560812 /nfs/dbraw/zinc/56/08/12/717560812.db2.gz TWNLJBWNEVBAAW-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]2[C@@H]1CCN2C(=O)C1CC1 ZINC000962942910 717635164 /nfs/dbraw/zinc/63/51/64/717635164.db2.gz HWQUNYXFXPQQCL-STQMWFEESA-N -1 1 315.373 1.403 20 0 DDADMM C[C@H]1CN(C(=O)C2CCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966030374 717889729 /nfs/dbraw/zinc/88/97/29/717889729.db2.gz NFMHSZIIYFARFM-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)CC(=O)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943215574 718049942 /nfs/dbraw/zinc/04/99/42/718049942.db2.gz XAIXAIZFHIDGCV-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H]1CN(C(=O)c2cc[nH]c2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966498113 718570716 /nfs/dbraw/zinc/57/07/16/718570716.db2.gz CQDHMUBREZPGMC-CMPLNLGQSA-N -1 1 314.345 1.006 20 0 DDADMM O=C(NC[C@@H]1CCC[C@@H]1NC(=O)C1CC1)c1ncccc1[O-] ZINC000946076245 718666719 /nfs/dbraw/zinc/66/67/19/718666719.db2.gz FKQKKBYMWPTWQL-RYUDHWBXSA-N -1 1 303.362 1.212 20 0 DDADMM CCC(=O)NC1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000946784286 718907926 /nfs/dbraw/zinc/90/79/26/718907926.db2.gz ZJOGJXXHOYHAER-UHFFFAOYSA-N -1 1 318.377 1.190 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])C1CCCC1 ZINC000948623583 719596865 /nfs/dbraw/zinc/59/68/65/719596865.db2.gz KVGHGDJZIFZVPU-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM CSCC(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000948735354 719677557 /nfs/dbraw/zinc/67/75/57/719677557.db2.gz BOHHQWGIKDFOAW-UHFFFAOYSA-N -1 1 323.418 1.119 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1cccs1)c1ncccc1[O-] ZINC000949531322 720142992 /nfs/dbraw/zinc/14/29/92/720142992.db2.gz PPGBEHGVGHCZBB-SNVBAGLBSA-N -1 1 317.370 1.493 20 0 DDADMM CC(F)(F)CC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949537779 720147027 /nfs/dbraw/zinc/14/70/27/720147027.db2.gz BORRGCNVKAVZLI-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C[C@@H]2CC[C@H](C)O2)C1 ZINC000949952531 720428123 /nfs/dbraw/zinc/42/81/23/720428123.db2.gz QCEMKURZVGEREE-JSGCOSHPSA-N -1 1 319.405 1.501 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c(C)c1 ZINC000970619936 720851131 /nfs/dbraw/zinc/85/11/31/720851131.db2.gz BIGYNIQWQJTDCA-GFCCVEGCSA-N -1 1 315.377 1.131 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000951644017 721112391 /nfs/dbraw/zinc/11/23/91/721112391.db2.gz UXSMDOMSVUSFML-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2CC[C@@H](C1)N2C(=O)C1CC1 ZINC000952471110 721456872 /nfs/dbraw/zinc/45/68/72/721456872.db2.gz DYWFPSGQRXVWBF-OLZOCXBDSA-N -1 1 315.373 1.403 20 0 DDADMM Cc1n[nH]c(C(=O)NCCNCc2cccc(F)c2F)c1[O-] ZINC001125734763 735448476 /nfs/dbraw/zinc/44/84/76/735448476.db2.gz ZCIPCUWRDMNQNK-UHFFFAOYSA-N -1 1 310.304 1.222 20 0 DDADMM CCCC(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001020671892 732619668 /nfs/dbraw/zinc/61/96/68/732619668.db2.gz RQWMJUUANKDPQF-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001087145132 733536989 /nfs/dbraw/zinc/53/69/89/733536989.db2.gz KECSBNUBRSORKO-NQLCPNKMSA-N -1 1 307.398 1.193 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@H]1Cc1ccccc1 ZINC001121358986 782471371 /nfs/dbraw/zinc/47/13/71/782471371.db2.gz BWTBPNXMPQKHCS-LBPRGKRZSA-N -1 1 310.365 1.207 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@H]1Cc1ccccc1 ZINC001121358986 782471374 /nfs/dbraw/zinc/47/13/74/782471374.db2.gz BWTBPNXMPQKHCS-LBPRGKRZSA-N -1 1 310.365 1.207 20 0 DDADMM COc1ccnc(NC[C@H](C)N(C)C(=O)c2ncccc2[O-])n1 ZINC001104536234 736198906 /nfs/dbraw/zinc/19/89/06/736198906.db2.gz KFIVNNSBSLYFEP-JTQLQIEISA-N -1 1 317.349 1.158 20 0 DDADMM C[C@@H](CNc1cccc(F)n1)N(C)C(=O)c1ncccc1[O-] ZINC001104536925 736203901 /nfs/dbraw/zinc/20/39/01/736203901.db2.gz XSYHOCDOBWYYGO-JTQLQIEISA-N -1 1 304.325 1.894 20 0 DDADMM CC1(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCC1 ZINC001025044886 736249849 /nfs/dbraw/zinc/24/98/49/736249849.db2.gz LWDWSEQCSNDZCZ-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM C[C@H](C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000974056619 737353596 /nfs/dbraw/zinc/35/35/96/737353596.db2.gz JZCWPYIXWLQJTL-WCFLWFBJSA-N -1 1 317.389 1.600 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCCO1)c1ccc(Cl)nc1F ZINC000692889523 738962133 /nfs/dbraw/zinc/96/21/33/738962133.db2.gz ZLEJTANQWKVSLQ-MRVPVSSYSA-N -1 1 308.762 1.722 20 0 DDADMM COC(=O)N(C)CCN(C)C(=O)c1cc(Cl)ccc1[O-] ZINC000690817029 737453230 /nfs/dbraw/zinc/45/32/30/737453230.db2.gz YBQIWAKIKVUUNA-UHFFFAOYSA-N -1 1 300.742 1.816 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](Nc2ncncc2Cl)C1 ZINC001058879269 739007292 /nfs/dbraw/zinc/00/72/92/739007292.db2.gz WMFXOCNIWULXIM-VIFPVBQESA-N -1 1 319.752 1.557 20 0 DDADMM Cc1ccc2c(ccnc2N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)c1 ZINC001167857595 739096054 /nfs/dbraw/zinc/09/60/54/739096054.db2.gz FEMYZBFHKBIBPP-CABZTGNLSA-N -1 1 321.358 1.357 20 0 DDADMM O=C(NC[C@@H]1CC[N@@H+](CCC(F)(F)F)C1)c1ncccc1O ZINC001028500232 739592882 /nfs/dbraw/zinc/59/28/82/739592882.db2.gz NNELFWMKXGIHRX-JTQLQIEISA-N -1 1 317.311 1.791 20 0 DDADMM O=C(N[C@H]1C[C@H](Nc2cnc(F)cn2)C1)c1ncccc1[O-] ZINC001059200831 740369230 /nfs/dbraw/zinc/36/92/30/740369230.db2.gz DPESNGNNTWUHGA-KYZUINATSA-N -1 1 303.297 1.089 20 0 DDADMM C=CC(=O)Nc1ccc([O-])c(C(=O)Nc2cc(C)nn2C)c1 ZINC001141885529 740898831 /nfs/dbraw/zinc/89/88/31/740898831.db2.gz OOVVZTGGWJFVGI-UHFFFAOYSA-N -1 1 300.318 1.811 20 0 DDADMM CC(C)C(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514503 741088258 /nfs/dbraw/zinc/08/82/58/741088258.db2.gz FHPUXLIBEDOPPX-GFCCVEGCSA-N -1 1 305.378 1.412 20 0 DDADMM CCCCC(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514447 741088358 /nfs/dbraw/zinc/08/83/58/741088358.db2.gz CZQDJSQFMQNECA-CYBMUJFWSA-N -1 1 319.405 1.946 20 0 DDADMM CC(C)(F)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088246755 741187707 /nfs/dbraw/zinc/18/77/07/741187707.db2.gz AVZLQPIUOVIMEJ-JTQLQIEISA-N -1 1 313.377 1.119 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001029767502 741279534 /nfs/dbraw/zinc/27/95/34/741279534.db2.gz IUCUUCDDNPLFKP-NEPJUHHUSA-N -1 1 321.425 1.227 20 0 DDADMM O=C(N[C@H]1C[C@@H](Nc2cccc(F)n2)C1)c1ncccc1[O-] ZINC001059614402 741415846 /nfs/dbraw/zinc/41/58/46/741415846.db2.gz YOVDJVOYRFQDRC-AOOOYVTPSA-N -1 1 302.309 1.694 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cnc(N2CCCC2)nc1 ZINC001211925861 741856335 /nfs/dbraw/zinc/85/63/35/741856335.db2.gz JZQOSINLJVWMCQ-UHFFFAOYSA-N -1 1 305.367 1.187 20 0 DDADMM CN(C(=O)c1cccn2cc(C(F)(F)F)nc12)c1nn[n-]n1 ZINC001168360320 742325070 /nfs/dbraw/zinc/32/50/70/742325070.db2.gz ZUXSBWBTVYMRBD-UHFFFAOYSA-N -1 1 311.227 1.143 20 0 DDADMM C[C@@H](CCNC(=O)C1CC=CC1)NC(=O)c1ncccc1[O-] ZINC001076006660 742459985 /nfs/dbraw/zinc/45/99/85/742459985.db2.gz QSWXENHWZVHWHV-NSHDSACASA-N -1 1 303.362 1.378 20 0 DDADMM COCCCCC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244203 742623434 /nfs/dbraw/zinc/62/34/34/742623434.db2.gz MEWMZVXRLQYKNC-LBPRGKRZSA-N -1 1 323.393 1.229 20 0 DDADMM CSCCC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244295 742623897 /nfs/dbraw/zinc/62/38/97/742623897.db2.gz PICYXCMAHMEKRH-JTQLQIEISA-N -1 1 311.407 1.165 20 0 DDADMM Cc1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c(O)c1 ZINC001168695721 743187286 /nfs/dbraw/zinc/18/72/86/743187286.db2.gz SKBVWKGTOYLWKK-AWEZNQCLSA-N -1 1 309.329 1.733 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@H]3C[C@@H]3c3ccccc3F)c1-2 ZINC001182280067 743550979 /nfs/dbraw/zinc/55/09/79/743550979.db2.gz LBXUVZOEMCPTNM-MNOVXSKESA-N -1 1 311.320 1.916 20 0 DDADMM C[C@H](OCc1ccccc1)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001183492865 743847423 /nfs/dbraw/zinc/84/74/23/743847423.db2.gz UMFUKRTZJRBHHS-QMMMGPOBSA-N -1 1 304.306 1.014 20 0 DDADMM CN1C(=O)CC[C@H]1C(=O)Nc1cccc(C(F)(F)F)c1[O-] ZINC001184308104 744011096 /nfs/dbraw/zinc/01/10/96/744011096.db2.gz FJWULPUFVOFODS-VIFPVBQESA-N -1 1 302.252 1.970 20 0 DDADMM CN1C(=O)CC[C@H]1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001184287257 744016339 /nfs/dbraw/zinc/01/63/39/744016339.db2.gz QVFYMDNSOSDGKU-NSHDSACASA-N -1 1 300.318 1.137 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)NCCc1ccccc1 ZINC001184910386 744125386 /nfs/dbraw/zinc/12/53/86/744125386.db2.gz OSMJMSPJRNUSQZ-UHFFFAOYSA-N -1 1 303.278 1.650 20 0 DDADMM C[C@@H]1C[C@@H]1c1ncc(C(=O)NCCCC[P@](=O)([O-])O)cn1 ZINC001185148035 744175718 /nfs/dbraw/zinc/17/57/18/744175718.db2.gz MSFUQIALLLBCNV-KOLCDFICSA-N -1 1 313.294 1.288 20 0 DDADMM CC(C)(C)C(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001060380227 744270423 /nfs/dbraw/zinc/27/04/23/744270423.db2.gz HYSZHAQOEAFVMJ-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ccc([O-])c(F)c1)c1ccccn1 ZINC001186233140 744360742 /nfs/dbraw/zinc/36/07/42/744360742.db2.gz DIOYEXKTLBHWLZ-ZDUSSCGKSA-N -1 1 318.304 1.961 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1C[C@@H]2COC[C@H](C1)C2(F)F ZINC001186327083 744375220 /nfs/dbraw/zinc/37/52/20/744375220.db2.gz LHUGFWFOHKEGBU-DTORHVGOSA-N -1 1 301.264 1.885 20 0 DDADMM COC(=O)CC[C@H]1COCCN1C(=O)c1ccc([O-])cc1F ZINC001186327878 744375514 /nfs/dbraw/zinc/37/55/14/744375514.db2.gz VCQFWHWOMJHBTN-JTQLQIEISA-N -1 1 311.309 1.326 20 0 DDADMM O=C(NCCn1nnc2ccccc21)c1ccc([O-])cc1F ZINC001186337867 744388916 /nfs/dbraw/zinc/38/89/16/744388916.db2.gz XMTVLJQQCHCOQL-UHFFFAOYSA-N -1 1 300.293 1.706 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ccc([O-])cc1F)c1ccccn1 ZINC001186340184 744389140 /nfs/dbraw/zinc/38/91/40/744389140.db2.gz YFXPDFBZTOPWEV-AWEZNQCLSA-N -1 1 318.304 1.961 20 0 DDADMM CC(=O)Nc1cccc(C[N-]S(=O)(=O)c2cnoc2C)c1 ZINC001187388071 744562394 /nfs/dbraw/zinc/56/23/94/744562394.db2.gz GHPRENRSEYSAOH-UHFFFAOYSA-N -1 1 309.347 1.420 20 0 DDADMM COc1ccccc1[C@H](C)C(=O)N=c1ncnc2[nH][n-]c(C)c1-2 ZINC001187672380 744589957 /nfs/dbraw/zinc/58/99/57/744589957.db2.gz GFQPNPQINQWBSJ-VIFPVBQESA-N -1 1 311.345 1.786 20 0 DDADMM COc1cc(NC(=O)c2n[n-]nc2C(F)(F)F)c(C)cn1 ZINC001187758995 744606171 /nfs/dbraw/zinc/60/61/71/744606171.db2.gz OMLYNFFUDSGQCN-UHFFFAOYSA-N -1 1 301.228 1.788 20 0 DDADMM Cc1cc(C)n(CCNC(=O)c2n[n-]nc2C(F)(F)F)n1 ZINC001187757177 744606256 /nfs/dbraw/zinc/60/62/56/744606256.db2.gz YDFUQXIMFYOICU-UHFFFAOYSA-N -1 1 302.260 1.067 20 0 DDADMM COc1cc(CC[N-]S(=O)(=O)c2nccs2)ccc1O ZINC001187907653 744627866 /nfs/dbraw/zinc/62/78/66/744627866.db2.gz FIZLBLXQPKPDEM-UHFFFAOYSA-N -1 1 314.388 1.378 20 0 DDADMM O=C(NCc1cnn(CC(F)(F)F)c1)c1ccncc1[O-] ZINC001188644967 744735092 /nfs/dbraw/zinc/73/50/92/744735092.db2.gz ZWTHRHCFDBDWQF-UHFFFAOYSA-N -1 1 300.240 1.476 20 0 DDADMM CC(C)C(=O)N[C@H]1CC[C@H](NC(=O)c2ccncc2[O-])CC1 ZINC001188644413 744735428 /nfs/dbraw/zinc/73/54/28/744735428.db2.gz DMAQUVPFWAGDNX-HAQNSBGRSA-N -1 1 305.378 1.600 20 0 DDADMM COC[C@H](NC(=O)c1ccncc1[O-])[C@@H](O)c1ccccc1 ZINC001188638330 744744143 /nfs/dbraw/zinc/74/41/43/744744143.db2.gz LSGFUSWAWBGRLS-ZFWWWQNUSA-N -1 1 302.330 1.266 20 0 DDADMM CCCOC(=O)C[N-]S(=O)(=O)Cc1cccc(F)c1F ZINC001189491347 744904579 /nfs/dbraw/zinc/90/45/79/744904579.db2.gz DIVLFTSXSZAIEM-UHFFFAOYSA-N -1 1 307.318 1.337 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc(C(F)(F)F)n[nH]1 ZINC001189535478 744919250 /nfs/dbraw/zinc/91/92/50/744919250.db2.gz YAUFOBCESQINRJ-UHFFFAOYSA-N -1 1 313.199 1.053 20 0 DDADMM O=C1CCOc2c([N-]S(=O)(=O)CCCF)ccc(F)c21 ZINC001189920926 745036904 /nfs/dbraw/zinc/03/69/04/745036904.db2.gz AUWWQBIIDXUHCA-UHFFFAOYSA-N -1 1 305.302 1.892 20 0 DDADMM C[C@@H](CN1CCCC1)[N-]S(=O)(=O)c1cc(F)cc(F)c1F ZINC001190404672 745201507 /nfs/dbraw/zinc/20/15/07/745201507.db2.gz XJICGVQQXQNUIC-VIFPVBQESA-N -1 1 322.352 1.867 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)CCO1 ZINC001190638298 745282664 /nfs/dbraw/zinc/28/26/64/745282664.db2.gz XCOCYKNKGKFFIX-GHMZBOCLSA-N -1 1 314.345 1.542 20 0 DDADMM O=c1cc(NS(=O)(=O)c2ncc[nH]2)[n-]n1-c1ccccc1 ZINC001190690908 745298480 /nfs/dbraw/zinc/29/84/80/745298480.db2.gz QNWMNTWUTBNRBQ-UHFFFAOYSA-N -1 1 305.319 1.102 20 0 DDADMM COC(=O)[C@H](CC(C)C)NC(=O)NCC(=O)c1ccc([O-])cc1 ZINC001190843460 745349150 /nfs/dbraw/zinc/34/91/50/745349150.db2.gz XFSXJWBZIOIELJ-ZDUSSCGKSA-N -1 1 322.361 1.462 20 0 DDADMM O=S(=O)([N-]c1ccc2c(cnn2CCO)c1)c1ccncc1 ZINC001190887113 745367692 /nfs/dbraw/zinc/36/76/92/745367692.db2.gz KFNKUYDJGMZUFG-UHFFFAOYSA-N -1 1 318.358 1.224 20 0 DDADMM COc1cc(C(=O)N[C@@H]2C=CS(=O)(=O)C2)cc(Cl)c1[O-] ZINC001191148900 745436218 /nfs/dbraw/zinc/43/62/18/745436218.db2.gz UHYOHUCIIVQEEO-MRVPVSSYSA-N -1 1 317.750 1.095 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CCOC[C@H]2OC)cc(Cl)c1[O-] ZINC001191155611 745438040 /nfs/dbraw/zinc/43/80/40/745438040.db2.gz LQPOUVBJWGWVMW-ZYHUDNBSSA-N -1 1 315.753 1.588 20 0 DDADMM CSc1ncc(C(=O)Nc2nccnc2C2CC2)c(=O)[n-]1 ZINC001191420331 745506478 /nfs/dbraw/zinc/50/64/78/745506478.db2.gz MZDSMJQIKRAMLW-UHFFFAOYSA-N -1 1 303.347 1.824 20 0 DDADMM CSc1ncc(C(=O)N2CCC(c3c[nH]cn3)CC2)c(=O)[n-]1 ZINC001191431279 745510747 /nfs/dbraw/zinc/51/07/47/745510747.db2.gz UYJXONWWCYDAJJ-UHFFFAOYSA-N -1 1 319.390 1.647 20 0 DDADMM CSc1ncc(C(=O)NCc2ccc3c(c2)CCO3)c(=O)[n-]1 ZINC001191437265 745511991 /nfs/dbraw/zinc/51/19/91/745511991.db2.gz MRDCYTJVMYHOQH-UHFFFAOYSA-N -1 1 317.370 1.769 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192049371 745671827 /nfs/dbraw/zinc/67/18/27/745671827.db2.gz GAZDUPSKAGXMIH-RITPCOANSA-N -1 1 324.613 1.575 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CCOCC2)C(Cl)(Cl)Cl ZINC001192050256 745671742 /nfs/dbraw/zinc/67/17/42/745671742.db2.gz TVRSPOBMYPYGBY-ZCFIWIBFSA-N -1 1 308.614 1.803 20 0 DDADMM COC(=O)c1ccc(O)c(C(=O)Nc2cc(=O)[n-]c(Cl)n2)c1 ZINC001192377585 745770580 /nfs/dbraw/zinc/77/05/80/745770580.db2.gz PRMVLTYEGOUVSV-UHFFFAOYSA-N -1 1 323.692 1.580 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Cl)nc1C(F)(F)F ZINC001192482700 745808630 /nfs/dbraw/zinc/80/86/30/745808630.db2.gz FTJDVROPOWBHHT-UHFFFAOYSA-N -1 1 306.635 1.197 20 0 DDADMM O=C(NCC1(N2CCCC2)COC1)c1c(F)ccc([O-])c1F ZINC001192552829 745814128 /nfs/dbraw/zinc/81/41/28/745814128.db2.gz TYHCANJRTOKLGX-UHFFFAOYSA-N -1 1 312.316 1.265 20 0 DDADMM CC(C)(O)c1ccc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001192558829 745815962 /nfs/dbraw/zinc/81/59/62/745815962.db2.gz HYULWCLPGURRAM-UHFFFAOYSA-N -1 1 318.289 1.765 20 0 DDADMM Cc1nc2nc[nH]c2c(NC(=O)c2c(F)ccc(F)c2[O-])n1 ZINC001192693102 745864944 /nfs/dbraw/zinc/86/49/44/745864944.db2.gz DRIJGOYNKDHQAO-UHFFFAOYSA-N -1 1 305.244 1.897 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CCN(c2cccnn2)CC1 ZINC001192664631 745868228 /nfs/dbraw/zinc/86/82/28/745868228.db2.gz OGARQWVDGMLJHU-UHFFFAOYSA-N -1 1 320.299 1.423 20 0 DDADMM COc1cc([N-]S(=O)(=O)C[C@@H]2CCCO2)cnc1Cl ZINC001193207136 746013533 /nfs/dbraw/zinc/01/35/33/746013533.db2.gz GYLQQKAFUWYFSD-VIFPVBQESA-N -1 1 306.771 1.664 20 0 DDADMM C[C@H]1CCC[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000998623098 752062651 /nfs/dbraw/zinc/06/26/51/752062651.db2.gz MKNKOABZJVDZJY-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CS(=O)(=O)c1ccc([N-]S(=O)(=O)CC2CCC2)cc1F ZINC001193516190 746131400 /nfs/dbraw/zinc/13/14/00/746131400.db2.gz NEEIRCFXICQGIV-UHFFFAOYSA-N -1 1 321.395 1.771 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccccc2N)ccc1F ZINC001193928670 746223953 /nfs/dbraw/zinc/22/39/53/746223953.db2.gz JBMBWSAOJSIIAZ-UHFFFAOYSA-N -1 1 309.322 1.308 20 0 DDADMM COc1cc(F)c(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1F ZINC001193987144 746247235 /nfs/dbraw/zinc/24/72/35/746247235.db2.gz LWWWDAYBJQTENM-UHFFFAOYSA-N -1 1 321.243 1.598 20 0 DDADMM Cc1cc(O)cc(O)c1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001193945655 746248059 /nfs/dbraw/zinc/24/80/59/746248059.db2.gz HLGUFSJIMFUNJK-UHFFFAOYSA-N -1 1 301.262 1.030 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)Cc2noc3ccccc32)CC1 ZINC001194110446 746277124 /nfs/dbraw/zinc/27/71/24/746277124.db2.gz ONJXZPHVJXLZLO-UHFFFAOYSA-N -1 1 324.358 1.343 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2csc(C)c2C(=O)OC)[n-]n1 ZINC001194284503 746340441 /nfs/dbraw/zinc/34/04/41/746340441.db2.gz YWYYUWBIJFBBLU-UHFFFAOYSA-N -1 1 323.330 1.605 20 0 DDADMM Cc1cccc(C)c1S(=O)(=O)Nc1cc(=O)[n-]c(N(C)C)n1 ZINC001194609998 746410457 /nfs/dbraw/zinc/41/04/57/746410457.db2.gz UOBYOCPIAOCBBD-UHFFFAOYSA-N -1 1 322.390 1.666 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCOC1)c1ncccc1C(F)(F)F ZINC001194578163 746413509 /nfs/dbraw/zinc/41/35/09/746413509.db2.gz JKTRYMIPOPDBJP-MRVPVSSYSA-N -1 1 310.297 1.415 20 0 DDADMM Cc1cccc(C)c1S(=O)(=O)NCCCC[P@](=O)([O-])O ZINC001194654119 746426031 /nfs/dbraw/zinc/42/60/31/746426031.db2.gz CBJOYOILFDNICD-UHFFFAOYSA-N -1 1 321.335 1.540 20 0 DDADMM COc1ccc(OC)c([N-]S(=O)(=O)C2CCC(=O)CC2)c1 ZINC001194794038 746457115 /nfs/dbraw/zinc/45/71/15/746457115.db2.gz GDNDRDRSHWZOBD-UHFFFAOYSA-N -1 1 313.375 1.957 20 0 DDADMM COC(=O)[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1nc(C)ccc1[O-])C2 ZINC001195325169 746565809 /nfs/dbraw/zinc/56/58/09/746565809.db2.gz YTGAYRFOMIYIJB-TUAOUCFPSA-N -1 1 304.346 1.509 20 0 DDADMM CCOC(=O)c1nc[n-]c1NC(=O)c1cnc2sccn12 ZINC001152213180 746598210 /nfs/dbraw/zinc/59/82/10/746598210.db2.gz LEKLKPWJDCZJFA-UHFFFAOYSA-N -1 1 305.319 1.548 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cc(C(N)=O)ccc1Cl ZINC001195487378 746623459 /nfs/dbraw/zinc/62/34/59/746623459.db2.gz VNCCXYYVIHDWFJ-UHFFFAOYSA-N -1 1 301.755 1.882 20 0 DDADMM CN(C)c1nc(NC(=S)NCc2ccccc2)cc(=O)[n-]1 ZINC001195598260 746651218 /nfs/dbraw/zinc/65/12/18/746651218.db2.gz UAEVPXAZQPFANL-UHFFFAOYSA-N -1 1 303.391 1.735 20 0 DDADMM CN1Cc2c(cccc2[N-]S(=O)(=O)c2ccc(O)cc2)C1=O ZINC001195810134 746713345 /nfs/dbraw/zinc/71/33/45/746713345.db2.gz CRRPRLOJCXCZFP-UHFFFAOYSA-N -1 1 318.354 1.779 20 0 DDADMM CC(F)(F)C(=O)[N-][C@@H]1CCN(CC(F)(F)C(F)F)C[C@H]1O ZINC001090000143 747153527 /nfs/dbraw/zinc/15/35/27/747153527.db2.gz HQCJKSAHVGFIMG-RNFRBKRXSA-N -1 1 322.249 1.093 20 0 DDADMM CC(C)Oc1ccc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1O ZINC001198279854 747434282 /nfs/dbraw/zinc/43/42/82/747434282.db2.gz LNTNKRBCULRDGB-UHFFFAOYSA-N -1 1 320.305 1.215 20 0 DDADMM COc1ccc(NS(=O)(=O)c2ccccc2C(=O)[O-])c(O)c1 ZINC001198782906 747595475 /nfs/dbraw/zinc/59/54/75/747595475.db2.gz QWZVERMLHYEEKM-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(NC(=S)NCC3CCCCC3)c2[nH]1 ZINC001199933504 748059304 /nfs/dbraw/zinc/05/93/04/748059304.db2.gz UZKQGNKYCJBYNF-UHFFFAOYSA-N -1 1 322.394 1.631 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998818082 752255946 /nfs/dbraw/zinc/25/59/46/752255946.db2.gz PPLRPQMLIGXNSI-NWDGAFQWSA-N -1 1 303.362 1.020 20 0 DDADMM CC(=O)N[C@H]1C[C@H]([N-]S(=O)(=O)c2sc(Cl)nc2C)C1 ZINC001201767623 748598561 /nfs/dbraw/zinc/59/85/61/748598561.db2.gz LSIJCAWKOXHFIJ-ZKCHVHJHSA-N -1 1 323.827 1.050 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H]1C[C@]12CCCOC2 ZINC001201768938 748599534 /nfs/dbraw/zinc/59/95/34/748599534.db2.gz JQNQDUYPUKADDS-KCJUWKMLSA-N -1 1 322.839 1.952 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H]1CCCNC(=O)C1 ZINC001201769621 748600178 /nfs/dbraw/zinc/60/01/78/748600178.db2.gz TYOGYDBMASCSBC-SSDOTTSWSA-N -1 1 323.827 1.052 20 0 DDADMM Cc1cscc1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998848094 752286000 /nfs/dbraw/zinc/28/60/00/752286000.db2.gz DVFJCFQBDNBHLL-SNVBAGLBSA-N -1 1 321.406 1.275 20 0 DDADMM CC1(C)CN(C(=O)CC2CCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995378616 748632693 /nfs/dbraw/zinc/63/26/93/748632693.db2.gz BHQPCKOXMDBLRL-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM CC1(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)CCC1 ZINC000996139070 749392317 /nfs/dbraw/zinc/39/23/17/749392317.db2.gz ZXJMGKURPZTUKI-JTQLQIEISA-N -1 1 307.398 1.027 20 0 DDADMM CC(C)C1(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)CC1 ZINC000996284333 749486430 /nfs/dbraw/zinc/48/64/30/749486430.db2.gz VEWVGGBFAYNWAF-LLVKDONJSA-N -1 1 321.425 1.273 20 0 DDADMM CCC[C@@H](NC(=O)c1ccnc(-n2cccc2)c1)c1nn[n-]n1 ZINC001137206187 749509275 /nfs/dbraw/zinc/50/92/75/749509275.db2.gz JJCWONGWAVHVMM-GFCCVEGCSA-N -1 1 311.349 1.657 20 0 DDADMM CCCC[N@H+]1CCO[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890681 750570019 /nfs/dbraw/zinc/57/00/19/750570019.db2.gz SYRWRNQRAWRLCK-MRXNPFEDSA-N -1 1 307.394 1.408 20 0 DDADMM CCc1ccc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)o1 ZINC001034977587 751091897 /nfs/dbraw/zinc/09/18/97/751091897.db2.gz VQWQSCIFKGWQNQ-SNVBAGLBSA-N -1 1 319.365 1.060 20 0 DDADMM C[C@H]1CCCN(C(=O)c2ccco2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036490381 752481720 /nfs/dbraw/zinc/48/17/20/752481720.db2.gz GGZNRPSAOPOMAE-QWRGUYRKSA-N -1 1 319.365 1.134 20 0 DDADMM CCC(CC)C(=O)N1CCC[C@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036511696 752499031 /nfs/dbraw/zinc/49/90/31/752499031.db2.gz UWJQZUPVCSCOEA-AAEUAGOBSA-N -1 1 323.441 1.663 20 0 DDADMM CCc1ccc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)o1 ZINC000999525034 752997955 /nfs/dbraw/zinc/99/79/55/752997955.db2.gz PRUZGTLMPHKFGU-SNVBAGLBSA-N -1 1 319.365 1.060 20 0 DDADMM CC[C@H](C)C(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008976734 753017064 /nfs/dbraw/zinc/01/70/64/753017064.db2.gz NWMXLGPVZMVXQO-ZDEQEGDKSA-N -1 1 317.389 1.268 20 0 DDADMM O=C(N[C@H]1CCCN(Cc2ccon2)C1)c1ncccc1[O-] ZINC001007178179 753266855 /nfs/dbraw/zinc/26/68/55/753266855.db2.gz RNXRRVCFUMDRGI-NSHDSACASA-N -1 1 302.334 1.170 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2=CCCC2)C1 ZINC001005950317 753410213 /nfs/dbraw/zinc/41/02/13/753410213.db2.gz JAWHHOSPVANSAO-NSHDSACASA-N -1 1 315.373 1.474 20 0 DDADMM C[C@@H](CCNC(=O)[C@H]1CCC1(C)C)NC(=O)c1ncccc1[O-] ZINC001077915888 753413119 /nfs/dbraw/zinc/41/31/19/753413119.db2.gz NBAITZNSQCATLR-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C(F)F ZINC001009913259 753464257 /nfs/dbraw/zinc/46/42/57/753464257.db2.gz XHPNPGALPUXNAN-DTWKUNHWSA-N -1 1 313.304 1.019 20 0 DDADMM CC1CC(C(=O)NCC2(NC(=O)c3ncccc3[O-])CCC2)C1 ZINC001062783620 753708828 /nfs/dbraw/zinc/70/88/28/753708828.db2.gz YSAKXPMILDDWLB-UHFFFAOYSA-N -1 1 317.389 1.602 20 0 DDADMM CC/C(C)=C\C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062947369 753869064 /nfs/dbraw/zinc/86/90/64/753869064.db2.gz ULFHAGGENAGGMB-BENRWUELSA-N -1 1 317.389 1.912 20 0 DDADMM C[C@@H](CCNC(=O)C1CC2(CC2)C1)NC(=O)c1ncccc1[O-] ZINC001078299302 753949015 /nfs/dbraw/zinc/94/90/15/753949015.db2.gz LPQQBJHZPZVEBB-NSHDSACASA-N -1 1 317.389 1.602 20 0 DDADMM CCn1ccnc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010715629 754117397 /nfs/dbraw/zinc/11/73/97/754117397.db2.gz ABWFFJGECSRKRA-GFCCVEGCSA-N -1 1 315.377 1.008 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)c1cocn1 ZINC001067000409 754587541 /nfs/dbraw/zinc/58/75/41/754587541.db2.gz CXIWPZVTQUQXCQ-UHFFFAOYSA-N -1 1 318.333 1.010 20 0 DDADMM C[C@H]1[C@@H](NC(=O)CC2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011671381 754669239 /nfs/dbraw/zinc/66/92/39/754669239.db2.gz GROCJOFYHUNBJB-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2cc[nH]c2)CCN1C(=O)c1ncccc1[O-] ZINC001011676624 754671460 /nfs/dbraw/zinc/67/14/60/754671460.db2.gz MZCXSDUOVXFFJY-ZYHUDNBSSA-N -1 1 314.345 1.148 20 0 DDADMM CC(=O)N1CCC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]1C ZINC001014955702 756036208 /nfs/dbraw/zinc/03/62/08/756036208.db2.gz MTRYJUZSVKZDMR-TVQRCGJNSA-N -1 1 318.377 1.189 20 0 DDADMM O=C(CC1CC1)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082022780 756586527 /nfs/dbraw/zinc/58/65/27/756586527.db2.gz OBOVCXOXEHEOHD-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(C=C1CCC1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085166639 758331471 /nfs/dbraw/zinc/33/14/71/758331471.db2.gz LGZUVXBQYZYPEW-VXGBXAGGSA-N -1 1 305.382 1.138 20 0 DDADMM CC[C@H](C)n1c(=O)[nH]c(C)c(NCc2ncccc2[O-])c1=O ZINC001169731074 762638255 /nfs/dbraw/zinc/63/82/55/762638255.db2.gz BCZYBINJEAIHGT-VIFPVBQESA-N -1 1 304.350 1.941 20 0 DDADMM CCCC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])CC[C@H]1C ZINC001018098335 758545923 /nfs/dbraw/zinc/54/59/23/758545923.db2.gz HSUBNEKWDWFNSY-NEPJUHHUSA-N -1 1 305.378 1.697 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1CC1 ZINC001018099896 758547010 /nfs/dbraw/zinc/54/70/10/758547010.db2.gz NFQIJDOUIVXBDN-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNc1ncccn1 ZINC001065838884 758849334 /nfs/dbraw/zinc/84/93/34/758849334.db2.gz MKWPPVIQNUHQGE-NWDGAFQWSA-N -1 1 313.361 1.397 20 0 DDADMM CSc1nc(CNC(=O)C=CC2(C)CCOCC2)cc(=O)[n-]1 ZINC000824920856 759216188 /nfs/dbraw/zinc/21/61/88/759216188.db2.gz WEPDHUDUCLIFFM-ARJAWSKDSA-N -1 1 323.418 1.893 20 0 DDADMM CC(C)(C(=O)N1CCC(O)(c2nn[n-]n2)CC1)C1CCCCC1 ZINC000827623118 759354902 /nfs/dbraw/zinc/35/49/02/759354902.db2.gz LAQWNGDLQDKPOX-UHFFFAOYSA-N -1 1 321.425 1.616 20 0 DDADMM C[C@]1(c2ccccc2)C[C@H]1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000828111355 759475018 /nfs/dbraw/zinc/47/50/18/759475018.db2.gz HYLGKUBDCXZVOD-HEHGZKQESA-N -1 1 313.361 1.077 20 0 DDADMM Cc1cccc(C(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001054356115 759539113 /nfs/dbraw/zinc/53/91/13/759539113.db2.gz BNTLTEDEOPLSQG-YPMHNXCESA-N -1 1 315.377 1.069 20 0 DDADMM C[C@@H]1CN(C(=O)CCC2CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054527548 759772709 /nfs/dbraw/zinc/77/27/09/759772709.db2.gz VSYKDTVCKSYWJD-ZYHUDNBSSA-N -1 1 307.398 1.027 20 0 DDADMM CCC(=O)NC[C@H]1CC[C@H](CNC(=O)c2ncccc2[O-])CC1 ZINC001054716822 760006919 /nfs/dbraw/zinc/00/69/19/760006919.db2.gz GFBQMJCDWIEXDD-JOCQHMNTSA-N -1 1 319.405 1.850 20 0 DDADMM CC1(C)CCC(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001019843370 760291373 /nfs/dbraw/zinc/29/13/73/760291373.db2.gz IWUCFXIOASLGTJ-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(NC[C@@H]1CCN1Cc1nccs1)c1ncccc1[O-] ZINC001038195843 760910241 /nfs/dbraw/zinc/91/02/41/760910241.db2.gz XCIXDBWVGAHFHH-JTQLQIEISA-N -1 1 304.375 1.248 20 0 DDADMM CC[C@@H](F)C[N@@H+]1CC[C@]2(CCN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC001041206949 762881996 /nfs/dbraw/zinc/88/19/96/762881996.db2.gz HNOGKRNTANAAEC-WBMJQRKESA-N -1 1 324.400 1.710 20 0 DDADMM Cc1cc(C)nc(N(C)C[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108946780 763160006 /nfs/dbraw/zinc/16/00/06/763160006.db2.gz TVYRRRVLRFCHAZ-GFCCVEGCSA-N -1 1 315.377 1.449 20 0 DDADMM Cc1nsc(N[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983374 765081506 /nfs/dbraw/zinc/08/15/06/765081506.db2.gz KHOQAGKYURUZKF-HTQZYQBOSA-N -1 1 307.379 1.566 20 0 DDADMM CC[C@H](C)CN1CC(N(C)C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001044181885 765371180 /nfs/dbraw/zinc/37/11/80/765371180.db2.gz KJMRCFAASAMFLT-JTQLQIEISA-N -1 1 318.377 1.731 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3c(Cl)ncnc3Cl)c2[nH]1 ZINC001170217443 766176372 /nfs/dbraw/zinc/17/63/72/766176372.db2.gz RSIUQOHGIGBRCF-UHFFFAOYSA-N -1 1 314.092 1.556 20 0 DDADMM COc1cnc(Nc2[n-]c(=O)nc3nc[nH]c32)nc1NC1CC1 ZINC001170224208 766198461 /nfs/dbraw/zinc/19/84/61/766198461.db2.gz URXROMPQJJQUDD-UHFFFAOYSA-N -1 1 314.309 1.175 20 0 DDADMM Cc1ccc(-c2cnnc(Nc3[n-]c(=O)nc4nc[nH]c43)n2)cc1 ZINC001170226755 766200063 /nfs/dbraw/zinc/20/00/63/766200063.db2.gz SRTVYNRRSMINCB-UHFFFAOYSA-N -1 1 320.316 1.963 20 0 DDADMM Cc1cc(Nc2[n-]c(=O)nc3nc[nH]c32)nc(-c2cccnc2)n1 ZINC001170227799 766202198 /nfs/dbraw/zinc/20/21/98/766202198.db2.gz ULJUASGITNBMRG-UHFFFAOYSA-N -1 1 320.316 1.963 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC[C@@H](c2ccccc2)C1 ZINC001121673727 782620272 /nfs/dbraw/zinc/62/02/72/782620272.db2.gz JGIIPNVAMYSFFY-GFCCVEGCSA-N -1 1 310.365 1.472 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC[C@@H](c2ccccc2)C1 ZINC001121673727 782620275 /nfs/dbraw/zinc/62/02/75/782620275.db2.gz JGIIPNVAMYSFFY-GFCCVEGCSA-N -1 1 310.365 1.472 20 0 DDADMM C[C@H]1C[C@H](Nc2ncc(F)cn2)CN1C(=O)c1ncccc1[O-] ZINC001069063002 767810992 /nfs/dbraw/zinc/81/09/92/767810992.db2.gz LKKSALUIOLTKNB-ONGXEEELSA-N -1 1 317.324 1.431 20 0 DDADMM Cc1ccnc(N[C@@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)n1 ZINC001069065119 767814172 /nfs/dbraw/zinc/81/41/72/767814172.db2.gz HIYMYNCFXVXNNX-NWDGAFQWSA-N -1 1 313.361 1.601 20 0 DDADMM CC1(C)CC(C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001131898197 768462710 /nfs/dbraw/zinc/46/27/10/768462710.db2.gz DWIHYJOFIXVPJL-UHFFFAOYSA-N -1 1 323.441 1.569 20 0 DDADMM O=C([N-]c1n[nH]c(-c2ccco2)n1)c1noc2c1COCC2 ZINC001134127740 770670781 /nfs/dbraw/zinc/67/07/81/770670781.db2.gz ATRXPSPAEFAXPJ-UHFFFAOYSA-N -1 1 301.262 1.378 20 0 DDADMM CCN1C(=S)N=NC1CCNC(=O)c1ccc(F)c([O-])c1 ZINC001136783655 772147418 /nfs/dbraw/zinc/14/74/18/772147418.db2.gz NANUQXQGAXPFON-UHFFFAOYSA-N -1 1 310.354 1.404 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)NCCCC[P@](=O)([O-])O ZINC001144503010 772553071 /nfs/dbraw/zinc/55/30/71/772553071.db2.gz VEXACBBMRQNAPD-LLVKDONJSA-N -1 1 301.279 1.528 20 0 DDADMM CCOC(=O)[C@H]1C(=O)CCCN1Cc1c(F)cc([O-])cc1F ZINC001144555198 772564344 /nfs/dbraw/zinc/56/43/44/772564344.db2.gz ZEBRVEIZHCZEHN-CQSZACIVSA-N -1 1 313.300 1.767 20 0 DDADMM CN(C)C(=O)N[C@@H]1CCCN(Cc2cc(F)c([O-])cc2F)C1 ZINC001144663995 772590105 /nfs/dbraw/zinc/59/01/05/772590105.db2.gz QUPWNEPUGMNKPG-LLVKDONJSA-N -1 1 313.348 1.906 20 0 DDADMM CCOC(=O)c1nc(C2CC2)oc1[N-]C(=O)c1csnn1 ZINC001146810198 772943350 /nfs/dbraw/zinc/94/33/50/772943350.db2.gz AKSHWSHHOLDZMY-UHFFFAOYSA-N -1 1 308.319 1.833 20 0 DDADMM O=C(Cn1c2ccccc2nc1-c1ccccc1)Nc1nnn[n-]1 ZINC001147752930 773232697 /nfs/dbraw/zinc/23/26/97/773232697.db2.gz YKQGDUOQHUYLEW-UHFFFAOYSA-N -1 1 319.328 1.855 20 0 DDADMM O=C(Cn1c2ccccc2nc1-c1ccccc1)Nc1nn[n-]n1 ZINC001147752930 773232699 /nfs/dbraw/zinc/23/26/99/773232699.db2.gz YKQGDUOQHUYLEW-UHFFFAOYSA-N -1 1 319.328 1.855 20 0 DDADMM COc1cncc(NC(=O)c2c(CO)cnc(C)c2[O-])c1C ZINC001147833421 773259674 /nfs/dbraw/zinc/25/96/74/773259674.db2.gz FWGBTNIIEIMXAH-UHFFFAOYSA-N -1 1 303.318 1.552 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@@H](C)CCCC(C)(C)O)c1[O-] ZINC001147843934 773267960 /nfs/dbraw/zinc/26/79/60/773267960.db2.gz JCJQNQARAIFUKR-JTQLQIEISA-N -1 1 310.394 1.647 20 0 DDADMM Cn1cc(C(=O)NCCCC[P@](=O)([O-])O)c2ccccc21 ZINC001148194758 773374930 /nfs/dbraw/zinc/37/49/30/773374930.db2.gz NKOLBSYXRLHGST-UHFFFAOYSA-N -1 1 310.290 1.866 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CCC4(COC4)C3)cc2)[n-]1 ZINC001148328980 773437301 /nfs/dbraw/zinc/43/73/01/773437301.db2.gz DNHLZQWYCBRQQN-UHFFFAOYSA-N -1 1 313.357 1.662 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CCC(C)(C)C1 ZINC001074862491 774197002 /nfs/dbraw/zinc/19/70/02/774197002.db2.gz IHERIGDBNGBFER-TUAOUCFPSA-N -1 1 321.425 1.416 20 0 DDADMM C[C@@H](CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CC1 ZINC001075133422 774374479 /nfs/dbraw/zinc/37/44/79/774374479.db2.gz CGTDGWRMKLOHOF-NHCYSSNCSA-N -1 1 307.398 1.026 20 0 DDADMM CN(CCNc1ncnc2ccccc21)C(=O)c1ncccc1[O-] ZINC001101561150 777250946 /nfs/dbraw/zinc/25/09/46/777250946.db2.gz LJDTYEKTMQOJAS-UHFFFAOYSA-N -1 1 323.356 1.915 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3c[nH]nc3c2)c1 ZINC001174500710 777502696 /nfs/dbraw/zinc/50/26/96/777502696.db2.gz PIAIWPWJRTXSDV-UHFFFAOYSA-N -1 1 303.347 1.528 20 0 DDADMM O=C([N-]c1nnc([C@H]2CCCO2)s1)c1ccc2n[nH]nc2n1 ZINC001174755407 777586521 /nfs/dbraw/zinc/58/65/21/777586521.db2.gz VNRZLCILWCZVPM-MRVPVSSYSA-N -1 1 317.334 1.308 20 0 DDADMM CN(C)c1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cn1 ZINC001175343255 777741252 /nfs/dbraw/zinc/74/12/52/777741252.db2.gz CLYVNPQLAXQYTH-UHFFFAOYSA-N -1 1 307.379 1.113 20 0 DDADMM CCNC(=O)c1ccc([N-]S(=O)(=O)c2cccc(N)c2)cc1 ZINC001175632138 777851386 /nfs/dbraw/zinc/85/13/86/777851386.db2.gz DITDERHUWWBFBF-UHFFFAOYSA-N -1 1 319.386 1.819 20 0 DDADMM Cc1csc(CCC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001176181599 778064828 /nfs/dbraw/zinc/06/48/28/778064828.db2.gz CPSBEPXHGCPZST-UHFFFAOYSA-N -1 1 319.346 1.657 20 0 DDADMM CCC(C)(C)C(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203351327 778073629 /nfs/dbraw/zinc/07/36/29/778073629.db2.gz UUFOROHTEZEYHJ-MNOVXSKESA-N -1 1 321.425 1.464 20 0 DDADMM Cc1noc([N-]c2ccc(S(C)(=O)=O)cc2F)c1C(N)=O ZINC001176272855 778115476 /nfs/dbraw/zinc/11/54/76/778115476.db2.gz FLRPASKRGVBZPT-UHFFFAOYSA-N -1 1 313.310 1.368 20 0 DDADMM COc1nc(Nc2ccc(N3CCN(C)CC3)nc2)cc(=O)[n-]1 ZINC001176656781 778219082 /nfs/dbraw/zinc/21/90/82/778219082.db2.gz QDRCWUUHSOVYRK-UHFFFAOYSA-N -1 1 316.365 1.081 20 0 DDADMM Nc1cc(F)c(Cl)cc1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001176726911 778221679 /nfs/dbraw/zinc/22/16/79/778221679.db2.gz SPUXKQFGXNXBIM-UHFFFAOYSA-N -1 1 310.720 1.384 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccc2nnc(-c3ccccc3)n2c1 ZINC001176838196 778269279 /nfs/dbraw/zinc/26/92/79/778269279.db2.gz RNZMCTZVFZGLEQ-UHFFFAOYSA-N -1 1 320.316 1.091 20 0 DDADMM CC[C@@H](C)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102680019 778302889 /nfs/dbraw/zinc/30/28/89/778302889.db2.gz SNJYNXWHNWWPSA-IJLUTSLNSA-N -1 1 309.414 1.131 20 0 DDADMM Cc1ccc(C2(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)CC2)nc1 ZINC001178146206 778903723 /nfs/dbraw/zinc/90/37/23/778903723.db2.gz JYVZYXAWCNAGPZ-UHFFFAOYSA-N -1 1 310.317 1.432 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@H]3CCC(=O)c4ccccc43)c1-2 ZINC001178919127 779228048 /nfs/dbraw/zinc/22/80/48/779228048.db2.gz WFAYSLWXANQKOZ-LBPRGKRZSA-N -1 1 321.340 1.734 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@@H]1CCCCN1Cc1ccncc1 ZINC001116735908 780550734 /nfs/dbraw/zinc/55/07/34/780550734.db2.gz JONDLSZNBNIUPD-HOTGVXAUSA-N -1 1 319.405 1.520 20 0 DDADMM O=C(NCCOCCCO)c1ccc(C(F)(F)F)c([O-])c1 ZINC001117258766 780697468 /nfs/dbraw/zinc/69/74/68/780697468.db2.gz VXEZCTVZQSEPTB-UHFFFAOYSA-N -1 1 307.268 1.540 20 0 DDADMM CN1CC[C@H](NC(=O)NCCCC(=O)[O-])[C@H]1c1ccc(F)cc1 ZINC001118199528 781059898 /nfs/dbraw/zinc/05/98/98/781059898.db2.gz MWZVCXHEPGSAMI-DZGCQCFKSA-N -1 1 323.368 1.735 20 0 DDADMM O=S(=O)([N-][C@H]1C=CCCC1)c1nc[nH]c1Br ZINC001118996785 781293486 /nfs/dbraw/zinc/29/34/86/781293486.db2.gz RBERTHOLGXLPKL-ZETCQYMHSA-N -1 1 306.185 1.559 20 0 DDADMM CC(C)(C)N1CCN(C(=O)c2coc(/C=C/C(=O)[O-])c2)CC1 ZINC001119536010 781500294 /nfs/dbraw/zinc/50/02/94/781500294.db2.gz DUPHFZOPCILVIW-SNAWJCMRSA-N -1 1 306.362 1.934 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](C)NCc2cccc(Cl)n2)c1[O-] ZINC001266934450 837078527 /nfs/dbraw/zinc/07/85/27/837078527.db2.gz XEWGWZQPAXZNFE-QMMMGPOBSA-N -1 1 323.784 1.380 20 0 DDADMM CC(C)N(CCCNC(=O)C[C@H]1C=CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001267498014 838184887 /nfs/dbraw/zinc/18/48/87/838184887.db2.gz NAJZBGBWLZCOAL-ZDUSSCGKSA-N -1 1 321.425 1.583 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(C(C)C)C(C)C ZINC001414231521 844513359 /nfs/dbraw/zinc/51/33/59/844513359.db2.gz RWYWVADWKKANBF-UHFFFAOYSA-N -1 1 303.384 1.155 20 0 DDADMM CC[C@H](C)N1CC[C@]2(CCCN2C(=O)c2ncccc2[O-])C1=O ZINC001268843388 840891535 /nfs/dbraw/zinc/89/15/35/840891535.db2.gz UZCWQFWTHJOAAI-YVEFUNNKSA-N -1 1 317.389 1.793 20 0 DDADMM CC[C@H](C)N1CC[C@@]2(CCCN2C(=O)c2ncccc2[O-])C1=O ZINC001268843386 840892222 /nfs/dbraw/zinc/89/22/22/840892222.db2.gz UZCWQFWTHJOAAI-SJCJKPOMSA-N -1 1 317.389 1.793 20 0 DDADMM CN1CCC12CN(C(=O)c1cc(=O)c3cc(F)ccc3[n-]1)C2 ZINC001269521058 841717819 /nfs/dbraw/zinc/71/78/19/841717819.db2.gz LOICWFLKMMIMCX-UHFFFAOYSA-N -1 1 301.321 1.197 20 0 DDADMM CCN(CCC(N)=O)C(=O)c1cc2cc(OC)ccc2cc1[O-] ZINC001154749553 861420916 /nfs/dbraw/zinc/42/09/16/861420916.db2.gz ITDDWSUBUNRJGJ-UHFFFAOYSA-N -1 1 316.357 1.892 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cccn1C ZINC001409261903 844727110 /nfs/dbraw/zinc/72/71/10/844727110.db2.gz VKGZRFHHBHLJDN-LLVKDONJSA-N -1 1 316.361 1.064 20 0 DDADMM O=C(Nc1ncnc2n[nH]nc21)c1ccc2cccnc2c1[O-] ZINC001149315104 861539312 /nfs/dbraw/zinc/53/93/12/861539312.db2.gz VJBOJCOSZHEJJU-UHFFFAOYSA-N -1 1 307.273 1.254 20 0 DDADMM C[C@H](CNC(=O)CCC(F)F)CNC(=O)c1ncccc1[O-] ZINC001409680218 845560288 /nfs/dbraw/zinc/56/02/88/845560288.db2.gz VHOOCYNUIZXTSY-SECBINFHSA-N -1 1 315.320 1.315 20 0 DDADMM CCC[C@@H](C)CC(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272377426 846083247 /nfs/dbraw/zinc/08/32/47/846083247.db2.gz WCWZESKPSPWGST-OLZOCXBDSA-N -1 1 323.441 1.665 20 0 DDADMM CNC(=O)[C@@H]1CC12CCN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC001272401156 846101737 /nfs/dbraw/zinc/10/17/37/846101737.db2.gz MCSKCFOIPSHKEP-NSHDSACASA-N -1 1 306.337 1.520 20 0 DDADMM COC(=O)C[C@@](C)([N-]S(=O)(=O)c1c[nH]nc1Cl)C1CC1 ZINC001365727522 846506203 /nfs/dbraw/zinc/50/62/03/846506203.db2.gz XPECDCWLOSGEBA-LLVKDONJSA-N -1 1 321.786 1.073 20 0 DDADMM COC[C@@H](NC(=O)C=C(C)c1ccccc1C)c1nn[n-]n1 ZINC001155069711 861699910 /nfs/dbraw/zinc/69/99/10/861699910.db2.gz RJHJGTKXIIXLIT-RUNBWSAHSA-N -1 1 301.350 1.415 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CC[C@H](O)[C@H](CO)C1 ZINC001149650940 861782538 /nfs/dbraw/zinc/78/25/38/861782538.db2.gz SYRGJIHFMIXCOQ-FZMZJTMJSA-N -1 1 317.341 1.066 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCc2ccc(=O)[nH]c2CC1 ZINC001155266703 861911972 /nfs/dbraw/zinc/91/19/72/861911972.db2.gz NQPGHWIDVMIMIS-UHFFFAOYSA-N -1 1 324.340 1.610 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H](C)C2(C)CC2)[n-]n1 ZINC001434560191 848659710 /nfs/dbraw/zinc/65/97/10/848659710.db2.gz ZRBCLIDUTNDIIG-MRVPVSSYSA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H](C)C2(C)CC2)n[n-]1 ZINC001434560191 848659713 /nfs/dbraw/zinc/65/97/13/848659713.db2.gz ZRBCLIDUTNDIIG-MRVPVSSYSA-N -1 1 301.368 1.005 20 0 DDADMM C/C(=C\c1ccco1)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC001137774799 848669669 /nfs/dbraw/zinc/66/96/69/848669669.db2.gz KOQBZRBOVTYPHF-VQHVLOKHSA-N -1 1 322.342 1.675 20 0 DDADMM CC(C)[C@H](C)CC(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001410187281 848871114 /nfs/dbraw/zinc/87/11/14/848871114.db2.gz JTFKBCRDEDZPGB-VXGBXAGGSA-N -1 1 307.394 1.704 20 0 DDADMM CC[C@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1csnc1OC ZINC001364872103 849261742 /nfs/dbraw/zinc/26/17/42/849261742.db2.gz CZHRDBRVJILWGD-IUCAKERBSA-N -1 1 308.425 1.227 20 0 DDADMM CC[C@H](C)N1C[C@]2(CC[N@@H+](Cc3ncccc3O)C2)OCC1=O ZINC001273325232 849534707 /nfs/dbraw/zinc/53/47/07/849534707.db2.gz JAEZKFAQNWATJI-SUMWQHHRSA-N -1 1 319.405 1.389 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001411045447 850152042 /nfs/dbraw/zinc/15/20/42/850152042.db2.gz XQMCAOMJXFGTND-SJKOYZFVSA-N -1 1 319.405 1.802 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc3n[nH]cc3s2)n1 ZINC001155545860 862215462 /nfs/dbraw/zinc/21/54/62/862215462.db2.gz FKDGWTUDUIBMTG-UHFFFAOYSA-N -1 1 305.319 1.777 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CC[C@@H](O)[C@H](CO)C3)c([O-])c2n1 ZINC001155653244 862345493 /nfs/dbraw/zinc/34/54/93/862345493.db2.gz BSEJXESWNOCQII-GXTWGEPZSA-N -1 1 316.357 1.064 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1C[C@H]2C[C@@]2(C(=O)N2CC=CC2)C1 ZINC001275377292 853038284 /nfs/dbraw/zinc/03/82/84/853038284.db2.gz MSQAILLWWZEXLL-SJKOYZFVSA-N -1 1 316.332 1.392 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C2(COC)CCC2)[n-]c1Cl ZINC001411550479 853347821 /nfs/dbraw/zinc/34/78/21/853347821.db2.gz ZATIZSVBCIQIJE-UHFFFAOYSA-N -1 1 315.757 1.995 20 0 DDADMM O=C(NCc1ccsc1Br)c1cnncc1[O-] ZINC001412057923 854165862 /nfs/dbraw/zinc/16/58/62/854165862.db2.gz QFVNCHJAUXMKGZ-UHFFFAOYSA-N -1 1 314.164 1.936 20 0 DDADMM CC[C@@H](C)N(CC(=O)OC)C(=O)c1cnc(SC)[n-]c1=O ZINC001412111002 854229816 /nfs/dbraw/zinc/22/98/16/854229816.db2.gz VZXWRYBSKISZQX-MRVPVSSYSA-N -1 1 313.379 1.318 20 0 DDADMM O=C(NC[C@H](CO)Cc1cccnc1)c1cccc([O-])c1F ZINC001412114673 854232001 /nfs/dbraw/zinc/23/20/01/854232001.db2.gz ZSOONWWSHGRMOL-GFCCVEGCSA-N -1 1 304.321 1.507 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC001412153384 854273204 /nfs/dbraw/zinc/27/32/04/854273204.db2.gz AOHXIBYDSGCDPK-KOLCDFICSA-N -1 1 305.334 1.157 20 0 DDADMM Cc1nnc(CC(=O)Nc2cc(C(=O)OC(C)(C)C)[nH]n2)s1 ZINC001412270808 854397861 /nfs/dbraw/zinc/39/78/61/854397861.db2.gz QUKYOGFDZFJREK-UHFFFAOYSA-N -1 1 323.378 1.706 20 0 DDADMM C[C@@](CO)(NC(=O)c1ccc([O-])cc1F)c1ccc(O)cc1 ZINC001412291734 854414546 /nfs/dbraw/zinc/41/45/46/854414546.db2.gz UEXNGLFWXZNUHS-INIZCTEOSA-N -1 1 305.305 1.874 20 0 DDADMM CCOc1cc(C(=O)Nc2ccn(C)c(=O)n2)cc(Cl)c1[O-] ZINC001412298406 854419509 /nfs/dbraw/zinc/41/95/09/854419509.db2.gz QYSAHCHYSJSNBR-UHFFFAOYSA-N -1 1 323.736 1.625 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001412497522 854627300 /nfs/dbraw/zinc/62/73/00/854627300.db2.gz UBJWNYXMYJQKMO-KGYLQXTDSA-N -1 1 317.389 1.410 20 0 DDADMM CCc1ccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c(O)c1 ZINC001412524524 854657726 /nfs/dbraw/zinc/65/77/26/854657726.db2.gz ALFSQXLYVJNOBN-UHFFFAOYSA-N -1 1 303.366 1.766 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cn1ccc(C(F)F)n1 ZINC001412529169 854664287 /nfs/dbraw/zinc/66/42/87/854664287.db2.gz MNVXJUHYAUAYAP-UHFFFAOYSA-N -1 1 313.312 1.019 20 0 DDADMM COC(=O)[C@H]1CC12CCN(C(=O)c1ccc([O-])cc1F)CC2 ZINC001412572175 854743556 /nfs/dbraw/zinc/74/35/56/854743556.db2.gz PIQVHPSBYBNINI-GFCCVEGCSA-N -1 1 307.321 1.947 20 0 DDADMM COC[C@H](NC(=O)C[C@H](c1ccccc1)C(C)C)c1nn[n-]n1 ZINC001412589005 854775227 /nfs/dbraw/zinc/77/52/27/854775227.db2.gz GKLSODPJLYLSTM-KBPBESRZSA-N -1 1 317.393 1.833 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CC(C)(C)OC ZINC001412593050 854783877 /nfs/dbraw/zinc/78/38/77/854783877.db2.gz RPXHZDSALMATFO-SNVBAGLBSA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CC(C)(C)OC ZINC001412593050 854783882 /nfs/dbraw/zinc/78/38/82/854783882.db2.gz RPXHZDSALMATFO-SNVBAGLBSA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CC(C)(C)OC ZINC001412593050 854783887 /nfs/dbraw/zinc/78/38/87/854783887.db2.gz RPXHZDSALMATFO-SNVBAGLBSA-N -1 1 324.381 1.460 20 0 DDADMM CC(C)N(CCS(C)(=O)=O)C(=O)c1ccc(F)c([O-])c1 ZINC001412682755 854939072 /nfs/dbraw/zinc/93/90/72/854939072.db2.gz AXBHIRFRTUEEHF-UHFFFAOYSA-N -1 1 303.355 1.427 20 0 DDADMM COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)c1cccc(Cl)c1[O-] ZINC001412683167 854940087 /nfs/dbraw/zinc/94/00/87/854940087.db2.gz DNQNGXFBTYFKDE-LLVKDONJSA-N -1 1 323.736 1.283 20 0 DDADMM Cc1n[nH]c(C)c1[C@H]1CCCN1C(=O)CCCc1nn[n-]n1 ZINC001412691512 854969299 /nfs/dbraw/zinc/96/92/99/854969299.db2.gz OJVJVXMTXSYMRG-LLVKDONJSA-N -1 1 303.370 1.226 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ncoc2C)[n-]c1=O ZINC001412765671 855236907 /nfs/dbraw/zinc/23/69/07/855236907.db2.gz APTVUCHXGFJCGC-SECBINFHSA-N -1 1 304.306 1.465 20 0 DDADMM NC(=O)[C@@H]1CCC2(C1)CCN(C(=O)c1ccc(F)c(=O)[n-]1)CC2 ZINC001276302342 855489229 /nfs/dbraw/zinc/48/92/29/855489229.db2.gz GMMGVKUEMUYKPX-SNVBAGLBSA-N -1 1 321.352 1.434 20 0 DDADMM O=C(c1cccc(O)c1F)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001412873510 855745630 /nfs/dbraw/zinc/74/56/30/855745630.db2.gz CMDIXEDXONOFHP-QMMMGPOBSA-N -1 1 307.281 1.640 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(COC)cc1)c1nn[n-]n1 ZINC001412937342 855794053 /nfs/dbraw/zinc/79/40/53/855794053.db2.gz RHOZIXCLHSOGET-UHFFFAOYSA-N -1 1 303.366 1.791 20 0 DDADMM CC(C)NC(=O)OC[C@@H]1CCCCN1C(=O)c1ccc([O-])cn1 ZINC001412939212 855795125 /nfs/dbraw/zinc/79/51/25/855795125.db2.gz UTWSDMSLNOVHNY-LBPRGKRZSA-N -1 1 321.377 1.917 20 0 DDADMM C=C=CCNC(=O)c1c[n-]c2cc(OC)cc(OC)c2c1=O ZINC001412998162 855896589 /nfs/dbraw/zinc/89/65/89/855896589.db2.gz HRVXFLFSXIEUOG-UHFFFAOYSA-N -1 1 300.314 1.616 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1c[nH]c(=O)c(C(F)(F)F)c1 ZINC001413030387 855993778 /nfs/dbraw/zinc/99/37/78/855993778.db2.gz PXRFLECZDISAPQ-UHFFFAOYSA-N -1 1 302.212 1.795 20 0 DDADMM CSc1nc(CNC(=O)CSc2ccncc2)cc(=O)[n-]1 ZINC001413202873 856543726 /nfs/dbraw/zinc/54/37/26/856543726.db2.gz YUVPDJCLFBLTFS-UHFFFAOYSA-N -1 1 322.415 1.708 20 0 DDADMM O=C([N-]CCNc1cc(Cl)nc2[nH]cnc21)C(F)(F)F ZINC001156200778 862807908 /nfs/dbraw/zinc/80/79/08/862807908.db2.gz ZIHWOIIJLZUTQL-UHFFFAOYSA-N -1 1 307.663 1.702 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC001413311756 856631933 /nfs/dbraw/zinc/63/19/33/856631933.db2.gz XDPPNWLGGGPPSV-QNSHHTMESA-N -1 1 318.420 1.244 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC[C@H]1CCCCO1 ZINC001413321181 856649969 /nfs/dbraw/zinc/64/99/69/856649969.db2.gz MAZUUYWALWFMPI-SECBINFHSA-N -1 1 306.409 1.389 20 0 DDADMM O=C(N[C@@H](CO)[C@@H](O)c1cccnc1)c1cc(Cl)ccc1[O-] ZINC001413416630 856787286 /nfs/dbraw/zinc/78/72/86/856787286.db2.gz KRDDYOBITUSJRQ-JSGCOSHPSA-N -1 1 322.748 1.265 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-][C@@H]1c2ccccc2OC[C@H]1F ZINC001413441004 856817626 /nfs/dbraw/zinc/81/76/26/856817626.db2.gz WJIBZUSSANQLBE-DGCLKSJQSA-N -1 1 312.322 1.566 20 0 DDADMM CCO[C@H](C(=O)N(Cc1nn[n-]n1)CC(C)C)C1CCCC1 ZINC001413502597 856897274 /nfs/dbraw/zinc/89/72/74/856897274.db2.gz JTYFMXLKHSDGQG-AWEZNQCLSA-N -1 1 309.414 1.780 20 0 DDADMM Cc1cncc(CCC(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001413503440 856899433 /nfs/dbraw/zinc/89/94/33/856899433.db2.gz LXRRETUHSKFZBG-UHFFFAOYSA-N -1 1 302.382 1.521 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1SCCc2ccccc21 ZINC001413506090 856903709 /nfs/dbraw/zinc/90/37/09/856903709.db2.gz FZSMHXANRFSCOB-LBPRGKRZSA-N -1 1 315.402 1.726 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cccc(O)c2F)[n-]c1=O ZINC001413626935 857256413 /nfs/dbraw/zinc/25/64/13/857256413.db2.gz UFBBADDABPUIBA-UHFFFAOYSA-N -1 1 321.308 1.701 20 0 DDADMM COc1cnc(C2(NC(=O)[C@@H](O)C(C)C)CCCC2)[n-]c1=O ZINC001413716464 858164152 /nfs/dbraw/zinc/16/41/52/858164152.db2.gz WRRBFIUMTRHESE-NSHDSACASA-N -1 1 309.366 1.093 20 0 DDADMM CCc1noc([C@@H]2CC[C@H](NC(=O)c3ccc([O-])cn3)C2)n1 ZINC001413744639 858268163 /nfs/dbraw/zinc/26/81/63/858268163.db2.gz FZHDBWCAVPAVGH-ZJUUUORDSA-N -1 1 302.334 1.799 20 0 DDADMM C[C@H](OCC[P@](=O)([O-])O)C1CN(C(=O)OC(C)(C)C)C1 ZINC001224606524 881466224 /nfs/dbraw/zinc/46/62/24/881466224.db2.gz PQUHVIJGSLXHNB-VIFPVBQESA-N -1 1 309.299 1.436 20 0 DDADMM COCC1(O)CCN(C(=O)c2cc(C)cc(C=O)c2[O-])CC1 ZINC001137987295 859997663 /nfs/dbraw/zinc/99/76/63/859997663.db2.gz XPLFIRYYNJIKFR-UHFFFAOYSA-N -1 1 307.346 1.127 20 0 DDADMM O=C([O-])COc1ccc(CN2CC(N3CCC(F)CC3)C2)cc1 ZINC001138927630 860231777 /nfs/dbraw/zinc/23/17/77/860231777.db2.gz TWRSFOVMBVSHQT-UHFFFAOYSA-N -1 1 322.380 1.768 20 0 DDADMM CCC(CC)(NC(=O)c1cnc2onc(C)c2c1)c1nn[n-]n1 ZINC001140064757 860568375 /nfs/dbraw/zinc/56/83/75/860568375.db2.gz XEINWLLNFYESNK-UHFFFAOYSA-N -1 1 315.337 1.490 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(Cc2ccncc2[O-])[C@@H](CO)C1 ZINC001140274283 860605116 /nfs/dbraw/zinc/60/51/16/860605116.db2.gz YZQULZKMIJUGJU-CYBMUJFWSA-N -1 1 323.393 1.201 20 0 DDADMM [O-]c1c(F)cc(CN2Cc3ccnn3CC[C@H]2CO)cc1F ZINC001140992304 860753755 /nfs/dbraw/zinc/75/37/55/860753755.db2.gz WULAJTZQCJGZAV-LBPRGKRZSA-N -1 1 309.316 1.634 20 0 DDADMM CCC[C@@](C)([N-]S(=O)(=O)c1cccnc1F)C(=O)OC ZINC000401772195 860887984 /nfs/dbraw/zinc/88/79/84/860887984.db2.gz AAXXFKVICKDSSO-GFCCVEGCSA-N -1 1 304.343 1.231 20 0 DDADMM CN(C(=O)c1cc(=O)n(C)[n-]1)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001141940175 860975581 /nfs/dbraw/zinc/97/55/81/860975581.db2.gz SZCHLWXOWGEXLY-CYBMUJFWSA-N -1 1 312.333 1.105 20 0 DDADMM COC(=O)c1cc([O-])c(F)cc1NC(=O)C(=O)c1ccco1 ZINC001142286407 861108095 /nfs/dbraw/zinc/10/80/95/861108095.db2.gz OXSJBIWJKTUATN-UHFFFAOYSA-N -1 1 307.233 1.732 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NC1CCN(Cc2ccncc2)CC1 ZINC001328606722 863103751 /nfs/dbraw/zinc/10/37/51/863103751.db2.gz PLVJUEKFZONZOY-OAHLLOKOSA-N -1 1 319.405 1.519 20 0 DDADMM COc1ccc2onc(C(=O)[N-]c3ncn(C)c4ncnc3-4)c2c1 ZINC001156719742 863291162 /nfs/dbraw/zinc/29/11/62/863291162.db2.gz LFIOWFXSYJQZRL-UHFFFAOYSA-N -1 1 324.300 1.717 20 0 DDADMM C[C@@H]1COCC[C@H]1NC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681786 863490787 /nfs/dbraw/zinc/49/07/87/863490787.db2.gz QEHYXTADTJMJKK-MWLCHTKSSA-N -1 1 302.330 1.801 20 0 DDADMM Cc1cncc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)n1 ZINC001157157454 863619016 /nfs/dbraw/zinc/61/90/16/863619016.db2.gz XWZMBIZODRVCRY-UHFFFAOYSA-N -1 1 305.274 1.870 20 0 DDADMM O=C(c1ccn(Cc2ccccc2)c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001153002595 863647218 /nfs/dbraw/zinc/64/72/18/863647218.db2.gz VBBJDDCWVGGTND-CQSZACIVSA-N -1 1 322.372 1.679 20 0 DDADMM CSc1nc(NC(=O)c2cc3c[nH]nc3cn2)cc(=O)[n-]1 ZINC001153256755 863790434 /nfs/dbraw/zinc/79/04/34/863790434.db2.gz SFVVAXOEDFIWIZ-UHFFFAOYSA-N -1 1 302.319 1.428 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc3c2CC(=O)N3)n1 ZINC001153322547 863824710 /nfs/dbraw/zinc/82/47/10/863824710.db2.gz XQYFBKCCPIHZDT-UHFFFAOYSA-N -1 1 314.301 1.333 20 0 DDADMM Cc1cn2cccc(C(=O)NCCCC[P@](=O)([O-])O)c2n1 ZINC001153601127 863971004 /nfs/dbraw/zinc/97/10/04/863971004.db2.gz DVEJTOZXBIADKN-UHFFFAOYSA-N -1 1 311.278 1.330 20 0 DDADMM O=C([O-])CNC(=O)CCNCc1cc(F)ccc1OC(F)F ZINC001330123391 864092076 /nfs/dbraw/zinc/09/20/76/864092076.db2.gz ODKKIISMXAOJKF-UHFFFAOYSA-N -1 1 320.267 1.108 20 0 DDADMM O=C(Nc1cn(CCF)cn1)c1cnc2cccnc2c1[O-] ZINC001153850655 864156696 /nfs/dbraw/zinc/15/66/96/864156696.db2.gz FVYXFQZDIMWPKX-UHFFFAOYSA-N -1 1 301.281 1.341 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cnn2c1CCC2 ZINC001154049838 864360155 /nfs/dbraw/zinc/36/01/55/864360155.db2.gz UAHIAPKSNZYWNE-UHFFFAOYSA-N -1 1 309.329 1.973 20 0 DDADMM Cc1cccc2c(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)ncnc12 ZINC001159186389 865154076 /nfs/dbraw/zinc/15/40/76/865154076.db2.gz UVQFNIOVSJTHPM-UHFFFAOYSA-N -1 1 309.289 1.711 20 0 DDADMM CCOC(=O)c1cccnc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001159185744 865154328 /nfs/dbraw/zinc/15/43/28/865154328.db2.gz VVKRIJNPFQPWEU-UHFFFAOYSA-N -1 1 316.277 1.031 20 0 DDADMM CCN(CC)c1cncc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159197929 865160380 /nfs/dbraw/zinc/16/03/80/865160380.db2.gz FOWLJWNRSKLAHG-UHFFFAOYSA-N -1 1 300.326 1.438 20 0 DDADMM CC(C)n1cnc2cnc(Nc3[n-]c(=O)nc4nc[nH]c43)nc21 ZINC001159199729 865163615 /nfs/dbraw/zinc/16/36/15/865163615.db2.gz QUWIKMKBMVNFPY-UHFFFAOYSA-N -1 1 311.309 1.523 20 0 DDADMM CCC(CC)C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001381476813 881879086 /nfs/dbraw/zinc/87/90/86/881879086.db2.gz SGCSPNBJOQDYAE-AULYBMBSSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(NCCNC(=O)c1ccc([O-])cn1)OCc1ccccc1 ZINC001159938541 865607388 /nfs/dbraw/zinc/60/73/88/865607388.db2.gz XKJWGKVGWBWYOH-UHFFFAOYSA-N -1 1 315.329 1.443 20 0 DDADMM O=C([N-]c1nnc2nc[nH]n12)c1scnc1C(F)(F)F ZINC001160382604 865804827 /nfs/dbraw/zinc/80/48/27/865804827.db2.gz YTPLHMIJJBPZHQ-UHFFFAOYSA-N -1 1 303.229 1.180 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cc(-c2ccc3c(c2)CCO3)no1 ZINC001161391444 866621835 /nfs/dbraw/zinc/62/18/35/866621835.db2.gz JBLAPANABIPLDN-UHFFFAOYSA-N -1 1 312.285 1.958 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCCC(F)F ZINC001333625645 866884056 /nfs/dbraw/zinc/88/40/56/866884056.db2.gz KFBJAUPRWJFVDP-UHFFFAOYSA-N -1 1 312.320 1.756 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(F)cccc2OC)n1 ZINC001361649521 882085904 /nfs/dbraw/zinc/08/59/04/882085904.db2.gz JZGIYHJSEDWYES-UHFFFAOYSA-N -1 1 307.281 1.986 20 0 DDADMM CCc1nnc([N-]C(=O)c2ccsc2S(N)(=O)=O)s1 ZINC001361675518 882135952 /nfs/dbraw/zinc/13/59/52/882135952.db2.gz UQOOSYVYCHBGKJ-UHFFFAOYSA-N -1 1 318.405 1.062 20 0 DDADMM COc1cnc(NCC[N-]C(=O)C(F)(F)F)c2[nH]ccc21 ZINC001164245095 869053692 /nfs/dbraw/zinc/05/36/92/869053692.db2.gz RDPWTHVQKKBKPD-UHFFFAOYSA-N -1 1 302.256 1.662 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)[n-]c1Cl ZINC001361764527 882321425 /nfs/dbraw/zinc/32/14/25/882321425.db2.gz CPQNPDCKFQFENU-BWZBUEFSSA-N -1 1 315.757 1.992 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(C)(C)OCC)[n-]c1Cl ZINC001361766240 882327447 /nfs/dbraw/zinc/32/74/47/882327447.db2.gz HTOWHIBTRNZOLI-UHFFFAOYSA-N -1 1 303.746 1.993 20 0 DDADMM CC1(Nc2nc(CC(=O)NCc3nn[n-]n3)cs2)CCCC1 ZINC001361766247 882327674 /nfs/dbraw/zinc/32/76/74/882327674.db2.gz XJBIKOMKLGMJQO-UHFFFAOYSA-N -1 1 321.410 1.260 20 0 DDADMM CO[C@@H]1CC[C@@H]1N(C)c1nnc(-c2cc(Cl)ncc2[O-])n1C ZINC001338337935 869928686 /nfs/dbraw/zinc/92/86/86/869928686.db2.gz GZSMEOSVQKTGLJ-GXSJLCMTSA-N -1 1 323.784 1.850 20 0 DDADMM NS(=O)(=O)c1cccc(CNC(=O)c2ccc(F)c([O-])c2)c1 ZINC001361807304 882410193 /nfs/dbraw/zinc/41/01/93/882410193.db2.gz OQWGHZUEQOXMEW-UHFFFAOYSA-N -1 1 324.333 1.109 20 0 DDADMM CC(C)(O)C=CNc1cc(S(=O)(=O)C(N)=O)ccc1[O-] ZINC001203227074 870513405 /nfs/dbraw/zinc/51/34/05/870513405.db2.gz UDTDUSWJNXTETC-AATRIKPKSA-N -1 1 300.336 1.355 20 0 DDADMM CN(C)S(=O)(=O)c1ccsc1C(=O)[N-]c1cnsn1 ZINC001361820056 882432377 /nfs/dbraw/zinc/43/23/77/882432377.db2.gz GPDCKCQSLNHLMK-UHFFFAOYSA-N -1 1 318.405 1.102 20 0 DDADMM CCN(C(=O)C=C1CCC1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001340072160 870875213 /nfs/dbraw/zinc/87/52/13/870875213.db2.gz MRIYKACKINAULU-GFCCVEGCSA-N -1 1 317.389 1.864 20 0 DDADMM O=C(c1c[nH]nc1-c1ccoc1)N1CCC(c2nn[n-]n2)CC1 ZINC001302752647 871080801 /nfs/dbraw/zinc/08/08/01/871080801.db2.gz ASZWMEOBEQKTHR-UHFFFAOYSA-N -1 1 313.321 1.203 20 0 DDADMM O=C(C=Cc1ccc2ccccc2n1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001302936417 871089361 /nfs/dbraw/zinc/08/93/61/871089361.db2.gz HWADGCIFJSDDNZ-GWJCSSMESA-N -1 1 320.356 1.777 20 0 DDADMM Cc1nc(Cl)ccc1CC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001303220728 871111477 /nfs/dbraw/zinc/11/14/77/871111477.db2.gz AFWQMWDXCDJZJI-UHFFFAOYSA-N -1 1 306.757 1.295 20 0 DDADMM C[C@H](O)c1cn(CC(=O)Nc2cc(F)c([O-])cc2Cl)nn1 ZINC001304284010 871205881 /nfs/dbraw/zinc/20/58/81/871205881.db2.gz HJVFCJNCIYTYQG-LURJTMIESA-N -1 1 314.704 1.468 20 0 DDADMM C[C@]1(C(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C=CCC1 ZINC001317796807 871513632 /nfs/dbraw/zinc/51/36/32/871513632.db2.gz GHMMFKMVNYLRIN-LRDDRELGSA-N -1 1 319.409 1.195 20 0 DDADMM CC(C)(C)CC[C@@H](CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC001309440972 871573783 /nfs/dbraw/zinc/57/37/83/871573783.db2.gz PPKDUOPINOHDDB-VIFPVBQESA-N -1 1 322.377 1.825 20 0 DDADMM CC(C)(CO)ONC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001311062520 871673980 /nfs/dbraw/zinc/67/39/80/871673980.db2.gz GJQKIEIZOQANHF-UHFFFAOYSA-N -1 1 306.322 1.402 20 0 DDADMM C[C@H](CN(C)c1nnc(-c2c[n-][nH]c2=O)n1C)c1nccs1 ZINC001341611079 871733353 /nfs/dbraw/zinc/73/33/53/871733353.db2.gz KPTHKGRCPDTEJI-MRVPVSSYSA-N -1 1 319.394 1.607 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001316810257 872085310 /nfs/dbraw/zinc/08/53/10/872085310.db2.gz IAIQAJLLNRYBTD-JXDHDYMSSA-N -1 1 321.425 1.583 20 0 DDADMM CCCC(=O)[C@H](CCC)Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226721858 882610949 /nfs/dbraw/zinc/61/09/49/882610949.db2.gz JQODASAWEWWFMN-ZDUSSCGKSA-N -1 1 323.393 1.936 20 0 DDADMM CC[C@@H](F)C(=O)N(C)[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001381800154 882695792 /nfs/dbraw/zinc/69/57/92/882695792.db2.gz ADUNYUDCZXUSTN-VXGBXAGGSA-N -1 1 323.368 1.502 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)[C@@H]2CCO[C@H]2C2CC2)[n-]1 ZINC001361958092 882711136 /nfs/dbraw/zinc/71/11/36/882711136.db2.gz NGMBSYPHLZAFBW-MFKMUULPSA-N -1 1 320.345 1.758 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ncccc2F)[n-]1 ZINC001361960499 882712945 /nfs/dbraw/zinc/71/29/45/882712945.db2.gz SQRHFKBVVCSJHC-UHFFFAOYSA-N -1 1 305.265 1.790 20 0 DDADMM CCOCCCn1c(-c2c[n-][nH]c2=O)nnc1N(C)CC(C)C ZINC001346850129 873915037 /nfs/dbraw/zinc/91/50/37/873915037.db2.gz VEQINUVIGNPCPU-UHFFFAOYSA-N -1 1 322.413 1.893 20 0 DDADMM C[C@]1(CC(=O)N2CC[C@@H](c3nn[n-]n3)C2)CC1(Cl)Cl ZINC001347196436 874050721 /nfs/dbraw/zinc/05/07/21/874050721.db2.gz DQECHHQETHXUFI-XCBNKYQSSA-N -1 1 304.181 1.490 20 0 DDADMM CC(C)[C@@H]1CC[C@@H](C)C[C@H]1OC(=O)[C@@H](O)Oc1cnc[n-]c1=O ZINC001227048815 882794702 /nfs/dbraw/zinc/79/47/02/882794702.db2.gz KKYPOLMHHKLKRC-JBBSTSQOSA-N -1 1 324.377 1.883 20 0 DDADMM CCOC1(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)CCCC1 ZINC001347638811 874223450 /nfs/dbraw/zinc/22/34/50/874223450.db2.gz HVJJXNNHIOMCAG-UHFFFAOYSA-N -1 1 311.407 1.858 20 0 DDADMM CC(C)O[N-]C(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)CCC3)C1 ZINC001347998656 874330011 /nfs/dbraw/zinc/33/00/11/874330011.db2.gz RFXPDLRJJQEVKV-CQSZACIVSA-N -1 1 302.374 1.984 20 0 DDADMM CC1=C[C@H](Oc2[n-]c(=O)ncc2Br)CC(=O)O1 ZINC001227133936 882863637 /nfs/dbraw/zinc/86/36/37/882863637.db2.gz QSXONTIIVNUQRQ-LURJTMIESA-N -1 1 301.096 1.543 20 0 DDADMM O=C(Nc1cccc2c(=O)[nH][nH]c(=O)c12)c1ccc([O-])cc1F ZINC000322866652 882881607 /nfs/dbraw/zinc/88/16/07/882881607.db2.gz DXHIQWOIBDMVLZ-UHFFFAOYSA-N -1 1 315.260 1.313 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccc(-n2ccnn2)cc1 ZINC001210294389 875316381 /nfs/dbraw/zinc/31/63/81/875316381.db2.gz NGGSURQGFTYUFT-UHFFFAOYSA-N -1 1 301.335 1.377 20 0 DDADMM CCC[C@@H](NC(=O)C1C[C@H]2CCCC[C@@H](C1)C2=O)c1nn[n-]n1 ZINC001362053426 882923766 /nfs/dbraw/zinc/92/37/66/882923766.db2.gz QQKNYIDCOGCZJO-ZGVCCVRISA-N -1 1 319.409 1.943 20 0 DDADMM CC1(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCCC2)CCCC1 ZINC001378697400 875435183 /nfs/dbraw/zinc/43/51/83/875435183.db2.gz HFPVATMFDQJKLR-UHFFFAOYSA-N -1 1 321.425 1.609 20 0 DDADMM C[C@H]1CCC[C@]1(C)C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001349939806 875464973 /nfs/dbraw/zinc/46/49/73/875464973.db2.gz GFYUKZVTEOYNJG-SJCJKPOMSA-N -1 1 319.405 1.850 20 0 DDADMM O=C([N-]OCCN1CCCC1=O)[C@@H](CCCO)c1ccccc1 ZINC001350092039 875532407 /nfs/dbraw/zinc/53/24/07/875532407.db2.gz XIGBWGLPVFUJAO-HNNXBMFYSA-N -1 1 320.389 1.213 20 0 DDADMM COC(=O)Cc1csc(NC(=O)c2ccc(F)c([O-])c2)n1 ZINC001362074433 882971017 /nfs/dbraw/zinc/97/10/17/882971017.db2.gz CTMJFNOYJIKRRL-UHFFFAOYSA-N -1 1 310.306 1.956 20 0 DDADMM CC(C)[C@H](CNC(=O)c1cccs1)NCc1n[nH]c(=O)[n-]1 ZINC001378935497 875943066 /nfs/dbraw/zinc/94/30/66/875943066.db2.gz GERKYQPCHQBIPZ-VIFPVBQESA-N -1 1 309.395 1.116 20 0 DDADMM O=S(=O)([N-]Cc1cnccn1)c1cnc(Cl)cc1Cl ZINC001214039913 876147151 /nfs/dbraw/zinc/14/71/51/876147151.db2.gz YBOBLQMAWJLVRP-UHFFFAOYSA-N -1 1 319.173 1.657 20 0 DDADMM CN(C(=O)[C@@H]1CC12CCC2)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001379040487 876202942 /nfs/dbraw/zinc/20/29/42/876202942.db2.gz ZBYXDGNHIWHRAD-RYUDHWBXSA-N -1 1 319.409 1.027 20 0 DDADMM CC(C)Oc1ccc(COc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)cn1 ZINC001227431290 883014345 /nfs/dbraw/zinc/01/43/45/883014345.db2.gz UGPFDUAYWCFVQA-UHFFFAOYSA-N -1 1 317.305 1.525 20 0 DDADMM CC(C)NC(=O)Nc1ccccc1NC(=O)CCc1nn[n-]n1 ZINC001362097278 883028759 /nfs/dbraw/zinc/02/87/59/883028759.db2.gz GPTXKXOKUVARDC-UHFFFAOYSA-N -1 1 317.353 1.301 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)Cc1ccccc1F ZINC001352518892 876788064 /nfs/dbraw/zinc/78/80/64/876788064.db2.gz BKEXBAIHJJYAEF-UHFFFAOYSA-N -1 1 316.344 1.177 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)Cc1ccccc1F ZINC001352518892 876788073 /nfs/dbraw/zinc/78/80/73/876788073.db2.gz BKEXBAIHJJYAEF-UHFFFAOYSA-N -1 1 316.344 1.177 20 0 DDADMM CSc1ncc(C(=O)Nc2cc(C(N)=O)ccc2F)c(=O)[n-]1 ZINC001362111217 883066046 /nfs/dbraw/zinc/06/60/46/883066046.db2.gz SRCJGRYISXQHAX-UHFFFAOYSA-N -1 1 322.321 1.394 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2cccc(N)c2)ccc1CO ZINC001216114636 876868152 /nfs/dbraw/zinc/86/81/52/876868152.db2.gz PISHAAPKWOVJBN-UHFFFAOYSA-N -1 1 308.359 1.571 20 0 DDADMM CCN(CC)C(=O)[C@H](Oc1nc(=O)[nH]c(=O)[n-]1)c1ccccc1 ZINC001227570073 883085880 /nfs/dbraw/zinc/08/58/80/883085880.db2.gz IGJSESSGJYAOAG-LLVKDONJSA-N -1 1 318.333 1.271 20 0 DDADMM CCC(=CC(=O)NC[C@H](NC(=O)c1cnn[nH]1)C1CC1)CC ZINC001353087999 877109778 /nfs/dbraw/zinc/10/97/78/877109778.db2.gz RMLGHXHCXMASMG-LBPRGKRZSA-N -1 1 305.382 1.176 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](CCO)C1CCCC1 ZINC001353162188 877155518 /nfs/dbraw/zinc/15/55/18/877155518.db2.gz OXJXJCJJTHTWPT-JTQLQIEISA-N -1 1 311.407 1.450 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)Nc1ccc(=O)n(C)c1 ZINC001362131447 883116522 /nfs/dbraw/zinc/11/65/22/883116522.db2.gz AJVBHFQALQXBOP-UHFFFAOYSA-N -1 1 306.347 1.164 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCc2cccc(Cl)c2C1 ZINC001355335778 878510978 /nfs/dbraw/zinc/51/09/78/878510978.db2.gz VXWIIIMIGIRWQB-UHFFFAOYSA-N -1 1 316.756 1.211 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCc2cccc(Cl)c2C1 ZINC001355335778 878510993 /nfs/dbraw/zinc/51/09/93/878510993.db2.gz VXWIIIMIGIRWQB-UHFFFAOYSA-N -1 1 316.756 1.211 20 0 DDADMM COCCn1c(-c2c[n-][nH]c2=O)nnc1N1CCC=C(C)C1 ZINC001355517974 878595388 /nfs/dbraw/zinc/59/53/88/878595388.db2.gz BRBCLSVPBIAICI-UHFFFAOYSA-N -1 1 304.354 1.177 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)[C@H]1CCNc2ccccc21 ZINC001220375412 878960203 /nfs/dbraw/zinc/96/02/03/878960203.db2.gz QIRYNTISYJEORB-LBPRGKRZSA-N -1 1 312.306 1.660 20 0 DDADMM O=C(C[C@@H]1C=CCC1)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358584223 880557423 /nfs/dbraw/zinc/55/74/23/880557423.db2.gz JNAJOQCGTGUELO-CHWSQXEVSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)CC(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCCC1 ZINC001374362518 912643680 /nfs/dbraw/zinc/64/36/80/912643680.db2.gz TXRYPVWXUFKADW-ZDUSSCGKSA-N -1 1 323.441 1.711 20 0 DDADMM CCCCC(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001381168323 881176256 /nfs/dbraw/zinc/17/62/56/881176256.db2.gz FINJOHKVNCPNHX-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM Cc1cc(NC(=O)C2=C([O-])C(C)N=N2)n(C2CCOCC2)n1 ZINC001362173707 883212804 /nfs/dbraw/zinc/21/28/04/883212804.db2.gz COIJIAGGNQZUOQ-UHFFFAOYSA-N -1 1 305.338 1.532 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H](Oc2cc(=O)[n-]c(SC)n2)CO1 ZINC001228090813 883331582 /nfs/dbraw/zinc/33/15/82/883331582.db2.gz NNYJEHBOFLENFC-IUCAKERBSA-N -1 1 314.363 1.394 20 0 DDADMM CN1CCC[C@H](NC(=O)c2ncc(Br)cc2[O-])C1 ZINC001362266098 883440633 /nfs/dbraw/zinc/44/06/33/883440633.db2.gz XNRZHTOYRYYMOA-VIFPVBQESA-N -1 1 314.183 1.374 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1C2CCCC1CCC2 ZINC001362275955 883460659 /nfs/dbraw/zinc/46/06/59/883460659.db2.gz ATTGUJLYSWREPI-UHFFFAOYSA-N -1 1 303.362 1.451 20 0 DDADMM Cc1nc(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)co1 ZINC001362285641 883481884 /nfs/dbraw/zinc/48/18/84/883481884.db2.gz MWZHBLINYDYVIU-UHFFFAOYSA-N -1 1 313.310 1.746 20 0 DDADMM C[C@@H](C[S@@](C)=O)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362332694 883584993 /nfs/dbraw/zinc/58/49/93/883584993.db2.gz JDKWACVKOHPBEL-SVWIBVJCSA-N -1 1 309.309 1.908 20 0 DDADMM CCCC(C)(C)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001228943154 883741906 /nfs/dbraw/zinc/74/19/06/883741906.db2.gz CMKZTJWGWHOHDY-UHFFFAOYSA-N -1 1 323.441 1.015 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC(=O)N(C2CC2)C1 ZINC001362414211 883757948 /nfs/dbraw/zinc/75/79/48/883757948.db2.gz SLMSVYGDQGUIHE-UHFFFAOYSA-N -1 1 314.263 1.815 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)c1cccc(O)c1)c1nn[n-]n1 ZINC001362424807 883778715 /nfs/dbraw/zinc/77/87/15/883778715.db2.gz MKLSJLXRLLRHDS-SNVBAGLBSA-N -1 1 303.366 1.841 20 0 DDADMM CCC(CC)(NC(=O)c1cccc(C(C)=O)c1)c1nn[n-]n1 ZINC001362426387 883784477 /nfs/dbraw/zinc/78/44/77/883784477.db2.gz QGVWXUOAWNUYJK-UHFFFAOYSA-N -1 1 301.350 1.848 20 0 DDADMM O=C(N[C@H](CCO)C1CCOCC1)c1cc(Cl)ccc1[O-] ZINC001362461320 883866179 /nfs/dbraw/zinc/86/61/79/883866179.db2.gz LNLRTFLFFLWVHB-CYBMUJFWSA-N -1 1 313.781 1.953 20 0 DDADMM O=c1ncnc(O[C@H]2CCCN(Cc3ccccc3F)C2)[n-]1 ZINC001229610784 884074395 /nfs/dbraw/zinc/07/43/95/884074395.db2.gz WCSRVSANEGLYHR-LBPRGKRZSA-N -1 1 304.325 1.760 20 0 DDADMM COC(=O)c1c[n-]c(=O)nc1O[C@H]1CCC[C@@H](C(=O)OC)C1 ZINC001229871746 884205628 /nfs/dbraw/zinc/20/56/28/884205628.db2.gz BCEHHGSAEIHDKA-BDAKNGLRSA-N -1 1 310.306 1.079 20 0 DDADMM COC(=O)[C@@H](CC1CCCCC1)NC(=O)CCCc1nn[n-]n1 ZINC001362657164 884339681 /nfs/dbraw/zinc/33/96/81/884339681.db2.gz IHIHSYWJGALQIX-GFCCVEGCSA-N -1 1 323.397 1.151 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2sccc2C(=O)OC)on1 ZINC001362672378 884378852 /nfs/dbraw/zinc/37/88/52/884378852.db2.gz LRDURIYMBDDBFL-UHFFFAOYSA-N -1 1 310.287 1.562 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cc(F)ccc2O)n1 ZINC001362679893 884400004 /nfs/dbraw/zinc/40/00/04/884400004.db2.gz VCFLLYPNPYLNND-UHFFFAOYSA-N -1 1 307.281 1.612 20 0 DDADMM CCNC(=O)c1cc(NC(=O)CCCc2nn[n-]n2)c(C)s1 ZINC001362727203 884495608 /nfs/dbraw/zinc/49/56/08/884495608.db2.gz ZLXBZHWFTGIIBE-UHFFFAOYSA-N -1 1 322.394 1.281 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@@H]3CC34CC4)CC2)n1 ZINC001362759653 884572789 /nfs/dbraw/zinc/57/27/89/884572789.db2.gz LCVUFAJIDUSGCE-NSHDSACASA-N -1 1 318.377 1.488 20 0 DDADMM CSc1ncc(C(=O)N(C)Cc2ccc(C)cn2)c(=O)[n-]1 ZINC001362777436 884612832 /nfs/dbraw/zinc/61/28/32/884612832.db2.gz RPBDUZBYCANCLS-UHFFFAOYSA-N -1 1 304.375 1.880 20 0 DDADMM CCC[C@@]1(C(=O)OCC)CCCN1C(=O)CCCc1nn[n-]n1 ZINC001362785746 884634923 /nfs/dbraw/zinc/63/49/23/884634923.db2.gz WAUQCBFQGCJEKU-HNNXBMFYSA-N -1 1 323.397 1.247 20 0 DDADMM O=C([C@@H]1CCCC[C@@H]1C(F)(F)F)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001362807522 884689420 /nfs/dbraw/zinc/68/94/20/884689420.db2.gz PMVQRFTWWYKHMO-BBBLOLIVSA-N -1 1 317.315 1.884 20 0 DDADMM Cc1cccc(CO[C@H](C)C(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001362838449 884764650 /nfs/dbraw/zinc/76/46/50/884764650.db2.gz ZELFLORDXLHNCC-GFCCVEGCSA-N -1 1 315.377 1.609 20 0 DDADMM CSc1cc(C(=O)NCc2cc(=O)[n-]c(SC)n2)ccn1 ZINC001362849772 884791773 /nfs/dbraw/zinc/79/17/73/884791773.db2.gz AKCVIIOFJKKDGB-UHFFFAOYSA-N -1 1 322.415 1.951 20 0 DDADMM CC(C)C(=O)NC[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001382871834 884839256 /nfs/dbraw/zinc/83/92/56/884839256.db2.gz UGDULXQEWZORTH-NEPJUHHUSA-N -1 1 305.378 1.458 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C(=O)OCc1ccccc1 ZINC001362875531 884856132 /nfs/dbraw/zinc/85/61/32/884856132.db2.gz WIBVXPXWZUWRTF-NSHDSACASA-N -1 1 300.314 1.649 20 0 DDADMM CCN(C(C)=O)c1ccc(NC(=O)c2cncc([O-])c2)cn1 ZINC001362957795 885083127 /nfs/dbraw/zinc/08/31/27/885083127.db2.gz OOVFXTPWESGQRE-UHFFFAOYSA-N -1 1 300.318 1.807 20 0 DDADMM NC(=O)c1cccc(C(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC001362966791 885108074 /nfs/dbraw/zinc/10/80/74/885108074.db2.gz CCTCAOAEMPHZGE-UHFFFAOYSA-N -1 1 321.283 1.137 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H]1CC[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001288471279 912919811 /nfs/dbraw/zinc/91/98/11/912919811.db2.gz KUAAZKBYRKNTIJ-CLFQDTNISA-N -1 1 317.389 1.863 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](C)c2ccccn2)n[n-]1 ZINC001363045113 885318313 /nfs/dbraw/zinc/31/83/13/885318313.db2.gz CLEIXEIPJZLIDZ-NXEZZACHSA-N -1 1 317.349 1.357 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](C)c2ccccn2)[n-]1 ZINC001363045113 885318324 /nfs/dbraw/zinc/31/83/24/885318324.db2.gz CLEIXEIPJZLIDZ-NXEZZACHSA-N -1 1 317.349 1.357 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](C)c2ccccn2)n1 ZINC001363045113 885318341 /nfs/dbraw/zinc/31/83/41/885318341.db2.gz CLEIXEIPJZLIDZ-NXEZZACHSA-N -1 1 317.349 1.357 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2occ3c2CCC3)n[n-]1 ZINC001363047881 885328640 /nfs/dbraw/zinc/32/86/40/885328640.db2.gz ZEMJLERGXGKCQK-QMMMGPOBSA-N -1 1 318.333 1.554 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2occ3c2CCC3)[n-]1 ZINC001363047881 885328654 /nfs/dbraw/zinc/32/86/54/885328654.db2.gz ZEMJLERGXGKCQK-QMMMGPOBSA-N -1 1 318.333 1.554 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2occ3c2CCC3)n1 ZINC001363047881 885328672 /nfs/dbraw/zinc/32/86/72/885328672.db2.gz ZEMJLERGXGKCQK-QMMMGPOBSA-N -1 1 318.333 1.554 20 0 DDADMM CCC(CC)(CO)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001363055071 885348461 /nfs/dbraw/zinc/34/84/61/885348461.db2.gz AOXGRZVKODNNJE-LBPRGKRZSA-N -1 1 303.366 1.204 20 0 DDADMM CSCC[C@H](NC(=O)Cc1cc(C2CC2)no1)c1nn[n-]n1 ZINC001363101034 885466241 /nfs/dbraw/zinc/46/62/41/885466241.db2.gz XMVLWDGUKWJCSR-JTQLQIEISA-N -1 1 322.394 1.218 20 0 DDADMM O=C([O-])c1ccc(CN2CC[C@@H](Oc3ncccn3)C2)s1 ZINC001231465593 885685414 /nfs/dbraw/zinc/68/54/14/885685414.db2.gz MBZVYLBIFATUCA-SNVBAGLBSA-N -1 1 305.359 1.890 20 0 DDADMM O=C([N-]Cc1cnc(Cl)cn1)C(F)(F)c1nccs1 ZINC001363212674 885727751 /nfs/dbraw/zinc/72/77/51/885727751.db2.gz XQSFXDFOZSGNFZ-UHFFFAOYSA-N -1 1 304.709 1.995 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H](C)[C@H]2CCCO2)n[n-]1 ZINC001363229282 885766887 /nfs/dbraw/zinc/76/68/87/885766887.db2.gz AVPYPOTXVSZNLR-GARJFASQSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H](C)[C@H]2CCCO2)[n-]1 ZINC001363229282 885766902 /nfs/dbraw/zinc/76/69/02/885766902.db2.gz AVPYPOTXVSZNLR-GARJFASQSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H](C)[C@H]2CCCO2)n1 ZINC001363229282 885766914 /nfs/dbraw/zinc/76/69/14/885766914.db2.gz AVPYPOTXVSZNLR-GARJFASQSA-N -1 1 324.381 1.364 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC2(CC1)COCCO2 ZINC001363241170 885801264 /nfs/dbraw/zinc/80/12/64/885801264.db2.gz HRQJHXUQKXGAHB-UHFFFAOYSA-N -1 1 319.361 1.081 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1cc[nH]n1 ZINC001363258514 885839759 /nfs/dbraw/zinc/83/97/59/885839759.db2.gz FYVREOFSILPVRD-UHFFFAOYSA-N -1 1 303.366 1.484 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1CCOC2(CCC2)C1 ZINC001363276378 885885512 /nfs/dbraw/zinc/88/55/12/885885512.db2.gz LTYKETDVRPHLIS-GFCCVEGCSA-N -1 1 307.398 1.534 20 0 DDADMM CSCC[C@H](NC(=O)c1cnn(C(C)(C)C)c1)c1nn[n-]n1 ZINC001363279769 885898582 /nfs/dbraw/zinc/89/85/82/885898582.db2.gz MRZURYWCPFSSSE-JTQLQIEISA-N -1 1 323.426 1.375 20 0 DDADMM CSc1ncc(C(=O)N2Cc3cncnc3[C@H](C)C2)c(=O)[n-]1 ZINC001363306812 885970291 /nfs/dbraw/zinc/97/02/91/885970291.db2.gz BTRQXXHJYMOVFP-MRVPVSSYSA-N -1 1 317.374 1.454 20 0 DDADMM O=C(C[C@@H]1CC[C@H](C(F)(F)F)O1)NCc1nc([O-])cc(=O)[nH]1 ZINC001363323025 886022249 /nfs/dbraw/zinc/02/22/49/886022249.db2.gz HLJUAMDNYRPUKC-NKWVEPMBSA-N -1 1 321.255 1.004 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)C1=NO[C@@H](c2ccccc2)C1 ZINC001363357696 886121813 /nfs/dbraw/zinc/12/18/13/886121813.db2.gz DICGZTQVNMXDOS-GFCCVEGCSA-N -1 1 312.333 1.213 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)N[C@@H](C)C(F)F ZINC001363413936 886272256 /nfs/dbraw/zinc/27/22/56/886272256.db2.gz KLSBLFTYJWEFNK-QMMMGPOBSA-N -1 1 323.303 1.260 20 0 DDADMM O=C(N[C@H]1CCOC2(CCOCC2)C1)c1ccc(F)c([O-])c1 ZINC001363423219 886299898 /nfs/dbraw/zinc/29/98/98/886299898.db2.gz ZRNAFFBVOVJSER-LBPRGKRZSA-N -1 1 309.337 1.989 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1ccc(CO)c(CO)c1 ZINC001363489395 886472277 /nfs/dbraw/zinc/47/22/77/886472277.db2.gz KMUNSVQFFRRFES-UHFFFAOYSA-N -1 1 303.314 1.638 20 0 DDADMM CNC(=O)N(C)c1ccc(NC(=O)c2ccc([O-])cn2)cc1 ZINC001363550871 886616726 /nfs/dbraw/zinc/61/67/26/886616726.db2.gz ZHEXPOSXCSKNDD-UHFFFAOYSA-N -1 1 300.318 1.815 20 0 DDADMM O=c1[nH]c(=O)c2c([nH]1)CCN(Cc1ccc(F)c([O-])c1F)C2 ZINC001232935581 886681244 /nfs/dbraw/zinc/68/12/44/886681244.db2.gz UJCIMODVEHOXLB-UHFFFAOYSA-N -1 1 309.272 1.430 20 0 DDADMM COC(=O)C[C@H]1COCCN1Cc1ccc(F)c([O-])c1F ZINC001232937253 886682104 /nfs/dbraw/zinc/68/21/04/886682104.db2.gz LWQIHGYPOPBWFX-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM O=C(NC[C@@H](O)c1c[nH]cn1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001363582569 886692802 /nfs/dbraw/zinc/69/28/02/886692802.db2.gz AUUOBSQCNNQQIL-LLVKDONJSA-N -1 1 315.251 1.598 20 0 DDADMM O=C(NC[C@@H]1CC2(CO1)CCOCC2)c1cccc([O-])c1F ZINC001363626395 886804285 /nfs/dbraw/zinc/80/42/85/886804285.db2.gz MIUWVMUYXYMSGF-NSHDSACASA-N -1 1 309.337 1.847 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@H]2CCC(=O)[C@H](C)C2)[n-]c1=O ZINC001363631044 886816175 /nfs/dbraw/zinc/81/61/75/886816175.db2.gz NAJPMOWNCZDEIW-ZJUUUORDSA-N -1 1 321.377 1.547 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cnc(C3CC3)o2)[n-]c1=O ZINC001363633156 886825529 /nfs/dbraw/zinc/82/55/29/886825529.db2.gz LNZUTVAJXYKYFM-UHFFFAOYSA-N -1 1 318.333 1.721 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@H]1CCSC1 ZINC001363640558 886853193 /nfs/dbraw/zinc/85/31/93/886853193.db2.gz HKMKZMJAKAICMV-GUBZILKMSA-N -1 1 324.368 1.798 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)C2=C[S@](=O)CCC2)n[nH]1 ZINC001363722114 887069484 /nfs/dbraw/zinc/06/94/84/887069484.db2.gz ILAWOSZUJMKLMD-OAQYLSRUSA-N -1 1 311.363 1.340 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2ccc(C)c(C)n2)sn1 ZINC001363939844 887578274 /nfs/dbraw/zinc/57/82/74/887578274.db2.gz OLSKLUZNTXGSMG-UHFFFAOYSA-N -1 1 313.404 1.642 20 0 DDADMM C[C@H](C[C@@H](C)NC(=O)C(C)(C)F)NC(=O)c1ncccc1[O-] ZINC001384500118 887580935 /nfs/dbraw/zinc/58/09/35/887580935.db2.gz NPAVSJIDDVVXMF-NXEZZACHSA-N -1 1 311.357 1.548 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H]2CCC[C@@H]2OC)sn1 ZINC001363963171 887624619 /nfs/dbraw/zinc/62/46/19/887624619.db2.gz GAQPXBDPEVVSFY-BDAKNGLRSA-N -1 1 306.409 1.245 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cccc2c1OCC2 ZINC001363990199 887679547 /nfs/dbraw/zinc/67/95/47/887679547.db2.gz GDCLPMFUJHFFTA-UHFFFAOYSA-N -1 1 313.313 1.091 20 0 DDADMM COC[C@@H](NC(=O)[C@H](C)CCc1ccccc1F)c1nn[n-]n1 ZINC001364025503 887745943 /nfs/dbraw/zinc/74/59/43/887745943.db2.gz HZUVBERXDGCLDD-ZWNOBZJWSA-N -1 1 321.356 1.411 20 0 DDADMM CCc1c[nH]c(C[N-]S(=O)(=O)c2c(F)cc(F)cc2F)n1 ZINC001364063103 887830744 /nfs/dbraw/zinc/83/07/44/887830744.db2.gz DAMLVSZBBHIHEJ-UHFFFAOYSA-N -1 1 319.308 1.868 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1C[C@H]2CCC[C@H]21 ZINC001364071646 887848675 /nfs/dbraw/zinc/84/86/75/887848675.db2.gz LNMANBVLDWNUSV-FXPVBKGRSA-N -1 1 313.379 1.053 20 0 DDADMM CCOC(=O)[C@@H]1CCCC[C@H]1Oc1c(C(N)=O)nc(C)[n-]c1=O ZINC001234468654 888000931 /nfs/dbraw/zinc/00/09/31/888000931.db2.gz ACKWWUXEHJPYPP-NXEZZACHSA-N -1 1 323.349 1.090 20 0 DDADMM C[C@H](Oc1c(C(N)=O)nc[n-]c1=O)c1cccc(N(C)C)c1 ZINC001234471672 888003707 /nfs/dbraw/zinc/00/37/07/888003707.db2.gz GUVVTPPUHJNMOJ-VIFPVBQESA-N -1 1 302.334 1.487 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@@H]2CCCc3cccnc32)c(=O)[n-]1 ZINC001234472440 888003930 /nfs/dbraw/zinc/00/39/30/888003930.db2.gz QBYRVUQEKHEDJE-SNVBAGLBSA-N -1 1 300.318 1.441 20 0 DDADMM CO[C@](C)(CO)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001364154205 888036031 /nfs/dbraw/zinc/03/60/31/888036031.db2.gz LWJHIYUSCCMHIY-HNNXBMFYSA-N -1 1 320.349 1.097 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H](C)c2ncccn2)c(=O)[n-]1 ZINC001234560890 888097096 /nfs/dbraw/zinc/09/70/96/888097096.db2.gz IZDYWDPTHBSVLQ-MRVPVSSYSA-N -1 1 303.322 1.335 20 0 DDADMM Cc1c([C@@H](C)Oc2c(C(N)=O)nc(C(C)C)[n-]c2=O)cnn1C ZINC001234561353 888098548 /nfs/dbraw/zinc/09/85/48/888098548.db2.gz LOEOGNJWTBLECR-SECBINFHSA-N -1 1 319.365 1.586 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)CC(C)(C)C ZINC001384857733 888112489 /nfs/dbraw/zinc/11/24/89/888112489.db2.gz DFFVGDKHOFDHEH-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1OCC[C@H]1COC(C)(C)O1 ZINC001234609677 888138080 /nfs/dbraw/zinc/13/80/80/888138080.db2.gz PGDCQPSEXVVFHY-QMMMGPOBSA-N -1 1 315.297 1.633 20 0 DDADMM C[C@H]1OC(=O)N[C@@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001364264034 888249425 /nfs/dbraw/zinc/24/94/25/888249425.db2.gz YUQIFOBGHZXKHF-PELKAZGASA-N -1 1 302.290 1.013 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Cc2ccon2)[n-]c1=O ZINC001364417421 888594395 /nfs/dbraw/zinc/59/43/95/888594395.db2.gz VFAUPYBSONQMSX-JTQLQIEISA-N -1 1 304.306 1.085 20 0 DDADMM O=C(c1conc1C1CCCC1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001364570013 888946594 /nfs/dbraw/zinc/94/65/94/888946594.db2.gz ZERFOEJQXJGEQO-JTQLQIEISA-N -1 1 302.338 1.475 20 0 DDADMM c1nn(Cc2ccccn2)cc1-c1ccc(-c2nnn[n-]2)nc1 ZINC001236430792 889231539 /nfs/dbraw/zinc/23/15/39/889231539.db2.gz NPCISLGVUFPVHH-UHFFFAOYSA-N -1 1 304.317 1.569 20 0 DDADMM c1nn(Cc2ccccn2)cc1-c1ccc(-c2nn[n-]n2)nc1 ZINC001236430792 889231551 /nfs/dbraw/zinc/23/15/51/889231551.db2.gz NPCISLGVUFPVHH-UHFFFAOYSA-N -1 1 304.317 1.569 20 0 DDADMM NS(=O)(=O)c1ccc(C(=O)[N-]c2cscc2Cl)o1 ZINC001364703133 889232642 /nfs/dbraw/zinc/23/26/42/889232642.db2.gz XYRRITRFYYMRPM-UHFFFAOYSA-N -1 1 306.752 1.894 20 0 DDADMM CC(C)C(=O)N[C@@H](C)[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001385528994 889267822 /nfs/dbraw/zinc/26/78/22/889267822.db2.gz CXRFRFQNNJSWSX-STQMWFEESA-N -1 1 319.405 1.943 20 0 DDADMM CCCC[C@@H](COC)[N-]S(=O)(=O)c1csnc1OC ZINC001364778710 889396652 /nfs/dbraw/zinc/39/66/52/889396652.db2.gz FNNDEDNHKRXQNE-VIFPVBQESA-N -1 1 308.425 1.635 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2cnc(C)s2)sn1 ZINC001364794213 889431173 /nfs/dbraw/zinc/43/11/73/889431173.db2.gz JLAUMEUMFTXBJD-UHFFFAOYSA-N -1 1 305.406 1.395 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)[C@@H](OC)C1CC1 ZINC001364864360 889571764 /nfs/dbraw/zinc/57/17/64/889571764.db2.gz GJIRKWWPYIOXOS-OIBJUYFYSA-N -1 1 306.409 1.244 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CCCC2(CC2)C1 ZINC001364988596 889826392 /nfs/dbraw/zinc/82/63/92/889826392.db2.gz QJQKNRSYMDHIPD-NSHDSACASA-N -1 1 303.362 1.356 20 0 DDADMM CNC(=O)N[C@H]1CCCN(Cc2ccc(F)cc2C(=O)[O-])C1 ZINC001238242515 890147119 /nfs/dbraw/zinc/14/71/19/890147119.db2.gz QXEUIXXEDCKIHG-LBPRGKRZSA-N -1 1 309.341 1.417 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Nc2ccn(C)n2)[n-]c1=O ZINC001365126109 890155470 /nfs/dbraw/zinc/15/54/70/890155470.db2.gz ZJDSFXWUGGYMJI-VIFPVBQESA-N -1 1 318.337 1.293 20 0 DDADMM COC(=O)c1n[nH]c2ccc(NC(=O)c3n[nH]c(C)c3[O-])cc21 ZINC001290680267 913487716 /nfs/dbraw/zinc/48/77/16/913487716.db2.gz AFUUZLYTLUYOGA-UHFFFAOYSA-N -1 1 315.289 1.339 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)OCC(F)(F)F)n1 ZINC001365435813 890792043 /nfs/dbraw/zinc/79/20/43/890792043.db2.gz FBTIOTUZIAHGCL-YFKPBYRVSA-N -1 1 310.232 1.331 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)OCC(F)(F)F)n[n-]1 ZINC001365435813 890792012 /nfs/dbraw/zinc/79/20/12/890792012.db2.gz FBTIOTUZIAHGCL-YFKPBYRVSA-N -1 1 310.232 1.331 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)OCC(F)(F)F)[n-]1 ZINC001365435813 890792029 /nfs/dbraw/zinc/79/20/29/890792029.db2.gz FBTIOTUZIAHGCL-YFKPBYRVSA-N -1 1 310.232 1.331 20 0 DDADMM C[C@@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)c1csnn1 ZINC001365508869 890946363 /nfs/dbraw/zinc/94/63/63/890946363.db2.gz AEORALMCRNGZCI-SSDOTTSWSA-N -1 1 303.351 1.076 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC1CC(C)C1)c1cccs1 ZINC001365643764 891238891 /nfs/dbraw/zinc/23/88/91/891238891.db2.gz AOCDVKRCNPMXOO-RTYFJBAXSA-N -1 1 317.432 1.928 20 0 DDADMM CCc1cccc2c(CC(=O)NC3(c4nn[n-]n4)CCC3)c[nH]c21 ZINC000736695062 913549849 /nfs/dbraw/zinc/54/98/49/913549849.db2.gz HRJHVJHAEPPXJI-UHFFFAOYSA-N -1 1 324.388 1.982 20 0 DDADMM Cc1cc2c(c(C3=CCN(C(=O)C4CC4)CC3)n1)C(=O)[N-]C2=O ZINC001243026925 891585569 /nfs/dbraw/zinc/58/55/69/891585569.db2.gz HPJTXDQERLYNIC-UHFFFAOYSA-N -1 1 311.341 1.299 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCC1(C)C ZINC001386742852 891611328 /nfs/dbraw/zinc/61/13/28/891611328.db2.gz NAJMFJIMSVLDFO-WDEREUQCSA-N -1 1 305.378 1.458 20 0 DDADMM COc1nc(-c2cc(CC(=O)[O-])ccc2F)nc2nc[nH]c21 ZINC001245316747 892074409 /nfs/dbraw/zinc/07/44/09/892074409.db2.gz REYYOULSXNUGQU-UHFFFAOYSA-N -1 1 302.265 1.795 20 0 DDADMM CCc1ccc(NC(=S)Nc2c(O)[nH]c(=O)[n-]c2=S)cc1 ZINC001247359052 893173017 /nfs/dbraw/zinc/17/30/17/893173017.db2.gz QURMUSSLASJFIB-SECBINFHSA-N -1 1 322.415 1.071 20 0 DDADMM CC(C)N1CC[C@H]([N@H+](C)CCNC(=O)c2ccccc2O)C1=O ZINC001366515019 893806984 /nfs/dbraw/zinc/80/69/84/893806984.db2.gz OJLGAUUQGOWIDQ-AWEZNQCLSA-N -1 1 319.405 1.063 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2ccncc2C(F)(F)F)cc1 ZINC001249593436 894051381 /nfs/dbraw/zinc/05/13/81/894051381.db2.gz OPKWKZMCINFHJD-UHFFFAOYSA-N -1 1 317.292 1.905 20 0 DDADMM CCOC(=O)c1nc2cc(C(=O)N3CCN(C)CC3)ccc2[n-]1 ZINC001250549874 894326782 /nfs/dbraw/zinc/32/67/82/894326782.db2.gz JLVZVACECUZLMC-UHFFFAOYSA-N -1 1 316.361 1.127 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@H]1CC1(C)C ZINC001388428385 895219313 /nfs/dbraw/zinc/21/93/13/895219313.db2.gz GXKJRZNPMCNPIZ-WDEREUQCSA-N -1 1 305.378 1.315 20 0 DDADMM CCCCC(=O)NCCN(CCC)C(=O)c1ncccc1[O-] ZINC001388567721 895457162 /nfs/dbraw/zinc/45/71/62/895457162.db2.gz JURCLXCLZJLDSC-UHFFFAOYSA-N -1 1 307.394 1.946 20 0 DDADMM CCCS(=O)(=O)[N-]c1cc(C(=O)N(C)C)ccc1OC ZINC001253697985 896011069 /nfs/dbraw/zinc/01/10/69/896011069.db2.gz AVIWBSONHHXFDU-UHFFFAOYSA-N -1 1 300.380 1.549 20 0 DDADMM O=C(CCCC1CC1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001374824702 914047121 /nfs/dbraw/zinc/04/71/21/914047121.db2.gz SFVZISRMDMRUMY-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM CCC[C@@H](C)CC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367315508 896425995 /nfs/dbraw/zinc/42/59/95/896425995.db2.gz JEZXHGYOLQEEJJ-GFCCVEGCSA-N -1 1 323.441 1.855 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCOCC(F)F ZINC001255634280 897066392 /nfs/dbraw/zinc/06/63/92/897066392.db2.gz XNNWOHVGESQURK-UHFFFAOYSA-N -1 1 307.322 1.224 20 0 DDADMM CCC[C@@H](CC)C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001389388915 897100685 /nfs/dbraw/zinc/10/06/85/897100685.db2.gz IACTXTQNQUKCMW-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)CC1 ZINC001367921570 898193070 /nfs/dbraw/zinc/19/30/70/898193070.db2.gz NSUBBSKMCYKHMI-RAIGVLPGSA-N -1 1 321.425 1.321 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@]12CCCOC2)c1cc(F)ccc1F ZINC001258951159 898377806 /nfs/dbraw/zinc/37/78/06/898377806.db2.gz QRVIMALURRDZEN-QWHCGFSZSA-N -1 1 303.330 1.812 20 0 DDADMM CCCC[C@@H](CO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259043433 898438399 /nfs/dbraw/zinc/43/83/99/898438399.db2.gz YOEJTGZOVJUMBU-QMMMGPOBSA-N -1 1 311.325 1.933 20 0 DDADMM O=S(=O)([N-]CCc1ncccn1)c1cc(F)c(F)cc1F ZINC001259043116 898438850 /nfs/dbraw/zinc/43/88/50/898438850.db2.gz DTLFRLFIEBCMIP-UHFFFAOYSA-N -1 1 317.292 1.415 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)[C@@H](C)Oc1ccccc1 ZINC000355850248 898555977 /nfs/dbraw/zinc/55/59/77/898555977.db2.gz ICKIKEZEIOFAQL-SNVBAGLBSA-N -1 1 303.318 1.992 20 0 DDADMM CC(C)C[C@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC001259460648 898679993 /nfs/dbraw/zinc/67/99/93/898679993.db2.gz LTRFHEAJXZKLBS-JTQLQIEISA-N -1 1 322.789 1.657 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)c2cc(Cl)ccc2F)c(=O)[nH]1 ZINC001259462651 898681493 /nfs/dbraw/zinc/68/14/93/898681493.db2.gz UANIDWOTJSEQMH-SECBINFHSA-N -1 1 317.729 1.155 20 0 DDADMM O=S(=O)(CCc1cccc(F)c1)[N-]c1cccc(CO)n1 ZINC001259767349 898788758 /nfs/dbraw/zinc/78/87/58/898788758.db2.gz IGVUOMRJQKCVEQ-UHFFFAOYSA-N -1 1 310.350 1.697 20 0 DDADMM O=S(=O)([N-]CCOc1cccc2cccnc21)C(F)F ZINC001259962059 898983944 /nfs/dbraw/zinc/98/39/44/898983944.db2.gz BXIQETXROXDPGV-UHFFFAOYSA-N -1 1 302.302 1.756 20 0 DDADMM O=S(=O)([N-]Cc1cncn1Cc1cccc(F)c1)C(F)F ZINC001259963670 898987197 /nfs/dbraw/zinc/98/71/97/898987197.db2.gz JXBUCKBEAVTAFC-UHFFFAOYSA-N -1 1 319.308 1.713 20 0 DDADMM O=S(=O)([N-]CCc1ncccc1Br)C(F)F ZINC001259964910 898989632 /nfs/dbraw/zinc/98/96/32/898989632.db2.gz NILJDWHJDVALRT-UHFFFAOYSA-N -1 1 315.139 1.529 20 0 DDADMM Cc1ccsc1S(=O)(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001260070643 899033392 /nfs/dbraw/zinc/03/33/92/899033392.db2.gz DTKBSUBCFQAKDU-UHFFFAOYSA-N -1 1 311.348 1.229 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2ccn3nccc3c2)c1 ZINC001260183898 899076294 /nfs/dbraw/zinc/07/62/94/899076294.db2.gz HIRLAEZMVAFQOK-UHFFFAOYSA-N -1 1 317.326 1.833 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)COC1 ZINC001260286508 899107918 /nfs/dbraw/zinc/10/79/18/899107918.db2.gz AMJDZRXRHCDHSC-UHFFFAOYSA-N -1 1 311.737 1.933 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-]c1cc(F)nc(F)c1F ZINC001261024347 899325557 /nfs/dbraw/zinc/32/55/57/899325557.db2.gz WBYQRNRNWZDMHH-UHFFFAOYSA-N -1 1 306.269 1.640 20 0 DDADMM C[C@@H]1CCCC[C@@H]1C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001293261727 914376481 /nfs/dbraw/zinc/37/64/81/914376481.db2.gz CKBGCFDTZIWIFY-OLZOCXBDSA-N -1 1 319.405 1.850 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@H]1NC(=O)C(=O)c1ccc([O-])cc1 ZINC001262664919 900291781 /nfs/dbraw/zinc/29/17/81/900291781.db2.gz JONJVVAJVNOFNK-WCQYABFASA-N -1 1 305.330 1.423 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(F)c(C#N)c2)ccn1 ZINC000385149144 900884851 /nfs/dbraw/zinc/88/48/51/900884851.db2.gz OXSYHEZPZUKUCO-UHFFFAOYSA-N -1 1 307.306 1.902 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)cc1 ZINC000386394084 901024967 /nfs/dbraw/zinc/02/49/67/901024967.db2.gz JXVCRHUEUCCIER-UHFFFAOYSA-N -1 1 321.358 1.135 20 0 DDADMM CN(CCN(C)C(=O)C1CC2(CC2)C1)C(=O)c1ncccc1[O-] ZINC001391810368 902643860 /nfs/dbraw/zinc/64/38/60/902643860.db2.gz VLZZNKDSDKZZLZ-UHFFFAOYSA-N -1 1 317.389 1.508 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@@H]1CCCC12CC2)NCc1n[nH]c(=O)[n-]1 ZINC001392236259 903611517 /nfs/dbraw/zinc/61/15/17/903611517.db2.gz ZRJKABMSXFLJGF-NWDGAFQWSA-N -1 1 321.425 1.321 20 0 DDADMM C/C(=C/C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-])C1CC1 ZINC001280432680 903670978 /nfs/dbraw/zinc/67/09/78/903670978.db2.gz FCMDAXRQVHJNTK-UCQJPZFISA-N -1 1 317.389 1.720 20 0 DDADMM CC(C)CCC(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001370908921 903967727 /nfs/dbraw/zinc/96/77/27/903967727.db2.gz NTVQIANOKUIRKZ-LBPRGKRZSA-N -1 1 309.414 1.417 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CC2(CCC2)C1 ZINC001392545505 904420663 /nfs/dbraw/zinc/42/06/63/904420663.db2.gz IZKHRVJSXXOICS-LLVKDONJSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@H](CN[C@@H](C)c1ncccn1)NC(=O)c1ncccc1[O-] ZINC001392556552 904454299 /nfs/dbraw/zinc/45/42/99/904454299.db2.gz WCDHAZRYPSVFBI-QWRGUYRKSA-N -1 1 301.350 1.046 20 0 DDADMM O=C(NCCCNC(=O)C1(F)CCCCC1)c1ncccc1[O-] ZINC001283231908 906952303 /nfs/dbraw/zinc/95/23/03/906952303.db2.gz UNYOQUNXNNVQJY-UHFFFAOYSA-N -1 1 323.368 1.696 20 0 DDADMM C[C@H](CNC(=O)CC1CCCC1)NC(=O)c1ncccc1[O-] ZINC001372749135 908130582 /nfs/dbraw/zinc/13/05/82/908130582.db2.gz ACIHWVCUAUZTSL-LLVKDONJSA-N -1 1 305.378 1.602 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)C1(C)CCCCC1 ZINC001393964290 908398152 /nfs/dbraw/zinc/39/81/52/908398152.db2.gz CDFWGDSWZKIFLW-GHMZBOCLSA-N -1 1 309.414 1.464 20 0 DDADMM CC[C@H](CNC(=O)c1cc[nH]c1C(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001373788933 910991272 /nfs/dbraw/zinc/99/12/72/910991272.db2.gz LQEXRQLANHMQNK-SNVBAGLBSA-N -1 1 320.397 1.260 20 0 DDADMM C[C@@H](CNC(=O)C[C@H]1C=CCC1)NC(=O)c1ncccc1[O-] ZINC001285723100 911100848 /nfs/dbraw/zinc/10/08/48/911100848.db2.gz LXWWLPULZSZPCD-RYUDHWBXSA-N -1 1 303.362 1.378 20 0 DDADMM C[C@@H](NC(=O)CCC1CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001395270173 911685164 /nfs/dbraw/zinc/68/51/64/911685164.db2.gz QESWZIPJDXBMJG-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1(C)CC1 ZINC001395466885 912046775 /nfs/dbraw/zinc/04/67/75/912046775.db2.gz HPICAKVSSXSLBO-GFCCVEGCSA-N -1 1 319.405 1.848 20 0 DDADMM CN(CCCNC(=O)[C@H]1CC12CC2)C(=O)c1ncccc1[O-] ZINC001294350487 915074626 /nfs/dbraw/zinc/07/46/26/915074626.db2.gz DSYREMIOOUZAOZ-LLVKDONJSA-N -1 1 303.362 1.166 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)c1 ZINC001295508887 915860589 /nfs/dbraw/zinc/86/05/89/915860589.db2.gz JXSDXYBOKRYDIU-JGPRNRPPSA-N -1 1 324.402 1.804 20 0 DDADMM CCN(CCNC(=O)/C=C(/C)C1CC1)C(=O)c1ncccc1[O-] ZINC001296273918 916308048 /nfs/dbraw/zinc/30/80/48/916308048.db2.gz IQMTWSWBOWEADQ-QXMHVHEDSA-N -1 1 317.389 1.722 20 0 DDADMM CN(CCN(C)C(=O)c1ncccc1[O-])C(=O)c1cscn1 ZINC001376209255 917971869 /nfs/dbraw/zinc/97/18/69/917971869.db2.gz AZVWPXRVSBPZJN-UHFFFAOYSA-N -1 1 320.374 1.088 20 0 DDADMM C[C@H](CNC(=O)c1sccc1Cl)NCc1n[nH]c(=O)[n-]1 ZINC001376742927 919235953 /nfs/dbraw/zinc/23/59/53/919235953.db2.gz ZGPFHHXDPAVOQH-ZCFIWIBFSA-N -1 1 315.786 1.133 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001376853363 919574318 /nfs/dbraw/zinc/57/43/18/919574318.db2.gz GQYZQHVYNANMFV-JGVFFNPUSA-N -1 1 323.319 1.083 20 0 DDADMM CCCC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001377418248 921830409 /nfs/dbraw/zinc/83/04/09/921830409.db2.gz ZQJCRZKBEYNSDC-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1(C)CCCCCC1 ZINC001377809010 923101393 /nfs/dbraw/zinc/10/13/93/923101393.db2.gz QETFWJJUMGAUAV-RYUDHWBXSA-N -1 1 323.441 1.854 20 0 DDADMM COc1cccc(C(=O)N=c2ccc(=O)[n-][nH]2)c1OC(C)C ZINC000622847128 365544021 /nfs/dbraw/zinc/54/40/21/365544021.db2.gz JEEWRXZUISCDAV-UHFFFAOYSA-N -1 1 303.318 1.652 20 0 DDADMM COc1cccc(C(=O)N=c2ccc(O)n[n-]2)c1OC(C)C ZINC000622847128 365544032 /nfs/dbraw/zinc/54/40/32/365544032.db2.gz JEEWRXZUISCDAV-UHFFFAOYSA-N -1 1 303.318 1.652 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3(C(F)F)CCCC3)nc2n1 ZINC000622869674 365548905 /nfs/dbraw/zinc/54/89/05/365548905.db2.gz CBDAMRUPYFYOGV-UHFFFAOYSA-N -1 1 311.292 1.490 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3(C(F)(F)F)CCC3)nc2n1 ZINC000622870267 365550654 /nfs/dbraw/zinc/55/06/54/365550654.db2.gz WDKUYMNRORLFKF-UHFFFAOYSA-N -1 1 315.255 1.397 20 0 DDADMM Cc1ccc(CCC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)o1 ZINC000622870856 365550663 /nfs/dbraw/zinc/55/06/63/365550663.db2.gz JMQJATLLWGEIJI-UHFFFAOYSA-N -1 1 301.306 1.199 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC4CCC3CC4)nc2n1 ZINC000622993203 365585235 /nfs/dbraw/zinc/58/52/35/365585235.db2.gz FLHJUUMVOGUIOU-CBINBANVSA-N -1 1 315.377 1.745 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3c(C)nsc3C)nc2n1 ZINC000622993540 365587236 /nfs/dbraw/zinc/58/72/36/365587236.db2.gz RXHHLQSNWOKVHY-UHFFFAOYSA-N -1 1 318.362 1.306 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@H]3C=CCCC3)nc2n1 ZINC000622993598 365587498 /nfs/dbraw/zinc/58/74/98/365587498.db2.gz UJACQYWNVUPISC-JTQLQIEISA-N -1 1 301.350 1.665 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](OC)C3CCC3)nc2n1 ZINC000622998708 365591380 /nfs/dbraw/zinc/59/13/80/365591380.db2.gz OQJZMCMBHUZWEM-LBPRGKRZSA-N -1 1 319.365 1.124 20 0 DDADMM CCC[C@H](NC(=O)COCc1ccccc1C)c1nn[n-]n1 ZINC000623020635 365600991 /nfs/dbraw/zinc/60/09/91/365600991.db2.gz PTROOFKXJLSPET-ZDUSSCGKSA-N -1 1 303.366 1.682 20 0 DDADMM O=C(N1CCC[C@H](c2n[n-]c(=O)o2)C1)C1(c2cccnc2)CC1 ZINC000614387734 361833337 /nfs/dbraw/zinc/83/33/37/361833337.db2.gz CMOGQVYYIRYWIN-NSHDSACASA-N -1 1 314.345 1.608 20 0 DDADMM CN1CCN(C(=O)c2cccc(-c3nc(=O)o[n-]3)c2)CC1(C)C ZINC000614410048 361842785 /nfs/dbraw/zinc/84/27/85/361842785.db2.gz NLSZRQMVOAIDLT-UHFFFAOYSA-N -1 1 316.361 1.196 20 0 DDADMM CC(C)(O)CON=c1ccc(C(=O)Nc2ccccc2)n[n-]1 ZINC000278648891 214301076 /nfs/dbraw/zinc/30/10/76/214301076.db2.gz ICRXDWJMKQBYIQ-UHFFFAOYSA-N -1 1 302.334 1.843 20 0 DDADMM C[C@@H]1OCC[C@@]1(O)C[N-]S(=O)(=O)c1sccc1Cl ZINC000451480051 231108024 /nfs/dbraw/zinc/10/80/24/231108024.db2.gz OFSGCWISOIXEKZ-OIBJUYFYSA-N -1 1 311.812 1.220 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@H](C(=O)OC(C)C)C(C)C ZINC000451844943 231209806 /nfs/dbraw/zinc/20/98/06/231209806.db2.gz UEQJAFHCNGEIGQ-NSHDSACASA-N -1 1 309.428 1.307 20 0 DDADMM Cc1nsc([N-][C@H](CS(=O)(=O)N(C)C)C(F)(F)F)n1 ZINC000286575904 219062323 /nfs/dbraw/zinc/06/23/23/219062323.db2.gz MMTKZWNTEYDSKH-ZCFIWIBFSA-N -1 1 318.346 1.081 20 0 DDADMM CC[C@@H](CN=c1[n-]cc(S(N)(=O)=O)s1)CC(F)(F)F ZINC000413522487 529474663 /nfs/dbraw/zinc/47/46/63/529474663.db2.gz QNMKQGZNXIFTDY-ZCFIWIBFSA-N -1 1 317.358 1.603 20 0 DDADMM O=C(CNC(=O)NCc1ccco1)Nc1c([O-])cccc1F ZINC000089541623 539172838 /nfs/dbraw/zinc/17/28/38/539172838.db2.gz AEAFTUUHWLCJMN-UHFFFAOYSA-N -1 1 307.281 1.562 20 0 DDADMM Cn1ccc2c1cccc2C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129417450 539184491 /nfs/dbraw/zinc/18/44/91/539184491.db2.gz FLSGTSQVGIXHIX-LLVKDONJSA-N -1 1 310.361 1.711 20 0 DDADMM CC[C@H](Nc1ccccc1)C(=O)N=c1[nH][n-]c(C)c1C(=O)NC ZINC000412320059 529678339 /nfs/dbraw/zinc/67/83/39/529678339.db2.gz VHPNCBZRUGXCHI-LBPRGKRZSA-N -1 1 315.377 1.329 20 0 DDADMM C[N@@H+]1CCC[C@@H]1[C@@H]1COCCN1C(=O)c1ccc(O)c(F)c1 ZINC000615271368 362225803 /nfs/dbraw/zinc/22/58/03/362225803.db2.gz WMHOKIIYGGIEAP-KGLIPLIRSA-N -1 1 308.353 1.467 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-][C@H](CC)[C@@H](O)C(F)F)s1 ZINC000451708249 529759243 /nfs/dbraw/zinc/75/92/43/529759243.db2.gz JCFCYCKOQNEEIP-HZGVNTEJSA-N -1 1 314.379 1.388 20 0 DDADMM C[C@H](C[S@@](C)=O)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000181671562 199336687 /nfs/dbraw/zinc/33/66/87/199336687.db2.gz UFYFVOPWLKBDDX-JLPJYYFKSA-N -1 1 309.309 1.908 20 0 DDADMM C[C@H](C[S@@](C)=O)NC(=O)c1cc(Br)ccc1[O-] ZINC000181676145 199336883 /nfs/dbraw/zinc/33/68/83/199336883.db2.gz QWKVCKYTBVWAHD-IWEMQMMOSA-N -1 1 320.208 1.652 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCSC(C)C)c1 ZINC000286738542 219138636 /nfs/dbraw/zinc/13/86/36/219138636.db2.gz OIXUNGJGYJYOSU-UHFFFAOYSA-N -1 1 307.393 1.486 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C(C)(C)c2ccccc2)n[n-]1 ZINC000615920189 362487715 /nfs/dbraw/zinc/48/77/15/362487715.db2.gz OPTRRJWMHXQHFI-UHFFFAOYSA-N -1 1 316.361 1.575 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C(C)(C)c2ccccc2)n1 ZINC000615920189 362487721 /nfs/dbraw/zinc/48/77/21/362487721.db2.gz OPTRRJWMHXQHFI-UHFFFAOYSA-N -1 1 316.361 1.575 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCCc3n[nH]cc32)c1Br ZINC000616006033 362517629 /nfs/dbraw/zinc/51/76/29/362517629.db2.gz GNLNOTGTINGERK-ZETCQYMHSA-N -1 1 324.182 1.684 20 0 DDADMM C[C@H](O)[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CCO1 ZINC000616323347 362637802 /nfs/dbraw/zinc/63/78/02/362637802.db2.gz ZXFGQUHBINOZIC-GWCFXTLKSA-N -1 1 302.330 1.162 20 0 DDADMM CC(C)(NC(=O)c1nc(C2CC2)n2ccccc12)c1nn[n-]n1 ZINC000358426971 299148752 /nfs/dbraw/zinc/14/87/52/299148752.db2.gz NRYXTOHTCPXDHP-UHFFFAOYSA-N -1 1 311.349 1.390 20 0 DDADMM CCC[C@@]1(NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCOC1 ZINC000287009505 219267637 /nfs/dbraw/zinc/26/76/37/219267637.db2.gz BPLRSBOSMWQLCU-PWDRBQJJSA-N -1 1 303.366 1.423 20 0 DDADMM CN(C(=O)c1ccc(C(F)(F)F)cc1[O-])[C@@H]1COC[C@H]1O ZINC000287136839 219337262 /nfs/dbraw/zinc/33/72/62/219337262.db2.gz DNQADHCIHHNBOW-MWLCHTKSSA-N -1 1 305.252 1.243 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CCS(=O)(=O)C1 ZINC000191846918 186263322 /nfs/dbraw/zinc/26/33/22/186263322.db2.gz IHFNOKONPNQWIZ-SECBINFHSA-N -1 1 317.794 1.552 20 0 DDADMM CCNc1nc([O-])c(CC(=O)Nc2ccc(F)cc2F)s1 ZINC000003539414 352111512 /nfs/dbraw/zinc/11/15/12/352111512.db2.gz SPEGAYIGSVNTKW-JTQLQIEISA-N -1 1 313.329 1.901 20 0 DDADMM CCc1nc(SCC(=O)NCc2ccco2)[n-]c(=O)c1C ZINC000018177756 352166824 /nfs/dbraw/zinc/16/68/24/352166824.db2.gz MULMGYQSARECHR-UHFFFAOYSA-N -1 1 307.375 1.642 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N(C)C1CCC1 ZINC000172773438 198147708 /nfs/dbraw/zinc/14/77/08/198147708.db2.gz BFGYPVXMPYGZJL-UHFFFAOYSA-N -1 1 302.378 1.995 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc2cc(Br)ccc2o1 ZINC000060380411 352869877 /nfs/dbraw/zinc/86/98/77/352869877.db2.gz HZQGQHNYTUQUNN-UHFFFAOYSA-N -1 1 308.095 1.961 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CCCS1 ZINC000075200251 353351737 /nfs/dbraw/zinc/35/17/37/353351737.db2.gz YHEQAFQVAUONCS-NSHDSACASA-N -1 1 306.391 1.151 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2cnc3onc(C)c3c2)o1 ZINC000080632019 353619252 /nfs/dbraw/zinc/61/92/52/353619252.db2.gz YGMSTPMCTHCRKE-UHFFFAOYSA-N -1 1 308.319 1.306 20 0 DDADMM Cc1nc2ccccc2cc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000124653503 354040939 /nfs/dbraw/zinc/04/09/39/354040939.db2.gz URWDMIQJPUOIML-JTQLQIEISA-N -1 1 310.361 1.932 20 0 DDADMM CN(Cc1ccc(Cl)c(Cl)c1)C(=O)c1nc(=O)[n-][nH]1 ZINC000126345163 354054030 /nfs/dbraw/zinc/05/40/30/354054030.db2.gz LJXCQALDEVMYJX-UHFFFAOYSA-N -1 1 301.133 1.677 20 0 DDADMM O=C([O-])c1coc(=N[C@@H]2CCC[N@@H+](Cc3ccccc3)C2)[nH]1 ZINC000381831409 354628295 /nfs/dbraw/zinc/62/82/95/354628295.db2.gz OUEKUCCKTUQWOD-CYBMUJFWSA-N -1 1 301.346 1.871 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC[C@@H](O)[C@H](CO)C1 ZINC000396883079 354653328 /nfs/dbraw/zinc/65/33/28/354653328.db2.gz PVPHMIDXEDACCA-DZGCQCFKSA-N -1 1 301.342 1.361 20 0 DDADMM CN(C)C(=O)C1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000073396565 191312891 /nfs/dbraw/zinc/31/28/91/191312891.db2.gz JTAAQQSYESQDHS-UHFFFAOYSA-N -1 1 310.781 1.986 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)[C@H]1CC2CCC1CC2 ZINC000565145129 304045301 /nfs/dbraw/zinc/04/53/01/304045301.db2.gz JTAHMYYFYHCPBC-IDWGSYCQSA-N -1 1 321.377 1.841 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H](CC(C)(C)C)C1 ZINC000332618296 235205730 /nfs/dbraw/zinc/20/57/30/235205730.db2.gz FSQIYZJTVLJLKY-SNVBAGLBSA-N -1 1 301.412 1.842 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCS[C@H]2C)o1 ZINC000592115125 355503771 /nfs/dbraw/zinc/50/37/71/355503771.db2.gz QZGWUVJCBIOOCA-DTWKUNHWSA-N -1 1 319.404 1.629 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1cccc(F)c1F)C(C)C ZINC000592008184 355462102 /nfs/dbraw/zinc/46/21/02/355462102.db2.gz SKUAACVFPQERGV-LBPRGKRZSA-N -1 1 321.345 1.582 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2cccn2C)o1 ZINC000358879576 291066871 /nfs/dbraw/zinc/06/68/71/291066871.db2.gz PISMRFQWTGOBMP-UHFFFAOYSA-N -1 1 312.347 1.273 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)COCC2CC2)c1 ZINC000593654898 355955151 /nfs/dbraw/zinc/95/51/51/355955151.db2.gz YNUXWYYJAZVKJI-SECBINFHSA-N -1 1 317.363 1.160 20 0 DDADMM CN(C[C@H]1COCCO1)C(=O)c1ncc2ccccc2c1[O-] ZINC000618222580 363522688 /nfs/dbraw/zinc/52/26/88/363522688.db2.gz FAIMCVHDTXVYDG-LBPRGKRZSA-N -1 1 302.330 1.428 20 0 DDADMM CC[C@H](C)c1nc([C@@H](C)N=c2ccc(C(=O)OC)n[n-]2)n[nH]1 ZINC000596204262 356812744 /nfs/dbraw/zinc/81/27/44/356812744.db2.gz BOXAZEBXUWBQRR-DTWKUNHWSA-N -1 1 304.354 1.490 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])CC[S@]1=O ZINC000597564127 357229202 /nfs/dbraw/zinc/22/92/02/357229202.db2.gz OIEWVZZGIWVPCI-KPWVOAKYSA-N -1 1 318.398 1.924 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2cc(Cl)ccc2[O-])CS1(=O)=O ZINC000618314250 363579880 /nfs/dbraw/zinc/57/98/80/363579880.db2.gz GCJLKUSRTBDWCS-WCBMZHEXSA-N -1 1 317.794 1.741 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2cc(Cl)ccc2[O-])CS1(=O)=O ZINC000618314248 363579931 /nfs/dbraw/zinc/57/99/31/363579931.db2.gz GCJLKUSRTBDWCS-PSASIEDQSA-N -1 1 317.794 1.741 20 0 DDADMM CCOC(=O)C[C@@H](O)C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000597843260 357355332 /nfs/dbraw/zinc/35/53/32/357355332.db2.gz SOOMTISXHWLHDD-SNVBAGLBSA-N -1 1 323.374 1.121 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H](OC)C1CCCCC1 ZINC000598824882 357736590 /nfs/dbraw/zinc/73/65/90/357736590.db2.gz QXDRUDFYSMTGRN-CYBMUJFWSA-N -1 1 323.393 1.553 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-][C@@H](CC(C)C)C(=O)OC)C1CC1 ZINC000599209031 357851366 /nfs/dbraw/zinc/85/13/66/357851366.db2.gz FYDQMEXYAFBVME-QWHCGFSZSA-N -1 1 321.439 1.309 20 0 DDADMM COC(=O)[C@@H](C[C@H]1CCCOC1)N=c1nc(C2CC2)[n-]s1 ZINC000599162164 357834368 /nfs/dbraw/zinc/83/43/68/357834368.db2.gz DPYQLAXXDGGKBM-MWLCHTKSSA-N -1 1 311.407 1.608 20 0 DDADMM CCOC(=O)Cn1cnc([N-]C(=O)c2conc2C(C)C)n1 ZINC000600037419 358106862 /nfs/dbraw/zinc/10/68/62/358106862.db2.gz IKFWWFDNEOQYTR-UHFFFAOYSA-N -1 1 307.310 1.205 20 0 DDADMM CC(C)Cc1nc(=NC(=O)CCCCc2cn[nH]n2)s[n-]1 ZINC000618349421 363600589 /nfs/dbraw/zinc/60/05/89/363600589.db2.gz WFYDAWPHJCOOSR-UHFFFAOYSA-N -1 1 308.411 1.628 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H](Oc1ccccc1)c1ccccc1 ZINC000600501441 358241598 /nfs/dbraw/zinc/24/15/98/358241598.db2.gz LVOFVUNVGSQIPV-OAHLLOKOSA-N -1 1 309.329 1.636 20 0 DDADMM Cc1nc(-c2ccccc2)c(C(=O)NCc2nn[n-]n2)s1 ZINC000600503136 358242872 /nfs/dbraw/zinc/24/28/72/358242872.db2.gz AMGRVIQMLPFCRQ-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM COCC[C@@H](NC(=O)Cc1ccc([O-])c(Cl)c1)C(=O)OC ZINC000601587091 358612927 /nfs/dbraw/zinc/61/29/27/358612927.db2.gz HDJHXVBQNCMMRH-LLVKDONJSA-N -1 1 315.753 1.282 20 0 DDADMM COC(=O)C[C@H]1CCCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000601765035 358685152 /nfs/dbraw/zinc/68/51/52/358685152.db2.gz GNRKXWNWRYOFLE-LLVKDONJSA-N -1 1 323.418 1.697 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1CC(C)(C)OC1(C)C ZINC000602299220 358920049 /nfs/dbraw/zinc/92/00/49/358920049.db2.gz HYMDYOPLRWIIJL-LLVKDONJSA-N -1 1 323.393 1.551 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2(C(F)(F)F)CCC2)n[n-]1 ZINC000603019702 359361890 /nfs/dbraw/zinc/36/18/90/359361890.db2.gz CQALCQRHQPBFMT-UHFFFAOYSA-N -1 1 320.271 1.330 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2(C(F)(F)F)CCC2)n1 ZINC000603019702 359361895 /nfs/dbraw/zinc/36/18/95/359361895.db2.gz CQALCQRHQPBFMT-UHFFFAOYSA-N -1 1 320.271 1.330 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CC23CCSCC3)n[n-]1 ZINC000603024166 359366028 /nfs/dbraw/zinc/36/60/28/359366028.db2.gz VIPDIEGRGRFOKX-SECBINFHSA-N -1 1 324.406 1.131 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CC23CCSCC3)n1 ZINC000603024166 359366029 /nfs/dbraw/zinc/36/60/29/359366029.db2.gz VIPDIEGRGRFOKX-SECBINFHSA-N -1 1 324.406 1.131 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)n[n-]1 ZINC000603152185 359439491 /nfs/dbraw/zinc/43/94/91/359439491.db2.gz ADAPCCMJJCNJFC-UKKRHICBSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)[n-]1 ZINC000603152185 359439494 /nfs/dbraw/zinc/43/94/94/359439494.db2.gz ADAPCCMJJCNJFC-UKKRHICBSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)n1 ZINC000603152185 359439497 /nfs/dbraw/zinc/43/94/97/359439497.db2.gz ADAPCCMJJCNJFC-UKKRHICBSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](CC)SC)n[n-]1 ZINC000603154912 359442171 /nfs/dbraw/zinc/44/21/71/359442171.db2.gz MOLQRPYPUZJDLX-SFYZADRCSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](CC)SC)[n-]1 ZINC000603154912 359442175 /nfs/dbraw/zinc/44/21/75/359442175.db2.gz MOLQRPYPUZJDLX-SFYZADRCSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](CC)SC)n1 ZINC000603154912 359442179 /nfs/dbraw/zinc/44/21/79/359442179.db2.gz MOLQRPYPUZJDLX-SFYZADRCSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2cccc(F)c2)n[n-]1 ZINC000603161371 359447003 /nfs/dbraw/zinc/44/70/03/359447003.db2.gz FFJBUAFSHJJYSM-SECBINFHSA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2cccc(F)c2)[n-]1 ZINC000603161371 359447008 /nfs/dbraw/zinc/44/70/08/359447008.db2.gz FFJBUAFSHJJYSM-SECBINFHSA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2cccc(F)c2)n1 ZINC000603161371 359447012 /nfs/dbraw/zinc/44/70/12/359447012.db2.gz FFJBUAFSHJJYSM-SECBINFHSA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2sccc2C)n[n-]1 ZINC000603166020 359449722 /nfs/dbraw/zinc/44/97/22/359449722.db2.gz NZOUSMKMSVTYGV-QMMMGPOBSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2sccc2C)[n-]1 ZINC000603166020 359449726 /nfs/dbraw/zinc/44/97/26/359449726.db2.gz NZOUSMKMSVTYGV-QMMMGPOBSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2sccc2C)n1 ZINC000603166020 359449730 /nfs/dbraw/zinc/44/97/30/359449730.db2.gz NZOUSMKMSVTYGV-QMMMGPOBSA-N -1 1 308.363 1.842 20 0 DDADMM CCOc1cc(C(=O)N2CCC[C@H]2C(N)=O)cc(Cl)c1[O-] ZINC000186777636 200008240 /nfs/dbraw/zinc/00/82/40/200008240.db2.gz CSKHGJCSUFKQCE-JTQLQIEISA-N -1 1 312.753 1.534 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2)C(=O)OC ZINC000603365836 359595640 /nfs/dbraw/zinc/59/56/40/359595640.db2.gz HZYUQDKRGQPNER-NWBUJAPZSA-N -1 1 319.423 1.204 20 0 DDADMM COC(=O)[C@@](C)(CCF)[N-]S(=O)(=O)C[C@@]1(C)CC1(F)F ZINC000603381628 359604923 /nfs/dbraw/zinc/60/49/23/359604923.db2.gz HYYDRNVWGXYPQU-NXEZZACHSA-N -1 1 317.329 1.242 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)C2(COC)CC2)cc1 ZINC000603416171 359627703 /nfs/dbraw/zinc/62/77/03/359627703.db2.gz QMUUIWXGXYWOIJ-UHFFFAOYSA-N -1 1 313.375 1.784 20 0 DDADMM Cc1cc2c(cc1C)[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)CO2 ZINC000187352151 200100086 /nfs/dbraw/zinc/10/00/86/200100086.db2.gz SQHPTRIDZVSVCK-DGCLKSJQSA-N -1 1 315.377 1.555 20 0 DDADMM COC(=O)c1cnc(S[C@@H]2CCN(CC(F)(F)F)C2=O)[n-]1 ZINC000187583513 200130543 /nfs/dbraw/zinc/13/05/43/200130543.db2.gz UQMJRXQTHKVCTN-SSDOTTSWSA-N -1 1 323.296 1.452 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H]2CCN(CC(F)(F)F)C2=O)n1 ZINC000187583513 200130545 /nfs/dbraw/zinc/13/05/45/200130545.db2.gz UQMJRXQTHKVCTN-SSDOTTSWSA-N -1 1 323.296 1.452 20 0 DDADMM C[C@@H](NC(=O)CC(C)(C)C)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000188554961 200265705 /nfs/dbraw/zinc/26/57/05/200265705.db2.gz WLKYNUCYOXOADY-ZCFIWIBFSA-N -1 1 321.303 1.703 20 0 DDADMM COc1cccc(Nc2ccccc2C(=O)NCc2nn[n-]n2)c1 ZINC000605662605 359882091 /nfs/dbraw/zinc/88/20/91/359882091.db2.gz CZUHNTLBLUODIQ-UHFFFAOYSA-N -1 1 324.344 1.882 20 0 DDADMM CNC(=O)[C@@H](NC(=O)c1ncc2ccccc2c1[O-])C(C)C ZINC000612703730 361116214 /nfs/dbraw/zinc/11/62/14/361116214.db2.gz XFNLKUSOQWAIHT-LBPRGKRZSA-N -1 1 301.346 1.441 20 0 DDADMM COC(=O)c1cnc(S[C@@H](C(=O)N2CCCC2)C(C)C)[n-]1 ZINC000193539367 201070902 /nfs/dbraw/zinc/07/09/02/201070902.db2.gz LEDYKDNPJNMNAP-LLVKDONJSA-N -1 1 311.407 1.935 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H](C(=O)N2CCCC2)C(C)C)n1 ZINC000193539367 201070903 /nfs/dbraw/zinc/07/09/03/201070903.db2.gz LEDYKDNPJNMNAP-LLVKDONJSA-N -1 1 311.407 1.935 20 0 DDADMM CC(=O)N[C@@H](C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)C(C)C ZINC000613665072 361510686 /nfs/dbraw/zinc/51/06/86/361510686.db2.gz QIAABNTVWDVRMJ-OAHLLOKOSA-N -1 1 316.361 1.882 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])[C@H](C(N)=O)C1 ZINC000613690263 361522066 /nfs/dbraw/zinc/52/20/66/361522066.db2.gz GABNIOZQZHJPKP-GWCFXTLKSA-N -1 1 313.357 1.666 20 0 DDADMM Cc1nnc(CCNC(=O)c2ncc3ccccc3c2[O-])n1C ZINC000613884846 361619525 /nfs/dbraw/zinc/61/95/25/361619525.db2.gz YRFFFLQNVHWNMK-UHFFFAOYSA-N -1 1 311.345 1.350 20 0 DDADMM Cc1nn(C)c(C)c1-c1cc(C(=O)N(CC(=O)[O-])C(C)C)n[nH]1 ZINC000194953882 201317332 /nfs/dbraw/zinc/31/73/32/201317332.db2.gz BHQUHGKXPGEIMO-UHFFFAOYSA-N -1 1 319.365 1.362 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(CCC1CC1)CC1CC1 ZINC000620630495 364497869 /nfs/dbraw/zinc/49/78/69/364497869.db2.gz BNVVRDRDNGHBCY-UHFFFAOYSA-N -1 1 307.350 1.549 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCCOCCF)c(=O)[n-]1 ZINC000621087406 364667903 /nfs/dbraw/zinc/66/79/03/364667903.db2.gz FKWHTJVJRVJTCZ-UHFFFAOYSA-N -1 1 317.386 1.247 20 0 DDADMM C[C@@]1(C(F)F)CN(C(=O)c2csc(=NC3CC3)[n-]2)CCO1 ZINC000621193369 364730251 /nfs/dbraw/zinc/73/02/51/364730251.db2.gz WFVJFWKCQZGKQJ-ZDUSSCGKSA-N -1 1 317.361 1.636 20 0 DDADMM Cc1noc(C)c1CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622611796 365452278 /nfs/dbraw/zinc/45/22/78/365452278.db2.gz KWOSHYBQLGCRBD-CQSZACIVSA-N -1 1 312.333 1.253 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC000625489414 366961051 /nfs/dbraw/zinc/96/10/51/366961051.db2.gz NKWTXGQAOVMHFE-SECBINFHSA-N -1 1 324.185 1.630 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC000625489391 366961181 /nfs/dbraw/zinc/96/11/81/366961181.db2.gz AIQYEAKCTBCWJH-MRVPVSSYSA-N -1 1 307.730 1.115 20 0 DDADMM C/C=C/CNS(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000625559317 367013232 /nfs/dbraw/zinc/01/32/32/367013232.db2.gz YJWQFTRCNGHGGY-ONEGZZNKSA-N -1 1 322.383 1.052 20 0 DDADMM CC[C@](C)(O)CNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000359252074 299378197 /nfs/dbraw/zinc/37/81/97/299378197.db2.gz OFTALLHLAAURKM-AWEZNQCLSA-N -1 1 313.423 1.422 20 0 DDADMM CN1CCN(c2ncc(C(=O)[O-])cn2)C[C@@H]1Cc1ccccc1 ZINC000566290694 304139227 /nfs/dbraw/zinc/13/92/27/304139227.db2.gz BFGRNIUHVJPWFA-HNNXBMFYSA-N -1 1 312.373 1.538 20 0 DDADMM O=C([O-])[C@@]12CCC[C@H]1CN(C(=O)[C@H]1Cc3ccccc3CN1)C2 ZINC000566317753 304143955 /nfs/dbraw/zinc/14/39/55/304143955.db2.gz CFIVQWBMBUFVNW-HDMKZQKVSA-N -1 1 314.385 1.414 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]2CCCC[C@@H]2N1CC(=O)Nc1nncs1 ZINC000248573517 304147927 /nfs/dbraw/zinc/14/79/27/304147927.db2.gz TVJPMMIKMCEMEH-UTLUCORTSA-N -1 1 310.379 1.194 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2cccc(C)c2C(=O)[O-])cn1 ZINC000349974740 284124626 /nfs/dbraw/zinc/12/46/26/284124626.db2.gz QLOZTTMAOIQJFU-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM CC[C@@H](C(=O)[O-])N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000262413770 203288020 /nfs/dbraw/zinc/28/80/20/203288020.db2.gz PPEBNGCISSXLKE-LBPRGKRZSA-N -1 1 320.345 1.036 20 0 DDADMM CCCOc1cccc(CNC(=O)CN(C)CCC(=O)[O-])c1 ZINC000262661291 203371749 /nfs/dbraw/zinc/37/17/49/203371749.db2.gz NSTUXELRDUPCJW-UHFFFAOYSA-N -1 1 308.378 1.498 20 0 DDADMM COC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)c1cc(F)ccc1[O-] ZINC000249283630 284216776 /nfs/dbraw/zinc/21/67/76/284216776.db2.gz LGQFPMKNSLYBME-CHIMOYNISA-N -1 1 307.321 1.945 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1[C@H]2Cc3c(cccc3Cl)[C@@H]12 ZINC000636280528 422773346 /nfs/dbraw/zinc/77/33/46/422773346.db2.gz CJZRKDRMOYHLDA-COLVAYQJSA-N -1 1 317.780 1.630 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCCC(C)(C)O)c(=O)[n-]1 ZINC000274808829 211950060 /nfs/dbraw/zinc/95/00/60/211950060.db2.gz VCNNKIASLMRCRS-UHFFFAOYSA-N -1 1 313.423 1.422 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2ccc(OCC(=O)[O-])cc2)CCN1C ZINC000633140301 422775783 /nfs/dbraw/zinc/77/57/83/422775783.db2.gz CFKGNFHTFNZNKH-OAHLLOKOSA-N -1 1 320.389 1.562 20 0 DDADMM CC(C)(C)n1cc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)cn1 ZINC000282955622 217350128 /nfs/dbraw/zinc/35/01/28/217350128.db2.gz QWWJVCWJFGQXMH-JTQLQIEISA-N -1 1 318.381 1.069 20 0 DDADMM O=C(Cc1ccccc1F)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000283009342 217384419 /nfs/dbraw/zinc/38/44/19/217384419.db2.gz WFUGMGFQDDPDPM-LLVKDONJSA-N -1 1 304.325 1.186 20 0 DDADMM CNC(=O)c1cccc([N-]S(=O)(=O)c2ccc(F)cc2)c1 ZINC000024102299 182375120 /nfs/dbraw/zinc/37/51/20/182375120.db2.gz KWRYVNGIJHMZGJ-UHFFFAOYSA-N -1 1 308.334 1.986 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2cc(Br)ccc2[O-])C(=O)O1 ZINC000267881328 206317660 /nfs/dbraw/zinc/31/76/60/206317660.db2.gz WQJQSEVYKMFYQM-HZGVNTEJSA-N -1 1 314.135 1.589 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H](CO)C1)c1cccc(F)c1F ZINC000416649308 307299663 /nfs/dbraw/zinc/29/96/63/307299663.db2.gz XNJOLJKQXUYFQT-UWVGGRQHSA-N -1 1 305.346 1.794 20 0 DDADMM COCCS(=O)(=O)Nc1cc(CCC(=O)[O-])ccc1OC ZINC000267978179 206374074 /nfs/dbraw/zinc/37/40/74/206374074.db2.gz FEVDJWNWLIZGQG-UHFFFAOYSA-N -1 1 317.363 1.101 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2c3ccccc3C[C@H]2O)sc1C ZINC000333565489 249025856 /nfs/dbraw/zinc/02/58/56/249025856.db2.gz SFYXOUDWRNJSIZ-OLZOCXBDSA-N -1 1 324.427 1.697 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(C)cc1Cl ZINC000337133625 249359857 /nfs/dbraw/zinc/35/98/57/249359857.db2.gz MHENKPCYZSHSFU-UHFFFAOYSA-N -1 1 306.753 1.714 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CCCS(=O)(=O)c2ccccc2)C1 ZINC000567281443 304210519 /nfs/dbraw/zinc/21/05/19/304210519.db2.gz ZKRVLSJIXGNLGW-OAHLLOKOSA-N -1 1 311.403 1.647 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000284401737 218012577 /nfs/dbraw/zinc/01/25/77/218012577.db2.gz QRBPHLLCEZIQBV-OLZOCXBDSA-N -1 1 312.391 1.215 20 0 DDADMM O=c1cc(/C=C/c2ccnn2-c2ccc(F)cc2)nc2nc[n-]n21 ZINC000352034592 284727008 /nfs/dbraw/zinc/72/70/08/284727008.db2.gz DYJRZCFYFIBZQY-ZZXKWVIFSA-N -1 1 322.303 1.913 20 0 DDADMM CCOc1cc(C(=O)N2CCO[C@H](CO)C2)cc(Cl)c1[O-] ZINC000273852817 211115583 /nfs/dbraw/zinc/11/55/83/211115583.db2.gz MCJLUUSYSQMXBE-JTQLQIEISA-N -1 1 315.753 1.278 20 0 DDADMM CCOc1cc(C(=O)N[C@H](CCO)COC)cc(Cl)c1[O-] ZINC000273862150 211121949 /nfs/dbraw/zinc/12/19/49/211121949.db2.gz ODWLYHHOLJWKSJ-SNVBAGLBSA-N -1 1 317.769 1.572 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C)c2cccnc12 ZINC000284870426 218215202 /nfs/dbraw/zinc/21/52/02/218215202.db2.gz IYILCEMYPAJYCD-NSHDSACASA-N -1 1 321.402 1.762 20 0 DDADMM O=S(=O)([N-][C@H](CO)Cc1ccccc1)c1sccc1F ZINC000338958292 250218159 /nfs/dbraw/zinc/21/81/59/250218159.db2.gz OZCVHTAGDJFUFL-NSHDSACASA-N -1 1 315.391 1.769 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCNC(=O)C(C)(C)C)[n-]1 ZINC000339175498 250328606 /nfs/dbraw/zinc/32/86/06/250328606.db2.gz RXELITWNVNHGCZ-UHFFFAOYSA-N -1 1 310.354 1.077 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCNC(=O)C(C)(C)C)n1 ZINC000339175498 250328607 /nfs/dbraw/zinc/32/86/07/250328607.db2.gz RXELITWNVNHGCZ-UHFFFAOYSA-N -1 1 310.354 1.077 20 0 DDADMM O=C(COCc1ccccc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000339195148 250338346 /nfs/dbraw/zinc/33/83/46/250338346.db2.gz JDQRSGROMFGUSM-CYBMUJFWSA-N -1 1 317.345 1.698 20 0 DDADMM CC(C)(C)[C@H]([N-]S(=O)(=O)c1cccc(Cl)c1F)C(N)=O ZINC000106447095 194214846 /nfs/dbraw/zinc/21/48/46/194214846.db2.gz UKDQPRRBMOUVCA-SNVBAGLBSA-N -1 1 322.789 1.657 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)CCC1CCCCC1 ZINC000352157365 284829649 /nfs/dbraw/zinc/82/96/49/284829649.db2.gz VLDIVFORHUOMFL-UHFFFAOYSA-N -1 1 309.366 1.939 20 0 DDADMM COc1ccc(OC)c(CCNC(=O)c2cncc([O-])c2)c1 ZINC000109956063 194301041 /nfs/dbraw/zinc/30/10/41/194301041.db2.gz GCXAOXQNHFYDKA-UHFFFAOYSA-N -1 1 302.330 1.777 20 0 DDADMM CNS(=O)(=O)c1ccc(C(=O)Nc2ccc(F)cc2[O-])cc1 ZINC000340327166 251010292 /nfs/dbraw/zinc/01/02/92/251010292.db2.gz BLHPFVRLYJAZHU-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM O=C([O-])[C@@]1(NCc2ccccc2Br)CCOC1 ZINC000340686168 251165244 /nfs/dbraw/zinc/16/52/44/251165244.db2.gz YFJXJYZOJVJILN-GFCCVEGCSA-N -1 1 300.152 1.782 20 0 DDADMM CN(C)C[C@@H]1CCCN1S(=O)(=O)c1cc(C(=O)[O-])cs1 ZINC000061643514 184180434 /nfs/dbraw/zinc/18/04/34/184180434.db2.gz ZDAPXABMUGTPGG-JTQLQIEISA-N -1 1 318.420 1.161 20 0 DDADMM Cc1ccc(S(=O)(=O)N2CC[C@@H](N(C)C)C2)cc1C(=O)[O-] ZINC000062966619 184262889 /nfs/dbraw/zinc/26/28/89/184262889.db2.gz UYZMDYLTGCMWTC-LLVKDONJSA-N -1 1 312.391 1.018 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCCCC1 ZINC000064334203 184294817 /nfs/dbraw/zinc/29/48/17/184294817.db2.gz WQSXMCPFCDFWAN-UHFFFAOYSA-N -1 1 302.378 1.997 20 0 DDADMM C[C@@H]1CCC[C@]1(O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000294548574 224082592 /nfs/dbraw/zinc/08/25/92/224082592.db2.gz KSNDGLVHHLVUGU-OQPBUACISA-N -1 1 323.336 1.933 20 0 DDADMM Cc1ccccc1[C@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352414007 285034690 /nfs/dbraw/zinc/03/46/90/285034690.db2.gz CZLUHQILJRNDBT-CQSZACIVSA-N -1 1 323.356 1.703 20 0 DDADMM O=C(NCc1nnc2n1CCCC2)c1ccc(Cl)cc1[O-] ZINC000119935092 195064858 /nfs/dbraw/zinc/06/48/58/195064858.db2.gz DSZXCDIDGSGEBI-UHFFFAOYSA-N -1 1 306.753 1.903 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2c(C)nn(C)c2C)c1 ZINC000270044732 208099508 /nfs/dbraw/zinc/09/95/08/208099508.db2.gz LIWNNNVHMCPQRQ-UHFFFAOYSA-N -1 1 302.334 1.953 20 0 DDADMM CCC[C@H](NC(=O)c1cc(NC(C)=O)ccc1O)c1nn[n-]n1 ZINC000270028943 208086661 /nfs/dbraw/zinc/08/66/61/208086661.db2.gz GUYOKBKUUUASAB-NSHDSACASA-N -1 1 318.337 1.135 20 0 DDADMM Cc1cccc(C(C)(C)NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000352465969 285070975 /nfs/dbraw/zinc/07/09/75/285070975.db2.gz SHCPHDDVOKHZBS-UHFFFAOYSA-N -1 1 311.345 1.391 20 0 DDADMM CCC(CC)[C@H](O)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000270053618 208109182 /nfs/dbraw/zinc/10/91/82/208109182.db2.gz VMCQXIZIGNLWOE-LLVKDONJSA-N -1 1 319.379 1.142 20 0 DDADMM O=C(NCCc1cc2ccccc2o1)c1cc(=O)n2[n-]cnc2n1 ZINC000352473392 285076044 /nfs/dbraw/zinc/07/60/44/285076044.db2.gz UAUNWIFFXLIURY-UHFFFAOYSA-N -1 1 323.312 1.136 20 0 DDADMM COc1ccc(SCCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000430332729 533081042 /nfs/dbraw/zinc/08/10/42/533081042.db2.gz OWPHERUKFVWBRM-UHFFFAOYSA-N -1 1 319.390 1.496 20 0 DDADMM CCCCOCCCNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000158202116 197252358 /nfs/dbraw/zinc/25/23/58/197252358.db2.gz KBIBXZMDJAIEFE-UHFFFAOYSA-N -1 1 318.395 1.124 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC(C)(C)C ZINC000157045375 197158627 /nfs/dbraw/zinc/15/86/27/197158627.db2.gz ASQYBZYDWOZBKK-QMMMGPOBSA-N -1 1 321.345 1.973 20 0 DDADMM CO[C@H](CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O)C1CC1 ZINC000294635008 533180422 /nfs/dbraw/zinc/18/04/22/533180422.db2.gz QRIPTQZIXALHIJ-LLVKDONJSA-N -1 1 307.394 1.943 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)[N-]CC(F)(F)c2ccccc2)C1 ZINC000352734916 285264575 /nfs/dbraw/zinc/26/45/75/285264575.db2.gz MVCFYAXMASKEAG-NSHDSACASA-N -1 1 304.362 1.955 20 0 DDADMM CCc1ccccc1CC(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000289518113 221034721 /nfs/dbraw/zinc/03/47/21/221034721.db2.gz CXWSKTKVLAKWMD-AWEZNQCLSA-N -1 1 314.389 1.609 20 0 DDADMM O=c1nc([C@@H]2CCCN(Cc3nnc(-c4ccco4)o3)C2)[nH][n-]1 ZINC000289556851 221064323 /nfs/dbraw/zinc/06/43/23/221064323.db2.gz XOXWKJZAYCZQJU-SECBINFHSA-N -1 1 316.321 1.121 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1ccccc1Cl ZINC000289558333 221065850 /nfs/dbraw/zinc/06/58/50/221065850.db2.gz SPILUITXIHRMES-UHFFFAOYSA-N -1 1 315.782 1.740 20 0 DDADMM CCOCc1nc([C@@H](C)[N-]S(=O)(=O)c2ccco2)no1 ZINC000289593874 221090202 /nfs/dbraw/zinc/09/02/02/221090202.db2.gz PVYGLXMMLSUQDR-MRVPVSSYSA-N -1 1 301.324 1.239 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1ccc(Cl)s1 ZINC000431895227 533446742 /nfs/dbraw/zinc/44/67/42/533446742.db2.gz ZLMQNZFWIJTYHG-UHFFFAOYSA-N -1 1 315.738 1.541 20 0 DDADMM COC(=O)C[N-]S(=O)(=O)c1c(Cl)cc(F)cc1Cl ZINC000435426619 533536457 /nfs/dbraw/zinc/53/64/57/533536457.db2.gz JBFXUXQJJNHYBO-UHFFFAOYSA-N -1 1 316.137 1.584 20 0 DDADMM Cc1noc([C@@H]2OCC[C@@H]2NC(=O)c2cc(F)ccc2[O-])n1 ZINC000629789683 422813919 /nfs/dbraw/zinc/81/39/19/422813919.db2.gz WBWFLJDNWYKADH-CMPLNLGQSA-N -1 1 307.281 1.483 20 0 DDADMM CC(C)(CNC(=O)c1nc2ccccc2c(=O)[n-]1)n1cccn1 ZINC000631536346 422818967 /nfs/dbraw/zinc/81/89/67/422818967.db2.gz CKQCXYOQNYIYCA-UHFFFAOYSA-N -1 1 311.345 1.285 20 0 DDADMM CCCCC1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000353514057 285778794 /nfs/dbraw/zinc/77/87/94/285778794.db2.gz TXIPMPZCCBCRAZ-UHFFFAOYSA-N -1 1 317.393 1.896 20 0 DDADMM COC1([C@H](C)N=c2nc(C(F)(F)F)[n-]s2)CCOCC1 ZINC000420643029 292138408 /nfs/dbraw/zinc/13/84/08/292138408.db2.gz PXCQRTSGOJWMRY-ZETCQYMHSA-N -1 1 311.329 1.975 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCC[C@@H]1[C@H]1CCCOC1 ZINC000569458823 304355867 /nfs/dbraw/zinc/35/58/67/304355867.db2.gz HPQALXSQYWOEIW-SMDDNHRTSA-N -1 1 300.362 1.989 20 0 DDADMM Cn1nncc1CNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000360696310 299723595 /nfs/dbraw/zinc/72/35/95/299723595.db2.gz RXDPKQLWGZOLJH-UHFFFAOYSA-N -1 1 317.736 1.652 20 0 DDADMM O=C(N[C@@H]1CCCS(=O)(=O)C1)c1cc(Cl)ccc1[O-] ZINC000069144886 406685857 /nfs/dbraw/zinc/68/58/57/406685857.db2.gz ZFEMUFRUPYJUCJ-SECBINFHSA-N -1 1 303.767 1.353 20 0 DDADMM Cn1c(=S)[n-]nc1C1CCN(C(=O)C2=COCCC2)CC1 ZINC000068429203 406641069 /nfs/dbraw/zinc/64/10/69/406641069.db2.gz MCFNTGZWASXGHY-UHFFFAOYSA-N -1 1 308.407 1.878 20 0 DDADMM CNC(=O)Cc1ccc([N-]S(=O)(=O)c2ccccc2)cc1 ZINC000013850531 406868445 /nfs/dbraw/zinc/86/84/45/406868445.db2.gz UHMOUEFHTQJRFG-UHFFFAOYSA-N -1 1 304.371 1.776 20 0 DDADMM CCCC[C@@H](NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000035085580 406966516 /nfs/dbraw/zinc/96/65/16/406966516.db2.gz LZHVCRUOEYEOLA-SNVBAGLBSA-N -1 1 307.318 1.887 20 0 DDADMM COCCOCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000076191198 406958696 /nfs/dbraw/zinc/95/86/96/406958696.db2.gz UEIMTCSHALUHHR-UHFFFAOYSA-N -1 1 313.297 1.045 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2ccnc(C(=O)N(C)C)c2)c1 ZINC000077888533 407014393 /nfs/dbraw/zinc/01/43/93/407014393.db2.gz WQWZIUJCZDVACJ-UHFFFAOYSA-N -1 1 319.386 1.893 20 0 DDADMM CN(C(=O)c1ccc2ccccc2c1[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000078566049 407034195 /nfs/dbraw/zinc/03/41/95/407034195.db2.gz RGUVQYXDUWORDB-GFCCVEGCSA-N -1 1 319.382 1.805 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1ccccn1 ZINC000078784968 407045747 /nfs/dbraw/zinc/04/57/47/407045747.db2.gz APJHXOWZNRTOJG-UHFFFAOYSA-N -1 1 300.362 1.701 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCS(=O)(=O)CC1 ZINC000036819344 406983489 /nfs/dbraw/zinc/98/34/89/406983489.db2.gz APRGMKXHGGKIDY-UHFFFAOYSA-N -1 1 305.355 1.416 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1c(Cl)cccc1Cl)NC1CC1 ZINC000045828790 407049835 /nfs/dbraw/zinc/04/98/35/407049835.db2.gz MVYFIZSOMDFAIZ-UHFFFAOYSA-N -1 1 323.201 1.550 20 0 DDADMM O=C(CNC(=O)c1cc(Cl)ccc1[O-])NCC(F)(F)F ZINC000048702753 407105817 /nfs/dbraw/zinc/10/58/17/407105817.db2.gz BTENUVARJAJGEK-UHFFFAOYSA-N -1 1 310.659 1.454 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CC)C(C)C ZINC000049130971 407115742 /nfs/dbraw/zinc/11/57/42/407115742.db2.gz JTNJIVTZTKMBNY-JTQLQIEISA-N -1 1 303.384 1.299 20 0 DDADMM O=C(N[C@@H]1CCCNC1=O)c1ccc(Br)cc1[O-] ZINC000049500950 407121842 /nfs/dbraw/zinc/12/18/42/407121842.db2.gz ZDBSVEYBNIGMFC-SECBINFHSA-N -1 1 313.151 1.163 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1ccccc1OC)c1ccco1 ZINC000080790421 407073807 /nfs/dbraw/zinc/07/38/07/407073807.db2.gz BSGRVISEGSWVHV-NSHDSACASA-N -1 1 311.359 1.954 20 0 DDADMM Cn1c(=S)[n-]nc1[C@H]1CCCN(C(=O)Cc2ccc[nH]2)C1 ZINC000090042559 407165610 /nfs/dbraw/zinc/16/56/10/407165610.db2.gz NBWYEXCCXSXWHL-JTQLQIEISA-N -1 1 305.407 1.754 20 0 DDADMM CO[N-]C(=O)Cc1ccc(S(=O)(=O)N2CCCCC2)s1 ZINC000053720347 407172091 /nfs/dbraw/zinc/17/20/91/407172091.db2.gz RFHNCIQVNXHQMZ-UHFFFAOYSA-N -1 1 318.420 1.143 20 0 DDADMM C[C@@H](CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C1CCCCC1 ZINC000101664134 407314174 /nfs/dbraw/zinc/31/41/74/407314174.db2.gz PLESPSUMNNPIFQ-VIFPVBQESA-N -1 1 314.411 1.753 20 0 DDADMM C[C@@H](CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C1CCCCC1 ZINC000101664134 407314175 /nfs/dbraw/zinc/31/41/75/407314175.db2.gz PLESPSUMNNPIFQ-VIFPVBQESA-N -1 1 314.411 1.753 20 0 DDADMM CSCCCCC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000122722307 407308740 /nfs/dbraw/zinc/30/87/40/407308740.db2.gz CJJHRNQODYGRHS-UHFFFAOYSA-N -1 1 322.434 1.789 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)Sc1ccccc1)c1nn[n-]n1 ZINC000124637611 407364844 /nfs/dbraw/zinc/36/48/44/407364844.db2.gz ACYAEMZPBVCDFT-MNOVXSKESA-N -1 1 305.407 1.942 20 0 DDADMM CCCCN(C(=O)c1cncc([O-])c1)[C@H]1CCS(=O)(=O)C1 ZINC000109042358 407394465 /nfs/dbraw/zinc/39/44/65/407394465.db2.gz CKLJJUQMPHNGHR-LBPRGKRZSA-N -1 1 312.391 1.217 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(c2ncccc2Cl)CC1 ZINC000111573840 407412035 /nfs/dbraw/zinc/41/20/35/407412035.db2.gz RRWUSLDCICOCTR-UHFFFAOYSA-N -1 1 318.764 1.798 20 0 DDADMM C[C@H](CN1CCCC1=O)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000171067582 407583724 /nfs/dbraw/zinc/58/37/24/407583724.db2.gz OLKBKELXHSQWKT-SECBINFHSA-N -1 1 318.345 1.254 20 0 DDADMM CCS(=O)(=O)c1nc2nc(C)c(Br)cc2[n-]1 ZINC000152035883 407548815 /nfs/dbraw/zinc/54/88/15/407548815.db2.gz KWNRQUYAQNVJPC-UHFFFAOYSA-N -1 1 304.169 1.822 20 0 DDADMM CCS(=O)(=O)c1nc2cc(Br)c(C)[n-]c-2n1 ZINC000152035883 407548833 /nfs/dbraw/zinc/54/88/33/407548833.db2.gz KWNRQUYAQNVJPC-UHFFFAOYSA-N -1 1 304.169 1.822 20 0 DDADMM O=C(c1cc(F)c(F)c(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129260388 407597122 /nfs/dbraw/zinc/59/71/22/407597122.db2.gz MAORFFDANIARJX-ZETCQYMHSA-N -1 1 311.267 1.637 20 0 DDADMM COc1ccc(Cl)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129371953 407603515 /nfs/dbraw/zinc/60/35/15/407603515.db2.gz YMJYDMFTULZPQP-SECBINFHSA-N -1 1 321.768 1.882 20 0 DDADMM O=C(NCc1cccc(Cn2ccnc2)c1)c1ncccc1[O-] ZINC000171248203 407639947 /nfs/dbraw/zinc/63/99/47/407639947.db2.gz WDKRWQSNVNJMNZ-UHFFFAOYSA-N -1 1 308.341 1.962 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@@H]1CO)c1cccc(F)c1F ZINC000178916683 407655527 /nfs/dbraw/zinc/65/55/27/407655527.db2.gz ODOUJPGYXDGLOK-MWLCHTKSSA-N -1 1 305.346 1.794 20 0 DDADMM CC(C)C[C@H](CO)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000186646966 407729457 /nfs/dbraw/zinc/72/94/57/407729457.db2.gz KAMROMFDDWEFSK-SNVBAGLBSA-N -1 1 306.366 1.153 20 0 DDADMM C[C@]1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCCS1 ZINC000132375445 407781722 /nfs/dbraw/zinc/78/17/22/407781722.db2.gz LGEDKVCZKDRVFO-OAHLLOKOSA-N -1 1 303.387 1.939 20 0 DDADMM C[C@H]1CS(=O)(=O)CCN1C(=O)c1cc2ccccc2cc1[O-] ZINC000179597639 407813961 /nfs/dbraw/zinc/81/39/61/407813961.db2.gz MXCXWOYWSFIJAP-NSHDSACASA-N -1 1 319.382 1.805 20 0 DDADMM O=C(N[C@H]1CCC[C@H]1CCO)c1nc2ccccc2c(=O)[n-]1 ZINC000187193101 407830561 /nfs/dbraw/zinc/83/05/61/407830561.db2.gz CTZZYVCPQMOPIX-JQWIXIFHSA-N -1 1 301.346 1.204 20 0 DDADMM O=C(NCc1ccco1)[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000153633242 407867023 /nfs/dbraw/zinc/86/70/23/407867023.db2.gz UXZCVLUWJDMXOW-GFCCVEGCSA-N -1 1 315.329 1.301 20 0 DDADMM C[N@@H+](CCC(=O)[O-])CC(=O)Nc1ccc(OC(F)F)cc1 ZINC000262649027 407891051 /nfs/dbraw/zinc/89/10/51/407891051.db2.gz KSGGCKLGFVGCPY-UHFFFAOYSA-N -1 1 302.277 1.633 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)Nc1ccc(OC(F)F)cc1 ZINC000262649027 407891059 /nfs/dbraw/zinc/89/10/59/407891059.db2.gz KSGGCKLGFVGCPY-UHFFFAOYSA-N -1 1 302.277 1.633 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1Cc2cc(Cl)ccc2O1)c1nn[n-]n1 ZINC000268245173 407967333 /nfs/dbraw/zinc/96/73/33/407967333.db2.gz VXAMOHQIGBWJNX-CMPLNLGQSA-N -1 1 321.768 1.814 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1CC[C@H](C(=O)[O-])O1)[N@@H+](C)Cc1ccccc1 ZINC000188157410 407920778 /nfs/dbraw/zinc/92/07/78/407920778.db2.gz RNDHSEUMJKSTNC-VHDGCEQUSA-N -1 1 320.389 1.255 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3CCCOCC3)cnc2n1 ZINC000180979382 407940612 /nfs/dbraw/zinc/94/06/12/407940612.db2.gz LWVLKWANBUNZGG-NSHDSACASA-N -1 1 301.346 1.943 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1CCCOCC1)c2=O ZINC000180979382 407940614 /nfs/dbraw/zinc/94/06/14/407940614.db2.gz LWVLKWANBUNZGG-NSHDSACASA-N -1 1 301.346 1.943 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc2[nH]c(C(F)F)nc2c1 ZINC000135510260 408024395 /nfs/dbraw/zinc/02/43/95/408024395.db2.gz YDHLANSSUGLGDC-UHFFFAOYSA-N -1 1 305.306 1.889 20 0 DDADMM Cc1nc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)sc1C ZINC000119303698 408034483 /nfs/dbraw/zinc/03/44/83/408034483.db2.gz LSLZCJMZMBFNDM-UHFFFAOYSA-N -1 1 317.374 1.677 20 0 DDADMM O=C([O-])[C@]1(C(F)(F)F)CCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC000263170054 408045469 /nfs/dbraw/zinc/04/54/69/408045469.db2.gz YSFDHDWCSVCLGN-LBPRGKRZSA-N -1 1 317.267 1.766 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCCC(C)(C)C2)co1 ZINC000135244558 407996223 /nfs/dbraw/zinc/99/62/23/407996223.db2.gz BPRBCTQQANPOLX-LLVKDONJSA-N -1 1 314.407 1.886 20 0 DDADMM CCC[C@@H](NC(=O)c1c(Cl)nc2ccccn21)c1nn[n-]n1 ZINC000136627831 408111855 /nfs/dbraw/zinc/11/18/55/408111855.db2.gz QRVPIKQMRKQKBB-MRVPVSSYSA-N -1 1 319.756 1.772 20 0 DDADMM COC(=O)[C@@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000155063906 408120882 /nfs/dbraw/zinc/12/08/82/408120882.db2.gz XVDSUZCORCUNFX-ZCFIWIBFSA-N -1 1 302.124 1.446 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCN2C(=O)NC[C@@H]2C1 ZINC000175455759 408136632 /nfs/dbraw/zinc/13/66/32/408136632.db2.gz VMBAWTZHJQGGQK-CYBMUJFWSA-N -1 1 311.341 1.395 20 0 DDADMM O=C(c1cccc2ncccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155507680 408174372 /nfs/dbraw/zinc/17/43/72/408174372.db2.gz ZYLGCCRLFHSEFS-LLVKDONJSA-N -1 1 308.345 1.768 20 0 DDADMM CCOc1ccccc1NC(=O)CCS(=O)(=O)c1nc[n-]n1 ZINC000268732991 408183499 /nfs/dbraw/zinc/18/34/99/408183499.db2.gz UJQASWQHSLFSOW-UHFFFAOYSA-N -1 1 324.362 1.006 20 0 DDADMM O=C(N[C@@H]1CS(=O)(=O)c2ccccc21)c1cncc([O-])c1 ZINC000175707346 408187121 /nfs/dbraw/zinc/18/71/21/408187121.db2.gz QBDDAWKTBUOCGX-GFCCVEGCSA-N -1 1 304.327 1.046 20 0 DDADMM C[C@@H]1CCc2ccccc2N1C(=O)CN1CC[C@@H](C(=O)[O-])C1 ZINC000246238512 408188439 /nfs/dbraw/zinc/18/84/39/408188439.db2.gz INHUJCIIQXOXEB-TZMCWYRMSA-N -1 1 302.374 1.761 20 0 DDADMM Cc1noc(C)c1[C@H](C)CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000273451931 408203960 /nfs/dbraw/zinc/20/39/60/408203960.db2.gz CWNMVBDJVKNZEZ-BDAKNGLRSA-N -1 1 306.370 1.560 20 0 DDADMM CC(C)[C@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1ccccc1 ZINC000176256407 408325129 /nfs/dbraw/zinc/32/51/29/408325129.db2.gz ANPVGOYRSLTJRU-AWEZNQCLSA-N -1 1 302.378 1.587 20 0 DDADMM C[C@@H]1CC[C@H](C[NH+]2CCN(C(=O)c3cccc(O)c3)CC2)O1 ZINC000190882848 408335833 /nfs/dbraw/zinc/33/58/33/408335833.db2.gz MOQHCBUBKJDJNT-CZUORRHYSA-N -1 1 304.390 1.718 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000171138707 162206699 /nfs/dbraw/zinc/20/66/99/162206699.db2.gz FAVNSVNJASRZCL-LLVKDONJSA-N -1 1 323.356 1.666 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000183318651 408377393 /nfs/dbraw/zinc/37/73/93/408377393.db2.gz JNNYWKDFBGAULI-BDAKNGLRSA-N -1 1 321.345 1.831 20 0 DDADMM CCOc1ccc(CCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183393022 408397735 /nfs/dbraw/zinc/39/77/35/408397735.db2.gz CVRBJFMJEZPMNR-GFCCVEGCSA-N -1 1 317.393 1.793 20 0 DDADMM Cc1ccc(OCCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1C ZINC000183411458 408404707 /nfs/dbraw/zinc/40/47/07/408404707.db2.gz VWAGAWXRAGFXBS-ZDUSSCGKSA-N -1 1 317.393 1.848 20 0 DDADMM C[C@H](CN(C)C(=O)CCCOc1ccc(F)cc1)c1nn[n-]n1 ZINC000183427093 408407873 /nfs/dbraw/zinc/40/78/73/408407873.db2.gz QYSKCVRDWKLFBA-LLVKDONJSA-N -1 1 321.356 1.760 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CSc2ccccc21)c1nn[n-]n1 ZINC000183430237 408411352 /nfs/dbraw/zinc/41/13/52/408411352.db2.gz YBGQMJOODCYZJW-ONGXEEELSA-N -1 1 303.391 1.651 20 0 DDADMM Cc1cc(C)cc(OCCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183442397 408414550 /nfs/dbraw/zinc/41/45/50/408414550.db2.gz MSAHERFRFAILNM-ZDUSSCGKSA-N -1 1 317.393 1.848 20 0 DDADMM Cc1ccc2cccc(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)c2n1 ZINC000183453232 408415203 /nfs/dbraw/zinc/41/52/03/408415203.db2.gz WJBLKVPQWCRDGX-SNVBAGLBSA-N -1 1 310.361 1.932 20 0 DDADMM CCC[C@H](NC(=O)c1cnc2c(c1)c(C)nn2C)c1nn[n-]n1 ZINC000176751620 408431957 /nfs/dbraw/zinc/43/19/57/408431957.db2.gz KBYMNEAUBGXPAC-NSHDSACASA-N -1 1 314.353 1.061 20 0 DDADMM CCC[C@H](NC(=O)c1cc(-c2ccco2)on1)c1nn[n-]n1 ZINC000176781955 408442774 /nfs/dbraw/zinc/44/27/74/408442774.db2.gz SUKJKEDUENGQAI-QMMMGPOBSA-N -1 1 302.294 1.719 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCCCSC)c1 ZINC000274721177 408509383 /nfs/dbraw/zinc/50/93/83/408509383.db2.gz IBJDFVJRHTVMCM-UHFFFAOYSA-N -1 1 321.420 1.878 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@H]1CC ZINC000269894783 408462774 /nfs/dbraw/zinc/46/27/74/408462774.db2.gz YBHNPJCEGJKJNJ-MWLCHTKSSA-N -1 1 315.395 1.443 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2c(c1)N=S=N2)c1nn[n-]n1 ZINC000274786177 408530236 /nfs/dbraw/zinc/53/02/36/408530236.db2.gz OYMXQXDAJVYION-ZETCQYMHSA-N -1 1 303.351 1.802 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCCC2(CC2)C1 ZINC000184104449 408549279 /nfs/dbraw/zinc/54/92/79/408549279.db2.gz SLAAYEIWVZZGDP-UHFFFAOYSA-N -1 1 314.389 1.585 20 0 DDADMM Cc1cccc(C)c1OCCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000274791132 408532796 /nfs/dbraw/zinc/53/27/96/408532796.db2.gz QAROOARXLDCGPB-ZDUSSCGKSA-N -1 1 317.393 1.848 20 0 DDADMM C/C=C/C[C@@H](CO)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000184506527 408632274 /nfs/dbraw/zinc/63/22/74/408632274.db2.gz IFHYITYZXFFDQB-UFFNRZRYSA-N -1 1 301.346 1.701 20 0 DDADMM C/C=C/C[C@@H](CO)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000184506527 408632280 /nfs/dbraw/zinc/63/22/80/408632280.db2.gz IFHYITYZXFFDQB-UFFNRZRYSA-N -1 1 301.346 1.701 20 0 DDADMM O=C(N[C@@H]1CCCN(C2CCCCC2)C1=O)c1cncc([O-])c1 ZINC000276012700 408749015 /nfs/dbraw/zinc/74/90/15/408749015.db2.gz QQJVBZZIPKKVRR-OAHLLOKOSA-N -1 1 317.389 1.841 20 0 DDADMM O=S(=O)(Cc1ccon1)c1nc(-c2ccccc2F)n[n-]1 ZINC000195128368 408813477 /nfs/dbraw/zinc/81/34/77/408813477.db2.gz VBBQGRXSXHOSFH-UHFFFAOYSA-N -1 1 308.294 1.573 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC(N2CCCC2=O)CC1 ZINC000280875392 408851534 /nfs/dbraw/zinc/85/15/34/408851534.db2.gz YGOQWHPLAMYVPB-UHFFFAOYSA-N -1 1 324.327 1.897 20 0 DDADMM CC(C)OC(=O)[C@@H](C)CNC(=O)c1c(F)ccc([O-])c1F ZINC000280915144 408858586 /nfs/dbraw/zinc/85/85/86/408858586.db2.gz IYCUAXRVSNDFBO-QMMMGPOBSA-N -1 1 301.289 1.988 20 0 DDADMM O=C(N[C@@H](CO)C[C@H](O)c1ccccc1)c1cncc([O-])c1 ZINC000285819294 408870961 /nfs/dbraw/zinc/87/09/61/408870961.db2.gz ZFDYWBTYVJTADH-HIFRSBDPSA-N -1 1 302.330 1.002 20 0 DDADMM CC(C)(C)[C@H](O)C[C@@H](CO)NC(=O)c1c(F)ccc([O-])c1F ZINC000285964042 408897929 /nfs/dbraw/zinc/89/79/29/408897929.db2.gz QCZWCSMCTNKUFE-GZMMTYOYSA-N -1 1 317.332 1.558 20 0 DDADMM CN(C)S(=O)(=O)CCNC(=O)c1ccc2ccccc2c1[O-] ZINC000291649797 408901472 /nfs/dbraw/zinc/90/14/72/408901472.db2.gz WLPAUZZDLVDECX-UHFFFAOYSA-N -1 1 322.386 1.167 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](O)C(F)F)sc1Cl ZINC000235780655 163355149 /nfs/dbraw/zinc/35/51/49/163355149.db2.gz UTUZYDKMBJZNET-RXMQYKEDSA-N -1 1 305.755 1.614 20 0 DDADMM CCC(CC)(C[N-]S(=O)(=O)c1cc(C(=O)OC)co1)OC ZINC000291919751 408944649 /nfs/dbraw/zinc/94/46/49/408944649.db2.gz KXRDAFGRRJSGIE-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM COCC[C@H](NC(=O)c1cc(Cl)ccc1[O-])C(=O)OC ZINC000292190081 408998392 /nfs/dbraw/zinc/99/83/92/408998392.db2.gz NUMVKGCYFPGMPY-JTQLQIEISA-N -1 1 301.726 1.354 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)N(C)CC3)cn1 ZINC000282236640 409017115 /nfs/dbraw/zinc/01/71/15/409017115.db2.gz FDQFGDXWLCGOIV-UHFFFAOYSA-N -1 1 319.386 1.883 20 0 DDADMM CCN(C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2)[C@@H](C)C(C)C ZINC000288001349 409128546 /nfs/dbraw/zinc/12/85/46/409128546.db2.gz MPQXAPZQEQSFPS-UQGHUHRHSA-N -1 1 317.393 1.173 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@](C)(O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000293589116 409142849 /nfs/dbraw/zinc/14/28/49/409142849.db2.gz VAWMYQLFXYCDIF-OTYXRUKQSA-N -1 1 323.784 1.323 20 0 DDADMM C[C@H](CN(C)C(=O)[C@](C)(O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000293589124 409143447 /nfs/dbraw/zinc/14/34/47/409143447.db2.gz VAWMYQLFXYCDIF-YMTOWFKASA-N -1 1 323.784 1.323 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCCC[C@@H](C)O ZINC000279146033 409158111 /nfs/dbraw/zinc/15/81/11/409158111.db2.gz PCAVQOZULKADAJ-SNVBAGLBSA-N -1 1 320.393 1.262 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCC[C@H]3CCCCO3)ccnc1-2 ZINC000279161297 409160606 /nfs/dbraw/zinc/16/06/06/409160606.db2.gz ANCDSETUDHXBQL-ZNCULLJESA-N -1 1 303.366 1.423 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1c(F)cccc1F ZINC000289241843 409236384 /nfs/dbraw/zinc/23/63/84/409236384.db2.gz AHNCVHAKFUZJEG-UHFFFAOYSA-N -1 1 317.317 1.364 20 0 DDADMM C[S@](=O)C1(CNC(=O)c2c(F)ccc([O-])c2F)CCC1 ZINC000289387529 409259344 /nfs/dbraw/zinc/25/93/44/409259344.db2.gz OPWPRLWRFNEKOY-FQEVSTJZSA-N -1 1 303.330 1.701 20 0 DDADMM CC[C@@H](C)[C@@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)OC ZINC000294294871 409273924 /nfs/dbraw/zinc/27/39/24/409273924.db2.gz ULZAXYLUKJWRNC-RKDXNWHRSA-N -1 1 309.819 1.413 20 0 DDADMM C[C@H](CC(C)(C)O)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000295554273 409343584 /nfs/dbraw/zinc/34/35/84/409343584.db2.gz SNWSJVQMIPLXQJ-SSDOTTSWSA-N -1 1 311.325 1.932 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2c(F)cccc2F)[nH]1 ZINC000285436078 409445806 /nfs/dbraw/zinc/44/58/06/409445806.db2.gz RMODABKWHYUISV-ZCFIWIBFSA-N -1 1 302.306 1.431 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@@H](C)O1 ZINC000295375017 409454710 /nfs/dbraw/zinc/45/47/10/409454710.db2.gz WYYDMPSYTYGNJU-MWLCHTKSSA-N -1 1 306.391 1.658 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(Br)c([O-])c2)C[C@@H]1O ZINC000344846761 164090750 /nfs/dbraw/zinc/09/07/50/164090750.db2.gz CHGQPESQRMRHCO-NSHDSACASA-N -1 1 314.179 1.998 20 0 DDADMM Cn1ncc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1C1CC1 ZINC000407995529 164192303 /nfs/dbraw/zinc/19/23/03/164192303.db2.gz HXUYSWUQQLUZED-JTQLQIEISA-N -1 1 317.349 1.406 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC(C(C)(C)O)C3)cnc2n1 ZINC000408443261 164333138 /nfs/dbraw/zinc/33/31/38/164333138.db2.gz NELNZDUBBVQPNY-UHFFFAOYSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC(C(C)(C)O)C3)c[n-]c2n1 ZINC000408443261 164333139 /nfs/dbraw/zinc/33/31/39/164333139.db2.gz NELNZDUBBVQPNY-UHFFFAOYSA-N -1 1 301.346 1.487 20 0 DDADMM CO[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000342093873 409555447 /nfs/dbraw/zinc/55/54/47/409555447.db2.gz GIBCQVVSGTXTLO-RNFRBKRXSA-N -1 1 312.185 1.744 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cnc(C)s1)[C@H](O)C(F)F ZINC000295489327 409503887 /nfs/dbraw/zinc/50/38/87/409503887.db2.gz AXACCYSINZDMDK-XPUUQOCRSA-N -1 1 300.352 1.134 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H](c2nnc[nH]2)c2ccccc2)s[n-]1 ZINC000337874277 409529244 /nfs/dbraw/zinc/52/92/44/409529244.db2.gz PSXZDVRVWDETNU-MWLCHTKSSA-N -1 1 316.390 1.987 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCCCC1(F)F ZINC000296403327 409533517 /nfs/dbraw/zinc/53/35/17/409533517.db2.gz ZRKVLNIXCUNZSJ-QMMMGPOBSA-N -1 1 309.338 1.669 20 0 DDADMM Cc1cc([C@H](C)NC(=O)CNC(=O)c2ncccc2[O-])c(C)o1 ZINC000337947745 409594680 /nfs/dbraw/zinc/59/46/80/409594680.db2.gz PDZRSYQPRWBNDS-JTQLQIEISA-N -1 1 317.345 1.604 20 0 DDADMM C[C@@H]1Cc2ccccc2N1C(=O)CNC(=O)c1ncccc1[O-] ZINC000337950863 409596513 /nfs/dbraw/zinc/59/65/13/409596513.db2.gz PIXVSCIBVZHBHW-LLVKDONJSA-N -1 1 311.341 1.495 20 0 DDADMM CCOCCOCCN(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000296544777 409607138 /nfs/dbraw/zinc/60/71/38/409607138.db2.gz FNRBFXNYQCLQNL-UHFFFAOYSA-N -1 1 324.406 1.143 20 0 DDADMM CC(C)(CO)O[N-]C(=O)CCC(=O)c1ccc(F)cc1F ZINC000296795710 409646793 /nfs/dbraw/zinc/64/67/93/409646793.db2.gz DTTXZWPQPPXZNL-UHFFFAOYSA-N -1 1 301.289 1.746 20 0 DDADMM CCOC(=O)CN(C)C(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000338063740 409687205 /nfs/dbraw/zinc/68/72/05/409687205.db2.gz BCKOUHGICLAVBB-UHFFFAOYSA-N -1 1 303.318 1.279 20 0 DDADMM CCOC(=O)CN(C)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000338063740 409687216 /nfs/dbraw/zinc/68/72/16/409687216.db2.gz BCKOUHGICLAVBB-UHFFFAOYSA-N -1 1 303.318 1.279 20 0 DDADMM COc1ccc(O)c(S(=O)(=O)Nc2cccc(C(=O)[O-])c2)c1 ZINC000342638517 409781476 /nfs/dbraw/zinc/78/14/76/409781476.db2.gz QRDHJGXEQFJXKU-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3ncncc3C)no2)co1 ZINC000356927749 409728712 /nfs/dbraw/zinc/72/87/12/409728712.db2.gz HAAGBVNRBHXODS-UHFFFAOYSA-N -1 1 321.318 1.003 20 0 DDADMM C[C@@H](C(=O)NC1(c2nn[n-]n2)CC1)c1cn(C)c2ccccc12 ZINC000357052785 409823694 /nfs/dbraw/zinc/82/36/94/409823694.db2.gz RYEMFIJCAIEKRW-SNVBAGLBSA-N -1 1 310.361 1.600 20 0 DDADMM Cc1ccc(-c2c[nH]cc2C(=O)NC2(c3nn[n-]n3)CC2)s1 ZINC000357055953 409823771 /nfs/dbraw/zinc/82/37/71/409823771.db2.gz WHCDGXRNXNRNGR-UHFFFAOYSA-N -1 1 314.374 1.984 20 0 DDADMM Cc1c([C@H]2OCC[C@@H]2NC(=O)c2c([O-])cccc2F)cnn1C ZINC000332040496 409826908 /nfs/dbraw/zinc/82/69/08/409826908.db2.gz USKWHHBLPCCXSX-SWLSCSKDSA-N -1 1 319.336 1.833 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]c2c[nH]c(=O)cc2C)c1 ZINC000338209496 409800388 /nfs/dbraw/zinc/80/03/88/409800388.db2.gz WVAOVHAUVFAIJP-UHFFFAOYSA-N -1 1 312.303 1.276 20 0 DDADMM CC[C@@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000338229105 409819622 /nfs/dbraw/zinc/81/96/22/409819622.db2.gz KQSPZDYDFJRSKL-KOLCDFICSA-N -1 1 319.379 1.142 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc(C)cc2C)C1 ZINC000324579169 409925160 /nfs/dbraw/zinc/92/51/60/409925160.db2.gz WZGFXDYRGBCPMI-KRWDZBQOSA-N -1 1 320.389 1.665 20 0 DDADMM C[C@@H](c1ccccc1)N1C[C@H](C(=O)[N-]OC(C)(C)CO)CC1=O ZINC000297223956 409860753 /nfs/dbraw/zinc/86/07/53/409860753.db2.gz NZZPWFYRFSCZHR-GXTWGEPZSA-N -1 1 320.389 1.415 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)c3ccnc4c3nnn4C)n2)o1 ZINC000338414780 409969909 /nfs/dbraw/zinc/96/99/09/409969909.db2.gz HCSMTZURCWFVOJ-UHFFFAOYSA-N -1 1 324.304 1.302 20 0 DDADMM C[C@@H](CC(=O)Nc1nn[nH]c1C(N)=O)Cc1cccc(F)c1 ZINC000297868658 410031830 /nfs/dbraw/zinc/03/18/30/410031830.db2.gz TXHFMNLZLCCOIJ-MRVPVSSYSA-N -1 1 305.313 1.250 20 0 DDADMM CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)Nc1nn[nH]c1C(=O)NC ZINC000297795600 410000835 /nfs/dbraw/zinc/00/08/35/410000835.db2.gz RVEXAJDOWNDASX-AXFHLTTASA-N -1 1 323.397 1.477 20 0 DDADMM CC(C)(NC(=O)[C@@H]1C[C@H]1c1cccc(F)c1F)c1nn[n-]n1 ZINC000354774907 410079044 /nfs/dbraw/zinc/07/90/44/410079044.db2.gz QFUNUUKBWNRQAV-DTWKUNHWSA-N -1 1 307.304 1.633 20 0 DDADMM COc1ccccc1CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332417122 410139706 /nfs/dbraw/zinc/13/97/06/410139706.db2.gz VAHTWAGYXVSKQG-LBPRGKRZSA-N -1 1 317.345 1.733 20 0 DDADMM O=C(CSCCCO)[N-]C(=O)c1ccc(OC(F)F)cc1 ZINC000298258493 410156228 /nfs/dbraw/zinc/15/62/28/410156228.db2.gz OAZYOKKYSDUDEH-UHFFFAOYSA-N -1 1 319.329 1.660 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H](C(=O)c3ccc(F)cc3)C2)nc1=O ZINC000329245476 410191043 /nfs/dbraw/zinc/19/10/43/410191043.db2.gz AFOTWDFKCFMSQE-LBPRGKRZSA-N -1 1 318.352 1.342 20 0 DDADMM C[C@@H]1CCCC[C@@H]1N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000343168234 410202673 /nfs/dbraw/zinc/20/26/73/410202673.db2.gz AWIMTGUOFIEYRF-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H]1CCCC[C@H]1CCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000343408956 410430475 /nfs/dbraw/zinc/43/04/75/410430475.db2.gz ZIJKPTBMSZKLAY-STQMWFEESA-N -1 1 319.405 1.850 20 0 DDADMM O=C(NCCCO[C@@H]1CCCCO1)C(=O)c1ccc([O-])cc1 ZINC000298878148 410434901 /nfs/dbraw/zinc/43/49/01/410434901.db2.gz HHDWPAQRLCZMTE-CQSZACIVSA-N -1 1 307.346 1.624 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H]2CC(=O)c2cccs2)nc1=O ZINC000347479745 410575420 /nfs/dbraw/zinc/57/54/20/410575420.db2.gz GOOXJGHGOYSXFO-JTQLQIEISA-N -1 1 306.391 1.407 20 0 DDADMM Cc1cc(C(=O)Nc2ccncc2[O-])nn1-c1nc(C)cc(C)n1 ZINC000343571300 410543396 /nfs/dbraw/zinc/54/33/96/410543396.db2.gz CFGBZXJUFGFQEH-UHFFFAOYSA-N -1 1 324.344 1.362 20 0 DDADMM COC(=O)[C@H]1c2ccccc2CCN1C(=O)c1cncc([O-])c1 ZINC000339904340 410544248 /nfs/dbraw/zinc/54/42/48/410544248.db2.gz OHIZZJLGWUCSSL-OAHLLOKOSA-N -1 1 312.325 1.700 20 0 DDADMM CCN(Cc1nc(=O)n(C)[n-]1)c1ccc(Br)cc1 ZINC000347454432 410560406 /nfs/dbraw/zinc/56/04/06/410560406.db2.gz BLCYEKKEXBKCSQ-UHFFFAOYSA-N -1 1 311.183 1.897 20 0 DDADMM COc1ccc(Cl)c([N-]S(=O)(=O)c2ccnn2C)c1 ZINC000340096016 410671024 /nfs/dbraw/zinc/67/10/24/410671024.db2.gz WFVANGMRKUGVII-UHFFFAOYSA-N -1 1 301.755 1.883 20 0 DDADMM C[C@@]1(CO)CCC[C@@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000330482276 410719475 /nfs/dbraw/zinc/71/94/75/410719475.db2.gz TZZUMBFGRRVMGK-GWCFXTLKSA-N -1 1 323.336 1.933 20 0 DDADMM Cc1cc(NCCCc2nc(=O)[n-][nH]2)nc(-c2cccnc2)n1 ZINC000301432477 410721680 /nfs/dbraw/zinc/72/16/80/410721680.db2.gz KQINRSDACBIZNV-UHFFFAOYSA-N -1 1 311.349 1.303 20 0 DDADMM CCN(C)C(=O)[C@H](C)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC000355982794 410759827 /nfs/dbraw/zinc/75/98/27/410759827.db2.gz JHXKNOXJKWDCHM-JTQLQIEISA-N -1 1 301.350 1.068 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cncc([O-])c2)[C@H](CC)CN1C(C)=O ZINC000339906115 287178182 /nfs/dbraw/zinc/17/81/82/287178182.db2.gz UBUYMWKGDNYLHZ-ZIAGYGMSSA-N -1 1 305.378 1.649 20 0 DDADMM CC(C)(NC(=O)c1cccc(Br)c1)c1nn[n-]n1 ZINC000359780757 410876720 /nfs/dbraw/zinc/87/67/20/410876720.db2.gz CVLDOROZLANNIT-UHFFFAOYSA-N -1 1 310.155 1.627 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@]12CCCc1ccccc12 ZINC000348281133 410892762 /nfs/dbraw/zinc/89/27/62/410892762.db2.gz JLBAMKUXILZDRE-CJNGLKHVSA-N -1 1 309.373 1.599 20 0 DDADMM COc1ccc(OCCCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348278317 410892838 /nfs/dbraw/zinc/89/28/38/410892838.db2.gz OTIOQXJWLDFFRM-UHFFFAOYSA-N -1 1 317.349 1.173 20 0 DDADMM CCOc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1OCC ZINC000348290457 410900600 /nfs/dbraw/zinc/90/06/00/410900600.db2.gz FKCWFWWTRZYUNJ-UHFFFAOYSA-N -1 1 317.349 1.416 20 0 DDADMM Cc1ccccc1[C@H](C)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353383201 410955342 /nfs/dbraw/zinc/95/53/42/410955342.db2.gz IQVDMNJQWZHXPB-NSHDSACASA-N -1 1 311.345 1.559 20 0 DDADMM CC(C)(NC(=O)[C@H]1CCCc2c(O)cccc21)c1nn[n-]n1 ZINC000356324997 410965602 /nfs/dbraw/zinc/96/56/02/410965602.db2.gz SNHBIPBRYPSKRO-NSHDSACASA-N -1 1 301.350 1.377 20 0 DDADMM CC[C@H]1C[C@@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)CC(=O)N1 ZINC000348574783 411023623 /nfs/dbraw/zinc/02/36/23/411023623.db2.gz KYTGGUWFXXXAMD-RITPCOANSA-N -1 1 305.260 1.067 20 0 DDADMM CCc1cc(=O)[n-]c(SCCCS(=O)(=O)N(C)C)n1 ZINC000331220163 411024534 /nfs/dbraw/zinc/02/45/34/411024534.db2.gz JFTHLDMFNTYQAI-UHFFFAOYSA-N -1 1 305.425 1.118 20 0 DDADMM COc1cc(CNC2(c3nnn[n-]3)CCCC2)cc2c1OCO2 ZINC000344207605 411026191 /nfs/dbraw/zinc/02/61/91/411026191.db2.gz FVBCOHDYZVXZIQ-UHFFFAOYSA-N -1 1 317.349 1.496 20 0 DDADMM Cc1ccccc1C[C@@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353682969 411107110 /nfs/dbraw/zinc/10/71/10/411107110.db2.gz ZSWDVTYRGBWHTA-LLVKDONJSA-N -1 1 311.345 1.087 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccccc1N1CCCC1 ZINC000635199326 422873695 /nfs/dbraw/zinc/87/36/95/422873695.db2.gz PKEDWBBTSKLGKF-UHFFFAOYSA-N -1 1 300.366 1.761 20 0 DDADMM CC(C)Oc1ncccc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000580065623 422880955 /nfs/dbraw/zinc/88/09/55/422880955.db2.gz JCEHJTNJPKEBMW-SNVBAGLBSA-N -1 1 304.354 1.258 20 0 DDADMM COC(=O)[C@@H](CF)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000580359900 422924368 /nfs/dbraw/zinc/92/43/68/422924368.db2.gz CCFCEDNKXMMKOC-SECBINFHSA-N -1 1 301.295 1.222 20 0 DDADMM Cc1ccnc(N2CC[C@H]([N@@H+](C)Cc3cc(=O)[nH]c(N)n3)C2)c1 ZINC000580417467 422929741 /nfs/dbraw/zinc/92/97/41/422929741.db2.gz IQVSBOQUXASYGP-ZDUSSCGKSA-N -1 1 314.393 1.009 20 0 DDADMM CCOc1cc(C(=O)N[C@@H]2CCN(C)C2=O)cc(Cl)c1[O-] ZINC000131651357 196188139 /nfs/dbraw/zinc/18/81/39/196188139.db2.gz HPGDCXWKMMFRKQ-SNVBAGLBSA-N -1 1 312.753 1.405 20 0 DDADMM CCC1(O)CN(C(=O)CCc2c(C)nc(SC)[n-]c2=O)C1 ZINC000647684015 422998500 /nfs/dbraw/zinc/99/85/00/422998500.db2.gz JAECFDASOSLNAY-UHFFFAOYSA-N -1 1 311.407 1.128 20 0 DDADMM CN1CC[C@@H]([N-]S(=O)(=O)c2sccc2Cl)CC1=O ZINC000649955927 423004301 /nfs/dbraw/zinc/00/43/01/423004301.db2.gz DFLHVQLZZLYIEO-SSDOTTSWSA-N -1 1 308.812 1.301 20 0 DDADMM CC(C)[C@@H](C(=O)Nc1cnn(CC(=O)[O-])c1)N1CCCCC1 ZINC000647745302 423027819 /nfs/dbraw/zinc/02/78/19/423027819.db2.gz VKUIQJRUKNPLKI-AWEZNQCLSA-N -1 1 308.382 1.417 20 0 DDADMM CC(C)(C)n1nnnc1CN1CCC[C@@H](C(C)(C)C(=O)[O-])C1 ZINC000652498817 423044539 /nfs/dbraw/zinc/04/45/39/423044539.db2.gz UTULWZMRVRTOFL-LLVKDONJSA-N -1 1 309.414 1.751 20 0 DDADMM Cc1nc(C)c(CCC(=O)N2[C@H](C)C[N@@H+](C)[C@@H](C)[C@@H]2C)c(=O)[nH]1 ZINC000650147606 423062990 /nfs/dbraw/zinc/06/29/90/423062990.db2.gz ZAVFFUFRIHRPAW-WXHSDQCUSA-N -1 1 320.437 1.671 20 0 DDADMM CN1CCC[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1=O ZINC000360459201 418440666 /nfs/dbraw/zinc/44/06/66/418440666.db2.gz JQVRXVXIYRUMHE-MRVPVSSYSA-N -1 1 322.308 1.003 20 0 DDADMM C[C@@H]1C[C@@H](C(C)(C)C)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000360703679 418496995 /nfs/dbraw/zinc/49/69/95/418496995.db2.gz AVLXISSWPPNICO-MNOVXSKESA-N -1 1 317.393 1.704 20 0 DDADMM Cc1cccc(C(=O)NC[C@H]2C[N@H+]3CCCC[C@H]3CO2)c1O ZINC000360730419 418501892 /nfs/dbraw/zinc/50/18/92/418501892.db2.gz ATBAZSLISWSIGM-KBPBESRZSA-N -1 1 304.390 1.684 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)[N-]S(=O)(=O)c1ccc(C)o1 ZINC000360866076 418536782 /nfs/dbraw/zinc/53/67/82/418536782.db2.gz WMPDCUFYJXLPEX-SSDOTTSWSA-N -1 1 315.269 1.360 20 0 DDADMM O=C(NCc1ccc(N2CCCCCC2)nc1)c1cnn[nH]1 ZINC000194192307 418542014 /nfs/dbraw/zinc/54/20/14/418542014.db2.gz HSXUTQYMYNEKMZ-UHFFFAOYSA-N -1 1 300.366 1.510 20 0 DDADMM Cc1noc2ncc(C(=O)Nc3nc(-c4ccccn4)n[nH]3)cc12 ZINC000194704566 418545991 /nfs/dbraw/zinc/54/59/91/418545991.db2.gz LCAODCOSTKUJDQ-UHFFFAOYSA-N -1 1 321.300 1.964 20 0 DDADMM C[C@@]1(NC(=O)c2nc3ccccc3c(=O)[n-]2)CCO[C@@H]1C1CC1 ZINC000374633708 418548243 /nfs/dbraw/zinc/54/82/43/418548243.db2.gz ZDLDPHNOBKOECU-CXAGYDPISA-N -1 1 313.357 1.611 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)[C@@H]3CCCN3c3ccccc3)ccnc1-2 ZINC000374746062 418559557 /nfs/dbraw/zinc/55/95/57/418559557.db2.gz CDZGUBLMVPREEB-NOEYKIMYSA-N -1 1 321.384 1.949 20 0 DDADMM C[C@H](CSc1nc(C2CC2)cc(=O)[n-]1)CS(C)(=O)=O ZINC000195081751 222190598 /nfs/dbraw/zinc/19/05/98/222190598.db2.gz WMARXJHARVZTIU-MRVPVSSYSA-N -1 1 302.421 1.832 20 0 DDADMM FC(F)(F)c1nsc(=NC[C@@H]2CN(C3CC3)CCO2)[n-]1 ZINC000367425350 418599898 /nfs/dbraw/zinc/59/98/98/418599898.db2.gz CZZKRSZFVFBMFX-MRVPVSSYSA-N -1 1 308.329 1.254 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2[C@H](CCC(=O)N2C2CC2)C1 ZINC000361205915 418606147 /nfs/dbraw/zinc/60/61/47/418606147.db2.gz ZUCZGEIGEPXLEG-YPMHNXCESA-N -1 1 315.373 1.403 20 0 DDADMM O=C([O-])C[C@H]1COCCN1Cc1ccc(-n2cccn2)cc1 ZINC000316678462 418600539 /nfs/dbraw/zinc/60/05/39/418600539.db2.gz AVBALHUVTGWRHN-HNNXBMFYSA-N -1 1 301.346 1.548 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@@H]1[C@@H]1CCCC1=O ZINC000375289012 418625428 /nfs/dbraw/zinc/62/54/28/418625428.db2.gz WISNDSGSYBDFAJ-GXTWGEPZSA-N -1 1 307.321 1.742 20 0 DDADMM CSCC[C@H](CO)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000361693695 418699192 /nfs/dbraw/zinc/69/91/92/418699192.db2.gz UXDQRVKXYFIUFF-SNVBAGLBSA-N -1 1 321.402 1.488 20 0 DDADMM CSCC[C@H](CO)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000361693695 418699194 /nfs/dbraw/zinc/69/91/94/418699194.db2.gz UXDQRVKXYFIUFF-SNVBAGLBSA-N -1 1 321.402 1.488 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](OC)CN1C(=O)c1ccc(Cl)cc1[O-] ZINC000364852533 418816174 /nfs/dbraw/zinc/81/61/74/418816174.db2.gz VUKCKLSHAHKJLP-KOLCDFICSA-N -1 1 313.737 1.448 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCCc3occc3C1)c2=O ZINC000365652691 418888266 /nfs/dbraw/zinc/88/82/66/418888266.db2.gz IGUJQMYWOPMFJG-UHFFFAOYSA-N -1 1 312.329 1.856 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CC[C@@H](c2ccccc2)O1)c1nn[n-]n1 ZINC000365971628 418926442 /nfs/dbraw/zinc/92/64/42/418926442.db2.gz IWVXGYLYRJSRIY-KWCYVHTRSA-N -1 1 315.377 1.682 20 0 DDADMM Cn1cc(-c2cc(NC(=O)c3cc(F)ccc3[O-])n(C)n2)cn1 ZINC000373148349 418929435 /nfs/dbraw/zinc/92/94/35/418929435.db2.gz VJVAOVCHPPMDGK-UHFFFAOYSA-N -1 1 315.308 1.918 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H](O)C2CCC2)c(F)c1 ZINC000425183706 228383754 /nfs/dbraw/zinc/38/37/54/228383754.db2.gz HHTGKHJFISOBGH-LBPRGKRZSA-N -1 1 305.346 1.713 20 0 DDADMM Cc1cnc(C(=O)NCC(=O)N(C)Cc2ccccc2)c([O-])c1 ZINC000426631171 419519999 /nfs/dbraw/zinc/51/99/99/419519999.db2.gz XHWRLBSKKBGWLT-UHFFFAOYSA-N -1 1 313.357 1.484 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cc(C(N)=O)ccc1F)OC ZINC000421152647 419503523 /nfs/dbraw/zinc/50/35/23/419503523.db2.gz LCSXSYFNZXPMQJ-VIFPVBQESA-N -1 1 304.343 1.091 20 0 DDADMM Cc1cccc2[nH]c(CCC(=O)NC(C)(C)c3nn[n-]n3)nc21 ZINC000426921197 419569212 /nfs/dbraw/zinc/56/92/12/419569212.db2.gz NUHNCFNUBJMCKT-UHFFFAOYSA-N -1 1 313.365 1.369 20 0 DDADMM Cc1c(S(=O)(=O)[N-]c2ccccc2-c2nnc[nH]2)cnn1C ZINC000427238419 419626990 /nfs/dbraw/zinc/62/69/90/419626990.db2.gz JIDKYHLCMCFMEY-UHFFFAOYSA-N -1 1 318.362 1.314 20 0 DDADMM Cc1cnc(C(=O)NCc2cn(C)nc2C(F)(F)F)c([O-])c1 ZINC000427644066 419706628 /nfs/dbraw/zinc/70/66/28/419706628.db2.gz FOBFZFNFAXQTPA-UHFFFAOYSA-N -1 1 314.267 1.778 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCCc2cnn(C)c2)sc1C ZINC000435213780 229361493 /nfs/dbraw/zinc/36/14/93/229361493.db2.gz BTRARBJJYVCKMK-UHFFFAOYSA-N -1 1 314.436 1.405 20 0 DDADMM O=C(N=c1nc(-c2cccc(Cl)c2)[n-]s1)N1CCNCC1 ZINC000415482942 420083731 /nfs/dbraw/zinc/08/37/31/420083731.db2.gz MANHTSKBLNPWAY-UHFFFAOYSA-N -1 1 323.809 1.718 20 0 DDADMM CC(C)Oc1cccc(CNC(=O)CN(C)CCC(=O)[O-])c1 ZINC000430665554 420173772 /nfs/dbraw/zinc/17/37/72/420173772.db2.gz QYBYMRTXSOPUGT-UHFFFAOYSA-N -1 1 308.378 1.497 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1c(C)cc(C)cc1C ZINC000416133925 420253369 /nfs/dbraw/zinc/25/33/69/420253369.db2.gz IQEHGIHWDXQBTI-UHFFFAOYSA-N -1 1 317.345 1.752 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2ccccc2[C@H]1C ZINC000416151505 420258020 /nfs/dbraw/zinc/25/80/20/420258020.db2.gz QREUZNXVPYSPLI-SECBINFHSA-N -1 1 315.329 1.656 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2ccc(F)cc2C1 ZINC000416178397 420270203 /nfs/dbraw/zinc/27/02/03/420270203.db2.gz KNKHJJGUGKSBOD-UHFFFAOYSA-N -1 1 319.292 1.234 20 0 DDADMM CCN1C[C@H](C)N(C(=O)NC[C@H](CC(C)C)C(=O)[O-])C[C@H]1C ZINC000424424726 420318199 /nfs/dbraw/zinc/31/81/99/420318199.db2.gz POBMZKRCKUCMRK-RDBSUJKOSA-N -1 1 313.442 1.857 20 0 DDADMM COC(=O)c1c(Cl)cccc1S(=O)(=O)[N-]CC(F)F ZINC000416412477 420363258 /nfs/dbraw/zinc/36/32/58/420363258.db2.gz DCPAXYMALIOAMH-UHFFFAOYSA-N -1 1 313.709 1.670 20 0 DDADMM CNC(=O)CCN(C)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436535849 420337479 /nfs/dbraw/zinc/33/74/79/420337479.db2.gz PACZPZXVAGDLDR-UHFFFAOYSA-N -1 1 300.742 1.262 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC(CCO)C1 ZINC000436663037 420350518 /nfs/dbraw/zinc/35/05/18/420350518.db2.gz HZQXUBVPHFAKIC-UHFFFAOYSA-N -1 1 300.152 1.609 20 0 DDADMM O=C(CNC(=O)C(=O)c1ccc([O-])cc1)N1CCc2ccccc21 ZINC000436664155 420353795 /nfs/dbraw/zinc/35/37/95/420353795.db2.gz HWCVVJWJYCNWJK-UHFFFAOYSA-N -1 1 324.336 1.280 20 0 DDADMM Cc1nn(C)c(C)c1C[C@H](C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436694902 420356344 /nfs/dbraw/zinc/35/63/44/420356344.db2.gz CAGYKFPWKAQAGC-JTQLQIEISA-N -1 1 315.373 1.673 20 0 DDADMM O=C(N[C@H]1CN(c2ccc(F)cc2)C1=O)c1cc(F)ccc1[O-] ZINC000436729169 420361038 /nfs/dbraw/zinc/36/10/38/420361038.db2.gz QHLDJCVRHZZTEK-ZDUSSCGKSA-N -1 1 318.279 1.816 20 0 DDADMM COc1cc([C@H](C)NC(=O)C(=O)c2ccc([O-])cc2)ccn1 ZINC000436740239 420362506 /nfs/dbraw/zinc/36/25/06/420362506.db2.gz PYLASHQKVZFAHK-JTQLQIEISA-N -1 1 300.314 1.856 20 0 DDADMM CNC(=O)c1ccc(CNC(=O)c2cc(F)ccc2[O-])cc1 ZINC000436759916 420362609 /nfs/dbraw/zinc/36/26/09/420362609.db2.gz IHYPATGZWDPIKI-UHFFFAOYSA-N -1 1 302.305 1.821 20 0 DDADMM O=C(NC[C@@H]1CCCCS1(=O)=O)c1cc(Cl)ccc1[O-] ZINC000436829257 420373034 /nfs/dbraw/zinc/37/30/34/420373034.db2.gz LCHFZLYTOBUAJH-JTQLQIEISA-N -1 1 317.794 1.743 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc(Cl)cc1[O-])c1ccn(C)n1 ZINC000436840526 420375284 /nfs/dbraw/zinc/37/52/84/420375284.db2.gz XEGFFJOZCLLWPW-LBPRGKRZSA-N -1 1 323.736 1.423 20 0 DDADMM COc1cccc(CNC(=O)C(=O)c2ccc([O-])cc2)c1OC ZINC000436867988 420379653 /nfs/dbraw/zinc/37/96/53/420379653.db2.gz FVNHMRCBCAVLQE-UHFFFAOYSA-N -1 1 315.325 1.909 20 0 DDADMM C[S@](=O)c1cccc(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436921783 420384390 /nfs/dbraw/zinc/38/43/90/420384390.db2.gz UXIAYYQXABCVIC-NRFANRHFSA-N -1 1 303.339 1.951 20 0 DDADMM C[C@H](c1nc(Cc2ccccc2)no1)S(=O)(=O)c1nnc[n-]1 ZINC000444408704 230095489 /nfs/dbraw/zinc/09/54/89/230095489.db2.gz VDBMPIHBMCKMMK-SECBINFHSA-N -1 1 319.346 1.313 20 0 DDADMM C[C@H](c1nc(Cc2ccccc2)no1)S(=O)(=O)c1ncn[n-]1 ZINC000444408704 230095491 /nfs/dbraw/zinc/09/54/91/230095491.db2.gz VDBMPIHBMCKMMK-SECBINFHSA-N -1 1 319.346 1.313 20 0 DDADMM C[C@H](c1nc(Cc2ccccc2)no1)S(=O)(=O)c1nc[n-]n1 ZINC000444408704 230095495 /nfs/dbraw/zinc/09/54/95/230095495.db2.gz VDBMPIHBMCKMMK-SECBINFHSA-N -1 1 319.346 1.313 20 0 DDADMM C[C@H](c1nc(-c2cccs2)no1)S(=O)(=O)c1nnc[n-]1 ZINC000444407430 230095719 /nfs/dbraw/zinc/09/57/19/230095719.db2.gz NHEVZXXZNJPRRE-ZCFIWIBFSA-N -1 1 311.348 1.451 20 0 DDADMM C[C@H](c1nc(-c2cccs2)no1)S(=O)(=O)c1ncn[n-]1 ZINC000444407430 230095723 /nfs/dbraw/zinc/09/57/23/230095723.db2.gz NHEVZXXZNJPRRE-ZCFIWIBFSA-N -1 1 311.348 1.451 20 0 DDADMM C[C@H](c1nc(-c2cccs2)no1)S(=O)(=O)c1nc[n-]n1 ZINC000444407430 230095727 /nfs/dbraw/zinc/09/57/27/230095727.db2.gz NHEVZXXZNJPRRE-ZCFIWIBFSA-N -1 1 311.348 1.451 20 0 DDADMM CC[C@H](O)[C@H](CC)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000456725429 420534485 /nfs/dbraw/zinc/53/44/85/420534485.db2.gz QRZQKWWOAYRXAS-STQMWFEESA-N -1 1 320.393 1.260 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cccc(F)c2Cl)n1 ZINC000439148489 420482929 /nfs/dbraw/zinc/48/29/29/420482929.db2.gz SXOHZQVDFVOMNE-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cccc(F)c2Cl)[n-]1 ZINC000439148489 420482932 /nfs/dbraw/zinc/48/29/32/420482932.db2.gz SXOHZQVDFVOMNE-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM O=C(Nc1cccc(CCO)c1)c1csc(=NC2CC2)[n-]1 ZINC000456905066 420566878 /nfs/dbraw/zinc/56/68/78/420566878.db2.gz AOARYHIBMKMGNS-UHFFFAOYSA-N -1 1 303.387 1.926 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2c(C)n(C)c3ccccc32)n1 ZINC000451028472 420583420 /nfs/dbraw/zinc/58/34/20/420583420.db2.gz OUUQRKWDCVTPHJ-UHFFFAOYSA-N -1 1 319.390 1.968 20 0 DDADMM COC(=O)[C@H](C)CN(C)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442649318 420693758 /nfs/dbraw/zinc/69/37/58/420693758.db2.gz LZDVSPSESUYRPI-MRVPVSSYSA-N -1 1 315.753 1.935 20 0 DDADMM CCN(CC(=O)OC)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442652690 420694854 /nfs/dbraw/zinc/69/48/54/420694854.db2.gz OILAMJUUTQKMCQ-UHFFFAOYSA-N -1 1 301.726 1.689 20 0 DDADMM CC[C@@H](O)CS(=O)(=O)c1n[n-]c(CCC2CCCC2)n1 ZINC000453032708 420703626 /nfs/dbraw/zinc/70/36/26/420703626.db2.gz YURFMNDFRRUZNJ-LLVKDONJSA-N -1 1 301.412 1.472 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CCC[C@H](O)C2)sc1C ZINC000443232987 420750933 /nfs/dbraw/zinc/75/09/33/420750933.db2.gz GLRPBVSKRCLRGV-QWRGUYRKSA-N -1 1 304.437 1.589 20 0 DDADMM O=C(/C=C\COCC(F)(F)F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000493145495 420768901 /nfs/dbraw/zinc/76/89/01/420768901.db2.gz DIYCTOSFTPERHJ-MDHMXLOGSA-N -1 1 319.287 1.041 20 0 DDADMM COCc1nnc(S(=O)(=O)CCc2ccc(Cl)cc2)[n-]1 ZINC000453035984 420706861 /nfs/dbraw/zinc/70/68/61/420706861.db2.gz XCALIGSJXLMEDR-UHFFFAOYSA-N -1 1 315.782 1.621 20 0 DDADMM COCc1nc(S(=O)(=O)CCc2ccc(Cl)cc2)n[n-]1 ZINC000453035984 420706863 /nfs/dbraw/zinc/70/68/63/420706863.db2.gz XCALIGSJXLMEDR-UHFFFAOYSA-N -1 1 315.782 1.621 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)c2ncn(C)n2)cc(Cl)c1[O-] ZINC000442804544 420719467 /nfs/dbraw/zinc/71/94/67/420719467.db2.gz ZXJBJWUMCZBURZ-ZETCQYMHSA-N -1 1 310.741 1.674 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-][C@@H](c1nc(C2CC2)no1)C(C)C ZINC000442958139 420733009 /nfs/dbraw/zinc/73/30/09/420733009.db2.gz VTBXWDASYWDLHY-MWLCHTKSSA-N -1 1 317.411 1.598 20 0 DDADMM N=c1nc(N2CCN(C(=O)/C=C\C3CCCC3)CC2)s[n-]1 ZINC000493288212 420809435 /nfs/dbraw/zinc/80/94/35/420809435.db2.gz GAPGUOWCNLIREZ-WAYWQWQTSA-N -1 1 307.423 1.346 20 0 DDADMM CCc1noc(C)c1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454245438 420823531 /nfs/dbraw/zinc/82/35/31/420823531.db2.gz XHBWAKHMDDXRCI-UHFFFAOYSA-N -1 1 312.329 1.712 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)C2(COC)CC2)c1Br ZINC000448315398 420848200 /nfs/dbraw/zinc/84/82/00/420848200.db2.gz BORPQOWISLGYON-UHFFFAOYSA-N -1 1 302.172 1.522 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC(C)(C)C2CCC2)o1 ZINC000456143932 421108095 /nfs/dbraw/zinc/10/80/95/421108095.db2.gz JKAYLJDFCJGPEE-UHFFFAOYSA-N -1 1 300.380 1.496 20 0 DDADMM CC[C@H]1CN(C(=O)Cc2ccc(O)c(F)c2)CC[N@H+]1C[C@@H](C)O ZINC000450026772 421130315 /nfs/dbraw/zinc/13/03/15/421130315.db2.gz NAMWXURTMVWMFX-OCCSQVGLSA-N -1 1 324.396 1.377 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C3CC3)[C@@H]2C2CC2)co1 ZINC000456310665 421139869 /nfs/dbraw/zinc/13/98/69/421139869.db2.gz VHKCPBNLHQTXMZ-OCCSQVGLSA-N -1 1 324.402 1.448 20 0 DDADMM O=C(C(=O)N1CC[C@@H]2C[C@@H](O)CC[C@H]2C1)c1ccc([O-])cc1 ZINC000456326738 421142312 /nfs/dbraw/zinc/14/23/12/421142312.db2.gz OPZGUZIEAMETRP-IPYPFGDCSA-N -1 1 303.358 1.584 20 0 DDADMM O=C(NCC(F)F)[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000456472058 421172355 /nfs/dbraw/zinc/17/23/55/421172355.db2.gz YUQOITCFCCYAFF-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM CCN1CCN(c2ccc(CN=c3[n-]ncs3)cn2)CC1 ZINC000450252496 421172738 /nfs/dbraw/zinc/17/27/38/421172738.db2.gz IQMBWCSFGHZCNR-UHFFFAOYSA-N -1 1 304.423 1.109 20 0 DDADMM C[C@@H](CN(C)C(=O)c1csc([C@H]2CCCO2)n1)c1nn[n-]n1 ZINC000544686857 421227966 /nfs/dbraw/zinc/22/79/66/421227966.db2.gz IWQIRRDVHHWXHR-WCBMZHEXSA-N -1 1 322.394 1.383 20 0 DDADMM Brc1ccc(CNC2(c3nnn[n-]3)CCCC2)nc1 ZINC000546741768 421308272 /nfs/dbraw/zinc/30/82/72/421308272.db2.gz PCFUYIGKTQNQAE-UHFFFAOYSA-N -1 1 323.198 1.916 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CC[C@H](C(F)(F)F)C1)c1nn[n-]n1 ZINC000560638159 421286076 /nfs/dbraw/zinc/28/60/76/421286076.db2.gz MTSKCXDPYKMVID-VGMNWLOBSA-N -1 1 305.304 1.740 20 0 DDADMM COC(=O)c1c[n-]c(SCc2cccc(C(=O)OC)n2)n1 ZINC000562408999 421362549 /nfs/dbraw/zinc/36/25/49/421362549.db2.gz CHVBLQFTCNMWGE-UHFFFAOYSA-N -1 1 307.331 1.670 20 0 DDADMM C[C@H](CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)n1cccc1 ZINC000563020765 421440570 /nfs/dbraw/zinc/44/05/70/421440570.db2.gz WASSXESVZLWHBL-LLVKDONJSA-N -1 1 304.350 1.934 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1cc(F)cc(F)c1 ZINC000514635316 421441579 /nfs/dbraw/zinc/44/15/79/421441579.db2.gz UUAYUHIMIWFBNR-UHFFFAOYSA-N -1 1 303.290 1.152 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cc(F)cc(F)c1 ZINC000514635316 421441581 /nfs/dbraw/zinc/44/15/81/421441581.db2.gz UUAYUHIMIWFBNR-UHFFFAOYSA-N -1 1 303.290 1.152 20 0 DDADMM CC(C)(NC(=O)CCc1cnc2ccccc2c1)c1nn[n-]n1 ZINC000527466793 421388649 /nfs/dbraw/zinc/38/86/49/421388649.db2.gz JPKIFTRKRXRDAC-UHFFFAOYSA-N -1 1 310.361 1.732 20 0 DDADMM CSCc1cccnc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000515718709 421516382 /nfs/dbraw/zinc/51/63/82/421516382.db2.gz MZEAYKXJBUXHMM-SNVBAGLBSA-N -1 1 318.406 1.478 20 0 DDADMM Cc1nc2ncnn2c(N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1C ZINC000550343492 421518390 /nfs/dbraw/zinc/51/83/90/421518390.db2.gz ASYIIALZWJFMND-SNVBAGLBSA-N -1 1 315.337 1.214 20 0 DDADMM CC(=O)N1CCC[C@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000563182014 421468416 /nfs/dbraw/zinc/46/84/16/421468416.db2.gz LNMDCGBEXPEGFH-AWEZNQCLSA-N -1 1 314.345 1.732 20 0 DDADMM O=C(NCc1nnc(C2CC2)s1)c1csc(=NC2CC2)[n-]1 ZINC000563293627 421483477 /nfs/dbraw/zinc/48/34/77/421483477.db2.gz KFXQDHSUDQGIGI-UHFFFAOYSA-N -1 1 321.431 1.798 20 0 DDADMM CN1CC[C@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CC1=O ZINC000549583922 421502623 /nfs/dbraw/zinc/50/26/23/421502623.db2.gz DNSKABURMHVTBK-NSHDSACASA-N -1 1 314.345 1.589 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cn(C)nc2C(F)(F)F)no1 ZINC000549754081 421507707 /nfs/dbraw/zinc/50/77/07/421507707.db2.gz RLWKTASOLCDZEG-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM CC(C)N(C)c1ccc(CNC2(c3nnn[n-]3)CCCC2)cn1 ZINC000517005220 421586405 /nfs/dbraw/zinc/58/64/05/421586405.db2.gz CKNTVBSNAUUCAT-UHFFFAOYSA-N -1 1 315.425 1.998 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cncc([O-])c1)[C@H]1CCC[C@H](OC)C1 ZINC000564462833 421587431 /nfs/dbraw/zinc/58/74/31/421587431.db2.gz UGMUXGOFUNNBQQ-LEWSCRJBSA-N -1 1 322.361 1.264 20 0 DDADMM FC(F)(F)c1nsc(=NCC2(N3CCOCC3)CC2)[n-]1 ZINC000553583063 421621191 /nfs/dbraw/zinc/62/11/91/421621191.db2.gz KDTILKOPOOYHPA-UHFFFAOYSA-N -1 1 308.329 1.256 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2ccc(F)cc2F)o1 ZINC000532096441 421648531 /nfs/dbraw/zinc/64/85/31/421648531.db2.gz WQCJFHHCWGRDQQ-LURJTMIESA-N -1 1 303.290 1.696 20 0 DDADMM O=C(c1csc(=NC2CC2)[nH]1)N1CCC(n2ccnn2)CC1 ZINC000532637194 421663010 /nfs/dbraw/zinc/66/30/10/421663010.db2.gz IGEUHHGPCJGNBB-UHFFFAOYSA-N -1 1 318.406 1.208 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC(n2ccnn2)CC1 ZINC000532637194 421663014 /nfs/dbraw/zinc/66/30/14/421663014.db2.gz IGEUHHGPCJGNBB-UHFFFAOYSA-N -1 1 318.406 1.208 20 0 DDADMM O=C(COc1cc(F)cc(F)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000519266916 421687210 /nfs/dbraw/zinc/68/72/10/421687210.db2.gz YTBAZFSOHATGMZ-UHFFFAOYSA-N -1 1 323.303 1.442 20 0 DDADMM Cc1nc(C2CC2)ccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000571612433 421729950 /nfs/dbraw/zinc/72/99/50/421729950.db2.gz FIILBCVTSUIYPA-LBPRGKRZSA-N -1 1 312.377 1.800 20 0 DDADMM O=C(CCc1ccccc1O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538223452 421737258 /nfs/dbraw/zinc/73/72/58/421737258.db2.gz NOLSVSFICNPUFS-LBPRGKRZSA-N -1 1 301.350 1.244 20 0 DDADMM C[C@@H](CC(=O)NC1(c2nn[n-]n2)CC1)c1c[nH]c2ccccc21 ZINC000522056263 421814264 /nfs/dbraw/zinc/81/42/64/421814264.db2.gz XOYBFNPNDWGZEC-JTQLQIEISA-N -1 1 310.361 1.980 20 0 DDADMM CO[C@@H](C)c1nc(=N[C@H]2CCN(CC(F)(F)F)C2)s[n-]1 ZINC000558687511 421821181 /nfs/dbraw/zinc/82/11/81/421821181.db2.gz SMJSVJITIVCSRF-YUMQZZPRSA-N -1 1 310.345 1.716 20 0 DDADMM O=C(Cc1ccc2c(c1)COC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000542650790 421826243 /nfs/dbraw/zinc/82/62/43/421826243.db2.gz PQKHGWFQXFUOQD-GFCCVEGCSA-N -1 1 313.361 1.179 20 0 DDADMM O=C(C1Cc2ccccc2C1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000572237064 421771529 /nfs/dbraw/zinc/77/15/29/421771529.db2.gz TZAFTTZFXDVHMC-CYBMUJFWSA-N -1 1 313.357 1.896 20 0 DDADMM CCO[C@H]1C[C@@H](NC(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000572342454 421779126 /nfs/dbraw/zinc/77/91/26/421779126.db2.gz ROGCNZLOPIKWMF-PHIMTYICSA-N -1 1 301.346 1.941 20 0 DDADMM CCO[C@H]1C[C@@H](NC(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000572342454 421779127 /nfs/dbraw/zinc/77/91/27/421779127.db2.gz ROGCNZLOPIKWMF-PHIMTYICSA-N -1 1 301.346 1.941 20 0 DDADMM CCC[C@@H]1C[C@@H](C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCO1 ZINC000540959601 421780184 /nfs/dbraw/zinc/78/01/84/421780184.db2.gz KZRYLZDPXMOFMT-RWMBFGLXSA-N -1 1 307.398 1.501 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@H](O)C1CCOCC1 ZINC000631817683 421853630 /nfs/dbraw/zinc/85/36/30/421853630.db2.gz RXZHGGRJTRBDGJ-AWEZNQCLSA-N -1 1 313.781 1.492 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000630060526 421870020 /nfs/dbraw/zinc/87/00/20/421870020.db2.gz LJARUINWTHUJKE-ABAIWWIYSA-N -1 1 313.357 1.799 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(Cc2cnc3ccc(Cl)cn23)C1 ZINC000635317570 421907055 /nfs/dbraw/zinc/90/70/55/421907055.db2.gz ILCRJWFBTLEMTJ-OAHLLOKOSA-N -1 1 323.780 1.911 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@H]1CCCN1C1CC1)c1ccccc1 ZINC000630142567 421914499 /nfs/dbraw/zinc/91/44/99/421914499.db2.gz WLMDUVDEXLWVJT-HUUCEWRRSA-N -1 1 302.374 1.598 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1(c2ccc(F)cc2)CC1 ZINC000635347110 421925334 /nfs/dbraw/zinc/92/53/34/421925334.db2.gz WQSLUMWITMAIDT-UHFFFAOYSA-N -1 1 303.341 1.510 20 0 DDADMM CCO[C@@H]1C[C@@](NC(=O)[C@H](C)Cc2cnc[nH]2)(C(=O)[O-])C1(C)C ZINC000630170814 421935171 /nfs/dbraw/zinc/93/51/71/421935171.db2.gz PFYDMJDWYBBSJU-NSODJVPESA-N -1 1 323.393 1.363 20 0 DDADMM COc1ccc(C2([N-]S(=O)(=O)c3conc3C)CC2)cc1 ZINC000631936000 421955311 /nfs/dbraw/zinc/95/53/11/421955311.db2.gz QENZWHJXILSTPR-UHFFFAOYSA-N -1 1 308.359 1.959 20 0 DDADMM CC(C)COc1ccccc1CNC(=O)CCCc1nn[n-]n1 ZINC000635404518 421961031 /nfs/dbraw/zinc/96/10/31/421961031.db2.gz GZTLJEYBNCJKPP-UHFFFAOYSA-N -1 1 317.393 1.874 20 0 DDADMM CCC[C@H](OCC)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630221408 421970980 /nfs/dbraw/zinc/97/09/80/421970980.db2.gz ZTRWQOHPVLDEOG-OLZOCXBDSA-N -1 1 300.399 1.199 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C2CCC(F)(F)CC2)CC1 ZINC000630222877 421972503 /nfs/dbraw/zinc/97/25/03/421972503.db2.gz GBGOMTKKTVEWPK-LLVKDONJSA-N -1 1 318.364 1.819 20 0 DDADMM C[C@@H](CS(C)(=O)=O)NC(=O)N=c1[n-]sc2ccccc21 ZINC000630347057 422036012 /nfs/dbraw/zinc/03/60/12/422036012.db2.gz FGLGPXYTUMAVBV-QMMMGPOBSA-N -1 1 313.404 1.273 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000630346898 422036708 /nfs/dbraw/zinc/03/67/08/422036708.db2.gz AVSWEJJZSNGFAH-VIFPVBQESA-N -1 1 316.386 1.603 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc3c(c2)CCO3)CC1 ZINC000630226936 421977598 /nfs/dbraw/zinc/97/75/98/421977598.db2.gz XSWMWNRCOJRBKX-GFCCVEGCSA-N -1 1 318.373 1.243 20 0 DDADMM CC(C)(CNC(=O)CCCc1nn[n-]n1)c1ccccc1F ZINC000635464362 422015787 /nfs/dbraw/zinc/01/57/87/422015787.db2.gz GDLZBXQACFVPLK-UHFFFAOYSA-N -1 1 305.357 1.756 20 0 DDADMM CCS(=O)(=O)Cc1noc(Cc2ccc([O-])c(Cl)c2)n1 ZINC000632090813 422080051 /nfs/dbraw/zinc/08/00/51/422080051.db2.gz RBYYRWNNGZNPKI-UHFFFAOYSA-N -1 1 316.766 1.954 20 0 DDADMM C[C@@H](CNC(=O)Cc1ccc([O-])c(Cl)c1)S(C)(=O)=O ZINC000632094944 422083181 /nfs/dbraw/zinc/08/31/81/422083181.db2.gz KXCHDNKKTUKLGJ-QMMMGPOBSA-N -1 1 305.783 1.137 20 0 DDADMM CC(C)Cc1n[n-]c(=NC(=O)N2CC[C@@H](c3nc[nH]n3)C2)s1 ZINC000574272249 422084643 /nfs/dbraw/zinc/08/46/43/422084643.db2.gz LOHSKQQSPLOSMX-SECBINFHSA-N -1 1 321.410 1.298 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H](O)[C@H](Oc2ccccc2)C1 ZINC000633808529 422091931 /nfs/dbraw/zinc/09/19/31/422091931.db2.gz XSMYZRKVISKWKZ-ZIAGYGMSSA-N -1 1 300.314 1.052 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@H]2C(C)(C)O)c1 ZINC000632126762 422107658 /nfs/dbraw/zinc/10/76/58/422107658.db2.gz BGESWTDKISKEHG-ZDUSSCGKSA-N -1 1 315.391 1.325 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1CCCC[C@H]1C1CC1 ZINC000633691096 422052454 /nfs/dbraw/zinc/05/24/54/422052454.db2.gz LBTJARJOZADNSO-NWDGAFQWSA-N -1 1 304.394 1.655 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@@]2(CCOC2)C1 ZINC000574613502 422141812 /nfs/dbraw/zinc/14/18/12/422141812.db2.gz ZEMOTQJKBMKALJ-OAHLLOKOSA-N -1 1 307.419 1.782 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCOCC1)c1c[nH]nc1C(F)(F)F ZINC000632184993 422147024 /nfs/dbraw/zinc/14/70/24/422147024.db2.gz OTXMYMREMBSSKK-SSDOTTSWSA-N -1 1 313.301 1.276 20 0 DDADMM Cc1cnc([C@@H](NC(=O)CCCc2nn[n-]n2)C2CC2)s1 ZINC000635609683 422163261 /nfs/dbraw/zinc/16/32/61/422163261.db2.gz LCQVYUNZBFIJBZ-LBPRGKRZSA-N -1 1 306.395 1.555 20 0 DDADMM C[C@@H](CNC(=O)CCCc1nn[n-]n1)Oc1cccc(Cl)c1 ZINC000635616854 422169658 /nfs/dbraw/zinc/16/96/58/422169658.db2.gz VARHGHLHLGAWTM-JTQLQIEISA-N -1 1 323.784 1.760 20 0 DDADMM CC[C@@H](NC(=O)[C@H](N)c1ccc(C(F)(F)F)cc1)C(=O)[O-] ZINC000630570554 422186284 /nfs/dbraw/zinc/18/62/84/422186284.db2.gz BGLMBBYAPGCMNO-NXEZZACHSA-N -1 1 304.268 1.685 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCCN(c2ccccc2)C1 ZINC000635568083 422120802 /nfs/dbraw/zinc/12/08/02/422120802.db2.gz ISOQMTKVDGCVBW-ZDUSSCGKSA-N -1 1 314.393 1.308 20 0 DDADMM N[C@H](C(=O)N1CC[C@H](C(=O)[O-])C1)c1ccc(C(F)(F)F)cc1 ZINC000630571439 422186785 /nfs/dbraw/zinc/18/67/85/422186785.db2.gz NDRDCUDCCDGSPY-ONGXEEELSA-N -1 1 316.279 1.638 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3C[C@@H]4C[C@@H]4C3)c(=O)c2c(OC)c1 ZINC000628520017 422207240 /nfs/dbraw/zinc/20/72/40/422207240.db2.gz IFGQOIOBQHHWDZ-AOOOYVTPSA-N -1 1 314.341 1.637 20 0 DDADMM CCN1C[C@@H](S(=O)(=O)[N-]C2(C(F)F)CCCC2)CC1=O ZINC000632340533 422258704 /nfs/dbraw/zinc/25/87/04/422258704.db2.gz GGIKBCNXNPWYNO-VIFPVBQESA-N -1 1 310.366 1.105 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](OC)C[C@H]2C)c1 ZINC000632305488 422238087 /nfs/dbraw/zinc/23/80/87/422238087.db2.gz WBENZPFWJGRDQS-PWSUYJOCSA-N -1 1 315.391 1.589 20 0 DDADMM CCN(Cc1cccc(F)c1)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000575028123 422238750 /nfs/dbraw/zinc/23/87/50/422238750.db2.gz SUPSRUVVICXMNH-UHFFFAOYSA-N -1 1 313.354 1.745 20 0 DDADMM CCOCCC1(CNC(=O)CCCc2nn[n-]n2)CCCC1 ZINC000635685218 422238806 /nfs/dbraw/zinc/23/88/06/422238806.db2.gz GBGJZVFFKDYWAU-UHFFFAOYSA-N -1 1 309.414 1.626 20 0 DDADMM COc1ccc(CCNC(=O)CCCc2nn[n-]n2)c(Cl)c1 ZINC000635685378 422241026 /nfs/dbraw/zinc/24/10/26/422241026.db2.gz IGNQKDQYLSXINL-UHFFFAOYSA-N -1 1 323.784 1.543 20 0 DDADMM CCN1CC[C@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1=O ZINC000632314638 422242701 /nfs/dbraw/zinc/24/27/01/422242701.db2.gz DHYKPODBDCCIQO-ZETCQYMHSA-N -1 1 308.306 1.116 20 0 DDADMM Cc1ccsc1CNC(=O)CN1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000575059521 422247620 /nfs/dbraw/zinc/24/76/20/422247620.db2.gz ZLBUFXRYXIUTDI-ZYHUDNBSSA-N -1 1 310.419 1.715 20 0 DDADMM COc1cccc([C@H]2C[C@H]([N@@H+](C)CC(=O)Nc3nnn[n-]3)C2)c1 ZINC000575104033 422257582 /nfs/dbraw/zinc/25/75/82/422257582.db2.gz PABVYKCCSWJSEJ-HAQNSBGRSA-N -1 1 316.365 1.025 20 0 DDADMM COCC[C@H](COC)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632346954 422265621 /nfs/dbraw/zinc/26/56/21/422265621.db2.gz SOUGYDSOEJUNFG-MRVPVSSYSA-N -1 1 313.322 1.547 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@](C)(OC)C2CC2)c1 ZINC000632351415 422269504 /nfs/dbraw/zinc/26/95/04/422269504.db2.gz CMTIUUJYSCTRPV-CQSZACIVSA-N -1 1 315.391 1.494 20 0 DDADMM CC(C)C[C@@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)n1ccnc1 ZINC000635724154 422283900 /nfs/dbraw/zinc/28/39/00/422283900.db2.gz GBFRFGOVEYRTPY-OLZOCXBDSA-N -1 1 317.397 1.390 20 0 DDADMM CC(C)Oc1ccccc1[C@@H](C)NC(=O)CCc1nn[n-]n1 ZINC000630753902 422316089 /nfs/dbraw/zinc/31/60/89/422316089.db2.gz LZDVLCOARRBTCO-LLVKDONJSA-N -1 1 303.366 1.797 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC ZINC000592078569 422329664 /nfs/dbraw/zinc/32/96/64/422329664.db2.gz UQCKFSBZTQLLKH-MRVPVSSYSA-N -1 1 307.318 1.442 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CC[C@H](C)O2)c1 ZINC000632433265 422340238 /nfs/dbraw/zinc/34/02/38/422340238.db2.gz OASAKQAKZKHGID-GXSJLCMTSA-N -1 1 301.364 1.247 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1cc(Cl)cc2c1OCC2 ZINC000632440256 422346489 /nfs/dbraw/zinc/34/64/89/422346489.db2.gz XDBROGBGPIKLIB-UHFFFAOYSA-N -1 1 307.741 1.037 20 0 DDADMM C[C@@H]1CN(C(=O)CCc2nn[n-]n2)C[C@@H](c2ccccc2)O1 ZINC000630826591 422357193 /nfs/dbraw/zinc/35/71/93/422357193.db2.gz KIWAOVVBUAPIRK-YPMHNXCESA-N -1 1 301.350 1.121 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-][C@@H](C)c1nc(C(F)(F)F)no1 ZINC000632480174 422379666 /nfs/dbraw/zinc/37/96/66/422379666.db2.gz NYKFDYQLXNQMLW-RITPCOANSA-N -1 1 317.289 1.104 20 0 DDADMM CN1CC[C@@H]2CN(S(=O)(=O)c3cccc(Cl)c3[O-])C[C@@H]21 ZINC000632413056 422321748 /nfs/dbraw/zinc/32/17/48/422321748.db2.gz RRYIPUHLDRCQAP-KOLCDFICSA-N -1 1 316.810 1.370 20 0 DDADMM CCN1C[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1=O ZINC000632411973 422322962 /nfs/dbraw/zinc/32/29/62/422322962.db2.gz OUWXABBGALPDCY-ZETCQYMHSA-N -1 1 308.306 1.116 20 0 DDADMM Cc1ccc(NC(=O)CCc2nn[n-]n2)cc1OCC(F)F ZINC000630895013 422399682 /nfs/dbraw/zinc/39/96/82/422399682.db2.gz MFCAHDYLJWDOFK-UHFFFAOYSA-N -1 1 311.292 1.723 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCO[C@H](c2ccccc2Cl)C1 ZINC000630924812 422417660 /nfs/dbraw/zinc/41/76/60/422417660.db2.gz WBOOHLNRAUNYCF-LBPRGKRZSA-N -1 1 321.768 1.386 20 0 DDADMM C[C@@H](NC(=O)CCCc1nn[n-]n1)[C@H]1OCCc2sccc21 ZINC000635957150 422509964 /nfs/dbraw/zinc/50/99/64/422509964.db2.gz GABUVBAZAGSUQS-YMTOWFKASA-N -1 1 321.406 1.403 20 0 DDADMM O=c1c2c([n-]n1-c1cncnc1)CN(Cc1ccccc1)CC2 ZINC000634627703 422513041 /nfs/dbraw/zinc/51/30/41/422513041.db2.gz IVRNMBJERKQPFF-HNNXBMFYSA-N -1 1 307.357 1.701 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCOc2ccncc21 ZINC000578204656 422522536 /nfs/dbraw/zinc/52/25/36/422522536.db2.gz XOFHTPWHXBEXFH-UHFFFAOYSA-N -1 1 302.359 1.574 20 0 DDADMM Cc1nc(SCC(=O)NC[C@H]2CCCO2)[n-]c(=O)c1C1CC1 ZINC000631027309 422476582 /nfs/dbraw/zinc/47/65/82/422476582.db2.gz BDENZQADQGHBEO-LLVKDONJSA-N -1 1 323.418 1.755 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](CO)C1)c1ncccc1C(F)(F)F ZINC000632620235 422478384 /nfs/dbraw/zinc/47/83/84/422478384.db2.gz DUCOTGKLDVSVRD-OCAPTIKFSA-N -1 1 310.297 1.150 20 0 DDADMM O=C(N[C@H]1CCN(c2ccc(Cl)cc2F)C1)c1cnn[nH]1 ZINC000629170504 422503061 /nfs/dbraw/zinc/50/30/61/422503061.db2.gz UMFWEVAXYAWKFJ-VIFPVBQESA-N -1 1 309.732 1.606 20 0 DDADMM O=S(=O)([N-][C@H]1CCCn2nccc21)c1cccc(F)c1F ZINC000632803854 422591900 /nfs/dbraw/zinc/59/19/00/422591900.db2.gz CCIJEKVHGXDVQX-JTQLQIEISA-N -1 1 313.329 1.975 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000632793515 422583498 /nfs/dbraw/zinc/58/34/98/422583498.db2.gz LUVKRXKRLKAWOJ-OAHLLOKOSA-N -1 1 308.765 1.327 20 0 DDADMM CCc1ncc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)s1 ZINC000578643567 422618097 /nfs/dbraw/zinc/61/80/97/422618097.db2.gz JALAFHSHCJWFKI-UHFFFAOYSA-N -1 1 308.363 1.814 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCO[C@@H](Cn2ccnn2)C1 ZINC000636223720 422726698 /nfs/dbraw/zinc/72/66/98/422726698.db2.gz GVEHKIVTPYWKQS-LLVKDONJSA-N -1 1 322.752 1.178 20 0 DDADMM C[C@@H](CN(C)CCCS(=O)(=O)c1ccc(F)cc1)C(=O)[O-] ZINC000578899776 422670393 /nfs/dbraw/zinc/67/03/93/422670393.db2.gz ZTOYETOMXBFCBN-NSHDSACASA-N -1 1 317.382 1.642 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H](C[C@@H]1CCCO1)C(F)(F)F ZINC000636139377 422671404 /nfs/dbraw/zinc/67/14/04/422671404.db2.gz GMKXKGCLDGCMSV-DTWKUNHWSA-N -1 1 321.303 1.139 20 0 DDADMM O=C([O-])C1CC(C(=O)NC[C@@H]2CCN2Cc2ccccc2)C1 ZINC000634846548 422682174 /nfs/dbraw/zinc/68/21/74/422682174.db2.gz LGZZFFINMPLLKZ-NRXISQOPSA-N -1 1 302.374 1.488 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CCC1)c1nc2ccccc2s1 ZINC000578985747 422684067 /nfs/dbraw/zinc/68/40/67/422684067.db2.gz YOCWYXOJJYSVIY-NSHDSACASA-N -1 1 312.416 1.736 20 0 DDADMM CC[C@@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000645832105 423206449 /nfs/dbraw/zinc/20/64/49/423206449.db2.gz WMUMIXLTQIAKEW-KCJUWKMLSA-N -1 1 306.453 1.835 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CO1 ZINC000645860296 423216821 /nfs/dbraw/zinc/21/68/21/423216821.db2.gz WBHLZWYEYSCBAW-YUMQZZPRSA-N -1 1 310.297 1.556 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC2(CC=CC2)[C@@H]1C(C)C ZINC000645857468 423217422 /nfs/dbraw/zinc/21/74/22/423217422.db2.gz HADVXOOXDLAJGI-LBPRGKRZSA-N -1 1 311.407 1.761 20 0 DDADMM O=C(CCCn1ccnc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000646067506 423322131 /nfs/dbraw/zinc/32/21/31/423322131.db2.gz ADUVBODWGBXQRC-NSHDSACASA-N -1 1 305.338 1.158 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](C(=O)N[C@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000653288296 423423187 /nfs/dbraw/zinc/42/31/87/423423187.db2.gz QBQUZTDPORYDIC-XQQFMLRXSA-N -1 1 314.345 1.511 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCOC[C@@H](O)C1 ZINC000651040692 423365971 /nfs/dbraw/zinc/36/59/71/423365971.db2.gz SXUHHRGVKTZDDI-VIFPVBQESA-N -1 1 305.252 1.244 20 0 DDADMM CN1CCCC[C@H]1C(=O)NC[C@@](C)(C(=O)[O-])c1ccccc1 ZINC000653323713 423440667 /nfs/dbraw/zinc/44/06/67/423440667.db2.gz YTPBOFXETXTWED-WMLDXEAASA-N -1 1 304.390 1.629 20 0 DDADMM O=C(Nc1nc(CCO)cs1)c1csc(=NC2CC2)[n-]1 ZINC000646350710 423459462 /nfs/dbraw/zinc/45/94/62/423459462.db2.gz ILDRMIREQSRELF-UHFFFAOYSA-N -1 1 310.404 1.383 20 0 DDADMM C[C@H](C(=O)NCc1nn[n-]n1)c1cccc(Br)c1 ZINC000643982675 423430326 /nfs/dbraw/zinc/43/03/26/423430326.db2.gz LYJRJOURZVHXHG-ZETCQYMHSA-N -1 1 310.155 1.382 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CC[C@](O)(C(F)(F)F)C2)c([O-])c1 ZINC000646704911 423582367 /nfs/dbraw/zinc/58/23/67/423582367.db2.gz GVINOKKFLPZSBV-QPUJVOFHSA-N -1 1 304.268 1.671 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@@H]2CC[C@](O)(C(F)(F)F)C2)o1 ZINC000644276400 423583340 /nfs/dbraw/zinc/58/33/40/423583340.db2.gz VGROGIDDKLGUJY-PSASIEDQSA-N -1 1 313.297 1.712 20 0 DDADMM COc1ccc(NC(=O)N2CC=CC2)cc1[N-]S(C)(=O)=O ZINC000639728146 423693954 /nfs/dbraw/zinc/69/39/54/423693954.db2.gz XZMHGLQXMPDIKT-UHFFFAOYSA-N -1 1 311.363 1.470 20 0 DDADMM Cc1nc([N-]C(=O)c2ncc(Br)s2)nn1C ZINC000646989129 423701874 /nfs/dbraw/zinc/70/18/74/423701874.db2.gz SGRDDPQQDDADCB-UHFFFAOYSA-N -1 1 302.157 1.595 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)C[C@@H](OC)C(C)C)cc1 ZINC000647123124 423763624 /nfs/dbraw/zinc/76/36/24/423763624.db2.gz WWIWDNVMOFNNGA-CYBMUJFWSA-N -1 1 314.407 1.459 20 0 DDADMM COc1nc(C)cc(C)c1CN1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639821704 423759150 /nfs/dbraw/zinc/75/91/50/423759150.db2.gz JVPIKJORIKQVNT-LBPRGKRZSA-N -1 1 317.393 1.882 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccn(-c2ccncc2)n1)C(C)C ZINC000647127267 423765737 /nfs/dbraw/zinc/76/57/37/423765737.db2.gz CLSDDIJHWSAZCN-CYBMUJFWSA-N -1 1 324.406 1.680 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC(CCC(=O)[O-])CC2)n[nH]1 ZINC000659396522 423797997 /nfs/dbraw/zinc/79/79/97/423797997.db2.gz CCEKNHLFGPATNP-LLVKDONJSA-N -1 1 322.409 1.936 20 0 DDADMM NC(=O)[C@@H]1CC[C@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000654420773 423862573 /nfs/dbraw/zinc/86/25/73/423862573.db2.gz UPRIBELBBYNERL-APPZFPTMSA-N -1 1 316.279 1.795 20 0 DDADMM COC1CCN(S(=O)(=O)Nc2cc(F)cc(F)c2[O-])CC1 ZINC000641692569 423875382 /nfs/dbraw/zinc/87/53/82/423875382.db2.gz OZNVEQXKWVXDJE-UHFFFAOYSA-N -1 1 322.333 1.438 20 0 DDADMM Cn1cc([C@@H]2N(C(=O)c3cccc4nn[nH]c43)CC2(C)C)cn1 ZINC000652171902 423926405 /nfs/dbraw/zinc/92/64/05/423926405.db2.gz AWSUUNGQZNBGFY-AWEZNQCLSA-N -1 1 310.361 1.915 20 0 DDADMM C[C@@H](C(=O)NCc1cccs1)N1CCC(C)(C(=O)[O-])CC1 ZINC000647373800 424007396 /nfs/dbraw/zinc/00/73/96/424007396.db2.gz OXMHXDDEKWCEDZ-NSHDSACASA-N -1 1 310.419 1.940 20 0 DDADMM Cc1nc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)sc1C ZINC000644884366 424008589 /nfs/dbraw/zinc/00/85/89/424008589.db2.gz QSUQRQMRKOEGPE-SECBINFHSA-N -1 1 308.363 1.868 20 0 DDADMM O=C(CCOCC(F)F)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644884432 424012095 /nfs/dbraw/zinc/01/20/95/424012095.db2.gz ZBHYGXAVVNNZLF-QMMMGPOBSA-N -1 1 305.281 1.153 20 0 DDADMM O=C1NCC[C@@H]1[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC000657030645 424014223 /nfs/dbraw/zinc/01/42/23/424014223.db2.gz RZAPZOOGBGODOX-QMMMGPOBSA-N -1 1 309.174 1.160 20 0 DDADMM CC1(C)c2ccccc2CCN1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000641793521 423985401 /nfs/dbraw/zinc/98/54/01/423985401.db2.gz JTMLEDNJLCKLSM-CQSZACIVSA-N -1 1 302.374 1.465 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]CC(F)(F)c2cccc(F)c2)n1 ZINC000657080494 424086654 /nfs/dbraw/zinc/08/66/54/424086654.db2.gz XLTSYPMRMXXKHZ-UHFFFAOYSA-N -1 1 319.308 1.630 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)c1ccc2[nH]nnc2c1)c1cccs1 ZINC000642227314 424133679 /nfs/dbraw/zinc/13/36/79/424133679.db2.gz UNWSAIXGGRBCKI-LLVKDONJSA-N -1 1 316.342 1.965 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2C[C@@H](O)C23CCC3)sc1C ZINC000657187645 424200780 /nfs/dbraw/zinc/20/07/80/424200780.db2.gz PGVLWMXYEHNQPC-VHSXEESVSA-N -1 1 302.421 1.342 20 0 DDADMM CCS(=O)(=O)c1ccc(CN(C)[C@H]2C[C@@H](C(=O)[O-])C2)cc1 ZINC000659801603 424262947 /nfs/dbraw/zinc/26/29/47/424262947.db2.gz HNBJUCZYWOZJLR-BETUJISGSA-N -1 1 311.403 1.775 20 0 DDADMM CC(=O)N1CCN(C(=O)N=c2[n-]sc3ccccc32)[C@H](C)C1 ZINC000640340838 424361998 /nfs/dbraw/zinc/36/19/98/424361998.db2.gz AAICUPHSDRRJNL-SNVBAGLBSA-N -1 1 318.402 1.803 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N[C@H]1CCCCNC1=O ZINC000640343423 424364102 /nfs/dbraw/zinc/36/41/02/424364102.db2.gz UYPPOJQZECGILG-JTQLQIEISA-N -1 1 304.375 1.508 20 0 DDADMM C[C@H](O)C1([N-]S(=O)(=O)c2ncccc2Br)CC1 ZINC000660046823 424483819 /nfs/dbraw/zinc/48/38/19/424483819.db2.gz MMBWQAANPUUCKU-ZETCQYMHSA-N -1 1 321.196 1.036 20 0 DDADMM CCCN1CC[C@@H]([N-]S(=O)(=O)c2c(F)cc(F)cc2F)C1 ZINC000660028661 424473595 /nfs/dbraw/zinc/47/35/95/424473595.db2.gz TVYXZZXWIURHOJ-SNVBAGLBSA-N -1 1 322.352 1.867 20 0 DDADMM C[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@@]1(C)CO ZINC000664448078 424593043 /nfs/dbraw/zinc/59/30/43/424593043.db2.gz HQAGZROJZTUJIK-HWPZZCPQSA-N -1 1 301.346 1.014 20 0 DDADMM Cc1nc(-c2ccc(N3CC[C@@]4(O)C[C@H]4C3)nc2)[n-]c(=O)c1C ZINC000664424163 424585251 /nfs/dbraw/zinc/58/52/51/424585251.db2.gz QPXGAWHFDYEUFV-SUMWQHHRSA-N -1 1 312.373 1.822 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1ncc(C)cc1C ZINC000655634048 424606010 /nfs/dbraw/zinc/60/60/10/424606010.db2.gz BLXVETUAYPOBHW-UHFFFAOYSA-N -1 1 310.379 1.098 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(CCOCc3ccccc3)C2)n[nH]1 ZINC000660559352 424717505 /nfs/dbraw/zinc/71/75/05/424717505.db2.gz XHRDGZMELGWXNM-AWEZNQCLSA-N -1 1 302.378 1.907 20 0 DDADMM C[C@H](CNC(=O)NC[C@@H](c1cccc(F)c1)N(C)C)C(=O)[O-] ZINC000655860964 424684574 /nfs/dbraw/zinc/68/45/74/424684574.db2.gz YKOGNPWXKWWKAP-MFKMUULPSA-N -1 1 311.357 1.448 20 0 DDADMM O=C(Cn1ccccc1=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000342271723 271361996 /nfs/dbraw/zinc/36/19/96/271361996.db2.gz MQFSVMCKXRTRMH-UHFFFAOYSA-N -1 1 300.343 1.361 20 0 DDADMM CC(C)N(Cc1ccco1)C(=O)CNC(=O)c1ncccc1[O-] ZINC000344496451 272039687 /nfs/dbraw/zinc/03/96/87/272039687.db2.gz WTIWBWDKEODNOC-UHFFFAOYSA-N -1 1 317.345 1.547 20 0 DDADMM O=C(N[C@H]1CCN(c2ccccc2F)C1)c1ncccc1[O-] ZINC000345186262 272186318 /nfs/dbraw/zinc/18/63/18/272186318.db2.gz HZQLMNOHQUOWQQ-NSHDSACASA-N -1 1 301.321 1.935 20 0 DDADMM c1cc(-c2nnc(SCc3nn[n-]n3)n2C2CC2)ccn1 ZINC000345264503 272204425 /nfs/dbraw/zinc/20/44/25/272204425.db2.gz JWAVAAROJLHDLD-UHFFFAOYSA-N -1 1 300.351 1.480 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C)CCC[C@@H]2C)o1 ZINC000133484532 277290964 /nfs/dbraw/zinc/29/09/64/277290964.db2.gz DOURDRIKUXQYBY-QWRGUYRKSA-N -1 1 314.407 1.838 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCCc2sccc21 ZINC000416202077 277791829 /nfs/dbraw/zinc/79/18/29/277791829.db2.gz HTUTUQBXPHOHAG-MRVPVSSYSA-N -1 1 321.358 1.765 20 0 DDADMM C[C@H](CC[N-]S(=O)(=O)c1cc2ccccc2o1)[S@](C)=O ZINC000124033377 281182787 /nfs/dbraw/zinc/18/27/87/281182787.db2.gz UPWVOUWKOOHRLL-GIGQVBGESA-N -1 1 315.416 1.868 20 0 DDADMM CCc1ccc(O)c(NC(=O)c2coc(S(=O)(=O)[N-]C)c2)c1 ZINC000132424872 281451870 /nfs/dbraw/zinc/45/18/70/281451870.db2.gz OTZSPGZKEDEXSN-UHFFFAOYSA-N -1 1 324.358 1.708 20 0 DDADMM CNS(=O)(=O)c1cccc(NC(=O)c2ccccc2[O-])c1C ZINC000151511587 281687468 /nfs/dbraw/zinc/68/74/68/281687468.db2.gz FWBWVHYQJHRZCH-UHFFFAOYSA-N -1 1 320.370 1.861 20 0 DDADMM CC[C@@H](C)n1ncc([N-]S(=O)(=O)CCC(=O)OC)c1C ZINC000357086973 298959898 /nfs/dbraw/zinc/95/98/98/298959898.db2.gz VGEUMTIWXDVMHG-SECBINFHSA-N -1 1 303.384 1.467 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@H]3CCc4nccn4C3)n2)o1 ZINC000364267511 300365345 /nfs/dbraw/zinc/36/53/45/300365345.db2.gz INNCQCXXTHNVAB-JTQLQIEISA-N -1 1 312.333 1.771 20 0 DDADMM O=C(N=c1[n-]nc2ccccn21)N1CCC(c2cnc[nH]2)CC1 ZINC000364297232 300371081 /nfs/dbraw/zinc/37/10/81/300371081.db2.gz RJGGWSQDZPECHQ-UHFFFAOYSA-N -1 1 311.349 1.286 20 0 DDADMM CC[C@@H](C)[C@@H](O)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000575056060 304709541 /nfs/dbraw/zinc/70/95/41/304709541.db2.gz RKYZSLZKQCTCEI-KOLCDFICSA-N -1 1 314.432 1.982 20 0 DDADMM O=C(Nc1nc(-c2ccccn2)n[nH]1)[C@H]1CCc2nccn2C1 ZINC000368384971 301016240 /nfs/dbraw/zinc/01/62/40/301016240.db2.gz ZERVQYNWUQTZDQ-JTQLQIEISA-N -1 1 309.333 1.264 20 0 DDADMM CC(C)c1n[n-]c(=NC[C@H]2CCC[C@H]2N2CCOCC2)s1 ZINC000368560815 301052589 /nfs/dbraw/zinc/05/25/89/301052589.db2.gz GFKVVDGGISLWHA-CHWSQXEVSA-N -1 1 310.467 1.996 20 0 DDADMM C[C@@H]1CC([N-]S(=O)(=O)C[C@@]23CC[C@@H](CC2=O)C3(C)C)=NO1 ZINC000370388630 301328661 /nfs/dbraw/zinc/32/86/61/301328661.db2.gz IGKHZIZSJFQETP-BFVZDQMLSA-N -1 1 314.407 1.424 20 0 DDADMM O=C(c1cc(Cl)ccc1F)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370890615 301399518 /nfs/dbraw/zinc/39/95/18/301399518.db2.gz FNLUQXLUAHTWJP-SNVBAGLBSA-N -1 1 311.704 1.206 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@@]2(CCCCO2)C1 ZINC000377885347 302246678 /nfs/dbraw/zinc/24/66/78/302246678.db2.gz SDAGGGTXQVQXGK-ZDUSSCGKSA-N -1 1 315.395 1.119 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3cc(C)ccn3)no2)co1 ZINC000355898090 306818724 /nfs/dbraw/zinc/81/87/24/306818724.db2.gz JJFDXYLEMIALOE-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM O=C(C(=O)N1CC[C@H]2CC[C@@H](C1)[S@@]2=O)c1ccc([O-])cc1 ZINC000530062174 303171778 /nfs/dbraw/zinc/17/17/78/303171778.db2.gz ACDFSMWWUGFNTQ-RRMDADRESA-N -1 1 307.371 1.087 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](OC)c1ccccc1 ZINC000357598565 306897220 /nfs/dbraw/zinc/89/72/20/306897220.db2.gz LDXAUOSVESUBGP-JTQLQIEISA-N -1 1 319.317 1.014 20 0 DDADMM Cc1ccc2oc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)cc2n1 ZINC000539324877 303394828 /nfs/dbraw/zinc/39/48/28/303394828.db2.gz BRDVNOVRAFREOM-SNVBAGLBSA-N -1 1 312.333 1.669 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)[C@H]2CCCOC2)sc1C ZINC000541871396 303431385 /nfs/dbraw/zinc/43/13/85/303431385.db2.gz RCZJWHXKXDHSMR-ONGXEEELSA-N -1 1 304.437 1.853 20 0 DDADMM CCS[C@H]1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000545332314 303492868 /nfs/dbraw/zinc/49/28/68/303492868.db2.gz CCMYBWCZINXJGK-ZJUUUORDSA-N -1 1 321.406 1.212 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](N3CCCC3=O)C2)c([O-])c1 ZINC000547651374 303545230 /nfs/dbraw/zinc/54/52/30/303545230.db2.gz QXFZUNWGLYDUHM-LBPRGKRZSA-N -1 1 303.362 1.323 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N(CC1CC1)C1CCC1 ZINC000358425129 306942021 /nfs/dbraw/zinc/94/20/21/306942021.db2.gz KRSPCJZLGWRVKY-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM NC(=O)CCCC(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000361891344 307010496 /nfs/dbraw/zinc/01/04/96/307010496.db2.gz HHLPOFQLNBCZMZ-UHFFFAOYSA-N -1 1 308.288 1.371 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])c2ccccc21 ZINC000368615573 307106514 /nfs/dbraw/zinc/10/65/14/307106514.db2.gz UVQVTGDQQCJLGX-CHWSQXEVSA-N -1 1 312.325 1.919 20 0 DDADMM Cc1cc(C)c(CC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(C)c1 ZINC000369454278 307120616 /nfs/dbraw/zinc/12/06/16/307120616.db2.gz ZAOFQBGQYWJXLC-CQSZACIVSA-N -1 1 315.377 1.268 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)C1C[C@H]2CC[C@@H](C1)C2=O ZINC000370741766 307135004 /nfs/dbraw/zinc/13/50/04/307135004.db2.gz NVIQSULAOAGQFL-MEKDEQNOSA-N -1 1 302.256 1.767 20 0 DDADMM COC(=O)[C@@]12CCC[C@@H]1CN(C(=O)c1cc(F)ccc1[O-])C2 ZINC000371736017 307157152 /nfs/dbraw/zinc/15/71/52/307157152.db2.gz VJGPEDVPSHEJEE-QLJPJBMISA-N -1 1 307.321 1.947 20 0 DDADMM [O-]C(Nc1nc(-c2ccccc2)ns1)=C1C[C@@H]1c1nnc[nH]1 ZINC000373206575 307181720 /nfs/dbraw/zinc/18/17/20/307181720.db2.gz WIOIPIAIUJABOQ-UWVGGRQHSA-N -1 1 312.358 1.487 20 0 DDADMM Cc1ccc2c(c1)[C@H](NC(=O)/N=c1/ccnc3n(C)[n-]cc1-3)CO2 ZINC000376598729 307248870 /nfs/dbraw/zinc/24/88/70/307248870.db2.gz UOWRBVQSOLKLRL-KELUXRKGSA-N -1 1 323.356 1.905 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3C[C@@H]4OCCC[C@@H]34)ccnc1-2 ZINC000376598625 307248951 /nfs/dbraw/zinc/24/89/51/307248951.db2.gz TZJBTSSPHFATCT-DHEOUOGBSA-N -1 1 301.350 1.031 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H]3Cc4ccccc4[C@H]3O)ccnc1-2 ZINC000376621598 307249966 /nfs/dbraw/zinc/24/99/66/307249966.db2.gz LCWAOZTZBIMOPT-DYDKSKQESA-N -1 1 323.356 1.122 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC3(C4CC4)CCOCC3)ccnc1-2 ZINC000376626876 307250294 /nfs/dbraw/zinc/25/02/94/307250294.db2.gz DVGWWLJVEPAOQD-UYRXBGFRSA-N -1 1 315.377 1.423 20 0 DDADMM O=C(C=Cc1c(F)cccc1F)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000377596399 307274159 /nfs/dbraw/zinc/27/41/59/307274159.db2.gz XQZNBUOOAIQPMM-ZYOFXKKJSA-N -1 1 321.287 1.091 20 0 DDADMM O=C(N1CCO[C@H](c2nn[n-]n2)C1)C12CC3CC(CC(C3)C1)C2 ZINC000377599980 307274398 /nfs/dbraw/zinc/27/43/98/307274398.db2.gz KSDXJRQGABRROD-PCPABOIYSA-N -1 1 317.393 1.316 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC1(c2ccccn2)CCC1 ZINC000377835579 307276780 /nfs/dbraw/zinc/27/67/80/307276780.db2.gz VCLAPSPXHQYZAL-UHFFFAOYSA-N -1 1 322.390 1.191 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(c2ccccn2)CCC1 ZINC000377835579 307276781 /nfs/dbraw/zinc/27/67/81/307276781.db2.gz VCLAPSPXHQYZAL-UHFFFAOYSA-N -1 1 322.390 1.191 20 0 DDADMM CC[C@@](C)(CNC(=O)OC(C)(C)C)C(=O)[N-]OCCOC ZINC000496481670 307345448 /nfs/dbraw/zinc/34/54/48/307345448.db2.gz QPBVSVPQSLUDSG-AWEZNQCLSA-N -1 1 304.387 1.622 20 0 DDADMM O=S(=O)(N=c1cc2ccccn2[n-]1)c1ccc(F)c(F)c1 ZINC000552035592 307815949 /nfs/dbraw/zinc/81/59/49/307815949.db2.gz SGKQQGJIGYGHAS-UHFFFAOYSA-N -1 1 309.297 1.835 20 0 DDADMM COCC1(CCNC(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000563147698 307958667 /nfs/dbraw/zinc/95/86/67/307958667.db2.gz RZOMWEAENZXYJH-UHFFFAOYSA-N -1 1 301.346 1.470 20 0 DDADMM CC(C)[C@@H](CO)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000564913485 308011726 /nfs/dbraw/zinc/01/17/26/308011726.db2.gz XMNKIDYACRMVOY-SNVBAGLBSA-N -1 1 300.405 1.592 20 0 DDADMM CO[C@H]1C[C@H](CC(=O)[O-])N(Cc2cc(-c3ccco3)on2)C1 ZINC000565485624 308032883 /nfs/dbraw/zinc/03/28/83/308032883.db2.gz GIRWHASGAILKNZ-NEPJUHHUSA-N -1 1 306.318 1.999 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC1(CC(C)C)CCC1 ZINC000565568246 308033595 /nfs/dbraw/zinc/03/35/95/308033595.db2.gz YAAFKQJCAGHVCI-UHFFFAOYSA-N -1 1 301.412 1.890 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(CC(C)C)CCC1 ZINC000565568246 308033597 /nfs/dbraw/zinc/03/35/97/308033597.db2.gz YAAFKQJCAGHVCI-UHFFFAOYSA-N -1 1 301.412 1.890 20 0 DDADMM COc1ccccc1NC(=O)CN1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000566222503 308049673 /nfs/dbraw/zinc/04/96/73/308049673.db2.gz ZKWHZPOAEBQOEU-VXGBXAGGSA-N -1 1 306.362 1.676 20 0 DDADMM NC(=O)[C@H]1CC[C@H]1C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000568225697 308113153 /nfs/dbraw/zinc/11/31/53/308113153.db2.gz NSSLBFCPDXZQAP-JGVFFNPUSA-N -1 1 320.299 1.227 20 0 DDADMM O=C(Cc1ccc2c(c1)NCC2)NC1(c2nn[n-]n2)CCCC1 ZINC000569898269 308153293 /nfs/dbraw/zinc/15/32/93/308153293.db2.gz AKHWMEVVMSZGLT-UHFFFAOYSA-N -1 1 312.377 1.296 20 0 DDADMM O=C(N=c1nc(-c2ccccn2)[nH][n-]1)c1cc2n(n1)CCCC2 ZINC000571850837 308203943 /nfs/dbraw/zinc/20/39/43/308203943.db2.gz JWHJGSKRWHTAQH-UHFFFAOYSA-N -1 1 309.333 1.074 20 0 DDADMM O=C(c1ccccc1O)N1CCN(C[C@H]2CCCC[C@H]2O)CC1 ZINC000573905638 308254406 /nfs/dbraw/zinc/25/44/06/308254406.db2.gz PPZXNXCYALJIRS-GDBMZVCRSA-N -1 1 318.417 1.701 20 0 DDADMM CC(C)(CO)[C@H](NC(=O)c1csc(=NC2CC2)[n-]1)C1CC1 ZINC000576958258 308352772 /nfs/dbraw/zinc/35/27/72/308352772.db2.gz XQUGJINWXSKGTA-GFCCVEGCSA-N -1 1 309.435 1.666 20 0 DDADMM O=C(C(=O)N1CCC[C@@H]1[C@H]1CCCOC1)c1ccc([O-])cc1 ZINC000580835866 308634917 /nfs/dbraw/zinc/63/49/17/308634917.db2.gz UBFULULMHSOXPU-DZGCQCFKSA-N -1 1 303.358 1.993 20 0 DDADMM Cn1cc([C@H]2C[C@@H](NC(=O)c3c([O-])cccc3F)CCO2)cn1 ZINC000583450249 332422573 /nfs/dbraw/zinc/42/25/73/332422573.db2.gz JTPVFWOOCJJPJK-SMDDNHRTSA-N -1 1 319.336 1.915 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1nc2ccccc2s1)[C@@H](C)O ZINC000584587762 337370055 /nfs/dbraw/zinc/37/00/55/337370055.db2.gz BDBULMGIDBBYOJ-RKDXNWHRSA-N -1 1 300.405 1.734 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)C1 ZINC000425306246 484117465 /nfs/dbraw/zinc/11/74/65/484117465.db2.gz RZSZIBPVYXFKFC-HBNTYKKESA-N -1 1 323.349 1.071 20 0 DDADMM O=C(C(=O)N1CCC(OCC2CC2)CC1)c1ccc([O-])cc1 ZINC000436933028 484128023 /nfs/dbraw/zinc/12/80/23/484128023.db2.gz PFXDDUJEPIFUKZ-UHFFFAOYSA-N -1 1 303.358 1.993 20 0 DDADMM O=C1NCCc2cc([N-]S(=O)(=O)C[C@H]3CCCCO3)ccc21 ZINC000656632617 484250447 /nfs/dbraw/zinc/25/04/47/484250447.db2.gz HHZDDFPQXGZTOV-CYBMUJFWSA-N -1 1 324.402 1.283 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1c(C)cccc1F)[C@@H]1CCOC1 ZINC000656732663 484306928 /nfs/dbraw/zinc/30/69/28/484306928.db2.gz WRKYMNAXOCAJSD-YPMHNXCESA-N -1 1 317.382 1.927 20 0 DDADMM O=C([O-])C1(C(=O)N2CCCN(Cc3ccccc3)CC2)CCC1 ZINC000323907938 484416113 /nfs/dbraw/zinc/41/61/13/484416113.db2.gz JETJWIPAPBBBBM-UHFFFAOYSA-N -1 1 316.401 1.976 20 0 DDADMM CCCCCc1cc(C(=O)N2CCO[C@H](CC(=O)[O-])C2)n[nH]1 ZINC000659134878 484646133 /nfs/dbraw/zinc/64/61/33/484646133.db2.gz VVGMCHJGNJGGAQ-GFCCVEGCSA-N -1 1 309.366 1.458 20 0 DDADMM O=C([O-])[C@H](C(=O)N1CCN(C2CCCC2)CC1)C1CCCC1 ZINC000663107845 484673004 /nfs/dbraw/zinc/67/30/04/484673004.db2.gz LNFYZCIKSSHERN-HNNXBMFYSA-N -1 1 308.422 1.964 20 0 DDADMM CN(CCNC(=O)C1(C(=O)[O-])CCC1)Cc1ccc(F)cc1 ZINC000663107626 484673440 /nfs/dbraw/zinc/67/34/40/484673440.db2.gz KQCAINSIXWOQOG-UHFFFAOYSA-N -1 1 308.353 1.629 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)[C@@]2(C(=O)[O-])CC2(C)C)C[C@@H](C)O1 ZINC000663109142 484674055 /nfs/dbraw/zinc/67/40/55/484674055.db2.gz KETUWNBPCWKNDD-XHBSWPGZSA-N -1 1 312.410 1.103 20 0 DDADMM O=C([O-])[C@@H](C(=O)NCCCCN1CCOCC1)C1CCCC1 ZINC000663113054 484679114 /nfs/dbraw/zinc/67/91/14/484679114.db2.gz SHSIVECGBYIYQX-CQSZACIVSA-N -1 1 312.410 1.106 20 0 DDADMM O=C([O-])C[C@@H](CNC(=O)Cc1[nH]nc2ccccc21)C1CC1 ZINC000659209607 484688590 /nfs/dbraw/zinc/68/85/90/484688590.db2.gz JTCPALIJZOLCDW-NSHDSACASA-N -1 1 301.346 1.723 20 0 DDADMM C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1 ZINC000663139158 484696825 /nfs/dbraw/zinc/69/68/25/484696825.db2.gz XNIJPJNOBQVGSR-GHMZBOCLSA-N -1 1 308.382 1.514 20 0 DDADMM CCN1CC[C@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1=O ZINC000670170813 484769931 /nfs/dbraw/zinc/76/99/31/484769931.db2.gz XRUIYQKEGTVGCR-JTQLQIEISA-N -1 1 316.279 1.762 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@H]1NCCc2ccccc21)C1CCC1 ZINC000663280986 484782948 /nfs/dbraw/zinc/78/29/48/484782948.db2.gz XFQTXOJJZJSLJZ-GJZGRUSLSA-N -1 1 302.374 1.491 20 0 DDADMM O=C(c1n[nH]c2c1CCCC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670384347 484801936 /nfs/dbraw/zinc/80/19/36/484801936.db2.gz OMVYOBJNANVVKK-VIFPVBQESA-N -1 1 317.349 1.397 20 0 DDADMM O=C([O-])C1(CNC(=O)Nc2cnn(-c3ccncc3)c2)CC1 ZINC000663346348 484819182 /nfs/dbraw/zinc/81/91/82/484819182.db2.gz KWDPLBHUMXUYLQ-UHFFFAOYSA-N -1 1 301.306 1.254 20 0 DDADMM C[C@@H]1NC(=O)N(CCSc2nc(C3CC3)cc(=O)[n-]2)C1=O ZINC000665686917 485003972 /nfs/dbraw/zinc/00/39/72/485003972.db2.gz AUIOIVLLEWXHOI-ZETCQYMHSA-N -1 1 308.363 1.092 20 0 DDADMM C[C@H]1CO[C@H](C(F)(F)F)CN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000672176631 485247522 /nfs/dbraw/zinc/24/75/22/485247522.db2.gz HWZCFVFYEGTMLM-KWQFWETISA-N -1 1 317.263 1.753 20 0 DDADMM C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1ccc([O-])c(F)c1 ZINC000672210153 485258853 /nfs/dbraw/zinc/25/88/53/485258853.db2.gz JADBYDXPMZUMIP-WCQYABFASA-N -1 1 308.353 1.467 20 0 DDADMM Cc1cn2c(/C=C\c3cc(=O)n4[n-]cnc4n3)c(C)nc2s1 ZINC000672775434 485346378 /nfs/dbraw/zinc/34/63/78/485346378.db2.gz ASCXSHZTXJLCJC-ARJAWSKDSA-N -1 1 312.358 1.914 20 0 DDADMM O=C(NCC[C@@H](O)C(F)(F)F)c1ncc2ccccc2c1[O-] ZINC000668902317 485354303 /nfs/dbraw/zinc/35/43/03/485354303.db2.gz BVKZIZUCZGSZSZ-SNVBAGLBSA-N -1 1 314.263 1.984 20 0 DDADMM Cc1nn(C)cc1[C@@H]1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000672996360 485377047 /nfs/dbraw/zinc/37/70/47/485377047.db2.gz IPOVPSMGEYPTTJ-HNNXBMFYSA-N -1 1 313.357 1.981 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3ccc([O-])c(F)c3)[C@@H]2C1 ZINC000685863042 485469170 /nfs/dbraw/zinc/46/91/70/485469170.db2.gz HMFLCGBQMHNAFT-GZBFAFLISA-N -1 1 320.364 1.908 20 0 DDADMM CCC[C@@H](NC(=O)C(=O)c1ccc2c(c1)CCC2)c1nn[n-]n1 ZINC000675144213 485865755 /nfs/dbraw/zinc/86/57/55/485865755.db2.gz JRGNCVIRUXKOHQ-CYBMUJFWSA-N -1 1 313.361 1.529 20 0 DDADMM CC[C@H](CCO)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000675757817 486028161 /nfs/dbraw/zinc/02/81/61/486028161.db2.gz TVRGTGTYQHMKRE-SECBINFHSA-N -1 1 323.361 1.660 20 0 DDADMM Cc1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)ns1 ZINC000683526918 486040262 /nfs/dbraw/zinc/04/02/62/486040262.db2.gz PZIGNYNAVOVZNP-NSHDSACASA-N -1 1 300.347 1.484 20 0 DDADMM O=C(N[C@H]1CCN(C2CCCCC2)C1=O)c1cncc([O-])c1 ZINC000676055400 486099659 /nfs/dbraw/zinc/09/96/59/486099659.db2.gz OZXSFRMOWWDOFR-AWEZNQCLSA-N -1 1 303.362 1.451 20 0 DDADMM O=C(CCNC(=O)c1ccc([O-])c(F)c1)Nc1ccccn1 ZINC000681040059 486328968 /nfs/dbraw/zinc/32/89/68/486328968.db2.gz UPWUEORMPHZPFG-UHFFFAOYSA-N -1 1 303.293 1.685 20 0 DDADMM Cc1nnc([C@@H]2CCCN(C(=O)c3ccc([O-])c(F)c3)C2)[nH]1 ZINC000681056250 486333402 /nfs/dbraw/zinc/33/34/02/486333402.db2.gz MIGBEQCVMMVNKB-LLVKDONJSA-N -1 1 304.325 1.978 20 0 DDADMM O=C(NCCC1CCS(=O)(=O)CC1)c1ccc([O-])c(F)c1 ZINC000681066973 486336722 /nfs/dbraw/zinc/33/67/22/486336722.db2.gz UKFBAARKJSUVBX-UHFFFAOYSA-N -1 1 315.366 1.476 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cnc2n1CCCC2 ZINC000676830012 486337866 /nfs/dbraw/zinc/33/78/66/486337866.db2.gz YREDYXBWFIVOBA-CQSZACIVSA-N -1 1 323.360 1.252 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@](C)(O)C(C)C)c(F)c1 ZINC000676859930 486348600 /nfs/dbraw/zinc/34/86/00/486348600.db2.gz YFFROKOUVBZPMH-CYBMUJFWSA-N -1 1 323.361 1.659 20 0 DDADMM CC1(C)CN(C(=O)c2ccc([O-])c(F)c2)C[C@@]2(CCOC2)O1 ZINC000684524096 486376106 /nfs/dbraw/zinc/37/61/06/486376106.db2.gz GLIMZPLNPDXOCX-MRXNPFEDSA-N -1 1 309.337 1.941 20 0 DDADMM C[C@@H]1CCC[C@@]1(O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000294548553 533813428 /nfs/dbraw/zinc/81/34/28/533813428.db2.gz KSNDGLVHHLVUGU-AMIZOPFISA-N -1 1 323.336 1.933 20 0 DDADMM COc1ccccc1-c1nc(=NC(=O)c2cc(C)sn2)[n-][nH]1 ZINC000444019572 533917549 /nfs/dbraw/zinc/91/75/49/533917549.db2.gz CETSJGFMVVNPMR-UHFFFAOYSA-N -1 1 315.358 1.919 20 0 DDADMM Cc1ccc(CN[C@H]2CCN([C@@H](C(=O)[O-])C(C)C)C2=O)cc1F ZINC000414647404 534089629 /nfs/dbraw/zinc/08/96/29/534089629.db2.gz CCFZMGAWANVOAJ-LSDHHAIUSA-N -1 1 322.380 1.934 20 0 DDADMM Cc1ccc(-c2cc(C(=O)N=c3nc4n([n-]3)CCCC4)[nH]n2)o1 ZINC000457147080 534175219 /nfs/dbraw/zinc/17/52/19/534175219.db2.gz LVKFKFGQKWVLDL-UHFFFAOYSA-N -1 1 312.333 1.580 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cnc(C)cc2C)o1 ZINC000452040765 534239549 /nfs/dbraw/zinc/23/95/49/534239549.db2.gz HRUKBJAQLHCAHN-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM COC(=O)c1cccc(CS(=O)(=O)[N-][C@@H](C)C(C)(F)F)c1 ZINC000451070427 534266191 /nfs/dbraw/zinc/26/61/91/534266191.db2.gz IJSACLFKBAYMRW-VIFPVBQESA-N -1 1 321.345 1.936 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)CC(C)(C)OC)c1F ZINC000414380697 534278315 /nfs/dbraw/zinc/27/83/15/534278315.db2.gz HAXQHRKRAAEYLB-UHFFFAOYSA-N -1 1 319.354 1.779 20 0 DDADMM O=S(=O)([N-]CC[C@@H]1CCOC1)c1cc(F)c(F)cc1F ZINC000344011049 518202789 /nfs/dbraw/zinc/20/27/89/518202789.db2.gz MGVKLOGCBYCKAU-MRVPVSSYSA-N -1 1 309.309 1.809 20 0 DDADMM Cc1ccncc1[N-]S(=O)(=O)c1ccc(-n2cnnn2)cc1 ZINC000443355123 534427574 /nfs/dbraw/zinc/42/75/74/534427574.db2.gz AYWOFTUSMRYFKF-UHFFFAOYSA-N -1 1 316.346 1.167 20 0 DDADMM Cc1cnc(C(=O)NCCOCC(F)(F)C(F)F)c([O-])c1 ZINC000434317247 534527677 /nfs/dbraw/zinc/52/76/77/534527677.db2.gz PKHPMCRNVXWXJQ-UHFFFAOYSA-N -1 1 310.247 1.742 20 0 DDADMM Cc1cnc(C(=O)NCCc2cn3c(n2)CCCC3)c([O-])c1 ZINC000331927493 534529227 /nfs/dbraw/zinc/52/92/27/534529227.db2.gz KSULBSSNZYBRIS-UHFFFAOYSA-N -1 1 300.362 1.601 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCOCC2)C1)c1c([O-])cccc1F ZINC000331370945 534746135 /nfs/dbraw/zinc/74/61/35/534746135.db2.gz BSHVKZLMDZLLJJ-LLVKDONJSA-N -1 1 309.337 1.989 20 0 DDADMM CC(C)(C)c1n[n-]c(S(=O)(=O)Cc2nc(C(F)F)no2)n1 ZINC000424209424 526874254 /nfs/dbraw/zinc/87/42/54/526874254.db2.gz SBPHCDNJVCALNQ-UHFFFAOYSA-N -1 1 321.309 1.397 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2nc(C(F)F)no2)n[n-]1 ZINC000424209424 526874257 /nfs/dbraw/zinc/87/42/57/526874257.db2.gz SBPHCDNJVCALNQ-UHFFFAOYSA-N -1 1 321.309 1.397 20 0 DDADMM CC(=O)c1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])o1 ZINC000446294319 527267060 /nfs/dbraw/zinc/26/70/60/527267060.db2.gz XRIJSKMENJWQHQ-UHFFFAOYSA-N -1 1 324.314 1.088 20 0 DDADMM CC(C)[C@]1(CO)CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000294921276 527986209 /nfs/dbraw/zinc/98/62/09/527986209.db2.gz WMCSKCQCDLEZPH-QGZVFWFLSA-N -1 1 305.374 1.832 20 0 DDADMM CCC[C@H](O)[C@@H](CO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000412545945 528290695 /nfs/dbraw/zinc/29/06/95/528290695.db2.gz ZFDHVMBCBXSZEK-MNOVXSKESA-N -1 1 321.295 1.663 20 0 DDADMM CCCNC(=O)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425142784 528402803 /nfs/dbraw/zinc/40/28/03/528402803.db2.gz NEMZSXUOIZVSSK-UHFFFAOYSA-N -1 1 306.334 1.078 20 0 DDADMM CC(C)[C@@H](O)CCN=c1ccc(C(=O)NC2CCCC2)n[n-]1 ZINC000432236563 528617363 /nfs/dbraw/zinc/61/73/63/528617363.db2.gz AYHXLHNKAQGEMP-AWEZNQCLSA-N -1 1 306.410 1.390 20 0 DDADMM CC(C)[C@@](C)([N-]S(=O)(=O)c1sccc1Cl)C(N)=O ZINC000451529727 528866702 /nfs/dbraw/zinc/86/67/02/528866702.db2.gz HBLOHJXNEDIGSX-SNVBAGLBSA-N -1 1 310.828 1.580 20 0 DDADMM CC(C)N1CCO[C@H](C(=O)N[C@@H](CCC(C)(C)C)C(=O)[O-])C1 ZINC000455779227 529054512 /nfs/dbraw/zinc/05/45/12/529054512.db2.gz DYGVYRLWVUGFRO-STQMWFEESA-N -1 1 314.426 1.491 20 0 DDADMM Cc1noc(CCc2c(C)[nH]c(=O)c(-c3nn[n-]n3)c2C)n1 ZINC000737547560 598859770 /nfs/dbraw/zinc/85/97/70/598859770.db2.gz WOBSECLYFKQSIG-UHFFFAOYSA-N -1 1 301.310 1.061 20 0 DDADMM CC(C)[C@@](C)(O)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000735692063 598968444 /nfs/dbraw/zinc/96/84/44/598968444.db2.gz GSYIPVZCWOCOCS-HNNXBMFYSA-N -1 1 313.365 1.629 20 0 DDADMM CC(C)[C@@](C)(O)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000735692063 598968446 /nfs/dbraw/zinc/96/84/46/598968446.db2.gz GSYIPVZCWOCOCS-HNNXBMFYSA-N -1 1 313.365 1.629 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1cccs1 ZINC000736118793 598983433 /nfs/dbraw/zinc/98/34/33/598983433.db2.gz KPUXSHXUATYHTC-MRVPVSSYSA-N -1 1 300.347 1.814 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1cccs1 ZINC000736118793 598983434 /nfs/dbraw/zinc/98/34/34/598983434.db2.gz KPUXSHXUATYHTC-MRVPVSSYSA-N -1 1 300.347 1.814 20 0 DDADMM O=C(Nc1cnc(C2CC2)nc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738196136 599004140 /nfs/dbraw/zinc/00/41/40/599004140.db2.gz DBGCKUOULFCWTP-UHFFFAOYSA-N -1 1 308.305 1.181 20 0 DDADMM O=C(Nc1cnc(C2CC2)nc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738196136 599004141 /nfs/dbraw/zinc/00/41/41/599004141.db2.gz DBGCKUOULFCWTP-UHFFFAOYSA-N -1 1 308.305 1.181 20 0 DDADMM O[C@H]1CCC[C@H]1CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000823699330 599079442 /nfs/dbraw/zinc/07/94/42/599079442.db2.gz USEBPECFAAUJAG-CABZTGNLSA-N -1 1 311.349 1.383 20 0 DDADMM O[C@H]1CCC[C@H]1CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000823699330 599079446 /nfs/dbraw/zinc/07/94/46/599079446.db2.gz USEBPECFAAUJAG-CABZTGNLSA-N -1 1 311.349 1.383 20 0 DDADMM Cc1nc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)oc1C ZINC000737478490 599141615 /nfs/dbraw/zinc/14/16/15/599141615.db2.gz UZNOZFIFZPYDEC-UHFFFAOYSA-N -1 1 300.278 1.224 20 0 DDADMM Cc1nc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)oc1C ZINC000737478490 599141616 /nfs/dbraw/zinc/14/16/16/599141616.db2.gz UZNOZFIFZPYDEC-UHFFFAOYSA-N -1 1 300.278 1.224 20 0 DDADMM CCN1CCN(c2ccccc2NC(=O)NCC(=O)[O-])CC1 ZINC000739456721 597039746 /nfs/dbraw/zinc/03/97/46/597039746.db2.gz NVQCOORVQPWOPI-UHFFFAOYSA-N -1 1 306.366 1.035 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CC[C@@H](C(=O)[O-])C1)c1cccs1 ZINC000818374856 597506080 /nfs/dbraw/zinc/50/60/80/597506080.db2.gz SQOPUKAJVSHYDN-GHMZBOCLSA-N -1 1 311.407 1.467 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)Nc1cccc(NC(C)=O)c1)C(=O)[O-] ZINC000820465331 598187623 /nfs/dbraw/zinc/18/76/23/598187623.db2.gz BKHXGVRCZZITDW-BONVTDFDSA-N -1 1 321.377 1.672 20 0 DDADMM Cc1sc(NC(=O)CN[C@@H](C(=O)[O-])C(C)C)c(C#N)c1C ZINC000035391787 598188356 /nfs/dbraw/zinc/18/83/56/598188356.db2.gz ROFXKXWJEOHDCE-GFCCVEGCSA-N -1 1 309.391 1.874 20 0 DDADMM CC1(NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCCCC1 ZINC000736225130 598266994 /nfs/dbraw/zinc/26/69/94/598266994.db2.gz WEDJUMWFVHAHOL-UHFFFAOYSA-N -1 1 322.394 1.263 20 0 DDADMM CC1(NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCCCC1 ZINC000736225130 598266996 /nfs/dbraw/zinc/26/69/96/598266996.db2.gz WEDJUMWFVHAHOL-UHFFFAOYSA-N -1 1 322.394 1.263 20 0 DDADMM NC(=O)CC1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000737745795 598277274 /nfs/dbraw/zinc/27/72/74/598277274.db2.gz FTTGCDLDAMCNCX-UHFFFAOYSA-N -1 1 321.772 1.007 20 0 DDADMM NC(=O)CC1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000737745795 598277275 /nfs/dbraw/zinc/27/72/75/598277275.db2.gz FTTGCDLDAMCNCX-UHFFFAOYSA-N -1 1 321.772 1.007 20 0 DDADMM c1ccc2c(c1)CCCN2CCNc1nccnc1-c1nnn[n-]1 ZINC000738443605 598347852 /nfs/dbraw/zinc/34/78/52/598347852.db2.gz ZAAACQLTCVFACK-UHFFFAOYSA-N -1 1 322.376 1.521 20 0 DDADMM c1ccc2c(c1)CCCN2CCNc1nccnc1-c1nn[n-]n1 ZINC000738443605 598347854 /nfs/dbraw/zinc/34/78/54/598347854.db2.gz ZAAACQLTCVFACK-UHFFFAOYSA-N -1 1 322.376 1.521 20 0 DDADMM c1csc(C2CCN(c3nccnc3-c3nnn[n-]3)CC2)n1 ZINC000738451649 598352351 /nfs/dbraw/zinc/35/23/51/598352351.db2.gz IYMUPSFJMSPAQJ-UHFFFAOYSA-N -1 1 314.378 1.497 20 0 DDADMM c1csc(C2CCN(c3nccnc3-c3nn[n-]n3)CC2)n1 ZINC000738451649 598352352 /nfs/dbraw/zinc/35/23/52/598352352.db2.gz IYMUPSFJMSPAQJ-UHFFFAOYSA-N -1 1 314.378 1.497 20 0 DDADMM C[C@H](Cn1cccn1)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000736075941 598543220 /nfs/dbraw/zinc/54/32/20/598543220.db2.gz BBYZILUNMFZHOB-ZCFIWIBFSA-N -1 1 310.774 1.674 20 0 DDADMM C[C@H](Cn1cccn1)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000736075941 598543221 /nfs/dbraw/zinc/54/32/21/598543221.db2.gz BBYZILUNMFZHOB-ZCFIWIBFSA-N -1 1 310.774 1.674 20 0 DDADMM COC(=O)c1cc(-c2nn[n-]n2)c(=O)n([C@@H]2C=CCCC2)c1 ZINC000736938835 598565475 /nfs/dbraw/zinc/56/54/75/598565475.db2.gz CGSFLVMFOILWLP-SNVBAGLBSA-N -1 1 301.306 1.096 20 0 DDADMM COc1ccc(CCNc2nccnc2-c2nnn[n-]2)cc1F ZINC000737090983 598613055 /nfs/dbraw/zinc/61/30/55/598613055.db2.gz YUYKAHYZZIKDOU-UHFFFAOYSA-N -1 1 315.312 1.459 20 0 DDADMM COc1ccc(CCNc2nccnc2-c2nn[n-]n2)cc1F ZINC000737090983 598613057 /nfs/dbraw/zinc/61/30/57/598613057.db2.gz YUYKAHYZZIKDOU-UHFFFAOYSA-N -1 1 315.312 1.459 20 0 DDADMM O=c1c(-c2nn[n-]n2)cn(C[C@H]2CCCCO2)c2ccccc12 ZINC000738372992 598721730 /nfs/dbraw/zinc/72/17/30/598721730.db2.gz ZBKAJZTWCSYFAU-LLVKDONJSA-N -1 1 311.345 1.751 20 0 DDADMM C[C@@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)CCS1 ZINC000736292546 598757181 /nfs/dbraw/zinc/75/71/81/598757181.db2.gz KLUPMACKRVZIMK-RXMQYKEDSA-N -1 1 302.816 1.918 20 0 DDADMM C[C@@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)CCS1 ZINC000736292546 598757184 /nfs/dbraw/zinc/75/71/84/598757184.db2.gz KLUPMACKRVZIMK-RXMQYKEDSA-N -1 1 302.816 1.918 20 0 DDADMM c1cc(NCCc2ccc3c(c2)OCCO3)nc(-c2nnn[n-]2)c1 ZINC000738413885 599396848 /nfs/dbraw/zinc/39/68/48/599396848.db2.gz ZLGOVUFKJRYHEY-UHFFFAOYSA-N -1 1 324.344 1.688 20 0 DDADMM c1cc(NCCc2ccc3c(c2)OCCO3)nc(-c2nn[n-]n2)c1 ZINC000738413885 599396851 /nfs/dbraw/zinc/39/68/51/599396851.db2.gz ZLGOVUFKJRYHEY-UHFFFAOYSA-N -1 1 324.344 1.688 20 0 DDADMM c1ccc2c(c1)CCO[C@@H]2CNc1cccc(-c2nnn[n-]2)n1 ZINC000738412148 599437096 /nfs/dbraw/zinc/43/70/96/599437096.db2.gz AYBDQXSOUKWMAO-CQSZACIVSA-N -1 1 308.345 1.988 20 0 DDADMM c1ccc2c(c1)CCO[C@@H]2CNc1cccc(-c2nn[n-]n2)n1 ZINC000738412148 599437098 /nfs/dbraw/zinc/43/70/98/599437098.db2.gz AYBDQXSOUKWMAO-CQSZACIVSA-N -1 1 308.345 1.988 20 0 DDADMM O[C@@H]1CCCC[C@H]1Nc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000823707179 599515252 /nfs/dbraw/zinc/51/52/52/599515252.db2.gz WWPDFEDZFSDAGM-IUODEOHRSA-N -1 1 310.361 1.552 20 0 DDADMM O[C@@H]1CCCC[C@H]1Nc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000823707179 599515254 /nfs/dbraw/zinc/51/52/54/599515254.db2.gz WWPDFEDZFSDAGM-IUODEOHRSA-N -1 1 310.361 1.552 20 0 DDADMM CC1CCC2(CC(=O)N(CN3CC[C@@H](C(=O)[O-])C3)C2=O)CC1 ZINC000736772461 599766750 /nfs/dbraw/zinc/76/67/50/599766750.db2.gz CNCRWCQWSHJUFI-BWBHSNQOSA-N -1 1 308.378 1.306 20 0 DDADMM C[C@@H](Oc1ccc(F)cc1)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000320541810 599777650 /nfs/dbraw/zinc/77/76/50/599777650.db2.gz BVOIBSJXWCBYJN-RNCFNFMXSA-N -1 1 321.308 1.128 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)Cc1ccc2ccccc2c1 ZINC000378694199 599778339 /nfs/dbraw/zinc/77/83/39/599778339.db2.gz DKKDHLYGZOCDCB-INIZCTEOSA-N -1 1 323.352 1.918 20 0 DDADMM Cc1oc(C(=O)[O-])cc1CN1CCCN(c2cccnn2)CC1 ZINC000738962640 599914970 /nfs/dbraw/zinc/91/49/70/599914970.db2.gz CSJIWUKLZHPFGR-UHFFFAOYSA-N -1 1 316.361 1.789 20 0 DDADMM O=C([O-])c1occc1CN1CCC(Nc2cccnn2)CC1 ZINC000740281107 599915713 /nfs/dbraw/zinc/91/57/13/599915713.db2.gz KBAIDDDZWITKIW-UHFFFAOYSA-N -1 1 302.334 1.844 20 0 DDADMM CCN1CCCC[C@@H]1C(=O)NCCOc1ccc(C(=O)[O-])cc1 ZINC000737114360 599921470 /nfs/dbraw/zinc/92/14/70/599921470.db2.gz IKKDTPYRCGFYBA-OAHLLOKOSA-N -1 1 320.389 1.754 20 0 DDADMM CN(C)[C@H](CNC(=O)CCSCC(=O)[O-])c1cccs1 ZINC000737358228 599962912 /nfs/dbraw/zinc/96/29/12/599962912.db2.gz JJMZPNCYPOXSLB-SNVBAGLBSA-N -1 1 316.448 1.675 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCCC[C@H]1[C@@H](O)c1ccccc1 ZINC000739733815 599994394 /nfs/dbraw/zinc/99/43/94/599994394.db2.gz FCKYPYCPRMNGMS-YOEHRIQHSA-N -1 1 320.389 1.165 20 0 DDADMM COc1cccc([C@H](CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])N(C)C)c1 ZINC000315574887 600106007 /nfs/dbraw/zinc/10/60/07/600106007.db2.gz PXGBJDHMHRJLKD-RDBSUJKOSA-N -1 1 306.362 1.135 20 0 DDADMM CC(C)[C@@]1(C)CC(=O)N(CN(C[C@@H](C)C(=O)[O-])C2CC2)C1=O ZINC000736577929 600259819 /nfs/dbraw/zinc/25/98/19/600259819.db2.gz HOXPUIUASOUPBB-BDJLRTHQSA-N -1 1 310.394 1.550 20 0 DDADMM C[C@@H]1CCCC[C@H]1NC(=O)CN1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000405467628 600294893 /nfs/dbraw/zinc/29/48/93/600294893.db2.gz CTDFSHWJVXKORN-MGPQQGTHSA-N -1 1 308.422 1.868 20 0 DDADMM O=C([O-])CNC(=O)CCN1CCc2[nH]c3ccccc3c2C1 ZINC000739809372 600300464 /nfs/dbraw/zinc/30/04/64/600300464.db2.gz SIJCKGBOHPMOEF-UHFFFAOYSA-N -1 1 301.346 1.117 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)NC1CCC(C(=O)[O-])CC1 ZINC000391360919 600358795 /nfs/dbraw/zinc/35/87/95/600358795.db2.gz QVHOJXGDKPIJLM-URGYJCLVSA-N -1 1 323.437 1.898 20 0 DDADMM CC[C@](C)(NCC(=O)Nc1ccc(C(=O)N(C)C)cc1)C(=O)[O-] ZINC000736863738 600560683 /nfs/dbraw/zinc/56/06/83/600560683.db2.gz NSWUCIXSTTVQSU-INIZCTEOSA-N -1 1 321.377 1.170 20 0 DDADMM COC[C@H]1CCN([C@@H](C)C(=O)Nc2cc(C(=O)[O-])ccc2C)C1 ZINC000737752740 600573822 /nfs/dbraw/zinc/57/38/22/600573822.db2.gz XHYGUGQVGSAMEO-STQMWFEESA-N -1 1 320.389 1.989 20 0 DDADMM C[C@@H](CN1CCN(C)CC1)NC(=O)c1ccccc1NC(=O)[O-] ZINC000736584687 600589441 /nfs/dbraw/zinc/58/94/41/600589441.db2.gz FRDSYRXTECPETF-LBPRGKRZSA-N -1 1 320.393 1.142 20 0 DDADMM CC(C)N(CCC(=O)[O-])CN1C(=O)NC(C2CC2)(C2CC2)C1=O ZINC000736467822 600608461 /nfs/dbraw/zinc/60/84/61/600608461.db2.gz HGQXEFVSMRUYBS-UHFFFAOYSA-N -1 1 323.393 1.240 20 0 DDADMM CN(C[C@H]1CCS(=O)(=O)C1)[C@@H](C(=O)[O-])c1ccc(F)cc1 ZINC000737413276 600719792 /nfs/dbraw/zinc/71/97/92/600719792.db2.gz KJRVSPZPFXQHQI-ZWNOBZJWSA-N -1 1 315.366 1.318 20 0 DDADMM O=C([O-])CCN(Cc1cccc(F)c1F)C(=O)c1ccn[nH]1 ZINC000833233876 600796174 /nfs/dbraw/zinc/79/61/74/600796174.db2.gz GPCAYVSOEZIVJG-UHFFFAOYSA-N -1 1 309.272 1.805 20 0 DDADMM O=C([O-])Nc1ccc(CNC(=O)CN2CC[C@@H](CCO)C2)cc1 ZINC000740025752 600970279 /nfs/dbraw/zinc/97/02/79/600970279.db2.gz WHGKPAZDKMAEAL-ZDUSSCGKSA-N -1 1 321.377 1.097 20 0 DDADMM CC[C@](C)(NS(=O)(=O)c1ccc(C(=O)[O-])o1)C(F)(F)F ZINC000828691770 600988503 /nfs/dbraw/zinc/98/85/03/600988503.db2.gz DLCLSSMLKXWRLH-VIFPVBQESA-N -1 1 315.269 1.987 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000826741451 601011390 /nfs/dbraw/zinc/01/13/90/601011390.db2.gz DEVNIMLWMCGJKF-HNNXBMFYSA-N -1 1 319.405 1.595 20 0 DDADMM C[C@@](NCC(=O)NCCCc1ccccc1)(C(=O)[O-])C1CC1 ZINC000827727639 601031140 /nfs/dbraw/zinc/03/11/40/601031140.db2.gz KLDDPAKKDGTTFO-KRWDZBQOSA-N -1 1 304.390 1.578 20 0 DDADMM Cc1ccccc1C[C@H](C)NC(=O)CN[C@@](C)(C(=O)[O-])C1CC1 ZINC000832980582 601036033 /nfs/dbraw/zinc/03/60/33/601036033.db2.gz HJEFTTHMMSIIAX-SCLBCKFNSA-N -1 1 318.417 1.885 20 0 DDADMM COCc1ccccc1CNC(=O)CN[C@@](C)(C(=O)[O-])C1CC1 ZINC000831821955 601040705 /nfs/dbraw/zinc/04/07/05/601040705.db2.gz IFXZXDKCCWDCCE-QGZVFWFLSA-N -1 1 320.389 1.292 20 0 DDADMM COC(=O)/C(C)=C/CN1CCN(C/C=C(\C)C(=O)[O-])[C@@H](C)C1 ZINC000831052904 601055897 /nfs/dbraw/zinc/05/58/97/601055897.db2.gz FDPYTYJRFIVODW-MKXOTZISSA-N -1 1 310.394 1.143 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1C[C@@H](C2CCCCC2)C[C@@H]1C(=O)[O-] ZINC000830935384 601082357 /nfs/dbraw/zinc/08/23/57/601082357.db2.gz XVRVBLNDZMXSNR-RRFJBIMHSA-N -1 1 308.422 1.963 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000833019262 601088713 /nfs/dbraw/zinc/08/87/13/601088713.db2.gz IIWRJGBNKTUWJB-MNOVXSKESA-N -1 1 323.393 1.402 20 0 DDADMM Cc1cc(CN2CCO[C@H](c3n[nH]c(C)n3)C2)oc1C(=O)[O-] ZINC000738823975 601105508 /nfs/dbraw/zinc/10/55/08/601105508.db2.gz SOFGGLVSKHTLEJ-NSHDSACASA-N -1 1 306.322 1.286 20 0 DDADMM O=C([O-])c1nn(CN2CCC3(CC2)OCCO3)c2ccccc21 ZINC000833346343 601397535 /nfs/dbraw/zinc/39/75/35/601397535.db2.gz IGDXZEBPPWROJG-UHFFFAOYSA-N -1 1 317.345 1.531 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccc3c(c2)OCCO3)C[C@@H]1C(=O)[O-] ZINC000828441658 601500133 /nfs/dbraw/zinc/50/01/33/601500133.db2.gz RSRMMBGRJKLIAB-PWSUYJOCSA-N -1 1 320.345 1.049 20 0 DDADMM CC(=O)c1cccc(NC(=O)[C@H](C)N2C[C@@H](C)[C@H](C(=O)[O-])C2)c1 ZINC000825960872 601506670 /nfs/dbraw/zinc/50/66/70/601506670.db2.gz SIHAZVKPMNXSBB-JRPNMDOOSA-N -1 1 318.373 1.869 20 0 DDADMM CC[C@@H]1CN(C)c2ccccc2CN1CC(=O)NCCC(=O)[O-] ZINC000829141909 601544472 /nfs/dbraw/zinc/54/44/72/601544472.db2.gz QQUOBSNRJLIKTR-CQSZACIVSA-N -1 1 319.405 1.308 20 0 DDADMM O=C([O-])[C@H]1c2ccccc2CCN1Cc1cnc2ccnn2c1 ZINC000833195769 601546975 /nfs/dbraw/zinc/54/69/75/601546975.db2.gz ONUGPNJADBUSGE-MRXNPFEDSA-N -1 1 308.341 1.913 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CC[C@@](F)(C(=O)[O-])C1 ZINC000830585050 601653976 /nfs/dbraw/zinc/65/39/76/601653976.db2.gz MJWGNBLANAJIJO-BBRMVZONSA-N -1 1 308.353 1.185 20 0 DDADMM CC[C@]1(C(C)C)NC(=O)N(CN2CC[C@](C)(C(=O)[O-])C2)C1=O ZINC000829044380 601891734 /nfs/dbraw/zinc/89/17/34/601891734.db2.gz QJRGKKDZWFURHU-LSDHHAIUSA-N -1 1 311.382 1.097 20 0 DDADMM CC(C)N(C(=O)[C@H](C)N1CCSC[C@H]1CC(=O)[O-])C(C)C ZINC000825966554 601923219 /nfs/dbraw/zinc/92/32/19/601923219.db2.gz GWPNSOKGLGNXAQ-QWHCGFSZSA-N -1 1 316.467 1.912 20 0 DDADMM CC(C)N(C(=O)[C@@H](C)N1CCSC[C@@H]1CC(=O)[O-])C(C)C ZINC000825966553 601923458 /nfs/dbraw/zinc/92/34/58/601923458.db2.gz GWPNSOKGLGNXAQ-OLZOCXBDSA-N -1 1 316.467 1.912 20 0 DDADMM COc1ccccc1NC(=O)[C@H](C)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000832622633 601930723 /nfs/dbraw/zinc/93/07/23/601930723.db2.gz DWPIPHIOBMKRJS-MEDUHNTESA-N -1 1 306.362 1.819 20 0 DDADMM O=C([O-])NC[C@H]1CCN(CC(=O)N2CCc3ccccc32)C1 ZINC000740640555 601964467 /nfs/dbraw/zinc/96/44/67/601964467.db2.gz DSGPRRXIUFRCBW-GFCCVEGCSA-N -1 1 303.362 1.165 20 0 DDADMM CC(C)n1nnnc1SCc1ccnc(-c2nnn[n-]2)c1 ZINC000824377878 607537250 /nfs/dbraw/zinc/53/72/50/607537250.db2.gz QHQONUOQJGIUGU-UHFFFAOYSA-N -1 1 303.355 1.121 20 0 DDADMM CC(C)n1nnnc1SCc1ccnc(-c2nn[n-]n2)c1 ZINC000824377878 607537252 /nfs/dbraw/zinc/53/72/52/607537252.db2.gz QHQONUOQJGIUGU-UHFFFAOYSA-N -1 1 303.355 1.121 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(C(=O)CSc2n[nH]c(=S)s2)C1 ZINC000827991336 602034791 /nfs/dbraw/zinc/03/47/91/602034791.db2.gz BSSUJUPNUVBKKP-SNVBAGLBSA-N -1 1 319.433 1.242 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)Nc1ccccc1N1CCN(C)CC1 ZINC000827317920 602084592 /nfs/dbraw/zinc/08/45/92/602084592.db2.gz PGSGODHDDQICSJ-GFCCVEGCSA-N -1 1 305.378 1.488 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)[O-])C(=O)N1CCN(C2CCCC2)CC1 ZINC000739238278 602118771 /nfs/dbraw/zinc/11/87/71/602118771.db2.gz UBKBNSVOEPAZKG-GXTWGEPZSA-N -1 1 311.426 1.756 20 0 DDADMM O=c1ccn(Cc2ccnc(-c3nnn[n-]3)c2)c2ccsc21 ZINC000826501969 607549743 /nfs/dbraw/zinc/54/97/43/607549743.db2.gz OMTMZCGZANUDSJ-UHFFFAOYSA-N -1 1 310.342 1.686 20 0 DDADMM O=c1ccn(Cc2ccnc(-c3nn[n-]n3)c2)c2ccsc21 ZINC000826501969 607549745 /nfs/dbraw/zinc/54/97/45/607549745.db2.gz OMTMZCGZANUDSJ-UHFFFAOYSA-N -1 1 310.342 1.686 20 0 DDADMM CC(C)S(=O)(=O)CCCN(C)[C@@H](C(=O)[O-])c1ccccc1 ZINC000827066242 602236805 /nfs/dbraw/zinc/23/68/05/602236805.db2.gz LWYIIRSEBYDOLD-CQSZACIVSA-N -1 1 313.419 1.957 20 0 DDADMM Cc1csc(=O)n1CCN1CCCC[C@H]1[C@@H](C)NC(=O)[O-] ZINC000740203971 602260627 /nfs/dbraw/zinc/26/06/27/602260627.db2.gz IGRBDFYIUPBDNJ-NEPJUHHUSA-N -1 1 313.423 1.729 20 0 DDADMM CC1CCN(CC(=O)NCCOc2ccc(C(=O)[O-])cc2)CC1 ZINC000828285543 602362226 /nfs/dbraw/zinc/36/22/26/602362226.db2.gz NBPMSTJSEPSYIC-UHFFFAOYSA-N -1 1 320.389 1.612 20 0 DDADMM CC(C)N1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)[O-])CC1 ZINC000738853662 602381859 /nfs/dbraw/zinc/38/18/59/602381859.db2.gz KFLFIJUEYSROSK-HNNXBMFYSA-N -1 1 319.405 1.418 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000739529009 602384032 /nfs/dbraw/zinc/38/40/32/602384032.db2.gz CQHVOLNAOWWYEH-WFASDCNBSA-N -1 1 319.405 1.596 20 0 DDADMM O=C([O-])N1CC[C@H](CCNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000740426343 602411050 /nfs/dbraw/zinc/41/10/50/602411050.db2.gz DIZCWQBAUKMJFB-VIFPVBQESA-N -1 1 303.322 1.078 20 0 DDADMM CN(C[C@H]1CCN(CC[S@@](=O)c2ccccc2)C1)C(=O)[O-] ZINC000739664657 602529346 /nfs/dbraw/zinc/52/93/46/602529346.db2.gz JUFBDNFAIXJSCH-LRTDBIEQSA-N -1 1 310.419 1.726 20 0 DDADMM C[C@H](C(=O)NC1CCCCC1)N1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738627307 602540704 /nfs/dbraw/zinc/54/07/04/602540704.db2.gz XYWHSYGHNRBBLS-CHWSQXEVSA-N -1 1 311.426 1.756 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CCC([C@H]2CCCN2C(=O)[O-])CC1 ZINC000739728022 602589068 /nfs/dbraw/zinc/58/90/68/602589068.db2.gz QQLPNQLJNAWAAF-CABCVRRESA-N -1 1 323.437 1.852 20 0 DDADMM CCC(C)(C)NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825043062 607575326 /nfs/dbraw/zinc/57/53/26/607575326.db2.gz CXRLADMMEPXSRV-UHFFFAOYSA-N -1 1 313.358 1.473 20 0 DDADMM CCC(C)(C)NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825043062 607575328 /nfs/dbraw/zinc/57/53/28/607575328.db2.gz CXRLADMMEPXSRV-UHFFFAOYSA-N -1 1 313.358 1.473 20 0 DDADMM CCN(CCCNC(=O)N(C)[C@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC000739417765 602617896 /nfs/dbraw/zinc/61/78/96/602617896.db2.gz UFTQHUKREIWYBK-ZDUSSCGKSA-N -1 1 312.414 1.255 20 0 DDADMM Cc1ccc(-c2cc(C(=O)O[C@@H]3CCN(C(=O)[O-])C3)[nH]n2)o1 ZINC000740094292 602677403 /nfs/dbraw/zinc/67/74/03/602677403.db2.gz GQSYUZYVOOBCDE-SECBINFHSA-N -1 1 305.290 1.887 20 0 DDADMM O=C(CN1CCCC[C@H]1[C@@H]1CCCN1C(=O)[O-])NCC1CCC1 ZINC000740369517 602679145 /nfs/dbraw/zinc/67/91/45/602679145.db2.gz NFDUPOVWSLVTOD-GJZGRUSLSA-N -1 1 323.437 1.900 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000739016634 602754355 /nfs/dbraw/zinc/75/43/55/602754355.db2.gz QOCPNZJSADGUAM-VIFPVBQESA-N -1 1 317.349 1.466 20 0 DDADMM C[C@@H]1CN([C@H](C(=O)N(C)C)c2ccccc2)CC[C@@H]1NC(=O)[O-] ZINC000739178462 602781565 /nfs/dbraw/zinc/78/15/65/602781565.db2.gz QPWPJHFQDJJDGI-SNPRPXQTSA-N -1 1 319.405 1.794 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)CCN1C(=O)[O-] ZINC000739167955 602921256 /nfs/dbraw/zinc/92/12/56/602921256.db2.gz SIQYEXNSLOUHBI-VIFPVBQESA-N -1 1 304.306 1.494 20 0 DDADMM CCN(C)[C@H](C(=O)N1CCN(C(=O)[O-])[C@H](C)C1)c1ccccc1 ZINC000739385655 602941954 /nfs/dbraw/zinc/94/19/54/602941954.db2.gz SHEDSPSKDHZOGT-HIFRSBDPSA-N -1 1 319.405 1.890 20 0 DDADMM CC1(C)CN(C(=O)[O-])CCN1C(=O)c1cccc2c[nH]nc21 ZINC000739063145 603065416 /nfs/dbraw/zinc/06/54/16/603065416.db2.gz GVOHYUZUELOYEL-UHFFFAOYSA-N -1 1 302.334 1.777 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)N[C@H](CNC(=O)[O-])C1CC1 ZINC000739199885 603089450 /nfs/dbraw/zinc/08/94/50/603089450.db2.gz STVKKTRYKBHYKK-KWCYVHTRSA-N -1 1 324.425 1.301 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2[C@H](C)OC(C)(C)N2C(=O)[O-])c1C ZINC000740033205 603219597 /nfs/dbraw/zinc/21/95/97/603219597.db2.gz PYHNDFKJMVBRTI-VHSXEESVSA-N -1 1 310.354 1.260 20 0 DDADMM O=C([O-])N1CC[C@H](C(=O)NCc2n[nH]c(-c3ccccc3)n2)C1 ZINC000740453624 603295194 /nfs/dbraw/zinc/29/51/94/603295194.db2.gz PEPPTQMIWPYSSS-NSHDSACASA-N -1 1 315.333 1.088 20 0 DDADMM O=C([O-])N1CC[C@H](C(=O)NCc2nc(-c3ccccc3)n[nH]2)C1 ZINC000740453624 603295196 /nfs/dbraw/zinc/29/51/96/603295196.db2.gz PEPPTQMIWPYSSS-NSHDSACASA-N -1 1 315.333 1.088 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)[O-])C1)[C@H]1CCN(c2ccccc2)C1=O ZINC000739657687 603344282 /nfs/dbraw/zinc/34/42/82/603344282.db2.gz KOOTUNBVOAQCIO-ZFWWWQNUSA-N -1 1 317.389 1.724 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@H]1CC[C@@H](NC(=O)[O-])C1 ZINC000826689932 603523161 /nfs/dbraw/zinc/52/31/61/603523161.db2.gz IQBXQBOJWLLDEN-GBJTYRQASA-N -1 1 323.437 1.898 20 0 DDADMM CN(C)Cc1ccsc1C(=O)NC1CCN(C(=O)[O-])CC1 ZINC000828046383 603524282 /nfs/dbraw/zinc/52/42/82/603524282.db2.gz LXKYCAQQRFMGFE-UHFFFAOYSA-N -1 1 311.407 1.682 20 0 DDADMM O=C([O-])N1CSC[C@@H]1C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000831285013 603560440 /nfs/dbraw/zinc/56/04/40/603560440.db2.gz YKEQHKRLJPUAMV-LLVKDONJSA-N -1 1 310.379 1.169 20 0 DDADMM Cc1ccc2nc(CN3CCC[C@@H]3CNC(=O)[O-])cc(=O)n2c1 ZINC000830453917 603572541 /nfs/dbraw/zinc/57/25/41/603572541.db2.gz UPUJHKPYBTWOMU-CYBMUJFWSA-N -1 1 316.361 1.235 20 0 DDADMM CCc1cc(CNC(=O)N(C)C2CCC(NC(=O)[O-])CC2)n[nH]1 ZINC000827556409 603660966 /nfs/dbraw/zinc/66/09/66/603660966.db2.gz JEHLXKIIZOZHBK-UHFFFAOYSA-N -1 1 323.397 1.692 20 0 DDADMM C[C@@H](C(=O)N1CCCN(C(=O)[O-])CC1)N(C)Cc1ccccc1 ZINC000823668736 603681716 /nfs/dbraw/zinc/68/17/16/603681716.db2.gz GQNZLEMAYLKGFG-AWEZNQCLSA-N -1 1 319.405 1.719 20 0 DDADMM CC(C)(CC(=O)NCCc1nc2ccc(F)cc2[nH]1)NC(=O)[O-] ZINC000823882596 603736609 /nfs/dbraw/zinc/73/66/09/603736609.db2.gz XTRRDRBMFQOHSB-UHFFFAOYSA-N -1 1 322.340 1.797 20 0 DDADMM CCc1cc(CNC(=O)CC2C[C@H]3CC[C@@H](C2)N3C(=O)[O-])[nH]n1 ZINC000827556319 603891803 /nfs/dbraw/zinc/89/18/03/603891803.db2.gz GXVPQXFVMLEEBO-FTNCPSPGSA-N -1 1 320.393 1.899 20 0 DDADMM C[C@@H](CC(=O)NC1CCN(Cc2cccnc2)CC1)NC(=O)[O-] ZINC000824622489 603896123 /nfs/dbraw/zinc/89/61/23/603896123.db2.gz NDBGPERURDCNME-LBPRGKRZSA-N -1 1 320.393 1.208 20 0 DDADMM O=C([O-])NCc1n[nH]c(-c2ccc(NC(=O)CC3CC3)cc2)n1 ZINC000832730514 603913599 /nfs/dbraw/zinc/91/35/99/603913599.db2.gz SNQIWHOWWCJDAE-UHFFFAOYSA-N -1 1 315.333 1.978 20 0 DDADMM O=C([O-])NCc1nc(-c2ccc(NC(=O)CC3CC3)cc2)n[nH]1 ZINC000832730514 603913601 /nfs/dbraw/zinc/91/36/01/603913601.db2.gz SNQIWHOWWCJDAE-UHFFFAOYSA-N -1 1 315.333 1.978 20 0 DDADMM CCCC[C@H](CNC(=O)[O-])NC(=O)c1[nH]nc2c1CCCC2 ZINC000826801571 604012719 /nfs/dbraw/zinc/01/27/19/604012719.db2.gz VCPXSLJILKPRPV-SNVBAGLBSA-N -1 1 308.382 1.845 20 0 DDADMM O=C([O-])N1[C@@H]2CC[C@H]1CC(CC(=O)OCCc1c[nH]nn1)C2 ZINC000831313157 604190799 /nfs/dbraw/zinc/19/07/99/604190799.db2.gz CNRCBSWVHVZITR-CLYYMRHHSA-N -1 1 308.338 1.202 20 0 DDADMM O=C([O-])N1[C@@H]2CC[C@H]1CC(CC(=O)OCCc1cnn[nH]1)C2 ZINC000831313157 604190802 /nfs/dbraw/zinc/19/08/02/604190802.db2.gz CNRCBSWVHVZITR-CLYYMRHHSA-N -1 1 308.338 1.202 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2ccc(C(=O)[O-])c(F)c2)C1 ZINC000831746860 604263478 /nfs/dbraw/zinc/26/34/78/604263478.db2.gz ZYEODJGKFCHEJU-LBPRGKRZSA-N -1 1 324.352 1.365 20 0 DDADMM Cc1cc([C@H](C)N2CCO[C@@H](c3n[nH]c(C)n3)C2)oc1C(=O)[O-] ZINC000832991268 604385511 /nfs/dbraw/zinc/38/55/11/604385511.db2.gz LDGIKYXDTPOAQS-JOYOIKCWSA-N -1 1 320.349 1.847 20 0 DDADMM CN(CCC(=O)N(C)CC(=O)[O-])Cc1nc2ccccc2o1 ZINC000830726976 604439433 /nfs/dbraw/zinc/43/94/33/604439433.db2.gz BRUWDBHOHSQDER-UHFFFAOYSA-N -1 1 305.334 1.193 20 0 DDADMM C[C@H](CN(C)CC(=O)Nc1nnc(C(C)(C)C)s1)C(=O)[O-] ZINC000827443065 604477124 /nfs/dbraw/zinc/47/71/24/604477124.db2.gz XPAIORQAWKVULI-MRVPVSSYSA-N -1 1 314.411 1.427 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@@H]1C(=O)[O-] ZINC000833622156 604490381 /nfs/dbraw/zinc/49/03/81/604490381.db2.gz MCWFGOAKGWTCQK-CABZTGNLSA-N -1 1 300.318 1.264 20 0 DDADMM CN(C)Cc1cc(CNC(=O)NC2CN(C(=O)[O-])C2)ccc1F ZINC000828042440 604588073 /nfs/dbraw/zinc/58/80/73/604588073.db2.gz DQNCJBYZSIZALZ-UHFFFAOYSA-N -1 1 324.356 1.049 20 0 DDADMM CC1CCN(CC(=O)N[C@H]2CCCC[C@H]2CNC(=O)[O-])CC1 ZINC000825945774 604863419 /nfs/dbraw/zinc/86/34/19/604863419.db2.gz ZQRAAZNBEAJGBU-KBPBESRZSA-N -1 1 311.426 1.661 20 0 DDADMM CC[C@@]1(C)CC(=O)N(CN2CCC[C@@H](C(C)(C)C(=O)[O-])C2)C1=O ZINC000833646530 604865045 /nfs/dbraw/zinc/86/50/45/604865045.db2.gz PHSPIPMNPPNWEG-PXAZEXFGSA-N -1 1 324.421 1.942 20 0 DDADMM CCc1ccc(CNC(=O)CN2CCC[C@@H]2CC(=O)[O-])s1 ZINC000833669280 604968648 /nfs/dbraw/zinc/96/86/48/604968648.db2.gz DGQJOMSJYNKHBK-LLVKDONJSA-N -1 1 310.419 1.866 20 0 DDADMM CCOCCN(C)[C@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000833662099 604972681 /nfs/dbraw/zinc/97/26/81/604972681.db2.gz QEDAZKNTQLEWMO-GFCCVEGCSA-N -1 1 308.378 1.358 20 0 DDADMM CN(CC(=O)N(C)c1ccccc1C(=O)[O-])CC1(O)CCCC1 ZINC000833692059 605091092 /nfs/dbraw/zinc/09/10/92/605091092.db2.gz TZFLKCNHIYYZEW-UHFFFAOYSA-N -1 1 320.389 1.585 20 0 DDADMM CCO[C@H]1C[C@@H](NCc2cn(CC(=O)[O-])nn2)C12CCCCC2 ZINC000833662224 605092511 /nfs/dbraw/zinc/09/25/11/605092511.db2.gz YZICKUSFQYHTND-KGLIPLIRSA-N -1 1 322.409 1.580 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1CC1(Cl)Cl ZINC000833626195 605106750 /nfs/dbraw/zinc/10/67/50/605106750.db2.gz YFLYKAOMHGTNOJ-IUCAKERBSA-N -1 1 309.193 1.188 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CC[C@H](NC(=O)[O-])C1)c1ccccc1 ZINC000739384559 605134785 /nfs/dbraw/zinc/13/47/85/605134785.db2.gz IBPRBOCOYMKZCP-UONOGXRCSA-N -1 1 305.378 1.548 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)C2CCC(C(=O)[O-])CC2)n[nH]1 ZINC000833512981 605215513 /nfs/dbraw/zinc/21/55/13/605215513.db2.gz XPSNLMLOZFGLQS-WHXUTIOJSA-N -1 1 308.382 1.996 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NCCN(C(=O)[O-])C2CC2)n[nH]1 ZINC000830036143 605292107 /nfs/dbraw/zinc/29/21/07/605292107.db2.gz KIMKLUVBHZUXAX-VIFPVBQESA-N -1 1 309.370 1.091 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCCN(C(=O)[O-])CC2)n[nH]1 ZINC000830031578 605301452 /nfs/dbraw/zinc/30/14/52/605301452.db2.gz WSFBJIMVRXLKDA-SNVBAGLBSA-N -1 1 309.370 1.044 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NC[C@@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000830036335 605301542 /nfs/dbraw/zinc/30/15/42/605301542.db2.gz MYDPHUKPBGJTKD-JQWIXIFHSA-N -1 1 323.397 1.338 20 0 DDADMM O=C([O-])N1CCCN1C(=O)CSc1n[nH]c(=S)s1 ZINC000834111160 605317364 /nfs/dbraw/zinc/31/73/64/605317364.db2.gz ZUEGGLIQULRPOI-UHFFFAOYSA-N -1 1 306.394 1.046 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)c2cccnc2)CCN1CCC(=O)[O-] ZINC000833620300 605406863 /nfs/dbraw/zinc/40/68/63/605406863.db2.gz WQHNNQXFXBWVPN-CYBMUJFWSA-N -1 1 319.405 1.367 20 0 DDADMM CCn1c(C)nnc1CN1C[C@@H]2CCC[C@H](NC(=O)[O-])[C@@H]2C1 ZINC000833866469 605434847 /nfs/dbraw/zinc/43/48/47/605434847.db2.gz HVNRASBXHFCULY-XQQFMLRXSA-N -1 1 307.398 1.475 20 0 DDADMM O=C([O-])N[C@@H]1CCCN(Cc2ccc(-n3cncn3)c(F)c2)C1 ZINC000834182923 605487047 /nfs/dbraw/zinc/48/70/47/605487047.db2.gz OFMDADZBIWDRMN-GFCCVEGCSA-N -1 1 319.340 1.638 20 0 DDADMM O=C([O-])N[C@H]1CCCN(Cc2ccccc2-n2cncn2)C1 ZINC000834182250 605492757 /nfs/dbraw/zinc/49/27/57/605492757.db2.gz MBQFPBIYYXVJTB-ZDUSSCGKSA-N -1 1 301.350 1.499 20 0 DDADMM CN(Cc1ccc(N2CCCC2)nc1)[C@H]1CCN(C(=O)[O-])C1 ZINC000833874756 605589737 /nfs/dbraw/zinc/58/97/37/605589737.db2.gz YLCDRSVYIZUFPK-AWEZNQCLSA-N -1 1 304.394 1.866 20 0 DDADMM Cn1c(CN[C@H](CNC(=O)[O-])C2CCCCC2)nnc1C1CC1 ZINC000833941893 605665013 /nfs/dbraw/zinc/66/50/13/605665013.db2.gz SQKQTZCIASRICP-CYBMUJFWSA-N -1 1 321.425 1.999 20 0 DDADMM O=C([O-])N1CCC[C@@H]1[C@@H]1CCCCN1CC(=O)N1CCCC1 ZINC000834008436 605670745 /nfs/dbraw/zinc/67/07/45/605670745.db2.gz ZHPZPOVLFFETAE-UONOGXRCSA-N -1 1 309.410 1.606 20 0 DDADMM C[C@H](NC(=O)[C@H]1c2ccccc2CCN1C(=O)[O-])c1nnc[nH]1 ZINC000825100358 605758804 /nfs/dbraw/zinc/75/88/04/605758804.db2.gz CEZRFOAKODULEH-JOYOIKCWSA-N -1 1 315.333 1.259 20 0 DDADMM O=C([O-])N1CC[C@H](NCC(=O)N2CCCc3ccccc32)C1 ZINC000831953556 605844690 /nfs/dbraw/zinc/84/46/90/605844690.db2.gz MPWMDMJNEZQQED-ZDUSSCGKSA-N -1 1 303.362 1.308 20 0 DDADMM O=C([O-])N1CC[C@H](NC[C@@](O)(c2ccccc2)C(F)(F)F)C1 ZINC000831952935 605847101 /nfs/dbraw/zinc/84/71/01/605847101.db2.gz CWQJTBGELQCCRB-WCQYABFASA-N -1 1 318.295 1.778 20 0 DDADMM CC(C)C[C@@H](CNC(=O)[O-])NC(=O)CN(C)[C@@H]1CCSC1 ZINC000833792997 605944360 /nfs/dbraw/zinc/94/43/60/605944360.db2.gz BJIMQACGFQVCQZ-NWDGAFQWSA-N -1 1 317.455 1.222 20 0 DDADMM CCO[C@H](CCNC(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)C ZINC000821351868 606029817 /nfs/dbraw/zinc/02/98/17/606029817.db2.gz WDFABNOFVDEWPB-CYBMUJFWSA-N -1 1 318.381 1.443 20 0 DDADMM CCO[C@H](CCNC(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)C ZINC000821351868 606029822 /nfs/dbraw/zinc/02/98/22/606029822.db2.gz WDFABNOFVDEWPB-CYBMUJFWSA-N -1 1 318.381 1.443 20 0 DDADMM O=C([O-])N1CC[C@H](C(=O)OC[C@@H]2CCCN2Cc2ccco2)C1 ZINC000834284875 606093125 /nfs/dbraw/zinc/09/31/25/606093125.db2.gz ITGLQELJFZETAX-STQMWFEESA-N -1 1 322.361 1.787 20 0 DDADMM C[C@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)NC(C)(C)C ZINC000820511907 606137203 /nfs/dbraw/zinc/13/72/03/606137203.db2.gz FFVOZNCTVYATJX-ZETCQYMHSA-N -1 1 323.378 1.388 20 0 DDADMM C[C@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)NC(C)(C)C ZINC000820511907 606137205 /nfs/dbraw/zinc/13/72/05/606137205.db2.gz FFVOZNCTVYATJX-ZETCQYMHSA-N -1 1 323.378 1.388 20 0 DDADMM COc1ccnc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c1 ZINC000821903227 606295395 /nfs/dbraw/zinc/29/53/95/606295395.db2.gz DMHJPMNVPMQKPJ-UHFFFAOYSA-N -1 1 312.289 1.228 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccc2[nH]cnc2c1 ZINC000823294521 606297211 /nfs/dbraw/zinc/29/72/11/606297211.db2.gz FNCOKXRZTJYZTN-UHFFFAOYSA-N -1 1 321.300 1.701 20 0 DDADMM C[S@](=O)c1ccc(CNc2nccnc2-c2nnn[n-]2)cc1 ZINC000821941465 606430436 /nfs/dbraw/zinc/43/04/36/606430436.db2.gz GZTSXIVALDCYGU-QFIPXVFZSA-N -1 1 315.362 1.006 20 0 DDADMM C[S@](=O)c1ccc(CNc2nccnc2-c2nn[n-]n2)cc1 ZINC000821941465 606430437 /nfs/dbraw/zinc/43/04/37/606430437.db2.gz GZTSXIVALDCYGU-QFIPXVFZSA-N -1 1 315.362 1.006 20 0 DDADMM C[C@@H]1SCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)[C@H]1C ZINC000820819492 606566150 /nfs/dbraw/zinc/56/61/50/606566150.db2.gz JBIORMHJBITQEG-YUMQZZPRSA-N -1 1 309.420 1.894 20 0 DDADMM C[C@@H]1SCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)[C@H]1C ZINC000820819492 606566151 /nfs/dbraw/zinc/56/61/51/606566151.db2.gz JBIORMHJBITQEG-YUMQZZPRSA-N -1 1 309.420 1.894 20 0 DDADMM COc1ccc(NC(=O)c2ccc(-c3nnn[n-]3)s2)nc1 ZINC000821835391 606575041 /nfs/dbraw/zinc/57/50/41/606575041.db2.gz JHAPDOZEJWMGBR-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM COc1ccc(NC(=O)c2ccc(-c3nn[n-]n3)s2)nc1 ZINC000821835391 606575042 /nfs/dbraw/zinc/57/50/42/606575042.db2.gz JHAPDOZEJWMGBR-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)C[C@H](C)S1 ZINC000820742355 606575588 /nfs/dbraw/zinc/57/55/88/606575588.db2.gz JRLHKFSVSQBKTN-YUMQZZPRSA-N -1 1 309.420 1.894 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)C[C@H](C)S1 ZINC000820742355 606575589 /nfs/dbraw/zinc/57/55/89/606575589.db2.gz JRLHKFSVSQBKTN-YUMQZZPRSA-N -1 1 309.420 1.894 20 0 DDADMM CCCCO[C@@H](C)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000821073190 606650938 /nfs/dbraw/zinc/65/09/38/606650938.db2.gz YBANWFATNCEUPB-JTQLQIEISA-N -1 1 305.338 1.510 20 0 DDADMM CCCCO[C@@H](C)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000821073190 606650939 /nfs/dbraw/zinc/65/09/39/606650939.db2.gz YBANWFATNCEUPB-JTQLQIEISA-N -1 1 305.338 1.510 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@@H]1C[C@@H]1Cc1ccccc1 ZINC000822903399 606695404 /nfs/dbraw/zinc/69/54/04/606695404.db2.gz KWGMMGDKUVRGBZ-WDEREUQCSA-N -1 1 309.333 1.164 20 0 DDADMM CC(C)c1ocnc1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000820211042 607109738 /nfs/dbraw/zinc/10/97/38/607109738.db2.gz APTCDVZFQDKDAN-UHFFFAOYSA-N -1 1 305.323 1.687 20 0 DDADMM CC(C)c1ocnc1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000820211042 607109740 /nfs/dbraw/zinc/10/97/40/607109740.db2.gz APTCDVZFQDKDAN-UHFFFAOYSA-N -1 1 305.323 1.687 20 0 DDADMM CCCc1ccccc1NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821143826 607207470 /nfs/dbraw/zinc/20/74/70/607207470.db2.gz YOPCBIIKXNTTBH-UHFFFAOYSA-N -1 1 312.337 1.049 20 0 DDADMM CN(CCc1nccs1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825949854 607802165 /nfs/dbraw/zinc/80/21/65/607802165.db2.gz BRLZUZMXXRUNCQ-UHFFFAOYSA-N -1 1 320.403 1.699 20 0 DDADMM CN(CCc1nccs1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825949854 607802166 /nfs/dbraw/zinc/80/21/66/607802166.db2.gz BRLZUZMXXRUNCQ-UHFFFAOYSA-N -1 1 320.403 1.699 20 0 DDADMM Cc1noc(C2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)n1 ZINC000826339651 607897513 /nfs/dbraw/zinc/89/75/13/607897513.db2.gz NHUOKLHWUBLWRO-UHFFFAOYSA-N -1 1 312.337 1.337 20 0 DDADMM Cc1noc(C2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)n1 ZINC000826339651 607897514 /nfs/dbraw/zinc/89/75/14/607897514.db2.gz NHUOKLHWUBLWRO-UHFFFAOYSA-N -1 1 312.337 1.337 20 0 DDADMM C[C@H](C[C@@H](O)c1ccco1)Nc1cccc(-c2nnn[n-]2)n1 ZINC000824393518 607901982 /nfs/dbraw/zinc/90/19/82/607901982.db2.gz SKAWJSUEUSXGIX-MWLCHTKSSA-N -1 1 300.322 1.779 20 0 DDADMM C[C@H](C[C@@H](O)c1ccco1)Nc1cccc(-c2nn[n-]n2)n1 ZINC000824393518 607901983 /nfs/dbraw/zinc/90/19/83/607901983.db2.gz SKAWJSUEUSXGIX-MWLCHTKSSA-N -1 1 300.322 1.779 20 0 DDADMM c1ccc(CO[C@@H]2CCN(c3cccc(-c4nnn[n-]4)n3)C2)nc1 ZINC000826521489 608016606 /nfs/dbraw/zinc/01/66/06/608016606.db2.gz OTXFDDOQRWGDAO-CYBMUJFWSA-N -1 1 323.360 1.452 20 0 DDADMM c1ccc(CO[C@@H]2CCN(c3cccc(-c4nn[n-]n4)n3)C2)nc1 ZINC000826521489 608016607 /nfs/dbraw/zinc/01/66/07/608016607.db2.gz OTXFDDOQRWGDAO-CYBMUJFWSA-N -1 1 323.360 1.452 20 0 DDADMM CNc1ncc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])s1 ZINC000826098371 608171021 /nfs/dbraw/zinc/17/10/21/608171021.db2.gz CFZLNOBTDLHWFY-UHFFFAOYSA-N -1 1 317.334 1.323 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cnccc1Cl ZINC000826467251 608175432 /nfs/dbraw/zinc/17/54/32/608175432.db2.gz NOZUQTFEIQVBQO-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cnccc1Cl ZINC000826467251 608175433 /nfs/dbraw/zinc/17/54/33/608175433.db2.gz NOZUQTFEIQVBQO-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM CCCCn1cc(CNc2nccnc2-c2nnn[n-]2)c(C)n1 ZINC000825301148 608293708 /nfs/dbraw/zinc/29/37/08/608293708.db2.gz IFDMGXLSEPYUJM-UHFFFAOYSA-N -1 1 313.369 1.574 20 0 DDADMM CCCCn1cc(CNc2nccnc2-c2nn[n-]n2)c(C)n1 ZINC000825301148 608293709 /nfs/dbraw/zinc/29/37/09/608293709.db2.gz IFDMGXLSEPYUJM-UHFFFAOYSA-N -1 1 313.369 1.574 20 0 DDADMM C[C@@H]1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C[C@@H]1C ZINC000824948791 608306332 /nfs/dbraw/zinc/30/63/32/608306332.db2.gz VZGWCLSBNKXCNG-BDAKNGLRSA-N -1 1 304.358 1.110 20 0 DDADMM C[C@@H]1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C[C@@H]1C ZINC000824948791 608306333 /nfs/dbraw/zinc/30/63/33/608306333.db2.gz VZGWCLSBNKXCNG-BDAKNGLRSA-N -1 1 304.358 1.110 20 0 DDADMM CC[C@H](CSC)N(C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000825122181 608337573 /nfs/dbraw/zinc/33/75/73/608337573.db2.gz XUPFXAXTNLYSNZ-MRVPVSSYSA-N -1 1 324.414 1.206 20 0 DDADMM CC[C@H](CSC)N(C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000825122181 608337575 /nfs/dbraw/zinc/33/75/75/608337575.db2.gz XUPFXAXTNLYSNZ-MRVPVSSYSA-N -1 1 324.414 1.206 20 0 DDADMM c1cc(CNc2ccc(-c3nnn[n-]3)nn2)cc(N2CCCC2)n1 ZINC000826516689 608395813 /nfs/dbraw/zinc/39/58/13/608395813.db2.gz WGGBCDTXRFLIPJ-UHFFFAOYSA-N -1 1 323.364 1.264 20 0 DDADMM c1cc(CNc2ccc(-c3nn[n-]n3)nn2)cc(N2CCCC2)n1 ZINC000826516689 608395815 /nfs/dbraw/zinc/39/58/15/608395815.db2.gz WGGBCDTXRFLIPJ-UHFFFAOYSA-N -1 1 323.364 1.264 20 0 DDADMM CCC(=O)Nc1ccc(Nc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000825034535 608399892 /nfs/dbraw/zinc/39/98/92/608399892.db2.gz KRKFHIUCVRHERK-UHFFFAOYSA-N -1 1 310.321 1.749 20 0 DDADMM CCC(=O)Nc1ccc(Nc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000825034535 608399894 /nfs/dbraw/zinc/39/98/94/608399894.db2.gz KRKFHIUCVRHERK-UHFFFAOYSA-N -1 1 310.321 1.749 20 0 DDADMM c1cnc2c(c1)cccc2CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826525365 608408070 /nfs/dbraw/zinc/40/80/70/608408070.db2.gz GYTGCPNAGNSSLP-UHFFFAOYSA-N -1 1 304.317 1.817 20 0 DDADMM c1cnc2c(c1)cccc2CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826525365 608408072 /nfs/dbraw/zinc/40/80/72/608408072.db2.gz GYTGCPNAGNSSLP-UHFFFAOYSA-N -1 1 304.317 1.817 20 0 DDADMM CC[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)c1nc(C)cs1 ZINC000825148211 608413175 /nfs/dbraw/zinc/41/31/75/608413175.db2.gz OQXPLZGHQKTNPH-MRVPVSSYSA-N -1 1 302.367 1.985 20 0 DDADMM CC[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)c1nc(C)cs1 ZINC000825148211 608413176 /nfs/dbraw/zinc/41/31/76/608413176.db2.gz OQXPLZGHQKTNPH-MRVPVSSYSA-N -1 1 302.367 1.985 20 0 DDADMM C[C@H](Nc1ccc(-c2nnn[n-]2)nn1)C(=O)Nc1ccccc1 ZINC000824650602 608417545 /nfs/dbraw/zinc/41/75/45/608417545.db2.gz SPXYYXUEVPUUCZ-VIFPVBQESA-N -1 1 310.321 1.096 20 0 DDADMM C[C@H](Nc1ccc(-c2nn[n-]n2)nn1)C(=O)Nc1ccccc1 ZINC000824650602 608417547 /nfs/dbraw/zinc/41/75/47/608417547.db2.gz SPXYYXUEVPUUCZ-VIFPVBQESA-N -1 1 310.321 1.096 20 0 DDADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)Oc1cccc(F)c1 ZINC000824429459 608424000 /nfs/dbraw/zinc/42/40/00/608424000.db2.gz XJOZGKCPFWVALA-SECBINFHSA-N -1 1 315.312 1.675 20 0 DDADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)Oc1cccc(F)c1 ZINC000824429459 608424003 /nfs/dbraw/zinc/42/40/03/608424003.db2.gz XJOZGKCPFWVALA-SECBINFHSA-N -1 1 315.312 1.675 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC000825669454 608428857 /nfs/dbraw/zinc/42/88/57/608428857.db2.gz YCBGOXVWSAAODE-QKESZYPNSA-N -1 1 321.410 1.148 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC000825669454 608428859 /nfs/dbraw/zinc/42/88/59/608428859.db2.gz YCBGOXVWSAAODE-QKESZYPNSA-N -1 1 321.410 1.148 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@@H]1CCOC2(CCCC2)C1 ZINC000826513923 608435210 /nfs/dbraw/zinc/43/52/10/608435210.db2.gz ASPGKNWZVOTZSE-SNVBAGLBSA-N -1 1 301.354 1.560 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@@H]1CCOC2(CCCC2)C1 ZINC000826513923 608435212 /nfs/dbraw/zinc/43/52/12/608435212.db2.gz ASPGKNWZVOTZSE-SNVBAGLBSA-N -1 1 301.354 1.560 20 0 DDADMM C[C@H](CCO)C1(CNc2cccc(-c3nnn[n-]3)n2)CCC1 ZINC000824405958 608586522 /nfs/dbraw/zinc/58/65/22/608586522.db2.gz CCFUAZHVKOYLIZ-LLVKDONJSA-N -1 1 302.382 1.862 20 0 DDADMM C[C@H](CCO)C1(CNc2cccc(-c3nn[n-]n3)n2)CCC1 ZINC000824405958 608586524 /nfs/dbraw/zinc/58/65/24/608586524.db2.gz CCFUAZHVKOYLIZ-LLVKDONJSA-N -1 1 302.382 1.862 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1(C)C ZINC000826144865 608591664 /nfs/dbraw/zinc/59/16/64/608591664.db2.gz UMIYJHGDSSZPEZ-GGZOMVNGSA-N -1 1 316.365 1.051 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1(C)C ZINC000826144865 608591666 /nfs/dbraw/zinc/59/16/66/608591666.db2.gz UMIYJHGDSSZPEZ-GGZOMVNGSA-N -1 1 316.365 1.051 20 0 DDADMM Cc1nc(CNc2nccnc2-c2nnn[n-]2)ccc1[C@H](C)O ZINC000826326437 608667666 /nfs/dbraw/zinc/66/76/66/608667666.db2.gz PJHNXHUIBQYVIE-VIFPVBQESA-N -1 1 312.337 1.026 20 0 DDADMM Cc1nc(CNc2nccnc2-c2nn[n-]n2)ccc1[C@H](C)O ZINC000826326437 608667667 /nfs/dbraw/zinc/66/76/67/608667667.db2.gz PJHNXHUIBQYVIE-VIFPVBQESA-N -1 1 312.337 1.026 20 0 DDADMM CN(C(=O)c1cc(F)ccc1[O-])c1cccc(-c2nn[nH]n2)c1 ZINC000825825632 608762536 /nfs/dbraw/zinc/76/25/36/608762536.db2.gz GEZZBSZIRNHZMO-UHFFFAOYSA-N -1 1 313.292 1.988 20 0 DDADMM COCCC[C@H](C)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826155756 609353938 /nfs/dbraw/zinc/35/39/38/609353938.db2.gz ASDOUEYMGBRIOO-JTQLQIEISA-N -1 1 305.338 1.368 20 0 DDADMM COCCC[C@H](C)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826155756 609353935 /nfs/dbraw/zinc/35/39/35/609353935.db2.gz ASDOUEYMGBRIOO-JTQLQIEISA-N -1 1 305.338 1.368 20 0 DDADMM C[C@H]1C[C@H](COC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000824882392 609389643 /nfs/dbraw/zinc/38/96/43/609389643.db2.gz AZMYTYOKZBFMCC-VHSXEESVSA-N -1 1 303.322 1.234 20 0 DDADMM C[C@H]1C[C@H](COC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000824882392 609389647 /nfs/dbraw/zinc/38/96/47/609389647.db2.gz AZMYTYOKZBFMCC-VHSXEESVSA-N -1 1 303.322 1.234 20 0 DDADMM C[C@@H]1OCC[C@@]1(C)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000825013725 609591921 /nfs/dbraw/zinc/59/19/21/609591921.db2.gz RFXOAYUWJBLNFB-XUOSJQGZSA-N -1 1 300.775 1.956 20 0 DDADMM C[C@@H]1OCC[C@@]1(C)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000825013725 609591924 /nfs/dbraw/zinc/59/19/24/609591924.db2.gz RFXOAYUWJBLNFB-XUOSJQGZSA-N -1 1 300.775 1.956 20 0 DDADMM Fc1cccc(NCCCNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826377059 609603935 /nfs/dbraw/zinc/60/39/35/609603935.db2.gz CLHOLBMMITXYHB-UHFFFAOYSA-N -1 1 314.328 1.710 20 0 DDADMM Fc1cccc(NCCCNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826377059 609603937 /nfs/dbraw/zinc/60/39/37/609603937.db2.gz CLHOLBMMITXYHB-UHFFFAOYSA-N -1 1 314.328 1.710 20 0 DDADMM CCOCCOCC(=O)Nc1nc(Br)ccc1[O-] ZINC000121573182 696712328 /nfs/dbraw/zinc/71/23/28/696712328.db2.gz AUTFTIOLWOHESX-UHFFFAOYSA-N -1 1 319.155 1.541 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)C(F)(F)F)o1 ZINC000121587091 696712810 /nfs/dbraw/zinc/71/28/10/696712810.db2.gz VDDYTWMHKOWIDX-RXMQYKEDSA-N -1 1 301.242 1.295 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2CCCC[C@@H]2C(=O)OC)[n-]1 ZINC000797012033 699990597 /nfs/dbraw/zinc/99/05/97/699990597.db2.gz HWQYWXKZGPOIQD-CABZTGNLSA-N -1 1 309.318 1.690 20 0 DDADMM CCOC(=O)[C@H](CC)[C@@H](C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000797145740 699996374 /nfs/dbraw/zinc/99/63/74/699996374.db2.gz WOCYILGFQSJDGZ-NXEZZACHSA-N -1 1 311.334 1.936 20 0 DDADMM O=C([N-]OCc1cccnc1)[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000746945737 700046702 /nfs/dbraw/zinc/04/67/02/700046702.db2.gz KNBXQPBYKIHBKQ-AWEZNQCLSA-N -1 1 311.341 1.683 20 0 DDADMM CC(C)(C)OC(=O)N1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000798176745 700047134 /nfs/dbraw/zinc/04/71/34/700047134.db2.gz SBVKACZVISGPGO-UHFFFAOYSA-N -1 1 320.345 1.959 20 0 DDADMM CC(Nc1ccnn1[C@@H](C)C1CC1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009875639 696038038 /nfs/dbraw/zinc/03/80/38/696038038.db2.gz AXBKBSLKXOBPNZ-QMMMGPOBSA-N -1 1 319.390 1.071 20 0 DDADMM CSc1ccc(NC(C)=C2C(=O)[N-]C(=S)NC2=O)cc1 ZINC000010515378 696039604 /nfs/dbraw/zinc/03/96/04/696039604.db2.gz OYAIHYQVMIBIAW-UHFFFAOYSA-N -1 1 307.400 1.625 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC(=O)N1CCCCC1 ZINC000747219844 700060406 /nfs/dbraw/zinc/06/04/06/700060406.db2.gz CPIDEMDGZJDNET-UHFFFAOYSA-N -1 1 320.393 1.356 20 0 DDADMM CC[S@](=O)CCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000747385929 700067145 /nfs/dbraw/zinc/06/71/45/700067145.db2.gz INVSBIUVOIEDOQ-NRFANRHFSA-N -1 1 313.423 1.287 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)C1=CCCC1 ZINC000977545990 696207557 /nfs/dbraw/zinc/20/75/57/696207557.db2.gz HAKNUYKSTDVRGW-CYBMUJFWSA-N -1 1 315.373 1.570 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977616851 696235826 /nfs/dbraw/zinc/23/58/26/696235826.db2.gz IYYSENOBYYWAII-NEPJUHHUSA-N -1 1 305.378 1.506 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2c(C)nn(C)c2Cl)on1 ZINC000057922838 696300827 /nfs/dbraw/zinc/30/08/27/696300827.db2.gz NTQBNKHFKAFPAG-UHFFFAOYSA-N -1 1 304.759 1.157 20 0 DDADMM O=C([N-]NC(=O)c1ccccc1F)c1c(O)cc(F)cc1F ZINC000066041806 696349458 /nfs/dbraw/zinc/34/94/58/696349458.db2.gz ODHRQHQHMVICCL-UHFFFAOYSA-N -1 1 310.231 1.884 20 0 DDADMM CS[C@@H]1CC[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000075505672 696421400 /nfs/dbraw/zinc/42/14/00/696421400.db2.gz KBGFZSQOPQZDAY-VHSXEESVSA-N -1 1 303.387 1.937 20 0 DDADMM CC[C@@H](Cc1ccccc1)NC(=O)Cc1sc(N)nc1[O-] ZINC000079970528 696465815 /nfs/dbraw/zinc/46/58/15/696465815.db2.gz BLEKKRJWGNPRAJ-RYUDHWBXSA-N -1 1 305.403 1.471 20 0 DDADMM O=C(NNC(=O)[C@@H]1Cc2ccccc2O1)c1cc(F)ccc1[O-] ZINC000080022600 696466133 /nfs/dbraw/zinc/46/61/33/696466133.db2.gz UXSSRCVYQISVEB-AWEZNQCLSA-N -1 1 316.288 1.296 20 0 DDADMM Cn1c(CCNC(=O)c2cccc3cc[nH]c32)n[n-]c1=S ZINC000080038403 696530096 /nfs/dbraw/zinc/53/00/96/696530096.db2.gz ACXWXTCNYZEIQY-UHFFFAOYSA-N -1 1 301.375 1.931 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000979333157 696530476 /nfs/dbraw/zinc/53/04/76/696530476.db2.gz INYXBUZUGSREGA-FVCCEPFGSA-N -1 1 317.389 1.458 20 0 DDADMM NC(=O)CO[N-]C(=O)CCCOc1ccc2c(c1)CCCC2 ZINC000089463063 696577161 /nfs/dbraw/zinc/57/71/61/696577161.db2.gz KEUAOPJOEIBSIV-UHFFFAOYSA-N -1 1 306.362 1.258 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCc1ccccc1 ZINC000104194161 696619620 /nfs/dbraw/zinc/61/96/20/696619620.db2.gz ULHUVCGPBDIJKB-UHFFFAOYSA-N -1 1 311.341 1.925 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979675885 696624313 /nfs/dbraw/zinc/62/43/13/696624313.db2.gz WGCVTUJNSUZREW-XYPYZODXSA-N -1 1 305.378 1.458 20 0 DDADMM CC(=CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1cccc(F)c1 ZINC000111588254 696644359 /nfs/dbraw/zinc/64/43/59/696644359.db2.gz TTWHEFOCHWTDOC-VURMDHGXSA-N -1 1 324.337 1.389 20 0 DDADMM CC(=CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1cccc(F)c1 ZINC000111588254 696644361 /nfs/dbraw/zinc/64/43/61/696644361.db2.gz TTWHEFOCHWTDOC-VURMDHGXSA-N -1 1 324.337 1.389 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccc1Cl ZINC000120801524 696701242 /nfs/dbraw/zinc/70/12/42/696701242.db2.gz SOIUBWLQDDABIT-XVKPBYJWSA-N -1 1 311.794 1.864 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1cc2ccccc2o1 ZINC000120816790 696701630 /nfs/dbraw/zinc/70/16/30/696701630.db2.gz ZVVWFMORBRSMON-QPUJVOFHSA-N -1 1 317.370 1.957 20 0 DDADMM Cc1cc(N(C)C)cc(C)c1NC(=O)Cc1sc(N)nc1[O-] ZINC000129859337 696792224 /nfs/dbraw/zinc/79/22/24/696792224.db2.gz KIHCDGMSVXXYEB-NSHDSACASA-N -1 1 320.418 1.655 20 0 DDADMM O=C(NC[C@@H]1CSCCS1)c1nc2ccccc2c(=O)[n-]1 ZINC000131641004 696807741 /nfs/dbraw/zinc/80/77/41/696807741.db2.gz GQCXSJLVZVJAFY-SECBINFHSA-N -1 1 321.427 1.502 20 0 DDADMM Cc1ccnc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000799174031 700126401 /nfs/dbraw/zinc/12/64/01/700126401.db2.gz KBIGSBHCQBQRCP-UHFFFAOYSA-N -1 1 316.327 1.676 20 0 DDADMM CC[C@@H](C(=O)N[N-]C(=O)C(F)(F)Oc1ccccc1)N(C)C ZINC000158806870 697304434 /nfs/dbraw/zinc/30/44/34/697304434.db2.gz RVPNQKJHJFHXCK-NSHDSACASA-N -1 1 315.320 1.146 20 0 DDADMM CC(C)C[C@H](O)C[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000160401809 697317175 /nfs/dbraw/zinc/31/71/75/697317175.db2.gz ANTFNTOOUIKYIA-VIFPVBQESA-N -1 1 320.342 1.419 20 0 DDADMM CCn1nccc1C(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000161554846 697323911 /nfs/dbraw/zinc/32/39/11/697323911.db2.gz PCQQZMKSBUYDNQ-UHFFFAOYSA-N -1 1 308.725 1.337 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CC=CC[C@@H]2C)o1 ZINC000163754506 697334315 /nfs/dbraw/zinc/33/43/15/697334315.db2.gz NYCMIBJHAUPZIE-WDEREUQCSA-N -1 1 313.375 1.947 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]CC(=O)OCc2ccccc2)on1 ZINC000749169890 700149613 /nfs/dbraw/zinc/14/96/13/700149613.db2.gz ZHAMTSMVCHSUQP-UHFFFAOYSA-N -1 1 324.358 1.146 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC(C)C ZINC000984801190 697430792 /nfs/dbraw/zinc/43/07/92/697430792.db2.gz KRCZBLBNDUATFW-QWHCGFSZSA-N -1 1 319.405 1.943 20 0 DDADMM CCOc1cc(Cl)ccc1C(=O)N[N-]C(=O)c1cc(C)[nH]n1 ZINC000182848064 697480520 /nfs/dbraw/zinc/48/05/20/697480520.db2.gz ZZTDBDOCURWGKH-UHFFFAOYSA-N -1 1 322.752 1.845 20 0 DDADMM C[C@H]1[C@H](NCc2cscn2)CCN1C(=O)c1ncccc1[O-] ZINC000986169680 697716613 /nfs/dbraw/zinc/71/66/13/697716613.db2.gz KMXLNSPALWCMFZ-CMPLNLGQSA-N -1 1 318.402 1.637 20 0 DDADMM Cc1cncc(C=CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000773314217 697742557 /nfs/dbraw/zinc/74/25/57/697742557.db2.gz HWWYFTJUIQGFMB-DSYXLKISSA-N -1 1 314.345 1.898 20 0 DDADMM C[C@@H](CN(C)C(=O)C(F)(F)c1ccc(F)cc1)c1nn[n-]n1 ZINC000774001690 697832526 /nfs/dbraw/zinc/83/25/26/697832526.db2.gz SALJWVFUQJADKJ-QMMMGPOBSA-N -1 1 313.283 1.693 20 0 DDADMM O=C(NOCc1cccnc1)c1ccc2n[n-]c(=S)n2c1 ZINC000774067584 697839440 /nfs/dbraw/zinc/83/94/40/697839440.db2.gz ZLBDZPSGMLYILU-UHFFFAOYSA-N -1 1 301.331 1.275 20 0 DDADMM Cc1n[nH]cc1S(=O)(=O)[N-][C@@H](c1cnn(C)c1)C(F)(F)F ZINC000774951308 697946019 /nfs/dbraw/zinc/94/60/19/697946019.db2.gz RDSFCEGNUZZMFU-VIFPVBQESA-N -1 1 323.300 1.034 20 0 DDADMM CCOC(=O)[C@H]1CSCCN1C(=O)c1ncc(C)cc1[O-] ZINC000778413852 698334431 /nfs/dbraw/zinc/33/44/31/698334431.db2.gz PJYHJLYMEUEJPR-SNVBAGLBSA-N -1 1 310.375 1.216 20 0 DDADMM O=C(CCCCC(=O)c1ccc(F)cc1)NN1CC(=O)[N-]C1=O ZINC000778500719 698342148 /nfs/dbraw/zinc/34/21/48/698342148.db2.gz BCJGLKSYMSIYCV-UHFFFAOYSA-N -1 1 321.308 1.152 20 0 DDADMM COC[C@H]([N-]C(=O)C(F)(F)c1cccc(Cl)c1)C(=O)OC ZINC000778506871 698343240 /nfs/dbraw/zinc/34/32/40/698343240.db2.gz QZWUUXUCCOOSTC-JTQLQIEISA-N -1 1 321.707 1.736 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)c1ccccn1 ZINC000778644869 698355575 /nfs/dbraw/zinc/35/55/75/698355575.db2.gz CRZSYWBGTYRJON-UHFFFAOYSA-N -1 1 313.423 1.399 20 0 DDADMM [O-]C([NH+]=c1cc[nH]cc1)=C([O-])[C@H](O)c1nc2ccccc2s1 ZINC000779474743 698426530 /nfs/dbraw/zinc/42/65/30/698426530.db2.gz DTZWQCNYDFDXIQ-STQMWFEESA-N -1 1 315.354 1.146 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)CCO1 ZINC000780144817 698492569 /nfs/dbraw/zinc/49/25/69/698492569.db2.gz OFYRANLRHKBKTA-VHSXEESVSA-N -1 1 311.300 1.803 20 0 DDADMM C[C@H](NC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C)C(=O)[O-] ZINC000780618578 698538966 /nfs/dbraw/zinc/53/89/66/698538966.db2.gz HUQKSEOFCGYQQL-JOYOIKCWSA-N -1 1 313.785 1.715 20 0 DDADMM CC[C@@H](NC(=O)NCCN(C)Cc1ccc(F)cc1)C(=O)[O-] ZINC000780632726 698540777 /nfs/dbraw/zinc/54/07/77/698540777.db2.gz PAFUFCOBAHEQMQ-CYBMUJFWSA-N -1 1 311.357 1.420 20 0 DDADMM O=C([O-])[C@@H](NC(=O)N[C@@H]1CCCc2cn[nH]c21)c1ccccc1 ZINC000780682240 698547712 /nfs/dbraw/zinc/54/77/12/698547712.db2.gz AGDXYEMNWLBUBA-OCCSQVGLSA-N -1 1 314.345 1.912 20 0 DDADMM O=C(NC[C@H]1CC(=O)N(C2CC2)C1)c1c([O-])cccc1Cl ZINC000780813075 698561199 /nfs/dbraw/zinc/56/11/99/698561199.db2.gz XWGUCJKLKNJKDH-SECBINFHSA-N -1 1 308.765 1.786 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCC=CO2)o1 ZINC000780901352 698569425 /nfs/dbraw/zinc/56/94/25/698569425.db2.gz OGLYGLWBRPJTDU-VIFPVBQESA-N -1 1 301.320 1.037 20 0 DDADMM CCCS(=O)(=O)c1ccccc1C(=O)[N-]N1CCCC1=O ZINC000781064210 698587207 /nfs/dbraw/zinc/58/72/07/698587207.db2.gz WSLAVHDIQRZPMP-UHFFFAOYSA-N -1 1 310.375 1.138 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CC(C)(C)C1 ZINC000989337817 698589977 /nfs/dbraw/zinc/58/99/77/698589977.db2.gz KGBKZYDHCOIZPZ-CMPLNLGQSA-N -1 1 321.425 1.416 20 0 DDADMM COCCO[C@@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000781698488 698641597 /nfs/dbraw/zinc/64/15/97/698641597.db2.gz BMTLSDRLYZERHB-GFCCVEGCSA-N -1 1 323.393 1.492 20 0 DDADMM COC(=O)C(C)(C)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000319566379 698713770 /nfs/dbraw/zinc/71/37/70/698713770.db2.gz QKAGYTNGFAIDHA-UHFFFAOYSA-N -1 1 309.366 1.543 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C(C)=C(C)C)cc1 ZINC000750943919 700259553 /nfs/dbraw/zinc/25/95/53/700259553.db2.gz OOWUMGHPRWRCHH-UHFFFAOYSA-N -1 1 305.330 1.851 20 0 DDADMM COc1ccc(NC(=O)[C@H](F)C(C)C)cc1[N-]S(C)(=O)=O ZINC000784147067 698912297 /nfs/dbraw/zinc/91/22/97/698912297.db2.gz VGRVSVMIFNSEGU-GFCCVEGCSA-N -1 1 318.370 1.999 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2cncc(C)c2)co1 ZINC000785687572 699092744 /nfs/dbraw/zinc/09/27/44/699092744.db2.gz JZTFKRVTCIRSCR-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cncc(Br)c1)C(F)F ZINC000390275597 699093057 /nfs/dbraw/zinc/09/30/57/699093057.db2.gz NSWFASFCEXDEJM-YFKPBYRVSA-N -1 1 315.139 1.776 20 0 DDADMM Cc1nc2c(nccc2C(=O)Nc2n[n-]c(C(F)(F)F)n2)[nH]1 ZINC000436136226 699162598 /nfs/dbraw/zinc/16/25/98/699162598.db2.gz WXBWKWJBBRCYJZ-UHFFFAOYSA-N -1 1 311.227 1.656 20 0 DDADMM NC(=O)[C@@H]([N-]C(=O)C(F)F)c1cccc(Br)c1 ZINC000787269914 699200725 /nfs/dbraw/zinc/20/07/25/699200725.db2.gz ZELRUKCJPBNEMN-ZETCQYMHSA-N -1 1 307.094 1.357 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)[C@H]1C ZINC000787500530 699214381 /nfs/dbraw/zinc/21/43/81/699214381.db2.gz CUEKYUQQUBTTMK-QWRGUYRKSA-N -1 1 321.377 1.638 20 0 DDADMM CC(C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703035541 699235326 /nfs/dbraw/zinc/23/53/26/699235326.db2.gz CESMLCBZTVBAKR-ZETCQYMHSA-N -1 1 323.777 1.066 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(Cc2cccc(Br)c2)C1 ZINC000706471525 699243594 /nfs/dbraw/zinc/24/35/94/699243594.db2.gz XQRRZMYFIVADDB-GFCCVEGCSA-N -1 1 300.152 1.471 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2cnc(Cl)cn2)s1 ZINC000787919492 699253743 /nfs/dbraw/zinc/25/37/43/699253743.db2.gz NRRFCUCBYFNUON-UHFFFAOYSA-N -1 1 313.726 1.411 20 0 DDADMM C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1ccc(Cl)cc1[O-] ZINC000712277720 699261062 /nfs/dbraw/zinc/26/10/62/699261062.db2.gz RNYIHWJZHAWMMH-XCBNKYQSSA-N -1 1 303.767 1.209 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@@H]2CCCS2)c1Cl ZINC000715984628 699279955 /nfs/dbraw/zinc/27/99/55/699279955.db2.gz MLPDFIYRDKZGHV-QMMMGPOBSA-N -1 1 309.844 1.638 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@H]1CC[C@H](C)O1 ZINC000788783859 699339732 /nfs/dbraw/zinc/33/97/32/699339732.db2.gz IIZLHDGSZZPMPK-VHSXEESVSA-N -1 1 300.380 1.255 20 0 DDADMM CCNC(=O)CC(=O)Nc1nc(Br)ccc1[O-] ZINC000789904379 699418668 /nfs/dbraw/zinc/41/86/68/699418668.db2.gz HTYUBIPXFLAZGJ-UHFFFAOYSA-N -1 1 302.128 1.014 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000727850362 699428186 /nfs/dbraw/zinc/42/81/86/699428186.db2.gz WVTSRJHGHGGPDK-LBPRGKRZSA-N -1 1 301.346 1.113 20 0 DDADMM CCN(Cc1ccc(C)cc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727849742 699428261 /nfs/dbraw/zinc/42/82/61/699428261.db2.gz KYCIVFYOCDYQSD-UHFFFAOYSA-N -1 1 315.373 1.284 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])C1CCS(=O)(=O)CC1 ZINC000790056452 699438542 /nfs/dbraw/zinc/43/85/42/699438542.db2.gz LPMCTKRUWRVXDL-UHFFFAOYSA-N -1 1 304.755 1.204 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCc1cc(C)ccn1 ZINC000790491304 699463491 /nfs/dbraw/zinc/46/34/91/699463491.db2.gz WIFZUTOLZDJOGX-UHFFFAOYSA-N -1 1 307.375 1.591 20 0 DDADMM O=C(c1csc2c1CCCC2)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732091012 699545950 /nfs/dbraw/zinc/54/59/50/699545950.db2.gz XPHXQYPFWFUPJQ-NSHDSACASA-N -1 1 319.390 1.354 20 0 DDADMM C[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)c2ccccc21 ZINC000733131727 699579929 /nfs/dbraw/zinc/57/99/29/699579929.db2.gz HDVLGLMPCLDEPK-LBPRGKRZSA-N -1 1 313.357 1.467 20 0 DDADMM CC(C)CN(C(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000733141964 699580591 /nfs/dbraw/zinc/58/05/91/699580591.db2.gz ZTXBFKCEABHKOB-UHFFFAOYSA-N -1 1 315.373 1.616 20 0 DDADMM CC[C@]1([N-]S(=O)(=O)c2c(C)nn(C)c2Cl)CCOC1 ZINC000790931911 699603781 /nfs/dbraw/zinc/60/37/81/699603781.db2.gz NYMFBMKXEJAXNA-NSHDSACASA-N -1 1 307.803 1.229 20 0 DDADMM Cc1nonc1[N-]C(=O)c1nc(Br)ccc1F ZINC000791553596 699640528 /nfs/dbraw/zinc/64/05/28/699640528.db2.gz YLAXNDDIZWQMFS-UHFFFAOYSA-N -1 1 301.075 1.927 20 0 DDADMM COC(=O)c1cc(-c2nn[n-]n2)c(=O)n(CCC2CCCC2)c1 ZINC000736938967 699734905 /nfs/dbraw/zinc/73/49/05/699734905.db2.gz DKGPRMHLWRLZFS-UHFFFAOYSA-N -1 1 317.349 1.395 20 0 DDADMM Cc1ccc(CCCC(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000737337839 699738589 /nfs/dbraw/zinc/73/85/89/699738589.db2.gz IKXDDCBRADHGAK-AWEZNQCLSA-N -1 1 315.377 1.431 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccc(F)cc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737782462 699744862 /nfs/dbraw/zinc/74/48/62/699744862.db2.gz GFVHJBLLNYYUKK-YNEHKIRRSA-N -1 1 317.324 1.042 20 0 DDADMM O=C([C@H]1CCCc2ccccc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737792273 699744891 /nfs/dbraw/zinc/74/48/91/699744891.db2.gz OWXVFBDCIOODAR-KBPBESRZSA-N -1 1 313.361 1.220 20 0 DDADMM CN1CCC[C@H]1C(=O)Nc1ccc2c(ccn2CC(=O)[O-])c1 ZINC000738017369 699749831 /nfs/dbraw/zinc/74/98/31/699749831.db2.gz WABJLMHUCNIKBY-AWEZNQCLSA-N -1 1 301.346 1.759 20 0 DDADMM C[C@@H](C(=O)NC1CCC(C)CC1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000739089023 699770883 /nfs/dbraw/zinc/77/08/83/699770883.db2.gz XGOKNVXULRDCBL-QPPOZKHWSA-N -1 1 311.426 1.659 20 0 DDADMM CC(C)C[C@H]1CCCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000740845187 699802302 /nfs/dbraw/zinc/80/23/02/699802302.db2.gz OCCFRYNKQCAKEO-CQSZACIVSA-N -1 1 321.421 1.744 20 0 DDADMM O=C(Nc1c([O-])cccc1F)[C@@H]1CC[C@H](C(F)(F)F)NC1=O ZINC000743415497 699911680 /nfs/dbraw/zinc/91/16/80/699911680.db2.gz CXHKOENMPDZUMO-HZGVNTEJSA-N -1 1 320.242 1.927 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C(=O)OC)c2ccccc2)[n-]1 ZINC000796346742 699928329 /nfs/dbraw/zinc/92/83/29/699928329.db2.gz RKMLUWPZYXXHAY-CYBMUJFWSA-N -1 1 317.297 1.872 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@@H]2C)[n-]1 ZINC000796368890 699930060 /nfs/dbraw/zinc/93/00/60/699930060.db2.gz IMMVBTGWGDYOLE-JTQLQIEISA-N -1 1 308.334 1.359 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OCCC(C)(C)O)n2)cc1 ZINC000801364218 700301090 /nfs/dbraw/zinc/30/10/90/700301090.db2.gz MXYRGHFIXNPQGC-UHFFFAOYSA-N -1 1 320.345 1.904 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CCOC(C)C)C(C)(C)C ZINC000753375599 700426887 /nfs/dbraw/zinc/42/68/87/700426887.db2.gz ATPHQQCYPBBOSC-LLVKDONJSA-N -1 1 309.428 1.309 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC(=O)N(CC(F)(F)F)C1 ZINC000754473339 700504289 /nfs/dbraw/zinc/50/42/89/700504289.db2.gz VHLKQDQPJJNMTI-UHFFFAOYSA-N -1 1 322.670 1.850 20 0 DDADMM CC[C@@H](CCOC)OC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000754576713 700511865 /nfs/dbraw/zinc/51/18/65/700511865.db2.gz QHTODVNEKUFNKX-VIFPVBQESA-N -1 1 305.352 1.160 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2cncs2)cc1 ZINC000809230307 701655587 /nfs/dbraw/zinc/65/55/87/701655587.db2.gz SOKFUFPXJJKCDH-UHFFFAOYSA-N -1 1 320.326 1.265 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC1COC(C)(C)OC1 ZINC000756706350 700637103 /nfs/dbraw/zinc/63/71/03/700637103.db2.gz PCAWRQNVGXOQAU-UHFFFAOYSA-N -1 1 313.379 1.094 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@H](C)c1ccc[nH]1 ZINC000760401925 700806445 /nfs/dbraw/zinc/80/64/45/700806445.db2.gz AHCOYWVTDWKDAM-SSDOTTSWSA-N -1 1 302.787 1.750 20 0 DDADMM O=C([N-]N1CCCC1=O)c1cc(Br)c(F)cc1F ZINC000760497348 700811369 /nfs/dbraw/zinc/81/13/69/700811369.db2.gz VFUPTEKCYMHBOH-UHFFFAOYSA-N -1 1 319.105 1.995 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cncc(Cl)n1 ZINC000760813605 700824560 /nfs/dbraw/zinc/82/45/60/700824560.db2.gz WKQLUIALUBCUDY-LLVKDONJSA-N -1 1 315.724 1.163 20 0 DDADMM CCS(=O)(=O)CCN(C)C(=O)c1c([O-])cccc1Cl ZINC000760879483 700827538 /nfs/dbraw/zinc/82/75/38/700827538.db2.gz RCIIUQZSIYXIGY-UHFFFAOYSA-N -1 1 305.783 1.552 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H]2CCC[C@H](OC)C2)o1 ZINC000761085456 700842209 /nfs/dbraw/zinc/84/22/09/700842209.db2.gz NCCCDXHOGXUSEI-VHSXEESVSA-N -1 1 317.363 1.302 20 0 DDADMM CC(C)(C)n1nnnc1SCCC[N-]C(=O)C(F)(F)F ZINC000762774075 700906251 /nfs/dbraw/zinc/90/62/51/700906251.db2.gz XWOAAGFUDRNQCD-UHFFFAOYSA-N -1 1 311.333 1.589 20 0 DDADMM Cn1[n-]c(COC(=O)CC23CC4CC(CC(C4)C2)C3)nc1=O ZINC000765394542 701009744 /nfs/dbraw/zinc/00/97/44/701009744.db2.gz ZQVSNUPOWNJABB-UHFFFAOYSA-N -1 1 305.378 1.758 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc3[nH]c(C(F)F)nc3c2)nc1=O ZINC000765473758 701014553 /nfs/dbraw/zinc/01/45/53/701014553.db2.gz KTXZEJJLHLQCQX-UHFFFAOYSA-N -1 1 323.259 1.279 20 0 DDADMM Cn1[n-]c(COC(=O)C(F)(F)c2c(F)cccc2F)nc1=O ZINC000765496135 701016161 /nfs/dbraw/zinc/01/61/61/701016161.db2.gz JXSITOXWQIGOSN-UHFFFAOYSA-N -1 1 319.214 1.222 20 0 DDADMM COC(=O)c1cc(F)c(C)c(OS(=O)(=O)c2c[n-]cn2)c1 ZINC000765769783 701026692 /nfs/dbraw/zinc/02/66/92/701026692.db2.gz XEKVVMVWHWRVKT-UHFFFAOYSA-N -1 1 314.294 1.412 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)OCCCF ZINC000767323820 701098190 /nfs/dbraw/zinc/09/81/90/701098190.db2.gz SWNMNKXZHPTUBQ-UHFFFAOYSA-N -1 1 306.297 1.393 20 0 DDADMM CCOC(=O)N1CCCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000767931316 701145868 /nfs/dbraw/zinc/14/58/68/701145868.db2.gz QILPDTZBJPWSEY-UHFFFAOYSA-N -1 1 310.325 1.836 20 0 DDADMM O=C(NCCS(=O)(=O)CC1CC1)c1c([O-])cccc1Cl ZINC000768289005 701167256 /nfs/dbraw/zinc/16/72/56/701167256.db2.gz NXQHNHFTLQOMFC-UHFFFAOYSA-N -1 1 317.794 1.600 20 0 DDADMM COC[C@@](C)(NC(=O)c1c([O-])cccc1Cl)C(=O)OC ZINC000769979678 701263049 /nfs/dbraw/zinc/26/30/49/701263049.db2.gz ZJTGQVBUAPBOHY-CYBMUJFWSA-N -1 1 301.726 1.354 20 0 DDADMM CCCOc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)c(C)c1 ZINC000770258215 701273001 /nfs/dbraw/zinc/27/30/01/701273001.db2.gz HYSXFSWCWUQGKZ-UHFFFAOYSA-N -1 1 306.322 1.372 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)N1CCC[C@@H]1c1cccs1 ZINC000805603412 701397490 /nfs/dbraw/zinc/39/74/90/701397490.db2.gz MQEPIBMYDXDKPA-GXSJLCMTSA-N -1 1 320.374 1.775 20 0 DDADMM O=C(O[C@@H]1CCCN(c2ccccc2F)C1=O)c1cn[n-]n1 ZINC000805606434 701399048 /nfs/dbraw/zinc/39/90/48/701399048.db2.gz IOGAUKARQSVHNK-GFCCVEGCSA-N -1 1 304.281 1.296 20 0 DDADMM O=C(COCc1ccccn1)OCCC[N-]C(=O)C(F)(F)F ZINC000805693514 701405963 /nfs/dbraw/zinc/40/59/63/701405963.db2.gz XZWGPSQKEMRBRQ-UHFFFAOYSA-N -1 1 320.267 1.210 20 0 DDADMM CC(C)CS(=O)(=O)[N-]C(=O)[C@@]1(F)CCN(C(C)(C)C)C1 ZINC000810561167 701789698 /nfs/dbraw/zinc/78/96/98/701789698.db2.gz SZNJYDROHYCXQL-CYBMUJFWSA-N -1 1 308.419 1.301 20 0 DDADMM O=C(Nc1csc(-c2ccccc2)n1)NN1CC(=O)[N-]C1=O ZINC000806731006 701447200 /nfs/dbraw/zinc/44/72/00/701447200.db2.gz CVMWLZLFVCPXPC-UHFFFAOYSA-N -1 1 317.330 1.398 20 0 DDADMM NC(=O)c1ccc(C(=O)[N-]c2nc(C3CC3)no2)nc1Cl ZINC000806872681 701451821 /nfs/dbraw/zinc/45/18/21/701451821.db2.gz RHZBECXLGSKQJK-UHFFFAOYSA-N -1 1 307.697 1.347 20 0 DDADMM CCc1cccc(NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000806961569 701456805 /nfs/dbraw/zinc/45/68/05/701456805.db2.gz QPCAYNLCLRNNMG-UHFFFAOYSA-N -1 1 323.356 1.481 20 0 DDADMM CO[C@@H](C)CC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807706784 701484433 /nfs/dbraw/zinc/48/44/33/701484433.db2.gz ZREDMOGLUOWFNQ-GMOBBJLQSA-N -1 1 324.343 1.715 20 0 DDADMM O=C(OCCN1CCNC1=O)c1ccc(Cl)c(Cl)c1[O-] ZINC000808515144 701521330 /nfs/dbraw/zinc/52/13/30/701521330.db2.gz BQTQPPJQISIERM-UHFFFAOYSA-N -1 1 319.144 1.881 20 0 DDADMM C[Si](C)(C)CCCC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830953740 706609865 /nfs/dbraw/zinc/60/98/65/706609865.db2.gz YNCBRULNKQQXIS-UHFFFAOYSA-N -1 1 323.469 1.990 20 0 DDADMM CC(=CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1ccco1 ZINC000830953706 706610069 /nfs/dbraw/zinc/61/00/69/706610069.db2.gz YBRLECFBDLXEML-YFHOEESVSA-N -1 1 315.329 1.568 20 0 DDADMM COC(=O)c1ccn(CCCC(=O)[N-]OCC(F)(F)F)n1 ZINC000879193955 706611826 /nfs/dbraw/zinc/61/18/26/706611826.db2.gz IHSRUJFVUYBTDT-UHFFFAOYSA-N -1 1 309.244 1.060 20 0 DDADMM CCc1ccsc1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830965926 706612941 /nfs/dbraw/zinc/61/29/41/706612941.db2.gz KPRAWMQQSXYYTH-UHFFFAOYSA-N -1 1 319.386 1.809 20 0 DDADMM CCCCCCO[C@@H](C)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000839709556 701791734 /nfs/dbraw/zinc/79/17/34/701791734.db2.gz JMJKINFQSXJNHE-QWHCGFSZSA-N -1 1 309.414 1.891 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)c2cc(C)on2)co1 ZINC000810792742 701850911 /nfs/dbraw/zinc/85/09/11/701850911.db2.gz SEVYIXBSVMZHCJ-QMMMGPOBSA-N -1 1 314.319 1.402 20 0 DDADMM C[C@]1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)C[C@H]2C[C@H]2C1 ZINC000815364471 701853466 /nfs/dbraw/zinc/85/34/66/701853466.db2.gz LWBIEFQHPUOZCM-LAQFHYBYSA-N -1 1 301.342 1.922 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000810900711 701870934 /nfs/dbraw/zinc/87/09/34/701870934.db2.gz JBVJNVZCIPTOFX-NXEZZACHSA-N -1 1 312.307 1.898 20 0 DDADMM CC[C@@H](C)CO[N-]C(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000815713720 701919318 /nfs/dbraw/zinc/91/93/18/701919318.db2.gz BUNWLIQNJWCMMP-LLVKDONJSA-N -1 1 317.349 1.300 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@H]1CCc2nnc(C3CC3)n2C1 ZINC000815829367 701944546 /nfs/dbraw/zinc/94/45/46/701944546.db2.gz RKAPGIAEMILLEF-QMMMGPOBSA-N -1 1 304.272 1.328 20 0 DDADMM Cn1[n-]c(COC(=O)CCCc2ccccc2Cl)nc1=O ZINC000811350404 701967835 /nfs/dbraw/zinc/96/78/35/701967835.db2.gz OSDQNZBBHIETMP-UHFFFAOYSA-N -1 1 309.753 1.828 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@H]2CSC[C@H]2C1 ZINC000866152647 706633749 /nfs/dbraw/zinc/63/37/49/706633749.db2.gz ZQYZZIZIWKOUTC-WDEREUQCSA-N -1 1 315.398 1.748 20 0 DDADMM COc1cc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)ncn1 ZINC000811856682 702072522 /nfs/dbraw/zinc/07/25/22/702072522.db2.gz SZIHYJUKZROJAN-VIFPVBQESA-N -1 1 318.299 1.380 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CCCC2(F)F)co1 ZINC000816395819 702081510 /nfs/dbraw/zinc/08/15/10/702081510.db2.gz YJORGOXGFHYHQL-VIFPVBQESA-N -1 1 323.317 1.780 20 0 DDADMM CC[C@H]1CCC[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868394396 702106048 /nfs/dbraw/zinc/10/60/48/702106048.db2.gz SUDWFFBZFSNDPZ-CMPLNLGQSA-N -1 1 320.393 1.633 20 0 DDADMM C[C@H]1CC[C@@H](CCC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)O1 ZINC000831140499 706642659 /nfs/dbraw/zinc/64/26/59/706642659.db2.gz YOONDFQPABPRJA-ONGXEEELSA-N -1 1 322.327 1.471 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OC/C=C\Cl)N1C[C@@H](C)O[C@@H](C)C1 ZINC000812398542 702174604 /nfs/dbraw/zinc/17/46/04/702174604.db2.gz SRZUGCQYEQZAHK-HXLASYRJSA-N -1 1 304.818 1.921 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@@H]1CC12CCCC2 ZINC000868541693 702187268 /nfs/dbraw/zinc/18/72/68/702187268.db2.gz WIQNTGWETPXEFS-GFCCVEGCSA-N -1 1 318.377 1.387 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H](CC(C)C)C(N)=O)c1 ZINC000840680839 702202242 /nfs/dbraw/zinc/20/22/42/702202242.db2.gz JRICAQWHWNHQQI-CQSZACIVSA-N -1 1 321.373 1.828 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000812807166 702244612 /nfs/dbraw/zinc/24/46/12/702244612.db2.gz ZTGFHADDNIFHFB-GFCCVEGCSA-N -1 1 324.352 1.651 20 0 DDADMM CC/C=C\CNC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868667942 702254570 /nfs/dbraw/zinc/25/45/70/702254570.db2.gz XNNQZAQWWHUPMK-RXNFCKPNSA-N -1 1 307.316 1.805 20 0 DDADMM CCCn1cc([N-]S(=O)(=O)C2(CC(=O)OCC)CC2)cn1 ZINC000840915364 702277876 /nfs/dbraw/zinc/27/78/76/702277876.db2.gz GXILXSAZGNAHMK-UHFFFAOYSA-N -1 1 315.395 1.521 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2=C(C)C(C)(C)OC2=O)n1 ZINC000841222256 702393143 /nfs/dbraw/zinc/39/31/43/702393143.db2.gz SVERALPRTKOGRF-UHFFFAOYSA-N -1 1 307.306 1.177 20 0 DDADMM C[C@@H](CC1CCC1)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868984091 702415540 /nfs/dbraw/zinc/41/55/40/702415540.db2.gz QJUALZUFTRFMKK-JTQLQIEISA-N -1 1 320.393 1.633 20 0 DDADMM C[C@@H](O)C(=O)N1c2ccccc2C[C@H]1C[N-]C(=O)C(F)(F)F ZINC000817675652 702447243 /nfs/dbraw/zinc/44/72/43/702447243.db2.gz TWZGQZFVRNASNF-SCZZXKLOSA-N -1 1 316.279 1.004 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)CC12CCC(CC1)C2 ZINC000841533655 702483563 /nfs/dbraw/zinc/48/35/63/702483563.db2.gz GEKOJASVCZQLNF-CVZZAPKMSA-N -1 1 301.408 1.438 20 0 DDADMM Cc1ccccc1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869315488 702565931 /nfs/dbraw/zinc/56/59/31/702565931.db2.gz CTBSIXIBAAXVNX-UHFFFAOYSA-N -1 1 317.263 1.790 20 0 DDADMM CC[C@@H](C(=O)Nc1ccncc1[O-])N(C)C(=O)OC(C)(C)C ZINC000831346138 706690318 /nfs/dbraw/zinc/69/03/18/706690318.db2.gz GDCBJEYPISAQBQ-NSHDSACASA-N -1 1 309.366 1.793 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014958 702803953 /nfs/dbraw/zinc/80/39/53/702803953.db2.gz JGJJXBNQOBSOQY-NEPJUHHUSA-N -1 1 302.440 1.209 20 0 DDADMM CC[C@H](C)[C@H](C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016206 702803999 /nfs/dbraw/zinc/80/39/99/702803999.db2.gz REVDGNUNLBQPPL-RYUDHWBXSA-N -1 1 304.456 1.599 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2COc3ccccc3C2)sn1 ZINC000866434182 706698643 /nfs/dbraw/zinc/69/86/43/706698643.db2.gz PZQRTNXZJKNUJK-LLVKDONJSA-N -1 1 324.427 1.981 20 0 DDADMM CC(C)=CCC[C@H](C)CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000843792918 702924638 /nfs/dbraw/zinc/92/46/38/702924638.db2.gz XBWGNLMBULGYOP-QWHCGFSZSA-N -1 1 307.398 1.872 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1ccc(C)o1 ZINC000866489241 706711977 /nfs/dbraw/zinc/71/19/77/706711977.db2.gz HFTOHUWNQRTWQW-UHFFFAOYSA-N -1 1 308.425 1.473 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)Oc1cccc2c1OCCC2 ZINC000844012752 702954795 /nfs/dbraw/zinc/95/47/95/702954795.db2.gz OWKYBQFRLHRUQV-UHFFFAOYSA-N -1 1 318.285 1.441 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCOC[C@@H]1C1CCC1 ZINC000844036304 702960781 /nfs/dbraw/zinc/96/07/81/702960781.db2.gz HQUOXNDVTBPXPO-GFCCVEGCSA-N -1 1 318.402 1.659 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cnn2ccccc12 ZINC000844144279 702977869 /nfs/dbraw/zinc/97/78/69/702977869.db2.gz KUHAVTFKGIJDBQ-UHFFFAOYSA-N -1 1 305.334 1.266 20 0 DDADMM CCc1ccc(C[N-]S(=O)(=O)N=[S@@](C)(=O)CC)s1 ZINC000866497867 706714206 /nfs/dbraw/zinc/71/42/06/706714206.db2.gz NHDRVYFPLFAREM-KRWDZBQOSA-N -1 1 310.466 1.763 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC(C4(O)CC4)CC3)ccnc1-2 ZINC000844651315 703051373 /nfs/dbraw/zinc/05/13/73/703051373.db2.gz XHLJZUYJWZHEST-UHFFFAOYSA-N -1 1 315.377 1.111 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)C(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000846715524 703323320 /nfs/dbraw/zinc/32/33/20/703323320.db2.gz PNSXNCKRRFDCIU-YUMQZZPRSA-N -1 1 312.288 1.011 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)NCC1CC1 ZINC000846761517 703327744 /nfs/dbraw/zinc/32/77/44/703327744.db2.gz BIJIDYQUQAXUKS-PSASIEDQSA-N -1 1 307.316 1.637 20 0 DDADMM COC[C@@H](C)C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866609583 706744190 /nfs/dbraw/zinc/74/41/90/706744190.db2.gz LDYHVMLAHIETFM-ZETCQYMHSA-N -1 1 313.206 1.949 20 0 DDADMM COC[C@H](CC(C)(C)C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000847178641 703384942 /nfs/dbraw/zinc/38/49/42/703384942.db2.gz RHFPYLZMUIUKGO-NSHDSACASA-N -1 1 319.409 1.915 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)c2cscn2)co1 ZINC000847815804 703471738 /nfs/dbraw/zinc/47/17/38/703471738.db2.gz QIRPENKHGLUMII-ZETCQYMHSA-N -1 1 316.360 1.562 20 0 DDADMM Cc1cc(OS(=O)(=O)c2cnc(C(C)C)[n-]2)ccc1C(N)=O ZINC000849464127 703651283 /nfs/dbraw/zinc/65/12/83/703651283.db2.gz QWGMVSHNXVOQKS-UHFFFAOYSA-N -1 1 323.374 1.708 20 0 DDADMM Cc1cc(OS(=O)(=O)c2c[n-]c(C(C)C)n2)ccc1C(N)=O ZINC000849464127 703651284 /nfs/dbraw/zinc/65/12/84/703651284.db2.gz QWGMVSHNXVOQKS-UHFFFAOYSA-N -1 1 323.374 1.708 20 0 DDADMM CC(C)c1cc(C(=O)N2CC3(CCC3)[C@@](F)(C(=O)[O-])C2)n[nH]1 ZINC000851611554 703815284 /nfs/dbraw/zinc/81/52/84/703815284.db2.gz PYGKOTVIOIQSIS-HNNXBMFYSA-N -1 1 309.341 1.952 20 0 DDADMM COCC1(CCNC(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000831748403 706769996 /nfs/dbraw/zinc/76/99/96/706769996.db2.gz ATAKACKGYLIOPJ-UHFFFAOYSA-N -1 1 303.366 1.280 20 0 DDADMM Cc1nc(CN2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)co1 ZINC000852060067 703914620 /nfs/dbraw/zinc/91/46/20/703914620.db2.gz BJRUDSLMNXOKTR-SNVBAGLBSA-N -1 1 305.300 1.874 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)N2CC[C@H](C(=O)[O-])C2)cc1OC ZINC000818599148 703934990 /nfs/dbraw/zinc/93/49/90/703934990.db2.gz RDASVIZUJHDUBO-MNOVXSKESA-N -1 1 322.361 1.437 20 0 DDADMM COc1ccc(OC)c(NC(=O)[C@@H](C)N2CC[C@@H](C(=O)[O-])C2)c1 ZINC000818606865 703938426 /nfs/dbraw/zinc/93/84/26/703938426.db2.gz MFAOCSRUCLPFNJ-GHMZBOCLSA-N -1 1 322.361 1.437 20 0 DDADMM O=C(c1cocn1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870160113 703938640 /nfs/dbraw/zinc/93/86/40/703938640.db2.gz JJQSCQIBMHAQPX-UHFFFAOYSA-N -1 1 321.764 1.992 20 0 DDADMM CCc1nn(C)c(CC)c1CN1CCC[C@]1(COC)C(=O)[O-] ZINC000818629739 703947080 /nfs/dbraw/zinc/94/70/80/703947080.db2.gz KXHNKKUIFJWAON-INIZCTEOSA-N -1 1 309.410 1.611 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763515 706784191 /nfs/dbraw/zinc/78/41/91/706784191.db2.gz ODUQQIFFBJSICW-ZANVPECISA-N -1 1 323.359 1.433 20 0 DDADMM C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000870447367 704039834 /nfs/dbraw/zinc/03/98/34/704039834.db2.gz RHTFAJBUSJMVIM-YVECIDJPSA-N -1 1 314.451 1.209 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1CC(=O)Nc1ccc(Cl)nc1 ZINC000852730898 704108508 /nfs/dbraw/zinc/10/85/08/704108508.db2.gz WFOHIAMAOHACBW-ZJUUUORDSA-N -1 1 311.769 1.859 20 0 DDADMM Cc1scc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)c1C ZINC000819620727 704151541 /nfs/dbraw/zinc/15/15/41/704151541.db2.gz SAZBMAIWYNRTRM-UHFFFAOYSA-N -1 1 307.379 1.002 20 0 DDADMM C[C@@H](C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cnn(C)c1 ZINC000819865330 704178759 /nfs/dbraw/zinc/17/87/59/704178759.db2.gz CNPPETLTSQHSLI-MRVPVSSYSA-N -1 1 313.321 1.048 20 0 DDADMM CC[S@@](=O)CC[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000819867186 704178952 /nfs/dbraw/zinc/17/89/52/704178952.db2.gz CZYKJKGFVPSZBE-GOSISDBHSA-N -1 1 311.375 1.320 20 0 DDADMM C[C@@H]1CCN(C(=S)NC2CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000853051032 704189178 /nfs/dbraw/zinc/18/91/78/704189178.db2.gz DJLBGNOSUDWITJ-APPZFPTMSA-N -1 1 309.357 1.412 20 0 DDADMM O=C(N[C@@H](C1CCC1)[C@H]1CCOC1)C(=O)c1ccc([O-])cc1 ZINC000871305079 704262973 /nfs/dbraw/zinc/26/29/73/704262973.db2.gz ATAPAWHRIYXYAI-ZFWWWQNUSA-N -1 1 303.358 1.896 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H]2CCC(C)(C)C2)co1 ZINC000820463136 704283375 /nfs/dbraw/zinc/28/33/75/704283375.db2.gz PQTHFIYYSMERLZ-JTQLQIEISA-N -1 1 301.364 1.923 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCCc3nc(C)ncc32)sn1 ZINC000866800166 706805946 /nfs/dbraw/zinc/80/59/46/706805946.db2.gz ZJVKXESQWVXBCS-GFCCVEGCSA-N -1 1 324.431 1.906 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cc(F)c(F)cc1F ZINC000821177775 704379010 /nfs/dbraw/zinc/37/90/10/704379010.db2.gz KESNKIBHXZYKDY-UHFFFAOYSA-N -1 1 302.299 1.488 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N[C@@H]1C=CCC1 ZINC000855424592 704484963 /nfs/dbraw/zinc/48/49/63/704484963.db2.gz NBYLWCFAQBAWAR-CYBMUJFWSA-N -1 1 310.357 1.930 20 0 DDADMM CN(C)C(=O)OCCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000855648523 704495043 /nfs/dbraw/zinc/49/50/43/704495043.db2.gz SSZXBTHINITLMP-UHFFFAOYSA-N -1 1 320.267 1.839 20 0 DDADMM COC(=O)N(C)CCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000855939076 704507904 /nfs/dbraw/zinc/50/79/04/704507904.db2.gz AMPCRUXXOZCQHT-UHFFFAOYSA-N -1 1 324.381 1.216 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@@]1(C)CO ZINC000856252360 704520051 /nfs/dbraw/zinc/52/00/51/704520051.db2.gz XXEXYOVWJOEQQI-OTYXRUKQSA-N -1 1 306.391 1.109 20 0 DDADMM CCCCC[C@@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000856270737 704521016 /nfs/dbraw/zinc/52/10/16/704521016.db2.gz QZJHBHGSJDHZEO-CQSZACIVSA-N -1 1 321.421 1.888 20 0 DDADMM O=C(C(=O)N1CCC(N2CCOC2=O)CC1)c1ccc([O-])cc1 ZINC000856291609 704521838 /nfs/dbraw/zinc/52/18/38/704521838.db2.gz XSBJRSDUJBVKOG-UHFFFAOYSA-N -1 1 318.329 1.018 20 0 DDADMM Cc1cc[nH]c1C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856416595 704527934 /nfs/dbraw/zinc/52/79/34/704527934.db2.gz SDEJOKNFZQPKBN-SCZZXKLOSA-N -1 1 317.311 1.852 20 0 DDADMM COC/C=C/C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856416555 704527939 /nfs/dbraw/zinc/52/79/39/704527939.db2.gz QLMMEFCMBSMQFI-OKWQPMOJSA-N -1 1 308.300 1.105 20 0 DDADMM CCO[C@H]1C[C@@H]1C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418553 704528231 /nfs/dbraw/zinc/52/82/31/704528231.db2.gz FPKYBTUUVLZQCR-RCWTZXSCSA-N -1 1 322.327 1.327 20 0 DDADMM CC(C)(CO)O[N-]C(=O)CCc1nnc(-c2ccccc2)o1 ZINC000857288443 704574883 /nfs/dbraw/zinc/57/48/83/704574883.db2.gz XLPUXNLKVHOWSS-UHFFFAOYSA-N -1 1 305.334 1.488 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3c3ccccc3)nc2n1 ZINC000857621601 704608373 /nfs/dbraw/zinc/60/83/73/704608373.db2.gz HMRDLJZDXCXIPR-NWDGAFQWSA-N -1 1 309.329 1.468 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1Cc2cnn(C)c2C1 ZINC000857881609 704636801 /nfs/dbraw/zinc/63/68/01/704636801.db2.gz YQUFZOQTBGIQQM-UHFFFAOYSA-N -1 1 301.350 1.504 20 0 DDADMM COC[C@@]1(C(=O)[O-])CC[N@@H+](CCOc2ccccc2C(=O)[O-])C1 ZINC000873644359 704815299 /nfs/dbraw/zinc/81/52/99/704815299.db2.gz WJGLEIZFLHEOJM-MRXNPFEDSA-N -1 1 323.345 1.187 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2ccc(C)nc2Cl)n1 ZINC000859252483 704835946 /nfs/dbraw/zinc/83/59/46/704835946.db2.gz RBRHZMXDLVXRRN-UHFFFAOYSA-N -1 1 315.786 1.133 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1cccc2ncccc12)c1nn[n-]n1 ZINC000821893142 704836962 /nfs/dbraw/zinc/83/69/62/704836962.db2.gz DGIIVXSYVOVKGW-LLVKDONJSA-N -1 1 310.361 1.553 20 0 DDADMM COC(=O)C1=CC[C@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000859286933 704849513 /nfs/dbraw/zinc/84/95/13/704849513.db2.gz JEWDFERQPYIJMX-VIFPVBQESA-N -1 1 317.313 1.505 20 0 DDADMM CCC(C)(C)CCS(=O)(=O)[N-][C@@](CC)(COC)C(=O)OC ZINC000867377698 706980280 /nfs/dbraw/zinc/98/02/80/706980280.db2.gz MHROYWKYTLCFRF-AWEZNQCLSA-N -1 1 323.455 1.700 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CCCN1Cc1ccc(Cl)cc1 ZINC000859887561 705030491 /nfs/dbraw/zinc/03/04/91/705030491.db2.gz GQYIVQFXVFCUHR-CQSZACIVSA-N -1 1 312.797 1.999 20 0 DDADMM O=C([O-])c1ccccc1CNC(=O)N1CCN(C2CCC2)CC1 ZINC000874410331 705046606 /nfs/dbraw/zinc/04/66/06/705046606.db2.gz QCWSMKSUAHIHBT-UHFFFAOYSA-N -1 1 317.389 1.765 20 0 DDADMM CC(C)CN1CCN(C(=O)NCc2ccccc2C(=O)[O-])CC1 ZINC000874409754 705046624 /nfs/dbraw/zinc/04/66/24/705046624.db2.gz YBCVKDIPODLEEQ-UHFFFAOYSA-N -1 1 319.405 1.868 20 0 DDADMM COC(=O)CC[C@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000874531802 705096902 /nfs/dbraw/zinc/09/69/02/705096902.db2.gz KEOUFDPXSPJTBY-GFCCVEGCSA-N -1 1 306.362 1.901 20 0 DDADMM Cc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c2c1CCC2 ZINC000823190257 705167100 /nfs/dbraw/zinc/16/71/00/705167100.db2.gz FGEJOOVCBGKDHI-AWEZNQCLSA-N -1 1 313.361 1.211 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC[C@H]3CCCOC3)ccnc1-2 ZINC000861026301 705352269 /nfs/dbraw/zinc/35/22/69/705352269.db2.gz KJJQOHCLGMXRDQ-LLVKDONJSA-N -1 1 303.366 1.280 20 0 DDADMM O=C([N-]CC1CN(C(=O)N[C@@H]2C[C@H]2C2CC2)C1)C(F)(F)F ZINC000875552683 705427464 /nfs/dbraw/zinc/42/74/64/705427464.db2.gz RAQKIJBMEFRIQJ-VHSXEESVSA-N -1 1 305.300 1.105 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC(C1CCC1)C1CCC1 ZINC000862398769 705709655 /nfs/dbraw/zinc/70/96/55/705709655.db2.gz FKKPVXNCBFEFSW-UHFFFAOYSA-N -1 1 319.405 1.259 20 0 DDADMM Cc1onc(CC(=O)N2CCCC[C@@H]2C(C)C)c1-c1nnn[n-]1 ZINC000826344310 705794018 /nfs/dbraw/zinc/79/40/18/705794018.db2.gz DBNQSZMWJAZEHL-GFCCVEGCSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N2CCCC[C@@H]2C(C)C)c1-c1nn[n-]n1 ZINC000826344310 705794019 /nfs/dbraw/zinc/79/40/19/705794019.db2.gz DBNQSZMWJAZEHL-GFCCVEGCSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2C[C@@H]2c2ccccc2)c1-c1nnn[n-]1 ZINC000826346293 705794728 /nfs/dbraw/zinc/79/47/28/705794728.db2.gz INQZYESWQBUKDD-VXGBXAGGSA-N -1 1 324.344 1.378 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2C[C@@H]2c2ccccc2)c1-c1nn[n-]n1 ZINC000826346293 705794731 /nfs/dbraw/zinc/79/47/31/705794731.db2.gz INQZYESWQBUKDD-VXGBXAGGSA-N -1 1 324.344 1.378 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@H]1CCCCS1 ZINC000826451252 705801091 /nfs/dbraw/zinc/80/10/91/705801091.db2.gz XRDWDWLPRGVATF-LLVKDONJSA-N -1 1 305.363 1.797 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)[C@@H]1CCCCS1 ZINC000826467427 705803191 /nfs/dbraw/zinc/80/31/91/705803191.db2.gz VWDXXYIWRBRLJB-NSHDSACASA-N -1 1 305.363 1.591 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)[C@@H]1CCCCS1 ZINC000826467427 705803194 /nfs/dbraw/zinc/80/31/94/705803194.db2.gz VWDXXYIWRBRLJB-NSHDSACASA-N -1 1 305.363 1.591 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1CCOc1ccc(Cl)cc1 ZINC000826501151 705805061 /nfs/dbraw/zinc/80/50/61/705805061.db2.gz BVJZEWLHTGQABI-UHFFFAOYSA-N -1 1 317.736 1.761 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@H](OC)C(C)C ZINC000827320705 705975073 /nfs/dbraw/zinc/97/50/73/705975073.db2.gz NLQBVXKDRHWVFV-STQMWFEESA-N -1 1 321.439 1.309 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)C(C)(C)C ZINC000827331588 705977602 /nfs/dbraw/zinc/97/76/02/705977602.db2.gz DYNZFFMSPWHORR-VXGBXAGGSA-N -1 1 321.439 1.309 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@](C)(C(=O)[O-])c1ccccc1 ZINC000864115806 706071240 /nfs/dbraw/zinc/07/12/40/706071240.db2.gz YUACTROULAHTCM-ZBEGNZNMSA-N -1 1 301.346 1.705 20 0 DDADMM COc1csc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1 ZINC000864158658 706084108 /nfs/dbraw/zinc/08/41/08/706084108.db2.gz FGBUJNMOULVDFL-UHFFFAOYSA-N -1 1 312.391 1.378 20 0 DDADMM CC(C)OCCCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000828241293 706145391 /nfs/dbraw/zinc/14/53/91/706145391.db2.gz KVPKZOCYOJELJR-UHFFFAOYSA-N -1 1 308.407 1.953 20 0 DDADMM CCC(C)(C)CCS(=O)(=O)N1CCCN(CC(=O)[O-])CC1 ZINC000864434857 706168724 /nfs/dbraw/zinc/16/87/24/706168724.db2.gz UJPDCMZDBFLUTQ-UHFFFAOYSA-N -1 1 320.455 1.235 20 0 DDADMM CC(C)(C)n1cc(CN2CC(C[N-]C(=O)C(F)(F)F)C2)cn1 ZINC000877778563 706208062 /nfs/dbraw/zinc/20/80/62/706208062.db2.gz QQUQADCWWXQVHS-UHFFFAOYSA-N -1 1 318.343 1.748 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@H](c1cccnc1)C1CCCCC1 ZINC000864896234 706295332 /nfs/dbraw/zinc/29/53/32/706295332.db2.gz FHORSCYUOJSYEM-AWEZNQCLSA-N -1 1 316.361 1.329 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@H](Cc1cccnc1)C1CCCC1 ZINC000864896395 706295405 /nfs/dbraw/zinc/29/54/05/706295405.db2.gz GUAXVDLDBHIQOF-CYBMUJFWSA-N -1 1 316.361 1.014 20 0 DDADMM CC[C@@H](C)NC(=S)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000865275039 706394184 /nfs/dbraw/zinc/39/41/84/706394184.db2.gz HLUFKYIXTJOTLK-LDYMZIIASA-N -1 1 311.373 1.802 20 0 DDADMM COCC(C)(C)CCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000872546516 707471283 /nfs/dbraw/zinc/47/12/83/707471283.db2.gz QDHRCHQIZGCKQV-UHFFFAOYSA-N -1 1 317.407 1.742 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CC(C)(C)CO2)sc1C ZINC000872548467 707472724 /nfs/dbraw/zinc/47/27/24/707472724.db2.gz JTAKCNKOQMEFTP-SNVBAGLBSA-N -1 1 304.437 1.853 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]CC(=O)OC(C)C)c1ccccc1 ZINC000830394963 706508660 /nfs/dbraw/zinc/50/86/60/706508660.db2.gz AKCAEODHBZROLK-ZDUSSCGKSA-N -1 1 315.391 1.245 20 0 DDADMM CCn1nc(C)c(C(=O)[N-]c2nnc([C@@H]3CCCO3)s2)n1 ZINC000830430156 706516620 /nfs/dbraw/zinc/51/66/20/706516620.db2.gz HUBLHHZACDTJRQ-QMMMGPOBSA-N -1 1 308.367 1.562 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCCOCC2)C1 ZINC000830802213 706582730 /nfs/dbraw/zinc/58/27/30/706582730.db2.gz RFVHJQOFHDLHRX-GWCFXTLKSA-N -1 1 322.327 1.473 20 0 DDADMM CSC1(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000830807622 706583317 /nfs/dbraw/zinc/58/33/17/706583317.db2.gz NMLPOQHRRHWRAX-JTQLQIEISA-N -1 1 310.341 1.552 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2C[C@]23CCOC3)C1 ZINC000830807812 706583613 /nfs/dbraw/zinc/58/36/13/706583613.db2.gz QYDPIPBIBKMZRQ-ICCXJUOJSA-N -1 1 320.311 1.083 20 0 DDADMM CCO[C@@H]1C[C@@H]1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830826418 706587866 /nfs/dbraw/zinc/58/78/66/706587866.db2.gz CEIMXVBANIYEKM-YGOYTEALSA-N -1 1 308.300 1.081 20 0 DDADMM Cn1cccc1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830831997 706588794 /nfs/dbraw/zinc/58/87/94/706588794.db2.gz AQKPFPLOZAZVSN-LBPRGKRZSA-N -1 1 303.284 1.308 20 0 DDADMM CO[C@]1(C)C[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1(C)C ZINC000866905375 706841132 /nfs/dbraw/zinc/84/11/32/706841132.db2.gz XCXKRVRMGJUSCE-CMPLNLGQSA-N -1 1 324.468 1.286 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)N=S2(=O)CCCC2)CCCC[C@@H]1O ZINC000866915973 706843699 /nfs/dbraw/zinc/84/36/99/706843699.db2.gz OLPKVVFMHZXZEH-NWDGAFQWSA-N -1 1 324.468 1.024 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)N=S2(=O)CCCC2)CCCC[C@H]1O ZINC000866915971 706843871 /nfs/dbraw/zinc/84/38/71/706843871.db2.gz OLPKVVFMHZXZEH-NEPJUHHUSA-N -1 1 324.468 1.024 20 0 DDADMM CO[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1(C)C ZINC000866938368 706850516 /nfs/dbraw/zinc/85/05/16/706850516.db2.gz SEWGZNJXGDUFQM-DTWKUNHWSA-N -1 1 306.334 1.452 20 0 DDADMM O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)c1ccc2cncn2c1 ZINC000908984328 712908680 /nfs/dbraw/zinc/90/86/80/712908680.db2.gz RMAHVGGNUSHQJT-AWEZNQCLSA-N -1 1 317.345 1.430 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCOC2(CCCC2)C1 ZINC000866983027 706864053 /nfs/dbraw/zinc/86/40/53/706864053.db2.gz CJUVZJBDBFLIHV-JEOXALJRSA-N -1 1 324.468 1.430 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCOC[C@@H](C)C2)c1 ZINC000867008444 706870725 /nfs/dbraw/zinc/87/07/25/706870725.db2.gz ICDCQEZLSWGCPD-JTQLQIEISA-N -1 1 301.364 1.058 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CCSCC(C)C ZINC000867016287 706872780 /nfs/dbraw/zinc/87/27/80/706872780.db2.gz RGMXEPPDPMJFQH-MRXNPFEDSA-N -1 1 302.487 1.328 20 0 DDADMM COc1cc2c(cc1OC)[C@@H](C)N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000880090477 706876435 /nfs/dbraw/zinc/87/64/35/706876435.db2.gz RYLFLVILSKUDDJ-SNVBAGLBSA-N -1 1 318.377 1.245 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@H]2c2ncc[nH]2)c1 ZINC000867076754 706890372 /nfs/dbraw/zinc/89/03/72/706890372.db2.gz WCKKSPGWROFLAE-LLVKDONJSA-N -1 1 323.374 1.650 20 0 DDADMM COCCC(C)(C)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867106466 706897418 /nfs/dbraw/zinc/89/74/18/706897418.db2.gz GJOYPKSEQWAFEA-UHFFFAOYSA-N -1 1 308.350 1.701 20 0 DDADMM CCC[C@]1(C(=O)[O-])CCCN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000909020964 712918740 /nfs/dbraw/zinc/91/87/40/712918740.db2.gz SLZTXAYVJPNVHH-MEDUHNTESA-N -1 1 320.393 1.696 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CC(C)(C)F)c(Br)n1 ZINC000867576338 707044945 /nfs/dbraw/zinc/04/49/45/707044945.db2.gz JIGUTFFHWMMQLL-UHFFFAOYSA-N -1 1 314.180 1.209 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NC2CSC2)o1 ZINC000880737157 707063157 /nfs/dbraw/zinc/06/31/57/707063157.db2.gz BDRAJKRIAKXPQG-UHFFFAOYSA-N -1 1 318.420 1.202 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C(C)(C)[C@H]1CCCCO1 ZINC000867682461 707073258 /nfs/dbraw/zinc/07/32/58/707073258.db2.gz KKZCDRDKEVEZOP-MGNBDDOMSA-N -1 1 312.457 1.286 20 0 DDADMM CCc1cc2c(Cn3cc(C(C)=O)nn3)cc(=O)oc2cc1[O-] ZINC000881023220 707108998 /nfs/dbraw/zinc/10/89/98/707108998.db2.gz IXHQOLYSPQTOBM-UHFFFAOYSA-N -1 1 313.313 1.903 20 0 DDADMM CC(=O)N1CC[C@](C)(C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000834829360 707132584 /nfs/dbraw/zinc/13/25/84/707132584.db2.gz UXVBAFGSBLXUSV-HNNXBMFYSA-N -1 1 310.781 1.920 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N[C@H]1CCCCNC1=O ZINC000871626741 707143905 /nfs/dbraw/zinc/14/39/05/707143905.db2.gz SVOYYJWZMBKKPL-NSHDSACASA-N -1 1 311.769 1.514 20 0 DDADMM CCc1nc(CO[N-]C(=O)CCc2ccc(OC)cc2)no1 ZINC000871798568 707208749 /nfs/dbraw/zinc/20/87/49/707208749.db2.gz ZNGDNIYCOGKHCY-UHFFFAOYSA-N -1 1 305.334 1.821 20 0 DDADMM O=C1[C@@H]2[C@@H](O)CCCN2C(=O)N1Cc1ccc([O-])c(Cl)c1 ZINC000871912082 707242948 /nfs/dbraw/zinc/24/29/48/707242948.db2.gz PSPKPOVERHHLBG-RYUDHWBXSA-N -1 1 310.737 1.333 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)CO1)c1ncccc1[O-] ZINC000881551436 707291076 /nfs/dbraw/zinc/29/10/76/707291076.db2.gz PEHSDNNKNZXIMD-LBPRGKRZSA-N -1 1 306.362 1.493 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccoc1)C(=O)c1ccc([O-])cc1 ZINC000881718635 707333801 /nfs/dbraw/zinc/33/38/01/707333801.db2.gz KXKKWEGMNWRXAP-GFCCVEGCSA-N -1 1 303.314 1.135 20 0 DDADMM Cc1ccc(C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)cn1 ZINC000872438691 707410805 /nfs/dbraw/zinc/41/08/05/707410805.db2.gz ZNOILQBPZCKKOK-IBGZPJMESA-N -1 1 319.452 1.621 20 0 DDADMM CC(C)[C@@H](CCO)[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872458231 707422505 /nfs/dbraw/zinc/42/25/05/707422505.db2.gz WFKFPVUZCAJEAL-MGNBDDOMSA-N -1 1 314.473 1.124 20 0 DDADMM CC(C)C[C@H](NC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000909166040 712951839 /nfs/dbraw/zinc/95/18/39/712951839.db2.gz ALJIPJNSCXOHBH-ZDUSSCGKSA-N -1 1 304.350 1.633 20 0 DDADMM O=C([O-])[C@H]1CCCCCN1C(=O)NCc1ccc2cncn2c1 ZINC000909166959 712952062 /nfs/dbraw/zinc/95/20/62/712952062.db2.gz DEVHVIKJWFDPPI-CQSZACIVSA-N -1 1 316.361 1.873 20 0 DDADMM C[C@H](C(=O)[O-])N(C(=O)NCc1ccc2cncn2c1)C1CC1 ZINC000909169018 712952433 /nfs/dbraw/zinc/95/24/33/712952433.db2.gz HSPFDVQHBVBMFN-SNVBAGLBSA-N -1 1 302.334 1.481 20 0 DDADMM CO[C@H]1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1(C)C ZINC000836575427 707483188 /nfs/dbraw/zinc/48/31/88/707483188.db2.gz PWAZGCQGNZHFSW-ZDUSSCGKSA-N -1 1 317.393 1.621 20 0 DDADMM COC(=O)c1cnc(SCN(C)C(=O)OCC(C)C)[n-]1 ZINC000882156639 707520559 /nfs/dbraw/zinc/52/05/59/707520559.db2.gz RALGRJXRIGKAMH-UHFFFAOYSA-N -1 1 301.368 1.970 20 0 DDADMM COC(=O)c1c[n-]c(SCN(C)C(=O)OCC(C)C)n1 ZINC000882156639 707520562 /nfs/dbraw/zinc/52/05/62/707520562.db2.gz RALGRJXRIGKAMH-UHFFFAOYSA-N -1 1 301.368 1.970 20 0 DDADMM CCn1ccnc1[C@@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000836950719 707552992 /nfs/dbraw/zinc/55/29/92/707552992.db2.gz UHQWOSOKKBBDRD-SECBINFHSA-N -1 1 316.390 1.725 20 0 DDADMM CC1(C)CN(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C(=O)O1 ZINC000872751976 707584909 /nfs/dbraw/zinc/58/49/09/707584909.db2.gz BRTQDKDYUKOFSM-LBPRGKRZSA-N -1 1 323.315 1.318 20 0 DDADMM CC[C@H]([N-]C(=O)C(F)(F)c1c(F)cccc1F)C(=O)NC ZINC000837163501 707588034 /nfs/dbraw/zinc/58/80/34/707588034.db2.gz VTMDCJNRUIMFII-VIFPVBQESA-N -1 1 306.259 1.697 20 0 DDADMM CC1(C)[C@H](C(=O)[O-])[C@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000872931289 707659708 /nfs/dbraw/zinc/65/97/08/707659708.db2.gz ZYHMGJAPISPHCJ-RYUDHWBXSA-N -1 1 305.309 1.675 20 0 DDADMM C[C@H](Cc1cnn(C)c1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000909235514 712969846 /nfs/dbraw/zinc/96/98/46/712969846.db2.gz LLRGEPVFFKYUIY-SECBINFHSA-N -1 1 301.350 1.154 20 0 DDADMM O=S(=O)([N-]C[C@H]1C=CCC1)c1nc[nH]c1Br ZINC000882558007 707695330 /nfs/dbraw/zinc/69/53/30/707695330.db2.gz LJPMMYUEKJWLBI-ZETCQYMHSA-N -1 1 306.185 1.417 20 0 DDADMM Cc1cccc(C[C@@H](CNC(=O)Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000909245863 712972523 /nfs/dbraw/zinc/97/25/23/712972523.db2.gz IAJQYXXCIBIKFY-ZDUSSCGKSA-N -1 1 301.346 1.320 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)C2CCOCC2)[n-]1 ZINC000837739859 707733649 /nfs/dbraw/zinc/73/36/49/707733649.db2.gz DYXCZDPIHRMYBH-VIFPVBQESA-N -1 1 309.318 1.342 20 0 DDADMM CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2cc3ccccc3cc2[O-])C1 ZINC000882677781 707745227 /nfs/dbraw/zinc/74/52/27/707745227.db2.gz BPBKNIFBZGJBIB-JOCQHMNTSA-N -1 1 319.382 1.851 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)[C@H]1CCCOC1 ZINC000882760765 707776205 /nfs/dbraw/zinc/77/62/05/707776205.db2.gz LLWRFIZBLXMQJC-WDEREUQCSA-N -1 1 304.437 1.935 20 0 DDADMM CCN1CC[C@H]1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000882767435 707784562 /nfs/dbraw/zinc/78/45/62/707784562.db2.gz NSKIIZYKMRYFPA-QMMMGPOBSA-N -1 1 308.325 1.476 20 0 DDADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)CC1(C)CCC1 ZINC000921378072 713748934 /nfs/dbraw/zinc/74/89/34/713748934.db2.gz NFEMKJPICJEFHS-JTQLQIEISA-N -1 1 309.453 1.391 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2C[C@@H]3CC[C@H]2c2ccccc23)C1=O ZINC000883412598 707995030 /nfs/dbraw/zinc/99/50/30/707995030.db2.gz JBWFBFBSGJDEMU-TUKIKUTGSA-N -1 1 300.358 1.606 20 0 DDADMM CCc1nccc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000884511411 708319491 /nfs/dbraw/zinc/31/94/91/708319491.db2.gz FWDAAGVEFMBHRN-SNVBAGLBSA-N -1 1 316.327 1.934 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CC[C@@](F)(CO)C1 ZINC000897690407 708420404 /nfs/dbraw/zinc/42/04/04/708420404.db2.gz SPHYIPKGIJAXQR-INIZCTEOSA-N -1 1 305.305 1.798 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(CO)(C(F)(F)F)CC1 ZINC000885006357 708440276 /nfs/dbraw/zinc/44/02/76/708440276.db2.gz RXNIVLOHXDSHLY-UHFFFAOYSA-N -1 1 304.268 1.564 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC000885014835 708442988 /nfs/dbraw/zinc/44/29/88/708442988.db2.gz QDJVMSPQGZHAMQ-UWVGGRQHSA-N -1 1 317.436 1.068 20 0 DDADMM Cc1noc(C)c1C[C@@H](C)[N-]S(=O)(=O)c1ccns1 ZINC000885021044 708444975 /nfs/dbraw/zinc/44/49/75/708444975.db2.gz SWHLXHYMDKMDFH-SSDOTTSWSA-N -1 1 301.393 1.657 20 0 DDADMM COC[C@H](Cc1ccccc1)[N-]S(=O)(=O)c1ccns1 ZINC000885040016 708452611 /nfs/dbraw/zinc/45/26/11/708452611.db2.gz BYRJHNJBTLYIJR-LBPRGKRZSA-N -1 1 312.416 1.679 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC000885047227 708454638 /nfs/dbraw/zinc/45/46/38/708454638.db2.gz OEFJWBITGCQJQI-VOQFUICPSA-N -1 1 322.477 1.501 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccc(Cl)cc1 ZINC000912530860 713031357 /nfs/dbraw/zinc/03/13/57/713031357.db2.gz IHMJBLXTONXSFH-UHFFFAOYSA-N -1 1 316.722 1.617 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1ccns1)C1(CO)CCCC1 ZINC000885227511 708498227 /nfs/dbraw/zinc/49/82/27/708498227.db2.gz JJMNSCSSCDNMFK-LBPRGKRZSA-N -1 1 318.464 1.999 20 0 DDADMM CC1(C)CO[C@H](CC[N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000885677132 708595887 /nfs/dbraw/zinc/59/58/87/708595887.db2.gz PWQHBDRDJLCCQH-SECBINFHSA-N -1 1 320.361 1.843 20 0 DDADMM CN(C)[C@H](CC[N-]S(=O)(=O)c1cscn1)C(F)(F)F ZINC000921631325 713826342 /nfs/dbraw/zinc/82/63/42/713826342.db2.gz KBKMCEHDWNPOKZ-SSDOTTSWSA-N -1 1 317.358 1.304 20 0 DDADMM CN1CC[C@H](C(=O)NCCc2c(F)cc([O-])cc2F)CC1=O ZINC000886262917 708731451 /nfs/dbraw/zinc/73/14/51/708731451.db2.gz GCBFTMADMITKCL-VIFPVBQESA-N -1 1 312.316 1.198 20 0 DDADMM CCOC(=O)NCCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886263073 708731648 /nfs/dbraw/zinc/73/16/48/708731648.db2.gz LBNDILNZOJMHRH-UHFFFAOYSA-N -1 1 316.304 1.465 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H]1CCc2n[nH]cc2C1 ZINC000886266420 708732321 /nfs/dbraw/zinc/73/23/21/708732321.db2.gz LROPXUNIYDPXJM-VIFPVBQESA-N -1 1 321.327 1.857 20 0 DDADMM CC(=O)N1CCC[C@H]1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886269628 708733424 /nfs/dbraw/zinc/73/34/24/708733424.db2.gz BGYJHERYFOUOTQ-AWEZNQCLSA-N -1 1 312.316 1.340 20 0 DDADMM CN(C[C@@H](O)C1CC1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927785563 713055574 /nfs/dbraw/zinc/05/55/74/713055574.db2.gz LCFXXWGHNVVXTA-CQSZACIVSA-N -1 1 314.332 1.625 20 0 DDADMM CN(C[C@H]1C[C@@H](O)C1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927788682 713056623 /nfs/dbraw/zinc/05/66/23/713056623.db2.gz KPOMDQXBIUOCHZ-AOOOYVTPSA-N -1 1 314.332 1.625 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@]1(c2ccccc2)C[C@H](F)C1 ZINC000898754720 708855492 /nfs/dbraw/zinc/85/54/92/708855492.db2.gz JDHJGWHUBMZSPT-SXUIPJSXSA-N -1 1 317.320 1.574 20 0 DDADMM CC(C)(C(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccc(F)cc1 ZINC000898755037 708855633 /nfs/dbraw/zinc/85/56/33/708855633.db2.gz FLWVBKHBUOMCNV-UHFFFAOYSA-N -1 1 305.309 1.621 20 0 DDADMM O=C(CCc1cc(Cl)cs1)NCc1nc([O-])cc(=O)[nH]1 ZINC000898755942 708856406 /nfs/dbraw/zinc/85/64/06/708856406.db2.gz FTSKYOIQEFGEKV-UHFFFAOYSA-N -1 1 313.766 1.852 20 0 DDADMM C[C@@H]1C(=O)N([N-]C(=O)c2cc3c(s2)CC[C@@H](C)C3)C(=O)N1C ZINC000899026950 708957522 /nfs/dbraw/zinc/95/75/22/708957522.db2.gz TVCJRMSEABLLRX-RKDXNWHRSA-N -1 1 321.402 1.800 20 0 DDADMM CON(C)C(=O)[C@H](C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000899147645 709000663 /nfs/dbraw/zinc/00/06/63/709000663.db2.gz HHPSIPIFQZOTFR-VIFPVBQESA-N -1 1 318.329 1.389 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)C(=O)[C@H](N)Cc1cc2ccccc2o1 ZINC000887398179 709036235 /nfs/dbraw/zinc/03/62/35/709036235.db2.gz RFIHYGVGSXHHQR-CHWSQXEVSA-N -1 1 304.346 1.624 20 0 DDADMM COc1cc(C(=O)[O-])ccc1-n1[nH]c(C2CCOCC2)cc1=O ZINC000899351230 709065115 /nfs/dbraw/zinc/06/51/15/709065115.db2.gz DHHRAJUNRCFWMK-UHFFFAOYSA-N -1 1 318.329 1.913 20 0 DDADMM COC[C@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@@H]1CCCOC1 ZINC000899656569 709141183 /nfs/dbraw/zinc/14/11/83/709141183.db2.gz VMFLOHSEKBZCQS-OCCSQVGLSA-N -1 1 307.346 1.133 20 0 DDADMM Cc1noc(C2(NC(=O)c3ccc4n[n-]c(=S)n4c3)CC2)n1 ZINC000889245807 709467364 /nfs/dbraw/zinc/46/73/64/709467364.db2.gz CVAXVZMBNYKYEE-UHFFFAOYSA-N -1 1 316.346 1.129 20 0 DDADMM O=C([O-])C1(NC(=O)[C@@H]2CCc3[nH]cnc3C2)CCCCCC1 ZINC000909519958 709499487 /nfs/dbraw/zinc/49/94/87/709499487.db2.gz SVAGZRAXCSZMKH-LLVKDONJSA-N -1 1 305.378 1.808 20 0 DDADMM C[C@H](C(=O)[N-]OCCOc1ccccc1)N1CCSCC1 ZINC000889446900 709507168 /nfs/dbraw/zinc/50/71/68/709507168.db2.gz YRWXJORLFDGJFM-CYBMUJFWSA-N -1 1 310.419 1.551 20 0 DDADMM Cc1ccc2c(c1)[C@H](NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)CO2 ZINC000909551092 709512539 /nfs/dbraw/zinc/51/25/39/709512539.db2.gz DMKBOGHSGBJRKL-TZMCWYRMSA-N -1 1 318.373 1.341 20 0 DDADMM CN(OCc1ccccc1)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909560894 709516420 /nfs/dbraw/zinc/51/64/20/709516420.db2.gz TUIVKUXOSJEHTL-CQSZACIVSA-N -1 1 306.362 1.373 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](C)OCC(C)C)c1nn[n-]n1 ZINC000912859768 713109394 /nfs/dbraw/zinc/10/93/94/713109394.db2.gz BGQPWVSSRPTNGA-ZJUUUORDSA-N -1 1 301.416 1.171 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(SC)o1)c1nn[n-]n1 ZINC000912859748 713109665 /nfs/dbraw/zinc/10/96/65/713109665.db2.gz ASTGFXSTWMSFLD-ZETCQYMHSA-N -1 1 313.408 1.739 20 0 DDADMM COCCC1(C(=O)N[C@@H](CCSC)c2nn[n-]n2)CCC1 ZINC000912861669 713110651 /nfs/dbraw/zinc/11/06/51/713110651.db2.gz UHCOBLDPZFVBMQ-JTQLQIEISA-N -1 1 313.427 1.317 20 0 DDADMM CSCC[C@H](NC(=O)C(C)=Cc1ccco1)c1nn[n-]n1 ZINC000912863172 713111650 /nfs/dbraw/zinc/11/16/50/713111650.db2.gz NNVHEFNVCLKOLX-FBOQAHMBSA-N -1 1 307.379 1.807 20 0 DDADMM Nc1nc2c(c(N3C[C@@H](C(=O)[O-])[C@H](C4CC4)C3)n1)CCCC2 ZINC000900399613 709577932 /nfs/dbraw/zinc/57/79/32/709577932.db2.gz CGJDODMXXRJFMH-NWDGAFQWSA-N -1 1 302.378 1.485 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(C(=O)c2ccc3cncn3c2)C1 ZINC000909714282 709592949 /nfs/dbraw/zinc/59/29/49/709592949.db2.gz PCWZUPIKYZTPJU-MRXNPFEDSA-N -1 1 317.345 1.288 20 0 DDADMM CNC(=O)[C@H]1CCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900479612 709615798 /nfs/dbraw/zinc/61/57/98/709615798.db2.gz CJHAFTJMIYRHFU-LLVKDONJSA-N -1 1 317.320 1.430 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CC[C@H](C)OC)[n-]c1=O ZINC000889789331 709637115 /nfs/dbraw/zinc/63/71/15/709637115.db2.gz CQUSFISJNDWJLO-QWRGUYRKSA-N -1 1 309.366 1.669 20 0 DDADMM CNC(=O)CCN(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900536706 709641641 /nfs/dbraw/zinc/64/16/41/709641641.db2.gz AIQRBZULLUTSPN-UHFFFAOYSA-N -1 1 305.309 1.288 20 0 DDADMM C[C@@H](Sc1nncn1C)C(=O)Nc1cc([O-])c(F)cc1F ZINC000909976129 709715338 /nfs/dbraw/zinc/71/53/38/709715338.db2.gz YHAGDJOCUQOSJQ-ZCFIWIBFSA-N -1 1 314.317 1.918 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2ccc3cncn3c2)[C@@H](C(=O)[O-])C1 ZINC000909982036 709717442 /nfs/dbraw/zinc/71/74/42/709717442.db2.gz CSNHQMMXFMXKLN-CHWSQXEVSA-N -1 1 303.318 1.039 20 0 DDADMM Cn1nnc2c1C[C@@H](C(=O)Nc1cc([O-])c(F)cc1F)CC2 ZINC000909986942 709721430 /nfs/dbraw/zinc/72/14/30/709721430.db2.gz DIJASWTYISMYJZ-ZETCQYMHSA-N -1 1 308.288 1.543 20 0 DDADMM CN(C(=O)c1ccc(-c2nnc[nH]2)cc1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000909990620 709724598 /nfs/dbraw/zinc/72/45/98/709724598.db2.gz IQAVMXNFHJIQPL-TXEJJXNPSA-N -1 1 300.318 1.407 20 0 DDADMM CN(CC(=O)N[C@@H]1CCC[C@]1(C)C(=O)[O-])[C@@H]1CCSC1 ZINC000909994022 709726732 /nfs/dbraw/zinc/72/67/32/709726732.db2.gz TVGJZTZGIFTNTD-GYSYKLTISA-N -1 1 300.424 1.183 20 0 DDADMM O=C(NC[C@]12C[C@H]1COC21CCC1)c1cnc(C2CC2)[n-]c1=O ZINC000890164066 709774776 /nfs/dbraw/zinc/77/47/76/709774776.db2.gz FKOHQWMOVDPEPX-MEDUHNTESA-N -1 1 315.373 1.749 20 0 DDADMM O=C([O-])[C@H](F)C1CN(C(=O)c2cc(-c3ccc(F)cc3)[nH]n2)C1 ZINC000910137742 709787880 /nfs/dbraw/zinc/78/78/80/709787880.db2.gz ZIOQGEGXQYSQSJ-CYBMUJFWSA-N -1 1 321.283 1.711 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C=C2CCSCC2)CC1 ZINC000910172425 709797216 /nfs/dbraw/zinc/79/72/16/709797216.db2.gz BRLREUVFOAYLRV-LBPRGKRZSA-N -1 1 312.435 1.447 20 0 DDADMM CO[C@@H](CC(C)C)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910177002 709798562 /nfs/dbraw/zinc/79/85/62/709798562.db2.gz GSFLLINOMKMGAG-LBPRGKRZSA-N -1 1 300.399 1.055 20 0 DDADMM CSc1ccc(CCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000910205913 709813542 /nfs/dbraw/zinc/81/35/42/709813542.db2.gz OTTQPWOGLVGTLF-UHFFFAOYSA-N -1 1 307.375 1.506 20 0 DDADMM CN1CCC[C@H]1C(=O)N1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000910208757 709815928 /nfs/dbraw/zinc/81/59/28/709815928.db2.gz ZMFSJTBEVMUBRD-AWEZNQCLSA-N -1 1 306.337 1.503 20 0 DDADMM C[C@](O)(CNC(=O)c1cnc(C2CC2)[n-]c1=O)c1cccs1 ZINC000900900554 709818550 /nfs/dbraw/zinc/81/85/50/709818550.db2.gz LGLAVDWOXZCHPH-HNNXBMFYSA-N -1 1 319.386 1.759 20 0 DDADMM C[C@@H]1CN(C(=O)c2cnc(-c3ccccc3)[nH]2)C[C@@H](C(=O)[O-])O1 ZINC000910226225 709825634 /nfs/dbraw/zinc/82/56/34/709825634.db2.gz BATITMYKNVQGJJ-MFKMUULPSA-N -1 1 315.329 1.391 20 0 DDADMM CO[C@@H]1CN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)[C@](C)(C(=O)[O-])C1 ZINC000910262756 709847070 /nfs/dbraw/zinc/84/70/70/709847070.db2.gz DTFKXBIHDLGIHR-WKCLZBRGSA-N -1 1 321.377 1.166 20 0 DDADMM CC(C)C[C@H](CCO)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901056508 709904011 /nfs/dbraw/zinc/90/40/11/709904011.db2.gz MKWKVTWLUPCVBX-NSHDSACASA-N -1 1 307.394 1.834 20 0 DDADMM Cc1nc(N2CCC[C@@H](N3CCOCC3)CC2)ccc1C(=O)[O-] ZINC000910362743 709909706 /nfs/dbraw/zinc/90/97/06/709909706.db2.gz NMCCWOQTIBXDSE-CQSZACIVSA-N -1 1 319.405 1.779 20 0 DDADMM Cc1ccccc1[C@@H](O)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901072654 709913705 /nfs/dbraw/zinc/91/37/05/709913705.db2.gz JCJJMDZTNJOIKI-AWEZNQCLSA-N -1 1 313.357 1.831 20 0 DDADMM O=C(N[C@@H](CCO)c1cccs1)c1cnc(C2CC2)[n-]c1=O ZINC000901093882 709922403 /nfs/dbraw/zinc/92/24/03/709922403.db2.gz AOZIZPCUGJLBLJ-NSHDSACASA-N -1 1 319.386 1.975 20 0 DDADMM Cc1cn2nc(N3CCN(CCC(=O)[O-])C[C@@H]3C)sc2n1 ZINC000910455339 709951768 /nfs/dbraw/zinc/95/17/68/709951768.db2.gz KGSIVZJAPKJDPR-JTQLQIEISA-N -1 1 309.395 1.084 20 0 DDADMM CCOc1cc(C(=O)N2CC[C@H](O)[C@@H](F)C2)cc(Cl)c1[O-] ZINC000890710471 709967438 /nfs/dbraw/zinc/96/74/38/709967438.db2.gz WLRNMOWXQWRANI-QWRGUYRKSA-N -1 1 317.744 1.989 20 0 DDADMM O=C(NCC[C@@H](O)C1CC1)c1c([O-])cnc2c(F)cccc21 ZINC000890714102 709968873 /nfs/dbraw/zinc/96/88/73/709968873.db2.gz OVRZBELLTLEGGC-GFCCVEGCSA-N -1 1 304.321 1.970 20 0 DDADMM CC(C)(C)[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1O ZINC000901335398 710014158 /nfs/dbraw/zinc/01/41/58/710014158.db2.gz KBDJHNWIXFKTOH-OLZOCXBDSA-N -1 1 319.405 1.929 20 0 DDADMM C[C@@H](C(=O)N1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1)N1CCc2ccccc2C1 ZINC000910799216 710080546 /nfs/dbraw/zinc/08/05/46/710080546.db2.gz TWUATZXLSUAQDE-DANNLKNASA-N -1 1 314.385 1.222 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2cncc3ccccc32)C1 ZINC000910801051 710080993 /nfs/dbraw/zinc/08/09/93/710080993.db2.gz AZZPEWKFMWJMSE-CYBMUJFWSA-N -1 1 313.357 1.970 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCc2c[nH]nn2)c2ccccc21 ZINC000901636920 710103664 /nfs/dbraw/zinc/10/36/64/710103664.db2.gz PJVZJHSROYIKCR-GFCCVEGCSA-N -1 1 300.318 1.342 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCc2cnn[nH]2)c2ccccc21 ZINC000901636920 710103666 /nfs/dbraw/zinc/10/36/66/710103666.db2.gz PJVZJHSROYIKCR-GFCCVEGCSA-N -1 1 300.318 1.342 20 0 DDADMM O=C([O-])C1CC(NCc2c(F)cncc2Br)C1 ZINC000901796204 710149666 /nfs/dbraw/zinc/14/96/66/710149666.db2.gz NGSXEVONISQPTD-UHFFFAOYSA-N -1 1 303.131 1.936 20 0 DDADMM CN(C)c1ncc(CN2CCc3ccccc3[C@@H]2C(=O)[O-])cn1 ZINC000901990858 710194871 /nfs/dbraw/zinc/19/48/71/710194871.db2.gz UOMDKNUWIJFUQL-OAHLLOKOSA-N -1 1 312.373 1.727 20 0 DDADMM COc1ncncc1CN(C)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000902003126 710199237 /nfs/dbraw/zinc/19/92/37/710199237.db2.gz FQJXPSYUHZHRSR-CQSZACIVSA-N -1 1 301.346 1.613 20 0 DDADMM COCc1nc(N2CCN(c3ccccc3C)CC2)cc(=O)[n-]1 ZINC000891510037 710222996 /nfs/dbraw/zinc/22/29/96/710222996.db2.gz UZALNUQGILDZNE-UHFFFAOYSA-N -1 1 314.389 1.964 20 0 DDADMM O=C(Cn1cc(Cl)cn1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891613135 710248721 /nfs/dbraw/zinc/24/87/21/710248721.db2.gz FWLYOXVFJOOTMY-UHFFFAOYSA-N -1 1 307.741 1.550 20 0 DDADMM COCc1nc(NCCCN(C)CC(F)(F)F)cc(=O)[n-]1 ZINC000891837704 710306261 /nfs/dbraw/zinc/30/62/61/710306261.db2.gz RDSJKWLROFKYQJ-UHFFFAOYSA-N -1 1 308.304 1.625 20 0 DDADMM COCc1nc(N[C@@H]2CCCN(c3ncccn3)C2)cc(=O)[n-]1 ZINC000891893501 710319821 /nfs/dbraw/zinc/31/98/21/710319821.db2.gz QIWZEKKHPXJQHQ-LLVKDONJSA-N -1 1 316.365 1.200 20 0 DDADMM CO[C@H]1CCCN(NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000928413888 713185789 /nfs/dbraw/zinc/18/57/89/713185789.db2.gz UWSBUOPZSGMWGN-NSHDSACASA-N -1 1 322.409 1.544 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)[N-]S(=O)(=O)CC(C)(C)C)c2C1 ZINC000892685115 710481543 /nfs/dbraw/zinc/48/15/43/710481543.db2.gz NKYDAKLYSYDYQL-SECBINFHSA-N -1 1 313.423 1.640 20 0 DDADMM COCc1nc(N[C@@H]2CCN(c3ccccn3)C2)cc(=O)[n-]1 ZINC000892961750 710532846 /nfs/dbraw/zinc/53/28/46/710532846.db2.gz GBCQWFCNTONHDP-LLVKDONJSA-N -1 1 301.350 1.414 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC000911044489 710608308 /nfs/dbraw/zinc/60/83/08/710608308.db2.gz DVKFJPJQILHJPZ-WDEREUQCSA-N -1 1 323.418 1.642 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1CCOc2ccccc2[C@H]1C(=O)[O-] ZINC000911058208 710614621 /nfs/dbraw/zinc/61/46/21/710614621.db2.gz VMCNHBOEFUJLGR-OCCSQVGLSA-N -1 1 304.346 1.128 20 0 DDADMM Cn1cc(C[C@H](CO)NC(=O)c2ccc(Cl)cc2[O-])cn1 ZINC000911108300 710636969 /nfs/dbraw/zinc/63/69/69/710636969.db2.gz YJZXCLVGKSSAMW-LLVKDONJSA-N -1 1 309.753 1.113 20 0 DDADMM COCc1nc(N[C@@H](CO)Cc2cccc(C)c2)cc(=O)[n-]1 ZINC000893408201 710641794 /nfs/dbraw/zinc/64/17/94/710641794.db2.gz VQULAKAQYFUJKW-CYBMUJFWSA-N -1 1 303.362 1.653 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)Cc1[nH]nc2ccccc21)C(F)(F)F ZINC000911154174 710658176 /nfs/dbraw/zinc/65/81/76/710658176.db2.gz IOSCHQOHHCYMEY-SNVBAGLBSA-N -1 1 315.251 1.627 20 0 DDADMM CC(=O)N[C@@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000911180936 710672060 /nfs/dbraw/zinc/67/20/60/710672060.db2.gz NXPGMWDCQDKHGD-SNVBAGLBSA-N -1 1 317.320 1.430 20 0 DDADMM CCCN1C[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)CC1=O ZINC000911180669 710672312 /nfs/dbraw/zinc/67/23/12/710672312.db2.gz MLTXZONMXNUNIL-SNVBAGLBSA-N -1 1 318.377 1.048 20 0 DDADMM Cc1cc(C(=O)[O-])cc(C(=O)N2CCN(CC3CC3)CC2)c1 ZINC000911221135 710693617 /nfs/dbraw/zinc/69/36/17/710693617.db2.gz ODVYOPYIHQKWBR-UHFFFAOYSA-N -1 1 302.374 1.861 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@H]2CCc3c2cccc3O)C1 ZINC000911335401 710748151 /nfs/dbraw/zinc/74/81/51/710748151.db2.gz QCYHQYGSXODGFE-RISCZKNCSA-N -1 1 318.373 1.292 20 0 DDADMM CC(C)(C)N1CC[C@@H](NCc2ccc(OCC(=O)[O-])cc2)C1=O ZINC000902468614 710763013 /nfs/dbraw/zinc/76/30/13/710763013.db2.gz LIBPFDLMNNVAIT-CQSZACIVSA-N -1 1 320.389 1.639 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)CCCn1ccc(C(=O)[O-])n1 ZINC000911408967 710785655 /nfs/dbraw/zinc/78/56/55/710785655.db2.gz DRHOQJKNNBKFLT-CQSZACIVSA-N -1 1 322.409 1.160 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)/C=C\c1ccc(C(=O)[O-])o1 ZINC000911569322 710859353 /nfs/dbraw/zinc/85/93/53/710859353.db2.gz CCBWXGKJWSFMKP-XYLWRLHESA-N -1 1 306.362 1.934 20 0 DDADMM O=C(C=C1CCSCC1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913438734 713216050 /nfs/dbraw/zinc/21/60/50/713216050.db2.gz UCYPIYJIRBNPSR-CQSZACIVSA-N -1 1 315.402 1.859 20 0 DDADMM O=C([O-])C1(C(=O)N[C@H]2CCC[N@H+]3CCSC[C@H]23)CC=CC1 ZINC000902819786 710915937 /nfs/dbraw/zinc/91/59/37/710915937.db2.gz WZUBQBCOSOYVOC-NWDGAFQWSA-N -1 1 310.419 1.103 20 0 DDADMM O=C([O-])C1(C(=O)N[C@H]2CCCN3CCSC[C@H]23)CC=CC1 ZINC000902819786 710915939 /nfs/dbraw/zinc/91/59/39/710915939.db2.gz WZUBQBCOSOYVOC-NWDGAFQWSA-N -1 1 310.419 1.103 20 0 DDADMM CC1(C)CCN(C(=O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)CCS1 ZINC000911671857 710919956 /nfs/dbraw/zinc/91/99/56/710919956.db2.gz FGPFUENGJJXQAO-LBPRGKRZSA-N -1 1 314.451 1.527 20 0 DDADMM CC1(C)CCN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)CCS1 ZINC000911671857 710919960 /nfs/dbraw/zinc/91/99/60/710919960.db2.gz FGPFUENGJJXQAO-LBPRGKRZSA-N -1 1 314.451 1.527 20 0 DDADMM Cc1nc([C@@H]2CCN(C(=O)[C@@H]3CCCC[C@@H]3C(=O)[O-])C2)n[nH]1 ZINC000911775239 710978720 /nfs/dbraw/zinc/97/87/20/710978720.db2.gz VLCZUAINYKWZCW-UTUOFQBUSA-N -1 1 306.366 1.320 20 0 DDADMM CSc1cccnc1[N-]C(=O)c1cn([C@@H]2CC[C@H]2O)nn1 ZINC000911898863 711058313 /nfs/dbraw/zinc/05/83/13/711058313.db2.gz RFDHEHHKKGVAID-NXEZZACHSA-N -1 1 305.363 1.343 20 0 DDADMM CN(C)c1ccc(F)cc1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000903197296 711061251 /nfs/dbraw/zinc/06/12/51/711061251.db2.gz NDVOHDMMLWOPIC-UHFFFAOYSA-N -1 1 309.388 1.276 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@H]1[C@@H](O)c1ccccc1 ZINC000912104452 711175597 /nfs/dbraw/zinc/17/55/97/711175597.db2.gz RWFGRECQPZWZDQ-BBRMVZONSA-N -1 1 315.377 1.247 20 0 DDADMM O=C(/C=C\CCc1ccccc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913493547 713236811 /nfs/dbraw/zinc/23/68/11/713236811.db2.gz BAUAVDYJEGPYPK-UQYHUFHVSA-N -1 1 313.361 1.289 20 0 DDADMM O=C(CCCCc1ccccc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913493851 713236880 /nfs/dbraw/zinc/23/68/80/713236880.db2.gz KLDXLPRCWLXVJG-CQSZACIVSA-N -1 1 315.377 1.513 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1[C@H](CO)CC[C@H]1CO ZINC000912228513 711232400 /nfs/dbraw/zinc/23/24/00/711232400.db2.gz QOAVDUNMLJZJOO-UWVGGRQHSA-N -1 1 319.279 1.369 20 0 DDADMM CC(C)Cc1nc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cs1 ZINC000913495495 713237963 /nfs/dbraw/zinc/23/79/63/713237963.db2.gz YTOLDWKFCDYWHS-JTQLQIEISA-N -1 1 322.394 1.068 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495672 713238006 /nfs/dbraw/zinc/23/80/06/713238006.db2.gz NNAXKLXHWMBCBR-TZIYXEQSSA-N -1 1 313.361 1.512 20 0 DDADMM C[C@@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000913496177 713238361 /nfs/dbraw/zinc/23/83/61/713238361.db2.gz UUQZYVIJMVEJRF-BXKDBHETSA-N -1 1 305.313 1.042 20 0 DDADMM O=C([C@@H]1CC[C@@H]2CCCC[C@@H]2C1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496092 713238516 /nfs/dbraw/zinc/23/85/16/713238516.db2.gz SDILRPSYXIOJKD-REWJHTLYSA-N -1 1 319.409 1.706 20 0 DDADMM O=C(/C(F)=C/C1CCCCC1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913498311 713239220 /nfs/dbraw/zinc/23/92/20/713239220.db2.gz OJXNOYHNZLYGPC-NXIHDVOMSA-N -1 1 309.345 1.533 20 0 DDADMM Cc1cc(C)cc(C2CCN(CC(=O)NCC(=O)[O-])CC2)c1 ZINC000903712095 711251071 /nfs/dbraw/zinc/25/10/71/711251071.db2.gz DZYPDYSYJLQHDU-UHFFFAOYSA-N -1 1 304.390 1.684 20 0 DDADMM CC(C)(Cc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000912475452 711345243 /nfs/dbraw/zinc/34/52/43/711345243.db2.gz WFTJMJXGHGDFHJ-UHFFFAOYSA-N -1 1 301.346 1.773 20 0 DDADMM Cn1nncc1CN[C@@H](C(=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC000903999855 711361125 /nfs/dbraw/zinc/36/11/25/711361125.db2.gz MPKAEZHCGKIZPV-LLVKDONJSA-N -1 1 314.267 1.749 20 0 DDADMM Cc1cccc(C[N@@H+]2CCO[C@H](CNc3cc(=O)[nH]cn3)C2)c1 ZINC000895017962 711370730 /nfs/dbraw/zinc/37/07/30/711370730.db2.gz IQCBPQFZADATFS-OAHLLOKOSA-N -1 1 314.389 1.804 20 0 DDADMM CN1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C[C@@H]1CO ZINC000913543378 713251445 /nfs/dbraw/zinc/25/14/45/713251445.db2.gz HDBLNOJQUKRTOX-SNVBAGLBSA-N -1 1 318.295 1.160 20 0 DDADMM CC(C)[C@H](N[C@@H]1C[C@H](NC(=O)[O-])C12CCC2)c1nncn1C ZINC000904240815 711416321 /nfs/dbraw/zinc/41/63/21/711416321.db2.gz XOGRGNXZUJXPRM-WOPDTQHZSA-N -1 1 307.398 1.681 20 0 DDADMM CC[C@@H](C)C[C@H]([N-]S(=O)(=O)CC1(F)CCC1)C(=O)OC ZINC000916589342 713459414 /nfs/dbraw/zinc/45/94/14/713459414.db2.gz WGONTMNSAMCMIW-MNOVXSKESA-N -1 1 309.403 1.776 20 0 DDADMM C[S@](=O)CCCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896285271 711700659 /nfs/dbraw/zinc/70/06/59/711700659.db2.gz MMAPTWUIVSLBIW-NRFANRHFSA-N -1 1 307.371 1.749 20 0 DDADMM CC[S@](=O)CCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896285865 711701035 /nfs/dbraw/zinc/70/10/35/711701035.db2.gz UESBVXDOEQMNDP-NRFANRHFSA-N -1 1 307.371 1.749 20 0 DDADMM O=C(CCc1ccc(F)cc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913743775 713292604 /nfs/dbraw/zinc/29/26/04/713292604.db2.gz KSOQQLYKHZGHAT-UHFFFAOYSA-N -1 1 321.331 1.817 20 0 DDADMM COCc1cccc(CC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913744196 713292971 /nfs/dbraw/zinc/29/29/71/713292971.db2.gz DGTSIIGFVSVYMH-UHFFFAOYSA-N -1 1 315.377 1.295 20 0 DDADMM O=C(Cc1ccc(Cl)cn1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745111 713293112 /nfs/dbraw/zinc/29/31/12/713293112.db2.gz OTVLNJOTDAWYQR-UHFFFAOYSA-N -1 1 306.757 1.197 20 0 DDADMM O=C(c1ccccc1OC1CC1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744738 713293173 /nfs/dbraw/zinc/29/31/73/713293173.db2.gz BIJUEHCHSCJVJR-UHFFFAOYSA-N -1 1 313.361 1.761 20 0 DDADMM O=C(CC[C@@H]1CC1(Cl)Cl)N1CCC(c2nn[n-]n2)CC1 ZINC000913745562 713293570 /nfs/dbraw/zinc/29/35/70/713293570.db2.gz JXXAWXWNGUCZCF-SECBINFHSA-N -1 1 318.208 1.880 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745876 713294049 /nfs/dbraw/zinc/29/40/49/713294049.db2.gz DPJIZTLJZMMWON-MRVPVSSYSA-N -1 1 305.304 1.884 20 0 DDADMM O=C([O-])[C@@H](NCCOCCO)c1ccccc1Br ZINC000905401529 712028570 /nfs/dbraw/zinc/02/85/70/712028570.db2.gz TWSVDYDJBQSLFP-NSHDSACASA-N -1 1 318.167 1.173 20 0 DDADMM O=C([O-])[C@@H]1CC(F)(F)CN1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000905524920 712064405 /nfs/dbraw/zinc/06/44/05/712064405.db2.gz NVIVKDCKXWKXKW-BDAKNGLRSA-N -1 1 314.292 1.291 20 0 DDADMM Cn1nc2c(c1C(=O)Nc1nc3c(c(=O)[n-]1)CCCC3)CCC2 ZINC000905948070 712197119 /nfs/dbraw/zinc/19/71/19/712197119.db2.gz IGEGSBQDBIWBGS-UHFFFAOYSA-N -1 1 313.361 1.536 20 0 DDADMM O=C([O-])[C@H](CN1CCCCC1)NS(=O)(=O)CCCC1CC1 ZINC000905986942 712210947 /nfs/dbraw/zinc/21/09/47/712210947.db2.gz DZQAUTBBTFHEJL-ZDUSSCGKSA-N -1 1 318.439 1.035 20 0 DDADMM O=C([O-])C(F)(F)CNS(=O)(=O)CCCc1ccccc1 ZINC000905998813 712215761 /nfs/dbraw/zinc/21/57/61/712215761.db2.gz FWKBNNFAKQZWSE-UHFFFAOYSA-N -1 1 307.318 1.259 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@]3(C)C=CCC3)nc2n1 ZINC000906057805 712232491 /nfs/dbraw/zinc/23/24/91/712232491.db2.gz JIZIWNHGFGMYLU-HNNXBMFYSA-N -1 1 301.350 1.665 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3(C)CC=CC3)nc2n1 ZINC000906057562 712232624 /nfs/dbraw/zinc/23/26/24/712232624.db2.gz FHDYTOXAMJYCSI-UHFFFAOYSA-N -1 1 301.350 1.665 20 0 DDADMM CCCCCCOCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477213 712582426 /nfs/dbraw/zinc/58/24/26/712582426.db2.gz SQNWFNUCOOPTNZ-NSHDSACASA-N -1 1 313.427 1.413 20 0 DDADMM O=C([C@@H]1Cc2ccc(F)cc21)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477608 712582667 /nfs/dbraw/zinc/58/26/67/712582667.db2.gz FYTVMIQLOXIBGR-VXGBXAGGSA-N -1 1 319.365 1.295 20 0 DDADMM CC(C)c1[nH]ccc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479694 712583230 /nfs/dbraw/zinc/58/32/30/712583230.db2.gz LWXJJSBNIAKALT-SNVBAGLBSA-N -1 1 306.395 1.582 20 0 DDADMM O=C(c1ccn2ccccc12)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481633 712584172 /nfs/dbraw/zinc/58/41/72/712584172.db2.gz XHPBVUUXDOVOFG-GFCCVEGCSA-N -1 1 314.374 1.383 20 0 DDADMM O=S(=O)(CC1(F)CC1)[N-]Cc1noc(-c2ccccc2)n1 ZINC000913988484 713328909 /nfs/dbraw/zinc/32/89/09/713328909.db2.gz XYXKGIVNGJRTKE-UHFFFAOYSA-N -1 1 311.338 1.658 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2C[C@@H]3CCC[C@H]23)cc1C ZINC000907958075 712655185 /nfs/dbraw/zinc/65/51/85/712655185.db2.gz KYQBEUJTDSCTJV-GARJFASQSA-N -1 1 313.375 1.842 20 0 DDADMM NC(=O)C[C@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000908231537 712715108 /nfs/dbraw/zinc/71/51/08/712715108.db2.gz BSLJEGMURNYVHN-SECBINFHSA-N -1 1 317.320 1.417 20 0 DDADMM CC(C)OC(=O)C(C)(C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000908488038 712787975 /nfs/dbraw/zinc/78/79/75/712787975.db2.gz DGYXUZBGDIQAEJ-UHFFFAOYSA-N -1 1 321.345 1.973 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCC3(C[C@@H]3C(=O)[O-])CC2)C1 ZINC000908656260 712830542 /nfs/dbraw/zinc/83/05/42/712830542.db2.gz UZJWNILLEBOFAR-RAIGVLPGSA-N -1 1 317.389 1.789 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)[C@H]1CCCC(=O)N1 ZINC000908708074 712839130 /nfs/dbraw/zinc/83/91/30/712839130.db2.gz ONCHXTSLJQXNRE-MRVPVSSYSA-N -1 1 313.151 1.762 20 0 DDADMM CS[C@@H]1CC[C@H](NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000908833496 712864174 /nfs/dbraw/zinc/86/41/74/712864174.db2.gz ONRPHCOUZNGEHJ-GRYCIOLGSA-N -1 1 300.424 1.183 20 0 DDADMM O=C([O-])CN(C(=O)c1ccc(CN2CCCCC2)o1)C1CC1 ZINC000908896556 712881980 /nfs/dbraw/zinc/88/19/80/712881980.db2.gz WMNWVCOBZDNSKX-UHFFFAOYSA-N -1 1 306.362 1.955 20 0 DDADMM O=C1[C@H]([N-]S(=O)(=O)CC2(F)CCC2)CN1c1ccccc1 ZINC000914352392 713382873 /nfs/dbraw/zinc/38/28/73/713382873.db2.gz UOPSQRBQLVIEPK-GFCCVEGCSA-N -1 1 312.366 1.213 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)CC1(F)CC1)[C@H](O)C(F)(F)F ZINC000915253289 713414138 /nfs/dbraw/zinc/41/41/38/713414138.db2.gz YHTQXCPHBSNLFO-YUMQZZPRSA-N -1 1 307.309 1.356 20 0 DDADMM COC(=O)[C@@H]1CC(F)(F)CN1C(=O)c1cc(F)ccc1[O-] ZINC000916586314 713459280 /nfs/dbraw/zinc/45/92/80/713459280.db2.gz YJMMUBAEQKGWDB-VIFPVBQESA-N -1 1 303.236 1.554 20 0 DDADMM Nc1ccc(F)cc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000916605606 713460369 /nfs/dbraw/zinc/46/03/69/713460369.db2.gz UDBPMWUFUUIEOF-UHFFFAOYSA-N -1 1 316.288 1.521 20 0 DDADMM C[C@H](CN(C)[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc([O-])cc1 ZINC000929204021 713566492 /nfs/dbraw/zinc/56/64/92/713566492.db2.gz NEBAMXQKHNEUNF-YPMHNXCESA-N -1 1 311.403 1.330 20 0 DDADMM Cn1ncnc1CCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000919529312 713612838 /nfs/dbraw/zinc/61/28/38/713612838.db2.gz WWTPDBPHYGUNGV-UHFFFAOYSA-N -1 1 320.321 1.257 20 0 DDADMM COC(=O)C[C@H]1CN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929799749 713692349 /nfs/dbraw/zinc/69/23/49/713692349.db2.gz MRYBQUMWRZUIJW-DOMZBBRYSA-N -1 1 321.373 1.475 20 0 DDADMM COC(=O)CC(C)(C)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000921199067 713720595 /nfs/dbraw/zinc/72/05/95/713720595.db2.gz BTUBIVYMKAWILK-UHFFFAOYSA-N -1 1 321.345 1.832 20 0 DDADMM COC(=O)/C(C)=C\C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000921223939 713723234 /nfs/dbraw/zinc/72/32/34/713723234.db2.gz ZUYGEECUFHNXEB-ALCCZGGFSA-N -1 1 309.290 1.615 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2C[C@H]2[C@@H]2C[C@H]2C)cc1C ZINC000921306487 713728315 /nfs/dbraw/zinc/72/83/15/713728315.db2.gz AVNHWJAXDMRSBE-CZULRBLNSA-N -1 1 313.375 1.697 20 0 DDADMM C[C@@H](CN1CCOC[C@]12CCOC2)C(=O)c1ccc([O-])cc1 ZINC000930322453 713803374 /nfs/dbraw/zinc/80/33/74/713803374.db2.gz ASVIQZFZAVCXFW-SUMWQHHRSA-N -1 1 305.374 1.702 20 0 DDADMM Cn1cc(C(=O)C[N-]S(=O)(=O)c2ccc(C(F)F)o2)cn1 ZINC000921679982 713841201 /nfs/dbraw/zinc/84/12/01/713841201.db2.gz HTHJJPXUHHZGGF-UHFFFAOYSA-N -1 1 319.289 1.112 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921965607 713922285 /nfs/dbraw/zinc/92/22/85/713922285.db2.gz OQMJNDKZMVDSKO-QMMMGPOBSA-N -1 1 309.334 1.167 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C2([C@@H]3CCCCO3)CC2)sn1 ZINC000922096991 713959712 /nfs/dbraw/zinc/95/97/12/713959712.db2.gz BOJURFFRDLNEMK-JTQLQIEISA-N -1 1 302.421 1.832 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@H]1CCn2ccnc21 ZINC000922657497 714112577 /nfs/dbraw/zinc/11/25/77/714112577.db2.gz RIYSCNULFLNIBU-ZDUSSCGKSA-N -1 1 322.315 1.854 20 0 DDADMM CC(C)C[C@@](C)(CNC(=O)[C@H]1CCc2nc[nH]c2C1)C(=O)[O-] ZINC000923190122 714265602 /nfs/dbraw/zinc/26/56/02/714265602.db2.gz MKDZUNDWDAAIMX-ZBEGNZNMSA-N -1 1 307.394 1.768 20 0 DDADMM O=C(c1ccccc1-n1cccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000932281642 714299792 /nfs/dbraw/zinc/29/97/92/714299792.db2.gz ALLJOPPPOBPODU-CQSZACIVSA-N -1 1 324.344 1.204 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@]23C[C@H]2CCC3)cc1 ZINC000924428506 714579860 /nfs/dbraw/zinc/57/98/60/714579860.db2.gz JEOVKQGUYNGVIA-SJKOYZFVSA-N -1 1 317.341 1.685 20 0 DDADMM C[C@H]1CN(C(=O)c2ccoc2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966165014 717990840 /nfs/dbraw/zinc/99/08/40/717990840.db2.gz ZSNCMECQXQCNHL-CMPLNLGQSA-N -1 1 315.329 1.271 20 0 DDADMM COc1cccc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)n1 ZINC000934273108 714783323 /nfs/dbraw/zinc/78/33/23/714783323.db2.gz JJJVXIRFOWXVEZ-GFCCVEGCSA-N -1 1 316.361 1.932 20 0 DDADMM COc1ccc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)nn1 ZINC000934280454 714785868 /nfs/dbraw/zinc/78/58/68/714785868.db2.gz WATXSYKYZUJGLS-NSHDSACASA-N -1 1 317.349 1.327 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2ccnc(C)n2)[n-]c1=O ZINC000934280144 714785889 /nfs/dbraw/zinc/78/58/89/714785889.db2.gz SHZKOTBAVGYQHB-LBPRGKRZSA-N -1 1 301.350 1.626 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@H]1CC[C@@H](C(F)(F)F)O1)c1nn[n-]n1 ZINC000935365109 715037799 /nfs/dbraw/zinc/03/77/99/715037799.db2.gz CEUWTAWSEJYQFJ-VGMNWLOBSA-N -1 1 321.303 1.262 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@@H]1C[C@@]12C(=O)Nc1ccccc12 ZINC000935456659 715059973 /nfs/dbraw/zinc/05/99/73/715059973.db2.gz PKCZTLXQFGWANN-LRDDRELGSA-N -1 1 302.330 1.123 20 0 DDADMM O=C(c1ccnn1C1CCC1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000935794016 715128842 /nfs/dbraw/zinc/12/88/42/715128842.db2.gz LKBMCKPGPKYGJT-JTQLQIEISA-N -1 1 317.349 1.717 20 0 DDADMM CCC(=O)N1CC[C@@H](N(C)C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000936295821 715173286 /nfs/dbraw/zinc/17/32/86/715173286.db2.gz YSQKRVDAKSBRAX-LLVKDONJSA-N -1 1 318.377 1.143 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849011 715635808 /nfs/dbraw/zinc/63/58/08/715635808.db2.gz IYKLQPBJLYXWTG-QNYYUSOESA-N -1 1 303.362 1.474 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956850469 715637202 /nfs/dbraw/zinc/63/72/02/715637202.db2.gz NHIBUIPPXLCVEV-MLGOLLRUSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@@H]2CC23CC3)C1 ZINC000956852182 715638727 /nfs/dbraw/zinc/63/87/27/715638727.db2.gz SSIVCNMXRWXJLW-MEDUHNTESA-N -1 1 315.373 1.308 20 0 DDADMM O=C(c1ccccc1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959860939 716323943 /nfs/dbraw/zinc/32/39/43/716323943.db2.gz VTCSFPIZENIPMU-ZDUSSCGKSA-N -1 1 315.377 1.295 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)[C@H]2CC23CCC3)C1)c1ncccc1[O-] ZINC000940138576 716646587 /nfs/dbraw/zinc/64/65/87/716646587.db2.gz GEIYHMNLRIDVRN-VXGBXAGGSA-N -1 1 315.373 1.308 20 0 DDADMM CSCC(=O)N1CC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959043209 716842334 /nfs/dbraw/zinc/84/23/34/716842334.db2.gz VNHNOYGGPYLLPV-QWRGUYRKSA-N -1 1 323.418 1.117 20 0 DDADMM CCC(=O)N1CC[C@@H]2[C@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962947317 717636580 /nfs/dbraw/zinc/63/65/80/717636580.db2.gz SCVGQPDUUHOFQM-VXGBXAGGSA-N -1 1 303.362 1.403 20 0 DDADMM CC(C)C(=O)N[C@H]1CC12CCN(C(=O)c1ncccc1[O-])CC2 ZINC000963231735 717695630 /nfs/dbraw/zinc/69/56/30/717695630.db2.gz DWTSWKRMUROKSO-ZDUSSCGKSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(/C=C\C1CC1)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943928238 718225331 /nfs/dbraw/zinc/22/53/31/718225331.db2.gz COXBQFZJQQBERM-MEJMFZKBSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)c1cc[nH]c1 ZINC000946538669 718823965 /nfs/dbraw/zinc/82/39/65/718823965.db2.gz LXHGGTVXHVLSPU-UHFFFAOYSA-N -1 1 314.345 1.150 20 0 DDADMM C[C@@H]1CN(C(=O)CC2CCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948144370 719371873 /nfs/dbraw/zinc/37/18/73/719371873.db2.gz QZEQABONDDHPIQ-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C1CC1 ZINC000948389800 719478466 /nfs/dbraw/zinc/47/84/66/719478466.db2.gz LCKDXPMVGXZKAO-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CCC(C)(CC)C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949537776 720146728 /nfs/dbraw/zinc/14/67/28/720146728.db2.gz BLQTWWHECXXSOT-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)(C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000949541741 720149651 /nfs/dbraw/zinc/14/96/51/720149651.db2.gz OQDYZBXNFNSILI-LBPRGKRZSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@]1(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C=CCC1 ZINC000949542245 720150739 /nfs/dbraw/zinc/15/07/39/720150739.db2.gz ZRPJSRGVFXDLSR-SJCJKPOMSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1CCCC1 ZINC000950543267 720676803 /nfs/dbraw/zinc/67/68/03/720676803.db2.gz VFZNODRIVYBWNR-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCC2CC2)C1 ZINC000954124243 721723471 /nfs/dbraw/zinc/72/34/71/721723471.db2.gz SCSOHDJZUQGCAW-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2cncc(N(C)C)c2)ccc1N ZINC001210473239 733170018 /nfs/dbraw/zinc/17/00/18/733170018.db2.gz ITXBWIWCDKABGB-UHFFFAOYSA-N -1 1 306.391 1.839 20 0 DDADMM CC(C)(C)C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021443432 733208199 /nfs/dbraw/zinc/20/81/99/733208199.db2.gz FRSVUOIEIHNJGI-FGWVZKOKSA-N -1 1 307.398 1.168 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024931189 736173718 /nfs/dbraw/zinc/17/37/18/736173718.db2.gz BABKCHRQJOFCFC-GHMZBOCLSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1cnc(C)nc1NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001104535839 736198825 /nfs/dbraw/zinc/19/88/25/736198825.db2.gz AVHSNUFXPMTOEX-LLVKDONJSA-N -1 1 315.377 1.767 20 0 DDADMM Cc1ccc(NC[C@H](C)N(C)C(=O)c2ncccc2[O-])nn1 ZINC001104536306 736202894 /nfs/dbraw/zinc/20/28/94/736202894.db2.gz LQKOVPPHPVDATP-NSHDSACASA-N -1 1 301.350 1.458 20 0 DDADMM CCC[C@H]1CCC[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000974284408 737485042 /nfs/dbraw/zinc/48/50/42/737485042.db2.gz VIRHOWYJPIJIJS-WUHRBBMRSA-N -1 1 319.409 1.398 20 0 DDADMM C[C@@H]1CC[C@@H](CC(=O)N[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)C1 ZINC000974297571 737494984 /nfs/dbraw/zinc/49/49/84/737494984.db2.gz YQPAVKHKRIAPHL-KKOKHZNYSA-N -1 1 305.382 1.008 20 0 DDADMM CC(C)(F)C(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059013876 739624931 /nfs/dbraw/zinc/62/49/31/739624931.db2.gz MYVVHBKSEWGOHQ-LLVKDONJSA-N -1 1 323.368 1.504 20 0 DDADMM Cn1ccccc1=NC1([P@](=O)([O-])O)Cc2ccccc2C1 ZINC001167932319 739708067 /nfs/dbraw/zinc/70/80/67/739708067.db2.gz QGQCLIMKZHOLIY-UHFFFAOYSA-N -1 1 304.286 1.599 20 0 DDADMM CC[C@@H](F)CN1C[C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC001075692261 739930853 /nfs/dbraw/zinc/93/08/53/739930853.db2.gz QTGQCAHGZHCPGE-QJPTWQEYSA-N -1 1 310.373 1.318 20 0 DDADMM CC[C@@H](C)C(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514575 741088400 /nfs/dbraw/zinc/08/84/00/741088400.db2.gz GJSDQYBKFVLNIU-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001059516104 741089623 /nfs/dbraw/zinc/08/96/23/741089623.db2.gz FMFDAJJLESLXSD-LLVKDONJSA-N -1 1 303.362 1.166 20 0 DDADMM CC(C)(C)CC(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088263524 741273306 /nfs/dbraw/zinc/27/33/06/741273306.db2.gz GWXIPIQHLAPKED-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM Cc1cnc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C)o1 ZINC001088538577 741712666 /nfs/dbraw/zinc/71/26/66/741712666.db2.gz HFDDQANPVQPTPH-NEPJUHHUSA-N -1 1 316.361 1.476 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1Cc1cnns1 ZINC001088538488 741712731 /nfs/dbraw/zinc/71/27/31/741712731.db2.gz BWFUKWSHMLTHBM-KOLCDFICSA-N -1 1 319.390 1.032 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C[C@H]1C=CCC1 ZINC001076243979 742623193 /nfs/dbraw/zinc/62/31/93/742623193.db2.gz FYJWZAAHKJQQKD-STQMWFEESA-N -1 1 317.389 1.768 20 0 DDADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)C1CC1)c1ncccc1[O-] ZINC001002191556 742921564 /nfs/dbraw/zinc/92/15/64/742921564.db2.gz OZLQAHKBKBBWFJ-UTUOFQBUSA-N -1 1 301.346 1.059 20 0 DDADMM CC(C)(F)C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002189071 742936219 /nfs/dbraw/zinc/93/62/19/742936219.db2.gz QRIBZYUMYSVABI-MXWKQRLJSA-N -1 1 321.352 1.397 20 0 DDADMM CN(C)c1nc(NC(=O)C2CC3(COC3)C2)c(N=O)c(=O)[n-]1 ZINC001181610413 743271316 /nfs/dbraw/zinc/27/13/16/743271316.db2.gz BOYKTQGXXXTDPB-UHFFFAOYSA-N -1 1 307.310 1.011 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)[C@H](CO)c1ccccc1 ZINC001181924546 743394091 /nfs/dbraw/zinc/39/40/91/743394091.db2.gz RMANJCDTPKOEQZ-LLVKDONJSA-N -1 1 303.318 1.301 20 0 DDADMM O=C([N-]C[C@H](O)c1ccco1)C(F)(F)OCC(F)(F)F ZINC001183191680 743793776 /nfs/dbraw/zinc/79/37/76/743793776.db2.gz CRVOQZGFNKFVDZ-LURJTMIESA-N -1 1 303.183 1.601 20 0 DDADMM CO[C@@H]1COCC[C@H]1[N-]C(=O)C(F)(F)OCC(F)(F)F ZINC001183194282 743794122 /nfs/dbraw/zinc/79/41/22/743794122.db2.gz FNVGFRXTOMHZMU-RNFRBKRXSA-N -1 1 307.215 1.078 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@@H]3CCc4ccccc4[C@@H]3O)c1-2 ZINC001183389892 743829705 /nfs/dbraw/zinc/82/97/05/743829705.db2.gz YTQUPIHXGGSSPN-OCCSQVGLSA-N -1 1 323.356 1.269 20 0 DDADMM CCOC(=O)c1sc(S(=O)(=O)NC2=CC=C[CH]2)nc1C ZINC001184989073 744139332 /nfs/dbraw/zinc/13/93/32/744139332.db2.gz OQLQBJLDWHRNGH-UHFFFAOYSA-N -1 1 313.380 1.565 20 0 DDADMM O=S(=O)([N-]c1ccc(CO)cc1F)c1cnc(Cl)nc1 ZINC001185044535 744150638 /nfs/dbraw/zinc/15/06/38/744150638.db2.gz SLZIHYPCJWVLAN-UHFFFAOYSA-N -1 1 317.729 1.562 20 0 DDADMM C[C@@H]1C[C@H]1c1ncc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cn1 ZINC001185128627 744166007 /nfs/dbraw/zinc/16/60/07/744166007.db2.gz QDXRAZDWSLGKAE-IYSWYEEDSA-N -1 1 316.277 1.451 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1scnc1C1CC1 ZINC001185700100 744269904 /nfs/dbraw/zinc/26/99/04/744269904.db2.gz YBDCLXZKIDMJJG-UHFFFAOYSA-N -1 1 304.308 1.708 20 0 DDADMM CCCC(=O)NC[C@@H](C)N(CC)Cc1cc(=O)n2[n-]ccc2n1 ZINC001151549052 744387324 /nfs/dbraw/zinc/38/73/24/744387324.db2.gz XZNRLLXRYDWHSM-GFCCVEGCSA-N -1 1 319.409 1.149 20 0 DDADMM O=C(N[C@H]1C(=O)NCc2ccccc21)c1ccc([O-])cc1F ZINC001186339534 744389188 /nfs/dbraw/zinc/38/91/88/744389188.db2.gz IXYIWAZHUIAQPF-CQSZACIVSA-N -1 1 300.289 1.632 20 0 DDADMM Nc1ccc([C@H](CCO)NC(=O)c2ccc([O-])cc2F)cc1 ZINC001186340171 744389411 /nfs/dbraw/zinc/38/94/11/744389411.db2.gz YBXNYBNYJBAWCU-HNNXBMFYSA-N -1 1 304.321 1.967 20 0 DDADMM CC[C@@H](CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001187230765 744520736 /nfs/dbraw/zinc/52/07/36/744520736.db2.gz BYFBBBAQWKUYJC-NWDGAFQWSA-N -1 1 309.414 1.273 20 0 DDADMM COc1cncc([C@@H]([N-]S(=O)(=O)c2cnoc2C)C2CC2)c1 ZINC001187421610 744560752 /nfs/dbraw/zinc/56/07/52/744560752.db2.gz XWRZMNFAIRRWEQ-AWEZNQCLSA-N -1 1 323.374 1.816 20 0 DDADMM O=C(NCc1ccc2n[nH]cc2c1)c1n[n-]nc1C(F)(F)F ZINC001187769839 744598534 /nfs/dbraw/zinc/59/85/34/744598534.db2.gz FNRLNUOREFIDAK-UHFFFAOYSA-N -1 1 310.239 1.630 20 0 DDADMM O=C(NC[C@@H](O)C1CCCCC1)c1n[n-]nc1C(F)(F)F ZINC001187770676 744599280 /nfs/dbraw/zinc/59/92/80/744599280.db2.gz QFJKGKYWNCXHAT-MRVPVSSYSA-N -1 1 306.288 1.495 20 0 DDADMM CCN(C)c1ncc(NC(=O)c2n[n-]nc2C(F)(F)F)cn1 ZINC001187758833 744605839 /nfs/dbraw/zinc/60/58/39/744605839.db2.gz KACCEGCAPWVRHA-UHFFFAOYSA-N -1 1 315.259 1.322 20 0 DDADMM COc1ccc(C(=O)C[N-]S(=O)(=O)c2nccs2)cc1 ZINC001187846138 744617819 /nfs/dbraw/zinc/61/78/19/744617819.db2.gz CLULKLHKQGZPOP-UHFFFAOYSA-N -1 1 312.372 1.313 20 0 DDADMM Cc1ccc(-c2cc(C(=O)NCc3nc([O-])cc(=O)[nH]3)[nH]n2)o1 ZINC001168431536 744627563 /nfs/dbraw/zinc/62/75/63/744627563.db2.gz VVWIBDCJXWAKFD-UHFFFAOYSA-N -1 1 315.289 1.109 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1nccs1)c1cccc(F)c1 ZINC001187920235 744635080 /nfs/dbraw/zinc/63/50/80/744635080.db2.gz FYBTZOAVYMKPON-UHFFFAOYSA-N -1 1 300.336 1.443 20 0 DDADMM COC(=O)C12CCC([N-]S(=O)(=O)c3nccs3)(CC1)C2 ZINC001187923208 744635954 /nfs/dbraw/zinc/63/59/54/744635954.db2.gz KBBCODHJNJWKRH-UHFFFAOYSA-N -1 1 316.404 1.297 20 0 DDADMM O=S(=O)(CC1CC1)[N-]c1cccnc1OC1CCOCC1 ZINC001188930143 744793105 /nfs/dbraw/zinc/79/31/05/744793105.db2.gz AMKQXGZCBPCCNJ-UHFFFAOYSA-N -1 1 312.391 1.791 20 0 DDADMM Cc1nccc(N[C@H](C)C[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001089272860 744847270 /nfs/dbraw/zinc/84/72/70/744847270.db2.gz RMQKKKAPTLTRSA-MNOVXSKESA-N -1 1 315.377 1.895 20 0 DDADMM O=S(=O)(Cc1ccc(F)cc1F)[N-]c1ccn2cnnc2c1 ZINC001189789407 744982358 /nfs/dbraw/zinc/98/23/58/744982358.db2.gz RBAUMOPUYXODNQ-UHFFFAOYSA-N -1 1 324.312 1.949 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cnc(-c2ccccc2)nc1 ZINC001190014032 745067270 /nfs/dbraw/zinc/06/72/70/745067270.db2.gz GIBFSEOAPGUQNB-UHFFFAOYSA-N -1 1 324.300 1.179 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2ccn3cnnc3c2)c(OC)c1 ZINC001190110433 745117256 /nfs/dbraw/zinc/11/72/56/745117256.db2.gz PGTPEVVIGJRBHQ-UHFFFAOYSA-N -1 1 314.301 1.704 20 0 DDADMM Cn1ncc2c1CN(C(=O)c1cnc(-c3ccccc3)[n-]c1=O)C2 ZINC001190261226 745165652 /nfs/dbraw/zinc/16/56/52/745165652.db2.gz BUDWYKJEGZAISY-UHFFFAOYSA-N -1 1 321.340 1.739 20 0 DDADMM Cn1cnc(CCNC(=O)c2cnc(-c3ccccc3)[n-]c2=O)c1 ZINC001190267397 745167665 /nfs/dbraw/zinc/16/76/65/745167665.db2.gz GJZFPJQJZFRJRL-UHFFFAOYSA-N -1 1 323.356 1.555 20 0 DDADMM COC(=O)[C@H](C)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001190553746 745250529 /nfs/dbraw/zinc/25/05/29/745250529.db2.gz SLECJEYRSJXLAN-VIFPVBQESA-N -1 1 304.306 1.261 20 0 DDADMM CC(C)(O)CCCNC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629895 745278955 /nfs/dbraw/zinc/27/89/55/745278955.db2.gz ZOXFDLXLKUFNFO-UHFFFAOYSA-N -1 1 316.361 1.525 20 0 DDADMM CS(=O)(=O)c1cccc([N-]S(=O)(=O)c2ccncc2)c1 ZINC001190890227 745369166 /nfs/dbraw/zinc/36/91/66/745369166.db2.gz YZVUUOLAKROWAP-UHFFFAOYSA-N -1 1 312.372 1.286 20 0 DDADMM COc1ccc(NC(=O)c2cnc(SC)[n-]c2=O)c(C)n1 ZINC001191420952 745507531 /nfs/dbraw/zinc/50/75/31/745507531.db2.gz WXKCMLKQLPOJQK-UHFFFAOYSA-N -1 1 306.347 1.868 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc3n[nH]nc3c2)c(=O)[n-]1 ZINC001191438105 745512977 /nfs/dbraw/zinc/51/29/77/745512977.db2.gz QEGYFKPEORKKMM-UHFFFAOYSA-N -1 1 302.319 1.428 20 0 DDADMM C[C@H](CCNc1cncc(Cl)n1)NC(=O)c1ncccc1[O-] ZINC001106296253 745562753 /nfs/dbraw/zinc/56/27/53/745562753.db2.gz MBTACCQNBODBNO-SECBINFHSA-N -1 1 321.768 1.851 20 0 DDADMM COC(=O)c1cccc2nc([N-]C(=O)c3ncon3)sc21 ZINC001192008896 745673190 /nfs/dbraw/zinc/67/31/90/745673190.db2.gz YDQKNUWPDFDVTG-UHFFFAOYSA-N -1 1 304.287 1.718 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2cnc(Cl)cc2C)o1 ZINC001192393661 745782835 /nfs/dbraw/zinc/78/28/35/745782835.db2.gz SRAQXRYHWLVZIQ-UHFFFAOYSA-N -1 1 302.743 1.213 20 0 DDADMM CC(C)(O)c1ccc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001192589356 745835176 /nfs/dbraw/zinc/83/51/76/745835176.db2.gz NPSFHDSRBFIEJV-UHFFFAOYSA-N -1 1 313.317 1.538 20 0 DDADMM Cc1cnncc1C(=O)Nc1nc(Br)ccc1[O-] ZINC001192924070 745935985 /nfs/dbraw/zinc/93/59/85/745935985.db2.gz BPUIEPPIKQOWCJ-UHFFFAOYSA-N -1 1 309.123 1.900 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)c1ccncc1 ZINC001193341040 746069528 /nfs/dbraw/zinc/06/95/28/746069528.db2.gz FQLUCPMZBXBSAJ-SECBINFHSA-N -1 1 313.329 1.837 20 0 DDADMM Cc1c[nH]c(C)c1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001193348609 746070547 /nfs/dbraw/zinc/07/05/47/746070547.db2.gz ILSMSIBECMOLKA-UHFFFAOYSA-N -1 1 304.310 1.843 20 0 DDADMM CCOC(=O)Cc1ncc([N-]S(=O)(=O)CC2CCC2)cn1 ZINC001193479934 746116646 /nfs/dbraw/zinc/11/66/46/746116646.db2.gz KXTUIRJIRJCBKZ-UHFFFAOYSA-N -1 1 313.379 1.124 20 0 DDADMM CCc1coc(C[N-]S(=O)(=O)Cc2noc3ccccc32)n1 ZINC001194044602 746263566 /nfs/dbraw/zinc/26/35/66/746263566.db2.gz GCPHEROTHCTCDQ-UHFFFAOYSA-N -1 1 321.358 1.998 20 0 DDADMM Cc1conc1CNC1CC(N(C)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC000998637794 752082195 /nfs/dbraw/zinc/08/21/95/752082195.db2.gz MFSAEOFCOMLZCN-UHFFFAOYSA-N -1 1 319.365 1.113 20 0 DDADMM Nc1nonc1[N-]C(=O)c1cn(-c2cccc(Cl)c2)nn1 ZINC001194140148 746302444 /nfs/dbraw/zinc/30/24/44/746302444.db2.gz LGANAIPCJGAUED-UHFFFAOYSA-N -1 1 305.685 1.138 20 0 DDADMM COC(=O)c1nn(C)cc1[N-]S(=O)(=O)CC[Si](C)(C)C ZINC001195042389 746515679 /nfs/dbraw/zinc/51/56/79/746515679.db2.gz VPUYRIMOUUXOJD-UHFFFAOYSA-N -1 1 319.459 1.287 20 0 DDADMM COC(=O)CN(Cc1ccccc1)C(=O)c1nc(C)ccc1[O-] ZINC001195324127 746565428 /nfs/dbraw/zinc/56/54/28/746565428.db2.gz NBLVVHVKWYZMSG-UHFFFAOYSA-N -1 1 314.341 1.911 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(OC)cc1C(=O)OC ZINC001195481964 746621687 /nfs/dbraw/zinc/62/16/87/746621687.db2.gz BMRVUJOJXCBBED-UHFFFAOYSA-N -1 1 312.347 1.925 20 0 DDADMM O=[P@]([O-])(O)CCCCNC(=S)NCc1ccccc1 ZINC001195647709 746664386 /nfs/dbraw/zinc/66/43/86/746664386.db2.gz HBXQOPNTBRTZRP-UHFFFAOYSA-N -1 1 302.336 1.609 20 0 DDADMM CCOC(=O)[C@H](NC(=O)c1c[nH]c(=S)[n-]c1=O)[C@@H](C)CC ZINC001196023945 746760196 /nfs/dbraw/zinc/76/01/96/746760196.db2.gz PCVVDAKJJVATBY-IONNQARKSA-N -1 1 313.379 1.179 20 0 DDADMM O=C(NC[C@H]1Cc2ccccc2O1)c1c[nH]c(=S)[n-]c1=O ZINC001196023892 746760241 /nfs/dbraw/zinc/76/02/41/746760241.db2.gz NKWGEHQWNULBKF-SECBINFHSA-N -1 1 303.343 1.204 20 0 DDADMM Cc1ncc(C[NH2+]C2CC(N(C)C(=O)c3ncccc3[O-])C2)o1 ZINC000998671893 752120616 /nfs/dbraw/zinc/12/06/16/752120616.db2.gz BVUZHDVAUGMPFA-UHFFFAOYSA-N -1 1 316.361 1.476 20 0 DDADMM O=C(N[C@@H]1CCc2c1cccc2O)c1c[nH]c(=S)[n-]c1=O ZINC001196027124 746765819 /nfs/dbraw/zinc/76/58/19/746765819.db2.gz RGBFKWSKCCFDEG-SNVBAGLBSA-N -1 1 303.343 1.594 20 0 DDADMM O=C(N[C@H]1CCc2c1cccc2O)c1c[nH]c(=S)[n-]c1=O ZINC001196027113 746765961 /nfs/dbraw/zinc/76/59/61/746765961.db2.gz RGBFKWSKCCFDEG-JTQLQIEISA-N -1 1 303.343 1.594 20 0 DDADMM Cc1cc(C2([N-]S(=O)(=O)CC3(F)CC3)CCOCC2)on1 ZINC000918449170 746880924 /nfs/dbraw/zinc/88/09/24/746880924.db2.gz SOWIEFCVCFHYDI-UHFFFAOYSA-N -1 1 318.370 1.410 20 0 DDADMM Cn1ncc2c1ncnc2[N-]C(=O)c1noc(C(C)(C)C)n1 ZINC001196978706 747023679 /nfs/dbraw/zinc/02/36/79/747023679.db2.gz IPGYBWKKCKIHTK-UHFFFAOYSA-N -1 1 301.310 1.296 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000994658987 747273010 /nfs/dbraw/zinc/27/30/10/747273010.db2.gz IFNYFNANBROHTE-IJLUTSLNSA-N -1 1 315.373 1.164 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cncc(CO)c2)c(C)c1 ZINC001198911268 747647592 /nfs/dbraw/zinc/64/75/92/747647592.db2.gz GNEMTFIZEWDVMF-UHFFFAOYSA-N -1 1 308.359 1.692 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc4ccn(C)c43)c1-2 ZINC001198992451 747676867 /nfs/dbraw/zinc/67/68/67/747676867.db2.gz YNAAYDKGQGQCFL-UHFFFAOYSA-N -1 1 306.329 1.779 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccn(C(C)C)c(=O)c3)c1-2 ZINC001199492607 747861929 /nfs/dbraw/zinc/86/19/29/747861929.db2.gz ZKCFDRMBLLYTOQ-UHFFFAOYSA-N -1 1 312.333 1.030 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccn(C(C)C)c(=O)c2)n1 ZINC001199492404 747862332 /nfs/dbraw/zinc/86/23/32/747862332.db2.gz QTOUJGUFGVXWSN-UHFFFAOYSA-N -1 1 318.333 1.581 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1CCC1 ZINC001004326592 748325309 /nfs/dbraw/zinc/32/53/09/748325309.db2.gz FUFHZNPQGILXOH-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)CC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004702865 748662311 /nfs/dbraw/zinc/66/23/11/748662311.db2.gz XUFMTUWDNXFLCS-VXGBXAGGSA-N -1 1 309.414 1.416 20 0 DDADMM CCCCCC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202472671 748799696 /nfs/dbraw/zinc/79/96/96/748799696.db2.gz YESKWQRINZLXOT-NEPJUHHUSA-N -1 1 321.425 1.608 20 0 DDADMM COc1ccc(NCc2ncc(C)o2)cc1[N-]S(C)(=O)=O ZINC000876841998 749337494 /nfs/dbraw/zinc/33/74/94/749337494.db2.gz WNIJGLGFGMYXGT-UHFFFAOYSA-N -1 1 311.363 1.975 20 0 DDADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)C1CCC1)c1ncccc1[O-] ZINC000996144865 749394519 /nfs/dbraw/zinc/39/45/19/749394519.db2.gz ROYBKEMMQPRTLO-AGIUHOORSA-N -1 1 315.373 1.449 20 0 DDADMM O=C([N-]CCNc1cncc(N2CCCCC2)n1)C(F)(F)F ZINC001156203127 762004940 /nfs/dbraw/zinc/00/49/40/762004940.db2.gz JBBAZAICCWFXLX-UHFFFAOYSA-N -1 1 317.315 1.557 20 0 DDADMM CCN(CC)c1cncc(NCC[N-]C(=O)C(F)(F)F)n1 ZINC001156203593 762006807 /nfs/dbraw/zinc/00/68/07/762006807.db2.gz SEQZRHSDDUQQLX-UHFFFAOYSA-N -1 1 305.304 1.413 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cncc3ccccc32)s1 ZINC000089765755 750729521 /nfs/dbraw/zinc/72/95/21/750729521.db2.gz CRGPUAOFPHFAPL-LLVKDONJSA-N -1 1 300.343 1.520 20 0 DDADMM O=P([O-])([O-])OCCNc1[nH+]ccc2c3c([nH]c21)CCCC3 ZINC001168589109 751200834 /nfs/dbraw/zinc/20/08/34/751200834.db2.gz CZDDPCSUVNLDOR-UHFFFAOYSA-N -1 1 311.278 1.963 20 0 DDADMM C[C@@H]1CCCN(C(=O)CC(C)(C)C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036514483 752501070 /nfs/dbraw/zinc/50/10/70/752501070.db2.gz VFMUAIHHFBFVNA-VXGBXAGGSA-N -1 1 323.441 1.663 20 0 DDADMM CC(C)C(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062150158 752794117 /nfs/dbraw/zinc/79/41/17/752794117.db2.gz XPFJBLKMSIDTOZ-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062434468 752987452 /nfs/dbraw/zinc/98/74/52/752987452.db2.gz ARQCRGQDNXZYEY-QWRGUYRKSA-N -1 1 303.362 1.212 20 0 DDADMM CCCC(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008976571 753017484 /nfs/dbraw/zinc/01/74/84/753017484.db2.gz MXPCULFSAQGBDK-CNDDSTCGSA-N -1 1 303.362 1.022 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2c1cccc(F)n1 ZINC001062480020 753047945 /nfs/dbraw/zinc/04/79/45/753047945.db2.gz YNCQPIKDMRXUSJ-QWRGUYRKSA-N -1 1 314.320 1.425 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036684973 753348182 /nfs/dbraw/zinc/34/81/82/753348182.db2.gz PYUWMUFDZYRVIL-QWRGUYRKSA-N -1 1 323.372 1.197 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C(C)(C)C)C1 ZINC001005953217 753414624 /nfs/dbraw/zinc/41/46/24/753414624.db2.gz SHOYPGYVCCZSAE-JTQLQIEISA-N -1 1 305.378 1.410 20 0 DDADMM Cc1nocc1C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001078126050 753761364 /nfs/dbraw/zinc/76/13/64/753761364.db2.gz IPPLVNBSAGPVEI-VIFPVBQESA-N -1 1 318.333 1.022 20 0 DDADMM O=C(N[C@@H]1CCN(C/C(Cl)=C/Cl)C1)c1ncccc1[O-] ZINC001010733655 754131022 /nfs/dbraw/zinc/13/10/22/754131022.db2.gz QZUDJIXISUGBAY-ABRRARGCSA-N -1 1 316.188 1.910 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(C)(C)F ZINC001012160548 754899178 /nfs/dbraw/zinc/89/91/78/754899178.db2.gz QVBPKQMPEWGSAZ-UWVGGRQHSA-N -1 1 309.341 1.255 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C=C1CCC1 ZINC001012466117 755091010 /nfs/dbraw/zinc/09/10/10/755091010.db2.gz ZTQVTQUMSZJFDS-DGCLKSJQSA-N -1 1 315.373 1.617 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C=C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012504692 755112564 /nfs/dbraw/zinc/11/25/64/755112564.db2.gz CCWFVYBTTMZQNQ-AAEUAGOBSA-N -1 1 315.373 1.617 20 0 DDADMM CCN(C(=O)CC1CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079554667 755439599 /nfs/dbraw/zinc/43/95/99/755439599.db2.gz LBLMSCGXCYULPA-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CC/C(C)=C/C(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC001079652778 755503064 /nfs/dbraw/zinc/50/30/64/755503064.db2.gz HVHRGQAAJADMAQ-FMIVXFBMSA-N -1 1 317.389 1.816 20 0 DDADMM CC(C)(F)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001001001818 762457751 /nfs/dbraw/zinc/45/77/51/762457751.db2.gz SOFLGPSPFLMRHB-MXWKQRLJSA-N -1 1 321.352 1.397 20 0 DDADMM Cc1ccc(N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)nn1 ZINC001065245402 758391376 /nfs/dbraw/zinc/39/13/76/758391376.db2.gz TVPFOKAQROIJIL-INIZCTEOSA-N -1 1 313.361 1.284 20 0 DDADMM CC[C@H](F)CN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784753 758808897 /nfs/dbraw/zinc/80/88/97/758808897.db2.gz WIKOJUCINBEFCY-RYUDHWBXSA-N -1 1 323.368 1.108 20 0 DDADMM C/C=C(\C)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066032276 759014743 /nfs/dbraw/zinc/01/47/43/759014743.db2.gz OKOODGNFTJFZAZ-QQFGACLNSA-N -1 1 317.389 1.864 20 0 DDADMM Cc1nc(C)c(CN[C@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)o1 ZINC001018724350 759188522 /nfs/dbraw/zinc/18/85/22/759188522.db2.gz VUYHDIAJSLISBU-NSHDSACASA-N -1 1 319.365 1.033 20 0 DDADMM Cc1conc1CN[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001018755861 759226116 /nfs/dbraw/zinc/22/61/16/759226116.db2.gz HDDVQYZPBRXICQ-NSHDSACASA-N -1 1 302.334 1.088 20 0 DDADMM CC(C)C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018795719 759271329 /nfs/dbraw/zinc/27/13/29/759271329.db2.gz VYMCLSXPXOSWON-UHFFFAOYSA-N -1 1 303.362 1.118 20 0 DDADMM O=C(c1ncc2c(F)cccn21)N1CCC(c2nn[n-]n2)CC1 ZINC000828215141 759541191 /nfs/dbraw/zinc/54/11/91/759541191.db2.gz FUXVEDQYNMVJKH-UHFFFAOYSA-N -1 1 315.312 1.006 20 0 DDADMM C[C@@H]1CN(C(=O)CC2(C)CCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054629561 759919273 /nfs/dbraw/zinc/91/92/73/759919273.db2.gz RJGDDIBTRKVYBA-NEPJUHHUSA-N -1 1 321.425 1.417 20 0 DDADMM CC(C)(C)CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001536871 762944386 /nfs/dbraw/zinc/94/43/86/762944386.db2.gz MROLAVRYVGMINY-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1c1cnc(F)cn1 ZINC001050206661 763321500 /nfs/dbraw/zinc/32/15/00/763321500.db2.gz URQFKWLQFIQUTO-ZJUUUORDSA-N -1 1 317.324 1.114 20 0 DDADMM CSCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531083 763716497 /nfs/dbraw/zinc/71/64/97/763716497.db2.gz QNCRGKXRNCEYNR-QWRGUYRKSA-N -1 1 323.418 1.117 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(Cc2cccc(F)c2)C1 ZINC001042645488 764308416 /nfs/dbraw/zinc/30/84/16/764308416.db2.gz VIDAEFUNXFPILY-UHFFFAOYSA-N -1 1 315.348 1.883 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)C1(C)CC1 ZINC001052421924 765810337 /nfs/dbraw/zinc/81/03/37/765810337.db2.gz OUGIBSUEJGKUGT-RYUDHWBXSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)C(C)(C)C ZINC001052422059 765810676 /nfs/dbraw/zinc/81/06/76/765810676.db2.gz PKGXECFLDGHYNC-NWDGAFQWSA-N -1 1 319.405 1.658 20 0 DDADMM C/C=C(/C)C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052423279 765811390 /nfs/dbraw/zinc/81/13/90/765811390.db2.gz VQNGJAFVTBEKBZ-FZXKYSEESA-N -1 1 317.389 1.578 20 0 DDADMM Cc1nccc(N2CCC(NC(=O)c3ncccc3[O-])CC2)n1 ZINC001057861754 766105472 /nfs/dbraw/zinc/10/54/72/766105472.db2.gz PFJCKJRLWJZHCM-UHFFFAOYSA-N -1 1 313.361 1.284 20 0 DDADMM Cc1nccc(NCC2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC001067843577 766747301 /nfs/dbraw/zinc/74/73/01/766747301.db2.gz PTWJUQWHNLMZDV-UHFFFAOYSA-N -1 1 313.361 1.506 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(Cc2csnn2)C1 ZINC001046262232 767319771 /nfs/dbraw/zinc/31/97/71/767319771.db2.gz NEBXHCVNRMWXHK-CQSZACIVSA-N -1 1 319.390 1.033 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1C[C@H](c2ccccc2)C[C@H]1C ZINC001121674181 782621465 /nfs/dbraw/zinc/62/14/65/782621465.db2.gz ZWKGTDLZHPAFOO-DGCLKSJQSA-N -1 1 324.392 1.861 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1C[C@H](c2ccccc2)C[C@H]1C ZINC001121674181 782621469 /nfs/dbraw/zinc/62/14/69/782621469.db2.gz ZWKGTDLZHPAFOO-DGCLKSJQSA-N -1 1 324.392 1.861 20 0 DDADMM C[C@H]1C[C@@H](Nc2cnc(F)cn2)CN1C(=O)c1ncccc1[O-] ZINC001069064502 767813502 /nfs/dbraw/zinc/81/35/02/767813502.db2.gz BUUHDIMMNVHUNL-VHSXEESVSA-N -1 1 317.324 1.431 20 0 DDADMM CC(C)=CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071953494 770341084 /nfs/dbraw/zinc/34/10/84/770341084.db2.gz ZTSSWXWXYUYSIQ-VXGBXAGGSA-N -1 1 303.362 1.330 20 0 DDADMM CC/C(C)=C/C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001072031915 770452939 /nfs/dbraw/zinc/45/29/39/770452939.db2.gz MJRNGUCZSMVSSN-DPGNGVPNSA-N -1 1 317.389 1.720 20 0 DDADMM Cc1nc(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)c(C)[nH]1 ZINC001136819678 772160536 /nfs/dbraw/zinc/16/05/36/772160536.db2.gz ZHFRLJDIRWVLSH-UHFFFAOYSA-N -1 1 313.321 1.371 20 0 DDADMM COC[C@H]1CN(Cc2cc(F)c([O-])cc2F)Cc2nnn(C)c21 ZINC001144650757 772587871 /nfs/dbraw/zinc/58/78/71/772587871.db2.gz BCBMEJHXZLCRKG-SNVBAGLBSA-N -1 1 324.331 1.545 20 0 DDADMM COC(=O)CC[C@H]1COCCN1Cc1cc(F)c([O-])cc1F ZINC001144663787 772590022 /nfs/dbraw/zinc/59/00/22/772590022.db2.gz JAMZUTBURMSNOZ-NSHDSACASA-N -1 1 315.316 1.824 20 0 DDADMM CC(C)(CC(=O)Nc1c(N)[nH]c(=O)[n-]c1=O)c1ccccc1 ZINC001144725780 772602692 /nfs/dbraw/zinc/60/26/92/772602692.db2.gz RIGGYNQKKRXMQL-UHFFFAOYSA-N -1 1 302.334 1.776 20 0 DDADMM Cc1n[nH]nc1C(=O)[N-]c1nonc1-c1nc2ccccc2[nH]1 ZINC001147565741 773182756 /nfs/dbraw/zinc/18/27/56/773182756.db2.gz YLBLLVBDNJOZQM-UHFFFAOYSA-N -1 1 310.277 1.292 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCC3(O)CCC3)cc2)[n-]1 ZINC001148335651 773440852 /nfs/dbraw/zinc/44/08/52/773440852.db2.gz DHWBVNFWUNJTRP-UHFFFAOYSA-N -1 1 301.346 1.444 20 0 DDADMM O=C(c1cccc(-c2ccccc2)c1[O-])N1C[C@H](O)C[C@@H]1CO ZINC001148872984 773622611 /nfs/dbraw/zinc/62/26/11/773622611.db2.gz OMQCUIYPCPUXRQ-ZIAGYGMSSA-N -1 1 313.353 1.627 20 0 DDADMM Cn1cc(NC(=O)c2cc([O-])c(Br)c(O)c2)nn1 ZINC001148981597 773663817 /nfs/dbraw/zinc/66/38/17/773663817.db2.gz MLXKGEZXRDTFSZ-UHFFFAOYSA-N -1 1 313.111 1.241 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036804969 774682275 /nfs/dbraw/zinc/68/22/75/774682275.db2.gz SNHZRLAZGLIIJZ-RYUDHWBXSA-N -1 1 321.425 1.369 20 0 DDADMM CCc1cc(N(C)CCNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001100072862 775646971 /nfs/dbraw/zinc/64/69/71/775646971.db2.gz HFMLLSLJFPENFD-UHFFFAOYSA-N -1 1 315.377 1.314 20 0 DDADMM NCc1nccnc1Nc1cc(C(F)(F)F)c(C(=O)[O-])nn1 ZINC001171449508 776125378 /nfs/dbraw/zinc/12/53/78/776125378.db2.gz GVRVNZRZAYZSKP-UHFFFAOYSA-N -1 1 314.227 1.186 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(N[C@H]3CSc4ccccc4C3)c2[nH]1 ZINC001172197739 776720448 /nfs/dbraw/zinc/72/04/48/776720448.db2.gz PVNUEFUFGXZHAX-MRVPVSSYSA-N -1 1 315.358 1.845 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(N[C@H]3CCc4ncsc4C3)c2[nH]1 ZINC001173130220 776991925 /nfs/dbraw/zinc/99/19/25/776991925.db2.gz VRIHLWNAFZHQCB-YFKPBYRVSA-N -1 1 304.335 1.142 20 0 DDADMM CCC[C@H](C)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102789548 778403027 /nfs/dbraw/zinc/40/30/27/778403027.db2.gz HEUCSLSLCPFJHK-YNEHKIRRSA-N -1 1 323.441 1.521 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)/C=C/C1CC1 ZINC001102821944 778425493 /nfs/dbraw/zinc/42/54/93/778425493.db2.gz AGGPOBCWMBFCKJ-WPCUQIPDSA-N -1 1 319.409 1.051 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C(F)(F)c3ccccn3)c1-2 ZINC001177297087 778516646 /nfs/dbraw/zinc/51/66/46/778516646.db2.gz WJKXSKJGYRRAGF-UHFFFAOYSA-N -1 1 304.260 1.160 20 0 DDADMM CSc1nc(NC(=O)C2(c3ccncc3)CC2)cc(=O)[n-]1 ZINC001177606049 778665635 /nfs/dbraw/zinc/66/56/35/778665635.db2.gz XYJQEPPYRRZQPM-UHFFFAOYSA-N -1 1 302.359 1.969 20 0 DDADMM COC[C@@H](NC(=O)c1ccc2sccc2c1)c1nn[n-]n1 ZINC001177828963 778741072 /nfs/dbraw/zinc/74/10/72/778741072.db2.gz TWFVXDAFVPFWQL-SNVBAGLBSA-N -1 1 303.347 1.532 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(F)c(Cl)c1F)c1nn[n-]n1 ZINC001177829568 778751889 /nfs/dbraw/zinc/75/18/89/778751889.db2.gz ZVOWNFBYKXPKMO-SSDOTTSWSA-N -1 1 317.683 1.249 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C1(c2ccc(F)cn2)CC1 ZINC001178076316 778886314 /nfs/dbraw/zinc/88/63/14/778886314.db2.gz SRWHJMQNKFOJCL-UHFFFAOYSA-N -1 1 319.252 1.490 20 0 DDADMM CCC(CC)[C@H](C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179032162 779269499 /nfs/dbraw/zinc/26/94/99/779269499.db2.gz JGXYFIVXEBECQB-GVXVVHGQSA-N -1 1 323.441 1.662 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](O)CC1)c1ccc(Cl)nc1F ZINC000692854181 779491294 /nfs/dbraw/zinc/49/12/94/779491294.db2.gz OKHAXPQRVRZKJK-ZKCHVHJHSA-N -1 1 308.762 1.456 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)CN1CCc2ccccc21 ZINC001180283382 779703936 /nfs/dbraw/zinc/70/39/36/779703936.db2.gz PQEIUCSMFYSITR-UHFFFAOYSA-N -1 1 314.345 1.588 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(F)c(OC)c2)n1 ZINC001180422712 779773660 /nfs/dbraw/zinc/77/36/60/779773660.db2.gz SRHDISZDUVJGBX-UHFFFAOYSA-N -1 1 321.308 1.915 20 0 DDADMM Cc1ccn([C@@H](C)CC(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC001118462869 781130047 /nfs/dbraw/zinc/13/00/47/781130047.db2.gz ITJBFYQQRSRAJG-JTQLQIEISA-N -1 1 321.299 1.754 20 0 DDADMM Cc1nc2c(C(=O)Nc3cc(=O)n(-c4ccccc4)[n-]3)c[nH]n2n1 ZINC001154659648 861333067 /nfs/dbraw/zinc/33/30/67/861333067.db2.gz BLVMXRBIQXUDKL-UHFFFAOYSA-N -1 1 323.316 1.509 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1CCC[C@H]1OC ZINC001363978517 842914716 /nfs/dbraw/zinc/91/47/16/842914716.db2.gz ZDKILAWMJZEGQP-DTWKUNHWSA-N -1 1 306.409 1.245 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CCC1(C)CC1 ZINC001409261345 844727178 /nfs/dbraw/zinc/72/71/78/844727178.db2.gz IRVISLPBVHRWMP-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@H]1[C@@H](OCCCC[P@](=O)([O-])O)CN1C(=O)OC(C)(C)C ZINC001225019570 845782047 /nfs/dbraw/zinc/78/20/47/845782047.db2.gz YMFSASSPWGZTGQ-QWRGUYRKSA-N -1 1 323.326 1.969 20 0 DDADMM CN1CCO[C@@H](CNC(=O)c2cc3cccc(O)c3cc2[O-])C1 ZINC001149654083 861785389 /nfs/dbraw/zinc/78/53/89/861785389.db2.gz UOHNFDMTMIUJEK-LBPRGKRZSA-N -1 1 316.357 1.311 20 0 DDADMM O=C(N[C@@H]1CCCNC(=O)C1)c1cc2cccc(O)c2cc1[O-] ZINC001149656108 861788749 /nfs/dbraw/zinc/78/87/49/861788749.db2.gz FTBORQRLIJDMPT-LLVKDONJSA-N -1 1 314.341 1.650 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cccc2ccccc21 ZINC001149717335 861847077 /nfs/dbraw/zinc/84/70/77/861847077.db2.gz KCUUKHNEDPMUIN-UHFFFAOYSA-N -1 1 311.345 1.183 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCC(C)(C)C1 ZINC001434566529 848721435 /nfs/dbraw/zinc/72/14/35/848721435.db2.gz KBSJONUHUPHLGU-VIFPVBQESA-N -1 1 315.395 1.443 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@@H]3CCCNC(=O)C3)c([O-])c2n1 ZINC001155661514 862351438 /nfs/dbraw/zinc/35/14/38/862351438.db2.gz YWBYSSZDXZQRJW-GFCCVEGCSA-N -1 1 313.357 1.647 20 0 DDADMM COc1cc(NC(=O)c2cnc(SC)[n-]c2=O)ccc1O ZINC001155864047 862517648 /nfs/dbraw/zinc/51/76/48/862517648.db2.gz QSPWROOAMUQKLO-UHFFFAOYSA-N -1 1 307.331 1.871 20 0 DDADMM COC[C@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C(=O)OC ZINC001411950267 854026741 /nfs/dbraw/zinc/02/67/41/854026741.db2.gz ASBPRFICPSUZOA-ZDUSSCGKSA-N -1 1 319.313 1.169 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC2(CCN2Cc2ccc[nH]2)C1 ZINC001275975287 854054004 /nfs/dbraw/zinc/05/40/04/854054004.db2.gz QPIIIVLJIIPCPY-UHFFFAOYSA-N -1 1 315.348 1.960 20 0 DDADMM CC(C)NC(=O)OC[C@H]1CCCCN1C(=O)c1cnncc1[O-] ZINC001412116370 854233605 /nfs/dbraw/zinc/23/36/05/854233605.db2.gz PAWIDKFJFOBKTP-LLVKDONJSA-N -1 1 322.365 1.312 20 0 DDADMM NC(=O)[C@@H](NC(=O)Cc1ccc([O-])c(Cl)c1)c1ccccn1 ZINC001412164983 854287173 /nfs/dbraw/zinc/28/71/73/854287173.db2.gz LJJGJZMPMVYLCG-AWEZNQCLSA-N -1 1 319.748 1.326 20 0 DDADMM Cc1nocc1[N-]C(=O)c1cc(S(=O)(=O)N(C)C)oc1C ZINC001412208517 854335453 /nfs/dbraw/zinc/33/54/53/854335453.db2.gz LORJDAYDZAJAII-UHFFFAOYSA-N -1 1 313.335 1.387 20 0 DDADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])[C@@H]1CCC[C@H](C)C1 ZINC001412244242 854369469 /nfs/dbraw/zinc/36/94/69/854369469.db2.gz KPMSQHRKZKOYOZ-RWMBFGLXSA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@H](C(=O)OC(C)(C)C)N(C)C(=O)CCCc1nn[n-]n1 ZINC001412307125 854427462 /nfs/dbraw/zinc/42/74/62/854427462.db2.gz UTSWRLBHDHNPMO-SNVBAGLBSA-N -1 1 311.386 1.101 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cncc(CC)c2)n[n-]1 ZINC001412325707 854442775 /nfs/dbraw/zinc/44/27/75/854442775.db2.gz DMCUECBFJHUBBH-SECBINFHSA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cncc(CC)c2)[n-]1 ZINC001412325707 854442776 /nfs/dbraw/zinc/44/27/76/854442776.db2.gz DMCUECBFJHUBBH-SECBINFHSA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cncc(CC)c2)n1 ZINC001412325707 854442781 /nfs/dbraw/zinc/44/27/81/854442781.db2.gz DMCUECBFJHUBBH-SECBINFHSA-N -1 1 317.349 1.430 20 0 DDADMM O=C(N[C@@H](CO)[C@H](O)c1ccccn1)c1cc(Cl)ccc1[O-] ZINC001412462850 854581623 /nfs/dbraw/zinc/58/16/23/854581623.db2.gz PSBDOZQKVOLDSR-GXTWGEPZSA-N -1 1 322.748 1.265 20 0 DDADMM CC(C)CC(=O)N1CCCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001412478720 854600080 /nfs/dbraw/zinc/60/00/80/854600080.db2.gz IOQDFKUFKXEPRL-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM O=C(NCc1ccccc1N1CCOCC1)c1ccc([O-])cn1 ZINC001412479732 854603782 /nfs/dbraw/zinc/60/37/82/854603782.db2.gz UFPOOZZLKDQJDS-UHFFFAOYSA-N -1 1 313.357 1.554 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCC[C@@H]3OCC[C@H]32)c(=O)[n-]1 ZINC001412640957 854862943 /nfs/dbraw/zinc/86/29/43/854862943.db2.gz OTRFRESLKHZNTI-GDPRMGEGSA-N -1 1 309.391 1.592 20 0 DDADMM O=C(N[C@@H]1CCCC[C@@H]1N1CCOCC1)c1ccc([O-])cc1F ZINC001412647090 854873556 /nfs/dbraw/zinc/87/35/56/854873556.db2.gz RFWWKYSPWMCAOC-CVEARBPZSA-N -1 1 322.380 1.905 20 0 DDADMM CSc1ncc(C(=O)NCC[C@@H]2CCCC[C@@H]2O)c(=O)[n-]1 ZINC001412723411 855023627 /nfs/dbraw/zinc/02/36/27/855023627.db2.gz QOZMBCJRLORDQB-ONGXEEELSA-N -1 1 311.407 1.575 20 0 DDADMM COC(=O)[C@]1(NC(=O)c2cc(Cl)ccc2[O-])CCSC1 ZINC001412778051 855259145 /nfs/dbraw/zinc/25/91/45/855259145.db2.gz XHWFGYKLAIYNIL-ZDUSSCGKSA-N -1 1 315.778 1.824 20 0 DDADMM O=C(NCc1ncccc1Br)c1ccc([O-])cn1 ZINC001412801219 855284573 /nfs/dbraw/zinc/28/45/73/855284573.db2.gz KHDBAJGLAGZYJA-UHFFFAOYSA-N -1 1 308.135 1.875 20 0 DDADMM CSc1ncc(C(=O)N2CCCC[C@]23CCOC3)c(=O)[n-]1 ZINC001412813881 855301936 /nfs/dbraw/zinc/30/19/36/855301936.db2.gz OEYZBFXPYSYFIL-CQSZACIVSA-N -1 1 309.391 1.689 20 0 DDADMM O=C(N[C@H]1CCCN(C2CCCCC2)C1=O)c1ccc([O-])cn1 ZINC001412834954 855544393 /nfs/dbraw/zinc/54/43/93/855544393.db2.gz FRPLRYONAALITI-HNNXBMFYSA-N -1 1 317.389 1.841 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ncc(Cl)s2)n[n-]1 ZINC001412843043 855718029 /nfs/dbraw/zinc/71/80/29/855718029.db2.gz UFRSGUJKAWSSNA-UHFFFAOYSA-N -1 1 315.742 1.021 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ncc(Cl)s2)n1 ZINC001412843043 855718031 /nfs/dbraw/zinc/71/80/31/855718031.db2.gz UFRSGUJKAWSSNA-UHFFFAOYSA-N -1 1 315.742 1.021 20 0 DDADMM CC[C@H]1CCCC[C@@H]1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC001413029385 855992592 /nfs/dbraw/zinc/99/25/92/855992592.db2.gz ZIFPGMHDYUGETB-QWHCGFSZSA-N -1 1 319.405 1.850 20 0 DDADMM CC(C)CC(=O)N[C@@H]1C[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001413086259 856391458 /nfs/dbraw/zinc/39/14/58/856391458.db2.gz PABIESUUBSIPEP-NWDGAFQWSA-N -1 1 305.378 1.553 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCO[C@H]([C@@H](C)O)C1 ZINC001413100491 856430510 /nfs/dbraw/zinc/43/05/10/856430510.db2.gz HKAPRFRMEWPIPC-MNOVXSKESA-N -1 1 323.393 1.010 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NCc2csnn2)n[n-]1 ZINC001413220983 856561391 /nfs/dbraw/zinc/56/13/91/856561391.db2.gz CABDMFRBXDEGCS-SNVBAGLBSA-N -1 1 324.410 1.710 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NCc2csnn2)[n-]1 ZINC001413220983 856561397 /nfs/dbraw/zinc/56/13/97/856561397.db2.gz CABDMFRBXDEGCS-SNVBAGLBSA-N -1 1 324.410 1.710 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NCc2csnn2)n1 ZINC001413220983 856561402 /nfs/dbraw/zinc/56/14/02/856561402.db2.gz CABDMFRBXDEGCS-SNVBAGLBSA-N -1 1 324.410 1.710 20 0 DDADMM C[C@H]1CCc2nnc(NCC[N-]C(=O)C(F)(F)F)cc2C1 ZINC001156206483 862819505 /nfs/dbraw/zinc/81/95/05/862819505.db2.gz ZHOMBXFQNUTCQH-QMMMGPOBSA-N -1 1 302.300 1.692 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2[C@H](C)CCC[C@@H]2C)[n-]n1 ZINC001413351507 856701769 /nfs/dbraw/zinc/70/17/69/856701769.db2.gz WTNXRVKTYRQYDJ-GDGBQDQQSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2[C@H](C)CCC[C@@H]2C)n[n-]1 ZINC001413351507 856701774 /nfs/dbraw/zinc/70/17/74/856701774.db2.gz WTNXRVKTYRQYDJ-GDGBQDQQSA-N -1 1 315.395 1.299 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@]2(O)CCCC2(C)C)sn1 ZINC001413359603 856713045 /nfs/dbraw/zinc/71/30/45/856713045.db2.gz OEFMBXOUUNDYNB-LBPRGKRZSA-N -1 1 320.436 1.371 20 0 DDADMM O=C(N[C@H](CO)[C@@H](O)c1cccnc1)c1cc(Cl)ccc1[O-] ZINC001413416635 856787850 /nfs/dbraw/zinc/78/78/50/856787850.db2.gz KRDDYOBITUSJRQ-OCCSQVGLSA-N -1 1 322.748 1.265 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1C[C@H]1Cc1ccccc1 ZINC001413481499 856870388 /nfs/dbraw/zinc/87/03/88/856870388.db2.gz KPSKRILFZGXXDG-CHWSQXEVSA-N -1 1 321.402 1.722 20 0 DDADMM COC[C@H](NC(=O)c1cccc(Cl)c1Cl)c1nn[n-]n1 ZINC001413557620 857065718 /nfs/dbraw/zinc/06/57/18/857065718.db2.gz UAIGQFZDSJPRMW-QMMMGPOBSA-N -1 1 316.148 1.624 20 0 DDADMM Cc1cccnc1-c1noc(-c2nc(Br)n[n-]2)n1 ZINC001213002111 862932431 /nfs/dbraw/zinc/93/24/31/862932431.db2.gz OOPBMHXKHHGEKN-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM Cc1cccnc1-c1noc(-c2n[n-]c(Br)n2)n1 ZINC001213002111 862932435 /nfs/dbraw/zinc/93/24/35/862932435.db2.gz OOPBMHXKHHGEKN-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM O=C([C@@H]1C[C@@H]2C=C[C@H]1CC2)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123999341 859519052 /nfs/dbraw/zinc/51/90/52/859519052.db2.gz VBEGKBLSVJTEOQ-ZOBORPQBSA-N -1 1 301.394 1.583 20 0 DDADMM O=C([O-])COc1ccc(CN2CCC(F)(F)[C@@H](CO)C2)cc1 ZINC001138923811 860229043 /nfs/dbraw/zinc/22/90/43/860229043.db2.gz ILWNPNHLADZRJR-GFCCVEGCSA-N -1 1 315.316 1.600 20 0 DDADMM COc1cccc(C[N@H+]2CC[C@@H](C)[C@@H](O)C2)c1OCC(=O)[O-] ZINC001139268499 860342846 /nfs/dbraw/zinc/34/28/46/860342846.db2.gz LAUIOOKQGZMBCQ-YPMHNXCESA-N -1 1 309.362 1.361 20 0 DDADMM COc1cccc(CN2CC[C@@H](C)[C@@H](O)C2)c1OCC(=O)[O-] ZINC001139268499 860342852 /nfs/dbraw/zinc/34/28/52/860342852.db2.gz LAUIOOKQGZMBCQ-YPMHNXCESA-N -1 1 309.362 1.361 20 0 DDADMM COc1cccc(CN2C[C@H](O)CC[C@H]2C)c1OCC(=O)[O-] ZINC001139268975 860344582 /nfs/dbraw/zinc/34/45/82/860344582.db2.gz WOYXULYENYMVSQ-DGCLKSJQSA-N -1 1 309.362 1.504 20 0 DDADMM CCOC(=O)c1cnc2n1CCN(Cc1ccncc1[O-])C2 ZINC001140281099 860610775 /nfs/dbraw/zinc/61/07/75/860610775.db2.gz WRFBDOSTJHIYLN-UHFFFAOYSA-N -1 1 302.334 1.176 20 0 DDADMM Cc1[nH]c(CN2CCN(C[C@H]3CCCO3)CC2)c(C)c1C(=O)[O-] ZINC001140496229 860645360 /nfs/dbraw/zinc/64/53/60/860645360.db2.gz WVCFLAXUDUSMEF-CQSZACIVSA-N -1 1 321.421 1.626 20 0 DDADMM O=C1CN(Cc2ccc([O-])c(F)c2F)CCN1c1ccccn1 ZINC001140892512 860726571 /nfs/dbraw/zinc/72/65/71/860726571.db2.gz QCSQSLBCTGJRNZ-UHFFFAOYSA-N -1 1 319.311 1.914 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnc3ncccc23)n1 ZINC001154333575 861014360 /nfs/dbraw/zinc/01/43/60/861014360.db2.gz BFIRUTBOTZNLLO-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM CN(C)c1nc(NC(=O)Cc2ccccn2)c(N=O)c(=O)[n-]1 ZINC001142159263 861044708 /nfs/dbraw/zinc/04/47/08/861044708.db2.gz BGFDZWZAYVSMCL-UHFFFAOYSA-N -1 1 302.294 1.222 20 0 DDADMM CN(C)c1nc(NC(=O)Cc2ccncc2)c(N=O)c(=O)[n-]1 ZINC001142177807 861052806 /nfs/dbraw/zinc/05/28/06/861052806.db2.gz NXZZGOCHIHXSQF-UHFFFAOYSA-N -1 1 302.294 1.222 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ocnc3C3CC3)nc2n1 ZINC001328634239 863120864 /nfs/dbraw/zinc/12/08/64/863120864.db2.gz OWRYTKZYKJEVIV-UHFFFAOYSA-N -1 1 314.305 1.098 20 0 DDADMM CCC(CC)(NC(=O)c1ccc2nccnc2c1)c1nn[n-]n1 ZINC001156920063 863447381 /nfs/dbraw/zinc/44/73/81/863447381.db2.gz FEQYCJVBYJNCTI-UHFFFAOYSA-N -1 1 311.349 1.588 20 0 DDADMM CC[C@@H](C)c1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001152677505 863488282 /nfs/dbraw/zinc/48/82/82/863488282.db2.gz WMYYLHZZYZLTAY-LLVKDONJSA-N -1 1 317.393 1.543 20 0 DDADMM O=C(NCCCNCC(F)(F)C(F)F)c1ncccc1[O-] ZINC001156989863 863501495 /nfs/dbraw/zinc/50/14/95/863501495.db2.gz DZYLFAKGYPQQTQ-UHFFFAOYSA-N -1 1 309.263 1.397 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=S)NCC2CCC2)C1 ZINC001329274682 863572354 /nfs/dbraw/zinc/57/23/54/863572354.db2.gz PXIGUJQFXDCXQO-LBPRGKRZSA-N -1 1 323.384 1.804 20 0 DDADMM O=C(Nc1cccn2nccc12)c1cnc2cccnc2c1[O-] ZINC001153850502 864156707 /nfs/dbraw/zinc/15/67/07/864156707.db2.gz FLNUMULJCKOSFH-UHFFFAOYSA-N -1 1 305.297 1.823 20 0 DDADMM Cc1cc(C(=O)Nc2ccc([O-])c(F)c2F)ncc1C(N)=O ZINC001157811368 864162398 /nfs/dbraw/zinc/16/23/98/864162398.db2.gz CPAYEMVFMBNYGW-UHFFFAOYSA-N -1 1 307.256 1.725 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1cn2c(n1)COCC2 ZINC001361495809 881764678 /nfs/dbraw/zinc/76/46/78/881764678.db2.gz CATCNYCDYQTIBT-UHFFFAOYSA-N -1 1 315.333 1.040 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nc4ccc(Cl)nc4[nH]3)c2[nH]1 ZINC001159189668 865156034 /nfs/dbraw/zinc/15/60/34/865156034.db2.gz OKKNCSZUGXFUOZ-UHFFFAOYSA-N -1 1 318.684 1.384 20 0 DDADMM Cc1nc(Cl)c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc1C ZINC001159189149 865156372 /nfs/dbraw/zinc/15/63/72/865156372.db2.gz HMXFHMZSYCAJAM-UHFFFAOYSA-N -1 1 307.701 1.520 20 0 DDADMM COC(=O)c1nc(Cl)cc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159199064 865161599 /nfs/dbraw/zinc/16/15/99/865161599.db2.gz BBQVHTYAMHCKPJ-UHFFFAOYSA-N -1 1 321.684 1.032 20 0 DDADMM Cc1cc2c(c(NCc3nccc(Cl)n3)n1)C(=O)[N-]C2=O ZINC001159479743 865331598 /nfs/dbraw/zinc/33/15/98/865331598.db2.gz XGLMLULAHRHALV-UHFFFAOYSA-N -1 1 303.709 1.329 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)[C@@](C)(OC)C2CC2)sn1 ZINC001475391823 865615522 /nfs/dbraw/zinc/61/55/22/865615522.db2.gz SQQIXWGRSIRTGA-PRHODGIISA-N -1 1 320.436 1.634 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1)c1cccnn1 ZINC001161203026 866439330 /nfs/dbraw/zinc/43/93/30/866439330.db2.gz FVWDXFSJNKQGPX-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2n[nH]nc2C)c(=O)[n-]1 ZINC001361598031 881976951 /nfs/dbraw/zinc/97/69/51/881976951.db2.gz UBACJAIWRJTRFX-UHFFFAOYSA-N -1 1 308.367 1.210 20 0 DDADMM Cn1ccc(-c2nc(CNCCSCC(=O)[O-])cs2)n1 ZINC001333176799 866488684 /nfs/dbraw/zinc/48/86/84/866488684.db2.gz UKYRXBMIXJMCKO-UHFFFAOYSA-N -1 1 312.420 1.451 20 0 DDADMM CS[C@H](C)C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001416643854 866586252 /nfs/dbraw/zinc/58/62/52/866586252.db2.gz RQJFXVAUICDMBI-SNVBAGLBSA-N -1 1 311.407 1.069 20 0 DDADMM C[C@H]1[C@H](Oc2cc(=O)[n-]c(=S)[nH]2)CN1C(=O)OC(C)(C)C ZINC001225764526 882016799 /nfs/dbraw/zinc/01/67/99/882016799.db2.gz FYEUXEICNVEGOA-JGVFFNPUSA-N -1 1 313.379 1.858 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2ccc(C)nc2Cl)n1 ZINC001324339651 867212381 /nfs/dbraw/zinc/21/23/81/867212381.db2.gz BORQLUJPGCVFSH-UHFFFAOYSA-N -1 1 301.759 1.525 20 0 DDADMM C[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)c1csnn1 ZINC001162100363 867253334 /nfs/dbraw/zinc/25/33/34/867253334.db2.gz NNVLSTMNRYPTAS-ZCFIWIBFSA-N -1 1 306.376 1.361 20 0 DDADMM Cc1ccnc([C@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC001162319282 867443911 /nfs/dbraw/zinc/44/39/11/867443911.db2.gz ZOCCFQRALGXZLE-VIFPVBQESA-N -1 1 314.374 1.607 20 0 DDADMM Cc1ccnc([C@@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC001162319271 867444188 /nfs/dbraw/zinc/44/41/88/867444188.db2.gz ZOCCFQRALGXZLE-SECBINFHSA-N -1 1 314.374 1.607 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1C[C@H]2CCC[C@@H](C1)C2O ZINC001324709105 867467647 /nfs/dbraw/zinc/46/76/47/867467647.db2.gz WXIHJSNKZQBKLB-HWYHXSKPSA-N -1 1 318.402 1.251 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1C[C@@H](C(=O)OCC)C1 ZINC001225901422 882100561 /nfs/dbraw/zinc/10/05/61/882100561.db2.gz PEJURNXUSIKVJF-DTORHVGOSA-N -1 1 310.306 1.079 20 0 DDADMM CN1[C@@H]2C[C@H](Oc3nc(C(F)(F)F)cc(=O)[n-]3)[C@H]1CC(=O)C2 ZINC001225978098 882140070 /nfs/dbraw/zinc/14/00/70/882140070.db2.gz NQRSEVUKVDDNND-ZQARSLAVSA-N -1 1 317.267 1.384 20 0 DDADMM COCCOc1cc(C)ccc1CNC(=O)c1cnncc1[O-] ZINC001335810394 868552306 /nfs/dbraw/zinc/55/23/06/868552306.db2.gz KKASACMFZZWWLZ-UHFFFAOYSA-N -1 1 317.345 1.446 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ncccc2OC)[n-]1 ZINC001361756677 882305213 /nfs/dbraw/zinc/30/52/13/882305213.db2.gz FCJQQWQXOVJTKA-UHFFFAOYSA-N -1 1 317.301 1.660 20 0 DDADMM CC(C)[C@@H]1CN(c2nnc(-c3nnn[n-]3)n2C)c2ccccc21 ZINC001337932713 869698635 /nfs/dbraw/zinc/69/86/35/869698635.db2.gz HWZKWNGRJPQGOO-NSHDSACASA-N -1 1 310.365 1.887 20 0 DDADMM CC(C)[C@@H]1CN(c2nnc(-c3nn[n-]n3)n2C)c2ccccc21 ZINC001337932713 869698642 /nfs/dbraw/zinc/69/86/42/869698642.db2.gz HWZKWNGRJPQGOO-NSHDSACASA-N -1 1 310.365 1.887 20 0 DDADMM C[C@H]1CN(c2nnc(Cc3nnn[n-]3)n2C)CCc2ccccc21 ZINC001338123872 869816684 /nfs/dbraw/zinc/81/66/84/869816684.db2.gz VMSJVMYIHWUJGE-NSHDSACASA-N -1 1 324.392 1.085 20 0 DDADMM C[C@H]1CN(c2nnc(Cc3nn[n-]n3)n2C)CCc2ccccc21 ZINC001338123872 869816694 /nfs/dbraw/zinc/81/66/94/869816694.db2.gz VMSJVMYIHWUJGE-NSHDSACASA-N -1 1 324.392 1.085 20 0 DDADMM CCc1ccc2c(c1)CCN2c1nnc(-c2noc(=O)[n-]2)n1C ZINC001339350161 870453869 /nfs/dbraw/zinc/45/38/69/870453869.db2.gz DTJCEHXFTRUMQD-UHFFFAOYSA-N -1 1 312.333 1.415 20 0 DDADMM O=C(CO[C@H]1CCOC1)Nc1nc(Br)ccc1[O-] ZINC001339488986 870521262 /nfs/dbraw/zinc/52/12/62/870521262.db2.gz FXIQIEZEKGMYOL-ZETCQYMHSA-N -1 1 317.139 1.294 20 0 DDADMM CC1=C(C)C[C@@H](C(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)CC1 ZINC001317241534 870524155 /nfs/dbraw/zinc/52/41/55/870524155.db2.gz MUFOXENOXKJNQQ-NEPJUHHUSA-N -1 1 307.398 1.241 20 0 DDADMM CCC(=CC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])CC ZINC001299011857 870833702 /nfs/dbraw/zinc/83/37/02/870833702.db2.gz DIFWFMNRHROLFG-CYBMUJFWSA-N -1 1 317.389 1.864 20 0 DDADMM CCOc1ncccc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001301650268 871016680 /nfs/dbraw/zinc/01/66/80/871016680.db2.gz QOPWVNCFFKPBOA-ZDUSSCGKSA-N -1 1 324.344 1.513 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccsc1)c1ccc(F)nc1F ZINC001309347463 871565971 /nfs/dbraw/zinc/56/59/71/871565971.db2.gz COLLKZQOFQATKH-QMMMGPOBSA-N -1 1 320.342 1.433 20 0 DDADMM CN1CCN(c2nccnc2-c2ccsc2C(=O)[O-])CC1 ZINC001205547988 871623265 /nfs/dbraw/zinc/62/32/65/871623265.db2.gz WQNMRDYROXXCFF-UHFFFAOYSA-N -1 1 304.375 1.655 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NCc1cc[nH]n1 ZINC001317919995 871624662 /nfs/dbraw/zinc/62/46/62/871624662.db2.gz UMBRDGJQJKTEIW-UHFFFAOYSA-N -1 1 324.344 1.135 20 0 DDADMM O=c1c([O-])c(O[C@H]2[C@@H]3CC[C@H]2CN(Cc2ccccc2)C3)c1=O ZINC001226606835 882535132 /nfs/dbraw/zinc/53/51/32/882535132.db2.gz NTQFFOQTWXNVEA-LAQFHYBYSA-N -1 1 313.353 1.278 20 0 DDADMM COc1ccc2c(c1)CN(c1nnc(-c3c[n-][nH]c3=O)n1C)C2 ZINC001341562567 871714146 /nfs/dbraw/zinc/71/41/46/871714146.db2.gz UIJOLJGSCIHEBS-UHFFFAOYSA-N -1 1 312.333 1.440 20 0 DDADMM C[C@H]1CCCN1C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001342118097 872015864 /nfs/dbraw/zinc/01/58/64/872015864.db2.gz PINMSJWWVXNTOG-VIFPVBQESA-N -1 1 312.316 1.340 20 0 DDADMM CC1(C)CC(=O)CC[C@@H]1Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226721318 882610382 /nfs/dbraw/zinc/61/03/82/882610382.db2.gz FIIAWWOCYPGMIX-LBPRGKRZSA-N -1 1 321.377 1.545 20 0 DDADMM CN(C(=O)c1cc(F)cc(Br)c1)c1nn[n-]n1 ZINC001361902000 882606429 /nfs/dbraw/zinc/60/64/29/882606429.db2.gz DWIPXCOEYCUZKN-UHFFFAOYSA-N -1 1 300.091 1.378 20 0 DDADMM CC(C)Cn1c(-c2nnn[n-]2)nnc1N(C)C[C@@H]1CC=CCC1 ZINC001343694402 872721197 /nfs/dbraw/zinc/72/11/97/872721197.db2.gz LFKHNAXVYQOKJI-GFCCVEGCSA-N -1 1 316.413 1.907 20 0 DDADMM CC(C)Cn1c(-c2nn[n-]n2)nnc1N(C)C[C@@H]1CC=CCC1 ZINC001343694402 872721201 /nfs/dbraw/zinc/72/12/01/872721201.db2.gz LFKHNAXVYQOKJI-GFCCVEGCSA-N -1 1 316.413 1.907 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1(C)C ZINC001346509604 873783176 /nfs/dbraw/zinc/78/31/76/873783176.db2.gz OSMRDFSOSWIRBJ-JMOVZRAMSA-N -1 1 311.407 1.569 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC([C@@H]2CCOC2)CC1 ZINC001346737230 873870135 /nfs/dbraw/zinc/87/01/35/873870135.db2.gz WDZJDZZDFTVVPI-LLVKDONJSA-N -1 1 323.418 1.668 20 0 DDADMM CCN1CCC[C@H](Oc2[n-]c(=O)nnc2Br)C1 ZINC001227067427 882808904 /nfs/dbraw/zinc/80/89/04/882808904.db2.gz YEVDOZANAQQWIO-ZETCQYMHSA-N -1 1 303.160 1.203 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@H]([C@@H]2CCCO2)C1 ZINC001347765499 874268960 /nfs/dbraw/zinc/26/89/60/874268960.db2.gz UKBLEEUFNIZXDZ-ZJUUUORDSA-N -1 1 309.391 1.420 20 0 DDADMM CCCCCC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001348165545 874392337 /nfs/dbraw/zinc/39/23/37/874392337.db2.gz GRQGUPYNJJZGNJ-UHFFFAOYSA-N -1 1 305.378 1.652 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)C1(CCF)CC1)c1ncccc1[O-] ZINC001378321738 874688714 /nfs/dbraw/zinc/68/87/14/874688714.db2.gz ZMZAWJQHRXUPPN-LLVKDONJSA-N -1 1 321.352 1.258 20 0 DDADMM Cc1cn(-c2ccccc2)nc1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001349002715 874917696 /nfs/dbraw/zinc/91/76/96/874917696.db2.gz QFVTVDQBQMEBAF-GFCCVEGCSA-N -1 1 323.360 1.324 20 0 DDADMM Cc1nc2ccc(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)cc2s1 ZINC001349002062 874918309 /nfs/dbraw/zinc/91/83/09/874918309.db2.gz JIJGLXPVWFQUPZ-SNVBAGLBSA-N -1 1 314.374 1.748 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC001349354105 875127614 /nfs/dbraw/zinc/12/76/14/875127614.db2.gz AYWGTKWGYVULQN-NXEZZACHSA-N -1 1 309.391 1.278 20 0 DDADMM COc1cc(C)c([N-]S(=O)(=O)c2ccc(F)cc2N)cn1 ZINC001209872090 875151898 /nfs/dbraw/zinc/15/18/98/875151898.db2.gz RBSVFXNGFZOFIX-UHFFFAOYSA-N -1 1 311.338 1.921 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1CCCc2cccnc21 ZINC001349448345 875179135 /nfs/dbraw/zinc/17/91/35/875179135.db2.gz ZPZROUHPMMHGQS-JTQLQIEISA-N -1 1 316.386 1.982 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@](CO)(C(C)C)C1 ZINC001349857730 875416030 /nfs/dbraw/zinc/41/60/30/875416030.db2.gz LWMUTMYSETVBQQ-AWEZNQCLSA-N -1 1 311.407 1.260 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H](C(=O)OC)c2cccc(F)c2)n1 ZINC001228312887 883439684 /nfs/dbraw/zinc/43/96/84/883439684.db2.gz YIQMEZAVVWJMAF-SECBINFHSA-N -1 1 309.253 1.024 20 0 DDADMM COC(=O)c1nc(O[C@@H](C(=O)OC)c2cccc(F)c2)n[n-]1 ZINC001228312887 883439691 /nfs/dbraw/zinc/43/96/91/883439691.db2.gz YIQMEZAVVWJMAF-SECBINFHSA-N -1 1 309.253 1.024 20 0 DDADMM C[C@H]1CCC[C@@]1(C)C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001349939808 875465028 /nfs/dbraw/zinc/46/50/28/875465028.db2.gz GFYUKZVTEOYNJG-YVEFUNNKSA-N -1 1 319.405 1.850 20 0 DDADMM CC(C)(C)c1nsc([N-]c2cnn(CS(C)(=O)=O)c2)n1 ZINC001210784468 875529004 /nfs/dbraw/zinc/52/90/04/875529004.db2.gz LFQBMXYXLOOLIC-UHFFFAOYSA-N -1 1 315.424 1.778 20 0 DDADMM Cc1ccc(C(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)cc1F ZINC001378785840 875630795 /nfs/dbraw/zinc/63/07/95/875630795.db2.gz ANTGIQNZFPSOJA-SNVBAGLBSA-N -1 1 321.356 1.208 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2cnc3nccnc3c2)ccc1N ZINC001213223193 875853432 /nfs/dbraw/zinc/85/34/32/875853432.db2.gz NTSGDZDJSBMWOG-UHFFFAOYSA-N -1 1 315.358 1.716 20 0 DDADMM CC(C)[C@@H](C)CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001214310889 876275475 /nfs/dbraw/zinc/27/54/75/876275475.db2.gz NOJALVHXTDDXCJ-QJPTWQEYSA-N -1 1 309.414 1.129 20 0 DDADMM Cc1c(C[S@@](C)=O)cccc1NC(=O)CCCc1nn[n-]n1 ZINC001362101614 883040281 /nfs/dbraw/zinc/04/02/81/883040281.db2.gz JCOMWFTYTTZELD-JOCHJYFZSA-N -1 1 321.406 1.348 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1ccco1 ZINC001381953094 883044234 /nfs/dbraw/zinc/04/42/34/883044234.db2.gz XNNAGUMNROGPII-JTQLQIEISA-N -1 1 303.318 1.271 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccccc1-n1ccnn1 ZINC000182331905 876631185 /nfs/dbraw/zinc/63/11/85/876631185.db2.gz ZLZBYCSMMSWUPE-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(CF)cc1)NCc1n[nH]c(=O)[n-]1 ZINC001379324836 876826006 /nfs/dbraw/zinc/82/60/06/876826006.db2.gz FKHWISCBFAWGLZ-JTQLQIEISA-N -1 1 321.356 1.230 20 0 DDADMM O=C(Cc1n[nH]c(C2CCOCC2)n1)[N-]Oc1ccccc1F ZINC001352646695 876849861 /nfs/dbraw/zinc/84/98/61/876849861.db2.gz GXXGQVZTNORTOI-UHFFFAOYSA-N -1 1 320.324 1.491 20 0 DDADMM CC[C@@H](C)[C@@H](C[N-]S(=O)(=O)c1csnc1OC)OC ZINC001364850738 889546718 /nfs/dbraw/zinc/54/67/18/889546718.db2.gz YFFKFZMNTTXFRS-RKDXNWHRSA-N -1 1 308.425 1.491 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2CCCC[C@@H]2OC)sn1 ZINC001364853805 889550833 /nfs/dbraw/zinc/55/08/33/889550833.db2.gz IYCZDADKAJAMMI-IUCAKERBSA-N -1 1 306.409 1.388 20 0 DDADMM COCC(C)(C)C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001381965724 883075667 /nfs/dbraw/zinc/07/56/67/883075667.db2.gz YSPCFQJJKBZRRT-LLVKDONJSA-N -1 1 323.393 1.037 20 0 DDADMM CC/C(C)=C/C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001352816112 876952901 /nfs/dbraw/zinc/95/29/01/876952901.db2.gz STXUSVALKNILJE-ZKQHCESOSA-N -1 1 303.362 1.474 20 0 DDADMM CCCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)c2cnncc2[O-])C1 ZINC001353541427 877407427 /nfs/dbraw/zinc/40/74/27/877407427.db2.gz PJOXQKQKZLMQRM-NWDGAFQWSA-N -1 1 320.393 1.339 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001379601850 877489910 /nfs/dbraw/zinc/48/99/10/877489910.db2.gz YTFYSJRSZMADAE-NSHDSACASA-N -1 1 303.366 1.035 20 0 DDADMM CN(C)c1nc(NC(=O)[C@@H](N)c2ccsc2)c(N=O)c(=O)[n-]1 ZINC001218663054 877651378 /nfs/dbraw/zinc/65/13/78/877651378.db2.gz LUXVPEVZQHNHJZ-ZETCQYMHSA-N -1 1 322.350 1.346 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)[C@H]1CCc2ccccc2N1 ZINC001218672344 877656407 /nfs/dbraw/zinc/65/64/07/877656407.db2.gz VIZASHHORCQGFI-SECBINFHSA-N -1 1 315.289 1.651 20 0 DDADMM CCC[C@@H]1CCCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001354842279 878265375 /nfs/dbraw/zinc/26/53/75/878265375.db2.gz JVPUXIQBRMUMHN-LLVKDONJSA-N -1 1 315.377 1.071 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H]2C[C@@H](C)n3ncnc32)c1 ZINC001300759601 878332372 /nfs/dbraw/zinc/33/23/72/878332372.db2.gz ZBPNKEXIMHWDRO-BXKDBHETSA-N -1 1 300.318 1.540 20 0 DDADMM Nc1ccc(F)cc1S(=O)(=O)[N-]c1ccc2c(c1)OCCO2 ZINC000315078074 878932777 /nfs/dbraw/zinc/93/27/77/878932777.db2.gz JVDVQQPHEYBOSU-UHFFFAOYSA-N -1 1 324.333 1.980 20 0 DDADMM C[C@@H](NC(=O)c1ccc[nH]1)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001380378837 879505421 /nfs/dbraw/zinc/50/54/21/879505421.db2.gz HTIWSBIZFVUHSZ-NXEZZACHSA-N -1 1 302.334 1.052 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NCC(C)(C)CN(C)C(=O)c1ncccc1[O-] ZINC001380545088 879911206 /nfs/dbraw/zinc/91/12/06/879911206.db2.gz XYWIUZJIKLJDAQ-VXGBXAGGSA-N -1 1 319.405 1.658 20 0 DDADMM O=C(C[C@@H]1C=CCC1)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001357551652 880044515 /nfs/dbraw/zinc/04/45/15/880044515.db2.gz FJHRWDNNWSBNFZ-ITDFMYJTSA-N -1 1 315.373 1.546 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CN(C(=O)c1cc([O-])cc(F)c1F)C2 ZINC001276826707 880185410 /nfs/dbraw/zinc/18/54/10/880185410.db2.gz CADIZLVTERUJHJ-ANRSDYALSA-N -1 1 310.300 1.221 20 0 DDADMM C[C@H](CNC(=O)c1cc(F)c[nH]1)N(C)C(=O)c1ncccc1[O-] ZINC001380834441 880490418 /nfs/dbraw/zinc/49/04/18/880490418.db2.gz ZHALSLKGELVCGT-SECBINFHSA-N -1 1 320.324 1.145 20 0 DDADMM Cc1cc2c(c(-c3ccc(F)c(CO)c3F)n1)C(=O)[N-]C2=O ZINC001222689320 880653356 /nfs/dbraw/zinc/65/33/56/880653356.db2.gz RVNJZTQYKAMXMU-UHFFFAOYSA-N -1 1 304.252 1.711 20 0 DDADMM CC(C)CC(=O)NC1(CNC(=O)c2ncccc2[O-])CCCC1 ZINC001416314679 881092316 /nfs/dbraw/zinc/09/23/16/881092316.db2.gz YDHNRZGMOXBEPV-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)c1nc(C2CCCCC2)no1 ZINC001362173049 883206461 /nfs/dbraw/zinc/20/64/61/883206461.db2.gz JGPGGHPTCKBPEX-VIFPVBQESA-N -1 1 319.369 1.440 20 0 DDADMM CCC[C@@H](NC(=O)c1nc(Cl)sc1C)c1nn[n-]n1 ZINC001362212518 883311217 /nfs/dbraw/zinc/31/12/17/883311217.db2.gz YIHVKRSPSUNEHQ-ZCFIWIBFSA-N -1 1 300.775 1.889 20 0 DDADMM CCN(C(=O)c1ccc(F)c([O-])c1)[C@H](C)CS(=O)(=O)CC ZINC001362289297 883489788 /nfs/dbraw/zinc/48/97/88/883489788.db2.gz AZGSEQDUQPARBQ-SNVBAGLBSA-N -1 1 317.382 1.817 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1OC(=O)CCC[C@H](C)O ZINC001228551048 883547516 /nfs/dbraw/zinc/54/75/16/883547516.db2.gz CCDMQSYQKQYABY-QMMMGPOBSA-N -1 1 314.363 1.399 20 0 DDADMM CNC(=O)N[C@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC001362331011 883581930 /nfs/dbraw/zinc/58/19/30/883581930.db2.gz HBJYVHUZTDXBMB-NSHDSACASA-N -1 1 314.345 1.084 20 0 DDADMM CCO[C@@H]1C[C@](CO)(NC(=O)c2ccc(F)c([O-])c2)C1(C)C ZINC001362366211 883654976 /nfs/dbraw/zinc/65/49/76/883654976.db2.gz DYDGINBORUFJEC-CZUORRHYSA-N -1 1 311.353 1.827 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2ccc(F)c([O-])c2)CCCC1 ZINC001362436636 883806357 /nfs/dbraw/zinc/80/63/57/883806357.db2.gz DBIHOHURYXMZKB-UHFFFAOYSA-N -1 1 315.366 1.619 20 0 DDADMM O=C(N[C@@H](CCO)C1CCOCC1)c1cc(Cl)ccc1[O-] ZINC001362461324 883866647 /nfs/dbraw/zinc/86/66/47/883866647.db2.gz LNLRTFLFFLWVHB-ZDUSSCGKSA-N -1 1 313.781 1.953 20 0 DDADMM CC[C@@]1(C)Oc2ccc(NC(=O)CCc3nn[n-]n3)cc2O1 ZINC001362496203 883939427 /nfs/dbraw/zinc/93/94/27/883939427.db2.gz FEUFPVSUTUVJED-AWEZNQCLSA-N -1 1 303.322 1.668 20 0 DDADMM CN1CCO[C@@H](COc2cc([O-])c(Cl)cc2Cl)C1=O ZINC001229406295 883965457 /nfs/dbraw/zinc/96/54/57/883965457.db2.gz PWOOZZUXARMERP-NSHDSACASA-N -1 1 306.145 1.935 20 0 DDADMM CSc1nc(CNC(=O)COc2ccccc2F)cc(=O)[n-]1 ZINC001362610808 884216622 /nfs/dbraw/zinc/21/66/22/884216622.db2.gz KKSGPUZJLUQINW-UHFFFAOYSA-N -1 1 323.349 1.738 20 0 DDADMM O=C(Nc1c[nH]c(C(=O)N2CCCC2)c1)c1ccc(O)cc1[O-] ZINC001362612815 884221674 /nfs/dbraw/zinc/22/16/74/884221674.db2.gz PEROLNYPZOAXKP-UHFFFAOYSA-N -1 1 315.329 1.914 20 0 DDADMM CC[C@@H]1C[C@@H](CC(=O)NC(CC)(CC)c2nn[n-]n2)CCO1 ZINC001362626077 884258226 /nfs/dbraw/zinc/25/82/26/884258226.db2.gz QLVVSOPUALMGQE-NWDGAFQWSA-N -1 1 309.414 1.927 20 0 DDADMM COCCN(CCF)C(=O)c1nc[n-]c(=O)c1Br ZINC001362644742 884306322 /nfs/dbraw/zinc/30/63/22/884306322.db2.gz GKPTVYHSALBIEG-UHFFFAOYSA-N -1 1 322.134 1.003 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@](C)(O)Cc2ccccc2)n1 ZINC001362680621 884401729 /nfs/dbraw/zinc/40/17/29/884401729.db2.gz WBWKCAABCJCNMB-INIZCTEOSA-N -1 1 317.345 1.519 20 0 DDADMM COC(=O)c1c[n-]c(O[C@@H]2CCN(C(=O)OC(C)(C)C)C2)n1 ZINC001230280568 884406347 /nfs/dbraw/zinc/40/63/47/884406347.db2.gz VTOARAIVQOQWEF-SECBINFHSA-N -1 1 311.338 1.585 20 0 DDADMM CC(C)[C@@H](NC(=O)CCc1nn[n-]n1)[C@H](O)c1ccccc1 ZINC001362757076 884565397 /nfs/dbraw/zinc/56/53/97/884565397.db2.gz BXGPJLXACJPBIY-HUUCEWRRSA-N -1 1 303.366 1.007 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C(C)(C)c1ccccn1 ZINC001362833643 884755003 /nfs/dbraw/zinc/75/50/03/884755003.db2.gz XJZAUPBIOTXZQS-UHFFFAOYSA-N -1 1 302.382 1.557 20 0 DDADMM CCc1ccccc1OCCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001362835382 884756926 /nfs/dbraw/zinc/75/69/26/884756926.db2.gz LQZFBZIJOYLRGK-UHFFFAOYSA-N -1 1 315.377 1.727 20 0 DDADMM CCC(CC)(NC(=O)c1cccc(NC(C)=O)c1)c1nn[n-]n1 ZINC001362854258 884804432 /nfs/dbraw/zinc/80/44/32/884804432.db2.gz HOOOGQFBVCBFTR-UHFFFAOYSA-N -1 1 316.365 1.603 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccc(C(C)=O)cc1)c1nn[n-]n1 ZINC001362858125 884813721 /nfs/dbraw/zinc/81/37/21/884813721.db2.gz QTUYBUQOWGJVON-UHFFFAOYSA-N -1 1 315.377 1.777 20 0 DDADMM CCC(CC)(NC(=O)C1=Cc2ccccc2OC1)c1nn[n-]n1 ZINC001362859709 884819581 /nfs/dbraw/zinc/81/95/81/884819581.db2.gz XZHSMHJYEAYJNC-UHFFFAOYSA-N -1 1 313.361 1.807 20 0 DDADMM Cc1noc(CCCC(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)n1 ZINC001362998216 885185850 /nfs/dbraw/zinc/18/58/50/885185850.db2.gz ZEPFDGPQAPSIJX-UHFFFAOYSA-N -1 1 321.337 1.628 20 0 DDADMM COC(=O)c1cc(NC(=O)CCCc2nn[n-]n2)c(C)s1 ZINC001363021546 885246175 /nfs/dbraw/zinc/24/61/75/885246175.db2.gz POGCCENAXTXDNN-UHFFFAOYSA-N -1 1 309.351 1.318 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCOC12CCCCC2 ZINC001363042283 885306110 /nfs/dbraw/zinc/30/61/10/885306110.db2.gz QKQPHQLMPLDVOF-SECBINFHSA-N -1 1 323.349 1.118 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccncc2Cl)n[n-]1 ZINC001363052172 885338461 /nfs/dbraw/zinc/33/84/61/885338461.db2.gz VMDDQKWGFGURFE-ZETCQYMHSA-N -1 1 323.740 1.521 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccncc2Cl)[n-]1 ZINC001363052172 885338482 /nfs/dbraw/zinc/33/84/82/885338482.db2.gz VMDDQKWGFGURFE-ZETCQYMHSA-N -1 1 323.740 1.521 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccncc2Cl)n1 ZINC001363052172 885338504 /nfs/dbraw/zinc/33/85/04/885338504.db2.gz VMDDQKWGFGURFE-ZETCQYMHSA-N -1 1 323.740 1.521 20 0 DDADMM CO[C@@H]1COCC[C@@H]1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001363070260 885387421 /nfs/dbraw/zinc/38/74/21/885387421.db2.gz QVKILZZIKNEIEH-VXGBXAGGSA-N -1 1 323.393 1.395 20 0 DDADMM CC[C@H]1CCC[C@]1(C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001363113113 885492275 /nfs/dbraw/zinc/49/22/75/885492275.db2.gz URZKSBREPKZOND-UFBFGSQYSA-N -1 1 300.384 1.363 20 0 DDADMM CC[C@H]1CCC[C@]1(C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001363113113 885492291 /nfs/dbraw/zinc/49/22/91/885492291.db2.gz URZKSBREPKZOND-UFBFGSQYSA-N -1 1 300.384 1.363 20 0 DDADMM COC(=O)CC1(NC(=O)c2c([O-])cnc3c(F)cccc32)CC1 ZINC001363213331 885729359 /nfs/dbraw/zinc/72/93/59/885729359.db2.gz QMADYYCWPQHTOD-UHFFFAOYSA-N -1 1 318.304 1.905 20 0 DDADMM O=C(N[C@H](CO)CC1OCCO1)c1cc2ccccc2cc1[O-] ZINC001363274465 885880774 /nfs/dbraw/zinc/88/07/74/885880774.db2.gz BRUWUYIUWNUNMJ-ZDUSSCGKSA-N -1 1 317.341 1.399 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C1(Nc2ccccc2)CC1 ZINC001363275585 885884318 /nfs/dbraw/zinc/88/43/18/885884318.db2.gz IRKWEMOIUQSDCN-UHFFFAOYSA-N -1 1 314.393 1.829 20 0 DDADMM Cc1ccc([C@H](C)[C@H](O)C(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001363278442 885893836 /nfs/dbraw/zinc/89/38/36/885893836.db2.gz SUJJNBMNCXYNIL-AAEUAGOBSA-N -1 1 315.377 1.168 20 0 DDADMM CCC[C@H](OC)C(=O)N1CCC[C@@H]1c1nn[n-]c1C(=O)OCC ZINC001363349677 886099624 /nfs/dbraw/zinc/09/96/24/886099624.db2.gz JDPICCQFWKDGGL-MNOVXSKESA-N -1 1 324.381 1.460 20 0 DDADMM CCC[C@H](OC)C(=O)N1CCC[C@@H]1c1[n-]nnc1C(=O)OCC ZINC001363349677 886099635 /nfs/dbraw/zinc/09/96/35/886099635.db2.gz JDPICCQFWKDGGL-MNOVXSKESA-N -1 1 324.381 1.460 20 0 DDADMM CCC[C@H](OC)C(=O)N1CCC[C@@H]1c1n[n-]nc1C(=O)OCC ZINC001363349677 886099643 /nfs/dbraw/zinc/09/96/43/886099643.db2.gz JDPICCQFWKDGGL-MNOVXSKESA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)Cc1ccco1 ZINC001363350415 886103747 /nfs/dbraw/zinc/10/37/47/886103747.db2.gz NOCMTGDXJFLILG-NSHDSACASA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)Cc1ccco1 ZINC001363350415 886103753 /nfs/dbraw/zinc/10/37/53/886103753.db2.gz NOCMTGDXJFLILG-NSHDSACASA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)Cc1ccco1 ZINC001363350415 886103759 /nfs/dbraw/zinc/10/37/59/886103759.db2.gz NOCMTGDXJFLILG-NSHDSACASA-N -1 1 318.333 1.481 20 0 DDADMM Cn1cnnc1[C@@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC001363360089 886129715 /nfs/dbraw/zinc/12/97/15/886129715.db2.gz UHJITNQMHIZXOR-MRVPVSSYSA-N -1 1 308.288 1.429 20 0 DDADMM CSc1nc(CNC(=O)c2cc(Cl)no2)cc(=O)[n-]1 ZINC001363456466 886389105 /nfs/dbraw/zinc/38/91/05/886389105.db2.gz JZLFZLUZMWYZML-UHFFFAOYSA-N -1 1 300.727 1.476 20 0 DDADMM CCNC(=O)c1cc(NC(=O)c2cnncc2[O-])c(C)s1 ZINC001363496764 886490116 /nfs/dbraw/zinc/49/01/16/886490116.db2.gz NDCKCCYITDGPOM-UHFFFAOYSA-N -1 1 306.347 1.554 20 0 DDADMM O=C(NCCc1ccc2c(c1)OCCCO2)c1ncccc1[O-] ZINC001363524287 886550736 /nfs/dbraw/zinc/55/07/36/886550736.db2.gz FZNSNAHAPHBYQX-UHFFFAOYSA-N -1 1 314.341 1.921 20 0 DDADMM Cc1noc(C(F)(F)F)c1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363526059 886553431 /nfs/dbraw/zinc/55/34/31/886553431.db2.gz RATMZFFWTPZAGH-ZCFIWIBFSA-N -1 1 316.243 1.145 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cncc(Br)c1 ZINC001363548664 886610786 /nfs/dbraw/zinc/61/07/86/886610786.db2.gz JXSAZSATBHOCQK-UHFFFAOYSA-N -1 1 323.154 1.166 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1conc1C(F)(F)F ZINC001363550909 886616439 /nfs/dbraw/zinc/61/64/39/886616439.db2.gz NMCZBQUOGMRFHM-UHFFFAOYSA-N -1 1 302.216 1.016 20 0 DDADMM CNc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)c(Cl)c1 ZINC001363551645 886618350 /nfs/dbraw/zinc/61/83/50/886618350.db2.gz PDLCGDYPQBQEBV-UHFFFAOYSA-N -1 1 306.757 1.704 20 0 DDADMM CCOC(=O)[C@@H]1C(=O)CCCN1Cc1cc([O-])c(F)c(F)c1 ZINC001232973404 886701291 /nfs/dbraw/zinc/70/12/91/886701291.db2.gz WQSHODOEJHQVAJ-AWEZNQCLSA-N -1 1 313.300 1.767 20 0 DDADMM Cc1cnc(C(=O)N2CC(=O)NC[C@@H]2c2ccccc2)c([O-])c1 ZINC001363592704 886715884 /nfs/dbraw/zinc/71/58/84/886715884.db2.gz BATGHYJUEZNDDU-CYBMUJFWSA-N -1 1 311.341 1.409 20 0 DDADMM Cc1cnc(N2CCN(Cc3cc(Cl)ncc3[O-])CC2)nc1 ZINC001233040796 886746521 /nfs/dbraw/zinc/74/65/21/886746521.db2.gz PEIJUNDMVSECRV-UHFFFAOYSA-N -1 1 319.796 1.861 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1ns[nH]c1=O ZINC001363658510 886907215 /nfs/dbraw/zinc/90/72/15/886907215.db2.gz WWOHXIGKFJUIOC-UHFFFAOYSA-N -1 1 301.274 1.200 20 0 DDADMM Cc1ncc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(C2CC2)n1 ZINC001363661522 886914798 /nfs/dbraw/zinc/91/47/98/886914798.db2.gz QKHCFSMHLVLDLF-UHFFFAOYSA-N -1 1 313.365 1.195 20 0 DDADMM COC(=O)c1coc(CNC(=O)c2c(F)ccc([O-])c2F)n1 ZINC001363770723 887181822 /nfs/dbraw/zinc/18/18/22/887181822.db2.gz PORJLCDOJSGWQK-UHFFFAOYSA-N -1 1 312.228 1.375 20 0 DDADMM CC(C)(C)[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CCC1 ZINC001384325750 887262483 /nfs/dbraw/zinc/26/24/83/887262483.db2.gz BOCILROCKZPLJF-CYBMUJFWSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1noc(C(F)F)c1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363807078 887273118 /nfs/dbraw/zinc/27/31/18/887273118.db2.gz SPXXTCOSHITTPN-UHFFFAOYSA-N -1 1 314.296 1.732 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1cc[nH]c1)NC(=O)c1ncccc1[O-] ZINC001384544087 887657735 /nfs/dbraw/zinc/65/77/35/887657735.db2.gz WCMOZGXOKSHRCV-WDEREUQCSA-N -1 1 316.361 1.442 20 0 DDADMM COc1ccc(CNS(=O)(=O)c2cc(OC)ccc2[O-])nc1 ZINC001363986211 887672497 /nfs/dbraw/zinc/67/24/97/887672497.db2.gz NLDJURCCJWQRSM-UHFFFAOYSA-N -1 1 324.358 1.283 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1CCOC1(C)C ZINC001364026644 887748741 /nfs/dbraw/zinc/74/87/41/887748741.db2.gz DESCZCOJVDUDLE-QMMMGPOBSA-N -1 1 306.409 1.245 20 0 DDADMM CCn1ncc(Br)c1C(=O)Nc1ccncc1[O-] ZINC001364046878 887792199 /nfs/dbraw/zinc/79/21/99/887792199.db2.gz DZFLDFLKIQYKTC-UHFFFAOYSA-N -1 1 311.139 1.440 20 0 DDADMM O=S(=O)([N-]CC1(OCCO)CCCCC1)c1ccns1 ZINC001364128510 887984103 /nfs/dbraw/zinc/98/41/03/887984103.db2.gz OKYLCKWNGMWWFC-UHFFFAOYSA-N -1 1 320.436 1.133 20 0 DDADMM Cc1nc(C(N)=O)c(OC[C@@H](C)OCc2ccccc2)c(=O)[n-]1 ZINC001234470327 888002615 /nfs/dbraw/zinc/00/26/15/888002615.db2.gz QHSVXQXDAROCFF-SNVBAGLBSA-N -1 1 317.345 1.574 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2cnncc2[O-])c(F)c1 ZINC001364146944 888025308 /nfs/dbraw/zinc/02/53/08/888025308.db2.gz MKCKCFBCIPPPOV-UHFFFAOYSA-N -1 1 305.265 1.038 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncc(Br)cc2[O-])CC[N@H+]1C ZINC001364159104 888047338 /nfs/dbraw/zinc/04/73/38/888047338.db2.gz XJIAPTPELFPKSZ-APPZFPTMSA-N -1 1 314.183 1.372 20 0 DDADMM CC(C)n1cc(CNC(=O)c2ccc([O-])cc2F)c(=O)[nH]c1=O ZINC001364572832 888953282 /nfs/dbraw/zinc/95/32/82/888953282.db2.gz LDQAHGHPHINVSR-UHFFFAOYSA-N -1 1 321.308 1.305 20 0 DDADMM CCOC(=O)c1n[n-]c(CNCc2nnc(C(C)C)s2)n1 ZINC001364755044 889344713 /nfs/dbraw/zinc/34/47/13/889344713.db2.gz QHABCBFFYGHXJK-UHFFFAOYSA-N -1 1 310.383 1.246 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@H]1C[C@@H]1C1CC1 ZINC001364770377 889377251 /nfs/dbraw/zinc/37/72/51/889377251.db2.gz QTVUWOOQCYLBHP-GRYCIOLGSA-N -1 1 318.377 1.691 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@H]1C[C@@H]1C1CC1 ZINC001364770377 889377266 /nfs/dbraw/zinc/37/72/66/889377266.db2.gz QTVUWOOQCYLBHP-GRYCIOLGSA-N -1 1 318.377 1.691 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@H]1C[C@@H]1C1CC1 ZINC001364770377 889377277 /nfs/dbraw/zinc/37/72/77/889377277.db2.gz QTVUWOOQCYLBHP-GRYCIOLGSA-N -1 1 318.377 1.691 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1cscn1 ZINC001364795341 889433559 /nfs/dbraw/zinc/43/35/59/889433559.db2.gz NGNGWZRJNPAAJR-UHFFFAOYSA-N -1 1 305.406 1.129 20 0 DDADMM COC[C@@H](NC(=O)c1cccc2c1OC(C)(C)C2)c1nn[n-]n1 ZINC001364813796 889470271 /nfs/dbraw/zinc/47/02/71/889470271.db2.gz KDEWGQKTUUYCTR-LLVKDONJSA-N -1 1 317.349 1.031 20 0 DDADMM CC(C)(C)CC(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001385684551 889566078 /nfs/dbraw/zinc/56/60/78/889566078.db2.gz AHHCAOLQNHQULX-GFCCVEGCSA-N -1 1 319.405 1.848 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H]2CCOC2(C)C)sn1 ZINC001364912770 889656683 /nfs/dbraw/zinc/65/66/83/889656683.db2.gz FVLFSZANZLBZSC-MRVPVSSYSA-N -1 1 306.409 1.245 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCCc2ccccc2C1 ZINC001364958920 889760874 /nfs/dbraw/zinc/76/08/74/889760874.db2.gz FGLZFLZVDJGXBJ-ZDUSSCGKSA-N -1 1 321.402 1.791 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1(CF)CCC1 ZINC001385839376 889840953 /nfs/dbraw/zinc/84/09/53/889840953.db2.gz XERQIHJQDLRPCH-NSHDSACASA-N -1 1 321.352 1.258 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(F)(F)CC[C@@H]2C)[n-]n1 ZINC001365000187 889860757 /nfs/dbraw/zinc/86/07/57/889860757.db2.gz GAMYICYAHQVKFY-ZETCQYMHSA-N -1 1 323.321 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(F)(F)CC[C@@H]2C)n[n-]1 ZINC001365000187 889860774 /nfs/dbraw/zinc/86/07/74/889860774.db2.gz GAMYICYAHQVKFY-ZETCQYMHSA-N -1 1 323.321 1.005 20 0 DDADMM [O-]c1ccc(Br)c(CN2C[C@H](CO)[C@H](O)C2)c1F ZINC001238305005 890164232 /nfs/dbraw/zinc/16/42/32/890164232.db2.gz JCMNIGQVJKSKIM-RDDDGLTNSA-N -1 1 320.158 1.079 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC001365132193 890168259 /nfs/dbraw/zinc/16/82/59/890168259.db2.gz LAEDKIQYMVACHP-BBBLOLIVSA-N -1 1 318.420 1.388 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Nc2ccon2)[n-]c1=O ZINC001365161585 890228270 /nfs/dbraw/zinc/22/82/70/890228270.db2.gz UFWDAARZIFWPJW-QMMMGPOBSA-N -1 1 305.294 1.548 20 0 DDADMM C[C@@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)CC1(C)CC1 ZINC001396245775 913456819 /nfs/dbraw/zinc/45/68/19/913456819.db2.gz KAGCBWBQMGLYHC-RYUDHWBXSA-N -1 1 319.405 1.991 20 0 DDADMM CC1=NO[C@H](CNC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC001365515429 890960705 /nfs/dbraw/zinc/96/07/05/890960705.db2.gz NHLQGWSWPYRGCB-ZDUSSCGKSA-N -1 1 315.333 1.864 20 0 DDADMM NC(=O)[C@H]1CCCN1c1cc(-c2cc(F)ccc2[O-])ncn1 ZINC001240696790 891021262 /nfs/dbraw/zinc/02/12/62/891021262.db2.gz XJLDXOPQQNTUPL-GFCCVEGCSA-N -1 1 302.309 1.442 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NCc2cn(C(C)(C)C)nn2)n[n-]1 ZINC001365605069 891144639 /nfs/dbraw/zinc/14/46/39/891144639.db2.gz SLHKGDGOSQBUMO-SECBINFHSA-N -1 1 321.385 1.179 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2cn(C(C)(C)C)nn2)n1 ZINC001365605069 891144649 /nfs/dbraw/zinc/14/46/49/891144649.db2.gz SLHKGDGOSQBUMO-SECBINFHSA-N -1 1 321.385 1.179 20 0 DDADMM Cc1cc2c(c(-c3ccc([S@](C)=O)cc3)n1)C(=O)[N-]C2=O ZINC001241480279 891189078 /nfs/dbraw/zinc/18/90/78/891189078.db2.gz OJICGACKBAEAOS-NRFANRHFSA-N -1 1 300.339 1.678 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365634155 891218821 /nfs/dbraw/zinc/21/88/21/891218821.db2.gz VTWYOFIYHNPELL-ZJUUUORDSA-N -1 1 315.395 1.443 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365634155 891218836 /nfs/dbraw/zinc/21/88/36/891218836.db2.gz VTWYOFIYHNPELL-ZJUUUORDSA-N -1 1 315.395 1.443 20 0 DDADMM C[C@H](NS(=O)(=O)c1cccc(Cl)c1[O-])c1nnc[nH]1 ZINC001365697178 891353411 /nfs/dbraw/zinc/35/34/11/891353411.db2.gz JDQFGSFTGITEFT-LURJTMIESA-N -1 1 302.743 1.203 20 0 DDADMM CCC1(C(=O)N[C@H](C)CCNC(=O)c2ncccc2[O-])CCC1 ZINC001386668822 891446970 /nfs/dbraw/zinc/44/69/70/891446970.db2.gz PWJNACIVEJSTCG-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2nocc2C)cc1C ZINC001365748958 891453729 /nfs/dbraw/zinc/45/37/29/891453729.db2.gz ZSIVAEKGPMRQSJ-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM COc1ncc(-c2ccc3nncn3c2)cc1[N-]S(C)(=O)=O ZINC001244795044 891932672 /nfs/dbraw/zinc/93/26/72/891932672.db2.gz VNQVRZVBUFRSSD-UHFFFAOYSA-N -1 1 319.346 1.171 20 0 DDADMM CCCNC(=S)Nc1cc(S(=O)(=O)N(C)C)ccc1[O-] ZINC001244893249 891958610 /nfs/dbraw/zinc/95/86/10/891958610.db2.gz SUDMZEHNVQMRHB-UHFFFAOYSA-N -1 1 317.436 1.339 20 0 DDADMM O=C(CCNC(=O)C(=O)c1ccc([O-])cc1)Nc1cccnc1 ZINC001292453727 913697375 /nfs/dbraw/zinc/69/73/75/913697375.db2.gz RKWMAJCAPQFSGK-UHFFFAOYSA-N -1 1 313.313 1.115 20 0 DDADMM CCC[C@@H](C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001396399045 913731645 /nfs/dbraw/zinc/73/16/45/913731645.db2.gz DIJNUDLQAYALGE-JHJVBQTASA-N -1 1 319.405 1.800 20 0 DDADMM CCC[C@H](C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001387648520 893535175 /nfs/dbraw/zinc/53/51/75/893535175.db2.gz QJKWFHRCGFPCDD-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cnc3c(cnn3C)c2)cc1N ZINC001249664120 894087260 /nfs/dbraw/zinc/08/72/60/894087260.db2.gz CIDXZOVHADIBMR-UHFFFAOYSA-N -1 1 317.374 1.660 20 0 DDADMM CC(C)(C)N(Cc1ccc(F)c(C(=O)[O-])c1F)C[C@@H](O)CO ZINC001249762399 894119770 /nfs/dbraw/zinc/11/97/70/894119770.db2.gz DWKAEEANYIVOOA-SNVBAGLBSA-N -1 1 317.332 1.617 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NS(=O)(=O)c1cccs1 ZINC001250874880 894480591 /nfs/dbraw/zinc/48/05/91/894480591.db2.gz NXCPLWBPFUQCAW-UHFFFAOYSA-N -1 1 318.361 1.731 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NC[C@H](O)COc1ccccc1 ZINC001251159910 894651410 /nfs/dbraw/zinc/65/14/10/894651410.db2.gz SELMNVYZONDAJY-QMMMGPOBSA-N -1 1 322.346 1.721 20 0 DDADMM CC[C@H](CNC(=O)C(C)(C)CC)NC(=O)c1ncccc1[O-] ZINC001388170081 894702949 /nfs/dbraw/zinc/70/29/49/894702949.db2.gz LVLNHNDBIJPZFN-LLVKDONJSA-N -1 1 307.394 1.848 20 0 DDADMM O=C(CC1CC1)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001388341416 895023521 /nfs/dbraw/zinc/02/35/21/895023521.db2.gz FBHDJVXREKUJEQ-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(NCCN(C(=O)c1ncccc1[O-])C1CC1)c1ccco1 ZINC001388604783 895525131 /nfs/dbraw/zinc/52/51/31/895525131.db2.gz OOJAXEFIOIBWNJ-UHFFFAOYSA-N -1 1 315.329 1.415 20 0 DDADMM CCC(=O)N(CCNC(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC001388629632 895574819 /nfs/dbraw/zinc/57/48/19/895574819.db2.gz YJAXDJUIUQHJQF-UHFFFAOYSA-N -1 1 318.377 1.190 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)CCCF ZINC001388857632 896061350 /nfs/dbraw/zinc/06/13/50/896061350.db2.gz VWQVUKFUEXWWQX-LBPRGKRZSA-N -1 1 323.368 1.457 20 0 DDADMM Cn1ncc2c1nc(Sc1nnc(C(F)F)n1C)[n-]c2=O ZINC001254477109 896455933 /nfs/dbraw/zinc/45/59/33/896455933.db2.gz JESAFPDCUUXVTL-UHFFFAOYSA-N -1 1 313.293 1.286 20 0 DDADMM Cc1cnccc1C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001389173283 896609147 /nfs/dbraw/zinc/60/91/47/896609147.db2.gz ZWLBISPYUBEQRJ-LLVKDONJSA-N -1 1 314.345 1.039 20 0 DDADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1(C)CCC1 ZINC001367410120 896695736 /nfs/dbraw/zinc/69/57/36/896695736.db2.gz DURLODGIJAXYEF-NSHDSACASA-N -1 1 309.414 1.321 20 0 DDADMM CC[C@H](SC)C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001389331408 896952343 /nfs/dbraw/zinc/95/23/43/896952343.db2.gz YQPVVWQUKDITOZ-ONGXEEELSA-N -1 1 311.407 1.163 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CC1 ZINC001259042286 898437534 /nfs/dbraw/zinc/43/75/34/898437534.db2.gz CWNCGDFOWSVLIF-UHFFFAOYSA-N -1 1 323.292 1.478 20 0 DDADMM O=S(=O)([N-]CCc1nncs1)c1cc(F)c(F)cc1F ZINC001259044588 898438566 /nfs/dbraw/zinc/43/85/66/898438566.db2.gz QDMBUEGEFRABEU-UHFFFAOYSA-N -1 1 323.321 1.476 20 0 DDADMM CCCC[C@@H](CO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259081460 898455988 /nfs/dbraw/zinc/45/59/88/898455988.db2.gz WVTIVFQKRWNTDZ-QMMMGPOBSA-N -1 1 311.325 1.933 20 0 DDADMM Cc1ccc(S(=O)(=O)NCCCC[P@](=O)([O-])O)c(C)c1 ZINC001259104231 898471625 /nfs/dbraw/zinc/47/16/25/898471625.db2.gz MTVLZPVPCJRDIK-UHFFFAOYSA-N -1 1 321.335 1.540 20 0 DDADMM COC(=O)[C@](C)([N-]S(=O)(=O)c1ccccn1)c1ccccc1 ZINC001259292711 898591455 /nfs/dbraw/zinc/59/14/55/898591455.db2.gz CXQYVHXQPTZASK-OAHLLOKOSA-N -1 1 320.370 1.448 20 0 DDADMM O=c1[nH]cc([N-]S(=O)(=O)c2cc(Cl)ccc2F)c(=O)[nH]1 ZINC001259453389 898677942 /nfs/dbraw/zinc/67/79/42/898677942.db2.gz NVRJFMVQRIORBR-UHFFFAOYSA-N -1 1 319.701 1.481 20 0 DDADMM CCN(C(=O)C(C)C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001390100178 898680554 /nfs/dbraw/zinc/68/05/54/898680554.db2.gz PMHRZQDRVZLQGR-GFCCVEGCSA-N -1 1 305.378 1.506 20 0 DDADMM CN1C(=S)N=NC1[C@@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001293166070 914294694 /nfs/dbraw/zinc/29/46/94/914294694.db2.gz WYXWLTKDYCQGJR-SECBINFHSA-N -1 1 319.390 1.224 20 0 DDADMM Cc1ccc(C2(C[N-]S(=O)(=O)C(F)F)CCOCC2)nc1 ZINC001259964380 898988191 /nfs/dbraw/zinc/98/81/91/898988191.db2.gz OKINGNSWHKLXPJ-UHFFFAOYSA-N -1 1 320.361 1.580 20 0 DDADMM COc1ccc2c(c1)SC[C@@H]([N-]S(=O)(=O)C(F)F)C2 ZINC001259965074 898989579 /nfs/dbraw/zinc/98/95/79/898989579.db2.gz UUPVKQKREQPBEL-QMMMGPOBSA-N -1 1 309.359 1.854 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)c(C)n1 ZINC001260221919 899095262 /nfs/dbraw/zinc/09/52/62/899095262.db2.gz AQOPVQSSNXGGQR-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM O=C1OCC=C1[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260291254 899112047 /nfs/dbraw/zinc/11/20/47/899112047.db2.gz QBWHMYILRINGTL-UHFFFAOYSA-N -1 1 309.677 1.337 20 0 DDADMM O=c1[nH]c2ccc(S(=O)(=O)[N-]c3ccccc3)cc2c(=O)[nH]1 ZINC001260610360 899183613 /nfs/dbraw/zinc/18/36/13/899183613.db2.gz VLZKZVQHEPSGDZ-UHFFFAOYSA-N -1 1 317.326 1.842 20 0 DDADMM CO[C@@H](CNCc1ccc(Br)c(F)c1F)C(=O)[O-] ZINC001262163354 899994242 /nfs/dbraw/zinc/99/42/42/899994242.db2.gz QHIXNJSBTFRZNW-QMMMGPOBSA-N -1 1 324.121 1.917 20 0 DDADMM Cc1cccc2c1CCN(c1nnc(-c3c[n-][nH]c3=O)n1C)C2 ZINC001262888477 900407926 /nfs/dbraw/zinc/40/79/26/900407926.db2.gz VNCSAEXWIQSKRZ-UHFFFAOYSA-N -1 1 310.361 1.782 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])Cc1ncc(C)s1 ZINC001390897457 900464971 /nfs/dbraw/zinc/46/49/71/900464971.db2.gz HRZLVLVXGYXWEQ-UHFFFAOYSA-N -1 1 320.418 1.804 20 0 DDADMM CCn1c(-c2cc(Cl)ncc2[O-])nnc1N1CCCOCC1 ZINC001263041058 900474196 /nfs/dbraw/zinc/47/41/96/900474196.db2.gz WKJBYTBEJBWSPK-UHFFFAOYSA-N -1 1 323.784 1.946 20 0 DDADMM O=C(NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)C1CCCC1 ZINC001391289415 901401061 /nfs/dbraw/zinc/40/10/61/901401061.db2.gz UPTPHXUWCZWGMX-NSHDSACASA-N -1 1 307.398 1.029 20 0 DDADMM CCCC1(C(=O)N[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001369699267 901794016 /nfs/dbraw/zinc/79/40/16/901794016.db2.gz XRTHHMPZKPPFPG-RYUDHWBXSA-N -1 1 319.405 1.991 20 0 DDADMM Cc1n[nH]c(C(=O)NCCCN(C)Cc2cncc(F)c2)c1[O-] ZINC001265827196 902454663 /nfs/dbraw/zinc/45/46/63/902454663.db2.gz USNDTMSDAOMESK-UHFFFAOYSA-N -1 1 321.356 1.210 20 0 DDADMM Cc1nc(-c2ccccc2)c(C(=O)N(C)c2nn[n-]n2)s1 ZINC001279181880 903190672 /nfs/dbraw/zinc/19/06/72/903190672.db2.gz VWOLKWBXLSNNEK-UHFFFAOYSA-N -1 1 300.347 1.908 20 0 DDADMM CSC[C@H](C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396908666 914709190 /nfs/dbraw/zinc/70/91/90/914709190.db2.gz CMFRITHSYNTXDJ-WDEREUQCSA-N -1 1 323.418 1.117 20 0 DDADMM Cc1occc1C(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001279950930 903459030 /nfs/dbraw/zinc/45/90/30/903459030.db2.gz NHYNJDHMVYKCMU-NSHDSACASA-N -1 1 319.365 1.054 20 0 DDADMM CCC1(C(=O)N[C@H](C)C[C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001371285546 904634032 /nfs/dbraw/zinc/63/40/32/904634032.db2.gz XMKQSILBOWSWGY-NWDGAFQWSA-N -1 1 319.405 1.991 20 0 DDADMM CCCC(C)(C)C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001392775619 905219290 /nfs/dbraw/zinc/21/92/90/905219290.db2.gz XKUDWEYEEYWPGJ-NSHDSACASA-N -1 1 307.394 1.848 20 0 DDADMM CC[C@H]1CCC[C@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282981429 906391926 /nfs/dbraw/zinc/39/19/26/906391926.db2.gz LPOLEOFADKKGPE-NWDGAFQWSA-N -1 1 305.378 1.460 20 0 DDADMM C[C@@H](CC(=O)NCCNC(=O)c1ncccc1[O-])n1cccc1 ZINC001282982376 906394946 /nfs/dbraw/zinc/39/49/46/906394946.db2.gz UZPGQACKWUONRZ-LBPRGKRZSA-N -1 1 316.361 1.086 20 0 DDADMM CCO[C@H](CC)C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001283525662 907536104 /nfs/dbraw/zinc/53/61/04/907536104.db2.gz IRECMXYAQUCYOT-CYBMUJFWSA-N -1 1 323.393 1.181 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001393822870 908014110 /nfs/dbraw/zinc/01/41/10/908014110.db2.gz OXONIMUHKAAVJP-NWDGAFQWSA-N -1 1 317.389 1.458 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)C1=CCCCCC1 ZINC001393932445 908300402 /nfs/dbraw/zinc/30/04/02/908300402.db2.gz LNTNGZRMSPJWNN-GHMZBOCLSA-N -1 1 307.398 1.384 20 0 DDADMM C[C@@H](NC(=O)C1CC2(CCC2)C1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001393962573 908391995 /nfs/dbraw/zinc/39/19/95/908391995.db2.gz CIIQYABFJWXULA-VHSXEESVSA-N -1 1 307.398 1.073 20 0 DDADMM CC(C)[C@H](C)CC(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001372839474 908397576 /nfs/dbraw/zinc/39/75/76/908397576.db2.gz YFUZOUUNZGTXGK-VXGBXAGGSA-N -1 1 307.394 1.704 20 0 DDADMM CC(C)c1[nH]ccc1C(=O)N[C@@H](C)[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001393965849 908403072 /nfs/dbraw/zinc/40/30/72/908403072.db2.gz HCOZUFAKBXZZNR-ZJUUUORDSA-N -1 1 320.397 1.258 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001394436415 909624578 /nfs/dbraw/zinc/62/45/78/909624578.db2.gz AIIHUYIOQUOMPN-JHJVBQTASA-N -1 1 321.425 1.273 20 0 DDADMM CCc1nnc(CN[C@@H](CC)CNC(=O)c2ncccc2[O-])o1 ZINC001373747966 910843501 /nfs/dbraw/zinc/84/35/01/910843501.db2.gz IFAKPXLEWNLKPA-JTQLQIEISA-N -1 1 319.365 1.031 20 0 DDADMM CCc1cnc(CN[C@H](CC)CNC(=O)c2ncccc2[O-])o1 ZINC001373749692 910851957 /nfs/dbraw/zinc/85/19/57/910851957.db2.gz PBFHFDSXIACJNU-LLVKDONJSA-N -1 1 318.377 1.636 20 0 DDADMM CCc1cnc(CN[C@@H](CC)CNC(=O)c2ncccc2[O-])o1 ZINC001373749694 910854188 /nfs/dbraw/zinc/85/41/88/910854188.db2.gz PBFHFDSXIACJNU-NSHDSACASA-N -1 1 318.377 1.636 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C(C)=C/c3ccccn3)nc2n1 ZINC001287285811 912158783 /nfs/dbraw/zinc/15/87/83/912158783.db2.gz JCWWWTHCPNLPHQ-CSKARUKUSA-N -1 1 324.344 1.417 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C(C)=C\c3ccccn3)nc2n1 ZINC001287285812 912160468 /nfs/dbraw/zinc/16/04/68/912160468.db2.gz JCWWWTHCPNLPHQ-NTMALXAHSA-N -1 1 324.344 1.417 20 0 DDADMM O=C(CCC1CCC1)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001397261185 915590299 /nfs/dbraw/zinc/59/02/99/915590299.db2.gz NLRTUJPGEKCNTQ-BETUJISGSA-N -1 1 317.389 1.745 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)/C=C\C(C)(C)C ZINC001295469011 915824871 /nfs/dbraw/zinc/82/48/71/915824871.db2.gz FYPHRWDLMNQRIZ-SKVAFPRGSA-N -1 1 305.378 1.624 20 0 DDADMM C[C@H](CNC(=O)CCC1CCC1)CNC(=O)c1ncccc1[O-] ZINC001375674310 916669239 /nfs/dbraw/zinc/66/92/39/916669239.db2.gz NYNIVJYLPPHUNS-GFCCVEGCSA-N -1 1 319.405 1.850 20 0 DDADMM Cc1cc(CNC2(CNC(=O)c3[nH]nc(C)c3[O-])CCC2)on1 ZINC001376734493 919209301 /nfs/dbraw/zinc/20/93/01/919209301.db2.gz AZXRFVXVRHMTIM-UHFFFAOYSA-N -1 1 319.365 1.162 20 0 DDADMM CCC[C@@H](C)C(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001377666645 922631485 /nfs/dbraw/zinc/63/14/85/922631485.db2.gz BJKHLLCJDPIGGL-VXGBXAGGSA-N -1 1 309.414 1.275 20 0 DDADMM CCc1nnc(CN[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001377780628 923013450 /nfs/dbraw/zinc/01/34/50/923013450.db2.gz IWUZMTLUOPYIHR-NXEZZACHSA-N -1 1 319.365 1.029 20 0 DDADMM CC(C)CCOc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000278278778 214063895 /nfs/dbraw/zinc/06/38/95/214063895.db2.gz YUNBYWOJWWCPFO-UHFFFAOYSA-N -1 1 320.349 1.700 20 0 DDADMM COCc1csc(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)c1 ZINC000622882817 365555841 /nfs/dbraw/zinc/55/58/41/365555841.db2.gz BHZIONJQSDCRMS-UHFFFAOYSA-N -1 1 321.362 1.157 20 0 DDADMM CCO[C@@H](CC(=O)Nc1nc2nc(C)cc(=O)n2[n-]1)C(C)C ZINC000622870872 365550794 /nfs/dbraw/zinc/55/07/94/365550794.db2.gz JZSFYTCZTHKTEA-JTQLQIEISA-N -1 1 307.354 1.116 20 0 DDADMM Cc1cccc([C@H](C)C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c1 ZINC000622871223 365552259 /nfs/dbraw/zinc/55/22/59/365552259.db2.gz UPXYNPVYROLPRA-NSHDSACASA-N -1 1 311.345 1.777 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCC[C@H](OC)C3)nc2n1 ZINC000622993732 365586533 /nfs/dbraw/zinc/58/65/33/365586533.db2.gz YSRVMKCDAZZQSY-ONGXEEELSA-N -1 1 319.365 1.124 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CCc3nccs3)nc2n1 ZINC000622995189 365588199 /nfs/dbraw/zinc/58/81/99/365588199.db2.gz RQWHROAVIDYNGE-UHFFFAOYSA-N -1 1 318.362 1.008 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC3CCCCC3)nc2n1 ZINC000622995818 365589016 /nfs/dbraw/zinc/58/90/16/365589016.db2.gz QIQDVANAPMFVTL-UHFFFAOYSA-N -1 1 303.366 1.889 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(S(=O)(=O)C2CCCC2)cc1 ZINC000076964121 185061951 /nfs/dbraw/zinc/06/19/51/185061951.db2.gz NCEDBPVUOMRJMJ-UHFFFAOYSA-N -1 1 321.362 1.168 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(S(=O)(=O)C2CCCC2)cc1 ZINC000076964121 185061953 /nfs/dbraw/zinc/06/19/53/185061953.db2.gz NCEDBPVUOMRJMJ-UHFFFAOYSA-N -1 1 321.362 1.168 20 0 DDADMM CCc1c(C)[n-]n(-c2ccc(S(=O)(=O)NC(C)C)cn2)c1=O ZINC000614186754 361741751 /nfs/dbraw/zinc/74/17/51/361741751.db2.gz KXABNBUUCMHLLV-LBPRGKRZSA-N -1 1 324.406 1.517 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000286548532 219048914 /nfs/dbraw/zinc/04/89/14/219048914.db2.gz ZBKFRYSSEXGSQT-CFVMTHIKSA-N -1 1 309.337 1.533 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(Cc2cccnc2)CC1 ZINC000081933822 192341338 /nfs/dbraw/zinc/34/13/38/192341338.db2.gz GTHYUTJTOMYLOQ-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM Cc1cc(CO)oc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614390623 361834209 /nfs/dbraw/zinc/83/42/09/361834209.db2.gz WAXBMCARELYZSA-VIFPVBQESA-N -1 1 307.306 1.189 20 0 DDADMM COc1cc(CCC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)on1 ZINC000614389935 361834310 /nfs/dbraw/zinc/83/43/10/361834310.db2.gz CAGBUKUYRUSGPJ-SECBINFHSA-N -1 1 322.321 1.111 20 0 DDADMM O=C(c1ccnc2[nH]cnc21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614473342 361875163 /nfs/dbraw/zinc/87/51/63/361875163.db2.gz JOPMVFBHMHVBDX-UHFFFAOYSA-N -1 1 314.305 1.066 20 0 DDADMM Cn1nccc1N1CCC[C@@H](NC(=O)c2cc(F)ccc2[O-])C1 ZINC000278622769 214282313 /nfs/dbraw/zinc/28/23/13/214282313.db2.gz XBGFINPGMKOWNN-GFCCVEGCSA-N -1 1 318.352 1.664 20 0 DDADMM CCc1ccccc1N1C[C@@H](C(=O)[N-]OCC(C)(C)O)CC1=O ZINC000278628233 214286547 /nfs/dbraw/zinc/28/65/47/214286547.db2.gz NAPXGAVTPRMWRU-ZDUSSCGKSA-N -1 1 320.389 1.421 20 0 DDADMM O=S(=O)([N-][C@@H]1CCN(C2CC2)C1)c1sccc1Cl ZINC000451108820 231010373 /nfs/dbraw/zinc/01/03/73/231010373.db2.gz BGGUDDKFGGFVOP-MRVPVSSYSA-N -1 1 306.840 1.917 20 0 DDADMM O=C1NCCC[C@@H]1[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451137415 231017427 /nfs/dbraw/zinc/01/74/27/231017427.db2.gz UELZFNJTVUUREA-JTQLQIEISA-N -1 1 312.322 1.129 20 0 DDADMM C[S@](=O)CCC[N-]S(=O)(=O)c1sccc1Cl ZINC000451210513 231033904 /nfs/dbraw/zinc/03/39/04/231033904.db2.gz SWZBLOFBORIFCX-HNNXBMFYSA-N -1 1 301.842 1.448 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2(SC)CC2)o1 ZINC000278695422 214332128 /nfs/dbraw/zinc/33/21/28/214332128.db2.gz JMORHACEAGZOBN-UHFFFAOYSA-N -1 1 305.377 1.240 20 0 DDADMM Cc1nc(C)n(C2CN(C(=O)c3csc(=NC4CC4)[n-]3)C2)n1 ZINC000328785060 231342507 /nfs/dbraw/zinc/34/25/07/231342507.db2.gz OERYKROFAPSCBK-UHFFFAOYSA-N -1 1 318.406 1.045 20 0 DDADMM Cc1ccn2c(c1)n[n-]/c2=N\C(=O)c1cccc(CN(C)C)c1 ZINC000088359348 185246903 /nfs/dbraw/zinc/24/69/03/185246903.db2.gz HSPUSJILNPVZAT-UHFFFAOYSA-N -1 1 309.373 1.774 20 0 DDADMM CN(C(=O)c1cc(Br)ccc1[O-])C1(CO)CC1 ZINC000614765274 362016889 /nfs/dbraw/zinc/01/68/89/362016889.db2.gz AWXXLUYJVIWMDD-UHFFFAOYSA-N -1 1 300.152 1.752 20 0 DDADMM C[C@@H]1Cc2cn[nH]c2[C@@H](C(=O)N=c2cc(C(F)(F)F)[n-][nH]2)C1 ZINC000623202434 365716650 /nfs/dbraw/zinc/71/66/50/365716650.db2.gz QZEYPELCBGQEMP-SVRRBLITSA-N -1 1 313.283 1.878 20 0 DDADMM O=c1nc([C@H]2CCCN(c3ncnc4ccsc43)C2)[nH][n-]1 ZINC000286604711 219077605 /nfs/dbraw/zinc/07/76/05/219077605.db2.gz XYACOLATWHMNAJ-QMMMGPOBSA-N -1 1 302.363 1.487 20 0 DDADMM CC(C)[C@@H](NC(=O)c1cc(F)ccc1[O-])C(=O)N1CCOCC1 ZINC000092426093 185317700 /nfs/dbraw/zinc/31/77/00/185317700.db2.gz HFAIDZBLUGXGFA-CQSZACIVSA-N -1 1 324.352 1.145 20 0 DDADMM CCN1CCC[C@@H]1CN(C)S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000092625961 185329769 /nfs/dbraw/zinc/32/97/69/185329769.db2.gz UBUDJNJWKAQKKQ-SNVBAGLBSA-N -1 1 316.379 1.083 20 0 DDADMM COc1ccc2c(c1)OC[C@@H](C(=O)Nc1c(C)[n-][nH]c1=O)C2 ZINC000615227448 362203899 /nfs/dbraw/zinc/20/38/99/362203899.db2.gz RSVGYMVGUWGICJ-JTQLQIEISA-N -1 1 303.318 1.622 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2[C@H]3CCO[C@@H]3C2(C)C)sc1C ZINC000333298714 539319335 /nfs/dbraw/zinc/31/93/35/539319335.db2.gz SMISMHUYXAWEAE-MXWKQRLJSA-N -1 1 316.448 1.852 20 0 DDADMM CCn1nccc1NC(=O)c1cc(C)ccc1[N-]S(C)(=O)=O ZINC000338191100 539325792 /nfs/dbraw/zinc/32/57/92/539325792.db2.gz JFRWSAYDPSDGMD-UHFFFAOYSA-N -1 1 322.390 1.835 20 0 DDADMM CCc1nn(C)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000331872425 529768625 /nfs/dbraw/zinc/76/86/25/529768625.db2.gz TZTAEVHWRNUZNW-VIFPVBQESA-N -1 1 305.338 1.091 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CCC(=O)N1CCOC1 ZINC000615759604 362427584 /nfs/dbraw/zinc/42/75/84/362427584.db2.gz YFZUPKXSMUWZCQ-UHFFFAOYSA-N -1 1 314.345 1.302 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2ccc(Cl)cc2)n[n-]1 ZINC000615920075 362487100 /nfs/dbraw/zinc/48/71/00/362487100.db2.gz PUKDRZQHAYGZEZ-UHFFFAOYSA-N -1 1 322.752 1.494 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2ccc(Cl)cc2)n1 ZINC000615920075 362487106 /nfs/dbraw/zinc/48/71/06/362487106.db2.gz PUKDRZQHAYGZEZ-UHFFFAOYSA-N -1 1 322.752 1.494 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H](C)C2CCOCC2)c1Br ZINC000616006453 362518102 /nfs/dbraw/zinc/51/81/02/362518102.db2.gz JROJYBIYYJNTIY-ZETCQYMHSA-N -1 1 316.199 1.904 20 0 DDADMM CC1(C)CN(C(=O)c2ncc3ccccc3c2[O-])C[C@H](CO)O1 ZINC000616368976 362663246 /nfs/dbraw/zinc/66/32/46/362663246.db2.gz CFYZFFXYFUWRCJ-GFCCVEGCSA-N -1 1 316.357 1.552 20 0 DDADMM CC(C)OCCCN(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358353141 299128572 /nfs/dbraw/zinc/12/85/72/299128572.db2.gz SUVAZBIJSNCHEK-UHFFFAOYSA-N -1 1 306.366 1.561 20 0 DDADMM CCc1cccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1CC ZINC000489557407 539543274 /nfs/dbraw/zinc/54/32/74/539543274.db2.gz YKPGDFRUFQWRCQ-UHFFFAOYSA-N -1 1 311.345 1.795 20 0 DDADMM O=C(NCC[C@@]1(O)CCCOC1)c1ncc2ccccc2c1[O-] ZINC000616562857 362755992 /nfs/dbraw/zinc/75/59/92/362755992.db2.gz GTZKCBLREMLTNT-KRWDZBQOSA-N -1 1 316.357 1.602 20 0 DDADMM CC(C)C[C@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)C(=O)OC(C)C ZINC000358401949 299143207 /nfs/dbraw/zinc/14/32/07/299143207.db2.gz SKORLPCBPZTTHN-STQMWFEESA-N -1 1 321.439 1.451 20 0 DDADMM NC(=O)[C@H]1CCCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000182005885 199373558 /nfs/dbraw/zinc/37/35/58/199373558.db2.gz PNNLOWVWIZZADY-QMMMGPOBSA-N -1 1 316.279 1.749 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](C)[C@@H]1CCCO1 ZINC000080559347 192167771 /nfs/dbraw/zinc/16/77/71/192167771.db2.gz LMELLCRJKARLAF-KOLCDFICSA-N -1 1 307.394 1.673 20 0 DDADMM COC[C@@H](C[N-]S(=O)(=O)c1cc(F)c(F)cc1F)OC ZINC000358482799 299168063 /nfs/dbraw/zinc/16/80/63/299168063.db2.gz LBONYZRPDAFZIJ-SSDOTTSWSA-N -1 1 313.297 1.044 20 0 DDADMM NC(=O)COc1ccc(NC(=O)c2c(F)ccc([O-])c2F)cc1 ZINC000358492440 299171849 /nfs/dbraw/zinc/17/18/49/299171849.db2.gz AEMUMSWCCNUFJE-UHFFFAOYSA-N -1 1 322.267 1.787 20 0 DDADMM CC(C)[C@@H]1C[C@H](NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000287198351 219374298 /nfs/dbraw/zinc/37/42/98/219374298.db2.gz OMIIRDDRVGNTLA-SMCZMYSKSA-N -1 1 317.393 1.667 20 0 DDADMM CSCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000280053606 215341733 /nfs/dbraw/zinc/34/17/33/215341733.db2.gz QEEVSKZBSRKLBM-SNVBAGLBSA-N -1 1 312.366 1.589 20 0 DDADMM CN(C)C(=O)C[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000032552057 352281322 /nfs/dbraw/zinc/28/13/22/352281322.db2.gz HHAYJPUNLDCDTQ-UHFFFAOYSA-N -1 1 317.219 1.421 20 0 DDADMM CC[C@@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000032633370 352282349 /nfs/dbraw/zinc/28/23/49/352282349.db2.gz GKQNSSYJHVQTLB-SECBINFHSA-N -1 1 302.396 1.885 20 0 DDADMM C[C@@]1(NS(=O)(=O)CCN2CCCC2)CCCC[C@H]1C(=O)[O-] ZINC000564927072 304027160 /nfs/dbraw/zinc/02/71/60/304027160.db2.gz QVBAHXCDDVFLOS-GXTWGEPZSA-N -1 1 318.439 1.035 20 0 DDADMM COCCOC[C@H]1CCN(Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000072796421 191230336 /nfs/dbraw/zinc/23/03/36/191230336.db2.gz MHEZEEOOLWUMKX-NSHDSACASA-N -1 1 323.418 1.882 20 0 DDADMM CO[C@H]1C[C@@H](CO)N(C(=O)c2cc3ccccc3cc2[O-])C1 ZINC000331954044 234315645 /nfs/dbraw/zinc/31/56/45/234315645.db2.gz IKTXHRLIKBIGGU-KBPBESRZSA-N -1 1 301.342 1.767 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2ncoc2C2CC2)c1 ZINC000344963512 282884920 /nfs/dbraw/zinc/88/49/20/282884920.db2.gz DPXHQLYRUBZBQS-UHFFFAOYSA-N -1 1 323.330 1.157 20 0 DDADMM Cn1ccnc1[C@H]1OCCC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000332036582 234415229 /nfs/dbraw/zinc/41/52/29/234415229.db2.gz JRFHQDKBAAQYHN-GWCFXTLKSA-N -1 1 302.334 1.171 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC[C@@H](N2CCCC2=O)C1 ZINC000080027357 353587618 /nfs/dbraw/zinc/58/76/18/353587618.db2.gz HGSYQWOBXXAVPI-GFCCVEGCSA-N -1 1 306.337 1.758 20 0 DDADMM Cn1c2ccc(NC(=O)c3cc(F)ccc3[O-])cc2n(C)c1=O ZINC000081958182 353699693 /nfs/dbraw/zinc/69/96/93/353699693.db2.gz BLPKBIYVDQEIHF-UHFFFAOYSA-N -1 1 315.304 1.974 20 0 DDADMM O=C(Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-])c1ccc[nH]1 ZINC000092625207 353864179 /nfs/dbraw/zinc/86/41/79/353864179.db2.gz PFKWOBDOZIOPQO-UHFFFAOYSA-N -1 1 321.358 1.413 20 0 DDADMM Cn1c(CNC(=O)c2c([O-])cccc2F)nnc1C1CCC1 ZINC000122096628 354024072 /nfs/dbraw/zinc/02/40/72/354024072.db2.gz USBTXTSAWIKSNA-UHFFFAOYSA-N -1 1 304.325 1.857 20 0 DDADMM O=C(N[C@H](CO)CC1CCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000358642629 299211427 /nfs/dbraw/zinc/21/14/27/299211427.db2.gz AHMCHCQOCKMAAC-NSHDSACASA-N -1 1 301.346 1.204 20 0 DDADMM O=C(CSCc1coc(-c2ccccc2)n1)Nc1nnn[n-]1 ZINC000135473716 354115353 /nfs/dbraw/zinc/11/53/53/354115353.db2.gz HOPVJWFACWBVRX-UHFFFAOYSA-N -1 1 316.346 1.727 20 0 DDADMM O=C(CSCc1coc(-c2ccccc2)n1)Nc1nn[n-]n1 ZINC000135473716 354115355 /nfs/dbraw/zinc/11/53/55/354115355.db2.gz HOPVJWFACWBVRX-UHFFFAOYSA-N -1 1 316.346 1.727 20 0 DDADMM Fc1ccc(-c2noc(=NCCN3CCCOCC3)[n-]2)cc1 ZINC000624145385 366204688 /nfs/dbraw/zinc/20/46/88/366204688.db2.gz WQQPAUAWPDLAAM-UHFFFAOYSA-N -1 1 306.341 1.432 20 0 DDADMM O=C(Cc1noc(-c2cc(F)ccc2[O-])n1)N1CCSCC1 ZINC000350860615 354610517 /nfs/dbraw/zinc/61/05/17/354610517.db2.gz XZJUKUYOUZMXLJ-UHFFFAOYSA-N -1 1 323.349 1.699 20 0 DDADMM CC(C)(NC(=O)COC/C=C/c1ccccc1)c1nn[n-]n1 ZINC000357275183 291043140 /nfs/dbraw/zinc/04/31/40/291043140.db2.gz QWMDOJUSHPGVFX-RMKNXTFCSA-N -1 1 301.350 1.281 20 0 DDADMM COC(=O)[C@H](C)c1cccc(NC(=O)C2=C([O-])C(C)N=N2)c1 ZINC000591093550 355247432 /nfs/dbraw/zinc/24/74/32/355247432.db2.gz WNSOWJDBUBKPHV-MRVPVSSYSA-N -1 1 303.318 1.953 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c3c(nn2C)CCCC3)n1 ZINC000591302732 355284741 /nfs/dbraw/zinc/28/47/41/355284741.db2.gz XFWAELSKGBMBQW-UHFFFAOYSA-N -1 1 317.349 1.451 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)Cc1ccccc1F ZINC000593631360 355948301 /nfs/dbraw/zinc/94/83/01/355948301.db2.gz BKFWZPLHGLCKFA-NSHDSACASA-N -1 1 307.318 1.146 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCCOC(C)C ZINC000081107674 192226009 /nfs/dbraw/zinc/22/60/09/192226009.db2.gz BWEDYGKMFRRIIL-UHFFFAOYSA-N -1 1 309.410 1.921 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@](C)(O)C(C)C)cc1C ZINC000595315963 356446694 /nfs/dbraw/zinc/44/66/94/356446694.db2.gz VMAJLFQIAFMIQY-ZDUSSCGKSA-N -1 1 319.379 1.060 20 0 DDADMM O=C(NCC1(O)CCOCC1)c1cc2ccccc2cc1[O-] ZINC000081759121 192321102 /nfs/dbraw/zinc/32/11/02/192321102.db2.gz QCBXUCUSKIOHLI-UHFFFAOYSA-N -1 1 301.342 1.817 20 0 DDADMM C[S@](=O)[C@@H]1CCC[C@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000598752354 357716736 /nfs/dbraw/zinc/71/67/36/357716736.db2.gz JPHCBGJFKFOWCA-SMSPXONGSA-N -1 1 309.387 1.381 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CSC3CC3)nc2n1 ZINC000598892015 357751981 /nfs/dbraw/zinc/75/19/81/357751981.db2.gz BSXSSOSDIIGQCP-UHFFFAOYSA-N -1 1 307.379 1.204 20 0 DDADMM O=C(CCC(=O)c1ccc2c(c1)CCCC2)NCc1nn[n-]n1 ZINC000599344415 357902753 /nfs/dbraw/zinc/90/27/53/357902753.db2.gz JKLBJRSTTWWRLJ-UHFFFAOYSA-N -1 1 313.361 1.358 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC[C@H]2CCCOC2)o1 ZINC000599365152 357910979 /nfs/dbraw/zinc/91/09/79/357910979.db2.gz SEAHYVLZMJFPOE-SNVBAGLBSA-N -1 1 317.363 1.161 20 0 DDADMM Cn1cc(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)cn1 ZINC000358903720 299275619 /nfs/dbraw/zinc/27/56/19/299275619.db2.gz VMCIXSUKWNXNFT-UHFFFAOYSA-N -1 1 312.326 1.183 20 0 DDADMM C[C@H](Oc1ccc(Cl)c(Cl)c1)C(=O)NCc1nn[n-]n1 ZINC000600493438 358239545 /nfs/dbraw/zinc/23/95/45/358239545.db2.gz ATOITYPKNSZXCX-LURJTMIESA-N -1 1 316.148 1.590 20 0 DDADMM CCCCN(CC)c1ccc(C(=O)NCc2nn[n-]n2)cc1 ZINC000600495360 358240256 /nfs/dbraw/zinc/24/02/56/358240256.db2.gz LSOPCQYKIZBPNK-UHFFFAOYSA-N -1 1 302.382 1.756 20 0 DDADMM C[C@H]1CCc2[nH]c3ccc(C(=O)NCc4nn[n-]n4)cc3c2C1 ZINC000600496213 358241079 /nfs/dbraw/zinc/24/10/79/358241079.db2.gz AEFFNRAQRPQNOF-VIFPVBQESA-N -1 1 310.361 1.736 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C(=O)N1c1ccccc1 ZINC000174507733 291144684 /nfs/dbraw/zinc/14/46/84/291144684.db2.gz UGSWJBFOIDGHOS-AAEUAGOBSA-N -1 1 311.341 1.711 20 0 DDADMM CCC(CC)C(=O)N1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000600945502 358352078 /nfs/dbraw/zinc/35/20/78/358352078.db2.gz WVLHSZIPPQWMMS-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCC(=O)OC(C)(C)C)sn1 ZINC000601361113 358516440 /nfs/dbraw/zinc/51/64/40/358516440.db2.gz CGQBIQJAYXUCJK-UHFFFAOYSA-N -1 1 320.436 1.852 20 0 DDADMM C[C@@H]1CCC[C@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000181032787 199243478 /nfs/dbraw/zinc/24/34/78/199243478.db2.gz PSTZKLGLWWWMCW-ZJUUUORDSA-N -1 1 312.391 1.804 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2cccc(C(=O)OC)c2C)c1=O ZINC000601949427 358749549 /nfs/dbraw/zinc/74/95/49/358749549.db2.gz LBOHDSWQQWFYAZ-SNVBAGLBSA-N -1 1 304.302 1.293 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCCC(C)(C)C2)n[n-]1 ZINC000603019462 359361495 /nfs/dbraw/zinc/36/14/95/359361495.db2.gz WLHMDZHNLRGDKU-JTQLQIEISA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCCC(C)(C)C2)n1 ZINC000603019462 359361498 /nfs/dbraw/zinc/36/14/98/359361498.db2.gz WLHMDZHNLRGDKU-JTQLQIEISA-N -1 1 308.382 1.814 20 0 DDADMM CSC[C@H](CCO)NC(=O)N=c1[n-]sc2ccccc21 ZINC000603049831 359376973 /nfs/dbraw/zinc/37/69/73/359376973.db2.gz BXUBDNXALDGFKM-VIFPVBQESA-N -1 1 311.432 1.954 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(C)s2)n[n-]1 ZINC000603159369 359445772 /nfs/dbraw/zinc/44/57/72/359445772.db2.gz BCIGDYDMZLGCGO-MRVPVSSYSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(C)s2)[n-]1 ZINC000603159369 359445776 /nfs/dbraw/zinc/44/57/76/359445776.db2.gz BCIGDYDMZLGCGO-MRVPVSSYSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(C)s2)n1 ZINC000603159369 359445780 /nfs/dbraw/zinc/44/57/80/359445780.db2.gz BCIGDYDMZLGCGO-MRVPVSSYSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2CCSCC2)n[n-]1 ZINC000603167435 359451033 /nfs/dbraw/zinc/45/10/33/359451033.db2.gz XEHZCZTZJHBQHK-QMMMGPOBSA-N -1 1 312.395 1.302 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2CCSCC2)[n-]1 ZINC000603167435 359451036 /nfs/dbraw/zinc/45/10/36/359451036.db2.gz XEHZCZTZJHBQHK-QMMMGPOBSA-N -1 1 312.395 1.302 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2CCSCC2)n1 ZINC000603167435 359451042 /nfs/dbraw/zinc/45/10/42/359451042.db2.gz XEHZCZTZJHBQHK-QMMMGPOBSA-N -1 1 312.395 1.302 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1C(C)(C)C1(F)F)C1CC1 ZINC000603292791 359546767 /nfs/dbraw/zinc/54/67/67/359546767.db2.gz ACXVVIZSVWQHRH-BDAKNGLRSA-N -1 1 311.350 1.149 20 0 DDADMM O=C(N[C@H]1CCO[C@@]2(CCSC2)C1)C(=O)c1ccc([O-])cc1 ZINC000280976265 215998772 /nfs/dbraw/zinc/99/87/72/215998772.db2.gz KVVJXZUWBHKOHW-LRDDRELGSA-N -1 1 321.398 1.746 20 0 DDADMM CCc1nc(S(=O)(=O)CCc2ccccc2C(=O)OC)n[n-]1 ZINC000187435863 200111734 /nfs/dbraw/zinc/11/17/34/200111734.db2.gz JTVSLLPPLBPGMH-UHFFFAOYSA-N -1 1 323.374 1.170 20 0 DDADMM C[C@@H]1CCC[C@H]1CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000187727976 200150626 /nfs/dbraw/zinc/15/06/26/200150626.db2.gz DSJUOJLJFRYUPZ-ZJUUUORDSA-N -1 1 312.391 1.804 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@H](O)C1)c1ccc(F)c(F)c1F ZINC000188331898 200228937 /nfs/dbraw/zinc/22/89/37/200228937.db2.gz GVIWZSPHITZTJQ-YUMQZZPRSA-N -1 1 309.309 1.543 20 0 DDADMM COC(=O)[C@@H](C)N(C)C(=O)c1cc(Br)ccc1[O-] ZINC000281095557 216077125 /nfs/dbraw/zinc/07/71/25/216077125.db2.gz DCKSMQYARLENJA-SSDOTTSWSA-N -1 1 316.151 1.788 20 0 DDADMM COCCOCC(=O)Nc1nc(Br)ccc1[O-] ZINC000188336316 200229913 /nfs/dbraw/zinc/22/99/13/200229913.db2.gz RJLMTJBITNTFPI-UHFFFAOYSA-N -1 1 305.128 1.151 20 0 DDADMM O=C(Cc1ccc2c(c1)CCO2)NC1(c2nn[n-]n2)CCCC1 ZINC000605381725 359848078 /nfs/dbraw/zinc/84/80/78/359848078.db2.gz QMVDZGITCVDCAO-UHFFFAOYSA-N -1 1 313.361 1.263 20 0 DDADMM CCC(F)(F)C(C)(C)CS(=O)(=O)[N-]Cc1noc(C)n1 ZINC000625016666 366666157 /nfs/dbraw/zinc/66/61/57/366666157.db2.gz TWWXACFUDZHIIP-UHFFFAOYSA-N -1 1 311.354 1.869 20 0 DDADMM O=C1Cc2cc(S(=O)(=O)[N-]c3c(O)cccc3F)ccc2N1 ZINC000608004784 360099394 /nfs/dbraw/zinc/09/93/94/360099394.db2.gz STFPXTFJYPUXGK-UHFFFAOYSA-N -1 1 322.317 1.827 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cc3cccc(F)c3o2)n1 ZINC000608358960 360164868 /nfs/dbraw/zinc/16/48/68/360164868.db2.gz VTHYAPRAOHTSDP-UHFFFAOYSA-N -1 1 324.293 1.346 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2cc3cccc(F)c3o2)n[n-]1 ZINC000608358960 360164871 /nfs/dbraw/zinc/16/48/71/360164871.db2.gz VTHYAPRAOHTSDP-UHFFFAOYSA-N -1 1 324.293 1.346 20 0 DDADMM COC(=O)[C@H](C)[N-]S(=O)(=O)Cc1cc(-c2ccccc2)no1 ZINC000609800747 360351199 /nfs/dbraw/zinc/35/11/99/360351199.db2.gz SFWJWADDJOMCAO-JTQLQIEISA-N -1 1 324.358 1.323 20 0 DDADMM CS[C@@H](C)CCC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000611362042 360705413 /nfs/dbraw/zinc/70/54/13/360705413.db2.gz XVBNUTPNQMANPH-GWCFXTLKSA-N -1 1 305.407 1.937 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cncc(Cl)c2)o1 ZINC000281397651 216272626 /nfs/dbraw/zinc/27/26/26/216272626.db2.gz GUNZWNMBYUTNRZ-UHFFFAOYSA-N -1 1 301.755 1.764 20 0 DDADMM CC1CCC(N2CCN(C(=O)CSCC(=O)[O-])CC2)CC1 ZINC000565891733 304101817 /nfs/dbraw/zinc/10/18/17/304101817.db2.gz CEOBMWRQOLSJPC-UHFFFAOYSA-N -1 1 314.451 1.527 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2ccc(C)c(F)c2F)s1 ZINC000625115874 366720271 /nfs/dbraw/zinc/72/02/71/366720271.db2.gz PEHWTSWAWXGUNO-UHFFFAOYSA-N -1 1 319.358 1.912 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccccc1-n1nccc1C ZINC000193341287 201033461 /nfs/dbraw/zinc/03/34/61/201033461.db2.gz CUADUYZMKYBIAL-LBPRGKRZSA-N -1 1 309.391 1.957 20 0 DDADMM CSc1ccc(O)c(C(=O)N2CC[N@@H+](C[C@H](C)O)C[C@@H]2C)c1 ZINC000613655026 361506262 /nfs/dbraw/zinc/50/62/62/361506262.db2.gz IHQNIDXOUOHOGH-RYUDHWBXSA-N -1 1 324.446 1.641 20 0 DDADMM C[S@](=O)c1cccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC000613784102 361566520 /nfs/dbraw/zinc/56/65/20/361566520.db2.gz ZYYZZFAEXGXKEQ-NRFANRHFSA-N -1 1 318.280 1.813 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2(SC)CCC2)o1 ZINC000194468824 201232958 /nfs/dbraw/zinc/23/29/58/201232958.db2.gz YQBHKWDVQOWERA-UHFFFAOYSA-N -1 1 318.420 1.203 20 0 DDADMM COC(=O)c1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1F ZINC000614043102 361672893 /nfs/dbraw/zinc/67/28/93/361672893.db2.gz BGYQVDDYOPMZDU-MRVPVSSYSA-N -1 1 321.312 1.001 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CC(C)C)C(C)C)o1 ZINC000195525678 201398131 /nfs/dbraw/zinc/39/81/31/201398131.db2.gz MGHVAXAFOXDSBL-UHFFFAOYSA-N -1 1 302.396 1.694 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1C[C@@H](O)C12CCC2 ZINC000619079716 363889649 /nfs/dbraw/zinc/88/96/49/363889649.db2.gz FXRGNNBKNXHVCW-RKDXNWHRSA-N -1 1 309.391 1.246 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@@H](C(F)(F)F)[C@H]2C)c([O-])c1 ZINC000619263518 363950633 /nfs/dbraw/zinc/95/06/33/363950633.db2.gz YWMSEABJKWTOQN-LDYMZIIASA-N -1 1 304.268 1.887 20 0 DDADMM COC(=O)CCCCC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000619786310 364149841 /nfs/dbraw/zinc/14/98/41/364149841.db2.gz PBLDJENARVQHQR-AWEZNQCLSA-N -1 1 317.349 1.139 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@H](C)O[C@](C)(C(F)F)C2)c([O-])c1 ZINC000621124422 364695996 /nfs/dbraw/zinc/69/59/96/364695996.db2.gz YWOWIXKYMZEOHH-OTYXRUKQSA-N -1 1 300.305 1.980 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C)O[C@@](C)(C(F)F)C2)c([O-])c1 ZINC000621124421 364696236 /nfs/dbraw/zinc/69/62/36/364696236.db2.gz YWOWIXKYMZEOHH-LKFCYVNXSA-N -1 1 300.305 1.980 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)c2s[n-]c(=O)c2Cl)n[nH]1 ZINC000622471013 365415517 /nfs/dbraw/zinc/41/55/17/365415517.db2.gz MKEJCIYZZBHTSC-SCSAIBSYSA-N -1 1 301.759 1.674 20 0 DDADMM COC[C@H](C)C(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000349246010 283881743 /nfs/dbraw/zinc/88/17/43/283881743.db2.gz JXRQNPVAAOSFIO-VIFPVBQESA-N -1 1 316.379 1.288 20 0 DDADMM Cn1ccnc1[C@H]1OCCC[C@@H]1NC(=O)c1c([O-])cccc1F ZINC000275324152 212270808 /nfs/dbraw/zinc/27/08/08/212270808.db2.gz DYGAXGABHFGUIZ-FZMZJTMJSA-N -1 1 319.336 1.915 20 0 DDADMM O=S(=O)([N-][C@@H]1CO[C@@H](C2CC2)C1)c1ccc(F)c(F)c1F ZINC000625578296 367026873 /nfs/dbraw/zinc/02/68/73/367026873.db2.gz FIDTZLAOCOBOAB-WCBMZHEXSA-N -1 1 321.320 1.950 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1Cc2ccccc2O[C@H]1C(F)(F)F ZINC000625707485 367100548 /nfs/dbraw/zinc/10/05/48/367100548.db2.gz KLRZYPGPKNELBS-VXNVDRBHSA-N -1 1 313.239 1.320 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1Cc2ccccc2O[C@H]1C(F)(F)F ZINC000625707485 367100551 /nfs/dbraw/zinc/10/05/51/367100551.db2.gz KLRZYPGPKNELBS-VXNVDRBHSA-N -1 1 313.239 1.320 20 0 DDADMM COc1ccc([C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cc1F ZINC000626126037 367350717 /nfs/dbraw/zinc/35/07/17/367350717.db2.gz FLVFUDTXYBTJSA-LLVKDONJSA-N -1 1 306.341 1.246 20 0 DDADMM CO[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccc(F)cc1 ZINC000626443749 367548758 /nfs/dbraw/zinc/54/87/58/367548758.db2.gz SOMRKORUMJXEJI-SKDRFNHKSA-N -1 1 307.329 1.288 20 0 DDADMM C[C@@H]1OCC[C@@H]1S(=O)(=O)Nc1cc(F)cc(C(=O)[O-])c1F ZINC000566313938 304143342 /nfs/dbraw/zinc/14/33/42/304143342.db2.gz VASORZRUISJWMK-WKEGUHRASA-N -1 1 321.301 1.582 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(C(=O)C(F)(F)F)CC1 ZINC000275067464 212102244 /nfs/dbraw/zinc/10/22/44/212102244.db2.gz YCUHCLAHTZEDAC-UHFFFAOYSA-N -1 1 302.252 1.771 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)Nc1ccc(OC)c(OC)c1)C(=O)[O-] ZINC000262251728 203240609 /nfs/dbraw/zinc/24/06/09/203240609.db2.gz DKRUVQMLECHJCW-ZYHUDNBSSA-N -1 1 324.377 1.874 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ccc(F)c(F)c2)n1 ZINC000350176325 284164583 /nfs/dbraw/zinc/16/45/83/284164583.db2.gz LPFSRNIRMBLLCH-UHFFFAOYSA-N -1 1 316.285 1.448 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCOC(C)C)c1ccccc1 ZINC000093667191 193287783 /nfs/dbraw/zinc/28/77/83/193287783.db2.gz QBAGEWYTFLBDAY-CYBMUJFWSA-N -1 1 315.391 1.245 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](NC(=O)c2cncc([O-])c2)C1 ZINC000264978198 204319747 /nfs/dbraw/zinc/31/97/47/204319747.db2.gz ZNXJYWTZWIRIOB-LLVKDONJSA-N -1 1 307.350 1.526 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2c(F)cccc2CO)cn1 ZINC000350891698 284275432 /nfs/dbraw/zinc/27/54/32/284275432.db2.gz BHKBYAAKFRCLRD-UHFFFAOYSA-N -1 1 312.322 1.522 20 0 DDADMM O=S(=O)([N-]c1nc(C2CCC2)n[nH]1)c1ccc2c(c1)COC2 ZINC000351392873 284323001 /nfs/dbraw/zinc/32/30/01/284323001.db2.gz BOLPYTQIPLZIEP-UHFFFAOYSA-N -1 1 320.374 1.903 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)Cc2c(C)n[nH]c2C)c1 ZINC000282773665 217241417 /nfs/dbraw/zinc/24/14/17/217241417.db2.gz LSKOLDQJRBYVJJ-UHFFFAOYSA-N -1 1 303.318 1.700 20 0 DDADMM NS(=O)(=O)C[C@H]1CCCN1Cc1cccc([O-])c1Cl ZINC000351590785 284398125 /nfs/dbraw/zinc/39/81/25/284398125.db2.gz YTESUSMDASEPAG-SNVBAGLBSA-N -1 1 304.799 1.299 20 0 DDADMM CCO[N-]C(=O)[C@H]1CC(=O)N(c2cc(OC)cc(OC)c2)C1 ZINC000267718524 206238966 /nfs/dbraw/zinc/23/89/66/206238966.db2.gz JVRQGNQALHNNOI-JTQLQIEISA-N -1 1 308.334 1.124 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1ccccc1Cl)C1CC1 ZINC000267740235 206252321 /nfs/dbraw/zinc/25/23/21/206252321.db2.gz LECQBGOVBPNKAR-GFCCVEGCSA-N -1 1 317.794 1.711 20 0 DDADMM CC[C@H](C)[C@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1ccccc1 ZINC000174582438 248371382 /nfs/dbraw/zinc/37/13/82/248371382.db2.gz RORYKCDCRFGONQ-WFASDCNBSA-N -1 1 316.405 1.977 20 0 DDADMM O=C(N[C@@H]1CCc2nnnn2CC1)c1cc(Cl)ccc1[O-] ZINC000333799940 249110330 /nfs/dbraw/zinc/11/03/30/249110330.db2.gz XXYILLLWYQUEEW-SECBINFHSA-N -1 1 307.741 1.167 20 0 DDADMM CC(=O)Nc1ccc(F)c([N-]S(=O)(=O)c2c[nH]nc2C)c1 ZINC000040809575 183137055 /nfs/dbraw/zinc/13/70/55/183137055.db2.gz RNNBFUFWMWHAOE-UHFFFAOYSA-N -1 1 312.326 1.616 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cccc(F)c1Cl ZINC000337130556 249358534 /nfs/dbraw/zinc/35/85/34/249358534.db2.gz BAOYERLKIRJHRE-UHFFFAOYSA-N -1 1 310.716 1.544 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(C(C)=O)cc1F ZINC000337162297 249370696 /nfs/dbraw/zinc/37/06/96/249370696.db2.gz VCEJQOHJIJZQTG-UHFFFAOYSA-N -1 1 318.308 1.094 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1csc2ccccc12 ZINC000337164449 249371695 /nfs/dbraw/zinc/37/16/95/249371695.db2.gz WWAWABWWUZHADI-UHFFFAOYSA-N -1 1 314.370 1.967 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1ccn(C(C)C)n1 ZINC000567322457 304211589 /nfs/dbraw/zinc/21/15/89/304211589.db2.gz FSVMHNJCNIDTDA-UHFFFAOYSA-N -1 1 321.406 1.920 20 0 DDADMM Cc1cc(C2(NC(=O)c3cncc([O-])c3)CCOCC2)on1 ZINC000629725293 422793678 /nfs/dbraw/zinc/79/36/78/422793678.db2.gz BGCGQOCWBAWPBQ-UHFFFAOYSA-N -1 1 303.318 1.519 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(Cl)c2ncccc12 ZINC000338226347 249848285 /nfs/dbraw/zinc/84/82/85/249848285.db2.gz TZPXCSYQJVUGEI-UHFFFAOYSA-N -1 1 314.736 1.820 20 0 DDADMM CN1CCO[C@@H]2CCN(C(=O)c3cc(Cl)ccc3[O-])C[C@@H]21 ZINC000284493521 218051555 /nfs/dbraw/zinc/05/15/55/218051555.db2.gz NDNRGZUDEFLGNR-GXTWGEPZSA-N -1 1 310.781 1.591 20 0 DDADMM CCC1CCN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CC1 ZINC000104857578 194047915 /nfs/dbraw/zinc/04/79/15/194047915.db2.gz DNRYJKJOVWXZJM-UHFFFAOYSA-N -1 1 316.405 1.831 20 0 DDADMM Cc1nc2cc([N-]S(=O)(=O)[C@H](C)C(=O)N(C)C)ccc2o1 ZINC000284854181 218207745 /nfs/dbraw/zinc/20/77/45/218207745.db2.gz HTOXWABTWVYADQ-MRVPVSSYSA-N -1 1 311.363 1.355 20 0 DDADMM O=S(=O)(Cc1nc(-c2ccoc2)no1)c1n[n-]c(C2CC2)n1 ZINC000105723864 194121445 /nfs/dbraw/zinc/12/14/45/194121445.db2.gz FCWXDQRLZKLQOM-UHFFFAOYSA-N -1 1 321.318 1.299 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1sccc1F)[C@@H](C)N1CCOCC1 ZINC000338890322 250182802 /nfs/dbraw/zinc/18/28/02/250182802.db2.gz PDLCTRMCMATAFA-NXEZZACHSA-N -1 1 322.427 1.275 20 0 DDADMM CCC[C@H](C)C(=O)NCC[N-]S(=O)(=O)c1sccc1F ZINC000339000833 250242039 /nfs/dbraw/zinc/24/20/39/250242039.db2.gz WTYWXCOJOGEJQL-VIFPVBQESA-N -1 1 322.427 1.718 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCC[C@H]2F)s1 ZINC000339117665 250301411 /nfs/dbraw/zinc/30/14/11/250301411.db2.gz BWJLRQYXVGISHJ-SFYZADRCSA-N -1 1 307.368 1.704 20 0 DDADMM COCc1cccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000339187370 250334288 /nfs/dbraw/zinc/33/42/88/250334288.db2.gz XFXHIGQTFHBJRZ-UHFFFAOYSA-N -1 1 317.345 1.941 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)no1 ZINC000339201178 250340393 /nfs/dbraw/zinc/34/03/93/250340393.db2.gz PQQUIWAMPAFBPS-VIFPVBQESA-N -1 1 306.322 1.906 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2ccccc2C1 ZINC000352140466 284816601 /nfs/dbraw/zinc/81/66/01/284816601.db2.gz LYRLKJFROZKJKE-UHFFFAOYSA-N -1 1 301.302 1.095 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3ccsc3)no2)o1 ZINC000109475882 194268513 /nfs/dbraw/zinc/26/85/13/194268513.db2.gz YAMBRVWZEUNBAF-UHFFFAOYSA-N -1 1 311.344 1.966 20 0 DDADMM C[C@@H](CNc1ncncc1C(=O)[O-])N1CCc2sccc2C1 ZINC000579597333 422798132 /nfs/dbraw/zinc/79/81/32/422798132.db2.gz JJNNODCKTYJDFE-JTQLQIEISA-N -1 1 318.402 1.517 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])c1cn(Cc2ccccn2)nn1 ZINC000340331753 251011634 /nfs/dbraw/zinc/01/16/34/251011634.db2.gz ZCPJRFCAQMGLIH-UHFFFAOYSA-N -1 1 313.292 1.818 20 0 DDADMM CSCC[C@H](NC(N)=O)C(=O)Nc1ccc(F)cc1[O-] ZINC000269029985 207179077 /nfs/dbraw/zinc/17/90/77/207179077.db2.gz MDOZDGZVOBBZOI-VIFPVBQESA-N -1 1 301.343 1.260 20 0 DDADMM CC(C)n1ccc([N-]S(=O)(=O)N2C[C@@H](C)OC[C@@H]2C)n1 ZINC000340972049 251312000 /nfs/dbraw/zinc/31/20/00/251312000.db2.gz GELPAZBKENFGHG-WDEREUQCSA-N -1 1 302.400 1.230 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccc(OC)c(C)c1)c1nn[n-]n1 ZINC000269095512 207235614 /nfs/dbraw/zinc/23/56/14/207235614.db2.gz JAWHRBZHNMYZEV-GFCCVEGCSA-N -1 1 319.365 1.553 20 0 DDADMM O=C(CS(=O)(=O)c1ccc([O-])cc1)N1CCc2ccccc21 ZINC000063927261 184290310 /nfs/dbraw/zinc/29/03/10/184290310.db2.gz IMVNFQCVNIILIF-UHFFFAOYSA-N -1 1 317.366 1.755 20 0 DDADMM O=C(NC[C@H](CO)C1CC1)c1ccc(Br)c([O-])c1 ZINC000359826452 299545514 /nfs/dbraw/zinc/54/55/14/299545514.db2.gz ZMSGMMNJXHZGLE-SNVBAGLBSA-N -1 1 314.179 1.903 20 0 DDADMM COC[C@H](C)CC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000445997246 532964105 /nfs/dbraw/zinc/96/41/05/532964105.db2.gz WAJDPRLKSUYSBT-SECBINFHSA-N -1 1 306.366 1.341 20 0 DDADMM O=C(Nc1cc(Cl)ccc1O)c1cc(=O)n2[n-]cnc2n1 ZINC000352397481 285023061 /nfs/dbraw/zinc/02/30/61/285023061.db2.gz KNYFKQBSMMUTOL-UHFFFAOYSA-N -1 1 305.681 1.029 20 0 DDADMM C[C@@H]1CCN([C@H]2CCCN(CC(F)(F)F)C2=O)C[C@@H]1C(=O)[O-] ZINC000567856014 304248533 /nfs/dbraw/zinc/24/85/33/304248533.db2.gz ACEPQOAMRDQVIY-VWYCJHECSA-N -1 1 322.327 1.582 20 0 DDADMM C[C@@H]1NC(=O)N(CCSc2nc(C(F)F)cc(=O)[n-]2)C1=O ZINC000413002584 224117800 /nfs/dbraw/zinc/11/78/00/224117800.db2.gz ODQMBWLFWHMMOM-YFKPBYRVSA-N -1 1 318.305 1.152 20 0 DDADMM CCNC(=O)N1CC[C@H](NC(=O)c2cc(Cl)ccc2[O-])C1 ZINC000157991018 197233262 /nfs/dbraw/zinc/23/32/62/197233262.db2.gz MNLJHYUNLUHIJN-JTQLQIEISA-N -1 1 311.769 1.579 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C)C[C@@H](C)C2)o1 ZINC000157889719 197224840 /nfs/dbraw/zinc/22/48/40/197224840.db2.gz PZHHLCRJNFJLBN-GHMZBOCLSA-N -1 1 314.407 1.696 20 0 DDADMM CO[C@H](C)c1nc(=NCC2(CS(C)(=O)=O)CCC2)s[n-]1 ZINC000413582086 533119672 /nfs/dbraw/zinc/11/96/72/533119672.db2.gz NUZMPJAIUHVQJX-SECBINFHSA-N -1 1 319.452 1.294 20 0 DDADMM CCO[C@@H]1C[C@@H](O)C12CCN(C(=O)c1cncc([O-])c1)CC2 ZINC000157232636 197171479 /nfs/dbraw/zinc/17/14/79/197171479.db2.gz MUMKMJCYDMBXSO-ZIAGYGMSSA-N -1 1 306.362 1.179 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(C)(C)CC(C)C)co1 ZINC000155514899 197061839 /nfs/dbraw/zinc/06/18/39/197061839.db2.gz ALCLTRPJHZZAET-UHFFFAOYSA-N -1 1 316.423 1.990 20 0 DDADMM C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)c1cc(F)ccc1[O-] ZINC000094138784 299589575 /nfs/dbraw/zinc/58/95/75/299589575.db2.gz OXZSKRJLXJHTER-RKDXNWHRSA-N -1 1 303.355 1.425 20 0 DDADMM COc1ccccc1N1C[C@@H](C(=O)[N-]OCC(C)C)CC1=O ZINC000153676815 196898354 /nfs/dbraw/zinc/89/83/54/196898354.db2.gz NHTRRWZYEZGIEC-LBPRGKRZSA-N -1 1 306.362 1.752 20 0 DDADMM O=C(N[C@@H]1CCC(=O)NC12CCC2)c1c(F)ccc([O-])c1F ZINC000289585015 221085801 /nfs/dbraw/zinc/08/58/01/221085801.db2.gz VIWAQMUHVOHFNX-SNVBAGLBSA-N -1 1 310.300 1.602 20 0 DDADMM O=S(=O)(Cc1cc2c(cc1Cl)OCCO2)c1ncn[n-]1 ZINC000289830951 221253922 /nfs/dbraw/zinc/25/39/22/221253922.db2.gz CXXCJJIQYIMNLA-UHFFFAOYSA-N -1 1 315.738 1.203 20 0 DDADMM O=S(=O)(Cc1cc2c(cc1Cl)OCCO2)c1nc[n-]n1 ZINC000289830951 221253924 /nfs/dbraw/zinc/25/39/24/221253924.db2.gz CXXCJJIQYIMNLA-UHFFFAOYSA-N -1 1 315.738 1.203 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C(C)C)C(C)(C)O)sc1C ZINC000360138240 299603598 /nfs/dbraw/zinc/60/35/98/299603598.db2.gz BLEFMPVQLQESCE-SNVBAGLBSA-N -1 1 306.453 1.834 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1 ZINC000352847511 285333564 /nfs/dbraw/zinc/33/35/64/285333564.db2.gz UOJVLGKOSVEKGK-GFCCVEGCSA-N -1 1 311.345 1.689 20 0 DDADMM Cc1ccc(F)cc1CN[C@@H]1CCN([C@H](C(=O)[O-])C(C)C)C1=O ZINC000414661525 224369123 /nfs/dbraw/zinc/36/91/23/224369123.db2.gz QITMJLJQPWHBJH-CABCVRRESA-N -1 1 322.380 1.934 20 0 DDADMM COc1ccc2c(c1)[C@H](C(=O)NC(C)(C)c1nn[n-]n1)CC2 ZINC000431834103 533294388 /nfs/dbraw/zinc/29/43/88/533294388.db2.gz LKDZYNUKMOGCRI-LLVKDONJSA-N -1 1 301.350 1.290 20 0 DDADMM CC(C)(NC(=O)c1cc(=O)n2[n-]cnc2n1)c1cccs1 ZINC000353509565 285777029 /nfs/dbraw/zinc/77/70/29/285777029.db2.gz HRALIFXHABGZRD-UHFFFAOYSA-N -1 1 303.347 1.144 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCC[C@@H]1[C@@H]1CCCOC1 ZINC000569458812 304355888 /nfs/dbraw/zinc/35/58/88/304355888.db2.gz HPQALXSQYWOEIW-BXUZGUMPSA-N -1 1 300.362 1.989 20 0 DDADMM CCC[C@H](NC(=O)c1cccc2c1O[C@H](C)C2)c1nn[n-]n1 ZINC000579796022 422829897 /nfs/dbraw/zinc/82/98/97/422829897.db2.gz GKXBHNUULFKULJ-SKDRFNHKSA-N -1 1 301.350 1.794 20 0 DDADMM COC(=O)c1cccc(S(=O)(=O)[N-]c2ccncc2)c1C ZINC000069673934 406755647 /nfs/dbraw/zinc/75/56/47/406755647.db2.gz NFOHXZJHWZZMKC-UHFFFAOYSA-N -1 1 306.343 1.977 20 0 DDADMM COC(=O)Cc1ccc(S(=O)(=O)[N-]c2ccncc2)cc1 ZINC000070302957 406814252 /nfs/dbraw/zinc/81/42/52/406814252.db2.gz AKCMQBJDRASBNK-UHFFFAOYSA-N -1 1 306.343 1.598 20 0 DDADMM C[C@H](NC(=O)c1ccc(Br)cc1[O-])C(=O)N(C)C ZINC000044491015 407024328 /nfs/dbraw/zinc/02/43/28/407024328.db2.gz BSPRCXCYJVDTJQ-ZETCQYMHSA-N -1 1 315.167 1.361 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCCO1)c1ccc(F)c(F)c1F ZINC000049064606 407114482 /nfs/dbraw/zinc/11/44/82/407114482.db2.gz PCNXOHWSXFNBIO-QMMMGPOBSA-N -1 1 309.309 1.951 20 0 DDADMM CC(C)c1ccc(CN2CCN(Cc3n[nH]c(=O)[n-]3)CC2)cc1 ZINC000092616732 407189285 /nfs/dbraw/zinc/18/92/85/407189285.db2.gz ADQLMMBSIKWYMV-UHFFFAOYSA-N -1 1 315.421 1.539 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCC[C@H](C)C2)o1 ZINC000055487396 407204148 /nfs/dbraw/zinc/20/41/48/407204148.db2.gz IBJCWSAAGYYWCK-WDEREUQCSA-N -1 1 314.407 1.744 20 0 DDADMM Cc1ccccc1CNC(=O)CS(=O)(=O)c1ccc([O-])cc1 ZINC000063927487 407236290 /nfs/dbraw/zinc/23/62/90/407236290.db2.gz BFVIVHVYBIOWKX-UHFFFAOYSA-N -1 1 319.382 1.791 20 0 DDADMM CCc1nc(CC(=O)NCCc2n[n-]c(=S)n2C)cs1 ZINC000067069995 407266372 /nfs/dbraw/zinc/26/63/72/407266372.db2.gz BGHDQOCGNBIWFW-UHFFFAOYSA-N -1 1 311.436 1.398 20 0 DDADMM Cc1noc(CC[N-]S(=O)(=O)c2cccc(F)c2F)n1 ZINC000101575529 407312080 /nfs/dbraw/zinc/31/20/80/407312080.db2.gz PIQNCWPMXNUIEJ-UHFFFAOYSA-N -1 1 303.290 1.177 20 0 DDADMM C[C@H](CC[N-]S(=O)(=O)c1cccc(F)c1F)[S@](C)=O ZINC000124064529 407346661 /nfs/dbraw/zinc/34/66/61/407346661.db2.gz DQQBMBKWCKKBHZ-NTCNTBNZSA-N -1 1 311.375 1.400 20 0 DDADMM Cc1ccc([C@@H]2C[C@@H]2C(=O)N(C)C[C@@H](C)c2nn[n-]n2)s1 ZINC000124121046 407348790 /nfs/dbraw/zinc/34/87/90/407348790.db2.gz MIKRYRAGVQTMIQ-IEBDPFPHSA-N -1 1 305.407 1.935 20 0 DDADMM CCOc1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1Cl ZINC000124480285 407359585 /nfs/dbraw/zinc/35/95/85/407359585.db2.gz NMNFLHLPYAGQMV-QMMMGPOBSA-N -1 1 324.772 1.523 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cccc(F)c2)o1 ZINC000107699167 407378953 /nfs/dbraw/zinc/37/89/53/407378953.db2.gz ZQDKOJFDWJLOTN-UHFFFAOYSA-N -1 1 313.306 1.684 20 0 DDADMM CN(Cc1ccccc1F)C(=O)CN1CCC(C(=O)[O-])CC1 ZINC000261716231 407589131 /nfs/dbraw/zinc/58/91/31/407589131.db2.gz PNHKHAWRUDSWMY-UHFFFAOYSA-N -1 1 308.353 1.581 20 0 DDADMM Cc1ccccc1CN(C)C(=O)CN1CCC(C(=O)[O-])CC1 ZINC000261738357 407599045 /nfs/dbraw/zinc/59/90/45/407599045.db2.gz RXDIOEQCAJVKSH-UHFFFAOYSA-N -1 1 304.390 1.750 20 0 DDADMM Cc1cc(CN2CCN(C(=O)c3ncccc3[O-])CC2)no1 ZINC000171237003 407634950 /nfs/dbraw/zinc/63/49/50/407634950.db2.gz JTDHFBQQQADNLU-UHFFFAOYSA-N -1 1 302.334 1.042 20 0 DDADMM CCC[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000228561437 407649809 /nfs/dbraw/zinc/64/98/09/407649809.db2.gz XWAGQIMBACSTTI-GFCCVEGCSA-N -1 1 311.325 1.933 20 0 DDADMM CCCCNC(=O)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000171291341 407651831 /nfs/dbraw/zinc/65/18/31/407651831.db2.gz FVWFDIKWYRQDCJ-LBPRGKRZSA-N -1 1 305.378 1.556 20 0 DDADMM CCc1ccc(S(=O)(=O)Nc2cnn(CC)c2)cc1C(=O)[O-] ZINC000152943171 407719827 /nfs/dbraw/zinc/71/98/27/407719827.db2.gz GBUGEIMGMXJTAR-UHFFFAOYSA-N -1 1 323.374 1.964 20 0 DDADMM CNC(=O)N1CCN(C(=O)c2ccc3ccccc3c2[O-])CC1 ZINC000267067838 407736329 /nfs/dbraw/zinc/73/63/29/407736329.db2.gz ATQSHGZIKHSBOK-UHFFFAOYSA-N -1 1 313.357 1.643 20 0 DDADMM CCOCCO[N-]C(=O)[C@H](c1ccccc1)N1CCC(O)CC1 ZINC000186891311 407792506 /nfs/dbraw/zinc/79/25/06/407792506.db2.gz WVUGLSBTXSFTJG-INIZCTEOSA-N -1 1 322.405 1.269 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(C(=O)C2CCCCC2)CC1 ZINC000171867128 407787205 /nfs/dbraw/zinc/78/72/05/407787205.db2.gz NWFSFWKWSFOIBH-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCc1cccc(O)c1)c2=O ZINC000179713387 407826225 /nfs/dbraw/zinc/82/62/25/407826225.db2.gz ZAHYCZQGFVCZBD-UHFFFAOYSA-N -1 1 312.329 1.352 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])c1ccc(NC(N)=O)cc1 ZINC000153579819 407855835 /nfs/dbraw/zinc/85/58/35/407855835.db2.gz CLWXIPVWDDURLJ-VIFPVBQESA-N -1 1 300.318 1.769 20 0 DDADMM O=c1cc(CN2CCCC3(C2)OCCO3)c2ccc([O-])cc2o1 ZINC000133598248 407863418 /nfs/dbraw/zinc/86/34/18/407863418.db2.gz SYPMIISPOBOYDA-UHFFFAOYSA-N -1 1 317.341 1.838 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2cccc(C)c2Cl)no1 ZINC000180345686 407879164 /nfs/dbraw/zinc/87/91/64/407879164.db2.gz OOWUZWVWSNWJMT-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM Cc1cc(NC(=O)C(C)(C)C)sc1C(=O)Nc1nnn[n-]1 ZINC000118685637 407935214 /nfs/dbraw/zinc/93/52/14/407935214.db2.gz MZMKLXFDCYKLDA-UHFFFAOYSA-N -1 1 308.367 1.807 20 0 DDADMM Cc1cc(NC(=O)C(C)(C)C)sc1C(=O)Nc1nn[n-]n1 ZINC000118685637 407935220 /nfs/dbraw/zinc/93/52/20/407935220.db2.gz MZMKLXFDCYKLDA-UHFFFAOYSA-N -1 1 308.367 1.807 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H]([C@H](C)O)C3)cnc2n1 ZINC000180989356 407943380 /nfs/dbraw/zinc/94/33/80/407943380.db2.gz BVUAPVJMCQRGLL-WDEREUQCSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H]([C@H](C)O)C3)c[n-]c2n1 ZINC000180989356 407943386 /nfs/dbraw/zinc/94/33/86/407943386.db2.gz BVUAPVJMCQRGLL-WDEREUQCSA-N -1 1 301.346 1.487 20 0 DDADMM C[S@@](=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)cc1 ZINC000174741682 407987403 /nfs/dbraw/zinc/98/74/03/407987403.db2.gz ZWOGAKVUZHYCEP-ADLMAVQZSA-N -1 1 303.405 1.345 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)c2ccc[nH]c2=S)[nH]n1 ZINC000119412094 408063928 /nfs/dbraw/zinc/06/39/28/408063928.db2.gz UHKOAHVXTGKUHY-UHFFFAOYSA-N -1 1 300.347 1.746 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC(N2CCNC2=O)CC1 ZINC000175201113 408076263 /nfs/dbraw/zinc/07/62/63/408076263.db2.gz WUYVKPLZKLRUSD-UHFFFAOYSA-N -1 1 307.325 1.161 20 0 DDADMM Cc1ccc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)nc1 ZINC000154907617 408100691 /nfs/dbraw/zinc/10/06/91/408100691.db2.gz HHGDEKJENRMGGP-UHFFFAOYSA-N -1 1 311.301 1.288 20 0 DDADMM Cc1ccc(NC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[n-]2)nc1 ZINC000154907617 408100699 /nfs/dbraw/zinc/10/06/99/408100699.db2.gz HHGDEKJENRMGGP-UHFFFAOYSA-N -1 1 311.301 1.288 20 0 DDADMM O=C(Nc1ccnn1[C@H]1CCS(=O)(=O)C1)c1ccccc1[O-] ZINC000175390144 408118178 /nfs/dbraw/zinc/11/81/78/408118178.db2.gz PIVYKNIBXJBCRY-JTQLQIEISA-N -1 1 321.358 1.201 20 0 DDADMM CC(C)Sc1ccc(C(=O)NCCCc2nc(=O)[n-][nH]2)cc1 ZINC000176262527 408327152 /nfs/dbraw/zinc/32/71/52/408327152.db2.gz CRKHHLDGIWRUHR-UHFFFAOYSA-N -1 1 320.418 1.961 20 0 DDADMM C[C@@H]1C[C@@H](CC(=O)NCCCc2nc(=O)[n-][nH]2)CC(C)(C)C1 ZINC000176269263 408329537 /nfs/dbraw/zinc/32/95/37/408329537.db2.gz OVMWGUYKWWWEND-NEPJUHHUSA-N -1 1 308.426 1.999 20 0 DDADMM CCC1(C)CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1 ZINC000263817237 408271117 /nfs/dbraw/zinc/27/11/17/408271117.db2.gz PSDWUDWKNCAURL-UHFFFAOYSA-N -1 1 314.407 1.840 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000182868990 408272894 /nfs/dbraw/zinc/27/28/94/408272894.db2.gz CFDQUYAEGCRYET-MRVPVSSYSA-N -1 1 320.736 1.760 20 0 DDADMM Cc1cc(C)c(S(=O)(=O)N[C@@H](C)c2nnc[nH]2)cc1C(=O)[O-] ZINC000273683037 408287207 /nfs/dbraw/zinc/28/72/07/408287207.db2.gz HPQDVOSLMADDOS-VIFPVBQESA-N -1 1 324.362 1.159 20 0 DDADMM CCSCC[C@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132787538 162044042 /nfs/dbraw/zinc/04/40/42/162044042.db2.gz SWUIQTIZZNEQKF-VIFPVBQESA-N -1 1 308.407 1.935 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000165557651 162159840 /nfs/dbraw/zinc/15/98/40/162159840.db2.gz PUYSNSDBJDUANK-XJKSGUPXSA-N -1 1 316.401 1.756 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)Cc2cccs2)c1 ZINC000170915364 162198649 /nfs/dbraw/zinc/19/86/49/162198649.db2.gz VIMNUMJERXGYOY-UHFFFAOYSA-N -1 1 312.372 1.282 20 0 DDADMM CC(C)c1nc(C(=O)Nc2nn[n-]n2)nn1-c1ccccc1F ZINC000173809492 162365832 /nfs/dbraw/zinc/36/58/32/162365832.db2.gz HKDKJVPGJYEZHC-UHFFFAOYSA-N -1 1 316.300 1.295 20 0 DDADMM C[C@H](CN1CCN(C)CC1)NC(=O)c1cc(F)c([O-])c(F)c1 ZINC000183581800 408446248 /nfs/dbraw/zinc/44/62/48/408446248.db2.gz IYDJRGOKALOBPK-SNVBAGLBSA-N -1 1 313.348 1.036 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(C)ns2)o1 ZINC000176840701 408457631 /nfs/dbraw/zinc/45/76/31/408457631.db2.gz JKZZSDQCINWYOE-UHFFFAOYSA-N -1 1 301.349 1.205 20 0 DDADMM CCOc1ccccc1OCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183401498 408400925 /nfs/dbraw/zinc/40/09/25/408400925.db2.gz KTTBAJGQXGZPPB-LLVKDONJSA-N -1 1 319.365 1.239 20 0 DDADMM CC(=O)c1cccc(OCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183402206 408401952 /nfs/dbraw/zinc/40/19/52/408401952.db2.gz LIGKQDKKESDINP-SNVBAGLBSA-N -1 1 317.349 1.043 20 0 DDADMM CCC[C@@H](NC(=O)CCc1nc2ccccc2[nH]1)c1nn[n-]n1 ZINC000176734391 408426320 /nfs/dbraw/zinc/42/63/20/408426320.db2.gz DOTZNRUVPVBYIB-GFCCVEGCSA-N -1 1 313.365 1.666 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)[C@H]1CCCO1 ZINC000264417279 408481802 /nfs/dbraw/zinc/48/18/02/408481802.db2.gz RRQLGMWBODVXGT-RDDDGLTNSA-N -1 1 309.309 1.950 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)[N-]OCc1ccccc1 ZINC000183644297 408461751 /nfs/dbraw/zinc/46/17/51/408461751.db2.gz GNEDFBTYJPQSJS-NSHDSACASA-N -1 1 318.295 1.938 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCC[C@H](C)CO ZINC000270514259 408559809 /nfs/dbraw/zinc/55/98/09/408559809.db2.gz ZAMQBEOKOUIULZ-JTQLQIEISA-N -1 1 309.410 1.927 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+]2[C@H](C(=O)[O-])C[C@H]3CCCC[C@@H]32)n1 ZINC000248571408 408590420 /nfs/dbraw/zinc/59/04/20/408590420.db2.gz SGPZRPGPEXKPEC-WXHSDQCUSA-N -1 1 305.378 1.962 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@@H+]2[C@H](C(=O)[O-])C[C@H]3CCCC[C@@H]32)n1 ZINC000248571408 408590422 /nfs/dbraw/zinc/59/04/22/408590422.db2.gz SGPZRPGPEXKPEC-WXHSDQCUSA-N -1 1 305.378 1.962 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccnc1C(F)(F)F)c1nn[n-]n1 ZINC000274794193 408533603 /nfs/dbraw/zinc/53/36/03/408533603.db2.gz QTLOISINNYZTLE-ZETCQYMHSA-N -1 1 314.271 1.489 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)c1ncn(C)n1 ZINC000275595763 408614075 /nfs/dbraw/zinc/61/40/75/408614075.db2.gz FASCBGWWJFFVON-ZETCQYMHSA-N -1 1 302.306 1.133 20 0 DDADMM O=C(NC1CCC(O)(c2cccnc2)CC1)c1cncc([O-])c1 ZINC000184496892 408630137 /nfs/dbraw/zinc/63/01/37/408630137.db2.gz RAQHBZQTJFUAAX-UHFFFAOYSA-N -1 1 313.357 1.742 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCOC2(CCC2)C1 ZINC000270889806 408727938 /nfs/dbraw/zinc/72/79/38/408727938.db2.gz XNVWFEFQHIQHTN-UHFFFAOYSA-N -1 1 309.391 1.608 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccc(C)cc1OC)c1nn[n-]n1 ZINC000177652844 408676954 /nfs/dbraw/zinc/67/69/54/408676954.db2.gz WDUZWXCVOIBBGQ-LLVKDONJSA-N -1 1 319.365 1.553 20 0 DDADMM O=C1Cc2cc(S(=O)(=O)[N-]c3cccc(O)c3)ccc2N1 ZINC000194818659 408799539 /nfs/dbraw/zinc/79/95/39/408799539.db2.gz QIAUXMCMPVNOKJ-UHFFFAOYSA-N -1 1 304.327 1.688 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCc2cccc(Cl)c2)C1 ZINC000164628818 408801216 /nfs/dbraw/zinc/80/12/16/408801216.db2.gz GRYFEGROJMWMPG-GFCCVEGCSA-N -1 1 310.781 1.753 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)NCCc1ccccc1C)C(=O)[O-] ZINC000185038695 408744761 /nfs/dbraw/zinc/74/47/61/408744761.db2.gz XANIGHINRKGMMF-DZGCQCFKSA-N -1 1 306.406 1.885 20 0 DDADMM Cc1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c(C)c1 ZINC000194033484 408760855 /nfs/dbraw/zinc/76/08/55/408760855.db2.gz ZFKPBQFVPDPCQU-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM Cc1cccc(N2C[C@@H](C(=O)[N-]OCC(C)(C)O)CC2=O)c1C ZINC000280800099 408836290 /nfs/dbraw/zinc/83/62/90/408836290.db2.gz PXGPQEYHGATOJO-ZDUSSCGKSA-N -1 1 320.389 1.475 20 0 DDADMM O=C1CNC(=O)N1CCCSc1nc(C2CC2)cc(=O)[n-]1 ZINC000276371946 408844173 /nfs/dbraw/zinc/84/41/73/408844173.db2.gz QVWUDTAVODYWPY-UHFFFAOYSA-N -1 1 308.363 1.094 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2cc(Cl)ccc2[O-])CCC1 ZINC000280836071 408844141 /nfs/dbraw/zinc/84/41/41/408844141.db2.gz SYISJQCBFATCEY-UHFFFAOYSA-N -1 1 317.794 1.743 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCC(F)(F)C2)nc1Cl ZINC000280888208 408853989 /nfs/dbraw/zinc/85/39/89/408853989.db2.gz FLTUDTVCDWNHSV-SSDOTTSWSA-N -1 1 313.757 1.787 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCC(F)(F)C(F)F)c2=O ZINC000192026832 163213905 /nfs/dbraw/zinc/21/39/05/163213905.db2.gz AOZILGOCXZPVKZ-UHFFFAOYSA-N -1 1 306.219 1.304 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc(C)nc1Cl)c1nn[n-]n1 ZINC000291997266 408958709 /nfs/dbraw/zinc/95/87/09/408958709.db2.gz SKAAGKSURLEELV-SNVBAGLBSA-N -1 1 308.773 1.757 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)COC(C)(C)C)c1 ZINC000292281171 409015666 /nfs/dbraw/zinc/01/56/66/409015666.db2.gz QWBFWGNYCRQXCD-UHFFFAOYSA-N -1 1 316.379 1.054 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCC(F)(F)C(F)F)ccnc1-2 ZINC000287525914 409050161 /nfs/dbraw/zinc/05/01/61/409050161.db2.gz IMESIQKAYNMDKF-GXHLCREISA-N -1 1 305.235 1.364 20 0 DDADMM CO[C@@H]1CCCC[C@H]1NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287609154 409064085 /nfs/dbraw/zinc/06/40/85/409064085.db2.gz MWXKXQYSXUUPCA-SAUWQKFDSA-N -1 1 303.366 1.421 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H](CCO)c3ccco3)ccnc1-2 ZINC000287800741 409095512 /nfs/dbraw/zinc/09/55/12/409095512.db2.gz XUPADEAYOVQSIY-SJWFJVLYSA-N -1 1 315.333 1.180 20 0 DDADMM COC(=O)[C@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283170383 409103684 /nfs/dbraw/zinc/10/36/84/409103684.db2.gz XCGFEKWPPBJDNN-SNVBAGLBSA-N -1 1 320.374 1.186 20 0 DDADMM CC(C)(C)[C@H](CCO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283624464 409186529 /nfs/dbraw/zinc/18/65/29/409186529.db2.gz ZVZYNICNXHWJEM-JTQLQIEISA-N -1 1 308.407 1.545 20 0 DDADMM COc1ccc(C)cc1N1C[C@H](C(=O)[N-]OCC2CC2)CC1=O ZINC000293721806 409168460 /nfs/dbraw/zinc/16/84/60/409168460.db2.gz GPVLHEJXISNHBO-CYBMUJFWSA-N -1 1 318.373 1.814 20 0 DDADMM C[C@H]1OCC[C@@]1(O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000279505196 409227033 /nfs/dbraw/zinc/22/70/33/409227033.db2.gz OHJWOPZAIIAEEW-AMIZOPFISA-N -1 1 319.279 1.681 20 0 DDADMM CO[C@@H](C)c1nc(CN2CCC[C@H](c3nc(=O)[n-][nH]3)C2)cs1 ZINC000289351297 409252204 /nfs/dbraw/zinc/25/22/04/409252204.db2.gz GDULASJOQIAFPU-UWVGGRQHSA-N -1 1 323.422 1.642 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2c(F)ccc([O-])c2F)[C@H]1OC ZINC000290452364 409405656 /nfs/dbraw/zinc/40/56/56/409405656.db2.gz MJUMUODSKVKENV-ZDSQKVDBSA-N -1 1 301.289 1.593 20 0 DDADMM O=C([O-])c1ccc2c(c1)CN(C(=O)c1ccc3[nH]nnc3c1)CC2 ZINC000325325683 164051123 /nfs/dbraw/zinc/05/11/23/164051123.db2.gz DOBSFCIYWZMZKS-UHFFFAOYSA-N -1 1 322.324 1.855 20 0 DDADMM CO[C@H](C)c1nsc(=N[C@@H](C)[C@@H](C)N2CCOCC2)[n-]1 ZINC000337935855 164075653 /nfs/dbraw/zinc/07/56/53/164075653.db2.gz MXKXUXZQSQJKQP-HBNTYKKESA-N -1 1 300.428 1.189 20 0 DDADMM CO[C@H](C)c1nc(=NCCNC(=O)CC2CCCC2)s[n-]1 ZINC000337914947 409566216 /nfs/dbraw/zinc/56/62/16/409566216.db2.gz NYNFMRRDYIGLFA-SNVBAGLBSA-N -1 1 312.439 1.776 20 0 DDADMM O=C(N[C@H]1CCN(C2CC2)C1)c1cc(F)c(F)c([O-])c1F ZINC000331443978 409515624 /nfs/dbraw/zinc/51/56/24/409515624.db2.gz DUKKDRWTHYWNAJ-ZETCQYMHSA-N -1 1 300.280 1.776 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)CCC2CC2)o1 ZINC000331474414 409547887 /nfs/dbraw/zinc/54/78/87/409547887.db2.gz NAYZUJGBCMOTDB-SECBINFHSA-N -1 1 300.380 1.496 20 0 DDADMM O=C(N[C@@H]1CCO[C@]2(CCOC2)C1)C(=O)c1ccc([O-])cc1 ZINC000331560510 409639249 /nfs/dbraw/zinc/63/92/49/409639249.db2.gz NFQSIYPBZQDIPT-MLGOLLRUSA-N -1 1 305.330 1.029 20 0 DDADMM O=C(NC1CC1)c1cccc(CNC(=O)c2ncccc2[O-])c1 ZINC000338071401 409695482 /nfs/dbraw/zinc/69/54/82/409695482.db2.gz BWJRSMSOZLQRKR-UHFFFAOYSA-N -1 1 311.341 1.609 20 0 DDADMM Cc1cnc(C(=O)NCCn2ccc(C(F)(F)F)n2)c([O-])c1 ZINC000636421081 422847499 /nfs/dbraw/zinc/84/74/99/422847499.db2.gz WORKMZGRRFYGQQ-UHFFFAOYSA-N -1 1 314.267 1.741 20 0 DDADMM COCCN([C@H]1CC[C@H](C)C1)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349506940 409855430 /nfs/dbraw/zinc/85/54/30/409855430.db2.gz WDUUCANNVFNFAS-ONGXEEELSA-N -1 1 317.411 1.221 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCCSC)c1 ZINC000338213981 409804568 /nfs/dbraw/zinc/80/45/68/409804568.db2.gz HWGIMHMQCNFQRS-UHFFFAOYSA-N -1 1 307.393 1.488 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@]1(O)CCCC(C)(C)C1 ZINC000349538897 409862585 /nfs/dbraw/zinc/86/25/85/409862585.db2.gz SMCHUKCLYIDJON-ZDUSSCGKSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@]1(O)CCCC(C)(C)C1 ZINC000349538897 409862596 /nfs/dbraw/zinc/86/25/96/409862596.db2.gz SMCHUKCLYIDJON-ZDUSSCGKSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc3c(c2)C[C@@H](C)O3)n1 ZINC000332092122 409873418 /nfs/dbraw/zinc/87/34/18/409873418.db2.gz BRQPIZATSVXVBV-MRVPVSSYSA-N -1 1 309.347 1.180 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@H]2CCOc3ccccc32)C1 ZINC000332094897 409879323 /nfs/dbraw/zinc/87/93/23/409879323.db2.gz AGLBXRQUTXSLQD-OCCSQVGLSA-N -1 1 318.373 1.423 20 0 DDADMM O=C(NC[C@@H]1COCCO1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338362072 409938481 /nfs/dbraw/zinc/93/84/81/409938481.db2.gz XBPXOQIJNBXJSA-SNVBAGLBSA-N -1 1 322.748 1.739 20 0 DDADMM O=C(COc1ccc2oc3c(c2c1)CCCC3)Nc1nnn[n-]1 ZINC000342853731 409948344 /nfs/dbraw/zinc/94/83/44/409948344.db2.gz XRQPEHRHCAQELP-UHFFFAOYSA-N -1 1 313.317 1.842 20 0 DDADMM O=C(COc1ccc2oc3c(c2c1)CCCC3)Nc1nn[n-]n1 ZINC000342853731 409948353 /nfs/dbraw/zinc/94/83/53/409948353.db2.gz XRQPEHRHCAQELP-UHFFFAOYSA-N -1 1 313.317 1.842 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H]1C1CCCCC1 ZINC000357376204 410000852 /nfs/dbraw/zinc/00/08/52/410000852.db2.gz JBCWFXGHHJDQLV-ZJUUUORDSA-N -1 1 307.350 1.595 20 0 DDADMM C[C@@H]1CC[C@@H]([N-]S(=O)(=O)c2cn(C)nc2C(F)(F)F)C1 ZINC000338966410 410052334 /nfs/dbraw/zinc/05/23/34/410052334.db2.gz VOYMVDNJAHOABG-HTQZYQBOSA-N -1 1 311.329 1.906 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(O)c1 ZINC000332343838 410078927 /nfs/dbraw/zinc/07/89/27/410078927.db2.gz RJDYKHGLGGWCAU-VIFPVBQESA-N -1 1 319.317 1.509 20 0 DDADMM COc1ccnc(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)c1 ZINC000343025042 410083253 /nfs/dbraw/zinc/08/32/53/410083253.db2.gz QYXPVEKUKONILL-UHFFFAOYSA-N -1 1 324.340 1.977 20 0 DDADMM COc1ccnc(CNC(=O)c2cnc3nc(C)ccc3c2[O-])c1 ZINC000343025042 410083260 /nfs/dbraw/zinc/08/32/60/410083260.db2.gz QYXPVEKUKONILL-UHFFFAOYSA-N -1 1 324.340 1.977 20 0 DDADMM Cc1ccsc1[C@@H](CO)NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000351660411 410138570 /nfs/dbraw/zinc/13/85/70/410138570.db2.gz WSPYKNZKPZIXSH-SNVBAGLBSA-N -1 1 323.443 1.973 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2C(C)(C)C2(C)C)co1 ZINC000339382137 410142715 /nfs/dbraw/zinc/14/27/15/410142715.db2.gz YGUNNEJNAYPIAZ-UHFFFAOYSA-N -1 1 300.380 1.352 20 0 DDADMM N=c1nc(N2CCN(CCc3ccccc3Cl)CC2)s[n-]1 ZINC000332430547 410153581 /nfs/dbraw/zinc/15/35/81/410153581.db2.gz ZFMIENUVYSAIDF-UHFFFAOYSA-N -1 1 323.853 1.969 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3c(c2)OCCO3)n1 ZINC000339398641 410162197 /nfs/dbraw/zinc/16/21/97/410162197.db2.gz YRYWWBPMDRYXPZ-UHFFFAOYSA-N -1 1 317.301 1.610 20 0 DDADMM COc1ccc([C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c(OC)c1 ZINC000329266974 410203089 /nfs/dbraw/zinc/20/30/89/410203089.db2.gz FXXFZGSSCZPASK-CYBMUJFWSA-N -1 1 318.377 1.463 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)CNC(=O)c2ncccc2[O-])cc1 ZINC000343169788 410204270 /nfs/dbraw/zinc/20/42/70/410204270.db2.gz ULGKXEGJEGWDHJ-LBPRGKRZSA-N -1 1 313.357 1.703 20 0 DDADMM CC(C)c1ccc(SCC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000298665222 410337487 /nfs/dbraw/zinc/33/74/87/410337487.db2.gz LOHBANNMFAFTHN-UHFFFAOYSA-N -1 1 307.375 1.485 20 0 DDADMM CCOc1cc(C(=O)NC[C@@H](O)C(F)F)cc(Cl)c1[O-] ZINC000358127359 410374442 /nfs/dbraw/zinc/37/44/42/410374442.db2.gz GPGDDOLXNUEERL-MRVPVSSYSA-N -1 1 309.696 1.800 20 0 DDADMM CC(C)S(=O)(=O)c1ccc(C(=O)Nc2ccncc2[O-])cc1 ZINC000358348492 410450064 /nfs/dbraw/zinc/45/00/64/410450064.db2.gz GFVJJBRBXJBDBC-UHFFFAOYSA-N -1 1 320.370 1.644 20 0 DDADMM CCC(=O)N1CC[C@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C1 ZINC000333334080 410486377 /nfs/dbraw/zinc/48/63/77/410486377.db2.gz ZCPGFQNMBBBYIK-JTQLQIEISA-N -1 1 317.436 1.049 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)c1cc(C)oc1C ZINC000352146411 410510016 /nfs/dbraw/zinc/51/00/16/410510016.db2.gz FGTGIJLKYBXZFK-ZETCQYMHSA-N -1 1 307.306 1.597 20 0 DDADMM Cn1[n-]c(CNC(C)(C)c2nc3ccccc3n2C)nc1=O ZINC000329937506 410490312 /nfs/dbraw/zinc/49/03/12/410490312.db2.gz SAWHUIKTPHAMNY-UHFFFAOYSA-N -1 1 300.366 1.020 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCc2cc(F)ccc21 ZINC000352184394 410530551 /nfs/dbraw/zinc/53/05/51/410530551.db2.gz QJPZUCJVTLQCFI-SNVBAGLBSA-N -1 1 319.292 1.453 20 0 DDADMM CCOC(=O)c1csc(CCNC(=O)c2ncccc2[O-])n1 ZINC000347490543 410581109 /nfs/dbraw/zinc/58/11/09/410581109.db2.gz JRGGPQAMJOQVMC-UHFFFAOYSA-N -1 1 321.358 1.393 20 0 DDADMM COC(=O)[C@@H]1c2ccccc2CCN1C(=O)c1cncc([O-])c1 ZINC000339904339 410544008 /nfs/dbraw/zinc/54/40/08/410544008.db2.gz OHIZZJLGWUCSSL-HNNXBMFYSA-N -1 1 312.325 1.700 20 0 DDADMM Cc1nc([C@H]2CCCO2)sc1C(=O)N=c1ccc([O-])n[nH]1 ZINC000343746868 410664829 /nfs/dbraw/zinc/66/48/29/410664829.db2.gz XXRZXUQFUZKITP-MRVPVSSYSA-N -1 1 306.347 1.473 20 0 DDADMM Cc1nc([C@H]2CCCO2)sc1C(=O)N=c1ccc(O)n[n-]1 ZINC000343746868 410664832 /nfs/dbraw/zinc/66/48/32/410664832.db2.gz XXRZXUQFUZKITP-MRVPVSSYSA-N -1 1 306.347 1.473 20 0 DDADMM COC(=O)c1cnc(C(=O)[N-]c2c(F)cc(F)cc2F)cn1 ZINC000355624081 410614241 /nfs/dbraw/zinc/61/42/41/410614241.db2.gz NJHOCKHTLAMDOA-UHFFFAOYSA-N -1 1 311.219 1.933 20 0 DDADMM Cc1nc([C@@H]2CN(C(=O)c3ncc(C)cc3[O-])CCO2)no1 ZINC000330298713 410619174 /nfs/dbraw/zinc/61/91/74/410619174.db2.gz HOLILKXOOHUACO-NSHDSACASA-N -1 1 304.306 1.001 20 0 DDADMM Cc1ccccc1S(=O)(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000359392219 410637201 /nfs/dbraw/zinc/63/72/01/410637201.db2.gz ICMHAWVSJAFIQW-UHFFFAOYSA-N -1 1 302.359 1.451 20 0 DDADMM CCNC(=O)c1ccc(=NCC2(C(=O)OC)CCCCC2)[n-]n1 ZINC000343718008 410645422 /nfs/dbraw/zinc/64/54/22/410645422.db2.gz CKMYLTDYRJSHIA-UHFFFAOYSA-N -1 1 320.393 1.184 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H](C)n1nc(C)cc1C)c1nn[n-]n1 ZINC000343739035 410658466 /nfs/dbraw/zinc/65/84/66/410658466.db2.gz YHPYFFWQDUNZRR-NEPJUHHUSA-N -1 1 305.386 1.622 20 0 DDADMM CC[C@H](C)[C@@H](NC(C)=O)C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000355858626 410704534 /nfs/dbraw/zinc/70/45/34/410704534.db2.gz WPCWOTFNJFRXPI-LKFCYVNXSA-N -1 1 322.361 1.668 20 0 DDADMM Cc1cnc([C@@H](C)NC(=O)CNC(=O)c2ncccc2[O-])s1 ZINC000343809171 410714608 /nfs/dbraw/zinc/71/46/08/410714608.db2.gz WJBZGPXXYOYTIV-SECBINFHSA-N -1 1 320.374 1.159 20 0 DDADMM Cc1nn(CC(C)C)c(C)c1S(=O)(=O)[N-]CC(F)(F)F ZINC000330497427 410732492 /nfs/dbraw/zinc/73/24/92/410732492.db2.gz YKPBXAFAEKJNIE-UHFFFAOYSA-N -1 1 313.345 1.997 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N(CCO)Cc1cccnc1 ZINC000343847123 410742986 /nfs/dbraw/zinc/74/29/86/410742986.db2.gz ISZFNHBJRWEVCV-UHFFFAOYSA-N -1 1 308.284 1.700 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1cc(C)no1)[C@H](O)C(F)(F)F ZINC000330543087 410763029 /nfs/dbraw/zinc/76/30/29/410763029.db2.gz IWWFTOGFMBAJJZ-IUCAKERBSA-N -1 1 316.301 1.104 20 0 DDADMM NS(=O)(=O)C1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000353105338 410788107 /nfs/dbraw/zinc/78/81/07/410788107.db2.gz AYFFLRJBRJIVSS-UHFFFAOYSA-N -1 1 304.799 1.299 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc3c(cn2)CCC3)co1 ZINC000359792537 410886529 /nfs/dbraw/zinc/88/65/29/410886529.db2.gz KQJOEJIQPDSTRE-UHFFFAOYSA-N -1 1 321.358 1.324 20 0 DDADMM CC[C@H](C)Oc1cc(C(=O)NC2(c3nn[n-]n3)CC2)ccn1 ZINC000348275254 410890086 /nfs/dbraw/zinc/89/00/86/410890086.db2.gz JFDIRMYKZOVPAG-VIFPVBQESA-N -1 1 302.338 1.191 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1sccc1-n1cccc1 ZINC000348291402 410900228 /nfs/dbraw/zinc/90/02/28/410900228.db2.gz HNNHKLKJCMGAEJ-UHFFFAOYSA-N -1 1 300.347 1.471 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cnc(-c2ccccc2)s1 ZINC000348291973 410901765 /nfs/dbraw/zinc/90/17/65/410901765.db2.gz KJNNCVVAAJHHCY-UHFFFAOYSA-N -1 1 312.358 1.742 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ccc3c(c2)OCO3)sn1 ZINC000631636039 422861621 /nfs/dbraw/zinc/86/16/21/422861621.db2.gz XJYPOLQOSZNCQM-UHFFFAOYSA-N -1 1 312.372 1.659 20 0 DDADMM C[C@H]1COCCN1CCNC(=O)c1c(F)ccc([O-])c1F ZINC000348349686 410927837 /nfs/dbraw/zinc/92/78/37/410927837.db2.gz VPLVLPAJZCSLII-VIFPVBQESA-N -1 1 300.305 1.121 20 0 DDADMM CC[C@@H](C)[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC ZINC000337813323 411015391 /nfs/dbraw/zinc/01/53/91/411015391.db2.gz PCRMGCUMIMTWGX-PELKAZGASA-N -1 1 321.345 1.831 20 0 DDADMM CCCc1nc(C(=O)[N-]c2nnc(CCC(=O)OC)s2)co1 ZINC000337846308 411032520 /nfs/dbraw/zinc/03/25/20/411032520.db2.gz HXBMVDXQPNDUMY-UHFFFAOYSA-N -1 1 324.362 1.837 20 0 DDADMM CC(C)CN1CCN(C(=O)C(=O)c2ccc([O-])cc2)[C@@H](C)C1=O ZINC000331232050 411033106 /nfs/dbraw/zinc/03/31/06/411033106.db2.gz JGQNOGVCZUCUIW-LBPRGKRZSA-N -1 1 318.373 1.290 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2cc(C3CC3)n[nH]2)o1 ZINC000353848173 411135755 /nfs/dbraw/zinc/13/57/55/411135755.db2.gz AHDUZOKPFQAMEU-UHFFFAOYSA-N -1 1 311.319 1.468 20 0 DDADMM CCOC1(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCCC1 ZINC000356558438 411088580 /nfs/dbraw/zinc/08/85/80/411088580.db2.gz URNCWBUVCIECBW-UHFFFAOYSA-N -1 1 318.377 1.753 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2ccc[nH]2)c(=O)[n-]1 ZINC000360213332 411102378 /nfs/dbraw/zinc/10/23/78/411102378.db2.gz UARQGVRNSGCLHN-UHFFFAOYSA-N -1 1 306.391 1.790 20 0 DDADMM CCC[C@@H]1[C@@H](C)CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353730417 411118500 /nfs/dbraw/zinc/11/85/00/411118500.db2.gz UXOZWTJUATYUQY-CMPLNLGQSA-N -1 1 303.366 1.458 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCSC(C)(C)C)co1 ZINC000129146719 196023510 /nfs/dbraw/zinc/02/35/10/196023510.db2.gz ABDWTELJRGHQOR-UHFFFAOYSA-N -1 1 320.436 1.449 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C)c2nnc3ccccn32)sn1 ZINC000631685494 422880113 /nfs/dbraw/zinc/88/01/13/422880113.db2.gz VILOAZNFRBEQST-VIFPVBQESA-N -1 1 323.403 1.534 20 0 DDADMM CCOCc1ccc(C[N-]S(=O)(=O)c2conc2C)cc1 ZINC000631722359 422894241 /nfs/dbraw/zinc/89/42/41/422894241.db2.gz IFOLVZBBIAOIBV-UHFFFAOYSA-N -1 1 310.375 1.998 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H]1CCc2c[nH]nc2C1 ZINC000631777716 422918636 /nfs/dbraw/zinc/91/86/36/422918636.db2.gz DNXXJXRTLVRLMC-LLVKDONJSA-N -1 1 305.765 1.985 20 0 DDADMM Cc1ccc(CN2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)c(C)n1 ZINC000580561359 422941650 /nfs/dbraw/zinc/94/16/50/422941650.db2.gz WKWMNYBMQKOOOI-CQSZACIVSA-N -1 1 315.377 1.825 20 0 DDADMM CO[C@H]1C[C@H](C(=O)[O-])N(Cc2cccc(-n3cccn3)c2)C1 ZINC000580456566 422934882 /nfs/dbraw/zinc/93/48/82/422934882.db2.gz IDBKZMBOEYQHCL-LSDHHAIUSA-N -1 1 301.346 1.546 20 0 DDADMM Cc1cnccc1CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000647659443 422987041 /nfs/dbraw/zinc/98/70/41/422987041.db2.gz FBXJILBUGYVTFS-LBPRGKRZSA-N -1 1 302.334 1.427 20 0 DDADMM CC(=O)N[C@@H]1CCCN(CCOc2cccc(C(=O)[O-])c2)C1 ZINC000652389814 422995579 /nfs/dbraw/zinc/99/55/79/422995579.db2.gz IJSIOFSNSSXYSM-CQSZACIVSA-N -1 1 306.362 1.364 20 0 DDADMM O=C(N=c1ccc([O-])n[nH]1)[C@H](C[C@H]1CCCO1)C(F)(F)F ZINC000649935101 422997745 /nfs/dbraw/zinc/99/77/45/422997745.db2.gz ODGUDSBCJFDWHD-SFYZADRCSA-N -1 1 305.256 1.290 20 0 DDADMM O=C(N=c1ccc(O)n[n-]1)[C@H](C[C@H]1CCCO1)C(F)(F)F ZINC000649935101 422997754 /nfs/dbraw/zinc/99/77/54/422997754.db2.gz ODGUDSBCJFDWHD-SFYZADRCSA-N -1 1 305.256 1.290 20 0 DDADMM Cc1cccc(C(=O)N[C@H]2C[C@H]([NH+]3CCOCC3)C2(C)C)c1O ZINC000640528059 423014376 /nfs/dbraw/zinc/01/43/76/423014376.db2.gz WDIRBZAKDPATEE-GJZGRUSLSA-N -1 1 318.417 1.930 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC2=CCOCC2)c(F)c1 ZINC000650078369 423040981 /nfs/dbraw/zinc/04/09/81/423040981.db2.gz LTVKVQLZESRBCI-UHFFFAOYSA-N -1 1 319.329 1.598 20 0 DDADMM C[C@H]1CN(Cc2cn(-c3ccccc3)nn2)CC[C@H]1C(=O)[O-] ZINC000652496869 423043803 /nfs/dbraw/zinc/04/38/03/423043803.db2.gz FUJGDNBYUXLOFV-SWLSCSKDSA-N -1 1 300.362 1.810 20 0 DDADMM CC[C@@](C)(O)C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645555983 423080820 /nfs/dbraw/zinc/08/08/20/423080820.db2.gz BTJVAVZJNSFGCX-SNVBAGLBSA-N -1 1 312.313 1.540 20 0 DDADMM CCOc1ncc(C)cc1[N-]S(=O)(=O)CCC(=O)OC ZINC000296672275 226107612 /nfs/dbraw/zinc/10/76/12/226107612.db2.gz GZJMIFZKZSQMIJ-UHFFFAOYSA-N -1 1 302.352 1.094 20 0 DDADMM CCC[C@@H](C)CS(=O)(=O)[N-][C@@H](CC(F)(F)F)C(=O)OC ZINC000360845112 418531895 /nfs/dbraw/zinc/53/18/95/418531895.db2.gz JJGAJILIFGLWMJ-BDAKNGLRSA-N -1 1 319.345 1.836 20 0 DDADMM Cc1nc(-c2ccc(N3CC[C@@H](C)[C@@H]3CO)nc2)[n-]c(=O)c1C ZINC000189869709 222059776 /nfs/dbraw/zinc/05/97/76/222059776.db2.gz RDENLNGDWDTQPH-YGRLFVJLSA-N -1 1 314.389 1.656 20 0 DDADMM O=C(N=c1ccn(CC(F)F)[nH]1)c1cnc2ccccc2c1[O-] ZINC000361221901 418609385 /nfs/dbraw/zinc/60/93/85/418609385.db2.gz VQQBXSCRGJPNCT-UHFFFAOYSA-N -1 1 318.283 1.664 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)c1ccc(C(=O)[O-])cn1 ZINC000387871883 418744860 /nfs/dbraw/zinc/74/48/60/418744860.db2.gz BKDYMXWHEWMCMK-DGCLKSJQSA-N -1 1 303.362 1.479 20 0 DDADMM C[C@H](CCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000372156966 418840863 /nfs/dbraw/zinc/84/08/63/418840863.db2.gz MMKUNUIGPKWZOU-TZMCWYRMSA-N -1 1 315.377 1.684 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cccc(S(C)(=O)=O)c1)OC ZINC000421138439 419499829 /nfs/dbraw/zinc/49/98/29/419499829.db2.gz AZZXNPNEPDEDFY-NSHDSACASA-N -1 1 321.420 1.257 20 0 DDADMM CCCN(C)C(=O)[C@@H]1CSCN1C(=O)c1ncc(C)cc1[O-] ZINC000427107693 419595888 /nfs/dbraw/zinc/59/58/88/419595888.db2.gz LSWCMZHZZHHDKV-NSHDSACASA-N -1 1 323.418 1.479 20 0 DDADMM Cc1ccc2nc(-c3cncc(S(N)(=O)=O)c3)[n-]c(=O)c2c1 ZINC000427440026 419658096 /nfs/dbraw/zinc/65/80/96/419658096.db2.gz AABAEPIKHBZKGI-UHFFFAOYSA-N -1 1 316.342 1.353 20 0 DDADMM CCc1nc(C)c(CN2CCN(c3nc(=N)[n-]s3)CC2)o1 ZINC000428369098 419853995 /nfs/dbraw/zinc/85/39/95/419853995.db2.gz IPMVNLYBAUMMRG-UHFFFAOYSA-N -1 1 308.411 1.132 20 0 DDADMM CON(C)C(=O)CCc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000299369788 229080417 /nfs/dbraw/zinc/08/04/17/229080417.db2.gz TXEFMMIXYAUJFV-UHFFFAOYSA-N -1 1 302.334 1.505 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]c2cc(-c3ccccc3)n[nH]2)c1 ZINC000434973186 229348283 /nfs/dbraw/zinc/34/82/83/229348283.db2.gz LVOCHDHRBOKESU-UHFFFAOYSA-N -1 1 303.347 1.611 20 0 DDADMM COC[C@H](C)n1ccc(=NC(=O)c2csc(=NC3CC3)[n-]2)[nH]1 ZINC000428752987 419925203 /nfs/dbraw/zinc/92/52/03/419925203.db2.gz LVHYBTDAROJNCB-VIFPVBQESA-N -1 1 321.406 1.217 20 0 DDADMM O=C(CCc1nc[nH]n1)N=c1nc(-c2ccccc2)[n-]s1 ZINC000429083551 419967267 /nfs/dbraw/zinc/96/72/67/419967267.db2.gz VIZMQCCMEIMHMR-UHFFFAOYSA-N -1 1 300.347 1.316 20 0 DDADMM CC(C)[C@H]1CC[C@@H](C)C[C@@H]1OCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000430335776 420109011 /nfs/dbraw/zinc/10/90/11/420109011.db2.gz XPGZPVGBVOAEET-UPJWGTAASA-N -1 1 321.425 1.782 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@H](C)c2cnn(C)c2)[n-]1 ZINC000415625061 420128365 /nfs/dbraw/zinc/12/83/65/420128365.db2.gz BEVYKLAZNVEQLA-VIFPVBQESA-N -1 1 305.338 1.452 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@H](C)c2cnn(C)c2)n1 ZINC000415625061 420128369 /nfs/dbraw/zinc/12/83/69/420128369.db2.gz BEVYKLAZNVEQLA-VIFPVBQESA-N -1 1 305.338 1.452 20 0 DDADMM COc1ccc(NC(=O)CN(C)CCCC(=O)[O-])cc1OC ZINC000430670056 420174257 /nfs/dbraw/zinc/17/42/57/420174257.db2.gz LPQRYXRKXAMNEV-UHFFFAOYSA-N -1 1 310.350 1.439 20 0 DDADMM COc1ccc2c(c1)CCCN2C(=O)CN(C)CCCC(=O)[O-] ZINC000430667155 420174305 /nfs/dbraw/zinc/17/43/05/420174305.db2.gz DVTJALOFVVAZIH-UHFFFAOYSA-N -1 1 320.389 1.771 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@@H](C)c1ccccc1 ZINC000416145048 420256871 /nfs/dbraw/zinc/25/68/71/420256871.db2.gz KKPBWRPYTZUQSQ-SNVBAGLBSA-N -1 1 317.345 1.820 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Cl)cc2[O-])[C@H](C)CN1CCO ZINC000416293398 420310527 /nfs/dbraw/zinc/31/05/27/420310527.db2.gz JTCNZXMSRHRHQW-GHMZBOCLSA-N -1 1 312.797 1.573 20 0 DDADMM CC(=O)NCC[C@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000436739228 420362894 /nfs/dbraw/zinc/36/28/94/420362894.db2.gz NXVOMKOMXISLTN-GFCCVEGCSA-N -1 1 308.353 1.910 20 0 DDADMM CCOCCOC[C@H](O)CNC(=O)c1ccc(Cl)cc1[O-] ZINC000436611403 420343061 /nfs/dbraw/zinc/34/30/61/420343061.db2.gz ZXYAJOIBRSBRRG-LLVKDONJSA-N -1 1 317.769 1.189 20 0 DDADMM O=C([O-])c1ccc(NS(=O)(=O)c2cnc3n2CCC3)cc1O ZINC000436635769 420347651 /nfs/dbraw/zinc/34/76/51/420347651.db2.gz WJIPMXPNZIXISC-UHFFFAOYSA-N -1 1 323.330 1.034 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1coc(C(=O)N(C)C)c1C)C(F)F ZINC000645740691 423162560 /nfs/dbraw/zinc/16/25/60/423162560.db2.gz JDWYAFZDAUFWBS-MRVPVSSYSA-N -1 1 324.349 1.612 20 0 DDADMM CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)c1cc(F)ccc1[O-] ZINC000436670894 420352125 /nfs/dbraw/zinc/35/21/25/420352125.db2.gz HIAMMQUKJIXOBM-HNNXBMFYSA-N -1 1 313.325 1.354 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)COCc2cc(C)no2)c1 ZINC000436670611 420352625 /nfs/dbraw/zinc/35/26/25/420352625.db2.gz GECUBELGUXJPMY-UHFFFAOYSA-N -1 1 320.301 1.631 20 0 DDADMM CC[N@H+]1C[C@H](C)N(C(=O)c2cc(NC(C)=O)ccc2O)C[C@H]1C ZINC000436817996 420369327 /nfs/dbraw/zinc/36/93/27/420369327.db2.gz TWLNFBVTAPFMGA-NEPJUHHUSA-N -1 1 319.405 1.905 20 0 DDADMM O=C(Nc1cccc([C@H]2CNC(=O)C2)c1)C(=O)c1ccc([O-])cc1 ZINC000436963451 420386641 /nfs/dbraw/zinc/38/66/41/420386641.db2.gz NXLLQXYRQFLQKZ-CYBMUJFWSA-N -1 1 324.336 1.817 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C2CC2)C2CCC2)o1 ZINC000416512719 420401988 /nfs/dbraw/zinc/40/19/88/420401988.db2.gz RYGRNYLXLXZXBJ-ZDUSSCGKSA-N -1 1 313.375 1.923 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000416575203 420422767 /nfs/dbraw/zinc/42/27/67/420422767.db2.gz AKQHWXLJQYWGPJ-LLVKDONJSA-N -1 1 319.329 1.585 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC[C@H]1F ZINC000416599179 420429841 /nfs/dbraw/zinc/42/98/41/420429841.db2.gz VULJGNONEIEUCA-NXEZZACHSA-N -1 1 319.358 1.145 20 0 DDADMM CCO[C@@H]1COC[C@H]1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000456800426 420548106 /nfs/dbraw/zinc/54/81/06/420548106.db2.gz CPGCJWZROJNRPD-ZYHUDNBSSA-N -1 1 319.279 1.945 20 0 DDADMM CCOC(=O)Cc1cnc([N-]C(=O)c2cc(OC)no2)s1 ZINC000456884253 420560371 /nfs/dbraw/zinc/56/03/71/420560371.db2.gz LJGMFRLBBKYZOB-UHFFFAOYSA-N -1 1 311.319 1.498 20 0 DDADMM C[C@H]1CN(CCO)CCN1C(=O)c1ccc2ccccc2c1[O-] ZINC000457102722 420614662 /nfs/dbraw/zinc/61/46/62/420614662.db2.gz BQVNQSFLIWKMSU-ZDUSSCGKSA-N -1 1 314.385 1.684 20 0 DDADMM CC(C)(C)OC(=O)NCC1(C(=O)[N-]OC[C@H]2CCOC2)CC1 ZINC000492725917 420642912 /nfs/dbraw/zinc/64/29/12/420642912.db2.gz UMICQKKRXUGPAB-NSHDSACASA-N -1 1 314.382 1.376 20 0 DDADMM CCOC(=O)COCCNC(=O)c1cc(Cl)ccc1[O-] ZINC000452695757 420645823 /nfs/dbraw/zinc/64/58/23/420645823.db2.gz MJEOSUDYSVORPB-UHFFFAOYSA-N -1 1 301.726 1.355 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cc(Cl)c([O-])c(OC)c1)C1CC1 ZINC000442674196 420697714 /nfs/dbraw/zinc/69/77/14/420697714.db2.gz XLWOKHUUMSCGOU-NSHDSACASA-N -1 1 313.737 1.736 20 0 DDADMM CC[C@@H](O)CS(=O)(=O)c1nc(-c2ccc(Cl)cc2)n[n-]1 ZINC000453021703 420703206 /nfs/dbraw/zinc/70/32/06/420703206.db2.gz HBWNPDAUWNEQRM-SNVBAGLBSA-N -1 1 315.782 1.670 20 0 DDADMM CC[C@@H](O)CS(=O)(=O)c1n[n-]c(-c2ccc(Cl)cc2)n1 ZINC000453021703 420703208 /nfs/dbraw/zinc/70/32/08/420703208.db2.gz HBWNPDAUWNEQRM-SNVBAGLBSA-N -1 1 315.782 1.670 20 0 DDADMM O=C(Cc1cccc(Cl)c1Cl)NC1(c2nn[n-]n2)CC1 ZINC000447583820 420783790 /nfs/dbraw/zinc/78/37/90/420783790.db2.gz CONDMDJZEOGGGA-UHFFFAOYSA-N -1 1 312.160 1.855 20 0 DDADMM O=C(C=Cc1ccc(-n2ccnc2)cc1)NC1(c2nn[n-]n2)CC1 ZINC000493856253 420959097 /nfs/dbraw/zinc/95/90/97/420959097.db2.gz LLZLAOIYRQNCHK-UTCJRWHESA-N -1 1 321.344 1.204 20 0 DDADMM CC(=O)c1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(C)nc1C ZINC000487083802 421002156 /nfs/dbraw/zinc/00/21/56/421002156.db2.gz LTEXBAFLCVMFHO-QMMMGPOBSA-N -1 1 316.365 1.290 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)N2C[C@@H](C)N(C)[C@@H](C)C2)s[n-]1 ZINC000455459661 421017814 /nfs/dbraw/zinc/01/78/14/421017814.db2.gz SXPBOSNIMYFNHP-AEJSXWLSSA-N -1 1 313.427 1.224 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)N2CC(CO)C2)c([O-])c1 ZINC000495560296 421024734 /nfs/dbraw/zinc/02/47/34/421024734.db2.gz ZBZWNWHHUMAPIV-UHFFFAOYSA-N -1 1 322.361 1.804 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C3CC3)[C@H]2C2CC2)co1 ZINC000456310663 421139602 /nfs/dbraw/zinc/13/96/02/421139602.db2.gz VHKCPBNLHQTXMZ-GXTWGEPZSA-N -1 1 324.402 1.448 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000450555278 421209506 /nfs/dbraw/zinc/20/95/06/421209506.db2.gz FOUZTQBWKPHNQL-MWLCHTKSSA-N -1 1 312.391 1.660 20 0 DDADMM Cc1ncc2c(n1)CC[C@@H](NC(=O)c1c([O-])cccc1F)C2 ZINC000547468451 421334559 /nfs/dbraw/zinc/33/45/59/421334559.db2.gz HYQOKIVQLFQDGA-LLVKDONJSA-N -1 1 301.321 1.917 20 0 DDADMM CO[C@@H](C)c1noc(CN(C)C(=O)c2cccc3nn[nH]c32)n1 ZINC000527107454 421356221 /nfs/dbraw/zinc/35/62/21/421356221.db2.gz CEAVVYXDWMYWBT-QMMMGPOBSA-N -1 1 316.321 1.321 20 0 DDADMM O=C(c1ccc(-c2nc[nH]n2)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000547779957 421366186 /nfs/dbraw/zinc/36/61/86/421366186.db2.gz OSWFIVUOOWNCOH-GFCCVEGCSA-N -1 1 324.348 1.005 20 0 DDADMM CO[C@@H]1CCC[C@H](CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1 ZINC000527250876 421371040 /nfs/dbraw/zinc/37/10/40/421371040.db2.gz RHQXOIDOEWEVPX-YNEHKIRRSA-N -1 1 307.398 1.501 20 0 DDADMM Cc1cnc(C(=O)N(C)CCNC(=O)OC(C)(C)C)c([O-])c1 ZINC000497281360 421401287 /nfs/dbraw/zinc/40/12/87/421401287.db2.gz JJDSIHAUHDMASZ-UHFFFAOYSA-N -1 1 309.366 1.692 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)C1CC(c2cccc(F)c2)C1 ZINC000562699613 421401482 /nfs/dbraw/zinc/40/14/82/421401482.db2.gz MBNHBTOXLDAEFF-UHFFFAOYSA-N -1 1 317.324 1.436 20 0 DDADMM COC[C@@H](C)S(=O)(=O)Nc1cc(F)c(C(=O)[O-])cc1OC ZINC000548590441 421451642 /nfs/dbraw/zinc/45/16/42/421451642.db2.gz XNRGHWPQCAKNCD-SSDOTTSWSA-N -1 1 321.326 1.309 20 0 DDADMM CC(C)(C)OC(=O)N(CCC(=O)N=c1ccc([O-])n[nH]1)C1CC1 ZINC000497241076 421393739 /nfs/dbraw/zinc/39/37/39/421393739.db2.gz AYPYELMXXPSLAQ-UHFFFAOYSA-N -1 1 322.365 1.332 20 0 DDADMM C[C@H](CN(C)C(=O)C1(C(F)(F)F)CCCC1)c1nn[n-]n1 ZINC000563516668 421516422 /nfs/dbraw/zinc/51/64/22/421516422.db2.gz DJEBKALTKVAKNA-MRVPVSSYSA-N -1 1 305.304 1.884 20 0 DDADMM C[C@@]1(C(=O)[O-])CCCN(Cc2cn(-c3ccccc3)nn2)C1 ZINC000563543164 421519051 /nfs/dbraw/zinc/51/90/51/421519051.db2.gz QFHYIZMRPLQPMS-MRXNPFEDSA-N -1 1 300.362 1.954 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)C2CCC2)n[n-]1 ZINC000548712048 421466105 /nfs/dbraw/zinc/46/61/05/421466105.db2.gz LJVHFOCXKMNKDO-LLVKDONJSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)C2CCC2)[n-]1 ZINC000548712048 421466108 /nfs/dbraw/zinc/46/61/08/421466108.db2.gz LJVHFOCXKMNKDO-LLVKDONJSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)C2CCC2)n1 ZINC000548712048 421466110 /nfs/dbraw/zinc/46/61/10/421466110.db2.gz LJVHFOCXKMNKDO-LLVKDONJSA-N -1 1 308.382 1.985 20 0 DDADMM O=C([O-])c1ccc(NCC[N@H+]2CCc3sccc3C2)nn1 ZINC000563206582 421472524 /nfs/dbraw/zinc/47/25/24/421472524.db2.gz LESFLMONQXXGIT-UHFFFAOYSA-N -1 1 304.375 1.128 20 0 DDADMM O=C([O-])c1ccc(NCCN2CCc3sccc3C2)nn1 ZINC000563206582 421472527 /nfs/dbraw/zinc/47/25/27/421472527.db2.gz LESFLMONQXXGIT-UHFFFAOYSA-N -1 1 304.375 1.128 20 0 DDADMM COc1ccccc1[C@H](CNC(=O)CCC(=O)[O-])N1CCCC1 ZINC000551953899 421559962 /nfs/dbraw/zinc/55/99/62/421559962.db2.gz AEHPGSUTJHSFLU-AWEZNQCLSA-N -1 1 320.389 1.813 20 0 DDADMM O=C(C[C@@H](O)Cc1ccccc1)NC1(c2nn[n-]n2)CCCC1 ZINC000517013745 421587441 /nfs/dbraw/zinc/58/74/41/421587441.db2.gz UWHNGGNKKWWLII-ZDUSSCGKSA-N -1 1 315.377 1.079 20 0 DDADMM C[C@]1(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C[C@H]2C[C@H]2C1 ZINC000551544929 421535173 /nfs/dbraw/zinc/53/51/73/421535173.db2.gz DBPPPHWZTCZIIA-MSRIBSCDSA-N -1 1 307.423 1.035 20 0 DDADMM CN(C)C(=O)N1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000518257860 421645884 /nfs/dbraw/zinc/64/58/84/421645884.db2.gz TWBHVCGVHPEORK-UHFFFAOYSA-N -1 1 313.304 1.110 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CCCO1)C1CCCCC1 ZINC000532298518 421654273 /nfs/dbraw/zinc/65/42/73/421654273.db2.gz GLOBHXRAFNVLCP-CHWSQXEVSA-N -1 1 319.423 1.207 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C2C[C@H](C)O[C@@H](C)C2)c1 ZINC000534316091 421690712 /nfs/dbraw/zinc/69/07/12/421690712.db2.gz PKFGIQIEVDKNAB-IUCAKERBSA-N -1 1 317.363 1.301 20 0 DDADMM O=C(c1cc2n(n1)CCCC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000571160231 421692153 /nfs/dbraw/zinc/69/21/53/421692153.db2.gz LWIGFUSAHRRHDP-SNVBAGLBSA-N -1 1 317.349 1.328 20 0 DDADMM O=S(=O)([N-]Cc1nnc(C2CC2)[nH]1)c1cc2ccccc2o1 ZINC000571375580 421709404 /nfs/dbraw/zinc/70/94/04/421709404.db2.gz KQSVMQIGLUAFPE-UHFFFAOYSA-N -1 1 318.358 1.907 20 0 DDADMM CCc1nc(SCCC2CS(=O)(=O)C2)[n-]c(=O)c1C ZINC000519657377 421714101 /nfs/dbraw/zinc/71/41/01/421714101.db2.gz WOJYFEWIMZEXEV-UHFFFAOYSA-N -1 1 302.421 1.580 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H](C)C(C)(C)C)co1 ZINC000533714693 421682297 /nfs/dbraw/zinc/68/22/97/421682297.db2.gz UTWSUTHWJXSVAS-SECBINFHSA-N -1 1 302.396 1.694 20 0 DDADMM CCC[C@@H](CC)S(=O)(=O)[N-]c1ccn(CC(=O)N(C)C)n1 ZINC000519163263 421684266 /nfs/dbraw/zinc/68/42/66/421684266.db2.gz WDMVRAAFLFHRCF-LLVKDONJSA-N -1 1 316.427 1.292 20 0 DDADMM O=C(C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccc(Cl)cc1 ZINC000540423857 421763449 /nfs/dbraw/zinc/76/34/49/421763449.db2.gz LIJFFOSCHLWBHL-SNVBAGLBSA-N -1 1 319.752 1.442 20 0 DDADMM CC(=O)Nc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)ccc1C ZINC000541842543 421807113 /nfs/dbraw/zinc/80/71/13/421807113.db2.gz UVPHBJZXKJCHMJ-JTQLQIEISA-N -1 1 316.365 1.342 20 0 DDADMM O=C(C1Cc2ccccc2C1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000572237065 421771914 /nfs/dbraw/zinc/77/19/14/421771914.db2.gz TZAFTTZFXDVHMC-ZDUSSCGKSA-N -1 1 313.357 1.896 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1[C@@H]2CCCC[C@@H]2C[C@H]1C(=O)[O-] ZINC000630097604 421886147 /nfs/dbraw/zinc/88/61/47/421886147.db2.gz KHSXOXQPRODTKC-OXHZDVMGSA-N -1 1 305.378 1.833 20 0 DDADMM CC[C@@H](NCc1cc(C(=O)[O-])nn1C)c1c(C)nn(C)c1C ZINC000635308742 421898014 /nfs/dbraw/zinc/89/80/14/421898014.db2.gz KMFVWGGYFVZYNA-GFCCVEGCSA-N -1 1 305.382 1.710 20 0 DDADMM CN(c1ccccc1)[C@@H]1CCN(Cc2cc(C(=O)[O-])nn2C)C1 ZINC000635314911 421903614 /nfs/dbraw/zinc/90/36/14/421903614.db2.gz OXBJQUBKLVOZID-CQSZACIVSA-N -1 1 314.389 1.829 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCS[C@@H]2COCC[C@H]21 ZINC000543749379 421841235 /nfs/dbraw/zinc/84/12/35/421841235.db2.gz KLTSOGNWZDIXFW-VXGBXAGGSA-N -1 1 304.375 1.304 20 0 DDADMM CC(C)Oc1ccc(CNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635240708 421848858 /nfs/dbraw/zinc/84/88/58/421848858.db2.gz JJIJLGDJKNBLSQ-UHFFFAOYSA-N -1 1 303.366 1.626 20 0 DDADMM C[C@@H](Oc1ccc2c(c1)CCC2)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000572812554 421864927 /nfs/dbraw/zinc/86/49/27/421864927.db2.gz HXSSESGGYFMJFU-SNVBAGLBSA-N -1 1 313.361 1.261 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cccc3n[nH]cc32)[C@@H](C(=O)[O-])C1 ZINC000630209620 421961813 /nfs/dbraw/zinc/96/18/13/421961813.db2.gz GOMWJNZSLMARMB-NOZJJQNGSA-N -1 1 303.318 1.267 20 0 DDADMM Cc1cccc(OC[C@@H](C)NC(=O)CCCc2nn[n-]n2)c1 ZINC000635409412 421964265 /nfs/dbraw/zinc/96/42/65/421964265.db2.gz KSMCJBVGGZXVAO-GFCCVEGCSA-N -1 1 303.366 1.415 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H](C)c2ccccc2)CC1 ZINC000630219673 421970470 /nfs/dbraw/zinc/97/04/70/421970470.db2.gz JMZYGKGDFDKHRU-KGLIPLIRSA-N -1 1 304.390 1.798 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)CSc2ccccc2)CC1 ZINC000630221527 421970521 /nfs/dbraw/zinc/97/05/21/421970521.db2.gz ANUUIYQEJBNFNT-UHFFFAOYSA-N -1 1 322.430 1.786 20 0 DDADMM O=S(=O)(c1ncc[n-]1)N(CCN1CCCC1)c1ccccc1 ZINC000632017156 422023791 /nfs/dbraw/zinc/02/37/91/422023791.db2.gz PEGNXCSULLXKHU-UHFFFAOYSA-N -1 1 320.418 1.701 20 0 DDADMM NC(=O)[C@H]1CCC[C@@H](NC(=O)N=c2[n-]sc3ccccc32)C1 ZINC000630351882 422039203 /nfs/dbraw/zinc/03/92/03/422039203.db2.gz SQSPQTRWKHBXDD-VHSXEESVSA-N -1 1 318.402 1.884 20 0 DDADMM COc1ccc(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1 ZINC000630224493 421975580 /nfs/dbraw/zinc/97/55/80/421975580.db2.gz OMTDFEUOEMELLO-CYBMUJFWSA-N -1 1 320.389 1.245 20 0 DDADMM CC1(C)C[C@@H](NC(=O)CCc2nn[n-]n2)c2cc(F)ccc2O1 ZINC000633569987 421975645 /nfs/dbraw/zinc/97/56/45/421975645.db2.gz FLELYSZKCSWMQE-LLVKDONJSA-N -1 1 319.340 1.690 20 0 DDADMM COc1nc(C)ccc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630226924 421978160 /nfs/dbraw/zinc/97/81/60/421978160.db2.gz XRTICAMVGZWUAU-GFCCVEGCSA-N -1 1 321.377 1.020 20 0 DDADMM O=C([O-])Cc1ccc(CNC(=O)[C@@H]2CCCN2C2CC2)cc1 ZINC000630232987 421980671 /nfs/dbraw/zinc/98/06/71/421980671.db2.gz OZWZVGJRZMKKSW-HNNXBMFYSA-N -1 1 302.374 1.557 20 0 DDADMM C[C@@H]1Cc2ccccc2N1CCNC(=O)CCCc1nn[n-]n1 ZINC000635446800 421995781 /nfs/dbraw/zinc/99/57/81/421995781.db2.gz UQYCORFJWJENPL-GFCCVEGCSA-N -1 1 314.393 1.090 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](CO)CC(C)C)c1 ZINC000632000746 422011086 /nfs/dbraw/zinc/01/10/86/422011086.db2.gz VYHBBYIRXMWRGT-JTQLQIEISA-N -1 1 303.380 1.086 20 0 DDADMM CCCCCc1cc(C(=O)N2C[C@@H](OC)C[C@@]2(C)C(=O)[O-])n[nH]1 ZINC000630315216 422020598 /nfs/dbraw/zinc/02/05/98/422020598.db2.gz FOQWULBTZKKFEH-LRDDRELGSA-N -1 1 323.393 1.847 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@H](Oc2ccccc2Cl)C1 ZINC000632068061 422065657 /nfs/dbraw/zinc/06/56/57/422065657.db2.gz ZKBANKSVJMMKJT-JTQLQIEISA-N -1 1 321.768 1.466 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCCSC2)c1 ZINC000632069152 422066223 /nfs/dbraw/zinc/06/62/23/422066223.db2.gz OFJSMSHTJMJQCB-VIFPVBQESA-N -1 1 303.405 1.575 20 0 DDADMM CN(C)C[C@H]1CCCN1S(=O)(=O)c1cccc(Cl)c1[O-] ZINC000632106206 422092155 /nfs/dbraw/zinc/09/21/55/422092155.db2.gz HKDJVMKMWXANLB-SNVBAGLBSA-N -1 1 318.826 1.760 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1c(C)n[nH]c1C(F)F)C1CC1 ZINC000581824608 422047798 /nfs/dbraw/zinc/04/77/98/422047798.db2.gz RDOGYSZIRNXYCU-QMMMGPOBSA-N -1 1 309.338 1.822 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1(C(F)(F)F)CCC1 ZINC000633690200 422051082 /nfs/dbraw/zinc/05/10/82/422051082.db2.gz ABTDKFQDLCKTAX-UHFFFAOYSA-N -1 1 304.272 1.171 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1sccc1SC ZINC000633693018 422055583 /nfs/dbraw/zinc/05/55/83/422055583.db2.gz VYYNKUKMOJCQAV-UHFFFAOYSA-N -1 1 310.404 1.535 20 0 DDADMM CC(C)(C)[C@H]1OCCC[C@@H]1CNC(=O)CCCc1nn[n-]n1 ZINC000635597307 422147082 /nfs/dbraw/zinc/14/70/82/422147082.db2.gz QUJSNZGJPVHVOC-RISCZKNCSA-N -1 1 309.414 1.480 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2noc(C3CC3)n2)sn1 ZINC000632159631 422130045 /nfs/dbraw/zinc/13/00/45/422130045.db2.gz JGGRJXNXHIFHPB-UHFFFAOYSA-N -1 1 300.365 1.190 20 0 DDADMM Cc1nc([C@H]2CCOC2)sc1C(=O)Nc1ccncc1[O-] ZINC000632169688 422136667 /nfs/dbraw/zinc/13/66/67/422136667.db2.gz BQIRFOBJELEIBB-VIFPVBQESA-N -1 1 305.359 1.730 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](c1ccccc1)[C@H]1CCOC1 ZINC000632281134 422220596 /nfs/dbraw/zinc/22/05/96/422220596.db2.gz SQACFMKOYMGRDY-WFASDCNBSA-N -1 1 301.350 1.026 20 0 DDADMM C[C@H](NC(=O)CCCc1nn[n-]n1)[C@@H]1Oc2ccccc2[C@H]1C ZINC000635673009 422226391 /nfs/dbraw/zinc/22/63/91/422226391.db2.gz PUOUBKLDCNTFHP-OHUAYANFSA-N -1 1 315.377 1.592 20 0 DDADMM CN(C[C@H](O)C(F)(F)F)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632293392 422227917 /nfs/dbraw/zinc/22/79/17/422227917.db2.gz DTJVIGSBZZGDLG-JTQLQIEISA-N -1 1 311.687 1.970 20 0 DDADMM CC(C)(C)[N@@H+]1C[C@@H](C(=O)Nc2nn[n-]n2)[C@H](C(F)(F)F)C1 ZINC000583579491 422189413 /nfs/dbraw/zinc/18/94/13/422189413.db2.gz ROFLPZZKUHWLGC-RNFRBKRXSA-N -1 1 306.292 1.047 20 0 DDADMM CCN(CCNC(=O)CCc1nn[n-]n1)c1cccc(C)c1 ZINC000630574482 422190156 /nfs/dbraw/zinc/19/01/56/422190156.db2.gz QHCOVYZLTKCNNH-UHFFFAOYSA-N -1 1 302.382 1.083 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2COc3ccccc32)sn1 ZINC000632341684 422261322 /nfs/dbraw/zinc/26/13/22/422261322.db2.gz RHIHJQIPKHKOHX-SNVBAGLBSA-N -1 1 310.400 1.906 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCC2(OC)CCC2)c1 ZINC000632369427 422282651 /nfs/dbraw/zinc/28/26/51/422282651.db2.gz QYEQAQRPRRZXNX-UHFFFAOYSA-N -1 1 315.391 1.638 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCC2CCOCC2)sn1 ZINC000632378198 422292676 /nfs/dbraw/zinc/29/26/76/422292676.db2.gz WSAPBAVGRIZYQZ-UHFFFAOYSA-N -1 1 304.437 1.937 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cccc(OCC(F)(F)F)c1 ZINC000630726025 422295337 /nfs/dbraw/zinc/29/53/37/422295337.db2.gz WFLGIQVJAZWCFQ-UHFFFAOYSA-N -1 1 315.255 1.712 20 0 DDADMM C[C@@H](CCCO)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632389590 422299186 /nfs/dbraw/zinc/29/91/86/422299186.db2.gz AATIZVNGMMVSIP-ZETCQYMHSA-N -1 1 315.317 1.115 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cccc(C[NH+]2CCCCC2)c1 ZINC000630725313 422295490 /nfs/dbraw/zinc/29/54/90/422295490.db2.gz MGSRREPZIPJVFU-UHFFFAOYSA-N -1 1 314.393 1.757 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCCC[C@@H]1c1ccncc1 ZINC000630741538 422305357 /nfs/dbraw/zinc/30/53/57/422305357.db2.gz AJQMADJRKYPZTN-CYBMUJFWSA-N -1 1 300.366 1.671 20 0 DDADMM CO[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc(C)ns2)C12CCC2 ZINC000632440220 422346320 /nfs/dbraw/zinc/34/63/20/422346320.db2.gz VZYCVYRDEISHTH-ZJUUUORDSA-N -1 1 302.421 1.687 20 0 DDADMM O=C(NCc1cnc(C2CC2)o1)c1nc2ccccc2c(=O)[n-]1 ZINC000575961487 422351661 /nfs/dbraw/zinc/35/16/61/422351661.db2.gz KIJFTBRTZDJROV-UHFFFAOYSA-N -1 1 310.313 1.719 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1nc2cc(Cl)ccc2s1 ZINC000630830970 422359622 /nfs/dbraw/zinc/35/96/22/422359622.db2.gz LPECZCUZGAWXJN-UHFFFAOYSA-N -1 1 322.781 1.712 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H]3COC[C@]3(C)C2)c1 ZINC000632560280 422437635 /nfs/dbraw/zinc/43/76/35/422437635.db2.gz SWBBKPQMUHWLLL-YGRLFVJLSA-N -1 1 313.375 1.058 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1C[C@H]1c1ccc(Cl)c(F)c1 ZINC000635888668 422445556 /nfs/dbraw/zinc/44/55/56/422445556.db2.gz XFTLUIRXLMBKMS-JOYOIKCWSA-N -1 1 323.759 1.987 20 0 DDADMM CN(C)Cc1cc(NC(=O)CCCc2nn[n-]n2)ccc1Cl ZINC000635892737 422449604 /nfs/dbraw/zinc/44/96/04/422449604.db2.gz BBGBHOWOZMRGSI-UHFFFAOYSA-N -1 1 322.800 1.876 20 0 DDADMM CCn1nnc(C)c1C[N-]S(=O)(=O)c1c(F)cccc1F ZINC000632495013 422390628 /nfs/dbraw/zinc/39/06/28/422390628.db2.gz BXJIGVWGLMBPHF-UHFFFAOYSA-N -1 1 316.333 1.363 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1sc([C@@H](C)OC)nc1C ZINC000577646636 422401089 /nfs/dbraw/zinc/40/10/89/422401089.db2.gz RDNQFQFMTUXDRV-SSDOTTSWSA-N -1 1 324.362 1.921 20 0 DDADMM CC1(C)CN(C(=O)CCCc2nn[n-]n2)C[C@@H](C(F)(F)F)O1 ZINC000635956053 422510615 /nfs/dbraw/zinc/51/06/15/422510615.db2.gz YGTOKJTXHSUORB-QMMMGPOBSA-N -1 1 321.303 1.091 20 0 DDADMM COCC(COC)n1[n-]c(-c2ccc(OC)cc2)c(C)c1=O ZINC000634635259 422518318 /nfs/dbraw/zinc/51/83/18/422518318.db2.gz AHVQLJWTLVQFHK-NSHDSACASA-N -1 1 306.362 1.539 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](C)[C@@H](CO)C2)c1 ZINC000632585961 422455177 /nfs/dbraw/zinc/45/51/77/422455177.db2.gz HAVICDGYQAKDID-WDEREUQCSA-N -1 1 315.391 1.040 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)[C@H]1C[C@@H](C(N)=O)C1 ZINC000629209757 422529596 /nfs/dbraw/zinc/52/95/96/422529596.db2.gz PUFYQXJHWVCGKT-AOOOYVTPSA-N -1 1 320.393 1.124 20 0 DDADMM CC(C)C1(S(=O)(=O)N[C@@H](CN2CCCCC2)C(=O)[O-])CC1 ZINC000629297864 422591710 /nfs/dbraw/zinc/59/17/10/422591710.db2.gz WKGUCQPOOFYUJS-LBPRGKRZSA-N -1 1 318.439 1.034 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1cc(C2CC2)ccc1F ZINC000635992271 422545985 /nfs/dbraw/zinc/54/59/85/422545985.db2.gz YTDKGNSXYCJBHR-UHFFFAOYSA-N -1 1 303.341 1.855 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCC[C@H]32)n1 ZINC000629376854 422639739 /nfs/dbraw/zinc/63/97/39/422639739.db2.gz JVOYQLLFJHLACJ-RWMBFGLXSA-N -1 1 305.378 1.820 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCC[C@H]32)n1 ZINC000629376854 422639745 /nfs/dbraw/zinc/63/97/45/422639745.db2.gz JVOYQLLFJHLACJ-RWMBFGLXSA-N -1 1 305.378 1.820 20 0 DDADMM CCCN(Cc1cn(CC(=O)[O-])nn1)[C@@H]1C[C@H](OCC)C1(C)C ZINC000579118764 422709839 /nfs/dbraw/zinc/70/98/39/422709839.db2.gz BGVVSEZORHHBTL-KGLIPLIRSA-N -1 1 324.425 1.778 20 0 DDADMM CC(C)(C)c1cccc(OCCNC(=O)CCc2nn[n-]n2)c1 ZINC000631304891 422671941 /nfs/dbraw/zinc/67/19/41/422671941.db2.gz KYZHNQIZBVWNCS-UHFFFAOYSA-N -1 1 317.393 1.625 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H]1C(=O)NCCN1CCc2ccccc2C1 ZINC000634860172 422687336 /nfs/dbraw/zinc/68/73/36/422687336.db2.gz LOZRIXMKUUUPKW-HZPDHXFCSA-N -1 1 316.401 1.662 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@@H](C)OC2(CCCC2)C1 ZINC000645880696 423225522 /nfs/dbraw/zinc/22/55/22/423225522.db2.gz WDOFJFFFJMDKCU-SECBINFHSA-N -1 1 315.395 1.118 20 0 DDADMM C[C@@H](CCO)N(C)C(=O)c1cc(Br)ccc1[O-] ZINC000652964890 423238229 /nfs/dbraw/zinc/23/82/29/423238229.db2.gz KUSVQEVUEOJHOQ-QMMMGPOBSA-N -1 1 302.168 1.998 20 0 DDADMM CC[C@@H](C(=O)[O-])N1CCN(C(=O)c2ccccc2OC)CC1 ZINC000648400876 423348502 /nfs/dbraw/zinc/34/85/02/423348502.db2.gz FVCZIAPLLBXHLL-ZDUSSCGKSA-N -1 1 306.362 1.316 20 0 DDADMM CCN(CC)CCS(=O)(=O)N[C@@](C)(CC(=O)[O-])C(C)C ZINC000646043844 423308136 /nfs/dbraw/zinc/30/81/36/423308136.db2.gz JYCIMXKVGOBAGV-ZDUSSCGKSA-N -1 1 308.444 1.137 20 0 DDADMM CN(CCN1CCCS1(=O)=O)Cc1cccc([O-])c1Cl ZINC000646099519 423333307 /nfs/dbraw/zinc/33/33/07/423333307.db2.gz FJVPNKCPFVFKGX-UHFFFAOYSA-N -1 1 318.826 1.513 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C(C)(C)c3cccs3)nc2n1 ZINC000643909611 423402344 /nfs/dbraw/zinc/40/23/44/423402344.db2.gz SXCZEFMXEVZDDN-UHFFFAOYSA-N -1 1 317.374 1.704 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@H]3C[C@@H]3C3CCCC3)CC2)s[n-]1 ZINC000651467558 423554689 /nfs/dbraw/zinc/55/46/89/423554689.db2.gz OAOKIDXPRPDGJT-NEPJUHHUSA-N -1 1 321.450 1.426 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@H](C(=O)[O-])C1 ZINC000653661013 423569466 /nfs/dbraw/zinc/56/94/66/423569466.db2.gz LRIVOSXURXXVDP-ZANVPECISA-N -1 1 303.318 1.995 20 0 DDADMM O=C(c1ccc2oc(=O)nc-2[n-]1)N1CCN(C2CCC2)CC1 ZINC000646814661 423619797 /nfs/dbraw/zinc/61/97/97/423619797.db2.gz JQGCFIIFTJCIMC-UHFFFAOYSA-N -1 1 302.334 1.239 20 0 DDADMM N=c1[n-]nc([C@@H]2CCCN(CCOc3ccccc3F)C2)o1 ZINC000639824254 423759570 /nfs/dbraw/zinc/75/95/70/423759570.db2.gz HMPHJRCSKSQLAO-LLVKDONJSA-N -1 1 306.341 1.880 20 0 DDADMM C[C@@H](C(=O)N1CCC(C)CC1)N1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639824555 423761344 /nfs/dbraw/zinc/76/13/44/423761344.db2.gz JBYGBVQOOHOSRT-QWHCGFSZSA-N -1 1 321.425 1.309 20 0 DDADMM C[C@@H](CCO)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656922906 423883205 /nfs/dbraw/zinc/88/32/05/423883205.db2.gz MPQHCFHHJDSENN-QMMMGPOBSA-N -1 1 323.212 1.141 20 0 DDADMM COC[C@@H](C)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656885191 423836590 /nfs/dbraw/zinc/83/65/90/423836590.db2.gz IRQJLXOWDYSHTP-QMMMGPOBSA-N -1 1 323.212 1.405 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cnn(-c3ccccn3)c2)CC1 ZINC000641684489 423861128 /nfs/dbraw/zinc/86/11/28/423861128.db2.gz LAIUYRDLSZVJBB-UHFFFAOYSA-N -1 1 308.363 1.188 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])N2CCCC2)o1 ZINC000649462733 423927216 /nfs/dbraw/zinc/92/72/16/423927216.db2.gz WOTPSIHAYIUBRX-JHJVBQTASA-N -1 1 306.362 1.562 20 0 DDADMM CCS(=O)(=O)Cc1ccc(NC(=O)c2n[nH]c(C)c2[O-])cc1 ZINC000654500020 423969784 /nfs/dbraw/zinc/96/97/84/423969784.db2.gz MKNPXFDSTPKWPO-UHFFFAOYSA-N -1 1 323.374 1.611 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](CO)C2CCC2)c(F)c1 ZINC000657055462 424047471 /nfs/dbraw/zinc/04/74/71/424047471.db2.gz GHVOZENCGRSZRN-GFCCVEGCSA-N -1 1 321.345 1.413 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](O)C12CCC2)c1cc(F)ccc1F ZINC000657185009 424195641 /nfs/dbraw/zinc/19/56/41/424195641.db2.gz PBFVDTHTOHYOHS-NWDGAFQWSA-N -1 1 303.330 1.547 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)C1CC1 ZINC000657172404 424181296 /nfs/dbraw/zinc/18/12/96/424181296.db2.gz ASKWDJBEVSEZGT-MRVPVSSYSA-N -1 1 311.329 1.906 20 0 DDADMM CC(C)(C)n1nnc(CC[N@H+]2CCCC[C@@H]2CCC(=O)[O-])n1 ZINC000659788295 424254018 /nfs/dbraw/zinc/25/40/18/424254018.db2.gz GPYYXEUFJFFFJK-GFCCVEGCSA-N -1 1 309.414 1.690 20 0 DDADMM CC(C)O[C@]1(CS(=O)(=O)[N-]CC(=O)C(C)(C)C)CCOC1 ZINC000659943264 424392460 /nfs/dbraw/zinc/39/24/60/424392460.db2.gz AAKSJYDXKOOWLF-CQSZACIVSA-N -1 1 321.439 1.105 20 0 DDADMM CCc1ccc(CN(C2CC2)[C@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662202593 424468692 /nfs/dbraw/zinc/46/86/92/424468692.db2.gz NCMOYRJHGBSOBK-INIZCTEOSA-N -1 1 316.401 1.899 20 0 DDADMM CCN([C@H](C)c1cccc(OC)c1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662204059 424469382 /nfs/dbraw/zinc/46/93/82/424469382.db2.gz SXUZXTHDGBVXHI-IUODEOHRSA-N -1 1 320.389 1.764 20 0 DDADMM O=C([O-])CN1CC[C@@H]([N@H+]2CCCC[C@H]2Cc2ccccc2)C1=O ZINC000662205430 424475386 /nfs/dbraw/zinc/47/53/86/424475386.db2.gz YNHFRBNTUNEMJV-JKSUJKDBSA-N -1 1 316.401 1.769 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC(Cc3cccnc3)CC2)C1=O ZINC000662210642 424476528 /nfs/dbraw/zinc/47/65/28/424476528.db2.gz IUAXNASKQOJYJJ-OAHLLOKOSA-N -1 1 317.389 1.022 20 0 DDADMM CCN(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@H]1CC[N@H+](CC)C1 ZINC000664341492 424548053 /nfs/dbraw/zinc/54/80/53/424548053.db2.gz MXMNDNXFYFTZME-LBPRGKRZSA-N -1 1 314.389 1.479 20 0 DDADMM C[C@H](NC(=O)CN1CCC(C)CC1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000655575005 424578040 /nfs/dbraw/zinc/57/80/40/424578040.db2.gz DPDXJMGMJDTFAU-MELADBBJSA-N -1 1 310.438 1.970 20 0 DDADMM CCn1nccc1C[N-]S(=O)(=O)c1c(F)cc(F)cc1F ZINC000660181100 424584388 /nfs/dbraw/zinc/58/43/88/424584388.db2.gz GXJSBMHPTCUJSU-UHFFFAOYSA-N -1 1 319.308 1.799 20 0 DDADMM CC(C)[C@H](O)[C@H](Nc1nc2[nH][n-]cc-2c(=O)n1)c1ccccc1 ZINC000664532357 424628675 /nfs/dbraw/zinc/62/86/75/424628675.db2.gz RSUSTUTVTJEMOU-OLZOCXBDSA-N -1 1 313.361 1.602 20 0 DDADMM CC(C)CN1CCN(C(=O)NC[C@@H](C(=O)[O-])C2CCC2)CC1 ZINC000665328032 424785368 /nfs/dbraw/zinc/78/53/68/424785368.db2.gz BXNVMLNZOGEVDG-CQSZACIVSA-N -1 1 311.426 1.471 20 0 DDADMM CC(=O)Nc1ccc(S[C@@H](C)C(=O)Nc2nnn[n-]2)cc1 ZINC000342858480 271547732 /nfs/dbraw/zinc/54/77/32/271547732.db2.gz PCMGMDOYTIQIHR-ZETCQYMHSA-N -1 1 306.351 1.277 20 0 DDADMM CC(=O)Nc1ccc(S[C@@H](C)C(=O)Nc2nn[n-]n2)cc1 ZINC000342858480 271547735 /nfs/dbraw/zinc/54/77/35/271547735.db2.gz PCMGMDOYTIQIHR-ZETCQYMHSA-N -1 1 306.351 1.277 20 0 DDADMM CCC[C@@H](C)[C@@H]1CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000344155750 271958782 /nfs/dbraw/zinc/95/87/82/271958782.db2.gz FGEWORUNYNIFPZ-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM COc1ccc(NC(=O)c2ccon2)cc1[N-]S(C)(=O)=O ZINC000345175784 272185403 /nfs/dbraw/zinc/18/54/03/272185403.db2.gz XYXYNCATJIYVRH-UHFFFAOYSA-N -1 1 311.319 1.307 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3CCC[C@@H]4OCC[C@H]43)ccnc1-2 ZINC000279411462 279300442 /nfs/dbraw/zinc/30/04/42/279300442.db2.gz SJYFDKSGMNERDJ-MCGKTQKFSA-N -1 1 315.377 1.421 20 0 DDADMM CC[C@@H]1CCC[C@H](CN2Cc3n[nH]c(=O)n3C[C@@H]2C(=O)[O-])C1 ZINC000333580707 280304392 /nfs/dbraw/zinc/30/43/92/280304392.db2.gz NUSIUZIJBJZHJK-GRYCIOLGSA-N -1 1 308.382 1.469 20 0 DDADMM CCC[C@H](NC(=O)c1cnc([C@@H]2CCCO2)s1)c1nn[n-]n1 ZINC000090061022 281035362 /nfs/dbraw/zinc/03/53/62/281035362.db2.gz CTSIKTOVIUOAFE-IUCAKERBSA-N -1 1 322.394 1.779 20 0 DDADMM COC[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CC(C)(C)O1 ZINC000285121675 293229229 /nfs/dbraw/zinc/22/92/29/293229229.db2.gz RYGHLMSSSISUIC-SECBINFHSA-N -1 1 315.316 1.936 20 0 DDADMM O=C(NCc1cc(F)cc2c1OCOC2)c1cncc([O-])c1 ZINC000171869218 298237687 /nfs/dbraw/zinc/23/76/87/298237687.db2.gz NCCHPRZRVUWRAG-UHFFFAOYSA-N -1 1 304.277 1.723 20 0 DDADMM COc1cc(NC(=O)c2cccn2C)ccc1[N-]S(C)(=O)=O ZINC000356283719 298752503 /nfs/dbraw/zinc/75/25/03/298752503.db2.gz OONJENPMWYEXEE-UHFFFAOYSA-N -1 1 323.374 1.658 20 0 DDADMM Cc1cc(C)cc(C=CC(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000362291764 300045727 /nfs/dbraw/zinc/04/57/27/300045727.db2.gz XBCOBCIHZKQSIO-XGACYXMMSA-N -1 1 313.361 1.430 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1nc2ccc(F)cc2[nH]1 ZINC000362867953 300165211 /nfs/dbraw/zinc/16/52/11/300165211.db2.gz NCOJLINXJNLWOA-UHFFFAOYSA-N -1 1 323.353 1.853 20 0 DDADMM Cc1cn(-c2cccnc2)nc1[N-]S(=O)(=O)N1CCC[C@@H]1C ZINC000364882912 300458918 /nfs/dbraw/zinc/45/89/18/300458918.db2.gz NRFYUOGXIJAACY-LBPRGKRZSA-N -1 1 321.406 1.717 20 0 DDADMM O=C([N-][C@@H]1CCN(c2ccccc2OC(F)F)C1=O)C(F)F ZINC000365341691 300500820 /nfs/dbraw/zinc/50/08/20/300500820.db2.gz FQVCVUFZXGPYHF-SSDOTTSWSA-N -1 1 320.242 1.775 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CCCS(=O)(=O)CC1 ZINC000366035827 300632239 /nfs/dbraw/zinc/63/22/39/300632239.db2.gz WXRPPBMXTUOENH-UHFFFAOYSA-N -1 1 320.370 1.201 20 0 DDADMM FC(F)c1n[n-]c(=NC[C@H]2CCC[C@@H]2N2CCOCC2)s1 ZINC000368562319 301052580 /nfs/dbraw/zinc/05/25/80/301052580.db2.gz OWOGBTLPUVDDHF-ZJUUUORDSA-N -1 1 318.393 1.810 20 0 DDADMM C[C@H]1[C@@H](c2ccccc2)[C@H]1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000369167764 301139861 /nfs/dbraw/zinc/13/98/61/301139861.db2.gz WIVVFNCXEQLBOY-MXAVVETBSA-N -1 1 311.389 1.955 20 0 DDADMM CO[C@H](C)c1nsc(=NC[C@H]2CN3CCCC[C@H]3CO2)[n-]1 ZINC000370285854 301309817 /nfs/dbraw/zinc/30/98/17/301309817.db2.gz QIMBFGILYWJJNZ-WOPDTQHZSA-N -1 1 312.439 1.333 20 0 DDADMM O=C(CN1CCC(C(=O)c2ccc([O-])cc2)CC1)N1CCCC1 ZINC000370824931 301395787 /nfs/dbraw/zinc/39/57/87/301395787.db2.gz WCUNWAPINNVJGU-UHFFFAOYSA-N -1 1 316.401 1.909 20 0 DDADMM Cc1ccccc1C(C)(C)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370857643 301398116 /nfs/dbraw/zinc/39/81/16/301398116.db2.gz PEVIDLKFUIAXTL-CYBMUJFWSA-N -1 1 315.377 1.386 20 0 DDADMM O=C1CC[C@H](N=c2nc(C(F)(F)F)[n-]s2)C2(CCC2)N1 ZINC000376395356 302071721 /nfs/dbraw/zinc/07/17/21/302071721.db2.gz MYGMNQHOHXPJKN-LURJTMIESA-N -1 1 306.313 1.592 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC=C(c2ccco2)C1 ZINC000377840397 302241523 /nfs/dbraw/zinc/24/15/23/302241523.db2.gz RSIFRWDNRCLIMD-UHFFFAOYSA-N -1 1 309.347 1.467 20 0 DDADMM Cc1csc([C@H]2COCCN2C(=O)c2cncc([O-])c2)n1 ZINC000189240409 302451292 /nfs/dbraw/zinc/45/12/92/302451292.db2.gz SRVQBYNZDUNKHV-GFCCVEGCSA-N -1 1 305.359 1.766 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CN(c3ccccc3)C[C@@H]2C1 ZINC000514976505 302758217 /nfs/dbraw/zinc/75/82/17/302758217.db2.gz SOXLIUCUPPMRNV-ZIAGYGMSSA-N -1 1 309.369 1.996 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCN(CC(F)(F)F)C2)c([O-])c1 ZINC000516222196 302786932 /nfs/dbraw/zinc/78/69/32/302786932.db2.gz BCTJKERTPDRYJP-VIFPVBQESA-N -1 1 303.284 1.462 20 0 DDADMM C[C@@]1(Br)C[C@H]1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000528140968 303006662 /nfs/dbraw/zinc/00/66/62/303006662.db2.gz REXLDYBQTHLQNG-CLTAVNFZSA-N -1 1 313.077 1.936 20 0 DDADMM O=C([C@H]1Cc2c1cccc2Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000528880000 303069393 /nfs/dbraw/zinc/06/93/93/303069393.db2.gz CPTDBZNDTOHHKO-CABZTGNLSA-N -1 1 317.780 1.899 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1(c2cccc(F)c2)CC1 ZINC000357374312 306879613 /nfs/dbraw/zinc/87/96/13/306879613.db2.gz KENOXKNTCOOLIZ-UHFFFAOYSA-N -1 1 319.292 1.455 20 0 DDADMM O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)Nc1cccc(F)c1[O-] ZINC000530006519 303170097 /nfs/dbraw/zinc/17/00/97/303170097.db2.gz UGAXBOPXZPQQTP-AOOOYVTPSA-N -1 1 318.304 1.421 20 0 DDADMM O=C([O-])CCN(Cc1cc(CO)ccc1F)C[C@@H]1CCCO1 ZINC000530163164 303177567 /nfs/dbraw/zinc/17/75/67/303177567.db2.gz MTQAATMDDWIEGX-AWEZNQCLSA-N -1 1 311.353 1.774 20 0 DDADMM CCCN(CCC)S(=O)(=O)[N-]c1ccn(CC(F)F)n1 ZINC000531143727 303232639 /nfs/dbraw/zinc/23/26/39/303232639.db2.gz AGELFSHQCNKFRZ-UHFFFAOYSA-N -1 1 310.370 1.927 20 0 DDADMM CCC[C@H](NC(=O)COc1cc(C)cc(C)c1)c1nn[n-]n1 ZINC000531198525 303234854 /nfs/dbraw/zinc/23/48/54/303234854.db2.gz CZKJLNHPLJGRCF-ZDUSSCGKSA-N -1 1 303.366 1.853 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2sccc2OC)on1 ZINC000531865258 303262360 /nfs/dbraw/zinc/26/23/60/303262360.db2.gz KKMUWASGLCUHCL-UHFFFAOYSA-N -1 1 302.377 1.786 20 0 DDADMM Cc1nc(-c2ccc(N[C@@H]3CC[S@@](=O)C3)nc2)[n-]c(=O)c1C ZINC000533228996 303313959 /nfs/dbraw/zinc/31/39/59/303313959.db2.gz ITZNRUQVZARCIS-VERVWZFWSA-N -1 1 318.402 1.794 20 0 DDADMM O=C(N=c1cc(-c2ccc(Cl)s2)[n-][nH]1)[C@@H]1COCCO1 ZINC000535286630 303345655 /nfs/dbraw/zinc/34/56/55/303345655.db2.gz AFPWHSFSWCLOJT-QMMMGPOBSA-N -1 1 313.766 1.567 20 0 DDADMM Cc1noc(C)c1[C@@H](C)CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000539326467 303395034 /nfs/dbraw/zinc/39/50/34/303395034.db2.gz XZAAMZPYNILDOP-JOYOIKCWSA-N -1 1 318.381 1.704 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CCN(c2cnccn2)CC1 ZINC000364164574 307042528 /nfs/dbraw/zinc/04/25/28/307042528.db2.gz BRPPQVBVQOZIEQ-UHFFFAOYSA-N -1 1 313.361 1.318 20 0 DDADMM O=C([O-])c1ccc(C(=O)Nc2cccc(-c3nnc[nH]3)c2)cn1 ZINC000365386355 307066489 /nfs/dbraw/zinc/06/64/89/307066489.db2.gz DBZNXMDKLJSBNR-UHFFFAOYSA-N -1 1 309.285 1.817 20 0 DDADMM Cc1nc([C@H](NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])c2ccccc2)n[nH]1 ZINC000370726612 307134153 /nfs/dbraw/zinc/13/41/53/307134153.db2.gz HBQMAAKHZTWMOM-GRYCIOLGSA-N -1 1 300.318 1.039 20 0 DDADMM C[C@@H](C(=O)N1CCCCCC1)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000373829823 307196217 /nfs/dbraw/zinc/19/62/17/307196217.db2.gz FMSQRHHEJQBABB-LBPRGKRZSA-N -1 1 321.425 1.481 20 0 DDADMM CCCC[C@@H](NC(=O)C1(N(C)C)Cc2ccccc2C1)C(=O)[O-] ZINC000373974585 307198842 /nfs/dbraw/zinc/19/88/42/307198842.db2.gz ZUTWBJWFGRTISY-OAHLLOKOSA-N -1 1 318.417 1.845 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@]3(C)CCO[C@H]3C3CC3)ccnc1-2 ZINC000376647409 307251493 /nfs/dbraw/zinc/25/14/93/307251493.db2.gz XAENGCLGTWMMAC-RNFYLYDKSA-N -1 1 315.377 1.421 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000378505573 307290489 /nfs/dbraw/zinc/29/04/89/307290489.db2.gz DDUHVDIPURQJLW-BRADTZPFSA-N -1 1 313.361 1.512 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H](C)C[C@@H](C)O)c(F)c1 ZINC000425208360 307302152 /nfs/dbraw/zinc/30/21/52/307302152.db2.gz SMMGNCHQCJIIIH-NXEZZACHSA-N -1 1 307.362 1.959 20 0 DDADMM CCc1nc(SCCN2C(=O)N[C@@H](C)C2=O)[n-]c(=O)c1C ZINC000516194362 307413538 /nfs/dbraw/zinc/41/35/38/307413538.db2.gz FFESGIRUYGJOKS-QMMMGPOBSA-N -1 1 310.379 1.085 20 0 DDADMM COC(=O)COc1ccc(C(=O)Nc2ccncc2[O-])cc1 ZINC000531121321 307610591 /nfs/dbraw/zinc/61/05/91/307610591.db2.gz DSVLFCJPEQFYNH-UHFFFAOYSA-N -1 1 302.286 1.013 20 0 DDADMM C[C@@H]1C[C@H]1c1ccc(CNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC000544747940 307719125 /nfs/dbraw/zinc/71/91/25/307719125.db2.gz YXWBISJHVOBGNF-PSASIEDQSA-N -1 1 313.317 1.064 20 0 DDADMM CN(CC(=O)[O-])C(=O)[C@@](C)(N)c1ccc(Br)cc1 ZINC000565063022 308018611 /nfs/dbraw/zinc/01/86/11/308018611.db2.gz SUYOUPRTDFKEBP-LBPRGKRZSA-N -1 1 315.167 1.166 20 0 DDADMM O=C(NC1(C(F)F)CCCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000565322293 308027746 /nfs/dbraw/zinc/02/77/46/308027746.db2.gz RSURRDBULAOOJF-UHFFFAOYSA-N -1 1 311.292 1.115 20 0 DDADMM CC[C@@H](C)C[C@@H]([N-]S(=O)(=O)CC(C)(C)OC)C(=O)OC ZINC000566168744 308048164 /nfs/dbraw/zinc/04/81/64/308048164.db2.gz ZZMHUYGVYLCTTI-GHMZBOCLSA-N -1 1 309.428 1.309 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cccc3c[nH]nc32)[C@@H](C(=O)[O-])C1 ZINC000568284783 308114951 /nfs/dbraw/zinc/11/49/51/308114951.db2.gz OPECUJNWHOYNQA-CMPLNLGQSA-N -1 1 303.318 1.267 20 0 DDADMM C[C@H](CNC(=O)CSCC(=O)[O-])N1CCc2ccccc2C1 ZINC000569102977 308133180 /nfs/dbraw/zinc/13/31/80/308133180.db2.gz YCZFAEOEMPOYLP-GFCCVEGCSA-N -1 1 322.430 1.367 20 0 DDADMM O=C([O-])[C@@H](CN1CCCCC1)NS(=O)(=O)CCC1CCC1 ZINC000569461562 308144654 /nfs/dbraw/zinc/14/46/54/308144654.db2.gz WWORNMTZHSTNPE-CYBMUJFWSA-N -1 1 318.439 1.035 20 0 DDADMM CCc1nc(C2CCN(C(=O)C3(CC(=O)[O-])CCC3)CC2)n[nH]1 ZINC000570162963 308160653 /nfs/dbraw/zinc/16/06/53/308160653.db2.gz WIZNSKRPGZVKHT-UHFFFAOYSA-N -1 1 320.393 1.718 20 0 DDADMM CN(C)C(=O)c1ccc(=NC[C@@H]2CC23CCSCC3)[n-]n1 ZINC000573195778 308232991 /nfs/dbraw/zinc/23/29/91/308232991.db2.gz KTQWLIDSWYOZFV-NSHDSACASA-N -1 1 306.435 1.546 20 0 DDADMM COc1cc(C(=O)N2CC[C@H](c3nnc[nH]3)C2)cc(Cl)c1[O-] ZINC000575917624 308281801 /nfs/dbraw/zinc/28/18/01/308281801.db2.gz CVDIUMLWMIREFK-QMMMGPOBSA-N -1 1 322.752 1.802 20 0 DDADMM Cc1nc(COC(C)(C)C)oc1C(=O)N=c1ccc([O-])n[nH]1 ZINC000576520774 308320754 /nfs/dbraw/zinc/32/07/54/308320754.db2.gz JZUCRAKKOOPMRO-UHFFFAOYSA-N -1 1 306.322 1.468 20 0 DDADMM Cc1nc(COC(C)(C)C)oc1C(=O)N=c1ccc(O)n[n-]1 ZINC000576520774 308320755 /nfs/dbraw/zinc/32/07/55/308320755.db2.gz JZUCRAKKOOPMRO-UHFFFAOYSA-N -1 1 306.322 1.468 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C2CC3(CCC3)C2)c1 ZINC000577517910 308395013 /nfs/dbraw/zinc/39/50/13/308395013.db2.gz TZZGZEIQTRNIKL-UHFFFAOYSA-N -1 1 310.375 1.558 20 0 DDADMM O=C(N[C@H]1CCO[C@@H]1c1ccncc1)C(=O)c1ccc([O-])cc1 ZINC000582319969 326012662 /nfs/dbraw/zinc/01/26/62/326012662.db2.gz WZNTWNGJWSAXDG-GOEBONIOSA-N -1 1 312.325 1.616 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccco1)c1c(F)ccc([O-])c1F ZINC000583961813 331489729 /nfs/dbraw/zinc/48/97/29/331489729.db2.gz RZXZSIDLBCCUOQ-SECBINFHSA-N -1 1 311.284 1.844 20 0 DDADMM COc1cccc2c1[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)CC2 ZINC000582656991 337121605 /nfs/dbraw/zinc/12/16/05/337121605.db2.gz RRVBPWUCCHARBU-CMPLNLGQSA-N -1 1 315.377 1.500 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)Cc2cc(C)on2)s1 ZINC000582835970 337207280 /nfs/dbraw/zinc/20/72/80/337207280.db2.gz CZUYAHVHBFOOIF-UHFFFAOYSA-N -1 1 320.421 1.176 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000398997523 337313473 /nfs/dbraw/zinc/31/34/73/337313473.db2.gz KXZYFTRLWLOPOD-GHMZBOCLSA-N -1 1 314.341 1.711 20 0 DDADMM Cc1ccc(C)n1[C@H](C)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000372372339 483934524 /nfs/dbraw/zinc/93/45/24/483934524.db2.gz FJKUMAHXNDEYHA-OLZOCXBDSA-N -1 1 302.382 1.585 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)C)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000387679027 483971363 /nfs/dbraw/zinc/97/13/63/483971363.db2.gz GIURAIPEVSAJSM-FZMZJTMJSA-N -1 1 304.390 1.974 20 0 DDADMM COC[C@@](C)(CO)[NH2+]Cc1cccc(O)c1Br ZINC000656528732 484189404 /nfs/dbraw/zinc/18/94/04/484189404.db2.gz PZCVWSKGCLHWAF-GFCCVEGCSA-N -1 1 304.184 1.642 20 0 DDADMM CC(C)C[C@H](CNC(=O)N1C[C@@H](C)N(C)[C@@H](C)C1)CC(=O)[O-] ZINC000319691729 484399873 /nfs/dbraw/zinc/39/98/73/484399873.db2.gz SKBLJVBLUJNITQ-MJBXVCDLSA-N -1 1 313.442 1.857 20 0 DDADMM O=C([O-])[C@@H](C(=O)N1CC[C@H](CN2CCOCC2)C1)C1CCCC1 ZINC000663061020 484636028 /nfs/dbraw/zinc/63/60/28/484636028.db2.gz FJSSOQPCSSHSLH-UKRRQHHQSA-N -1 1 324.421 1.058 20 0 DDADMM CCC[C@H]1CN(C(=O)[C@@H](C(=O)[O-])C2CC2)CCN1CCOC ZINC000663060850 484636351 /nfs/dbraw/zinc/63/63/51/484636351.db2.gz NBNYZNKHPNUZOS-KBPBESRZSA-N -1 1 312.410 1.057 20 0 DDADMM CN(C)Cc1cc(CNC(=O)C2(C(=O)[O-])CC=CC2)ccc1F ZINC000663100126 484665374 /nfs/dbraw/zinc/66/53/74/484665374.db2.gz GXDBJIPCNMRRBH-UHFFFAOYSA-N -1 1 320.364 1.925 20 0 DDADMM CC(C)(C)[C@H](C(=O)[O-])C(=O)N1CCN(C2CCOCC2)CC1 ZINC000663102210 484667285 /nfs/dbraw/zinc/66/72/85/484667285.db2.gz OITDBGHJBPBRGU-ZDUSSCGKSA-N -1 1 312.410 1.057 20 0 DDADMM CC(C)(C)[C@H](C(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000663116082 484681160 /nfs/dbraw/zinc/68/11/60/484681160.db2.gz YWOVVXXYEZAGLP-HNNXBMFYSA-N -1 1 318.417 1.908 20 0 DDADMM CCCN1C[C@H](c2nc3cc(F)c([O-])cc3c(=O)[nH]2)CC1=O ZINC000668145002 484985156 /nfs/dbraw/zinc/98/51/56/484985156.db2.gz XCHKOCUZVFKPJD-MRVPVSSYSA-N -1 1 305.309 1.494 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C(F)(F)F)OC[C@H]2C)c([O-])c1 ZINC000672171636 485246863 /nfs/dbraw/zinc/24/68/63/485246863.db2.gz AMGFVCABQXEMKK-PSASIEDQSA-N -1 1 304.268 1.887 20 0 DDADMM CC[C@@H](C)c1nc([C@@H](C)NC(=O)NCCCCC(=O)[O-])n[nH]1 ZINC000666559720 485272136 /nfs/dbraw/zinc/27/21/36/485272136.db2.gz ZQWIZOSJKDPXNF-NXEZZACHSA-N -1 1 311.386 1.933 20 0 DDADMM O=C(Nc1cc(Cl)c(O)cc1F)c1n[nH]c(=O)[n-]c1=O ZINC000672485808 485306025 /nfs/dbraw/zinc/30/60/25/485306025.db2.gz APVYZDJZWPARPG-UHFFFAOYSA-N -1 1 300.633 1.033 20 0 DDADMM CO[C@@H](C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C)C1CCC1 ZINC000668743043 485306536 /nfs/dbraw/zinc/30/65/36/485306536.db2.gz DXQIHBVBEFJPKW-OAHLLOKOSA-N -1 1 318.377 1.483 20 0 DDADMM CN1CCC[C@@H](NC(=O)c2cnc3c(F)cc(F)cc3c2O)C1 ZINC000672770108 485345342 /nfs/dbraw/zinc/34/53/42/485345342.db2.gz WTWJHGDUNHPMIB-SNVBAGLBSA-N -1 1 321.327 1.630 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2c(c1)CCOC2 ZINC000668869588 485345912 /nfs/dbraw/zinc/34/59/12/485345912.db2.gz RCFSCEAPMDMMRY-UHFFFAOYSA-N -1 1 313.361 1.472 20 0 DDADMM O=C(NCC[C@H](O)C(F)(F)F)c1ncc2ccccc2c1[O-] ZINC000668902311 485354014 /nfs/dbraw/zinc/35/40/14/485354014.db2.gz BVKZIZUCZGSZSZ-JTQLQIEISA-N -1 1 314.263 1.984 20 0 DDADMM O=C(C(=O)N1CCC([C@H]2CCOC2)CC1)c1ccc([O-])cc1 ZINC000673066509 485384399 /nfs/dbraw/zinc/38/43/99/485384399.db2.gz NUABTEBXPXIJDM-AWEZNQCLSA-N -1 1 303.358 1.850 20 0 DDADMM COc1cc(C(=O)N2CCN(C3CC3)CC2)cc(Cl)c1[O-] ZINC000682359415 485564938 /nfs/dbraw/zinc/56/49/38/485564938.db2.gz ACLOLBVRMXHLMC-UHFFFAOYSA-N -1 1 310.781 1.974 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](c2ccnn2C)C(C)C)c([O-])c1 ZINC000682684344 485739588 /nfs/dbraw/zinc/73/95/88/485739588.db2.gz FHBPAMNQEROPTK-LBPRGKRZSA-N -1 1 302.378 1.999 20 0 DDADMM Cc1cc(C(=O)NCc2nn[n-]n2)nn1-c1ccccc1Cl ZINC000678993570 485752263 /nfs/dbraw/zinc/75/22/63/485752263.db2.gz LJOZVDWUZLZYRD-UHFFFAOYSA-N -1 1 317.740 1.277 20 0 DDADMM CSc1nc(C(C)(C)C)nc(C)c1C(=O)NCc1nn[n-]n1 ZINC000675460375 485944934 /nfs/dbraw/zinc/94/49/34/485944934.db2.gz QEGYOTSVPZYKTF-UHFFFAOYSA-N -1 1 321.410 1.248 20 0 DDADMM O=C(CCNC(=O)c1ccc([O-])c(F)c1)Nc1cccnc1 ZINC000681038234 486329028 /nfs/dbraw/zinc/32/90/28/486329028.db2.gz VHKHMRVPLRMLMS-UHFFFAOYSA-N -1 1 303.293 1.685 20 0 DDADMM Cn1cc(N2CCC[C@@H](NC(=O)c3ccc([O-])c(F)c3)C2)cn1 ZINC000681050731 486332145 /nfs/dbraw/zinc/33/21/45/486332145.db2.gz LHRDPZXXNHJYMR-GFCCVEGCSA-N -1 1 318.352 1.664 20 0 DDADMM C[C@H](CC(=O)N(C)C[C@H](C)c1nn[n-]n1)C(=O)c1ccccc1 ZINC000681353765 486411166 /nfs/dbraw/zinc/41/11/66/486411166.db2.gz WACHXSIEFRLHMG-NEPJUHHUSA-N -1 1 315.377 1.671 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1ccnc(C)c1Cl ZINC000681363551 486413905 /nfs/dbraw/zinc/41/39/05/486413905.db2.gz PIFNLOPBPBWNBK-UHFFFAOYSA-N -1 1 314.798 1.616 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2C[C@@H](O)C2(C)C)c(F)c1 ZINC000681690732 486492484 /nfs/dbraw/zinc/49/24/84/486492484.db2.gz KZUWMAKMUGUUDL-WDEREUQCSA-N -1 1 321.345 1.411 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C)O[C@H](C(F)(F)F)C2)c([O-])c1 ZINC000681735890 486505266 /nfs/dbraw/zinc/50/52/66/486505266.db2.gz ZKUYKTNVDPGZCQ-WPRPVWTQSA-N -1 1 304.268 1.887 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccc(Cl)s2)n[nH]1 ZINC000677644975 486512831 /nfs/dbraw/zinc/51/28/31/486512831.db2.gz QNYTUNFROCVHEN-UHFFFAOYSA-N -1 1 306.756 1.024 20 0 DDADMM CCCc1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)[nH]n1 ZINC000673854120 490660797 /nfs/dbraw/zinc/66/07/97/490660797.db2.gz OCIRGWWJNYUCCP-CYBMUJFWSA-N -1 1 311.349 1.395 20 0 DDADMM CSC[C@H]1CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294818261 533816070 /nfs/dbraw/zinc/81/60/70/533816070.db2.gz CMYGHDJINRCADT-SNVBAGLBSA-N -1 1 308.432 1.986 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)[N-]c2ccn(Cc3ccncc3)n2)C1 ZINC000331428461 534051512 /nfs/dbraw/zinc/05/15/12/534051512.db2.gz LSOCLVOVZRUUNQ-GFCCVEGCSA-N -1 1 321.406 1.325 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)C(=O)N(C)CCCC(=O)[O-] ZINC000422643047 534126119 /nfs/dbraw/zinc/12/61/19/534126119.db2.gz GZURWUKTFIDIFS-UHFFFAOYSA-N -1 1 318.333 1.133 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCCS2)o1 ZINC000330934385 534239680 /nfs/dbraw/zinc/23/96/80/534239680.db2.gz IIVXWGZPSNYHIB-QMMMGPOBSA-N -1 1 305.377 1.240 20 0 DDADMM O=C([O-])Cc1ccc(S(=O)(=O)Nc2ccccc2O)cc1 ZINC000433803840 534506182 /nfs/dbraw/zinc/50/61/82/534506182.db2.gz WCNYJVFJKQMUAH-UHFFFAOYSA-N -1 1 307.327 1.820 20 0 DDADMM CN(CCOCc1ccccc1)Cc1cc(=O)n2[n-]ccc2n1 ZINC000154668562 522900049 /nfs/dbraw/zinc/90/00/49/522900049.db2.gz KIINMVSKUDXZDD-UHFFFAOYSA-N -1 1 312.373 1.671 20 0 DDADMM CC(C)(C)Oc1cccc(CN=c2[n-]c(C(N)=O)cs2)n1 ZINC000432329723 526591384 /nfs/dbraw/zinc/59/13/84/526591384.db2.gz SQSJRRMUKLCMLO-UHFFFAOYSA-N -1 1 306.391 1.848 20 0 DDADMM CC(C)(C)[C@H]1CCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000412805243 526725893 /nfs/dbraw/zinc/72/58/93/526725893.db2.gz DGJQOPPYRJIVCY-UWVGGRQHSA-N -1 1 303.366 1.362 20 0 DDADMM CC(C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000416625136 527709903 /nfs/dbraw/zinc/70/99/03/527709903.db2.gz REOAYPDEFMRAAZ-QMMMGPOBSA-N -1 1 322.789 1.671 20 0 DDADMM CCOc1cc(CN[C@@H](C(=O)[O-])c2ccnn2C)ccc1OC ZINC000424628753 528279368 /nfs/dbraw/zinc/27/93/68/528279368.db2.gz XALLEBLYMJZUDB-OAHLLOKOSA-N -1 1 319.361 1.743 20 0 DDADMM CCOC(=O)c1c[n-]nc1S(=O)(=O)Oc1cc(C)cc(C)c1 ZINC000298123853 528285795 /nfs/dbraw/zinc/28/57/95/528285795.db2.gz USNOMHFACBCKKP-UHFFFAOYSA-N -1 1 324.358 1.971 20 0 DDADMM CCC[C@](C)(O)C[N-]S(=O)(=O)c1ccc(C(=O)OCC)o1 ZINC000443301487 528482949 /nfs/dbraw/zinc/48/29/49/528482949.db2.gz AQMDDHRSNYEIHO-ZDUSSCGKSA-N -1 1 319.379 1.286 20 0 DDADMM CCCCNC(=O)NC(=O)CSc1nc(CC)cc(=O)[n-]1 ZINC000436197312 528519749 /nfs/dbraw/zinc/51/97/49/528519749.db2.gz KMNBANXBVBQWPD-UHFFFAOYSA-N -1 1 312.395 1.463 20 0 DDADMM CCOCC(C)(C)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000292752801 528581223 /nfs/dbraw/zinc/58/12/23/528581223.db2.gz IHPQCJHQGVUHKK-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM CCC[C@@H](C)C(=O)NCCN=c1nc([C@H](C)OC)[n-]s1 ZINC000431480634 528853947 /nfs/dbraw/zinc/85/39/47/528853947.db2.gz CEJKCXLFDCHVGT-ZJUUUORDSA-N -1 1 300.428 1.632 20 0 DDADMM CCOC(=O)Nc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000495366372 529109531 /nfs/dbraw/zinc/10/95/31/529109531.db2.gz BAHWDJWBOYJSHE-UHFFFAOYSA-N -1 1 320.305 1.034 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1cccc(C(=O)OC)c1)C(F)F ZINC000451083024 529193556 /nfs/dbraw/zinc/19/35/56/529193556.db2.gz NOVJVHVFCLEXHJ-LLVKDONJSA-N -1 1 321.345 1.936 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)[C@@H](O)C(F)F ZINC000295722120 529195683 /nfs/dbraw/zinc/19/56/83/529195683.db2.gz LTDNTANEFXGRFM-PSASIEDQSA-N -1 1 315.288 1.648 20 0 DDADMM CC[C@H]1CCC[C@@H]1CNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000452536312 529226731 /nfs/dbraw/zinc/22/67/31/529226731.db2.gz YJQIKKWRFDBAKR-WDEREUQCSA-N -1 1 314.407 1.744 20 0 DDADMM CC[C@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000443300309 529270476 /nfs/dbraw/zinc/27/04/76/529270476.db2.gz KGSHWAGSTZGRRL-TVQRCGJNSA-N -1 1 319.379 1.142 20 0 DDADMM COCCC1(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000119383039 536864238 /nfs/dbraw/zinc/86/42/38/536864238.db2.gz DWQGRERFLFGVQJ-UHFFFAOYSA-N -1 1 304.350 1.220 20 0 DDADMM C[C@]1(CNc2c3ccccc3nnc2-c2nnn[n-]2)CCOC1 ZINC000736217404 598969239 /nfs/dbraw/zinc/96/92/39/598969239.db2.gz UVDAYAFTHHHWFZ-OAHLLOKOSA-N -1 1 311.349 1.648 20 0 DDADMM C[C@]1(CNc2c3ccccc3nnc2-c2nn[n-]n2)CCOC1 ZINC000736217404 598969241 /nfs/dbraw/zinc/96/92/41/598969241.db2.gz UVDAYAFTHHHWFZ-OAHLLOKOSA-N -1 1 311.349 1.648 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@H](Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC000737161173 598975163 /nfs/dbraw/zinc/97/51/63/598975163.db2.gz HLGBRBXIAZICCU-UWVGGRQHSA-N -1 1 322.394 1.029 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@H](Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC000737161173 598975165 /nfs/dbraw/zinc/97/51/65/598975165.db2.gz HLGBRBXIAZICCU-UWVGGRQHSA-N -1 1 322.394 1.029 20 0 DDADMM O=C(Oc1ccc2c(c1)OCO2)c1ccc(-c2nnn[n-]2)nc1 ZINC000738246603 598980170 /nfs/dbraw/zinc/98/01/70/598980170.db2.gz IMIAIGGSBMKLNS-UHFFFAOYSA-N -1 1 311.257 1.210 20 0 DDADMM O=C(Oc1ccc2c(c1)OCO2)c1ccc(-c2nn[n-]n2)nc1 ZINC000738246603 598980171 /nfs/dbraw/zinc/98/01/71/598980171.db2.gz IMIAIGGSBMKLNS-UHFFFAOYSA-N -1 1 311.257 1.210 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)[C@H]1C ZINC000736247578 598986129 /nfs/dbraw/zinc/98/61/29/598986129.db2.gz ADTOCLITLLXUNX-JFGNBEQYSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)[C@H]1C ZINC000736247578 598986131 /nfs/dbraw/zinc/98/61/31/598986131.db2.gz ADTOCLITLLXUNX-JFGNBEQYSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@@H]1CCC[C@H](C)[C@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736244215 598987585 /nfs/dbraw/zinc/98/75/85/598987585.db2.gz FKYVYQQASLDQNS-IWIIMEHWSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@@H]1CCC[C@H](C)[C@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736244215 598987586 /nfs/dbraw/zinc/98/75/86/598987586.db2.gz FKYVYQQASLDQNS-IWIIMEHWSA-N -1 1 300.366 1.816 20 0 DDADMM Cc1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)c(C)c1 ZINC000737342066 598988480 /nfs/dbraw/zinc/98/84/80/598988480.db2.gz JWAYPQNHHLZOIO-UHFFFAOYSA-N -1 1 308.345 1.809 20 0 DDADMM Cc1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)c(C)c1 ZINC000737342066 598988482 /nfs/dbraw/zinc/98/84/82/598988482.db2.gz JWAYPQNHHLZOIO-UHFFFAOYSA-N -1 1 308.345 1.809 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000738259558 598999413 /nfs/dbraw/zinc/99/94/13/598999413.db2.gz RHQXLPYHTQSNCX-KCXRBIIJSA-N -1 1 324.388 1.912 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000738259558 598999415 /nfs/dbraw/zinc/99/94/15/598999415.db2.gz RHQXLPYHTQSNCX-KCXRBIIJSA-N -1 1 324.388 1.912 20 0 DDADMM O=C(Nc1ccc2[nH]c(=O)[nH]c2c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738175585 599020045 /nfs/dbraw/zinc/02/00/45/599020045.db2.gz PIJYINVQEFEHNG-UHFFFAOYSA-N -1 1 322.288 1.096 20 0 DDADMM O=C(Nc1ccc2[nH]c(=O)[nH]c2c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738175585 599020047 /nfs/dbraw/zinc/02/00/47/599020047.db2.gz PIJYINVQEFEHNG-UHFFFAOYSA-N -1 1 322.288 1.096 20 0 DDADMM O[C@@H]1CCCC[C@@H]1CCCNc1nccnc1-c1nnn[n-]1 ZINC000823706960 607306517 /nfs/dbraw/zinc/30/65/17/607306517.db2.gz SPYCOAZQABMOMI-GHMZBOCLSA-N -1 1 303.370 1.400 20 0 DDADMM O[C@@H]1CCCC[C@@H]1CCCNc1nccnc1-c1nn[n-]n1 ZINC000823706960 607306518 /nfs/dbraw/zinc/30/65/18/607306518.db2.gz SPYCOAZQABMOMI-GHMZBOCLSA-N -1 1 303.370 1.400 20 0 DDADMM O=C([O-])[C@H]1CCN(CC(=O)N[C@@H]2CCOc3ccccc32)C1 ZINC000819151731 597526119 /nfs/dbraw/zinc/52/61/19/597526119.db2.gz SANLYWBWYMZSHP-WCQYABFASA-N -1 1 304.346 1.033 20 0 DDADMM O=C([O-])[C@H]1CCN(CC(=O)Nc2ccc(N3CCCC3)cc2)C1 ZINC000769750708 597536242 /nfs/dbraw/zinc/53/62/42/597536242.db2.gz JJMQKKBXRFOMMS-ZDUSSCGKSA-N -1 1 317.389 1.632 20 0 DDADMM COc1ccc(F)cc1CN(CCNC(C)=O)[C@H](C)C(=O)[O-] ZINC000820841057 597674090 /nfs/dbraw/zinc/67/40/90/597674090.db2.gz GAUDPUCJBHYNCF-SNVBAGLBSA-N -1 1 312.341 1.246 20 0 DDADMM CC(=O)NCCN(Cc1cccc(F)c1F)[C@H](C)C(=O)[O-] ZINC000819780035 597679912 /nfs/dbraw/zinc/67/99/12/597679912.db2.gz BXPRXYZWJAHXPD-SECBINFHSA-N -1 1 300.305 1.376 20 0 DDADMM O=C([O-])CCCN1CCN(CC(=O)Nc2ccc(F)cc2)CC1 ZINC000821524188 597769729 /nfs/dbraw/zinc/76/97/29/597769729.db2.gz NIAPJZQPYGRABW-UHFFFAOYSA-N -1 1 323.368 1.247 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC(c2cc3ccccc3[nH]2)CC1 ZINC000781151325 597771483 /nfs/dbraw/zinc/77/14/83/597771483.db2.gz ABJIBQAIJLZVKP-UHFFFAOYSA-N -1 1 315.373 1.548 20 0 DDADMM C[C@@H](NCC(=O)NCC(=O)[O-])c1cccc(Br)c1 ZINC000820142055 597806166 /nfs/dbraw/zinc/80/61/66/597806166.db2.gz NAYKNMCQMYTAFU-MRVPVSSYSA-N -1 1 315.167 1.301 20 0 DDADMM Cc1ccn(CCOc2ccc(F)cc2)c(=O)c1-c1nn[n-]n1 ZINC000822374048 607349847 /nfs/dbraw/zinc/34/98/47/607349847.db2.gz IRGVERBKRXEPFZ-UHFFFAOYSA-N -1 1 315.308 1.555 20 0 DDADMM C[C@@H](Nc1nccnc1-c1nnn[n-]1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000736135496 598345062 /nfs/dbraw/zinc/34/50/62/598345062.db2.gz APCMWXSQSBKMLV-SSDOTTSWSA-N -1 1 323.320 1.412 20 0 DDADMM C[C@@H](Nc1nccnc1-c1nn[n-]n1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000736135496 598345063 /nfs/dbraw/zinc/34/50/63/598345063.db2.gz APCMWXSQSBKMLV-SSDOTTSWSA-N -1 1 323.320 1.412 20 0 DDADMM CCc1noc(CCc2c(C)[nH]c(=O)c(-c3nn[n-]n3)c2C)n1 ZINC000736712731 598608366 /nfs/dbraw/zinc/60/83/66/598608366.db2.gz KLTRBDNNMXLTCD-UHFFFAOYSA-N -1 1 315.337 1.315 20 0 DDADMM CC[C@@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)C[C@@H](C)O1 ZINC000736409552 598678442 /nfs/dbraw/zinc/67/84/42/598678442.db2.gz WFMHIFYNBOQIKP-RNFRBKRXSA-N -1 1 314.802 1.980 20 0 DDADMM CC[C@@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)C[C@@H](C)O1 ZINC000736409552 598678444 /nfs/dbraw/zinc/67/84/44/598678444.db2.gz WFMHIFYNBOQIKP-RNFRBKRXSA-N -1 1 314.802 1.980 20 0 DDADMM CC(C)Oc1ccccc1Cn1cccc(-c2nn[n-]n2)c1=O ZINC000735913595 598675628 /nfs/dbraw/zinc/67/56/28/598675628.db2.gz KWAVNZDOCQUVHJ-UHFFFAOYSA-N -1 1 311.345 1.864 20 0 DDADMM O=C1OC(c2ccccc2)=NC1=Cc1ccc(-c2nnn[n-]2)o1 ZINC000823548514 599309746 /nfs/dbraw/zinc/30/97/46/599309746.db2.gz YEVMGDKCWLGAGE-FLIBITNWSA-N -1 1 307.269 1.804 20 0 DDADMM O=C1OC(c2ccccc2)=NC1=Cc1ccc(-c2nn[n-]n2)o1 ZINC000823548514 599309750 /nfs/dbraw/zinc/30/97/50/599309750.db2.gz YEVMGDKCWLGAGE-FLIBITNWSA-N -1 1 307.269 1.804 20 0 DDADMM c1ccc(N2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)cc1 ZINC000738437144 599394776 /nfs/dbraw/zinc/39/47/76/599394776.db2.gz ZJJNLOQOUJNXCJ-UHFFFAOYSA-N -1 1 307.361 1.588 20 0 DDADMM c1ccc(N2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)cc1 ZINC000738437144 599394780 /nfs/dbraw/zinc/39/47/80/599394780.db2.gz ZJJNLOQOUJNXCJ-UHFFFAOYSA-N -1 1 307.361 1.588 20 0 DDADMM COc1ccc(OC)c(CNc2cccc(-c3nnn[n-]3)n2)c1 ZINC000737108825 599402056 /nfs/dbraw/zinc/40/20/56/599402056.db2.gz DCUWKWOUEWBCDL-UHFFFAOYSA-N -1 1 312.333 1.891 20 0 DDADMM COc1ccc(OC)c(CNc2cccc(-c3nn[n-]n3)n2)c1 ZINC000737108825 599402058 /nfs/dbraw/zinc/40/20/58/599402058.db2.gz DCUWKWOUEWBCDL-UHFFFAOYSA-N -1 1 312.333 1.891 20 0 DDADMM CCc1nc(CCNc2cccc(-c3nnn[n-]3)n2)cs1 ZINC000736700552 599425024 /nfs/dbraw/zinc/42/50/24/599425024.db2.gz VIPOOOBNDFJUGN-UHFFFAOYSA-N -1 1 301.379 1.935 20 0 DDADMM CCc1nc(CCNc2cccc(-c3nn[n-]n3)n2)cs1 ZINC000736700552 599425026 /nfs/dbraw/zinc/42/50/26/599425026.db2.gz VIPOOOBNDFJUGN-UHFFFAOYSA-N -1 1 301.379 1.935 20 0 DDADMM Cc1cc([C@@](C)(O)CNc2nccnc2-c2nnn[n-]2)c(C)o1 ZINC000822191912 599576946 /nfs/dbraw/zinc/57/69/46/599576946.db2.gz UNOUBLUVGNPOKB-AWEZNQCLSA-N -1 1 315.337 1.186 20 0 DDADMM Cc1cc([C@@](C)(O)CNc2nccnc2-c2nn[n-]n2)c(C)o1 ZINC000822191912 599576950 /nfs/dbraw/zinc/57/69/50/599576950.db2.gz UNOUBLUVGNPOKB-AWEZNQCLSA-N -1 1 315.337 1.186 20 0 DDADMM CCc1cccc(OCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000378731667 599778549 /nfs/dbraw/zinc/77/85/49/599778549.db2.gz XEECXSZCJWVUKD-AWEZNQCLSA-N -1 1 317.345 1.163 20 0 DDADMM C[C@H]1CCCC[C@@H]1OCCNC(=O)CNC(C)(C)C(=O)[O-] ZINC000736784708 599926060 /nfs/dbraw/zinc/92/60/60/599926060.db2.gz NQIPJMRVZJBJNN-RYUDHWBXSA-N -1 1 300.399 1.151 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@H]2C[C@@H]2C(=O)[O-])N2CCCC2)o1 ZINC000738554849 600118670 /nfs/dbraw/zinc/11/86/70/600118670.db2.gz WOTPSIHAYIUBRX-AVGNSLFASA-N -1 1 306.362 1.562 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])N2CCCC2)o1 ZINC000738554848 600118740 /nfs/dbraw/zinc/11/87/40/600118740.db2.gz WOTPSIHAYIUBRX-AGIUHOORSA-N -1 1 306.362 1.562 20 0 DDADMM CCC[C@@](C)(NCC(=O)NC(=O)NCc1ccccc1)C(=O)[O-] ZINC000736964802 600156386 /nfs/dbraw/zinc/15/63/86/600156386.db2.gz PKSIXBKOSWTUTK-MRXNPFEDSA-N -1 1 321.377 1.245 20 0 DDADMM COc1ccc([C@@H](NCc2cc(=O)c(O)co2)C(=O)[O-])cc1 ZINC000737975419 600208610 /nfs/dbraw/zinc/20/86/10/600208610.db2.gz YESQDTNUFWOQGM-CQSZACIVSA-N -1 1 305.286 1.270 20 0 DDADMM CCC[C@](C)(NCC(=O)NCC(=O)c1cccs1)C(=O)[O-] ZINC000736965174 600322018 /nfs/dbraw/zinc/32/20/18/600322018.db2.gz UDOCTWDCTANLQF-AWEZNQCLSA-N -1 1 312.391 1.280 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2cccc(C(=O)[O-])c2C)n[nH]1 ZINC000737253429 600446108 /nfs/dbraw/zinc/44/61/08/600446108.db2.gz JGNDKEZKILTOSW-UHFFFAOYSA-N -1 1 323.374 1.457 20 0 DDADMM CC(C)C[C@]1(C)CC(=O)N(CN(C[C@H](C)C(=O)[O-])C2CC2)C1=O ZINC000736427441 600702393 /nfs/dbraw/zinc/70/23/93/600702393.db2.gz SFJQZEPZIBWKRR-YVEFUNNKSA-N -1 1 324.421 1.940 20 0 DDADMM CN(C[C@@H]1CCS(=O)(=O)C1)[C@@H](C(=O)[O-])c1ccc(F)cc1 ZINC000737413274 600719926 /nfs/dbraw/zinc/71/99/26/600719926.db2.gz KJRVSPZPFXQHQI-GXFFZTMASA-N -1 1 315.366 1.318 20 0 DDADMM CCN(C[C@H]1CCOC1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821207860 607467796 /nfs/dbraw/zinc/46/77/96/607467796.db2.gz WFNRZSZSRISMLE-SECBINFHSA-N -1 1 308.773 1.778 20 0 DDADMM CCN(C[C@H]1CCOC1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821207860 607467797 /nfs/dbraw/zinc/46/77/97/607467797.db2.gz WFNRZSZSRISMLE-SECBINFHSA-N -1 1 308.773 1.778 20 0 DDADMM CN(C(=O)CN(CCCCO)C1CC1)c1ccccc1C(=O)[O-] ZINC000737324081 600808631 /nfs/dbraw/zinc/80/86/31/600808631.db2.gz JLHUITXZASCPIF-UHFFFAOYSA-N -1 1 320.389 1.585 20 0 DDADMM C[C@@H](O)CN1CCN(c2nc(Cl)c(C(=O)[O-])s2)C[C@H]1C ZINC000827803199 600812649 /nfs/dbraw/zinc/81/26/49/600812649.db2.gz KQFCUIFPLJOIGW-HTQZYQBOSA-N -1 1 319.814 1.386 20 0 DDADMM COc1ccc(NC(=O)CSc2nnc(C)[nH]2)c(C(=O)[O-])c1 ZINC000832399077 600838280 /nfs/dbraw/zinc/83/82/80/600838280.db2.gz YDZDPPOJEFCJNX-UHFFFAOYSA-N -1 1 322.346 1.551 20 0 DDADMM COc1ccc(NC(=O)CSc2nc(C)n[nH]2)c(C(=O)[O-])c1 ZINC000832399077 600838282 /nfs/dbraw/zinc/83/82/82/600838282.db2.gz YDZDPPOJEFCJNX-UHFFFAOYSA-N -1 1 322.346 1.551 20 0 DDADMM CC[C@@H](CO)N1CCN([C@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000828890259 601034984 /nfs/dbraw/zinc/03/49/84/601034984.db2.gz AAKDQXGBFQESPC-GJZGRUSLSA-N -1 1 310.369 1.340 20 0 DDADMM Cc1cccc(OCCNC(=O)CN[C@](C)(C(=O)[O-])C2CC2)c1 ZINC000832975735 601037599 /nfs/dbraw/zinc/03/75/99/601037599.db2.gz VVNAJZXBZKWOSA-KRWDZBQOSA-N -1 1 320.389 1.333 20 0 DDADMM Cc1cc(C)n([C@H](C)C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)n1 ZINC000832880937 601091742 /nfs/dbraw/zinc/09/17/42/601091742.db2.gz VEIDFNPVLOSPHE-TZMCWYRMSA-N -1 1 322.409 1.068 20 0 DDADMM Cc1cc(C)n([C@@H](C)C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)n1 ZINC000832880935 601092118 /nfs/dbraw/zinc/09/21/18/601092118.db2.gz VEIDFNPVLOSPHE-OCCSQVGLSA-N -1 1 322.409 1.068 20 0 DDADMM C[C@H](Sc1ccccc1C(=O)NCc1cnc[nH]1)C(=O)[O-] ZINC000736717048 601093803 /nfs/dbraw/zinc/09/38/03/601093803.db2.gz NLVMHPJRAWNXDN-VIFPVBQESA-N -1 1 305.359 1.905 20 0 DDADMM CCN1C[C@H](C)[C@H](NS(=O)(=O)c2csc(C(=O)[O-])c2)C1 ZINC000737111594 601111006 /nfs/dbraw/zinc/11/10/06/601111006.db2.gz KZFQNMYDEFEWRZ-WCBMZHEXSA-N -1 1 318.420 1.065 20 0 DDADMM C/C(=C\CN1CCN(Cc2c(C)nn(C)c2C)CC1)C(=O)[O-] ZINC000825741236 601164037 /nfs/dbraw/zinc/16/40/37/601164037.db2.gz KMYSSZLQCIJGLN-LFYBBSHMSA-N -1 1 306.410 1.185 20 0 DDADMM CN(CCNC(=O)N1CC[C@H](C(=O)[O-])C1)Cc1ccc(F)cc1 ZINC000818469923 601195800 /nfs/dbraw/zinc/19/58/00/601195800.db2.gz LXCYMKRFSLMTGY-ZDUSSCGKSA-N -1 1 323.368 1.374 20 0 DDADMM O=C([O-])c1cc(C(=O)NCc2n[nH]c(-c3ccccc3)n2)ccn1 ZINC000766882873 601282408 /nfs/dbraw/zinc/28/24/08/601282408.db2.gz FOPSMTZIDYYEEK-UHFFFAOYSA-N -1 1 323.312 1.495 20 0 DDADMM O=C([O-])c1cc(C(=O)NCc2nc(-c3ccccc3)n[nH]2)ccn1 ZINC000766882873 601282409 /nfs/dbraw/zinc/28/24/09/601282409.db2.gz FOPSMTZIDYYEEK-UHFFFAOYSA-N -1 1 323.312 1.495 20 0 DDADMM CO[C@H](C)c1noc(CN(CCC(=O)[O-])C2CCOCC2)n1 ZINC000831505749 601306310 /nfs/dbraw/zinc/30/63/10/601306310.db2.gz ULIPTCFYBSQFGY-SNVBAGLBSA-N -1 1 313.354 1.233 20 0 DDADMM O=C([O-])C[C@@H]1CN(CCC(=O)N2CCc3ccccc32)CCO1 ZINC000833223365 601459314 /nfs/dbraw/zinc/45/93/14/601459314.db2.gz YSZZDIGQQQPESF-CQSZACIVSA-N -1 1 318.373 1.141 20 0 DDADMM CC[C@@](C)(CC(=O)[O-])NC(=O)CSc1n[nH]c(=S)s1 ZINC000828628769 601468497 /nfs/dbraw/zinc/46/84/97/601468497.db2.gz SGOKJBIPEXNPIU-JTQLQIEISA-N -1 1 321.449 1.679 20 0 DDADMM COc1ccc(OC)c(NC(=O)CN2C[C@H](C(=O)[O-])[C@H](C)C2)c1 ZINC000832436057 601511306 /nfs/dbraw/zinc/51/13/06/601511306.db2.gz PXZRIQXJXRNRTA-PWSUYJOCSA-N -1 1 322.361 1.295 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(F)cc1F)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828424227 601517075 /nfs/dbraw/zinc/51/70/75/601517075.db2.gz OKGZYXXYNMZCPY-YWVKMMECSA-N -1 1 312.316 1.944 20 0 DDADMM COc1ccc(C)cc1NC(=O)[C@H](C)N1C[C@H](C)[C@H](C(=O)[O-])C1 ZINC000832258349 601528533 /nfs/dbraw/zinc/52/85/33/601528533.db2.gz HQPOPZNXLKTJAE-RWMBFGLXSA-N -1 1 320.389 1.983 20 0 DDADMM O=C([O-])[C@H]1c2ccccc2CCN1Cc1cc(=O)n2nccc2[nH]1 ZINC000833195582 601548987 /nfs/dbraw/zinc/54/89/87/601548987.db2.gz LBGXPLUKGOZFEE-MRXNPFEDSA-N -1 1 324.340 1.207 20 0 DDADMM CN(C)Cc1ccsc1C(=O)NCCSCC(=O)[O-] ZINC000830624144 601558452 /nfs/dbraw/zinc/55/84/52/601558452.db2.gz XQTTVYSQJDEACK-UHFFFAOYSA-N -1 1 302.421 1.357 20 0 DDADMM NC(=O)c1ccc(CN[C@H](C(=O)[O-])c2ccccc2F)cn1 ZINC000833085060 601561007 /nfs/dbraw/zinc/56/10/07/601561007.db2.gz FXWUZXATHHXDRL-ZDUSSCGKSA-N -1 1 303.293 1.235 20 0 DDADMM CC[C@H](O)CN1CCN(Cc2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000829004538 601640690 /nfs/dbraw/zinc/64/06/90/601640690.db2.gz KZXOLNUEZBUJRG-ZDUSSCGKSA-N -1 1 310.369 1.412 20 0 DDADMM CC[C@H](O)CN1CCN(Cc2cc(C(=O)[O-])sc2C)CC1 ZINC000829004346 601640804 /nfs/dbraw/zinc/64/08/04/601640804.db2.gz GIQVYHHDGMMGKX-ZDUSSCGKSA-N -1 1 312.435 1.643 20 0 DDADMM C[C@@H](C(=O)[O-])[C@H](NCC(=O)Nc1nncs1)c1ccccc1 ZINC000826051487 601656489 /nfs/dbraw/zinc/65/64/89/601656489.db2.gz CIQNFPUQOVAPGU-SKDRFNHKSA-N -1 1 320.374 1.528 20 0 DDADMM C[C@H](C(=O)[O-])[C@@H](NCC(=O)Nc1nncs1)c1ccccc1 ZINC000826051486 601656543 /nfs/dbraw/zinc/65/65/43/601656543.db2.gz CIQNFPUQOVAPGU-JOYOIKCWSA-N -1 1 320.374 1.528 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nnn[n-]2)c1)c1ccccc1F ZINC000826495996 607528454 /nfs/dbraw/zinc/52/84/54/607528454.db2.gz IHEOCOSYLMBCCG-NRFANRHFSA-N -1 1 303.322 1.709 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nn[n-]n2)c1)c1ccccc1F ZINC000826495996 607528456 /nfs/dbraw/zinc/52/84/56/607528456.db2.gz IHEOCOSYLMBCCG-NRFANRHFSA-N -1 1 303.322 1.709 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@](F)(C(=O)[O-])C3)c[n-]c2[nH+]1 ZINC000832961646 601758341 /nfs/dbraw/zinc/75/83/41/601758341.db2.gz IMWCAQWSWOIAEI-OAHLLOKOSA-N -1 1 319.292 1.283 20 0 DDADMM C[C@H]1C(=O)N(CN2C[C@@H](C)[C@H](C(=O)[O-])C2)[C@@H]1c1ccccc1 ZINC000828448635 601815872 /nfs/dbraw/zinc/81/58/72/601815872.db2.gz FHFYWVIOPJZYCF-GBOPCIDUSA-N -1 1 302.374 1.816 20 0 DDADMM CCOC(=O)c1ccc(NC(=O)CN[C@H](C(=O)[O-])C(C)C)cc1 ZINC000829881625 601822734 /nfs/dbraw/zinc/82/27/34/601822734.db2.gz PWXMNJMHGOAOCL-AWEZNQCLSA-N -1 1 322.361 1.501 20 0 DDADMM CCn1nc2c(cc1=O)CN(Cc1ccsc1C(=O)[O-])CC2 ZINC000830445482 601837088 /nfs/dbraw/zinc/83/70/88/601837088.db2.gz LVNRAQDHOGKYHI-UHFFFAOYSA-N -1 1 319.386 1.581 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2nnn[n-]2)c1)c1cccs1 ZINC000826487380 607531627 /nfs/dbraw/zinc/53/16/27/607531627.db2.gz MRLHWTPIHCYFKL-UHFFFAOYSA-N -1 1 307.360 1.297 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2nn[n-]n2)c1)c1cccs1 ZINC000826487380 607531628 /nfs/dbraw/zinc/53/16/28/607531628.db2.gz MRLHWTPIHCYFKL-UHFFFAOYSA-N -1 1 307.360 1.297 20 0 DDADMM Cc1ccccc1NC(=O)[C@@H](C)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000740186564 601958317 /nfs/dbraw/zinc/95/83/17/601958317.db2.gz PYABFPUNAOZFOU-OLZOCXBDSA-N -1 1 305.378 1.912 20 0 DDADMM CN(Cc1ccccc1)C(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000739714729 601963366 /nfs/dbraw/zinc/96/33/66/601963366.db2.gz XNEMQWUZVLQUML-AWEZNQCLSA-N -1 1 305.378 1.235 20 0 DDADMM O=C([O-])NC[C@H]1CCN(CC(=O)NCCc2ccc(F)cc2)C1 ZINC000740641549 601964421 /nfs/dbraw/zinc/96/44/21/601964421.db2.gz JFOHEJWTNVYBAV-CYBMUJFWSA-N -1 1 323.368 1.074 20 0 DDADMM C[C@H](C(=O)N(C)c1ccccc1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738601910 602043561 /nfs/dbraw/zinc/04/35/61/602043561.db2.gz LYBBTUNIQLTCKG-OLZOCXBDSA-N -1 1 305.378 1.627 20 0 DDADMM CN(C[C@@H]1CCCN(C(=O)N[C@H]2CCCN(C)C2)C1)C(=O)[O-] ZINC000739731046 602131513 /nfs/dbraw/zinc/13/15/13/602131513.db2.gz VODRQENYNURDQF-STQMWFEESA-N -1 1 312.414 1.112 20 0 DDADMM CN(CC(=O)NC[C@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000739623834 602159257 /nfs/dbraw/zinc/15/92/57/602159257.db2.gz QIGOBEMBRVLNMZ-GFCCVEGCSA-N -1 1 309.366 1.533 20 0 DDADMM O=C([O-])[C@H](NCCS(=O)(=O)CC1CC1)c1ccc(F)cc1 ZINC000833165586 602180152 /nfs/dbraw/zinc/18/01/52/602180152.db2.gz KRTXGPTVONDHKV-CYBMUJFWSA-N -1 1 315.366 1.366 20 0 DDADMM C[C@@H](c1cn(-c2ccccc2)nn1)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828424622 602222940 /nfs/dbraw/zinc/22/29/40/602222940.db2.gz RFIUBJYPUVCKRH-MBNYWOFBSA-N -1 1 300.362 1.981 20 0 DDADMM CS(=O)(=O)CCCN1CCC[C@@H]([C@H]2CCCN2C(=O)[O-])C1 ZINC000739985522 602315062 /nfs/dbraw/zinc/31/50/62/602315062.db2.gz YGARXQZZNPZMBV-CHWSQXEVSA-N -1 1 318.439 1.276 20 0 DDADMM C[C@@H]1CCCC[C@]1(CNC(=O)[O-])NCc1nnnn1C1CC1 ZINC000739105553 602433057 /nfs/dbraw/zinc/43/30/57/602433057.db2.gz YMPIOKUTQVNQRR-QMTHXVAHSA-N -1 1 308.386 1.314 20 0 DDADMM O=C([O-])N1CC[C@H](NC(=O)[C@@H]2CCCN2Cc2ccccc2)C1 ZINC000740401034 602473949 /nfs/dbraw/zinc/47/39/49/602473949.db2.gz RKQROVJCGDJZIZ-GJZGRUSLSA-N -1 1 317.389 1.520 20 0 DDADMM CN(C[C@H]1CCN(C[C@@H](O)c2cc(F)ccc2F)C1)C(=O)[O-] ZINC000739665128 602529415 /nfs/dbraw/zinc/52/94/15/602529415.db2.gz LADBJWTTXXPYEJ-QMTHXVAHSA-N -1 1 314.332 1.930 20 0 DDADMM C[C@@H](C(=O)N1CCC(C)CC1)N1CCC(N(C)C(=O)[O-])CC1 ZINC000737750071 602535250 /nfs/dbraw/zinc/53/52/50/602535250.db2.gz SSDYOGHNQJPPSM-ZDUSSCGKSA-N -1 1 311.426 1.708 20 0 DDADMM CN(C[C@@H]1CCN(Cc2cc(=O)n3ccsc3n2)C1)C(=O)[O-] ZINC000739663807 602541498 /nfs/dbraw/zinc/54/14/98/602541498.db2.gz BQPMRMPMOQOODY-JTQLQIEISA-N -1 1 322.390 1.188 20 0 DDADMM CCCN(CC(=O)NCC(F)(F)F)[C@H]1CCN(C(=O)[O-])C1 ZINC000739352639 602546303 /nfs/dbraw/zinc/54/63/03/602546303.db2.gz FCGVPCZUTSKSGR-VIFPVBQESA-N -1 1 311.304 1.129 20 0 DDADMM C[C@@H]1CN(CC(=O)N(C)C2CCCCC2)CC[C@H]1NC(=O)[O-] ZINC000739174582 602771581 /nfs/dbraw/zinc/77/15/81/602771581.db2.gz PNRPPMGEAAODOT-TZMCWYRMSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@@H]1CN(Cc2cccc3c2OCCO3)CC[C@@H]1NC(=O)[O-] ZINC000739195058 602773141 /nfs/dbraw/zinc/77/31/41/602773141.db2.gz XDYLTPXWLGHQJL-YPMHNXCESA-N -1 1 306.362 1.936 20 0 DDADMM C[C@@H]1CN(CC(=O)N(C2CC2)C2CCCC2)CC[C@H]1NC(=O)[O-] ZINC000739178269 602794749 /nfs/dbraw/zinc/79/47/49/602794749.db2.gz YKDZSOYYIDGKRQ-IUODEOHRSA-N -1 1 323.437 1.898 20 0 DDADMM COc1ccccc1[C@@H](NC(=O)[O-])C(=O)N[C@@H]1CCCN(C)C1 ZINC000739979337 602905555 /nfs/dbraw/zinc/90/55/55/602905555.db2.gz RQIKORZOWKBNKW-BXUZGUMPSA-N -1 1 321.377 1.214 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)N[C@@H]1CCCN(C(=O)[O-])C1 ZINC000736397534 602914694 /nfs/dbraw/zinc/91/46/94/602914694.db2.gz YZIICQMYDUEKNC-CHWSQXEVSA-N -1 1 312.414 1.110 20 0 DDADMM O=C([O-])N(CCNC(=O)N1CCN(C2CCC2)CC1)C1CC1 ZINC000740436491 602964918 /nfs/dbraw/zinc/96/49/18/602964918.db2.gz HBCCZLZCEKSWIB-UHFFFAOYSA-N -1 1 310.398 1.009 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N[C@@H]1CCC[C@@H]1CNC(=O)[O-] ZINC000829834922 603004332 /nfs/dbraw/zinc/00/43/32/603004332.db2.gz XHEMOWDHBXKINU-CHWSQXEVSA-N -1 1 323.397 1.386 20 0 DDADMM CCc1nnc([C@H]2CN(C[C@H]3CCCN(C(=O)[O-])C3)CCO2)[nH]1 ZINC000739555337 603072637 /nfs/dbraw/zinc/07/26/37/603072637.db2.gz ZSYBTZVSKYJPQS-VXGBXAGGSA-N -1 1 323.397 1.130 20 0 DDADMM C[C@H](C(=O)N[C@H]1CCN(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000738621812 603177963 /nfs/dbraw/zinc/17/79/63/603177963.db2.gz AJTPMNCKSWHSNF-OCCSQVGLSA-N -1 1 305.378 1.375 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H](C(=O)N2CCN3CCCC[C@H]3C2)C1 ZINC000740565501 603183839 /nfs/dbraw/zinc/18/38/39/603183839.db2.gz QVSMSVQNPWCTIV-MCIONIFRSA-N -1 1 309.410 1.510 20 0 DDADMM COc1cccc([C@H](CNC(=O)C[C@@H](C)NC(=O)[O-])N(C)C)c1 ZINC000829544396 603251526 /nfs/dbraw/zinc/25/15/26/603251526.db2.gz UYFBXSJBQYYJKA-RISCZKNCSA-N -1 1 323.393 1.460 20 0 DDADMM C[C@H](CCNC(=O)CSc1n[nH]c(=S)s1)NC(=O)[O-] ZINC000824786502 603482767 /nfs/dbraw/zinc/48/27/67/603482767.db2.gz MXNFHOSPLGLSIY-RXMQYKEDSA-N -1 1 322.437 1.081 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CC[C@H](N(C)C(=O)[O-])C2)o1 ZINC000827045414 603512554 /nfs/dbraw/zinc/51/25/54/603512554.db2.gz IOKLVKUCQXYSME-LBPRGKRZSA-N -1 1 323.393 1.946 20 0 DDADMM CC(C)C[C@H]1CC[N@@H+](CN2CC3(CN(C(=O)[O-])C3)CC2=O)C1 ZINC000824233516 603592828 /nfs/dbraw/zinc/59/28/28/603592828.db2.gz ZLFYHPFIVVFADK-CYBMUJFWSA-N -1 1 309.410 1.524 20 0 DDADMM O=C([O-])N1CC[C@@H](C(=O)NCc2nc3ccc(F)cc3[nH]2)C1 ZINC000831731398 603737933 /nfs/dbraw/zinc/73/79/33/603737933.db2.gz XEJYNWUUVWKNHD-MRVPVSSYSA-N -1 1 306.297 1.318 20 0 DDADMM CN1CCC[C@H](NC(=O)C2(NC(=O)[O-])Cc3ccccc3C2)C1 ZINC000828480783 603758096 /nfs/dbraw/zinc/75/80/96/603758096.db2.gz JVAXTIJHVIMCMU-AWEZNQCLSA-N -1 1 317.389 1.002 20 0 DDADMM COCCN(CC(=O)NCc1nc2ccc(C)cc2[nH]1)C(=O)[O-] ZINC000828976727 603763095 /nfs/dbraw/zinc/76/30/95/603763095.db2.gz RDVWBRXGRXNFQO-UHFFFAOYSA-N -1 1 320.349 1.114 20 0 DDADMM CN(C1CCC1)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825888573 607650750 /nfs/dbraw/zinc/65/07/50/607650750.db2.gz RVFNLDUVVOGSEL-UHFFFAOYSA-N -1 1 311.342 1.179 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCN(CCc2nc3ccccc3c(=O)[nH]2)C1 ZINC000827891025 603845062 /nfs/dbraw/zinc/84/50/62/603845062.db2.gz ZGVWXIZQTWLLHY-LLVKDONJSA-N -1 1 316.361 1.562 20 0 DDADMM CCCC[C@H](CNC(=O)[O-])NC(=O)N1CCN(C(C)C)CC1 ZINC000826787010 603899322 /nfs/dbraw/zinc/89/93/22/603899322.db2.gz DDTBIVVUAIHGTF-CYBMUJFWSA-N -1 1 314.430 1.548 20 0 DDADMM C[C@H]1C[N@@H+](C2CC2)C[C@@H]1NC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000826047215 604011983 /nfs/dbraw/zinc/01/19/83/604011983.db2.gz CKKUCCJLQLLSGF-ZANVPECISA-N -1 1 319.361 1.695 20 0 DDADMM C[C@H]1C[N@H+](C2CC2)C[C@@H]1NC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000826047215 604011988 /nfs/dbraw/zinc/01/19/88/604011988.db2.gz CKKUCCJLQLLSGF-ZANVPECISA-N -1 1 319.361 1.695 20 0 DDADMM C[C@@H]1C[N@@H+](C2CC2)C[C@H]1NC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000826047212 604012180 /nfs/dbraw/zinc/01/21/80/604012180.db2.gz CKKUCCJLQLLSGF-NOZJJQNGSA-N -1 1 319.361 1.695 20 0 DDADMM C[C@@H]1C[N@H+](C2CC2)C[C@H]1NC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000826047212 604012183 /nfs/dbraw/zinc/01/21/83/604012183.db2.gz CKKUCCJLQLLSGF-NOZJJQNGSA-N -1 1 319.361 1.695 20 0 DDADMM O=C([O-])N[C@H](C(=O)NCc1c[nH]nn1)c1cccc(Cl)c1 ZINC000832092856 604132028 /nfs/dbraw/zinc/13/20/28/604132028.db2.gz LMRIJLYSFAVACP-JTQLQIEISA-N -1 1 309.713 1.083 20 0 DDADMM CCN(CC1CCN(C(=O)NCc2cc(C)[nH]n2)CC1)C(=O)[O-] ZINC000827070705 604147751 /nfs/dbraw/zinc/14/77/51/604147751.db2.gz OYBVBKHJTWKKME-UHFFFAOYSA-N -1 1 323.397 1.640 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)NCc2cccnc2)C1 ZINC000825264427 604161244 /nfs/dbraw/zinc/16/12/44/604161244.db2.gz KGOORXBEOFEXJW-JSGCOSHPSA-N -1 1 320.393 1.066 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@@]3(F)CCN(C(=O)[O-])C3)n2)o1 ZINC000830159270 604585493 /nfs/dbraw/zinc/58/54/93/604585493.db2.gz DWQXLTVTUBSGMI-CYBMUJFWSA-N -1 1 323.284 1.404 20 0 DDADMM CCC[C@@](C)(NC(=O)[O-])C(=O)N1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000826711870 604643598 /nfs/dbraw/zinc/64/35/98/604643598.db2.gz GSMIRYWIFCCBEZ-XHDPSFHLSA-N -1 1 323.397 1.646 20 0 DDADMM CCCc1c(C(=O)OCc2n[nH]c(C)n2)[nH]c(C)c1C(=O)[O-] ZINC000829562499 604669540 /nfs/dbraw/zinc/66/95/40/604669540.db2.gz GYVJARCHFBLHST-UHFFFAOYSA-N -1 1 306.322 1.757 20 0 DDADMM O=C([O-])[C@H](O)C1CCN(Cc2cccc3c2OCCCO3)CC1 ZINC000833770489 604760032 /nfs/dbraw/zinc/76/00/32/604760032.db2.gz HOKYPIQRPYDXIB-OAHLLOKOSA-N -1 1 321.373 1.505 20 0 DDADMM O=C([O-])[C@]1(NC(=O)Cc2[nH]nc3ccccc32)CCSC1 ZINC000262147733 604845739 /nfs/dbraw/zinc/84/57/39/604845739.db2.gz LNJWTHUYOBQZHC-AWEZNQCLSA-N -1 1 305.359 1.182 20 0 DDADMM C[C@@H]1[C@@H](C)N(CC(=O)N(C)c2ccccc2C(=O)[O-])CCN1C ZINC000833585906 604852037 /nfs/dbraw/zinc/85/20/37/604852037.db2.gz CGVQWAAIRCHXAW-CHWSQXEVSA-N -1 1 319.405 1.372 20 0 DDADMM C[C@@H](C(=O)Nc1ncccn1)N1CCC[C@H](C(C)(C)C(=O)[O-])C1 ZINC000833412289 604939721 /nfs/dbraw/zinc/93/97/21/604939721.db2.gz SYXIMBPODPIGEY-RYUDHWBXSA-N -1 1 320.393 1.626 20 0 DDADMM CC1CCC2(CC1)NC(=O)N(CN1CCC[C@@H]1CC(=O)[O-])C2=O ZINC000828232529 604979845 /nfs/dbraw/zinc/97/98/45/604979845.db2.gz GXIJVORFKWRTAR-BWBHSNQOSA-N -1 1 323.393 1.384 20 0 DDADMM C[C@@]1(c2ccccc2)CC(=O)N(CN2CC[C@H](C(=O)[O-])C2)C1=O ZINC000820215365 605025929 /nfs/dbraw/zinc/02/59/29/605025929.db2.gz VRBCCQBBOBZTSC-SJCJKPOMSA-N -1 1 316.357 1.067 20 0 DDADMM C[C@@H]1CCCC[C@@H]1NC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833612629 605043439 /nfs/dbraw/zinc/04/34/39/605043439.db2.gz PLISRBNCQWWNDH-RDBSUJKOSA-N -1 1 311.426 1.756 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)[C@H](C)CC(=O)[O-])[nH]c21 ZINC000833716827 605059284 /nfs/dbraw/zinc/05/92/84/605059284.db2.gz RNLCJOHISPSXPU-SSDOTTSWSA-N -1 1 305.290 1.399 20 0 DDADMM CC(C)Oc1ccccc1[C@@H](C)NCC(=O)NCCC(=O)[O-] ZINC000833507354 605069690 /nfs/dbraw/zinc/06/96/90/605069690.db2.gz HEZCBFWXPHGEFE-GFCCVEGCSA-N -1 1 308.378 1.715 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833490228 605108446 /nfs/dbraw/zinc/10/84/46/605108446.db2.gz QVEBQKDAFFZJJY-OLZOCXBDSA-N -1 1 300.399 1.055 20 0 DDADMM CN(CC(=O)N(CC(=O)[O-])Cc1ccccc1)[C@H]1CCSC1 ZINC000833691988 605190539 /nfs/dbraw/zinc/19/05/39/605190539.db2.gz RNCLEHWQBDTKAJ-AWEZNQCLSA-N -1 1 322.430 1.537 20 0 DDADMM CC(C)CCc1noc(CN2CCN(CCC(=O)[O-])C[C@H]2C)n1 ZINC000833484684 605190901 /nfs/dbraw/zinc/19/09/01/605190901.db2.gz STRYEHLXHFGROW-CYBMUJFWSA-N -1 1 324.425 1.639 20 0 DDADMM CC[C@H]1CN(C(=O)CC(C)(C)CC(=O)[O-])CCN1C[C@@H](C)O ZINC000833648916 605272401 /nfs/dbraw/zinc/27/24/01/605272401.db2.gz LZVQWCSTKIONAF-OLZOCXBDSA-N -1 1 314.426 1.181 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCN(C(=O)[O-])C[C@H]2C)n[nH]1 ZINC000830031220 605301782 /nfs/dbraw/zinc/30/17/82/605301782.db2.gz TWSGWIYXTZGNCY-MWLCHTKSSA-N -1 1 309.370 1.043 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NC[C@@H](NC(=O)[O-])C(C)C)n[nH]1 ZINC000830036636 605301824 /nfs/dbraw/zinc/30/18/24/605301824.db2.gz WWUOIFCREDVAHO-JOYOIKCWSA-N -1 1 311.386 1.241 20 0 DDADMM O=C([O-])N1CCO[C@@H](CC(=O)Nc2cccc(Cl)c2O)C1 ZINC000831392306 605305599 /nfs/dbraw/zinc/30/55/99/605305599.db2.gz APHKAUOGBZSRKB-QMMMGPOBSA-N -1 1 314.725 1.753 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC[C@@H]1NC(=O)[O-] ZINC000833847166 605358448 /nfs/dbraw/zinc/35/84/48/605358448.db2.gz HVVUUSLOYQCVKT-WZRBSPASSA-N -1 1 320.393 1.724 20 0 DDADMM C[C@H]1C[C@H](NC(=O)[O-])CCN1C(=O)c1cccc2c[nH]nc21 ZINC000825838792 605458064 /nfs/dbraw/zinc/45/80/64/605458064.db2.gz SPABZGQOUREKKL-GXSJLCMTSA-N -1 1 302.334 1.824 20 0 DDADMM CC(C)N1CCN(C(=O)C[C@@H]2CSCCN2C(=O)[O-])CC1 ZINC000824362900 605598313 /nfs/dbraw/zinc/59/83/13/605598313.db2.gz JPEHZJYXTIYPTC-GFCCVEGCSA-N -1 1 315.439 1.025 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N1CC[C@H](N(C)C(=O)[O-])C1)C2 ZINC000833915286 605856469 /nfs/dbraw/zinc/85/64/69/605856469.db2.gz FZCGSLODIGTASR-QWRGUYRKSA-N -1 1 306.366 1.034 20 0 DDADMM O=C([O-])N1CCC2(C[C@@H]2C(=O)N2CCN3CCCC[C@@H]3C2)CC1 ZINC000834088442 605859160 /nfs/dbraw/zinc/85/91/60/605859160.db2.gz NMQSMYJIQFEEOU-ZIAGYGMSSA-N -1 1 321.421 1.463 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NCc1ccccc1 ZINC000833829362 605884651 /nfs/dbraw/zinc/88/46/51/605884651.db2.gz HNYCGWHEKWVELN-OCCSQVGLSA-N -1 1 305.378 1.281 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1C[C@H](O)c1c(F)cccc1F ZINC000833835453 605908052 /nfs/dbraw/zinc/90/80/52/605908052.db2.gz ZJHDVHQAPHRGRV-BREBYQMCSA-N -1 1 314.332 1.976 20 0 DDADMM O=C([O-])N[C@H]1CCN(C[C@@H]2CN(c3ccccc3)C(=O)O2)C1 ZINC000740592769 605920609 /nfs/dbraw/zinc/92/06/09/605920609.db2.gz BFMQMNODHVRXDO-WCQYABFASA-N -1 1 305.334 1.354 20 0 DDADMM Cn1c(CN[C@H]2CCC[C@H]2NC(=O)[O-])nc2cc(F)ccc21 ZINC000833941558 605987037 /nfs/dbraw/zinc/98/70/37/605987037.db2.gz BPNUARQBQPDHNX-WDEREUQCSA-N -1 1 306.341 1.991 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000822619865 606170701 /nfs/dbraw/zinc/17/07/01/606170701.db2.gz IRLIRTWYSKAPFX-KYZUINATSA-N -1 1 304.358 1.300 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000822619865 606170702 /nfs/dbraw/zinc/17/07/02/606170702.db2.gz IRLIRTWYSKAPFX-KYZUINATSA-N -1 1 304.358 1.300 20 0 DDADMM CC[C@H](C)CN(CC)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820868554 606171838 /nfs/dbraw/zinc/17/18/38/606171838.db2.gz AEBNZAFVJCZSSK-VIFPVBQESA-N -1 1 306.374 1.500 20 0 DDADMM CC[C@H](C)CN(CC)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820868554 606171837 /nfs/dbraw/zinc/17/18/37/606171837.db2.gz AEBNZAFVJCZSSK-VIFPVBQESA-N -1 1 306.374 1.500 20 0 DDADMM C[C@H](C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-])C(F)(F)F ZINC000819865826 606294009 /nfs/dbraw/zinc/29/40/09/606294009.db2.gz IKPHBGROAXQQTE-RXMQYKEDSA-N -1 1 301.228 1.709 20 0 DDADMM Cc1cnn(CCC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c1 ZINC000822403745 606298287 /nfs/dbraw/zinc/29/82/87/606298287.db2.gz SSLOHXUGRSIBNK-UHFFFAOYSA-N -1 1 313.321 1.106 20 0 DDADMM CC(C)(C)N1C[C@H](Nc2cccc(-c3nnn[n-]3)n2)CC1=O ZINC000819913943 606420342 /nfs/dbraw/zinc/42/03/42/606420342.db2.gz IKIKAGZLBGGKAQ-SECBINFHSA-N -1 1 301.354 1.073 20 0 DDADMM CC(C)(C)N1C[C@H](Nc2cccc(-c3nn[n-]n3)n2)CC1=O ZINC000819913943 606420344 /nfs/dbraw/zinc/42/03/44/606420344.db2.gz IKIKAGZLBGGKAQ-SECBINFHSA-N -1 1 301.354 1.073 20 0 DDADMM COc1ncccc1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821920246 606501835 /nfs/dbraw/zinc/50/18/35/606501835.db2.gz OTZXEUOXNRYAEA-UHFFFAOYSA-N -1 1 316.346 1.262 20 0 DDADMM COc1ncccc1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821920246 606501836 /nfs/dbraw/zinc/50/18/36/606501836.db2.gz OTZXEUOXNRYAEA-UHFFFAOYSA-N -1 1 316.346 1.262 20 0 DDADMM COCCN(CC(C)C)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821689184 606507321 /nfs/dbraw/zinc/50/73/21/606507321.db2.gz OKRFMPBGYZZMBM-UHFFFAOYSA-N -1 1 309.395 1.673 20 0 DDADMM COCCN(CC(C)C)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821689184 606507319 /nfs/dbraw/zinc/50/73/19/606507319.db2.gz OKRFMPBGYZZMBM-UHFFFAOYSA-N -1 1 309.395 1.673 20 0 DDADMM Cc1ccc(CCNC(=O)c2ccc(-c3nnn[n-]3)s2)o1 ZINC000822235940 606510633 /nfs/dbraw/zinc/51/06/33/606510633.db2.gz CKANVTUGNUFIOB-UHFFFAOYSA-N -1 1 303.347 1.802 20 0 DDADMM Cc1ccc(CCNC(=O)c2ccc(-c3nn[n-]n3)s2)o1 ZINC000822235940 606510635 /nfs/dbraw/zinc/51/06/35/606510635.db2.gz CKANVTUGNUFIOB-UHFFFAOYSA-N -1 1 303.347 1.802 20 0 DDADMM Cc1ncc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)s1 ZINC000822471453 606533239 /nfs/dbraw/zinc/53/32/39/606533239.db2.gz CFFHMQCHUCVRKU-UHFFFAOYSA-N -1 1 306.376 1.623 20 0 DDADMM Cc1ncc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)s1 ZINC000822471453 606533241 /nfs/dbraw/zinc/53/32/41/606533241.db2.gz CFFHMQCHUCVRKU-UHFFFAOYSA-N -1 1 306.376 1.623 20 0 DDADMM COC[C@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821683899 606561814 /nfs/dbraw/zinc/56/18/14/606561814.db2.gz MVJKIIUKIBBSLS-VIFPVBQESA-N -1 1 307.379 1.427 20 0 DDADMM COC[C@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821683899 606561816 /nfs/dbraw/zinc/56/18/16/606561816.db2.gz MVJKIIUKIBBSLS-VIFPVBQESA-N -1 1 307.379 1.427 20 0 DDADMM C[C@@H](Cc1ccncc1)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820301051 606588197 /nfs/dbraw/zinc/58/81/97/606588197.db2.gz UHJDJXVTOPHLPU-JTQLQIEISA-N -1 1 309.333 1.018 20 0 DDADMM C[C@@H](Cc1ccncc1)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820301051 606588199 /nfs/dbraw/zinc/58/81/99/606588199.db2.gz UHJDJXVTOPHLPU-JTQLQIEISA-N -1 1 309.333 1.018 20 0 DDADMM CCc1nc2n(n1)CCC[C@@H]2NCc1ccc(-c2nnn[n-]2)o1 ZINC000821508949 606732408 /nfs/dbraw/zinc/73/24/08/606732408.db2.gz CLDAQUZQUDWZHM-JTQLQIEISA-N -1 1 314.353 1.238 20 0 DDADMM CCc1nc2n(n1)CCC[C@@H]2NCc1ccc(-c2nn[n-]n2)o1 ZINC000821508949 606732409 /nfs/dbraw/zinc/73/24/09/606732409.db2.gz CLDAQUZQUDWZHM-JTQLQIEISA-N -1 1 314.353 1.238 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1ccn(C2CCCC2)n1 ZINC000823657527 606838983 /nfs/dbraw/zinc/83/89/83/606838983.db2.gz QUYQLJJENVWAQO-UHFFFAOYSA-N -1 1 311.349 1.388 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)Cc1ccc(F)cc1Cl ZINC000822903812 607147103 /nfs/dbraw/zinc/14/71/03/607147103.db2.gz OZPCNEKTKJEROZ-UHFFFAOYSA-N -1 1 321.703 1.321 20 0 DDADMM C[C@@H]1Oc2ccc(Nc3cccc(-c4nnn[n-]4)n3)cc2NC1=O ZINC000825019804 607895314 /nfs/dbraw/zinc/89/53/14/607895314.db2.gz GXOBPPKVEMTTAI-QMMMGPOBSA-N -1 1 323.316 1.725 20 0 DDADMM C[C@@H]1Oc2ccc(Nc3cccc(-c4nn[n-]n4)n3)cc2NC1=O ZINC000825019804 607895315 /nfs/dbraw/zinc/89/53/15/607895315.db2.gz GXOBPPKVEMTTAI-QMMMGPOBSA-N -1 1 323.316 1.725 20 0 DDADMM CN(C)C(=O)c1cccc(Oc2cccc(-c3nnn[n-]3)n2)c1 ZINC000825848912 607896447 /nfs/dbraw/zinc/89/64/47/607896447.db2.gz HOVVVHAYYLZFGS-UHFFFAOYSA-N -1 1 310.317 1.756 20 0 DDADMM CN(C)C(=O)c1cccc(Oc2cccc(-c3nn[n-]n3)n2)c1 ZINC000825848912 607896448 /nfs/dbraw/zinc/89/64/48/607896448.db2.gz HOVVVHAYYLZFGS-UHFFFAOYSA-N -1 1 310.317 1.756 20 0 DDADMM CCCCC[C@@H](Nc1cccc(-c2nnn[n-]2)n1)C(=O)OC ZINC000825268188 607901336 /nfs/dbraw/zinc/90/13/36/607901336.db2.gz RRGMWHXURCLQCV-LLVKDONJSA-N -1 1 304.354 1.796 20 0 DDADMM CCCCC[C@@H](Nc1cccc(-c2nn[n-]n2)n1)C(=O)OC ZINC000825268188 607901337 /nfs/dbraw/zinc/90/13/37/607901337.db2.gz RRGMWHXURCLQCV-LLVKDONJSA-N -1 1 304.354 1.796 20 0 DDADMM CCOC(=O)c1cc(Nc2cccc(-c3nnn[n-]3)n2)cn1C ZINC000825559202 607902122 /nfs/dbraw/zinc/90/21/22/607902122.db2.gz DAOXTTHAWRFSMC-UHFFFAOYSA-N -1 1 313.321 1.521 20 0 DDADMM CCOC(=O)c1cc(Nc2cccc(-c3nn[n-]n3)n2)cn1C ZINC000825559202 607902123 /nfs/dbraw/zinc/90/21/23/607902123.db2.gz DAOXTTHAWRFSMC-UHFFFAOYSA-N -1 1 313.321 1.521 20 0 DDADMM Cc1cc(CNc2c3ccccc3nnc2-c2nnn[n-]2)ncn1 ZINC000826267282 607935179 /nfs/dbraw/zinc/93/51/79/607935179.db2.gz YOHIMJXQUPLHGE-UHFFFAOYSA-N -1 1 319.332 1.520 20 0 DDADMM Cc1cc(CNc2c3ccccc3nnc2-c2nn[n-]n2)ncn1 ZINC000826267282 607935180 /nfs/dbraw/zinc/93/51/80/607935180.db2.gz YOHIMJXQUPLHGE-UHFFFAOYSA-N -1 1 319.332 1.520 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)C2CCC(F)(F)CC2)n1 ZINC000826356795 608005813 /nfs/dbraw/zinc/00/58/13/608005813.db2.gz FZQFVULCDKXWPL-UHFFFAOYSA-N -1 1 311.296 1.364 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)C2CCC(F)(F)CC2)n1 ZINC000826356795 608005814 /nfs/dbraw/zinc/00/58/14/608005814.db2.gz FZQFVULCDKXWPL-UHFFFAOYSA-N -1 1 311.296 1.364 20 0 DDADMM O=C(COC(=O)c1sccc1-c1nn[n-]n1)C1CCOCC1 ZINC000826462936 608171851 /nfs/dbraw/zinc/17/18/51/608171851.db2.gz OGGUMUHZOSEZQW-UHFFFAOYSA-N -1 1 322.346 1.081 20 0 DDADMM CC(C)[C@@H]1C[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000824215850 608250368 /nfs/dbraw/zinc/25/03/68/608250368.db2.gz MVVPAQBLBTTWLX-YPMHNXCESA-N -1 1 316.365 1.195 20 0 DDADMM CC(C)[C@@H]1C[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000824215850 608250370 /nfs/dbraw/zinc/25/03/70/608250370.db2.gz MVVPAQBLBTTWLX-YPMHNXCESA-N -1 1 316.365 1.195 20 0 DDADMM OC[C@H](Cc1ccc(F)cc1)Nc1cccc(-c2nnn[n-]2)n1 ZINC000826507720 608305639 /nfs/dbraw/zinc/30/56/39/608305639.db2.gz VFMSQRZOIWQAPI-LBPRGKRZSA-N -1 1 314.324 1.416 20 0 DDADMM OC[C@H](Cc1ccc(F)cc1)Nc1cccc(-c2nn[n-]n2)n1 ZINC000826507720 608305640 /nfs/dbraw/zinc/30/56/40/608305640.db2.gz VFMSQRZOIWQAPI-LBPRGKRZSA-N -1 1 314.324 1.416 20 0 DDADMM c1ccc(-c2nc(Sc3ccc(-c4nn[n-]n4)nn3)n[nH]2)cc1 ZINC000826519335 608395763 /nfs/dbraw/zinc/39/57/63/608395763.db2.gz URYMFCBCNSOGKT-UHFFFAOYSA-N -1 1 323.345 1.593 20 0 DDADMM Cc1nc([C@@H]2CCCCN2c2ccc(-c3nnn[n-]3)nn2)no1 ZINC000826325375 608401944 /nfs/dbraw/zinc/40/19/44/608401944.db2.gz VPHNCYYOMBJNCC-JTQLQIEISA-N -1 1 313.325 1.080 20 0 DDADMM Cc1nc([C@@H]2CCCCN2c2ccc(-c3nn[n-]n3)nn2)no1 ZINC000826325375 608401946 /nfs/dbraw/zinc/40/19/46/608401946.db2.gz VPHNCYYOMBJNCC-JTQLQIEISA-N -1 1 313.325 1.080 20 0 DDADMM Cc1ccc(OC[C@H](C)Nc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826292435 608405247 /nfs/dbraw/zinc/40/52/47/608405247.db2.gz VEKRIIZXLIBZHA-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM Cc1ccc(OC[C@H](C)Nc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826292435 608405249 /nfs/dbraw/zinc/40/52/49/608405249.db2.gz VEKRIIZXLIBZHA-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM Cc1ccc(O[C@@H](C)CNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826292328 608424775 /nfs/dbraw/zinc/42/47/75/608424775.db2.gz QMPKTBYTQOVQKU-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM Cc1ccc(O[C@@H](C)CNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826292328 608424776 /nfs/dbraw/zinc/42/47/76/608424776.db2.gz QMPKTBYTQOVQKU-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM CCOc1cc(C)ccc1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000825615988 608431764 /nfs/dbraw/zinc/43/17/64/608431764.db2.gz RTZSSYZUWGWEAL-UHFFFAOYSA-N -1 1 311.349 1.976 20 0 DDADMM CCOc1cc(C)ccc1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000825615988 608431766 /nfs/dbraw/zinc/43/17/66/608431766.db2.gz RTZSSYZUWGWEAL-UHFFFAOYSA-N -1 1 311.349 1.976 20 0 DDADMM O=C1C[C@H](c2cccc(Nc3ccc(-c4nnn[n-]4)nn3)c2)CN1 ZINC000826478899 608436116 /nfs/dbraw/zinc/43/61/16/608436116.db2.gz LQSYSBBBJAYFHT-JTQLQIEISA-N -1 1 322.332 1.004 20 0 DDADMM O=C1C[C@H](c2cccc(Nc3ccc(-c4nn[n-]n4)nn3)c2)CN1 ZINC000826478899 608436117 /nfs/dbraw/zinc/43/61/17/608436117.db2.gz LQSYSBBBJAYFHT-JTQLQIEISA-N -1 1 322.332 1.004 20 0 DDADMM C[C@@H]1[C@H](C)[S@](=O)CCN1Cc1ccc(-c2nn[n-]n2)s1 ZINC000824860712 608714257 /nfs/dbraw/zinc/71/42/57/608714257.db2.gz XFWCWRJQDFFLGR-SNGHESPRSA-N -1 1 311.436 1.270 20 0 DDADMM CSc1ccc(NC(=O)Cn2ccnc2-c2nnn[n-]2)cc1 ZINC000826231058 608887352 /nfs/dbraw/zinc/88/73/52/608887352.db2.gz VBQDRJKDNSEOFN-UHFFFAOYSA-N -1 1 315.362 1.424 20 0 DDADMM CSc1ccc(NC(=O)Cn2ccnc2-c2nn[n-]n2)cc1 ZINC000826231058 608887355 /nfs/dbraw/zinc/88/73/55/608887355.db2.gz VBQDRJKDNSEOFN-UHFFFAOYSA-N -1 1 315.362 1.424 20 0 DDADMM CCc1ccc(CNC(=O)Cn2ccnc2-c2nnn[n-]2)s1 ZINC000825712101 608887879 /nfs/dbraw/zinc/88/78/79/608887879.db2.gz KAOZXSHCRSDSEO-UHFFFAOYSA-N -1 1 317.378 1.004 20 0 DDADMM CCc1ccc(CNC(=O)Cn2ccnc2-c2nn[n-]n2)s1 ZINC000825712101 608887881 /nfs/dbraw/zinc/88/78/81/608887881.db2.gz KAOZXSHCRSDSEO-UHFFFAOYSA-N -1 1 317.378 1.004 20 0 DDADMM C[C@@H]1C[C@H](C(=O)n2ncc(-c3nn[n-]n3)c2N)CC(C)(C)C1 ZINC000824876448 609149710 /nfs/dbraw/zinc/14/97/10/609149710.db2.gz SLLUUBPJAXNFEC-BDAKNGLRSA-N -1 1 303.370 1.748 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CC23CCCCC3)c1-c1nnn[n-]1 ZINC000826346247 609471162 /nfs/dbraw/zinc/47/11/62/609471162.db2.gz HNEBYVIJXBGILQ-LLVKDONJSA-N -1 1 316.365 1.545 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CC23CCCCC3)c1-c1nn[n-]n1 ZINC000826346247 609471165 /nfs/dbraw/zinc/47/11/65/609471165.db2.gz HNEBYVIJXBGILQ-LLVKDONJSA-N -1 1 316.365 1.545 20 0 DDADMM COCc1nc(COc2cccc(F)c2-c2nnn[n-]2)no1 ZINC000826166022 609517241 /nfs/dbraw/zinc/51/72/41/609517241.db2.gz SIFDQAZOIDCCPS-UHFFFAOYSA-N -1 1 306.257 1.114 20 0 DDADMM COCc1nc(COc2cccc(F)c2-c2nn[n-]n2)no1 ZINC000826166022 609517244 /nfs/dbraw/zinc/51/72/44/609517244.db2.gz SIFDQAZOIDCCPS-UHFFFAOYSA-N -1 1 306.257 1.114 20 0 DDADMM C[C@@H](C[S@@](C)=O)Nc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000824447870 609597352 /nfs/dbraw/zinc/59/73/52/609597352.db2.gz CLKNICYZCWYTQV-GTUYJWLHSA-N -1 1 316.390 1.594 20 0 DDADMM C[C@@H](C[S@@](C)=O)Nc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000824447870 609597354 /nfs/dbraw/zinc/59/73/54/609597354.db2.gz CLKNICYZCWYTQV-GTUYJWLHSA-N -1 1 316.390 1.594 20 0 DDADMM O=C(C[C@@H](O)C(Cl)(Cl)Cl)[N-]OCc1cccnc1 ZINC000907091247 712485441 /nfs/dbraw/zinc/48/54/41/712485441.db2.gz PRAFQBGEHKDEGI-MRVPVSSYSA-N -1 1 313.568 1.751 20 0 DDADMM CC(C)(O)[C@H](O)C(=O)Nc1cccc([O-])c1Br ZINC000908709184 712839143 /nfs/dbraw/zinc/83/91/43/712839143.db2.gz BFHPRRRCODOCOR-SECBINFHSA-N -1 1 304.140 1.225 20 0 DDADMM C[C@@H](C(=O)[O-])N(C(=O)N[C@@H]1CCCc2cn[nH]c21)C1CCC1 ZINC000797723701 700021044 /nfs/dbraw/zinc/02/10/44/700021044.db2.gz FXVUITHOGHRAGX-JOYOIKCWSA-N -1 1 306.366 1.824 20 0 DDADMM CC(Nc1ccc(F)c(F)c1F)=C1C(=O)[N-]C(=S)NC1=O ZINC000009769245 696037279 /nfs/dbraw/zinc/03/72/79/696037279.db2.gz YOSYNYRHNUUIRX-UHFFFAOYSA-N -1 1 315.276 1.321 20 0 DDADMM CCn1cnnc1CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747384479 700067177 /nfs/dbraw/zinc/06/71/77/700067177.db2.gz VKDNSSNJZWIFCT-UHFFFAOYSA-N -1 1 318.381 1.198 20 0 DDADMM O=C([N-]NC(=O)c1cc(-c2ccccc2)on1)c1ccccn1 ZINC000032193341 696123361 /nfs/dbraw/zinc/12/33/61/696123361.db2.gz IZBBEZSTCHVNLX-UHFFFAOYSA-N -1 1 308.297 1.811 20 0 DDADMM Cc1csc(C[N-]S(=O)(=O)c2c(C)nn(C)c2Cl)n1 ZINC000048665635 696216224 /nfs/dbraw/zinc/21/62/24/696216224.db2.gz NSYIJIOXIMILMD-UHFFFAOYSA-N -1 1 320.827 1.625 20 0 DDADMM C[C@H](OC[C@H]1CCCCO1)C(=O)NCc1n[n-]c(=S)n1C ZINC000066626063 696353878 /nfs/dbraw/zinc/35/38/78/696353878.db2.gz RXCYSGSHQDDGRH-VHSXEESVSA-N -1 1 314.411 1.068 20 0 DDADMM CCn1c(CNC(=O)CCCc2ccccn2)n[n-]c1=S ZINC000066636583 696354204 /nfs/dbraw/zinc/35/42/04/696354204.db2.gz HOIHHEVEIWBSQW-UHFFFAOYSA-N -1 1 305.407 1.995 20 0 DDADMM O=C(NCc1n[n-]c(=S)n1C1CC1)c1cn2cccnc2n1 ZINC000066638000 696354255 /nfs/dbraw/zinc/35/42/55/696354255.db2.gz ZBTLYKQNUTYOSO-UHFFFAOYSA-N -1 1 315.362 1.248 20 0 DDADMM COc1ccc([C@@H]2C[C@H]2C(=O)NCc2n[n-]c(=S)n2C)cc1 ZINC000066626397 696354291 /nfs/dbraw/zinc/35/42/91/696354291.db2.gz XHPXFTXCSLKSIN-NWDGAFQWSA-N -1 1 318.402 1.906 20 0 DDADMM CCn1c(CCNC(=O)c2ccc(C)nc2C)n[n-]c1=S ZINC000067076643 696359051 /nfs/dbraw/zinc/35/90/51/696359051.db2.gz ROKFKVHEIXHEHL-UHFFFAOYSA-N -1 1 305.407 1.945 20 0 DDADMM CCN(Cc1ccccc1C)C(=O)Cc1sc(N)nc1[O-] ZINC000079488275 696460730 /nfs/dbraw/zinc/46/07/30/696460730.db2.gz GVFHNIIMHCSURB-LBPRGKRZSA-N -1 1 305.403 1.690 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@@H]2c2cccc(F)c2)s1 ZINC000079663861 696462930 /nfs/dbraw/zinc/46/29/30/696462930.db2.gz XECMQMQCYOMXGH-NEPJUHHUSA-N -1 1 321.377 1.836 20 0 DDADMM Cn1c(CCNC(=O)Cc2cc(F)ccc2F)n[n-]c1=S ZINC000080038407 696530026 /nfs/dbraw/zinc/53/00/26/696530026.db2.gz RABXTQYVTIKLOJ-UHFFFAOYSA-N -1 1 312.345 1.657 20 0 DDADMM C[C@@H]1C[C@@H](C)CN(S(=O)(=O)Nc2cc(C#N)ccc2[O-])C1 ZINC000080941252 696539164 /nfs/dbraw/zinc/53/91/64/696539164.db2.gz MWBGIBDGZNKHIK-GHMZBOCLSA-N -1 1 309.391 1.898 20 0 DDADMM O=C(N[C@H]1C[C@H](CNC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000979394478 696546217 /nfs/dbraw/zinc/54/62/17/696546217.db2.gz IHFDFKMKUIYFQH-UMSPYCQHSA-N -1 1 303.362 1.212 20 0 DDADMM O=C(C[C@H](O)c1cc(Cl)cc(Cl)c1)Nc1nnn[n-]1 ZINC000089245068 696573045 /nfs/dbraw/zinc/57/30/45/696573045.db2.gz KRCNIDKMSHGWFP-QMMMGPOBSA-N -1 1 302.121 1.569 20 0 DDADMM O=C(C[C@H](O)c1cc(Cl)cc(Cl)c1)Nc1nn[n-]n1 ZINC000089245068 696573047 /nfs/dbraw/zinc/57/30/47/696573047.db2.gz KRCNIDKMSHGWFP-QMMMGPOBSA-N -1 1 302.121 1.569 20 0 DDADMM CCc1nn(C)cc1C(=O)NCCc1n[n-]c(=S)n1CC ZINC000092441790 696594724 /nfs/dbraw/zinc/59/47/24/696594724.db2.gz WRDXUIMHNPTTRK-UHFFFAOYSA-N -1 1 308.411 1.229 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cccc3c2CCCC3)s1 ZINC000120859838 696702209 /nfs/dbraw/zinc/70/22/09/696702209.db2.gz MTKMRIBCLYOGQQ-LBPRGKRZSA-N -1 1 303.387 1.851 20 0 DDADMM CC(C)[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000120852563 696702400 /nfs/dbraw/zinc/70/24/00/696702400.db2.gz YQYQEPZLHNOWQA-WCQYABFASA-N -1 1 305.403 1.847 20 0 DDADMM CC[C@H](F)CN1CCCC2(CN(C(=O)c3n[nH]c(C)c3[O-])C2)C1 ZINC000981719288 696889620 /nfs/dbraw/zinc/88/96/20/696889620.db2.gz AMVQRDMGBWCKIR-LBPRGKRZSA-N -1 1 324.400 1.710 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)[C@@H](C)Cc2ccc(F)cc2)n[nH]1 ZINC000153286881 696912642 /nfs/dbraw/zinc/91/26/42/696912642.db2.gz SQDWPMHJAIIKNV-VIFPVBQESA-N -1 1 304.325 1.497 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000981893587 696959345 /nfs/dbraw/zinc/95/93/45/696959345.db2.gz PRNHHFQDATZKHG-YVECIDJPSA-N -1 1 317.389 1.458 20 0 DDADMM Cc1noc([C@H](C)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000799173469 700126474 /nfs/dbraw/zinc/12/64/74/700126474.db2.gz CGYIWPQYXXLJIO-QMMMGPOBSA-N -1 1 320.315 1.830 20 0 DDADMM O=c1cc(CN2CCC3(C2)OCCO3)c2ccc([O-])cc2o1 ZINC000160621065 697318690 /nfs/dbraw/zinc/31/86/90/697318690.db2.gz HKKAUCYCMLLGFK-UHFFFAOYSA-N -1 1 303.314 1.447 20 0 DDADMM CC(=O)N1CCC[C@@H]2[C@H](NC(=O)c3ncccc3[O-])C(C)(C)[C@H]21 ZINC000984170014 697350048 /nfs/dbraw/zinc/35/00/48/697350048.db2.gz MQTGPLWLVNZPHC-UGFHNGPFSA-N -1 1 317.389 1.553 20 0 DDADMM CC(=O)N(C)[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000984204180 697355799 /nfs/dbraw/zinc/35/57/99/697355799.db2.gz GYVXEZHWGUDETP-GFCCVEGCSA-N -1 1 318.377 1.143 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2c(C)nn(C)c2Cl)s1 ZINC000173634881 697380155 /nfs/dbraw/zinc/38/01/55/697380155.db2.gz ZYDWTENNVRHCQO-UHFFFAOYSA-N -1 1 320.827 1.625 20 0 DDADMM O=C([N-]OCc1ccccc1)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000177646081 697414926 /nfs/dbraw/zinc/41/49/26/697414926.db2.gz PQXXRPPDSWAHLW-LBPRGKRZSA-N -1 1 300.318 1.011 20 0 DDADMM N#Cc1cc(C(=O)NNC(=O)c2cc(Cl)ccc2[O-])c[nH]1 ZINC000181126335 697454998 /nfs/dbraw/zinc/45/49/98/697454998.db2.gz HZZKXIPKMMEEHK-UHFFFAOYSA-N -1 1 304.693 1.320 20 0 DDADMM CC(Cl)(Cl)C(=O)[N-][C@H]1CCCN(CC(F)(F)F)C1=O ZINC000182165282 697471133 /nfs/dbraw/zinc/47/11/33/697471133.db2.gz ASVGLNFSKNPYSF-LURJTMIESA-N -1 1 321.126 1.850 20 0 DDADMM Cc1ccccc1[C@@H](O)CNC(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000187089534 697541095 /nfs/dbraw/zinc/54/10/95/697541095.db2.gz NDGHHMWRAZUWFP-ZDUSSCGKSA-N -1 1 317.301 1.467 20 0 DDADMM Cc1ccccc1[C@H](O)CNC(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000187089515 697541105 /nfs/dbraw/zinc/54/11/05/697541105.db2.gz NDGHHMWRAZUWFP-CYBMUJFWSA-N -1 1 317.301 1.467 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985682050 697555160 /nfs/dbraw/zinc/55/51/60/697555160.db2.gz LHNOFNFVPWCOAG-LLVKDONJSA-N -1 1 309.414 1.225 20 0 DDADMM C[C@H]1CCS(=O)(=O)CCN1C(=O)c1cc(Cl)ccc1[O-] ZINC000191763722 697613597 /nfs/dbraw/zinc/61/35/97/697613597.db2.gz YMPPCOLOODEUIC-VIFPVBQESA-N -1 1 317.794 1.695 20 0 DDADMM CC(=O)CCCCCCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000772663929 697658736 /nfs/dbraw/zinc/65/87/36/697658736.db2.gz NNCYLFMPEUCQER-LBPRGKRZSA-N -1 1 309.370 1.029 20 0 DDADMM CCCN(CC(=O)OC)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000194648249 697717581 /nfs/dbraw/zinc/71/75/81/697717581.db2.gz JIGJQRLTLHKEIY-UHFFFAOYSA-N -1 1 305.330 1.884 20 0 DDADMM C[C@H]1[C@@H](NCc2ccon2)CCN1C(=O)c1ncccc1[O-] ZINC000986173544 697719502 /nfs/dbraw/zinc/71/95/02/697719502.db2.gz VUCUSIKKOHMNDV-JQWIXIFHSA-N -1 1 302.334 1.168 20 0 DDADMM Cc1nc(CN[C@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)co1 ZINC000986174193 697719816 /nfs/dbraw/zinc/71/98/16/697719816.db2.gz XXOAAOVQFKLTBP-MFKMUULPSA-N -1 1 316.361 1.476 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1 ZINC000773161393 697722275 /nfs/dbraw/zinc/72/22/75/697722275.db2.gz YTRACBGWJGENCH-LBPRGKRZSA-N -1 1 317.374 1.333 20 0 DDADMM O=C(C=Cc1cccnc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000773495802 697768528 /nfs/dbraw/zinc/76/85/28/697768528.db2.gz IAQNZSBGLKFQIL-ONEGZZNKSA-N -1 1 300.318 1.590 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1(C)C ZINC000227836310 697772092 /nfs/dbraw/zinc/77/20/92/697772092.db2.gz MDFHWIOYPNDMKC-YUMQZZPRSA-N -1 1 307.803 1.165 20 0 DDADMM Cc1nc(C(=O)OCCC[N-]C(=O)C(F)(F)F)sc1C ZINC000773523752 697772864 /nfs/dbraw/zinc/77/28/64/697772864.db2.gz HAIQWCOIDZIHDC-UHFFFAOYSA-N -1 1 310.297 1.985 20 0 DDADMM COC(=O)c1coc(C(=O)COC(=O)c2ccc([O-])cc2F)c1 ZINC000773567405 697782372 /nfs/dbraw/zinc/78/23/72/697782372.db2.gz SHZJPWBZQZHIDN-UHFFFAOYSA-N -1 1 322.244 1.951 20 0 DDADMM CC(C)C1(O)CN(C(=O)c2ccc(Br)c([O-])c2)C1 ZINC000232530156 697788864 /nfs/dbraw/zinc/78/88/64/697788864.db2.gz BSSDFNDSJSXSCD-UHFFFAOYSA-N -1 1 314.179 1.998 20 0 DDADMM O=C([N-]CCCOC(=O)c1cc(C2CC2)[nH]n1)C(F)(F)F ZINC000774912891 697941672 /nfs/dbraw/zinc/94/16/72/697941672.db2.gz GSAPGKOAUWHNDD-UHFFFAOYSA-N -1 1 305.256 1.513 20 0 DDADMM CSc1ccccc1CC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000777391875 698186255 /nfs/dbraw/zinc/18/62/55/698186255.db2.gz VYVUVNUQSFLJMU-NSHDSACASA-N -1 1 319.390 1.064 20 0 DDADMM CCc1ccc(C(=O)OC)c(OS(=O)(=O)c2c[n-]cn2)c1 ZINC000778102028 698241631 /nfs/dbraw/zinc/24/16/31/698241631.db2.gz AXVKTBXDBKEQTK-UHFFFAOYSA-N -1 1 310.331 1.526 20 0 DDADMM CN1CCN(c2ccccc2NC(=O)c2ncccc2[O-])CC1 ZINC000778373039 698269602 /nfs/dbraw/zinc/26/96/02/698269602.db2.gz XVJWGDGGGJQIJH-UHFFFAOYSA-N -1 1 312.373 1.791 20 0 DDADMM CCCC[C@H](C)C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000800403685 700222315 /nfs/dbraw/zinc/22/23/15/700222315.db2.gz ARHJDFKGGLOMEA-JTQLQIEISA-N -1 1 306.366 1.638 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1cc(-c2ccc(F)cc2)[nH]n1 ZINC000779373841 698418527 /nfs/dbraw/zinc/41/85/27/698418527.db2.gz WUYPRQFWQCLXJP-UHFFFAOYSA-N -1 1 303.297 1.276 20 0 DDADMM Cc1cc(CN[C@H]2C[C@@H](C)N(C(=O)c3n[nH]c(C)c3[O-])C2)on1 ZINC000988662343 698430326 /nfs/dbraw/zinc/43/03/26/698430326.db2.gz MJBOZERDBIJDAE-KOLCDFICSA-N -1 1 319.365 1.113 20 0 DDADMM C[C@@H]1C[C@H](NCc2nncs2)CN1C(=O)c1ncccc1[O-] ZINC000988692534 698439671 /nfs/dbraw/zinc/43/96/71/698439671.db2.gz WDZHQSLZFNLARU-ZJUUUORDSA-N -1 1 319.390 1.032 20 0 DDADMM O=C([O-])[C@@H](CC(F)(F)F)NC(=O)c1cc(F)cc2nc[nH]c21 ZINC000263073235 698489714 /nfs/dbraw/zinc/48/97/14/698489714.db2.gz UIBASNNRFGARMX-MRVPVSSYSA-N -1 1 319.214 1.837 20 0 DDADMM CN(C)[C@H](CNC(=O)N(CC(=O)[O-])C1CC1)c1cccc(F)c1 ZINC000780361712 698511077 /nfs/dbraw/zinc/51/10/77/698511077.db2.gz ZVHSDHPJQFXLCW-CQSZACIVSA-N -1 1 323.368 1.687 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)N(CC(=O)[O-])C1CC1)c1ccco1 ZINC000780486545 698523622 /nfs/dbraw/zinc/52/36/22/698523622.db2.gz VSQQZTXHVOHHKO-ZDUSSCGKSA-N -1 1 323.393 1.921 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(F)c(C)c2)C1 ZINC000780616331 698538714 /nfs/dbraw/zinc/53/87/14/698538714.db2.gz YHHGKZKGGLTODG-LLVKDONJSA-N -1 1 310.325 1.181 20 0 DDADMM C[C@H](O)C[C@H](C)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000782995180 698795997 /nfs/dbraw/zinc/79/59/97/698795997.db2.gz ACCPFVGNFALUKQ-BQBZGAKWSA-N -1 1 313.206 1.826 20 0 DDADMM O=C([N-]CCC[S@@](=O)c1ccc(CO)cc1)C(F)(F)F ZINC000783724585 698870587 /nfs/dbraw/zinc/87/05/87/698870587.db2.gz IGJHKUTZSQOXGS-HXUWFJFHSA-N -1 1 309.309 1.355 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2ccc(Cl)nc2)s1 ZINC000392043543 699099716 /nfs/dbraw/zinc/09/97/16/699099716.db2.gz FCLLCIMFYZMKFH-UHFFFAOYSA-N -1 1 304.784 1.373 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC(C)(C)C(C)(C)O)c1Cl ZINC000393581706 699103402 /nfs/dbraw/zinc/10/34/02/699103402.db2.gz XCXJVKBELSJKCT-UHFFFAOYSA-N -1 1 309.819 1.149 20 0 DDADMM CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])CC(C)(C)C1 ZINC000990678886 699129356 /nfs/dbraw/zinc/12/93/56/699129356.db2.gz AJUGGYQDGKCTPM-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000786317571 699139831 /nfs/dbraw/zinc/13/98/31/699139831.db2.gz AEZCKAYDXYYCPT-SSDOTTSWSA-N -1 1 321.299 1.742 20 0 DDADMM CCOC(CC[N-]S(=O)(=O)c1nc(C)c(C)s1)OCC ZINC000786898684 699177749 /nfs/dbraw/zinc/17/77/49/699177749.db2.gz LOOCYADILXEDDG-UHFFFAOYSA-N -1 1 322.452 1.827 20 0 DDADMM CCC1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CCCC1 ZINC000990973220 699196796 /nfs/dbraw/zinc/19/67/96/699196796.db2.gz XGSQXBIYAOFISE-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)(CNS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1[O-] ZINC000708276407 699249670 /nfs/dbraw/zinc/24/96/70/699249670.db2.gz YEOBZRWYXATXLP-UHFFFAOYSA-N -1 1 320.798 1.103 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC[C@H](F)C1 ZINC000991308727 699298105 /nfs/dbraw/zinc/29/81/05/699298105.db2.gz WDFCJDNMVQGSAV-MNOVXSKESA-N -1 1 321.352 1.256 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCc1cscn1 ZINC000728329631 699444492 /nfs/dbraw/zinc/44/44/92/699444492.db2.gz RBBQUFMWNVWDGF-UHFFFAOYSA-N -1 1 318.401 1.933 20 0 DDADMM Cc1[nH]nc(NC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)c1C ZINC000729526701 699481654 /nfs/dbraw/zinc/48/16/54/699481654.db2.gz JLCWRKYOCKJNSL-UHFFFAOYSA-N -1 1 314.349 1.573 20 0 DDADMM Cc1cc(C)cc([C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000730591811 699513196 /nfs/dbraw/zinc/51/31/96/699513196.db2.gz LFONWWHZXMTXHH-CYBMUJFWSA-N -1 1 315.373 1.421 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCC(=O)C(C)C ZINC000730847375 699517375 /nfs/dbraw/zinc/51/73/75/699517375.db2.gz PAMAKSYLQDWXBA-UHFFFAOYSA-N -1 1 313.375 1.830 20 0 DDADMM Cc1ccc2[nH]c(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)cc2c1 ZINC000732091027 699546086 /nfs/dbraw/zinc/54/60/86/699546086.db2.gz YEKHLFJAJPEBOR-CYBMUJFWSA-N -1 1 312.333 1.203 20 0 DDADMM CC(C)Cc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000732092242 699546118 /nfs/dbraw/zinc/54/61/18/699546118.db2.gz WYMFQEVPBGGCQD-AWEZNQCLSA-N -1 1 315.377 1.612 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000732834302 699572802 /nfs/dbraw/zinc/57/28/02/699572802.db2.gz PLYNSDAQAIKLOF-MRVPVSSYSA-N -1 1 317.267 1.022 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H](c1cccs1)C1CC1 ZINC000733128896 699579745 /nfs/dbraw/zinc/57/97/45/699579745.db2.gz XRKVYCFCQYKKQO-ZDUSSCGKSA-N -1 1 319.386 1.256 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)OC[C@H](C)O ZINC000791766286 699653331 /nfs/dbraw/zinc/65/33/31/699653331.db2.gz VTRKHHDSAWSPHK-JTQLQIEISA-N -1 1 301.364 1.376 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCC(C)(C)O2)o1 ZINC000792022311 699670657 /nfs/dbraw/zinc/67/06/57/699670657.db2.gz QEYSJVFVBJWVCN-SECBINFHSA-N -1 1 317.363 1.302 20 0 DDADMM C[C@H]1CCCC[C@H]1OCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000736271973 699722481 /nfs/dbraw/zinc/72/24/81/699722481.db2.gz PLRAEHWTRMBREM-WCQYABFASA-N -1 1 307.398 1.501 20 0 DDADMM CC(C)OC(=O)c1ccc(OS(=O)(=O)c2c[n-]cn2)cc1 ZINC000736626032 699728791 /nfs/dbraw/zinc/72/87/91/699728791.db2.gz RDYZBIYSXFFJNG-UHFFFAOYSA-N -1 1 310.331 1.743 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC2(CCCC2)CC1 ZINC000737993672 699749500 /nfs/dbraw/zinc/74/95/00/699749500.db2.gz PBDXDXVXYBSQJM-UHFFFAOYSA-N -1 1 305.378 1.110 20 0 DDADMM CN(Cn1[n-]c(-c2ccccn2)nc1=S)[C@@H]1CCN(C)C1=O ZINC000738269371 699756351 /nfs/dbraw/zinc/75/63/51/699756351.db2.gz TWTNZFPQFAHVSI-LLVKDONJSA-N -1 1 318.406 1.123 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2ccc(C(=O)OC)cc2)[n-]1 ZINC000796343901 699927976 /nfs/dbraw/zinc/92/79/76/699927976.db2.gz HMOQAOHTPOLXNP-UHFFFAOYSA-N -1 1 317.297 1.945 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cc(C(=O)OC)oc2C)[n-]1 ZINC000796363186 699929589 /nfs/dbraw/zinc/92/95/89/699929589.db2.gz QSJKDAZGQJKWNI-UHFFFAOYSA-N -1 1 321.285 1.846 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2cccc(NC(C)=O)c2)[n-]1 ZINC000796763811 699951152 /nfs/dbraw/zinc/95/11/52/699951152.db2.gz MSBALIWARLIYRG-UHFFFAOYSA-N -1 1 302.286 1.979 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@](C)(O)c1ccco1 ZINC000751409342 700286368 /nfs/dbraw/zinc/28/63/68/700286368.db2.gz XBJXWUOKGVGRQM-MRXNPFEDSA-N -1 1 319.361 1.845 20 0 DDADMM O=C(O[C@@H]1CCNC1=O)c1nn(-c2ccc(Cl)cc2)cc1[O-] ZINC000801375974 700301910 /nfs/dbraw/zinc/30/19/10/700301910.db2.gz UYNGJRJAQZNCRM-LLVKDONJSA-N -1 1 321.720 1.277 20 0 DDADMM CNC(=O)COC(=O)c1nn(-c2cccc(C)c2C)cc1[O-] ZINC000801417309 700305817 /nfs/dbraw/zinc/30/58/17/700305817.db2.gz JSCFAAMOXSVRKX-UHFFFAOYSA-N -1 1 303.318 1.098 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cn3ccc(C(F)(F)F)n3)ccnc1-2 ZINC000801703651 700333179 /nfs/dbraw/zinc/33/31/79/700333179.db2.gz POPKTKMETMETQB-UHFFFAOYSA-N -1 1 324.266 1.196 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2cnnn2C)c(=O)[n-]1 ZINC000809136831 701647917 /nfs/dbraw/zinc/64/79/17/701647917.db2.gz LIERBYXFIYPJBD-UHFFFAOYSA-N -1 1 323.378 1.017 20 0 DDADMM O=C([N-]OCC(F)F)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000759338655 700747357 /nfs/dbraw/zinc/74/73/57/700747357.db2.gz RCTYIRQYNAUTJQ-QMMMGPOBSA-N -1 1 302.252 1.492 20 0 DDADMM O=Cc1cccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1 ZINC000759377694 700749166 /nfs/dbraw/zinc/74/91/66/700749166.db2.gz XFCFWBIFSJYNJX-UHFFFAOYSA-N -1 1 311.293 1.613 20 0 DDADMM CC(C)([N-]C(=O)C(F)(F)F)C(=O)N1CCN(C2CCC2)CC1 ZINC000760328643 700802445 /nfs/dbraw/zinc/80/24/45/700802445.db2.gz FMIINELJEFCJKV-UHFFFAOYSA-N -1 1 321.343 1.140 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OC[C@@H]1CCCOC1 ZINC000760755088 700821986 /nfs/dbraw/zinc/82/19/86/700821986.db2.gz YTKCMJIPYFCHDD-SECBINFHSA-N -1 1 319.404 1.703 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCSC(C)(C)C)o1 ZINC000761178378 700848484 /nfs/dbraw/zinc/84/84/84/700848484.db2.gz KMMUCGJZWHGDMZ-UHFFFAOYSA-N -1 1 321.420 1.876 20 0 DDADMM CCO[C@@H](CC(=O)OCC(=O)[N-]C(=O)c1ccccc1)C(C)C ZINC000761414832 700859603 /nfs/dbraw/zinc/85/96/03/700859603.db2.gz YPMFRMISOZJYHY-AWEZNQCLSA-N -1 1 321.373 1.937 20 0 DDADMM C[C@H](Oc1cccc(Cl)c1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765415941 701010939 /nfs/dbraw/zinc/01/09/39/701010939.db2.gz VWVNYUZOKDXAKP-QMMMGPOBSA-N -1 1 311.725 1.273 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)Nc1ccnc(CO)c1 ZINC000765431884 701012440 /nfs/dbraw/zinc/01/24/40/701012440.db2.gz XZOQLFFTONUFCV-UHFFFAOYSA-N -1 1 316.361 1.928 20 0 DDADMM CCN(CC)c1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765434290 701012694 /nfs/dbraw/zinc/01/26/94/701012694.db2.gz UCIFLYARBFZAOV-UHFFFAOYSA-N -1 1 304.350 1.312 20 0 DDADMM Cn1[n-]c(COC(=O)Cn2ccc3ccc(Cl)cc32)nc1=O ZINC000765457463 701013642 /nfs/dbraw/zinc/01/36/42/701013642.db2.gz JDEHOXRTBZXKGR-UHFFFAOYSA-N -1 1 320.736 1.460 20 0 DDADMM CN(C)C1(C(=O)Nc2cccc(-c3n[nH]c(=O)[n-]3)c2)CCC1 ZINC000803405109 701111273 /nfs/dbraw/zinc/11/12/73/701111273.db2.gz QYZRLYVVJLHUSO-UHFFFAOYSA-N -1 1 301.350 1.600 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@@H]1CCCCC12OCCO2 ZINC000809827200 701693717 /nfs/dbraw/zinc/69/37/17/701693717.db2.gz GCCPVMFTQXFILX-LLVKDONJSA-N -1 1 307.412 1.017 20 0 DDADMM CCNC(=O)CN1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000768250732 701165153 /nfs/dbraw/zinc/16/51/53/701165153.db2.gz BNPOMNDCBKIOIX-UHFFFAOYSA-N -1 1 311.813 1.299 20 0 DDADMM CC(C)CC[C@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000768345772 701170523 /nfs/dbraw/zinc/17/05/23/701170523.db2.gz BKEDDCLETJIWRS-CYBMUJFWSA-N -1 1 307.394 1.354 20 0 DDADMM NC(=O)C1(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)CCC1 ZINC000769094111 701229903 /nfs/dbraw/zinc/22/99/03/701229903.db2.gz RSRPKFCIFCHWID-UHFFFAOYSA-N -1 1 317.297 1.197 20 0 DDADMM COC(=O)C[C@]1(NC(=O)c2ccc([O-])c(F)c2)CCCOC1 ZINC000771656550 701327228 /nfs/dbraw/zinc/32/72/28/701327228.db2.gz NKHDTBVPMDRVJG-OAHLLOKOSA-N -1 1 311.309 1.373 20 0 DDADMM O=S(=O)([N-]Cc1nc(C2CC2)cs1)c1c[nH]nc1Cl ZINC000830884578 706596879 /nfs/dbraw/zinc/59/68/79/706596879.db2.gz OXOFGZXOFORLPP-UHFFFAOYSA-N -1 1 318.811 1.876 20 0 DDADMM Cn1ncc(CNC(=O)c2c([O-])cnc3ccc(Cl)cc32)n1 ZINC000805204764 701371813 /nfs/dbraw/zinc/37/18/13/701371813.db2.gz ILBSQEJJNBLJBH-UHFFFAOYSA-N -1 1 317.736 1.652 20 0 DDADMM COc1ccc(OC)c(NC(=O)[C@H](C)OC(=O)c2cn[n-]n2)c1 ZINC000805606201 701398981 /nfs/dbraw/zinc/39/89/81/701398981.db2.gz UOYWLIXANRPXML-QMMMGPOBSA-N -1 1 320.305 1.006 20 0 DDADMM O=C(Nc1cnn(-c2ccccc2)n1)C(=O)c1ccc([O-])cc1 ZINC000807182677 701468183 /nfs/dbraw/zinc/46/81/83/701468183.db2.gz FZSQUMUDFJGQFY-UHFFFAOYSA-N -1 1 308.297 1.794 20 0 DDADMM C[C@@](O)(CCNC(=O)C(=O)c1ccc([O-])cc1)c1ccccc1 ZINC000807291479 701473188 /nfs/dbraw/zinc/47/31/88/701473188.db2.gz WKEFOWHCRYBROT-GOSISDBHSA-N -1 1 313.353 1.989 20 0 DDADMM CCc1noc(CN2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000810071410 701723855 /nfs/dbraw/zinc/72/38/55/701723855.db2.gz DHJJLDKSGOZQPJ-VIFPVBQESA-N -1 1 320.315 1.523 20 0 DDADMM CC1(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCCCC1 ZINC000830953748 706609938 /nfs/dbraw/zinc/60/99/38/706609938.db2.gz YROCVILCSIXLNH-UHFFFAOYSA-N -1 1 305.378 1.842 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-]C(=O)[C@@]1(F)CCN(C(C)(C)C)C1 ZINC000810671024 701816411 /nfs/dbraw/zinc/81/64/11/701816411.db2.gz PLOKRGVXEZGWCL-ZWNOBZJWSA-N -1 1 308.419 1.443 20 0 DDADMM Cc1ccn(C[C@H](C)C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000868063705 701921354 /nfs/dbraw/zinc/92/13/54/701921354.db2.gz ATKMQJPIALBPPF-JTQLQIEISA-N -1 1 319.365 1.322 20 0 DDADMM CCN(C)[C@@H](C(=O)[N-]OCCCC(=O)OC)c1ccccc1 ZINC000811562333 702006977 /nfs/dbraw/zinc/00/69/77/702006977.db2.gz NCGITIQZUUOLCK-OAHLLOKOSA-N -1 1 308.378 1.680 20 0 DDADMM O=S(=O)([N-][C@H]1CCO[C@H](C2CC2)C1)c1c[nH]nc1Cl ZINC000831115565 706637319 /nfs/dbraw/zinc/63/73/19/706637319.db2.gz IKDGQMBDQSSLPE-IUCAKERBSA-N -1 1 305.787 1.299 20 0 DDADMM C[C@H](CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC000831116907 706637588 /nfs/dbraw/zinc/63/75/88/706637588.db2.gz GWTKUWMHUALNMP-KOLCDFICSA-N -1 1 322.327 1.329 20 0 DDADMM C[C@@H](CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CC1 ZINC000868366384 702089692 /nfs/dbraw/zinc/08/96/92/702089692.db2.gz YCWFTBBZRQKFEC-VIFPVBQESA-N -1 1 306.366 1.100 20 0 DDADMM O=C(Cc1ccc(F)cn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000816525058 702105823 /nfs/dbraw/zinc/10/58/23/702105823.db2.gz CIAYSTQEFFPYPS-UHFFFAOYSA-N -1 1 306.297 1.258 20 0 DDADMM Cc1ccc(NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cn1 ZINC000866184487 706642606 /nfs/dbraw/zinc/64/26/06/706642606.db2.gz OGFGFSUSKPRGFP-UHFFFAOYSA-N -1 1 316.283 1.532 20 0 DDADMM O=C(NCC[C@@H](O)C1CCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000866185738 706643132 /nfs/dbraw/zinc/64/31/32/706643132.db2.gz YJRWISIWAKZCIE-CQSZACIVSA-N -1 1 315.373 1.594 20 0 DDADMM CC(C)OCCC(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000812278985 702134327 /nfs/dbraw/zinc/13/43/27/702134327.db2.gz QMAHZEUQQPAWSN-NSHDSACASA-N -1 1 324.343 1.719 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831149366 706644877 /nfs/dbraw/zinc/64/48/77/706644877.db2.gz NIOJHJXGMHMCIK-SNVBAGLBSA-N -1 1 324.343 1.575 20 0 DDADMM CC(C)C(C)(C)CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868526033 702178288 /nfs/dbraw/zinc/17/82/88/702178288.db2.gz GGGBRZCICKMJQT-UHFFFAOYSA-N -1 1 322.409 1.736 20 0 DDADMM O=C([N-]OC1CCC1)[C@H](c1ccccc1)N1CCC(O)CC1 ZINC000812791104 702240060 /nfs/dbraw/zinc/24/00/60/702240060.db2.gz PBKKMDHTGIHDQF-INIZCTEOSA-N -1 1 304.390 1.785 20 0 DDADMM CC[C@@H](C)CO[N-]C(=O)[C@@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000816978389 702253962 /nfs/dbraw/zinc/25/39/62/702253962.db2.gz SAGWFHDPDZYXOK-TZMCWYRMSA-N -1 1 324.425 1.577 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC[C@@H]1C[C@H]1C1CC1 ZINC000868686815 702265316 /nfs/dbraw/zinc/26/53/16/702265316.db2.gz RRMSSYSABYNSCT-QWRGUYRKSA-N -1 1 318.377 1.100 20 0 DDADMM CC[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@@H](CC)O1 ZINC000817315839 702338344 /nfs/dbraw/zinc/33/83/44/702338344.db2.gz HXLJKZVYKHTKOJ-VXGBXAGGSA-N -1 1 317.393 1.763 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC2(CNC(=O)C2)CC1 ZINC000866266736 706661735 /nfs/dbraw/zinc/66/17/35/706661735.db2.gz PXCXQLLCGBYAHT-UHFFFAOYSA-N -1 1 322.792 1.717 20 0 DDADMM COCc1nc(C)cc(N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000866293725 706667066 /nfs/dbraw/zinc/66/70/66/706667066.db2.gz ADXLBZCZFULPIH-UHFFFAOYSA-N -1 1 318.299 1.046 20 0 DDADMM CNC(=O)N1c2ccccc2C[C@@H]1C[N-]C(=O)C(F)(F)F ZINC000817570865 702413724 /nfs/dbraw/zinc/41/37/24/702413724.db2.gz IIYPQLSIMCMRBF-SECBINFHSA-N -1 1 301.268 1.436 20 0 DDADMM CC(C)Cn1c([O-])c(C(=O)Nc2cccc(F)c2)c(=O)[nH]c1=O ZINC000817571175 702414435 /nfs/dbraw/zinc/41/44/35/702414435.db2.gz OFRUCENINNQLQL-LLVKDONJSA-N -1 1 321.308 1.115 20 0 DDADMM CO[C@@](C)([C@H](C)[N-]S(=O)(=O)c1c[nH]nc1Cl)C1CC1 ZINC000841562035 702499190 /nfs/dbraw/zinc/49/91/90/702499190.db2.gz TXYPQQDZIMAKFY-CPCISQLKSA-N -1 1 307.803 1.545 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3C[C@H]3C3CCOCC3)ccnc1-2 ZINC000879416204 706674663 /nfs/dbraw/zinc/67/46/63/706674663.db2.gz QCYKQIUWFCFDND-SMDDNHRTSA-N -1 1 315.377 1.278 20 0 DDADMM CC(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)=C1CCC1 ZINC000869535030 702639227 /nfs/dbraw/zinc/63/92/27/702639227.db2.gz TWIUBLGCECOOKG-UHFFFAOYSA-N -1 1 307.268 1.668 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2c[nH]nc2Cl)CCC(C)CC1 ZINC000831338500 706689726 /nfs/dbraw/zinc/68/97/26/706689726.db2.gz JFENLXXNKZMACV-UHFFFAOYSA-N -1 1 321.830 1.937 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2CCCCC2)CCC1 ZINC000843019191 702804783 /nfs/dbraw/zinc/80/47/83/702804783.db2.gz KTZNBROLBDQARJ-UHFFFAOYSA-N -1 1 302.440 1.497 20 0 DDADMM CC(C)[C@H](N)c1noc(-c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)n1 ZINC000843149157 702828795 /nfs/dbraw/zinc/82/87/95/702828795.db2.gz ASOGUXIWKARFHW-QMMMGPOBSA-N -1 1 302.294 1.142 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1ccsc1 ZINC000866475263 706708401 /nfs/dbraw/zinc/70/84/01/706708401.db2.gz VACHRNUOGQJTRF-UHFFFAOYSA-N -1 1 310.466 1.633 20 0 DDADMM CN1CCN(Cc2cccc(NC(=O)CCCC(=O)[O-])c2)CC1 ZINC000844243620 702998330 /nfs/dbraw/zinc/99/83/30/702998330.db2.gz INBWPGZTXDYWIG-UHFFFAOYSA-N -1 1 319.405 1.627 20 0 DDADMM CCc1ccc(C[N-]S(=O)(=O)N=[S@](C)(=O)CC)s1 ZINC000866497878 706714040 /nfs/dbraw/zinc/71/40/40/706714040.db2.gz NHDRVYFPLFAREM-QGZVFWFLSA-N -1 1 310.466 1.763 20 0 DDADMM O=C(Nc1ccc2c(c1)CCCCC2)NN1CC(=O)[N-]C1=O ZINC000844810497 703075404 /nfs/dbraw/zinc/07/54/04/703075404.db2.gz OKGAEVPGAFSDGC-UHFFFAOYSA-N -1 1 302.334 1.544 20 0 DDADMM CC(C)(C)OC(=O)N1[C@@H](C2CC2)CC[C@H]1C(=O)Nc1nnn[n-]1 ZINC000845554977 703173620 /nfs/dbraw/zinc/17/36/20/703173620.db2.gz NDIVXZZQIHXJHI-ZJUUUORDSA-N -1 1 322.369 1.316 20 0 DDADMM CC(C)(C)OC(=O)N1[C@@H](C2CC2)CC[C@H]1C(=O)Nc1nn[n-]n1 ZINC000845554977 703173622 /nfs/dbraw/zinc/17/36/22/703173622.db2.gz NDIVXZZQIHXJHI-ZJUUUORDSA-N -1 1 322.369 1.316 20 0 DDADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CC2CCC1CC2 ZINC000846620163 703304636 /nfs/dbraw/zinc/30/46/36/703304636.db2.gz GBECYCJWRLWIPW-RYSNWHEDSA-N -1 1 319.405 1.258 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC(CCO)CC1 ZINC000846663544 703310337 /nfs/dbraw/zinc/31/03/37/703310337.db2.gz XJQUONVIUNHOJP-UHFFFAOYSA-N -1 1 306.391 1.253 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCc2cccc(C)c2)co1 ZINC000847743377 703461424 /nfs/dbraw/zinc/46/14/24/703461424.db2.gz QMFSKIZOFGMNIH-UHFFFAOYSA-N -1 1 323.370 1.896 20 0 DDADMM O=C(NCc1nc([C@@H]2CCCO2)n[nH]1)c1c([O-])cccc1Cl ZINC000848276354 703535060 /nfs/dbraw/zinc/53/50/60/703535060.db2.gz PJMBARYPBRAIMG-JTQLQIEISA-N -1 1 322.752 1.945 20 0 DDADMM CCOCCCC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848342402 703541121 /nfs/dbraw/zinc/54/11/21/703541121.db2.gz NGXAWSCLAFUUCR-GHMZBOCLSA-N -1 1 324.343 1.861 20 0 DDADMM CCc1nnc(N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)n1C ZINC000848464012 703553095 /nfs/dbraw/zinc/55/30/95/703553095.db2.gz FEYSEQWRJKWOLO-RKDXNWHRSA-N -1 1 319.331 1.413 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC2(CCCC2)O1)c1c[nH]nc1Cl ZINC000848513103 703559755 /nfs/dbraw/zinc/55/97/55/703559755.db2.gz NXVOUUOTRFGMBL-SECBINFHSA-N -1 1 319.814 1.833 20 0 DDADMM CCCn1cc(-c2cn(CC[N-]C(=O)C(F)(F)F)nn2)cn1 ZINC000849139102 703618815 /nfs/dbraw/zinc/61/88/15/703618815.db2.gz DWLZKELHDCJFAX-UHFFFAOYSA-N -1 1 316.287 1.230 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](O)c2ccccc21)c1c[nH]nc1Cl ZINC000849454796 703650096 /nfs/dbraw/zinc/65/00/96/703650096.db2.gz NXQMEAGHRDISGD-VHSXEESVSA-N -1 1 313.766 1.520 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@@H](O)c2ccccc21 ZINC000849455045 703650378 /nfs/dbraw/zinc/65/03/78/703650378.db2.gz PTKHKMIAPXVUBM-GHMZBOCLSA-N -1 1 309.347 1.022 20 0 DDADMM COC(=O)N(C)CC[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000849470021 703652035 /nfs/dbraw/zinc/65/20/35/703652035.db2.gz FYFBYCYTKCSPLJ-UHFFFAOYSA-N -1 1 322.333 1.250 20 0 DDADMM COC(=O)N(C)CC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000849470714 703652049 /nfs/dbraw/zinc/65/20/49/703652049.db2.gz SOZASRBEOMCMKH-UHFFFAOYSA-N -1 1 324.761 1.456 20 0 DDADMM COc1cccc2c1n[nH]c2C(=O)OC[C@@H](OC)[C@@H]1CCOC1 ZINC000849778061 703677121 /nfs/dbraw/zinc/67/71/21/703677121.db2.gz XUTBVRXXMSXCGT-ZWNOBZJWSA-N -1 1 320.345 1.780 20 0 DDADMM CCCC[C@@](C)(F)C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000850939951 703766685 /nfs/dbraw/zinc/76/66/85/703766685.db2.gz FBXUSWHPLSPLEY-OAHLLOKOSA-N -1 1 324.356 1.730 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000850940373 703766781 /nfs/dbraw/zinc/76/67/81/703766781.db2.gz KZDJXTTZCMJVAP-RTXFEEFZSA-N -1 1 316.361 1.248 20 0 DDADMM O=C1OCCN1CCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000866728459 706777785 /nfs/dbraw/zinc/77/77/85/706777785.db2.gz AMZTYESUSKNJPM-UHFFFAOYSA-N -1 1 324.305 1.338 20 0 DDADMM C[C@H]1CCC[C@H]1[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866741896 706784023 /nfs/dbraw/zinc/78/40/23/706784023.db2.gz MOQQFFWRGLUDGV-JGVFFNPUSA-N -1 1 311.329 1.906 20 0 DDADMM CCOC(=O)[C@@H](CC)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852757696 704117024 /nfs/dbraw/zinc/11/70/24/704117024.db2.gz HOULSGJPHPYVGP-OUAUKWLOSA-N -1 1 324.343 1.717 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000819627359 704153130 /nfs/dbraw/zinc/15/31/30/704153130.db2.gz APGKAOZBCTXXQK-DGMVEKRQSA-N -1 1 315.329 1.900 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2OC3CCC2CC3)cc1 ZINC000879799243 706794106 /nfs/dbraw/zinc/79/41/06/706794106.db2.gz CSXUWECTVLUDBG-UHFFFAOYSA-N -1 1 304.346 1.510 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@H]2CCCOC2)c1 ZINC000819957876 704193057 /nfs/dbraw/zinc/19/30/57/704193057.db2.gz PURMCHQGYONUQF-QKVFXAPYSA-N -1 1 312.387 1.773 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2nnc(C)o2)c1 ZINC000820058256 704212752 /nfs/dbraw/zinc/21/27/52/704212752.db2.gz FEYPJSNNUFFPHY-AUADJRAKSA-N -1 1 324.358 1.799 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H](C)c1cnn(C(C)C)c1 ZINC000866779663 706798016 /nfs/dbraw/zinc/79/80/16/706798016.db2.gz QSPMZFBVDKGGJD-GIGQVBGESA-N -1 1 322.456 1.477 20 0 DDADMM O=C([N-]c1nnc2n1CCCC2)c1ncoc1C(F)(F)F ZINC000831893539 706799070 /nfs/dbraw/zinc/79/90/70/706799070.db2.gz FANLLBCIWZARJG-UHFFFAOYSA-N -1 1 301.228 1.874 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@H](C)OC)c1 ZINC000820148334 704230121 /nfs/dbraw/zinc/23/01/21/704230121.db2.gz AVSUNJJYJVJWLM-YBTHPKLGSA-N -1 1 300.376 1.771 20 0 DDADMM C[C@H](C(=O)[N-]OCCCC(F)(F)F)N1CCSCC1 ZINC000871213449 704244404 /nfs/dbraw/zinc/24/44/04/704244404.db2.gz RUMUCBJWNZCILT-SECBINFHSA-N -1 1 300.346 1.814 20 0 DDADMM NC(=O)[C@H](C1CC1)N(CC1CC1)C(=O)c1cc(F)ccc1[O-] ZINC000871391896 704283764 /nfs/dbraw/zinc/28/37/64/704283764.db2.gz GXIQXVSRPFGIRO-AWEZNQCLSA-N -1 1 306.337 1.647 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cnns2)c1 ZINC000820499779 704290780 /nfs/dbraw/zinc/29/07/80/704290780.db2.gz YDFOJPRFKRSNMJ-FQEVSTJZSA-N -1 1 312.372 1.398 20 0 DDADMM Cc1cc(C)nc(N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)n1 ZINC000853989382 704344645 /nfs/dbraw/zinc/34/46/45/704344645.db2.gz MLLSOIPIPNEIBC-KCJUWKMLSA-N -1 1 316.327 1.987 20 0 DDADMM O=C(NC[C@H]1CN2CCN1CCC2)c1cccc(Cl)c1[O-] ZINC000854353143 704384240 /nfs/dbraw/zinc/38/42/40/704384240.db2.gz RPZDAYWQEHFLJQ-NSHDSACASA-N -1 1 309.797 1.165 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H]2CCOC[C@H]2C)c1 ZINC000821310033 704388627 /nfs/dbraw/zinc/38/86/27/704388627.db2.gz GKZYHMLXMYTODD-HQHPYACNSA-N -1 1 312.387 1.771 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1C[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000854632413 704430031 /nfs/dbraw/zinc/43/00/31/704430031.db2.gz LJOPGRFBABLYIO-DTORHVGOSA-N -1 1 310.354 1.666 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1C[C@H](c2ccccc2)OC1=O ZINC000854635737 704430537 /nfs/dbraw/zinc/43/05/37/704430537.db2.gz WQJQHLPJBXGYNO-GHMZBOCLSA-N -1 1 301.302 1.667 20 0 DDADMM COC[C@H](NCc1ccc(-c2nnn[n-]2)o1)c1ccc(C)o1 ZINC000821684331 704431147 /nfs/dbraw/zinc/43/11/47/704431147.db2.gz YKBWPXCNENVVGN-NSHDSACASA-N -1 1 303.322 1.838 20 0 DDADMM COC[C@H](NCc1ccc(-c2nn[n-]n2)o1)c1ccc(C)o1 ZINC000821684331 704431150 /nfs/dbraw/zinc/43/11/50/704431150.db2.gz YKBWPXCNENVVGN-NSHDSACASA-N -1 1 303.322 1.838 20 0 DDADMM CN(CC1=CCCOC1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000855740843 704500114 /nfs/dbraw/zinc/50/01/14/704500114.db2.gz HQIQDCWPSNDVHT-UHFFFAOYSA-N -1 1 304.375 1.437 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H]2COC[C@@H]2C1 ZINC000856146058 704516082 /nfs/dbraw/zinc/51/60/82/704516082.db2.gz BPMBOCDTLWEYCK-MNOVXSKESA-N -1 1 304.375 1.127 20 0 DDADMM C[C@H]1C[C@H](C)CN(S(=O)(=O)NN=c2ncc(Cl)c[n-]2)C1 ZINC000857224276 704565646 /nfs/dbraw/zinc/56/56/46/704565646.db2.gz JCOCQCPVGHRKHX-IUCAKERBSA-N -1 1 319.818 1.269 20 0 DDADMM Cn1ncc(C2CCC2)c1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000857615415 704607750 /nfs/dbraw/zinc/60/77/50/704607750.db2.gz UNDUCWOTLKFBMT-UHFFFAOYSA-N -1 1 310.361 1.595 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C(C)(C)C(C)(F)F)nc2n1 ZINC000857683302 704613359 /nfs/dbraw/zinc/61/33/59/704613359.db2.gz ABZSMIWIIDILJU-UHFFFAOYSA-N -1 1 313.308 1.600 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCSC1 ZINC000867205576 706924196 /nfs/dbraw/zinc/92/41/96/706924196.db2.gz AHTWDEXSOIZAIX-VIFPVBQESA-N -1 1 300.471 1.082 20 0 DDADMM CCN1C(=O)N=NC1C1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858544845 704724929 /nfs/dbraw/zinc/72/49/29/704724929.db2.gz AVEFVIFDGRRAFN-UHFFFAOYSA-N -1 1 324.772 1.537 20 0 DDADMM Cc1ccc(Cl)cc1OCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000821895332 704837410 /nfs/dbraw/zinc/83/74/10/704837410.db2.gz SXKVEDCGGAQRPX-SNVBAGLBSA-N -1 1 323.784 1.802 20 0 DDADMM O=C([N-]N1C(=O)c2ccccc2C1=O)C1(Br)CC1 ZINC000821950790 704846429 /nfs/dbraw/zinc/84/64/29/704846429.db2.gz YJUZRTKKTCZCJB-UHFFFAOYSA-N -1 1 309.119 1.241 20 0 DDADMM O=C([N-]OCc1ccccc1)[C@H]1CNC(=O)C[C@@H]1C(F)(F)F ZINC000873836386 704866135 /nfs/dbraw/zinc/86/61/35/704866135.db2.gz QNDLZXXHJLROGN-QWRGUYRKSA-N -1 1 316.279 1.549 20 0 DDADMM CC(=O)C1([N-]S(=O)(=O)c2cc(Cl)cnc2Cl)CC1 ZINC000867393041 706985736 /nfs/dbraw/zinc/98/57/36/706985736.db2.gz CZXIBCVUQYQKOU-UHFFFAOYSA-N -1 1 309.174 1.788 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@@H]2CCO[C@H](C(C)C)C2)[n-]1 ZINC000822546908 704972168 /nfs/dbraw/zinc/97/21/68/704972168.db2.gz CFKBOEHDVMWUQY-PWSUYJOCSA-N -1 1 309.366 1.976 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCO[C@H](C(C)C)C2)n1 ZINC000822546908 704972169 /nfs/dbraw/zinc/97/21/69/704972169.db2.gz CFKBOEHDVMWUQY-PWSUYJOCSA-N -1 1 309.366 1.976 20 0 DDADMM COc1cc2[n-]cc(C(=O)NOCC(C)C)c(=O)c2c(OC)c1 ZINC000860346310 705160567 /nfs/dbraw/zinc/16/05/67/705160567.db2.gz YPASSVGOOKEDTE-UHFFFAOYSA-N -1 1 320.345 1.863 20 0 DDADMM O=Cc1ccc(F)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000860349580 705162336 /nfs/dbraw/zinc/16/23/36/705162336.db2.gz OQOGQMKMFZYTMB-SNVBAGLBSA-N -1 1 303.297 1.171 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2cccc(C(F)F)c2F)C1=O ZINC000860527980 705212269 /nfs/dbraw/zinc/21/22/69/705212269.db2.gz YYEMIEAFMBCXAL-UHFFFAOYSA-N -1 1 301.224 1.302 20 0 DDADMM O=C(OCC1CCSCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823401604 705232358 /nfs/dbraw/zinc/23/23/58/705232358.db2.gz YRDVXTMTZNGQQV-UHFFFAOYSA-N -1 1 305.363 1.562 20 0 DDADMM O=C(OCC1CCSCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823401604 705232361 /nfs/dbraw/zinc/23/23/61/705232361.db2.gz YRDVXTMTZNGQQV-UHFFFAOYSA-N -1 1 305.363 1.562 20 0 DDADMM C[C@H]1CC(F)(F)CCN1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000861025950 705352467 /nfs/dbraw/zinc/35/24/67/705352467.db2.gz VMRVZTUQHXDZCC-VIFPVBQESA-N -1 1 309.320 1.993 20 0 DDADMM C[C@H]1CCCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000876300267 705682763 /nfs/dbraw/zinc/68/27/63/705682763.db2.gz OTZVQWWTFTVVSU-NSHDSACASA-N -1 1 320.393 1.587 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1(C)C ZINC000826144163 705777518 /nfs/dbraw/zinc/77/75/18/705777518.db2.gz CMJDXJDYHPCUOB-BKDNQFJXSA-N -1 1 321.406 1.718 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1(C)C ZINC000826144163 705777522 /nfs/dbraw/zinc/77/75/22/705777522.db2.gz CMJDXJDYHPCUOB-BKDNQFJXSA-N -1 1 321.406 1.718 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1(C)C ZINC000826144164 705777769 /nfs/dbraw/zinc/77/77/69/705777769.db2.gz CMJDXJDYHPCUOB-PPKCKEKNSA-N -1 1 321.406 1.718 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1(C)C ZINC000826144164 705777771 /nfs/dbraw/zinc/77/77/71/705777771.db2.gz CMJDXJDYHPCUOB-PPKCKEKNSA-N -1 1 321.406 1.718 20 0 DDADMM Cc1onc(CC(=O)NCCCC2CCCC2)c1-c1nnn[n-]1 ZINC000826347352 705794390 /nfs/dbraw/zinc/79/43/90/705794390.db2.gz OKZXAKRATBQAEZ-UHFFFAOYSA-N -1 1 318.381 1.792 20 0 DDADMM Cc1onc(CC(=O)NCCCC2CCCC2)c1-c1nn[n-]n1 ZINC000826347352 705794392 /nfs/dbraw/zinc/79/43/92/705794392.db2.gz OKZXAKRATBQAEZ-UHFFFAOYSA-N -1 1 318.381 1.792 20 0 DDADMM CC1=C(C(=O)Nc2cccc(F)c2[O-])S(=O)(=O)CCO1 ZINC000863009537 705849943 /nfs/dbraw/zinc/84/99/43/705849943.db2.gz HAXBSMKLVJMBTK-UHFFFAOYSA-N -1 1 301.295 1.146 20 0 DDADMM CC(C)(CC(F)(F)F)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826833392 705881166 /nfs/dbraw/zinc/88/11/66/705881166.db2.gz CGZPXHRMENLDLO-ZETCQYMHSA-N -1 1 307.276 1.078 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H]1CCNC(=O)CC1 ZINC000863476889 705936904 /nfs/dbraw/zinc/93/69/04/705936904.db2.gz BQXOGPVSMRBDRY-LLVKDONJSA-N -1 1 314.345 1.433 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]C[C@H](OC)C(F)(F)F)C(C)C ZINC000827155593 705941483 /nfs/dbraw/zinc/94/14/83/705941483.db2.gz XLKZOPNLGGGGGT-IUCAKERBSA-N -1 1 307.334 1.154 20 0 DDADMM CC[C@H]1CCC[C@H]1C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000835294930 707215938 /nfs/dbraw/zinc/21/59/38/707215938.db2.gz YLYGRWRTOXNWCF-WCQYABFASA-N -1 1 318.377 1.638 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)OCC(F)(F)F ZINC000863847301 706027864 /nfs/dbraw/zinc/02/78/64/706027864.db2.gz HLQNAOMWJCOSIR-UHFFFAOYSA-N -1 1 311.285 1.778 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2C[C@@]2(C)Br)n1 ZINC000863912338 706036143 /nfs/dbraw/zinc/03/61/43/706036143.db2.gz FKMLVJGOXHNPKP-UPONEAKYSA-N -1 1 316.155 1.698 20 0 DDADMM CN(C)c1ccncc1C(=O)N1CC2(CCC2)[C@@](F)(C(=O)[O-])C1 ZINC000864153023 706082389 /nfs/dbraw/zinc/08/23/89/706082389.db2.gz WAUBWKYVBWEQJK-INIZCTEOSA-N -1 1 321.352 1.567 20 0 DDADMM CCC[C@H](C)CCNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000881528907 707286069 /nfs/dbraw/zinc/28/60/69/707286069.db2.gz IBQMBUIDZKVJDW-JTQLQIEISA-N -1 1 302.396 1.744 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H](C)CSC)o1 ZINC000835675302 707304422 /nfs/dbraw/zinc/30/44/22/707304422.db2.gz XWLHSBUELUTRDZ-MRVPVSSYSA-N -1 1 307.393 1.344 20 0 DDADMM O=C(COc1ccc(F)c(F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000828417338 706175682 /nfs/dbraw/zinc/17/56/82/706175682.db2.gz VYMAUMBUEZSMES-UHFFFAOYSA-N -1 1 323.303 1.263 20 0 DDADMM CCn1cncc1CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000877773684 706205047 /nfs/dbraw/zinc/20/50/47/706205047.db2.gz UXBJZMGHWMEXBM-GFCCVEGCSA-N -1 1 304.316 1.546 20 0 DDADMM CCC1(CC(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)COC1 ZINC000828682205 706217007 /nfs/dbraw/zinc/21/70/07/706217007.db2.gz ZAGFHKXNIHRQKC-LBPRGKRZSA-N -1 1 322.327 1.473 20 0 DDADMM CC1(C)C(C)(C)C1(C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000828688129 706217485 /nfs/dbraw/zinc/21/74/85/706217485.db2.gz AKDSIHUPQYATCS-UHFFFAOYSA-N -1 1 319.405 1.943 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCc1ccccc1 ZINC000872429431 707406325 /nfs/dbraw/zinc/40/63/25/707406325.db2.gz DEWHSHJRZPOFCG-IBGZPJMESA-N -1 1 318.464 1.960 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCc1ccccn1 ZINC000872429983 707406386 /nfs/dbraw/zinc/40/63/86/707406386.db2.gz BFPSSNYAHIERSJ-LJQANCHMSA-N -1 1 319.452 1.355 20 0 DDADMM CS[C@H](C)C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872464208 707426733 /nfs/dbraw/zinc/42/67/33/707426733.db2.gz RLWURMCVNYCVCQ-BCTVWOGZSA-N -1 1 302.487 1.469 20 0 DDADMM O=C([O-])CCN(Cc1ccc(F)c(F)c1)C(=O)Cc1ncn[nH]1 ZINC000908973097 712906038 /nfs/dbraw/zinc/90/60/38/712906038.db2.gz HXYZAIQFZBGALL-UHFFFAOYSA-N -1 1 324.287 1.129 20 0 DDADMM O=C(/C=C\CCc1ccccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000865596777 706473210 /nfs/dbraw/zinc/47/32/10/706473210.db2.gz YPCRTZRSXJMRNA-VYLZPFMQSA-N -1 1 313.361 1.289 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)C[C@@H]1CCCCC1(F)F ZINC000830395776 706508579 /nfs/dbraw/zinc/50/85/79/706508579.db2.gz XENBESGMVYQCNQ-JTQLQIEISA-N -1 1 313.366 1.683 20 0 DDADMM Cc1cncc([C@@H]2CCCN2C(=O)CCCc2nn[n-]n2)c1 ZINC000830437946 706517765 /nfs/dbraw/zinc/51/77/65/706517765.db2.gz KTSZNFKUUJOIPT-ZDUSSCGKSA-N -1 1 300.366 1.590 20 0 DDADMM CCCCC[C@H](O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830794723 706580756 /nfs/dbraw/zinc/58/07/56/706580756.db2.gz ANOTZDLGMJSORZ-GWCFXTLKSA-N -1 1 324.343 1.597 20 0 DDADMM CC[C@H](C)[C@@H](OC)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830801559 706582181 /nfs/dbraw/zinc/58/21/81/706582181.db2.gz MMRSJBXWXSUWOQ-CWSCBRNRSA-N -1 1 324.343 1.717 20 0 DDADMM CC[C@H](C)[C@@H](OC)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830801561 706582218 /nfs/dbraw/zinc/58/22/18/706582218.db2.gz MMRSJBXWXSUWOQ-OPQQBVKSSA-N -1 1 324.343 1.717 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000832067087 706837081 /nfs/dbraw/zinc/83/70/81/706837081.db2.gz OUOPPHYZJXRDEI-MNOVXSKESA-N -1 1 313.350 1.038 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C1CCOCC1 ZINC000866965710 706858178 /nfs/dbraw/zinc/85/81/78/706858178.db2.gz XYPQVDOLJRWRJP-MRVPVSSYSA-N -1 1 306.334 1.453 20 0 DDADMM O=S(=O)([N-]CCC1=CCCC1)c1nc[nH]c1Br ZINC000867004441 706869950 /nfs/dbraw/zinc/86/99/50/706869950.db2.gz RCSFYLSSRGRRSC-UHFFFAOYSA-N -1 1 320.212 1.951 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCC(=O)[C@@H]1C[C@H]1C ZINC000908994017 712911097 /nfs/dbraw/zinc/91/10/97/712911097.db2.gz CTCVDZTUZPKTSO-LDYMZIIASA-N -1 1 317.345 1.363 20 0 DDADMM CC(C)[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867051541 706882708 /nfs/dbraw/zinc/88/27/08/706882708.db2.gz DNQDUBIPDBGXOX-NXEZZACHSA-N -1 1 320.361 1.842 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@H]1CCO[C@@H](C2CC2)C1 ZINC000867113936 706900909 /nfs/dbraw/zinc/90/09/09/706900909.db2.gz YMJRWUIAADELHV-NWDGAFQWSA-N -1 1 322.452 1.040 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)C[C@@]2(C)CC2(Cl)Cl)n1 ZINC000867176668 706916363 /nfs/dbraw/zinc/91/63/63/706916363.db2.gz AIYFZWMHNKQTLN-MRVPVSSYSA-N -1 1 314.194 1.381 20 0 DDADMM Cc1n[nH]cc1CCC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867269526 706942901 /nfs/dbraw/zinc/94/29/01/706942901.db2.gz JUYMTLMRZXHKLZ-UHFFFAOYSA-N -1 1 316.333 1.302 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccnc(-n2cccc2)c1)c1nn[n-]n1 ZINC000867381489 706982094 /nfs/dbraw/zinc/98/20/94/706982094.db2.gz PMWQMLJSDZSTJS-NSHDSACASA-N -1 1 311.349 1.261 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])[C@H]1C ZINC000832814314 706990548 /nfs/dbraw/zinc/99/05/48/706990548.db2.gz CONRSCNYKMPOHO-JQWIXIFHSA-N -1 1 314.341 1.964 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C(C)=C2CCC2)c1 ZINC000832933181 706997890 /nfs/dbraw/zinc/99/78/90/706997890.db2.gz OOBYQBMELZSHBT-UHFFFAOYSA-N -1 1 310.375 1.739 20 0 DDADMM COC(=O)N(C)CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000867519184 707026016 /nfs/dbraw/zinc/02/60/16/707026016.db2.gz NOINCNUSEHTATF-UHFFFAOYSA-N -1 1 312.294 1.194 20 0 DDADMM CCOC(=O)C1=CC[C@H]([N-]S(=O)(=O)c2cc(C)ns2)C1 ZINC000867559120 707039491 /nfs/dbraw/zinc/03/94/91/707039491.db2.gz KNSCEGBWCVBYRX-JTQLQIEISA-N -1 1 316.404 1.382 20 0 DDADMM O=S(=O)([N-][C@@H]1CO[C@H](C2CC2)C1)c1ccc(F)nc1F ZINC000867564054 707041007 /nfs/dbraw/zinc/04/10/07/707041007.db2.gz GCTFLAWXKLKSRS-IUCAKERBSA-N -1 1 304.318 1.206 20 0 DDADMM CSc1nc(CNC(=O)CCn2nc(C)cc2C)cc(=O)[n-]1 ZINC000880651894 707048564 /nfs/dbraw/zinc/04/85/64/707048564.db2.gz DRYVUPQUFRYXAM-UHFFFAOYSA-N -1 1 321.406 1.424 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-][C@@H]2CCCC[C@@H]2F)s1 ZINC000867611965 707053952 /nfs/dbraw/zinc/05/39/52/707053952.db2.gz DSNWTEKDUMBTAH-DTWKUNHWSA-N -1 1 321.399 1.661 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@]2(CCc3ccccc32)C1 ZINC000834838058 707134649 /nfs/dbraw/zinc/13/46/49/707134649.db2.gz BURFZDPTGNPVJC-KRWDZBQOSA-N -1 1 311.389 1.639 20 0 DDADMM CC(C)(O)[C@@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000871743440 707185212 /nfs/dbraw/zinc/18/52/12/707185212.db2.gz IDOOOARSOZCJCI-LLVKDONJSA-N -1 1 315.373 1.546 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2(CC3CC3)CC2)co1 ZINC000881519312 707285002 /nfs/dbraw/zinc/28/50/02/707285002.db2.gz QAZPIQOKWMJREA-UHFFFAOYSA-N -1 1 312.391 1.498 20 0 DDADMM CO[C@H]1C[C@H](CNC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000881574209 707298153 /nfs/dbraw/zinc/29/81/53/707298153.db2.gz LTJYTXWVQFSPQO-HAQNSBGRSA-N -1 1 318.377 1.135 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)CCSC)co1 ZINC000835819508 707333184 /nfs/dbraw/zinc/33/31/84/707333184.db2.gz YYYYUVXVPBANEA-QMMMGPOBSA-N -1 1 307.393 1.486 20 0 DDADMM CO[C@H](C)CC[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872446045 707415350 /nfs/dbraw/zinc/41/53/50/707415350.db2.gz XNEYDRKUZDVQJJ-VVVCHXIZSA-N -1 1 300.446 1.142 20 0 DDADMM C[C@@H]1COCC[C@H]1[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872479991 707436642 /nfs/dbraw/zinc/43/66/42/707436642.db2.gz SFGZIQQGLBXHCW-MHNGPXOMSA-N -1 1 312.457 1.142 20 0 DDADMM CCCCC[C@@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OC ZINC000881975333 707451378 /nfs/dbraw/zinc/45/13/78/707451378.db2.gz NOPFVFDPJHOPGO-SNVBAGLBSA-N -1 1 318.370 1.621 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC(C(C)=O)CC2)c1 ZINC000872535679 707465138 /nfs/dbraw/zinc/46/51/38/707465138.db2.gz SFRIZUFKWAPJQB-UHFFFAOYSA-N -1 1 313.375 1.391 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCc1cc[nH]n1 ZINC000837482273 707660959 /nfs/dbraw/zinc/66/09/59/707660959.db2.gz OMZDWGYLIAFIOY-UHFFFAOYSA-N -1 1 315.333 1.451 20 0 DDADMM CO[C@H]1C[C@H](C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)C1 ZINC000882587477 707708242 /nfs/dbraw/zinc/70/82/42/707708242.db2.gz GNDOKDHYXLXYQK-CLFVBBPSSA-N -1 1 312.457 1.142 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@H]1CCCC1(F)F ZINC000882592376 707710204 /nfs/dbraw/zinc/71/02/04/707710204.db2.gz DEMUZKNLPXVVDO-MRVPVSSYSA-N -1 1 309.338 1.669 20 0 DDADMM O=C(N[C@@H]1CC(=O)N(CC2CC2)C1)c1c([O-])cccc1Cl ZINC000882921636 707851235 /nfs/dbraw/zinc/85/12/35/707851235.db2.gz MGWGRGKSRGGCKM-SNVBAGLBSA-N -1 1 308.765 1.786 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@](C)(C(=O)[O-])C1CC1 ZINC000909325173 712991769 /nfs/dbraw/zinc/99/17/69/712991769.db2.gz PGNOWIUQSLWTJX-KRWDZBQOSA-N -1 1 304.390 1.530 20 0 DDADMM COc1ccc([C@@H](CC(=O)[O-])NC(=O)[C@@H](C)N(C)C)c(OC)c1 ZINC000909368750 713001925 /nfs/dbraw/zinc/00/19/25/713001925.db2.gz QKYJVRPFOXBRCC-ZWNOBZJWSA-N -1 1 324.377 1.286 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@H]1CC12CC(O)C2 ZINC000896753784 708141598 /nfs/dbraw/zinc/14/15/98/708141598.db2.gz ATELLTWDDBVHMU-MEXZMNCTSA-N -1 1 312.316 1.426 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])C[C@@H](CO)O1 ZINC000897520401 708361659 /nfs/dbraw/zinc/36/16/59/708361659.db2.gz GVSUJXFXBAGOJF-MFKMUULPSA-N -1 1 317.341 1.473 20 0 DDADMM CC(C)C[C@H]([N-]S(=O)(=O)c1ccns1)C(=O)OC(C)C ZINC000885012678 708442621 /nfs/dbraw/zinc/44/26/21/708442621.db2.gz IINMYYWJFAJDSU-JTQLQIEISA-N -1 1 320.436 1.788 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC000885047225 708454664 /nfs/dbraw/zinc/45/46/64/708454664.db2.gz OEFJWBITGCQJQI-MHNGPXOMSA-N -1 1 322.477 1.501 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccns1)[C@H](O)Cc1ccccc1 ZINC000885070607 708462032 /nfs/dbraw/zinc/46/20/32/708462032.db2.gz QKAIOPMZGIWWSH-CMPLNLGQSA-N -1 1 312.416 1.414 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912531115 713031321 /nfs/dbraw/zinc/03/13/21/713031321.db2.gz PQNHFXLBUYTWMD-KOLCDFICSA-N -1 1 315.395 1.443 20 0 DDADMM O=S(=O)([N-][C@@H](C1CC1)[C@H]1CCCCO1)c1ccns1 ZINC000885280017 708509959 /nfs/dbraw/zinc/50/99/59/708509959.db2.gz LRMNVUOLGCNWKA-PWSUYJOCSA-N -1 1 302.421 1.769 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000885381961 708531043 /nfs/dbraw/zinc/53/10/43/708531043.db2.gz DQUNTDAHFBNMLC-RKDXNWHRSA-N -1 1 320.361 1.546 20 0 DDADMM COC(=O)[C@](C)(Cn1cccn1)NCc1cccc([O-])c1Cl ZINC000898342350 708614178 /nfs/dbraw/zinc/61/41/78/708614178.db2.gz JFCNYCJRHDNUTP-HNNXBMFYSA-N -1 1 323.780 1.964 20 0 DDADMM CNC(=O)C1(C(=O)NCCc2c(F)cc([O-])cc2F)CCC1 ZINC000886264497 708731994 /nfs/dbraw/zinc/73/19/94/708731994.db2.gz AECYMQCVZGCVPH-UHFFFAOYSA-N -1 1 312.316 1.245 20 0 DDADMM CCc1ncncc1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264877 708732043 /nfs/dbraw/zinc/73/20/43/708732043.db2.gz ORKUAIJZPDKWGR-UHFFFAOYSA-N -1 1 307.300 1.995 20 0 DDADMM CCOC(=O)C(C)(C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266282 708732618 /nfs/dbraw/zinc/73/26/18/708732618.db2.gz FWWKTNVGMGTMAX-UHFFFAOYSA-N -1 1 315.316 1.918 20 0 DDADMM Cn1cc([C@@H]2C[C@H]2C(=O)NCCc2c(F)cc([O-])cc2F)cn1 ZINC000886268557 708732784 /nfs/dbraw/zinc/73/27/84/708732784.db2.gz UWISFZRSDXAHEG-QWHCGFSZSA-N -1 1 321.327 1.866 20 0 DDADMM O=C(CCc1cnccn1)NCCc1c(F)cc([O-])cc1F ZINC000886268463 708732950 /nfs/dbraw/zinc/73/29/50/708732950.db2.gz PXMYLLMPCIGRFP-UHFFFAOYSA-N -1 1 307.300 1.752 20 0 DDADMM O=c1cc(CN2CCCn3nncc3C2)c2ccc([O-])cc2o1 ZINC000886457893 708763623 /nfs/dbraw/zinc/76/36/23/708763623.db2.gz SPVVHWABAHPSDH-UHFFFAOYSA-N -1 1 312.329 1.496 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2[nH]nc3c2COCC3)sn1 ZINC000886479489 708767476 /nfs/dbraw/zinc/76/74/76/708767476.db2.gz SGQGVBYPGXICHB-UHFFFAOYSA-N -1 1 300.365 1.048 20 0 DDADMM CN(C(=O)CN[C@@H](c1ccccc1)C(F)(F)F)c1nn[n-]n1 ZINC000912618377 713052503 /nfs/dbraw/zinc/05/25/03/713052503.db2.gz RNZAGLTXLYCAQN-JTQLQIEISA-N -1 1 314.271 1.056 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CCN(c2ccccc2)C1 ZINC000898754320 708855394 /nfs/dbraw/zinc/85/53/94/708855394.db2.gz GPASWBUSJDGUGC-LLVKDONJSA-N -1 1 314.345 1.031 20 0 DDADMM CCc1ccc2occ(C(=O)[N-]N3C(=O)[C@H](C)N(C)C3=O)c2c1 ZINC000899038445 708961858 /nfs/dbraw/zinc/96/18/58/708961858.db2.gz ODRHNXZRJSBJSZ-VIFPVBQESA-N -1 1 315.329 1.923 20 0 DDADMM O=C(N[C@@H]1CCOC[C@H]1O)c1ccc2ccc(O)cc2c1[O-] ZINC000899146147 709000011 /nfs/dbraw/zinc/00/00/11/709000011.db2.gz UORLHBWBKCLRHH-ZIAGYGMSSA-N -1 1 303.314 1.131 20 0 DDADMM CN(CCC(=O)[O-])C(=O)[C@H](N)c1ccc(Br)cc1 ZINC000887395807 709035335 /nfs/dbraw/zinc/03/53/35/709035335.db2.gz XCGZUQDHAFGNAM-LLVKDONJSA-N -1 1 315.167 1.382 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)[C@H](N)Cc1cc2ccccc2o1 ZINC000887395872 709035674 /nfs/dbraw/zinc/03/56/74/709035674.db2.gz ZSOCZWBOTHMDQA-CYBMUJFWSA-N -1 1 304.346 1.626 20 0 DDADMM COC[C@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)C(C)C ZINC000921898429 713901490 /nfs/dbraw/zinc/90/14/90/713901490.db2.gz RSHLNJZQSXIKHI-VIFPVBQESA-N -1 1 308.350 1.557 20 0 DDADMM CCn1cc(C=Cc2cc(=O)[n-]c(-n3nc(C)cc3C)n2)nn1 ZINC000899382054 709071105 /nfs/dbraw/zinc/07/11/05/709071105.db2.gz UTSMSCQSIVQWGU-AATRIKPKSA-N -1 1 311.349 1.767 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)CC1 ZINC000899538403 709107981 /nfs/dbraw/zinc/10/79/81/709107981.db2.gz OIYUQWDHGIQHGT-SCJKXNDLSA-N -1 1 306.406 1.286 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCOC[C@@H]1C[C@H]1CCOC1 ZINC000887811208 709130202 /nfs/dbraw/zinc/13/02/02/709130202.db2.gz PYPJGUNPTXPFCD-YPMHNXCESA-N -1 1 309.337 1.799 20 0 DDADMM O=S(=O)(NCCc1c(F)cc([O-])cc1F)N1CCCC1 ZINC000921965542 713922026 /nfs/dbraw/zinc/92/20/26/713922026.db2.gz BLXFTYUNNUCJBH-UHFFFAOYSA-N -1 1 306.334 1.143 20 0 DDADMM COC(=O)[C@@H]1CCN1C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000888059575 709203648 /nfs/dbraw/zinc/20/36/48/709203648.db2.gz GKOHHMSNPZFKGZ-VIFPVBQESA-N -1 1 307.350 1.176 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCc3ncc(C)cc32)co1 ZINC000888143523 709223247 /nfs/dbraw/zinc/22/32/47/709223247.db2.gz ZDEQUJSORRMZNX-UHFFFAOYSA-N -1 1 321.358 1.094 20 0 DDADMM COc1cc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)ccn1 ZINC000900061313 709278992 /nfs/dbraw/zinc/27/89/92/709278992.db2.gz DMGJLIRKZXKXMH-UHFFFAOYSA-N -1 1 300.318 1.393 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC2(C1)CCNC(=O)O2 ZINC000888416438 709305159 /nfs/dbraw/zinc/30/51/59/709305159.db2.gz RILVOSMXEGNFJR-UHFFFAOYSA-N -1 1 313.313 1.265 20 0 DDADMM Cc1ccc2cccc(C(=O)[N-]N3C(=O)[C@@H](C)N(C)C3=O)c2n1 ZINC000912823298 713101294 /nfs/dbraw/zinc/10/12/94/713101294.db2.gz GGNOJVWLOMJCIU-SNVBAGLBSA-N -1 1 312.329 1.471 20 0 DDADMM Cc1c(Br)cncc1NC(=O)CCc1nn[n-]n1 ZINC000888900958 709399992 /nfs/dbraw/zinc/39/99/92/709399992.db2.gz RMAOYYMPIMROCW-UHFFFAOYSA-N -1 1 311.143 1.237 20 0 DDADMM C[C@@]1(NC(=O)c2ccc(C(F)(F)F)c([O-])c2)CCNC1=O ZINC000889030983 709435980 /nfs/dbraw/zinc/43/59/80/709435980.db2.gz ADBOOHDDCBGXBA-GFCCVEGCSA-N -1 1 302.252 1.419 20 0 DDADMM C[C@]1(NC(=O)Cc2n[nH]c3c2CCCC3)CCCC[C@H]1C(=O)[O-] ZINC000909532466 709504014 /nfs/dbraw/zinc/50/40/14/709504014.db2.gz YCTGLZCYBTXXIQ-SJCJKPOMSA-N -1 1 319.405 1.981 20 0 DDADMM CC(C)(C)N1C[C@H](C(=O)[N-]OCCOc2ccccc2)CC1=O ZINC000889449517 709508745 /nfs/dbraw/zinc/50/87/45/709508745.db2.gz KJULWDREDBIHFG-CYBMUJFWSA-N -1 1 320.389 1.760 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1ccncc1C)c1nn[n-]n1 ZINC000912862999 713111349 /nfs/dbraw/zinc/11/13/49/713111349.db2.gz IFOCQSKSBAXWRT-MVZIDQBPSA-N -1 1 318.406 1.527 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1C[C@H]1C1CCCC1)c1nn[n-]n1 ZINC000912863314 713111420 /nfs/dbraw/zinc/11/14/20/713111420.db2.gz SWRYCJNJAWKBGP-SRVKXCTJSA-N -1 1 309.439 1.936 20 0 DDADMM CCOC(=O)CCN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC000900383626 709566515 /nfs/dbraw/zinc/56/65/15/709566515.db2.gz GRIBYZLGZWBICT-UHFFFAOYSA-N -1 1 319.361 1.617 20 0 DDADMM C[C@H]1CCSCCN1C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000909730603 709598639 /nfs/dbraw/zinc/59/86/39/709598639.db2.gz UQPBZQUBKWKONG-NWDGAFQWSA-N -1 1 300.424 1.137 20 0 DDADMM C[C@H]1CCSCCN1C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909730603 709598641 /nfs/dbraw/zinc/59/86/41/709598641.db2.gz UQPBZQUBKWKONG-NWDGAFQWSA-N -1 1 300.424 1.137 20 0 DDADMM Cc1cc([C@H](NC(=O)c2[nH]nc3c2CCC3)C(=O)[O-])ccc1F ZINC000909793304 709623313 /nfs/dbraw/zinc/62/33/13/709623313.db2.gz MSPDTPGAHFPKDD-ZDUSSCGKSA-N -1 1 317.320 1.902 20 0 DDADMM CCOC(=O)CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C(C)C ZINC000900510151 709629853 /nfs/dbraw/zinc/62/98/53/709629853.db2.gz XGAWHJWLEKGCGU-UHFFFAOYSA-N -1 1 307.350 1.473 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CC(C)(C)OC)[n-]c1=O ZINC000889789682 709636833 /nfs/dbraw/zinc/63/68/33/709636833.db2.gz JBNDANAZLRLVPW-JTQLQIEISA-N -1 1 309.366 1.669 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cn(C)nc2C)[n-]c1=O ZINC000889792257 709638240 /nfs/dbraw/zinc/63/82/40/709638240.db2.gz VGISOUHUTILACS-NSHDSACASA-N -1 1 317.349 1.210 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1C[C@H](C(=O)[O-])[C@H](c2ccccc2)C1 ZINC000909828685 709642904 /nfs/dbraw/zinc/64/29/04/709642904.db2.gz GJEVAVVZFHUFKR-HRCADAONSA-N -1 1 316.401 1.798 20 0 DDADMM O=C([N-][C@H]1Cc2cc(Br)cnc2NC1=O)C(F)F ZINC000889812245 709645521 /nfs/dbraw/zinc/64/55/21/709645521.db2.gz UEKPHJQYKOWFEL-LURJTMIESA-N -1 1 320.093 1.089 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC[C@H]1CC2CCC1CC2 ZINC000909857548 709655637 /nfs/dbraw/zinc/65/56/37/709655637.db2.gz DRVJWWCGWPQGHU-PFSRBDOWSA-N -1 1 308.422 1.726 20 0 DDADMM COC1(C[C@@H](NC(=O)c2ccc3cncn3c2)C(=O)[O-])CCC1 ZINC000909894873 709674897 /nfs/dbraw/zinc/67/48/97/709674897.db2.gz PXZNHLLKWSOLJP-CYBMUJFWSA-N -1 1 317.345 1.477 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCc2ccc3occc3c2)C1 ZINC000909943414 709699771 /nfs/dbraw/zinc/69/97/71/709699771.db2.gz PBOXSOPWDNRLGS-AWEZNQCLSA-N -1 1 316.357 1.846 20 0 DDADMM CO[C@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)[C@H](C(=O)[O-])C1 ZINC000909984526 709719818 /nfs/dbraw/zinc/71/98/18/709719818.db2.gz PDORMBLONICWCQ-XPUUQOCRSA-N -1 1 321.255 1.133 20 0 DDADMM CCOC(=O)[C@H](CC(=O)Nc1cc([O-])c(F)cc1F)C(C)=O ZINC000909987453 709721983 /nfs/dbraw/zinc/72/19/83/709721983.db2.gz VJGQCXCJJADUQX-MRVPVSSYSA-N -1 1 315.272 1.767 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@H]1CCC[C@@]1(C)C(=O)[O-] ZINC000909992607 709725819 /nfs/dbraw/zinc/72/58/19/709725819.db2.gz FOAUQFSJZLBIMG-CRAIPNDOSA-N -1 1 318.417 1.921 20 0 DDADMM CCOc1ccccc1N(C)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000910017413 709737572 /nfs/dbraw/zinc/73/75/72/709737572.db2.gz JHQFYMPBXOODKP-ZDUSSCGKSA-N -1 1 320.389 1.845 20 0 DDADMM CN(C(=O)c1c([O-])cnc2c(F)cccc21)[C@@H]1CCN(C)C1=O ZINC000900783012 709755747 /nfs/dbraw/zinc/75/57/47/709755747.db2.gz MGEFAJXUTLGEGH-LLVKDONJSA-N -1 1 317.320 1.382 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cc3ccsc3[nH]2)CC1 ZINC000910182747 709801170 /nfs/dbraw/zinc/80/11/70/709801170.db2.gz ZQNYZZBCUFBQOJ-UHFFFAOYSA-N -1 1 321.402 1.850 20 0 DDADMM Cc1nn(C)c(C)c1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900886261 709810638 /nfs/dbraw/zinc/81/06/38/709810638.db2.gz LIZXFWRYVHNVMF-UHFFFAOYSA-N -1 1 301.350 1.340 20 0 DDADMM O=C(N[C@H]1CCOC12CCCC2)c1ccc2n[n-]c(=S)n2c1 ZINC000890413000 709856644 /nfs/dbraw/zinc/85/66/44/709856644.db2.gz JBIMTTKLFPJYLQ-NSHDSACASA-N -1 1 318.402 1.850 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@@](C)(Cc1ccc(Cl)cc1)C(=O)[O-] ZINC000910313542 709884388 /nfs/dbraw/zinc/88/43/88/709884388.db2.gz XVZJPNPCBRNKCY-CJNGLKHVSA-N -1 1 324.808 1.936 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@](C)(Cc1ccc(Cl)cc1)C(=O)[O-] ZINC000910313544 709884520 /nfs/dbraw/zinc/88/45/20/709884520.db2.gz XVZJPNPCBRNKCY-XJKSGUPXSA-N -1 1 324.808 1.936 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(C(=O)[C@@H]1CCCc3[nH]ncc31)C2 ZINC000910419076 709931806 /nfs/dbraw/zinc/93/18/06/709931806.db2.gz KZKHLGAOWUOSRU-USBNGQNGSA-N -1 1 303.362 1.543 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1c1nc(-c2ccccc2)no1 ZINC000910458131 709953449 /nfs/dbraw/zinc/95/34/49/709953449.db2.gz QROBSUKSRHJQJH-GFCCVEGCSA-N -1 1 316.361 1.722 20 0 DDADMM CC(C)[C@@H]1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000901315385 710007315 /nfs/dbraw/zinc/00/73/15/710007315.db2.gz SGERSADSLYWARE-AAEUAGOBSA-N -1 1 305.378 1.993 20 0 DDADMM C/C=C\[C@@H](O)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000901320013 710008726 /nfs/dbraw/zinc/00/87/26/710008726.db2.gz OORXRSWYQPLOKA-DLRQAJBASA-N -1 1 302.327 1.073 20 0 DDADMM C/C=C/[C@H](O)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000901320016 710008834 /nfs/dbraw/zinc/00/88/34/710008834.db2.gz OORXRSWYQPLOKA-UFFNRZRYSA-N -1 1 302.327 1.073 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NC1(C(=O)[O-])CCCC1 ZINC000901434846 710039009 /nfs/dbraw/zinc/03/90/09/710039009.db2.gz ZCZWCCIZVOIEJM-UHFFFAOYSA-N -1 1 304.390 1.675 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CC(C)(C)CC(F)F)nc2n1 ZINC000890964661 710045269 /nfs/dbraw/zinc/04/52/69/710045269.db2.gz YLFDORBQIPPCOC-UHFFFAOYSA-N -1 1 313.308 1.736 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(Cc2ccc(O[C@H]3CCOC3)cc2)C1 ZINC000901517986 710063608 /nfs/dbraw/zinc/06/36/08/710063608.db2.gz JUHOYQWCPPMMIJ-GOEBONIOSA-N -1 1 309.337 1.853 20 0 DDADMM CO[C@H](C(=O)[O-])C(=O)NCC(C)(C)N1CCc2ccccc2C1 ZINC000901590829 710086700 /nfs/dbraw/zinc/08/67/00/710086700.db2.gz PQCNNXLKUSBJCN-AWEZNQCLSA-N -1 1 320.389 1.039 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cccc(OCCF)c1 ZINC000901639547 710104887 /nfs/dbraw/zinc/10/48/87/710104887.db2.gz NDIYNTZIOLIOMQ-UHFFFAOYSA-N -1 1 310.372 1.419 20 0 DDADMM O=C([O-])[C@@H](Cc1ccc(F)cc1)NC(=O)c1[nH]nc2c1CCC2 ZINC000910905590 710113580 /nfs/dbraw/zinc/11/35/80/710113580.db2.gz QUIJXVBIIVNHIE-CYBMUJFWSA-N -1 1 317.320 1.463 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)c1cccc2[nH]c(C)nc21 ZINC000901667260 710115000 /nfs/dbraw/zinc/11/50/00/710115000.db2.gz JVCMVUUTLREARN-INIZCTEOSA-N -1 1 317.345 1.577 20 0 DDADMM CCCn1cc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cn1 ZINC000891617749 710249756 /nfs/dbraw/zinc/24/97/56/710249756.db2.gz IWDIGMZWSYNMEX-UHFFFAOYSA-N -1 1 301.350 1.920 20 0 DDADMM COCc1nc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cs1 ZINC000891622809 710251355 /nfs/dbraw/zinc/25/13/55/710251355.db2.gz GCTUSNNWHYQOEK-UHFFFAOYSA-N -1 1 320.374 1.916 20 0 DDADMM COCCOc1cccc(CNc2cc(=O)[n-]c(COC)n2)c1 ZINC000891890454 710318747 /nfs/dbraw/zinc/31/87/47/710318747.db2.gz DSWZVHVYAYCQRM-UHFFFAOYSA-N -1 1 319.361 1.966 20 0 DDADMM COCc1nc(N2CC[C@H](Cc3cnn(C)c3)C2)cc(=O)[n-]1 ZINC000892772267 710496061 /nfs/dbraw/zinc/49/60/61/710496061.db2.gz LGUCSFZVQGXAMW-LLVKDONJSA-N -1 1 303.366 1.131 20 0 DDADMM COCc1nc(N[C@@H](COC)Cc2ccccc2)cc(=O)[n-]1 ZINC000892774882 710496604 /nfs/dbraw/zinc/49/66/04/710496604.db2.gz QLAKJVAKTZENQL-CYBMUJFWSA-N -1 1 303.362 1.998 20 0 DDADMM Cc1cccc(OC[C@@H](O)CNCc2cc(C(=O)[O-])co2)c1 ZINC000902176395 710649505 /nfs/dbraw/zinc/64/95/05/710649505.db2.gz DLUNZCHCPQDYAW-ZDUSSCGKSA-N -1 1 305.330 1.816 20 0 DDADMM CN(C)c1ccccc1NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911167033 710665678 /nfs/dbraw/zinc/66/56/78/710665678.db2.gz OPLQTFIINCAECH-GFCCVEGCSA-N -1 1 305.378 1.488 20 0 DDADMM CCCN1C[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)CC1=O ZINC000911180668 710671831 /nfs/dbraw/zinc/67/18/31/710671831.db2.gz MLTXZONMXNUNIL-JTQLQIEISA-N -1 1 318.377 1.048 20 0 DDADMM O=C([O-])C1(C(=O)N2CCN(CC3CC3)CC2)CC2(CCC2)C1 ZINC000911221357 710693795 /nfs/dbraw/zinc/69/37/95/710693795.db2.gz ZEMRYSDAIYWMDW-UHFFFAOYSA-N -1 1 306.406 1.576 20 0 DDADMM C[C@@H](NC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1cccc(O)c1 ZINC000911303700 710735246 /nfs/dbraw/zinc/73/52/46/710735246.db2.gz NFIUEPONSMEORH-YPMHNXCESA-N -1 1 306.362 1.366 20 0 DDADMM Cc1cc2c[nH]nc2cc1NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911340077 710750213 /nfs/dbraw/zinc/75/02/13/710750213.db2.gz UWUZVPMHOBAKMI-LLVKDONJSA-N -1 1 316.361 1.606 20 0 DDADMM CCC1(CC)[C@H](NCc2cn(CC(=O)[O-])nn2)[C@H](C)[C@@H]1OC ZINC000902429120 710751102 /nfs/dbraw/zinc/75/11/02/710751102.db2.gz SZWJIEGZDNUMQJ-GDLCADMTSA-N -1 1 310.398 1.292 20 0 DDADMM O=C([O-])c1ccnc(C(=O)N2CCC(c3cnc[nH]3)CC2)c1 ZINC000911386218 710772845 /nfs/dbraw/zinc/77/28/45/710772845.db2.gz CJVBUSYTQNGRII-UHFFFAOYSA-N -1 1 300.318 1.523 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCC[C@H](O)c2ccccc2)C1 ZINC000911432559 710796282 /nfs/dbraw/zinc/79/62/82/710796282.db2.gz LCCQGHHIRKDGKR-GJZGRUSLSA-N -1 1 320.389 1.023 20 0 DDADMM C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)c1ccc(C(=O)[O-])cc1 ZINC000911581808 710866155 /nfs/dbraw/zinc/86/61/55/710866155.db2.gz ZZOCLPAFMXAPRM-GFCCVEGCSA-N -1 1 320.389 1.302 20 0 DDADMM Cc1[nH]ncc1C1CCN(C(=O)c2ccc(C(=O)[O-])nc2)CC1 ZINC000911593552 710872605 /nfs/dbraw/zinc/87/26/05/710872605.db2.gz HXSHGQWVBWEUGM-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM CCc1cnccc1[C@H](C)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911604670 710876824 /nfs/dbraw/zinc/87/68/24/710876824.db2.gz ABBNFIAYXPDQGM-JSGCOSHPSA-N -1 1 319.405 1.618 20 0 DDADMM CCc1cnccc1[C@@H](C)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911604672 710877000 /nfs/dbraw/zinc/87/70/00/710877000.db2.gz ABBNFIAYXPDQGM-TZMCWYRMSA-N -1 1 319.405 1.618 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1c[nH]cc1C1CC1 ZINC000913437247 713215282 /nfs/dbraw/zinc/21/52/82/713215282.db2.gz KODZHPCCZXPTMY-AWEZNQCLSA-N -1 1 308.345 1.925 20 0 DDADMM O=C([O-])C1(C(=O)N[C@@H]2CCC[N@H+]3CCSC[C@@H]23)CC=CC1 ZINC000902819784 710916236 /nfs/dbraw/zinc/91/62/36/710916236.db2.gz WZUBQBCOSOYVOC-NEPJUHHUSA-N -1 1 310.419 1.103 20 0 DDADMM O=C([O-])C1(C(=O)N[C@@H]2CCCN3CCSC[C@@H]23)CC=CC1 ZINC000902819784 710916240 /nfs/dbraw/zinc/91/62/40/710916240.db2.gz WZUBQBCOSOYVOC-NEPJUHHUSA-N -1 1 310.419 1.103 20 0 DDADMM CCN(CC(=O)N[C@@H](C(=O)[O-])c1ccc(OC)c(O)c1)C1CC1 ZINC000911675171 710922782 /nfs/dbraw/zinc/92/27/82/710922782.db2.gz BLQBFXVMDULHFR-OAHLLOKOSA-N -1 1 322.361 1.127 20 0 DDADMM Cc1cc(C(=O)N2CCN(c3ccc(C(=O)[O-])s3)CC2)n[nH]1 ZINC000911763643 710971656 /nfs/dbraw/zinc/97/16/56/710971656.db2.gz SHWWKDSFHWGSFU-UHFFFAOYSA-N -1 1 320.374 1.440 20 0 DDADMM Cn1nc(C2CC2)cc1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000902981179 710984224 /nfs/dbraw/zinc/98/42/24/710984224.db2.gz ZQJYVFYBFRCKEX-UHFFFAOYSA-N -1 1 323.356 1.464 20 0 DDADMM CC(C)CCN(CCC(C)C)C(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC000911926973 711072483 /nfs/dbraw/zinc/07/24/83/711072483.db2.gz HCVBAUSJIRBUAM-INIZCTEOSA-N -1 1 314.426 1.350 20 0 DDADMM COCc1nc(NC[C@@]2(CO)CCc3ccccc32)cc(=O)[n-]1 ZINC000894381959 711096921 /nfs/dbraw/zinc/09/69/21/711096921.db2.gz WNTYLVIJDMDFEI-QGZVFWFLSA-N -1 1 315.373 1.617 20 0 DDADMM CC(C)(CO)[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC000911976078 711099886 /nfs/dbraw/zinc/09/98/86/711099886.db2.gz WFLOUNCLLAQAJJ-LBPRGKRZSA-N -1 1 305.378 1.587 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCO[C@H]1C(F)(F)F ZINC000912084998 711166074 /nfs/dbraw/zinc/16/60/74/711166074.db2.gz MUROAOBSAJPEPX-VIFPVBQESA-N -1 1 318.280 1.379 20 0 DDADMM Cc1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc(C)c1F ZINC000913494725 713237233 /nfs/dbraw/zinc/23/72/33/713237233.db2.gz CMLRKEAYHBWURX-LLVKDONJSA-N -1 1 305.313 1.169 20 0 DDADMM CSc1nc(CNC(=O)C[C@@H]2CCCC[C@H]2O)cc(=O)[n-]1 ZINC000912251871 711246954 /nfs/dbraw/zinc/24/69/54/711246954.db2.gz VHOOUBJCIMUFFJ-GXSJLCMTSA-N -1 1 311.407 1.462 20 0 DDADMM C[C@@H](CS(C)(=O)=O)N(CCC1(C(=O)[O-])CCC1)C1CC1 ZINC000903696406 711247140 /nfs/dbraw/zinc/24/71/40/711247140.db2.gz VQDGGBLHOSYCAV-NSHDSACASA-N -1 1 303.424 1.529 20 0 DDADMM O=C(c1ccc(C2CCC2)cc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496682 713238944 /nfs/dbraw/zinc/23/89/44/713238944.db2.gz ADYDLALGLNDWRO-CQSZACIVSA-N -1 1 313.361 1.681 20 0 DDADMM Cc1cccc(CC(=O)N2CCOC[C@H]2c2nn[n-]n2)c1Cl ZINC000913497944 713239164 /nfs/dbraw/zinc/23/91/64/713239164.db2.gz NFNSMCHLTDDSHY-NSHDSACASA-N -1 1 321.768 1.304 20 0 DDADMM O=c1nc(N2CCN(Cc3cncs3)CC2)cc(Cl)[n-]1 ZINC000894856504 711298036 /nfs/dbraw/zinc/29/80/36/711298036.db2.gz UPPIHNNXTZWUNV-UHFFFAOYSA-N -1 1 311.798 1.614 20 0 DDADMM CCc1nc(CN2CCOc3ccccc3[C@H]2C(=O)[O-])n[nH]1 ZINC000903931911 711339305 /nfs/dbraw/zinc/33/93/05/711339305.db2.gz YRKKTHWYBOXYQE-AWEZNQCLSA-N -1 1 302.334 1.387 20 0 DDADMM CC(C)COC[C@@H](O)CN1CCOc2cc(C(=O)[O-])ccc2C1 ZINC000903957520 711347845 /nfs/dbraw/zinc/34/78/45/711347845.db2.gz SWYMFMRODUXJJZ-HNNXBMFYSA-N -1 1 323.389 1.613 20 0 DDADMM O=C([O-])c1ccc2c(c1)OCCN(C[C@@H]1C[C@H]3COC[C@H]3O1)C2 ZINC000903959073 711348827 /nfs/dbraw/zinc/34/88/27/711348827.db2.gz XNCNTSYZMSAENO-OFQRWUPVSA-N -1 1 319.357 1.383 20 0 DDADMM COCCOCCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895880188 711635667 /nfs/dbraw/zinc/63/56/67/711635667.db2.gz PXEQUKLDFVPEMY-UHFFFAOYSA-N -1 1 305.330 1.644 20 0 DDADMM O=C(C[C@H]1CSCCS1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743611 713292642 /nfs/dbraw/zinc/29/26/42/713292642.db2.gz CROPBEOSHSGFME-JTQLQIEISA-N -1 1 313.452 1.144 20 0 DDADMM O=C(c1csc(NC2CC2)n1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744337 713293070 /nfs/dbraw/zinc/29/30/70/713293070.db2.gz KCNOSHBZOJTYKK-UHFFFAOYSA-N -1 1 319.394 1.250 20 0 DDADMM CO[C@@H]1CCC[C@H](CC(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC000913744794 713293161 /nfs/dbraw/zinc/29/31/61/713293161.db2.gz FBPVFXBVMIKWBI-WCQYABFASA-N -1 1 307.398 1.501 20 0 DDADMM Cc1cc(F)c(CC(=O)N2CCC(c3nn[n-]n3)CC2)cc1F ZINC000913746277 713293884 /nfs/dbraw/zinc/29/38/84/713293884.db2.gz MIHRJCVDMCJJIR-UHFFFAOYSA-N -1 1 321.331 1.735 20 0 DDADMM O=C(c1ccc(Cl)nc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913746814 713294159 /nfs/dbraw/zinc/29/41/59/713294159.db2.gz INHXUPSTPMVNGI-UHFFFAOYSA-N -1 1 310.720 1.407 20 0 DDADMM COc1ccc(CN[C@@H](C(=O)[O-])c2ccc(F)c(C)c2)nn1 ZINC000905385945 712023939 /nfs/dbraw/zinc/02/39/39/712023939.db2.gz ONTOBNSMPRQQAU-CQSZACIVSA-N -1 1 305.309 1.848 20 0 DDADMM Cn1cc(CNCc2cnn(CC3CCC3)c2)c(C(=O)[O-])n1 ZINC000905772599 712143498 /nfs/dbraw/zinc/14/34/98/712143498.db2.gz ZMXNLBQBWVSLNY-UHFFFAOYSA-N -1 1 303.366 1.405 20 0 DDADMM CC(C)(Nc1ccccc1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000907102937 712487858 /nfs/dbraw/zinc/48/78/58/712487858.db2.gz CLKQATQJSJUKPR-LBPRGKRZSA-N -1 1 314.393 1.796 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@@H](C2CC2)O1)c1c[nH]nc1Cl ZINC000907468072 712579472 /nfs/dbraw/zinc/57/94/72/712579472.db2.gz CETISPLHRQUTAZ-BDAKNGLRSA-N -1 1 305.787 1.299 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c(O)c1C ZINC000907476182 712582199 /nfs/dbraw/zinc/58/21/99/712582199.db2.gz CCUJIXMRYOQABA-LLVKDONJSA-N -1 1 319.390 1.452 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477100 712582491 /nfs/dbraw/zinc/58/24/91/712582491.db2.gz QDCJHGOVUDAEKG-RXNFCKPNSA-N -1 1 317.374 1.235 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c(F)c1 ZINC000907477863 712582709 /nfs/dbraw/zinc/58/27/09/712582709.db2.gz VFUKVNWRXNVORE-NSHDSACASA-N -1 1 307.354 1.578 20 0 DDADMM CCc1nc(C)c(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)s1 ZINC000907478713 712582932 /nfs/dbraw/zinc/58/29/32/712582932.db2.gz YHWLJUQWRKWDAM-MRVPVSSYSA-N -1 1 324.435 1.457 20 0 DDADMM CC(C)(C)C1CC(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)C1 ZINC000907479471 712583380 /nfs/dbraw/zinc/58/33/80/712583380.db2.gz FDCLAFGGMFWSKA-VQXHTEKXSA-N -1 1 309.439 1.889 20 0 DDADMM C[C@H]1CC(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)C[C@H](C)C1 ZINC000907481116 712583887 /nfs/dbraw/zinc/58/38/87/712583887.db2.gz ZOBVUCBNQBPUEE-CKYFFXLPSA-N -1 1 309.439 1.889 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]1C ZINC000907653279 712609247 /nfs/dbraw/zinc/60/92/47/712609247.db2.gz MRXONXMLUFIUHW-PSASIEDQSA-N -1 1 305.334 1.083 20 0 DDADMM O=C([O-])[C@]1(C(=O)N2CCc3nc[nH]c3C2)C[C@@H]1c1ccccc1 ZINC000907818565 712630641 /nfs/dbraw/zinc/63/06/41/712630641.db2.gz KXHWSRMFYIEHOL-SJKOYZFVSA-N -1 1 311.341 1.553 20 0 DDADMM COC(=O)[C@@H](C)N(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907894506 712643262 /nfs/dbraw/zinc/64/32/62/712643262.db2.gz VLYUIFFYZFMBSG-MRVPVSSYSA-N -1 1 306.293 1.713 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)c2cncs2)c1 ZINC000907929494 712650600 /nfs/dbraw/zinc/65/06/00/712650600.db2.gz JMTILXZOWFLYRF-QMMMGPOBSA-N -1 1 314.388 1.897 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C[C@H]1C ZINC000907941881 712652447 /nfs/dbraw/zinc/65/24/47/712652447.db2.gz SBDOCSCPUSLYPQ-UTUOFQBUSA-N -1 1 307.398 1.082 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C[C@@H]1C ZINC000907941882 712652512 /nfs/dbraw/zinc/65/25/12/712652512.db2.gz SBDOCSCPUSLYPQ-WOPDTQHZSA-N -1 1 307.398 1.082 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CCOc2cc(C(=O)[O-])ccc2C1 ZINC000908149658 712698798 /nfs/dbraw/zinc/69/87/98/712698798.db2.gz XWOAKXHNQDTZGO-CQSZACIVSA-N -1 1 318.373 1.590 20 0 DDADMM COC(OC)[C@H](C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000908198876 712708164 /nfs/dbraw/zinc/70/81/64/712708164.db2.gz CWZJUKNRAVEYBB-QMMMGPOBSA-N -1 1 308.309 1.817 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOC[C@@H](C2CCC2)C1 ZINC000908363177 712752521 /nfs/dbraw/zinc/75/25/21/712752521.db2.gz OBBWCIZGKAGVLQ-ZDUSSCGKSA-N -1 1 317.389 1.948 20 0 DDADMM C[C@@H](C(=O)[N-]OC1CCC1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC000908569841 712809387 /nfs/dbraw/zinc/80/93/87/712809387.db2.gz XIFWCXLCCFRULS-PWSUYJOCSA-N -1 1 316.398 1.451 20 0 DDADMM CCN(CC(=O)N[C@@H](CC(=O)[O-])c1ccc(OC)cc1)C1CC1 ZINC000908593384 712816977 /nfs/dbraw/zinc/81/69/77/712816977.db2.gz MEAYHURXHLXXQT-HNNXBMFYSA-N -1 1 320.389 1.812 20 0 DDADMM COCc1cccc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000908723131 712841665 /nfs/dbraw/zinc/84/16/65/712841665.db2.gz YELOJTXIEJIWHI-ZDUSSCGKSA-N -1 1 306.362 1.568 20 0 DDADMM CC[C@](C)(NC(=O)NC[C@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000908746704 712846906 /nfs/dbraw/zinc/84/69/06/712846906.db2.gz DCHAJAAEVJWKPJ-WBMJQRKESA-N -1 1 323.393 1.969 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@@H](C(=O)[O-])C1CC1)c1cccc(F)c1 ZINC000908755602 712848598 /nfs/dbraw/zinc/84/85/98/712848598.db2.gz YVORVHFCCGEODW-UONOGXRCSA-N -1 1 323.368 1.591 20 0 DDADMM C[C@@H](c1ccccc1)N(CC(=O)[O-])C(=O)N[C@H]1CCCN(C)C1 ZINC000908871847 712875509 /nfs/dbraw/zinc/87/55/09/712875509.db2.gz WGUQLUYRSQQPAM-ZFWWWQNUSA-N -1 1 319.405 1.938 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CCOC(C)C)cc1 ZINC000914953358 713404867 /nfs/dbraw/zinc/40/48/67/713404867.db2.gz SLCVJYGXEAQLPT-UHFFFAOYSA-N -1 1 323.345 1.310 20 0 DDADMM CS(=O)(=O)c1ccc(F)c(C(=O)[N-]c2nncs2)c1 ZINC000918148540 713530803 /nfs/dbraw/zinc/53/08/03/713530803.db2.gz BVDNFLASFKZMQY-UHFFFAOYSA-N -1 1 301.324 1.333 20 0 DDADMM COC1(OC)CC([N-]S(=O)(=O)c2c(F)cccc2Cl)C1 ZINC000920078039 713649462 /nfs/dbraw/zinc/64/94/62/713649462.db2.gz YGSXDXWIWIDNQJ-UHFFFAOYSA-N -1 1 323.773 1.909 20 0 DDADMM CN1C[C@@H](CC[N-]S(=O)(=O)c2cc(F)ccc2F)CC1=O ZINC000921083861 713712734 /nfs/dbraw/zinc/71/27/34/713712734.db2.gz RZEZYRHKPHJBTR-VIFPVBQESA-N -1 1 318.345 1.112 20 0 DDADMM COC(=O)/C(C)=C/C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000921222204 713723039 /nfs/dbraw/zinc/72/30/39/713723039.db2.gz UERMZHDKMASQTB-RUDMXATFSA-N -1 1 319.329 1.671 20 0 DDADMM C[C@H]1COC[C@@H]1[N-]S(=O)(=O)c1ncccc1Br ZINC000921499598 713790632 /nfs/dbraw/zinc/79/06/32/713790632.db2.gz CJSBVPDOFFPWKR-CBAPKCEASA-N -1 1 321.196 1.157 20 0 DDADMM CN(C)[C@@H](CC[N-]S(=O)(=O)c1cscn1)C(F)(F)F ZINC000921631336 713826125 /nfs/dbraw/zinc/82/61/25/713826125.db2.gz KBKMCEHDWNPOKZ-ZETCQYMHSA-N -1 1 317.358 1.304 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCS[C@H](C)C2)c1 ZINC000921713975 713851643 /nfs/dbraw/zinc/85/16/43/713851643.db2.gz OUNKBTNQMTWZNI-ZJUUUORDSA-N -1 1 317.432 1.963 20 0 DDADMM NC(=O)CN(C(=O)c1c([O-])cccc1Cl)C1CCOCC1 ZINC000921727540 713855949 /nfs/dbraw/zinc/85/59/49/713855949.db2.gz PKKDBPLEROILBI-UHFFFAOYSA-N -1 1 312.753 1.152 20 0 DDADMM COC[C@H](CNS(=O)(=O)c1cc(OC)ccc1[O-])C(C)C ZINC000921895837 713900104 /nfs/dbraw/zinc/90/01/04/713900104.db2.gz ACZIZFDFYWAMCN-NSHDSACASA-N -1 1 317.407 1.598 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)NCC1=CCCC1 ZINC000931016792 713983694 /nfs/dbraw/zinc/98/36/94/713983694.db2.gz IAEAAQZEFNFGSX-UHFFFAOYSA-N -1 1 324.327 1.556 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC[C@H]2CCNC(=O)[C@H]21 ZINC000922426942 714049582 /nfs/dbraw/zinc/04/95/82/714049582.db2.gz DFPJGSNOIQLMGC-NHYWBVRUSA-N -1 1 322.792 1.715 20 0 DDADMM O=C(NCC1(O)CCC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932042204 714244729 /nfs/dbraw/zinc/24/47/29/714244729.db2.gz DNIQQJLZGZGNMA-UHFFFAOYSA-N -1 1 302.334 1.614 20 0 DDADMM O=C(NC[C@H]1CCC[C@@H]1O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932044308 714245254 /nfs/dbraw/zinc/24/52/54/714245254.db2.gz DQTQVAXDEGYFNC-RISCZKNCSA-N -1 1 316.361 1.860 20 0 DDADMM CC1(O)CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC1 ZINC000932044008 714245410 /nfs/dbraw/zinc/24/54/10/714245410.db2.gz AEACTFQOYLBPRI-UHFFFAOYSA-N -1 1 316.361 1.957 20 0 DDADMM O=C(NC[C@@H]1CC[C@@H](O)C1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932049618 714246216 /nfs/dbraw/zinc/24/62/16/714246216.db2.gz KEQTYDBEIZPFCF-BXUZGUMPSA-N -1 1 316.361 1.860 20 0 DDADMM CC(C)CN(C)C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933104740 714516364 /nfs/dbraw/zinc/51/63/64/714516364.db2.gz WWALHRBCTGFCGI-UHFFFAOYSA-N -1 1 314.332 1.444 20 0 DDADMM COc1cnc([C@H]2CCCN2C[C@H](O)C(F)(F)F)[n-]c1=O ZINC000934274930 714783679 /nfs/dbraw/zinc/78/36/79/714783679.db2.gz XKGLIBUHESCSOD-APPZFPTMSA-N -1 1 307.272 1.251 20 0 DDADMM C[S@](=O)(=NC([O-])=CS(=O)(=O)C1CC1)C1CCCCC1 ZINC000926027122 714992666 /nfs/dbraw/zinc/99/26/66/714992666.db2.gz UOLNLVKLMZUMKM-GOSISDBHSA-N -1 1 307.437 1.521 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C(C)(F)F)C1 ZINC000936360546 715187964 /nfs/dbraw/zinc/18/79/64/715187964.db2.gz WLHWPLQGVVFKCD-VIFPVBQESA-N -1 1 313.304 1.115 20 0 DDADMM CN(C(=O)[C@@H]1C[C@H]1C1CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954381936 715359581 /nfs/dbraw/zinc/35/95/81/715359581.db2.gz UBWLGJPPLJCWFM-QWHCGFSZSA-N -1 1 315.373 1.116 20 0 DDADMM CC(=O)N1CCC(C)(NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000956371869 715420546 /nfs/dbraw/zinc/42/05/46/715420546.db2.gz OUGWRUJSUWRSIY-UHFFFAOYSA-N -1 1 318.377 1.190 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)C2CC=CC2)C1 ZINC000956849551 715636609 /nfs/dbraw/zinc/63/66/09/715636609.db2.gz UHIOLVVOWAYPTK-QGZVFWFLSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000957630942 715976351 /nfs/dbraw/zinc/97/63/51/715976351.db2.gz IEQMRAFCIPUPOA-DDHJBXDOSA-N -1 1 303.362 1.020 20 0 DDADMM O=C(/C=C\C1CC1)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955958800 716036621 /nfs/dbraw/zinc/03/66/21/716036621.db2.gz COXBQFZJQQBERM-WSROAFLRSA-N -1 1 315.373 1.474 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939353551 716198927 /nfs/dbraw/zinc/19/89/27/716198927.db2.gz PUDZTPQZZWOWOL-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@]12C[C@H]1CCC2 ZINC000942060325 717456449 /nfs/dbraw/zinc/45/64/49/717456449.db2.gz HHVGJEDVPBMUPT-PSTGCABASA-N -1 1 315.373 1.308 20 0 DDADMM CCCC(=O)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943205078 718046462 /nfs/dbraw/zinc/04/64/62/718046462.db2.gz AOCMZDMASCBDGV-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM CC(C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000945187020 718418015 /nfs/dbraw/zinc/41/80/15/718418015.db2.gz PGGMKHNRNHWILC-RYUDHWBXSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H]1CN(C(=O)C2=CCCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966314414 718511298 /nfs/dbraw/zinc/51/12/98/718511298.db2.gz IUOGEJNOMGJPBV-WCQYABFASA-N -1 1 315.373 1.474 20 0 DDADMM C[C@@H]1CN(C(=O)C2CCCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000947056238 719004425 /nfs/dbraw/zinc/00/44/25/719004425.db2.gz KGXCFALYUHCXFW-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C/C=C/Cl)[C@H](C)C2)c1[O-] ZINC000947209155 719074429 /nfs/dbraw/zinc/07/44/29/719074429.db2.gz ASNWCSOVYXTSIQ-DREQKGALSA-N -1 1 312.801 1.759 20 0 DDADMM Cc1ccc(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])o1 ZINC000948875316 719760663 /nfs/dbraw/zinc/76/06/63/719760663.db2.gz XFLHVFHGLNXRPG-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000949455639 720098886 /nfs/dbraw/zinc/09/88/86/720098886.db2.gz FDSBEPYVHKPUCI-WDEREUQCSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(/C=C/C1CC1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949534587 720145348 /nfs/dbraw/zinc/14/53/48/720145348.db2.gz BDEJHXSOVFZUHF-BTDICHCPSA-N -1 1 301.346 1.084 20 0 DDADMM O=C(/C=C\C1CC1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949534589 720145566 /nfs/dbraw/zinc/14/55/66/720145566.db2.gz BDEJHXSOVFZUHF-FOQNGQEVSA-N -1 1 301.346 1.084 20 0 DDADMM CC1(C)CC[C@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000969874051 720544120 /nfs/dbraw/zinc/54/41/20/720544120.db2.gz GGHJAIVDEUCOMQ-WDEREUQCSA-N -1 1 307.398 1.027 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950543221 720676729 /nfs/dbraw/zinc/67/67/29/720676729.db2.gz UFNDXMHGMSDSQW-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CCC1(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC000970377313 720735835 /nfs/dbraw/zinc/73/58/35/720735835.db2.gz YTHGUKNMFIOLNV-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM CCC(CC)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954124352 721723654 /nfs/dbraw/zinc/72/36/54/721723654.db2.gz WVAWWRSLQJGVQH-UHFFFAOYSA-N -1 1 305.378 1.506 20 0 DDADMM C[C@H](O)CC(C)(C)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692823284 738104297 /nfs/dbraw/zinc/10/42/97/738104297.db2.gz LFFNQTKJVFHTJJ-QMMMGPOBSA-N -1 1 324.805 1.950 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001087112320 732702614 /nfs/dbraw/zinc/70/26/14/732702614.db2.gz UOOGWPGRHDPMCZ-NEPJUHHUSA-N -1 1 309.414 1.273 20 0 DDADMM Cc1ccncc1CN1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038193755 733199056 /nfs/dbraw/zinc/19/90/56/733199056.db2.gz LBACYPWHJFDLHS-CQSZACIVSA-N -1 1 312.373 1.495 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCCN2Cc2cccnc2)c1[O-] ZINC001027829538 738705369 /nfs/dbraw/zinc/70/53/69/738705369.db2.gz CXJYGSJGDCCJHM-ZDUSSCGKSA-N -1 1 315.377 1.213 20 0 DDADMM C[C@H](CCCO)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692879567 738741327 /nfs/dbraw/zinc/74/13/27/738741327.db2.gz JXPCTXBWZUTUGM-MRVPVSSYSA-N -1 1 310.778 1.561 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)C2CC2)CN1C(=O)c1ncccc1[O-] ZINC001010127145 738793775 /nfs/dbraw/zinc/79/37/75/738793775.db2.gz RLQMQAJQNDTWPG-QWRGUYRKSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(NCC1(Nc2ncccn2)CCCC1)c1ncccc1[O-] ZINC001104382649 734509067 /nfs/dbraw/zinc/50/90/67/734509067.db2.gz ZNRHWXMOCKYVSI-UHFFFAOYSA-N -1 1 313.361 1.732 20 0 DDADMM COC[C@H]1CCN(c2nnc(-c3cc(Cl)ncc3[O-])n2C)C1 ZINC001121338609 782463363 /nfs/dbraw/zinc/46/33/63/782463363.db2.gz XXQBTIIJBPRXEZ-VIFPVBQESA-N -1 1 323.784 1.709 20 0 DDADMM CCCC(=O)N1CCCC[C@H]1[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001025192789 736349843 /nfs/dbraw/zinc/34/98/43/736349843.db2.gz RGVUBFBAEOCEDZ-STQMWFEESA-N -1 1 321.425 1.656 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@H](O)C1)c1ccc(Cl)nc1F ZINC000692888751 738959939 /nfs/dbraw/zinc/95/99/39/738959939.db2.gz MSWVGHLFGPQZID-YUMQZZPRSA-N -1 1 308.762 1.313 20 0 DDADMM Cc1coc(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001029812928 741342367 /nfs/dbraw/zinc/34/23/67/741342367.db2.gz PMJBEBKVCREYJT-NSHDSACASA-N -1 1 319.365 1.006 20 0 DDADMM CC(C)c1noc([C@H](C)NCCNC(=O)c2ncccc2[O-])n1 ZINC001126111233 738304973 /nfs/dbraw/zinc/30/49/73/738304973.db2.gz ZIVREZZZTLVPIW-JTQLQIEISA-N -1 1 319.365 1.374 20 0 DDADMM C[C@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692893994 738964163 /nfs/dbraw/zinc/96/41/63/738964163.db2.gz OCXIBEPTRHIPBQ-RDDDGLTNSA-N -1 1 308.762 1.720 20 0 DDADMM CCCCCC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075620593 739222519 /nfs/dbraw/zinc/22/25/19/739222519.db2.gz VAEOJUXDTZZELW-LBPRGKRZSA-N -1 1 307.394 1.992 20 0 DDADMM CC(=O)N1CC[C@H](CCNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001058973799 739350767 /nfs/dbraw/zinc/35/07/67/739350767.db2.gz QICWPAOYYWZHFX-NSHDSACASA-N -1 1 318.377 1.048 20 0 DDADMM O=C(NCC[C@@H]1CCN(C(=O)C2CC2)C1)c1ncccc1[O-] ZINC001058988058 739503916 /nfs/dbraw/zinc/50/39/16/739503916.db2.gz VFLQXTFWWFUVEH-LLVKDONJSA-N -1 1 303.362 1.166 20 0 DDADMM CC(C)CC(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059059859 739812220 /nfs/dbraw/zinc/81/22/20/739812220.db2.gz GZDCXVFWOINSOH-ZDUSSCGKSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(CC1CC1)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514896 741088769 /nfs/dbraw/zinc/08/87/69/741088769.db2.gz MPLGKKUQSFTHAG-ZDUSSCGKSA-N -1 1 317.389 1.556 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)C2CCCC2)CC1 ZINC001029926312 741599894 /nfs/dbraw/zinc/59/98/94/741599894.db2.gz JCSJIUCVEUBQNQ-UHFFFAOYSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCC1(C)C ZINC001076246593 742619376 /nfs/dbraw/zinc/61/93/76/742619376.db2.gz ZVJMHLOAIOSLHE-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@H](NC(=O)[C@H]1C[C@@H]1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006622430 751798400 /nfs/dbraw/zinc/79/84/00/751798400.db2.gz FBCHCTCQQMWSPO-NHCYSSNCSA-N -1 1 303.362 1.020 20 0 DDADMM O=C(Cc1n[nH]c2ccccc21)NCCCC[P@@](=O)([O-])O ZINC001182063126 743466866 /nfs/dbraw/zinc/46/68/66/743466866.db2.gz QSQGWPGWKRPXAN-UHFFFAOYSA-N -1 1 311.278 1.180 20 0 DDADMM O=C(Cc1[nH]nc2ccccc21)NCCCC[P@](=O)([O-])O ZINC001182063126 743466869 /nfs/dbraw/zinc/46/68/69/743466869.db2.gz QSQGWPGWKRPXAN-UHFFFAOYSA-N -1 1 311.278 1.180 20 0 DDADMM O=C(Cc1[nH]nc2ccccc21)NCCCC[P@@](=O)([O-])O ZINC001182063126 743466871 /nfs/dbraw/zinc/46/68/71/743466871.db2.gz QSQGWPGWKRPXAN-UHFFFAOYSA-N -1 1 311.278 1.180 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002820947 743475197 /nfs/dbraw/zinc/47/51/97/743475197.db2.gz OQQQJIFWDBYUFT-XQQFMLRXSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@@H]1C(=O)OC[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001182091140 743489604 /nfs/dbraw/zinc/48/96/04/743489604.db2.gz WYHUDAHUELTJLV-JOYOIKCWSA-N -1 1 301.302 1.326 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C34CCC(CO)(CC3)CC4)c1-2 ZINC001182704913 743701315 /nfs/dbraw/zinc/70/13/15/743701315.db2.gz YJNZFQDAOJOYEH-UHFFFAOYSA-N -1 1 315.377 1.306 20 0 DDADMM Nc1c2c(=O)nccc-2[n-]n1C(=O)Cc1ccc2nc[nH]c2c1 ZINC001182847175 743728913 /nfs/dbraw/zinc/72/89/13/743728913.db2.gz VXVASASBPMRQSB-UHFFFAOYSA-N -1 1 308.301 1.018 20 0 DDADMM COC(=O)[C@H]1CCC[C@H](C(=O)NCCCC[P@](=O)([O-])O)C1 ZINC001183422994 743839038 /nfs/dbraw/zinc/83/90/38/743839038.db2.gz YRBAUKKAIHFVJK-QWRGUYRKSA-N -1 1 321.310 1.040 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1nc(Br)ccc1F ZINC001183561422 743856610 /nfs/dbraw/zinc/85/66/10/743856610.db2.gz BKFUYXIROHIIOV-UHFFFAOYSA-N -1 1 301.075 1.664 20 0 DDADMM CC(=O)N1CCCC[C@@H]1CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001184122331 743969962 /nfs/dbraw/zinc/96/99/62/743969962.db2.gz DLHRZEIYWSYNSL-MRVPVSSYSA-N -1 1 319.287 1.553 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@@H](O)Cc1cccc(F)c1 ZINC001184143575 743975750 /nfs/dbraw/zinc/97/57/50/743975750.db2.gz JMFBQRQRIUDJFO-QMMMGPOBSA-N -1 1 318.230 1.505 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2)c(C)n1 ZINC001184664838 744080345 /nfs/dbraw/zinc/08/03/45/744080345.db2.gz VNMQUWVXQGKILE-UHFFFAOYSA-N -1 1 321.358 1.901 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cc(C2CCC2)ccn1 ZINC001185299296 744200646 /nfs/dbraw/zinc/20/06/46/744200646.db2.gz JPPHUKCQDCIHDO-UHFFFAOYSA-N -1 1 301.306 1.385 20 0 DDADMM CC(C)(O)CCN1CCN(C(=O)c2cccc([S-])c2)CC1 ZINC001186150102 744351072 /nfs/dbraw/zinc/35/10/72/744351072.db2.gz ZZSWDMMWKOSAGZ-UHFFFAOYSA-N -1 1 308.447 1.894 20 0 DDADMM O=C(Nc1ccc2c(c1)C(=O)NCCO2)c1ccc([O-])c(F)c1 ZINC001186202112 744356302 /nfs/dbraw/zinc/35/63/02/744356302.db2.gz JAIBFPRPTAXTDD-UHFFFAOYSA-N -1 1 316.288 1.906 20 0 DDADMM COC[C@H](C)Oc1cc(NC(=O)c2ccc([O-])c(F)c2)[nH]n1 ZINC001186208355 744364111 /nfs/dbraw/zinc/36/41/11/744364111.db2.gz BIHOBPPBLCQJTM-QMMMGPOBSA-N -1 1 309.297 1.920 20 0 DDADMM COc1cccc(C[N-]S(=O)(=O)c2cnoc2C)c1F ZINC001187408693 744557528 /nfs/dbraw/zinc/55/75/28/744557528.db2.gz DVRPNRGCSVIDFF-UHFFFAOYSA-N -1 1 300.311 1.609 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)c1nccs1)[C@@H](C)CC ZINC001187912966 744629206 /nfs/dbraw/zinc/62/92/06/744629206.db2.gz RASLSDNKNOOYKN-IUCAKERBSA-N -1 1 306.409 1.399 20 0 DDADMM O=c1ccc2c([nH]1)CC[C@H]([N-]S(=O)(=O)c1nccs1)C2 ZINC001187902570 744627048 /nfs/dbraw/zinc/62/70/48/744627048.db2.gz RMTXYXGXTHFVDM-VIFPVBQESA-N -1 1 311.388 1.080 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1nccs1)OCc1ccccc1 ZINC001187909182 744628428 /nfs/dbraw/zinc/62/84/28/744628428.db2.gz VQFQYSIGPOWTKB-UHFFFAOYSA-N -1 1 312.372 1.165 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1ccc(Cl)cc1)c1nccs1 ZINC001187913248 744629338 /nfs/dbraw/zinc/62/93/38/744629338.db2.gz VCAUKTUHQFXTRX-SNVBAGLBSA-N -1 1 318.807 1.808 20 0 DDADMM COc1cc(C)[nH]c(=O)c1C[N-]S(=O)(=O)c1nccs1 ZINC001187921079 744635968 /nfs/dbraw/zinc/63/59/68/744635968.db2.gz WWCWYGROQOTDJW-UHFFFAOYSA-N -1 1 315.376 1.039 20 0 DDADMM CCOc1cnc(NC(=O)c2ncc(C(F)(F)F)[n-]2)cn1 ZINC001188281143 744686717 /nfs/dbraw/zinc/68/67/17/744686717.db2.gz QWELUCCICNNEJV-UHFFFAOYSA-N -1 1 301.228 1.870 20 0 DDADMM CCOc1cnc(NC(=O)c2nc(C(F)(F)F)c[n-]2)cn1 ZINC001188281143 744686719 /nfs/dbraw/zinc/68/67/19/744686719.db2.gz QWELUCCICNNEJV-UHFFFAOYSA-N -1 1 301.228 1.870 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ccc2cc(C)ccc2n1 ZINC001188350626 744699159 /nfs/dbraw/zinc/69/91/59/744699159.db2.gz XBUZHIZDSBFKCC-UHFFFAOYSA-N -1 1 308.359 1.848 20 0 DDADMM CN(C)c1nc(NS(=O)(=O)c2ccoc2)c(N=O)c(=O)[n-]1 ZINC001188575951 744729648 /nfs/dbraw/zinc/72/96/48/744729648.db2.gz CQIPHJLGXSOFQF-UHFFFAOYSA-N -1 1 313.295 1.040 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(-c4ccncc4)[nH]n3)c1-2 ZINC001189313057 744869946 /nfs/dbraw/zinc/86/99/46/744869946.db2.gz FFBWNRGWSFVLOY-UHFFFAOYSA-N -1 1 320.316 1.072 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2ncccc2CCO)c(OC)c1 ZINC001190122135 745123072 /nfs/dbraw/zinc/12/30/72/745123072.db2.gz PIUSKTJEEGQQLL-UHFFFAOYSA-N -1 1 318.329 1.592 20 0 DDADMM O=C(N[C@H]1CCC[C@H]1CO)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190637346 745282540 /nfs/dbraw/zinc/28/25/40/745282540.db2.gz FVVZROVMWBSOJO-JQWIXIFHSA-N -1 1 314.345 1.135 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1ccncc1)c1ccccc1 ZINC001190912040 745382974 /nfs/dbraw/zinc/38/29/74/745382974.db2.gz KSFKUJZOKFEAML-CQSZACIVSA-N -1 1 320.370 1.664 20 0 DDADMM COCOc1ccc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001191289097 745472309 /nfs/dbraw/zinc/47/23/09/745472309.db2.gz XDLLVVIMTYCHAY-UHFFFAOYSA-N -1 1 315.289 1.294 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@](C)(F)[C@H](F)C2)c(=O)[n-]1 ZINC001191428840 745509559 /nfs/dbraw/zinc/50/95/59/745509559.db2.gz WGONFBAUQOKDSH-PELKAZGASA-N -1 1 303.334 1.816 20 0 DDADMM Nc1cn(C(=O)c2ccccc2C(=O)c2ccc([O-])cc2)nc1N ZINC001191489350 745521163 /nfs/dbraw/zinc/52/11/63/745521163.db2.gz SUWDKAQYQRTWBJ-UHFFFAOYSA-N -1 1 322.324 1.673 20 0 DDADMM CC(=O)N1CCC[C@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192044047 745681450 /nfs/dbraw/zinc/68/14/50/745681450.db2.gz JISXJZMVVSOQOR-ZETCQYMHSA-N -1 1 323.629 1.244 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc(C(F)F)cn2)n1 ZINC001192552876 745813654 /nfs/dbraw/zinc/81/36/54/745813654.db2.gz FAAJOUHMEGDNBL-UHFFFAOYSA-N -1 1 311.248 1.566 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1c(F)ccc([O-])c1F)C(F)(F)F ZINC001192552760 745814545 /nfs/dbraw/zinc/81/45/45/745814545.db2.gz RUZHOEBNZJWCJC-MRVPVSSYSA-N -1 1 313.178 1.504 20 0 DDADMM CCCCCSc1ncccc1C(=O)NCc1nn[n-]n1 ZINC001192590757 745835046 /nfs/dbraw/zinc/83/50/46/745835046.db2.gz ZXPZPDVZLCZNBA-UHFFFAOYSA-N -1 1 306.395 1.807 20 0 DDADMM COC(=O)c1cncc(NC(=O)c2cc([O-])cc(F)c2F)n1 ZINC001192651316 745845254 /nfs/dbraw/zinc/84/52/54/745845254.db2.gz GDNNDQOTGFTCPE-UHFFFAOYSA-N -1 1 309.228 1.499 20 0 DDADMM O=C(NC[C@H](O)COc1ccccc1)c1cc([O-])cc(F)c1F ZINC001192670938 745856398 /nfs/dbraw/zinc/85/63/98/745856398.db2.gz RCPQZTKTDBJYDP-NSHDSACASA-N -1 1 323.295 1.840 20 0 DDADMM NC(=O)c1ccc(CNC(=O)c2cc([O-])cc(F)c2F)cc1 ZINC001192670182 745856851 /nfs/dbraw/zinc/85/68/51/745856851.db2.gz FLGDZCPWWARSGA-UHFFFAOYSA-N -1 1 306.268 1.699 20 0 DDADMM CC(=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)c(F)c1 ZINC001193264610 746036380 /nfs/dbraw/zinc/03/63/80/746036380.db2.gz UJQUWQSIHNNDHI-LLVKDONJSA-N -1 1 301.339 1.949 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)CC2CCC2)Cc2ccccc2C1 ZINC001193468274 746112175 /nfs/dbraw/zinc/11/21/75/746112175.db2.gz QQPXQXFFTAMGCP-UHFFFAOYSA-N -1 1 323.414 1.417 20 0 DDADMM CCOc1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cnn1 ZINC001194449426 746374371 /nfs/dbraw/zinc/37/43/71/746374371.db2.gz JHIWNQXKFDQZPL-UHFFFAOYSA-N -1 1 302.216 1.265 20 0 DDADMM O=S(=O)([N-]C1(CO)CCCC1)c1ncccc1C(F)(F)F ZINC001194578968 746413235 /nfs/dbraw/zinc/41/32/35/746413235.db2.gz XPMLJKRSEOOXKV-UHFFFAOYSA-N -1 1 324.324 1.684 20 0 DDADMM O=C(Nc1nc[n-]c(=O)c1Br)c1c[nH]nc1Cl ZINC001194945319 746493114 /nfs/dbraw/zinc/49/31/14/746493114.db2.gz KRGBTIRSQBYQKR-UHFFFAOYSA-N -1 1 318.518 1.574 20 0 DDADMM CC[C@@H](C)OC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1[O-] ZINC001195126715 746533858 /nfs/dbraw/zinc/53/38/58/746533858.db2.gz WDVDSMLTSYKWJU-SECBINFHSA-N -1 1 316.379 1.990 20 0 DDADMM Nc1ncc(Cl)nc1NC(=O)c1cc([O-])cnc1Cl ZINC001195297526 746569740 /nfs/dbraw/zinc/56/97/40/746569740.db2.gz CJZXRYKGFRJMFJ-UHFFFAOYSA-N -1 1 300.105 1.719 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CCC[C@H](n2ccnn2)C1 ZINC001195308592 746572599 /nfs/dbraw/zinc/57/25/99/746572599.db2.gz HFOXKGHAWCDNBU-VIFPVBQESA-N -1 1 307.741 1.509 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C1CC(Cl)(Cl)C1 ZINC001195447946 746603237 /nfs/dbraw/zinc/60/32/37/746603237.db2.gz MSIOHQXIEQHJKZ-UHFFFAOYSA-N -1 1 323.267 1.665 20 0 DDADMM O=C(Nc1cc(Cl)ncc1CO)c1c[nH]c(=S)[n-]c1=O ZINC001196014462 746756550 /nfs/dbraw/zinc/75/65/50/746756550.db2.gz QBNBIEBGPKGKFR-UHFFFAOYSA-N -1 1 312.738 1.264 20 0 DDADMM Cc1cc2c(cccc2NC(=O)c2c[nH]c(=S)[n-]c2=O)nn1 ZINC001196016174 746757555 /nfs/dbraw/zinc/75/75/55/746757555.db2.gz QJLCWYUPYBFVMU-UHFFFAOYSA-N -1 1 313.342 1.975 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC001196016878 746757677 /nfs/dbraw/zinc/75/76/77/746757677.db2.gz ZCXSOUBBYQZXSY-MRVPVSSYSA-N -1 1 305.363 1.214 20 0 DDADMM Cc1c(NC(=O)c2sccc2[N-]S(C)(=O)=O)ncn1C ZINC001196722565 746944494 /nfs/dbraw/zinc/94/44/94/746944494.db2.gz CIVBDTDBMQRSLG-UHFFFAOYSA-N -1 1 314.392 1.414 20 0 DDADMM Cc1c(F)ccc(F)c1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001196744484 746955576 /nfs/dbraw/zinc/95/55/76/746955576.db2.gz CBPDIDISSQKREM-UHFFFAOYSA-N -1 1 305.244 1.897 20 0 DDADMM CC(=O)[C@@H]([N-]S(=O)(=O)c1cc(C)ccn1)c1ccccc1 ZINC001198019085 747338542 /nfs/dbraw/zinc/33/85/42/747338542.db2.gz SEUHPOAPFAILQK-OAHLLOKOSA-N -1 1 304.371 1.999 20 0 DDADMM Cc1ccnc(S(=O)(=O)[N-]CC(F)(F)c2ccccn2)c1 ZINC001198024857 747352207 /nfs/dbraw/zinc/35/22/07/747352207.db2.gz CDNJJWXRJPPXBQ-UHFFFAOYSA-N -1 1 313.329 1.855 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)c2ccc(C)nc2)c(F)c1 ZINC001198321543 747451533 /nfs/dbraw/zinc/45/15/33/747451533.db2.gz VCWUOKQSUVYUPP-UHFFFAOYSA-N -1 1 323.349 1.690 20 0 DDADMM C[C@@H]1CCc2c(C(=O)Nc3n[n-]c(C(F)(F)F)n3)n[nH]c21 ZINC001198425153 747489375 /nfs/dbraw/zinc/48/93/75/747489375.db2.gz OQKCWTPKKSOFDA-SCSAIBSYSA-N -1 1 300.244 1.849 20 0 DDADMM COC(=O)c1cnc(NC(=O)c2ccc([O-])c(=O)[nH]2)c(Cl)c1 ZINC001199197932 747737588 /nfs/dbraw/zinc/73/75/88/747737588.db2.gz YUDHUUDZKAUXMX-UHFFFAOYSA-N -1 1 323.692 1.580 20 0 DDADMM O=C([C@H]1[C@@H]2CCCC[C@@H]21)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998834468 752272842 /nfs/dbraw/zinc/27/28/42/752272842.db2.gz VUIHMRSXBFZLQF-CIQGVGRVSA-N -1 1 319.409 1.027 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@@H](F)C(C)(C)O ZINC001201769583 748599946 /nfs/dbraw/zinc/59/99/46/748599946.db2.gz SKXHKBOGVOFXQA-ZCFIWIBFSA-N -1 1 316.807 1.492 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1COC[C@@H]1F ZINC001201772566 748600794 /nfs/dbraw/zinc/60/07/94/748600794.db2.gz NVTOVSBYSVAHIN-WDSKDSINSA-N -1 1 300.764 1.120 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cnccc1OC(F)F ZINC001201904320 748634832 /nfs/dbraw/zinc/63/48/32/748634832.db2.gz AQZYMBPYSCPTQD-UHFFFAOYSA-N -1 1 324.305 1.378 20 0 DDADMM CC(C)=CC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004681966 748645972 /nfs/dbraw/zinc/64/59/72/748645972.db2.gz LYGDKCXCTZYDTM-VXGBXAGGSA-N -1 1 307.398 1.336 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001004760812 748751940 /nfs/dbraw/zinc/75/19/40/748751940.db2.gz SZFOOIBCLGNKMC-WIGWWYOCSA-N -1 1 317.389 1.720 20 0 DDADMM O=C(NCCOC(F)(F)F)c1c[n-]c2cccnc2c1=O ZINC001153861100 749285255 /nfs/dbraw/zinc/28/52/55/749285255.db2.gz WWQBESDOCYWRBH-UHFFFAOYSA-N -1 1 301.224 1.189 20 0 DDADMM C/C=C(/C)C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996145609 749395693 /nfs/dbraw/zinc/39/56/93/749395693.db2.gz SRLMPXNAFXYVPC-NRWZMNKUSA-N -1 1 315.373 1.615 20 0 DDADMM CC(C)C(=O)N1CCCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001005404674 749983245 /nfs/dbraw/zinc/98/32/45/749983245.db2.gz HPWCQGONYXPOJV-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM CCCCN1CCO[C@](C)(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001107873108 750505565 /nfs/dbraw/zinc/50/55/65/750505565.db2.gz ZKFNNPDAFBDHNC-OAHLLOKOSA-N -1 1 310.398 1.045 20 0 DDADMM CCn1cc(Nc2cc(S(C)(=O)=O)ccc2[O-])ccc1=O ZINC001212914296 750947081 /nfs/dbraw/zinc/94/70/81/750947081.db2.gz BDLFNHIZSNMSIB-UHFFFAOYSA-N -1 1 308.359 1.721 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](NCc2ccon2)C1 ZINC000998995054 752418239 /nfs/dbraw/zinc/41/82/39/752418239.db2.gz JSMYTSGQVOZVTJ-LBPRGKRZSA-N -1 1 302.334 1.170 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001008573635 752806699 /nfs/dbraw/zinc/80/66/99/752806699.db2.gz JLKWBTSJJIQFOA-LBPRGKRZSA-N -1 1 319.405 1.800 20 0 DDADMM CC(F)(F)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062393507 752952241 /nfs/dbraw/zinc/95/22/41/752952241.db2.gz JHXKBFHEOMAOIQ-UHFFFAOYSA-N -1 1 313.304 1.211 20 0 DDADMM O=C(C1=CCCC1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036686358 753352566 /nfs/dbraw/zinc/35/25/66/753352566.db2.gz NPEYINZPIIXWTD-STQMWFEESA-N -1 1 317.393 1.043 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2=CCCC2)C1 ZINC001005950313 753410045 /nfs/dbraw/zinc/41/00/45/753410045.db2.gz JAWHHOSPVANSAO-LLVKDONJSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@@H](CCNC(=O)C(C)(C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001077931039 753442325 /nfs/dbraw/zinc/44/23/25/753442325.db2.gz AHQCFZLEDGDHBQ-NSHDSACASA-N -1 1 319.405 1.848 20 0 DDADMM CC(=O)NC[C@H]1C[C@@H](C)N(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001010163737 753651361 /nfs/dbraw/zinc/65/13/61/753651361.db2.gz KUBCNIYQNRPFJW-MWLCHTKSSA-N -1 1 318.377 1.046 20 0 DDADMM O=C(NCC1(NC(=O)C2CCC2)CCC1)c1ncccc1[O-] ZINC001062838981 753758969 /nfs/dbraw/zinc/75/89/69/753758969.db2.gz CFZFYKBTFIALMO-UHFFFAOYSA-N -1 1 303.362 1.356 20 0 DDADMM O=C(N[C@@H]1CC[N@@H+](Cc2ccc(F)cc2)C1)c1ncccc1[O-] ZINC001010723956 754123677 /nfs/dbraw/zinc/12/36/77/754123677.db2.gz YEPVMQPQQYGDEB-CQSZACIVSA-N -1 1 315.348 1.931 20 0 DDADMM Cc1ncoc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010734828 754130880 /nfs/dbraw/zinc/13/08/80/754130880.db2.gz VIBBOUKSOPYHJU-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM CO[C@H](C)CC(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066982243 754144932 /nfs/dbraw/zinc/14/49/32/754144932.db2.gz GEAFZPNNABDFHB-GFCCVEGCSA-N -1 1 323.393 1.133 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(C)(F)F ZINC001011376847 754484209 /nfs/dbraw/zinc/48/42/09/754484209.db2.gz GIPYSBNXPVFZJH-IUCAKERBSA-N -1 1 313.304 1.162 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C=C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012504699 755112366 /nfs/dbraw/zinc/11/23/66/755112366.db2.gz CCWFVYBTTMZQNQ-WCQYABFASA-N -1 1 315.373 1.617 20 0 DDADMM CCc1ncnc(N[C@H](C)CNC(=O)c2ncccc2[O-])c1F ZINC001097764097 757508424 /nfs/dbraw/zinc/50/84/24/757508424.db2.gz ASCWDGIXLOHLPJ-SECBINFHSA-N -1 1 319.340 1.509 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001085114313 758256961 /nfs/dbraw/zinc/25/69/61/758256961.db2.gz RBDNTJWXNALBDR-VXGBXAGGSA-N -1 1 307.398 1.218 20 0 DDADMM Cn1cc(Br)nc(NCc2ncccc2[O-])c1=O ZINC001169730607 762637413 /nfs/dbraw/zinc/63/74/13/762637413.db2.gz DCSBNVPAVBTJLM-UHFFFAOYSA-N -1 1 311.139 1.256 20 0 DDADMM CCNC(=O)N1CC[C@H](NC(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001137267582 759403911 /nfs/dbraw/zinc/40/39/11/759403911.db2.gz BEJUMNSGCZZQMD-LBPRGKRZSA-N -1 1 319.361 1.047 20 0 DDADMM Cc1nc(CN2CC[C@H]2CN(C)C(=O)c2ncccc2[O-])co1 ZINC001085561419 759698112 /nfs/dbraw/zinc/69/81/12/759698112.db2.gz LCPGGTXRTBIHLS-ZDUSSCGKSA-N -1 1 316.361 1.430 20 0 DDADMM Cc1oncc1CN1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001085562328 759728976 /nfs/dbraw/zinc/72/89/76/759728976.db2.gz IXIZMXMGYDLXHF-CYBMUJFWSA-N -1 1 316.361 1.430 20 0 DDADMM Cc1[nH]nc(C(=O)N2C[C@@H](C)[C@H](NCc3nccs3)C2)c1[O-] ZINC001054570972 759830062 /nfs/dbraw/zinc/83/00/62/759830062.db2.gz JWQMNIARPYZDTR-PSASIEDQSA-N -1 1 321.406 1.131 20 0 DDADMM C[C@@H]1CN(C(=O)CC(C)(C)C2CC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054645717 759943022 /nfs/dbraw/zinc/94/30/22/759943022.db2.gz XGCURJJYXIAULQ-PWSUYJOCSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001001547053 762950220 /nfs/dbraw/zinc/95/02/20/762950220.db2.gz YIEMOQGPRPTRBM-WCQYABFASA-N -1 1 317.389 1.412 20 0 DDADMM Cc1ccc(N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC001057623874 764157420 /nfs/dbraw/zinc/15/74/20/764157420.db2.gz REXDRVPXVAKJFX-GFCCVEGCSA-N -1 1 313.361 1.602 20 0 DDADMM C[C@H](c1ncccn1)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042646192 764309880 /nfs/dbraw/zinc/30/98/80/764309880.db2.gz MUPPEIOQYALRKI-LLVKDONJSA-N -1 1 313.361 1.095 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H](C)Nc1cnc(F)cn1 ZINC001112983845 765083121 /nfs/dbraw/zinc/08/31/21/765083121.db2.gz QUIFDFCTTUWITF-RKDXNWHRSA-N -1 1 305.313 1.335 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nncc4cc(F)ccc43)c2[nH]1 ZINC001170214128 766174320 /nfs/dbraw/zinc/17/43/20/766174320.db2.gz XFNPTFMWOXXFPF-UHFFFAOYSA-N -1 1 313.252 1.542 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc(Cl)cc3nc[nH]c32)[n-]1 ZINC001170223320 766196841 /nfs/dbraw/zinc/19/68/41/766196841.db2.gz MAMNTSCGNHBZAZ-UHFFFAOYSA-N -1 1 302.685 1.727 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nnc(Cl)c3c2CCC3)[n-]1 ZINC001170227032 766201173 /nfs/dbraw/zinc/20/11/73/766201173.db2.gz XFJLOGOIHPDAGX-UHFFFAOYSA-N -1 1 303.713 1.734 20 0 DDADMM Cc1cc(C)nc(NC2(CNC(=O)c3ncccc3[O-])CC2)n1 ZINC001110098081 766244562 /nfs/dbraw/zinc/24/45/62/766244562.db2.gz QLBXDUJXEINETG-UHFFFAOYSA-N -1 1 313.361 1.569 20 0 DDADMM C[C@H]1NCCn2c(C(=O)N(CC(=O)[O-])CC(C)(C)C)ccc21 ZINC000900464392 766863764 /nfs/dbraw/zinc/86/37/64/766863764.db2.gz CEOADQLTBHAWAA-LLVKDONJSA-N -1 1 307.394 1.725 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)/C=C\c3ccncc3C)nc2n1 ZINC001129519536 766924508 /nfs/dbraw/zinc/92/45/08/766924508.db2.gz IHBILTYGVANICV-ARJAWSKDSA-N -1 1 310.317 1.081 20 0 DDADMM CC(C)(C)OCc1cc(C(=O)[N-]c2nc3cnccn3n2)no1 ZINC001132527882 768947178 /nfs/dbraw/zinc/94/71/78/768947178.db2.gz UPNFHSVIYIMHDX-UHFFFAOYSA-N -1 1 316.321 1.680 20 0 DDADMM Cc1ccnc(C(=O)[N-]c2nc(-c3cnn(C)c3)ns2)n1 ZINC001134869962 771220730 /nfs/dbraw/zinc/22/07/30/771220730.db2.gz YOURTYUGBQCSGZ-UHFFFAOYSA-N -1 1 301.335 1.289 20 0 DDADMM CC[C@](N)(CO)Nc1ccc(Br)cc1C(=O)[O-] ZINC001170865301 771778087 /nfs/dbraw/zinc/77/80/87/771778087.db2.gz LUKJPYRZBMVMLS-LLVKDONJSA-N -1 1 303.156 1.617 20 0 DDADMM CNC(=O)[C@@H]1CCCN(C(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001136753881 772122680 /nfs/dbraw/zinc/12/26/80/772122680.db2.gz BJCHIPAWGJHRQZ-LLVKDONJSA-N -1 1 304.346 1.111 20 0 DDADMM CCCc1cc(NC(=O)c2ccc3n[n-]c(=S)n3c2)n[nH]1 ZINC001136840416 772170579 /nfs/dbraw/zinc/17/05/79/772170579.db2.gz OQDJREYZUZAPPQ-UHFFFAOYSA-N -1 1 302.363 1.946 20 0 DDADMM O=C([O-])c1ccsc1CN1CCC[C@@H](NC(=O)C2CC2)C1 ZINC001144684434 772596311 /nfs/dbraw/zinc/59/63/11/772596311.db2.gz DOYLFZRRJGLKKG-LLVKDONJSA-N -1 1 308.403 1.937 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC[C@](C)(CO)CC2)c1[O-] ZINC001147836824 773264592 /nfs/dbraw/zinc/26/45/92/773264592.db2.gz QNUPVARRFNYSKH-INIZCTEOSA-N -1 1 308.378 1.213 20 0 DDADMM CC(=O)c1ccc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001148300141 773424765 /nfs/dbraw/zinc/42/47/65/773424765.db2.gz XUYNXNYRYSCCAM-UHFFFAOYSA-N -1 1 302.246 1.741 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N[C@H]3CCOC[C@H]3C)cc2)[n-]1 ZINC001148339473 773441668 /nfs/dbraw/zinc/44/16/68/773441668.db2.gz DOASUZZINYTOEK-ABAIWWIYSA-N -1 1 315.373 1.954 20 0 DDADMM O=C(NC[C@H]1CCC(=O)N1)c1ccc(C(F)(F)F)cc1[O-] ZINC001148798801 773603904 /nfs/dbraw/zinc/60/39/04/773603904.db2.gz DNUUBJBNYBABAA-MRVPVSSYSA-N -1 1 302.252 1.419 20 0 DDADMM O=C(NC[C@@H]1CNC(=O)O1)c1cccc(-c2ccccc2)c1[O-] ZINC001148871860 773622554 /nfs/dbraw/zinc/62/25/54/773622554.db2.gz BGINDUJLYVBLOF-GFCCVEGCSA-N -1 1 312.325 1.897 20 0 DDADMM CCN(CC)c1nc([N-]c2nccnc2CN)nc2cccnc21 ZINC001171441324 775767614 /nfs/dbraw/zinc/76/76/14/775767614.db2.gz PBDGDNDHFIYMLA-UHFFFAOYSA-N -1 1 324.392 1.863 20 0 DDADMM C[C@@H](CCN(C)C(=O)OC(C)(C)C)[NH2+]CCP(=O)([O-])[O-] ZINC001172953949 776954930 /nfs/dbraw/zinc/95/49/30/776954930.db2.gz MKVUYPDFKSCQRH-JTQLQIEISA-N -1 1 310.331 1.399 20 0 DDADMM CC(=O)c1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1 ZINC001173898235 777369095 /nfs/dbraw/zinc/36/90/95/777369095.db2.gz IUNLMLJBWLYACM-UHFFFAOYSA-N -1 1 305.359 1.854 20 0 DDADMM Cn1ncc2ccc([N-]S(=O)(=O)c3ccccc3N)cc21 ZINC001174340517 777461040 /nfs/dbraw/zinc/46/10/40/777461040.db2.gz LFARGWAOBXEVEM-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM Cc1nc(CC(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)cs1 ZINC001176107408 778049171 /nfs/dbraw/zinc/04/91/71/778049171.db2.gz GXLHLOHTLVDTPP-UHFFFAOYSA-N -1 1 322.350 1.592 20 0 DDADMM Nc1ccc(F)cc1S(=O)(=O)[N-]c1ccc2c(c1)CC(=O)N2 ZINC001176432222 778141906 /nfs/dbraw/zinc/14/19/06/778141906.db2.gz DXQMOEICQIYKBA-UHFFFAOYSA-N -1 1 321.333 1.703 20 0 DDADMM Nc1cc(F)c(C(F)(F)F)cc1NC(=O)Cc1nn[n-]n1 ZINC001176842986 778272437 /nfs/dbraw/zinc/27/24/37/778272437.db2.gz XXPKRECYJHDQCP-UHFFFAOYSA-N -1 1 304.207 1.121 20 0 DDADMM CC(C)CCC(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102654539 778278147 /nfs/dbraw/zinc/27/81/47/778278147.db2.gz OMYGWOTYGUGWMP-CHWSQXEVSA-N -1 1 323.441 1.521 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cncc(Cl)n2)n1 ZINC001177224392 778494048 /nfs/dbraw/zinc/49/40/48/778494048.db2.gz PANIURLHKDYSGX-UHFFFAOYSA-N -1 1 309.713 1.211 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1ncc(F)cn1 ZINC001103068955 778616664 /nfs/dbraw/zinc/61/66/64/778616664.db2.gz MBMSMAAVROMWRH-SNVBAGLBSA-N -1 1 305.313 1.337 20 0 DDADMM O=C(c1cccc2[nH]ncc21)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001177865454 778747284 /nfs/dbraw/zinc/74/72/84/778747284.db2.gz BFVTWUORKRJVGE-SNVBAGLBSA-N -1 1 311.349 1.171 20 0 DDADMM CC(C)c1ccnc(CC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001178229115 778961209 /nfs/dbraw/zinc/96/12/09/778961209.db2.gz MVFPKNWANBRIBW-UHFFFAOYSA-N -1 1 312.333 1.758 20 0 DDADMM C[C@H](CC(C)(C)C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179666795 779453907 /nfs/dbraw/zinc/45/39/07/779453907.db2.gz CZAWVLHFGTZLTI-WOPDTQHZSA-N -1 1 323.441 1.662 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)C(F)(F)C(F)(F)Cl ZINC001179781781 779477596 /nfs/dbraw/zinc/47/75/96/779477596.db2.gz PYSXDNNWXTVCRM-UHFFFAOYSA-N -1 1 315.587 1.527 20 0 DDADMM C[C@@H]1CC[C@@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)O1 ZINC000692861917 779491230 /nfs/dbraw/zinc/49/12/30/779491230.db2.gz NKQQMJOMMTXBGO-SFYZADRCSA-N -1 1 308.762 1.720 20 0 DDADMM COCc1[nH]nc2c1CN(C(=O)C1(C(=O)[O-])CCCCC1)C2 ZINC001180435778 779760698 /nfs/dbraw/zinc/76/06/98/779760698.db2.gz KRTMFJVDIIMWGA-UHFFFAOYSA-N -1 1 307.350 1.433 20 0 DDADMM Cc1cccc(OCC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)c1C ZINC001180496965 779806956 /nfs/dbraw/zinc/80/69/56/779806956.db2.gz DYMSBXVDSGWYOE-UHFFFAOYSA-N -1 1 304.306 1.104 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2noc(-c3ccsc3)n2)n[n-]1 ZINC001117104749 780658423 /nfs/dbraw/zinc/65/84/23/780658423.db2.gz DNUPPAXXHPJWCF-UHFFFAOYSA-N -1 1 311.348 1.199 20 0 DDADMM CC(C)O[N-]C(=O)[C@@H](C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001117769518 780896773 /nfs/dbraw/zinc/89/67/73/780896773.db2.gz AOODMWQPMVIJED-NSHDSACASA-N -1 1 314.407 1.497 20 0 DDADMM O=C([O-])Cn1cc(CNCCc2cc3ccccc3o2)nn1 ZINC001119538982 781501270 /nfs/dbraw/zinc/50/12/70/781501270.db2.gz KINMMPPNOAZXKI-UHFFFAOYSA-N -1 1 300.318 1.441 20 0 DDADMM O=C(c1ccc(-n2cccn2)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC001119599344 781531999 /nfs/dbraw/zinc/53/19/99/781531999.db2.gz GLGVUVHDHUPCAT-UHFFFAOYSA-N -1 1 323.360 1.405 20 0 DDADMM CC(C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1)=C1CCC1 ZINC001299155077 836420102 /nfs/dbraw/zinc/42/01/02/836420102.db2.gz GHFRHUJKLHDZIX-UHFFFAOYSA-N -1 1 315.373 1.666 20 0 DDADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCCC[C@@H]1C1CC1 ZINC001266787996 836836828 /nfs/dbraw/zinc/83/68/28/836836828.db2.gz SBEXBZOWYBFIEF-OLZOCXBDSA-N -1 1 321.425 1.275 20 0 DDADMM CC/C(C)=C/C(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001267556379 838300257 /nfs/dbraw/zinc/30/02/57/838300257.db2.gz PBJPJMKTJAGWLT-OBIHZWKSSA-N -1 1 307.398 1.195 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1CC(=O)c2ccccc21 ZINC001414242882 840345663 /nfs/dbraw/zinc/34/56/63/840345663.db2.gz PNMVYEJFMMOILQ-SNVBAGLBSA-N -1 1 324.383 1.758 20 0 DDADMM CN(C)c1nc(NC(=O)Cc2cccc(O)c2)c(N=O)c(=O)[n-]1 ZINC001142846737 861282239 /nfs/dbraw/zinc/28/22/39/861282239.db2.gz FZXUOPZXVDGMTC-UHFFFAOYSA-N -1 1 317.305 1.533 20 0 DDADMM O=S(=O)([N-][C@H](CO)CCF)c1cnc(Cl)cc1Cl ZINC001326470343 861394578 /nfs/dbraw/zinc/39/45/78/861394578.db2.gz GJVKHESBSPOTJT-LURJTMIESA-N -1 1 317.169 1.387 20 0 DDADMM CN1CC[C@@]2(CCN(C(=O)c3c([O-])cccc3Cl)C2)C1=O ZINC001271646855 843752405 /nfs/dbraw/zinc/75/24/05/843752405.db2.gz ARNUSCLXZHNCCU-HNNXBMFYSA-N -1 1 308.765 1.740 20 0 DDADMM O=C(CNC(=O)c1ccc2cccnc2c1[O-])NC1CCCC1 ZINC001149327088 861547062 /nfs/dbraw/zinc/54/70/62/861547062.db2.gz QTTJWIXOYAKGLR-UHFFFAOYSA-N -1 1 313.357 1.729 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H]2CCO[C@@H](C)C2)sn1 ZINC001365381360 846158770 /nfs/dbraw/zinc/15/87/70/846158770.db2.gz NEWZEVHYAZQEPZ-IUCAKERBSA-N -1 1 306.409 1.245 20 0 DDADMM CC[C@]1(C)CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001365681815 846392299 /nfs/dbraw/zinc/39/22/99/846392299.db2.gz XLKAKOMXMIWDHI-GFCCVEGCSA-N -1 1 301.368 1.007 20 0 DDADMM CC[C@]1(C)CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001365681815 846392313 /nfs/dbraw/zinc/39/23/13/846392313.db2.gz XLKAKOMXMIWDHI-GFCCVEGCSA-N -1 1 301.368 1.007 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc4cccnc4s3)c1-2 ZINC001149527536 861687239 /nfs/dbraw/zinc/68/72/39/861687239.db2.gz GZXZMUCZOROXAL-UHFFFAOYSA-N -1 1 310.342 1.897 20 0 DDADMM CN(CCN(C)C(=O)c1ncccc1[O-])C(=O)c1cccs1 ZINC001416632063 861691655 /nfs/dbraw/zinc/69/16/55/861691655.db2.gz JJCPWDCMOORSRC-UHFFFAOYSA-N -1 1 319.386 1.693 20 0 DDADMM O=C(NC1(CCO)COC1)c1cc2cccc(O)c2cc1[O-] ZINC001149653460 861785356 /nfs/dbraw/zinc/78/53/56/861785356.db2.gz MKCYMNZPUGKXSB-UHFFFAOYSA-N -1 1 303.314 1.132 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CC[C@H](C2CC2)O1)C(C)C ZINC001364734569 847957288 /nfs/dbraw/zinc/95/72/88/847957288.db2.gz AABOBLULXOEPBD-UPJWGTAASA-N -1 1 319.423 1.061 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CC[C@@H](C2CC2)O1)C(C)C ZINC001364734568 847957406 /nfs/dbraw/zinc/95/74/06/847957406.db2.gz AABOBLULXOEPBD-AVGNSLFASA-N -1 1 319.423 1.061 20 0 DDADMM CSc1nc(NC(=O)c2[n-][nH]c3cc(=O)ccc2-3)cc(=O)[nH]1 ZINC001155261409 861907734 /nfs/dbraw/zinc/90/77/34/861907734.db2.gz QCYIQIJXHAUCBJ-UHFFFAOYSA-N -1 1 317.330 1.738 20 0 DDADMM CSc1nc(NC(=O)c2[nH]nc3cc(O)ccc32)cc(=O)[n-]1 ZINC001155261409 861907747 /nfs/dbraw/zinc/90/77/47/861907747.db2.gz QCYIQIJXHAUCBJ-UHFFFAOYSA-N -1 1 317.330 1.738 20 0 DDADMM O=C(N[C@H]1CCc2[nH]c(=O)ccc2C1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155269455 861914344 /nfs/dbraw/zinc/91/43/44/861914344.db2.gz HBVWFXICCVVVCG-JTQLQIEISA-N -1 1 324.340 1.656 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CC[C@@H](O)C(C)C ZINC001434551022 848609942 /nfs/dbraw/zinc/60/99/42/848609942.db2.gz KGDJMICZFAWXAW-LLVKDONJSA-N -1 1 323.361 1.659 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc(OC(C)C)nc2)n1 ZINC001364840268 849007381 /nfs/dbraw/zinc/00/73/81/849007381.db2.gz PFIHFYHXUMHCRG-UHFFFAOYSA-N -1 1 312.351 1.039 20 0 DDADMM CC[C@H](C)CC(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001410551756 849476103 /nfs/dbraw/zinc/47/61/03/849476103.db2.gz LGOZXOHTLHESMS-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM CC(C)(C)OC(=O)CCC[N-]S(=O)(=O)c1nccs1 ZINC001187912300 851085614 /nfs/dbraw/zinc/08/56/14/851085614.db2.gz DZWBQZWJVXDXQB-UHFFFAOYSA-N -1 1 306.409 1.543 20 0 DDADMM CCC[C@@H](CCO)[N-]S(=O)(=O)c1sc(Cl)nc1C ZINC000716965189 851431055 /nfs/dbraw/zinc/43/10/55/851431055.db2.gz TWTKMHOBGOGWON-QMMMGPOBSA-N -1 1 312.844 1.934 20 0 DDADMM O=C(Nc1ccc(OCCO)cc1)C(=O)c1ccc([O-])cc1 ZINC001294857105 851916946 /nfs/dbraw/zinc/91/69/46/851916946.db2.gz NGPPCYQMQDRXHE-UHFFFAOYSA-N -1 1 301.298 1.585 20 0 DDADMM CCNC(=O)CN(C)C(=O)c1ccc2ccc(C)nc2c1[O-] ZINC001155651674 862341781 /nfs/dbraw/zinc/34/17/81/862341781.db2.gz JCAOHVTXQHEREL-UHFFFAOYSA-N -1 1 301.346 1.457 20 0 DDADMM NC(=O)[C@@H](CNC(=O)c1ccc([O-])cn1)Cc1ccc(F)cc1 ZINC001411699038 853600424 /nfs/dbraw/zinc/60/04/24/853600424.db2.gz ULLBAWKAYYAZBH-LLVKDONJSA-N -1 1 317.320 1.000 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]CCc1cnoc1 ZINC000719347755 853683087 /nfs/dbraw/zinc/68/30/87/853683087.db2.gz NGBIUXHMPDXZMW-UHFFFAOYSA-N -1 1 307.784 1.614 20 0 DDADMM CCO[C@H]1C[C@@H](O)C12CCN(C(=O)c1ccc(F)c([O-])c1)CC2 ZINC001411836800 853807449 /nfs/dbraw/zinc/80/74/49/853807449.db2.gz VNSQYWUWNYNASW-CABCVRRESA-N -1 1 323.364 1.923 20 0 DDADMM CC(C)NC(=O)N1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc([O-])c(F)c1 ZINC001275949796 854004379 /nfs/dbraw/zinc/00/43/79/854004379.db2.gz KLYWCJFGQIYWLO-TXEJJXNPSA-N -1 1 321.352 1.548 20 0 DDADMM CC(C)Cn1ncc(NC(=O)CCc2nn[n-]n2)c1C(F)F ZINC001411988669 854077709 /nfs/dbraw/zinc/07/77/09/854077709.db2.gz RETWEUKPJDFZHZ-UHFFFAOYSA-N -1 1 313.312 1.561 20 0 DDADMM CC(C)NC(=O)N1CCC12CN(C(=O)c1ccc(F)c([O-])c1)C2 ZINC001275989376 854099323 /nfs/dbraw/zinc/09/93/23/854099323.db2.gz WFUXCYYYNCQCTM-UHFFFAOYSA-N -1 1 321.352 1.550 20 0 DDADMM CC(C)[C@@](C)(NC(=O)c1ccc2ccc(O)cc2c1[O-])C(N)=O ZINC001412106330 854222508 /nfs/dbraw/zinc/22/25/08/854222508.db2.gz AASPLZQIHPRFAM-QGZVFWFLSA-N -1 1 316.357 1.881 20 0 DDADMM CSc1nc(CNC(=O)c2cnoc2C2CC2)cc(=O)[n-]1 ZINC001412288187 854408883 /nfs/dbraw/zinc/40/88/83/854408883.db2.gz UEVQWEGBRYAQDC-UHFFFAOYSA-N -1 1 306.347 1.700 20 0 DDADMM Cc1cnc(OC2CCN(C(=O)c3ccc([O-])cn3)CC2)nc1 ZINC001412305055 854425096 /nfs/dbraw/zinc/42/50/96/854425096.db2.gz YPAPPNWVFGDBBC-UHFFFAOYSA-N -1 1 314.345 1.569 20 0 DDADMM NC(=O)c1cccc2c1CCN(C(=O)c1cc(F)ccc1[O-])C2 ZINC001412368525 854480822 /nfs/dbraw/zinc/48/08/22/854480822.db2.gz HFNWVMJIZQICKM-UHFFFAOYSA-N -1 1 314.316 1.829 20 0 DDADMM CC(C)(C)OC(=O)c1cc(C(=O)Nc2ccnc(CO)c2)[n-]n1 ZINC001412423476 854531864 /nfs/dbraw/zinc/53/18/64/854531864.db2.gz XUOSIBOTGJNBKG-UHFFFAOYSA-N -1 1 318.333 1.505 20 0 DDADMM CN(C)C(=O)CN1CCC12CN(Cc1ccc(Cl)c([O-])c1)C2 ZINC001276119927 854777213 /nfs/dbraw/zinc/77/72/13/854777213.db2.gz XZZUNWYWYJJPTK-UHFFFAOYSA-N -1 1 323.824 1.394 20 0 DDADMM CC[C@@H](C)c1ccc(C(=O)N[C@H](COC)c2nn[n-]n2)cc1 ZINC001412605987 854801339 /nfs/dbraw/zinc/80/13/39/854801339.db2.gz LOIZUXIBPOTTDT-ZWNOBZJWSA-N -1 1 303.366 1.831 20 0 DDADMM COC[C@@H](NC(=O)[C@@H]1CCCc2sccc21)c1nn[n-]n1 ZINC001412615753 854818796 /nfs/dbraw/zinc/81/87/96/854818796.db2.gz XSEBAKNKFWXNTD-NXEZZACHSA-N -1 1 307.379 1.185 20 0 DDADMM COc1ncc(NC(=O)c2ccc([O-])cc2F)cc1C(N)=O ZINC001412658032 854891955 /nfs/dbraw/zinc/89/19/55/854891955.db2.gz BZPYWGMQBZSUHR-UHFFFAOYSA-N -1 1 305.265 1.286 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@@H]2C[C@H]2OC(C)(C)C)[n-]c1=O ZINC001412658585 854892868 /nfs/dbraw/zinc/89/28/68/854892868.db2.gz OLMWNSYLVKJGCF-NXEZZACHSA-N -1 1 323.393 1.746 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)Nc2cc(C3CC3)ncn2)n[n-]1 ZINC001412899282 855768858 /nfs/dbraw/zinc/76/88/58/855768858.db2.gz NMUUCFOFYAPWOU-MRVPVSSYSA-N -1 1 302.338 1.822 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)Nc2cc(C3CC3)ncn2)n1 ZINC001412899282 855768860 /nfs/dbraw/zinc/76/88/60/855768860.db2.gz NMUUCFOFYAPWOU-MRVPVSSYSA-N -1 1 302.338 1.822 20 0 DDADMM CC(=O)CC1(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCC1 ZINC001412934790 855798947 /nfs/dbraw/zinc/79/89/47/855798947.db2.gz WWNOIKYCKXYUPI-UHFFFAOYSA-N -1 1 307.350 1.631 20 0 DDADMM CC(C)CC(=O)N[C@H]1C[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001413086248 856391501 /nfs/dbraw/zinc/39/15/01/856391501.db2.gz PABIESUUBSIPEP-NEPJUHHUSA-N -1 1 305.378 1.553 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1ccc(C(F)(F)F)cc1)C(F)F ZINC001259962864 856804792 /nfs/dbraw/zinc/80/47/92/856804792.db2.gz UUBAXQZAPPQECS-MRVPVSSYSA-N -1 1 319.251 1.881 20 0 DDADMM CS(=O)(=O)NCc1ccccc1NC(=O)c1cncc([O-])c1 ZINC001413438773 856814835 /nfs/dbraw/zinc/81/48/35/856814835.db2.gz STDUWMVBPLHQCC-UHFFFAOYSA-N -1 1 321.358 1.089 20 0 DDADMM O=C(CSCc1cccnc1)NC1(c2nn[n-]n2)CCC1 ZINC001413512536 856914758 /nfs/dbraw/zinc/91/47/58/856914758.db2.gz XYLSTIHDKXEQTM-UHFFFAOYSA-N -1 1 304.379 1.024 20 0 DDADMM COc1cnc(C(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)nc1 ZINC001413670129 857310176 /nfs/dbraw/zinc/31/01/76/857310176.db2.gz FLMMJQQAHOYPFJ-UHFFFAOYSA-N -1 1 305.294 1.026 20 0 DDADMM O=C(NCC1CCC(O)CC1)c1ccc2n[n-]c(=S)n2c1 ZINC001328421127 862963317 /nfs/dbraw/zinc/96/33/17/862963317.db2.gz GWMSCDBCKJHCED-UHFFFAOYSA-N -1 1 306.391 1.299 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nnc(Cc3cccnc3)o2)o1 ZINC001328447269 862980435 /nfs/dbraw/zinc/98/04/35/862980435.db2.gz YDMFMQMKTRAXPA-UHFFFAOYSA-N -1 1 320.330 1.224 20 0 DDADMM COc1cc(F)c(C(=O)[N-]c2nn3cnnc3s2)c(F)c1 ZINC001151805312 862981347 /nfs/dbraw/zinc/98/13/47/862981347.db2.gz VLRPJUBVUZZEAI-UHFFFAOYSA-N -1 1 311.273 1.725 20 0 DDADMM COc1cnc(C2(NC(=O)c3cn[nH]c3)CCCC2)[n-]c1=O ZINC001413716839 858159401 /nfs/dbraw/zinc/15/94/01/858159401.db2.gz YDNCWJIKPRRPLT-UHFFFAOYSA-N -1 1 303.322 1.113 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)Nc1cnc2c(c1)CNC2=O ZINC001413784309 858528415 /nfs/dbraw/zinc/52/84/15/858528415.db2.gz PMSYHGIHDSYRID-UHFFFAOYSA-N -1 1 317.732 1.865 20 0 DDADMM COCCn1cc(C(=O)[N-]c2nnc(C(F)(F)F)s2)nn1 ZINC001361293117 881400010 /nfs/dbraw/zinc/40/00/10/881400010.db2.gz KRIPHRXMRVYOLA-UHFFFAOYSA-N -1 1 322.272 1.047 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(OC2CCC2)CC1 ZINC001123809126 859425354 /nfs/dbraw/zinc/42/53/54/859425354.db2.gz QWLSNCMRIJIJQJ-UHFFFAOYSA-N -1 1 323.418 1.953 20 0 DDADMM O=C(c1ccc(Cc2ccccc2)[nH]1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123869107 859448209 /nfs/dbraw/zinc/44/82/09/859448209.db2.gz LRNCQFDUODAJAE-ZDUSSCGKSA-N -1 1 322.372 1.748 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N[C@@H]3CNC(=O)C3)c2)o1 ZINC001137989471 859997428 /nfs/dbraw/zinc/99/74/28/859997428.db2.gz FGDRRKPBALQLLV-JTQLQIEISA-N -1 1 314.297 1.083 20 0 DDADMM Cc1ccc(NC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1C ZINC001202829860 860102865 /nfs/dbraw/zinc/10/28/65/860102865.db2.gz RBXBNGISZRBSID-UHFFFAOYSA-N -1 1 314.305 1.977 20 0 DDADMM COc1ccc(C)cc1NC(=O)NCC[N-]C(=O)C(F)(F)F ZINC001202868769 860207069 /nfs/dbraw/zinc/20/70/69/860207069.db2.gz GWRAWZRVGAXRIK-UHFFFAOYSA-N -1 1 319.283 1.804 20 0 DDADMM CCOC(=O)[C@H]1[C@@H]2CN(Cc3ccc(OCC(=O)[O-])cc3)C[C@@H]21 ZINC001138926321 860232581 /nfs/dbraw/zinc/23/25/81/860232581.db2.gz FTUFZHAMUVFSJG-FOLVSLTJSA-N -1 1 319.357 1.391 20 0 DDADMM O=C(Cc1ccccc1)N1CCN(Cc2ccncc2[O-])CC1 ZINC001140280823 860608899 /nfs/dbraw/zinc/60/88/99/860608899.db2.gz ONWGBSNRFVUIJJ-UHFFFAOYSA-N -1 1 311.385 1.674 20 0 DDADMM CC1(C)CN(C2CN(Cc3ccc([O-])c(F)c3F)C2)CCO1 ZINC001140893216 860726127 /nfs/dbraw/zinc/72/61/27/860726127.db2.gz LFOPUQBUSCMGCI-UHFFFAOYSA-N -1 1 312.360 1.965 20 0 DDADMM CCn1cccc(NC(=O)c2ccc3oc([O-])nc3n2)c1=O ZINC001142387394 861143006 /nfs/dbraw/zinc/14/30/06/861143006.db2.gz QKKIHQRWBLGYRK-UHFFFAOYSA-N -1 1 300.274 1.362 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cnc2cccc(F)n21 ZINC001156628276 863207650 /nfs/dbraw/zinc/20/76/50/863207650.db2.gz YYEBYHFCSUOYMW-UHFFFAOYSA-N -1 1 318.224 1.325 20 0 DDADMM CCc1ncc(Cl)c(C(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC001361430081 881638856 /nfs/dbraw/zinc/63/88/56/881638856.db2.gz LHKYAPXSXILFOT-UHFFFAOYSA-N -1 1 316.752 1.600 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccc(Cl)nc1C)c1nn[n-]n1 ZINC001156920311 863446842 /nfs/dbraw/zinc/44/68/42/863446842.db2.gz PPQDPKCWVTUUPN-UHFFFAOYSA-N -1 1 322.800 1.931 20 0 DDADMM CCN(CC(C)(C)O)C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152673048 863485264 /nfs/dbraw/zinc/48/52/64/863485264.db2.gz VQKCMTZLHNUQHI-UHFFFAOYSA-N -1 1 304.346 1.879 20 0 DDADMM O=C([N-]c1noc2nccnc12)c1nc(Cl)nc2[nH]ccc21 ZINC001157117387 863594999 /nfs/dbraw/zinc/59/49/99/863594999.db2.gz QFSFNPZABLZVGA-UHFFFAOYSA-N -1 1 315.680 1.746 20 0 DDADMM COc1cc(Cl)cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159185468 865154465 /nfs/dbraw/zinc/15/44/65/865154465.db2.gz JGKCNSIERYQLKO-UHFFFAOYSA-N -1 1 308.685 1.516 20 0 DDADMM CCOC(=O)c1cnc(Nc2[n-]c(=O)nc3nc[nH]c32)c(C)c1 ZINC001159192767 865158414 /nfs/dbraw/zinc/15/84/14/865158414.db2.gz XWTJKHFRRLTOGI-UHFFFAOYSA-N -1 1 314.305 1.682 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc(-c4ccccn4)ncn3)c2[nH]1 ZINC001159195379 865160518 /nfs/dbraw/zinc/16/05/18/865160518.db2.gz OQNIIUMTEHANTH-UHFFFAOYSA-N -1 1 322.288 1.311 20 0 DDADMM Cc1nc([C@H](C)[N-]S(=O)(=O)c2cnc(Cl)c(F)c2)no1 ZINC001323262964 866484235 /nfs/dbraw/zinc/48/42/35/866484235.db2.gz CZFWLKWFJMIMTE-YFKPBYRVSA-N -1 1 320.733 1.605 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)Cc2noc3ccccc32)o1 ZINC001323876135 866917244 /nfs/dbraw/zinc/91/72/44/866917244.db2.gz DNVCHYGEJNHRTH-QMMMGPOBSA-N -1 1 322.346 1.700 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3c[nH]c4cnccc34)ccnc1-2 ZINC001361628534 882042234 /nfs/dbraw/zinc/04/22/34/882042234.db2.gz KZWBQBZIDWNJCF-UHFFFAOYSA-N -1 1 306.329 1.399 20 0 DDADMM O=C(/C=C\c1cc(C(=O)[O-])co1)NCCCCN1CCOCC1 ZINC001334217689 867391234 /nfs/dbraw/zinc/39/12/34/867391234.db2.gz MDSUPIBNTVHFGU-ARJAWSKDSA-N -1 1 322.361 1.220 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@@]1(C)C=CCC1 ZINC001334234681 867408348 /nfs/dbraw/zinc/40/83/48/867408348.db2.gz KMFYQUWMJQACEK-PXAZEXFGSA-N -1 1 317.389 1.720 20 0 DDADMM Cc1ccnc2nc(C(=O)Nc3cc(F)cc(F)c3[O-])nn21 ZINC001162290971 867415317 /nfs/dbraw/zinc/41/53/17/867415317.db2.gz JHDZCFRKXNFNNJ-UHFFFAOYSA-N -1 1 305.244 1.669 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1CCC[C@@H](C(=O)OC)C1 ZINC001225898420 882099426 /nfs/dbraw/zinc/09/94/26/882099426.db2.gz AHCCXNSIAIPRMV-ZJUUUORDSA-N -1 1 324.333 1.470 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N=S(C)(C)=O)o1 ZINC001361668269 882121844 /nfs/dbraw/zinc/12/18/44/882121844.db2.gz ICKTWFGIMSTMBY-UHFFFAOYSA-N -1 1 322.408 1.224 20 0 DDADMM CCOc1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cn1 ZINC001322172958 868023295 /nfs/dbraw/zinc/02/32/95/868023295.db2.gz ZNUYFTMIKYALRV-AWEZNQCLSA-N -1 1 324.344 1.513 20 0 DDADMM Cc1nccn1-c1cc(NCC[N-]C(=O)C(F)(F)F)ncn1 ZINC001164244266 869050123 /nfs/dbraw/zinc/05/01/23/869050123.db2.gz DCIJISJALLDPOY-UHFFFAOYSA-N -1 1 314.271 1.061 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ccc(OC)nc2)[n-]1 ZINC001361756628 882306051 /nfs/dbraw/zinc/30/60/51/882306051.db2.gz CKQNBEZQIJUKQP-UHFFFAOYSA-N -1 1 317.301 1.660 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2C[C@H](C)O[C@@H]2C)[n-]c1Cl ZINC001361764541 882321892 /nfs/dbraw/zinc/32/18/92/882321892.db2.gz CPQNPDCKFQFENU-XLPZGREQSA-N -1 1 315.757 1.992 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nccc(C)n2)[n-]c1Cl ZINC001361768738 882334103 /nfs/dbraw/zinc/33/41/03/882334103.db2.gz RCLQTDRKXMNOHY-UHFFFAOYSA-N -1 1 309.713 1.591 20 0 DDADMM CCC[C@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccccn1 ZINC001361799063 882397283 /nfs/dbraw/zinc/39/72/83/882397283.db2.gz OATCYMAJTMCMJE-JTQLQIEISA-N -1 1 318.333 1.563 20 0 DDADMM COC(=O)c1ccc(CN(C)C(=O)c2ccc([O-])cn2)cc1 ZINC001361803531 882403862 /nfs/dbraw/zinc/40/38/62/882403862.db2.gz AHVVDZGIXFFVMT-UHFFFAOYSA-N -1 1 300.314 1.846 20 0 DDADMM O=C([O-])CSCCNCc1ccncc1Br ZINC001339241199 870417363 /nfs/dbraw/zinc/41/73/63/870417363.db2.gz PZLFMPRZYXEDCQ-UHFFFAOYSA-N -1 1 305.197 1.752 20 0 DDADMM CSc1nc(CNC(=O)C(=O)c2ccc(F)cc2)cc(=O)[n-]1 ZINC001299999466 870951183 /nfs/dbraw/zinc/95/11/83/870951183.db2.gz XLLBCUKZZGXCEH-UHFFFAOYSA-N -1 1 321.333 1.542 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ncc2n1CCCC2 ZINC001301655820 871014453 /nfs/dbraw/zinc/01/44/53/871014453.db2.gz VAAKXSODCMATEB-ZDUSSCGKSA-N -1 1 323.360 1.252 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1cc(C(N)=O)ccc1F ZINC001361843060 882483067 /nfs/dbraw/zinc/48/30/67/882483067.db2.gz FVILNZBOVSVUIK-UHFFFAOYSA-N -1 1 304.277 1.891 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(-c2cccs2)o1)c1nn[n-]n1 ZINC001303579904 871140422 /nfs/dbraw/zinc/14/04/22/871140422.db2.gz JQWJUMVADVWJEN-MRVPVSSYSA-N -1 1 319.346 1.639 20 0 DDADMM NC(=O)C1(C(=O)Nc2n[nH]c(-c3ccc([O-])c(Cl)c3)n2)CC1 ZINC001306825018 871362990 /nfs/dbraw/zinc/36/29/90/871362990.db2.gz ASIPXMWRLZCODN-UHFFFAOYSA-N -1 1 321.724 1.035 20 0 DDADMM NC(=O)C1(C(=O)Nc2nc(-c3ccc([O-])c(Cl)c3)n[nH]2)CC1 ZINC001306825018 871363004 /nfs/dbraw/zinc/36/30/04/871363004.db2.gz ASIPXMWRLZCODN-UHFFFAOYSA-N -1 1 321.724 1.035 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cccc(OC[C@@H]2CCCO2)c1 ZINC001361856404 882510179 /nfs/dbraw/zinc/51/01/79/882510179.db2.gz PUYDCWSZMVALKB-ZDUSSCGKSA-N -1 1 317.349 1.329 20 0 DDADMM O=C(Cn1nnc(-c2ccc(F)cc2)n1)c1ccc([O-])cc1O ZINC001317802869 871526098 /nfs/dbraw/zinc/52/60/98/871526098.db2.gz DOGMQSHYOQJCPM-UHFFFAOYSA-N -1 1 314.276 1.773 20 0 DDADMM O=S(=O)([N-]Cc1cc[nH]n1)c1c(Cl)ccnc1Cl ZINC001309062251 871548471 /nfs/dbraw/zinc/54/84/71/871548471.db2.gz JQQQOAFDJMTRSP-UHFFFAOYSA-N -1 1 307.162 1.590 20 0 DDADMM CN(Cc1nc[nH]n1)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001311063337 871674204 /nfs/dbraw/zinc/67/42/04/871674204.db2.gz PKQKQWCSNJUMNS-UHFFFAOYSA-N -1 1 313.321 1.360 20 0 DDADMM Cc1nc([C@H](C)[N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)no1 ZINC001341454861 871744210 /nfs/dbraw/zinc/74/42/10/871744210.db2.gz ACFAXVLYVSBTIA-YFKPBYRVSA-N -1 1 321.280 1.835 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1ccc(F)cc1F ZINC001342591613 872281498 /nfs/dbraw/zinc/28/14/98/872281498.db2.gz OPUBIEWCLNCVMR-UHFFFAOYSA-N -1 1 320.307 1.393 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1ccc(F)cc1F ZINC001342591613 872281512 /nfs/dbraw/zinc/28/15/12/872281512.db2.gz OPUBIEWCLNCVMR-UHFFFAOYSA-N -1 1 320.307 1.393 20 0 DDADMM Cc1ncc2c(n1)CC[C@H](NC(=O)c1cccc([O-])c1F)C2 ZINC001361900185 882602121 /nfs/dbraw/zinc/60/21/21/882602121.db2.gz ACJYKUMRXYTKSR-NSHDSACASA-N -1 1 301.321 1.917 20 0 DDADMM O=c1cc(O[C@H]2CC3CCC2CC3)nc(N2CCOCC2)[n-]1 ZINC001226722558 882610854 /nfs/dbraw/zinc/61/08/54/882610854.db2.gz NCKQDCIZQGLZFK-BPCQOVAHSA-N -1 1 305.378 1.976 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCN2CC=CC2)c(F)c1 ZINC001319461663 872645086 /nfs/dbraw/zinc/64/50/86/872645086.db2.gz AESMQROUICNICO-UHFFFAOYSA-N -1 1 318.345 1.124 20 0 DDADMM CC(C)(C)c1ccc(C2(C(=O)NN3CC(=O)[N-]C3=O)CC2)cc1 ZINC001343823333 872775208 /nfs/dbraw/zinc/77/52/08/872775208.db2.gz PJFSQZNEVBKDIS-UHFFFAOYSA-N -1 1 315.373 1.599 20 0 DDADMM O=C(Nc1ccc(CN2CCOC2=O)cc1)c1cnncc1[O-] ZINC001361951201 882695831 /nfs/dbraw/zinc/69/58/31/882695831.db2.gz FYLMYBCSBGZZIA-UHFFFAOYSA-N -1 1 314.301 1.387 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1Cc2ccccc21 ZINC001346291662 873688378 /nfs/dbraw/zinc/68/83/78/873688378.db2.gz GOGHVXBKSPMWBU-JTQLQIEISA-N -1 1 301.371 1.849 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)Oc2cc(C)cc(C)c2C)n[n-]1 ZINC001207997986 873729402 /nfs/dbraw/zinc/72/94/02/873729402.db2.gz YNYTVNQNQJSKAL-UHFFFAOYSA-N -1 1 324.358 1.889 20 0 DDADMM CCOC1CC2(C[C@H]2NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001347228625 874064151 /nfs/dbraw/zinc/06/41/51/874064151.db2.gz ARMGYVBKKZGKDM-ZYTOWTHASA-N -1 1 309.391 1.467 20 0 DDADMM CC(C)Cn1c(-c2nnn[n-]2)nnc1N1C[C@@H]2CC=CC[C@@H]2C1 ZINC001347680853 874243762 /nfs/dbraw/zinc/24/37/62/874243762.db2.gz VANHXCJHWFBMQC-TXEJJXNPSA-N -1 1 314.397 1.517 20 0 DDADMM CC(C)Cn1c(-c2nn[n-]n2)nnc1N1C[C@@H]2CC=CC[C@@H]2C1 ZINC001347680853 874243768 /nfs/dbraw/zinc/24/37/68/874243768.db2.gz VANHXCJHWFBMQC-TXEJJXNPSA-N -1 1 314.397 1.517 20 0 DDADMM Cc1cc2ccc(C(=O)N3CCC[C@@H](Cc4nn[n-]n4)C3)cn2c1 ZINC001348165389 874392270 /nfs/dbraw/zinc/39/22/70/874392270.db2.gz LBSQTMDTKSQJMG-ZDUSSCGKSA-N -1 1 324.388 1.856 20 0 DDADMM O=C(c1ccnc(Cl)c1F)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001348164573 874393878 /nfs/dbraw/zinc/39/38/78/874393878.db2.gz FWASEBWCIFGXGE-MRVPVSSYSA-N -1 1 324.747 1.482 20 0 DDADMM C=C/C(C)=C\CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209100567 874668185 /nfs/dbraw/zinc/66/81/85/874668185.db2.gz JCKLEAWRRNDXLQ-HUMZTAOYSA-N -1 1 305.382 1.113 20 0 DDADMM C=CC(=O)OC[C@H](C)Oc1[n-]c(=O)ncc1Br ZINC001227138687 882869205 /nfs/dbraw/zinc/86/92/05/882869205.db2.gz TZORYSWNOUDGHO-LURJTMIESA-N -1 1 303.112 1.441 20 0 DDADMM CCOCCCCCC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001349214482 875039088 /nfs/dbraw/zinc/03/90/88/875039088.db2.gz UWGWEBPUOAPGNU-CYBMUJFWSA-N -1 1 309.414 1.578 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)s1 ZINC001349214447 875039999 /nfs/dbraw/zinc/03/99/99/875039999.db2.gz UPIKRYQMPXLHOZ-SGUJLRQBSA-N -1 1 318.406 1.459 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3c(c2)NCCO3)c1 ZINC001209799580 875133163 /nfs/dbraw/zinc/13/31/63/875133163.db2.gz ANJWFJPJDCPROA-UHFFFAOYSA-N -1 1 320.374 1.456 20 0 DDADMM CN(C)c1nc(Nc2cnc(N3CCC3)nc2)c(N=O)c(=O)[n-]1 ZINC001210721595 875499702 /nfs/dbraw/zinc/49/97/02/875499702.db2.gz WSNWORJTYOPUDE-UHFFFAOYSA-N -1 1 316.325 1.390 20 0 DDADMM CS(=O)(=O)Cn1cc(Nc2c([O-])cc(F)cc2F)cn1 ZINC001210787553 875532659 /nfs/dbraw/zinc/53/26/59/875532659.db2.gz LOLPZCVZIUCORK-UHFFFAOYSA-N -1 1 303.290 1.613 20 0 DDADMM CCOC(=O)N1CCC[C@@H](Oc2[n-]c(=S)ncc2F)C1 ZINC001227384370 882991763 /nfs/dbraw/zinc/99/17/63/882991763.db2.gz XSRZNKDQCJCIIQ-MRVPVSSYSA-N -1 1 301.343 1.904 20 0 DDADMM CN(C(=O)[C@H]1CC12CCC2)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001379040475 876201970 /nfs/dbraw/zinc/20/19/70/876201970.db2.gz ZBYXDGNHIWHRAD-NEPJUHHUSA-N -1 1 319.409 1.027 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc2c(c1)CCC(=O)N2 ZINC001362089493 883007504 /nfs/dbraw/zinc/00/75/04/883007504.db2.gz DQSSEDYLSCYKAD-UHFFFAOYSA-N -1 1 300.322 1.046 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@H](Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)C1 ZINC001227430600 883010164 /nfs/dbraw/zinc/01/01/64/883010164.db2.gz MPRQZCODJPHNER-SFYZADRCSA-N -1 1 322.321 1.265 20 0 DDADMM Cc1ccc(C(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)s1 ZINC001379061290 876269306 /nfs/dbraw/zinc/26/93/06/876269306.db2.gz JISWCOSAYSXVKY-MRVPVSSYSA-N -1 1 309.395 1.131 20 0 DDADMM COc1nccc(-c2noc(-c3ccc([O-])c(=O)[nH]3)n2)c1F ZINC001214538139 876383524 /nfs/dbraw/zinc/38/35/24/876383524.db2.gz LDTYJOXLIPOCPZ-UHFFFAOYSA-N -1 1 304.237 1.753 20 0 DDADMM C[C@]1(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CCCOC1 ZINC001362103800 883044825 /nfs/dbraw/zinc/04/48/25/883044825.db2.gz PRZBIENOIRUAFY-HNNXBMFYSA-N -1 1 317.349 1.534 20 0 DDADMM COc1cnc2ccccc2c1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001352584584 876816824 /nfs/dbraw/zinc/81/68/24/876816824.db2.gz KMYMZWZYQZMJRH-SNVBAGLBSA-N -1 1 324.344 1.386 20 0 DDADMM O=C(c1ccc(-n2cccc2)cc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001352595971 876823732 /nfs/dbraw/zinc/82/37/32/876823732.db2.gz IVVKIAJSUHWIJF-CYBMUJFWSA-N -1 1 308.345 1.620 20 0 DDADMM CCC[C@@H](C)C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001381962915 883070021 /nfs/dbraw/zinc/07/00/21/883070021.db2.gz NQKWYWVQANKQKP-NEPJUHHUSA-N -1 1 307.394 1.800 20 0 DDADMM CC(C)C[C@@H](C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216099184 876863927 /nfs/dbraw/zinc/86/39/27/876863927.db2.gz JYSTWWASWDVVJH-IJLUTSLNSA-N -1 1 309.414 1.129 20 0 DDADMM COc1ncc(Nc2cnns2)cc1[N-]S(C)(=O)=O ZINC001216151647 876877136 /nfs/dbraw/zinc/87/71/36/876877136.db2.gz DNWBYINFBYECPI-UHFFFAOYSA-N -1 1 301.353 1.057 20 0 DDADMM COCOc1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c(OC)c1 ZINC001216285289 876911661 /nfs/dbraw/zinc/91/16/61/876911661.db2.gz VXSLRIOBWKHNLY-UHFFFAOYSA-N -1 1 324.362 1.788 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc(Cl)c(N)c3)c1-2 ZINC001218527127 877538573 /nfs/dbraw/zinc/53/85/73/877538573.db2.gz FJZJCLBZRAICSW-UHFFFAOYSA-N -1 1 302.725 1.523 20 0 DDADMM COc1cccc(NC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC001300699827 878174405 /nfs/dbraw/zinc/17/44/05/878174405.db2.gz TWAZKOOXBUISSC-UHFFFAOYSA-N -1 1 301.331 1.674 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1ccsc1 ZINC001380691731 880200591 /nfs/dbraw/zinc/20/05/91/880200591.db2.gz LSEUJOZLECJEQR-JTQLQIEISA-N -1 1 319.386 1.739 20 0 DDADMM C[C@@H](OCc1nn[n-]n1)C1CCN(C(=O)OC(C)(C)C)CC1 ZINC001223029127 880791123 /nfs/dbraw/zinc/79/11/23/880791123.db2.gz GYCMFRBVJHXHSX-SNVBAGLBSA-N -1 1 311.386 1.752 20 0 DDADMM CC(C)Oc1ccc(COc2[n-]c(=O)nc3c2COC3)cn1 ZINC001227686312 883131197 /nfs/dbraw/zinc/13/11/97/883131197.db2.gz MBBYGFCYMYHYNX-UHFFFAOYSA-N -1 1 303.318 1.974 20 0 DDADMM CN(C(=O)CCC1CCC1)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001382024998 883195690 /nfs/dbraw/zinc/19/56/90/883195690.db2.gz YZNMTGLDLUBBIX-ZDUSSCGKSA-N -1 1 321.425 1.417 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H](NC(=O)c2cnc(SC)[n-]c2=O)C1(C)C ZINC001362220065 883331799 /nfs/dbraw/zinc/33/17/99/883331799.db2.gz JHBWXUMPWRETNC-SZEHBUNVSA-N -1 1 311.407 1.693 20 0 DDADMM COC(=O)c1n[n-]c(OC2CCN(c3ccc(C)cc3)CC2)n1 ZINC001228308514 883436795 /nfs/dbraw/zinc/43/67/95/883436795.db2.gz JKPRLYBAIUULAP-UHFFFAOYSA-N -1 1 316.361 1.948 20 0 DDADMM COC(=O)c1nc(OC2CCN(c3ccc(C)cc3)CC2)n[n-]1 ZINC001228308514 883436802 /nfs/dbraw/zinc/43/68/02/883436802.db2.gz JKPRLYBAIUULAP-UHFFFAOYSA-N -1 1 316.361 1.948 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H](c2cccnc2)C(F)(F)F)n1 ZINC001228311733 883439928 /nfs/dbraw/zinc/43/99/28/883439928.db2.gz NXZDYRFPVAJINS-ZETCQYMHSA-N -1 1 302.212 1.669 20 0 DDADMM COC(=O)c1nc(O[C@@H](c2cccnc2)C(F)(F)F)n[n-]1 ZINC001228311733 883439936 /nfs/dbraw/zinc/43/99/36/883439936.db2.gz NXZDYRFPVAJINS-ZETCQYMHSA-N -1 1 302.212 1.669 20 0 DDADMM CN(C)C(=O)c1cc(F)ccc1NC(=O)CCCc1nn[n-]n1 ZINC001362318560 883553428 /nfs/dbraw/zinc/55/34/28/883553428.db2.gz JPMOFPPTKRNQKD-UHFFFAOYSA-N -1 1 320.328 1.002 20 0 DDADMM O=C(NCC(F)F)c1[nH]nc2c1CN(Cc1ncccc1[O-])C2 ZINC001277314990 883561153 /nfs/dbraw/zinc/56/11/53/883561153.db2.gz DWAYWOBKZXECOC-UHFFFAOYSA-N -1 1 323.303 1.021 20 0 DDADMM COc1cc2ccc(=O)oc2c(OC[C@H]2COCCO2)c1[O-] ZINC001229218526 883878555 /nfs/dbraw/zinc/87/85/55/883878555.db2.gz NJUNRPWNUOBRSR-SNVBAGLBSA-N -1 1 308.286 1.301 20 0 DDADMM O=C(c1ccc([O-])cn1)N1C[C@H]2CN(c3ccccc3)C[C@H]2C1 ZINC001362486730 883918166 /nfs/dbraw/zinc/91/81/66/883918166.db2.gz LKECWCXVFRMUBE-OKILXGFUSA-N -1 1 309.369 1.996 20 0 DDADMM CCC(CC)(NC(=O)CO[C@H]1CCC[C@H](C)C1)c1nn[n-]n1 ZINC001362496269 883939142 /nfs/dbraw/zinc/93/91/42/883939142.db2.gz LIKUCNQBFCKHOC-RYUDHWBXSA-N -1 1 309.414 1.927 20 0 DDADMM COc1cc(OC)cc([C@H](O)CNC(=O)c2ccc([O-])cn2)c1 ZINC001362504967 883963240 /nfs/dbraw/zinc/96/32/40/883963240.db2.gz KUGMORHMCRLNMO-OAHLLOKOSA-N -1 1 318.329 1.268 20 0 DDADMM CCC(=O)c1ccc([O-])cc1OC(CC(=O)OC)CC(=O)OC ZINC001229475185 884002105 /nfs/dbraw/zinc/00/21/05/884002105.db2.gz QCWQAUVPJSPAPB-UHFFFAOYSA-N -1 1 324.329 1.859 20 0 DDADMM COc1ccc(Cl)cc1[C@@H](O)CNC(=O)c1ccc([O-])cn1 ZINC001362550434 884069543 /nfs/dbraw/zinc/06/95/43/884069543.db2.gz IMKBXUUHVUNCHB-ZDUSSCGKSA-N -1 1 322.748 1.913 20 0 DDADMM O=C1[N-]C(=O)[C@H](Cc2ccc(O[C@@H]3COC[C@H]3F)cc2)S1 ZINC001229619510 884078834 /nfs/dbraw/zinc/07/88/34/884078834.db2.gz WGVSKQNMLPIRCD-UTUOFQBUSA-N -1 1 311.334 1.696 20 0 DDADMM CC(C)c1nnc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC001362558314 884093257 /nfs/dbraw/zinc/09/32/57/884093257.db2.gz AFCXEBRCROLOHS-QMMMGPOBSA-N -1 1 307.383 1.194 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H](F)C1)c1nc[n-]c(=O)c1Br ZINC001362608646 884211165 /nfs/dbraw/zinc/21/11/65/884211165.db2.gz ZKHJUIKYJMALOS-RNFRBKRXSA-N -1 1 318.146 1.955 20 0 DDADMM Cn1nc([C@H]2CCCOC2)cc1NC(=O)c1ccc([O-])cn1 ZINC001362630384 884267076 /nfs/dbraw/zinc/26/70/76/884267076.db2.gz FUBHQNOUJDAJGE-JTQLQIEISA-N -1 1 302.334 1.667 20 0 DDADMM COC(=O)CC1CCC(Oc2nc(C)[n-]c(=O)c2OC)CC1 ZINC001230024212 884284303 /nfs/dbraw/zinc/28/43/03/884284303.db2.gz DNIICICBGOUAHR-UHFFFAOYSA-N -1 1 310.350 2.000 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cccc([O-])c1F)c1ccccn1 ZINC001362637768 884290708 /nfs/dbraw/zinc/29/07/08/884290708.db2.gz LQNKQCJPNZPIHG-ZDUSSCGKSA-N -1 1 304.277 1.570 20 0 DDADMM O=C(N[C@@H]1CC(=O)N(c2ccccc2F)C1)c1ccc([O-])cn1 ZINC001362649175 884318029 /nfs/dbraw/zinc/31/80/29/884318029.db2.gz MIMJLPPWVLJKDC-SNVBAGLBSA-N -1 1 315.304 1.462 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)no1 ZINC001362681616 884404498 /nfs/dbraw/zinc/40/44/98/884404498.db2.gz AAFFJFCDORSKBZ-VIFPVBQESA-N -1 1 322.394 1.416 20 0 DDADMM CSCC[C@H](NC(=O)c1cnoc1C(C)C)c1nn[n-]n1 ZINC001362681711 884405483 /nfs/dbraw/zinc/40/54/83/884405483.db2.gz MZMZQHMOPKEZBV-VIFPVBQESA-N -1 1 310.383 1.535 20 0 DDADMM O=C(c1c(Cl)n[nH]c1C1CC1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362689708 884421042 /nfs/dbraw/zinc/42/10/42/884421042.db2.gz SAUKXOSCFGBJRH-QMMMGPOBSA-N -1 1 321.772 1.473 20 0 DDADMM CS(C)(=O)=Nc1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001362796242 884660903 /nfs/dbraw/zinc/66/09/03/884660903.db2.gz PURUJVBOSLVXSC-UHFFFAOYSA-N -1 1 322.394 1.520 20 0 DDADMM Cc1cc[nH]c1C(=O)N[C@@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001382775249 884673102 /nfs/dbraw/zinc/67/31/02/884673102.db2.gz ZUORECJTNCMKRT-WDEREUQCSA-N -1 1 316.361 1.361 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@]12C[C@H]1COC21CCC1 ZINC001362831870 884747228 /nfs/dbraw/zinc/74/72/28/884747228.db2.gz KGISTBFCYAURHW-NHYWBVRUSA-N -1 1 305.382 1.144 20 0 DDADMM CCCC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001382842575 884790617 /nfs/dbraw/zinc/79/06/17/884790617.db2.gz MPUXAWZZCVSNQJ-NWDGAFQWSA-N -1 1 305.378 1.697 20 0 DDADMM Cc1cnc(C(=O)NCc2cnc(C(F)(F)F)nc2)c([O-])c1 ZINC001362950212 885061847 /nfs/dbraw/zinc/06/18/47/885061847.db2.gz VOJOLTWRQRXVDC-UHFFFAOYSA-N -1 1 312.251 1.834 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC2(CC1)C[C@@H](O)CCO2 ZINC001362953178 885070667 /nfs/dbraw/zinc/07/06/67/885070667.db2.gz GAUHFTNNXFTFNU-NSHDSACASA-N -1 1 309.337 1.677 20 0 DDADMM NC(=O)NC(=O)c1cccc(NC(=O)c2ccc(F)c([O-])c2)c1 ZINC001363018266 885241756 /nfs/dbraw/zinc/24/17/56/885241756.db2.gz XGTKYEVVGSQYOY-UHFFFAOYSA-N -1 1 317.276 1.592 20 0 DDADMM C[C@H](CNC(=O)CC(C)(F)F)N(C)C(=O)c1ncccc1[O-] ZINC001383106053 885260194 /nfs/dbraw/zinc/26/01/94/885260194.db2.gz SVPCPZGAHYWKIQ-SECBINFHSA-N -1 1 315.320 1.409 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H]1CC[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001288471281 912920017 /nfs/dbraw/zinc/92/00/17/912920017.db2.gz KUAAZKBYRKNTIJ-UDLQBDOPSA-N -1 1 317.389 1.863 20 0 DDADMM CCC(CC)(NC(=O)c1cc(C(C)C)n(C)n1)c1nn[n-]n1 ZINC001363134965 885551105 /nfs/dbraw/zinc/55/11/05/885551105.db2.gz GFYCDKDDBPLCTE-UHFFFAOYSA-N -1 1 305.386 1.502 20 0 DDADMM O=C([N-]N1CCOC1=O)c1ccc(Br)cc1F ZINC001363255150 885834284 /nfs/dbraw/zinc/83/42/84/885834284.db2.gz IIZLRHLPWIEKOE-UHFFFAOYSA-N -1 1 303.087 1.685 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1c[nH]nc1C(C)(C)C ZINC001363276722 885886125 /nfs/dbraw/zinc/88/61/25/885886125.db2.gz ODNYRRLEVLGCMY-UHFFFAOYSA-N -1 1 305.386 1.519 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1CC(=O)N(C)c1nn[n-]n1 ZINC001363327204 886030641 /nfs/dbraw/zinc/03/06/41/886030641.db2.gz PPJOWDLRZLGRQA-NXEZZACHSA-N -1 1 324.385 1.056 20 0 DDADMM COc1cccc2c1OCCC[C@H]2NC(=O)CCc1nn[n-]n1 ZINC001363345998 886088023 /nfs/dbraw/zinc/08/80/23/886088023.db2.gz MEDDTNSFQZMFTH-LLVKDONJSA-N -1 1 317.349 1.171 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001363357492 886121856 /nfs/dbraw/zinc/12/18/56/886121856.db2.gz RYROVQVHHZLJPT-LLVKDONJSA-N -1 1 321.356 1.791 20 0 DDADMM CSc1cc(C(=O)NC2(c3nn[n-]n3)CCC2)ccc1F ZINC001363376553 886172972 /nfs/dbraw/zinc/17/29/72/886172972.db2.gz WUVTWMOCGIEBIN-UHFFFAOYSA-N -1 1 307.354 1.870 20 0 DDADMM COC[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccc(Cl)cc1)c1nn[n-]n1 ZINC001363415096 886272023 /nfs/dbraw/zinc/27/20/23/886272023.db2.gz NMMVRRJFPYIVGV-QJPTWQEYSA-N -1 1 321.768 1.461 20 0 DDADMM COC[C@H](NC(=O)c1ccc(OC(C)(C)C)cc1)c1nn[n-]n1 ZINC001363415974 886277588 /nfs/dbraw/zinc/27/75/88/886277588.db2.gz OLTZOSLBKSULOS-LBPRGKRZSA-N -1 1 319.365 1.495 20 0 DDADMM CSc1ncc(C(=O)NCc2nnc(C(C)(C)C)[nH]2)c(=O)[n-]1 ZINC001363417170 886279850 /nfs/dbraw/zinc/27/98/50/886279850.db2.gz DCRXMEYRBWFWBV-UHFFFAOYSA-N -1 1 322.394 1.250 20 0 DDADMM CNS(=O)(=O)C[C@@H]1CCCN1Cc1ccc([O-])c(F)c1F ZINC001363431505 886322280 /nfs/dbraw/zinc/32/22/80/886322280.db2.gz ZAQZXGPCTAIQRZ-JTQLQIEISA-N -1 1 320.361 1.184 20 0 DDADMM O=C(N[C@@H]([C@@H]1CCCO1)C1(CO)CCC1)c1ccc([O-])cn1 ZINC001363462455 886404902 /nfs/dbraw/zinc/40/49/02/886404902.db2.gz VAKJVHJATXIONZ-KBPBESRZSA-N -1 1 306.362 1.227 20 0 DDADMM CCCc1nc(C(=O)N[C@@H](C)c2n[n-]c(C(=O)OCC)n2)co1 ZINC001363475652 886438632 /nfs/dbraw/zinc/43/86/32/886438632.db2.gz NAVUZOGEWSFFIQ-QMMMGPOBSA-N -1 1 321.337 1.413 20 0 DDADMM CCCc1nc(C(=O)N[C@@H](C)c2nnc(C(=O)OCC)[n-]2)co1 ZINC001363475652 886438641 /nfs/dbraw/zinc/43/86/41/886438641.db2.gz NAVUZOGEWSFFIQ-QMMMGPOBSA-N -1 1 321.337 1.413 20 0 DDADMM CCCc1nc(C(=O)N[C@@H](C)c2nc(C(=O)OCC)n[n-]2)co1 ZINC001363475652 886438646 /nfs/dbraw/zinc/43/86/46/886438646.db2.gz NAVUZOGEWSFFIQ-QMMMGPOBSA-N -1 1 321.337 1.413 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](CO)C[C@H]1CCCO1 ZINC001363596848 886725890 /nfs/dbraw/zinc/72/58/90/886725890.db2.gz ZTEPOJVFRGSXMB-VXGBXAGGSA-N -1 1 323.393 1.274 20 0 DDADMM Cc1cc(C)n(CC(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)n1 ZINC001363634172 886827174 /nfs/dbraw/zinc/82/71/74/886827174.db2.gz ZZWRQXOZDROTQU-UHFFFAOYSA-N -1 1 305.338 1.427 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc2c(c1)CCCC2=O ZINC001363817696 887302624 /nfs/dbraw/zinc/30/26/24/887302624.db2.gz GGOHLNUXSFUJAL-UHFFFAOYSA-N -1 1 311.345 1.528 20 0 DDADMM C[C@@H](OCc1ccccc1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363822625 887319768 /nfs/dbraw/zinc/31/97/68/887319768.db2.gz RZLAWYZPYRQBOB-LLVKDONJSA-N -1 1 301.350 1.300 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cccc(C)n2)n[n-]1 ZINC001363882097 887461716 /nfs/dbraw/zinc/46/17/16/887461716.db2.gz CSWDEQQEYNOPMS-VIFPVBQESA-N -1 1 303.322 1.176 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cccc(C)n2)[n-]1 ZINC001363882097 887461726 /nfs/dbraw/zinc/46/17/26/887461726.db2.gz CSWDEQQEYNOPMS-VIFPVBQESA-N -1 1 303.322 1.176 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cccc(C)n2)n1 ZINC001363882097 887461734 /nfs/dbraw/zinc/46/17/34/887461734.db2.gz CSWDEQQEYNOPMS-VIFPVBQESA-N -1 1 303.322 1.176 20 0 DDADMM CSCCC(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001384441882 887475564 /nfs/dbraw/zinc/47/55/64/887475564.db2.gz UIKGMTJOZYIQLC-UHFFFAOYSA-N -1 1 323.418 1.260 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1C[C@H]1C1CCCC1 ZINC001363956837 887611379 /nfs/dbraw/zinc/61/13/79/887611379.db2.gz LACCTJDJHOTAKJ-ZJUUUORDSA-N -1 1 307.350 1.453 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C(C)(C)COC(C)C)sn1 ZINC001363997049 887691952 /nfs/dbraw/zinc/69/19/52/887691952.db2.gz ACKFWDPBXOTGLK-UHFFFAOYSA-N -1 1 308.425 1.634 20 0 DDADMM CCC(F)(F)C(C)(C)CS(=O)(=O)[N-][C@H]1COC[C@H]1OC ZINC001364005344 887706951 /nfs/dbraw/zinc/70/69/51/887706951.db2.gz UAFSCGRLWHPQMC-VHSXEESVSA-N -1 1 315.382 1.391 20 0 DDADMM COC[C@H](NC(=O)[C@H](C)CCc1ccccc1F)c1nn[n-]n1 ZINC001364025483 887745716 /nfs/dbraw/zinc/74/57/16/887745716.db2.gz HZUVBERXDGCLDD-MFKMUULPSA-N -1 1 321.356 1.411 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC[C@@H]1CCSC1 ZINC001364026553 887749482 /nfs/dbraw/zinc/74/94/82/887749482.db2.gz ISHPXBMVFUGYND-MRVPVSSYSA-N -1 1 308.450 1.573 20 0 DDADMM CSCc1nnc(CNS(=O)(=O)c2ccc(C)o2)[nH]1 ZINC001364036955 887770512 /nfs/dbraw/zinc/77/05/12/887770512.db2.gz XWXZVEOMPWGUJN-UHFFFAOYSA-N -1 1 302.381 1.048 20 0 DDADMM CCc1ccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c(O)c1 ZINC001364092833 887900808 /nfs/dbraw/zinc/90/08/08/887900808.db2.gz ZNGOWSKSJKADAV-NSHDSACASA-N -1 1 321.406 1.692 20 0 DDADMM C[C@H](COCc1ccccc1)Oc1c(C(N)=O)nc[n-]c1=O ZINC001234468310 888000372 /nfs/dbraw/zinc/00/03/72/888000372.db2.gz PSGNNBSGAMNYMC-SNVBAGLBSA-N -1 1 303.318 1.265 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@@H](c2cccnc2)C2CC2)c(=O)[n-]1 ZINC001234475509 888010395 /nfs/dbraw/zinc/01/03/95/888010395.db2.gz BLDCRRIOKKXYGC-GFCCVEGCSA-N -1 1 300.318 1.515 20 0 DDADMM CCC[C@@H](CC(=O)OC)Oc1[n-]c(=O)c(F)cc1C(=O)OC ZINC001234611158 888140658 /nfs/dbraw/zinc/14/06/58/888140658.db2.gz ZKRKJRNTKCIQFN-QMMMGPOBSA-N -1 1 315.297 1.824 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H]1C[C@@H]1C1CCCC1 ZINC001364459782 888684466 /nfs/dbraw/zinc/68/44/66/888684466.db2.gz OKDRPWDJSQWFPN-CHWSQXEVSA-N -1 1 317.389 1.460 20 0 DDADMM CCC[C@H](C)C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385368273 888979853 /nfs/dbraw/zinc/97/98/53/888979853.db2.gz HMKZCXMAGFWFNP-QWHCGFSZSA-N -1 1 319.405 1.896 20 0 DDADMM COC[C@H](NC(=O)c1ccc(OCC(C)C)cc1)c1nn[n-]n1 ZINC001364816514 889475196 /nfs/dbraw/zinc/47/51/96/889475196.db2.gz WGWYYDADQYNJPW-ZDUSSCGKSA-N -1 1 319.365 1.352 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@]2(C)C[C@H]3C[C@H]3C2)sn1 ZINC001364919750 889667022 /nfs/dbraw/zinc/66/70/22/889667022.db2.gz OZGDAGPWJNVXAS-BZBKMWRSSA-N -1 1 302.421 1.866 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001385801676 889767261 /nfs/dbraw/zinc/76/72/61/889767261.db2.gz XOOHWLCYRZLQQJ-RAIGVLPGSA-N -1 1 317.389 1.458 20 0 DDADMM O=S(=O)([N-]CC=CCl)c1nc[nH]c1Br ZINC001364970977 889786989 /nfs/dbraw/zinc/78/69/89/889786989.db2.gz RAZMGQICPYRPHT-OWOJBTEDSA-N -1 1 300.565 1.203 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2cc(C)c[nH]c2=O)sn1 ZINC001364986521 889821790 /nfs/dbraw/zinc/82/17/90/889821790.db2.gz CBDZCCOJAOIAJS-UHFFFAOYSA-N -1 1 315.376 1.039 20 0 DDADMM CS[C@H](C)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001385865880 889887889 /nfs/dbraw/zinc/88/78/89/889887889.db2.gz PALXYOCVMLHYFG-MWLCHTKSSA-N -1 1 323.418 1.163 20 0 DDADMM O=C(Nc1ccc2[nH]c(=O)[nH]c2c1)c1cnc(C2CC2)[n-]c1=O ZINC001290335011 913411474 /nfs/dbraw/zinc/41/14/74/913411474.db2.gz YFLNLTFMEPCUIZ-UHFFFAOYSA-N -1 1 311.301 1.894 20 0 DDADMM C[C@H](C[C@@H](C)NC(=O)c1ncccc1[O-])NC(=O)CC1(C)CC1 ZINC001396245779 913456577 /nfs/dbraw/zinc/45/65/77/913456577.db2.gz KAGCBWBQMGLYHC-VXGBXAGGSA-N -1 1 319.405 1.991 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)C(F)F)NC(=O)c1ncccc1[O-] ZINC001396252322 913466687 /nfs/dbraw/zinc/46/66/87/913466687.db2.gz MSYYMEJXSRHQEQ-SFYZADRCSA-N -1 1 301.293 1.065 20 0 DDADMM C[C@H](C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-])C(C)(F)F ZINC001386244392 890634393 /nfs/dbraw/zinc/63/43/93/890634393.db2.gz VPIHDJWKEAHSEA-DTWKUNHWSA-N -1 1 315.320 1.313 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC(C(C)C)C(C)C)[n-]n1 ZINC001365379648 890695106 /nfs/dbraw/zinc/69/51/06/890695106.db2.gz MZXGPZTWWLOIPH-UHFFFAOYSA-N -1 1 317.411 1.403 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC(C(C)C)C(C)C)n[n-]1 ZINC001365379648 890695110 /nfs/dbraw/zinc/69/51/10/890695110.db2.gz MZXGPZTWWLOIPH-UHFFFAOYSA-N -1 1 317.411 1.403 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Nc2ccccc2)n[n-]1 ZINC001365435765 890792855 /nfs/dbraw/zinc/79/28/55/890792855.db2.gz DIASOWWDTSRKRI-VIFPVBQESA-N -1 1 303.322 1.864 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Nc2ccccc2)[n-]1 ZINC001365435765 890792866 /nfs/dbraw/zinc/79/28/66/890792866.db2.gz DIASOWWDTSRKRI-VIFPVBQESA-N -1 1 303.322 1.864 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Nc2ccccc2)n1 ZINC001365435765 890792875 /nfs/dbraw/zinc/79/28/75/890792875.db2.gz DIASOWWDTSRKRI-VIFPVBQESA-N -1 1 303.322 1.864 20 0 DDADMM O=C(CC1CC1)NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001386352816 890833758 /nfs/dbraw/zinc/83/37/58/890833758.db2.gz WZLINFIZRABXCI-CYBMUJFWSA-N -1 1 317.389 1.556 20 0 DDADMM O=C(NCC(F)(F)CO)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001365514673 890957986 /nfs/dbraw/zinc/95/79/86/890957986.db2.gz FBHFWOSQGQLJFF-UHFFFAOYSA-N -1 1 312.276 1.327 20 0 DDADMM Cc1cc2c(c(-c3ccc(N4CCOCC4)nc3)n1)C(=O)[N-]C2=O ZINC001240993933 891110515 /nfs/dbraw/zinc/11/05/15/891110515.db2.gz KXBYWZZHKDNMDA-UHFFFAOYSA-N -1 1 324.340 1.172 20 0 DDADMM C[C@@H]1C(=O)Nc2cnc(-c3c(F)ccc([O-])c3F)nc2N1C ZINC001241289369 891142158 /nfs/dbraw/zinc/14/21/58/891142158.db2.gz SNLIRZBEYIYEOU-ZCFIWIBFSA-N -1 1 306.272 1.904 20 0 DDADMM CS(=O)(=O)N[C@H]1CCCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001365610796 891155261 /nfs/dbraw/zinc/15/52/61/891155261.db2.gz PYGXNWGQPCDILY-JTQLQIEISA-N -1 1 320.361 1.184 20 0 DDADMM COc1cc(-c2cccc([O-])c2Cl)nc(S(C)(=O)=O)n1 ZINC001242798336 891516940 /nfs/dbraw/zinc/51/69/40/891516940.db2.gz SNAJBIWWOSJVLM-UHFFFAOYSA-N -1 1 314.750 1.915 20 0 DDADMM COC(=O)Cc1cccc(-c2ccc([P@@](=O)([O-])O)cc2)c1 ZINC001244220763 891817823 /nfs/dbraw/zinc/81/78/23/891817823.db2.gz AOHJLXXRSGHLJS-UHFFFAOYSA-N -1 1 306.254 1.872 20 0 DDADMM COC(=O)Cc1cccc(-c2ccc([P@](=O)([O-])O)cc2)c1 ZINC001244220763 891817834 /nfs/dbraw/zinc/81/78/34/891817834.db2.gz AOHJLXXRSGHLJS-UHFFFAOYSA-N -1 1 306.254 1.872 20 0 DDADMM COc1ncc(-c2nc(C)ccc2F)cc1[N-]S(C)(=O)=O ZINC001244789316 891922306 /nfs/dbraw/zinc/92/23/06/891922306.db2.gz IDSBWEYOLJCRQS-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM O=S(=O)([O-])c1cccc(C2=CC[C@@H](N3CCOCC3)CC2)n1 ZINC001245418373 892107078 /nfs/dbraw/zinc/10/70/78/892107078.db2.gz JHLAOFWMLDWVFW-CYBMUJFWSA-N -1 1 324.402 1.596 20 0 DDADMM CCN(CCNC(=O)C1CC(C)C1)C(=O)c1ncccc1[O-] ZINC001387185331 892569978 /nfs/dbraw/zinc/56/99/78/892569978.db2.gz VHNWKZCTQMEYSD-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@@H](NC/C(Cl)=C/Cl)C2)c1[O-] ZINC001366151414 892594638 /nfs/dbraw/zinc/59/46/38/892594638.db2.gz YIYNXXWBMXIIGW-SZGWWTLYSA-N -1 1 319.192 1.593 20 0 DDADMM C[S@@](=O)CCCNC(=S)Nc1c(F)cc([O-])cc1F ZINC001246572263 892704188 /nfs/dbraw/zinc/70/41/88/892704188.db2.gz AUHWGGCRHYMTFD-LJQANCHMSA-N -1 1 308.375 1.725 20 0 DDADMM Cc1ocnc1C(=O)NCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001387414688 893046570 /nfs/dbraw/zinc/04/65/70/893046570.db2.gz USCLTVBVDVTNLY-SECBINFHSA-N -1 1 318.333 1.022 20 0 DDADMM CC[C@@H](SC)C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001387682273 893620157 /nfs/dbraw/zinc/62/01/57/893620157.db2.gz YOBJNBWIRRWKOV-LLVKDONJSA-N -1 1 311.407 1.117 20 0 DDADMM COC(=O)Cn1cc(Nc2cc([O-])c(F)c(F)c2F)cn1 ZINC001249959175 894175882 /nfs/dbraw/zinc/17/58/82/894175882.db2.gz UFDHVDVPSUGZKY-UHFFFAOYSA-N -1 1 301.224 1.923 20 0 DDADMM CN(C)S(=O)(=O)[N-]c1cc(NC(=O)OC(C)(C)C)ncn1 ZINC001251056193 894614595 /nfs/dbraw/zinc/61/45/95/894614595.db2.gz OKCGOLVUHNDJKS-UHFFFAOYSA-N -1 1 317.371 1.042 20 0 DDADMM O=C1Nc2cc([N-]S(=O)(=O)c3ccc(F)cc3)ccc2C1=O ZINC001251664417 894765037 /nfs/dbraw/zinc/76/50/37/894765037.db2.gz BWFHRPRYNSWROT-UHFFFAOYSA-N -1 1 320.301 1.761 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccc(F)cc1)c1ccncc1 ZINC001251686271 894773664 /nfs/dbraw/zinc/77/36/64/894773664.db2.gz PJCKQWREHHFEHW-CYBMUJFWSA-N -1 1 324.333 1.413 20 0 DDADMM Cc1ccc(S(=O)(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001252223830 895041495 /nfs/dbraw/zinc/04/14/95/895041495.db2.gz IBQDFNLWGPJXIT-UHFFFAOYSA-N -1 1 307.308 1.231 20 0 DDADMM CC(C)S(=O)(=O)[N-]c1cc(N2CCOCC2)cc(Cl)n1 ZINC001252433940 895145960 /nfs/dbraw/zinc/14/59/60/895145960.db2.gz UERRQEZVSSOPRW-UHFFFAOYSA-N -1 1 319.814 1.722 20 0 DDADMM CCCN(CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O)C(C)=O ZINC001388591216 895496468 /nfs/dbraw/zinc/49/64/68/895496468.db2.gz FRNWEBSFSUGKKZ-UHFFFAOYSA-N -1 1 322.409 1.602 20 0 DDADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)c1ccccc1 ZINC001367308757 896409170 /nfs/dbraw/zinc/40/91/70/896409170.db2.gz WZZCQQHCTNLTDT-UHFFFAOYSA-N -1 1 315.377 1.343 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccnn1-c1cccc(Cl)c1 ZINC001292930974 914101160 /nfs/dbraw/zinc/10/11/60/914101160.db2.gz YHVGLLOMHDHUMD-UHFFFAOYSA-N -1 1 317.740 1.610 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1-c1nnc([C@H]2CCOC2)o1 ZINC001255603348 897044666 /nfs/dbraw/zinc/04/46/66/897044666.db2.gz XWDCCCQDZJKGAI-ZETCQYMHSA-N -1 1 315.376 1.674 20 0 DDADMM O=C1Cc2cccc([N-]S(=O)(=O)c3cccc(F)c3)c2CN1 ZINC001255661994 897074423 /nfs/dbraw/zinc/07/44/23/897074423.db2.gz VIFOBGQYDVSDSF-UHFFFAOYSA-N -1 1 320.345 1.799 20 0 DDADMM C[C@H](C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-])C1CC1 ZINC001389613209 897637693 /nfs/dbraw/zinc/63/76/93/897637693.db2.gz AHJSAOWYSJMQMU-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(NCC1(NC(=O)c2ncccc2[O-])CC1)c1ccoc1 ZINC001389785817 898007792 /nfs/dbraw/zinc/00/77/92/898007792.db2.gz GUOSNCLSOHOSCH-UHFFFAOYSA-N -1 1 301.302 1.073 20 0 DDADMM CCOC(=O)CCC[C@@H](C)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001258109948 898060229 /nfs/dbraw/zinc/06/02/29/898060229.db2.gz SCDCQWSFLNATFP-SSDOTTSWSA-N -1 1 309.326 1.250 20 0 DDADMM O=S(=O)([N-]Cc1cn2cccnc2n1)c1cc(F)ccc1F ZINC001258941131 898368682 /nfs/dbraw/zinc/36/86/82/898368682.db2.gz DWFWVYGHTFMVKZ-UHFFFAOYSA-N -1 1 324.312 1.486 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)c2cccc(Cl)c2F)c(=O)[nH]1 ZINC001259473539 898687929 /nfs/dbraw/zinc/68/79/29/898687929.db2.gz RYTKXGSIKVOHEU-QMMMGPOBSA-N -1 1 317.729 1.155 20 0 DDADMM O=c1cc([N-]S(=O)(=O)c2ccc(Cl)cc2F)cn[nH]1 ZINC001259506694 898707627 /nfs/dbraw/zinc/70/76/27/898707627.db2.gz DORAHCAARGNFHA-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM Cc1cnc([N-]S(=O)(=O)c2ccc(Cl)cc2F)c(=O)[nH]1 ZINC001259515959 898709762 /nfs/dbraw/zinc/70/97/62/898709762.db2.gz VNFSXNHDIPSVMW-UHFFFAOYSA-N -1 1 317.729 1.672 20 0 DDADMM CC(C)(O)[C@H](F)C[N-]S(=O)(=O)c1ccc(Cl)cc1F ZINC001259515612 898709883 /nfs/dbraw/zinc/70/98/83/898709883.db2.gz NAIQVKMSMABBFW-SNVBAGLBSA-N -1 1 313.753 1.866 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CN(Cc2ccccc2)CCO1)C(F)F ZINC001259949816 898969238 /nfs/dbraw/zinc/96/92/38/898969238.db2.gz LOYNANTVRACFQI-GFCCVEGCSA-N -1 1 320.361 1.029 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H]1C[N-]S(=O)(=O)C(F)F ZINC001259960436 898981438 /nfs/dbraw/zinc/98/14/38/898981438.db2.gz UEKGWLOQHOUBGL-ZETCQYMHSA-N -1 1 300.327 1.138 20 0 DDADMM CN1CC2(C1)CCN(S(=O)(=O)c1cccc(C(=O)[O-])c1)CC2 ZINC001260188345 899080483 /nfs/dbraw/zinc/08/04/83/899080483.db2.gz PGMKEEHFVVCKRR-UHFFFAOYSA-N -1 1 324.402 1.101 20 0 DDADMM Cc1cncc2cccc([N-]S(=O)(=O)CS(C)(=O)=O)c12 ZINC001260592084 899180612 /nfs/dbraw/zinc/18/06/12/899180612.db2.gz BSKMDBFNCGSDGO-UHFFFAOYSA-N -1 1 314.388 1.287 20 0 DDADMM Cc1nn(C)c(Cl)c1CNCCSC[C@H](C)C(=O)[O-] ZINC001262163366 899994656 /nfs/dbraw/zinc/99/46/56/899994656.db2.gz QNAYQALTYBMJLT-QMMMGPOBSA-N -1 1 305.831 1.925 20 0 DDADMM Cc1ncsc1CN(C)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001262851813 900395830 /nfs/dbraw/zinc/39/58/30/900395830.db2.gz USZGYRIEOLLEDR-UHFFFAOYSA-N -1 1 324.801 1.655 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001263911913 900814432 /nfs/dbraw/zinc/81/44/32/900814432.db2.gz WIZYKFMUUZXVCM-PCAWENJQSA-N -1 1 316.340 1.228 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCC1(C)CC1 ZINC001369397667 901185290 /nfs/dbraw/zinc/18/52/90/901185290.db2.gz HHPTXDFPWCFEMP-QWRGUYRKSA-N -1 1 307.398 1.170 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)CC(C)(C)CNC(=O)c1ncccc1[O-] ZINC001369731229 901835450 /nfs/dbraw/zinc/83/54/50/901835450.db2.gz MEEVHMAMHYBURQ-VXGBXAGGSA-N -1 1 319.405 1.658 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1CC12CC2)N(C)C(=O)c1ncccc1[O-] ZINC001369955175 902275562 /nfs/dbraw/zinc/27/55/62/902275562.db2.gz AHJRFRAKMSXMRZ-QWRGUYRKSA-N -1 1 303.362 1.164 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2ccnnc2)ccc1Cl ZINC000701890753 902382608 /nfs/dbraw/zinc/38/26/08/902382608.db2.gz KVRFKQRGAOULHN-UHFFFAOYSA-N -1 1 313.722 1.629 20 0 DDADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001392168428 903437323 /nfs/dbraw/zinc/43/73/23/903437323.db2.gz OHUMTNLUCZAFAM-LOWDOPEQSA-N -1 1 321.425 1.177 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1C[C@H]1CC(C)(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001371189757 904447697 /nfs/dbraw/zinc/44/76/97/904447697.db2.gz STFOYNLOHOYCPZ-GARJFASQSA-N -1 1 309.414 1.177 20 0 DDADMM C[C@@H](CNC(=O)CCC(F)(F)F)NC(=O)c1ncccc1[O-] ZINC001392775703 905220274 /nfs/dbraw/zinc/22/02/74/905220274.db2.gz YQLDKKFMCWUCND-QMMMGPOBSA-N -1 1 319.283 1.364 20 0 DDADMM CCCC(=O)NC[C@@H]1CCCC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001393133291 906309287 /nfs/dbraw/zinc/30/92/87/906309287.db2.gz FJCVYURWANEYFV-STQMWFEESA-N -1 1 319.405 1.992 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)NCC2(NC(=O)c3ncccc3[O-])CC2)C1 ZINC001393646809 907608582 /nfs/dbraw/zinc/60/85/82/907608582.db2.gz XXFTZWXLFNFOMF-NWDGAFQWSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1occc1Cl ZINC001372831967 908378079 /nfs/dbraw/zinc/37/80/79/908378079.db2.gz HEFCKYDVXGDAER-MRVPVSSYSA-N -1 1 323.736 1.582 20 0 DDADMM CN(CCNC(=O)C(C)(C)C(F)F)C(=O)c1ncccc1[O-] ZINC001373676829 910588216 /nfs/dbraw/zinc/58/82/16/910588216.db2.gz UUTIFPHEWKEQTA-UHFFFAOYSA-N -1 1 315.320 1.267 20 0 DDADMM C[C@@H](CNC(=O)C[C@H]1CC=CCC1)NC(=O)c1ncccc1[O-] ZINC001285723169 911099187 /nfs/dbraw/zinc/09/91/87/911099187.db2.gz NIOKNGVLCINDSS-STQMWFEESA-N -1 1 317.389 1.768 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001395062501 911268525 /nfs/dbraw/zinc/26/85/25/911268525.db2.gz DESCCSRDMISNBF-NMKXLXIOSA-N -1 1 317.389 1.410 20 0 DDADMM CCCSCC(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001285921398 911443042 /nfs/dbraw/zinc/44/30/42/911443042.db2.gz MSNLSIOYCPDBKP-UHFFFAOYSA-N -1 1 323.418 1.309 20 0 DDADMM COC(=O)[C@H]1CCCCN1C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001294494262 915172444 /nfs/dbraw/zinc/17/24/44/915172444.db2.gz VAUQUUZFZQUSOE-CYBMUJFWSA-N -1 1 305.330 1.681 20 0 DDADMM O=C(c1c[nH]nc1-c1ccco1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001295412259 915764355 /nfs/dbraw/zinc/76/43/55/915764355.db2.gz URHYGGJWOSSRNQ-SECBINFHSA-N -1 1 313.321 1.203 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)c1 ZINC001295508888 915860299 /nfs/dbraw/zinc/86/02/99/915860299.db2.gz JXSDXYBOKRYDIU-RTCCRHLQSA-N -1 1 324.402 1.804 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)C1(CCF)CC1 ZINC001375697095 916733701 /nfs/dbraw/zinc/73/37/01/916733701.db2.gz PCCBBNXQHKHNHE-NSHDSACASA-N -1 1 323.368 1.409 20 0 DDADMM CC[C@@H](CC(C)C)C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001375767413 916908701 /nfs/dbraw/zinc/90/87/01/916908701.db2.gz OCCRJNZNRYNPHH-STQMWFEESA-N -1 1 323.441 1.663 20 0 DDADMM Cc1coc(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])c1 ZINC001377545992 922291475 /nfs/dbraw/zinc/29/14/75/922291475.db2.gz ROHGEGBUDQAFFY-JTQLQIEISA-N -1 1 303.318 1.237 20 0 DDADMM CCO[C@@H]1C[C@H](O)C12CCN(C(=O)c1ccc([O-])cc1F)CC2 ZINC000181231962 199268887 /nfs/dbraw/zinc/26/88/87/199268887.db2.gz RBKFJVRHPOICFE-LSDHHAIUSA-N -1 1 323.364 1.923 20 0 DDADMM Cc1c(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)ccn1C(C)C ZINC000622869796 365548829 /nfs/dbraw/zinc/54/88/29/365548829.db2.gz GTWWWPNXTVUCEB-UHFFFAOYSA-N -1 1 314.349 1.669 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@H](C)C[C@H](C)C3)nc2n1 ZINC000622870972 365550379 /nfs/dbraw/zinc/55/03/79/365550379.db2.gz NBSGBIJJBYYVQM-JZYVYDRUSA-N -1 1 303.366 1.737 20 0 DDADMM CC[C@@H]1C[C@H](CC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)CCO1 ZINC000622871127 365552237 /nfs/dbraw/zinc/55/22/37/365552237.db2.gz RIVGWDLEYKEGGD-GHMZBOCLSA-N -1 1 319.365 1.260 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCC4(CC4)C3)nc2n1 ZINC000622992733 365585779 /nfs/dbraw/zinc/58/57/79/365585779.db2.gz XDFZIWYFTJXRQR-SNVBAGLBSA-N -1 1 315.377 1.889 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)CC(C)(C)C)nc2n1 ZINC000622993090 365585873 /nfs/dbraw/zinc/58/58/73/365585873.db2.gz AYINUAKSCOUQDT-SECBINFHSA-N -1 1 305.382 1.991 20 0 DDADMM CCC[C@H](C(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1)C(C)C ZINC000622994718 365588975 /nfs/dbraw/zinc/58/89/75/365588975.db2.gz ZNBYYSQVZWHTFI-NSHDSACASA-N -1 1 305.382 1.991 20 0 DDADMM O=C([C@@H](O)C1CCCCC1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614387913 361833310 /nfs/dbraw/zinc/83/33/10/361833310.db2.gz DBYYCNALCJWVRU-NEPJUHHUSA-N -1 1 309.366 1.422 20 0 DDADMM O=C(Cn1cc(C2CC2)cn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614388989 361832274 /nfs/dbraw/zinc/83/22/74/361832274.db2.gz JVWMIECLTVFIAR-NSHDSACASA-N -1 1 317.349 1.255 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1COCC1(C)C ZINC000614427562 361848867 /nfs/dbraw/zinc/84/88/67/361848867.db2.gz AFYYQWQQDGOFLQ-UHFFFAOYSA-N -1 1 304.350 1.189 20 0 DDADMM O=C(c1c[nH]c2cccnc12)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614473795 361874632 /nfs/dbraw/zinc/87/46/32/361874632.db2.gz LQQUONHBPVTSIM-UHFFFAOYSA-N -1 1 313.317 1.671 20 0 DDADMM C[S@@](=O)CCC[N-]S(=O)(=O)c1sccc1Cl ZINC000451210514 231033417 /nfs/dbraw/zinc/03/34/17/231033417.db2.gz SWZBLOFBORIFCX-OAHLLOKOSA-N -1 1 301.842 1.448 20 0 DDADMM CCc1[nH]n(C)c2nnc(NC(=O)c3ccc(O)cc3[O-])c1-2 ZINC000179502328 539234312 /nfs/dbraw/zinc/23/43/12/539234312.db2.gz ROQZOPWJGVNKSL-UHFFFAOYSA-N -1 1 301.306 1.522 20 0 DDADMM CCOc1cnc(C(=O)[N-]c2nnc(C(F)(F)F)s2)cn1 ZINC000186485942 539247590 /nfs/dbraw/zinc/24/75/90/539247590.db2.gz DCQIZTBITYJIIO-UHFFFAOYSA-N -1 1 319.268 1.998 20 0 DDADMM O=C([O-])Cc1ccc(S(=O)(=O)Nc2ccc(F)cn2)cc1 ZINC000088248232 185243446 /nfs/dbraw/zinc/24/34/46/185243446.db2.gz HZQLNAQFAHDDGB-UHFFFAOYSA-N -1 1 310.306 1.649 20 0 DDADMM CCOc1cnc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC000188555530 539251460 /nfs/dbraw/zinc/25/14/60/539251460.db2.gz ZTPROGWCWLOWMU-UHFFFAOYSA-N -1 1 302.216 1.265 20 0 DDADMM C[C@H](NS(C)(=O)=O)c1nc(-c2ccc([O-])cc2Cl)no1 ZINC000278212891 214026043 /nfs/dbraw/zinc/02/60/43/214026043.db2.gz QJDQLNAYQASFDG-LURJTMIESA-N -1 1 317.754 1.706 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1ccnc(Cl)c1)c1nn[n-]n1 ZINC000254979606 282222513 /nfs/dbraw/zinc/22/25/13/282222513.db2.gz HSPHGAWUBRRQGI-UMCURTJPSA-N -1 1 306.757 1.919 20 0 DDADMM O=C(N=c1nc2ccccn2[n-]1)[C@H]1CCN(Cc2ccccc2)C1 ZINC000615008744 362114496 /nfs/dbraw/zinc/11/44/96/362114496.db2.gz LZAZPRQGNUVCGX-HNNXBMFYSA-N -1 1 321.384 1.612 20 0 DDADMM O=S(=O)(C[C@H]1CCOC1)c1nnc(-c2ccccc2F)[n-]1 ZINC000278197941 214017959 /nfs/dbraw/zinc/01/79/59/214017959.db2.gz NMBKKHTUCFBOMW-VIFPVBQESA-N -1 1 311.338 1.421 20 0 DDADMM O=S(=O)(C[C@H]1CCOC1)c1n[n-]c(-c2ccccc2F)n1 ZINC000278197941 214017962 /nfs/dbraw/zinc/01/79/62/214017962.db2.gz NMBKKHTUCFBOMW-VIFPVBQESA-N -1 1 311.338 1.421 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)c1 ZINC000615053873 362129545 /nfs/dbraw/zinc/12/95/45/362129545.db2.gz KPGCLFDRRNXVEZ-MYJAWHEDSA-N -1 1 310.375 1.285 20 0 DDADMM C[C@@](NC(=O)c1ccc2[nH]nnc2c1)(C(=O)[O-])c1ccccc1 ZINC000320285242 539297048 /nfs/dbraw/zinc/29/70/48/539297048.db2.gz OXJRGIARWBVLOH-INIZCTEOSA-N -1 1 310.313 1.688 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccnc(NC(=O)C(C)C)c1 ZINC000615219456 362200950 /nfs/dbraw/zinc/20/09/50/362200950.db2.gz QHGQYMDQCGUZDV-UHFFFAOYSA-N -1 1 303.322 1.666 20 0 DDADMM O=C(C=Cc1cc(Cl)c2c(c1)OCCO2)Nc1nnn[n-]1 ZINC000255576444 282267086 /nfs/dbraw/zinc/26/70/86/282267086.db2.gz VFWQBXNZSSTYJU-UPHRSURJSA-N -1 1 307.697 1.276 20 0 DDADMM O=C(C=Cc1cc(Cl)c2c(c1)OCCO2)Nc1nn[n-]n1 ZINC000255576444 282267089 /nfs/dbraw/zinc/26/70/89/282267089.db2.gz VFWQBXNZSSTYJU-UPHRSURJSA-N -1 1 307.697 1.276 20 0 DDADMM CN1C[C@H]([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)CC1=O ZINC000451153663 529829673 /nfs/dbraw/zinc/82/96/73/529829673.db2.gz IPAVJJNENBRFSL-SNVBAGLBSA-N -1 1 312.322 1.081 20 0 DDADMM CN(CC(=O)Nc1ccccc1)C(=O)C(=O)c1ccc([O-])cc1 ZINC000436887394 529838865 /nfs/dbraw/zinc/83/88/65/529838865.db2.gz JLNSKNOFHWHISF-UHFFFAOYSA-N -1 1 312.325 1.672 20 0 DDADMM COC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)c1ccc([O-])c(F)c1 ZINC000615815057 362452783 /nfs/dbraw/zinc/45/27/83/362452783.db2.gz KPBFHFMBELSORO-WDMOLILDSA-N -1 1 307.321 1.945 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCCSC2)c1Br ZINC000616002749 362516428 /nfs/dbraw/zinc/51/64/28/362516428.db2.gz KFQAMQVCHOWCNF-SSDOTTSWSA-N -1 1 304.213 1.984 20 0 DDADMM C[C@H](Sc1nc(C(F)(F)F)cc(=O)[n-]1)c1nnnn1C ZINC000330952970 232215575 /nfs/dbraw/zinc/21/55/75/232215575.db2.gz IXJJAKMXROXANJ-BYPYZUCNSA-N -1 1 306.273 1.578 20 0 DDADMM CCOC(=O)[C@H](CNC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000339905486 282437521 /nfs/dbraw/zinc/43/75/21/282437521.db2.gz KUTHQUXMCHQFSS-OAHLLOKOSA-N -1 1 314.341 1.864 20 0 DDADMM COC1(C)CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000358353198 299128624 /nfs/dbraw/zinc/12/86/24/299128624.db2.gz MHFDJAHMLOTICQ-UHFFFAOYSA-N -1 1 304.350 1.315 20 0 DDADMM CS(=O)(=O)CCCCNC(=O)c1ncc2ccccc2c1[O-] ZINC000616411183 362682434 /nfs/dbraw/zinc/68/24/34/362682434.db2.gz UKOPFAPLCQBATH-UHFFFAOYSA-N -1 1 322.386 1.495 20 0 DDADMM COC[C@@H](C)NC(=O)c1c[n-]c2cc(OC)cc(OC)c2c1=O ZINC000623395480 365847838 /nfs/dbraw/zinc/84/78/38/365847838.db2.gz NADWBPGFYUVSGX-SECBINFHSA-N -1 1 320.345 1.310 20 0 DDADMM O=C(Nc1cc([C@@H]2CCCOC2)[nH]n1)c1ccc(O)cc1[O-] ZINC000279875681 215198150 /nfs/dbraw/zinc/19/81/50/215198150.db2.gz GYPQTNHXGZPHFT-SECBINFHSA-N -1 1 303.318 1.967 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2cc(F)ccc2F)CCCCC1 ZINC000175694125 186189144 /nfs/dbraw/zinc/18/91/44/186189144.db2.gz IFTXHPPIPDKQJR-UHFFFAOYSA-N -1 1 318.345 1.431 20 0 DDADMM C[C@@H](N=c1ccc(N2CCS(=O)CC2)n[n-]1)c1ccccc1 ZINC000564877059 304022576 /nfs/dbraw/zinc/02/25/76/304022576.db2.gz MXURRRIFMGVZBS-CYBMUJFWSA-N -1 1 316.430 1.640 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H](C)c2ccccc2)o1 ZINC000031489695 352267183 /nfs/dbraw/zinc/26/71/83/352267183.db2.gz JLDNUXQIMBVKDO-LLVKDONJSA-N -1 1 322.386 1.721 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCC2CCCC2)o1 ZINC000031636587 352270181 /nfs/dbraw/zinc/27/01/81/352270181.db2.gz FKLGCLNPTRKLTR-UHFFFAOYSA-N -1 1 314.407 1.888 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@@H](CO)NC(=O)c2ccc([O-])cc2F)C1 ZINC000617533983 363162190 /nfs/dbraw/zinc/16/21/90/363162190.db2.gz ATNFPKSFWOHHEV-JVLSTEMRSA-N -1 1 311.353 1.827 20 0 DDADMM CCOCC(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000033067369 352288597 /nfs/dbraw/zinc/28/85/97/352288597.db2.gz IQVCWIFVSOWRIE-UHFFFAOYSA-N -1 1 302.352 1.042 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCc2ccccc2OC)n1 ZINC000043293726 352364690 /nfs/dbraw/zinc/36/46/90/352364690.db2.gz VLMIVLQYNATOJB-UHFFFAOYSA-N -1 1 317.349 1.363 20 0 DDADMM O=C(CCOc1ccc(Cl)c(Cl)c1)NCc1nn[n-]n1 ZINC000044928541 352398011 /nfs/dbraw/zinc/39/80/11/352398011.db2.gz ZJJADZNUXPBNOB-UHFFFAOYSA-N -1 1 316.148 1.592 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NCC(C)C ZINC000047343673 352491607 /nfs/dbraw/zinc/49/16/07/352491607.db2.gz DHMSEGGTQJDKSS-UHFFFAOYSA-N -1 1 300.362 1.455 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(CC(=O)Nc2nnn[n-]2)CC1 ZINC000060380457 352870081 /nfs/dbraw/zinc/87/00/81/352870081.db2.gz RNQOPDJQVZLCNT-UHFFFAOYSA-N -1 1 310.358 1.175 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(CC(=O)Nc2nn[n-]n2)CC1 ZINC000060380457 352870082 /nfs/dbraw/zinc/87/00/82/352870082.db2.gz RNQOPDJQVZLCNT-UHFFFAOYSA-N -1 1 310.358 1.175 20 0 DDADMM CCc1nnsc1C(=O)[N-]c1ncn(Cc2ccccc2)n1 ZINC000064808586 352944259 /nfs/dbraw/zinc/94/42/59/352944259.db2.gz AOPOKNCQLPGGLE-UHFFFAOYSA-N -1 1 314.374 1.993 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2cnc(Cl)c(C)c2)no1 ZINC000173400648 198211454 /nfs/dbraw/zinc/21/14/54/198211454.db2.gz KZUYXVJVUIKGKL-UHFFFAOYSA-N -1 1 302.743 1.213 20 0 DDADMM CC(C)(CNC(=O)c1cc(Cl)ccc1[O-])N1CCOCC1 ZINC000069688019 353170597 /nfs/dbraw/zinc/17/05/97/353170597.db2.gz KQEBKQCGINAORJ-UHFFFAOYSA-N -1 1 312.797 1.886 20 0 DDADMM Cc1nnc([C@H]2COCCN2C(=O)c2ccc([O-])cc2F)o1 ZINC000331958110 234321314 /nfs/dbraw/zinc/32/13/14/234321314.db2.gz UKYYFRDFTKCQSZ-GFCCVEGCSA-N -1 1 307.281 1.436 20 0 DDADMM O=C(NC[C@@H]1CCS(=O)(=O)C1)c1ccc2ccccc2c1[O-] ZINC000078242593 353506740 /nfs/dbraw/zinc/50/67/40/353506740.db2.gz NOFCXLLUAJBUMT-NSHDSACASA-N -1 1 319.382 1.710 20 0 DDADMM Cc1nc(-c2ccc(N[C@@H](C)[C@H](C)CO)nc2)[n-]c(=O)c1C ZINC000078298623 353509863 /nfs/dbraw/zinc/50/98/63/353509863.db2.gz FAKKBLFAYRXWBS-KOLCDFICSA-N -1 1 302.378 1.878 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC[C@H](N2CCNC2=O)C1 ZINC000080012885 353587248 /nfs/dbraw/zinc/58/72/48/353587248.db2.gz QXHCIGATLADMHK-NSHDSACASA-N -1 1 307.325 1.161 20 0 DDADMM COC[C@](C)(O)CNC(=O)c1ccc(Br)cc1[O-] ZINC000081762366 353690922 /nfs/dbraw/zinc/69/09/22/353690922.db2.gz DGQZYZGPOJRETI-GFCCVEGCSA-N -1 1 318.167 1.282 20 0 DDADMM O=C(CCC[N-]S(=O)(=O)c1cc2ccccc2o1)NC1CC1 ZINC000084936705 353730731 /nfs/dbraw/zinc/73/07/31/353730731.db2.gz DMOSDJCBBCSSGM-UHFFFAOYSA-N -1 1 322.386 1.770 20 0 DDADMM C[C@@H]1CO[C@@H](C)CN1CCNC(=O)c1ccc(Cl)cc1[O-] ZINC000617738605 363275827 /nfs/dbraw/zinc/27/58/27/363275827.db2.gz QZVLDWAVFGKCCN-MNOVXSKESA-N -1 1 312.797 1.885 20 0 DDADMM COc1cc(C(=O)N[C@H](C)c2nncn2C)cc(Cl)c1[O-] ZINC000358640533 299210646 /nfs/dbraw/zinc/21/06/46/299210646.db2.gz XIFLWBIOGUEWNN-SSDOTTSWSA-N -1 1 310.741 1.674 20 0 DDADMM Cc1ccc(C)c(OCCCCC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000141340002 354139543 /nfs/dbraw/zinc/13/95/43/354139543.db2.gz PNKSXLIHFZQMBS-UHFFFAOYSA-N -1 1 319.361 1.436 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccn2C(C)C)n[n-]1 ZINC000617840242 363338351 /nfs/dbraw/zinc/33/83/51/363338351.db2.gz CKAFKQMPZDEEID-UHFFFAOYSA-N -1 1 305.338 1.294 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccn2C(C)C)n1 ZINC000617840242 363338355 /nfs/dbraw/zinc/33/83/55/363338355.db2.gz CKAFKQMPZDEEID-UHFFFAOYSA-N -1 1 305.338 1.294 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCCc3n[nH]cc32)n1 ZINC000585682418 354827578 /nfs/dbraw/zinc/82/75/78/354827578.db2.gz RMFBYDHSSDYUPB-QMMMGPOBSA-N -1 1 303.322 1.368 20 0 DDADMM COc1ccc(NC(=O)c2cocn2)cc1[N-]S(C)(=O)=O ZINC000591122614 355251276 /nfs/dbraw/zinc/25/12/76/355251276.db2.gz IPNZQTCFUAPCQY-UHFFFAOYSA-N -1 1 311.319 1.307 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@@H](CC(C)(C)C)C1 ZINC000332618292 235206256 /nfs/dbraw/zinc/20/62/56/235206256.db2.gz FSQIYZJTVLJLKY-JTQLQIEISA-N -1 1 301.412 1.842 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)C[C@@H](OC)C(C)C)C(=O)OC ZINC000592045901 355475850 /nfs/dbraw/zinc/47/58/50/355475850.db2.gz LZZCYRYURONNKX-NWDGAFQWSA-N -1 1 309.428 1.309 20 0 DDADMM O=C([O-])c1ccncc1NS(=O)(=O)c1ccc(F)c(F)c1 ZINC000079701291 191963238 /nfs/dbraw/zinc/96/32/38/191963238.db2.gz FUDXZZNKRAIASF-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM CN(C(=O)c1c([O-])cnc2ccc(Cl)cc21)[C@@H]1COC[C@H]1O ZINC000287484945 219555727 /nfs/dbraw/zinc/55/57/27/219555727.db2.gz XSZMRWFOCBXVSG-DGCLKSJQSA-N -1 1 322.748 1.426 20 0 DDADMM CNC(=O)[C@H]1CN(C(=O)c2ccc3ccccc3c2[O-])CCO1 ZINC000183992614 199660083 /nfs/dbraw/zinc/66/00/83/199660083.db2.gz YODSCGJREPMYRR-CQSZACIVSA-N -1 1 314.341 1.132 20 0 DDADMM CCC[C@@H](CC)S(=O)(=O)[N-]c1ccn(CC(=O)OCC)n1 ZINC000594463346 356225622 /nfs/dbraw/zinc/22/56/22/356225622.db2.gz WFXRXMMQAYHGQW-LLVKDONJSA-N -1 1 317.411 1.767 20 0 DDADMM Cc1ccc(-c2n[nH]c(=O)[n-]2)cc1NC(=O)CN1CC[C@@H](C)C1 ZINC000565202163 304051903 /nfs/dbraw/zinc/05/19/03/304051903.db2.gz URNKPPPXHVBPAN-SNVBAGLBSA-N -1 1 315.377 1.766 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)OC ZINC000595329946 356450993 /nfs/dbraw/zinc/45/09/93/356450993.db2.gz FDUPQVKUSZZUJH-SECBINFHSA-N -1 1 305.352 1.078 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCCOCC2)cc1C ZINC000595318165 356447222 /nfs/dbraw/zinc/44/72/22/356447222.db2.gz HTIVOODEUGRMLM-JTQLQIEISA-N -1 1 317.363 1.222 20 0 DDADMM C[C@H](Oc1cccc(Cl)c1Cl)C(=O)NCc1nn[n-]n1 ZINC000599334130 357898265 /nfs/dbraw/zinc/89/82/65/357898265.db2.gz GGIZSOWUVPFDTD-LURJTMIESA-N -1 1 316.148 1.590 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn(CCCOC)c2)n1 ZINC000600825237 358327032 /nfs/dbraw/zinc/32/70/32/358327032.db2.gz MXXPLGZISOEOIB-UHFFFAOYSA-N -1 1 321.337 1.072 20 0 DDADMM COc1ccccc1OCCCNC(=O)c1cncc([O-])c1 ZINC000600999665 358375992 /nfs/dbraw/zinc/37/59/92/358375992.db2.gz CHHOIARRFGCMRZ-UHFFFAOYSA-N -1 1 302.330 1.995 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OC(C)(C)C ZINC000601372377 358521910 /nfs/dbraw/zinc/52/19/10/358521910.db2.gz WXDAJIHYEFDCGN-SECBINFHSA-N -1 1 320.436 1.850 20 0 DDADMM COCCN(CC(=O)OC)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601168910 358430953 /nfs/dbraw/zinc/43/09/53/358430953.db2.gz FDTIVHVVKJHPPE-UHFFFAOYSA-N -1 1 315.753 1.236 20 0 DDADMM CCOC(=O)C[C@H](C)C[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601380064 358524880 /nfs/dbraw/zinc/52/48/80/358524880.db2.gz JAMZYGCBVQWLGK-QMMMGPOBSA-N -1 1 306.409 1.319 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC2(SC)CCC2)c1 ZINC000601404451 358538048 /nfs/dbraw/zinc/53/80/48/358538048.db2.gz CBWGSBWDEZBXQT-UHFFFAOYSA-N -1 1 317.432 1.965 20 0 DDADMM COC(=O)[C@@]1(C)CN(C(=O)c2c(F)ccc([O-])c2F)C[C@@H]1C ZINC000601709914 358659721 /nfs/dbraw/zinc/65/97/21/358659721.db2.gz NNDITDMXYBBTNA-AYVTZFPOSA-N -1 1 313.300 1.942 20 0 DDADMM CC[C@@H](CSC)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000181148122 199257302 /nfs/dbraw/zinc/25/73/02/199257302.db2.gz RAYFLSLRYHCZSQ-QMMMGPOBSA-N -1 1 306.409 1.059 20 0 DDADMM COC(=O)Cc1ccc(CNCc2cc(C(=O)[O-])nn2C)cc1 ZINC000602067013 358799298 /nfs/dbraw/zinc/79/92/98/358799298.db2.gz OKVOUTHBBDBFIJ-UHFFFAOYSA-N -1 1 317.345 1.124 20 0 DDADMM CSCc1ccc(CNC(=O)CCCc2nn[n-]n2)cc1 ZINC000602153474 358850642 /nfs/dbraw/zinc/85/06/42/358850642.db2.gz RNCDBDFCJVOLAM-UHFFFAOYSA-N -1 1 305.407 1.702 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C(C2CCC2)C2CCC2)n[n-]1 ZINC000603013646 359357465 /nfs/dbraw/zinc/35/74/65/359357465.db2.gz DHWKLCQXDXWVBA-UHFFFAOYSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C(C2CCC2)C2CCC2)n1 ZINC000603013646 359357469 /nfs/dbraw/zinc/35/74/69/359357469.db2.gz DHWKLCQXDXWVBA-UHFFFAOYSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2CC23CCCC3)n[n-]1 ZINC000603152644 359439290 /nfs/dbraw/zinc/43/92/90/359439290.db2.gz CYCZWBRAUVJKDX-NXEZZACHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2CC23CCCC3)[n-]1 ZINC000603152644 359439291 /nfs/dbraw/zinc/43/92/91/359439291.db2.gz CYCZWBRAUVJKDX-NXEZZACHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2CC23CCCC3)n1 ZINC000603152644 359439295 /nfs/dbraw/zinc/43/92/95/359439295.db2.gz CYCZWBRAUVJKDX-NXEZZACHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOc1cc(C(=O)N2CCNC(=O)[C@@H]2C)cc(Cl)c1[O-] ZINC000186772826 200007819 /nfs/dbraw/zinc/00/78/19/200007819.db2.gz WSKZSEFSJDRUCI-QMMMGPOBSA-N -1 1 312.753 1.405 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2Cc3ccccc3C2)o1 ZINC000186782871 200008722 /nfs/dbraw/zinc/00/87/22/200008722.db2.gz SOVKGELOKKPQDO-UHFFFAOYSA-N -1 1 320.370 1.085 20 0 DDADMM O=c1nc(C2CCN(c3nc(C(F)(F)F)cs3)CC2)[nH][n-]1 ZINC000281023744 216028126 /nfs/dbraw/zinc/02/81/26/216028126.db2.gz SLJWRPRNHNBGSD-UHFFFAOYSA-N -1 1 319.312 1.957 20 0 DDADMM COC(=O)c1cnc(S[C@H](C)C(=O)NCc2ccccc2)[n-]1 ZINC000187597841 200133373 /nfs/dbraw/zinc/13/33/73/200133373.db2.gz SSGHNYUVWPNINH-SNVBAGLBSA-N -1 1 319.386 1.993 20 0 DDADMM COC(=O)c1c[n-]c(S[C@H](C)C(=O)NCc2ccccc2)n1 ZINC000187597841 200133374 /nfs/dbraw/zinc/13/33/74/200133374.db2.gz SSGHNYUVWPNINH-SNVBAGLBSA-N -1 1 319.386 1.993 20 0 DDADMM C[C@H]1CCC[C@H]1CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000187727995 200150005 /nfs/dbraw/zinc/15/00/05/200150005.db2.gz DSJUOJLJFRYUPZ-UWVGGRQHSA-N -1 1 312.391 1.804 20 0 DDADMM CC1(C)[C@@H](O)C[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000188118105 200196849 /nfs/dbraw/zinc/19/68/49/200196849.db2.gz FARHKZAQMQNVDD-QWRGUYRKSA-N -1 1 309.309 1.542 20 0 DDADMM COc1ccccc1C(=O)N1CCN(CCCC(=O)[O-])CC1 ZINC000604764053 359794279 /nfs/dbraw/zinc/79/42/79/359794279.db2.gz RZSDSKWDEJTGKX-UHFFFAOYSA-N -1 1 306.362 1.318 20 0 DDADMM O=C(COC1CCOCC1)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000605021832 359825407 /nfs/dbraw/zinc/82/54/07/359825407.db2.gz VSLFABTYGUYDBJ-UHFFFAOYSA-N -1 1 307.375 1.694 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc2ccccc2c(=O)[nH]1 ZINC000605381324 359848092 /nfs/dbraw/zinc/84/80/92/359848092.db2.gz FZQBXOBRZFCCEB-UHFFFAOYSA-N -1 1 324.344 1.653 20 0 DDADMM Cc1ccc(NC(=O)C(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000605530930 359867352 /nfs/dbraw/zinc/86/73/52/359867352.db2.gz DGKGHXODCXZPHB-UHFFFAOYSA-N -1 1 314.349 1.032 20 0 DDADMM CCC(=O)N[C@@H]1CCCN(C(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000607055460 359995532 /nfs/dbraw/zinc/99/55/32/359995532.db2.gz DBRCPQSUKPENMO-LLVKDONJSA-N -1 1 322.434 1.270 20 0 DDADMM CCO[C@H](C)c1ncc(C(=O)[N-]c2nn3cnnc3s2)s1 ZINC000608350682 360162752 /nfs/dbraw/zinc/16/27/52/360162752.db2.gz HXAJHEUANQVKNG-ZCFIWIBFSA-N -1 1 324.391 1.992 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccncc1Cl ZINC000608759591 360238003 /nfs/dbraw/zinc/23/80/03/360238003.db2.gz NGKOYRXEVYKPQJ-LBPRGKRZSA-N -1 1 314.736 1.768 20 0 DDADMM CC(C)[C@@H](SCc1ccccc1)C(=O)NCc1nn[n-]n1 ZINC000609532103 360318756 /nfs/dbraw/zinc/31/87/56/360318756.db2.gz FTLFMWLMXDGWSC-CYBMUJFWSA-N -1 1 305.407 1.774 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ncc(C)s2)o1 ZINC000610266425 360404170 /nfs/dbraw/zinc/40/41/70/360404170.db2.gz JOQHPSQWEXVYBQ-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2[nH][nH]c(=O)c2c1)c1nn[n-]n1 ZINC000612057877 360904432 /nfs/dbraw/zinc/90/44/32/360904432.db2.gz UEAKKOKEMZPBNB-SNVBAGLBSA-N -1 1 301.310 1.053 20 0 DDADMM Cc1nc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)no1 ZINC000281363017 216250095 /nfs/dbraw/zinc/25/00/95/216250095.db2.gz NYGKSCJQTRYPAC-UHFFFAOYSA-N -1 1 306.288 1.269 20 0 DDADMM CC(C)C(=O)NCCN(C)C(=O)c1c(F)ccc([O-])c1F ZINC000281399672 216272552 /nfs/dbraw/zinc/27/25/52/216272552.db2.gz YLWBFBHCPFDTEV-UHFFFAOYSA-N -1 1 300.305 1.515 20 0 DDADMM O=C(c1nc2cnccc2s1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612814916 361154800 /nfs/dbraw/zinc/15/48/00/361154800.db2.gz GGLDIURBMNYOGR-MRVPVSSYSA-N -1 1 315.362 1.224 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ncccc2C(C)C)o1 ZINC000337565269 283638924 /nfs/dbraw/zinc/63/89/24/283638924.db2.gz NXWIFSBXIDNQAU-UHFFFAOYSA-N -1 1 323.374 1.958 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)c1ccc([O-])c(F)c1 ZINC000613524300 361454948 /nfs/dbraw/zinc/45/49/48/361454948.db2.gz BXRCBVCRTQDQQY-JQWIXIFHSA-N -1 1 311.309 1.324 20 0 DDADMM Cc1nnc(CCNC(=O)c2ccc(C(F)(F)F)cc2[O-])[nH]1 ZINC000271866199 209281127 /nfs/dbraw/zinc/28/11/27/209281127.db2.gz MSNOXRFYFYBNHN-UHFFFAOYSA-N -1 1 314.267 1.810 20 0 DDADMM C[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CCN1CCO ZINC000613697759 361525015 /nfs/dbraw/zinc/52/50/15/361525015.db2.gz LOISOOKBTRCKOQ-LBPRGKRZSA-N -1 1 315.373 1.079 20 0 DDADMM NC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1cc(Cl)ccc1[O-] ZINC000613872492 361613430 /nfs/dbraw/zinc/61/34/30/361613430.db2.gz PHZCFJSCSMDIQJ-DVYJOKAKSA-N -1 1 308.765 1.772 20 0 DDADMM COC(=O)c1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1F ZINC000614043103 361672960 /nfs/dbraw/zinc/67/29/60/361672960.db2.gz BGYQVDDYOPMZDU-QMMMGPOBSA-N -1 1 321.312 1.001 20 0 DDADMM COC(=O)c1cccc(NC(=O)c2ccc(O)cc2[O-])c1N ZINC000619813789 364158886 /nfs/dbraw/zinc/15/88/86/364158886.db2.gz VNZCIIFAFGHGOO-UHFFFAOYSA-N -1 1 302.286 1.719 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H](CC(F)(F)F)O1 ZINC000620804403 364560278 /nfs/dbraw/zinc/56/02/78/364560278.db2.gz FEJCCEFFFLOZBH-IUCAKERBSA-N -1 1 304.268 1.969 20 0 DDADMM O=S(=O)(Cc1ccc(Cl)cc1-n1cncn1)c1ncn[n-]1 ZINC000359182745 299347605 /nfs/dbraw/zinc/34/76/05/299347605.db2.gz CCPBRZSHJOGFPW-UHFFFAOYSA-N -1 1 324.753 1.013 20 0 DDADMM O=S(=O)(Cc1ccc(Cl)cc1-n1cncn1)c1nc[n-]n1 ZINC000359182745 299347607 /nfs/dbraw/zinc/34/76/07/299347607.db2.gz CCPBRZSHJOGFPW-UHFFFAOYSA-N -1 1 324.753 1.013 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-][C@H](C(F)F)C2CCCCC2)n1 ZINC000621323276 364803106 /nfs/dbraw/zinc/80/31/06/364803106.db2.gz YGLSKVRPBZOJHH-NSHDSACASA-N -1 1 307.366 1.912 20 0 DDADMM COc1ccc(F)c(C[N-]S(=O)(=O)c2c(C)noc2C)c1 ZINC000621301648 364785255 /nfs/dbraw/zinc/78/52/55/364785255.db2.gz BCIHPTIGIBBVNA-UHFFFAOYSA-N -1 1 314.338 1.918 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2[C@@H]3CCCCCC[C@@H]23)n1 ZINC000621791938 365031746 /nfs/dbraw/zinc/03/17/46/365031746.db2.gz NLMLIZVSYMZURH-RKDXNWHRSA-N -1 1 312.395 1.363 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C2[C@@H]3CCCCCC[C@@H]23)[n-]1 ZINC000621791938 365031750 /nfs/dbraw/zinc/03/17/50/365031750.db2.gz NLMLIZVSYMZURH-RKDXNWHRSA-N -1 1 312.395 1.363 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H](CO)CC3CC3)cnc2n1 ZINC000622174988 365311870 /nfs/dbraw/zinc/31/18/70/365311870.db2.gz VLTRDACEYNLYFO-GFCCVEGCSA-N -1 1 315.373 1.782 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@H](CO)CC3CC3)c[n-]c2n1 ZINC000622174988 365311877 /nfs/dbraw/zinc/31/18/77/365311877.db2.gz VLTRDACEYNLYFO-GFCCVEGCSA-N -1 1 315.373 1.782 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]CC(=O)C2(C)CCCC2)no1 ZINC000625547717 367003544 /nfs/dbraw/zinc/00/35/44/367003544.db2.gz WJJHVLOULBHSEE-UHFFFAOYSA-N -1 1 300.380 1.552 20 0 DDADMM CCC[C@H](OCC)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000625605780 367045126 /nfs/dbraw/zinc/04/51/26/367045126.db2.gz JYMFHROVTBWJGJ-ZDUSSCGKSA-N -1 1 320.393 1.873 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H]1C(=O)NCCN1CCc2ccccc2C1 ZINC000261984603 203166849 /nfs/dbraw/zinc/16/68/49/203166849.db2.gz CHBSBUWGWXTUOA-HUUCEWRRSA-N -1 1 302.374 1.272 20 0 DDADMM CCCn1nccc1NC(=O)CN1C[C@H](C(=O)[O-])C[C@H](C)C1 ZINC000635018182 422772170 /nfs/dbraw/zinc/77/21/70/422772170.db2.gz LXGVFBCQVVNXPC-NWDGAFQWSA-N -1 1 308.382 1.274 20 0 DDADMM CCN(C(=O)c1cncc([O-])c1)[C@H](C)CS(=O)(=O)CC ZINC000265086585 204386226 /nfs/dbraw/zinc/38/62/26/204386226.db2.gz RUZRICHRIVDWFT-SNVBAGLBSA-N -1 1 300.380 1.073 20 0 DDADMM O=C(Cc1ccc(Cl)cc1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282828615 217278383 /nfs/dbraw/zinc/27/83/83/217278383.db2.gz DDGUHBOPQMKXBL-NSHDSACASA-N -1 1 320.780 1.700 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1ccc(-c2nn[nH]n2)cc1 ZINC000267404395 206042730 /nfs/dbraw/zinc/04/27/30/206042730.db2.gz XJWGDBIHBJYJSM-UHFFFAOYSA-N -1 1 311.367 1.033 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCN(c2ccccc2)C1)c1nn[n-]n1 ZINC000579554429 422787091 /nfs/dbraw/zinc/78/70/91/422787091.db2.gz SHQFXJUFXMDUHP-OCCSQVGLSA-N -1 1 314.393 1.684 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)N=c1ccc(C2CC2)n[n-]1 ZINC000333569034 249026584 /nfs/dbraw/zinc/02/65/84/249026584.db2.gz XQWCINUDDBXKFH-CYBMUJFWSA-N -1 1 303.410 1.724 20 0 DDADMM Cc1nn(C)c(C)c1CCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000040501040 183083580 /nfs/dbraw/zinc/08/35/80/183083580.db2.gz NZWAUPDQOQHZGM-UHFFFAOYSA-N -1 1 316.287 1.745 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCC[C@H]1C[C@H](C)O ZINC000336847294 249252947 /nfs/dbraw/zinc/25/29/47/249252947.db2.gz UYBMLNWFXFWKSR-WPRPVWTQSA-N -1 1 311.407 1.588 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(Cc2nc(Cc3ccccc3)no2)C1 ZINC000567281317 304210350 /nfs/dbraw/zinc/21/03/50/304210350.db2.gz SDYZTTNJZJMTML-INIZCTEOSA-N -1 1 301.346 1.957 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000284401740 218011933 /nfs/dbraw/zinc/01/19/33/218011933.db2.gz QRBPHLLCEZIQBV-QWHCGFSZSA-N -1 1 312.391 1.215 20 0 DDADMM CCOc1cc(C(=O)N2CCn3cnnc3C2)cc(Cl)c1[O-] ZINC000273998292 211256360 /nfs/dbraw/zinc/25/63/60/211256360.db2.gz ZHCQHMDSXWJUQN-UHFFFAOYSA-N -1 1 322.752 1.692 20 0 DDADMM CCOc1cc(C(=O)N[C@H](C)Cn2cncn2)cc(Cl)c1[O-] ZINC000273977522 211234407 /nfs/dbraw/zinc/23/44/07/211234407.db2.gz SXXQRUJORJBEHO-SECBINFHSA-N -1 1 324.768 1.854 20 0 DDADMM O=C(Nc1ncccc1[O-])C(=O)N1CCC(C(F)(F)F)CC1 ZINC000338725575 250107493 /nfs/dbraw/zinc/10/74/93/250107493.db2.gz VECKCSPBEMPPNQ-UHFFFAOYSA-N -1 1 317.267 1.527 20 0 DDADMM O=S(=O)([N-]CCCOC[C@@H]1CCCO1)c1sccc1F ZINC000338852838 250163185 /nfs/dbraw/zinc/16/31/85/250163185.db2.gz SEMYWMJGOGXHEF-JTQLQIEISA-N -1 1 323.411 1.751 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2sccc2F)[C@@H]2CCO[C@@H]21 ZINC000338912660 250192690 /nfs/dbraw/zinc/19/26/90/250192690.db2.gz PWOIPCPVYFOALD-SFGNSQDASA-N -1 1 305.396 1.979 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)c1cccnc1)c1sccc1F ZINC000338990635 250236640 /nfs/dbraw/zinc/23/66/40/250236640.db2.gz RTGUVOGFCWMTIB-SNVBAGLBSA-N -1 1 316.379 1.337 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)c3cc(F)ccc3F)ccnc1-2 ZINC000340368000 251031464 /nfs/dbraw/zinc/03/14/64/251031464.db2.gz YSPBNYCHGBGFEM-UHFFFAOYSA-N -1 1 324.312 1.421 20 0 DDADMM CCOC[C@H](O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000340851919 251242617 /nfs/dbraw/zinc/24/26/17/251242617.db2.gz ZDUQFLIFSKBFJQ-SECBINFHSA-N -1 1 311.762 1.155 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCO[C@H](C)C1 ZINC000064335522 184295054 /nfs/dbraw/zinc/29/50/54/184295054.db2.gz UKJOXKYUTMSIDA-SNVBAGLBSA-N -1 1 318.377 1.232 20 0 DDADMM COC1([C@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)CCC1 ZINC000412771146 224081460 /nfs/dbraw/zinc/08/14/60/224081460.db2.gz WGQSDCDXAFGQLN-VIFPVBQESA-N -1 1 304.350 1.361 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2ccsc2C1 ZINC000352351762 284986209 /nfs/dbraw/zinc/98/62/09/284986209.db2.gz YUZCUALBPNKIIR-UHFFFAOYSA-N -1 1 307.331 1.156 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCOC(C)(C)C2)c1 ZINC000269953878 208003621 /nfs/dbraw/zinc/00/36/21/208003621.db2.gz SFXPTORDXODOLG-JTQLQIEISA-N -1 1 317.363 1.302 20 0 DDADMM COc1ccc(O[C@@H](C)CN=c2[n-]c(C(N)=O)cs2)cc1 ZINC000432311715 533027504 /nfs/dbraw/zinc/02/75/04/533027504.db2.gz LXQZUTFMEQXNCH-VIFPVBQESA-N -1 1 307.375 1.552 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2ccc(C)s2)co1 ZINC000120145305 195105658 /nfs/dbraw/zinc/10/56/58/195105658.db2.gz FGJJQOWHQRXYRY-UHFFFAOYSA-N -1 1 314.388 1.488 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)Cc2ccccc2)CCSC1 ZINC000270215108 208281091 /nfs/dbraw/zinc/28/10/91/208281091.db2.gz GLIMUNWOYGFNHT-CYBMUJFWSA-N -1 1 315.416 1.155 20 0 DDADMM C[C@@H]1CN(C)c2ccccc2N(C(=O)CCc2nn[n-]n2)C1 ZINC000631504845 422803673 /nfs/dbraw/zinc/80/36/73/422803673.db2.gz HFIPEBDIZCINLL-LLVKDONJSA-N -1 1 300.366 1.251 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cscn1)[C@H](O)C(F)(F)F ZINC000567895296 304253304 /nfs/dbraw/zinc/25/33/04/304253304.db2.gz ZBQZYDCZGCEKKQ-FSPLSTOPSA-N -1 1 304.315 1.123 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2ccc(C(=O)[O-])c(O)c2)cn1 ZINC000436670306 533069946 /nfs/dbraw/zinc/06/99/46/533069946.db2.gz DXOXDHWLIXTAHS-UHFFFAOYSA-N -1 1 324.314 1.295 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1cccc(C(F)(F)F)c1F ZINC000272911686 210298539 /nfs/dbraw/zinc/29/85/39/210298539.db2.gz HUCWPTYZASPMAE-UHFFFAOYSA-N -1 1 305.231 1.905 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC2(C3CC3)CCC2)c1Cl ZINC000157423159 197186666 /nfs/dbraw/zinc/18/66/66/197186666.db2.gz XCDDVCYJYMKFOS-UHFFFAOYSA-N -1 1 303.815 1.932 20 0 DDADMM COC(=O)C[C@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000568023771 304262771 /nfs/dbraw/zinc/26/27/71/304262771.db2.gz VWRGROITSKCLSB-ZETCQYMHSA-N -1 1 309.746 1.709 20 0 DDADMM Cc1ccccc1[C@H]1CN(C(=O)CCc2nn[n-]n2)[C@@H](C)CO1 ZINC000631514937 422808808 /nfs/dbraw/zinc/80/88/08/422808808.db2.gz BRCDUEBAMUOLSM-GXTWGEPZSA-N -1 1 315.377 1.429 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cccc(Cl)c2)n1 ZINC000352748137 285273808 /nfs/dbraw/zinc/27/38/08/285273808.db2.gz IPYLXYIQMMLBRB-UHFFFAOYSA-N -1 1 300.727 1.114 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cccc(Cl)c2)[n-]1 ZINC000352748137 285273811 /nfs/dbraw/zinc/27/38/11/285273811.db2.gz IPYLXYIQMMLBRB-UHFFFAOYSA-N -1 1 300.727 1.114 20 0 DDADMM COCCN1CCN(C(=O)c2ccc3ccccc3c2[O-])CC1 ZINC000120719754 195223267 /nfs/dbraw/zinc/22/32/67/195223267.db2.gz USASSIFMXXOINB-UHFFFAOYSA-N -1 1 314.385 1.950 20 0 DDADMM Cc1cnc([C@H](C)C[N-]S(=O)(=O)c2c(C)onc2N)s1 ZINC000289982790 221359868 /nfs/dbraw/zinc/35/98/68/221359868.db2.gz VIPFGVVYMRHMAB-ZCFIWIBFSA-N -1 1 316.408 1.412 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)N1CCC[C@@H]1c1n[nH]c(-c2ccccc2)n1 ZINC000417378248 533345619 /nfs/dbraw/zinc/34/56/19/533345619.db2.gz RANHYMBUMJJNGN-ZYHUDNBSSA-N -1 1 314.345 1.856 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)N1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000417378248 533345622 /nfs/dbraw/zinc/34/56/22/533345622.db2.gz RANHYMBUMJJNGN-ZYHUDNBSSA-N -1 1 314.345 1.856 20 0 DDADMM Cc1cc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)no1 ZINC000568835176 304314394 /nfs/dbraw/zinc/31/43/94/304314394.db2.gz LKHUMRFWYFCBEE-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM Cc1cc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)no1 ZINC000568835176 304314396 /nfs/dbraw/zinc/31/43/96/304314396.db2.gz LKHUMRFWYFCBEE-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM C[C@@H]1CCN([C@H]2CCN(c3ccccc3F)C2=O)C[C@H]1C(=O)[O-] ZINC000568883201 304319632 /nfs/dbraw/zinc/31/96/32/304319632.db2.gz SPGJHJWFAITCTB-JMSVASOKSA-N -1 1 320.364 1.974 20 0 DDADMM Cc1noc([C@@H]2OCC[C@@H]2NC(=O)c2ccc([O-])cc2F)n1 ZINC000629787956 422814801 /nfs/dbraw/zinc/81/48/01/422814801.db2.gz LCZALDUFVZTHNN-NWDGAFQWSA-N -1 1 307.281 1.483 20 0 DDADMM NS(=O)(=O)c1c[n-]c(=NC[C@H]2CCC[C@@H]2C2CC2)s1 ZINC000568961859 304324156 /nfs/dbraw/zinc/32/41/56/304324156.db2.gz XXTKNGWPHOYXAO-NXEZZACHSA-N -1 1 301.437 1.451 20 0 DDADMM O=C([O-])C1(C(=O)NCCN2CCc3ccccc3C2)CCCC1 ZINC000569488320 304357529 /nfs/dbraw/zinc/35/75/29/304357529.db2.gz AQIDUSGEAYYXPJ-UHFFFAOYSA-N -1 1 316.401 1.806 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(c1ccccc1)[C@@H]1C[C@H]1C ZINC000569557271 304362715 /nfs/dbraw/zinc/36/27/15/304362715.db2.gz FBDWIXQUGRCYQI-BXKDBHETSA-N -1 1 307.375 1.999 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-]c1ccc(F)c(F)c1F ZINC000011923781 406832865 /nfs/dbraw/zinc/83/28/65/406832865.db2.gz NECJZFNGIZYQMZ-UHFFFAOYSA-N -1 1 305.281 1.947 20 0 DDADMM CCNC(=O)[C@@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000044491050 407024347 /nfs/dbraw/zinc/02/43/47/407024347.db2.gz RGJXCMUUZPEOTN-SSDOTTSWSA-N -1 1 315.167 1.409 20 0 DDADMM CC(C)N(CC(N)=O)C(=O)c1ccc(Br)cc1[O-] ZINC000044491216 407024442 /nfs/dbraw/zinc/02/44/42/407024442.db2.gz ISOSRLCAIAHDHB-UHFFFAOYSA-N -1 1 315.167 1.491 20 0 DDADMM CNC(=O)[C@H](C)[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC000045163902 407039702 /nfs/dbraw/zinc/03/97/02/407039702.db2.gz FBLCBVTUWPSDJC-LURJTMIESA-N -1 1 311.190 1.406 20 0 DDADMM O=S(=O)([N-]c1ccc2nncn2c1)c1ccc2c(c1)CCO2 ZINC000180115172 306694109 /nfs/dbraw/zinc/69/41/09/306694109.db2.gz OSRBYJZNRGLCED-UHFFFAOYSA-N -1 1 316.342 1.465 20 0 DDADMM CCn1c(CNC(=O)c2cnc3n[nH]c(C)c3c2)n[n-]c1=S ZINC000066637004 407255935 /nfs/dbraw/zinc/25/59/35/407255935.db2.gz XNYINSYQHBEDLP-UHFFFAOYSA-N -1 1 317.378 1.470 20 0 DDADMM CCn1c(CNC(=O)CNC(=O)CCC(C)C)n[n-]c1=S ZINC000066636617 407256065 /nfs/dbraw/zinc/25/60/65/407256065.db2.gz MZIZZNMFEQJHMZ-UHFFFAOYSA-N -1 1 313.427 1.129 20 0 DDADMM O=C(CSc1cccc(Br)c1)Nc1nnn[n-]1 ZINC000123420058 407328169 /nfs/dbraw/zinc/32/81/69/407328169.db2.gz IDULQECQZPZUEB-UHFFFAOYSA-N -1 1 314.168 1.693 20 0 DDADMM O=C(CSc1cccc(Br)c1)Nc1nn[n-]n1 ZINC000123420058 407328170 /nfs/dbraw/zinc/32/81/70/407328170.db2.gz IDULQECQZPZUEB-UHFFFAOYSA-N -1 1 314.168 1.693 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C(C)C)C2CCCC2)o1 ZINC000103980038 407344896 /nfs/dbraw/zinc/34/48/96/407344896.db2.gz MZTYMWYTMFDDDO-UHFFFAOYSA-N -1 1 314.407 1.981 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1OCCc2ccccc21)c1nn[n-]n1 ZINC000124361360 407356131 /nfs/dbraw/zinc/35/61/31/407356131.db2.gz QNMSUTPMOWCUGF-ZWNOBZJWSA-N -1 1 301.350 1.076 20 0 DDADMM C[C@@H](CN(C)C(=O)CCCOc1cccnc1)c1nn[n-]n1 ZINC000124486546 407359662 /nfs/dbraw/zinc/35/96/62/407359662.db2.gz JGWLJYSOFYPENO-NSHDSACASA-N -1 1 304.354 1.016 20 0 DDADMM C[C@H](CNC(=O)c1cncc(O)c1)N1CCc2ccccc2C1 ZINC000111561578 407411721 /nfs/dbraw/zinc/41/17/21/407411721.db2.gz FTUOQHDQPSJFNS-CYBMUJFWSA-N -1 1 311.385 1.964 20 0 DDADMM Cc1cc(S(=O)(=O)N(C)CCN(C)C)cc(C(=O)[O-])c1F ZINC000127636976 407447533 /nfs/dbraw/zinc/44/75/33/407447533.db2.gz FULILEXIFPXQEP-UHFFFAOYSA-N -1 1 318.370 1.014 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCO[C@@H](C)C2)c1 ZINC000271239069 407591316 /nfs/dbraw/zinc/59/13/16/407591316.db2.gz CSGKCEHMFZGURH-UWVGGRQHSA-N -1 1 317.363 1.160 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1cccs1 ZINC000129449956 407607079 /nfs/dbraw/zinc/60/70/79/407607079.db2.gz AMOIPWPJMIZCJW-SNVBAGLBSA-N -1 1 305.407 1.945 20 0 DDADMM CC(C)N1CC[C@@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1=O ZINC000114743596 407610013 /nfs/dbraw/zinc/61/00/13/407610013.db2.gz VIBDWNVBFZUHDF-LLVKDONJSA-N -1 1 318.345 1.252 20 0 DDADMM CC(C)(CCO)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000228616477 407651651 /nfs/dbraw/zinc/65/16/51/407651651.db2.gz GMIAYMMOASJJJG-UHFFFAOYSA-N -1 1 311.325 1.791 20 0 DDADMM CCC[C@H](C)NC(=O)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000171291272 407652608 /nfs/dbraw/zinc/65/26/08/407652608.db2.gz YUEXMXQSFZTICQ-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C1NCCCC[C@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131182738 407725060 /nfs/dbraw/zinc/72/50/60/407725060.db2.gz UYQSIEHFYMZONY-SNVBAGLBSA-N -1 1 320.773 1.426 20 0 DDADMM Cc1ccc(NC(=O)[C@@H](C)S(=O)(=O)c2ncn[n-]2)c(C)c1 ZINC000153047157 407741271 /nfs/dbraw/zinc/74/12/71/407741271.db2.gz HAMPKQCQJGPKQK-SNVBAGLBSA-N -1 1 308.363 1.222 20 0 DDADMM Cc1ccc(NC(=O)[C@@H](C)S(=O)(=O)c2nc[n-]n2)c(C)c1 ZINC000153047157 407741275 /nfs/dbraw/zinc/74/12/75/407741275.db2.gz HAMPKQCQJGPKQK-SNVBAGLBSA-N -1 1 308.363 1.222 20 0 DDADMM CCOc1cc(C(=O)NCCC[S@@](C)=O)cc(Cl)c1[O-] ZINC000186800765 407773033 /nfs/dbraw/zinc/77/30/33/407773033.db2.gz JYHDRWJIALBRNF-HXUWFJFHSA-N -1 1 319.810 1.943 20 0 DDADMM CC1CC[NH+](CCNC(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000179708843 407824919 /nfs/dbraw/zinc/82/49/19/407824919.db2.gz APUCKFOMSKKVRB-UHFFFAOYSA-N -1 1 317.393 1.136 20 0 DDADMM C[C@H](CCO)C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272266257 407842646 /nfs/dbraw/zinc/84/26/46/407842646.db2.gz VVLPKMGREMHUMY-SSDOTTSWSA-N -1 1 313.206 1.685 20 0 DDADMM C[C@H](c1ccc(F)c(F)c1)N(C)C(=O)CN(C)CCC(=O)[O-] ZINC000262608995 407879463 /nfs/dbraw/zinc/87/94/63/407879463.db2.gz BKVJILMKGLDHIP-SNVBAGLBSA-N -1 1 314.332 1.891 20 0 DDADMM CO[C@]1(C)C[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1(C)C ZINC000173835698 407901275 /nfs/dbraw/zinc/90/12/75/407901275.db2.gz WAPGBRVKFLNBOA-PRHODGIISA-N -1 1 321.830 1.555 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@]2(C)CCCS2)o1 ZINC000268142091 407909982 /nfs/dbraw/zinc/90/99/82/407909982.db2.gz CQZZRUCVIWXDCH-LBPRGKRZSA-N -1 1 319.404 1.630 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@H]([C@@H](C)O)C3)c[n-]c2[nH+]1 ZINC000153933838 407935700 /nfs/dbraw/zinc/93/57/00/407935700.db2.gz JQQGZBUPLXTXAH-NEPJUHHUSA-N -1 1 315.373 1.877 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@H]([C@@H](C)O)C3)cnc2n1 ZINC000153933838 407935706 /nfs/dbraw/zinc/93/57/06/407935706.db2.gz JQQGZBUPLXTXAH-NEPJUHHUSA-N -1 1 315.373 1.877 20 0 DDADMM Cc1csc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)n1 ZINC000181752153 408035303 /nfs/dbraw/zinc/03/53/03/408035303.db2.gz XYXJVTJJULAZHW-UHFFFAOYSA-N -1 1 303.347 1.369 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[O-])CN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000263144217 408037337 /nfs/dbraw/zinc/03/73/37/408037337.db2.gz GYMDFYLIUFBXLV-GWCFXTLKSA-N -1 1 314.345 1.797 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCSC2(CCOCC2)C1 ZINC000188862510 407985067 /nfs/dbraw/zinc/98/50/67/407985067.db2.gz VPZULROPORGXLX-UHFFFAOYSA-N -1 1 318.402 1.696 20 0 DDADMM C[S@@](=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCCO2)cc1 ZINC000174741143 407987021 /nfs/dbraw/zinc/98/70/21/407987021.db2.gz ZBARLEOPKVIWDC-HXPMCKFVSA-N -1 1 317.432 1.735 20 0 DDADMM CNC(=O)C(C)(C)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000135203303 407989811 /nfs/dbraw/zinc/98/98/11/407989811.db2.gz OPIRJBAXOYPBKA-UHFFFAOYSA-N -1 1 314.769 1.556 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2ccc3c(c2)CCC3)[n-]1 ZINC000268476881 408062108 /nfs/dbraw/zinc/06/21/08/408062108.db2.gz JRVRAEWEUKNAHB-UHFFFAOYSA-N -1 1 307.375 1.414 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2ccc3c(c2)CCC3)n[n-]1 ZINC000268476881 408062113 /nfs/dbraw/zinc/06/21/13/408062113.db2.gz JRVRAEWEUKNAHB-UHFFFAOYSA-N -1 1 307.375 1.414 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NCc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000263272779 408083923 /nfs/dbraw/zinc/08/39/23/408083923.db2.gz RUYIXTLQKSKNNM-SNVBAGLBSA-N -1 1 316.361 1.847 20 0 DDADMM CCc1cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)oc1CC ZINC000136248425 408090471 /nfs/dbraw/zinc/09/04/71/408090471.db2.gz UAISRFHEBAITFQ-UHFFFAOYSA-N -1 1 312.351 1.178 20 0 DDADMM CCc1cc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)oc1CC ZINC000136248425 408090476 /nfs/dbraw/zinc/09/04/76/408090476.db2.gz UAISRFHEBAITFQ-UHFFFAOYSA-N -1 1 312.351 1.178 20 0 DDADMM Cc1ccc(NC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)cn1 ZINC000154941990 408105328 /nfs/dbraw/zinc/10/53/28/408105328.db2.gz YEYOWQHTARFHEL-UHFFFAOYSA-N -1 1 311.345 1.524 20 0 DDADMM CCC[C@@H](NC(=O)c1cn(CCC(C)C)nn1)c1nn[n-]n1 ZINC000136647056 408117099 /nfs/dbraw/zinc/11/70/99/408117099.db2.gz SJVUKBGEZSVFQN-SNVBAGLBSA-N -1 1 306.374 1.109 20 0 DDADMM CCC[C@H](NC(=O)c1ccnc(-n2cccn2)c1)c1nn[n-]n1 ZINC000136675150 408119821 /nfs/dbraw/zinc/11/98/21/408119821.db2.gz VLZCRCBRMOZKJT-NSHDSACASA-N -1 1 312.337 1.052 20 0 DDADMM CCC[C@@H](NC(=O)c1sc([C@H](C)OC)nc1C)c1nn[n-]n1 ZINC000136724053 408123393 /nfs/dbraw/zinc/12/33/93/408123393.db2.gz FRYQRINCIIXXSL-DTWKUNHWSA-N -1 1 324.410 1.943 20 0 DDADMM CCc1ccc(-c2noc([C@H](C)NCc3nc(=O)[n-][nH]3)n2)cc1 ZINC000273260880 408129035 /nfs/dbraw/zinc/12/90/35/408129035.db2.gz BYRVNSGBQGSQFT-VIFPVBQESA-N -1 1 314.349 1.561 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCSCC[C@@H]2C)o1 ZINC000190007206 408143902 /nfs/dbraw/zinc/14/39/02/408143902.db2.gz JRIKQEHIJNXOLL-VIFPVBQESA-N -1 1 318.420 1.155 20 0 DDADMM COc1ccccc1-c1nc([N-]C(=O)c2snnc2C)n[nH]1 ZINC000190465167 408251108 /nfs/dbraw/zinc/25/11/08/408251108.db2.gz WMMHGIYREVWOKO-UHFFFAOYSA-N -1 1 316.346 1.893 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@@H]1CO)c1cc(F)c(F)cc1F ZINC000269287973 408255158 /nfs/dbraw/zinc/25/51/58/408255158.db2.gz JFYPGVLIAOFKTG-PRHODGIISA-N -1 1 323.336 1.933 20 0 DDADMM CN(CCC(=O)OC(C)(C)C)Cc1cc(=O)n2[n-]ccc2n1 ZINC000150963433 408232180 /nfs/dbraw/zinc/23/21/80/408232180.db2.gz UIASWMMIOPSNGZ-UHFFFAOYSA-N -1 1 306.366 1.186 20 0 DDADMM O=C(CS(=O)(=O)c1nc[n-]n1)NCCCC1CCCCC1 ZINC000150950350 408232395 /nfs/dbraw/zinc/23/23/95/408232395.db2.gz XCFAKOCRHOTYSJ-UHFFFAOYSA-N -1 1 314.411 1.055 20 0 DDADMM COc1ccc([C@H](CNC(=O)C(=O)[O-])N2CCCCC2)cc1 ZINC000274179965 408316054 /nfs/dbraw/zinc/31/60/54/408316054.db2.gz OMMSBZAVWPGXEX-AWEZNQCLSA-N -1 1 306.362 1.423 20 0 DDADMM COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1cccc2nn[nH]c21 ZINC000190702805 408305125 /nfs/dbraw/zinc/30/51/25/408305125.db2.gz AZHKAVQQDPMQJK-RULNRJAQSA-N -1 1 314.345 1.372 20 0 DDADMM COC(=O)c1ccc(C)c(S(=O)(=O)[N-]c2[nH]nc(C)c2C)c1 ZINC000151415079 408307677 /nfs/dbraw/zinc/30/76/77/408307677.db2.gz KBJRVPPSZSIDKH-UHFFFAOYSA-N -1 1 323.374 1.922 20 0 DDADMM CCOC[C@@H]1CCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000132713945 162043962 /nfs/dbraw/zinc/04/39/62/162043962.db2.gz LKXNAQHJTSWBPS-LLVKDONJSA-N -1 1 318.377 1.563 20 0 DDADMM O=S(=O)([N-]CCOCC(F)F)c1cccc(F)c1F ZINC000190963113 408347707 /nfs/dbraw/zinc/34/77/07/408347707.db2.gz MTFVRGGQPVHHPE-UHFFFAOYSA-N -1 1 301.261 1.525 20 0 DDADMM CCCn1ccc2ccc(NC(=O)NN3CC(=O)[N-]C3=O)cc21 ZINC000190965522 408348554 /nfs/dbraw/zinc/34/85/54/408348554.db2.gz SUBHQYQATINEIB-UHFFFAOYSA-N -1 1 315.333 1.640 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-]c2n[nH]c(C)c2C)cc1 ZINC000183342001 408384551 /nfs/dbraw/zinc/38/45/51/408384551.db2.gz BXYKGNOFZNEROP-UHFFFAOYSA-N -1 1 323.374 1.755 20 0 DDADMM COc1cccc(Cl)c1CC(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000159180381 408387877 /nfs/dbraw/zinc/38/78/77/408387877.db2.gz OQULXYKOFGHXKO-UHFFFAOYSA-N -1 1 324.768 1.052 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CCO)C(C)(C)C)o1 ZINC000269655032 408377111 /nfs/dbraw/zinc/37/71/11/408377111.db2.gz AAFPMGOHJHHUBY-JTQLQIEISA-N -1 1 319.379 1.142 20 0 DDADMM CC1CCN(C(=O)C(=O)Nc2nc(-c3ccccn3)n[nH]2)CC1 ZINC000274562234 408450512 /nfs/dbraw/zinc/45/05/12/408450512.db2.gz DDHQSMDTUWLKAG-UHFFFAOYSA-N -1 1 314.349 1.064 20 0 DDADMM CCc1ccccc1OCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183398469 408398080 /nfs/dbraw/zinc/39/80/80/408398080.db2.gz CWOKJKXDIOKFRO-NSHDSACASA-N -1 1 303.366 1.403 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(Cl)c(Cl)n1C)c1nn[n-]n1 ZINC000183395336 408398411 /nfs/dbraw/zinc/39/84/11/408398411.db2.gz XMTZRPOXDVRQGT-LURJTMIESA-N -1 1 317.180 1.721 20 0 DDADMM C[C@@H](CN(C)C(=O)C(C)(C)c1cccc(F)c1)c1nn[n-]n1 ZINC000183422255 408406824 /nfs/dbraw/zinc/40/68/24/408406824.db2.gz ZGXCEMMEVFVCDS-JTQLQIEISA-N -1 1 305.357 1.879 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1SCCc2sccc21)c1nn[n-]n1 ZINC000183437467 408410421 /nfs/dbraw/zinc/41/04/21/408410421.db2.gz NIRKPWRGVNRVPH-KWQFWETISA-N -1 1 323.447 1.854 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(c2ccc(F)cc2)CC1)c1nn[n-]n1 ZINC000183450052 408412609 /nfs/dbraw/zinc/41/26/09/408412609.db2.gz OMTYABAQBXLADR-JTQLQIEISA-N -1 1 303.341 1.633 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC3(O)CCCC3)cnc2n1 ZINC000176661105 408414372 /nfs/dbraw/zinc/41/43/72/408414372.db2.gz FCKRXIBDMAPPMY-UHFFFAOYSA-N -1 1 301.346 1.679 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCC3(O)CCCC3)c[n-]c2n1 ZINC000176661105 408414377 /nfs/dbraw/zinc/41/43/77/408414377.db2.gz FCKRXIBDMAPPMY-UHFFFAOYSA-N -1 1 301.346 1.679 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)OC1CCCCCC1)c1nn[n-]n1 ZINC000183454756 408415848 /nfs/dbraw/zinc/41/58/48/408415848.db2.gz JQPQTBZEBOVXSA-VXGBXAGGSA-N -1 1 309.414 1.890 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCS[C@@H](C)CC2)co1 ZINC000191390773 408418370 /nfs/dbraw/zinc/41/83/70/408418370.db2.gz LAYRZCQNMPJIDC-VIFPVBQESA-N -1 1 318.420 1.155 20 0 DDADMM CCC[C@@H](NC(=O)CCc1cccc(OC)c1)c1nn[n-]n1 ZINC000176751599 408432480 /nfs/dbraw/zinc/43/24/80/408432480.db2.gz XKLMLWPFZKMXQW-CYBMUJFWSA-N -1 1 303.366 1.799 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(OC)cc1OC)c1nn[n-]n1 ZINC000176769380 408438500 /nfs/dbraw/zinc/43/85/00/408438500.db2.gz FIEZAIAOJNLGGT-LLVKDONJSA-N -1 1 305.338 1.488 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)[C@@H]1CCCO1 ZINC000264417270 408481758 /nfs/dbraw/zinc/48/17/58/408481758.db2.gz RRQLGMWBODVXGT-CPCISQLKSA-N -1 1 309.309 1.950 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC[C@@H](CO)[C@H](O)C1 ZINC000191991501 408520227 /nfs/dbraw/zinc/52/02/27/408520227.db2.gz QRYNNLXGOBCPDD-XJKSGUPXSA-N -1 1 301.342 1.361 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3ccccc3c2[O-])C[C@H](CO)O1 ZINC000191721414 408476648 /nfs/dbraw/zinc/47/66/48/408476648.db2.gz HRAXAUMSGLIIKA-WCQYABFASA-N -1 1 301.342 1.767 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCOCCC(C)C)o1 ZINC000264557342 408534966 /nfs/dbraw/zinc/53/49/66/408534966.db2.gz DWKPZXCBELLBLY-UHFFFAOYSA-N -1 1 319.379 1.407 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(Br)cc2[O-])C[C@H]1O ZINC000270678694 408633650 /nfs/dbraw/zinc/63/36/50/408633650.db2.gz URXJTBYXMKUZDJ-PRHODGIISA-N -1 1 314.179 1.998 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1ccc(C(=O)N(C)C)cc1 ZINC000177539984 408649776 /nfs/dbraw/zinc/64/97/76/408649776.db2.gz VHAZPXBMYJZWOL-UHFFFAOYSA-N -1 1 314.407 1.555 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)CCC(C)C)co1 ZINC000161964889 408655934 /nfs/dbraw/zinc/65/59/34/408655934.db2.gz IMWANCVGPHYKOP-JTQLQIEISA-N -1 1 302.396 1.742 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)[C@@H](O)C(F)(F)F ZINC000270807341 408690754 /nfs/dbraw/zinc/69/07/54/408690754.db2.gz LBSHXEKBKGXRRR-RKDXNWHRSA-N -1 1 316.301 1.104 20 0 DDADMM CCCc1cc(=O)[n-]c(SC[C@H](O)CC(=O)OCC)n1 ZINC000166804551 408816306 /nfs/dbraw/zinc/81/63/06/408816306.db2.gz DBRAFZWNRMJNLP-SNVBAGLBSA-N -1 1 300.380 1.129 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)c1ccc(N2CCOCC2)nc1 ZINC000286080191 408919561 /nfs/dbraw/zinc/91/95/61/408919561.db2.gz NCUSFHGFWURBIA-UHFFFAOYSA-N -1 1 314.345 1.295 20 0 DDADMM COC(=O)[C@@](C)(CNC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000190621260 163147828 /nfs/dbraw/zinc/14/78/28/163147828.db2.gz GFRVOKHXLMNUFR-KRWDZBQOSA-N -1 1 314.341 1.648 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@](C)(OC)C2CC2)c1 ZINC000291805537 408925414 /nfs/dbraw/zinc/92/54/14/408925414.db2.gz ZALFIHXPWBTTIV-ZDUSSCGKSA-N -1 1 317.363 1.160 20 0 DDADMM CCC(C)(C)[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000286414750 408980434 /nfs/dbraw/zinc/98/04/34/408980434.db2.gz GFAVIDVKRUFGIY-UHFFFAOYSA-N -1 1 324.244 1.958 20 0 DDADMM O=c1nc([C@H]2CCCN(c3ncnc4sccc43)C2)[nH][n-]1 ZINC000286443067 408986394 /nfs/dbraw/zinc/98/63/94/408986394.db2.gz MOVMCGOXXLUOPL-QMMMGPOBSA-N -1 1 302.363 1.487 20 0 DDADMM CC(C)NC(=O)NC(=O)[C@H](C)Sc1nc(C2CC2)cc(=O)[n-]1 ZINC000277765663 409008392 /nfs/dbraw/zinc/00/83/92/409008392.db2.gz SBEGYKMPXNIDOI-QMMMGPOBSA-N -1 1 324.406 1.774 20 0 DDADMM CC[N@H+](Cc1cc(=O)oc2c(C)c(O)ccc12)[C@@H]1CCNC1=O ZINC000282415207 409050939 /nfs/dbraw/zinc/05/09/39/409050939.db2.gz QLBJWJKMPIKSBH-CYBMUJFWSA-N -1 1 316.357 1.517 20 0 DDADMM CO[C@H]1CCC[C@H](CC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000283036514 409073521 /nfs/dbraw/zinc/07/35/21/409073521.db2.gz GKRUDXBJRWTEPZ-MLXUZTMQSA-N -1 1 302.378 1.876 20 0 DDADMM CCCN(CC(C)C)C(=O)C(=O)/N=c1\ccnc2n(C)[n-]cc1-2 ZINC000287826109 409099656 /nfs/dbraw/zinc/09/96/56/409099656.db2.gz CRJSLCQFBOFAJM-CPNJWEJPSA-N -1 1 317.393 1.175 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1Cl ZINC000293547012 409135763 /nfs/dbraw/zinc/13/57/63/409135763.db2.gz QUTWHONAEDJIDK-QMMMGPOBSA-N -1 1 309.757 1.737 20 0 DDADMM CC(C)(C)NC(=O)[C@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000283926243 409241097 /nfs/dbraw/zinc/24/10/97/409241097.db2.gz MALZEFRSUJPQIB-LLVKDONJSA-N -1 1 309.391 1.217 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@@H]2COC(=O)N2)c1 ZINC000295052109 409310168 /nfs/dbraw/zinc/31/01/68/409310168.db2.gz UQXROQQVESWXSA-QMMMGPOBSA-N -1 1 315.123 1.510 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000295521736 409327916 /nfs/dbraw/zinc/32/79/16/409327916.db2.gz XEJMXYHFFJVHSW-IAZYJMLFSA-N -1 1 319.361 1.382 20 0 DDADMM O=C(CSc1nc(C(F)F)cc(=O)[n-]1)NC[C@H]1CCCO1 ZINC000289449616 409273634 /nfs/dbraw/zinc/27/36/34/409273634.db2.gz DUBULZUYTBUMNA-SSDOTTSWSA-N -1 1 319.333 1.507 20 0 DDADMM COC[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@H](C)O1 ZINC000290288408 409349414 /nfs/dbraw/zinc/34/94/14/409349414.db2.gz ZXFCDTLJXXLUHH-DTWKUNHWSA-N -1 1 301.289 1.546 20 0 DDADMM COCc1ccccc1CS(=O)(=O)[N-]Cc1nc(C)no1 ZINC000344767078 164090042 /nfs/dbraw/zinc/09/00/42/164090042.db2.gz YQJVGXWSRBPNFM-UHFFFAOYSA-N -1 1 311.363 1.144 20 0 DDADMM O=C([C@@H]1CCCc2n[nH]cc21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000407999104 164193629 /nfs/dbraw/zinc/19/36/29/164193629.db2.gz UWOABLTXHHJONH-NXEZZACHSA-N -1 1 317.349 1.324 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cnc3nc(C)ccc3c2[O-])C[C@H]1O ZINC000408015444 164198806 /nfs/dbraw/zinc/19/88/06/164198806.db2.gz BSULDQILWFLWJF-ZWNOBZJWSA-N -1 1 301.346 1.487 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C[C@H]1O ZINC000408015444 164198808 /nfs/dbraw/zinc/19/88/08/164198808.db2.gz BSULDQILWFLWJF-ZWNOBZJWSA-N -1 1 301.346 1.487 20 0 DDADMM COC(=O)CCCO[N-]C(=O)Cc1sc(=S)[nH]c1C ZINC000290656013 409478311 /nfs/dbraw/zinc/47/83/11/409478311.db2.gz NRDFRVVNQOBLKW-UHFFFAOYSA-N -1 1 304.393 1.284 20 0 DDADMM CCC1(CC)CN(C(=O)c2ncc(C)cc2[O-])CC[S@@]1=O ZINC000408371697 164310829 /nfs/dbraw/zinc/31/08/29/164310829.db2.gz CXRULHCXYAFRMW-NRFANRHFSA-N -1 1 310.419 1.859 20 0 DDADMM C[C@H]1CCCN1C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000408407527 164321774 /nfs/dbraw/zinc/32/17/74/164321774.db2.gz VLXZAQPOENPYSI-JTQLQIEISA-N -1 1 314.407 1.981 20 0 DDADMM C[C@H]1COCC[C@H]1C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000285569666 409492065 /nfs/dbraw/zinc/49/20/65/409492065.db2.gz KENHAZKOPUNESS-UWVGGRQHSA-N -1 1 305.346 1.916 20 0 DDADMM CCC(CC)(CCO)C[N-]S(=O)(=O)c1c(C)onc1N ZINC000290748408 409506492 /nfs/dbraw/zinc/50/64/92/409506492.db2.gz MLZDWTLFDRTYSR-UHFFFAOYSA-N -1 1 305.400 1.032 20 0 DDADMM CNC(=O)C1CCC(N=c2nc(C(F)(F)F)[n-]s2)CC1 ZINC000341925941 409514647 /nfs/dbraw/zinc/51/46/47/409514647.db2.gz IGIKDLKVMRNWAP-UHFFFAOYSA-N -1 1 308.329 1.696 20 0 DDADMM C[C@H]([N-]S(=O)(=O)C1CN(C(=O)C2CCCC2)C1)C(C)(F)F ZINC000331452896 409525750 /nfs/dbraw/zinc/52/57/50/409525750.db2.gz FAMRXQMIYJBWJT-VIFPVBQESA-N -1 1 324.393 1.351 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)CCC2CC2)co1 ZINC000331459059 409530859 /nfs/dbraw/zinc/53/08/59/409530859.db2.gz KITAQUOOWYXBNN-SECBINFHSA-N -1 1 300.380 1.496 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000337893868 409546177 /nfs/dbraw/zinc/54/61/77/409546177.db2.gz BTACBTOMRNLBRQ-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM CC1(C)CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC(C)(C)C1 ZINC000353961406 409576268 /nfs/dbraw/zinc/57/62/68/409576268.db2.gz JPWCHRXSQSTVSF-UHFFFAOYSA-N -1 1 317.393 1.752 20 0 DDADMM CCOC(=O)CN(C(=O)c1csc(=NC2CC2)[n-]1)C1CCC1 ZINC000344996078 409584451 /nfs/dbraw/zinc/58/44/51/409584451.db2.gz ZNPXTYSEHNCQBM-UHFFFAOYSA-N -1 1 323.418 1.697 20 0 DDADMM Cc1ccccc1[C@H](C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337945359 409592542 /nfs/dbraw/zinc/59/25/42/409592542.db2.gz IZLUFJLNIBIKAN-LBPRGKRZSA-N -1 1 313.357 1.703 20 0 DDADMM C[C@H](c1cccs1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337949421 409592750 /nfs/dbraw/zinc/59/27/50/409592750.db2.gz KUBOMHLDYNLLRE-SNVBAGLBSA-N -1 1 319.386 1.798 20 0 DDADMM O=C(CCCc1cc(F)ccc1F)NC1(c2nn[n-]n2)CC1 ZINC000357064581 409831258 /nfs/dbraw/zinc/83/12/58/409831258.db2.gz CDXYXHAQHNISBN-UHFFFAOYSA-N -1 1 307.304 1.606 20 0 DDADMM O=C(N[C@@H](CO)CC1CCCC1)c1csc(=NC2CC2)[n-]1 ZINC000338244641 409831983 /nfs/dbraw/zinc/83/19/83/409831983.db2.gz DMCMHSXPJOUFJA-GFCCVEGCSA-N -1 1 309.435 1.810 20 0 DDADMM Cc1cc([N-]S(=O)(=O)N2CCCC2)nn1-c1ccccc1 ZINC000332137532 409918436 /nfs/dbraw/zinc/91/84/36/409918436.db2.gz DGVMOXKTJCTQHB-UHFFFAOYSA-N -1 1 306.391 1.933 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC[C@@H]1CCCC[C@@H]1C ZINC000349639231 409896466 /nfs/dbraw/zinc/89/64/66/409896466.db2.gz QWFFYUURHDMAKV-ONGXEEELSA-N -1 1 301.412 1.890 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@@H]1CCCC[C@@H]1C ZINC000349639231 409896473 /nfs/dbraw/zinc/89/64/73/409896473.db2.gz QWFFYUURHDMAKV-ONGXEEELSA-N -1 1 301.412 1.890 20 0 DDADMM NC(=O)C[C@@H](N=c1nc(C(F)(F)F)[n-]s1)C1CCOCC1 ZINC000342782107 409902082 /nfs/dbraw/zinc/90/20/82/409902082.db2.gz PCOQHINWTMPKPK-SSDOTTSWSA-N -1 1 324.328 1.061 20 0 DDADMM Cc1ccc(CCCCC(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000297701923 409965704 /nfs/dbraw/zinc/96/57/04/409965704.db2.gz IHXGMAQOOTUBPX-UHFFFAOYSA-N -1 1 301.350 1.564 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1ncc(C(F)(F)F)[nH]1 ZINC000357391416 410010220 /nfs/dbraw/zinc/01/02/20/410010220.db2.gz QKTULLZPHDEZEB-UHFFFAOYSA-N -1 1 309.273 1.271 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2CCCc3ccccc32)n1 ZINC000354718046 410038391 /nfs/dbraw/zinc/03/83/91/410038391.db2.gz FFFXQWIWOHYOMP-NSHDSACASA-N -1 1 320.374 1.267 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2CCCc3ccccc32)[n-]1 ZINC000354718046 410038397 /nfs/dbraw/zinc/03/83/97/410038397.db2.gz FFFXQWIWOHYOMP-NSHDSACASA-N -1 1 320.374 1.267 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](S(C)(=O)=O)CC2)c([O-])c1 ZINC000332228372 409995543 /nfs/dbraw/zinc/99/55/43/409995543.db2.gz NHKQHEZVGQGKPG-LLVKDONJSA-N -1 1 312.391 1.135 20 0 DDADMM CC(C)C[C@H](N)c1noc(-c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)n1 ZINC000339384967 410147422 /nfs/dbraw/zinc/14/74/22/410147422.db2.gz JHVOOBRIGVBIQI-VIFPVBQESA-N -1 1 316.321 1.532 20 0 DDADMM CC[C@H]1CN(c2ccccc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000332407352 410131835 /nfs/dbraw/zinc/13/18/35/410131835.db2.gz CPTLMERBZPOFJU-ZDUSSCGKSA-N -1 1 301.394 1.209 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cccc2c[nH]nc21 ZINC000343099258 410141018 /nfs/dbraw/zinc/14/10/18/410141018.db2.gz NKIWXFQAVBZEIP-UHFFFAOYSA-N -1 1 311.301 1.032 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2cc(C(=O)[O-])ccc2C)cn1 ZINC000343261292 410285525 /nfs/dbraw/zinc/28/55/25/410285525.db2.gz QOXZFBWEFXDWHN-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM O=C(COc1ccc(O)cc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351854527 410289849 /nfs/dbraw/zinc/28/98/49/410289849.db2.gz GWFOVUSCHQGQBH-UHFFFAOYSA-N -1 1 319.317 1.266 20 0 DDADMM O=C(CSc1nc(C(F)F)cc(=O)[n-]1)Nc1ccncc1 ZINC000351886965 410317039 /nfs/dbraw/zinc/31/70/39/410317039.db2.gz QYLVBEYDISRDTF-UHFFFAOYSA-N -1 1 312.301 1.668 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](C)C2(CCC2)C1 ZINC000333142301 410384363 /nfs/dbraw/zinc/38/43/63/410384363.db2.gz JODNPKPWAFQHSV-SECBINFHSA-N -1 1 307.350 1.549 20 0 DDADMM CSc1n[nH]c([N-]S(=O)(=O)Cc2ccccc2F)n1 ZINC000358175960 410393714 /nfs/dbraw/zinc/39/37/14/410393714.db2.gz VTRXEOWBUQRWGJ-UHFFFAOYSA-N -1 1 302.356 1.608 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2cn3c(n2)CCCC3)n[nH]1 ZINC000355263334 410406064 /nfs/dbraw/zinc/40/60/64/410406064.db2.gz GXCHXOCVWLSWOF-UHFFFAOYSA-N -1 1 309.395 1.696 20 0 DDADMM O=C(CCSCc1ccccc1)NC1(c2nn[n-]n2)CC1 ZINC000352041003 410437886 /nfs/dbraw/zinc/43/78/86/410437886.db2.gz WOSUZXKSPABTLW-UHFFFAOYSA-N -1 1 303.391 1.629 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000352056345 410447113 /nfs/dbraw/zinc/44/71/13/410447113.db2.gz BRVKYNHMEABFMA-BXKDBHETSA-N -1 1 315.329 1.730 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C[S@@](C)=O)c2ccccc2)c([O-])c1 ZINC000358621802 410498150 /nfs/dbraw/zinc/49/81/50/410498150.db2.gz BPBFPBIDKQYSTK-WHEQGISXSA-N -1 1 318.398 1.945 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCOc1ccccc1C ZINC000352155987 410516625 /nfs/dbraw/zinc/51/66/25/410516625.db2.gz GXIZGELCEADZQO-UHFFFAOYSA-N -1 1 319.317 1.014 20 0 DDADMM Cn1ccc(-c2noc(C[N-]S(=O)(=O)c3cccs3)n2)c1 ZINC000347357295 410494249 /nfs/dbraw/zinc/49/42/49/410494249.db2.gz FZQXLHYETQHMHQ-UHFFFAOYSA-N -1 1 324.387 1.615 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]1C1CCCC1 ZINC000352178518 410527995 /nfs/dbraw/zinc/52/79/95/410527995.db2.gz NZDRKVAEHDVWDP-JTQLQIEISA-N -1 1 307.350 1.691 20 0 DDADMM COc1cc(CNC(=O)c2cncc([O-])c2)cc(OC)c1O ZINC000339964421 410580478 /nfs/dbraw/zinc/58/04/78/410580478.db2.gz KYJORXAJXCLQOQ-UHFFFAOYSA-N -1 1 304.302 1.440 20 0 DDADMM COC(=O)c1cnc(C(=O)[N-]c2nnc(CC(C)C)s2)cn1 ZINC000355630811 410616167 /nfs/dbraw/zinc/61/61/67/410616167.db2.gz NMOJDASADYMHJE-UHFFFAOYSA-N -1 1 321.362 1.566 20 0 DDADMM CC[C@H](NC(=O)CNC(=O)c1ncccc1[O-])c1ccncc1 ZINC000343708871 410638025 /nfs/dbraw/zinc/63/80/25/410638025.db2.gz BSAOFOLCTQDKDH-LBPRGKRZSA-N -1 1 314.345 1.180 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@]1(O)CCCC1(C)C)c2=O ZINC000355715871 410657533 /nfs/dbraw/zinc/65/75/33/410657533.db2.gz PYZYYNIQWNJBOK-MRXNPFEDSA-N -1 1 318.377 1.345 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)c1c(C)nn(C)c1C)c1nn[n-]n1 ZINC000343770055 410683895 /nfs/dbraw/zinc/68/38/95/410683895.db2.gz WCALQXMEADILBE-GZMMTYOYSA-N -1 1 305.386 1.311 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC[C@H]2C(F)F)co1 ZINC000337594163 410884659 /nfs/dbraw/zinc/88/46/59/410884659.db2.gz OGFDJRROTUZFDQ-VIFPVBQESA-N -1 1 322.333 1.448 20 0 DDADMM O=C(CCC(=O)c1ccc(F)cc1)NC1(c2nn[n-]n2)CC1 ZINC000348282722 410898359 /nfs/dbraw/zinc/89/83/59/410898359.db2.gz XEWPEDMAYQWYQC-UHFFFAOYSA-N -1 1 303.297 1.107 20 0 DDADMM O=C(CCCc1c[nH]c2ccccc12)NC1(c2nn[n-]n2)CC1 ZINC000348297270 410907502 /nfs/dbraw/zinc/90/75/02/410907502.db2.gz KMTHGBRBSYUUCA-UHFFFAOYSA-N -1 1 310.361 1.809 20 0 DDADMM CCC(=O)CNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000344031391 410909615 /nfs/dbraw/zinc/90/96/15/410909615.db2.gz LEQOZTYQTPBPTQ-UHFFFAOYSA-N -1 1 316.379 1.065 20 0 DDADMM CCC[C@@H](NC(=O)c1oc(CC2CC2)nc1C)c1nn[n-]n1 ZINC000356362357 410987839 /nfs/dbraw/zinc/98/78/39/410987839.db2.gz HYHTVUOHMSLHIK-SNVBAGLBSA-N -1 1 304.354 1.720 20 0 DDADMM CCC[C@H](NC(=O)CC1(C(=O)OCC)CCC1)c1nn[n-]n1 ZINC000356370334 410989726 /nfs/dbraw/zinc/98/97/26/410989726.db2.gz QDGPTHMOIZGXHB-JTQLQIEISA-N -1 1 309.370 1.281 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2[C@H]3CCCO[C@H]32)c1Br ZINC000356626289 411111147 /nfs/dbraw/zinc/11/11/47/411111147.db2.gz BOMGXSBKSWVZHG-GTNGPMTGSA-N -1 1 314.183 1.520 20 0 DDADMM C[C@H]1CCc2c(F)cccc2[C@@H]1NC(=O)CCc1nn[n-]n1 ZINC000631656695 422870052 /nfs/dbraw/zinc/87/00/52/422870052.db2.gz KIQZLWHCEURZFY-BJOHPYRUSA-N -1 1 303.341 1.711 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)[C@H]1Oc2ccccc2[C@@H]1C ZINC000631685612 422880161 /nfs/dbraw/zinc/88/01/61/422880161.db2.gz AXCLVNSNTJMPNV-YHAQOWFVSA-N -1 1 301.350 1.202 20 0 DDADMM CC[C@@H](CNC(=O)CCc1nn[n-]n1)Oc1cccc(F)c1 ZINC000631699868 422886773 /nfs/dbraw/zinc/88/67/73/422886773.db2.gz FVKBXDHKBYKONI-NSHDSACASA-N -1 1 307.329 1.245 20 0 DDADMM CCOC(=O)c1cnc(N2CCC[C@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000580265843 422907627 /nfs/dbraw/zinc/90/76/27/422907627.db2.gz MYYVWNZHTMGYAH-VIFPVBQESA-N -1 1 319.321 1.126 20 0 DDADMM CC[C@@H]1CCN(C(=O)CCc2c(C)nc(SC)[n-]c2=O)C1 ZINC000131049782 196136327 /nfs/dbraw/zinc/13/63/27/196136327.db2.gz OXUDQJDMUTVDLY-LLVKDONJSA-N -1 1 309.435 1.991 20 0 DDADMM COc1cccc(NC(=O)CN2CC[C@H](C)[C@@H](C(=O)[O-])C2)c1 ZINC000580647151 422946109 /nfs/dbraw/zinc/94/61/09/422946109.db2.gz SUNZZHGPCLIYFX-FZMZJTMJSA-N -1 1 306.362 1.676 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)[C@@H]1CCCS(=O)(=O)C1 ZINC000652422313 423011677 /nfs/dbraw/zinc/01/16/77/423011677.db2.gz YIIDJPBOUIABDX-SSDOTTSWSA-N -1 1 305.302 1.434 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC23CCC3)c1 ZINC000642992012 423018449 /nfs/dbraw/zinc/01/84/49/423018449.db2.gz WIPTYGMZSQNOMT-JTQLQIEISA-N -1 1 310.375 1.429 20 0 DDADMM O=C([N-][C@H]1CCCNC1=O)C(F)(F)c1c(F)cccc1F ZINC000295773512 225218944 /nfs/dbraw/zinc/21/89/44/225218944.db2.gz KZBZOTVBLCVDNQ-VIFPVBQESA-N -1 1 304.243 1.451 20 0 DDADMM c1coc(CN=c2[n-]nc(SCCc3c[nH]nn3)s2)c1 ZINC000647915557 423113755 /nfs/dbraw/zinc/11/37/55/423113755.db2.gz CIOAFSPMWDXHHC-UHFFFAOYSA-N -1 1 308.392 1.618 20 0 DDADMM c1coc(CN=c2[n-]nc(SCCc3cnn[nH]3)s2)c1 ZINC000647915557 423113762 /nfs/dbraw/zinc/11/37/62/423113762.db2.gz CIOAFSPMWDXHHC-UHFFFAOYSA-N -1 1 308.392 1.618 20 0 DDADMM CC(C)n1cnc([N-]C(=O)c2cc(COC(C)(C)C)on2)n1 ZINC000650284589 423113866 /nfs/dbraw/zinc/11/38/66/423113866.db2.gz QWWFEOMBULCQMT-UHFFFAOYSA-N -1 1 307.354 1.836 20 0 DDADMM COCc1cncc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c1 ZINC000640656055 423115199 /nfs/dbraw/zinc/11/51/99/423115199.db2.gz VUJBZTREHGENKY-UHFFFAOYSA-N -1 1 320.374 1.376 20 0 DDADMM CSc1nc(CNC(=O)c2cn(C(C)C)nc2C)cc(=O)[n-]1 ZINC000640655011 423115341 /nfs/dbraw/zinc/11/53/41/423115341.db2.gz HKQZADFZTHBSGR-UHFFFAOYSA-N -1 1 321.406 1.920 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)cc(=O)[n-]1 ZINC000640654570 423116260 /nfs/dbraw/zinc/11/62/60/423116260.db2.gz UWTLLEVCWHDVTC-XBWDGYHZSA-N -1 1 311.407 1.580 20 0 DDADMM CCc1cccnc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640656610 423117721 /nfs/dbraw/zinc/11/77/21/423117721.db2.gz RJIPLANQSBWJET-UHFFFAOYSA-N -1 1 304.375 1.792 20 0 DDADMM CC(=O)N1C[C@@H](CCC(=O)Nc2nnn[n-]2)c2ccccc21 ZINC000373339189 418421928 /nfs/dbraw/zinc/42/19/28/418421928.db2.gz IWKVYHYHJQVMGD-SNVBAGLBSA-N -1 1 300.322 1.069 20 0 DDADMM CC(=O)N1C[C@@H](CCC(=O)Nc2nn[n-]n2)c2ccccc21 ZINC000373339189 418421930 /nfs/dbraw/zinc/42/19/30/418421930.db2.gz IWKVYHYHJQVMGD-SNVBAGLBSA-N -1 1 300.322 1.069 20 0 DDADMM COCC1(CN=c2nc(C(F)(F)F)[n-]s2)CCOCC1 ZINC000366078011 418426207 /nfs/dbraw/zinc/42/62/07/418426207.db2.gz KNXBHIAHGDOKLY-UHFFFAOYSA-N -1 1 311.329 1.834 20 0 DDADMM C[C@@H](OC1CCCCCC1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000366182154 418439366 /nfs/dbraw/zinc/43/93/66/418439366.db2.gz KXUVMGWAKRONGA-DGCLKSJQSA-N -1 1 323.397 1.228 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1cccc(F)c1F ZINC000353963067 306766606 /nfs/dbraw/zinc/76/66/06/306766606.db2.gz NFQYRZYLFPRVSK-ZETCQYMHSA-N -1 1 319.271 1.187 20 0 DDADMM CCCN(CCC)C(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000366713506 418507399 /nfs/dbraw/zinc/50/73/99/418507399.db2.gz KXIHYCOVQWTTMM-UHFFFAOYSA-N -1 1 309.414 1.338 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CCCCO1)[C@@H](C)CC ZINC000360747935 418507412 /nfs/dbraw/zinc/50/74/12/418507412.db2.gz URHBZQJHZNZISJ-YNEHKIRRSA-N -1 1 321.439 1.453 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H](O)[C@H](C)C3)cnc2n1 ZINC000189459932 222044972 /nfs/dbraw/zinc/04/49/72/222044972.db2.gz IUXSNFRVHYFQAC-NOZJJQNGSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](O)[C@H](C)C3)c[n-]c2n1 ZINC000189459932 222044974 /nfs/dbraw/zinc/04/49/74/222044974.db2.gz IUXSNFRVHYFQAC-NOZJJQNGSA-N -1 1 301.346 1.487 20 0 DDADMM CC(C)(C(=O)[O-])C(=O)N[C@H]1CCC[N@@H+](Cc2ccccc2)C1 ZINC000309596978 418590085 /nfs/dbraw/zinc/59/00/85/418590085.db2.gz FGPPDPUWNRJOSN-AWEZNQCLSA-N -1 1 304.390 1.878 20 0 DDADMM CC(C)(C(=O)[O-])C(=O)N[C@H]1CCCN(Cc2ccccc2)C1 ZINC000309596978 418590088 /nfs/dbraw/zinc/59/00/88/418590088.db2.gz FGPPDPUWNRJOSN-AWEZNQCLSA-N -1 1 304.390 1.878 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2CC[C@@H]3CC[N@@H+](C)[C@@H]3C2)[n-]n1 ZINC000375490459 418646924 /nfs/dbraw/zinc/64/69/24/418646924.db2.gz KUUNVMAFMOIGKX-QWHCGFSZSA-N -1 1 319.409 1.244 20 0 DDADMM O=C(NC[C@H]1CN(C2CC2)CCO1)c1c(F)ccc([O-])c1F ZINC000368051653 418680588 /nfs/dbraw/zinc/68/05/88/418680588.db2.gz OUSBZHUAOHQZSY-JTQLQIEISA-N -1 1 312.316 1.263 20 0 DDADMM CCOc1cc(C(=O)N(C)Cc2nncn2C)cc(Cl)c1[O-] ZINC000291617882 222508893 /nfs/dbraw/zinc/50/88/93/222508893.db2.gz BLTAKCHAZCRMHQ-UHFFFAOYSA-N -1 1 324.768 1.845 20 0 DDADMM C[C@@H]1[C@@H](CO)CCN1C(=O)c1ccc(Br)cc1[O-] ZINC000386308139 418739172 /nfs/dbraw/zinc/73/91/72/418739172.db2.gz SBNXKDLUSAWFBQ-RKDXNWHRSA-N -1 1 314.179 1.998 20 0 DDADMM CC(C)CN(C)c1ccc(C(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000410860056 418852319 /nfs/dbraw/zinc/85/23/19/418852319.db2.gz PCMYAXIVLRLDMJ-UHFFFAOYSA-N -1 1 316.365 1.248 20 0 DDADMM Cc1cc(NC(=O)CN2CCCC[C@H]2CCC(=O)[O-])n(C)n1 ZINC000424252909 228251570 /nfs/dbraw/zinc/25/15/70/228251570.db2.gz DUAASFSQWYXUGT-LBPRGKRZSA-N -1 1 308.382 1.386 20 0 DDADMM CC(C)c1cccc(CC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1 ZINC000411764672 419403502 /nfs/dbraw/zinc/40/35/02/419403502.db2.gz ZCVWYWJTKJYYJB-UHFFFAOYSA-N -1 1 322.390 1.513 20 0 DDADMM CC(C)c1cccc(CC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1 ZINC000411764672 419403506 /nfs/dbraw/zinc/40/35/06/419403506.db2.gz ZCVWYWJTKJYYJB-UHFFFAOYSA-N -1 1 322.390 1.513 20 0 DDADMM O=C1C[C@H](Oc2ccccc2[N-]S(=O)(=O)CCCCF)N1 ZINC000421163437 419510239 /nfs/dbraw/zinc/51/02/39/419510239.db2.gz HUUOYGOJMOQWOI-ZDUSSCGKSA-N -1 1 316.354 1.403 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)cc1F)OC ZINC000421161884 419511465 /nfs/dbraw/zinc/51/14/65/419511465.db2.gz YGAIUVYCMHNEIU-VIFPVBQESA-N -1 1 304.343 1.091 20 0 DDADMM COCCc1nc(=NC[C@@H](Cc2ccccc2)N(C)C)s[n-]1 ZINC000426593008 419513682 /nfs/dbraw/zinc/51/36/82/419513682.db2.gz JIXDKVMCYLHXMY-CQSZACIVSA-N -1 1 320.462 1.734 20 0 DDADMM CCCc1cc(=O)[n-]c(SCc2nc(CC(=O)NC)no2)n1 ZINC000427110522 419596007 /nfs/dbraw/zinc/59/60/07/419596007.db2.gz VSKVWXFQKMDKLP-UHFFFAOYSA-N -1 1 323.378 1.099 20 0 DDADMM Cc1nsc(C)c1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000298867866 228802228 /nfs/dbraw/zinc/80/22/28/228802228.db2.gz GNMNEWJXDCOGNJ-VIFPVBQESA-N -1 1 307.379 1.191 20 0 DDADMM CCOC(=O)[C@H](C)CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000428139900 419817227 /nfs/dbraw/zinc/81/72/27/419817227.db2.gz HHGDNBLOOQPBHF-SECBINFHSA-N -1 1 317.345 1.573 20 0 DDADMM CCOC(=O)[C@H](C)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000428139900 419817231 /nfs/dbraw/zinc/81/72/31/419817231.db2.gz HHGDNBLOOQPBHF-SECBINFHSA-N -1 1 317.345 1.573 20 0 DDADMM CC(C)O[C@H](C[N@@H+]1CCN2C(=O)NC(=O)[C@@H]2C1)c1ccccc1 ZINC000428293270 419842937 /nfs/dbraw/zinc/84/29/37/419842937.db2.gz ABJLPQQFWDSQEO-LSDHHAIUSA-N -1 1 317.389 1.389 20 0 DDADMM COC[C@H]1C[C@@H](O)CN1C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000652824910 423155737 /nfs/dbraw/zinc/15/57/37/423155737.db2.gz HJNYUMHGIJYCCQ-NXEZZACHSA-N -1 1 315.753 1.276 20 0 DDADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-]c1cccc2ncccc12 ZINC000430087784 420079709 /nfs/dbraw/zinc/07/97/09/420079709.db2.gz VBMGCCXPKLUSFM-SNVBAGLBSA-N -1 1 307.375 1.453 20 0 DDADMM CN(CCCC(=O)[O-])CC(=O)Nc1ccc2c(c1)OCCCO2 ZINC000430673197 420176060 /nfs/dbraw/zinc/17/60/60/420176060.db2.gz YWKYEEHTFCOTGD-UHFFFAOYSA-N -1 1 322.361 1.583 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H](C(C)(C)C)C1 ZINC000416228132 420288640 /nfs/dbraw/zinc/28/86/40/420288640.db2.gz QWDZFTOVMBVZLN-VIFPVBQESA-N -1 1 309.366 1.795 20 0 DDADMM COc1cc(NC(=O)c2[nH]ccc2C)ccc1[N-]S(C)(=O)=O ZINC000436081427 420308361 /nfs/dbraw/zinc/30/83/61/420308361.db2.gz IFZUWQGPTQCLDG-UHFFFAOYSA-N -1 1 323.374 1.956 20 0 DDADMM CC(=O)[C@@H](NC(=O)CSc1nc(C2CC2)cc(=O)[n-]1)C(C)C ZINC000436260954 420318184 /nfs/dbraw/zinc/31/81/84/420318184.db2.gz OMPRKUPAVHLBGK-AWEZNQCLSA-N -1 1 323.418 1.882 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccnc3[nH]cnc32)c1 ZINC000436519859 420336823 /nfs/dbraw/zinc/33/68/23/420336823.db2.gz RVMCKWFTMCRKTB-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM O=C(c1cnc(C2CC2)nc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425297776 420343290 /nfs/dbraw/zinc/34/32/90/420343290.db2.gz IQQVACQVEFQXLJ-SNVBAGLBSA-N -1 1 315.333 1.462 20 0 DDADMM CC(C)OC(=O)CC[C@H](C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436624197 420345179 /nfs/dbraw/zinc/34/51/79/420345179.db2.gz ATJOJYIYHFMTBE-NSHDSACASA-N -1 1 307.346 1.811 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc(OC)cn2)c1 ZINC000436638916 420347978 /nfs/dbraw/zinc/34/79/78/420347978.db2.gz MJDKTYBNAGJBPR-UHFFFAOYSA-N -1 1 302.286 1.835 20 0 DDADMM CC(=O)N(C)C1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000436685388 420356268 /nfs/dbraw/zinc/35/62/68/420356268.db2.gz BRYGNLKDXVKEOA-UHFFFAOYSA-N -1 1 304.346 1.044 20 0 DDADMM COC(=O)C[C@@]1(NC(=O)c2ccc(Cl)cc2[O-])CCOC1 ZINC000436698341 420356668 /nfs/dbraw/zinc/35/66/68/420356668.db2.gz YDBONKJDMUMFAL-AWEZNQCLSA-N -1 1 313.737 1.498 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@H]3CCC(=O)N3)[nH][n-]2)c1 ZINC000416250145 420295708 /nfs/dbraw/zinc/29/57/08/420295708.db2.gz CSAGVCZLXUSCSP-LLVKDONJSA-N -1 1 302.309 1.163 20 0 DDADMM CC[N@H+]1C[C@@H](C)N(C(=O)c2cc(NC(C)=O)ccc2O)C[C@H]1C ZINC000436818002 420370263 /nfs/dbraw/zinc/37/02/63/420370263.db2.gz TWLNFBVTAPFMGA-VXGBXAGGSA-N -1 1 319.405 1.905 20 0 DDADMM NC(=O)NCc1ccc(NC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000436904091 420382471 /nfs/dbraw/zinc/38/24/71/420382471.db2.gz KCQPUNMOXJMBAG-UHFFFAOYSA-N -1 1 313.313 1.382 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC(=O)N(Cc2ccccc2)C1 ZINC000436915574 420384851 /nfs/dbraw/zinc/38/48/51/420384851.db2.gz PAKOBDARSVRFPR-UHFFFAOYSA-N -1 1 314.316 1.973 20 0 DDADMM O=C(N=c1ccn(CC(F)(F)F)[nH]1)C(=O)c1ccc([O-])cc1 ZINC000436974450 420390160 /nfs/dbraw/zinc/39/01/60/420390160.db2.gz YVVCPTSQYRPDEV-UHFFFAOYSA-N -1 1 313.235 1.394 20 0 DDADMM O=S(=O)([N-]CCCC1(O)CCC1)c1cccc(F)c1F ZINC000420716506 420367382 /nfs/dbraw/zinc/36/73/82/420367382.db2.gz KJXJMFYXCHWBTL-UHFFFAOYSA-N -1 1 305.346 1.938 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2C[C@@H](OC(C)C)C2)c1 ZINC000416532467 420407976 /nfs/dbraw/zinc/40/79/76/420407976.db2.gz XSXRUIFWSDDMHI-PHIMTYICSA-N -1 1 317.363 1.301 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2C[C@@H](OCC)C2)o1 ZINC000416530840 420408569 /nfs/dbraw/zinc/40/85/69/420408569.db2.gz SUHVVCXZRXZFLZ-AOOOYVTPSA-N -1 1 317.363 1.302 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cc(F)cc1F)c1ccn(C)n1 ZINC000416566129 420420512 /nfs/dbraw/zinc/42/05/12/420420512.db2.gz VWGSQVDZMBOVEJ-SSDOTTSWSA-N -1 1 319.308 1.877 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cccc1F)c1ccn(C)n1 ZINC000416554751 420416012 /nfs/dbraw/zinc/41/60/12/420416012.db2.gz DNNQWOLOTREXIZ-MRVPVSSYSA-N -1 1 301.318 1.738 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2ccc(C(=O)NC)cc2)c1C ZINC000438241702 420447265 /nfs/dbraw/zinc/44/72/65/420447265.db2.gz ZUYZLYXPRSNLGR-UHFFFAOYSA-N -1 1 322.390 1.441 20 0 DDADMM CC[C@H](NC(=O)c1ccc(Br)cc1[O-])C(=O)NC ZINC000457064732 420600555 /nfs/dbraw/zinc/60/05/55/420600555.db2.gz WJEGKMXNOZHURR-VIFPVBQESA-N -1 1 315.167 1.409 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/c2ccncc2)c1 ZINC000492387799 420543105 /nfs/dbraw/zinc/54/31/05/420543105.db2.gz ADXCUJGHKBAFCF-DAFODLJHSA-N -1 1 319.342 1.087 20 0 DDADMM CC1(C)COC[C@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645748473 423167844 /nfs/dbraw/zinc/16/78/44/423167844.db2.gz AEMKSXOXSDFUEE-SECBINFHSA-N -1 1 324.324 1.804 20 0 DDADMM COc1ccc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)nc1 ZINC000492477081 420570000 /nfs/dbraw/zinc/57/00/00/420570000.db2.gz UMORKSZPKYCGEW-XOIDGJRKSA-N -1 1 314.349 1.023 20 0 DDADMM COC(=O)CCC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000450981540 420574757 /nfs/dbraw/zinc/57/47/57/420574757.db2.gz IXOWWKHQHOPMAV-UHFFFAOYSA-N -1 1 309.746 1.711 20 0 DDADMM CCOc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc1C ZINC000493240171 420796896 /nfs/dbraw/zinc/79/68/96/420796896.db2.gz NXGBDUMTADXCAS-FNORWQNLSA-N -1 1 313.361 1.726 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)CCCCCO)cnc2n1 ZINC000448450939 420854382 /nfs/dbraw/zinc/85/43/82/420854382.db2.gz BVEVQBSFEQKHSN-UHFFFAOYSA-N -1 1 303.362 1.878 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)CCCCCO)c[n-]c2n1 ZINC000448450939 420854386 /nfs/dbraw/zinc/85/43/86/420854386.db2.gz BVEVQBSFEQKHSN-UHFFFAOYSA-N -1 1 303.362 1.878 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](CCOC(=O)c2cn[n-]n2)C1 ZINC000495931548 421096598 /nfs/dbraw/zinc/09/65/98/421096598.db2.gz GKOATLOPDLQYDG-JTQLQIEISA-N -1 1 310.354 1.609 20 0 DDADMM CS(=O)(=O)C[C@H]1CCCCN1C(=O)c1ccc([O-])cc1F ZINC000456203122 421118210 /nfs/dbraw/zinc/11/82/10/421118210.db2.gz VRKFRYIWHWKSEH-SNVBAGLBSA-N -1 1 315.366 1.571 20 0 DDADMM Cn1nc(-c2ccccc2)cc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000450005644 421126864 /nfs/dbraw/zinc/12/68/64/421126864.db2.gz PHZFFORGYGUMHS-UHFFFAOYSA-N -1 1 311.349 1.265 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCC(F)(F)C2)o1 ZINC000488965868 421129734 /nfs/dbraw/zinc/12/97/34/421129734.db2.gz FHMYRQPYAVFFIY-MRVPVSSYSA-N -1 1 322.333 1.495 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000450072132 421138369 /nfs/dbraw/zinc/13/83/69/421138369.db2.gz FFMIPLOQRXBKDG-GHMZBOCLSA-N -1 1 309.439 1.281 20 0 DDADMM C[C@@H]1[C@H](C(=O)[N-]O[C@H]2CCOC2)CCN1C(=O)OC(C)(C)C ZINC000492190254 421211948 /nfs/dbraw/zinc/21/19/48/421211948.db2.gz TVMTXOUQRMCLMP-GRYCIOLGSA-N -1 1 314.382 1.469 20 0 DDADMM CCc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)c(C)nn1 ZINC000544757427 421229694 /nfs/dbraw/zinc/22/96/94/421229694.db2.gz UMYRXAYQGVVCFP-UHFFFAOYSA-N -1 1 301.354 1.060 20 0 DDADMM C[C@H]1C[C@@H](c2ccccc2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546798733 421311060 /nfs/dbraw/zinc/31/10/60/421311060.db2.gz APGSNXZJFFLFSN-WCQYABFASA-N -1 1 323.356 1.436 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)C(C)C ZINC000525189054 421280217 /nfs/dbraw/zinc/28/02/17/421280217.db2.gz YHIRRBKIFCECKE-SECBINFHSA-N -1 1 305.352 1.016 20 0 DDADMM O=C(Nc1cccc(N2CCCC2)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000546040510 421282997 /nfs/dbraw/zinc/28/29/97/421282997.db2.gz STSGCRJJFCIBBA-UHFFFAOYSA-N -1 1 324.344 1.270 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2nc(C)n(C)c2c1)c1nn[n-]n1 ZINC000526020698 421323906 /nfs/dbraw/zinc/32/39/06/421323906.db2.gz BBUFOHZXPSDSBD-LBPRGKRZSA-N -1 1 313.365 1.666 20 0 DDADMM c1cc(CNC2(c3nnn[n-]3)CCCC2)c2c(c1)OCCCO2 ZINC000547448986 421331857 /nfs/dbraw/zinc/33/18/57/421331857.db2.gz NPHWWTSIVMCZBZ-UHFFFAOYSA-N -1 1 315.377 1.920 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(-c2ccccn2)no1)c1nn[n-]n1 ZINC000547488239 421337499 /nfs/dbraw/zinc/33/74/99/421337499.db2.gz PTVHJXQNUYDOGS-VIFPVBQESA-N -1 1 313.321 1.125 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCC(N2CCCC2=O)CC1 ZINC000527107340 421355831 /nfs/dbraw/zinc/35/58/31/421355831.db2.gz SVHHOZASNJZKEP-UHFFFAOYSA-N -1 1 313.361 1.185 20 0 DDADMM O=C([C@@H]1COc2ccccc21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000550123394 421514238 /nfs/dbraw/zinc/51/42/38/421514238.db2.gz MLKQNIXEEBSFPB-ZYHUDNBSSA-N -1 1 315.329 1.657 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCOCC23CC3)c(=O)[n-]1 ZINC000549012064 421489048 /nfs/dbraw/zinc/48/90/48/421489048.db2.gz BWQMNDNUXMCUJB-UHFFFAOYSA-N -1 1 323.418 1.537 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2CCNC[C@H]2C(C)C)[n-]n1 ZINC000528689307 421507910 /nfs/dbraw/zinc/50/79/10/421507910.db2.gz PHXGQTNFFOKQOV-LBPRGKRZSA-N -1 1 307.398 1.147 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ccc([O-])cc2F)[C@@H]1n1cccn1 ZINC000516993114 421586122 /nfs/dbraw/zinc/58/61/22/421586122.db2.gz NRTRDSREPBRZEW-KFWWJZLASA-N -1 1 319.336 1.876 20 0 DDADMM CO[C@@H](C)c1nc(=NC[C@@H]2CCCCS2(=O)=O)s[n-]1 ZINC000554687258 421658155 /nfs/dbraw/zinc/65/81/55/421658155.db2.gz CPHBJUNARSFBRF-IUCAKERBSA-N -1 1 305.425 1.047 20 0 DDADMM O=C(NCc1nc2cccnc2[nH]1)c1c(F)ccc([O-])c1F ZINC000554897538 421665619 /nfs/dbraw/zinc/66/56/19/421665619.db2.gz XRQDPJSYJSSISM-UHFFFAOYSA-N -1 1 304.256 1.872 20 0 DDADMM O=C([O-])CCCNC(=O)NCCN1CCc2ccccc2C1 ZINC000571281148 421702583 /nfs/dbraw/zinc/70/25/83/421702583.db2.gz GSUTYNKWGRSIAK-UHFFFAOYSA-N -1 1 305.378 1.209 20 0 DDADMM O=C(c1cc(-c2ccoc2)n[nH]1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000537122459 421727450 /nfs/dbraw/zinc/72/74/50/421727450.db2.gz XRNAZGZYFYWRLC-SECBINFHSA-N -1 1 313.321 1.203 20 0 DDADMM C[C@@H]1CC[S@](=O)CCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000519803169 421728891 /nfs/dbraw/zinc/72/88/91/421728891.db2.gz OPFOLDRIALPFIQ-YBYGRFCBSA-N -1 1 313.448 1.122 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCCCC(N)=O)cnc2n1 ZINC000537549827 421730770 /nfs/dbraw/zinc/73/07/70/421730770.db2.gz GDUZXKKAPRTBMP-UHFFFAOYSA-N -1 1 302.334 1.029 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCCCC(N)=O)c2=O ZINC000537549827 421730773 /nfs/dbraw/zinc/73/07/73/421730773.db2.gz GDUZXKKAPRTBMP-UHFFFAOYSA-N -1 1 302.334 1.029 20 0 DDADMM O=c1cc(C[N@H+]2CC[C@H](CO)[C@@H](O)C2)nc(-c2ccccc2)[nH]1 ZINC000556782810 421736749 /nfs/dbraw/zinc/73/67/49/421736749.db2.gz MBMUYJGPEJJYKB-HIFRSBDPSA-N -1 1 315.373 1.024 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H](C)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538225795 421738129 /nfs/dbraw/zinc/73/81/29/421738129.db2.gz WZFJUSJXKZABDW-BXKDBHETSA-N -1 1 317.397 1.060 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)CC(C)(C)OC)cn1 ZINC000556881954 421749264 /nfs/dbraw/zinc/74/92/64/421749264.db2.gz UOTLNNORDNCBTK-UHFFFAOYSA-N -1 1 316.379 1.425 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)NCC1(CN2CCOCC2)CCCCC1 ZINC000580952537 421872694 /nfs/dbraw/zinc/87/26/94/421872694.db2.gz JAKIZAKVNWUKTQ-CYBMUJFWSA-N -1 1 312.410 1.106 20 0 DDADMM O=C([O-])[C@@H](Cc1ccccc1)NC(=O)[C@H]1CCCN1C1CC1 ZINC000630097156 421887261 /nfs/dbraw/zinc/88/72/61/421887261.db2.gz VSBWPVRVOIETLF-HUUCEWRRSA-N -1 1 302.374 1.425 20 0 DDADMM C[C@H](CNC(=O)CCCc1nn[n-]n1)N(C)c1ccccc1 ZINC000635302885 421891318 /nfs/dbraw/zinc/89/13/18/421891318.db2.gz FHXDYZZKAKXAKB-GFCCVEGCSA-N -1 1 302.382 1.164 20 0 DDADMM Cc1nc(CS(=O)(=O)c2ncc(-c3ccc(F)cc3)[n-]2)no1 ZINC000580873206 421850628 /nfs/dbraw/zinc/85/06/28/421850628.db2.gz YUAFQNVJBISDFR-UHFFFAOYSA-N -1 1 322.321 1.881 20 0 DDADMM Cc1nc(CS(=O)(=O)c2nc(-c3ccc(F)cc3)c[n-]2)no1 ZINC000580873206 421850639 /nfs/dbraw/zinc/85/06/39/421850639.db2.gz YUAFQNVJBISDFR-UHFFFAOYSA-N -1 1 322.321 1.881 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@H]1CCCS1(=O)=O ZINC000631886825 421911570 /nfs/dbraw/zinc/91/15/70/421911570.db2.gz JZBNBSGLPANYPC-SNVBAGLBSA-N -1 1 317.794 1.282 20 0 DDADMM NC(=O)[C@H]1CC[C@H](CNC(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000633457856 421915661 /nfs/dbraw/zinc/91/56/61/421915661.db2.gz WVNXCKJQNAWZJW-UMSPYCQHSA-N -1 1 324.808 1.996 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cccc(Cl)c2)CC1 ZINC000630222890 421974040 /nfs/dbraw/zinc/97/40/40/421974040.db2.gz QRFNZTBBOHJVKT-UHFFFAOYSA-N -1 1 310.781 1.961 20 0 DDADMM Cc1cccc(OC[C@H](C)NC(=O)CCCc2nn[n-]n2)c1 ZINC000635409413 421965743 /nfs/dbraw/zinc/96/57/43/421965743.db2.gz KSMCJBVGGZXVAO-LBPRGKRZSA-N -1 1 303.366 1.415 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C(C)(C)CC(F)(F)F)CC1 ZINC000630218399 421968100 /nfs/dbraw/zinc/96/81/00/421968100.db2.gz DUYIZHUDGGZDSZ-JTQLQIEISA-N -1 1 324.343 1.972 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCOc2c(Cl)cccc21 ZINC000635413181 421970228 /nfs/dbraw/zinc/97/02/28/421970228.db2.gz PTOCJCSUSZIGJW-LLVKDONJSA-N -1 1 321.768 1.816 20 0 DDADMM O=C(CCc1nn[n-]n1)NC1(c2cccc(Cl)c2)CCC1 ZINC000633561846 421970288 /nfs/dbraw/zinc/97/02/88/421970288.db2.gz YLDIKCWDGSLBOU-UHFFFAOYSA-N -1 1 305.769 1.981 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N[C@@H]1CCS(=O)(=O)C1 ZINC000630347727 422036302 /nfs/dbraw/zinc/03/63/02/422036302.db2.gz XCXJFHKEWKDPFS-MRVPVSSYSA-N -1 1 311.388 1.027 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)[nH]n1 ZINC000630224196 421976212 /nfs/dbraw/zinc/97/62/12/421976212.db2.gz NVJPKRFFEYLXLL-UHFFFAOYSA-N -1 1 322.409 1.328 20 0 DDADMM CSc1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)o1 ZINC000630226946 421977610 /nfs/dbraw/zinc/97/76/10/421977610.db2.gz UDJXVKBOBNWSGY-UHFFFAOYSA-N -1 1 312.391 1.623 20 0 DDADMM CN(C)[C@@H](CNC(=O)NCCCCC(=O)[O-])c1cccs1 ZINC000630380796 422067459 /nfs/dbraw/zinc/06/74/59/422067459.db2.gz IJJKAZXAJWTOOK-NSHDSACASA-N -1 1 313.423 1.905 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000581944133 422074013 /nfs/dbraw/zinc/07/40/13/422074013.db2.gz SODAXAUYRCLOPN-NEPJUHHUSA-N -1 1 320.393 1.745 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCCSc1ccc(F)cc1 ZINC000630459500 422116946 /nfs/dbraw/zinc/11/69/46/422116946.db2.gz AEXUSDQXOJAYAY-UHFFFAOYSA-N -1 1 309.370 1.570 20 0 DDADMM O=S(=O)([N-]CC1(C2CC2)CC1)c1c[nH]nc1C(F)(F)F ZINC000632136855 422113443 /nfs/dbraw/zinc/11/34/43/422113443.db2.gz YDKUBPBEFRDCHS-UHFFFAOYSA-N -1 1 309.313 1.897 20 0 DDADMM COc1ccc([C@@H]2CCN(C(=O)CCc3nn[n-]n3)C2)cc1F ZINC000633695896 422055773 /nfs/dbraw/zinc/05/57/73/422055773.db2.gz DMTSSZGIBDKINH-LLVKDONJSA-N -1 1 319.340 1.296 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2cnc(C)cn2)sc1C ZINC000581873331 422058479 /nfs/dbraw/zinc/05/84/79/422058479.db2.gz RIVLJMBVDGFOED-UHFFFAOYSA-N -1 1 312.420 1.379 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCO[C@]3(CCOC3)C2)sn1 ZINC000632174116 422138850 /nfs/dbraw/zinc/13/88/50/422138850.db2.gz RVPRPROVEXNAHK-CMPLNLGQSA-N -1 1 318.420 1.068 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)[C@@H]2CCCCO2)c1 ZINC000632187012 422146961 /nfs/dbraw/zinc/14/69/61/422146961.db2.gz ZNDREMAGPFMEJN-MFKMUULPSA-N -1 1 315.391 1.637 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCC[C@H](C)CO)c1 ZINC000632150828 422122896 /nfs/dbraw/zinc/12/28/96/422122896.db2.gz PLQCAENEMPLGHI-JTQLQIEISA-N -1 1 303.380 1.088 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CSC[C@@H]2C)c1 ZINC000632331559 422251920 /nfs/dbraw/zinc/25/19/20/422251920.db2.gz OVTQXEPCZPJYHF-WPRPVWTQSA-N -1 1 303.405 1.431 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCOC[C@@H]2C)c1 ZINC000632333146 422254434 /nfs/dbraw/zinc/25/44/34/422254434.db2.gz JPDPEDOJTUZIPQ-WDEREUQCSA-N -1 1 315.391 1.352 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCC(C)(C)O2)c1 ZINC000632242982 422189060 /nfs/dbraw/zinc/18/90/60/422189060.db2.gz DLDNMVDYFGCFQQ-NSHDSACASA-N -1 1 315.391 1.637 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)C1CC1 ZINC000632372286 422284811 /nfs/dbraw/zinc/28/48/11/422284811.db2.gz HTGSBUKFSTZTFB-SSDOTTSWSA-N -1 1 313.301 1.132 20 0 DDADMM C[C@@H](CCO)CC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632379247 422291156 /nfs/dbraw/zinc/29/11/56/422291156.db2.gz NTHOBUGJPUCKOK-SSDOTTSWSA-N -1 1 315.317 1.115 20 0 DDADMM CN(CCCOc1ccc(F)cc1)C(=O)CCc1nn[n-]n1 ZINC000630733522 422300149 /nfs/dbraw/zinc/30/01/49/422300149.db2.gz AADXMIIIEJGEIE-UHFFFAOYSA-N -1 1 307.329 1.199 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H](C)CCCO)c1 ZINC000632389749 422298257 /nfs/dbraw/zinc/29/82/57/422298257.db2.gz FSNRULBZDUYLHM-JTQLQIEISA-N -1 1 303.380 1.088 20 0 DDADMM Cc1ccc(C2CCN(C(=O)CCc3nn[n-]n3)CC2)cn1 ZINC000632396876 422307838 /nfs/dbraw/zinc/30/78/38/422307838.db2.gz BUDPVFDQMREGKM-UHFFFAOYSA-N -1 1 300.366 1.242 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccnc1)c1nc(C(F)(F)F)no1 ZINC000632480801 422380802 /nfs/dbraw/zinc/38/08/02/422380802.db2.gz YIGZLCAMEOVBKJ-ZCFIWIBFSA-N -1 1 322.268 1.523 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@@H]1Cc1ccc(F)cc1 ZINC000630797985 422343427 /nfs/dbraw/zinc/34/34/27/422343427.db2.gz XUIVDYZECGXSLG-CYBMUJFWSA-N -1 1 303.341 1.505 20 0 DDADMM CCO[C@@H]1COCC[C@H]1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632451986 422354897 /nfs/dbraw/zinc/35/48/97/422354897.db2.gz IKXOERBLQFAITH-TZMCWYRMSA-N -1 1 313.781 1.898 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-][C@@H](C)c1nc(C(F)(F)F)no1 ZINC000632480175 422378339 /nfs/dbraw/zinc/37/83/39/422378339.db2.gz NYKFDYQLXNQMLW-WDSKDSINSA-N -1 1 317.289 1.104 20 0 DDADMM CCC1(CC)[C@H](NC(=O)CCCc2nn[n-]n2)[C@@H](C)[C@@H]1OC ZINC000635764070 422323611 /nfs/dbraw/zinc/32/36/11/422323611.db2.gz ZQTVYZJLTHZIEX-HONMWMINSA-N -1 1 309.414 1.478 20 0 DDADMM Cn1cnnc1S(=O)(=O)[N-]CC(F)(F)c1ccc(F)cc1 ZINC000632570518 422446606 /nfs/dbraw/zinc/44/66/06/422446606.db2.gz OQOCMPMDFALZQQ-UHFFFAOYSA-N -1 1 320.296 1.025 20 0 DDADMM CN(C)C1(C[N-]S(=O)(=O)c2c(F)cc(F)cc2F)CC1 ZINC000632488179 422386888 /nfs/dbraw/zinc/38/68/88/422386888.db2.gz KOFPELBHWLUSMO-UHFFFAOYSA-N -1 1 308.325 1.476 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2cn(C(C)C)nn2)sn1 ZINC000632527308 422411853 /nfs/dbraw/zinc/41/18/53/422411853.db2.gz SBCGJWCQRCDIRB-UHFFFAOYSA-N -1 1 301.397 1.102 20 0 DDADMM Cc1cc(CN)oc1C(=O)N=c1[n-]nc(C(F)(F)F)s1 ZINC000637903755 422462935 /nfs/dbraw/zinc/46/29/35/422462935.db2.gz DEJDDZOQXMPNIO-UHFFFAOYSA-N -1 1 306.269 1.591 20 0 DDADMM CCN1CCCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000632713150 422538723 /nfs/dbraw/zinc/53/87/23/422538723.db2.gz QNVDUXFXYVJOPF-UHFFFAOYSA-N -1 1 310.781 1.669 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1ccc(C(F)F)o1)c1nncn1C ZINC000634658532 422540622 /nfs/dbraw/zinc/54/06/22/422540622.db2.gz NAZXNHCXFALMHO-SSDOTTSWSA-N -1 1 320.321 1.428 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@H](N2CCCC2=O)C1 ZINC000632747095 422555856 /nfs/dbraw/zinc/55/58/56/422555856.db2.gz YFFYGCGSZHMHEW-LBPRGKRZSA-N -1 1 322.792 1.811 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@]2(CNC(=O)C2)C1 ZINC000632793513 422582710 /nfs/dbraw/zinc/58/27/10/422582710.db2.gz LUVKRXKRLKAWOJ-HNNXBMFYSA-N -1 1 308.765 1.327 20 0 DDADMM CC(=O)N1CCC[C@@H](CNC(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000632815918 422599399 /nfs/dbraw/zinc/59/93/99/422599399.db2.gz WMJTVFKXBSDAGK-ZDUSSCGKSA-N -1 1 324.808 1.963 20 0 DDADMM CC(=O)Nc1ccccc1[N-]S(=O)(=O)CCOCC1CC1 ZINC000629314087 422602576 /nfs/dbraw/zinc/60/25/76/422602576.db2.gz NOEJYJMWAIBKNL-UHFFFAOYSA-N -1 1 312.391 1.813 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@@H](CO)[C@H]1CCOC1 ZINC000632825481 422608648 /nfs/dbraw/zinc/60/86/48/422608648.db2.gz GEWNNROQYJUIRP-RYUDHWBXSA-N -1 1 313.781 1.349 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1C[C@H](c2cccc(Cl)c2)C1 ZINC000632841673 422619147 /nfs/dbraw/zinc/61/91/47/422619147.db2.gz DQKUSTFYOBXTDX-UMSPYCQHSA-N -1 1 305.769 1.848 20 0 DDADMM O=C(c1ncc2ccccn21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000632843830 422621877 /nfs/dbraw/zinc/62/18/77/422621877.db2.gz XXOBVVNUWINODH-JTQLQIEISA-N -1 1 313.317 1.443 20 0 DDADMM CCOCCN(CC(=O)NCC(=O)[O-])[C@@H]1CCc2ccccc21 ZINC000629499069 422702582 /nfs/dbraw/zinc/70/25/82/422702582.db2.gz DCIQQLCAAZLIKZ-OAHLLOKOSA-N -1 1 320.389 1.213 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC2(CC2(F)F)CC1 ZINC000652844858 423170851 /nfs/dbraw/zinc/17/08/51/423170851.db2.gz YSLBQLJXFXBNQX-UHFFFAOYSA-N -1 1 315.276 1.158 20 0 DDADMM COc1ccc(CCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1F ZINC000124281170 263340519 /nfs/dbraw/zinc/34/05/19/263340519.db2.gz DCQZFVWBGWSBDQ-JTQLQIEISA-N -1 1 321.356 1.542 20 0 DDADMM CC(C)C[N@H+]1CCO[C@H](CNC(=O)/C=C/c2cncc(O)c2)C1 ZINC000181823744 263370363 /nfs/dbraw/zinc/37/03/63/263370363.db2.gz ADIBDBXOOWXOLX-QDLOVBKTSA-N -1 1 319.405 1.273 20 0 DDADMM CC1(C)[C@H](O)C[C@@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000188108851 263419181 /nfs/dbraw/zinc/41/91/81/263419181.db2.gz OANSUAXCOUNCEH-VHSXEESVSA-N -1 1 307.774 1.917 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3=Cc4ccccc4OC3)nc2n1 ZINC000643909624 423402009 /nfs/dbraw/zinc/40/20/09/423402009.db2.gz VPWPYIVUPBTUHG-UHFFFAOYSA-N -1 1 323.312 1.141 20 0 DDADMM COc1ccc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c(F)c1 ZINC000643909130 423402432 /nfs/dbraw/zinc/40/24/32/423402432.db2.gz GRDRBGXJTKFUOB-UHFFFAOYSA-N -1 1 317.280 1.126 20 0 DDADMM O=C([O-])C[C@H]1CCCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000653319524 423439373 /nfs/dbraw/zinc/43/93/73/423439373.db2.gz RVNVIYFBDYOVBT-CYBMUJFWSA-N -1 1 314.345 1.941 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1CCc2cc(Br)ccc21 ZINC000648779459 423460422 /nfs/dbraw/zinc/46/04/22/423460422.db2.gz QNDDTMFIGLZYOF-SNVBAGLBSA-N -1 1 322.166 1.308 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C(C)C)N1C[C@@H](C)O[C@@H](C)C1 ZINC000646409793 423478669 /nfs/dbraw/zinc/47/86/69/423478669.db2.gz YHCZBPUHNULQSG-GBIKHYSHSA-N -1 1 310.398 1.496 20 0 DDADMM COc1cc(CC(=O)Nc2c(C)[n-][nH]c2=O)cc(OC)c1OC ZINC000646411582 423479473 /nfs/dbraw/zinc/47/94/73/423479473.db2.gz KLDJQZNYEVRZCX-UHFFFAOYSA-N -1 1 321.333 1.631 20 0 DDADMM O=C(C(=O)N1C[C@H](CO)Cc2ccccc21)c1ccc([O-])cc1 ZINC000646533061 423524435 /nfs/dbraw/zinc/52/44/35/423524435.db2.gz RLWGVNDCWPMKJN-GFCCVEGCSA-N -1 1 311.337 1.773 20 0 DDADMM c1c(CN=c2[n-]ncn2Cc2ccccc2)nn2c1CCCC2 ZINC000653498881 423500740 /nfs/dbraw/zinc/50/07/40/423500740.db2.gz QGFCAGUDJHOHBV-UHFFFAOYSA-N -1 1 308.389 1.893 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)CCC(C)(C)C)o1 ZINC000649026817 423591720 /nfs/dbraw/zinc/59/17/20/423591720.db2.gz HGBXOCJBVBSAIM-UHFFFAOYSA-N -1 1 302.396 1.696 20 0 DDADMM COc1cc(CN[C@@H](C(=O)[O-])c2ccnn2C)cc2c1OCO2 ZINC000644598061 423719403 /nfs/dbraw/zinc/71/94/03/423719403.db2.gz HXFDPVYTAINKMQ-CYBMUJFWSA-N -1 1 319.317 1.073 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCC2(CO)CCC2)sc1C ZINC000651907226 423735902 /nfs/dbraw/zinc/73/59/02/423735902.db2.gz FKSCKDDJANWJRU-UHFFFAOYSA-N -1 1 304.437 1.591 20 0 DDADMM CC(=O)N1CC[C@H](C[N-]S(=O)(=O)c2ccc(C(F)F)o2)C1 ZINC000641604125 423749255 /nfs/dbraw/zinc/74/92/55/423749255.db2.gz MGCAIMJWLFSCLM-SECBINFHSA-N -1 1 322.333 1.364 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cc(C(N)=O)ccc1F)C(C)C ZINC000647128399 423767348 /nfs/dbraw/zinc/76/73/48/423767348.db2.gz AJARJAHOFVUDJP-LBPRGKRZSA-N -1 1 318.370 1.337 20 0 DDADMM C[C@H](NC(=O)[C@@H](N)c1ccccc1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000656856028 423798810 /nfs/dbraw/zinc/79/88/10/423798810.db2.gz XIZCLHLQEBJUPV-DEQVHRJGSA-N -1 1 304.390 1.938 20 0 DDADMM CCN1CCN(c2ccccc2NC(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC000649465092 423931660 /nfs/dbraw/zinc/93/16/60/423931660.db2.gz NSCYMONRKOYAOG-CHWSQXEVSA-N -1 1 317.389 1.488 20 0 DDADMM CC(C)(CO)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656940701 423901933 /nfs/dbraw/zinc/90/19/33/423901933.db2.gz ZEOHFBAEPOMNPZ-UHFFFAOYSA-N -1 1 323.212 1.141 20 0 DDADMM O=C(c1cnc2[nH]cnc2c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644883855 424010252 /nfs/dbraw/zinc/01/02/52/424010252.db2.gz FPIXZIVCWPKVRV-QMMMGPOBSA-N -1 1 314.305 1.066 20 0 DDADMM O=C([O-])c1ccc(N2CCC[C@H](CN3CCOCC3)C2)nc1 ZINC000647454360 424034564 /nfs/dbraw/zinc/03/45/64/424034564.db2.gz MMMXRDBUUVCOTE-CYBMUJFWSA-N -1 1 305.378 1.328 20 0 DDADMM O=C([O-])c1ccc(N2CCC[C@@H](CN3CCOCC3)C2)nc1 ZINC000647454361 424035155 /nfs/dbraw/zinc/03/51/55/424035155.db2.gz MMMXRDBUUVCOTE-ZDUSSCGKSA-N -1 1 305.378 1.328 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@H]2C=CCCC2)c(C(F)(F)F)n1 ZINC000645079974 424146723 /nfs/dbraw/zinc/14/67/23/424146723.db2.gz ZCCJSKUWGROGIZ-QMMMGPOBSA-N -1 1 309.313 1.826 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2coc(S(N)(=O)=O)c2)n1 ZINC000657257179 424249277 /nfs/dbraw/zinc/24/92/77/424249277.db2.gz QEZBBZZVIHMDEP-UHFFFAOYSA-N -1 1 315.376 1.277 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)Cc1ccccn1 ZINC000655309565 424387058 /nfs/dbraw/zinc/38/70/58/424387058.db2.gz RCMLTKUUFGPLEY-SECBINFHSA-N -1 1 318.402 1.969 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC[C@@H](Nc3ccccc3)C2)C1=O ZINC000662212135 424480539 /nfs/dbraw/zinc/48/05/39/424480539.db2.gz VEGYLEZXBMARCZ-CABCVRRESA-N -1 1 317.389 1.248 20 0 DDADMM CCN([C@H](C)c1ccc(F)cc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662201126 424465371 /nfs/dbraw/zinc/46/53/71/424465371.db2.gz GYDQIDOTZFOYGE-RISCZKNCSA-N -1 1 308.353 1.894 20 0 DDADMM CCOc1ccc(CN(C)[C@@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662199836 424465428 /nfs/dbraw/zinc/46/54/28/424465428.db2.gz DVOGRARUYKIPGW-CQSZACIVSA-N -1 1 306.362 1.203 20 0 DDADMM COc1c(C)cc(CN(C)[C@H]2CCN(CC(=O)[O-])C2=O)cc1C ZINC000662202028 424467378 /nfs/dbraw/zinc/46/73/78/424467378.db2.gz MTSJYBOEBLHIBL-AWEZNQCLSA-N -1 1 320.389 1.429 20 0 DDADMM COc1ccc([C@@H](C)N(C)[C@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662201691 424467529 /nfs/dbraw/zinc/46/75/29/424467529.db2.gz KNPIOGQCAOVUIA-RISCZKNCSA-N -1 1 306.362 1.374 20 0 DDADMM CN(Cc1ccc2ccccc2c1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662202580 424469691 /nfs/dbraw/zinc/46/96/91/424469691.db2.gz ZWRPGPFWZPRPGD-MRXNPFEDSA-N -1 1 312.369 1.957 20 0 DDADMM O=C([O-])[C@H](CNC(=O)N[C@H]1CCc2[nH]cnc2C1)C1CCC1 ZINC000665312253 424780032 /nfs/dbraw/zinc/78/00/32/424780032.db2.gz HRNCDGWGRSCKON-WDEREUQCSA-N -1 1 306.366 1.067 20 0 DDADMM CN(CCC(=O)N1CCc2ccccc21)Cc1n[n-]c(=O)o1 ZINC000660843505 424785504 /nfs/dbraw/zinc/78/55/04/424785504.db2.gz ZTMSWCSUEWTUBJ-UHFFFAOYSA-N -1 1 302.334 1.186 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CCCC(F)(F)C1 ZINC000344159467 271959496 /nfs/dbraw/zinc/95/94/96/271959496.db2.gz ZDMYWIABLFDUCB-VIFPVBQESA-N -1 1 313.304 1.211 20 0 DDADMM COc1cc(C(=O)N[C@@H](CO)[C@H]2CCCO2)cc(Cl)c1[O-] ZINC000344909097 272138295 /nfs/dbraw/zinc/13/82/95/272138295.db2.gz QPDJCTITOJOENV-WDEREUQCSA-N -1 1 315.753 1.324 20 0 DDADMM C[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@@H](C)S1(=O)=O ZINC000291371450 288011815 /nfs/dbraw/zinc/01/18/15/288011815.db2.gz WEOXFCHRTDKMKP-HTQZYQBOSA-N -1 1 319.329 1.318 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC2(COC(=O)N2)CC1 ZINC000495262461 280183488 /nfs/dbraw/zinc/18/34/88/280183488.db2.gz AVBSIXSCNBRTPO-UHFFFAOYSA-N -1 1 310.737 1.760 20 0 DDADMM CC(C)(C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000128484160 281312522 /nfs/dbraw/zinc/31/25/22/281312522.db2.gz VWIHLMACBUDVCK-UHFFFAOYSA-N -1 1 308.363 1.125 20 0 DDADMM CC(C)(C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000128484160 281312523 /nfs/dbraw/zinc/31/25/23/281312523.db2.gz VWIHLMACBUDVCK-UHFFFAOYSA-N -1 1 308.363 1.125 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H]1CCCS1 ZINC000130163908 281369760 /nfs/dbraw/zinc/36/97/60/281369760.db2.gz QWNMBWCQDIUYAK-NSHDSACASA-N -1 1 320.418 1.194 20 0 DDADMM CCC[C@H](NC(=O)COc1ccsc1Cl)c1nn[n-]n1 ZINC000354010277 298338650 /nfs/dbraw/zinc/33/86/50/298338650.db2.gz MONRKFLLPRKQCX-ZETCQYMHSA-N -1 1 315.786 1.951 20 0 DDADMM CCC[C@H](NC(=O)c1cccc2ccc(=O)[nH]c21)c1nn[n-]n1 ZINC000362950060 300186837 /nfs/dbraw/zinc/18/68/37/300186837.db2.gz XIVJWXBGBAWBKH-NSHDSACASA-N -1 1 312.333 1.725 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@H]1C[C@@H]1c1nnc[nH]1 ZINC000363901295 300323316 /nfs/dbraw/zinc/32/33/16/300323316.db2.gz ZPZXRBIBNYTYIB-WDSKDSINSA-N -1 1 324.138 1.410 20 0 DDADMM NC(=O)c1ccccc1N1CCC[C@H]([N-]C(=O)C(F)(F)F)C1 ZINC000369136540 301135509 /nfs/dbraw/zinc/13/55/09/301135509.db2.gz GZNUBFIQEVTKMK-VIFPVBQESA-N -1 1 315.295 1.433 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@H]1CC(=O)N(Cc2ccco2)C1 ZINC000370270427 301306061 /nfs/dbraw/zinc/30/60/61/301306061.db2.gz IWUZQZFWPCRUOJ-QMMMGPOBSA-N -1 1 306.240 1.238 20 0 DDADMM COCCOCC(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000370538515 301359794 /nfs/dbraw/zinc/35/97/94/301359794.db2.gz LZUYEUJZYQDLPA-UHFFFAOYSA-N -1 1 321.373 1.477 20 0 DDADMM O=C1CC[C@@H](N=c2nc(C(F)(F)F)[n-]s2)C2(CCC2)N1 ZINC000376395357 302071867 /nfs/dbraw/zinc/07/18/67/302071867.db2.gz MYGMNQHOHXPJKN-ZCFIWIBFSA-N -1 1 306.313 1.592 20 0 DDADMM NC(=O)[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)c1cc(F)ccc1[O-] ZINC000376824813 302108788 /nfs/dbraw/zinc/10/87/88/302108788.db2.gz XZIWWVXWCWKFDL-WQAKAFBOSA-N -1 1 306.337 1.790 20 0 DDADMM CC(C)(CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000377608792 302198423 /nfs/dbraw/zinc/19/84/23/302198423.db2.gz PBOWCKPVXSCFGA-CYBMUJFWSA-N -1 1 315.377 1.468 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC=C(c2ccc(F)cc2)C1 ZINC000377884187 302246493 /nfs/dbraw/zinc/24/64/93/302246493.db2.gz MXJMFCHMAWJYMW-UHFFFAOYSA-N -1 1 323.349 1.623 20 0 DDADMM CNC(=O)NC1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000396849985 302349925 /nfs/dbraw/zinc/34/99/25/302349925.db2.gz NBKONRRKKDSNEE-UHFFFAOYSA-N -1 1 311.769 1.579 20 0 DDADMM CCOc1cc(C(=O)NCc2cnn(CC)n2)cc(Cl)c1[O-] ZINC000529594303 303139809 /nfs/dbraw/zinc/13/98/09/303139809.db2.gz FDHCQYBPJFYYKC-UHFFFAOYSA-N -1 1 324.768 1.986 20 0 DDADMM C[C@@H]1CN(Cc2ccc(-n3ccnc3)cc2)C[C@@H](C(=O)[O-])O1 ZINC000530170478 303179018 /nfs/dbraw/zinc/17/90/18/303179018.db2.gz QDUPMBSTGQMZGR-DOMZBBRYSA-N -1 1 301.346 1.546 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CCC12CCC2 ZINC000530637884 303206305 /nfs/dbraw/zinc/20/63/05/303206305.db2.gz WXIZKUHTGALUJT-UHFFFAOYSA-N -1 1 324.384 1.838 20 0 DDADMM O=S(=O)([N-]Cc1nnc2n1CCC2)c1cc2ccccc2o1 ZINC000531485840 303246885 /nfs/dbraw/zinc/24/68/85/303246885.db2.gz BYFRGDCCKRPJGU-UHFFFAOYSA-N -1 1 318.358 1.449 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCOC(C)C)C1CCCCC1 ZINC000532297765 303281587 /nfs/dbraw/zinc/28/15/87/303281587.db2.gz LZFAOQJZERSDCT-CYBMUJFWSA-N -1 1 321.439 1.453 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2cncc(Cl)c2)o1 ZINC000532858105 303299249 /nfs/dbraw/zinc/29/92/49/303299249.db2.gz DYBOKIKCLFPAJI-LURJTMIESA-N -1 1 302.743 1.466 20 0 DDADMM COCc1ccsc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000537607487 303376197 /nfs/dbraw/zinc/37/61/97/303376197.db2.gz ZDWZXVHLNRLWJJ-VIFPVBQESA-N -1 1 307.379 1.427 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H](C)c2nc[nH]n2)c(=O)[n-]1 ZINC000538862757 303391625 /nfs/dbraw/zinc/39/16/25/303391625.db2.gz RBPQPVIYJXPFJM-MRVPVSSYSA-N -1 1 322.394 1.141 20 0 DDADMM COC[C@](C)(O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000545214243 303484135 /nfs/dbraw/zinc/48/41/35/303484135.db2.gz YMTUYQZQUFFEOF-LLVKDONJSA-N -1 1 311.762 1.155 20 0 DDADMM CCCOc1cccnc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000365289022 307063783 /nfs/dbraw/zinc/06/37/83/307063783.db2.gz LWBPRKCEBUYFOF-NSHDSACASA-N -1 1 316.365 1.403 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(C)c1 ZINC000372349572 307168293 /nfs/dbraw/zinc/16/82/93/307168293.db2.gz JWLFWCOEQWVVEQ-GFCCVEGCSA-N -1 1 301.350 1.339 20 0 DDADMM CN1C[C@H]2CN(C(=O)c3cc(Cl)ccc3[O-])CCN2C1=O ZINC000373295525 307183526 /nfs/dbraw/zinc/18/35/26/307183526.db2.gz WEOBTAPDIUGCBU-JTQLQIEISA-N -1 1 309.753 1.237 20 0 DDADMM CC[C@@H]1CCCC[C@H]1OCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000373316256 307184485 /nfs/dbraw/zinc/18/44/85/307184485.db2.gz DQDLZTMFUIHKMG-HZSPNIEDSA-N -1 1 321.425 1.891 20 0 DDADMM Cc1cc(NC(=O)N=c2[n-]nc(-c3nccn3C)s2)n[nH]1 ZINC000373814257 307195767 /nfs/dbraw/zinc/19/57/67/307195767.db2.gz HWJFYTRLGNELEK-UHFFFAOYSA-N -1 1 304.339 1.036 20 0 DDADMM O=C(N[C@@H]1CC[C@@H]2CCCC[C@@H]2C1)c1cc(=O)n2[n-]cnc2n1 ZINC000546068495 307725190 /nfs/dbraw/zinc/72/51/90/307725190.db2.gz ZPRYFPZJHNIARB-QJPTWQEYSA-N -1 1 315.377 1.506 20 0 DDADMM CO[C@@H]1C[C@@H](C(=O)[O-])N(C[C@@H](O)COc2cc(C)cc(C)c2)C1 ZINC000566075217 308042635 /nfs/dbraw/zinc/04/26/35/308042635.db2.gz ZDJOTVUCZWDAKA-BMFZPTHFSA-N -1 1 323.389 1.217 20 0 DDADMM CCNC(=O)[C@@H]([N-]S(=O)(=O)c1cc2ccccc2o1)C(C)C ZINC000566790096 308063066 /nfs/dbraw/zinc/06/30/66/308063066.db2.gz YMBRNBJLMSPHHP-AWEZNQCLSA-N -1 1 324.402 1.872 20 0 DDADMM CCC1CCC(CNS(=O)(=O)c2c(C)o[n-]c2=N)CC1 ZINC000571006277 308188883 /nfs/dbraw/zinc/18/88/83/308188883.db2.gz DETUYPIZTRTWMN-UHFFFAOYSA-N -1 1 301.412 1.890 20 0 DDADMM CCC1CCC(C[N-]S(=O)(=O)c2c(C)onc2N)CC1 ZINC000571006277 308188884 /nfs/dbraw/zinc/18/88/84/308188884.db2.gz DETUYPIZTRTWMN-UHFFFAOYSA-N -1 1 301.412 1.890 20 0 DDADMM CC(C)c1nc(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)cn1C ZINC000572100543 308210970 /nfs/dbraw/zinc/21/09/70/308210970.db2.gz DNMQSCNIUBAHEV-UHFFFAOYSA-N -1 1 324.410 1.730 20 0 DDADMM COC[C@@H](NS(=O)(=O)c1cc(C(=O)[O-])co1)c1ccco1 ZINC000572360411 308219032 /nfs/dbraw/zinc/21/90/32/308219032.db2.gz WSYOBBFEDGJRMI-SECBINFHSA-N -1 1 315.303 1.237 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000572935191 308226624 /nfs/dbraw/zinc/22/66/24/308226624.db2.gz WZSKBDNSWXPZMA-OAHLLOKOSA-N -1 1 319.317 1.233 20 0 DDADMM Cc1cc(N2CC[C@@H](Sc3ncc(C)c(=O)[n-]3)C2=O)n(C)n1 ZINC000576649339 308330209 /nfs/dbraw/zinc/33/02/09/308330209.db2.gz LOSSGQGZOLWLDV-SNVBAGLBSA-N -1 1 319.390 1.430 20 0 DDADMM C[C@@H]1C[C@H](CN=c2ccc(C(=O)NC3CCCC3)n[n-]2)[C@H](C)O1 ZINC000578725244 308480040 /nfs/dbraw/zinc/48/00/40/308480040.db2.gz JZBXTIBDIMGVSA-FRRDWIJNSA-N -1 1 318.421 1.796 20 0 DDADMM C[C@H]1CCN(C(=O)NCc2n[nH]c(C(C)(C)C)n2)C[C@H]1C(=O)[O-] ZINC000582783684 337160206 /nfs/dbraw/zinc/16/02/06/337160206.db2.gz QLPMKBZAHZJFPY-VHSXEESVSA-N -1 1 323.397 1.354 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1Cc1cc(=O)[nH]c(C2CC2)n1 ZINC000583209299 337272541 /nfs/dbraw/zinc/27/25/41/337272541.db2.gz LADVCXGHNBSOLB-NSHDSACASA-N -1 1 309.391 1.452 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2cccc(F)c2F)CC1 ZINC000583268634 337295826 /nfs/dbraw/zinc/29/58/26/337295826.db2.gz MXPGZQCNVXOAFE-UHFFFAOYSA-N -1 1 305.302 1.339 20 0 DDADMM COCc1cccc(CC(=O)Nc2[nH]cnc2C(=O)OC)c1 ZINC000583880268 337375619 /nfs/dbraw/zinc/37/56/19/337375619.db2.gz SAQDVKLVICBTMF-UHFFFAOYSA-N -1 1 303.318 1.524 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)c1cccc(OCC(=O)[O-])c1 ZINC000663045522 484623665 /nfs/dbraw/zinc/62/36/65/484623665.db2.gz WLSKGFJTXGKTKW-OAHLLOKOSA-N -1 1 320.389 1.562 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)[C@]2(C(=O)[O-])CC2(C)C)C[C@@H](C)O1 ZINC000663109140 484674070 /nfs/dbraw/zinc/67/40/70/484674070.db2.gz KETUWNBPCWKNDD-HSMVNMDESA-N -1 1 312.410 1.103 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@]1(C(=O)[O-])CC1(C)C)c1ccsc1 ZINC000663112843 484678609 /nfs/dbraw/zinc/67/86/09/484678609.db2.gz BUMCIIYYMQHLII-XHDPSFHLSA-N -1 1 310.419 1.968 20 0 DDADMM CCCCCc1cc(C(=O)N2CC[C@@H](OC)C[C@@H]2C(=O)[O-])n[nH]1 ZINC000659222232 484696597 /nfs/dbraw/zinc/69/65/97/484696597.db2.gz RLOGXXRQFBQSMF-TZMCWYRMSA-N -1 1 323.393 1.847 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000663270615 484777289 /nfs/dbraw/zinc/77/72/89/484777289.db2.gz CRGTVMIUACDAPT-HNNXBMFYSA-N -1 1 319.405 1.767 20 0 DDADMM Cc1c(N[C@@H](C)C(C)C)cccc1C(=O)NCc1nn[n-]n1 ZINC000673837415 485454017 /nfs/dbraw/zinc/45/40/17/485454017.db2.gz HVMLDUNKOQJPMO-NSHDSACASA-N -1 1 302.382 1.895 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CCO[C@H](C)C2)c(F)c1 ZINC000678301685 485534027 /nfs/dbraw/zinc/53/40/27/485534027.db2.gz FNZVPKZLSMRYRZ-BDAKNGLRSA-N -1 1 321.345 1.819 20 0 DDADMM CC(=O)N[C@H](C)c1ccc(S(=O)(=O)[N-]CC(F)F)s1 ZINC000674416882 485631138 /nfs/dbraw/zinc/63/11/38/485631138.db2.gz VVVVCUJXWLHALE-ZCFIWIBFSA-N -1 1 312.363 1.489 20 0 DDADMM COc1ccccc1-c1cc([N-]S(=O)(=O)N2CCCC2)n[nH]1 ZINC000674755426 485718867 /nfs/dbraw/zinc/71/88/67/485718867.db2.gz YVBZKNHUDIDAGH-UHFFFAOYSA-N -1 1 322.390 1.838 20 0 DDADMM O=C(Cc1ccc(O)cc1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000675414450 485934527 /nfs/dbraw/zinc/93/45/27/485934527.db2.gz SODDLSSUUJYWAH-OAHLLOKOSA-N -1 1 309.329 1.354 20 0 DDADMM COc1cc(C(=O)NCc2nn[n-]n2)cc(OC)c1C(C)C ZINC000679672432 485968426 /nfs/dbraw/zinc/96/84/26/485968426.db2.gz QAXYDBWDNCYRLJ-UHFFFAOYSA-N -1 1 305.338 1.270 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)C(C)(C)C(N)=O)c1 ZINC000683499828 486027664 /nfs/dbraw/zinc/02/76/64/486027664.db2.gz NRMMUAUZULMUFV-UHFFFAOYSA-N -1 1 315.167 1.913 20 0 DDADMM COc1cc(NC(=O)c2n[nH]c(C)c2[O-])ccc1NC(C)=O ZINC000676630768 486274007 /nfs/dbraw/zinc/27/40/07/486274007.db2.gz DMDKIDIJQURVML-UHFFFAOYSA-N -1 1 304.306 1.643 20 0 DDADMM Cc1nc2c(cccc2C(=O)NC2(c3nn[n-]n3)CCCC2)o1 ZINC000684283426 486312807 /nfs/dbraw/zinc/31/28/07/486312807.db2.gz FWWAZFHBWKRGDN-UHFFFAOYSA-N -1 1 312.333 1.849 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(c2ncccn2)CC1 ZINC000681015946 486323713 /nfs/dbraw/zinc/32/37/13/486323713.db2.gz VXMLRTSHHRVRSM-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM O=C(N[C@H]1CCCc2c1cnn2CCO)c1ccc([O-])c(F)c1 ZINC000681059468 486334400 /nfs/dbraw/zinc/33/44/00/486334400.db2.gz TWHOUYGHGKPUEA-ZDUSSCGKSA-N -1 1 319.336 1.528 20 0 DDADMM C[C@]1(O)CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000684537777 486378466 /nfs/dbraw/zinc/37/84/66/486378466.db2.gz ARHOEZXFRGUCTE-INIZCTEOSA-N -1 1 301.346 1.300 20 0 DDADMM CC(C)n1cnc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000684998710 486483207 /nfs/dbraw/zinc/48/32/07/486483207.db2.gz JYZAGHKMIROHMZ-CYBMUJFWSA-N -1 1 311.349 1.497 20 0 DDADMM Cc1cnc(C(=O)N(CCO)CCc2ccccc2)c([O-])c1 ZINC000681742676 486508507 /nfs/dbraw/zinc/50/85/07/486508507.db2.gz MCWQKDYYKQXRDG-UHFFFAOYSA-N -1 1 300.358 1.773 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(c2ccc(F)cc2F)CCCC1 ZINC000677741520 486534801 /nfs/dbraw/zinc/53/48/01/486534801.db2.gz KPNFGRBZHGTMMK-UHFFFAOYSA-N -1 1 307.304 1.606 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCc2cc(O)ccc2C1 ZINC000685509386 486555156 /nfs/dbraw/zinc/55/51/56/486555156.db2.gz HERQRNPHCXNBRP-NSHDSACASA-N -1 1 323.374 1.107 20 0 DDADMM CCc1cccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1O ZINC000677925190 486579701 /nfs/dbraw/zinc/57/97/01/486579701.db2.gz BZDOSFYLILNXDP-NSHDSACASA-N -1 1 301.350 1.488 20 0 DDADMM CNC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000075471027 490589534 /nfs/dbraw/zinc/58/95/34/490589534.db2.gz BIMDLDGVEGZDEC-NSHDSACASA-N -1 1 320.361 1.404 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C[C@H]2CCOC[C@H]2OC)c1 ZINC000451463095 534230967 /nfs/dbraw/zinc/23/09/67/534230967.db2.gz WOQPAGNWPGIVHM-QMTHXVAHSA-N -1 1 323.345 1.559 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C(C)(C)COC(C)C)c1 ZINC000416628636 534319369 /nfs/dbraw/zinc/31/93/69/534319369.db2.gz XXWQMMOVIPHGBN-UHFFFAOYSA-N -1 1 319.379 1.548 20 0 DDADMM O=C(OCCCF)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000496278997 518201649 /nfs/dbraw/zinc/20/16/49/518201649.db2.gz WSUDEESBPYMQMX-VIFPVBQESA-N -1 1 314.279 1.873 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2ccn3ccnc3c2)sc1C ZINC000435216824 534518084 /nfs/dbraw/zinc/51/80/84/534518084.db2.gz NVSYXIQCJTZQPS-UHFFFAOYSA-N -1 1 322.415 1.886 20 0 DDADMM Cc1nc(SCCCOC(=O)NC(N)=O)[n-]c(=O)c1C ZINC000494523162 534528931 /nfs/dbraw/zinc/52/89/31/534528931.db2.gz MLOHVWCEPJGCEC-UHFFFAOYSA-N -1 1 300.340 1.086 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(C(C)C)[nH]n2)co1 ZINC000130816447 522270307 /nfs/dbraw/zinc/27/03/07/522270307.db2.gz OGRNFTAIWGHALM-UHFFFAOYSA-N -1 1 312.351 1.287 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCOCC1CC1 ZINC000434265853 534645743 /nfs/dbraw/zinc/64/57/43/534645743.db2.gz XZNRLJQQSROMGA-UHFFFAOYSA-N -1 1 318.377 1.485 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCO[C@@H](CF)C1 ZINC000412439841 534692755 /nfs/dbraw/zinc/69/27/55/534692755.db2.gz VICPKZYHQSQZOT-VIFPVBQESA-N -1 1 318.142 1.965 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1cc(F)cc(F)c1F ZINC000431177225 534732371 /nfs/dbraw/zinc/73/23/71/534732371.db2.gz GLHRATWBIWHCGX-UHFFFAOYSA-N -1 1 305.281 1.947 20 0 DDADMM N=c1nc(N2CCN(C(=O)C=Cc3ccccc3)CC2)s[n-]1 ZINC000492086543 534841435 /nfs/dbraw/zinc/84/14/35/534841435.db2.gz OQGPOWFAHNDQDY-SREVYHEPSA-N -1 1 315.402 1.313 20 0 DDADMM CC(C)(C)[C@H]([N-]S(=O)(=O)c1sccc1Cl)C(N)=O ZINC000451174350 526710787 /nfs/dbraw/zinc/71/07/87/526710787.db2.gz ZGUFDUQPAPCIHK-SSDOTTSWSA-N -1 1 310.828 1.580 20 0 DDADMM CC(C)OC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)C(C)C ZINC000451846525 527846305 /nfs/dbraw/zinc/84/63/05/527846305.db2.gz WQOSDMXMTFIDEB-RYUDHWBXSA-N -1 1 307.412 1.061 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)NCCCCCC(=O)[O-])n[nH]1 ZINC000414632028 528299836 /nfs/dbraw/zinc/29/98/36/528299836.db2.gz XCWDPJCOCOGRFN-SNVBAGLBSA-N -1 1 311.386 1.933 20 0 DDADMM CCOC1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CCCC1 ZINC000292916029 528472509 /nfs/dbraw/zinc/47/25/09/528472509.db2.gz XMYVTPGSPJNTEM-UHFFFAOYSA-N -1 1 321.830 1.701 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cccc2cccnc21)c1nn[n-]n1 ZINC000434782374 528975094 /nfs/dbraw/zinc/97/50/94/528975094.db2.gz FVVSTRFLBFCGIS-CYBMUJFWSA-N -1 1 310.361 1.948 20 0 DDADMM CCC1(CC)CN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000294563627 529076751 /nfs/dbraw/zinc/07/67/51/529076751.db2.gz XBJROPSAYXWNSS-UHFFFAOYSA-N -1 1 300.380 1.450 20 0 DDADMM CC[C@H](C)N(OC)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000270851187 536145091 /nfs/dbraw/zinc/14/50/91/536145091.db2.gz JYZXMXQLACSDIV-VIFPVBQESA-N -1 1 306.366 1.370 20 0 DDADMM CN(C1CC1)S(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000736778553 598874166 /nfs/dbraw/zinc/87/41/66/598874166.db2.gz IBPVCWKYDWLVBC-UHFFFAOYSA-N -1 1 313.770 1.303 20 0 DDADMM CN(C1CC1)S(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000736778553 598874167 /nfs/dbraw/zinc/87/41/67/598874167.db2.gz IBPVCWKYDWLVBC-UHFFFAOYSA-N -1 1 313.770 1.303 20 0 DDADMM O=C(NCCOc1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738085417 598982845 /nfs/dbraw/zinc/98/28/45/598982845.db2.gz IRVRVDWEUSZVSY-UHFFFAOYSA-N -1 1 310.317 1.071 20 0 DDADMM O=C(NCCOc1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738085417 598982847 /nfs/dbraw/zinc/98/28/47/598982847.db2.gz IRVRVDWEUSZVSY-UHFFFAOYSA-N -1 1 310.317 1.071 20 0 DDADMM C[C@H](c1cccnc1)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736205318 598998529 /nfs/dbraw/zinc/99/85/29/598998529.db2.gz VJAFRDCWTRQBNI-SNVBAGLBSA-N -1 1 309.333 1.490 20 0 DDADMM C[C@H](c1cccnc1)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736205318 598998531 /nfs/dbraw/zinc/99/85/31/598998531.db2.gz VJAFRDCWTRQBNI-SNVBAGLBSA-N -1 1 309.333 1.490 20 0 DDADMM CC(C)(C)[C@@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735595249 599002856 /nfs/dbraw/zinc/00/28/56/599002856.db2.gz FFMQLUFUZHHWAI-LBPRGKRZSA-N -1 1 300.366 1.912 20 0 DDADMM CC(C)(C)[C@@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735595249 599002858 /nfs/dbraw/zinc/00/28/58/599002858.db2.gz FFMQLUFUZHHWAI-LBPRGKRZSA-N -1 1 300.366 1.912 20 0 DDADMM Cn1cccc1[C@@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737611106 599020445 /nfs/dbraw/zinc/02/04/45/599020445.db2.gz DCYQEUDZDVPCFB-AWEZNQCLSA-N -1 1 323.360 1.578 20 0 DDADMM Cn1cccc1[C@@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737611106 599020447 /nfs/dbraw/zinc/02/04/47/599020447.db2.gz DCYQEUDZDVPCFB-AWEZNQCLSA-N -1 1 323.360 1.578 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC(c2ncc[nH]2)CC1 ZINC000738258154 599027552 /nfs/dbraw/zinc/02/75/52/599027552.db2.gz FZHGZHIIMHFXDH-UHFFFAOYSA-N -1 1 324.348 1.005 20 0 DDADMM Brc1cncc(Oc2nccnc2-c2nn[n-]n2)c1 ZINC000735375484 599060518 /nfs/dbraw/zinc/06/05/18/599060518.db2.gz MCELPMLEMICNNR-UHFFFAOYSA-N -1 1 320.110 1.607 20 0 DDADMM C[C@]1(c2ccccc2)C[C@@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736224256 599093567 /nfs/dbraw/zinc/09/35/67/599093567.db2.gz RFBAIAFWXOVWKS-WMLDXEAASA-N -1 1 320.356 1.722 20 0 DDADMM C[C@]1(c2ccccc2)C[C@@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736224256 599093570 /nfs/dbraw/zinc/09/35/70/599093570.db2.gz RFBAIAFWXOVWKS-WMLDXEAASA-N -1 1 320.356 1.722 20 0 DDADMM CCO[C@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)C12CCCC2 ZINC000821358519 599100952 /nfs/dbraw/zinc/10/09/52/599100952.db2.gz JKWSOXDCESNAER-MNOVXSKESA-N -1 1 315.381 1.806 20 0 DDADMM CCO[C@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)C12CCCC2 ZINC000821358519 599100955 /nfs/dbraw/zinc/10/09/55/599100955.db2.gz JKWSOXDCESNAER-MNOVXSKESA-N -1 1 315.381 1.806 20 0 DDADMM CC(C)c1noc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000735954221 599135931 /nfs/dbraw/zinc/13/59/31/599135931.db2.gz VTMOBEBHMWWRQI-UHFFFAOYSA-N -1 1 315.293 1.125 20 0 DDADMM CC(C)c1noc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000735954221 599135932 /nfs/dbraw/zinc/13/59/32/599135932.db2.gz VTMOBEBHMWWRQI-UHFFFAOYSA-N -1 1 315.293 1.125 20 0 DDADMM O=C(OCc1nnsc1Cl)c1ccc(-c2nnn[n-]2)nc1 ZINC000738252295 599142556 /nfs/dbraw/zinc/14/25/56/599142556.db2.gz YVHXYSOQEIWIHY-UHFFFAOYSA-N -1 1 323.725 1.124 20 0 DDADMM O=C(OCc1nnsc1Cl)c1ccc(-c2nn[n-]n2)nc1 ZINC000738252295 599142559 /nfs/dbraw/zinc/14/25/59/599142559.db2.gz YVHXYSOQEIWIHY-UHFFFAOYSA-N -1 1 323.725 1.124 20 0 DDADMM C[C@@H](CC(=O)n1ncc(-c2nn[n-]n2)c1N)c1cccc(F)c1 ZINC000735975810 599182993 /nfs/dbraw/zinc/18/29/93/599182993.db2.gz JILMESKBRJKMOS-QMMMGPOBSA-N -1 1 315.312 1.619 20 0 DDADMM COCc1ccccc1CNC(=O)CN1CCC(C(=O)[O-])CC1 ZINC000739847780 596918104 /nfs/dbraw/zinc/91/81/04/596918104.db2.gz XZJLROVJOOPZCS-UHFFFAOYSA-N -1 1 320.389 1.246 20 0 DDADMM CC(C)C[C@@H]1NC(=O)N(CN2CCC[C@@H](CC(=O)[O-])C2)C1=O ZINC000817869090 597041679 /nfs/dbraw/zinc/04/16/79/597041679.db2.gz YUXDXRBPYRLUMY-RYUDHWBXSA-N -1 1 311.382 1.097 20 0 DDADMM C[C@H](NCC(=O)Nc1ccc(Br)cc1)C(=O)[O-] ZINC000035388696 597065111 /nfs/dbraw/zinc/06/51/11/597065111.db2.gz UFLLRFIJAXIGNJ-ZETCQYMHSA-N -1 1 301.140 1.450 20 0 DDADMM O=C([O-])[C@@H]1CCN(CC(=O)N[C@H]2CCOc3ccccc32)C1 ZINC000819151732 597526401 /nfs/dbraw/zinc/52/64/01/597526401.db2.gz SANLYWBWYMZSHP-YPMHNXCESA-N -1 1 304.346 1.033 20 0 DDADMM CC(=O)NCCN(Cc1cc(Cl)ccc1F)[C@@H](C)C(=O)[O-] ZINC000263382369 597703526 /nfs/dbraw/zinc/70/35/26/597703526.db2.gz CACZDFMGWWNHET-VIFPVBQESA-N -1 1 316.760 1.890 20 0 DDADMM O=C1NCCc2ccc(NCc3ccc(-c4nnn[n-]4)o3)cc21 ZINC000823547624 607318241 /nfs/dbraw/zinc/31/82/41/607318241.db2.gz LRNIZWBYTRLZJD-UHFFFAOYSA-N -1 1 310.317 1.358 20 0 DDADMM O=C1NCCc2ccc(NCc3ccc(-c4nn[n-]n4)o3)cc21 ZINC000823547624 607318242 /nfs/dbraw/zinc/31/82/42/607318242.db2.gz LRNIZWBYTRLZJD-UHFFFAOYSA-N -1 1 310.317 1.358 20 0 DDADMM CC(C)[C@@H](NCC(=O)Nc1cccc2nsnc21)C(=O)[O-] ZINC000035391915 598188300 /nfs/dbraw/zinc/18/83/00/598188300.db2.gz RFVUEJCGLNPJRP-LLVKDONJSA-N -1 1 308.363 1.329 20 0 DDADMM Cc1ccn(Cc2ccc3c(c2)CCC3)c(=O)c1-c1nn[n-]n1 ZINC000822374458 607349862 /nfs/dbraw/zinc/34/98/62/607349862.db2.gz XVWYZMQKBLTTBA-UHFFFAOYSA-N -1 1 307.357 1.874 20 0 DDADMM Cc1nccc([C@H]2CCCN(c3nccnc3-c3nnn[n-]3)C2)n1 ZINC000737499735 598348083 /nfs/dbraw/zinc/34/80/83/598348083.db2.gz NDZDNDMOPWVTCI-NSHDSACASA-N -1 1 323.364 1.139 20 0 DDADMM Cc1nccc([C@H]2CCCN(c3nccnc3-c3nn[n-]n3)C2)n1 ZINC000737499735 598348084 /nfs/dbraw/zinc/34/80/84/598348084.db2.gz NDZDNDMOPWVTCI-NSHDSACASA-N -1 1 323.364 1.139 20 0 DDADMM Cc1nccc(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)n1 ZINC000737503685 598576084 /nfs/dbraw/zinc/57/60/84/598576084.db2.gz XJPBRGRNNGKEQP-UHFFFAOYSA-N -1 1 302.729 1.626 20 0 DDADMM Cc1nccc(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)n1 ZINC000737503685 598576085 /nfs/dbraw/zinc/57/60/85/598576085.db2.gz XJPBRGRNNGKEQP-UHFFFAOYSA-N -1 1 302.729 1.626 20 0 DDADMM CN(C[C@H]1CCCCO1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736793326 598576483 /nfs/dbraw/zinc/57/64/83/598576483.db2.gz KOMXLTRVGHAKLJ-SECBINFHSA-N -1 1 308.773 1.920 20 0 DDADMM CN(C[C@H]1CCCCO1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736793326 598576485 /nfs/dbraw/zinc/57/64/85/598576485.db2.gz KOMXLTRVGHAKLJ-SECBINFHSA-N -1 1 308.773 1.920 20 0 DDADMM CCN(C1CC1)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000736513241 598688504 /nfs/dbraw/zinc/68/85/04/598688504.db2.gz WVLFKKAYBUTKAH-UHFFFAOYSA-N -1 1 311.342 1.179 20 0 DDADMM c1ccc(N2CC[C@H](Nc3cccc(-c4nnn[n-]4)n3)C2)cc1 ZINC000738435200 599432841 /nfs/dbraw/zinc/43/28/41/599432841.db2.gz IRFTZVLGAUVBFB-LBPRGKRZSA-N -1 1 307.361 1.953 20 0 DDADMM c1ccc(N2CC[C@H](Nc3cccc(-c4nn[n-]n4)n3)C2)cc1 ZINC000738435200 599432843 /nfs/dbraw/zinc/43/28/43/599432843.db2.gz IRFTZVLGAUVBFB-LBPRGKRZSA-N -1 1 307.361 1.953 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2N1C[C@H]2CC[C@@H](C1)O2 ZINC000823791320 599686111 /nfs/dbraw/zinc/68/61/11/599686111.db2.gz BDHCOANJERPTEJ-AOOOYVTPSA-N -1 1 309.333 1.178 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2N1C[C@H]2CC[C@@H](C1)O2 ZINC000823791320 599686112 /nfs/dbraw/zinc/68/61/12/599686112.db2.gz BDHCOANJERPTEJ-AOOOYVTPSA-N -1 1 309.333 1.178 20 0 DDADMM C[C@@H]1CN(c2cccc(-c3nnn[n-]3)n2)C[C@@H](C(F)(F)F)O1 ZINC000820774400 599688099 /nfs/dbraw/zinc/68/80/99/599688099.db2.gz CCHVVFGFRBKDJE-APPZFPTMSA-N -1 1 314.271 1.418 20 0 DDADMM C[C@@H]1CN(c2cccc(-c3nn[n-]n3)n2)C[C@@H](C(F)(F)F)O1 ZINC000820774400 599688100 /nfs/dbraw/zinc/68/81/00/599688100.db2.gz CCHVVFGFRBKDJE-APPZFPTMSA-N -1 1 314.271 1.418 20 0 DDADMM O=C([O-])C[C@@H]1CCCC[N@H+]1Cc1c([O-])nnn1-c1ccccc1 ZINC000739639527 599839223 /nfs/dbraw/zinc/83/92/23/599839223.db2.gz OXKHQVIKCWSPIJ-ZDUSSCGKSA-N -1 1 316.361 1.802 20 0 DDADMM C[C@@H](CN(Cc1nc(-c2ccccn2)no1)C1CC1)C(=O)[O-] ZINC000736583427 599948660 /nfs/dbraw/zinc/94/86/60/599948660.db2.gz QTCNPFVKIFFMHE-JTQLQIEISA-N -1 1 302.334 1.817 20 0 DDADMM CCOCCN1CCN(Cc2cc(C(=O)[O-])c(C)o2)C[C@@H]1C ZINC000737177434 599961333 /nfs/dbraw/zinc/96/13/33/599961333.db2.gz OBVZOOQNORLSKB-LBPRGKRZSA-N -1 1 310.394 1.829 20 0 DDADMM CN(C)CC(=O)N[C@H](C(=O)[O-])c1ccc(Br)cc1 ZINC000737361815 600078888 /nfs/dbraw/zinc/07/88/88/600078888.db2.gz WCICWDALGBJFDN-NSHDSACASA-N -1 1 315.167 1.253 20 0 DDADMM C[C@H](O)[C@@H]1CCCCN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000736673347 600090928 /nfs/dbraw/zinc/09/09/28/600090928.db2.gz AYDSBQABAKEFOF-FZMZJTMJSA-N -1 1 306.362 1.559 20 0 DDADMM O=C([O-])CCc1nnc(NC(=O)c2cnc3[nH]cccc2-3)s1 ZINC000739777234 600205810 /nfs/dbraw/zinc/20/58/10/600205810.db2.gz LUXXGRXYGPXNNK-UHFFFAOYSA-N -1 1 317.330 1.684 20 0 DDADMM CC1CCC(NC(=O)CN2CCC3(C[C@@H]3C(=O)[O-])CC2)CC1 ZINC000405500729 600300950 /nfs/dbraw/zinc/30/09/50/600300950.db2.gz SMNKJGUFWRCNAL-JXQTWKCFSA-N -1 1 308.422 1.868 20 0 DDADMM CC(C)CN(C(=O)CN1CCC2(C[C@@H]2C(=O)[O-])CC1)C1CC1 ZINC000736437981 600309385 /nfs/dbraw/zinc/30/93/85/600309385.db2.gz MYJXTVAXJGIWBU-CQSZACIVSA-N -1 1 308.422 1.820 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@H](N3CCCCC3=O)C2)o1 ZINC000740124360 600501820 /nfs/dbraw/zinc/50/18/20/600501820.db2.gz RGWPRNLDWAUEHD-LBPRGKRZSA-N -1 1 306.362 1.955 20 0 DDADMM CCC(C)(C)NC(=O)CN(CCC(=O)[O-])C[C@@H]1CCCO1 ZINC000736859154 600515306 /nfs/dbraw/zinc/51/53/06/600515306.db2.gz OMKDCNTVHROQNW-LBPRGKRZSA-N -1 1 300.399 1.247 20 0 DDADMM O=C([O-])Cn1cc(NS(=O)(=O)c2ccc3c(c2)CCC3)cn1 ZINC000387015256 600529877 /nfs/dbraw/zinc/52/98/77/600529877.db2.gz JWMXZZZOMKVXOZ-UHFFFAOYSA-N -1 1 321.358 1.257 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](C(=O)[O-])c2ccc(C)cc2)c1C ZINC000738568960 600617378 /nfs/dbraw/zinc/61/73/78/600617378.db2.gz AUJQDIBAGJYKLM-ZDUSSCGKSA-N -1 1 301.346 1.933 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H](C(=O)NCCCCN2CCOCC2)C1 ZINC000739568859 600657369 /nfs/dbraw/zinc/65/73/69/600657369.db2.gz PQDZSYPWTRXECU-UONOGXRCSA-N -1 1 312.410 1.106 20 0 DDADMM O=C([O-])[C@H]1CCCN(CN2C[C@@H](c3cccc(F)c3)CC2=O)C1 ZINC000738538062 600716849 /nfs/dbraw/zinc/71/68/49/600716849.db2.gz OIKNGKJYXAIMCQ-KBPBESRZSA-N -1 1 320.364 1.896 20 0 DDADMM C[C@@](O)(CN1CCn2cccc2[C@H]1c1ccccc1)C(=O)[O-] ZINC000736671432 600762093 /nfs/dbraw/zinc/76/20/93/600762093.db2.gz SWEZYSPJOWWDMM-NVXWUHKLSA-N -1 1 300.358 1.729 20 0 DDADMM C[C@H]1C[C@H](NS(=O)(=O)c2cc(C(=O)[O-])cs2)CCN1C ZINC000157597492 600766127 /nfs/dbraw/zinc/76/61/27/600766127.db2.gz YLOPNPYQBPOKQV-WCBMZHEXSA-N -1 1 318.420 1.207 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)N(C)CC[C@H](C)O ZINC000832914940 600833844 /nfs/dbraw/zinc/83/38/44/600833844.db2.gz HDTVGWKBGMSCMN-RYUDHWBXSA-N -1 1 308.378 1.723 20 0 DDADMM C[C@@H](C(=O)NCCSCC(=O)[O-])N(C)Cc1ccccc1 ZINC000826004792 600933973 /nfs/dbraw/zinc/93/39/73/600933973.db2.gz JYRKILVCFRPKFO-LBPRGKRZSA-N -1 1 310.419 1.441 20 0 DDADMM COC(=O)c1cc(CN2CCSC[C@H]2CC(=O)[O-])c(C)o1 ZINC000831248712 601018427 /nfs/dbraw/zinc/01/84/27/601018427.db2.gz PZDNPURLLQMUPA-LLVKDONJSA-N -1 1 313.375 1.767 20 0 DDADMM CC(C)c1cc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)nn1C ZINC000827101883 601097265 /nfs/dbraw/zinc/09/72/65/601097265.db2.gz KDBZBAIWILTNHI-LBPRGKRZSA-N -1 1 322.409 1.165 20 0 DDADMM CC(C)n1ccc(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)n1 ZINC000827368916 601102967 /nfs/dbraw/zinc/10/29/67/601102967.db2.gz KOGGWSJCHHLTKD-ZDUSSCGKSA-N -1 1 322.409 1.014 20 0 DDADMM CCc1nc([C@H]2CN(Cc3cc(C)c(C(=O)[O-])o3)CCO2)n[nH]1 ZINC000737275371 601105755 /nfs/dbraw/zinc/10/57/55/601105755.db2.gz TUTLLNVCWAQFSZ-LLVKDONJSA-N -1 1 320.349 1.540 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)N1CC[C@@](C)(O)C1 ZINC000832916069 601182058 /nfs/dbraw/zinc/18/20/58/601182058.db2.gz KVFPROHLJSFUSL-MEDUHNTESA-N -1 1 306.362 1.477 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NS(=O)(=O)c1c(F)cccc1F ZINC000831585636 601266851 /nfs/dbraw/zinc/26/68/51/601266851.db2.gz OGPATXNKRRWJLR-LBPRGKRZSA-N -1 1 323.317 1.123 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NC(=O)[C@H](C)N(C)Cc1ccccc1 ZINC000831545307 601292166 /nfs/dbraw/zinc/29/21/66/601292166.db2.gz TXWMJGVGIPPMPL-SUMWQHHRSA-N -1 1 322.405 1.503 20 0 DDADMM CCC[C@@H](NC(=O)c1[nH]c(C)c(C(=O)[O-])c1C)c1nn[nH]n1 ZINC000829230101 601305091 /nfs/dbraw/zinc/30/50/91/601305091.db2.gz FPBIEUFYANZWJT-MRVPVSSYSA-N -1 1 306.326 1.114 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(CN1C(=O)[C@@H]3CCCCC[C@@H]31)CC2 ZINC000833178022 601398469 /nfs/dbraw/zinc/39/84/69/601398469.db2.gz PCQBCWBQZUTGAD-RDBSUJKOSA-N -1 1 306.406 1.922 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CC[C@](F)(C(=O)[O-])C1 ZINC000830585053 601654244 /nfs/dbraw/zinc/65/42/44/601654244.db2.gz MJWGNBLANAJIJO-XJKSGUPXSA-N -1 1 308.353 1.185 20 0 DDADMM O=C([O-])c1cccc(NC(=O)CN2CC[C@]3(CCOC3)C2)c1 ZINC000833105138 601661933 /nfs/dbraw/zinc/66/19/33/601661933.db2.gz IQXIPLOZPPVVMD-INIZCTEOSA-N -1 1 304.346 1.436 20 0 DDADMM O=C(CN1CC[C@@]2(CCOC2)C1)NC1(C(=O)[O-])CCCCC1 ZINC000833104739 601662284 /nfs/dbraw/zinc/66/22/84/601662284.db2.gz DIPXQLTXLRNDLT-OAHLLOKOSA-N -1 1 310.394 1.003 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CCC[C@H]3COCC[C@@H]32)cc1 ZINC000833104685 601662701 /nfs/dbraw/zinc/66/27/01/601662701.db2.gz DBGMFCDTBSFFQA-ZFWWWQNUSA-N -1 1 318.373 1.824 20 0 DDADMM Cc1cc(CC(=O)NC[C@H](Cc2ccc(O)cc2)C(=O)[O-])[nH]n1 ZINC000832882963 601678482 /nfs/dbraw/zinc/67/84/82/601678482.db2.gz DWSKHEWAZXOSLU-LBPRGKRZSA-N -1 1 317.345 1.026 20 0 DDADMM O=C([O-])C[C@H]1CN(C(=O)c2c[nH]c3ccccc3c2=O)CCO1 ZINC000321432458 601738424 /nfs/dbraw/zinc/73/84/24/601738424.db2.gz PPPFXLXDXKYKTN-JTQLQIEISA-N -1 1 316.313 1.256 20 0 DDADMM COC(=O)[C@H]1CN(Cn2ncc3cc(C(=O)[O-])ccc32)C[C@@H]1C ZINC000831119928 601814844 /nfs/dbraw/zinc/81/48/44/601814844.db2.gz WEFQAVOCXUUAEL-GWCFXTLKSA-N -1 1 317.345 1.433 20 0 DDADMM CNC(=O)c1cccc(CN2CCSC[C@@H]2CC(=O)[O-])c1 ZINC000831015823 601890677 /nfs/dbraw/zinc/89/06/77/601890677.db2.gz JDJPVDYQKUFCOR-ZDUSSCGKSA-N -1 1 308.403 1.438 20 0 DDADMM C[C@H](c1ccccc1)N(C)C(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000739060342 601954635 /nfs/dbraw/zinc/95/46/35/601954635.db2.gz SMTQXCGSRAYAHI-KGLIPLIRSA-N -1 1 319.405 1.796 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@H]1CCCc2[nH]ncc21)c1cccs1 ZINC000833127350 602024457 /nfs/dbraw/zinc/02/44/57/602024457.db2.gz YWAANDQAHALTDX-QPUJVOFHSA-N -1 1 305.359 1.833 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC000827283648 602102476 /nfs/dbraw/zinc/10/24/76/602102476.db2.gz WPXYENIKIUEUIK-LBPRGKRZSA-N -1 1 305.378 1.273 20 0 DDADMM CCC[C@@](C)(NC(=O)[O-])C(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000739294458 602186901 /nfs/dbraw/zinc/18/69/01/602186901.db2.gz HGONHWCKDSBLNV-CYBMUJFWSA-N -1 1 307.310 1.830 20 0 DDADMM CCO[C@H](CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)C(C)C ZINC000829913021 602192498 /nfs/dbraw/zinc/19/24/98/602192498.db2.gz SCDJSZZJXGDZNR-UONOGXRCSA-N -1 1 314.426 1.445 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(Cc2cnc3ccnn3c2)CC1 ZINC000739012844 602446768 /nfs/dbraw/zinc/44/67/68/602446768.db2.gz AFXIUAFTOOHEGU-NSHDSACASA-N -1 1 303.366 1.597 20 0 DDADMM O=C([O-])N1CCC[C@@H](CN2CCN(Cc3ccccn3)CC2)C1 ZINC000740511128 602451270 /nfs/dbraw/zinc/45/12/70/602451270.db2.gz JHZZLXQLMLMHDA-HNNXBMFYSA-N -1 1 318.421 1.589 20 0 DDADMM CC(C)CCNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000824249604 607571864 /nfs/dbraw/zinc/57/18/64/607571864.db2.gz PUKXFCCHQLKTKH-UHFFFAOYSA-N -1 1 313.358 1.330 20 0 DDADMM COCCN1CC[C@@H](NC(=O)c2ccc(NC(=O)[O-])cc2)C1 ZINC000737812323 602574440 /nfs/dbraw/zinc/57/44/40/602574440.db2.gz NUHJYXSROIRHAZ-CYBMUJFWSA-N -1 1 307.350 1.227 20 0 DDADMM COc1cc2c(nn1)CCN(CC1CCN(C(=O)[O-])CC1)C2 ZINC000739871263 602677186 /nfs/dbraw/zinc/67/71/86/602677186.db2.gz DVZFTUGZEAFOBS-UHFFFAOYSA-N -1 1 306.366 1.233 20 0 DDADMM O=C([O-])NC[C@H]1CCN(Cn2nccc2-c2ccncc2)C1 ZINC000740646931 602694087 /nfs/dbraw/zinc/69/40/87/602694087.db2.gz UEWBORGCXWVRFU-GFCCVEGCSA-N -1 1 301.350 1.492 20 0 DDADMM O=C([O-])N1CCC[C@@H](CN2CCN(Cc3cscn3)CC2)C1 ZINC000740511901 602703702 /nfs/dbraw/zinc/70/37/02/602703702.db2.gz LRSJITAILYUUBQ-ZDUSSCGKSA-N -1 1 324.450 1.651 20 0 DDADMM O=C([O-])N1CCC[C@H](CN2CCN(Cc3cscn3)CC2)C1 ZINC000740511899 602703811 /nfs/dbraw/zinc/70/38/11/602703811.db2.gz LRSJITAILYUUBQ-CYBMUJFWSA-N -1 1 324.450 1.651 20 0 DDADMM C[C@@H](NC(=O)[O-])C(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC000738993879 602722940 /nfs/dbraw/zinc/72/29/40/602722940.db2.gz GPDVWFLXBCFKGC-LLVKDONJSA-N -1 1 306.366 1.033 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN([C@H]2CCN(c3ccccc3)C2=O)C1 ZINC000740628743 602735969 /nfs/dbraw/zinc/73/59/69/602735969.db2.gz DBNIPCPMXRIZTL-ZFWWWQNUSA-N -1 1 317.389 1.772 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)NC1CCN(C(=O)[O-])CC1 ZINC000736397531 602905157 /nfs/dbraw/zinc/90/51/57/602905157.db2.gz YXGNZBNUTGPBEX-ZDUSSCGKSA-N -1 1 312.414 1.110 20 0 DDADMM CCc1cc(CNC(=O)N2CCC[C@@H](CNC(=O)[O-])C2)[nH]n1 ZINC000739540632 602916755 /nfs/dbraw/zinc/91/67/55/602916755.db2.gz XFUPSMHFPARPAD-JTQLQIEISA-N -1 1 309.370 1.161 20 0 DDADMM CCN(CC)C(=O)[C@H](N[C@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000739404682 602930366 /nfs/dbraw/zinc/93/03/66/602930366.db2.gz ZLMHTRYZLHNSEV-LSDHHAIUSA-N -1 1 319.405 1.938 20 0 DDADMM CC(C)[C@](C)(CNC(=O)[O-])NCc1cc(=O)n2ccsc2n1 ZINC000738769795 603079529 /nfs/dbraw/zinc/07/95/29/603079529.db2.gz GINLDSIDYDHJMN-AWEZNQCLSA-N -1 1 324.406 1.528 20 0 DDADMM CN(CCNC(=O)c1ccc(Nc2ccncc2)cc1)C(=O)[O-] ZINC000739699302 603127726 /nfs/dbraw/zinc/12/77/26/603127726.db2.gz YIUGIRXTXPWDMR-UHFFFAOYSA-N -1 1 314.345 1.587 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)CCCNC(=O)[O-])c1Br ZINC000740202586 603237394 /nfs/dbraw/zinc/23/73/94/603237394.db2.gz GHNHKBSUJUPFKP-UHFFFAOYSA-N -1 1 319.159 1.210 20 0 DDADMM CCN(CCNC(=O)CSc1n[nH]c(=S)s1)C(=O)[O-] ZINC000827135156 603444137 /nfs/dbraw/zinc/44/41/37/603444137.db2.gz YPDFSWMXLAJHNM-UHFFFAOYSA-N -1 1 322.437 1.035 20 0 DDADMM CN1CCCN(C(=O)[C@@H](CNC(=O)[O-])c2ccccc2)CC1 ZINC000828487805 603523328 /nfs/dbraw/zinc/52/33/28/603523328.db2.gz LCSGBABJJICEIA-AWEZNQCLSA-N -1 1 305.378 1.202 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(CNC2CN(C(=O)[O-])C2)cn1 ZINC000823827676 603561917 /nfs/dbraw/zinc/56/19/17/603561917.db2.gz FHUOBJXHSPOSJK-UHFFFAOYSA-N -1 1 322.365 1.880 20 0 DDADMM CN(CC1CCCC1)CN1C[C@H]2CN(C(=O)[O-])CCN2C1=O ZINC000828167996 603600015 /nfs/dbraw/zinc/60/00/15/603600015.db2.gz DRNFEKGVRAVCGY-CYBMUJFWSA-N -1 1 310.398 1.166 20 0 DDADMM C[C@H]1CCCN(Cc2cccc(C(N)=O)c2)[C@H]1CNC(=O)[O-] ZINC000825924917 603723528 /nfs/dbraw/zinc/72/35/28/603723528.db2.gz LSWSNVJLNRPSJN-FZMZJTMJSA-N -1 1 305.378 1.654 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H]3CSCN3C(=O)[O-])[nH]c2c1 ZINC000830432923 603764718 /nfs/dbraw/zinc/76/47/18/603764718.db2.gz NDXJFMYBVIJKFF-LLVKDONJSA-N -1 1 320.374 1.540 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCN(CCc2nc3ccccc3c(=O)[nH]2)C1 ZINC000827891026 603844776 /nfs/dbraw/zinc/84/47/76/603844776.db2.gz ZGVWXIZQTWLLHY-NSHDSACASA-N -1 1 316.361 1.562 20 0 DDADMM C[C@H](NC(=O)[C@H]1CCCc2[nH]ncc21)[C@H]1CCCN(C(=O)[O-])C1 ZINC000825094240 603943266 /nfs/dbraw/zinc/94/32/66/603943266.db2.gz RCGNAYGUMJRCSS-SRVKXCTJSA-N -1 1 320.393 1.724 20 0 DDADMM CC(C)[C@](C)(CNC(=O)[O-])NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000824057296 603949748 /nfs/dbraw/zinc/94/97/48/603949748.db2.gz QCYALNATRBQCSK-BMIGLBTASA-N -1 1 308.382 1.628 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1C(=O)c1c[nH]c2ccccc2c1=O ZINC000832561279 603997736 /nfs/dbraw/zinc/99/77/36/603997736.db2.gz GORKRBBDZUJPSG-SNVBAGLBSA-N -1 1 315.329 1.400 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)CC2(NC(=O)[O-])CCC2)c1 ZINC000828047030 604067053 /nfs/dbraw/zinc/06/70/53/604067053.db2.gz WKZXCTFMTPAVFZ-UHFFFAOYSA-N -1 1 306.366 1.662 20 0 DDADMM C[C@H](CN(C)C(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000824855956 604085311 /nfs/dbraw/zinc/08/53/11/604085311.db2.gz WZJJBJBZJJUKLB-CYBMUJFWSA-N -1 1 319.405 1.407 20 0 DDADMM CCC[C@@](C)(NC(=O)[O-])C(=O)NCCN1CCC(OC)CC1 ZINC000826721125 604252910 /nfs/dbraw/zinc/25/29/10/604252910.db2.gz PCFNYUFBUHJWMV-OAHLLOKOSA-N -1 1 315.414 1.040 20 0 DDADMM O=C([O-])N[C@H](C(=O)NCCc1nc[nH]n1)c1cccc(Cl)c1 ZINC000832090881 604307255 /nfs/dbraw/zinc/30/72/55/604307255.db2.gz ATMXHYNIULUINJ-NSHDSACASA-N -1 1 323.740 1.126 20 0 DDADMM O=C([O-])N[C@@H]1CCN(CCCN2C(=O)c3ccccc3C2=O)C1 ZINC000740597092 604367216 /nfs/dbraw/zinc/36/72/16/604367216.db2.gz UKQGLXGUHDDGMI-LLVKDONJSA-N -1 1 317.345 1.015 20 0 DDADMM CN(C[C@@H]1CCCN1CCNC(=O)OC(C)(C)C)C(=O)[O-] ZINC000828223642 604368362 /nfs/dbraw/zinc/36/83/62/604368362.db2.gz NVUKRJMMAAMVQU-NSHDSACASA-N -1 1 301.387 1.585 20 0 DDADMM COc1cc(OC)cc([C@H](C(=O)[O-])N2CCC3(C2)OCCO3)c1 ZINC000832041720 604468395 /nfs/dbraw/zinc/46/83/95/604468395.db2.gz ZHCUNDPOYJPHNK-CQSZACIVSA-N -1 1 323.345 1.278 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000833722605 604483823 /nfs/dbraw/zinc/48/38/23/604483823.db2.gz ZKLVUOJDXKVBPB-HNNXBMFYSA-N -1 1 318.333 1.081 20 0 DDADMM Cc1cc(-c2nn[nH]n2)ccc1C(=O)Nc1cc[nH+]c(N(C)C)c1 ZINC000826250859 607700792 /nfs/dbraw/zinc/70/07/92/607700792.db2.gz WDXDXKVKPFHRFT-UHFFFAOYSA-N -1 1 323.360 1.888 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN1CCC[C@H]1CSC)C(=O)[O-] ZINC000828719901 604677131 /nfs/dbraw/zinc/67/71/31/604677131.db2.gz SSRNWJDNNKEFSW-GMXVVIOVSA-N -1 1 302.440 1.429 20 0 DDADMM CC(C)CN1CCN(C(=O)Cc2ccccc2C(=O)[O-])CC1 ZINC000388348396 604781086 /nfs/dbraw/zinc/78/10/86/604781086.db2.gz PDWABTJCAVMJRF-UHFFFAOYSA-N -1 1 304.390 1.728 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN[C@@](C)(C(N)=O)c2ccccc2)O1 ZINC000833580917 604833543 /nfs/dbraw/zinc/83/35/43/604833543.db2.gz SRYRUKPKJZEDFW-MLGOLLRUSA-N -1 1 304.346 1.124 20 0 DDADMM CCOCCN(C)[C@@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000833662100 604972601 /nfs/dbraw/zinc/97/26/01/604972601.db2.gz QEDAZKNTQLEWMO-LBPRGKRZSA-N -1 1 308.378 1.358 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)c2c(C)[nH]c(C(=O)[O-])c2C)n[nH]1 ZINC000833673364 605072366 /nfs/dbraw/zinc/07/23/66/605072366.db2.gz KDXWFDHGWGZHMS-MRVPVSSYSA-N -1 1 305.338 1.501 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1Cc2ccccc2S1 ZINC000314096126 605074934 /nfs/dbraw/zinc/07/49/34/605074934.db2.gz IDDLQIVHSCQRLF-WCQYABFASA-N -1 1 317.370 1.239 20 0 DDADMM CCN1C[C@H](C)N(C(=O)NC2CCC(C(=O)[O-])CC2)C[C@@H]1C ZINC000820347933 605141011 /nfs/dbraw/zinc/14/10/11/605141011.db2.gz APAKKBHWEDGCLO-FEPKRQSRSA-N -1 1 311.426 1.754 20 0 DDADMM CCc1cnccc1[C@H](C)NCC(=O)N1CCC(C(=O)[O-])CC1 ZINC000833672478 605272332 /nfs/dbraw/zinc/27/23/32/605272332.db2.gz CVFMLZJHKSRHFO-LBPRGKRZSA-N -1 1 319.405 1.618 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(C(C)(C)C)n[nH]2)CCN1CCC(=O)[O-] ZINC000833623971 605277369 /nfs/dbraw/zinc/27/73/69/605277369.db2.gz SHYPWBDXDUFEIK-LLVKDONJSA-N -1 1 322.409 1.328 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(F)c(F)c2)CCN1CCC(=O)[O-] ZINC000833622768 605278922 /nfs/dbraw/zinc/27/89/22/605278922.db2.gz NYYMUBBDKLNVRS-JTQLQIEISA-N -1 1 312.316 1.586 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N[C@@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000830036100 605293278 /nfs/dbraw/zinc/29/32/78/605293278.db2.gz JJSRLOYCNQPZTO-MWLCHTKSSA-N -1 1 309.370 1.091 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCN(c3cc(C)ccn3)CC2)O1 ZINC000833577709 605355370 /nfs/dbraw/zinc/35/53/70/605355370.db2.gz GOACBFWPNQUVPT-AWEZNQCLSA-N -1 1 317.389 1.660 20 0 DDADMM O=C([O-])N1CCC(OC(=O)c2c(F)cc(O)cc2F)CC1 ZINC000834282587 605419320 /nfs/dbraw/zinc/41/93/20/605419320.db2.gz UGXFYMQBUWVTMO-UHFFFAOYSA-N -1 1 301.245 1.970 20 0 DDADMM Cn1cc(CN2CC[C@@H](NC(=O)[O-])C2)c(-c2ccncc2)n1 ZINC000833947158 605570880 /nfs/dbraw/zinc/57/08/80/605570880.db2.gz AOYVGKOBEHUFMM-CYBMUJFWSA-N -1 1 301.350 1.324 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@@H]1NCc1n[nH]cc1Br ZINC000834214581 605616141 /nfs/dbraw/zinc/61/61/41/605616141.db2.gz NEVYVIXBDTZDTI-CBAPKCEASA-N -1 1 317.187 1.698 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCS[C@@H]3COCC[C@@H]32)cn1 ZINC000834259724 605672173 /nfs/dbraw/zinc/67/21/73/605672173.db2.gz GLUULTMFRXQBKN-NWDGAFQWSA-N -1 1 309.391 1.878 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@H](CN(C)C(=O)[O-])C2)n[nH]1 ZINC000830029501 605697529 /nfs/dbraw/zinc/69/75/29/605697529.db2.gz HTPRJZQEDFAXLD-CMPLNLGQSA-N -1 1 323.397 1.290 20 0 DDADMM O=C([O-])N1CCC2(C[C@@H]2C(=O)N[C@H]2CCc3nc[nH]c3C2)CC1 ZINC000834019129 605959618 /nfs/dbraw/zinc/95/96/18/605959618.db2.gz OVTIGERFVYUUAV-WDEREUQCSA-N -1 1 318.377 1.163 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCN(c3ccc(F)cn3)CC2)C1 ZINC000834080020 605975897 /nfs/dbraw/zinc/97/58/97/605975897.db2.gz YVIAVXILAISYRI-LBPRGKRZSA-N -1 1 308.357 1.343 20 0 DDADMM O=C([O-])NCCCN[C@@H]1CCS(=O)(=O)c2ccc(F)cc21 ZINC000834238412 605982772 /nfs/dbraw/zinc/98/27/72/605982772.db2.gz ZRJKERAPJYKBEC-LLVKDONJSA-N -1 1 316.354 1.292 20 0 DDADMM C[C@@H](NC(=O)Nc1nn(C)cc1-c1nnn[n-]1)C1CCCC1 ZINC000820380142 606158054 /nfs/dbraw/zinc/15/80/54/606158054.db2.gz LWMMAEMUVREKOP-MRVPVSSYSA-N -1 1 304.358 1.300 20 0 DDADMM C[C@@H](NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)C1CCCC1 ZINC000820380142 606158055 /nfs/dbraw/zinc/15/80/55/606158055.db2.gz LWMMAEMUVREKOP-MRVPVSSYSA-N -1 1 304.358 1.300 20 0 DDADMM CCc1ccc(NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)cc1 ZINC000821486799 606165945 /nfs/dbraw/zinc/16/59/45/606165945.db2.gz LYZPTUNBHKDOCT-UHFFFAOYSA-N -1 1 312.337 1.807 20 0 DDADMM CCc1ccc(NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)cc1 ZINC000821486799 606165946 /nfs/dbraw/zinc/16/59/46/606165946.db2.gz LYZPTUNBHKDOCT-UHFFFAOYSA-N -1 1 312.337 1.807 20 0 DDADMM O=C(CCC1CCOCC1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822950264 606296502 /nfs/dbraw/zinc/29/65/02/606296502.db2.gz HQHSDGHYLPLQOZ-UHFFFAOYSA-N -1 1 317.349 1.718 20 0 DDADMM O=C(NCc1ccccc1CO)c1ccc(-c2nnn[n-]2)s1 ZINC000823261886 606591916 /nfs/dbraw/zinc/59/19/16/606591916.db2.gz VRDKGMQWYKSADE-UHFFFAOYSA-N -1 1 315.358 1.351 20 0 DDADMM O=C(NCc1ccccc1CO)c1ccc(-c2nn[n-]n2)s1 ZINC000823261886 606591918 /nfs/dbraw/zinc/59/19/18/606591918.db2.gz VRDKGMQWYKSADE-UHFFFAOYSA-N -1 1 315.358 1.351 20 0 DDADMM CCN(Cc1ccoc1)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821230875 606595700 /nfs/dbraw/zinc/59/57/00/606595700.db2.gz TURSWIRGIKIIRS-UHFFFAOYSA-N -1 1 316.325 1.247 20 0 DDADMM CCN(Cc1ccoc1)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821230875 606595701 /nfs/dbraw/zinc/59/57/01/606595701.db2.gz TURSWIRGIKIIRS-UHFFFAOYSA-N -1 1 316.325 1.247 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cc(Cl)c[nH]1 ZINC000823436110 606652847 /nfs/dbraw/zinc/65/28/47/606652847.db2.gz HDVISEBMCYJCRZ-UHFFFAOYSA-N -1 1 304.697 1.600 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cc(Cl)c[nH]1 ZINC000823436110 606652848 /nfs/dbraw/zinc/65/28/48/606652848.db2.gz HDVISEBMCYJCRZ-UHFFFAOYSA-N -1 1 304.697 1.600 20 0 DDADMM CC(C)N(C(=O)Cn1ccnc1-c1nnn[n-]1)c1ccccc1 ZINC000820112254 606654605 /nfs/dbraw/zinc/65/46/05/606654605.db2.gz OSCAREYQQFGSFI-UHFFFAOYSA-N -1 1 311.349 1.505 20 0 DDADMM CC(C)N(C(=O)Cn1ccnc1-c1nn[n-]n1)c1ccccc1 ZINC000820112254 606654606 /nfs/dbraw/zinc/65/46/06/606654606.db2.gz OSCAREYQQFGSFI-UHFFFAOYSA-N -1 1 311.349 1.505 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC[C@H]3CCC[C@@H]32)n1 ZINC000822609069 606658629 /nfs/dbraw/zinc/65/86/29/606658629.db2.gz IXKQMPGRQHGPHN-KOLCDFICSA-N -1 1 316.369 1.397 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC[C@H]3CCC[C@@H]32)n1 ZINC000822609069 606658630 /nfs/dbraw/zinc/65/86/30/606658630.db2.gz IXKQMPGRQHGPHN-KOLCDFICSA-N -1 1 316.369 1.397 20 0 DDADMM c1cncc(O[C@@H]2CCN(c3cccc(-c4nnn[n-]4)n3)C2)c1 ZINC000823816388 606791392 /nfs/dbraw/zinc/79/13/92/606791392.db2.gz UPPROEWQABREJE-GFCCVEGCSA-N -1 1 309.333 1.314 20 0 DDADMM c1cncc(O[C@@H]2CCN(c3cccc(-c4nn[n-]n4)n3)C2)c1 ZINC000823816388 606791393 /nfs/dbraw/zinc/79/13/93/606791393.db2.gz UPPROEWQABREJE-GFCCVEGCSA-N -1 1 309.333 1.314 20 0 DDADMM CCC[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000821040846 606907953 /nfs/dbraw/zinc/90/79/53/606907953.db2.gz SZQMBRMKAWZGIA-SNVBAGLBSA-N -1 1 318.385 1.644 20 0 DDADMM CCC[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000821040846 606907955 /nfs/dbraw/zinc/90/79/55/606907955.db2.gz SZQMBRMKAWZGIA-SNVBAGLBSA-N -1 1 318.385 1.644 20 0 DDADMM C[C@@H]1OCCN(c2snc(Cl)c2-c2nnn[n-]2)[C@H]1C ZINC000820818933 607029078 /nfs/dbraw/zinc/02/90/78/607029078.db2.gz CNZWNNXPKRXICQ-WDSKDSINSA-N -1 1 300.775 1.590 20 0 DDADMM C[C@@H]1OCCN(c2snc(Cl)c2-c2nn[n-]n2)[C@H]1C ZINC000820818933 607029079 /nfs/dbraw/zinc/02/90/79/607029079.db2.gz CNZWNNXPKRXICQ-WDSKDSINSA-N -1 1 300.775 1.590 20 0 DDADMM CC(C)[C@H](O)C(C)(C)CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824201045 607823975 /nfs/dbraw/zinc/82/39/75/607823975.db2.gz XCFKDDSZYWLBNL-LBPRGKRZSA-N -1 1 318.381 1.035 20 0 DDADMM CC(C)[C@H](O)C(C)(C)CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824201045 607823976 /nfs/dbraw/zinc/82/39/76/607823976.db2.gz XCFKDDSZYWLBNL-LBPRGKRZSA-N -1 1 318.381 1.035 20 0 DDADMM CCc1nnc(COc2cccc(F)c2-c2nnn[n-]2)n1C ZINC000825767040 607863682 /nfs/dbraw/zinc/86/36/82/607863682.db2.gz GPLQPPOKQJBPEV-UHFFFAOYSA-N -1 1 303.301 1.276 20 0 DDADMM CCc1nnc(COc2cccc(F)c2-c2nn[n-]n2)n1C ZINC000825767040 607863683 /nfs/dbraw/zinc/86/36/83/607863683.db2.gz GPLQPPOKQJBPEV-UHFFFAOYSA-N -1 1 303.301 1.276 20 0 DDADMM C[C@@H]1CCCCN1C(=O)COc1cccc(F)c1-c1nnn[n-]1 ZINC000824936590 607866071 /nfs/dbraw/zinc/86/60/71/607866071.db2.gz JVJYTVAROLXLLS-SNVBAGLBSA-N -1 1 319.340 1.786 20 0 DDADMM C[C@@H]1CCCCN1C(=O)COc1cccc(F)c1-c1nn[n-]n1 ZINC000824936590 607866072 /nfs/dbraw/zinc/86/60/72/607866072.db2.gz JVJYTVAROLXLLS-SNVBAGLBSA-N -1 1 319.340 1.786 20 0 DDADMM O=C1C[C@@H](c2cccc(Nc3cccc(-c4nnn[n-]4)n3)c2)CN1 ZINC000826479096 607903346 /nfs/dbraw/zinc/90/33/46/607903346.db2.gz QGDZNULFRUQRSW-LLVKDONJSA-N -1 1 321.344 1.609 20 0 DDADMM O=C1C[C@@H](c2cccc(Nc3cccc(-c4nn[n-]n4)n3)c2)CN1 ZINC000826479096 607903347 /nfs/dbraw/zinc/90/33/47/607903347.db2.gz QGDZNULFRUQRSW-LLVKDONJSA-N -1 1 321.344 1.609 20 0 DDADMM CN(C(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccc2c(c1)OCO2 ZINC000825825676 607917077 /nfs/dbraw/zinc/91/70/77/607917077.db2.gz HVXBKLBNENLHNM-UHFFFAOYSA-N -1 1 324.300 1.267 20 0 DDADMM CN(C(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccc2c(c1)OCO2 ZINC000825825676 607917078 /nfs/dbraw/zinc/91/70/78/607917078.db2.gz HVXBKLBNENLHNM-UHFFFAOYSA-N -1 1 324.300 1.267 20 0 DDADMM CN(C[C@@H](O)C1CC1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825902558 608122849 /nfs/dbraw/zinc/12/28/49/608122849.db2.gz VXFVJODKYDWCOM-ZCFIWIBFSA-N -1 1 300.775 1.184 20 0 DDADMM CN(C[C@@H](O)C1CC1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825902558 608122850 /nfs/dbraw/zinc/12/28/50/608122850.db2.gz VXFVJODKYDWCOM-ZCFIWIBFSA-N -1 1 300.775 1.184 20 0 DDADMM OCC[C@H]1CCCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000826508729 608173658 /nfs/dbraw/zinc/17/36/58/608173658.db2.gz AFNMACVSVQFTLR-SSDOTTSWSA-N -1 1 314.802 1.718 20 0 DDADMM OCC[C@H]1CCCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000826508729 608173659 /nfs/dbraw/zinc/17/36/59/608173659.db2.gz AFNMACVSVQFTLR-SSDOTTSWSA-N -1 1 314.802 1.718 20 0 DDADMM CN(CCc1cnccn1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825949877 608193971 /nfs/dbraw/zinc/19/39/71/608193971.db2.gz CSSHEAYVYYYLBI-UHFFFAOYSA-N -1 1 316.756 1.384 20 0 DDADMM CN(CCc1cnccn1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825949877 608193973 /nfs/dbraw/zinc/19/39/73/608193973.db2.gz CSSHEAYVYYYLBI-UHFFFAOYSA-N -1 1 316.756 1.384 20 0 DDADMM c1cc(NC[C@H]2COC3(CCCC3)O2)nc(-c2nnn[n-]2)c1 ZINC000826516877 608295139 /nfs/dbraw/zinc/29/51/39/608295139.db2.gz FZJNRKPJOBWMFP-JTQLQIEISA-N -1 1 302.338 1.359 20 0 DDADMM c1cc(NC[C@H]2COC3(CCCC3)O2)nc(-c2nn[n-]n2)c1 ZINC000826516877 608295141 /nfs/dbraw/zinc/29/51/41/608295141.db2.gz FZJNRKPJOBWMFP-JTQLQIEISA-N -1 1 302.338 1.359 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC[C@H](C[C@H]3CCOC3)C2)c1 ZINC000826514614 608296990 /nfs/dbraw/zinc/29/69/90/608296990.db2.gz XJMOWTAEQOLDHB-CHWSQXEVSA-N -1 1 314.393 1.905 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC[C@H](C[C@H]3CCOC3)C2)c1 ZINC000826514614 608296992 /nfs/dbraw/zinc/29/69/92/608296992.db2.gz XJMOWTAEQOLDHB-CHWSQXEVSA-N -1 1 314.393 1.905 20 0 DDADMM Cc1ccccc1N1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000826307804 608390673 /nfs/dbraw/zinc/39/06/73/608390673.db2.gz XFHLBSNDLLPWNT-UHFFFAOYSA-N -1 1 322.376 1.292 20 0 DDADMM Cc1ccccc1N1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000826307804 608390674 /nfs/dbraw/zinc/39/06/74/608390674.db2.gz XFHLBSNDLLPWNT-UHFFFAOYSA-N -1 1 322.376 1.292 20 0 DDADMM CN(C)C(=O)Cc1ccc(Nc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000825845109 608399840 /nfs/dbraw/zinc/39/98/40/608399840.db2.gz CGAPTAPBCNEEFL-UHFFFAOYSA-N -1 1 324.348 1.031 20 0 DDADMM CN(C)C(=O)Cc1ccc(Nc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000825845109 608399842 /nfs/dbraw/zinc/39/98/42/608399842.db2.gz CGAPTAPBCNEEFL-UHFFFAOYSA-N -1 1 324.348 1.031 20 0 DDADMM COc1ccc(CCCNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826192120 608403380 /nfs/dbraw/zinc/40/33/80/608403380.db2.gz AEBVFDGNTXWCQP-UHFFFAOYSA-N -1 1 311.349 1.710 20 0 DDADMM COc1ccc(CCCNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826192120 608403381 /nfs/dbraw/zinc/40/33/81/608403381.db2.gz AEBVFDGNTXWCQP-UHFFFAOYSA-N -1 1 311.349 1.710 20 0 DDADMM CCCN(C[C@H]1CCCCO1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825314071 608415232 /nfs/dbraw/zinc/41/52/32/608415232.db2.gz WTPNSJIYGUQKMS-LLVKDONJSA-N -1 1 303.370 1.442 20 0 DDADMM CCCN(C[C@H]1CCCCO1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825314071 608415233 /nfs/dbraw/zinc/41/52/33/608415233.db2.gz WTPNSJIYGUQKMS-LLVKDONJSA-N -1 1 303.370 1.442 20 0 DDADMM CCCN(CCc1ccccn1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825313658 608427594 /nfs/dbraw/zinc/42/75/94/608427594.db2.gz NKJIDBLLPIFWLI-UHFFFAOYSA-N -1 1 310.365 1.511 20 0 DDADMM CCCN(CCc1ccccn1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825313658 608427597 /nfs/dbraw/zinc/42/75/97/608427597.db2.gz NKJIDBLLPIFWLI-UHFFFAOYSA-N -1 1 310.365 1.511 20 0 DDADMM CC[C@H](Nc1ccc(-c2nnn[n-]2)nn1)c1c(C)noc1C ZINC000825147335 608439057 /nfs/dbraw/zinc/43/90/57/608439057.db2.gz IJBAKNFTEWSRKO-VIFPVBQESA-N -1 1 300.326 1.825 20 0 DDADMM CC[C@H](Nc1ccc(-c2nn[n-]n2)nn1)c1c(C)noc1C ZINC000825147335 608439058 /nfs/dbraw/zinc/43/90/58/608439058.db2.gz IJBAKNFTEWSRKO-VIFPVBQESA-N -1 1 300.326 1.825 20 0 DDADMM CCOC(=O)C1CCN(c2nc(-c3nn[n-]n3)ccc2C)CC1 ZINC000825499102 608540214 /nfs/dbraw/zinc/54/02/14/608540214.db2.gz GRDUFUSHDCWZOB-UHFFFAOYSA-N -1 1 316.365 1.350 20 0 DDADMM COc1cc(F)cc(CNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826180432 608799559 /nfs/dbraw/zinc/79/95/59/608799559.db2.gz HKVHIWQXZJVNJJ-UHFFFAOYSA-N -1 1 301.285 1.417 20 0 DDADMM COc1cc(F)cc(CNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826180432 608799561 /nfs/dbraw/zinc/79/95/61/608799561.db2.gz HKVHIWQXZJVNJJ-UHFFFAOYSA-N -1 1 301.285 1.417 20 0 DDADMM O=C(Nc1nnc2n1CCCC2)c1ccc(-c2nnn[n-]2)s1 ZINC000826460818 609176734 /nfs/dbraw/zinc/17/67/34/609176734.db2.gz TXNMENYTNWZRLM-UHFFFAOYSA-N -1 1 316.350 1.108 20 0 DDADMM O=C(Nc1nnc2n1CCCC2)c1ccc(-c2nn[n-]n2)s1 ZINC000826460818 609176736 /nfs/dbraw/zinc/17/67/36/609176736.db2.gz TXNMENYTNWZRLM-UHFFFAOYSA-N -1 1 316.350 1.108 20 0 DDADMM Fc1ccc(CCNc2ccc(-c3nnn[n-]3)nn2)c(F)c1F ZINC000826374327 609591221 /nfs/dbraw/zinc/59/12/21/609591221.db2.gz VNGPSPIIQNSLST-UHFFFAOYSA-N -1 1 321.266 1.729 20 0 DDADMM Fc1ccc(CCNc2ccc(-c3nn[n-]n3)nn2)c(F)c1F ZINC000826374327 609591223 /nfs/dbraw/zinc/59/12/23/609591223.db2.gz VNGPSPIIQNSLST-UHFFFAOYSA-N -1 1 321.266 1.729 20 0 DDADMM C[C@H]1OCC[C@]1(C)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000825013716 609592174 /nfs/dbraw/zinc/59/21/74/609592174.db2.gz RFXOAYUWJBLNFB-FWOIEVBISA-N -1 1 300.775 1.956 20 0 DDADMM C[C@H]1OCC[C@]1(C)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000825013716 609592176 /nfs/dbraw/zinc/59/21/76/609592176.db2.gz RFXOAYUWJBLNFB-FWOIEVBISA-N -1 1 300.775 1.956 20 0 DDADMM O=C(NOCCC(F)(F)F)c1nc2ccccc2c(=O)[n-]1 ZINC000122432390 696721558 /nfs/dbraw/zinc/72/15/58/696721558.db2.gz SGCVXDQVUBDITJ-UHFFFAOYSA-N -1 1 301.224 1.537 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973196592 695443727 /nfs/dbraw/zinc/44/37/27/695443727.db2.gz GAQBNBHOYPFXMW-DDHJBXDOSA-N -1 1 303.362 1.210 20 0 DDADMM C[C@]1(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000973198782 695443996 /nfs/dbraw/zinc/44/39/96/695443996.db2.gz HBAQYFHNLKXRTJ-PRXAMGSTSA-N -1 1 315.373 1.521 20 0 DDADMM O=C([O-])[C@@]1(NC(=O)N[C@H]2CCCc3cn[nH]c32)CCSC1 ZINC000797725398 700021276 /nfs/dbraw/zinc/02/12/76/700021276.db2.gz UMFMPLSULIUNLB-TVQRCGJNSA-N -1 1 310.379 1.047 20 0 DDADMM CC[C@@H](F)CN1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000974491370 695679314 /nfs/dbraw/zinc/67/93/14/695679314.db2.gz PSARBUNSMKFHNU-YPMHNXCESA-N -1 1 309.385 1.976 20 0 DDADMM Cc1cc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)sc1C ZINC000798145008 700044057 /nfs/dbraw/zinc/04/40/57/700044057.db2.gz FDFAFVNZFHNWOP-UHFFFAOYSA-N -1 1 307.379 1.002 20 0 DDADMM C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@@H](O)c1ccc(F)cc1 ZINC000798301195 700055863 /nfs/dbraw/zinc/05/58/63/700055863.db2.gz NRTVWHYUXAVWTE-ZUZCIYMTSA-N -1 1 317.316 1.952 20 0 DDADMM O=c1cc(-c2ccccc2)nc(SCCN2CCOCC2)[n-]1 ZINC000038423408 696137211 /nfs/dbraw/zinc/13/72/11/696137211.db2.gz QLMWEBRNIULTTH-UHFFFAOYSA-N -1 1 317.414 1.861 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)CN1CCCC1=O ZINC000747364567 700066173 /nfs/dbraw/zinc/06/61/73/700066173.db2.gz QDPMEPCZCCTKCH-SNVBAGLBSA-N -1 1 320.393 1.355 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2C[C@H]2c2ccccc2)c1Cl ZINC000044414587 696164523 /nfs/dbraw/zinc/16/45/23/696164523.db2.gz WAIXCRFTPRITTR-WDEREUQCSA-N -1 1 311.794 1.908 20 0 DDADMM CN(C)c1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cn1 ZINC000747473281 700072215 /nfs/dbraw/zinc/07/22/15/700072215.db2.gz CXZWKFGOAKGIHB-AWEZNQCLSA-N -1 1 323.360 1.180 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OC[C@H]1CCOC1 ZINC000057604072 696298603 /nfs/dbraw/zinc/29/86/03/696298603.db2.gz ZKJYDTODGPBQCT-LBPRGKRZSA-N -1 1 319.361 1.564 20 0 DDADMM COc1ccc2oc(C(=O)[N-]NC(=O)NC3CC3)c(C)c2c1 ZINC000064429309 696339517 /nfs/dbraw/zinc/33/95/17/696339517.db2.gz ZRLGAAHYQWNOQU-UHFFFAOYSA-N -1 1 303.318 1.856 20 0 DDADMM Cn1c(CNC(=O)Cn2ncc3ccccc32)n[n-]c1=S ZINC000066626015 696354069 /nfs/dbraw/zinc/35/40/69/696354069.db2.gz QVTJLOCGYBHTHA-UHFFFAOYSA-N -1 1 302.363 1.144 20 0 DDADMM CCn1c(CNC(=O)[C@@H](C)OC[C@H]2CCCO2)n[n-]c1=S ZINC000066635966 696354491 /nfs/dbraw/zinc/35/44/91/696354491.db2.gz PVLWZOPXHFRVKI-NXEZZACHSA-N -1 1 314.411 1.161 20 0 DDADMM CC(C)n1c(CNC(=O)[C@@H]2CC(=O)N(C3CC3)C2)n[n-]c1=S ZINC000066640588 696354803 /nfs/dbraw/zinc/35/48/03/696354803.db2.gz TWGNXIBFPKWBBG-SECBINFHSA-N -1 1 323.422 1.149 20 0 DDADMM Cc1cnn(CCC(=O)NCCc2n[n-]c(=S)n2C(C)C)c1 ZINC000067073979 696358751 /nfs/dbraw/zinc/35/87/51/696358751.db2.gz WDIJQORJLZXGOK-UHFFFAOYSA-N -1 1 322.438 1.776 20 0 DDADMM CCOC(=O)C(C)(C)C(=O)COC(=O)c1ccc([O-])cc1F ZINC000798646857 700084670 /nfs/dbraw/zinc/08/46/70/700084670.db2.gz VREHDYPQAIHZCU-UHFFFAOYSA-N -1 1 312.293 1.847 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(C#N)cc1 ZINC000079484591 696460636 /nfs/dbraw/zinc/46/06/36/696460636.db2.gz UHPUNHNRIJPKTR-GZMMTYOYSA-N -1 1 302.359 1.082 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2ccc3ccccc3n2)s1 ZINC000079552505 696461726 /nfs/dbraw/zinc/46/17/26/696461726.db2.gz PHRMCZWLOFQUTQ-GFCCVEGCSA-N -1 1 314.370 1.198 20 0 DDADMM C[C@H](CCNC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000079881965 696464635 /nfs/dbraw/zinc/46/46/35/696464635.db2.gz ZWCWQYUDTRMEKY-PWSUYJOCSA-N -1 1 305.403 1.643 20 0 DDADMM Cc1ccccc1CC1CN(C(=O)Cc2sc(N)nc2[O-])C1 ZINC000085479796 696563423 /nfs/dbraw/zinc/56/34/23/696563423.db2.gz YRFVWBSHABAOPA-CYBMUJFWSA-N -1 1 317.414 1.343 20 0 DDADMM C[C@H]1OCC[C@H]1C(=O)N[N-]C(=O)c1ccc(Cl)cc1F ZINC000092442119 696595060 /nfs/dbraw/zinc/59/50/60/696595060.db2.gz RFWSPONCLBNDBO-VXNVDRBHSA-N -1 1 300.717 1.665 20 0 DDADMM CC(C)Cn1cc(C(=O)NN2CC(=O)[N-]C2=O)c2ccccc21 ZINC000103268762 696613322 /nfs/dbraw/zinc/61/33/22/696613322.db2.gz TVBVMJIKRHYLSO-UHFFFAOYSA-N -1 1 314.345 1.494 20 0 DDADMM C[C@@H](CCc1ccccc1)NC(=O)Cc1sc(N)nc1[O-] ZINC000120781979 696700863 /nfs/dbraw/zinc/70/08/63/696700863.db2.gz NARSYDGWDOOISQ-CMPLNLGQSA-N -1 1 305.403 1.471 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H](C)C(F)(F)F)o1 ZINC000748242884 700107670 /nfs/dbraw/zinc/10/76/70/700107670.db2.gz UCSGZTYJNGPVIQ-LURJTMIESA-N -1 1 315.269 1.543 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C1=CCCCCC1 ZINC000129750787 696791632 /nfs/dbraw/zinc/79/16/32/696791632.db2.gz IMMQQJNJIZPKIV-UHFFFAOYSA-N -1 1 316.361 1.702 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cccc(C)n2)o1 ZINC000129822733 696792029 /nfs/dbraw/zinc/79/20/29/696792029.db2.gz FPSPJGBCNZRCLQ-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM Cc1cc(S(=O)(=O)Nc2cnn(C)c2)cc(C(=O)[O-])c1F ZINC000133423476 696825864 /nfs/dbraw/zinc/82/58/64/696825864.db2.gz GLEDRKFVMZWKAF-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982670026 697167145 /nfs/dbraw/zinc/16/71/45/697167145.db2.gz GNQIYCYGFYFOET-VXGBXAGGSA-N -1 1 317.389 1.412 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC12CC2 ZINC000982673270 697167748 /nfs/dbraw/zinc/16/77/48/697167748.db2.gz ODMOIMNEJDUZTI-RYUDHWBXSA-N -1 1 315.373 1.166 20 0 DDADMM O=C([N-][C@H]1CCN(c2ncccc2F)C1)C(F)(F)C(F)F ZINC000176047071 697400401 /nfs/dbraw/zinc/40/04/01/697400401.db2.gz ZXCWYDREINFRDS-ZETCQYMHSA-N -1 1 309.238 1.816 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2ccsc2)CC1 ZINC000985252896 697475703 /nfs/dbraw/zinc/47/57/03/697475703.db2.gz BOCIKPXUJLCBJY-UHFFFAOYSA-N -1 1 321.406 1.308 20 0 DDADMM C[C@H](CN(C)C(=O)COc1ccc2c(c1)CCC2)c1nn[n-]n1 ZINC000183432283 697488326 /nfs/dbraw/zinc/48/83/26/697488326.db2.gz MQMYWDRSCHWBKE-LLVKDONJSA-N -1 1 315.377 1.329 20 0 DDADMM O=C([O-])c1coc(C(=O)NCCc2nc3ccc(F)cc3[nH]2)c1 ZINC000183801951 697494135 /nfs/dbraw/zinc/49/41/35/697494135.db2.gz ABJVZFSLVJGOFJ-UHFFFAOYSA-N -1 1 317.276 1.966 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)Nc2ccc(Cl)c(F)c2)n[nH]1 ZINC000184074977 697498794 /nfs/dbraw/zinc/49/87/94/697498794.db2.gz FGXWZMTVBZWNFF-UHFFFAOYSA-N -1 1 311.704 1.977 20 0 DDADMM O=C([N-]Cc1nnc(-c2ccccc2)[nH]1)C(F)(F)C(F)F ZINC000749364253 700158196 /nfs/dbraw/zinc/15/81/96/700158196.db2.gz JTTZSPNIVRZMEW-UHFFFAOYSA-N -1 1 302.231 1.988 20 0 DDADMM C[C@@](O)(CNC(=O)c1ccc(C#N)c([O-])c1)c1cccs1 ZINC000188541105 697560997 /nfs/dbraw/zinc/56/09/97/697560997.db2.gz MWNUHUUKUZIEAQ-OAHLLOKOSA-N -1 1 302.355 1.963 20 0 DDADMM N#Cc1ccc(C(=O)NC[C@]2(O)CCc3ccccc32)cc1[O-] ZINC000188720559 697563090 /nfs/dbraw/zinc/56/30/90/697563090.db2.gz BBZGZPAWVHZOOB-GOSISDBHSA-N -1 1 308.337 1.828 20 0 DDADMM Cc1ccsc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000985889229 697596350 /nfs/dbraw/zinc/59/63/50/697596350.db2.gz ZGBFDWALOOCJSK-VHSXEESVSA-N -1 1 321.406 1.273 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CCCC1 ZINC000985913160 697601708 /nfs/dbraw/zinc/60/17/08/697601708.db2.gz ZGMIVJHGUIVZHQ-PWSUYJOCSA-N -1 1 307.398 1.170 20 0 DDADMM CCCCn1ncc(NC(=O)NN2CC(=O)[N-]C2=O)c1C(C)C ZINC000772451840 697632694 /nfs/dbraw/zinc/63/26/94/697632694.db2.gz DFDYUEWZEVLWSY-UHFFFAOYSA-N -1 1 322.369 1.395 20 0 DDADMM N#Cc1cc(Cl)nc(NNC(=O)c2cccc(O)c2[O-])c1 ZINC000193151531 697651646 /nfs/dbraw/zinc/65/16/46/697651646.db2.gz POAVKDMRYCMENP-UHFFFAOYSA-N -1 1 304.693 1.775 20 0 DDADMM C[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1cn(C)c2ccccc12 ZINC000772852945 697684938 /nfs/dbraw/zinc/68/49/38/697684938.db2.gz XORLRXNHRJOYAO-SNVBAGLBSA-N -1 1 314.345 1.447 20 0 DDADMM O=C(c1cccc(Cl)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773155371 697719784 /nfs/dbraw/zinc/71/97/84/697719784.db2.gz UVUDIYYOESLEIR-JTQLQIEISA-N -1 1 309.782 1.783 20 0 DDADMM O=C(CCc1ccccc1O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773153723 697719852 /nfs/dbraw/zinc/71/98/52/697719852.db2.gz PJJPWXPJIJVUKL-NSHDSACASA-N -1 1 319.390 1.155 20 0 DDADMM Cc1cccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1C ZINC000773160940 697722022 /nfs/dbraw/zinc/72/20/22/697722022.db2.gz XOGNVJMFPJISRM-GFCCVEGCSA-N -1 1 303.391 1.747 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773161048 697722050 /nfs/dbraw/zinc/72/20/50/697722050.db2.gz KPWWICJCJWTPGU-ZYHUDNBSSA-N -1 1 319.390 1.284 20 0 DDADMM O=C(CCCCc1cn[nH]n1)[N-]S(=O)(=O)Cc1ccccc1 ZINC000195263187 697737039 /nfs/dbraw/zinc/73/70/39/697737039.db2.gz OFDFEYAXRSPCMK-UHFFFAOYSA-N -1 1 322.390 1.164 20 0 DDADMM CN(Cc1ccco1)C(=O)COC(=O)c1ccc([O-])cc1F ZINC000773582200 697783639 /nfs/dbraw/zinc/78/36/39/697783639.db2.gz NJRLJXRGIQVEOL-UHFFFAOYSA-N -1 1 307.277 1.940 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]Cc1cccnn1 ZINC000236217168 697795383 /nfs/dbraw/zinc/79/53/83/697795383.db2.gz NZHYVHPXKJSJJW-UHFFFAOYSA-N -1 1 312.282 1.002 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC000987173768 698015893 /nfs/dbraw/zinc/01/58/93/698015893.db2.gz MRCWILFOLKBJLY-PWSUYJOCSA-N -1 1 303.362 1.307 20 0 DDADMM CC(C)[C@H](O)C1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000775735724 698027051 /nfs/dbraw/zinc/02/70/51/698027051.db2.gz CKIDZCNAVNTBQV-VIFPVBQESA-N -1 1 321.830 1.149 20 0 DDADMM Cc1cc(F)ccc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776314193 698095165 /nfs/dbraw/zinc/09/51/65/698095165.db2.gz FYZYRIARVUUQFS-LLVKDONJSA-N -1 1 307.354 1.578 20 0 DDADMM CC1CCC(=CC(=O)N2CCSC[C@H]2c2nn[n-]n2)CC1 ZINC000776566112 698118005 /nfs/dbraw/zinc/11/80/05/698118005.db2.gz VRXBCPRSOSZBNT-KFJBMODSSA-N -1 1 307.423 1.953 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CCCC1 ZINC000987863322 698250464 /nfs/dbraw/zinc/25/04/64/698250464.db2.gz FZMYRCYWCRFFDR-JQWIXIFHSA-N -1 1 307.398 1.170 20 0 DDADMM Cc1cc(CN[C@@H]2C[C@@H](C)N(C(=O)c3n[nH]c(C)c3[O-])C2)on1 ZINC000988662345 698430301 /nfs/dbraw/zinc/43/03/01/698430301.db2.gz MJBOZERDBIJDAE-MWLCHTKSSA-N -1 1 319.365 1.113 20 0 DDADMM Cc1cc(CN[C@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)no1 ZINC000988687953 698436696 /nfs/dbraw/zinc/43/66/96/698436696.db2.gz ZUVQPQAJOFLARW-GWCFXTLKSA-N -1 1 316.361 1.476 20 0 DDADMM CCN(CC)[C@@H](C(=O)NC[C@@](C)(O)C(=O)[O-])c1ccccc1 ZINC000262558694 698474965 /nfs/dbraw/zinc/47/49/65/698474965.db2.gz VSNHGFVRIGJAQW-CZUORRHYSA-N -1 1 308.378 1.021 20 0 DDADMM O=C([O-])CN(C(=O)NC[C@H](c1ccco1)N1CCCC1)C1CC1 ZINC000780343776 698508672 /nfs/dbraw/zinc/50/86/72/698508672.db2.gz FANUXRZDYKVWSW-CYBMUJFWSA-N -1 1 321.377 1.675 20 0 DDADMM CC(C)(NC(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000780381833 698513541 /nfs/dbraw/zinc/51/35/41/698513541.db2.gz PIOICLNEBMWMKZ-UHFFFAOYSA-N -1 1 305.378 1.207 20 0 DDADMM CCCC[C@@H](NC(=O)NCCCCN1CCOCC1)C(=O)[O-] ZINC000780424521 698517410 /nfs/dbraw/zinc/51/74/10/698517410.db2.gz PIBNANFYJYSBLK-CYBMUJFWSA-N -1 1 315.414 1.041 20 0 DDADMM CCc1ccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)o1 ZINC000989050870 698526063 /nfs/dbraw/zinc/52/60/63/698526063.db2.gz QJOUUNHKUKZDEO-ZJUUUORDSA-N -1 1 319.365 1.059 20 0 DDADMM CCOC(=O)N[C@H](CNC(=O)c1ncccc1[O-])CC(C)C ZINC000750666261 700238416 /nfs/dbraw/zinc/23/84/16/700238416.db2.gz SKCQYCHKJXMUOH-NSHDSACASA-N -1 1 309.366 1.678 20 0 DDADMM COCCN1CCCN(C(=O)[C@@H]2CCCC[C@@H]2C(=O)[O-])CC1 ZINC000314656013 698691067 /nfs/dbraw/zinc/69/10/67/698691067.db2.gz HBRHAZZCQBYRDD-KGLIPLIRSA-N -1 1 312.410 1.058 20 0 DDADMM Cn1[n-]c(COC(=O)c2ncsc2-c2ccccc2)nc1=O ZINC000782384232 698717370 /nfs/dbraw/zinc/71/73/70/698717370.db2.gz UEEHPHRPEJGNCZ-UHFFFAOYSA-N -1 1 316.342 1.589 20 0 DDADMM O=C(COC1CCCCC1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000339199662 698785471 /nfs/dbraw/zinc/78/54/71/698785471.db2.gz BVIFNYFRFRGBAF-LLVKDONJSA-N -1 1 309.366 1.831 20 0 DDADMM CC(C)[C@@H](CC(F)(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000783870364 698888595 /nfs/dbraw/zinc/88/85/95/698888595.db2.gz VIJQJFUIICGFED-SECBINFHSA-N -1 1 321.299 1.020 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)/C=C\C(C)C)cc1 ZINC000785067430 699053722 /nfs/dbraw/zinc/05/37/22/699053722.db2.gz BKPXVZNMRQCRIH-WTKPLQERSA-N -1 1 305.330 1.707 20 0 DDADMM Cc1cc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)ccc1F ZINC000386169598 699076560 /nfs/dbraw/zinc/07/65/60/699076560.db2.gz CWEBDXHNOSTTMP-CYBMUJFWSA-N -1 1 308.353 1.547 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@@H]2CC[C@H](C(=O)OC)C2)[n-]1 ZINC000415633108 699129709 /nfs/dbraw/zinc/12/97/09/699129709.db2.gz UPIWFQWQQKJANJ-BDAKNGLRSA-N -1 1 309.322 1.114 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CC[C@H](C(=O)OC)C2)n1 ZINC000415633108 699129710 /nfs/dbraw/zinc/12/97/10/699129710.db2.gz UPIWFQWQQKJANJ-BDAKNGLRSA-N -1 1 309.322 1.114 20 0 DDADMM C[C@H]1CCCC[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969180 699195460 /nfs/dbraw/zinc/19/54/60/699195460.db2.gz BFUWIKDLSJBJNR-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971680 699196533 /nfs/dbraw/zinc/19/65/33/699196533.db2.gz ILSPZZHOAFKTQV-VXGBXAGGSA-N -1 1 303.362 1.020 20 0 DDADMM C[C@@H]1CCC[C@@H]1CC(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990978893 699197831 /nfs/dbraw/zinc/19/78/31/699197831.db2.gz QKQZYJRCEBMDOP-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000701200208 699226153 /nfs/dbraw/zinc/22/61/53/699226153.db2.gz BFRYABMWTKOEHU-MAUMQABQSA-N -1 1 307.803 1.164 20 0 DDADMM COC(C)(C)CCOC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000787693072 699231651 /nfs/dbraw/zinc/23/16/51/699231651.db2.gz QTEYFXLXCGYTML-UHFFFAOYSA-N -1 1 321.377 1.953 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCC[C@H]1CCCO1 ZINC000787693516 699231813 /nfs/dbraw/zinc/23/18/13/699231813.db2.gz VWMGPHDRPQVALV-GFCCVEGCSA-N -1 1 319.361 1.707 20 0 DDADMM C[C@H](Cn1ccnc1)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703059719 699235317 /nfs/dbraw/zinc/23/53/17/699235317.db2.gz LLTOYSJJDZUXRK-MRVPVSSYSA-N -1 1 318.761 1.438 20 0 DDADMM CCCn1c(C(=O)OCc2nc(=O)n(C)[n-]2)cc2ccccc21 ZINC000787869510 699250484 /nfs/dbraw/zinc/25/04/84/699250484.db2.gz MEKJVDBHZVWXIN-UHFFFAOYSA-N -1 1 314.345 1.830 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C(F)(F)c2ccccc2)n1 ZINC000787906997 699253337 /nfs/dbraw/zinc/25/33/37/699253337.db2.gz WKVZVQSBSNNDNI-UHFFFAOYSA-N -1 1 324.287 1.390 20 0 DDADMM O=S(=O)(Cc1ccccc1F)[N-][C@H]1CCCC12OCCO2 ZINC000715965799 699279246 /nfs/dbraw/zinc/27/92/46/699279246.db2.gz KNVBVLXAWNZKTL-ZDUSSCGKSA-N -1 1 315.366 1.541 20 0 DDADMM O=S(=O)([N-]Cc1ccc2c(c1)CCCN2)c1ccns1 ZINC000717664611 699289699 /nfs/dbraw/zinc/28/96/99/699289699.db2.gz WQHIHZHFWWIBBX-UHFFFAOYSA-N -1 1 309.416 1.980 20 0 DDADMM CCc1ccc([C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000727847590 699427593 /nfs/dbraw/zinc/42/75/93/699427593.db2.gz JPQCREXIIAFVAD-GFCCVEGCSA-N -1 1 315.373 1.367 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)Cc1csc(C)n1)C(F)(F)F ZINC000790367233 699455844 /nfs/dbraw/zinc/45/58/44/699455844.db2.gz HSNLUAFWUWUIOD-MRVPVSSYSA-N -1 1 318.342 1.448 20 0 DDADMM CC(C)CC(CC(C)C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000728984024 699461973 /nfs/dbraw/zinc/46/19/73/699461973.db2.gz YPVVHGRFNMOBEH-UHFFFAOYSA-N -1 1 309.410 1.504 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(-c2ccco2)nc2ccccc21 ZINC000731887492 699539839 /nfs/dbraw/zinc/53/98/39/699539839.db2.gz NDOXBRZJHGBTTL-UHFFFAOYSA-N -1 1 320.312 1.938 20 0 DDADMM Cc1ccc2oc(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)cc2c1 ZINC000732089834 699546002 /nfs/dbraw/zinc/54/60/02/699546002.db2.gz NLNAJEUPBYKKGZ-ZDUSSCGKSA-N -1 1 313.317 1.468 20 0 DDADMM COC(=O)Nc1ccc(OS(=O)(=O)c2c[n-]nc2C)cc1 ZINC000732215393 699550628 /nfs/dbraw/zinc/55/06/28/699550628.db2.gz BPZRMKMQZBVIRM-UHFFFAOYSA-N -1 1 311.319 1.664 20 0 DDADMM O=C(NC[C@H](O)COc1cccc(F)c1)c1cncc([O-])c1 ZINC000732270683 699552649 /nfs/dbraw/zinc/55/26/49/699552649.db2.gz STGSCDWYVCMZKZ-ZDUSSCGKSA-N -1 1 306.293 1.096 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1cc(F)cc(F)c1)C(F)(F)F ZINC000733320924 699588564 /nfs/dbraw/zinc/58/85/64/699588564.db2.gz WDGZHYMZAQLOIM-VIFPVBQESA-N -1 1 319.251 1.820 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccn(-c4ccccc4)n3)ccnc1-2 ZINC000791164006 699613819 /nfs/dbraw/zinc/61/38/19/699613819.db2.gz IVWFZNDMOIKCMY-UHFFFAOYSA-N -1 1 318.340 1.780 20 0 DDADMM CC(C)c1nc(C(=O)N2CCC(c3nn[n-]n3)CC2)cs1 ZINC000735939828 699711906 /nfs/dbraw/zinc/71/19/06/699711906.db2.gz UKRDMRMJDPRABQ-UHFFFAOYSA-N -1 1 306.395 1.799 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]C(=O)c2ccc3cncn3c2)c1 ZINC000793111811 699733451 /nfs/dbraw/zinc/73/34/51/699733451.db2.gz ISBDJDYBDITSCV-UHFFFAOYSA-N -1 1 315.354 1.761 20 0 DDADMM O=C([O-])N1CC[C@@H](C(=O)NC[C@H](c2ccco2)N2CCCC2)C1 ZINC000740405976 699792970 /nfs/dbraw/zinc/79/29/70/699792970.db2.gz LRGXXVZARMWIBH-CHWSQXEVSA-N -1 1 321.377 1.533 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000741233847 699823404 /nfs/dbraw/zinc/82/34/04/699823404.db2.gz NQICFAHDNHDMAE-AAEUAGOBSA-N -1 1 316.323 1.954 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2coc3cc(F)ccc23)C1=O ZINC000794884568 699834031 /nfs/dbraw/zinc/83/40/31/699834031.db2.gz SWNHBBZTOZIPCO-HNNXBMFYSA-N -1 1 319.292 1.937 20 0 DDADMM O=C(COC(=O)Cc1ncccc1F)[N-]C(=O)c1ccccc1 ZINC000795782735 699891487 /nfs/dbraw/zinc/89/14/87/699891487.db2.gz BBYVAIMFRVGAEO-UHFFFAOYSA-N -1 1 316.288 1.263 20 0 DDADMM CNC(=O)c1ccc(COC(=O)c2ccc(C(=O)OC)[n-]2)cc1 ZINC000796346104 699928294 /nfs/dbraw/zinc/92/82/94/699928294.db2.gz QJYRBKWQCSNJIP-UHFFFAOYSA-N -1 1 316.313 1.518 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N2C[C@@H](C)C[C@H](C)C2)[n-]1 ZINC000796367410 699929568 /nfs/dbraw/zinc/92/95/68/699929568.db2.gz ZNNIXGHZOHRGNB-QWRGUYRKSA-N -1 1 322.361 1.463 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OCCCCO)n2)cc1 ZINC000801363808 700300720 /nfs/dbraw/zinc/30/07/20/700300720.db2.gz HAGAUADVNYGGEM-UHFFFAOYSA-N -1 1 306.318 1.516 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2COC(C)(C)OC2)sc1C ZINC000758632678 700717054 /nfs/dbraw/zinc/71/70/54/700717054.db2.gz WWBKLVHCYBSJMO-UHFFFAOYSA-N -1 1 306.409 1.190 20 0 DDADMM Cc1sc(Cl)nc1C(=O)[N-]c1nn2cnnc2s1 ZINC000762842387 700910026 /nfs/dbraw/zinc/91/00/26/700910026.db2.gz QWFVMKQCEGREGZ-UHFFFAOYSA-N -1 1 300.756 1.856 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc([C@]3(C)CCCO3)no2)co1 ZINC000763338342 700934703 /nfs/dbraw/zinc/93/47/03/700934703.db2.gz LQNKDZUTDNOVIZ-LBPRGKRZSA-N -1 1 313.335 1.263 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@H]1CCC(F)(F)C1 ZINC000763376605 700935953 /nfs/dbraw/zinc/93/59/53/700935953.db2.gz ZGEKTUQFAZWALT-ZETCQYMHSA-N -1 1 313.757 1.848 20 0 DDADMM Cc1sc(-c2ccco2)nc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765437022 701012921 /nfs/dbraw/zinc/01/29/21/701012921.db2.gz BVJOXIFFFPTCCP-UHFFFAOYSA-N -1 1 320.330 1.490 20 0 DDADMM CCSc1ccc(CC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765503707 701016689 /nfs/dbraw/zinc/01/66/89/701016689.db2.gz UYKFSVPCNMYBPW-UHFFFAOYSA-N -1 1 307.375 1.506 20 0 DDADMM COCCO[N-]C(=O)CSc1nc(-c2ccc(C)cc2)n[nH]1 ZINC000765783876 701027111 /nfs/dbraw/zinc/02/71/11/701027111.db2.gz OGYSLPQDRSQSNL-UHFFFAOYSA-N -1 1 322.390 1.566 20 0 DDADMM C[C@@H](CC(F)(F)F)[N-]S(=O)(=O)c1cn(C)c(Cl)n1 ZINC000766578769 701056355 /nfs/dbraw/zinc/05/63/55/701056355.db2.gz ZUFSCTATTSSFOA-YFKPBYRVSA-N -1 1 305.709 1.693 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCC1=CCCCCC1 ZINC000766560075 701056071 /nfs/dbraw/zinc/05/60/71/701056071.db2.gz PPVJKTPSCIPRFC-UHFFFAOYSA-N -1 1 305.378 1.324 20 0 DDADMM C[C@H](CC(F)(F)F)[N-]S(=O)(=O)c1cn(C)c(Cl)n1 ZINC000766578768 701056345 /nfs/dbraw/zinc/05/63/45/701056345.db2.gz ZUFSCTATTSSFOA-RXMQYKEDSA-N -1 1 305.709 1.693 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc2c(c1)CCCNC2=O ZINC000766851837 701066184 /nfs/dbraw/zinc/06/61/84/701066184.db2.gz KBZXDWLCVVOFCU-UHFFFAOYSA-N -1 1 321.358 1.162 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1ccc(C(N)=O)nc1 ZINC000767089190 701082676 /nfs/dbraw/zinc/08/26/76/701082676.db2.gz WXSHGYAUEALRKG-UHFFFAOYSA-N -1 1 315.333 1.360 20 0 DDADMM O=C(O[C@@H]1CCCN(c2cccc(F)c2)C1=O)c1cn[n-]n1 ZINC000805603639 701397457 /nfs/dbraw/zinc/39/74/57/701397457.db2.gz MFPAYURPCUUHRR-GFCCVEGCSA-N -1 1 304.281 1.296 20 0 DDADMM Cc1c(Cl)cccc1NC(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805603373 701397563 /nfs/dbraw/zinc/39/75/63/701397563.db2.gz ILLFJVMLBCVXGB-MRVPVSSYSA-N -1 1 308.725 1.951 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)OC(=O)c2cn[n-]n2)c(OC)c1 ZINC000805606705 701398815 /nfs/dbraw/zinc/39/88/15/701398815.db2.gz KXCDCQIHYKVXLJ-QMMMGPOBSA-N -1 1 320.305 1.006 20 0 DDADMM COC(=O)[C@H](OC(=O)c1cn[n-]n1)c1c(F)cccc1Cl ZINC000805607064 701399394 /nfs/dbraw/zinc/39/93/94/701399394.db2.gz GDUXTUWJTSVIST-SNVBAGLBSA-N -1 1 313.672 1.668 20 0 DDADMM CO[C@H]1CCCC[C@@H]1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806482141 701436922 /nfs/dbraw/zinc/43/69/22/701436922.db2.gz MWXKXQYSXUUPCA-STQMWFEESA-N -1 1 303.366 1.421 20 0 DDADMM COc1ccc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)nc1 ZINC000806481613 701436998 /nfs/dbraw/zinc/43/69/98/701436998.db2.gz GBPICQVJGWMMIT-UHFFFAOYSA-N -1 1 312.333 1.067 20 0 DDADMM CS(=O)(=O)c1cccc(C[N-]C(=O)C(F)(F)C(F)F)c1 ZINC000836829686 707525931 /nfs/dbraw/zinc/52/59/31/707525931.db2.gz FZQIKUFSAAZHLJ-UHFFFAOYSA-N -1 1 313.272 1.607 20 0 DDADMM O=C(NCc1cccc2c1OCCO2)C(=O)c1ccc([O-])cc1 ZINC000806751565 701447710 /nfs/dbraw/zinc/44/77/10/701447710.db2.gz OAHNVDZEAIRFMK-UHFFFAOYSA-N -1 1 313.309 1.663 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C(=O)O1 ZINC000808203330 701507261 /nfs/dbraw/zinc/50/72/61/701507261.db2.gz YTQPHHHDYAVUQH-IMTBSYHQSA-N -1 1 303.236 1.845 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C(=O)O1 ZINC000808203329 701507288 /nfs/dbraw/zinc/50/72/88/701507288.db2.gz YTQPHHHDYAVUQH-HZGVNTEJSA-N -1 1 303.236 1.845 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C(=O)O1 ZINC000808203332 701507479 /nfs/dbraw/zinc/50/74/79/701507479.db2.gz YTQPHHHDYAVUQH-RCOVLWMOSA-N -1 1 303.236 1.845 20 0 DDADMM Cn1nc(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1C1CC1 ZINC000809892749 701697097 /nfs/dbraw/zinc/69/70/97/701697097.db2.gz DNYUGDSENFOHJI-UHFFFAOYSA-N -1 1 311.349 1.597 20 0 DDADMM CCOC(=O)[C@H](CC=C(C)C)[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC000867761228 701735627 /nfs/dbraw/zinc/73/56/27/701735627.db2.gz YUKPLFRFLCTHCB-OLZOCXBDSA-N -1 1 319.423 1.373 20 0 DDADMM CCC(O)(CC)C(C)(C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867859331 701798726 /nfs/dbraw/zinc/79/87/26/701798726.db2.gz RFLSWVRVQJDQGZ-UHFFFAOYSA-N -1 1 322.377 1.968 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)OC[C@@H]2CCCO2)C1 ZINC000867890226 701813074 /nfs/dbraw/zinc/81/30/74/701813074.db2.gz LJGGFRXEIBZQFS-JOYOIKCWSA-N -1 1 324.299 1.445 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-]C(=O)[C@@]1(F)CCN(C(C)(C)C)C1 ZINC000810671022 701816758 /nfs/dbraw/zinc/81/67/58/701816758.db2.gz PLOKRGVXEZGWCL-GXFFZTMASA-N -1 1 308.419 1.443 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)CC(F)(F)C(F)(F)F)C1=O ZINC000867919685 701829890 /nfs/dbraw/zinc/82/98/90/701829890.db2.gz QHKCOYSBMVXQHK-MRVPVSSYSA-N -1 1 317.214 1.326 20 0 DDADMM Cn1cc(C(C)(C)NC(=O)c2ccc3n[n-]c(=S)n3c2)cn1 ZINC000839801067 701832156 /nfs/dbraw/zinc/83/21/56/701832156.db2.gz ZJXKLBDKLRGBIV-UHFFFAOYSA-N -1 1 316.390 1.417 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2cc3cc(F)ccc3o2)OCCO1 ZINC000831005548 706618810 /nfs/dbraw/zinc/61/88/10/706618810.db2.gz MVQQPQGUSWVFEG-UHFFFAOYSA-N -1 1 315.322 1.613 20 0 DDADMM CCn1[nH]c(C)nc1=NC(=O)c1cc(OC)c([O-])c(OC)c1 ZINC000839903346 701863178 /nfs/dbraw/zinc/86/31/78/701863178.db2.gz XPOXVCPZKDMZTO-UHFFFAOYSA-N -1 1 306.322 1.003 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)c2nccs2)C1)C(F)(F)F ZINC000810898378 701870144 /nfs/dbraw/zinc/87/01/44/701870144.db2.gz JQMYUYFKKQSUES-MRVPVSSYSA-N -1 1 321.324 1.674 20 0 DDADMM Cn1[n-]c(COC(=O)c2nc3ccccc3cc2Cl)nc1=O ZINC000811293182 701953215 /nfs/dbraw/zinc/95/32/15/701953215.db2.gz GKUNSSNLWDRIDC-UHFFFAOYSA-N -1 1 318.720 1.667 20 0 DDADMM CC(C)(CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)CC(F)F ZINC000879262567 706633395 /nfs/dbraw/zinc/63/33/95/706633395.db2.gz GCVRKHQQCKIWGB-SECBINFHSA-N -1 1 301.341 1.977 20 0 DDADMM CCC[C@H](C)N1C[C@@H](C(=O)[N-]OCCCC(=O)OC)CC1=O ZINC000811560104 702005576 /nfs/dbraw/zinc/00/55/76/702005576.db2.gz HGAGOUCFLTXWTM-RYUDHWBXSA-N -1 1 314.382 1.025 20 0 DDADMM CCc1cncc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1 ZINC000831130450 706640066 /nfs/dbraw/zinc/64/00/66/706640066.db2.gz VVNOGWAXXBZKNJ-UHFFFAOYSA-N -1 1 315.295 1.395 20 0 DDADMM C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831111840 706636689 /nfs/dbraw/zinc/63/66/89/706636689.db2.gz FNUVGYXVPGKKET-DQDDRIPDSA-N -1 1 304.312 1.415 20 0 DDADMM O=C([N-]CC1CN(C(=O)c2ccoc2C2CC2)C1)C(F)(F)F ZINC000831126043 706639625 /nfs/dbraw/zinc/63/96/25/706639625.db2.gz WEMYJQHDXYKIQQ-UHFFFAOYSA-N -1 1 316.279 1.908 20 0 DDADMM Cc1cccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000831154612 706645813 /nfs/dbraw/zinc/64/58/13/706645813.db2.gz XWZXBKDVASLCJJ-UHFFFAOYSA-N -1 1 301.268 1.141 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3C[C@H](C)OC3=O)cnc2n1 ZINC000816623731 702129401 /nfs/dbraw/zinc/12/94/01/702129401.db2.gz IVFZTFDJEXGPCP-GZMMTYOYSA-N -1 1 301.302 1.078 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1C[C@H](C)OC1=O)c2=O ZINC000816623731 702129405 /nfs/dbraw/zinc/12/94/05/702129405.db2.gz IVFZTFDJEXGPCP-GZMMTYOYSA-N -1 1 301.302 1.078 20 0 DDADMM CCO/C=C/C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000840585302 702163433 /nfs/dbraw/zinc/16/34/33/702163433.db2.gz AILXBVRWRYTPLB-CMDGGOBGSA-N -1 1 307.302 1.045 20 0 DDADMM C[C@@H]1CCN(CC(=O)Nc2nc(Br)ccc2[O-])C1 ZINC000816952905 702236548 /nfs/dbraw/zinc/23/65/48/702236548.db2.gz VFJKOIPDWSLXQE-MRVPVSSYSA-N -1 1 314.183 1.830 20 0 DDADMM COc1ccc(N2C[C@@H](C(=O)[N-]OC3CCC3)CC2=O)cc1 ZINC000812792700 702239938 /nfs/dbraw/zinc/23/99/38/702239938.db2.gz FHTNNDAKAHEQLN-NSHDSACASA-N -1 1 304.346 1.648 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2CC23CC3)C1 ZINC000868724490 702287690 /nfs/dbraw/zinc/28/76/90/702287690.db2.gz JVIMZNRRTINSBK-LDYMZIIASA-N -1 1 305.300 1.391 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NOCC2CC2)o1 ZINC000817368037 702355378 /nfs/dbraw/zinc/35/53/78/702355378.db2.gz ORZQNNATJGBMOV-UHFFFAOYSA-N -1 1 316.379 1.428 20 0 DDADMM COCC(=O)N1c2ccccc2C[C@H]1C[N-]C(=O)C(F)(F)F ZINC000817493329 702390885 /nfs/dbraw/zinc/39/08/85/702390885.db2.gz UKQYYWKWVKLJFU-JTQLQIEISA-N -1 1 316.279 1.269 20 0 DDADMM CC(C)Cn1c([O-])c(C(=O)Nc2ccc(F)cc2)c(=O)[nH]c1=O ZINC000817571068 702413777 /nfs/dbraw/zinc/41/37/77/702413777.db2.gz MTBKCZIXKUQXAJ-NSHDSACASA-N -1 1 321.308 1.115 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@@H](CCO)CC1 ZINC000817700756 702455159 /nfs/dbraw/zinc/45/51/59/702455159.db2.gz ZBIXXYSEUDIGFP-LLVKDONJSA-N -1 1 320.418 1.643 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)Cc2ccc(F)cc2F)C(=O)O1 ZINC000841525811 702480183 /nfs/dbraw/zinc/48/01/83/702480183.db2.gz UYXLHDREDVNCEG-CPCISQLKSA-N -1 1 305.302 1.088 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000841535846 702485906 /nfs/dbraw/zinc/48/59/06/702485906.db2.gz VLFLSJNBYYAOSG-SNVBAGLBSA-N -1 1 323.292 1.334 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)Cc1ccccc1Cl ZINC000841545608 702492175 /nfs/dbraw/zinc/49/21/75/702492175.db2.gz RNGOUNBWGODQPU-JTQLQIEISA-N -1 1 309.746 1.271 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3C[C@@H]4CCC[C@@H]4O3)ccnc1-2 ZINC000879415960 706674275 /nfs/dbraw/zinc/67/42/75/706674275.db2.gz JKDCNGSQINITRP-MJVIPROJSA-N -1 1 315.377 1.421 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@@H](O)C(C)C ZINC000841562190 702499124 /nfs/dbraw/zinc/49/91/24/702499124.db2.gz VDFNUQFFLYLTJY-IUCAKERBSA-N -1 1 309.819 1.147 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@@H]1CCO[C@@H]1C ZINC000869386564 702589459 /nfs/dbraw/zinc/58/94/59/702589459.db2.gz VUFYXRWLKSBNRM-NEPJUHHUSA-N -1 1 319.361 1.562 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CCCC2(C)C)CCC1 ZINC000843013850 702803445 /nfs/dbraw/zinc/80/34/45/702803445.db2.gz ATZYTXFCZKWSQT-LBPRGKRZSA-N -1 1 316.467 1.743 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C[C@H]2C=CCC2)CCC1 ZINC000843018212 702804159 /nfs/dbraw/zinc/80/41/59/702804159.db2.gz PIZXOHGHANSZDP-LBPRGKRZSA-N -1 1 300.424 1.273 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CC2=CCCCC2)CCC1 ZINC000843018347 702804408 /nfs/dbraw/zinc/80/44/08/702804408.db2.gz NJAWQCVPZLSBKI-UHFFFAOYSA-N -1 1 314.451 1.807 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)/C=C/c2ccccc2)CCC1 ZINC000843019540 702804643 /nfs/dbraw/zinc/80/46/43/702804643.db2.gz VFIHBPSMOUTVGO-MDZDMXLPSA-N -1 1 322.430 1.630 20 0 DDADMM Cc1cc(C)cc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)c1 ZINC000843019336 702804767 /nfs/dbraw/zinc/80/47/67/702804767.db2.gz PNBHDUCYHWFHHA-UHFFFAOYSA-N -1 1 324.446 1.847 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-]CC1CC1)c1ccccc1F ZINC000866450145 706701559 /nfs/dbraw/zinc/70/15/59/706701559.db2.gz CXHWKLRGQSWFPO-SFHVURJKSA-N -1 1 306.384 1.527 20 0 DDADMM CC[C@H](C)C[C@H](CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000844034360 702960582 /nfs/dbraw/zinc/96/05/82/702960582.db2.gz BGQMZOCGFQFKND-GXSJLCMTSA-N -1 1 308.407 1.545 20 0 DDADMM CCCCOC(=O)[C@@H](C)N(CCC(=O)[O-])C1CCOCC1 ZINC000844242048 702997967 /nfs/dbraw/zinc/99/79/67/702997967.db2.gz OZPZXVWHZCLFHM-GFCCVEGCSA-N -1 1 301.383 1.674 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@](O)(c3ccc(Cl)cc3)C2)nc1=O ZINC000844373072 703022464 /nfs/dbraw/zinc/02/24/64/703022464.db2.gz CFMWVWRPJNJXGY-HNNXBMFYSA-N -1 1 322.796 1.245 20 0 DDADMM CC(C)(C)CC[C@H](NC(=O)c1[nH]nc2c1CNCC2)C(=O)[O-] ZINC000844935829 703087065 /nfs/dbraw/zinc/08/70/65/703087065.db2.gz LZBLZYJXFOELTR-NSHDSACASA-N -1 1 308.382 1.065 20 0 DDADMM Cn1[n-]c(COC(=O)CC2C3CC4CC(C3)CC2C4)nc1=O ZINC000845355394 703147279 /nfs/dbraw/zinc/14/72/79/703147279.db2.gz AEROCMCWAUENCQ-UHFFFAOYSA-N -1 1 305.378 1.614 20 0 DDADMM CCO[N-]C(=O)CNCc1c(C)nn(-c2ccccn2)c1Cl ZINC000846083441 703235682 /nfs/dbraw/zinc/23/56/82/703235682.db2.gz RPPPUMIKHJDHLB-UHFFFAOYSA-N -1 1 323.784 1.386 20 0 DDADMM Cn1cc([C@H]([N-]C(=O)C(F)(F)C(F)F)C2(CO)CCC2)cn1 ZINC000846202005 703250450 /nfs/dbraw/zinc/25/04/50/703250450.db2.gz RPOMXDWWZIRNCY-VIFPVBQESA-N -1 1 323.290 1.640 20 0 DDADMM CSC(C)(C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000831581974 706733679 /nfs/dbraw/zinc/73/36/79/706733679.db2.gz SLNMNPBXUGSZLM-UHFFFAOYSA-N -1 1 304.393 1.120 20 0 DDADMM O=C(NCCN1CCc2ccccc21)c1cnc(C2CC2)[n-]c1=O ZINC000831610601 706738451 /nfs/dbraw/zinc/73/84/51/706738451.db2.gz ZWGAMGDWPRWTOX-UHFFFAOYSA-N -1 1 324.384 1.852 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCc2c1cccc2F ZINC000866590839 706738694 /nfs/dbraw/zinc/73/86/94/706738694.db2.gz WLPPGEQRMMAANE-BLVKFPJESA-N -1 1 320.411 1.765 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[C@H]1c1ccccc1 ZINC000866596476 706740290 /nfs/dbraw/zinc/74/02/90/706740290.db2.gz MONZZOFEIROAGL-QWHCGFSZSA-N -1 1 316.448 1.885 20 0 DDADMM Cc1noc(C)c1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866597311 706740920 /nfs/dbraw/zinc/74/09/20/706740920.db2.gz QJPNCUKJRGZEBD-UHFFFAOYSA-N -1 1 303.290 1.443 20 0 DDADMM O=C(c1cnc(Cl)c(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000847603822 703442280 /nfs/dbraw/zinc/44/22/80/703442280.db2.gz FLQYROYZGOPDTH-ZETCQYMHSA-N -1 1 310.720 1.407 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)c2cscn2)co1 ZINC000847815803 703471792 /nfs/dbraw/zinc/47/17/92/703471792.db2.gz QIRPENKHGLUMII-SSDOTTSWSA-N -1 1 316.360 1.562 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC[C@@H](C)COC)o1 ZINC000847875785 703479520 /nfs/dbraw/zinc/47/95/20/703479520.db2.gz UOGQUJQMMGNWKY-SECBINFHSA-N -1 1 305.352 1.017 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2CC3(CC3(F)F)C2)n[n-]1 ZINC000879655028 706750787 /nfs/dbraw/zinc/75/07/87/706750787.db2.gz YQRJUBZHIDKREA-UHFFFAOYSA-N -1 1 314.292 1.033 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2CC3(CC3(F)F)C2)n1 ZINC000879655028 706750790 /nfs/dbraw/zinc/75/07/90/706750790.db2.gz YQRJUBZHIDKREA-UHFFFAOYSA-N -1 1 314.292 1.033 20 0 DDADMM CC1(C)C[C@@](C)(NC(=O)c2ccc3n[n-]c(=S)n3c2)C(=O)O1 ZINC000848149701 703516443 /nfs/dbraw/zinc/51/64/43/703516443.db2.gz ZFPOCPZYGJGJNH-CQSZACIVSA-N -1 1 320.374 1.232 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(Cl)cs2)n[n-]1 ZINC000879654443 706750872 /nfs/dbraw/zinc/75/08/72/706750872.db2.gz IHJNELFTRUWUGX-UHFFFAOYSA-N -1 1 314.754 1.626 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(Cl)cs2)n1 ZINC000879654443 706750875 /nfs/dbraw/zinc/75/08/75/706750875.db2.gz IHJNELFTRUWUGX-UHFFFAOYSA-N -1 1 314.754 1.626 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)Cc2cc(F)ccc2Cl)C(=O)O1 ZINC000849393664 703642784 /nfs/dbraw/zinc/64/27/84/703642784.db2.gz BXWUZLPJPPVGJI-CPCISQLKSA-N -1 1 321.757 1.603 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCC1)c1ccc(Br)o1 ZINC000849421389 703646263 /nfs/dbraw/zinc/64/62/63/703646263.db2.gz NLIIZWTZVDEHLQ-MRVPVSSYSA-N -1 1 324.196 1.481 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H](C)c1cscn1 ZINC000849432247 703647351 /nfs/dbraw/zinc/64/73/51/703647351.db2.gz ZCQBSUKCMYFCAU-LURJTMIESA-N -1 1 320.827 1.878 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(C1)C[C@@H]([N-]C(=O)C(F)(F)F)CO2 ZINC000849443645 703648448 /nfs/dbraw/zinc/64/84/48/703648448.db2.gz HSAHRYZUVKBDLH-MRVPVSSYSA-N -1 1 324.299 1.443 20 0 DDADMM CC(C)c1cc(C(=O)N2CC3(CCC3)[C@](F)(C(=O)[O-])C2)n[nH]1 ZINC000851611556 703815305 /nfs/dbraw/zinc/81/53/05/703815305.db2.gz PYGKOTVIOIQSIS-OAHLLOKOSA-N -1 1 309.341 1.952 20 0 DDADMM CN(C)c1noc(COC(=O)Cc2ccc([O-])c(Cl)c2)n1 ZINC000869736299 703839559 /nfs/dbraw/zinc/83/95/59/703839559.db2.gz FULHGQKMWOAVBU-UHFFFAOYSA-N -1 1 311.725 1.781 20 0 DDADMM CS[C@H](C)C[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000867001650 706869105 /nfs/dbraw/zinc/86/91/05/706869105.db2.gz STSVIMSJZBINOB-MLCYQJTMSA-N -1 1 322.477 1.729 20 0 DDADMM CCc1nnc([C@H](C)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)[nH]1 ZINC000879767742 706787163 /nfs/dbraw/zinc/78/71/63/706787163.db2.gz OGPQEUOKURFNTL-QPUJVOFHSA-N -1 1 319.331 1.571 20 0 DDADMM CCN(C[C@H](O)c1ccc(C)cc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000852726710 704107582 /nfs/dbraw/zinc/10/75/82/704107582.db2.gz XVBSOLRZPRZMSD-CABCVRRESA-N -1 1 320.389 1.036 20 0 DDADMM CC(C)=CCC[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000852733681 704109028 /nfs/dbraw/zinc/10/90/28/704109028.db2.gz WGRSNVUBAUOBOO-QWRGUYRKSA-N -1 1 322.327 1.939 20 0 DDADMM CCn1nncc1CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852756924 704116571 /nfs/dbraw/zinc/11/65/71/704116571.db2.gz YXVUWLWHOJIIJK-KOLCDFICSA-N -1 1 319.331 1.187 20 0 DDADMM Cn1ncc(NC(=O)c2ccc3n[n-]c(=S)n3c2)c1C1CC1 ZINC000870771184 704127397 /nfs/dbraw/zinc/12/73/97/704127397.db2.gz GTXNFMQCIUJPOP-UHFFFAOYSA-N -1 1 314.374 1.881 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2CCn3ccnc32)c(F)c1 ZINC000819881028 704180055 /nfs/dbraw/zinc/18/00/55/704180055.db2.gz BAXZCSPVOOGGGN-NSHDSACASA-N -1 1 313.329 1.893 20 0 DDADMM C[C@@H](C(=O)n1ncc(-c2nn[n-]n2)c1N)c1ccc(Cl)s1 ZINC000819883603 704180282 /nfs/dbraw/zinc/18/02/82/704180282.db2.gz IUOKDZVYKDJUPS-RXMQYKEDSA-N -1 1 323.769 1.804 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000820076593 704217135 /nfs/dbraw/zinc/21/71/35/704217135.db2.gz OJTLDABLTBZXKU-MRVPVSSYSA-N -1 1 310.383 1.713 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000820076593 704217138 /nfs/dbraw/zinc/21/71/38/704217138.db2.gz OJTLDABLTBZXKU-MRVPVSSYSA-N -1 1 310.383 1.713 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@](C)(C(F)(F)F)C2)c([O-])c1 ZINC000871437941 704294838 /nfs/dbraw/zinc/29/48/38/704294838.db2.gz LISJTJDITDBMOA-LBPRGKRZSA-N -1 1 304.268 1.889 20 0 DDADMM CCN(C[C@@H](O)c1ccc(C)cc1)C(=O)CCCc1nn[n-]n1 ZINC000820576155 704303247 /nfs/dbraw/zinc/30/32/47/704303247.db2.gz UCQCBEYFSXMDBG-CQSZACIVSA-N -1 1 317.393 1.413 20 0 DDADMM O=C(NCC[C@H]1CNC(=O)C1)c1ccc2ccc(O)cc2c1[O-] ZINC000831929506 706805383 /nfs/dbraw/zinc/80/53/83/706805383.db2.gz JIDITGQVSJLJDH-SNVBAGLBSA-N -1 1 314.341 1.507 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342236 704382139 /nfs/dbraw/zinc/38/21/39/704382139.db2.gz MIIAKXLPUYCAEO-ZHACJKMWSA-N -1 1 314.345 1.980 20 0 DDADMM CO[C@@H](CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O)[C@@H]1CCOC1 ZINC000855205261 704472829 /nfs/dbraw/zinc/47/28/29/704472829.db2.gz DVZPZXGCKDEOSO-NEPJUHHUSA-N -1 1 323.393 1.395 20 0 DDADMM CCOC(=O)[C@@]1(F)CN(C(=O)c2ncccc2[O-])CC12CCC2 ZINC000855241903 704474899 /nfs/dbraw/zinc/47/48/99/704474899.db2.gz UBIODLJAKXGWLE-INIZCTEOSA-N -1 1 322.336 1.685 20 0 DDADMM CN(C)C(=O)OCCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000855646425 704494800 /nfs/dbraw/zinc/49/48/00/704494800.db2.gz QNEONDYDAKRXMQ-UHFFFAOYSA-N -1 1 320.267 1.839 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@H](C)[C@](C)(CO)C1 ZINC000856246489 704519565 /nfs/dbraw/zinc/51/95/65/704519565.db2.gz FZXAFHMPJOBPJL-QFYYESIMSA-N -1 1 307.394 1.705 20 0 DDADMM CC1(C)OCC[C@@H]1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000856263737 704520710 /nfs/dbraw/zinc/52/07/10/704520710.db2.gz PEWSWZATQHMYLR-SNVBAGLBSA-N -1 1 306.391 1.563 20 0 DDADMM CCOCCn1cc(C(=O)Nc2nc(Cl)ccc2[O-])cn1 ZINC000857190207 704561450 /nfs/dbraw/zinc/56/14/50/704561450.db2.gz GBSGUNUBAPFXTG-UHFFFAOYSA-N -1 1 310.741 1.926 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@]1(C)CCO[C@H]1C1CC1 ZINC000867117723 706901698 /nfs/dbraw/zinc/90/16/98/706901698.db2.gz HRZGWCYMZZZLMT-CRTZDJKQSA-N -1 1 324.468 1.144 20 0 DDADMM Nc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(O)c1 ZINC000832053760 706833186 /nfs/dbraw/zinc/83/31/86/706833186.db2.gz CCRKFCLMNYVUTD-QMMMGPOBSA-N -1 1 304.306 1.083 20 0 DDADMM CCO[C@@H]1C[C@@H](O)C12CCN(c1cc(Cl)[n-]c(=O)n1)CC2 ZINC000858421121 704709044 /nfs/dbraw/zinc/70/90/44/704709044.db2.gz NYKGYDUKGPVFBE-NXEZZACHSA-N -1 1 313.785 1.592 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)Cc2ccc(F)cc2Cl)C(=O)O1 ZINC000867269913 706943065 /nfs/dbraw/zinc/94/30/65/706943065.db2.gz MRXNDFSWYULSAH-RDDDGLTNSA-N -1 1 321.757 1.603 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1COc2ccccc21 ZINC000867275387 706945017 /nfs/dbraw/zinc/94/50/17/706945017.db2.gz SMJXMGPGYAMZHM-DGIBIBHMSA-N -1 1 318.420 1.115 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](c2nc(-c3ccc([O-])c(F)c3)no2)O1 ZINC000858750846 704752771 /nfs/dbraw/zinc/75/27/71/704752771.db2.gz TXORYRKKUPBKOZ-MNOVXSKESA-N -1 1 308.265 1.974 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC12CC3CC(CC(C3)C1)C2 ZINC000873789623 704850893 /nfs/dbraw/zinc/85/08/93/704850893.db2.gz ADRHOOSNUDSKPL-UHFFFAOYSA-N -1 1 303.410 1.855 20 0 DDADMM O=C([O-])COCCNCc1cnn(Cc2ccccc2)c1Cl ZINC000874043874 704925073 /nfs/dbraw/zinc/92/50/73/704925073.db2.gz ZRWXCYCSRONCQA-UHFFFAOYSA-N -1 1 323.780 1.776 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[C@@H](OC)C12CCC2 ZINC000867386022 706983743 /nfs/dbraw/zinc/98/37/43/706983743.db2.gz GNXOJNXMLDYJOV-GHMZBOCLSA-N -1 1 324.468 1.286 20 0 DDADMM O=C(CN1CCN(C2CC2)C1=O)Nc1nc(Cl)ccc1[O-] ZINC000834298230 707024428 /nfs/dbraw/zinc/02/44/28/707024428.db2.gz LWQRFPNKUKFTGK-UHFFFAOYSA-N -1 1 310.741 1.279 20 0 DDADMM CCSC1(C[N-]S(=O)(=O)N=[S@](C)(=O)CC)CC1 ZINC000867528491 707029860 /nfs/dbraw/zinc/02/98/60/707029860.db2.gz OXUDBMHQLKSKLK-MRXNPFEDSA-N -1 1 300.471 1.224 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2CCO[C@@H](CCF)C2)cc1 ZINC000859904545 705035438 /nfs/dbraw/zinc/03/54/38/705035438.db2.gz HJQSUGMJVWTXEL-AWEZNQCLSA-N -1 1 324.352 1.012 20 0 DDADMM Cc1noc(C2CN(C(=O)c3ncc4ccccc4c3[O-])C2)n1 ZINC000834468960 707061071 /nfs/dbraw/zinc/06/10/71/707061071.db2.gz IGFUIXKPAFDHMI-UHFFFAOYSA-N -1 1 310.313 1.871 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CC=C(C(=O)Nc2ccncc2[O-])C1 ZINC000860463019 705195896 /nfs/dbraw/zinc/19/58/96/705195896.db2.gz KHNCVEMYQNAVON-NSHDSACASA-N -1 1 319.361 1.761 20 0 DDADMM CC(C)OC(=O)CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000823765668 705313113 /nfs/dbraw/zinc/31/31/13/705313113.db2.gz UHVXUYGDGPXHQH-UHFFFAOYSA-N -1 1 308.363 1.090 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NOCC2CC2)C1 ZINC000875619354 705450794 /nfs/dbraw/zinc/45/07/94/705450794.db2.gz MOYLUZOSZQHVMF-NSHDSACASA-N -1 1 309.288 1.181 20 0 DDADMM CC(C)(F)CCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875739101 705495030 /nfs/dbraw/zinc/49/50/30/705495030.db2.gz UEGBMJAUUQAEHA-UHFFFAOYSA-N -1 1 313.295 1.445 20 0 DDADMM CCCO[C@H](C(=O)N(C)c1nn[n-]n1)c1ccc(F)cc1C ZINC000825532728 705688881 /nfs/dbraw/zinc/68/88/81/705688881.db2.gz ZWYLHEIGCBBTHL-LBPRGKRZSA-N -1 1 307.329 1.778 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC[C@](F)(CO)C1 ZINC000876397275 705708564 /nfs/dbraw/zinc/70/85/64/705708564.db2.gz ZZXCOXWCZFTVFW-CYBMUJFWSA-N -1 1 302.733 1.662 20 0 DDADMM Cc1onc(CC(=O)N2CCc3cccc(C)c32)c1-c1nnn[n-]1 ZINC000826305049 705788873 /nfs/dbraw/zinc/78/88/73/705788873.db2.gz ISZRGRZVTWXRRP-UHFFFAOYSA-N -1 1 324.344 1.603 20 0 DDADMM Cc1onc(CC(=O)N2CCc3cccc(C)c32)c1-c1nn[n-]n1 ZINC000826305049 705788877 /nfs/dbraw/zinc/78/88/77/705788877.db2.gz ISZRGRZVTWXRRP-UHFFFAOYSA-N -1 1 324.344 1.603 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H](C)[C@H]2C)c1-c1nnn[n-]1 ZINC000826344760 705793437 /nfs/dbraw/zinc/79/34/37/705793437.db2.gz RIQCWRAQQJVRCE-DTWKUNHWSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H](C)[C@H]2C)c1-c1nn[n-]n1 ZINC000826344760 705793439 /nfs/dbraw/zinc/79/34/39/705793439.db2.gz RIQCWRAQQJVRCE-DTWKUNHWSA-N -1 1 304.354 1.353 20 0 DDADMM CCOC(=O)C1=CC[C@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000862880220 705818807 /nfs/dbraw/zinc/81/88/07/705818807.db2.gz MQWMKHCXQXAGIY-LBPRGKRZSA-N -1 1 303.314 1.343 20 0 DDADMM C[C@@H]1CCN(C(=O)CC2(C)COC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000826686590 705845928 /nfs/dbraw/zinc/84/59/28/705845928.db2.gz SQBZVGJJZORBFG-ZJUUUORDSA-N -1 1 322.327 1.329 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)Cc1cccc(F)c1F ZINC000827115075 705932528 /nfs/dbraw/zinc/93/25/28/705932528.db2.gz UFAQNIQVMLPRPJ-UHFFFAOYSA-N -1 1 307.318 1.336 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000863757020 706001934 /nfs/dbraw/zinc/00/19/34/706001934.db2.gz RIISFSAMRQIKLA-RKDXNWHRSA-N -1 1 315.247 1.656 20 0 DDADMM C[C@@H](O)CN1CCN(C(=O)Nc2cccc(C(=O)[O-])c2)C[C@H]1C ZINC000827796418 706068938 /nfs/dbraw/zinc/06/89/38/706068938.db2.gz UFCPPHBPWXFXEO-VXGBXAGGSA-N -1 1 321.377 1.304 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(C(=O)c2cccc3n[nH]cc32)C1 ZINC000864146414 706080281 /nfs/dbraw/zinc/08/02/81/706080281.db2.gz UPBHZUCWXULGKF-OAHLLOKOSA-N -1 1 303.318 1.126 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(CF)cc2)CC1 ZINC000864160127 706084693 /nfs/dbraw/zinc/08/46/93/706084693.db2.gz RPUAIPJNRIQQAS-LBPRGKRZSA-N -1 1 308.353 1.777 20 0 DDADMM O=C([O-])[C@]1(NCc2cccnc2Br)CCOC1 ZINC000864510450 706184778 /nfs/dbraw/zinc/18/47/78/706184778.db2.gz AQACQDARIQQPJE-NSHDSACASA-N -1 1 301.140 1.177 20 0 DDADMM O=c1[n-]c(Cn2cc(-c3cccs3)nn2)nc2c1COCC2 ZINC000864654654 706225740 /nfs/dbraw/zinc/22/57/40/706225740.db2.gz OTQJSBMVFGLRJS-UHFFFAOYSA-N -1 1 315.358 1.623 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CC1(C2CC2)CC1 ZINC000872466819 707428395 /nfs/dbraw/zinc/42/83/95/707428395.db2.gz PRAKASPTRQHVCR-GOSISDBHSA-N -1 1 308.469 1.907 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2c(c1)C[C@@H](C)O2)c1nn[n-]n1 ZINC000829802114 706398603 /nfs/dbraw/zinc/39/86/03/706398603.db2.gz XRUPIVJJFHAMCX-SKDRFNHKSA-N -1 1 301.350 1.794 20 0 DDADMM O=S(=O)([N-]CC1(O)CCCCCC1)c1c[nH]nc1Cl ZINC000830618689 706546780 /nfs/dbraw/zinc/54/67/80/706546780.db2.gz HZARQULRPOTROU-UHFFFAOYSA-N -1 1 307.803 1.427 20 0 DDADMM COCCC1(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000830815988 706585315 /nfs/dbraw/zinc/58/53/15/706585315.db2.gz IFSIUGGDEHKFEB-LBPRGKRZSA-N -1 1 322.327 1.473 20 0 DDADMM CC[C@H](SC)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830817101 706585657 /nfs/dbraw/zinc/58/56/57/706585657.db2.gz XUYQJJFZQZZUFJ-KWQFWETISA-N -1 1 312.357 1.798 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)N=S1(=O)CCCC1)c1ccncc1 ZINC000866924339 706846307 /nfs/dbraw/zinc/84/63/07/706846307.db2.gz ZXKGTLJDURZGNF-LLVKDONJSA-N -1 1 317.436 1.281 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CC1(OC)CCC1)C(C)(C)C ZINC000866937506 706850126 /nfs/dbraw/zinc/85/01/26/706850126.db2.gz GVUVKRIDYCVUQC-LLVKDONJSA-N -1 1 321.439 1.453 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@]1(C)CC1(Cl)Cl ZINC000832141949 706853283 /nfs/dbraw/zinc/85/32/83/706853283.db2.gz NPWJXDIBMMDECY-QMMMGPOBSA-N -1 1 314.194 1.427 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H](C)c1cnccc1C ZINC000867049922 706882421 /nfs/dbraw/zinc/88/24/21/706882421.db2.gz PBPXFRBWMMUPKW-XTZNXHDOSA-N -1 1 305.425 1.403 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CCC[C@H]1OC ZINC000867058377 706885566 /nfs/dbraw/zinc/88/55/66/706885566.db2.gz QTCCYNDZRRFSBE-GHMZBOCLSA-N -1 1 312.457 1.144 20 0 DDADMM CCc1cnccc1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867066905 706887972 /nfs/dbraw/zinc/88/79/72/706887972.db2.gz CKYOBIBRYOCJBX-UHFFFAOYSA-N -1 1 317.436 1.240 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(OC)C2CCCC2)c1 ZINC000867103799 706897162 /nfs/dbraw/zinc/89/71/62/706897162.db2.gz KBCJPVUCMNSDAS-UHFFFAOYSA-N -1 1 301.364 1.896 20 0 DDADMM C[C@@H]1CCCN1CCNS(=O)(=O)c1cccc(Cl)c1[O-] ZINC000867146867 706908439 /nfs/dbraw/zinc/90/84/39/706908439.db2.gz ANFOZQYKGOONCE-SNVBAGLBSA-N -1 1 318.826 1.808 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC1CCC1)c1ccc(Cl)nc1F ZINC000867213959 706927191 /nfs/dbraw/zinc/92/71/91/706927191.db2.gz DGCVYQVUHRFIAE-SECBINFHSA-N -1 1 322.789 1.704 20 0 DDADMM CC[C@H]1CCC[C@H]1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867331560 706963386 /nfs/dbraw/zinc/96/33/86/706963386.db2.gz NQSKKUBZCJFJKC-RYUDHWBXSA-N -1 1 308.469 1.909 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnn(CC2CCCC2)c1)c1nn[n-]n1 ZINC000867380110 706981510 /nfs/dbraw/zinc/98/15/10/706981510.db2.gz BUTPLXDGXRQGNI-NSHDSACASA-N -1 1 317.397 1.462 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1CN1CC2(CC1=O)CCOCC2 ZINC000833207296 707002286 /nfs/dbraw/zinc/00/22/86/707002286.db2.gz KBEOLXSRZPJXNH-ZDUSSCGKSA-N -1 1 310.394 1.302 20 0 DDADMM O=C([O-])CN(C(=O)c1ccc(O)c(Cl)c1)C1CCOCC1 ZINC000909024183 712919826 /nfs/dbraw/zinc/91/98/26/712919826.db2.gz OKDGLSCXZPKFKJ-UHFFFAOYSA-N -1 1 313.737 1.751 20 0 DDADMM CCOC=CC(=O)N[C@H](CC(C)C)c1n[n-]c(C(=O)OCC)n1 ZINC000834687105 707103179 /nfs/dbraw/zinc/10/31/79/707103179.db2.gz MPNCJMGFPHOLGI-WSKFYRRCSA-N -1 1 324.381 1.735 20 0 DDADMM CCOC=CC(=O)N[C@H](CC(C)C)c1nnc(C(=O)OCC)[n-]1 ZINC000834687105 707103182 /nfs/dbraw/zinc/10/31/82/707103182.db2.gz MPNCJMGFPHOLGI-WSKFYRRCSA-N -1 1 324.381 1.735 20 0 DDADMM CCO/C=C/C(=O)N[C@H](CC(C)C)c1nc(C(=O)OCC)n[n-]1 ZINC000834687105 707103185 /nfs/dbraw/zinc/10/31/85/707103185.db2.gz MPNCJMGFPHOLGI-WSKFYRRCSA-N -1 1 324.381 1.735 20 0 DDADMM C[C@H](C(=O)[O-])[C@H](NC(=O)CCc1cnc[nH]1)c1ccccc1 ZINC000909068501 712930300 /nfs/dbraw/zinc/93/03/00/712930300.db2.gz LXKQCGYNLLFICD-NHYWBVRUSA-N -1 1 301.346 1.921 20 0 DDADMM CC[C@H](C)NC(=O)CO[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871799459 707208228 /nfs/dbraw/zinc/20/82/28/707208228.db2.gz MQKNDKZTVNALQQ-LBPRGKRZSA-N -1 1 308.378 1.590 20 0 DDADMM COc1ccc(CCC(=O)[N-]O[C@H](C(=O)N(C)C)C(C)C)cc1 ZINC000871798797 707208801 /nfs/dbraw/zinc/20/88/01/707208801.db2.gz UEHVOULGKSBMMI-INIZCTEOSA-N -1 1 322.405 1.788 20 0 DDADMM CC[C@@H](C)[C@@H](COC(=O)c1ccc(S(=O)(=O)[N-]C)o1)OC ZINC000835627645 707298993 /nfs/dbraw/zinc/29/89/93/707298993.db2.gz NYJXJYMFHQCFND-MWLCHTKSSA-N -1 1 319.379 1.406 20 0 DDADMM O=C(c1ncccc1[O-])N1CCn2cnc(C(F)(F)F)c2C1 ZINC000881644160 707313027 /nfs/dbraw/zinc/31/30/27/707313027.db2.gz RBVIEVCUSJUUBH-UHFFFAOYSA-N -1 1 312.251 1.659 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1c([O-])cccc1F)C1CCOCC1 ZINC000881760763 707356231 /nfs/dbraw/zinc/35/62/31/707356231.db2.gz KMJLBHIWHPARRB-ZDUSSCGKSA-N -1 1 311.309 1.229 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCn2ccnc21 ZINC000872490457 707442487 /nfs/dbraw/zinc/44/24/87/707442487.db2.gz BKQQNJCHNMRNLD-ZRNGKTOUSA-N -1 1 320.440 1.059 20 0 DDADMM CC1(C)OC[C@@H]([C@@H]2CN(c3cc(Cl)[n-]c(=O)n3)CCO2)O1 ZINC000872647238 707530358 /nfs/dbraw/zinc/53/03/58/707530358.db2.gz XAWOPGXBJSTNTD-IUCAKERBSA-N -1 1 315.757 1.192 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@@H]1CCCN1Cc1ccccn1 ZINC000836893441 707541002 /nfs/dbraw/zinc/54/10/02/707541002.db2.gz BASNNRGLQNWDNI-GJZGRUSLSA-N -1 1 307.394 1.111 20 0 DDADMM C[C@@H](F)CC[N-]S(=O)(=O)N=[S@](C)(=O)C1CCCCC1 ZINC000882221660 707544840 /nfs/dbraw/zinc/54/48/40/707544840.db2.gz OFDBXPLHOIVURA-MLCYQJTMSA-N -1 1 314.448 1.999 20 0 DDADMM CC1(C)C[C@](C)([N-]S(=O)(=O)c2ccc(C(F)F)o2)C(=O)O1 ZINC000882223227 707545993 /nfs/dbraw/zinc/54/59/93/707545993.db2.gz HELSRINSKMNNIB-LBPRGKRZSA-N -1 1 323.317 1.980 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000836901884 707546630 /nfs/dbraw/zinc/54/66/30/707546630.db2.gz WDZWFAUGRSTXQK-GXTWGEPZSA-N -1 1 312.410 1.232 20 0 DDADMM O=C(NCCC[C@H]1CCOC1)c1ccc2n[n-]c(=S)n2c1 ZINC000837063953 707572955 /nfs/dbraw/zinc/57/29/55/707572955.db2.gz FVSVBKBQSQJGHK-JTQLQIEISA-N -1 1 306.391 1.565 20 0 DDADMM O=C([N-]CC1CCN(c2cc(CO)ccn2)CC1)C(F)(F)F ZINC000882305220 707577383 /nfs/dbraw/zinc/57/73/83/707577383.db2.gz PMPMVXDYZPMISN-UHFFFAOYSA-N -1 1 317.311 1.469 20 0 DDADMM C[C@H]1CCNC(=O)[C@@H]1NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873001556 707689685 /nfs/dbraw/zinc/68/96/85/707689685.db2.gz PASZOWXTYMTBPZ-QPUJVOFHSA-N -1 1 311.769 1.369 20 0 DDADMM CON(C)C(=O)[C@H](C)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873084613 707723556 /nfs/dbraw/zinc/72/35/56/707723556.db2.gz SGLVPTRDAWIZQF-QMMMGPOBSA-N -1 1 315.757 1.253 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2C[C@H]3CCCC[C@H]3NC2=O)[n-]1 ZINC000837740294 707734212 /nfs/dbraw/zinc/73/42/12/707734212.db2.gz MESVSQKBYMACJP-GIPNMCIBSA-N -1 1 320.345 1.405 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)c(F)c1 ZINC000882660070 707736465 /nfs/dbraw/zinc/73/64/65/707736465.db2.gz KRDCAMVEGDWXRL-GOSISDBHSA-N -1 1 309.388 1.365 20 0 DDADMM COC(=O)[C@@H](F)CNC(=O)c1cc(Br)ccc1[O-] ZINC000838068909 707828061 /nfs/dbraw/zinc/82/80/61/707828061.db2.gz TUMUVYJJTXVZGJ-QMMMGPOBSA-N -1 1 320.114 1.396 20 0 DDADMM CC[C@@H](C)N(CC(=O)[O-])C(=O)c1cc(-c2ccn(C)c2)[nH]n1 ZINC000909311082 712988537 /nfs/dbraw/zinc/98/85/37/712988537.db2.gz JEUHKZVGPQRPED-SNVBAGLBSA-N -1 1 304.350 1.741 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@]1(C(=O)[O-])CCSC1 ZINC000909352300 712998364 /nfs/dbraw/zinc/99/83/64/712998364.db2.gz HJXWYSRPSHBXRN-INIZCTEOSA-N -1 1 322.430 1.237 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NCCCOC1CCCC1 ZINC000909406691 713010117 /nfs/dbraw/zinc/01/01/17/713010117.db2.gz HUIWWMUGAAGALT-ZDUSSCGKSA-N -1 1 312.410 1.249 20 0 DDADMM O=C(C1C[C@H]2CC[C@@H](C1)S2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000897161846 708241829 /nfs/dbraw/zinc/24/18/29/708241829.db2.gz PQPXBRDCYMHALO-WAAKLRNESA-N -1 1 307.423 1.580 20 0 DDADMM O=C([C@@H]1CCOC2(CCC2)C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000897161364 708241964 /nfs/dbraw/zinc/24/19/64/708241964.db2.gz GIFMDYCHWYCVQE-NEPJUHHUSA-N -1 1 305.382 1.255 20 0 DDADMM CN(C(=O)c1ccc2ccc(O)cc2c1[O-])[C@H]1CCNC1=O ZINC000897243919 708267145 /nfs/dbraw/zinc/26/71/45/708267145.db2.gz YQBOKCOBWWFKCB-ZDUSSCGKSA-N -1 1 300.314 1.212 20 0 DDADMM C[C@@H]1C(=O)N(C)CCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897453283 708334942 /nfs/dbraw/zinc/33/49/42/708334942.db2.gz HXCJVAPRPJTXQO-SNVBAGLBSA-N -1 1 314.341 1.554 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(C(=O)c2cncc([O-])c2)[C@@H]2C[C@@H]21 ZINC000884842787 708391825 /nfs/dbraw/zinc/39/18/25/708391825.db2.gz FICYCUFNSAKENY-OLZOCXBDSA-N -1 1 319.361 1.621 20 0 DDADMM O=S(=O)([N-]C[C@@H]1COc2ccccc2C1)c1ccns1 ZINC000884899923 708412419 /nfs/dbraw/zinc/41/24/19/708412419.db2.gz LAHFJQYIVJAQMB-SNVBAGLBSA-N -1 1 310.400 1.673 20 0 DDADMM CC1(C)COC(=O)[C@@H]1NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897744982 708437989 /nfs/dbraw/zinc/43/79/89/708437989.db2.gz VKOKKBZVCVPPDG-AWEZNQCLSA-N -1 1 315.325 1.932 20 0 DDADMM CCOC(=O)C1(C[N-]S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC000885431120 708543845 /nfs/dbraw/zinc/54/38/45/708543845.db2.gz ZGESCOGFRJJSPQ-UHFFFAOYSA-N -1 1 319.329 1.586 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)c1 ZINC000885620330 708585833 /nfs/dbraw/zinc/58/58/33/708585833.db2.gz IAJXUBDIFVMORT-UKJIMTQDSA-N -1 1 311.403 1.971 20 0 DDADMM Cc1nc(C)c(CC(=O)OCCC[N-]C(=O)C(F)(F)F)s1 ZINC000885693336 708598962 /nfs/dbraw/zinc/59/89/62/708598962.db2.gz GOAXYLSWRBBAHH-UHFFFAOYSA-N -1 1 324.324 1.914 20 0 DDADMM CO[C@@]1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCSC1 ZINC000885744419 708615536 /nfs/dbraw/zinc/61/55/36/708615536.db2.gz RJUYZCZXENSSPB-HNNXBMFYSA-N -1 1 323.370 1.008 20 0 DDADMM CCOCCOCCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000885760502 708620173 /nfs/dbraw/zinc/62/01/73/708620173.db2.gz YCGHWMLFGOTYBZ-UHFFFAOYSA-N -1 1 311.382 1.397 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC2(CCC2)[C@@H]1c1cccnc1 ZINC000898421248 708634545 /nfs/dbraw/zinc/63/45/45/708634545.db2.gz ZREDKAHHJXQVPG-HNNXBMFYSA-N -1 1 312.377 1.671 20 0 DDADMM CC(=O)N1CCC[C@@H]1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886269629 708733338 /nfs/dbraw/zinc/73/33/38/708733338.db2.gz BGYJHERYFOUOTQ-CQSZACIVSA-N -1 1 312.316 1.340 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cn2c(n1)COCC2 ZINC000886270297 708733828 /nfs/dbraw/zinc/73/38/28/708733828.db2.gz ZHVFZCWFXYIWCR-UHFFFAOYSA-N -1 1 323.299 1.370 20 0 DDADMM CC(C)[C@@H](CCO)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927783231 713054777 /nfs/dbraw/zinc/05/47/77/713054777.db2.gz WKWDVRRJCOAERM-CQSZACIVSA-N -1 1 316.348 1.919 20 0 DDADMM C/C=C/C[C@@H](CO)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927784743 713055296 /nfs/dbraw/zinc/05/52/96/713055296.db2.gz QUUKCWZEEQQIIZ-PBKGFPTLSA-N -1 1 314.332 1.839 20 0 DDADMM CCCCCc1cc(C(=O)[N-]N2C(=O)[C@@H](C)N(C)C2=O)n[nH]1 ZINC000899040210 708962764 /nfs/dbraw/zinc/96/27/64/708962764.db2.gz MBOJDBIVRNXLEW-SECBINFHSA-N -1 1 307.354 1.070 20 0 DDADMM N[C@@H](Cc1cc2ccccc2o1)C(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000887395721 709035371 /nfs/dbraw/zinc/03/53/71/709035371.db2.gz TUTMCJVGDHQDAD-OCCSQVGLSA-N -1 1 316.357 1.626 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1C[C@@H]2CSC[C@@H]2C1 ZINC000899380024 709070280 /nfs/dbraw/zinc/07/02/80/709070280.db2.gz AZUMUETUYCKAER-AOOOYVTPSA-N -1 1 306.416 1.453 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H]([C@@H]2CCCO2)C1 ZINC000899626446 709129717 /nfs/dbraw/zinc/12/97/17/709129717.db2.gz HUURKHXARMYGJL-JQWIXIFHSA-N -1 1 318.402 1.659 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@H]2C[C@H]2CCOC2)c([O-])c1 ZINC000887811985 709130523 /nfs/dbraw/zinc/13/05/23/709130523.db2.gz ZPQJLZFORGKSJA-CHWSQXEVSA-N -1 1 306.362 1.363 20 0 DDADMM COC(=O)[C@@H]1CCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000888064185 709204849 /nfs/dbraw/zinc/20/48/49/709204849.db2.gz KQPXXCPTQYZHCK-VIFPVBQESA-N -1 1 303.236 1.799 20 0 DDADMM C[C@]1(NC(=O)[C@H]2CCc3nc[nH]c3C2)CCCC[C@@H]1C(=O)[O-] ZINC000909532607 709504555 /nfs/dbraw/zinc/50/45/55/709504555.db2.gz ZPPQOCZOMMDLJF-USBNGQNGSA-N -1 1 305.378 1.664 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@@H](CCC(=O)[O-])Cc1ccccc1 ZINC000909536773 709506039 /nfs/dbraw/zinc/50/60/39/709506039.db2.gz RXLWINCUGBPUEF-GJZGRUSLSA-N -1 1 304.390 1.673 20 0 DDADMM CC(C)(C)N1C[C@@H](C(=O)[N-]OCCOc2ccccc2)CC1=O ZINC000889449518 709508797 /nfs/dbraw/zinc/50/87/97/709508797.db2.gz KJULWDREDBIHFG-ZDUSSCGKSA-N -1 1 320.389 1.760 20 0 DDADMM CC[C@@H](Cc1ccccc1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909579924 709524600 /nfs/dbraw/zinc/52/46/00/709524600.db2.gz KVFIBSRBXBTDIX-HOTGVXAUSA-N -1 1 318.417 1.921 20 0 DDADMM CCC[C@H](NC(=O)N[C@H]1CCCN2CCSC[C@H]12)C(=O)[O-] ZINC000900295746 709526080 /nfs/dbraw/zinc/52/60/80/709526080.db2.gz NCYMHRMATWZQSQ-SDDRHHMPSA-N -1 1 315.439 1.119 20 0 DDADMM CSCC[C@H](NC(=O)c1cccnc1Cl)c1nn[n-]n1 ZINC000912860595 713109979 /nfs/dbraw/zinc/10/99/79/713109979.db2.gz YMOAMWVVWMBQCV-QMMMGPOBSA-N -1 1 312.786 1.472 20 0 DDADMM COCCCN(CCOC)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900299003 709527850 /nfs/dbraw/zinc/52/78/50/709527850.db2.gz KHDBKFZMMWHSAI-UHFFFAOYSA-N -1 1 309.366 1.185 20 0 DDADMM CC[C@H]1C[C@@H](C(=O)N[C@@H](CCSC)c2nn[n-]n2)CCO1 ZINC000912862142 713110370 /nfs/dbraw/zinc/11/03/70/713110370.db2.gz JNKWMGWFZFYTRP-DCAQKATOSA-N -1 1 313.427 1.315 20 0 DDADMM COC1CCC(C(=O)N[C@@H](CCSC)c2nn[n-]n2)CC1 ZINC000912861933 713110557 /nfs/dbraw/zinc/11/05/57/713110557.db2.gz CTZDGSAECNDQRG-ILDUYXDCSA-N -1 1 313.427 1.315 20 0 DDADMM CCC[C@H](OCC)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912862959 713110852 /nfs/dbraw/zinc/11/08/52/713110852.db2.gz GSXKWGGJCLCYCR-UWVGGRQHSA-N -1 1 301.416 1.315 20 0 DDADMM COCC(C)(C)CC(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912862962 713110913 /nfs/dbraw/zinc/11/09/13/713110913.db2.gz GVSIKBLMXDDDAV-VIFPVBQESA-N -1 1 301.416 1.173 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](F)c1ccccc1)c1nn[n-]n1 ZINC000912862908 713111073 /nfs/dbraw/zinc/11/10/73/713111073.db2.gz ZSUIEFRUHQPZQI-WDEREUQCSA-N -1 1 309.370 1.821 20 0 DDADMM CCc1ccccc1CC(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912862363 713111086 /nfs/dbraw/zinc/11/10/86/713111086.db2.gz NTXPIGMOYQFWEN-ZDUSSCGKSA-N -1 1 319.434 1.915 20 0 DDADMM C[C@](CC(=O)[O-])(NC(=O)Cc1cnc[nH]1)c1cccc(F)c1 ZINC000909687335 709578359 /nfs/dbraw/zinc/57/83/59/709578359.db2.gz WTXKLHGKLCGGFC-OAHLLOKOSA-N -1 1 305.309 1.598 20 0 DDADMM CCN(CC(=O)N1CC[C@](C(=O)[O-])(C(F)(F)F)C1)C1CC1 ZINC000909764960 709607953 /nfs/dbraw/zinc/60/79/53/709607953.db2.gz ACWUEYCTJBBTCL-LBPRGKRZSA-N -1 1 308.300 1.336 20 0 DDADMM COc1ccc([C@H](NC(=O)[C@@H]2CCCN2C)C(=O)[O-])cc1F ZINC000909799001 709627324 /nfs/dbraw/zinc/62/73/24/709627324.db2.gz CSNWLNOIPIZNOH-AAEUAGOBSA-N -1 1 310.325 1.170 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)/C=C\[C@@H]2CCCO2)[n-]c1=O ZINC000889786422 709635699 /nfs/dbraw/zinc/63/56/99/709635699.db2.gz MHOTWYZZPHGWEG-MVSYMCDOSA-N -1 1 319.361 1.590 20 0 DDADMM CCn1nccc1C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889789996 709637554 /nfs/dbraw/zinc/63/75/54/709637554.db2.gz MNULVAFVEZIEDD-SNVBAGLBSA-N -1 1 317.349 1.385 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N2CC[C@@H](N3CCC3)C2)c1 ZINC000912904051 713119162 /nfs/dbraw/zinc/11/91/62/713119162.db2.gz OQCVRXJCPNFMSQ-DMZKTXOQSA-N -1 1 322.430 1.109 20 0 DDADMM O=C([O-])Cc1ccc(NC(=O)[C@@H]2CCc3[nH]cnc3C2)cc1F ZINC000909846726 709650027 /nfs/dbraw/zinc/65/00/27/709650027.db2.gz MVNRCCIMPCTPRY-SNVBAGLBSA-N -1 1 317.320 1.920 20 0 DDADMM CCN(CC(=O)OC)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000900561626 709652131 /nfs/dbraw/zinc/65/21/31/709652131.db2.gz GIUFUHZGJXGUJG-UHFFFAOYSA-N -1 1 303.314 1.886 20 0 DDADMM O=C(NC[C@@H]1CCCNC1=O)c1c([O-])cnc2c(F)cccc21 ZINC000900623649 709682862 /nfs/dbraw/zinc/68/28/62/709682862.db2.gz BLJDLVKFAHZWQV-VIFPVBQESA-N -1 1 317.320 1.336 20 0 DDADMM COc1c(C)cccc1CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909916151 709687913 /nfs/dbraw/zinc/68/79/13/709687913.db2.gz DBDGURNFWTZPHY-CQSZACIVSA-N -1 1 320.389 1.416 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCC[C@H](OC3CCC3)C2)C1 ZINC000909917429 709688479 /nfs/dbraw/zinc/68/84/79/709688479.db2.gz ZVDFALMGYHOORX-ZFWWWQNUSA-N -1 1 324.421 1.343 20 0 DDADMM CC(C)N1CCC[C@@H]1C(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1 ZINC000909966841 709712005 /nfs/dbraw/zinc/71/20/05/709712005.db2.gz XSCRTFKOTVTGMO-LLVKDONJSA-N -1 1 308.382 1.514 20 0 DDADMM CN(CC(=O)NC[C@H](CC(=O)[O-])C1CC1)[C@H]1CCSC1 ZINC000909968137 709712294 /nfs/dbraw/zinc/71/22/94/709712294.db2.gz HIMCXJRKBIQKIH-RYUDHWBXSA-N -1 1 300.424 1.041 20 0 DDADMM C[C@]1(C(=O)[O-])CCC[C@H]1NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000909992861 709726209 /nfs/dbraw/zinc/72/62/09/709726209.db2.gz HQWGXSNGJVZSKK-CJNGLKHVSA-N -1 1 305.378 1.591 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)C1CCCCC1 ZINC000910095696 709770302 /nfs/dbraw/zinc/77/03/02/709770302.db2.gz QMFCNDFJJDUQEH-SMDDNHRTSA-N -1 1 305.378 1.664 20 0 DDADMM C[C@@H](CC[S@@](C)=O)NC(=O)c1ncc2ccccc2c1[O-] ZINC000900881855 709807705 /nfs/dbraw/zinc/80/77/05/709807705.db2.gz KGUHERMDSFRMBB-CHNSCGDPSA-N -1 1 306.387 1.827 20 0 DDADMM CCOC(=O)[C@@H]1[C@@H]2CCN(C(=O)C(=O)c3ccc([O-])cc3)C[C@@H]21 ZINC000928116935 713134289 /nfs/dbraw/zinc/13/42/89/713134289.db2.gz WXHBAEBDNQVBFT-HZSPNIEDSA-N -1 1 317.341 1.233 20 0 DDADMM O=C(NC[C@]1(O)CCSC1)c1c([O-])cnc2c(F)cccc21 ZINC000900934071 709835301 /nfs/dbraw/zinc/83/53/01/709835301.db2.gz NARWBNNTOARQOX-OAHLLOKOSA-N -1 1 322.361 1.677 20 0 DDADMM CNC(=O)[C@H](C)CN(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900938831 709837627 /nfs/dbraw/zinc/83/76/27/709837627.db2.gz DMZVMXZCZZVFGG-SECBINFHSA-N -1 1 319.336 1.534 20 0 DDADMM CN1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)c2cccnc21 ZINC000900964677 709852329 /nfs/dbraw/zinc/85/23/29/709852329.db2.gz ZIEVOKDONJSKIN-UHFFFAOYSA-N -1 1 311.345 1.551 20 0 DDADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CCC(CO)CC1 ZINC000901038771 709895093 /nfs/dbraw/zinc/89/50/93/709895093.db2.gz KJMVCETTWLMPDO-UHFFFAOYSA-N -1 1 305.378 1.683 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1N(C(=O)[C@H]1CCc3nc[nH]c3C1)CC2 ZINC000910398080 709923023 /nfs/dbraw/zinc/92/30/23/709923023.db2.gz JYLNHECSNYGJCQ-WNMQOVRZSA-N -1 1 303.362 1.370 20 0 DDADMM O=C(N[C@@H]1c2ccccc2C[C@@H]1O)c1cnc(C2CC2)[n-]c1=O ZINC000901134305 709940190 /nfs/dbraw/zinc/94/01/90/709940190.db2.gz LMRXYNSKDUJXBA-UONOGXRCSA-N -1 1 311.341 1.448 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1c1nccc(C(F)(F)F)n1 ZINC000910458425 709953349 /nfs/dbraw/zinc/95/33/49/709953349.db2.gz SNOGJQPBOSIHBL-VIFPVBQESA-N -1 1 318.299 1.481 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC[C@@H](O)[C@@H](F)C1 ZINC000890690971 709955662 /nfs/dbraw/zinc/95/56/62/709955662.db2.gz HAZDZVLRJFXSLC-VHSXEESVSA-N -1 1 307.243 1.956 20 0 DDADMM C/C=C/[C@@H](O)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000901320014 710008763 /nfs/dbraw/zinc/00/87/63/710008763.db2.gz OORXRSWYQPLOKA-PGLGOXFNSA-N -1 1 302.327 1.073 20 0 DDADMM O=C([O-])[C@H]1[C@@H]2CN(C(=O)c3cc(-c4cccs4)[nH]n3)C[C@@H]21 ZINC000910789412 710077346 /nfs/dbraw/zinc/07/73/46/710077346.db2.gz CPZCYZMZTZOMNE-JDICNCQASA-N -1 1 303.343 1.541 20 0 DDADMM CO[C@H](CC(C)C)CN(C)CC(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000901623063 710099514 /nfs/dbraw/zinc/09/95/14/710099514.db2.gz GBYSPJSZTZTFBV-ZIAGYGMSSA-N -1 1 314.426 1.303 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC/C=C(/C)COC ZINC000901655193 710109637 /nfs/dbraw/zinc/10/96/37/710109637.db2.gz UIUIJGCCXYBHJK-WMZJFQQLSA-N -1 1 300.380 1.280 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCC(C)(C(=O)[O-])CC2)C1 ZINC000901704662 710126664 /nfs/dbraw/zinc/12/66/64/710126664.db2.gz NFEVXFOVBLCFBF-PWSUYJOCSA-N -1 1 305.378 1.789 20 0 DDADMM Cc1cc([C@@H](NCc2cnc(N(C)C)nc2)C(=O)[O-])ccc1F ZINC000901842435 710158630 /nfs/dbraw/zinc/15/86/30/710158630.db2.gz KTQUCHUPVDMNKP-CQSZACIVSA-N -1 1 318.352 1.906 20 0 DDADMM C[C@@H]1CN(Cc2cnc(-c3ccccc3)[nH]2)C[C@@H](C(=O)[O-])O1 ZINC000901934361 710178638 /nfs/dbraw/zinc/17/86/38/710178638.db2.gz KAIWOUJVPKOYLR-RISCZKNCSA-N -1 1 301.346 1.751 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cc(C)cc(C(=O)OC)c1)OC ZINC000901953569 710183947 /nfs/dbraw/zinc/18/39/47/710183947.db2.gz HYPSRISROJCXDZ-ZDUSSCGKSA-N -1 1 315.391 1.948 20 0 DDADMM C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000901962973 710186975 /nfs/dbraw/zinc/18/69/75/710186975.db2.gz KJJSBVSQPSZQMR-ZXIHIIQKSA-N -1 1 308.353 1.655 20 0 DDADMM CO[C@@H]1COCC[C@H]1CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891615031 710249103 /nfs/dbraw/zinc/24/91/03/710249103.db2.gz PDUQPRAFHSDUTG-GXFFZTMASA-N -1 1 321.377 1.441 20 0 DDADMM COCc1ncsc1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891618863 710250208 /nfs/dbraw/zinc/25/02/08/710250208.db2.gz TWFCWIMJMPXXBK-UHFFFAOYSA-N -1 1 320.374 1.916 20 0 DDADMM COCc1nc(NCc2cccc(C(=O)N(C)C)c2)cc(=O)[n-]1 ZINC000891760112 710287669 /nfs/dbraw/zinc/28/76/69/710287669.db2.gz LTLGDLUQOITLPJ-UHFFFAOYSA-N -1 1 316.361 1.643 20 0 DDADMM CCNC(=O)c1cccc(CNc2cc(=O)[n-]c(COC)n2)c1 ZINC000891848187 710308670 /nfs/dbraw/zinc/30/86/70/710308670.db2.gz WOHZXOLQGDQFKA-UHFFFAOYSA-N -1 1 316.361 1.690 20 0 DDADMM CC(=O)N[C@@](C)(C(=O)Nc1n[n-]c(C(F)(F)F)n1)C(C)C ZINC000892153902 710382290 /nfs/dbraw/zinc/38/22/90/710382290.db2.gz UFSLDVOZWRXUHS-SNVBAGLBSA-N -1 1 307.276 1.313 20 0 DDADMM COCc1nc(N2CC[C@@H](Cc3cnn(C)c3)C2)cc(=O)[n-]1 ZINC000892772268 710495904 /nfs/dbraw/zinc/49/59/04/710495904.db2.gz LGUCSFZVQGXAMW-NSHDSACASA-N -1 1 303.366 1.131 20 0 DDADMM CCN(CC(=O)N1CC[C@H](c2ncc(C(=O)[O-])s2)C1)C1CC1 ZINC000911048471 710609855 /nfs/dbraw/zinc/60/98/55/710609855.db2.gz XYWAOBLJPSAJQL-JTQLQIEISA-N -1 1 323.418 1.642 20 0 DDADMM Cc1cc(C(=O)[O-])oc1C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000911119068 710643489 /nfs/dbraw/zinc/64/34/89/710643489.db2.gz DXZLLOWYCQFRDU-GFCCVEGCSA-N -1 1 324.377 1.373 20 0 DDADMM O=C(NC1CC(CO)(CO)C1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000913315658 713197533 /nfs/dbraw/zinc/19/75/33/713197533.db2.gz FIGAENJTPCFRBO-UHFFFAOYSA-N -1 1 319.279 1.274 20 0 DDADMM O=C([O-])c1coc(CNCCC(=O)NCc2ccccc2)c1 ZINC000902204594 710664756 /nfs/dbraw/zinc/66/47/56/710664756.db2.gz VXGSPBFMXGYISM-UHFFFAOYSA-N -1 1 302.330 1.774 20 0 DDADMM COc1ccc2c(c1)OC[C@H](NCc1cc(C(=O)[O-])no1)C2 ZINC000902417321 710746513 /nfs/dbraw/zinc/74/65/13/710746513.db2.gz BXKJCTOQZOHOAN-SNVBAGLBSA-N -1 1 304.302 1.475 20 0 DDADMM COCc1nc(N2C[C@@]3(CCOC3)OC(C)(C)C2)cc(=O)[n-]1 ZINC000893633121 710756581 /nfs/dbraw/zinc/75/65/81/710756581.db2.gz KJKCJYKCWRUESI-OAHLLOKOSA-N -1 1 309.366 1.103 20 0 DDADMM O=C([O-])C12CCC(C(=O)N3CCC(c4nc[nH]n4)CC3)(CC1)C2 ZINC000911439116 710798968 /nfs/dbraw/zinc/79/89/68/710798968.db2.gz UMJXKCIUSQXUMQ-UHFFFAOYSA-N -1 1 318.377 1.546 20 0 DDADMM CO[C@@]1(CNC(=O)c2c(F)ccc([O-])c2F)CCSC1 ZINC000928494604 713212485 /nfs/dbraw/zinc/21/24/85/713212485.db2.gz LENHNLWXGIOYGS-CYBMUJFWSA-N -1 1 303.330 1.922 20 0 DDADMM C[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC2(CCC2)O1 ZINC000911600814 710874518 /nfs/dbraw/zinc/87/45/18/710874518.db2.gz OELVRXQVLGVEHB-JTQLQIEISA-N -1 1 303.362 1.843 20 0 DDADMM CSC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC000913438980 713215884 /nfs/dbraw/zinc/21/58/84/713215884.db2.gz VHLFRECJIKSMAB-NSHDSACASA-N -1 1 303.391 1.691 20 0 DDADMM CC(C)[C@H](CC(F)(F)F)NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000911666845 710916964 /nfs/dbraw/zinc/91/69/64/710916964.db2.gz FUHILKJTZOZDNS-QWRGUYRKSA-N -1 1 324.343 1.876 20 0 DDADMM CC(C)[C@H](CC(F)(F)F)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911666845 710916970 /nfs/dbraw/zinc/91/69/70/710916970.db2.gz FUHILKJTZOZDNS-QWRGUYRKSA-N -1 1 324.343 1.876 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)N1CCC(Cc2nc(C(C)C)n[nH]2)CC1 ZINC000911777185 710980212 /nfs/dbraw/zinc/98/02/12/710980212.db2.gz PRPPCAKITHVEPO-GFCCVEGCSA-N -1 1 322.409 1.820 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)N1CCC(Cc2nnc(C(C)C)[nH]2)CC1 ZINC000911777185 710980218 /nfs/dbraw/zinc/98/02/18/710980218.db2.gz PRPPCAKITHVEPO-GFCCVEGCSA-N -1 1 322.409 1.820 20 0 DDADMM Cc1ccncc1S(=O)(=O)[N-]c1cc(C2CCOCC2)n[nH]1 ZINC000903096056 711030445 /nfs/dbraw/zinc/03/04/45/711030445.db2.gz SRUDZLRGLXVZAD-UHFFFAOYSA-N -1 1 322.390 1.808 20 0 DDADMM CCOc1cccc(S(=O)(=O)[N-]c2nnc(C(F)F)[nH]2)c1 ZINC000903150309 711048107 /nfs/dbraw/zinc/04/81/07/711048107.db2.gz PKXHTGAPZFRPPX-UHFFFAOYSA-N -1 1 318.305 1.942 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1C[C@@H]2C[C@H]1C[S@]2=O ZINC000911910452 711063908 /nfs/dbraw/zinc/06/39/08/711063908.db2.gz DTDKIDDXDCQUPK-YWHIBEFZSA-N -1 1 320.345 1.425 20 0 DDADMM CC(C)n1nnc([N-]C(=O)c2nc(Br)cs2)n1 ZINC000913487037 713235466 /nfs/dbraw/zinc/23/54/66/713235466.db2.gz GGVSLMMXRIHUBR-UHFFFAOYSA-N -1 1 317.172 1.725 20 0 DDADMM O=C([O-])c1cn([C@@H]2CCCN(CCCOC(F)(F)F)C2)nn1 ZINC000903604145 711220120 /nfs/dbraw/zinc/22/01/20/711220120.db2.gz PYYUNMFFZLDTKM-SECBINFHSA-N -1 1 322.287 1.540 20 0 DDADMM O=C(N[C@@H]([C@H]1CCCO1)C1(CO)CCC1)c1ccc([O-])cc1F ZINC000912205306 711220322 /nfs/dbraw/zinc/22/03/22/711220322.db2.gz FYSGTBTWHZNDFG-CABCVRRESA-N -1 1 323.364 1.971 20 0 DDADMM Cc1cc(Cl)ccc1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494515 713237349 /nfs/dbraw/zinc/23/73/49/713237349.db2.gz BJCUQNSMADMDGR-LLVKDONJSA-N -1 1 307.741 1.375 20 0 DDADMM O=C([O-])C1(CCN2CCN(C(=O)N3CCCC3)CC2)CCC1 ZINC000903696576 711247111 /nfs/dbraw/zinc/24/71/11/711247111.db2.gz KBGDEXAEXNCDSI-UHFFFAOYSA-N -1 1 309.410 1.465 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496859 713238921 /nfs/dbraw/zinc/23/89/21/713238921.db2.gz FMYPSJPASVEBIE-SFYZADRCSA-N -1 1 307.276 1.078 20 0 DDADMM CC[C@H](C)c1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000913496420 713239021 /nfs/dbraw/zinc/23/90/21/713239021.db2.gz ZRVBEFLRWAHIAZ-SMDDNHRTSA-N -1 1 315.377 1.927 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N1CCCCCC1 ZINC000903711491 711250280 /nfs/dbraw/zinc/25/02/80/711250280.db2.gz ACZPKIQTJNRTAK-CYBMUJFWSA-N -1 1 300.399 1.201 20 0 DDADMM CC(=CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1cccs1 ZINC000913499875 713239578 /nfs/dbraw/zinc/23/95/78/713239578.db2.gz RJJYGKIJMHZZNA-UJICNMFASA-N -1 1 305.363 1.265 20 0 DDADMM O=C(C1CC2(C1)CCCCC2)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913500317 713239615 /nfs/dbraw/zinc/23/96/15/713239615.db2.gz VYGPQHWNNZNDGU-GFCCVEGCSA-N -1 1 305.382 1.460 20 0 DDADMM Cc1ccccc1C[C@H](C)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499651 713239650 /nfs/dbraw/zinc/23/96/50/713239650.db2.gz QBUORGBLQMTYHI-GXTWGEPZSA-N -1 1 315.377 1.287 20 0 DDADMM O=C([O-])[C@@H]1c2ccccc2OCCN1C[C@@H](O)CC(F)(F)F ZINC000903927274 711335399 /nfs/dbraw/zinc/33/53/99/711335399.db2.gz KBSCMPDBVOVKQP-CABZTGNLSA-N -1 1 319.279 1.820 20 0 DDADMM O=C([O-])[C@H]1c2ccccc2OCCN1CCOC[C@@H]1CCCO1 ZINC000903931269 711338609 /nfs/dbraw/zinc/33/86/09/711338609.db2.gz QJRUUDYDCNGJNK-XJKSGUPXSA-N -1 1 321.373 1.702 20 0 DDADMM O=C([O-])c1ccc2c(c1)OCCN(C[C@@H]1C[C@@H]3COC[C@H]3O1)C2 ZINC000903959072 711348833 /nfs/dbraw/zinc/34/88/33/711348833.db2.gz XNCNTSYZMSAENO-IJEWVQPXSA-N -1 1 319.357 1.383 20 0 DDADMM O=C([O-])[C@@]1(NCc2ncc[nH]2)CCC[N@@H+](Cc2ccccc2)C1 ZINC000904024196 711365942 /nfs/dbraw/zinc/36/59/42/711365942.db2.gz OWDIKQKPLHNYCU-QGZVFWFLSA-N -1 1 314.389 1.619 20 0 DDADMM C[C@@H]1Cc2cc(CNCc3cc(C(=O)[O-])nn3C)ccc2O1 ZINC000904257811 711419047 /nfs/dbraw/zinc/41/90/47/711419047.db2.gz CUTSUQGIJBCPAE-SNVBAGLBSA-N -1 1 301.346 1.732 20 0 DDADMM Cc1cc(F)c(CNCCOCCOCC(=O)[O-])c(Cl)c1 ZINC000904272606 711422006 /nfs/dbraw/zinc/42/20/06/711422006.db2.gz UCFQACBSKGYQGF-UHFFFAOYSA-N -1 1 319.760 1.995 20 0 DDADMM COC(=O)CCCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895415733 711507130 /nfs/dbraw/zinc/50/71/30/711507130.db2.gz XRXBNBKVMSILAP-UHFFFAOYSA-N -1 1 303.314 1.934 20 0 DDADMM COCc1nc(N2CCC(Cc3noc(C)n3)CC2)cc(=O)[n-]1 ZINC000895698241 711580646 /nfs/dbraw/zinc/58/06/46/711580646.db2.gz AFSCQQFVPSWGAI-UHFFFAOYSA-N -1 1 319.365 1.479 20 0 DDADMM O=S1(=O)CCC[C@H](c2nc(-c3ccc([O-])c(F)c3)no2)C1 ZINC000896224938 711694100 /nfs/dbraw/zinc/69/41/00/711694100.db2.gz SCKJFFAKWMIMJJ-VIFPVBQESA-N -1 1 312.322 1.874 20 0 DDADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@H]1CCCCN(C)C1=O ZINC000913663412 713277333 /nfs/dbraw/zinc/27/73/33/713277333.db2.gz HXAZZFYGXVXODA-LBPRGKRZSA-N -1 1 318.377 1.143 20 0 DDADMM COc1cc([N-]S(=O)(=O)N=S2(=O)CCCC2)ccc1F ZINC000905101925 711937207 /nfs/dbraw/zinc/93/72/07/711937207.db2.gz ZLWJEUNUUIQGPS-UHFFFAOYSA-N -1 1 322.383 1.753 20 0 DDADMM O=C(CCCOc1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742189 713291743 /nfs/dbraw/zinc/29/17/43/713291743.db2.gz MFNZPXHSSCMDGG-UHFFFAOYSA-N -1 1 315.377 1.765 20 0 DDADMM CCC[C@@H]1C[C@@H](C(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000913744349 713293046 /nfs/dbraw/zinc/29/30/46/713293046.db2.gz KKCNVWHXKCTRCN-QWHCGFSZSA-N -1 1 307.398 1.501 20 0 DDADMM COc1ccc(-c2n[n-]c(S(=O)(=O)[C@@H]3CCOC3)n2)cc1 ZINC000905252541 711980853 /nfs/dbraw/zinc/98/08/53/711980853.db2.gz KTSDBNIQVBVVHY-LLVKDONJSA-N -1 1 309.347 1.043 20 0 DDADMM COc1ccc(-c2nc(S(=O)(=O)[C@@H]3CCOC3)n[n-]2)cc1 ZINC000905252541 711980855 /nfs/dbraw/zinc/98/08/55/711980855.db2.gz KTSDBNIQVBVVHY-LLVKDONJSA-N -1 1 309.347 1.043 20 0 DDADMM C[C@@]1(CC(=O)N2CCC(c3nn[n-]n3)CC2)CC1(Cl)Cl ZINC000913746308 713294070 /nfs/dbraw/zinc/29/40/70/713294070.db2.gz NSDHPQUSCZXZCE-LLVKDONJSA-N -1 1 318.208 1.880 20 0 DDADMM CCO[C@H](C(=O)N1CCC(c2nn[n-]n2)CC1)C1CCCC1 ZINC000913746596 713294304 /nfs/dbraw/zinc/29/43/04/713294304.db2.gz BMKBHMAVNWDJSX-ZDUSSCGKSA-N -1 1 307.398 1.501 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@H]2CSC[C@H]2C1 ZINC000913759560 713296794 /nfs/dbraw/zinc/29/67/94/713296794.db2.gz QCIWDIGRJXTMRV-WDEREUQCSA-N -1 1 305.403 1.885 20 0 DDADMM Cn1nc(CN[C@](C)(Cn2cccn2)C(=O)[O-])c2ccccc21 ZINC000905807030 712151616 /nfs/dbraw/zinc/15/16/16/712151616.db2.gz IQPRXTLRHUWOBK-MRXNPFEDSA-N -1 1 313.361 1.403 20 0 DDADMM CCc1nnc([N-]C(=O)c2coc3c2C(=O)NCCC3)s1 ZINC000906366948 712308005 /nfs/dbraw/zinc/30/80/05/712308005.db2.gz FQJQEXODYZKQFQ-UHFFFAOYSA-N -1 1 306.347 1.622 20 0 DDADMM O=C(CC1CCSCC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477173 712582450 /nfs/dbraw/zinc/58/24/50/712582450.db2.gz RYEZDQVPPVAIRE-SNVBAGLBSA-N -1 1 313.452 1.350 20 0 DDADMM CC(=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1cccs1 ZINC000907482955 712584596 /nfs/dbraw/zinc/58/45/96/712584596.db2.gz UTGKWNOIZYTBRF-UJICNMFASA-N -1 1 321.431 1.981 20 0 DDADMM CN(CC1(CO)CC1)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907612326 712602895 /nfs/dbraw/zinc/60/28/95/712602895.db2.gz SLKTUMYDJPVEBQ-UHFFFAOYSA-N -1 1 304.321 1.924 20 0 DDADMM CCC[C@@]1(C)C(=O)NCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907615125 712603227 /nfs/dbraw/zinc/60/32/27/712603227.db2.gz ABZJDYQHGPMPMY-INIZCTEOSA-N -1 1 318.377 1.190 20 0 DDADMM CC[N@H+]1CCCN(C(=O)[C@@]2(C(=O)[O-])C[C@H]2c2ccccc2)CC1 ZINC000907801055 712628495 /nfs/dbraw/zinc/62/84/95/712628495.db2.gz QASUNMQKRQTQMR-MAUKXSAKSA-N -1 1 316.401 1.799 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)C1CCCC1)c1ccc(F)nc1F ZINC000907824823 712631579 /nfs/dbraw/zinc/63/15/79/712631579.db2.gz XGSYQUYPYZWIBP-JTQLQIEISA-N -1 1 320.361 1.579 20 0 DDADMM O=C([O-])[C@@]1(C(=O)NCCN2CC=CCC2)C[C@@H]1c1ccccc1 ZINC000907847100 712635230 /nfs/dbraw/zinc/63/52/30/712635230.db2.gz NHGQUFKFBDLVOD-QAPCUYQASA-N -1 1 314.385 1.623 20 0 DDADMM CC1CCN(CC(=O)N[C@@H]2CCCn3nc(C(=O)[O-])cc32)CC1 ZINC000908117462 712691815 /nfs/dbraw/zinc/69/18/15/712691815.db2.gz OZBNJUSICNUYSU-GFCCVEGCSA-N -1 1 320.393 1.264 20 0 DDADMM CC(C)O[N-]C(=O)[C@H](Cc1cnc[nH]1)NC(=O)C1CCCCC1 ZINC000908569296 712809171 /nfs/dbraw/zinc/80/91/71/712809171.db2.gz FILIUEXWMJLLQE-AWEZNQCLSA-N -1 1 322.409 1.474 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@H]2CCc3ccccc3C2)C1 ZINC000908700583 712837839 /nfs/dbraw/zinc/83/78/39/712837839.db2.gz MXOAJVHHZQDDCK-CVEARBPZSA-N -1 1 316.401 1.457 20 0 DDADMM O=C(CN1CCOCC1)Nc1cccc([O-])c1Br ZINC000908709271 712839055 /nfs/dbraw/zinc/83/90/55/712839055.db2.gz MAXBSNMEPZSLIZ-UHFFFAOYSA-N -1 1 315.167 1.425 20 0 DDADMM O=C([O-])C12CC(C(=O)NCCN3CCc4ccccc4C3)(C1)C2 ZINC000908721161 712841081 /nfs/dbraw/zinc/84/10/81/712841081.db2.gz UHMLCKHFSMEQAB-UHFFFAOYSA-N -1 1 314.385 1.416 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2CCCc3occc32)C1 ZINC000908728922 712842388 /nfs/dbraw/zinc/84/23/88/712842388.db2.gz FOCDNUOOUBBAGX-AAEUAGOBSA-N -1 1 306.362 1.570 20 0 DDADMM CN(CC(=O)N[C@](C)(C(=O)[O-])c1ccccc1)[C@H]1CCSC1 ZINC000908933446 712890559 /nfs/dbraw/zinc/89/05/59/712890559.db2.gz PIVSQQSZBCBIDK-BBRMVZONSA-N -1 1 322.430 1.540 20 0 DDADMM CC(C)CCNC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000929107141 713547819 /nfs/dbraw/zinc/54/78/19/713547819.db2.gz MQAVGOANXMWPQM-UHFFFAOYSA-N -1 1 314.332 1.491 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@@H]1CCC(=O)NC1 ZINC000919340283 713599705 /nfs/dbraw/zinc/59/97/05/713599705.db2.gz JHYJIOTULKLDMW-SECBINFHSA-N -1 1 317.297 1.068 20 0 DDADMM CCO[C@@H]1C[C@@H]1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000919423593 713604414 /nfs/dbraw/zinc/60/44/14/713604414.db2.gz AQICGJRDPAYSDS-QWHCGFSZSA-N -1 1 304.298 1.967 20 0 DDADMM C[C@@H](CN1CCN2C(=O)OC[C@H]2C1)C(=O)c1ccc([O-])cc1 ZINC000929842758 713704094 /nfs/dbraw/zinc/70/40/94/713704094.db2.gz IWQKWVVGUKRNIA-WCQYABFASA-N -1 1 304.346 1.347 20 0 DDADMM O=S(=O)([N-][C@@H]1c2ccccc2OC[C@H]1F)c1ccns1 ZINC000921318045 713731910 /nfs/dbraw/zinc/73/19/10/713731910.db2.gz NWJYLUWFZRNSMQ-BXKDBHETSA-N -1 1 314.363 1.893 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-][C@@H]1c2ccccc2OC[C@H]1F ZINC000921317852 713731948 /nfs/dbraw/zinc/73/19/48/713731948.db2.gz JXKZBXYEVJIENO-ZWNOBZJWSA-N -1 1 312.322 1.733 20 0 DDADMM CNC(=O)N1CCN(C[C@H](C)C(=O)c2ccc([O-])cc2)CC1 ZINC000930012450 713735624 /nfs/dbraw/zinc/73/56/24/713735624.db2.gz KFDMKLKQEGOFQG-LBPRGKRZSA-N -1 1 305.378 1.168 20 0 DDADMM C[C@@H]1COC[C@@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC000921494551 713787800 /nfs/dbraw/zinc/78/78/00/713787800.db2.gz JUWVYRCXLSOWFA-RQJHMYQMSA-N -1 1 311.190 1.702 20 0 DDADMM C[C@H](NC(=O)NCCc1c(F)cc([O-])cc1F)c1cn[nH]c1 ZINC000921660340 713833964 /nfs/dbraw/zinc/83/39/64/713833964.db2.gz AADXDYZNDUNLRI-QMMMGPOBSA-N -1 1 310.304 1.996 20 0 DDADMM C[C@H]1OCC[C@@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000921709264 713850243 /nfs/dbraw/zinc/85/02/43/713850243.db2.gz XRRWZAOKHWVARF-OQPBUACISA-N -1 1 300.305 1.690 20 0 DDADMM C[C@@H]1OCC[C@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000921709263 713850327 /nfs/dbraw/zinc/85/03/27/713850327.db2.gz XRRWZAOKHWVARF-ISVAXAHUSA-N -1 1 300.305 1.690 20 0 DDADMM O=S(=O)([N-][C@H](C1CC1)[C@H]1CCCOC1)c1c[nH]nc1Cl ZINC000921850413 713885393 /nfs/dbraw/zinc/88/53/93/713885393.db2.gz NATPFYCNNVSCQK-GXSJLCMTSA-N -1 1 319.814 1.547 20 0 DDADMM COCCN1CCCN(C(=O)c2cc(F)cc(F)c2[O-])CC1 ZINC000930683207 713894867 /nfs/dbraw/zinc/89/48/67/713894867.db2.gz AKCVVKAINOKDFO-UHFFFAOYSA-N -1 1 314.332 1.465 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1sccc1Cl)C(C)C ZINC000921976062 713926071 /nfs/dbraw/zinc/92/60/71/713926071.db2.gz XMKNMFQRNHWTPP-MRVPVSSYSA-N -1 1 311.812 1.877 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccco2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966086862 717940902 /nfs/dbraw/zinc/94/09/02/717940902.db2.gz NBWVQPIVBNUXSD-MNOVXSKESA-N -1 1 315.329 1.271 20 0 DDADMM CCn1cc(CNC(=O)NCCc2c(F)cc([O-])cc2F)cn1 ZINC000922445275 714055954 /nfs/dbraw/zinc/05/59/54/714055954.db2.gz GFGYBRMGUJLPOC-UHFFFAOYSA-N -1 1 324.331 1.929 20 0 DDADMM CO[N-]C(=O)CNCc1oc2ccccc2c1Br ZINC000922826912 714160101 /nfs/dbraw/zinc/16/01/01/714160101.db2.gz BSOALLQHVATPCZ-UHFFFAOYSA-N -1 1 313.151 1.963 20 0 DDADMM CCn1nccc1CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000923356264 714325530 /nfs/dbraw/zinc/32/55/30/714325530.db2.gz GNZZZAMPCJSKCU-UHFFFAOYSA-N -1 1 324.331 1.929 20 0 DDADMM CCCn1nccc1NC(=O)CN1CCC[C@](CC)(C(=O)[O-])C1 ZINC000923473026 714367164 /nfs/dbraw/zinc/36/71/64/714367164.db2.gz ZFISGNQSMVSUES-INIZCTEOSA-N -1 1 322.409 1.808 20 0 DDADMM O=C(C[C@H]1CC[C@@H](C2CC2)O1)OCCC[N-]C(=O)C(F)(F)F ZINC000924353403 714568440 /nfs/dbraw/zinc/56/84/40/714568440.db2.gz RWRYPFLWQUPPBL-MNOVXSKESA-N -1 1 323.311 1.946 20 0 DDADMM CCOC(=O)[C@H](C[C@H]1CCCO1)NC(=O)c1cncc([O-])c1 ZINC000924873959 714684899 /nfs/dbraw/zinc/68/48/99/714684899.db2.gz PIQSMODAUIOLRP-OLZOCXBDSA-N -1 1 308.334 1.018 20 0 DDADMM CN1CCN(C)CCN(Cc2nc(=O)c3sccc3[n-]2)CC1 ZINC000933996319 714718132 /nfs/dbraw/zinc/71/81/32/714718132.db2.gz UUPJOXXQIRERNO-UHFFFAOYSA-N -1 1 321.450 1.076 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N3CC[C@H](O)C3)c2)o1 ZINC000934030556 714726346 /nfs/dbraw/zinc/72/63/46/714726346.db2.gz MGADMOWMOYULLG-NSHDSACASA-N -1 1 301.298 1.672 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2cn(C)nc2C)[n-]c1=O ZINC000934278333 714785181 /nfs/dbraw/zinc/78/51/81/714785181.db2.gz FLMZDHAJOVLXDT-GFCCVEGCSA-N -1 1 303.366 1.570 20 0 DDADMM COc1cnc([C@H]2CCCN2CC(=O)NC2CCCC2)[n-]c1=O ZINC000934281777 714786203 /nfs/dbraw/zinc/78/62/03/714786203.db2.gz LKIWWUPGHIGMGC-GFCCVEGCSA-N -1 1 320.393 1.387 20 0 DDADMM O=C1N[C@@H](CC(F)F)C(=O)N1CCc1c(F)cc([O-])cc1F ZINC000925463737 714864835 /nfs/dbraw/zinc/86/48/35/714864835.db2.gz SISNNISEHWMIAT-JTQLQIEISA-N -1 1 320.242 1.789 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)N=[S@](C)(=O)CC ZINC000925839247 714948131 /nfs/dbraw/zinc/94/81/31/714948131.db2.gz ARZWWRYNPGWRSU-LJQANCHMSA-N -1 1 318.420 1.706 20 0 DDADMM C[C@H]1COC[C@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000926023184 714991600 /nfs/dbraw/zinc/99/16/00/714991600.db2.gz CGOZZTJRUWQZNK-ISVAXAHUSA-N -1 1 300.305 1.547 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccc(C=O)o3)ccc2[O-])C[C@H]1O ZINC000935189137 714999315 /nfs/dbraw/zinc/99/93/15/714999315.db2.gz JRVKIOLCGRYNSY-MEBBXXQBSA-N -1 1 315.325 1.918 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc2c1CCOC2)c1nn[n-]n1 ZINC000935369781 715039458 /nfs/dbraw/zinc/03/94/58/715039458.db2.gz YCWQSUIYKACKND-JTQLQIEISA-N -1 1 301.350 1.148 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@@H]1C[C@]12C(=O)Nc1ccccc12 ZINC000935456658 715060151 /nfs/dbraw/zinc/06/01/51/715060151.db2.gz PKCZTLXQFGWANN-BLLLJJGKSA-N -1 1 302.330 1.123 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2cc(F)cc3ccoc32)C1=O ZINC000935751040 715125974 /nfs/dbraw/zinc/12/59/74/715125974.db2.gz PRTUFPIGOPTFBK-OAHLLOKOSA-N -1 1 319.292 1.937 20 0 DDADMM CS[C@H](C)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000936722857 715235797 /nfs/dbraw/zinc/23/57/97/715235797.db2.gz GWOUKEHTROSYAR-MNOVXSKESA-N -1 1 323.418 1.212 20 0 DDADMM CN(C(=O)C(C)(C)C1CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955051247 715598099 /nfs/dbraw/zinc/59/80/99/715598099.db2.gz MRECGUFYUSDCEZ-UHFFFAOYSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(CCC1CC1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937861185 715617398 /nfs/dbraw/zinc/61/73/98/715617398.db2.gz PJXAXHKWPYRIEO-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956851038 715637697 /nfs/dbraw/zinc/63/76/97/715637697.db2.gz VWOZYKMJUZKEJU-QFSBIZTOSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956852358 715638862 /nfs/dbraw/zinc/63/88/62/715638862.db2.gz VLDSOMBPIPNNSL-BMIGLBTASA-N -1 1 309.341 1.256 20 0 DDADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)C(C)C)CC1 ZINC000957676801 715998560 /nfs/dbraw/zinc/99/85/60/715998560.db2.gz COTBYPJSFWWJBS-UHFFFAOYSA-N -1 1 309.414 1.227 20 0 DDADMM CC1CC(C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])C1 ZINC000955980264 716047726 /nfs/dbraw/zinc/04/77/26/716047726.db2.gz XTIICKLDKAOGJV-BPCQOVAHSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cocc1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960084867 716411429 /nfs/dbraw/zinc/41/14/29/716411429.db2.gz UVRXYBUWXCJCAE-NSHDSACASA-N -1 1 319.365 1.196 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CC12CC2 ZINC000940661275 716902050 /nfs/dbraw/zinc/90/20/50/716902050.db2.gz WPQADRYDAVHGHX-IJLUTSLNSA-N -1 1 315.373 1.164 20 0 DDADMM CCC(C)(C)C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832368 716981584 /nfs/dbraw/zinc/98/15/84/716981584.db2.gz DRHJVSREJXFZJH-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM CC[C@@H](F)C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940831882 716981806 /nfs/dbraw/zinc/98/18/06/716981806.db2.gz NQXWPZTYYSUDGA-LLVKDONJSA-N -1 1 309.341 1.210 20 0 DDADMM CC(C)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000964768729 717417196 /nfs/dbraw/zinc/41/71/96/717417196.db2.gz VQSKSXFQSNNIQI-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C(F)F)C1 ZINC000942759604 717859310 /nfs/dbraw/zinc/85/93/10/717859310.db2.gz YMNZARIIGVGJMA-SECBINFHSA-N -1 1 313.304 1.115 20 0 DDADMM C[C@H]1CN(C(=O)CCC2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966246610 718483415 /nfs/dbraw/zinc/48/34/15/718483415.db2.gz VOVUCGXIVUSFMQ-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1ncoc1C[NH2+][C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000968485660 719615397 /nfs/dbraw/zinc/61/53/97/719615397.db2.gz BOBLPWPSQYEROU-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])C1CCCCC1 ZINC000948756395 719689273 /nfs/dbraw/zinc/68/92/73/719689273.db2.gz DUKUOWLBKZDCSQ-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])C1CCCCC1 ZINC000948756396 719689405 /nfs/dbraw/zinc/68/94/05/719689405.db2.gz DUKUOWLBKZDCSQ-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])C1=CCCC1 ZINC000949369451 720044138 /nfs/dbraw/zinc/04/41/38/720044138.db2.gz OBCDNWDCQLIAKE-GFCCVEGCSA-N -1 1 301.346 1.228 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949542017 720150087 /nfs/dbraw/zinc/15/00/87/720150087.db2.gz UOXZEJYXMGXREV-ZYHUDNBSSA-N -1 1 309.341 1.112 20 0 DDADMM CC1(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CCCC1 ZINC000949541802 720150345 /nfs/dbraw/zinc/15/03/45/720150345.db2.gz PSSGOTZVJCBAGR-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@@H](c1csnn1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038194095 733199815 /nfs/dbraw/zinc/19/98/15/733199815.db2.gz MZKZLJSPPCJBLC-VHSXEESVSA-N -1 1 319.390 1.204 20 0 DDADMM CC(C)[C@@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167366884 733303693 /nfs/dbraw/zinc/30/36/93/733303693.db2.gz UKWDBZHYSWJQQZ-LLVKDONJSA-N -1 1 309.414 1.179 20 0 DDADMM CC[C@@]1([N-]S(=O)(=O)c2ccc(Cl)nc2F)CCOC1 ZINC000692872558 738709441 /nfs/dbraw/zinc/70/94/41/738709441.db2.gz KUYPRUSVBFDUFL-LLVKDONJSA-N -1 1 308.762 1.722 20 0 DDADMM O=C(NC[C@@H]1CCCN1Cc1cncs1)c1ncccc1[O-] ZINC001027844029 738726863 /nfs/dbraw/zinc/72/68/63/738726863.db2.gz LTRSMLMXAIXLPN-NSHDSACASA-N -1 1 318.402 1.638 20 0 DDADMM O=C(NC[C@@H]1CCCN1Cc1cnns1)c1ncccc1[O-] ZINC001027844527 738728997 /nfs/dbraw/zinc/72/89/97/738728997.db2.gz UKUSAGVNAPAUML-JTQLQIEISA-N -1 1 319.390 1.033 20 0 DDADMM COCc1nc(NCCc2ccc3c(c2)OCO3)cc(=O)[n-]1 ZINC000891587476 734416279 /nfs/dbraw/zinc/41/62/79/734416279.db2.gz COPQWWBPUZUZLD-UHFFFAOYSA-N -1 1 303.318 1.712 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000588498673 734687690 /nfs/dbraw/zinc/68/76/90/734687690.db2.gz AAOJGESLRHDXGQ-ITGUQSILSA-N -1 1 316.361 1.248 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1C[C@H]2C[C@@]2(c2ccccc2)C1 ZINC001121455060 782514830 /nfs/dbraw/zinc/51/48/30/782514830.db2.gz CTCPWTOUWZRJMC-PXAZEXFGSA-N -1 1 322.372 1.689 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@@H]2CC[C@@H](F)C2)C1)c1ncccc1[O-] ZINC000974055869 737352825 /nfs/dbraw/zinc/35/28/25/737352825.db2.gz BBIFREKEWJDRKA-KKOKHZNYSA-N -1 1 321.352 1.302 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)CCC1 ZINC000692889063 738958715 /nfs/dbraw/zinc/95/87/15/738958715.db2.gz RRNQZFRPCFVGJD-UHFFFAOYSA-N -1 1 308.762 1.722 20 0 DDADMM O=S(=O)([N-]CC1=CCCOC1)c1ccc(Cl)nc1F ZINC000692807883 737970944 /nfs/dbraw/zinc/97/09/44/737970944.db2.gz VZJOAJAUTJURNX-UHFFFAOYSA-N -1 1 306.746 1.499 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2CCc2ccccc2)c1[O-] ZINC001038160283 739146120 /nfs/dbraw/zinc/14/61/20/739146120.db2.gz DJDATKSMHWBJBE-AWEZNQCLSA-N -1 1 314.389 1.471 20 0 DDADMM CCO[C@H](CC)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075828745 740911834 /nfs/dbraw/zinc/91/18/34/740911834.db2.gz COVSHSZRJSCMOI-WCQYABFASA-N -1 1 323.393 1.227 20 0 DDADMM C/C=C(\C)C(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001029953460 741641500 /nfs/dbraw/zinc/64/15/00/741641500.db2.gz XGZPJYXBYRDHJS-NYYWCZLTSA-N -1 1 307.398 1.147 20 0 DDADMM CC(=O)Oc1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1 ZINC001212087420 741988007 /nfs/dbraw/zinc/98/80/07/741988007.db2.gz IXODSAYXEBYROC-UHFFFAOYSA-N -1 1 321.358 1.577 20 0 DDADMM Cc1ccnc(NCCC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001114911945 751704399 /nfs/dbraw/zinc/70/43/99/751704399.db2.gz VFQAKQSDCDQAAJ-LLVKDONJSA-N -1 1 315.377 1.896 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CCCC1CC1 ZINC001076246330 742619184 /nfs/dbraw/zinc/61/91/84/742619184.db2.gz NNHHJPGPYMZPDB-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CC(C)=CC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002187986 742935336 /nfs/dbraw/zinc/93/53/36/742935336.db2.gz DNVXXOUSPUCKQL-UPJWGTAASA-N -1 1 315.373 1.615 20 0 DDADMM O=C(CCc1c[nH]c2ncccc12)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001181037930 743049268 /nfs/dbraw/zinc/04/92/68/743049268.db2.gz ZXFQKGPRXKIHBA-UHFFFAOYSA-N -1 1 323.316 1.506 20 0 DDADMM CN(C)c1nc(NC(=O)[C@@H]2CCCC[C@@H]2O)c(N=O)c(=O)[n-]1 ZINC001181081540 743069002 /nfs/dbraw/zinc/06/90/02/743069002.db2.gz BDMXAYJCNKMCFT-SFYZADRCSA-N -1 1 309.326 1.136 20 0 DDADMM CN1CCC2(CN(Cc3ccc(Cl)cc3C(=O)[O-])C2)C1=O ZINC001142672640 743388921 /nfs/dbraw/zinc/38/89/21/743388921.db2.gz HGGZDGBVBAPSKQ-UHFFFAOYSA-N -1 1 308.765 1.702 20 0 DDADMM COCC1(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)CCC1 ZINC001181947224 743404653 /nfs/dbraw/zinc/40/46/53/743404653.db2.gz XVBAOCWKOVPDRQ-UHFFFAOYSA-N -1 1 309.326 1.401 20 0 DDADMM C[C@H]1[C@H](NC(=O)C(C)(C)F)CCN1C(=O)c1ncccc1[O-] ZINC001011673686 743642013 /nfs/dbraw/zinc/64/20/13/743642013.db2.gz NTGPEJRXUNGPEW-VHSXEESVSA-N -1 1 309.341 1.255 20 0 DDADMM CN1C[C@@H](C(=O)Nc2cccc(C(F)(F)F)c2[O-])NC1=O ZINC001183073945 743770056 /nfs/dbraw/zinc/77/00/56/743770056.db2.gz HIJQVFSEGDTEAJ-QMMMGPOBSA-N -1 1 303.240 1.373 20 0 DDADMM O=C(NC1CN([C@H]2CCc3ccccc32)C1)c1ncccc1[O-] ZINC001030241318 743976929 /nfs/dbraw/zinc/97/69/29/743976929.db2.gz DRNLUGVPALVSPZ-HNNXBMFYSA-N -1 1 309.369 1.889 20 0 DDADMM CO[C@@H](CN1CC(NC(=O)c2ncccc2[O-])C1)C1CCCC1 ZINC001030242817 743978500 /nfs/dbraw/zinc/97/85/00/743978500.db2.gz XJGNKCVBWRSTAP-HNNXBMFYSA-N -1 1 319.405 1.406 20 0 DDADMM O=C(CN1CC[C@@H](O)C1)Nc1cccc(C(F)(F)F)c1[O-] ZINC001186049101 744335960 /nfs/dbraw/zinc/33/59/60/744335960.db2.gz KCQHOMNFUYVKBE-MRVPVSSYSA-N -1 1 304.268 1.416 20 0 DDADMM COC(=O)[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ccc([O-])c(F)c1)C2 ZINC001186219616 744366551 /nfs/dbraw/zinc/36/65/51/744366551.db2.gz RVEKCNZHCJEQFR-DLOVCJGASA-N -1 1 307.321 1.945 20 0 DDADMM O=C(Nc1nccnc1N1CCOCC1)c1ccc([O-])cc1F ZINC001186310528 744380147 /nfs/dbraw/zinc/38/01/47/744380147.db2.gz FMIZTJQIRYOKGX-UHFFFAOYSA-N -1 1 318.308 1.410 20 0 DDADMM O=C(Nc1cc(=O)[nH]c(=O)n1C1CC1)c1ccc([O-])cc1F ZINC001186337199 744388850 /nfs/dbraw/zinc/38/88/50/744388850.db2.gz FNIPHBCHWAWUPB-UHFFFAOYSA-N -1 1 305.265 1.381 20 0 DDADMM O=C(CCc1nccc2c3ccccc3[nH]c21)NCc1nn[n-]n1 ZINC001186752027 744442779 /nfs/dbraw/zinc/44/27/79/744442779.db2.gz ITOQKJDVAQQAAY-UHFFFAOYSA-N -1 1 321.344 1.478 20 0 DDADMM CCOCCS(=O)(=O)[N-][C@H](C(=O)OC(C)(C)C)C(C)(C)C ZINC001187310262 744547038 /nfs/dbraw/zinc/54/70/38/744547038.db2.gz CTOCEYJPCDNWJY-LLVKDONJSA-N -1 1 323.455 1.699 20 0 DDADMM COc1ccc(F)c(C[N-]S(=O)(=O)c2cnoc2C)c1 ZINC001187409763 744558061 /nfs/dbraw/zinc/55/80/61/744558061.db2.gz LJVDSLWZPFXQRS-UHFFFAOYSA-N -1 1 300.311 1.609 20 0 DDADMM O=C(NCC1CC(NC(=O)C2CCCC2)C1)c1ncccc1[O-] ZINC000992049898 744561691 /nfs/dbraw/zinc/56/16/91/744561691.db2.gz RMKMKCJOQXNNJE-UHFFFAOYSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cn(C)cc3C(F)(F)F)c1-2 ZINC001188257764 744682490 /nfs/dbraw/zinc/68/24/90/744682490.db2.gz LHVCKIBTCGIBLO-UHFFFAOYSA-N -1 1 324.266 1.644 20 0 DDADMM Cc1nsc(N[C@@H](C)C[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001089272590 744846685 /nfs/dbraw/zinc/84/66/85/744846685.db2.gz PRZWCJQDVGLECC-IUCAKERBSA-N -1 1 321.406 1.956 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(NC(C)=O)nc2)n1 ZINC001189898717 745047448 /nfs/dbraw/zinc/04/74/48/745047448.db2.gz MQTPLUOMZWMJMD-UHFFFAOYSA-N -1 1 317.305 1.192 20 0 DDADMM CN(C)C(=O)CN(C)C(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190262837 745166807 /nfs/dbraw/zinc/16/68/07/745166807.db2.gz XRKSWYJPRXXJJH-UHFFFAOYSA-N -1 1 314.345 1.009 20 0 DDADMM Cc1cnc(=O)[nH]c1NC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190267928 745167911 /nfs/dbraw/zinc/16/79/11/745167911.db2.gz OQHOVBYLODQDQA-UHFFFAOYSA-N -1 1 323.312 1.906 20 0 DDADMM O=S(=O)([N-]c1cccc(C2=NCCO2)c1)c1ccncc1 ZINC001190903571 745380007 /nfs/dbraw/zinc/38/00/07/745380007.db2.gz GRNWSJKKHGEMFR-UHFFFAOYSA-N -1 1 303.343 1.659 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CCOC[C@@H]2F)cc(Cl)c1[O-] ZINC001191158257 745438592 /nfs/dbraw/zinc/43/85/92/745438592.db2.gz OBCAYVCCRDYMJD-VHSXEESVSA-N -1 1 303.717 1.911 20 0 DDADMM CSc1ncc(C(=O)N2C[C@H]3[C@H](CC3(F)F)C2)c(=O)[n-]1 ZINC001191429335 745509298 /nfs/dbraw/zinc/50/92/98/745509298.db2.gz ZVEIUIBTXHXDBY-SVRRBLITSA-N -1 1 301.318 1.631 20 0 DDADMM CSc1ncc(C(=O)Nc2ccn3c(C)nnc3c2)c(=O)[n-]1 ZINC001191429818 745510033 /nfs/dbraw/zinc/51/00/33/745510033.db2.gz UJZNOXGNTPXVPC-UHFFFAOYSA-N -1 1 316.346 1.508 20 0 DDADMM COc1cc(F)cc(CNC(=O)c2cnc(SC)[n-]c2=O)c1 ZINC001191441546 745513500 /nfs/dbraw/zinc/51/35/00/745513500.db2.gz WOLFIXSVJIJRIK-UHFFFAOYSA-N -1 1 323.349 1.982 20 0 DDADMM COC(=O)c1cnn(C)c1[N-]S(=O)(=O)CC1CCCC1 ZINC001191488420 745520328 /nfs/dbraw/zinc/52/03/28/745520328.db2.gz HZYCTKPHXSSKBD-UHFFFAOYSA-N -1 1 301.368 1.139 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccc(OCc2ccccc2)n1 ZINC001192356333 745766029 /nfs/dbraw/zinc/76/60/29/745766029.db2.gz XVCMVVWLHPWHMO-UHFFFAOYSA-N -1 1 310.317 1.104 20 0 DDADMM O=C(N[C@H]1NC(=O)c2ccccc21)c1c(F)ccc([O-])c1F ZINC001192551877 745812890 /nfs/dbraw/zinc/81/28/90/745812890.db2.gz HKDMPRUDVNWESM-CYBMUJFWSA-N -1 1 304.252 1.842 20 0 DDADMM COC(=O)c1cc(C)c([N-]S(=O)(=O)C[C@H]2CCCO2)cn1 ZINC001193207663 746014185 /nfs/dbraw/zinc/01/41/85/746014185.db2.gz NKXYYHMMPMVSCJ-SNVBAGLBSA-N -1 1 314.363 1.097 20 0 DDADMM CC(=O)c1ccc(F)c([N-]S(=O)(=O)C[C@@H]2CCCO2)c1 ZINC001193264601 746036806 /nfs/dbraw/zinc/03/68/06/746036806.db2.gz UHSVJJYZFBSALG-NSHDSACASA-N -1 1 301.339 1.949 20 0 DDADMM Cc1cccc2c1[C@@H]([N-]S(=O)(=O)C[C@H]1CCCO1)C(=O)N2 ZINC001193277574 746041645 /nfs/dbraw/zinc/04/16/45/746041645.db2.gz AEKDATMEWVPJST-ZWNOBZJWSA-N -1 1 310.375 1.087 20 0 DDADMM CNC(=O)c1cc([N-]S(=O)(=O)c2ccccc2N)ccc1F ZINC001193928610 746223780 /nfs/dbraw/zinc/22/37/80/746223780.db2.gz HGQGWXIVDUNNSM-UHFFFAOYSA-N -1 1 323.349 1.568 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cccc3c2OCCC3)[n-]n1 ZINC001194288390 746344061 /nfs/dbraw/zinc/34/40/61/746344061.db2.gz ARPCBXRKJOZAMW-UHFFFAOYSA-N -1 1 301.302 1.774 20 0 DDADMM CCN(C)c1ncc(NC(=O)c2c[n-]c(C(F)(F)F)n2)cn1 ZINC001194772080 746462436 /nfs/dbraw/zinc/46/24/36/746462436.db2.gz CKYQLQAKZXIPGK-UHFFFAOYSA-N -1 1 314.271 1.927 20 0 DDADMM COC(=O)c1cc(NC(=O)c2c[n-]c(C(F)(F)F)n2)cn1C ZINC001194770751 746462467 /nfs/dbraw/zinc/46/24/67/746462467.db2.gz OPRDWRXJWQHZQM-UHFFFAOYSA-N -1 1 316.239 1.806 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC(Oc3cnccn3)CC2)n1 ZINC001195323616 746565469 /nfs/dbraw/zinc/56/54/69/746565469.db2.gz LYTFTAKIGDNLFA-UHFFFAOYSA-N -1 1 314.345 1.569 20 0 DDADMM Cn1ncc2c1ncnc2NC(=O)c1cc([O-])cnc1Cl ZINC001195297481 746569723 /nfs/dbraw/zinc/56/97/23/746569723.db2.gz CEVJYLCUIVFANL-UHFFFAOYSA-N -1 1 304.697 1.370 20 0 DDADMM O=C(N[C@H]1NC(=O)c2ccccc21)c1cc([O-])cnc1Cl ZINC001195313016 746574525 /nfs/dbraw/zinc/57/45/25/746574525.db2.gz OBBAQWXNLSVJCA-GFCCVEGCSA-N -1 1 303.705 1.613 20 0 DDADMM CSc1nnc(NC(=O)c2nc(C)ccc2[O-])c(SC)n1 ZINC001195320262 746577211 /nfs/dbraw/zinc/57/72/11/746577211.db2.gz ZGTXUONGKYUIQV-UHFFFAOYSA-N -1 1 323.403 1.977 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc2c(c1)S(=O)(=O)C=C2 ZINC001195486907 746623415 /nfs/dbraw/zinc/62/34/15/746623415.db2.gz MLSUULJUKWWMEB-UHFFFAOYSA-N -1 1 312.372 1.888 20 0 DDADMM Nc1nonc1[N-]C(=O)c1ccc(Cl)c(C(F)(F)F)n1 ZINC001195658394 746675155 /nfs/dbraw/zinc/67/51/55/746675155.db2.gz AZCVMQWNGFDPFD-UHFFFAOYSA-N -1 1 307.619 1.971 20 0 DDADMM COc1ccc(NC(=S)NCCCC[P@](=O)([O-])O)cc1 ZINC001195723124 746685762 /nfs/dbraw/zinc/68/57/62/746685762.db2.gz LGWCJTFLPINRTD-UHFFFAOYSA-N -1 1 318.335 1.940 20 0 DDADMM O=C(Nc1nnc(-c2ccco2)o1)c1c[nH]c(=S)[n-]c1=O ZINC001196008435 746754130 /nfs/dbraw/zinc/75/41/30/746754130.db2.gz CMVHMRPHVJRQDA-UHFFFAOYSA-N -1 1 305.275 1.366 20 0 DDADMM O=C(Nc1cccc2nsnc21)c1c[nH]c(=S)[n-]c1=O ZINC001196008702 746754292 /nfs/dbraw/zinc/75/42/92/746754292.db2.gz GZZCVSUDEYQQLX-UHFFFAOYSA-N -1 1 305.344 1.728 20 0 DDADMM C[C@@](CO)(NC(=O)c1c[nH]c(=S)[n-]c1=O)c1ccccc1 ZINC001196026975 746765807 /nfs/dbraw/zinc/76/58/07/746765807.db2.gz ORESNEGKMOZFLS-AWEZNQCLSA-N -1 1 305.359 1.108 20 0 DDADMM Cc1nonc1NC(=O)c1sccc1[N-]S(C)(=O)=O ZINC001196721894 746944382 /nfs/dbraw/zinc/94/43/82/746944382.db2.gz WXOGJAZYIVWSST-UHFFFAOYSA-N -1 1 302.337 1.063 20 0 DDADMM O=C([O-])CN(CCS(=O)(=O)C1CCCCC1)CC1CC1 ZINC000699999637 747109780 /nfs/dbraw/zinc/10/97/80/747109780.db2.gz RXEZDUXLFAAPRO-UHFFFAOYSA-N -1 1 303.424 1.531 20 0 DDADMM Cn1ncc2cccc([N-]S(=O)(=O)Cc3cccnc3)c21 ZINC001197457540 747179785 /nfs/dbraw/zinc/17/97/85/747179785.db2.gz JKRDVJDLFMWXHW-UHFFFAOYSA-N -1 1 302.359 1.910 20 0 DDADMM Cc1ncsc1CN1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001031627836 747250045 /nfs/dbraw/zinc/25/00/45/747250045.db2.gz VNWHPVSBBPTYHM-UHFFFAOYSA-N -1 1 318.402 1.414 20 0 DDADMM Cc1nnc2cc([N-]S(=O)(=O)Cc3ccccn3)ccn12 ZINC001197788643 747264944 /nfs/dbraw/zinc/26/49/44/747264944.db2.gz BKNJANMRGGKXDO-UHFFFAOYSA-N -1 1 303.347 1.375 20 0 DDADMM CN(C)c1cc(F)cc(C(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001198138179 747377995 /nfs/dbraw/zinc/37/79/95/747377995.db2.gz PEPHCOFDWZCLRL-UHFFFAOYSA-N -1 1 318.285 1.579 20 0 DDADMM CCN(c1cc(F)ncn1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001089637590 747531073 /nfs/dbraw/zinc/53/10/73/747531073.db2.gz WPJDQMHDHRRJPF-SNVBAGLBSA-N -1 1 319.340 1.361 20 0 DDADMM CCOC(=O)CCNC(=S)Nc1ncc(Cl)cc1[O-] ZINC001200159500 748135773 /nfs/dbraw/zinc/13/57/73/748135773.db2.gz DBEJGFWJJOGCED-UHFFFAOYSA-N -1 1 303.771 1.680 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2ccc(N)nc2)c1 ZINC001201294748 748467778 /nfs/dbraw/zinc/46/77/78/748467778.db2.gz HDHFACDBQPTBPP-UHFFFAOYSA-N -1 1 308.319 1.379 20 0 DDADMM CC(C)C(=O)N1CC[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001004750288 748715731 /nfs/dbraw/zinc/71/57/31/748715731.db2.gz JYFLPCHEMAKHPR-NEPJUHHUSA-N -1 1 305.378 1.410 20 0 DDADMM COc1ccc(NC(=O)Nc2cc(=O)[n-]c(N(C)C)n2)cc1 ZINC001202386434 748756931 /nfs/dbraw/zinc/75/69/31/748756931.db2.gz URPRNOHQWBONDE-UHFFFAOYSA-N -1 1 303.322 1.901 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)C1CC=CC1 ZINC001004793939 748807533 /nfs/dbraw/zinc/80/75/33/748807533.db2.gz XYUDDIADEAJYGD-AAEUAGOBSA-N -1 1 319.409 1.336 20 0 DDADMM CN(C)S(=O)(=O)c1ccc([O-])c(NC(=O)NC(C)(C)C)c1 ZINC001202483232 748850097 /nfs/dbraw/zinc/85/00/97/748850097.db2.gz QVQJOXDZUQOBBR-UHFFFAOYSA-N -1 1 315.395 1.563 20 0 DDADMM C[C@@H](CNc1cnc2ccccc2n1)NC(=O)c1ncccc1[O-] ZINC001108323042 761933491 /nfs/dbraw/zinc/93/34/91/761933491.db2.gz HLVPMUNXMLLEAX-NSHDSACASA-N -1 1 323.356 1.961 20 0 DDADMM Cc1coc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)c1 ZINC000996197847 749429415 /nfs/dbraw/zinc/42/94/15/749429415.db2.gz YNDRUNCMOLIEEC-NSHDSACASA-N -1 1 319.365 1.052 20 0 DDADMM CC[C@@H](F)CN1CCC(N(C)C(=O)c2n[nH]c(C)c2[O-])CC1 ZINC001005262421 749660359 /nfs/dbraw/zinc/66/03/59/749660359.db2.gz MQBNCORBTYMJBP-LLVKDONJSA-N -1 1 312.389 1.708 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(C)(C)C ZINC001005286972 749751232 /nfs/dbraw/zinc/75/12/32/749751232.db2.gz FOBBLJJYEQEPQU-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]1CNCc1csnn1 ZINC001034916078 751050621 /nfs/dbraw/zinc/05/06/21/751050621.db2.gz NEGFACWIASOOKW-LLVKDONJSA-N -1 1 319.390 1.033 20 0 DDADMM C[C@@H]1CCCN(C(=O)/C=C/C2CC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036527319 752521567 /nfs/dbraw/zinc/52/15/67/752521567.db2.gz BYMDBHGRHGIHOW-BKVNPXPRSA-N -1 1 319.409 1.193 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)C1CCC(F)CC1 ZINC000999107818 752535240 /nfs/dbraw/zinc/53/52/40/752535240.db2.gz IHWKUZPIXSGNOE-UHFFFAOYSA-N -1 1 321.352 1.256 20 0 DDADMM O=C(C1CC2(CCC2)C1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999944490 753265286 /nfs/dbraw/zinc/26/52/86/753265286.db2.gz QYUMFVOUZMUWPU-GFCCVEGCSA-N -1 1 319.409 1.171 20 0 DDADMM CCC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001009834214 753398615 /nfs/dbraw/zinc/39/86/15/753398615.db2.gz UYJXHQBNIIMVPS-YNEHKIRRSA-N -1 1 317.389 1.554 20 0 DDADMM CS[C@H](C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839340 753759951 /nfs/dbraw/zinc/75/99/51/753759951.db2.gz MXOFXKWJGOGJFP-SNVBAGLBSA-N -1 1 323.418 1.307 20 0 DDADMM Cc1ccc(N2CC[C@@H](N(C)C(=O)c3ncccc3[O-])C2)nn1 ZINC001062922831 753848765 /nfs/dbraw/zinc/84/87/65/753848765.db2.gz ANIOKSNHZCGYPN-GFCCVEGCSA-N -1 1 313.361 1.237 20 0 DDADMM O=C(N[C@@H]1CCN(Cc2ccccc2F)C1)c1ncccc1[O-] ZINC001010715857 754119875 /nfs/dbraw/zinc/11/98/75/754119875.db2.gz AONOAAHRYDBGDL-CYBMUJFWSA-N -1 1 315.348 1.931 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(Cc3ccccn3)C[C@H]2C)c1[O-] ZINC001079577833 755451807 /nfs/dbraw/zinc/45/18/07/755451807.db2.gz RBNCQJNEMGTZBN-ZWNOBZJWSA-N -1 1 315.377 1.069 20 0 DDADMM CC[C@H](C)CC(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC001079653187 755503819 /nfs/dbraw/zinc/50/38/19/755503819.db2.gz WOGLUFGMPLUWGS-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM CC/C(C)=C/C(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079722313 755546783 /nfs/dbraw/zinc/54/67/83/755546783.db2.gz ZAAGLRVYPWHZPX-FMIVXFBMSA-N -1 1 317.389 1.816 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C1CCC1 ZINC001014896891 756005292 /nfs/dbraw/zinc/00/52/92/756005292.db2.gz YNEXZSXMTBABPU-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM CCC(=O)N1CC[C@@H](C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)C1 ZINC000822529845 758217480 /nfs/dbraw/zinc/21/74/80/758217480.db2.gz WEMQBQMSFISRKP-SNVBAGLBSA-N -1 1 318.377 1.258 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)CC2CC2)CN1C(=O)c1ncccc1[O-] ZINC001017913064 758382336 /nfs/dbraw/zinc/38/23/36/758382336.db2.gz UYVJDRHRRMZMLJ-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)CC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])CC[C@H]1C ZINC001018101829 758548511 /nfs/dbraw/zinc/54/85/11/758548511.db2.gz VWKAGEWCAUPPCZ-CHWSQXEVSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@@H]1CN(C(=O)C2CCCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054345181 759519917 /nfs/dbraw/zinc/51/99/17/759519917.db2.gz LWBHESNTKKCRSD-PWSUYJOCSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1sccc1C(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054639972 759932240 /nfs/dbraw/zinc/93/22/40/759932240.db2.gz VETZMPHNOCNEFO-GZMMTYOYSA-N -1 1 321.406 1.131 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)C(C)(C)C)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054973938 760247813 /nfs/dbraw/zinc/24/78/13/760247813.db2.gz MBPHEEDPRJERKE-QWRGUYRKSA-N -1 1 323.441 1.519 20 0 DDADMM CC[C@@H](F)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066390856 760960984 /nfs/dbraw/zinc/96/09/84/760960984.db2.gz RMWMWEBAXJPNMF-NWDGAFQWSA-N -1 1 323.368 1.646 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001540368 762945047 /nfs/dbraw/zinc/94/50/47/762945047.db2.gz ANINANZMEXQGSE-OLZOCXBDSA-N -1 1 317.389 1.268 20 0 DDADMM CO[C@@H](CN1CC(N(C)C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001042646104 764308683 /nfs/dbraw/zinc/30/86/83/764308683.db2.gz JTUYLNFHHQXEHG-HNNXBMFYSA-N -1 1 319.405 1.359 20 0 DDADMM Cc1cnc([C@@H](C)N2CC(N(C)C(=O)c3ncccc3[O-])C2)o1 ZINC001042646292 764310301 /nfs/dbraw/zinc/31/03/01/764310301.db2.gz OASSBFIJVRTELX-LLVKDONJSA-N -1 1 316.361 1.601 20 0 DDADMM C/C=C(\C)C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052423278 765811044 /nfs/dbraw/zinc/81/10/44/765811044.db2.gz VQNGJAFVTBEKBZ-CLFQDTNISA-N -1 1 317.389 1.578 20 0 DDADMM O=C(C[C@@H]1CC=CCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045154807 766119636 /nfs/dbraw/zinc/11/96/36/766119636.db2.gz IDQPEJAFXBYJIO-OLZOCXBDSA-N -1 1 319.409 1.337 20 0 DDADMM CC(=O)Nc1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1C ZINC001170219750 766177659 /nfs/dbraw/zinc/17/76/59/766177659.db2.gz USDLLALYPADUGX-UHFFFAOYSA-N -1 1 314.305 1.726 20 0 DDADMM COC(=O)c1cnc(Nc2[n-]c(=O)nc3nc[nH]c32)cc1C ZINC001170234676 766188529 /nfs/dbraw/zinc/18/85/29/766188529.db2.gz VLIGFQAROYOXDS-UHFFFAOYSA-N -1 1 300.278 1.292 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cc1 ZINC001045287483 766202837 /nfs/dbraw/zinc/20/28/37/766202837.db2.gz JHKUERZTZDFODL-ZDUSSCGKSA-N -1 1 315.377 1.213 20 0 DDADMM O=C1CSc2ncc(Nc3[n-]c(=O)nc4nc[nH]c43)cc2N1 ZINC001170229649 766203693 /nfs/dbraw/zinc/20/36/93/766203693.db2.gz VNEOALWIEDTXOU-UHFFFAOYSA-N -1 1 315.318 1.241 20 0 DDADMM Cc1ccc(NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC001068475693 767354102 /nfs/dbraw/zinc/35/41/02/767354102.db2.gz GRAPKILSWVVNJR-HAQNSBGRSA-N -1 1 313.361 1.506 20 0 DDADMM Cc1cc(N[C@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)ncn1 ZINC001068823361 767640834 /nfs/dbraw/zinc/64/08/34/767640834.db2.gz SWQTWSCTIGOLRO-NEPJUHHUSA-N -1 1 313.361 1.601 20 0 DDADMM C[C@@H]1C[C@H](Nc2nccnc2F)CN1C(=O)c1ncccc1[O-] ZINC001069065247 767813639 /nfs/dbraw/zinc/81/36/39/767813639.db2.gz IJVLDWJNOXXKKJ-ZJUUUORDSA-N -1 1 317.324 1.431 20 0 DDADMM CCCCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071953406 770339710 /nfs/dbraw/zinc/33/97/10/770339710.db2.gz YYXSMUXCYXYNQR-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(Nc1cnn(CC(F)F)c1)c1ccc2n[n-]c(=S)n2c1 ZINC001146895704 772970394 /nfs/dbraw/zinc/97/03/94/772970394.db2.gz YFFNSSLMCDDFSE-UHFFFAOYSA-N -1 1 324.316 1.732 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC[C@@](C)(CO)CC2)c1[O-] ZINC001147836825 773264413 /nfs/dbraw/zinc/26/44/13/773264413.db2.gz QNUPVARRFNYSKH-MRXNPFEDSA-N -1 1 308.378 1.213 20 0 DDADMM COC(=O)c1c(C)c(Cl)nnc1[N-]c1nccnc1CN ZINC001171440424 775790909 /nfs/dbraw/zinc/79/09/09/775790909.db2.gz DJIUZFIAENCSOK-UHFFFAOYSA-N -1 1 308.729 1.217 20 0 DDADMM CC(C)CCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101710968 777422106 /nfs/dbraw/zinc/42/21/06/777422106.db2.gz GUJRSVNAANNVJV-VXGBXAGGSA-N -1 1 309.414 1.131 20 0 DDADMM COc1cc(C(=O)[O-])ccc1NS(=O)(=O)c1cccc(N)c1 ZINC001174676592 777547393 /nfs/dbraw/zinc/54/73/93/777547393.db2.gz NXINBPKRZMHNSJ-UHFFFAOYSA-N -1 1 322.342 1.776 20 0 DDADMM CCCC(C)(C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102298945 778030348 /nfs/dbraw/zinc/03/03/48/778030348.db2.gz DWNXOQVOTMHRLG-VXGBXAGGSA-N -1 1 323.441 1.521 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc2ccccc2cc1O ZINC001176726404 778221281 /nfs/dbraw/zinc/22/12/81/778221281.db2.gz PRMYTIRSBCNIOE-UHFFFAOYSA-N -1 1 309.329 1.868 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cc(Br)cc2n[nH]cc21 ZINC001176844746 778299729 /nfs/dbraw/zinc/29/97/29/778299729.db2.gz IRBAWIDRMXRIOG-UHFFFAOYSA-N -1 1 322.126 1.020 20 0 DDADMM C[C@@H](Cc1ccccc1Br)NC(=O)Cc1nn[n-]n1 ZINC001176847468 778301277 /nfs/dbraw/zinc/30/12/77/778301277.db2.gz XNGHLMIBWXBCTK-QMMMGPOBSA-N -1 1 324.182 1.252 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104050594 779270852 /nfs/dbraw/zinc/27/08/52/779270852.db2.gz FUVRTWKSGHHJDP-QJJZASRKSA-N -1 1 307.398 1.051 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)CCOCC1 ZINC000692883557 779490704 /nfs/dbraw/zinc/49/07/04/779490704.db2.gz YLZJIHRGGVGQNI-UHFFFAOYSA-N -1 1 322.789 1.969 20 0 DDADMM O=C([O-])[C@H](O)CNCc1cc(Br)cnc1Cl ZINC001119551070 781507519 /nfs/dbraw/zinc/50/75/19/781507519.db2.gz RRRPFYYGBATGHN-SSDOTTSWSA-N -1 1 309.547 1.033 20 0 DDADMM CC/C(C)=C/C(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001267556376 838300680 /nfs/dbraw/zinc/30/06/80/838300680.db2.gz PBJPJMKTJAGWLT-JATZPVMKSA-N -1 1 307.398 1.195 20 0 DDADMM CN(Cc1ccc([O-])c(F)c1F)CC(C)(C)S(C)(=O)=O ZINC001414187364 839062395 /nfs/dbraw/zinc/06/23/95/839062395.db2.gz NJHOMRPWJGVWJI-UHFFFAOYSA-N -1 1 307.362 1.925 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@@]12CCN(C(=O)c1ncccc1[O-])C2 ZINC001269346625 841543194 /nfs/dbraw/zinc/54/31/94/841543194.db2.gz HYVZKXYJYQBRRS-PXAZEXFGSA-N -1 1 317.389 1.508 20 0 DDADMM CCC[C@H](C)C(=O)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001269711515 841962770 /nfs/dbraw/zinc/96/27/70/841962770.db2.gz KUAFQOHKNOHQCF-QWHCGFSZSA-N -1 1 323.441 1.665 20 0 DDADMM COc1cc(Br)c([O-])c(NC(=O)CNC(C)=O)c1 ZINC001142804561 861264989 /nfs/dbraw/zinc/26/49/89/861264989.db2.gz JPGYAECOYJWDLQ-UHFFFAOYSA-N -1 1 317.139 1.238 20 0 DDADMM CCCCN1CC[C@@]2(CCCN2C(=O)c2cncc([O-])c2)C1=O ZINC001271386176 843548393 /nfs/dbraw/zinc/54/83/93/843548393.db2.gz LBNPFNWIFZZTBP-KRWDZBQOSA-N -1 1 317.389 1.794 20 0 DDADMM O=C([O-])[C@]1(C(F)(F)F)CC[N@@H+](CCOC[C@H]2CCCO2)C1 ZINC001326575154 861456071 /nfs/dbraw/zinc/45/60/71/861456071.db2.gz AMFXFCDYTYXTME-PWSUYJOCSA-N -1 1 311.300 1.521 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2C[C@H](OC)C2(C)C)sn1 ZINC001414233622 844646925 /nfs/dbraw/zinc/64/69/25/844646925.db2.gz YZRDVXQKMWBUMS-SFYZADRCSA-N -1 1 306.409 1.244 20 0 DDADMM O=C(Cc1ccc2ccccc2c1)NCc1nc([O-])cc(=O)[nH]1 ZINC001149276458 861512446 /nfs/dbraw/zinc/51/24/46/861512446.db2.gz AIGCUHALEWNYML-UHFFFAOYSA-N -1 1 309.325 1.900 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc2ocnc2c1 ZINC001149380771 861577999 /nfs/dbraw/zinc/57/79/99/861577999.db2.gz WMFBDFBFYGAYFO-UHFFFAOYSA-N -1 1 301.218 1.679 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CC1(C)CC1 ZINC001409881616 845988441 /nfs/dbraw/zinc/98/84/41/845988441.db2.gz WOXQMUBTFDUCLA-LBPRGKRZSA-N -1 1 319.405 1.848 20 0 DDADMM CS(=O)(=O)CCNC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149645391 861776267 /nfs/dbraw/zinc/77/62/67/861776267.db2.gz QGOXMACFLSISGU-UHFFFAOYSA-N -1 1 309.343 1.025 20 0 DDADMM Cc1[nH]nc(NC(=O)CCc2nn[n-]n2)c1-c1ccccc1F ZINC001149726678 861849629 /nfs/dbraw/zinc/84/96/29/861849629.db2.gz VRVSFJGHJGWNMS-UHFFFAOYSA-N -1 1 315.312 1.609 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2cc(Cl)ncc2F)n1 ZINC001128933384 848311135 /nfs/dbraw/zinc/31/11/35/848311135.db2.gz WACJQNULEJLZMN-UHFFFAOYSA-N -1 1 316.701 1.765 20 0 DDADMM COc1ccc(CCNC(=O)c2[n-][nH]c3cc(=O)ccc2-3)nc1 ZINC001155273653 861922891 /nfs/dbraw/zinc/92/28/91/861922891.db2.gz RUPZXMZBEUZTOR-UHFFFAOYSA-N -1 1 312.329 1.645 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccccc1F)c1nccs1 ZINC001187912128 851085534 /nfs/dbraw/zinc/08/55/34/851085534.db2.gz BJWCEOYPOWYBBM-JTQLQIEISA-N -1 1 302.352 1.294 20 0 DDADMM CC/C(C)=C\C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001299342545 851807113 /nfs/dbraw/zinc/80/71/13/851807113.db2.gz PCWMIZLKVFDPHB-FLIBITNWSA-N -1 1 303.362 1.426 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@@H]3CCS(=O)(=O)C3)c([O-])c2n1 ZINC001155659267 862347073 /nfs/dbraw/zinc/34/70/73/862347073.db2.gz DHNXOYJRLHCQKR-LLVKDONJSA-N -1 1 320.370 1.166 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC[C@@H]2C[C@@]21C(=O)N1CC=CC1 ZINC001275376402 853037018 /nfs/dbraw/zinc/03/70/18/853037018.db2.gz CNQGJHQCSGXZDY-PXAZEXFGSA-N -1 1 316.332 1.534 20 0 DDADMM CN(C)C(=O)c1n[nH]c2c1CN(C(=O)c1ccc([O-])cc1F)C2 ZINC001275399632 853066364 /nfs/dbraw/zinc/06/63/64/853066364.db2.gz UQRRUBNZBXYCCQ-UHFFFAOYSA-N -1 1 318.308 1.112 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CCN2C(=O)c1c([O-])cccc1Cl ZINC001275466708 853162548 /nfs/dbraw/zinc/16/25/48/853162548.db2.gz PCAXLILRLTUNQJ-BJOHPYRUSA-N -1 1 308.765 1.738 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ccc(OC)nc2)[n-]c1Cl ZINC001411549039 853343100 /nfs/dbraw/zinc/34/31/00/853343100.db2.gz QXCAJIGFGLOSHP-UHFFFAOYSA-N -1 1 324.724 1.896 20 0 DDADMM CC(C)c1oncc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001412110977 854229907 /nfs/dbraw/zinc/22/99/07/854229907.db2.gz RUYBFCPPUNVXPS-UHFFFAOYSA-N -1 1 306.322 1.906 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C(C)(C)[C@@H]3CCCCO3)nc2n1 ZINC001412156049 854272104 /nfs/dbraw/zinc/27/21/04/854272104.db2.gz BZFYUWOAFOVXGK-JTQLQIEISA-N -1 1 319.365 1.260 20 0 DDADMM O=C(N[C@H](c1nccs1)C(F)(F)F)c1cnncc1[O-] ZINC001412177055 854299201 /nfs/dbraw/zinc/29/92/01/854299201.db2.gz WOWUWPOQUNAZEN-SSDOTTSWSA-N -1 1 304.253 1.672 20 0 DDADMM C=Cc1ccc(CCNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)cc1 ZINC001412177231 854299357 /nfs/dbraw/zinc/29/93/57/854299357.db2.gz XBYHSIUQYTUGQY-UHFFFAOYSA-N -1 1 315.329 1.512 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](O)c2ccccc2OC)n1 ZINC001412215504 854341699 /nfs/dbraw/zinc/34/16/99/854341699.db2.gz YIGFOHZQBFWVMJ-GFCCVEGCSA-N -1 1 319.317 1.267 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(C)oc2C)n[n-]1 ZINC001412327110 854444646 /nfs/dbraw/zinc/44/46/46/854444646.db2.gz LPQLYDPVILTSHY-QMMMGPOBSA-N -1 1 306.322 1.682 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(C)oc2C)[n-]1 ZINC001412327110 854444650 /nfs/dbraw/zinc/44/46/50/854444650.db2.gz LPQLYDPVILTSHY-QMMMGPOBSA-N -1 1 306.322 1.682 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(C)oc2C)n1 ZINC001412327110 854444655 /nfs/dbraw/zinc/44/46/55/854444655.db2.gz LPQLYDPVILTSHY-QMMMGPOBSA-N -1 1 306.322 1.682 20 0 DDADMM CN(C(=O)C1=C(c2ccccc2)SCCO1)c1nn[n-]n1 ZINC001412376073 854486507 /nfs/dbraw/zinc/48/65/07/854486507.db2.gz NCWRUSFNGZFYRG-UHFFFAOYSA-N -1 1 303.347 1.295 20 0 DDADMM COC(=O)N(C)c1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001412456048 854571851 /nfs/dbraw/zinc/57/18/51/854571851.db2.gz OCKBDJDKSBVPDV-UHFFFAOYSA-N -1 1 302.290 1.637 20 0 DDADMM NC(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1cccc([O-])c1Cl ZINC001276050654 854671374 /nfs/dbraw/zinc/67/13/74/854671374.db2.gz ZGEHLHCKPVNCEL-AOOGTALZSA-N -1 1 308.765 1.675 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1C[C@H]1NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001412640578 854864525 /nfs/dbraw/zinc/86/45/25/854864525.db2.gz JZMJVOJWRLNFNN-LPEHRKFASA-N -1 1 324.385 1.070 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)c2nnc(C)s2)c(=O)[n-]1 ZINC001412797185 855277503 /nfs/dbraw/zinc/27/75/03/855277503.db2.gz NJRJMNZPIGXWCF-YFKPBYRVSA-N -1 1 311.392 1.555 20 0 DDADMM CC(C)c1cc([C@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC001412868622 855736894 /nfs/dbraw/zinc/73/68/94/855736894.db2.gz HHPXRNJIMOSQIB-VIFPVBQESA-N -1 1 304.354 1.377 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@@H]1O)c1cccc([O-])c1F ZINC001412886173 855755301 /nfs/dbraw/zinc/75/53/01/855755301.db2.gz QDJZMVXWRHQSOT-XHDPSFHLSA-N -1 1 323.364 1.829 20 0 DDADMM COC(=O)[C@@H](Cc1ccncc1)NC(=O)c1cc(F)ccc1[O-] ZINC001413002594 855891623 /nfs/dbraw/zinc/89/16/23/855891623.db2.gz NCSSLXKTONFCNJ-CYBMUJFWSA-N -1 1 318.304 1.440 20 0 DDADMM CSc1ncc(C(=O)N(C)[C@H]2CC(C)(C)OC2=O)c(=O)[n-]1 ZINC001413020218 855957476 /nfs/dbraw/zinc/95/74/76/855957476.db2.gz JISFMVBVGLUOQH-QMMMGPOBSA-N -1 1 311.363 1.070 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC[C@@H]2C[C@H]2C2CC2)sn1 ZINC001413441815 856818941 /nfs/dbraw/zinc/81/89/41/856818941.db2.gz YIBBDLZOTLBLBR-ZJUUUORDSA-N -1 1 302.421 1.866 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCCc2cccnc21 ZINC001413456021 856835240 /nfs/dbraw/zinc/83/52/40/856835240.db2.gz CFSJGGVOPJAVBJ-JTQLQIEISA-N -1 1 308.363 1.316 20 0 DDADMM Cc1cccc(C)c1NCC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001413501226 856895759 /nfs/dbraw/zinc/89/57/59/856895759.db2.gz CRXPPMALSGWPBH-UHFFFAOYSA-N -1 1 316.409 1.913 20 0 DDADMM CC[C@@H](CC(=O)N[C@H](COC)c1nn[n-]n1)c1ccccc1 ZINC001413551135 857053993 /nfs/dbraw/zinc/05/39/93/857053993.db2.gz GUTFCWMOIQVGQI-WCQYABFASA-N -1 1 303.366 1.587 20 0 DDADMM CC(C)CCC(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001413561429 857069994 /nfs/dbraw/zinc/06/99/94/857069994.db2.gz QBVQTYXQFFTCOB-UHFFFAOYSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@@]1([C@H]2CCCN(C(=O)c3ccc([O-])cn3)C2)COC(=O)N1 ZINC001413601002 857218499 /nfs/dbraw/zinc/21/84/99/857218499.db2.gz SSQOIGDNBACXLA-BONVTDFDSA-N -1 1 305.334 1.138 20 0 DDADMM C[C@@H](C[C@H](C)NC(=O)c1cccc(F)c1)NC(=O)c1cnn[nH]1 ZINC001413664086 857302895 /nfs/dbraw/zinc/30/28/95/857302895.db2.gz JGUCBPHWLJLGRZ-UWVGGRQHSA-N -1 1 319.340 1.271 20 0 DDADMM Cc1ccnc(-c2noc(-c3nc(Br)n[n-]3)n2)c1 ZINC001213002118 862932459 /nfs/dbraw/zinc/93/24/59/862932459.db2.gz OUWUYPGKTKJCQH-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM Cc1ccnc(-c2noc(-c3n[n-]c(Br)n3)n2)c1 ZINC001213002118 862932468 /nfs/dbraw/zinc/93/24/68/862932468.db2.gz OUWUYPGKTKJCQH-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H]1Cc2ccccc2O1 ZINC001123734246 859383516 /nfs/dbraw/zinc/38/35/16/859383516.db2.gz LXQJJUWWDYDMQM-SNVBAGLBSA-N -1 1 317.370 1.513 20 0 DDADMM Cc1cccc(CN(C)C(=O)c2c(C)[nH]c(=O)[n-]c2=S)n1 ZINC001123736942 859385242 /nfs/dbraw/zinc/38/52/42/859385242.db2.gz JSHOOZGVTXMCNS-UHFFFAOYSA-N -1 1 304.375 1.755 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)[C@H](C)C(=O)OC(C)C ZINC001123759201 859399063 /nfs/dbraw/zinc/39/90/63/859399063.db2.gz BVRCLJFBCOLNQV-MRVPVSSYSA-N -1 1 313.379 1.191 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(O)(c2ccccc2)C1 ZINC001123844109 859437483 /nfs/dbraw/zinc/43/74/83/859437483.db2.gz APFHNCFZRPPJOM-UHFFFAOYSA-N -1 1 317.370 1.123 20 0 DDADMM C[C@H]1CN(C(=O)OC(C)(C)C)CC[C@H]1OCC[P@](=O)([O-])O ZINC001224601557 881463397 /nfs/dbraw/zinc/46/33/97/881463397.db2.gz IADXNBJWIVJJSF-WDEREUQCSA-N -1 1 323.326 1.826 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H](F)[C@@H](OCC[P@](=O)([O-])O)C1 ZINC001224607780 881465770 /nfs/dbraw/zinc/46/57/70/881465770.db2.gz VJTUSKZJNCFDOD-IUCAKERBSA-N -1 1 313.262 1.138 20 0 DDADMM CC1=C(C(=O)Nc2ccc([O-])c(Cl)c2)S(=O)(=O)CCO1 ZINC001137674365 859961253 /nfs/dbraw/zinc/96/12/53/859961253.db2.gz QTVINGLRSCGJCD-UHFFFAOYSA-N -1 1 317.750 1.661 20 0 DDADMM C[C@H](NC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-])C(N)=O ZINC001138162236 860022296 /nfs/dbraw/zinc/02/22/96/860022296.db2.gz WNLPLCCNNBYGNM-QMMMGPOBSA-N -1 1 302.286 1.068 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(Cc2ncccc2[O-])[C@H]2C[C@H]21 ZINC001138390556 860083690 /nfs/dbraw/zinc/08/36/90/860083690.db2.gz BGZHZOLEGLOCDE-QWHCGFSZSA-N -1 1 305.378 1.981 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2cc(C)cc(C=O)c2[O-])[C@@H]1OC ZINC001138618407 860146753 /nfs/dbraw/zinc/14/67/53/860146753.db2.gz CGVCWXNQEMGSAJ-GUTXKFCHSA-N -1 1 307.346 1.435 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc4cccnc4n3)ccnc1-2 ZINC001361376956 881527537 /nfs/dbraw/zinc/52/75/37/881527537.db2.gz JZKKLDTYZRLHLA-UHFFFAOYSA-N -1 1 304.313 1.537 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(Cc2ccncc2[O-])[C@@H]2C[C@@H]21 ZINC001140274112 860604859 /nfs/dbraw/zinc/60/48/59/860604859.db2.gz UNQNPNHOFCZCAA-OLZOCXBDSA-N -1 1 305.378 1.981 20 0 DDADMM O=S(=O)(c1ccccc1)[C@H]1CCN(Cc2ccncc2[O-])C1 ZINC001140277145 860609841 /nfs/dbraw/zinc/60/98/41/860609841.db2.gz UTBTUUYZCPFUFD-HNNXBMFYSA-N -1 1 318.398 1.835 20 0 DDADMM Cc1nc(CN[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])co1 ZINC001381363719 881585942 /nfs/dbraw/zinc/58/59/42/881585942.db2.gz IPVTVDBXPDIKTM-NXEZZACHSA-N -1 1 304.350 1.380 20 0 DDADMM COC(=O)c1cncc(NC(=O)c2ccc3[nH][n-]c(=O)c3c2)n1 ZINC001154204805 860867963 /nfs/dbraw/zinc/86/79/63/860867963.db2.gz QAFQISYVBLDREP-UHFFFAOYSA-N -1 1 313.273 1.097 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc3[nH]c(=O)oc32)n1 ZINC001141838400 860955179 /nfs/dbraw/zinc/95/51/79/860955179.db2.gz NJIVVLQKWPSNEP-UHFFFAOYSA-N -1 1 316.273 1.686 20 0 DDADMM Cn1cc(CCC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)cn1 ZINC001141880811 860963861 /nfs/dbraw/zinc/96/38/61/860963861.db2.gz GNGNBRGXPQQPQK-UHFFFAOYSA-N -1 1 311.345 1.883 20 0 DDADMM Cc1cc2c(c(N[C@@H](C)c3ccc(F)cn3)n1)C(=O)[N-]C2=O ZINC001156679054 863257700 /nfs/dbraw/zinc/25/77/00/863257700.db2.gz OKOYQRLSBDRWAM-QMMMGPOBSA-N -1 1 300.293 1.981 20 0 DDADMM CN(C)c1cc(NC(=O)c2cc(=O)c3cccc(O)c3[n-]2)n[nH]1 ZINC001152671057 863482509 /nfs/dbraw/zinc/48/25/09/863482509.db2.gz LMMRCYKSFZUMAW-UHFFFAOYSA-N -1 1 313.317 1.687 20 0 DDADMM C[C@@H]1CC[C@@H](CO)CN1C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152672253 863485003 /nfs/dbraw/zinc/48/50/03/863485003.db2.gz ZZXXKTBRFTUSLC-GHMZBOCLSA-N -1 1 316.357 1.879 20 0 DDADMM Cn1cc2c(n1)CCN(C(=O)c1cc(=O)c3cccc(O)c3[n-]1)C2 ZINC001152671168 863485964 /nfs/dbraw/zinc/48/59/64/863485964.db2.gz BGZPVLGMZDGUEN-UHFFFAOYSA-N -1 1 324.340 1.578 20 0 DDADMM Cc1nccnc1CNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681008 863490881 /nfs/dbraw/zinc/49/08/81/863490881.db2.gz AZMOPLQMIBFUBJ-UHFFFAOYSA-N -1 1 310.313 1.674 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)c2cccc(O)c2[n-]1)[C@@H]1CCCO1 ZINC001152684649 863493090 /nfs/dbraw/zinc/49/30/90/863493090.db2.gz HRUZSAXRIOHLJE-XPTSAGLGSA-N -1 1 302.330 1.943 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)c2cccc(O)c2[n-]1)c1cncnc1 ZINC001152682389 863493299 /nfs/dbraw/zinc/49/32/99/863493299.db2.gz YXCZGZKXQXCNPE-SECBINFHSA-N -1 1 310.313 1.927 20 0 DDADMM O=[P@]([O-])(O)C1(Nc2ccc(F)c[n+]2[O-])Cc2ccccc2C1 ZINC001157156671 863620321 /nfs/dbraw/zinc/62/03/21/863620321.db2.gz MSPBQDQEDNHTST-UHFFFAOYSA-N -1 1 324.248 1.544 20 0 DDADMM Cn1c2ccccc2nc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001153635008 863989598 /nfs/dbraw/zinc/98/95/98/863989598.db2.gz PPAOVHGWXOEECF-UHFFFAOYSA-N -1 1 314.261 1.425 20 0 DDADMM O=C(N=c1cc[nH]c2c1CCC2)c1cnc2cccnc2c1[O-] ZINC001153851159 864158254 /nfs/dbraw/zinc/15/82/54/864158254.db2.gz KFOYOWWIIATLDO-UHFFFAOYSA-N -1 1 306.325 1.481 20 0 DDADMM O=C(N=c1ncnc2[nH][nH]cc1-2)c1c(O)cc2ccccc2c1[O-] ZINC001154023314 864331351 /nfs/dbraw/zinc/33/13/51/864331351.db2.gz SLLDFCONNSKJTQ-UHFFFAOYSA-N -1 1 321.296 1.543 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2CCO[C@@H](C)C2)[n-]c1Cl ZINC001361530376 881838261 /nfs/dbraw/zinc/83/82/61/881838261.db2.gz UTGYUSJVUFDEGW-JGVFFNPUSA-N -1 1 315.757 1.993 20 0 DDADMM COC(=O)c1cc(Cl)cnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159192296 865157992 /nfs/dbraw/zinc/15/79/92/865157992.db2.gz MFQBFECHPKUOGA-UHFFFAOYSA-N -1 1 320.696 1.637 20 0 DDADMM Cc1cc(C(=O)[O-])oc1C(=O)NCCCCN1CCOCC1 ZINC001332201393 865660358 /nfs/dbraw/zinc/66/03/58/865660358.db2.gz DYLOZZWISZERHJ-UHFFFAOYSA-N -1 1 310.350 1.128 20 0 DDADMM CCOc1cc(Cl)nc(Nc2c(O)[nH]c(=O)[n-]c2=S)c1 ZINC001160847633 866148327 /nfs/dbraw/zinc/14/83/27/866148327.db2.gz BVKWWIJTZKZWDZ-QMMMGPOBSA-N -1 1 314.754 1.081 20 0 DDADMM Cc1cccc2ccnc(Nc3c(O)[nH]c(=O)[n-]c3=S)c12 ZINC001160857837 866153414 /nfs/dbraw/zinc/15/34/14/866153414.db2.gz JFTCZYSZBLILQJ-JTQLQIEISA-N -1 1 300.343 1.491 20 0 DDADMM O=C(Cn1c(=O)oc2ccccc21)Nc1nc(Cl)ccc1[O-] ZINC001323084006 866323257 /nfs/dbraw/zinc/32/32/57/866323257.db2.gz PRIKQHOOENHJHH-UHFFFAOYSA-N -1 1 319.704 1.987 20 0 DDADMM CC(C)[C@@H](O)C[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001323407098 866593336 /nfs/dbraw/zinc/59/33/36/866593336.db2.gz TZWXDHDMYNZLSU-QMMMGPOBSA-N -1 1 313.206 1.684 20 0 DDADMM CCCN(CCn1cnnn1)Cc1nc(=O)c2sccc2[n-]1 ZINC001333401514 866710873 /nfs/dbraw/zinc/71/08/73/866710873.db2.gz NXMIPQTZSKYZAC-UHFFFAOYSA-N -1 1 319.394 1.296 20 0 DDADMM CC(C(=O)N[C@@H](C)[C@H](C)NC(=O)c1ncccc1[O-])=C1CCC1 ZINC001333887479 867118608 /nfs/dbraw/zinc/11/86/08/867118608.db2.gz RDEDGUFYHBNZLS-RYUDHWBXSA-N -1 1 317.389 1.911 20 0 DDADMM Cc1cc(CNCC=CCNC(=O)c2[nH]nc(C)c2[O-])sn1 ZINC001321033295 867242085 /nfs/dbraw/zinc/24/20/85/867242085.db2.gz VUUBYXLHNCMEDQ-ONEGZZNKSA-N -1 1 321.406 1.264 20 0 DDADMM COCCCCC(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001334177776 867358097 /nfs/dbraw/zinc/35/80/97/867358097.db2.gz ILSXFCCHGSMEOJ-GFCCVEGCSA-N -1 1 323.393 1.181 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(c2cccc(F)n2)CC1 ZINC001324837572 867566165 /nfs/dbraw/zinc/56/61/65/867566165.db2.gz LMJRGZZZSVTUFM-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM CCS(=O)(=O)c1c(Cl)cccc1CNCCC(=O)[O-] ZINC001322234865 868068377 /nfs/dbraw/zinc/06/83/77/868068377.db2.gz VVPFHDIENUIQHM-UHFFFAOYSA-N -1 1 305.783 1.698 20 0 DDADMM O=C1C[C@H]2[NH2+]CC[C@]2([N-]c2c(F)c(F)c(F)c(F)c2F)[N-]1 ZINC001163243305 868251104 /nfs/dbraw/zinc/25/11/04/868251104.db2.gz SIUOKYCKSCNJGF-LJEIHNFPSA-N -1 1 307.222 1.372 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@H](Oc2nc(=O)[n-]cc2C(C)=O)C1 ZINC001226238303 882299842 /nfs/dbraw/zinc/29/98/42/882299842.db2.gz QYBDFRWGCCNXBZ-MNOVXSKESA-N -1 1 308.334 1.886 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)Cc2cnccc2C)[n-]1 ZINC001361756898 882306862 /nfs/dbraw/zinc/30/68/62/882306862.db2.gz KGAHYUNIGLDZOD-UHFFFAOYSA-N -1 1 315.329 1.889 20 0 DDADMM CCC(CC)(NC(=O)C(C)=Cc1cccnc1)c1nn[n-]n1 ZINC001297487719 870072756 /nfs/dbraw/zinc/07/27/56/870072756.db2.gz MOMVSINEODIZRF-PKNBQFBNSA-N -1 1 300.366 1.830 20 0 DDADMM Cc1ccc(F)c(N)c1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001297779818 870177109 /nfs/dbraw/zinc/17/71/09/870177109.db2.gz FMKBCNLTRZUZDV-UHFFFAOYSA-N -1 1 320.324 1.825 20 0 DDADMM Cc1nnc(N2CCC([N-]C(=O)C(F)(F)F)CC2)nc1C ZINC001166894727 870348833 /nfs/dbraw/zinc/34/88/33/870348833.db2.gz JKPMDQNNRJQDTA-UHFFFAOYSA-N -1 1 303.288 1.136 20 0 DDADMM O=C(NCc1cccc(N2CCCC2=O)c1)c1ccc([O-])cn1 ZINC001361803360 882403929 /nfs/dbraw/zinc/40/39/29/882403929.db2.gz CZAZDVNQWWPUNC-UHFFFAOYSA-N -1 1 311.341 1.844 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cc(C)co2)c1 ZINC001298865530 870759684 /nfs/dbraw/zinc/75/96/84/870759684.db2.gz UDKRMNDIGREQAW-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM CN(CCN(C)C(=O)[C@H]1CC12CCC2)C(=O)c1ncccc1[O-] ZINC001416658891 870866920 /nfs/dbraw/zinc/86/69/20/870866920.db2.gz QQSDRAXSWCCADS-GFCCVEGCSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@H]1C(=O)N([N-]C(=O)c2cc3ccccc3s2)C(=O)N1C ZINC001301136594 870976463 /nfs/dbraw/zinc/97/64/63/870976463.db2.gz XYSKAKVTJHNCGD-QMMMGPOBSA-N -1 1 303.343 1.829 20 0 DDADMM C/C=C(/C)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001316810258 872085063 /nfs/dbraw/zinc/08/50/63/872085063.db2.gz IAIQAJLLNRYBTD-QJGQKNTRSA-N -1 1 321.425 1.583 20 0 DDADMM C[C@@H](Cc1ccco1)Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226727929 882615840 /nfs/dbraw/zinc/61/58/40/882615840.db2.gz JVSMLXDFOWXLHT-NSHDSACASA-N -1 1 305.334 1.622 20 0 DDADMM C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1ccc(F)c([O-])c1 ZINC001362237067 883376587 /nfs/dbraw/zinc/37/65/87/883376587.db2.gz UCFBNNMFLPWQMY-BDAKNGLRSA-N -1 1 301.339 1.179 20 0 DDADMM O=c1ncc(I)c(O[C@H]2C=CCC2)[n-]1 ZINC001226774445 882642318 /nfs/dbraw/zinc/64/23/18/882642318.db2.gz OMCNCJNEOOEVTL-LURJTMIESA-N -1 1 304.087 1.884 20 0 DDADMM CNc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(Cl)c1 ZINC001361925260 882651989 /nfs/dbraw/zinc/65/19/89/882651989.db2.gz OHAVVKKVIFUGSW-LLVKDONJSA-N -1 1 322.756 1.109 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1ccn(C(C)C)n1 ZINC001346033994 873594891 /nfs/dbraw/zinc/59/48/91/873594891.db2.gz NFCRSHFACDBAHW-UHFFFAOYSA-N -1 1 307.379 1.487 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H](C)c1ccccn1 ZINC001347109288 874015079 /nfs/dbraw/zinc/01/50/79/874015079.db2.gz IYWJVTXEJOQFSU-MRVPVSSYSA-N -1 1 304.375 1.708 20 0 DDADMM CCc1cccc2c(CC(=O)N3CC[C@@H](c4nn[n-]n4)C3)c[nH]c21 ZINC001347974399 874324483 /nfs/dbraw/zinc/32/44/83/874324483.db2.gz JDHGFSCEMVFSAC-GFCCVEGCSA-N -1 1 324.388 1.802 20 0 DDADMM Cc1ccccc1OCCNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001348559757 874633067 /nfs/dbraw/zinc/63/30/67/874633067.db2.gz PROYVPZMOCTJHD-UHFFFAOYSA-N -1 1 319.386 1.897 20 0 DDADMM CC[C@](C)(CC(=O)OC)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362029807 882870461 /nfs/dbraw/zinc/87/04/61/882870461.db2.gz PXZPCDRAAAVWCZ-OAHLLOKOSA-N -1 1 307.350 1.521 20 0 DDADMM COCC(=O)c1ccc([O-])cc1O[C@@H]1C[C@@H]2CC(=O)C[C@H]1N2C ZINC001227168232 882891053 /nfs/dbraw/zinc/89/10/53/882891053.db2.gz GYOPAKNSJBHOQZ-NCAQKEMTSA-N -1 1 319.357 1.404 20 0 DDADMM O=C(c1cnc2c(F)cccc2c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001350222657 875584897 /nfs/dbraw/zinc/58/48/97/875584897.db2.gz HQIZBEFSJPKPCZ-SNVBAGLBSA-N -1 1 312.308 1.517 20 0 DDADMM CCC[C@@H](CC)C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001378911941 875898619 /nfs/dbraw/zinc/89/86/19/875898619.db2.gz KRCSBQHOQDVJLG-GFCCVEGCSA-N -1 1 323.441 1.759 20 0 DDADMM COc1ccc(-c2noc(-c3nccc(OC)c3[O-])n2)nc1 ZINC001213326523 875899615 /nfs/dbraw/zinc/89/96/15/875899615.db2.gz SKJCVEZZEKAPAV-UHFFFAOYSA-N -1 1 300.274 1.916 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H](CNCc1ccon1)C1CC1 ZINC001378994481 876100068 /nfs/dbraw/zinc/10/00/68/876100068.db2.gz JQOBRSDHUGFBDX-ZDUSSCGKSA-N -1 1 316.361 1.416 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)CNC(=O)c2ncccc2[O-])s1 ZINC001362091091 883011651 /nfs/dbraw/zinc/01/16/51/883011651.db2.gz ZPLJZFWPZDJJOS-JTQLQIEISA-N -1 1 319.386 1.764 20 0 DDADMM CCOc1cc2c(cc1NC(=O)CCc1nn[n-]n1)O[C@H](C)C2 ZINC001362097259 883028153 /nfs/dbraw/zinc/02/81/53/883028153.db2.gz DWIZKUDBYMVIFX-SECBINFHSA-N -1 1 317.349 1.493 20 0 DDADMM CCCC[C@@](C)(F)C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001379156450 876531477 /nfs/dbraw/zinc/53/14/77/876531477.db2.gz WMPYNHADTJLJRU-IINYFYTJSA-N -1 1 315.393 1.365 20 0 DDADMM CO[C@@]1(CO)CCCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000401775637 876684394 /nfs/dbraw/zinc/68/43/94/876684394.db2.gz SAKVZTCEQUOYHI-INIZCTEOSA-N -1 1 323.393 1.228 20 0 DDADMM Cc1ccc(CC(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)s1 ZINC001379318774 876810193 /nfs/dbraw/zinc/81/01/93/876810193.db2.gz FMLZBAHJDOKKRH-VIFPVBQESA-N -1 1 323.422 1.059 20 0 DDADMM COc1ncc(N(C)c2ncccc2N)cc1[N-]S(C)(=O)=O ZINC001216151397 876877952 /nfs/dbraw/zinc/87/79/52/876877952.db2.gz AGFOFVDNPFGDKG-UHFFFAOYSA-N -1 1 323.378 1.207 20 0 DDADMM CNc1cc([N-]S(=O)(=O)c2cc(N)ccc2OC)ccn1 ZINC001216335250 876923158 /nfs/dbraw/zinc/92/31/58/876923158.db2.gz MTQYTRBRRLRFHX-UHFFFAOYSA-N -1 1 308.363 1.515 20 0 DDADMM Cn1c(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)cc2ccccc21 ZINC001352794035 876934534 /nfs/dbraw/zinc/93/45/34/876934534.db2.gz RVBZKSZTUCQVFJ-LBPRGKRZSA-N -1 1 324.388 1.786 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2CC[C@@H]1[C@H]2Oc1nc(=O)[nH]c(=O)[n-]1 ZINC001227570049 883083995 /nfs/dbraw/zinc/08/39/95/883083995.db2.gz IBLZJVDENRDYPB-HLTSFMKQSA-N -1 1 324.337 1.060 20 0 DDADMM C[C@H](CC(=O)OC(C)(C)C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362123493 883099204 /nfs/dbraw/zinc/09/92/04/883099204.db2.gz JXTLCLKGOAWBHZ-SECBINFHSA-N -1 1 321.377 1.910 20 0 DDADMM CCC(=O)NCCC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001355323919 878498564 /nfs/dbraw/zinc/49/85/64/878498564.db2.gz BWWFHCGYKHZEBX-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC[C@H](c2ccccc2)[C@@H]1C ZINC001356290119 878968285 /nfs/dbraw/zinc/96/82/85/878968285.db2.gz JTMXFILJBHZSEO-AAEUAGOBSA-N -1 1 324.392 1.861 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC[C@H](c2ccccc2)[C@@H]1C ZINC001356290119 878968294 /nfs/dbraw/zinc/96/82/94/878968294.db2.gz JTMXFILJBHZSEO-AAEUAGOBSA-N -1 1 324.392 1.861 20 0 DDADMM CC[C@H](C)CC(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001380323742 879333638 /nfs/dbraw/zinc/33/36/38/879333638.db2.gz YLYADGJXTSCMJL-AAEUAGOBSA-N -1 1 323.441 1.711 20 0 DDADMM CC/C=C(/C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001287859248 912512239 /nfs/dbraw/zinc/51/22/39/912512239.db2.gz LUOMVZBFJYYALM-ISGXEFFDSA-N -1 1 317.389 1.863 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2[C@H]3CCCO[C@@H]3C2(C)C)c(=O)[n-]1 ZINC001362172908 883210294 /nfs/dbraw/zinc/21/02/94/883210294.db2.gz OABOXLIUQMXAFT-IEBDPFPHSA-N -1 1 323.418 1.838 20 0 DDADMM CSc1ncc(C(=O)NCC(=O)c2cccs2)c(=O)[n-]1 ZINC001362222392 883339409 /nfs/dbraw/zinc/33/94/09/883339409.db2.gz LHDULKCMLJQMSI-UHFFFAOYSA-N -1 1 309.372 1.578 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H]2CCc3cc(OC)ccc3C2)n1 ZINC001228314634 883440706 /nfs/dbraw/zinc/44/07/06/883440706.db2.gz RSDUPQKRAVLJRZ-LBPRGKRZSA-N -1 1 303.318 1.536 20 0 DDADMM COC(=O)c1nc(O[C@H]2CCc3cc(OC)ccc3C2)n[n-]1 ZINC001228314634 883440722 /nfs/dbraw/zinc/44/07/22/883440722.db2.gz RSDUPQKRAVLJRZ-LBPRGKRZSA-N -1 1 303.318 1.536 20 0 DDADMM C[C@@H](C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001382156108 883533786 /nfs/dbraw/zinc/53/37/86/883533786.db2.gz ZOXPJESKKRQBPY-WDEREUQCSA-N -1 1 309.414 1.273 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1Oc1cnnc(=S)[n-]1 ZINC001228639997 883586099 /nfs/dbraw/zinc/58/60/99/883586099.db2.gz OAGAQSYAXQLWFA-RKDXNWHRSA-N -1 1 312.395 1.795 20 0 DDADMM CNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1ccc(F)c([O-])c1 ZINC001362346703 883615660 /nfs/dbraw/zinc/61/56/60/883615660.db2.gz XFGVFJWUZQMNPG-CQSZACIVSA-N -1 1 316.332 1.618 20 0 DDADMM O=C(NC1(c2ncon2)CCOCC1)c1ccc(F)c([O-])c1 ZINC001362358046 883638960 /nfs/dbraw/zinc/63/89/60/883638960.db2.gz UMBPSJAYVUNWGK-UHFFFAOYSA-N -1 1 307.281 1.350 20 0 DDADMM O=C(NC1(c2ncon2)CCOCC1)c1cccc([O-])c1F ZINC001362363377 883649195 /nfs/dbraw/zinc/64/91/95/883649195.db2.gz GLZGBMJJSHITCH-UHFFFAOYSA-N -1 1 307.281 1.350 20 0 DDADMM CCCc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)no1 ZINC001362403731 883732636 /nfs/dbraw/zinc/73/26/36/883732636.db2.gz BKWFLTOETVKAQH-SNVBAGLBSA-N -1 1 308.367 1.071 20 0 DDADMM C[C@]1(C(N)=O)CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC001362420145 883769259 /nfs/dbraw/zinc/76/92/59/883769259.db2.gz VUMGGGGZAWSTNV-ZDUSSCGKSA-N -1 1 316.279 1.749 20 0 DDADMM CSc1ncc(C(=O)NCc2cnc3n2CCCC3)c(=O)[n-]1 ZINC001362431865 883794219 /nfs/dbraw/zinc/79/42/19/883794219.db2.gz PQILXSHTNHBAHE-UHFFFAOYSA-N -1 1 319.390 1.367 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc2c([nH]c1=O)CCC[C@H]2O ZINC001362499440 883949377 /nfs/dbraw/zinc/94/93/77/883949377.db2.gz CYCXIXGEJWKION-SNVBAGLBSA-N -1 1 304.306 1.146 20 0 DDADMM C[C@H]1C[N@@H+](CC(C)(C)O)CCN1C(=O)c1ccc(F)c(O)c1 ZINC001362503613 883958374 /nfs/dbraw/zinc/95/83/74/883958374.db2.gz XVSAEPJWRFLWFY-NSHDSACASA-N -1 1 310.369 1.449 20 0 DDADMM O=C(NCc1cnc(Br)s1)c1cnncc1[O-] ZINC001362533278 884024880 /nfs/dbraw/zinc/02/48/80/884024880.db2.gz BOBLKBHYQQRZLS-UHFFFAOYSA-N -1 1 315.152 1.331 20 0 DDADMM O=C(NCc1nnc(C2CC2)o1)c1cnc(C2CC2)[n-]c1=O ZINC001362543268 884053959 /nfs/dbraw/zinc/05/39/59/884053959.db2.gz DQXJZXGSSJOMTF-UHFFFAOYSA-N -1 1 301.306 1.250 20 0 DDADMM CN=[S@@](C)(=O)c1cccc(NC(=O)CCCc2nn[n-]n2)c1 ZINC001362553734 884080784 /nfs/dbraw/zinc/08/07/84/884080784.db2.gz SAAKCJLNLXDFEQ-QFIPXVFZSA-N -1 1 322.394 1.248 20 0 DDADMM C[C@@H]1OCC[C@@]1(O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362578009 884134624 /nfs/dbraw/zinc/13/46/24/884134624.db2.gz BJKYVNXKSJXMDT-ISVAXAHUSA-N -1 1 319.279 1.681 20 0 DDADMM COc1ccc(NC(=O)c2ccc([O-])cn2)cc1NC(N)=O ZINC001362608872 884212059 /nfs/dbraw/zinc/21/20/59/884212059.db2.gz YGYJUYILPKIQEJ-UHFFFAOYSA-N -1 1 302.290 1.539 20 0 DDADMM CC1(C)CCN(C(=O)c2cccc([O-])c2F)CCS1(=O)=O ZINC001362619324 884237944 /nfs/dbraw/zinc/23/79/44/884237944.db2.gz IDPZVZRDYVCNGN-UHFFFAOYSA-N -1 1 315.366 1.571 20 0 DDADMM O=C(NCc1cn(C2CC2)c(=O)[nH]c1=O)c1cccc([O-])c1F ZINC001362825222 884729305 /nfs/dbraw/zinc/72/93/05/884729305.db2.gz PHRZNMQDZKKPQB-UHFFFAOYSA-N -1 1 319.292 1.059 20 0 DDADMM CSc1nc(CNC(=O)c2n[nH]c3c2CCC3)cc(=O)[n-]1 ZINC001362850368 884793305 /nfs/dbraw/zinc/79/33/05/884793305.db2.gz DVRTULVGZZYSIP-UHFFFAOYSA-N -1 1 305.363 1.046 20 0 DDADMM CC(C)C(=O)NC[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001382871854 884839166 /nfs/dbraw/zinc/83/91/66/884839166.db2.gz UGDULXQEWZORTH-RYUDHWBXSA-N -1 1 305.378 1.458 20 0 DDADMM Cn1cc(C[C@@H]2CCC[C@@H]2NC(=O)c2ccc([O-])cn2)cn1 ZINC001362984193 885146549 /nfs/dbraw/zinc/14/65/49/885146549.db2.gz PBFMEMMCBYGIKG-JSGCOSHPSA-N -1 1 300.362 1.662 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)c2cnc(SC)[n-]c2=O)C12CCC2 ZINC001363010702 885223115 /nfs/dbraw/zinc/22/31/15/885223115.db2.gz ZCJPEKRRTKUVAK-VHSXEESVSA-N -1 1 309.391 1.592 20 0 DDADMM O=C(NCc1ccc(=O)[nH]n1)c1ccc(C(F)(F)F)cc1[O-] ZINC001363032894 885278199 /nfs/dbraw/zinc/27/81/99/885278199.db2.gz PHLYENQZDRQLDL-UHFFFAOYSA-N -1 1 313.235 1.837 20 0 DDADMM O=C(NCC[S@@](=O)CC(F)(F)F)c1cccc([O-])c1F ZINC001363055283 885347885 /nfs/dbraw/zinc/34/78/85/885347885.db2.gz ORYDEYIQECPGHA-HXUWFJFHSA-N -1 1 313.272 1.572 20 0 DDADMM CSc1ncc(C(=O)Nc2c3c(nn2C)CCCC3)c(=O)[n-]1 ZINC001363074529 885400733 /nfs/dbraw/zinc/40/07/33/885400733.db2.gz WHZCWPBWTMPURI-UHFFFAOYSA-N -1 1 319.390 1.769 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](O)c1ccccc1OC)c1nn[n-]n1 ZINC001363133880 885549453 /nfs/dbraw/zinc/54/94/53/885549453.db2.gz CJZCGTZIURJHTF-LBPRGKRZSA-N -1 1 319.365 1.073 20 0 DDADMM CCO[C@@H]1C[C@H]1C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001363158128 885606214 /nfs/dbraw/zinc/60/62/14/885606214.db2.gz VHDROZQUVPTBOO-ZRUFSTJUSA-N -1 1 322.327 1.469 20 0 DDADMM CCC[C@@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001383459099 885829167 /nfs/dbraw/zinc/82/91/67/885829167.db2.gz LQCWHJZRCRZOOC-JHJVBQTASA-N -1 1 319.405 1.848 20 0 DDADMM CN(C)C(=O)N(C)[C@H]1CCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001363331557 886045528 /nfs/dbraw/zinc/04/55/28/886045528.db2.gz FHZPPUQTOJQRNG-NSHDSACASA-N -1 1 309.341 1.359 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCC(=O)c1cccs1 ZINC001363359047 886124649 /nfs/dbraw/zinc/12/46/49/886124649.db2.gz XEJWJUKEOUKJTF-UHFFFAOYSA-N -1 1 321.406 1.909 20 0 DDADMM CNC(=O)N[C@@H]1CCCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC001363362572 886135226 /nfs/dbraw/zinc/13/52/26/886135226.db2.gz XSSAWRPKASVURY-SNVBAGLBSA-N -1 1 311.769 1.579 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC(CO)(c2ccccc2)CC1 ZINC001363375414 886168011 /nfs/dbraw/zinc/16/80/11/886168011.db2.gz VDUOCPGWIFVPCL-UHFFFAOYSA-N -1 1 312.369 1.954 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1ccsn1 ZINC001363401921 886237847 /nfs/dbraw/zinc/23/78/47/886237847.db2.gz VEYSSBNPUXNVCX-VIFPVBQESA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1ccsn1 ZINC001363401921 886237866 /nfs/dbraw/zinc/23/78/66/886237866.db2.gz VEYSSBNPUXNVCX-VIFPVBQESA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1ccsn1 ZINC001363401921 886237885 /nfs/dbraw/zinc/23/78/85/886237885.db2.gz VEYSSBNPUXNVCX-VIFPVBQESA-N -1 1 321.362 1.415 20 0 DDADMM COC[C@@H](NC(=O)[C@H](C)Cc1ccccc1C)c1nn[n-]n1 ZINC001363420527 886290645 /nfs/dbraw/zinc/29/06/45/886290645.db2.gz XZIMVSWNPMQFEN-DGCLKSJQSA-N -1 1 303.366 1.191 20 0 DDADMM CCOC(=O)[C@](C)(NC(=O)c1cnncc1[O-])c1ccccc1 ZINC001363534179 886571640 /nfs/dbraw/zinc/57/16/40/886571640.db2.gz MBKQBTIVKOCZGL-MRXNPFEDSA-N -1 1 315.329 1.391 20 0 DDADMM CCc1ccccc1OCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363549025 886611556 /nfs/dbraw/zinc/61/15/56/886611556.db2.gz LJZFVNSMADEXGU-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM COC(=O)C[C@H]1CN(Cc2ccc(F)c([O-])c2F)CCO1 ZINC001232934765 886681781 /nfs/dbraw/zinc/68/17/81/886681781.db2.gz JVALLPWSBCPRRL-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2cc([O-])c(F)c(F)c2)CCC1=O ZINC001232971111 886703119 /nfs/dbraw/zinc/70/31/19/886703119.db2.gz UNDYKUXCYVEGAW-JTQLQIEISA-N -1 1 313.300 1.625 20 0 DDADMM CCOC(=O)[C@@H](CSC)NC(=O)c1ccc(F)c([O-])c1 ZINC001363603869 886741035 /nfs/dbraw/zinc/74/10/35/886741035.db2.gz UDOODQAWQWGOEB-SNVBAGLBSA-N -1 1 301.339 1.556 20 0 DDADMM COC[C@@H]1CN(Cc2cc(Cl)ncc2[O-])Cc2cn(C)nc21 ZINC001233036313 886744277 /nfs/dbraw/zinc/74/42/77/886744277.db2.gz MWEVWFUCPOENAH-LBPRGKRZSA-N -1 1 322.796 1.920 20 0 DDADMM COC(=O)c1cc2n(n1)CCC[C@@H]2NC(=O)c1cncc([O-])c1 ZINC001363677356 886953740 /nfs/dbraw/zinc/95/37/40/886953740.db2.gz IZRGAJDMOHFCRF-NSHDSACASA-N -1 1 316.317 1.035 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc3c(o2)CCCC3)n[n-]1 ZINC001363710376 887041269 /nfs/dbraw/zinc/04/12/69/887041269.db2.gz QNWPUESZIMZJDO-UHFFFAOYSA-N -1 1 318.333 1.383 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc3c(o2)CCCC3)n1 ZINC001363710376 887041281 /nfs/dbraw/zinc/04/12/81/887041281.db2.gz QNWPUESZIMZJDO-UHFFFAOYSA-N -1 1 318.333 1.383 20 0 DDADMM COc1cnc(C2(NC(=O)c3ncoc3C)CCCC2)[n-]c1=O ZINC001363722566 887069232 /nfs/dbraw/zinc/06/92/32/887069232.db2.gz CAAPLNPLGCHEMR-UHFFFAOYSA-N -1 1 318.333 1.687 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@@H]1Oc1c(=O)[n-]cnc1C(=O)OC ZINC001233756960 887281706 /nfs/dbraw/zinc/28/17/06/887281706.db2.gz VFTUKYDLDADHTE-IUCAKERBSA-N -1 1 310.306 1.079 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@@H](Oc2c(=O)[n-]cnc2C(=O)OC)C1 ZINC001233758329 887285276 /nfs/dbraw/zinc/28/52/76/887285276.db2.gz JQQQVSGIDCEDHW-VHSXEESVSA-N -1 1 324.333 1.470 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)NC2(c3nn[n-]n3)CCC2)s1 ZINC001363822663 887320156 /nfs/dbraw/zinc/32/01/56/887320156.db2.gz SDAAQHCTQOEGMG-UHFFFAOYSA-N -1 1 319.390 1.728 20 0 DDADMM COC(=O)c1cccc2[n-]c(O[C@@H]3C(=O)OCC3(C)C)nc21 ZINC001234040298 887581907 /nfs/dbraw/zinc/58/19/07/887581907.db2.gz YGIDYLIJJDBCAF-LLVKDONJSA-N -1 1 304.302 1.680 20 0 DDADMM COC(=O)c1cccc2nc(O[C@@H]3C(=O)OCC3(C)C)[n-]c21 ZINC001234040298 887581918 /nfs/dbraw/zinc/58/19/18/887581918.db2.gz YGIDYLIJJDBCAF-LLVKDONJSA-N -1 1 304.302 1.680 20 0 DDADMM COC(=O)c1cccc2[n-]c(O[C@H]3CO[C@H]4OCC[C@@H]34)nc21 ZINC001234043073 887585895 /nfs/dbraw/zinc/58/58/95/887585895.db2.gz CHLGLXDHXISKRI-YLGCSUCGSA-N -1 1 304.302 1.490 20 0 DDADMM COC(=O)c1cccc2nc(O[C@H]3CO[C@H]4OCC[C@@H]34)[n-]c21 ZINC001234043073 887585901 /nfs/dbraw/zinc/58/59/01/887585901.db2.gz CHLGLXDHXISKRI-YLGCSUCGSA-N -1 1 304.302 1.490 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H](O)CNC(=O)c1cccc([O-])c1F ZINC001363946831 887593622 /nfs/dbraw/zinc/59/36/22/887593622.db2.gz VTQWTRKEUWMZJD-LBPRGKRZSA-N -1 1 307.325 1.345 20 0 DDADMM CN1c2ccccc2C[C@H]([N-]S(=O)(=O)c2ccns2)C1=O ZINC001363999563 887695756 /nfs/dbraw/zinc/69/57/56/887695756.db2.gz LCPNALSQYNHQDV-JTQLQIEISA-N -1 1 323.399 1.009 20 0 DDADMM COC(=O)c1cn(C)nc1NC(=O)c1cc(SC)ccc1[O-] ZINC001364033356 887764085 /nfs/dbraw/zinc/76/40/85/887764085.db2.gz TZJDCFHMFLACIV-UHFFFAOYSA-N -1 1 321.358 1.887 20 0 DDADMM COC[C@@H](NC(=O)[C@H]1SCCc2ccccc21)c1nn[n-]n1 ZINC001364040544 887778409 /nfs/dbraw/zinc/77/84/09/887778409.db2.gz ZIHOXVSWEMENRY-NEPJUHHUSA-N -1 1 319.390 1.034 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1c2ccccc2CN1C ZINC001364051459 887801523 /nfs/dbraw/zinc/80/15/23/887801523.db2.gz BWYRSAZOJLVETI-GFCCVEGCSA-N -1 1 322.390 1.030 20 0 DDADMM O=C(CC1CC1)NCCC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001384644549 887822964 /nfs/dbraw/zinc/82/29/64/887822964.db2.gz OMTKVVTVUKRCFE-UHFFFAOYSA-N -1 1 317.389 1.604 20 0 DDADMM CC(C)C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001384704219 887921366 /nfs/dbraw/zinc/92/13/66/887921366.db2.gz RNXSZMVKJUYBAM-STQMWFEESA-N -1 1 319.405 1.658 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H]2CCc3cccnc32)c(=O)[n-]1 ZINC001234564234 888102445 /nfs/dbraw/zinc/10/24/45/888102445.db2.gz BDDRSPKQVXHWIN-JTQLQIEISA-N -1 1 314.345 1.866 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cnnn1C ZINC001364287653 888299890 /nfs/dbraw/zinc/29/98/90/888299890.db2.gz NMLRFZIEEKJETE-UHFFFAOYSA-N -1 1 323.378 1.219 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)O1)c1ccc(F)c([O-])c1 ZINC001364367767 888470425 /nfs/dbraw/zinc/47/04/25/888470425.db2.gz UDRQJZXKXSUNJV-GFCCVEGCSA-N -1 1 309.337 1.989 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccc[nH]c2=O)[n-]c1=O ZINC001364416313 888587667 /nfs/dbraw/zinc/58/76/67/888587667.db2.gz NOXCAJUJBZUEPD-JTQLQIEISA-N -1 1 316.317 1.269 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1(CCF)CC1 ZINC001385308143 888848295 /nfs/dbraw/zinc/84/82/95/888848295.db2.gz XTAKMOVPEAZZNS-LLVKDONJSA-N -1 1 321.352 1.258 20 0 DDADMM CC(C)(C)[C@H]1CCN(Cc2cc(=O)n3[n-]ccc3n2)CCO1 ZINC001364564129 888935453 /nfs/dbraw/zinc/93/54/53/888935453.db2.gz OOMROADMWIMROR-CYBMUJFWSA-N -1 1 304.394 1.660 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC2Cc3ccccc3C2)sn1 ZINC001364777339 889395291 /nfs/dbraw/zinc/39/52/91/889395291.db2.gz FCWBETXVNUKNDF-UHFFFAOYSA-N -1 1 324.427 1.845 20 0 DDADMM [O-]c1ccc(CN2CCN(CC3=NOCC3)CC2)cc1Cl ZINC001364784063 889409537 /nfs/dbraw/zinc/40/95/37/889409537.db2.gz MDCYQWQDCMBMPY-UHFFFAOYSA-N -1 1 309.797 1.940 20 0 DDADMM Cc1ncc(C[N-]S(=O)(=O)c2c(C)nn(C)c2Cl)o1 ZINC001364882800 889602900 /nfs/dbraw/zinc/60/29/00/889602900.db2.gz AXQRMXLQWSUBGU-UHFFFAOYSA-N -1 1 304.759 1.157 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C2CC3(C2)CO[C@@H](C)C3)sn1 ZINC001364949500 889740401 /nfs/dbraw/zinc/74/04/01/889740401.db2.gz OUMJBHBMQFKEJM-QTZUAFFRSA-N -1 1 318.420 1.388 20 0 DDADMM CC1=C(C(=O)N[C@@H](C)CNC(=O)c2ncccc2[O-])CCCO1 ZINC001386235846 890617248 /nfs/dbraw/zinc/61/72/48/890617248.db2.gz GAYOWBZBYOLYHP-JTQLQIEISA-N -1 1 319.361 1.106 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(C)(C)C[C@H]2C)[n-]n1 ZINC001365420671 890772284 /nfs/dbraw/zinc/77/22/84/890772284.db2.gz BFESVWSPEWPEDJ-MRVPVSSYSA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(C)(C)C[C@H]2C)n[n-]1 ZINC001365420671 890772299 /nfs/dbraw/zinc/77/22/99/890772299.db2.gz BFESVWSPEWPEDJ-MRVPVSSYSA-N -1 1 301.368 1.005 20 0 DDADMM CC(=O)NC[C@H]1CN(Cc2ccc([O-])c(F)c2F)CCO1 ZINC001365520516 890971325 /nfs/dbraw/zinc/97/13/25/890971325.db2.gz FCEZQMWJXAGKFH-NSHDSACASA-N -1 1 300.305 1.007 20 0 DDADMM CCC(CC)CC(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001386450470 891004704 /nfs/dbraw/zinc/00/47/04/891004704.db2.gz RVNLYJNBMMAUGJ-LLVKDONJSA-N -1 1 307.394 1.848 20 0 DDADMM COc1ncc(-c2ccc(C)c(F)n2)cc1[N-]S(C)(=O)=O ZINC001244790040 891924036 /nfs/dbraw/zinc/92/40/36/891924036.db2.gz OMLGCDLJXKQZOH-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM CCCCCc1cc(=O)n(-c2cccc(S(N)(=O)=O)c2)[n-]1 ZINC001245945503 892282442 /nfs/dbraw/zinc/28/24/42/892282442.db2.gz XVQAUSOPCIXSME-UHFFFAOYSA-N -1 1 309.391 1.958 20 0 DDADMM CCN(CCNC(=O)[C@H]1CC[C@@H](C)C1)C(=O)c1ncccc1[O-] ZINC001387208278 892619297 /nfs/dbraw/zinc/61/92/97/892619297.db2.gz UEMRZJJKEPTBJS-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)c1cccc(C(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)c1 ZINC001366342911 893238579 /nfs/dbraw/zinc/23/85/79/893238579.db2.gz KUQWEQKLFDRDKF-LLVKDONJSA-N -1 1 317.393 1.542 20 0 DDADMM CC(C)[C@@H](C)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001387653761 893549987 /nfs/dbraw/zinc/54/99/87/893549987.db2.gz XIRIPJYLACRHOO-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM COc1ncc(-c2cccnc2OC)cc1[N-]S(C)(=O)=O ZINC001250534716 894315872 /nfs/dbraw/zinc/31/58/72/894315872.db2.gz AKPCDWGRWAFABI-UHFFFAOYSA-N -1 1 309.347 1.532 20 0 DDADMM O=C([N-]C1CCN(C[C@@H](O)C(F)(F)F)CC1)C(F)(F)F ZINC001250972871 894534257 /nfs/dbraw/zinc/53/42/57/894534257.db2.gz SXKYPCFIKBBZNT-SSDOTTSWSA-N -1 1 308.222 1.053 20 0 DDADMM CCCCC(=O)N(CCC)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001366811441 894981151 /nfs/dbraw/zinc/98/11/51/894981151.db2.gz LXARPZDQKAYAJZ-ZDUSSCGKSA-N -1 1 323.441 1.112 20 0 DDADMM CC(C)CCC(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001388492748 895333143 /nfs/dbraw/zinc/33/31/43/895333143.db2.gz NTZBUMOJDXYFBC-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)[C@H](C)C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001396546872 914028253 /nfs/dbraw/zinc/02/82/53/914028253.db2.gz AVUIHBPJZPELTK-RWMBFGLXSA-N -1 1 319.405 1.704 20 0 DDADMM C[C@@H](NC[C@H](C)N(C)C(=O)c1ncccc1[O-])c1csnn1 ZINC001367352377 896526526 /nfs/dbraw/zinc/52/65/26/896526526.db2.gz VXYMENRSQFCLJW-VHSXEESVSA-N -1 1 321.406 1.450 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccccc1C)c1ccncc1 ZINC001254661258 896556007 /nfs/dbraw/zinc/55/60/07/896556007.db2.gz ICIQDFYJUATCMJ-AWEZNQCLSA-N -1 1 320.370 1.583 20 0 DDADMM CC[C@@H](CC1CCCC1)C(=O)N[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367700077 897507819 /nfs/dbraw/zinc/50/78/19/897507819.db2.gz VUIAZLSHXLQHTH-YPMHNXCESA-N -1 1 323.441 1.711 20 0 DDADMM CCOC(=O)CCC[C@H](C)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001258109949 898060623 /nfs/dbraw/zinc/06/06/23/898060623.db2.gz SCDCQWSFLNATFP-ZETCQYMHSA-N -1 1 309.326 1.250 20 0 DDADMM O=S(=O)([N-]Cc1ncc(F)cn1)c1cc(F)ccc1F ZINC001258948220 898375511 /nfs/dbraw/zinc/37/55/11/898375511.db2.gz COWTZZCHUGSQGD-UHFFFAOYSA-N -1 1 303.265 1.372 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1cc(-c2ccccc2C)nn1C ZINC001259020465 898419508 /nfs/dbraw/zinc/41/95/08/898419508.db2.gz VDLGHRQNBYPUCY-UHFFFAOYSA-N -1 1 323.374 1.310 20 0 DDADMM O=C1CCCC[C@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259043669 898438264 /nfs/dbraw/zinc/43/82/64/898438264.db2.gz VQHOLNASMCZYDN-SNVBAGLBSA-N -1 1 307.293 1.894 20 0 DDADMM O=C1C[C@@H](C[N-]S(=O)(=O)c2cc(Cl)ccc2F)CCN1 ZINC001259452879 898678178 /nfs/dbraw/zinc/67/81/78/898678178.db2.gz ZUSDNYIUISDCJL-QMMMGPOBSA-N -1 1 320.773 1.284 20 0 DDADMM O=S(=O)([N-]CCC1OCCO1)c1cc(Cl)ccc1F ZINC001259460425 898680203 /nfs/dbraw/zinc/68/02/03/898680203.db2.gz DBPMJGSOANUBHD-UHFFFAOYSA-N -1 1 309.746 1.520 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C1CCCC1)[C@@H](C)OC(C)(C)C ZINC001259804378 898814402 /nfs/dbraw/zinc/81/44/02/898814402.db2.gz FAQWZKZCPCMJBW-PWSUYJOCSA-N -1 1 321.439 1.594 20 0 DDADMM CC(=O)Nc1ccc(O)c([N-]S(=O)(=O)C2CCOCC2)c1 ZINC001259917108 898927546 /nfs/dbraw/zinc/92/75/46/898927546.db2.gz XFCYNKMPRIWEJL-UHFFFAOYSA-N -1 1 314.363 1.271 20 0 DDADMM COc1ccc2c(c1)NC(=O)C[C@H]2C[N-]S(=O)(=O)C(F)F ZINC001259961316 898984028 /nfs/dbraw/zinc/98/40/28/898984028.db2.gz FFUJIPXBGDMCDA-ZETCQYMHSA-N -1 1 320.317 1.263 20 0 DDADMM O=S(=O)([N-]Cc1cn(Cc2ccccc2F)cn1)C(F)F ZINC001259964504 898988436 /nfs/dbraw/zinc/98/84/36/898988436.db2.gz USZYRKCLIFDCHS-UHFFFAOYSA-N -1 1 319.308 1.713 20 0 DDADMM COC(=O)c1ccc2c(c1)[C@H]([N-]S(=O)(=O)C(F)F)CCC2 ZINC001259964559 898988669 /nfs/dbraw/zinc/98/86/69/898988669.db2.gz XDAZOEHTUYSYQA-LLVKDONJSA-N -1 1 319.329 1.993 20 0 DDADMM COC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260288520 899111400 /nfs/dbraw/zinc/11/14/00/899111400.db2.gz UPFWFBBPQSUBJA-YFKPBYRVSA-N -1 1 313.709 1.458 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CC1)c1cc(F)c(F)cc1Cl ZINC001260289846 899111624 /nfs/dbraw/zinc/11/16/24/899111624.db2.gz LZBZIMSXXXUBLR-JTQLQIEISA-N -1 1 311.737 1.667 20 0 DDADMM C[C@@H](CO)CC[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260290317 899112844 /nfs/dbraw/zinc/11/28/44/899112844.db2.gz VPGGAHOYJWEHMP-SSDOTTSWSA-N -1 1 313.753 1.915 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1cc(Cl)sc1Cl)C(N)=O ZINC001260473560 899150003 /nfs/dbraw/zinc/15/00/03/899150003.db2.gz ZSLDJSHRXXLABE-UHFFFAOYSA-N -1 1 317.219 1.597 20 0 DDADMM Cc1cc(F)c([N-]S(=O)(=O)CS(C)(=O)=O)cc1Cl ZINC001260592745 899179909 /nfs/dbraw/zinc/17/99/09/899179909.db2.gz PQYRBTGYKKQVNM-UHFFFAOYSA-N -1 1 315.775 1.531 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CCC(C)C ZINC001390386471 899307798 /nfs/dbraw/zinc/30/77/98/899307798.db2.gz WYEHXWZTCYXXKT-LBPRGKRZSA-N -1 1 307.394 1.848 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-][C@H]1C(=O)Nc2cccc(C)c21 ZINC001261031504 899334031 /nfs/dbraw/zinc/33/40/31/899334031.db2.gz UIBYKKJLQBJGRB-GFCCVEGCSA-N -1 1 320.374 1.307 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cnc(Cl)cc2Cl)CO1 ZINC001261457358 899582634 /nfs/dbraw/zinc/58/26/34/899582634.db2.gz GVVBCEZLTMCIDR-RNFRBKRXSA-N -1 1 311.190 1.844 20 0 DDADMM O=C([O-])[C@@H](O)CNCc1ccc(Br)c(F)c1F ZINC001262164243 899994821 /nfs/dbraw/zinc/99/48/21/899994821.db2.gz AWESDVIGIHIAMZ-ZETCQYMHSA-N -1 1 310.094 1.262 20 0 DDADMM CCOc1ccc(NC(=O)NCC[N-]C(=O)C(F)(F)F)cc1 ZINC000586442908 900636465 /nfs/dbraw/zinc/63/64/65/900636465.db2.gz UJVSSBVXEQPCEN-UHFFFAOYSA-N -1 1 319.283 1.885 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCSC(F)(F)F ZINC001263708244 900678332 /nfs/dbraw/zinc/67/83/32/900678332.db2.gz HUSACRHRPRDYFU-UHFFFAOYSA-N -1 1 313.326 1.762 20 0 DDADMM CCCC(=O)NC[C@@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001391149320 901060036 /nfs/dbraw/zinc/06/00/36/901060036.db2.gz YQRBBTASGJWZJU-SNVBAGLBSA-N -1 1 320.393 1.342 20 0 DDADMM Cc1cnc(CN(C)CCCNC(=O)c2ncccc2[O-])o1 ZINC001265831971 902463766 /nfs/dbraw/zinc/46/37/66/902463766.db2.gz YWTAOTWCMHVTSY-UHFFFAOYSA-N -1 1 304.350 1.336 20 0 DDADMM CC[C@@H](CNC(=O)c1cc(C)cc(F)c1)NCc1n[nH]c(=O)[n-]1 ZINC001391731328 902473905 /nfs/dbraw/zinc/47/39/05/902473905.db2.gz YZQBGJDENGQEAE-LBPRGKRZSA-N -1 1 321.356 1.256 20 0 DDADMM CC[C@@H](C)[C@@H](C)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001391769569 902563623 /nfs/dbraw/zinc/56/36/23/902563623.db2.gz KZXRZORLZFULFT-VXGBXAGGSA-N -1 1 323.441 1.711 20 0 DDADMM CCC(C)(C)C(=O)NCCC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001266231132 903158224 /nfs/dbraw/zinc/15/82/24/903158224.db2.gz BHTFUTCEUDZVMH-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM CCc1cnc(CN(C)C[C@@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001375034195 914723347 /nfs/dbraw/zinc/72/33/47/914723347.db2.gz WDKCROIFRMCUQC-LLVKDONJSA-N -1 1 318.377 1.588 20 0 DDADMM CCc1noc(C)c1CN[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001371155117 904368170 /nfs/dbraw/zinc/36/81/70/904368170.db2.gz YLFBCJVORFVYPU-MRVPVSSYSA-N -1 1 321.381 1.191 20 0 DDADMM CC(C)CC(=O)N[C@H]1CC[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001371223249 904516929 /nfs/dbraw/zinc/51/69/29/904516929.db2.gz XYZPSNMHVMWPCS-OLZOCXBDSA-N -1 1 319.405 1.943 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1C[C@H]2CCCC[C@H]21 ZINC001372187133 906674830 /nfs/dbraw/zinc/67/48/30/906674830.db2.gz MCJPJNIBVWFJIO-ZWNOBZJWSA-N -1 1 318.377 1.339 20 0 DDADMM CC(C)CC(=O)NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001372646346 907856114 /nfs/dbraw/zinc/85/61/14/907856114.db2.gz PCRVGPQMJKGRJX-CYBMUJFWSA-N -1 1 319.405 1.802 20 0 DDADMM CC[C@@H](C)[C@@H](C)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001393980086 908445459 /nfs/dbraw/zinc/44/54/59/908445459.db2.gz MEDQKNOKFYHKPM-VXGBXAGGSA-N -1 1 319.405 1.752 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)C[C@@H]1C=CCC1 ZINC001284422389 908974488 /nfs/dbraw/zinc/97/44/88/908974488.db2.gz FYJWZAAHKJQQKD-CHWSQXEVSA-N -1 1 317.389 1.768 20 0 DDADMM CC(F)(F)C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001373153421 909098911 /nfs/dbraw/zinc/09/89/11/909098911.db2.gz VSQRKSJTLJDWBM-SECBINFHSA-N -1 1 313.304 1.021 20 0 DDADMM CCN(CCNC(=O)/C=C\C(C)(C)C)C(=O)c1ncccc1[O-] ZINC001284605490 909242677 /nfs/dbraw/zinc/24/26/77/909242677.db2.gz QXKKESIOFXTGID-HJWRWDBZSA-N -1 1 319.405 1.968 20 0 DDADMM C[C@@]1(CNC(=O)CC2CC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC001394390070 909516225 /nfs/dbraw/zinc/51/62/25/909516225.db2.gz BNJMWCHXJNYDAQ-KRWDZBQOSA-N -1 1 317.389 1.556 20 0 DDADMM C[C@@H](C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1)C1CC1 ZINC001373840800 911163116 /nfs/dbraw/zinc/16/31/16/911163116.db2.gz BWJIIKSQMVWGKB-SNVBAGLBSA-N -1 1 307.398 1.075 20 0 DDADMM Cc1ncsc1CN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001374064039 911778315 /nfs/dbraw/zinc/77/83/15/911778315.db2.gz AXNKTMJBQGPZNP-UHFFFAOYSA-N -1 1 318.402 1.510 20 0 DDADMM C/C=C(\C)C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001286413644 911968455 /nfs/dbraw/zinc/96/84/55/911968455.db2.gz QJXBHNJFDQUJCT-XLGRDIABSA-N -1 1 303.362 1.378 20 0 DDADMM CC[C@@H](CC(C)C)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001375767411 916908307 /nfs/dbraw/zinc/90/83/07/916908307.db2.gz OCCRJNZNRYNPHH-QWHCGFSZSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N[C@@H](C)c1csnn1 ZINC001376716123 919151806 /nfs/dbraw/zinc/15/18/06/919151806.db2.gz QWPIVIRJENHNSR-BDAKNGLRSA-N -1 1 307.379 1.108 20 0 DDADMM CCC[C@@H](C)CC(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001377682030 922688692 /nfs/dbraw/zinc/68/86/92/922688692.db2.gz ZHGDGXMNQIBEGW-OLZOCXBDSA-N -1 1 323.441 1.665 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C(C)(C)CC(F)F)nc2n1 ZINC000622993323 365585130 /nfs/dbraw/zinc/58/51/30/365585130.db2.gz JDTGYHPQQMZBCZ-UHFFFAOYSA-N -1 1 313.308 1.600 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3CC(C)C)nc2n1 ZINC000622993393 365585579 /nfs/dbraw/zinc/58/55/79/365585579.db2.gz LKBRMGGGPVEICV-MWLCHTKSSA-N -1 1 303.366 1.601 20 0 DDADMM CCc1ccc(C(=O)Nc2nc3nc(CC)cc(=O)n3[n-]2)cc1 ZINC000622995951 365588289 /nfs/dbraw/zinc/58/82/89/365588289.db2.gz WENRPFNSYFGYRQ-UHFFFAOYSA-N -1 1 311.345 1.217 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)Cc3ccc(C)o3)nc2n1 ZINC000622994573 365588584 /nfs/dbraw/zinc/58/85/84/365588584.db2.gz UYQVQNZLHQKXCV-UHFFFAOYSA-N -1 1 301.306 1.063 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)C3CCC3)nc2n1 ZINC000622998078 365591397 /nfs/dbraw/zinc/59/13/97/365591397.db2.gz XIPZIWVXNZFTSQ-SECBINFHSA-N -1 1 303.366 1.745 20 0 DDADMM Cn1cc([C@@H](NC(=O)c2cncc([O-])c2)C(F)(F)F)cn1 ZINC000278386953 214135065 /nfs/dbraw/zinc/13/50/65/214135065.db2.gz MKHKVSGZHVMIOF-SNVBAGLBSA-N -1 1 300.240 1.554 20 0 DDADMM Cc1ccccc1COCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000623023240 365602913 /nfs/dbraw/zinc/60/29/13/365602913.db2.gz ARGPVHRQMQHJPR-LBPRGKRZSA-N -1 1 303.366 1.287 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCOC[C@]12CCOC2 ZINC000614454476 361864124 /nfs/dbraw/zinc/86/41/24/361864124.db2.gz OEZGASDHSPLPAS-QGZVFWFLSA-N -1 1 314.341 1.572 20 0 DDADMM O=C(Cc1ccncc1Cl)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474108 361874573 /nfs/dbraw/zinc/87/45/73/361874573.db2.gz PVJQJMHFDNNAQX-UHFFFAOYSA-N -1 1 322.752 1.772 20 0 DDADMM CC[C@H](COC)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330840634 529399103 /nfs/dbraw/zinc/39/91/03/529399103.db2.gz ZKITVDKDWUQAFA-SSDOTTSWSA-N -1 1 312.185 1.745 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N2CCC[C@@H](C(N)=O)C2)n1 ZINC000331243182 529566575 /nfs/dbraw/zinc/56/65/75/529566575.db2.gz YRJPCKQTMBPGKS-SECBINFHSA-N -1 1 324.406 1.611 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)[C@H](O)C(C)C ZINC000416611369 529645438 /nfs/dbraw/zinc/64/54/38/529645438.db2.gz BYABAUFNUIMUGZ-JOYOIKCWSA-N -1 1 319.379 1.140 20 0 DDADMM CC[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccccc1 ZINC000416134313 529679177 /nfs/dbraw/zinc/67/91/77/529679177.db2.gz JBEGTUBHMYQZGI-SNVBAGLBSA-N -1 1 303.318 1.778 20 0 DDADMM Cc1nn(C)c2nc(C)c(C(=O)Nc3c(C)[n-][nH]c3=O)cc12 ZINC000615225720 362204416 /nfs/dbraw/zinc/20/44/16/362204416.db2.gz FUUDUKKMNAZZMS-UHFFFAOYSA-N -1 1 300.322 1.575 20 0 DDADMM CCNC(=O)[C@@H](NC(=O)c1ncc2ccccc2c1[O-])C(C)C ZINC000615297600 362243115 /nfs/dbraw/zinc/24/31/15/362243115.db2.gz QYSUBPBLLWZTIH-ZDUSSCGKSA-N -1 1 315.373 1.831 20 0 DDADMM CC(C)(C)[C@@H]1NC(=O)CC[C@H]1NC(=O)c1c([O-])cccc1F ZINC000286696194 219114833 /nfs/dbraw/zinc/11/48/33/219114833.db2.gz TXQOIOACUZGLMS-QMTHXVAHSA-N -1 1 308.353 1.954 20 0 DDADMM O=S(=O)([N-]Cc1ncccc1F)c1cc(F)ccc1F ZINC000156713995 290717629 /nfs/dbraw/zinc/71/76/29/290717629.db2.gz UYJGEKYCSVRCHI-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(C)c(O)cc2C)o1 ZINC000444652908 530029118 /nfs/dbraw/zinc/02/91/18/530029118.db2.gz KLPQTPLTTWIUGH-UHFFFAOYSA-N -1 1 324.358 1.762 20 0 DDADMM COC(=O)Cc1cccc(NC(=O)c2ccc(OC)cc2[O-])n1 ZINC000616510992 362730562 /nfs/dbraw/zinc/73/05/62/362730562.db2.gz LDVNMDRMOAJCKP-UHFFFAOYSA-N -1 1 316.313 1.764 20 0 DDADMM C[C@H](NC(=O)N=c1nc(-c2ccccc2)[n-]s1)c1nnc[nH]1 ZINC000340839770 299142005 /nfs/dbraw/zinc/14/20/05/299142005.db2.gz ZLZPVCAXTHMBCQ-QMMMGPOBSA-N -1 1 315.362 1.628 20 0 DDADMM COC(=O)C(C)(C)CCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287028947 219277465 /nfs/dbraw/zinc/27/74/65/219277465.db2.gz CPWBTHHXCQZYHT-ODLFYWEKSA-N -1 1 319.365 1.053 20 0 DDADMM CSCCO[N-]C(=O)[C@H]1CC(=O)N(Cc2ccc(C)cc2)C1 ZINC000279898793 215218495 /nfs/dbraw/zinc/21/84/95/215218495.db2.gz GCYVXGNJTPWJMI-AWEZNQCLSA-N -1 1 322.430 1.754 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1COC(C)(C)C1 ZINC000617054376 362936770 /nfs/dbraw/zinc/93/67/70/362936770.db2.gz DIXYYIBJVPSTIB-NSHDSACASA-N -1 1 318.377 1.278 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1ccc(-c2nc[nH]n2)cc1 ZINC000172369715 198087333 /nfs/dbraw/zinc/08/73/33/198087333.db2.gz OHKRWIGAHYYQQN-LBPRGKRZSA-N -1 1 308.363 1.392 20 0 DDADMM CCCCOc1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1OC ZINC000029023482 352234380 /nfs/dbraw/zinc/23/43/80/352234380.db2.gz ACYOJYJVIXGFLG-UHFFFAOYSA-N -1 1 321.333 1.071 20 0 DDADMM CCN(C(=O)c1nn(-c2ccccc2)cc1[O-])[C@@H]1CCN(C)C1 ZINC000617550738 363172281 /nfs/dbraw/zinc/17/22/81/363172281.db2.gz BSBWHIFEIQMIBT-CQSZACIVSA-N -1 1 314.389 1.744 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cc(Cl)c(=O)n(C)c2)o1 ZINC000358530076 299188227 /nfs/dbraw/zinc/18/82/27/299188227.db2.gz REZRHQDJXJDGEJ-UHFFFAOYSA-N -1 1 302.739 1.741 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CC(=O)N1 ZINC000331888489 234238055 /nfs/dbraw/zinc/23/80/55/234238055.db2.gz DXSBNUUURQUHHU-BDAKNGLRSA-N -1 1 304.375 1.413 20 0 DDADMM CCn1c(CCNC(=O)c2ccc(C)cc2O)n[n-]c1=S ZINC000067119207 353034188 /nfs/dbraw/zinc/03/41/88/353034188.db2.gz ZTQYMJLTGNFUEF-UHFFFAOYSA-N -1 1 306.391 1.947 20 0 DDADMM Cc1nsc(C)c1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000331909409 234264777 /nfs/dbraw/zinc/26/47/77/234264777.db2.gz JZVQLMAFRUMYNA-UHFFFAOYSA-N -1 1 308.363 1.868 20 0 DDADMM C[C@@H]1C[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000075515367 353365990 /nfs/dbraw/zinc/36/59/90/353365990.db2.gz ACCLXCZWDYIHQZ-BDAKNGLRSA-N -1 1 300.380 1.495 20 0 DDADMM O=C(C=Cc1ccnc(Cl)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000492317959 234445102 /nfs/dbraw/zinc/44/51/02/234445102.db2.gz CLSRCFOHNKMJBB-BYCRGOAPSA-N -1 1 318.768 1.668 20 0 DDADMM Cc1nc(-c2ccc(NCC3(CO)CC3)nc2)[n-]c(=O)c1C ZINC000080687094 353622813 /nfs/dbraw/zinc/62/28/13/353622813.db2.gz IXUVVBYRIHOFAO-UHFFFAOYSA-N -1 1 300.362 1.633 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](C)[C@@H](O)C1 ZINC000089432272 353771873 /nfs/dbraw/zinc/77/18/73/353771873.db2.gz UEZPGSCOMDYNJZ-ONGXEEELSA-N -1 1 307.394 1.219 20 0 DDADMM CCOCCN(C)c1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000092963184 353877312 /nfs/dbraw/zinc/87/73/12/353877312.db2.gz WGSUCCMOIOJAMT-UHFFFAOYSA-N -1 1 302.378 1.921 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1cn(C)c2ccccc12)c1nn[n-]n1 ZINC000124450792 354039443 /nfs/dbraw/zinc/03/94/43/354039443.db2.gz UTVAPVOQJHUXTA-NSHDSACASA-N -1 1 312.377 1.496 20 0 DDADMM CO[C@]1(CO)CCCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000617792375 363310187 /nfs/dbraw/zinc/31/01/87/363310187.db2.gz PBTYNWZZXQGAIE-QGZVFWFLSA-N -1 1 316.357 1.554 20 0 DDADMM CCNC(=O)c1ccc(=NCCn2cnc3ccccc32)[n-]n1 ZINC000357227999 291022260 /nfs/dbraw/zinc/02/22/60/291022260.db2.gz PCDIYKMTZPOACO-UHFFFAOYSA-N -1 1 310.361 1.110 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)C2CCC2)o1 ZINC000157701352 354226871 /nfs/dbraw/zinc/22/68/71/354226871.db2.gz IAHZLUKWOJRRHM-VIFPVBQESA-N -1 1 301.364 1.923 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)Nc1cnoc1 ZINC000624009566 366150215 /nfs/dbraw/zinc/15/02/15/366150215.db2.gz DDTQUPCPUDYYNP-UHFFFAOYSA-N -1 1 311.301 1.310 20 0 DDADMM CC(C)=C[C@@H]1[C@H](C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)C1(C)C ZINC000588006256 354892816 /nfs/dbraw/zinc/89/28/16/354892816.db2.gz ZLVQRNQRBRSJKX-ZYHUDNBSSA-N -1 1 315.377 1.903 20 0 DDADMM CCOC(=O)C[C@H](CO)NC(=O)c1cc2ccccc2cc1[O-] ZINC000590388156 355082746 /nfs/dbraw/zinc/08/27/46/355082746.db2.gz VDSJGVORZGMFOG-CYBMUJFWSA-N -1 1 317.341 1.589 20 0 DDADMM COC(=O)c1ncccc1S(=O)(=O)[N-][C@@H]1CCCC1(F)F ZINC000590757485 355167692 /nfs/dbraw/zinc/16/76/92/355167692.db2.gz LNLISZMXAZPCTF-SECBINFHSA-N -1 1 320.317 1.334 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2CCC(F)CC2)c1 ZINC000592105535 355501044 /nfs/dbraw/zinc/50/10/44/355501044.db2.gz HUIKBXVNDFVQFX-UHFFFAOYSA-N -1 1 319.354 1.873 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1cc(Cl)ccc1F)C(=O)OC ZINC000592077802 355487062 /nfs/dbraw/zinc/48/70/62/355487062.db2.gz LMYVMLCBUVQAEF-QMMMGPOBSA-N -1 1 323.773 1.957 20 0 DDADMM Cn1[n-]c(CN2CCC(C)(C(=O)OC(C)(C)C)CC2)nc1=O ZINC000592160336 355522496 /nfs/dbraw/zinc/52/24/96/355522496.db2.gz SSHGXZHJUBLENT-UHFFFAOYSA-N -1 1 310.398 1.052 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)c1ccc(Cl)s1 ZINC000593632370 355949008 /nfs/dbraw/zinc/94/90/08/355949008.db2.gz VCTKUMJDAFFYPZ-ZCFIWIBFSA-N -1 1 315.775 1.581 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2n[nH]c3c2CCCCC3)n1 ZINC000593900855 356047888 /nfs/dbraw/zinc/04/78/88/356047888.db2.gz GXOJARWLQHHOAU-UHFFFAOYSA-N -1 1 317.349 1.831 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCOC2(C)C)cc1C ZINC000595334683 356452752 /nfs/dbraw/zinc/45/27/52/356452752.db2.gz QCXPMDSIRHSBCK-VIFPVBQESA-N -1 1 317.363 1.220 20 0 DDADMM C[S@@](=O)C1(CNC(=O)c2ncc3ccccc3c2[O-])CCC1 ZINC000597610452 357253066 /nfs/dbraw/zinc/25/30/66/357253066.db2.gz SRXNGUSGNFKQAQ-JOCHJYFZSA-N -1 1 318.398 1.971 20 0 DDADMM CCOC(=O)[C@H](CC)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000597731161 357307413 /nfs/dbraw/zinc/30/74/13/357307413.db2.gz FQZBZIISPDECDD-SSDOTTSWSA-N -1 1 318.171 1.430 20 0 DDADMM CCOC(=O)C(C=C([O-])Nc1ccc2ccnc-2[nH]1)=C(C)O ZINC000597755248 357318163 /nfs/dbraw/zinc/31/81/63/357318163.db2.gz PCQRODXNXYQRIV-LLVKDONJSA-N -1 1 303.318 1.082 20 0 DDADMM CCOC(=O)C12CC(C1)CN2C(=O)c1cccc2nn[nH]c21 ZINC000598442030 357580953 /nfs/dbraw/zinc/58/09/53/357580953.db2.gz LDLXVXCDYGOYJE-UHFFFAOYSA-N -1 1 300.318 1.126 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2oc(C)nc2C)c1 ZINC000599317355 357890807 /nfs/dbraw/zinc/89/08/07/357890807.db2.gz VPKIICLDPCHGNK-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM Cc1ccccc1-n1nc(C(=O)NCc2nn[n-]n2)c2c1CCC2 ZINC000599334372 357897987 /nfs/dbraw/zinc/89/79/87/357897987.db2.gz ISRMCWHARMBQNJ-UHFFFAOYSA-N -1 1 323.360 1.113 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(NC(=O)c2ccccc2)cc1 ZINC000599334539 357898773 /nfs/dbraw/zinc/89/87/73/357898773.db2.gz PIHAZJIFKCTVLV-UHFFFAOYSA-N -1 1 322.328 1.382 20 0 DDADMM COCCn1nc(C)c(NC(=O)c2ccc(O)cc2[O-])c1C ZINC000179539874 199052563 /nfs/dbraw/zinc/05/25/63/199052563.db2.gz ZPAFCPSUXMHRMZ-UHFFFAOYSA-N -1 1 305.334 1.810 20 0 DDADMM CC(C)Cc1nc(=NC(=O)[C@@H]2CCc3[nH]cnc3C2)s[n-]1 ZINC000618349924 363600257 /nfs/dbraw/zinc/60/02/57/363600257.db2.gz IHTKKLNTJFPUCQ-SECBINFHSA-N -1 1 305.407 1.625 20 0 DDADMM CC[C@@H]1C(=O)NCCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180523812 199170765 /nfs/dbraw/zinc/17/07/65/199170765.db2.gz RLFLIEZJEAXBCI-SNVBAGLBSA-N -1 1 316.279 1.762 20 0 DDADMM O=C(Cc1coc(-c2ccc(Cl)cc2)n1)NCc1nn[n-]n1 ZINC000600506431 358243578 /nfs/dbraw/zinc/24/35/78/358243578.db2.gz XGJXAWWDLDYJEX-UHFFFAOYSA-N -1 1 318.724 1.367 20 0 DDADMM C[C@H](c1cnn(C)c1)N(C)C(=O)c1csc(=NC2CC2)[n-]1 ZINC000348069994 283353296 /nfs/dbraw/zinc/35/32/96/283353296.db2.gz HWMDOLZOBKQINJ-SECBINFHSA-N -1 1 305.407 1.706 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2nn(C)cc2Cl)s1 ZINC000600819225 358324520 /nfs/dbraw/zinc/32/45/20/358324520.db2.gz RQLSKJFVHQIXOB-UHFFFAOYSA-N -1 1 315.742 1.354 20 0 DDADMM C[C@@H](CC[N-]S(=O)(=O)c1ccc(C(F)F)o1)[S@](C)=O ZINC000601373706 358521472 /nfs/dbraw/zinc/52/14/72/358521472.db2.gz YBDTVGURABASGA-VIIUKITBSA-N -1 1 315.363 1.653 20 0 DDADMM COC(=O)[C@H](CF)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601417520 358543745 /nfs/dbraw/zinc/54/37/45/358543745.db2.gz TVBDPVRVSZSQTK-YFKPBYRVSA-N -1 1 301.242 1.007 20 0 DDADMM CC[C@H](CSC)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000181148137 199257158 /nfs/dbraw/zinc/25/71/58/199257158.db2.gz RAYFLSLRYHCZSQ-MRVPVSSYSA-N -1 1 306.409 1.059 20 0 DDADMM C[C@@H](S[C@H](C)C(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000602004950 358773770 /nfs/dbraw/zinc/77/37/70/358773770.db2.gz YWZWXFXIVCGBIZ-NXEZZACHSA-N -1 1 307.375 1.545 20 0 DDADMM COC(=O)c1cccc(C[N@H+]2CCC[C@@H](c3n[n-]c(=N)o3)C2)c1 ZINC000602891205 359274290 /nfs/dbraw/zinc/27/42/90/359274290.db2.gz GEFIGJIBPYLANI-CYBMUJFWSA-N -1 1 316.361 1.648 20 0 DDADMM COC(=O)c1cccc(CN2CCC[C@@H](c3n[n-]c(=N)o3)C2)c1 ZINC000602891205 359274295 /nfs/dbraw/zinc/27/42/95/359274295.db2.gz GEFIGJIBPYLANI-CYBMUJFWSA-N -1 1 316.361 1.648 20 0 DDADMM O=C(Cc1ccccc1F)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000618487755 363649243 /nfs/dbraw/zinc/64/92/43/363649243.db2.gz DPLMKVVGFWGNHN-OAHLLOKOSA-N -1 1 311.320 1.787 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2CC(F)(F)C2)n[n-]1 ZINC000603153717 359440197 /nfs/dbraw/zinc/44/01/97/359440197.db2.gz JOGAPTCHAXUIHI-LURJTMIESA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2CC(F)(F)C2)[n-]1 ZINC000603153717 359440203 /nfs/dbraw/zinc/44/02/03/359440203.db2.gz JOGAPTCHAXUIHI-LURJTMIESA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2CC(F)(F)C2)n1 ZINC000603153717 359440206 /nfs/dbraw/zinc/44/02/06/359440206.db2.gz JOGAPTCHAXUIHI-LURJTMIESA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(F)s2)n[n-]1 ZINC000603153337 359441042 /nfs/dbraw/zinc/44/10/42/359441042.db2.gz HENDXNFYLRMCHJ-LURJTMIESA-N -1 1 312.326 1.673 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(F)s2)[n-]1 ZINC000603153337 359441043 /nfs/dbraw/zinc/44/10/43/359441043.db2.gz HENDXNFYLRMCHJ-LURJTMIESA-N -1 1 312.326 1.673 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(F)s2)n1 ZINC000603153337 359441046 /nfs/dbraw/zinc/44/10/46/359441046.db2.gz HENDXNFYLRMCHJ-LURJTMIESA-N -1 1 312.326 1.673 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@]2(C)CC=CCC2)n[n-]1 ZINC000603155561 359442151 /nfs/dbraw/zinc/44/21/51/359442151.db2.gz NWNPZOUQMMANRS-ZUZCIYMTSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@]2(C)CC=CCC2)[n-]1 ZINC000603155561 359442154 /nfs/dbraw/zinc/44/21/54/359442154.db2.gz NWNPZOUQMMANRS-ZUZCIYMTSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@]2(C)CC=CCC2)n1 ZINC000603155561 359442156 /nfs/dbraw/zinc/44/21/56/359442156.db2.gz NWNPZOUQMMANRS-ZUZCIYMTSA-N -1 1 306.366 1.905 20 0 DDADMM C[C@@H](CC(=O)[N-]OCC(N)=O)c1ccccc1C(F)(F)F ZINC000089464818 192794944 /nfs/dbraw/zinc/79/49/44/192794944.db2.gz PRPXFMTZZWBNHE-QMMMGPOBSA-N -1 1 304.268 1.732 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)C(F)F)cc1F ZINC000603424091 359632451 /nfs/dbraw/zinc/63/24/51/359632451.db2.gz PWWNDDATQWKBJF-LURJTMIESA-N -1 1 311.281 1.544 20 0 DDADMM Cc1cc2c(cc1C)[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)CO2 ZINC000187352130 200100218 /nfs/dbraw/zinc/10/02/18/200100218.db2.gz SQHPTRIDZVSVCK-YPMHNXCESA-N -1 1 315.377 1.555 20 0 DDADMM COc1ncccc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000604082234 359716750 /nfs/dbraw/zinc/71/67/50/359716750.db2.gz XILKHGAVSYZZNY-LBPRGKRZSA-N -1 1 310.317 1.123 20 0 DDADMM Cc1cccc(C)c1OCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605381422 359847823 /nfs/dbraw/zinc/84/78/23/359847823.db2.gz OFPIWKPFMKTTNZ-UHFFFAOYSA-N -1 1 315.377 1.781 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(c2cccc(C)c2C)C1 ZINC000287731437 219700057 /nfs/dbraw/zinc/70/00/57/219700057.db2.gz HIYUSOCQKFGPCA-CQSZACIVSA-N -1 1 320.389 1.741 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2scc(C(=O)OC)c2C)on1 ZINC000611592700 360772778 /nfs/dbraw/zinc/77/27/78/360772778.db2.gz ULQIBPCVLPCRIK-UHFFFAOYSA-N -1 1 324.314 1.870 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](OC)c1cccc(OC)c1)c1nn[n-]n1 ZINC000612061792 360906409 /nfs/dbraw/zinc/90/64/09/360906409.db2.gz RMCAKKCOIWVKIF-CHWSQXEVSA-N -1 1 319.365 1.553 20 0 DDADMM CCC[C@H](NC(=O)[C@H](OC)c1cccc(OC)c1)c1nn[n-]n1 ZINC000612061960 360906873 /nfs/dbraw/zinc/90/68/73/360906873.db2.gz RMCAKKCOIWVKIF-QWHCGFSZSA-N -1 1 319.365 1.553 20 0 DDADMM C[C@H]1SCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)[C@H]1C ZINC000287382539 291253468 /nfs/dbraw/zinc/25/34/68/291253468.db2.gz FMJYVGCJELSXDT-LKTNTKRSSA-N -1 1 305.407 1.700 20 0 DDADMM CO[C@@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)C1CCCCC1 ZINC000612814870 361154343 /nfs/dbraw/zinc/15/43/43/361154343.db2.gz FQDYJUFKBCJCDR-CHWSQXEVSA-N -1 1 307.398 1.501 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCC3(CC3)C2)c1 ZINC000613205878 361323880 /nfs/dbraw/zinc/32/38/80/361323880.db2.gz HITSUYKHRCVARD-JTQLQIEISA-N -1 1 324.402 1.949 20 0 DDADMM Cc1noc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000281469268 216321934 /nfs/dbraw/zinc/32/19/34/216321934.db2.gz VVGZBGDNUFNRRK-UHFFFAOYSA-N -1 1 306.288 1.269 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C[C@@H](O)C(F)(F)F)c(F)c1F ZINC000625199517 366772000 /nfs/dbraw/zinc/77/20/00/366772000.db2.gz UVOZWUGDXXQQGN-SSDOTTSWSA-N -1 1 319.251 1.475 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1COC[C@H]1c1ccccc1)c1nn[n-]n1 ZINC000613473650 361434225 /nfs/dbraw/zinc/43/42/25/361434225.db2.gz BETNVYBUPPGKTO-KWCYVHTRSA-N -1 1 315.377 1.192 20 0 DDADMM O=C(NCCc1ccc2c(c1)OCCO2)c1ncccc1[O-] ZINC000193890329 201140573 /nfs/dbraw/zinc/14/05/73/201140573.db2.gz LUTKNKOGAPAWQS-UHFFFAOYSA-N -1 1 300.314 1.531 20 0 DDADMM O=C(CNC(=O)C1CC1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000613668185 361511541 /nfs/dbraw/zinc/51/15/41/361511541.db2.gz YDISAZAJEDZOAQ-UHFFFAOYSA-N -1 1 300.318 1.247 20 0 DDADMM O=C(N[C@@]1(CCO)CCOC1)c1ncc2ccccc2c1[O-] ZINC000614079423 361692134 /nfs/dbraw/zinc/69/21/34/361692134.db2.gz XLTLDLDSKFWDNA-INIZCTEOSA-N -1 1 302.330 1.212 20 0 DDADMM Cn1cc(C[C@H](CO)CNC(=O)c2ccc(Cl)cc2[O-])cn1 ZINC000566016537 304110657 /nfs/dbraw/zinc/11/06/57/304110657.db2.gz JFNDLYNSPIELJF-NSHDSACASA-N -1 1 323.780 1.360 20 0 DDADMM O=C(C(=O)N1CC[C@H](CN2CCCC2=O)C1)c1ccc([O-])cc1 ZINC000620256108 364353338 /nfs/dbraw/zinc/35/33/38/364353338.db2.gz HVIXOBFCZBTSPG-GFCCVEGCSA-N -1 1 316.357 1.046 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCc2ccco2)co1 ZINC000620364073 364388015 /nfs/dbraw/zinc/38/80/15/364388015.db2.gz LONGAPFFEQMBNO-UHFFFAOYSA-N -1 1 312.347 1.143 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](O)[C@@H](C)c2ccccc2)c([O-])c1 ZINC000620667426 364514917 /nfs/dbraw/zinc/51/49/17/364514917.db2.gz CNCGBLDLPDDZPR-SWLSCSKDSA-N -1 1 300.358 1.990 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC(CC2CC2)C1 ZINC000622250438 365352073 /nfs/dbraw/zinc/35/20/73/365352073.db2.gz KFBPAZPNBQRQMZ-UHFFFAOYSA-N -1 1 314.389 1.853 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H](C)[C@H](CO)C3)cnc2n1 ZINC000622228844 365341717 /nfs/dbraw/zinc/34/17/17/365341717.db2.gz BKOMBOUIRPVWAL-PWSUYJOCSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](C)[C@H](CO)C3)c[n-]c2n1 ZINC000622228844 365341723 /nfs/dbraw/zinc/34/17/23/365341723.db2.gz BKOMBOUIRPVWAL-PWSUYJOCSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c(=O)[nH]1 ZINC000622609938 365449900 /nfs/dbraw/zinc/44/99/00/365449900.db2.gz LNEDNCHFASTMOD-GFCCVEGCSA-N -1 1 310.317 1.128 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1nccn1C ZINC000622709023 365498856 /nfs/dbraw/zinc/49/88/56/365498856.db2.gz WELUUSSRNXHGAU-UHFFFAOYSA-N -1 1 308.363 1.434 20 0 DDADMM O=C(CCCc1ccc2c(c1)OCCCO2)Nc1nnn[n-]1 ZINC000625708168 367101750 /nfs/dbraw/zinc/10/17/50/367101750.db2.gz PHESTAZVEZMSMB-UHFFFAOYSA-N -1 1 303.322 1.322 20 0 DDADMM O=C(CCCc1ccc2c(c1)OCCCO2)Nc1nn[n-]n1 ZINC000625708168 367101754 /nfs/dbraw/zinc/10/17/54/367101754.db2.gz PHESTAZVEZMSMB-UHFFFAOYSA-N -1 1 303.322 1.322 20 0 DDADMM CNc1ccc(Cl)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000626443416 367548256 /nfs/dbraw/zinc/54/82/56/367548256.db2.gz DKODQIVZHVTGRK-QMMMGPOBSA-N -1 1 308.773 1.771 20 0 DDADMM O=C([O-])[C@H](CC(F)(F)F)NC(=O)c1ccc2[nH]nnc2c1 ZINC000261751817 203109118 /nfs/dbraw/zinc/10/91/18/203109118.db2.gz TXIYJBCKPMAQBR-QMMMGPOBSA-N -1 1 302.212 1.093 20 0 DDADMM O=C([O-])C1(NC(=O)c2cc(F)cc3nc[nH]c32)CCSCC1 ZINC000262237834 203237272 /nfs/dbraw/zinc/23/72/72/203237272.db2.gz WDXPEONYZJKYTQ-UHFFFAOYSA-N -1 1 323.349 1.782 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)Nc1ccc2c(c1)OCO2)C(=O)[O-] ZINC000262239341 203238029 /nfs/dbraw/zinc/23/80/29/203238029.db2.gz BCCABHYGWGEJAH-GXSJLCMTSA-N -1 1 308.334 1.585 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)c(OC)c1 ZINC000264663297 204063767 /nfs/dbraw/zinc/06/37/67/204063767.db2.gz RQDWZYCXGTWJCE-NSHDSACASA-N -1 1 301.364 1.625 20 0 DDADMM O=C([O-])[C@@]12CCC[C@H]1CN(C(=O)N[C@H]1CCc3[nH]cnc3C1)C2 ZINC000263352805 304166607 /nfs/dbraw/zinc/16/66/07/304166607.db2.gz IDQPPSOVEVDDKX-XMZIXOGTSA-N -1 1 318.377 1.163 20 0 DDADMM O=C(NC[C@@]1(O)CCOC1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000282617116 217128877 /nfs/dbraw/zinc/12/88/77/217128877.db2.gz IEDUMYXIYNYSLG-HNNXBMFYSA-N -1 1 322.748 1.475 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-][C@H](C1CC1)C(F)(F)F ZINC000288286091 220063788 /nfs/dbraw/zinc/06/37/88/220063788.db2.gz FLJXEEOUWFMJKK-SNVBAGLBSA-N -1 1 311.329 1.656 20 0 DDADMM CCC(=O)N1CC[C@@H](C(=O)Nc2cc(C(=O)OC)ccc2[O-])C1 ZINC000282895075 217309212 /nfs/dbraw/zinc/30/92/12/217309212.db2.gz WKLNAYYJDJZKIZ-LLVKDONJSA-N -1 1 320.345 1.376 20 0 DDADMM O=C(NCC1N=NC(=S)N1C1CC1)C(=O)c1ccc([O-])cc1 ZINC000282970795 217358238 /nfs/dbraw/zinc/35/82/38/217358238.db2.gz GGUSFGWRBQVAAW-UHFFFAOYSA-N -1 1 318.358 1.106 20 0 DDADMM O=C(N[C@@H]1[C@H]2CCO[C@H]2C12CCC2)C(=O)c1ccc([O-])cc1 ZINC000283218834 217502597 /nfs/dbraw/zinc/50/25/97/217502597.db2.gz AREGQUFCGLAIRX-BPLDGKMQSA-N -1 1 301.342 1.649 20 0 DDADMM NS(=O)(=O)c1cccc(S(=O)(=O)[N-]c2ccccc2)c1 ZINC000023389755 182359846 /nfs/dbraw/zinc/35/98/46/182359846.db2.gz YTBSJDJWSAZVKN-UHFFFAOYSA-N -1 1 312.372 1.135 20 0 DDADMM NC(=O)c1cccc(OCCC(=O)[N-]O[C@@H]2CCCCO2)c1 ZINC000267616329 206176273 /nfs/dbraw/zinc/17/62/73/206176273.db2.gz TUFCAYCSCIOCFG-CQSZACIVSA-N -1 1 308.334 1.129 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)C1CC1 ZINC000267802502 206293360 /nfs/dbraw/zinc/29/33/60/206293360.db2.gz UGQVIPLFBBNBAN-NSHDSACASA-N -1 1 305.302 1.195 20 0 DDADMM Cc1cnc(C(=O)NCC(C)(C)N2C[C@@H](C)O[C@H](C)C2)c([O-])c1 ZINC000334038805 249189376 /nfs/dbraw/zinc/18/93/76/249189376.db2.gz NABIBEBAKIXPFD-CHWSQXEVSA-N -1 1 321.421 1.713 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](C)c1ccc(F)c(F)c1 ZINC000337157051 249369177 /nfs/dbraw/zinc/36/91/77/249369177.db2.gz PZYFVPPDHWAYPK-ZETCQYMHSA-N -1 1 322.315 1.520 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCN2C(=O)N=NC2C1 ZINC000438458525 284686330 /nfs/dbraw/zinc/68/63/30/284686330.db2.gz ILYMIQFUAXOFSK-UHFFFAOYSA-N -1 1 310.313 1.499 20 0 DDADMM CCOc1cc(C(=O)NCCc2nncn2C)cc(Cl)c1[O-] ZINC000273946525 211199567 /nfs/dbraw/zinc/19/95/67/211199567.db2.gz LKYFHVBLGWENTM-UHFFFAOYSA-N -1 1 324.768 1.545 20 0 DDADMM CC[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1c(C)noc1C ZINC000352053101 284741252 /nfs/dbraw/zinc/74/12/52/284741252.db2.gz QKNKKRXVAJZCHF-MRVPVSSYSA-N -1 1 322.321 1.382 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1ccccc1)c1sccc1F ZINC000338902902 250190720 /nfs/dbraw/zinc/19/07/20/250190720.db2.gz SAFJLJDXWLHZJH-NSHDSACASA-N -1 1 301.364 1.899 20 0 DDADMM CC(C)(C)OC(=O)CC[N-]S(=O)(=O)c1sccc1F ZINC000338913205 250192304 /nfs/dbraw/zinc/19/23/04/250192304.db2.gz UXAMJEWGEGMKAX-UHFFFAOYSA-N -1 1 309.384 1.897 20 0 DDADMM O=S(=O)([N-]Cc1ccnc2ccnn21)c1sccc1F ZINC000338944072 250211146 /nfs/dbraw/zinc/21/11/46/250211146.db2.gz DUHNRDGXZKYCKM-UHFFFAOYSA-N -1 1 312.351 1.408 20 0 DDADMM Cc1cnc(CC[N-]S(=O)(=O)c2sccc2F)nc1 ZINC000338939340 250208729 /nfs/dbraw/zinc/20/87/29/250208729.db2.gz LQKVITFGRQJCPN-UHFFFAOYSA-N -1 1 301.368 1.507 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)Cn3cccn3)cnc2n1 ZINC000339055132 250272938 /nfs/dbraw/zinc/27/29/38/250272938.db2.gz XDTZGJHNOBDIBR-NSHDSACASA-N -1 1 311.345 1.659 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@@H](C)Cn3cccn3)c[n-]c2n1 ZINC000339055132 250272942 /nfs/dbraw/zinc/27/29/42/250272942.db2.gz XDTZGJHNOBDIBR-NSHDSACASA-N -1 1 311.345 1.659 20 0 DDADMM COc1ccc(O)c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000339194013 250338324 /nfs/dbraw/zinc/33/83/24/250338324.db2.gz PRGDNLYLXFMGMO-UHFFFAOYSA-N -1 1 319.317 1.509 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H](O)C[C@@H]1c1ccc(F)cc1 ZINC000225421181 296306044 /nfs/dbraw/zinc/30/60/44/296306044.db2.gz IEGHJSWVTDTLHN-QWHCGFSZSA-N -1 1 302.305 1.874 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H]1C1CCCC1 ZINC000352178519 284843984 /nfs/dbraw/zinc/84/39/84/284843984.db2.gz NZDRKVAEHDVWDP-SNVBAGLBSA-N -1 1 307.350 1.691 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2C[C@H]3CC[C@@H]2C3)c1Cl ZINC000110903908 194367734 /nfs/dbraw/zinc/36/77/34/194367734.db2.gz OLRNRPOCARFBBH-AEJSXWLSSA-N -1 1 303.815 1.788 20 0 DDADMM O=C(CS(=O)(=O)C1CCCC1)Nc1ccc(F)cc1[O-] ZINC000269017721 207169285 /nfs/dbraw/zinc/16/92/85/207169285.db2.gz JAOINEPSOBPHPB-UHFFFAOYSA-N -1 1 301.339 1.827 20 0 DDADMM O=c1nc(NCc2nccn2-c2ccccc2)nc2[nH][n-]cc1-2 ZINC000340865990 251250484 /nfs/dbraw/zinc/25/04/84/251250484.db2.gz XYSMMLUJQFTIEZ-UHFFFAOYSA-N -1 1 307.317 1.230 20 0 DDADMM O=c1nc(NCc2nc(-c3cccs3)c[nH]2)nc2[nH][n-]cc1-2 ZINC000340900865 251265845 /nfs/dbraw/zinc/26/58/45/251265845.db2.gz LAVOAYONFCGLMS-UHFFFAOYSA-N -1 1 313.346 1.496 20 0 DDADMM COCCNC(=O)C1(NC(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000458340558 532993861 /nfs/dbraw/zinc/99/38/61/532993861.db2.gz FSDLTMDHZIVZMJ-UHFFFAOYSA-N -1 1 312.753 1.071 20 0 DDADMM O=C([O-])[C@@H]1CCCCC[C@@H]1NS(=O)(=O)CCN1CCCC1 ZINC000567810387 304244942 /nfs/dbraw/zinc/24/49/42/304244942.db2.gz WCOOBMRFYFQGFA-OLZOCXBDSA-N -1 1 318.439 1.035 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1cccc(Cl)c1 ZINC000352421218 285039073 /nfs/dbraw/zinc/03/90/73/285039073.db2.gz BQUADGXJUCHNDZ-MRVPVSSYSA-N -1 1 317.736 1.562 20 0 DDADMM CCOCCCC[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000270025521 208080220 /nfs/dbraw/zinc/08/02/20/208080220.db2.gz PEYHNCUDQQNXPS-UHFFFAOYSA-N -1 1 305.352 1.161 20 0 DDADMM CCC[C@H](CC)S(=O)(=O)[N-]c1ccn(CCC(N)=O)n1 ZINC000567999036 304261102 /nfs/dbraw/zinc/26/11/02/304261102.db2.gz GRDSWZJLWUBRHO-JTQLQIEISA-N -1 1 302.400 1.079 20 0 DDADMM C[C@@H](CN(C)C(=O)c1coc2ccc(F)cc12)c1nn[n-]n1 ZINC000568094102 304267842 /nfs/dbraw/zinc/26/78/42/304267842.db2.gz XLTRDSPQGBEMRC-QMMMGPOBSA-N -1 1 303.297 1.961 20 0 DDADMM CC(C)OCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000271553395 209008164 /nfs/dbraw/zinc/00/81/64/209008164.db2.gz YVLQQOKDUJLFIW-UHFFFAOYSA-N -1 1 304.343 1.561 20 0 DDADMM O=C(C[C@@H]1CCCS(=O)(=O)C1)Nc1nc(Cl)ccc1[O-] ZINC000294967177 224345555 /nfs/dbraw/zinc/34/55/55/224345555.db2.gz RFTJTAVRIYGCSE-QMMMGPOBSA-N -1 1 318.782 1.594 20 0 DDADMM Cc1ccc(F)cc1CN[C@@H]1CCN([C@@H](C(=O)[O-])C(C)C)C1=O ZINC000414661529 224369602 /nfs/dbraw/zinc/36/96/02/224369602.db2.gz QITMJLJQPWHBJH-HUUCEWRRSA-N -1 1 322.380 1.934 20 0 DDADMM COCCCn1cc(C)c(NC(=O)C(=O)c2ccc([O-])cc2)n1 ZINC000295676091 533303143 /nfs/dbraw/zinc/30/31/43/533303143.db2.gz ORMKXDIAYJKSKX-UHFFFAOYSA-N -1 1 317.345 1.755 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)C(=O)NC(C)C)cc(Cl)c1[O-] ZINC000414021631 533543914 /nfs/dbraw/zinc/54/39/14/533543914.db2.gz QAELSAQEPLXBGL-QMMMGPOBSA-N -1 1 314.769 1.697 20 0 DDADMM Cc1ccc(CCNC(=O)c2csc(=NC3CC3)[n-]2)nc1 ZINC000568598932 304301063 /nfs/dbraw/zinc/30/10/63/304301063.db2.gz LUDUAJVHKZSIDA-UHFFFAOYSA-N -1 1 302.403 1.815 20 0 DDADMM CCC[C@@H](CC)S(=O)(=O)[N-]c1ccn(CC(=O)OC)n1 ZINC000568832694 304314234 /nfs/dbraw/zinc/31/42/34/304314234.db2.gz IPYSIKNOPSFUJY-SNVBAGLBSA-N -1 1 303.384 1.377 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1Cc2ccccc2[C@@H]1C ZINC000416171201 286188281 /nfs/dbraw/zinc/18/82/81/286188281.db2.gz OPYOZTLUROKQCG-QMMMGPOBSA-N -1 1 301.302 1.613 20 0 DDADMM CCCCCS(=O)(=O)[N-][C@@H](CC(F)(F)F)C(=O)OC ZINC000360849863 299760951 /nfs/dbraw/zinc/76/09/51/299760951.db2.gz ZSJFNMWKHRAXJH-QMMMGPOBSA-N -1 1 305.318 1.590 20 0 DDADMM O=C1NCCCC[C@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000170939765 407562598 /nfs/dbraw/zinc/56/25/98/407562598.db2.gz YYDMMQJJHZSAFF-SNVBAGLBSA-N -1 1 322.308 1.051 20 0 DDADMM CCO[C@H]1C[C@@]([N-]S(=O)(=O)CC(C)C)(C(=O)OC)C1(C)C ZINC000444276431 286506020 /nfs/dbraw/zinc/50/60/20/286506020.db2.gz MPYHCWDQLQSBFI-SMDDNHRTSA-N -1 1 321.439 1.309 20 0 DDADMM O=C([O-])C1CCN(CC(=O)NC23CC4CC(CC(C4)C2)C3)CC1 ZINC000004662018 406726132 /nfs/dbraw/zinc/72/61/32/406726132.db2.gz NHAJQVZVEVEVAP-UHFFFAOYSA-N -1 1 320.433 1.868 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1COc2ccc(C)cc21 ZINC000579813958 422835686 /nfs/dbraw/zinc/83/56/86/422835686.db2.gz XWYFFXCGEDEOTB-SECBINFHSA-N -1 1 317.301 1.068 20 0 DDADMM O=C(NC1CCS(=O)(=O)CC1)c1ccc(Cl)cc1[O-] ZINC000036332184 406979034 /nfs/dbraw/zinc/97/90/34/406979034.db2.gz IVESKCAZUURHHK-UHFFFAOYSA-N -1 1 303.767 1.353 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C)CC[C@@H]2C)o1 ZINC000075286859 406929092 /nfs/dbraw/zinc/92/90/92/406929092.db2.gz PENOVYLARBXADA-UWVGGRQHSA-N -1 1 300.380 1.448 20 0 DDADMM Cn1c(CCNC(=O)Cc2ccc(F)c(F)c2)n[n-]c1=S ZINC000087301952 407120191 /nfs/dbraw/zinc/12/01/91/407120191.db2.gz KLTDZZAYDKZJEP-UHFFFAOYSA-N -1 1 312.345 1.657 20 0 DDADMM CC1CCN(CC(=O)N[C@@H](Cc2ccccc2)C(=O)[O-])CC1 ZINC000049474596 407121103 /nfs/dbraw/zinc/12/11/03/407121103.db2.gz HQJCFMBRKBWVIX-HNNXBMFYSA-N -1 1 304.390 1.530 20 0 DDADMM O=C(N[C@@H]1CCCN(c2ncccn2)C1)c1cc(F)ccc1[O-] ZINC000080003400 407068359 /nfs/dbraw/zinc/06/83/59/407068359.db2.gz VEZUMFIIHQCEDU-GFCCVEGCSA-N -1 1 316.336 1.720 20 0 DDADMM O=S(=O)([N-]CCOCCO)c1cc(Cl)sc1Cl ZINC000052925443 407160360 /nfs/dbraw/zinc/16/03/60/407160360.db2.gz HHCDYYQZFDDZRU-UHFFFAOYSA-N -1 1 320.219 1.342 20 0 DDADMM CCc1ccc(C(=O)[O-])cc1S(=O)(=O)NCCN(C)CC ZINC000054127470 407179002 /nfs/dbraw/zinc/17/90/02/407179002.db2.gz JTUOKJVDNNLTDO-UHFFFAOYSA-N -1 1 314.407 1.177 20 0 DDADMM Cn1c(CNC(=O)c2ccc(N3CCCC3)nc2)n[n-]c1=S ZINC000066626036 407256524 /nfs/dbraw/zinc/25/65/24/407256524.db2.gz DNHBGBNPZIVFJS-UHFFFAOYSA-N -1 1 318.406 1.403 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCC[C@H](C)C1 ZINC000104033686 407345512 /nfs/dbraw/zinc/34/55/12/407345512.db2.gz QHEKARVBWUQAHI-GWCFXTLKSA-N -1 1 316.405 1.877 20 0 DDADMM Cc1cnccc1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000107357331 407374458 /nfs/dbraw/zinc/37/44/58/407374458.db2.gz MAGRQWRZEAINHL-UHFFFAOYSA-N -1 1 311.345 1.524 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN(C)Cc2ccon2)cc1 ZINC000265834868 407475000 /nfs/dbraw/zinc/47/50/00/407475000.db2.gz VFCQBZOIVZVFRQ-UHFFFAOYSA-N -1 1 303.318 1.072 20 0 DDADMM Cc1cnc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)s1 ZINC000151497891 407445569 /nfs/dbraw/zinc/44/55/69/407445569.db2.gz VJVLPLHUGRDPKM-UHFFFAOYSA-N -1 1 317.330 1.349 20 0 DDADMM Cc1cnc(NC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[n-]2)s1 ZINC000151497891 407445575 /nfs/dbraw/zinc/44/55/75/407445575.db2.gz VJVLPLHUGRDPKM-UHFFFAOYSA-N -1 1 317.330 1.349 20 0 DDADMM O=C(COCCc1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129459051 407612025 /nfs/dbraw/zinc/61/20/25/407612025.db2.gz RYDIVGSPHYOYTJ-AWEZNQCLSA-N -1 1 315.377 1.165 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCO[C@H](C)C2)o1 ZINC000271286173 407615129 /nfs/dbraw/zinc/61/51/29/407615129.db2.gz RTVXDPJFWOFJIQ-NXEZZACHSA-N -1 1 317.363 1.160 20 0 DDADMM CO[C@H]1CCCN(C(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000171193633 407625099 /nfs/dbraw/zinc/62/50/99/407625099.db2.gz OVKVMSSYZXDGHM-NSHDSACASA-N -1 1 301.346 1.895 20 0 DDADMM CO[C@H]1CCCN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000171193633 407625107 /nfs/dbraw/zinc/62/51/07/407625107.db2.gz OVKVMSSYZXDGHM-NSHDSACASA-N -1 1 301.346 1.895 20 0 DDADMM Cc1cccc([N-]S(=O)(=O)c2ccc3c(c2)C(=O)NC3=O)c1 ZINC000226860380 407626762 /nfs/dbraw/zinc/62/67/62/407626762.db2.gz UHAHJNJFXKTQMQ-UHFFFAOYSA-N -1 1 316.338 1.679 20 0 DDADMM O=C([N-]C[C@H](c1ccccc1)N1CCOCC1)C(F)(F)F ZINC000171245295 407636131 /nfs/dbraw/zinc/63/61/31/407636131.db2.gz CILIIISJSQBWBY-GFCCVEGCSA-N -1 1 302.296 1.738 20 0 DDADMM O=C([O-])[C@H]1CCN([C@@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000178895980 407647437 /nfs/dbraw/zinc/64/74/37/407647437.db2.gz ULNONJVBQLNCDR-GXFFZTMASA-N -1 1 308.765 1.852 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1OCC(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000186431410 407665844 /nfs/dbraw/zinc/66/58/44/407665844.db2.gz OTENTQFGEYZPFJ-NEPJUHHUSA-N -1 1 310.398 1.132 20 0 DDADMM CCOCCOc1cc(C)ccc1CNC(=O)c1cnn[nH]1 ZINC000130225409 407666886 /nfs/dbraw/zinc/66/68/86/407666886.db2.gz URRPRAICDHNUDD-UHFFFAOYSA-N -1 1 304.350 1.458 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC[C@](C)(O)C1 ZINC000152868621 407705454 /nfs/dbraw/zinc/70/54/54/407705454.db2.gz KHFYLBNPRKMBOK-INIZCTEOSA-N -1 1 307.394 1.363 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)c1ccc(C)o1 ZINC000267033543 407724119 /nfs/dbraw/zinc/72/41/19/407724119.db2.gz LNUVQRDTPDAAJL-LBPRGKRZSA-N -1 1 314.363 1.692 20 0 DDADMM O=C(CSc1n[nH]c(=S)s1)[N-]O[C@H]1CCCCO1 ZINC000267041014 407725112 /nfs/dbraw/zinc/72/51/12/407725112.db2.gz UFKHYVDPCFMRBU-ZETCQYMHSA-N -1 1 307.422 1.493 20 0 DDADMM O=S(=O)(Cc1ncc(-c2ccccc2F)o1)c1ncn[n-]1 ZINC000153008412 407734835 /nfs/dbraw/zinc/73/48/35/407734835.db2.gz IKYSDENJYWOGSB-UHFFFAOYSA-N -1 1 308.294 1.573 20 0 DDADMM O=S(=O)(Cc1ncc(-c2ccccc2F)o1)c1nc[n-]n1 ZINC000153008412 407734843 /nfs/dbraw/zinc/73/48/43/407734843.db2.gz IKYSDENJYWOGSB-UHFFFAOYSA-N -1 1 308.294 1.573 20 0 DDADMM CCc1nc(S(=O)(=O)CCCOCC(F)(F)F)n[n-]1 ZINC000153159764 407766314 /nfs/dbraw/zinc/76/63/14/407766314.db2.gz OMZISSJYBQPEKD-UHFFFAOYSA-N -1 1 301.290 1.110 20 0 DDADMM C[NH+]1CCC(NC(=O)c2ccc(Br)c([O-])c2)CC1 ZINC000132927195 407809475 /nfs/dbraw/zinc/80/94/75/407809475.db2.gz HQLWODBDCQWDPZ-UHFFFAOYSA-N -1 1 313.195 1.979 20 0 DDADMM O=C(NN1CCCNC1=O)c1ccc(Br)cc1[O-] ZINC000272311041 407862255 /nfs/dbraw/zinc/86/22/55/407862255.db2.gz AIMAOQOAPRFYEH-UHFFFAOYSA-N -1 1 314.139 1.215 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000262618910 407882496 /nfs/dbraw/zinc/88/24/96/407882496.db2.gz CFOGYXWLVBMUHC-QWHCGFSZSA-N -1 1 320.389 1.669 20 0 DDADMM COc1ccc(CN(C(=O)CN(C)CCC(=O)[O-])C2CC2)cc1 ZINC000262650046 407891763 /nfs/dbraw/zinc/89/17/63/407891763.db2.gz LBHVEQFRFVIDLJ-UHFFFAOYSA-N -1 1 320.389 1.593 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H](CCO)c1ccco1)c2=O ZINC000187962635 407909079 /nfs/dbraw/zinc/90/90/79/407909079.db2.gz YVIBNANJJOHEST-NSHDSACASA-N -1 1 316.317 1.120 20 0 DDADMM Cc1cccc(S(=O)(=O)N[C@@H]2C[N@@H+](C3CC3)C[C@H]2C)c1F ZINC000273077133 408046164 /nfs/dbraw/zinc/04/61/64/408046164.db2.gz GOXRTCXOZHBLDZ-DGCLKSJQSA-N -1 1 312.410 1.895 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)COc1ccccc1)c1nn[n-]n1 ZINC000154596557 408057145 /nfs/dbraw/zinc/05/71/45/408057145.db2.gz CVMHZZFEWMXLAU-AAEUAGOBSA-N -1 1 303.366 1.872 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@@H]1CCCCO1)c1ccco1 ZINC000175353642 408112984 /nfs/dbraw/zinc/11/29/84/408112984.db2.gz LWKZLQGZNCSLPR-RYUDHWBXSA-N -1 1 303.380 1.456 20 0 DDADMM CC(C)Cc1nc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cs1 ZINC000155459352 408167977 /nfs/dbraw/zinc/16/79/77/408167977.db2.gz JBLPJSISGYLHKF-JTQLQIEISA-N -1 1 320.422 1.875 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCOc2ccccc21)c1nn[n-]n1 ZINC000273410262 408188035 /nfs/dbraw/zinc/18/80/35/408188035.db2.gz CMCJFULIHAFKND-ZYHUDNBSSA-N -1 1 301.350 1.328 20 0 DDADMM CCc1c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)[nH]c(C)c1C(C)=O ZINC000273601314 408259140 /nfs/dbraw/zinc/25/91/40/408259140.db2.gz YLVVCQVWAIXUAY-MRVPVSSYSA-N -1 1 318.381 1.477 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(OC)c(OC)cc1F)c1nn[n-]n1 ZINC000150898556 408222109 /nfs/dbraw/zinc/22/21/09/408222109.db2.gz COALRPAEAXWMLA-SNVBAGLBSA-N -1 1 323.328 1.627 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C)C(C)(C)C2)o1 ZINC000273505521 408227186 /nfs/dbraw/zinc/22/71/86/408227186.db2.gz BVKSLPHTEBPBEK-SNVBAGLBSA-N -1 1 314.407 1.696 20 0 DDADMM CCC[C@H](NC(=O)c1n[nH]c2c1CCCCC2)c1nn[n-]n1 ZINC000190597980 408287630 /nfs/dbraw/zinc/28/76/30/408287630.db2.gz RLILDXDIAFMXOE-NSHDSACASA-N -1 1 303.370 1.463 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H]1c2ccccc2NC1=O)c1nn[n-]n1 ZINC000136670331 162120923 /nfs/dbraw/zinc/12/09/23/162120923.db2.gz NZHFYBWFEUEYLT-CMPLNLGQSA-N -1 1 314.349 1.283 20 0 DDADMM CCn1cc([N-]S(=O)(=O)c2cccc(F)c2F)ccc1=O ZINC000158644486 408352152 /nfs/dbraw/zinc/35/21/52/408352152.db2.gz XQSHJCUVPBUOTL-UHFFFAOYSA-N -1 1 314.313 1.947 20 0 DDADMM O=c1nc(CN2CCCN(C3CC3)CC2)[n-]c2ccsc21 ZINC000172541276 162282120 /nfs/dbraw/zinc/28/21/20/162282120.db2.gz UGCZOJWUJNNXES-UHFFFAOYSA-N -1 1 304.419 1.655 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cnn(C)c1)c1nc(C(C)(C)C)no1 ZINC000174041822 162389730 /nfs/dbraw/zinc/38/97/30/162389730.db2.gz JDDDIBYFYVCGOA-QMMMGPOBSA-N -1 1 313.383 1.140 20 0 DDADMM CCC[C@H](NC(=O)c1cc(OC)ccc1OC)c1nn[n-]n1 ZINC000176788959 408446007 /nfs/dbraw/zinc/44/60/07/408446007.db2.gz JQJRMLVTEYFFJN-NSHDSACASA-N -1 1 305.338 1.488 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(OCC2CC2)cc1)c1nn[n-]n1 ZINC000183428985 408407894 /nfs/dbraw/zinc/40/78/94/408407894.db2.gz VEKOIEROCLUWCB-LLVKDONJSA-N -1 1 315.377 1.864 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1C[C@@H]1c1ccc(F)cc1F)c1nn[n-]n1 ZINC000183421615 408409067 /nfs/dbraw/zinc/40/90/67/408409067.db2.gz IRQUHOXEOCOEML-AXTRIDKLSA-N -1 1 321.331 1.844 20 0 DDADMM C[C@@H](Oc1cccc(F)c1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183450389 408416592 /nfs/dbraw/zinc/41/65/92/408416592.db2.gz JKBYMBIKQXGSEC-VHSXEESVSA-N -1 1 307.329 1.368 20 0 DDADMM CCC[C@H](NC(=O)CCc1ccc(OC)cc1)c1nn[n-]n1 ZINC000176754194 408431766 /nfs/dbraw/zinc/43/17/66/408431766.db2.gz FQXNIDLHEZOYFE-ZDUSSCGKSA-N -1 1 303.366 1.799 20 0 DDADMM NS(=O)(=O)c1cccc(CNC(=O)c2cc(F)ccc2[O-])c1 ZINC000177046199 408505402 /nfs/dbraw/zinc/50/54/02/408505402.db2.gz DSUVBEDYVSOSIL-UHFFFAOYSA-N -1 1 324.333 1.109 20 0 DDADMM COCCOCCOCCCNC(=O)c1cc(F)ccc1[O-] ZINC000274605030 408467050 /nfs/dbraw/zinc/46/70/50/408467050.db2.gz XWSJUAXSEPMTNC-UHFFFAOYSA-N -1 1 315.341 1.331 20 0 DDADMM CCC[C@H](NC(=O)C[C@H](C)c1c(C)noc1C)c1nn[n-]n1 ZINC000269913216 408467580 /nfs/dbraw/zinc/46/75/80/408467580.db2.gz IUQGVGAQPLCMAE-KWQFWETISA-N -1 1 306.370 1.956 20 0 DDADMM CC(C)(C)OC(=O)CCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000192412586 408585185 /nfs/dbraw/zinc/58/51/85/408585185.db2.gz RGFNHHOVXSLVAL-UHFFFAOYSA-N -1 1 308.260 1.884 20 0 DDADMM Cc1ccc(NC(=O)CS[C@@H](C)C(=O)Nc2nnn[n-]2)cc1 ZINC000265451616 408657597 /nfs/dbraw/zinc/65/75/97/408657597.db2.gz DAPBQHULLZYUCC-VIFPVBQESA-N -1 1 320.378 1.207 20 0 DDADMM Cc1ccc(NC(=O)CS[C@@H](C)C(=O)Nc2nn[n-]n2)cc1 ZINC000265451616 408657601 /nfs/dbraw/zinc/65/76/01/408657601.db2.gz DAPBQHULLZYUCC-VIFPVBQESA-N -1 1 320.378 1.207 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)NCc1ccccc1OC)C(=O)[O-] ZINC000185050296 408745409 /nfs/dbraw/zinc/74/54/09/408745409.db2.gz IRUOJBFXGQJSBL-AAEUAGOBSA-N -1 1 308.378 1.543 20 0 DDADMM Cc1cc(N2CCC(NC(=O)c3ccc([O-])cc3F)CC2)n[nH]1 ZINC000276030141 408754684 /nfs/dbraw/zinc/75/46/84/408754684.db2.gz PXKINWHDAMSQKS-UHFFFAOYSA-N -1 1 318.352 1.962 20 0 DDADMM Cc1ccsc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000194026764 408757829 /nfs/dbraw/zinc/75/78/29/408757829.db2.gz COQOMHLGLYNZRC-UHFFFAOYSA-N -1 1 312.372 1.662 20 0 DDADMM CCN(Cc1nc2ccccc2c(=O)[nH]1)C(=O)c1ncccc1[O-] ZINC000195146038 408813557 /nfs/dbraw/zinc/81/35/57/408813557.db2.gz PGPJKVUMQQMXDD-UHFFFAOYSA-N -1 1 324.340 1.686 20 0 DDADMM C[C@H](Sc1nc(C2CC2)cc(=O)[n-]1)C(=O)NC(=O)NC1CC1 ZINC000276136011 408822527 /nfs/dbraw/zinc/82/25/27/408822527.db2.gz APMVOYZAMPNZBS-ZETCQYMHSA-N -1 1 322.390 1.528 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-]c1ncc(C(F)(F)F)[nH]1 ZINC000290880373 408848916 /nfs/dbraw/zinc/84/89/16/408848916.db2.gz BVAALKWTGSTNEY-UHFFFAOYSA-N -1 1 309.273 1.271 20 0 DDADMM CC(C)OC(=O)[C@H](C)CNC(=O)c1c(F)ccc([O-])c1F ZINC000280915143 408857651 /nfs/dbraw/zinc/85/76/51/408857651.db2.gz IYCUAXRVSNDFBO-MRVPVSSYSA-N -1 1 301.289 1.988 20 0 DDADMM O=c1nc([C@H]2CCCN(c3nc4cc(F)ccc4o3)C2)[nH][n-]1 ZINC000285829969 408871248 /nfs/dbraw/zinc/87/12/48/408871248.db2.gz ZWXRCBHOAOJBRZ-QMMMGPOBSA-N -1 1 303.297 1.762 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)NC(=O)c1c(F)ccc([O-])c1F ZINC000286000855 408905645 /nfs/dbraw/zinc/90/56/45/408905645.db2.gz HFYNYHMJFJJICV-HTQZYQBOSA-N -1 1 301.289 1.988 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H]1CCO)c1cccc(F)c1F ZINC000188918878 163077568 /nfs/dbraw/zinc/07/75/68/163077568.db2.gz GMOZOKBVADIPMD-ONGXEEELSA-N -1 1 305.346 1.794 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Br)c([O-])c2)C[C@H]1CO ZINC000191881205 163206841 /nfs/dbraw/zinc/20/68/41/163206841.db2.gz LEPCTJONGXBWCY-SCZZXKLOSA-N -1 1 314.179 1.855 20 0 DDADMM O=C(N[C@H]1CCCNC1=O)c1ccc(Br)c([O-])c1 ZINC000228316917 163341935 /nfs/dbraw/zinc/34/19/35/163341935.db2.gz KNHNNRAYXWOIGS-VIFPVBQESA-N -1 1 313.151 1.163 20 0 DDADMM C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1cc(F)ccc1[O-] ZINC000287627665 409066525 /nfs/dbraw/zinc/06/65/25/409066525.db2.gz ZLOZNFNBMNYKDB-DGCLKSJQSA-N -1 1 308.353 1.467 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C(=O)N2CCC(C)CC2)c1 ZINC000282848490 409067259 /nfs/dbraw/zinc/06/72/59/409067259.db2.gz RVDHKUFPRNJNQP-UHFFFAOYSA-N -1 1 320.345 1.376 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C1=COCCC1 ZINC000292568767 409036267 /nfs/dbraw/zinc/03/62/67/409036267.db2.gz OHHSXMNNWDFKNK-MWLCHTKSSA-N -1 1 320.311 1.739 20 0 DDADMM O=C(CCc1nc(-c2ccc([O-])c(F)c2)no1)N1CCOCC1 ZINC000278073442 409060837 /nfs/dbraw/zinc/06/08/37/409060837.db2.gz FSLAUFWZFWAVPP-UHFFFAOYSA-N -1 1 321.308 1.373 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCCCC(C)(C)CO)ccnc1-2 ZINC000287613718 409064629 /nfs/dbraw/zinc/06/46/29/409064629.db2.gz NEEMZJUVWZHXCW-UNOMPAQXSA-N -1 1 305.382 1.262 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000287736770 409083933 /nfs/dbraw/zinc/08/39/33/409083933.db2.gz UCQILBWHHWJJLG-AQIRGBNCSA-N -1 1 303.366 1.278 20 0 DDADMM C[C@H](NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C1CCOCC1 ZINC000279320962 409192386 /nfs/dbraw/zinc/19/23/86/409192386.db2.gz MXZWATUGAHBPHH-XEVDPLJKSA-N -1 1 303.366 1.278 20 0 DDADMM Cc1cc(C(=O)N(C)CC[N@@H+]2C[C@@H](C)O[C@@H](C)C2)ccc1O ZINC000279058274 409140503 /nfs/dbraw/zinc/14/05/03/409140503.db2.gz CZAVYRRFYUUIKG-OKILXGFUSA-N -1 1 306.406 1.882 20 0 DDADMM CCC(=O)[C@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283367331 409144104 /nfs/dbraw/zinc/14/41/04/409144104.db2.gz JFVDONCUSMOSAY-LLVKDONJSA-N -1 1 318.402 1.992 20 0 DDADMM O=C(N[C@H]1CCO[C@@H](C2CC2)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000283471707 409162012 /nfs/dbraw/zinc/16/20/12/409162012.db2.gz PFLWSGPESNORLI-NWDGAFQWSA-N -1 1 318.402 1.705 20 0 DDADMM O=C(C(=O)N1CCC([C@@H]2CCCO2)CC1)c1ccc([O-])cc1 ZINC000289275975 409240965 /nfs/dbraw/zinc/24/09/65/409240965.db2.gz YVFDLMSGXPVDRQ-HNNXBMFYSA-N -1 1 303.358 1.993 20 0 DDADMM O=C(c1ccnc2ccncc21)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000294164741 409251980 /nfs/dbraw/zinc/25/19/80/409251980.db2.gz IURYFJUCEVUVOY-JTQLQIEISA-N -1 1 324.344 1.061 20 0 DDADMM O=S(=O)([N-]CCN1CC=CCC1)c1cc(F)c(F)cc1F ZINC000280133802 409255872 /nfs/dbraw/zinc/25/58/72/409255872.db2.gz DPACAHBJDWPHIR-UHFFFAOYSA-N -1 1 320.336 1.644 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cc(-c2ccccc2C)[nH]n1 ZINC000290595110 409455950 /nfs/dbraw/zinc/45/59/50/409455950.db2.gz YCQWIADELDPTHQ-UHFFFAOYSA-N -1 1 323.374 1.690 20 0 DDADMM Cc1nc(C)c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)s1 ZINC000407995948 164192231 /nfs/dbraw/zinc/19/22/31/164192231.db2.gz JRZIKQNLWCGIEV-SECBINFHSA-N -1 1 308.363 1.868 20 0 DDADMM C[C@@H]1CCCN(C(=O)C[N@H+]2CCC[C@@H](c3n[n-]c(=O)o3)C2)C1 ZINC000408007378 164195622 /nfs/dbraw/zinc/19/56/22/164195622.db2.gz COCNDRIYXJBSQS-VXGBXAGGSA-N -1 1 308.382 1.213 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC[C@@H]1[C@@H]1COCC[C@H]1O ZINC000408408422 164322076 /nfs/dbraw/zinc/32/20/76/164322076.db2.gz OUAWKWUPKFDUBB-NWANDNLSSA-N -1 1 309.337 1.533 20 0 DDADMM O=C(N[C@H]1CCC[N@H+](CCCO)C1)c1c(F)ccc([O-])c1F ZINC000295459907 409493619 /nfs/dbraw/zinc/49/36/19/409493619.db2.gz MRJZAADTZIPCCR-JTQLQIEISA-N -1 1 314.332 1.247 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)CCC2CC2)o1 ZINC000331474418 409548446 /nfs/dbraw/zinc/54/84/46/409548446.db2.gz NAYZUJGBCMOTDB-VIFPVBQESA-N -1 1 300.380 1.496 20 0 DDADMM Cc1ccc(F)c(NC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000337938431 409585331 /nfs/dbraw/zinc/58/53/31/409585331.db2.gz HWFLVEPKULLUAO-UHFFFAOYSA-N -1 1 303.293 1.603 20 0 DDADMM O=S(=O)([N-][C@H]1CCCn2ncnc21)c1sccc1Cl ZINC000331621992 409703678 /nfs/dbraw/zinc/70/36/78/409703678.db2.gz XZRZYORXQGNBSY-QMMMGPOBSA-N -1 1 318.811 1.806 20 0 DDADMM CC(C)(CC(=O)[O-])NS(=O)(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000314318845 409822059 /nfs/dbraw/zinc/82/20/59/409822059.db2.gz YJNAXUKEKZKZKZ-UHFFFAOYSA-N -1 1 320.298 1.266 20 0 DDADMM COc1cccc(NC(=O)CN2CCC[C@@H](CC(=O)[O-])C2)c1 ZINC000315186784 409840707 /nfs/dbraw/zinc/84/07/07/409840707.db2.gz JRMFXVYPPRMGRG-LBPRGKRZSA-N -1 1 306.362 1.820 20 0 DDADMM CC(=O)c1ccc(CC(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000346316825 409911259 /nfs/dbraw/zinc/91/12/59/409911259.db2.gz BLVZNDJKKWDKOV-UHFFFAOYSA-N -1 1 313.361 1.531 20 0 DDADMM O=C(Cc1nc2ncccn2n1)Nc1ccc([O-])c(Cl)c1 ZINC000357354720 409991689 /nfs/dbraw/zinc/99/16/89/409991689.db2.gz JPLXYGWVFSXFPB-UHFFFAOYSA-N -1 1 303.709 1.665 20 0 DDADMM CO[C@@H](C)CC[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338884281 410047056 /nfs/dbraw/zinc/04/70/56/410047056.db2.gz RZKDYVBAISDGPL-ZETCQYMHSA-N -1 1 315.317 1.142 20 0 DDADMM CC(C)(NC(=O)c1ccc([C@H]2CCCOC2)cc1)c1nn[n-]n1 ZINC000357367977 409996300 /nfs/dbraw/zinc/99/63/00/409996300.db2.gz IESANOCVYDOQDT-ZDUSSCGKSA-N -1 1 315.377 1.759 20 0 DDADMM CCCCO[C@H]1C[C@H](N(C)C(=O)CCc2nn[n-]n2)C1(C)C ZINC000631608802 422852703 /nfs/dbraw/zinc/85/27/03/422852703.db2.gz HUMWLSNXSYOUTM-RYUDHWBXSA-N -1 1 309.414 1.575 20 0 DDADMM COc1ccc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)nc1 ZINC000332445356 410165625 /nfs/dbraw/zinc/16/56/25/410165625.db2.gz SJGDCDLAINMSMD-SNVBAGLBSA-N -1 1 318.333 1.128 20 0 DDADMM CC[C@H](C)[C@H](CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)OC ZINC000298480749 410248966 /nfs/dbraw/zinc/24/89/66/410248966.db2.gz PVMLASPLIWVQFE-PCQOOQJGSA-N -1 1 305.382 1.524 20 0 DDADMM CC[C@@H]1CN(C(=O)CCC(=O)[O-])CCN1Cc1ccccc1 ZINC000263408241 287132972 /nfs/dbraw/zinc/13/29/72/287132972.db2.gz IESKUOSNLXTZDU-OAHLLOKOSA-N -1 1 304.390 1.974 20 0 DDADMM N=c1nc(N2CCN(C/C=C/c3ccccc3)CC2)s[n-]1 ZINC000355085280 410302858 /nfs/dbraw/zinc/30/28/58/410302858.db2.gz XXWMXKHEFYTXCK-QPJJXVBHSA-N -1 1 301.419 1.786 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2([S@](C)=O)CCC2)sc1C ZINC000333171252 410401552 /nfs/dbraw/zinc/40/15/52/410401552.db2.gz PVLRVNFEDKBAEH-SFHVURJKSA-N -1 1 322.477 1.339 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)N=c2ncn(C3CCCCC3)[n-]2)CCN1C ZINC000329822660 410450777 /nfs/dbraw/zinc/45/07/77/410450777.db2.gz HLCZOAWBUHGTKQ-CHWSQXEVSA-N -1 1 320.441 1.762 20 0 DDADMM C[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccc(F)c(F)c1 ZINC000358388678 410458278 /nfs/dbraw/zinc/45/82/78/410458278.db2.gz LJWGOTFIPQJSCT-DTWKUNHWSA-N -1 1 309.320 1.844 20 0 DDADMM CCn1nc(/C=C/c2cc(=O)n3[n-]cnc3n2)c2ccccc21 ZINC000352025665 410425165 /nfs/dbraw/zinc/42/51/65/410425165.db2.gz FURSOJLVLGDSFL-BQYQJAHWSA-N -1 1 306.329 1.958 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)nc1 ZINC000298879947 410435559 /nfs/dbraw/zinc/43/55/59/410435559.db2.gz HKIHOVKSYLZCDL-GFCCVEGCSA-N -1 1 315.377 1.636 20 0 DDADMM CC(C)c1nc(=NC[C@@H]2CCCC[C@H]2NS(C)(=O)=O)o[n-]1 ZINC000343618028 410573209 /nfs/dbraw/zinc/57/32/09/410573209.db2.gz BVYDNCHPGWAHEF-WDEREUQCSA-N -1 1 316.427 1.135 20 0 DDADMM CC(C)(C)c1nc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)no1 ZINC000299240532 410576879 /nfs/dbraw/zinc/57/68/79/410576879.db2.gz KDJGVRINUIVQOM-UHFFFAOYSA-N -1 1 311.367 1.337 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C[C@H]3OCCC[C@H]23)sc1C ZINC000333449738 410553038 /nfs/dbraw/zinc/55/30/38/410553038.db2.gz NJOUCDFFNYFPKI-GMTAPVOTSA-N -1 1 302.421 1.606 20 0 DDADMM Cn1nccc1S(=O)(=O)[N-]c1cc2c(cc1Cl)OCO2 ZINC000340077491 410661788 /nfs/dbraw/zinc/66/17/88/410661788.db2.gz UTGUFNRHZCYGDG-UHFFFAOYSA-N -1 1 315.738 1.603 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)Oc1ccc(OC)cc1)c1nn[n-]n1 ZINC000343758686 410674761 /nfs/dbraw/zinc/67/47/61/410674761.db2.gz ZQRDOFKEZHEPFY-GWCFXTLKSA-N -1 1 319.365 1.633 20 0 DDADMM CC(C)[C@@H]1CCC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343694489 410625579 /nfs/dbraw/zinc/62/55/79/410625579.db2.gz IYHKVWYWLHXFTP-CHWSQXEVSA-N -1 1 319.405 1.848 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)Oc1ccccc1F)c1nn[n-]n1 ZINC000343716334 410642715 /nfs/dbraw/zinc/64/27/15/410642715.db2.gz CPTFRFXOGQWNDY-MWLCHTKSSA-N -1 1 307.329 1.764 20 0 DDADMM CCC[C@@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343820366 410724630 /nfs/dbraw/zinc/72/46/30/410724630.db2.gz MVNUQDWUPSOYOJ-GFCCVEGCSA-N -1 1 305.378 1.556 20 0 DDADMM O=c1nc(CCCNc2ncnc3sc4c(c32)CCC4)[nH][n-]1 ZINC000301510524 410736514 /nfs/dbraw/zinc/73/65/14/410736514.db2.gz CYIKONXYGDAUKP-UHFFFAOYSA-N -1 1 316.390 1.636 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)[C@H]3CCC[C@@H]3O)cnc2n1 ZINC000359596644 410761216 /nfs/dbraw/zinc/76/12/16/410761216.db2.gz MXFBAJKASZTFQU-STQMWFEESA-N -1 1 301.346 1.629 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)[C@H]3CCC[C@@H]3O)c[n-]c2n1 ZINC000359596644 410761225 /nfs/dbraw/zinc/76/12/25/410761225.db2.gz MXFBAJKASZTFQU-STQMWFEESA-N -1 1 301.346 1.629 20 0 DDADMM O=C(Nc1ccc(F)c(C2CC2)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000343910691 410801097 /nfs/dbraw/zinc/80/10/97/410801097.db2.gz URHKLYXLCCROHV-UHFFFAOYSA-N -1 1 313.292 1.686 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)N=c1[n-]nc(-c2ccco2)s1 ZINC000359738114 410845872 /nfs/dbraw/zinc/84/58/72/410845872.db2.gz WMXHSAAVAXBZEE-UHFFFAOYSA-N -1 1 305.323 1.002 20 0 DDADMM Cc1ccc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)c(C)c1 ZINC000340368101 410846754 /nfs/dbraw/zinc/84/67/54/410846754.db2.gz HZDPPJDHFVIQCV-UHFFFAOYSA-N -1 1 316.386 1.759 20 0 DDADMM C[C@H]1[C@@H](C(F)(F)F)CCN1Cc1cc(=O)n2[n-]ccc2n1 ZINC000348223231 410862240 /nfs/dbraw/zinc/86/22/40/410862240.db2.gz DKBZPALIMQLHKQ-WPRPVWTQSA-N -1 1 300.284 1.795 20 0 DDADMM Cc1nc(-c2ccco2)ccc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359786423 410879343 /nfs/dbraw/zinc/87/93/43/410879343.db2.gz LEDOLVHTIWOKOJ-UHFFFAOYSA-N -1 1 312.333 1.828 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccccc1OC(F)(F)F ZINC000348273480 410891791 /nfs/dbraw/zinc/89/17/91/410891791.db2.gz FQFHVVSZFYSWGF-UHFFFAOYSA-N -1 1 313.239 1.517 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CC[C@@H](C)C2)o1 ZINC000331093030 410942649 /nfs/dbraw/zinc/94/26/49/410942649.db2.gz XXTBAFNIOCVTBM-NXEZZACHSA-N -1 1 300.380 1.354 20 0 DDADMM CCN(CC)C(=O)C1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000331130978 410966724 /nfs/dbraw/zinc/96/67/24/410966724.db2.gz ASKSYCRRPSAGGU-UHFFFAOYSA-N -1 1 319.405 1.816 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2C)cn1 ZINC000341322141 411003505 /nfs/dbraw/zinc/00/35/05/411003505.db2.gz KCBYNTOCBWWJCH-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM C[C@@H]1OCC[C@]1(O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000331200482 411011321 /nfs/dbraw/zinc/01/13/21/411011321.db2.gz PPEYHDHNBMMVBT-UFBFGSQYSA-N -1 1 323.773 1.297 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331256317 411043408 /nfs/dbraw/zinc/04/34/08/411043408.db2.gz ZMEYNPMLAHMDNT-JTQLQIEISA-N -1 1 320.436 1.626 20 0 DDADMM CC[C@@H](C)[C@@H](OC)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000356628167 411111658 /nfs/dbraw/zinc/11/16/58/411111658.db2.gz TWXFATWOVUHOKM-PRHODGIISA-N -1 1 316.379 1.039 20 0 DDADMM CCO[C@@H]1CCCN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000631795898 422924949 /nfs/dbraw/zinc/92/49/49/422924949.db2.gz OXFJWCZZGGBWEP-GFCCVEGCSA-N -1 1 315.391 1.590 20 0 DDADMM Cc1nc([C@H]2CCCOC2)sc1C(=O)N=c1ccc([O-])n[nH]1 ZINC000580627147 422945513 /nfs/dbraw/zinc/94/55/13/422945513.db2.gz WXRUNKFMODRYIY-VIFPVBQESA-N -1 1 320.374 1.515 20 0 DDADMM Cc1nc([C@H]2CCCOC2)sc1C(=O)N=c1ccc(O)n[n-]1 ZINC000580627147 422945515 /nfs/dbraw/zinc/94/55/15/422945515.db2.gz WXRUNKFMODRYIY-VIFPVBQESA-N -1 1 320.374 1.515 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CCS1(=O)=O ZINC000131832012 196203223 /nfs/dbraw/zinc/20/32/23/196203223.db2.gz RKXQGGPRPYZQJQ-MRVPVSSYSA-N -1 1 303.767 1.305 20 0 DDADMM COc1ccc(C(=O)N2NC(=O)CC23CCCCC3)c([O-])c1 ZINC000647701817 423005290 /nfs/dbraw/zinc/00/52/90/423005290.db2.gz NJIUQCPNEGYHNO-UHFFFAOYSA-N -1 1 304.346 1.981 20 0 DDADMM C[C@@H]1CCCN(S(=O)(=O)[N-]c2cc(OC(F)F)n(C)n2)C1 ZINC000488333663 416557881 /nfs/dbraw/zinc/55/78/81/416557881.db2.gz LWWDXDFMZUCRQR-MRVPVSSYSA-N -1 1 324.353 1.410 20 0 DDADMM Cn1nc([N-]S(=O)(=O)N2CCCCCC2)cc1OC(F)F ZINC000488361883 416557894 /nfs/dbraw/zinc/55/78/94/416557894.db2.gz YOXOZNFADVUURE-UHFFFAOYSA-N -1 1 324.353 1.554 20 0 DDADMM O=C([O-])CC1(CC(=O)NCc2ccc3cncn3c2)CCC1 ZINC000643343698 423118158 /nfs/dbraw/zinc/11/81/58/423118158.db2.gz YRRITVRNNMHFSW-UHFFFAOYSA-N -1 1 301.346 1.986 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2Cc3ccccc32)cc(=O)[n-]1 ZINC000640657160 423118289 /nfs/dbraw/zinc/11/82/89/423118289.db2.gz BHORPVHPDGEIJD-LBPRGKRZSA-N -1 1 301.371 1.860 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2C[C@H]2CC(C)(C)C)o1 ZINC000652758939 423119544 /nfs/dbraw/zinc/11/95/44/423119544.db2.gz QETXSSDKYRFRFS-VHSXEESVSA-N -1 1 314.407 1.742 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C2(C3CCC3)CCC2)c1 ZINC000571755940 304485335 /nfs/dbraw/zinc/48/53/35/304485335.db2.gz NBIUSSWCXXOVRP-UHFFFAOYSA-N -1 1 324.402 1.949 20 0 DDADMM Cn1cc(CCNC(=O)c2ccc(Br)c([O-])c2)cn1 ZINC000133165039 221602180 /nfs/dbraw/zinc/60/21/80/221602180.db2.gz JHFNNCWBPIUEBY-UHFFFAOYSA-N -1 1 324.178 1.861 20 0 DDADMM COC(C)(C)C[C@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000173226646 221776699 /nfs/dbraw/zinc/77/66/99/221776699.db2.gz CCSWLRDTXFQQRI-JTQLQIEISA-N -1 1 303.362 1.857 20 0 DDADMM O=C([N-]OCc1ccccc1)[C@H]1CC(=O)N(c2ccccn2)C1 ZINC000366014034 418418252 /nfs/dbraw/zinc/41/82/52/418418252.db2.gz XJDBJNZZBOKBAD-AWEZNQCLSA-N -1 1 311.341 1.683 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1CCC[C@@H]1O ZINC000133911192 418516181 /nfs/dbraw/zinc/51/61/81/418516181.db2.gz RHSNXSIAGFUQMF-STQMWFEESA-N -1 1 318.377 1.014 20 0 DDADMM CCOC(=O)C1(F)CN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000367240702 418575922 /nfs/dbraw/zinc/57/59/22/418575922.db2.gz VXTOVSOEQJARGW-UHFFFAOYSA-N -1 1 301.701 1.773 20 0 DDADMM CCOC(=O)Cc1nnc([N-]C(=O)c2conc2C2CC2)s1 ZINC000291073651 222115517 /nfs/dbraw/zinc/11/55/17/222115517.db2.gz OMOGSQCUAULISP-UHFFFAOYSA-N -1 1 322.346 1.761 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)c(F)cc(F)c1F)c1nnc[nH]1 ZINC000192932713 222139110 /nfs/dbraw/zinc/13/91/10/222139110.db2.gz CQZNZOXSNRQVEK-SCSAIBSYSA-N -1 1 324.259 1.401 20 0 DDADMM CN(C)c1noc(CNC(=O)c2ccc3ccccc3c2[O-])n1 ZINC000193125531 222143503 /nfs/dbraw/zinc/14/35/03/222143503.db2.gz XAGAKBLFNCURSK-UHFFFAOYSA-N -1 1 312.329 1.924 20 0 DDADMM Cn1nccc1CS(=O)(=O)c1nc(Cc2cccs2)n[n-]1 ZINC000195160908 222193768 /nfs/dbraw/zinc/19/37/68/222193768.db2.gz AKVBDMVFOVATRH-UHFFFAOYSA-N -1 1 323.403 1.164 20 0 DDADMM Cn1nccc1CS(=O)(=O)c1n[n-]c(Cc2cccs2)n1 ZINC000195160908 222193772 /nfs/dbraw/zinc/19/37/72/222193772.db2.gz AKVBDMVFOVATRH-UHFFFAOYSA-N -1 1 323.403 1.164 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(C)(C)[C@H]2C2CC2)o1 ZINC000291186047 222205220 /nfs/dbraw/zinc/20/52/20/222205220.db2.gz SWAMNVZTABTIRH-GFCCVEGCSA-N -1 1 312.391 1.448 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCCC13CCOCC3)c2=O ZINC000367985113 418669022 /nfs/dbraw/zinc/66/90/22/418669022.db2.gz KHYRSVONMBMMJS-UHFFFAOYSA-N -1 1 316.361 1.459 20 0 DDADMM C[C@@H]1CN(Cc2ccccc2)CCN1c1ccc(C(=O)[O-])nn1 ZINC000382577447 418731543 /nfs/dbraw/zinc/73/15/43/418731543.db2.gz HIFONENAMNVLHZ-CYBMUJFWSA-N -1 1 312.373 1.886 20 0 DDADMM O=C(N[C@@H]1CCc2nc[nH]c2C1)c1nn(-c2ccccc2)cc1[O-] ZINC000371362848 418784406 /nfs/dbraw/zinc/78/44/06/418784406.db2.gz YEUQAJLQIKPAMR-LLVKDONJSA-N -1 1 323.356 1.588 20 0 DDADMM O=C(CCc1ncc(-c2c(F)cccc2F)o1)Nc1nnn[n-]1 ZINC000371535970 418798320 /nfs/dbraw/zinc/79/83/20/418798320.db2.gz RLLJJZSOGXBTLZ-UHFFFAOYSA-N -1 1 320.259 1.704 20 0 DDADMM O=C(CCc1ncc(-c2c(F)cccc2F)o1)Nc1nn[n-]n1 ZINC000371535970 418798323 /nfs/dbraw/zinc/79/83/23/418798323.db2.gz RLLJJZSOGXBTLZ-UHFFFAOYSA-N -1 1 320.259 1.704 20 0 DDADMM CCn1c([N-]S(=O)(=O)CCOCC2CC2)nc2cccnc21 ZINC000424210374 228245870 /nfs/dbraw/zinc/24/58/70/228245870.db2.gz DIBOCWFWVHZQAR-UHFFFAOYSA-N -1 1 324.406 1.620 20 0 DDADMM Cn1nccc1[C@H](NCc1ccc(OC(F)F)cc1)C(=O)[O-] ZINC000424627810 228296605 /nfs/dbraw/zinc/29/66/05/228296605.db2.gz VSSQGSRVXMPZTM-LBPRGKRZSA-N -1 1 311.288 1.937 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@H](C)c2n[nH]c(C)n2)c1 ZINC000424841659 228323422 /nfs/dbraw/zinc/32/34/22/228323422.db2.gz DZSFHNZLIRFETI-PVBMHHQDSA-N -1 1 322.390 1.107 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC(O)(C(F)F)C1 ZINC000425005184 228347325 /nfs/dbraw/zinc/34/73/25/228347325.db2.gz ABHKDINOJHPFJU-UHFFFAOYSA-N -1 1 322.105 1.607 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@]2(O)CCO[C@H]2C)c(F)c1 ZINC000425194898 228386940 /nfs/dbraw/zinc/38/69/40/228386940.db2.gz ROSDVGOWOWLYMH-ZANVPECISA-N -1 1 321.345 1.091 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@]2(C)CCOC2)c(F)c1 ZINC000425220788 228393051 /nfs/dbraw/zinc/39/30/51/228393051.db2.gz VEVDFPZGNSMJSB-ZDUSSCGKSA-N -1 1 305.346 1.978 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(C(=O)N(C)C)cc1)OC ZINC000421159961 419507913 /nfs/dbraw/zinc/50/79/13/419507913.db2.gz XOBWNLCKVXOAEO-ZDUSSCGKSA-N -1 1 314.407 1.555 20 0 DDADMM CC(=O)c1ccsc1[N-]S(=O)(=O)N1C[C@H](C)OC[C@@H]1C ZINC000427308399 419634161 /nfs/dbraw/zinc/63/41/61/419634161.db2.gz NGTNYJGHTAIQPG-IUCAKERBSA-N -1 1 318.420 1.717 20 0 DDADMM CCn1ncc(C(=O)N=c2ccc(O)n[n-]2)c1-c1ccccc1 ZINC000427337445 419640284 /nfs/dbraw/zinc/64/02/84/419640284.db2.gz UXDCTBNKQNHMPI-UHFFFAOYSA-N -1 1 309.329 1.740 20 0 DDADMM CCn1ncc(C(=O)N=c2ccc(=O)[n-][nH]2)c1-c1ccccc1 ZINC000427337445 419640295 /nfs/dbraw/zinc/64/02/95/419640295.db2.gz UXDCTBNKQNHMPI-UHFFFAOYSA-N -1 1 309.329 1.740 20 0 DDADMM CC(=O)N(C)c1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000427466169 419667627 /nfs/dbraw/zinc/66/76/27/419667627.db2.gz KSMSKHIDKOJDQH-SNVBAGLBSA-N -1 1 316.365 1.058 20 0 DDADMM Cc1c(S(=O)(=O)[N-]c2nc3ccc(C)cc3[nH]2)cnn1C ZINC000427647828 419708030 /nfs/dbraw/zinc/70/80/30/419708030.db2.gz NDWOOKXGIYSEHC-UHFFFAOYSA-N -1 1 305.363 1.714 20 0 DDADMM O=S(=O)([N-][C@@H]1CC[C@](O)(C(F)(F)F)C1)c1cscn1 ZINC000645726649 423156144 /nfs/dbraw/zinc/15/61/44/423156144.db2.gz XCOGAEUGOCYUKI-HTRCEHHLSA-N -1 1 316.326 1.267 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H](C)C1CCCCC1 ZINC000416226417 420286270 /nfs/dbraw/zinc/28/62/70/420286270.db2.gz VCXXLQABMHBYCS-VIFPVBQESA-N -1 1 309.366 1.937 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)COc2cccnc2)c1 ZINC000436502392 420335402 /nfs/dbraw/zinc/33/54/02/420335402.db2.gz DPBYXRVMDHQOPO-UHFFFAOYSA-N -1 1 302.286 1.591 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)C[C@H](CC(C)C)OC)C(=O)OC ZINC000420661382 420345343 /nfs/dbraw/zinc/34/53/43/420345343.db2.gz ZXKXPLKTPYHKPG-AVGNSLFASA-N -1 1 323.455 1.555 20 0 DDADMM CNc1ccccc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425317557 420348470 /nfs/dbraw/zinc/34/84/70/420348470.db2.gz GICCVASUSHGJCU-UHFFFAOYSA-N -1 1 302.334 1.837 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCc2ncccn2)c1 ZINC000436637693 420348708 /nfs/dbraw/zinc/34/87/08/420348708.db2.gz ADHOYINRQSEBPV-UHFFFAOYSA-N -1 1 301.302 1.540 20 0 DDADMM CN(C)c1nccc(CNC(=O)c2cc(Cl)ccc2[O-])n1 ZINC000436668811 420353978 /nfs/dbraw/zinc/35/39/78/420353978.db2.gz YEHAOMSXPDLTQO-UHFFFAOYSA-N -1 1 306.753 1.832 20 0 DDADMM CNS(=O)(=O)c1cc(NC(=O)c2ccccc2[O-])ccc1F ZINC000436825568 420371350 /nfs/dbraw/zinc/37/13/50/420371350.db2.gz DJSUUKRMWRBBDS-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM O=C(NC[C@H]1CCCCS1(=O)=O)c1ccc(Cl)cc1[O-] ZINC000436828576 420372327 /nfs/dbraw/zinc/37/23/27/420372327.db2.gz IOZXRMDRDZPVAL-SNVBAGLBSA-N -1 1 317.794 1.743 20 0 DDADMM Cc1cccc(C)c1NC(=O)[C@@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000444409644 230097131 /nfs/dbraw/zinc/09/71/31/230097131.db2.gz YAFBRHYZDNTNPZ-SNVBAGLBSA-N -1 1 308.363 1.222 20 0 DDADMM Cc1cccc(C)c1NC(=O)[C@@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000444409644 230097134 /nfs/dbraw/zinc/09/71/34/230097134.db2.gz YAFBRHYZDNTNPZ-SNVBAGLBSA-N -1 1 308.363 1.222 20 0 DDADMM CCC[C@H](C)CS(=O)(=O)[N-][C@](CC)(COC)C(=O)OC ZINC000416513338 420402562 /nfs/dbraw/zinc/40/25/62/420402562.db2.gz CSLFLDFODOFHIP-WCQYABFASA-N -1 1 309.428 1.310 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)C(=O)OC(C)C ZINC000456627203 420517458 /nfs/dbraw/zinc/51/74/58/420517458.db2.gz FRPQZASUYANJOI-JTQLQIEISA-N -1 1 323.393 1.858 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccc(-c2nc[nH]n2)cc1 ZINC000439247278 420501071 /nfs/dbraw/zinc/50/10/71/420501071.db2.gz NFHNUUOLVCEGEJ-UHFFFAOYSA-N -1 1 310.379 1.638 20 0 DDADMM CC(C)N(C(=O)CNC(=O)c1ncccc1[O-])C1CC(C)(C)C1 ZINC000457071149 420603324 /nfs/dbraw/zinc/60/33/24/420603324.db2.gz JFTAEVVOYYEDOB-UHFFFAOYSA-N -1 1 319.405 1.943 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@](O)(C(F)F)C3)cnc2n1 ZINC000452672210 420640792 /nfs/dbraw/zinc/64/07/92/420640792.db2.gz SNWWHYMCWFWTFK-OAHLLOKOSA-N -1 1 323.299 1.486 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@](O)(C(F)F)C3)c[n-]c2n1 ZINC000452672210 420640796 /nfs/dbraw/zinc/64/07/96/420640796.db2.gz SNWWHYMCWFWTFK-OAHLLOKOSA-N -1 1 323.299 1.486 20 0 DDADMM CC1(C)Oc2ccsc2[C@@H](NC(=O)c2cncc([O-])c2)[C@@H]1O ZINC000458042673 420641656 /nfs/dbraw/zinc/64/16/56/420641656.db2.gz JGSRMOUVOMFORZ-YPMHNXCESA-N -1 1 320.370 1.852 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)/C=C\c3ccc4c(c3)CCO4)ccnc1-2 ZINC000492762734 420655866 /nfs/dbraw/zinc/65/58/66/420655866.db2.gz HPTLQPUFGKXEPP-WXYTYUGRSA-N -1 1 320.352 1.929 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H]1CCCC1(F)F ZINC000452816127 420666868 /nfs/dbraw/zinc/66/68/68/420666868.db2.gz RLBWVWJAUOILJH-SECBINFHSA-N -1 1 313.304 1.069 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)C[S@@](C)=O)cc(Cl)c1[O-] ZINC000442794765 420717314 /nfs/dbraw/zinc/71/73/14/420717314.db2.gz DKZYHXKNZXMPDE-ZDVLTJLQSA-N -1 1 305.783 1.551 20 0 DDADMM CCOC(=O)Nc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000492945429 420718835 /nfs/dbraw/zinc/71/88/35/420718835.db2.gz QCVVEKJBPJIXFL-UHFFFAOYSA-N -1 1 318.337 1.433 20 0 DDADMM NC(=O)c1ccc(=NC[C@H]2SCCc3ccccc32)[n-]n1 ZINC000487633730 421042770 /nfs/dbraw/zinc/04/27/70/421042770.db2.gz DDXCJWGERZHDOI-CYBMUJFWSA-N -1 1 300.387 1.440 20 0 DDADMM COC(=O)N1CCC(CNC(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000495760551 421060195 /nfs/dbraw/zinc/06/01/95/421060195.db2.gz OTRIPOPUMRJFOZ-UHFFFAOYSA-N -1 1 320.345 1.170 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C3CC3)[C@@H]2C2CC2)o1 ZINC000456312286 421141331 /nfs/dbraw/zinc/14/13/31/421141331.db2.gz VWIKEYXHNDVCPO-FZMZJTMJSA-N -1 1 324.402 1.448 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1Cc2cccc(C)c2O1)c1nn[n-]n1 ZINC000450123582 421145267 /nfs/dbraw/zinc/14/52/67/421145267.db2.gz YVWIDDIBAOTOBG-NEPJUHHUSA-N -1 1 301.350 1.469 20 0 DDADMM C[C@H]1CCC[C@@]1(C)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000450137323 421150606 /nfs/dbraw/zinc/15/06/06/421150606.db2.gz QRUAKPBQYRBXGR-IINYFYTJSA-N -1 1 309.439 1.426 20 0 DDADMM CC(C)[C@H]1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000544737259 421228851 /nfs/dbraw/zinc/22/88/51/421228851.db2.gz HUVGHAFUWMIXMM-QWRGUYRKSA-N -1 1 303.366 1.362 20 0 DDADMM COCCCCS(=O)(=O)c1nc(-c2ccc(C)cc2)n[n-]1 ZINC000559995239 421231071 /nfs/dbraw/zinc/23/10/71/421231071.db2.gz NXRAYMYOTKUVIG-UHFFFAOYSA-N -1 1 309.391 1.980 20 0 DDADMM COCCCCS(=O)(=O)c1n[n-]c(-c2ccc(C)cc2)n1 ZINC000559995239 421231074 /nfs/dbraw/zinc/23/10/74/421231074.db2.gz NXRAYMYOTKUVIG-UHFFFAOYSA-N -1 1 309.391 1.980 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H](C)C1CCCCC1 ZINC000544807660 421232801 /nfs/dbraw/zinc/23/28/01/421232801.db2.gz BQUQSNQCJABVAG-MNOVXSKESA-N -1 1 317.393 1.752 20 0 DDADMM CCOC(=O)c1csc(=N[C@@H]2CCO[C@@]3(CCOC3)C2)[n-]1 ZINC000450415869 421195303 /nfs/dbraw/zinc/19/53/03/421195303.db2.gz FDMLARYRTFIKEX-YGRLFVJLSA-N -1 1 312.391 1.492 20 0 DDADMM C[C@@H]1CCCC[C@H]1CCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546800351 421310737 /nfs/dbraw/zinc/31/07/37/421310737.db2.gz ZQRAIWQOLIPIFX-MNOVXSKESA-N -1 1 303.366 1.364 20 0 DDADMM C[C@@H]1CN(CCNC(=O)c2cc3ccccc3cc2[O-])CCO1 ZINC000523777064 421248829 /nfs/dbraw/zinc/24/88/29/421248829.db2.gz IWSLAPIDYXZKAO-CYBMUJFWSA-N -1 1 314.385 1.996 20 0 DDADMM C[C@@H](NC(=O)c1cncc([O-])c1)[C@H](C)NC(=O)OC(C)(C)C ZINC000497226073 421390571 /nfs/dbraw/zinc/39/05/71/421390571.db2.gz HYFPIWMRBBOBLY-ZJUUUORDSA-N -1 1 309.366 1.819 20 0 DDADMM C[C@]1(Br)C[C@@H]1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000528600909 421499806 /nfs/dbraw/zinc/49/98/06/421499806.db2.gz VNGGJRCEMXIXID-XCBNKYQSSA-N -1 1 314.187 1.259 20 0 DDADMM Cc1c2ccc([N-]S(=O)(=O)C[C@@H]3CCCO3)cc2nn1C ZINC000563845318 421547658 /nfs/dbraw/zinc/54/76/58/421547658.db2.gz PTVSBBAVUYJWFU-LBPRGKRZSA-N -1 1 309.391 1.802 20 0 DDADMM Cc1cnc(SCC(=O)N2CCO[C@@H]3CCCC[C@H]32)[n-]c1=O ZINC000563637005 421530908 /nfs/dbraw/zinc/53/09/08/421530908.db2.gz NBOASNPVHDIUKQ-VXGBXAGGSA-N -1 1 323.418 1.753 20 0 DDADMM O=C(Nc1nncn1Cc1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000554511520 421644960 /nfs/dbraw/zinc/64/49/60/421644960.db2.gz YYMHALAZVLUEBA-UHFFFAOYSA-N -1 1 322.324 1.853 20 0 DDADMM CCC(CC)n1nccc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000532755889 421665032 /nfs/dbraw/zinc/66/50/32/421665032.db2.gz OOCLAIPGHLOFSU-UHFFFAOYSA-N -1 1 317.397 1.957 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000534288755 421687912 /nfs/dbraw/zinc/68/79/12/421687912.db2.gz BQIQXUNHMZNTKB-VXGBXAGGSA-N -1 1 322.386 1.626 20 0 DDADMM O=S(=O)([N-]CC1=CCOCC1)c1nc2ccccc2s1 ZINC000571161380 421692933 /nfs/dbraw/zinc/69/29/33/421692933.db2.gz JOLLXVPOOZFHSQ-UHFFFAOYSA-N -1 1 310.400 1.921 20 0 DDADMM O=C(c1cc2ccccc2[nH]c1=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538225761 421738120 /nfs/dbraw/zinc/73/81/20/421738120.db2.gz VWSQFGXMIMSNHF-LLVKDONJSA-N -1 1 324.344 1.473 20 0 DDADMM CCOC(=O)C1(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCCC1 ZINC000572002520 421758750 /nfs/dbraw/zinc/75/87/50/421758750.db2.gz FALNFNZJWFKAPE-NSHDSACASA-N -1 1 321.381 1.029 20 0 DDADMM NC(=O)c1cccc(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)n1 ZINC000541985317 421816650 /nfs/dbraw/zinc/81/66/50/421816650.db2.gz VWROPPKVIHREFL-UHFFFAOYSA-N -1 1 313.342 1.306 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cn3cccnc3n2)c1 ZINC000558641953 421816864 /nfs/dbraw/zinc/81/68/64/421816864.db2.gz DNJPUYAREORWDY-UHFFFAOYSA-N -1 1 312.285 1.474 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@H]1Cc1ccccc1 ZINC000542771529 421828922 /nfs/dbraw/zinc/82/89/22/421828922.db2.gz GOUHMEGQYHCOKN-ZDUSSCGKSA-N -1 1 323.356 1.265 20 0 DDADMM O=C(c1cccc2ccc(=O)[nH]c21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000521116036 421774363 /nfs/dbraw/zinc/77/43/63/421774363.db2.gz CUECRXGCXOEKEE-NSHDSACASA-N -1 1 324.344 1.473 20 0 DDADMM O=S(=O)(Cc1nc(C2CC2)cs1)c1n[n-]c(C2CC2)n1 ZINC000541259765 421790061 /nfs/dbraw/zinc/79/00/61/421790061.db2.gz UMDPDAVDEKHMKW-UHFFFAOYSA-N -1 1 310.404 1.990 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000630072971 421873495 /nfs/dbraw/zinc/87/34/95/421873495.db2.gz OLZOSDXCOVDHDE-DOMZBBRYSA-N -1 1 307.394 1.899 20 0 DDADMM CC[C@@H](NC(C)=O)C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000633421656 421892599 /nfs/dbraw/zinc/89/25/99/421892599.db2.gz JQBCMSLTDDZGEZ-LLVKDONJSA-N -1 1 322.315 1.630 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1cccc(Cl)c1[O-])N(C)C1CC1 ZINC000631895202 421919262 /nfs/dbraw/zinc/91/92/62/421919262.db2.gz OKIDTDVYWGQPNH-VIFPVBQESA-N -1 1 318.826 1.807 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NC[C@@H](C(=O)[O-])c1ccc(F)cc1 ZINC000630177223 421938518 /nfs/dbraw/zinc/93/85/18/421938518.db2.gz HRKXNBZBTLURSD-IINYFYTJSA-N -1 1 319.336 1.712 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(C(=O)c2cnccc2N(C)C)C1 ZINC000630183600 421944183 /nfs/dbraw/zinc/94/41/83/421944183.db2.gz YENYDLIYLFIRTR-INIZCTEOSA-N -1 1 321.377 1.101 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C2(C(F)F)CCC2)CC1 ZINC000630218161 421968809 /nfs/dbraw/zinc/96/88/09/421968809.db2.gz CUCWADYFLVZPCZ-JTQLQIEISA-N -1 1 304.337 1.429 20 0 DDADMM CO[C@H](C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1ccccc1 ZINC000630219972 421970289 /nfs/dbraw/zinc/97/02/89/421970289.db2.gz KOOROLONHUVCEM-BBRMVZONSA-N -1 1 320.389 1.382 20 0 DDADMM CC(C)Cn1nccc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630221375 421970596 /nfs/dbraw/zinc/97/05/96/421970596.db2.gz ZBXHNFRRHCMSAO-CYBMUJFWSA-N -1 1 322.409 1.160 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H](C)C[C@H](C)O)c1 ZINC000632018639 422025173 /nfs/dbraw/zinc/02/51/73/422025173.db2.gz GTJAYGFILOWLED-UWVGGRQHSA-N -1 1 303.380 1.086 20 0 DDADMM CC(C)c1nc(CCNC(=O)CCCc2nn[n-]n2)cs1 ZINC000635476302 422026067 /nfs/dbraw/zinc/02/60/67/422026067.db2.gz QIFHHRNIZBGHTR-UHFFFAOYSA-N -1 1 308.411 1.461 20 0 DDADMM CCOC(=O)c1c(C)[nH]nc1CSc1n[n-]c(=N)n1C1CC1 ZINC000581440315 421976670 /nfs/dbraw/zinc/97/66/70/421976670.db2.gz CUUJQVCJSSAENE-UHFFFAOYSA-N -1 1 322.394 1.526 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C(C)(C)C2CC2)c(C(F)(F)F)n1 ZINC000581536339 421993471 /nfs/dbraw/zinc/99/34/71/421993471.db2.gz KMWGXNULTNNIDT-UHFFFAOYSA-N -1 1 311.329 1.906 20 0 DDADMM CCOC[C@@H]1CCN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000632065153 422063694 /nfs/dbraw/zinc/06/36/94/422063694.db2.gz VDZGDXQVXHAQCY-LLVKDONJSA-N -1 1 315.391 1.448 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)c2cc(O)cc(F)c2)C[C@@H]1C(=O)[O-] ZINC000630444368 422106697 /nfs/dbraw/zinc/10/66/97/422106697.db2.gz DVWGAWHOPSJROH-UFBFGSQYSA-N -1 1 317.338 1.263 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])c1cc(N2CCOCC2)ncn1 ZINC000633688676 422049576 /nfs/dbraw/zinc/04/95/76/422049576.db2.gz VRPUYANNVYCACO-UHFFFAOYSA-N -1 1 318.308 1.410 20 0 DDADMM CCC[C@@H](C(=O)N=c1[nH][n-]c(C)c1C(=O)NC)c1ccccn1 ZINC000633690694 422054009 /nfs/dbraw/zinc/05/40/09/422054009.db2.gz IAXMZGRKSSOVTM-LLVKDONJSA-N -1 1 315.377 1.417 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2([C@H](C)CCO)CCC2)sn1 ZINC000632183880 422146192 /nfs/dbraw/zinc/14/61/92/422146192.db2.gz IHJXXBSNBDMQDO-SNVBAGLBSA-N -1 1 318.464 1.919 20 0 DDADMM CN1CC=C(CNS(=O)(=O)c2cccc(Cl)c2[O-])CC1 ZINC000632184857 422148558 /nfs/dbraw/zinc/14/85/58/422148558.db2.gz XUEJXYUAFOFRDX-UHFFFAOYSA-N -1 1 316.810 1.586 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@@H]1C(=O)[O-] ZINC000583435199 422163559 /nfs/dbraw/zinc/16/35/59/422163559.db2.gz XEWHBUHJKJRCTE-RNCFNFMXSA-N -1 1 303.318 1.995 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C)c2nnc(C)s2)sn1 ZINC000632211538 422167562 /nfs/dbraw/zinc/16/75/62/422167562.db2.gz PMLQSIBJSRVFAI-ZCFIWIBFSA-N -1 1 304.422 1.651 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCO[C@H](C)C2)c1 ZINC000632172282 422135962 /nfs/dbraw/zinc/13/59/62/422135962.db2.gz IVWOADYOHCTDKP-NXEZZACHSA-N -1 1 301.364 1.247 20 0 DDADMM C[C@H]1Cc2cc(F)ccc2[C@H](C)N1C(=O)CCc1nn[n-]n1 ZINC000632262394 422204470 /nfs/dbraw/zinc/20/44/70/422204470.db2.gz RWGSZTQUKDKLKD-UWVGGRQHSA-N -1 1 303.341 1.806 20 0 DDADMM CCOc1ccc([C@@H]2CCCN2C(=O)CCc2nn[n-]n2)cc1 ZINC000630597607 422210351 /nfs/dbraw/zinc/21/03/51/422210351.db2.gz VTTVYJSYCXFDMN-AWEZNQCLSA-N -1 1 315.377 1.895 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2(CO)CC3(CCC3)C2)sn1 ZINC000632286292 422222534 /nfs/dbraw/zinc/22/25/34/422222534.db2.gz NXEOZLRAWDFHLD-UHFFFAOYSA-N -1 1 316.448 1.673 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCCCOc1ccc(F)cc1 ZINC000630615705 422222926 /nfs/dbraw/zinc/22/29/26/422222926.db2.gz VXQBHFKLLJCTRC-UHFFFAOYSA-N -1 1 307.329 1.247 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCO[C@@H](c2ccsc2)C1 ZINC000635682843 422238674 /nfs/dbraw/zinc/23/86/74/422238674.db2.gz SEHMGVCGUXYMQP-LLVKDONJSA-N -1 1 307.379 1.184 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CCC(=O)C1 ZINC000584054786 422248778 /nfs/dbraw/zinc/24/87/78/422248778.db2.gz JNVKOPJGVHFGEM-SNVBAGLBSA-N -1 1 302.334 1.037 20 0 DDADMM CCn1cc(CNS(=O)(=O)c2cc(OC)ccc2[O-])cn1 ZINC000632333784 422254484 /nfs/dbraw/zinc/25/44/84/422254484.db2.gz ITIXKUKCWNCVSH-UHFFFAOYSA-N -1 1 311.363 1.096 20 0 DDADMM Cc1cnc(C(=O)N2CCC(CCO)(CCO)CC2)c([O-])c1 ZINC000634109514 422267548 /nfs/dbraw/zinc/26/75/48/422267548.db2.gz PXEMYWUAMYDTJS-UHFFFAOYSA-N -1 1 308.378 1.083 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-][C@@H]1CC[C@@](O)(C(F)(F)F)C1 ZINC000632358576 422273267 /nfs/dbraw/zinc/27/32/67/422273267.db2.gz SFDUMZRPTHSIQT-APPZFPTMSA-N -1 1 314.285 1.107 20 0 DDADMM Cn1ncc(C(F)(F)F)c1S(=O)(=O)[N-]CC(C)(F)F ZINC000632371122 422285073 /nfs/dbraw/zinc/28/50/73/422285073.db2.gz VKGSNLXEADJCAZ-UHFFFAOYSA-N -1 1 307.244 1.372 20 0 DDADMM CCN(C)C(=O)[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)C(C)C ZINC000632393778 422302426 /nfs/dbraw/zinc/30/24/26/422302426.db2.gz ZTDNJUFTAIUVQD-NSHDSACASA-N -1 1 319.452 1.233 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CS1 ZINC000632456189 422360301 /nfs/dbraw/zinc/36/03/01/422360301.db2.gz WZAPZKQZEQYWRC-NTSWFWBYSA-N -1 1 315.342 1.601 20 0 DDADMM CCCCOc1ccc(CCNC(=O)CCc2nn[n-]n2)cc1 ZINC000630759201 422320835 /nfs/dbraw/zinc/32/08/35/422320835.db2.gz FBMVMIIZKLITEL-UHFFFAOYSA-N -1 1 317.393 1.670 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H](CO)CC2CC2)c1 ZINC000632562210 422437783 /nfs/dbraw/zinc/43/77/83/422437783.db2.gz IYXNOCDPSLWXSJ-LLVKDONJSA-N -1 1 315.391 1.088 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C2CC2)C2CCOCC2)sn1 ZINC000632509888 422401894 /nfs/dbraw/zinc/40/18/94/422401894.db2.gz RFFQGLXEEATPPD-ZDUSSCGKSA-N -1 1 316.448 1.935 20 0 DDADMM CCN(CC)S(=O)(=O)CC(=O)Nc1cccc(F)c1[O-] ZINC000628960840 422423185 /nfs/dbraw/zinc/42/31/85/422423185.db2.gz ZICZZMYOQCKJJN-UHFFFAOYSA-N -1 1 304.343 1.141 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Cl)s1)[C@H](O)C(F)(F)F ZINC000632673503 422509441 /nfs/dbraw/zinc/50/94/41/422509441.db2.gz MTHBBVOGCRPIJU-FBCQKBJTSA-N -1 1 323.745 1.992 20 0 DDADMM Cc1[n-]n(-c2ccc(S(=O)(=O)N(C)C)cc2)c(=O)c1C1CC1 ZINC000634628600 422513855 /nfs/dbraw/zinc/51/38/55/422513855.db2.gz AYVQUMYISDXRTJ-AWEZNQCLSA-N -1 1 321.402 1.686 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC(=O)C2CCCC2)c1 ZINC000632599664 422464224 /nfs/dbraw/zinc/46/42/24/422464224.db2.gz YLJMMUNGYSQOIT-UHFFFAOYSA-N -1 1 313.375 1.438 20 0 DDADMM Cc1nc(S[C@H](C)C(=O)N2CCNC2=O)[n-]c(=O)c1C1CC1 ZINC000631025119 422476928 /nfs/dbraw/zinc/47/69/28/422476928.db2.gz PPNBQOBFVZUYRW-MRVPVSSYSA-N -1 1 322.390 1.400 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC000635944674 422496894 /nfs/dbraw/zinc/49/68/94/422496894.db2.gz ARTFWFBDFCBPGM-SMDDNHRTSA-N -1 1 305.382 1.083 20 0 DDADMM CO[C@@H]1CN(C[C@H](O)COc2cccc(C)c2)[C@@](C)(C(=O)[O-])C1 ZINC000634695050 422553945 /nfs/dbraw/zinc/55/39/45/422553945.db2.gz OMPLAJUQKOUGBA-JLJPHGGASA-N -1 1 323.389 1.299 20 0 DDADMM O=C(N1CCC[C@H](c2n[n-]c(=O)o2)C1)C1(c2ccccn2)CC1 ZINC000632843300 422621962 /nfs/dbraw/zinc/62/19/62/422621962.db2.gz MMTPJMOOTASXTR-NSHDSACASA-N -1 1 314.345 1.608 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H]1CCC(=O)NC1 ZINC000629374335 422636028 /nfs/dbraw/zinc/63/60/28/422636028.db2.gz RRDMQDMHOLJGKM-JTQLQIEISA-N -1 1 300.318 1.043 20 0 DDADMM COC(=O)C[C@@H](C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000579116944 422710166 /nfs/dbraw/zinc/71/01/66/422710166.db2.gz DQOWKWDYBKONOW-ZCFIWIBFSA-N -1 1 311.281 1.334 20 0 DDADMM C[C@@H]1C[C@H](CO)CCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000629480159 422692759 /nfs/dbraw/zinc/69/27/59/422692759.db2.gz MSNXGTKEZNFDCK-GHMZBOCLSA-N -1 1 301.346 1.156 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CO1 ZINC000645860295 423216919 /nfs/dbraw/zinc/21/69/19/423216919.db2.gz WBHLZWYEYSCBAW-SFYZADRCSA-N -1 1 310.297 1.556 20 0 DDADMM CCN(CC)CCS(=O)(=O)Nc1cccc(C(=O)[O-])c1 ZINC000645937347 423252133 /nfs/dbraw/zinc/25/21/33/423252133.db2.gz YAESBLLCZMINAZ-UHFFFAOYSA-N -1 1 300.380 1.468 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@@H]3CC[C@H](C(=O)[O-])C3)C2)n[nH]1 ZINC000653284267 423418827 /nfs/dbraw/zinc/41/88/27/423418827.db2.gz AKANYRKHGXRAAO-WOPDTQHZSA-N -1 1 306.366 1.320 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cc(F)ccc3F)nc2n1 ZINC000643909182 423402223 /nfs/dbraw/zinc/40/22/23/423402223.db2.gz KFLFJUMXZHCGAB-UHFFFAOYSA-N -1 1 305.244 1.257 20 0 DDADMM CC(=O)N(CCC(=O)Nc1c(C)[n-][nH]c1=O)Cc1ccccc1 ZINC000646409276 423478124 /nfs/dbraw/zinc/47/81/24/423478124.db2.gz NLTPITPAXNQZAO-UHFFFAOYSA-N -1 1 316.361 1.801 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(N2CCCC2=O)ccc1F ZINC000646411413 423479859 /nfs/dbraw/zinc/47/98/59/423479859.db2.gz FMOLSZGWSBMRAP-UHFFFAOYSA-N -1 1 318.308 1.942 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCCN(C(=O)C(C)(C)C)C1 ZINC000646410391 423480798 /nfs/dbraw/zinc/48/07/98/423480798.db2.gz GZJGXTYJJJEZOJ-JTQLQIEISA-N -1 1 308.382 1.647 20 0 DDADMM O=C(c1cccc2n[nH]cc21)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000648893965 423525655 /nfs/dbraw/zinc/52/56/55/423525655.db2.gz CYHIPYZFYPMDAP-VIFPVBQESA-N -1 1 312.333 1.406 20 0 DDADMM O=C(c1cn(-c2ccccc2)cn1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000651561370 423595124 /nfs/dbraw/zinc/59/51/24/423595124.db2.gz JEILWCDKBRWFNS-LBPRGKRZSA-N -1 1 323.360 1.405 20 0 DDADMM CS(=O)(=O)N1CC(CSc2nc(C3CC3)cc(=O)[n-]2)C1 ZINC000641476059 423659313 /nfs/dbraw/zinc/65/93/13/423659313.db2.gz GVFSNMSTRWGUQV-UHFFFAOYSA-N -1 1 315.420 1.043 20 0 DDADMM O=C(c1cn(C2CCC2)nn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000649219190 423684417 /nfs/dbraw/zinc/68/44/17/423684417.db2.gz VPQFKYMYDQVLGW-SECBINFHSA-N -1 1 318.337 1.112 20 0 DDADMM C[C@]1(CS(=O)(=O)[N-]Cc2nc(C(F)F)no2)CC1(F)F ZINC000641543462 423710931 /nfs/dbraw/zinc/71/09/31/423710931.db2.gz UNSNMTDZLMESQE-MRVPVSSYSA-N -1 1 317.264 1.472 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)C[C@H](OC)C2CC2)cc1 ZINC000647123155 423762801 /nfs/dbraw/zinc/76/28/01/423762801.db2.gz ZVVVJYKFXCOOGH-ZDUSSCGKSA-N -1 1 312.391 1.213 20 0 DDADMM CCC[C@H](C)NC(=O)[C@H](C)N1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639823933 423758604 /nfs/dbraw/zinc/75/86/04/423758604.db2.gz FJPJEJCLFZRIJT-SDDRHHMPSA-N -1 1 309.414 1.355 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1scc2c1OCCO2 ZINC000654807223 423927955 /nfs/dbraw/zinc/92/79/55/423927955.db2.gz ZTSDCGBQYVBQSC-UHFFFAOYSA-N -1 1 321.362 1.232 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H]1OCc2ccccc21)c1nn[n-]n1 ZINC000654869793 423988261 /nfs/dbraw/zinc/98/82/61/423988261.db2.gz AASLDDOVRBYDNZ-OLZOCXBDSA-N -1 1 301.350 1.819 20 0 DDADMM O=C([O-])C1CCN(c2nc(C(F)(F)F)nc3[nH]cnc32)CC1 ZINC000642115469 424112314 /nfs/dbraw/zinc/11/23/14/424112314.db2.gz BVDBWLHXJAHXAL-UHFFFAOYSA-N -1 1 315.255 1.673 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@H]2Cc2ccccc2F)nc1=O ZINC000649794286 424210146 /nfs/dbraw/zinc/21/01/46/424210146.db2.gz GMURPWMZNZWLND-ZDUSSCGKSA-N -1 1 304.369 1.845 20 0 DDADMM O=C([O-])COc1ccc(C(=O)N[C@H]2CCCc3cn[nH]c32)cc1 ZINC000659758585 424222625 /nfs/dbraw/zinc/22/26/25/424222625.db2.gz UJLFYBPMJBQPAM-ZDUSSCGKSA-N -1 1 315.329 1.681 20 0 DDADMM O=S(=O)(N[C@H]1CC[N@H+](C2CCCCC2)C1)c1cscn1 ZINC000657161279 424166851 /nfs/dbraw/zinc/16/68/51/424166851.db2.gz NNIDHYMISFSYDJ-NSHDSACASA-N -1 1 315.464 1.828 20 0 DDADMM CCN(C(=O)c1cc(-c2c(C)nn(C)c2C)[nH]n1)[C@H](C)C(=O)[O-] ZINC000659770454 424240785 /nfs/dbraw/zinc/24/07/85/424240785.db2.gz FKSLFIXWPSYNBA-SNVBAGLBSA-N -1 1 319.365 1.362 20 0 DDADMM C[C@H](CO)N(C[C@H](C)O)C(=O)N=c1[n-]sc2ccccc21 ZINC000640338748 424358752 /nfs/dbraw/zinc/35/87/52/424358752.db2.gz GPBKMKACURSCBD-ZJUUUORDSA-N -1 1 309.391 1.314 20 0 DDADMM CN(CC(=O)N1CCCC1)C(=O)N=c1[n-]sc2ccccc21 ZINC000640338608 424359785 /nfs/dbraw/zinc/35/97/85/424359785.db2.gz BEZHAJLSWCWYIG-UHFFFAOYSA-N -1 1 318.402 1.804 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CCN2CCOC[C@H]2C1 ZINC000640338727 424359846 /nfs/dbraw/zinc/35/98/46/424359846.db2.gz GLMZUHYWCMECHW-LLVKDONJSA-N -1 1 318.402 1.267 20 0 DDADMM Cn1[n-]c(CN2CC[C@@H]2c2ccc(Br)cc2)nc1=O ZINC000662094389 424389650 /nfs/dbraw/zinc/38/96/50/424389650.db2.gz MDCLMBHUXQBNMP-LLVKDONJSA-N -1 1 323.194 1.818 20 0 DDADMM CCN(CCS(=O)(=O)c1cccc(OC)c1)[C@H](C)C(=O)[O-] ZINC000662218343 424483042 /nfs/dbraw/zinc/48/30/42/424483042.db2.gz XUCIYAPSSOGYDN-LLVKDONJSA-N -1 1 315.391 1.264 20 0 DDADMM C[C@H](CNC(=O)NC[C@H](c1cccc(F)c1)N(C)C)C(=O)[O-] ZINC000655860965 424684037 /nfs/dbraw/zinc/68/40/37/424684037.db2.gz YKOGNPWXKWWKAP-ZWNOBZJWSA-N -1 1 311.357 1.448 20 0 DDADMM C[C@@H](CNC(=O)N1CC(N(C)Cc2ccccc2)C1)C(=O)[O-] ZINC000655880517 424689912 /nfs/dbraw/zinc/68/99/12/424689912.db2.gz AXPMRMPNEUBPSU-LBPRGKRZSA-N -1 1 305.378 1.233 20 0 DDADMM CCC[C@H](C)[C@@H]1CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000344155752 271959106 /nfs/dbraw/zinc/95/91/06/271959106.db2.gz FGEWORUNYNIFPZ-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CCOC(=O)C[C@H](C)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000345313816 272216706 /nfs/dbraw/zinc/21/67/06/272216706.db2.gz GYAWQZADECFSDO-VIFPVBQESA-N -1 1 320.349 1.088 20 0 DDADMM Cn1[n-]c(CN(CC(=O)OC(C)(C)C)c2ccccc2)nc1=O ZINC000347482195 272705043 /nfs/dbraw/zinc/70/50/43/272705043.db2.gz NRTGNWIEOGUXJV-UHFFFAOYSA-N -1 1 318.377 1.457 20 0 DDADMM CCc1ccc([C@@H](C)NC(=O)CS(=O)(=O)c2nc[n-]n2)cc1 ZINC000086169571 281015744 /nfs/dbraw/zinc/01/57/44/281015744.db2.gz JOIRTHANCYINKT-SNVBAGLBSA-N -1 1 322.390 1.018 20 0 DDADMM O=C(Nc1nc(-c2ccco2)n[nH]1)c1cccc(-n2ccnn2)c1 ZINC000129836094 281359204 /nfs/dbraw/zinc/35/92/04/281359204.db2.gz VPJFTVCIGNEMNB-UHFFFAOYSA-N -1 1 321.300 1.898 20 0 DDADMM C[C@H]1C(=O)NCCN1C(=O)c1ccc(Br)c([O-])c1 ZINC000131457811 281416111 /nfs/dbraw/zinc/41/61/11/281416111.db2.gz WBLGOKBZOQTPIK-ZETCQYMHSA-N -1 1 313.151 1.115 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000152162814 281723866 /nfs/dbraw/zinc/72/38/66/281723866.db2.gz XRARMXRBXUCXEC-VXGBXAGGSA-N -1 1 322.386 1.755 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(Cc2ccn(-c3ccccc3)n2)C1 ZINC000324415560 298262875 /nfs/dbraw/zinc/26/28/75/298262875.db2.gz REVFGBSGSDGKFW-KRWDZBQOSA-N -1 1 315.373 1.795 20 0 DDADMM O=C(Nc1cccc2ccc(O)cc21)c1cc(=O)n2[n-]cnc2n1 ZINC000353984737 298329542 /nfs/dbraw/zinc/32/95/42/298329542.db2.gz UVMCMSNWLBMQTN-UHFFFAOYSA-N -1 1 321.296 1.529 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCCC2(CCCC2)C1 ZINC000354213528 298366985 /nfs/dbraw/zinc/36/69/85/298366985.db2.gz YEQZMMSYXBRLMT-UHFFFAOYSA-N -1 1 317.389 1.700 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC[C@@H]1[C@@H]1CCCCC1=O ZINC000132800018 304610726 /nfs/dbraw/zinc/61/07/26/304610726.db2.gz SGMROKZLWAGKHC-NWDGAFQWSA-N -1 1 304.346 1.388 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)[C@H]2CCOC2)o1 ZINC000355321397 298712615 /nfs/dbraw/zinc/71/26/15/298712615.db2.gz ILQWLFVCIRMYPM-ZJUUUORDSA-N -1 1 317.363 1.160 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(Cc3cc(C)on3)no2)o1 ZINC000356905403 298907436 /nfs/dbraw/zinc/90/74/36/298907436.db2.gz BCSHFXWLWLHRCX-UHFFFAOYSA-N -1 1 324.318 1.125 20 0 DDADMM CCOCCOC[C@H](O)CN=c1[n-]c(C(F)(F)F)cs1 ZINC000358058977 299060069 /nfs/dbraw/zinc/06/00/69/299060069.db2.gz QNAWATSSHPPHAY-MRVPVSSYSA-N -1 1 314.329 1.410 20 0 DDADMM C[C@@H](Cc1cccc(F)c1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363516833 300279316 /nfs/dbraw/zinc/27/93/16/300279316.db2.gz CRIWCDWTMLJOAG-GWCFXTLKSA-N -1 1 319.340 1.118 20 0 DDADMM C[C@H](Cc1cccc(F)c1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363516837 300279606 /nfs/dbraw/zinc/27/96/06/300279606.db2.gz CRIWCDWTMLJOAG-MFKMUULPSA-N -1 1 319.340 1.118 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C2(C3CC3)CCOCC2)c1Cl ZINC000363803181 300309508 /nfs/dbraw/zinc/30/95/08/300309508.db2.gz SILIVHLYTCVKSA-UHFFFAOYSA-N -1 1 319.814 1.311 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@]1(C)CCO[C@H]1C1CC1)c2=O ZINC000363843770 300316300 /nfs/dbraw/zinc/31/63/00/300316300.db2.gz HDXBPOVCHSAMQH-BBRMVZONSA-N -1 1 316.361 1.361 20 0 DDADMM CC(C)(C)C(=O)N1CCc2cc(C(=O)Nc3nnn[n-]3)ccc21 ZINC000364236960 300362011 /nfs/dbraw/zinc/36/20/11/300362011.db2.gz ISEZXONTMBUOFB-UHFFFAOYSA-N -1 1 314.349 1.387 20 0 DDADMM CC(C)(C)C(=O)N1CCc2cc(C(=O)Nc3nn[n-]n3)ccc21 ZINC000364236960 300362012 /nfs/dbraw/zinc/36/20/12/300362012.db2.gz ISEZXONTMBUOFB-UHFFFAOYSA-N -1 1 314.349 1.387 20 0 DDADMM Cc1noc(C2CN(C(=O)c3c([O-])cnc4ccccc43)C2)n1 ZINC000365249668 300490756 /nfs/dbraw/zinc/49/07/56/300490756.db2.gz ZCQNGFJLZAJANB-UHFFFAOYSA-N -1 1 310.313 1.871 20 0 DDADMM FC(F)c1n[n-]c(=NCC2(N3CCOCC3)CCCCC2)o1 ZINC000368387519 301017051 /nfs/dbraw/zinc/01/70/51/301017051.db2.gz JPJYRYIARLULHW-UHFFFAOYSA-N -1 1 316.352 1.876 20 0 DDADMM Cc1noc(C)c1CO[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000369892995 301236014 /nfs/dbraw/zinc/23/60/14/301236014.db2.gz NAOCZDMTGQMQIE-LBPRGKRZSA-N -1 1 317.345 1.823 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@@H]1CC(=O)N(c2ccccn2)C1 ZINC000370134348 301281220 /nfs/dbraw/zinc/28/12/20/301281220.db2.gz UYKPJNYZCSKBHF-MRVPVSSYSA-N -1 1 303.240 1.045 20 0 DDADMM Cc1csc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1Cl ZINC000376073094 302035786 /nfs/dbraw/zinc/03/57/86/302035786.db2.gz YEWYLVGTNRQTRB-SSDOTTSWSA-N -1 1 313.770 1.437 20 0 DDADMM C[C@@H]1Oc2ccccc2[C@@H]1NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000377491348 302184410 /nfs/dbraw/zinc/18/44/10/302184410.db2.gz MZWAOKDQHIEIHM-PKJYWQBISA-N -1 1 323.356 1.986 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC[C@H](Cc2ccc(Cl)cc2)C1 ZINC000378591525 302340734 /nfs/dbraw/zinc/34/07/34/302340734.db2.gz QEIIKGWDVSZKPT-CYBMUJFWSA-N -1 1 324.808 1.795 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cccc2cc(=O)[nH]cc21 ZINC000528597629 303047963 /nfs/dbraw/zinc/04/79/63/303047963.db2.gz DCYGGPVTGJPXPZ-UHFFFAOYSA-N -1 1 324.344 1.653 20 0 DDADMM Cc1oc(C(=O)[N-]c2n[nH]c(C3CCC3)n2)cc1S(C)(=O)=O ZINC000529034955 303083285 /nfs/dbraw/zinc/08/32/85/303083285.db2.gz QJSGOFMVBBZRTO-UHFFFAOYSA-N -1 1 324.362 1.629 20 0 DDADMM CC1(C)C[C@H](S(=O)(=O)[N-]C(=O)c2cccc3cn[nH]c32)CO1 ZINC000529105189 303090133 /nfs/dbraw/zinc/09/01/33/303090133.db2.gz LIQVPYLWXRFLTL-JTQLQIEISA-N -1 1 323.374 1.190 20 0 DDADMM Cc1cnc(C(=O)N[C@@]2(CO)COc3ccccc3C2)c([O-])c1 ZINC000529822521 303157062 /nfs/dbraw/zinc/15/70/62/303157062.db2.gz RXCMPXNLTSAUDX-KRWDZBQOSA-N -1 1 314.341 1.192 20 0 DDADMM CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)Nc1cccc(F)c1[O-] ZINC000530005078 303169868 /nfs/dbraw/zinc/16/98/68/303169868.db2.gz ICBJKWYOAKVDCK-LLVKDONJSA-N -1 1 318.370 1.434 20 0 DDADMM C[C@@H]1CN(Cc2ccc(-n3cccn3)cc2)C[C@@H](C(=O)[O-])O1 ZINC000530169046 303178665 /nfs/dbraw/zinc/17/86/65/303178665.db2.gz FJGAQEZUBMIGLE-DOMZBBRYSA-N -1 1 301.346 1.546 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2cncc(Cl)c2)o1 ZINC000532858115 303299063 /nfs/dbraw/zinc/29/90/63/303299063.db2.gz DYBOKIKCLFPAJI-ZCFIWIBFSA-N -1 1 302.743 1.466 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cnn(C)c2C(=O)[O-])cc1C ZINC000537639545 303377305 /nfs/dbraw/zinc/37/73/05/303377305.db2.gz VPKGFTAZOCHMFB-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM C[C@](O)(C1CCN(C(=O)c2cncc([O-])c2)CC1)C(F)(F)F ZINC000546664492 303515195 /nfs/dbraw/zinc/51/51/95/303515195.db2.gz WGNVQQQWTFUGDV-ZDUSSCGKSA-N -1 1 318.295 1.953 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NC[C@H](CO)C2CC2)[n-]n1 ZINC000357790476 306914987 /nfs/dbraw/zinc/91/49/87/306914987.db2.gz XOWNNDAKMYPYOI-GFCCVEGCSA-N -1 1 304.394 1.001 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2CCCOC2)c1Br ZINC000359479900 306955821 /nfs/dbraw/zinc/95/58/21/306955821.db2.gz HIFQNLCSQCUXTG-ZETCQYMHSA-N -1 1 302.172 1.522 20 0 DDADMM COc1ccc(C2CCN(CC(=O)NCC(=O)[O-])CC2)cc1 ZINC000364710588 307050136 /nfs/dbraw/zinc/05/01/36/307050136.db2.gz XEIPZODZABWYSG-UHFFFAOYSA-N -1 1 306.362 1.075 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H]4OCC[C@H]4C3)cnc2n1 ZINC000364803902 307051794 /nfs/dbraw/zinc/05/17/94/307051794.db2.gz CENRLCOOIAQZCL-SMDDNHRTSA-N -1 1 313.357 1.895 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC[C@H]3OCC[C@H]3C1)c2=O ZINC000364803902 307051795 /nfs/dbraw/zinc/05/17/95/307051795.db2.gz CENRLCOOIAQZCL-SMDDNHRTSA-N -1 1 313.357 1.895 20 0 DDADMM O=C([N-]CCCN1CC(O)(c2ccc(F)cc2)C1)C(F)(F)F ZINC000367074814 307084549 /nfs/dbraw/zinc/08/45/49/307084549.db2.gz PYWULUFCOWSTHD-UHFFFAOYSA-N -1 1 320.286 1.398 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1ccccc1-c1nnc[nH]1 ZINC000367505980 307095679 /nfs/dbraw/zinc/09/56/79/307095679.db2.gz RXQZKXOGHDAXPD-UHFFFAOYSA-N -1 1 318.362 1.314 20 0 DDADMM CCNS(=O)(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000371014740 307140805 /nfs/dbraw/zinc/14/08/05/307140805.db2.gz BNDCKKDOLGPCBX-UHFFFAOYSA-N -1 1 312.391 1.141 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(C[C@H]3CC4(CCC4)C(=O)O3)C2)n[nH]1 ZINC000377522401 307271587 /nfs/dbraw/zinc/27/15/87/307271587.db2.gz DRUUSKHOQVXXJT-GHMZBOCLSA-N -1 1 306.366 1.176 20 0 DDADMM Cc1ccc(C[C@@H](C)C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000378508545 307290727 /nfs/dbraw/zinc/29/07/27/307290727.db2.gz QHIJURDTDOGDJP-TZMCWYRMSA-N -1 1 315.377 1.287 20 0 DDADMM Cc1ccsc1-c1nc(=NC(=O)N2CCN[C@H](C)C2)s[n-]1 ZINC000516632786 307418555 /nfs/dbraw/zinc/41/85/55/307418555.db2.gz PPNYWDWEIHFYMU-SECBINFHSA-N -1 1 323.447 1.823 20 0 DDADMM C[C@@](O)(C1CCN(C(=O)c2ncccc2[O-])CC1)C(F)(F)F ZINC000547654995 307741781 /nfs/dbraw/zinc/74/17/81/307741781.db2.gz IINAAIWMEQFVBQ-CYBMUJFWSA-N -1 1 318.295 1.953 20 0 DDADMM C[C@@]1(C(=O)[O-])CCCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000564641690 308001145 /nfs/dbraw/zinc/00/11/45/308001145.db2.gz OWHLMZJHVUHHIV-OAHLLOKOSA-N -1 1 303.318 1.997 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OC ZINC000564913448 308011405 /nfs/dbraw/zinc/01/14/05/308011405.db2.gz ZDXWOIFTHKDEOX-JOYOIKCWSA-N -1 1 318.395 1.380 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1Cc2ccccc2C1 ZINC000565704999 308037454 /nfs/dbraw/zinc/03/74/54/308037454.db2.gz KFEAIFZNHUDZIX-UHFFFAOYSA-N -1 1 315.329 1.041 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)Cc1cc(C)no1)C(=O)OC ZINC000566167603 308047665 /nfs/dbraw/zinc/04/76/65/308047665.db2.gz SAVUTQGNRSNANR-CABZTGNLSA-N -1 1 318.395 1.380 20 0 DDADMM C[C@@H]1OCC[C@H]1N(CCO)Cc1nc(=O)c2sccc2[n-]1 ZINC000570340299 308166950 /nfs/dbraw/zinc/16/69/50/308166950.db2.gz KZTOARHKJUVFLX-GXSJLCMTSA-N -1 1 309.391 1.369 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2C[C@H](C)n3ccnc32)sc1C ZINC000571393905 308195256 /nfs/dbraw/zinc/19/52/56/308195256.db2.gz IXYRIXRKXRZJQB-XVKPBYJWSA-N -1 1 312.420 1.941 20 0 DDADMM CC[C@](C)(O)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000575176820 308271998 /nfs/dbraw/zinc/27/19/98/308271998.db2.gz GKGFJDZRZBGKHL-LBPRGKRZSA-N -1 1 300.405 1.736 20 0 DDADMM C[C@@H](CCCO)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000581069847 308651756 /nfs/dbraw/zinc/65/17/56/308651756.db2.gz VGTXBTFBCFTFPL-VIFPVBQESA-N -1 1 300.405 1.736 20 0 DDADMM COc1ccc(NC(=O)c2cncc([O-])c2)cc1NC(N)=O ZINC000355505429 325722162 /nfs/dbraw/zinc/72/21/62/325722162.db2.gz QIVOCYLERKXGFY-UHFFFAOYSA-N -1 1 302.290 1.539 20 0 DDADMM CN(C)C(=O)Nc1ccc(CNC(=O)c2cncc([O-])c2)cc1 ZINC000355505802 325722592 /nfs/dbraw/zinc/72/25/92/325722592.db2.gz YXXJWRLPBUGLSL-UHFFFAOYSA-N -1 1 314.345 1.811 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(Br)ccc2[O-])C[C@@H]1O ZINC000387865856 325768037 /nfs/dbraw/zinc/76/80/37/325768037.db2.gz MMMFVFOVPRIBSU-HQJQHLMTSA-N -1 1 300.152 1.608 20 0 DDADMM CCc1nc(S[C@H](C)C(=O)N2CCNC2=O)[n-]c(=O)c1C ZINC000582192643 325988301 /nfs/dbraw/zinc/98/83/01/325988301.db2.gz PAXZEOBEUNBYMK-MRVPVSSYSA-N -1 1 310.379 1.085 20 0 DDADMM COc1ccc(NC(=O)CN2C[C@H](C(=O)[O-])CC[C@H]2C)cc1 ZINC000396999784 337243212 /nfs/dbraw/zinc/24/32/12/337243212.db2.gz DQXVYZOXPUGDME-VXGBXAGGSA-N -1 1 306.362 1.819 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C)O[C@@]3(CCO[C@@H](C)C3)C2)c([O-])c1 ZINC000584388828 337245589 /nfs/dbraw/zinc/24/55/89/337245589.db2.gz ODABLSHXLQXGOK-GDZNZVCISA-N -1 1 320.389 1.894 20 0 DDADMM O=C(CN1CCC[C@H]1Cc1cc(F)ccc1F)Nc1nn[n-]n1 ZINC000583194136 337264445 /nfs/dbraw/zinc/26/44/45/337264445.db2.gz NECTXQFJFSNKCN-NSHDSACASA-N -1 1 322.319 1.124 20 0 DDADMM C[C@H](N=c1ccc(N(C)[C@@H]2COC[C@H]2O)n[n-]1)c1ccccc1 ZINC000583808565 337335829 /nfs/dbraw/zinc/33/58/29/337335829.db2.gz JKJKHKAZIXEVHS-NWANDNLSSA-N -1 1 314.389 1.268 20 0 DDADMM CO[C@](C)(C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000372372161 483934231 /nfs/dbraw/zinc/93/42/31/483934231.db2.gz CCBSYYAHJUPZGZ-LRDDRELGSA-N -1 1 315.377 1.468 20 0 DDADMM O=c1nc(N[C@H](C[C@H]2CCCO2)C(F)(F)F)nc2[nH][n-]cc1-2 ZINC000656224815 484033546 /nfs/dbraw/zinc/03/35/46/484033546.db2.gz XMNSAUFWBNSFSG-HTRCEHHLSA-N -1 1 317.271 1.344 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccc(F)c1F)[C@@H]1CCOC1 ZINC000656619481 484245824 /nfs/dbraw/zinc/24/58/24/484245824.db2.gz OMOXXXZACHCGGO-BXKDBHETSA-N -1 1 321.345 1.758 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc2c(cc[nH]c2=O)c1)C(C)C ZINC000656633730 484252090 /nfs/dbraw/zinc/25/20/90/484252090.db2.gz GRFPHWSXKFAZKX-CQSZACIVSA-N -1 1 324.402 1.941 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]1C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000323313621 484415134 /nfs/dbraw/zinc/41/51/34/484415134.db2.gz GQPBKOAADYFIGO-HUUCEWRRSA-N -1 1 302.374 1.442 20 0 DDADMM CCCc1noc2nc(CC)cc(C(=O)NCc3nn[n-]n3)c12 ZINC000669704151 484616613 /nfs/dbraw/zinc/61/66/13/484616613.db2.gz STOWQTXODRNMFR-UHFFFAOYSA-N -1 1 315.337 1.181 20 0 DDADMM O=C([O-])C[C@H](CNC(=O)c1cc(F)cc2nc[nH]c21)C1CC1 ZINC000659208515 484687212 /nfs/dbraw/zinc/68/72/12/484687212.db2.gz GWYLVSVFWAGCBA-SECBINFHSA-N -1 1 305.309 1.933 20 0 DDADMM C[C@@H](C(=O)Nc1cnn(C(C)(C)C(=O)[O-])c1)N1CCCCCC1 ZINC000663129239 484690991 /nfs/dbraw/zinc/69/09/91/484690991.db2.gz WGDIJCTVFQGFSF-LBPRGKRZSA-N -1 1 322.409 1.906 20 0 DDADMM C[C@@H](C(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1)N1CCCCCC1 ZINC000663138912 484697170 /nfs/dbraw/zinc/69/71/70/484697170.db2.gz QSVMVHKTJVLXSP-LBPRGKRZSA-N -1 1 322.409 1.906 20 0 DDADMM CC(C)[C@H](NC(=O)NC[C@@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663270660 484777454 /nfs/dbraw/zinc/77/74/54/484777454.db2.gz DQGJDGOSLKKBOT-GJZGRUSLSA-N -1 1 319.405 1.669 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccccc1-n1cncn1 ZINC000666296947 485163059 /nfs/dbraw/zinc/16/30/59/485163059.db2.gz VCCMVKUFEVQHDU-UHFFFAOYSA-N -1 1 310.379 1.434 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000669011930 485379266 /nfs/dbraw/zinc/37/92/66/485379266.db2.gz BRCOVYYQDCRWGY-LLVKDONJSA-N -1 1 304.350 1.341 20 0 DDADMM CC(C)c1ncncc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000673440940 485412798 /nfs/dbraw/zinc/41/27/98/485412798.db2.gz WVQHGQWVRXMOEC-JTQLQIEISA-N -1 1 317.349 1.708 20 0 DDADMM C[C@@H](Oc1ccc(C(F)(F)F)cc1)C(=O)NCc1nn[n-]n1 ZINC000682158156 485505901 /nfs/dbraw/zinc/50/59/01/485505901.db2.gz GYCCFQKIJHCWCI-SSDOTTSWSA-N -1 1 315.255 1.302 20 0 DDADMM Cn1cc(C(=O)NCc2nn[n-]n2)c(-c2ccc(Cl)s2)n1 ZINC000682805261 485777963 /nfs/dbraw/zinc/77/79/63/485777963.db2.gz KTEORTAVKZQIPA-UHFFFAOYSA-N -1 1 323.769 1.245 20 0 DDADMM CCN(CC)[C@H](CNC(=O)c1[nH]nc(C)c1[O-])c1ccco1 ZINC000676631930 486274984 /nfs/dbraw/zinc/27/49/84/486274984.db2.gz MAICYVHAIMUGDU-LLVKDONJSA-N -1 1 306.366 1.830 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](CO)C2CCCCC2)sc1C ZINC000676863716 486350025 /nfs/dbraw/zinc/35/00/25/486350025.db2.gz UYXZCWXTDHOFJP-LBPRGKRZSA-N -1 1 318.464 1.979 20 0 DDADMM C[C@@H]1C(=O)CC[C@@H]1CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000684567909 486384543 /nfs/dbraw/zinc/38/45/43/486384543.db2.gz SJOHRXDKGSZPGS-NVBFEUDRSA-N -1 1 313.361 1.411 20 0 DDADMM CC(C)Oc1cncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000681353323 486410428 /nfs/dbraw/zinc/41/04/28/486410428.db2.gz KHNUAUFNPJPKBF-JTQLQIEISA-N -1 1 304.354 1.258 20 0 DDADMM O=C(N[C@@H]1CCS(=O)(=O)C1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684870727 486449669 /nfs/dbraw/zinc/44/96/69/486449669.db2.gz MLNJCRGWDONYKK-MRVPVSSYSA-N -1 1 323.292 1.328 20 0 DDADMM NC(=O)[C@H]1CCCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000684870209 486450010 /nfs/dbraw/zinc/45/00/10/486450010.db2.gz SRANMIMDLVHHEX-VIFPVBQESA-N -1 1 316.279 1.749 20 0 DDADMM Cc1nnc(CNC(=O)c2ccc(Br)c([O-])c2)o1 ZINC000677863658 486560372 /nfs/dbraw/zinc/56/03/72/486560372.db2.gz DOIOJKNQHWBWNM-UHFFFAOYSA-N -1 1 312.123 1.776 20 0 DDADMM C[C@@H](Cc1ccc(Br)cc1)C(=O)NCc1nn[n-]n1 ZINC000677969581 486595118 /nfs/dbraw/zinc/59/51/18/486595118.db2.gz UCXZRTNMPGZGLW-QMMMGPOBSA-N -1 1 324.182 1.457 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NC[C@H](CC(C)C)C(=O)[O-])n[nH]1 ZINC000424413436 533758770 /nfs/dbraw/zinc/75/87/70/533758770.db2.gz RBKPCTQEIGJXGM-JQWIXIFHSA-N -1 1 310.398 1.695 20 0 DDADMM Cc1cc(F)ccc1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000489457765 533874138 /nfs/dbraw/zinc/87/41/38/533874138.db2.gz QVOGYPGAMGPEKP-UHFFFAOYSA-N -1 1 315.308 1.137 20 0 DDADMM COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)c2cncc([O-])c2)C1 ZINC000495480802 533978637 /nfs/dbraw/zinc/97/86/37/533978637.db2.gz OAGSSRZAYIVHHA-MNOVXSKESA-N -1 1 307.350 1.384 20 0 DDADMM C[C@H](Cc1cnn(C)c1)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000451963215 534162860 /nfs/dbraw/zinc/16/28/60/534162860.db2.gz IOSGLKCZMKGONY-SECBINFHSA-N -1 1 315.345 1.608 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc3nc(C)sc3c2)n1 ZINC000489798621 534414612 /nfs/dbraw/zinc/41/46/12/534414612.db2.gz RHIFMGSWHVNYOJ-UHFFFAOYSA-N -1 1 324.387 1.775 20 0 DDADMM CC[C@@H](OC1CCCCC1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000156529529 523032048 /nfs/dbraw/zinc/03/20/48/523032048.db2.gz UQJFTTMTVLGEHH-WCQYABFASA-N -1 1 309.414 1.890 20 0 DDADMM CC(C)(C)c1nnc(CNC(=O)C(=O)c2ccc([O-])cc2)[nH]1 ZINC000458026104 526840703 /nfs/dbraw/zinc/84/07/03/526840703.db2.gz LMSIZNGVAQLVFU-UHFFFAOYSA-N -1 1 302.334 1.307 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2c(Cl)cccc2Cl)CC1 ZINC000156673534 526853052 /nfs/dbraw/zinc/85/30/52/526853052.db2.gz ZHLKKWMZQKQGHW-UHFFFAOYSA-N -1 1 309.174 1.290 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)c1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000295023061 528986158 /nfs/dbraw/zinc/98/61/58/528986158.db2.gz QONAIUOJNGMELW-GXSJLCMTSA-N -1 1 317.349 1.690 20 0 DDADMM CCC[C@@H](O)[C@H](CO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000412545947 529071987 /nfs/dbraw/zinc/07/19/87/529071987.db2.gz ZFDHVMBCBXSZEK-WDEREUQCSA-N -1 1 321.295 1.663 20 0 DDADMM CC(C)NC(=O)[C@@H]1CCC[C@@H](N=c2[n-]c(C(N)=O)cs2)C1 ZINC000432315749 529145613 /nfs/dbraw/zinc/14/56/13/529145613.db2.gz WHFJJTOEKGVFQP-NXEZZACHSA-N -1 1 310.423 1.159 20 0 DDADMM Cc1ccc(OCCNC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737365584 598982260 /nfs/dbraw/zinc/98/22/60/598982260.db2.gz KRIPFBFMWGCJGJ-UHFFFAOYSA-N -1 1 324.344 1.379 20 0 DDADMM Cc1ccc(OCCNC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737365584 598982262 /nfs/dbraw/zinc/98/22/62/598982262.db2.gz KRIPFBFMWGCJGJ-UHFFFAOYSA-N -1 1 324.344 1.379 20 0 DDADMM O=C(N[C@H]1CCCc2occc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738024191 599006093 /nfs/dbraw/zinc/00/60/93/599006093.db2.gz JKPRKHRBWIZWBB-NSHDSACASA-N -1 1 310.317 1.662 20 0 DDADMM O=C(N[C@H]1CCCc2occc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738024191 599006095 /nfs/dbraw/zinc/00/60/95/599006095.db2.gz JKPRKHRBWIZWBB-NSHDSACASA-N -1 1 310.317 1.662 20 0 DDADMM C[C@H](COCc1ccccc1)Nc1nccnc1-c1nnn[n-]1 ZINC000820286512 599080746 /nfs/dbraw/zinc/08/07/46/599080746.db2.gz BYDCRLAVQFNCID-LLVKDONJSA-N -1 1 311.349 1.674 20 0 DDADMM C[C@H](COCc1ccccc1)Nc1nccnc1-c1nn[n-]n1 ZINC000820286512 599080748 /nfs/dbraw/zinc/08/07/48/599080748.db2.gz BYDCRLAVQFNCID-LLVKDONJSA-N -1 1 311.349 1.674 20 0 DDADMM CC(C)CCOCCOC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735820974 599131700 /nfs/dbraw/zinc/13/17/00/599131700.db2.gz ZPVQBEVSUHGPHA-UHFFFAOYSA-N -1 1 305.338 1.481 20 0 DDADMM CC(C)CCOCCOC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735820974 599131702 /nfs/dbraw/zinc/13/17/02/599131702.db2.gz ZPVQBEVSUHGPHA-UHFFFAOYSA-N -1 1 305.338 1.481 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC(C(=O)[O-])CC2)c1Br ZINC000740204889 596928428 /nfs/dbraw/zinc/92/84/28/596928428.db2.gz RNRXCXZMSBLLBR-UHFFFAOYSA-N -1 1 316.155 1.417 20 0 DDADMM c1cc(-c2nnn[n-]2)oc1CNc1cccc(-n2cnnn2)c1 ZINC000823757341 607311089 /nfs/dbraw/zinc/31/10/89/607311089.db2.gz PGZOAYMLIUJBRQ-UHFFFAOYSA-N -1 1 309.293 1.048 20 0 DDADMM c1cc(-c2nn[n-]n2)oc1CNc1cccc(-n2cnnn2)c1 ZINC000823757341 607311090 /nfs/dbraw/zinc/31/10/90/607311090.db2.gz PGZOAYMLIUJBRQ-UHFFFAOYSA-N -1 1 309.293 1.048 20 0 DDADMM CC(=O)NCCN(Cc1cccc(F)c1F)[C@@H](C)C(=O)[O-] ZINC000819780036 597679945 /nfs/dbraw/zinc/67/99/45/597679945.db2.gz BXPRXYZWJAHXPD-VIFPVBQESA-N -1 1 300.305 1.376 20 0 DDADMM CN(CC(=O)N1CCC[C@@H](CC(=O)[O-])C1)[C@H]1CCSC1 ZINC000820554355 598053431 /nfs/dbraw/zinc/05/34/31/598053431.db2.gz OFPRRXBRQSLGAL-RYUDHWBXSA-N -1 1 300.424 1.137 20 0 DDADMM CS[C@@H](CO)[C@@H](C)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000821950086 607326472 /nfs/dbraw/zinc/32/64/72/607326472.db2.gz KTRGOABAJIQCGE-UHNVWZDZSA-N -1 1 320.831 1.501 20 0 DDADMM CS[C@@H](CO)[C@@H](C)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000821950086 607326473 /nfs/dbraw/zinc/32/64/73/607326473.db2.gz KTRGOABAJIQCGE-UHNVWZDZSA-N -1 1 320.831 1.501 20 0 DDADMM COc1ccccc1[C@@H](C)N(C)C(=O)CN[C@@H](C(=O)[O-])C(C)C ZINC000820876623 598188939 /nfs/dbraw/zinc/18/89/39/598188939.db2.gz UBEMFIJPBFLXHJ-MLGOLLRUSA-N -1 1 322.405 1.913 20 0 DDADMM C[C@H](CC(=O)[O-])NCc1nnc2n1CCC[C@H]2C(F)(F)F ZINC000820089025 598216363 /nfs/dbraw/zinc/21/63/63/598216363.db2.gz JTRDPWOWJPCDSQ-HTQZYQBOSA-N -1 1 306.288 1.671 20 0 DDADMM O=C1Nc2ccccc2[C@H]1CCNc1nccnc1-c1nnn[n-]1 ZINC000738318592 598337884 /nfs/dbraw/zinc/33/78/84/598337884.db2.gz KYJRDYBTQKXEIM-SNVBAGLBSA-N -1 1 322.332 1.195 20 0 DDADMM O=C1Nc2ccccc2[C@H]1CCNc1nccnc1-c1nn[n-]n1 ZINC000738318592 598337886 /nfs/dbraw/zinc/33/78/86/598337886.db2.gz KYJRDYBTQKXEIM-SNVBAGLBSA-N -1 1 322.332 1.195 20 0 DDADMM Cc1cnn(C2CN(c3snc(Cl)c3-c3nnn[n-]3)C2)c1 ZINC000737444692 598544922 /nfs/dbraw/zinc/54/49/22/598544922.db2.gz OKMLUPXLYCPWNO-UHFFFAOYSA-N -1 1 322.785 1.543 20 0 DDADMM Cc1cnn(C2CN(c3snc(Cl)c3-c3nn[n-]n3)C2)c1 ZINC000737444692 598544923 /nfs/dbraw/zinc/54/49/23/598544923.db2.gz OKMLUPXLYCPWNO-UHFFFAOYSA-N -1 1 322.785 1.543 20 0 DDADMM CN(Cc1cccnc1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736828083 598570900 /nfs/dbraw/zinc/57/09/00/598570900.db2.gz HHZIYFUBUBTFGJ-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM CN(Cc1cccnc1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736828083 598570901 /nfs/dbraw/zinc/57/09/01/598570901.db2.gz HHZIYFUBUBTFGJ-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM CCc1nn(C[C@H]2CCCCO2)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736705495 598642139 /nfs/dbraw/zinc/64/21/39/598642139.db2.gz JKUFYIJDFPVQSK-SNVBAGLBSA-N -1 1 318.381 1.117 20 0 DDADMM CC[C@H]1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C[C@@H](C)O1 ZINC000736407611 598678436 /nfs/dbraw/zinc/67/84/36/598678436.db2.gz PPLPZIPRKQNSTD-BDAKNGLRSA-N -1 1 308.773 1.919 20 0 DDADMM CC[C@H]1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C[C@@H](C)O1 ZINC000736407611 598678438 /nfs/dbraw/zinc/67/84/38/598678438.db2.gz PPLPZIPRKQNSTD-BDAKNGLRSA-N -1 1 308.773 1.919 20 0 DDADMM Cc1nc2ccccc2nc1Cn1cccc(-c2nn[n-]n2)c1=O ZINC000737490429 598677066 /nfs/dbraw/zinc/67/70/66/598677066.db2.gz UTMQYAGICAYUQI-UHFFFAOYSA-N -1 1 319.328 1.328 20 0 DDADMM C[C@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)CCS1 ZINC000736292547 598757334 /nfs/dbraw/zinc/75/73/34/598757334.db2.gz KLUPMACKRVZIMK-YFKPBYRVSA-N -1 1 302.816 1.918 20 0 DDADMM C[C@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)CCS1 ZINC000736292547 598757336 /nfs/dbraw/zinc/75/73/36/598757336.db2.gz KLUPMACKRVZIMK-YFKPBYRVSA-N -1 1 302.816 1.918 20 0 DDADMM OC[C@@H](CNc1cccc(-c2nnn[n-]2)n1)Cc1ccco1 ZINC000738390742 599402658 /nfs/dbraw/zinc/40/26/58/599402658.db2.gz SEQGLSLUJRXYMK-SNVBAGLBSA-N -1 1 300.322 1.118 20 0 DDADMM OC[C@@H](CNc1cccc(-c2nn[n-]n2)n1)Cc1ccco1 ZINC000738390742 599402660 /nfs/dbraw/zinc/40/26/60/599402660.db2.gz SEQGLSLUJRXYMK-SNVBAGLBSA-N -1 1 300.322 1.118 20 0 DDADMM O=C(C1CC1)N1CCC(Nc2cccc(-c3nnn[n-]3)n2)CC1 ZINC000737782445 599403116 /nfs/dbraw/zinc/40/31/16/599403116.db2.gz GECOCVGUDAGIFX-UHFFFAOYSA-N -1 1 313.365 1.075 20 0 DDADMM O=C(C1CC1)N1CCC(Nc2cccc(-c3nn[n-]n3)n2)CC1 ZINC000737782445 599403117 /nfs/dbraw/zinc/40/31/17/599403117.db2.gz GECOCVGUDAGIFX-UHFFFAOYSA-N -1 1 313.365 1.075 20 0 DDADMM O=C(NCCCNc1cccc(-c2nnn[n-]2)n1)c1ccccc1 ZINC000738064390 599422988 /nfs/dbraw/zinc/42/29/88/599422988.db2.gz AHEXUEMLOBWNLI-UHFFFAOYSA-N -1 1 323.360 1.494 20 0 DDADMM O=C(NCCCNc1cccc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000738064390 599422991 /nfs/dbraw/zinc/42/29/91/599422991.db2.gz AHEXUEMLOBWNLI-UHFFFAOYSA-N -1 1 323.360 1.494 20 0 DDADMM c1cc(NCCCOC2CCOCC2)nc(-c2nnn[n-]2)c1 ZINC000823757043 599584354 /nfs/dbraw/zinc/58/43/54/599584354.db2.gz JZPTZRNZDMSQLA-UHFFFAOYSA-N -1 1 304.354 1.259 20 0 DDADMM c1cc(NCCCOC2CCOCC2)nc(-c2nn[n-]n2)c1 ZINC000823757043 599584356 /nfs/dbraw/zinc/58/43/56/599584356.db2.gz JZPTZRNZDMSQLA-UHFFFAOYSA-N -1 1 304.354 1.259 20 0 DDADMM CC(=O)N(C)c1cccc(Nc2nccnc2-c2nnn[n-]2)c1 ZINC000819789455 599688686 /nfs/dbraw/zinc/68/86/86/599688686.db2.gz OWGUNISEIZOBIZ-UHFFFAOYSA-N -1 1 310.321 1.383 20 0 DDADMM CC(=O)N(C)c1cccc(Nc2nccnc2-c2nn[n-]n2)c1 ZINC000819789455 599688687 /nfs/dbraw/zinc/68/86/87/599688687.db2.gz OWGUNISEIZOBIZ-UHFFFAOYSA-N -1 1 310.321 1.383 20 0 DDADMM CC(C)N(C)CC(=O)Nc1ccc(C(=O)NCCC(=O)[O-])cc1 ZINC000736454529 599766309 /nfs/dbraw/zinc/76/63/09/599766309.db2.gz IQRQOKXSJOCNGB-UHFFFAOYSA-N -1 1 321.377 1.170 20 0 DDADMM C[C@H](CN(CN1C(=O)[C@H]2CC=CC[C@@H]2C1=O)C1CC1)C(=O)[O-] ZINC000736578585 599934454 /nfs/dbraw/zinc/93/44/54/599934454.db2.gz NHOAEEXUFNTPED-WXHSDQCUSA-N -1 1 306.362 1.080 20 0 DDADMM CCOCCN1CCN(Cc2cc(C(=O)[O-])oc2C)C[C@@H]1C ZINC000737176667 599961867 /nfs/dbraw/zinc/96/18/67/599961867.db2.gz HVRCZRMBDVEVBX-LBPRGKRZSA-N -1 1 310.394 1.829 20 0 DDADMM CN1CCC[C@H]1C(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)[O-] ZINC000737518651 599984590 /nfs/dbraw/zinc/98/45/90/599984590.db2.gz FJZMGWJLPHIXHB-OCCSQVGLSA-N -1 1 308.353 1.279 20 0 DDADMM O=C([O-])C1CCN(CC(=O)N[C@@H]2CCOc3ccccc32)CC1 ZINC000738461625 600034555 /nfs/dbraw/zinc/03/45/55/600034555.db2.gz UPDGVQVVBVOYMR-CQSZACIVSA-N -1 1 318.373 1.423 20 0 DDADMM CCOCCN(Cc1ccc(C(=O)[O-])o1)C(=O)c1ccn[nH]1 ZINC000737171602 600084018 /nfs/dbraw/zinc/08/40/18/600084018.db2.gz YAQKPBKVCUSAOG-UHFFFAOYSA-N -1 1 307.306 1.380 20 0 DDADMM O=C([O-])CC1CCN(CCn2cnc3ccccc3c2=O)CC1 ZINC000738564623 600318223 /nfs/dbraw/zinc/31/82/23/600318223.db2.gz ZGQFLTCIDZXJSI-UHFFFAOYSA-N -1 1 315.373 1.583 20 0 DDADMM C[C@@H](CN(C)[C@H](C(=O)NC1CC1)c1ccc(F)cc1)C(=O)[O-] ZINC000736576087 600365232 /nfs/dbraw/zinc/36/52/32/600365232.db2.gz UAMOFOAMZXTTSH-HZMBPMFUSA-N -1 1 308.353 1.798 20 0 DDADMM CC[C@](C)(NCC(=O)Nc1cccc(C(=O)N(C)C)c1)C(=O)[O-] ZINC000736864794 600562532 /nfs/dbraw/zinc/56/25/32/600562532.db2.gz XRHDAOJEOZALEK-INIZCTEOSA-N -1 1 321.377 1.170 20 0 DDADMM C[C@@H]1C(=O)N(CN2CCC[C@@H](C(=O)[O-])C2)[C@H]1c1ccccc1 ZINC000828144573 600713591 /nfs/dbraw/zinc/71/35/91/600713591.db2.gz YIFCGNLGDWMJGA-NWANDNLSSA-N -1 1 302.374 1.960 20 0 DDADMM O=C([O-])c1ccsc1N1CC[C@H](N2CC[C@H](CCO)C2)C1=O ZINC000833335871 600798178 /nfs/dbraw/zinc/79/81/78/600798178.db2.gz FNZMBMOXZXZWBL-PWSUYJOCSA-N -1 1 324.402 1.256 20 0 DDADMM C[C@@H](O)CCN(C)[C@@H]1CCN(c2sccc2C(=O)[O-])C1=O ZINC000827796174 600799471 /nfs/dbraw/zinc/79/94/71/600799471.db2.gz MQZMNYOVKHTXFU-MWLCHTKSSA-N -1 1 312.391 1.254 20 0 DDADMM CC[C@@H](O)CN1CCN([C@@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000829004378 601012917 /nfs/dbraw/zinc/01/29/17/601012917.db2.gz HCLRJGQUJUKWBO-IUODEOHRSA-N -1 1 310.369 1.340 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1Cc1cccc2c1OCCO2 ZINC000833220888 601020712 /nfs/dbraw/zinc/02/07/12/601020712.db2.gz CNJDSBANBFFAEL-LBPRGKRZSA-N -1 1 309.387 1.850 20 0 DDADMM CC[C@H](CO)N1CCN([C@@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000828890260 601035009 /nfs/dbraw/zinc/03/50/09/601035009.db2.gz AAKDQXGBFQESPC-HUUCEWRRSA-N -1 1 310.369 1.340 20 0 DDADMM COc1ccc(NCc2ccc(-c3nnn[n-]3)o2)c(OC)n1 ZINC000821835923 607491324 /nfs/dbraw/zinc/49/13/24/607491324.db2.gz SSLUNZTUWOGRSQ-UHFFFAOYSA-N -1 1 302.294 1.484 20 0 DDADMM COc1ccc(NCc2ccc(-c3nn[n-]n3)o2)c(OC)n1 ZINC000821835923 607491326 /nfs/dbraw/zinc/49/13/26/607491326.db2.gz SSLUNZTUWOGRSQ-UHFFFAOYSA-N -1 1 302.294 1.484 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c(C)n1 ZINC000832911073 601098469 /nfs/dbraw/zinc/09/84/69/601098469.db2.gz JMCLUCUUYXFREK-GFCCVEGCSA-N -1 1 305.378 1.319 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2cccc(F)c2)CC1 ZINC000827357390 601099628 /nfs/dbraw/zinc/09/96/28/601099628.db2.gz XOXQLVRJYRKUQB-SZZPACECSA-N -1 1 320.364 1.846 20 0 DDADMM Cc1cc(S(=O)(=O)N2CC[C@@H](N(C)C)C2)ccc1C(=O)[O-] ZINC000314889188 601110521 /nfs/dbraw/zinc/11/05/21/601110521.db2.gz RPLQNIKOCPRDBJ-LLVKDONJSA-N -1 1 312.391 1.018 20 0 DDADMM NC(=O)c1cc([C@@H]2CCCN(Cc3cc(C(=O)[O-])co3)C2)n[nH]1 ZINC000739176784 601119179 /nfs/dbraw/zinc/11/91/79/601119179.db2.gz ICGCYXPENDZOJA-SECBINFHSA-N -1 1 318.333 1.179 20 0 DDADMM CCc1cc(NC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)no1 ZINC000830224039 601135394 /nfs/dbraw/zinc/13/53/94/601135394.db2.gz FJTAXGUGAUBCHD-SNVBAGLBSA-N -1 1 310.354 1.250 20 0 DDADMM C[C@@H]1Cc2ccccc2N1C(=O)CN[C@](C)(C(=O)[O-])C1CC1 ZINC000828516435 601173657 /nfs/dbraw/zinc/17/36/57/601173657.db2.gz MEHHEQKGPQQSFR-DIFFPNOSSA-N -1 1 302.374 1.807 20 0 DDADMM C[C@](NCC(=O)NC1C2CC3CC(C2)CC1C3)(C(=O)[O-])C1CC1 ZINC000827728275 601175148 /nfs/dbraw/zinc/17/51/48/601175148.db2.gz QTQRBZMEAOMJTR-QYXKKSECSA-N -1 1 320.433 1.770 20 0 DDADMM CCN1CCC(NS(=O)(=O)c2cc(C(=O)[O-])c(C)o2)CC1 ZINC000829760480 601216187 /nfs/dbraw/zinc/21/61/87/601216187.db2.gz SHZOFSXBZBDXHW-UHFFFAOYSA-N -1 1 316.379 1.049 20 0 DDADMM Cn1cnc2cc(NS(=O)(=O)c3c[nH]c(C(=O)[O-])c3)ccc21 ZINC000833059283 601340187 /nfs/dbraw/zinc/34/01/87/601340187.db2.gz ZJJWKTNLRQDPJC-UHFFFAOYSA-N -1 1 320.330 1.400 20 0 DDADMM O=C([O-])C1CCC(NC(=O)N2CCC(c3cnc[nH]3)CC2)CC1 ZINC000821488310 601361137 /nfs/dbraw/zinc/36/11/37/601361137.db2.gz WTFXEQSXKOEGQH-UHFFFAOYSA-N -1 1 320.393 1.942 20 0 DDADMM COc1ccc(NC(=O)CN2C[C@H](C(=O)[O-])[C@H](C)C2)c(OC)c1 ZINC000832393957 601500406 /nfs/dbraw/zinc/50/04/06/601500406.db2.gz LIUAWSJSKIZDKP-PWSUYJOCSA-N -1 1 322.361 1.295 20 0 DDADMM C[C@@H]1CN(CCCS(=O)(=O)c2ccccc2)C[C@H]1C(=O)[O-] ZINC000828450468 601535466 /nfs/dbraw/zinc/53/54/66/601535466.db2.gz QBKDIHFYFJAAOL-TZMCWYRMSA-N -1 1 311.403 1.503 20 0 DDADMM O=C([O-])c1ccc(CN2CCN(C[C@@H]3CCCO3)CC2)cc1F ZINC000833314501 601614409 /nfs/dbraw/zinc/61/44/09/601614409.db2.gz SPUOMAFABZIPPN-AWEZNQCLSA-N -1 1 322.380 1.821 20 0 DDADMM COC(=O)C1(CNc2cccc(-c3nnn[n-]3)n2)CCCC1 ZINC000826123834 607521775 /nfs/dbraw/zinc/52/17/75/607521775.db2.gz MFDMNGQZEZSCBI-UHFFFAOYSA-N -1 1 302.338 1.407 20 0 DDADMM COC(=O)C1(CNc2cccc(-c3nn[n-]n3)n2)CCCC1 ZINC000826123834 607521776 /nfs/dbraw/zinc/52/17/76/607521776.db2.gz MFDMNGQZEZSCBI-UHFFFAOYSA-N -1 1 302.338 1.407 20 0 DDADMM Cc1ccc(SCCNC(=O)CN[C@H](C(=O)[O-])C(C)C)cc1 ZINC000832958061 601825050 /nfs/dbraw/zinc/82/50/50/601825050.db2.gz SYBJBUMSQMBJSX-HNNXBMFYSA-N -1 1 324.446 1.902 20 0 DDADMM COc1ccc(NS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)c(C)n1 ZINC000832413195 601851965 /nfs/dbraw/zinc/85/19/65/601851965.db2.gz IWLLQRJKQLBDNY-UHFFFAOYSA-N -1 1 311.319 1.226 20 0 DDADMM CCN(CC)C(=O)CN1CCCC[C@@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000739409336 601928175 /nfs/dbraw/zinc/92/81/75/601928175.db2.gz VLWLLGVZSHDFBM-KGLIPLIRSA-N -1 1 311.426 1.852 20 0 DDADMM Cc1ccccc1CCNC(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000740185414 601956482 /nfs/dbraw/zinc/95/64/82/601956482.db2.gz VOFSTXQEGIVZSZ-CQSZACIVSA-N -1 1 319.405 1.243 20 0 DDADMM C[C@@H](C(=O)N1CCc2ccccc21)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738619669 601959506 /nfs/dbraw/zinc/95/95/06/601959506.db2.gz OEPZYXPXKHORMO-STQMWFEESA-N -1 1 317.389 1.554 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)NCCC2=CCCCC2)C1 ZINC000740640630 601962649 /nfs/dbraw/zinc/96/26/49/601962649.db2.gz FZRUHDZFSRNAHI-AWEZNQCLSA-N -1 1 309.410 1.583 20 0 DDADMM CN(C(=O)[C@@H]1CCCc2[nH]ncc21)c1nc(CC(=O)[O-])cs1 ZINC000830463692 602035859 /nfs/dbraw/zinc/03/58/59/602035859.db2.gz AJDANZQAJQTKKU-SECBINFHSA-N -1 1 320.374 1.576 20 0 DDADMM CN(C(=O)[O-])C1CCN(Cc2cc(=O)n3ccccc3n2)CC1 ZINC000737937634 602534994 /nfs/dbraw/zinc/53/49/94/602534994.db2.gz LJDBLGBVAWLMLD-UHFFFAOYSA-N -1 1 316.361 1.269 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CCC[C@@H]([C@@H]2CCCN2C(=O)[O-])C1 ZINC000739730138 602589905 /nfs/dbraw/zinc/58/99/05/602589905.db2.gz YHLJNHRGIQULII-QLFBSQMISA-N -1 1 323.437 1.852 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NCc1ccc(CCNC(=O)[O-])cc1 ZINC000739729651 602590605 /nfs/dbraw/zinc/59/06/05/602590605.db2.gz WUSRYUCVJZAPIL-OAHLLOKOSA-N -1 1 319.405 1.597 20 0 DDADMM CCN(C)[C@@H](C(=O)NC[C@H]1CCCN1C(=O)[O-])c1ccccc1 ZINC000739385694 602606488 /nfs/dbraw/zinc/60/64/88/602606488.db2.gz TUZYUHWUPYHUCA-HUUCEWRRSA-N -1 1 319.405 1.938 20 0 DDADMM CN1CCCN(C(=O)[C@@H]2C[C@H]3CCCC[C@H]3N2C(=O)[O-])CC1 ZINC000739725103 602639324 /nfs/dbraw/zinc/63/93/24/602639324.db2.gz DAPXCFHCYWBPOW-MCIONIFRSA-N -1 1 309.410 1.462 20 0 DDADMM C[C@@H]1CN(CC[S@](=O)c2ccccc2)CC[C@@H]1NC(=O)[O-] ZINC000739180355 602779338 /nfs/dbraw/zinc/77/93/38/602779338.db2.gz FFNUPDLOCZTGEN-YLGBZRSBSA-N -1 1 310.419 1.772 20 0 DDADMM COC(=O)c1cc(CN2CC[C@@H](NC(=O)[O-])[C@@H](C)C2)c(C)o1 ZINC000739777364 602784192 /nfs/dbraw/zinc/78/41/92/602784192.db2.gz IVIVSVKMHDIWAY-JOYOIKCWSA-N -1 1 310.350 1.853 20 0 DDADMM C[C@H]1CN(CC(=O)N(C2CC2)C2CCCC2)CC[C@H]1NC(=O)[O-] ZINC000739178274 602794566 /nfs/dbraw/zinc/79/45/66/602794566.db2.gz YKDZSOYYIDGKRQ-SWLSCSKDSA-N -1 1 323.437 1.898 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc3c[nH]nc32)C[C@@H](C)N1C(=O)[O-] ZINC000739164541 602802448 /nfs/dbraw/zinc/80/24/48/602802448.db2.gz FBWUTPZIWJJTPG-NXEZZACHSA-N -1 1 302.334 1.776 20 0 DDADMM CC(C)(CCC(=O)Nc1nc(-c2ccccn2)n[nH]1)NC(=O)[O-] ZINC000738743509 602825086 /nfs/dbraw/zinc/82/50/86/602825086.db2.gz CMKKFPTZVHNGCF-UHFFFAOYSA-N -1 1 318.337 1.632 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCC[C@H]2C(=O)NCc2ccco2)C1 ZINC000740452589 602977974 /nfs/dbraw/zinc/97/79/74/602977974.db2.gz YBDTVUJSQRFOBO-JSGCOSHPSA-N -1 1 321.377 1.360 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)[C@H]1CCCN(C(=O)[O-])C1 ZINC000737757452 602981362 /nfs/dbraw/zinc/98/13/62/602981362.db2.gz CANCVDMQWWDUES-RDBSUJKOSA-N -1 1 309.410 1.462 20 0 DDADMM C[C@@H](C(=O)NC[C@@H]1CCCN1C(=O)[O-])N(C)Cc1ccccc1 ZINC000738624968 602990572 /nfs/dbraw/zinc/99/05/72/602990572.db2.gz NOUXGAAFUKPWOF-ZFWWWQNUSA-N -1 1 319.405 1.766 20 0 DDADMM C[C@H](CCNC(=O)N1C[C@H]2CCCCN2C[C@H]1C)NC(=O)[O-] ZINC000738901329 602994328 /nfs/dbraw/zinc/99/43/28/602994328.db2.gz VFIAOVFMMMTJPW-JHJVBQTASA-N -1 1 312.414 1.301 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@H]1C(=O)N1CCN(C2CCCC2)CC1 ZINC000740577843 603020492 /nfs/dbraw/zinc/02/04/92/603020492.db2.gz RNQJOMZDUHJQOP-KGLIPLIRSA-N -1 1 309.410 1.510 20 0 DDADMM O=C([O-])N1CCCC[C@H]1C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000740475690 603033919 /nfs/dbraw/zinc/03/39/19/603033919.db2.gz LZTAFYBEYIVZPH-LBPRGKRZSA-N -1 1 315.333 1.738 20 0 DDADMM O=C([O-])N1CCCN(C(=O)c2cnc(-c3ccccc3)[nH]2)CC1 ZINC000738644891 603193349 /nfs/dbraw/zinc/19/33/49/603193349.db2.gz SEUMXZBAOYQQOH-UHFFFAOYSA-N -1 1 314.345 1.903 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N[C@H]1CCCN(C(=O)[O-])C1 ZINC000737359195 603209945 /nfs/dbraw/zinc/20/99/45/603209945.db2.gz UDSUCCZPCSWQNL-LSDHHAIUSA-N -1 1 319.405 1.418 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)[C@@H]1CCC[C@H](NC(=O)[O-])C1 ZINC000738801553 603211156 /nfs/dbraw/zinc/21/11/56/603211156.db2.gz QULOVKJSJAOJSB-RDBSUJKOSA-N -1 1 311.426 1.611 20 0 DDADMM C[C@H]1CN(Cn2nccc2-c2ccncc2)CC[C@H]1NC(=O)[O-] ZINC000739187977 603393364 /nfs/dbraw/zinc/39/33/64/603393364.db2.gz LBEVFSBYIAVKRG-GXTWGEPZSA-N -1 1 315.377 1.881 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@@H]1CC[C@@H](NC(=O)[O-])C1 ZINC000826689935 603523211 /nfs/dbraw/zinc/52/32/11/603523211.db2.gz IQBXQBOJWLLDEN-KBUPBQIOSA-N -1 1 323.437 1.898 20 0 DDADMM CN(C)[C@H](CNC(=O)CC1CN(C(=O)[O-])C1)c1cccs1 ZINC000827994173 603555967 /nfs/dbraw/zinc/55/59/67/603555967.db2.gz IMTFWQZGRAXQSF-LLVKDONJSA-N -1 1 311.407 1.467 20 0 DDADMM CN(CC(C)(C)CNC(=O)[O-])C(=O)N[C@H]1CCCN(C)C1 ZINC000828480054 603567890 /nfs/dbraw/zinc/56/78/90/603567890.db2.gz CXSVFIHXKZKSBA-NSHDSACASA-N -1 1 300.403 1.016 20 0 DDADMM CN(C)[C@@H](CNC(=O)C(C)(C)CNC(=O)[O-])c1cccs1 ZINC000827994917 603615584 /nfs/dbraw/zinc/61/55/84/603615584.db2.gz PDEXRVVOHATTHJ-JTQLQIEISA-N -1 1 313.423 1.761 20 0 DDADMM C[C@H](NC(=O)[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)[O-])c1nnc[nH]1 ZINC000825081944 603798412 /nfs/dbraw/zinc/79/84/12/603798412.db2.gz IRXRBXRTHUGUEL-ZDCRXTMVSA-N -1 1 307.354 1.293 20 0 DDADMM C[C@@H](NC(=O)[C@H](NC(=O)[O-])c1cccc(Cl)c1)c1nnc[nH]1 ZINC000825077371 603798977 /nfs/dbraw/zinc/79/89/77/603798977.db2.gz YLRVXQMHZIJGDH-GMSGAONNSA-N -1 1 323.740 1.644 20 0 DDADMM C[C@H](C(=O)N1CCN(C(=O)[O-])[C@@H](C)C1)N(C)Cc1ccccc1 ZINC000823668908 603839524 /nfs/dbraw/zinc/83/95/24/603839524.db2.gz JDIRZOZUTDOIHJ-UONOGXRCSA-N -1 1 319.405 1.718 20 0 DDADMM O=C([O-])N1CC[C@@](F)(C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000831908403 603874892 /nfs/dbraw/zinc/87/48/92/603874892.db2.gz RGGIHOOMUYOUNF-AWEZNQCLSA-N -1 1 319.296 1.298 20 0 DDADMM CCCC[C@@H](CNC(=O)[O-])NC(=O)N1CCN(C(C)C)CC1 ZINC000826787014 603898829 /nfs/dbraw/zinc/89/88/29/603898829.db2.gz DDTBIVVUAIHGTF-ZDUSSCGKSA-N -1 1 314.430 1.548 20 0 DDADMM O=C([O-])NC1(CNC(=O)[C@@H]2CCCc3[nH]ncc32)CCCCC1 ZINC000832219174 603944826 /nfs/dbraw/zinc/94/48/26/603944826.db2.gz IPTLVXWRRBYVGA-LLVKDONJSA-N -1 1 320.393 1.916 20 0 DDADMM O=C([O-])N[C@H](CCC(=O)NCc1c[nH]nn1)c1ccccc1 ZINC000832120392 603952195 /nfs/dbraw/zinc/95/21/95/603952195.db2.gz OEKXNGORBGWCAV-GFCCVEGCSA-N -1 1 303.322 1.210 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@H]1CCCCCC[C@H]1NC(=O)[O-] ZINC000828426565 603954596 /nfs/dbraw/zinc/95/45/96/603954596.db2.gz JZWNUNDEODPOCD-WDEREUQCSA-N -1 1 309.370 1.370 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(F)c(NC(=O)[O-])c1)c1nn[nH]n1 ZINC000826763920 604002225 /nfs/dbraw/zinc/00/22/25/604002225.db2.gz GHXCPIXTCAIPOU-SECBINFHSA-N -1 1 322.300 1.700 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(NC(=O)[O-])cc1)c1nn[nH]n1 ZINC000826763353 604003038 /nfs/dbraw/zinc/00/30/38/604003038.db2.gz BJDPMMSYOUOTND-JTQLQIEISA-N -1 1 304.310 1.561 20 0 DDADMM C[C@H](CN(C)C(=O)[O-])C(=O)NC[C@H](c1ccsc1)N(C)C ZINC000824853985 604086799 /nfs/dbraw/zinc/08/67/99/604086799.db2.gz FVDUZFYDPUEUEV-ZYHUDNBSSA-N -1 1 313.423 1.713 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CCC[C@H]1CN(C)C(=O)[O-] ZINC000826667800 604101240 /nfs/dbraw/zinc/10/12/40/604101240.db2.gz LUDYAHPEKSZPMB-KGLIPLIRSA-N -1 1 311.426 1.852 20 0 DDADMM Cc1ncc(CN2CCC(OCCCNC(=O)[O-])CC2)cn1 ZINC000830809385 604102207 /nfs/dbraw/zinc/10/22/07/604102207.db2.gz RPNUOAWTIJRUMB-UHFFFAOYSA-N -1 1 308.382 1.424 20 0 DDADMM Cc1ccc(OC[C@@H](O)CN2CC[C@@H](NC(=O)[O-])C2)c(C)c1 ZINC000740149370 604375744 /nfs/dbraw/zinc/37/57/44/604375744.db2.gz YMRJDKCTZFOJBY-KGLIPLIRSA-N -1 1 308.378 1.385 20 0 DDADMM CC(C)CN1CCO[C@@H](COC(=O)[C@@H](NC(=O)[O-])C(C)C)C1 ZINC000736443079 604376078 /nfs/dbraw/zinc/37/60/78/604376078.db2.gz NNXDVTMLIFMJMO-OLZOCXBDSA-N -1 1 316.398 1.179 20 0 DDADMM O=C([O-])NC1(C(=O)N2CCCN(Cc3ccccc3)CC2)CC1 ZINC000832151015 604423196 /nfs/dbraw/zinc/42/31/96/604423196.db2.gz CCGQAOXUYPTTEQ-UHFFFAOYSA-N -1 1 317.389 1.521 20 0 DDADMM C[C@@H](CN(C)CC(=O)Nc1nnc(C(C)(C)C)s1)C(=O)[O-] ZINC000827443066 604477145 /nfs/dbraw/zinc/47/71/45/604477145.db2.gz XPAIORQAWKVULI-QMMMGPOBSA-N -1 1 314.411 1.427 20 0 DDADMM O=C([O-])N1CCC(CNCc2nncn2-c2ccccc2)CC1 ZINC000831883519 604501142 /nfs/dbraw/zinc/50/11/42/604501142.db2.gz UTOJIPYYJUEREQ-UHFFFAOYSA-N -1 1 315.377 1.747 20 0 DDADMM COCCN1CC[C@H](NC(=O)Nc2cc(C(=O)[O-])cs2)C1 ZINC000831741967 604503445 /nfs/dbraw/zinc/50/34/45/604503445.db2.gz WIYDJBLYJJJLSZ-JTQLQIEISA-N -1 1 313.379 1.289 20 0 DDADMM C[C@@H](CN(CN1Cc2ccc(F)cc2C1=O)C1CC1)C(=O)[O-] ZINC000833515959 604861049 /nfs/dbraw/zinc/86/10/49/604861049.db2.gz BVIYHWPJYICIMO-JTQLQIEISA-N -1 1 306.337 1.924 20 0 DDADMM O=C([O-])[C@H](N[C@H]1CC(=O)N(c2ccccc2)C1)c1cccnc1 ZINC000833165483 604998531 /nfs/dbraw/zinc/99/85/31/604998531.db2.gz AGPONARIXRGJDX-XJKSGUPXSA-N -1 1 311.341 1.602 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N(C)C[C@@H](O)C1CC1 ZINC000833405941 605045889 /nfs/dbraw/zinc/04/58/89/605045889.db2.gz ZHSBRRPBPKCROS-XHDPSFHLSA-N -1 1 320.389 1.092 20 0 DDADMM CNC(=O)C1(C(=O)Nc2nc3cccc(C(=O)[O-])c3[nH]2)CCC1 ZINC000833698974 605061151 /nfs/dbraw/zinc/06/11/51/605061151.db2.gz BNEQUFQRHXDIMZ-UHFFFAOYSA-N -1 1 316.317 1.116 20 0 DDADMM COc1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)ccc1F ZINC000833727034 605104485 /nfs/dbraw/zinc/10/44/85/605104485.db2.gz LRDMKYSZKBBNIQ-NSHDSACASA-N -1 1 324.352 1.455 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)Nc2cncc(C(=O)[O-])c2)n[nH]1 ZINC000833512335 605174529 /nfs/dbraw/zinc/17/45/29/605174529.db2.gz MIHNJIFUROXDLG-MRVPVSSYSA-N -1 1 318.337 1.904 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2n[nH]cc2c1 ZINC000833626718 605206621 /nfs/dbraw/zinc/20/66/21/605206621.db2.gz RTMTUKGGUNFNAW-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM COc1cc(C(=O)N2CCN(CCC(=O)[O-])[C@H](C)C2)ccc1C ZINC000833727280 605255194 /nfs/dbraw/zinc/25/51/94/605255194.db2.gz UQQCQHAZTOIJTP-CYBMUJFWSA-N -1 1 320.389 1.625 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc(Cl)n2)CCN1CCC(=O)[O-] ZINC000833619102 605278907 /nfs/dbraw/zinc/27/89/07/605278907.db2.gz AMTBPBHTBRHSLG-JTQLQIEISA-N -1 1 311.769 1.356 20 0 DDADMM COCCC[C@@H](C)C(=O)N1CCN(CCC(=O)[O-])[C@@H](C)C1 ZINC000833723186 605408254 /nfs/dbraw/zinc/40/82/54/605408254.db2.gz FEKHGSFQPHINPC-OLZOCXBDSA-N -1 1 300.399 1.057 20 0 DDADMM CCC[N@H+](Cc1nc(C)cc(=O)[n-]1)C1CCN(C(=O)[O-])CC1 ZINC000826916795 605484267 /nfs/dbraw/zinc/48/42/67/605484267.db2.gz RUFPZUZXHKLPFT-UHFFFAOYSA-N -1 1 308.382 1.845 20 0 DDADMM CCC[N@@H+](Cc1nc(C)cc(=O)[n-]1)C1CCN(C(=O)[O-])CC1 ZINC000826916795 605484274 /nfs/dbraw/zinc/48/42/74/605484274.db2.gz RUFPZUZXHKLPFT-UHFFFAOYSA-N -1 1 308.382 1.845 20 0 DDADMM O=C([O-])NC1CCC(NCc2nncn2-c2ccccc2)CC1 ZINC000834164655 605578270 /nfs/dbraw/zinc/57/82/70/605578270.db2.gz ZRKCJQAQTGPYAG-UHFFFAOYSA-N -1 1 315.377 1.936 20 0 DDADMM O=C([O-])NCCNC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000834244001 605707964 /nfs/dbraw/zinc/70/79/64/605707964.db2.gz ZPYCJNAUDZSUOF-UHFFFAOYSA-N -1 1 313.264 1.890 20 0 DDADMM C[C@@H]1CN(C[C@@H]2CCCN2C(=O)CCCC(=O)[O-])C[C@@H](C)O1 ZINC000833622575 605762366 /nfs/dbraw/zinc/76/23/66/605762366.db2.gz IELIHNLFZPTBFU-MCIONIFRSA-N -1 1 312.410 1.342 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1[C@@H]1CCN(c2ccccc2)C1=O ZINC000833831208 605880701 /nfs/dbraw/zinc/88/07/01/605880701.db2.gz MNHCCYORRQZIBC-UMVBOHGHSA-N -1 1 317.389 1.770 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NCc1ccccc1 ZINC000833829358 605884914 /nfs/dbraw/zinc/88/49/14/605884914.db2.gz HNYCGWHEKWVELN-GXTWGEPZSA-N -1 1 305.378 1.281 20 0 DDADMM O=C([O-])N[C@H]1CCN(C[C@H](O)COc2ccc(Cl)cc2)C1 ZINC000740592925 605937009 /nfs/dbraw/zinc/93/70/09/605937009.db2.gz CNBMHYRREZSVBW-RYUDHWBXSA-N -1 1 314.769 1.422 20 0 DDADMM Cc1ccc(C)c(OC[C@H](O)CN2CC[C@H](NC(=O)[O-])C2)c1 ZINC000740118137 605937040 /nfs/dbraw/zinc/93/70/40/605937040.db2.gz AEGYPCDMLHIGNQ-UONOGXRCSA-N -1 1 308.378 1.385 20 0 DDADMM O=C([O-])N[C@@H](CNC(=O)N1CCC(c2cnc[nH]2)CC1)C1CC1 ZINC000834140152 605948715 /nfs/dbraw/zinc/94/87/15/605948715.db2.gz OKNOMAFVQLDXRD-ZDUSSCGKSA-N -1 1 321.381 1.345 20 0 DDADMM O=C([O-])N[C@@H](CNC(=O)c1c[nH]c2ccccc2c1=O)C1CC1 ZINC000834147946 605992611 /nfs/dbraw/zinc/99/26/11/605992611.db2.gz PTPTVSOGYDLCCK-ZDUSSCGKSA-N -1 1 315.329 1.304 20 0 DDADMM C[C@@H](NC(=O)[O-])C(=O)O[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000833894915 606018754 /nfs/dbraw/zinc/01/87/54/606018754.db2.gz KGBJDZONUNFYFB-TZMCWYRMSA-N -1 1 306.362 1.850 20 0 DDADMM CC[C@@](C)(NC(=O)c1ccc(-c2nnn[n-]2)nc1)C(F)(F)F ZINC000820853914 606149364 /nfs/dbraw/zinc/14/93/64/606149364.db2.gz PTHIZFJAATXRSL-LLVKDONJSA-N -1 1 314.271 1.723 20 0 DDADMM CC[C@@](C)(NC(=O)c1ccc(-c2nn[n-]n2)nc1)C(F)(F)F ZINC000820853914 606149365 /nfs/dbraw/zinc/14/93/65/606149365.db2.gz PTHIZFJAATXRSL-LLVKDONJSA-N -1 1 314.271 1.723 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCCC(C)(C)C)n1 ZINC000822615017 606166481 /nfs/dbraw/zinc/16/64/81/606166481.db2.gz ZSXVDWNYHGFFFI-UHFFFAOYSA-N -1 1 306.374 1.548 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCCC(C)(C)C)n1 ZINC000822615017 606166482 /nfs/dbraw/zinc/16/64/82/606166482.db2.gz ZSXVDWNYHGFFFI-UHFFFAOYSA-N -1 1 306.374 1.548 20 0 DDADMM Cc1cc(=O)[nH]cc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822210547 606529693 /nfs/dbraw/zinc/52/96/93/606529693.db2.gz HCGAXAVNRHYWFY-UHFFFAOYSA-N -1 1 302.319 1.590 20 0 DDADMM Cc1cc(=O)[nH]cc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822210547 606529694 /nfs/dbraw/zinc/52/96/94/606529694.db2.gz HCGAXAVNRHYWFY-UHFFFAOYSA-N -1 1 302.319 1.590 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nnn[n-]1)c1cccc(C(F)(F)F)c1 ZINC000823290057 606531319 /nfs/dbraw/zinc/53/13/19/606531319.db2.gz SXECBEFHVDCLIZ-UHFFFAOYSA-N -1 1 323.238 1.861 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nn[n-]n1)c1cccc(C(F)(F)F)c1 ZINC000823290057 606531321 /nfs/dbraw/zinc/53/13/21/606531321.db2.gz SXECBEFHVDCLIZ-UHFFFAOYSA-N -1 1 323.238 1.861 20 0 DDADMM CCCCN(CCOC)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821065298 606556072 /nfs/dbraw/zinc/55/60/72/606556072.db2.gz HYWZINMGZPYCFE-UHFFFAOYSA-N -1 1 309.395 1.817 20 0 DDADMM CCCCN(CCOC)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821065298 606556073 /nfs/dbraw/zinc/55/60/73/606556073.db2.gz HYWZINMGZPYCFE-UHFFFAOYSA-N -1 1 309.395 1.817 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)[C@@H]2CCO[C@H]21 ZINC000820585851 606570672 /nfs/dbraw/zinc/57/06/72/606570672.db2.gz QRCDQTQKEVEOMF-WHGOUJPWSA-N -1 1 319.390 1.472 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)[C@@H]2CCO[C@H]21 ZINC000820585851 606570674 /nfs/dbraw/zinc/57/06/74/606570674.db2.gz QRCDQTQKEVEOMF-WHGOUJPWSA-N -1 1 319.390 1.472 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(-c2nnn[n-]2)s1)c1ccncc1 ZINC000820270119 606586441 /nfs/dbraw/zinc/58/64/41/606586441.db2.gz VPOWSTWWOMAVBY-VIFPVBQESA-N -1 1 314.374 1.857 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(-c2nn[n-]n2)s1)c1ccncc1 ZINC000820270119 606586442 /nfs/dbraw/zinc/58/64/42/606586442.db2.gz VPOWSTWWOMAVBY-VIFPVBQESA-N -1 1 314.374 1.857 20 0 DDADMM CC[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820978370 606595417 /nfs/dbraw/zinc/59/54/17/606595417.db2.gz ANEUFHAAVPKWIT-SECBINFHSA-N -1 1 304.358 1.254 20 0 DDADMM CC[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820978370 606595419 /nfs/dbraw/zinc/59/54/19/606595419.db2.gz ANEUFHAAVPKWIT-SECBINFHSA-N -1 1 304.358 1.254 20 0 DDADMM CCCC[C@@H](CC)CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821040509 606595546 /nfs/dbraw/zinc/59/55/46/606595546.db2.gz MJQYBCXBJWBPCS-SNVBAGLBSA-N -1 1 320.401 1.938 20 0 DDADMM CCCC[C@@H](CC)CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821040509 606595547 /nfs/dbraw/zinc/59/55/47/606595547.db2.gz MJQYBCXBJWBPCS-SNVBAGLBSA-N -1 1 320.401 1.938 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC[C@@H]3CCC[C@H]32)n1 ZINC000822609068 606658667 /nfs/dbraw/zinc/65/86/67/606658667.db2.gz IXKQMPGRQHGPHN-GXSJLCMTSA-N -1 1 316.369 1.397 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC[C@@H]3CCC[C@H]32)n1 ZINC000822609068 606658668 /nfs/dbraw/zinc/65/86/68/606658668.db2.gz IXKQMPGRQHGPHN-GXSJLCMTSA-N -1 1 316.369 1.397 20 0 DDADMM COC(=O)[C@H](Nc1ccc(Cl)c(-c2nnn[n-]2)n1)C(C)(C)C ZINC000821644591 606834922 /nfs/dbraw/zinc/83/49/22/606834922.db2.gz DIIMVEWSNNKNMA-JTQLQIEISA-N -1 1 324.772 1.915 20 0 DDADMM COC(=O)[C@H](Nc1ccc(Cl)c(-c2nn[n-]n2)n1)C(C)(C)C ZINC000821644591 606834924 /nfs/dbraw/zinc/83/49/24/606834924.db2.gz DIIMVEWSNNKNMA-JTQLQIEISA-N -1 1 324.772 1.915 20 0 DDADMM CCC1(CC)[C@H](Nc2nccnc2-c2nnn[n-]2)C[C@@H]1OC ZINC000820958450 607033982 /nfs/dbraw/zinc/03/39/82/607033982.db2.gz VMYKGXQDFYPDEF-ZJUUUORDSA-N -1 1 303.370 1.662 20 0 DDADMM CCC1(CC)[C@H](Nc2nccnc2-c2nn[n-]n2)C[C@@H]1OC ZINC000820958450 607033984 /nfs/dbraw/zinc/03/39/84/607033984.db2.gz VMYKGXQDFYPDEF-ZJUUUORDSA-N -1 1 303.370 1.662 20 0 DDADMM CCc1cc(C)c(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)o1 ZINC000821470014 607238778 /nfs/dbraw/zinc/23/87/78/607238778.db2.gz KXZIAVRYGJNDLB-UHFFFAOYSA-N -1 1 301.310 1.316 20 0 DDADMM CCc1cc(C)c(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)o1 ZINC000821470014 607238779 /nfs/dbraw/zinc/23/87/79/607238779.db2.gz KXZIAVRYGJNDLB-UHFFFAOYSA-N -1 1 301.310 1.316 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)[C@@H]2CC2(C)C)n1 ZINC000824796951 607785477 /nfs/dbraw/zinc/78/54/77/607785477.db2.gz UZDONEZBVPFZPR-VIFPVBQESA-N -1 1 303.370 1.803 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)[C@@H]2CC2(C)C)n1 ZINC000824796951 607785478 /nfs/dbraw/zinc/78/54/78/607785478.db2.gz UZDONEZBVPFZPR-VIFPVBQESA-N -1 1 303.370 1.803 20 0 DDADMM O[C@H](Cn1ccnc1-c1nnn[n-]1)c1ccc(C(F)(F)F)cc1 ZINC000826505207 607868787 /nfs/dbraw/zinc/86/87/87/607868787.db2.gz PNEBKFHAPQCDOK-SNVBAGLBSA-N -1 1 324.266 1.816 20 0 DDADMM O[C@H](Cn1ccnc1-c1nn[n-]n1)c1ccc(C(F)(F)F)cc1 ZINC000826505207 607868788 /nfs/dbraw/zinc/86/87/88/607868788.db2.gz PNEBKFHAPQCDOK-SNVBAGLBSA-N -1 1 324.266 1.816 20 0 DDADMM CN1C(=O)COc2ccc(Nc3cccc(-c4nnn[n-]4)n3)cc21 ZINC000826018410 607900677 /nfs/dbraw/zinc/90/06/77/607900677.db2.gz YESWCADDCRENIV-UHFFFAOYSA-N -1 1 323.316 1.361 20 0 DDADMM CN1C(=O)COc2ccc(Nc3cccc(-c4nn[n-]n4)n3)cc21 ZINC000826018410 607900678 /nfs/dbraw/zinc/90/06/78/607900678.db2.gz YESWCADDCRENIV-UHFFFAOYSA-N -1 1 323.316 1.361 20 0 DDADMM O=C(CNc1cccc(-c2nnn[n-]2)n1)OC1CCCCC1 ZINC000826414327 607901189 /nfs/dbraw/zinc/90/11/89/607901189.db2.gz PWFXJMIUVRXRNH-UHFFFAOYSA-N -1 1 302.338 1.550 20 0 DDADMM O=C(CNc1cccc(-c2nn[n-]n2)n1)OC1CCCCC1 ZINC000826414327 607901190 /nfs/dbraw/zinc/90/11/90/607901190.db2.gz PWFXJMIUVRXRNH-UHFFFAOYSA-N -1 1 302.338 1.550 20 0 DDADMM c1ccc2c(c1)[nH]c1c2CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC000826524064 607969472 /nfs/dbraw/zinc/96/94/72/607969472.db2.gz IALJLCMTUJFHFK-UHFFFAOYSA-N -1 1 318.344 1.701 20 0 DDADMM c1ccc2c(c1)[nH]c1c2CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC000826524064 607969473 /nfs/dbraw/zinc/96/94/73/607969473.db2.gz IALJLCMTUJFHFK-UHFFFAOYSA-N -1 1 318.344 1.701 20 0 DDADMM OCCC[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)c1ccccc1 ZINC000826509597 607991649 /nfs/dbraw/zinc/99/16/49/607991649.db2.gz ZXSBPBKQKRKDDC-GFCCVEGCSA-N -1 1 311.349 1.582 20 0 DDADMM OCCC[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)c1ccccc1 ZINC000826509597 607991650 /nfs/dbraw/zinc/99/16/50/607991650.db2.gz ZXSBPBKQKRKDDC-GFCCVEGCSA-N -1 1 311.349 1.582 20 0 DDADMM CCC1(NC(=O)c2ccc(-c3nnn[n-]3)s2)CCOCC1 ZINC000825176046 608073978 /nfs/dbraw/zinc/07/39/78/608073978.db2.gz DBEVUTHBVQMGMJ-UHFFFAOYSA-N -1 1 307.379 1.617 20 0 DDADMM CCC1(NC(=O)c2ccc(-c3nn[n-]n3)s2)CCOCC1 ZINC000825176046 608073979 /nfs/dbraw/zinc/07/39/79/608073979.db2.gz DBEVUTHBVQMGMJ-UHFFFAOYSA-N -1 1 307.379 1.617 20 0 DDADMM Cc1ccncc1C=CC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826315645 608142947 /nfs/dbraw/zinc/14/29/47/608142947.db2.gz PBGUQAZLMNHQMP-IHWYPQMZSA-N -1 1 322.328 1.722 20 0 DDADMM Cc1ccncc1C=CC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826315645 608142948 /nfs/dbraw/zinc/14/29/48/608142948.db2.gz PBGUQAZLMNHQMP-IHWYPQMZSA-N -1 1 322.328 1.722 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC2(C1)CC(F)(F)C2 ZINC000826472673 608199420 /nfs/dbraw/zinc/19/94/20/608199420.db2.gz SZYGUUDUSJVEOZ-UHFFFAOYSA-N -1 1 306.276 1.133 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC2(C1)CC(F)(F)C2 ZINC000826472673 608199422 /nfs/dbraw/zinc/19/94/22/608199422.db2.gz SZYGUUDUSJVEOZ-UHFFFAOYSA-N -1 1 306.276 1.133 20 0 DDADMM Cn1ccc(CNc2c3ccccc3nnc2-c2nnn[n-]2)n1 ZINC000826363195 608232506 /nfs/dbraw/zinc/23/25/06/608232506.db2.gz BYABOFOJYRYPFY-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM Cn1ccc(CNc2c3ccccc3nnc2-c2nn[n-]n2)n1 ZINC000826363195 608232507 /nfs/dbraw/zinc/23/25/07/608232507.db2.gz BYABOFOJYRYPFY-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM CC(C)[C@@H]1C[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824213863 608248624 /nfs/dbraw/zinc/24/86/24/608248624.db2.gz DGDBLTZDZFPABD-UWVGGRQHSA-N -1 1 321.406 1.862 20 0 DDADMM CC(C)[C@@H]1C[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824213863 608248625 /nfs/dbraw/zinc/24/86/25/608248625.db2.gz DGDBLTZDZFPABD-UWVGGRQHSA-N -1 1 321.406 1.862 20 0 DDADMM CCc1nc(CN(C)c2ccc(-c3nnn[n-]3)nn2)cs1 ZINC000825745438 608405233 /nfs/dbraw/zinc/40/52/33/608405233.db2.gz METFPLINNVUFST-UHFFFAOYSA-N -1 1 302.367 1.312 20 0 DDADMM CCc1nc(CN(C)c2ccc(-c3nn[n-]n3)nn2)cs1 ZINC000825745438 608405234 /nfs/dbraw/zinc/40/52/34/608405234.db2.gz METFPLINNVUFST-UHFFFAOYSA-N -1 1 302.367 1.312 20 0 DDADMM C[C@H](COCc1ccccc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824441487 608424072 /nfs/dbraw/zinc/42/40/72/608424072.db2.gz QSXDEOFRLYTJLO-LLVKDONJSA-N -1 1 311.349 1.674 20 0 DDADMM C[C@H](COCc1ccccc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824441487 608424074 /nfs/dbraw/zinc/42/40/74/608424074.db2.gz QSXDEOFRLYTJLO-LLVKDONJSA-N -1 1 311.349 1.674 20 0 DDADMM c1cc(N2CCOC3(CCCCC3)C2)nnc1-c1nnn[n-]1 ZINC000826517240 608425256 /nfs/dbraw/zinc/42/52/56/608425256.db2.gz WBOYUPDLYZLQEU-UHFFFAOYSA-N -1 1 301.354 1.196 20 0 DDADMM c1cc(N2CCOC3(CCCCC3)C2)nnc1-c1nn[n-]n1 ZINC000826517240 608425257 /nfs/dbraw/zinc/42/52/57/608425257.db2.gz WBOYUPDLYZLQEU-UHFFFAOYSA-N -1 1 301.354 1.196 20 0 DDADMM C[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)[C@@H](O)c1cccc(F)c1 ZINC000824650967 608428241 /nfs/dbraw/zinc/42/82/41/608428241.db2.gz WNCIWURBKADAGW-AMIZOPFISA-N -1 1 315.312 1.330 20 0 DDADMM C[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)[C@@H](O)c1cccc(F)c1 ZINC000824650967 608428243 /nfs/dbraw/zinc/42/82/43/608428243.db2.gz WNCIWURBKADAGW-AMIZOPFISA-N -1 1 315.312 1.330 20 0 DDADMM c1c2ccccc2[nH]c1CCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826522719 608435034 /nfs/dbraw/zinc/43/50/34/608435034.db2.gz LQIDYVZOYMZHKB-UHFFFAOYSA-N -1 1 306.333 1.793 20 0 DDADMM c1c2ccccc2[nH]c1CCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826522719 608435036 /nfs/dbraw/zinc/43/50/36/608435036.db2.gz LQIDYVZOYMZHKB-UHFFFAOYSA-N -1 1 306.333 1.793 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1N[C@@H]1c2ccccc2C[C@H]1O ZINC000826280947 608543551 /nfs/dbraw/zinc/54/35/51/608543551.db2.gz WYLSDFFQPTXXDO-ZIAGYGMSSA-N -1 1 308.345 1.640 20 0 DDADMM Cc1nscc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826339978 609293414 /nfs/dbraw/zinc/29/34/14/609293414.db2.gz BLGLDTUJDFTTSX-UHFFFAOYSA-N -1 1 302.319 1.590 20 0 DDADMM CN(C[C@H]1CCCC[C@@H]1O)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825908127 609324276 /nfs/dbraw/zinc/32/42/76/609324276.db2.gz FLBNTYNZQBAIGO-KOLCDFICSA-N -1 1 322.800 1.902 20 0 DDADMM CN(C[C@H]1CCCC[C@@H]1O)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825908127 609324275 /nfs/dbraw/zinc/32/42/75/609324275.db2.gz FLBNTYNZQBAIGO-KOLCDFICSA-N -1 1 322.800 1.902 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)[C@@H](F)Cc2ccccc2)n1 ZINC000826356800 609326477 /nfs/dbraw/zinc/32/64/77/609326477.db2.gz GFOGZFIMPKZTHY-NSHDSACASA-N -1 1 315.312 1.120 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)[C@@H](F)Cc2ccccc2)n1 ZINC000826356800 609326479 /nfs/dbraw/zinc/32/64/79/609326479.db2.gz GFOGZFIMPKZTHY-NSHDSACASA-N -1 1 315.312 1.120 20 0 DDADMM Cc1nn(C[C@H]2CCC[C@H](C)C2)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334358 609378867 /nfs/dbraw/zinc/37/88/67/609378867.db2.gz RRRZXLONSVPODZ-CABZTGNLSA-N -1 1 302.382 1.867 20 0 DDADMM C[C@@H]1C[C@H](COC(=O)c2sccc2-c2nn[n-]n2)CCO1 ZINC000824883691 609389726 /nfs/dbraw/zinc/38/97/26/609389726.db2.gz ITCPGUDHNZKOFB-RKDXNWHRSA-N -1 1 308.363 1.900 20 0 DDADMM C[C@H]1CC[C@@]2(CCN(C(=O)c3ccc(-c4nnn[n-]4)nc3)C2)C1 ZINC000824916480 609396809 /nfs/dbraw/zinc/39/68/09/609396809.db2.gz KFBFIWWDTQSKFM-MEDUHNTESA-N -1 1 312.377 1.914 20 0 DDADMM C[C@H]1CC[C@@]2(CCN(C(=O)c3ccc(-c4nn[n-]n4)nc3)C2)C1 ZINC000824916480 609396811 /nfs/dbraw/zinc/39/68/11/609396811.db2.gz KFBFIWWDTQSKFM-MEDUHNTESA-N -1 1 312.377 1.914 20 0 DDADMM O=S(=O)(Oc1ccccc1Br)c1c[nH]cn1 ZINC000121535210 696711720 /nfs/dbraw/zinc/71/17/20/696711720.db2.gz JKNBXJVPBAIWQX-UHFFFAOYSA-N -1 1 303.137 1.940 20 0 DDADMM O=S(=O)(Oc1ccccc1Br)c1c[n-]cn1 ZINC000121535210 696711722 /nfs/dbraw/zinc/71/17/22/696711722.db2.gz JKNBXJVPBAIWQX-UHFFFAOYSA-N -1 1 303.137 1.940 20 0 DDADMM Cc1cc[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973202079 695444859 /nfs/dbraw/zinc/44/48/59/695444859.db2.gz XUGAXVPCFKGDDY-XYPYZODXSA-N -1 1 314.345 1.115 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2ccc3oc(=O)oc3c2)[n-]1 ZINC000797235929 700000395 /nfs/dbraw/zinc/00/03/95/700000395.db2.gz UALFWDMSVIZNJK-UHFFFAOYSA-N -1 1 303.226 1.720 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)COC1(C)CCC1 ZINC000746183412 700010018 /nfs/dbraw/zinc/01/00/18/700010018.db2.gz RVICJSOXPDAXLK-UHFFFAOYSA-N -1 1 318.377 1.627 20 0 DDADMM CC1(C)CN(C/C=C\Cl)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000974491944 695679592 /nfs/dbraw/zinc/67/95/92/695679592.db2.gz QLRCCSBFBPQDOO-RNZFLTOJSA-N -1 1 309.797 1.980 20 0 DDADMM O=C([N-]OCc1cccnc1)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000746528878 700029061 /nfs/dbraw/zinc/02/90/61/700029061.db2.gz FHJCRSXRVLRSIZ-CQSZACIVSA-N -1 1 317.389 1.811 20 0 DDADMM COC(=O)CCCCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747211517 700060287 /nfs/dbraw/zinc/06/02/87/700060287.db2.gz DGIYXTBKEYDWPI-UHFFFAOYSA-N -1 1 309.366 1.687 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2ccon2)c(F)c1 ZINC000747291775 700063961 /nfs/dbraw/zinc/06/39/61/700063961.db2.gz MOYUJKGOCZKHLS-UHFFFAOYSA-N -1 1 304.274 1.440 20 0 DDADMM Cc1nonc1CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000747393667 700067687 /nfs/dbraw/zinc/06/76/87/700067687.db2.gz UOLBXEPWSFQWKC-UHFFFAOYSA-N -1 1 305.338 1.410 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCCOC2CCCC2)c1Cl ZINC000057925751 696300979 /nfs/dbraw/zinc/30/09/79/696300979.db2.gz KNJBUHJIDCGJMX-UHFFFAOYSA-N -1 1 321.830 1.701 20 0 DDADMM CCn1nc(C)cc1C(=O)NCCc1n[n-]c(=S)n1CC ZINC000067077332 696358784 /nfs/dbraw/zinc/35/87/84/696358784.db2.gz LFTLVAUFQPENQQ-UHFFFAOYSA-N -1 1 308.411 1.458 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741184 696450477 /nfs/dbraw/zinc/45/04/77/696450477.db2.gz IFRROJBYWUEHEP-NDBYEHHHSA-N -1 1 317.389 1.458 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCCCCc2ccccc2)s1 ZINC000079959464 696465272 /nfs/dbraw/zinc/46/52/72/696465272.db2.gz LTDVSDKCQUZIGN-CYBMUJFWSA-N -1 1 319.430 1.862 20 0 DDADMM Cc1ccsc1CCCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000747870300 700090889 /nfs/dbraw/zinc/09/08/89/700090889.db2.gz KKDLCBMBRWXTAK-NSHDSACASA-N -1 1 321.406 1.492 20 0 DDADMM COc1cccc(C(=O)NCc2n[n-]c(=S)n2C(C)C)n1 ZINC000084188568 696556831 /nfs/dbraw/zinc/55/68/31/696556831.db2.gz OJIUIAXNQNKENF-UHFFFAOYSA-N -1 1 307.379 1.855 20 0 DDADMM Cn1c(CNC(=O)Nc2ccc(F)cc2C#N)n[n-]c1=S ZINC000085619474 696563636 /nfs/dbraw/zinc/56/36/36/696563636.db2.gz XECJLMSSHYWKSB-UHFFFAOYSA-N -1 1 306.326 1.810 20 0 DDADMM CC1CCC(O)(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000087067972 696567346 /nfs/dbraw/zinc/56/73/46/696567346.db2.gz VFKHEBIOYVVTFX-UHFFFAOYSA-N -1 1 321.830 1.293 20 0 DDADMM CN1C(=S)N=NC1CNC(=O)c1cc2ccccc2cc1[O-] ZINC000104124141 696618439 /nfs/dbraw/zinc/61/84/39/696618439.db2.gz WCOLONSLUDAAHJ-UHFFFAOYSA-N -1 1 314.370 1.893 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2ccc(C)cc2F)n1 ZINC000105387092 696622052 /nfs/dbraw/zinc/62/20/52/696622052.db2.gz JVTDUQFTHVINQM-UHFFFAOYSA-N -1 1 312.326 1.238 20 0 DDADMM CC(C)C(=O)N1C[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C1 ZINC000979696003 696629140 /nfs/dbraw/zinc/62/91/40/696629140.db2.gz UCTHTFFHGUHIKV-AVGNSLFASA-N -1 1 317.389 1.410 20 0 DDADMM O=S(=O)([N-]CCOc1cccnc1)c1cc(F)ccc1F ZINC000114497928 696661041 /nfs/dbraw/zinc/66/10/41/696661041.db2.gz OPFWXQIKZRKZKV-UHFFFAOYSA-N -1 1 314.313 1.717 20 0 DDADMM C[C@@](O)(CNC(=O)c1cncc([O-])c1)c1ccc(F)cc1F ZINC000114556374 696661855 /nfs/dbraw/zinc/66/18/55/696661855.db2.gz VSCXJCUFAZIFEP-OAHLLOKOSA-N -1 1 308.284 1.703 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)[C@H](C)c1ccccc1)N(C)C ZINC000798831164 700100107 /nfs/dbraw/zinc/10/01/07/700100107.db2.gz BLPDXNHEKZMMRT-OCCSQVGLSA-N -1 1 312.435 1.780 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cnc3ccccc3c2)s1 ZINC000120854398 696702525 /nfs/dbraw/zinc/70/25/25/696702525.db2.gz LOUAPVGDNWOVRQ-LLVKDONJSA-N -1 1 300.343 1.520 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@@H]1CCC[C@@H](C)C1 ZINC000129294829 696787381 /nfs/dbraw/zinc/78/73/81/696787381.db2.gz HXBOMFRCEANLPM-ZYHUDNBSSA-N -1 1 318.377 1.638 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cc2ccccc2cc1NC(=O)C1CC1 ZINC000135469721 696849312 /nfs/dbraw/zinc/84/93/12/696849312.db2.gz WXFQUMRTURLHMF-UHFFFAOYSA-N -1 1 322.328 1.954 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc2ccccc2cc1NC(=O)C1CC1 ZINC000135469721 696849313 /nfs/dbraw/zinc/84/93/13/696849313.db2.gz WXFQUMRTURLHMF-UHFFFAOYSA-N -1 1 322.328 1.954 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H]2CCCc3sccc32)s1 ZINC000137363504 696858222 /nfs/dbraw/zinc/85/82/22/696858222.db2.gz KEMLEUYFKYGWFR-WCBMZHEXSA-N -1 1 309.416 1.589 20 0 DDADMM Cc1ccc(C(=O)[N-]NC(=O)c2ccc(C#N)cc2)c(Cl)n1 ZINC000142397819 696868531 /nfs/dbraw/zinc/86/85/31/696868531.db2.gz XNFUVRSWTGHMLA-UHFFFAOYSA-N -1 1 314.732 1.990 20 0 DDADMM N#CCC[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000153693391 696919999 /nfs/dbraw/zinc/91/99/99/696919999.db2.gz PGDNAGVQVNVMAW-NWDGAFQWSA-N -1 1 316.386 1.494 20 0 DDADMM O=C(N[C@@H]1CCO[C@@H](C2CC2)C1)c1nnc2ccccc2c1O ZINC000156292893 696961151 /nfs/dbraw/zinc/96/11/51/696961151.db2.gz ABGOCVJLDGMCNB-BXUZGUMPSA-N -1 1 313.357 1.611 20 0 DDADMM Cc1ncoc1CN1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000981026706 696982896 /nfs/dbraw/zinc/98/28/96/696982896.db2.gz KPFTZAJJKZZYIC-UHFFFAOYSA-N -1 1 316.361 1.432 20 0 DDADMM CCC(=O)N1CC[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000982311728 697091588 /nfs/dbraw/zinc/09/15/88/697091588.db2.gz NSKABMYHXQNBAF-JTQLQIEISA-N -1 1 318.377 1.048 20 0 DDADMM O=C(/C=C/C1CC1)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982581637 697153214 /nfs/dbraw/zinc/15/32/14/697153214.db2.gz KQEONLCGTQGAFF-GFUIURDCSA-N -1 1 315.373 1.332 20 0 DDADMM Cc1ccc(N2CC[C@H](NC(=O)c3cncc([O-])c3)C2=O)cc1 ZINC000173385692 697377547 /nfs/dbraw/zinc/37/75/47/697377547.db2.gz XAHJWJSPCPEXIV-HNNXBMFYSA-N -1 1 311.341 1.631 20 0 DDADMM Cc1[nH]c(=O)ccc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000749208685 700151367 /nfs/dbraw/zinc/15/13/67/700151367.db2.gz SQYLNCFYNPPTEQ-CYBMUJFWSA-N -1 1 310.317 1.128 20 0 DDADMM O=C([N-]CCOc1ccc2c(c1)OCO2)C(F)(F)C(F)F ZINC000176013228 697400034 /nfs/dbraw/zinc/40/00/34/697400034.db2.gz IKFGEMCMUHKKFN-UHFFFAOYSA-N -1 1 309.215 1.811 20 0 DDADMM CCC[C@H]1C(=O)NCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000180219169 697446063 /nfs/dbraw/zinc/44/60/63/697446063.db2.gz GVXBIZTVIMLAGL-AWEZNQCLSA-N -1 1 316.357 1.599 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)C2(C)CC2)CCN1C(=O)c1ncccc1[O-] ZINC000984976431 697448292 /nfs/dbraw/zinc/44/82/92/697448292.db2.gz FTEWGFHKSICGSH-NWDGAFQWSA-N -1 1 317.389 1.697 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)[C@@H]2C[C@H]2C)CCN1C(=O)c1ncccc1[O-] ZINC000985243400 697473644 /nfs/dbraw/zinc/47/36/44/697473644.db2.gz REGGEDPPNFWPCG-FVCCEPFGSA-N -1 1 317.389 1.553 20 0 DDADMM Cn1cc(NC(=O)NNC(=O)c2cc(Cl)ccc2[O-])cn1 ZINC000183225846 697485208 /nfs/dbraw/zinc/48/52/08/697485208.db2.gz UDNCTCKWVNJWGI-UHFFFAOYSA-N -1 1 309.713 1.246 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2cccc(N)c2)c1Cl ZINC000184850374 697509134 /nfs/dbraw/zinc/50/91/34/697509134.db2.gz HVFFIZHDUJKXSD-UHFFFAOYSA-N -1 1 300.771 1.134 20 0 DDADMM COCCC(C)(C)C(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000185136556 697514490 /nfs/dbraw/zinc/51/44/90/697514490.db2.gz VXVZONFNMAODLG-UHFFFAOYSA-N -1 1 314.769 1.869 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C[C@@H]1C=CCCC1 ZINC000187822119 697550233 /nfs/dbraw/zinc/55/02/33/697550233.db2.gz IFIXSDOANVXTOM-GFCCVEGCSA-N -1 1 316.361 1.558 20 0 DDADMM CCN1C(=S)N=NC1CNC(=O)c1ccc(C#N)c([O-])c1 ZINC000188445596 697559786 /nfs/dbraw/zinc/55/97/86/697559786.db2.gz VAUAODAFTKKKQZ-UHFFFAOYSA-N -1 1 303.347 1.094 20 0 DDADMM CON(C)C(=O)c1cc(I)ccc1[O-] ZINC000192864489 697642265 /nfs/dbraw/zinc/64/22/65/697642265.db2.gz CDDQSZLSGFPXJO-UHFFFAOYSA-N -1 1 307.087 1.630 20 0 DDADMM CCOCCO[N-]C(=O)[C@H](C)c1ccc(S(C)(=O)=O)cc1 ZINC000772692042 697661629 /nfs/dbraw/zinc/66/16/29/697661629.db2.gz PATKLBREWYUWCW-LLVKDONJSA-N -1 1 315.391 1.278 20 0 DDADMM N#Cc1cn(CCC[N-]C(=O)C(F)(F)F)c2ccccc2c1=O ZINC000193489799 697669150 /nfs/dbraw/zinc/66/91/50/697669150.db2.gz VDHKOJSSFLMOQX-UHFFFAOYSA-N -1 1 323.274 1.942 20 0 DDADMM Cc1nc(CN[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)co1 ZINC000986174196 697720006 /nfs/dbraw/zinc/72/00/06/697720006.db2.gz XXOAAOVQFKLTBP-ZWNOBZJWSA-N -1 1 316.361 1.476 20 0 DDADMM O=C(COc1ccccc1F)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773157172 697720301 /nfs/dbraw/zinc/72/03/01/697720301.db2.gz HPOIALWDPHTNDR-JTQLQIEISA-N -1 1 323.353 1.034 20 0 DDADMM O=C(Cc1ccc(Cl)cc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773159101 697721507 /nfs/dbraw/zinc/72/15/07/697721507.db2.gz QICLBGIJPRZQNT-NSHDSACASA-N -1 1 323.809 1.712 20 0 DDADMM Cc1csc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)c1 ZINC000986251991 697753230 /nfs/dbraw/zinc/75/32/30/697753230.db2.gz OOMQRXMSZDPSNZ-NXEZZACHSA-N -1 1 321.406 1.273 20 0 DDADMM CCOCCCNC(=O)[C@H](C)OC(=O)c1ccc([O-])cc1F ZINC000773567509 697782510 /nfs/dbraw/zinc/78/25/10/697782510.db2.gz AXQMSBURPZDWME-JTQLQIEISA-N -1 1 313.325 1.619 20 0 DDADMM CS(=O)(=O)CC1(COC(=O)c2ccc([O-])cc2F)CC1 ZINC000773585481 697783402 /nfs/dbraw/zinc/78/34/02/697783402.db2.gz VMMDATFMJIZBPO-UHFFFAOYSA-N -1 1 302.323 1.513 20 0 DDADMM Cc1noc(C)c1CC(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774897449 697939624 /nfs/dbraw/zinc/93/96/24/697939624.db2.gz SQLPKYWJPVRSQS-UHFFFAOYSA-N -1 1 308.256 1.446 20 0 DDADMM O=C([N-]CCCOC(=O)c1ccc2c[nH]nc2c1)C(F)(F)F ZINC000774911280 697941144 /nfs/dbraw/zinc/94/11/44/697941144.db2.gz AIOLNFZTYPMEHF-UHFFFAOYSA-N -1 1 315.251 1.788 20 0 DDADMM Cc1nc(-c2cccc(C)c2)[nH]c1C(=O)OCc1n[nH]c(=O)[n-]1 ZINC000775010657 697953850 /nfs/dbraw/zinc/95/38/50/697953850.db2.gz AGTKPNWYAGKKAG-UHFFFAOYSA-N -1 1 313.317 1.874 20 0 DDADMM CN(C[C@H]1CCN(C(=O)C(C)(C)F)C1)C(=O)c1ncccc1[O-] ZINC000987663752 698189188 /nfs/dbraw/zinc/18/91/88/698189188.db2.gz PNZXYLCYZFVQSC-LLVKDONJSA-N -1 1 323.368 1.456 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)C1CCC1 ZINC000987934234 698269013 /nfs/dbraw/zinc/26/90/13/698269013.db2.gz YVPSFRBLVLJXEL-LBPRGKRZSA-N -1 1 317.389 1.508 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000988211864 698309980 /nfs/dbraw/zinc/30/99/80/698309980.db2.gz QIMQPPZTSJQTRQ-RYUDHWBXSA-N -1 1 323.368 1.456 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)C(C)(C)C)C1)C(=O)c1ncccc1[O-] ZINC000988331624 698330320 /nfs/dbraw/zinc/33/03/20/698330320.db2.gz ODQKAQTZLCMVQG-LBPRGKRZSA-N -1 1 319.405 1.754 20 0 DDADMM CN(CC1CCN(C(=O)c2ncccc2[O-])CC1)C(=O)C1CC1 ZINC000988516315 698396089 /nfs/dbraw/zinc/39/60/89/698396089.db2.gz HBPOVKLLFMKENB-UHFFFAOYSA-N -1 1 317.389 1.508 20 0 DDADMM CC[C@@H](NC(=O)NC[C@H](c1cccc(F)c1)N(C)C)C(=O)[O-] ZINC000780359446 698510574 /nfs/dbraw/zinc/51/05/74/698510574.db2.gz MMSKLOFECYBRCU-CHWSQXEVSA-N -1 1 311.357 1.591 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CCC[C@@H]1C(=O)[O-])c1ccc(F)cc1 ZINC000780452303 698519739 /nfs/dbraw/zinc/51/97/39/698519739.db2.gz VAUKLXLFIYWXLV-ZIAGYGMSSA-N -1 1 323.368 1.687 20 0 DDADMM O=C([O-])[C@H](NC(=O)N[C@@H]1CCCc2cn[nH]c21)c1ccccc1 ZINC000780682241 698547797 /nfs/dbraw/zinc/54/77/97/698547797.db2.gz AGDXYEMNWLBUBA-TZMCWYRMSA-N -1 1 314.345 1.912 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCCC1OCCO1 ZINC000781165083 698595018 /nfs/dbraw/zinc/59/50/18/698595018.db2.gz WIRISZXYMLCBTB-UHFFFAOYSA-N -1 1 321.376 1.039 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CCC=CCC1 ZINC000989809647 698775392 /nfs/dbraw/zinc/77/53/92/698775392.db2.gz MWAGPPDXWDLIPE-YPMHNXCESA-N -1 1 319.409 1.336 20 0 DDADMM CC(C)(C)OC1CC(N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000341633863 698801076 /nfs/dbraw/zinc/80/10/76/698801076.db2.gz AHEOTMRRKPOKRH-UHFFFAOYSA-N -1 1 311.455 1.419 20 0 DDADMM COC[C@H](C)OC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000783296445 698831926 /nfs/dbraw/zinc/83/19/26/698831926.db2.gz GUMZKQRFYUDTBG-VIFPVBQESA-N -1 1 307.350 1.562 20 0 DDADMM COCC1(C(=O)Nc2nc(Br)ccc2[O-])CC1 ZINC000783900546 698890513 /nfs/dbraw/zinc/89/05/13/698890513.db2.gz CIOWTPAETSKAFI-UHFFFAOYSA-N -1 1 301.140 1.915 20 0 DDADMM COc1cccc([C@@H](CNC(=O)N(C)CC(=O)[O-])N(C)C)c1 ZINC000386434813 699077579 /nfs/dbraw/zinc/07/75/79/699077579.db2.gz RNFFESYCYRMRGG-CYBMUJFWSA-N -1 1 309.366 1.024 20 0 DDADMM O=C(Cc1ccc(Cl)cn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425321629 699151454 /nfs/dbraw/zinc/15/14/54/699151454.db2.gz MVOPVSAWUMVGNE-UHFFFAOYSA-N -1 1 322.752 1.772 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)c2cc3c(cc2O)OCO3)s[n-]1 ZINC000436730441 699167329 /nfs/dbraw/zinc/16/73/29/699167329.db2.gz LHLCXAJAXWRSOH-ZCFIWIBFSA-N -1 1 323.330 1.354 20 0 DDADMM O=C(Cc1cccs1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969574 699195576 /nfs/dbraw/zinc/19/55/76/699195576.db2.gz IBDFLIGPNCIWDD-UHFFFAOYSA-N -1 1 317.370 1.032 20 0 DDADMM O=C(CCC1CCCC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969349 699195647 /nfs/dbraw/zinc/19/56/47/699195647.db2.gz NABOBIAZXINLHB-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H]1COCC[C@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703057409 699235099 /nfs/dbraw/zinc/23/50/99/699235099.db2.gz CLVFTBNFTQTVPY-JGVFFNPUSA-N -1 1 308.762 1.577 20 0 DDADMM CCC[C@@H](CCO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000716962782 699285542 /nfs/dbraw/zinc/28/55/42/699285542.db2.gz KNUFIAZHGDARRX-QMMMGPOBSA-N -1 1 310.778 1.704 20 0 DDADMM CCOC(=O)c1cncnc1CSc1nc(C(=O)OC)c[n-]1 ZINC000788504299 699319835 /nfs/dbraw/zinc/31/98/35/699319835.db2.gz DITNHQRLYUHZPN-UHFFFAOYSA-N -1 1 322.346 1.455 20 0 DDADMM CC(C)CNC(=O)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000725882324 699337520 /nfs/dbraw/zinc/33/75/20/699337520.db2.gz PAFYBTKWNBCUDZ-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@@H]1CC[C@H](C)O1 ZINC000789047567 699358361 /nfs/dbraw/zinc/35/83/61/699358361.db2.gz RGVAFDJVAWNSOQ-CABZTGNLSA-N -1 1 319.361 1.705 20 0 DDADMM C[C@@H](CN1CCOCC1)N(C)C(=O)c1c([O-])cccc1Cl ZINC000790133756 699444633 /nfs/dbraw/zinc/44/46/33/699444633.db2.gz ZONKXRRZIWSESB-NSHDSACASA-N -1 1 312.797 1.838 20 0 DDADMM CCCNC(=O)[C@@H](C)OC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000729425196 699478641 /nfs/dbraw/zinc/47/86/41/699478641.db2.gz VUDBYXZXORPGEN-LLVKDONJSA-N -1 1 317.345 1.649 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC4(C3)OCCO4)cnc2n1 ZINC000731226083 699524623 /nfs/dbraw/zinc/52/46/23/699524623.db2.gz KGUFAXSBKPVGAC-UHFFFAOYSA-N -1 1 315.329 1.233 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CCC3(C1)OCCO3)c2=O ZINC000731226083 699524625 /nfs/dbraw/zinc/52/46/25/699524625.db2.gz KGUFAXSBKPVGAC-UHFFFAOYSA-N -1 1 315.329 1.233 20 0 DDADMM O=C(NCCCN1C(=O)CCC1=O)c1c([O-])cccc1Cl ZINC000731826418 699537753 /nfs/dbraw/zinc/53/77/53/699537753.db2.gz BAENIVBDBSCPBB-UHFFFAOYSA-N -1 1 310.737 1.315 20 0 DDADMM O=C(N1CCO[C@H](c2nn[n-]n2)C1)C1(c2ccccc2)CCC1 ZINC000731880617 699539617 /nfs/dbraw/zinc/53/96/17/699539617.db2.gz RPGKXJZXNQBXHD-ZDUSSCGKSA-N -1 1 313.361 1.222 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc(N2CCCC2=O)cc1 ZINC000732216327 699550517 /nfs/dbraw/zinc/55/05/17/699550517.db2.gz UGOOJBJZMJEKFE-UHFFFAOYSA-N -1 1 321.358 1.613 20 0 DDADMM CC(C)COC(=O)N1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000732281700 699553595 /nfs/dbraw/zinc/55/35/95/699553595.db2.gz ZIPBLBCTRMXSLU-UHFFFAOYSA-N -1 1 307.350 1.338 20 0 DDADMM CC(C)(NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccc(F)cc1 ZINC000733147876 699580717 /nfs/dbraw/zinc/58/07/17/699580717.db2.gz KYZRHNUZYDMLHW-UHFFFAOYSA-N -1 1 319.336 1.117 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCC1CCOCC1 ZINC000735488691 699695654 /nfs/dbraw/zinc/69/56/54/699695654.db2.gz XRFGDNRIRZVZHK-UHFFFAOYSA-N -1 1 300.380 1.114 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCCC1 ZINC000735918755 699710916 /nfs/dbraw/zinc/71/09/16/699710916.db2.gz BGHUJWNGJBZPRH-UHFFFAOYSA-N -1 1 322.308 1.180 20 0 DDADMM C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)c1c([O-])cccc1Cl ZINC000736709640 699730497 /nfs/dbraw/zinc/73/04/97/699730497.db2.gz BQJNMMVLTVGBPS-RKDXNWHRSA-N -1 1 317.794 1.693 20 0 DDADMM CSc1ccc(C)c(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1 ZINC000737191229 699737246 /nfs/dbraw/zinc/73/72/46/699737246.db2.gz AOASPWXWPJKDAB-GFCCVEGCSA-N -1 1 319.390 1.444 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(F)cc1)N(C)CCCNC(=O)[O-] ZINC000738631604 699764022 /nfs/dbraw/zinc/76/40/22/699764022.db2.gz WSIHGSMEPYMJKB-NSHDSACASA-N -1 1 311.357 1.420 20 0 DDADMM O=S(=O)([N-]Cc1cccnc1F)c1cccc(F)c1F ZINC000795266405 699854352 /nfs/dbraw/zinc/85/43/52/699854352.db2.gz YYVRMTYLEHFWRV-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1c1ccccc1 ZINC000742896904 699893368 /nfs/dbraw/zinc/89/33/68/699893368.db2.gz FHLNWFHOQNGAFD-IUODEOHRSA-N -1 1 313.357 1.146 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)Nc2cc(C)no2)[n-]1 ZINC000796370554 699929987 /nfs/dbraw/zinc/92/99/87/699929987.db2.gz XEAIRSSOFDOMMI-QMMMGPOBSA-N -1 1 321.289 1.282 20 0 DDADMM O=C(OCC[C@@H]1CCOC1=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801203193 700277412 /nfs/dbraw/zinc/27/74/12/700277412.db2.gz OYPWVGXRIDXSCB-NSHDSACASA-N -1 1 316.313 1.688 20 0 DDADMM O=C(c1c([O-])cc(F)cc1F)N1CCC(c2nc[nH]n2)CC1 ZINC000751427118 700287675 /nfs/dbraw/zinc/28/76/75/700287675.db2.gz YIKZXZQABXQFNO-UHFFFAOYSA-N -1 1 308.288 1.808 20 0 DDADMM C[S@@](=O)CCNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000801618782 700327430 /nfs/dbraw/zinc/32/74/30/700327430.db2.gz ZUESNJWMZFAONK-HXUWFJFHSA-N -1 1 312.778 1.702 20 0 DDADMM CC(C)CCn1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)nn1 ZINC000801701097 700331925 /nfs/dbraw/zinc/33/19/25/700331925.db2.gz YYWQFIWQZTWEJY-UHFFFAOYSA-N -1 1 313.365 1.232 20 0 DDADMM Cc1nc(CC2CC2)oc1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801704872 700333219 /nfs/dbraw/zinc/33/32/19/700333219.db2.gz FWWDUZBKJMDOSW-UHFFFAOYSA-N -1 1 311.345 1.843 20 0 DDADMM CCCCC[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OC ZINC000751990343 700333927 /nfs/dbraw/zinc/33/39/27/700333927.db2.gz YAIWVHZJXPBBDD-LBPRGKRZSA-N -1 1 318.395 1.524 20 0 DDADMM O=C([N-]N1CC(=O)NC1=O)[C@@H](F)c1ccc(C(F)(F)F)cc1 ZINC000801819588 700341557 /nfs/dbraw/zinc/34/15/57/700341557.db2.gz CJPLFVNXEIHZLW-VIFPVBQESA-N -1 1 319.214 1.299 20 0 DDADMM Cc1cc([C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)ccc1F ZINC000752505753 700369121 /nfs/dbraw/zinc/36/91/21/700369121.db2.gz YFYQSZHHEMXONA-LLVKDONJSA-N -1 1 319.336 1.252 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCNC(=O)C(C)C ZINC000756229380 700609177 /nfs/dbraw/zinc/60/91/77/700609177.db2.gz ZATZPVMOSSMDPQ-UHFFFAOYSA-N -1 1 322.409 1.506 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H](O)c2ccsc2)sc1C ZINC000758515225 700709638 /nfs/dbraw/zinc/70/96/38/700709638.db2.gz JXGJVGGPPVKTOY-SNVBAGLBSA-N -1 1 318.445 1.833 20 0 DDADMM CS(=O)(=O)C1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000761603993 700865225 /nfs/dbraw/zinc/86/52/25/700865225.db2.gz WDJMWABOGIBENW-UHFFFAOYSA-N -1 1 317.794 1.695 20 0 DDADMM C[C@H]1CN(C(=O)c2c([O-])cccc2Cl)CC[S@@](=O)C1 ZINC000762549245 700897827 /nfs/dbraw/zinc/89/78/27/700897827.db2.gz SVGOPVJEIYETJY-ZRNGKTOUSA-N -1 1 301.795 1.886 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N1CCCC[C@H]1C(=O)[O-] ZINC000763101308 700925679 /nfs/dbraw/zinc/92/56/79/700925679.db2.gz URXYFLRDOMDCQV-KBPBESRZSA-N -1 1 320.389 1.941 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(F)(F)C2(O)CCC2)c[n-]1 ZINC000763645015 700942982 /nfs/dbraw/zinc/94/29/82/700942982.db2.gz DDCOHBVMHODHHV-UHFFFAOYSA-N -1 1 303.265 1.075 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000763984672 700956233 /nfs/dbraw/zinc/95/62/33/700956233.db2.gz UULJSKVZGLEDMJ-SECBINFHSA-N -1 1 303.318 1.325 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000763984672 700956234 /nfs/dbraw/zinc/95/62/34/700956234.db2.gz UULJSKVZGLEDMJ-SECBINFHSA-N -1 1 303.318 1.325 20 0 DDADMM CCOC(=O)[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000809689941 701680632 /nfs/dbraw/zinc/68/06/32/701680632.db2.gz FJEWBFXQSPCQFH-JTQLQIEISA-N -1 1 315.272 1.075 20 0 DDADMM Cn1[n-]c(COC(=O)CSc2ccccc2Cl)nc1=O ZINC000765391129 701009401 /nfs/dbraw/zinc/00/94/01/701009401.db2.gz MLSQADAAAABLJO-UHFFFAOYSA-N -1 1 313.766 1.597 20 0 DDADMM Cn1[n-]c(COC(=O)CSCc2ccc(F)cc2)nc1=O ZINC000765391760 701009504 /nfs/dbraw/zinc/00/95/04/701009504.db2.gz IDAUTNUFZWORIR-UHFFFAOYSA-N -1 1 311.338 1.224 20 0 DDADMM Cc1ccc2c(CC(=O)OCc3nc(=O)n(C)[n-]3)coc2c1 ZINC000765396785 701009605 /nfs/dbraw/zinc/00/96/05/701009605.db2.gz WXTBMYTXIZUBIR-UHFFFAOYSA-N -1 1 301.302 1.449 20 0 DDADMM Cn1[n-]c(COC(=O)CSc2ccc3c(c2)CCC3)nc1=O ZINC000765409453 701010332 /nfs/dbraw/zinc/01/03/32/701010332.db2.gz RYQQYDNOZMQOII-UHFFFAOYSA-N -1 1 319.386 1.433 20 0 DDADMM Cn1[n-]c(COC(=O)COc2ccc(F)cc2Cl)nc1=O ZINC000765409466 701010338 /nfs/dbraw/zinc/01/03/38/701010338.db2.gz SGSMEMBRQGOTTI-UHFFFAOYSA-N -1 1 315.688 1.023 20 0 DDADMM Cc1cc(Br)oc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765444790 701013110 /nfs/dbraw/zinc/01/31/10/701013110.db2.gz FCIMXSIRLJDFRC-UHFFFAOYSA-N -1 1 316.111 1.129 20 0 DDADMM CC(C)[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(F)cc1 ZINC000765496158 701016167 /nfs/dbraw/zinc/01/61/67/701016167.db2.gz KHJUETRSQPTJPK-ZDUSSCGKSA-N -1 1 307.325 1.731 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC(O)(C(C)C)C1 ZINC000765565405 701019211 /nfs/dbraw/zinc/01/92/11/701019211.db2.gz PEDUAEQQOOYDHH-UHFFFAOYSA-N -1 1 307.394 1.631 20 0 DDADMM O=C([O-])[C@@]1(NCc2cccnc2Br)CCOC1 ZINC000864510449 706184490 /nfs/dbraw/zinc/18/44/90/706184490.db2.gz AQACQDARIQQPJE-LLVKDONJSA-N -1 1 301.140 1.177 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2[nH]nc(C3CC3)c2C)nc1C ZINC000768009870 701152283 /nfs/dbraw/zinc/15/22/83/701152283.db2.gz WIYOHJRDYIBEDS-UHFFFAOYSA-N -1 1 309.395 1.921 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cccnc1Br ZINC000769914867 701260973 /nfs/dbraw/zinc/26/09/73/701260973.db2.gz VFZLWJMOGWDLTD-UHFFFAOYSA-N -1 1 318.152 1.643 20 0 DDADMM CCOc1cc(C(=O)NCc2cnn(C)n2)cc(Cl)c1[O-] ZINC000805212691 701372658 /nfs/dbraw/zinc/37/26/58/701372658.db2.gz POXMMFAIIMKAPX-UHFFFAOYSA-N -1 1 310.741 1.503 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)c1ccc(Br)cc1 ZINC000805604359 701398140 /nfs/dbraw/zinc/39/81/40/701398140.db2.gz GBLNEJMBCUAVGT-UHFFFAOYSA-N -1 1 310.107 1.607 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)Nc1cccc2ncccc21 ZINC000805607852 701399477 /nfs/dbraw/zinc/39/94/77/701399477.db2.gz SGAOHPACXJIOIX-VIFPVBQESA-N -1 1 311.301 1.537 20 0 DDADMM COc1ccc(Cl)cc1NC(=O)COC(=O)c1cn[n-]n1 ZINC000805607589 701399485 /nfs/dbraw/zinc/39/94/85/701399485.db2.gz YIANUAGKXIYMNT-UHFFFAOYSA-N -1 1 310.697 1.262 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Nc3cccc4c3CCO4)ccnc1-2 ZINC000806482933 701436936 /nfs/dbraw/zinc/43/69/36/701436936.db2.gz UVTHORPNTGIECM-UHFFFAOYSA-N -1 1 309.329 1.921 20 0 DDADMM C[C@@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CCCOC1 ZINC000806483643 701437342 /nfs/dbraw/zinc/43/73/42/701437342.db2.gz LRPOEHNQMDBBNC-GHMZBOCLSA-N -1 1 303.366 1.278 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@@H]([C@@H]4CCOC4)C3)ccnc1-2 ZINC000806491224 701437709 /nfs/dbraw/zinc/43/77/09/701437709.db2.gz WLPGYWLVOYMEIL-VXGBXAGGSA-N -1 1 315.377 1.232 20 0 DDADMM CCn1ccc(CN(C)C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000806489708 701437835 /nfs/dbraw/zinc/43/78/35/701437835.db2.gz AWANOUQDWISIMP-UHFFFAOYSA-N -1 1 312.377 1.827 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@]3(C)CCO[C@@H]3C3CC3)ccnc1-2 ZINC000806493521 701438139 /nfs/dbraw/zinc/43/81/39/701438139.db2.gz XAENGCLGTWMMAC-CZUORRHYSA-N -1 1 315.377 1.421 20 0 DDADMM Cc1noc([C@@H](C)N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000810068278 701720103 /nfs/dbraw/zinc/72/01/03/701720103.db2.gz LYSPHGCKEJPNTB-PSASIEDQSA-N -1 1 320.315 1.830 20 0 DDADMM O=C(C[C@@H]1C=CCCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952613 706609552 /nfs/dbraw/zinc/60/95/52/706609552.db2.gz LTSAFVGOQGTQCB-LLVKDONJSA-N -1 1 303.362 1.618 20 0 DDADMM CC(C)[C@]1(C)C[C@@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952869 706610100 /nfs/dbraw/zinc/61/01/00/706610100.db2.gz PBRBSCZVHFYSFX-BZNIZROVSA-N -1 1 305.378 1.553 20 0 DDADMM CO[C@@H]1CC[C@H](C)N(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000866083162 706613304 /nfs/dbraw/zinc/61/33/04/706613304.db2.gz LRDJOABEASONPR-WDEREUQCSA-N -1 1 301.346 1.563 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NCC2CCC2)C1 ZINC000868049715 701913733 /nfs/dbraw/zinc/91/37/33/701913733.db2.gz RSFRFIWRGLHRAX-LBPRGKRZSA-N -1 1 307.316 1.639 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2[C@@H]1O)c1ccc([O-])c(F)c1 ZINC000811567690 702007925 /nfs/dbraw/zinc/00/79/25/702007925.db2.gz BGHOFKXURPFODQ-DOMZBBRYSA-N -1 1 303.289 1.756 20 0 DDADMM CC[C@H](C)C[C@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868318971 702063561 /nfs/dbraw/zinc/06/35/61/702063561.db2.gz KFPMVECGVZJJEP-QWRGUYRKSA-N -1 1 322.409 1.879 20 0 DDADMM CCn1ncc(C(=O)Nc2nc(Br)ccc2[O-])n1 ZINC000816426392 702085125 /nfs/dbraw/zinc/08/51/25/702085125.db2.gz HAXSCFYHLIMIFN-UHFFFAOYSA-N -1 1 312.127 1.413 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3C[C@@H](C)OC3=O)cnc2n1 ZINC000816623732 702129435 /nfs/dbraw/zinc/12/94/35/702129435.db2.gz IVFZTFDJEXGPCP-KCJUWKMLSA-N -1 1 301.302 1.078 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1C[C@@H](C)OC1=O)c2=O ZINC000816623732 702129438 /nfs/dbraw/zinc/12/94/38/702129438.db2.gz IVFZTFDJEXGPCP-KCJUWKMLSA-N -1 1 301.302 1.078 20 0 DDADMM C[C@@H]1[C@H](CO)CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000840556305 702152108 /nfs/dbraw/zinc/15/21/08/702152108.db2.gz CHLCKDMQIXXIIG-KOLCDFICSA-N -1 1 306.391 1.251 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1nc(Br)ccc1F ZINC000816740809 702173927 /nfs/dbraw/zinc/17/39/27/702173927.db2.gz ONPULLDBNSRNIL-UHFFFAOYSA-N -1 1 315.102 1.973 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OC/C=C/Cl)N1C[C@@H](C)O[C@H](C)C1 ZINC000812398545 702174429 /nfs/dbraw/zinc/17/44/29/702174429.db2.gz SRZUGCQYEQZAHK-YPOUMARWSA-N -1 1 304.818 1.921 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868524846 702177175 /nfs/dbraw/zinc/17/71/75/702177175.db2.gz KDGFGRVIRFFIFK-UWVGGRQHSA-N -1 1 306.366 1.243 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868524847 702177306 /nfs/dbraw/zinc/17/73/06/702177306.db2.gz KDGFGRVIRFFIFK-VHSXEESVSA-N -1 1 306.366 1.243 20 0 DDADMM CCC(CC)N1C[C@H](C(=O)[N-]OCCOC(C)C)CC1=O ZINC000812804164 702243432 /nfs/dbraw/zinc/24/34/32/702243432.db2.gz CSBYJCAIXZXWPZ-GFCCVEGCSA-N -1 1 300.399 1.496 20 0 DDADMM COCCN1c2ccccc2C[C@H]1C[N-]C(=O)C(F)(F)F ZINC000817291674 702333364 /nfs/dbraw/zinc/33/33/64/702333364.db2.gz SMIGXESTNOKTRN-NSHDSACASA-N -1 1 302.296 1.743 20 0 DDADMM CO[C@](C)([C@H](C)[N-]S(=O)(=O)c1c[nH]nc1Cl)C1CC1 ZINC000841562038 702499171 /nfs/dbraw/zinc/49/91/71/702499171.db2.gz TXYPQQDZIMAKFY-WRWORJQWSA-N -1 1 307.803 1.545 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC2=C(CCC(=O)N2)C1 ZINC000869222584 702517534 /nfs/dbraw/zinc/51/75/34/702517534.db2.gz FWIJMUIWZKFKBM-UHFFFAOYSA-N -1 1 320.776 1.985 20 0 DDADMM CCOC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccccc1F ZINC000817912549 702518565 /nfs/dbraw/zinc/51/85/65/702518565.db2.gz KCLAOHJNSTUOHR-UHFFFAOYSA-N -1 1 314.294 1.493 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccccc1Cl ZINC000866380449 706685725 /nfs/dbraw/zinc/68/57/25/706685725.db2.gz FHOJTBVUFPERFM-KRWDZBQOSA-N -1 1 310.828 1.792 20 0 DDADMM CC[C@H]1CCC[C@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869528827 702636982 /nfs/dbraw/zinc/63/69/82/702636982.db2.gz NESUJOHXDPNKLG-GXSJLCMTSA-N -1 1 323.311 1.994 20 0 DDADMM CO[C@H](CC(C)C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000842117382 702673429 /nfs/dbraw/zinc/67/34/29/702673429.db2.gz CBJWJXACIMALOJ-CYBMUJFWSA-N -1 1 307.346 1.547 20 0 DDADMM CC(C)[C@]1(C)C[C@@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016544 702803747 /nfs/dbraw/zinc/80/37/47/702803747.db2.gz UJDBFWGSDWIJCA-OCCSQVGLSA-N -1 1 316.467 1.599 20 0 DDADMM Nc1ccc(-c2nc([C@@H]3CC(=O)N(CC4CC4)C3)no2)c([O-])c1 ZINC000843193390 702838580 /nfs/dbraw/zinc/83/85/80/702838580.db2.gz JIRZKBBKMRESGE-SNVBAGLBSA-N -1 1 314.345 1.750 20 0 DDADMM O=C([O-])c1nnc2ccccc2c1NCc1n[nH]c(C2CC2)n1 ZINC000844214992 702993850 /nfs/dbraw/zinc/99/38/50/702993850.db2.gz BUDYDMSYLXKZFY-UHFFFAOYSA-N -1 1 310.317 1.936 20 0 DDADMM CN(C)[C@H](CNC(=O)COCC(=O)[O-])c1ccc(Cl)cc1 ZINC000844251726 703000561 /nfs/dbraw/zinc/00/05/61/703000561.db2.gz BKPJEDQLXFXBFA-GFCCVEGCSA-N -1 1 314.769 1.160 20 0 DDADMM CCON(CC)C(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000831486977 706717425 /nfs/dbraw/zinc/71/74/25/706717425.db2.gz GKZFRCVGTXHUOE-UHFFFAOYSA-N -1 1 316.361 1.895 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2CSC[C@H]2c2ccccc2)nc1=O ZINC000845412120 703154559 /nfs/dbraw/zinc/15/45/59/703154559.db2.gz PUYNBGCKLCIXBH-NWDGAFQWSA-N -1 1 319.386 1.298 20 0 DDADMM CCO[N-]C(=O)CNCc1cccc(F)c1Br ZINC000846081171 703235366 /nfs/dbraw/zinc/23/53/66/703235366.db2.gz KYHLHHGOFHPOSW-UHFFFAOYSA-N -1 1 305.147 1.746 20 0 DDADMM C[C@H]1CN(Cc2cn(CC(=O)[O-])nn2)CCc2ccccc21 ZINC000846290672 703262644 /nfs/dbraw/zinc/26/26/44/703262644.db2.gz LBJCLGVPRCQJQS-LBPRGKRZSA-N -1 1 300.362 1.525 20 0 DDADMM Cc1ccc(F)c([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)c1 ZINC000882662100 707736922 /nfs/dbraw/zinc/73/69/22/707736922.db2.gz KPNAAEACEUBDHS-GOSISDBHSA-N -1 1 309.388 1.365 20 0 DDADMM Cn1cc(C[C@H](CO)CNC(=O)c2c([O-])cccc2Cl)cn1 ZINC000846645102 703306734 /nfs/dbraw/zinc/30/67/34/703306734.db2.gz AUNTYCWHGJOGTG-NSHDSACASA-N -1 1 323.780 1.360 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2c(C)noc2Cl)n1 ZINC000846651658 703308702 /nfs/dbraw/zinc/30/87/02/703308702.db2.gz XINPVJXRUDCHNR-UHFFFAOYSA-N -1 1 312.713 1.717 20 0 DDADMM CC(C)(C)CCN1CCN(C(=O)c2ccnc(C(=O)[O-])c2)CC1 ZINC000846708710 703322304 /nfs/dbraw/zinc/32/23/04/703322304.db2.gz JILMYVUQIMUNPU-UHFFFAOYSA-N -1 1 319.405 1.974 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C1(CO)CCC1 ZINC000831601793 706736888 /nfs/dbraw/zinc/73/68/88/706736888.db2.gz KJKKXQYDYUALDY-JTQLQIEISA-N -1 1 321.830 1.529 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCc2c1cccc2F ZINC000866590841 706738798 /nfs/dbraw/zinc/73/87/98/706738798.db2.gz WLPPGEQRMMAANE-CWTRNNRKSA-N -1 1 320.411 1.765 20 0 DDADMM O=C(CCC1CCCCCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000847471511 703423337 /nfs/dbraw/zinc/42/33/37/703423337.db2.gz CCSHSQZVTHSZSN-ZDUSSCGKSA-N -1 1 307.398 1.850 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)c2cscn2)o1 ZINC000847813113 703471730 /nfs/dbraw/zinc/47/17/30/703471730.db2.gz QGYWEKZHRZJPOI-SSDOTTSWSA-N -1 1 316.360 1.562 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(CC)s2)n[n-]1 ZINC000879654064 706750092 /nfs/dbraw/zinc/75/00/92/706750092.db2.gz YHAYEYFYZKPATH-UHFFFAOYSA-N -1 1 308.363 1.535 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(CC)s2)n1 ZINC000879654064 706750093 /nfs/dbraw/zinc/75/00/93/706750093.db2.gz YHAYEYFYZKPATH-UHFFFAOYSA-N -1 1 308.363 1.535 20 0 DDADMM CCO/C=C/[C@@](O)(CNC(=O)c1cncc([O-])c1)C(F)(F)F ZINC000848177535 703521662 /nfs/dbraw/zinc/52/16/62/703521662.db2.gz KIQZCGQCPMULBB-AAOUONPWSA-N -1 1 320.267 1.361 20 0 DDADMM CO[C@H](C)CC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341747 703541126 /nfs/dbraw/zinc/54/11/26/703541126.db2.gz UIGPHHFMRZPFEP-OPRDCNLKSA-N -1 1 310.316 1.469 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]CC(=O)OCC1CC1)C1CCCC1 ZINC000849372842 703640686 /nfs/dbraw/zinc/64/06/86/703640686.db2.gz GIHLPLGROGDIHA-ZDUSSCGKSA-N -1 1 319.423 1.064 20 0 DDADMM CC[C@H](COCC1CC1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000849418158 703645953 /nfs/dbraw/zinc/64/59/53/703645953.db2.gz BNPXIUXSHNUQIY-SECBINFHSA-N -1 1 307.803 1.547 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)C2CCCC2)o1 ZINC000851139591 703783536 /nfs/dbraw/zinc/78/35/36/703783536.db2.gz NPNNTKQDYAHQGC-VIFPVBQESA-N -1 1 301.364 1.923 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)c1cc2ccccc2o1)N(C)C ZINC000851248501 703788131 /nfs/dbraw/zinc/78/81/31/703788131.db2.gz YEVHTQGMSKIGDB-CQSZACIVSA-N -1 1 324.402 1.824 20 0 DDADMM CC(C)OC[C@H](O)COC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869736761 703839542 /nfs/dbraw/zinc/83/95/42/703839542.db2.gz PDKCOTHYNAOODX-NSHDSACASA-N -1 1 302.754 1.917 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)C[C@]1(C)CC1(Cl)Cl ZINC000866736451 706780606 /nfs/dbraw/zinc/78/06/06/706780606.db2.gz XUVLEDYXODPITO-VIFPVBQESA-N -1 1 318.222 1.441 20 0 DDADMM O=C(CN1CCC2=C(CCC(=O)N2)C1)[N-]OCc1ccccc1 ZINC000852297207 704000953 /nfs/dbraw/zinc/00/09/53/704000953.db2.gz GPUIHKVYEUKKOA-UHFFFAOYSA-N -1 1 315.373 1.104 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(CC(=O)N2CCCCC2)C1 ZINC000879762130 706783668 /nfs/dbraw/zinc/78/36/68/706783668.db2.gz BNTFYDZDGCREHP-ZDUSSCGKSA-N -1 1 321.343 1.142 20 0 DDADMM CCCCNC(=O)[C@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764022 706784559 /nfs/dbraw/zinc/78/45/59/706784559.db2.gz RTDXQIVGKZJPML-GXFFZTMASA-N -1 1 323.359 1.434 20 0 DDADMM C[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866741898 706783873 /nfs/dbraw/zinc/78/38/73/706783873.db2.gz MOQQFFWRGLUDGV-SFYZADRCSA-N -1 1 311.329 1.906 20 0 DDADMM CO[C@@H]1CC[C@H](C)N(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000870723493 704113381 /nfs/dbraw/zinc/11/33/81/704113381.db2.gz FHJQIHLIZMPANN-GXSJLCMTSA-N -1 1 306.391 1.658 20 0 DDADMM Cc1cnc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)nc1 ZINC000852757632 704116772 /nfs/dbraw/zinc/11/67/72/704116772.db2.gz ZERSRRXZJROBOO-MNOVXSKESA-N -1 1 316.327 1.674 20 0 DDADMM CCOC(=O)[C@H](CC)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852757697 704116985 /nfs/dbraw/zinc/11/69/85/704116985.db2.gz HOULSGJPHPYVGP-VWYCJHECSA-N -1 1 324.343 1.717 20 0 DDADMM Cc1cc(C[N-]C(=O)C(F)(F)F)cc(NCCC(N)=O)c1 ZINC000819490774 704133120 /nfs/dbraw/zinc/13/31/20/704133120.db2.gz MHECENSRHRCGNP-UHFFFAOYSA-N -1 1 303.284 1.461 20 0 DDADMM O=C(NC1COC1)c1ccc(I)cc1[O-] ZINC000870871947 704152104 /nfs/dbraw/zinc/15/21/04/704152104.db2.gz DWGBLGDWGYEUHQ-UHFFFAOYSA-N -1 1 319.098 1.125 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2cc(C)nc(Cl)c2)[n-]1 ZINC000853018830 704184967 /nfs/dbraw/zinc/18/49/67/704184967.db2.gz UQZMSPYHQXUMPC-UHFFFAOYSA-N -1 1 316.770 1.282 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2cc(C)nc(Cl)c2)n[n-]1 ZINC000853018830 704184968 /nfs/dbraw/zinc/18/49/68/704184968.db2.gz UQZMSPYHQXUMPC-UHFFFAOYSA-N -1 1 316.770 1.282 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@H]2CCCO2)c1 ZINC000820201437 704239619 /nfs/dbraw/zinc/23/96/19/704239619.db2.gz PBOZIPNTPCGLDI-GTJPDFRWSA-N -1 1 312.387 1.915 20 0 DDADMM C[C@@]1(C(F)(F)F)CN(C(=O)C(=O)c2ccc([O-])cc2)CCO1 ZINC000871439206 704295285 /nfs/dbraw/zinc/29/52/85/704295285.db2.gz XLLASASNLJRBLP-ZDUSSCGKSA-N -1 1 317.263 1.755 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1C[C@@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000871538506 704310512 /nfs/dbraw/zinc/31/05/12/704310512.db2.gz KQOXRJBXUNJWGQ-TXEJJXNPSA-N -1 1 319.357 1.811 20 0 DDADMM COC(=O)c1cccc(NC(=O)CN[C@@H](C(=O)[O-])C(C)C)c1 ZINC000820689449 704320643 /nfs/dbraw/zinc/32/06/43/704320643.db2.gz MGFFQAILIGXBAL-CYBMUJFWSA-N -1 1 308.334 1.111 20 0 DDADMM O=S(=O)([N-]CCCC1CC1)c1nc[nH]c1Br ZINC000866812761 706811085 /nfs/dbraw/zinc/81/10/85/706811085.db2.gz LIBXGBIJQDNJQK-UHFFFAOYSA-N -1 1 308.201 1.641 20 0 DDADMM CC(=O)c1cccc([N-]S(=O)(=O)N=S2(=O)CCCC2)c1 ZINC000821119681 704373349 /nfs/dbraw/zinc/37/33/49/704373349.db2.gz XTVCCDKIOUAHRI-UHFFFAOYSA-N -1 1 316.404 1.808 20 0 DDADMM CCCC[C@@H](COC)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866812757 706811347 /nfs/dbraw/zinc/81/13/47/706811347.db2.gz KYZSJTCMWMLFJP-VIFPVBQESA-N -1 1 308.350 1.843 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]c1ccc(Cl)nc1 ZINC000821140329 704376043 /nfs/dbraw/zinc/37/60/43/704376043.db2.gz STGNOFOXARNZES-UHFFFAOYSA-N -1 1 309.800 1.654 20 0 DDADMM CSC[C@@H](C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866814135 706812076 /nfs/dbraw/zinc/81/20/76/706812076.db2.gz LSDYFQZIVIZGGA-RXMQYKEDSA-N -1 1 314.230 1.202 20 0 DDADMM CCn1ncn(NC(=O)c2ccc(C(F)(F)F)c([O-])c2)c1=O ZINC000854627682 704429628 /nfs/dbraw/zinc/42/96/28/704429628.db2.gz CTTMRMRHXWPRSW-UHFFFAOYSA-N -1 1 316.239 1.173 20 0 DDADMM COC[C@@H](NCc1ccc(-c2nnn[n-]2)o1)c1ccc(C)o1 ZINC000821684330 704431240 /nfs/dbraw/zinc/43/12/40/704431240.db2.gz YKBWPXCNENVVGN-LLVKDONJSA-N -1 1 303.322 1.838 20 0 DDADMM COC[C@@H](NCc1ccc(-c2nn[n-]n2)o1)c1ccc(C)o1 ZINC000821684330 704431241 /nfs/dbraw/zinc/43/12/41/704431241.db2.gz YKBWPXCNENVVGN-LLVKDONJSA-N -1 1 303.322 1.838 20 0 DDADMM O=C(NCC[S@@](=O)CC(F)(F)F)c1cc(F)ccc1[O-] ZINC000855276051 704476895 /nfs/dbraw/zinc/47/68/95/704476895.db2.gz NKOUMIKONSSAPV-HXUWFJFHSA-N -1 1 313.272 1.572 20 0 DDADMM C[C@H]1OCC[C@@H]1C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417253 704527959 /nfs/dbraw/zinc/52/79/59/704527959.db2.gz MVWIUSDPHBHPDN-ZNSHCXBVSA-N -1 1 322.327 1.327 20 0 DDADMM CC[C@H](O)CC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856416051 704527996 /nfs/dbraw/zinc/52/79/96/704527996.db2.gz SIZJSKLKJKWPIU-UTLUCORTSA-N -1 1 310.316 1.063 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)CO1 ZINC000856417032 704528065 /nfs/dbraw/zinc/52/80/65/704528065.db2.gz DMNNNWPRQNVWCM-ZNSHCXBVSA-N -1 1 322.327 1.327 20 0 DDADMM CCC[C@H](O)C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866848644 706824249 /nfs/dbraw/zinc/82/42/49/706824249.db2.gz ORPUNSJUIRJQTE-QMMMGPOBSA-N -1 1 313.206 1.828 20 0 DDADMM O=C(NCCNc1cc(Cl)[n-]c(=O)n1)NC1CCCCC1 ZINC000858462790 704714381 /nfs/dbraw/zinc/71/43/81/704714381.db2.gz QBCDNGBBJABDIR-UHFFFAOYSA-N -1 1 313.789 1.879 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1C[C@@H](OC)C12CCC2 ZINC000867386025 706983868 /nfs/dbraw/zinc/98/38/68/706983868.db2.gz GNXOJNXMLDYJOV-WDEREUQCSA-N -1 1 324.468 1.286 20 0 DDADMM O=C([O-])CC1CCN(CN2CC3(CC2=O)CCOCC3)CC1 ZINC000833213787 707002450 /nfs/dbraw/zinc/00/24/50/707002450.db2.gz AQTPVJGFMAAGIV-UHFFFAOYSA-N -1 1 310.394 1.160 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H](C)COCC1CC1 ZINC000867462469 707009417 /nfs/dbraw/zinc/00/94/17/707009417.db2.gz FOQIJXHFADGXMQ-JTQLQIEISA-N -1 1 312.457 1.144 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)c1ccc(F)cc1 ZINC000874386596 705031473 /nfs/dbraw/zinc/03/14/73/705031473.db2.gz PVZRCERGWJTIPE-YUTCNCBUSA-N -1 1 323.368 1.591 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000860144890 705112514 /nfs/dbraw/zinc/11/25/14/705112514.db2.gz YLFIMYLDZDZOFT-ZAZJYDDPSA-N -1 1 319.357 1.546 20 0 DDADMM CCOc1cccc(CCC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000860171459 705122234 /nfs/dbraw/zinc/12/22/34/705122234.db2.gz ORCUXEBZAIGVJK-UHFFFAOYSA-N -1 1 305.334 1.183 20 0 DDADMM CC(C)CC(CC(C)C)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000823191951 705167611 /nfs/dbraw/zinc/16/76/11/705167611.db2.gz RUMOITCDKBNSIA-CYBMUJFWSA-N -1 1 309.414 1.808 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc(OC(C)(C)C)n2)nc1=O ZINC000860428005 705184659 /nfs/dbraw/zinc/18/46/59/705184659.db2.gz UYZXQBKSVMXETP-UHFFFAOYSA-N -1 1 306.322 1.038 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN[C@H](c2ccc(F)cc2)C1 ZINC000874891687 705219880 /nfs/dbraw/zinc/21/98/80/705219880.db2.gz RRBFPGARCCSVEJ-ZDUSSCGKSA-N -1 1 301.321 1.713 20 0 DDADMM CC(C)n1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1Cl ZINC000860785593 705282277 /nfs/dbraw/zinc/28/22/77/705282277.db2.gz CSPACBOWPRACKW-QMMMGPOBSA-N -1 1 311.777 1.506 20 0 DDADMM CC(C)N1CCC[C@@H]1C(=O)N[C@H](c1nc(=O)o[n-]1)C(C)(C)C ZINC000823652772 705288386 /nfs/dbraw/zinc/28/83/86/705288386.db2.gz OICSFTDQRNTCOX-GHMZBOCLSA-N -1 1 310.398 1.439 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)[C@@H]1C ZINC000867697832 707077833 /nfs/dbraw/zinc/07/78/33/707077833.db2.gz PBLYNUUWOSMVRZ-KOLCDFICSA-N -1 1 311.765 1.998 20 0 DDADMM C[C@H]1CCn2cc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)nc2C1 ZINC000875633134 705456833 /nfs/dbraw/zinc/45/68/33/705456833.db2.gz KYEATHIICXZRMP-JTQLQIEISA-N -1 1 310.361 1.373 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NOCC2CCC2)C1 ZINC000875752797 705499687 /nfs/dbraw/zinc/49/96/87/705499687.db2.gz GUSBXKDSHBVXRG-LBPRGKRZSA-N -1 1 323.315 1.571 20 0 DDADMM CC[C@@H](C)C[C@H](C)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825061076 705590441 /nfs/dbraw/zinc/59/04/41/705590441.db2.gz WGLXVCUQWZQWHO-BDAKNGLRSA-N -1 1 306.370 1.647 20 0 DDADMM CC[C@@H](C)C[C@H](C)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825061076 705590443 /nfs/dbraw/zinc/59/04/43/705590443.db2.gz WGLXVCUQWZQWHO-BDAKNGLRSA-N -1 1 306.370 1.647 20 0 DDADMM O=C(c1cccc(Cl)c1[O-])N1CCC(N2CCOCC2)CC1 ZINC000862216856 705680738 /nfs/dbraw/zinc/68/07/38/705680738.db2.gz NHFIVBQKSWHHAO-UHFFFAOYSA-N -1 1 324.808 1.982 20 0 DDADMM Cn1cc([C@@H](O)CNC(=O)NCc2ccc([O-])c(Cl)c2)cn1 ZINC000876394701 705707883 /nfs/dbraw/zinc/70/78/83/705707883.db2.gz ZXQMIJQEXUYEBS-ZDUSSCGKSA-N -1 1 324.768 1.312 20 0 DDADMM COC(=O)C1=CC[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000862660999 705767381 /nfs/dbraw/zinc/76/73/81/705767381.db2.gz QXBGFEBSZLTOAH-JTQLQIEISA-N -1 1 318.358 1.010 20 0 DDADMM CCn1ncc(CN2CC[C@@](C(=O)[O-])(c3ccccc3)C2)n1 ZINC000863467474 705935372 /nfs/dbraw/zinc/93/53/72/705935372.db2.gz YFPJNRFLGUSSRQ-INIZCTEOSA-N -1 1 300.362 1.526 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H]2CC[C@@H](O)[C@@H]21 ZINC000863734707 705997475 /nfs/dbraw/zinc/99/74/75/705997475.db2.gz SVKPUHPATZWGGR-MKPLZMMCSA-N -1 1 304.375 1.003 20 0 DDADMM O=C(N[C@H](C1CCC1)[C@H]1CCOC1)c1cnc(C2CC2)[n-]c1=O ZINC000864085509 706063797 /nfs/dbraw/zinc/06/37/97/706063797.db2.gz XZHVJSONTATSER-GXTWGEPZSA-N -1 1 317.389 1.995 20 0 DDADMM CC(C)c1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)[nH]n1 ZINC000864159466 706084629 /nfs/dbraw/zinc/08/46/29/706084629.db2.gz UBQQMSFAMKRJFC-UHFFFAOYSA-N -1 1 308.382 1.154 20 0 DDADMM Cc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c2ncccc12 ZINC000827961717 706094388 /nfs/dbraw/zinc/09/43/88/706094388.db2.gz RMWUNSMYSLBUSU-ZDUSSCGKSA-N -1 1 324.344 1.270 20 0 DDADMM Cc1cnc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cc1C ZINC000828701302 706218929 /nfs/dbraw/zinc/21/89/29/706218929.db2.gz FLAOTODICMLFTA-UHFFFAOYSA-N -1 1 315.295 1.449 20 0 DDADMM CCOC(=O)[C@@H]1CCCCN1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000877943666 706247259 /nfs/dbraw/zinc/24/72/59/706247259.db2.gz NTQUJCYTLWRGGK-ZDUSSCGKSA-N -1 1 321.377 1.173 20 0 DDADMM CCCN(CC(=O)OCC)Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878000348 706261532 /nfs/dbraw/zinc/26/15/32/706261532.db2.gz VTCXLJUOAGOSPK-UHFFFAOYSA-N -1 1 309.366 1.030 20 0 DDADMM C[C@H](O)CNC(=O)c1ccc(I)cc1[O-] ZINC000864814758 706274324 /nfs/dbraw/zinc/27/43/24/706274324.db2.gz NRQZFIBRXMIBLM-LURJTMIESA-N -1 1 321.114 1.107 20 0 DDADMM CCO[C@@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)[C@@H]1CCOC1 ZINC000864975319 706317072 /nfs/dbraw/zinc/31/70/72/706317072.db2.gz SGJZPGDXNQBPMT-RNFRBKRXSA-N -1 1 308.260 1.204 20 0 DDADMM Cn1c(C(=O)[N-]O[C@H]2CCCCO2)cc(=O)c2ccccc21 ZINC000865082962 706344578 /nfs/dbraw/zinc/34/45/78/706344578.db2.gz XYAHGRNGSIYGGY-HNNXBMFYSA-N -1 1 302.330 1.727 20 0 DDADMM CC(C)(C)c1cc([N-]S(=O)(=O)c2c[nH]nc2Cl)[nH]n1 ZINC000829879866 706412382 /nfs/dbraw/zinc/41/23/82/706412382.db2.gz HZJCUOWLQUAVAD-UHFFFAOYSA-N -1 1 303.775 1.885 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OC(C)(C)C ZINC000881993591 707458308 /nfs/dbraw/zinc/45/83/08/707458308.db2.gz VOSIZRRUMCRVGY-SECBINFHSA-N -1 1 318.370 1.619 20 0 DDADMM C[C@@H](COc1ccccc1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830093401 706453644 /nfs/dbraw/zinc/45/36/44/706453644.db2.gz UQNHQPHRJVCFRG-VIFPVBQESA-N -1 1 315.782 1.809 20 0 DDADMM CCCC(O)(CCC)C[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830644677 706552519 /nfs/dbraw/zinc/55/25/19/706552519.db2.gz YTDOGDPHEUMJEZ-UHFFFAOYSA-N -1 1 309.819 1.673 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830779722 706578459 /nfs/dbraw/zinc/57/84/59/706578459.db2.gz XDRWDDUQQJCSBZ-QMMMGPOBSA-N -1 1 321.786 1.073 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ccc([C@@H](C)O)cc2)sn1 ZINC000866834616 706819481 /nfs/dbraw/zinc/81/94/81/706819481.db2.gz ASBQAELJQOQLPI-SNVBAGLBSA-N -1 1 312.416 1.983 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCC(=O)C2)C1 ZINC000830795991 706581374 /nfs/dbraw/zinc/58/13/74/706581374.db2.gz UCLUXPQMJDOWCN-UFBFGSQYSA-N -1 1 306.284 1.025 20 0 DDADMM CC1(C)CC[C@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)O1 ZINC000830801779 706582208 /nfs/dbraw/zinc/58/22/08/706582208.db2.gz OBWVUVCPGCPOCV-RNCFNFMXSA-N -1 1 322.327 1.614 20 0 DDADMM C[C@@H]1C[C@H](C)[C@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)O1 ZINC000830801157 706582334 /nfs/dbraw/zinc/58/23/34/706582334.db2.gz IPKZCGCGBUVYOD-DCJFZGGZSA-N -1 1 322.327 1.469 20 0 DDADMM CO[C@@](C)(C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830802403 706582607 /nfs/dbraw/zinc/58/26/07/706582607.db2.gz TWCOIXIBDJPABA-QWHCGFSZSA-N -1 1 322.327 1.471 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CCO1 ZINC000830807063 706583035 /nfs/dbraw/zinc/58/30/35/706583035.db2.gz BHOUYVYULCEVHW-NRUUGDAUSA-N -1 1 322.327 1.471 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CCO1 ZINC000830807060 706583292 /nfs/dbraw/zinc/58/32/92/706583292.db2.gz BHOUYVYULCEVHW-BREBYQMCSA-N -1 1 322.327 1.471 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830808343 706583918 /nfs/dbraw/zinc/58/39/18/706583918.db2.gz ZNWKPRKPHTXWBF-CWSCBRNRSA-N -1 1 306.328 1.948 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCC=CO2)C1 ZINC000830816009 706585143 /nfs/dbraw/zinc/58/51/43/706585143.db2.gz IPDGJADJBQZOOZ-BXKDBHETSA-N -1 1 306.284 1.349 20 0 DDADMM CN(C)Cc1cc(CNC(=O)N[C@@H](C(=O)[O-])C2CC2)ccc1F ZINC000908985525 712908984 /nfs/dbraw/zinc/90/89/84/712908984.db2.gz KXCFOPPYQSSLTA-CQSZACIVSA-N -1 1 323.368 1.550 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H](C)c1cnccc1C ZINC000867049920 706882325 /nfs/dbraw/zinc/88/23/25/706882325.db2.gz PBPXFRBWMMUPKW-MLCYQJTMSA-N -1 1 305.425 1.403 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCOCC[C@H]2C)c1 ZINC000867058016 706885046 /nfs/dbraw/zinc/88/50/46/706885046.db2.gz JOGFYSWMRUXFEE-SNVBAGLBSA-N -1 1 301.364 1.200 20 0 DDADMM C[C@@H]1COCC[C@H]1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867263922 706941854 /nfs/dbraw/zinc/94/18/54/706941854.db2.gz WDPYGLXSCBHVHB-BDAKNGLRSA-N -1 1 306.334 1.311 20 0 DDADMM CC1(C)[C@@H](NC(=O)c2c([O-])cccc2Cl)CS1(=O)=O ZINC000880393813 706962500 /nfs/dbraw/zinc/96/25/00/706962500.db2.gz XPKBSKGXNATJLY-VIFPVBQESA-N -1 1 303.767 1.351 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](O)[C@H](C)c1ccccc1F)c1nn[n-]n1 ZINC000867380555 706981567 /nfs/dbraw/zinc/98/15/67/706981567.db2.gz HOELSDGAYOYXFB-BREBYQMCSA-N -1 1 321.356 1.065 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCOC1(C)C ZINC000867534335 707031883 /nfs/dbraw/zinc/03/18/83/707031883.db2.gz PGMCEQABXOMCBG-JTQLQIEISA-N -1 1 312.457 1.144 20 0 DDADMM CSc1nc(CNC(=O)COCC(F)(F)F)cc(=O)[n-]1 ZINC000880652639 707048389 /nfs/dbraw/zinc/04/83/89/707048389.db2.gz KPSRQKOQUIHQNS-UHFFFAOYSA-N -1 1 311.285 1.099 20 0 DDADMM CSc1nc(CNC(=O)CSC(F)(F)F)cc(=O)[n-]1 ZINC000880652114 707048646 /nfs/dbraw/zinc/04/86/46/707048646.db2.gz FWBWUMXZYFTGLB-UHFFFAOYSA-N -1 1 313.326 1.773 20 0 DDADMM COc1cc2[n-]cc(C(=O)NC3CSC3)c(=O)c2c(OC)c1 ZINC000880726083 707061771 /nfs/dbraw/zinc/06/17/71/707061771.db2.gz TWGRDCVYBKMIOM-UHFFFAOYSA-N -1 1 320.370 1.391 20 0 DDADMM O=C(NCCC[C@@H]1CCOC1=O)c1ccc2n[n-]c(=S)n2c1 ZINC000834478357 707063385 /nfs/dbraw/zinc/06/33/85/707063385.db2.gz ZDVZYMSVCHWIMV-SECBINFHSA-N -1 1 320.374 1.091 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC[C@@H]2CCCS2)c1 ZINC000867660755 707066195 /nfs/dbraw/zinc/06/61/95/707066195.db2.gz YVELKLWYCAKQTI-JTQLQIEISA-N -1 1 319.404 1.630 20 0 DDADMM COC(=O)C1CC(NC(=O)c2cc(Cl)c([O-])c(OC)c2)C1 ZINC000871546436 707111181 /nfs/dbraw/zinc/11/11/81/707111181.db2.gz VRSWTJKORRLVKV-UHFFFAOYSA-N -1 1 313.737 1.736 20 0 DDADMM O=C(NC[C@H]1CCC12CCOCC2)C(=O)c1ccc([O-])cc1 ZINC000871584600 707129151 /nfs/dbraw/zinc/12/91/51/707129151.db2.gz IOKUMOZYWYAJMM-CYBMUJFWSA-N -1 1 303.358 1.898 20 0 DDADMM O=C1CCCN1c1ccc(NC([O-])=NO[C@@H]2CCCCO2)nc1 ZINC000871722479 707176608 /nfs/dbraw/zinc/17/66/08/707176608.db2.gz LZBIXGALZWBZPL-CQSZACIVSA-N -1 1 320.349 1.788 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1ccc(N2CCCC2=O)cn1 ZINC000871722479 707176613 /nfs/dbraw/zinc/17/66/13/707176613.db2.gz LZBIXGALZWBZPL-CQSZACIVSA-N -1 1 320.349 1.788 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@]2(OC(C)C)CCOC2)[n-]1 ZINC000835867202 707340393 /nfs/dbraw/zinc/34/03/93/707340393.db2.gz VWVICHHNQAJIBJ-HNNXBMFYSA-N -1 1 311.334 1.542 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@@]2(OC(C)C)CCOC2)[n-]1 ZINC000835867203 707340424 /nfs/dbraw/zinc/34/04/24/707340424.db2.gz VWVICHHNQAJIBJ-OAHLLOKOSA-N -1 1 311.334 1.542 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1cc(C)cc(C)c1C ZINC000881747171 707349092 /nfs/dbraw/zinc/34/90/92/707349092.db2.gz ZMQXLHZKUVYDRX-UHFFFAOYSA-N -1 1 324.358 1.889 20 0 DDADMM C[C@@H](CC(C)(C)C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000836132463 707398427 /nfs/dbraw/zinc/39/84/27/707398427.db2.gz SEOJMNUKBWEWME-JTQLQIEISA-N -1 1 317.393 1.221 20 0 DDADMM C[C@@H](O)CC[N-]S(=O)(=O)N=[S@](C)(=O)C1CCCCC1 ZINC000881913475 707421825 /nfs/dbraw/zinc/42/18/25/707421825.db2.gz PMEORAXWDUBAOG-MLCYQJTMSA-N -1 1 312.457 1.022 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1C[C@H](O)C12CCC2 ZINC000872504415 707450764 /nfs/dbraw/zinc/45/07/64/707450764.db2.gz LOGFMCPUPWLBHC-GJPGNPISSA-N -1 1 324.468 1.021 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2C[C@H]3CCC[C@@H]3O2)sc1C ZINC000872556406 707477414 /nfs/dbraw/zinc/47/74/14/707477414.db2.gz LVQCTWCRKVFPOT-WOPDTQHZSA-N -1 1 316.448 1.996 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OC ZINC000882067263 707484602 /nfs/dbraw/zinc/48/46/02/707484602.db2.gz FLOGELGSGASUHZ-VIFPVBQESA-N -1 1 304.343 1.231 20 0 DDADMM C[C@@H](F)CC[N-]S(=O)(=O)N=[S@@](C)(=O)C1CCCCC1 ZINC000882221658 707544972 /nfs/dbraw/zinc/54/49/72/707544972.db2.gz OFDBXPLHOIVURA-MGNBDDOMSA-N -1 1 314.448 1.999 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)c2nccn21 ZINC000836957821 707554224 /nfs/dbraw/zinc/55/42/24/707554224.db2.gz GSOSBWUQKVLJPA-WPRPVWTQSA-N -1 1 314.374 1.650 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OC(C)(C)C ZINC000882310846 707578997 /nfs/dbraw/zinc/57/89/97/707578997.db2.gz VOSIZRRUMCRVGY-VIFPVBQESA-N -1 1 318.370 1.619 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@]2(CC(F)(F)C2(F)F)C1 ZINC000927268380 712966439 /nfs/dbraw/zinc/96/64/39/712966439.db2.gz VXKQVBLRRUWJCD-VIFPVBQESA-N -1 1 307.251 1.025 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)C(C)(C)SCC(=O)[O-])CC1 ZINC000872978915 707680251 /nfs/dbraw/zinc/68/02/51/707680251.db2.gz FRSCYBDWLQMRBE-UHFFFAOYSA-N -1 1 316.467 1.916 20 0 DDADMM C[S@@](=O)C1(CNC(=O)NCc2ccc([O-])c(Cl)c2)CC1 ZINC000873001440 707689680 /nfs/dbraw/zinc/68/96/80/707689680.db2.gz MXCJFJNPZCTMGP-HXUWFJFHSA-N -1 1 316.810 1.756 20 0 DDADMM COCC(COC)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873032123 707700846 /nfs/dbraw/zinc/70/08/46/707700846.db2.gz INTCDZGGMBLPTO-UHFFFAOYSA-N -1 1 302.758 1.506 20 0 DDADMM C=C/C=C\CC[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000882584246 707707815 /nfs/dbraw/zinc/70/78/15/707707815.db2.gz MYSSJJPNFAWFMR-PLNGDYQASA-N -1 1 309.313 1.850 20 0 DDADMM CS(=O)(=O)C1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000927369473 712978021 /nfs/dbraw/zinc/97/80/21/712978021.db2.gz ZTTOSLRXRDHSPK-UHFFFAOYSA-N -1 1 312.391 1.120 20 0 DDADMM CON1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])CC1 ZINC000909287158 712982805 /nfs/dbraw/zinc/98/28/05/712982805.db2.gz QDNDULMHZLSCFV-UHFFFAOYSA-N -1 1 302.330 1.570 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@@H]1CCc2nc[nH]c2C1)c1ccccc1 ZINC000909293411 712984194 /nfs/dbraw/zinc/98/41/94/712984194.db2.gz HZTRGLQPGFXGPW-OLZOCXBDSA-N -1 1 313.357 1.499 20 0 DDADMM CC[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H]1CCCOC1 ZINC000883153162 707942416 /nfs/dbraw/zinc/94/24/16/707942416.db2.gz USSSASSYJPMPOX-YPMHNXCESA-N -1 1 315.373 1.858 20 0 DDADMM CC1(C)Nc2ccccc2[C@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000883485200 708013636 /nfs/dbraw/zinc/01/36/36/708013636.db2.gz LACBNFHNBNUQAH-LLVKDONJSA-N -1 1 317.436 1.494 20 0 DDADMM COC(=O)CCN(CC(=O)[O-])Cc1cnc(-c2ccccc2)[nH]1 ZINC000883520041 708020375 /nfs/dbraw/zinc/02/03/75/708020375.db2.gz MMXKBDNPBYHASJ-UHFFFAOYSA-N -1 1 317.345 1.526 20 0 DDADMM C[C@@H](CN(C(=O)c1n[nH]cc1C(F)(F)F)C1CC1)C(=O)[O-] ZINC000909456412 713023017 /nfs/dbraw/zinc/02/30/17/713023017.db2.gz GAMIVZBPBQIYFD-LURJTMIESA-N -1 1 305.256 1.754 20 0 DDADMM C[C@]1(CNc2nc3[nH][n-]cc-3c(=O)n2)CCOC2(CCC2)C1 ZINC000884702442 708361894 /nfs/dbraw/zinc/36/18/94/708361894.db2.gz CWJBWPFSDAZNHR-AWEZNQCLSA-N -1 1 303.366 1.583 20 0 DDADMM Cc1cn(C(C)(C)C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000897640932 708403572 /nfs/dbraw/zinc/40/35/72/708403572.db2.gz SJLGBKPOPIKFOH-NSHDSACASA-N -1 1 319.365 1.421 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(CC(F)(F)F)C1)c1ccns1 ZINC000884992288 708436960 /nfs/dbraw/zinc/43/69/60/708436960.db2.gz HEWHPJGMZAYLOR-ZETCQYMHSA-N -1 1 315.342 1.058 20 0 DDADMM COC(=O)[C@H](C[N-]S(=O)(=O)c1ccns1)CC(C)C ZINC000885031999 708449319 /nfs/dbraw/zinc/44/93/19/708449319.db2.gz RSCMOOHQQXNBPI-VIFPVBQESA-N -1 1 306.409 1.257 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1cccc(C(C)=O)c1 ZINC000912528578 713030860 /nfs/dbraw/zinc/03/08/60/713030860.db2.gz XWMVPJIXTJBKRK-UHFFFAOYSA-N -1 1 324.314 1.167 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccns2)[C@@H]1SC ZINC000885267289 708505981 /nfs/dbraw/zinc/50/59/81/708505981.db2.gz GJFFPQPSVNDYCK-MRTMQBJTSA-N -1 1 308.450 1.330 20 0 DDADMM C[C@@H](O)[C@@H]1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])CCO1 ZINC000897983224 708510091 /nfs/dbraw/zinc/51/00/91/708510091.db2.gz AYGXSQMODKIJRO-BMIGLBTASA-N -1 1 317.341 1.473 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C(CF)CF)cc1OC ZINC000885420961 708541196 /nfs/dbraw/zinc/54/11/96/708541196.db2.gz PIQVJZNOCXUUME-UHFFFAOYSA-N -1 1 313.297 1.429 20 0 DDADMM Cc1cnc(C(=O)N[C@H]([C@H]2CCOC2)C(F)(F)F)c([O-])c1 ZINC000885533501 708569520 /nfs/dbraw/zinc/56/95/20/708569520.db2.gz OUMYPUDZSNBXKC-GZMMTYOYSA-N -1 1 304.268 1.793 20 0 DDADMM O=C(CCN1CCOCC1)Nc1cc(F)c([O-])cc1Cl ZINC000885674817 708594425 /nfs/dbraw/zinc/59/44/25/708594425.db2.gz WBICSIWJMMFRAB-UHFFFAOYSA-N -1 1 302.733 1.846 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)[C@@H]1CCCS1(=O)=O ZINC000885680688 708596432 /nfs/dbraw/zinc/59/64/32/708596432.db2.gz WGOWDETVRKSULE-JTQLQIEISA-N -1 1 307.730 1.700 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000885776626 708626003 /nfs/dbraw/zinc/62/60/03/708626003.db2.gz URTPCIPONYNFRO-RKDXNWHRSA-N -1 1 319.329 1.361 20 0 DDADMM CCOc1cnc(C(=O)NCCc2c(F)cc([O-])cc2F)cn1 ZINC000886266585 708732486 /nfs/dbraw/zinc/73/24/86/708732486.db2.gz QYHDGCVCEPTZHA-UHFFFAOYSA-N -1 1 323.299 1.832 20 0 DDADMM CC[C@H](NC(C)=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886269638 708733442 /nfs/dbraw/zinc/73/34/42/708733442.db2.gz BRDWRSIAOXGFRP-ZDUSSCGKSA-N -1 1 300.305 1.244 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1cc(OC)cnc1F ZINC000886419959 708757989 /nfs/dbraw/zinc/75/79/89/708757989.db2.gz ROHYTDKPJWIIGU-UHFFFAOYSA-N -1 1 314.342 1.326 20 0 DDADMM CC[C@H](C)[C@@H](O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927781672 713054425 /nfs/dbraw/zinc/05/44/25/713054425.db2.gz RXJJTVNNERPONM-XPTSAGLGSA-N -1 1 316.348 1.919 20 0 DDADMM O=S(=O)([N-]c1[nH]nc2c1COCC2)c1cc(F)cc(F)c1 ZINC000886480324 708767467 /nfs/dbraw/zinc/76/74/67/708767467.db2.gz NUPAGUUJGZZDIC-UHFFFAOYSA-N -1 1 315.301 1.561 20 0 DDADMM C[C@@](CO)(NC(=O)NCCc1c(F)cc([O-])cc1F)C1CC1 ZINC000927785560 713055587 /nfs/dbraw/zinc/05/55/87/713055587.db2.gz LBRCZWVROPFJRH-HNNXBMFYSA-N -1 1 314.332 1.673 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@H](CO)CCF ZINC000927786943 713056079 /nfs/dbraw/zinc/05/60/79/713056079.db2.gz KJGOGXCXYAKUEJ-QMMMGPOBSA-N -1 1 306.284 1.233 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2c([O-])cccc2F)CCS1(=O)=O ZINC000887177738 708981700 /nfs/dbraw/zinc/98/17/00/708981700.db2.gz UKOHQFSEHFPKRN-BDAKNGLRSA-N -1 1 301.339 1.227 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(N2CCCOC2=O)CC1 ZINC000887714711 709109929 /nfs/dbraw/zinc/10/99/29/709109929.db2.gz UUHLVMCMKPPMOI-UHFFFAOYSA-N -1 1 305.334 1.234 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CCC[C@@H](CO)C1 ZINC000900146883 709306610 /nfs/dbraw/zinc/30/66/10/709306610.db2.gz RSROZRIHDXRDLM-SNVBAGLBSA-N -1 1 304.321 1.924 20 0 DDADMM CN=[S@@](C)(=O)CCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000912796747 713095584 /nfs/dbraw/zinc/09/55/84/713095584.db2.gz JIVHYMAHDCJIQI-FQEVSTJZSA-N -1 1 320.798 1.512 20 0 DDADMM Cn1cc([C@H]2CSCCCN2C(=O)c2cncc([O-])c2)cn1 ZINC000888891065 709397817 /nfs/dbraw/zinc/39/78/17/709397817.db2.gz WXELKOVWPJFMQS-CQSZACIVSA-N -1 1 318.402 1.841 20 0 DDADMM CC(C)(C(=O)[O-])[C@@H]1CCCN(C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC000909489776 709486615 /nfs/dbraw/zinc/48/66/15/709486615.db2.gz FRUXFZCXZQBMAQ-NWDGAFQWSA-N -1 1 319.405 1.864 20 0 DDADMM CCC[C@@H](C)N1C[C@@H](C(=O)[N-]OCc2ccccn2)CC1=O ZINC000909525533 709501634 /nfs/dbraw/zinc/50/16/34/709501634.db2.gz GFMNWEWIXVRVCE-OLZOCXBDSA-N -1 1 305.378 1.667 20 0 DDADMM CCO[C@H]1C[C@@](NC(=O)CN(CC)C2CC2)(C(=O)[O-])C1(C)C ZINC000909605382 709538306 /nfs/dbraw/zinc/53/83/06/709538306.db2.gz XGIOKXBBHFKJJP-BLLLJJGKSA-N -1 1 312.410 1.245 20 0 DDADMM O=C([O-])C[C@@]1(NC(=O)c2ccc3cncn3c2)CCCOC1 ZINC000909692845 709581117 /nfs/dbraw/zinc/58/11/17/709581117.db2.gz OYDPMKAOJITLNF-HNNXBMFYSA-N -1 1 303.318 1.088 20 0 DDADMM CN1CCCC[C@H]1C(=O)NC[C@H](C(=O)[O-])c1ccc(F)cc1 ZINC000909701645 709586555 /nfs/dbraw/zinc/58/65/55/709586555.db2.gz CGEAYNUCSKDDDF-KBPBESRZSA-N -1 1 308.353 1.594 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCC[C@@H](OCC3CC3)C2)C1 ZINC000909727847 709598053 /nfs/dbraw/zinc/59/80/53/709598053.db2.gz HVSKCIVJGJJHDF-HUUCEWRRSA-N -1 1 324.421 1.201 20 0 DDADMM CCC(F)(F)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889784971 709634630 /nfs/dbraw/zinc/63/46/30/709634630.db2.gz BBFLKDRRQOCVQZ-MRVPVSSYSA-N -1 1 301.293 1.900 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@H]1C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889785673 709635133 /nfs/dbraw/zinc/63/51/33/709635133.db2.gz IIMVKMMISQFMAF-LYIQGSDWSA-N -1 1 321.377 1.525 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CCCSC)[n-]c1=O ZINC000889792398 709637869 /nfs/dbraw/zinc/63/78/69/709637869.db2.gz YNPBJXKIXYGALU-JTQLQIEISA-N -1 1 311.407 1.998 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H](C)CSC)[n-]c1=O ZINC000889792060 709638085 /nfs/dbraw/zinc/63/80/85/709638085.db2.gz RTJQKWJUYYPFSW-VHSXEESVSA-N -1 1 311.407 1.853 20 0 DDADMM CC[C@](CNC(=O)[C@H]1CCCN1C)(C(=O)[O-])c1ccccc1 ZINC000909844261 709648922 /nfs/dbraw/zinc/64/89/22/709648922.db2.gz ZWZAQQKVXPKJBR-RHSMWYFYSA-N -1 1 304.390 1.629 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC[C@@H]1CC2CCC1CC2 ZINC000909857551 709655583 /nfs/dbraw/zinc/65/55/83/709655583.db2.gz DRVJWWCGWPQGHU-WUCCLRPBSA-N -1 1 308.422 1.726 20 0 DDADMM CC[C@H]1COC(C)(C)CN1C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909873711 709663553 /nfs/dbraw/zinc/66/35/53/709663553.db2.gz KVQCRMPSRYPYIP-OLZOCXBDSA-N -1 1 312.410 1.199 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)[C@@H]2CCc3nc[nH]c3C2)C[C@H]1C1CC1 ZINC000909900047 709678657 /nfs/dbraw/zinc/67/86/57/709678657.db2.gz DSLLRLCSLBGJCL-GRYCIOLGSA-N -1 1 303.362 1.084 20 0 DDADMM CN(C)CC(=O)N[C@@H](C(=O)[O-])c1ccccc1Br ZINC000909955012 709707012 /nfs/dbraw/zinc/70/70/12/709707012.db2.gz QCIBIEALHHQCGB-LLVKDONJSA-N -1 1 315.167 1.253 20 0 DDADMM CNC(=O)CCCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900695684 709716084 /nfs/dbraw/zinc/71/60/84/709716084.db2.gz NIEVPFKQWZBBEG-UHFFFAOYSA-N -1 1 305.309 1.336 20 0 DDADMM C/C(=C/C(=O)Nc1cc([O-])c(F)cc1F)CN1CCOCC1 ZINC000909988566 709722974 /nfs/dbraw/zinc/72/29/74/709722974.db2.gz QOFOLPGYRTURAV-POHAHGRESA-N -1 1 312.316 1.887 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](C(=O)Nc2cc([O-])c(F)cc2F)O1 ZINC000909988923 709722994 /nfs/dbraw/zinc/72/29/94/709722994.db2.gz JFGOAAPCCBRYLS-MNOVXSKESA-N -1 1 301.245 1.330 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@H]3CCC[C@@]3(C)C(=O)[O-])c2C1 ZINC000909991752 709725244 /nfs/dbraw/zinc/72/52/44/709725244.db2.gz IVLCMTUOPUSZJU-RCAYSMOQSA-N -1 1 305.378 1.908 20 0 DDADMM O=C([O-])c1ccc2c(c1)CN(C(=O)[C@H]1CCc3[nH]cnc3C1)C2 ZINC000910040016 709746005 /nfs/dbraw/zinc/74/60/05/709746005.db2.gz MADBNCHCLYATLZ-JTQLQIEISA-N -1 1 311.341 1.755 20 0 DDADMM CC(C)(C)[N@@H+]1CC[C@H]1C(=O)Nc1cccc(Cc2nn[nH]n2)c1 ZINC000910043410 709748410 /nfs/dbraw/zinc/74/84/10/709748410.db2.gz BFSBRFGLGDPOCW-ZDUSSCGKSA-N -1 1 314.393 1.602 20 0 DDADMM CN(CC(=O)N1CCCCC[C@H]1C(=O)[O-])[C@@H]1CCSC1 ZINC000910046275 709750717 /nfs/dbraw/zinc/75/07/17/709750717.db2.gz RCVKLRYPQGOZNR-NEPJUHHUSA-N -1 1 300.424 1.280 20 0 DDADMM CN1CCC[C@@H]1c1cc(C(=O)N(CC(=O)[O-])C2CCCC2)[nH]n1 ZINC000910062887 709761026 /nfs/dbraw/zinc/76/10/26/709761026.db2.gz SWIFYTBWHZSAAH-CQSZACIVSA-N -1 1 320.393 1.646 20 0 DDADMM CC[N@@H+](CC(=O)N1CCc2c(cccc2C(=O)[O-])C1)C1CC1 ZINC000910068938 709762812 /nfs/dbraw/zinc/76/28/12/709762812.db2.gz LDFFQVKMBFUNEI-UHFFFAOYSA-N -1 1 302.374 1.754 20 0 DDADMM CCN(CC(=O)N1CCc2c(cccc2C(=O)[O-])C1)C1CC1 ZINC000910068938 709762813 /nfs/dbraw/zinc/76/28/13/709762813.db2.gz LDFFQVKMBFUNEI-UHFFFAOYSA-N -1 1 302.374 1.754 20 0 DDADMM CCC[C@]1(C(=O)[O-])CCCN1C(=O)CCCCc1c[nH]nn1 ZINC000910115941 709776959 /nfs/dbraw/zinc/77/69/59/709776959.db2.gz QSEDNPPBJCFJPV-OAHLLOKOSA-N -1 1 308.382 1.763 20 0 DDADMM CNc1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)cc1F ZINC000910176681 709798642 /nfs/dbraw/zinc/79/86/42/709798642.db2.gz DDQKWKLDASOLFP-UHFFFAOYSA-N -1 1 323.368 1.488 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cccnc2C2CC2)CC1 ZINC000910176778 709798718 /nfs/dbraw/zinc/79/87/18/709798718.db2.gz GBGOHWNGKCIXJN-UHFFFAOYSA-N -1 1 317.389 1.580 20 0 DDADMM Cc1cccc2c1CC[C@@H]2NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000910241400 709835105 /nfs/dbraw/zinc/83/51/05/709835105.db2.gz ASBCHPLMOACZIR-BBRMVZONSA-N -1 1 316.401 1.895 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)o1 ZINC000910245715 709837099 /nfs/dbraw/zinc/83/70/99/709837099.db2.gz NCTIMJQKZFUESA-BZPMIXESSA-N -1 1 320.389 1.816 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC[C@@H]2CCC(F)(F)C2)C1 ZINC000910252270 709841100 /nfs/dbraw/zinc/84/11/00/709841100.db2.gz ZPBRKEQGZDAQMX-MNOVXSKESA-N -1 1 304.337 1.335 20 0 DDADMM CO[C@H]1CN(C(=O)c2cc(C(F)F)[nH]n2)[C@@](C)(C(=O)[O-])C1 ZINC000910263582 709848028 /nfs/dbraw/zinc/84/80/28/709848028.db2.gz LVRYOLAQCINDTC-SREIQFSDSA-N -1 1 303.265 1.052 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCC[C@@H]2CCCC2(F)F)C1 ZINC000910408657 709927322 /nfs/dbraw/zinc/92/73/22/709927322.db2.gz SUKIQHWRPAGPPR-RYUDHWBXSA-N -1 1 318.364 1.725 20 0 DDADMM Cc1cc(C)c(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000901177829 709956708 /nfs/dbraw/zinc/95/67/08/709956708.db2.gz VXYLVFLBMYWVMU-NSHDSACASA-N -1 1 305.407 1.827 20 0 DDADMM C[C@@](Cc1ccc(F)cc1)(NC(=O)Cc1cnc[nH]1)C(=O)[O-] ZINC000910513747 709978394 /nfs/dbraw/zinc/97/83/94/709978394.db2.gz VZFJIBFGGXPMJB-HNNXBMFYSA-N -1 1 305.309 1.294 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CCOC[C@@H]1CCO ZINC000901243487 709982281 /nfs/dbraw/zinc/98/22/81/709982281.db2.gz ZSKSWMUMAYJVKO-JTQLQIEISA-N -1 1 320.320 1.303 20 0 DDADMM COC[C@@H](O)CCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901265600 709989190 /nfs/dbraw/zinc/98/91/90/709989190.db2.gz CGZIDNGJSMWMKB-VIFPVBQESA-N -1 1 308.309 1.207 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC[C@H]1CC1CCOCC1 ZINC000890804817 709995355 /nfs/dbraw/zinc/99/53/55/709995355.db2.gz WBFRUAQMCXYOSS-CYBMUJFWSA-N -1 1 306.362 1.445 20 0 DDADMM O=C([O-])C[C@H](NC(=O)[C@H]1CCCc2[nH]ncc21)C1CCCC1 ZINC000910558130 709998672 /nfs/dbraw/zinc/99/86/72/709998672.db2.gz CHORLFLDGNYBMG-FZMZJTMJSA-N -1 1 305.378 1.979 20 0 DDADMM CCN1CCN(CC(=O)N[C@@H](CCCC(C)C)C(=O)[O-])CC1 ZINC000910574068 710005808 /nfs/dbraw/zinc/00/58/08/710005808.db2.gz DREOFWZQBMUSFF-AWEZNQCLSA-N -1 1 313.442 1.020 20 0 DDADMM CC(C)[C@H](O)C1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000901329771 710011856 /nfs/dbraw/zinc/01/18/56/710011856.db2.gz IDUPMEXLXLZSFT-LBPRGKRZSA-N -1 1 305.378 1.587 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2nccc3ccccc32)C1 ZINC000910667885 710041366 /nfs/dbraw/zinc/04/13/66/710041366.db2.gz DZYYSJPBFMLXQQ-ZDUSSCGKSA-N -1 1 313.357 1.970 20 0 DDADMM Cc1sc([C@H]2CCCN2C(=O)CN2CCCC2)nc1C(=O)[O-] ZINC000910719964 710056454 /nfs/dbraw/zinc/05/64/54/710056454.db2.gz DIYVXKQXANJQDN-LLVKDONJSA-N -1 1 323.418 1.909 20 0 DDADMM Cc1cnc(SCC(=O)N2C[C@H](C)OC(C)(C)C2)[n-]c1=O ZINC000901570594 710078097 /nfs/dbraw/zinc/07/80/97/710078097.db2.gz NUEIWYHOKUCKIA-JTQLQIEISA-N -1 1 311.407 1.609 20 0 DDADMM COCCOc1cccc([N-]S(=O)(=O)N=S(C)(C)=O)c1 ZINC000901605111 710092244 /nfs/dbraw/zinc/09/22/44/710092244.db2.gz HQFSDHOIFNRYNY-UHFFFAOYSA-N -1 1 322.408 1.096 20 0 DDADMM C[C@@H](C(=O)[O-])N(C(=O)CN(C)CCc1ccccc1)C1CC1 ZINC000901639961 710105210 /nfs/dbraw/zinc/10/52/10/710105210.db2.gz NEAURJBVVJZNLZ-ZDUSSCGKSA-N -1 1 304.390 1.625 20 0 DDADMM CC(C)[C@@H](NS(C)(=O)=O)C(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910984715 710141010 /nfs/dbraw/zinc/14/10/10/710141010.db2.gz STLCPPVFMOFFAK-SNVBAGLBSA-N -1 1 322.333 1.183 20 0 DDADMM CCOC(=O)[C@H](CC(=O)Nc1cc(F)cc(F)c1[O-])C(C)=O ZINC000910989292 710143178 /nfs/dbraw/zinc/14/31/78/710143178.db2.gz UYZCPXCGFPZKNV-SECBINFHSA-N -1 1 315.272 1.767 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1CC[C@H]1C(=O)[O-])c1cccc(F)c1 ZINC000901796743 710149980 /nfs/dbraw/zinc/14/99/80/710149980.db2.gz RQOPMSBGRCAVJW-MCIONIFRSA-N -1 1 308.353 1.655 20 0 DDADMM CN(CCNC(=O)[C@@H]1CC[C@H]1C(=O)[O-])Cc1ccc(F)cc1 ZINC000901930898 710177717 /nfs/dbraw/zinc/17/77/17/710177717.db2.gz KQPVVYPLRAYEPT-ZIAGYGMSSA-N -1 1 308.353 1.485 20 0 DDADMM COCc1nc(NCCNC(=O)c2ccccc2)cc(=O)[n-]1 ZINC000891683014 710265684 /nfs/dbraw/zinc/26/56/84/710265684.db2.gz NUZLNLLUVWGJSC-UHFFFAOYSA-N -1 1 302.334 1.171 20 0 DDADMM COCc1nc(NC[C@@H](O)COc2cccc(F)c2)cc(=O)[n-]1 ZINC000891843344 710307342 /nfs/dbraw/zinc/30/73/42/710307342.db2.gz ZIKLRDWRJZNBJB-LLVKDONJSA-N -1 1 323.324 1.320 20 0 DDADMM O=C([O-])C[C@H](NC(=O)Cc1[nH]nc2ccccc21)C(F)(F)F ZINC000911154173 710658292 /nfs/dbraw/zinc/65/82/92/710658292.db2.gz IOSCHQOHHCYMEY-JTQLQIEISA-N -1 1 315.251 1.627 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H](COC)C(C)C)o1 ZINC000893455592 710662613 /nfs/dbraw/zinc/66/26/13/710662613.db2.gz HOYQMKPZIHZDLF-JTQLQIEISA-N -1 1 319.379 1.263 20 0 DDADMM COCc1nc(NC2CCN(c3cccnn3)CC2)cc(=O)[n-]1 ZINC000893488779 710676063 /nfs/dbraw/zinc/67/60/63/710676063.db2.gz XDMXVNOPIAMQKH-UHFFFAOYSA-N -1 1 316.365 1.200 20 0 DDADMM O=C([O-])CN1CCCN(C(=O)c2nc3ccccc3s2)CC1 ZINC000911219633 710692021 /nfs/dbraw/zinc/69/20/21/710692021.db2.gz GBVQIIAQMANYJW-UHFFFAOYSA-N -1 1 319.386 1.529 20 0 DDADMM CCO[C@H](CCNCc1cn(CC(=O)[O-])nn1)C1CCCC1 ZINC000902391327 710740415 /nfs/dbraw/zinc/74/04/15/710740415.db2.gz UYMQRNHRYQJLOV-CQSZACIVSA-N -1 1 310.398 1.438 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H]3CCCC[C@@H]3C(=O)[O-])C2)n[nH]1 ZINC000911325462 710742866 /nfs/dbraw/zinc/74/28/66/710742866.db2.gz NNDOSZHIZUAZEX-UPJWGTAASA-N -1 1 320.393 1.710 20 0 DDADMM COCCN1CCC[C@@H]1CNC(=O)c1cc(C)c(C(=O)[O-])o1 ZINC000911335004 710747431 /nfs/dbraw/zinc/74/74/31/710747431.db2.gz RWLLOZOMOFCYBS-LLVKDONJSA-N -1 1 310.350 1.127 20 0 DDADMM CCC1(CC)[C@H](NCc2cn(CC(=O)[O-])nn2)[C@@H](C)[C@@H]1OC ZINC000902429121 710751281 /nfs/dbraw/zinc/75/12/81/710751281.db2.gz SZWJIEGZDNUMQJ-HONMWMINSA-N -1 1 310.398 1.292 20 0 DDADMM Cc1c(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cccc1N(C)C ZINC000911469827 710811731 /nfs/dbraw/zinc/81/17/31/710811731.db2.gz SRHIHOYNAVHPRV-CYBMUJFWSA-N -1 1 319.405 1.796 20 0 DDADMM CC(=O)NCCN(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000911540619 710843337 /nfs/dbraw/zinc/84/33/37/710843337.db2.gz DUGYKDWWFKQXAE-UHFFFAOYSA-N -1 1 305.309 1.288 20 0 DDADMM CC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CC(F)(F)C1 ZINC000913438265 713216191 /nfs/dbraw/zinc/21/61/91/713216191.db2.gz QBCFPFWQWIKSDT-SNVBAGLBSA-N -1 1 307.304 1.841 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@H]1CCCc2[nH]ncc21)c1ccc(F)cc1 ZINC000902859427 710934361 /nfs/dbraw/zinc/93/43/61/710934361.db2.gz HJDVOTODGSBRNQ-FZMZJTMJSA-N -1 1 317.320 1.911 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@H]1CCCc2[nH]ncc21)c1ccc(F)cc1 ZINC000902859429 710934396 /nfs/dbraw/zinc/93/43/96/710934396.db2.gz HJDVOTODGSBRNQ-SMDDNHRTSA-N -1 1 317.320 1.911 20 0 DDADMM Cc1cc(C(=O)[O-])cc(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)c1 ZINC000911773795 710977429 /nfs/dbraw/zinc/97/74/29/710977429.db2.gz OHYOWLLLQSRTKR-SNVBAGLBSA-N -1 1 300.318 1.441 20 0 DDADMM COCc1nc(N2C[C@@H](O)C[C@@H]2c2ccccc2)cc(=O)[n-]1 ZINC000894190831 711008505 /nfs/dbraw/zinc/00/85/05/711008505.db2.gz SQYHMCSBUTUYAW-QWHCGFSZSA-N -1 1 301.346 1.641 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1ccnc(OC)c1 ZINC000903123800 711040006 /nfs/dbraw/zinc/04/00/06/711040006.db2.gz NIWPBMNBSBODLA-UHFFFAOYSA-N -1 1 307.397 1.255 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-]c1nc(C(F)F)n[nH]1 ZINC000903149588 711048339 /nfs/dbraw/zinc/04/83/39/711048339.db2.gz AMRXTXBAEURALJ-ZETCQYMHSA-N -1 1 312.342 1.545 20 0 DDADMM O=C(N[C@H](C1CC1)C1(CO)CCOCC1)c1ncccc1[O-] ZINC000911982877 711103824 /nfs/dbraw/zinc/10/38/24/711103824.db2.gz OMCSUSXNXMDDOF-CQSZACIVSA-N -1 1 306.362 1.085 20 0 DDADMM COC(=O)C1CC2(C1)CN(CC=C(Cl)Cl)C[C@H]2C(=O)[O-] ZINC000903415535 711119755 /nfs/dbraw/zinc/11/97/55/711119755.db2.gz JXRGFKLCYMLCCK-JVVWGOMOSA-N -1 1 322.188 1.891 20 0 DDADMM COCc1nc(N[C@H]2Cc3ccccc3N(C)C2=O)cc(=O)[n-]1 ZINC000894496953 711149501 /nfs/dbraw/zinc/14/95/01/711149501.db2.gz RZLKDLMUAQYTPB-NSHDSACASA-N -1 1 314.345 1.328 20 0 DDADMM O=C([O-])[C@]1(O)CCN(C[C@H](O)c2ccc(Cl)cc2Cl)C1 ZINC000903623033 711228058 /nfs/dbraw/zinc/22/80/58/711228058.db2.gz MPBCJFAKULGGOG-AAEUAGOBSA-N -1 1 320.172 1.548 20 0 DDADMM CCc1ccc(C)nc1[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC000903641660 711233071 /nfs/dbraw/zinc/23/30/71/711233071.db2.gz CEEZBCXKJJBRRF-LJQANCHMSA-N -1 1 320.440 1.184 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1c(Cl)c(C)nn1C ZINC000903642120 711233261 /nfs/dbraw/zinc/23/32/61/711233261.db2.gz XMFSMDAKXCYXLL-UHFFFAOYSA-N -1 1 316.770 1.586 20 0 DDADMM O=C(/C=C/SCc1ccco1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495940 713238405 /nfs/dbraw/zinc/23/84/05/713238405.db2.gz PUTBFAYXYLTFQQ-KTROKBFUSA-N -1 1 321.362 1.140 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnc(F)c(F)c1)[C@H]1CCOC1 ZINC000903673209 711241795 /nfs/dbraw/zinc/24/17/95/711241795.db2.gz HHVJVOGBJIQLAZ-KWQFWETISA-N -1 1 322.333 1.153 20 0 DDADMM O=C(CCC1CCCCCC1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913500315 713239586 /nfs/dbraw/zinc/23/95/86/713239586.db2.gz VYBRSKTYJPXULV-CYBMUJFWSA-N -1 1 307.398 1.850 20 0 DDADMM C[C@@H]1C[C@H](C)N(Cc2nnc3n2CCCC3)[C@H](C)[C@@H]1C(=O)[O-] ZINC000903951492 711345171 /nfs/dbraw/zinc/34/51/71/711345171.db2.gz KOLMUQVPVJFLEC-NWJSVONSSA-N -1 1 306.410 1.934 20 0 DDADMM COc1cc(F)cc(CN[C@H](C(=O)[O-])c2cnn(C)c2C)c1 ZINC000904047159 711370317 /nfs/dbraw/zinc/37/03/17/711370317.db2.gz BYDNRILLKZIFQD-AWEZNQCLSA-N -1 1 307.325 1.792 20 0 DDADMM CC(C)(C)n1ncnc1CN[C@H]1C[C@@H](NC(=O)[O-])C12CCC2 ZINC000904237982 711415313 /nfs/dbraw/zinc/41/53/13/711415313.db2.gz YKTWEBVODSHHKS-WDEREUQCSA-N -1 1 307.398 1.702 20 0 DDADMM COCc1nc(CN[C@H]2C[C@@H](NC(=O)[O-])C23CCC3)cs1 ZINC000904241090 711416165 /nfs/dbraw/zinc/41/61/65/711416165.db2.gz OLRSPPXKOQPMLP-WDEREUQCSA-N -1 1 311.407 1.958 20 0 DDADMM COCc1nc(NC[C@@H]2CC[C@@]3(CO[C@@H](C)C3)O2)cc(=O)[n-]1 ZINC000895220697 711456394 /nfs/dbraw/zinc/45/63/94/711456394.db2.gz ZCZPDOFUYCPEQJ-ZIBATOQPSA-N -1 1 309.366 1.467 20 0 DDADMM CCOC(=O)c1n[nH]c([C@H](C)NCc2c(C)nn(C)c2C)n1 ZINC000895235095 711459802 /nfs/dbraw/zinc/45/98/02/711459802.db2.gz KSGWYHBHPUWYBL-VIFPVBQESA-N -1 1 306.370 1.183 20 0 DDADMM CCOCCC1(C(=O)N2CCC(c3nn[n-]n3)CC2)CCCC1 ZINC000913743817 713292592 /nfs/dbraw/zinc/29/25/92/713292592.db2.gz MNSBBVHVBIYKSH-UHFFFAOYSA-N -1 1 321.425 1.893 20 0 DDADMM O=C([C@H](O)c1ccccc1Cl)N1CCC(c2nn[n-]n2)CC1 ZINC000913744558 713292804 /nfs/dbraw/zinc/29/28/04/713292804.db2.gz TXXWSKBDDWBUIQ-GFCCVEGCSA-N -1 1 321.768 1.293 20 0 DDADMM O=C(N1CCC(c2nn[n-]n2)CC1)C(F)(F)Cc1ccccc1 ZINC000913744987 713293279 /nfs/dbraw/zinc/29/32/79/713293279.db2.gz NLPMHSLMWHBAKP-UHFFFAOYSA-N -1 1 321.331 1.784 20 0 DDADMM CCc1ccc(CC(=O)N2CCC(c3nn[n-]n3)CC2)nc1 ZINC000913746150 713293983 /nfs/dbraw/zinc/29/39/83/713293983.db2.gz LWEOQVBPXDDTIR-UHFFFAOYSA-N -1 1 300.366 1.106 20 0 DDADMM O=C(Cc1ccc2cnccc2c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746058 713294055 /nfs/dbraw/zinc/29/40/55/713294055.db2.gz VBBQMKHNHRRZPM-UHFFFAOYSA-N -1 1 322.372 1.697 20 0 DDADMM C[S@](=O)CCN[C@H](C(=O)[O-])c1ccccc1Br ZINC000905400945 712028491 /nfs/dbraw/zinc/02/84/91/712028491.db2.gz PLUBUDLYXKAOFI-BTDLBPIBSA-N -1 1 320.208 1.543 20 0 DDADMM CC[C@](O)(CN1CC(C[N-]C(=O)C(F)(F)F)C1)C(F)(F)F ZINC000905974454 712206776 /nfs/dbraw/zinc/20/67/76/712206776.db2.gz YJUMPAFSLVKRAF-VIFPVBQESA-N -1 1 322.249 1.300 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1ccc2nc(C)cn2c1 ZINC000906056829 712232532 /nfs/dbraw/zinc/23/25/32/712232532.db2.gz CWLFWRWHQWMWRN-LJQANCHMSA-N -1 1 316.408 1.417 20 0 DDADMM C[C@]1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCNC(=O)C1 ZINC000906617745 712371419 /nfs/dbraw/zinc/37/14/19/712371419.db2.gz LEUWEYYBQTYJGQ-INIZCTEOSA-N -1 1 314.345 1.433 20 0 DDADMM Cc1cc(Br)c(CC(=O)Nc2nnn[n-]2)s1 ZINC000906729574 712401192 /nfs/dbraw/zinc/40/11/92/712401192.db2.gz BFCMNLKNFOIEKX-UHFFFAOYSA-N -1 1 302.157 1.513 20 0 DDADMM Cc1cc(Br)c(CC(=O)Nc2nn[n-]n2)s1 ZINC000906729574 712401193 /nfs/dbraw/zinc/40/11/93/712401193.db2.gz BFCMNLKNFOIEKX-UHFFFAOYSA-N -1 1 302.157 1.513 20 0 DDADMM CCn1nc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1C1CC1 ZINC000907102940 712487804 /nfs/dbraw/zinc/48/78/04/712487804.db2.gz CNAGMBGSUDEMNW-NSHDSACASA-N -1 1 315.381 1.313 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@@H]1CCC2(CCC2)CO1)c1nn[n-]n1 ZINC000907350624 712550313 /nfs/dbraw/zinc/55/03/13/712550313.db2.gz SXMAFJIWKGQBNQ-RYUDHWBXSA-N -1 1 307.398 1.501 20 0 DDADMM O=C(C[C@H]1CCC2(CCC2)O1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907474337 712581515 /nfs/dbraw/zinc/58/15/15/712581515.db2.gz GWXPPMWHDCNGPL-GHMZBOCLSA-N -1 1 323.422 1.308 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)s1 ZINC000907477144 712582502 /nfs/dbraw/zinc/58/25/02/712582502.db2.gz RDWHRUOXRVUBFP-MRVPVSSYSA-N -1 1 323.403 1.394 20 0 DDADMM O=C([C@H]1Cc2ccccc21)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907476541 712582527 /nfs/dbraw/zinc/58/25/27/712582527.db2.gz QPHLMXKAJNVLTE-NWDGAFQWSA-N -1 1 301.375 1.156 20 0 DDADMM CCc1ccc(O)c(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000907477907 712582715 /nfs/dbraw/zinc/58/27/15/712582715.db2.gz VRONQQLIXPTVOW-LLVKDONJSA-N -1 1 319.390 1.398 20 0 DDADMM Cc1ncsc1CCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480351 712583460 /nfs/dbraw/zinc/58/34/60/712583460.db2.gz ONNRMQFUANTMEP-VIFPVBQESA-N -1 1 324.435 1.214 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N2CCSC[C@@H]2c2nn[n-]n2)C[C@H]1C ZINC000907480257 712583589 /nfs/dbraw/zinc/58/35/89/712583589.db2.gz NXJOXPGREDNYST-WISYIIOYSA-N -1 1 309.439 1.889 20 0 DDADMM O=C(NCCC1CC(O)C1)c1c([O-])cnc2c(F)cccc21 ZINC000907753274 712621988 /nfs/dbraw/zinc/62/19/88/712621988.db2.gz GJEXVYBROLFGOI-UHFFFAOYSA-N -1 1 304.321 1.970 20 0 DDADMM C[C@H](CCC(C)(C)C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907944547 712652875 /nfs/dbraw/zinc/65/28/75/712652875.db2.gz VKNRBKKULRDJAT-LLVKDONJSA-N -1 1 309.414 1.472 20 0 DDADMM CC(C)=C[C@@H]1[C@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C1(C)C ZINC000907944268 712652937 /nfs/dbraw/zinc/65/29/37/712652937.db2.gz RYGHXJUICXSPBG-VXGBXAGGSA-N -1 1 319.409 1.248 20 0 DDADMM C[C@H](Cc1cccnc1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000907977872 712659178 /nfs/dbraw/zinc/65/91/78/712659178.db2.gz VNOLCENGRHJTIG-SECBINFHSA-N -1 1 314.798 1.378 20 0 DDADMM CCN(CCOCCO)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000908019147 712667302 /nfs/dbraw/zinc/66/73/02/712667302.db2.gz CDLGSLOFQYYLCC-UHFFFAOYSA-N -1 1 322.336 1.551 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1C[C@@H]2COC[C@H](C1)O2 ZINC000908047180 712671376 /nfs/dbraw/zinc/67/13/76/712671376.db2.gz YZRZAXWFFKCCDP-AOOOYVTPSA-N -1 1 318.304 1.319 20 0 DDADMM C/C=C\[C@H](O)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000908207156 712710351 /nfs/dbraw/zinc/71/03/51/712710351.db2.gz ZSNQZNNNEHRXEN-FNNAFZMGSA-N -1 1 322.327 1.227 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C(=O)O1 ZINC000908207367 712710362 /nfs/dbraw/zinc/71/03/62/712710362.db2.gz FTAORJWAYNRXFF-XVKPBYJWSA-N -1 1 304.277 1.513 20 0 DDADMM C[C@@H](C(=O)NCCc1cc2ccc(C(=O)[O-])cc2o1)N(C)C ZINC000908221027 712713253 /nfs/dbraw/zinc/71/32/53/712713253.db2.gz MKDFJAKKQROSSC-JTQLQIEISA-N -1 1 304.346 1.740 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C/C=C\Cl)c1C(F)(F)F ZINC000908463916 712780557 /nfs/dbraw/zinc/78/05/57/712780557.db2.gz YLROFMVNVGQSBT-IHWYPQMZSA-N -1 1 303.693 1.470 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC[C@H]2Cc3ccccc32)C1 ZINC000908739648 712845311 /nfs/dbraw/zinc/84/53/11/712845311.db2.gz JAMLSWKRINQUEI-ZIAGYGMSSA-N -1 1 302.374 1.239 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000908809196 712858599 /nfs/dbraw/zinc/85/85/99/712858599.db2.gz QOEKWWZCKRGAEM-UHFFFAOYSA-N -1 1 319.405 1.551 20 0 DDADMM Cc1c(NC(=O)[C@H](C)CN2CCOCC2)cccc1C(=O)[O-] ZINC000908834474 712864583 /nfs/dbraw/zinc/86/45/83/712864583.db2.gz MGTDZDWSJYRPPD-LLVKDONJSA-N -1 1 306.362 1.600 20 0 DDADMM Cc1nn[nH]c1C(=O)N(Cc1ccccc1)C[C@H](C)C(=O)[O-] ZINC000908843339 712867051 /nfs/dbraw/zinc/86/70/51/712867051.db2.gz VCCGNSBICVXTGD-JTQLQIEISA-N -1 1 302.334 1.476 20 0 DDADMM O=C([O-])CCN(CC1CC1)C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000908876735 712877023 /nfs/dbraw/zinc/87/70/23/712877023.db2.gz AAXFCSFCRXIDNM-UHFFFAOYSA-N -1 1 314.345 1.799 20 0 DDADMM CN(CC(=O)N[C@@H](C(=O)[O-])c1ccccc1)[C@@H]1CCSC1 ZINC000908905192 712884053 /nfs/dbraw/zinc/88/40/53/712884053.db2.gz ICGWQAQZESJTLL-TZMCWYRMSA-N -1 1 308.403 1.366 20 0 DDADMM CNC(=O)c1ccc(CS(=O)(=O)[N-]C2(C(F)F)CC2)cc1 ZINC000915277324 713415225 /nfs/dbraw/zinc/41/52/25/713415225.db2.gz FWEYUCVYJDMMLX-UHFFFAOYSA-N -1 1 318.345 1.263 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H]2C(=O)NC(C)(C)C)c([O-])c1 ZINC000928730402 713473351 /nfs/dbraw/zinc/47/33/51/713473351.db2.gz CRRBXHISQGIHSG-NSHDSACASA-N -1 1 305.378 1.615 20 0 DDADMM C[C@@H](OC(=O)c1nn(-c2ccccc2)cc1[O-])C(=O)NC1CC1 ZINC000917945771 713520808 /nfs/dbraw/zinc/52/08/08/713520808.db2.gz XTZZWGUIETZMMK-SNVBAGLBSA-N -1 1 315.329 1.402 20 0 DDADMM Cc1cnn(CC(=O)OCc2cc(=O)oc3cc([O-])ccc23)c1 ZINC000919610997 713621500 /nfs/dbraw/zinc/62/15/00/713621500.db2.gz DBFCXJGODLXOKV-UHFFFAOYSA-N -1 1 314.297 1.747 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cnn2c1OCC(C)(C)C2)C(F)F ZINC000919814017 713636565 /nfs/dbraw/zinc/63/65/65/713636565.db2.gz PMTZPYZHYUKPJN-ZETCQYMHSA-N -1 1 309.338 1.234 20 0 DDADMM CN1C[C@@H](CC[N-]S(=O)(=O)c2cc3ccccc3o2)CC1=O ZINC000921068682 713711680 /nfs/dbraw/zinc/71/16/80/713711680.db2.gz MDLLVIKCCWCBNU-NSHDSACASA-N -1 1 322.386 1.580 20 0 DDADMM NC(=O)CC1CC([N-]S(=O)(=O)c2c(F)cccc2Cl)C1 ZINC000921311391 713729378 /nfs/dbraw/zinc/72/93/78/713729378.db2.gz RIOPSYJRSZXSKS-UHFFFAOYSA-N -1 1 320.773 1.411 20 0 DDADMM CC[C@@H](CO)NC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC000930034118 713740158 /nfs/dbraw/zinc/74/01/58/713740158.db2.gz LEZVVHCXMMYFQG-NSHDSACASA-N -1 1 303.314 1.965 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CCc1ccccc1)c1ccns1 ZINC000921372404 713747181 /nfs/dbraw/zinc/74/71/81/713747181.db2.gz MDSJXGKKSOBLTH-GFCCVEGCSA-N -1 1 312.416 1.415 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC[C@@H]2CNC(=O)C2)c(F)c1 ZINC000921653137 713832075 /nfs/dbraw/zinc/83/20/75/713832075.db2.gz ISZSNLHTNAIQPP-VIFPVBQESA-N -1 1 318.345 1.078 20 0 DDADMM CC1(C)O[C@@H]2C[C@@H]([N-]S(=O)(=O)c3c[nH]nc3Cl)C[C@@H]2O1 ZINC000921802582 713873290 /nfs/dbraw/zinc/87/32/90/713873290.db2.gz SMGOMLTZFGHLPG-WHUPJOBBSA-N -1 1 321.786 1.024 20 0 DDADMM O=S(=O)(C[C@@H]1CCOC1)NCCc1c(F)cc([O-])cc1F ZINC000921967557 713923124 /nfs/dbraw/zinc/92/31/24/713923124.db2.gz USKNDTLTSLAZNA-SECBINFHSA-N -1 1 321.345 1.169 20 0 DDADMM CCOCCOCCOC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000922197749 713988318 /nfs/dbraw/zinc/98/83/18/713988318.db2.gz XZVFBLVKECULRU-UHFFFAOYSA-N -1 1 320.345 1.788 20 0 DDADMM O=C(N[C@H]1CC[C@H](CNC(=O)C2CC2)CC1)c1ncccc1[O-] ZINC000963961403 717956064 /nfs/dbraw/zinc/95/60/64/717956064.db2.gz CXQHBOWTZUPHGD-AULYBMBSSA-N -1 1 317.389 1.602 20 0 DDADMM CN(C)C(=O)CCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932040804 714244478 /nfs/dbraw/zinc/24/44/78/714244478.db2.gz RMVVZTOEDUFHHO-UHFFFAOYSA-N -1 1 317.349 1.178 20 0 DDADMM CC(C)[C@H](O)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932042979 714244807 /nfs/dbraw/zinc/24/48/07/714244807.db2.gz KLSPVDBFCLCSEV-CYBMUJFWSA-N -1 1 304.350 1.716 20 0 DDADMM C[C@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C[C@@H]1O ZINC000932047428 714245833 /nfs/dbraw/zinc/24/58/33/714245833.db2.gz HKYZBZYJFKXXKM-GWCFXTLKSA-N -1 1 302.334 1.422 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)NC1CC(CCO)C1 ZINC000932063889 714250112 /nfs/dbraw/zinc/25/01/12/714250112.db2.gz YYBKHHXOHBJFQK-UHFFFAOYSA-N -1 1 316.361 1.860 20 0 DDADMM CC1=C(C)C[C@](C)(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CC1 ZINC000932284793 714300348 /nfs/dbraw/zinc/30/03/48/714300348.db2.gz YSKYIAQGRCFKKF-IUODEOHRSA-N -1 1 305.382 1.626 20 0 DDADMM CC(C)Cn1[n-]c(CC(=O)N2CCN(C3CCC3)CC2)cc1=O ZINC000932759668 714407333 /nfs/dbraw/zinc/40/73/33/714407333.db2.gz BXHDPKVXPBKGCJ-UHFFFAOYSA-N -1 1 320.437 1.072 20 0 DDADMM O=C(N[C@@H](CO)C[C@H]1CCCO1)c1ncc2ccccc2c1[O-] ZINC000923739744 714461614 /nfs/dbraw/zinc/46/16/14/714461614.db2.gz LZLJLSIWSQXBKZ-CHWSQXEVSA-N -1 1 316.357 1.600 20 0 DDADMM CO[C@H](C(=O)Nc1nnn[n-]1)c1ccccc1C(F)(F)F ZINC000933072476 714512250 /nfs/dbraw/zinc/51/22/50/714512250.db2.gz IDTVDHZDMROSSV-QMMMGPOBSA-N -1 1 301.228 1.545 20 0 DDADMM CO[C@H](C(=O)Nc1nn[n-]n1)c1ccccc1C(F)(F)F ZINC000933072476 714512252 /nfs/dbraw/zinc/51/22/52/714512252.db2.gz IDTVDHZDMROSSV-QMMMGPOBSA-N -1 1 301.228 1.545 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(OC(F)F)cc2F)nc1=O ZINC000924036810 714512363 /nfs/dbraw/zinc/51/23/63/714512363.db2.gz VQYQGKNZTVRLDR-UHFFFAOYSA-N -1 1 317.223 1.206 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CC[C@@]3(CO[C@H](C)C3)O2)c([O-])c1 ZINC000924271017 714553759 /nfs/dbraw/zinc/55/37/59/714553759.db2.gz CRBUYMVJXLEKGW-BFQNTYOBSA-N -1 1 306.362 1.552 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2cc(C)n(C)n2)[n-]c1=O ZINC000934269757 714781200 /nfs/dbraw/zinc/78/12/00/714781200.db2.gz KUPXCHZGZSOTBQ-LBPRGKRZSA-N -1 1 303.366 1.570 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2ccnc(N)c2)[n-]c1=O ZINC000934274243 714783990 /nfs/dbraw/zinc/78/39/90/714783990.db2.gz RZCHUDNRGZHWKT-NSHDSACASA-N -1 1 301.350 1.505 20 0 DDADMM COc1cnc([C@H]2CCCN2CC(=O)N[C@H](C)C(C)C)[n-]c1=O ZINC000934281146 714785877 /nfs/dbraw/zinc/78/58/77/714785877.db2.gz XJYHOXOCKRZDHB-VXGBXAGGSA-N -1 1 322.409 1.488 20 0 DDADMM CCC[C@H](NC(=O)c1cccc2c1CCOC2)c1nn[n-]n1 ZINC000934314458 714792562 /nfs/dbraw/zinc/79/25/62/714792562.db2.gz YEDMXKISYHWQDX-ZDUSSCGKSA-N -1 1 301.350 1.544 20 0 DDADMM C[C@@]1(Cc2ccccc2)SCCN1C(=O)CCc1nn[n-]n1 ZINC000925461924 714864212 /nfs/dbraw/zinc/86/42/12/714864212.db2.gz SWHAPFVXDZNYDT-HNNXBMFYSA-N -1 1 317.418 1.667 20 0 DDADMM CC(C)=CC(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937196897 715291560 /nfs/dbraw/zinc/29/15/60/715291560.db2.gz VNSABMBUEJJZTH-ZDUSSCGKSA-N -1 1 317.389 1.864 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ccccc2)C1)c1ncccc1[O-] ZINC000937861189 715617560 /nfs/dbraw/zinc/61/75/60/715617560.db2.gz PQFTUAMSFCOYOU-ZDUSSCGKSA-N -1 1 311.341 1.432 20 0 DDADMM CC1(CC(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000937864797 715618955 /nfs/dbraw/zinc/61/89/55/715618955.db2.gz XXPQAMBGFAWGQA-NSHDSACASA-N -1 1 303.362 1.308 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956851035 715637704 /nfs/dbraw/zinc/63/77/04/715637704.db2.gz VWOZYKMJUZKEJU-PSTGCABASA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC000955511204 715829100 /nfs/dbraw/zinc/82/91/00/715829100.db2.gz JRMGUXMQPVLHAD-CHWSQXEVSA-N -1 1 319.405 1.943 20 0 DDADMM Cc1occc1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959866325 716326437 /nfs/dbraw/zinc/32/64/37/716326437.db2.gz RZVYDCVAUNMHKB-NSHDSACASA-N -1 1 319.365 1.196 20 0 DDADMM C[C@@H]1CN(C(=O)C2(CF)CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000958733733 716722610 /nfs/dbraw/zinc/72/26/10/716722610.db2.gz PCFAEMYIAMBVOF-GHMZBOCLSA-N -1 1 321.352 1.114 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CC2CC2)C1 ZINC000959010003 716820502 /nfs/dbraw/zinc/82/05/02/716820502.db2.gz XCFZOSSREJIMQO-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)CC(=O)N1CC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959037575 716838671 /nfs/dbraw/zinc/83/86/71/716838671.db2.gz HNQIQDCKQRASMR-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)CC(=O)N1C[C@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959355874 716980834 /nfs/dbraw/zinc/98/08/34/716980834.db2.gz VMCURJRDBPFEAN-STQMWFEESA-N -1 1 319.405 1.658 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2CCC2)C1 ZINC000942758671 717858310 /nfs/dbraw/zinc/85/83/10/717858310.db2.gz PQGLTBDGNFDZON-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(c1ncccc1[O-])N1CC2(C1)CCN(C(=O)C1CC1)CC2 ZINC000944815029 718346665 /nfs/dbraw/zinc/34/66/65/718346665.db2.gz BFEGORZDJRKAPB-UHFFFAOYSA-N -1 1 315.373 1.262 20 0 DDADMM C[C@H]1CCCN(C(=O)c2ncccc2[O-])[C@H]1CNC(=O)C1CC1 ZINC000945752798 718553704 /nfs/dbraw/zinc/55/37/04/718553704.db2.gz BAGPOEVBWQGEIJ-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CCCC(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000945926460 718616808 /nfs/dbraw/zinc/61/68/08/718616808.db2.gz DATZRECVBVKAEV-STQMWFEESA-N -1 1 317.389 1.650 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000948242100 719412270 /nfs/dbraw/zinc/41/22/70/719412270.db2.gz KIEISWARFOMBJW-QJJZASRKSA-N -1 1 303.362 1.330 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886462 719844058 /nfs/dbraw/zinc/84/40/58/719844058.db2.gz MWKYIMVKBRDOGK-HITUPNAXSA-N -1 1 315.373 1.569 20 0 DDADMM CC(C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])=C1CCC1 ZINC000949534794 720145545 /nfs/dbraw/zinc/14/55/45/720145545.db2.gz GHVUPKRTHLPUIO-ZDUSSCGKSA-N -1 1 315.373 1.618 20 0 DDADMM Cc1cocc1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949542062 720150189 /nfs/dbraw/zinc/15/01/89/720150189.db2.gz VYODWNYNJRSNJS-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM O=C(C=C1CCC1)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950539374 720675241 /nfs/dbraw/zinc/67/52/41/720675241.db2.gz OEEDQNNSDWESSP-ZDUSSCGKSA-N -1 1 315.373 1.618 20 0 DDADMM CC(C)CC(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000971135945 721130194 /nfs/dbraw/zinc/13/01/94/721130194.db2.gz NQZYJSZZDOQZBT-CHWSQXEVSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NCC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000952173849 721344545 /nfs/dbraw/zinc/34/45/45/721344545.db2.gz OEABPRAVUPEOLO-DGCLKSJQSA-N -1 1 317.389 1.412 20 0 DDADMM CCC(=O)N1C[C@H]2CCC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000952624034 721481843 /nfs/dbraw/zinc/48/18/43/721481843.db2.gz HEZIJWIADKCZBM-TXEJJXNPSA-N -1 1 303.362 1.403 20 0 DDADMM C[C@@H](C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC000954125416 721724247 /nfs/dbraw/zinc/72/42/47/721724247.db2.gz NSLRDJVBVJIORK-NSHDSACASA-N -1 1 319.405 1.752 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CC2(C)CC2)C1 ZINC000954128065 721725031 /nfs/dbraw/zinc/72/50/31/721725031.db2.gz QHLVFTLXJVNEFG-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C1CC1 ZINC001009911641 738576022 /nfs/dbraw/zinc/57/60/22/738576022.db2.gz XTMARZHCIKJYSC-WDEREUQCSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1cc(CN2CCC[C@H]2CNC(=O)c2ncccc2[O-])no1 ZINC001027843690 738726839 /nfs/dbraw/zinc/72/68/39/738726839.db2.gz FLKVWMCZMOHRDP-ZDUSSCGKSA-N -1 1 316.361 1.478 20 0 DDADMM CC1(CCC(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001167605655 734811578 /nfs/dbraw/zinc/81/15/78/734811578.db2.gz RVPLHDCAGBDRJA-UHFFFAOYSA-N -1 1 321.425 1.467 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@@H]1Cc1ccccc1 ZINC001121358985 782471010 /nfs/dbraw/zinc/47/10/10/782471010.db2.gz BWTBPNXMPQKHCS-GFCCVEGCSA-N -1 1 310.365 1.207 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@@H]1Cc1ccccc1 ZINC001121358985 782471012 /nfs/dbraw/zinc/47/10/12/782471012.db2.gz BWTBPNXMPQKHCS-GFCCVEGCSA-N -1 1 310.365 1.207 20 0 DDADMM C[C@@H](CNc1cc(F)ncn1)N(C)C(=O)c1ncccc1[O-] ZINC001104536322 736202934 /nfs/dbraw/zinc/20/29/34/736202934.db2.gz MJJXZABGUXBVCZ-VIFPVBQESA-N -1 1 305.313 1.289 20 0 DDADMM Cc1nsc(NC[C@H](C)N(C)C(=O)c2ncccc2[O-])n1 ZINC001104536603 736203358 /nfs/dbraw/zinc/20/33/58/736203358.db2.gz QWSHWFCDIBALTR-QMMMGPOBSA-N -1 1 307.379 1.520 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974055864 737352855 /nfs/dbraw/zinc/35/28/55/737352855.db2.gz AZSQWLMFFJCQSV-RNJOBUHISA-N -1 1 317.389 1.456 20 0 DDADMM O=C(CC1CC1)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059006904 739600789 /nfs/dbraw/zinc/60/07/89/739600789.db2.gz MMNXYGSISFWHBE-CYBMUJFWSA-N -1 1 317.389 1.556 20 0 DDADMM CCCC(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059035445 739691485 /nfs/dbraw/zinc/69/14/85/739691485.db2.gz NGUGCZCUQBOHJW-GFCCVEGCSA-N -1 1 305.378 1.556 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001029637724 741162622 /nfs/dbraw/zinc/16/26/22/741162622.db2.gz FRXOQENSMXTMOZ-LLVKDONJSA-N -1 1 319.365 1.006 20 0 DDADMM C[C@H](CCCNc1ncccn1)NC(=O)c1ncccc1[O-] ZINC001114906258 751646507 /nfs/dbraw/zinc/64/65/07/751646507.db2.gz BNXXASBQLOWSPM-LLVKDONJSA-N -1 1 301.350 1.588 20 0 DDADMM C[C@@H](CCNC(=O)C1CC(C)C1)NC(=O)c1ncccc1[O-] ZINC001076110540 742546846 /nfs/dbraw/zinc/54/68/46/742546846.db2.gz HZWAHHZADBLGBG-CXQJBGSLSA-N -1 1 305.378 1.458 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1=CCCC1 ZINC001076244467 742624026 /nfs/dbraw/zinc/62/40/26/742624026.db2.gz SRZOWJYENIYCEY-NSHDSACASA-N -1 1 303.362 1.522 20 0 DDADMM CCC(C)(C)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244804 742624704 /nfs/dbraw/zinc/62/47/04/742624704.db2.gz AIAQUZRJGPEXKR-NSHDSACASA-N -1 1 307.394 1.848 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccc(Cl)[nH]1 ZINC001168695710 743186865 /nfs/dbraw/zinc/18/68/65/743186865.db2.gz SEXDWMZUYSYNDM-LLVKDONJSA-N -1 1 302.725 1.701 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(c3ccccc3)COC2)n1 ZINC001181556078 743242674 /nfs/dbraw/zinc/24/26/74/743242674.db2.gz WSDWAWDNOZBABT-UHFFFAOYSA-N -1 1 315.329 1.493 20 0 DDADMM Cc1cnc(CN2CCC(NC(=O)c3ncccc3[O-])CC2)o1 ZINC001002661066 743314557 /nfs/dbraw/zinc/31/45/57/743314557.db2.gz ZIKMYTBODSKOAE-UHFFFAOYSA-N -1 1 316.361 1.478 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)C(F)(F)c1ccc(F)cc1 ZINC001182890445 743746306 /nfs/dbraw/zinc/74/63/06/743746306.db2.gz DZPUMIDCLHIBTG-UHFFFAOYSA-N -1 1 323.234 1.928 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@@H](O)Cc1ccc(O)cc1 ZINC001183891977 743923867 /nfs/dbraw/zinc/92/38/67/743923867.db2.gz JHFFVEUANAIDSA-QMMMGPOBSA-N -1 1 316.239 1.071 20 0 DDADMM Cc1n[nH]c(C(=O)NC2CN(CC3CCC4(CC4)CC3)C2)c1[O-] ZINC001030222510 743957231 /nfs/dbraw/zinc/95/72/31/743957231.db2.gz OUJIDPYBJWVUCO-UHFFFAOYSA-N -1 1 318.421 1.808 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)C1=NOC2(C1)CCCCC2 ZINC001184697518 744082474 /nfs/dbraw/zinc/08/24/74/744082474.db2.gz VRXMAIRQTFEVAN-UHFFFAOYSA-N -1 1 318.310 1.540 20 0 DDADMM O=c1[nH]ncc([N-]S(=O)(=O)c2cnc(Cl)nc2)c1Cl ZINC001185006100 744135844 /nfs/dbraw/zinc/13/58/44/744135844.db2.gz QJGSAZNKPQAJFW-UHFFFAOYSA-N -1 1 322.133 1.080 20 0 DDADMM CCCC(CCC)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001185248408 744189145 /nfs/dbraw/zinc/18/91/45/744189145.db2.gz FDCBXDDKFKTXLT-GFCCVEGCSA-N -1 1 309.414 1.417 20 0 DDADMM COc1ccccc1CNC(=O)Nc1cc(=O)[n-]c(N(C)C)n1 ZINC001186099803 744343021 /nfs/dbraw/zinc/34/30/21/744343021.db2.gz QLVBYRXDHNZVRJ-UHFFFAOYSA-N -1 1 317.349 1.579 20 0 DDADMM O=C(N[C@H]1C(=O)NCc2ccccc21)c1ccc([O-])c(F)c1 ZINC001186233384 744360776 /nfs/dbraw/zinc/36/07/76/744360776.db2.gz KCKNCQHCMYJMES-CQSZACIVSA-N -1 1 300.289 1.632 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(C(N)=O)c(Cl)c1 ZINC001187286535 744534250 /nfs/dbraw/zinc/53/42/50/744534250.db2.gz IDMVUKDUKHLWBS-UHFFFAOYSA-N -1 1 306.771 1.217 20 0 DDADMM COc1cccc(C[N-]S(=O)(=O)c2cnoc2C)c1OC ZINC001187324468 744539925 /nfs/dbraw/zinc/53/99/25/744539925.db2.gz NYTVPHTWGRJNNC-UHFFFAOYSA-N -1 1 312.347 1.479 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001168429121 744594892 /nfs/dbraw/zinc/59/48/92/744594892.db2.gz CKMLHVCKURVGBU-TWGQIWQCSA-N -1 1 303.293 1.747 20 0 DDADMM O=C(N[C@H]1COCc2ccccc21)c1n[n-]nc1C(F)(F)F ZINC001187773731 744600422 /nfs/dbraw/zinc/60/04/22/744600422.db2.gz KEBRHDFRXLZWEF-VIFPVBQESA-N -1 1 312.251 1.825 20 0 DDADMM O=C(Nc1ncccc1CCO)c1n[n-]nc1C(F)(F)F ZINC001187758816 744605718 /nfs/dbraw/zinc/60/57/18/744605718.db2.gz JHAROHMMNRNWIZ-UHFFFAOYSA-N -1 1 301.228 1.006 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1cccc(Cl)c1)c1nccs1 ZINC001187913297 744629168 /nfs/dbraw/zinc/62/91/68/744629168.db2.gz WBNYPWBKUHSRNE-JTQLQIEISA-N -1 1 318.807 1.808 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1cc2ccccc2o1)c1nccs1 ZINC001187923679 744635611 /nfs/dbraw/zinc/63/56/11/744635611.db2.gz VXFJNILQTMYDQP-JTQLQIEISA-N -1 1 324.383 1.901 20 0 DDADMM CCC[C@@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC001187980848 744642015 /nfs/dbraw/zinc/64/20/15/744642015.db2.gz OOBAIDOVDVKNCY-ZETCQYMHSA-N -1 1 313.206 1.828 20 0 DDADMM COC(=O)c1csc(C[N-]S(=O)(=O)c2ccoc2)n1 ZINC001188617647 744728536 /nfs/dbraw/zinc/72/85/36/744728536.db2.gz OEOIJKGHKLFRDZ-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM O=C(N[C@@H]1SC(=O)[N-]C1=O)c1ccc(O)c(C(F)(F)F)c1 ZINC001188589000 744732577 /nfs/dbraw/zinc/73/25/77/744732577.db2.gz KNWVPHAOEYDBSU-SECBINFHSA-N -1 1 320.248 1.450 20 0 DDADMM CC(C)(C)C(=O)CN1CCC(NC(=O)c2ccncc2O)CC1 ZINC001188644943 744735133 /nfs/dbraw/zinc/73/51/33/744735133.db2.gz YQRUTCCZZGNCJQ-UHFFFAOYSA-N -1 1 319.405 1.597 20 0 DDADMM CN(C)c1nc(NC(=O)c2ccc(F)nc2)c(N=O)c(=O)[n-]1 ZINC001188645566 744735173 /nfs/dbraw/zinc/73/51/73/744735173.db2.gz LGAIUACQZFBJJQ-UHFFFAOYSA-N -1 1 306.257 1.433 20 0 DDADMM COc1cccnc1C(C)(C)CNC(=O)c1ccncc1[O-] ZINC001188644546 744735382 /nfs/dbraw/zinc/73/53/82/744735382.db2.gz HFQVHZJREBMILG-UHFFFAOYSA-N -1 1 301.346 1.898 20 0 DDADMM Nc1nc(=O)[nH]c([O-])c1NC(=O)c1cccc(-c2cnco2)c1 ZINC001188933168 744794038 /nfs/dbraw/zinc/79/40/38/744794038.db2.gz YRLPJKPIBNQFQO-UHFFFAOYSA-N -1 1 313.273 1.377 20 0 DDADMM Cc1coc(C[N-]S(=O)(=O)Cc2cccc(F)c2F)n1 ZINC001189417200 744892175 /nfs/dbraw/zinc/89/21/75/744892175.db2.gz IOWWTAQLZZNLNL-UHFFFAOYSA-N -1 1 302.302 1.881 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2F)n(C)n1 ZINC001190404698 745201805 /nfs/dbraw/zinc/20/18/05/745201805.db2.gz YLAQFXMIXVSVFE-UHFFFAOYSA-N -1 1 319.308 1.624 20 0 DDADMM Cc1ncn(C)c1NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190618536 745260796 /nfs/dbraw/zinc/26/07/96/745260796.db2.gz HSXUFRMXPQSUMP-UHFFFAOYSA-N -1 1 310.317 1.538 20 0 DDADMM CCn1nc(C)c(C(=O)[N-]c2nc(-c3cccnc3)ns2)n1 ZINC001127307723 745476216 /nfs/dbraw/zinc/47/62/16/745476216.db2.gz MLHBADJCALUOJD-UHFFFAOYSA-N -1 1 315.362 1.772 20 0 DDADMM CSc1ncc(C(=O)NCc2cnc3[nH]ccc3c2)c(=O)[n-]1 ZINC001191437230 745512111 /nfs/dbraw/zinc/51/21/11/745512111.db2.gz KJONNPFHYKTEDA-UHFFFAOYSA-N -1 1 315.358 1.710 20 0 DDADMM Nc1ccc(OCCO)c(NC(=O)c2c(F)ccc([O-])c2F)c1 ZINC001192541398 745827263 /nfs/dbraw/zinc/82/72/63/745827263.db2.gz UYCAJRUWTJNZOE-UHFFFAOYSA-N -1 1 324.283 1.876 20 0 DDADMM Cn1cnnc1C1CCN(C(=O)c2cc([O-])cc(F)c2F)CC1 ZINC001192663625 745868341 /nfs/dbraw/zinc/86/83/41/745868341.db2.gz DUZASHIVOORLOR-UHFFFAOYSA-N -1 1 322.315 1.819 20 0 DDADMM CN(C(=O)c1cc([O-])cc(F)c1F)C1CCS(=O)(=O)CC1 ZINC001192664623 745868474 /nfs/dbraw/zinc/86/84/74/745868474.db2.gz OEVFFSLBFYGFQO-UHFFFAOYSA-N -1 1 319.329 1.320 20 0 DDADMM COCc1[nH]nc2c1CN(C(=O)c1ccc(F)c(F)c1[O-])C2 ZINC001192796578 745896221 /nfs/dbraw/zinc/89/62/21/745896221.db2.gz QFXWUWNAKZRYGO-UHFFFAOYSA-N -1 1 309.272 1.696 20 0 DDADMM Cc1cc(CN2CCC[C@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001007187801 752053851 /nfs/dbraw/zinc/05/38/51/752053851.db2.gz GXUMJTNCQDITJB-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM COc1nc(C)c(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)s1 ZINC001193481439 746116520 /nfs/dbraw/zinc/11/65/20/746116520.db2.gz FHSOCHVYIZXJAE-UHFFFAOYSA-N -1 1 311.279 1.312 20 0 DDADMM Nc1nc(=O)c(F)cn1C(=O)c1ccc([O-])cc1C(F)(F)F ZINC001193748168 746195938 /nfs/dbraw/zinc/19/59/38/746195938.db2.gz QSQPOUFVYRAOIC-UHFFFAOYSA-N -1 1 317.198 1.620 20 0 DDADMM CCCOC(=O)Nc1ccc(S(=O)(=O)N(C)C)cc1[O-] ZINC001194123064 746280893 /nfs/dbraw/zinc/28/08/93/746280893.db2.gz ZAIKVCOZULAMRX-UHFFFAOYSA-N -1 1 302.352 1.601 20 0 DDADMM O=C1CCC[C@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC001194585481 746415331 /nfs/dbraw/zinc/41/53/31/746415331.db2.gz JYCISMBVRCYNCK-QMMMGPOBSA-N -1 1 322.308 1.890 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(Cl)c(C)[nH]c3=O)c1-2 ZINC001194694770 746438506 /nfs/dbraw/zinc/43/85/06/746438506.db2.gz RAXAKYPTUFEPJW-UHFFFAOYSA-N -1 1 318.724 1.350 20 0 DDADMM O=C(Nc1cnn2c1OCCC2)c1c[n-]c(C(F)(F)F)n1 ZINC001194773759 746462343 /nfs/dbraw/zinc/46/23/43/746462343.db2.gz VXYHXFDXKDKQKA-UHFFFAOYSA-N -1 1 301.228 1.660 20 0 DDADMM C[C@H]1COC[C@H]1NC(=O)c1cc(Br)ccc1[O-] ZINC000699901108 746523317 /nfs/dbraw/zinc/52/33/17/746523317.db2.gz GWUDKHHCKWUPGD-OIBJUYFYSA-N -1 1 300.152 1.919 20 0 DDADMM CCOC(=O)CNC(=S)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001195563968 746654385 /nfs/dbraw/zinc/65/43/85/746654385.db2.gz VNZQKTQCKPFURL-UHFFFAOYSA-N -1 1 320.374 1.427 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cnccc1-c1ccccc1 ZINC001196468900 746869466 /nfs/dbraw/zinc/86/94/66/746869466.db2.gz FTUJFLIUKRFKEX-UHFFFAOYSA-N -1 1 323.312 1.784 20 0 DDADMM Cc1c(CN(C)C(=O)c2c(C)[nH]c(=O)[n-]c2=S)cnn1C ZINC001123871667 747042155 /nfs/dbraw/zinc/04/21/55/747042155.db2.gz PXFVJPSGRFBSMZ-UHFFFAOYSA-N -1 1 307.379 1.093 20 0 DDADMM Cc1cn2cccc([N-]S(=O)(=O)Cc3cccnc3)c2n1 ZINC001197421204 747158616 /nfs/dbraw/zinc/15/86/16/747158616.db2.gz BKQFMTRLVOIUQC-UHFFFAOYSA-N -1 1 302.359 1.980 20 0 DDADMM O=S(=O)(Cc1cccnc1)[N-]c1cccnc1OC1COC1 ZINC001197422213 747159622 /nfs/dbraw/zinc/15/96/22/747159622.db2.gz LQNOPLFFHNUNIJ-UHFFFAOYSA-N -1 1 321.358 1.196 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2[nH]c(=O)[nH]c21)c1cccc(C(F)F)c1 ZINC001197820614 747288562 /nfs/dbraw/zinc/28/85/62/747288562.db2.gz GNXVJXSRVQFRAI-UHFFFAOYSA-N -1 1 321.243 1.906 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cccc(C(F)F)c1 ZINC001197820614 747288566 /nfs/dbraw/zinc/28/85/66/747288566.db2.gz GNXVJXSRVQFRAI-UHFFFAOYSA-N -1 1 321.243 1.906 20 0 DDADMM C/C(=C/C(=O)OC(C)C)[N-]S(=O)(=O)c1cccc(Cl)n1 ZINC001198245555 747425810 /nfs/dbraw/zinc/42/58/10/747425810.db2.gz FURJQSOMYHMWHP-CLFYSBASSA-N -1 1 318.782 1.869 20 0 DDADMM C=CS(=O)(=O)[N-]c1cc(Cl)nn(-c2ccccc2)c1=O ZINC001198634285 747558532 /nfs/dbraw/zinc/55/85/32/747558532.db2.gz HOFFKOOVVWJCIL-UHFFFAOYSA-N -1 1 311.750 1.771 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)Nc1cnc2nccn2c1 ZINC001198750879 747599227 /nfs/dbraw/zinc/59/92/27/747599227.db2.gz UTOKBWBZBGNELW-UHFFFAOYSA-N -1 1 318.314 1.228 20 0 DDADMM CC(C)Oc1cc(C(=O)NCCCC[P@](=O)([O-])O)ccn1 ZINC001199211988 747744337 /nfs/dbraw/zinc/74/43/37/747744337.db2.gz ZXVVRGFHBVYIGW-UHFFFAOYSA-N -1 1 316.294 1.557 20 0 DDADMM CSc1nc(NC(=O)c2ccn(C(C)C)c(=O)c2)cc(=O)[n-]1 ZINC001199492346 747861886 /nfs/dbraw/zinc/86/18/86/747861886.db2.gz PVUKXCKNWKXGAD-UHFFFAOYSA-N -1 1 320.374 1.899 20 0 DDADMM Cc1ccnc(F)c1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001199711798 747961764 /nfs/dbraw/zinc/96/17/64/747961764.db2.gz RZJKBROKBQYMGQ-UHFFFAOYSA-N -1 1 320.284 1.741 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H]1CCOC[C@H]1F ZINC001201764459 748598004 /nfs/dbraw/zinc/59/80/04/748598004.db2.gz OCKIFAIWBPZBIK-RNFRBKRXSA-N -1 1 314.791 1.510 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@H]1C[C@@](C)(O)C1 ZINC001201767131 748598478 /nfs/dbraw/zinc/59/84/78/748598478.db2.gz NRZGBXINEZREBD-WKFQBHICSA-N -1 1 310.828 1.544 20 0 DDADMM CCn1nc(C)c(C(=O)[N-]c2nc(C3CCOCC3)ns2)n1 ZINC000863265260 749073449 /nfs/dbraw/zinc/07/34/49/749073449.db2.gz SRCVOILDCZDLAZ-UHFFFAOYSA-N -1 1 322.394 1.604 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001005148935 749329569 /nfs/dbraw/zinc/32/95/69/749329569.db2.gz FDVDKFBRHUMVQX-OFTGVCEQSA-N -1 1 315.373 1.305 20 0 DDADMM Cc1ncc(C)c(NC/C=C/CNC(=O)c2ncccc2[O-])n1 ZINC001107139720 749351230 /nfs/dbraw/zinc/35/12/30/749351230.db2.gz QCKFCOYDYUCABJ-ONEGZZNKSA-N -1 1 313.361 1.592 20 0 DDADMM CC(C)(C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C1CC1 ZINC000996180499 749420758 /nfs/dbraw/zinc/42/07/58/749420758.db2.gz UQJGZVFLGPZOCO-LLVKDONJSA-N -1 1 321.425 1.273 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N1CC([C@@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001006392388 749548403 /nfs/dbraw/zinc/54/84/03/749548403.db2.gz STVCVBMQVPWUCF-NQBHXWOUSA-N -1 1 319.409 1.064 20 0 DDADMM Cc1ccc(C)c(NC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001202772525 749732627 /nfs/dbraw/zinc/73/26/27/749732627.db2.gz FMARZNOEILUMBH-UHFFFAOYSA-N -1 1 314.305 1.977 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(C)(C)C ZINC001005286971 749750750 /nfs/dbraw/zinc/75/07/50/749750750.db2.gz FOBBLJJYEQEPQU-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1ccc(N(C)[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC001056900818 761995123 /nfs/dbraw/zinc/99/51/23/761995123.db2.gz PKZUHNRZRQGWAE-GFCCVEGCSA-N -1 1 313.361 1.237 20 0 DDADMM Cc1cc(N(C)CCCNC(=O)c2ncccc2[O-])ncn1 ZINC001095527029 750008969 /nfs/dbraw/zinc/00/89/69/750008969.db2.gz RLRVEMPEMZILBA-UHFFFAOYSA-N -1 1 301.350 1.142 20 0 DDADMM CCN(C(=O)c1c(C)nc[nH]c1=O)[C@@H]1CC[N@@H+](C/C=C\Cl)C1 ZINC001033932177 750143220 /nfs/dbraw/zinc/14/32/20/750143220.db2.gz ITPVVNUAPSWJOS-TXNVCOODSA-N -1 1 324.812 1.780 20 0 DDADMM O=C([C@@H]1CCCC12CC2)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034971532 751085733 /nfs/dbraw/zinc/08/57/33/751085733.db2.gz PADPTBZUGLUHNU-NEPJUHHUSA-N -1 1 319.409 1.171 20 0 DDADMM CCCc1cc(NCCOP(=O)([O-])[O-])nc(CCC)[nH+]1 ZINC001168589756 751205142 /nfs/dbraw/zinc/20/51/42/751205142.db2.gz OOHVYBAKACTQPG-UHFFFAOYSA-N -1 1 303.299 1.903 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2ccc(CO)nc2)c1 ZINC001212376719 751207310 /nfs/dbraw/zinc/20/73/10/751207310.db2.gz HHDDELYRJCJCES-UHFFFAOYSA-N -1 1 308.359 1.817 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036502743 752490958 /nfs/dbraw/zinc/49/09/58/752490958.db2.gz KTIBVDZQQBPDTQ-NWDGAFQWSA-N -1 1 307.398 1.193 20 0 DDADMM C[C@H]1CCC[C@]1(C)C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000999171843 752600755 /nfs/dbraw/zinc/60/07/55/752600755.db2.gz UEONUVYZDWCUNF-GTNSWQLSSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC000999507190 752957678 /nfs/dbraw/zinc/95/76/78/752957678.db2.gz DFDXTMQNIXQUEE-QWRGUYRKSA-N -1 1 309.414 1.273 20 0 DDADMM O=C(C1=CCCC1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036686355 753352677 /nfs/dbraw/zinc/35/26/77/753352677.db2.gz NPEYINZPIIXWTD-CHWSQXEVSA-N -1 1 317.393 1.043 20 0 DDADMM CC[C@@H](C)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005950358 753410073 /nfs/dbraw/zinc/41/00/73/753410073.db2.gz JNJUOZGJUBCABQ-GHMZBOCLSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2CC=CC2)C1 ZINC001005950919 753410647 /nfs/dbraw/zinc/41/06/47/753410647.db2.gz MHKKMMDKALWXBU-LLVKDONJSA-N -1 1 315.373 1.330 20 0 DDADMM CC(C)=C(F)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005951750 753412280 /nfs/dbraw/zinc/41/22/80/753412280.db2.gz OXGWGQJTLDSGPL-JTQLQIEISA-N -1 1 321.352 1.627 20 0 DDADMM O=C(/C=C\C1CC1)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062750711 753671259 /nfs/dbraw/zinc/67/12/59/753671259.db2.gz NZPAKWUVIMVDMC-SREVYHEPSA-N -1 1 315.373 1.522 20 0 DDADMM CC(C)[C@@H](F)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062840173 753761886 /nfs/dbraw/zinc/76/18/86/753761886.db2.gz UOKFVNJSBQHLLM-GFCCVEGCSA-N -1 1 323.368 1.550 20 0 DDADMM CCCC(=O)N1CC2(C1)CCCN(C(=O)c1ncccc1[O-])C2 ZINC001010490976 753964486 /nfs/dbraw/zinc/96/44/86/753964486.db2.gz QMYBBFFYLLLFGX-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM Cc1ncc(CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001010729923 754127931 /nfs/dbraw/zinc/12/79/31/754127931.db2.gz DODXXLPUYNBZDY-NSHDSACASA-N -1 1 302.334 1.088 20 0 DDADMM Cc1conc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010733055 754130200 /nfs/dbraw/zinc/13/02/00/754130200.db2.gz OYWUYCNFIYLYNA-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(C)(F)F ZINC001011376846 754484264 /nfs/dbraw/zinc/48/42/64/754484264.db2.gz GIPYSBNXPVFZJH-DTWKUNHWSA-N -1 1 313.304 1.162 20 0 DDADMM C[C@H]1C[C@H](NC(=O)CC2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012508580 755114439 /nfs/dbraw/zinc/11/44/39/755114439.db2.gz FQZQLCOKPMYAHQ-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1[C@@H](Nc2nccnc2F)CCN1C(=O)c1ncccc1[O-] ZINC001040102384 762383933 /nfs/dbraw/zinc/38/39/33/762383933.db2.gz BGLQUCRGAKKMMN-UWVGGRQHSA-N -1 1 317.324 1.431 20 0 DDADMM CCC[C@H](C)C(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079508634 755381742 /nfs/dbraw/zinc/38/17/42/755381742.db2.gz JDLOLBGMHABAME-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM CN(C(=O)C1(C)CCC1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016841085 757398493 /nfs/dbraw/zinc/39/84/93/757398493.db2.gz BHCPAKLOVCHKAJ-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)C2CCCC2)C1 ZINC001017014026 757565767 /nfs/dbraw/zinc/56/57/67/757565767.db2.gz KVTJYBYHBFXQIG-LBPRGKRZSA-N -1 1 321.425 1.371 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017055156 757598613 /nfs/dbraw/zinc/59/86/13/757598613.db2.gz HEZSGFIWQAFVHI-NWDGAFQWSA-N -1 1 309.414 1.227 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)C2(C)CCC2)C1 ZINC001017185122 757700177 /nfs/dbraw/zinc/70/01/77/757700177.db2.gz JTJMQRATURHNRR-LBPRGKRZSA-N -1 1 321.425 1.371 20 0 DDADMM CC(=O)N[C@H]1CC[C@@H](C)N(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001017882755 758337674 /nfs/dbraw/zinc/33/76/74/758337674.db2.gz DPJWJGAHRHXJGG-SKDRFNHKSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)C2CC2)CN1C(=O)c1ncccc1[O-] ZINC001017893515 758353762 /nfs/dbraw/zinc/35/37/62/758353762.db2.gz XPWOTDCVNOUKKA-PWSUYJOCSA-N -1 1 303.362 1.307 20 0 DDADMM CC(C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)=C1CCC1 ZINC001085194471 758461807 /nfs/dbraw/zinc/46/18/07/758461807.db2.gz FOQZOWXONQVOGD-CHWSQXEVSA-N -1 1 319.409 1.528 20 0 DDADMM CC[C@@H](F)CN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784751 758809118 /nfs/dbraw/zinc/80/91/18/758809118.db2.gz WIKOJUCINBEFCY-NEPJUHHUSA-N -1 1 323.368 1.108 20 0 DDADMM CCCC(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018695742 759148843 /nfs/dbraw/zinc/14/88/43/759148843.db2.gz LHXUQPRZSZBRNG-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C)c1nn[n-]n1 ZINC000824912913 759212171 /nfs/dbraw/zinc/21/21/71/759212171.db2.gz INPPZEZJTQELCT-SSRBZLIGSA-N -1 1 313.427 1.170 20 0 DDADMM C[C@H]1CN(C(=O)CC2CCCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054362973 759551059 /nfs/dbraw/zinc/55/10/59/759551059.db2.gz JVWVQZVRMGVPCS-AAEUAGOBSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1ccccc1 ZINC001019668307 760151830 /nfs/dbraw/zinc/15/18/30/760151830.db2.gz RAYJQQNMRWQBJS-AAEUAGOBSA-N -1 1 315.377 1.005 20 0 DDADMM C[C@H]1CN(C(=O)C2CC3(CCC3)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054991997 760268875 /nfs/dbraw/zinc/26/88/75/760268875.db2.gz MSIOVHZAWZPYOQ-CMPLNLGQSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@@H](C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C)C1CC1 ZINC001020205307 760676831 /nfs/dbraw/zinc/67/68/31/760676831.db2.gz LQOOKJQKSCVJGN-MDZLAQPJSA-N -1 1 317.389 1.553 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cs1 ZINC001000460620 761763879 /nfs/dbraw/zinc/76/38/79/761763879.db2.gz IERIIZSMBRDIER-NSHDSACASA-N -1 1 321.406 1.275 20 0 DDADMM O=C(C=C1CCC1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001535702 762942947 /nfs/dbraw/zinc/94/29/47/762942947.db2.gz BPFBBEBBANHVDV-UHFFFAOYSA-N -1 1 301.346 1.086 20 0 DDADMM C[C@@]1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C=CCC1 ZINC001001551604 762953484 /nfs/dbraw/zinc/95/34/84/762953484.db2.gz JKJDVZONUDZOQW-QGZVFWFLSA-N -1 1 315.373 1.332 20 0 DDADMM Cc1ccnc(N(C)C[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108932965 763142014 /nfs/dbraw/zinc/14/20/14/763142014.db2.gz IDTYKESHRBNSQF-LLVKDONJSA-N -1 1 301.350 1.140 20 0 DDADMM Cc1nccc(N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C)n1 ZINC001050206103 763319202 /nfs/dbraw/zinc/31/92/02/763319202.db2.gz FYDNBBVXJFJDTN-PWSUYJOCSA-N -1 1 313.361 1.283 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)c1ccc(CNC(=O)C2CC2)cc1 ZINC001050255503 763380076 /nfs/dbraw/zinc/38/00/76/763380076.db2.gz FSXXUTLNMXKRHC-SNVBAGLBSA-N -1 1 315.377 1.387 20 0 DDADMM CC(C)=CC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052420608 765808294 /nfs/dbraw/zinc/80/82/94/765808294.db2.gz GDCFPOPJSGEJBK-CHWSQXEVSA-N -1 1 317.389 1.578 20 0 DDADMM Cc1nccc(N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001113574840 765895371 /nfs/dbraw/zinc/89/53/71/765895371.db2.gz HWDUXRGNFRXXCJ-JTQLQIEISA-N -1 1 301.350 1.140 20 0 DDADMM Cc1cnc(C)nc1N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001113575381 765896138 /nfs/dbraw/zinc/89/61/38/765896138.db2.gz UJIDSLKXTSBIQG-NSHDSACASA-N -1 1 315.377 1.449 20 0 DDADMM CC(C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)=C1CCCC1 ZINC001044988285 766002551 /nfs/dbraw/zinc/00/25/51/766002551.db2.gz UNPRIXBSESDVAI-ZDUSSCGKSA-N -1 1 319.409 1.481 20 0 DDADMM COc1ccc2nc(Nc3[n-]c(=O)nc4nc[nH]c43)cnc2c1 ZINC001170224325 766198183 /nfs/dbraw/zinc/19/81/83/766198183.db2.gz XKJZYEHGQMTJOB-UHFFFAOYSA-N -1 1 309.289 1.754 20 0 DDADMM CSc1nc2c(c(Nc3[n-]c(=O)nc4nc[nH]c43)n1)CCC2 ZINC001170226363 766200285 /nfs/dbraw/zinc/20/02/85/766200285.db2.gz JDTQJNRGAGZZNJ-UHFFFAOYSA-N -1 1 315.362 1.803 20 0 DDADMM CCC(=O)NCc1cccc(Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001170228003 766202718 /nfs/dbraw/zinc/20/27/18/766202718.db2.gz ZKUUHLHEDIJTQM-UHFFFAOYSA-N -1 1 312.333 1.828 20 0 DDADMM CCOC(=O)NCCCC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001129639696 766955484 /nfs/dbraw/zinc/95/54/84/766955484.db2.gz RFCSTVBZLBXVKX-UHFFFAOYSA-N -1 1 322.365 1.526 20 0 DDADMM CC(C)=C(F)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952807 770337131 /nfs/dbraw/zinc/33/71/31/770337131.db2.gz QYIRPKYFOLAYQO-GHMZBOCLSA-N -1 1 321.352 1.627 20 0 DDADMM Cc1cc(CNC2CC(CNC(=O)c3[nH]nc(C)c3[O-])C2)on1 ZINC001090985816 772409236 /nfs/dbraw/zinc/40/92/36/772409236.db2.gz DUKUKFRNRQMLET-UHFFFAOYSA-N -1 1 319.365 1.018 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2c(F)cc([O-])cc2F)CCC1=O ZINC001144545112 772559372 /nfs/dbraw/zinc/55/93/72/772559372.db2.gz SGUSYKDPTFXKNA-NSHDSACASA-N -1 1 313.300 1.625 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)CNc2ccc(O)cc2)n1 ZINC001144563790 772566671 /nfs/dbraw/zinc/56/66/71/772566671.db2.gz XAUQQKUJOUOWTE-UHFFFAOYSA-N -1 1 304.306 1.343 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CNc2ccc(O)cc2)n1 ZINC001144563790 772566673 /nfs/dbraw/zinc/56/66/73/772566673.db2.gz XAUQQKUJOUOWTE-UHFFFAOYSA-N -1 1 304.306 1.343 20 0 DDADMM COC(=O)CC[C@@H]1COCCN1Cc1c(F)cc([O-])cc1F ZINC001144567071 772567521 /nfs/dbraw/zinc/56/75/21/772567521.db2.gz BXMDXBWKEFEZTB-SNVBAGLBSA-N -1 1 315.316 1.824 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](O)c2cccc(Cl)c2)n1 ZINC001144814858 772627770 /nfs/dbraw/zinc/62/77/70/772627770.db2.gz DLMOGLQHAMKHCI-LLVKDONJSA-N -1 1 323.736 1.912 20 0 DDADMM CC(=O)Nc1cc(NC(=O)c2c(CO)cnc(C)c2[O-])ccn1 ZINC001147834414 773260652 /nfs/dbraw/zinc/26/06/52/773260652.db2.gz SYSOWESQAPGFNB-UHFFFAOYSA-N -1 1 316.317 1.194 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCCc3cc(N)ccc32)c1[O-] ZINC001147842781 773266722 /nfs/dbraw/zinc/26/67/22/773266722.db2.gz MSSHOHWLBULAOE-UHFFFAOYSA-N -1 1 313.357 1.763 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCc2cccc3cc[nH]c32)c1[O-] ZINC001147844482 773267535 /nfs/dbraw/zinc/26/75/35/773267535.db2.gz QMWIGVKJSXGOMG-UHFFFAOYSA-N -1 1 311.341 1.999 20 0 DDADMM CC(C)=CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073685536 773305391 /nfs/dbraw/zinc/30/53/91/773305391.db2.gz KIVWRRWOHHWWIX-STQMWFEESA-N -1 1 317.389 1.720 20 0 DDADMM CC(C)=CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073685534 773305573 /nfs/dbraw/zinc/30/55/73/773305573.db2.gz KIVWRRWOHHWWIX-OLZOCXBDSA-N -1 1 317.389 1.720 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NC[C@@H]3CCOC3)cc2)[n-]1 ZINC001148335691 773440479 /nfs/dbraw/zinc/44/04/79/773440479.db2.gz FTKPXKDTOARBTR-LBPRGKRZSA-N -1 1 301.346 1.566 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@H]1CCC1(C)C ZINC001092900555 774310470 /nfs/dbraw/zinc/31/04/70/774310470.db2.gz UJMGYOAMONIENU-IJLUTSLNSA-N -1 1 321.425 1.131 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])c1nccc(C)n1 ZINC001100501156 776241572 /nfs/dbraw/zinc/24/15/72/776241572.db2.gz XPSYDVKSYVJPKU-UHFFFAOYSA-N -1 1 301.350 1.142 20 0 DDADMM COC(=O)Cc1ccc([N-]S(=O)(=O)c2cccc(N)c2)cc1 ZINC001212621299 776302895 /nfs/dbraw/zinc/30/28/95/776302895.db2.gz WQAKKBMTFOHURL-UHFFFAOYSA-N -1 1 320.370 1.785 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(N[C@H]3Cc4cccc(F)c4C3)c2[nH]1 ZINC001171780185 776445222 /nfs/dbraw/zinc/44/52/22/776445222.db2.gz FVSITYUDUWMHRJ-ZETCQYMHSA-N -1 1 301.281 1.434 20 0 DDADMM COc1cc(F)ccc1[N-]S(=O)(=O)c1ccc(N)c(N)c1 ZINC001174130905 777412207 /nfs/dbraw/zinc/41/22/07/777412207.db2.gz GUFGLHUOBKPHPS-UHFFFAOYSA-N -1 1 311.338 1.800 20 0 DDADMM COc1ccc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cc1CO ZINC001175979844 777983957 /nfs/dbraw/zinc/98/39/57/777983957.db2.gz OQLFPFLYYJKSIJ-UHFFFAOYSA-N -1 1 319.321 1.891 20 0 DDADMM Nc1ccc(F)cc1S(=O)(=O)Nc1csc(C(=O)[O-])c1 ZINC001176440055 778143855 /nfs/dbraw/zinc/14/38/55/778143855.db2.gz FZAUIJAEVKUIMM-UHFFFAOYSA-N -1 1 316.335 1.968 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)C1(c2ccccn2)CC1 ZINC001176797773 778243123 /nfs/dbraw/zinc/24/31/23/778243123.db2.gz BCESZTSILCJJMY-UHFFFAOYSA-N -1 1 300.318 1.652 20 0 DDADMM C[C@H](Cc1cn(C)nn1)NC(=O)c1ncc2ccccc2c1[O-] ZINC001176840911 778271121 /nfs/dbraw/zinc/27/11/21/778271121.db2.gz LWSUVBAMNXIAAL-SNVBAGLBSA-N -1 1 311.345 1.430 20 0 DDADMM C[C@H](Cc1ccccc1Br)NC(=O)Cc1nn[n-]n1 ZINC001176847467 778300857 /nfs/dbraw/zinc/30/08/57/778300857.db2.gz XNGHLMIBWXBCTK-MRVPVSSYSA-N -1 1 324.182 1.252 20 0 DDADMM COC[C@H](NC(=O)c1cc2sccc2s1)c1nn[n-]n1 ZINC001177828908 778739301 /nfs/dbraw/zinc/73/93/01/778739301.db2.gz RVAWACGGOFWLJF-LURJTMIESA-N -1 1 309.376 1.593 20 0 DDADMM CCC1(C(=O)NCC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CC1 ZINC001103406405 778848534 /nfs/dbraw/zinc/84/85/34/778848534.db2.gz FMRGVESUEYIWNJ-VXGBXAGGSA-N -1 1 321.425 1.275 20 0 DDADMM Cn1cc(/C=C\C(=O)NCCc2c(F)cc([O-])cc2F)cn1 ZINC001178781091 779186387 /nfs/dbraw/zinc/18/63/87/779186387.db2.gz SUIGMJKPCJPZTQ-IHWYPQMZSA-N -1 1 307.300 1.776 20 0 DDADMM COc1ccc2c(c1)CC[C@@H](CC(=O)NCc1nn[n-]n1)C2 ZINC001178920359 779228363 /nfs/dbraw/zinc/22/83/63/779228363.db2.gz WWUQOYPPZWYRNW-SNVBAGLBSA-N -1 1 301.350 1.020 20 0 DDADMM Cc1noc(CC[N-]S(=O)(=O)c2ccc(Cl)nc2F)n1 ZINC000692853872 779491148 /nfs/dbraw/zinc/49/11/48/779491148.db2.gz MODYAYOIAREYCG-UHFFFAOYSA-N -1 1 320.733 1.087 20 0 DDADMM Cc1ccnc(N(C)CCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001112081110 779617881 /nfs/dbraw/zinc/61/78/81/779617881.db2.gz FXBVFDZBDVKOKN-UHFFFAOYSA-N -1 1 315.377 1.484 20 0 DDADMM Cc1ccccc1O[C@@H](C)C(=O)NCCCC[P@](=O)([O-])O ZINC001180527816 779801164 /nfs/dbraw/zinc/80/11/64/779801164.db2.gz WSHIPPZMUKJUDD-LBPRGKRZSA-N -1 1 315.306 1.836 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCCCC(F)F)C1)C(F)(F)F ZINC001119619649 781538770 /nfs/dbraw/zinc/53/87/70/781538770.db2.gz XKXWTNZBFNTLGZ-UHFFFAOYSA-N -1 1 317.258 1.352 20 0 DDADMM CC[C@H](CNC(=O)C1CCC=CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001267829529 839047359 /nfs/dbraw/zinc/04/73/59/839047359.db2.gz VWUDUWXJAUMNSU-GFCCVEGCSA-N -1 1 307.398 1.241 20 0 DDADMM O=C(CCO)C[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257521562 855157561 /nfs/dbraw/zinc/15/75/61/855157561.db2.gz VDMQEKMMSCFMPN-UHFFFAOYSA-N -1 1 318.203 1.285 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)CCNCc2cscn2)c1[O-] ZINC001268360086 840056139 /nfs/dbraw/zinc/05/61/39/840056139.db2.gz MMQZLJWPBYJUHV-QMMMGPOBSA-N -1 1 309.395 1.178 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cn2cccc(F)c2n1 ZINC001154548280 861199247 /nfs/dbraw/zinc/19/92/47/861199247.db2.gz HQWXIGCJRUPTCE-UHFFFAOYSA-N -1 1 315.241 1.161 20 0 DDADMM CN1CC[C@]2(CCN(C(=O)c3ccc([O-])cc3Cl)C2)C1=O ZINC001269983017 842203717 /nfs/dbraw/zinc/20/37/17/842203717.db2.gz KKPCZOQPHJRQIV-OAHLLOKOSA-N -1 1 308.765 1.740 20 0 DDADMM C[C@@]1(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)C=CCC1 ZINC001326337741 861290762 /nfs/dbraw/zinc/29/07/62/861290762.db2.gz LTYXKNYDSAXMDB-BLLLJJGKSA-N -1 1 319.409 1.195 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](CO)CCC(C)C)sn1 ZINC001364006328 842937251 /nfs/dbraw/zinc/93/72/51/842937251.db2.gz GBRBRHDZAURYGP-VIFPVBQESA-N -1 1 308.425 1.227 20 0 DDADMM CCNC(=O)CN(C)C(=O)c1cc2cc(OC)ccc2cc1[O-] ZINC001154749149 861421387 /nfs/dbraw/zinc/42/13/87/861421387.db2.gz DTBAZSOCHZDKSQ-UHFFFAOYSA-N -1 1 316.357 1.762 20 0 DDADMM O=C([N-]c1noc2nccnc12)c1cnn2ccc(Cl)nc12 ZINC001154770046 861438606 /nfs/dbraw/zinc/43/86/06/861438606.db2.gz WHHZDLNWVKYKTB-UHFFFAOYSA-N -1 1 315.680 1.566 20 0 DDADMM CC[C@@H](CNC(=O)C[C@@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001409284309 844832488 /nfs/dbraw/zinc/83/24/88/844832488.db2.gz JUYHGSIVOLEWKR-YPMHNXCESA-N -1 1 319.405 1.848 20 0 DDADMM CNC(=O)[C@@H]1CC12CCN(C(=O)c1c(F)ccc([O-])c1F)CC2 ZINC001272246725 844882478 /nfs/dbraw/zinc/88/24/78/844882478.db2.gz SMRMTFYJYQRUAC-VIFPVBQESA-N -1 1 324.327 1.659 20 0 DDADMM Cc1nnsc1CN(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001409549396 845293790 /nfs/dbraw/zinc/29/37/90/845293790.db2.gz WRLZIYJIQRTDBY-VIFPVBQESA-N -1 1 321.406 1.197 20 0 DDADMM C[C@H]1C[C@H]1C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001409777767 845755563 /nfs/dbraw/zinc/75/55/63/845755563.db2.gz YWRVTXFMIGXBNW-WDEREUQCSA-N -1 1 307.398 1.075 20 0 DDADMM COC[C@@H](NC(=O)C=C(C)c1ccc(F)cc1)c1nn[n-]n1 ZINC001155070086 861700537 /nfs/dbraw/zinc/70/05/37/861700537.db2.gz TYPUWJRUJMCGOF-YPUOHESYSA-N -1 1 305.313 1.246 20 0 DDADMM NC(=O)[C@@H]1CCN(C(=O)c2cc3cccc(O)c3cc2[O-])C1 ZINC001149647521 861779811 /nfs/dbraw/zinc/77/98/11/861779811.db2.gz XUAGAJKXVOOSDC-SNVBAGLBSA-N -1 1 300.314 1.198 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCCCN(C/C=C\Cl)C2)c1[O-] ZINC001034163826 848055975 /nfs/dbraw/zinc/05/59/75/848055975.db2.gz BMIXMTWSIWNBOR-VLWUPBBWSA-N -1 1 312.801 1.761 20 0 DDADMM CCOC(=O)C1(CNC(=O)c2[n-][nH]c3cc(=O)ccc2-3)CCC1 ZINC001155273438 861923769 /nfs/dbraw/zinc/92/37/69/861923769.db2.gz NQZCQHZOSVTGNI-UHFFFAOYSA-N -1 1 317.345 1.732 20 0 DDADMM CCC1(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])CCC1 ZINC001410184972 848867848 /nfs/dbraw/zinc/86/78/48/848867848.db2.gz CFUGGYYEDBILGA-LLVKDONJSA-N -1 1 305.378 1.602 20 0 DDADMM CCOC(=O)[C@@H]1CN(C(=O)c2ccc([O-])cn2)CCC1(F)F ZINC001149862907 861951882 /nfs/dbraw/zinc/95/18/82/861951882.db2.gz DAKJOAIGGNWFEO-JTQLQIEISA-N -1 1 314.288 1.448 20 0 DDADMM CC[C@@H](C)[C@@H](C[N-]S(=O)(=O)c1cc(OC)ns1)OC ZINC001364863990 849220724 /nfs/dbraw/zinc/22/07/24/849220724.db2.gz BBUOEEIDLBUWJX-RKDXNWHRSA-N -1 1 308.425 1.491 20 0 DDADMM CNC(=O)[C@@H]1CC12CCN(Cc1cc(C(=O)[O-])ccc1F)CC2 ZINC001273718577 851268875 /nfs/dbraw/zinc/26/88/75/851268875.db2.gz GKRLFEKGELJOSR-ZDUSSCGKSA-N -1 1 320.364 1.872 20 0 DDADMM Cc1ccc2ccc(C(=O)NCc3ccn(C)c(=O)c3)c([O-])c2n1 ZINC001155660450 862350486 /nfs/dbraw/zinc/35/04/86/862350486.db2.gz SGYGEOUEEXYQFY-UHFFFAOYSA-N -1 1 323.352 1.878 20 0 DDADMM COCc1nocc1C(=O)[N-]c1ncn(Cc2cccnc2)n1 ZINC001327665869 862364710 /nfs/dbraw/zinc/36/47/10/862364710.db2.gz YGFDSIACTLCHGV-UHFFFAOYSA-N -1 1 314.305 1.108 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2C[C@@H]3CC[C@H](C2)[C@H]3C(=O)N(C)C)n1 ZINC001274975131 852723596 /nfs/dbraw/zinc/72/35/96/852723596.db2.gz URIOKQDHEWYHQO-DABQJJPHSA-N -1 1 317.389 1.282 20 0 DDADMM CN(C)C(=O)c1n[nH]c2c1CN(C(=O)c1c(O)cccc1F)C2 ZINC001275362802 853020702 /nfs/dbraw/zinc/02/07/02/853020702.db2.gz QOFVERGRHAQXGX-UHFFFAOYSA-N -1 1 318.308 1.112 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC2(C[C@H]2C(=O)N2CC=CC2)C1 ZINC001275398860 853068433 /nfs/dbraw/zinc/06/84/33/853068433.db2.gz JAMWUBSZPZFSRE-ZDUSSCGKSA-N -1 1 316.332 1.392 20 0 DDADMM C[C@@H]1c2nc(C(N)=O)cn2CCN1C(=O)c1ccc(F)c([O-])c1 ZINC001275409030 853082007 /nfs/dbraw/zinc/08/20/07/853082007.db2.gz WTFTYMBQLDEHCW-MRVPVSSYSA-N -1 1 318.308 1.044 20 0 DDADMM NC(=O)[C@@H]1COCCC12CN(C(=O)c1c([O-])cccc1Cl)C2 ZINC001275466527 853161493 /nfs/dbraw/zinc/16/14/93/853161493.db2.gz JHTBVXOIZHAFAO-VIFPVBQESA-N -1 1 324.764 1.010 20 0 DDADMM CN(C(=O)c1ccccc1[S@@](=O)C(F)(F)F)c1nn[n-]n1 ZINC001411480759 853193286 /nfs/dbraw/zinc/19/32/86/853193286.db2.gz RQWXNWSCMZUARF-OAQYLSRUSA-N -1 1 319.268 1.104 20 0 DDADMM CN1CCO[C@]2(CCCN(C(=O)c3cccc([O-])c3Cl)C2)C1 ZINC001275650395 853495401 /nfs/dbraw/zinc/49/54/01/853495401.db2.gz NLMQSBAYZGODEX-MRXNPFEDSA-N -1 1 324.808 1.982 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC2(CCN2Cc2cn[nH]c2)C1 ZINC001275975643 854056850 /nfs/dbraw/zinc/05/68/50/854056850.db2.gz XEHQUEXRLMPAPY-UHFFFAOYSA-N -1 1 316.336 1.355 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](c2ncon2)C(C)C)c(=O)[n-]1 ZINC001412076168 854193349 /nfs/dbraw/zinc/19/33/49/854193349.db2.gz WZMAVDLIIIJORW-MRVPVSSYSA-N -1 1 309.351 1.414 20 0 DDADMM CSc1ncc(C(=O)N2CCCO[C@@H](C(C)C)C2)c(=O)[n-]1 ZINC001412174830 854296469 /nfs/dbraw/zinc/29/64/69/854296469.db2.gz BDSGRPRBFNIMSG-LLVKDONJSA-N -1 1 311.407 1.791 20 0 DDADMM CSc1ncc(C(=O)NCc2cc(C)[nH]c(=O)c2)c(=O)[n-]1 ZINC001412259552 854385795 /nfs/dbraw/zinc/38/57/95/854385795.db2.gz CYJKDRDEQNZAPY-UHFFFAOYSA-N -1 1 306.347 1.243 20 0 DDADMM COC[C@@H](C)CC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001412292727 854415597 /nfs/dbraw/zinc/41/55/97/854415597.db2.gz ORHYSDSXFSNQAK-DCAQKATOSA-N -1 1 324.343 1.717 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C2CC2)n[nH]1)c1nn[n-]n1 ZINC001412371924 854477788 /nfs/dbraw/zinc/47/77/88/854477788.db2.gz XJKJOQFOOFGHJX-QMMMGPOBSA-N -1 1 307.383 1.024 20 0 DDADMM O=C(COc1cc(F)cc(F)c1)NC1(c2nn[n-]n2)CCC1 ZINC001412545360 854693790 /nfs/dbraw/zinc/69/37/90/854693790.db2.gz VFHVATBSYIHBMC-UHFFFAOYSA-N -1 1 309.276 1.052 20 0 DDADMM COC[C@H](NC(=O)[C@@H]1CCC[C@H]2CCCC[C@H]21)c1nn[n-]n1 ZINC001412614321 854814823 /nfs/dbraw/zinc/81/48/23/854814823.db2.gz VSHDJBAXOSIBRP-LPWJVIDDSA-N -1 1 307.398 1.610 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2coc(C)n2)[n-]c1=O ZINC001412767157 855238293 /nfs/dbraw/zinc/23/82/93/855238293.db2.gz DYAHRGLWURCKEJ-SNVBAGLBSA-N -1 1 304.306 1.465 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Cc2cc(C)on2)[n-]c1=O ZINC001412779281 855260202 /nfs/dbraw/zinc/26/02/02/855260202.db2.gz WBXCYIBSOVARQR-NSHDSACASA-N -1 1 318.333 1.393 20 0 DDADMM O=C(N[C@H](CO)[C@@H]1CCOC1)c1c([O-])cnc2c(F)cccc21 ZINC001412790073 855270925 /nfs/dbraw/zinc/27/09/25/855270925.db2.gz WDAXGTFRWJESTP-BXKDBHETSA-N -1 1 320.320 1.207 20 0 DDADMM CC(C)(CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)CC(F)F ZINC001412841066 855651671 /nfs/dbraw/zinc/65/16/71/855651671.db2.gz QJRCHBJXGZVUHJ-UHFFFAOYSA-N -1 1 310.326 1.218 20 0 DDADMM CC(C)(CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)CC(F)F ZINC001412841066 855651674 /nfs/dbraw/zinc/65/16/74/855651674.db2.gz QJRCHBJXGZVUHJ-UHFFFAOYSA-N -1 1 310.326 1.218 20 0 DDADMM COC(=O)c1cnc(NCc2cc(=O)[n-]c(SC)n2)nc1 ZINC001412889263 855763786 /nfs/dbraw/zinc/76/37/86/855763786.db2.gz FABPONGEFJSLJV-UHFFFAOYSA-N -1 1 307.335 1.093 20 0 DDADMM Cn1nc([C@H]2CCCOC2)cc1NC(=O)c1cnncc1[O-] ZINC001412917003 855782177 /nfs/dbraw/zinc/78/21/77/855782177.db2.gz OPPCZXHWMXGSDO-VIFPVBQESA-N -1 1 303.322 1.062 20 0 DDADMM CSc1ncc(C(=O)Nc2cc3n(n2)CCCC3)c(=O)[n-]1 ZINC001412937069 855800680 /nfs/dbraw/zinc/80/06/80/855800680.db2.gz PUMMTGGFIISOHB-UHFFFAOYSA-N -1 1 305.363 1.689 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N(C)[C@@H](CC)C(=O)[O-] ZINC000900464226 856498665 /nfs/dbraw/zinc/49/86/65/856498665.db2.gz AFRSIKURSSTXKZ-OLZOCXBDSA-N -1 1 308.378 1.797 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(F)cn2)n[n-]1 ZINC001413266732 856587482 /nfs/dbraw/zinc/58/74/82/856587482.db2.gz CMWYCLIZEURMKM-ZETCQYMHSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(F)cn2)[n-]1 ZINC001413266732 856587487 /nfs/dbraw/zinc/58/74/87/856587487.db2.gz CMWYCLIZEURMKM-ZETCQYMHSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(F)cn2)n1 ZINC001413266732 856587492 /nfs/dbraw/zinc/58/74/92/856587492.db2.gz CMWYCLIZEURMKM-ZETCQYMHSA-N -1 1 307.285 1.007 20 0 DDADMM COC[C@H](C)CS(=O)(=O)[N-][C@@H](C(=O)OC)c1ccsc1 ZINC001413329517 856672248 /nfs/dbraw/zinc/67/22/48/856672248.db2.gz PIAPQXJUFIWJBO-GXSJLCMTSA-N -1 1 321.420 1.164 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)c1noc(C)n1 ZINC001413375863 856729239 /nfs/dbraw/zinc/72/92/39/856729239.db2.gz MUPSIVALGMHQHH-ZCFIWIBFSA-N -1 1 305.747 1.184 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H](O)c1cccnc1 ZINC001413380798 856732470 /nfs/dbraw/zinc/73/24/70/856732470.db2.gz SLEZAUABWFEPTP-SNVBAGLBSA-N -1 1 320.374 1.071 20 0 DDADMM CC(C)C(=O)N(C)CCN(C(=O)c1ncccc1[O-])C(C)C ZINC001413388013 856741155 /nfs/dbraw/zinc/74/11/55/856741155.db2.gz WIPORFAQEFFPIE-UHFFFAOYSA-N -1 1 307.394 1.752 20 0 DDADMM CC(C)[C@H](CO)[N-]S(=O)(=O)c1ncccc1Br ZINC001413492990 856887793 /nfs/dbraw/zinc/88/77/93/856887793.db2.gz OAZUJHFVRIGBFB-VIFPVBQESA-N -1 1 323.212 1.139 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc2c(c1)OCO2 ZINC001413502460 856898090 /nfs/dbraw/zinc/89/80/90/856898090.db2.gz IIHUCEVCKZEECP-UHFFFAOYSA-N -1 1 303.322 1.227 20 0 DDADMM CCN1CC[C@H]1C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001413709911 857382533 /nfs/dbraw/zinc/38/25/33/857382533.db2.gz NFTFERRWMGHNCB-NSHDSACASA-N -1 1 320.393 1.171 20 0 DDADMM COc1ccc(-c2noc(-c3nnc(Br)[n-]3)n2)cn1 ZINC001213002222 862945726 /nfs/dbraw/zinc/94/57/26/862945726.db2.gz UNCDRNIPAGZOFQ-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM COc1ccc(-c2noc(-c3n[n-]c(Br)n3)n2)cn1 ZINC001213002222 862945733 /nfs/dbraw/zinc/94/57/33/862945733.db2.gz UNCDRNIPAGZOFQ-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM COc1ccnc(-c2noc(-c3nc(Br)n[n-]3)n2)c1 ZINC001213002303 863003425 /nfs/dbraw/zinc/00/34/25/863003425.db2.gz YMJYGZKSLJQSCY-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM COc1ccnc(-c2noc(-c3n[n-]c(Br)n3)n2)c1 ZINC001213002303 863003440 /nfs/dbraw/zinc/00/34/40/863003440.db2.gz YMJYGZKSLJQSCY-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1c(-c2ccco2)nc2ccccn21 ZINC001151918028 863054718 /nfs/dbraw/zinc/05/47/18/863054718.db2.gz NAUSMBCPXIWYJO-UHFFFAOYSA-N -1 1 323.316 1.679 20 0 DDADMM C[C@@H](CN(C)C(=O)CCCCc1cccc(O)c1)c1nn[n-]n1 ZINC001123786134 859413279 /nfs/dbraw/zinc/41/32/79/859413279.db2.gz NESPPWAEPVETEJ-LBPRGKRZSA-N -1 1 317.393 1.880 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]([C@H](CO)C1CC1)C1CC1 ZINC001123800971 859420058 /nfs/dbraw/zinc/42/00/58/859420058.db2.gz IRHMGVCTLVJSHM-PWSUYJOCSA-N -1 1 323.418 1.306 20 0 DDADMM O=C(c1ccc2ccncc2c1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123910705 859468629 /nfs/dbraw/zinc/46/86/29/859468629.db2.gz UKKULVDHBUKCNV-LBPRGKRZSA-N -1 1 322.372 1.843 20 0 DDADMM O=C(c1c(Cl)nc2ccccn21)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123961983 859498995 /nfs/dbraw/zinc/49/89/95/859498995.db2.gz PPRYIXZVVVSHQC-QMMMGPOBSA-N -1 1 317.740 1.131 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(-c2cn[nH]c2)cc1)c1nn[n-]n1 ZINC001138058111 860003489 /nfs/dbraw/zinc/00/34/89/860003489.db2.gz UDLKIGNCEKNZEZ-JTQLQIEISA-N -1 1 311.349 1.466 20 0 DDADMM c1cnc([C@@H]2CCC[N@H+]2Cc2ccc(-c3nn[nH]n3)cc2)nc1 ZINC001139096105 860282511 /nfs/dbraw/zinc/28/25/11/860282511.db2.gz HEFPBCTVWRIASA-AWEZNQCLSA-N -1 1 307.361 1.994 20 0 DDADMM COCC[C@]1(NC(=O)c2cc(C)cc(C=O)c2[O-])CCOC1 ZINC001139195358 860317317 /nfs/dbraw/zinc/31/73/17/860317317.db2.gz OPMXGEBVFRDAFH-INIZCTEOSA-N -1 1 307.346 1.439 20 0 DDADMM COc1cccc(CN2CC[C@@H](C(C)=O)C2)c1OCC(=O)[O-] ZINC001139269872 860344262 /nfs/dbraw/zinc/34/42/62/860344262.db2.gz QGPZGKGFIUPMPP-GFCCVEGCSA-N -1 1 307.346 1.570 20 0 DDADMM CC(=O)NCc1cccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC001361376276 881528590 /nfs/dbraw/zinc/52/85/90/881528590.db2.gz ABRFFDAPPQTWMS-UHFFFAOYSA-N -1 1 323.356 1.230 20 0 DDADMM CCOC(=O)[C@@H]1C(=O)CCCN1Cc1cc(F)c([O-])c(F)c1 ZINC001140991682 860753870 /nfs/dbraw/zinc/75/38/70/860753870.db2.gz UWIKEAHXEMCRTQ-ZDUSSCGKSA-N -1 1 313.300 1.767 20 0 DDADMM NC(=O)[C@H](NC(=O)c1cc2ccccc2cc1[O-])c1ccccn1 ZINC001141342780 860829279 /nfs/dbraw/zinc/82/92/79/860829279.db2.gz GEGSUPLMYZCFJU-MRXNPFEDSA-N -1 1 321.336 1.897 20 0 DDADMM Cc1nc(C)c(CC(=O)Nc2cc(F)cc(F)c2[O-])c(=O)[nH]1 ZINC001142542046 861176054 /nfs/dbraw/zinc/17/60/54/861176054.db2.gz KUOHFYXZYZTEFT-UHFFFAOYSA-N -1 1 309.272 1.964 20 0 DDADMM COC(=O)c1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c(C)o1 ZINC001361423817 881624084 /nfs/dbraw/zinc/62/40/84/881624084.db2.gz PIALMTGXIWPHNS-UHFFFAOYSA-N -1 1 314.301 1.282 20 0 DDADMM C[C@H]1CC[C@@H](CO)N1C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152670336 863482057 /nfs/dbraw/zinc/48/20/57/863482057.db2.gz AIDDKRUXGLLKLJ-UWVGGRQHSA-N -1 1 302.330 1.631 20 0 DDADMM C[C@@H]1C[C@H](O)CCN1C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152672464 863486291 /nfs/dbraw/zinc/48/62/91/863486291.db2.gz OJMPMMFGTJVLMR-NXEZZACHSA-N -1 1 302.330 1.631 20 0 DDADMM O=S(=O)([N-]C1(C2CC2)CCOCC1)c1ccc(F)nc1F ZINC001329321768 863597845 /nfs/dbraw/zinc/59/78/45/863597845.db2.gz YVHFTCZOFUDNFB-UHFFFAOYSA-N -1 1 318.345 1.597 20 0 DDADMM COC(=O)[C@H](CCC(=O)[O-])NC(=O)c1cccc2nc(C)[nH]c21 ZINC001153613877 863974812 /nfs/dbraw/zinc/97/48/12/863974812.db2.gz NPTKVRNEOWCYKJ-NSHDSACASA-N -1 1 319.317 1.008 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc4ncccc4c3)c1-2 ZINC001153766731 864079611 /nfs/dbraw/zinc/07/96/11/864079611.db2.gz MRUYOISGLFAXQP-UHFFFAOYSA-N -1 1 305.301 1.230 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCC2CCC2)co1 ZINC001330185941 864138114 /nfs/dbraw/zinc/13/81/14/864138114.db2.gz ABBOQFOARLDOKM-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM Nc1cnc(Cl)c(NC(=O)c2cnc3cccnc3c2[O-])n1 ZINC001153852108 864157791 /nfs/dbraw/zinc/15/77/91/864157791.db2.gz WZWWCXHBTSHLAY-UHFFFAOYSA-N -1 1 316.708 1.201 20 0 DDADMM Cc1c2ccccc2c(=O)[nH]c1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001153872700 864185039 /nfs/dbraw/zinc/18/50/39/864185039.db2.gz SGFJCFUKCIVBEI-UHFFFAOYSA-N -1 1 324.344 1.571 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@@H]1CCSC1 ZINC001330605141 864482899 /nfs/dbraw/zinc/48/28/99/864482899.db2.gz KINVDNBBHGVKJA-SECBINFHSA-N -1 1 314.794 1.284 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(-c4ccncc4)nn3)c2[nH]1 ZINC001159194765 865159856 /nfs/dbraw/zinc/15/98/56/865159856.db2.gz ITDKCXRXXFNYST-UHFFFAOYSA-N -1 1 322.288 1.311 20 0 DDADMM CCc1c(Cl)ncnc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001159195973 865160306 /nfs/dbraw/zinc/16/03/06/865160306.db2.gz TVLYSLIEEMJHIV-UHFFFAOYSA-N -1 1 307.701 1.465 20 0 DDADMM Cc1noc(-c2ccc(Nc3[n-]c(=O)nc4nc[nH]c43)nc2)n1 ZINC001159199216 865161883 /nfs/dbraw/zinc/16/18/83/865161883.db2.gz GJYWSNFMDIPMNN-UHFFFAOYSA-N -1 1 310.277 1.556 20 0 DDADMM Cc1cc2ccccc2c(Nc2c(O)[nH]c(=O)[n-]c2=S)n1 ZINC001160854427 866151016 /nfs/dbraw/zinc/15/10/16/866151016.db2.gz VXAFYGCHZRHLPV-JTQLQIEISA-N -1 1 300.343 1.491 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)CCCCC(=O)[O-])C[C@@H](C)O1 ZINC001332928205 866263460 /nfs/dbraw/zinc/26/34/60/866263460.db2.gz FCOUAZIIWIZQMG-CHWSQXEVSA-N -1 1 300.399 1.247 20 0 DDADMM O=C(Nc1n[nH]cc1Br)C(=O)c1ccc([O-])cc1 ZINC001161431857 866660424 /nfs/dbraw/zinc/66/04/24/866660424.db2.gz RAALPAZWZGCWSG-UHFFFAOYSA-N -1 1 310.107 1.699 20 0 DDADMM C/C=C\C[C@@H](CO)[N-]S(=O)(=O)c1c(F)cc(F)cc1OC ZINC001320467345 866761230 /nfs/dbraw/zinc/76/12/30/866761230.db2.gz YAROWFLJBHSAOL-XOULXFPDSA-N -1 1 321.345 1.579 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](Oc2cc(=O)[n-]c(=S)[nH]2)C1 ZINC001225761417 882014530 /nfs/dbraw/zinc/01/45/30/882014530.db2.gz MOXCMXZIXBHFST-MRVPVSSYSA-N -1 1 313.379 1.859 20 0 DDADMM C[C@H](CCCNC(=O)c1ncccc1[O-])NC(=O)C(C)(F)F ZINC001335806614 868549286 /nfs/dbraw/zinc/54/92/86/868549286.db2.gz LDWBGHOHNLXPLQ-SECBINFHSA-N -1 1 315.320 1.457 20 0 DDADMM C=C/C=C\CCNC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001336169763 868770243 /nfs/dbraw/zinc/77/02/43/868770243.db2.gz UYSCMCHMXQGZKV-ARJAWSKDSA-N -1 1 324.327 1.578 20 0 DDADMM CC(C)[C@H]1CN(c2nnc(-c3nnn[n-]3)n2C)c2ccccc21 ZINC001337932712 869698983 /nfs/dbraw/zinc/69/89/83/869698983.db2.gz HWZKWNGRJPQGOO-LLVKDONJSA-N -1 1 310.365 1.887 20 0 DDADMM CC(C)[C@H]1CN(c2nnc(-c3nn[n-]n3)n2C)c2ccccc21 ZINC001337932712 869698987 /nfs/dbraw/zinc/69/89/87/869698987.db2.gz HWZKWNGRJPQGOO-LLVKDONJSA-N -1 1 310.365 1.887 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=Cc2nccs2)n[n-]1 ZINC001166125571 869847305 /nfs/dbraw/zinc/84/73/05/869847305.db2.gz AHKZSGKCNYTLOH-LGYSABEFSA-N -1 1 321.362 1.329 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=Cc2nccs2)[n-]1 ZINC001166125571 869847314 /nfs/dbraw/zinc/84/73/14/869847314.db2.gz AHKZSGKCNYTLOH-LGYSABEFSA-N -1 1 321.362 1.329 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C=Cc2nccs2)n1 ZINC001166125571 869847330 /nfs/dbraw/zinc/84/73/30/869847330.db2.gz AHKZSGKCNYTLOH-LGYSABEFSA-N -1 1 321.362 1.329 20 0 DDADMM Cn1nc2ccccc2c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001338243370 869880380 /nfs/dbraw/zinc/88/03/80/869880380.db2.gz HWODSQYOZPKPBV-SNVBAGLBSA-N -1 1 311.349 1.106 20 0 DDADMM CC1=C(C)C[C@H](C(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)CC1 ZINC001317241546 870524193 /nfs/dbraw/zinc/52/41/93/870524193.db2.gz MUFOXENOXKJNQQ-VXGBXAGGSA-N -1 1 307.398 1.241 20 0 DDADMM CN(Cc1ccc(Cl)s1)c1nnc(-c2nnn[n-]2)n1C ZINC001339543281 870547517 /nfs/dbraw/zinc/54/75/17/870547517.db2.gz IOYAQENFKITCOL-UHFFFAOYSA-N -1 1 310.774 1.347 20 0 DDADMM CN(Cc1ccc(Cl)s1)c1nnc(-c2nn[n-]n2)n1C ZINC001339543281 870547529 /nfs/dbraw/zinc/54/75/29/870547529.db2.gz IOYAQENFKITCOL-UHFFFAOYSA-N -1 1 310.774 1.347 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N(Cc1ccccc1)C1CC1 ZINC001340192100 870950890 /nfs/dbraw/zinc/95/08/90/870950890.db2.gz YOHQOTOTZSIGIV-UHFFFAOYSA-N -1 1 312.333 1.327 20 0 DDADMM CS(=O)(=O)c1ccc(N)c(C(=O)Nc2cccc(F)c2[O-])c1 ZINC001302487808 871071056 /nfs/dbraw/zinc/07/10/56/871071056.db2.gz ZVASCIKDZCVJJS-UHFFFAOYSA-N -1 1 324.333 1.769 20 0 DDADMM COc1nscc1[N-]C(=O)c1c(C)oc2ncn(C)c(=O)c21 ZINC001303229100 871113086 /nfs/dbraw/zinc/11/30/86/871113086.db2.gz OEFKUXQXMPVBSD-UHFFFAOYSA-N -1 1 320.330 1.552 20 0 DDADMM Cc1nccc2c(NC(=O)CCn3cc[n-]c(=O)c3=O)cccc12 ZINC001303467091 871125719 /nfs/dbraw/zinc/12/57/19/871125719.db2.gz QYDYTINILXYAJP-UHFFFAOYSA-N -1 1 324.340 1.422 20 0 DDADMM CCC[C@H](C)[C@H](CO)[N-]S(=O)(=O)c1csnc1OC ZINC001364015551 887726196 /nfs/dbraw/zinc/72/61/96/887726196.db2.gz NQUKXQDAWSHBJP-IUCAKERBSA-N -1 1 308.425 1.227 20 0 DDADMM NC(=O)c1cccc(C(=O)Nc2cc(F)c([O-])cc2Cl)n1 ZINC001304283223 871205737 /nfs/dbraw/zinc/20/57/37/871205737.db2.gz DQAGBEBOCYNWIT-UHFFFAOYSA-N -1 1 309.684 1.931 20 0 DDADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cc2occc2s1 ZINC001317629816 871253000 /nfs/dbraw/zinc/25/30/00/871253000.db2.gz SEMXOXDZLKEQMC-UHFFFAOYSA-N -1 1 321.362 1.180 20 0 DDADMM C[C@H](NC(=O)c1ccc(F)c([O-])c1)C(=O)NCc1ccccc1 ZINC001361857002 882511331 /nfs/dbraw/zinc/51/13/31/882511331.db2.gz TVGGCNDQTYFXNZ-NSHDSACASA-N -1 1 316.332 1.966 20 0 DDADMM Cn1ccc2cc([N-]S(=O)(=O)c3cccc(N)c3)cnc21 ZINC001205736226 871765746 /nfs/dbraw/zinc/76/57/46/871765746.db2.gz VFOPBCDRSMQKMT-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM CC(C)(C)OC(=O)N[C@H](CC(F)F)C(=O)Nc1c[n-][nH]c1=O ZINC001413844756 871889520 /nfs/dbraw/zinc/88/95/20/871889520.db2.gz JNEDVPMGOUQPNJ-ZCFIWIBFSA-N -1 1 320.296 1.602 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CC=C(C(=O)Nc2c[n-][nH]c2=O)C1 ZINC001413845932 871927421 /nfs/dbraw/zinc/92/74/21/871927421.db2.gz KXYNVVOQSUHGMB-SECBINFHSA-N -1 1 308.338 1.667 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)[C@@H]2CCC(=O)[C@@H](C)C2)[n-]1 ZINC001361895336 882594898 /nfs/dbraw/zinc/59/48/98/882594898.db2.gz MMXFQQPHAVPBLP-WCBMZHEXSA-N -1 1 320.345 1.948 20 0 DDADMM CC/C(C)=C/C(=O)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318793652 872277237 /nfs/dbraw/zinc/27/72/37/872277237.db2.gz JQHIBTJZIQGTEK-CNELAYHGSA-N -1 1 321.425 1.585 20 0 DDADMM CN(C(=O)C(C)(C)C)[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001381791262 882675736 /nfs/dbraw/zinc/67/57/36/882675736.db2.gz WUZOJQVGWYEQDJ-LBPRGKRZSA-N -1 1 319.405 1.800 20 0 DDADMM NC(=O)CN(Cc1ccccc1)C(=O)c1ccc(F)c([O-])c1 ZINC001361950180 882696144 /nfs/dbraw/zinc/69/61/44/882696144.db2.gz QVPCVKKVMPSURF-UHFFFAOYSA-N -1 1 302.305 1.659 20 0 DDADMM C[C@@H](CN(C)C(=O)C(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001381806511 882711167 /nfs/dbraw/zinc/71/11/67/882711167.db2.gz DNTNCKZMSDOJFC-QMMMGPOBSA-N -1 1 301.293 1.019 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC[S@](=O)C(C)(C)C ZINC001346495472 873777358 /nfs/dbraw/zinc/77/73/58/873777358.db2.gz OJSMYWBMBHOIJV-FQEVSTJZSA-N -1 1 317.436 1.056 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)c2c(C)noc2C)c(=O)[n-]1 ZINC001361995578 882783297 /nfs/dbraw/zinc/78/32/97/882783297.db2.gz UFOHRCLXTWQKOL-LURJTMIESA-N -1 1 308.363 2.000 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2C[C@@H](C1)[C@H]2Oc1cnc[n-]c1=O ZINC001227048358 882794814 /nfs/dbraw/zinc/79/48/14/882794814.db2.gz DIHSLIABQTUXDU-IAZYJMLFSA-N -1 1 307.350 1.816 20 0 DDADMM C[C@](O)(CNC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccco1 ZINC001362020159 882840701 /nfs/dbraw/zinc/84/07/01/882840701.db2.gz VLGSMNHLNJFEET-HNNXBMFYSA-N -1 1 303.318 1.290 20 0 DDADMM CO[C@@H](CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S)C1CCCC1 ZINC001348880710 874851583 /nfs/dbraw/zinc/85/15/83/874851583.db2.gz SSBDWXXWEXZYEC-JTQLQIEISA-N -1 1 311.407 1.714 20 0 DDADMM CC[C@H](C)C(=O)NC[C@@H]1CCCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001378458592 874914191 /nfs/dbraw/zinc/91/41/91/874914191.db2.gz YGFSWLUCMYPPFA-STQMWFEESA-N -1 1 323.441 1.015 20 0 DDADMM O=C(C(=O)N1CCC(c2ncccn2)CC1)c1ccc([O-])cc1 ZINC001349414801 875159612 /nfs/dbraw/zinc/15/96/12/875159612.db2.gz FQYWKBWDTPGIFX-UHFFFAOYSA-N -1 1 311.341 1.771 20 0 DDADMM Nc1cccc(S(=O)(=O)[N-]c2ccc3c(c2)CCNC3=O)c1 ZINC001210163282 875253305 /nfs/dbraw/zinc/25/33/05/875253305.db2.gz AOKSZTMXOXWYJK-UHFFFAOYSA-N -1 1 317.370 1.356 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(F)cc2N)cc(C)n1 ZINC001210784172 875529844 /nfs/dbraw/zinc/52/98/44/875529844.db2.gz NJDFQJDKPWFZHF-UHFFFAOYSA-N -1 1 311.338 1.921 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC(C)(C)n1cccn1 ZINC001350091615 875532241 /nfs/dbraw/zinc/53/22/41/875532241.db2.gz PHZPJXRHTBGHDO-UHFFFAOYSA-N -1 1 307.379 1.141 20 0 DDADMM O=C(NC1(CNC(=O)[C@H]2CC23CCC3)CC1)c1ncccc1[O-] ZINC001378801700 875662297 /nfs/dbraw/zinc/66/22/97/875662297.db2.gz LODXGUKAZXAVAD-LLVKDONJSA-N -1 1 315.373 1.356 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc(OC)c(O)c1)c1nn[n-]n1 ZINC001362068785 882955987 /nfs/dbraw/zinc/95/59/87/882955987.db2.gz GJTOITKGMJOLDL-JTQLQIEISA-N -1 1 305.338 1.114 20 0 DDADMM O=C([O-])[C@]1(N2CCN(C(=O)C[C@@H]3CC=CCC3)CC2)CCOC1 ZINC001350903499 875951552 /nfs/dbraw/zinc/95/15/52/875951552.db2.gz PWABBDIFHQXKOM-PBHICJAKSA-N -1 1 322.405 1.121 20 0 DDADMM C[C@H](CS(C)(=O)=O)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001362095747 883025012 /nfs/dbraw/zinc/02/50/12/883025012.db2.gz JDYAZWFGAGFMBU-SECBINFHSA-N -1 1 323.370 1.414 20 0 DDADMM COc1ccc2[nH]c(C(=O)N3CC[C@H](c4nn[n-]n4)C3)cc2c1 ZINC001352585985 876816509 /nfs/dbraw/zinc/81/65/09/876816509.db2.gz RBTUDCQHWIBBNX-VIFPVBQESA-N -1 1 312.333 1.319 20 0 DDADMM O=C(C=Cc1cccs1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001352792936 876934289 /nfs/dbraw/zinc/93/42/89/876934289.db2.gz DOFKVFONHKUWQP-GZTOBOFZSA-N -1 1 303.391 1.756 20 0 DDADMM NC(=O)[C@@H]1Cc2ccccc2N(C(=O)c2cccc([O-])c2F)C1 ZINC001362121290 883092382 /nfs/dbraw/zinc/09/23/82/883092382.db2.gz QYHXFODURAFYHY-LLVKDONJSA-N -1 1 314.316 1.836 20 0 DDADMM Cc1nc(CNC[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])c(C)s1 ZINC001379494764 877216620 /nfs/dbraw/zinc/21/66/20/877216620.db2.gz MTXLAZVLSKLFKA-ZETCQYMHSA-N -1 1 323.422 1.405 20 0 DDADMM Cc1c(Br)ccc(F)c1C(=O)NCc1nn[n-]n1 ZINC001362127925 883108272 /nfs/dbraw/zinc/10/82/72/883108272.db2.gz RMVSWVBUBWPNIV-UHFFFAOYSA-N -1 1 314.118 1.340 20 0 DDADMM CC[C@@H](C)c1cccc(NC(=O)[C@]2(C(=O)[O-])CNCCO2)c1 ZINC001354382497 877939488 /nfs/dbraw/zinc/93/94/88/877939488.db2.gz UNMRZLIQOZBRIO-BZNIZROVSA-N -1 1 306.362 1.582 20 0 DDADMM CC(C)(C)[C@H](CNCc1ccon1)NC(=O)c1ncccc1[O-] ZINC001380059487 878684235 /nfs/dbraw/zinc/68/42/35/878684235.db2.gz CSDVXMOFZUUFSL-ZDUSSCGKSA-N -1 1 318.377 1.710 20 0 DDADMM CCOc1ccc(S(=O)(=O)Nc2cccnc2)cc1C(=O)[O-] ZINC000314555798 878706546 /nfs/dbraw/zinc/70/65/46/878706546.db2.gz ZSHJZLRVMKEIBE-UHFFFAOYSA-N -1 1 322.342 1.979 20 0 DDADMM O=C(C[C@@H]1C=CCC1)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001357551650 880043175 /nfs/dbraw/zinc/04/31/75/880043175.db2.gz FJHRWDNNWSBNFZ-DHCBQETCSA-N -1 1 315.373 1.546 20 0 DDADMM O=C1CCN(Cc2c(Br)ccc([O-])c2F)CCN1 ZINC001238304187 890164255 /nfs/dbraw/zinc/16/42/55/890164255.db2.gz ATRZYIHKHXAMFS-UHFFFAOYSA-N -1 1 317.158 1.616 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](F)[C@@H](OCc2nn[n-]n2)CC1 ZINC001223030180 880790646 /nfs/dbraw/zinc/79/06/46/880790646.db2.gz ULSGAGYTKAFOKW-UWVGGRQHSA-N -1 1 315.349 1.454 20 0 DDADMM COC(=O)C[C@H]1CSCCN1C(=O)c1cccc([O-])c1F ZINC001362137090 883128945 /nfs/dbraw/zinc/12/89/45/883128945.db2.gz GDLOFSNGRDVICJ-VIFPVBQESA-N -1 1 313.350 1.652 20 0 DDADMM CCOC(=O)[C@H](NC(=O)c1cnncc1[O-])c1ccccc1 ZINC001362170389 883202238 /nfs/dbraw/zinc/20/22/38/883202238.db2.gz CBSXFIZGZULRAZ-CYBMUJFWSA-N -1 1 301.302 1.216 20 0 DDADMM CCC1(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001228102678 883336222 /nfs/dbraw/zinc/33/62/22/883336222.db2.gz DWYGYMMBPWAINA-VXGBXAGGSA-N -1 1 321.425 1.608 20 0 DDADMM NC(=O)CCn1ccc(NC(=O)Cc2ccc([O-])c(Cl)c2)n1 ZINC001362236340 883374942 /nfs/dbraw/zinc/37/49/42/883374942.db2.gz SFDFDTSSSAJPQF-UHFFFAOYSA-N -1 1 322.752 1.299 20 0 DDADMM C[C@H](Oc1nc(O)cc(=O)[n-]1)c1cc(Br)no1 ZINC001228389885 883477277 /nfs/dbraw/zinc/47/72/77/883477277.db2.gz BASVRWOBELNWNB-BYPYZUCNSA-N -1 1 302.084 1.778 20 0 DDADMM CO[C@H](C(=O)Nc1ccc(OCc2nn[n-]n2)cc1)C1CCC1 ZINC001362300821 883515738 /nfs/dbraw/zinc/51/57/38/883515738.db2.gz YLNNWJJMMGUYKU-AWEZNQCLSA-N -1 1 317.349 1.532 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H](C3CCOCC3)C2)c(=O)[n-]1 ZINC001362316164 883548057 /nfs/dbraw/zinc/54/80/57/883548057.db2.gz RCRHUVYBHQLDCX-NSHDSACASA-N -1 1 323.418 1.793 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@H](C)c1cncnc1 ZINC001228553838 883549777 /nfs/dbraw/zinc/54/97/77/883549777.db2.gz XYXDYEMFEDVGDV-MRVPVSSYSA-N -1 1 306.347 1.872 20 0 DDADMM Cn1nc(C2CCCC2)cc1NC(=O)CCCc1nn[n-]n1 ZINC001362319473 883556117 /nfs/dbraw/zinc/55/61/17/883556117.db2.gz GCJSYWZUXXJYIL-UHFFFAOYSA-N -1 1 303.370 1.552 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(=O)[nH]1 ZINC001362404615 883734581 /nfs/dbraw/zinc/73/45/81/883734581.db2.gz DIBXRCLUTVPVJZ-JTQLQIEISA-N -1 1 316.365 1.444 20 0 DDADMM Cc1ccc(N2CCNC2=O)cc1NC(=O)c1cnncc1[O-] ZINC001362435835 883804103 /nfs/dbraw/zinc/80/41/03/883804103.db2.gz JRZLIRXVRYUDFZ-UHFFFAOYSA-N -1 1 313.317 1.273 20 0 DDADMM CCC(CC)(NC(=O)Cc1cc(F)ccc1O)c1nn[n-]n1 ZINC001362497363 883943670 /nfs/dbraw/zinc/94/36/70/883943670.db2.gz PXCCDMDOGTWHJE-UHFFFAOYSA-N -1 1 307.329 1.419 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)N2CCCC2)C1)c1ccc([O-])cn1 ZINC001362529881 884015644 /nfs/dbraw/zinc/01/56/44/884015644.db2.gz YSCPQGJOXDGMMT-LBPRGKRZSA-N -1 1 318.377 1.197 20 0 DDADMM Cc1ccsc1[C@H](CO)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362534364 884027733 /nfs/dbraw/zinc/02/77/33/884027733.db2.gz YLMJLRZRSSWDCF-NSHDSACASA-N -1 1 319.386 1.893 20 0 DDADMM COC[C@H](CNC(=O)c1ccc(C(F)(F)F)c([O-])c1)OC ZINC001362539047 884041429 /nfs/dbraw/zinc/04/14/29/884041429.db2.gz DIBBYOKHQWZMSB-VIFPVBQESA-N -1 1 307.268 1.802 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)c1cnccc1C ZINC001362550979 884071996 /nfs/dbraw/zinc/07/19/96/884071996.db2.gz XCBUVPLMSIWESM-QMMMGPOBSA-N -1 1 304.306 1.091 20 0 DDADMM Cn1ccc(CCC(=O)Nc2cc(C(=O)OC(C)(C)C)[nH]n2)n1 ZINC001362590303 884165801 /nfs/dbraw/zinc/16/58/01/884165801.db2.gz JNWMZMFPJBYWEO-UHFFFAOYSA-N -1 1 319.365 1.670 20 0 DDADMM CC(C)[C@@H](C)C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001382527434 884187629 /nfs/dbraw/zinc/18/76/29/884187629.db2.gz COIHJGAWJCEXGA-MNOVXSKESA-N -1 1 311.430 1.423 20 0 DDADMM COC(=O)C[C@H]1CCC[C@@H](Oc2nc(=O)[n-]cc2C(=O)OC)C1 ZINC001229873214 884206039 /nfs/dbraw/zinc/20/60/39/884206039.db2.gz QQZZFFQCKWXLGV-VHSXEESVSA-N -1 1 324.333 1.470 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(C)cc2O)n1 ZINC001362662855 884354289 /nfs/dbraw/zinc/35/42/89/884354289.db2.gz XIZAGRWYJYZNGQ-SECBINFHSA-N -1 1 318.333 1.486 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)CC1CC1 ZINC001382618507 884355321 /nfs/dbraw/zinc/35/53/21/884355321.db2.gz ZIENYNLTLVANJI-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)(C)OC(=O)c1cc(NC(=O)c2cnncc2[O-])ccn1 ZINC001362663360 884357538 /nfs/dbraw/zinc/35/75/38/884357538.db2.gz ZHVNPMJIJDAONX-UHFFFAOYSA-N -1 1 316.317 1.785 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@](C)(O)Cc2ccccc2)n1 ZINC001362680622 884402857 /nfs/dbraw/zinc/40/28/57/884402857.db2.gz WBWKCAABCJCNMB-MRXNPFEDSA-N -1 1 317.345 1.519 20 0 DDADMM COC(=O)c1c[n-]c(O[C@H]2CN(C(=O)OC(C)(C)C)[C@H]2C)n1 ZINC001230282821 884408056 /nfs/dbraw/zinc/40/80/56/884408056.db2.gz ZAYOSAQDEOVVIZ-WPRPVWTQSA-N -1 1 311.338 1.583 20 0 DDADMM O=C(c1ncc(Cl)cn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001362704226 884448969 /nfs/dbraw/zinc/44/89/69/884448969.db2.gz MKFURUGJGCWNND-ZETCQYMHSA-N -1 1 309.713 1.238 20 0 DDADMM CCCC[C@H](O)CCC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001362710956 884464385 /nfs/dbraw/zinc/46/43/85/884464385.db2.gz BWBDJBFGAULXHX-DZGCQCFKSA-N -1 1 317.393 1.737 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1C[C@@H]2C(=O)OC[C@@H]2C1 ZINC001362752518 884555225 /nfs/dbraw/zinc/55/52/25/884555225.db2.gz VYXNKILBIMGGNK-XVKPBYJWSA-N -1 1 315.247 1.656 20 0 DDADMM CCO[C@@H]1C[C@@](O)(CNC(=O)c2ccc(F)c([O-])c2)C1(C)C ZINC001362769690 884595896 /nfs/dbraw/zinc/59/58/96/884595896.db2.gz YOVIXCIWCPNMLE-CZUORRHYSA-N -1 1 311.353 1.827 20 0 DDADMM CCOC(=O)C1(CC(=O)NC(CC)(CC)c2nn[n-]n2)CCC1 ZINC001362785680 884635400 /nfs/dbraw/zinc/63/54/00/884635400.db2.gz MSTKXHKRMNPFIJ-UHFFFAOYSA-N -1 1 323.397 1.455 20 0 DDADMM CCOC(=O)[C@]1(C)CN(C(=O)c2cccc([O-])c2F)CCO1 ZINC001362792459 884651193 /nfs/dbraw/zinc/65/11/93/884651193.db2.gz GYXLENBGEJLXBB-HNNXBMFYSA-N -1 1 311.309 1.326 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@@H](CNC(=O)c2ccc([O-])cn2)C1 ZINC001362795389 884658619 /nfs/dbraw/zinc/65/86/19/884658619.db2.gz JEJPAXIDTWFKDG-PHIMTYICSA-N -1 1 321.377 1.820 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1[C@H]2C[C@H]2CN1C(=O)OC(C)(C)C ZINC001362796249 884661774 /nfs/dbraw/zinc/66/17/74/884661774.db2.gz QENBXKJGNNDUMC-ATZCPNFKSA-N -1 1 322.365 1.618 20 0 DDADMM CC(C)[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)c1nncn1C ZINC001362801796 884678532 /nfs/dbraw/zinc/67/85/32/884678532.db2.gz XZZPENVUEVKCSL-LLVKDONJSA-N -1 1 316.365 1.315 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](CO)Cc2ccccc2)c(=O)[n-]1 ZINC001362861835 884821268 /nfs/dbraw/zinc/82/12/68/884821268.db2.gz XMLDUPZKSJCGSZ-LLVKDONJSA-N -1 1 319.386 1.238 20 0 DDADMM CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)c1cccc([O-])c1F ZINC001362865979 884832235 /nfs/dbraw/zinc/83/22/35/884832235.db2.gz VLOAJYAYYGTMBS-HNNXBMFYSA-N -1 1 313.325 1.354 20 0 DDADMM Cn1nccc1[C@H]1C[C@H](NC(=O)c2cncc([O-])c2)CCO1 ZINC001362967735 885110707 /nfs/dbraw/zinc/11/07/07/885110707.db2.gz QGVPPVDUESSHKN-BXUZGUMPSA-N -1 1 302.334 1.171 20 0 DDADMM C[N@H+]1C[C@@H](NC(=O)c2nnc3ccccc3c2O)C(C)(C)C1 ZINC001362979866 885133967 /nfs/dbraw/zinc/13/39/67/885133967.db2.gz BSUNCTMGUAEADC-GFCCVEGCSA-N -1 1 300.362 1.405 20 0 DDADMM CC(C)OCc1ccc(C(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC001363038916 885296282 /nfs/dbraw/zinc/29/62/82/885296282.db2.gz OUQIQOVVTUWNCO-UHFFFAOYSA-N -1 1 317.345 1.743 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCc2ccccn2)n[n-]1 ZINC001363049022 885329847 /nfs/dbraw/zinc/32/98/47/885329847.db2.gz ONZODXCLNPSGII-JTQLQIEISA-N -1 1 317.349 1.186 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCc2ccccn2)[n-]1 ZINC001363049022 885329866 /nfs/dbraw/zinc/32/98/66/885329866.db2.gz ONZODXCLNPSGII-JTQLQIEISA-N -1 1 317.349 1.186 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCc2ccccn2)n1 ZINC001363049022 885329879 /nfs/dbraw/zinc/32/98/79/885329879.db2.gz ONZODXCLNPSGII-JTQLQIEISA-N -1 1 317.349 1.186 20 0 DDADMM COCn1cc(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)cn1 ZINC001363111253 885488236 /nfs/dbraw/zinc/48/82/36/885488236.db2.gz XVUYKHJHDPOQPE-UHFFFAOYSA-N -1 1 305.338 1.667 20 0 DDADMM CCC(CC)(NC(=O)[C@H](CC(C)C)n1ccnc1)c1nn[n-]n1 ZINC001363134995 885551679 /nfs/dbraw/zinc/55/16/79/885551679.db2.gz GJJWLWZGTCRJHC-LBPRGKRZSA-N -1 1 319.413 1.815 20 0 DDADMM CCC(CC)(NC(=O)c1cc(=O)[nH]c(C2CC2)n1)c1nn[n-]n1 ZINC001363136245 885554342 /nfs/dbraw/zinc/55/43/42/885554342.db2.gz MAKTUYDALZSMOT-UHFFFAOYSA-N -1 1 317.353 1.018 20 0 DDADMM CSc1nc(CNC(=O)c2coc(C3CC3)n2)cc(=O)[n-]1 ZINC001363142644 885569618 /nfs/dbraw/zinc/56/96/18/885569618.db2.gz QBFSZFKPENQCTK-UHFFFAOYSA-N -1 1 306.347 1.700 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C1=COCC1 ZINC001363152016 885591131 /nfs/dbraw/zinc/59/11/31/885591131.db2.gz KJTPNIOMFZIQKS-WPRPVWTQSA-N -1 1 306.284 1.349 20 0 DDADMM CN(C)C(=O)OC[C@H]1CCCCN1C(=O)c1ccc([O-])cn1 ZINC001363171873 885634299 /nfs/dbraw/zinc/63/42/99/885634299.db2.gz FLPCFXZIPVIKHS-LLVKDONJSA-N -1 1 307.350 1.480 20 0 DDADMM CCCNC(=O)Cc1csc(NC(=O)c2ccc([O-])cn2)n1 ZINC001363198329 885692509 /nfs/dbraw/zinc/69/25/09/885692509.db2.gz YJOGKYCXDNPRTD-UHFFFAOYSA-N -1 1 320.374 1.565 20 0 DDADMM C[C@@H]1c2nc(C(N)=O)cn2CCN1Cc1ccc([O-])c(F)c1F ZINC001277543265 885769106 /nfs/dbraw/zinc/76/91/06/885769106.db2.gz LSRLTBUZHRWUCJ-MRVPVSSYSA-N -1 1 322.315 1.543 20 0 DDADMM C[C@@]1(c2cccc(NC(=O)c3cnncc3O)c2)COC(=O)N1 ZINC001363342581 886075668 /nfs/dbraw/zinc/07/56/68/886075668.db2.gz VPGOGFBEXLOVQX-HNNXBMFYSA-N -1 1 314.301 1.390 20 0 DDADMM C[C@@]1(c2cccc(NC(=O)c3cnncc3[O-])c2)COC(=O)N1 ZINC001363342581 886075686 /nfs/dbraw/zinc/07/56/86/886075686.db2.gz VPGOGFBEXLOVQX-HNNXBMFYSA-N -1 1 314.301 1.390 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)N[C@H](C)C(F)F ZINC001363413932 886272363 /nfs/dbraw/zinc/27/23/63/886272363.db2.gz KLSBLFTYJWEFNK-MRVPVSSYSA-N -1 1 323.303 1.260 20 0 DDADMM COC[C@H](NC(=O)c1cc(C2CC2)[nH]c1C1CC1)c1nn[n-]n1 ZINC001363440314 886343981 /nfs/dbraw/zinc/34/39/81/886343981.db2.gz YTVGKJUPMLSDBF-LBPRGKRZSA-N -1 1 316.365 1.400 20 0 DDADMM O=C(c1nc[n-]c(=O)c1Br)N1CCC=C(Cl)C1 ZINC001363472575 886431517 /nfs/dbraw/zinc/43/15/17/886431517.db2.gz FRVYZDWNRKVTFG-UHFFFAOYSA-N -1 1 318.558 1.913 20 0 DDADMM O=C(NCC[C@@H](O)COCc1ccccc1)c1ccc([O-])cn1 ZINC001363508344 886512009 /nfs/dbraw/zinc/51/20/09/886512009.db2.gz LJDMFMQLTAZFKC-OAHLLOKOSA-N -1 1 316.357 1.485 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccoc1Br ZINC001363546824 886603348 /nfs/dbraw/zinc/60/33/48/886603348.db2.gz FCXOBMITOPQFLL-UHFFFAOYSA-N -1 1 312.127 1.364 20 0 DDADMM COC(=O)C[C@@H]1CN(Cc2ccc(F)c([O-])c2F)CCO1 ZINC001232934769 886682887 /nfs/dbraw/zinc/68/28/87/886682887.db2.gz JVALLPWSBCPRRL-SNVBAGLBSA-N -1 1 301.289 1.434 20 0 DDADMM COC[C@@H](NC(=O)CSc1ccc(C)cc1)c1nn[n-]n1 ZINC001363591513 886712765 /nfs/dbraw/zinc/71/27/65/886712765.db2.gz UDHVWPMYFZAQIL-LLVKDONJSA-N -1 1 307.379 1.104 20 0 DDADMM CC[C@@H]1CC[C@H](C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)O1 ZINC001363651634 886885720 /nfs/dbraw/zinc/88/57/20/886885720.db2.gz IXZOUGLWVRMEDB-GHMZBOCLSA-N -1 1 309.366 1.155 20 0 DDADMM Cn1cccc(C(=O)NCCc2c(F)cc([O-])cc2F)c1=O ZINC001363655161 886900543 /nfs/dbraw/zinc/90/05/43/886900543.db2.gz QOESCAKNDUWUNW-UHFFFAOYSA-N -1 1 308.284 1.342 20 0 DDADMM O=C(NCc1cn(C2CC2)c(=O)[nH]c1=O)c1ccc([O-])c(F)c1 ZINC001363764887 887166555 /nfs/dbraw/zinc/16/65/55/887166555.db2.gz TULLSIGPUMQJPD-UHFFFAOYSA-N -1 1 319.292 1.059 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccc(=O)[nH]c2)[n-]c1=O ZINC001363846787 887382532 /nfs/dbraw/zinc/38/25/32/887382532.db2.gz HYCCEUYAIVXIIR-JTQLQIEISA-N -1 1 316.317 1.269 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC(Cn2cnnc2)CC1 ZINC001363874228 887441637 /nfs/dbraw/zinc/44/16/37/887441637.db2.gz MNJUHUZYWJOPFA-UHFFFAOYSA-N -1 1 304.325 1.675 20 0 DDADMM CCOC(=O)c1n[n-]c(CNCc2c(OC)cccc2OC)n1 ZINC001363935382 887571601 /nfs/dbraw/zinc/57/16/01/887571601.db2.gz HFPGENLYUUYGNL-UHFFFAOYSA-N -1 1 320.349 1.288 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1[C@@H](C)[C@@H](OC)C1(C)C ZINC001363967436 887634111 /nfs/dbraw/zinc/63/41/11/887634111.db2.gz GOZLSFVKGHWJCT-SZEHBUNVSA-N -1 1 320.436 1.490 20 0 DDADMM CC[C@@H]1CCC[C@@H]1CNS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001363977128 887652802 /nfs/dbraw/zinc/65/28/02/887652802.db2.gz JAEGIYVKSVENCP-NXEZZACHSA-N -1 1 315.395 1.301 20 0 DDADMM CC[C@@H]1CCC[C@@H]1CNS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001363977128 887652808 /nfs/dbraw/zinc/65/28/08/887652808.db2.gz JAEGIYVKSVENCP-NXEZZACHSA-N -1 1 315.395 1.301 20 0 DDADMM COC[C@H](NC(=O)Cc1c(C)cc(C)cc1C)c1nn[n-]n1 ZINC001364035801 887768741 /nfs/dbraw/zinc/76/87/41/887768741.db2.gz RWASYVDOHYZRHU-ZDUSSCGKSA-N -1 1 303.366 1.171 20 0 DDADMM CSCC[C@H](NC(=O)c1ccnn1C(C)C)c1nn[n-]n1 ZINC001364093164 887900554 /nfs/dbraw/zinc/90/05/54/887900554.db2.gz ZWNXOGJWFLXTED-VIFPVBQESA-N -1 1 309.399 1.201 20 0 DDADMM O=C(NCC=C(Cl)Cl)N1CCC(c2nn[n-]n2)CC1 ZINC001364165900 888063282 /nfs/dbraw/zinc/06/32/82/888063282.db2.gz BXYKWAYSBJQUMU-UHFFFAOYSA-N -1 1 305.169 1.408 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1OC1CN(C(C)(C)C)C1 ZINC001234553703 888085538 /nfs/dbraw/zinc/08/55/38/888085538.db2.gz DKHCIYNESOJTNR-UHFFFAOYSA-N -1 1 323.393 1.954 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@H]1Oc1c(=O)[n-]c(C)nc1C(=O)OC ZINC001234558139 888095588 /nfs/dbraw/zinc/09/55/88/888095588.db2.gz SDMMCSLKDIERQC-VHSXEESVSA-N -1 1 324.333 1.388 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@H]1CCCOC1=O ZINC001234560745 888097180 /nfs/dbraw/zinc/09/71/80/888097180.db2.gz OWFMPDOTANMBQM-QMMMGPOBSA-N -1 1 310.306 1.177 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H]1CCCn2cc(C)nc21 ZINC001234564535 888102127 /nfs/dbraw/zinc/10/21/27/888102127.db2.gz LJDSZAKYZMFJLX-JTQLQIEISA-N -1 1 318.333 1.696 20 0 DDADMM CCN(CC(C)C)c1nc(CC(=O)N(C)c2nn[n-]n2)cs1 ZINC001364204062 888138944 /nfs/dbraw/zinc/13/89/44/888138944.db2.gz WXAGZVFDEZPYIF-UHFFFAOYSA-N -1 1 323.426 1.344 20 0 DDADMM O=C(CCC(=O)N1CC[C@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC001364567520 888942108 /nfs/dbraw/zinc/94/21/08/888942108.db2.gz JSEZNYUXQHYTCR-NSHDSACASA-N -1 1 317.324 1.318 20 0 DDADMM O=C(N[C@@H]1Cc2cnccc2NC1=O)c1ccc(F)c([O-])c1 ZINC001364655457 889132085 /nfs/dbraw/zinc/13/20/85/889132085.db2.gz NJHGIUGVVVSKLO-GFCCVEGCSA-N -1 1 301.277 1.220 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H](CCCO)c1ccccc1 ZINC001364661406 889145329 /nfs/dbraw/zinc/14/53/29/889145329.db2.gz CVONUSMOBWJREO-CYBMUJFWSA-N -1 1 315.377 1.251 20 0 DDADMM O=C(CCOc1ccccc1Cl)NC1(c2nn[n-]n2)CCC1 ZINC001364663176 889149629 /nfs/dbraw/zinc/14/96/29/889149629.db2.gz LQYWOXFLXBZMAU-UHFFFAOYSA-N -1 1 321.768 1.818 20 0 DDADMM COc1nscc1CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC001364840342 889523005 /nfs/dbraw/zinc/52/30/05/889523005.db2.gz WWQDDQCJXYMNLM-JTQLQIEISA-N -1 1 322.390 1.993 20 0 DDADMM CCc1cc(NC(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)no1 ZINC001365032064 889938173 /nfs/dbraw/zinc/93/81/73/889938173.db2.gz PPUPSJCUTOOKNP-UHFFFAOYSA-N -1 1 321.337 1.798 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCc2ccc(C)cc2C)[n-]n1 ZINC001365038200 889952964 /nfs/dbraw/zinc/95/29/64/889952964.db2.gz KJIKSSLDIORDQX-UHFFFAOYSA-N -1 1 323.374 1.292 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCc2ccc(C)cc2C)n[n-]1 ZINC001365038200 889952975 /nfs/dbraw/zinc/95/29/75/889952975.db2.gz KJIKSSLDIORDQX-UHFFFAOYSA-N -1 1 323.374 1.292 20 0 DDADMM COC[C@]1(C)CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC001365051882 889989180 /nfs/dbraw/zinc/98/91/80/889989180.db2.gz SGOBBCHDDQVNAM-OAHLLOKOSA-N -1 1 303.366 1.232 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)c3ccccc32)[n-]n1 ZINC001365433550 890789867 /nfs/dbraw/zinc/78/98/67/890789867.db2.gz OVCWKLPBKXQQPE-VIFPVBQESA-N -1 1 321.358 1.509 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)c3ccccc32)n[n-]1 ZINC001365433550 890789880 /nfs/dbraw/zinc/78/98/80/890789880.db2.gz OVCWKLPBKXQQPE-VIFPVBQESA-N -1 1 321.358 1.509 20 0 DDADMM O=S(=O)([N-]Cc1cccc(F)c1F)c1c[nH]nc1Cl ZINC001365444956 890805046 /nfs/dbraw/zinc/80/50/46/890805046.db2.gz HBTRMCNPMRXPFQ-UHFFFAOYSA-N -1 1 307.709 1.820 20 0 DDADMM C[C@H](C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-])C(F)(F)F ZINC001386422454 890949629 /nfs/dbraw/zinc/94/96/29/890949629.db2.gz AKIWHXWSSZMLJM-JGVFFNPUSA-N -1 1 319.283 1.220 20 0 DDADMM COc1cc(-c2nc3[nH]cnc3c(OC)n2)ccc1C(=O)[O-] ZINC001240741688 891030571 /nfs/dbraw/zinc/03/05/71/891030571.db2.gz RBKPUXWSVZRQDY-UHFFFAOYSA-N -1 1 300.274 1.735 20 0 DDADMM CN1C(=O)[C@H]2CCCN2c2nc(-c3cc([O-])cc(F)c3)ncc21 ZINC001241256625 891140381 /nfs/dbraw/zinc/14/03/81/891140381.db2.gz ZBTNYLKSYCJYSV-GFCCVEGCSA-N -1 1 314.320 1.934 20 0 DDADMM CCOC(=O)c1cc2cc(-c3cnn(CC(=O)[O-])c3)cnc2[nH]1 ZINC001242186369 891366424 /nfs/dbraw/zinc/36/64/24/891366424.db2.gz VWPHIUCYPPREHE-UHFFFAOYSA-N -1 1 314.301 1.688 20 0 DDADMM CN1CCN(c2cc(-c3cccc(C(=O)[O-])c3F)ncn2)CC1 ZINC001242377431 891402979 /nfs/dbraw/zinc/40/29/79/891402979.db2.gz ZHGORKYBHKHMBU-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM COc1ccccc1NC(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001365756773 891474561 /nfs/dbraw/zinc/47/45/61/891474561.db2.gz QOWRXNYDJUIITH-JTQLQIEISA-N -1 1 322.394 1.824 20 0 DDADMM COc1ncc(-c2c(F)ccnc2F)cc1[N-]S(C)(=O)=O ZINC001244792837 891928422 /nfs/dbraw/zinc/92/84/22/891928422.db2.gz GZDBXXFUZMOLSC-UHFFFAOYSA-N -1 1 315.301 1.802 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1CCCC1(C)C)NC(=O)c1ncccc1[O-] ZINC001387033539 892224744 /nfs/dbraw/zinc/22/47/44/892224744.db2.gz DPAHDGRCMNOMPH-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM CC(=O)N[C@H](CC(C)C)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000341385559 892238802 /nfs/dbraw/zinc/23/88/02/892238802.db2.gz RBEUYIYADCEERD-SSDOTTSWSA-N -1 1 307.276 1.313 20 0 DDADMM CCc1nc(CN[C@H](C)CNC(=O)c2ncccc2[O-])cs1 ZINC001366263406 892936847 /nfs/dbraw/zinc/93/68/47/892936847.db2.gz QAUQNXOXHNFFOU-SNVBAGLBSA-N -1 1 320.418 1.714 20 0 DDADMM C[C@@H](CNC(=O)c1csc(Cl)c1)NCc1n[nH]c(=O)[n-]1 ZINC001366333324 893199199 /nfs/dbraw/zinc/19/91/99/893199199.db2.gz SFIMMMXLMHTKGY-LURJTMIESA-N -1 1 315.786 1.133 20 0 DDADMM Cc1nc(CN(C)CCNC(=O)c2ncccc2[O-])sc1C ZINC001366520806 893844989 /nfs/dbraw/zinc/84/49/89/893844989.db2.gz QEEBFLIYRJJGPC-UHFFFAOYSA-N -1 1 320.418 1.722 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cnc3c(c2)NCCO3)cc1 ZINC001249807740 894131454 /nfs/dbraw/zinc/13/14/54/894131454.db2.gz UNSXBAPBCBQHJE-UHFFFAOYSA-N -1 1 306.347 1.269 20 0 DDADMM O=C1[N-]S(=O)(=O)c2cc(Nc3ccc4cncn4c3)ccc21 ZINC001250331833 894235640 /nfs/dbraw/zinc/23/56/40/894235640.db2.gz GIJSWCOVVOUGRP-UHFFFAOYSA-N -1 1 314.326 1.510 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)c2ccccc2N)cc1N ZINC001250340858 894244257 /nfs/dbraw/zinc/24/42/57/894244257.db2.gz XTFNQBLEPXKJQC-UHFFFAOYSA-N -1 1 321.358 1.438 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CCCCC1 ZINC001388054169 894462870 /nfs/dbraw/zinc/46/28/70/894462870.db2.gz DOBORYVVHDWGAB-ZDUSSCGKSA-N -1 1 319.405 1.992 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NS(=O)(=O)c1cccs1 ZINC001250874549 894481143 /nfs/dbraw/zinc/48/11/43/894481143.db2.gz KYYCSARPVSSINU-UHFFFAOYSA-N -1 1 302.293 1.148 20 0 DDADMM Cc1cc(CCC(=O)Nc2cccc(-c3nc(=O)o[n-]3)c2)n[nH]1 ZINC001292642355 913883170 /nfs/dbraw/zinc/88/31/70/913883170.db2.gz BRJRXBGDZBYLOA-UHFFFAOYSA-N -1 1 313.317 1.633 20 0 DDADMM O=S(=O)([N-]c1ccc(N2CC[C@H](O)C2)nc1)c1ccccc1 ZINC001251115900 894641526 /nfs/dbraw/zinc/64/15/26/894641526.db2.gz AXCYRALSTXZION-ZDUSSCGKSA-N -1 1 319.386 1.453 20 0 DDADMM CCCCC(=O)N(CCC)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001366811439 894981020 /nfs/dbraw/zinc/98/10/20/894981020.db2.gz LXARPZDQKAYAJZ-CYBMUJFWSA-N -1 1 323.441 1.112 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001252233096 895043285 /nfs/dbraw/zinc/04/32/85/895043285.db2.gz XPJLWUMMANUXJP-UHFFFAOYSA-N -1 1 305.319 1.168 20 0 DDADMM CCC(CC)[C@H](C)C(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367366715 896575768 /nfs/dbraw/zinc/57/57/68/896575768.db2.gz FSHCZGLDLLRRDQ-MNOVXSKESA-N -1 1 311.430 1.519 20 0 DDADMM Cc1ccc(C)c(S(=O)(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001254820042 896611870 /nfs/dbraw/zinc/61/18/70/896611870.db2.gz HIVBCCISFDUQGF-UHFFFAOYSA-N -1 1 321.335 1.540 20 0 DDADMM COc1cccc(C[C@H](C)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001256152779 897297980 /nfs/dbraw/zinc/29/79/80/897297980.db2.gz ZJEQONQWADIVRZ-QMMMGPOBSA-N -1 1 315.333 1.767 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)CCN[C@@H](C)c2ncccn2)c1[O-] ZINC001367638758 897307726 /nfs/dbraw/zinc/30/77/26/897307726.db2.gz HRRCDOXIBPFNHU-KOLCDFICSA-N -1 1 318.381 1.073 20 0 DDADMM O=C(NCC1(NC(=O)C2CCCC2)CC1)c1ncccc1[O-] ZINC001389819934 898086100 /nfs/dbraw/zinc/08/61/00/898086100.db2.gz GTBYAYPJKOAQKO-UHFFFAOYSA-N -1 1 303.362 1.356 20 0 DDADMM COCC1([N-]S(=O)(=O)c2cc(F)ccc2F)CCOCC1 ZINC001258950752 898376198 /nfs/dbraw/zinc/37/61/98/898376198.db2.gz VLDZMFBVUILSHY-UHFFFAOYSA-N -1 1 321.345 1.439 20 0 DDADMM CC1(C)[C@H]2CC[C@]1(CS(=O)(=O)[N-][C@@H]1COC[C@@H]1F)C(=O)C2 ZINC001258979825 898391114 /nfs/dbraw/zinc/39/11/14/898391114.db2.gz XCAFBQCTJPTMDZ-DYNIEEOBSA-N -1 1 319.398 1.038 20 0 DDADMM O=c1[nH]ncc([N-]S(=O)(=O)Cc2ccc(F)cc2)c1Cl ZINC001259248036 898546227 /nfs/dbraw/zinc/54/62/27/898546227.db2.gz DJYRZTOSRAJXPB-UHFFFAOYSA-N -1 1 317.729 1.917 20 0 DDADMM O=c1nc2nc[nH]c2c(NS(=O)(=O)Cc2ccc(F)cc2)[n-]1 ZINC001259256113 898551020 /nfs/dbraw/zinc/55/10/20/898551020.db2.gz VFPQTPHJIWJNDG-UHFFFAOYSA-N -1 1 323.309 1.140 20 0 DDADMM COC(=O)c1ncc(C(F)(F)F)cc1[N-]S(=O)(=O)C1CC1 ZINC001259271489 898563034 /nfs/dbraw/zinc/56/30/34/898563034.db2.gz MRCKTKXPNWPNJT-UHFFFAOYSA-N -1 1 324.280 1.791 20 0 DDADMM CC1(C)OC[C@@H](C[N-]S(=O)(=O)c2cc(Cl)ccc2F)O1 ZINC001259460900 898680398 /nfs/dbraw/zinc/68/03/98/898680398.db2.gz WWISRABHNBFAKB-SECBINFHSA-N -1 1 323.773 1.909 20 0 DDADMM COC(=O)c1ncc([N-]S(=O)(=O)Cc2cccc(C)c2)cn1 ZINC001259743770 898775750 /nfs/dbraw/zinc/77/57/50/898775750.db2.gz VMHGONZGWXOFCA-UHFFFAOYSA-N -1 1 321.358 1.514 20 0 DDADMM O=S(=O)([N-]c1ccccc1CO)c1ccc2c(c1)OCO2 ZINC001259848917 898867119 /nfs/dbraw/zinc/86/71/19/898867119.db2.gz BTODKLROWVBMDQ-UHFFFAOYSA-N -1 1 307.327 1.708 20 0 DDADMM Cn1cc(-c2cc(C[N-]S(=O)(=O)C(F)F)ccn2)cn1 ZINC001259961744 898983567 /nfs/dbraw/zinc/98/35/67/898983567.db2.gz SJYHQNSFEYUMIU-UHFFFAOYSA-N -1 1 302.306 1.124 20 0 DDADMM CCOC(=O)c1cc(Cl)cc([N-]S(=O)(=O)CCOC)c1 ZINC001259972454 898998371 /nfs/dbraw/zinc/99/83/71/898998371.db2.gz FDKMELVBDZVEAU-UHFFFAOYSA-N -1 1 321.782 1.905 20 0 DDADMM CC(=O)c1cccc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)n1 ZINC001260222785 899097353 /nfs/dbraw/zinc/09/73/53/899097353.db2.gz DRCZBCHCVSYLAD-UHFFFAOYSA-N -1 1 320.326 1.783 20 0 DDADMM O=S(=O)([N-]CC1(O)CCC1)c1cc(F)c(F)cc1Cl ZINC001260287923 899111947 /nfs/dbraw/zinc/11/19/47/899111947.db2.gz RRPCYTGKNSMQEN-UHFFFAOYSA-N -1 1 311.737 1.812 20 0 DDADMM CC(=O)OCC[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260291302 899112686 /nfs/dbraw/zinc/11/26/86/899112686.db2.gz TYWZJTDJZFQAIA-UHFFFAOYSA-N -1 1 313.709 1.460 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2[n-]c(=O)nc3nc[nH]c32)s1 ZINC001260687144 899217637 /nfs/dbraw/zinc/21/76/37/899217637.db2.gz HHMKUYMFOXRGLS-UHFFFAOYSA-N -1 1 311.348 1.229 20 0 DDADMM Cc1csc(CN[C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001390348248 899224928 /nfs/dbraw/zinc/22/49/28/899224928.db2.gz XXDOIGLKHFTSMO-ZETCQYMHSA-N -1 1 309.395 1.097 20 0 DDADMM COC(=O)c1cccc(S(=O)(=O)[N-]c2cnccc2F)c1 ZINC001260840107 899282668 /nfs/dbraw/zinc/28/26/68/899282668.db2.gz QONAQPZLBZDDLV-UHFFFAOYSA-N -1 1 310.306 1.808 20 0 DDADMM O=S(=O)([N-][C@H](c1cccnc1)C(F)(F)F)c1cn[nH]c1 ZINC001260963257 899305083 /nfs/dbraw/zinc/30/50/83/899305083.db2.gz MXRZWMBGRKQFBD-SECBINFHSA-N -1 1 306.269 1.387 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ocnc1C ZINC001390384563 899306034 /nfs/dbraw/zinc/30/60/34/899306034.db2.gz RTTJFAHUMWYYTQ-SNVBAGLBSA-N -1 1 318.333 1.022 20 0 DDADMM C[C@H]1CCCC[C@@H]1C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001293261729 914375166 /nfs/dbraw/zinc/37/51/66/914375166.db2.gz CKBGCFDTZIWIFY-STQMWFEESA-N -1 1 319.405 1.850 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)cn1 ZINC001263911196 900813856 /nfs/dbraw/zinc/81/38/56/900813856.db2.gz CWOYOXNJLXSIEG-UZYOAWRESA-N -1 1 312.377 1.398 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)CCN(C)Cc2cccc(F)c2)c1[O-] ZINC001392272480 903724644 /nfs/dbraw/zinc/72/46/44/903724644.db2.gz UHXZAKRWLAJCBO-UHFFFAOYSA-N -1 1 320.368 1.767 20 0 DDADMM O=C(/C=C\C1CC1)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001280753141 903989867 /nfs/dbraw/zinc/98/98/67/903989867.db2.gz QLMFALDRXLHILQ-BJBUZPRDSA-N -1 1 315.373 1.378 20 0 DDADMM CC(C)CC(=O)NC[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001370942326 904026828 /nfs/dbraw/zinc/02/68/28/904026828.db2.gz GOYXQWYFORIQDD-STQMWFEESA-N -1 1 319.405 1.848 20 0 DDADMM CN(CCCNC(=O)C[C@H]1C=CCC1)C(=O)c1ncccc1[O-] ZINC001293945237 914794505 /nfs/dbraw/zinc/79/45/05/914794505.db2.gz ZHHUKZHYGIPKFE-ZDUSSCGKSA-N -1 1 317.389 1.722 20 0 DDADMM CCCC(=O)NC[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001392815684 905336877 /nfs/dbraw/zinc/33/68/77/905336877.db2.gz HUGMLTPGSBRHIX-VIFPVBQESA-N -1 1 306.366 1.094 20 0 DDADMM C=C/C(C)=C/CC(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001283233003 906953664 /nfs/dbraw/zinc/95/36/64/906953664.db2.gz LZWSSUBBMDBBIK-KPKJPENVSA-N -1 1 303.362 1.546 20 0 DDADMM C/C(=C\C(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001283484929 907436873 /nfs/dbraw/zinc/43/68/73/907436873.db2.gz WOVWORRKPAQTNU-RSPDNQDQSA-N -1 1 319.409 1.193 20 0 DDADMM C[C@H](CNC(=O)C(C)(C)C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001373094409 908947167 /nfs/dbraw/zinc/94/71/67/908947167.db2.gz UIPBLLGJDUWBAG-SECBINFHSA-N -1 1 320.393 1.340 20 0 DDADMM CCC[C@@H](C)C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001373153429 909097276 /nfs/dbraw/zinc/09/72/76/909097276.db2.gz VTGIMAKETGQFFW-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@H](CCNC(=O)c1nccs1)NC(=O)c1ncccc1[O-] ZINC001373327128 909525437 /nfs/dbraw/zinc/52/54/37/909525437.db2.gz AVMJGPFDQISDFP-SECBINFHSA-N -1 1 320.374 1.182 20 0 DDADMM CCC[C@@H](OC)C(=O)NCCN(CC)C(=O)c1ncccc1[O-] ZINC001373907374 911324135 /nfs/dbraw/zinc/32/41/35/911324135.db2.gz PIPYQHAKBVRJRC-CYBMUJFWSA-N -1 1 323.393 1.181 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)CCCF)CC1 ZINC001395450923 912015144 /nfs/dbraw/zinc/01/51/44/912015144.db2.gz ORZAFBNTAFRKTG-UHFFFAOYSA-N -1 1 323.368 1.600 20 0 DDADMM CC(C)[C@H](C)C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001374175020 912079454 /nfs/dbraw/zinc/07/94/54/912079454.db2.gz ZWOLHWYBJGDUGH-NSHDSACASA-N -1 1 309.414 1.321 20 0 DDADMM C[C@@H](Cc1ccsc1)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001294337278 915068683 /nfs/dbraw/zinc/06/86/83/915068683.db2.gz AAQCVAPQLYSFQH-NSHDSACASA-N -1 1 321.402 1.078 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@]1(C)C=CCC1 ZINC001295843177 916088460 /nfs/dbraw/zinc/08/84/60/916088460.db2.gz WEOIMURKKDQRLB-SJKOYZFVSA-N -1 1 317.389 1.768 20 0 DDADMM CO[C@H](C)CCC(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001375690102 916713324 /nfs/dbraw/zinc/71/33/24/916713324.db2.gz SYKZNGCPSORKFV-VXGBXAGGSA-N -1 1 323.393 1.084 20 0 DDADMM CCC[C@@H](OC)C(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001375696208 916731655 /nfs/dbraw/zinc/73/16/55/916731655.db2.gz NUTKLUQBPCEUOB-WCQYABFASA-N -1 1 323.393 1.084 20 0 DDADMM C[C@@H](CNC(=O)CCC1CCC1)NC(=O)c1ncccc1[O-] ZINC001377546919 922295663 /nfs/dbraw/zinc/29/56/63/922295663.db2.gz VVNWFTJMHMMKRQ-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)CC(F)(F)F)nc2n1 ZINC000622869708 365548815 /nfs/dbraw/zinc/54/88/15/365548815.db2.gz DNKUHAWJBBJALK-YFKPBYRVSA-N -1 1 303.244 1.253 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3CCC4(CC4)CC3)nc2n1 ZINC000622992809 365585818 /nfs/dbraw/zinc/58/58/18/365585818.db2.gz ZXSGVGWMZTZLEV-UHFFFAOYSA-N -1 1 315.377 1.889 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ccnc(C4CC4)c3)nc2n1 ZINC000622994490 365586780 /nfs/dbraw/zinc/58/67/80/365586780.db2.gz RCRMDBIYQQAIOS-UHFFFAOYSA-N -1 1 324.344 1.505 20 0 DDADMM COC(=O)c1c[n-]c(SCC2CCS(=O)(=O)CC2)n1 ZINC000278641761 214296351 /nfs/dbraw/zinc/29/63/51/214296351.db2.gz LLTOOGQBIFQUAN-UHFFFAOYSA-N -1 1 304.393 1.113 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C(F)(F)F ZINC000444561404 529399749 /nfs/dbraw/zinc/39/97/49/529399749.db2.gz PDFJYVYGFJHRJJ-HZGVNTEJSA-N -1 1 317.271 1.124 20 0 DDADMM CCOc1cc(C(=O)NCCc2ncn(C)n2)cc(Cl)c1[O-] ZINC000358109736 299079685 /nfs/dbraw/zinc/07/96/85/299079685.db2.gz QGDUEDLRJTZSLM-UHFFFAOYSA-N -1 1 324.768 1.545 20 0 DDADMM CC[C@@H](CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1)C(C)C ZINC000330991268 529449486 /nfs/dbraw/zinc/44/94/86/529449486.db2.gz MYIJSLBSNVKKLT-NSHDSACASA-N -1 1 311.455 1.672 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(C)(C)C(C)(C)O)sc1C ZINC000452028706 231274811 /nfs/dbraw/zinc/27/48/11/231274811.db2.gz CNDOCPCYRHTSGQ-UHFFFAOYSA-N -1 1 306.453 1.835 20 0 DDADMM CCn1ccnc1CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000296819047 529578039 /nfs/dbraw/zinc/57/80/39/529578039.db2.gz FZWYYNMZDSKEQZ-UHFFFAOYSA-N -1 1 317.393 1.935 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1ccnc(Cl)c1)c1nn[n-]n1 ZINC000254979605 282222558 /nfs/dbraw/zinc/22/25/58/282222558.db2.gz HSPHGAWUBRRQGI-LWTINBJPSA-N -1 1 306.757 1.919 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc2c(ncnc2N(C)C)n1C ZINC000615219596 362200800 /nfs/dbraw/zinc/20/08/00/362200800.db2.gz ATPVNZSFRZNEDV-UHFFFAOYSA-N -1 1 315.337 1.024 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](NC(=O)c1ccccn1)C(C)C ZINC000615228556 362204356 /nfs/dbraw/zinc/20/43/56/362204356.db2.gz YXLWEYMBJSIEJC-LLVKDONJSA-N -1 1 317.349 1.212 20 0 DDADMM C[N@@H+]1CCC[C@H]1[C@@H]1COCCN1C(=O)c1ccc(O)c(F)c1 ZINC000615271367 362225712 /nfs/dbraw/zinc/22/57/12/362225712.db2.gz WMHOKIIYGGIEAP-KBPBESRZSA-N -1 1 308.353 1.467 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1Cc2cnn(C)c2C1 ZINC000623252701 365750953 /nfs/dbraw/zinc/75/09/53/365750953.db2.gz AEBDZRUWNJPACU-UHFFFAOYSA-N -1 1 315.377 1.678 20 0 DDADMM CCc1nc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cs1 ZINC000331759415 529732169 /nfs/dbraw/zinc/73/21/69/529732169.db2.gz NAOLKNSQGILDTO-SECBINFHSA-N -1 1 322.390 1.743 20 0 DDADMM C[C@@H](Cn1cncn1)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000097002397 185396317 /nfs/dbraw/zinc/39/63/17/185396317.db2.gz QPPPHIMONWURDV-ZETCQYMHSA-N -1 1 320.296 1.062 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)[N-]S(=O)(=O)c1ccco1 ZINC000275437129 282322388 /nfs/dbraw/zinc/32/23/88/282322388.db2.gz GHFHZWLGSLBCFV-ZCFIWIBFSA-N -1 1 301.242 1.052 20 0 DDADMM COC(=O)[C@H](CF)[N-]S(=O)(=O)c1cc(C)c(F)cc1F ZINC000287499065 282347257 /nfs/dbraw/zinc/34/72/57/282347257.db2.gz RONGIFSZUHHEAO-VIFPVBQESA-N -1 1 311.281 1.063 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H](C)c2cncnc2)c1Br ZINC000616009408 362519505 /nfs/dbraw/zinc/51/95/05/362519505.db2.gz UBVCIIXXQSMSFX-ZCFIWIBFSA-N -1 1 310.155 1.435 20 0 DDADMM O=C(N[C@@H](CO)[C@H]1CCCOC1)c1ncc2ccccc2c1[O-] ZINC000616284041 362616544 /nfs/dbraw/zinc/61/65/44/362616544.db2.gz AWYJIFQBQIFZFC-JSGCOSHPSA-N -1 1 316.357 1.458 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C12CCC2 ZINC000458182500 232267743 /nfs/dbraw/zinc/26/77/43/232267743.db2.gz HUFXLNYJMISZRU-VXGBXAGGSA-N -1 1 316.361 1.361 20 0 DDADMM Cc1ccc(CCNC(=O)c2nc3ccccc3c(=O)[n-]2)cn1 ZINC000111422784 185597122 /nfs/dbraw/zinc/59/71/22/185597122.db2.gz UTBMATDBBIFLND-UHFFFAOYSA-N -1 1 308.341 1.599 20 0 DDADMM Cc1noc2ncc(NC(=O)c3cnc4n(C)[n-]cc-4c3=O)cc12 ZINC000358347949 299127548 /nfs/dbraw/zinc/12/75/48/299127548.db2.gz MSPAGBYAGXLWSD-UHFFFAOYSA-N -1 1 324.300 1.771 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1csc(C(C)(C)C)n1)c1nn[n-]n1 ZINC000183429298 199593169 /nfs/dbraw/zinc/59/31/69/199593169.db2.gz SHUCPSSMJZYJMA-SECBINFHSA-N -1 1 322.438 1.758 20 0 DDADMM Cc1ccccc1C1CC(N(CC(=O)NCC(=O)[O-])C2CC2)C1 ZINC000358426336 299148991 /nfs/dbraw/zinc/14/89/91/299148991.db2.gz LMKQCEWCQIBZFY-UHFFFAOYSA-N -1 1 316.401 1.906 20 0 DDADMM CN(C[C@H]1CCCCO1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000286998154 219262162 /nfs/dbraw/zinc/26/21/62/219262162.db2.gz AZGKLSNVWYCCJX-SHTJFRFBSA-N -1 1 303.366 1.375 20 0 DDADMM COC(=O)C[C@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CCO1 ZINC000148542257 186045232 /nfs/dbraw/zinc/04/52/32/186045232.db2.gz UOVJCPOIORSQAS-JTQLQIEISA-N -1 1 313.737 1.450 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cccc(Cl)c1F)C(=O)OC ZINC000152683091 186097102 /nfs/dbraw/zinc/09/71/02/186097102.db2.gz MXEIICGPORAJKD-MRVPVSSYSA-N -1 1 309.746 1.709 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCO[C@@H](CC(=O)[O-])C2)c1 ZINC000262874147 186316873 /nfs/dbraw/zinc/31/68/73/186316873.db2.gz YAVJOHATIPWSLD-NSHDSACASA-N -1 1 303.318 1.187 20 0 DDADMM CCOC(=O)[C@@]1(CN=c2nc(C(C)C)[n-]s2)CCCOC1 ZINC000601243008 358460848 /nfs/dbraw/zinc/46/08/48/358460848.db2.gz BUTVBOSOTHCWPU-CQSZACIVSA-N -1 1 313.423 1.855 20 0 DDADMM CC(C)[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)c1ncon1 ZINC000287221543 219389268 /nfs/dbraw/zinc/38/92/68/219389268.db2.gz VVGILZUEFILJOC-JTQLQIEISA-N -1 1 318.362 1.528 20 0 DDADMM Cc1cccc(-c2n[n-]c(=S)n2CC(=O)N2CCOCC2)c1 ZINC000016642874 352162419 /nfs/dbraw/zinc/16/24/19/352162419.db2.gz DSNZHBYYMMDYIF-UHFFFAOYSA-N -1 1 318.402 1.775 20 0 DDADMM Cc1cc(C(=O)N(C)Cc2nc(=O)c3sccc3[n-]2)n[nH]1 ZINC000054151133 352681101 /nfs/dbraw/zinc/68/11/01/352681101.db2.gz HDCSIENOYSLJIK-UHFFFAOYSA-N -1 1 303.347 1.288 20 0 DDADMM O=S(=O)([N-]C1(CO)CCC1)c1ccc(Br)o1 ZINC000331872057 234217964 /nfs/dbraw/zinc/21/79/64/234217964.db2.gz ZRQQWVFJZPUEEP-UHFFFAOYSA-N -1 1 310.169 1.235 20 0 DDADMM Cc1c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)nnn1C(C)C ZINC000331900411 234253537 /nfs/dbraw/zinc/25/35/37/234253537.db2.gz XBFZARZTOPTQJQ-SNVBAGLBSA-N -1 1 320.353 1.276 20 0 DDADMM O=C([O-])c1ccc(NC(=O)NCCc2nc[nH]n2)cc1Cl ZINC000080646858 192178038 /nfs/dbraw/zinc/17/80/38/192178038.db2.gz JYFJYCOKBQVHSN-UHFFFAOYSA-N -1 1 309.713 1.521 20 0 DDADMM CC1(C)CC(CC(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000344962436 282883978 /nfs/dbraw/zinc/88/39/78/282883978.db2.gz CBCLNKYQQSBBRH-UHFFFAOYSA-N -1 1 312.391 1.804 20 0 DDADMM O=C(C=Cc1cnn(-c2ccccc2)c1)NC1(c2nn[n-]n2)CC1 ZINC000492034687 234366687 /nfs/dbraw/zinc/36/66/87/234366687.db2.gz UXMMKNNPUOMXAS-SREVYHEPSA-N -1 1 321.344 1.204 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCc2sc(C)nc2C)n1 ZINC000074664428 353323872 /nfs/dbraw/zinc/32/38/72/353323872.db2.gz MPXKJRRNTKVFKG-UHFFFAOYSA-N -1 1 308.367 1.385 20 0 DDADMM CCOC(=O)CC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000361963991 299972145 /nfs/dbraw/zinc/97/21/45/299972145.db2.gz XSVJVUZTZDIBFQ-UHFFFAOYSA-N -1 1 309.746 1.711 20 0 DDADMM CC1CC(NC(=O)c2ccc(S(=O)(=O)[N-]C(C)(C)C)o2)C1 ZINC000080046756 353588930 /nfs/dbraw/zinc/58/89/30/353588930.db2.gz XDZZQLGGTUFWBX-UHFFFAOYSA-N -1 1 314.407 1.885 20 0 DDADMM COCc1cc(C(=O)NCc2n[n-]c(=S)n2C2CC2)no1 ZINC000080061626 353589358 /nfs/dbraw/zinc/58/93/58/353589358.db2.gz ZSBWHDYSJAFBAM-UHFFFAOYSA-N -1 1 309.351 1.340 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H](C)C[C@@H](C)O ZINC000081824377 353694633 /nfs/dbraw/zinc/69/46/33/353694633.db2.gz KCMNFUYGGPLBIJ-NXEZZACHSA-N -1 1 309.410 1.513 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)c1ccc(NC(C)C)nc1 ZINC000084404764 353720967 /nfs/dbraw/zinc/72/09/67/353720967.db2.gz VGGIDOVDJKZPGW-UHFFFAOYSA-N -1 1 320.422 1.894 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC[C@@H](N2CCNC2=O)C1 ZINC000139392947 354127456 /nfs/dbraw/zinc/12/74/56/354127456.db2.gz YVSINCFMODCKGA-LLVKDONJSA-N -1 1 323.780 1.675 20 0 DDADMM COCCOCC(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000565025009 304037029 /nfs/dbraw/zinc/03/70/29/304037029.db2.gz OCXVKNHCUJXESU-UHFFFAOYSA-N -1 1 311.288 1.378 20 0 DDADMM COC(=O)c1ccc(CN2CCC[C@H](c3n[n-]c(=O)o3)C2)o1 ZINC000283905302 354429210 /nfs/dbraw/zinc/42/92/10/354429210.db2.gz FUDUBIBVSBGPKA-VIFPVBQESA-N -1 1 307.306 1.122 20 0 DDADMM CCC(CC)(CNC(=O)[C@H]1Cc2ccccc2CN1)C(=O)[O-] ZINC000316810710 354506127 /nfs/dbraw/zinc/50/61/27/354506127.db2.gz FDFVDCXVVBFMTP-CQSZACIVSA-N -1 1 304.390 1.708 20 0 DDADMM CN(C)[C@H](CNC(=O)C1(C(=O)[O-])CC1)c1ccc(Cl)cc1 ZINC000565043413 304039530 /nfs/dbraw/zinc/03/95/30/304039530.db2.gz IGCPGCYEUFYNDV-GFCCVEGCSA-N -1 1 310.781 1.924 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)COC)o1 ZINC000358848037 291054304 /nfs/dbraw/zinc/05/43/04/291054304.db2.gz ALJBLIRUMVZOCY-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM COC(=O)c1[nH]c(C)cc1[N-]S(=O)(=O)CCOCC1CC1 ZINC000591591163 355331758 /nfs/dbraw/zinc/33/17/58/355331758.db2.gz YMDLPLWZOHLUQF-UHFFFAOYSA-N -1 1 316.379 1.278 20 0 DDADMM CC[C@@H](C)C[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OC ZINC000592068055 355481668 /nfs/dbraw/zinc/48/16/68/355481668.db2.gz HBHCPYDEPCYMDZ-RKDXNWHRSA-N -1 1 319.345 1.836 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)C[C@H](OC)C(C)C)c1 ZINC000592350608 355565319 /nfs/dbraw/zinc/56/53/19/355565319.db2.gz YDOCCNVLSRNMFV-ZDUSSCGKSA-N -1 1 315.391 1.886 20 0 DDADMM CC[C@@H]1CN2CCCC[C@H]2CN1C(=O)CSCC(=O)[O-] ZINC000592362722 355567505 /nfs/dbraw/zinc/56/75/05/355567505.db2.gz IJUPKNVKLRWOAZ-NEPJUHHUSA-N -1 1 300.424 1.280 20 0 DDADMM CC(C)[C@H](CN=c1ccc(C(N)=O)n[n-]1)C(=O)OC(C)(C)C ZINC000594596316 356260140 /nfs/dbraw/zinc/26/01/40/356260140.db2.gz RFHNLKVUWJQWLB-JTQLQIEISA-N -1 1 308.382 1.023 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CS[C@@H](C)C2)cc1C ZINC000595338007 356453830 /nfs/dbraw/zinc/45/38/30/356453830.db2.gz OFROOLADFPXFHX-DTWKUNHWSA-N -1 1 319.404 1.547 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C2(C)CCCC2)cc1C ZINC000595306379 356442828 /nfs/dbraw/zinc/44/28/28/356442828.db2.gz PGHQIADAMPLUTQ-UHFFFAOYSA-N -1 1 301.364 1.986 20 0 DDADMM CCOC(=O)c1csc(=NCCN2C[C@@H](C)OC[C@H]2C)[n-]1 ZINC000596434394 356879467 /nfs/dbraw/zinc/87/94/67/356879467.db2.gz XSIVFJJQJUUMJN-GHMZBOCLSA-N -1 1 313.423 1.263 20 0 DDADMM CCCOc1ccc([C@@H](C)NCc2nc(=O)n(C)[n-]2)cc1OC ZINC000347571212 283231572 /nfs/dbraw/zinc/23/15/72/283231572.db2.gz NIRGEVDXLJUUOT-LLVKDONJSA-N -1 1 320.393 1.757 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(C)=O)cc1F)c1nn[n-]n1 ZINC000347605721 283241357 /nfs/dbraw/zinc/24/13/57/283241357.db2.gz UZYAOFBSPGJHPF-LBPRGKRZSA-N -1 1 305.313 1.813 20 0 DDADMM COC(=O)C(C)(C)n1ccc(=NC(=O)c2ccc([O-])c(C)c2)[nH]1 ZINC000598258348 357510033 /nfs/dbraw/zinc/51/00/33/357510033.db2.gz LZSCHWWVCKNKCU-UHFFFAOYSA-N -1 1 317.345 1.479 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@H]1c1ccccc1Cl ZINC000565396925 304066171 /nfs/dbraw/zinc/06/61/71/304066171.db2.gz LRUUEPRTMHHESZ-UWVGGRQHSA-N -1 1 303.753 1.762 20 0 DDADMM CCOCC[N-]S(=O)(=O)c1cc(F)cc(C(=O)OC)c1F ZINC000599219332 357853948 /nfs/dbraw/zinc/85/39/48/357853948.db2.gz KHPDLUWRPDLDND-UHFFFAOYSA-N -1 1 323.317 1.066 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)[C@H]1CCCCO1 ZINC000347890370 283291716 /nfs/dbraw/zinc/29/17/16/283291716.db2.gz XECNSJFAOQMUSU-WCBMZHEXSA-N -1 1 311.407 1.900 20 0 DDADMM Cc1ccc(-c2nc(C(=O)NCc3nn[n-]n3)cs2)cc1 ZINC000599334378 357898048 /nfs/dbraw/zinc/89/80/48/357898048.db2.gz JDWVGLJMFDUCJW-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM CC(C)NC(=O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180338681 199148401 /nfs/dbraw/zinc/14/84/01/199148401.db2.gz XUITULMHARJZQY-UHFFFAOYSA-N -1 1 304.268 1.665 20 0 DDADMM COc1cc(C2(C(=O)NCc3nn[n-]n3)CCC2)ccc1C ZINC000600501434 358242136 /nfs/dbraw/zinc/24/21/36/358242136.db2.gz LOUZMYPPGRZDDM-UHFFFAOYSA-N -1 1 301.350 1.255 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])C[C@H]1C ZINC000348071601 283354107 /nfs/dbraw/zinc/35/41/07/283354107.db2.gz IPIWOBTVHUZTAR-IJLUTSLNSA-N -1 1 305.378 1.458 20 0 DDADMM CCC[C@@H]1C[C@H]1[N-]S(=O)(=O)c1ccc(C(=O)OCC)o1 ZINC000601492725 358574560 /nfs/dbraw/zinc/57/45/60/358574560.db2.gz UGLWULNWBADTIN-NXEZZACHSA-N -1 1 301.364 1.923 20 0 DDADMM COC(=O)Cc1c(C)[nH]n(-c2ccc(C(=O)[O-])cc2F)c1=O ZINC000601947096 358748444 /nfs/dbraw/zinc/74/84/44/358748444.db2.gz IYQOGQSFXAOHKN-VIFPVBQESA-N -1 1 308.265 1.426 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2CCC(C)(C)CC2)n[n-]1 ZINC000603018500 359359723 /nfs/dbraw/zinc/35/97/23/359359723.db2.gz RYGBRGZMGOVCOH-UHFFFAOYSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2CCC(C)(C)CC2)n1 ZINC000603018500 359359726 /nfs/dbraw/zinc/35/97/26/359359726.db2.gz RYGBRGZMGOVCOH-UHFFFAOYSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2ccc(CC)cc2)n[n-]1 ZINC000603018347 359360260 /nfs/dbraw/zinc/36/02/60/359360260.db2.gz QERVSUIERGTIDR-UHFFFAOYSA-N -1 1 316.361 1.403 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2ccc(CC)cc2)n1 ZINC000603018347 359360264 /nfs/dbraw/zinc/36/02/64/359360264.db2.gz QERVSUIERGTIDR-UHFFFAOYSA-N -1 1 316.361 1.403 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](C)CC(F)(F)F)n[n-]1 ZINC000603152756 359439540 /nfs/dbraw/zinc/43/95/40/359439540.db2.gz FNKSDFJMTVHWOI-RNFRBKRXSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](C)CC(F)(F)F)[n-]1 ZINC000603152756 359439543 /nfs/dbraw/zinc/43/95/43/359439543.db2.gz FNKSDFJMTVHWOI-RNFRBKRXSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](C)CC(F)(F)F)n1 ZINC000603152756 359439546 /nfs/dbraw/zinc/43/95/46/359439546.db2.gz FNKSDFJMTVHWOI-RNFRBKRXSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@H]2C(F)(F)F)n[n-]1 ZINC000603156084 359443078 /nfs/dbraw/zinc/44/30/78/359443078.db2.gz QEHGZJZQGWMLAO-RRKCRQDMSA-N -1 1 320.271 1.357 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@H]2C(F)(F)F)[n-]1 ZINC000603156084 359443080 /nfs/dbraw/zinc/44/30/80/359443080.db2.gz QEHGZJZQGWMLAO-RRKCRQDMSA-N -1 1 320.271 1.357 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@H]2C(F)(F)F)n1 ZINC000603156084 359443087 /nfs/dbraw/zinc/44/30/87/359443087.db2.gz QEHGZJZQGWMLAO-RRKCRQDMSA-N -1 1 320.271 1.357 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1C(C)(C)C1(F)F)C1CC1 ZINC000603292793 359546921 /nfs/dbraw/zinc/54/69/21/359546921.db2.gz ACXVVIZSVWQHRH-DTWKUNHWSA-N -1 1 311.350 1.149 20 0 DDADMM CS(=O)(=O)CCCCCNC(=O)c1cc(Cl)ccc1[O-] ZINC000187439967 200112526 /nfs/dbraw/zinc/11/25/26/200112526.db2.gz WOWHTGWOBBZKEF-UHFFFAOYSA-N -1 1 319.810 1.990 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc3c(c2)CCO3)ccn1 ZINC000358974551 299296711 /nfs/dbraw/zinc/29/67/11/299296711.db2.gz RLINKDVTMNCSMU-UHFFFAOYSA-N -1 1 306.343 1.826 20 0 DDADMM CC[C@@H](CSC)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000358985417 299300004 /nfs/dbraw/zinc/30/00/04/299300004.db2.gz UOAWTKKVQLBWEJ-JTQLQIEISA-N -1 1 311.407 1.117 20 0 DDADMM C[C@H](Oc1cccc(F)c1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605530990 359867983 /nfs/dbraw/zinc/86/79/83/359867983.db2.gz SLKDOFMRPBFGDK-JTQLQIEISA-N -1 1 319.340 1.692 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@]1(C)CC1(F)F ZINC000618604085 363688490 /nfs/dbraw/zinc/68/84/90/363688490.db2.gz DOMLCDVQEDTJJA-AWEZNQCLSA-N -1 1 324.331 1.756 20 0 DDADMM C[C@@]1(C2CC2)NC(=O)N(CC(=O)Nc2c([O-])cccc2F)C1=O ZINC000605436996 359857270 /nfs/dbraw/zinc/85/72/70/359857270.db2.gz NWYTZPVBZHOQRH-HNNXBMFYSA-N -1 1 321.308 1.190 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2cc(C)n[nH]2)c(F)c1 ZINC000606459162 359923838 /nfs/dbraw/zinc/92/38/38/359923838.db2.gz FAGUGHDYZFWIMK-UHFFFAOYSA-N -1 1 317.317 1.483 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2cc(C)[nH]n2)c(F)c1 ZINC000606459162 359923841 /nfs/dbraw/zinc/92/38/41/359923841.db2.gz FAGUGHDYZFWIMK-UHFFFAOYSA-N -1 1 317.317 1.483 20 0 DDADMM O=C(NCCN1CCCOCC1)c1ccc2[n-]c(=S)oc2c1 ZINC000189378240 200390085 /nfs/dbraw/zinc/39/00/85/200390085.db2.gz LGOJQMNTYZDTHY-UHFFFAOYSA-N -1 1 321.402 1.569 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1Cc2ccccc2O1 ZINC000608355995 360165177 /nfs/dbraw/zinc/16/51/77/360165177.db2.gz HEPHHLLPCQFCFD-CABCVRRESA-N -1 1 321.340 1.409 20 0 DDADMM Cc1ccc(S(N)(=O)=O)cc1C(=O)Nc1c([O-])cccc1F ZINC000608367564 360167372 /nfs/dbraw/zinc/16/73/72/360167372.db2.gz XBPOZGOUVPVWNU-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM O=S(=O)([N-]C1(c2nc[nH]n2)CCC1)c1cc(F)ccc1F ZINC000281209685 216155853 /nfs/dbraw/zinc/15/58/53/216155853.db2.gz QCECXFNICLEQMB-UHFFFAOYSA-N -1 1 314.317 1.441 20 0 DDADMM COCC[C@@](C)(O)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000611685805 360792050 /nfs/dbraw/zinc/79/20/50/360792050.db2.gz SPMXELWEOIQVHE-MRXNPFEDSA-N -1 1 304.346 1.458 20 0 DDADMM CSc1cc(C)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)o1 ZINC000612817371 361156391 /nfs/dbraw/zinc/15/63/91/361156391.db2.gz QWTWPSXNWZEAFX-SECBINFHSA-N -1 1 307.379 1.843 20 0 DDADMM C[C@H]1SCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)[C@@H]1C ZINC000132737746 291258823 /nfs/dbraw/zinc/25/88/23/291258823.db2.gz XGEZOLLRLBMAHS-RKDXNWHRSA-N -1 1 306.391 1.640 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCC(C)(C)C2)o1 ZINC000135240196 283638999 /nfs/dbraw/zinc/63/89/99/283638999.db2.gz CCPGAGZXGAPISG-SNVBAGLBSA-N -1 1 314.407 1.886 20 0 DDADMM CCOC(=O)c1cnc(C(C)=Cc2cnn(CC)c2)[n-]c1=O ZINC000193266159 201017509 /nfs/dbraw/zinc/01/75/09/201017509.db2.gz WNWQWVAOWKLDFY-UXBLZVDNSA-N -1 1 302.334 1.724 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@H](CO)CC(F)F)c(F)c1F ZINC000625153729 366748409 /nfs/dbraw/zinc/74/84/09/366748409.db2.gz HWIJVJTUNYBOSC-ZETCQYMHSA-N -1 1 315.288 1.568 20 0 DDADMM O=C(NCC1CC1)C(=O)Nc1nc(Br)ccc1[O-] ZINC000194575418 201254249 /nfs/dbraw/zinc/25/42/49/201254249.db2.gz GDYZBOUTGRCTLD-UHFFFAOYSA-N -1 1 314.139 1.014 20 0 DDADMM CCN(CC)S(=O)(=O)c1ccc([O-])c(NC(=O)C(F)F)c1 ZINC000194831010 201297944 /nfs/dbraw/zinc/29/79/44/201297944.db2.gz XLFAYELLUCLFOC-UHFFFAOYSA-N -1 1 322.333 1.626 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H](CO)CC1CC1 ZINC000619043110 363867261 /nfs/dbraw/zinc/86/72/61/363867261.db2.gz ZOVBFOAWNJDNCO-JTQLQIEISA-N -1 1 311.407 1.351 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)Cc1csc(C)n1)C(=O)OC ZINC000619175788 363924807 /nfs/dbraw/zinc/92/48/07/363924807.db2.gz MELGGEHBVJQHBV-UHFFFAOYSA-N -1 1 320.436 1.603 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nc3cccnc3s2)c[n-]1 ZINC000619777230 364147543 /nfs/dbraw/zinc/14/75/43/364147543.db2.gz DVJDUMOMAASMND-UHFFFAOYSA-N -1 1 317.330 1.843 20 0 DDADMM CN(C)C(=O)N(C)[C@@H]1CCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000619980375 364227931 /nfs/dbraw/zinc/22/79/31/364227931.db2.gz MMNLZUPFFWDFAV-SNVBAGLBSA-N -1 1 309.341 1.359 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](O)[C@H](C)c2ccccc2)c([O-])c1 ZINC000620667425 364515474 /nfs/dbraw/zinc/51/54/74/364515474.db2.gz CNCGBLDLPDDZPR-IUODEOHRSA-N -1 1 300.358 1.990 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC2CC1(C)C2 ZINC000621213477 364736507 /nfs/dbraw/zinc/73/65/07/364736507.db2.gz WUCIQWGKZUPKAY-UHFFFAOYSA-N -1 1 300.362 1.605 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@@H]1S(=O)(=O)[N-][C@H](C)C(C)(F)F ZINC000451693193 291337869 /nfs/dbraw/zinc/33/78/69/291337869.db2.gz XHYFQSAWZFTVNI-BBBLOLIVSA-N -1 1 313.366 1.681 20 0 DDADMM CO[C@@H](C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C1CCCC1 ZINC000621708894 364994813 /nfs/dbraw/zinc/99/48/13/364994813.db2.gz DFPRIVLETWCFIF-ZIAGYGMSSA-N -1 1 315.377 1.611 20 0 DDADMM C[C@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCCO1 ZINC000621709236 364994899 /nfs/dbraw/zinc/99/48/99/364994899.db2.gz PCHXBWSWWXGNSP-OSAQELSMSA-N -1 1 315.377 1.611 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CC(C(=O)[O-])C1)c1ccc(F)cc1 ZINC000621829391 365045959 /nfs/dbraw/zinc/04/59/59/365045959.db2.gz UVSWKHXWTBHONR-CYBMUJFWSA-N -1 1 309.341 1.154 20 0 DDADMM COC[C@]1(CO)CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000622665785 365476257 /nfs/dbraw/zinc/47/62/57/365476257.db2.gz XVAUPLXMCRQUMA-CQSZACIVSA-N -1 1 301.289 1.141 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1n[nH]c2c1CCCC2 ZINC000622610442 365451064 /nfs/dbraw/zinc/45/10/64/365451064.db2.gz VKGJKEVRCRDDRI-ZDUSSCGKSA-N -1 1 323.360 1.321 20 0 DDADMM O=S(=O)([N-][C@@H](CCc1ccccc1)C(F)F)[C@H]1CCOC1 ZINC000625423025 366915665 /nfs/dbraw/zinc/91/56/65/366915665.db2.gz KYALIYVHKBDUCI-STQMWFEESA-N -1 1 319.373 1.961 20 0 DDADMM CN1CCOC[C@H]1C[N-]S(=O)(=O)c1sccc1Cl ZINC000625516825 366981414 /nfs/dbraw/zinc/98/14/14/366981414.db2.gz ZYGKEYRGNDPZLL-MRVPVSSYSA-N -1 1 310.828 1.010 20 0 DDADMM CN1CCCN(C(=O)CCc2nn[n-]n2)c2cc(F)ccc21 ZINC000631443721 422768761 /nfs/dbraw/zinc/76/87/61/422768761.db2.gz RQEWOLMLTVOBTK-UHFFFAOYSA-N -1 1 304.329 1.145 20 0 DDADMM CC[C@@H](Cc1ccccc1)NS(=O)(=O)c1c(C)o[n-]c1=N ZINC000349694589 284018813 /nfs/dbraw/zinc/01/88/13/284018813.db2.gz QFNRCYITRMMOAV-LBPRGKRZSA-N -1 1 309.391 1.695 20 0 DDADMM CC[C@@H](Cc1ccccc1)[N-]S(=O)(=O)c1c(C)onc1N ZINC000349694589 284018820 /nfs/dbraw/zinc/01/88/20/284018820.db2.gz QFNRCYITRMMOAV-LBPRGKRZSA-N -1 1 309.391 1.695 20 0 DDADMM CCc1nc(CNc2cc(C(C)(C)C)nc(C(=O)[O-])n2)n[nH]1 ZINC000566297416 304141147 /nfs/dbraw/zinc/14/11/47/304141147.db2.gz QGCGJIFBRIQBSQ-UHFFFAOYSA-N -1 1 304.354 1.187 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCC1C[C@@H](C)O[C@H](C)C1 ZINC000349880010 284091930 /nfs/dbraw/zinc/09/19/30/284091930.db2.gz UPXPAZBSMJSLBK-RKDXNWHRSA-N -1 1 317.411 1.268 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCC1C[C@@H](C)O[C@H](C)C1 ZINC000349880010 284091932 /nfs/dbraw/zinc/09/19/32/284091932.db2.gz UPXPAZBSMJSLBK-RKDXNWHRSA-N -1 1 317.411 1.268 20 0 DDADMM C[C@H](C(=O)Nc1nccs1)N1C[C@@H]2CCC[C@@]2(C(=O)[O-])C1 ZINC000262119859 203204065 /nfs/dbraw/zinc/20/40/65/203204065.db2.gz JMPLHTKBIDMNCN-ISTVAULSSA-N -1 1 309.391 1.657 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]2CCCC[C@H]2N1CC(=O)Nc1nncs1 ZINC000248573515 304149960 /nfs/dbraw/zinc/14/99/60/304149960.db2.gz TVJPMMIKMCEMEH-BBBLOLIVSA-N -1 1 310.379 1.194 20 0 DDADMM CC[C@H](C(=O)[O-])N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000262413768 203287744 /nfs/dbraw/zinc/28/77/44/203287744.db2.gz PPEBNGCISSXLKE-GFCCVEGCSA-N -1 1 320.345 1.036 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)N(C)C(=O)c1cc(F)ccc1[O-] ZINC000094137173 193346078 /nfs/dbraw/zinc/34/60/78/193346078.db2.gz DISZXQJWIFPHQZ-SECBINFHSA-N -1 1 303.355 1.427 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2ccccc2C)o1 ZINC000264598111 204018117 /nfs/dbraw/zinc/01/81/17/204018117.db2.gz MXJSOSZWQODNQZ-UHFFFAOYSA-N -1 1 323.370 1.896 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CS(=O)(=O)CC ZINC000153819852 284262043 /nfs/dbraw/zinc/26/20/43/284262043.db2.gz OOKBYMQQBZWYNB-SNVBAGLBSA-N -1 1 300.380 1.073 20 0 DDADMM CCCc1nc(=NC(=O)N2C[C@H](C)[N@@H+](CC)C[C@@H]2C)s[n-]1 ZINC000350862779 284271798 /nfs/dbraw/zinc/27/17/98/284271798.db2.gz GSAIBFSXWQUNDD-QWRGUYRKSA-N -1 1 311.455 1.859 20 0 DDADMM CCCc1nc(=NC(=O)N2C[C@H](C)N(CC)C[C@@H]2C)s[n-]1 ZINC000350862779 284271801 /nfs/dbraw/zinc/27/18/01/284271801.db2.gz GSAIBFSXWQUNDD-QWRGUYRKSA-N -1 1 311.455 1.859 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC[C@H]1CN1CCOCC1 ZINC000091980779 296181338 /nfs/dbraw/zinc/18/13/38/296181338.db2.gz BRMHAXJPFCHYII-ZDUSSCGKSA-N -1 1 308.353 1.468 20 0 DDADMM COc1cc(C(=O)Nc2nnn[n-]2)cc(OC)c1OC(F)F ZINC000266277803 205232988 /nfs/dbraw/zinc/23/29/88/205232988.db2.gz DAIGCZORCIWLLR-UHFFFAOYSA-N -1 1 315.236 1.071 20 0 DDADMM COc1cc(C(=O)Nc2nn[n-]n2)cc(OC)c1OC(F)F ZINC000266277803 205232990 /nfs/dbraw/zinc/23/29/90/205232990.db2.gz DAIGCZORCIWLLR-UHFFFAOYSA-N -1 1 315.236 1.071 20 0 DDADMM COc1cccc(CC(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282955668 217350500 /nfs/dbraw/zinc/35/05/00/217350500.db2.gz QXKGXLOGFNIRIY-LBPRGKRZSA-N -1 1 316.361 1.055 20 0 DDADMM C[C@H](CNC(=O)OC(C)(C)C)N(C)C(=O)c1cncc([O-])c1 ZINC000267560622 206146133 /nfs/dbraw/zinc/14/61/33/206146133.db2.gz GNRRTAUXIAFNAJ-SNVBAGLBSA-N -1 1 309.366 1.772 20 0 DDADMM CC(C)(C)c1nc(=NC(=O)N2CC[C@@H](c3nc[nH]n3)C2)s[n-]1 ZINC000333760751 249096104 /nfs/dbraw/zinc/09/61/04/249096104.db2.gz PENBEHMADVFNKN-MRVPVSSYSA-N -1 1 321.410 1.397 20 0 DDADMM Cc1ccc([N-]S(C)(=O)=O)c(C(=O)Nc2ccnn2C)c1 ZINC000102346960 193877515 /nfs/dbraw/zinc/87/75/15/193877515.db2.gz CHEKTZIGMPIMPK-UHFFFAOYSA-N -1 1 308.363 1.352 20 0 DDADMM CNC(=O)[C@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000044491008 183413523 /nfs/dbraw/zinc/41/35/23/183413523.db2.gz MOEFHMIZMPNQFA-LURJTMIESA-N -1 1 301.140 1.019 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1noc2ccccc21)C1CC1 ZINC000274036844 211295835 /nfs/dbraw/zinc/29/58/35/211295835.db2.gz XJYKIGHSSOMJIQ-CYBMUJFWSA-N -1 1 324.358 1.199 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C2Cc3ccccc3C2)o1 ZINC000273996426 211253143 /nfs/dbraw/zinc/25/31/43/211253143.db2.gz YJXWGWWAZUTWAG-UHFFFAOYSA-N -1 1 321.354 1.512 20 0 DDADMM C[C@@H]1CCNC(=O)[C@@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284791518 218179859 /nfs/dbraw/zinc/17/98/59/218179859.db2.gz XXEADMIWBFQQJO-RDDDGLTNSA-N -1 1 320.773 1.282 20 0 DDADMM O=c1cc(CN2CC[C@H](CO)C2)c2cc(Cl)c([O-])cc2o1 ZINC000284824262 218192665 /nfs/dbraw/zinc/19/26/65/218192665.db2.gz AUGGYTVFCYPVNO-VIFPVBQESA-N -1 1 309.749 1.966 20 0 DDADMM NC(=O)[C@H]1CCC[C@H]([N-]S(=O)(=O)c2sccc2F)C1 ZINC000338898968 250187574 /nfs/dbraw/zinc/18/75/74/250187574.db2.gz JFAICDCGYFTEAI-YUMQZZPRSA-N -1 1 306.384 1.210 20 0 DDADMM CC[C@@]([N-]S(=O)(=O)c1sccc1F)(C(N)=O)C(C)C ZINC000338950370 250215049 /nfs/dbraw/zinc/21/50/49/250215049.db2.gz WQGCCIHTMODFJO-NSHDSACASA-N -1 1 308.400 1.456 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2sccc2F)CN1C1CC1 ZINC000338930225 250202114 /nfs/dbraw/zinc/20/21/14/250202114.db2.gz UERSIECZVMNMLO-RKDXNWHRSA-N -1 1 304.412 1.791 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@H]1C[C@@H]1c1ccccc1 ZINC000339045581 250267754 /nfs/dbraw/zinc/26/77/54/250267754.db2.gz WJGMPRZFOGZVBR-NWDGAFQWSA-N -1 1 307.375 1.478 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1C[C@@H]1c1ccccc1 ZINC000339045581 250267758 /nfs/dbraw/zinc/26/77/58/250267758.db2.gz WJGMPRZFOGZVBR-NWDGAFQWSA-N -1 1 307.375 1.478 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(OC)c(OC)c2)n1 ZINC000339167397 250323754 /nfs/dbraw/zinc/32/37/54/250323754.db2.gz QHVQNIDSLUEDAR-UHFFFAOYSA-N -1 1 319.317 1.856 20 0 DDADMM CN(C)c1ccnc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000339193096 250337820 /nfs/dbraw/zinc/33/78/20/250337820.db2.gz QOWSVZZXSKAVSZ-UHFFFAOYSA-N -1 1 317.349 1.256 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)no1 ZINC000339201177 250339469 /nfs/dbraw/zinc/33/94/69/250339469.db2.gz PQQUIWAMPAFBPS-SECBINFHSA-N -1 1 306.322 1.906 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3cccs3)no2)o1 ZINC000109460255 194266085 /nfs/dbraw/zinc/26/60/85/194266085.db2.gz BMMIGUUFLRRHKO-UHFFFAOYSA-N -1 1 311.344 1.966 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)c3ccc(F)c(F)c3)ccnc1-2 ZINC000340373100 251034431 /nfs/dbraw/zinc/03/44/31/251034431.db2.gz UEHWGZQBXSOEHX-UHFFFAOYSA-N -1 1 324.312 1.421 20 0 DDADMM Cn1cnc(C[N-]S(=O)(=O)c2cc(Cl)ccc2F)n1 ZINC000340938280 251289841 /nfs/dbraw/zinc/28/98/41/251289841.db2.gz WAGLDDBXCOPHGR-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1cc(C(C)(C)C)on1)C(=O)OC ZINC000340903033 251265606 /nfs/dbraw/zinc/26/56/06/251265606.db2.gz IATKJYVKWSDTOI-JTQLQIEISA-N -1 1 318.395 1.343 20 0 DDADMM CCOCc1cccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000352413608 285034907 /nfs/dbraw/zinc/03/49/07/285034907.db2.gz AWTBAWUMWSCCHL-UHFFFAOYSA-N -1 1 313.317 1.206 20 0 DDADMM C[C@@H](O)C[C@@H](C)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000269769355 207841961 /nfs/dbraw/zinc/84/19/61/207841961.db2.gz MAMTZFUDRVFFCF-HTQZYQBOSA-N -1 1 311.325 1.789 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)Cc2cccs2)co1 ZINC000270106231 208165041 /nfs/dbraw/zinc/16/50/41/208165041.db2.gz IBKZCUKMNRQLDK-UHFFFAOYSA-N -1 1 314.388 1.521 20 0 DDADMM CC(C)NC(=O)C[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000270155894 208216085 /nfs/dbraw/zinc/21/60/85/208216085.db2.gz SOENZPLQQIBYLK-UHFFFAOYSA-N -1 1 306.259 1.697 20 0 DDADMM CCOC(=O)c1cnc([N-]S(=O)(=O)CCCCF)n1C ZINC000288604563 220283031 /nfs/dbraw/zinc/28/30/31/220283031.db2.gz OJWYZPIUTCDKRX-UHFFFAOYSA-N -1 1 307.347 1.088 20 0 DDADMM COc1cncc(S(=O)(=O)[N-][C@@H](C2CC2)C(F)(F)F)c1 ZINC000288683280 220346756 /nfs/dbraw/zinc/34/67/56/220346756.db2.gz UQMKKYNBLIJJBG-JTQLQIEISA-N -1 1 310.297 1.709 20 0 DDADMM Cc1ccnc(C(=O)N=c2nc(Cc3cccc(F)c3)[nH][n-]2)n1 ZINC000352601788 285167668 /nfs/dbraw/zinc/16/76/68/285167668.db2.gz KSRDDBLXJBUFIW-UHFFFAOYSA-N -1 1 312.308 1.307 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)N2CC[C@@H](N3CCCC3)C2)c1 ZINC000170812008 304265083 /nfs/dbraw/zinc/26/50/83/304265083.db2.gz ZIQKPGRDBYBADT-CYBMUJFWSA-N -1 1 324.402 1.244 20 0 DDADMM CS(=O)(=O)CC1(CNC(=O)c2cc(F)ccc2[O-])CCC1 ZINC000412886392 533328841 /nfs/dbraw/zinc/32/88/41/533328841.db2.gz YFKRMVAUDONMBP-UHFFFAOYSA-N -1 1 315.366 1.476 20 0 DDADMM COC(=O)C[C@@H]1CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295608803 533629924 /nfs/dbraw/zinc/62/99/24/533629924.db2.gz YPNCJGIKTDYZPZ-JTQLQIEISA-N -1 1 320.374 1.186 20 0 DDADMM CC(=O)NCCN(C)c1ccc(=N[C@H](C)c2ccccc2)[n-]n1 ZINC000568860609 304316553 /nfs/dbraw/zinc/31/65/53/304316553.db2.gz CAGYLMZITNXNAO-CYBMUJFWSA-N -1 1 313.405 1.644 20 0 DDADMM CC[C@@H](Cc1ccc(C)cc1)N(C)C(=O)CCc1nn[n-]n1 ZINC000631535177 422817847 /nfs/dbraw/zinc/81/78/47/422817847.db2.gz SIUSIGNCTYVZDO-AWEZNQCLSA-N -1 1 301.394 1.920 20 0 DDADMM O=C(CN1CCC(F)(c2ccccc2)CC1)Nc1nnn[n-]1 ZINC000568967982 304325230 /nfs/dbraw/zinc/32/52/30/304325230.db2.gz TZSYLNLUWMQJDA-UHFFFAOYSA-N -1 1 304.329 1.099 20 0 DDADMM O=C(CN1CCC(F)(c2ccccc2)CC1)Nc1nn[n-]n1 ZINC000568967982 304325232 /nfs/dbraw/zinc/32/52/32/304325232.db2.gz TZSYLNLUWMQJDA-UHFFFAOYSA-N -1 1 304.329 1.099 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H](O)[C@@H](C)C3)cnc2n1 ZINC000189459976 292305053 /nfs/dbraw/zinc/30/50/53/292305053.db2.gz IUXSNFRVHYFQAC-TVQRCGJNSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](O)[C@@H](C)C3)c[n-]c2n1 ZINC000189459976 292305055 /nfs/dbraw/zinc/30/50/55/292305055.db2.gz IUXSNFRVHYFQAC-TVQRCGJNSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C[C@H](C)C(C)C ZINC000114411719 407569644 /nfs/dbraw/zinc/56/96/44/407569644.db2.gz UHJKRVJLHFYHGM-JTQLQIEISA-N -1 1 304.394 1.938 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](CO)C(C)(C)C)o1 ZINC000359985133 306969389 /nfs/dbraw/zinc/96/93/89/306969389.db2.gz DQERQNMCSRPFMU-SNVBAGLBSA-N -1 1 319.379 1.142 20 0 DDADMM CC(C)C[C@@H](NC(=O)[C@@H](N)Cc1c[nH]c2ccccc12)C(=O)[O-] ZINC000001865985 406682211 /nfs/dbraw/zinc/68/22/11/406682211.db2.gz LYMVXFSTACVOLP-DZGCQCFKSA-N -1 1 317.389 1.653 20 0 endogenous,in-man DDADMM CN(C)C(=O)C[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC000008516522 406790648 /nfs/dbraw/zinc/79/06/48/406790648.db2.gz BJZLVPXIOFPUCZ-UHFFFAOYSA-N -1 1 311.190 1.360 20 0 DDADMM CN(C(=O)c1ccc(Cl)cc1[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000035180461 406968834 /nfs/dbraw/zinc/96/88/34/406968834.db2.gz GAPVRMXMAFGBEL-SECBINFHSA-N -1 1 303.767 1.305 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(F)cc1Br ZINC000044929468 407034388 /nfs/dbraw/zinc/03/43/88/407034388.db2.gz BSCSPHOHCMQHRW-UHFFFAOYSA-N -1 1 300.091 1.031 20 0 DDADMM O=S(=O)(Cc1ncc(-c2cccc(F)c2)o1)c1ncn[n-]1 ZINC000086169825 407108445 /nfs/dbraw/zinc/10/84/45/407108445.db2.gz JDKSBOAKFWSVLX-UHFFFAOYSA-N -1 1 308.294 1.573 20 0 DDADMM O=S(=O)(Cc1ncc(-c2cccc(F)c2)o1)c1nc[n-]n1 ZINC000086169825 407108448 /nfs/dbraw/zinc/10/84/48/407108448.db2.gz JDKSBOAKFWSVLX-UHFFFAOYSA-N -1 1 308.294 1.573 20 0 DDADMM O=S(=O)(Cc1ccc(F)c(Br)c1)c1ncn[n-]1 ZINC000086169845 407108600 /nfs/dbraw/zinc/10/86/00/407108600.db2.gz XIKOZYUJYALVID-UHFFFAOYSA-N -1 1 320.143 1.680 20 0 DDADMM O=S(=O)(Cc1ccc(F)c(Br)c1)c1nc[n-]n1 ZINC000086169845 407108603 /nfs/dbraw/zinc/10/86/03/407108603.db2.gz XIKOZYUJYALVID-UHFFFAOYSA-N -1 1 320.143 1.680 20 0 DDADMM CC(C)(C)c1ccc(NC(=O)CS(=O)(=O)c2ncn[n-]2)cc1 ZINC000086169693 407108627 /nfs/dbraw/zinc/10/86/27/407108627.db2.gz YGKKHUMJBXDFRF-UHFFFAOYSA-N -1 1 322.390 1.515 20 0 DDADMM CC(C)(C)c1ccc(NC(=O)CS(=O)(=O)c2nc[n-]n2)cc1 ZINC000086169693 407108630 /nfs/dbraw/zinc/10/86/30/407108630.db2.gz YGKKHUMJBXDFRF-UHFFFAOYSA-N -1 1 322.390 1.515 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N(C)CC(F)F ZINC000103436202 407339185 /nfs/dbraw/zinc/33/91/85/407339185.db2.gz QYVSMPBQFZSLKM-UHFFFAOYSA-N -1 1 312.320 1.708 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H](C)c2ccncc2)co1 ZINC000123928578 407342820 /nfs/dbraw/zinc/34/28/20/407342820.db2.gz RPARHYMCRFUFID-JTQLQIEISA-N -1 1 323.374 1.416 20 0 DDADMM O=S(=O)([N-]C[C@@]1(O)CCSC1)c1cc(F)ccc1F ZINC000124513228 407361098 /nfs/dbraw/zinc/36/10/98/407361098.db2.gz XMSBXHOGTGQBBR-NSHDSACASA-N -1 1 309.359 1.111 20 0 DDADMM CN(C)CCN(C)S(=O)(=O)c1cc(C(=O)[O-])ccc1Cl ZINC000107062587 407369442 /nfs/dbraw/zinc/36/94/42/407369442.db2.gz OFBHVRCQPYSFFY-UHFFFAOYSA-N -1 1 320.798 1.220 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@]2(C)CCCS2)c1Cl ZINC000126061704 407404431 /nfs/dbraw/zinc/40/44/31/407404431.db2.gz PEMPVJXBGQBSQS-JTQLQIEISA-N -1 1 309.844 1.638 20 0 DDADMM O=C(Nc1nccs1)[C@H]1CCCN1C(=O)c1cncc([O-])c1 ZINC000111610260 407412269 /nfs/dbraw/zinc/41/22/69/407412269.db2.gz BYRGDEDREDBNGJ-LLVKDONJSA-N -1 1 318.358 1.487 20 0 DDADMM CN1CC[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1=O ZINC000128166232 407503619 /nfs/dbraw/zinc/50/36/19/407503619.db2.gz NFQILYRIRQAELV-SECBINFHSA-N -1 1 302.252 1.372 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)CCCOC(C)C)o1 ZINC000265901535 407503789 /nfs/dbraw/zinc/50/37/89/407503789.db2.gz VFJNBEGVSVUPBS-UHFFFAOYSA-N -1 1 318.395 1.075 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCC[C@@H]2CCCCO2)c1Cl ZINC000186168724 407591729 /nfs/dbraw/zinc/59/17/29/407591729.db2.gz INQVZQVODOEORI-JTQLQIEISA-N -1 1 321.830 1.701 20 0 DDADMM NC(=O)NC[C@H]1CCCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000271223910 407585927 /nfs/dbraw/zinc/58/59/27/407585927.db2.gz GSGDBORCLAOYDN-SECBINFHSA-N -1 1 311.769 1.566 20 0 DDADMM C[C@@]1(C(N)=O)CCCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000185949759 407547949 /nfs/dbraw/zinc/54/79/49/407547949.db2.gz PPNOXEYRXGSZQE-KRWDZBQOSA-N -1 1 316.357 1.729 20 0 DDADMM O=C(c1cc(F)c(F)c(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129260623 407597827 /nfs/dbraw/zinc/59/78/27/407597827.db2.gz MAORFFDANIARJX-SSDOTTSWSA-N -1 1 311.267 1.637 20 0 DDADMM CCC[C@@H](NC(=O)CCC(=O)c1cccc(F)c1)c1nn[n-]n1 ZINC000271264102 407604024 /nfs/dbraw/zinc/60/40/24/407604024.db2.gz VIEDVCMWFCXGIW-GFCCVEGCSA-N -1 1 319.340 1.959 20 0 DDADMM CC(C)N1CC[C@@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1=O ZINC000114743366 407609362 /nfs/dbraw/zinc/60/93/62/407609362.db2.gz AJWKHQCTSVBIIE-SNVBAGLBSA-N -1 1 318.345 1.252 20 0 DDADMM COc1ccc2nc(C3CC3)cc(C(=O)Nc3nnn[n-]3)c2c1 ZINC000152445456 407636685 /nfs/dbraw/zinc/63/66/85/407636685.db2.gz WZWTYBQMHFTUBA-UHFFFAOYSA-N -1 1 310.317 1.886 20 0 DDADMM COc1ccc2nc(C3CC3)cc(C(=O)Nc3nn[n-]n3)c2c1 ZINC000152445456 407636689 /nfs/dbraw/zinc/63/66/89/407636689.db2.gz WZWTYBQMHFTUBA-UHFFFAOYSA-N -1 1 310.317 1.886 20 0 DDADMM C[C@@H](NC(=O)c1cncc([O-])c1)c1nnnn1-c1ccccc1 ZINC000115727011 407703819 /nfs/dbraw/zinc/70/38/19/407703819.db2.gz BGJHRRQUCCHIHF-SNVBAGLBSA-N -1 1 310.317 1.254 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)C1CC(=O)C1 ZINC000271528009 407716785 /nfs/dbraw/zinc/71/67/85/407716785.db2.gz RPOCKAIIYSHFMF-UHFFFAOYSA-N -1 1 300.311 1.115 20 0 DDADMM O=C(N[C@@H]1CCN(c2ncccc2F)C1)c1ncccc1[O-] ZINC000153307478 407797964 /nfs/dbraw/zinc/79/79/64/407797964.db2.gz OUBJFCYNBWGTLF-SNVBAGLBSA-N -1 1 302.309 1.330 20 0 DDADMM C[C@H](C[NH+]1CCCCC1)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179707993 407825961 /nfs/dbraw/zinc/82/59/61/407825961.db2.gz CLQZYJQDYZJUNG-LLVKDONJSA-N -1 1 317.393 1.278 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2c(Cl)ccnc2Cl)COC1 ZINC000272234363 407827433 /nfs/dbraw/zinc/82/74/33/407827433.db2.gz PUZOIFMTQJFNRO-UHFFFAOYSA-N -1 1 311.190 1.703 20 0 DDADMM C[C@@H](c1ccc(F)c(F)c1)N(C)C(=O)CN(C)CCC(=O)[O-] ZINC000262608993 407880447 /nfs/dbraw/zinc/88/04/47/407880447.db2.gz BKVJILMKGLDHIP-JTQLQIEISA-N -1 1 314.332 1.891 20 0 DDADMM Cc1c(C(=O)Nc2ccncc2[O-])cnn1C1CCOCC1 ZINC000153523593 407846302 /nfs/dbraw/zinc/84/63/02/407846302.db2.gz SWIHBCLGZPSVOB-UHFFFAOYSA-N -1 1 302.334 1.896 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(=O)c2ccccc2[nH]1)c1nn[n-]n1 ZINC000268254074 407972287 /nfs/dbraw/zinc/97/22/87/407972287.db2.gz XMABXMSAAXLJGJ-LLVKDONJSA-N -1 1 312.333 1.725 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCC[C@@H]1CO ZINC000153871548 407919829 /nfs/dbraw/zinc/91/98/29/407919829.db2.gz REPYOPBIRWGDPR-SECBINFHSA-N -1 1 300.152 1.752 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cccc3[nH]cnc32)o1 ZINC000118726521 407939127 /nfs/dbraw/zinc/93/91/27/407939127.db2.gz GSNHEYPPNMOPNA-UHFFFAOYSA-N -1 1 320.330 1.316 20 0 DDADMM C[C@H](CC(N)=O)NC(=O)c1ccc(Br)cc1[O-] ZINC000135387052 408012129 /nfs/dbraw/zinc/01/21/29/408012129.db2.gz AINPHNFCFOFBIX-ZCFIWIBFSA-N -1 1 301.140 1.148 20 0 DDADMM C[C@H]1Cc2ccccc2N1Cc1ccoc1C(=O)Nc1nn[n-]n1 ZINC000135472164 408019120 /nfs/dbraw/zinc/01/91/20/408019120.db2.gz YSTHKGNQLZPXSD-JTQLQIEISA-N -1 1 324.344 1.996 20 0 DDADMM CCC(CC)(CO)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119426152 408065094 /nfs/dbraw/zinc/06/50/94/408065094.db2.gz MQVDTDFPVCZSOI-UHFFFAOYSA-N -1 1 306.366 1.202 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)NC2CCC(C(=O)[O-])CC2)n[nH]1 ZINC000263365053 408119232 /nfs/dbraw/zinc/11/92/32/408119232.db2.gz LIEDGYZVJXMKRW-UHFFFAOYSA-N -1 1 323.397 1.545 20 0 DDADMM CC[C@@H]1C(=O)NCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000182327622 408128531 /nfs/dbraw/zinc/12/85/31/408128531.db2.gz JIJSIKPYSSODBG-CYBMUJFWSA-N -1 1 302.330 1.209 20 0 DDADMM CCO[C@@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000155512588 408174792 /nfs/dbraw/zinc/17/47/92/408174792.db2.gz VIAJWOSZXQHQNV-UONOGXRCSA-N -1 1 315.377 1.684 20 0 DDADMM COc1cccc(OC)c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155574464 408183102 /nfs/dbraw/zinc/18/31/02/408183102.db2.gz XJJBHCBCNCWDJL-JTQLQIEISA-N -1 1 317.349 1.237 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCOc2ccccc21)c1nn[n-]n1 ZINC000273410261 408188258 /nfs/dbraw/zinc/18/82/58/408188258.db2.gz CMCJFULIHAFKND-PWSUYJOCSA-N -1 1 301.350 1.328 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](CCO)C(C)C)cnc2n1 ZINC000151062676 408250148 /nfs/dbraw/zinc/25/01/48/408250148.db2.gz VLMMWYCUIKXXRL-CYBMUJFWSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](CCO)C(C)C)c2=O ZINC000151062676 408250153 /nfs/dbraw/zinc/25/01/53/408250153.db2.gz VLMMWYCUIKXXRL-CYBMUJFWSA-N -1 1 303.362 1.781 20 0 DDADMM CCC[C@](C)([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC ZINC000263681761 408203726 /nfs/dbraw/zinc/20/37/26/408203726.db2.gz JHOVDIMURIDLQJ-ZDUSSCGKSA-N -1 1 321.345 1.975 20 0 DDADMM Cc1cc(Cl)cc(NC(=O)[C@@H](C)N2CC[NH+](C)CC2)c1O ZINC000175838062 408219571 /nfs/dbraw/zinc/21/95/71/408219571.db2.gz RGPRZZFRAULQGW-LLVKDONJSA-N -1 1 311.813 1.928 20 0 DDADMM COc1cc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)ccc1C ZINC000273501592 408225972 /nfs/dbraw/zinc/22/59/72/408225972.db2.gz MHGNAIYCXNLIHV-NSHDSACASA-N -1 1 303.366 1.321 20 0 DDADMM C[C@@H](CO[C@@H]1CCOC1)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000150926707 408226737 /nfs/dbraw/zinc/22/67/37/408226737.db2.gz FUFBPWXARGIFHQ-VHSXEESVSA-N -1 1 321.345 1.437 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCc3ccccc32)co1 ZINC000157821633 408316597 /nfs/dbraw/zinc/31/65/97/408316597.db2.gz ABWLAXWGSBVIFS-UHFFFAOYSA-N -1 1 306.343 1.391 20 0 DDADMM CCOCCCCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000176061921 408277105 /nfs/dbraw/zinc/27/71/05/408277105.db2.gz GCSIOQOEZKSOEA-UHFFFAOYSA-N -1 1 309.410 1.922 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCCc2c(O)cccc21)c1nn[n-]n1 ZINC000190597951 408288032 /nfs/dbraw/zinc/28/80/32/408288032.db2.gz WFKOUGTUMRIBHY-QWHCGFSZSA-N -1 1 315.377 1.983 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2ccc([O-])cc2F)[C@]12CCCO2 ZINC000274254511 408342728 /nfs/dbraw/zinc/34/27/28/408342728.db2.gz UAOCSLJCCXLLDT-SQWLQELKSA-N -1 1 309.337 1.988 20 0 DDADMM C[C@H](C[S@](C)=O)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000183208729 408355569 /nfs/dbraw/zinc/35/55/69/408355569.db2.gz WTKJKZQMIVSIND-DMWPKQFHSA-N -1 1 315.338 1.149 20 0 DDADMM O=S(=O)([N-]CC1(CO)CCC1)c1cc(F)c(F)cc1F ZINC000183302581 408375551 /nfs/dbraw/zinc/37/55/51/408375551.db2.gz LJFQNXJHCSIKJM-UHFFFAOYSA-N -1 1 309.309 1.545 20 0 DDADMM CC(C)COC[C@H](O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000159243636 408393607 /nfs/dbraw/zinc/39/36/07/408393607.db2.gz ZBXJICLITXGJQD-LLVKDONJSA-N -1 1 323.361 1.277 20 0 DDADMM C[C@H](CN(C)C(=O)CCn1cnc2ccccc21)c1nn[n-]n1 ZINC000183396750 408397557 /nfs/dbraw/zinc/39/75/57/408397557.db2.gz FFHUJDDLFSKONX-LLVKDONJSA-N -1 1 313.365 1.202 20 0 DDADMM Cc1ccc(OCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1C ZINC000183447091 408412993 /nfs/dbraw/zinc/41/29/93/408412993.db2.gz LZZSNHGZARTBPJ-GFCCVEGCSA-N -1 1 303.366 1.457 20 0 DDADMM CC[C@H](C(=O)[O-])N1CCN(C(=O)c2ccc(C)c(F)c2)CC1 ZINC000191403059 408420474 /nfs/dbraw/zinc/42/04/74/408420474.db2.gz NTSRVNAKCBQXQT-CQSZACIVSA-N -1 1 308.353 1.755 20 0 DDADMM CC[C@@H](C(=O)[O-])N1CCN(C(=O)c2ccc(C)c(F)c2)CC1 ZINC000191403046 408420791 /nfs/dbraw/zinc/42/07/91/408420791.db2.gz NTSRVNAKCBQXQT-AWEZNQCLSA-N -1 1 308.353 1.755 20 0 DDADMM CC[C@@H](C(=O)[O-])N1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000191416799 408422262 /nfs/dbraw/zinc/42/22/62/408422262.db2.gz ACMMOYQHUSRORW-ZDUSSCGKSA-N -1 1 312.316 1.586 20 0 DDADMM CCC[C@@H](NC(=O)Cc1noc2ccccc12)c1nn[n-]n1 ZINC000176755665 408435679 /nfs/dbraw/zinc/43/56/79/408435679.db2.gz SVTKADDVYIKQDN-SNVBAGLBSA-N -1 1 300.322 1.541 20 0 DDADMM CCOc1cc(C(=O)NCCCn2ccnn2)cc(Cl)c1[O-] ZINC000183557040 408440681 /nfs/dbraw/zinc/44/06/81/408440681.db2.gz NPZXLBQJMBUQJR-UHFFFAOYSA-N -1 1 324.768 1.856 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccncc1C(=O)Nc1nn[n-]n1 ZINC000275633634 408627830 /nfs/dbraw/zinc/62/78/30/408627830.db2.gz VZHHRXNSCIWKCE-UHFFFAOYSA-N -1 1 305.298 1.194 20 0 DDADMM O=c1nc([C@@H]2CCCN(c3ncnc4cccc(F)c43)C2)[nH][n-]1 ZINC000285617929 408829227 /nfs/dbraw/zinc/82/92/27/408829227.db2.gz MQARZYCVLLLZQZ-SECBINFHSA-N -1 1 314.324 1.564 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc2ccccc2c(=O)o1)c1nn[n-]n1 ZINC000280791600 408835643 /nfs/dbraw/zinc/83/56/43/408835643.db2.gz LCCKLZYHFLDBDA-SECBINFHSA-N -1 1 313.317 1.182 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C[N-]S(=O)(=O)c1c(C)onc1N ZINC000290806121 408835970 /nfs/dbraw/zinc/83/59/70/408835970.db2.gz RWGGEOPJKPZQBB-LURJTMIESA-N -1 1 314.367 1.252 20 0 DDADMM Cc1ccc(C[C@H](C)[N-]S(=O)(=O)c2c(C)onc2N)s1 ZINC000290822295 408838823 /nfs/dbraw/zinc/83/88/23/408838823.db2.gz TXUJOXSDDCWQNO-ZETCQYMHSA-N -1 1 315.420 1.845 20 0 DDADMM O=C(N[C@H](CO)C[C@H](O)c1ccccc1)c1cncc([O-])c1 ZINC000285819302 408870701 /nfs/dbraw/zinc/87/07/01/408870701.db2.gz ZFDYWBTYVJTADH-ZFWWWQNUSA-N -1 1 302.330 1.002 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Cl)c(F)c1Cl)C(N)=O ZINC000188164816 163042972 /nfs/dbraw/zinc/04/29/72/163042972.db2.gz MNTQWWZCRYMREX-BYPYZUCNSA-N -1 1 315.153 1.285 20 0 DDADMM CCN(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000188449232 163053076 /nfs/dbraw/zinc/05/30/76/163053076.db2.gz CHOWKBSWOGNIFW-QMMMGPOBSA-N -1 1 306.334 1.110 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCCN2C(=O)CNC2=O)n1 ZINC000277778994 409010623 /nfs/dbraw/zinc/01/06/23/409010623.db2.gz UDMFHGZHSSJJKM-UHFFFAOYSA-N -1 1 310.379 1.169 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cnc(-c2ccccc2)nc1 ZINC000282282965 409025765 /nfs/dbraw/zinc/02/57/65/409025765.db2.gz VDENHYAKEFZGIS-NSHDSACASA-N -1 1 307.375 1.920 20 0 DDADMM CCN(Cc1ccncc1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287518516 409048469 /nfs/dbraw/zinc/04/84/69/409048469.db2.gz IARXBQFUAQFTGI-ZHZULCJRSA-N -1 1 310.361 1.791 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)cc(C)c1F ZINC000283046864 409075768 /nfs/dbraw/zinc/07/57/68/409075768.db2.gz ZVSVSSCGUDTJJH-NSHDSACASA-N -1 1 318.352 1.874 20 0 DDADMM CC(C)n1ncnc1CS(=O)(=O)c1nnc(C(C)(C)C)[n-]1 ZINC000278862487 409102413 /nfs/dbraw/zinc/10/24/13/409102413.db2.gz VDTGZPQFOJROTH-UHFFFAOYSA-N -1 1 312.399 1.249 20 0 DDADMM CC(C)n1ncnc1CS(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000278862487 409102415 /nfs/dbraw/zinc/10/24/15/409102415.db2.gz VDTGZPQFOJROTH-UHFFFAOYSA-N -1 1 312.399 1.249 20 0 DDADMM CC(C)n1ncnc1CS(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000278862487 409102420 /nfs/dbraw/zinc/10/24/20/409102420.db2.gz VDTGZPQFOJROTH-UHFFFAOYSA-N -1 1 312.399 1.249 20 0 DDADMM CCOc1nc(C)ccc1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000283323432 409137090 /nfs/dbraw/zinc/13/70/90/409137090.db2.gz XHRCUBDENSTXKM-MOSHPQCFSA-N -1 1 311.345 1.696 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@]1(O)CCO[C@@H]1C ZINC000279040454 409137238 /nfs/dbraw/zinc/13/72/38/409137238.db2.gz AAZRRKUEBDRFEP-HWPZZCPQSA-N -1 1 323.393 1.058 20 0 DDADMM O=C(NCC[C@@H]1CCCCO1)c1ccc2n[n-]c(=S)n2c1 ZINC000283456027 409160194 /nfs/dbraw/zinc/16/01/94/409160194.db2.gz OEEADJYEPBDTKV-NSHDSACASA-N -1 1 306.391 1.707 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc(Br)cc2[O-])C(=O)O1 ZINC000293957536 409215339 /nfs/dbraw/zinc/21/53/39/409215339.db2.gz PPRSFNJPKZYVNJ-HZGVNTEJSA-N -1 1 314.135 1.589 20 0 DDADMM C[C@H](c1ccccc1)[C@H]([N-]C(=O)C(F)(F)C(F)F)C(N)=O ZINC000294014034 409224895 /nfs/dbraw/zinc/22/48/95/409224895.db2.gz ZTKASUUCAJIAPI-APPZFPTMSA-N -1 1 306.259 1.661 20 0 DDADMM CCN(C(=O)CCc1c(C)nc(SC)[n-]c1=O)[C@@H](C)CO ZINC000294057149 409232208 /nfs/dbraw/zinc/23/22/08/409232208.db2.gz URIWRNAYGGWCIK-VIFPVBQESA-N -1 1 313.423 1.374 20 0 DDADMM NC(=O)CCC1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000289376603 409256991 /nfs/dbraw/zinc/25/69/91/409256991.db2.gz BVLVPHNDDBRRLX-UHFFFAOYSA-N -1 1 312.316 1.788 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC1CCC1)c1cc(F)ccc1F ZINC000280338302 409293982 /nfs/dbraw/zinc/29/39/82/409293982.db2.gz VKKJJYBBYWEDRO-LLVKDONJSA-N -1 1 305.346 1.794 20 0 DDADMM CC[S@](=O)CC(=O)Nc1nc(Br)ccc1[O-] ZINC000295522944 409327394 /nfs/dbraw/zinc/32/73/94/409327394.db2.gz SCWOYWJNPWTEFX-INIZCTEOSA-N -1 1 307.169 1.257 20 0 DDADMM CN(C(=O)OC(C)(C)C)c1ccc(C(=O)Nc2nnn[n-]2)s1 ZINC000289441609 409273102 /nfs/dbraw/zinc/27/31/02/409273102.db2.gz FZWQRKRAZAZIPK-UHFFFAOYSA-N -1 1 324.366 1.885 20 0 DDADMM CN(C(=O)OC(C)(C)C)c1ccc(C(=O)Nc2nn[n-]n2)s1 ZINC000289441609 409273105 /nfs/dbraw/zinc/27/31/05/409273105.db2.gz FZWQRKRAZAZIPK-UHFFFAOYSA-N -1 1 324.366 1.885 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)OCCc1ccccc1)c1nn[n-]n1 ZINC000295981206 409390345 /nfs/dbraw/zinc/39/03/45/409390345.db2.gz LEXOQZPGZHMJBJ-STQMWFEESA-N -1 1 317.393 1.409 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCOC(C)(C)C2)sc1C ZINC000407982771 164188440 /nfs/dbraw/zinc/18/84/40/164188440.db2.gz JKKQCVNWPFHVLJ-SNVBAGLBSA-N -1 1 304.437 1.996 20 0 DDADMM C[C@]1(O)CCOC[C@@H]1[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000408064881 164214738 /nfs/dbraw/zinc/21/47/38/164214738.db2.gz MBVHXFPJAYDJHA-HSMVNMDESA-N -1 1 306.362 1.179 20 0 DDADMM CC(C)(C)c1nc(=NC(=O)N2CCN(CC3CC3)CC2)s[n-]1 ZINC000408180030 164253394 /nfs/dbraw/zinc/25/33/94/164253394.db2.gz LSCNFTYLNNOMCM-UHFFFAOYSA-N -1 1 323.466 1.817 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1c(C)nn(CC)c1C ZINC000295470367 409498592 /nfs/dbraw/zinc/49/85/92/409498592.db2.gz CZMJOQXPXPPXKH-UHFFFAOYSA-N -1 1 311.382 1.452 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-][C@@H]2CC[C@H](F)C2)s1 ZINC000344903520 409549709 /nfs/dbraw/zinc/54/97/09/409549709.db2.gz JRXSFGHJUYKWBB-JGVFFNPUSA-N -1 1 307.372 1.270 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc(F)c(Cl)c1 ZINC000337950577 409597404 /nfs/dbraw/zinc/59/74/04/409597404.db2.gz XWVRMUJVAPEJLS-UHFFFAOYSA-N -1 1 323.711 1.948 20 0 DDADMM Cc1cccc(N2CCN(C(=O)CCCc3nn[n-]n3)CC2)c1 ZINC000635157054 422847893 /nfs/dbraw/zinc/84/78/93/422847893.db2.gz JNZUPGQRJQPHLT-UHFFFAOYSA-N -1 1 314.393 1.180 20 0 DDADMM CS(=O)(=O)[N-]c1ccccc1C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000331596201 409676293 /nfs/dbraw/zinc/67/62/93/409676293.db2.gz YNAXHFSDGFYOBE-NSHDSACASA-N -1 1 323.418 1.025 20 0 DDADMM Cc1cc(COCC(=O)Nc2n[n-]c(C(F)(F)F)n2)on1 ZINC000338157163 409756400 /nfs/dbraw/zinc/75/64/00/409756400.db2.gz GCWPWKDSRGHANZ-UHFFFAOYSA-N -1 1 305.216 1.275 20 0 DDADMM CC(C)(CO)O[N-]C(=O)[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC000297161124 409830618 /nfs/dbraw/zinc/83/06/18/409830618.db2.gz JSHFZAFQYVHWEW-AWEZNQCLSA-N -1 1 310.369 1.609 20 0 DDADMM COC(=O)CCCN(C)C(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000354426135 409847730 /nfs/dbraw/zinc/84/77/30/409847730.db2.gz IKTPPTSEAUJRBI-UHFFFAOYSA-N -1 1 317.345 1.669 20 0 DDADMM COC(=O)CCCN(C)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000354426135 409847734 /nfs/dbraw/zinc/84/77/34/409847734.db2.gz IKTPPTSEAUJRBI-UHFFFAOYSA-N -1 1 317.345 1.669 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2C[C@@H]2c2ccccc2)c1 ZINC000338212132 409806165 /nfs/dbraw/zinc/80/61/65/409806165.db2.gz NNSJNDXBTSYMAW-OLZOCXBDSA-N -1 1 321.354 1.901 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCc3cc(F)ccc32)o1 ZINC000338216570 409808791 /nfs/dbraw/zinc/80/87/91/409808791.db2.gz QDEFTHPVJDRWHJ-UHFFFAOYSA-N -1 1 324.333 1.530 20 0 DDADMM Cc1cnc(C(=O)N2CCC(Nc3cccnn3)CC2)c([O-])c1 ZINC000332122155 409903751 /nfs/dbraw/zinc/90/37/51/409903751.db2.gz LPKZNTHNAMYSTD-UHFFFAOYSA-N -1 1 313.361 1.024 20 0 DDADMM CCc1nnc([C@H](C)NC(=O)c2nc3ccccc3c(=O)[n-]2)[nH]1 ZINC000342736497 409861230 /nfs/dbraw/zinc/86/12/30/409861230.db2.gz XRZRFKWJPAKXPK-QMMMGPOBSA-N -1 1 312.333 1.095 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@H]3CC(=O)N(C)C3)[nH][n-]2)c1 ZINC000332185614 409959078 /nfs/dbraw/zinc/95/90/78/409959078.db2.gz ONVQTDIOIQVBTI-JTQLQIEISA-N -1 1 316.336 1.363 20 0 DDADMM O=C(CCCc1nc(-c2ccc(F)cc2)no1)Nc1nnn[n-]1 ZINC000342853236 409948765 /nfs/dbraw/zinc/94/87/65/409948765.db2.gz SNVSVRKDXWLDHU-UHFFFAOYSA-N -1 1 317.284 1.350 20 0 DDADMM O=C(CCCc1nc(-c2ccc(F)cc2)no1)Nc1nn[n-]n1 ZINC000342853236 409948770 /nfs/dbraw/zinc/94/87/70/409948770.db2.gz SNVSVRKDXWLDHU-UHFFFAOYSA-N -1 1 317.284 1.350 20 0 DDADMM CCOC(=O)c1ncc([N-]C(=O)c2cc(OCC)no2)s1 ZINC000297818367 410010843 /nfs/dbraw/zinc/01/08/43/410010843.db2.gz OHJSEPLPDWXIMO-UHFFFAOYSA-N -1 1 311.319 1.959 20 0 DDADMM CCc1ccc([C@@H](C)CC(=O)Nc2nn[nH]c2C(=O)NC)cc1 ZINC000297858677 410027175 /nfs/dbraw/zinc/02/71/75/410027175.db2.gz YJZHPAKDJMFILR-JTQLQIEISA-N -1 1 315.377 1.859 20 0 DDADMM CC(C)(NC(=O)c1ccc(C(F)(F)F)nc1)c1nn[n-]n1 ZINC000354782806 410083424 /nfs/dbraw/zinc/08/34/24/410083424.db2.gz FNDVPRLVAMIJQG-UHFFFAOYSA-N -1 1 300.244 1.279 20 0 DDADMM CO[C@@H]1CCC[C@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000332424117 410146338 /nfs/dbraw/zinc/14/63/38/410146338.db2.gz BCPUPLDSXYVPAY-NWDGAFQWSA-N -1 1 309.366 1.686 20 0 DDADMM COc1ccc(O[C@H](C)C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354792400 410087957 /nfs/dbraw/zinc/08/79/57/410087957.db2.gz RALXBBNHEKTWMN-SECBINFHSA-N -1 1 305.338 1.027 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)c3ccc(=O)n(C)n3)[nH][n-]2)s1 ZINC000354799361 410096776 /nfs/dbraw/zinc/09/67/76/410096776.db2.gz OUDJQIRYIIXIDR-UHFFFAOYSA-N -1 1 315.358 1.215 20 0 DDADMM COc1nc(C)ccc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332381839 410113902 /nfs/dbraw/zinc/11/39/02/410113902.db2.gz YWAKZIRNJDFAJW-SNVBAGLBSA-N -1 1 318.333 1.507 20 0 DDADMM CO[C@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ccccc1 ZINC000332383773 410117110 /nfs/dbraw/zinc/11/71/10/410117110.db2.gz BJPMSZOFIFOAIG-OLZOCXBDSA-N -1 1 317.345 1.869 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1cccc(C)c1 ZINC000298221222 410139243 /nfs/dbraw/zinc/13/92/43/410139243.db2.gz RYKCDBKPCXGLTF-UHFFFAOYSA-N -1 1 323.374 1.373 20 0 DDADMM Cc1ncc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(C)n1 ZINC000332433869 410155778 /nfs/dbraw/zinc/15/57/78/410155778.db2.gz FYSRHVSIBXWVRE-UHFFFAOYSA-N -1 1 303.322 1.202 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@@H]2c2nnc(C3CCC3)o2)nc1=O ZINC000329400924 410279305 /nfs/dbraw/zinc/27/93/05/410279305.db2.gz YUXXHEOAKXHGSP-LLVKDONJSA-N -1 1 318.381 1.486 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@H]2c2nnc(C3CCC3)o2)nc1=O ZINC000329400926 410279656 /nfs/dbraw/zinc/27/96/56/410279656.db2.gz YUXXHEOAKXHGSP-NSHDSACASA-N -1 1 318.381 1.486 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(C)cc(C)n2)co1 ZINC000339485320 410224948 /nfs/dbraw/zinc/22/49/48/410224948.db2.gz NRQKTOROSNUPNN-UHFFFAOYSA-N -1 1 309.347 1.452 20 0 DDADMM O=c1cc(/C=C/c2nccn2CC(F)(F)F)nc2nc[n-]n21 ZINC000352035161 410436169 /nfs/dbraw/zinc/43/61/69/410436169.db2.gz MTALIZNIVDVFNP-OWOJBTEDSA-N -1 1 310.239 1.347 20 0 DDADMM COCCOc1ccc(/C=C\c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000352040604 410437008 /nfs/dbraw/zinc/43/70/08/410437008.db2.gz QDKAPHONXKXQKG-DJWKRKHSSA-N -1 1 312.329 1.613 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCc1cccc2cccnc21 ZINC000635174200 422858275 /nfs/dbraw/zinc/85/82/75/422858275.db2.gz ZCUCSZUTZPXTCO-UHFFFAOYSA-N -1 1 310.361 1.430 20 0 DDADMM COC(=O)[C@@H](C)N(Cc1ccccc1)C(=O)c1cncc([O-])c1 ZINC000339942616 410566935 /nfs/dbraw/zinc/56/69/35/410566935.db2.gz UGPSDILYYWHQMJ-GFCCVEGCSA-N -1 1 314.341 1.991 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1C[C@H]1c1ccccc1 ZINC000339983102 410595759 /nfs/dbraw/zinc/59/57/59/410595759.db2.gz TUAHPXAFCCSPJN-STQMWFEESA-N -1 1 311.341 1.189 20 0 DDADMM COC(=O)c1ccc2c(c1)CN(C(=O)c1cncc([O-])c1)CC2 ZINC000340002395 410608158 /nfs/dbraw/zinc/60/81/58/410608158.db2.gz HBGFBRVEYULYIR-UHFFFAOYSA-N -1 1 312.325 1.772 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@@H]1CCCC[C@H]1C(F)(F)F ZINC000631627275 422860005 /nfs/dbraw/zinc/86/00/05/422860005.db2.gz ZHVNYPFIOQPVJK-DTWKUNHWSA-N -1 1 305.304 1.617 20 0 DDADMM CCCc1nc(=NC(=O)N[C@@H](C)Cc2cc(C)[nH]n2)s[n-]1 ZINC000340254243 410799704 /nfs/dbraw/zinc/79/97/04/410799704.db2.gz ZDOJRJHDTLPNNR-QMMMGPOBSA-N -1 1 308.411 1.697 20 0 DDADMM CC(C)CNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000330626926 410837640 /nfs/dbraw/zinc/83/76/40/410837640.db2.gz JOTQDNYTSGGALS-UHFFFAOYSA-N -1 1 302.396 1.742 20 0 DDADMM CC(C)(NC(=O)Cc1coc(-c2cccs2)n1)c1nn[n-]n1 ZINC000359780749 410875928 /nfs/dbraw/zinc/87/59/28/410875928.db2.gz CPNKQGVPKUADDM-UHFFFAOYSA-N -1 1 318.362 1.510 20 0 DDADMM Cc1nc(-c2ccc(N3CC[C@](C)(O)C3)nc2)[n-]c(=O)c1C ZINC000301881626 410820910 /nfs/dbraw/zinc/82/09/10/410820910.db2.gz MEWCAMARRHKEEO-INIZCTEOSA-N -1 1 300.362 1.822 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@@H]1c1ccc(F)cc1F ZINC000348298499 410906043 /nfs/dbraw/zinc/90/60/43/410906043.db2.gz QVFCLLWEFUFTJS-NXEZZACHSA-N -1 1 305.288 1.387 20 0 DDADMM O=C(Cc1ccc(OC(F)F)cc1)NC1(c2nn[n-]n2)CC1 ZINC000348298045 410906508 /nfs/dbraw/zinc/90/65/08/410906508.db2.gz NOQIQUGGMWJNNV-UHFFFAOYSA-N -1 1 309.276 1.149 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cccc(-n2cncn2)c1 ZINC000359929003 410957153 /nfs/dbraw/zinc/95/71/53/410957153.db2.gz CDWNGBZEQBZRJL-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM CN(C[C@@H]1CCCOC1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000341254755 410968763 /nfs/dbraw/zinc/96/87/63/410968763.db2.gz FJMBEMMZHPOFHA-NSHDSACASA-N -1 1 301.346 1.422 20 0 DDADMM CN1CCN(C(=O)CCCc2nn[n-]n2)[C@H](c2ccccc2)C1 ZINC000635178677 422862948 /nfs/dbraw/zinc/86/29/48/422862948.db2.gz DQNWLQGYDNWSCB-AWEZNQCLSA-N -1 1 314.393 1.038 20 0 DDADMM CCc1nc(C)c(NC(=O)CNC(=O)c2ncccc2[O-])s1 ZINC000356486080 411053144 /nfs/dbraw/zinc/05/31/44/411053144.db2.gz OYOZPRNAICCIHC-UHFFFAOYSA-N -1 1 320.374 1.483 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](CCO)C1 ZINC000331252043 411042929 /nfs/dbraw/zinc/04/29/29/411042929.db2.gz UDCWESCXJONYOK-NSHDSACASA-N -1 1 307.394 1.633 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](C)Cn2cccn2)sn1 ZINC000631760637 422910960 /nfs/dbraw/zinc/91/09/60/422910960.db2.gz FMXWSOSUULFKIO-VIFPVBQESA-N -1 1 300.409 1.263 20 0 DDADMM Cc1nc2ccc(C(=O)NC(C)(C)c3nn[n-]n3)cc2s1 ZINC000580394072 422925801 /nfs/dbraw/zinc/92/58/01/422925801.db2.gz XVZSMJFKCKWPJK-UHFFFAOYSA-N -1 1 302.363 1.783 20 0 DDADMM C[C@@]1(c2ccccc2)CN(C(=O)CCCc2nn[n-]n2)CCO1 ZINC000630030679 422930726 /nfs/dbraw/zinc/93/07/26/422930726.db2.gz PHEYNJNRAHRGPE-INIZCTEOSA-N -1 1 315.377 1.297 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C)[C@@H](C)C2)o1 ZINC000132016206 196218816 /nfs/dbraw/zinc/21/88/16/196218816.db2.gz DMLFHRHENDLGDJ-ZJUUUORDSA-N -1 1 300.380 1.306 20 0 DDADMM O=S(=O)([N-][C@@H]1C=C[C@H](CO)C1)c1c(F)cccc1Cl ZINC000132961142 196299599 /nfs/dbraw/zinc/29/95/99/196299599.db2.gz YOJWQWRFESYDKI-DTWKUNHWSA-N -1 1 305.758 1.694 20 0 DDADMM O=S(=O)([N-]C1COCCOC1)c1cc2cc(F)ccc2o1 ZINC000650013969 423020594 /nfs/dbraw/zinc/02/05/94/423020594.db2.gz PXKQJEYOADSPDL-UHFFFAOYSA-N -1 1 315.322 1.266 20 0 DDADMM O=C(NCc1cn(C2CCC2)nn1)c1csc(=NC2CC2)[n-]1 ZINC000640540454 423017087 /nfs/dbraw/zinc/01/70/87/423017087.db2.gz RAAPJKNXCGKTKA-UHFFFAOYSA-N -1 1 318.406 1.386 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CCN1Cc1ccccc1 ZINC000647739573 423024125 /nfs/dbraw/zinc/02/41/25/423024125.db2.gz GZDWLKXLWUQEOH-BZUAXINKSA-N -1 1 316.401 1.830 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@@H]1O)c1ncccc1C(F)(F)F ZINC000645554121 423079938 /nfs/dbraw/zinc/07/99/38/423079938.db2.gz VHQGJQSRBYVSTP-ZJUUUORDSA-N -1 1 324.324 1.682 20 0 DDADMM O=S(=O)([N-]CC1(O)CCC1)c1ncccc1C(F)(F)F ZINC000645556845 423081624 /nfs/dbraw/zinc/08/16/24/423081624.db2.gz PPVPYFYEATWFIP-UHFFFAOYSA-N -1 1 310.297 1.294 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@H]1O)c1ncccc1C(F)(F)F ZINC000645568779 423089809 /nfs/dbraw/zinc/08/98/09/423089809.db2.gz ZCUDMRVSQAGNFB-PSASIEDQSA-N -1 1 324.324 1.540 20 0 DDADMM Cn1cc([C@H](NCc2ccc(OC(F)F)cc2)C(=O)[O-])cn1 ZINC000417602395 225374786 /nfs/dbraw/zinc/37/47/86/225374786.db2.gz VHUSBVCNISXAMP-LBPRGKRZSA-N -1 1 311.288 1.937 20 0 DDADMM CSc1nc(CNC(=O)C(C)(C)n2cccn2)cc(=O)[n-]1 ZINC000640654964 423115117 /nfs/dbraw/zinc/11/51/17/423115117.db2.gz GIPLSKQENIDKSD-UHFFFAOYSA-N -1 1 307.379 1.152 20 0 DDADMM COc1ccc(NC(=O)[C@H]2CC23CC3)cc1[N-]S(C)(=O)=O ZINC000643331366 423115150 /nfs/dbraw/zinc/11/51/50/423115150.db2.gz ZKACNKUIZCORQA-SNVBAGLBSA-N -1 1 310.375 1.805 20 0 DDADMM CCC[C@@H](NC(=O)Cc1nn(C)c2ccccc12)c1nn[n-]n1 ZINC000643337639 423116651 /nfs/dbraw/zinc/11/66/51/423116651.db2.gz JNSAANDHDPJPER-LLVKDONJSA-N -1 1 313.365 1.287 20 0 DDADMM CSc1nc(CNC(=O)C[C@@H](C)c2cnn(C)c2)cc(=O)[n-]1 ZINC000640655462 423116223 /nfs/dbraw/zinc/11/62/23/423116223.db2.gz NYBWBNOFVUDLTA-SECBINFHSA-N -1 1 321.406 1.448 20 0 DDADMM CCc1ccnc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c1 ZINC000640657049 423118209 /nfs/dbraw/zinc/11/82/09/423118209.db2.gz ZJOLAUYQCBEDRM-UHFFFAOYSA-N -1 1 304.375 1.792 20 0 DDADMM C[C@@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645648257 423125996 /nfs/dbraw/zinc/12/59/96/423125996.db2.gz XNZANKPXOCANHE-GZMMTYOYSA-N -1 1 324.324 1.946 20 0 DDADMM CCc1noc([C@H]2C[C@H](O)CN2C(=O)c2cc(F)ccc2[O-])n1 ZINC000373424804 418427781 /nfs/dbraw/zinc/42/77/81/418427781.db2.gz CYZMODHSNADLBA-GXSJLCMTSA-N -1 1 321.308 1.425 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@@H](O)C1)c1ncccc1C(F)(F)F ZINC000645676607 423138435 /nfs/dbraw/zinc/13/84/35/423138435.db2.gz YSOZETDXUFVYOS-DTWKUNHWSA-N -1 1 324.324 1.540 20 0 DDADMM COc1cccc([C@H]2[C@H](NC(=O)C(=O)[O-])CCN2C2CC2)c1 ZINC000366731991 418510027 /nfs/dbraw/zinc/51/00/27/418510027.db2.gz HNQMMHOLLMSXEX-KGLIPLIRSA-N -1 1 304.346 1.174 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H](c1cccnc1)C(C)C ZINC000290838300 221960182 /nfs/dbraw/zinc/96/01/82/221960182.db2.gz VGWVEFYWHVXBCO-LBPRGKRZSA-N -1 1 324.406 1.678 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC(C2(O)CC2)CC1 ZINC000650359408 423139108 /nfs/dbraw/zinc/13/91/08/423139108.db2.gz PADKNPYHNVVTKX-UHFFFAOYSA-N -1 1 313.357 1.300 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)[C@@H]3Cc4cc(F)ccc4O3)ccnc1-2 ZINC000374729954 418558444 /nfs/dbraw/zinc/55/84/44/418558444.db2.gz GYRYYZCKLLVGTJ-GQFJFNLSSA-N -1 1 312.304 1.423 20 0 DDADMM O=c1nc(NCc2cccc(-c3ncc[nH]3)c2)nc2[nH][n-]cc1-2 ZINC000361063453 418576359 /nfs/dbraw/zinc/57/63/59/418576359.db2.gz QSGPSLRELXCPJF-UHFFFAOYSA-N -1 1 307.317 1.434 20 0 DDADMM C[C@](O)(CN1Cc2ccccc2[C@@H](C(=O)[O-])C1)C(F)(F)F ZINC000313874278 418593009 /nfs/dbraw/zinc/59/30/09/418593009.db2.gz MERFMJFYIDTBNV-AAEUAGOBSA-N -1 1 303.280 1.984 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000316029538 418598595 /nfs/dbraw/zinc/59/85/95/418598595.db2.gz MYWWMMZEGSYWKJ-HUBLWGQQSA-N -1 1 304.390 1.974 20 0 DDADMM Cc1n[n-]c(=NCCN2CCN(C)C[C@@H]2c2ccccc2)o1 ZINC000367718274 418625860 /nfs/dbraw/zinc/62/58/60/418625860.db2.gz XRBBIMLQTYTXBV-OAHLLOKOSA-N -1 1 301.394 1.201 20 0 DDADMM CN(CCOc1ccccc1C(C)(C)C)Cc1nc(=O)n(C)[n-]1 ZINC000361583915 418685770 /nfs/dbraw/zinc/68/57/70/418685770.db2.gz DHZGHLHNWIFGIA-UHFFFAOYSA-N -1 1 318.421 1.917 20 0 DDADMM COC[C@@H](CCO)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000361977811 418734497 /nfs/dbraw/zinc/73/44/97/418734497.db2.gz YKNWXDNWMQGITO-MRVPVSSYSA-N -1 1 311.762 1.155 20 0 DDADMM C[C@@H](Cc1ccccc1F)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000364628052 418794102 /nfs/dbraw/zinc/79/41/02/418794102.db2.gz INMKZOPFQUCXTB-GXFFZTMASA-N -1 1 319.340 1.118 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)C1(C2CC2)CCOCC1 ZINC000372005301 418829978 /nfs/dbraw/zinc/82/99/78/418829978.db2.gz XYGWFGWGTCPMAG-UHFFFAOYSA-N -1 1 304.272 1.969 20 0 DDADMM Cc1noc([C@@H]([N-]S(=O)(=O)c2c[nH]cn2)c2ccccc2)n1 ZINC000365423815 418859722 /nfs/dbraw/zinc/85/97/22/418859722.db2.gz VIWUAUCJTZQBSQ-LBPRGKRZSA-N -1 1 319.346 1.169 20 0 DDADMM CC(C)C[C@H](C(N)=O)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000411397945 418900697 /nfs/dbraw/zinc/90/06/97/418900697.db2.gz IPALZPNJUUWACN-GFCCVEGCSA-N -1 1 316.361 1.868 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1COc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000372835539 418907752 /nfs/dbraw/zinc/90/77/52/418907752.db2.gz HWVZSQYGAOTAFC-LDYMZIIASA-N -1 1 321.768 1.591 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@@H]2CCN(C3CC3)C2)c1 ZINC000424627296 228296777 /nfs/dbraw/zinc/29/67/77/228296777.db2.gz VNJQSHXTCRNWHE-VERVWZFWSA-N -1 1 322.430 1.156 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@]2(O)CCSC2)c(F)c1 ZINC000425166514 228377848 /nfs/dbraw/zinc/37/78/48/228377848.db2.gz IFOSWLMCQPVRDY-GFCCVEGCSA-N -1 1 323.386 1.420 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCCn2cccn2)c(F)c1 ZINC000425141464 228372024 /nfs/dbraw/zinc/37/20/24/228372024.db2.gz IUXZLKOVDCRZGN-UHFFFAOYSA-N -1 1 315.345 1.838 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCc2ccn(C)n2)c(F)c1 ZINC000425175800 228381952 /nfs/dbraw/zinc/38/19/52/228381952.db2.gz LBUSFMIGQOZPIU-UHFFFAOYSA-N -1 1 315.345 1.528 20 0 DDADMM Cc1n[nH]c(C(F)F)c1[N-]S(=O)(=O)c1cnn(C)c1C ZINC000427323687 419637982 /nfs/dbraw/zinc/63/79/82/419637982.db2.gz JARNRRLAFOTKEP-UHFFFAOYSA-N -1 1 305.310 1.498 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)o1 ZINC000427245778 419626800 /nfs/dbraw/zinc/62/68/00/419626800.db2.gz JIZODDXAHIWWRP-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM CC(=O)c1ccc(C(=O)N[C@H]2C[N@@H+](C3CC3)C[C@@H]2C)cc1O ZINC000412182819 419709987 /nfs/dbraw/zinc/70/99/87/419709987.db2.gz KKZXLJWRAYNYKV-BONVTDFDSA-N -1 1 302.374 1.807 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc(Cl)cc2C)n1 ZINC000428085095 419810123 /nfs/dbraw/zinc/81/01/23/419810123.db2.gz KNNGNEORDSNTGU-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H](O)CC[C@H]3C)cnc2n1 ZINC000422126693 419848862 /nfs/dbraw/zinc/84/88/62/419848862.db2.gz QRBQWDZEBHFDAO-MNOVXSKESA-N -1 1 301.346 1.629 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@@H](O)CC[C@H]3C)c[n-]c2n1 ZINC000422126693 419848865 /nfs/dbraw/zinc/84/88/65/419848865.db2.gz QRBQWDZEBHFDAO-MNOVXSKESA-N -1 1 301.346 1.629 20 0 DDADMM CC[C@@]1(CO)CCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000428523884 419883496 /nfs/dbraw/zinc/88/34/96/419883496.db2.gz HKHRAHLPWQNBBL-MRXNPFEDSA-N -1 1 318.377 1.299 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cn(C)nn1 ZINC000428532962 419888290 /nfs/dbraw/zinc/88/82/90/419888290.db2.gz CTMOHLQCKIRBPB-UHFFFAOYSA-N -1 1 323.378 1.219 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nc3c(s2)CCC3)n1 ZINC000415626165 420127611 /nfs/dbraw/zinc/12/76/11/420127611.db2.gz GOGGOCBDXLAISM-UHFFFAOYSA-N -1 1 306.347 1.784 20 0 DDADMM Cc1ccc(SCCNC(=O)CN(C)CCC(=O)[O-])cc1 ZINC000430676759 420176762 /nfs/dbraw/zinc/17/67/62/420176762.db2.gz IGINTJRPPOMPGU-UHFFFAOYSA-N -1 1 310.419 1.610 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCc2ccccc21 ZINC000416155634 420260368 /nfs/dbraw/zinc/26/03/68/420260368.db2.gz DTLBJYSZGXDMGN-JTQLQIEISA-N -1 1 315.329 1.356 20 0 DDADMM CN(C)C(=O)Nc1ccc(C(=O)Nc2nnn[n-]2)c(Cl)c1 ZINC000435677168 420275919 /nfs/dbraw/zinc/27/59/19/420275919.db2.gz CMLNEMMZKSMQID-UHFFFAOYSA-N -1 1 309.717 1.199 20 0 DDADMM CN(C)C(=O)Nc1ccc(C(=O)Nc2nn[n-]n2)c(Cl)c1 ZINC000435677168 420275924 /nfs/dbraw/zinc/27/59/24/420275924.db2.gz CMLNEMMZKSMQID-UHFFFAOYSA-N -1 1 309.717 1.199 20 0 DDADMM O=c1[n-]c(CN=c2[nH]n3cc(C4CCCC4)nc3s2)n[nH]1 ZINC000436430652 420327865 /nfs/dbraw/zinc/32/78/65/420327865.db2.gz PUBJXQQMGHQJSC-UHFFFAOYSA-N -1 1 305.367 1.306 20 0 DDADMM COCC(C)(C)N1CCN(C(=O)CC2(C(=O)[O-])CCC2)CC1 ZINC000425257673 420330984 /nfs/dbraw/zinc/33/09/84/420330984.db2.gz QLPXDASTJDYSBW-UHFFFAOYSA-N -1 1 312.410 1.201 20 0 DDADMM COc1ccc(CNC(=O)C(=O)c2ccc([O-])cc2)c(OC)c1 ZINC000436518507 420336379 /nfs/dbraw/zinc/33/63/79/420336379.db2.gz YUCOPWOQXKZXJN-UHFFFAOYSA-N -1 1 315.325 1.909 20 0 DDADMM C[C@@H](CCN1CCOCC1)NC(=O)c1cc(Cl)ccc1[O-] ZINC000436548969 420339459 /nfs/dbraw/zinc/33/94/59/420339459.db2.gz OJICFAACGNZYKZ-NSHDSACASA-N -1 1 312.797 1.886 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cccn1C)c1cccs1 ZINC000416395513 420355738 /nfs/dbraw/zinc/35/57/38/420355738.db2.gz ZSKCDUMEAINVEC-LLVKDONJSA-N -1 1 314.388 1.279 20 0 DDADMM COc1cccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1O ZINC000436793432 420368676 /nfs/dbraw/zinc/36/86/76/420368676.db2.gz RJVJQSOOXLXFGK-UHFFFAOYSA-N -1 1 319.317 1.509 20 0 DDADMM COCCOCCOCCN(C)C(=O)c1cc(F)ccc1[O-] ZINC000436843149 420374080 /nfs/dbraw/zinc/37/40/80/420374080.db2.gz XXHHXAPXSVINRX-UHFFFAOYSA-N -1 1 315.341 1.283 20 0 DDADMM O=C(CCNC(=O)c1ccc([O-])cc1F)NCc1cccnc1 ZINC000436878382 420379035 /nfs/dbraw/zinc/37/90/35/420379035.db2.gz CAUMJGWTXOWALO-UHFFFAOYSA-N -1 1 317.320 1.363 20 0 DDADMM NC(=O)CC[C@@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000436970654 420388200 /nfs/dbraw/zinc/38/82/00/420388200.db2.gz GMBSAMHEWARCOF-NSHDSACASA-N -1 1 304.346 1.079 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CC[C@H](C)O2)o1 ZINC000416536019 420409920 /nfs/dbraw/zinc/40/99/20/420409920.db2.gz OJRZKJISQYWYTL-UWVGGRQHSA-N -1 1 317.363 1.302 20 0 DDADMM O=S(=O)(Cc1csc(-c2ccsc2)n1)c1ncn[n-]1 ZINC000444400968 230096035 /nfs/dbraw/zinc/09/60/35/230096035.db2.gz FARUUIRDIUMPBM-UHFFFAOYSA-N -1 1 312.401 1.964 20 0 DDADMM O=S(=O)(Cc1csc(-c2ccsc2)n1)c1nc[n-]n1 ZINC000444400968 230096040 /nfs/dbraw/zinc/09/60/40/230096040.db2.gz FARUUIRDIUMPBM-UHFFFAOYSA-N -1 1 312.401 1.964 20 0 DDADMM O=S(=O)([N-]CC(F)(F)F)c1cccc2c1OCCCO2 ZINC000650460418 423166787 /nfs/dbraw/zinc/16/67/87/423166787.db2.gz CSFOMWHTKLBIOG-UHFFFAOYSA-N -1 1 311.281 1.689 20 0 DDADMM CCO[C@@H]1COC[C@H]1NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000456792886 420545985 /nfs/dbraw/zinc/54/59/85/420545985.db2.gz LPEIHRNHXAIEAA-ZYHUDNBSSA-N -1 1 315.753 1.588 20 0 DDADMM COc1ccc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)nc1 ZINC000492477080 420569901 /nfs/dbraw/zinc/56/99/01/420569901.db2.gz UMORKSZPKYCGEW-OKPNEXGHSA-N -1 1 314.349 1.023 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccc(S(=O)(=O)N(C)C)c(F)c1 ZINC000450969298 420573254 /nfs/dbraw/zinc/57/32/54/420573254.db2.gz OOSHFBPIKFMEAY-UHFFFAOYSA-N -1 1 324.399 1.228 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1cnc2ccccc2n1)c1nn[n-]n1 ZINC000492523686 420582121 /nfs/dbraw/zinc/58/21/21/420582121.db2.gz XOWCAENGYPKZLL-TVRMLOFPSA-N -1 1 323.360 1.418 20 0 DDADMM COc1cc(C(=O)NCCn2ccccc2=O)cc(Cl)c1[O-] ZINC000442642883 420692556 /nfs/dbraw/zinc/69/25/56/420692556.db2.gz BIPQQPCHGSUQRH-UHFFFAOYSA-N -1 1 322.748 1.646 20 0 DDADMM Cc1ncccc1C=CC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000493288691 420809222 /nfs/dbraw/zinc/80/92/22/420809222.db2.gz DXYRSTHWOXQJAD-QPJJXVBHSA-N -1 1 312.333 1.052 20 0 DDADMM CC(=O)N[C@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)C(C)(C)C ZINC000448773830 420895229 /nfs/dbraw/zinc/89/52/29/420895229.db2.gz WUEZGRMCYCQGOO-ZCFIWIBFSA-N -1 1 307.276 1.313 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)N=c1ncn(C(C)(C)C)[n-]1 ZINC000455125185 420971551 /nfs/dbraw/zinc/97/15/51/420971551.db2.gz AKWGNIFTLAGKND-LBPRGKRZSA-N -1 1 308.430 1.403 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H]1CCNC(=O)c1cnn[nH]1 ZINC000495524043 421014543 /nfs/dbraw/zinc/01/45/43/421014543.db2.gz MPOXBXCSTZZLBS-SNVBAGLBSA-N -1 1 309.370 1.324 20 0 DDADMM CC(C)(C)OC(=O)NC(C)(C)CNC(=O)c1ncccc1[O-] ZINC000495547730 421020868 /nfs/dbraw/zinc/02/08/68/421020868.db2.gz ZTNCLBXIAZKPCV-UHFFFAOYSA-N -1 1 309.366 1.820 20 0 DDADMM O=C(NCc1cccc(N2CCOC2=O)c1)c1ncccc1[O-] ZINC000495574336 421026862 /nfs/dbraw/zinc/02/68/62/421026862.db2.gz KKCVABSTQNBWTP-UHFFFAOYSA-N -1 1 313.313 1.674 20 0 DDADMM NC(=O)c1ccc(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)cc1 ZINC000488369703 421086863 /nfs/dbraw/zinc/08/68/63/421086863.db2.gz PPELPKPAYUXPEO-UHFFFAOYSA-N -1 1 313.239 1.104 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H]1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000495873212 421079784 /nfs/dbraw/zinc/07/97/84/421079784.db2.gz FXMZTTGYZLSQDI-NXEZZACHSA-N -1 1 309.370 1.228 20 0 DDADMM COCCO[C@H]1COCC[C@@H]1N=c1[n-]c(C(C)=O)c(C)s1 ZINC000450452028 421199699 /nfs/dbraw/zinc/19/96/99/421199699.db2.gz GXSSGQIDWCNDMS-RYUDHWBXSA-N -1 1 314.407 1.308 20 0 DDADMM C[C@@H]1[C@@H](C(=O)[N-]OC(C)(C)CO)CCN1C(=O)OC(C)(C)C ZINC000496694459 421300112 /nfs/dbraw/zinc/30/01/12/421300112.db2.gz YNEYLHZGLMZKOQ-MNOVXSKESA-N -1 1 316.398 1.451 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000524576847 421262020 /nfs/dbraw/zinc/26/20/20/421262020.db2.gz YRZFQCQCTPGWHF-UHFFFAOYSA-N -1 1 311.349 1.584 20 0 DDADMM CC(C)(C)c1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)no1 ZINC000525679182 421295965 /nfs/dbraw/zinc/29/59/65/421295965.db2.gz AVFJTWQMUAMASK-UHFFFAOYSA-N -1 1 304.354 1.685 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)c2ccon2)n[n-]1 ZINC000548056161 421394705 /nfs/dbraw/zinc/39/47/05/421394705.db2.gz UQHUOOUZPKBWQP-JTQLQIEISA-N -1 1 321.337 1.487 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)c2ccon2)[n-]1 ZINC000548056161 421394708 /nfs/dbraw/zinc/39/47/08/421394708.db2.gz UQHUOOUZPKBWQP-JTQLQIEISA-N -1 1 321.337 1.487 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)c2ccon2)n1 ZINC000548056161 421394711 /nfs/dbraw/zinc/39/47/11/421394711.db2.gz UQHUOOUZPKBWQP-JTQLQIEISA-N -1 1 321.337 1.487 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1nc2ccccc2o1)c1nn[n-]n1 ZINC000548138754 421405744 /nfs/dbraw/zinc/40/57/44/421405744.db2.gz ASCJMJPHCVTVEL-JTQLQIEISA-N -1 1 314.349 1.536 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1cccc2cccnc21)c1nn[n-]n1 ZINC000548466596 421442496 /nfs/dbraw/zinc/44/24/96/421442496.db2.gz KVVZONDJKCSLCJ-NSHDSACASA-N -1 1 310.361 1.553 20 0 DDADMM O=C(CN1CCCC[C@H]1c1ccc(F)cc1F)Nc1nnn[n-]1 ZINC000563198173 421469750 /nfs/dbraw/zinc/46/97/50/421469750.db2.gz CGPAVIHWPGJETF-LBPRGKRZSA-N -1 1 322.319 1.644 20 0 DDADMM O=C(CN1CCCC[C@H]1c1ccc(F)cc1F)Nc1nn[n-]n1 ZINC000563198173 421469751 /nfs/dbraw/zinc/46/97/51/421469751.db2.gz CGPAVIHWPGJETF-LBPRGKRZSA-N -1 1 322.319 1.644 20 0 DDADMM O=C([O-])Cn1cc(C[N@H+]2CCCC[C@@H]2Cc2ccccc2)nn1 ZINC000563723734 421538773 /nfs/dbraw/zinc/53/87/73/421538773.db2.gz NZTFLIROISOVPS-MRXNPFEDSA-N -1 1 314.389 1.960 20 0 DDADMM O=C([O-])Cn1cc(CN2CCCC[C@@H]2Cc2ccccc2)nn1 ZINC000563723734 421538776 /nfs/dbraw/zinc/53/87/76/421538776.db2.gz NZTFLIROISOVPS-MRXNPFEDSA-N -1 1 314.389 1.960 20 0 DDADMM CCO[C@H]1C[C@H]1NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000571129613 421689995 /nfs/dbraw/zinc/68/99/95/421689995.db2.gz UQKIWLGOSIPHQJ-OLZOCXBDSA-N -1 1 318.377 1.278 20 0 DDADMM O=C([O-])CCN(C(=O)c1cccc2n[nH]cc21)C1CCOCC1 ZINC000571166060 421692373 /nfs/dbraw/zinc/69/23/73/421692373.db2.gz RFYGAMOJISZEDV-UHFFFAOYSA-N -1 1 317.345 1.659 20 0 DDADMM O=S(=O)(CCN=c1[n-]c(C(F)(F)F)cs1)NC1CC1 ZINC000533205383 421668929 /nfs/dbraw/zinc/66/89/29/421668929.db2.gz CNFXGWBIWLKULM-UHFFFAOYSA-N -1 1 315.342 1.078 20 0 DDADMM CC(C)[C@@H]1C[C@@H](CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCO1 ZINC000541957834 421815533 /nfs/dbraw/zinc/81/55/33/421815533.db2.gz OQQMYZNWOFVNCM-IHRRRGAJSA-N -1 1 321.425 1.747 20 0 DDADMM O=C([O-])COCCNCc1cc(O)ccc1Br ZINC000635315853 421903372 /nfs/dbraw/zinc/90/33/72/421903372.db2.gz CIIUWHKGVRBXGY-UHFFFAOYSA-N -1 1 304.140 1.346 20 0 DDADMM O=C([O-])COCCNCc1cccc(O)c1Br ZINC000635315207 421903422 /nfs/dbraw/zinc/90/34/22/421903422.db2.gz IGXBGTGOOKOONP-UHFFFAOYSA-N -1 1 304.140 1.346 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)n1ccnc1 ZINC000572776430 421859824 /nfs/dbraw/zinc/85/98/24/421859824.db2.gz KQAMQKGVDCWRGC-MNOVXSKESA-N -1 1 305.338 1.329 20 0 DDADMM O=C([O-])COc1cccc(CNC(=O)[C@H]2CCCN2C2CC2)c1 ZINC000630140285 421911341 /nfs/dbraw/zinc/91/13/41/421911341.db2.gz GEHAPVOSKYZYQB-OAHLLOKOSA-N -1 1 318.373 1.393 20 0 DDADMM COc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1F ZINC000630223042 421973146 /nfs/dbraw/zinc/97/31/46/421973146.db2.gz IDIFIMDPKFHEGS-LLVKDONJSA-N -1 1 324.352 1.455 20 0 DDADMM CCN(CC)c1ccc(CNC(=O)CCCc2nn[n-]n2)cn1 ZINC000635336860 421921605 /nfs/dbraw/zinc/92/16/05/421921605.db2.gz BZPIOHRQDARKPH-UHFFFAOYSA-N -1 1 317.397 1.080 20 0 DDADMM O=C(NC1CC(N2CCOCC2)C1)c1ccc(Cl)cc1[O-] ZINC000627929753 421955402 /nfs/dbraw/zinc/95/54/02/421955402.db2.gz APPAXMFEBGNFPY-UHFFFAOYSA-N -1 1 310.781 1.639 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCSC[C@H]1CCO ZINC000631945790 421961638 /nfs/dbraw/zinc/96/16/38/421961638.db2.gz JQNFWVPLWDTVKV-LLVKDONJSA-N -1 1 315.822 1.915 20 0 DDADMM COc1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)cc1 ZINC000630223673 421972416 /nfs/dbraw/zinc/97/24/16/421972416.db2.gz KGQLOXUFHFSTAL-UHFFFAOYSA-N -1 1 306.362 1.316 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@]2(C)CCCS2)c1 ZINC000632097287 422086324 /nfs/dbraw/zinc/08/63/24/422086324.db2.gz PJAAEVGZVXFIPW-CYBMUJFWSA-N -1 1 317.432 1.965 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1(c2ccc(Cl)cc2)CC1 ZINC000635551818 422102646 /nfs/dbraw/zinc/10/26/46/422102646.db2.gz MFUUIBPBFAQBMZ-UHFFFAOYSA-N -1 1 305.769 1.981 20 0 DDADMM CC(C)(C)CN(CC(=O)[O-])S(=O)(=O)c1cc(O)cc(F)c1 ZINC000630447180 422108641 /nfs/dbraw/zinc/10/86/41/422108641.db2.gz PVIQGIADIJESSD-UHFFFAOYSA-N -1 1 319.354 1.653 20 0 DDADMM Cc1cccc(O[C@@H](C)CNC(=O)CCCc2nn[n-]n2)c1 ZINC000635612195 422162600 /nfs/dbraw/zinc/16/26/00/422162600.db2.gz FVIBKQYLVXNXDW-LBPRGKRZSA-N -1 1 303.366 1.415 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)C[C@H](CC)OC)C(=O)OC ZINC000583497410 422173798 /nfs/dbraw/zinc/17/37/98/422173798.db2.gz MJEBMMSDDBDBBO-SRVKXCTJSA-N -1 1 309.428 1.309 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN2C(=O)CC[C@@H]2C1 ZINC000632222648 422175156 /nfs/dbraw/zinc/17/51/56/422175156.db2.gz NNVMSTPMYCOEBI-LLVKDONJSA-N -1 1 308.765 1.421 20 0 DDADMM CN(CCC(=O)[O-])C(=O)[C@H](N)c1ccc(C(F)(F)F)cc1 ZINC000630571085 422186219 /nfs/dbraw/zinc/18/62/19/422186219.db2.gz JEHZQWHNQHOMMX-LLVKDONJSA-N -1 1 304.268 1.638 20 0 DDADMM CCCCO[C@H]1C[C@@H](N(C)C(=O)CCCc2nn[n-]n2)C1(C)C ZINC000635644434 422197261 /nfs/dbraw/zinc/19/72/61/422197261.db2.gz RQHKSBARJAYBCW-OLZOCXBDSA-N -1 1 323.441 1.965 20 0 DDADMM O=S(=O)(C[C@@H]1CCC1(F)F)[N-]Cc1nc(C(F)F)no1 ZINC000632479399 422378970 /nfs/dbraw/zinc/37/89/70/422378970.db2.gz CEYFPKVPASZKMZ-YFKPBYRVSA-N -1 1 317.264 1.472 20 0 DDADMM C[C@H]1CCN(CC(=O)NC(=O)Nc2ccccc2)C[C@@H]1C(=O)[O-] ZINC000575748299 422318615 /nfs/dbraw/zinc/31/86/15/422318615.db2.gz BLCSCJYVVBQSCK-AAEUAGOBSA-N -1 1 319.361 1.377 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccc(OC2CCCC2)cc1 ZINC000630873247 422386760 /nfs/dbraw/zinc/38/67/60/422386760.db2.gz FCKZLKCPPAOWCF-UHFFFAOYSA-N -1 1 315.377 1.770 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@H]1CCC2(CCCCC2)O1 ZINC000635847195 422403270 /nfs/dbraw/zinc/40/32/70/422403270.db2.gz SXXKPAOTKDWLTH-GFCCVEGCSA-N -1 1 307.398 1.521 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(COc2ccccc2)CC1 ZINC000630929977 422419636 /nfs/dbraw/zinc/41/96/36/422419636.db2.gz ADXZLDFWOSJQEA-UHFFFAOYSA-N -1 1 315.377 1.450 20 0 DDADMM C[C@@]12CCC(=O)N1[C@H](C(=O)Nc1cccc(F)c1[O-])CS2 ZINC000628961004 422423154 /nfs/dbraw/zinc/42/31/54/422423154.db2.gz LHTNQSUBRAJVTE-IINYFYTJSA-N -1 1 310.350 1.924 20 0 DDADMM COC[C@@H](CC[N-]S(=O)(=O)c1ccc(C(F)F)o1)OC ZINC000632546191 422427321 /nfs/dbraw/zinc/42/73/21/422427321.db2.gz MKLCSUPXKDNNQT-MRVPVSSYSA-N -1 1 313.322 1.547 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-]Cc1c(C(F)(F)F)cnn1C ZINC000632590567 422457065 /nfs/dbraw/zinc/45/70/65/422457065.db2.gz YFFJTVCUCTUANI-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCO[C@H](C(=O)C2CC2)C1 ZINC000632773493 422569675 /nfs/dbraw/zinc/56/96/75/422569675.db2.gz PYQDTHKANOTKPI-AWEZNQCLSA-N -1 1 323.776 1.795 20 0 DDADMM C[C@]1(c2cccc(F)c2)CCN(C(=O)CCCc2nn[n-]n2)C1 ZINC000636121314 422659102 /nfs/dbraw/zinc/65/91/02/422659102.db2.gz SWKVOVRVGTYKQB-INIZCTEOSA-N -1 1 317.368 1.852 20 0 DDADMM CC1(C)CCc2sc(NC(=O)CCCc3nn[n-]n3)nc21 ZINC000636061387 422606685 /nfs/dbraw/zinc/60/66/85/422606685.db2.gz SKXBDYYAUKPTLI-UHFFFAOYSA-N -1 1 306.395 1.841 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H](c2cccnc2)C1 ZINC000636060810 422608378 /nfs/dbraw/zinc/60/83/78/422608378.db2.gz SSCCRDBWLHNWGQ-ZDUSSCGKSA-N -1 1 300.366 1.324 20 0 DDADMM O=C([O-])c1cnc(CN2CCC([C@H]3CCCCO3)CC2)cn1 ZINC000629361282 422631229 /nfs/dbraw/zinc/63/12/29/422631229.db2.gz DGJWUPJQFXUNCN-OAHLLOKOSA-N -1 1 305.378 1.956 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCCc1c[nH]c2ccc(F)cc12 ZINC000632886185 422643454 /nfs/dbraw/zinc/64/34/54/422643454.db2.gz NKMCBNPMTHHANH-UHFFFAOYSA-N -1 1 316.340 1.502 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000636205892 422715614 /nfs/dbraw/zinc/71/56/14/422715614.db2.gz BVHKNZIPXBNDGW-STQMWFEESA-N -1 1 307.398 1.330 20 0 DDADMM C(N=c1nc(C2CCCC2)[n-]s1)c1nnc([C@@H]2CCOC2)[nH]1 ZINC000631374015 422724443 /nfs/dbraw/zinc/72/44/43/422724443.db2.gz HOFBCFYBRISMLW-SNVBAGLBSA-N -1 1 320.422 1.852 20 0 DDADMM C(N=c1nc(C2CCCC2)[n-]s1)c1n[nH]c([C@@H]2CCOC2)n1 ZINC000631374015 422724445 /nfs/dbraw/zinc/72/44/45/422724445.db2.gz HOFBCFYBRISMLW-SNVBAGLBSA-N -1 1 320.422 1.852 20 0 DDADMM CC1(C)[C@H](C(=O)NCCN2CCc3ccccc3C2)[C@@H]1C(=O)[O-] ZINC000634860260 422687463 /nfs/dbraw/zinc/68/74/63/422687463.db2.gz PAOGBUCPLQHYNL-LSDHHAIUSA-N -1 1 316.401 1.518 20 0 DDADMM CC[C@@H]1OCC[C@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645755214 423173969 /nfs/dbraw/zinc/17/39/69/423173969.db2.gz NQNZWGMGYYFXGX-ZJUUUORDSA-N -1 1 324.324 1.946 20 0 DDADMM C[C@@H](CCO)N(C)C(=O)c1ccc(Br)cc1[O-] ZINC000652959484 423234272 /nfs/dbraw/zinc/23/42/72/423234272.db2.gz FITFDZBGRFRDKR-QMMMGPOBSA-N -1 1 302.168 1.998 20 0 DDADMM COc1cc(C(=O)Nc2c(C)[n-][nH]c2=O)cc2c1OCCO2 ZINC000646410643 423480785 /nfs/dbraw/zinc/48/07/85/423480785.db2.gz QGKBKNFQLWGOMC-UHFFFAOYSA-N -1 1 305.290 1.456 20 0 DDADMM COCC(COC)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000653881119 423637213 /nfs/dbraw/zinc/63/72/13/423637213.db2.gz HIRCECHMUHYNRC-UHFFFAOYSA-N -1 1 307.268 1.802 20 0 DDADMM O=S(=O)([N-][C@H](CO)CCF)c1cc(F)c(F)c(F)c1 ZINC000651895544 423732854 /nfs/dbraw/zinc/73/28/54/423732854.db2.gz OOIPINNAYASWOV-LURJTMIESA-N -1 1 301.261 1.103 20 0 DDADMM Cc1c(S(=O)(=O)[N-]c2ccnc(C)c2Cl)cnn1C ZINC000644635046 423736046 /nfs/dbraw/zinc/73/60/46/423736046.db2.gz SJWQGHIZPWLLIR-UHFFFAOYSA-N -1 1 300.771 1.308 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cc(C(N)=O)ccc1F)C1CC1 ZINC000647128572 423768362 /nfs/dbraw/zinc/76/83/62/423768362.db2.gz JJTDLSLCBSQPRW-GFCCVEGCSA-N -1 1 316.354 1.091 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cc(C(N)=O)ccc1F)C1CC1 ZINC000647128573 423769196 /nfs/dbraw/zinc/76/91/96/423769196.db2.gz JJTDLSLCBSQPRW-LBPRGKRZSA-N -1 1 316.354 1.091 20 0 DDADMM O=C(NCc1nnc2n1CCC2)c1ncc2ccccc2c1[O-] ZINC000665852811 423886540 /nfs/dbraw/zinc/88/65/40/423886540.db2.gz QDRQAWJIMTZAHB-UHFFFAOYSA-N -1 1 309.329 1.408 20 0 DDADMM Cn1cc([C@H]2N(C(=O)c3cccc4nn[nH]c43)CC2(C)C)cn1 ZINC000652171903 423927799 /nfs/dbraw/zinc/92/77/99/423927799.db2.gz AWSUUNGQZNBGFY-CQSZACIVSA-N -1 1 310.361 1.915 20 0 DDADMM C[C@H](N=c1ccc(N2C[C@H](O)C[C@H](O)C2)n[n-]1)c1ccccc1 ZINC000644873892 424000240 /nfs/dbraw/zinc/00/02/40/424000240.db2.gz WKZDCYJBYGRHGR-AEGPPILISA-N -1 1 314.389 1.004 20 0 DDADMM O=C(c1ccn(C(F)F)n1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644883888 424013665 /nfs/dbraw/zinc/01/36/65/424013665.db2.gz GVMVYVIGLNBSNH-SSDOTTSWSA-N -1 1 313.264 1.387 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC(C2N=NC(=O)O2)CC1 ZINC000644886850 424015184 /nfs/dbraw/zinc/01/51/84/424015184.db2.gz JTSQXCSOUPKSNR-UHFFFAOYSA-N -1 1 307.281 1.640 20 0 DDADMM O=S(=O)([N-]C1(CCO)CC1)c1ncccc1Br ZINC000656994132 423967855 /nfs/dbraw/zinc/96/78/55/423967855.db2.gz CLQGTVGHLGMKLA-UHFFFAOYSA-N -1 1 321.196 1.037 20 0 DDADMM C[C@@H](F)CC[N-]S(=O)(=O)c1ncccc1Br ZINC000656997494 423974016 /nfs/dbraw/zinc/97/40/16/423974016.db2.gz SOZMAROXBJGQCQ-SSDOTTSWSA-N -1 1 311.176 1.871 20 0 DDADMM CCO[C@H]1C[C@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC000657107031 424113705 /nfs/dbraw/zinc/11/37/05/424113705.db2.gz YMEKALREERZFCF-KYZUINATSA-N -1 1 324.324 1.946 20 0 DDADMM C[C@]1(CNC(=O)c2ccc(C(F)(F)F)c([O-])c2)CCC(=O)N1 ZINC000654608502 424100322 /nfs/dbraw/zinc/10/03/22/424100322.db2.gz FOSAEDJGZVCHNU-CYBMUJFWSA-N -1 1 316.279 1.810 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H](O)C12CCC2)c1cccc(F)c1F ZINC000657183750 424194122 /nfs/dbraw/zinc/19/41/22/424194122.db2.gz DCWIUFSLCXKPHR-MNOVXSKESA-N -1 1 303.330 1.547 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)CC1CC1)c1cc(F)ccc1F ZINC000657184163 424197977 /nfs/dbraw/zinc/19/79/77/424197977.db2.gz ZJHFFHMXIYZYQH-SNVBAGLBSA-N -1 1 305.346 1.652 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](O)C12CCC2)c1cc(F)c(F)cc1F ZINC000657186051 424199472 /nfs/dbraw/zinc/19/94/72/424199472.db2.gz IPXUKRBMEHIZKR-RYUDHWBXSA-N -1 1 321.320 1.686 20 0 DDADMM O=C(C(=O)N1CC2(CCC2)[C@H]2COC[C@@H]21)c1ccc([O-])cc1 ZINC000655137872 424242919 /nfs/dbraw/zinc/24/29/19/424242919.db2.gz YBTCGFTWVUEWPF-KBPBESRZSA-N -1 1 301.342 1.602 20 0 DDADMM CN(C)c1noc(CN2C[C@H](C(=O)[O-])[C@@H](c3ccccc3)C2)n1 ZINC000659797274 424263040 /nfs/dbraw/zinc/26/30/40/424263040.db2.gz RVTNSXKFQXXSJW-OLZOCXBDSA-N -1 1 316.361 1.436 20 0 DDADMM O=C(NCc1nc([C@@H]2CCCO2)n[nH]1)c1cc(Cl)ccc1[O-] ZINC000655238294 424325986 /nfs/dbraw/zinc/32/59/86/424325986.db2.gz ZUYREAZATOEHPN-NSHDSACASA-N -1 1 322.752 1.945 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1C[C@@H]2COC[C@H](C1)O2 ZINC000640340090 424363289 /nfs/dbraw/zinc/36/32/89/424363289.db2.gz NYZYCVHGBNMDEY-AOOOYVTPSA-N -1 1 305.359 1.350 20 0 DDADMM CNC(=O)CCCN(C)C(=O)N=c1[n-]sc2ccccc21 ZINC000640341754 424363589 /nfs/dbraw/zinc/36/35/89/424363589.db2.gz KALHLXPGRDXOCL-UHFFFAOYSA-N -1 1 306.391 1.708 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@H]1CC[C@@H](CO)O1 ZINC000640340091 424364800 /nfs/dbraw/zinc/36/48/00/424364800.db2.gz NZMDDNSSERMXAK-ZJUUUORDSA-N -1 1 307.375 1.380 20 0 DDADMM COc1ccc([C@@H](C)N(C)[C@@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662201689 424464809 /nfs/dbraw/zinc/46/48/09/424464809.db2.gz KNPIOGQCAOVUIA-BXUZGUMPSA-N -1 1 306.362 1.374 20 0 DDADMM CCN([C@@H](C)c1cccc(O)c1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662201022 424465263 /nfs/dbraw/zinc/46/52/63/424465263.db2.gz FGEMABAJSOZWGE-FZMZJTMJSA-N -1 1 306.362 1.461 20 0 DDADMM C[C@@H]1COC2(CCC2)CN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000664344619 424549828 /nfs/dbraw/zinc/54/98/28/424549828.db2.gz AEWNZJPDOUYDGV-LLVKDONJSA-N -1 1 313.357 1.707 20 0 DDADMM O=C(NC[C@H](CO)CC1CC1)c1nc2ccccc2c(=O)[n-]1 ZINC000664401015 424574832 /nfs/dbraw/zinc/57/48/32/424574832.db2.gz IZGVPUNWALTRSB-LLVKDONJSA-N -1 1 301.346 1.062 20 0 DDADMM C[C@]1(C(F)F)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000664497246 424612152 /nfs/dbraw/zinc/61/21/52/424612152.db2.gz VKQOQZXYOQSZQQ-OAHLLOKOSA-N -1 1 323.299 1.419 20 0 DDADMM O=C([O-])C1CCC(NC(=O)N[C@H]2CCC[N@H+]3CCCC[C@@H]23)CC1 ZINC000655878800 424690036 /nfs/dbraw/zinc/69/00/36/424690036.db2.gz FUWHWMPXVSMCLI-WUCCLRPBSA-N -1 1 323.437 1.946 20 0 DDADMM CC(C)[C@H](CNC(=O)NCc1n[nH]c(C(C)(C)C)n1)C(=O)[O-] ZINC000655880926 424691279 /nfs/dbraw/zinc/69/12/79/424691279.db2.gz YXTBQZCYTXJRMG-VIFPVBQESA-N -1 1 311.386 1.258 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2ccc(C)nc2)ccc1O ZINC000317090261 271020086 /nfs/dbraw/zinc/02/00/86/271020086.db2.gz AXZYFOGBUNMJNF-UHFFFAOYSA-N -1 1 322.342 1.683 20 0 DDADMM CN(C(=O)c1ccc(Br)c([O-])c1)[C@@H]1CCNC1=O ZINC000345073405 272168281 /nfs/dbraw/zinc/16/82/81/272168281.db2.gz XMEDRPTYJFEFNG-SECBINFHSA-N -1 1 313.151 1.115 20 0 DDADMM CNC(=O)c1sc2[n-]c(-c3coc(C)n3)nc(=O)c2c1C ZINC000345200344 272189965 /nfs/dbraw/zinc/18/99/65/272189965.db2.gz ILDDIOWSFWYKBV-UHFFFAOYSA-N -1 1 304.331 1.616 20 0 DDADMM C[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)[C@@H](C)[C@@H](C)O1 ZINC000438405187 288056061 /nfs/dbraw/zinc/05/60/61/288056061.db2.gz VOISRKRDWAEXAY-KXUCPTDWSA-N -1 1 304.350 1.312 20 0 DDADMM C[C@H](NC(=O)c1nc(=O)[n-][nH]1)c1cccc(Cl)c1Cl ZINC000117990258 281084409 /nfs/dbraw/zinc/08/44/09/281084409.db2.gz KIIDGCNFSWBSLY-YFKPBYRVSA-N -1 1 301.133 1.896 20 0 DDADMM CSC[C@@H](CCO)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000121190931 281133870 /nfs/dbraw/zinc/13/38/70/281133870.db2.gz NVPZKNATQKEVRI-LLVKDONJSA-N -1 1 315.416 1.825 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@@H](O)C1)c1cc(F)c(F)cc1F ZINC000121823386 281144749 /nfs/dbraw/zinc/14/47/49/281144749.db2.gz ILJCCVUXOLOZRH-RKDXNWHRSA-N -1 1 323.336 1.933 20 0 DDADMM C[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccsc1 ZINC000130698604 281391196 /nfs/dbraw/zinc/39/11/96/281391196.db2.gz DCNLPLCSDAQHHK-ZCFIWIBFSA-N -1 1 300.365 1.012 20 0 DDADMM C[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccsc1 ZINC000130698604 281391200 /nfs/dbraw/zinc/39/12/00/281391200.db2.gz DCNLPLCSDAQHHK-ZCFIWIBFSA-N -1 1 300.365 1.012 20 0 DDADMM O=C([C@@H]1COc2ccccc2C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000362780860 300139061 /nfs/dbraw/zinc/13/90/61/300139061.db2.gz IUTTZZQGZNYCGZ-STQMWFEESA-N -1 1 313.361 1.157 20 0 DDADMM CCC(CC)n1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000363150817 300221009 /nfs/dbraw/zinc/22/10/09/300221009.db2.gz WOQZCXJZGJIKEC-LLVKDONJSA-N -1 1 317.397 1.777 20 0 DDADMM O=C(Cc1ccccc1Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000363165546 300224088 /nfs/dbraw/zinc/22/40/88/300224088.db2.gz FSQLAUCMVXNLSL-NSHDSACASA-N -1 1 305.769 1.802 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@]1(C)CCO[C@@H]1C1CC1)c2=O ZINC000363843775 300316562 /nfs/dbraw/zinc/31/65/62/300316562.db2.gz HDXBPOVCHSAMQH-CZUORRHYSA-N -1 1 316.361 1.361 20 0 DDADMM O=C(c1cc(F)c([O-])c(F)c1)N1CCC(c2nc[nH]n2)CC1 ZINC000363995612 300333792 /nfs/dbraw/zinc/33/37/92/300333792.db2.gz DNSPYFIMBLVALA-UHFFFAOYSA-N -1 1 308.288 1.808 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)Nc1ccccn1 ZINC000364269031 300365806 /nfs/dbraw/zinc/36/58/06/300365806.db2.gz YHSBXPYDBQXPIA-UHFFFAOYSA-N -1 1 321.340 1.717 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2C[C@H](O)C3(CC3)C2)c(=O)[n-]1 ZINC000368750273 301085719 /nfs/dbraw/zinc/08/57/19/301085719.db2.gz NZBAXEXWDZDMCA-NSHDSACASA-N -1 1 323.418 1.128 20 0 DDADMM COC[C@H]1CN(C(=O)c2cnc3nc(C)ccc3c2[O-])CCO1 ZINC000369741189 301219210 /nfs/dbraw/zinc/21/92/10/301219210.db2.gz KTGJILVMELOKFD-LLVKDONJSA-N -1 1 317.345 1.131 20 0 DDADMM COC[C@H]1CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)CCO1 ZINC000369741189 301219211 /nfs/dbraw/zinc/21/92/11/301219211.db2.gz KTGJILVMELOKFD-LLVKDONJSA-N -1 1 317.345 1.131 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H]2CC[C@@H](C1)O2 ZINC000377946731 302254640 /nfs/dbraw/zinc/25/46/40/302254640.db2.gz KHLOWUOGLIUPNR-PHIMTYICSA-N -1 1 305.378 1.792 20 0 DDADMM Cc1cnc(C(=O)N2CCCC[C@H]2CN2CCOCC2)c([O-])c1 ZINC000408228881 302331817 /nfs/dbraw/zinc/33/18/17/302331817.db2.gz KIINZTGQACHLNB-AWEZNQCLSA-N -1 1 319.405 1.423 20 0 DDADMM Cc1cnc(C(=O)N2CCS(=O)(=O)[C@H]3CCCC[C@@H]32)c([O-])c1 ZINC000427133831 302333005 /nfs/dbraw/zinc/33/30/05/302333005.db2.gz SEFDSHQRACTIFQ-AAEUAGOBSA-N -1 1 324.402 1.277 20 0 DDADMM COC(=O)N1CCC(CNC(=O)c2ncc(C)cc2[O-])CC1 ZINC000495632409 302438097 /nfs/dbraw/zinc/43/80/97/302438097.db2.gz ZBPICMREJDIDSD-UHFFFAOYSA-N -1 1 307.350 1.304 20 0 DDADMM CN(C)C(=O)C(C)(C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000518666476 302828673 /nfs/dbraw/zinc/82/86/73/302828673.db2.gz AFDPSSALFMDJCN-UHFFFAOYSA-N -1 1 306.334 1.110 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H](O)[C@H]2CCCO2)c(F)c1 ZINC000528483514 303032730 /nfs/dbraw/zinc/03/27/30/303032730.db2.gz GKLXNFJPHFOLLB-VXGBXAGGSA-N -1 1 321.345 1.091 20 0 DDADMM O=S(=O)([N-]CC12CC(C1)CO2)c1ccc(Br)o1 ZINC000528530039 303040196 /nfs/dbraw/zinc/04/01/96/303040196.db2.gz XNVTTXMNDIDNMM-UHFFFAOYSA-N -1 1 322.180 1.499 20 0 DDADMM Cn1[n-]c(CN2CCC(Oc3cccc(F)c3)CC2)nc1=O ZINC000528574283 303046629 /nfs/dbraw/zinc/04/66/29/303046629.db2.gz PAJPJHUFEGXQGO-UHFFFAOYSA-N -1 1 306.341 1.291 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2cnn(C3CC3)c2)c1Br ZINC000528738756 303059619 /nfs/dbraw/zinc/05/96/19/303059619.db2.gz LFBYEQHHUQKGIW-UHFFFAOYSA-N -1 1 324.182 1.940 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@]1(C)CCCC[C@@H]1O)c2=O ZINC000132817668 303060376 /nfs/dbraw/zinc/06/03/76/303060376.db2.gz PSIQJJWEMGFPGK-LRDDRELGSA-N -1 1 318.377 1.345 20 0 DDADMM C[C@H]1[C@@H](C2CC2)[C@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000528951769 303074478 /nfs/dbraw/zinc/07/44/78/303074478.db2.gz RNIMULACGHFBIN-PAWXDDDFSA-N -1 1 310.375 1.270 20 0 DDADMM C[C@]1(CNC(=O)c2ncccc2[O-])COc2ccccc2O1 ZINC000529618386 303142059 /nfs/dbraw/zinc/14/20/59/303142059.db2.gz SOGKWJLDCSFKAM-INIZCTEOSA-N -1 1 300.314 1.747 20 0 DDADMM COC[C@H](Cc1ccccc1)N(C)Cc1cnc(C(=O)[O-])cn1 ZINC000530163784 303177725 /nfs/dbraw/zinc/17/77/25/303177725.db2.gz PXVVQALJQZPVSP-HNNXBMFYSA-N -1 1 315.373 1.864 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2c3ccccc3C[C@@H]2O)sc1C ZINC000530427495 303196937 /nfs/dbraw/zinc/19/69/37/303196937.db2.gz SFYXOUDWRNJSIZ-QWHCGFSZSA-N -1 1 324.427 1.697 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccnn1C1CCCC1 ZINC000532497348 303288029 /nfs/dbraw/zinc/28/80/29/303288029.db2.gz UBNPAUXSJHULOI-UHFFFAOYSA-N -1 1 315.381 1.711 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000533076438 303307098 /nfs/dbraw/zinc/30/70/98/303307098.db2.gz DBYKHGSLZARICQ-VXGBXAGGSA-N -1 1 313.357 1.422 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C[C@@H](C)O[C@@H](C)C2)sc1C ZINC000535764927 303351711 /nfs/dbraw/zinc/35/17/11/303351711.db2.gz DLODFOPVVPDUMZ-AKZRSSKFSA-N -1 1 304.437 1.994 20 0 DDADMM COC[C@H](CO)Nc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000357614518 306899088 /nfs/dbraw/zinc/89/90/88/306899088.db2.gz ZZNAAZHMPDIKTN-LBPRGKRZSA-N -1 1 304.350 1.280 20 0 DDADMM C[C@]1(CCC(=O)N=c2cc(-c3cccs3)[n-][nH]2)CCC(=O)N1 ZINC000537751798 303379425 /nfs/dbraw/zinc/37/94/25/303379425.db2.gz IWAAOSGQCUNLBR-HNNXBMFYSA-N -1 1 318.402 1.948 20 0 DDADMM CC(C)c1nnc(Sc2nc3c(cnn3C)c(=O)[n-]2)n1C ZINC000538870142 303391551 /nfs/dbraw/zinc/39/15/51/303391551.db2.gz DQFGYJVZKKVFDN-UHFFFAOYSA-N -1 1 305.367 1.472 20 0 DDADMM COCCn1cc(CN=c2[n-]ncn2Cc2ccccc2)cn1 ZINC000547636930 303544034 /nfs/dbraw/zinc/54/40/34/303544034.db2.gz YJNXYNRMKKNZAH-UHFFFAOYSA-N -1 1 312.377 1.203 20 0 DDADMM O=C(Nc1cccc(N2C(=O)CNC2=O)c1)c1cncc([O-])c1 ZINC000550595985 303625674 /nfs/dbraw/zinc/62/56/74/303625674.db2.gz OCKXXPLKPUKHPO-UHFFFAOYSA-N -1 1 312.285 1.096 20 0 DDADMM O=C([N-]c1nnc(C2CC2)s1)c1csc(-c2nc[nH]n2)n1 ZINC000558697646 303780184 /nfs/dbraw/zinc/78/01/84/303780184.db2.gz VOMVFQWXRZRIAA-UHFFFAOYSA-N -1 1 319.375 1.909 20 0 DDADMM C[C@H]1C[C@@H](O)CN1c1ccc(=NCc2ccccc2F)[n-]n1 ZINC000561229913 303836488 /nfs/dbraw/zinc/83/64/88/303836488.db2.gz LUBBPZHWBFHCRJ-WCQYABFASA-N -1 1 302.353 1.609 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cc(C)c3nccn3c2)nc1C ZINC000562540809 303871698 /nfs/dbraw/zinc/87/16/98/303871698.db2.gz BOGVIWKNYAFUGH-UHFFFAOYSA-N -1 1 319.390 1.968 20 0 DDADMM Cn1cc(N2CC[C@@H](NC(=O)c3cc(F)ccc3[O-])C2)cn1 ZINC000364206371 307043847 /nfs/dbraw/zinc/04/38/47/307043847.db2.gz HNPXPCHLQHLXDG-LLVKDONJSA-N -1 1 304.325 1.274 20 0 DDADMM Cc1n[nH]cc1C1CCN(Cc2cc(=O)n3[n-]ccc3n2)CC1 ZINC000375360019 307224101 /nfs/dbraw/zinc/22/41/01/307224101.db2.gz GQZCEEIDXACFGB-UHFFFAOYSA-N -1 1 312.377 1.434 20 0 DDADMM CSc1ccccc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000377609684 307274650 /nfs/dbraw/zinc/27/46/50/307274650.db2.gz STPPHAFYKMFOJZ-JTQLQIEISA-N -1 1 305.363 1.135 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@@H]3NC(=O)O[C@@H]3C)[nH][n-]2)c1 ZINC000496148179 307328700 /nfs/dbraw/zinc/32/87/00/307328700.db2.gz CCYIBQMZULQCRV-AMIZOPFISA-N -1 1 318.308 1.382 20 0 DDADMM COc1ccc(O)c(C(=O)N=c2[n-]c(C)nn2-c2ccccc2)c1 ZINC000514817251 307402545 /nfs/dbraw/zinc/40/25/45/307402545.db2.gz YRJQZJQYBOVZHO-UHFFFAOYSA-N -1 1 324.340 1.964 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCC[C@@H](F)C2)o1 ZINC000516566581 307417359 /nfs/dbraw/zinc/41/73/59/307417359.db2.gz GVDJVQUATNLVFB-BDAKNGLRSA-N -1 1 305.327 1.625 20 0 DDADMM NS(=O)(=O)CCCCCC(=O)Nc1ccc([O-])c(Cl)c1 ZINC000516974828 307426350 /nfs/dbraw/zinc/42/63/50/307426350.db2.gz LRQHBSCBLRROJO-UHFFFAOYSA-N -1 1 320.798 1.833 20 0 DDADMM CCC[C@H]1CN(c2ncc(C(=O)[O-])cn2)CCN1CCOC ZINC000565035940 308017392 /nfs/dbraw/zinc/01/73/92/308017392.db2.gz ONUQUKIBXUHGCT-ZDUSSCGKSA-N -1 1 308.382 1.112 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1CO[C@H](C)C1 ZINC000567960904 308102873 /nfs/dbraw/zinc/10/28/73/308102873.db2.gz DHCUGRADNRSUBU-SKDRFNHKSA-N -1 1 318.377 1.278 20 0 DDADMM COCC[C@H]([N-]S(=O)(=O)c1cscn1)c1ccco1 ZINC000568623678 308125052 /nfs/dbraw/zinc/12/50/52/308125052.db2.gz UZTLFUNSWGUONF-VIFPVBQESA-N -1 1 302.377 1.792 20 0 DDADMM CCN(C)[C@H](C(=O)N1C[C@@H](C)[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000573683756 308249456 /nfs/dbraw/zinc/24/94/56/308249456.db2.gz GZWNCHVVRZPEAQ-YUELXQCFSA-N -1 1 304.390 1.859 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)[C@H]1CCC(=O)c2ccccc21 ZINC000576050043 308286862 /nfs/dbraw/zinc/28/68/62/308286862.db2.gz XEGBHNWANTXZJI-NSHDSACASA-N -1 1 313.313 1.895 20 0 DDADMM CC(C)[C@]1(C)C[C@@H]1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000576362800 308309940 /nfs/dbraw/zinc/30/99/40/308309940.db2.gz VZJJSKIRBOFLTA-HZMBPMFUSA-N -1 1 324.454 1.217 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(CC(C)C)c1)C1CC1 ZINC000580952453 308643317 /nfs/dbraw/zinc/64/33/17/308643317.db2.gz FOMJKKPCUXTNHW-ZDUSSCGKSA-N -1 1 301.412 1.706 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)C[C@@H]2CCCCC2(F)F)n1 ZINC000295896663 533708234 /nfs/dbraw/zinc/70/82/34/533708234.db2.gz LGJHFPZSWDRENK-QMMMGPOBSA-N -1 1 322.333 1.796 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)N=c2nc3n([n-]2)CCCC3)n[nH]1 ZINC000584275671 332180919 /nfs/dbraw/zinc/18/09/19/332180919.db2.gz ZUWJPVLTQOIZRQ-VIFPVBQESA-N -1 1 318.385 1.161 20 0 DDADMM O=C(NC1CC1)c1[nH]nnc1NC(=O)[C@H]1C[C@@H]1c1ccccc1 ZINC000582953094 337221875 /nfs/dbraw/zinc/22/18/75/337221875.db2.gz MTSGOLJRBCCKHG-NEPJUHHUSA-N -1 1 311.345 1.439 20 0 DDADMM COc1ccccc1[C@H](CO)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000584521604 337354971 /nfs/dbraw/zinc/35/49/71/337354971.db2.gz DBQZYJVKSLGYQV-AWEZNQCLSA-N -1 1 315.325 1.433 20 0 DDADMM NS(=O)(=O)CCCCCC(=O)Nc1ccc([O-])c(F)c1F ZINC000584638153 337379593 /nfs/dbraw/zinc/37/95/93/337379593.db2.gz KPFYEZFLBLPXQO-UHFFFAOYSA-N -1 1 322.333 1.458 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC000372367442 483932307 /nfs/dbraw/zinc/93/23/07/483932307.db2.gz QLUBSWYKFOLMOD-JQWIXIFHSA-N -1 1 303.341 1.849 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccc2c(cc[nH]c2=O)c1 ZINC000656634367 484252609 /nfs/dbraw/zinc/25/26/09/484252609.db2.gz VLMVOPDEALZMTF-UHFFFAOYSA-N -1 1 310.375 1.695 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC(C2CCOCC2)C1 ZINC000669666203 484603780 /nfs/dbraw/zinc/60/37/80/484603780.db2.gz BNUXURWOVPVFLW-UHFFFAOYSA-N -1 1 313.357 1.422 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC1(C(F)F)CC1 ZINC000669754337 484633905 /nfs/dbraw/zinc/63/39/05/484633905.db2.gz WSYDJAPZBQRHBN-UHFFFAOYSA-N -1 1 324.331 1.898 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)[nH]n1 ZINC000670383919 484800681 /nfs/dbraw/zinc/80/06/81/484800681.db2.gz TWZBDPZZAXTGEN-VIFPVBQESA-N -1 1 319.365 1.816 20 0 DDADMM O=C(c1ccc2c(c1)OCO2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405473 484808468 /nfs/dbraw/zinc/80/84/68/484808468.db2.gz GQHRJKIMVUAVOO-UHFFFAOYSA-N -1 1 317.301 1.524 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cn1 ZINC000670405698 484809266 /nfs/dbraw/zinc/80/92/66/484809266.db2.gz XRDYDJCIQNBOPF-UHFFFAOYSA-N -1 1 317.349 1.256 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCCC2(F)F)co1 ZINC000672499477 485307979 /nfs/dbraw/zinc/30/79/79/485307979.db2.gz UIADWIALXNWFFH-MRVPVSSYSA-N -1 1 308.306 1.105 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(CCc2ccccc2)ccc1O ZINC000678313678 485537211 /nfs/dbraw/zinc/53/72/11/485537211.db2.gz ROEISGVKTJYPRJ-UHFFFAOYSA-N -1 1 323.356 1.621 20 0 DDADMM COC[C@](C)(CO)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000682363744 485570050 /nfs/dbraw/zinc/57/00/50/485570050.db2.gz VYKOGPLHRAYXBM-ZDUSSCGKSA-N -1 1 303.742 1.181 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CC[C@@H](O)C2)c(F)c1 ZINC000682726330 485759284 /nfs/dbraw/zinc/75/92/84/485759284.db2.gz TYTOGRAFSUAVHY-DTWKUNHWSA-N -1 1 321.345 1.413 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC(OCC(F)F)C1 ZINC000675148870 485867741 /nfs/dbraw/zinc/86/77/41/485867741.db2.gz UDUUTYAFWAMANR-UHFFFAOYSA-N -1 1 309.272 1.029 20 0 DDADMM O=C([O-])C1(CNC(=O)Cc2[nH]nc3ccccc32)CCCC1 ZINC000676025157 486091127 /nfs/dbraw/zinc/09/11/27/486091127.db2.gz NCFYUOKGCOOGGF-UHFFFAOYSA-N -1 1 301.346 1.867 20 0 DDADMM O=C1CCC[C@H](C[N-]S(=O)(=O)c2c(F)cccc2Cl)N1 ZINC000683951834 486180611 /nfs/dbraw/zinc/18/06/11/486180611.db2.gz BNOFDUQTTYDSJK-MRVPVSSYSA-N -1 1 320.773 1.426 20 0 DDADMM CO[C@H]1C[C@@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C1(C)C ZINC000676416620 486222745 /nfs/dbraw/zinc/22/27/45/486222745.db2.gz UUZNNKRLMSDRDW-ZJUUUORDSA-N -1 1 304.437 1.852 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)N[C@H]2CCN(CC3CC3)C2)cc1 ZINC000680653956 486255481 /nfs/dbraw/zinc/25/54/81/486255481.db2.gz FDNKEMHHKJFKEW-ZDUSSCGKSA-N -1 1 324.402 1.147 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(OCC(C)(C)O)cc2)c1[O-] ZINC000676698294 486292290 /nfs/dbraw/zinc/29/22/90/486292290.db2.gz TYRFLULMSHLCJJ-UHFFFAOYSA-N -1 1 305.334 1.826 20 0 DDADMM Cc1cc(CN2CCN(C(=O)c3ccc([O-])c(F)c3)CC2)on1 ZINC000681016120 486323894 /nfs/dbraw/zinc/32/38/94/486323894.db2.gz OTGRMOFRTUEYDP-UHFFFAOYSA-N -1 1 319.336 1.786 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(C(=O)N2CCCC2)CC1 ZINC000681014312 486323985 /nfs/dbraw/zinc/32/39/85/486323985.db2.gz KAENAAGKDHYVSY-UHFFFAOYSA-N -1 1 321.352 1.505 20 0 DDADMM O=C(N[C@H](CO)Cc1ccc(O)cc1)c1ccc([O-])c(F)c1 ZINC000681065853 486335216 /nfs/dbraw/zinc/33/52/16/486335216.db2.gz HZZZUDXVZBLIDV-LBPRGKRZSA-N -1 1 305.305 1.570 20 0 DDADMM CC(C)(C)c1ncc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000677047627 486386182 /nfs/dbraw/zinc/38/61/82/486386182.db2.gz HKUVGHPPXVBGOX-SECBINFHSA-N -1 1 320.422 1.974 20 0 DDADMM O=C(c1cccc(O)c1)N1CCN(Cc2ncccc2[O-])CC1 ZINC000685414750 486534737 /nfs/dbraw/zinc/53/47/37/486534737.db2.gz ALWKVDNZYGBFNU-UHFFFAOYSA-N -1 1 313.357 1.451 20 0 DDADMM Cn1cnc(C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)n1 ZINC000685583227 486569886 /nfs/dbraw/zinc/56/98/86/486569886.db2.gz OXIHCHLNHFLBQS-UHFFFAOYSA-N -1 1 314.345 1.256 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)[C@@H](C)OC)c(F)c1 ZINC000685605686 486572826 /nfs/dbraw/zinc/57/28/26/486572826.db2.gz XHWLELXGFOIBJK-HTQZYQBOSA-N -1 1 309.334 1.675 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2cccc(F)c2F)CCOCC1 ZINC000119403348 490602953 /nfs/dbraw/zinc/60/29/53/490602953.db2.gz ZCRMMHNJIOWSDL-UHFFFAOYSA-N -1 1 321.345 1.439 20 0 DDADMM Cc1cc(CN[C@@H]2CCN([C@H](C(=O)[O-])C(C)C)C2=O)ccc1F ZINC000414664766 533721394 /nfs/dbraw/zinc/72/13/94/533721394.db2.gz TXTNIKYCCYIGJL-CABCVRRESA-N -1 1 322.380 1.934 20 0 DDADMM COC(=O)c1ccnc(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436997270 534298296 /nfs/dbraw/zinc/29/82/96/534298296.db2.gz DZLSEGADZPKONO-UHFFFAOYSA-N -1 1 300.270 1.395 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)Cc2c(F)cccc2Cl)no1 ZINC000451240909 534390471 /nfs/dbraw/zinc/39/04/71/534390471.db2.gz FHOVVRWOEBDQQO-UHFFFAOYSA-N -1 1 319.745 1.790 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H](O)COCC(C)C)sc1C ZINC000443383878 534516824 /nfs/dbraw/zinc/51/68/24/534516824.db2.gz KJJSEEVHCDNYLH-NSHDSACASA-N -1 1 322.452 1.072 20 0 DDADMM C[C@@H](CN(C)C(=O)c1sccc1-n1cccc1)c1nn[n-]n1 ZINC000156562274 524571239 /nfs/dbraw/zinc/57/12/39/524571239.db2.gz XPSVHZCYMNNOLP-JTQLQIEISA-N -1 1 316.390 1.928 20 0 DDADMM Cn1cc(N2CC[C@@H]([N-]c3noc(-c4ccccc4)n3)C2=O)cn1 ZINC000157618211 527255766 /nfs/dbraw/zinc/25/57/66/527255766.db2.gz RCQBMFLRFDEUEK-CYBMUJFWSA-N -1 1 324.344 1.688 20 0 DDADMM CC(C)[C@H]1CN(C(=O)NCc2ccc(C(=O)[O-])cc2)CCN1C ZINC000414606380 527833469 /nfs/dbraw/zinc/83/34/69/527833469.db2.gz FAYPMMPJQWLTMT-OAHLLOKOSA-N -1 1 319.405 1.866 20 0 DDADMM CCO[C@@H]1C[C@@]([N-]S(=O)(=O)CC2CC2)(C(=O)OC)C1(C)C ZINC000444274836 527882916 /nfs/dbraw/zinc/88/29/16/527882916.db2.gz FMIDISLZBADAQQ-BXUZGUMPSA-N -1 1 319.423 1.063 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2ccc(C)c(OC)c2)[n-]n1 ZINC000413166280 528230996 /nfs/dbraw/zinc/23/09/96/528230996.db2.gz UCCYYEZGGMYSSQ-UHFFFAOYSA-N -1 1 314.389 1.620 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc(C)nc1Cl ZINC000295795231 528560675 /nfs/dbraw/zinc/56/06/75/528560675.db2.gz ZMQAMPTYSFHXEN-UHFFFAOYSA-N -1 1 314.769 1.975 20 0 DDADMM CCOC(=O)C(CC)(CC)[N-]S(=O)(=O)Cc1ccon1 ZINC000490532214 528570797 /nfs/dbraw/zinc/57/07/97/528570797.db2.gz WOINUYOJEHTOQK-UHFFFAOYSA-N -1 1 304.368 1.216 20 0 DDADMM CCC[C@@H](NC(=O)CCc1c(C)nn(C)c1C)c1nn[n-]n1 ZINC000434775155 528972056 /nfs/dbraw/zinc/97/20/56/528972056.db2.gz JZMVOGQHJCIDFC-GFCCVEGCSA-N -1 1 305.386 1.140 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)OCCc1ccccc1)c1nn[n-]n1 ZINC000294978682 528985993 /nfs/dbraw/zinc/98/59/93/528985993.db2.gz OKZKWUYSGIJYAS-TZMCWYRMSA-N -1 1 317.393 1.805 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)OCCc1ccccc1)c1nn[n-]n1 ZINC000294978675 528988630 /nfs/dbraw/zinc/98/86/30/528988630.db2.gz OKZKWUYSGIJYAS-GXTWGEPZSA-N -1 1 317.393 1.805 20 0 DDADMM CC[C@H]1CCC[C@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331982110 529231515 /nfs/dbraw/zinc/23/15/15/529231515.db2.gz WOFNNUPNJDTCIQ-WDEREUQCSA-N -1 1 309.439 1.426 20 0 DDADMM CC[C@H]1[C@H](CO)CCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000424093937 529343910 /nfs/dbraw/zinc/34/39/10/529343910.db2.gz SMPOTAMSCLUSPL-GWCFXTLKSA-N -1 1 301.346 1.156 20 0 DDADMM Cc1ncsc1CCOC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737504011 599152243 /nfs/dbraw/zinc/15/22/43/599152243.db2.gz SEMGXMLKAPYTPI-UHFFFAOYSA-N -1 1 316.346 1.426 20 0 DDADMM Cc1ncsc1CCOC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737504011 599152244 /nfs/dbraw/zinc/15/22/44/599152244.db2.gz SEMGXMLKAPYTPI-UHFFFAOYSA-N -1 1 316.346 1.426 20 0 DDADMM Cc1nn(C[C@@H](C)c2ccccc2)c(=O)c(-c2nn[n-]n2)c1C ZINC000737513039 599193480 /nfs/dbraw/zinc/19/34/80/599193480.db2.gz FQBYQMVUXUOJSW-SNVBAGLBSA-N -1 1 310.361 1.844 20 0 DDADMM CC[C@]1(C)CCCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000820950288 599201900 /nfs/dbraw/zinc/20/19/00/599201900.db2.gz LQIGQRONEVTWPY-OAHLLOKOSA-N -1 1 300.366 1.914 20 0 DDADMM CC[C@]1(C)CCCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000820950288 599201903 /nfs/dbraw/zinc/20/19/03/599201903.db2.gz LQIGQRONEVTWPY-OAHLLOKOSA-N -1 1 300.366 1.914 20 0 DDADMM Cn1cc(-c2nc(CN3CCC(C(=O)[O-])CC3)cs2)cn1 ZINC000740280165 596917467 /nfs/dbraw/zinc/91/74/67/596917467.db2.gz IWUZGNRRRHEMIX-UHFFFAOYSA-N -1 1 306.391 1.840 20 0 DDADMM COc1cccc([C@@H](CNC(=O)NCCC(=O)[O-])N(C)C)c1 ZINC000315205655 597284150 /nfs/dbraw/zinc/28/41/50/597284150.db2.gz GVVLJARDAWXGPW-CYBMUJFWSA-N -1 1 309.366 1.072 20 0 DDADMM O=C([O-])[C@@H]1CCN(C[C@@H](O)c2cccc(Br)c2)C1 ZINC000819280974 597530481 /nfs/dbraw/zinc/53/04/81/597530481.db2.gz JNBWVBXNGVPLJJ-ZYHUDNBSSA-N -1 1 314.179 1.889 20 0 DDADMM COc1ccc2c(c1)CCC[C@@H]2N(C)CC(=O)NCC(=O)[O-] ZINC000820853346 597773353 /nfs/dbraw/zinc/77/33/53/597773353.db2.gz IZKYXBOHZBZPKF-AWEZNQCLSA-N -1 1 306.362 1.205 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)Nc1ccc(C(C)=O)cc1)C(=O)[O-] ZINC000820459617 598187283 /nfs/dbraw/zinc/18/72/83/598187283.db2.gz KCLBYQLVUTUJNA-BONVTDFDSA-N -1 1 306.362 1.917 20 0 DDADMM O=S(=O)(NCC1CCCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738344135 598260038 /nfs/dbraw/zinc/26/00/38/598260038.db2.gz VQDJDRVMHUHHHB-UHFFFAOYSA-N -1 1 322.394 1.120 20 0 DDADMM O=S(=O)(NCC1CCCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738344135 598260040 /nfs/dbraw/zinc/26/00/40/598260040.db2.gz VQDJDRVMHUHHHB-UHFFFAOYSA-N -1 1 322.394 1.120 20 0 DDADMM CCCCC[C@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736441297 598263515 /nfs/dbraw/zinc/26/35/15/598263515.db2.gz PFPITRWTBBFKJW-JTQLQIEISA-N -1 1 324.410 1.509 20 0 DDADMM CCCCC[C@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736441297 598263516 /nfs/dbraw/zinc/26/35/16/598263516.db2.gz PFPITRWTBBFKJW-JTQLQIEISA-N -1 1 324.410 1.509 20 0 DDADMM C[C@@H](CC(=O)n1ncc(-c2nn[n-]n2)c1N)C1CCCCC1 ZINC000735978444 598285929 /nfs/dbraw/zinc/28/59/29/598285929.db2.gz WJAPHCBQIMRPGI-VIFPVBQESA-N -1 1 303.370 1.892 20 0 DDADMM COc1ccccc1OCCNc1nccnc1-c1nnn[n-]1 ZINC000737152971 598336690 /nfs/dbraw/zinc/33/66/90/598336690.db2.gz VXBODCUTFCWMJK-UHFFFAOYSA-N -1 1 313.321 1.156 20 0 DDADMM COc1ccccc1OCCNc1nccnc1-c1nn[n-]n1 ZINC000737152971 598336692 /nfs/dbraw/zinc/33/66/92/598336692.db2.gz VXBODCUTFCWMJK-UHFFFAOYSA-N -1 1 313.321 1.156 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(Nc2ccc3c(c2)OCCCO3)n1 ZINC000738446070 598338674 /nfs/dbraw/zinc/33/86/74/598338674.db2.gz RFQVQIJLDSINHR-UHFFFAOYSA-N -1 1 311.305 1.562 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(Nc2ccc3c(c2)OCCCO3)n1 ZINC000738446070 598338676 /nfs/dbraw/zinc/33/86/76/598338676.db2.gz RFQVQIJLDSINHR-UHFFFAOYSA-N -1 1 311.305 1.562 20 0 DDADMM C[C@H](Nc1nccnc1-c1nnn[n-]1)c1ccc2c(c1)OCO2 ZINC000736137397 598340342 /nfs/dbraw/zinc/34/03/42/598340342.db2.gz MAGMVZBJLAZYCC-QMMMGPOBSA-N -1 1 311.305 1.559 20 0 DDADMM C[C@H](Nc1nccnc1-c1nn[n-]n1)c1ccc2c(c1)OCO2 ZINC000736137397 598340344 /nfs/dbraw/zinc/34/03/44/598340344.db2.gz MAGMVZBJLAZYCC-QMMMGPOBSA-N -1 1 311.305 1.559 20 0 DDADMM c1[nH]c(CNc2nccnc2-c2nnn[n-]2)nc1-c1ccccc1 ZINC000738420757 598350755 /nfs/dbraw/zinc/35/07/55/598350755.db2.gz KIOXJYAMYRLYTE-UHFFFAOYSA-N -1 1 319.332 1.659 20 0 DDADMM c1[nH]c(CNc2nccnc2-c2nn[n-]n2)nc1-c1ccccc1 ZINC000738420757 598350757 /nfs/dbraw/zinc/35/07/57/598350757.db2.gz KIOXJYAMYRLYTE-UHFFFAOYSA-N -1 1 319.332 1.659 20 0 DDADMM Cc1ccc2[nH]c(C(=O)n3ncc(-c4nn[n-]n4)c3N)cc2c1C ZINC000822271418 599319577 /nfs/dbraw/zinc/31/95/77/599319577.db2.gz ZMXCOAGIBRZHAE-UHFFFAOYSA-N -1 1 322.332 1.432 20 0 DDADMM OCC1CCN(c2cc(-c3nnn[n-]3)nc3ccccc32)CC1 ZINC000823715599 599478937 /nfs/dbraw/zinc/47/89/37/599478937.db2.gz VMCUXCMFOPHMSL-UHFFFAOYSA-N -1 1 310.361 1.624 20 0 DDADMM OCC1CCN(c2cc(-c3nn[n-]n3)nc3ccccc32)CC1 ZINC000823715599 599478940 /nfs/dbraw/zinc/47/89/40/599478940.db2.gz VMCUXCMFOPHMSL-UHFFFAOYSA-N -1 1 310.361 1.624 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N(C)CCc1cccc2ccccc21 ZINC000736208273 599716085 /nfs/dbraw/zinc/71/60/85/599716085.db2.gz MEJCGNQMBFSXNU-CYBMUJFWSA-N -1 1 314.385 1.903 20 0 DDADMM C[C@@H]1CN(c2ccc(C(=O)[O-])cc2)CCN1C(=O)c1cnc[nH]1 ZINC000736821111 599741226 /nfs/dbraw/zinc/74/12/26/599741226.db2.gz BFJQWDLDEAFKIJ-LLVKDONJSA-N -1 1 314.345 1.459 20 0 DDADMM CN(C)c1ccccc1N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821591128 607395549 /nfs/dbraw/zinc/39/55/49/607395549.db2.gz XFHREBIGSRHDJT-UHFFFAOYSA-N -1 1 323.360 1.604 20 0 DDADMM CN(C)c1ccccc1N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821591128 607395550 /nfs/dbraw/zinc/39/55/50/607395550.db2.gz XFHREBIGSRHDJT-UHFFFAOYSA-N -1 1 323.360 1.604 20 0 DDADMM CCOC(=O)c1ccc(NC(=O)CNC(C)(C)C(=O)[O-])cc1 ZINC000737155024 599925738 /nfs/dbraw/zinc/92/57/38/599925738.db2.gz HYIWBPGNEPLYDF-UHFFFAOYSA-N -1 1 308.334 1.255 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)c2[nH]nc3c2CCC3)C(=O)[O-])cc1 ZINC000738570825 600155776 /nfs/dbraw/zinc/15/57/76/600155776.db2.gz XXKAKAJKTGZFRX-CYBMUJFWSA-N -1 1 313.357 1.805 20 0 DDADMM C[C@H]1CN(CCC(=O)NCC(=O)[O-])C[C@H](c2ccccc2)O1 ZINC000736813150 600159919 /nfs/dbraw/zinc/15/99/19/600159919.db2.gz ZAKGXTMWUCPPCC-GXTWGEPZSA-N -1 1 306.362 1.039 20 0 DDADMM Cc1ccc([C@H]2CSCC[N@H+]2CCC(=O)NCC(=O)[O-])cc1 ZINC000738584000 600218818 /nfs/dbraw/zinc/21/88/18/600218818.db2.gz WFYSVWWEXASUTF-CQSZACIVSA-N -1 1 322.430 1.676 20 0 DDADMM Cc1[nH]nc(S(=O)(=O)Nc2cccc(F)c2F)c1C(=O)[O-] ZINC000738827687 600233726 /nfs/dbraw/zinc/23/37/26/600233726.db2.gz MYLLXENDUOQWNE-UHFFFAOYSA-N -1 1 317.273 1.495 20 0 DDADMM CC(C)C[C@@H](NC(=O)CN1CC[C@@H](c2ccco2)C1)C(=O)[O-] ZINC000736414614 600325657 /nfs/dbraw/zinc/32/56/57/600325657.db2.gz ZKZCREGWMJTRQE-CHWSQXEVSA-N -1 1 308.378 1.684 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NC[C@H](Cc1cccc(F)c1)C(=O)[O-] ZINC000737516379 600363201 /nfs/dbraw/zinc/36/32/01/600363201.db2.gz AIQIEKMTYBXSIN-DZGCQCFKSA-N -1 1 322.380 1.670 20 0 DDADMM CC[C@@H](O)[C@@H]1CCCCN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000736923686 600391892 /nfs/dbraw/zinc/39/18/92/600391892.db2.gz JAPIPHLNLRTWIK-LSDHHAIUSA-N -1 1 320.389 1.949 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN[C@@](C)(CO)c1ccccc1)C(=O)[O-] ZINC000736882963 600459415 /nfs/dbraw/zinc/45/94/15/600459415.db2.gz IZGKNSCWEKBNOF-MJEQTWJJSA-N -1 1 322.405 1.099 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN1CCC[C@@H]1CCCO ZINC000738530045 600555283 /nfs/dbraw/zinc/55/52/83/600555283.db2.gz BFXQJULHZIURNR-CQSZACIVSA-N -1 1 320.389 1.869 20 0 DDADMM COc1ccc([C@H](CC(=O)[O-])NC(=O)c2[nH]nc(C)c2C)cc1 ZINC000737956832 600613435 /nfs/dbraw/zinc/61/34/35/600613435.db2.gz DNHIIUVVDZXMLO-ZDUSSCGKSA-N -1 1 317.345 1.981 20 0 DDADMM CCc1[nH]c(C(=O)N2CCN(C3CCC3)CC2)c(C)c1C(=O)[O-] ZINC000737240018 600634591 /nfs/dbraw/zinc/63/45/91/600634591.db2.gz DTNPRFYDFSKQFM-UHFFFAOYSA-N -1 1 319.405 1.894 20 0 DDADMM CCN1CCN(CC(=O)Nc2ccc(C)cc2C(=O)[O-])CC1 ZINC000737114541 600669517 /nfs/dbraw/zinc/66/95/17/600669517.db2.gz BQGVVCYHXBXDNW-UHFFFAOYSA-N -1 1 305.378 1.269 20 0 DDADMM COCCN1CCN([C@@H](C(=O)[O-])c2ccccc2F)C[C@@H]1C ZINC000831745453 601001172 /nfs/dbraw/zinc/00/11/72/601001172.db2.gz QQNOUYNYWPRFFL-SWLSCSKDSA-N -1 1 310.369 1.604 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1Cc1noc(CCCC(=O)[O-])n1 ZINC000826741385 601011538 /nfs/dbraw/zinc/01/15/38/601011538.db2.gz CWBGLJFSQOXIAB-LBPRGKRZSA-N -1 1 310.398 1.249 20 0 DDADMM CCc1ccc(CNC(=O)CN[C@@](C)(C(=O)[O-])C2CC2)s1 ZINC000830250206 601032964 /nfs/dbraw/zinc/03/29/64/601032964.db2.gz WZFJXBIWGIRLPI-OAHLLOKOSA-N -1 1 310.419 1.770 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2cccc(F)c2)CC1 ZINC000827357388 601099055 /nfs/dbraw/zinc/09/90/55/601099055.db2.gz XOXQLVRJYRKUQB-GFUIURDCSA-N -1 1 320.364 1.846 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCc2cscn2)CC1 ZINC000827362547 601104045 /nfs/dbraw/zinc/10/40/45/601104045.db2.gz PUMAHRQEHZCDNJ-LLVKDONJSA-N -1 1 311.407 1.083 20 0 DDADMM Fc1ccc2c(c1)OCCN2Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826376340 607504524 /nfs/dbraw/zinc/50/45/24/607504524.db2.gz ZAWKZAIIFZDMFF-UHFFFAOYSA-N -1 1 312.308 1.800 20 0 DDADMM Fc1ccc2c(c1)OCCN2Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826376340 607504525 /nfs/dbraw/zinc/50/45/25/607504525.db2.gz ZAWKZAIIFZDMFF-UHFFFAOYSA-N -1 1 312.308 1.800 20 0 DDADMM CCN(CCC(=O)[O-])C(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000829701730 601382838 /nfs/dbraw/zinc/38/28/38/601382838.db2.gz LCOHCVUNOOMVJX-UHFFFAOYSA-N -1 1 321.358 1.561 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H](C2CCCCC2)CN1CC(=O)N1CCCC1 ZINC000833180370 601432116 /nfs/dbraw/zinc/43/21/16/601432116.db2.gz UDRVIWITMLQRMD-CABCVRRESA-N -1 1 308.422 1.964 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccc3c(c2)OCO3)C[C@@H]1C(=O)[O-] ZINC000315595306 601504943 /nfs/dbraw/zinc/50/49/43/601504943.db2.gz OXINYYAUBNKDGS-KOLCDFICSA-N -1 1 306.318 1.006 20 0 DDADMM C[C@@H]1CN([C@@H]2CCN(c3ccccc3F)C2=O)C[C@@H]1C(=O)[O-] ZINC000828431100 601542302 /nfs/dbraw/zinc/54/23/02/601542302.db2.gz FYJAOTJFUITCIG-UHIISALHSA-N -1 1 306.337 1.584 20 0 DDADMM O=C([O-])C[C@H](NS(=O)(=O)c1c(F)cc(F)cc1F)C1CC1 ZINC000137198633 601613443 /nfs/dbraw/zinc/61/34/43/601613443.db2.gz KYJRLFWACRGVEO-JTQLQIEISA-N -1 1 323.292 1.636 20 0 DDADMM C[C@@H]1CN(CN2C[C@H](c3ccccn3)CC2=O)C[C@H]1C(=O)[O-] ZINC000828452204 601816648 /nfs/dbraw/zinc/81/66/48/601816648.db2.gz YVDLHEHVOOVDGF-JHJVBQTASA-N -1 1 303.362 1.008 20 0 DDADMM O=C([O-])c1cc(CNCC(=O)N2CCCc3ccccc32)c[nH]1 ZINC000833296580 601832630 /nfs/dbraw/zinc/83/26/30/601832630.db2.gz JGDPFLOVOCHBFV-UHFFFAOYSA-N -1 1 313.357 1.782 20 0 DDADMM Cn1cc(N2CC[C@H](N3CCC(CCC(=O)[O-])CC3)C2=O)cn1 ZINC000833046791 601841849 /nfs/dbraw/zinc/84/18/49/601841849.db2.gz UMKKLTPJJMDWIT-AWEZNQCLSA-N -1 1 320.393 1.102 20 0 DDADMM O=C([O-])Nc1ccc(CNC(=O)CSc2c[nH]nn2)cc1 ZINC000833286853 601897175 /nfs/dbraw/zinc/89/71/75/601897175.db2.gz XHCIYVQJIPKRSR-UHFFFAOYSA-N -1 1 307.335 1.303 20 0 DDADMM O=C(CN1CCCC[C@H]1[C@H]1CCCN1C(=O)[O-])NCC1CC1 ZINC000740369647 601927068 /nfs/dbraw/zinc/92/70/68/601927068.db2.gz PBNJSUUGYUITLJ-UONOGXRCSA-N -1 1 309.410 1.510 20 0 DDADMM C[C@@H](C(=O)NCCC1=CCCCC1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738629225 601951124 /nfs/dbraw/zinc/95/11/24/601951124.db2.gz NPXBKCSGDPTZPT-DZGCQCFKSA-N -1 1 323.437 1.971 20 0 DDADMM O=C([O-])NC[C@H]1CC[N@H+](Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000740643138 601960947 /nfs/dbraw/zinc/96/09/47/601960947.db2.gz NGUZZCRYHLANBF-SNVBAGLBSA-N -1 1 318.329 1.588 20 0 DDADMM O=C([O-])NC[C@H]1CC[N@@H+](Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000740643138 601960949 /nfs/dbraw/zinc/96/09/49/601960949.db2.gz NGUZZCRYHLANBF-SNVBAGLBSA-N -1 1 318.329 1.588 20 0 DDADMM Cc1ccc(CNC(=O)CN2CC[C@H](CNC(=O)[O-])C2)cc1 ZINC000740127245 601962116 /nfs/dbraw/zinc/96/21/16/601962116.db2.gz VKYNYWWCTCMOOI-CQSZACIVSA-N -1 1 305.378 1.201 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)NCc2ccc(F)cc2)C1 ZINC000740642107 601964637 /nfs/dbraw/zinc/96/46/37/601964637.db2.gz LKCGIMCXJNHLSX-LBPRGKRZSA-N -1 1 309.341 1.031 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000828012682 601997717 /nfs/dbraw/zinc/99/77/17/601997717.db2.gz KDQODWXIHGWSIG-HNNXBMFYSA-N -1 1 315.333 1.596 20 0 DDADMM O=C1NCc2ccc(NCc3ccnc(-c4nnn[n-]4)c3)cc21 ZINC000826482380 607549781 /nfs/dbraw/zinc/54/97/81/607549781.db2.gz BZFCKYWWVRKVDP-UHFFFAOYSA-N -1 1 307.317 1.117 20 0 DDADMM O=C1NCc2ccc(NCc3ccnc(-c4nn[n-]n4)c3)cc21 ZINC000826482380 607549782 /nfs/dbraw/zinc/54/97/82/607549782.db2.gz BZFCKYWWVRKVDP-UHFFFAOYSA-N -1 1 307.317 1.117 20 0 DDADMM COC(=O)CC(C)(C)NCC(=O)Nc1ccsc1C(=O)[O-] ZINC000831125076 602260582 /nfs/dbraw/zinc/26/05/82/602260582.db2.gz XKHLMGJVANNJNK-UHFFFAOYSA-N -1 1 314.363 1.316 20 0 DDADMM CCCN1CCN(C(=O)[C@@H]2C[C@H]3CCCC[C@H]3N2C(=O)[O-])CC1 ZINC000739361924 602300664 /nfs/dbraw/zinc/30/06/64/602300664.db2.gz BERYOTUNUQPJGY-KFWWJZLASA-N -1 1 323.437 1.852 20 0 DDADMM CC1CCN(CC(=O)N(CC(=O)[O-])Cc2ccccc2)CC1 ZINC000828286482 602342010 /nfs/dbraw/zinc/34/20/10/602342010.db2.gz VADRTECUWNMOSZ-UHFFFAOYSA-N -1 1 304.390 1.832 20 0 DDADMM CC(C)N(CCCNC(=O)c1[nH]nc2c1CCCC2)C(=O)[O-] ZINC000738847520 602354277 /nfs/dbraw/zinc/35/42/77/602354277.db2.gz VXPKVUIAVVGDTN-UHFFFAOYSA-N -1 1 308.382 1.797 20 0 DDADMM CC1CCN(CC(=O)N2CCSC[C@H]2CC(=O)[O-])CC1 ZINC000828285065 602367733 /nfs/dbraw/zinc/36/77/33/602367733.db2.gz KPSJUWGQSBVSMK-GFCCVEGCSA-N -1 1 300.424 1.137 20 0 DDADMM Cc1ccc(CNC(=O)CN2CC[C@@H](CN(C)C(=O)[O-])C2)cc1 ZINC000740127374 602539456 /nfs/dbraw/zinc/53/94/56/602539456.db2.gz XSHGPXURUNEFSM-HNNXBMFYSA-N -1 1 319.405 1.543 20 0 DDADMM CN(C[C@H]1CCN(CC(=O)N(C2CC2)C2CCCC2)C1)C(=O)[O-] ZINC000739659311 602542792 /nfs/dbraw/zinc/54/27/92/602542792.db2.gz HXKJTXXYEAWKFL-CYBMUJFWSA-N -1 1 323.437 1.852 20 0 DDADMM CCCN(CC(=O)N1CCC(C)CC1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739351373 602546514 /nfs/dbraw/zinc/54/65/14/602546514.db2.gz PDYYOQWXEKLGLP-AWEZNQCLSA-N -1 1 311.426 1.709 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CCC[C@H]([C@H]2CCCN2C(=O)[O-])C1 ZINC000739730146 602590015 /nfs/dbraw/zinc/59/00/15/602590015.db2.gz YHLJNHRGIQULII-RRFJBIMHSA-N -1 1 323.437 1.852 20 0 DDADMM C[C@H]1CN(C(=O)[O-])CCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000739155454 602732092 /nfs/dbraw/zinc/73/20/92/602732092.db2.gz UHVZMWBHAICMKP-VIFPVBQESA-N -1 1 304.306 1.494 20 0 DDADMM CC[N@@H+]1CCC[C@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739458460 602855399 /nfs/dbraw/zinc/85/53/99/602855399.db2.gz XZWOGQYBRRSJGS-LLVKDONJSA-N -1 1 321.377 1.944 20 0 DDADMM CC[N@H+]1CCC[C@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739458460 602855402 /nfs/dbraw/zinc/85/54/02/602855402.db2.gz XZWOGQYBRRSJGS-LLVKDONJSA-N -1 1 321.377 1.944 20 0 DDADMM Cc1cc(CNC(=O)N[C@H](C)[C@@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000740073700 602911836 /nfs/dbraw/zinc/91/18/36/602911836.db2.gz RTJHMORZFWMAQH-GHMZBOCLSA-N -1 1 309.370 1.296 20 0 DDADMM CN(C(=O)c1ccc(CNC(=O)[O-])o1)[C@H]1CCN(C2CC2)C1 ZINC000827933310 602914972 /nfs/dbraw/zinc/91/49/72/602914972.db2.gz WQTIMZKLPVDDDP-NSHDSACASA-N -1 1 307.350 1.356 20 0 DDADMM Cc1cc(CNC(=O)N2CCC[C@@H](CN(C)C(=O)[O-])C2)[nH]n1 ZINC000740072826 602916624 /nfs/dbraw/zinc/91/66/24/602916624.db2.gz KYFRXRRWTASDBJ-NSHDSACASA-N -1 1 309.370 1.250 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CCN(C(=O)[O-])[C@@H](C)C2)o1 ZINC000739410773 602932446 /nfs/dbraw/zinc/93/24/46/602932446.db2.gz NLQVATFJFHTXKK-LBPRGKRZSA-N -1 1 323.393 1.946 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc(O)cc1O ZINC000826467095 607596614 /nfs/dbraw/zinc/59/66/14/607596614.db2.gz HCPWWCFXNZTCIK-UHFFFAOYSA-N -1 1 313.273 1.030 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccc(Cl)nc1 ZINC000826467317 607596973 /nfs/dbraw/zinc/59/69/73/607596973.db2.gz QQNSSOQJYVTVGB-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc(Cl)nc1 ZINC000826467317 607596975 /nfs/dbraw/zinc/59/69/75/607596975.db2.gz QQNSSOQJYVTVGB-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C([O-])NC1CCN(CCn2cnc3ccccc3c2=O)CC1 ZINC000740595406 602992165 /nfs/dbraw/zinc/99/21/65/602992165.db2.gz FWMJJOILMHWSNA-UHFFFAOYSA-N -1 1 316.361 1.129 20 0 DDADMM CC(C)N(CCC(=O)Nc1ccn(-c2ccncc2)n1)C(=O)[O-] ZINC000738844581 603033233 /nfs/dbraw/zinc/03/32/33/603033233.db2.gz OGEKWOPFUFKWQI-UHFFFAOYSA-N -1 1 317.349 1.984 20 0 DDADMM CC(C)(CC(=O)NCc1n[nH]c(-c2ccccc2)n1)NC(=O)[O-] ZINC000738735080 603036303 /nfs/dbraw/zinc/03/63/03/603036303.db2.gz OIJASANVQVXKQI-UHFFFAOYSA-N -1 1 317.349 1.524 20 0 DDADMM CC(C)(CC(=O)NCc1nc(-c2ccccc2)n[nH]1)NC(=O)[O-] ZINC000738735080 603036306 /nfs/dbraw/zinc/03/63/06/603036306.db2.gz OIJASANVQVXKQI-UHFFFAOYSA-N -1 1 317.349 1.524 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)N[C@H](CNC(=O)[O-])C1CC1 ZINC000738799991 603131835 /nfs/dbraw/zinc/13/18/35/603131835.db2.gz DDJBVZNQOXKMGE-OLZOCXBDSA-N -1 1 312.414 1.014 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)c1cc(-c2ccoc2)[nH]n1)C(=O)[O-] ZINC000828211826 603155235 /nfs/dbraw/zinc/15/52/35/603155235.db2.gz LCGFGYWSTDVSAN-NSHDSACASA-N -1 1 318.333 1.884 20 0 DDADMM O=C([O-])NCCc1ccc(CNCc2ccc(CO)o2)cc1 ZINC000832672074 603162447 /nfs/dbraw/zinc/16/24/47/603162447.db2.gz LBFQGURKQCFDHD-UHFFFAOYSA-N -1 1 304.346 1.872 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@@H](C)N(C)Cc1ccccc1)NC(=O)[O-] ZINC000738773897 603179204 /nfs/dbraw/zinc/17/92/04/603179204.db2.gz LJHHCJIJWOGGGM-HIFRSBDPSA-N -1 1 321.421 1.915 20 0 DDADMM C[C@H](CNC(=O)[O-])N(C)C(=O)[C@@H](C)N1CCc2ccccc2C1 ZINC000738605769 603197509 /nfs/dbraw/zinc/19/75/09/603197509.db2.gz XTPIYVRMVIVZIS-CHWSQXEVSA-N -1 1 319.405 1.548 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@@H](C(=O)N2CCN(CC3CC3)CC2)C1 ZINC000740567510 603245001 /nfs/dbraw/zinc/24/50/01/603245001.db2.gz XMTAOHGBWAXMRY-KGLIPLIRSA-N -1 1 309.410 1.367 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CC[C@H]1NC(=O)[O-] ZINC000739171582 603333249 /nfs/dbraw/zinc/33/32/49/603333249.db2.gz UMIZSHIHDNJOHH-PSASIEDQSA-N -1 1 303.322 1.076 20 0 DDADMM C[C@@H](C(=O)N(C)[C@@H]1CCN(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823654255 603527930 /nfs/dbraw/zinc/52/79/30/603527930.db2.gz IHFUFHIXMCHJRF-DZGCQCFKSA-N -1 1 319.405 1.718 20 0 DDADMM CN(CCCC(=O)NCc1nc2ccc(F)cc2[nH]1)C(=O)[O-] ZINC000828284550 603549759 /nfs/dbraw/zinc/54/97/59/603549759.db2.gz CPBCSRWQTJCCEX-UHFFFAOYSA-N -1 1 308.313 1.708 20 0 DDADMM O=C([O-])N1CCC[C@@H]1[C@@H]1CCCN(CN2C(=O)CC23CCC3)C1 ZINC000832004026 603600795 /nfs/dbraw/zinc/60/07/95/603600795.db2.gz CIBWDJPJFCFXCG-ZIAGYGMSSA-N -1 1 321.421 1.953 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H]3CCCN(C(=O)[O-])C3)[nH]c2c1 ZINC000830432807 603764715 /nfs/dbraw/zinc/76/47/15/603764715.db2.gz MBYKRBHPIFMQBR-NSHDSACASA-N -1 1 316.361 1.878 20 0 DDADMM CCN1CCN(CC(=O)Oc2ccc(N(C)C(=O)[O-])cc2)CC1 ZINC000827222166 603857153 /nfs/dbraw/zinc/85/71/53/603857153.db2.gz FUDIZOPCQFXLKM-UHFFFAOYSA-N -1 1 321.377 1.344 20 0 DDADMM COCCC(=O)Nc1ccc(-c2nc(CNC(=O)[O-])n[nH]2)cc1 ZINC000828934485 603911593 /nfs/dbraw/zinc/91/15/93/603911593.db2.gz PZSLMNHEVVPVRF-UHFFFAOYSA-N -1 1 319.321 1.214 20 0 DDADMM COCCC(=O)Nc1ccc(-c2n[nH]c(CNC(=O)[O-])n2)cc1 ZINC000828934485 603911594 /nfs/dbraw/zinc/91/15/94/603911594.db2.gz PZSLMNHEVVPVRF-UHFFFAOYSA-N -1 1 319.321 1.214 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(CN2C(=O)C(C)(C)C2(C)C)C1 ZINC000825262909 604026557 /nfs/dbraw/zinc/02/65/57/604026557.db2.gz CXHRRZHOGPZBFI-NEPJUHHUSA-N -1 1 311.426 1.959 20 0 DDADMM O=C([O-])N1CCCC[C@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000831730241 604058302 /nfs/dbraw/zinc/05/83/02/604058302.db2.gz CMUDSASMMMMMMD-LBPRGKRZSA-N -1 1 320.324 1.851 20 0 DDADMM O=C([O-])NC1(CC(=O)Nc2nc(-c3ccccn3)n[nH]2)CCC1 ZINC000832216295 604116808 /nfs/dbraw/zinc/11/68/08/604116808.db2.gz OCEZBVPLMYIXMH-UHFFFAOYSA-N -1 1 316.321 1.386 20 0 DDADMM C[C@@H](NCCN1CCN(C(=O)[O-])CC1)c1c(F)cccc1F ZINC000825497085 604267711 /nfs/dbraw/zinc/26/77/11/604267711.db2.gz YWQXNEQIJIJXBF-LLVKDONJSA-N -1 1 313.348 1.911 20 0 DDADMM COCCN1CCCN(C(=O)c2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000831746256 604288707 /nfs/dbraw/zinc/28/87/07/604288707.db2.gz VOXVBWZCRFRXGN-UHFFFAOYSA-N -1 1 324.352 1.318 20 0 DDADMM O=C([O-])N1CC(NC(=O)NC[C@@H](c2ccco2)N2CCCC2)C1 ZINC000831629246 604398127 /nfs/dbraw/zinc/39/81/27/604398127.db2.gz ZDXMAVKDLARVEN-LBPRGKRZSA-N -1 1 322.365 1.078 20 0 DDADMM CC(C)[C@H](C(=O)Nc1cccc(OCCNC(=O)[O-])c1)N(C)C ZINC000738761667 604458122 /nfs/dbraw/zinc/45/81/22/604458122.db2.gz BUAZCOHCFSVJOM-CQSZACIVSA-N -1 1 323.393 1.858 20 0 DDADMM CN(Cc1c[nH]c(C(=O)[O-])c1)C[C@H]1COc2ccccc2O1 ZINC000833694383 604520499 /nfs/dbraw/zinc/52/04/99/604520499.db2.gz IRYVLSOSHZJMMM-LBPRGKRZSA-N -1 1 302.330 1.985 20 0 DDADMM CN(C)[C@H](CNC(=O)NC1CN(C(=O)[O-])C1)c1ccc(F)cc1 ZINC000827995126 604574389 /nfs/dbraw/zinc/57/43/89/604574389.db2.gz QDWTYNZFEHAXSG-CYBMUJFWSA-N -1 1 324.356 1.090 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2[nH]c(C)c(C(=O)[O-])c2C)n[nH]1 ZINC000832884262 604743734 /nfs/dbraw/zinc/74/37/34/604743734.db2.gz WWWONEPXHCZHGE-SSDOTTSWSA-N -1 1 304.350 1.722 20 0 DDADMM Cc1ccc2c(n1)c(=O)c(-c1nn[n-]n1)cn2CCOC(C)C ZINC000826295179 607718515 /nfs/dbraw/zinc/71/85/15/607718515.db2.gz VHBJKKZGRYRZMO-UHFFFAOYSA-N -1 1 314.349 1.310 20 0 DDADMM CC1CCC2(CC(=O)N(CN3CCC[C@@H]3CC(=O)[O-])C2=O)CC1 ZINC000828233818 604981111 /nfs/dbraw/zinc/98/11/11/604981111.db2.gz SPGWERFBXPHNAM-UIORMTCPSA-N -1 1 322.405 1.838 20 0 DDADMM C[C@H]1CN([C@@H]2CC(=O)N(c3ccsc3C(=O)[O-])C2=O)C[C@H]1C ZINC000833622405 605000904 /nfs/dbraw/zinc/00/09/04/605000904.db2.gz HOXUFYLUPBSVMF-WCABBAIRSA-N -1 1 322.386 1.666 20 0 DDADMM C[C@@H](C(=O)NC[C@H]1CCCO1)N(C)Cc1ccc(C(=O)[O-])cc1 ZINC000833403883 605047339 /nfs/dbraw/zinc/04/73/39/605047339.db2.gz DZBRIEGUNOROFH-SWLSCSKDSA-N -1 1 320.389 1.500 20 0 DDADMM C[C@H](CC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C)c1cccnc1 ZINC000833514144 605099859 /nfs/dbraw/zinc/09/98/59/605099859.db2.gz PAFHOAIRWNLHCB-ZIAGYGMSSA-N -1 1 319.405 1.583 20 0 DDADMM Cc1noc(C)c1CCC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833757714 605106481 /nfs/dbraw/zinc/10/64/81/605106481.db2.gz PEXWKXNPMUEEBA-NSHDSACASA-N -1 1 323.393 1.231 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN[C@@H](C)c1cccc(O)c1)C(=O)[O-] ZINC000833637184 605122230 /nfs/dbraw/zinc/12/22/30/605122230.db2.gz CRYYDUAMNGHNEO-PGUXBMHVSA-N -1 1 308.378 1.658 20 0 DDADMM CC(C)C[C@H](NC(=O)CN1CCC(CCCO)CC1)C(=O)[O-] ZINC000833477936 605226452 /nfs/dbraw/zinc/22/64/52/605226452.db2.gz NDKBFPZYNAZXMO-AWEZNQCLSA-N -1 1 314.426 1.086 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3c(c2)CCO3)CCN1CCC(=O)[O-] ZINC000833619735 605254495 /nfs/dbraw/zinc/25/44/95/605254495.db2.gz DQMYZXVJOLEODM-GFCCVEGCSA-N -1 1 318.373 1.243 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C/c2ccccc2)CCN1CCC(=O)[O-] ZINC000833620340 605276746 /nfs/dbraw/zinc/27/67/46/605276746.db2.gz WXFHORXIXYMSGU-HSBSLETESA-N -1 1 302.374 1.707 20 0 DDADMM O=C([O-])N(CC(=O)N1CCN(C2CCC2)CC1)c1ccccc1 ZINC000831441145 605310337 /nfs/dbraw/zinc/31/03/37/605310337.db2.gz FSRDOSNSJZXDLB-UHFFFAOYSA-N -1 1 317.389 1.868 20 0 DDADMM O=C([O-])N1CC[C@@H](CCC(=O)N2CCN3CCCC[C@@H]3C2)C1 ZINC000834078436 605439543 /nfs/dbraw/zinc/43/95/43/605439543.db2.gz LIFZOTMQTCSILG-ZIAGYGMSSA-N -1 1 309.410 1.463 20 0 DDADMM O=C([O-])N1CCCN(Cc2c[nH]nc2-c2cccnc2)CC1 ZINC000834108951 605476117 /nfs/dbraw/zinc/47/61/17/605476117.db2.gz FBTKYCNROMAUFI-UHFFFAOYSA-N -1 1 301.350 1.657 20 0 DDADMM CCNC(=O)[C@H](C)N[C@H](C)c1ccc(CNC(=O)[O-])nc1C ZINC000833860211 605567008 /nfs/dbraw/zinc/56/70/08/605567008.db2.gz OLUSIDKKLXQBJX-KOLCDFICSA-N -1 1 308.382 1.333 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(Cc2cnc(N(C)C)nc2)C1 ZINC000833812470 605599769 /nfs/dbraw/zinc/59/97/69/605599769.db2.gz JWSYNQJLMMEVIT-WCQYABFASA-N -1 1 307.398 1.411 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CN(Cc2[nH]nc3ccccc32)CCO1 ZINC000833818807 605678355 /nfs/dbraw/zinc/67/83/55/605678355.db2.gz MISPAKYVZDFHPQ-IINYFYTJSA-N -1 1 304.350 1.420 20 0 DDADMM COc1cc(N[C@H]2CCCN(CCNC(=O)[O-])C2)cc(OC)c1 ZINC000833887502 605769014 /nfs/dbraw/zinc/76/90/14/605769014.db2.gz HBQYNAHXFRWEQP-LBPRGKRZSA-N -1 1 323.393 1.848 20 0 DDADMM Cc1nc(NC(=O)[O-])sc1C(=O)N(C)[C@@H]1CCCN(C)C1 ZINC000833913660 605946261 /nfs/dbraw/zinc/94/62/61/605946261.db2.gz IGDVZXBGHNUSCD-SECBINFHSA-N -1 1 312.395 1.708 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)C1CCOCC1 ZINC000820919244 606030473 /nfs/dbraw/zinc/03/04/73/606030473.db2.gz AHDKVOGWXJBFMN-GFCCVEGCSA-N -1 1 316.365 1.197 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)C1CCOCC1 ZINC000820919244 606030477 /nfs/dbraw/zinc/03/04/77/606030477.db2.gz AHDKVOGWXJBFMN-GFCCVEGCSA-N -1 1 316.365 1.197 20 0 DDADMM C[C@@H](NC(=O)Nc1nn(C)cc1-c1nnn[n-]1)C1CCCCC1 ZINC000820379569 606160555 /nfs/dbraw/zinc/16/05/55/606160555.db2.gz DGDORDPEYVPHEE-SECBINFHSA-N -1 1 318.385 1.691 20 0 DDADMM C[C@@H](NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)C1CCCCC1 ZINC000820379569 606160556 /nfs/dbraw/zinc/16/05/56/606160556.db2.gz DGDORDPEYVPHEE-SECBINFHSA-N -1 1 318.385 1.691 20 0 DDADMM C[C@H]1CCCC[C@@H]1CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820699196 606161807 /nfs/dbraw/zinc/16/18/07/606161807.db2.gz YFZXPVMOZHOLAZ-VHSXEESVSA-N -1 1 318.385 1.548 20 0 DDADMM C[C@H]1CCCC[C@@H]1CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820699196 606161809 /nfs/dbraw/zinc/16/18/09/606161809.db2.gz YFZXPVMOZHOLAZ-VHSXEESVSA-N -1 1 318.385 1.548 20 0 DDADMM CC[C@@H](C)C[C@@H](C)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820870514 606169225 /nfs/dbraw/zinc/16/92/25/606169225.db2.gz UEZZVPSXIRUKJR-RKDXNWHRSA-N -1 1 306.374 1.546 20 0 DDADMM CC[C@@H](C)C[C@@H](C)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820870514 606169226 /nfs/dbraw/zinc/16/92/26/606169226.db2.gz UEZZVPSXIRUKJR-RKDXNWHRSA-N -1 1 306.374 1.546 20 0 DDADMM CC[C@H]1CCCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820979379 606171936 /nfs/dbraw/zinc/17/19/36/606171936.db2.gz HGWKIFQECYTLEB-ONGXEEELSA-N -1 1 318.385 1.691 20 0 DDADMM CC[C@H]1CCCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820979379 606171938 /nfs/dbraw/zinc/17/19/38/606171938.db2.gz HGWKIFQECYTLEB-ONGXEEELSA-N -1 1 318.385 1.691 20 0 DDADMM COc1ccsc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000821911344 606296836 /nfs/dbraw/zinc/29/68/36/606296836.db2.gz OYULMCDRFPEYIU-UHFFFAOYSA-N -1 1 317.330 1.895 20 0 DDADMM CC(=O)N[C@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000819802657 606456327 /nfs/dbraw/zinc/45/63/27/606456327.db2.gz HAFOJHPKGNABNC-VIFPVBQESA-N -1 1 321.772 1.020 20 0 DDADMM CC(=O)N[C@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000819802657 606456328 /nfs/dbraw/zinc/45/63/28/606456328.db2.gz HAFOJHPKGNABNC-VIFPVBQESA-N -1 1 321.772 1.020 20 0 DDADMM Cc1ncsc1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822482274 606552234 /nfs/dbraw/zinc/55/22/34/606552234.db2.gz OHNDLIFWNKLFOV-UHFFFAOYSA-N -1 1 306.376 1.623 20 0 DDADMM Cc1ncsc1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822482274 606552235 /nfs/dbraw/zinc/55/22/35/606552235.db2.gz OHNDLIFWNKLFOV-UHFFFAOYSA-N -1 1 306.376 1.623 20 0 DDADMM CCOC[C@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821367607 606562037 /nfs/dbraw/zinc/56/20/37/606562037.db2.gz LHICYEKSAQJIJI-JTQLQIEISA-N -1 1 321.406 1.817 20 0 DDADMM CCOC[C@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821367607 606562039 /nfs/dbraw/zinc/56/20/39/606562039.db2.gz LHICYEKSAQJIJI-JTQLQIEISA-N -1 1 321.406 1.817 20 0 DDADMM CC(C)(C)C[C@H](O)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000819906364 606566153 /nfs/dbraw/zinc/56/61/53/606566153.db2.gz FMJQPXCHEOTNJK-QMMMGPOBSA-N -1 1 309.395 1.455 20 0 DDADMM CC(C)(C)C[C@H](O)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000819906364 606566154 /nfs/dbraw/zinc/56/61/54/606566154.db2.gz FMJQPXCHEOTNJK-QMMMGPOBSA-N -1 1 309.395 1.455 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccncc1Cl ZINC000823436451 606653260 /nfs/dbraw/zinc/65/32/60/606653260.db2.gz WDIGZKTYOSXVSI-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccncc1Cl ZINC000823436451 606653261 /nfs/dbraw/zinc/65/32/61/606653261.db2.gz WDIGZKTYOSXVSI-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM Clc1cccnc1OCCNc1cccc(-c2nnn[n-]2)n1 ZINC000822591819 606723844 /nfs/dbraw/zinc/72/38/44/606723844.db2.gz XDZDSUKBWIETFR-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM Clc1cccnc1OCCNc1cccc(-c2nn[n-]n2)n1 ZINC000822591819 606723845 /nfs/dbraw/zinc/72/38/45/606723845.db2.gz XDZDSUKBWIETFR-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM c1csc([C@@H]2CN(c3cccc(-c4nnn[n-]4)n3)CCO2)c1 ZINC000823742186 606726783 /nfs/dbraw/zinc/72/67/83/606726783.db2.gz AGCOENKIXRMMBL-NSHDSACASA-N -1 1 314.374 1.901 20 0 DDADMM c1csc([C@@H]2CN(c3cccc(-c4nn[n-]n4)n3)CCO2)c1 ZINC000823742186 606726784 /nfs/dbraw/zinc/72/67/84/606726784.db2.gz AGCOENKIXRMMBL-NSHDSACASA-N -1 1 314.374 1.901 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCC[C@@H]2COCC[C@@H]21 ZINC000823482915 606817892 /nfs/dbraw/zinc/81/78/92/606817892.db2.gz BYAJRNFNDFTJLD-ZJUUUORDSA-N -1 1 319.390 1.569 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCC[C@@H]2COCC[C@@H]21 ZINC000823482915 606817893 /nfs/dbraw/zinc/81/78/93/606817893.db2.gz BYAJRNFNDFTJLD-ZJUUUORDSA-N -1 1 319.390 1.569 20 0 DDADMM CC(C)CN(C)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000820081775 606841409 /nfs/dbraw/zinc/84/14/09/606841409.db2.gz VDLXVBJHNLHUBF-UHFFFAOYSA-N -1 1 313.358 1.282 20 0 DDADMM CC(C)(C)OC1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000819945843 607043784 /nfs/dbraw/zinc/04/37/84/607043784.db2.gz GOUZDFOHABDFNY-UHFFFAOYSA-N -1 1 303.370 1.441 20 0 DDADMM CC(C)(C)OC1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000819945843 607043786 /nfs/dbraw/zinc/04/37/86/607043786.db2.gz GOUZDFOHABDFNY-UHFFFAOYSA-N -1 1 303.370 1.441 20 0 DDADMM CC(C)OC(=O)[C@@H](C)N(C)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820136812 607137428 /nfs/dbraw/zinc/13/74/28/607137428.db2.gz CGFRARCIHIGSFW-MRVPVSSYSA-N -1 1 323.378 1.340 20 0 DDADMM CC(C)OC(=O)[C@@H](C)N(C)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820136812 607137429 /nfs/dbraw/zinc/13/74/29/607137429.db2.gz CGFRARCIHIGSFW-MRVPVSSYSA-N -1 1 323.378 1.340 20 0 DDADMM CSC[C@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821961230 607166065 /nfs/dbraw/zinc/16/60/65/607166065.db2.gz IHIUSPXEZDWCMB-SNVBAGLBSA-N -1 1 304.379 1.229 20 0 DDADMM CSC[C@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821961230 607166066 /nfs/dbraw/zinc/16/60/66/607166066.db2.gz IHIUSPXEZDWCMB-SNVBAGLBSA-N -1 1 304.379 1.229 20 0 DDADMM C[C@H](O)C[C@@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000824699719 607779344 /nfs/dbraw/zinc/77/93/44/607779344.db2.gz UTMGKVFDZPYZSB-IUCAKERBSA-N -1 1 307.379 1.304 20 0 DDADMM C[C@H](O)C[C@@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000824699719 607779345 /nfs/dbraw/zinc/77/93/45/607779345.db2.gz UTMGKVFDZPYZSB-IUCAKERBSA-N -1 1 307.379 1.304 20 0 DDADMM Cc1nc([C@H]2CCCCN2c2cccc(-c3nnn[n-]3)n2)no1 ZINC000826325169 607897266 /nfs/dbraw/zinc/89/72/66/607897266.db2.gz PNTOUMXIKNKQCC-LLVKDONJSA-N -1 1 312.337 1.685 20 0 DDADMM Cc1nc([C@H]2CCCCN2c2cccc(-c3nn[n-]n3)n2)no1 ZINC000826325169 607897267 /nfs/dbraw/zinc/89/72/67/607897267.db2.gz PNTOUMXIKNKQCC-LLVKDONJSA-N -1 1 312.337 1.685 20 0 DDADMM FC(F)(F)Cn1cc(Nc2cccc(-c3nnn[n-]3)n2)cn1 ZINC000826367755 607900121 /nfs/dbraw/zinc/90/01/21/607900121.db2.gz MUQLXSGZOQVYNT-UHFFFAOYSA-N -1 1 310.243 1.764 20 0 DDADMM FC(F)(F)Cn1cc(Nc2cccc(-c3nn[n-]n3)n2)cn1 ZINC000826367755 607900122 /nfs/dbraw/zinc/90/01/22/607900122.db2.gz MUQLXSGZOQVYNT-UHFFFAOYSA-N -1 1 310.243 1.764 20 0 DDADMM C[C@H](C[C@H](O)c1ccco1)Nc1cccc(-c2nnn[n-]2)n1 ZINC000824393517 607901943 /nfs/dbraw/zinc/90/19/43/607901943.db2.gz SKAWJSUEUSXGIX-KOLCDFICSA-N -1 1 300.322 1.779 20 0 DDADMM C[C@H](C[C@H](O)c1ccco1)Nc1cccc(-c2nn[n-]n2)n1 ZINC000824393517 607901944 /nfs/dbraw/zinc/90/19/44/607901944.db2.gz SKAWJSUEUSXGIX-KOLCDFICSA-N -1 1 300.322 1.779 20 0 DDADMM C[C@H](C(=O)OCc1ccnc(-c2nnn[n-]2)c1)C1CCOCC1 ZINC000824051695 607918830 /nfs/dbraw/zinc/91/88/30/607918830.db2.gz CDANMXNHIBEYOY-JTQLQIEISA-N -1 1 317.349 1.368 20 0 DDADMM C[C@H](C(=O)OCc1ccnc(-c2nn[n-]n2)c1)C1CCOCC1 ZINC000824051695 607918831 /nfs/dbraw/zinc/91/88/31/607918831.db2.gz CDANMXNHIBEYOY-JTQLQIEISA-N -1 1 317.349 1.368 20 0 DDADMM COc1ccc(CNc2ccc(-c3nnn[n-]3)nn2)cc1OC ZINC000826203748 607987652 /nfs/dbraw/zinc/98/76/52/607987652.db2.gz DQFXMIJMDLGRBV-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM COc1ccc(CNc2ccc(-c3nn[n-]n3)nn2)cc1OC ZINC000826203748 607987653 /nfs/dbraw/zinc/98/76/53/607987653.db2.gz DQFXMIJMDLGRBV-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM CC1(C)OC[C@@H](CCOC(=O)c2sccc2-c2nn[n-]n2)O1 ZINC000824811758 607993624 /nfs/dbraw/zinc/99/36/24/607993624.db2.gz BGKZNVPDLNZOJE-MRVPVSSYSA-N -1 1 324.362 1.627 20 0 DDADMM CC(C)CCC[C@@H](C)NC(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000824244850 608013045 /nfs/dbraw/zinc/01/30/45/608013045.db2.gz VFAQFAWLQCTXMA-LLVKDONJSA-N -1 1 305.386 1.394 20 0 DDADMM CC(C)CCC[C@@H](C)NC(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000824244850 608013046 /nfs/dbraw/zinc/01/30/46/608013046.db2.gz VFAQFAWLQCTXMA-LLVKDONJSA-N -1 1 305.386 1.394 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)N1CCC[C@@H]2CCCC[C@H]21 ZINC000826425334 608013584 /nfs/dbraw/zinc/01/35/84/608013584.db2.gz YJYZPDRHVJTAHV-NWDGAFQWSA-N -1 1 315.381 1.244 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)N1CCC[C@@H]2CCCC[C@H]21 ZINC000826425334 608013585 /nfs/dbraw/zinc/01/35/85/608013585.db2.gz YJYZPDRHVJTAHV-NWDGAFQWSA-N -1 1 315.381 1.244 20 0 DDADMM C[C@@H](Nc1snc(Cl)c1-c1nnn[n-]1)[C@@H]1CCOC1 ZINC000824671072 608184758 /nfs/dbraw/zinc/18/47/58/608184758.db2.gz WGHUFQXZXJQQAW-PHDIDXHHSA-N -1 1 300.775 1.814 20 0 DDADMM C[C@@H](Nc1snc(Cl)c1-c1nn[n-]n1)[C@@H]1CCOC1 ZINC000824671072 608184760 /nfs/dbraw/zinc/18/47/60/608184760.db2.gz WGHUFQXZXJQQAW-PHDIDXHHSA-N -1 1 300.775 1.814 20 0 DDADMM Clc1nsc(N2CC(n3ccnc3)C2)c1-c1nn[n-]n1 ZINC000826354015 608189112 /nfs/dbraw/zinc/18/91/12/608189112.db2.gz MVRHQJSTGWLQBJ-UHFFFAOYSA-N -1 1 308.758 1.234 20 0 DDADMM CCOC(=O)CCN(C)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825512023 608190260 /nfs/dbraw/zinc/19/02/60/608190260.db2.gz PMXBOIJDWAKKNG-UHFFFAOYSA-N -1 1 316.774 1.366 20 0 DDADMM CCOC(=O)CCN(C)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825512023 608190262 /nfs/dbraw/zinc/19/02/62/608190262.db2.gz PMXBOIJDWAKKNG-UHFFFAOYSA-N -1 1 316.774 1.366 20 0 DDADMM COc1cc(CN(C)c2cccc(-c3nnn[n-]3)n2)ccc1O ZINC000826179443 608234981 /nfs/dbraw/zinc/23/49/81/608234981.db2.gz ONZCMCWXOZQSDV-UHFFFAOYSA-N -1 1 312.333 1.612 20 0 DDADMM COc1cc(CN(C)c2cccc(-c3nn[n-]n3)n2)ccc1O ZINC000826179443 608234983 /nfs/dbraw/zinc/23/49/83/608234983.db2.gz ONZCMCWXOZQSDV-UHFFFAOYSA-N -1 1 312.333 1.612 20 0 DDADMM Cc1ccncc1[C@@H](C)OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826315652 608334328 /nfs/dbraw/zinc/33/43/28/608334328.db2.gz PDJHCFXYBDJYNQ-SNVBAGLBSA-N -1 1 310.317 1.883 20 0 DDADMM Cc1ccncc1[C@@H](C)OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826315652 608334330 /nfs/dbraw/zinc/33/43/30/608334330.db2.gz PDJHCFXYBDJYNQ-SNVBAGLBSA-N -1 1 310.317 1.883 20 0 DDADMM Cc1cccc(OCCCNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826299999 608393288 /nfs/dbraw/zinc/39/32/88/608393288.db2.gz DHGWBZWTSOPYBS-UHFFFAOYSA-N -1 1 311.349 1.846 20 0 DDADMM Cc1cccc(OCCCNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826299999 608393290 /nfs/dbraw/zinc/39/32/90/608393290.db2.gz DHGWBZWTSOPYBS-UHFFFAOYSA-N -1 1 311.349 1.846 20 0 DDADMM C[C@H](COc1cccc(F)c1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824449273 608404196 /nfs/dbraw/zinc/40/41/96/608404196.db2.gz NEGSVQJSVTXLKU-SECBINFHSA-N -1 1 315.312 1.675 20 0 DDADMM C[C@H](COc1cccc(F)c1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824449273 608404198 /nfs/dbraw/zinc/40/41/98/608404198.db2.gz NEGSVQJSVTXLKU-SECBINFHSA-N -1 1 315.312 1.675 20 0 DDADMM Cc1cccc2c1OCC[C@@H]2Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826305401 608408356 /nfs/dbraw/zinc/40/83/56/608408356.db2.gz VGTNYARCPODJPW-NSHDSACASA-N -1 1 309.333 1.901 20 0 DDADMM Cc1cccc2c1OCC[C@@H]2Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826305401 608408357 /nfs/dbraw/zinc/40/83/57/608408357.db2.gz VGTNYARCPODJPW-NSHDSACASA-N -1 1 309.333 1.901 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)c2ccc(-c3nnn[n-]3)nn2)C1(C)C ZINC000825581902 608422756 /nfs/dbraw/zinc/42/27/56/608422756.db2.gz FXBTZUNCSLUCFD-MNOVXSKESA-N -1 1 303.370 1.297 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)c2ccc(-c3nn[n-]n3)nn2)C1(C)C ZINC000825581902 608422757 /nfs/dbraw/zinc/42/27/57/608422757.db2.gz FXBTZUNCSLUCFD-MNOVXSKESA-N -1 1 303.370 1.297 20 0 DDADMM Cc1ccccc1O[C@@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826307524 608424837 /nfs/dbraw/zinc/42/48/37/608424837.db2.gz LTIFTUULABEIAY-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM Cc1ccccc1O[C@@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826307524 608424838 /nfs/dbraw/zinc/42/48/38/608424838.db2.gz LTIFTUULABEIAY-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM O=C1NCCN(Cc2ccc(-c3nnn[n-]3)o2)[C@H]1c1ccccc1 ZINC000826482363 608654998 /nfs/dbraw/zinc/65/49/98/608654998.db2.gz BUERNRMCOSNGQB-AWEZNQCLSA-N -1 1 324.344 1.133 20 0 DDADMM O=C1NCCN(Cc2ccc(-c3nn[n-]n3)o2)[C@H]1c1ccccc1 ZINC000826482363 608655001 /nfs/dbraw/zinc/65/50/01/608655001.db2.gz BUERNRMCOSNGQB-AWEZNQCLSA-N -1 1 324.344 1.133 20 0 DDADMM c1coc([C@@H]2COCCN2Cc2ccc(-c3nnn[n-]3)o2)c1 ZINC000826526233 608699766 /nfs/dbraw/zinc/69/97/66/608699766.db2.gz PZDBPVKKPGKIKG-NSHDSACASA-N -1 1 301.306 1.626 20 0 DDADMM c1coc([C@@H]2COCCN2Cc2ccc(-c3nn[n-]n3)o2)c1 ZINC000826526233 608699767 /nfs/dbraw/zinc/69/97/67/608699767.db2.gz PZDBPVKKPGKIKG-NSHDSACASA-N -1 1 301.306 1.626 20 0 DDADMM CC[C@H]1C[C@@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCO1 ZINC000825182631 609161026 /nfs/dbraw/zinc/16/10/26/609161026.db2.gz LHEXVYMBKFGUON-QWRGUYRKSA-N -1 1 317.349 1.716 20 0 DDADMM C[C@@H](C[S@@](C)=O)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000824450690 609598583 /nfs/dbraw/zinc/59/85/83/609598583.db2.gz YCFPZICUKYOHRX-INMOSUBGSA-N -1 1 300.775 1.094 20 0 DDADMM C[C@@H](C[S@@](C)=O)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000824450690 609598585 /nfs/dbraw/zinc/59/85/85/609598585.db2.gz YCFPZICUKYOHRX-INMOSUBGSA-N -1 1 300.775 1.094 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cc[nH]c(=O)c1 ZINC000121475833 696710634 /nfs/dbraw/zinc/71/06/34/696710634.db2.gz SUQSEXSYLDVICF-UHFFFAOYSA-N -1 1 310.107 1.490 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973197285 695443847 /nfs/dbraw/zinc/44/38/47/695443847.db2.gz AZSQWLMFFJCQSV-FDYHWXHSSA-N -1 1 317.389 1.456 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2CC=CCC2)C1)c1ncccc1[O-] ZINC000973874457 695575941 /nfs/dbraw/zinc/57/59/41/695575941.db2.gz XSMBKXNYMLFFJI-RWMBFGLXSA-N -1 1 315.373 1.521 20 0 DDADMM O=C(NC[C@@H]1CCCN(C(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000975226759 695817336 /nfs/dbraw/zinc/81/73/36/695817336.db2.gz RRAJQSUUMCRWIQ-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000975468217 695848679 /nfs/dbraw/zinc/84/86/79/695848679.db2.gz QRSFHLZAKIPTRX-XQQFMLRXSA-N -1 1 317.389 1.412 20 0 DDADMM Cc1ccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c(O)c1 ZINC000004580174 696011237 /nfs/dbraw/zinc/01/12/37/696011237.db2.gz AAABHAHALSLJSU-UHFFFAOYSA-N -1 1 313.309 1.814 20 0 DDADMM CC(C)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC(F)F)cc1 ZINC000005712911 696014987 /nfs/dbraw/zinc/01/49/87/696014987.db2.gz CKESOLLHXTXUSP-UHFFFAOYSA-N -1 1 315.272 1.744 20 0 DDADMM COC(OC)[C@H](NC(=O)c1ncccc1[O-])c1ccccc1 ZINC000798268891 700053996 /nfs/dbraw/zinc/05/39/96/700053996.db2.gz RSRCCDQTDGAZNT-CYBMUJFWSA-N -1 1 302.330 1.877 20 0 DDADMM CONC(=O)c1ccc(S(=O)(=O)[N-]c2ccccc2)cc1 ZINC000017821916 696066446 /nfs/dbraw/zinc/06/64/46/696066446.db2.gz YABMNTYVTNJLPY-UHFFFAOYSA-N -1 1 306.343 1.779 20 0 DDADMM CC(C)SCC(=O)N[N-]C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000029065490 696109176 /nfs/dbraw/zinc/10/91/76/696109176.db2.gz HHMMWDHDCDZRRQ-UHFFFAOYSA-N -1 1 318.402 1.979 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2ccon2)c(=O)[n-]1 ZINC000747340450 700065444 /nfs/dbraw/zinc/06/54/44/700065444.db2.gz IHVRDWCOCCAJAY-UHFFFAOYSA-N -1 1 308.363 1.450 20 0 DDADMM CCC(=O)N1CC[C@H]1CN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000977723580 696263781 /nfs/dbraw/zinc/26/37/81/696263781.db2.gz IDKBBLAMMQHZTP-NSHDSACASA-N -1 1 318.377 1.143 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)[C@H](C)Oc2ccc(F)cc2)n[nH]1 ZINC000054146705 696273549 /nfs/dbraw/zinc/27/35/49/696273549.db2.gz XOGDHOXGYZYFPX-VIFPVBQESA-N -1 1 306.297 1.086 20 0 DDADMM CC(=O)c1cccc(NC(C)=C2C(=O)[N-]C(=S)NC2=O)c1 ZINC000054502760 696276522 /nfs/dbraw/zinc/27/65/22/696276522.db2.gz IUIIRVDWYKYPNT-UHFFFAOYSA-N -1 1 303.343 1.106 20 0 DDADMM CC(=O)N[C@@H](C(=O)NCc1n[n-]c(=S)n1C1CC1)C(C)C ZINC000066638205 696354400 /nfs/dbraw/zinc/35/44/00/696354400.db2.gz JMLFAYXXOIHKMG-LLVKDONJSA-N -1 1 311.411 1.052 20 0 DDADMM N#Cc1ccc(C(=O)NCc2n[n-]c(=S)n2C2CC2)nc1 ZINC000066638371 696354888 /nfs/dbraw/zinc/35/48/88/696354888.db2.gz JQFPQTDLZXLEDB-UHFFFAOYSA-N -1 1 300.347 1.472 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=S)NCCCSC)n1 ZINC000747654593 700080030 /nfs/dbraw/zinc/08/00/30/700080030.db2.gz OVQFJDNAVQDNJM-UHFFFAOYSA-N -1 1 301.441 1.338 20 0 DDADMM COc1ccc(NC(=S)NN=c2nc(OC)cc(C)[n-]2)cn1 ZINC000747655440 700080084 /nfs/dbraw/zinc/08/00/84/700080084.db2.gz YMNACMDWJFGAFO-UHFFFAOYSA-N -1 1 320.378 1.511 20 0 DDADMM CN1CCC(C#N)(NC(=O)c2ccc3[n-]c(=S)oc3c2)CC1 ZINC000073454936 696404120 /nfs/dbraw/zinc/40/41/20/696404120.db2.gz TXDRVIGWNQXAED-UHFFFAOYSA-N -1 1 316.386 1.834 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(N)=O ZINC000078275570 696449166 /nfs/dbraw/zinc/44/91/66/696449166.db2.gz RENFXHMARSPUSC-KGFZYKRKSA-N -1 1 324.324 1.282 20 0 DDADMM CN(CCc1ccccc1F)C(=O)Cc1sc(N)nc1[O-] ZINC000080180616 696531359 /nfs/dbraw/zinc/53/13/59/696531359.db2.gz NVYFZUWIVCWMGA-NSHDSACASA-N -1 1 309.366 1.173 20 0 DDADMM C[C@H](CC(=O)[N-]OCC(N)=O)c1ccc(C(F)(F)F)cc1 ZINC000089463736 696577086 /nfs/dbraw/zinc/57/70/86/696577086.db2.gz IVZUFEJWKYPFIE-MRVPVSSYSA-N -1 1 304.268 1.732 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)NCc2ccccn2)n1 ZINC000096640434 696604345 /nfs/dbraw/zinc/60/43/45/696604345.db2.gz HWAIGXXNPHNYJJ-UHFFFAOYSA-N -1 1 304.375 1.136 20 0 DDADMM O=C(N[C@@H]1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]21)C1CC1 ZINC000979833773 696651022 /nfs/dbraw/zinc/65/10/22/696651022.db2.gz SJXAWYOSCXSHDV-RWMBFGLXSA-N -1 1 315.373 1.164 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCc3c2cccc3Cl)s1 ZINC000119083165 696677092 /nfs/dbraw/zinc/67/70/92/696677092.db2.gz OXQNBOLMTHMLJM-JTQLQIEISA-N -1 1 309.778 1.576 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)CCSC)o1 ZINC000120560347 696697667 /nfs/dbraw/zinc/69/76/67/696697667.db2.gz LPOWKQRIJITFRB-QMMMGPOBSA-N -1 1 306.409 1.059 20 0 DDADMM O=C([N-]N1CCCC1=O)c1cccc(Br)c1F ZINC000760496147 700811348 /nfs/dbraw/zinc/81/13/48/700811348.db2.gz MGMUIFUPMCNZOJ-UHFFFAOYSA-N -1 1 301.115 1.855 20 0 DDADMM CC[N@H+]1CCCC[C@H]1CNS(=O)(=O)c1cn(C)c(Cl)n1 ZINC000124052433 696738465 /nfs/dbraw/zinc/73/84/65/696738465.db2.gz OHYGRVCWDJAQII-JTQLQIEISA-N -1 1 320.846 1.226 20 0 DDADMM CC1(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)CCC1 ZINC000983085038 697209070 /nfs/dbraw/zinc/20/90/70/697209070.db2.gz DGVDWUHGJOHPAV-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM N#Cc1ccc(C(=O)N[N-]C(=O)c2ccc(Cl)cc2F)[nH]1 ZINC000160247725 697316106 /nfs/dbraw/zinc/31/61/06/697316106.db2.gz MAFCQBMMCUMVFJ-UHFFFAOYSA-N -1 1 306.684 1.754 20 0 DDADMM O=C(NCc1ccc(N2CCCC2=O)cc1)c1cncc([O-])c1 ZINC000171909936 697363308 /nfs/dbraw/zinc/36/33/08/697363308.db2.gz QNSJJYQNAKHMHB-UHFFFAOYSA-N -1 1 311.341 1.844 20 0 DDADMM COC(=O)C1C(C)=NC(=S)N[C@H]1c1cc(F)c([O-])c(F)c1 ZINC000175789475 697398890 /nfs/dbraw/zinc/39/88/90/697398890.db2.gz BLKATOIXQFHFKD-JTQLQIEISA-N -1 1 314.313 1.636 20 0 DDADMM Cc1onc(-c2ccccc2Cl)c1C(=O)[N-]NC(=O)CO ZINC000182773016 697479316 /nfs/dbraw/zinc/47/93/16/697479316.db2.gz LGXSZFFGYSIWNV-UHFFFAOYSA-N -1 1 309.709 1.057 20 0 DDADMM CN(CCO)c1ccc(NC(=O)c2ccc(O)cc2[O-])cc1 ZINC000182947730 697481815 /nfs/dbraw/zinc/48/18/15/697481815.db2.gz NENJKMSVSOLIFL-UHFFFAOYSA-N -1 1 302.330 1.779 20 0 DDADMM Cc1cc(C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)co1 ZINC000985402087 697504232 /nfs/dbraw/zinc/50/42/32/697504232.db2.gz BIVQTYXCUGZJJJ-UHFFFAOYSA-N -1 1 319.365 1.148 20 0 DDADMM CC(C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1)=C1CCC1 ZINC000985426153 697508718 /nfs/dbraw/zinc/50/87/18/697508718.db2.gz OYVNZGGIYSCJMD-UHFFFAOYSA-N -1 1 319.409 1.434 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)C(C)(C)C)CCN1C(=O)c1ncccc1[O-] ZINC000985438799 697511338 /nfs/dbraw/zinc/51/13/38/697511338.db2.gz UHYFKUFRUIRVDR-NWDGAFQWSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@H](C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1)C(C)(C)C ZINC000985492159 697518759 /nfs/dbraw/zinc/51/87/59/697518759.db2.gz CRDXMCYZNHEEED-LLVKDONJSA-N -1 1 323.441 1.615 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@]2(C)C=CCC2)CC1 ZINC000985582747 697535075 /nfs/dbraw/zinc/53/50/75/697535075.db2.gz WKDUGQXTCFRFLD-INIZCTEOSA-N -1 1 319.409 1.289 20 0 DDADMM N#Cc1ccc(C(=O)NCC(=O)OCc2ccccc2)cc1[O-] ZINC000188219967 697555278 /nfs/dbraw/zinc/55/52/78/697555278.db2.gz BIZXJYFVJNDQNR-UHFFFAOYSA-N -1 1 310.309 1.737 20 0 DDADMM Cn1nnc2cc(C(=O)Nc3nc(Cl)ccc3[O-])ccc21 ZINC000188377922 697558063 /nfs/dbraw/zinc/55/80/63/697558063.db2.gz XGAPVJBYCYTLEI-UHFFFAOYSA-N -1 1 303.709 1.975 20 0 DDADMM Cc1cccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)c1 ZINC000985926899 697604593 /nfs/dbraw/zinc/60/45/93/697604593.db2.gz YFWYYPJIIIIINB-WCQYABFASA-N -1 1 315.377 1.212 20 0 DDADMM CCC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000985994940 697624896 /nfs/dbraw/zinc/62/48/96/697624896.db2.gz PHDJSRNIKFJSFE-NEPJUHHUSA-N -1 1 303.362 1.118 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCc2ccc(N)cc2)c1Cl ZINC000193339150 697660692 /nfs/dbraw/zinc/66/06/92/697660692.db2.gz KNMZLTJCYLMWIS-UHFFFAOYSA-N -1 1 314.798 1.177 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(N(C)C)cc2)cn1 ZINC000194791045 697721549 /nfs/dbraw/zinc/72/15/49/697721549.db2.gz APHMTXNZLGTDGC-UHFFFAOYSA-N -1 1 307.375 1.957 20 0 DDADMM Cc1cncc(C=CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000773314222 697742685 /nfs/dbraw/zinc/74/26/85/697742685.db2.gz HWWYFTJUIQGFMB-ZFDPJTLLSA-N -1 1 314.345 1.898 20 0 DDADMM C[C@H](O)C[C@@H]1CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774069293 697839821 /nfs/dbraw/zinc/83/98/21/697839821.db2.gz OVGBRNTVGHKFCY-ONGXEEELSA-N -1 1 306.391 1.394 20 0 DDADMM Cc1ccc(N)c(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000774919763 697942086 /nfs/dbraw/zinc/94/20/86/697942086.db2.gz SBOLJMHWTGXFBT-UHFFFAOYSA-N -1 1 304.268 1.803 20 0 DDADMM O=C(/C=C/c1cnccn1)OCCC[N-]C(=O)C(F)(F)F ZINC000774947370 697945197 /nfs/dbraw/zinc/94/51/97/697945197.db2.gz CPEOOCJMBMCGJX-NSCUHMNNSA-N -1 1 303.240 1.102 20 0 DDADMM O=CNc1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)cc1 ZINC000774970626 697949683 /nfs/dbraw/zinc/94/96/83/697949683.db2.gz FACQRLZCDKLVSP-UHFFFAOYSA-N -1 1 318.251 1.480 20 0 DDADMM O=C(C=Cc1ccccc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000775191420 697970128 /nfs/dbraw/zinc/97/01/28/697970128.db2.gz NMPOPXPZGKEUSR-KRZKBDHCSA-N -1 1 301.325 1.758 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@H]1CCCN1Cc1ccccn1 ZINC000775245682 697975403 /nfs/dbraw/zinc/97/54/03/697975403.db2.gz UURZXARBRFOGAU-OAHLLOKOSA-N -1 1 305.378 1.273 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776566831 698118421 /nfs/dbraw/zinc/11/84/21/698118421.db2.gz YHANHLOFICBGJR-BVBSBALXSA-N -1 1 320.353 1.064 20 0 DDADMM CC(C)C[C@@H](C)S(=O)(=O)N[C@H](CN1CCCCC1)C(=O)[O-] ZINC000776628316 698127565 /nfs/dbraw/zinc/12/75/65/698127565.db2.gz ONTORBHIHQWMKM-CHWSQXEVSA-N -1 1 320.455 1.280 20 0 DDADMM Cc1nc(SCC(=O)N[C@H]2CCSC2=O)[n-]c(=O)c1C ZINC000776869365 698144672 /nfs/dbraw/zinc/14/46/72/698144672.db2.gz KVWOSEKXZAXVHP-QMMMGPOBSA-N -1 1 313.404 1.039 20 0 DDADMM Cc1nc(-c2ccc(F)cc2)sc1C(=O)[N-]N1CN=NC1=O ZINC000777769441 698220892 /nfs/dbraw/zinc/22/08/92/698220892.db2.gz QFGMLBQJXKXMBS-UHFFFAOYSA-N -1 1 319.321 1.939 20 0 DDADMM O=C([C@@H](F)Cc1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000778394426 698271130 /nfs/dbraw/zinc/27/11/30/698271130.db2.gz UJLJFLUDTBTNPU-STQMWFEESA-N -1 1 303.341 1.487 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)CC1CCC1 ZINC000778694045 698359821 /nfs/dbraw/zinc/35/98/21/698359821.db2.gz DPHVHXHKQABMNJ-UHFFFAOYSA-N -1 1 304.456 1.745 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCOC[C@@H]1[C@@H]1CCCC1=O ZINC000779075229 698393747 /nfs/dbraw/zinc/39/37/47/698393747.db2.gz XAQVICITHXXKSW-WCQYABFASA-N -1 1 307.321 1.742 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC000779446586 698423900 /nfs/dbraw/zinc/42/39/00/698423900.db2.gz MSUXERFMQCYUIF-UHFFFAOYSA-N -1 1 319.752 1.790 20 0 DDADMM C[C@@H]1C[C@@H](NCc2cscn2)CN1C(=O)c1ncccc1[O-] ZINC000988692912 698439278 /nfs/dbraw/zinc/43/92/78/698439278.db2.gz XXRIJUZHEXZKEA-GHMZBOCLSA-N -1 1 318.402 1.637 20 0 DDADMM CC(C)(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1cccnc1 ZINC000781258007 698602869 /nfs/dbraw/zinc/60/28/69/698602869.db2.gz WVPKZKPLIMWOSK-UHFFFAOYSA-N -1 1 318.295 1.971 20 0 DDADMM CCc1ccoc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000989418617 698610430 /nfs/dbraw/zinc/61/04/30/698610430.db2.gz QAMIZTBFRVMVGU-ONGXEEELSA-N -1 1 319.365 1.059 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cc(NC(C)=O)c(F)cc1F ZINC000800833662 700247881 /nfs/dbraw/zinc/24/78/81/700247881.db2.gz DYGIAOHZBUSZHL-ZETCQYMHSA-N -1 1 322.333 1.700 20 0 DDADMM COc1cccc([C@H](CNC(=O)N(C)[C@H](C)C(=O)[O-])N(C)C)c1 ZINC000320604124 698721697 /nfs/dbraw/zinc/72/16/97/698721697.db2.gz BQVIUHZWQJRKPN-RISCZKNCSA-N -1 1 323.393 1.412 20 0 DDADMM COC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1c(C)cccc1C ZINC000750944278 700259863 /nfs/dbraw/zinc/25/98/63/700259863.db2.gz QRJNPMHASLBLBI-UHFFFAOYSA-N -1 1 324.358 1.889 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CC(C)(C)C(F)(F)F)nc1Cl ZINC000800943268 700257094 /nfs/dbraw/zinc/25/70/94/700257094.db2.gz WXFNZNTXLATDTE-UHFFFAOYSA-N -1 1 319.736 1.940 20 0 DDADMM Cc1cc(NC(=O)C2=C([O-])C(C)N=N2)ccc1N1CCOCC1 ZINC000783629624 698862602 /nfs/dbraw/zinc/86/26/02/698862602.db2.gz QRHLBFZCIDJCGX-UHFFFAOYSA-N -1 1 316.361 1.821 20 0 DDADMM CCC(=O)N[C@@H](C)C(=O)Nc1nc(Br)ccc1[O-] ZINC000783912414 698891290 /nfs/dbraw/zinc/89/12/90/698891290.db2.gz XATXFTWPCLSRIY-LURJTMIESA-N -1 1 316.155 1.403 20 0 DDADMM CCCN1CC[C@@H](NS(=O)(=O)c2csc(C(=O)[O-])c2)C1 ZINC000389125352 699087685 /nfs/dbraw/zinc/08/76/85/699087685.db2.gz JKDPYRGLJROWHV-SECBINFHSA-N -1 1 318.420 1.209 20 0 DDADMM CCCN1CC[C@@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC000389125385 699087913 /nfs/dbraw/zinc/08/79/13/699087913.db2.gz JKQXWOZDTKBYMJ-GFCCVEGCSA-N -1 1 312.391 1.147 20 0 DDADMM CC1(C)C[C@@H]([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])CO1 ZINC000396556448 699111763 /nfs/dbraw/zinc/11/17/63/699111763.db2.gz QWIMYDHIVDDJIK-MRVPVSSYSA-N -1 1 318.326 1.580 20 0 DDADMM O=C(COC(=O)[C@H]1CC12CCOCC2)[N-]C(=O)c1ccccc1 ZINC000786436610 699145973 /nfs/dbraw/zinc/14/59/73/699145973.db2.gz AMSOAIVJZYAFKV-CYBMUJFWSA-N -1 1 317.341 1.303 20 0 DDADMM Cc1ccncc1/C=C/C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000786920011 699180143 /nfs/dbraw/zinc/18/01/43/699180143.db2.gz YKNWIILPOKOYCZ-BQYQJAHWSA-N -1 1 324.336 1.903 20 0 DDADMM C[C@H]1CCC[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990972881 699196355 /nfs/dbraw/zinc/19/63/55/699196355.db2.gz VBUBVDCDYFQRAM-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C)OCC[C@@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703061506 699235000 /nfs/dbraw/zinc/23/50/00/699235000.db2.gz ZGDSOQAIZNOETC-QMMMGPOBSA-N -1 1 308.762 1.720 20 0 DDADMM C[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H]2CCCC[C@H]12 ZINC000726865522 699385882 /nfs/dbraw/zinc/38/58/82/699385882.db2.gz ITNKMTDCDNNSAW-MCIONIFRSA-N -1 1 319.405 1.354 20 0 DDADMM C[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]2CCCC[C@@H]12 ZINC000726865521 699386315 /nfs/dbraw/zinc/38/63/15/699386315.db2.gz ITNKMTDCDNNSAW-HZSPNIEDSA-N -1 1 319.405 1.354 20 0 DDADMM CCCN(Cc1ccccc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000726866331 699386350 /nfs/dbraw/zinc/38/63/50/699386350.db2.gz QDYMIUDDVZTRKU-UHFFFAOYSA-N -1 1 315.373 1.366 20 0 DDADMM Cc1nc2ccc(NC(=O)CCn3cc[n-]c(=O)c3=O)cc2o1 ZINC000727847936 699427406 /nfs/dbraw/zinc/42/74/06/699427406.db2.gz CMMUMXRGNSVBQK-UHFFFAOYSA-N -1 1 314.301 1.015 20 0 DDADMM NC(=O)c1ccc(OCC(=O)Nc2nc(Cl)ccc2[O-])cc1 ZINC000790048040 699437275 /nfs/dbraw/zinc/43/72/75/699437275.db2.gz PEOVZQJPOVYQPR-UHFFFAOYSA-N -1 1 321.720 1.557 20 0 DDADMM CCC[C@H]1CCCC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000728310217 699443630 /nfs/dbraw/zinc/44/36/30/699443630.db2.gz NWJAJOXSYCIKNC-QWHCGFSZSA-N -1 1 307.394 1.402 20 0 DDADMM CN(C)c1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c(F)c1 ZINC000791287741 699619813 /nfs/dbraw/zinc/61/98/13/699619813.db2.gz WVGMOQJDVPPCCP-UHFFFAOYSA-N -1 1 313.336 1.799 20 0 DDADMM CCSCCn1nc(CC)c(CC)c(-c2nn[n-]n2)c1=O ZINC000736668068 699729663 /nfs/dbraw/zinc/72/96/63/699729663.db2.gz XCBPYWFUQVVZQQ-UHFFFAOYSA-N -1 1 308.411 1.301 20 0 DDADMM O[C@@H](CNc1cccc(-c2nnn[n-]2)n1)c1cccc(F)c1 ZINC000738385826 699758552 /nfs/dbraw/zinc/75/85/52/699758552.db2.gz YQVVNGJMTDXUIC-LBPRGKRZSA-N -1 1 300.297 1.546 20 0 DDADMM O[C@@H](CNc1cccc(-c2nn[n-]n2)n1)c1cccc(F)c1 ZINC000738385826 699758567 /nfs/dbraw/zinc/75/85/67/699758567.db2.gz YQVVNGJMTDXUIC-LBPRGKRZSA-N -1 1 300.297 1.546 20 0 DDADMM C[C@H]1CN(C(=O)c2c([O-])cccc2Cl)CCS1(=O)=O ZINC000738542954 699762291 /nfs/dbraw/zinc/76/22/91/699762291.db2.gz CVONPPASQNBAHD-QMMMGPOBSA-N -1 1 303.767 1.305 20 0 DDADMM O=C(CCc1cnccn1)Nc1nc(Br)ccc1[O-] ZINC000793939778 699788130 /nfs/dbraw/zinc/78/81/30/699788130.db2.gz CGAQMDJBZRHVDC-UHFFFAOYSA-N -1 1 323.150 1.911 20 0 DDADMM O=C([O-])N1CC[C@@H](C(=O)NC[C@@H](c2ccco2)N2CCCC2)C1 ZINC000740405977 699792903 /nfs/dbraw/zinc/79/29/03/699792903.db2.gz LRGXXVZARMWIBH-OLZOCXBDSA-N -1 1 321.377 1.533 20 0 DDADMM O=C([O-])N1CC[C@H](C(=O)NC[C@H](c2ccco2)N2CCCC2)C1 ZINC000740405978 699792990 /nfs/dbraw/zinc/79/29/90/699792990.db2.gz LRGXXVZARMWIBH-QWHCGFSZSA-N -1 1 321.377 1.533 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CC[C@@H](SC)C2)o1 ZINC000742329429 699873991 /nfs/dbraw/zinc/87/39/91/699873991.db2.gz OWBMXJPAEJSTMN-DTWKUNHWSA-N -1 1 319.404 1.629 20 0 DDADMM CCC[C@H]1[C@H](C)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000742802198 699891100 /nfs/dbraw/zinc/89/11/00/699891100.db2.gz KCCYZFYGGHGDRX-OLZOCXBDSA-N -1 1 307.394 1.354 20 0 DDADMM Cn1[n-]c(COC(=O)c2cnc3c(F)cccc3c2)nc1=O ZINC000795842404 699896257 /nfs/dbraw/zinc/89/62/57/699896257.db2.gz PPABLGOJSHFMRO-UHFFFAOYSA-N -1 1 302.265 1.153 20 0 DDADMM CCCCC[C@@H](C)CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000743326261 699907281 /nfs/dbraw/zinc/90/72/81/699907281.db2.gz XDYOWLHCSLVXPP-SECBINFHSA-N -1 1 302.400 1.753 20 0 DDADMM CCCCC[C@@H](C)CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000743326261 699907282 /nfs/dbraw/zinc/90/72/82/699907282.db2.gz XDYOWLHCSLVXPP-SECBINFHSA-N -1 1 302.400 1.753 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](CC(C)(C)C)C(=O)OC)[n-]1 ZINC000796346704 699928207 /nfs/dbraw/zinc/92/82/07/699928207.db2.gz PYELHKKAQUSPSA-LLVKDONJSA-N -1 1 311.334 1.936 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)NC2CCC(C)CC2)[n-]1 ZINC000796368545 699929487 /nfs/dbraw/zinc/92/94/87/699929487.db2.gz DTMRIPKDVHCOHE-UHFFFAOYSA-N -1 1 322.361 1.653 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)c2ccncc2Cl)[n-]1 ZINC000796364288 699929631 /nfs/dbraw/zinc/92/96/31/699929631.db2.gz CXOUSSGXCKFMSX-UHFFFAOYSA-N -1 1 322.704 1.889 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H]2CCC(=O)NC2)c1 ZINC000744790347 699960818 /nfs/dbraw/zinc/96/08/18/699960818.db2.gz NRYVWNLQVWPHBM-ZDUSSCGKSA-N -1 1 305.330 1.207 20 0 DDADMM CC[C@@H](OC(=O)c1nn(-c2ccccc2)cc1[O-])C(=O)OC ZINC000801202268 700277271 /nfs/dbraw/zinc/27/72/71/700277271.db2.gz BLRNGECKZLQZIF-GFCCVEGCSA-N -1 1 304.302 1.686 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H](O)CC(C)C)c(F)c1 ZINC000751280487 700278097 /nfs/dbraw/zinc/27/80/97/700278097.db2.gz PBLPJRWYAIAYBW-SECBINFHSA-N -1 1 323.361 1.659 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C(=O)c3ccc(Cl)cc3)ccnc1-2 ZINC000801703301 700332737 /nfs/dbraw/zinc/33/27/37/700332737.db2.gz LSJXGDQHSIPEES-UHFFFAOYSA-N -1 1 314.732 1.817 20 0 DDADMM C[C@H]1CCC[C@@H](OCC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000801703871 700332999 /nfs/dbraw/zinc/33/29/99/700332999.db2.gz TZRWAPRMIQYPPC-NWDGAFQWSA-N -1 1 302.378 1.876 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2n[nH]c3ccccc32)co1 ZINC000755452428 700566700 /nfs/dbraw/zinc/56/67/00/700566700.db2.gz USNSDBOUFJOEDI-UHFFFAOYSA-N -1 1 320.330 1.316 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1cc(F)cc(Cl)c1 ZINC000755954298 700595490 /nfs/dbraw/zinc/59/54/90/700595490.db2.gz CQEPUQNUSQIRCR-UHFFFAOYSA-N -1 1 305.718 1.649 20 0 DDADMM CON(C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C1CCCC1 ZINC000757630464 700666975 /nfs/dbraw/zinc/66/69/75/700666975.db2.gz KMTYKFUCQXPLLD-UHFFFAOYSA-N -1 1 318.377 1.927 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)c2ccncc2)o1 ZINC000757692243 700668642 /nfs/dbraw/zinc/66/86/42/700668642.db2.gz YVUNUFGVAOZOMD-SECBINFHSA-N -1 1 310.331 1.501 20 0 DDADMM CC(C)(CO)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000759072938 700735935 /nfs/dbraw/zinc/73/59/35/700735935.db2.gz PMISVJNYIABSST-UHFFFAOYSA-N -1 1 312.185 1.339 20 0 DDADMM COc1cc(CCC(=O)[N-]OCC(F)F)cc(OC)c1OC ZINC000759326530 700746612 /nfs/dbraw/zinc/74/66/12/700746612.db2.gz AYYHYBMPKLHVIH-UHFFFAOYSA-N -1 1 319.304 1.958 20 0 DDADMM O=C(c1ccc2sccc2c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000760557543 700814028 /nfs/dbraw/zinc/81/40/28/700814028.db2.gz JQFGTICZJCTDGG-LLVKDONJSA-N -1 1 315.358 1.628 20 0 DDADMM Cn1[n-]c(CN2Cc3ccc(Br)cc3C2)nc1=O ZINC000765054878 700996766 /nfs/dbraw/zinc/99/67/66/700996766.db2.gz UFPIIDJUESQIOG-UHFFFAOYSA-N -1 1 309.167 1.387 20 0 DDADMM Cc1[nH]c2ccc(C(=O)OCc3nc(=O)n(C)[n-]3)cc2c1C ZINC000765392584 701009547 /nfs/dbraw/zinc/00/95/47/701009547.db2.gz JKUOYSSYMGNGJO-UHFFFAOYSA-N -1 1 300.318 1.564 20 0 DDADMM Cc1cc(C)cc(OCCC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765438890 701012891 /nfs/dbraw/zinc/01/28/91/701012891.db2.gz QMTWSZSFORQIDN-UHFFFAOYSA-N -1 1 305.334 1.238 20 0 DDADMM Cc1cc(C)cc(C2(C(=O)OCc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000765464388 701014199 /nfs/dbraw/zinc/01/41/99/701014199.db2.gz SFMSQFBDMFDPBB-UHFFFAOYSA-N -1 1 301.346 1.500 20 0 DDADMM C[C@@H](Cc1ccccc1Cl)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765502080 701016429 /nfs/dbraw/zinc/01/64/29/701016429.db2.gz JHEDOJMMPPTYQY-VIFPVBQESA-N -1 1 309.753 1.684 20 0 DDADMM COC(=O)[C@@]1([N-]C(=O)C(F)(F)c2ccccc2F)CCOC1 ZINC000765862397 701029193 /nfs/dbraw/zinc/02/91/93/701029193.db2.gz XXQWZEPCQUHBEJ-CYBMUJFWSA-N -1 1 317.263 1.366 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OC[C@@H](C)CO ZINC000766700776 701059965 /nfs/dbraw/zinc/05/99/65/701059965.db2.gz JLYJFQZTTIHGLC-JTQLQIEISA-N -1 1 301.364 1.233 20 0 DDADMM C[C@H](O)c1cn(C2CN(C(=O)c3c(F)ccc([O-])c3F)C2)nn1 ZINC000809755994 701686616 /nfs/dbraw/zinc/68/66/16/701686616.db2.gz SYZPZVBTQJHKIV-ZETCQYMHSA-N -1 1 324.287 1.012 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)CCNC(=O)C(C)C ZINC000768027539 701153106 /nfs/dbraw/zinc/15/31/06/701153106.db2.gz FFJIMHWNQZGTFI-UHFFFAOYSA-N -1 1 322.409 1.458 20 0 DDADMM C[C@H]([C@H](C)N(C)C(=O)c1c([O-])cccc1Cl)S(C)(=O)=O ZINC000769858481 701259550 /nfs/dbraw/zinc/25/95/50/701259550.db2.gz QWNAIANVVUAFGI-DTWKUNHWSA-N -1 1 319.810 1.939 20 0 DDADMM O=C([N-]N1CCCC1=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC000770538670 701282668 /nfs/dbraw/zinc/28/26/68/701282668.db2.gz SVFFQUMUJUDVEA-UHFFFAOYSA-N -1 1 304.737 1.997 20 0 DDADMM CC[C@@H](C)n1ncc(NC(=O)NN2CC(=O)[N-]C2=O)c1C1CC1 ZINC000770622707 701286534 /nfs/dbraw/zinc/28/65/34/701286534.db2.gz UVLJMVGQHNOGBR-MRVPVSSYSA-N -1 1 320.353 1.320 20 0 DDADMM CC(C)CN(CC(F)(F)F)C(=O)COC(=O)c1cn[n-]n1 ZINC000805603015 701397461 /nfs/dbraw/zinc/39/74/61/701397461.db2.gz FCNJQCKITHCHIL-UHFFFAOYSA-N -1 1 308.260 1.008 20 0 DDADMM O=C(O[C@@H]1CCN(c2c(F)cccc2F)C1=O)c1cn[n-]n1 ZINC000805605305 701398377 /nfs/dbraw/zinc/39/83/77/701398377.db2.gz XVPBZOFUVFZAMY-SNVBAGLBSA-N -1 1 308.244 1.045 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)Nc1ccc(N(C)C)cc1 ZINC000805605658 701398738 /nfs/dbraw/zinc/39/87/38/701398738.db2.gz POSWLRXZWCTBMJ-VIFPVBQESA-N -1 1 303.322 1.055 20 0 DDADMM C[C@H](c1ccc(Cl)cc1)N(C)C(=O)COC(=O)c1cn[n-]n1 ZINC000805606986 701399627 /nfs/dbraw/zinc/39/96/27/701399627.db2.gz PTJHTJAQRIFNOF-SECBINFHSA-N -1 1 322.752 1.835 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)Nc1ccccc1OC(F)F ZINC000805608896 701399725 /nfs/dbraw/zinc/39/97/25/701399725.db2.gz JTWJQRQVKCSIOF-UHFFFAOYSA-N -1 1 312.232 1.202 20 0 DDADMM CSc1ccccc1NC(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805608899 701399858 /nfs/dbraw/zinc/39/98/58/701399858.db2.gz KCZKGFOHBKCBFT-QMMMGPOBSA-N -1 1 306.347 1.711 20 0 DDADMM CC[C@H](CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C(C)C ZINC000830965905 706612882 /nfs/dbraw/zinc/61/28/82/706612882.db2.gz JVRGWMLOWGRSOY-LLVKDONJSA-N -1 1 307.394 1.943 20 0 DDADMM CC(C)(C)N1CC[C@@](F)(C(=O)[N-]S(=O)(=O)CCCF)C1 ZINC000810603378 701799132 /nfs/dbraw/zinc/79/91/32/701799132.db2.gz VOERVMUJXTUSIU-LBPRGKRZSA-N -1 1 312.382 1.005 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO[C@H]1C1CC1 ZINC000831090780 706633151 /nfs/dbraw/zinc/63/31/51/706633151.db2.gz JRDUQMQGHWDNDN-GXSJLCMTSA-N -1 1 305.787 1.299 20 0 DDADMM C[C@H]1CC[C@H](CCC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)O1 ZINC000831140496 706642442 /nfs/dbraw/zinc/64/24/42/706642442.db2.gz YOONDFQPABPRJA-GXSJLCMTSA-N -1 1 322.327 1.471 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cn1cccc(C(F)(F)F)c1=O ZINC000816740808 702173652 /nfs/dbraw/zinc/17/36/52/702173652.db2.gz OIRFEJXXVBAIOJ-UHFFFAOYSA-N -1 1 316.239 1.243 20 0 DDADMM O=C(Cc1cccc(F)c1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162560 706647380 /nfs/dbraw/zinc/64/73/80/706647380.db2.gz QMTVCUUWIJLVDK-UHFFFAOYSA-N -1 1 318.270 1.505 20 0 DDADMM COc1ccccc1NC(=O)Cc1sc(N2CCC2)nc1[O-] ZINC000840701225 702215328 /nfs/dbraw/zinc/21/53/28/702215328.db2.gz IIADGHGBNVPXIH-LBPRGKRZSA-N -1 1 319.386 1.728 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000812802329 702242660 /nfs/dbraw/zinc/24/26/60/702242660.db2.gz HXYVATUZBREWHH-ZDUSSCGKSA-N -1 1 306.362 1.512 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NCC2CC=CC2)C1 ZINC000868802682 702326398 /nfs/dbraw/zinc/32/63/98/702326398.db2.gz FNUAXOTZELJJMU-ZDUSSCGKSA-N -1 1 319.327 1.805 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NOCCC1CC1 ZINC000817413161 702373929 /nfs/dbraw/zinc/37/39/29/702373929.db2.gz OCULXTJFNFOBDU-UHFFFAOYSA-N -1 1 318.377 1.832 20 0 DDADMM CN(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@H]1CC(C)(C)OC1=O ZINC000813841430 702425263 /nfs/dbraw/zinc/42/52/63/702425263.db2.gz IUMAQYVMNDOJRV-NSHDSACASA-N -1 1 315.329 1.089 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(CC(C)C)CC1 ZINC000841402451 702438062 /nfs/dbraw/zinc/43/80/62/702438062.db2.gz FJMOLDNHUBOZHY-UHFFFAOYSA-N -1 1 309.366 1.795 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCc2cc(-c3ccccc3)oc2C1 ZINC000866314761 706671456 /nfs/dbraw/zinc/67/14/56/706671456.db2.gz OLUFYGSKNMSGHK-UHFFFAOYSA-N -1 1 323.356 1.977 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC(C)(C)[C@@H]3CCCCO3)ccnc1-2 ZINC000879416769 706674681 /nfs/dbraw/zinc/67/46/81/706674681.db2.gz ZAQHKKFLGDHFRJ-ZDUSSCGKSA-N -1 1 317.393 1.811 20 0 DDADMM CC(C)OCC(C)(C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000841704495 702543862 /nfs/dbraw/zinc/54/38/62/702543862.db2.gz WLWKAMDAXZZLPL-UHFFFAOYSA-N -1 1 305.382 1.667 20 0 DDADMM O=S(=O)([N-]C1CCCCC1)c1nc[nH]c1Br ZINC000866372630 706683709 /nfs/dbraw/zinc/68/37/09/706683709.db2.gz IFPBYYWMARUSMO-UHFFFAOYSA-N -1 1 308.201 1.783 20 0 DDADMM Cc1sccc1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869532720 702638356 /nfs/dbraw/zinc/63/83/56/702638356.db2.gz PDBTWOXXTAYFKQ-UHFFFAOYSA-N -1 1 323.292 1.851 20 0 DDADMM O=C([O-])CCCC(=O)NCCc1nnc(-c2ccccc2)[nH]1 ZINC000843330964 702861033 /nfs/dbraw/zinc/86/10/33/702861033.db2.gz YUBUUUXSGJZLIE-UHFFFAOYSA-N -1 1 302.334 1.385 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCc2occc21 ZINC000866464783 706705323 /nfs/dbraw/zinc/70/53/23/706705323.db2.gz LPSWXDDRFQVLGN-MGNBDDOMSA-N -1 1 306.409 1.609 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2(C(F)F)CC2)c1 ZINC000843891847 702939087 /nfs/dbraw/zinc/93/90/87/702939087.db2.gz NCFUCWMPLUCPBP-UHFFFAOYSA-N -1 1 320.317 1.284 20 0 DDADMM CCN(C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CCCOC1 ZINC000844650582 703051439 /nfs/dbraw/zinc/05/14/39/703051439.db2.gz NDAKCSCRBGQTMS-LLVKDONJSA-N -1 1 303.366 1.375 20 0 DDADMM CC(C)(CNC(=O)c1c([O-])cccc1Cl)CS(C)(=O)=O ZINC000831489410 706717900 /nfs/dbraw/zinc/71/79/00/706717900.db2.gz ALLUMXVCXJBAHW-UHFFFAOYSA-N -1 1 319.810 1.846 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1Cc2ccccc21 ZINC000866563208 706730413 /nfs/dbraw/zinc/73/04/13/706730413.db2.gz YWKXQKFNOXRHPV-VOJFVSQTSA-N -1 1 302.421 1.278 20 0 DDADMM CCON(C)C(=O)CNC(=O)c1ccc2ccccc2c1[O-] ZINC000846502441 703285172 /nfs/dbraw/zinc/28/51/72/703285172.db2.gz HNKGKHAGHKNOFS-UHFFFAOYSA-N -1 1 302.330 1.685 20 0 DDADMM CCOC(=O)C(CC)(CC)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000831618038 706740693 /nfs/dbraw/zinc/74/06/93/706740693.db2.gz PLSVJSBLKOBTIZ-UHFFFAOYSA-N -1 1 323.802 1.463 20 0 DDADMM CC(=Cc1cccnc1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847090195 703376253 /nfs/dbraw/zinc/37/62/53/703376253.db2.gz HWNQWPAGEWJSDC-RUNBWSAHSA-N -1 1 314.345 1.980 20 0 DDADMM CC(C)CCOCC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866641294 706748855 /nfs/dbraw/zinc/74/88/55/706748855.db2.gz QFLYAACWUBVELP-UHFFFAOYSA-N -1 1 308.350 1.701 20 0 DDADMM CCO/C=C/[C@](O)(CNC(=O)c1cncc([O-])c1)C(F)(F)F ZINC000848177539 703521539 /nfs/dbraw/zinc/52/15/39/703521539.db2.gz KIQZCGQCPMULBB-PCAWENJQSA-N -1 1 320.267 1.361 20 0 DDADMM CN(C)[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(Cl)cc1 ZINC000848800101 703591132 /nfs/dbraw/zinc/59/11/32/703591132.db2.gz MJOVMGRZTREXEV-LBPRGKRZSA-N -1 1 324.768 1.108 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]CC(=O)OCC1CC1)C1CCCC1 ZINC000849372841 703640658 /nfs/dbraw/zinc/64/06/58/703640658.db2.gz GIHLPLGROGDIHA-CYBMUJFWSA-N -1 1 319.423 1.064 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(OC)OC ZINC000849407362 703644745 /nfs/dbraw/zinc/64/47/45/703644745.db2.gz JPWTXYUJXLERMW-LLVKDONJSA-N -1 1 323.361 1.949 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@@H](C)C(C)=O)c1 ZINC000849812200 703680740 /nfs/dbraw/zinc/68/07/40/703680740.db2.gz UKUJNUFBSZEYIG-WSVYEEACSA-N -1 1 312.387 1.961 20 0 DDADMM O=C([O-])[C@H]1CSCC[N@@H+]1C[C@@H](O)c1ccc(F)cc1F ZINC000851706649 703833693 /nfs/dbraw/zinc/83/36/93/703833693.db2.gz WIWHQLZMKNLYRZ-VXGBXAGGSA-N -1 1 303.330 1.500 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C)c2ccc[nH]2)cc1C ZINC000851774734 703852598 /nfs/dbraw/zinc/85/25/98/703852598.db2.gz HGZHNJNPOZMCMC-SECBINFHSA-N -1 1 312.347 1.742 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3C[C@@H](OC(C)(C)C)C3)ccnc1-2 ZINC000831748534 706769823 /nfs/dbraw/zinc/76/98/23/706769823.db2.gz FREXLIAZNOESPG-PHIMTYICSA-N -1 1 317.393 1.809 20 0 DDADMM C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000869891407 703872321 /nfs/dbraw/zinc/87/23/21/703872321.db2.gz KQCCWVLUODUUDS-YXCITZCRSA-N -1 1 301.342 1.778 20 0 DDADMM CCCCOC(=O)CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879761989 706783340 /nfs/dbraw/zinc/78/33/40/706783340.db2.gz AZAOIUBURPCWHC-LBPRGKRZSA-N -1 1 310.316 1.473 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@@H]2CC23CC3)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852388622 704032089 /nfs/dbraw/zinc/03/20/89/704032089.db2.gz XPHLHLJTOQKURO-KXUCPTDWSA-N -1 1 319.327 1.637 20 0 DDADMM CC(C)N1CC[C@@H](N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1=O ZINC000879764959 706785347 /nfs/dbraw/zinc/78/53/47/706785347.db2.gz KUJRLTUJBDWEPP-ZWNOBZJWSA-N -1 1 321.343 1.139 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(CCO[C@H]2CC2(F)F)C1 ZINC000879768257 706787674 /nfs/dbraw/zinc/78/76/74/706787674.db2.gz ZOVQHLCVIBYKEQ-WPRPVWTQSA-N -1 1 316.270 1.554 20 0 DDADMM CCn1nc(C)c(C[N-]S(=O)(=O)c2cccnc2Cl)n1 ZINC000819887707 704181394 /nfs/dbraw/zinc/18/13/94/704181394.db2.gz OELFYGCTYUFANE-UHFFFAOYSA-N -1 1 315.786 1.133 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1c2ccccc2C[C@@H]1C ZINC000866773340 706795926 /nfs/dbraw/zinc/79/59/26/706795926.db2.gz DXOGUEZIJSICSB-VARDARPISA-N -1 1 316.448 1.872 20 0 DDADMM CCC(CC)S(=O)(=O)[N-]C(=O)c1cc2[nH]cnc2cc1F ZINC000820069396 704215530 /nfs/dbraw/zinc/21/55/30/704215530.db2.gz XWWDYKCGYWQNGD-UHFFFAOYSA-N -1 1 313.354 1.950 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2nonc2C)c1 ZINC000820078582 704217951 /nfs/dbraw/zinc/21/79/51/704217951.db2.gz GLGFVXNYOGSXQW-NRFANRHFSA-N -1 1 310.331 1.238 20 0 DDADMM C[C@@H]1CCN(Cn2cc[nH]c2=S)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000853623531 704288685 /nfs/dbraw/zinc/28/86/85/704288685.db2.gz RHLXANZNIBSUAE-BDAKNGLRSA-N -1 1 322.356 1.518 20 0 DDADMM O=C([N-]CCCOC(=O)[C@@H]1CCc2cccnc21)C(F)(F)F ZINC000820824782 704335643 /nfs/dbraw/zinc/33/56/43/704335643.db2.gz YJEJDTIGQCWHBE-SNVBAGLBSA-N -1 1 316.279 1.723 20 0 DDADMM CCOC[C@@H]1CCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000821374339 704399071 /nfs/dbraw/zinc/39/90/71/704399071.db2.gz VNSAAUAAQHPMPB-SSDOTTSWSA-N -1 1 314.802 1.839 20 0 DDADMM CCOC[C@@H]1CCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000821374339 704399074 /nfs/dbraw/zinc/39/90/74/704399074.db2.gz VNSAAUAAQHPMPB-SSDOTTSWSA-N -1 1 314.802 1.839 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncc[nH]2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000854469019 704402724 /nfs/dbraw/zinc/40/27/24/704402724.db2.gz LYJJJBLPIDZAPJ-CIUDSAMLSA-N -1 1 318.299 1.327 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCO[C@H]1CCCCO1 ZINC000854551355 704416875 /nfs/dbraw/zinc/41/68/75/704416875.db2.gz HXZWTHMVJWDIQT-LBPRGKRZSA-N -1 1 323.393 1.887 20 0 DDADMM CC1(CO)CN(C(=O)c2cc(-c3ccc(C=O)o3)ccc2[O-])C1 ZINC000821720991 704434399 /nfs/dbraw/zinc/43/43/99/704434399.db2.gz NSSIXZWCOPRPCG-UHFFFAOYSA-N -1 1 315.325 1.919 20 0 DDADMM C[C@@]12COC[C@@H]1CN(C(=O)c1ccc3n[n-]c(=S)n3c1)C2 ZINC000855835086 704502529 /nfs/dbraw/zinc/50/25/29/704502529.db2.gz GVZZMFYBVJEYHC-IINYFYTJSA-N -1 1 304.375 1.127 20 0 DDADMM CO[C@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)C1CCCC1 ZINC000856155409 704516650 /nfs/dbraw/zinc/51/66/50/704516650.db2.gz YQSRXTPOWWXJLO-GFCCVEGCSA-N -1 1 320.418 1.953 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)[C@](C)(CO)C1 ZINC000856246485 704519553 /nfs/dbraw/zinc/51/95/53/704519553.db2.gz FZXAFHMPJOBPJL-HWPZZCPQSA-N -1 1 307.394 1.705 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C(C)=C\C(C)(C)C)nc2n1 ZINC000857685262 704613691 /nfs/dbraw/zinc/61/36/91/704613691.db2.gz VNJFIWZOHHRDIO-HJWRWDBZSA-N -1 1 303.366 1.911 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2cc(C(=O)OC)co2)co1 ZINC000832477719 706922720 /nfs/dbraw/zinc/92/27/20/706922720.db2.gz AQURONZLCVMKDG-UHFFFAOYSA-N -1 1 314.319 1.095 20 0 DDADMM CCS(=O)(=O)N1CCC(Nc2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858338153 704696810 /nfs/dbraw/zinc/69/68/10/704696810.db2.gz OWSWLIIBUXFRRA-UHFFFAOYSA-N -1 1 320.802 1.062 20 0 DDADMM COc1cccnc1N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000858635726 704738102 /nfs/dbraw/zinc/73/81/02/704738102.db2.gz QXAYWMXCUYDGEI-ZJUUUORDSA-N -1 1 317.311 1.984 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CC1CC(F)(F)C1 ZINC000867295511 706951006 /nfs/dbraw/zinc/95/10/06/706951006.db2.gz VTZNTJLFKNDULP-UHFFFAOYSA-N -1 1 302.368 1.128 20 0 DDADMM O=C(CC(C1CCC1)C1CCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000859278062 704846046 /nfs/dbraw/zinc/84/60/46/704846046.db2.gz JEXMUBPYEBDMBK-CQSZACIVSA-N -1 1 319.409 1.706 20 0 DDADMM C[C@@H]1CC[C@@H](C[N-]S(=O)(=O)c2nc[nH]c2Br)O1 ZINC000867379445 706981085 /nfs/dbraw/zinc/98/10/85/706981085.db2.gz OLKJWTBXNCLJOD-RQJHMYQMSA-N -1 1 324.200 1.018 20 0 DDADMM CCO[N-]C(=O)c1c(F)ccc(S(=O)(=O)CC2CC2)c1F ZINC000822341296 704922510 /nfs/dbraw/zinc/92/25/10/704922510.db2.gz BCRAJJYOHINWGJ-UHFFFAOYSA-N -1 1 319.329 1.830 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC1=NC[C@@H](C)S1)c2=O ZINC000822481401 704957487 /nfs/dbraw/zinc/95/74/87/704957487.db2.gz OVVJALOPYTYMFG-MRVPVSSYSA-N -1 1 302.359 1.865 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC3=NC[C@@H](C)S3)cnc2n1 ZINC000822481401 704957488 /nfs/dbraw/zinc/95/74/88/704957488.db2.gz OVVJALOPYTYMFG-MRVPVSSYSA-N -1 1 302.359 1.865 20 0 DDADMM CCN1CC[C@@H]([N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)C1=O ZINC000822779482 705036327 /nfs/dbraw/zinc/03/63/27/705036327.db2.gz XDDTXTRPTSUQCR-LLVKDONJSA-N -1 1 318.270 1.794 20 0 DDADMM C[C@H]1CCN(C(=O)[C@H]2C[C@@H](O)C2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000822944189 705089273 /nfs/dbraw/zinc/08/92/73/705089273.db2.gz LSZRSQFVUWKALM-MFDAYCCISA-N -1 1 322.327 1.061 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@H]2CCCS2)c1 ZINC000867659218 707065997 /nfs/dbraw/zinc/06/59/97/707065997.db2.gz QYJWOHFCTFWMJX-LLVKDONJSA-N -1 1 317.432 1.965 20 0 DDADMM CC(C)CC[C@H](CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000861025407 705352235 /nfs/dbraw/zinc/35/22/35/705352235.db2.gz KFUFUWNTCOGZGD-LLVKDONJSA-N -1 1 305.382 1.260 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N[C@@H](CO)CCF)c2)o1 ZINC000824052124 705364135 /nfs/dbraw/zinc/36/41/35/705364135.db2.gz CDNGNYSSIDBZEO-LLVKDONJSA-N -1 1 321.304 1.915 20 0 DDADMM CS[C@H](C)CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875411688 705379086 /nfs/dbraw/zinc/37/90/86/705379086.db2.gz IFGBCZZDZKAKBY-SSDOTTSWSA-N -1 1 313.345 1.058 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1cn(C[C@H]2CCOC2)nn1 ZINC000861252351 705409176 /nfs/dbraw/zinc/40/91/76/705409176.db2.gz XYKSCBBJFPOKAP-MRVPVSSYSA-N -1 1 323.740 1.321 20 0 DDADMM CC(C)[C@@H](NCc1ccc(-c2nnn[n-]2)o1)C(=O)OC(C)(C)C ZINC000824338169 705431400 /nfs/dbraw/zinc/43/14/00/705431400.db2.gz RJXLZSWVYHNPDY-GFCCVEGCSA-N -1 1 321.381 1.916 20 0 DDADMM CC(C)[C@@H](NCc1ccc(-c2nn[n-]n2)o1)C(=O)OC(C)(C)C ZINC000824338169 705431406 /nfs/dbraw/zinc/43/14/06/705431406.db2.gz RJXLZSWVYHNPDY-GFCCVEGCSA-N -1 1 321.381 1.916 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@H]1CCOC1 ZINC000824346887 705433297 /nfs/dbraw/zinc/43/32/97/705433297.db2.gz YEYVKIWQSOTRFO-KXUCPTDWSA-N -1 1 308.300 1.081 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@](O)(c2ccccc2)C1 ZINC000824911397 705557002 /nfs/dbraw/zinc/55/70/02/705557002.db2.gz RESPHGKHSFVSPM-MRXNPFEDSA-N -1 1 315.377 1.033 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCCCCC2CC2)C1)C(F)(F)F ZINC000876172095 705647521 /nfs/dbraw/zinc/64/75/21/705647521.db2.gz RPXZSIDKDITIJI-UHFFFAOYSA-N -1 1 321.343 1.887 20 0 DDADMM CC1(C)CCC[C@H]1CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000862363345 705701630 /nfs/dbraw/zinc/70/16/30/705701630.db2.gz NFFFJFLPFORGTA-LBPRGKRZSA-N -1 1 307.394 1.259 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC[C@H](n2cncn2)C1 ZINC000876395662 705707939 /nfs/dbraw/zinc/70/79/39/705707939.db2.gz MPZHPNKXQYIFHK-NSHDSACASA-N -1 1 321.768 1.794 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(C)nc2C)c1-c1nnn[n-]1 ZINC000826291050 705787278 /nfs/dbraw/zinc/78/72/78/705787278.db2.gz MUGOFEORIBZDMH-UHFFFAOYSA-N -1 1 313.321 1.356 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(C)nc2C)c1-c1nn[n-]n1 ZINC000826291050 705787283 /nfs/dbraw/zinc/78/72/83/705787283.db2.gz MUGOFEORIBZDMH-UHFFFAOYSA-N -1 1 313.321 1.356 20 0 DDADMM Cc1onc(CC(=O)N2CCc3ccc(C)cc32)c1-c1nnn[n-]1 ZINC000826294235 705787706 /nfs/dbraw/zinc/78/77/06/705787706.db2.gz MJZYDCSVXASXMG-UHFFFAOYSA-N -1 1 324.344 1.603 20 0 DDADMM Cc1onc(CC(=O)N2CCc3ccc(C)cc32)c1-c1nn[n-]n1 ZINC000826294235 705787711 /nfs/dbraw/zinc/78/77/11/705787711.db2.gz MJZYDCSVXASXMG-UHFFFAOYSA-N -1 1 324.344 1.603 20 0 DDADMM Cc1onc(CC(=O)NCc2cccc(C)c2)c1-c1nnn[n-]1 ZINC000826298040 705787909 /nfs/dbraw/zinc/78/79/09/705787909.db2.gz AJURDLUEJBQPBU-UHFFFAOYSA-N -1 1 312.333 1.330 20 0 DDADMM Cc1onc(CC(=O)NCc2cccc(C)c2)c1-c1nn[n-]n1 ZINC000826298040 705787912 /nfs/dbraw/zinc/78/79/12/705787912.db2.gz AJURDLUEJBQPBU-UHFFFAOYSA-N -1 1 312.333 1.330 20 0 DDADMM Cc1onc(CC(=O)N(C)Cc2cccs2)c1-c1nnn[n-]1 ZINC000826343001 705792881 /nfs/dbraw/zinc/79/28/81/705792881.db2.gz IUMQKOYNHKRJBG-UHFFFAOYSA-N -1 1 318.362 1.426 20 0 DDADMM Cc1onc(CC(=O)N(C)Cc2cccs2)c1-c1nn[n-]n1 ZINC000826343001 705792882 /nfs/dbraw/zinc/79/28/82/705792882.db2.gz IUMQKOYNHKRJBG-UHFFFAOYSA-N -1 1 318.362 1.426 20 0 DDADMM Cc1occc1CN(C)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826342288 705793039 /nfs/dbraw/zinc/79/30/39/705793039.db2.gz DQOWOPNGRHJCBI-UHFFFAOYSA-N -1 1 316.321 1.266 20 0 DDADMM Cc1occc1CN(C)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826342288 705793042 /nfs/dbraw/zinc/79/30/42/705793042.db2.gz DQOWOPNGRHJCBI-UHFFFAOYSA-N -1 1 316.321 1.266 20 0 DDADMM OC[C@H](Nc1ccc(Cl)c(-c2nnn[n-]2)n1)C1CCOCC1 ZINC000826508691 705806443 /nfs/dbraw/zinc/80/64/43/705806443.db2.gz ZHZDRVCNCCLKPG-JTQLQIEISA-N -1 1 324.772 1.115 20 0 DDADMM OC[C@H](Nc1ccc(Cl)c(-c2nn[n-]n2)n1)C1CCOCC1 ZINC000826508691 705806446 /nfs/dbraw/zinc/80/64/46/705806446.db2.gz ZHZDRVCNCCLKPG-JTQLQIEISA-N -1 1 324.772 1.115 20 0 DDADMM CC[C@@](C)(O)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000826687244 705845688 /nfs/dbraw/zinc/84/56/88/705845688.db2.gz YNPMGZAHCQNDPK-VDDIYKPWSA-N -1 1 310.316 1.063 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@H]1CCCN(C(=O)[O-])C1 ZINC000826692089 705847055 /nfs/dbraw/zinc/84/70/55/705847055.db2.gz ZVRMEMAHYWIYGC-RRFJBIMHSA-N -1 1 323.437 1.852 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C2(C(F)(F)F)CC2)CC1 ZINC000827355760 705984580 /nfs/dbraw/zinc/98/45/80/705984580.db2.gz MEYVTGGIBSBDJB-VIFPVBQESA-N -1 1 308.300 1.336 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCOC[C@@H]1CCO ZINC000827926184 706089589 /nfs/dbraw/zinc/08/95/89/706089589.db2.gz ZNYIDZSIXCIRIT-JTQLQIEISA-N -1 1 319.279 1.634 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC(C)(C)C ZINC000828222392 706141776 /nfs/dbraw/zinc/14/17/76/706141776.db2.gz ZZXQMLPWNKZIKX-ZETCQYMHSA-N -1 1 323.802 1.462 20 0 DDADMM CCn1cc(NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cn1 ZINC000864429306 706166512 /nfs/dbraw/zinc/16/65/12/706166512.db2.gz QGLQAFXDMDDIFS-UHFFFAOYSA-N -1 1 319.287 1.045 20 0 DDADMM CCCn1nccc1CN1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000877776624 706207231 /nfs/dbraw/zinc/20/72/31/706207231.db2.gz RIBOIJGUHIHNMV-UHFFFAOYSA-N -1 1 304.316 1.403 20 0 DDADMM C[S@](=N)(=O)N1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000877788444 706213172 /nfs/dbraw/zinc/21/31/72/706213172.db2.gz FNLCYUYCBVFSSL-LJQANCHMSA-N -1 1 303.815 1.755 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCCOC(F)F ZINC000872483405 707438629 /nfs/dbraw/zinc/43/86/29/707438629.db2.gz HEFLVGXXJSJWGY-GOSISDBHSA-N -1 1 322.399 1.346 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1(C)C ZINC000908971009 712905756 /nfs/dbraw/zinc/90/57/56/712905756.db2.gz PVKKGSDRBZCXRL-LBPRGKRZSA-N -1 1 305.378 1.947 20 0 DDADMM Cc1cnccc1C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872474879 707434187 /nfs/dbraw/zinc/43/41/87/707434187.db2.gz JPLWRXRVPFBOPR-LJQANCHMSA-N -1 1 319.452 1.621 20 0 DDADMM CCC[C@@H](NC(=O)C=CCOc1ccccc1)c1nn[n-]n1 ZINC000829801069 706398614 /nfs/dbraw/zinc/39/86/14/706398614.db2.gz MXHRCGFVOTWOOW-AGEOTTOMSA-N -1 1 301.350 1.792 20 0 DDADMM CCC[C@@H](NC(=O)/C=C\COc1ccccc1)c1nn[n-]n1 ZINC000829801069 706398617 /nfs/dbraw/zinc/39/86/17/706398617.db2.gz MXHRCGFVOTWOOW-AGEOTTOMSA-N -1 1 301.350 1.792 20 0 DDADMM CNC(=O)C1([N-]S(=O)(=O)c2sccc2Cl)CCCC1 ZINC000830338517 706498370 /nfs/dbraw/zinc/49/83/70/706498370.db2.gz LHILFJPFYLBPCW-UHFFFAOYSA-N -1 1 322.839 1.739 20 0 DDADMM Cc1cc(CCNC(=O)Cc2ccc([O-])c(Cl)c2)nn1C ZINC000830605604 706543339 /nfs/dbraw/zinc/54/33/39/706543339.db2.gz KCKUUOAOBGOJNJ-UHFFFAOYSA-N -1 1 307.781 1.989 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]1Cc1ccccc1)c1c[nH]nc1Cl ZINC000830688211 706562282 /nfs/dbraw/zinc/56/22/82/706562282.db2.gz LRAMBZNVUBCBTB-WDEREUQCSA-N -1 1 311.794 1.973 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@]2(C)CCCCO2)C1 ZINC000830795082 706580744 /nfs/dbraw/zinc/58/07/44/706580744.db2.gz GYROJQRMYHEPRU-STQMWFEESA-N -1 1 322.327 1.615 20 0 DDADMM CC(C)(C)C(=O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830819791 706586177 /nfs/dbraw/zinc/58/61/77/706586177.db2.gz AZPXSQNERBWCBS-GFCCVEGCSA-N -1 1 308.300 1.271 20 0 DDADMM O=C(c1ccnc(-n2cccc2)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000866902056 706840267 /nfs/dbraw/zinc/84/02/67/706840267.db2.gz VTASKOGWLSJQTL-ZDUSSCGKSA-N -1 1 323.360 1.405 20 0 DDADMM C[C@@H](CN(C)C(=O)/C=C\COc1ccccc1)c1nn[n-]n1 ZINC000832126082 706848164 /nfs/dbraw/zinc/84/81/64/706848164.db2.gz JIYFLZCEXIFTLT-PWWFUAJNSA-N -1 1 301.350 1.397 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]2OCC[C@@H]21)c1ccc(F)nc1F ZINC000866962878 706856937 /nfs/dbraw/zinc/85/69/37/706856937.db2.gz PXEYGNLLNMQCLQ-OPRDCNLKSA-N -1 1 318.345 1.596 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]2OCC[C@H]21)c1ccc(F)nc1F ZINC000866962875 706857060 /nfs/dbraw/zinc/85/70/60/706857060.db2.gz PXEYGNLLNMQCLQ-IVZWLZJFSA-N -1 1 318.345 1.596 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCC(F)(F)C1 ZINC000866983045 706864043 /nfs/dbraw/zinc/86/40/43/706864043.db2.gz CNHZJSQTYQIFMA-CQLKUDPESA-N -1 1 304.384 1.516 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CCOC2(CCC2)C1 ZINC000866984383 706864834 /nfs/dbraw/zinc/86/48/34/706864834.db2.gz QNMUZHZCLGOTIL-LLVKDONJSA-N -1 1 324.468 1.430 20 0 DDADMM C[C@@H]1CC([N-]S(=O)(=O)c2ccc(F)nc2F)C[C@@H](C)O1 ZINC000867040773 706879662 /nfs/dbraw/zinc/87/96/62/706879662.db2.gz IAXNXQRDLYUAAK-HTQZYQBOSA-N -1 1 306.334 1.594 20 0 DDADMM Cc1nn(C)c(C)c1OS(=O)(=O)c1c[n-]nc1C(F)(F)F ZINC000867040572 706879814 /nfs/dbraw/zinc/87/98/14/706879814.db2.gz XMNGFWJRCJUZNV-UHFFFAOYSA-N -1 1 324.284 1.547 20 0 DDADMM CCC1(O)CCC([N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000867050416 706882394 /nfs/dbraw/zinc/88/23/94/706882394.db2.gz RZHZZDWZTBVRGW-UHFFFAOYSA-N -1 1 320.361 1.722 20 0 DDADMM CC1=NO[C@@H](C[N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC000867349300 706970101 /nfs/dbraw/zinc/97/01/01/706970101.db2.gz WFWJTJJDRXBPBS-SECBINFHSA-N -1 1 306.746 1.922 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@]1(C)CC1(Cl)Cl ZINC000867350529 706971096 /nfs/dbraw/zinc/97/10/96/706971096.db2.gz ICEDRUXOZQWHGF-VGDKGRGNSA-N -1 1 323.267 1.522 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](O)[C@@H](C)c1ccccc1F)c1nn[n-]n1 ZINC000867380557 706981655 /nfs/dbraw/zinc/98/16/55/706981655.db2.gz HOELSDGAYOYXFB-GBIKHYSHSA-N -1 1 321.356 1.065 20 0 DDADMM CCn1ccc(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)n1 ZINC000867400340 706988098 /nfs/dbraw/zinc/98/80/98/706988098.db2.gz AFJQAMNYCXPYKB-UHFFFAOYSA-N -1 1 318.761 1.569 20 0 DDADMM Cc1nnc(SCC(=O)N(CCC(=O)[O-])CC(C)C)[nH]1 ZINC000832991590 706998972 /nfs/dbraw/zinc/99/89/72/706998972.db2.gz XJBGFCHXTXENJV-UHFFFAOYSA-N -1 1 300.384 1.165 20 0 DDADMM Cc1n[nH]c(SCC(=O)N(CCC(=O)[O-])CC(C)C)n1 ZINC000832991590 706998975 /nfs/dbraw/zinc/99/89/75/706998975.db2.gz XJBGFCHXTXENJV-UHFFFAOYSA-N -1 1 300.384 1.165 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)c1ccccc1F ZINC000833125280 707001006 /nfs/dbraw/zinc/00/10/06/707001006.db2.gz UOXQWVNYXJNSMW-YMTOWFKASA-N -1 1 317.320 1.911 20 0 DDADMM CC(C)C[C@@H](C(=O)[O-])N(C)C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000909020882 712918724 /nfs/dbraw/zinc/91/87/24/712918724.db2.gz RMYSTFAREQHHRQ-GWCFXTLKSA-N -1 1 308.382 1.408 20 0 DDADMM CNc1ncc(C(=O)NCc2cc(=O)[n-]c(SC)n2)s1 ZINC000880652684 707048686 /nfs/dbraw/zinc/04/86/86/707048686.db2.gz LUFFWUZGJVBXCX-UHFFFAOYSA-N -1 1 311.392 1.332 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CSC(C)(C)C)n[n-]1 ZINC000880667140 707052381 /nfs/dbraw/zinc/05/23/81/707052381.db2.gz FRVMMPABQAJOSY-MRVPVSSYSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CSC(C)(C)C)[n-]1 ZINC000880667140 707052382 /nfs/dbraw/zinc/05/23/82/707052382.db2.gz FRVMMPABQAJOSY-MRVPVSSYSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CSC(C)(C)C)n1 ZINC000880667140 707052383 /nfs/dbraw/zinc/05/23/83/707052383.db2.gz FRVMMPABQAJOSY-MRVPVSSYSA-N -1 1 314.411 1.690 20 0 DDADMM COC(=O)CSCCC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834829226 707132506 /nfs/dbraw/zinc/13/25/06/707132506.db2.gz PCCHRQOQJHXYFO-UHFFFAOYSA-N -1 1 317.794 1.958 20 0 DDADMM O=C([O-])CC1(NC(=O)[C@H]2CCc3nc[nH]c3C2)CCCCC1 ZINC000909060480 712928334 /nfs/dbraw/zinc/92/83/34/712928334.db2.gz XAKBFDDPBWFJOR-NSHDSACASA-N -1 1 305.378 1.808 20 0 DDADMM COC(=O)c1c[n-]c(SCc2nccn2CC(F)F)n1 ZINC000871667371 707161007 /nfs/dbraw/zinc/16/10/07/707161007.db2.gz JCDCHFIOKUAERB-UHFFFAOYSA-N -1 1 302.306 1.950 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1cnn(CC)c1C)C1CC1 ZINC000872421594 707404400 /nfs/dbraw/zinc/40/44/00/707404400.db2.gz PPLIVSACIKCHOQ-ZDUSSCGKSA-N -1 1 301.412 1.768 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CC12CCOCC2 ZINC000872496224 707446481 /nfs/dbraw/zinc/44/64/81/707446481.db2.gz SFXNUQHROHZKKZ-DGIBIBHMSA-N -1 1 324.468 1.286 20 0 DDADMM C/C=C\C[C@@H](NC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000909168970 712952570 /nfs/dbraw/zinc/95/25/70/712952570.db2.gz HAWLOXHTYOMEBX-XQJDBVBESA-N -1 1 302.334 1.553 20 0 DDADMM CO[C@@H]([C@@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CC1 ZINC000836571588 707481694 /nfs/dbraw/zinc/48/16/94/707481694.db2.gz BQARYOWITGEMPY-RNCFNFMXSA-N -1 1 303.366 1.277 20 0 DDADMM Cn1cc(-c2cc(CNC3(C(=O)[O-])CCCCC3)on2)cn1 ZINC000836691256 707503817 /nfs/dbraw/zinc/50/38/17/707503817.db2.gz JPUPDBYYRVIDAA-UHFFFAOYSA-N -1 1 304.350 1.952 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)Cc1cnn(-c2ccccc2)c1 ZINC000836901867 707546780 /nfs/dbraw/zinc/54/67/80/707546780.db2.gz KEEZMYJOIGRDBQ-OAHLLOKOSA-N -1 1 303.362 1.480 20 0 DDADMM Cn1cnn(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)c1=S ZINC000872751778 707585028 /nfs/dbraw/zinc/58/50/28/707585028.db2.gz ACOXDXJATQMDJA-JTQLQIEISA-N -1 1 323.344 1.051 20 0 DDADMM CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000837298374 707615447 /nfs/dbraw/zinc/61/54/47/707615447.db2.gz LRLNTLMHDCZGTQ-RYUDHWBXSA-N -1 1 324.381 1.307 20 0 DDADMM COc1cc(C(=O)N[C@H]2C(=O)OCC2(C)C)cc(Cl)c1[O-] ZINC000837631649 707701040 /nfs/dbraw/zinc/70/10/40/707701040.db2.gz LYVNIEPAEUIUPU-NSHDSACASA-N -1 1 313.737 1.736 20 0 DDADMM CON(C)C(=O)[C@@H](C)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873084612 707723631 /nfs/dbraw/zinc/72/36/31/707723631.db2.gz SGLVPTRDAWIZQF-MRVPVSSYSA-N -1 1 315.757 1.253 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1cc(O)c(F)cc1F ZINC000882741067 707768601 /nfs/dbraw/zinc/76/86/01/707768601.db2.gz MDPYLLZNMLBTNC-UHFFFAOYSA-N -1 1 317.317 1.767 20 0 DDADMM CN1C(=O)COc2cccc([N-]S(=O)(=O)CCCCF)c21 ZINC000882802221 707803198 /nfs/dbraw/zinc/80/31/98/707803198.db2.gz VTACOPWZWVYNOK-UHFFFAOYSA-N -1 1 316.354 1.533 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C2CCC3(COC3)CC2)sn1 ZINC000882822610 707809740 /nfs/dbraw/zinc/80/97/40/707809740.db2.gz PVPPQCJRWMZFMY-UHFFFAOYSA-N -1 1 302.421 1.689 20 0 DDADMM CC[C@H](Cc1cccc(C)c1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000873436358 707850883 /nfs/dbraw/zinc/85/08/83/707850883.db2.gz IYTYNQGEVYYJSW-CYBMUJFWSA-N -1 1 303.362 1.729 20 0 DDADMM CCC[C@@H](CC)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000873542698 707891529 /nfs/dbraw/zinc/89/15/29/707891529.db2.gz XQKHERFSSNYVKD-GFCCVEGCSA-N -1 1 304.456 1.743 20 0 DDADMM CC1(C)CC[C@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)OC1 ZINC000883136655 707937436 /nfs/dbraw/zinc/93/74/36/707937436.db2.gz KEHLTRPVSXEQBX-LLVKDONJSA-N -1 1 315.373 1.858 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC[C@@H]2CC[C@H]3C[C@H]32)co1 ZINC000927480664 712993299 /nfs/dbraw/zinc/99/32/99/712993299.db2.gz AAPRKLUBAOESQM-NHCYSSNCSA-N -1 1 312.391 1.354 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(C3CSC3)no2)co1 ZINC000896727137 708132476 /nfs/dbraw/zinc/13/24/76/708132476.db2.gz RRUXGFQMOSTXDA-UHFFFAOYSA-N -1 1 301.349 1.068 20 0 DDADMM C[C@@H](COc1ccccc1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909405792 713009959 /nfs/dbraw/zinc/00/99/59/713009959.db2.gz YQTBGHMMSLWGJT-UONOGXRCSA-N -1 1 320.389 1.367 20 0 DDADMM CNC(=O)[C@@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C(C)C ZINC000897091328 708227416 /nfs/dbraw/zinc/22/74/16/708227416.db2.gz RBKXYZMEDPWVDG-AWEZNQCLSA-N -1 1 316.357 1.751 20 0 DDADMM CCOC(=O)CCCCCC[N-]S(=O)(=O)c1ccns1 ZINC000884963523 708430602 /nfs/dbraw/zinc/43/06/02/708430602.db2.gz PUJVFDDUMXMEAL-UHFFFAOYSA-N -1 1 320.436 1.935 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1CCCCCCC1 ZINC000912527919 713030851 /nfs/dbraw/zinc/03/08/51/713030851.db2.gz JMZFHGYJYFIFOT-UHFFFAOYSA-N -1 1 315.395 1.588 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1ccns1)C1(O)CCCC1 ZINC000885227005 708498361 /nfs/dbraw/zinc/49/83/61/708498361.db2.gz UKCCWLOTPLNZPX-UHFFFAOYSA-N -1 1 304.437 1.753 20 0 DDADMM O=S(=O)([N-]Cc1nnc(C2CC2)s1)c1ccns1 ZINC000885246509 708501769 /nfs/dbraw/zinc/50/17/69/708501769.db2.gz LROTTYPOJCZIOW-UHFFFAOYSA-N -1 1 302.406 1.351 20 0 DDADMM C[C@H](O)[C@@H]1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])CCO1 ZINC000897983225 708509937 /nfs/dbraw/zinc/50/99/37/708509937.db2.gz AYGXSQMODKIJRO-BONVTDFDSA-N -1 1 317.341 1.473 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000885379027 708530549 /nfs/dbraw/zinc/53/05/49/708530549.db2.gz PFHLBLIIQRGMSI-DTWKUNHWSA-N -1 1 320.361 1.546 20 0 DDADMM C[C@H]1CO[C@@H](C(=O)OCc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000885567438 708577775 /nfs/dbraw/zinc/57/77/75/708577775.db2.gz RIXFVSARDNMKEK-YMTOWFKASA-N -1 1 304.298 1.967 20 0 DDADMM C[C@H](CCO)CCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927783699 713055141 /nfs/dbraw/zinc/05/51/41/713055141.db2.gz BTZWZGLNPATAKL-JTQLQIEISA-N -1 1 316.348 1.921 20 0 DDADMM C[C@H]1CCC(=O)CN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927785436 713055674 /nfs/dbraw/zinc/05/56/74/713055674.db2.gz VFSAJZYUSWWVJC-VIFPVBQESA-N -1 1 312.316 1.976 20 0 DDADMM CC1(C)CNC(=O)[C@H]1NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000912644625 713059610 /nfs/dbraw/zinc/05/96/10/713059610.db2.gz MMCNIVXSSVMYSW-CQSZACIVSA-N -1 1 314.341 1.505 20 0 DDADMM C[C@@H]1CS(=O)(=O)CCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000886817786 708852728 /nfs/dbraw/zinc/85/27/28/708852728.db2.gz SOTQSNIRJGJKQL-SECBINFHSA-N -1 1 301.339 1.181 20 0 DDADMM C[C@@]1(CO)C[C@H](O)CN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000912657940 713062529 /nfs/dbraw/zinc/06/25/29/713062529.db2.gz MBBNLUCXPLKGJT-ZANVPECISA-N -1 1 319.279 1.369 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCOC[C@@H]1C[C@H]1CCCO1 ZINC000887845270 709141706 /nfs/dbraw/zinc/14/17/06/709141706.db2.gz WRZWJROJULEVMO-QWHCGFSZSA-N -1 1 309.337 1.941 20 0 DDADMM CC(=O)NCCCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900110061 709292546 /nfs/dbraw/zinc/29/25/46/709292546.db2.gz DMRCZVHLNWUXAR-UHFFFAOYSA-N -1 1 305.309 1.336 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2c([O-])cccc2F)CCS1(=O)=O ZINC000888385475 709295521 /nfs/dbraw/zinc/29/55/21/709295521.db2.gz WXYDOBHVUOOYBY-VIFPVBQESA-N -1 1 315.366 1.617 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@H](C2OCCO2)C1 ZINC000927996920 713099123 /nfs/dbraw/zinc/09/91/23/713099123.db2.gz GXAQSCFEJWELPW-LBPRGKRZSA-N -1 1 314.341 1.775 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCO[C@@H]2COCC[C@@H]21 ZINC000888787510 709381609 /nfs/dbraw/zinc/38/16/09/709381609.db2.gz ZHVSWQDJJKHLSD-GXTWGEPZSA-N -1 1 311.765 1.604 20 0 DDADMM CN(CC(=O)N[C@]1(C)CCCC[C@@H]1C(=O)[O-])[C@@H]1CCSC1 ZINC000909526756 709502180 /nfs/dbraw/zinc/50/21/80/709502180.db2.gz ATCZWXGMBOBTID-LALPHHSUSA-N -1 1 314.451 1.573 20 0 DDADMM CSCC[C@H](NC(=O)COc1ccccc1)c1nn[n-]n1 ZINC000912860110 713109608 /nfs/dbraw/zinc/10/96/08/713109608.db2.gz NOJSTEKGOBATKQ-NSHDSACASA-N -1 1 307.379 1.189 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C)n(C)c1C)c1nn[n-]n1 ZINC000912860611 713110067 /nfs/dbraw/zinc/11/00/67/713110067.db2.gz YTRWSNWACLUSKL-NSHDSACASA-N -1 1 308.411 1.379 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cncc(C)c1)c1nn[n-]n1 ZINC000912861404 713110519 /nfs/dbraw/zinc/11/05/19/713110519.db2.gz JOFRCUYWDHTUBT-PCAWENJQSA-N -1 1 318.406 1.527 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1scnc1C)c1nn[n-]n1 ZINC000912862664 713110920 /nfs/dbraw/zinc/11/09/20/713110920.db2.gz UICLWZHFLJQDCN-TYRPZCRBSA-N -1 1 324.435 1.588 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)CN2CCOCC2)c(C(=O)[O-])c1 ZINC000909612314 709539900 /nfs/dbraw/zinc/53/99/00/709539900.db2.gz ILSZVVMODAGTMN-LLVKDONJSA-N -1 1 322.361 1.300 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000909682798 709575680 /nfs/dbraw/zinc/57/56/80/709575680.db2.gz ULSCLHBUOGNHNQ-XSSZXYGBSA-N -1 1 317.267 1.754 20 0 DDADMM O=C([O-])[C@@]1(C(F)(F)F)CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC000909768086 709609704 /nfs/dbraw/zinc/60/97/04/709609704.db2.gz ONZDPYYOBMZHPE-DNSOKLHBSA-N -1 1 317.267 1.379 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](C(=O)[O-])c2cc(C)cc(C)c2)[nH]n1 ZINC000909794171 709623996 /nfs/dbraw/zinc/62/39/96/709623996.db2.gz SUWKMWVTADXWJD-OAHLLOKOSA-N -1 1 301.346 1.820 20 0 DDADMM C[C@H]1[C@H](C(=O)[O-])CCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000909804155 709629637 /nfs/dbraw/zinc/62/96/37/709629637.db2.gz HQOMYCNVSPJGKO-JOYOIKCWSA-N -1 1 300.318 1.407 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C=Cc2ccc[nH]2)[n-]c1=O ZINC000889791430 709637493 /nfs/dbraw/zinc/63/74/93/709637493.db2.gz ZHDWFBFHIPNOOB-DGMVEKRQSA-N -1 1 314.345 1.896 20 0 DDADMM CC[C@@H]1CC[C@H](C(=O)N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)O1 ZINC000889792183 709638251 /nfs/dbraw/zinc/63/82/51/709638251.db2.gz UDYPOHDYIRLDRR-GRYCIOLGSA-N -1 1 321.377 1.812 20 0 DDADMM C[C@@H](NC(=O)[C@@H]1CCCN1C)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909822567 709640473 /nfs/dbraw/zinc/64/04/73/709640473.db2.gz MTKBJUNTSZKBJO-YUELXQCFSA-N -1 1 304.390 1.529 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CCc2cccc(C(=O)[O-])c2C1 ZINC000909864754 709658847 /nfs/dbraw/zinc/65/88/47/709658847.db2.gz HKFSMXIZGOSBGC-OAHLLOKOSA-N -1 1 302.374 1.754 20 0 DDADMM O=C(N[C@H]1CCCN2CCSC[C@H]12)C(=O)c1ccc([O-])cc1 ZINC000928085063 713122915 /nfs/dbraw/zinc/12/29/15/713122915.db2.gz GJYWMEGQXZFGGW-UONOGXRCSA-N -1 1 320.414 1.271 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@](C)(CCF)C(=O)[O-] ZINC000909969502 709713188 /nfs/dbraw/zinc/71/31/88/709713188.db2.gz FSQONTSKWWXFLY-MRXNPFEDSA-N -1 1 310.369 1.480 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@H]1CCCS(=O)(=O)C1 ZINC000909987561 709722276 /nfs/dbraw/zinc/72/22/76/709722276.db2.gz YNWNGXGWHSEIEQ-ZETCQYMHSA-N -1 1 305.302 1.434 20 0 DDADMM CN(CC(=O)N[C@H](C(=O)[O-])C1CCCCC1)[C@@H]1CCSC1 ZINC000910088765 709767616 /nfs/dbraw/zinc/76/76/16/709767616.db2.gz CSLJSXDVAQZVRH-OCCSQVGLSA-N -1 1 314.451 1.573 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccn3ccccc23)CC1 ZINC000910172836 709797403 /nfs/dbraw/zinc/79/74/03/709797403.db2.gz HCHWQASUHWNDSX-CYBMUJFWSA-N -1 1 315.373 1.560 20 0 DDADMM CCc1ccc(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)nc1 ZINC000910175701 709797870 /nfs/dbraw/zinc/79/78/70/709797870.db2.gz SHQWHULYWCSEJG-CYBMUJFWSA-N -1 1 319.405 1.194 20 0 DDADMM Cc1cc(C)nc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000910175625 709797972 /nfs/dbraw/zinc/79/79/72/709797972.db2.gz QDLRLWSQKBKUGS-CYBMUJFWSA-N -1 1 305.378 1.319 20 0 DDADMM CCc1cccnc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910176167 709798672 /nfs/dbraw/zinc/79/86/72/709798672.db2.gz ZIJKPFXPONQUML-LBPRGKRZSA-N -1 1 305.378 1.265 20 0 DDADMM CCN(CC)CC(=O)Nc1ccc(O[C@@H](C)C(=O)[O-])c(F)c1 ZINC000910227347 709825993 /nfs/dbraw/zinc/82/59/93/709825993.db2.gz DRLWQOLRXWFSDO-JTQLQIEISA-N -1 1 312.341 1.958 20 0 DDADMM CO[C@H]1CN(C(=O)c2ccc(O)c(Cl)c2)[C@](C)(C(=O)[O-])C1 ZINC000910260846 709845208 /nfs/dbraw/zinc/84/52/08/709845208.db2.gz AODKUOLCBQSQTM-OTYXRUKQSA-N -1 1 313.737 1.750 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H]1CCC2(CCC2)CO1)c1nn[n-]n1 ZINC000900984809 709862867 /nfs/dbraw/zinc/86/28/67/709862867.db2.gz SDIHFCFPVBPLGB-RYUDHWBXSA-N -1 1 307.398 1.897 20 0 DDADMM COCCC1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000912975801 713138091 /nfs/dbraw/zinc/13/80/91/713138091.db2.gz CUKYGJGVEYVUPE-UHFFFAOYSA-N -1 1 305.378 1.948 20 0 DDADMM CCC(CC)[C@@H](CNc1ccc(C(=O)[O-])nn1)N1CCOCC1 ZINC000910339483 709901380 /nfs/dbraw/zinc/90/13/80/709901380.db2.gz FJYDSSIWOHCHQK-CQSZACIVSA-N -1 1 322.409 1.724 20 0 DDADMM O=C(CC1COC1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000901052758 709902151 /nfs/dbraw/zinc/90/21/51/709902151.db2.gz LCRYLOCIXKMNRH-UHFFFAOYSA-N -1 1 303.358 1.850 20 0 DDADMM C[C@@H]1CN(C[C@@H]2CCCN2c2ncc(C(=O)[O-])cn2)C[C@@H](C)O1 ZINC000910351424 709906411 /nfs/dbraw/zinc/90/64/11/709906411.db2.gz GDCDCKCFZOUSQF-BZPMIXESSA-N -1 1 320.393 1.253 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](CC(=O)[O-])c2cccc(C)c2)[nH]n1 ZINC000910368309 709910963 /nfs/dbraw/zinc/91/09/63/709910963.db2.gz UHMBDCPKHLTYHD-AWEZNQCLSA-N -1 1 301.346 1.901 20 0 DDADMM Cc1nc(N[C@H]2CCCN3CCSC[C@H]23)ncc1C(=O)[O-] ZINC000910387572 709918952 /nfs/dbraw/zinc/91/89/52/709918952.db2.gz WVDPOZAQECRVKG-NWDGAFQWSA-N -1 1 308.407 1.475 20 0 DDADMM COCCc1cc(NC(=O)c2c([O-])cccc2OC)n(C)n1 ZINC000901116287 709932093 /nfs/dbraw/zinc/93/20/93/709932093.db2.gz CJSQSAFMNUURCV-UHFFFAOYSA-N -1 1 305.334 1.576 20 0 DDADMM Cc1cc(NC(=O)c2cnc(C3CC3)[n-]c2=O)cn(C)c1=O ZINC000901124912 709936033 /nfs/dbraw/zinc/93/60/33/709936033.db2.gz QYGXZBNCWPQUQH-UHFFFAOYSA-N -1 1 300.318 1.319 20 0 DDADMM COCC1CCC(NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000910446257 709946108 /nfs/dbraw/zinc/94/61/08/709946108.db2.gz FGGNSHPCBNAAFU-UHFFFAOYSA-N -1 1 305.378 1.995 20 0 DDADMM CC(C)CCC[C@@H](NC(=O)[C@H](C)CN1CCOCC1)C(=O)[O-] ZINC000910574107 710005904 /nfs/dbraw/zinc/00/59/04/710005904.db2.gz FRXKRSTVZXYPRF-ZIAGYGMSSA-N -1 1 314.426 1.350 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@@H](Cc1cc2ccccc2o1)C(=O)[O-] ZINC000910581238 710009230 /nfs/dbraw/zinc/00/92/30/710009230.db2.gz PKTYBMVWTBDZGI-UONOGXRCSA-N -1 1 316.357 1.639 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(Cc2c[nH]c(-c3ccccc3)n2)C1 ZINC000901543924 710070919 /nfs/dbraw/zinc/07/09/19/710070919.db2.gz XVYWCGDQVMGIMO-INIZCTEOSA-N -1 1 301.346 1.752 20 0 DDADMM O=C(N[C@@H]1COC[C@H]1C1CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000891048928 710071668 /nfs/dbraw/zinc/07/16/68/710071668.db2.gz YDKNKKHPXDLPAK-WDEREUQCSA-N -1 1 304.375 1.173 20 0 DDADMM C[C@@H]1CN(C(=O)CN(C)CCc2ccccc2)C[C@H]1CC(=O)[O-] ZINC000910857366 710097404 /nfs/dbraw/zinc/09/74/04/710097404.db2.gz FIDJVZFVPCJFBC-GDBMZVCRSA-N -1 1 318.417 1.730 20 0 DDADMM CNC(=O)NCCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000910894377 710111349 /nfs/dbraw/zinc/11/13/49/710111349.db2.gz CEBZESIBKUPKMS-UHFFFAOYSA-N -1 1 305.256 1.070 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC000901662805 710113028 /nfs/dbraw/zinc/11/30/28/710113028.db2.gz QLPNUYYAUGQNIW-ZHPDPMBESA-N -1 1 305.378 1.645 20 0 DDADMM CCCCOc1ccc([N-]S(=O)(=O)N=S(C)(C)=O)cn1 ZINC000901663112 710113264 /nfs/dbraw/zinc/11/32/64/710113264.db2.gz QDLFCKSNIDNWLE-UHFFFAOYSA-N -1 1 321.424 1.645 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)Nc1cccc(C(=O)[O-])c1 ZINC000901708667 710128395 /nfs/dbraw/zinc/12/83/95/710128395.db2.gz YWWPSUQTLAWNDX-UHFFFAOYSA-N -1 1 306.362 1.682 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCOc1cccc(F)c1 ZINC000891238281 710135868 /nfs/dbraw/zinc/13/58/68/710135868.db2.gz LDBHTBPETXXXDG-UHFFFAOYSA-N -1 1 307.329 1.247 20 0 DDADMM O=C(C[N@@H+]1CCC[C@@H](C(=O)[O-])C1)NC[C@@H]1CCCC2(CCC2)O1 ZINC000910997515 710147182 /nfs/dbraw/zinc/14/71/82/710147182.db2.gz KGZWLQCDSZLSDI-KGLIPLIRSA-N -1 1 324.421 1.391 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC[C@@H]1CCCC2(CCC2)O1 ZINC000910997515 710147187 /nfs/dbraw/zinc/14/71/87/710147187.db2.gz KGZWLQCDSZLSDI-KGLIPLIRSA-N -1 1 324.421 1.391 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@H]1C[C@@H](C(=O)[O-])c2ccccc21 ZINC000902016085 710202028 /nfs/dbraw/zinc/20/20/28/710202028.db2.gz KGYPMSJXKJGTIC-ILXRZTDVSA-N -1 1 302.374 1.900 20 0 DDADMM CCOC(=O)[C@@H](CSC)NC(=O)c1cc(F)ccc1[O-] ZINC000928287523 713164178 /nfs/dbraw/zinc/16/41/78/713164178.db2.gz JJIRTJOGMSNGOE-SNVBAGLBSA-N -1 1 301.339 1.556 20 0 DDADMM Cn1cc([C@@H]2C[C@H]2C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cn1 ZINC000891612751 710248361 /nfs/dbraw/zinc/24/83/61/710248361.db2.gz BIONIRGQAVKBPM-NWDGAFQWSA-N -1 1 313.361 1.537 20 0 DDADMM O=C(COc1cccnc1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891621890 710250920 /nfs/dbraw/zinc/25/09/20/710250920.db2.gz KFJXWGKOFLFBFQ-UHFFFAOYSA-N -1 1 300.318 1.474 20 0 DDADMM O=C(CCOC[C@H]1CCCO1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891622107 710250958 /nfs/dbraw/zinc/25/09/58/710250958.db2.gz CIUBCAZCGHGBFA-LLVKDONJSA-N -1 1 321.377 1.585 20 0 DDADMM CC(=O)N1CC[C@](C)(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)C1 ZINC000891622865 710251413 /nfs/dbraw/zinc/25/14/13/710251413.db2.gz RFTRAPNKKWUWGW-INIZCTEOSA-N -1 1 318.377 1.258 20 0 DDADMM Cc1cc(C)c(Br)c(C(=O)N(C)c2nn[n-]n2)c1 ZINC000892954374 710531330 /nfs/dbraw/zinc/53/13/30/710531330.db2.gz IYBFZRNKGMQCAL-UHFFFAOYSA-N -1 1 310.155 1.856 20 0 DDADMM CC(C)n1ncc(C(=O)N(C)c2nn[n-]n2)c1-c1ccccc1 ZINC000892954506 710531863 /nfs/dbraw/zinc/53/18/63/710531863.db2.gz JYWWUXHHZHGEAR-UHFFFAOYSA-N -1 1 311.349 1.921 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000911151314 710656352 /nfs/dbraw/zinc/65/63/52/710656352.db2.gz WFYFZMPSRQCFOV-YNEHKIRRSA-N -1 1 300.399 1.103 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(F)(F)F ZINC000911152873 710657788 /nfs/dbraw/zinc/65/77/88/710657788.db2.gz HQPZCCATJFZNPU-MRVPVSSYSA-N -1 1 301.224 1.698 20 0 DDADMM C[C@H](C(=O)N[C@H](CC(=O)[O-])C(F)(F)F)N1CCCCCC1 ZINC000911156416 710660249 /nfs/dbraw/zinc/66/02/49/710660249.db2.gz GTBRXOPANIJUJL-NXEZZACHSA-N -1 1 310.316 1.773 20 0 DDADMM Cc1ccccc1OCCCNCc1cn(CC(=O)[O-])nn1 ZINC000902218890 710669293 /nfs/dbraw/zinc/66/92/93/710669293.db2.gz OFDQRWWGRQXGNX-UHFFFAOYSA-N -1 1 304.350 1.230 20 0 DDADMM C[C@H](C(=O)N1CCN(c2ccc(C(=O)[O-])cc2)C[C@@H]1C)N(C)C ZINC000911316944 710739065 /nfs/dbraw/zinc/73/90/65/710739065.db2.gz FDZUKCYVHDUNDH-QWHCGFSZSA-N -1 1 319.405 1.372 20 0 DDADMM COC(=O)C1(C)CN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000911319083 710739748 /nfs/dbraw/zinc/73/97/48/710739748.db2.gz DCKSEOXXWOMMRC-UHFFFAOYSA-N -1 1 318.304 1.715 20 0 DDADMM COCCN1CC[C@@H](NC(=O)c2cc(C)cc(C(=O)[O-])c2)C1 ZINC000911354354 710759793 /nfs/dbraw/zinc/75/97/93/710759793.db2.gz XPFFBHDJQAYDPO-CQSZACIVSA-N -1 1 306.362 1.144 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2ccc(C(=O)[O-])cc2F)n[nH]1 ZINC000911390389 710775128 /nfs/dbraw/zinc/77/51/28/710775128.db2.gz QEPFYRNONSZNHZ-MRVPVSSYSA-N -1 1 305.309 1.916 20 0 DDADMM O=C(CCCn1cccc1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913439018 713216161 /nfs/dbraw/zinc/21/61/61/713216161.db2.gz WFFWPYYADHJAIP-OAHLLOKOSA-N -1 1 310.361 1.687 20 0 DDADMM C[C@@H]1C(=O)N[C@@H](C)[C@@H](C)N1C(=O)c1ncc2ccccc2c1[O-] ZINC000911806315 711004176 /nfs/dbraw/zinc/00/41/76/711004176.db2.gz SLTCXXALQMHWSI-HBNTYKKESA-N -1 1 313.357 1.678 20 0 DDADMM COCc1nc(N2C[C@@H](O)C[C@H]2c2ccccc2)cc(=O)[n-]1 ZINC000894190832 711008898 /nfs/dbraw/zinc/00/88/98/711008898.db2.gz SQYHMCSBUTUYAW-STQMWFEESA-N -1 1 301.346 1.641 20 0 DDADMM COCc1ccc(S(=O)(=O)[N-]c2nnc(C(F)F)[nH]2)cc1 ZINC000903150869 711049083 /nfs/dbraw/zinc/04/90/83/711049083.db2.gz YSWNWEIOVCCIJZ-UHFFFAOYSA-N -1 1 318.305 1.690 20 0 DDADMM CC(C)CCN(CCC(C)C)C(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC000911926974 711072376 /nfs/dbraw/zinc/07/23/76/711072376.db2.gz HCVBAUSJIRBUAM-MRXNPFEDSA-N -1 1 314.426 1.350 20 0 DDADMM CON1CC[C@H](N2CCC(c3ccccc3C(=O)[O-])CC2)C1=O ZINC000903404340 711116245 /nfs/dbraw/zinc/11/62/45/711116245.db2.gz FWUQOKUDBYDAQI-HNNXBMFYSA-N -1 1 318.373 1.727 20 0 DDADMM CC[C@@H]1C[C@H]1C[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000903408939 711118507 /nfs/dbraw/zinc/11/85/07/711118507.db2.gz OIZBBVADMDCIKR-LMLFDSFASA-N -1 1 322.327 1.629 20 0 DDADMM COCc1nc(N2Cc3ccccc3NC(=O)C2)cc(=O)[n-]1 ZINC000894432442 711119493 /nfs/dbraw/zinc/11/94/93/711119493.db2.gz LOHAJOWQSDDSMS-UHFFFAOYSA-N -1 1 300.318 1.287 20 0 DDADMM O=C(c1csc2c1CCCC2)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913493492 713236832 /nfs/dbraw/zinc/23/68/32/713236832.db2.gz YRRKKWRFVFBNPA-LLVKDONJSA-N -1 1 319.390 1.354 20 0 DDADMM O=c1nc(N[C@H](CO)[C@H](O)C2CCCCC2)cc(Cl)[n-]1 ZINC000894745435 711256215 /nfs/dbraw/zinc/25/62/15/711256215.db2.gz MTXVBZHPGRJEPI-BXKDBHETSA-N -1 1 301.774 1.550 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=CC2CCCC2)n[n-]1 ZINC000912362998 711292370 /nfs/dbraw/zinc/29/23/70/711292370.db2.gz IGFBZVQZAFFQIX-AAXQSMANSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=CC2CCCC2)[n-]1 ZINC000912362998 711292374 /nfs/dbraw/zinc/29/23/74/711292374.db2.gz IGFBZVQZAFFQIX-AAXQSMANSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)/C=C/C2CCCC2)n1 ZINC000912362998 711292378 /nfs/dbraw/zinc/29/23/78/711292378.db2.gz IGFBZVQZAFFQIX-AAXQSMANSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C(F)=C(C)C2CC2)n[n-]1 ZINC000912363439 711292849 /nfs/dbraw/zinc/29/28/49/711292849.db2.gz OKWWDYGJIWKLAE-RYGSOJJJSA-N -1 1 310.329 1.812 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C(F)=C(C)C2CC2)[n-]1 ZINC000912363439 711292851 /nfs/dbraw/zinc/29/28/51/711292851.db2.gz OKWWDYGJIWKLAE-RYGSOJJJSA-N -1 1 310.329 1.812 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)/C(F)=C(/C)C2CC2)n1 ZINC000912363439 711292853 /nfs/dbraw/zinc/29/28/53/711292853.db2.gz OKWWDYGJIWKLAE-RYGSOJJJSA-N -1 1 310.329 1.812 20 0 DDADMM C[C@@H](CO)[C@@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000912397602 711310365 /nfs/dbraw/zinc/31/03/65/711310365.db2.gz VFFGKFPLQJKTFR-GWCFXTLKSA-N -1 1 305.378 1.683 20 0 DDADMM O=C([O-])c1ccc(F)c2c1CN(C[C@@H]1C[C@H]3COC[C@H]3O1)CC2 ZINC000903910335 711327604 /nfs/dbraw/zinc/32/76/04/711327604.db2.gz UDYHEXKZNHGLBF-XMZIXOGTSA-N -1 1 321.348 1.686 20 0 DDADMM O=C([O-])c1ccc(F)c2c1CN(CCO[C@H]1CCOC1)CC2 ZINC000903911331 711328149 /nfs/dbraw/zinc/32/81/49/711328149.db2.gz CBNMCKKPPFJNSG-NSHDSACASA-N -1 1 309.337 1.688 20 0 DDADMM CCO[C@H]1CCN([C@H](C)C(=O)NCc2ccc(C(=O)[O-])cc2)C1 ZINC000903933510 711340857 /nfs/dbraw/zinc/34/08/57/711340857.db2.gz AOXPWBGWMSZHAH-DOMZBBRYSA-N -1 1 320.389 1.500 20 0 DDADMM C[C@@H]1C[C@H](C)N(Cc2nnnn2C(C)(C)C)[C@@H](C)[C@H]1C(=O)[O-] ZINC000903954466 711346260 /nfs/dbraw/zinc/34/62/60/711346260.db2.gz YDIAHOXHNKIFHO-BLFANLJRSA-N -1 1 309.414 1.748 20 0 DDADMM COCc1nc(NC[C@H](O)Cc2ccc(F)cc2)cc(=O)[n-]1 ZINC000895199360 711451320 /nfs/dbraw/zinc/45/13/20/711451320.db2.gz HXXLCJGZDMSQAJ-GFCCVEGCSA-N -1 1 307.325 1.483 20 0 DDADMM COc1cc(OC)nc(C(=O)[N-]c2cc(C(F)(F)F)no2)n1 ZINC000895567065 711543745 /nfs/dbraw/zinc/54/37/45/711543745.db2.gz MGRVGYTVCRKSPX-UHFFFAOYSA-N -1 1 318.211 1.753 20 0 DDADMM Cc1cc(F)ncc1CN1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000895880700 711636053 /nfs/dbraw/zinc/63/60/53/711636053.db2.gz HCZOTZQFTWQEMV-UHFFFAOYSA-N -1 1 305.275 1.639 20 0 DDADMM COc1cc(-c2noc(-c3cc4c(cc3[O-])OCO4)n2)ncn1 ZINC000904783660 711892408 /nfs/dbraw/zinc/89/24/08/711892408.db2.gz GPPQABPGSWHJRP-UHFFFAOYSA-N -1 1 314.257 1.637 20 0 DDADMM CCCC(O)(CCC)CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743996 713292583 /nfs/dbraw/zinc/29/25/83/713292583.db2.gz VLFKBGMXIQLOKG-UHFFFAOYSA-N -1 1 309.414 1.627 20 0 DDADMM CC[C@H]1CCCC[C@@H]1OCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744546 713292748 /nfs/dbraw/zinc/29/27/48/713292748.db2.gz SWKBPMUTERQOAU-JSGCOSHPSA-N -1 1 321.425 1.891 20 0 DDADMM O=C([C@H]1CC[C@@H](C(F)(F)F)C1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744848 713293215 /nfs/dbraw/zinc/29/32/15/713293215.db2.gz HKWNPMQJJAHYRS-VHSXEESVSA-N -1 1 317.315 1.884 20 0 DDADMM CN(C[C@@H](O)COCc1ccco1)[C@@H](C(=O)[O-])c1ccccc1 ZINC000905369300 712018078 /nfs/dbraw/zinc/01/80/78/712018078.db2.gz KOYVPPQYKISEKS-GDBMZVCRSA-N -1 1 319.357 1.915 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CCCC3(F)F)nc2n1 ZINC000905631878 712101134 /nfs/dbraw/zinc/10/11/34/712101134.db2.gz BYTXURWOWWGMQZ-QMMMGPOBSA-N -1 1 311.292 1.490 20 0 DDADMM O=C(NC[C@@H]1C[C@H]2CCC[C@@H]2O1)c1cnc(C2CC2)[n-]c1=O ZINC000913798297 713302269 /nfs/dbraw/zinc/30/22/69/713302269.db2.gz KPEVNKHNQVJVRV-MDZLAQPJSA-N -1 1 303.362 1.747 20 0 DDADMM Cc1ccnc(C)c1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000906062734 712234780 /nfs/dbraw/zinc/23/47/80/712234780.db2.gz AIGOGXLTSDXCCW-UHFFFAOYSA-N -1 1 303.409 1.617 20 0 DDADMM O=C(CCF)N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000907414051 712565017 /nfs/dbraw/zinc/56/50/17/712565017.db2.gz QMCLLDXAVBGWNF-JTQLQIEISA-N -1 1 301.684 1.557 20 0 DDADMM Cc1cccc(CCC(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000907477094 712582472 /nfs/dbraw/zinc/58/24/72/712582472.db2.gz PYKHKYXGXINRDT-CYBMUJFWSA-N -1 1 317.418 1.757 20 0 DDADMM O=C([C@@H]1CCCC(F)(F)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477537 712582744 /nfs/dbraw/zinc/58/27/44/712582744.db2.gz FHHPCMZDQPCCLG-BDAKNGLRSA-N -1 1 317.365 1.642 20 0 DDADMM O=C(CCCc1cccnc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479258 712583317 /nfs/dbraw/zinc/58/33/17/712583317.db2.gz AKAQSAKFJLFJMT-GFCCVEGCSA-N -1 1 318.406 1.234 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccncc1 ZINC000907479578 712583395 /nfs/dbraw/zinc/58/33/95/712583395.db2.gz FSTFOQRJKJHGPP-MWLCHTKSSA-N -1 1 304.379 1.015 20 0 DDADMM O=C(c1csc(Cl)n1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479886 712583592 /nfs/dbraw/zinc/58/35/92/712583592.db2.gz NCDBQSHRSWTDQL-ZCFIWIBFSA-N -1 1 316.799 1.240 20 0 DDADMM Cc1cnccc1C=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481286 712583851 /nfs/dbraw/zinc/58/38/51/712583851.db2.gz VAGREHLQZRLZHE-ZZKXABKFSA-N -1 1 316.390 1.233 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1C[C@@H]2C[C@]2(CO)C1 ZINC000907611594 712602724 /nfs/dbraw/zinc/60/27/24/712602724.db2.gz NDERQKNNJNEPHS-XXFAHNHDSA-N -1 1 302.305 1.534 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1ccc(Cl)nc1F ZINC000907761109 712623064 /nfs/dbraw/zinc/62/30/64/712623064.db2.gz ZPOSVEORMZWFDR-NJPIEQFCSA-N -1 1 318.757 1.328 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)Cc3csc(C)n3)CC2)n1 ZINC000907866330 712638465 /nfs/dbraw/zinc/63/84/65/712638465.db2.gz ZGZQOFOJVNSMAR-UHFFFAOYSA-N -1 1 314.392 1.252 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@@H]1CC[C@H]2CCC[C@@H]2C1 ZINC000907889158 712642498 /nfs/dbraw/zinc/64/24/98/712642498.db2.gz WWXRBTZPPDYGLW-APIJFGDWSA-N -1 1 308.422 1.868 20 0 DDADMM CCCCCC[C@@H](C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907943292 712652468 /nfs/dbraw/zinc/65/24/68/712652468.db2.gz GSSPMDKQJRIIJL-GFCCVEGCSA-N -1 1 309.414 1.616 20 0 DDADMM CC[C@H]1CCCC[C@@H]1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907943973 712652798 /nfs/dbraw/zinc/65/27/98/712652798.db2.gz OEQROBTXASWQOT-RYUDHWBXSA-N -1 1 307.398 1.226 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)[nH]1 ZINC000907982153 712659730 /nfs/dbraw/zinc/65/97/30/712659730.db2.gz BZRAJGFFSJEIHM-UHFFFAOYSA-N -1 1 302.306 1.178 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCCSC[C@@H]2C)c1 ZINC000908049118 712671740 /nfs/dbraw/zinc/67/17/40/712671740.db2.gz CMUBSJLBWXYLBH-JTQLQIEISA-N -1 1 317.432 1.917 20 0 DDADMM CN(CC(=O)N(C)c1cccc(CC(=O)[O-])c1)[C@H]1CCSC1 ZINC000908088008 712683427 /nfs/dbraw/zinc/68/34/27/712683427.db2.gz BCWOLRXRBCGXOS-AWEZNQCLSA-N -1 1 322.430 1.714 20 0 DDADMM C[C@@H]1CSCCCN1C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908121710 712693190 /nfs/dbraw/zinc/69/31/90/712693190.db2.gz DSMGSSRKJMAKRZ-VXGBXAGGSA-N -1 1 300.424 1.137 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]CC(F)(F)C2(O)CCCCC2)n1 ZINC000908497127 712789970 /nfs/dbraw/zinc/78/99/70/712789970.db2.gz RIEFFWJPRVIQRX-UHFFFAOYSA-N -1 1 323.365 1.029 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)c1[nH]nc2c1CCC2)c1ccc(F)cc1 ZINC000908605612 712819974 /nfs/dbraw/zinc/81/99/74/712819974.db2.gz HDNHUUUTOHYJSQ-CYBMUJFWSA-N -1 1 317.320 1.983 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC[C@@H]2Cc3ccccc32)C1 ZINC000908739645 712845267 /nfs/dbraw/zinc/84/52/67/712845267.db2.gz JAMLSWKRINQUEI-KBPBESRZSA-N -1 1 302.374 1.239 20 0 DDADMM CCSCC[C@@H](C)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908808218 712858310 /nfs/dbraw/zinc/85/83/10/712858310.db2.gz BUYACIRAOLENIX-NEPJUHHUSA-N -1 1 302.440 1.431 20 0 DDADMM C[C@@H](C(=O)[O-])N(Cc1ccccc1)C(=O)N[C@H]1CCCN(C)C1 ZINC000908870631 712874999 /nfs/dbraw/zinc/87/49/99/712874999.db2.gz LVMIGDLVJOQUNA-ZFWWWQNUSA-N -1 1 319.405 1.766 20 0 DDADMM CCNC(=O)N1CCN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CC1 ZINC000929189091 713563589 /nfs/dbraw/zinc/56/35/89/713563589.db2.gz KGFHRFRAESXSJC-CYBMUJFWSA-N -1 1 319.405 1.558 20 0 DDADMM CCN(C(=O)[C@H]1C[C@@H]1C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942914368 717909630 /nfs/dbraw/zinc/90/96/30/717909630.db2.gz MTRBSGPDQVCRKD-AVGNSLFASA-N -1 1 317.389 1.506 20 0 DDADMM CCOC(=O)[C@H]1CN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929700033 713671864 /nfs/dbraw/zinc/67/18/64/713671864.db2.gz ABKQKLRDACYHEH-IUODEOHRSA-N -1 1 321.373 1.475 20 0 DDADMM C[C@@H]1C[C@H]1[C@@H]1C[C@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000921308507 713728477 /nfs/dbraw/zinc/72/84/77/713728477.db2.gz FDBGTTDUPIRFHU-OOJXKGFFSA-N -1 1 309.313 1.751 20 0 DDADMM CCOC(=O)[C@@H](C[C@H](C)CC)[N-]S(=O)(=O)CC(C)(C)OC ZINC000921382608 713750298 /nfs/dbraw/zinc/75/02/98/713750298.db2.gz GNOKHQDHZVWKDC-VXGBXAGGSA-N -1 1 323.455 1.699 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H](c1cnn(C)c1)C(C)C ZINC000921845501 713883776 /nfs/dbraw/zinc/88/37/76/713883776.db2.gz MVFNYJNABKQFOS-JEOXALJRSA-N -1 1 322.456 1.069 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2cc(C3CC3)n(C)n2)sn1 ZINC000921911578 713906169 /nfs/dbraw/zinc/90/61/69/713906169.db2.gz UXZDLAVWGSNUSE-UHFFFAOYSA-N -1 1 312.420 1.541 20 0 DDADMM C[C@@H]1CC[C@H](C(N)=O)[C@H](C)N1Cc1nc(=O)c2sccc2[n-]1 ZINC000930916200 713958037 /nfs/dbraw/zinc/95/80/37/713958037.db2.gz LPWNYRUABWVSOC-UTLUCORTSA-N -1 1 320.418 1.871 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)N=S(C)(C)=O)CCOc2ccccc21 ZINC000922094232 713958342 /nfs/dbraw/zinc/95/83/42/713958342.db2.gz JLIOEURKPGTWSV-GFCCVEGCSA-N -1 1 318.420 1.246 20 0 DDADMM CCOC(=O)/C=C\CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000931075872 713997236 /nfs/dbraw/zinc/99/72/36/713997236.db2.gz JNMCUVDNMQQBQL-KWKBKKAHSA-N -1 1 322.327 1.495 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC[C@H]2CCNC(=O)[C@H]21 ZINC000922460906 714061307 /nfs/dbraw/zinc/06/13/07/714061307.db2.gz DXTVTVVTYRUGCQ-ZANVPECISA-N -1 1 308.765 1.786 20 0 DDADMM Cc1nnc(CCN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)o1 ZINC000931568034 714130388 /nfs/dbraw/zinc/13/03/88/714130388.db2.gz ORWCBUFVHDNKEE-LLVKDONJSA-N -1 1 306.288 1.063 20 0 DDADMM C[C@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC[C@@H]1O ZINC000932049491 714246010 /nfs/dbraw/zinc/24/60/10/714246010.db2.gz RURJQEUFIXBQNP-FZMZJTMJSA-N -1 1 316.361 1.813 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CC[C@@H](O)[C@H](F)C1 ZINC000932060941 714249705 /nfs/dbraw/zinc/24/97/05/714249705.db2.gz AEPMFBKUGRCFIT-CHWSQXEVSA-N -1 1 320.324 1.515 20 0 DDADMM O=C(NCC[C@@H](O)C1CC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932063870 714249955 /nfs/dbraw/zinc/24/99/55/714249955.db2.gz YJBXUANTTNFJDR-CQSZACIVSA-N -1 1 316.361 1.860 20 0 DDADMM CC[C@]1(C(=O)[O-])CCCN(CC(=O)Nc2cc(C)nn2C)C1 ZINC000923470125 714366269 /nfs/dbraw/zinc/36/62/69/714366269.db2.gz ICZBXXFMMSCOJM-HNNXBMFYSA-N -1 1 308.382 1.244 20 0 DDADMM O=C(N[C@@H]1C(=O)NCC12CCOCC2)c1cc(Cl)ccc1[O-] ZINC000923952208 714493777 /nfs/dbraw/zinc/49/37/77/714493777.db2.gz FVWBYYJFTYLUJU-GFCCVEGCSA-N -1 1 324.764 1.071 20 0 DDADMM CCCCCNC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933104983 714516283 /nfs/dbraw/zinc/51/62/83/714516283.db2.gz USBLCDTYXOSIIG-UHFFFAOYSA-N -1 1 314.332 1.636 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1ccc(Cl)c(Cl)c1 ZINC000924166447 714538132 /nfs/dbraw/zinc/53/81/32/714538132.db2.gz ULPWOFOBVOABRH-UHFFFAOYSA-N -1 1 314.176 1.798 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2cccnc2OC)[n-]c1=O ZINC000934273928 714783708 /nfs/dbraw/zinc/78/37/08/714783708.db2.gz QKMWJGWEAZEYSF-LBPRGKRZSA-N -1 1 316.361 1.932 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@H]1C[C@]12C(=O)Nc1ccccc12 ZINC000934901105 714927270 /nfs/dbraw/zinc/92/72/70/714927270.db2.gz KLZONUXSXIIXFX-PRHODGIISA-N -1 1 300.236 1.507 20 0 DDADMM CN1C[C@@H]2CC[C@H](CC1=O)N2C(=O)c1c(F)ccc([O-])c1F ZINC000925756480 714928308 /nfs/dbraw/zinc/92/83/08/714928308.db2.gz CZRJDUPZBGEMMB-BDAKNGLRSA-N -1 1 310.300 1.506 20 0 DDADMM CCS(=O)(=O)C=C([O-])N=[S@@]1(=O)CCc2ccccc21 ZINC000926041165 714995964 /nfs/dbraw/zinc/99/59/64/714995964.db2.gz RNOJBEVNZBKDKB-LJQANCHMSA-N -1 1 301.389 1.031 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2CC2(C)C)C1 ZINC000937172688 715288666 /nfs/dbraw/zinc/28/86/66/715288666.db2.gz UEFAHWZKRQVSGB-VXGBXAGGSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943204159 718046044 /nfs/dbraw/zinc/04/60/44/718046044.db2.gz QMDUCNLHKWUNFA-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC=CCC1 ZINC000937670470 715491251 /nfs/dbraw/zinc/49/12/51/715491251.db2.gz FBISIMHGUSUDHB-OLZOCXBDSA-N -1 1 315.373 1.474 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849143 715636310 /nfs/dbraw/zinc/63/63/10/715636310.db2.gz LYSXRYMNIBLXTI-ZBEGNZNMSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1cccs1 ZINC000939225161 716172099 /nfs/dbraw/zinc/17/20/99/716172099.db2.gz IYFHTHHRADXSNU-SNVBAGLBSA-N -1 1 317.370 1.493 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)C)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959595371 716231752 /nfs/dbraw/zinc/23/17/52/716231752.db2.gz YNPVFFXDSWQQBP-RYUDHWBXSA-N -1 1 319.405 1.658 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2(C)CC2)C1 ZINC000959181630 716894284 /nfs/dbraw/zinc/89/42/84/716894284.db2.gz GGPNOEHFEDDKJE-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])CC2)CC1 ZINC000964848072 717452890 /nfs/dbraw/zinc/45/28/90/717452890.db2.gz JEIAQFBTEDUKAX-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964850038 717453410 /nfs/dbraw/zinc/45/34/10/717453410.db2.gz PDMGNZHNIKYCDK-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM CCC(=O)N1CC[C@H]2[C@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962947312 717636515 /nfs/dbraw/zinc/63/65/15/717636515.db2.gz SCVGQPDUUHOFQM-NEPJUHHUSA-N -1 1 303.362 1.403 20 0 DDADMM CCC1(C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000944267511 718281370 /nfs/dbraw/zinc/28/13/70/718281370.db2.gz YLXCLDYIVBFRKC-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000966306434 718506860 /nfs/dbraw/zinc/50/68/60/718506860.db2.gz KIEISWARFOMBJW-FDZLHUOSSA-N -1 1 303.362 1.330 20 0 DDADMM CC(C)=C(F)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000966319552 718513776 /nfs/dbraw/zinc/51/37/76/718513776.db2.gz UTBVEIMUTRFVIR-QWRGUYRKSA-N -1 1 321.352 1.627 20 0 DDADMM O=C(NC[C@H]1CCC[C@@H]1NC(=O)C1CCC1)c1ncccc1[O-] ZINC000946082105 718669689 /nfs/dbraw/zinc/66/96/89/718669689.db2.gz RGWDPGJENVNRHB-OLZOCXBDSA-N -1 1 317.389 1.602 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC2(C)C)C1 ZINC000967897934 719078271 /nfs/dbraw/zinc/07/82/71/719078271.db2.gz CYKGRNOFRARKNL-LBPRGKRZSA-N -1 1 317.389 1.506 20 0 DDADMM CC(=O)NCC1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000948951978 719799137 /nfs/dbraw/zinc/79/91/37/719799137.db2.gz HQDYPTYBJUOJNO-UHFFFAOYSA-N -1 1 318.377 1.048 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)[C@@H]1CC=CCC1)c1ncccc1[O-] ZINC000949530904 720142464 /nfs/dbraw/zinc/14/24/64/720142464.db2.gz JEGIVBIUKIONFY-OLZOCXBDSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)[C@@H]1CC=CCC1)c1ncccc1[O-] ZINC000949530903 720142505 /nfs/dbraw/zinc/14/25/05/720142505.db2.gz JEGIVBIUKIONFY-CHWSQXEVSA-N -1 1 315.373 1.474 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949541496 720149641 /nfs/dbraw/zinc/14/96/41/720149641.db2.gz ITXXAQMKTWUXAG-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@]1(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C=CCC1 ZINC000949542244 720150722 /nfs/dbraw/zinc/15/07/22/720150722.db2.gz ZRPJSRGVFXDLSR-PXAZEXFGSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])[C@@H]1CC12CCC2 ZINC000949975672 720435827 /nfs/dbraw/zinc/43/58/27/720435827.db2.gz APYIELZUTBMFQC-RYUDHWBXSA-N -1 1 315.373 1.308 20 0 DDADMM Cc1n[nH]c(C(=O)NCCN[C@H](C)c2cc(F)ccc2F)c1[O-] ZINC001125749343 735458859 /nfs/dbraw/zinc/45/88/59/735458859.db2.gz KKNXCXUILASLCZ-MRVPVSSYSA-N -1 1 324.331 1.783 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C(C)(C)F ZINC001009925603 738603259 /nfs/dbraw/zinc/60/32/59/738603259.db2.gz WVCVNMDQJQVVPF-MNOVXSKESA-N -1 1 323.368 1.502 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@H]3CN(CCF)CC[C@@H]32)c1[O-] ZINC001021565914 733317158 /nfs/dbraw/zinc/31/71/58/733317158.db2.gz FTEBAKFHHGDHBV-RYUDHWBXSA-N -1 1 310.373 1.320 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C1(CO)CC1 ZINC000692877549 738734638 /nfs/dbraw/zinc/73/46/38/738734638.db2.gz MIOSXEPHXXZGSG-ZETCQYMHSA-N -1 1 308.762 1.313 20 0 DDADMM O=S(=O)([N-]CC1(O)CCCCC1)c1ccc(Cl)nc1F ZINC000692879581 738741470 /nfs/dbraw/zinc/74/14/70/738741470.db2.gz KCZKSQGITKFBJO-UHFFFAOYSA-N -1 1 322.789 1.848 20 0 DDADMM CC[C@@H](C)[C@@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167556910 734711864 /nfs/dbraw/zinc/71/18/64/734711864.db2.gz NRZJPBHZYUUXLY-VXGBXAGGSA-N -1 1 323.441 1.569 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025091879 736291704 /nfs/dbraw/zinc/29/17/04/736291704.db2.gz QXIWQPWYVIEBOM-IJLUTSLNSA-N -1 1 307.398 1.027 20 0 DDADMM O=C([C@@H]1CC12CCC2)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025100749 736300151 /nfs/dbraw/zinc/30/01/51/736300151.db2.gz NCENBQBWIOANGH-NEPJUHHUSA-N -1 1 319.409 1.171 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCOCC1)c1ccc(Cl)nc1F ZINC000692889231 738958934 /nfs/dbraw/zinc/95/89/34/738958934.db2.gz SWBQWKQTFANYNG-MRVPVSSYSA-N -1 1 308.762 1.722 20 0 DDADMM O=S(=O)([N-][C@H]1CO[C@H](C2CC2)C1)c1ccc(Cl)nc1F ZINC000692906371 739047735 /nfs/dbraw/zinc/04/77/35/739047735.db2.gz YKCCJUDFEYIJSL-BDAKNGLRSA-N -1 1 320.773 1.720 20 0 DDADMM Cc1conc1C[N@H+]1CC[C@H](CNC(=O)c2ccccc2O)C1 ZINC001028416420 739473786 /nfs/dbraw/zinc/47/37/86/739473786.db2.gz UQRINWXNGNLFEM-CYBMUJFWSA-N -1 1 315.373 1.941 20 0 DDADMM O=C(NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001059516908 741090329 /nfs/dbraw/zinc/09/03/29/741090329.db2.gz XLSQZIPDZHSKPB-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM CC(C)[C@H](F)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076246256 742618451 /nfs/dbraw/zinc/61/84/51/742618451.db2.gz KSDGAAWYGKXNSU-JQWIXIFHSA-N -1 1 311.357 1.406 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1(F)CCCC1 ZINC001076247398 742619313 /nfs/dbraw/zinc/61/93/13/742619313.db2.gz LWQWKRZIKKIISK-NSHDSACASA-N -1 1 323.368 1.694 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1CCCCC1 ZINC001076243957 742623216 /nfs/dbraw/zinc/62/32/16/742623216.db2.gz FMTAERMKBRGDAA-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CCOC(=O)c1cc(NC(=O)CC2CCN(C(C)=O)CC2)n[nH]1 ZINC001180653354 742876418 /nfs/dbraw/zinc/87/64/18/742876418.db2.gz DRCIJQBBEWCSFK-UHFFFAOYSA-N -1 1 322.365 1.174 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCCCCNC(=O)CC2)c1 ZINC001180887137 742955615 /nfs/dbraw/zinc/95/56/15/742955615.db2.gz JFKVZPVYOOCNKC-UHFFFAOYSA-N -1 1 318.373 1.646 20 0 DDADMM O=C(CCc1cccc(OC(F)(F)F)c1)NCc1nn[n-]n1 ZINC001181687686 743282148 /nfs/dbraw/zinc/28/21/48/743282148.db2.gz QSLWRUAGTHHEQA-UHFFFAOYSA-N -1 1 315.255 1.347 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@@H]1NC(=O)c2ccccc21 ZINC001182414659 743618293 /nfs/dbraw/zinc/61/82/93/743618293.db2.gz OPCARHUOCQWYIG-SSDOTTSWSA-N -1 1 311.223 1.247 20 0 DDADMM Cc1cccc2ccn(CC(=O)Nc3c(N)[nH]c(=O)[n-]c3=O)c21 ZINC001184193796 743985644 /nfs/dbraw/zinc/98/56/44/743985644.db2.gz OJDFLDPWJZQKDY-UHFFFAOYSA-N -1 1 313.317 1.372 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2cn3ccccc3n2)sn1 ZINC001184602947 744070221 /nfs/dbraw/zinc/07/02/21/744070221.db2.gz OAAGHNQQQABSTA-UHFFFAOYSA-N -1 1 324.387 1.278 20 0 DDADMM Cc1cnn(C)c1NS(=O)(=O)c1ccc(C)c(C(=O)[O-])c1 ZINC001184664761 744080305 /nfs/dbraw/zinc/08/03/05/744080305.db2.gz UHWAKSWKGGFNCB-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM COc1nccc(F)c1C(=O)[N-]c1n[nH]c(-c2ccncc2)n1 ZINC001185541063 744252651 /nfs/dbraw/zinc/25/26/51/744252651.db2.gz OSJTYFUUBSAOPP-UHFFFAOYSA-N -1 1 314.280 1.662 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)c2ccc3n[nH]cc3c2)cc1 ZINC001185944419 744311044 /nfs/dbraw/zinc/31/10/44/744311044.db2.gz YVYKMSIYWLPMIU-UHFFFAOYSA-N -1 1 316.342 1.463 20 0 DDADMM O=S(=O)([N-]c1ccc(CO)c(F)c1)c1ccc2n[nH]cc2c1 ZINC001186001353 744324648 /nfs/dbraw/zinc/32/46/48/744324648.db2.gz SXZNYVUARZUEJS-UHFFFAOYSA-N -1 1 321.333 1.995 20 0 DDADMM CN(C)c1cccc(CC(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001186073056 744331689 /nfs/dbraw/zinc/33/16/89/744331689.db2.gz HJHPCIBBVYEXDN-UHFFFAOYSA-N -1 1 314.322 1.369 20 0 DDADMM CCOC(=O)C1=C(O)CCN(C(=O)c2cccc([S-])c2)CC1 ZINC001186150106 744350808 /nfs/dbraw/zinc/35/08/08/744350808.db2.gz ZZWVHVTYFRRXOG-ZDUSSCGKSA-N -1 1 321.398 1.960 20 0 DDADMM O=C(Nc1ccc2c(c1)C(=O)NCCO2)c1ccc([O-])cc1F ZINC001186310429 744379913 /nfs/dbraw/zinc/37/99/13/744379913.db2.gz AQBIUMRBKPUMCH-UHFFFAOYSA-N -1 1 316.288 1.906 20 0 DDADMM O=C(NCCC1CCS(=O)(=O)CC1)c1ccc([O-])cc1F ZINC001186337901 744388538 /nfs/dbraw/zinc/38/85/38/744388538.db2.gz ZTNDAVYMVGFGPO-UHFFFAOYSA-N -1 1 315.366 1.476 20 0 DDADMM CCCCCC[C@@H](C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186488672 744402528 /nfs/dbraw/zinc/40/25/28/744402528.db2.gz RFYWBPCUGTVHRW-CHWSQXEVSA-N -1 1 323.441 1.807 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]c1cnncc1Br ZINC001187333945 744541838 /nfs/dbraw/zinc/54/18/38/744541838.db2.gz LIHZVKOPEUHMMO-UHFFFAOYSA-N -1 1 319.140 1.336 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]c1c(Cl)cccc1CO ZINC001187371480 744551363 /nfs/dbraw/zinc/55/13/63/744551363.db2.gz YAIHCKMKCICYOT-UHFFFAOYSA-N -1 1 302.739 1.930 20 0 DDADMM COc1ccc(C[N-]S(=O)(=O)c2cnoc2C)c(F)c1 ZINC001187410426 744558194 /nfs/dbraw/zinc/55/81/94/744558194.db2.gz RVFKSYKBNAFWQF-UHFFFAOYSA-N -1 1 300.311 1.609 20 0 DDADMM CCOc1cnc(NC(=O)c2n[n-]nc2C(F)(F)F)cn1 ZINC001187758675 744605728 /nfs/dbraw/zinc/60/57/28/744605728.db2.gz BNJLYUCTFICMIR-UHFFFAOYSA-N -1 1 302.216 1.265 20 0 DDADMM O=C(Nc1ccnn1CC1CC1)c1n[n-]nc1C(F)(F)F ZINC001187758850 744606114 /nfs/dbraw/zinc/60/61/14/744606114.db2.gz KVUBNPCYYQTNLI-UHFFFAOYSA-N -1 1 300.244 1.682 20 0 DDADMM CC(C)n1cc(C(=O)NCc2nn[n-]n2)c(C(F)(F)F)c1 ZINC001187784808 744613914 /nfs/dbraw/zinc/61/39/14/744613914.db2.gz NALYCDVSAUEMNT-UHFFFAOYSA-N -1 1 302.260 1.531 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1ccc(F)cc1)c1nccs1 ZINC001187901247 744627134 /nfs/dbraw/zinc/62/71/34/744627134.db2.gz DEYSBBWIGPXTPZ-JTQLQIEISA-N -1 1 302.352 1.294 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H]([N-]S(=O)(=O)c2nccs2)C1 ZINC001187924807 744636352 /nfs/dbraw/zinc/63/63/52/744636352.db2.gz AARACHZHLFCBMJ-IUCAKERBSA-N -1 1 304.393 1.153 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2cn3ccsc3n2)sn1 ZINC001188836872 744770837 /nfs/dbraw/zinc/77/08/37/744770837.db2.gz BLLPBIMADUCPMC-UHFFFAOYSA-N -1 1 314.417 1.639 20 0 DDADMM O=Cc1c[nH]c2ccc(C(=O)NCc3nc([O-])cc(=O)[nH]3)cc12 ZINC001168438393 744771593 /nfs/dbraw/zinc/77/15/93/744771593.db2.gz XBXCXEOHCHICFW-UHFFFAOYSA-N -1 1 312.285 1.112 20 0 DDADMM O=S(=O)(Cc1cc(F)ccc1F)[N-]C[C@@H](O)C(F)(F)F ZINC001189708404 744960354 /nfs/dbraw/zinc/96/03/54/744960354.db2.gz KUOXWBSYYQQIIR-SECBINFHSA-N -1 1 319.251 1.307 20 0 DDADMM CCCCN(C)c1nnc(-c2nnn[n-]2)n1Cc1ccccc1 ZINC001122081438 745122621 /nfs/dbraw/zinc/12/26/21/745122621.db2.gz CYYRMSPCEXNOEA-UHFFFAOYSA-N -1 1 312.381 1.743 20 0 DDADMM CCCCN(C)c1nnc(-c2nn[n-]n2)n1Cc1ccccc1 ZINC001122081438 745122625 /nfs/dbraw/zinc/12/26/25/745122625.db2.gz CYYRMSPCEXNOEA-UHFFFAOYSA-N -1 1 312.381 1.743 20 0 DDADMM O=S(=O)([N-]c1ccc(C2=NCCO2)cc1)c1ccncc1 ZINC001190894174 745370053 /nfs/dbraw/zinc/37/00/53/745370053.db2.gz WUSGQYRFRKKZGJ-UHFFFAOYSA-N -1 1 303.343 1.659 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@]12CCCOC2)C(Cl)(Cl)Cl ZINC001192054301 745686114 /nfs/dbraw/zinc/68/61/14/745686114.db2.gz RPSPDVGDYHTICD-NKWVEPMBSA-N -1 1 308.614 1.803 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC2(CCC(=O)N2)CC1 ZINC001192539016 745827231 /nfs/dbraw/zinc/82/72/31/745827231.db2.gz DTMVJBPYGQMLSH-UHFFFAOYSA-N -1 1 310.300 1.555 20 0 DDADMM Cc1nc2c([nH]1)CCN(C(=O)c1cc([O-])cc(F)c1F)CC2 ZINC001192661325 745868017 /nfs/dbraw/zinc/86/80/17/745868017.db2.gz SDQGONPTHVSYDH-UHFFFAOYSA-N -1 1 307.300 1.943 20 0 DDADMM O=C(NCC[N-]C(=O)C(F)(F)F)N[C@@H]1CCc2ccccc21 ZINC001193004131 745948055 /nfs/dbraw/zinc/94/80/55/745948055.db2.gz RGOUSGKZGYTGKK-LLVKDONJSA-N -1 1 315.295 1.652 20 0 DDADMM COc1cnc(Cl)c([N-]S(=O)(=O)C[C@H]2CCCO2)n1 ZINC001193212286 746016130 /nfs/dbraw/zinc/01/61/30/746016130.db2.gz BTMXUZNEHZGLSF-SSDOTTSWSA-N -1 1 307.759 1.059 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc(Br)[nH]1 ZINC001193342711 746069911 /nfs/dbraw/zinc/06/99/11/746069911.db2.gz VJCOUZUIIWPXMQ-UHFFFAOYSA-N -1 1 323.110 1.401 20 0 DDADMM Cn1ccnc1S(=O)(=O)[N-][C@H](c1ccccn1)C(F)(F)F ZINC001193617451 746150175 /nfs/dbraw/zinc/15/01/75/746150175.db2.gz PSEYMFQVGWYXNB-SECBINFHSA-N -1 1 320.296 1.397 20 0 DDADMM COc1ccc(CO)c([N-]S(=O)(=O)c2ccccc2N)c1 ZINC001193931779 746225828 /nfs/dbraw/zinc/22/58/28/746225828.db2.gz FTRSWPZFPHVXDD-UHFFFAOYSA-N -1 1 308.359 1.571 20 0 DDADMM CCN(C)c1ccc([N-]S(=O)(=O)c2ccccc2N)cn1 ZINC001193899884 746231776 /nfs/dbraw/zinc/23/17/76/746231776.db2.gz CLWJVYSUNLHMBM-UHFFFAOYSA-N -1 1 306.391 1.921 20 0 DDADMM COC(=O)Cc1ccc([N-]S(=O)(=O)c2ccccc2N)cc1 ZINC001193923555 746238374 /nfs/dbraw/zinc/23/83/74/746238374.db2.gz ABKRACZGGUYWBJ-UHFFFAOYSA-N -1 1 320.370 1.785 20 0 DDADMM Cn1c(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)c[nH]c1=S ZINC001194239866 746317410 /nfs/dbraw/zinc/31/74/10/746317410.db2.gz DSSBCBUJOKDXBO-UHFFFAOYSA-N -1 1 315.358 1.852 20 0 DDADMM COCCn1cccc(C(=O)Nc2cccnc2[S-])c1=O ZINC001194486591 746392364 /nfs/dbraw/zinc/39/23/64/746392364.db2.gz WMDHGNWJXKNUIF-UHFFFAOYSA-N -1 1 305.359 1.431 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(Cl)c(C)[nH]c2=O)n1 ZINC001194694887 746438541 /nfs/dbraw/zinc/43/85/41/746438541.db2.gz UMVXLKGYIVFCLO-UHFFFAOYSA-N -1 1 324.724 1.901 20 0 DDADMM CC[C@@H](C)OC(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001195161023 746543363 /nfs/dbraw/zinc/54/33/63/746543363.db2.gz BWFGYHNIAOZDHO-ZCFIWIBFSA-N -1 1 317.130 1.615 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2ccc3c(c2)CCN(C)C3=O)n1 ZINC001195331278 746588553 /nfs/dbraw/zinc/58/85/53/746588553.db2.gz WCGCBFGCJSZWAF-UHFFFAOYSA-N -1 1 311.341 1.976 20 0 DDADMM CC(C)Nc1nc(C(=O)[N-]c2ncnc3n[nH]nc32)cs1 ZINC001195673782 746668019 /nfs/dbraw/zinc/66/80/19/746668019.db2.gz SJXFIPAJIFTJQE-UHFFFAOYSA-N -1 1 304.339 1.277 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1cnc(Cl)cc1Cl ZINC001195938856 746731073 /nfs/dbraw/zinc/73/10/73/746731073.db2.gz FWXYPSYPAFIDDO-UHFFFAOYSA-N -1 1 313.162 1.693 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(-c4cccn4C)on3)c1-2 ZINC001195988051 746747392 /nfs/dbraw/zinc/74/73/92/746747392.db2.gz GOKWLSBFWMXJCH-UHFFFAOYSA-N -1 1 323.316 1.281 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCOC[C@H]1c1cccnc1 ZINC001196016558 746757363 /nfs/dbraw/zinc/75/73/63/746757363.db2.gz UPDKEYKIRGOXRL-NSHDSACASA-N -1 1 318.358 1.080 20 0 DDADMM O=C(NCCOc1ccccc1F)c1c[nH]c(=S)[n-]c1=O ZINC001196023246 746760233 /nfs/dbraw/zinc/76/02/33/746760233.db2.gz HOYJRRBCQAZCIE-UHFFFAOYSA-N -1 1 309.322 1.419 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2CC[C@H]1O2)c1ccc(Cl)nc1F ZINC001196204124 746796733 /nfs/dbraw/zinc/79/67/33/746796733.db2.gz XLGIARVBORNHAJ-XLPZGREQSA-N -1 1 306.746 1.472 20 0 DDADMM O=C(N[C@@H](CO)Cc1cnc[nH]1)c1cc(Cl)c(F)cc1[O-] ZINC001196477901 746871467 /nfs/dbraw/zinc/87/14/67/746871467.db2.gz JPGSKFMBKXTRJO-MRVPVSSYSA-N -1 1 313.716 1.241 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1ccc(Cl)cn1 ZINC001196913558 747007935 /nfs/dbraw/zinc/00/79/35/747007935.db2.gz OXQABZXOYJSXSD-UHFFFAOYSA-N -1 1 306.771 1.820 20 0 DDADMM O=S(=O)(Cc1c(F)cccc1F)[N-]c1cnn(CCO)c1 ZINC001197566356 747202318 /nfs/dbraw/zinc/20/23/18/747202318.db2.gz GFZLYZDMSWHGPS-UHFFFAOYSA-N -1 1 317.317 1.096 20 0 DDADMM COC(=O)c1cc(O)cc([N-]S(=O)(=O)Cc2ccccn2)c1 ZINC001197829435 747292257 /nfs/dbraw/zinc/29/22/57/747292257.db2.gz RXBNGYIXDOJMTO-UHFFFAOYSA-N -1 1 322.342 1.516 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CC[C@@H](F)C1 ZINC001003896612 747697305 /nfs/dbraw/zinc/69/73/05/747697305.db2.gz KTOFGTOHFLVUTO-NWDGAFQWSA-N -1 1 321.352 1.114 20 0 DDADMM CC(C)Cn1nc(C(=O)NCc2nn[n-]n2)cc1C(F)(F)F ZINC001199605259 747912608 /nfs/dbraw/zinc/91/26/08/747912608.db2.gz AKPQTAKLTFCUPG-UHFFFAOYSA-N -1 1 317.275 1.001 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=S)Nc1cc(F)c(F)cc1[O-] ZINC001199835989 748013493 /nfs/dbraw/zinc/01/34/93/748013493.db2.gz OMVYTMRRUUEKRM-ZCFIWIBFSA-N -1 1 304.318 1.908 20 0 DDADMM O=C1CC([N-]S(=O)(=O)c2c(F)cccc2Br)C1 ZINC001201019930 748390974 /nfs/dbraw/zinc/39/09/74/748390974.db2.gz XMWSMPXIKCVERG-UHFFFAOYSA-N -1 1 322.155 1.598 20 0 DDADMM CSc1nc(NC(=O)c2cc3cccn3cn2)cc(=O)[n-]1 ZINC001201369169 748486206 /nfs/dbraw/zinc/48/62/06/748486206.db2.gz WJWWDPIBNHGBEC-UHFFFAOYSA-N -1 1 301.331 1.804 20 0 DDADMM CC(C)C(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001004539797 748543444 /nfs/dbraw/zinc/54/34/44/748543444.db2.gz LMYUABLIIGWPCA-RYUDHWBXSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1ccc[nH]1 ZINC001004722895 748677263 /nfs/dbraw/zinc/67/72/63/748677263.db2.gz BMPFDEIHPVHAFL-PWSUYJOCSA-N -1 1 318.381 1.011 20 0 DDADMM CCC(CC)C(=O)N1CCCC[C@H]1[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004734151 748693000 /nfs/dbraw/zinc/69/30/00/748693000.db2.gz RXLKMSCIGLEYNE-AAEUAGOBSA-N -1 1 323.441 1.806 20 0 DDADMM O=S(=O)([O-])CCCCN1CCN(CCC(F)(F)F)CC1 ZINC001202355924 748759836 /nfs/dbraw/zinc/75/98/36/748759836.db2.gz FATCSPOYJMILNJ-UHFFFAOYSA-N -1 1 318.361 1.224 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)C=C1CCC1 ZINC001004827077 748828982 /nfs/dbraw/zinc/82/89/82/748828982.db2.gz NOFSFHTVMCYLOV-WCQYABFASA-N -1 1 319.409 1.480 20 0 DDADMM CCCCC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202488826 748881089 /nfs/dbraw/zinc/88/10/89/748881089.db2.gz HXICAKGAEYQXRN-MNOVXSKESA-N -1 1 307.398 1.218 20 0 DDADMM Cc1nccc(N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001061586153 748888167 /nfs/dbraw/zinc/88/81/67/748888167.db2.gz MJORUKDHNWTNPW-LBPRGKRZSA-N -1 1 313.361 1.142 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1NCc1ccon1 ZINC000995706690 749153409 /nfs/dbraw/zinc/15/34/09/749153409.db2.gz YTPXQVRVPMHCAT-ZDUSSCGKSA-N -1 1 316.361 1.416 20 0 DDADMM CC[C@@H](F)C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996140442 749392982 /nfs/dbraw/zinc/39/29/82/749392982.db2.gz BDDXAVKAALWQIP-WYUUTHIRSA-N -1 1 321.352 1.397 20 0 DDADMM CC(C)C1(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)CC1 ZINC000996284337 749486293 /nfs/dbraw/zinc/48/62/93/749486293.db2.gz VEWVGGBFAYNWAF-NSHDSACASA-N -1 1 321.425 1.273 20 0 DDADMM CCC[C@H](NC(=O)c1cc(F)cc(Cl)c1N)c1nn[n-]n1 ZINC001137206370 749509229 /nfs/dbraw/zinc/50/92/29/749509229.db2.gz PMBBTBZWAFKRCB-VIFPVBQESA-N -1 1 312.736 1.846 20 0 DDADMM Cc1cc(CN[C@@H]2CCCN(C(=O)c3n[nH]c(C)c3[O-])C2)on1 ZINC000998951916 752384460 /nfs/dbraw/zinc/38/44/60/752384460.db2.gz FWQNZHBDHXEYIR-LLVKDONJSA-N -1 1 319.365 1.115 20 0 DDADMM CCO[C@H](C)C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066905301 752503999 /nfs/dbraw/zinc/50/39/99/752503999.db2.gz WBZIDYILTIUKCC-GFCCVEGCSA-N -1 1 323.393 1.133 20 0 DDADMM O=C(NCC1(NC(=O)c2ncccc2[O-])CCC1)c1ccoc1 ZINC001062527943 753080412 /nfs/dbraw/zinc/08/04/12/753080412.db2.gz KVNRWYKQUDREOS-UHFFFAOYSA-N -1 1 315.329 1.463 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C=C2CCC2)C1 ZINC001005950896 753410710 /nfs/dbraw/zinc/41/07/10/753410710.db2.gz LVVZEILQAOLCPZ-NSHDSACASA-N -1 1 315.373 1.474 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2(CF)CC2)C1 ZINC001005953618 753414796 /nfs/dbraw/zinc/41/47/96/753414796.db2.gz UFEDFNGIZUCWER-SNVBAGLBSA-N -1 1 321.352 1.114 20 0 DDADMM CC(C)=CC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])C[C@H]1C ZINC001009986013 753522825 /nfs/dbraw/zinc/52/28/25/753522825.db2.gz QDTLCTUIGALUJF-CHWSQXEVSA-N -1 1 317.389 1.720 20 0 DDADMM Cc1noc([C@@H](C)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001010725331 754124474 /nfs/dbraw/zinc/12/44/74/754124474.db2.gz HJLSGOBIPVTUDQ-MWLCHTKSSA-N -1 1 317.349 1.044 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035356 754318262 /nfs/dbraw/zinc/31/82/62/754318262.db2.gz FXQSTDIQBNFRMO-CHWSQXEVSA-N -1 1 317.389 1.364 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ccc[nH]1 ZINC001011479275 754548665 /nfs/dbraw/zinc/54/86/65/754548665.db2.gz STMVXKKPEKSURI-QWRGUYRKSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@@H]1[C@H](NC(=O)CC2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011671383 754668773 /nfs/dbraw/zinc/66/87/73/754668773.db2.gz GROCJOFYHUNBJB-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ccco1 ZINC001012199286 754927067 /nfs/dbraw/zinc/92/70/67/754927067.db2.gz WRSKGHLQNULZBJ-WDEREUQCSA-N -1 1 315.329 1.413 20 0 DDADMM CC(C)=C(F)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001012474398 755095931 /nfs/dbraw/zinc/09/59/31/755095931.db2.gz JWUPMWGJUYPNMF-WDEREUQCSA-N -1 1 321.352 1.770 20 0 DDADMM CCN(C(=O)C=C1CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079596598 755477005 /nfs/dbraw/zinc/47/70/05/755477005.db2.gz JOKPSCVSYCNGMI-UHFFFAOYSA-N -1 1 315.373 1.570 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015568083 756379821 /nfs/dbraw/zinc/37/98/21/756379821.db2.gz QJKLDQPGIHFQSZ-VXGBXAGGSA-N -1 1 319.405 1.848 20 0 DDADMM CCC(=O)N1CC[C@H](C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)C1 ZINC000822529844 758217130 /nfs/dbraw/zinc/21/71/30/758217130.db2.gz WEMQBQMSFISRKP-JTQLQIEISA-N -1 1 318.377 1.258 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(F)F ZINC001018105736 758551660 /nfs/dbraw/zinc/55/16/60/758551660.db2.gz ULOQZVYYQYGWQX-BDAKNGLRSA-N -1 1 313.304 1.162 20 0 DDADMM O=C(N[C@@H]1COC2(CN(C/C=C\Cl)C2)C1)c1ncccc1[O-] ZINC001053784174 758809021 /nfs/dbraw/zinc/80/90/21/758809021.db2.gz HTVQOXFQSBFMFO-MORRKMRCSA-N -1 1 323.780 1.113 20 0 DDADMM C[C@@H]1CN(C(=O)CCC2CCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054527547 759772071 /nfs/dbraw/zinc/77/20/71/759772071.db2.gz VSYKDTVCKSYWJD-PWSUYJOCSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1cnc([C@@H](C)N[C@H]2C[C@H](NC(=O)c3ncccc3O)C2)o1 ZINC001020098291 760550403 /nfs/dbraw/zinc/55/04/03/760550403.db2.gz GBBRUYYUFYRCKZ-IJLUTSLNSA-N -1 1 316.361 1.695 20 0 DDADMM O=C(NC[C@@H]1CCN1Cc1cncc(F)c1)c1ncccc1[O-] ZINC001038193025 760907731 /nfs/dbraw/zinc/90/77/31/760907731.db2.gz HAWFZFNNNZWZOJ-ZDUSSCGKSA-N -1 1 316.336 1.326 20 0 DDADMM Cc1conc1CN[C@H]1C[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001086342307 761450227 /nfs/dbraw/zinc/45/02/27/761450227.db2.gz HGOTXFLPLGNWMX-XYPYZODXSA-N -1 1 319.365 1.018 20 0 DDADMM Cc1nsc(N(C)[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001113575088 765896682 /nfs/dbraw/zinc/89/66/82/765896682.db2.gz MPDODJQMFVPPQW-MRVPVSSYSA-N -1 1 307.379 1.202 20 0 DDADMM O=C([C@H]1[C@@H]2CCCC[C@@H]21)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044977834 765990036 /nfs/dbraw/zinc/99/00/36/765990036.db2.gz CRCTWNTWWQSCMY-CIQGVGRVSA-N -1 1 319.409 1.027 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ncnc3ccc(Cl)nc32)[n-]1 ZINC001170222881 766196197 /nfs/dbraw/zinc/19/61/97/766196197.db2.gz HGIHHCDZRPMWTP-UHFFFAOYSA-N -1 1 314.696 1.794 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2cc(O[C@@H]3CCOC3)ccn2)[n-]1 ZINC001170230372 766205150 /nfs/dbraw/zinc/20/51/50/766205150.db2.gz QNOPBANWYSZLKI-SECBINFHSA-N -1 1 314.305 1.365 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)Cc3ccc(F)cc3F)nc2n1 ZINC001129520485 766924401 /nfs/dbraw/zinc/92/44/01/766924401.db2.gz MSCMQJMSUWKKTF-UHFFFAOYSA-N -1 1 319.271 1.185 20 0 DDADMM C[C@H]1C[C@H](Nc2cnc(F)cn2)CN1C(=O)c1ncccc1[O-] ZINC001069064501 767812935 /nfs/dbraw/zinc/81/29/35/767812935.db2.gz BUUHDIMMNVHUNL-UWVGGRQHSA-N -1 1 317.324 1.431 20 0 DDADMM CC[C@@H](C)CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070662710 768651524 /nfs/dbraw/zinc/65/15/24/768651524.db2.gz UPLMBMHMNCVTAV-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H](F)CN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1n[nH]c(C)c1[O-] ZINC001049422635 770809968 /nfs/dbraw/zinc/80/99/68/770809968.db2.gz KWILKOSCRBVFIY-RWMBFGLXSA-N -1 1 324.400 1.851 20 0 DDADMM Cc1noc(CCCNC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC001143703289 772296406 /nfs/dbraw/zinc/29/64/06/772296406.db2.gz LEQMCQISCZFFFA-UHFFFAOYSA-N -1 1 303.322 1.114 20 0 DDADMM COc1ccc([C@@H](O)C(=O)Nc2cc(=O)[n-]c(SC)n2)cc1 ZINC001144771763 772617511 /nfs/dbraw/zinc/61/75/11/772617511.db2.gz IWGBBDGFWFOBIY-GFCCVEGCSA-N -1 1 321.358 1.585 20 0 DDADMM COc1cc(C(=O)[N-]c2ncnc3cccc(OC)c32)on1 ZINC001146931735 772978789 /nfs/dbraw/zinc/97/87/89/772978789.db2.gz BVBXDOZRYOGSQX-UHFFFAOYSA-N -1 1 300.274 1.887 20 0 DDADMM Cc1ncc(CO)c(C(=O)N(C)CCc2cccs2)c1[O-] ZINC001147837962 773264105 /nfs/dbraw/zinc/26/41/05/773264105.db2.gz GVBVWCZYSMLCRE-UHFFFAOYSA-N -1 1 306.387 1.964 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCC2Oc3ccccc3O2)c1[O-] ZINC001147844716 773267488 /nfs/dbraw/zinc/26/74/88/773267488.db2.gz WMHLARSYOXMSMP-UHFFFAOYSA-N -1 1 316.313 1.115 20 0 DDADMM COc1cccc(C(=O)Nc2cc(=O)[n-]c(SC)n2)c1O ZINC001148197271 773374234 /nfs/dbraw/zinc/37/42/34/773374234.db2.gz BKMBAVJXRHVFSO-UHFFFAOYSA-N -1 1 307.331 1.871 20 0 DDADMM CC(C)c1nsc(N(C)CCNC(=O)c2ncccc2[O-])n1 ZINC001100071191 775646641 /nfs/dbraw/zinc/64/66/41/775646641.db2.gz ZCADYUORFCPYHA-UHFFFAOYSA-N -1 1 321.406 1.628 20 0 DDADMM CCOc1cc(NCCCNC(=O)c2ncccc2[O-])ncn1 ZINC001094375904 775820843 /nfs/dbraw/zinc/82/08/43/775820843.db2.gz ULQYEWRMWOIADX-UHFFFAOYSA-N -1 1 317.349 1.208 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(N[C@@H]3CSc4ccccc4C3)c2[nH]1 ZINC001172197747 776720724 /nfs/dbraw/zinc/72/07/24/776720724.db2.gz PVNUEFUFGXZHAX-QMMMGPOBSA-N -1 1 315.358 1.845 20 0 DDADMM Cc1cnc(C)nc1NCCN(C)C(=O)c1ncccc1[O-] ZINC001101561052 777250820 /nfs/dbraw/zinc/25/08/20/777250820.db2.gz GAPAJPQKAUXGIT-UHFFFAOYSA-N -1 1 301.350 1.378 20 0 DDADMM CN(CCNc1ncncc1Cl)C(=O)c1ncccc1[O-] ZINC001101561400 777251887 /nfs/dbraw/zinc/25/18/87/777251887.db2.gz WEOBPIIVOCAHNM-UHFFFAOYSA-N -1 1 307.741 1.415 20 0 DDADMM Cn1cnc2ccc([N-]S(=O)(=O)c3ccc(O)c(N)c3)cc21 ZINC001174348378 777462905 /nfs/dbraw/zinc/46/29/05/777462905.db2.gz AMSIDXQVKQUHOP-UHFFFAOYSA-N -1 1 318.358 1.662 20 0 DDADMM COc1cccnc1CCC(=O)NCCCC[P@](=O)([O-])O ZINC001175499015 777811284 /nfs/dbraw/zinc/81/12/84/777811284.db2.gz KLDGDVLVKNUBOC-UHFFFAOYSA-N -1 1 316.294 1.097 20 0 DDADMM O=C(CCc1cn[nH]c1)Nc1nc(Br)ccc1[O-] ZINC001175906837 777966358 /nfs/dbraw/zinc/96/63/58/777966358.db2.gz VKNYMOFGWFAUSA-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3c(c2)CCC3=O)c1 ZINC001176236689 778087894 /nfs/dbraw/zinc/08/78/94/778087894.db2.gz FCAINOWINMKHHM-UHFFFAOYSA-N -1 1 317.370 1.781 20 0 DDADMM O=C(Cc1nn[n-]n1)N1CCn2cccc2[C@H]1c1ccccc1 ZINC001176837680 778269256 /nfs/dbraw/zinc/26/92/56/778269256.db2.gz AMCYBUOVIPHUJD-MRXNPFEDSA-N -1 1 308.345 1.176 20 0 DDADMM O=C(Cc1nn[n-]n1)NCc1cc(OC(F)(F)F)ccc1F ZINC001176844489 778271390 /nfs/dbraw/zinc/27/13/90/778271390.db2.gz AMGSZBVPPDXWTA-UHFFFAOYSA-N -1 1 319.218 1.096 20 0 DDADMM CC(C)(C)OC(=O)c1cc(NC(=O)Cc2nn[n-]n2)ccc1F ZINC001176844922 778299797 /nfs/dbraw/zinc/29/97/97/778299797.db2.gz KRDQOVOTUOCWNY-UHFFFAOYSA-N -1 1 321.312 1.475 20 0 DDADMM Cc1cccnc1CC(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001177457225 778595721 /nfs/dbraw/zinc/59/57/21/778595721.db2.gz USTIIVOKRRNZOI-UHFFFAOYSA-N -1 1 316.321 1.531 20 0 DDADMM CC(C)[C@@H](C)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001103490180 778897719 /nfs/dbraw/zinc/89/77/19/778897719.db2.gz ZHLZDGGBVXZLGF-JHJVBQTASA-N -1 1 323.441 1.377 20 0 DDADMM COc1cc(NC(=O)c2ccc3n[n-]c(=S)n3c2)n(C)n1 ZINC001178417257 779044956 /nfs/dbraw/zinc/04/49/56/779044956.db2.gz YZGAUMOYWHDYKP-UHFFFAOYSA-N -1 1 304.335 1.012 20 0 DDADMM CCCCC[C@@H](O)C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001178893811 779212418 /nfs/dbraw/zinc/21/24/18/779212418.db2.gz NQZMQONGHLOMJD-LLVKDONJSA-N -1 1 311.382 1.138 20 0 DDADMM NC(=O)c1ccc(C(=O)Nc2cc(F)c([O-])cc2Cl)nc1 ZINC001179655626 779437080 /nfs/dbraw/zinc/43/70/80/779437080.db2.gz IQOZTAIFTRPFNK-UHFFFAOYSA-N -1 1 309.684 1.931 20 0 DDADMM O=S(=O)([N-]CCOCC(F)F)c1ccc(Cl)nc1F ZINC000692827490 779490099 /nfs/dbraw/zinc/49/00/99/779490099.db2.gz KJVFYCJQGCVAEU-UHFFFAOYSA-N -1 1 318.704 1.434 20 0 DDADMM O=C([O-])[C@H]1C[C@@H](NS(=O)(=O)c2c(F)cc(F)cc2F)C1 ZINC000693443268 779522114 /nfs/dbraw/zinc/52/21/14/779522114.db2.gz SGNMDLLPAZXWMP-IAQIWYKVSA-N -1 1 309.265 1.245 20 0 DDADMM C[C@@H](CC(F)(F)F)C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001179870444 779531339 /nfs/dbraw/zinc/53/13/39/779531339.db2.gz MMIODJDZHFHGRX-VKHMYHEASA-N -1 1 305.216 1.243 20 0 DDADMM C[C@@H](CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)C(C)(C)C ZINC001180012817 779591591 /nfs/dbraw/zinc/59/15/91/779591591.db2.gz UXNPKTTVMFVBQV-SRVKXCTJSA-N -1 1 323.441 1.662 20 0 DDADMM O=C(Cc1c[nH]c2cnccc12)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001117759294 780895862 /nfs/dbraw/zinc/89/58/62/780895862.db2.gz WYYJLXNFRWOYHQ-SNVBAGLBSA-N -1 1 311.349 1.025 20 0 DDADMM Cc1ccoc1C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001408521691 836077661 /nfs/dbraw/zinc/07/76/61/836077661.db2.gz JHWCAXROKOYJCW-UHFFFAOYSA-N -1 1 303.318 1.191 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2CCC(F)(F)CC2)[n-]n1 ZINC001414241764 840240169 /nfs/dbraw/zinc/24/01/69/840240169.db2.gz NJVMTTVPIIGCLN-UHFFFAOYSA-N -1 1 323.321 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2CCC(F)(F)CC2)n[n-]1 ZINC001414241764 840240174 /nfs/dbraw/zinc/24/01/74/840240174.db2.gz NJVMTTVPIIGCLN-UHFFFAOYSA-N -1 1 323.321 1.053 20 0 DDADMM CCCC[C@@H](CCC)NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001414232271 844541571 /nfs/dbraw/zinc/54/15/71/844541571.db2.gz VQWMSWHBEACPAM-SNVBAGLBSA-N -1 1 317.411 1.834 20 0 DDADMM CCCC[C@@H](CCC)NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001414232271 844541577 /nfs/dbraw/zinc/54/15/77/844541577.db2.gz VQWMSWHBEACPAM-SNVBAGLBSA-N -1 1 317.411 1.834 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2ccccn2)c1 ZINC001414207134 840524533 /nfs/dbraw/zinc/52/45/33/840524533.db2.gz XZHWTLUITBDVFV-UHFFFAOYSA-N -1 1 308.359 1.317 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C(N)=O)oc1C)C(F)(F)F ZINC001414254644 841793995 /nfs/dbraw/zinc/79/39/95/841793995.db2.gz XXLPXBMYKSOLEX-QMMMGPOBSA-N -1 1 314.285 1.306 20 0 DDADMM Cc1nc(CNCCCNC(=O)c2[nH]nc(C)c2[O-])c(C)s1 ZINC001156626761 863207529 /nfs/dbraw/zinc/20/75/29/863207529.db2.gz OCKRTUQHVKLVDQ-UHFFFAOYSA-N -1 1 323.422 1.407 20 0 DDADMM CCC[C@H](C)CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409037105 843270981 /nfs/dbraw/zinc/27/09/81/843270981.db2.gz QHGYTWYYGKHEQB-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM CCC/C=C/CCN1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001271275778 843462251 /nfs/dbraw/zinc/46/22/51/843462251.db2.gz DZIHHMOKYZSKAD-SNAWJCMRSA-N -1 1 319.405 1.310 20 0 DDADMM CC[C@H](C)N1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1cncc([O-])c1 ZINC001271387150 843546171 /nfs/dbraw/zinc/54/61/71/843546171.db2.gz RELPAOSTHORPEV-NILFDRSVSA-N -1 1 317.389 1.791 20 0 DDADMM CN1CC[C@@]2(CCCN(C(=O)c3cncc([O-])c3)CC2)C1=O ZINC001271386495 843548673 /nfs/dbraw/zinc/54/86/73/843548673.db2.gz LVZBHVCRFUJEEP-MRXNPFEDSA-N -1 1 303.362 1.262 20 0 DDADMM CNC(=O)CC1CCN(C(=O)c2cc(C)cc(C=O)c2[O-])CC1 ZINC001149177573 861477890 /nfs/dbraw/zinc/47/78/90/861477890.db2.gz XNOCJICFRGBOQF-UHFFFAOYSA-N -1 1 318.373 1.501 20 0 DDADMM CN1CC[C@]2(CCCN2C(=O)c2c(F)ccc([O-])c2F)C1=O ZINC001272246605 844882508 /nfs/dbraw/zinc/88/25/08/844882508.db2.gz OMAYZKSDTKPSEX-OAHLLOKOSA-N -1 1 310.300 1.507 20 0 DDADMM COC(=O)[C@]1(F)CCN(C(=O)c2ccc3cccnc3c2[O-])C1 ZINC001149320310 861545370 /nfs/dbraw/zinc/54/53/70/861545370.db2.gz XXQBGZLZKQEVET-INIZCTEOSA-N -1 1 318.304 1.668 20 0 DDADMM CCN=c1ccc(N)cn1C(=O)c1ccc2cccnc2c1[O-] ZINC001149319183 861545482 /nfs/dbraw/zinc/54/54/82/861545482.db2.gz KDAHQGOJASOBTJ-UHFFFAOYSA-N -1 1 308.341 1.933 20 0 DDADMM CN1C[C@]2(CCN(Cc3ccc([O-])c(F)c3F)C2)OCC1=O ZINC001272724295 846722175 /nfs/dbraw/zinc/72/21/75/846722175.db2.gz FKQJRBBHGVLQAV-HNNXBMFYSA-N -1 1 312.316 1.104 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2CCC(F)(F)F ZINC001032369596 847187557 /nfs/dbraw/zinc/18/75/57/847187557.db2.gz KGTRFZSLXMCHSI-UWVGGRQHSA-N -1 1 315.295 1.638 20 0 DDADMM C[C@H](C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001411026753 850124341 /nfs/dbraw/zinc/12/43/41/850124341.db2.gz VFNDFMFQZQSGNR-GTNSWQLSSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(C)OC(=O)C(C)(C)[N-]S(=O)(=O)c1nccs1 ZINC001187912340 851085654 /nfs/dbraw/zinc/08/56/54/851085654.db2.gz FRBJSKCJRPAARA-UHFFFAOYSA-N -1 1 306.409 1.542 20 0 DDADMM Cc1ccc2ccc(C(=O)NC3(CO)CCOCC3)c([O-])c2n1 ZINC001155659502 862351719 /nfs/dbraw/zinc/35/17/19/862351719.db2.gz FPUGWIOGXKLEKD-UHFFFAOYSA-N -1 1 316.357 1.520 20 0 DDADMM CN1C[C@]2(CCN(Cc3cc([O-])c(F)c(F)c3)C2)OCC1=O ZINC001274807693 852614638 /nfs/dbraw/zinc/61/46/38/852614638.db2.gz BRVLROPDCCQOAR-HNNXBMFYSA-N -1 1 312.316 1.104 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2cnccn2)c(=O)[n-]1 ZINC001411586203 853413018 /nfs/dbraw/zinc/41/30/18/853413018.db2.gz OYWYPMPREOZJGZ-UHFFFAOYSA-N -1 1 319.390 1.252 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@@]12CCN(C(=O)c1cncc([O-])c1)C2 ZINC001275624456 853448070 /nfs/dbraw/zinc/44/80/70/853448070.db2.gz ZLYMDQXZTGSXJE-PBHICJAKSA-N -1 1 317.389 1.508 20 0 DDADMM CCN(C(=O)c1cccc([O-])c1F)[C@H]1CCS(=O)(=O)C1 ZINC001411631554 853484997 /nfs/dbraw/zinc/48/49/97/853484997.db2.gz RFGXUJWKTAPCRB-VIFPVBQESA-N -1 1 301.339 1.181 20 0 DDADMM CN(C)C(=O)[C@]12C[C@H]1CCN2C(=O)c1ccc(Cl)cc1[O-] ZINC001275934227 853977738 /nfs/dbraw/zinc/97/77/38/853977738.db2.gz KBVHCOBESWLDEE-PSLIRLAXSA-N -1 1 308.765 1.738 20 0 DDADMM C[C@@H](NC(=O)CCCc1nn[n-]n1)c1ccc([S@@](C)=O)cc1 ZINC001411993008 854084576 /nfs/dbraw/zinc/08/45/76/854084576.db2.gz JIJMSOBUZCUCDT-ZQJOYCHOSA-N -1 1 321.406 1.137 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC2(CN(Cc3cn[nH]c3)C2)C1 ZINC001275989336 854099407 /nfs/dbraw/zinc/09/94/07/854099407.db2.gz UWUSVYWRYFJZDY-UHFFFAOYSA-N -1 1 316.336 1.212 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cncc2ccccc21 ZINC001150923951 862533669 /nfs/dbraw/zinc/53/36/69/862533669.db2.gz GNEUDGPZFYJKPN-UHFFFAOYSA-N -1 1 322.284 1.516 20 0 DDADMM COc1cncc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1C ZINC001412048251 854152799 /nfs/dbraw/zinc/15/27/99/854152799.db2.gz CYXMPZQLZYCOJP-JTQLQIEISA-N -1 1 318.333 1.507 20 0 DDADMM CCC(CC)(NC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)OC ZINC001412077128 854197843 /nfs/dbraw/zinc/19/78/43/854197843.db2.gz XKYYHLHGZYSDBD-UHFFFAOYSA-N -1 1 307.350 1.521 20 0 DDADMM CCc1ccccc1OCC(=O)NC(CC)(CC)c1nn[n-]n1 ZINC001412106848 854221591 /nfs/dbraw/zinc/22/15/91/854221591.db2.gz RMSRRXKVJUARTK-UHFFFAOYSA-N -1 1 317.393 1.973 20 0 DDADMM CCC(CC)(NC(=O)COc1ccccc1C)c1nn[n-]n1 ZINC001412109571 854228961 /nfs/dbraw/zinc/22/89/61/854228961.db2.gz ZQZLWPWDFTWORO-UHFFFAOYSA-N -1 1 303.366 1.719 20 0 DDADMM CCC(CC)(NC(=O)c1cn2c(n1)C[C@@H](C)CC2)c1nn[n-]n1 ZINC001412109930 854229289 /nfs/dbraw/zinc/22/92/89/854229289.db2.gz NDCXFTQZLAHNRY-JTQLQIEISA-N -1 1 317.397 1.424 20 0 DDADMM Cn1cc(C2=NO[C@H](C(=O)Nc3cc([O-])c(F)cc3F)C2)cn1 ZINC001412215791 854342816 /nfs/dbraw/zinc/34/28/16/854342816.db2.gz ZQKSNIULYQKWLL-ZDUSSCGKSA-N -1 1 322.271 1.536 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)c2nccc(C)n2)c(=O)[n-]1 ZINC001412254721 854379963 /nfs/dbraw/zinc/37/99/63/854379963.db2.gz XLSOPPJOVGWXGN-QMMMGPOBSA-N -1 1 305.363 1.494 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@]2(C)CCCOC2)[n-]c1Cl ZINC001412299196 854420535 /nfs/dbraw/zinc/42/05/35/854420535.db2.gz FBPDVHNSFZHDBV-ZDUSSCGKSA-N -1 1 315.757 1.995 20 0 DDADMM COc1ccc(CNC(=O)c2cnc(SC)[n-]c2=O)cn1 ZINC001412388073 854497111 /nfs/dbraw/zinc/49/71/11/854497111.db2.gz ZFEVJKKOIIIAIA-UHFFFAOYSA-N -1 1 306.347 1.238 20 0 DDADMM COC[C@H](NC(=O)CCCc1sccc1C)c1nn[n-]n1 ZINC001412612995 854811728 /nfs/dbraw/zinc/81/17/28/854811728.db2.gz UJLXLDKBYJCODO-JTQLQIEISA-N -1 1 309.395 1.396 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCC[C@@H]3OCC[C@@H]32)c(=O)[n-]1 ZINC001412640966 854862649 /nfs/dbraw/zinc/86/26/49/854862649.db2.gz OTRFRESLKHZNTI-IEBDPFPHSA-N -1 1 309.391 1.592 20 0 DDADMM O=C(NN1CCc2ccccc2C1=O)c1ccc(F)c([O-])c1 ZINC001412726325 855031739 /nfs/dbraw/zinc/03/17/39/855031739.db2.gz JWYBXDMLTMQLQN-UHFFFAOYSA-N -1 1 300.289 1.875 20 0 DDADMM Cc1ccc2nnc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)n2c1 ZINC001156028452 862648902 /nfs/dbraw/zinc/64/89/02/862648902.db2.gz YMGNKUUORFIKEX-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)Nc2cc(Cl)nc(N)n2)[n-]1 ZINC001412903387 855772680 /nfs/dbraw/zinc/77/26/80/855772680.db2.gz XGNXWIJAFPQJCZ-YFKPBYRVSA-N -1 1 311.733 1.180 20 0 DDADMM CCOC(=O)c1nc([C@H](C)Nc2cc(Cl)nc(N)n2)n[n-]1 ZINC001412903387 855772688 /nfs/dbraw/zinc/77/26/88/855772688.db2.gz XGNXWIJAFPQJCZ-YFKPBYRVSA-N -1 1 311.733 1.180 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)Nc2cc(Cl)nc(N)n2)n1 ZINC001412903387 855772694 /nfs/dbraw/zinc/77/26/94/855772694.db2.gz XGNXWIJAFPQJCZ-YFKPBYRVSA-N -1 1 311.733 1.180 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](C)CC(F)(F)F ZINC001413143909 856480424 /nfs/dbraw/zinc/48/04/24/856480424.db2.gz KJJCTLUDVBORGL-YFKPBYRVSA-N -1 1 309.244 1.215 20 0 DDADMM Cn1ccnc1[C@@H](NC(=O)c1ccc([O-])cn1)C(C)(C)CO ZINC001413186357 856521687 /nfs/dbraw/zinc/52/16/87/856521687.db2.gz SHXDNGJRHSHWLJ-GFCCVEGCSA-N -1 1 304.350 1.010 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)c1cccn1C ZINC001413195349 856539853 /nfs/dbraw/zinc/53/98/53/856539853.db2.gz PBQNTGRBDXVKPC-UWVGGRQHSA-N -1 1 317.311 1.697 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(Cc2cncs2)CC1 ZINC001413368392 856718683 /nfs/dbraw/zinc/71/86/83/856718683.db2.gz SPRXTZFLQRZFCR-UHFFFAOYSA-N -1 1 304.375 1.202 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC2SCCS2)sn1 ZINC001413399420 856763974 /nfs/dbraw/zinc/76/39/74/856763974.db2.gz FHCYFGMLZLTSNL-UHFFFAOYSA-N -1 1 312.463 1.236 20 0 DDADMM CC(C)Cc1cc(C(=O)NC2(c3nn[n-]n3)CCC2)cc(=O)[nH]1 ZINC001413506768 856907026 /nfs/dbraw/zinc/90/70/26/856907026.db2.gz HEGBLDZQBOIMMI-UHFFFAOYSA-N -1 1 316.365 1.308 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC[C@H]1CNC(=O)C1 ZINC001413516987 856920997 /nfs/dbraw/zinc/92/09/97/856920997.db2.gz KEJBHBJQEYXVAL-SNVBAGLBSA-N -1 1 320.393 1.044 20 0 DDADMM COc1ncccc1-c1noc(-c2nnc(Br)[n-]2)n1 ZINC001213001835 862905431 /nfs/dbraw/zinc/90/54/31/862905431.db2.gz AENIVWGTTKIBJJ-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM COc1ncccc1-c1noc(-c2n[n-]c(Br)n2)n1 ZINC001213001835 862905445 /nfs/dbraw/zinc/90/54/45/862905445.db2.gz AENIVWGTTKIBJJ-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM CCSc1cccc(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)c1 ZINC001121958894 858645774 /nfs/dbraw/zinc/64/57/74/858645774.db2.gz DYOUYCLZZXDDDB-CQSZACIVSA-N -1 1 310.375 1.180 20 0 DDADMM CN(c1nnc(-c2nnn[n-]2)n1Cc1ccccc1)C1CCC1 ZINC001122081491 858679560 /nfs/dbraw/zinc/67/95/60/858679560.db2.gz FQZDHRXQUFGMMY-UHFFFAOYSA-N -1 1 310.365 1.495 20 0 DDADMM CN(c1nnc(-c2nn[n-]n2)n1Cc1ccccc1)C1CCC1 ZINC001122081491 858679562 /nfs/dbraw/zinc/67/95/62/858679562.db2.gz FQZDHRXQUFGMMY-UHFFFAOYSA-N -1 1 310.365 1.495 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCc2c(O)cccc2C1 ZINC001123936095 859483714 /nfs/dbraw/zinc/48/37/14/859483714.db2.gz JRDQXSUHCGJURS-UHFFFAOYSA-N -1 1 317.370 1.683 20 0 DDADMM O=[P@]([O-])(O)CCOC1CCN(c2cc(Cl)ncn2)CC1 ZINC001224604649 881466747 /nfs/dbraw/zinc/46/67/47/881466747.db2.gz FJADWVRPIHFMLV-UHFFFAOYSA-N -1 1 321.701 1.293 20 0 DDADMM CSc1ncc(C(=O)N2CCC(OCC3CC3)CC2)c(=O)[n-]1 ZINC001362144555 883146019 /nfs/dbraw/zinc/14/60/19/883146019.db2.gz WCOFLRHGBMQBAZ-UHFFFAOYSA-N -1 1 323.418 1.935 20 0 DDADMM CC(=O)N1CCN(C(=O)c2cc(C)cc(C=O)c2[O-])C(C)(C)C1 ZINC001137850913 859985243 /nfs/dbraw/zinc/98/52/43/859985243.db2.gz AORUOONTVOTEIL-UHFFFAOYSA-N -1 1 318.373 1.596 20 0 DDADMM CCN1CC[C@@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC001138325955 860056560 /nfs/dbraw/zinc/05/65/60/860056560.db2.gz MTXCUIPGVXEOSH-SSDOTTSWSA-N -1 1 300.156 1.582 20 0 DDADMM CCn1cc2c(n1)CN(Cc1ncccc1[O-])C[C@H]2COC ZINC001138390865 860084411 /nfs/dbraw/zinc/08/44/11/860084411.db2.gz KALXPCICAGUFNW-LBPRGKRZSA-N -1 1 302.378 1.749 20 0 DDADMM Cc1[nH]c(CN2CC[C@@H](Oc3cnccn3)C2)c(C)c1C(=O)[O-] ZINC001140498509 860646577 /nfs/dbraw/zinc/64/65/77/860646577.db2.gz IDOBOJZSRLJBHY-GFCCVEGCSA-N -1 1 316.361 1.773 20 0 DDADMM CS(=O)(=O)C1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001140893849 860726879 /nfs/dbraw/zinc/72/68/79/860726879.db2.gz IQHCKHZXIGSSLC-UHFFFAOYSA-N -1 1 305.346 1.679 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@H](Oc3ncccn3)C2)o1 ZINC001140948858 860744988 /nfs/dbraw/zinc/74/49/88/860744988.db2.gz FEFBUTCDYQRPQY-NSHDSACASA-N -1 1 303.318 1.811 20 0 DDADMM COc1cn(C)nc1[C@H](C)NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001156912463 863440096 /nfs/dbraw/zinc/44/00/96/863440096.db2.gz WOYVAXXYFVPVGI-JTQLQIEISA-N -1 1 317.345 1.746 20 0 DDADMM COC[C@H](NC(=O)c1cc(=O)c2cccc(O)c2[n-]1)C1CC1 ZINC001152681004 863490593 /nfs/dbraw/zinc/49/05/93/863490593.db2.gz AWGCEPVLYDYSDI-LBPRGKRZSA-N -1 1 302.330 1.801 20 0 DDADMM NC(=O)CO[N-]C(=O)Cc1coc2c1ccc(Cl)c2Cl ZINC001152779884 863534392 /nfs/dbraw/zinc/53/43/92/863534392.db2.gz ZMQPSKQTUFKUSG-UHFFFAOYSA-N -1 1 317.128 1.815 20 0 DDADMM CCN(Cc1conc1C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152975716 863629833 /nfs/dbraw/zinc/62/98/33/863629833.db2.gz YSATZZSLIDMLKN-LLVKDONJSA-N -1 1 318.377 1.724 20 0 DDADMM Cc1nc2c(cccc2C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)[nH]1 ZINC001153604913 863973970 /nfs/dbraw/zinc/97/39/70/863973970.db2.gz WZJKHZVAJOWOMW-UHFFFAOYSA-N -1 1 314.261 1.723 20 0 DDADMM N=C(NC(=O)c1cnc2cccnc2c1[O-])c1ccc(F)cn1 ZINC001153862081 864170480 /nfs/dbraw/zinc/17/04/80/864170480.db2.gz OODSAUJDOBQEKS-UHFFFAOYSA-N -1 1 311.276 1.213 20 0 DDADMM O=C(NCc1ccnn1CCF)c1c[n-]c2cccnc2c1=O ZINC001153863813 864177854 /nfs/dbraw/zinc/17/78/54/864177854.db2.gz VEFYKSHBSKUROW-UHFFFAOYSA-N -1 1 315.308 1.019 20 0 DDADMM O=C(COc1ccc2ccccc2c1)NC1(c2nn[n-]n2)CCC1 ZINC001153871296 864185182 /nfs/dbraw/zinc/18/51/82/864185182.db2.gz DKFNKEMDONMVEA-UHFFFAOYSA-N -1 1 323.356 1.927 20 0 DDADMM Cn1c2ccncc2nc1NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001330352682 864265520 /nfs/dbraw/zinc/26/55/20/864265520.db2.gz CBKIYFKHSPQDOR-UHFFFAOYSA-N -1 1 312.333 1.149 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001361496767 881766936 /nfs/dbraw/zinc/76/69/36/881766936.db2.gz GVWZGFLBRJSCTN-DTWKUNHWSA-N -1 1 305.334 1.199 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2nc(C)oc2C)[n-]1 ZINC001361516907 881813981 /nfs/dbraw/zinc/81/39/81/881813981.db2.gz OWDPDBUGOQRTSS-UHFFFAOYSA-N -1 1 305.290 1.861 20 0 DDADMM O=C(Nc1cccc2[nH]nnc21)c1cccc(-c2nc(=O)o[n-]2)c1 ZINC001158816592 864919100 /nfs/dbraw/zinc/91/91/00/864919100.db2.gz GDCCWLKFWVGBBV-UHFFFAOYSA-N -1 1 322.284 1.554 20 0 DDADMM CCCc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc(C)n1 ZINC001159189267 865156151 /nfs/dbraw/zinc/15/61/51/865156151.db2.gz JLUUXHVPJBXJDD-UHFFFAOYSA-N -1 1 301.310 1.510 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2n[nH]c(Cl)c3ncnc2-3)[n-]1 ZINC001159198562 865161434 /nfs/dbraw/zinc/16/14/34/865161434.db2.gz QUDLIMRWGDNUCJ-UHFFFAOYSA-N -1 1 303.673 1.122 20 0 DDADMM C[C@@]1(c2nc(-c3ccccc3/C=C\C(=O)[O-])no2)CNCCO1 ZINC001332279521 865722491 /nfs/dbraw/zinc/72/24/91/865722491.db2.gz WEERLAUQDCBGOU-WLMCBFPDSA-N -1 1 315.329 1.669 20 0 DDADMM CCOC(=O)C(C)(C)C(=O)OCC(=O)c1ccc([O-])cc1O ZINC001319679469 866209788 /nfs/dbraw/zinc/20/97/88/866209788.db2.gz ALFWWDHGZIGWGY-UHFFFAOYSA-N -1 1 310.302 1.413 20 0 DDADMM Cc1ncsc1CNCC=CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001321031041 867239407 /nfs/dbraw/zinc/23/94/07/867239407.db2.gz MMPQYWRJNZCYHJ-ARJAWSKDSA-N -1 1 321.406 1.264 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCS1 ZINC001324413912 867265992 /nfs/dbraw/zinc/26/59/92/867265992.db2.gz SZHNNYZJQMOPEG-JTQLQIEISA-N -1 1 308.432 1.986 20 0 DDADMM CCCN1CCCC[C@@H]1C(=O)[N-]S(=O)(=O)CCCCOC ZINC001321366392 867533348 /nfs/dbraw/zinc/53/33/48/867533348.db2.gz KRTQPSXYCZFEBU-CYBMUJFWSA-N -1 1 320.455 1.124 20 0 DDADMM COc1cccc(CCNC(=O)c2cnc(SC)[n-]c2=O)c1 ZINC001361655630 882098897 /nfs/dbraw/zinc/09/88/97/882098897.db2.gz QXAQOWYRBODHOZ-UHFFFAOYSA-N -1 1 319.386 1.885 20 0 DDADMM CC(C)CO[N-]C(=O)C(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC001321902124 867864415 /nfs/dbraw/zinc/86/44/15/867864415.db2.gz KLGGGMCDIYZOJY-OAHLLOKOSA-N -1 1 319.405 1.081 20 0 DDADMM CC(C)CO[N-]C(=O)C(=O)N[C@@H]1CCN(Cc2ccccc2)C1 ZINC001321902124 867864425 /nfs/dbraw/zinc/86/44/25/867864425.db2.gz KLGGGMCDIYZOJY-OAHLLOKOSA-N -1 1 319.405 1.081 20 0 DDADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)c1ccccc1 ZINC001163197011 868205610 /nfs/dbraw/zinc/20/56/10/868205610.db2.gz ZKPSZPOILRYUIN-UHFFFAOYSA-N -1 1 315.377 1.200 20 0 DDADMM COC(=O)c1nc2ccc(N[C@@H](C)C(=O)OC(C)C)[n-]c-2n1 ZINC001163407284 868423854 /nfs/dbraw/zinc/42/38/54/868423854.db2.gz YLMDXVKJEZGZBF-QMMMGPOBSA-N -1 1 306.322 1.497 20 0 DDADMM COC(=O)c1nc2ccc(N[C@@H](C)C(=O)OC(C)C)nc2[n-]1 ZINC001163407284 868423872 /nfs/dbraw/zinc/42/38/72/868423872.db2.gz YLMDXVKJEZGZBF-QMMMGPOBSA-N -1 1 306.322 1.497 20 0 DDADMM CC/C=C(\C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163676589 868621889 /nfs/dbraw/zinc/62/18/89/868621889.db2.gz CYHUGPYANRUHKD-NYYWCZLTSA-N -1 1 307.398 1.243 20 0 DDADMM O=C([O-])COCCN1CC[C@@H](c2nccc(C(F)(F)F)n2)C1 ZINC001336105094 868733818 /nfs/dbraw/zinc/73/38/18/868733818.db2.gz VYMNCVDKSVAEHJ-SECBINFHSA-N -1 1 319.283 1.386 20 0 DDADMM O=C([O-])Cn1cc(CNCCCOc2cccc(F)c2)nn1 ZINC001336151839 868761050 /nfs/dbraw/zinc/76/10/50/868761050.db2.gz DHXWBRPMZJGABV-UHFFFAOYSA-N -1 1 308.313 1.061 20 0 DDADMM C[C@@H](C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)C1CCC1 ZINC001165696869 869685826 /nfs/dbraw/zinc/68/58/26/869685826.db2.gz MABGLPDDZCCYNM-LLVKDONJSA-N -1 1 321.425 1.323 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N(Cc1cccs1)C1CC1 ZINC001338124694 869817143 /nfs/dbraw/zinc/81/71/43/869817143.db2.gz ZQDGUVZPUJMPDR-UHFFFAOYSA-N -1 1 316.394 1.150 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N(Cc1cccs1)C1CC1 ZINC001338124694 869817151 /nfs/dbraw/zinc/81/71/51/869817151.db2.gz ZQDGUVZPUJMPDR-UHFFFAOYSA-N -1 1 316.394 1.150 20 0 DDADMM Cn1c(-c2cc(Cl)ncc2[O-])nnc1N1CCCOCC1 ZINC001338337234 869928675 /nfs/dbraw/zinc/92/86/75/869928675.db2.gz AWSQPFQUPCQQEI-UHFFFAOYSA-N -1 1 309.757 1.463 20 0 DDADMM Cn1c(-c2cc(Cl)ncc2[O-])nnc1N1C[C@H]2CC[C@@H](C1)O2 ZINC001338974326 870273522 /nfs/dbraw/zinc/27/35/22/870273522.db2.gz JZDIDILVSZIJDK-DTORHVGOSA-N -1 1 321.768 1.604 20 0 DDADMM CC(=O)Nc1c(C)ccc(NC(=O)CCCc2nn[n-]n2)c1C ZINC001361797949 882393481 /nfs/dbraw/zinc/39/34/81/882393481.db2.gz MNQGMUQCWHHAIO-UHFFFAOYSA-N -1 1 316.365 1.736 20 0 DDADMM O=C(COc1ccc(CO)cc1)Nc1n[nH]c2cc(O)ccc21 ZINC001298306753 870457770 /nfs/dbraw/zinc/45/77/70/870457770.db2.gz QAURTVWAWMHSPQ-UHFFFAOYSA-N -1 1 313.313 1.778 20 0 DDADMM Cc1ccc2[nH]c(C(=O)NCc3nc([O-])cc(=O)[nH]3)cc2c1C ZINC001301573320 871004550 /nfs/dbraw/zinc/00/45/50/871004550.db2.gz BWOBGPVSGGSPCF-UHFFFAOYSA-N -1 1 312.329 1.916 20 0 DDADMM CCOC(OCC)[C@@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001204293352 871044900 /nfs/dbraw/zinc/04/49/00/871044900.db2.gz DWXCKPKUELDVTE-SNVBAGLBSA-N -1 1 321.381 1.251 20 0 DDADMM COC(=O)CCCONC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001304131885 871193184 /nfs/dbraw/zinc/19/31/84/871193184.db2.gz MCJKBLPETPYEPX-UHFFFAOYSA-N -1 1 319.313 1.866 20 0 DDADMM C[C@H]1CCCN(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)C1 ZINC001340678020 871276429 /nfs/dbraw/zinc/27/64/29/871276429.db2.gz SKYFIPPDJGFBMG-LBPRGKRZSA-N -1 1 324.392 1.743 20 0 DDADMM C[C@H]1CCCN(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)C1 ZINC001340678020 871276441 /nfs/dbraw/zinc/27/64/41/871276441.db2.gz SKYFIPPDJGFBMG-LBPRGKRZSA-N -1 1 324.392 1.743 20 0 DDADMM O=C(Nc1ccnc(-n2cccn2)c1)c1cnc(C2CC2)[n-]c1=O ZINC001305305536 871280093 /nfs/dbraw/zinc/28/00/93/871280093.db2.gz TVRPJIUEFVLIMB-UHFFFAOYSA-N -1 1 322.328 1.893 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1cnn2ccccc12 ZINC001309486481 871575862 /nfs/dbraw/zinc/57/58/62/871575862.db2.gz WORKNXSFGFEXEL-UHFFFAOYSA-N -1 1 324.387 1.278 20 0 DDADMM CC(C)N(C)C(=O)[C@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001361875158 882552415 /nfs/dbraw/zinc/55/24/15/882552415.db2.gz BZHDPVDVFJCFAL-GFCCVEGCSA-N -1 1 309.391 1.169 20 0 DDADMM CC(C)(NC(=O)CCn1cc[n-]c(=O)c1=O)C1CCCCC1 ZINC001318499498 872115154 /nfs/dbraw/zinc/11/51/54/872115154.db2.gz MLFLMBRHNBNROH-UHFFFAOYSA-N -1 1 307.394 1.402 20 0 DDADMM O=C(c1ccco1)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318762488 872257382 /nfs/dbraw/zinc/25/73/82/872257382.db2.gz UUAHVYJNQPYOMP-LLVKDONJSA-N -1 1 319.365 1.135 20 0 DDADMM COCc1nocc1C(=O)Nc1[n-]c(C(=O)OC)cc1C(C)=O ZINC001361895876 882593967 /nfs/dbraw/zinc/59/39/67/882593967.db2.gz RZSFYFRLMWHRDY-UHFFFAOYSA-N -1 1 321.289 1.391 20 0 DDADMM CCCC[C@@H](C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207980080 873711820 /nfs/dbraw/zinc/71/18/20/873711820.db2.gz UGLINKYOGJZEEM-NEPJUHHUSA-N -1 1 309.414 1.417 20 0 DDADMM COCCOc1ccccc1CNC(=O)c1cnncc1[O-] ZINC001346751110 873880349 /nfs/dbraw/zinc/88/03/49/873880349.db2.gz ZIEYASOSOLWOBJ-UHFFFAOYSA-N -1 1 303.318 1.137 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H](C)c1ccccn1 ZINC001347109289 874017004 /nfs/dbraw/zinc/01/70/04/874017004.db2.gz IYWJVTXEJOQFSU-QMMMGPOBSA-N -1 1 304.375 1.708 20 0 DDADMM CC(=CC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1)c1ccco1 ZINC001348164587 874392207 /nfs/dbraw/zinc/39/22/07/874392207.db2.gz GDMBNHOPEOCKGW-OBIHZWKSSA-N -1 1 301.350 1.677 20 0 DDADMM CC[C@@H](CC(=O)OC)Oc1[n-]c(=O)ncc1Br ZINC001227139036 882870494 /nfs/dbraw/zinc/87/04/94/882870494.db2.gz XZOJCPQFDPZQED-LURJTMIESA-N -1 1 305.128 1.665 20 0 DDADMM CCOCCCCCC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001349214483 875039754 /nfs/dbraw/zinc/03/97/54/875039754.db2.gz UWGWEBPUOAPGNU-ZDUSSCGKSA-N -1 1 309.414 1.578 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@H]([C@H]2CCOC2)C1 ZINC001349354106 875127603 /nfs/dbraw/zinc/12/76/03/875127603.db2.gz AYWGTKWGYVULQN-UWVGGRQHSA-N -1 1 309.391 1.278 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NC1CCN(Cc2cccnc2)CC1 ZINC001349386848 875146561 /nfs/dbraw/zinc/14/65/61/875146561.db2.gz XVATXSDDVCSIEK-HNNXBMFYSA-N -1 1 319.405 1.519 20 0 DDADMM CCCC1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001362042460 882901526 /nfs/dbraw/zinc/90/15/26/882901526.db2.gz JBCLIPLJNAHPEG-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2ccnc(OC3CC3)c2)cc1N ZINC001210749922 875510138 /nfs/dbraw/zinc/51/01/38/875510138.db2.gz RKYIUKWFWWEZPK-UHFFFAOYSA-N -1 1 320.374 1.588 20 0 DDADMM CCn1cc(CC(=O)N2CC[C@@H](c3nn[n-]n3)C2)c2ccccc21 ZINC001350234890 875590067 /nfs/dbraw/zinc/59/00/67/875590067.db2.gz PLYZQDCFVRZLIW-GFCCVEGCSA-N -1 1 324.388 1.733 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CCCC12CC2 ZINC001378777097 875613534 /nfs/dbraw/zinc/61/35/34/875613534.db2.gz LBKVYEBUWWWOJN-GHMZBOCLSA-N -1 1 307.398 1.027 20 0 DDADMM COC(=O)c1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1C ZINC001211169171 875691648 /nfs/dbraw/zinc/69/16/48/875691648.db2.gz OWZCJWFEXNGCMA-UHFFFAOYSA-N -1 1 306.347 1.892 20 0 DDADMM Cc1cccc(C)c1C(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001378827376 875718637 /nfs/dbraw/zinc/71/86/37/875718637.db2.gz MJKPYBSDXQNYNY-LBPRGKRZSA-N -1 1 317.393 1.377 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cccc(Cl)c1 ZINC001378838926 875742916 /nfs/dbraw/zinc/74/29/16/875742916.db2.gz BSVFBACINMRRLF-VIFPVBQESA-N -1 1 323.784 1.414 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ccnc(OC)c3F)no2)[n-]n1 ZINC001213464183 875946067 /nfs/dbraw/zinc/94/60/67/875946067.db2.gz YYPBEVVVTNPHHM-UHFFFAOYSA-N -1 1 319.252 1.456 20 0 DDADMM CC(C)(C)NC(=O)c1ccccc1NC(=O)c1cnncc1[O-] ZINC001362083779 882992209 /nfs/dbraw/zinc/99/22/09/882992209.db2.gz JHEXHQFHYPOCRC-UHFFFAOYSA-N -1 1 314.345 1.963 20 0 DDADMM O=C(NCc1cc(F)cc2c1OCOC2)c1ccncc1[O-] ZINC000177325417 876085494 /nfs/dbraw/zinc/08/54/94/876085494.db2.gz PURNRWYPBDUCLT-UHFFFAOYSA-N -1 1 304.277 1.723 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001351406110 876232823 /nfs/dbraw/zinc/23/28/23/876232823.db2.gz GNOMFEAGBJPEMY-STQMWFEESA-N -1 1 319.405 1.658 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2CCCc3c2cnn3C)c(=O)[n-]1 ZINC001362095422 883024156 /nfs/dbraw/zinc/02/41/56/883024156.db2.gz SBKJROJLDTVUOX-JTQLQIEISA-N -1 1 319.390 1.445 20 0 DDADMM CCC1(O)CCC(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1 ZINC001351719470 876400113 /nfs/dbraw/zinc/40/01/13/876400113.db2.gz CJIOBBLHIJVWLS-UHFFFAOYSA-N -1 1 311.407 1.593 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)C1(C)CC1 ZINC001352126960 876609563 /nfs/dbraw/zinc/60/95/63/876609563.db2.gz UZAMUCZJYBQQJO-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM COc1ccc(C(N)=O)cc1[N-]S(=O)(=O)c1ccc(N)cc1 ZINC001215336576 876659534 /nfs/dbraw/zinc/65/95/34/876659534.db2.gz FHZRSUXWMGZNDO-UHFFFAOYSA-N -1 1 321.358 1.177 20 0 DDADMM COc1cnc2ccccc2c1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001352584579 876817126 /nfs/dbraw/zinc/81/71/26/876817126.db2.gz KMYMZWZYQZMJRH-JTQLQIEISA-N -1 1 324.344 1.386 20 0 DDADMM O=C(CCC(F)F)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001227551087 883074557 /nfs/dbraw/zinc/07/45/57/883074557.db2.gz SCTQOHNWBLKQDZ-RKDXNWHRSA-N -1 1 317.340 1.073 20 0 DDADMM COc1ncc(Nc2cncs2)cc1[N-]S(C)(=O)=O ZINC001216152372 876878491 /nfs/dbraw/zinc/87/84/91/876878491.db2.gz PNFHGFXBUIGJTA-UHFFFAOYSA-N -1 1 300.365 1.662 20 0 DDADMM O=C([N-]CC1CN(C(=O)NC/C=C\C2CC2)C1)C(F)(F)F ZINC001352717098 876880253 /nfs/dbraw/zinc/88/02/53/876880253.db2.gz BSGKGUKKHWEXMS-UPHRSURJSA-N -1 1 305.300 1.273 20 0 DDADMM COc1ncc(-n2nc(C3CC3)cc2N)cc1[N-]S(C)(=O)=O ZINC001216152299 876880891 /nfs/dbraw/zinc/88/08/91/876880891.db2.gz SIDBZRHBMYNOIU-UHFFFAOYSA-N -1 1 323.378 1.107 20 0 DDADMM COc1ncc(Nc2c(N)cccc2O)cc1[N-]S(C)(=O)=O ZINC001216158152 876881074 /nfs/dbraw/zinc/88/10/74/876881074.db2.gz NLZHTLXZEBGCQF-UHFFFAOYSA-N -1 1 324.362 1.493 20 0 DDADMM COCOc1ccc(Nc2cc(=O)[n-]c(N(C)C)n2)c(OC)c1 ZINC001216284778 876911798 /nfs/dbraw/zinc/91/17/98/876911798.db2.gz CDGVULAAPWEGQN-UHFFFAOYSA-N -1 1 320.349 1.983 20 0 DDADMM CC(C)=CCNC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001354470829 877999605 /nfs/dbraw/zinc/99/96/05/877999605.db2.gz GKAVAVASYSUDTE-UHFFFAOYSA-N -1 1 312.316 1.412 20 0 DDADMM CCN(Cc1ccccc1F)c1nnc(Cc2nnn[n-]2)n1C ZINC001355464488 878569095 /nfs/dbraw/zinc/56/90/95/878569095.db2.gz VOAGPROLACOVII-UHFFFAOYSA-N -1 1 316.344 1.085 20 0 DDADMM CCN(Cc1ccccc1F)c1nnc(Cc2nn[n-]n2)n1C ZINC001355464488 878569107 /nfs/dbraw/zinc/56/91/07/878569107.db2.gz VOAGPROLACOVII-UHFFFAOYSA-N -1 1 316.344 1.085 20 0 DDADMM Cc1ccc(CN(C)c2nnc(-c3c[n-][nH]c3=O)n2C)s1 ZINC001355569650 878623607 /nfs/dbraw/zinc/62/36/07/878623607.db2.gz CBFPTTGVIZQYLH-UHFFFAOYSA-N -1 1 304.379 1.917 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CCC(F)F ZINC001380066975 878703616 /nfs/dbraw/zinc/70/36/16/878703616.db2.gz HWUICNIQZUCBCI-QMMMGPOBSA-N -1 1 319.356 1.176 20 0 DDADMM CCn1c(-c2nc(Cl)n[n-]2)nnc1N(C)Cc1cscn1 ZINC001356290821 878966846 /nfs/dbraw/zinc/96/68/46/878966846.db2.gz NLVMYIGEGOWVGD-UHFFFAOYSA-N -1 1 324.801 1.829 20 0 DDADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)C1=CCCC1 ZINC001380323957 879335661 /nfs/dbraw/zinc/33/56/61/879335661.db2.gz ZEDXSANUJYOOTK-ZDUSSCGKSA-N -1 1 319.409 1.385 20 0 DDADMM C[C@@H](CNC(=O)c1cc(F)c[nH]1)N(C)C(=O)c1ncccc1[O-] ZINC001380834442 880489957 /nfs/dbraw/zinc/48/99/57/880489957.db2.gz ZHALSLKGELVCGT-VIFPVBQESA-N -1 1 320.324 1.145 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CC2(CCN2Cc2cn[nH]c2)C1 ZINC001276838217 880686055 /nfs/dbraw/zinc/68/60/55/880686055.db2.gz XDWQPEZKYRHPSI-UHFFFAOYSA-N -1 1 319.796 1.624 20 0 DDADMM Oc1cccc(CN2CC3(CC[N@@H+]3CCn3ccnc3)C2)c1F ZINC001276846473 880728644 /nfs/dbraw/zinc/72/86/44/880728644.db2.gz VBJZIOUJFSNHNK-UHFFFAOYSA-N -1 1 316.380 1.688 20 0 DDADMM CCc1cnc(CN[C@@H](C)CCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001381185885 881223432 /nfs/dbraw/zinc/22/34/32/881223432.db2.gz ANYYDEXJIPSQCC-VIFPVBQESA-N -1 1 321.381 1.272 20 0 DDADMM CNC(=O)COc1ccc(NC(=O)c2cccc([O-])c2F)cc1 ZINC001362137293 883131837 /nfs/dbraw/zinc/13/18/37/883131837.db2.gz JNSHLSZPUIZHCW-UHFFFAOYSA-N -1 1 318.304 1.908 20 0 DDADMM CCS(=O)(=O)c1cccc(NC(=O)c2ccc([O-])cn2)c1 ZINC001362146040 883151044 /nfs/dbraw/zinc/15/10/44/883151044.db2.gz YHJYMHQHVBMBSE-UHFFFAOYSA-N -1 1 306.343 1.833 20 0 DDADMM CCN1C(=O)C[C@H](C(=O)Nc2nnn[n-]2)[C@@H]1c1cccc(C)c1 ZINC001362260462 883428403 /nfs/dbraw/zinc/42/84/03/883428403.db2.gz XXESXZVILGSLSG-AAEUAGOBSA-N -1 1 314.349 1.056 20 0 DDADMM CCN1C(=O)C[C@H](C(=O)Nc2nn[n-]n2)[C@@H]1c1cccc(C)c1 ZINC001362260462 883428406 /nfs/dbraw/zinc/42/84/06/883428406.db2.gz XXESXZVILGSLSG-AAEUAGOBSA-N -1 1 314.349 1.056 20 0 DDADMM CC[C@H](C)n1ncc(NC(=O)CCc2nn[n-]n2)c1C1CC1 ZINC001362305587 883524197 /nfs/dbraw/zinc/52/41/97/883524197.db2.gz WSWPKMPISGQILW-VIFPVBQESA-N -1 1 303.370 1.816 20 0 DDADMM CCCN(C(=O)CCCc1nn[n-]n1)[C@@H](CC)C(=O)OCC ZINC001362337828 883597961 /nfs/dbraw/zinc/59/79/61/883597961.db2.gz IUKYXRHZBJZHAZ-NSHDSACASA-N -1 1 311.386 1.103 20 0 DDADMM CSc1ncc(C(=O)Nc2cc(C(F)(F)F)[nH]n2)c(=O)[n-]1 ZINC001362341819 883605356 /nfs/dbraw/zinc/60/53/56/883605356.db2.gz XNELQKVUAWTJTH-UHFFFAOYSA-N -1 1 319.268 1.898 20 0 DDADMM C[C@@H](CC(N)=O)C(=O)Nc1ccc(Br)c([O-])c1 ZINC001362349711 883623194 /nfs/dbraw/zinc/62/31/94/883623194.db2.gz AEQYNLXGOZJUBD-LURJTMIESA-N -1 1 301.140 1.605 20 0 DDADMM COc1c(NC(=O)c2cccc([O-])c2F)cccc1C(N)=O ZINC001362351068 883626082 /nfs/dbraw/zinc/62/60/82/883626082.db2.gz GWFIWFFBMDFWLT-UHFFFAOYSA-N -1 1 304.277 1.891 20 0 DDADMM C[C@H](NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(=O)NCCF ZINC001362385292 883691019 /nfs/dbraw/zinc/69/10/19/883691019.db2.gz HPAMFWPNIDGNJT-ZETCQYMHSA-N -1 1 322.258 1.615 20 0 DDADMM C[C@@H](NC(=O)c1cnncc1[O-])c1ccc([S@@](C)=O)cc1 ZINC001362481448 883907028 /nfs/dbraw/zinc/90/70/28/883907028.db2.gz HKRFWHPPKMLRHP-AOUSDQRYSA-N -1 1 305.359 1.411 20 0 DDADMM CSc1ncc(C(=O)NC[C@@]2(O)CCCC2(C)C)c(=O)[n-]1 ZINC001362482455 883911635 /nfs/dbraw/zinc/91/16/35/883911635.db2.gz BXQHOLMBEVEQRA-AWEZNQCLSA-N -1 1 311.407 1.575 20 0 DDADMM CCOc1cccc(CC(=O)NC(CC)(CC)c2nn[n-]n2)n1 ZINC001362495430 883937736 /nfs/dbraw/zinc/93/77/36/883937736.db2.gz IPHKRNUDDZGPLM-UHFFFAOYSA-N -1 1 318.381 1.368 20 0 DDADMM CSc1ncc(C(=O)N[C@H](c2nncn2C)C(C)C)c(=O)[n-]1 ZINC001362523774 884001566 /nfs/dbraw/zinc/00/15/66/884001566.db2.gz ZIQUFDVOCFMPTP-VIFPVBQESA-N -1 1 322.394 1.160 20 0 DDADMM CC(C)n1ccnc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001362549931 884070467 /nfs/dbraw/zinc/07/04/67/884070467.db2.gz MOKPGPXZOLVWIT-SNVBAGLBSA-N -1 1 305.338 1.572 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCn2ccnc21 ZINC001362611941 884218967 /nfs/dbraw/zinc/21/89/67/884218967.db2.gz NODZWVDCKJTKHC-SNVBAGLBSA-N -1 1 315.377 1.859 20 0 DDADMM CC(C)c1nc([C@H](CO)NC(=O)c2cnncc2[O-])cs1 ZINC001362628333 884262036 /nfs/dbraw/zinc/26/20/36/884262036.db2.gz TXQGGQLJQFJCEP-VIFPVBQESA-N -1 1 308.363 1.226 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC1(C(F)F)CCCC1 ZINC001362672076 884375012 /nfs/dbraw/zinc/37/50/12/884375012.db2.gz IIAYHCAZLIOTGH-UHFFFAOYSA-N -1 1 313.304 1.211 20 0 DDADMM NC(=O)COc1ccc(C(=O)Nc2cc([O-])c(F)cc2F)cc1 ZINC001362676105 884387569 /nfs/dbraw/zinc/38/75/69/884387569.db2.gz DBSGVSPSDJRDHV-UHFFFAOYSA-N -1 1 322.267 1.787 20 0 DDADMM CC(C)CCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001382645555 884397507 /nfs/dbraw/zinc/39/75/07/884397507.db2.gz DYCZJHIXYINSAI-QWHCGFSZSA-N -1 1 319.405 1.943 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2c3c[nH]nc3CC[C@H]2C)n1 ZINC001362680926 884401476 /nfs/dbraw/zinc/40/14/76/884401476.db2.gz YLOBYWOXDUFPQQ-PRHODGIISA-N -1 1 317.349 1.614 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@]1(C)CCC(=O)N1 ZINC001362809469 884695262 /nfs/dbraw/zinc/69/52/62/884695262.db2.gz ASRDUGUOYJKKEP-HNNXBMFYSA-N -1 1 306.366 1.013 20 0 DDADMM Cc1c(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)oc2c1C(=O)CCC2 ZINC001362822180 884720708 /nfs/dbraw/zinc/72/07/08/884720708.db2.gz KXPPWHMJUWVWMX-SECBINFHSA-N -1 1 315.333 1.250 20 0 DDADMM CC[C@@H](Oc1cccc(C)c1)C(=O)N[C@H](COC)c1nn[n-]n1 ZINC001362906911 884950297 /nfs/dbraw/zinc/95/02/97/884950297.db2.gz GNYGEEGGZMUWGQ-CHWSQXEVSA-N -1 1 319.365 1.169 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCC(=O)[C@@H](C)C2)n[n-]1 ZINC001362935856 885025540 /nfs/dbraw/zinc/02/55/40/885025540.db2.gz NPOGHDPFUYOMQS-GUBZILKMSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCC(=O)[C@@H](C)C2)[n-]1 ZINC001362935856 885025547 /nfs/dbraw/zinc/02/55/47/885025547.db2.gz NPOGHDPFUYOMQS-GUBZILKMSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCC(=O)[C@@H](C)C2)n1 ZINC001362935856 885025557 /nfs/dbraw/zinc/02/55/57/885025557.db2.gz NPOGHDPFUYOMQS-GUBZILKMSA-N -1 1 322.365 1.164 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H](O)CNC(=O)c1ccc(F)c([O-])c1 ZINC001363075634 885403137 /nfs/dbraw/zinc/40/31/37/885403137.db2.gz ZOZAVGDPAKSPLJ-ZDUSSCGKSA-N -1 1 307.325 1.345 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2nc3c(s2)CCCC3=O)on1 ZINC001363081840 885419626 /nfs/dbraw/zinc/41/96/26/885419626.db2.gz BIODEVMSYLOBLQ-UHFFFAOYSA-N -1 1 321.314 1.689 20 0 DDADMM CN1Cc2ccccc2[C@@H](NC(=O)c2ccc([O-])c(F)c2)C1=O ZINC001363090265 885438996 /nfs/dbraw/zinc/43/89/96/885438996.db2.gz WKQUTANNWCJUNF-OAHLLOKOSA-N -1 1 314.316 1.974 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](C)C[C@H](CO)C1 ZINC001363094842 885449488 /nfs/dbraw/zinc/44/94/88/885449488.db2.gz ZMQWAQUQPOKRGH-PWSUYJOCSA-N -1 1 321.421 1.879 20 0 DDADMM CCOC(=O)C(CC)(CC)CNC(=O)CCCc1nn[n-]n1 ZINC001363096737 885454104 /nfs/dbraw/zinc/45/41/04/885454104.db2.gz COAWVQKYYMWJBY-UHFFFAOYSA-N -1 1 311.386 1.008 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccn(C(C)C)c2C)n[n-]1 ZINC001363119243 885508481 /nfs/dbraw/zinc/50/84/81/885508481.db2.gz QBDVJAZVDPEYKC-UHFFFAOYSA-N -1 1 319.365 1.602 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccn(C(C)C)c2C)n1 ZINC001363119243 885508490 /nfs/dbraw/zinc/50/84/90/885508490.db2.gz QBDVJAZVDPEYKC-UHFFFAOYSA-N -1 1 319.365 1.602 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2c(F)cccc2OC)n[n-]1 ZINC001363120545 885515602 /nfs/dbraw/zinc/51/56/02/885515602.db2.gz WXKHQRBJKGEJHT-UHFFFAOYSA-N -1 1 322.296 1.059 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2c(F)cccc2OC)n1 ZINC001363120545 885515605 /nfs/dbraw/zinc/51/56/05/885515605.db2.gz WXKHQRBJKGEJHT-UHFFFAOYSA-N -1 1 322.296 1.059 20 0 DDADMM C[C@H](NC(=O)CCC(=O)Nc1c[n-][nH]c1=O)c1ccccc1 ZINC001363121400 885517448 /nfs/dbraw/zinc/51/74/48/885517448.db2.gz UVEVRPMMRONFOX-JTQLQIEISA-N -1 1 302.334 1.711 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cccc(OC[C@@H]2CCCO2)c1 ZINC001363125553 885526943 /nfs/dbraw/zinc/52/69/43/885526943.db2.gz DMRNBHUKZQTDJL-LBPRGKRZSA-N -1 1 303.318 1.925 20 0 DDADMM CSc1ncc(C(=O)NCC[C@H](O)C2CCCC2)c(=O)[n-]1 ZINC001363184381 885661885 /nfs/dbraw/zinc/66/18/85/885661885.db2.gz ICXUWNOWOGNVKZ-NSHDSACASA-N -1 1 311.407 1.575 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC[C@@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC001363188009 885667741 /nfs/dbraw/zinc/66/77/41/885667741.db2.gz FGFDRLMVNLBDAA-SECBINFHSA-N -1 1 319.292 1.362 20 0 DDADMM Cc1cnc(SCC(=O)NCC(=O)c2cccs2)[n-]c1=O ZINC001363187572 885668723 /nfs/dbraw/zinc/66/87/23/885668723.db2.gz MRAAOAJMMNUITA-UHFFFAOYSA-N -1 1 323.399 1.643 20 0 DDADMM COC(=O)[C@H]1CN(Cc2cc(C(=O)[O-])ccc2F)CC12CC2 ZINC001231461735 885681996 /nfs/dbraw/zinc/68/19/96/885681996.db2.gz PRLSUDQTAOZZOT-GFCCVEGCSA-N -1 1 307.321 1.909 20 0 DDADMM COc1ccc(CN2CCC(NC(C)=O)CC2)cc1C(=O)[O-] ZINC001231749578 885853780 /nfs/dbraw/zinc/85/37/80/885853780.db2.gz LGCZQLHHLRDFPT-UHFFFAOYSA-N -1 1 306.362 1.494 20 0 DDADMM CC(=O)N[C@H](CCC(C)C)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363276712 885885703 /nfs/dbraw/zinc/88/57/03/885885703.db2.gz NYCFQLSNEUIMBN-CYBMUJFWSA-N -1 1 324.429 1.125 20 0 DDADMM CC(C)Oc1cccc(CC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001363276547 885886780 /nfs/dbraw/zinc/88/67/80/885886780.db2.gz FHUQHYUPOXURCM-UHFFFAOYSA-N -1 1 315.377 1.725 20 0 DDADMM CS(=O)(=O)[N-]c1ccccc1C(=O)N[C@@]12CC[N@@H+](C1)CCC2 ZINC001363287056 885920385 /nfs/dbraw/zinc/92/03/85/885920385.db2.gz YUBKOAKHOGYBHF-HNNXBMFYSA-N -1 1 323.418 1.026 20 0 DDADMM O=C(C[C@H]1CC[C@H](C(F)(F)F)O1)NCc1nc([O-])cc(=O)[nH]1 ZINC001363323038 886022290 /nfs/dbraw/zinc/02/22/90/886022290.db2.gz HLJUAMDNYRPUKC-RNFRBKRXSA-N -1 1 321.255 1.004 20 0 DDADMM Cc1cccc(CO[C@H](C)C(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC001363325134 886026546 /nfs/dbraw/zinc/02/65/46/886026546.db2.gz JWMIKNVDPMZTSU-LLVKDONJSA-N -1 1 317.345 1.418 20 0 DDADMM O=C(N[C@H](CO)[C@@H](O)C1CCCCC1)c1cc(F)ccc1[O-] ZINC001363366881 886145987 /nfs/dbraw/zinc/14/59/87/886145987.db2.gz VQUOQFKTXBHESA-HIFRSBDPSA-N -1 1 311.353 1.563 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC001363372927 886162016 /nfs/dbraw/zinc/16/20/16/886162016.db2.gz DANJOPOJYWKABH-GFCCVEGCSA-N -1 1 320.324 1.092 20 0 DDADMM CO[C@H](C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C)C1CC1 ZINC001363467234 886418215 /nfs/dbraw/zinc/41/82/15/886418215.db2.gz SDJOUIHVWACGRF-LSJOCFKGSA-N -1 1 322.327 1.469 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2cnccc2C)n[n-]1 ZINC001363476709 886442495 /nfs/dbraw/zinc/44/24/95/886442495.db2.gz TYGBREIIZMXNEQ-JTQLQIEISA-N -1 1 317.349 1.105 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2cnccc2C)n1 ZINC001363476709 886442505 /nfs/dbraw/zinc/44/25/05/886442505.db2.gz TYGBREIIZMXNEQ-JTQLQIEISA-N -1 1 317.349 1.105 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H]1CCOC(=O)N1 ZINC001363498074 886492011 /nfs/dbraw/zinc/49/20/11/886492011.db2.gz QNJCLGONXMVEBU-NSHDSACASA-N -1 1 302.290 1.015 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC[C@@H](C)N(CC)C(=O)c1ncccc1[O-] ZINC001383798196 886542115 /nfs/dbraw/zinc/54/21/15/886542115.db2.gz YDGQAXFFVLFNGM-JHJVBQTASA-N -1 1 319.405 1.800 20 0 DDADMM COc1cccc(O)c1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001363520027 886543145 /nfs/dbraw/zinc/54/31/45/886543145.db2.gz CJBNSRYYZNLGIB-QMMMGPOBSA-N -1 1 323.378 1.138 20 0 DDADMM O=C(C[C@@H]1C[C@H]1Cc1ccccc1)NC1(c2nn[n-]n2)CCC1 ZINC001363551591 886618234 /nfs/dbraw/zinc/61/82/34/886618234.db2.gz PAXUZXIJFGKAQF-KGLIPLIRSA-N -1 1 311.389 1.964 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)C1CCCC1 ZINC001363558031 886632176 /nfs/dbraw/zinc/63/21/76/886632176.db2.gz JYMGFCOLCOPSCX-LLVKDONJSA-N -1 1 306.366 1.835 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)C1CCCC1 ZINC001363558031 886632184 /nfs/dbraw/zinc/63/21/84/886632184.db2.gz JYMGFCOLCOPSCX-LLVKDONJSA-N -1 1 306.366 1.835 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)C1CCCC1 ZINC001363558031 886632194 /nfs/dbraw/zinc/63/21/94/886632194.db2.gz JYMGFCOLCOPSCX-LLVKDONJSA-N -1 1 306.366 1.835 20 0 DDADMM C[C@@H]1CN(C2CN(Cc3ccc(F)c([O-])c3F)C2)C[C@H](C)O1 ZINC001232939360 886684803 /nfs/dbraw/zinc/68/48/03/886684803.db2.gz NMAAHSFBUWGTHV-PHIMTYICSA-N -1 1 312.360 1.964 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc3c([nH]2)CCCC3)n[n-]1 ZINC001363708093 887035345 /nfs/dbraw/zinc/03/53/45/887035345.db2.gz MFRTYEHNHCGBGW-UHFFFAOYSA-N -1 1 317.349 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc3c([nH]2)CCCC3)n1 ZINC001363708093 887035357 /nfs/dbraw/zinc/03/53/57/887035357.db2.gz MFRTYEHNHCGBGW-UHFFFAOYSA-N -1 1 317.349 1.118 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H]3CCC[C@H]32)[n-]n1 ZINC001363768806 887174039 /nfs/dbraw/zinc/17/40/39/887174039.db2.gz YOFGIVZRNJMGAM-MWLCHTKSSA-N -1 1 313.379 1.150 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H]3CCC[C@H]32)n[n-]1 ZINC001363768806 887174044 /nfs/dbraw/zinc/17/40/44/887174044.db2.gz YOFGIVZRNJMGAM-MWLCHTKSSA-N -1 1 313.379 1.150 20 0 DDADMM CCC[C@@H](CC(=O)OCC)Oc1c(=O)[n-]cnc1C(=O)OC ZINC001233758266 887284150 /nfs/dbraw/zinc/28/41/50/887284150.db2.gz JIIYBDYKAGVFGB-VIFPVBQESA-N -1 1 312.322 1.470 20 0 DDADMM CCCc1[nH]ccc1C(=O)N[C@@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC001363915722 887532857 /nfs/dbraw/zinc/53/28/57/887532857.db2.gz JSHLYUIPTKXHHZ-VIFPVBQESA-N -1 1 319.365 1.753 20 0 DDADMM CCCc1[nH]ccc1C(=O)N[C@@H](C)c1nnc(C(=O)OCC)[n-]1 ZINC001363915722 887532867 /nfs/dbraw/zinc/53/28/67/887532867.db2.gz JSHLYUIPTKXHHZ-VIFPVBQESA-N -1 1 319.365 1.753 20 0 DDADMM CCCc1[nH]ccc1C(=O)N[C@@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC001363915722 887532880 /nfs/dbraw/zinc/53/28/80/887532880.db2.gz JSHLYUIPTKXHHZ-VIFPVBQESA-N -1 1 319.365 1.753 20 0 DDADMM COC(=O)[C@H](C[C@H]1CCCO1)NC(=O)c1ccc(F)c([O-])c1 ZINC001363963989 887625803 /nfs/dbraw/zinc/62/58/03/887625803.db2.gz DRTVAZDVDVYBKM-PWSUYJOCSA-N -1 1 311.309 1.372 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C1CCC(OC)CC1 ZINC001364003891 887704618 /nfs/dbraw/zinc/70/46/18/887704618.db2.gz TZBAQJUEIMYZQJ-UHFFFAOYSA-N -1 1 306.409 1.388 20 0 DDADMM Cc1c(NC(=O)CNC(=O)c2ncccc2[O-])n[nH]c1C(C)C ZINC001364104236 887928101 /nfs/dbraw/zinc/92/81/01/887928101.db2.gz MZAOXEAGWBUPTB-UHFFFAOYSA-N -1 1 317.349 1.311 20 0 DDADMM O=C(N[C@@H]1CS(=O)(=O)Cc2ccccc21)c1cncc([O-])c1 ZINC001364112819 887948944 /nfs/dbraw/zinc/94/89/44/887948944.db2.gz AAPWGXOIECJACU-CQSZACIVSA-N -1 1 318.354 1.187 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC3(CF)CCOCC3)ccnc1-2 ZINC001364116562 887958588 /nfs/dbraw/zinc/95/85/88/887958588.db2.gz RMTLDLKXUFIQHP-UHFFFAOYSA-N -1 1 321.356 1.230 20 0 DDADMM O=C(Cc1ccccc1O[C@H]1CCOC1)Nc1c[n-][nH]c1=O ZINC001364156847 888042332 /nfs/dbraw/zinc/04/23/32/888042332.db2.gz XZUGYSABJLDQDS-NSHDSACASA-N -1 1 303.318 1.464 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@H]1C=C(C)OC(=O)C1 ZINC001234560351 888093078 /nfs/dbraw/zinc/09/30/78/888093078.db2.gz LSMDMIKRDONWOT-VIFPVBQESA-N -1 1 322.317 1.690 20 0 DDADMM CC[C@](O)(CCC(F)(F)F)CNC(=O)CCCc1nn[n-]n1 ZINC001364362924 888461882 /nfs/dbraw/zinc/46/18/82/888461882.db2.gz NZGIIPNXSXTHMM-NSHDSACASA-N -1 1 323.319 1.122 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1C[C@@H]1C1CCCC1 ZINC001364459793 888686223 /nfs/dbraw/zinc/68/62/23/888686223.db2.gz OKDRPWDJSQWFPN-QWHCGFSZSA-N -1 1 317.389 1.460 20 0 DDADMM CC(C)(C)OC(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001364516689 888825690 /nfs/dbraw/zinc/82/56/90/888825690.db2.gz MZGKAFJQILBDAI-JTQLQIEISA-N -1 1 311.386 1.247 20 0 DDADMM CCSc1c[nH]c(=O)c(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c1 ZINC001364567511 888942085 /nfs/dbraw/zinc/94/20/85/888942085.db2.gz JRZKIUUFSGXRCB-QMMMGPOBSA-N -1 1 320.378 1.042 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001364720093 889268527 /nfs/dbraw/zinc/26/85/27/889268527.db2.gz YTPDHSDZJAPNPM-SNVBAGLBSA-N -1 1 319.373 1.927 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H]([C@H]3CCCO3)C2)c(=O)[n-]1 ZINC001364742451 889322284 /nfs/dbraw/zinc/32/22/84/889322284.db2.gz RDPDWSOJTFXREP-GXSJLCMTSA-N -1 1 309.391 1.545 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)c2cc(OC)ns2)CC1 ZINC001364798683 889438491 /nfs/dbraw/zinc/43/84/91/889438491.db2.gz XIJOKCWTMKTJIW-UHFFFAOYSA-N -1 1 306.409 1.247 20 0 DDADMM CC1(CCC(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001385627878 889456009 /nfs/dbraw/zinc/45/60/09/889456009.db2.gz RGCXDFLHXJTTOL-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC(C)(C)n1ccnc1 ZINC001364903067 889639347 /nfs/dbraw/zinc/63/93/47/889639347.db2.gz QNRJZULPVUBPHA-UHFFFAOYSA-N -1 1 316.408 1.062 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)c2nccnc2C)c1 ZINC001364914963 889658413 /nfs/dbraw/zinc/65/84/13/889658413.db2.gz JPJNGTWBFRUYKW-SNVBAGLBSA-N -1 1 323.374 1.539 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ocnc2C)cc1C ZINC001364992708 889836981 /nfs/dbraw/zinc/83/69/81/889836981.db2.gz WNBRAPRUYBGBSP-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM CS[C@@H](C)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001385865877 889888874 /nfs/dbraw/zinc/88/88/74/889888874.db2.gz PALXYOCVMLHYFG-GXSJLCMTSA-N -1 1 323.418 1.163 20 0 DDADMM C[NH+](C)C[C@H]1CN(Cc2cc(F)c(O)c(Cl)c2)CCO1 ZINC001237983275 889962629 /nfs/dbraw/zinc/96/26/29/889962629.db2.gz FSABXCSEJUEGDD-NSHDSACASA-N -1 1 302.777 1.947 20 0 DDADMM O=C1NCC2(CCN(Cc3cc(F)c([O-])c(Cl)c3)CC2)N1 ZINC001237993584 889968213 /nfs/dbraw/zinc/96/82/13/889968213.db2.gz UAFCTURRAMRXQV-UHFFFAOYSA-N -1 1 313.760 1.832 20 0 DDADMM CO[C@H]1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@@H]1C ZINC001365052364 889989317 /nfs/dbraw/zinc/98/93/17/889989317.db2.gz ZUWAUZSDDSCEMF-GWCFXTLKSA-N -1 1 303.366 1.231 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](c2nccn2C)C(F)(F)F)c([O-])c1 ZINC001365189044 890299243 /nfs/dbraw/zinc/29/92/43/890299243.db2.gz XHHDSXUCHWILCJ-JTQLQIEISA-N -1 1 314.267 1.863 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccccc2C(F)F)n[n-]1 ZINC001365191749 890306276 /nfs/dbraw/zinc/30/62/76/890306276.db2.gz BKFINPBMWUZIFD-UHFFFAOYSA-N -1 1 324.287 1.849 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccccc2C(F)F)n1 ZINC001365191749 890306294 /nfs/dbraw/zinc/30/62/94/890306294.db2.gz BKFINPBMWUZIFD-UHFFFAOYSA-N -1 1 324.287 1.849 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccccc1[S@](=O)C(F)(F)F ZINC001365211917 890361249 /nfs/dbraw/zinc/36/12/49/890361249.db2.gz QWVRIZFHOLNFDG-NRFANRHFSA-N -1 1 319.264 1.995 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1CCCCN1Cc1cccnc1 ZINC001365213950 890368713 /nfs/dbraw/zinc/36/87/13/890368713.db2.gz XCBXZLZSYQFGQD-ZDUSSCGKSA-N -1 1 301.350 1.504 20 0 DDADMM COc1nccc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)n1 ZINC001290783027 913514059 /nfs/dbraw/zinc/51/40/59/913514059.db2.gz TWLFOAFTYXIAMW-UHFFFAOYSA-N -1 1 311.301 1.833 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NCc2nnc(C(C)C)s2)n[n-]1 ZINC001365604581 891141924 /nfs/dbraw/zinc/14/19/24/891141924.db2.gz AYXOHGFEMIQZPM-QMMMGPOBSA-N -1 1 324.410 1.807 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2nnc(C(C)C)s2)n1 ZINC001365604581 891141928 /nfs/dbraw/zinc/14/19/28/891141928.db2.gz AYXOHGFEMIQZPM-QMMMGPOBSA-N -1 1 324.410 1.807 20 0 DDADMM Cc1cc2c(c(-c3ccccc3[S@](C)=O)n1)C(=O)[N-]C2=O ZINC001241339213 891148035 /nfs/dbraw/zinc/14/80/35/891148035.db2.gz YSMWOHLUFCKHLN-NRFANRHFSA-N -1 1 300.339 1.678 20 0 DDADMM Cc1cc2c(c(-c3ccc(-c4nn[nH]n4)cc3)n1)C(=O)[N-]C2=O ZINC001241443366 891172240 /nfs/dbraw/zinc/17/22/40/891172240.db2.gz BCLRSBIRQPIEEL-UHFFFAOYSA-N -1 1 306.285 1.121 20 0 DDADMM CN1CCN(c2nccc(-c3cccc(C(=O)[O-])c3F)n2)CC1 ZINC001242378685 891405042 /nfs/dbraw/zinc/40/50/42/891405042.db2.gz GXELFQNJAPBRGA-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM O=C(CCCc1nn[n-]n1)Oc1ccc(NC(=O)C2CC2)cc1 ZINC001291575342 913555120 /nfs/dbraw/zinc/55/51/20/913555120.db2.gz ZWVRDGKNCXZRLM-UHFFFAOYSA-N -1 1 315.333 1.477 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC[C@@H]2CC[C@H]3C[C@H]32)sn1 ZINC001365738455 891427412 /nfs/dbraw/zinc/42/74/12/891427412.db2.gz SMIZLSKWKGNGCO-GUBZILKMSA-N -1 1 302.421 1.866 20 0 DDADMM COc1ncc(-c2ccc(C3CC3)nn2)cc1[N-]S(C)(=O)=O ZINC001244791094 891925548 /nfs/dbraw/zinc/92/55/48/891925548.db2.gz TUUBSGNQPNARSV-UHFFFAOYSA-N -1 1 320.374 1.796 20 0 DDADMM COc1ncc(-c2cccc3nccn32)cc1[N-]S(C)(=O)=O ZINC001244794639 891930260 /nfs/dbraw/zinc/93/02/60/891930260.db2.gz QWRGLGQFYULGHK-UHFFFAOYSA-N -1 1 318.358 1.776 20 0 DDADMM COc1ncc(-c2cnc(C)nc2C)cc1[N-]S(C)(=O)=O ZINC001244795176 891932381 /nfs/dbraw/zinc/93/23/81/891932381.db2.gz YDYBQYGRKKLJTB-UHFFFAOYSA-N -1 1 308.363 1.536 20 0 DDADMM COc1cc(C)ncc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244794541 891932789 /nfs/dbraw/zinc/93/27/89/891932789.db2.gz RJHXZCNYRGUEGO-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@@H](NC/C(Cl)=C\Cl)C2)c1[O-] ZINC001366151430 892595036 /nfs/dbraw/zinc/59/50/36/892595036.db2.gz YIYNXXWBMXIIGW-VXJQEGAJSA-N -1 1 319.192 1.593 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001387440048 893104362 /nfs/dbraw/zinc/10/43/62/893104362.db2.gz HZKQZJDPNHUVDD-NMKXLXIOSA-N -1 1 317.389 1.458 20 0 DDADMM Cc1cc(Br)cc(-c2noc(Cc3nnn[n-]3)n2)c1 ZINC001247139610 893120726 /nfs/dbraw/zinc/12/07/26/893120726.db2.gz JVDLUNXYNKARKC-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM Cc1cc(Br)cc(-c2noc(Cc3nn[n-]n3)n2)c1 ZINC001247139610 893120740 /nfs/dbraw/zinc/12/07/40/893120740.db2.gz JVDLUNXYNKARKC-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM CS[C@H](C)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001387652515 893548257 /nfs/dbraw/zinc/54/82/57/893548257.db2.gz FJYPRUPUAVUUJH-MNOVXSKESA-N -1 1 323.418 1.260 20 0 DDADMM COC(=O)c1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1N ZINC001250334587 894239587 /nfs/dbraw/zinc/23/95/87/894239587.db2.gz NUFCTJJVLWXQCH-UHFFFAOYSA-N -1 1 307.335 1.166 20 0 DDADMM CC(=O)Nc1nc(C)c(S(=O)(=O)[N-][C@@H]2CC2(F)F)s1 ZINC001250820285 894440367 /nfs/dbraw/zinc/44/03/67/894440367.db2.gz CSSMBHGCNHAPKY-ZCFIWIBFSA-N -1 1 311.335 1.096 20 0 DDADMM CC[C@@H](C)CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1CC ZINC001388771185 895868725 /nfs/dbraw/zinc/86/87/25/895868725.db2.gz VFZFURQXEHCOBT-FRRDWIJNSA-N -1 1 323.441 1.014 20 0 DDADMM C[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)C1CCC1 ZINC001389286176 896833502 /nfs/dbraw/zinc/83/35/02/896833502.db2.gz VNAMUOGJSUBANM-VIFPVBQESA-N -1 1 318.377 1.094 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@H](Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)C1 ZINC001255345715 896855522 /nfs/dbraw/zinc/85/55/22/896855522.db2.gz ZKFAUXCPKOVZON-YUMQZZPRSA-N -1 1 321.337 1.250 20 0 DDADMM O=C1Cc2c(cccc2[N-]S(=O)(=O)c2cccc(F)c2)CN1 ZINC001255662092 897075271 /nfs/dbraw/zinc/07/52/71/897075271.db2.gz WKYVIQMZKRAHGE-UHFFFAOYSA-N -1 1 320.345 1.799 20 0 DDADMM Cc1cnc(=O)[nH]c1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258949656 898376940 /nfs/dbraw/zinc/37/69/40/898376940.db2.gz KKTJLMKXAOISAL-UHFFFAOYSA-N -1 1 301.274 1.570 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]c2cc(Cl)ncc2Cl)c1 ZINC001258993102 898396122 /nfs/dbraw/zinc/39/61/22/898396122.db2.gz LXEZJLHFYXOMMS-UHFFFAOYSA-N -1 1 307.162 1.923 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(Oc2ccncc2)cc1 ZINC001259017172 898415229 /nfs/dbraw/zinc/41/52/29/898415229.db2.gz NBIQFAXMNREUFJ-UHFFFAOYSA-N -1 1 322.342 1.789 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(N)=O ZINC001259083841 898458548 /nfs/dbraw/zinc/45/85/48/898458548.db2.gz YDZHEFOBXYSIRQ-QMMMGPOBSA-N -1 1 324.324 1.426 20 0 DDADMM Cc1ccc2c(c1)[C@H]([N-]S(=O)(=O)c1ccccn1)C(=O)N2 ZINC001259290298 898587625 /nfs/dbraw/zinc/58/76/25/898587625.db2.gz VJNAXFJGGDWHRY-ZDUSSCGKSA-N -1 1 303.343 1.362 20 0 DDADMM CC(=O)c1nn(C)cc1[N-]S(=O)(=O)CCc1ccccc1 ZINC001259733448 898769399 /nfs/dbraw/zinc/76/93/99/898769399.db2.gz XHIVYEPTHFYBEW-UHFFFAOYSA-N -1 1 307.375 1.607 20 0 DDADMM C[C@H]1CN(C(=O)OC(C)(C)C)C[C@H]1[N-]S(=O)(=O)C(F)F ZINC001259960796 898983146 /nfs/dbraw/zinc/98/31/46/898983146.db2.gz MGWMNUSQGMTGTL-JGVFFNPUSA-N -1 1 314.354 1.384 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260204865 899088867 /nfs/dbraw/zinc/08/88/67/899088867.db2.gz NWUBEFMUVAQXSB-UHFFFAOYSA-N -1 1 313.709 1.460 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260287655 899112215 /nfs/dbraw/zinc/11/22/15/899112215.db2.gz KRSLPEORPWEHHT-UHFFFAOYSA-N -1 1 313.709 1.460 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc(-c2cnco2)cc1 ZINC001260591204 899178294 /nfs/dbraw/zinc/17/82/94/899178294.db2.gz YHDLYAHZSLTVSA-UHFFFAOYSA-N -1 1 316.360 1.085 20 0 DDADMM CC[C@@H]1CCCC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001261266384 899441031 /nfs/dbraw/zinc/44/10/31/899441031.db2.gz HALLOOIICCJFJT-OLZOCXBDSA-N -1 1 307.394 1.259 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@@H](NC(=O)C3CC3)C2)cc1 ZINC000586066884 900619717 /nfs/dbraw/zinc/61/97/17/900619717.db2.gz UDWBAGWLRIGEBP-OAHLLOKOSA-N -1 1 302.374 1.875 20 0 DDADMM CCCC[C@H](CC)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001370358632 903001654 /nfs/dbraw/zinc/00/16/54/903001654.db2.gz UPUKAUJWBPWGJL-RYUDHWBXSA-N -1 1 309.414 1.417 20 0 DDADMM CN(CCCNC(=O)c1cccn1C)C(=O)c1ncccc1[O-] ZINC001293955858 914800348 /nfs/dbraw/zinc/80/03/48/914800348.db2.gz KQAVGSOZDOQIQU-UHFFFAOYSA-N -1 1 316.361 1.018 20 0 DDADMM CC1(CCC(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CC1 ZINC001396981970 914873187 /nfs/dbraw/zinc/87/31/87/914873187.db2.gz ZZCAQVKBTKMMFD-VXGBXAGGSA-N -1 1 321.425 1.608 20 0 DDADMM CC/C=C(/C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001283338395 907169535 /nfs/dbraw/zinc/16/95/35/907169535.db2.gz AUMIKBSHGMWZSY-DKRCXCIFSA-N -1 1 303.362 1.474 20 0 DDADMM O=C(N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1)c1ccco1 ZINC001372381669 907173154 /nfs/dbraw/zinc/17/31/54/907173154.db2.gz PVTHYTLPKSPUOR-NSHDSACASA-N -1 1 315.329 1.319 20 0 DDADMM CC(C)[C@H](C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001393611902 907477881 /nfs/dbraw/zinc/47/78/81/907477881.db2.gz XBVAUBQLVTZCEP-NSHDSACASA-N -1 1 305.378 1.458 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)NCC2(NC(=O)c3ncccc3[O-])CC2)C1 ZINC001393646806 907609352 /nfs/dbraw/zinc/60/93/52/907609352.db2.gz XXFTZWXLFNFOMF-NEPJUHHUSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@H](CNC(=O)CC1CC(C)(C)C1)NC(=O)c1ncccc1[O-] ZINC001373043486 908819176 /nfs/dbraw/zinc/81/91/76/908819176.db2.gz XWVWSVAEGCGQAN-LLVKDONJSA-N -1 1 319.405 1.848 20 0 DDADMM CC1(C)CC(C(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001373183219 909157179 /nfs/dbraw/zinc/15/71/79/909157179.db2.gz ZBWGCFACMXYZRC-NSHDSACASA-N -1 1 321.425 1.275 20 0 DDADMM CCC(CC)C(=O)NCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001373240014 909284133 /nfs/dbraw/zinc/28/41/33/909284133.db2.gz TZJMBVOGMDLJQD-LLVKDONJSA-N -1 1 307.394 1.848 20 0 DDADMM CCC(C)(C)C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001394709136 910361867 /nfs/dbraw/zinc/36/18/67/910361867.db2.gz LBYHSPIBHVLATA-VXGBXAGGSA-N -1 1 323.441 1.014 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001394833486 910692024 /nfs/dbraw/zinc/69/20/24/910692024.db2.gz RPPRDTGASRGVKC-QJPTWQEYSA-N -1 1 321.425 1.177 20 0 DDADMM Cc1c[nH]cc1C(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001285532299 910748245 /nfs/dbraw/zinc/74/82/45/910748245.db2.gz PYBBSXWWSCYPFD-IHWYPQMZSA-N -1 1 314.345 1.140 20 0 DDADMM O=C(CCC1CC1)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001373842140 911170288 /nfs/dbraw/zinc/17/02/88/911170288.db2.gz JQHQXVVKSWIQNM-UHFFFAOYSA-N -1 1 307.398 1.219 20 0 DDADMM CC/C(C)=C\C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001285852869 911304872 /nfs/dbraw/zinc/30/48/72/911304872.db2.gz MDDAIVYWUGTXCM-UCQJPZFISA-N -1 1 303.362 1.474 20 0 DDADMM Cc1cnc([C@H](C)NC[C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001374007014 911619944 /nfs/dbraw/zinc/61/99/44/911619944.db2.gz KSAHMDZUGGLVFC-KCJUWKMLSA-N -1 1 321.381 1.437 20 0 DDADMM CCCN(CCNC(=O)CCCF)C(=O)c1ncccc1[O-] ZINC001374157693 912037276 /nfs/dbraw/zinc/03/72/76/912037276.db2.gz MTWGEXRXRADHPE-UHFFFAOYSA-N -1 1 311.357 1.505 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NC[C@@H](O)COCC2CC2)c1 ZINC001294793554 915369738 /nfs/dbraw/zinc/36/97/38/915369738.db2.gz HQKDTKNHIHAJHB-CYBMUJFWSA-N -1 1 307.346 1.030 20 0 DDADMM Cn1ccnc1-c1csc(NC(=O)c2cnncc2[O-])n1 ZINC001295019298 915514434 /nfs/dbraw/zinc/51/44/34/915514434.db2.gz DQPPUQIBIFQBEM-UHFFFAOYSA-N -1 1 302.319 1.292 20 0 DDADMM O=C(c1ccc(-c2cn[nH]c2)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001295410843 915764605 /nfs/dbraw/zinc/76/46/05/915764605.db2.gz IHSNFSQJZFRMNI-CYBMUJFWSA-N -1 1 323.360 1.610 20 0 DDADMM CO[C@H](C)CCC(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001375690094 916714928 /nfs/dbraw/zinc/71/49/28/916714928.db2.gz SYKZNGCPSORKFV-NWDGAFQWSA-N -1 1 323.393 1.084 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001377217810 920666846 /nfs/dbraw/zinc/66/68/46/920666846.db2.gz PNFBQWABXKGHJR-WXHSDQCUSA-N -1 1 317.389 1.458 20 0 DDADMM CCC(CC)(CNC(=O)N1CCN(CC(C)C)CC1)C(=O)[O-] ZINC000336854774 282093483 /nfs/dbraw/zinc/09/34/83/282093483.db2.gz RGYCGOIBZYUHEV-UHFFFAOYSA-N -1 1 313.442 1.861 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC(C)=C(C)C3)nc2n1 ZINC000622869662 365548774 /nfs/dbraw/zinc/54/87/74/365548774.db2.gz BOKBYUGLUFRBEF-LLVKDONJSA-N -1 1 301.350 1.801 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCC[C@H]3C3CC3)nc2n1 ZINC000622870231 365549926 /nfs/dbraw/zinc/54/99/26/365549926.db2.gz VFGRBNQOBRHADN-NWDGAFQWSA-N -1 1 315.377 1.881 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCO[C@H](C)CC(C)C)nc2n1 ZINC000622870793 365550324 /nfs/dbraw/zinc/55/03/24/365550324.db2.gz HDQTWFLNEOIHPL-LLVKDONJSA-N -1 1 321.381 1.506 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)Cc3ccco3)nc2n1 ZINC000622997079 365590277 /nfs/dbraw/zinc/59/02/77/365590277.db2.gz KTUQZMZMRCPTDE-UHFFFAOYSA-N -1 1 301.306 1.144 20 0 DDADMM CCc1c(C)[n-]n(-c2ccc(S(=O)(=O)NC3CC3)cn2)c1=O ZINC000614186577 361741477 /nfs/dbraw/zinc/74/14/77/361741477.db2.gz GRMRDNIOENNMCT-GFCCVEGCSA-N -1 1 322.390 1.271 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C)C[C@H]2C)o1 ZINC000077025275 185071448 /nfs/dbraw/zinc/07/14/48/185071448.db2.gz BMAPSXQWGYMXFI-NXEZZACHSA-N -1 1 300.380 1.448 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)[N-]OCC(C)(C)O)CC2=O)cc1 ZINC000278563126 214239545 /nfs/dbraw/zinc/23/95/45/214239545.db2.gz HZJUDKTXXVCIBG-LBPRGKRZSA-N -1 1 306.362 1.167 20 0 DDADMM O=C(c1coc(C2CC2)n1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614390604 361834348 /nfs/dbraw/zinc/83/43/48/361834348.db2.gz VNVSJFAXPLUSTI-SECBINFHSA-N -1 1 304.306 1.660 20 0 DDADMM CC(C)(C)C[C@@H](O)CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614388470 361831892 /nfs/dbraw/zinc/83/18/92/361831892.db2.gz HGNCZXFMKRYTON-QWRGUYRKSA-N -1 1 311.382 1.668 20 0 DDADMM O=C(c1onc2c1CCCC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474433 361874390 /nfs/dbraw/zinc/87/43/90/361874390.db2.gz UKLSVTCPQGZKDD-UHFFFAOYSA-N -1 1 318.333 1.662 20 0 DDADMM CC[C@@H](C)[C@@H](CNC(=O)c1c[n-]c2c(cnn2C)c1=O)OC ZINC000452411717 529396969 /nfs/dbraw/zinc/39/69/69/529396969.db2.gz MJGFMXKMFDTBEQ-BXKDBHETSA-N -1 1 306.366 1.465 20 0 DDADMM O=C(NC[C@@H](CO)Cc1cccnc1)c1cc(F)ccc1[O-] ZINC000278687108 214327521 /nfs/dbraw/zinc/32/75/21/214327521.db2.gz QWIRMRICLZUSNC-LBPRGKRZSA-N -1 1 304.321 1.507 20 0 DDADMM C[S@@](=O)C1(C[N-]S(=O)(=O)c2sccc2Cl)CC1 ZINC000451500944 231113071 /nfs/dbraw/zinc/11/30/71/231113071.db2.gz GWOIZHPJTKTPTA-MRXNPFEDSA-N -1 1 313.853 1.591 20 0 DDADMM CCN1CCO[C@@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)C1 ZINC000358124695 299082763 /nfs/dbraw/zinc/08/27/63/299082763.db2.gz LEBJPCMWVPEZAZ-LLVKDONJSA-N -1 1 306.391 1.219 20 0 DDADMM CC[C@H](O)CCCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000444901576 529689150 /nfs/dbraw/zinc/68/91/50/529689150.db2.gz MNCUFYLCUPWGCK-NSHDSACASA-N -1 1 303.362 1.925 20 0 DDADMM CC[C@H](O)CCCNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000444901576 529689152 /nfs/dbraw/zinc/68/91/52/529689152.db2.gz MNCUFYLCUPWGCK-NSHDSACASA-N -1 1 303.362 1.925 20 0 DDADMM CC(C)(C(=O)[O-])[C@@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000322201764 539297714 /nfs/dbraw/zinc/29/77/14/539297714.db2.gz SVNIPZHLSAIKDH-LLVKDONJSA-N -1 1 316.361 1.921 20 0 DDADMM CCOc1cc2c(cc1C=CC(=O)Nc1nnn[n-]1)O[C@@H](C)C2 ZINC000255240816 282242396 /nfs/dbraw/zinc/24/23/96/282242396.db2.gz UXXVPFQPJNHOCQ-WBSSQXGSSA-N -1 1 315.333 1.574 20 0 DDADMM CCOc1cc2c(cc1C=CC(=O)Nc1nn[n-]n1)O[C@@H](C)C2 ZINC000255240816 282242398 /nfs/dbraw/zinc/24/23/98/282242398.db2.gz UXXVPFQPJNHOCQ-WBSSQXGSSA-N -1 1 315.333 1.574 20 0 DDADMM CN(C[C@H]1CCCN1C)S(=O)(=O)c1csc(C(=O)[O-])c1 ZINC000092625499 185330030 /nfs/dbraw/zinc/33/00/30/185330030.db2.gz HTHDFVXASACHJI-SECBINFHSA-N -1 1 318.420 1.161 20 0 DDADMM CC(C)(C(=O)Nc1nc(Cl)ccc1[O-])S(=O)(=O)C1CC1 ZINC000286613996 219083146 /nfs/dbraw/zinc/08/31/46/219083146.db2.gz YEWRYCYSDKUVOG-UHFFFAOYSA-N -1 1 318.782 1.735 20 0 DDADMM CCn1cnc(S(=O)(=O)Nc2ccc(C(=O)[O-])cc2F)c1 ZINC000092890170 185347971 /nfs/dbraw/zinc/34/79/71/185347971.db2.gz SCDMBPVYZUAMBQ-UHFFFAOYSA-N -1 1 313.310 1.541 20 0 DDADMM COc1cc(C(=O)N[C@H]2Cc3cncn3C2)cc(Cl)c1[O-] ZINC000623276076 365772871 /nfs/dbraw/zinc/77/28/71/365772871.db2.gz TZWNXLCVJGAGQL-VIFPVBQESA-N -1 1 307.737 1.605 20 0 DDADMM Cc1cc(N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)n(C)n1 ZINC000278183923 214010827 /nfs/dbraw/zinc/01/08/27/214010827.db2.gz RAFYXHUNDGHQHS-GFCCVEGCSA-N -1 1 315.377 1.228 20 0 DDADMM O=C(C(=O)N1CC[C@@H]2C[C@H](O)CC[C@H]2C1)c1ccc([O-])cc1 ZINC000456326743 231839902 /nfs/dbraw/zinc/83/99/02/231839902.db2.gz OPZGUZIEAMETRP-VNHYZAJKSA-N -1 1 303.358 1.584 20 0 DDADMM CNC(=O)CCNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000301988630 529858913 /nfs/dbraw/zinc/85/89/13/529858913.db2.gz NVPZXNKBTZHIDZ-UHFFFAOYSA-N -1 1 301.350 1.409 20 0 DDADMM C[C@@H](C[S@@](C)=O)NC(=O)c1cc(Br)ccc1[O-] ZINC000181676127 199336441 /nfs/dbraw/zinc/33/64/41/199336441.db2.gz QWKVCKYTBVWAHD-BWKAKNAASA-N -1 1 320.208 1.652 20 0 DDADMM CO[C@H]1COCC[C@@H]1CNC(=O)c1ncc2ccccc2c1[O-] ZINC000615739003 362420044 /nfs/dbraw/zinc/42/00/44/362420044.db2.gz LTURTKMKGOEYOL-OCCSQVGLSA-N -1 1 316.357 1.722 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)c[nH]c1=O ZINC000158271705 290739796 /nfs/dbraw/zinc/73/97/96/290739796.db2.gz KMPKJDYHTOHZQE-UHFFFAOYSA-N -1 1 318.276 1.901 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cn(C(C)C)nn2)c1Br ZINC000616004202 362517069 /nfs/dbraw/zinc/51/70/69/362517069.db2.gz WFNOIMHCCLLADA-UHFFFAOYSA-N -1 1 313.159 1.327 20 0 DDADMM Cc1nn(C)c(C)c1C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616013431 362520377 /nfs/dbraw/zinc/52/03/77/362520377.db2.gz VLHDHFAAOMIAHI-UHFFFAOYSA-N -1 1 312.171 1.505 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C(=O)c3ccco3)CC2)c([O-])c1 ZINC000330878740 232134101 /nfs/dbraw/zinc/13/41/01/232134101.db2.gz LSIUETKGFNSUOP-UHFFFAOYSA-N -1 1 315.329 1.287 20 0 DDADMM O=C(N=c1cc(-c2cccc(F)c2F)[n-][nH]1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000616251565 362603623 /nfs/dbraw/zinc/60/36/23/362603623.db2.gz YVROHUZTSAALOT-WOFXILAISA-N -1 1 305.284 1.608 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCC1(C)CCOCC1)c2=O ZINC000358327854 299121882 /nfs/dbraw/zinc/12/18/82/299121882.db2.gz TYQPDINAYRVBGB-UHFFFAOYSA-N -1 1 304.350 1.220 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](C)[C@H]1CCCO1 ZINC000080559351 192167528 /nfs/dbraw/zinc/16/75/28/192167528.db2.gz LMELLCRJKARLAF-MWLCHTKSSA-N -1 1 307.394 1.673 20 0 DDADMM CCC(CC)[C@H](O)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287062254 219293011 /nfs/dbraw/zinc/29/30/11/219293011.db2.gz FDCLFLVKWMDPQR-CQLSMYGBSA-N -1 1 305.382 1.260 20 0 DDADMM CC[C@H]1CCC[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000155919943 186123376 /nfs/dbraw/zinc/12/33/76/186123376.db2.gz CPYUMGCQDMZKJF-UWVGGRQHSA-N -1 1 300.380 1.496 20 0 DDADMM COC(=O)[C@H](CF)[N-]S(=O)(=O)c1cc(C)c(Cl)s1 ZINC000287177656 219361869 /nfs/dbraw/zinc/36/18/69/219361869.db2.gz DWQRDGJNIUHFHB-LURJTMIESA-N -1 1 315.775 1.499 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@]12C[C@@H]1CCC2 ZINC000617206759 362994965 /nfs/dbraw/zinc/99/49/65/362994965.db2.gz LXAOFPCSLRIWLO-ZBEGNZNMSA-N -1 1 300.362 1.653 20 0 DDADMM COc1ccc(NC(=O)N[C@@H](C)CF)cc1[N-]S(C)(=O)=O ZINC000287215254 219385323 /nfs/dbraw/zinc/38/53/23/219385323.db2.gz RHDQVTWJIWXOSB-QMMMGPOBSA-N -1 1 319.358 1.546 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)N(C)CC(F)F ZINC000355613512 290948813 /nfs/dbraw/zinc/94/88/13/290948813.db2.gz CHQKHHKLYIWUOE-UHFFFAOYSA-N -1 1 323.303 1.214 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCCOc2ccccc2)n1 ZINC000045210550 352406125 /nfs/dbraw/zinc/40/61/25/352406125.db2.gz ITNQZKFWELAUQX-UHFFFAOYSA-N -1 1 317.349 1.580 20 0 DDADMM CCO[C@@H](CC[N-]S(=O)(=O)c1ncn(C)c1Cl)C(C)C ZINC000072715459 191220472 /nfs/dbraw/zinc/22/04/72/191220472.db2.gz BDWKNODWDVNRMA-JTQLQIEISA-N -1 1 323.846 1.803 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2ccc(C)c(C(=O)[O-])c2)c1 ZINC000358568331 299200601 /nfs/dbraw/zinc/20/06/01/299200601.db2.gz RPGYLYRLOIIRHF-UHFFFAOYSA-N -1 1 321.358 1.780 20 0 DDADMM Fc1ccc(-c2noc(=NCCCCN3CCOCC3)[n-]2)cc1 ZINC000623863862 366093702 /nfs/dbraw/zinc/09/37/02/366093702.db2.gz APNPNOWZVNWFDJ-UHFFFAOYSA-N -1 1 320.368 1.822 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C)C(=O)NCc2ccccc2)c([O-])c1 ZINC000358629806 299209587 /nfs/dbraw/zinc/20/95/87/299209587.db2.gz MXFHDQZQKJUDGM-GFCCVEGCSA-N -1 1 313.357 1.530 20 0 DDADMM O=S(=O)([N-]CCOC[C@H]1CCCO1)c1cccc(F)c1F ZINC000115584608 353987100 /nfs/dbraw/zinc/98/71/00/353987100.db2.gz OBPWTNIXAQCYNT-SNVBAGLBSA-N -1 1 321.345 1.439 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cnn(C(C)C)c2)co1 ZINC000128859295 354076979 /nfs/dbraw/zinc/07/69/79/354076979.db2.gz OHIDGLHAZDRTBE-UHFFFAOYSA-N -1 1 312.351 1.217 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)CC/C=C/c2ccccc2)n1 ZINC000130699267 354095897 /nfs/dbraw/zinc/09/58/97/354095897.db2.gz QNSNEQOXIJLRQE-WEVVVXLNSA-N -1 1 320.374 1.640 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)CC/C=C/c2ccccc2)[n-]1 ZINC000130699267 354095902 /nfs/dbraw/zinc/09/59/02/354095902.db2.gz QNSNEQOXIJLRQE-WEVVVXLNSA-N -1 1 320.374 1.640 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000399228146 354657667 /nfs/dbraw/zinc/65/76/67/354657667.db2.gz FROBYTFVPFGSSO-NSHDSACASA-N -1 1 323.349 1.141 20 0 DDADMM C[C@@H](O)C1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000332511922 235050230 /nfs/dbraw/zinc/05/02/30/235050230.db2.gz KGBMUKCSFGFCLT-SNVBAGLBSA-N -1 1 301.346 1.156 20 0 DDADMM COC(=O)[C@H](CF)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000589908085 355031272 /nfs/dbraw/zinc/03/12/72/355031272.db2.gz MYSULKYUYZTADU-QMMMGPOBSA-N -1 1 309.215 1.652 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn(CC(C)C)c2C)n1 ZINC000590355480 355075756 /nfs/dbraw/zinc/07/57/56/355075756.db2.gz RPWJZSXGOVOYGI-UHFFFAOYSA-N -1 1 319.365 2.000 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCS[C@@H]2C)o1 ZINC000592112758 355503878 /nfs/dbraw/zinc/50/38/78/355503878.db2.gz LNPILYVFMGCIBH-SFYZADRCSA-N -1 1 305.377 1.239 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@H](OC)C1CC1 ZINC000592072992 355483354 /nfs/dbraw/zinc/48/33/54/355483354.db2.gz CHHBQCBDUFYCDT-RYUDHWBXSA-N -1 1 321.439 1.309 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)Cc2ncc[nH]2)c(=O)[n-]1 ZINC000346566000 283126125 /nfs/dbraw/zinc/12/61/25/283126125.db2.gz NNMKAPWWCFEUMR-UHFFFAOYSA-N -1 1 321.406 1.527 20 0 DDADMM CC(C)n1cc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])cn1 ZINC000346578232 283130802 /nfs/dbraw/zinc/13/08/02/283130802.db2.gz SMLFGJRYNCIWMS-UHFFFAOYSA-N -1 1 324.362 1.069 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)[C@H]2CC23CC3)n[n-]1 ZINC000593894961 356045411 /nfs/dbraw/zinc/04/54/11/356045411.db2.gz ONXYFVYMHXSWGH-GHMZBOCLSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)[C@H]2CC23CC3)[n-]1 ZINC000593894961 356045418 /nfs/dbraw/zinc/04/54/18/356045418.db2.gz ONXYFVYMHXSWGH-GHMZBOCLSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)[C@H]2CC23CC3)n1 ZINC000593894961 356045425 /nfs/dbraw/zinc/04/54/25/356045425.db2.gz ONXYFVYMHXSWGH-GHMZBOCLSA-N -1 1 320.393 1.985 20 0 DDADMM NC(=O)c1ccc(C[N-]S(=O)(=O)c2cccc(F)c2F)o1 ZINC000080822984 192193704 /nfs/dbraw/zinc/19/37/04/192193704.db2.gz LBEHQQBPFJCBRF-UHFFFAOYSA-N -1 1 316.285 1.135 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCC(C)(F)F)c1ccccc1 ZINC000601330519 358501258 /nfs/dbraw/zinc/50/12/58/358501258.db2.gz UJPNYKWHYSJVSC-NSHDSACASA-N -1 1 321.345 1.865 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]CCC(C)(C)F)oc1C ZINC000594845267 356335130 /nfs/dbraw/zinc/33/51/30/356335130.db2.gz RNENLLSRAMPPBJ-UHFFFAOYSA-N -1 1 307.343 1.791 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCC[C@H](C)O2)c1 ZINC000594853251 356338311 /nfs/dbraw/zinc/33/83/11/356338311.db2.gz WNPHYXOEQNNWSB-GXSJLCMTSA-N -1 1 317.363 1.302 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCSC2)cc1C ZINC000595318242 356447860 /nfs/dbraw/zinc/44/78/60/356447860.db2.gz SOJJYDKKCJOIRJ-QMMMGPOBSA-N -1 1 305.377 1.158 20 0 DDADMM COc1ccccc1[C@@H]1C[C@H]1NS(=O)(=O)c1c(C)o[n-]c1=N ZINC000565235183 304056681 /nfs/dbraw/zinc/05/66/81/304056681.db2.gz BTLRLBIKJPZJDO-WDEREUQCSA-N -1 1 323.374 1.239 20 0 DDADMM COc1ccccc1[C@@H]1C[C@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000565235183 304056683 /nfs/dbraw/zinc/05/66/83/304056683.db2.gz BTLRLBIKJPZJDO-WDEREUQCSA-N -1 1 323.374 1.239 20 0 DDADMM COC(=O)c1ccc(CN2CCC(CCC(=O)[O-])CC2)nc1 ZINC000595882183 356690077 /nfs/dbraw/zinc/69/00/77/356690077.db2.gz IEFZGVDBGMQAKR-UHFFFAOYSA-N -1 1 306.362 1.945 20 0 DDADMM O=C(Nc1cccc(COCC2CC2)c1)NN1CC(=O)[N-]C1=O ZINC000276920474 213177482 /nfs/dbraw/zinc/17/74/82/213177482.db2.gz SRTGSVKKIVFGCN-UHFFFAOYSA-N -1 1 318.333 1.202 20 0 DDADMM C[C@@H]1[C@H](C)[S@](=O)CCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000597276698 357118433 /nfs/dbraw/zinc/11/84/33/357118433.db2.gz SFXPDRRRDBAEDN-NFULHVNHSA-N -1 1 318.398 1.922 20 0 DDADMM CCOC(=O)C[C@@H](CO)NC(=O)c1ccc(Cl)cc1[O-] ZINC000597681624 357282704 /nfs/dbraw/zinc/28/27/04/357282704.db2.gz LVLYYTXMDXGSRX-VIFPVBQESA-N -1 1 301.726 1.090 20 0 DDADMM COC(=O)C[C@@H](C)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000597731819 357307882 /nfs/dbraw/zinc/30/78/82/357307882.db2.gz PGGMBRRHDJWPHX-RXMQYKEDSA-N -1 1 304.144 1.040 20 0 DDADMM COC(=O)c1ccc(C(=O)N=c2ccn(C3CCOCC3)[nH]2)[n-]1 ZINC000598235699 357503058 /nfs/dbraw/zinc/50/30/58/357503058.db2.gz GFGHXLGFHXBGIS-UHFFFAOYSA-N -1 1 318.333 1.024 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H]2CCC[C@H](C)C2)co1 ZINC000179276805 199008938 /nfs/dbraw/zinc/00/89/38/199008938.db2.gz GVBQMHUXSFXGMK-JQWIXIFHSA-N -1 1 314.407 1.838 20 0 DDADMM COc1ccc([C@H](CCO)NC(=O)c2cncc([O-])c2)cc1 ZINC000179400000 199029299 /nfs/dbraw/zinc/02/92/99/199029299.db2.gz KIYIHLJBIGMROJ-HNNXBMFYSA-N -1 1 302.330 1.649 20 0 DDADMM Cc1ccc(C(C)C)c(O[C@@H](C)C(=O)NCc2nn[n-]n2)c1 ZINC000599334409 357898010 /nfs/dbraw/zinc/89/80/10/357898010.db2.gz KYOYIVUQDSYWGC-NSHDSACASA-N -1 1 303.366 1.715 20 0 DDADMM CO[C@@H](C)c1nc(=NC[C@@H]2CCCN2CC(F)F)s[n-]1 ZINC000624519287 366427609 /nfs/dbraw/zinc/42/76/09/366427609.db2.gz BTBZTRLWBWMRDC-IUCAKERBSA-N -1 1 306.382 1.809 20 0 DDADMM CCN(CC)S(=O)(=O)[N-][C@H](C(=O)OC)c1cccs1 ZINC000348113094 283374971 /nfs/dbraw/zinc/37/49/71/283374971.db2.gz DYDUHAHECKMFBC-JTQLQIEISA-N -1 1 306.409 1.138 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2cc(C)ns2)C1 ZINC000601411672 358541594 /nfs/dbraw/zinc/54/15/94/358541594.db2.gz ZDTYYVKUDNKXIJ-ZJUUUORDSA-N -1 1 318.420 1.462 20 0 DDADMM C[C@H](C[S@](C)=O)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601392054 358532106 /nfs/dbraw/zinc/53/21/06/358532106.db2.gz WRAZMCHMZRJHJX-DDNLTXGXSA-N -1 1 301.336 1.263 20 0 DDADMM C[C@@H](C[S@](C)=O)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601392055 358532393 /nfs/dbraw/zinc/53/23/93/358532393.db2.gz WRAZMCHMZRJHJX-HQRLLJBISA-N -1 1 301.336 1.263 20 0 DDADMM C[C@@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)C[C@H](C)[S@@]1=O ZINC000601531572 358590475 /nfs/dbraw/zinc/59/04/75/358590475.db2.gz ZZRRHVGPBRVXGN-YDNVHUBRSA-N -1 1 315.822 1.956 20 0 DDADMM COC(=O)c1[nH]c(C)cc1[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC000609910070 360365028 /nfs/dbraw/zinc/36/50/28/360365028.db2.gz ILAGQWQWJKSNKF-VIFPVBQESA-N -1 1 302.352 1.030 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](C)C2CC2)o1 ZINC000610488195 360450404 /nfs/dbraw/zinc/45/04/04/360450404.db2.gz YKSYEGNBXAKXMA-VIFPVBQESA-N -1 1 301.364 1.781 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2cnn3cccnc23)s1 ZINC000610894495 360564946 /nfs/dbraw/zinc/56/49/46/360564946.db2.gz QOXOVAYEMIYQBL-UHFFFAOYSA-N -1 1 318.318 1.010 20 0 DDADMM CCOC(=O)Cc1ccccc1NC(=O)C1=C([O-])C(C)N=N1 ZINC000610987153 360591118 /nfs/dbraw/zinc/59/11/18/360591118.db2.gz OUBOIBKCVKRIQR-UHFFFAOYSA-N -1 1 303.318 1.782 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H](OC)C2CCC2)c1Br ZINC000611773848 360821561 /nfs/dbraw/zinc/82/15/61/360821561.db2.gz GHCFWWMZXICBSP-SNVBAGLBSA-N -1 1 316.199 1.910 20 0 DDADMM C[C@H](Cn1cncn1)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000271912993 209319054 /nfs/dbraw/zinc/31/90/54/209319054.db2.gz ZSCOTNLOOMARHP-MRVPVSSYSA-N -1 1 314.267 1.821 20 0 DDADMM C[C@H](NC(=O)c1ncc2ccccc2c1[O-])[C@@H]1CN(C)CCO1 ZINC000612668716 361100299 /nfs/dbraw/zinc/10/02/99/361100299.db2.gz CFCZVVPTFSVNAF-FZMZJTMJSA-N -1 1 315.373 1.389 20 0 DDADMM CO[C@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)C1CCCCC1 ZINC000612814872 361154828 /nfs/dbraw/zinc/15/48/28/361154828.db2.gz FQDYJUFKBCJCDR-OLZOCXBDSA-N -1 1 307.398 1.501 20 0 DDADMM CC1(C)CCC[C@@](O)(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1 ZINC000612817310 361155324 /nfs/dbraw/zinc/15/53/24/361155324.db2.gz PXALSTUDDLXGTE-ABAIWWIYSA-N -1 1 307.398 1.237 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)C[C@H]2CC(=O)N2)c1 ZINC000613304928 361374600 /nfs/dbraw/zinc/37/46/00/361374600.db2.gz MFDHINZYVRUUOI-SSDOTTSWSA-N -1 1 313.151 1.680 20 0 DDADMM CC(C)(C)c1n[n-]c(S(=O)(=O)Cc2cn(CC3CC3)nn2)n1 ZINC000565992510 304108355 /nfs/dbraw/zinc/10/83/55/304108355.db2.gz JARCBRARPXCVKX-UHFFFAOYSA-N -1 1 324.410 1.078 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2cn(CC3CC3)nn2)n[n-]1 ZINC000565992510 304108356 /nfs/dbraw/zinc/10/83/56/304108356.db2.gz JARCBRARPXCVKX-UHFFFAOYSA-N -1 1 324.410 1.078 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)c1nnc(Cc2cccs2)[n-]1 ZINC000195160807 201349740 /nfs/dbraw/zinc/34/97/40/201349740.db2.gz ZOMNJXKORJZXQX-SECBINFHSA-N -1 1 313.404 1.410 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)c1nc(Cc2cccs2)n[n-]1 ZINC000195160807 201349741 /nfs/dbraw/zinc/34/97/41/201349741.db2.gz ZOMNJXKORJZXQX-SECBINFHSA-N -1 1 313.404 1.410 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)c1n[n-]c(Cc2cccs2)n1 ZINC000195160807 201349745 /nfs/dbraw/zinc/34/97/45/201349745.db2.gz ZOMNJXKORJZXQX-SECBINFHSA-N -1 1 313.404 1.410 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)c1 ZINC000566084615 304114230 /nfs/dbraw/zinc/11/42/30/304114230.db2.gz BKNVDGRDKHFVNF-OPRDCNLKSA-N -1 1 310.375 1.414 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC(C)(C)CC(F)(F)F ZINC000621225807 364739831 /nfs/dbraw/zinc/73/98/31/364739831.db2.gz AMIVTIMXBATGTP-UHFFFAOYSA-N -1 1 323.271 1.605 20 0 DDADMM CCCCO[C@@H](C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622609472 365450395 /nfs/dbraw/zinc/45/03/95/365450395.db2.gz ACUVYKZMMMCHNS-AAEUAGOBSA-N -1 1 303.366 1.611 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)CCc1ccccc1Cl ZINC000625492410 366962433 /nfs/dbraw/zinc/96/24/33/366962433.db2.gz QSQHZGYEGQIVON-GFCCVEGCSA-N -1 1 317.794 1.160 20 0 DDADMM O=S(=O)([N-][C@H]1CO[C@H](C2CC2)C1)c1ccc(F)c(F)c1F ZINC000625578294 367027487 /nfs/dbraw/zinc/02/74/87/367027487.db2.gz FIDTZLAOCOBOAB-SCZZXKLOSA-N -1 1 321.320 1.950 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CO[C@@H](C3CC3)C2)sc1C ZINC000625583964 367033642 /nfs/dbraw/zinc/03/36/42/367033642.db2.gz ZCUXXTUEFCOJIC-WDEREUQCSA-N -1 1 302.421 1.606 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC(Cn2cncn2)CC1 ZINC000275284227 212248283 /nfs/dbraw/zinc/24/82/83/212248283.db2.gz SYDYBZLOIRVSGB-UHFFFAOYSA-N -1 1 304.325 1.675 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1C[C@H](C(=O)[O-])CC[C@H]1C ZINC000635016642 422769276 /nfs/dbraw/zinc/76/92/76/422769276.db2.gz ANZQVUIQNXLSFL-NXEZZACHSA-N -1 1 310.316 1.582 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(Cc1ccccc1)C1CC1 ZINC000349581270 283972778 /nfs/dbraw/zinc/97/27/78/283972778.db2.gz DJTLSZRCWRGKFW-UHFFFAOYSA-N -1 1 307.375 1.749 20 0 DDADMM CSc1cccc(N(C)S(=O)(=O)c2c(C)o[n-]c2=N)c1 ZINC000349723055 284030468 /nfs/dbraw/zinc/03/04/68/284030468.db2.gz QJFKPAMWULXFNC-UHFFFAOYSA-N -1 1 313.404 1.943 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1cccs1)C[C@H]1CCCO1 ZINC000633132781 422771103 /nfs/dbraw/zinc/77/11/03/422771103.db2.gz CNQDFCFVGKZTLL-LLVKDONJSA-N -1 1 321.406 1.402 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(OCCO)cc2)cn1 ZINC000349942081 284113419 /nfs/dbraw/zinc/11/34/19/284113419.db2.gz CTEUOKRRWGDRFY-UHFFFAOYSA-N -1 1 308.359 1.562 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2ccc(CC(=O)[O-])cc2)cn1 ZINC000349950102 284116054 /nfs/dbraw/zinc/11/60/54/284116054.db2.gz XDNHXTBVGXGVJU-UHFFFAOYSA-N -1 1 306.343 1.818 20 0 DDADMM CC[C@H]1C[N@@H+](CC(=O)NCC(=O)[O-])CC[C@@H]1c1ccccc1 ZINC000262194368 203225116 /nfs/dbraw/zinc/22/51/16/203225116.db2.gz HFGNOIPMJHTAEH-ZFWWWQNUSA-N -1 1 304.390 1.703 20 0 DDADMM Cc1ccc(NC(=O)NC(=O)CN(C)CCC(=O)[O-])cc1C ZINC000262660570 203369124 /nfs/dbraw/zinc/36/91/24/203369124.db2.gz NQRVOUFVZXOMSZ-UHFFFAOYSA-N -1 1 307.350 1.358 20 0 DDADMM CC(C)[C@@H](NC(=O)c1ccc(Br)cc1[O-])C(N)=O ZINC000094124877 193346297 /nfs/dbraw/zinc/34/62/97/193346297.db2.gz RXSLUXBXFJSBBS-SNVBAGLBSA-N -1 1 315.167 1.394 20 0 DDADMM C[C@@H]1CCN(CC(=O)Nc2cccc(-c3n[nH]c(=O)[n-]3)c2)C1 ZINC000566459218 304160745 /nfs/dbraw/zinc/16/07/45/304160745.db2.gz AGIKVGSQHVMNHE-SNVBAGLBSA-N -1 1 301.350 1.458 20 0 DDADMM O=C([N-][C@H](Cc1ccccc1)CN1CCOCC1)C(F)(F)F ZINC000264811673 204175761 /nfs/dbraw/zinc/17/57/61/204175761.db2.gz YAAWJXAUXRHYEC-CYBMUJFWSA-N -1 1 316.323 1.608 20 0 DDADMM CCSCCC[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000282491406 217036664 /nfs/dbraw/zinc/03/66/64/217036664.db2.gz YIKOPGIFTFDZDT-UHFFFAOYSA-N -1 1 307.393 1.488 20 0 DDADMM CC[C@H](C(=O)Nc1cc(C(=O)OC)ccc1[O-])N1CCCC1=O ZINC000282884529 217300864 /nfs/dbraw/zinc/30/08/64/217300864.db2.gz VOZMSVDQBMIEFX-GFCCVEGCSA-N -1 1 320.345 1.518 20 0 DDADMM CN(C)c1cccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282923075 217327294 /nfs/dbraw/zinc/32/72/94/217327294.db2.gz NYPWCBNEIDDEKF-LBPRGKRZSA-N -1 1 315.377 1.184 20 0 DDADMM CC(C)n1cnnc1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000282951765 217347242 /nfs/dbraw/zinc/34/72/42/217347242.db2.gz FBMMCFJVPSYCBO-UHFFFAOYSA-N -1 1 317.378 1.120 20 0 DDADMM C[C@@H](c1nnnn1-c1ccccc1)N1CCC[C@](C)(C(=O)[O-])C1 ZINC000566683622 304176389 /nfs/dbraw/zinc/17/63/89/304176389.db2.gz GXSIUWYZQWKRCK-LRDDRELGSA-N -1 1 315.377 1.910 20 0 DDADMM C/C=C\C[C@H](CO)[N-]S(=O)(=O)c1ccc(C(=O)OCC)o1 ZINC000351583556 284393723 /nfs/dbraw/zinc/39/37/23/284393723.db2.gz UCZGAKAVSPPACS-TZGMSPROSA-N -1 1 317.363 1.062 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2ncn(C3CCCCC3)[n-]2)C[C@H](C)N1C ZINC000333825848 249119551 /nfs/dbraw/zinc/11/95/51/249119551.db2.gz KQJNAUSWKNMJKI-BETUJISGSA-N -1 1 320.441 1.762 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN2C(=O)OCC[C@H]2C1 ZINC000494034924 284654498 /nfs/dbraw/zinc/65/44/98/284654498.db2.gz UVCAQEQOJQHFNK-JTQLQIEISA-N -1 1 310.737 1.712 20 0 DDADMM NC(=O)c1ccc(=NCCCc2c[nH]c3ccc(F)cc23)[n-]n1 ZINC000336925451 249291008 /nfs/dbraw/zinc/29/10/08/249291008.db2.gz WHWRMXLIUINWIT-UHFFFAOYSA-N -1 1 313.336 1.663 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(Cl)c(F)c1 ZINC000337156907 249368987 /nfs/dbraw/zinc/36/89/87/249368987.db2.gz PVXXEBUIODCPRV-UHFFFAOYSA-N -1 1 310.716 1.544 20 0 DDADMM NS(=O)(=O)c1ccc(C(=O)[N-]c2cc(F)c(F)cc2F)o1 ZINC000104479765 194009633 /nfs/dbraw/zinc/00/96/33/194009633.db2.gz RQRFJCOEWWPHLS-UHFFFAOYSA-N -1 1 320.248 1.597 20 0 DDADMM CCOc1cc(C(=O)N(C)CC(=O)OC)cc(Cl)c1[O-] ZINC000273948272 211202957 /nfs/dbraw/zinc/20/29/57/211202957.db2.gz LTIQACAXKMGTSZ-UHFFFAOYSA-N -1 1 301.726 1.689 20 0 DDADMM COC(=O)c1csc(Sc2nc3c(cnn3C)c(=O)[n-]2)n1 ZINC000338817762 250147672 /nfs/dbraw/zinc/14/76/72/250147672.db2.gz UVIPRDIWTJKTSI-UHFFFAOYSA-N -1 1 323.359 1.463 20 0 DDADMM C[C@H](CC1CC1)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338913087 250193083 /nfs/dbraw/zinc/19/30/83/250193083.db2.gz YGYPMXZTHJHDDT-SSDOTTSWSA-N -1 1 311.329 1.906 20 0 DDADMM NC(=O)[C@@H]1CCCCC[C@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338931215 250204357 /nfs/dbraw/zinc/20/43/57/250204357.db2.gz HBLDHTDKCZDDJR-PSASIEDQSA-N -1 1 320.411 1.600 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2c(C)nn(C)c2C)[n-]1 ZINC000339172493 250326789 /nfs/dbraw/zinc/32/67/89/250326789.db2.gz VKKKLICRLWZFNI-UHFFFAOYSA-N -1 1 319.365 1.508 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2c(C)nn(C)c2C)n1 ZINC000339172493 250326791 /nfs/dbraw/zinc/32/67/91/250326791.db2.gz VKKKLICRLWZFNI-UHFFFAOYSA-N -1 1 319.365 1.508 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1 ZINC000339194357 250337748 /nfs/dbraw/zinc/33/77/48/250337748.db2.gz SVTVESYLNPOJMX-UHFFFAOYSA-N -1 1 315.329 1.998 20 0 DDADMM O=C(Nc1cccc(-c2nnc[nH]2)c1)c1c[n-]n2c1nccc2=O ZINC000339275654 250384462 /nfs/dbraw/zinc/38/44/62/250384462.db2.gz LRGZMRDKLYOHQE-UHFFFAOYSA-N -1 1 321.300 1.060 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1cncc([O-])c1)c1ccc(C)cc1 ZINC000339936970 250759297 /nfs/dbraw/zinc/75/92/97/250759297.db2.gz FZORCEKPHMJIBE-HNNXBMFYSA-N -1 1 314.341 1.782 20 0 DDADMM Cc1ccc(OCCCC(=O)NN2CC(=O)[N-]C2=O)c(C)c1 ZINC000268951510 207116006 /nfs/dbraw/zinc/11/60/06/207116006.db2.gz IGUKLAUAPIJAKF-UHFFFAOYSA-N -1 1 305.334 1.045 20 0 DDADMM CCc1nc(C)cc(Oc2ccc([N-]S(C)(=O)=O)nc2)n1 ZINC000340851486 251242702 /nfs/dbraw/zinc/24/27/02/251242702.db2.gz IQKHAHXDZPIMTD-UHFFFAOYSA-N -1 1 308.363 1.906 20 0 DDADMM COc1ccc(C[C@H]2CCCN(Cc3n[nH]c(=O)[n-]3)C2)cc1 ZINC000340907591 251269524 /nfs/dbraw/zinc/26/95/24/251269524.db2.gz PFNDHZDWIOXPII-CYBMUJFWSA-N -1 1 302.378 1.974 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc3c(cn2)CCC3)o1 ZINC000359770743 299532777 /nfs/dbraw/zinc/53/27/77/299532777.db2.gz HCQIPEHGFOITRP-UHFFFAOYSA-N -1 1 321.358 1.324 20 0 DDADMM CS[C@H](CO)[C@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000119920399 195062652 /nfs/dbraw/zinc/06/26/52/195062652.db2.gz UKPXFSIYRNUIAR-OIBJUYFYSA-N -1 1 311.375 1.356 20 0 DDADMM O=C([O-])CCC(=O)NCC1(CN2CCOCC2)CCCCC1 ZINC000567862731 304249134 /nfs/dbraw/zinc/24/91/34/304249134.db2.gz RDVFXHFGRRABRN-UHFFFAOYSA-N -1 1 312.410 1.250 20 0 DDADMM CCCN(CC(C)C)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000270038106 208092950 /nfs/dbraw/zinc/09/29/50/208092950.db2.gz NMXLQRFJUHWUGF-UHFFFAOYSA-N -1 1 302.396 1.696 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H](OC)C(C)(C)C)c1 ZINC000270068150 208125871 /nfs/dbraw/zinc/12/58/71/208125871.db2.gz YLUUEMYMNNIKTD-JTQLQIEISA-N -1 1 319.379 1.406 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(c2ccccc2F)CC1)c1nn[n-]n1 ZINC000352473041 285076124 /nfs/dbraw/zinc/07/61/24/285076124.db2.gz OGMNLOKJSOXHTC-JTQLQIEISA-N -1 1 303.341 1.633 20 0 DDADMM CCN(Cc1ccncc1)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000270118071 208176655 /nfs/dbraw/zinc/17/66/55/208176655.db2.gz UXBPMGNOCWNLPM-UHFFFAOYSA-N -1 1 323.374 1.245 20 0 DDADMM CC[C@H](C)[C@H]1CCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352550092 285133778 /nfs/dbraw/zinc/13/37/78/285133778.db2.gz JXXFRQPOPVHPEC-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C2CCSCC2)c1 ZINC000272016225 209385956 /nfs/dbraw/zinc/38/59/56/209385956.db2.gz FAZSMHDRJJIPGG-UHFFFAOYSA-N -1 1 316.404 1.121 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCCS2)c1 ZINC000272922621 210309347 /nfs/dbraw/zinc/30/93/47/210309347.db2.gz JYJXPJHLNJITHG-LLVKDONJSA-N -1 1 316.404 1.264 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCCC1)c1cc(F)c(F)cc1F ZINC000352585440 285157940 /nfs/dbraw/zinc/15/79/40/285157940.db2.gz FBEJZGPPGCNAHB-GFCCVEGCSA-N -1 1 323.336 1.933 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)n1cnc2ccccc21)c1nn[n-]n1 ZINC000156563919 197123933 /nfs/dbraw/zinc/12/39/33/197123933.db2.gz UEYZNMFMSWPQRN-MNOVXSKESA-N -1 1 313.365 1.373 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCC[C@@H]2CCCC[C@@H]2O)[n-]n1 ZINC000413195767 224152478 /nfs/dbraw/zinc/15/24/78/224152478.db2.gz CYTALSQEOGGPTH-JSGCOSHPSA-N -1 1 306.410 1.344 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Br)o1)[C@@H]1CCOC1 ZINC000352884817 285360018 /nfs/dbraw/zinc/36/00/18/285360018.db2.gz RPECOJSEOCBVDV-JGVFFNPUSA-N -1 1 324.196 1.745 20 0 DDADMM Cc1ccccc1CS(=O)(=O)[N-]c1nnc2ccccn21 ZINC000352905649 285378101 /nfs/dbraw/zinc/37/81/01/285378101.db2.gz ZRIXDZJOQKNBNU-UHFFFAOYSA-N -1 1 302.359 1.980 20 0 DDADMM CCc1nc(SCCC(=O)Nc2cc(C)on2)[n-]c(=O)c1C ZINC000568271299 304283038 /nfs/dbraw/zinc/28/30/38/304283038.db2.gz AGTISUQJJGXBRK-UHFFFAOYSA-N -1 1 322.390 1.892 20 0 DDADMM CS(=O)(=O)CC1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000295753496 533334488 /nfs/dbraw/zinc/33/44/88/533334488.db2.gz HMXPOEAFZRJBQC-UHFFFAOYSA-N -1 1 312.391 1.120 20 0 DDADMM COc1cc(C(=O)N2CCN3C(=O)N=NC3C2)cc(Cl)c1[O-] ZINC000332616745 533525134 /nfs/dbraw/zinc/52/51/34/533525134.db2.gz LOJBNAPOWZUCDU-UHFFFAOYSA-N -1 1 324.724 1.007 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H](C)CO[C@@H]2CCOC2)sc1C ZINC000433783534 533647158 /nfs/dbraw/zinc/64/71/58/533647158.db2.gz PIFOHBUFVQIGBS-WCBMZHEXSA-N -1 1 300.380 1.266 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000568706431 304306510 /nfs/dbraw/zinc/30/65/10/304306510.db2.gz YDRCJLFXVYENIS-BDAKNGLRSA-N -1 1 305.386 1.295 20 0 DDADMM COC(C)(C)C[C@@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119288055 292066146 /nfs/dbraw/zinc/06/61/46/292066146.db2.gz BSSKRDNBJDROSD-SECBINFHSA-N -1 1 306.366 1.607 20 0 DDADMM CN(CCOc1ccc(Cl)cc1)C(=O)CCCc1nn[n-]n1 ZINC000635106209 422814555 /nfs/dbraw/zinc/81/45/55/422814555.db2.gz SMYBLDTXEAHABF-UHFFFAOYSA-N -1 1 323.784 1.713 20 0 DDADMM O=C(N[C@H]1CCCn2nccc21)c1csc(=NC2CC2)[n-]1 ZINC000636376603 422829531 /nfs/dbraw/zinc/82/95/31/422829531.db2.gz WOXYFGLSHDHWNK-JTQLQIEISA-N -1 1 303.391 1.601 20 0 DDADMM O=C(NCCO)c1cccc([N-]S(=O)(=O)c2ccccc2)c1 ZINC000068195869 406622775 /nfs/dbraw/zinc/62/27/75/406622775.db2.gz INAAWSBMBAZYQF-UHFFFAOYSA-N -1 1 320.370 1.210 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@H]1C ZINC000071207878 406834302 /nfs/dbraw/zinc/83/43/02/406834302.db2.gz IWYQCQVQABAAJU-PSASIEDQSA-N -1 1 301.368 1.053 20 0 DDADMM O=C(NC[C@H](O)C1CC1)c1ccc(Br)cc1[O-] ZINC000071304039 406837753 /nfs/dbraw/zinc/83/77/53/406837753.db2.gz XWJRSWKGBJCFDQ-NSHDSACASA-N -1 1 300.152 1.655 20 0 DDADMM CC(C)n1ncc2c1nc(C1CC1)cc2C(=O)Nc1nnn[n-]1 ZINC000076963416 406975095 /nfs/dbraw/zinc/97/50/95/406975095.db2.gz FTGHEQKWBKIYKA-UHFFFAOYSA-N -1 1 312.337 1.655 20 0 DDADMM CC(C)n1ncc2c1nc(C1CC1)cc2C(=O)Nc1nn[n-]n1 ZINC000076963416 406975097 /nfs/dbraw/zinc/97/50/97/406975097.db2.gz FTGHEQKWBKIYKA-UHFFFAOYSA-N -1 1 312.337 1.655 20 0 DDADMM O=c1nc(CN2CCN(CC3CC3)CC2)[n-]c2ccsc21 ZINC000077196057 406980090 /nfs/dbraw/zinc/98/00/90/406980090.db2.gz KIWQHADHBIKISG-UHFFFAOYSA-N -1 1 304.419 1.512 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCC[C@H]1C ZINC000037490236 406989664 /nfs/dbraw/zinc/98/96/64/406989664.db2.gz ZZSSIXRUCLVPNU-KOLCDFICSA-N -1 1 315.395 1.443 20 0 DDADMM Cn1cccc(NC(=O)NCc2n[n-]c(=S)n2C2CC2)c1=O ZINC000085601785 407103837 /nfs/dbraw/zinc/10/38/37/407103837.db2.gz XHHGCTVQWXKISM-UHFFFAOYSA-N -1 1 320.378 1.296 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC1(C)CCCC1 ZINC000066650959 407256559 /nfs/dbraw/zinc/25/65/59/407256559.db2.gz KYZYTZQESFAEHN-UHFFFAOYSA-N -1 1 316.405 1.878 20 0 DDADMM CC[C@@H](CCO)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000094960409 407204904 /nfs/dbraw/zinc/20/49/04/407204904.db2.gz WTODQOJMEIFDHS-QMMMGPOBSA-N -1 1 311.325 1.791 20 0 DDADMM Cc1ccc2nc([C@@H]3CCCN3C(=O)c3n[nH]c(=O)[n-]3)[nH]c2c1 ZINC000061633033 407229388 /nfs/dbraw/zinc/22/93/88/407229388.db2.gz ACAZBVGLXFGUOU-NSHDSACASA-N -1 1 312.333 1.672 20 0 DDADMM Cc1nc(C2([N-]S(=O)(=O)Cc3ccon3)CCCC2)no1 ZINC000122960914 407316003 /nfs/dbraw/zinc/31/60/03/407316003.db2.gz DKSATVGVENZLIP-UHFFFAOYSA-N -1 1 312.351 1.255 20 0 DDADMM CCCN(CCC)C(=O)CS(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127204930 407430697 /nfs/dbraw/zinc/43/06/97/407430697.db2.gz TWOUZJKHLKDTHC-UHFFFAOYSA-N -1 1 314.411 1.104 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N[C@H]1CCC[C@@H]1O)c2=O ZINC000178608357 407507833 /nfs/dbraw/zinc/50/78/33/407507833.db2.gz MFLNDPBABCGJQB-JSGCOSHPSA-N -1 1 302.330 1.592 20 0 DDADMM C[C@H]1CCNC(=O)[C@@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000195475850 407462926 /nfs/dbraw/zinc/46/29/26/407462926.db2.gz PHTCMWFLLZPMQF-OIBJUYFYSA-N -1 1 320.773 1.282 20 0 DDADMM COc1ccccc1CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000261607391 407551035 /nfs/dbraw/zinc/55/10/35/407551035.db2.gz DGBODWIQYRZMET-CYBMUJFWSA-N -1 1 306.362 1.108 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H](O)C[C@@H]1c1ccc(F)cc1 ZINC000225421228 407584027 /nfs/dbraw/zinc/58/40/27/407584027.db2.gz IEGHJSWVTDTLHN-CHWSQXEVSA-N -1 1 302.305 1.874 20 0 DDADMM COc1ccc(CC[N-]C(=O)C(F)(F)F)c(OC)c1OC ZINC000170804360 407510775 /nfs/dbraw/zinc/51/07/75/407510775.db2.gz LBKUOGLKYAVLED-UHFFFAOYSA-N -1 1 307.268 1.933 20 0 DDADMM O=C(N[C@H]1CCCN(c2ncccn2)C1)c1c([O-])cccc1F ZINC000185894866 407534262 /nfs/dbraw/zinc/53/42/62/407534262.db2.gz BELZAXOQDKHLPX-NSHDSACASA-N -1 1 316.336 1.720 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C)CC2(C)C)o1 ZINC000266923924 407677086 /nfs/dbraw/zinc/67/70/86/407677086.db2.gz QGGYAHWOGFBYKP-VIFPVBQESA-N -1 1 300.380 1.448 20 0 DDADMM Cc1ccccc1[C@H](C)CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000116444050 407757596 /nfs/dbraw/zinc/75/75/96/407757596.db2.gz JTFGEQYQCYZNFV-SNVBAGLBSA-N -1 1 322.390 1.649 20 0 DDADMM Cc1ccccc1[C@H](C)CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000116444050 407757603 /nfs/dbraw/zinc/75/76/03/407757603.db2.gz JTFGEQYQCYZNFV-SNVBAGLBSA-N -1 1 322.390 1.649 20 0 DDADMM Cc1cc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])ccc1F ZINC000179538391 407803381 /nfs/dbraw/zinc/80/33/81/407803381.db2.gz ZBEKPHKAYVTNIE-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2[C@H]3CCO[C@@H]3C2(C)C)c1Cl ZINC000153399620 407815903 /nfs/dbraw/zinc/81/59/03/407815903.db2.gz YESGMHMEGYMYLD-HLTSFMKQSA-N -1 1 319.814 1.165 20 0 DDADMM O=C(NCc1ccco1)[C@@H]1CCCN1C(=O)c1cncc([O-])c1 ZINC000117982238 407875552 /nfs/dbraw/zinc/87/55/52/407875552.db2.gz GQDXRALLFFVRRB-AWEZNQCLSA-N -1 1 315.329 1.301 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCCNC(=O)CN(C)CCC(=O)[O-] ZINC000262671150 407896832 /nfs/dbraw/zinc/89/68/32/407896832.db2.gz RPKKXKRDZIFVIB-CHWSQXEVSA-N -1 1 300.399 1.104 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H](C)CS(=O)(=O)CC ZINC000153819781 407911544 /nfs/dbraw/zinc/91/15/44/407911544.db2.gz OOKBYMQQBZWYNB-JTQLQIEISA-N -1 1 300.380 1.073 20 0 DDADMM Cn1cnc(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)c1 ZINC000181085484 407956903 /nfs/dbraw/zinc/95/69/03/407956903.db2.gz WCFSNVRHOGMIKF-UHFFFAOYSA-N -1 1 312.326 1.183 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC3(CCCC3)C2)co1 ZINC000154123532 407977585 /nfs/dbraw/zinc/97/75/85/407977585.db2.gz YQDGSCPWEFPLDU-UHFFFAOYSA-N -1 1 312.391 1.594 20 0 DDADMM O=C(Nc1nn[n-]n1)c1[nH]c2ccccc2c1Br ZINC000118685713 407935196 /nfs/dbraw/zinc/93/51/96/407935196.db2.gz LCBYEVBAGFDHMF-UHFFFAOYSA-N -1 1 307.111 1.696 20 0 DDADMM CC(C)(C)[C@H](O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000119101105 407996834 /nfs/dbraw/zinc/99/68/34/407996834.db2.gz YQARYAVCINGRCU-LLVKDONJSA-N -1 1 311.325 1.789 20 0 DDADMM O=C([O-])C1CCC(NC(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC000263225808 408064235 /nfs/dbraw/zinc/06/42/35/408064235.db2.gz AJZWGECQERICTO-UHFFFAOYSA-N -1 1 314.345 1.845 20 0 DDADMM O=C([O-])C[C@@H]1COCCN1C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000263257126 408078222 /nfs/dbraw/zinc/07/82/22/408078222.db2.gz FUTKNFPQTSQODO-SECBINFHSA-N -1 1 321.358 1.454 20 0 DDADMM CCC[C@H](NC(=O)CCc1ccccc1OC)c1nn[n-]n1 ZINC000136651249 408114960 /nfs/dbraw/zinc/11/49/60/408114960.db2.gz TTZSBHZJVBIURH-LBPRGKRZSA-N -1 1 303.366 1.799 20 0 DDADMM CCC[C@@H](NC(=O)Cc1c(CC)noc1CC)c1nn[n-]n1 ZINC000136678661 408119807 /nfs/dbraw/zinc/11/98/07/408119807.db2.gz ZTKMYUBKJMBNJE-LLVKDONJSA-N -1 1 306.370 1.513 20 0 DDADMM O=C([O-])[C@H]1CCCN(C(=O)NCc2ccc3cncn3c2)C1 ZINC000263404059 408130976 /nfs/dbraw/zinc/13/09/76/408130976.db2.gz SRAUEYBQFHPRJV-LBPRGKRZSA-N -1 1 302.334 1.341 20 0 DDADMM C[C@H](CN(C)C(=O)CCCOCc1ccccc1)c1nn[n-]n1 ZINC000273468517 408214425 /nfs/dbraw/zinc/21/44/25/408214425.db2.gz GIWMWRAZKKZCHF-CYBMUJFWSA-N -1 1 317.393 1.759 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)Cc2ccc(O)cc2)co1 ZINC000155819036 408214450 /nfs/dbraw/zinc/21/44/50/408214450.db2.gz UDICSWGWSOQMMQ-UHFFFAOYSA-N -1 1 324.358 1.166 20 0 DDADMM C[C@@H]1CC[C@@H](C[NH+]2CCN(C(=O)c3ccc(O)cc3)CC2)O1 ZINC000190772016 408319214 /nfs/dbraw/zinc/31/92/14/408319214.db2.gz VRWSNRLXZGQQFN-CJNGLKHVSA-N -1 1 304.390 1.718 20 0 DDADMM CCCC[C@H](C(=O)Nc1cc(C)on1)N1CC[C@@H](C(=O)[O-])C1 ZINC000246716107 408322652 /nfs/dbraw/zinc/32/26/52/408322652.db2.gz XEVRAQWSKMWGIZ-VXGBXAGGSA-N -1 1 309.366 1.887 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1ccc2ncsc2c1 ZINC000176252242 408324041 /nfs/dbraw/zinc/32/40/41/408324041.db2.gz XREKQKUMLSWHLB-UHFFFAOYSA-N -1 1 303.347 1.070 20 0 DDADMM C[C@@H]1C[C@@H](CC[N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000273637058 408274784 /nfs/dbraw/zinc/27/47/84/408274784.db2.gz XSDXJYYCUQLNAD-ZJUUUORDSA-N -1 1 321.830 1.557 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)c1nc[nH]n1 ZINC000133215504 162054429 /nfs/dbraw/zinc/05/44/29/162054429.db2.gz YXNYTKYZHKEXSV-LURJTMIESA-N -1 1 304.734 1.637 20 0 DDADMM CCOCCOCCN(C)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000190873942 408335876 /nfs/dbraw/zinc/33/58/76/408335876.db2.gz GVJBYALXEYNMNK-UHFFFAOYSA-N -1 1 319.361 1.048 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000183318629 408377532 /nfs/dbraw/zinc/37/75/32/408377532.db2.gz JNNYWKDFBGAULI-IUCAKERBSA-N -1 1 321.345 1.831 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1cnc2ccccc2n1)c1nn[n-]n1 ZINC000176791051 408446605 /nfs/dbraw/zinc/44/66/05/408446605.db2.gz BMPRNJNIKAHUAO-VFNNOXKTSA-N -1 1 323.360 1.814 20 0 DDADMM Cc1cccc(OCCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1C ZINC000183427783 408407400 /nfs/dbraw/zinc/40/74/00/408407400.db2.gz IDCLJITYYSJHED-GFCCVEGCSA-N -1 1 317.393 1.848 20 0 DDADMM CCc1ccc(OCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183439505 408413294 /nfs/dbraw/zinc/41/32/94/408413294.db2.gz ROARBPAODUHBCX-LLVKDONJSA-N -1 1 303.366 1.403 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(-c2ccccc2)n[nH]1)c1nn[n-]n1 ZINC000176759494 408434097 /nfs/dbraw/zinc/43/40/97/408434097.db2.gz UUVHDRDWCSIOOQ-LLVKDONJSA-N -1 1 311.349 1.861 20 0 DDADMM C[C@@]12CCC(=O)N1[C@@H](C(=O)Nc1ccc(F)cc1[O-])CS2 ZINC000270396697 408516893 /nfs/dbraw/zinc/51/68/93/408516893.db2.gz ULYHPHFKJRYEAO-QMTHXVAHSA-N -1 1 310.350 1.924 20 0 DDADMM COc1ccc(OCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1C ZINC000274795932 408536280 /nfs/dbraw/zinc/53/62/80/408536280.db2.gz RBFYREAPEAGZQY-LLVKDONJSA-N -1 1 319.365 1.158 20 0 DDADMM CCO[C@H]1C[C@](NC(=O)c2ncccc2[O-])(C(=O)OC)C1(C)C ZINC000275653241 408634128 /nfs/dbraw/zinc/63/41/28/408634128.db2.gz QHDQRXBNMYUIKU-ZBEGNZNMSA-N -1 1 322.361 1.264 20 0 DDADMM CN(C(=O)CSc1nc([O-])cc(=O)n1C1CCCC1)C1CC1 ZINC000193453836 408721858 /nfs/dbraw/zinc/72/18/58/408721858.db2.gz NKQGMQGLMQTLES-UHFFFAOYSA-N -1 1 323.418 1.777 20 0 DDADMM CCOc1cccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000164676078 408803068 /nfs/dbraw/zinc/80/30/68/408803068.db2.gz WTJYURNRHLLQOA-CQSZACIVSA-N -1 1 320.389 1.498 20 0 DDADMM COc1ccc(-c2n[n-]c(S(=O)(=O)C[C@@H]3CCCO3)n2)cc1 ZINC000195120525 408812829 /nfs/dbraw/zinc/81/28/29/408812829.db2.gz DIUORRIZLICBRX-LBPRGKRZSA-N -1 1 323.374 1.433 20 0 DDADMM COc1ccc(-c2nc(S(=O)(=O)C[C@@H]3CCCO3)n[n-]2)cc1 ZINC000195120525 408812833 /nfs/dbraw/zinc/81/28/33/408812833.db2.gz DIUORRIZLICBRX-LBPRGKRZSA-N -1 1 323.374 1.433 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC[C@@H](C)C2)co1 ZINC000162893950 408744473 /nfs/dbraw/zinc/74/44/73/408744473.db2.gz AYMHGEAMBFNTQJ-MWLCHTKSSA-N -1 1 300.380 1.496 20 0 DDADMM O=C(NCc1cccc2c1OCO2)c1nc2ccccc2c(=O)[n-]1 ZINC000194304846 408775569 /nfs/dbraw/zinc/77/55/69/408775569.db2.gz KCZDJOGBNRANRE-UHFFFAOYSA-N -1 1 323.308 1.582 20 0 DDADMM Cc1nnc(CNC(=O)c2c(F)ccc([O-])c2F)n1C1CC1 ZINC000280892027 408854789 /nfs/dbraw/zinc/85/47/89/408854789.db2.gz DGFXRIUVNMPHDK-UHFFFAOYSA-N -1 1 308.288 1.835 20 0 DDADMM CCNC(=O)N1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000281133740 408873310 /nfs/dbraw/zinc/87/33/10/408873310.db2.gz JHOWCUHEYJOHMY-UHFFFAOYSA-N -1 1 313.304 1.158 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000291649671 408901258 /nfs/dbraw/zinc/90/12/58/408901258.db2.gz JNMFMNYNGIZAHH-KCJUWKMLSA-N -1 1 309.757 1.149 20 0 DDADMM COC(=O)[C@H](C)N(C)C(=O)c1ccc(Br)cc1[O-] ZINC000281748403 408924029 /nfs/dbraw/zinc/92/40/29/408924029.db2.gz JRQABGIFZLBRSR-ZETCQYMHSA-N -1 1 316.151 1.788 20 0 DDADMM Cn1ccc(CNC(=O)c2ccc(Br)c([O-])c2)n1 ZINC000231621969 163350052 /nfs/dbraw/zinc/35/00/52/163350052.db2.gz CSCNIOSVXPLYKS-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(Br)cc1[O-])C(N)=O ZINC000286371575 408972082 /nfs/dbraw/zinc/97/20/82/408972082.db2.gz NTKIOHFEJCABTH-VIFPVBQESA-N -1 1 315.167 1.539 20 0 DDADMM CC[C@H](C)[C@H](C)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000292185975 408996503 /nfs/dbraw/zinc/99/65/03/408996503.db2.gz JSUZRHWKCUOULG-UWVGGRQHSA-N -1 1 314.407 1.921 20 0 DDADMM CCN(C[C@H]1CCCO1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287766601 409090152 /nfs/dbraw/zinc/09/01/52/409090152.db2.gz VXKWEHYXPMIMIY-SHTJFRFBSA-N -1 1 303.366 1.375 20 0 DDADMM CCn1nc(C)c(C(=O)[N-]c2nnc(CC(F)(F)F)s2)n1 ZINC000293364287 409099505 /nfs/dbraw/zinc/09/95/05/409099505.db2.gz MJKNBHJTLQSBKT-UHFFFAOYSA-N -1 1 320.300 1.815 20 0 DDADMM COC(=O)[C@@H]1CCC[C@H](C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000283238633 409119672 /nfs/dbraw/zinc/11/96/72/409119672.db2.gz PWHFUMNIWWLCNT-TUBFPLQUSA-N -1 1 316.361 1.260 20 0 DDADMM CCCN(C(=O)c1ccc(Cl)cc1[O-])[C@H]1CC(=O)N(C)C1=O ZINC000283699311 409199220 /nfs/dbraw/zinc/19/92/20/409199220.db2.gz YCTPTDCRXUIVEK-NSHDSACASA-N -1 1 324.764 1.655 20 0 DDADMM CC(C)C[C@]1(CO)CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000283558985 409176894 /nfs/dbraw/zinc/17/68/94/409176894.db2.gz VPJYKTDRGBZIHD-KRWDZBQOSA-N -1 1 305.374 1.975 20 0 DDADMM O=C(NCCN1CCSCC1)c1c(F)ccc([O-])c1F ZINC000289397627 409261351 /nfs/dbraw/zinc/26/13/51/409261351.db2.gz OGBFJSFUDYUFAC-UHFFFAOYSA-N -1 1 302.346 1.449 20 0 DDADMM NC(=O)CC1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000280499261 409330045 /nfs/dbraw/zinc/33/00/45/409330045.db2.gz KWAMWPVVNIABTD-UHFFFAOYSA-N -1 1 302.252 1.358 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c(C)c1 ZINC000294284298 409272470 /nfs/dbraw/zinc/27/24/70/409272470.db2.gz GTOQNOCXKDKDAU-NSHDSACASA-N -1 1 316.361 1.435 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)c2ccoc2)C1)C(F)(F)F ZINC000290394115 409384642 /nfs/dbraw/zinc/38/46/42/409384642.db2.gz PGAHTUHAJOGIDY-VIFPVBQESA-N -1 1 304.268 1.810 20 0 DDADMM Cn1nncc1CS(=O)(=O)c1n[n-]c(CCC2CCCC2)n1 ZINC000290437128 409401071 /nfs/dbraw/zinc/40/10/71/409401071.db2.gz BMZYCYORDRLKPN-UHFFFAOYSA-N -1 1 324.410 1.030 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCC(F)(F)C2)o1 ZINC000280662600 409398406 /nfs/dbraw/zinc/39/84/06/409398406.db2.gz DKNXVXNXOFADCO-MRVPVSSYSA-N -1 1 322.333 1.353 20 0 DDADMM CCOC(=O)[C@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000280714994 409423801 /nfs/dbraw/zinc/42/38/01/409423801.db2.gz GXBVXTSKZAFAJZ-LBPRGKRZSA-N -1 1 305.330 1.377 20 0 DDADMM C[C@H]1CN(CCCNC(=O)C(=O)c2ccc([O-])cc2)C[C@H](C)O1 ZINC000280725349 409429388 /nfs/dbraw/zinc/42/93/88/409429388.db2.gz HVPRTLAMWUIGLY-STQMWFEESA-N -1 1 320.389 1.190 20 0 DDADMM O=C(N[C@H]1CCCNC1=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000295357454 409449079 /nfs/dbraw/zinc/44/90/79/409449079.db2.gz BEOQNYPUCICAFX-VIFPVBQESA-N -1 1 302.252 1.419 20 0 DDADMM C[C@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000285497553 409465695 /nfs/dbraw/zinc/46/56/95/409465695.db2.gz FACLLOPGRAZGFM-DTWKUNHWSA-N -1 1 307.803 1.024 20 0 DDADMM COc1ccccc1[C@@H](CNC(=O)CNC(=O)[O-])N1CCCC1 ZINC000285507125 409472176 /nfs/dbraw/zinc/47/21/76/409472176.db2.gz AUXHPEBTUZKNEJ-CYBMUJFWSA-N -1 1 321.377 1.216 20 0 DDADMM O=C([C@H](c1ccccc1)C1CC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000362431092 164157229 /nfs/dbraw/zinc/15/72/29/164157229.db2.gz GPDIKMJAPRZFEE-UONOGXRCSA-N -1 1 313.361 1.293 20 0 DDADMM O=C([C@H]1CCc2c[nH]nc2C1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000407998925 164193459 /nfs/dbraw/zinc/19/34/59/164193459.db2.gz SYUFCTXNQIXAEW-ONGXEEELSA-N -1 1 317.349 1.009 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cnc3nc(C)ccc3c2[O-])C[C@@H]1O ZINC000408015442 164198958 /nfs/dbraw/zinc/19/89/58/164198958.db2.gz BSULDQILWFLWJF-MFKMUULPSA-N -1 1 301.346 1.487 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C[C@@H]1O ZINC000408015442 164198959 /nfs/dbraw/zinc/19/89/59/164198959.db2.gz BSULDQILWFLWJF-MFKMUULPSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@](C)(c3cnn(C)c3)C2)c([O-])c1 ZINC000408222636 164266095 /nfs/dbraw/zinc/26/60/95/164266095.db2.gz DOKIWPCUHQBODR-INIZCTEOSA-N -1 1 316.361 1.217 20 0 DDADMM COC(=O)CCCO[N-]C(=O)Cc1c(OC)cccc1OC ZINC000290731800 409502388 /nfs/dbraw/zinc/50/23/88/409502388.db2.gz SMPZCKSUEQARAB-UHFFFAOYSA-N -1 1 311.334 1.247 20 0 DDADMM CC[C@@H](C)[C@@H](C)N(C(=O)CNC(=O)c1ncccc1[O-])C1CC1 ZINC000337920317 409567920 /nfs/dbraw/zinc/56/79/20/409567920.db2.gz YIWRUJRVFWWYLX-VXGBXAGGSA-N -1 1 319.405 1.943 20 0 DDADMM CO[C@@H](C)c1nc(=NC[C@@](C)(O)C(=O)OC(C)(C)C)s[n-]1 ZINC000337885481 409539027 /nfs/dbraw/zinc/53/90/27/409539027.db2.gz XOZFNJGMOMCWDJ-ISVAXAHUSA-N -1 1 317.411 1.172 20 0 DDADMM CCC[C@H](NCC(=O)NCc1ccc(COCC)cc1)C(=O)[O-] ZINC000296468809 409573905 /nfs/dbraw/zinc/57/39/05/409573905.db2.gz PJZIHFPFYHNBQD-HNNXBMFYSA-N -1 1 322.405 1.682 20 0 DDADMM CC[C@H](NC(=O)CNC(=O)c1ncccc1[O-])c1cccs1 ZINC000337948755 409591769 /nfs/dbraw/zinc/59/17/69/409591769.db2.gz VFJQCOGXGHLKRE-JTQLQIEISA-N -1 1 319.386 1.846 20 0 DDADMM Cc1nc(C(C)(C)NC(=O)c2nc3ccccc3c(=O)[n-]2)no1 ZINC000337956409 409602777 /nfs/dbraw/zinc/60/27/77/409602777.db2.gz VZFXEGAXEHDNLP-UHFFFAOYSA-N -1 1 313.317 1.280 20 0 DDADMM Cn1cc(C(=O)C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)cn1 ZINC000354156463 409707707 /nfs/dbraw/zinc/70/77/07/409707707.db2.gz ZQDQNFYPPZBNHM-UHFFFAOYSA-N -1 1 311.301 1.337 20 0 DDADMM CSc1n[nH]c(NC(=O)c2cncc(Br)c2)n1 ZINC000354122192 409670694 /nfs/dbraw/zinc/67/06/94/409670694.db2.gz IJHSUQWVMKMHIT-UHFFFAOYSA-N -1 1 314.168 1.936 20 0 DDADMM CCc1noc(C)c1C(=O)[N-]c1nnc(CCC(=O)OC)s1 ZINC000346105041 409786204 /nfs/dbraw/zinc/78/62/04/409786204.db2.gz GSLRYDODDGSFFS-UHFFFAOYSA-N -1 1 324.362 1.755 20 0 DDADMM COCc1n[n-]c(=NCCCN2C[C@@H](C)O[C@H](C)C2)s1 ZINC000357023468 409802859 /nfs/dbraw/zinc/80/28/59/409802859.db2.gz CSFOCKHEQOKRJS-GHMZBOCLSA-N -1 1 300.428 1.018 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@@H](C(F)(F)F)[C@H]1C ZINC000349653288 409903382 /nfs/dbraw/zinc/90/33/82/409903382.db2.gz IDHLPAMTRRZGKN-IYSWYEEDSA-N -1 1 313.301 1.357 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC000323740162 409922343 /nfs/dbraw/zinc/92/23/43/409922343.db2.gz BGPTVXWOUNQFGG-QWHCGFSZSA-N -1 1 320.389 1.985 20 0 DDADMM COC(=O)[C@@H](C)NC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338340222 409923409 /nfs/dbraw/zinc/92/34/09/409923409.db2.gz YGHCJBAQCNQDRJ-SSDOTTSWSA-N -1 1 308.721 1.885 20 0 DDADMM O=S(=O)(Cc1cccnc1)c1nc(Cc2ccccc2)n[n-]1 ZINC000357108697 409877451 /nfs/dbraw/zinc/87/74/51/409877451.db2.gz UTNADJOOOXFFQH-UHFFFAOYSA-N -1 1 314.370 1.764 20 0 DDADMM O=S(=O)(Cc1cccnc1)c1n[n-]c(Cc2ccccc2)n1 ZINC000357108697 409877456 /nfs/dbraw/zinc/87/74/56/409877456.db2.gz UTNADJOOOXFFQH-UHFFFAOYSA-N -1 1 314.370 1.764 20 0 DDADMM Cc1ccc(NC(=O)c2ccc(O)cc2[O-])cc1S(N)(=O)=O ZINC000319054377 409885665 /nfs/dbraw/zinc/88/56/65/409885665.db2.gz MIHWKJMVRWEWEE-UHFFFAOYSA-N -1 1 322.342 1.306 20 0 DDADMM CCC(CC)(NC(=O)c1csc(=NC2CC2)[n-]1)C(=O)OC ZINC000338301572 409890024 /nfs/dbraw/zinc/89/00/24/409890024.db2.gz QHEOBODDJUMXLH-UHFFFAOYSA-N -1 1 311.407 1.601 20 0 DDADMM C[C@H]1CN(CC(=O)NCCOc2ccccc2)CC[C@@H]1C(=O)[O-] ZINC000324078908 409924489 /nfs/dbraw/zinc/92/44/89/409924489.db2.gz YYWNZTCDENTZHN-ZFWWWQNUSA-N -1 1 320.389 1.224 20 0 DDADMM Cc1ccc2c(C[N@H+]3C[C@H](C)O[C@H](CO)C3)ccc(O)c2n1 ZINC000338391438 409955187 /nfs/dbraw/zinc/95/51/87/409955187.db2.gz VSJSRPDYGKLFMH-JSGCOSHPSA-N -1 1 302.374 1.830 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@]3(O)CCC[C@@H]3C)cnc2n1 ZINC000332186830 409958163 /nfs/dbraw/zinc/95/81/63/409958163.db2.gz WBYBHIUROJLPJN-DYZYQPBXSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@]3(O)CCC[C@@H]3C)c[n-]c2n1 ZINC000332186830 409958173 /nfs/dbraw/zinc/95/81/73/409958173.db2.gz WBYBHIUROJLPJN-DYZYQPBXSA-N -1 1 315.373 1.925 20 0 DDADMM COc1ccc(-c2nc(-c3cnc(OC)nc3N)no2)c([O-])c1 ZINC000350580020 409966225 /nfs/dbraw/zinc/96/62/25/409966225.db2.gz OMQKNXHOOXLSHR-UHFFFAOYSA-N -1 1 315.289 1.329 20 0 DDADMM Cc1ccc(NS(C)(=O)=O)c(NC(=O)c2cncc([O-])c2)c1 ZINC000346469822 409973430 /nfs/dbraw/zinc/97/34/30/409973430.db2.gz AHQDWWUUENDIDZ-UHFFFAOYSA-N -1 1 321.358 1.719 20 0 DDADMM Cc1cnc(C(=O)N2CCN(Cc3cccnc3)CC2)c([O-])c1 ZINC000332151280 409929872 /nfs/dbraw/zinc/92/98/72/409929872.db2.gz VLSBIIBZMFPEBX-UHFFFAOYSA-N -1 1 312.373 1.449 20 0 DDADMM CSc1cc(C[N-]S(=O)(=O)c2c(C)noc2C)ccn1 ZINC000357413764 410023668 /nfs/dbraw/zinc/02/36/68/410023668.db2.gz DIMWRRHGKIQYND-UHFFFAOYSA-N -1 1 313.404 1.887 20 0 DDADMM CSc1ccccc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000354726505 410043447 /nfs/dbraw/zinc/04/34/47/410043447.db2.gz LDAVSQMJBNHIMQ-UHFFFAOYSA-N -1 1 312.376 1.182 20 0 DDADMM CSc1ccccc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000354726505 410043451 /nfs/dbraw/zinc/04/34/51/410043451.db2.gz LDAVSQMJBNHIMQ-UHFFFAOYSA-N -1 1 312.376 1.182 20 0 DDADMM [O-]c1ccc(-c2noc(Cc3nc4ncccn4n3)n2)cc1F ZINC000350835312 410004331 /nfs/dbraw/zinc/00/43/31/410004331.db2.gz MDVODUXQQUAYPQ-UHFFFAOYSA-N -1 1 312.264 1.610 20 0 DDADMM C[C@H](CNC(=O)CNC(=O)c1ncccc1[O-])c1ccccc1 ZINC000343044821 410099268 /nfs/dbraw/zinc/09/92/68/410099268.db2.gz XVYZZRADMUTMOI-GFCCVEGCSA-N -1 1 313.357 1.437 20 0 DDADMM Cc1cccc(N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1C ZINC000332404272 410131892 /nfs/dbraw/zinc/13/18/92/410131892.db2.gz AVDZBSJFFZVYGH-UHFFFAOYSA-N -1 1 301.394 1.047 20 0 DDADMM Cn1[n-]c(CN2CC(C)(C)O[C@@](C)(C(F)(F)F)C2)nc1=O ZINC000339452549 410198587 /nfs/dbraw/zinc/19/85/87/410198587.db2.gz NLKXHTAHWIWWRV-LLVKDONJSA-N -1 1 308.304 1.040 20 0 DDADMM COC1(CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCCC1 ZINC000298397564 410210550 /nfs/dbraw/zinc/21/05/50/410210550.db2.gz JSWJONYLWPSOCM-UNOMPAQXSA-N -1 1 303.366 1.423 20 0 DDADMM CC[C@@H](C)[C@H](CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)OC ZINC000298480758 410249406 /nfs/dbraw/zinc/24/94/06/410249406.db2.gz PVMLASPLIWVQFE-QAQAYJCJSA-N -1 1 305.382 1.524 20 0 DDADMM C[C@@H]1C[C@@H]([N-]C(=O)C(F)(F)c2c(F)cccc2F)C(=O)O1 ZINC000298533918 410276876 /nfs/dbraw/zinc/27/68/76/410276876.db2.gz VWONKPGGDAZVEQ-HZGVNTEJSA-N -1 1 305.227 1.877 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(O)cc2C)co1 ZINC000339491631 410230893 /nfs/dbraw/zinc/23/08/93/410230893.db2.gz IYNYPBBDESCIBS-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NC[C@H]1C[C@H]1C ZINC000298923819 410453020 /nfs/dbraw/zinc/45/30/20/410453020.db2.gz BAOAXBRRAZNALP-ZWNOBZJWSA-N -1 1 312.373 1.867 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@H](CO)CC(F)(F)F)s1 ZINC000339784504 410459797 /nfs/dbraw/zinc/45/97/97/410459797.db2.gz DOCIQGMOPBGSPH-LURJTMIESA-N -1 1 304.315 1.043 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1[C@H](C)C[C@H]2CCCC[C@@H]21 ZINC000352052232 410444451 /nfs/dbraw/zinc/44/44/51/410444451.db2.gz JDRNBVZIDDCAFU-BBBLOLIVSA-N -1 1 307.350 1.690 20 0 DDADMM Cc1nc(CCNC(=O)c2c[n-]c3c(cnn3C)c2=O)cs1 ZINC000358312390 410445796 /nfs/dbraw/zinc/44/57/96/410445796.db2.gz AOYQPDAONRAVGP-UHFFFAOYSA-N -1 1 317.374 1.411 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCN(c3ccccc3)C2=O)c([O-])c1 ZINC000358655041 410505537 /nfs/dbraw/zinc/50/55/37/410505537.db2.gz XNNUMOFLWBHLJE-ZDUSSCGKSA-N -1 1 311.341 1.631 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ncccc2OCC)n1 ZINC000352151902 410514008 /nfs/dbraw/zinc/51/40/08/410514008.db2.gz WVEMWHPUJDWPFH-UHFFFAOYSA-N -1 1 304.306 1.632 20 0 DDADMM Cc1cnc(C(=O)N2C[C@]3(CCOC3)OC(C)(C)C2)c([O-])c1 ZINC000330039446 410523149 /nfs/dbraw/zinc/52/31/49/410523149.db2.gz BTOGBRORHBYYGM-INIZCTEOSA-N -1 1 306.362 1.506 20 0 DDADMM COc1n[n-]c(=NC(=O)N2CCN(C3CCCC3)CC2)s1 ZINC000330018759 410518879 /nfs/dbraw/zinc/51/88/79/410518879.db2.gz UBHOMFRNTLHEPY-UHFFFAOYSA-N -1 1 311.411 1.061 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1c[nH]nc1-c1ccccn1 ZINC000352287154 410598701 /nfs/dbraw/zinc/59/87/01/410598701.db2.gz ZVQRDYYFXZPUFF-UHFFFAOYSA-N -1 1 323.238 1.861 20 0 DDADMM NC(=O)N1CCCc2ccc(NC(=O)c3cncc([O-])c3)cc21 ZINC000355509539 410554858 /nfs/dbraw/zinc/55/48/58/410554858.db2.gz VDGGYIGTBDGKLH-UHFFFAOYSA-N -1 1 312.329 1.871 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2cncc(Cl)c2)n1 ZINC000330449553 410699900 /nfs/dbraw/zinc/69/99/00/410699900.db2.gz HWJLHQCXDDRHQE-LURJTMIESA-N -1 1 302.743 1.466 20 0 DDADMM CC1(CNC(=O)CNC(=O)c2ncccc2[O-])CCCCC1 ZINC000343901460 410793764 /nfs/dbraw/zinc/79/37/64/410793764.db2.gz VEIWIAUETHKQEF-UHFFFAOYSA-N -1 1 305.378 1.604 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@H](CC2CC2)C1 ZINC000359716410 410833156 /nfs/dbraw/zinc/83/31/56/410833156.db2.gz LQLJRTWWZGRAOE-GFCCVEGCSA-N -1 1 303.362 1.166 20 0 DDADMM O=c1nc(NCc2cc(-c3ccccc3)no2)nc2[nH][n-]cc1-2 ZINC000356189217 410885989 /nfs/dbraw/zinc/88/59/89/410885989.db2.gz FVROCZRREOFPEF-UHFFFAOYSA-N -1 1 308.301 1.699 20 0 DDADMM N=c1nc(N2CCN(C(=O)CCCC3CCCC3)CC2)s[n-]1 ZINC000344006923 410886284 /nfs/dbraw/zinc/88/62/84/410886284.db2.gz KEGHEQTZUMBZNW-UHFFFAOYSA-N -1 1 323.466 1.960 20 0 DDADMM O=C(Cc1ccc(Cl)c(Cl)c1)NC1(c2nn[n-]n2)CC1 ZINC000348273441 410891281 /nfs/dbraw/zinc/89/12/81/410891281.db2.gz FENUSAHSKRUAJF-UHFFFAOYSA-N -1 1 312.160 1.855 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@H]1c1cc(F)ccc1F ZINC000348297024 410902589 /nfs/dbraw/zinc/90/25/89/410902589.db2.gz IYTBASYROCFFNK-WPRPVWTQSA-N -1 1 305.288 1.387 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H](CO)C3CC3)cnc2n1 ZINC000359819766 410900250 /nfs/dbraw/zinc/90/02/50/410900250.db2.gz IGHKYYBSPTYLLR-NSHDSACASA-N -1 1 301.346 1.392 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@@H](CO)C3CC3)c[n-]c2n1 ZINC000359819766 410900255 /nfs/dbraw/zinc/90/02/55/410900255.db2.gz IGHKYYBSPTYLLR-NSHDSACASA-N -1 1 301.346 1.392 20 0 DDADMM Cc1nc(-c2ccco2)ccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348297067 410906297 /nfs/dbraw/zinc/90/62/97/410906297.db2.gz JMDYXFKSTNXZOI-UHFFFAOYSA-N -1 1 310.317 1.582 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@@H]1c1cccc(Cl)c1 ZINC000348297914 410907371 /nfs/dbraw/zinc/90/73/71/410907371.db2.gz MHBDVAWXQQMDHN-MNOVXSKESA-N -1 1 303.753 1.762 20 0 DDADMM CCC[C@@H](NC(=O)CC1(C(=O)OCC)CCC1)c1nn[n-]n1 ZINC000356370335 410989309 /nfs/dbraw/zinc/98/93/09/410989309.db2.gz QDGPTHMOIZGXHB-SNVBAGLBSA-N -1 1 309.370 1.281 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](CCO)C(C)(C)C)sc1C ZINC000331260466 411045697 /nfs/dbraw/zinc/04/56/97/411045697.db2.gz BIXZPGRNDXKPSI-JTQLQIEISA-N -1 1 306.453 1.835 20 0 DDADMM Cc1cccc([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)c1C ZINC000353535365 411051399 /nfs/dbraw/zinc/05/13/99/411051399.db2.gz VWBVFTBWKGUWAS-NSHDSACASA-N -1 1 311.345 1.525 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCCN2C(C)=O)c1 ZINC000331224913 411029043 /nfs/dbraw/zinc/02/90/43/411029043.db2.gz BXCUVTQXQLWGEL-CYBMUJFWSA-N -1 1 320.345 1.518 20 0 DDADMM COc1ccccc1C(=O)NCC(=O)Nc1ccc(F)cc1[O-] ZINC000353778352 411128398 /nfs/dbraw/zinc/12/83/98/411128398.db2.gz MUKZSMARQWQOLV-UHFFFAOYSA-N -1 1 318.304 1.908 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)CCc2ccccn2)c1 ZINC000631641764 422865102 /nfs/dbraw/zinc/86/51/02/422865102.db2.gz VTPZODFWYPVNCB-UHFFFAOYSA-N -1 1 322.386 1.659 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCO[C@H](c3nccn3C)C2)c([O-])c1 ZINC000580699574 422948650 /nfs/dbraw/zinc/94/86/50/422948650.db2.gz VKGFVKBDHFMHLO-AAEUAGOBSA-N -1 1 316.361 1.479 20 0 DDADMM O=C(c1ccc(CO)c(F)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000647658949 422987608 /nfs/dbraw/zinc/98/76/08/422987608.db2.gz CWPDFNVDXBDWJB-SNVBAGLBSA-N -1 1 321.308 1.426 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2nccs2)c1 ZINC000642990310 423016280 /nfs/dbraw/zinc/01/62/80/423016280.db2.gz IBUXPWQSIMBASL-UHFFFAOYSA-N -1 1 313.360 1.009 20 0 DDADMM Cc1ccc(Br)c(C)c1C(=O)NCc1nn[n-]n1 ZINC000645388402 423014543 /nfs/dbraw/zinc/01/45/43/423014543.db2.gz LLJGULWSDQRQEW-UHFFFAOYSA-N -1 1 310.155 1.509 20 0 DDADMM O=C(NCc1cn(C2CCC2)nn1)c1c(F)ccc([O-])c1F ZINC000640543661 423018917 /nfs/dbraw/zinc/01/89/17/423018917.db2.gz SMLIUDJIAICKAD-UHFFFAOYSA-N -1 1 308.288 1.917 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1cn[nH]c1 ZINC000647752293 423031459 /nfs/dbraw/zinc/03/14/59/423031459.db2.gz ZMSKNINJHWTHNL-UHFFFAOYSA-N -1 1 303.366 1.484 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCCC[C@@H]2C)c1 ZINC000133884421 196343278 /nfs/dbraw/zinc/34/32/78/196343278.db2.gz AKLMIIBHSXLLLY-GXSJLCMTSA-N -1 1 301.364 1.923 20 0 DDADMM Cc1nn2c(nc(C)cc2C)c1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000647815696 423057924 /nfs/dbraw/zinc/05/79/24/423057924.db2.gz HRYPVNYPPKMRSM-UHFFFAOYSA-N -1 1 300.322 1.644 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@@H](NC(N)=O)c1cccs1 ZINC000647815891 423058530 /nfs/dbraw/zinc/05/85/30/423058530.db2.gz PTEUSLPZDLAVRB-SSDOTTSWSA-N -1 1 309.351 1.223 20 0 DDADMM COC[C@@H](C)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000571637256 304476282 /nfs/dbraw/zinc/47/62/82/304476282.db2.gz BQOGLEFCQXKBDT-VIFPVBQESA-N -1 1 300.405 1.857 20 0 DDADMM CC[C@H](CCO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645560720 423085713 /nfs/dbraw/zinc/08/57/13/423085713.db2.gz UHGAKJRBPCVNJX-MRVPVSSYSA-N -1 1 312.313 1.540 20 0 DDADMM O=S(=O)([N-][C@H]1CCSC1)c1ncccc1C(F)(F)F ZINC000645568440 423090638 /nfs/dbraw/zinc/09/06/38/423090638.db2.gz MXDJAMZWAIXNCE-ZETCQYMHSA-N -1 1 312.338 1.884 20 0 DDADMM COC1(CS(=O)(=O)[N-]Cc2nnc(C3CC3)o2)CCCC1 ZINC000647905359 423109936 /nfs/dbraw/zinc/10/99/36/423109936.db2.gz QJHXTLJWUNNNSY-UHFFFAOYSA-N -1 1 315.395 1.326 20 0 DDADMM CSc1nc(CNC(=O)c2cc(Cl)cn2C)cc(=O)[n-]1 ZINC000640658670 423118236 /nfs/dbraw/zinc/11/82/36/423118236.db2.gz QJANXPYWVYGWRW-UHFFFAOYSA-N -1 1 312.782 1.826 20 0 DDADMM CC(C)(C)C(=O)C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645654227 423127405 /nfs/dbraw/zinc/12/74/05/423127405.db2.gz SJUPJUZAUVUWMF-UHFFFAOYSA-N -1 1 324.324 1.994 20 0 DDADMM C[C@H]1C[C@H](C(C)(C)C)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000360703681 418496426 /nfs/dbraw/zinc/49/64/26/418496426.db2.gz AVLXISSWPPNICO-WDEREUQCSA-N -1 1 317.393 1.704 20 0 DDADMM Cc1nn(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(C)c1Cl ZINC000366729977 418510320 /nfs/dbraw/zinc/51/03/20/418510320.db2.gz HUQLRNHQVWVKAD-JTQLQIEISA-N -1 1 323.788 1.073 20 0 DDADMM CCC[C@H](C)CS(=O)(=O)[N-][C@H](CC(F)(F)F)C(=O)OC ZINC000360845113 418532152 /nfs/dbraw/zinc/53/21/52/418532152.db2.gz JJGAJILIFGLWMJ-DTWKUNHWSA-N -1 1 319.345 1.836 20 0 DDADMM O=C(NCCc1ccccc1O)c1nc2ccccc2c(=O)[n-]1 ZINC000194244301 418541728 /nfs/dbraw/zinc/54/17/28/418541728.db2.gz LOWAQBCGIBLDDW-UHFFFAOYSA-N -1 1 309.325 1.601 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H]2CC(=O)N3CCCC[C@H]23)sc1C ZINC000374582108 418544269 /nfs/dbraw/zinc/54/42/69/418544269.db2.gz COJNZQPIAKARBR-VHSXEESVSA-N -1 1 309.391 1.225 20 0 DDADMM O=C(COc1ccccc1)N1CC[C@H]([N-]C(=O)C(F)(F)F)C1 ZINC000374686512 418556358 /nfs/dbraw/zinc/55/63/58/418556358.db2.gz MYKDKEMQPDSMNT-JTQLQIEISA-N -1 1 316.279 1.345 20 0 DDADMM C[C@H](CO)NC(=O)c1cc(I)ccc1[O-] ZINC000192719825 222134912 /nfs/dbraw/zinc/13/49/12/222134912.db2.gz PZMUBIFZJHYGNP-ZCFIWIBFSA-N -1 1 321.114 1.107 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@@H](C)S1(=O)=O ZINC000291150991 222176645 /nfs/dbraw/zinc/17/66/45/222176645.db2.gz FVHYHHUWUZOXSF-RKDXNWHRSA-N -1 1 301.339 1.179 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000316029545 418598629 /nfs/dbraw/zinc/59/86/29/418598629.db2.gz MYWWMMZEGSYWKJ-ZOWXZIJZSA-N -1 1 304.390 1.974 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@@H]1[C@H]1CCCO1 ZINC000375064242 418599536 /nfs/dbraw/zinc/59/95/36/418599536.db2.gz IJBHEXHTAPVFNS-ZIAGYGMSSA-N -1 1 313.357 1.707 20 0 DDADMM CN(CCCCC(=O)[O-])[C@@H]1CC(=O)N(Cc2ccccc2)C1=O ZINC000367830692 418641709 /nfs/dbraw/zinc/64/17/09/418641709.db2.gz IHECKCUJHFJJQE-CQSZACIVSA-N -1 1 318.373 1.501 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N[C@@H]2CCCc3cn[nH]c32)[n-]n1 ZINC000375495019 418649158 /nfs/dbraw/zinc/64/91/58/418649158.db2.gz NMLKOKAQFRWYRG-LLVKDONJSA-N -1 1 316.365 1.608 20 0 DDADMM Cn1ccc(CC[N-]S(=O)(=O)c2c(F)cccc2Cl)n1 ZINC000361962107 418730460 /nfs/dbraw/zinc/73/04/60/418730460.db2.gz NWRIMSWBWMJXTK-UHFFFAOYSA-N -1 1 317.773 1.734 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)CC(F)(F)F)co1 ZINC000362002259 418737839 /nfs/dbraw/zinc/73/78/39/418737839.db2.gz HLEZRXTVNRQGTF-LURJTMIESA-N -1 1 314.285 1.258 20 0 DDADMM C[C@H]1C[C@H](C)CN(S(=O)(=O)[N-]c2ccn(CC(F)F)n2)C1 ZINC000362019723 418739862 /nfs/dbraw/zinc/73/98/62/418739862.db2.gz VVCQSWCLIJHRBO-UWVGGRQHSA-N -1 1 322.381 1.783 20 0 DDADMM C[C@H](Cc1ccccc1F)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000364628056 418794092 /nfs/dbraw/zinc/79/40/92/418794092.db2.gz INMKZOPFQUCXTB-ZWNOBZJWSA-N -1 1 319.340 1.118 20 0 DDADMM O=C(Nc1nn[nH]c1C(=O)NC1CC1)c1ccoc1C1CC1 ZINC000411114698 418870408 /nfs/dbraw/zinc/87/04/08/418870408.db2.gz YBMGWNKIQYEGMT-UHFFFAOYSA-N -1 1 301.306 1.420 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@](C)(O)C2CC2)c(F)c1 ZINC000425200677 228388993 /nfs/dbraw/zinc/38/89/93/228388993.db2.gz KCWWVYPCODRMME-CYBMUJFWSA-N -1 1 305.346 1.713 20 0 DDADMM C[C@@H](N=c1ccc(N(C)Cc2nnnn2C)n[n-]1)c1ccccc1 ZINC000425236278 228395996 /nfs/dbraw/zinc/39/59/96/228395996.db2.gz JLBAZMVXYURPTB-GFCCVEGCSA-N -1 1 324.392 1.232 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-]c1c(C)nn(C)c1C ZINC000421156095 419505703 /nfs/dbraw/zinc/50/57/03/419505703.db2.gz PJJXBSZSYRUJAL-LBPRGKRZSA-N -1 1 303.428 1.840 20 0 DDADMM CC[C@H](CS(=O)(=O)Nc1cccc(C(=O)[O-])c1O)OC ZINC000421154369 419506639 /nfs/dbraw/zinc/50/66/39/419506639.db2.gz MQQHGBUYZPDUFC-MRVPVSSYSA-N -1 1 303.336 1.257 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cccc(C(=O)OC)c1F)OC ZINC000421168519 419514075 /nfs/dbraw/zinc/51/40/75/419514075.db2.gz YISQWAJYYWFJHG-SECBINFHSA-N -1 1 319.354 1.779 20 0 DDADMM O=C(CNC(=O)c1cccc(O)c1)Nc1ccc(F)cc1[O-] ZINC000427657435 419710661 /nfs/dbraw/zinc/71/06/61/419710661.db2.gz PIDSNAHQGRVULN-UHFFFAOYSA-N -1 1 304.277 1.605 20 0 DDADMM CN(C(=O)c1c[n-]c2c(cnn2C)c1=O)[C@@H]1CCCC[C@H]1O ZINC000428589585 419898892 /nfs/dbraw/zinc/89/88/92/419898892.db2.gz MPUYPHCIHWSXSZ-VXGBXAGGSA-N -1 1 304.350 1.049 20 0 DDADMM CC[C@H](C(=O)Nc1nc2cccc(C(=O)OC)c2[nH]1)[C@@H](C)O ZINC000419943182 420068469 /nfs/dbraw/zinc/06/84/69/420068469.db2.gz HZHREELBBCFTCT-BDAKNGLRSA-N -1 1 305.334 1.695 20 0 DDADMM COc1ccccc1[C@H](C)N(C)C(=O)CN(C)CCC(=O)[O-] ZINC000430657888 420171239 /nfs/dbraw/zinc/17/12/39/420171239.db2.gz ILIWAZQECALWHT-LBPRGKRZSA-N -1 1 308.378 1.621 20 0 DDADMM CN(CCCC(=O)[O-])CCCS(=O)(=O)c1ccc(F)cc1 ZINC000430668225 420174400 /nfs/dbraw/zinc/17/44/00/420174400.db2.gz HHHYDGABYAVKBO-UHFFFAOYSA-N -1 1 317.382 1.786 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)c1ccc(C)cc1 ZINC000416132680 420253493 /nfs/dbraw/zinc/25/34/93/420253493.db2.gz HCQYXOWSUZCLSR-VIFPVBQESA-N -1 1 303.318 1.696 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)COCc2nc(C)no2)c1 ZINC000436501104 420332468 /nfs/dbraw/zinc/33/24/68/420332468.db2.gz BADCKCMJNMSISQ-UHFFFAOYSA-N -1 1 321.289 1.026 20 0 DDADMM COCCN(CC(=O)OC)C(=O)c1cc2ccccc2cc1[O-] ZINC000436543957 420339326 /nfs/dbraw/zinc/33/93/26/420339326.db2.gz HSBPFSNDYJAMQC-UHFFFAOYSA-N -1 1 317.341 1.807 20 0 DDADMM O=C(c1ncccc1Cl)N1CCC(C2N=NC(=O)O2)CC1 ZINC000425328198 420353173 /nfs/dbraw/zinc/35/31/73/420353173.db2.gz XBUXWLCERCEJLM-UHFFFAOYSA-N -1 1 308.725 1.843 20 0 DDADMM O=C(c1ncccc1Cl)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425328198 420353176 /nfs/dbraw/zinc/35/31/76/420353176.db2.gz XBUXWLCERCEJLM-UHFFFAOYSA-N -1 1 308.725 1.843 20 0 DDADMM CO[C@@H](CNC(=O)c1c[n-]c2c(cnn2C)c1=O)CC(C)C ZINC000416257363 420297953 /nfs/dbraw/zinc/29/79/53/420297953.db2.gz CAIKPSIVWAISAJ-SNVBAGLBSA-N -1 1 306.366 1.465 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1C[C@@](C)(O)C1 ZINC000416279052 420302333 /nfs/dbraw/zinc/30/23/33/420302333.db2.gz YXDCOOMBRQRUCZ-AITUWYQGSA-N -1 1 318.377 1.014 20 0 DDADMM CC[C@@H]1C[C@H]([N-]S(=O)(=O)c2cc(C(=O)OC)co2)CCO1 ZINC000420721219 420369434 /nfs/dbraw/zinc/36/94/34/420369434.db2.gz HVPSWKWYZJZDPQ-GHMZBOCLSA-N -1 1 317.363 1.302 20 0 DDADMM O=C(NC[C@@H]1CCCCS1(=O)=O)c1ccc(Cl)cc1[O-] ZINC000436828573 420371517 /nfs/dbraw/zinc/37/15/17/420371517.db2.gz IOZXRMDRDZPVAL-JTQLQIEISA-N -1 1 317.794 1.743 20 0 DDADMM C[C@@H](c1ccccc1)[C@@H](NC(=O)c1cc(F)ccc1[O-])C(N)=O ZINC000436834110 420375275 /nfs/dbraw/zinc/37/52/75/420375275.db2.gz YFCNDAKHVYHHIB-ZUZCIYMTSA-N -1 1 316.332 1.919 20 0 DDADMM CCN(CC)C(=O)[C@H]1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000436894974 420381988 /nfs/dbraw/zinc/38/19/88/420381988.db2.gz SNNSGMGTEFIOSP-CQSZACIVSA-N -1 1 318.373 1.434 20 0 DDADMM CS(=O)(=O)CCCCCNC(=O)c1c([O-])cccc1F ZINC000437001428 420392330 /nfs/dbraw/zinc/39/23/30/420392330.db2.gz PFBUZZUMBQEPSF-UHFFFAOYSA-N -1 1 303.355 1.476 20 0 DDADMM CCOC[C@H](O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436767613 420366453 /nfs/dbraw/zinc/36/64/53/420366453.db2.gz GMOYGROAWYHEGN-SECBINFHSA-N -1 1 307.268 1.538 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](C)CCCc1cccnc1 ZINC000416540038 420412433 /nfs/dbraw/zinc/41/24/33/420412433.db2.gz TVMZGGYNNVJNAL-SNVBAGLBSA-N -1 1 324.406 1.480 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)CCCc1cccnc1 ZINC000416540038 420412437 /nfs/dbraw/zinc/41/24/37/420412437.db2.gz TVMZGGYNNVJNAL-SNVBAGLBSA-N -1 1 324.406 1.480 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C2CC2)C2CCC2)o1 ZINC000416512718 420403159 /nfs/dbraw/zinc/40/31/59/420403159.db2.gz RYGRNYLXLXZXBJ-CYBMUJFWSA-N -1 1 313.375 1.923 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2CO[C@H](C)C2)c1Br ZINC000439515118 420519876 /nfs/dbraw/zinc/51/98/76/420519876.db2.gz VAMBIWVXEYRMPY-RQJHMYQMSA-N -1 1 302.172 1.520 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1(C)C ZINC000440328576 420567688 /nfs/dbraw/zinc/56/76/88/420567688.db2.gz LLMKPNOOTXRNBC-NWDGAFQWSA-N -1 1 301.346 1.466 20 0 DDADMM CC[C@@H](NC(=O)c1cc(Br)ccc1[O-])C(=O)NC ZINC000456989194 420581310 /nfs/dbraw/zinc/58/13/10/420581310.db2.gz DAIMUNZSWVHKCB-SECBINFHSA-N -1 1 315.167 1.409 20 0 DDADMM CC[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[O-])C(=O)NC ZINC000457057377 420599007 /nfs/dbraw/zinc/59/90/07/420599007.db2.gz RENCDGNDHQOEEM-VIFPVBQESA-N -1 1 304.268 1.665 20 0 DDADMM C[C@H](NC(=O)OCc1ccccc1)C(=O)Nc1ccncc1[O-] ZINC000492864259 420692944 /nfs/dbraw/zinc/69/29/44/420692944.db2.gz JGTLTBVDBZGNQA-NSHDSACASA-N -1 1 315.329 1.463 20 0 DDADMM COc1cc(C(=O)N2CC[S@](=O)[C@H](C)C2)cc(Cl)c1[O-] ZINC000442673063 420698357 /nfs/dbraw/zinc/69/83/57/420698357.db2.gz SAFJVYGOFPCOIW-SQFXPLBJSA-N -1 1 317.794 1.647 20 0 DDADMM COCCOC[C@@H](C)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442669701 420697768 /nfs/dbraw/zinc/69/77/68/420697768.db2.gz HVHXCRGGUOIKTL-SECBINFHSA-N -1 1 317.769 1.836 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)C[S@](C)=O)cc(Cl)c1[O-] ZINC000442794761 420717175 /nfs/dbraw/zinc/71/71/75/420717175.db2.gz DKZYHXKNZXMPDE-IIYDVTGLSA-N -1 1 305.783 1.551 20 0 DDADMM O=S(=O)([N-][C@@H](CO)[C@H]1CCCO1)c1c(F)cccc1Cl ZINC000447936594 420823544 /nfs/dbraw/zinc/82/35/44/420823544.db2.gz QJYBHTWCJIIIQZ-WDEREUQCSA-N -1 1 323.773 1.297 20 0 DDADMM CCc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CCCC2)o1 ZINC000493744252 420929583 /nfs/dbraw/zinc/92/95/83/420929583.db2.gz XZNYXPCCMAZHFC-FPLPWBNLSA-N -1 1 301.350 1.954 20 0 DDADMM CCOc1cc(C(=O)NC(COC)COC)cc(Cl)c1[O-] ZINC000456249162 421133815 /nfs/dbraw/zinc/13/38/15/421133815.db2.gz GTQHTURVPAQYMG-UHFFFAOYSA-N -1 1 317.769 1.836 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H](CNC(C)=O)CC(C)C)c1nn[n-]n1 ZINC000450119198 421145813 /nfs/dbraw/zinc/14/58/13/421145813.db2.gz CJFAUGYSTINAAB-QWHCGFSZSA-N -1 1 324.429 1.346 20 0 DDADMM COC(=O)[C@H](CF)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000489655835 421170098 /nfs/dbraw/zinc/17/00/98/421170098.db2.gz OFGKEWKJDBXNSI-QMMMGPOBSA-N -1 1 305.689 1.295 20 0 DDADMM CC[S@@](=O)CC(=O)N=c1cc(-c2ccc(Cl)s2)[n-][nH]1 ZINC000450257908 421172007 /nfs/dbraw/zinc/17/20/07/421172007.db2.gz YFMSBFQXYOYYBZ-LJQANCHMSA-N -1 1 317.823 1.921 20 0 DDADMM CO[C@@H]([C@@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O)C1CC1 ZINC000456541809 421180238 /nfs/dbraw/zinc/18/02/38/421180238.db2.gz DAHWQDOZORTSJE-OQPBUACISA-N -1 1 304.350 1.217 20 0 DDADMM C[C@@H]1[C@@H](C(=O)[N-]O[C@H]2CCOC2)CCN1C(=O)OC(C)(C)C ZINC000492190257 421212130 /nfs/dbraw/zinc/21/21/30/421212130.db2.gz TVMTXOUQRMCLMP-WOPDTQHZSA-N -1 1 314.382 1.469 20 0 DDADMM Cc1ccc2[nH]c(C(=O)Nc3n[n-]c(S(C)(=O)=O)n3)cc2c1 ZINC000523125508 421233349 /nfs/dbraw/zinc/23/33/49/421233349.db2.gz QDCZPCDFGVSAMO-UHFFFAOYSA-N -1 1 319.346 1.250 20 0 DDADMM Cc1ccc2[nH]c(C(=O)Nc3nc(S(C)(=O)=O)n[n-]3)cc2c1 ZINC000523125508 421233353 /nfs/dbraw/zinc/23/33/53/421233353.db2.gz QDCZPCDFGVSAMO-UHFFFAOYSA-N -1 1 319.346 1.250 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)N=c2[n-]nc(C3CC3)s2)n[nH]1 ZINC000560256526 421240539 /nfs/dbraw/zinc/24/05/39/421240539.db2.gz NQBZFYYKNKZFIG-ZETCQYMHSA-N -1 1 321.410 1.962 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(-c2cccnc2)on1)c1nn[n-]n1 ZINC000545332528 421254874 /nfs/dbraw/zinc/25/48/74/421254874.db2.gz SMEZSAHVCAOZST-SECBINFHSA-N -1 1 313.321 1.125 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@@H]1c1cccs1 ZINC000545372873 421256530 /nfs/dbraw/zinc/25/65/30/421256530.db2.gz YJKUXHLHLWIGRO-SNVBAGLBSA-N -1 1 315.358 1.456 20 0 DDADMM C[C@@H]1CC[C@]2(CCN(C(=O)c3cc(=O)n4nc[n-]c4n3)C2)C1 ZINC000545452043 421259885 /nfs/dbraw/zinc/25/98/85/421259885.db2.gz LZCMYKOYQFZFCE-BMIGLBTASA-N -1 1 301.350 1.070 20 0 DDADMM CCc1ccc(S(=O)(=O)N=c2cc(OC)n(C)[n-]2)s1 ZINC000547499454 421338443 /nfs/dbraw/zinc/33/84/43/421338443.db2.gz YYGDVYZZXIBKRD-UHFFFAOYSA-N -1 1 301.393 1.275 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCn3ccnc3C2)sc1C ZINC000547643365 421349614 /nfs/dbraw/zinc/34/96/14/421349614.db2.gz CZZYFTHFPFVQHJ-JTQLQIEISA-N -1 1 312.420 1.250 20 0 DDADMM COC(=O)CN(Cc1ccccc1)C(=O)c1ncccc1[O-] ZINC000547975465 421384407 /nfs/dbraw/zinc/38/44/07/421384407.db2.gz RWUCNXTUEMITPV-UHFFFAOYSA-N -1 1 300.314 1.603 20 0 DDADMM CC(C)[C@H]1C[C@H](CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)CCO1 ZINC000548139079 421405867 /nfs/dbraw/zinc/40/58/67/421405867.db2.gz UYZOIIRDMURDEB-JHJVBQTASA-N -1 1 309.414 1.603 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2ccccc2c1O)c1nn[n-]n1 ZINC000548466611 421441839 /nfs/dbraw/zinc/44/18/39/421441839.db2.gz YHZNDLUPYVDOIG-JTQLQIEISA-N -1 1 311.345 1.934 20 0 DDADMM CO[C@@H]1COC[C@@H]1[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000563525913 421516871 /nfs/dbraw/zinc/51/68/71/421516871.db2.gz VPOJYOQEKNCYOY-CMPLNLGQSA-N -1 1 315.322 1.264 20 0 DDADMM CC(C)[C@@H](Nc1nc(C(F)(F)F)nc2[nH]cnc21)C(=O)[O-] ZINC000563522258 421516899 /nfs/dbraw/zinc/51/68/99/421516899.db2.gz HQROAHXJCWFDFG-RXMQYKEDSA-N -1 1 303.244 1.315 20 0 DDADMM CO[C@@H]1C[C@H](C(=O)[O-])N(CCOCCOc2ccccc2)C1 ZINC000515855932 421519717 /nfs/dbraw/zinc/51/97/17/421519717.db2.gz MMPRVTNIGRBFHN-HUUCEWRRSA-N -1 1 309.362 1.256 20 0 DDADMM CC1(C)[C@H](C(=O)[O-])[C@@H]1C(=O)Nc1cccc(-c2cn[nH]n2)c1 ZINC000563130318 421460944 /nfs/dbraw/zinc/46/09/44/421460944.db2.gz IDMNOLIYHMZDSR-NEPJUHHUSA-N -1 1 300.318 1.767 20 0 DDADMM O=C([O-])c1coc(=NCC2(c3ccccc3)CCOCC2)[nH]1 ZINC000563208464 421472283 /nfs/dbraw/zinc/47/22/83/421472283.db2.gz YABZILCMMUSOTJ-UHFFFAOYSA-N -1 1 302.330 1.955 20 0 DDADMM O=C(N=c1cc(-c2cccs2)[n-][nH]1)[C@H]1CCCS1(=O)=O ZINC000563412999 421505417 /nfs/dbraw/zinc/50/54/17/421505417.db2.gz UOXHNIDLXYFRLJ-SNVBAGLBSA-N -1 1 311.388 1.076 20 0 DDADMM O=C(CSc1nnc(Cn2cncn2)o1)c1ccc([O-])cc1 ZINC000530693275 421613164 /nfs/dbraw/zinc/61/31/64/421613164.db2.gz UAYLLCGWYDBQQC-UHFFFAOYSA-N -1 1 317.330 1.390 20 0 DDADMM COc1ccc(NC(=O)c2cc[nH]c2C)cc1[N-]S(C)(=O)=O ZINC000531162893 421625381 /nfs/dbraw/zinc/62/53/81/421625381.db2.gz KZPGMEHJBYQLSG-UHFFFAOYSA-N -1 1 323.374 1.956 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)C[C@H]1CCCCO1)C(=O)OC ZINC000570567131 421655172 /nfs/dbraw/zinc/65/51/72/421655172.db2.gz SITNOTXZLXBZQQ-XQQFMLRXSA-N -1 1 321.439 1.453 20 0 DDADMM COCc1nsc(=N[C@@H]2CCCC[C@H]2N2CCOCC2)[n-]1 ZINC000532421854 421660231 /nfs/dbraw/zinc/66/02/31/421660231.db2.gz QFXZMWAIRPKQBP-VXGBXAGGSA-N -1 1 312.439 1.162 20 0 DDADMM O=c1cc(C2CC2)nc(SCCC2CS(=O)(=O)C2)[n-]1 ZINC000571252493 421699634 /nfs/dbraw/zinc/69/96/34/421699634.db2.gz ITLJXMFAUJRNQO-UHFFFAOYSA-N -1 1 300.405 1.586 20 0 DDADMM O=C(C[C@@H]1CSc2ccccc2O1)NC1(c2nn[n-]n2)CC1 ZINC000556265726 421705631 /nfs/dbraw/zinc/70/56/31/421705631.db2.gz VOAFGSGAKHXRCJ-SECBINFHSA-N -1 1 317.374 1.248 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@]2(O)CCC[C@H]2C)sc1C ZINC000555429221 421678071 /nfs/dbraw/zinc/67/80/71/421678071.db2.gz JGZURQSTYBDGCN-PRHODGIISA-N -1 1 304.437 1.589 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)[N-]c2ccn(Cc3ccccc3)n2)C1 ZINC000537566810 421731960 /nfs/dbraw/zinc/73/19/60/421731960.db2.gz JORBOHNODSTPFI-CYBMUJFWSA-N -1 1 320.418 1.930 20 0 DDADMM Cc1cc(C(=O)N2CCc3ccncc32)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000542638642 421826050 /nfs/dbraw/zinc/82/60/50/421826050.db2.gz ISTQHRBQOUTAHD-UHFFFAOYSA-N -1 1 323.312 1.342 20 0 DDADMM Cc1cc(C(=O)N2CCc3ccncc32)[n-]c2nc(=O)[nH]c(=O)c1-2 ZINC000542638642 421826052 /nfs/dbraw/zinc/82/60/52/421826052.db2.gz ISTQHRBQOUTAHD-UHFFFAOYSA-N -1 1 323.312 1.342 20 0 DDADMM CC(C)CN(CCC(=O)[O-])CCS(=O)(=O)c1ccccc1 ZINC000572489938 421794949 /nfs/dbraw/zinc/79/49/49/421794949.db2.gz KAAGOOIHAIHKIX-UHFFFAOYSA-N -1 1 313.419 1.893 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)c1cc[nH]c1C1CCC1 ZINC000580840349 421848806 /nfs/dbraw/zinc/84/88/06/421848806.db2.gz ACGXVMFIJDHOKY-UHFFFAOYSA-N -1 1 307.379 1.737 20 0 DDADMM Cc1cccc(CCC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000630222702 421972876 /nfs/dbraw/zinc/97/28/76/421972876.db2.gz ICFGXFAUQYWFHC-HNNXBMFYSA-N -1 1 318.417 1.935 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@@H](C)Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000630140762 421914634 /nfs/dbraw/zinc/91/46/34/421914634.db2.gz WVJAKFAMXSKKHY-SWLSCSKDSA-N -1 1 315.373 1.881 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@H](OC)C2)c1 ZINC000631896036 421918757 /nfs/dbraw/zinc/91/87/57/421918757.db2.gz MYBJQGHEIHTMOD-LLVKDONJSA-N -1 1 301.364 1.200 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000630158707 421928521 /nfs/dbraw/zinc/92/85/21/421928521.db2.gz UMIUJHUSXHSWGT-NHYWBVRUSA-N -1 1 313.357 1.799 20 0 DDADMM COc1ccc(-c2noc(CN[C@@H](C)[C@@H](C)C(=O)[O-])n2)cc1 ZINC000581434931 421974034 /nfs/dbraw/zinc/97/40/34/421974034.db2.gz SGWMJCRTPDRJTO-ZJUUUORDSA-N -1 1 305.334 1.944 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN(C)C[C@@H](C)C(=O)[O-])cc1 ZINC000581313761 421946098 /nfs/dbraw/zinc/94/60/98/421946098.db2.gz QUXFKLCVYOISLR-SNVBAGLBSA-N -1 1 308.334 1.064 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)Cc1ccon1)C(=O)OC ZINC000581367378 421955959 /nfs/dbraw/zinc/95/59/59/421955959.db2.gz WIIPZKQZXYFMBD-ONGXEEELSA-N -1 1 304.368 1.072 20 0 DDADMM CC(C)Cn1nccc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630221376 421970713 /nfs/dbraw/zinc/97/07/13/421970713.db2.gz ZBXHNFRRHCMSAO-ZDUSSCGKSA-N -1 1 322.409 1.160 20 0 DDADMM COC[C@H]1CCN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000632016607 422023858 /nfs/dbraw/zinc/02/38/58/422023858.db2.gz XARYYGDVKKECCE-JTQLQIEISA-N -1 1 301.364 1.058 20 0 DDADMM Cc1ccc(CCC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)o1 ZINC000630224908 421976668 /nfs/dbraw/zinc/97/66/68/421976668.db2.gz RCMXFZPXVCSQCZ-GFCCVEGCSA-N -1 1 308.378 1.528 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCSC[C@H]2C)c1 ZINC000631997881 422008531 /nfs/dbraw/zinc/00/85/31/422008531.db2.gz KVDMRYCDRDBFTL-SECBINFHSA-N -1 1 303.405 1.527 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCOC(C)(C)C2)c1 ZINC000632141232 422116182 /nfs/dbraw/zinc/11/61/82/422116182.db2.gz PWDHVGGEDIJOOQ-SNVBAGLBSA-N -1 1 315.391 1.637 20 0 DDADMM CN(C(=O)NCc1cccc(C(=O)[O-])c1)[C@H]1CC[N@H+](C2CC2)C1 ZINC000635524140 422073457 /nfs/dbraw/zinc/07/34/57/422073457.db2.gz HCXITBMILFNJQL-HNNXBMFYSA-N -1 1 317.389 1.763 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCOC(C)(C)C2)c1 ZINC000632141153 422114898 /nfs/dbraw/zinc/11/48/98/422114898.db2.gz PWDHVGGEDIJOOQ-JTQLQIEISA-N -1 1 315.391 1.637 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)CC1(OC)CCC1)c1ccco1 ZINC000632060253 422059262 /nfs/dbraw/zinc/05/92/62/422059262.db2.gz HIJUMHZYLKTTMO-LLVKDONJSA-N -1 1 303.380 1.456 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCO[C@@H](C)C2)c1 ZINC000632172284 422138271 /nfs/dbraw/zinc/13/82/71/422138271.db2.gz IVWOADYOHCTDKP-VHSXEESVSA-N -1 1 301.364 1.247 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCO[C@H](C)C2)c1 ZINC000632172285 422139261 /nfs/dbraw/zinc/13/92/61/422139261.db2.gz IVWOADYOHCTDKP-ZJUUUORDSA-N -1 1 301.364 1.247 20 0 DDADMM O=C([O-])Cn1cc(CN2CCC[C@H]2Cc2ccccc2)nn1 ZINC000583569595 422186949 /nfs/dbraw/zinc/18/69/49/422186949.db2.gz FQLSQTCPDLMGID-HNNXBMFYSA-N -1 1 300.362 1.570 20 0 DDADMM CN(CCOc1ccccc1Cl)C(=O)CCc1nn[n-]n1 ZINC000630603576 422216333 /nfs/dbraw/zinc/21/63/33/422216333.db2.gz IRBBAEAGSZZFRO-UHFFFAOYSA-N -1 1 309.757 1.323 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCc3cc(O)ccc3C2)sn1 ZINC000632281063 422220206 /nfs/dbraw/zinc/22/02/06/422220206.db2.gz QYFLDKWYPCTPKC-GFCCVEGCSA-N -1 1 324.427 1.993 20 0 DDADMM O=C(NC[C@H](O)[C@@H]1CCCO1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000628595773 422250830 /nfs/dbraw/zinc/25/08/30/422250830.db2.gz WEPNGHPRJUCTKX-RYUDHWBXSA-N -1 1 319.279 1.681 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C(F)(F)F)nn1C)c1nn[n-]n1 ZINC000584094151 422255922 /nfs/dbraw/zinc/25/59/22/422255922.db2.gz RMLARUUIHPQRTA-LURJTMIESA-N -1 1 317.275 1.223 20 0 DDADMM CCSc1cc(C[N-]S(=O)(=O)c2conc2C)ccn1 ZINC000632243337 422189998 /nfs/dbraw/zinc/18/99/98/422189998.db2.gz MRHKYZVHZJFIDO-UHFFFAOYSA-N -1 1 313.404 1.969 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCC[C@@H]2CCO)c1 ZINC000632243996 422190853 /nfs/dbraw/zinc/19/08/53/422190853.db2.gz BTGDGDZRTWJQSU-PWSUYJOCSA-N -1 1 315.391 1.230 20 0 DDADMM CC[C@H](C)Cc1noc(C[N-]S(=O)(=O)CCOC(C)C)n1 ZINC000632248010 422192152 /nfs/dbraw/zinc/19/21/52/422192152.db2.gz HBNULESWXWOOHP-NSHDSACASA-N -1 1 319.427 1.503 20 0 DDADMM O=C(C[C@H]1CCCS1(=O)=O)Nc1ccc([O-])c(Cl)c1 ZINC000635720946 422278776 /nfs/dbraw/zinc/27/87/76/422278776.db2.gz DSZHURZHNDUQDP-SECBINFHSA-N -1 1 303.767 1.951 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCO[C@H](c2ncon2)C1 ZINC000632393501 422303064 /nfs/dbraw/zinc/30/30/64/422303064.db2.gz QXBCMXPVBIAWMD-LBPRGKRZSA-N -1 1 323.736 1.571 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCN(c2ccc(Cl)cc2)CC1 ZINC000630835149 422363991 /nfs/dbraw/zinc/36/39/91/422363991.db2.gz CXKURAXAWPGBGF-UHFFFAOYSA-N -1 1 320.784 1.135 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](O)C12CCC2)c1ccc(C(F)F)o1 ZINC000632562505 422439446 /nfs/dbraw/zinc/43/94/46/422439446.db2.gz QVXWKUHCMPWLFC-IUCAKERBSA-N -1 1 307.318 1.799 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCC[C@@H]1[C@H]1CCCC[C@H]1O ZINC000635884852 422440853 /nfs/dbraw/zinc/44/08/53/422440853.db2.gz MQCIVFHKKVSZGQ-MGPQQGTHSA-N -1 1 321.425 1.455 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCO[C@H](CCF)C1 ZINC000629016527 422444256 /nfs/dbraw/zinc/44/42/56/422444256.db2.gz BRXDRMUJYQNPHE-SECBINFHSA-N -1 1 315.370 1.413 20 0 DDADMM C[C@@H](O)C[C@@H]1COCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632491858 422388795 /nfs/dbraw/zinc/38/87/95/422388795.db2.gz QXYLYYRHGJSLSV-ZYHUDNBSSA-N -1 1 313.781 1.586 20 0 DDADMM C[C@H]1CO[C@@H](c2ccccc2)CN1C(=O)CCc1nn[n-]n1 ZINC000630905381 422405596 /nfs/dbraw/zinc/40/55/96/422405596.db2.gz VXYUQQUTUIOAJH-WCQYABFASA-N -1 1 301.350 1.121 20 0 DDADMM CC1(C)CN(C(=O)CCCc2nn[n-]n2)CC2(CCCC2)O1 ZINC000635850559 422405757 /nfs/dbraw/zinc/40/57/57/422405757.db2.gz NUJZNYKZVZNIEQ-UHFFFAOYSA-N -1 1 307.398 1.473 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000628961246 422423079 /nfs/dbraw/zinc/42/30/79/422423079.db2.gz XOUCKSKHDZDDTA-SSDOTTSWSA-N -1 1 320.242 1.881 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@](C)(C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000578132030 422505986 /nfs/dbraw/zinc/50/59/86/422505986.db2.gz IZXQTGXIYLLQOJ-SCLBCKFNSA-N -1 1 316.401 1.756 20 0 DDADMM CC[C@H](O)Cn1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634628255 422514166 /nfs/dbraw/zinc/51/41/66/422514166.db2.gz UTJSPOJNGWSALX-GJZGRUSLSA-N -1 1 301.390 1.478 20 0 DDADMM C[NH+](C)CCn1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634589662 422495434 /nfs/dbraw/zinc/49/54/34/422495434.db2.gz DUNFVRQDVFRBMQ-OAHLLOKOSA-N -1 1 300.406 1.268 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2C[C@H]2C(F)(F)F)c1 ZINC000632712322 422539301 /nfs/dbraw/zinc/53/93/01/422539301.db2.gz NGMKLCHGASJGLK-HTQZYQBOSA-N -1 1 311.281 1.630 20 0 DDADMM O=S(=O)([N-][C@@H]1CCn2cncc2C1)c1cccc(F)c1F ZINC000634661209 422543636 /nfs/dbraw/zinc/54/36/36/422543636.db2.gz MEIMNKZXRYBGLD-SECBINFHSA-N -1 1 313.329 1.455 20 0 DDADMM Cc1cn(CC(=O)Nc2c(C)[n-][nH]c2=O)nc1C(F)(F)F ZINC000627510985 422580910 /nfs/dbraw/zinc/58/09/10/422580910.db2.gz JGBLNXCXKNWBAB-UHFFFAOYSA-N -1 1 303.244 1.586 20 0 DDADMM CC(C)c1nccn1CCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000579065510 422695027 /nfs/dbraw/zinc/69/50/27/422695027.db2.gz CRTZWVMFTNRYGS-UHFFFAOYSA-N -1 1 317.397 1.495 20 0 DDADMM C[C@H]1SCCN(C(=O)CCc2nn[n-]n2)[C@H]1c1ccccc1 ZINC000631379492 422728231 /nfs/dbraw/zinc/72/82/31/422728231.db2.gz UPHDFNUQBKUOBV-IAQYHMDHSA-N -1 1 317.418 1.838 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCOC[C@H]1CCCO1 ZINC000631322348 422685643 /nfs/dbraw/zinc/68/56/43/422685643.db2.gz VKSBTJXTEMBJPJ-GFCCVEGCSA-N -1 1 313.781 1.900 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000650505706 423178635 /nfs/dbraw/zinc/17/86/35/423178635.db2.gz NPXOVQBUDHETEA-VHSXEESVSA-N -1 1 308.300 1.479 20 0 DDADMM COCCOCCN1CCN(Cc2ccc(C(=O)[O-])cc2)CC1 ZINC000650514256 423184483 /nfs/dbraw/zinc/18/44/83/423184483.db2.gz BRHYHIIOGJICBV-UHFFFAOYSA-N -1 1 322.405 1.165 20 0 DDADMM CCN(CC)CCS(=O)(=O)N1CCC[C@@H](CCC(=O)[O-])C1 ZINC000646034179 423302756 /nfs/dbraw/zinc/30/27/56/423302756.db2.gz CVBLLELQCGDJLP-ZDUSSCGKSA-N -1 1 320.455 1.235 20 0 DDADMM CC1(C(=O)[O-])CCN(C(=O)N[C@H]2CCc3nc[nH]c3C2)CC1 ZINC000646158397 423372769 /nfs/dbraw/zinc/37/27/69/423372769.db2.gz PMVJVJRMKFQRCJ-JTQLQIEISA-N -1 1 306.366 1.163 20 0 DDADMM CCOCCC1(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)CCC1 ZINC000643909107 423402495 /nfs/dbraw/zinc/40/24/95/423402495.db2.gz FLLZPONCHNUXMU-UHFFFAOYSA-N -1 1 319.365 1.261 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](C(=O)NCCN2CCc3ccccc3C2)C1 ZINC000653309145 423433696 /nfs/dbraw/zinc/43/36/96/423433696.db2.gz XJOZTMWWIDCHHF-LSDHHAIUSA-N -1 1 316.401 1.662 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)C(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000653343159 423449458 /nfs/dbraw/zinc/44/94/58/423449458.db2.gz PHFFQAINVRXFEJ-LSDHHAIUSA-N -1 1 304.390 1.973 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCn1c2ccccc2n(C)c1=O ZINC000646413163 423480630 /nfs/dbraw/zinc/48/06/30/423480630.db2.gz RGOWUGDMPIILCA-UHFFFAOYSA-N -1 1 315.333 1.106 20 0 DDADMM CC(C)C[C@@]1(C(=O)Nc2n[n-]c(C(F)(F)F)n2)CCNC1=O ZINC000639486300 423531330 /nfs/dbraw/zinc/53/13/30/423531330.db2.gz ZVGUENWHWLMMCD-NSHDSACASA-N -1 1 319.287 1.314 20 0 DDADMM CCCc1cc(C(=O)N2CC[C@](C(=O)[O-])(C(F)(F)F)C2)n[nH]1 ZINC000653654095 423566240 /nfs/dbraw/zinc/56/62/40/423566240.db2.gz HICHAMPNGPNFCS-LBPRGKRZSA-N -1 1 319.283 1.841 20 0 DDADMM NC(=O)c1ccc(=NCC2(c3ccccc3F)CCC2)[n-]n1 ZINC000651363015 423508271 /nfs/dbraw/zinc/50/82/71/423508271.db2.gz LZENGOHLGXEAAJ-UHFFFAOYSA-N -1 1 300.337 1.670 20 0 DDADMM O=S(=O)([N-]CCC1(CO)CCC1)c1cccc(F)c1F ZINC000651907452 423736051 /nfs/dbraw/zinc/73/60/51/423736051.db2.gz QKGRYHWGGURJPK-UHFFFAOYSA-N -1 1 305.346 1.796 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCO1)c1ncccc1Br ZINC000656859446 423810364 /nfs/dbraw/zinc/81/03/64/423810364.db2.gz PYBSEACASJTJSK-QMMMGPOBSA-N -1 1 321.196 1.301 20 0 DDADMM O=S(=O)(C[C@@H]1CCCCO1)[N-]c1cc(F)cc(F)c1O ZINC000641691322 423870329 /nfs/dbraw/zinc/87/03/29/423870329.db2.gz CRIBIEZKXUNCKG-VIFPVBQESA-N -1 1 307.318 1.981 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)c2c(O)cc(Cl)cc2Cl)CCO1 ZINC000647219547 423883628 /nfs/dbraw/zinc/88/36/28/423883628.db2.gz MFBRAMURQFJQSJ-VIFPVBQESA-N -1 1 320.128 1.625 20 0 DDADMM CNC(=O)c1ccc(CS(=O)(=O)[N-][C@H](C)C(F)F)cc1 ZINC000641704954 423886363 /nfs/dbraw/zinc/88/63/63/423886363.db2.gz JFOVXLOSKLRVGW-MRVPVSSYSA-N -1 1 306.334 1.119 20 0 DDADMM COCCOc1ncccc1[N-]S(=O)(=O)C1(COC)CC1 ZINC000641683338 423852363 /nfs/dbraw/zinc/85/23/63/423852363.db2.gz NEQTYZFCVKJIJF-UHFFFAOYSA-N -1 1 316.379 1.028 20 0 DDADMM Cc1c(F)cccc1N(Cc1nn(C)c(=O)[n-]1)[C@H]1CCO[C@H]1C ZINC000639933044 423945969 /nfs/dbraw/zinc/94/59/69/423945969.db2.gz VZQZDEQWZJUTEK-FZMZJTMJSA-N -1 1 320.368 1.740 20 0 DDADMM O=C(N1CCC[C@H](c2n[n-]c(=O)o2)C1)C1(C(F)(F)F)CC1 ZINC000644884501 424010848 /nfs/dbraw/zinc/01/08/48/424010848.db2.gz WMKIUTFNCOJGBH-ZETCQYMHSA-N -1 1 305.256 1.824 20 0 DDADMM Cc1c(Cl)cncc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000655029307 424147261 /nfs/dbraw/zinc/14/72/61/424147261.db2.gz OVEIXZKRXZPYOU-VIFPVBQESA-N -1 1 306.757 1.576 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2cncc(C)n2)sc1C ZINC000657194229 424208274 /nfs/dbraw/zinc/20/82/74/424208274.db2.gz BMPWXYQNMHJNNG-UHFFFAOYSA-N -1 1 312.420 1.379 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CC[C@@H]1c1ccccc1 ZINC000657195938 424209459 /nfs/dbraw/zinc/20/94/59/424209459.db2.gz MODMNKBQYNJCTB-VXGBXAGGSA-N -1 1 307.375 1.790 20 0 DDADMM C[C@@H](O)CN(C(=O)Cc1ccc([O-])c(Cl)c1)[C@@H](C)CO ZINC000640161714 424228747 /nfs/dbraw/zinc/22/87/47/424228747.db2.gz REUKADCYKOZSKX-VHSXEESVSA-N -1 1 301.770 1.178 20 0 DDADMM CCc1nc(SCCCCS(C)(=O)=O)[n-]c(=O)c1C ZINC000657263797 424252357 /nfs/dbraw/zinc/25/23/57/424252357.db2.gz NKPFQVYSXWWVTK-UHFFFAOYSA-N -1 1 304.437 1.970 20 0 DDADMM CC(C)OC[C@H](CO)N(C)C(=O)N=c1[n-]sc2ccccc21 ZINC000640338918 424361077 /nfs/dbraw/zinc/36/10/77/424361077.db2.gz HJGWBIVPLQTAKP-NSHDSACASA-N -1 1 323.418 1.968 20 0 DDADMM CN(C)C(=O)NCCNC(=O)N=c1[n-]sc2ccccc21 ZINC000640341575 424362480 /nfs/dbraw/zinc/36/24/80/424362480.db2.gz IBVUHVYVKILWFB-UHFFFAOYSA-N -1 1 307.379 1.111 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC(c3ccc(O)cc3)CC2)C1=O ZINC000662201313 424467239 /nfs/dbraw/zinc/46/72/39/424467239.db2.gz HQBAZMKHDBEZKK-HNNXBMFYSA-N -1 1 318.373 1.257 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1ccc(-c2nnc[nH]2)cc1)C(=O)[O-] ZINC000655563522 424570647 /nfs/dbraw/zinc/57/06/47/424570647.db2.gz CDPLUYZJOCILAY-GFCCVEGCSA-N -1 1 302.334 1.558 20 0 DDADMM C[C@@H](CNC(=O)NC[C@@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000655859121 424683102 /nfs/dbraw/zinc/68/31/02/424683102.db2.gz FLGXUPRMERKVEI-RYUDHWBXSA-N -1 1 309.366 1.436 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)N[C@@H]1CCCc2cn[nH]c21)C1CCC1 ZINC000665307659 424779463 /nfs/dbraw/zinc/77/94/63/424779463.db2.gz LOHMQZNHPFXFIQ-NWDGAFQWSA-N -1 1 306.366 1.587 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)NC[C@H](C(=O)[O-])C1CCC1 ZINC000665325893 424784390 /nfs/dbraw/zinc/78/43/90/424784390.db2.gz QHNJNUKPOAXAGR-UONOGXRCSA-N -1 1 311.426 1.469 20 0 DDADMM Cn1[n-]c(C(=O)NC[C@@H](c2ccco2)N2CCCC2)cc1=O ZINC000665347930 424788762 /nfs/dbraw/zinc/78/87/62/424788762.db2.gz WEOYYKMJTMUDTE-LBPRGKRZSA-N -1 1 304.350 1.286 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CCCCS2)co1 ZINC000344679722 272088371 /nfs/dbraw/zinc/08/83/71/272088371.db2.gz QEVZLWMKPMCCBB-SNVBAGLBSA-N -1 1 318.420 1.203 20 0 DDADMM C[C@@H](O)C[C@@H]1CCCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000344742474 272106025 /nfs/dbraw/zinc/10/60/25/272106025.db2.gz UJVQJKXRQUGJSY-MNOVXSKESA-N -1 1 318.377 1.440 20 0 DDADMM Cn1cc(-c2ncc(C(=O)Nc3ccncc3[O-])s2)cn1 ZINC000345379629 272235971 /nfs/dbraw/zinc/23/59/71/272235971.db2.gz NBLGWUBMHWWCCW-UHFFFAOYSA-N -1 1 301.331 1.318 20 0 DDADMM O=C(Nc1cc(Cl)c(O)cc1F)c1c[n-]n2c1nccc2=O ZINC000345700469 272308549 /nfs/dbraw/zinc/30/85/49/272308549.db2.gz DEVAGTYMQWTLOJ-UHFFFAOYSA-N -1 1 322.683 1.773 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@@H]1OCCc2ccccc21)c1nn[n-]n1 ZINC000183421920 278237554 /nfs/dbraw/zinc/23/75/54/278237554.db2.gz VFJPPDOCSCZTBC-FZMZJTMJSA-N -1 1 315.377 1.466 20 0 DDADMM C[C@@H](C[S@](C)=O)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000572837847 304559618 /nfs/dbraw/zinc/55/96/18/304559618.db2.gz DZOWAMZTXANKGY-ASCAZGACSA-N -1 1 318.445 1.342 20 0 DDADMM Cc1cccc([N-]S(=O)(=O)c2cccc(-n3cnnn3)c2)c1 ZINC000354264719 298386885 /nfs/dbraw/zinc/38/68/85/298386885.db2.gz GQJMDGIRLIEYCE-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM COC(=O)[C@H]1C[C@H](OC)CN1C(=O)c1ccc(Cl)cc1[O-] ZINC000364852532 300451787 /nfs/dbraw/zinc/45/17/87/300451787.db2.gz VUKCKLSHAHKJLP-GXSJLCMTSA-N -1 1 313.737 1.448 20 0 DDADMM O=C(NCc1cc2n(n1)CCCO2)c1ccc(Cl)cc1[O-] ZINC000574926466 304699157 /nfs/dbraw/zinc/69/91/57/304699157.db2.gz DMYCUXVCZXDOFE-UHFFFAOYSA-N -1 1 307.737 1.955 20 0 DDADMM O=C([O-])NCC(=O)NC1CCN(Cc2ccccc2F)CC1 ZINC000367527644 300855487 /nfs/dbraw/zinc/85/54/87/300855487.db2.gz QRDPPYCORNYIKV-UHFFFAOYSA-N -1 1 309.341 1.174 20 0 DDADMM Nc1nccc([C@H]2CCCN(C(=O)c3ccc([O-])cc3F)C2)n1 ZINC000370484338 301349327 /nfs/dbraw/zinc/34/93/27/301349327.db2.gz NYZQRPLNGUOYAU-JTQLQIEISA-N -1 1 316.336 1.754 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1COc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000370516186 301355454 /nfs/dbraw/zinc/35/54/54/301355454.db2.gz BEZMWFKRIPZBLN-MNOVXSKESA-N -1 1 321.768 1.987 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(C)n1C1CC1 ZINC000370858220 301398099 /nfs/dbraw/zinc/39/80/99/301398099.db2.gz BWPXDBVCNWTMEW-CYBMUJFWSA-N -1 1 316.365 1.167 20 0 DDADMM O=C(CCc1ccccc1Cl)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370872218 301398794 /nfs/dbraw/zinc/39/87/94/301398794.db2.gz QFOLRKPCUBVZPV-LBPRGKRZSA-N -1 1 321.768 1.386 20 0 DDADMM COCCCO[N-]C(=O)[C@@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000376351323 302066262 /nfs/dbraw/zinc/06/62/62/302066262.db2.gz SMKJZDFRPUVJNC-HNNXBMFYSA-N -1 1 316.398 1.718 20 0 DDADMM O=C1C[C@H](N=c2nc(C(F)(F)F)[n-]s2)[C@H]2CCCCN12 ZINC000376390418 302070926 /nfs/dbraw/zinc/07/09/26/302070926.db2.gz CJUJQPDYRCMSPI-NKWVEPMBSA-N -1 1 306.313 1.544 20 0 DDADMM CCCc1nc(C)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000378301895 302309151 /nfs/dbraw/zinc/30/91/51/302309151.db2.gz QYGMJRWBTFIRBE-JTQLQIEISA-N -1 1 320.422 1.937 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@H]1c1ncc[nH]1 ZINC000378578180 302338969 /nfs/dbraw/zinc/33/89/69/302338969.db2.gz DBVDUAPVDBOTCW-LBPRGKRZSA-N -1 1 309.329 1.624 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCO[C@@H]3CCC[C@@H]31)c2=O ZINC000378592193 302341237 /nfs/dbraw/zinc/34/12/37/302341237.db2.gz TVGNBEMBULCAIU-NWDGAFQWSA-N -1 1 302.334 1.067 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(CC(=O)Nc2cc(F)cc(F)c2)C1 ZINC000398862039 302363587 /nfs/dbraw/zinc/36/35/87/302363587.db2.gz REAPWISQIIAMEX-NXEZZACHSA-N -1 1 312.316 1.946 20 0 DDADMM CCOC(=O)N1CC[C@H](N=c2[n-]c(C(=O)OC)c(C)s2)C1 ZINC000496491722 302581557 /nfs/dbraw/zinc/58/15/57/302581557.db2.gz OZPTVDMRCJFUHX-VIFPVBQESA-N -1 1 313.379 1.303 20 0 DDADMM CC1(C)OC[C@H]2C[C@]21[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000528486057 303033063 /nfs/dbraw/zinc/03/30/63/303033063.db2.gz JQYDQBQFQVJYLN-UHLUBPPHSA-N -1 1 321.320 1.950 20 0 DDADMM Cn1nc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c2ccccc21 ZINC000528882150 303069230 /nfs/dbraw/zinc/06/92/30/303069230.db2.gz KONXXGKTSPXPJE-SNVBAGLBSA-N -1 1 311.349 1.106 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC(C)(C)c1cccc(C)c1 ZINC000357373193 306879658 /nfs/dbraw/zinc/87/96/58/306879658.db2.gz JMAZIPZJZOESDQ-UHFFFAOYSA-N -1 1 317.345 1.870 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(Cc2cn(-c3ccccc3)nn2)C1 ZINC000533203159 303313666 /nfs/dbraw/zinc/31/36/66/303313666.db2.gz NTVOWFCKYLFOCF-ZDUSSCGKSA-N -1 1 300.362 1.954 20 0 DDADMM COCc1nc(C)c(C(=O)NC2(c3nn[n-]n3)CCCC2)s1 ZINC000536202395 303357668 /nfs/dbraw/zinc/35/76/68/303357668.db2.gz CDWQRXMUPJDPBA-UHFFFAOYSA-N -1 1 322.394 1.310 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccnc(O[C@H]2CCOC2)c1 ZINC000543645722 303460988 /nfs/dbraw/zinc/46/09/88/303460988.db2.gz BJKJQWYKSIBINL-NSHDSACASA-N -1 1 301.302 1.024 20 0 DDADMM O=C(NC[C@H]1CCCc2ccccc21)c1cc(=O)n2[n-]cnc2n1 ZINC000543700623 303461619 /nfs/dbraw/zinc/46/16/19/303461619.db2.gz OTZBBOXJSNPZMC-GFCCVEGCSA-N -1 1 323.356 1.268 20 0 DDADMM CC(=O)NCCC[NH+]1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000361598184 307000279 /nfs/dbraw/zinc/00/02/79/307000279.db2.gz RSCJTCVGWGXIDY-UHFFFAOYSA-N -1 1 304.390 1.813 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1CCC(F)(F)C1 ZINC000361842260 307008559 /nfs/dbraw/zinc/00/85/59/307008559.db2.gz KDCIYPKVNUPQCK-MRVPVSSYSA-N -1 1 301.293 1.393 20 0 DDADMM O=C([O-])NCC(=O)N1CCC[C@@H]1c1n[nH]c(-c2ccccc2)n1 ZINC000368609410 307106168 /nfs/dbraw/zinc/10/61/68/307106168.db2.gz YCFAISIFSGPUJV-LLVKDONJSA-N -1 1 315.333 1.403 20 0 DDADMM CCOc1cc(C(=O)N2CC(n3ccnn3)C2)cc(Cl)c1[O-] ZINC000372000464 307161706 /nfs/dbraw/zinc/16/17/06/307161706.db2.gz WKBWBVRMWDHGCE-UHFFFAOYSA-N -1 1 322.752 1.733 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(C[C@@H]3CC4(CCC4)C(=O)O3)C2)n[nH]1 ZINC000377522403 307271592 /nfs/dbraw/zinc/27/15/92/307271592.db2.gz DRUUSKHOQVXXJT-QWRGUYRKSA-N -1 1 306.366 1.176 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@@H](c2ccc(C)cc2)C1 ZINC000377889887 307278371 /nfs/dbraw/zinc/27/83/71/307278371.db2.gz QPCDLOLBXORRBD-CYBMUJFWSA-N -1 1 321.402 1.882 20 0 DDADMM COC(=O)Nc1ccc(CCNC(=O)c2ncccc2[O-])cc1 ZINC000495545760 307309610 /nfs/dbraw/zinc/30/96/10/307309610.db2.gz PLWJKUIUIXBOTG-UHFFFAOYSA-N -1 1 315.329 1.938 20 0 DDADMM C[C@]1(CO)CN(C(=O)c2ccc(Cl)cc2[O-])C[C@@]1(C)CO ZINC000529967411 307578069 /nfs/dbraw/zinc/57/80/69/307578069.db2.gz KAPFTBMBWZEGSC-GASCZTMLSA-N -1 1 313.781 1.499 20 0 DDADMM O=C(N[C@H](c1ccccc1)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000542771981 307700761 /nfs/dbraw/zinc/70/07/61/307700761.db2.gz FZGLKILMSCGMCR-CQSZACIVSA-N -1 1 309.329 1.299 20 0 DDADMM Cc1cc(C(=O)N=c2nc(Cc3cccc(F)c3)[nH][n-]2)ncn1 ZINC000543762881 307711761 /nfs/dbraw/zinc/71/17/61/307711761.db2.gz HDUVOQSSSLQAEI-UHFFFAOYSA-N -1 1 312.308 1.307 20 0 DDADMM C[C@](O)(C1CCN(C(=O)c2ncccc2[O-])CC1)C(F)(F)F ZINC000547654996 307741720 /nfs/dbraw/zinc/74/17/20/307741720.db2.gz IINAAIWMEQFVBQ-ZDUSSCGKSA-N -1 1 318.295 1.953 20 0 DDADMM CC(=O)NCC(=O)Nc1c([O-])cc(Br)cc1Cl ZINC000556846372 307858720 /nfs/dbraw/zinc/85/87/20/307858720.db2.gz VAXVRLLVICRCDO-UHFFFAOYSA-N -1 1 321.558 1.883 20 0 DDADMM C[C@@H](N=c1ccc(N2CCn3nncc3C2)n[n-]1)c1ccccc1 ZINC000566484237 308055341 /nfs/dbraw/zinc/05/53/41/308055341.db2.gz QVKXYLTVAFYYDD-CYBMUJFWSA-N -1 1 321.388 1.683 20 0 DDADMM CS(=O)(=O)NCCc1nc(-c2ccc([O-])cc2Cl)no1 ZINC000567066751 308070573 /nfs/dbraw/zinc/07/05/73/308070573.db2.gz OVKMPDSUIMHFDA-UHFFFAOYSA-N -1 1 317.754 1.187 20 0 DDADMM C[C@H](C(=O)[O-])N(CCS(=O)(=O)c1ccccc1)C1CCC1 ZINC000568290623 308115264 /nfs/dbraw/zinc/11/52/64/308115264.db2.gz NIUABQCJDXACIL-GFCCVEGCSA-N -1 1 311.403 1.788 20 0 DDADMM NC(=O)[C@@H]1[C@@H]2CCC[C@H]2C[N@H+]1Cc1cc(=O)[nH]c(C2CC2)n1 ZINC000568483283 308119752 /nfs/dbraw/zinc/11/97/52/308119752.db2.gz PGKMLMWAJCYJJD-SUHUHFCYSA-N -1 1 302.378 1.145 20 0 DDADMM CCOc1cccc(S(=O)(=O)N=c2cc(OC)n(C)[n-]2)c1 ZINC000570663061 308176566 /nfs/dbraw/zinc/17/65/66/308176566.db2.gz GLFIJLALRNGAOV-UHFFFAOYSA-N -1 1 311.363 1.050 20 0 DDADMM CCC[C@H](NC(=O)c1cnc(C(C)(C)C)nc1)c1nn[n-]n1 ZINC000576565784 308323506 /nfs/dbraw/zinc/32/35/06/308323506.db2.gz NBNMZKONNVRGHO-JTQLQIEISA-N -1 1 303.370 1.558 20 0 DDADMM CCC[C@@H](C)[C@@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000576708719 308333999 /nfs/dbraw/zinc/33/39/99/308333999.db2.gz HZPCMMMRYINHOR-ZWNOBZJWSA-N -1 1 303.362 1.450 20 0 DDADMM Cc1nc(-c2ccc(N3CCn4nncc4C3)nc2)[n-]c(=O)c1C ZINC000577528121 308396171 /nfs/dbraw/zinc/39/61/71/308396171.db2.gz DKEVVVQDPIJGNQ-UHFFFAOYSA-N -1 1 323.360 1.473 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)c1 ZINC000578485768 308464571 /nfs/dbraw/zinc/46/45/71/308464571.db2.gz QCKKEJRCSBSJBM-SCVCMEIPSA-N -1 1 324.402 1.675 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1cccc(F)c1F)C1CC1 ZINC000580643388 308620047 /nfs/dbraw/zinc/62/00/47/308620047.db2.gz ANUXLZBOISLRJZ-GFCCVEGCSA-N -1 1 319.329 1.336 20 0 DDADMM O=C(C[C@@H]1COCCN1Cc1ncccc1[O-])c1ccco1 ZINC000582129723 325977218 /nfs/dbraw/zinc/97/72/18/325977218.db2.gz ADEVHQBFAIEDBY-GFCCVEGCSA-N -1 1 302.330 1.854 20 0 DDADMM Cc1cc(F)cc(-n2cc(C(=O)[N-]c3nncs3)nn2)c1 ZINC000582599807 337111820 /nfs/dbraw/zinc/11/18/20/337111820.db2.gz PQALRLKEYRUCGZ-UHFFFAOYSA-N -1 1 304.310 1.819 20 0 DDADMM O=C([O-])C1(O)CCN(Cc2ccccc2Br)CC1 ZINC000582790736 337167192 /nfs/dbraw/zinc/16/71/92/337167192.db2.gz CGHBHHIEYMVOOY-UHFFFAOYSA-N -1 1 314.179 1.861 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NCC(C)(F)F)o1 ZINC000583890915 337384500 /nfs/dbraw/zinc/38/45/00/337384500.db2.gz HBJJSKDJURYMBG-UHFFFAOYSA-N -1 1 324.349 1.741 20 0 DDADMM Cc1c[nH]nc1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000669604898 484584176 /nfs/dbraw/zinc/58/41/76/484584176.db2.gz YNNGPQJEMDUIPK-UHFFFAOYSA-N -1 1 300.322 1.013 20 0 DDADMM C[C@H]1CC[C@@](NC(=O)c2ccc3[nH]nnc3c2)(C(=O)[O-])CC1 ZINC000659181260 484677279 /nfs/dbraw/zinc/67/72/79/484677279.db2.gz FSVPYHDMLJRLDA-AZKDTHOKSA-N -1 1 302.334 1.721 20 0 DDADMM CC1(C)C[C@@]1(C(=O)[O-])C(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000663121526 484685133 /nfs/dbraw/zinc/68/51/33/484685133.db2.gz KRHJUDSHKXTNDV-GUYCJALGSA-N -1 1 324.421 1.201 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCCNC1=O ZINC000670373482 484793948 /nfs/dbraw/zinc/79/39/48/484793948.db2.gz NNXNIKHZENOPFO-SNVBAGLBSA-N -1 1 320.393 1.044 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CC1)c1cc(Cl)ccc1F ZINC000671177980 484963824 /nfs/dbraw/zinc/96/38/24/484963824.db2.gz XNFZHNCSTGRCAO-JTQLQIEISA-N -1 1 307.774 1.918 20 0 DDADMM CC(C)CN(CC(N)=O)C(=O)c1ncc2ccccc2c1[O-] ZINC000666030229 485054043 /nfs/dbraw/zinc/05/40/43/485054043.db2.gz KZILCDXYLASTMZ-UHFFFAOYSA-N -1 1 301.346 1.524 20 0 DDADMM CO[C@@H](C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@H]1CCOC1 ZINC000666314193 485169392 /nfs/dbraw/zinc/16/93/92/485169392.db2.gz NTRINXWUJLRSMV-XHDPSFHLSA-N -1 1 317.345 1.772 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NCc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000666536642 485263335 /nfs/dbraw/zinc/26/33/35/485263335.db2.gz FARXZRQHAFVIHD-SNVBAGLBSA-N -1 1 316.361 1.847 20 0 DDADMM C[C@H](NC(=O)c1ncc2ccccc2c1[O-])c1nnc2n1CCC2 ZINC000667026431 485395991 /nfs/dbraw/zinc/39/59/91/485395991.db2.gz KJNWYASZLQCLFC-JTQLQIEISA-N -1 1 323.356 1.969 20 0 DDADMM CSc1n[nH]c(NC(=O)c2cnn(CC(F)(F)F)c2)n1 ZINC000682483461 485620156 /nfs/dbraw/zinc/62/01/56/485620156.db2.gz KBTVVLAJEWWPDJ-UHFFFAOYSA-N -1 1 306.273 1.538 20 0 DDADMM Cc1ccc(CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cc1O ZINC000678579559 485638925 /nfs/dbraw/zinc/63/89/25/485638925.db2.gz NYFFKCYFLHMBQD-MRXNPFEDSA-N -1 1 323.356 1.662 20 0 DDADMM COc1ccccc1NCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000678797111 485689315 /nfs/dbraw/zinc/68/93/15/485689315.db2.gz OWOIVEJINDWJCI-LLVKDONJSA-N -1 1 316.365 1.026 20 0 DDADMM CC(F)(F)CCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000679114058 485780357 /nfs/dbraw/zinc/78/03/57/485780357.db2.gz QDRKTJRVRIJBHP-UHFFFAOYSA-N -1 1 308.306 1.414 20 0 DDADMM Cc1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c(C)n1C ZINC000679371908 485865928 /nfs/dbraw/zinc/86/59/28/485865928.db2.gz YVTNMZRVNCJYMC-AWEZNQCLSA-N -1 1 310.361 1.674 20 0 DDADMM CCN(CCNC(=O)c1[nH]nc(C)c1[O-])CCC(F)(F)F ZINC000683181526 485899004 /nfs/dbraw/zinc/89/90/04/485899004.db2.gz IDEBVWMKTGPXIM-UHFFFAOYSA-N -1 1 308.304 1.428 20 0 DDADMM CC1(C)CC[C@@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000683893142 486148594 /nfs/dbraw/zinc/14/85/94/486148594.db2.gz WVJPBDNTOMHUCK-NWDGAFQWSA-N -1 1 301.350 1.363 20 0 DDADMM CCC[C@@H](NC(=O)C(=O)c1ccccc1OC)c1nn[n-]n1 ZINC000680637388 486250689 /nfs/dbraw/zinc/25/06/89/486250689.db2.gz HEAKWGWEIWMRMB-SNVBAGLBSA-N -1 1 303.322 1.049 20 0 DDADMM CCc1cncc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000684127937 486259698 /nfs/dbraw/zinc/25/96/98/486259698.db2.gz OTEYBOSQYNCPDG-AWEZNQCLSA-N -1 1 308.345 1.677 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Cl)c(C(F)(F)F)c1 ZINC000676606615 486267580 /nfs/dbraw/zinc/26/75/80/486267580.db2.gz NWRJOMYQBLVJFI-UHFFFAOYSA-N -1 1 305.647 1.802 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(O[C@@H]3CCOC3)cc2)c1[O-] ZINC000676697677 486292419 /nfs/dbraw/zinc/29/24/19/486292419.db2.gz CLUCJZWYZKTGFU-GFCCVEGCSA-N -1 1 303.318 1.844 20 0 DDADMM COc1ccc(NC(C)=O)c(NC(=O)c2n[nH]c(C)c2[O-])c1 ZINC000676706835 486296784 /nfs/dbraw/zinc/29/67/84/486296784.db2.gz CFDLXHVYGWMECH-UHFFFAOYSA-N -1 1 304.306 1.643 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ccc([O-])c(F)c2)[C@@]12CCCO2 ZINC000681067605 486336886 /nfs/dbraw/zinc/33/68/86/486336886.db2.gz CMSCKDNMTKHAFR-FMKPAKJESA-N -1 1 309.337 1.988 20 0 DDADMM COCCn1c2ccccc2nc1NC(=O)c1n[nH]c(C)c1[O-] ZINC000681079860 486344071 /nfs/dbraw/zinc/34/40/71/486344071.db2.gz UIVRIEPDNSBVPS-UHFFFAOYSA-N -1 1 315.333 1.672 20 0 DDADMM COc1cc2c(cc1NC(=O)c1n[nH]c(C)c1[O-])NC(=O)CC2 ZINC000681085980 486344618 /nfs/dbraw/zinc/34/46/18/486344618.db2.gz YDWTWGMVEBCXPG-UHFFFAOYSA-N -1 1 316.317 1.569 20 0 DDADMM CCOc1cccc(CCNC(=O)c2ncc(C)cc2[O-])n1 ZINC000681737221 486505747 /nfs/dbraw/zinc/50/57/47/486505747.db2.gz FKKBJZXMGJSJON-UHFFFAOYSA-N -1 1 301.346 1.862 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cc(C(C)C)no1 ZINC000685497861 486549690 /nfs/dbraw/zinc/54/96/90/486549690.db2.gz OMMIUPFPEHNKCD-UHFFFAOYSA-N -1 1 300.340 1.155 20 0 DDADMM C[C@@H](O)[C@H]1CN(C(=O)c2ccc3ccccc3c2[O-])CCO1 ZINC000332518631 533856149 /nfs/dbraw/zinc/85/61/49/533856149.db2.gz LBMNJJPEUQBSDM-IAQYHMDHSA-N -1 1 301.342 1.767 20 0 DDADMM C[C@@H](CNC(=O)CNC(=O)c1ncccc1[O-])CC(F)(F)F ZINC000412673788 533898729 /nfs/dbraw/zinc/89/87/29/533898729.db2.gz JVADQXPNMAWHEV-MRVPVSSYSA-N -1 1 319.283 1.222 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)COCc2cccnc2)c1 ZINC000436638487 534230535 /nfs/dbraw/zinc/23/05/35/534230535.db2.gz FFIJLUCBOQTNEO-UHFFFAOYSA-N -1 1 316.313 1.729 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2C[C@@]2(C)C(C)C)c1 ZINC000416549116 534321851 /nfs/dbraw/zinc/32/18/51/534321851.db2.gz OEEZHMGWIBBJGM-MFKMUULPSA-N -1 1 301.364 1.779 20 0 DDADMM Cc1noc(C)c1CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000331770119 534330533 /nfs/dbraw/zinc/33/05/33/534330533.db2.gz PZKSRTKAIPDRRD-SNVBAGLBSA-N -1 1 306.322 1.329 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)C(=O)OC(C)(C)C)sc1C ZINC000435209682 534520342 /nfs/dbraw/zinc/52/03/42/534520342.db2.gz DGYSASRYQBOWAT-MRVPVSSYSA-N -1 1 320.436 1.768 20 0 DDADMM CC(C)(C)OC(=O)N[C@H](C(=O)Nc1nnn[n-]1)c1ccccc1 ZINC000491518818 526488156 /nfs/dbraw/zinc/48/81/56/526488156.db2.gz NREJPBSXRUHCSO-JTQLQIEISA-N -1 1 318.337 1.404 20 0 DDADMM CC(C)(C)OC(=O)N[C@H](C(=O)Nc1nn[n-]n1)c1ccccc1 ZINC000491518818 526488161 /nfs/dbraw/zinc/48/81/61/526488161.db2.gz NREJPBSXRUHCSO-JTQLQIEISA-N -1 1 318.337 1.404 20 0 DDADMM CCO[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000452056971 527756396 /nfs/dbraw/zinc/75/63/96/527756396.db2.gz FVBTZBIZNWOYQT-NXEZZACHSA-N -1 1 317.363 1.302 20 0 DDADMM CC(C)OC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCCO1)C(C)C ZINC000451832771 527846997 /nfs/dbraw/zinc/84/69/97/527846997.db2.gz HAFBPXVXLCNVBY-STQMWFEESA-N -1 1 321.439 1.451 20 0 DDADMM CCNC(=O)c1ccc(CNC(=O)c2ncc(C)cc2[O-])cc1 ZINC000495039546 528242779 /nfs/dbraw/zinc/24/27/79/528242779.db2.gz CNJBWMFQVYGKAI-UHFFFAOYSA-N -1 1 313.357 1.775 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-]c1c(C(N)=O)[nH]nc1C(C)C ZINC000451118034 528366582 /nfs/dbraw/zinc/36/65/82/528366582.db2.gz WHFOCOHGXGQGCR-UHFFFAOYSA-N -1 1 317.415 1.021 20 0 DDADMM CCCSCC(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000292381690 528828486 /nfs/dbraw/zinc/82/84/86/528828486.db2.gz YMSOGANNMNSSAC-UHFFFAOYSA-N -1 1 318.420 1.382 20 0 DDADMM CC1(C)CCCC[C@@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332479715 528914592 /nfs/dbraw/zinc/91/45/92/528914592.db2.gz XZDMBVRSRVFVGC-LLVKDONJSA-N -1 1 323.466 1.816 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc(NC(C)=O)cc1)c1nn[n-]n1 ZINC000434788785 528974437 /nfs/dbraw/zinc/97/44/37/528974437.db2.gz XQWPMDYTFKAASA-CYBMUJFWSA-N -1 1 316.365 1.358 20 0 DDADMM CC[C@H](Oc1cccc(C)c1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000413510854 529160744 /nfs/dbraw/zinc/16/07/44/529160744.db2.gz HVDRQDUFIVCTIL-LBPRGKRZSA-N -1 1 303.366 1.717 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)[C@H](O)C(C)C ZINC000416611367 529195908 /nfs/dbraw/zinc/19/59/08/529195908.db2.gz BYABAUFNUIMUGZ-BXKDBHETSA-N -1 1 319.379 1.140 20 0 DDADMM CC[C@H](C)c1n[nH]c([C@H](C)N=c2nc(CCOC)[n-]s2)n1 ZINC000444833297 529276748 /nfs/dbraw/zinc/27/67/48/529276748.db2.gz BLEMKSHAUHXPDO-IUCAKERBSA-N -1 1 310.427 1.954 20 0 DDADMM CC[C@H](C)c1nc([C@H](C)N=c2nc(CCOC)[n-]s2)n[nH]1 ZINC000444833297 529276749 /nfs/dbraw/zinc/27/67/49/529276749.db2.gz BLEMKSHAUHXPDO-IUCAKERBSA-N -1 1 310.427 1.954 20 0 DDADMM COCCn1cc(CN=c2[n-]c(C(=O)OC)c(C)s2)cn1 ZINC000336930491 536845198 /nfs/dbraw/zinc/84/51/98/536845198.db2.gz PCZNEABFYCXYGM-UHFFFAOYSA-N -1 1 310.379 1.115 20 0 DDADMM Cc1cc(C)c(NC(=O)Cn2cnnc2-c2nn[n-]n2)c(C)c1 ZINC000737248652 598875898 /nfs/dbraw/zinc/87/58/98/598875898.db2.gz IUVGAPWZCQYBNU-UHFFFAOYSA-N -1 1 312.337 1.022 20 0 DDADMM COc1ccc(C)cc1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737078490 598989994 /nfs/dbraw/zinc/98/99/94/598989994.db2.gz YHXZYLCYVYEXBY-UHFFFAOYSA-N -1 1 324.344 1.509 20 0 DDADMM COc1ccc(C)cc1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737078490 598989995 /nfs/dbraw/zinc/98/99/95/598989995.db2.gz YHXZYLCYVYEXBY-UHFFFAOYSA-N -1 1 324.344 1.509 20 0 DDADMM COc1ccccc1N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737152654 598993787 /nfs/dbraw/zinc/99/37/87/598993787.db2.gz NCPSAZUHEOEMDJ-UHFFFAOYSA-N -1 1 310.317 1.547 20 0 DDADMM COc1ccccc1N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737152654 598993789 /nfs/dbraw/zinc/99/37/89/598993789.db2.gz NCPSAZUHEOEMDJ-UHFFFAOYSA-N -1 1 310.317 1.547 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCO[C@@H]2CCCC[C@H]21 ZINC000738258685 598995469 /nfs/dbraw/zinc/99/54/69/598995469.db2.gz LGVLIALVZLRTRP-CHWSQXEVSA-N -1 1 314.349 1.045 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCO[C@@H]2CCCC[C@H]21 ZINC000738258685 598995471 /nfs/dbraw/zinc/99/54/71/598995471.db2.gz LGVLIALVZLRTRP-CHWSQXEVSA-N -1 1 314.349 1.045 20 0 DDADMM Cc1cccc(O[C@H](C)CNc2nccnc2-c2nnn[n-]2)c1 ZINC000822328466 599056929 /nfs/dbraw/zinc/05/69/29/599056929.db2.gz QORGECUPQKKBHB-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM Cc1cccc(O[C@H](C)CNc2nccnc2-c2nn[n-]n2)c1 ZINC000822328466 599056932 /nfs/dbraw/zinc/05/69/32/599056932.db2.gz QORGECUPQKKBHB-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM CN(CCNC(=O)N(C)CCC(=O)[O-])Cc1ccc(F)cc1 ZINC000818469930 597005002 /nfs/dbraw/zinc/00/50/02/597005002.db2.gz MUJXLXXLFBZMPR-UHFFFAOYSA-N -1 1 311.357 1.374 20 0 DDADMM C[C@@H](NC(=O)Nc1ccccc1N1CCN(C)CC1)C(=O)[O-] ZINC000738980997 597142302 /nfs/dbraw/zinc/14/23/02/597142302.db2.gz TXUKJMGAELZMLH-LLVKDONJSA-N -1 1 306.366 1.033 20 0 DDADMM COC(=O)c1ccc2c(c1)nc(CN(C)[C@H](C)C(=O)[O-])[nH]c2=O ZINC000818523918 597475937 /nfs/dbraw/zinc/47/59/37/597475937.db2.gz RLAMASAHQKHWCQ-MRVPVSSYSA-N -1 1 319.317 1.027 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CN2C[C@H](c3cccnc3)CC2=O)C1 ZINC000821514997 598059044 /nfs/dbraw/zinc/05/90/44/598059044.db2.gz BAOLYEGHDJSEKP-UKRRQHHQSA-N -1 1 317.389 1.542 20 0 DDADMM Cc1nn(C)c(OCC(F)(F)F)c1CN(C)CCC(=O)[O-] ZINC000821209619 598127843 /nfs/dbraw/zinc/12/78/43/598127843.db2.gz ZDAYSPBSBBRFAS-UHFFFAOYSA-N -1 1 309.288 1.576 20 0 DDADMM CC[C@H]1CCCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736398778 598259364 /nfs/dbraw/zinc/25/93/64/598259364.db2.gz DGHMIXBHCSQMQR-JTQLQIEISA-N -1 1 322.394 1.215 20 0 DDADMM CC[C@H]1CCCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736398778 598259366 /nfs/dbraw/zinc/25/93/66/598259366.db2.gz DGHMIXBHCSQMQR-JTQLQIEISA-N -1 1 322.394 1.215 20 0 DDADMM CCSc1nnc(Sc2nccnc2-c2nn[n-]n2)s1 ZINC000736666530 598337310 /nfs/dbraw/zinc/33/73/10/598337310.db2.gz KSSIRZGYJOCACO-UHFFFAOYSA-N -1 1 324.420 1.771 20 0 DDADMM O=C(Nc1cccc(Nc2nccnc2-c2nnn[n-]2)c1)C1CC1 ZINC000738185839 598340785 /nfs/dbraw/zinc/34/07/85/598340785.db2.gz JDDRWFXXDIDNKW-UHFFFAOYSA-N -1 1 322.332 1.749 20 0 DDADMM O=C(Nc1cccc(Nc2nccnc2-c2nn[n-]n2)c1)C1CC1 ZINC000738185839 598340788 /nfs/dbraw/zinc/34/07/88/598340788.db2.gz JDDRWFXXDIDNKW-UHFFFAOYSA-N -1 1 322.332 1.749 20 0 DDADMM Cn1cnc2c1CCN(c1snc(Cl)c1-c1nnn[n-]1)C2 ZINC000737619588 598545822 /nfs/dbraw/zinc/54/58/22/598545822.db2.gz WHUOSDXRGFKLCN-UHFFFAOYSA-N -1 1 322.785 1.273 20 0 DDADMM Cn1cnc2c1CCN(c1snc(Cl)c1-c1nn[n-]n1)C2 ZINC000737619588 598545823 /nfs/dbraw/zinc/54/58/23/598545823.db2.gz WHUOSDXRGFKLCN-UHFFFAOYSA-N -1 1 322.785 1.273 20 0 DDADMM COc1ccc(Cl)cc1Cn1cccc(-c2nn[n-]n2)c1=O ZINC000737098810 598675873 /nfs/dbraw/zinc/67/58/73/598675873.db2.gz FIDZEIYPJADGAY-UHFFFAOYSA-N -1 1 317.736 1.739 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1ccc(-n2cccn2)cc1 ZINC000738370321 598676607 /nfs/dbraw/zinc/67/66/07/598676607.db2.gz CGVYRJYVBZVBJY-UHFFFAOYSA-N -1 1 319.328 1.262 20 0 DDADMM CC[C@H](C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1ccccc1 ZINC000314830605 599777661 /nfs/dbraw/zinc/77/76/61/599777661.db2.gz XNXIUJAYAXOEKL-KBPBESRZSA-N -1 1 301.346 1.715 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1S(=O)(=O)N1CC[C@@H](N(C)C)C1 ZINC000314756978 599877555 /nfs/dbraw/zinc/87/75/55/599877555.db2.gz AAUBDOWZLQBPBG-GFCCVEGCSA-N -1 1 312.391 1.018 20 0 DDADMM CCN1CCN(C2CCN(Cc3ccoc3C(=O)[O-])CC2)C1=O ZINC000737115166 599918012 /nfs/dbraw/zinc/91/80/12/599918012.db2.gz IJJUGALPOPGUJQ-UHFFFAOYSA-N -1 1 321.377 1.700 20 0 DDADMM CCOCc1ccccc1CNC(=O)CNC(C)(C)C(=O)[O-] ZINC000737177841 599926030 /nfs/dbraw/zinc/92/60/30/599926030.db2.gz MRPUHAWEBPTUHU-UHFFFAOYSA-N -1 1 308.378 1.292 20 0 DDADMM O=C([O-])CCN(CC(=O)NCC1CCC1)Cc1ccccc1 ZINC000739716996 599928044 /nfs/dbraw/zinc/92/80/44/599928044.db2.gz DEKAYYYJPOWZNX-UHFFFAOYSA-N -1 1 304.390 1.880 20 0 DDADMM O=C([O-])c1sc2ccccc2c1CN1CCN(CCO)CC1 ZINC000314272224 599935701 /nfs/dbraw/zinc/93/57/01/599935701.db2.gz JTAAHFJDMLYBAS-UHFFFAOYSA-N -1 1 320.414 1.709 20 0 DDADMM CCc1[nH]ncc1C(=O)Nc1ccc(OCC(=O)[O-])cc1C ZINC000737247916 599985592 /nfs/dbraw/zinc/98/55/92/599985592.db2.gz VHSYXLHLCHGHII-UHFFFAOYSA-N -1 1 303.318 1.996 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000737359618 600004579 /nfs/dbraw/zinc/00/45/79/600004579.db2.gz VGPKJUZAEDLXCA-OAHLLOKOSA-N -1 1 304.390 1.483 20 0 DDADMM CCN1CCN(c2ccccc2NC(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC000737122942 600061626 /nfs/dbraw/zinc/06/16/26/600061626.db2.gz NSCYMONRKOYAOG-QWHCGFSZSA-N -1 1 317.389 1.488 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN1CCCC[C@H]1[C@H](C)O ZINC000738532856 600091494 /nfs/dbraw/zinc/09/14/94/600091494.db2.gz ODAPIIRQXDDJEV-WFASDCNBSA-N -1 1 320.389 1.867 20 0 DDADMM CC(C)(C)CN(CCO)CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000736260367 600126895 /nfs/dbraw/zinc/12/68/95/600126895.db2.gz AEGMSSNXILMWAU-UHFFFAOYSA-N -1 1 308.378 1.664 20 0 DDADMM COc1cccc(COc2cccc(F)c2-c2nnn[n-]2)n1 ZINC000826216536 607864356 /nfs/dbraw/zinc/86/43/56/607864356.db2.gz CQULCIUEZSPFAR-UHFFFAOYSA-N -1 1 301.281 1.988 20 0 DDADMM COc1cccc(COc2cccc(F)c2-c2nn[n-]n2)n1 ZINC000826216536 607864357 /nfs/dbraw/zinc/86/43/57/607864357.db2.gz CQULCIUEZSPFAR-UHFFFAOYSA-N -1 1 301.281 1.988 20 0 DDADMM O=C([O-])CCCCCCNC(=O)N1CCN(C2CCC2)CC1 ZINC000378744732 600235593 /nfs/dbraw/zinc/23/55/93/600235593.db2.gz OGVAEJCSHNERLO-UHFFFAOYSA-N -1 1 311.426 1.901 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1C[C@@H](c2ccc(F)cc2)C[C@H]1C ZINC000736763624 600264122 /nfs/dbraw/zinc/26/41/22/600264122.db2.gz TWSHKYNKCJDIJE-WZRBSPASSA-N -1 1 308.353 1.593 20 0 DDADMM O=C([O-])[C@H]1CC12CCN([C@@H]1CCN(c3ccccc3)C1=O)CC2 ZINC000739531328 600291653 /nfs/dbraw/zinc/29/16/53/600291653.db2.gz GVAFVVVHDCYDFO-HUUCEWRRSA-N -1 1 314.385 1.979 20 0 DDADMM C[C@H](C(=O)NCc1cccs1)N1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000736215162 600295372 /nfs/dbraw/zinc/29/53/72/600295372.db2.gz MPJHAEMRPBBJOW-DGCLKSJQSA-N -1 1 322.430 1.940 20 0 DDADMM C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)N1CCC(=O)NCC(=O)[O-] ZINC000736822575 600331603 /nfs/dbraw/zinc/33/16/03/600331603.db2.gz RPBZEONRSDYUMO-IGCXYCKISA-N -1 1 320.389 1.428 20 0 DDADMM CC[C@@H]1CN(C[C@H](O)COc2ccc(C(=O)[O-])cc2)C[C@@H](C)O1 ZINC000736945438 600332287 /nfs/dbraw/zinc/33/22/87/600332287.db2.gz XNOSHTHFYAYCFH-VHDGCEQUSA-N -1 1 323.389 1.624 20 0 DDADMM CCOCCN(Cc1ccc(C(=O)[O-])o1)C(=O)c1cnc(C)[nH]1 ZINC000737171258 600362233 /nfs/dbraw/zinc/36/22/33/600362233.db2.gz QOMCYBCUEVWNOQ-UHFFFAOYSA-N -1 1 321.333 1.688 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CN2C[C@H](c3cccc(F)c3)CC2=O)C1 ZINC000738538069 600421815 /nfs/dbraw/zinc/42/18/15/600421815.db2.gz OIKNGKJYXAIMCQ-ZIAGYGMSSA-N -1 1 320.364 1.896 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2cccc(C(=O)[O-])c2)n[nH]1 ZINC000737254033 600445816 /nfs/dbraw/zinc/44/58/16/600445816.db2.gz NECRMLCLTFDTTM-UHFFFAOYSA-N -1 1 309.347 1.149 20 0 DDADMM O=C([O-])c1ccoc1CN1CCC[C@@H](N2CCCCC2=O)C1 ZINC000740248865 600500411 /nfs/dbraw/zinc/50/04/11/600500411.db2.gz JEWSHTZCIWHRRW-GFCCVEGCSA-N -1 1 306.362 1.955 20 0 DDADMM CN1CCC[C@H]1C(=O)Nc1ccc(OCCCC(=O)[O-])cc1 ZINC000737518090 600506123 /nfs/dbraw/zinc/50/61/23/600506123.db2.gz BYUMMFGUOJJRJZ-AWEZNQCLSA-N -1 1 306.362 1.963 20 0 DDADMM O=C([O-])c1ccncc1NS(=O)(=O)c1ccc2c(c1)COC2 ZINC000740249260 600515413 /nfs/dbraw/zinc/51/54/13/600515413.db2.gz UDHRIMHJORDVOI-UHFFFAOYSA-N -1 1 320.326 1.611 20 0 DDADMM O=C([O-])CCN(Cc1cn2cccnc2n1)C[C@H]1CCCO1 ZINC000739723553 600515424 /nfs/dbraw/zinc/51/54/24/600515424.db2.gz MBWPJONNTMWLKR-CYBMUJFWSA-N -1 1 304.350 1.185 20 0 DDADMM C[C@@]1(c2ccccc2)CCCN(Cn2cc(C(=O)[O-])nn2)C1 ZINC000736741757 600636426 /nfs/dbraw/zinc/63/64/26/600636426.db2.gz NWQJWQBQQYFQRM-MRXNPFEDSA-N -1 1 300.362 1.988 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N(C)[C@H]1CCCC[C@H]1C ZINC000737794281 600640591 /nfs/dbraw/zinc/64/05/91/600640591.db2.gz LCSACWDGSLBJKW-KGLIPLIRSA-N -1 1 314.426 1.447 20 0 DDADMM COC(=O)[C@H]1CCCN(Cn2ncc3cc(C(=O)[O-])ccc32)C1 ZINC000737584199 600716199 /nfs/dbraw/zinc/71/61/99/600716199.db2.gz KYKXBYVOEOCCLJ-LBPRGKRZSA-N -1 1 317.345 1.577 20 0 DDADMM O=C([O-])c1ccsc1N1CC[C@H](N2CC[C@@H](CCO)C2)C1=O ZINC000833335870 600798068 /nfs/dbraw/zinc/79/80/68/600798068.db2.gz FNZMBMOXZXZWBL-JQWIXIFHSA-N -1 1 324.402 1.256 20 0 DDADMM CC[C@@H](CO)N1CCN(c2nc(Cl)c(C(=O)[O-])s2)CC1 ZINC000828890582 600824628 /nfs/dbraw/zinc/82/46/28/600824628.db2.gz JOWUUPZCOCONGZ-QMMMGPOBSA-N -1 1 319.814 1.388 20 0 DDADMM COCc1nc(CN(CCC(=O)[O-])C2CCOCC2)cs1 ZINC000831826555 600858141 /nfs/dbraw/zinc/85/81/41/600858141.db2.gz GQYGGIOFNMCBIV-UHFFFAOYSA-N -1 1 314.407 1.745 20 0 DDADMM C[C@H]1CC[C@H](C)N1CC(=O)Nc1cccc(OCC(=O)[O-])c1 ZINC000737739518 600888207 /nfs/dbraw/zinc/88/82/07/600888207.db2.gz MVCXXOILGYDZHL-RYUDHWBXSA-N -1 1 306.362 1.961 20 0 DDADMM CCCn1cc(NC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cn1 ZINC000829579972 601134714 /nfs/dbraw/zinc/13/47/14/601134714.db2.gz OJNPSWIWXHRETE-GFCCVEGCSA-N -1 1 323.397 1.306 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCc1nccn1Cc1ccccc1 ZINC000831584472 601135713 /nfs/dbraw/zinc/13/57/13/601135713.db2.gz GDWOGUVKMYUHMJ-QGZVFWFLSA-N -1 1 317.389 1.901 20 0 DDADMM CCOc1ccc(C[C@@H](CNC(=O)[C@H](C)N(C)C)C(=O)[O-])cc1 ZINC000830071371 601136831 /nfs/dbraw/zinc/13/68/31/601136831.db2.gz VBOSXDGFJASUSA-JSGCOSHPSA-N -1 1 322.405 1.395 20 0 DDADMM C[C@@H](C(=O)N1CCN(c2ccc(C(=O)[O-])cc2)C[C@@H]1C)N(C)C ZINC000828493458 601136889 /nfs/dbraw/zinc/13/68/89/601136889.db2.gz FDZUKCYVHDUNDH-STQMWFEESA-N -1 1 319.405 1.372 20 0 DDADMM C[C@@H](C(=O)N1CCN(c2ccc(C(=O)[O-])cc2)C[C@H]1C)N(C)C ZINC000828493457 601137104 /nfs/dbraw/zinc/13/71/04/601137104.db2.gz FDZUKCYVHDUNDH-OLZOCXBDSA-N -1 1 319.405 1.372 20 0 DDADMM O=C([O-])C[C@@H](O)CSc1nc(C=Cc2ccccc2)n[nH]1 ZINC000833264914 601208770 /nfs/dbraw/zinc/20/87/70/601208770.db2.gz MYFAENWHKPTHRS-XUIVZRPNSA-N -1 1 305.359 1.903 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CCSC(C)(C)C1 ZINC000825977768 601328628 /nfs/dbraw/zinc/32/86/28/601328628.db2.gz OFDRWKBIBUXEND-NSHDSACASA-N -1 1 314.451 1.526 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCN(C[C@@H]3CCOC3)CC2)cc1 ZINC000821543472 601331124 /nfs/dbraw/zinc/33/11/24/601331124.db2.gz SKIJXRCNLAGANT-ZDUSSCGKSA-N -1 1 318.373 1.179 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1C[C@H]2CCC[C@@H]21 ZINC000826005195 601339739 /nfs/dbraw/zinc/33/97/39/601339739.db2.gz TUOASRKUHQUYJE-DFBGVHRSSA-N -1 1 302.374 1.874 20 0 DDADMM O=C([O-])[C@H]1C[C@@H](C2CCCCC2)CN1CC(=O)N1CCOCC1 ZINC000833179692 601431404 /nfs/dbraw/zinc/43/14/04/601431404.db2.gz POBLXJQGLVEZCM-HUUCEWRRSA-N -1 1 324.421 1.201 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccnn2C2CCCC2)C[C@@H]1C(=O)[O-] ZINC000828441290 601528130 /nfs/dbraw/zinc/52/81/30/601528130.db2.gz OTYUGGKZTYTNDL-AAEUAGOBSA-N -1 1 320.393 1.589 20 0 DDADMM Cc1c(F)cccc1NC(=O)CCN1CC[C@](F)(C(=O)[O-])C1 ZINC000832854513 601556204 /nfs/dbraw/zinc/55/62/04/601556204.db2.gz LDMFPQXXIVXJBI-OAHLLOKOSA-N -1 1 312.316 1.961 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@H](N3CCNC3=O)C2)cc1F ZINC000833313297 601622670 /nfs/dbraw/zinc/62/26/70/601622670.db2.gz SANJYRDWARKYMA-LBPRGKRZSA-N -1 1 321.352 1.514 20 0 DDADMM COC(=O)[C@H](NCc1ccnc(-c2nnn[n-]2)c1)c1ccccc1 ZINC000826111627 607519084 /nfs/dbraw/zinc/51/90/84/607519084.db2.gz ILJCLPDABMEXOH-CQSZACIVSA-N -1 1 324.344 1.266 20 0 DDADMM COC(=O)[C@H](NCc1ccnc(-c2nn[n-]n2)c1)c1ccccc1 ZINC000826111627 607519086 /nfs/dbraw/zinc/51/90/86/607519086.db2.gz ILJCLPDABMEXOH-CQSZACIVSA-N -1 1 324.344 1.266 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(-c3cccs3)[nH]n2)C[C@@H]1C(=O)[O-] ZINC000828384989 601720355 /nfs/dbraw/zinc/72/03/55/601720355.db2.gz FMSBLLHJVVXOBG-IUCAKERBSA-N -1 1 305.359 1.931 20 0 DDADMM C[C@@H]1CN(CN2C[C@H](c3ccccn3)CC2=O)C[C@@H]1C(=O)[O-] ZINC000828452205 601816406 /nfs/dbraw/zinc/81/64/06/601816406.db2.gz YVDLHEHVOOVDGF-UPJWGTAASA-N -1 1 303.362 1.008 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nnn[n-]2)c1)c1ccc(F)cc1F ZINC000826495935 607528408 /nfs/dbraw/zinc/52/84/08/607528408.db2.gz GPNNPJXYOJDRCI-QFIPXVFZSA-N -1 1 321.312 1.848 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nn[n-]n2)c1)c1ccc(F)cc1F ZINC000826495935 607528410 /nfs/dbraw/zinc/52/84/10/607528410.db2.gz GPNNPJXYOJDRCI-QFIPXVFZSA-N -1 1 321.312 1.848 20 0 DDADMM CCc1cc(CNC(=O)N2CCC(CCC(=O)[O-])CC2)n[nH]1 ZINC000830218790 601828318 /nfs/dbraw/zinc/82/83/18/601828318.db2.gz OWJRPXWHJGMGBO-UHFFFAOYSA-N -1 1 308.382 1.759 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1CO)c1ccc(-c2nnn[n-]2)s1 ZINC000826429338 607993880 /nfs/dbraw/zinc/99/38/80/607993880.db2.gz AFNWCNYGAMCRSY-DTWKUNHWSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1CO)c1ccc(-c2nn[n-]n2)s1 ZINC000826429338 607993881 /nfs/dbraw/zinc/99/38/81/607993881.db2.gz AFNWCNYGAMCRSY-DTWKUNHWSA-N -1 1 307.379 1.209 20 0 DDADMM O=C([O-])c1occc1CN1CCC(N2CCCCC2=O)CC1 ZINC000833347024 602029351 /nfs/dbraw/zinc/02/93/51/602029351.db2.gz ZUOMVKLZQBCCJK-UHFFFAOYSA-N -1 1 306.362 1.955 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000827258137 602081134 /nfs/dbraw/zinc/08/11/34/602081134.db2.gz WVFODTJGYMRRGN-AWEZNQCLSA-N -1 1 304.390 1.832 20 0 DDADMM Cc1cccc2c(=O)n(Cc3ccnc(-c4nnn[n-]4)c3)cnc12 ZINC000826304745 607547847 /nfs/dbraw/zinc/54/78/47/607547847.db2.gz WQQFEAVJYXWWBD-UHFFFAOYSA-N -1 1 319.328 1.328 20 0 DDADMM Cc1cccc2c(=O)n(Cc3ccnc(-c4nn[n-]n4)c3)cnc12 ZINC000826304745 607547850 /nfs/dbraw/zinc/54/78/50/607547850.db2.gz WQQFEAVJYXWWBD-UHFFFAOYSA-N -1 1 319.328 1.328 20 0 DDADMM Cc1[nH]ncc1C(=O)Nc1cc(C(=O)[O-])cc(S(C)(=O)=O)c1 ZINC000832848605 602255766 /nfs/dbraw/zinc/25/57/66/602255766.db2.gz IQDVZSOFQMRAMJ-UHFFFAOYSA-N -1 1 323.330 1.072 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCCN1CC(=O)N1CCCC[C@@H]1C ZINC000739008302 602274384 /nfs/dbraw/zinc/27/43/84/602274384.db2.gz JALWOPVBCLHTGD-IHRRRGAJSA-N -1 1 311.426 1.898 20 0 DDADMM CCc1[nH]ncc1C(=O)Nc1cccc(OCCNC(=O)[O-])c1 ZINC000739539240 602280047 /nfs/dbraw/zinc/28/00/47/602280047.db2.gz JKFVHFJWHSUYNO-UHFFFAOYSA-N -1 1 318.333 1.871 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(CC(=O)N2CCCC[C@H]2C)CC1 ZINC000739030313 602464242 /nfs/dbraw/zinc/46/42/42/602464242.db2.gz ZTYUQYMUUFXYRZ-CHWSQXEVSA-N -1 1 311.426 1.756 20 0 DDADMM CCN1CCCC[C@@H]1C(=O)N[C@H](C)[C@H]1CCCN(C(=O)[O-])C1 ZINC000739456783 602469523 /nfs/dbraw/zinc/46/95/23/602469523.db2.gz SIUSBHFHHBLQEV-HZSPNIEDSA-N -1 1 311.426 1.756 20 0 DDADMM Cc1cccc(CNC(=O)CN2CC[C@H](CN(C)C(=O)[O-])C2)c1 ZINC000740168522 602552696 /nfs/dbraw/zinc/55/26/96/602552696.db2.gz BPBPKRVAWSILIC-OAHLLOKOSA-N -1 1 319.405 1.543 20 0 DDADMM CC(C)N1CCN(C(=O)[C@@H](Cc2ccccc2)NC(=O)[O-])CC1 ZINC000738853664 602566118 /nfs/dbraw/zinc/56/61/18/602566118.db2.gz KFLFIJUEYSROSK-OAHLLOKOSA-N -1 1 319.405 1.418 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N[C@@H]1CCN(C(=O)[O-])C1 ZINC000739608985 602593823 /nfs/dbraw/zinc/59/38/23/602593823.db2.gz SGTHKYDLFSXMER-KGLIPLIRSA-N -1 1 305.378 1.028 20 0 DDADMM O=C([O-])N1CCC(NCc2cn(-c3ccccc3)nn2)CC1 ZINC000740504728 602694575 /nfs/dbraw/zinc/69/45/75/602694575.db2.gz BKZVRFGWLUUQFC-UHFFFAOYSA-N -1 1 301.350 1.499 20 0 DDADMM CNC(=O)c1cccc(CN2CC[C@@H](NC(=O)[O-])[C@@H](C)C2)c1 ZINC000739747569 602767313 /nfs/dbraw/zinc/76/73/13/602767313.db2.gz VNJSTLWDGZHFQH-SMDDNHRTSA-N -1 1 305.378 1.524 20 0 DDADMM CC(C)CN(C(=O)CN1CC[C@H](NC(=O)[O-])[C@@H](C)C1)C1CC1 ZINC000738819199 602793676 /nfs/dbraw/zinc/79/36/76/602793676.db2.gz DMZLGMSKECCZSU-JSGCOSHPSA-N -1 1 311.426 1.611 20 0 DDADMM C[C@@H]1CN(Cc2cccc(C(=O)N(C)C)c2)CC[C@@H]1NC(=O)[O-] ZINC000739185491 602794795 /nfs/dbraw/zinc/79/47/95/602794795.db2.gz ACEANQDEVCZOHY-DOMZBBRYSA-N -1 1 319.405 1.866 20 0 DDADMM CCCN1CCN(C(=O)[C@H](CNC(=O)[O-])c2ccccc2)CC1 ZINC000826921814 602843385 /nfs/dbraw/zinc/84/33/85/602843385.db2.gz RBAXCPYFNBRFAG-OAHLLOKOSA-N -1 1 319.405 1.592 20 0 DDADMM CC[NH+](CC)[C@@H](C)CNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739406787 602849237 /nfs/dbraw/zinc/84/92/37/602849237.db2.gz MGDALSZXBMFJCK-JTQLQIEISA-N -1 1 309.366 1.942 20 0 DDADMM O=C([O-])N1CCC(CC(=O)N2CCN(C3CCC3)CC2)CC1 ZINC000740497530 602853897 /nfs/dbraw/zinc/85/38/97/602853897.db2.gz RANUPZRDZWBXHJ-UHFFFAOYSA-N -1 1 309.410 1.463 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3cccs3)[nH]n2)CCN1C(=O)[O-] ZINC000739164325 602933315 /nfs/dbraw/zinc/93/33/15/602933315.db2.gz JNLUXNKVKPWEIS-SECBINFHSA-N -1 1 320.374 1.963 20 0 DDADMM O=C([O-])N(CCCNC(=O)N1CCN(C2CCC2)CC1)C1CC1 ZINC000740431245 602959876 /nfs/dbraw/zinc/95/98/76/602959876.db2.gz VTXHSAMAMLRZNI-UHFFFAOYSA-N -1 1 324.425 1.399 20 0 DDADMM O=C([O-])N1CC[C@@H](CCNC(=O)N2CCN(C3CCC3)CC2)C1 ZINC000740501891 602966599 /nfs/dbraw/zinc/96/65/99/602966599.db2.gz QQOZRHBOBGIIJQ-CYBMUJFWSA-N -1 1 324.425 1.256 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCN(c3ccccc3F)CC2)C1 ZINC000740503133 602976460 /nfs/dbraw/zinc/97/64/60/602976460.db2.gz KZQSFZYJHVBHDA-ZDUSSCGKSA-N -1 1 307.369 1.948 20 0 DDADMM O=C(Cc1ccc(O)cc1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826422628 607598695 /nfs/dbraw/zinc/59/86/95/607598695.db2.gz GREDQSBYZPQKFM-UHFFFAOYSA-N -1 1 311.301 1.253 20 0 DDADMM O=C(Cc1ccc(O)cc1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826422628 607598697 /nfs/dbraw/zinc/59/86/97/607598697.db2.gz GREDQSBYZPQKFM-UHFFFAOYSA-N -1 1 311.301 1.253 20 0 DDADMM O=C([O-])NC[C@@H]1CCCC[C@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000740625785 602988566 /nfs/dbraw/zinc/98/85/66/602988566.db2.gz BMBIDOOPBRRJTL-WDEREUQCSA-N -1 1 317.349 1.514 20 0 DDADMM O=C([O-])N1[C@@H]2CC[C@H]1CC(NC(=O)c1[nH]nc3c1CCCC3)C2 ZINC000740392697 603002737 /nfs/dbraw/zinc/00/27/37/603002737.db2.gz LWRFZJRADOWRTE-FGWVZKOKSA-N -1 1 318.377 1.692 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@H]1CCC[C@H]1NC(=O)[O-] ZINC000739199060 603003377 /nfs/dbraw/zinc/00/33/77/603003377.db2.gz OALIOZIYWCDIFX-YIYPIFLZSA-N -1 1 309.410 1.508 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H](C(=O)N2CCN(C3CCCC3)CC2)C1 ZINC000740566094 603237495 /nfs/dbraw/zinc/23/74/95/603237495.db2.gz SWORMFITALPHOH-ZIAGYGMSSA-N -1 1 323.437 1.900 20 0 DDADMM C[C@@H](C(=O)N1c2ccccc2C[C@@H]1C)N(C)CCCNC(=O)[O-] ZINC000738618063 603250396 /nfs/dbraw/zinc/25/03/96/603250396.db2.gz IFECRHPJHFYRAP-STQMWFEESA-N -1 1 319.405 1.942 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@H]1CCN(C(=O)[O-])C1)c1ccc(F)cc1 ZINC000739603519 603283507 /nfs/dbraw/zinc/28/35/07/603283507.db2.gz VWNMQYVXAIKACB-GXTWGEPZSA-N -1 1 323.368 1.545 20 0 DDADMM COCCCn1c(=O)[nH]nc1SC[C@@H]1CCN(C(=O)[O-])C1 ZINC000739813353 603372674 /nfs/dbraw/zinc/37/26/74/603372674.db2.gz PTJNWVGAZZGRSZ-SECBINFHSA-N -1 1 316.383 1.112 20 0 DDADMM C[C@@H](CNC(=O)[O-])N(C)C(=O)CSc1n[nH]c(=S)s1 ZINC000824958508 603489595 /nfs/dbraw/zinc/48/95/95/603489595.db2.gz ALLGIPWNKOPGQB-YFKPBYRVSA-N -1 1 322.437 1.033 20 0 DDADMM O=C([O-])N1CCC[C@H]1C1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC000831561968 603526995 /nfs/dbraw/zinc/52/69/95/603526995.db2.gz DUZNNVCBYKZWOB-ZDUSSCGKSA-N -1 1 306.366 1.333 20 0 DDADMM O=C([O-])NCc1ccc(C(=O)N2CCC(c3nc[nH]n3)CC2)o1 ZINC000832677669 603562842 /nfs/dbraw/zinc/56/28/42/603562842.db2.gz FMDKRNXXOSOMQM-UHFFFAOYSA-N -1 1 319.321 1.185 20 0 DDADMM CC(C)(CNC(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000823969005 603673530 /nfs/dbraw/zinc/67/35/30/603673530.db2.gz CDLGZEVVFJKSQG-UHFFFAOYSA-N -1 1 303.322 1.500 20 0 DDADMM C[C@@H]1CCCN(CC(=O)N2CCCCCC2)[C@@H]1CNC(=O)[O-] ZINC000825918434 603719999 /nfs/dbraw/zinc/71/99/99/603719999.db2.gz FJRGQDUMEJDMFE-ZIAGYGMSSA-N -1 1 311.426 1.757 20 0 DDADMM Cc1cc(CNC(=O)C2(NC(=O)[O-])Cc3ccccc3C2)n[nH]1 ZINC000830040575 603796112 /nfs/dbraw/zinc/79/61/12/603796112.db2.gz ACYOPJFJZGFTCB-UHFFFAOYSA-N -1 1 314.345 1.140 20 0 DDADMM CC(C)[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000826042576 603803902 /nfs/dbraw/zinc/80/39/02/603803902.db2.gz QCHPCGUYOMMHJN-ZWNOBZJWSA-N -1 1 321.377 1.941 20 0 DDADMM CC(C)[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000826042576 603803907 /nfs/dbraw/zinc/80/39/07/603803907.db2.gz QCHPCGUYOMMHJN-ZWNOBZJWSA-N -1 1 321.377 1.941 20 0 DDADMM O=C([O-])N1CCc2cccc(CN[C@H]3CCCS(=O)(=O)C3)c21 ZINC000832087450 603863554 /nfs/dbraw/zinc/86/35/54/603863554.db2.gz UTIGVOGAAGZDGM-ZDUSSCGKSA-N -1 1 324.402 1.394 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CC(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000831333312 603938409 /nfs/dbraw/zinc/93/84/09/603938409.db2.gz DQAOJDZPJXTTDX-SNVBAGLBSA-N -1 1 320.324 1.851 20 0 DDADMM CN1CCN(C(=O)[C@@H]2CC[C@H](NC(=O)[O-])C2)C2(CCCCC2)C1 ZINC000828496824 603941949 /nfs/dbraw/zinc/94/19/49/603941949.db2.gz ZZNVBFOJIOLPFG-KGLIPLIRSA-N -1 1 323.437 1.900 20 0 DDADMM CC(C)[C@](C)(CNC(=O)[O-])NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000824057299 603949862 /nfs/dbraw/zinc/94/98/62/603949862.db2.gz QCYALNATRBQCSK-BONVTDFDSA-N -1 1 308.382 1.628 20 0 DDADMM Cc1cc(CNC(=O)[C@@H]2CCCCCC[C@@H]2NC(=O)[O-])n[nH]1 ZINC000830040850 603986742 /nfs/dbraw/zinc/98/67/42/603986742.db2.gz DZJBBUCKDLWTNF-OLZOCXBDSA-N -1 1 308.382 1.941 20 0 DDADMM C[C@H]1C[N@@H+](C2CC2)C[C@H]1NC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000826047214 604012220 /nfs/dbraw/zinc/01/22/20/604012220.db2.gz CKKUCCJLQLLSGF-TVQRCGJNSA-N -1 1 319.361 1.695 20 0 DDADMM C[C@H]1C[N@H+](C2CC2)C[C@H]1NC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000826047214 604012224 /nfs/dbraw/zinc/01/22/24/604012224.db2.gz CKKUCCJLQLLSGF-TVQRCGJNSA-N -1 1 319.361 1.695 20 0 DDADMM O=C([O-])N1CSC[C@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000831730396 604057912 /nfs/dbraw/zinc/05/79/12/604057912.db2.gz GAWQZVMHQSWHAT-JTQLQIEISA-N -1 1 324.337 1.371 20 0 DDADMM CCc1cnc(N2CCN(C[C@H]3CCN(C(=O)[O-])C3)CC2)s1 ZINC000827616161 604059074 /nfs/dbraw/zinc/05/90/74/604059074.db2.gz IIZUKOBKECRJGJ-GFCCVEGCSA-N -1 1 324.450 1.827 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@H]2CCC[C@H](NC(=O)[O-])C2)c1 ZINC000828047004 604067153 /nfs/dbraw/zinc/06/71/53/604067153.db2.gz VHKWYKIKZLZQFO-STQMWFEESA-N -1 1 320.393 1.908 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1C[C@H](O)c1ccc(F)c(F)c1 ZINC000832572842 604086419 /nfs/dbraw/zinc/08/64/19/604086419.db2.gz IKDSIOYIORKOFV-GWCFXTLKSA-N -1 1 300.305 1.730 20 0 DDADMM CN1CCC[C@H](NC(=O)N2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])C1 ZINC000828480414 604165297 /nfs/dbraw/zinc/16/52/97/604165297.db2.gz GTZDLSQBFVRABX-XQQFMLRXSA-N -1 1 310.398 1.007 20 0 DDADMM CN1CCC[C@@H](NC(=O)N2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])C1 ZINC000828480413 604165425 /nfs/dbraw/zinc/16/54/25/604165425.db2.gz GTZDLSQBFVRABX-UPJWGTAASA-N -1 1 310.398 1.007 20 0 DDADMM O=C([O-])N(CCNC(=O)CCc1nc[nH]n1)C1CCCCCC1 ZINC000831441667 604266547 /nfs/dbraw/zinc/26/65/47/604266547.db2.gz OPRMFAIGBUGNIR-UHFFFAOYSA-N -1 1 323.397 1.556 20 0 DDADMM CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)c1cccc2n[nH]cc21 ZINC000827012213 604438495 /nfs/dbraw/zinc/43/84/95/604438495.db2.gz WNWWTBSIMKMKEZ-ZDUSSCGKSA-N -1 1 305.334 1.513 20 0 DDADMM CN(C)C(=O)c1ccc(CN2CCC(CCC(=O)[O-])CC2)[nH]1 ZINC000833687604 604643045 /nfs/dbraw/zinc/64/30/45/604643045.db2.gz YKRHNOXWVAGYIA-UHFFFAOYSA-N -1 1 307.394 1.793 20 0 DDADMM Cc1cncc(/C=C/C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000832986664 604712656 /nfs/dbraw/zinc/71/26/56/604712656.db2.gz KEJGVLHSCMZFKW-RDFMZFSFSA-N -1 1 317.389 1.411 20 0 DDADMM C[C@@H](C(=O)N(C)C1CCCCC1)N1CCC(O)(C(=O)[O-])CC1 ZINC000833402385 604723786 /nfs/dbraw/zinc/72/37/86/604723786.db2.gz LBQLCQNLQAXJHH-LBPRGKRZSA-N -1 1 312.410 1.078 20 0 DDADMM CCc1[nH]c(C(=O)N2CCN(C)[C@H](C)[C@@H]2C)c(C)c1C(=O)[O-] ZINC000833667040 604838579 /nfs/dbraw/zinc/83/85/79/604838579.db2.gz JBFWVOJXFGRAHS-MNOVXSKESA-N -1 1 307.394 1.748 20 0 DDADMM C[C@H]1CCC[C@@H](OCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C1 ZINC000833611591 604900167 /nfs/dbraw/zinc/90/01/67/604900167.db2.gz YJZQCTCWQQSYDJ-UHTWSYAYSA-N -1 1 309.366 1.117 20 0 DDADMM CCc1nc([C@H](C)NC(=O)Nc2ccc(CC(=O)[O-])cc2)n[nH]1 ZINC000833674074 605020042 /nfs/dbraw/zinc/02/00/42/605020042.db2.gz OZJXIKSGZCBOJN-VIFPVBQESA-N -1 1 317.349 1.877 20 0 DDADMM CCN1C[C@H](C)[C@H](NS(=O)(=O)c2ccsc2C(=O)[O-])C1 ZINC000833658008 605041370 /nfs/dbraw/zinc/04/13/70/605041370.db2.gz PMZUSFQNRMCKGY-DTWKUNHWSA-N -1 1 318.420 1.065 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@H]1CCCS1 ZINC000833626875 605055401 /nfs/dbraw/zinc/05/54/01/605055401.db2.gz SGZAFIGJNPXFRK-NWDGAFQWSA-N -1 1 315.439 1.072 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])ccc1C ZINC000833662031 605076586 /nfs/dbraw/zinc/07/65/86/605076586.db2.gz AOPHHFXXRBUQCP-ZDUSSCGKSA-N -1 1 317.345 1.543 20 0 DDADMM COCCOc1ccc([C@H](C)NC2CN(C(=O)[O-])C2)cc1OC ZINC000829007730 605100354 /nfs/dbraw/zinc/10/03/54/605100354.db2.gz MYAKYRFIXAJCCF-NSHDSACASA-N -1 1 324.377 1.733 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@H](NC(=O)[O-])C1 ZINC000829833103 605125394 /nfs/dbraw/zinc/12/53/94/605125394.db2.gz GDAOLIGHJGVEJA-LURJTMIESA-N -1 1 306.244 1.219 20 0 DDADMM CCN(CC)[C@H](C(=O)N1CC[C@H](NC(=O)[O-])C1)c1ccccc1 ZINC000739406683 605128955 /nfs/dbraw/zinc/12/89/55/605128955.db2.gz LDTLJPBJHCPZBT-GJZGRUSLSA-N -1 1 319.405 1.938 20 0 DDADMM Cc1nc(COC(=O)C[C@@H](Cc2ccccc2)NC(=O)[O-])n[nH]1 ZINC000830760647 605263331 /nfs/dbraw/zinc/26/33/31/605263331.db2.gz GKNDKBFBCCZWQZ-GFCCVEGCSA-N -1 1 318.333 1.425 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCN(C(=O)[O-])[C@H](C)C2)n[nH]1 ZINC000830031768 605301794 /nfs/dbraw/zinc/30/17/94/605301794.db2.gz YIIPZMSHJYQVRW-MWLCHTKSSA-N -1 1 309.370 1.043 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]2CN(c3ncnc4[nH]cnc43)C[C@@H]21 ZINC000834186855 605373612 /nfs/dbraw/zinc/37/36/12/605373612.db2.gz VLSLQKPEYKIWLZ-LPEHRKFASA-N -1 1 302.338 1.225 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2ccc(NC(=O)[O-])cc2O)n[nH]1 ZINC000830036746 605435909 /nfs/dbraw/zinc/43/59/09/605435909.db2.gz ZTLIJXWZBMQQOU-MRVPVSSYSA-N -1 1 318.333 1.875 20 0 DDADMM O=C([O-])N1CC[C@H](CCC(=O)N2CCN3CCCC[C@@H]3C2)C1 ZINC000834078433 605439344 /nfs/dbraw/zinc/43/93/44/605439344.db2.gz LIFZOTMQTCSILG-UONOGXRCSA-N -1 1 309.410 1.463 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(CC(=O)NCc3ccco3)C[C@@H]21 ZINC000834191070 605441384 /nfs/dbraw/zinc/44/13/84/605441384.db2.gz YSGAYQZQIMAUNQ-KWCYVHTRSA-N -1 1 321.377 1.264 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]2CN(Cc3cn4cccnc4n3)C[C@@H]21 ZINC000834186704 605449095 /nfs/dbraw/zinc/44/90/95/605449095.db2.gz XEPNENVGCYCAFD-FPMFFAJLSA-N -1 1 315.377 1.597 20 0 DDADMM O=C([O-])N[C@@H]1CCN(C(=O)c2cc(C3CCCCC3)[nH]n2)C1 ZINC000834184891 605513872 /nfs/dbraw/zinc/51/38/72/605513872.db2.gz XCZIRYNHBQSSHH-LLVKDONJSA-N -1 1 306.366 1.940 20 0 DDADMM COc1cc(CN(C)[C@H]2CCN(C(=O)[O-])C2)cc2c1OCO2 ZINC000833887388 605589924 /nfs/dbraw/zinc/58/99/24/605589924.db2.gz PTEQJEXMMCXGMT-NSHDSACASA-N -1 1 308.334 1.608 20 0 DDADMM O=C([O-])NC1C[C@H]2CCC[C@@H](C1)N2Cc1cnc2cnccn12 ZINC000834162599 605610967 /nfs/dbraw/zinc/61/09/67/605610967.db2.gz ZVDFQRNVVZVODS-YHWZYXNKSA-N -1 1 315.377 1.882 20 0 DDADMM O=C([O-])N1CCC[C@H]1CN1CCC([C@@H](O)C(F)(F)F)CC1 ZINC000834111248 605621018 /nfs/dbraw/zinc/62/10/18/605621018.db2.gz CRWWDHHYLDNOSX-WDEREUQCSA-N -1 1 310.316 1.764 20 0 DDADMM O=C([O-])N1CC[C@H](N(Cc2cnc3cnccn23)C2CC2)C1 ZINC000834086340 605621420 /nfs/dbraw/zinc/62/14/20/605621420.db2.gz XWPWUFVOLGKCKD-LBPRGKRZSA-N -1 1 301.350 1.446 20 0 DDADMM CC(C)C[C@H](NC(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000736423291 605690067 /nfs/dbraw/zinc/69/00/67/605690067.db2.gz CFECFUSZZVVMJI-ZDUSSCGKSA-N -1 1 317.349 1.888 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1Cc1cc(=O)n2ccsc2n1 ZINC000833834751 605910971 /nfs/dbraw/zinc/91/09/71/605910971.db2.gz QTHLMBAVECMOLY-NXEZZACHSA-N -1 1 322.390 1.234 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CN1C(=O)[C@@H]2CCCCC[C@H]21 ZINC000833833987 605958148 /nfs/dbraw/zinc/95/81/48/605958148.db2.gz LQUPACOBKCMEFI-AAVRWANBSA-N -1 1 309.410 1.713 20 0 DDADMM O=C(OCC(F)(F)C(F)F)c1ccc(-c2nnn[n-]2)s1 ZINC000823396283 606134780 /nfs/dbraw/zinc/13/47/80/606134780.db2.gz HVFYIPQNFKHRDU-UHFFFAOYSA-N -1 1 310.232 1.985 20 0 DDADMM O=C(OCC(F)(F)C(F)F)c1ccc(-c2nn[n-]n2)s1 ZINC000823396283 606134781 /nfs/dbraw/zinc/13/47/81/606134781.db2.gz HVFYIPQNFKHRDU-UHFFFAOYSA-N -1 1 310.232 1.985 20 0 DDADMM CCc1nnc(COC(=O)c2ccc(-c3nnn[n-]3)s2)o1 ZINC000821517596 606139849 /nfs/dbraw/zinc/13/98/49/606139849.db2.gz LOBPEOJNAQCMKO-UHFFFAOYSA-N -1 1 306.307 1.231 20 0 DDADMM CCc1nnc(COC(=O)c2ccc(-c3nn[n-]n3)s2)o1 ZINC000821517596 606139852 /nfs/dbraw/zinc/13/98/52/606139852.db2.gz LOBPEOJNAQCMKO-UHFFFAOYSA-N -1 1 306.307 1.231 20 0 DDADMM Clc1ccc(N2CCC[C@@H]2c2ncon2)nc1-c1nnn[n-]1 ZINC000822578053 606462775 /nfs/dbraw/zinc/46/27/75/606462775.db2.gz AZUXTFRCBKGIMD-MRVPVSSYSA-N -1 1 318.728 1.640 20 0 DDADMM Clc1ccc(N2CCC[C@@H]2c2ncon2)nc1-c1nn[n-]n1 ZINC000822578053 606462776 /nfs/dbraw/zinc/46/27/76/606462776.db2.gz AZUXTFRCBKGIMD-MRVPVSSYSA-N -1 1 318.728 1.640 20 0 DDADMM O[C@@H](CCNc1ccc(Cl)c(-c2nnn[n-]2)n1)C(F)(F)F ZINC000823674830 606467971 /nfs/dbraw/zinc/46/79/71/606467971.db2.gz SBTFPSIPFYXNHU-LURJTMIESA-N -1 1 322.678 1.640 20 0 DDADMM O[C@@H](CCNc1ccc(Cl)c(-c2nn[n-]n2)n1)C(F)(F)F ZINC000823674830 606467972 /nfs/dbraw/zinc/46/79/72/606467972.db2.gz SBTFPSIPFYXNHU-LURJTMIESA-N -1 1 322.678 1.640 20 0 DDADMM COC(=O)CCC(C)(C)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821660078 606560395 /nfs/dbraw/zinc/56/03/95/606560395.db2.gz FGCAHAJXEQAXEI-UHFFFAOYSA-N -1 1 323.378 1.390 20 0 DDADMM COC(=O)CCC(C)(C)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821660078 606560396 /nfs/dbraw/zinc/56/03/96/606560396.db2.gz FGCAHAJXEQAXEI-UHFFFAOYSA-N -1 1 323.378 1.390 20 0 DDADMM O=C(NC[C@H]1CCC[C@H](O)C1)c1ccc(-c2nnn[n-]2)s1 ZINC000823175270 606571957 /nfs/dbraw/zinc/57/19/57/606571957.db2.gz DLLYJNWETCOKLH-IUCAKERBSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(NC[C@H]1CCC[C@H](O)C1)c1ccc(-c2nn[n-]n2)s1 ZINC000823175270 606571959 /nfs/dbraw/zinc/57/19/59/606571959.db2.gz DLLYJNWETCOKLH-IUCAKERBSA-N -1 1 307.379 1.209 20 0 DDADMM C[C@H](CNC(=O)c1ccc(-c2nnn[n-]2)s1)c1ccncc1 ZINC000820270118 606586086 /nfs/dbraw/zinc/58/60/86/606586086.db2.gz VPOWSTWWOMAVBY-SECBINFHSA-N -1 1 314.374 1.857 20 0 DDADMM C[C@H](CNC(=O)c1ccc(-c2nn[n-]n2)s1)c1ccncc1 ZINC000820270118 606586087 /nfs/dbraw/zinc/58/60/87/606586087.db2.gz VPOWSTWWOMAVBY-SECBINFHSA-N -1 1 314.374 1.857 20 0 DDADMM O=C(Nc1cc(C2CC2)[nH]n1)c1ccc(-c2nnn[n-]2)s1 ZINC000823298974 606592128 /nfs/dbraw/zinc/59/21/28/606592128.db2.gz AWXDVUMYRCWKEJ-UHFFFAOYSA-N -1 1 301.335 1.781 20 0 DDADMM O=C(Nc1cc(C2CC2)[nH]n1)c1ccc(-c2nn[n-]n2)s1 ZINC000823298974 606592130 /nfs/dbraw/zinc/59/21/30/606592130.db2.gz AWXDVUMYRCWKEJ-UHFFFAOYSA-N -1 1 301.335 1.781 20 0 DDADMM CN(Cc1ccccc1)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821614417 606595907 /nfs/dbraw/zinc/59/59/07/606595907.db2.gz FMSGGDOHCVQIOC-UHFFFAOYSA-N -1 1 312.337 1.264 20 0 DDADMM CN(Cc1ccccc1)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821614417 606595909 /nfs/dbraw/zinc/59/59/09/606595909.db2.gz FMSGGDOHCVQIOC-UHFFFAOYSA-N -1 1 312.337 1.264 20 0 DDADMM Cc1ccc(CC(=O)OCc2ccnc(-c3nnn[n-]3)c2)cc1 ZINC000822235162 606652229 /nfs/dbraw/zinc/65/22/29/606652229.db2.gz VTNFWUNJQPHKPI-UHFFFAOYSA-N -1 1 309.329 1.856 20 0 DDADMM Cc1ccc(CC(=O)OCc2ccnc(-c3nn[n-]n3)c2)cc1 ZINC000822235162 606652230 /nfs/dbraw/zinc/65/22/30/606652230.db2.gz VTNFWUNJQPHKPI-UHFFFAOYSA-N -1 1 309.329 1.856 20 0 DDADMM CCOC(=O)CCN(C)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821330045 607174215 /nfs/dbraw/zinc/17/42/15/607174215.db2.gz BYJVEMFBGVASLI-UHFFFAOYSA-N -1 1 310.745 1.305 20 0 DDADMM CCOC(=O)CCN(C)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821330045 607174216 /nfs/dbraw/zinc/17/42/16/607174216.db2.gz BYJVEMFBGVASLI-UHFFFAOYSA-N -1 1 310.745 1.305 20 0 DDADMM CC(C)[C@H]1CC[C@H](C(=O)n2ncc(-c3nn[n-]n3)c2N)CC1 ZINC000824342746 607808994 /nfs/dbraw/zinc/80/89/94/607808994.db2.gz TVTOHOORLYVRNH-MGCOHNPYSA-N -1 1 303.370 1.748 20 0 DDADMM CN(C[C@@H]1CCC[C@@H]1O)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825908781 607851051 /nfs/dbraw/zinc/85/10/51/607851051.db2.gz MABFFZFKKTUSDM-BQBZGAKWSA-N -1 1 314.802 1.574 20 0 DDADMM CN(C[C@@H]1CCC[C@@H]1O)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825908781 607851052 /nfs/dbraw/zinc/85/10/52/607851052.db2.gz MABFFZFKKTUSDM-BQBZGAKWSA-N -1 1 314.802 1.574 20 0 DDADMM CCCCS(=O)(=O)Oc1cccc(F)c1-c1nnn[n-]1 ZINC000825296492 607867520 /nfs/dbraw/zinc/86/75/20/607867520.db2.gz LSYZYZJKEGGYBX-UHFFFAOYSA-N -1 1 300.315 1.515 20 0 DDADMM CCCCS(=O)(=O)Oc1cccc(F)c1-c1nn[n-]n1 ZINC000825296492 607867521 /nfs/dbraw/zinc/86/75/21/607867521.db2.gz LSYZYZJKEGGYBX-UHFFFAOYSA-N -1 1 300.315 1.515 20 0 DDADMM Cc1ccc(NC(=O)CN(C)c2cccc(-c3nnn[n-]3)n2)cc1 ZINC000826290639 607894893 /nfs/dbraw/zinc/89/48/93/607894893.db2.gz SSRWZFAAWPCFPQ-UHFFFAOYSA-N -1 1 323.360 1.645 20 0 DDADMM Cc1ccc(NC(=O)CN(C)c2cccc(-c3nn[n-]n3)n2)cc1 ZINC000826290639 607894894 /nfs/dbraw/zinc/89/48/94/607894894.db2.gz SSRWZFAAWPCFPQ-UHFFFAOYSA-N -1 1 323.360 1.645 20 0 DDADMM O[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)c1ccc(Cl)cc1 ZINC000826502791 607989332 /nfs/dbraw/zinc/98/93/32/607989332.db2.gz KREWFTCGLWRQRO-NSHDSACASA-N -1 1 317.740 1.456 20 0 DDADMM O[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)c1ccc(Cl)cc1 ZINC000826502791 607989333 /nfs/dbraw/zinc/98/93/33/607989333.db2.gz KREWFTCGLWRQRO-NSHDSACASA-N -1 1 317.740 1.456 20 0 DDADMM CN(Cc1nccn1C)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825998928 608392213 /nfs/dbraw/zinc/39/22/13/608392213.db2.gz FTBAPHMPBNFKAE-UHFFFAOYSA-N -1 1 310.774 1.347 20 0 DDADMM CN(Cc1nccn1C)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825998928 608392215 /nfs/dbraw/zinc/39/22/15/608392215.db2.gz FTBAPHMPBNFKAE-UHFFFAOYSA-N -1 1 310.774 1.347 20 0 DDADMM Cc1nn(C)c(C)c1CCCNc1nccnc1-c1nnn[n-]1 ZINC000826333742 608415211 /nfs/dbraw/zinc/41/52/11/608415211.db2.gz SWCSHWYAHFZPHU-UHFFFAOYSA-N -1 1 313.369 1.052 20 0 DDADMM Cc1nn(C)c(C)c1CCCNc1nccnc1-c1nn[n-]n1 ZINC000826333742 608415212 /nfs/dbraw/zinc/41/52/12/608415212.db2.gz SWCSHWYAHFZPHU-UHFFFAOYSA-N -1 1 313.369 1.052 20 0 DDADMM C[C@@H]1CCOCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000824970931 608416849 /nfs/dbraw/zinc/41/68/49/608416849.db2.gz ZDGFUAHYIADPEK-ZCFIWIBFSA-N -1 1 300.775 1.592 20 0 DDADMM C[C@@H]1CCOCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000824970931 608416850 /nfs/dbraw/zinc/41/68/50/608416850.db2.gz ZDGFUAHYIADPEK-ZCFIWIBFSA-N -1 1 300.775 1.592 20 0 DDADMM C[C@H](Nc1ccc(-c2nnn[n-]2)nn1)[C@H](O)c1ccc(F)cc1 ZINC000824647676 608416928 /nfs/dbraw/zinc/41/69/28/608416928.db2.gz BJTYWUBDQPDNNX-SDBXPKJASA-N -1 1 315.312 1.330 20 0 DDADMM C[C@H](Nc1ccc(-c2nn[n-]n2)nn1)[C@H](O)c1ccc(F)cc1 ZINC000824647676 608416929 /nfs/dbraw/zinc/41/69/29/608416929.db2.gz BJTYWUBDQPDNNX-SDBXPKJASA-N -1 1 315.312 1.330 20 0 DDADMM Clc1cccc2c1OC[C@H]2Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826353569 608431987 /nfs/dbraw/zinc/43/19/87/608431987.db2.gz VLFPNLJEBBSWKS-SNVBAGLBSA-N -1 1 315.724 1.856 20 0 DDADMM Clc1cccc2c1OC[C@H]2Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826353569 608431988 /nfs/dbraw/zinc/43/19/88/608431988.db2.gz VLFPNLJEBBSWKS-SNVBAGLBSA-N -1 1 315.724 1.856 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@@H]1CCO[C@@]2(CCSC2)C1 ZINC000826514394 608435069 /nfs/dbraw/zinc/43/50/69/608435069.db2.gz QDWBZEOLMBGCTP-RNCFNFMXSA-N -1 1 319.394 1.123 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@@H]1CCO[C@@]2(CCSC2)C1 ZINC000826514394 608435070 /nfs/dbraw/zinc/43/50/70/608435070.db2.gz QDWBZEOLMBGCTP-RNCFNFMXSA-N -1 1 319.394 1.123 20 0 DDADMM Clc1ccc2c(c1)CN(c1ccc(-c3nnn[n-]3)nn1)CC2 ZINC000826352802 608438724 /nfs/dbraw/zinc/43/87/24/608438724.db2.gz ONGYRWVIKXSHAM-UHFFFAOYSA-N -1 1 313.752 1.873 20 0 DDADMM Clc1ccc2c(c1)CN(c1ccc(-c3nn[n-]n3)nn1)CC2 ZINC000826352802 608438725 /nfs/dbraw/zinc/43/87/25/608438725.db2.gz ONGYRWVIKXSHAM-UHFFFAOYSA-N -1 1 313.752 1.873 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NCCc1cn2ccccc2n1 ZINC000826280553 608543031 /nfs/dbraw/zinc/54/30/31/608543031.db2.gz GUEKHXGGELLSNO-UHFFFAOYSA-N -1 1 320.360 1.872 20 0 DDADMM C[C@H]1CN(Cc2ccc(-c3nnn[n-]3)o2)C[C@H](C(F)(F)F)O1 ZINC000824985532 608728255 /nfs/dbraw/zinc/72/82/55/608728255.db2.gz WXJQSJGTHZDSEM-OIBJUYFYSA-N -1 1 317.271 1.611 20 0 DDADMM C[C@H]1CN(Cc2ccc(-c3nn[n-]n3)o2)C[C@H](C(F)(F)F)O1 ZINC000824985532 608728259 /nfs/dbraw/zinc/72/82/59/608728259.db2.gz WXJQSJGTHZDSEM-OIBJUYFYSA-N -1 1 317.271 1.611 20 0 DDADMM c1coc([C@@H]2CN(Cc3ccc(-c4nnn[n-]4)o3)CCO2)c1 ZINC000826526355 608746756 /nfs/dbraw/zinc/74/67/56/608746756.db2.gz VKKOCUIBGPSXTE-ZDUSSCGKSA-N -1 1 301.306 1.626 20 0 DDADMM c1coc([C@@H]2CN(Cc3ccc(-c4nn[n-]n4)o3)CCO2)c1 ZINC000826526355 608746759 /nfs/dbraw/zinc/74/67/59/608746759.db2.gz VKKOCUIBGPSXTE-ZDUSSCGKSA-N -1 1 301.306 1.626 20 0 DDADMM O=C(Nc1ccc2nncn2c1)c1ccc(-c2nnn[n-]2)s1 ZINC000826456116 609260680 /nfs/dbraw/zinc/26/06/80/609260680.db2.gz QUOJBMUSONPFNR-UHFFFAOYSA-N -1 1 312.318 1.223 20 0 DDADMM O=C(Nc1ccc2nncn2c1)c1ccc(-c2nn[n-]n2)s1 ZINC000826456116 609260681 /nfs/dbraw/zinc/26/06/81/609260681.db2.gz QUOJBMUSONPFNR-UHFFFAOYSA-N -1 1 312.318 1.223 20 0 DDADMM CC(C)(C)OCC(=O)Nc1nn(C(C)(C)C)cc1-c1nnn[n-]1 ZINC000824119221 609325211 /nfs/dbraw/zinc/32/52/11/609325211.db2.gz CZGNGWGUHQZTNY-UHFFFAOYSA-N -1 1 321.385 1.572 20 0 DDADMM CC(C)(C)OCC(=O)Nc1nn(C(C)(C)C)cc1-c1nn[n-]n1 ZINC000824119221 609325214 /nfs/dbraw/zinc/32/52/14/609325214.db2.gz CZGNGWGUHQZTNY-UHFFFAOYSA-N -1 1 321.385 1.572 20 0 DDADMM Cc1ccsc1COC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826316410 609338343 /nfs/dbraw/zinc/33/83/43/609338343.db2.gz NITUXJVTABOUBY-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM Cc1ccsc1COC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826316410 609338345 /nfs/dbraw/zinc/33/83/45/609338345.db2.gz NITUXJVTABOUBY-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM CCCCN1CCO[C@@]2(CCN(C(=O)c3n[nH]c(C)c3[O-])C2)C1 ZINC000972341665 695221590 /nfs/dbraw/zinc/22/15/90/695221590.db2.gz GXLPBAAPFJHVIV-INIZCTEOSA-N -1 1 322.409 1.141 20 0 DDADMM CC(C)[C@H](C)NC(=O)COC(=O)c1c([O-])cc(F)cc1F ZINC000745523187 699984034 /nfs/dbraw/zinc/98/40/34/699984034.db2.gz VDKHNWGWZUUPAQ-QMMMGPOBSA-N -1 1 301.289 1.988 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)/C=C/C2CC2)cc1 ZINC000745549422 699985039 /nfs/dbraw/zinc/98/50/39/699985039.db2.gz AWRMJEWSGRMTSX-RUDMXATFSA-N -1 1 303.314 1.461 20 0 DDADMM COC(=O)c1ccc(C(=O)OC2CCC3(CC2)OCCO3)[n-]1 ZINC000797014201 699990643 /nfs/dbraw/zinc/99/06/43/699990643.db2.gz JCAMKOKGHJAXPW-UHFFFAOYSA-N -1 1 309.318 1.644 20 0 DDADMM CCC(Nc1ccc(N(C)C)cc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009770805 696037456 /nfs/dbraw/zinc/03/74/56/696037456.db2.gz XFIPXXXECSMACM-UHFFFAOYSA-N -1 1 318.402 1.360 20 0 DDADMM CCC(Nc1ccc2c[nH]nc2c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009772596 696037892 /nfs/dbraw/zinc/03/78/92/696037892.db2.gz YQAAZCIBUAJSSW-UHFFFAOYSA-N -1 1 315.358 1.170 20 0 DDADMM CC/C(Nc1ccc2c[nH]nc2c1)=C1/C(=O)[N-]C(=S)NC1=O ZINC000009772596 696037895 /nfs/dbraw/zinc/03/78/95/696037895.db2.gz YQAAZCIBUAJSSW-UHFFFAOYSA-N -1 1 315.358 1.170 20 0 DDADMM CC/C(Nc1ccc2c[nH]nc2c1)=C1\C(=O)[N-]C(=S)NC1=O ZINC000009772596 696037899 /nfs/dbraw/zinc/03/78/99/696037899.db2.gz YQAAZCIBUAJSSW-UHFFFAOYSA-N -1 1 315.358 1.170 20 0 DDADMM COCCNC(=O)Cn1c(=S)[n-]nc1-c1ccc(OC)cc1 ZINC000017321620 696065128 /nfs/dbraw/zinc/06/51/28/696065128.db2.gz ATCUKHGMKMUYOK-UHFFFAOYSA-N -1 1 322.390 1.379 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)C(=O)NC(C)(C)C ZINC000747212452 700060215 /nfs/dbraw/zinc/06/02/15/700060215.db2.gz OOWFEMIKTPXPJL-JTQLQIEISA-N -1 1 322.409 1.647 20 0 DDADMM C#Cc1cccc(NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)c1 ZINC000030566109 696114638 /nfs/dbraw/zinc/11/46/38/696114638.db2.gz PMGZQJFAXQLBLW-UHFFFAOYSA-N -1 1 304.327 1.421 20 0 DDADMM CCc1cc(C(=O)[N-]NC(=O)c2cc3c(s2)CCC3)n[nH]1 ZINC000032193952 696123249 /nfs/dbraw/zinc/12/32/49/696123249.db2.gz RAHWGFASKMKESM-UHFFFAOYSA-N -1 1 304.375 1.597 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCc2n[nH]cc2C1 ZINC000747352760 700065605 /nfs/dbraw/zinc/06/56/05/700065605.db2.gz MYRDSBBJFMUEDH-UHFFFAOYSA-N -1 1 301.350 1.536 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC2CCCCC2)o1 ZINC000042264065 696147303 /nfs/dbraw/zinc/14/73/03/696147303.db2.gz WLBWCFIYIZDHJE-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCOc2cccc(F)c2)n1 ZINC000047066694 696194092 /nfs/dbraw/zinc/19/40/92/696194092.db2.gz VKDXUARUINZULJ-UHFFFAOYSA-N -1 1 321.312 1.329 20 0 DDADMM COCCOC[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747408595 700068900 /nfs/dbraw/zinc/06/89/00/700068900.db2.gz HDMAZSACJWGQSB-SNVBAGLBSA-N -1 1 311.382 1.395 20 0 DDADMM CN(C[C@H]1CCN1C(=O)/C=C\C1CC1)C(=O)c1ncccc1[O-] ZINC000977600122 696229857 /nfs/dbraw/zinc/22/98/57/696229857.db2.gz APUDSJMDWXRTOK-FMFIFOJESA-N -1 1 315.373 1.426 20 0 DDADMM Cc1ccccc1C(=O)N[N-]C(=O)c1cc([N+](=O)[O-])cnc1C ZINC000052952017 696262013 /nfs/dbraw/zinc/26/20/13/696262013.db2.gz CXUHFGKGZKRVLB-UHFFFAOYSA-N -1 1 314.301 1.681 20 0 DDADMM CS(=O)(=O)Nc1ccc([O-])c(C(=O)Nc2ccncc2)c1 ZINC000055157879 696282050 /nfs/dbraw/zinc/28/20/50/696282050.db2.gz RFRUWKMKSXHMEU-UHFFFAOYSA-N -1 1 307.331 1.411 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCCCC#N ZINC000057604121 696298359 /nfs/dbraw/zinc/29/83/59/696298359.db2.gz ZLVJWYLIVYJNJE-UHFFFAOYSA-N -1 1 302.334 1.831 20 0 DDADMM COC(=O)[C@H](C)OC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000057604720 696298379 /nfs/dbraw/zinc/29/83/79/696298379.db2.gz GKIUMHVKKMMVAF-JTQLQIEISA-N -1 1 321.333 1.089 20 0 DDADMM O=C(CCc1nccs1)NCc1n[n-]c(=S)n1C1CC1 ZINC000066638117 696354409 /nfs/dbraw/zinc/35/44/09/696354409.db2.gz RHUHZCGXSCHGOV-UHFFFAOYSA-N -1 1 309.420 1.981 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2nc3ccccc3s2)s1 ZINC000079431920 696460313 /nfs/dbraw/zinc/46/03/13/696460313.db2.gz LIEGARSUCQEDSR-VIFPVBQESA-N -1 1 320.399 1.259 20 0 DDADMM O=S(=O)([N-]Cc1cccc(F)n1)c1cc(F)ccc1F ZINC000747904210 700091580 /nfs/dbraw/zinc/09/15/80/700091580.db2.gz DRZKFSJWYCOQTN-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM Cc1cc(C)cc(C[C@@H](C)NC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080661086 696536353 /nfs/dbraw/zinc/53/63/53/696536353.db2.gz FPMWPLOXNZCBAG-YPMHNXCESA-N -1 1 319.430 1.697 20 0 DDADMM C[C@H]1OCC[C@H]1C(=O)N[N-]C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000092208700 696593069 /nfs/dbraw/zinc/59/30/69/696593069.db2.gz IZSQXBRKXSBVJV-ZYHUDNBSSA-N -1 1 314.345 1.263 20 0 DDADMM Cc1ccc(C[C@H](C)NC(=O)Cc2sc(N)nc2[O-])c(C)c1 ZINC000095962113 696603465 /nfs/dbraw/zinc/60/34/65/696603465.db2.gz MYKYTGWMAAMUDB-WCQYABFASA-N -1 1 319.430 1.697 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)c2cccnc2)o1 ZINC000113475658 696657462 /nfs/dbraw/zinc/65/74/62/696657462.db2.gz LZNXBRAFDRGSQO-VIFPVBQESA-N -1 1 310.331 1.501 20 0 DDADMM C[C@H](c1ccc(F)cc1)N(C)C(=O)Cc1sc(N)nc1[O-] ZINC000120839389 696701874 /nfs/dbraw/zinc/70/18/74/696701874.db2.gz NKSRSYMPEQNWIR-KCJUWKMLSA-N -1 1 309.366 1.692 20 0 DDADMM CCCCOCC(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799021440 700110213 /nfs/dbraw/zinc/11/02/13/700110213.db2.gz BDSDUUIZYCNTPI-UHFFFAOYSA-N -1 1 324.343 1.720 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc(Cl)n1 ZINC000132769842 696819050 /nfs/dbraw/zinc/81/90/50/696819050.db2.gz LXSDSAZKIJVENX-SECBINFHSA-N -1 1 306.757 1.576 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)[C@H](C)Cc2ccc(F)cc2)n[nH]1 ZINC000153286984 696912887 /nfs/dbraw/zinc/91/28/87/696912887.db2.gz SQDWPMHJAIIKNV-SECBINFHSA-N -1 1 304.325 1.497 20 0 DDADMM CCCc1ccc(S(=O)(=O)[N-]C(=O)CCc2nc[nH]n2)cc1 ZINC000154501210 696933085 /nfs/dbraw/zinc/93/30/85/696933085.db2.gz SKINYDQUHKTZHW-UHFFFAOYSA-N -1 1 322.390 1.195 20 0 DDADMM CCOc1cc(C(=O)NCCN(C)OC)cc(Cl)c1[O-] ZINC000763005908 700918810 /nfs/dbraw/zinc/91/88/10/700918810.db2.gz ATZZJXWZBCFBQW-UHFFFAOYSA-N -1 1 302.758 1.667 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)OCc2ccccc2)o1 ZINC000156261197 696960535 /nfs/dbraw/zinc/96/05/35/696960535.db2.gz SZAZYBVDAOFBTH-UHFFFAOYSA-N -1 1 324.358 1.392 20 0 DDADMM CC(C)(CNC(=O)c1c([O-])cccc1Cl)S(C)(=O)=O ZINC000748860067 700139183 /nfs/dbraw/zinc/13/91/83/700139183.db2.gz NWKJXVCWYIOBKL-UHFFFAOYSA-N -1 1 305.783 1.599 20 0 DDADMM N#Cc1ccc(C(=O)NNC(=O)c2ccccc2[O-])cc1Cl ZINC000160021969 697314504 /nfs/dbraw/zinc/31/45/04/697314504.db2.gz AHZWHHJWXNDEBL-UHFFFAOYSA-N -1 1 315.716 1.992 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(F)(F)C(F)F)co1 ZINC000163347935 697332736 /nfs/dbraw/zinc/33/27/36/697332736.db2.gz UVTDGSYILSKFDW-UHFFFAOYSA-N -1 1 319.232 1.245 20 0 DDADMM O=C([O-])c1cc(F)cc(S(=O)(=O)Nc2ccc(F)nc2)c1 ZINC000165829999 697340218 /nfs/dbraw/zinc/34/02/18/697340218.db2.gz VDEGRAABCRGDFV-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM C[C@H](Oc1ccccc1F)C(=O)N[N-]C(=O)c1ccc(F)cn1 ZINC000181513861 697461821 /nfs/dbraw/zinc/46/18/21/697461821.db2.gz XKPOSDNTCXJADX-VIFPVBQESA-N -1 1 321.283 1.588 20 0 DDADMM COc1ccc(NC(=O)N[N-]C(=O)c2cc(C)[nH]n2)cc1Cl ZINC000182826365 697480190 /nfs/dbraw/zinc/48/01/90/697480190.db2.gz NKOBTPHGIYPROJ-UHFFFAOYSA-N -1 1 323.740 1.847 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CC2CCC2)CC1 ZINC000985351784 697496984 /nfs/dbraw/zinc/49/69/84/697496984.db2.gz JNHYHJSHJSZHEE-UHFFFAOYSA-N -1 1 307.398 1.123 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@H]1C[C@@H]1C(C)C ZINC000184213758 697500296 /nfs/dbraw/zinc/50/02/96/697500296.db2.gz XTEXJUSSDUFBKC-NEPJUHHUSA-N -1 1 304.350 1.104 20 0 DDADMM CC(=O)c1cc(Cl)cc(CN2C[C@@H](C)O[C@@H](CO)C2)c1[O-] ZINC000191723347 697613449 /nfs/dbraw/zinc/61/34/49/697613449.db2.gz ICHPKTONXGGDEO-NOZJJQNGSA-N -1 1 313.781 1.830 20 0 DDADMM COC(=O)C[C@@H]1CCCN1C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000772742508 697670746 /nfs/dbraw/zinc/67/07/46/697670746.db2.gz QDYOILBWVOMAIB-NSHDSACASA-N -1 1 321.377 1.782 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1 ZINC000773161391 697722133 /nfs/dbraw/zinc/72/21/33/697722133.db2.gz YTRACBGWJGENCH-GFCCVEGCSA-N -1 1 317.374 1.333 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC(C)(C)C1CC1 ZINC000986254912 697753973 /nfs/dbraw/zinc/75/39/73/697753973.db2.gz XYAJLCSBXRBXKH-JQWIXIFHSA-N -1 1 321.425 1.416 20 0 DDADMM O=C(NCc1cccc(O)c1)c1ccc2n[n-]c(=S)n2c1 ZINC000773961675 697828153 /nfs/dbraw/zinc/82/81/53/697828153.db2.gz YXUMXJSUIRLJOV-UHFFFAOYSA-N -1 1 300.343 1.654 20 0 DDADMM CCc1ccoc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986870244 697934349 /nfs/dbraw/zinc/93/43/49/697934349.db2.gz LSGFFNGVWKECJA-KOLCDFICSA-N -1 1 319.365 1.059 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cc1 ZINC000987402226 698109483 /nfs/dbraw/zinc/10/94/83/698109483.db2.gz DYUFLHSSTNNUKR-DGCLKSJQSA-N -1 1 315.377 1.212 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H](C)C(F)(F)F ZINC000777361411 698182194 /nfs/dbraw/zinc/18/21/94/698182194.db2.gz VKFZQHHBCGLHNR-ZCFIWIBFSA-N -1 1 318.255 1.010 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987669900 698192242 /nfs/dbraw/zinc/19/22/42/698192242.db2.gz CDTMXDVAMQAZKR-NEPJUHHUSA-N -1 1 303.362 1.473 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000987932237 698268572 /nfs/dbraw/zinc/26/85/72/698268572.db2.gz RZOUOULYDFUSGR-FRRDWIJNSA-N -1 1 317.389 1.364 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1=CCCCC1 ZINC000988363672 698337501 /nfs/dbraw/zinc/33/75/01/698337501.db2.gz DFMVIZOFXPFLSF-WCQYABFASA-N -1 1 319.409 1.480 20 0 DDADMM COc1ccccc1[C@H](O)CNC(=O)c1ncc(C)cc1[O-] ZINC000778992387 698389192 /nfs/dbraw/zinc/38/91/92/698389192.db2.gz GRKDXUJREHYCOI-CYBMUJFWSA-N -1 1 302.330 1.568 20 0 DDADMM CO[C@H]1C[C@H](CC(=O)[O-])N(C(=O)c2cc(C)cc3c[nH]nc32)C1 ZINC000263001720 698487276 /nfs/dbraw/zinc/48/72/76/698487276.db2.gz KVSZWACOQHUSQN-NEPJUHHUSA-N -1 1 317.345 1.576 20 0 DDADMM CC[C@H]1C[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCO1 ZINC000780140275 698492109 /nfs/dbraw/zinc/49/21/09/698492109.db2.gz FQGXLURRFOPTSM-KBPBESRZSA-N -1 1 319.357 1.691 20 0 DDADMM CC[C@H]1C[C@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)CCO1 ZINC000780144820 698492649 /nfs/dbraw/zinc/49/26/49/698492649.db2.gz OFYRANLRHKBKTA-ZJUUUORDSA-N -1 1 311.300 1.803 20 0 DDADMM CCC[C@@H](NC(=O)NC[C@H](c1cccs1)N(C)C)C(=O)[O-] ZINC000780340788 698508274 /nfs/dbraw/zinc/50/82/74/698508274.db2.gz AWUSNFIPHUPFST-GHMZBOCLSA-N -1 1 313.423 1.903 20 0 DDADMM C[C@H](CNC(=O)NC(C)(C)C(=O)[O-])N1CCc2ccccc2C1 ZINC000780481684 698523500 /nfs/dbraw/zinc/52/35/00/698523500.db2.gz RWCIXDVQNJEQJB-GFCCVEGCSA-N -1 1 319.405 1.596 20 0 DDADMM CCc1cc(CNC(=O)N[C@H](Cc2ccccc2)C(=O)[O-])n[nH]1 ZINC000780570825 698533313 /nfs/dbraw/zinc/53/33/13/698533313.db2.gz OHADVTLOLQIBES-CQSZACIVSA-N -1 1 316.361 1.467 20 0 DDADMM Cc1c[nH]cc(C(=O)Nc2nc(Br)ccc2[O-])c1=O ZINC000783911217 698891153 /nfs/dbraw/zinc/89/11/53/698891153.db2.gz KWQXCGCPZPMMET-UHFFFAOYSA-N -1 1 324.134 1.799 20 0 DDADMM O=C([N-]C1CN(C(=O)c2ccc(F)c(F)c2F)C1)C(F)F ZINC000990025754 698903758 /nfs/dbraw/zinc/90/37/58/698903758.db2.gz GIJAHFMRUVTPSM-UHFFFAOYSA-N -1 1 308.206 1.310 20 0 DDADMM CC[C@@]1([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])CCOC1 ZINC000378688155 699056602 /nfs/dbraw/zinc/05/66/02/699056602.db2.gz GMDKIBIZHWAEFU-GFCCVEGCSA-N -1 1 318.326 1.581 20 0 DDADMM COc1cccc([C@H](CNC(=O)[C@@H]2CC[C@@H]2C(=O)[O-])N(C)C)c1 ZINC000396976411 699113936 /nfs/dbraw/zinc/11/39/36/699113936.db2.gz KLNIIICPZHNYCT-ILXRZTDVSA-N -1 1 320.389 1.525 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971676 699196213 /nfs/dbraw/zinc/19/62/13/699196213.db2.gz ILSPZZHOAFKTQV-NWDGAFQWSA-N -1 1 303.362 1.020 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1CCCS(=O)(=O)C(C)(C)C ZINC000718737231 699294954 /nfs/dbraw/zinc/29/49/54/699294954.db2.gz YRGYXEMFAPDZAV-VXGBXAGGSA-N -1 1 305.440 1.775 20 0 DDADMM CCC(=O)COC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000727185251 699403341 /nfs/dbraw/zinc/40/33/41/699403341.db2.gz XWMKBLGXYUPRJS-UHFFFAOYSA-N -1 1 317.363 1.492 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)c1ccccc1Cl)N(C)C ZINC000789800681 699410935 /nfs/dbraw/zinc/41/09/35/699410935.db2.gz YPXLLIQPPJAOFF-LBPRGKRZSA-N -1 1 318.826 1.731 20 0 DDADMM CCN(C)C(=O)[C@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000789871666 699416052 /nfs/dbraw/zinc/41/60/52/699416052.db2.gz GIPVZDABYVNZFR-JTQLQIEISA-N -1 1 308.382 1.211 20 0 DDADMM CCN(CCc1ccccc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727849494 699427997 /nfs/dbraw/zinc/42/79/97/699427997.db2.gz HNVSMNXYCHTJIC-UHFFFAOYSA-N -1 1 315.373 1.018 20 0 DDADMM Cn1ncc2cc(C(=O)Nc3nc(Cl)ccc3[O-])cnc21 ZINC000790051683 699438146 /nfs/dbraw/zinc/43/81/46/699438146.db2.gz QABSBYXAAXCQSU-UHFFFAOYSA-N -1 1 303.709 1.975 20 0 DDADMM Cc1occc1C(=O)NCC(=O)Nc1nc(Cl)ccc1[O-] ZINC000790056471 699438624 /nfs/dbraw/zinc/43/86/24/699438624.db2.gz MGSLWRZZMMMSEP-UHFFFAOYSA-N -1 1 309.709 1.711 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])c1ccc2c(c1)CC(=O)N2C ZINC000728309383 699443722 /nfs/dbraw/zinc/44/37/22/699443722.db2.gz VZCPFOSITTUTCY-SNVBAGLBSA-N -1 1 311.341 1.797 20 0 DDADMM O=C(c1ccc(C(F)F)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732089641 699545908 /nfs/dbraw/zinc/54/59/08/699545908.db2.gz LFENSPQKGVPSQF-JTQLQIEISA-N -1 1 309.276 1.351 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3COc4ccccc4O3)ccnc1-2 ZINC000791160266 699613327 /nfs/dbraw/zinc/61/33/27/699613327.db2.gz DECAMPQDZSMIDH-AWEZNQCLSA-N -1 1 310.313 1.120 20 0 DDADMM COc1ncc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)cn1 ZINC000734015748 699632565 /nfs/dbraw/zinc/63/25/65/699632565.db2.gz PZJXNNKUXRZAKK-UHFFFAOYSA-N -1 1 311.301 1.833 20 0 DDADMM C[C@@H]1C[C@@H](CC(=O)N2CCOC[C@H]2c2nn[n-]n2)CC(C)(C)C1 ZINC000736226979 699721189 /nfs/dbraw/zinc/72/11/89/699721189.db2.gz KEQKEOZOEWCZMT-AGIUHOORSA-N -1 1 321.425 1.952 20 0 DDADMM Cc1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c(C)n1C(C)C ZINC000737233353 699737820 /nfs/dbraw/zinc/73/78/20/699737820.db2.gz IZCYTPSXLQEZMK-ZDUSSCGKSA-N -1 1 318.381 1.413 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc(-n2cncn2)cc1 ZINC000738385530 699758675 /nfs/dbraw/zinc/75/86/75/699758675.db2.gz QTDOQFMLVMKKBB-UHFFFAOYSA-N -1 1 305.319 1.067 20 0 DDADMM O=C([O-])[C@@]1(NC(=O)c2[nH]nc3c2CCC3)CCc2ccccc21 ZINC000738480985 699760821 /nfs/dbraw/zinc/76/08/21/699760821.db2.gz FDOICKHHTOSHAI-QGZVFWFLSA-N -1 1 311.341 1.555 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)c2cc(C=O)c(C)cc2C)n[nH]1 ZINC000793751952 699776821 /nfs/dbraw/zinc/77/68/21/699776821.db2.gz YXFUGBZDJXBGAA-UHFFFAOYSA-N -1 1 300.318 1.222 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cccnc2F)o1 ZINC000795268070 699854607 /nfs/dbraw/zinc/85/46/07/699854607.db2.gz OJBRKEKXWWLJQQ-UHFFFAOYSA-N -1 1 314.294 1.079 20 0 DDADMM COCCC1([N-]S(=O)(=O)c2ncn(C)c2Cl)CCC1 ZINC000795288322 699856073 /nfs/dbraw/zinc/85/60/73/699856073.db2.gz BLFYUQZMXKYSBW-UHFFFAOYSA-N -1 1 307.803 1.311 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2ccc(N(C)C)cc2)c[nH]1 ZINC000743047445 699898215 /nfs/dbraw/zinc/89/82/15/699898215.db2.gz LILGPXHGVLFSKU-UHFFFAOYSA-N -1 1 323.374 1.668 20 0 DDADMM O=C(Cc1ccc(F)cn1)OCCC[N-]C(=O)C(F)(F)F ZINC000795893094 699899835 /nfs/dbraw/zinc/89/98/35/699899835.db2.gz YWVUEKUDNCJBTH-UHFFFAOYSA-N -1 1 308.231 1.375 20 0 DDADMM CCCCC[C@H](C)CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000743326459 699907277 /nfs/dbraw/zinc/90/72/77/699907277.db2.gz XDYOWLHCSLVXPP-VIFPVBQESA-N -1 1 302.400 1.753 20 0 DDADMM CCCCC[C@H](C)CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000743326459 699907278 /nfs/dbraw/zinc/90/72/78/699907278.db2.gz XDYOWLHCSLVXPP-VIFPVBQESA-N -1 1 302.400 1.753 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2CCCCCCC2)n1 ZINC000743325627 699907407 /nfs/dbraw/zinc/90/74/07/699907407.db2.gz QAKBYLUPJJHVND-UHFFFAOYSA-N -1 1 300.384 1.507 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C2CCCCCCC2)[n-]1 ZINC000743325627 699907409 /nfs/dbraw/zinc/90/74/09/699907409.db2.gz QAKBYLUPJJHVND-UHFFFAOYSA-N -1 1 300.384 1.507 20 0 DDADMM O=C([C@@H]1CC[C@H](C(F)(F)F)C1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000796263994 699922253 /nfs/dbraw/zinc/92/22/53/699922253.db2.gz IRNNOHWGIATDNH-VGMNWLOBSA-N -1 1 319.287 1.078 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@@H]2CC3(CCC3)C(=O)O2)[n-]1 ZINC000796344676 699928072 /nfs/dbraw/zinc/92/80/72/699928072.db2.gz JTFVQMLTVNJYRJ-VIFPVBQESA-N -1 1 307.302 1.444 20 0 DDADMM CCOc1ccc(COC(=O)c2ccc(C(=O)OC)[n-]2)nc1 ZINC000796363644 699929606 /nfs/dbraw/zinc/92/96/06/699929606.db2.gz VFZYBMMRWWZTGX-UHFFFAOYSA-N -1 1 304.302 1.952 20 0 DDADMM CCC(=O)N[C@H](C)C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000744692523 699958407 /nfs/dbraw/zinc/95/84/07/699958407.db2.gz TUXHMYFGTLQEDB-SNVBAGLBSA-N -1 1 307.346 1.595 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCC1(C)COC1 ZINC000744889978 699964746 /nfs/dbraw/zinc/96/47/46/699964746.db2.gz OWHAPDJHQHTWRM-UHFFFAOYSA-N -1 1 319.361 1.564 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)O[C@H](C)C(=O)N(C)C)n2)cc1 ZINC000801369577 700301205 /nfs/dbraw/zinc/30/12/05/700301205.db2.gz RXUJWWZGFJHFKO-LLVKDONJSA-N -1 1 317.345 1.520 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3CC(=O)c4ccccc43)ccnc1-2 ZINC000801703479 700332969 /nfs/dbraw/zinc/33/29/69/700332969.db2.gz OHCFSVNPHPPWRB-LBPRGKRZSA-N -1 1 306.325 1.651 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CC[C@@H](SC)C2)c1 ZINC000754020637 700476737 /nfs/dbraw/zinc/47/67/37/700476737.db2.gz IFHZMRLGMUVSDK-VHSXEESVSA-N -1 1 319.404 1.629 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)Cc2ccco2)c1 ZINC000754000748 700475302 /nfs/dbraw/zinc/47/53/02/700475302.db2.gz TVKMOOSTTQCPRV-SECBINFHSA-N -1 1 313.331 1.569 20 0 DDADMM CC(C)CC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCCC1 ZINC000755459303 700566910 /nfs/dbraw/zinc/56/69/10/700566910.db2.gz RAOCCYGLAPUNDY-UHFFFAOYSA-N -1 1 321.421 1.649 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCO[C@H]1CCOC1 ZINC000756988517 700651193 /nfs/dbraw/zinc/65/11/93/700651193.db2.gz CPEXAGBSWMBVAH-LBPRGKRZSA-N -1 1 323.393 1.539 20 0 DDADMM Cc1ccc(CN2C[C@H](C(=O)[N-]OCC(F)F)CC2=O)cc1 ZINC000759321956 700746202 /nfs/dbraw/zinc/74/62/02/700746202.db2.gz FZDRHJFTARHFRR-GFCCVEGCSA-N -1 1 312.316 1.656 20 0 DDADMM O=S(=O)(C[C@H]1CCCCO1)[N-][C@@H]1CCCCC12OCCO2 ZINC000759758018 700770185 /nfs/dbraw/zinc/77/01/85/700770185.db2.gz OOMUZHJKHOVOTO-CHWSQXEVSA-N -1 1 319.423 1.161 20 0 DDADMM O=C([N-]OCC(F)F)[C@@H](c1ccccc1)N1CCC(O)CC1 ZINC000760146224 700793744 /nfs/dbraw/zinc/79/37/44/700793744.db2.gz JBXFQOQMFXKGIE-CQSZACIVSA-N -1 1 314.332 1.497 20 0 DDADMM Cc1c2cc(F)ccc2oc1S(=O)(=O)[N-]N=c1nccc[nH]1 ZINC000760340088 700803603 /nfs/dbraw/zinc/80/36/03/700803603.db2.gz YJOFBFLYGWRBLP-UHFFFAOYSA-N -1 1 322.321 1.976 20 0 DDADMM C[C@H](CCS(C)(=O)=O)NC(=O)c1c([O-])cccc1Cl ZINC000762579890 700898990 /nfs/dbraw/zinc/89/89/90/700898990.db2.gz XGCRRMKXCJJSJH-MRVPVSSYSA-N -1 1 305.783 1.599 20 0 DDADMM CC[C@@H](NC(=O)[C@H](N)c1cccc(C(F)(F)F)c1)C(=O)[O-] ZINC000763030857 700920834 /nfs/dbraw/zinc/92/08/34/700920834.db2.gz XLVQXXVTLLBOSR-NXEZZACHSA-N -1 1 304.268 1.685 20 0 DDADMM CCOC(=O)[C@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000763984673 700956410 /nfs/dbraw/zinc/95/64/10/700956410.db2.gz UULJSKVZGLEDMJ-VIFPVBQESA-N -1 1 303.318 1.325 20 0 DDADMM CCOC(=O)[C@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000763984673 700956411 /nfs/dbraw/zinc/95/64/11/700956411.db2.gz UULJSKVZGLEDMJ-VIFPVBQESA-N -1 1 303.318 1.325 20 0 DDADMM CC[C@H](C)[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000765388418 701009246 /nfs/dbraw/zinc/00/92/46/701009246.db2.gz BAXBKXYCZJRZHU-SMDDNHRTSA-N -1 1 303.362 1.982 20 0 DDADMM Cc1cccc(C2(C(=O)OCc3nc(=O)n(C)[n-]3)CCC2)c1 ZINC000765409150 701010357 /nfs/dbraw/zinc/01/03/57/701010357.db2.gz LYAFRWZZFXSRTI-UHFFFAOYSA-N -1 1 301.346 1.582 20 0 DDADMM CCCCc1ccc(CC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765420838 701011222 /nfs/dbraw/zinc/01/12/22/701011222.db2.gz ZFPSKPZUPKZZIC-UHFFFAOYSA-N -1 1 303.362 1.737 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(Cl)c(Cl)c2N)nc1=O ZINC000765463814 701013963 /nfs/dbraw/zinc/01/39/63/701013963.db2.gz UCPMMTOEJNPBEL-UHFFFAOYSA-N -1 1 317.132 1.354 20 0 DDADMM COc1ccccc1/C(C)=C/C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765465912 701013991 /nfs/dbraw/zinc/01/39/91/701013991.db2.gz VKOQBCINCAZBRK-CSKARUKUSA-N -1 1 303.318 1.264 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2c[nH]c3ccc(Cl)cc23)nc1=O ZINC000765480063 701014788 /nfs/dbraw/zinc/01/47/88/701014788.db2.gz PDGFCCNVELLWEN-UHFFFAOYSA-N -1 1 320.736 1.529 20 0 DDADMM COC(=O)[C@]1([N-]C(=O)C(F)(F)c2ccccc2F)CCOC1 ZINC000765862836 701029129 /nfs/dbraw/zinc/02/91/29/701029129.db2.gz XXQWZEPCQUHBEJ-ZDUSSCGKSA-N -1 1 317.263 1.366 20 0 DDADMM CC(C)(C(=O)N1CCO[C@H](c2nn[n-]n2)C1)C1CCCCC1 ZINC000766874229 701067409 /nfs/dbraw/zinc/06/74/09/701067409.db2.gz DNTTYCHPGVNKRN-LBPRGKRZSA-N -1 1 307.398 1.706 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCSC(C)C)co1 ZINC000803408023 701111549 /nfs/dbraw/zinc/11/15/49/701111549.db2.gz WGRCFRLLYOGUBS-UHFFFAOYSA-N -1 1 307.393 1.486 20 0 DDADMM Cc1ncsc1CCOC(=O)c1cc(=O)[n-]c(N(C)C)n1 ZINC000803503117 701123004 /nfs/dbraw/zinc/12/30/04/701123004.db2.gz JFOHCRZMGKRMQN-UHFFFAOYSA-N -1 1 308.363 1.413 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCCC(F)(F)C2)nc1Cl ZINC000769907170 701260816 /nfs/dbraw/zinc/26/08/16/701260816.db2.gz YFYLKKXIBPWHJB-ZETCQYMHSA-N -1 1 313.757 1.930 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)N1c2ccccc2CC[C@H]1C ZINC000805606031 701398453 /nfs/dbraw/zinc/39/84/53/701398453.db2.gz RRPUNAMAZJETNA-GHMZBOCLSA-N -1 1 314.345 1.718 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)C(=O)[N-]OC2CCCCC2)n[nH]1 ZINC000806460621 701435830 /nfs/dbraw/zinc/43/58/30/701435830.db2.gz LGMDVAGPWHJOBE-SNVBAGLBSA-N -1 1 308.382 1.146 20 0 DDADMM CCc1noc(C)c1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806481960 701436927 /nfs/dbraw/zinc/43/69/27/701436927.db2.gz JGVFWMPFZXFNEN-UHFFFAOYSA-N -1 1 300.322 1.845 20 0 DDADMM CCCCCOC1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806481920 701437051 /nfs/dbraw/zinc/43/70/51/701437051.db2.gz IVPWKKMQSQMQNI-UHFFFAOYSA-N -1 1 317.393 1.765 20 0 DDADMM CO[C@H]1CCC[C@H]1CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806486918 701437577 /nfs/dbraw/zinc/43/75/77/701437577.db2.gz KCYSZMKYTAQDIV-GWCFXTLKSA-N -1 1 303.366 1.278 20 0 DDADMM C[C@H]1CCN(C(=O)[C@H]2CCCO2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000807707908 701484340 /nfs/dbraw/zinc/48/43/40/701484340.db2.gz HNCULIMIUJGTFS-MMWGEVLESA-N -1 1 322.327 1.469 20 0 DDADMM CCc1cncc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c1 ZINC000830952313 706609440 /nfs/dbraw/zinc/60/94/40/706609440.db2.gz FMPLFGDZZLRWOC-UHFFFAOYSA-N -1 1 314.345 1.142 20 0 DDADMM C[C@@H]1CCC[C@]1(C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830953444 706609841 /nfs/dbraw/zinc/60/98/41/706609841.db2.gz VSCAEYRSTWYGNM-HWPZZCPQSA-N -1 1 305.378 1.697 20 0 DDADMM CCC[C@@H](C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C(C)C ZINC000830959293 706611692 /nfs/dbraw/zinc/61/16/92/706611692.db2.gz MHQFULWJSSTFDN-LLVKDONJSA-N -1 1 307.394 1.943 20 0 DDADMM C[C@H](O)[C@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)CCO1 ZINC000839671533 701776721 /nfs/dbraw/zinc/77/67/21/701776721.db2.gz LPQNSFGUKXORTB-ZUZCIYMTSA-N -1 1 305.330 1.080 20 0 DDADMM O=Cc1c[nH]c(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)c1 ZINC000815255895 701832251 /nfs/dbraw/zinc/83/22/51/701832251.db2.gz FPLRAAWCCBYMFT-UHFFFAOYSA-N -1 1 313.265 1.996 20 0 DDADMM CC1(C)CCC(C)(CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000839839134 701841813 /nfs/dbraw/zinc/84/18/13/701841813.db2.gz IUDMXRDDEZMPPG-UHFFFAOYSA-N -1 1 321.421 1.649 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)[C@@H](C)O1 ZINC000839843398 701843256 /nfs/dbraw/zinc/84/32/56/701843256.db2.gz BIGLVNLGVXQOEP-KKZNHRDASA-N -1 1 306.391 1.561 20 0 DDADMM CCC(C)(C)C(=O)COC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000811163309 701927261 /nfs/dbraw/zinc/92/72/61/701927261.db2.gz VYECBFJQIFWJQL-UHFFFAOYSA-N -1 1 317.363 1.350 20 0 DDADMM CCC[C@@H](C)N1C[C@@H](C(=O)[N-]OCCCC(=O)OC)CC1=O ZINC000811560102 702005450 /nfs/dbraw/zinc/00/54/50/702005450.db2.gz HGAGOUCFLTXWTM-NEPJUHHUSA-N -1 1 314.382 1.025 20 0 DDADMM CC1(C)C[C@@H]1CNC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868244161 702017583 /nfs/dbraw/zinc/01/75/83/702017583.db2.gz SYVWJEBUMDWDSI-RNCFNFMXSA-N -1 1 321.343 1.885 20 0 DDADMM CC1(C)CC[C@@H](CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)OC1 ZINC000879263559 706634177 /nfs/dbraw/zinc/63/41/77/706634177.db2.gz QBXSSWDMPFXZNF-RYUDHWBXSA-N -1 1 307.398 1.501 20 0 DDADMM Cc1cc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)c(C)s1 ZINC000816493015 702096645 /nfs/dbraw/zinc/09/66/45/702096645.db2.gz LTWBLNVQJHRYET-UHFFFAOYSA-N -1 1 307.379 1.002 20 0 DDADMM Cc1cc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)ccc1O ZINC000831133747 706640937 /nfs/dbraw/zinc/64/09/37/706640937.db2.gz CNSULXRWWXQHOK-UHFFFAOYSA-N -1 1 316.279 1.451 20 0 DDADMM COCCC1(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000831140172 706642416 /nfs/dbraw/zinc/64/24/16/706642416.db2.gz UEWUWGNKTOGIAS-UHFFFAOYSA-N -1 1 322.327 1.330 20 0 DDADMM CCN(C(=O)CCc1nn[n-]n1)[C@H](C)[C@H](O)c1ccccc1 ZINC000868475152 702150321 /nfs/dbraw/zinc/15/03/21/702150321.db2.gz XGJXMHFFBACJKR-ABAIWWIYSA-N -1 1 303.366 1.103 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)COc1ccc2ccc(=O)oc2c1 ZINC000816740988 702173623 /nfs/dbraw/zinc/17/36/23/702173623.db2.gz TWHHYUCXRJVHQV-UHFFFAOYSA-N -1 1 315.285 1.548 20 0 DDADMM C[C@@H](C(=O)[N-]OC/C=C/Cl)c1ccc(S(C)(=O)=O)cc1 ZINC000812409810 702177821 /nfs/dbraw/zinc/17/78/21/702177821.db2.gz YUWKCRUIZKFYDZ-SIUBYYJGSA-N -1 1 317.794 1.994 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000812810630 702246141 /nfs/dbraw/zinc/24/61/41/702246141.db2.gz ZNIOWEVFOUPVHC-VIFPVBQESA-N -1 1 314.304 1.162 20 0 DDADMM COC/C=C/C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000813635074 702387950 /nfs/dbraw/zinc/38/79/50/702387950.db2.gz JXDKLQWPVHSPSP-NVJIEBLHSA-N -1 1 322.327 1.493 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccn(CC(F)F)n2)n1 ZINC000841221454 702393086 /nfs/dbraw/zinc/39/30/86/702393086.db2.gz CWUYBDYMJTUVNE-UHFFFAOYSA-N -1 1 313.264 1.300 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)Cc2cc(Cl)ccc2F)C(=O)O1 ZINC000841526499 702480917 /nfs/dbraw/zinc/48/09/17/702480917.db2.gz YYPPMEIKSQKTJI-RDDDGLTNSA-N -1 1 321.757 1.603 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)C1Cc2ccccc2C1 ZINC000841535872 702485886 /nfs/dbraw/zinc/48/58/86/702485886.db2.gz VYVHLUNKGBQFAF-ZDUSSCGKSA-N -1 1 309.387 1.025 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@H]4CSC[C@H]4C3)ccnc1-2 ZINC000879416524 706674516 /nfs/dbraw/zinc/67/45/16/706674516.db2.gz SYHWCONOUOELEP-WDEREUQCSA-N -1 1 317.418 1.559 20 0 DDADMM CC[C@]1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCNC1=O ZINC000869481655 702620966 /nfs/dbraw/zinc/62/09/66/702620966.db2.gz BAFPBTYCWOTZNY-INIZCTEOSA-N -1 1 314.345 1.433 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)c1ccc2cncn2c1 ZINC000818312227 702632056 /nfs/dbraw/zinc/63/20/56/702632056.db2.gz SCIFRNQRUJNZPF-HNNXBMFYSA-N -1 1 303.318 1.040 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000869531802 702637928 /nfs/dbraw/zinc/63/79/28/702637928.db2.gz HABDXELMWJVJSH-YWVKMMECSA-N -1 1 321.295 1.604 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)/C=C\C1CCCC1 ZINC000869544712 702641348 /nfs/dbraw/zinc/64/13/48/702641348.db2.gz LLWFZDGBSFICRY-WAYWQWQTSA-N -1 1 321.295 1.914 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)CCC1 ZINC000843014731 702803271 /nfs/dbraw/zinc/80/32/71/702803271.db2.gz BUEXEXMCMPAARJ-ITGUQSILSA-N -1 1 314.451 1.353 20 0 DDADMM C[C@@H]1CCCC[C@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014608 702803389 /nfs/dbraw/zinc/80/33/89/702803389.db2.gz PCZSZNYHOKAGQV-CHWSQXEVSA-N -1 1 316.467 1.743 20 0 DDADMM O=C([C@@H]1CCCC[C@@H]1C1CC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000843792346 702924888 /nfs/dbraw/zinc/92/48/88/702924888.db2.gz QZEBZGINTWPVHJ-UPJWGTAASA-N -1 1 305.382 1.316 20 0 DDADMM CCN(OC)C(=O)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000844069587 702966941 /nfs/dbraw/zinc/96/69/41/702966941.db2.gz KIPBBOVCEFUNIS-UHFFFAOYSA-N -1 1 316.741 1.194 20 0 DDADMM C[C@@H]1C[C@@H]1C[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000866494749 706713204 /nfs/dbraw/zinc/71/32/04/706713204.db2.gz RVPLFLVIKCDULE-YRUZYCQGSA-N -1 1 302.421 1.634 20 0 DDADMM CCO[N-]C(=O)CN[C@H](C(=O)N1CCCCC1)c1ccccc1 ZINC000844632303 703049807 /nfs/dbraw/zinc/04/98/07/703049807.db2.gz MZCMKTFBCMESEU-INIZCTEOSA-N -1 1 319.405 1.398 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@H]1Cc2ccccc21 ZINC000866561624 706730654 /nfs/dbraw/zinc/73/06/54/706730654.db2.gz IHEDNSJDZPDSDQ-GFCCVEGCSA-N -1 1 314.432 1.423 20 0 DDADMM O=C(c1cccc(Cl)c1[O-])N1CCN(Cc2nnc[nH]2)CC1 ZINC000846528962 703292058 /nfs/dbraw/zinc/29/20/58/703292058.db2.gz XDZVQTZXWAQZPH-UHFFFAOYSA-N -1 1 321.768 1.122 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCc2c1cccc2F ZINC000866590842 706738667 /nfs/dbraw/zinc/73/86/67/706738667.db2.gz WLPPGEQRMMAANE-HXPMCKFVSA-N -1 1 320.411 1.765 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](O)c2ccc(C)cc2)sn1 ZINC000866832437 706818910 /nfs/dbraw/zinc/81/89/10/706818910.db2.gz BSBLTEFYKSRUOV-LBPRGKRZSA-N -1 1 312.416 1.772 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)CC(F)F ZINC000851362692 703795369 /nfs/dbraw/zinc/79/53/69/703795369.db2.gz LDEXPPMUNOINIQ-UHFFFAOYSA-N -1 1 300.371 1.210 20 0 DDADMM CC[C@H](NC(C)=O)C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000869679632 703829198 /nfs/dbraw/zinc/82/91/98/703829198.db2.gz VNBRGEPQCZWNIU-AWEZNQCLSA-N -1 1 307.346 1.595 20 0 DDADMM O=C([O-])C[C@@H](CNC(=O)c1n[nH]nc1-c1ccccc1)C1CC1 ZINC000851705797 703833174 /nfs/dbraw/zinc/83/31/74/703833174.db2.gz RUNRJLNQQDBGSY-LBPRGKRZSA-N -1 1 314.345 1.702 20 0 DDADMM CC[C@@H](C)C[C@H](CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000831748937 706770398 /nfs/dbraw/zinc/77/03/98/706770398.db2.gz SGILMRILGVIJFB-GHMZBOCLSA-N -1 1 305.382 1.260 20 0 DDADMM CCc1nnc([C@@H](C)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)[nH]1 ZINC000879767741 706787220 /nfs/dbraw/zinc/78/72/20/706787220.db2.gz OGPQEUOKURFNTL-PRHODGIISA-N -1 1 319.331 1.571 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C(=O)OC)C2CCCC2)[n-]1 ZINC000870707362 704109502 /nfs/dbraw/zinc/10/95/02/704109502.db2.gz VRAHTSQEIMWMGS-GFCCVEGCSA-N -1 1 309.318 1.690 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC2([C@@H]3CCOC3)CC2)c1 ZINC000820084513 704218715 /nfs/dbraw/zinc/21/87/15/704218715.db2.gz KUCNTAOWFNZCLJ-IPQOISQHSA-N -1 1 324.398 1.915 20 0 DDADMM O=C([N-]OCCCC(F)(F)F)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000871212760 704244069 /nfs/dbraw/zinc/24/40/69/704244069.db2.gz BPLXEKKKMIKPOA-QMMMGPOBSA-N -1 1 320.271 1.153 20 0 DDADMM COC(=O)C[C@@](C)(NC(=O)C(=O)c1ccc([O-])cc1)C1CC1 ZINC000871237318 704250059 /nfs/dbraw/zinc/25/00/59/704250059.db2.gz VRCFOELZFTXLBI-MRXNPFEDSA-N -1 1 305.330 1.423 20 0 DDADMM CON(C(=O)c1ncc(C)cc1[O-])[C@H](C)C(=O)OC(C)(C)C ZINC000871244740 704252429 /nfs/dbraw/zinc/25/24/29/704252429.db2.gz GGMZLRCOOKOCFM-SNVBAGLBSA-N -1 1 310.350 1.829 20 0 DDADMM CCCN(CC1CC1)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821094464 704371363 /nfs/dbraw/zinc/37/13/63/704371363.db2.gz JPLSBRBKNLKHRZ-UHFFFAOYSA-N -1 1 304.358 1.254 20 0 DDADMM CCCN(CC1CC1)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821094464 704371365 /nfs/dbraw/zinc/37/13/65/704371365.db2.gz JPLSBRBKNLKHRZ-UHFFFAOYSA-N -1 1 304.358 1.254 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCCn2ccnc2)c1 ZINC000821351946 704395086 /nfs/dbraw/zinc/39/50/86/704395086.db2.gz FFZKCINQVXYOII-JOCHJYFZSA-N -1 1 322.386 1.633 20 0 DDADMM CSc1ccc([O-])c(C(=O)NOCCN2CCCC2=O)c1 ZINC000856043292 704511484 /nfs/dbraw/zinc/51/14/84/704511484.db2.gz CTHKMGGBTYWDSS-UHFFFAOYSA-N -1 1 310.375 1.398 20 0 DDADMM O=C([N-][C@@H](CO)c1ccc(F)cc1F)C(F)(F)C1(O)CCC1 ZINC000856274438 704521040 /nfs/dbraw/zinc/52/10/40/704521040.db2.gz HQMRJDZUQTYXDB-NSHDSACASA-N -1 1 321.270 1.665 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856416415 704527936 /nfs/dbraw/zinc/52/79/36/704527936.db2.gz YPBUXTLWBTXADE-DBIOUOCHSA-N -1 1 306.328 1.948 20 0 DDADMM CCCCOCC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856419031 704528337 /nfs/dbraw/zinc/52/83/37/704528337.db2.gz SWKKQPZWLFOLFI-MNOVXSKESA-N -1 1 324.343 1.719 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cnc4n3CCCCC4)ccnc1-2 ZINC000857614695 704607695 /nfs/dbraw/zinc/60/76/95/704607695.db2.gz IZQFBBLKOVGQEY-UHFFFAOYSA-N -1 1 310.361 1.517 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C=C3\CC[C@@H](C)C3)nc2n1 ZINC000857684900 704613729 /nfs/dbraw/zinc/61/37/29/704613729.db2.gz SECOJXBANLLVTE-YIXGCBLDSA-N -1 1 301.350 1.665 20 0 DDADMM Cc1nc(C)c(C[N-]S(=O)(=O)c2ccc(F)nc2F)s1 ZINC000866873775 706831320 /nfs/dbraw/zinc/83/13/20/706831320.db2.gz BFZRTHCACNEZEI-UHFFFAOYSA-N -1 1 319.358 1.912 20 0 DDADMM C[C@@H]1CC[C@@H]([N-]S(=O)(=O)c2nc[nH]c2Br)C1 ZINC000867152276 706910533 /nfs/dbraw/zinc/91/05/33/706910533.db2.gz ZBTXBZHUNXBHLW-RNFRBKRXSA-N -1 1 308.201 1.639 20 0 DDADMM C[C@H]1CN=C(N2CCN(c3cc(Cl)[n-]c(=O)n3)CC2)S1 ZINC000858424247 704709467 /nfs/dbraw/zinc/70/94/67/704709467.db2.gz MKDGXQTVOJRAAK-QMMMGPOBSA-N -1 1 313.814 1.449 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC[C@]12CCOC2=O ZINC000832532261 706933029 /nfs/dbraw/zinc/93/30/29/706933029.db2.gz QNKYEXNYQCCUKX-QGZVFWFLSA-N -1 1 312.325 1.862 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC(C)(C)COC ZINC000867297245 706951350 /nfs/dbraw/zinc/95/13/50/706951350.db2.gz GXNUONLHYIDEHW-UHFFFAOYSA-N -1 1 300.446 1.001 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCn3ccnc32)c1 ZINC000867317411 706958433 /nfs/dbraw/zinc/95/84/33/706958433.db2.gz OAOCFWHVEHRTJQ-SNVBAGLBSA-N -1 1 309.347 1.021 20 0 DDADMM COc1ccccc1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000821883378 704834280 /nfs/dbraw/zinc/83/42/80/704834280.db2.gz UUFHMHZXPXOIHB-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM COc1ccccc1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000821883378 704834285 /nfs/dbraw/zinc/83/42/85/704834285.db2.gz UUFHMHZXPXOIHB-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM CN1CCOC[C@@H]1C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000859337299 704870108 /nfs/dbraw/zinc/87/01/08/704870108.db2.gz YMSVWOKZBBMREB-LBPRGKRZSA-N -1 1 310.375 1.042 20 0 DDADMM CC(C)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)Nc1nnn[n-]1 ZINC000859446982 704905581 /nfs/dbraw/zinc/90/55/81/704905581.db2.gz NHCZZVLTWGSMRJ-SECBINFHSA-N -1 1 312.374 1.468 20 0 DDADMM CC(C)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)Nc1nn[n-]n1 ZINC000859446982 704905583 /nfs/dbraw/zinc/90/55/83/704905583.db2.gz NHCZZVLTWGSMRJ-SECBINFHSA-N -1 1 312.374 1.468 20 0 DDADMM Cc1nc[nH]c1C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000822656880 704998522 /nfs/dbraw/zinc/99/85/22/704998522.db2.gz CMNYHFRMRZWQOD-UHFFFAOYSA-N -1 1 318.299 1.249 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H](C)c1ccccn1 ZINC000867496811 707018983 /nfs/dbraw/zinc/01/89/83/707018983.db2.gz MBOWWMHWSZCRIJ-YPMLDQLKSA-N -1 1 305.425 1.137 20 0 DDADMM CCOc1cccc(/C=C\C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000860026134 705075520 /nfs/dbraw/zinc/07/55/20/705075520.db2.gz PONJJUUBLLZVKV-FPLPWBNLSA-N -1 1 303.318 1.264 20 0 DDADMM O=C([N-]OC/C=C\Cl)[C@H]1CNC(=O)C[C@@H]1C(F)(F)F ZINC000823046799 705123764 /nfs/dbraw/zinc/12/37/64/705123764.db2.gz ORESNVDIVQMYDO-XRXBIWBOSA-N -1 1 300.664 1.101 20 0 DDADMM COC[C@]1(C)CN(Cc2cc(=O)oc3cc([O-])ccc23)CCO1 ZINC000860199977 705128986 /nfs/dbraw/zinc/12/89/86/705128986.db2.gz REKMTFWWGCCFIQ-KRWDZBQOSA-N -1 1 319.357 1.736 20 0 DDADMM CC[C@@H]1COCCN1CCNC(=O)c1c([O-])cccc1Cl ZINC000874707255 705140712 /nfs/dbraw/zinc/14/07/12/705140712.db2.gz IJIRRPNSPPLHIK-LLVKDONJSA-N -1 1 312.797 1.886 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2C[C@H](SC)C2)o1 ZINC000867674940 707070564 /nfs/dbraw/zinc/07/05/64/707070564.db2.gz KFMPJHRFMGSYDY-KYZUINATSA-N -1 1 319.404 1.629 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCC2CCCCC2)C1)C(F)(F)F ZINC000874980574 705245816 /nfs/dbraw/zinc/24/58/16/705245816.db2.gz MUPXCQICZDKBFA-UHFFFAOYSA-N -1 1 321.343 1.887 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)[C@@H]1C ZINC000867697833 707077860 /nfs/dbraw/zinc/07/78/60/707077860.db2.gz PBLYNUUWOSMVRZ-MWLCHTKSSA-N -1 1 311.765 1.998 20 0 DDADMM CC(C)C1(CNC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)CC1 ZINC000875358004 705362436 /nfs/dbraw/zinc/36/24/36/705362436.db2.gz ULIXUAZAWKALFY-UHFFFAOYSA-N -1 1 321.343 1.743 20 0 DDADMM C[C@@H](C(=O)NCc1ccc([O-])c(Cl)c1)N1C(=O)CCC1=O ZINC000834834143 707133991 /nfs/dbraw/zinc/13/39/91/707133991.db2.gz YPAOYWPDHJWLBW-QMMMGPOBSA-N -1 1 310.737 1.199 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@H]2Cc3ccccc3O2)[n-]1 ZINC000875678384 705474275 /nfs/dbraw/zinc/47/42/75/705474275.db2.gz CWGGZFLUANCLQZ-LLVKDONJSA-N -1 1 315.329 1.919 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@H]2Cc3ccccc3O2)n1 ZINC000875678384 705474281 /nfs/dbraw/zinc/47/42/81/705474281.db2.gz CWGGZFLUANCLQZ-LLVKDONJSA-N -1 1 315.329 1.919 20 0 DDADMM O=C(Nc1cccc(C2CCOCC2)c1)NN1CC(=O)[N-]C1=O ZINC000861520340 705490687 /nfs/dbraw/zinc/49/06/87/705490687.db2.gz ANBVNOXAYWBSNP-UHFFFAOYSA-N -1 1 318.333 1.169 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-][C@H]2CCNC2=O)cnc1Cl ZINC000824642146 705498329 /nfs/dbraw/zinc/49/83/29/705498329.db2.gz RGVSXYUEZNAHIW-QMMMGPOBSA-N -1 1 303.696 1.140 20 0 DDADMM Cc1nc[nH]c1C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000824753280 705523918 /nfs/dbraw/zinc/52/39/18/705523918.db2.gz JZKUPYOENKRFAK-APPZFPTMSA-N -1 1 318.299 1.247 20 0 DDADMM O=C(CSc1n[n-]c(=S)s1)N1CCc2nc[nH]c2C1 ZINC000861760920 705560897 /nfs/dbraw/zinc/56/08/97/705560897.db2.gz RQHRKFLNRLNWEH-UHFFFAOYSA-N -1 1 313.433 1.227 20 0 DDADMM O=C(NC1CC(N2CCOCC2)C1)c1cc(Cl)ccc1[O-] ZINC000862105360 705653566 /nfs/dbraw/zinc/65/35/66/705653566.db2.gz NMCZSTAGUBEKLN-UHFFFAOYSA-N -1 1 310.781 1.639 20 0 DDADMM Cc1onc(CC(=O)N(C)C2CCCCCC2)c1-c1nnn[n-]1 ZINC000826343219 705792877 /nfs/dbraw/zinc/79/28/77/705792877.db2.gz RFQOIEINQDUSAL-UHFFFAOYSA-N -1 1 318.381 1.887 20 0 DDADMM Cc1onc(CC(=O)N(C)C2CCCCCC2)c1-c1nn[n-]n1 ZINC000826343219 705792879 /nfs/dbraw/zinc/79/28/79/705792879.db2.gz RFQOIEINQDUSAL-UHFFFAOYSA-N -1 1 318.381 1.887 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H](C)[C@H]2C)c1-c1nnn[n-]1 ZINC000826344762 705793599 /nfs/dbraw/zinc/79/35/99/705793599.db2.gz RIQCWRAQQJVRCE-RKDXNWHRSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H](C)[C@H]2C)c1-c1nn[n-]n1 ZINC000826344762 705793604 /nfs/dbraw/zinc/79/36/04/705793604.db2.gz RIQCWRAQQJVRCE-RKDXNWHRSA-N -1 1 304.354 1.353 20 0 DDADMM Fc1ccccc1NCCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826378653 705796448 /nfs/dbraw/zinc/79/64/48/705796448.db2.gz USQIBUCFAJRSQM-UHFFFAOYSA-N -1 1 314.328 1.710 20 0 DDADMM Fc1ccccc1NCCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826378653 705796450 /nfs/dbraw/zinc/79/64/50/705796450.db2.gz USQIBUCFAJRSQM-UHFFFAOYSA-N -1 1 314.328 1.710 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2N[C@H]1CCCOCC1 ZINC000826523484 705808377 /nfs/dbraw/zinc/80/83/77/705808377.db2.gz OINOHJNROIGDNY-JTQLQIEISA-N -1 1 311.349 1.791 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2N[C@H]1CCCOCC1 ZINC000826523484 705808383 /nfs/dbraw/zinc/80/83/83/705808383.db2.gz OINOHJNROIGDNY-JTQLQIEISA-N -1 1 311.349 1.791 20 0 DDADMM O=C(NCC[C@H](O)C(F)(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000826609945 705825465 /nfs/dbraw/zinc/82/54/65/705825465.db2.gz LQAOEFVFMLJNES-ZETCQYMHSA-N -1 1 320.296 1.061 20 0 DDADMM COCCN(CCC(=O)[O-])C(=O)[C@@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000908958666 712903374 /nfs/dbraw/zinc/90/33/74/712903374.db2.gz HOQOGMIQWWQBRF-CMPLNLGQSA-N -1 1 309.366 1.025 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000826783258 705871204 /nfs/dbraw/zinc/87/12/04/705871204.db2.gz VAVSPPMXNGIUSZ-JTQLQIEISA-N -1 1 320.374 1.090 20 0 DDADMM C[C@@H]1C[C@@H]1C[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000863532955 705950235 /nfs/dbraw/zinc/95/02/35/705950235.db2.gz OADUHFYBVOSPRE-DOLQZWNJSA-N -1 1 308.300 1.239 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)c2ccns2)o1 ZINC000863603515 705966541 /nfs/dbraw/zinc/96/65/41/705966541.db2.gz GFTVDLMHXAWCDQ-SSDOTTSWSA-N -1 1 315.376 1.135 20 0 DDADMM COCc1ccccc1S(=O)(=O)[N-]c1nnc(C(F)F)[nH]1 ZINC000863947961 706043783 /nfs/dbraw/zinc/04/37/83/706043783.db2.gz WNKBOKLVHJLXEN-UHFFFAOYSA-N -1 1 318.305 1.690 20 0 DDADMM C[C@@](CC(=O)[O-])(NC(=O)Cc1[nH]nc2ccccc21)C1CC1 ZINC000864198675 706095798 /nfs/dbraw/zinc/09/57/98/706095798.db2.gz JJENJSFTOUHOTB-INIZCTEOSA-N -1 1 301.346 1.865 20 0 DDADMM COC[C@@H](C)[N-]S(=O)(=O)N=[S@@](C)(=O)C1CCCCC1 ZINC000881735744 707343511 /nfs/dbraw/zinc/34/35/11/707343511.db2.gz QHDAQCAAPCYQJB-MGNBDDOMSA-N -1 1 312.457 1.286 20 0 DDADMM O=C(C[C@H]1CC[C@@H](C2CC2)O1)N1CCC(c2nn[n-]n2)CC1 ZINC000828416352 706175189 /nfs/dbraw/zinc/17/51/89/706175189.db2.gz HKFCQRXQJIRRKS-OLZOCXBDSA-N -1 1 305.382 1.253 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-]C1CCOCC1)C1CCCCC1 ZINC000881799451 707370046 /nfs/dbraw/zinc/37/00/46/707370046.db2.gz BFKRHIBSVGZTCN-LJQANCHMSA-N -1 1 324.468 1.430 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccncc1 ZINC000872430516 707406594 /nfs/dbraw/zinc/40/65/94/707406594.db2.gz UGTZKKWSVKCUIK-GOSISDBHSA-N -1 1 305.425 1.312 20 0 DDADMM Cc1ccc2c(c1)OCCN2Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878130108 706302587 /nfs/dbraw/zinc/30/25/87/706302587.db2.gz QKIBUJGFLIYZKO-UHFFFAOYSA-N -1 1 313.357 1.962 20 0 DDADMM CCO[C@@H]1COCC[C@H]1CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000864974866 706316645 /nfs/dbraw/zinc/31/66/45/706316645.db2.gz MAVPFOYQMIVEEO-JGVFFNPUSA-N -1 1 322.287 1.594 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2)C(C)(C)C ZINC000881981676 707453295 /nfs/dbraw/zinc/45/32/95/707453295.db2.gz JCSHXTIWSLCNHL-IRCOFANPSA-N -1 1 319.423 1.204 20 0 DDADMM COC(=O)[C@H](CC(C)C)N(C)Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878646623 706455050 /nfs/dbraw/zinc/45/50/50/706455050.db2.gz CVPJVAVJBFIJKV-ZDUSSCGKSA-N -1 1 323.393 1.274 20 0 DDADMM CCc1cc2c(CC(=O)NCc3nn[n-]n3)csc2s1 ZINC000865709296 706502021 /nfs/dbraw/zinc/50/20/21/706502021.db2.gz DXLNKSJXHZWXKX-UHFFFAOYSA-N -1 1 307.404 1.897 20 0 DDADMM O=C(C[C@H](n1cccn1)C(F)(F)F)[N-]OCC(F)(F)F ZINC000830442931 706518650 /nfs/dbraw/zinc/51/86/50/706518650.db2.gz ANSYXEDAMDETEQ-LURJTMIESA-N -1 1 305.178 1.987 20 0 DDADMM CO[C@@]1(C)C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1(C)C ZINC000830759637 706575133 /nfs/dbraw/zinc/57/51/33/706575133.db2.gz FIBPHQWWQUUBDB-KWQFWETISA-N -1 1 307.803 1.545 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](O)c2ccc(F)cc2)sn1 ZINC000866832519 706819128 /nfs/dbraw/zinc/81/91/28/706819128.db2.gz HIBHFSYOARCCJX-NSHDSACASA-N -1 1 316.379 1.603 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2=CCOCC2)C1 ZINC000830808373 706583653 /nfs/dbraw/zinc/58/36/53/706583653.db2.gz ZVLLERREBLTWQS-LBPRGKRZSA-N -1 1 306.284 1.003 20 0 DDADMM COc1cc(C(=O)NCC[C@@H]2CNC(=O)C2)cc(Cl)c1[O-] ZINC000831990519 706820009 /nfs/dbraw/zinc/82/00/09/706820009.db2.gz KGUNGCZBUSTJQS-QMMMGPOBSA-N -1 1 312.753 1.310 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-][C@H]1CCSC1)c1ccccc1 ZINC000866885335 706834895 /nfs/dbraw/zinc/83/48/95/706834895.db2.gz FQNGPSHBESXUAE-XTZNXHDOSA-N -1 1 320.461 1.483 20 0 DDADMM O=S(=O)([N-]CCOC1CCC1)c1c[nH]nc1C(F)(F)F ZINC000866890015 706836485 /nfs/dbraw/zinc/83/64/85/706836485.db2.gz ZBPBDAMKKXRKND-UHFFFAOYSA-N -1 1 313.301 1.276 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000832067089 706836876 /nfs/dbraw/zinc/83/68/76/706836876.db2.gz OUOPPHYZJXRDEI-QWRGUYRKSA-N -1 1 313.350 1.038 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@](C)(OC)C1(C)C ZINC000866904545 706840745 /nfs/dbraw/zinc/84/07/45/706840745.db2.gz QIUDPPWLUIADIE-TUFKUPSJSA-N -1 1 312.457 1.142 20 0 DDADMM CCS[C@@H]1CCC[C@H]1[N-]S(=O)(=O)N=[S@](C)(=O)CC ZINC000866915964 706843754 /nfs/dbraw/zinc/84/37/54/706843754.db2.gz NHSZPNUTTMISJX-VHCOLVSPSA-N -1 1 314.498 1.613 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2cnn(C)c2C(F)(F)F)CC1 ZINC000866927813 706847319 /nfs/dbraw/zinc/84/73/19/706847319.db2.gz INYMIDYTOUOONJ-UHFFFAOYSA-N -1 1 311.329 1.907 20 0 DDADMM CCOC(=O)C[C@@H](C)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867024475 706874987 /nfs/dbraw/zinc/87/49/87/706874987.db2.gz BNVWBEASIQQVPF-MRVPVSSYSA-N -1 1 322.333 1.227 20 0 DDADMM CC(C)OCC[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867099512 706895859 /nfs/dbraw/zinc/89/58/59/706895859.db2.gz AEVIPCKEFUNCAR-UHFFFAOYSA-N -1 1 315.317 1.142 20 0 DDADMM CC[C@H](CC(F)(F)F)[N-]S(=O)(=O)N=[S@](C)(=O)CC ZINC000867106723 706897887 /nfs/dbraw/zinc/89/78/87/706897887.db2.gz DOCYTUVSWAICST-IWEMQMMOSA-N -1 1 310.363 1.670 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCOC[C@@H]1C ZINC000867264210 706941867 /nfs/dbraw/zinc/94/18/67/706941867.db2.gz ZKPBYZSMJXFCRZ-QWRGUYRKSA-N -1 1 312.457 1.001 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000832651706 706956751 /nfs/dbraw/zinc/95/67/51/706956751.db2.gz ZHRANSJJQJYYPL-ZDUSSCGKSA-N -1 1 305.330 1.423 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ncccc2[O-])C[C@H]1N1CCOCC1 ZINC000880496880 706995559 /nfs/dbraw/zinc/99/55/59/706995559.db2.gz AJLLNEAFJFJCKT-CHWSQXEVSA-N -1 1 305.378 1.016 20 0 DDADMM CCOC(CC(=O)NCc1cc(=O)[n-]c(SC)n1)OCC ZINC000880651779 707048712 /nfs/dbraw/zinc/04/87/12/707048712.db2.gz YGTKJMSOULDDMR-UHFFFAOYSA-N -1 1 315.395 1.310 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCc2cccnc21 ZINC000867719057 707084896 /nfs/dbraw/zinc/08/48/96/707084896.db2.gz OCYLNKMVMYLTTM-NSPYISDASA-N -1 1 317.436 1.064 20 0 DDADMM CCCn1ncnc1CO[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871798507 707208470 /nfs/dbraw/zinc/20/84/70/707208470.db2.gz JNDGEMZURRNRCP-UHFFFAOYSA-N -1 1 318.377 1.877 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)Nc1ccc(F)c(C(=O)[O-])c1 ZINC000909106592 712937680 /nfs/dbraw/zinc/93/76/80/712937680.db2.gz BISLRYFBXSYVOE-UHFFFAOYSA-N -1 1 324.352 1.821 20 0 DDADMM CO[C@H]1CN(Cc2ccc(-n3ccnc3)nc2)[C@](C)(C(=O)[O-])C1 ZINC000872160263 707310096 /nfs/dbraw/zinc/31/00/96/707310096.db2.gz CAHVGXLELGHLJH-CJNGLKHVSA-N -1 1 316.361 1.331 20 0 DDADMM O=C([O-])CCCCCCNC(=O)Cc1n[nH]c2c1CCCC2 ZINC000909146187 712946412 /nfs/dbraw/zinc/94/64/12/712946412.db2.gz OAKMCPPGZPUOQS-UHFFFAOYSA-N -1 1 307.394 1.982 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1ccc(Cl)nc1 ZINC000872338514 707385305 /nfs/dbraw/zinc/38/53/05/707385305.db2.gz RILRXTIMILFACT-UHFFFAOYSA-N -1 1 311.816 1.900 20 0 DDADMM CCC[C@](C)(NC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000909167046 712952031 /nfs/dbraw/zinc/95/20/31/712952031.db2.gz DWINFUARCALBFV-HNNXBMFYSA-N -1 1 304.350 1.777 20 0 DDADMM CCOC1CC2(C[C@@H]2[N-]S(=O)(=O)c2c[nH]nc2Cl)C1 ZINC000872656338 707536956 /nfs/dbraw/zinc/53/69/56/707536956.db2.gz LRDHPISQHKTXGB-DMGUCSGOSA-N -1 1 305.787 1.299 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C(C)C ZINC000836887928 707538724 /nfs/dbraw/zinc/53/87/24/707538724.db2.gz HWOWGQPSBSQUDV-JSGCOSHPSA-N -1 1 307.394 1.066 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)[N-]O[C@H](CO)C(C)C)CC2=O)cc1 ZINC000836906075 707548482 /nfs/dbraw/zinc/54/84/82/707548482.db2.gz NOFNHJGFBNQRPQ-UKRRQHHQSA-N -1 1 320.389 1.413 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)c2nccn21 ZINC000836957818 707554362 /nfs/dbraw/zinc/55/43/62/707554362.db2.gz GSOSBWUQKVLJPA-SCZZXKLOSA-N -1 1 314.374 1.650 20 0 DDADMM CC[C@@H]1CC(=O)N(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000872754283 707585694 /nfs/dbraw/zinc/58/56/94/707585694.db2.gz ZQSIOZSKANZLQS-ZWNOBZJWSA-N -1 1 321.343 1.345 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-]CC1CSC1)c1ccccc1 ZINC000882405974 707625396 /nfs/dbraw/zinc/62/53/96/707625396.db2.gz KAYOFEXGBMEGHD-GOSISDBHSA-N -1 1 320.461 1.341 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccnc(Cl)c1 ZINC000882408301 707626570 /nfs/dbraw/zinc/62/65/70/707626570.db2.gz ACNVKZCMQRNKKZ-KRWDZBQOSA-N -1 1 311.816 1.187 20 0 DDADMM O=C([O-])[C@@H]1CC=CC[C@@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000872931097 707659984 /nfs/dbraw/zinc/65/99/84/707659984.db2.gz VZAXOEOSMYXBPP-WDEREUQCSA-N -1 1 317.320 1.985 20 0 DDADMM C[C@H](NC(=O)NCc1ccc([O-])c(Cl)c1)c1ncnn1C ZINC000872983965 707682152 /nfs/dbraw/zinc/68/21/52/707682152.db2.gz OQUZKHIEJNCKDR-QMMMGPOBSA-N -1 1 309.757 1.735 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)NOC[C@@H]1CCOC1 ZINC000872984954 707682946 /nfs/dbraw/zinc/68/29/46/707682946.db2.gz WEWAEBRNXRNWED-SNVBAGLBSA-N -1 1 300.742 1.813 20 0 DDADMM Cc1cccc(C[C@@H](CNC(=O)CN2CCCC2)C(=O)[O-])c1 ZINC000909247135 712972854 /nfs/dbraw/zinc/97/28/54/712972854.db2.gz QVDLEVYPOFLWNY-HNNXBMFYSA-N -1 1 304.390 1.450 20 0 DDADMM CCN(CC(=O)NC[C@@H](C(=O)[O-])c1ccc(C)cc1)C1CC1 ZINC000909252479 712974723 /nfs/dbraw/zinc/97/47/23/712974723.db2.gz LUESZDHLIHZUFH-OAHLLOKOSA-N -1 1 304.390 1.764 20 0 DDADMM CCC[C@H](OC)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000837801599 707748631 /nfs/dbraw/zinc/74/86/31/707748631.db2.gz WMRPBYABFLNJAN-ZDUSSCGKSA-N -1 1 323.345 1.310 20 0 DDADMM CC1=C(C)C(=O)N(CCC(=O)Nc2ccc([O-])c(F)c2F)C1=O ZINC000909371544 713002470 /nfs/dbraw/zinc/00/24/70/713002470.db2.gz IROXPWCOOGYPML-UHFFFAOYSA-N -1 1 324.283 1.704 20 0 DDADMM COCc1nc(N[C@H]2c3ccccc3CC[C@H]2O)cc(=O)[n-]1 ZINC000896667550 708112780 /nfs/dbraw/zinc/11/27/80/708112780.db2.gz MRUPBKLOEWFEOO-WBMJQRKESA-N -1 1 301.346 1.789 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H]1CC12CC(O)C2 ZINC000896753783 708141705 /nfs/dbraw/zinc/14/17/05/708141705.db2.gz ATELLTWDDBVHMU-KWCGZIOASA-N -1 1 312.316 1.426 20 0 DDADMM COc1cnc([C@H]2CCCN2c2ccc3nccnc3n2)[n-]c1=O ZINC000897224530 708260868 /nfs/dbraw/zinc/26/08/68/708260868.db2.gz RKQTYBZWIJOBDM-LLVKDONJSA-N -1 1 324.344 1.871 20 0 DDADMM CN(CC(=O)NCCOc1ccc(C(=O)[O-])cc1)C1CCC1 ZINC000909436148 713017410 /nfs/dbraw/zinc/01/74/10/713017410.db2.gz ZNRWJOZTDOJRLE-UHFFFAOYSA-N -1 1 306.362 1.364 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])C[C@@H]1OC ZINC000897555962 708372700 /nfs/dbraw/zinc/37/27/00/708372700.db2.gz BWMSHHPHUDLSSE-GASCZTMLSA-N -1 1 317.341 1.737 20 0 DDADMM O=S(=O)([N-]CCCOC[C@@H]1CCCO1)c1ccns1 ZINC000884898174 708411507 /nfs/dbraw/zinc/41/15/07/708411507.db2.gz VQMRREYSBSUWLE-JTQLQIEISA-N -1 1 306.409 1.007 20 0 DDADMM CC1(C)COC(=O)[C@H]1NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897744983 708437999 /nfs/dbraw/zinc/43/79/99/708437999.db2.gz VKOKKBZVCVPPDG-CQSZACIVSA-N -1 1 315.325 1.932 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccns1)[C@H](O)c1ccc(F)cc1 ZINC000885028067 708447481 /nfs/dbraw/zinc/44/74/81/708447481.db2.gz SXMCGTHGIZJREO-PELKAZGASA-N -1 1 316.379 1.683 20 0 DDADMM CCO[C@H]1C[C@H]([N-]S(=O)(=O)c2ccns2)C12CCC2 ZINC000885031783 708448705 /nfs/dbraw/zinc/44/87/05/708448705.db2.gz OJGPRJTWIVMCBT-UWVGGRQHSA-N -1 1 302.421 1.769 20 0 DDADMM CN(C(=O)C[N-]S(=O)(=O)c1ccns1)C1CCCCC1 ZINC000885042751 708453265 /nfs/dbraw/zinc/45/32/65/708453265.db2.gz YXRIBGKEMBNMCT-UHFFFAOYSA-N -1 1 317.436 1.213 20 0 DDADMM COC(=O)[C@H]1CCCC[C@]1(C)[N-]S(=O)(=O)c1ccns1 ZINC000885076832 708464271 /nfs/dbraw/zinc/46/42/71/708464271.db2.gz FNBWMLVUSFQFHX-SKDRFNHKSA-N -1 1 318.420 1.543 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCOC2(CCC2)C1)c1ccns1 ZINC000885216372 708496288 /nfs/dbraw/zinc/49/62/88/708496288.db2.gz JVUBLVUZXXACGQ-SNVBAGLBSA-N -1 1 302.421 1.771 20 0 DDADMM COc1ccc([C@H](NC(=O)CCc2nn[n-]n2)C2CCC2)cc1 ZINC000885403446 708537215 /nfs/dbraw/zinc/53/72/15/708537215.db2.gz USZNJDVMWGNZBI-MRXNPFEDSA-N -1 1 315.377 1.799 20 0 DDADMM O=C([N-]CC1CN(C(=O)N[C@@H]2C[C@H]3CCC[C@@H]32)C1)C(F)(F)F ZINC000898257504 708588228 /nfs/dbraw/zinc/58/82/28/708588228.db2.gz WWAZQJMEVOIWPF-OUAUKWLOSA-N -1 1 319.327 1.495 20 0 DDADMM COC(=O)C[C@@H]([N-]S(=O)(=O)c1ccns1)C1CCCC1 ZINC000885740995 708613957 /nfs/dbraw/zinc/61/39/57/708613957.db2.gz FYMYOOPKECYYFF-SNVBAGLBSA-N -1 1 318.420 1.543 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cc(C)ns1)[C@@H]1CCCOC1 ZINC000885750507 708617360 /nfs/dbraw/zinc/61/73/60/708617360.db2.gz OURLNCQAJRTSGV-MNOVXSKESA-N -1 1 320.436 1.171 20 0 DDADMM O=S(=O)([N-][C@H](CCO)C(F)(F)F)c1ccc(Cl)nc1 ZINC000885828317 708634315 /nfs/dbraw/zinc/63/43/15/708634315.db2.gz GHEAFGDZOTVZNY-SSDOTTSWSA-N -1 1 318.704 1.327 20 0 DDADMM COc1cc(C(=O)NCCc2c(F)cc([O-])cc2F)nn1C ZINC000886266257 708732353 /nfs/dbraw/zinc/73/23/53/708732353.db2.gz FBVQGMAIMPWVRW-UHFFFAOYSA-N -1 1 311.288 1.385 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H]1CCc2nc[nH]c2C1 ZINC000886268591 708732977 /nfs/dbraw/zinc/73/29/77/708732977.db2.gz VQXFGGXGOWPFOD-VIFPVBQESA-N -1 1 321.327 1.857 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCC[C@H](CO)C1 ZINC000927778460 713053698 /nfs/dbraw/zinc/05/36/98/713053698.db2.gz JWTPCFSATIGUBV-JTQLQIEISA-N -1 1 314.332 1.627 20 0 DDADMM CC(C)(CO)CCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927787245 713056054 /nfs/dbraw/zinc/05/60/54/713056054.db2.gz OHFHKVQPLDFVBF-UHFFFAOYSA-N -1 1 316.348 1.921 20 0 DDADMM CCc1cc(C(=O)N(C)c2nn[n-]n2)ccc1Br ZINC000912618122 713052393 /nfs/dbraw/zinc/05/23/93/713052393.db2.gz OAQOKFYCUUSAOV-UHFFFAOYSA-N -1 1 310.155 1.801 20 0 DDADMM CC1(C(=O)NCc2nc([O-])cc(=O)[nH]2)CCC(F)(F)CC1 ZINC000898755441 708855984 /nfs/dbraw/zinc/85/59/84/708855984.db2.gz RNSGIMXONPOORB-UHFFFAOYSA-N -1 1 301.293 1.720 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1C[C@@H]2COC[C@@H]2C1 ZINC000927789078 713056969 /nfs/dbraw/zinc/05/69/69/713056969.db2.gz PKRYINSSGQICBQ-AOOOYVTPSA-N -1 1 312.316 1.501 20 0 DDADMM COc1cc(C(=O)N2C[C@H](O)C[C@@]2(C)CO)cc(Cl)c1[O-] ZINC000912671969 713066021 /nfs/dbraw/zinc/06/60/21/713066021.db2.gz VKRPNLVSAMAOJB-OTYXRUKQSA-N -1 1 315.753 1.012 20 0 DDADMM O=C(NCC[N@@H+]1CC[C@H](O)C1)c1ccc2ccc(O)cc2c1O ZINC000899154086 709002744 /nfs/dbraw/zinc/00/27/44/709002744.db2.gz KTWGANXIIWZVCT-ZDUSSCGKSA-N -1 1 316.357 1.047 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)[C@@H](N)Cc1cc2ccccc2o1 ZINC000887395873 709035904 /nfs/dbraw/zinc/03/59/04/709035904.db2.gz ZSOCZWBOTHMDQA-ZDUSSCGKSA-N -1 1 304.346 1.626 20 0 DDADMM Cc1noc(C[C@@H]2CCCN(C(=O)c3ncc(C)cc3[O-])C2)n1 ZINC000887635256 709087235 /nfs/dbraw/zinc/08/72/35/709087235.db2.gz MHXFFKVHEVZZCM-LBPRGKRZSA-N -1 1 316.361 1.882 20 0 DDADMM O=C(C(=O)N1CC[C@](CO)(C(F)(F)F)C1)c1ccc([O-])cc1 ZINC000899606971 709124609 /nfs/dbraw/zinc/12/46/09/709124609.db2.gz TVGSQHSHSMZMNK-ZDUSSCGKSA-N -1 1 317.263 1.348 20 0 DDADMM CO[C@]1(C(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)CCSC1 ZINC000888120506 709218463 /nfs/dbraw/zinc/21/84/63/709218463.db2.gz PDWWFNFOVAOIQV-CYBMUJFWSA-N -1 1 313.379 1.436 20 0 DDADMM COC(=O)c1ccc(CNCc2cnn(C)c2C(=O)[O-])cc1C ZINC000900047392 709275935 /nfs/dbraw/zinc/27/59/35/709275935.db2.gz MVARHKSNFAQXLZ-UHFFFAOYSA-N -1 1 317.345 1.503 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]2C[C@H](NC(=O)c3ccc([O-])cc3F)[C@@H]2C1 ZINC000888465702 709318677 /nfs/dbraw/zinc/31/86/77/709318677.db2.gz KOKQUYMMODBBHB-MGGABWITSA-N -1 1 307.321 1.849 20 0 DDADMM C[C@@]1(NC(=O)c2ccc3ccc(O)cc3c2[O-])CCNC1=O ZINC000889025508 709434891 /nfs/dbraw/zinc/43/48/91/709434891.db2.gz UUHGVORQQXYBRX-MRXNPFEDSA-N -1 1 300.314 1.259 20 0 DDADMM CC(C)CSCCCNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909555929 709513901 /nfs/dbraw/zinc/51/39/01/709513901.db2.gz YXKREOWNNNEEGZ-ZDUSSCGKSA-N -1 1 316.467 1.679 20 0 DDADMM C[C@]1(CNC(=O)c2c([O-])cnc3c(F)cccc32)CCC(=O)N1 ZINC000909557884 709515121 /nfs/dbraw/zinc/51/51/21/709515121.db2.gz VDGKNAJCKABQLG-MRXNPFEDSA-N -1 1 317.320 1.478 20 0 DDADMM COc1ccc(CC(=O)N[C@@H](CCSC)c2nn[n-]n2)cc1 ZINC000912859861 713109620 /nfs/dbraw/zinc/10/96/20/713109620.db2.gz GTGRZGBIKLQKSK-LBPRGKRZSA-N -1 1 321.406 1.361 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cncc(C)c1)c1nn[n-]n1 ZINC000912861403 713110447 /nfs/dbraw/zinc/11/04/47/713110447.db2.gz JOFRCUYWDHTUBT-MVZIDQBPSA-N -1 1 318.406 1.527 20 0 DDADMM CCN(CC(=O)NC[C@@](C)(C(=O)[O-])c1ccccc1)C1CC1 ZINC000909603166 709537428 /nfs/dbraw/zinc/53/74/28/709537428.db2.gz ZALFEERVYRALQG-QGZVFWFLSA-N -1 1 304.390 1.629 20 0 DDADMM CSCC[C@H](NC(=O)C1SCCCS1)c1nn[n-]n1 ZINC000912862789 713110839 /nfs/dbraw/zinc/11/08/39/713110839.db2.gz CONNLYNDBSEYSX-ZETCQYMHSA-N -1 1 319.481 1.306 20 0 DDADMM CN(CC(=O)N[C@H](C(=O)[O-])c1cccs1)[C@@H]1CCSC1 ZINC000909638456 709552087 /nfs/dbraw/zinc/55/20/87/709552087.db2.gz OCWKFQNSUCGYDU-SKDRFNHKSA-N -1 1 314.432 1.427 20 0 DDADMM CN(C(=O)CCc1cnc[nH]1)c1ccc(OCC(=O)[O-])cc1 ZINC000909784480 709619378 /nfs/dbraw/zinc/61/93/78/709619378.db2.gz CZOAAYCBJMOLIC-UHFFFAOYSA-N -1 1 303.318 1.469 20 0 DDADMM C[C@H](NC(=O)[C@@H]1CCCN1C)c1ccc(OCC(=O)[O-])cc1 ZINC000909790209 709621549 /nfs/dbraw/zinc/62/15/49/709621549.db2.gz PZJDGSSIDAJJEG-FZMZJTMJSA-N -1 1 306.362 1.421 20 0 DDADMM O=C(NCc1ccc2c(c1)CCO2)c1cnc(C2CC2)[n-]c1=O ZINC000900496091 709622957 /nfs/dbraw/zinc/62/29/57/709622957.db2.gz UMMNQMAMZFFDIZ-UHFFFAOYSA-N -1 1 311.341 1.925 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H](CC(C)C)OC)[n-]c1=O ZINC000889785256 709634925 /nfs/dbraw/zinc/63/49/25/709634925.db2.gz CUIKKYGKYLRXGS-NEPJUHHUSA-N -1 1 323.393 1.915 20 0 DDADMM CCC/C=C\[C@H](O)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786633 709635268 /nfs/dbraw/zinc/63/52/68/709635268.db2.gz NOSNXTCEHBOGKS-MCTUQULKSA-N -1 1 321.377 1.572 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H](C)[C@@H]2CCCO2)[n-]c1=O ZINC000889786322 709635332 /nfs/dbraw/zinc/63/53/32/709635332.db2.gz LVOSRHZGQXSHST-WOPDTQHZSA-N -1 1 321.377 1.669 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Cn2cc(C)cn2)[n-]c1=O ZINC000889793859 709638592 /nfs/dbraw/zinc/63/85/92/709638592.db2.gz DCGZGKCNZHQOOX-LLVKDONJSA-N -1 1 317.349 1.059 20 0 DDADMM CCOCCCC(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889794468 709639709 /nfs/dbraw/zinc/63/97/09/709639709.db2.gz KCXDPXSFNIENCC-LLVKDONJSA-N -1 1 309.366 1.671 20 0 DDADMM CC[C@@](CNC(=O)CN1CCCC1)(C(=O)[O-])c1ccccc1 ZINC000909845173 709649363 /nfs/dbraw/zinc/64/93/63/709649363.db2.gz JGELGMRORQFIFZ-KRWDZBQOSA-N -1 1 304.390 1.631 20 0 DDADMM COC(=O)C(=O)[C@H](C)OC(=O)c1cc(Cl)cc(Cl)c1[O-] ZINC000909858866 709656024 /nfs/dbraw/zinc/65/60/24/709656024.db2.gz HPVRRGPHGFGGAV-YFKPBYRVSA-N -1 1 321.112 1.986 20 0 DDADMM CC[C@H](C)C[C@@H](NC(=O)CN(C)[C@H]1CCSC1)C(=O)[O-] ZINC000909867386 709660682 /nfs/dbraw/zinc/66/06/82/709660682.db2.gz MSQFQSXNLKISLQ-SDDRHHMPSA-N -1 1 302.440 1.429 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)c2cccc3nn[nH]c32)CC12CCC2 ZINC000909940625 709697341 /nfs/dbraw/zinc/69/73/41/709697341.db2.gz LGDZDBFKMROCMM-OAHLLOKOSA-N -1 1 318.308 1.377 20 0 DDADMM CCC/C=C\[C@@H](O)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000900709027 709722282 /nfs/dbraw/zinc/72/22/82/709722282.db2.gz GDECVYPJFKHSDV-FMFIFOJESA-N -1 1 318.377 1.385 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@@H]1CCc2nnnn2CC1 ZINC000909987847 709722502 /nfs/dbraw/zinc/72/25/02/709722502.db2.gz LDUQLCJGQGWPOB-SSDOTTSWSA-N -1 1 309.276 1.248 20 0 DDADMM O=C([O-])c1csc([C@H]2CCCN2C(=O)CCc2cnc[nH]2)n1 ZINC000910108022 709774635 /nfs/dbraw/zinc/77/46/35/709774635.db2.gz FAKMPLOALXVZPE-LLVKDONJSA-N -1 1 320.374 1.861 20 0 DDADMM CC1CCN(CC(=O)N2C[C@@H]3CCCC[C@]3(C(=O)[O-])C2)CC1 ZINC000910152902 709793369 /nfs/dbraw/zinc/79/33/69/709793369.db2.gz WTDACUFQPVDTOG-YOEHRIQHSA-N -1 1 308.422 1.822 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)nc1C ZINC000910171643 709796517 /nfs/dbraw/zinc/79/65/17/709796517.db2.gz ZXMUOJZNPPGAMY-LBPRGKRZSA-N -1 1 305.378 1.319 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cc3c([nH]2)CCCC3)CC1 ZINC000910179893 709799870 /nfs/dbraw/zinc/79/98/70/709799870.db2.gz IDQPJLCXWVKCRA-UHFFFAOYSA-N -1 1 319.405 1.515 20 0 DDADMM COc1ccc([C@@H]2CCCN2C(=O)CCCc2nn[n-]n2)nc1 ZINC000890248655 709800491 /nfs/dbraw/zinc/80/04/91/709800491.db2.gz HYUHXDHGKOANMJ-ZDUSSCGKSA-N -1 1 316.365 1.290 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@H]1CC=C(C(=O)[O-])C1 ZINC000910198397 709809181 /nfs/dbraw/zinc/80/91/81/709809181.db2.gz GEWYHSFRTLANDW-ZETCQYMHSA-N -1 1 303.240 1.640 20 0 DDADMM O=C([O-])[C@H]1c2ccoc2CCN1C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000910206036 709814481 /nfs/dbraw/zinc/81/44/81/709814481.db2.gz QGGCLWSGJJECAL-GIPNMCIBSA-N -1 1 301.302 1.317 20 0 DDADMM COc1cccc(C[C@H](NC(=O)[C@@H]2CCCCN2C)C(=O)[O-])c1 ZINC000910210301 709816044 /nfs/dbraw/zinc/81/60/44/709816044.db2.gz SXADKNCYISWVQI-GJZGRUSLSA-N -1 1 320.389 1.291 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2C[C@@H](C)O[C@H](C(=O)[O-])C2)[nH]1 ZINC000910228358 709826661 /nfs/dbraw/zinc/82/66/61/709826661.db2.gz UQNYUWPBULHIHD-PELKAZGASA-N -1 1 303.318 1.185 20 0 DDADMM CCC(CC)[C@H](CNc1ncc(C(=O)[O-])cn1)N1CCOCC1 ZINC000910340353 709901462 /nfs/dbraw/zinc/90/14/62/709901462.db2.gz SOUKCRRTSGLNKS-AWEZNQCLSA-N -1 1 322.409 1.724 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)C(C)(F)F ZINC000910347198 709904226 /nfs/dbraw/zinc/90/42/26/709904226.db2.gz BGLAHWOIHQIJAQ-SNVBAGLBSA-N -1 1 306.353 1.581 20 0 DDADMM C[C@@H](C(=O)N[C@@H](C(=O)[O-])c1cccc(C(F)(F)F)c1)N(C)C ZINC000910378612 709915570 /nfs/dbraw/zinc/91/55/70/709915570.db2.gz BRHXVKRNKSNYIT-GZMMTYOYSA-N -1 1 318.295 1.897 20 0 DDADMM O=C(NCC1(CCO)CCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000901081852 709917339 /nfs/dbraw/zinc/91/73/39/709917339.db2.gz LPZACJBTUGEGMC-UHFFFAOYSA-N -1 1 305.378 1.732 20 0 DDADMM CCC[N@@H+](C)CC(=O)N[C@](C)(Cc1ccc(F)cc1)C(=O)[O-] ZINC000910517722 709979744 /nfs/dbraw/zinc/97/97/44/709979744.db2.gz XMRDKHSJCVKXTA-MRXNPFEDSA-N -1 1 310.369 1.670 20 0 DDADMM C[C@@H]1CN(Cc2ccc(OCC(=O)[O-])cc2)C[C@@]2(CCOC2)O1 ZINC000901469315 710048234 /nfs/dbraw/zinc/04/82/34/710048234.db2.gz KGRFPBVVSCPTLR-CXAGYDPISA-N -1 1 321.373 1.530 20 0 DDADMM CC[C@H](C)N(CC(=O)[O-])C(=O)CN(C)CCc1ccccc1 ZINC000901476158 710049712 /nfs/dbraw/zinc/04/97/12/710049712.db2.gz FCUQOFVQOLLWBD-AWEZNQCLSA-N -1 1 306.406 1.873 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)NC3CCC(C(=O)[O-])CC3)c2C1 ZINC000901483820 710052173 /nfs/dbraw/zinc/05/21/73/710052173.db2.gz XELWDBFMWZKBGX-KPPDAEKUSA-N -1 1 305.378 1.908 20 0 DDADMM CCCC[C@H](NC(=O)C(C)(C)CN1CCOCC1)C(=O)[O-] ZINC000901488475 710053689 /nfs/dbraw/zinc/05/36/89/710053689.db2.gz GYPUGSISFFFUBV-LBPRGKRZSA-N -1 1 300.399 1.104 20 0 DDADMM O=C(N[C@@H]1COC[C@@H]1C1CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000891048923 710071743 /nfs/dbraw/zinc/07/17/43/710071743.db2.gz YDKNKKHPXDLPAK-GHMZBOCLSA-N -1 1 304.375 1.173 20 0 DDADMM CCCCCc1cc(C(=O)N2CC[C@H]3[C@@H](C2)[C@H]3C(=O)[O-])n[nH]1 ZINC000910851077 710094966 /nfs/dbraw/zinc/09/49/66/710094966.db2.gz PSQLBZRZTRNEBP-SCRDCRAPSA-N -1 1 305.378 1.935 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCN(C(=O)c3n[nH]cc3C(F)(F)F)C[C@@H]21 ZINC000910850923 710095182 /nfs/dbraw/zinc/09/51/82/710095182.db2.gz FHXOSUUOXSMXBQ-GKROBHDKSA-N -1 1 303.240 1.221 20 0 DDADMM CO[C@@H](CC(C)C)CN(C)CC(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000901623056 710099265 /nfs/dbraw/zinc/09/92/65/710099265.db2.gz GBYSPJSZTZTFBV-KGLIPLIRSA-N -1 1 314.426 1.303 20 0 DDADMM CCC[C@H]1CN(C(=O)[C@@H]2CC[C@H]2C(=O)[O-])CCN1CCOC ZINC000901711990 710129230 /nfs/dbraw/zinc/12/92/30/710129230.db2.gz SRVUZFGMKJNQRL-BFHYXJOUSA-N -1 1 312.410 1.057 20 0 DDADMM O=C([O-])[C@H](N[C@H]1CCOC1)c1ccccc1Br ZINC000901749159 710140650 /nfs/dbraw/zinc/14/06/50/710140650.db2.gz QGQQWJFWMFDEFM-GZMMTYOYSA-N -1 1 300.152 1.953 20 0 DDADMM COCc1nc(N(C)Cc2nc3ccccc3n2C)cc(=O)[n-]1 ZINC000892611153 710468747 /nfs/dbraw/zinc/46/87/47/710468747.db2.gz RFAXEILQSWTSTR-UHFFFAOYSA-N -1 1 313.361 1.852 20 0 DDADMM CO[C@@H]1CCCN(NC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000928424232 713189416 /nfs/dbraw/zinc/18/94/16/713189416.db2.gz VAIUDILJCIVYFH-GFCCVEGCSA-N -1 1 301.346 1.696 20 0 DDADMM CCN(CC(=O)N1CCOc2c(cccc2C(=O)[O-])C1)C1CC1 ZINC000911025040 710599722 /nfs/dbraw/zinc/59/97/22/710599722.db2.gz CWTHAINNPGASGP-UHFFFAOYSA-N -1 1 318.373 1.590 20 0 DDADMM C[C@H](C(=O)N[C@@H](C(=O)[O-])c1ccc(C(F)(F)F)cc1)N(C)C ZINC000911060708 710616378 /nfs/dbraw/zinc/61/63/78/710616378.db2.gz GBZPNCFPILGYTQ-LDYMZIIASA-N -1 1 318.295 1.897 20 0 DDADMM CC(C)CN1CCN(C(=O)c2ccc(/C=C\C(=O)[O-])o2)CC1 ZINC000911105924 710635592 /nfs/dbraw/zinc/63/55/92/710635592.db2.gz GPOKSLUTKBHWGJ-XQRVVYSFSA-N -1 1 306.362 1.791 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@H]1C[C@]12CCOC2 ZINC000902150918 710636090 /nfs/dbraw/zinc/63/60/90/710636090.db2.gz ZOOUPHYOZCAQQY-YGRLFVJLSA-N -1 1 312.391 1.114 20 0 DDADMM COCc1nc(N[C@@H](CO)Cc2ccccc2C)cc(=O)[n-]1 ZINC000893408852 710641780 /nfs/dbraw/zinc/64/17/80/710641780.db2.gz MCNLAGMEXCBSRM-CYBMUJFWSA-N -1 1 303.362 1.653 20 0 DDADMM C[C@@H](CC(=O)[O-])CC(=O)NCCC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000911151313 710656431 /nfs/dbraw/zinc/65/64/31/710656431.db2.gz WFYFZMPSRQCFOV-UPJWGTAASA-N -1 1 300.399 1.103 20 0 DDADMM C[C@@H](CC(=O)[O-])CC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000911151313 710656434 /nfs/dbraw/zinc/65/64/34/710656434.db2.gz WFYFZMPSRQCFOV-UPJWGTAASA-N -1 1 300.399 1.103 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H](COC)C(C)C)o1 ZINC000893455593 710662663 /nfs/dbraw/zinc/66/26/63/710662663.db2.gz HOYQMKPZIHZDLF-SNVBAGLBSA-N -1 1 319.379 1.263 20 0 DDADMM COc1c(C)[nH]cc(CN(C)C(=O)c2ncccc2[O-])c1=O ZINC000913325359 713199712 /nfs/dbraw/zinc/19/97/12/713199712.db2.gz FHBOOCPVHVLNPA-UHFFFAOYSA-N -1 1 303.318 1.065 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)NCc1ccc(C(=O)[O-])c(Cl)c1 ZINC000902256417 710683869 /nfs/dbraw/zinc/68/38/69/710683869.db2.gz HAJFWDMWHBUYEL-SECBINFHSA-N -1 1 319.810 1.951 20 0 DDADMM COCc1nc(N2C[C@H](C)O[C@]3(CCO[C@@H]3C)C2)cc(=O)[n-]1 ZINC000893581814 710723609 /nfs/dbraw/zinc/72/36/09/710723609.db2.gz ZJVKVKVVJRECKF-FIXISWKDSA-N -1 1 309.366 1.101 20 0 DDADMM CC(C)(c1ccccc1)C1(NCc2cn(CC(=O)[O-])nn2)CC1 ZINC000902461402 710761481 /nfs/dbraw/zinc/76/14/81/710761481.db2.gz MCBRTZNXTZPESV-UHFFFAOYSA-N -1 1 314.389 1.963 20 0 DDADMM Cc1nc(C(=O)N2CCC(c3cnc[nH]3)CC2)ccc1C(=O)[O-] ZINC000911387668 710773541 /nfs/dbraw/zinc/77/35/41/710773541.db2.gz RTRJPIBZUDWQJD-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM O=C([O-])CC1(CC(=O)N[C@@H]2CCc3[nH]cnc3C2)CCCC1 ZINC000911390820 710774876 /nfs/dbraw/zinc/77/48/76/710774876.db2.gz BAPRIJFZCSZIFZ-LLVKDONJSA-N -1 1 305.378 1.808 20 0 DDADMM Cn1ccc2cccc(NC(=O)CN3CCC[C@@H](C(=O)[O-])C3)c21 ZINC000911405941 710783481 /nfs/dbraw/zinc/78/34/81/710783481.db2.gz NFFZOAMKEGUPPN-CYBMUJFWSA-N -1 1 315.373 1.913 20 0 DDADMM CC[C@@H](c1ccncc1)N(C)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911416435 710788830 /nfs/dbraw/zinc/78/88/30/710788830.db2.gz MOWIGRMTDOSBHD-CABCVRRESA-N -1 1 319.405 1.788 20 0 DDADMM Cc1oc(C(=O)[O-])cc1C(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000911499095 710824815 /nfs/dbraw/zinc/82/48/15/710824815.db2.gz BOTJTHNJTDDGRT-JTQLQIEISA-N -1 1 324.377 1.203 20 0 DDADMM C[C@@H]1CC[C@@H](C)N1CC(=O)N[C@H](c1nc(=O)o[n-]1)C(C)(C)C ZINC000911587739 710869518 /nfs/dbraw/zinc/86/95/18/710869518.db2.gz VPTJFSCNACXDJR-CKYFFXLPSA-N -1 1 310.398 1.439 20 0 DDADMM C[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC2(CCC2)O1 ZINC000911600815 710874571 /nfs/dbraw/zinc/87/45/71/710874571.db2.gz OELVRXQVLGVEHB-SNVBAGLBSA-N -1 1 303.362 1.843 20 0 DDADMM COCC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCCC1 ZINC000913438010 713215652 /nfs/dbraw/zinc/21/56/52/713215652.db2.gz PRNHVUSQQADTFB-ZDUSSCGKSA-N -1 1 315.377 1.612 20 0 DDADMM O=C([O-])c1ccc(C(=O)N[C@H]2CCCN3CCSC[C@H]23)cn1 ZINC000902817909 710914765 /nfs/dbraw/zinc/91/47/65/710914765.db2.gz GDFMVHRKTBAACK-WCQYABFASA-N -1 1 321.402 1.089 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)c1ccc(F)cc1 ZINC000902862132 710935367 /nfs/dbraw/zinc/93/53/67/710935367.db2.gz FTVCYFXXTLIFOW-NQBHXWOUSA-N -1 1 303.293 1.594 20 0 DDADMM O=C([O-])c1cccc(CC(=O)N2CC[C@H](c3nc[nH]n3)C2)c1 ZINC000911773888 710977346 /nfs/dbraw/zinc/97/73/46/710977346.db2.gz PSFQJIXOBFVNNN-LBPRGKRZSA-N -1 1 300.318 1.062 20 0 DDADMM C[C@@H]1[C@H](C)NC(=O)[C@H](C)N1C(=O)c1ncc2ccccc2c1[O-] ZINC000911806312 711003885 /nfs/dbraw/zinc/00/38/85/711003885.db2.gz SLTCXXALQMHWSI-AXFHLTTASA-N -1 1 313.357 1.678 20 0 DDADMM CN(C)c1cccc(S(=O)(=O)[N-]c2nnc(C(F)F)[nH]2)c1 ZINC000903150637 711049258 /nfs/dbraw/zinc/04/92/58/711049258.db2.gz VXFQVQMHMXALSI-UHFFFAOYSA-N -1 1 317.321 1.609 20 0 DDADMM Cc1cn2c(nc(CN3CC[C@@H](C)[C@@H](C(=O)[O-])C3)cc2=O)s1 ZINC000903409250 711118313 /nfs/dbraw/zinc/11/83/13/711118313.db2.gz SHYUYQAJHFULEV-SKDRFNHKSA-N -1 1 321.402 1.607 20 0 DDADMM C[C@@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccc(Cl)cc1 ZINC000913500589 713240090 /nfs/dbraw/zinc/24/00/90/713240090.db2.gz ZXPOIDHFFMGXSY-BXKDBHETSA-N -1 1 321.768 1.557 20 0 DDADMM CCCCc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000913493379 713236950 /nfs/dbraw/zinc/23/69/50/713236950.db2.gz QYHCIHCPSLKXOA-CQSZACIVSA-N -1 1 315.377 1.756 20 0 DDADMM COCc1nc(NC[C@@]2(C)COc3ccccc3O2)cc(=O)[n-]1 ZINC000894696856 711241030 /nfs/dbraw/zinc/24/10/30/711241030.db2.gz FSILKDSZKPUCAZ-INIZCTEOSA-N -1 1 317.345 1.971 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N[C@H](C)c1cccs1 ZINC000903711767 711250397 /nfs/dbraw/zinc/25/03/97/711250397.db2.gz KDQDWVHFIKDVJI-LLVKDONJSA-N -1 1 314.407 1.348 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N(C)Cc1cccs1 ZINC000903712502 711250815 /nfs/dbraw/zinc/25/08/15/711250815.db2.gz YUMGCZCAPLDNCB-UHFFFAOYSA-N -1 1 314.407 1.130 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)N1CCc2ccccc21 ZINC000903712506 711251283 /nfs/dbraw/zinc/25/12/83/711251283.db2.gz YUMWIFAUMCTSIE-ZDUSSCGKSA-N -1 1 320.389 1.387 20 0 DDADMM O=C(/C(F)=C\C1CCCCC1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913498309 713239251 /nfs/dbraw/zinc/23/92/51/713239251.db2.gz OJXNOYHNZLYGPC-JATZPVMKSA-N -1 1 309.345 1.533 20 0 DDADMM Cc1cc(C(F)F)oc1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913500004 713239779 /nfs/dbraw/zinc/23/97/79/713239779.db2.gz TZPDWOCKCVIZOT-ZETCQYMHSA-N -1 1 313.264 1.252 20 0 DDADMM C[C@H](CSc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000912475321 711345105 /nfs/dbraw/zinc/34/51/05/711345105.db2.gz VJHKKCBNTXFEOA-SNVBAGLBSA-N -1 1 319.386 1.932 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2cnn(C)c2Cl)n1 ZINC000895236197 711460389 /nfs/dbraw/zinc/46/03/89/711460389.db2.gz BPHGEVIPJVSKHA-SSDOTTSWSA-N -1 1 312.761 1.219 20 0 DDADMM CC(C)[C@@H](CNC(=O)CCCc1nn[n-]n1)Nc1ccccc1 ZINC000895574299 711547243 /nfs/dbraw/zinc/54/72/43/711547243.db2.gz OEGPEUIFTLLOMY-CQSZACIVSA-N -1 1 316.409 1.775 20 0 DDADMM O=C([O-])[C@]1(c2nc(CCC3CCCCC3)no2)CNCCO1 ZINC000904575713 711873953 /nfs/dbraw/zinc/87/39/53/711873953.db2.gz UEEYEXPYBVTJAM-OAHLLOKOSA-N -1 1 309.366 1.482 20 0 DDADMM O=C(COc1ccccc1Cl)N1CCC(c2nn[n-]n2)CC1 ZINC000913742128 713291648 /nfs/dbraw/zinc/29/16/48/713291648.db2.gz IPMNAJHMSOSDMG-UHFFFAOYSA-N -1 1 321.768 1.638 20 0 DDADMM O=C(CCOc1cccc(F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742511 713291685 /nfs/dbraw/zinc/29/16/85/713291685.db2.gz GHFRURSLYSUIBI-UHFFFAOYSA-N -1 1 319.340 1.514 20 0 DDADMM O=C([C@@H]1CC[C@@H](C(F)(F)F)C1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744846 713293342 /nfs/dbraw/zinc/29/33/42/713293342.db2.gz HKWNPMQJJAHYRS-NXEZZACHSA-N -1 1 317.315 1.884 20 0 DDADMM COc1cccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1C ZINC000913745967 713294126 /nfs/dbraw/zinc/29/41/26/713294126.db2.gz RGCDOUNZUKLQCQ-UHFFFAOYSA-N -1 1 301.350 1.537 20 0 DDADMM O=C([C@@H]1CCOC2(CCC2)C1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746577 713294301 /nfs/dbraw/zinc/29/43/01/713294301.db2.gz AUMBCHMGDKHZRE-GFCCVEGCSA-N -1 1 305.382 1.255 20 0 DDADMM CC1(/C=C\C(=O)N2CCC(c3nn[n-]n3)CC2)CCOCC1 ZINC000913746495 713294378 /nfs/dbraw/zinc/29/43/78/713294378.db2.gz XCMIPDMJUUYGHN-DJWKRKHSSA-N -1 1 305.382 1.279 20 0 DDADMM O=C(c1ccnn1CC1CCC1)N1CCC(c2nn[n-]n2)CC1 ZINC000913747057 713294497 /nfs/dbraw/zinc/29/44/97/713294497.db2.gz PPHZADOSAQSRQH-UHFFFAOYSA-N -1 1 315.381 1.216 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)c3ccccc3C)nc2n1 ZINC000905635724 712102440 /nfs/dbraw/zinc/10/24/40/712102440.db2.gz ZZUXGKZMMSNLKH-NSHDSACASA-N -1 1 311.345 1.777 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)cn1 ZINC000906061722 712234462 /nfs/dbraw/zinc/23/44/62/712234462.db2.gz SZYAHJGEUGGVNI-GOSISDBHSA-N -1 1 305.425 1.943 20 0 DDADMM O=C([C@H]1CCOC2(CCCC2)C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000907103468 712487990 /nfs/dbraw/zinc/48/79/90/712487990.db2.gz GCBCDYIMDXZNJV-QWHCGFSZSA-N -1 1 319.409 1.645 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(C(F)(F)F)ccn1)c1nn[n-]n1 ZINC000907349002 712549891 /nfs/dbraw/zinc/54/98/91/712549891.db2.gz DOJBRUKWMRJVFP-SSDOTTSWSA-N -1 1 314.271 1.489 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c(C)n1 ZINC000907477088 712582574 /nfs/dbraw/zinc/58/25/74/712582574.db2.gz PRQDOYHUHMKGEC-LLVKDONJSA-N -1 1 304.379 1.142 20 0 DDADMM Cc1c(C(=O)N2CCSC[C@H]2c2nn[n-]n2)ccn1C(C)C ZINC000907479411 712583239 /nfs/dbraw/zinc/58/32/39/712583239.db2.gz DBCNQYHJLOUIOD-LBPRGKRZSA-N -1 1 320.422 1.821 20 0 DDADMM O=C(C1CC2(CC2(F)F)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907482053 712584126 /nfs/dbraw/zinc/58/41/26/712584126.db2.gz NRUYWMLGFLUEPN-KAIZJQOQSA-N -1 1 315.349 1.252 20 0 DDADMM CCN(C)C(=O)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907502495 712586807 /nfs/dbraw/zinc/58/68/07/712586807.db2.gz BWWVONFOEKJLME-UHFFFAOYSA-N -1 1 305.309 1.288 20 0 DDADMM O=C(N[C@H]1Cc2cccc(O)c2C1)c1cnc(C2CC2)[n-]c1=O ZINC000907736908 712620397 /nfs/dbraw/zinc/62/03/97/712620397.db2.gz BRJJYKXZXNNDIJ-NSHDSACASA-N -1 1 311.341 1.662 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@@H]3CCC[C@H]23)c(C(F)(F)F)n1 ZINC000907957520 712655032 /nfs/dbraw/zinc/65/50/32/712655032.db2.gz ISKVMVLAKUPNSP-XHNCKOQMSA-N -1 1 323.340 1.906 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2CCC[C@@H]21)c1nc[nH]c1Br ZINC000907961322 712655774 /nfs/dbraw/zinc/65/57/74/712655774.db2.gz UQHKGCIOBKOPLI-GJMOJQLCSA-N -1 1 320.212 1.639 20 0 DDADMM CCO[C@]12CCC[C@@]1([N-]S(=O)(=O)C[C@@H](C)OC)CCO2 ZINC000908018463 712667147 /nfs/dbraw/zinc/66/71/47/712667147.db2.gz GFMJHMBQXXQOEK-UPJWGTAASA-N -1 1 307.412 1.017 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]Cc2cn(C)cn2)c(F)c1 ZINC000908097541 712686412 /nfs/dbraw/zinc/68/64/12/712686412.db2.gz WAQFZCTVYNOWDR-UHFFFAOYSA-N -1 1 301.318 1.485 20 0 DDADMM CC1CCN(CC(=O)N[C@@H](CC(=O)[O-])Cc2ccccc2)CC1 ZINC000908230021 712714870 /nfs/dbraw/zinc/71/48/70/712714870.db2.gz YBQKBAUETNWEMH-MRXNPFEDSA-N -1 1 318.417 1.921 20 0 DDADMM CCC(C)(C)C(=O)C(=O)Nc1cc2c(cc1[O-])n(C)c(=O)n2C ZINC000908300995 712732717 /nfs/dbraw/zinc/73/27/17/712732717.db2.gz BTQOXVNCQFNVPU-UHFFFAOYSA-N -1 1 319.361 1.526 20 0 DDADMM O=C1[C@@H]([N-]S(=O)(=O)CC2(F)CC2)CCN1c1ccccc1 ZINC000914089519 713341539 /nfs/dbraw/zinc/34/15/39/713341539.db2.gz ZJDKFOXSUWKBLY-LBPRGKRZSA-N -1 1 312.366 1.213 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)CSC)o1 ZINC000908383879 712757345 /nfs/dbraw/zinc/75/73/45/712757345.db2.gz UOMLDSOKHQGZAR-UHFFFAOYSA-N -1 1 321.420 1.876 20 0 DDADMM O=S(=O)([N-][C@H](c1ccncc1)C1CC1)c1c[nH]nc1Cl ZINC000908388194 712758468 /nfs/dbraw/zinc/75/84/68/712758468.db2.gz FOBLDAIXQHJXTC-NSHDSACASA-N -1 1 312.782 1.888 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@H]1C1CC1)c1cc(F)ccc1F ZINC000908418134 712766362 /nfs/dbraw/zinc/76/63/62/712766362.db2.gz ZSJYOFKTPUWZGC-CMPLNLGQSA-N -1 1 303.330 1.668 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(C(=O)c1n[nH]cc1C(F)(F)F)CC2 ZINC000908652816 712829584 /nfs/dbraw/zinc/82/95/84/712829584.db2.gz ADGSDDMCNBZAHM-SSDOTTSWSA-N -1 1 317.267 1.755 20 0 DDADMM CN1C[C@@H](C(=O)Nc2cccc([O-])c2Br)CC1=O ZINC000908715528 712840454 /nfs/dbraw/zinc/84/04/54/712840454.db2.gz SMFLUWXZPPYXIR-ZETCQYMHSA-N -1 1 313.151 1.572 20 0 DDADMM COCc1cccc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000908723130 712841637 /nfs/dbraw/zinc/84/16/37/712841637.db2.gz YELOJTXIEJIWHI-CYBMUJFWSA-N -1 1 306.362 1.568 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000908748452 712847126 /nfs/dbraw/zinc/84/71/26/712847126.db2.gz WYUXZNRFEQHVOX-CYBMUJFWSA-N -1 1 323.393 1.923 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@@H](C(=O)[O-])C1CC1)c1ccsc1 ZINC000908815869 712860723 /nfs/dbraw/zinc/86/07/23/712860723.db2.gz ZNQLYQSLVUEYQS-VXGBXAGGSA-N -1 1 311.407 1.513 20 0 DDADMM COc1cccc([C@H](CC(=O)[O-])NC(=O)CN2CCCC2)c1 ZINC000908858971 712871486 /nfs/dbraw/zinc/87/14/86/712871486.db2.gz YDRFCPVOYIBVRC-AWEZNQCLSA-N -1 1 306.362 1.423 20 0 DDADMM O=S(=O)([N-]CC(F)F)C1(COCc2ccccc2)CC1 ZINC000914209682 713359545 /nfs/dbraw/zinc/35/95/45/713359545.db2.gz BNMBQQFVQIQEGP-UHFFFAOYSA-N -1 1 305.346 1.920 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)CC1(F)CCC1 ZINC000914220704 713361599 /nfs/dbraw/zinc/36/15/99/713361599.db2.gz JCKBBGCHCHPZIB-NSHDSACASA-N -1 1 307.387 1.530 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccoc1)c1noc(C(F)(F)F)n1 ZINC000916398657 713453133 /nfs/dbraw/zinc/45/31/33/713453133.db2.gz DCZCKALJOZVTSO-YFKPBYRVSA-N -1 1 311.241 1.721 20 0 DDADMM CN1N=C(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CCC1=O ZINC000917113959 713482950 /nfs/dbraw/zinc/48/29/50/713482950.db2.gz PQAPUZNWROREIO-UHFFFAOYSA-N -1 1 313.317 1.329 20 0 DDADMM CCN(CCC(N)=O)C(=O)c1ccc(Br)cc1[O-] ZINC000928823671 713486067 /nfs/dbraw/zinc/48/60/67/713486067.db2.gz DMSVQQOJFVBWGN-UHFFFAOYSA-N -1 1 315.167 1.492 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC000918056156 713526941 /nfs/dbraw/zinc/52/69/41/713526941.db2.gz PXSKPGHCRDFMHH-VXGBXAGGSA-N -1 1 307.412 1.017 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCCn1cccn1 ZINC000918865673 713570318 /nfs/dbraw/zinc/57/03/18/713570318.db2.gz MBTGYQOHAMFGRL-UHFFFAOYSA-N -1 1 310.304 1.409 20 0 DDADMM CNC(=O)N1CCN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CC1 ZINC000930012449 713735597 /nfs/dbraw/zinc/73/55/97/713735597.db2.gz KFDMKLKQEGOFQG-GFCCVEGCSA-N -1 1 305.378 1.168 20 0 DDADMM CCOC(=O)[C@@H](C[C@@H](C)CC)[N-]S(=O)(=O)CC1(F)CC1 ZINC000921383090 713750838 /nfs/dbraw/zinc/75/08/38/713750838.db2.gz OVNATWAWEKYOAS-WDEREUQCSA-N -1 1 309.403 1.776 20 0 DDADMM CCN1CCC[C@@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1=O ZINC000921492499 713786978 /nfs/dbraw/zinc/78/69/78/713786978.db2.gz PTPXNDTUQQCALS-LLVKDONJSA-N -1 1 318.345 1.254 20 0 DDADMM C[C@H]1COC[C@@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000921497798 713789697 /nfs/dbraw/zinc/78/96/97/713789697.db2.gz UCGKCIFUQPCUEQ-BQBZGAKWSA-N -1 1 310.169 1.355 20 0 DDADMM O=C1C[C@@H](CC[N-]S(=O)(=O)c2cc(Cl)ccc2F)CN1 ZINC000921647852 713830472 /nfs/dbraw/zinc/83/04/72/713830472.db2.gz BIZOLUHEFSPMJZ-MRVPVSSYSA-N -1 1 320.773 1.284 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H](c1cnn(C)c1)C(C)C ZINC000921845503 713883835 /nfs/dbraw/zinc/88/38/35/713883835.db2.gz MVFNYJNABKQFOS-WLRWDXFRSA-N -1 1 322.456 1.069 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-]C1CSC1)c1ccccc1F ZINC000921869377 713891085 /nfs/dbraw/zinc/89/10/85/713891085.db2.gz CDICWRKLFKSRPI-SFHVURJKSA-N -1 1 324.424 1.232 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC3(C4(O)CCC4)CC3)ccnc1-2 ZINC000931131374 714010342 /nfs/dbraw/zinc/01/03/42/714010342.db2.gz FVEALSXOFLFAKI-UHFFFAOYSA-N -1 1 315.377 1.159 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3CC[C@@H](C4CC4)O3)ccnc1-2 ZINC000931131358 714010565 /nfs/dbraw/zinc/01/05/65/714010565.db2.gz FSGDUZSRGJBJRB-FZMZJTMJSA-N -1 1 315.377 1.421 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)c1c[nH]c2cc(F)c(F)cc2c1=O ZINC000931317867 714065209 /nfs/dbraw/zinc/06/52/09/714065209.db2.gz OBZGDLOVBQPDOF-ZDUSSCGKSA-N -1 1 324.283 1.994 20 0 DDADMM CO[N-]C(=O)CNCc1cc(C)c(F)cc1Br ZINC000922828780 714161111 /nfs/dbraw/zinc/16/11/11/714161111.db2.gz ZKQOIABKMUBIRJ-UHFFFAOYSA-N -1 1 305.147 1.664 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C[C@@H]2CC[C@@H](C3CC3)O2)CC1 ZINC000923104492 714238338 /nfs/dbraw/zinc/23/83/38/714238338.db2.gz YBFYSNVDPGTQOQ-SNPRPXQTSA-N -1 1 324.421 1.342 20 0 DDADMM C[C@@H](CCCO)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932045170 714245397 /nfs/dbraw/zinc/24/53/97/714245397.db2.gz QPZJICFNYSHNBE-NSHDSACASA-N -1 1 304.350 1.860 20 0 DDADMM CCN(C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H](C)CO ZINC000932052793 714246889 /nfs/dbraw/zinc/24/68/89/714246889.db2.gz DRDKNWCXJGPVNX-LLVKDONJSA-N -1 1 304.350 1.813 20 0 DDADMM O=C([O-])c1ccccc1CCNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000923133484 714248976 /nfs/dbraw/zinc/24/89/76/714248976.db2.gz QWSAPUJWXSEJAW-CYBMUJFWSA-N -1 1 313.357 1.887 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC1([C@H]2CCCCO2)CC1 ZINC000923219055 714275831 /nfs/dbraw/zinc/27/58/31/714275831.db2.gz CEAFLMGDBJGAHA-CHWSQXEVSA-N -1 1 310.394 1.001 20 0 DDADMM CC1=C(C)C[C@@](C)(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CC1 ZINC000932284791 714300341 /nfs/dbraw/zinc/30/03/41/714300341.db2.gz YSKYIAQGRCFKKF-DOMZBBRYSA-N -1 1 305.382 1.626 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000923445604 714358198 /nfs/dbraw/zinc/35/81/98/714358198.db2.gz VOQNDUDEJWKJDS-DDTOSNHZSA-N -1 1 305.330 1.157 20 0 DDADMM CC[C@@]1(C(=O)[O-])CCCN([C@H](C)C(=O)NCC(F)(F)F)C1 ZINC000923468859 714366344 /nfs/dbraw/zinc/36/63/44/714366344.db2.gz GKVSRUVKBSHGBI-BXKDBHETSA-N -1 1 310.316 1.630 20 0 DDADMM COC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)c1ccc([O-])cc1F ZINC000924870643 714683772 /nfs/dbraw/zinc/68/37/72/714683772.db2.gz SSLUXTNWWIMIMD-GWCFXTLKSA-N -1 1 311.309 1.372 20 0 DDADMM COc1cnc([C@@H]2CCCN2CC(=O)NCC(C)(C)C)[n-]c1=O ZINC000934270655 714782125 /nfs/dbraw/zinc/78/21/25/714782125.db2.gz UBZCXHSEQAOVHI-NSHDSACASA-N -1 1 322.409 1.490 20 0 DDADMM COc1cnc([C@H]2CCCN2CC(=O)c2cccn2C)[n-]c1=O ZINC000934272152 714783274 /nfs/dbraw/zinc/78/32/74/714783274.db2.gz FGHGXUZQJPCPRN-GFCCVEGCSA-N -1 1 316.361 1.549 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2cc(C)ncn2)[n-]c1=O ZINC000934278774 714785541 /nfs/dbraw/zinc/78/55/41/714785541.db2.gz HMFUXFNOGHYEAE-GFCCVEGCSA-N -1 1 301.350 1.626 20 0 DDADMM CCn1ncc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)n1 ZINC000934280457 714785799 /nfs/dbraw/zinc/78/57/99/714785799.db2.gz WCZJMCIQZNGIKR-NSHDSACASA-N -1 1 304.354 1.139 20 0 DDADMM O=C([C@@H]1CC[C@@H]2C[C@@H]2CC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000935457448 715060450 /nfs/dbraw/zinc/06/04/50/715060450.db2.gz SCRYFNJZFGIUPR-YFKTTZPYSA-N -1 1 307.423 1.643 20 0 DDADMM O=C(N1CCC[C@H](c2n[n-]c(=O)o2)C1)[C@]12C[C@H]1COC21CCC1 ZINC000935792811 715128730 /nfs/dbraw/zinc/12/87/30/715128730.db2.gz BYNJTENLGYKXFD-MMPTUQATSA-N -1 1 319.361 1.440 20 0 DDADMM C[C@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1cccc(O)c1 ZINC000935830003 715131389 /nfs/dbraw/zinc/13/13/89/715131389.db2.gz RAPYZARXAXUXAH-JTQLQIEISA-N -1 1 317.345 1.991 20 0 DDADMM O=C(c1cccc2c1OCC2)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000935830169 715131463 /nfs/dbraw/zinc/13/14/63/715131463.db2.gz URBRVKLDODUFJP-UHFFFAOYSA-N -1 1 315.329 1.730 20 0 DDADMM O=C(c1cccc2c1OCC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000935830169 715131464 /nfs/dbraw/zinc/13/14/64/715131464.db2.gz URBRVKLDODUFJP-UHFFFAOYSA-N -1 1 315.329 1.730 20 0 DDADMM CCC(C)(C)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956183214 715347936 /nfs/dbraw/zinc/34/79/36/715347936.db2.gz LYUHVDDCAIYKKY-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccsc1 ZINC000937653006 715479386 /nfs/dbraw/zinc/47/93/86/715479386.db2.gz PMHFCYCFIVCSQS-NSHDSACASA-N -1 1 317.370 1.493 20 0 DDADMM CC(C)(C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937863976 715619062 /nfs/dbraw/zinc/61/90/62/715619062.db2.gz NCPRQUOLHYMTJD-LBPRGKRZSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(C)(C)F ZINC000955475690 715806547 /nfs/dbraw/zinc/80/65/47/715806547.db2.gz DMDPQROLIWGOOF-WDEREUQCSA-N -1 1 323.368 1.645 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C(C)(C)C)CCN1C(=O)c1ncccc1[O-] ZINC000955656470 715894949 /nfs/dbraw/zinc/89/49/49/715894949.db2.gz XUKROQXMVTXPRM-NEPJUHHUSA-N -1 1 319.405 1.943 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939143886 716150893 /nfs/dbraw/zinc/15/08/93/716150893.db2.gz LTUFCWMRCJFOEY-WCQYABFASA-N -1 1 323.368 1.454 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940130111 716641028 /nfs/dbraw/zinc/64/10/28/716641028.db2.gz GEROCOICQAWQJO-GFCCVEGCSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CC2CC2)C1 ZINC000959010002 716820365 /nfs/dbraw/zinc/82/03/65/716820365.db2.gz XCFZOSSREJIMQO-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959107998 716869987 /nfs/dbraw/zinc/86/99/87/716869987.db2.gz MICDNTFHIAZJDW-VVBALGDRSA-N -1 1 317.389 1.720 20 0 DDADMM CC(=O)N1CCCC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000964390562 717242170 /nfs/dbraw/zinc/24/21/70/717242170.db2.gz UZKNBBYUKHJYAE-GFCCVEGCSA-N -1 1 318.377 1.190 20 0 DDADMM Cc1cocc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942165506 717517901 /nfs/dbraw/zinc/51/79/01/717517901.db2.gz DYVRCLVQROIZFG-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM CC1(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC=CC1 ZINC000942339707 717622865 /nfs/dbraw/zinc/62/28/65/717622865.db2.gz SLTBBXZSFMNYEV-GFCCVEGCSA-N -1 1 315.373 1.474 20 0 DDADMM CCC(=O)N1CCC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000963599565 717840985 /nfs/dbraw/zinc/84/09/85/717840985.db2.gz NGXPAGFHHVPJIX-NWDGAFQWSA-N -1 1 303.362 1.260 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C(C)C)C1 ZINC000942755980 717857732 /nfs/dbraw/zinc/85/77/32/717857732.db2.gz DUKWNGONIMPABX-GFCCVEGCSA-N -1 1 305.378 1.506 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C(C)(C)C)C1 ZINC000942757046 717857802 /nfs/dbraw/zinc/85/78/02/717857802.db2.gz UQTRTSJXMGDILC-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM CC(C)C(=O)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943213178 718049289 /nfs/dbraw/zinc/04/92/89/718049289.db2.gz LYEJUTMLMVRBDI-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM CSCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000945156040 718410464 /nfs/dbraw/zinc/41/04/64/718410464.db2.gz GUAZGFTUFCOJET-MNOVXSKESA-N -1 1 323.418 1.117 20 0 DDADMM CC(C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC000945277164 718435227 /nfs/dbraw/zinc/43/52/27/718435227.db2.gz FECAFLAQNIBGLB-RYUDHWBXSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(C)(F)F ZINC000966334300 718518366 /nfs/dbraw/zinc/51/83/66/718518366.db2.gz XVNAPQBHMQLIKM-DTWKUNHWSA-N -1 1 313.304 1.019 20 0 DDADMM O=C(C1CCCCCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000967665780 718960813 /nfs/dbraw/zinc/96/08/13/718960813.db2.gz SKYRMUSWMVBPSL-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM CCN(C(=O)C1CCCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967729863 718993334 /nfs/dbraw/zinc/99/33/34/718993334.db2.gz CTSBIUFUJAMOIR-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2CCCC2)C1 ZINC000967898379 719078159 /nfs/dbraw/zinc/07/81/59/719078159.db2.gz GEHXYPHFDNQJQE-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CC(C)C)C1 ZINC000967900679 719079522 /nfs/dbraw/zinc/07/95/22/719079522.db2.gz NOCHAUCAECEQPG-UHFFFAOYSA-N -1 1 305.378 1.506 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C=C2CCC2)C1 ZINC000967902319 719080375 /nfs/dbraw/zinc/08/03/75/719080375.db2.gz YPKXVEGAEZCNIZ-UHFFFAOYSA-N -1 1 315.373 1.570 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C/C2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948121560 719364546 /nfs/dbraw/zinc/36/45/46/719364546.db2.gz AUYYSNXAGPIHFN-BKVNPXPRSA-N -1 1 315.373 1.330 20 0 DDADMM O=C(CC1CC1)N1[C@@H]2CC[C@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC000968136539 719431316 /nfs/dbraw/zinc/43/13/16/719431316.db2.gz BGSRLGBYOSUTPB-BETUJISGSA-N -1 1 315.373 1.403 20 0 DDADMM Cc1nc(CN[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)co1 ZINC000968481712 719611234 /nfs/dbraw/zinc/61/12/34/719611234.db2.gz OBCLQISFASWABT-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ccco1)c1ncccc1[O-] ZINC000949531561 720143282 /nfs/dbraw/zinc/14/32/82/720143282.db2.gz RREYNOAMWWXUPM-JTQLQIEISA-N -1 1 301.302 1.025 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949538621 720146924 /nfs/dbraw/zinc/14/69/24/720146924.db2.gz NNAYQABBDFRFEF-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)[C@@H]1CC12CCC2)c1ncccc1[O-] ZINC000949538802 720147556 /nfs/dbraw/zinc/14/75/56/720147556.db2.gz QZQZLYUZJLQYQC-RYUDHWBXSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(Cc2nccs2)C1 ZINC000969541826 720181070 /nfs/dbraw/zinc/18/10/70/720181070.db2.gz BRYFSWHJSRPZEQ-JTQLQIEISA-N -1 1 318.402 1.494 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000951675938 721130791 /nfs/dbraw/zinc/13/07/91/721130791.db2.gz DUMLEPGDOJGCFR-WCQYABFASA-N -1 1 323.368 1.502 20 0 DDADMM CC(=O)N1CC[C@@H](C2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000952285956 721394966 /nfs/dbraw/zinc/39/49/66/721394966.db2.gz SYGDZFBHUHNLMM-CQSZACIVSA-N -1 1 317.389 1.508 20 0 DDADMM CC(=O)N1CCCC[C@@H]1[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000952317012 721405863 /nfs/dbraw/zinc/40/58/63/721405863.db2.gz YPBWOVIPMXDNTA-ZIAGYGMSSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000954128079 721724951 /nfs/dbraw/zinc/72/49/51/721724951.db2.gz QXAUEHPGUPVJSM-NWDGAFQWSA-N -1 1 317.389 1.506 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC000954128180 721725017 /nfs/dbraw/zinc/72/50/17/721725017.db2.gz VXBRIJOIYDTMCC-GDNZZTSVSA-N -1 1 315.373 1.116 20 0 DDADMM CCC(C)(CC)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954127977 721725073 /nfs/dbraw/zinc/72/50/73/721725073.db2.gz NRBDFWBHLZAZRP-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM C[C@H]1CN(C(=O)C2CC2)CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001020653433 732601990 /nfs/dbraw/zinc/60/19/90/732601990.db2.gz DTQACNFLTOEZFE-WCQYABFASA-N -1 1 317.389 1.412 20 0 DDADMM O=C(CC1CCC1)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021216652 733071210 /nfs/dbraw/zinc/07/12/10/733071210.db2.gz DWUWQXPPWVCMRJ-YHWZYXNKSA-N -1 1 319.409 1.312 20 0 DDADMM CCn1ccc(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001038193437 733195122 /nfs/dbraw/zinc/19/51/22/733195122.db2.gz JGHPDPZRJSBDHZ-ZDUSSCGKSA-N -1 1 315.377 1.008 20 0 DDADMM C[C@@H]1CC[C@H](CC(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000997894520 751345730 /nfs/dbraw/zinc/34/57/30/751345730.db2.gz MHKQBESLIJZSFP-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM Cn1nccc1CC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692887820 738943112 /nfs/dbraw/zinc/94/31/12/738943112.db2.gz FQIRAQQLXZFTKR-UHFFFAOYSA-N -1 1 318.761 1.129 20 0 DDADMM CC1([N-]S(=O)(=O)c2ccc(Cl)nc2F)CCOCC1 ZINC000692890377 738962720 /nfs/dbraw/zinc/96/27/20/738962720.db2.gz AAGHARWQHFDQNL-UHFFFAOYSA-N -1 1 308.762 1.722 20 0 DDADMM Cc1ccc([N-]C(=O)c2nc(-c3cnccn3)no2)c2n[nH]cc21 ZINC001142535044 742149451 /nfs/dbraw/zinc/14/94/51/742149451.db2.gz ILZZFOLYODIOQA-UHFFFAOYSA-N -1 1 321.300 1.964 20 0 DDADMM CC(C)c1cnc(CNCCNC(=O)c2ncccc2[O-])o1 ZINC001126130509 738362701 /nfs/dbraw/zinc/36/27/01/738362701.db2.gz CUMHSLWLJBBXJK-UHFFFAOYSA-N -1 1 304.350 1.418 20 0 DDADMM CC(C)C[C@@H](O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692825471 738379049 /nfs/dbraw/zinc/37/90/49/738379049.db2.gz UQDXKZLHUJTASM-MRVPVSSYSA-N -1 1 310.778 1.559 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2Cc2cccc(C)c2)c1[O-] ZINC001038163748 739239113 /nfs/dbraw/zinc/23/91/13/739239113.db2.gz BGZDVCVZKYPCHX-CQSZACIVSA-N -1 1 314.389 1.736 20 0 DDADMM Cc1conc1C[N@H+]1CC[C@@H](CNC(=O)c2ccccc2O)C1 ZINC001028416421 739473473 /nfs/dbraw/zinc/47/34/73/739473473.db2.gz UQRINWXNGNLFEM-ZDUSSCGKSA-N -1 1 315.373 1.941 20 0 DDADMM O=[P@]([O-])(O)C1(Nc2c(F)ccc[n+]2[O-])Cc2ccccc2C1 ZINC001167933744 739721576 /nfs/dbraw/zinc/72/15/76/739721576.db2.gz MZVQBNVGQHAECS-UHFFFAOYSA-N -1 1 324.248 1.544 20 0 DDADMM CC1(C(=O)NCC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001059517976 741091889 /nfs/dbraw/zinc/09/18/89/741091889.db2.gz FUBHGOXZZDAZTK-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM O=C(C1CCC1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088246268 741183908 /nfs/dbraw/zinc/18/39/08/741183908.db2.gz OODPYPSFTFETOX-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001029937498 741610766 /nfs/dbraw/zinc/61/07/66/741610766.db2.gz PFRKBLGHAMFXSY-LLVKDONJSA-N -1 1 309.414 1.227 20 0 DDADMM Cc1ccnc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC001142545657 742151758 /nfs/dbraw/zinc/15/17/58/742151758.db2.gz GLVDVFZEWYCYCP-UHFFFAOYSA-N -1 1 300.347 1.046 20 0 DDADMM C[C@@H](CCNC(=O)CCC1CC1)NC(=O)c1ncccc1[O-] ZINC001075975651 742301037 /nfs/dbraw/zinc/30/10/37/742301037.db2.gz OGQUGGGFBYLQRL-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC12CCC2 ZINC001076247379 742619761 /nfs/dbraw/zinc/61/97/61/742619761.db2.gz LGMWHFXDUSFDOH-NWDGAFQWSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1(CF)CCC1 ZINC001076247628 742619904 /nfs/dbraw/zinc/61/99/04/742619904.db2.gz WRFCCDKENYQUHW-NSHDSACASA-N -1 1 323.368 1.552 20 0 DDADMM CCCC(=O)N1CCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002054822 742846450 /nfs/dbraw/zinc/84/64/50/742846450.db2.gz DESWATICZPZLNL-GFCCVEGCSA-N -1 1 305.378 1.650 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CC2CCN(C(C)=O)CC2)[n-]1 ZINC001180653519 742877755 /nfs/dbraw/zinc/87/77/55/742877755.db2.gz IBKAPEYBBFBIOO-UHFFFAOYSA-N -1 1 322.365 1.174 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CC2CCN(C(C)=O)CC2)n1 ZINC001180653519 742877766 /nfs/dbraw/zinc/87/77/66/742877766.db2.gz IBKAPEYBBFBIOO-UHFFFAOYSA-N -1 1 322.365 1.174 20 0 DDADMM CC[C@H](F)C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002197240 742947426 /nfs/dbraw/zinc/94/74/26/742947426.db2.gz BDDXAVKAALWQIP-KXNHARMFSA-N -1 1 321.352 1.397 20 0 DDADMM O=C(C[C@@H]1C(=O)Nc2ccccc21)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001180957037 742996939 /nfs/dbraw/zinc/99/69/39/742996939.db2.gz JVUVLHHCFADCEJ-QMMMGPOBSA-N -1 1 324.300 1.123 20 0 DDADMM Cc1ccc(C(=O)NCC[C@H](C)NC(=O)c2cnn[nH]2)cc1F ZINC001077345067 743417778 /nfs/dbraw/zinc/41/77/78/743417778.db2.gz OKRSQZNMIFIONF-JTQLQIEISA-N -1 1 319.340 1.191 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)CCOCc1ccccc1 ZINC001183089981 743772683 /nfs/dbraw/zinc/77/26/83/743772683.db2.gz VFJGWAVRHMILFJ-UHFFFAOYSA-N -1 1 304.306 1.015 20 0 DDADMM COCc1[nH]nc2c1CN(C(=O)Cc1ccc([S-])cc1)C2 ZINC001183188716 743792970 /nfs/dbraw/zinc/79/29/70/743792970.db2.gz STSANNZBMZAOAP-UHFFFAOYSA-N -1 1 303.387 1.930 20 0 DDADMM O=C(NC1CN(CC2CC3(CCC3)C2)C1)c1ncccc1[O-] ZINC001030242810 743978515 /nfs/dbraw/zinc/97/85/15/743978515.db2.gz XDPFTFPGVFAWDO-UHFFFAOYSA-N -1 1 301.390 1.782 20 0 DDADMM CC(C)OC(=O)CC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001184447481 744038402 /nfs/dbraw/zinc/03/84/02/744038402.db2.gz SPWLLTRSYLMBOE-UHFFFAOYSA-N -1 1 303.318 1.858 20 0 DDADMM CC(C)(C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O)C1CCOCC1 ZINC001185984722 744316931 /nfs/dbraw/zinc/31/69/31/744316931.db2.gz OLZMDTIKJOEEQL-UHFFFAOYSA-N -1 1 310.310 1.677 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2ccc([O-])c(F)c2)nc1 ZINC001186237549 744361965 /nfs/dbraw/zinc/36/19/65/744361965.db2.gz SHVWJTRSEGKUGY-UHFFFAOYSA-N -1 1 324.333 1.260 20 0 DDADMM COC(=O)c1cn2c(n1)CN(C(=O)c1ccc([O-])cc1F)CC2 ZINC001186326690 744374867 /nfs/dbraw/zinc/37/48/67/744374867.db2.gz GPHWRMTXWGEWQH-UHFFFAOYSA-N -1 1 319.292 1.170 20 0 DDADMM CCC[C@@](C)(CC)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186616110 744421436 /nfs/dbraw/zinc/42/14/36/744421436.db2.gz NZYNROJBZPCKDT-IAQYHMDHSA-N -1 1 309.414 1.417 20 0 DDADMM O=C(NC[C@H](O)C1CCCCC1)c1n[n-]nc1C(F)(F)F ZINC001187770677 744599123 /nfs/dbraw/zinc/59/91/23/744599123.db2.gz QFJKGKYWNCXHAT-QMMMGPOBSA-N -1 1 306.288 1.495 20 0 DDADMM O=S(=O)([N-]Cc1ccccc1-n1cccn1)c1nccs1 ZINC001187912820 744629191 /nfs/dbraw/zinc/62/91/91/744629191.db2.gz PGMYOTSHRMKCOB-UHFFFAOYSA-N -1 1 320.399 1.807 20 0 DDADMM CC1(C)C[C@H]([N-]S(=O)(=O)c2nccs2)C(C)(C)N1O ZINC001187917721 744631680 /nfs/dbraw/zinc/63/16/80/744631680.db2.gz GTSRQFBKGSPWEO-QMMMGPOBSA-N -1 1 305.425 1.442 20 0 DDADMM CC1(C)C[C@@H]([N-]S(=O)(=O)c2nccs2)C(C)(C)N1O ZINC001187917720 744631687 /nfs/dbraw/zinc/63/16/87/744631687.db2.gz GTSRQFBKGSPWEO-MRVPVSSYSA-N -1 1 305.425 1.442 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NS(=O)(=O)c1ccoc1 ZINC001188576912 744729977 /nfs/dbraw/zinc/72/99/77/744729977.db2.gz RMOSGLOZCHOLKV-UHFFFAOYSA-N -1 1 302.293 1.263 20 0 DDADMM COC(C)(C[N-]S(=O)(=O)Cc1cc(F)ccc1F)OC ZINC001189710046 744960631 /nfs/dbraw/zinc/96/06/31/744960631.db2.gz LCYJLOWHNKMQSS-UHFFFAOYSA-N -1 1 309.334 1.393 20 0 DDADMM CC1(C)CC(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)CC(C)(C)C1 ZINC001122033405 745055294 /nfs/dbraw/zinc/05/52/94/745055294.db2.gz VEOCLXHMYPWKSD-MRXNPFEDSA-N -1 1 312.410 1.151 20 0 DDADMM COc1ccc(C(=O)Nc2cc(=O)[n-]c(SC)n2)c(OC)n1 ZINC001190241765 745158902 /nfs/dbraw/zinc/15/89/02/745158902.db2.gz UIVSYTVYKILMAZ-UHFFFAOYSA-N -1 1 322.346 1.569 20 0 DDADMM Nc1cc[nH]c(=O)c1NC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190260897 745165575 /nfs/dbraw/zinc/16/55/75/745165575.db2.gz NYVZKADTHKPNRW-UHFFFAOYSA-N -1 1 323.312 1.784 20 0 DDADMM COC(=O)c1ccc(C(=O)Nc2ccc3[nH][n-]c(=O)c3c2)nc1 ZINC001190317894 745175457 /nfs/dbraw/zinc/17/54/57/745175457.db2.gz RFRZPKFVJGFEHJ-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM CC(C)N1CC[C@H]([N-]S(=O)(=O)c2cc(F)cc(F)c2F)C1 ZINC001190403502 745201690 /nfs/dbraw/zinc/20/16/90/745201690.db2.gz JBVPQQODTBMHFR-JTQLQIEISA-N -1 1 322.352 1.865 20 0 DDADMM Cc1nocc1CNC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190631602 745279734 /nfs/dbraw/zinc/27/97/34/745279734.db2.gz AHIDAENPNYZJIT-UHFFFAOYSA-N -1 1 311.301 1.471 20 0 DDADMM Cc1cnc(NS(=O)(=O)c2ncc[n-]2)c(Br)c1 ZINC001190681676 745294847 /nfs/dbraw/zinc/29/48/47/745294847.db2.gz MEICWKZAWWLQND-UHFFFAOYSA-N -1 1 317.168 1.676 20 0 DDADMM Cc1cc(C(=O)NCc2nn[n-]n2)c(F)cc1C(F)(F)F ZINC001190778718 745328243 /nfs/dbraw/zinc/32/82/43/745328243.db2.gz JZTJCJZXWZXGLM-UHFFFAOYSA-N -1 1 303.219 1.596 20 0 DDADMM COC(=O)Cc1cccc([N-]S(=O)(=O)c2ccncc2)c1 ZINC001190893435 745369928 /nfs/dbraw/zinc/36/99/28/745369928.db2.gz KACKQBAZMHWFRM-UHFFFAOYSA-N -1 1 306.343 1.598 20 0 DDADMM CCOC(=O)Cc1ccc([N-]S(=O)(=O)c2ccncc2)cc1 ZINC001190893786 745370353 /nfs/dbraw/zinc/37/03/53/745370353.db2.gz QCFYGZHBFRDYQR-UHFFFAOYSA-N -1 1 320.370 1.988 20 0 DDADMM COC(=O)CCc1ccc([N-]S(=O)(=O)c2ccncc2)cc1 ZINC001190896816 745370689 /nfs/dbraw/zinc/37/06/89/745370689.db2.gz STDVDSXXUZHVPF-UHFFFAOYSA-N -1 1 320.370 1.988 20 0 DDADMM COc1cc(C(=O)Nc2c(N)cc[nH]c2=O)cc(Cl)c1[O-] ZINC001191139625 745443188 /nfs/dbraw/zinc/44/31/88/745443188.db2.gz VMKSZSSYVPHKHB-UHFFFAOYSA-N -1 1 309.709 1.989 20 0 DDADMM CSc1ncc(C(=O)Nc2nnc(C(C)(C)C)o2)c(=O)[n-]1 ZINC001191419818 745506589 /nfs/dbraw/zinc/50/65/89/745506589.db2.gz DSNLHKGMVNXITG-UHFFFAOYSA-N -1 1 309.351 1.837 20 0 DDADMM COC(=O)[C@@H]1C[C@H]1C[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192053644 745685694 /nfs/dbraw/zinc/68/56/94/745685694.db2.gz ADACKGJBCIFVTE-CRCLSJGQSA-N -1 1 310.586 1.043 20 0 DDADMM Cc1coc(C[N-]S(=O)(=O)c2cnc(Cl)cc2C)n1 ZINC001192309050 745749977 /nfs/dbraw/zinc/74/99/77/745749977.db2.gz SSFSMBCAEKHQTP-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM C[C@@H](O)c1ccc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001192350609 745763596 /nfs/dbraw/zinc/76/35/96/745763596.db2.gz PPCUPVMIXJTLGT-ZCFIWIBFSA-N -1 1 304.262 1.591 20 0 DDADMM COc1ccccc1CN1CC[C@H](NC(=O)c2cc(=O)[nH][n-]2)C1 ZINC001193475128 746114462 /nfs/dbraw/zinc/11/44/62/746114462.db2.gz RRRSDWNSXJWDBJ-LBPRGKRZSA-N -1 1 316.361 1.128 20 0 DDADMM COc1ccnc(C(=O)Nc2sc(C)c(C)c2C(N)=O)c1[O-] ZINC001193520815 746132538 /nfs/dbraw/zinc/13/25/38/746132538.db2.gz UCNSXUMKPNMMBD-UHFFFAOYSA-N -1 1 321.358 1.825 20 0 DDADMM CC(C)COC(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001193870361 746221776 /nfs/dbraw/zinc/22/17/76/746221776.db2.gz FXONHLMLBFPCMT-UHFFFAOYSA-N -1 1 317.130 1.473 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccc3[nH]nc(Cl)c3c2)[n-]n1 ZINC001194288426 746343945 /nfs/dbraw/zinc/34/39/45/746343945.db2.gz BXLDSKFWRSOZAV-UHFFFAOYSA-N -1 1 319.708 1.978 20 0 DDADMM CSc1nc(NC(=O)c2c[nH]c(=O)cc2Cl)cc(=O)[n-]1 ZINC001194996700 746507860 /nfs/dbraw/zinc/50/78/60/746507860.db2.gz NMUDKCXYGSDATC-UHFFFAOYSA-N -1 1 312.738 1.910 20 0 DDADMM CCOC(=O)c1cccc(NC(=O)c2nc(C)ccc2[O-])n1 ZINC001195318839 746576411 /nfs/dbraw/zinc/57/64/11/746576411.db2.gz OPIOZCIXGQDLQP-UHFFFAOYSA-N -1 1 301.302 1.920 20 0 DDADMM C[C@@H](CSC(F)(F)F)C(=O)NCc1n[n-]c(=S)n1C ZINC000080026440 746651980 /nfs/dbraw/zinc/65/19/80/746651980.db2.gz JXFLMSVFPXWQJL-YFKPBYRVSA-N -1 1 314.358 1.983 20 0 DDADMM Cn1cc2cccc(NC(=O)c3c[nH]c(=S)[n-]c3=O)c2n1 ZINC001196021077 746759355 /nfs/dbraw/zinc/75/93/55/746759355.db2.gz NVPRSXKFPZQIIC-UHFFFAOYSA-N -1 1 301.331 1.610 20 0 DDADMM O=C(NCc1cc2ccncc2[nH]1)c1c[nH]c(=S)[n-]c1=O ZINC001196026702 746765759 /nfs/dbraw/zinc/76/57/59/746765759.db2.gz CIISECBAOLIIIJ-UHFFFAOYSA-N -1 1 301.331 1.277 20 0 DDADMM O=C(N[C@H](c1ncccc1F)C1CC1)c1c[nH]c(=S)[n-]c1=O ZINC001196027225 746765815 /nfs/dbraw/zinc/76/58/15/746765815.db2.gz VQAUTOPWDHSIDM-JTQLQIEISA-N -1 1 320.349 1.886 20 0 DDADMM CC1(c2ccc(C(=O)Nc3c(N)[nH]c(=O)[n-]c3=O)cc2)COC1 ZINC001196205474 746796601 /nfs/dbraw/zinc/79/66/01/746796601.db2.gz CSGPZXYOHYYIAG-UHFFFAOYSA-N -1 1 316.317 1.010 20 0 DDADMM O=C(C=C1CCCCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998695416 752141840 /nfs/dbraw/zinc/14/18/40/752141840.db2.gz VIODPFXISUAPCS-CYBMUJFWSA-N -1 1 319.409 1.481 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnc(C(=O)OC)c2)n1 ZINC001197036955 747045012 /nfs/dbraw/zinc/04/50/12/747045012.db2.gz BLORWMJUSDFVEI-UHFFFAOYSA-N -1 1 318.289 1.020 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cncc(OCC)n2)n1 ZINC001197975707 747330166 /nfs/dbraw/zinc/33/01/66/747330166.db2.gz IZOMUGSAGGQRLW-UHFFFAOYSA-N -1 1 305.294 1.027 20 0 DDADMM CCn1cccc([N-]S(=O)(=O)c2cccc(Cl)n2)c1=O ZINC001198255254 747430317 /nfs/dbraw/zinc/43/03/17/747430317.db2.gz UFJLLENMDUMGCN-UHFFFAOYSA-N -1 1 313.766 1.717 20 0 DDADMM CCn1cc([N-]S(=O)(=O)c2cccc(Cl)n2)ccc1=O ZINC001198259586 747432458 /nfs/dbraw/zinc/43/24/58/747432458.db2.gz GKFIORMLZMNHRE-UHFFFAOYSA-N -1 1 313.766 1.717 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(-n3cncn3)cc2)cn1 ZINC001198305939 747433108 /nfs/dbraw/zinc/43/31/08/747433108.db2.gz ZOHGIJAQJORUDX-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM C[N@@H+](C1CC1)[C@@H]1CCN(S(=O)(=O)c2ccccc2C(=O)[O-])C1 ZINC001198768885 747602848 /nfs/dbraw/zinc/60/28/48/747602848.db2.gz SPGJUQWWZYRJHE-GFCCVEGCSA-N -1 1 324.402 1.242 20 0 DDADMM CN(C1CC1)[C@@H]1CCN(S(=O)(=O)c2ccccc2C(=O)[O-])C1 ZINC001198768885 747602853 /nfs/dbraw/zinc/60/28/53/747602853.db2.gz SPGJUQWWZYRJHE-GFCCVEGCSA-N -1 1 324.402 1.242 20 0 DDADMM COc1c(O)cccc1NS(=O)(=O)c1ccccc1C(=O)[O-] ZINC001198792802 747612615 /nfs/dbraw/zinc/61/26/15/747612615.db2.gz UYIXPWKKBQABJV-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM Cc1ccnc(N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001061343525 747676436 /nfs/dbraw/zinc/67/64/36/747676436.db2.gz KRSHZHCIHIVSBJ-GFCCVEGCSA-N -1 1 313.361 1.284 20 0 DDADMM O=C(Nc1cncnc1Br)c1ccc([O-])c(=O)[nH]1 ZINC001199197621 747737773 /nfs/dbraw/zinc/73/77/73/747737773.db2.gz VYAXWKPTKJUZSL-UHFFFAOYSA-N -1 1 311.095 1.298 20 0 DDADMM CSc1nc(NC(=O)c2cccc3ncnn32)cc(=O)[n-]1 ZINC001152880258 748503577 /nfs/dbraw/zinc/50/35/77/748503577.db2.gz IWRLQBLBXKZIPP-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]c1c[nH]c(=O)[nH]c1=O ZINC001201733517 748602645 /nfs/dbraw/zinc/60/26/45/748602645.db2.gz UUNZWIONFZKOGA-UHFFFAOYSA-N -1 1 322.755 1.107 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cn(CC(C)C)cn1 ZINC001201906283 748636119 /nfs/dbraw/zinc/63/61/19/748636119.db2.gz QWCWTSNKZZVHPZ-UHFFFAOYSA-N -1 1 303.384 1.234 20 0 DDADMM C[C@H]1CCN(C(=O)C2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004766089 748763860 /nfs/dbraw/zinc/76/38/60/748763860.db2.gz GHYLWMWPLIWWPU-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H]1CCN(C(=O)C(F)F)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004766541 748765347 /nfs/dbraw/zinc/76/53/47/748765347.db2.gz HRGRKZDZVAXTQL-IUCAKERBSA-N -1 1 313.304 1.019 20 0 DDADMM COc1ccc(NC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001202400024 748780077 /nfs/dbraw/zinc/78/00/77/748780077.db2.gz OUXAILSOPRZIHM-UHFFFAOYSA-N -1 1 316.277 1.368 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)CC1CCC1 ZINC001004800870 748808324 /nfs/dbraw/zinc/80/83/24/748808324.db2.gz PTNVJTOCYLZRRA-AAEUAGOBSA-N -1 1 321.425 1.560 20 0 DDADMM CC(C)(C)NC(=O)NC1([P@](=O)([O-])O)Cc2ccccc2C1 ZINC001202484937 748850891 /nfs/dbraw/zinc/85/08/91/748850891.db2.gz BJJGXJAAIKMVEM-UHFFFAOYSA-N -1 1 312.306 1.757 20 0 DDADMM CCc1nc(C)cc(NC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108323032 761933214 /nfs/dbraw/zinc/93/32/14/761933214.db2.gz HAUMVPLBTBLPMY-NSHDSACASA-N -1 1 315.377 1.678 20 0 DDADMM O=C(NC/C=C/CNc1cccc(F)n1)c1ncccc1[O-] ZINC001107139791 749351633 /nfs/dbraw/zinc/35/16/33/749351633.db2.gz RQDPANBFRRDMIN-OWOJBTEDSA-N -1 1 302.309 1.719 20 0 DDADMM CC1(C(=O)N2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2ncccc2[O-])C3)CC1 ZINC000996160340 749411021 /nfs/dbraw/zinc/41/10/21/749411021.db2.gz WNZMUZVYEBUTOX-WOPDTQHZSA-N -1 1 315.373 1.449 20 0 DDADMM C[C@H]1CCC[C@H](C[N-]S(=O)(=O)c2ncn(C)c2Cl)O1 ZINC000688952266 750621341 /nfs/dbraw/zinc/62/13/41/750621341.db2.gz GMKIDESLOLHUHX-DTWKUNHWSA-N -1 1 307.803 1.309 20 0 DDADMM C[C@@H]1CCC[C@@H](C[N-]S(=O)(=O)c2ncn(C)c2Cl)O1 ZINC000688952265 750621947 /nfs/dbraw/zinc/62/19/47/750621947.db2.gz GMKIDESLOLHUHX-BDAKNGLRSA-N -1 1 307.803 1.309 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001034856726 750945905 /nfs/dbraw/zinc/94/59/05/750945905.db2.gz RZGXQSNIUUUJKC-LLVKDONJSA-N -1 1 319.365 1.115 20 0 DDADMM Cc1cc(CN[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)no1 ZINC000998993016 752429706 /nfs/dbraw/zinc/42/97/06/752429706.db2.gz CIMOJDGRIHRUQP-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM CCC(CC)C(=O)N1CCC[C@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036511702 752498932 /nfs/dbraw/zinc/49/89/32/752498932.db2.gz UWJQZUPVCSCOEA-WCQYABFASA-N -1 1 323.441 1.663 20 0 DDADMM CC(C)(F)C(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062150103 752794073 /nfs/dbraw/zinc/79/40/73/752794073.db2.gz VINPQRNXXKKTLQ-LLVKDONJSA-N -1 1 323.368 1.646 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@@H]2C(CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008970645 753013732 /nfs/dbraw/zinc/01/37/32/753013732.db2.gz AGQPQDYRIXMLNA-HJFVSIQZSA-N -1 1 315.373 1.188 20 0 DDADMM O=C(CC1CCC1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036678712 753278836 /nfs/dbraw/zinc/27/88/36/753278836.db2.gz VKJCSYJVZXSXCG-OLZOCXBDSA-N -1 1 319.409 1.123 20 0 DDADMM CC1(C)CC(CC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000999989962 753300350 /nfs/dbraw/zinc/30/03/50/753300350.db2.gz HMZXEORAIUQANN-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CC2CC2)C1 ZINC001005947576 753407527 /nfs/dbraw/zinc/40/75/27/753407527.db2.gz AIGXFNPRWPPTHY-JTQLQIEISA-N -1 1 303.362 1.164 20 0 DDADMM COC[C@H](C)CC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001078155371 753799969 /nfs/dbraw/zinc/79/99/69/753799969.db2.gz PPSFLNNRYIWZJW-NEPJUHHUSA-N -1 1 323.393 1.084 20 0 DDADMM Cc1nnc([C@H](C)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001010731407 754129270 /nfs/dbraw/zinc/12/92/70/754129270.db2.gz JDIRLSJUEDJEJO-GXSJLCMTSA-N -1 1 317.349 1.044 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)cn1 ZINC000094983451 754524939 /nfs/dbraw/zinc/52/49/39/754524939.db2.gz WVGXKSVZHRNARE-UHFFFAOYSA-N -1 1 309.347 1.569 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001001849309 754538602 /nfs/dbraw/zinc/53/86/02/754538602.db2.gz CBSICCYOZZGKEG-DGCLKSJQSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1Nc1cnc(F)cn1 ZINC001067075896 755697375 /nfs/dbraw/zinc/69/73/75/755697375.db2.gz MXBTUUFWDYQBIF-VHSXEESVSA-N -1 1 317.324 1.289 20 0 DDADMM CCN(C(=O)C(C)(C)CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080391383 755878152 /nfs/dbraw/zinc/87/81/52/755878152.db2.gz ZZPHLIILBRXRRE-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2C(C)(C)C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082638005 756872595 /nfs/dbraw/zinc/87/25/95/756872595.db2.gz QDHOUEZMPHXDKD-DDHJBXDOSA-N -1 1 321.425 1.129 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2CCCC2(C)C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082691964 756892013 /nfs/dbraw/zinc/89/20/13/756892013.db2.gz BRTQSCDPDAYNOC-GRYCIOLGSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(c2cccc(F)n2)C1 ZINC001065245074 758413805 /nfs/dbraw/zinc/41/38/05/758413805.db2.gz KYIJEEPMBLQFPC-MRXNPFEDSA-N -1 1 316.336 1.720 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(C)(C)F ZINC001018099951 758546849 /nfs/dbraw/zinc/54/68/49/758546849.db2.gz NVILWFZUNJRWQO-WDEREUQCSA-N -1 1 323.368 1.645 20 0 DDADMM CCCCN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784504 758810416 /nfs/dbraw/zinc/81/04/16/758810416.db2.gz POQGISDFSWBXMY-LBPRGKRZSA-N -1 1 305.378 1.160 20 0 DDADMM C[C@H](C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001085241411 759191063 /nfs/dbraw/zinc/19/10/63/759191063.db2.gz WHAHIYOUFDLAJV-CYZMBNFOSA-N -1 1 321.425 1.464 20 0 DDADMM CC(C)(C)S(=O)(=O)CC(=O)Nc1cc(F)cc(F)c1[O-] ZINC000826560572 759291848 /nfs/dbraw/zinc/29/18/48/759291848.db2.gz GDIDZGIQLGDQMR-UHFFFAOYSA-N -1 1 307.318 1.822 20 0 DDADMM Cn1ncc(C2CCC2)c1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000828209975 759540982 /nfs/dbraw/zinc/54/09/82/759540982.db2.gz JZKUBUMRFNLGIG-UHFFFAOYSA-N -1 1 315.381 1.221 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C[C@H]2CCN2C[C@@H]2CCCCO2)c1[O-] ZINC001085544889 759666801 /nfs/dbraw/zinc/66/68/01/759666801.db2.gz ZMISNZPWANAFOS-OLZOCXBDSA-N -1 1 322.409 1.139 20 0 DDADMM CN(C[C@@H]1CCN1Cc1ccon1)C(=O)c1ncccc1[O-] ZINC001085561953 759699242 /nfs/dbraw/zinc/69/92/42/759699242.db2.gz ZRGNQSSYKYZCGT-LBPRGKRZSA-N -1 1 302.334 1.122 20 0 DDADMM Cc1cnc(CN[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001020098361 760551690 /nfs/dbraw/zinc/55/16/90/760551690.db2.gz JCQWBMOEUJARQG-XYPYZODXSA-N -1 1 302.334 1.134 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2ncc(Cl)cn2)CC1 ZINC001055777305 760716765 /nfs/dbraw/zinc/71/67/65/760716765.db2.gz RYMDGUHHQVIVBN-UHFFFAOYSA-N -1 1 319.752 1.193 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001067254560 761056514 /nfs/dbraw/zinc/05/65/14/761056514.db2.gz CHERKQPUAUWFBC-CHWSQXEVSA-N -1 1 319.405 1.754 20 0 DDADMM O=C([C@@H]1C[C@H]1C1CCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000432341 761737475 /nfs/dbraw/zinc/73/74/75/761737475.db2.gz MVKAYTPEDDZWQG-RWMBFGLXSA-N -1 1 319.409 1.027 20 0 DDADMM CC[C@H](F)C[N@@H+]1CC[C@]2(CCN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC001041206947 762881339 /nfs/dbraw/zinc/88/13/39/762881339.db2.gz HNOGKRNTANAAEC-LRDDRELGSA-N -1 1 324.400 1.710 20 0 DDADMM Cc1cc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)co1 ZINC001001542173 762947269 /nfs/dbraw/zinc/94/72/69/762947269.db2.gz SVIZDJMCHQKSQS-UHFFFAOYSA-N -1 1 315.329 1.191 20 0 DDADMM O=C(NCC1CN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)C1)c1ncccc1[O-] ZINC001001551674 762953310 /nfs/dbraw/zinc/95/33/10/762953310.db2.gz MCJUUWZWGOUOIY-ITGUQSILSA-N -1 1 315.373 1.022 20 0 DDADMM Cc1ccc(N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C)nn1 ZINC001050206405 763321181 /nfs/dbraw/zinc/32/11/81/763321181.db2.gz NTURMNGLUQLTAP-NEPJUHHUSA-N -1 1 313.361 1.283 20 0 DDADMM Cc1ccnc(NC[C@H](NC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001109822044 764106816 /nfs/dbraw/zinc/10/68/16/764106816.db2.gz VHYYFKZYEYOYJJ-LBPRGKRZSA-N -1 1 313.361 1.506 20 0 DDADMM O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)C1CCCC1 ZINC001047008040 768189181 /nfs/dbraw/zinc/18/91/81/768189181.db2.gz XCBUXFZOAVTTNM-DRZSPHRISA-N -1 1 319.409 1.170 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(CCC[C@@H]2CCOC2)C1 ZINC001042645912 764308477 /nfs/dbraw/zinc/30/84/77/764308477.db2.gz GUUXZSGIWRQYEH-CYBMUJFWSA-N -1 1 319.405 1.360 20 0 DDADMM O=C(N[C@@H]1CCCN(Cc2ccon2)CC1)c1ncccc1[O-] ZINC001052330210 765725204 /nfs/dbraw/zinc/72/52/04/765725204.db2.gz PGYAHVOJZPVATG-GFCCVEGCSA-N -1 1 316.361 1.560 20 0 DDADMM CCC(=O)N1CCC[C@H](C2CCN(Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001047217020 768321964 /nfs/dbraw/zinc/32/19/64/768321964.db2.gz VAHKHEWIGCELSK-ZDUSSCGKSA-N -1 1 321.425 1.371 20 0 DDADMM O=C([C@@H]1CCCC12CC2)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045091066 766089470 /nfs/dbraw/zinc/08/94/70/766089470.db2.gz PADPTBZUGLUHNU-RYUDHWBXSA-N -1 1 319.409 1.171 20 0 DDADMM O=C(N[C@H]1CCN(c2ncc(Cl)cn2)C1)c1ncccc1[O-] ZINC001058344111 766437641 /nfs/dbraw/zinc/43/76/41/766437641.db2.gz RESNOKJLSRHXRJ-JTQLQIEISA-N -1 1 319.752 1.239 20 0 DDADMM CCCC[C@@H](CNc1ncccn1)NC(=O)c1ncccc1[O-] ZINC001114241128 766807964 /nfs/dbraw/zinc/80/79/64/766807964.db2.gz MSELAPPIZZRKGT-LBPRGKRZSA-N -1 1 315.377 1.978 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)/C=C\c3cccnc3C)nc2n1 ZINC001129409454 766871306 /nfs/dbraw/zinc/87/13/06/766871306.db2.gz TXEUHMQZLXOBJU-WAYWQWQTSA-N -1 1 310.317 1.081 20 0 DDADMM O=C(CCc1cccc(Cl)c1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001131990912 768543448 /nfs/dbraw/zinc/54/34/48/768543448.db2.gz HIROPLGEQOJDRT-UHFFFAOYSA-N -1 1 323.784 1.002 20 0 DDADMM O=C(CC/C=C\c1ccccc1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001133699920 770168935 /nfs/dbraw/zinc/16/89/35/770168935.db2.gz AQTUMVGRXJSVAB-YWEYNIOJSA-N -1 1 315.377 1.210 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CC1(C)CC1 ZINC001071952355 770336367 /nfs/dbraw/zinc/33/63/67/770336367.db2.gz LBSSYNZFOJXMAE-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM COCCOc1ccccc1NC(=O)CCCc1nn[n-]n1 ZINC001136386108 771950346 /nfs/dbraw/zinc/95/03/46/771950346.db2.gz ULQYGAXXLRDVMO-UHFFFAOYSA-N -1 1 305.338 1.186 20 0 DDADMM COC(=O)[C@@](C)(NC(=O)c1cc(C)cc(C=O)c1[O-])C1CC1 ZINC001136858388 772175750 /nfs/dbraw/zinc/17/57/50/772175750.db2.gz PSHPKHDFFXFIMM-INIZCTEOSA-N -1 1 305.330 1.585 20 0 DDADMM O=C(/C(F)=C\C1CCCCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001144255149 772459267 /nfs/dbraw/zinc/45/92/67/772459267.db2.gz PQZLJAYRXKCPBV-OBIHZWKSSA-N -1 1 309.345 1.533 20 0 DDADMM C[C@@H]1CN(C2CN(Cc3cc(F)c([O-])cc3F)C2)C[C@H](C)O1 ZINC001144663247 772589902 /nfs/dbraw/zinc/58/99/02/772589902.db2.gz APMKLKLUPQEESI-PHIMTYICSA-N -1 1 312.360 1.964 20 0 DDADMM [O-]c1cc(F)c(CN2Cc3ccnn3CC[C@H]2CO)cc1F ZINC001144663792 772590391 /nfs/dbraw/zinc/59/03/91/772590391.db2.gz JHTLLIYXBJREBW-LBPRGKRZSA-N -1 1 309.316 1.634 20 0 DDADMM COC[C@@H]1Cn2nccc2CN(Cc2sccc2C(=O)[O-])C1 ZINC001144670802 772590891 /nfs/dbraw/zinc/59/08/91/772590891.db2.gz QSIYZEVQMCTQSY-NSHDSACASA-N -1 1 321.402 1.921 20 0 DDADMM COC(=O)[C@H]1CCCC[C@H]1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001144798913 772625241 /nfs/dbraw/zinc/62/52/41/772625241.db2.gz MOPSDMVBHNOZDK-RQJHMYQMSA-N -1 1 320.271 1.741 20 0 DDADMM CCOC(=O)[C@@H]1CCCCN1C(=O)c1c(CO)cnc(C)c1[O-] ZINC001147837939 773264511 /nfs/dbraw/zinc/26/45/11/773264511.db2.gz GJNLJSUWWPZFRP-LBPRGKRZSA-N -1 1 322.361 1.146 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@@](C)(CO)c2ccccc2)c1[O-] ZINC001147843194 773266087 /nfs/dbraw/zinc/26/60/87/773266087.db2.gz ADZWNDZBAZGGET-KRWDZBQOSA-N -1 1 316.357 1.225 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@@H]2CCCC(F)(F)C2)c1[O-] ZINC001147844117 773267259 /nfs/dbraw/zinc/26/72/59/773267259.db2.gz LHBULJOKHSDWAQ-SNVBAGLBSA-N -1 1 300.305 1.896 20 0 DDADMM CCOc1cccc(CNC(=O)c2c(CO)cnc(C)c2[O-])n1 ZINC001147848635 773268791 /nfs/dbraw/zinc/26/87/91/773268791.db2.gz OZNDABMYKOUKEP-UHFFFAOYSA-N -1 1 317.345 1.312 20 0 DDADMM COc1cccc(C(=O)NCCCC[P@](=O)([O-])O)c1O ZINC001148207698 773390955 /nfs/dbraw/zinc/39/09/55/773390955.db2.gz MLIOAVRLWGDWSO-UHFFFAOYSA-N -1 1 303.251 1.089 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N[C@@H](CO)CC(C)C)cc2)[n-]1 ZINC001148338400 773441433 /nfs/dbraw/zinc/44/14/33/773441433.db2.gz YYDQYFWFHBAARM-CQSZACIVSA-N -1 1 317.389 1.936 20 0 DDADMM C[C@@H](C(=O)N[N-]C(=O)c1cc(-c2ccccc2)[nH]n1)n1ccnc1 ZINC000044983498 773486240 /nfs/dbraw/zinc/48/62/40/773486240.db2.gz JCOMHFVDFMZJHI-NSHDSACASA-N -1 1 324.344 1.295 20 0 DDADMM O=C(NCCNc1nc2cc(F)ccc2o1)c1ncccc1[O-] ZINC001093562690 774889960 /nfs/dbraw/zinc/88/99/60/774889960.db2.gz BSCZUCXPUBTIAE-UHFFFAOYSA-N -1 1 316.292 1.909 20 0 DDADMM COc1nc(C)cc(NCCCNC(=O)c2ncccc2[O-])n1 ZINC001094375654 775820596 /nfs/dbraw/zinc/82/05/96/775820596.db2.gz JWAYUIFGKSJPKL-UHFFFAOYSA-N -1 1 317.349 1.126 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])c1ccnc(C)n1 ZINC001101125543 776926355 /nfs/dbraw/zinc/92/63/55/776926355.db2.gz DJCJKXHNTVMFMP-UHFFFAOYSA-N -1 1 315.377 1.532 20 0 DDADMM COC(=O)c1ccc(C[C@H](C)[NH2+]CCP(=O)([O-])[O-])cc1 ZINC001173715369 777321722 /nfs/dbraw/zinc/32/17/22/777321722.db2.gz ONNBIIILUNGHPS-JTQLQIEISA-N -1 1 301.279 1.172 20 0 DDADMM CN(C)c1nc(Nc2cnn([C@H]3CCCCO3)c2)cc(=O)[n-]1 ZINC001174598678 777536620 /nfs/dbraw/zinc/53/66/20/777536620.db2.gz MJHVWHJYOUNXEB-CYBMUJFWSA-N -1 1 304.354 1.887 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc2cc(Cl)cnc2n1 ZINC001174950367 777645633 /nfs/dbraw/zinc/64/56/33/777645633.db2.gz OYRQKMSAFAPCBS-UHFFFAOYSA-N -1 1 317.740 1.758 20 0 DDADMM Cc1ccc2n[nH]cc2c1[N-]S(=O)(=O)c1ccc(N)c(N)c1 ZINC001175526805 777825194 /nfs/dbraw/zinc/82/51/94/777825194.db2.gz VDNXOLBHIRFMMJ-UHFFFAOYSA-N -1 1 317.374 1.837 20 0 DDADMM C[C@H](C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C(C)(C)C ZINC001102091991 777870250 /nfs/dbraw/zinc/87/02/50/777870250.db2.gz CNBVPOJHWLBQSN-IJLUTSLNSA-N -1 1 323.441 1.377 20 0 DDADMM COCCOc1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1 ZINC001175786461 777911079 /nfs/dbraw/zinc/91/10/79/777911079.db2.gz SESPVOBHCCZRMA-UHFFFAOYSA-N -1 1 308.363 1.822 20 0 DDADMM Cn1cnc([N-]c2ccc(S(C)(=O)=O)cc2C(F)(F)F)n1 ZINC001176403301 778146217 /nfs/dbraw/zinc/14/62/17/778146217.db2.gz GZYOIHHIQBPHHK-UHFFFAOYSA-N -1 1 320.296 1.981 20 0 DDADMM COc1cccc([C@@H](NC(=O)Cc2nn[n-]n2)c2ccccc2)c1 ZINC001176846930 778300925 /nfs/dbraw/zinc/30/09/25/778300925.db2.gz BGPVNFUUACOVJB-KRWDZBQOSA-N -1 1 323.356 1.657 20 0 DDADMM Cc1n[nH]c(C)c1CCC(=O)NCCCC[P@](=O)([O-])O ZINC001177041615 778404240 /nfs/dbraw/zinc/40/42/40/778404240.db2.gz WJWGTBXGLFADRN-UHFFFAOYSA-N -1 1 303.299 1.033 20 0 DDADMM CSc1nc(NC(=O)Cc2cncc(Cl)n2)cc(=O)[n-]1 ZINC001177226793 778495776 /nfs/dbraw/zinc/49/57/76/778495776.db2.gz QHARZEGHURPOFC-UHFFFAOYSA-N -1 1 311.754 1.529 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1nc(C)ns1 ZINC001103069551 778617754 /nfs/dbraw/zinc/61/77/54/778617754.db2.gz WDXRFSWSCHERHR-VIFPVBQESA-N -1 1 307.379 1.568 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)C(C)(C)C ZINC001103665511 778986001 /nfs/dbraw/zinc/98/60/01/778986001.db2.gz GWWYTWAIWVMTEH-GHMZBOCLSA-N -1 1 309.414 1.131 20 0 DDADMM C[C@@H]1CN(C(=O)CC2CCC2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104037316 779261838 /nfs/dbraw/zinc/26/18/38/779261838.db2.gz QEYMTMMGLROJHD-DGCLKSJQSA-N -1 1 321.425 1.275 20 0 DDADMM COc1ccccc1C=CC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001180528841 779801789 /nfs/dbraw/zinc/80/17/89/779801789.db2.gz UHULFXCTTROBIW-VOTSOKGWSA-N -1 1 316.273 1.946 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nnc(-c3cccc(C)n3)o2)co1 ZINC001117286030 780706131 /nfs/dbraw/zinc/70/61/31/780706131.db2.gz TZSKKGSAQCPPNG-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM C[C@H](CSCCNCc1cn(-c2ccccc2)nn1)C(=O)[O-] ZINC001118194819 781059349 /nfs/dbraw/zinc/05/93/49/781059349.db2.gz CQDRLMUEAASUDM-GFCCVEGCSA-N -1 1 320.418 1.811 20 0 DDADMM CN(C(=O)c1coc(/C=C/C(=O)[O-])c1)[C@H]1CN2CCC1CC2 ZINC001119539639 781500916 /nfs/dbraw/zinc/50/09/16/781500916.db2.gz VIKGSEKNEREBQJ-HSWBROFVSA-N -1 1 304.346 1.544 20 0 DDADMM CCc1cnc(CNCCN(C)C(=O)c2ncccc2[O-])o1 ZINC001266681864 836659500 /nfs/dbraw/zinc/65/95/00/836659500.db2.gz FZCZAZBRTQFNCB-UHFFFAOYSA-N -1 1 304.350 1.199 20 0 DDADMM CCCCCC(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001266860530 836954551 /nfs/dbraw/zinc/95/45/51/836954551.db2.gz GTJWFKVZNBRYSF-LBPRGKRZSA-N -1 1 309.414 1.561 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])C(=O)c1ccc[nH]1 ZINC001408864947 839242448 /nfs/dbraw/zinc/24/24/48/839242448.db2.gz PRZXXDVDJUARPJ-UHFFFAOYSA-N -1 1 316.361 1.398 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-][C@@H](C)c1nnc(C)o1 ZINC001414231466 844512440 /nfs/dbraw/zinc/51/24/40/844512440.db2.gz RNAAABAIZLXCCR-WPRPVWTQSA-N -1 1 319.383 1.090 20 0 DDADMM CC(C)(C)NC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC001269346694 841543261 /nfs/dbraw/zinc/54/32/61/841543261.db2.gz UQEQRYNHGZBYAB-MGPLVRAMSA-N -1 1 303.362 1.307 20 0 DDADMM NC(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)Cc1ccc([O-])c(Cl)c1)C2 ZINC001269509224 841714015 /nfs/dbraw/zinc/71/40/15/841714015.db2.gz IWONESZKFNAULE-QHBPRCKVSA-N -1 1 322.792 1.558 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CN(C(=O)c1cc(Cl)ccc1[O-])C2 ZINC001269576408 841783076 /nfs/dbraw/zinc/78/30/76/841783076.db2.gz KUCIRCDZBRJLMK-NSHDSACASA-N -1 1 308.765 1.596 20 0 DDADMM CCC[C@H](C)C(=O)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001269711516 841962691 /nfs/dbraw/zinc/96/26/91/841962691.db2.gz KUAFQOHKNOHQCF-STQMWFEESA-N -1 1 323.441 1.665 20 0 DDADMM Cc1cc2[nH]nc(NC(=O)c3ccc4[nH]ccc4n3)c2c(=O)n1C ZINC001142821379 861271559 /nfs/dbraw/zinc/27/15/59/861271559.db2.gz GQYWOUBLMIJCJL-UHFFFAOYSA-N -1 1 322.328 1.699 20 0 DDADMM CC(C)(C)[C@@H](NC(=O)CCCCc1cn[nH]n1)c1nc(=O)o[n-]1 ZINC001142962610 861326199 /nfs/dbraw/zinc/32/61/99/861326199.db2.gz AGMUBMLHZOGVAZ-NSHDSACASA-N -1 1 322.369 1.097 20 0 DDADMM CCC[C@@H](C)CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409037104 843270902 /nfs/dbraw/zinc/27/09/02/843270902.db2.gz QHGYTWYYGKHEQB-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM CCCCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1cncc([O-])c1 ZINC001271387331 843546025 /nfs/dbraw/zinc/54/60/25/843546025.db2.gz UTMRZCJYOOBIBB-HUUCEWRRSA-N -1 1 317.389 1.793 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)NC[C@H]3CCC(=O)N3)cc2c1 ZINC001154754490 861428730 /nfs/dbraw/zinc/42/87/30/861428730.db2.gz ICHJZPJCZZMHBI-GFCCVEGCSA-N -1 1 314.341 1.562 20 0 DDADMM CSc1nc(NC(=O)c2c3c(nn2C)CCC3)cc(=O)[n-]1 ZINC001154828176 861489757 /nfs/dbraw/zinc/48/97/57/861489757.db2.gz BUSHBBGDABUMHG-UHFFFAOYSA-N -1 1 305.363 1.379 20 0 DDADMM CN(C(=O)c1cc(Cl)nc(C(F)(F)F)c1)c1nn[n-]n1 ZINC001149220114 861492375 /nfs/dbraw/zinc/49/23/75/861492375.db2.gz QWJQQUUCYVGDKR-UHFFFAOYSA-N -1 1 306.635 1.544 20 0 DDADMM Cc1cnc(CN[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])nc1 ZINC001409325800 844902504 /nfs/dbraw/zinc/90/25/04/844902504.db2.gz QVOYVFLEVIFZLW-RYUDHWBXSA-N -1 1 315.377 1.182 20 0 DDADMM Cc1noc(NC(=O)c2ccc3cccnc3c2[O-])c1C(N)=O ZINC001149314243 861539477 /nfs/dbraw/zinc/53/94/77/861539477.db2.gz BOVQVUVOPIBKSF-UHFFFAOYSA-N -1 1 312.285 1.588 20 0 DDADMM COC(=O)[C@@]1(F)CCN(C(=O)c2ccc3cccnc3c2[O-])C1 ZINC001149320311 861544113 /nfs/dbraw/zinc/54/41/13/861544113.db2.gz XXQBGZLZKQEVET-MRXNPFEDSA-N -1 1 318.304 1.668 20 0 DDADMM CCN(CCC(=O)OC)C(=O)c1ccc2cccnc2c1[O-] ZINC001149323513 861545255 /nfs/dbraw/zinc/54/52/55/861545255.db2.gz XPEKEPFFQGMUGW-UHFFFAOYSA-N -1 1 302.330 1.966 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccc(C)c(F)c1 ZINC001475249128 861550499 /nfs/dbraw/zinc/55/04/99/861550499.db2.gz NEEAAICYDFWOSC-UHFFFAOYSA-N -1 1 314.294 1.412 20 0 DDADMM Cc1[nH][nH]c(=O)c1CCNC(=O)c1ccc2cccnc2c1[O-] ZINC001149328876 861551333 /nfs/dbraw/zinc/55/13/33/861551333.db2.gz MWGYBYLCCMJIHC-UHFFFAOYSA-N -1 1 312.329 1.650 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCO[C@H](C3CC3)C2)sn1 ZINC001414241297 845799454 /nfs/dbraw/zinc/79/94/54/845799454.db2.gz KVQZXZWFSGZWTG-ZJUUUORDSA-N -1 1 318.420 1.388 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccoc1 ZINC001409883740 846035615 /nfs/dbraw/zinc/03/56/15/846035615.db2.gz YFGIHNFCWUHQCJ-LBPRGKRZSA-N -1 1 317.345 1.565 20 0 DDADMM Cc1ccc2c(c1)[C@@H](Nc1nc(C)cc3c1C(=O)[N-]C3=O)C(=O)N2 ZINC001155111628 861743922 /nfs/dbraw/zinc/74/39/22/861743922.db2.gz ZSORHCLNLAKCFO-CYBMUJFWSA-N -1 1 322.324 1.687 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C(C)C ZINC001409953007 847698564 /nfs/dbraw/zinc/69/85/64/847698564.db2.gz WORLGBDWIOOAJU-UPJWGTAASA-N -1 1 319.405 1.704 20 0 DDADMM CCOC(=O)[C@H](NC(=O)c1[n-][nH]c2cc(=O)ccc1-2)[C@@H](C)CC ZINC001155273702 861922951 /nfs/dbraw/zinc/92/29/51/861922951.db2.gz TYNQTNIHNMHHGL-TVQRCGJNSA-N -1 1 319.361 1.976 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccoc1C1CC1)NCc1n[nH]c(=O)[n-]1 ZINC001410448461 849293814 /nfs/dbraw/zinc/29/38/14/849293814.db2.gz JENMVAZZOBZFHB-SECBINFHSA-N -1 1 319.365 1.231 20 0 DDADMM CCC[N@@H+](CCc1cn[nH]n1)Cc1nc(=O)c2sccc2[n-]1 ZINC001327546472 862262604 /nfs/dbraw/zinc/26/26/04/862262604.db2.gz KTVDACFLILHPMH-UHFFFAOYSA-N -1 1 318.406 1.970 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CC[C@@H](CO)[C@@H](O)C3)c([O-])c2n1 ZINC001155653226 862344510 /nfs/dbraw/zinc/34/45/10/862344510.db2.gz BILOABSLKZKMAS-JSGCOSHPSA-N -1 1 316.357 1.064 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1C[C@H]2C[C@@]2(C(=O)N2CC=CC2)C1 ZINC001275407924 853078119 /nfs/dbraw/zinc/07/81/19/853078119.db2.gz KGZPRROYCTWOMU-SJKOYZFVSA-N -1 1 316.332 1.392 20 0 DDADMM CC(C)NC(=O)N1CC2(C1)CN(C(=O)c1cccc([O-])c1F)C2 ZINC001275406987 853080848 /nfs/dbraw/zinc/08/08/48/853080848.db2.gz AWZAHHDEVUFLQO-UHFFFAOYSA-N -1 1 321.352 1.407 20 0 DDADMM CC(=CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)c1cnccn1 ZINC001275597831 853416941 /nfs/dbraw/zinc/41/69/41/853416941.db2.gz UAGOHQPEMXQLMJ-NTMALXAHSA-N -1 1 311.345 1.893 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@H](NC(=O)c2cccc([O-])c2F)C1 ZINC001411797683 853752039 /nfs/dbraw/zinc/75/20/39/853752039.db2.gz GMDSUWLFYCGSEE-VHSXEESVSA-N -1 1 315.366 1.617 20 0 DDADMM CCC(=CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1)CC ZINC001327848906 862513627 /nfs/dbraw/zinc/51/36/27/862513627.db2.gz PAFXMNZUQGEIIN-JQWIXIFHSA-N -1 1 307.398 1.193 20 0 DDADMM CC(C)NC(=O)N1CC2(C1)CN(C(=O)c1cc(F)ccc1[O-])C2 ZINC001275917939 853943517 /nfs/dbraw/zinc/94/35/17/853943517.db2.gz MQXIQZHXVMIDBZ-UHFFFAOYSA-N -1 1 321.352 1.407 20 0 DDADMM CN(C)C(=O)C12CC(NC(=O)c3ccc(Cl)cc3[O-])(C1)C2 ZINC001275935344 853981775 /nfs/dbraw/zinc/98/17/75/853981775.db2.gz WTANIBVIKHXDJB-UHFFFAOYSA-N -1 1 308.765 1.786 20 0 DDADMM COCC[C@H](NC(=O)CCCc1nn[n-]n1)c1ccc(C)o1 ZINC001411983718 854069580 /nfs/dbraw/zinc/06/95/80/854069580.db2.gz CLJFLIBTFRJVQZ-NSHDSACASA-N -1 1 307.354 1.318 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCOc2c(F)cccc21)c1nn[n-]n1 ZINC001411987643 854075092 /nfs/dbraw/zinc/07/50/92/854075092.db2.gz BTVOTZIZYRUJEU-MWLCHTKSSA-N -1 1 319.340 1.467 20 0 DDADMM COC(=O)[C@H](C)Cc1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001412012508 854105785 /nfs/dbraw/zinc/10/57/85/854105785.db2.gz LIZUFTGVDANEDU-SNVBAGLBSA-N -1 1 317.349 1.123 20 0 DDADMM NC(=O)CC1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC001412059796 854170165 /nfs/dbraw/zinc/17/01/65/854170165.db2.gz XWPJETQJGMGLPK-UHFFFAOYSA-N -1 1 302.252 1.358 20 0 DDADMM CCNC(=O)Nc1cccc(NC(=O)CCc2nn[n-]n2)c1C ZINC001412099058 854216675 /nfs/dbraw/zinc/21/66/75/854216675.db2.gz CNLXLRZMNWSRPM-UHFFFAOYSA-N -1 1 317.353 1.221 20 0 DDADMM CC(=O)N[C@@H]1CCN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC001412260460 854386782 /nfs/dbraw/zinc/38/67/82/854386782.db2.gz DZZMDZUVVXAOLR-LLVKDONJSA-N -1 1 320.393 1.139 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001412386250 854493912 /nfs/dbraw/zinc/49/39/12/854493912.db2.gz GFJJSFWATMQYPY-RTXFEEFZSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@@H](C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-])C1CCC1 ZINC001412491703 854619162 /nfs/dbraw/zinc/61/91/62/854619162.db2.gz NMNNNBCNKAFUAR-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@]1(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CCOC1 ZINC001412569200 854734690 /nfs/dbraw/zinc/73/46/90/854734690.db2.gz BXIATICODIFUER-CQSZACIVSA-N -1 1 303.322 1.144 20 0 DDADMM CSc1ncc(C(=O)Nc2cccc(C)c2C(N)=O)c(=O)[n-]1 ZINC001412580269 854748957 /nfs/dbraw/zinc/74/89/57/854748957.db2.gz UXZUYKDRHIOKFV-UHFFFAOYSA-N -1 1 318.358 1.564 20 0 DDADMM Cc1noc(C(C)C)c1[N-]C(=O)c1nc(N)nc(N(C)C)n1 ZINC001412642090 854866414 /nfs/dbraw/zinc/86/64/14/854866414.db2.gz LBNNKCVZHKEHAF-UHFFFAOYSA-N -1 1 305.342 1.192 20 0 DDADMM COc1ccc(NC(=O)CCCc2nn[n-]n2)cc1OC(C)C ZINC001412796719 855277061 /nfs/dbraw/zinc/27/70/61/855277061.db2.gz POPSTVPHVLMHNU-UHFFFAOYSA-N -1 1 319.365 1.957 20 0 DDADMM CCSCc1cc(C(=O)NC(CC)(CC)c2nn[n-]n2)no1 ZINC001412930847 855791807 /nfs/dbraw/zinc/79/18/07/855791807.db2.gz ASRRNLMVRKVBHZ-UHFFFAOYSA-N -1 1 324.410 1.886 20 0 DDADMM Cn1cc([C@H]2C[C@H](NC(=O)c3cccc([O-])c3F)CCO2)cn1 ZINC001413018280 855949082 /nfs/dbraw/zinc/94/90/82/855949082.db2.gz PTZCSKNJTOCEJO-BXUZGUMPSA-N -1 1 319.336 1.915 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ccc(F)c([O-])c1)c1ccncc1 ZINC001413194551 856536263 /nfs/dbraw/zinc/53/62/63/856536263.db2.gz AGEAJVMMDJMXPY-ZDUSSCGKSA-N -1 1 318.304 1.961 20 0 DDADMM CCOC(=O)c1c(C)ccnc1NCC[N-]C(=O)C(F)(F)F ZINC001156203265 862813474 /nfs/dbraw/zinc/81/34/74/862813474.db2.gz LDVVLKRLCASPLY-UHFFFAOYSA-N -1 1 319.283 1.657 20 0 DDADMM Cc1cc(NCC[N-]C(=O)C(F)(F)F)nc(-c2ccco2)n1 ZINC001156206404 862819232 /nfs/dbraw/zinc/81/92/32/862819232.db2.gz ZFWBWDMWSBPQFZ-UHFFFAOYSA-N -1 1 314.267 1.557 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@]1(C)CCCC[C@H]1C ZINC001413365448 856721750 /nfs/dbraw/zinc/72/17/50/856721750.db2.gz JNHUYHCDDUJWBU-NOZJJQNGSA-N -1 1 315.395 1.443 20 0 DDADMM CSc1ncc(C(=O)N2CCO[C@H](CCF)C2)c(=O)[n-]1 ZINC001413419529 856784731 /nfs/dbraw/zinc/78/47/31/856784731.db2.gz VWAVNBIDIJCGQS-MRVPVSSYSA-N -1 1 301.343 1.105 20 0 DDADMM Cc1noc([C@H]([N-]S(=O)(=O)c2c(C)n[nH]c2C)C(C)C)n1 ZINC001413494454 856888931 /nfs/dbraw/zinc/88/89/31/856888931.db2.gz PLRSEIGALPFZGT-SNVBAGLBSA-N -1 1 313.383 1.394 20 0 DDADMM CC[C@H](CC(=O)N[C@H](COC)c1nn[n-]n1)c1ccccc1 ZINC001413551131 857053389 /nfs/dbraw/zinc/05/33/89/857053389.db2.gz GUTFCWMOIQVGQI-DGCLKSJQSA-N -1 1 303.366 1.587 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Nc3cnn(CCF)c3)ccnc1-2 ZINC001413562369 857072172 /nfs/dbraw/zinc/07/21/72/857072172.db2.gz PWDYPYVYQFPVNM-UHFFFAOYSA-N -1 1 303.301 1.152 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H](C)Cn1cccn1 ZINC001123596690 859318797 /nfs/dbraw/zinc/31/87/97/859318797.db2.gz XCWDCKBHWSCCSD-QMMMGPOBSA-N -1 1 307.379 1.042 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)[C@@H]1COCCN1CC(F)F ZINC001137674361 859961001 /nfs/dbraw/zinc/96/10/01/859961001.db2.gz QRRITTGFQFVQRS-JTQLQIEISA-N -1 1 320.723 1.950 20 0 DDADMM O=C([O-])Cn1cc(CN2CCN(CCF)CC2)c2ccccc21 ZINC001138194184 860040560 /nfs/dbraw/zinc/04/05/60/860040560.db2.gz CSUFMZUMMUJYEB-UHFFFAOYSA-N -1 1 319.380 1.813 20 0 DDADMM C=CC(=O)Nc1ccc([O-])c(C(=O)Nc2cc(OC)n(C)n2)c1 ZINC001138323942 860056027 /nfs/dbraw/zinc/05/60/27/860056027.db2.gz KODDBFVHOZZLIO-UHFFFAOYSA-N -1 1 316.317 1.511 20 0 DDADMM C[C@H]1CN(Cc2ncccc2[O-])Cc2nnc(C(F)(F)F)n21 ZINC001138395303 860094559 /nfs/dbraw/zinc/09/45/59/860094559.db2.gz BMZQWHFORUYQCJ-QMMMGPOBSA-N -1 1 313.283 1.974 20 0 DDADMM CCC1(C(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203383763 860344708 /nfs/dbraw/zinc/34/47/08/860344708.db2.gz KHWGOGCXHAYBCA-WDEREUQCSA-N -1 1 319.409 1.218 20 0 DDADMM Cc1[nH]c(CN2CCC(N3CCCC3=O)CC2)c(C)c1C(=O)[O-] ZINC001140496822 860644948 /nfs/dbraw/zinc/64/49/48/860644948.db2.gz RZWJAJMZKUWCMS-UHFFFAOYSA-N -1 1 319.405 1.917 20 0 DDADMM Cc1[nH]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C(C)C)c(C)c1C(=O)[O-] ZINC001140495058 860645594 /nfs/dbraw/zinc/64/55/94/860645594.db2.gz OTEULCARNNYBCY-JYAVWHMHSA-N -1 1 319.405 1.532 20 0 DDADMM Cn1cnc(CCNC(=O)c2ccc3ccc(O)cc3c2[O-])c1 ZINC001141924434 860970329 /nfs/dbraw/zinc/97/03/29/860970329.db2.gz GRJNKBNXMVOQBG-UHFFFAOYSA-N -1 1 311.341 1.957 20 0 DDADMM O=C(NCc1nn[n-]n1)c1[nH]nc2cc(C(F)(F)F)ccc21 ZINC001156498600 863105864 /nfs/dbraw/zinc/10/58/64/863105864.db2.gz GHARUXBPPLAIFH-UHFFFAOYSA-N -1 1 311.227 1.025 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCC2(N3CCOCC3)CC2)c1 ZINC001156561812 863150197 /nfs/dbraw/zinc/15/01/97/863150197.db2.gz NTHIUQGKDYRYFF-UHFFFAOYSA-N -1 1 318.373 1.108 20 0 DDADMM Cc1cnc([C@H](C)NCCCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001156627197 863207061 /nfs/dbraw/zinc/20/70/61/863207061.db2.gz SYDXEQRSIWPVIB-JTQLQIEISA-N -1 1 307.354 1.191 20 0 DDADMM CSc1nc(NC(=O)c2ccc3c(c2)C(=O)OC3)cc(=O)[n-]1 ZINC001157178197 863635150 /nfs/dbraw/zinc/63/51/50/863635150.db2.gz YXWMRGQXZQGXKE-UHFFFAOYSA-N -1 1 317.326 1.827 20 0 DDADMM CN(CC1CCOCC1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001153249466 863785866 /nfs/dbraw/zinc/78/58/66/863785866.db2.gz LLFUEWIGNMGHQK-UHFFFAOYSA-N -1 1 306.391 1.517 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cn2c(n1)CCCC2 ZINC001153397374 863872222 /nfs/dbraw/zinc/87/22/22/863872222.db2.gz DOQHHLGHSSPOJR-UHFFFAOYSA-N -1 1 304.266 1.071 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc(F)c2cccnc12 ZINC001153874713 864185021 /nfs/dbraw/zinc/18/50/21/864185021.db2.gz KVKPCMIOOQBKDT-UHFFFAOYSA-N -1 1 312.308 1.696 20 0 DDADMM CC1(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC=CC1 ZINC001330588721 864472413 /nfs/dbraw/zinc/47/24/13/864472413.db2.gz FFRCNNDMBXRHRC-UHFFFAOYSA-N -1 1 304.350 1.163 20 0 DDADMM Cc1cnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc1NC1CC1 ZINC001159185336 865152054 /nfs/dbraw/zinc/15/20/54/865152054.db2.gz SMOUWPMJRPGOTI-UHFFFAOYSA-N -1 1 314.309 1.132 20 0 DDADMM Cc1nc(C)c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(Cl)n1 ZINC001159185378 865152442 /nfs/dbraw/zinc/15/24/42/865152442.db2.gz GEMVZPMMYXUPMM-UHFFFAOYSA-N -1 1 307.701 1.520 20 0 DDADMM COc1nc(Cl)nc(C)c1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001159185970 865154841 /nfs/dbraw/zinc/15/48/41/865154841.db2.gz LOMUYSXJUCTCDH-UHFFFAOYSA-N -1 1 323.700 1.220 20 0 DDADMM CCSc1ccnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001159196708 865160024 /nfs/dbraw/zinc/16/00/24/865160024.db2.gz YVWZLRQQIVBVBZ-UHFFFAOYSA-N -1 1 304.335 1.966 20 0 DDADMM Cc1nn(-c2ccccn2)c(Cl)c1CNCCOCC(=O)[O-] ZINC001331950761 865453052 /nfs/dbraw/zinc/45/30/52/865453052.db2.gz NYZXPJNFAQZRAA-UHFFFAOYSA-N -1 1 324.768 1.420 20 0 DDADMM CC(=O)OC[C@H](COc1cc([O-])ccc1C(C)=O)OC(C)=O ZINC001225617014 881939388 /nfs/dbraw/zinc/93/93/88/881939388.db2.gz SUKDPPMYSXPCRI-CYBMUJFWSA-N -1 1 310.302 1.468 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC001333383570 866692055 /nfs/dbraw/zinc/69/20/55/866692055.db2.gz NTORJOIYXMPSIR-TZMCWYRMSA-N -1 1 312.410 1.055 20 0 DDADMM O=C(CC(F)(F)C(F)F)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001333430665 866737147 /nfs/dbraw/zinc/73/71/47/866737147.db2.gz MNFDEKFZJLETMH-UHFFFAOYSA-N -1 1 323.246 1.162 20 0 DDADMM COC(=O)[C@@H](Oc1cc(=O)[n-]c(=S)[nH]1)c1cccc(F)c1 ZINC001225765281 882018152 /nfs/dbraw/zinc/01/81/52/882018152.db2.gz KVTLWBKETMYPJN-NSHDSACASA-N -1 1 310.306 1.903 20 0 DDADMM COC(=O)[C@H](Oc1cc(=O)[n-]c(=S)[nH]1)c1cccc(F)c1 ZINC001225765280 882018227 /nfs/dbraw/zinc/01/82/27/882018227.db2.gz KVTLWBKETMYPJN-LLVKDONJSA-N -1 1 310.306 1.903 20 0 DDADMM Cc1cc2c(c(NC[C@@H]3c4ccccc4NC3=O)n1)C(=O)[N-]C2=O ZINC001162615035 867681811 /nfs/dbraw/zinc/68/18/11/867681811.db2.gz UJGWFCLQQSELDX-LLVKDONJSA-N -1 1 322.324 1.421 20 0 DDADMM CCOC(=O)c1nc(NC(=O)CSCC(C)=O)[n-]c1Cl ZINC001361671510 882128115 /nfs/dbraw/zinc/12/81/15/882128115.db2.gz RHKDYIFMKAUQSW-UHFFFAOYSA-N -1 1 319.770 1.501 20 0 DDADMM O=C(C(=O)N1CCC[C@H](C(=O)NC2CC2)C1)c1ccc([O-])cc1 ZINC001322914666 868461013 /nfs/dbraw/zinc/46/10/13/868461013.db2.gz HTLHLAMGHJHUIT-LBPRGKRZSA-N -1 1 316.357 1.092 20 0 DDADMM COC(=O)[C@@H](C)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001361714157 882213965 /nfs/dbraw/zinc/21/39/65/882213965.db2.gz ZCKNKOHGPCJYSL-ZETCQYMHSA-N -1 1 305.252 1.950 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC(F)(F)C(F)(F)C1 ZINC001339539513 870545071 /nfs/dbraw/zinc/54/50/71/870545071.db2.gz MYBBNFBDWBCLNI-UHFFFAOYSA-N -1 1 305.231 1.786 20 0 DDADMM CC(C)(C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)c1cccnc1 ZINC001301648666 871014065 /nfs/dbraw/zinc/01/40/65/871014065.db2.gz DEXKFNIKGJJRDA-CQSZACIVSA-N -1 1 322.372 1.778 20 0 DDADMM COC[C@@H](NC(=O)Cc1coc2cc(F)ccc12)c1nn[n-]n1 ZINC001303580275 871139361 /nfs/dbraw/zinc/13/93/61/871139361.db2.gz UMMJICZFLYXWJN-LLVKDONJSA-N -1 1 319.296 1.131 20 0 DDADMM Cc1cc2cc([N-]S(=O)(=O)c3cc(N)ccc3N)cnc2[nH]1 ZINC001204944204 871295531 /nfs/dbraw/zinc/29/55/31/871295531.db2.gz USKJOHXGMUBNMQ-UHFFFAOYSA-N -1 1 317.374 1.837 20 0 DDADMM CCN(Cc1cccs1)c1nnc(-c2nnn[n-]2)n1CC ZINC001340919884 871427597 /nfs/dbraw/zinc/42/75/97/871427597.db2.gz UORUGWLMQBCKKR-UHFFFAOYSA-N -1 1 304.383 1.566 20 0 DDADMM CCN(Cc1cccs1)c1nnc(-c2nn[n-]n2)n1CC ZINC001340919884 871427609 /nfs/dbraw/zinc/42/76/09/871427609.db2.gz UORUGWLMQBCKKR-UHFFFAOYSA-N -1 1 304.383 1.566 20 0 DDADMM CC(=O)NC(=O)CSc1nc(-c2ccccc2)cc(=O)[n-]1 ZINC001307403270 871434931 /nfs/dbraw/zinc/43/49/31/871434931.db2.gz AFVXYFIUTRAAAJ-UHFFFAOYSA-N -1 1 303.343 1.604 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)Oc2ccc(F)cc2C)n[n-]1 ZINC001309320881 871564901 /nfs/dbraw/zinc/56/49/01/871564901.db2.gz BHSVZDLORYIJEZ-UHFFFAOYSA-N -1 1 314.294 1.412 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-][C@@H](c1nc[nH]n1)c1ccccc1 ZINC001309413088 871569443 /nfs/dbraw/zinc/56/94/43/871569443.db2.gz YYANEFWDCRXXRM-GFCCVEGCSA-N -1 1 319.346 1.002 20 0 DDADMM CCN(Cc1cccs1)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001341867168 871867296 /nfs/dbraw/zinc/86/72/96/871867296.db2.gz OVBRPSOFNQUJDQ-UHFFFAOYSA-N -1 1 306.351 1.246 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N1CC=C(C(C)(C)C)CC1 ZINC001341875103 871874195 /nfs/dbraw/zinc/87/41/95/871874195.db2.gz GTKFNMWIMLUHEU-UHFFFAOYSA-N -1 1 318.381 1.824 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)[C@@H](C)c2cnn(C)c2)[n-]1 ZINC001361894107 882592484 /nfs/dbraw/zinc/59/24/84/882592484.db2.gz CHEMTPPCCHCUBV-QMMMGPOBSA-N -1 1 318.333 1.480 20 0 DDADMM CN(CC1CC1)c1nnc(-c2nnn[n-]2)n1Cc1cccs1 ZINC001343487796 872643129 /nfs/dbraw/zinc/64/31/29/872643129.db2.gz QHWXTOQDEVYVKA-UHFFFAOYSA-N -1 1 316.394 1.414 20 0 DDADMM CN(CC1CC1)c1nnc(-c2nn[n-]n2)n1Cc1cccs1 ZINC001343487796 872643135 /nfs/dbraw/zinc/64/31/35/872643135.db2.gz QHWXTOQDEVYVKA-UHFFFAOYSA-N -1 1 316.394 1.414 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1CC[C@@H](C)[C@H]1C ZINC001343955832 872820707 /nfs/dbraw/zinc/82/07/07/872820707.db2.gz FGQYYGKLOKZMKF-GHMZBOCLSA-N -1 1 320.401 1.120 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1CC[C@@H](C)[C@H]1C ZINC001343955832 872820719 /nfs/dbraw/zinc/82/07/19/872820719.db2.gz FGQYYGKLOKZMKF-GHMZBOCLSA-N -1 1 320.401 1.120 20 0 DDADMM C[C@@H](CNC(=O)OC(C)(C)C)N(C)C(=O)c1ccc([O-])cn1 ZINC001361950667 882695843 /nfs/dbraw/zinc/69/58/43/882695843.db2.gz RYSPAKQELLBOEN-JTQLQIEISA-N -1 1 309.366 1.772 20 0 DDADMM COc1ccc(CCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cc1 ZINC001345491022 873421335 /nfs/dbraw/zinc/42/13/35/873421335.db2.gz ICCXKMPAFPHOIU-UHFFFAOYSA-N -1 1 319.386 1.760 20 0 DDADMM C[C@@H]1C[C@H](C(=O)Nc2nnn[n-]2)CCN1C(=O)OC(C)(C)C ZINC001362005056 882803015 /nfs/dbraw/zinc/80/30/15/882803015.db2.gz KIXSMAMJNFIKSM-RKDXNWHRSA-N -1 1 310.358 1.174 20 0 DDADMM C[C@@H]1C[C@H](C(=O)Nc2nn[n-]n2)CCN1C(=O)OC(C)(C)C ZINC001362005056 882803032 /nfs/dbraw/zinc/80/30/32/882803032.db2.gz KIXSMAMJNFIKSM-RKDXNWHRSA-N -1 1 310.358 1.174 20 0 DDADMM CC(C)N1CCC[C@H](Oc2[n-]c(=O)nnc2Br)C1 ZINC001227065924 882809875 /nfs/dbraw/zinc/80/98/75/882809875.db2.gz CBNGGOXGWSBTQC-QMMMGPOBSA-N -1 1 317.187 1.591 20 0 DDADMM Cc1ccncc1C=CC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001348167739 874395433 /nfs/dbraw/zinc/39/54/33/874395433.db2.gz XEJJHUNTLBPVDU-ZFDPJTLLSA-N -1 1 312.377 1.398 20 0 DDADMM NS(=O)(=O)Cc1ccc(NC(=O)c2ccc(F)c([O-])c2)cc1 ZINC001362031568 882874363 /nfs/dbraw/zinc/87/43/63/882874363.db2.gz UNAJOUBQJQPCEP-UHFFFAOYSA-N -1 1 324.333 1.572 20 0 DDADMM CC(C)[C@H](O)CC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC001209779135 875117529 /nfs/dbraw/zinc/11/75/29/875117529.db2.gz GXBHEEGZBABMLK-MRVPVSSYSA-N -1 1 310.778 1.559 20 0 DDADMM CCCC[C@H](C)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001227191532 882902826 /nfs/dbraw/zinc/90/28/26/882902826.db2.gz BBYFKQQXUSIIGU-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM O=C(NCc1ccnc(OC2CCCC2)c1)c1cnncc1[O-] ZINC001362052925 882922091 /nfs/dbraw/zinc/92/20/91/882922091.db2.gz IJWSOOPVQYHYPD-UHFFFAOYSA-N -1 1 314.345 1.829 20 0 DDADMM Cc1ccc([C@H]2CCCN2c2nnc(Cc3nnn[n-]3)n2C)cc1 ZINC001350687322 875836649 /nfs/dbraw/zinc/83/66/49/875836649.db2.gz DLZPQVMFNCQKBH-CYBMUJFWSA-N -1 1 324.392 1.569 20 0 DDADMM Cc1ccc([C@H]2CCCN2c2nnc(Cc3nn[n-]n3)n2C)cc1 ZINC001350687322 875836658 /nfs/dbraw/zinc/83/66/58/875836658.db2.gz DLZPQVMFNCQKBH-CYBMUJFWSA-N -1 1 324.392 1.569 20 0 DDADMM Cc1cccc(C)c1NC(=O)CNC(=O)c1cnncc1[O-] ZINC001362080914 882985794 /nfs/dbraw/zinc/98/57/94/882985794.db2.gz DPGNJNDBWGQEHN-UHFFFAOYSA-N -1 1 300.318 1.168 20 0 DDADMM Cc1cc(C)cc(N(C)c2nnc(-c3noc(=O)[n-]3)n2C)c1 ZINC001351335292 876194941 /nfs/dbraw/zinc/19/49/41/876194941.db2.gz HWWNVVPPTPAJSS-UHFFFAOYSA-N -1 1 300.322 1.543 20 0 DDADMM C[C@H]1CC(=O)NCCN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362093764 883019607 /nfs/dbraw/zinc/01/96/07/883019607.db2.gz DEIGUWHLCAIVTK-QMMMGPOBSA-N -1 1 316.279 1.762 20 0 DDADMM Cc1cnc(CN[C@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])s1 ZINC001379100155 876400175 /nfs/dbraw/zinc/40/01/75/876400175.db2.gz KKFBXZYQSJIHMO-MRVPVSSYSA-N -1 1 323.422 1.439 20 0 DDADMM COC[C@@H](NCc1ccc(Br)c(F)c1F)C(=O)[O-] ZINC001351741026 876410575 /nfs/dbraw/zinc/41/05/75/876410575.db2.gz BTGMBUBSLVGJCV-MRVPVSSYSA-N -1 1 324.121 1.917 20 0 DDADMM COc1ncc(Nc2cc(C)nc(C)n2)cc1[N-]S(C)(=O)=O ZINC001216151891 876878115 /nfs/dbraw/zinc/87/81/15/876878115.db2.gz PTNJBAIZKDYJBK-UHFFFAOYSA-N -1 1 323.378 1.612 20 0 DDADMM O=C(c1cccnc1Cl)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001352792678 876934033 /nfs/dbraw/zinc/93/40/33/876934033.db2.gz ASPZSRUCRSURRN-SECBINFHSA-N -1 1 306.757 1.343 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C=Cc2ccc[nH]2)[n-]c1=O ZINC001353050535 877084915 /nfs/dbraw/zinc/08/49/15/877084915.db2.gz NYVZDYGZEGHPGI-SREVYHEPSA-N -1 1 302.334 1.584 20 0 DDADMM CC[C@@H](C)CC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001374221761 912238509 /nfs/dbraw/zinc/23/85/09/912238509.db2.gz NGXVUEYGTZRYIG-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)CC1(C(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)CCC1 ZINC001374257748 912337468 /nfs/dbraw/zinc/33/74/68/912337468.db2.gz UBBFCIFTLMTZQR-GFCCVEGCSA-N -1 1 323.441 1.663 20 0 DDADMM COc1cccc2c1CN(c1nnc(-c3c[n-][nH]c3=O)n1C)C2 ZINC001355572968 878625887 /nfs/dbraw/zinc/62/58/87/878625887.db2.gz WEVZMOZVZZFELD-UHFFFAOYSA-N -1 1 312.333 1.440 20 0 DDADMM C[C@H](NC(=O)c1ccc[nH]1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001380378841 879503493 /nfs/dbraw/zinc/50/34/93/879503493.db2.gz HTIWSBIZFVUHSZ-UWVGGRQHSA-N -1 1 302.334 1.052 20 0 DDADMM CC/C=C(/C)C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001356990876 879661227 /nfs/dbraw/zinc/66/12/27/879661227.db2.gz RMWBZTPGZSBVIG-MVXISAMASA-N -1 1 317.389 1.864 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001380932121 880685841 /nfs/dbraw/zinc/68/58/41/880685841.db2.gz PRPLGZAZUGWZSC-NWDGAFQWSA-N -1 1 307.394 1.656 20 0 DDADMM CCOC(=O)[C@@H]1C[C@H](OCc2nn[n-]n2)c2ccccc2O1 ZINC001223027793 880790503 /nfs/dbraw/zinc/79/05/03/880790503.db2.gz SSVALWSYGVVHTN-RYUDHWBXSA-N -1 1 304.306 1.172 20 0 DDADMM C[C@H]1OCc2c1nc(=O)[n-]c2OC[C@@H]1COc2ccccc2O1 ZINC001227709084 883141131 /nfs/dbraw/zinc/14/11/31/883141131.db2.gz AWBCLXXAEMQNHV-ZJUUUORDSA-N -1 1 316.313 1.992 20 0 DDADMM O=C(NCCS(=O)(=O)Cc1ccccc1)c1ccc([O-])cn1 ZINC001362152680 883162701 /nfs/dbraw/zinc/16/27/01/883162701.db2.gz SOPWSNOBQVRDQR-UHFFFAOYSA-N -1 1 320.370 1.132 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2[C@H]3CCCO[C@H]3C2(C)C)c(=O)[n-]1 ZINC001362172868 883208241 /nfs/dbraw/zinc/20/82/41/883208241.db2.gz OABOXLIUQMXAFT-FBIMIBRVSA-N -1 1 323.418 1.838 20 0 DDADMM C[C@H]1CN=C(N2CCN(C(=O)c3cccc([O-])c3F)CC2)S1 ZINC001362173307 883208703 /nfs/dbraw/zinc/20/87/03/883208703.db2.gz RNSNPFWRMWLZGK-JTQLQIEISA-N -1 1 323.393 1.780 20 0 DDADMM NC(=O)c1ccc(CNC(=O)Cc2ccc([O-])c(Cl)c2)o1 ZINC001362195679 883266588 /nfs/dbraw/zinc/26/65/88/883266588.db2.gz BQSIBCLBHDFWQW-UHFFFAOYSA-N -1 1 308.721 1.596 20 0 DDADMM CCC[C@H](NC(=O)C1CCN(CC(F)F)CC1)c1nn[n-]n1 ZINC001362203157 883288534 /nfs/dbraw/zinc/28/85/34/883288534.db2.gz GNXRCBNMQRNNAD-JTQLQIEISA-N -1 1 316.356 1.134 20 0 DDADMM CCC(=O)c1c(O)cc([O-])cc1O[C@@H](C)CN1CCOCC1 ZINC001228560608 883552738 /nfs/dbraw/zinc/55/27/38/883552738.db2.gz QDKZHZXNOLKJIF-NSHDSACASA-N -1 1 309.362 1.790 20 0 DDADMM CC(C)OC(=O)C[C@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001362330430 883581183 /nfs/dbraw/zinc/58/11/83/883581183.db2.gz XXGDGEASWOTHSL-GFCCVEGCSA-N -1 1 306.362 1.981 20 0 DDADMM Cc1ccc(N2CCC[C@@H](Oc3cnnc(=S)[n-]3)C2)nc1 ZINC001228636295 883584175 /nfs/dbraw/zinc/58/41/75/883584175.db2.gz KWHHCEFJBLGWBB-LLVKDONJSA-N -1 1 303.391 1.912 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001362435446 883800870 /nfs/dbraw/zinc/80/08/70/883800870.db2.gz YCGAKDXANUIVIK-WDEREUQCSA-N -1 1 323.349 1.071 20 0 DDADMM CCC(CC)(NC(=O)c1nn(C)c2c1CCCC2)c1nn[n-]n1 ZINC001362493953 883935656 /nfs/dbraw/zinc/93/56/56/883935656.db2.gz DLLFRSCNCGUQIT-UHFFFAOYSA-N -1 1 317.397 1.257 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](O)c2cccc(F)c2)c(=O)[n-]1 ZINC001362508246 883967635 /nfs/dbraw/zinc/96/76/35/883967635.db2.gz VKBVUUQOXFYENW-NSHDSACASA-N -1 1 323.349 1.507 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC[C@@H](Cn2ccnn2)C1 ZINC001362530415 884016070 /nfs/dbraw/zinc/01/60/70/884016070.db2.gz RILCCANPNZERSE-LLVKDONJSA-N -1 1 304.325 1.675 20 0 DDADMM O=C1[N-]C(=O)[C@@H](Cc2ccc(O[C@@H]3CCCOC3=O)cc2)S1 ZINC001229623485 884081640 /nfs/dbraw/zinc/08/16/40/884081640.db2.gz JJNNKZWDZGSURD-VXGBXAGGSA-N -1 1 321.354 1.665 20 0 DDADMM COC(=O)c1c[n-]c(=O)nc1OC[C@H]1CC[C@@]2(CCCCO2)O1 ZINC001229873804 884204116 /nfs/dbraw/zinc/20/41/16/884204116.db2.gz YERDAHRDZODPLI-MEBBXXQBSA-N -1 1 324.333 1.423 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H](Oc2nc(C)[n-]c(=O)c2OC)CC1 ZINC001230025635 884285787 /nfs/dbraw/zinc/28/57/87/884285787.db2.gz LPJBFZDSTNZHPC-XYPYZODXSA-N -1 1 310.350 2.000 20 0 DDADMM COC(=O)Cc1ccccc1CNC(=O)c1ncc(C)cc1[O-] ZINC001362661267 884351670 /nfs/dbraw/zinc/35/16/70/884351670.db2.gz JMGAXCRIXUIGPE-UHFFFAOYSA-N -1 1 314.341 1.741 20 0 DDADMM COC(=O)c1ccn(CC(=O)Nc2cc([O-])c(F)cc2F)n1 ZINC001362679190 884398084 /nfs/dbraw/zinc/39/80/84/884398084.db2.gz UTKVXJKKMFFQMI-UHFFFAOYSA-N -1 1 311.244 1.292 20 0 DDADMM CCCC[C@@H](O)CCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001362702479 884447037 /nfs/dbraw/zinc/44/70/37/884447037.db2.gz FQPPTCWQULFNIC-VXGBXAGGSA-N -1 1 311.382 1.813 20 0 DDADMM CCc1nc(CC(=O)NC(CC)(CC)c2nn[n-]n2)cs1 ZINC001362782303 884624842 /nfs/dbraw/zinc/62/48/42/884624842.db2.gz CHRHSERSCSNBIM-UHFFFAOYSA-N -1 1 308.411 1.593 20 0 DDADMM COC[C@H](NC(=O)c1cc(C2CC2)oc1C1CC1)c1nn[n-]n1 ZINC001362903215 884937561 /nfs/dbraw/zinc/93/75/61/884937561.db2.gz TYNQHFPRLPKEII-NSHDSACASA-N -1 1 317.349 1.665 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)[C@H](O)c2ccccc2Cl)n1 ZINC001362978928 885132281 /nfs/dbraw/zinc/13/22/81/885132281.db2.gz RBPMNEYQXXLCAJ-LLVKDONJSA-N -1 1 323.736 1.912 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](O)c2ccccc2Cl)n1 ZINC001362978928 885132288 /nfs/dbraw/zinc/13/22/88/885132288.db2.gz RBPMNEYQXXLCAJ-LLVKDONJSA-N -1 1 323.736 1.912 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccoc2Cl)n[n-]1 ZINC001363045715 885317949 /nfs/dbraw/zinc/31/79/49/885317949.db2.gz IZISPPZYWGNIFL-ZCFIWIBFSA-N -1 1 312.713 1.719 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccoc2Cl)[n-]1 ZINC001363045715 885317968 /nfs/dbraw/zinc/31/79/68/885317968.db2.gz IZISPPZYWGNIFL-ZCFIWIBFSA-N -1 1 312.713 1.719 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccoc2Cl)n1 ZINC001363045715 885317988 /nfs/dbraw/zinc/31/79/88/885317988.db2.gz IZISPPZYWGNIFL-ZCFIWIBFSA-N -1 1 312.713 1.719 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2occ3c2CCC3)n[n-]1 ZINC001363047873 885327723 /nfs/dbraw/zinc/32/77/23/885327723.db2.gz ZEMJLERGXGKCQK-MRVPVSSYSA-N -1 1 318.333 1.554 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2occ3c2CCC3)[n-]1 ZINC001363047873 885327745 /nfs/dbraw/zinc/32/77/45/885327745.db2.gz ZEMJLERGXGKCQK-MRVPVSSYSA-N -1 1 318.333 1.554 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2occ3c2CCC3)n1 ZINC001363047873 885327764 /nfs/dbraw/zinc/32/77/64/885327764.db2.gz ZEMJLERGXGKCQK-MRVPVSSYSA-N -1 1 318.333 1.554 20 0 DDADMM CCC(CC)(NC(=O)CCCc1nccs1)c1nn[n-]n1 ZINC001363141064 885563131 /nfs/dbraw/zinc/56/31/31/885563131.db2.gz ZSHQZYLQZPRAQX-UHFFFAOYSA-N -1 1 308.411 1.811 20 0 DDADMM COC(=O)[C@]12C[C@H]1C[C@H](NC(=O)c1cnc(C3CC3)[n-]c1=O)C2 ZINC001363175196 885641134 /nfs/dbraw/zinc/64/11/34/885641134.db2.gz MSFIQPKQGASBSS-LSYCYVAJSA-N -1 1 317.345 1.131 20 0 DDADMM CC1(C)CN(Cc2ccc(Cl)c([O-])c2)CCN1CC(N)=O ZINC001231734226 885841207 /nfs/dbraw/zinc/84/12/07/885841207.db2.gz SXRBNCGXFJHJHV-UHFFFAOYSA-N -1 1 311.813 1.427 20 0 DDADMM NC(=O)c1cccc(CN(C(=O)c2ncccc2[O-])C2CC2)c1 ZINC001363262086 885850270 /nfs/dbraw/zinc/85/02/70/885850270.db2.gz HKHNPXBFMALDGP-UHFFFAOYSA-N -1 1 311.341 1.691 20 0 DDADMM O=C([C@@H]1C[C@H]1c1cccc(Cl)c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363317576 886005069 /nfs/dbraw/zinc/00/50/69/886005069.db2.gz KDUPUMFKZIVUQU-KGYLQXTDSA-N -1 1 317.780 1.973 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](CO)c1ccccn1 ZINC001363346571 886089569 /nfs/dbraw/zinc/08/95/69/886089569.db2.gz NRHUPSGXIWZLAL-JTQLQIEISA-N -1 1 320.374 1.071 20 0 DDADMM CON1CCC(N(C)C(=O)c2c(C)nc(C(C)C)[n-]c2=O)CC1 ZINC001363389587 886199718 /nfs/dbraw/zinc/19/97/18/886199718.db2.gz SYZDWDQBBDWYCQ-UHFFFAOYSA-N -1 1 322.409 1.712 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1cccc2c1CCNC2=O ZINC001363445383 886357229 /nfs/dbraw/zinc/35/72/29/886357229.db2.gz AUIPXNHLIJRBEG-UHFFFAOYSA-N -1 1 312.325 1.939 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC2(CCOCC2)CC[C@@H]1CO ZINC001363580192 886684207 /nfs/dbraw/zinc/68/42/07/886684207.db2.gz DMLPJYJZLBVVKW-GFCCVEGCSA-N -1 1 323.364 1.925 20 0 DDADMM COC[C@H](NC(=O)COc1c(C)cc(C)cc1C)c1nn[n-]n1 ZINC001363584475 886695301 /nfs/dbraw/zinc/69/53/01/886695301.db2.gz FNTAXVWEIWOZRG-LBPRGKRZSA-N -1 1 319.365 1.008 20 0 DDADMM [O-]c1cc(CN2CCN(c3cnccn3)CC2)cc(F)c1F ZINC001232969937 886703549 /nfs/dbraw/zinc/70/35/49/886703549.db2.gz ZDQJEZHQELMXQL-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM O=C1COC2(CCN(Cc3cc([O-])c(F)c(F)c3)CC2)CN1 ZINC001232975458 886705110 /nfs/dbraw/zinc/70/51/10/886705110.db2.gz KGSLHKZIKQVUER-UHFFFAOYSA-N -1 1 312.316 1.151 20 0 DDADMM CC[C@@H](C(=O)N[C@H](COC)c1nn[n-]n1)c1ccc(OC)cc1 ZINC001363593313 886718354 /nfs/dbraw/zinc/71/83/54/886718354.db2.gz WJJTYJQIXFFWSP-CHWSQXEVSA-N -1 1 319.365 1.206 20 0 DDADMM COCC(=O)NC1CCN(Cc2cc(Cl)ncc2[O-])CC1 ZINC001233036829 886745081 /nfs/dbraw/zinc/74/50/81/886745081.db2.gz XIEMIRBDHUXSEC-UHFFFAOYSA-N -1 1 313.785 1.168 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1conc1C(F)(F)F ZINC001363799993 887254594 /nfs/dbraw/zinc/25/45/94/887254594.db2.gz JEQSRXQPCLUSDP-UHFFFAOYSA-N -1 1 318.259 1.505 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccnn1C1CCCC1 ZINC001363819487 887308755 /nfs/dbraw/zinc/30/87/55/887308755.db2.gz IRSVCHGJFGLUDM-UHFFFAOYSA-N -1 1 301.354 1.321 20 0 DDADMM Cc1cnc(C(=O)N2Cc3nc(C4CC4)[nH]c(=O)c3C2)c([O-])c1 ZINC001363878536 887453907 /nfs/dbraw/zinc/45/39/07/887453907.db2.gz JMODDINLBOJPBD-UHFFFAOYSA-N -1 1 312.329 1.625 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ocnc2C2CC2)n[n-]1 ZINC001363888655 887477938 /nfs/dbraw/zinc/47/79/38/887477938.db2.gz RWWOUXDRHTXLNJ-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ocnc2C2CC2)[n-]1 ZINC001363888655 887477950 /nfs/dbraw/zinc/47/79/50/887477950.db2.gz RWWOUXDRHTXLNJ-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ocnc2C2CC2)n1 ZINC001363888655 887477958 /nfs/dbraw/zinc/47/79/58/887477958.db2.gz RWWOUXDRHTXLNJ-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CS(=O)(=O)c1ccccc1CCNC(=O)c1ncccc1[O-] ZINC001363921730 887545052 /nfs/dbraw/zinc/54/50/52/887545052.db2.gz LTOAQISQXFTZLM-UHFFFAOYSA-N -1 1 320.370 1.163 20 0 DDADMM CSc1ncc(C(=O)N2CCO[C@](C)(C3CC3)C2)c(=O)[n-]1 ZINC001363938648 887576256 /nfs/dbraw/zinc/57/62/56/887576256.db2.gz PGXXGYSKKRMWCU-AWEZNQCLSA-N -1 1 309.391 1.545 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](C(C)C)C2)[n-]n1 ZINC001363974046 887646346 /nfs/dbraw/zinc/64/63/46/887646346.db2.gz VKLQAVSFOCQCBI-JTQLQIEISA-N -1 1 315.395 1.253 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](C(C)C)C2)n[n-]1 ZINC001363974046 887646349 /nfs/dbraw/zinc/64/63/49/887646349.db2.gz VKLQAVSFOCQCBI-JTQLQIEISA-N -1 1 315.395 1.253 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cnccc2C)o1 ZINC001363978055 887655383 /nfs/dbraw/zinc/65/53/83/887655383.db2.gz BQRTVFJNCJPEJA-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC[C@H]1CCSC1 ZINC001364026574 887748417 /nfs/dbraw/zinc/74/84/17/887748417.db2.gz ISHPXBMVFUGYND-QMMMGPOBSA-N -1 1 308.450 1.573 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1ncc[nH]1)c1sccc1Cl ZINC001364043568 887785309 /nfs/dbraw/zinc/78/53/09/887785309.db2.gz MEICKPHZHRRQMI-ZETCQYMHSA-N -1 1 307.784 1.137 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(F)c(OC)c2)n[n-]1 ZINC001364081113 887870226 /nfs/dbraw/zinc/87/02/26/887870226.db2.gz CGELRKYXXXGVBN-UHFFFAOYSA-N -1 1 322.296 1.059 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(F)c(OC)c2)n1 ZINC001364081113 887870237 /nfs/dbraw/zinc/87/02/37/887870237.db2.gz CGELRKYXXXGVBN-UHFFFAOYSA-N -1 1 322.296 1.059 20 0 DDADMM C[C@H]1CN(c2ccc(C(=O)Nc3c[n-][nH]c3=O)cn2)C[C@H](C)O1 ZINC001364095370 887907779 /nfs/dbraw/zinc/90/77/79/887907779.db2.gz SANFLTSJUFKOFC-UWVGGRQHSA-N -1 1 317.349 1.376 20 0 DDADMM Cc1cc(COc2c(C(N)=O)nc[n-]c2=O)ccc1OC(C)C ZINC001234471668 888005019 /nfs/dbraw/zinc/00/50/19/888005019.db2.gz GQVGNHLKXVLZFX-UHFFFAOYSA-N -1 1 317.345 1.956 20 0 DDADMM COc1ccc(OC)c([C@H](C)Oc2c(C(N)=O)nc[n-]c2=O)c1 ZINC001234472033 888005282 /nfs/dbraw/zinc/00/52/82/888005282.db2.gz KKHYHVYIWPHFLJ-QMMMGPOBSA-N -1 1 319.317 1.438 20 0 DDADMM CSc1nc(CNC(=O)Nc2c(C)cnn2C)cc(=O)[n-]1 ZINC001364140751 888011852 /nfs/dbraw/zinc/01/18/52/888011852.db2.gz PXRIJLQKEMJICD-UHFFFAOYSA-N -1 1 308.367 1.268 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1OCC(=O)OC(C)C ZINC001234559243 888092386 /nfs/dbraw/zinc/09/23/86/888092386.db2.gz DCBATCUQKOWTGL-UHFFFAOYSA-N -1 1 312.322 1.423 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@H]2CCC(=O)C[C@H]2C)[n-]c1=O ZINC001364231528 888184106 /nfs/dbraw/zinc/18/41/06/888184106.db2.gz BNZJFXGMXSNNRT-KOLCDFICSA-N -1 1 321.377 1.547 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CON(C(=O)OC(C)(C)C)C2)c([O-])c1 ZINC001364387827 888514405 /nfs/dbraw/zinc/51/44/05/888514405.db2.gz VIEBZWDWJAYAHF-JTQLQIEISA-N -1 1 323.349 1.376 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](OC)C2CCCCC2)n[n-]1 ZINC001364506549 888797157 /nfs/dbraw/zinc/79/71/57/888797157.db2.gz MNFZWYVYSJRBTO-LBPRGKRZSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](OC)C2CCCCC2)n1 ZINC001364506549 888797171 /nfs/dbraw/zinc/79/71/71/888797171.db2.gz MNFZWYVYSJRBTO-LBPRGKRZSA-N -1 1 324.381 1.193 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1CC(=O)Nc1c[n-][nH]c1=O ZINC001364512232 888809753 /nfs/dbraw/zinc/80/97/53/888809753.db2.gz NIOKKRMFVOZANJ-NXEZZACHSA-N -1 1 324.381 1.947 20 0 DDADMM CSC[C@H](C)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001385301578 888832881 /nfs/dbraw/zinc/83/28/81/888832881.db2.gz YQKMPBUFNGFEBG-WDEREUQCSA-N -1 1 323.418 1.117 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCC3(C2)OCCO3)sn1 ZINC001364810866 889463678 /nfs/dbraw/zinc/46/36/78/889463678.db2.gz UYQAHJGAALSUOL-SECBINFHSA-N -1 1 304.393 1.025 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1C[C@@H](C)n2ccnc21 ZINC001364865910 889573022 /nfs/dbraw/zinc/57/30/22/889573022.db2.gz SEZLNKQUDLMSPS-SFYZADRCSA-N -1 1 314.392 1.333 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Nc2ccncn2)[n-]c1=O ZINC001365049222 889979934 /nfs/dbraw/zinc/97/99/34/889979934.db2.gz AURHSPKQXXEFKM-SECBINFHSA-N -1 1 316.321 1.350 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1CCO[C@@H]2C[C@H](CO)C[C@H]21 ZINC001238143702 890066940 /nfs/dbraw/zinc/06/69/40/890066940.db2.gz YIZOONIOLZIBQZ-DAXOMENPSA-N -1 1 305.374 1.665 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3OCC[C@@H]32)sn1 ZINC001365135767 890175357 /nfs/dbraw/zinc/17/53/57/890175357.db2.gz YNXBPNMLAFTCGI-BBBLOLIVSA-N -1 1 318.420 1.388 20 0 DDADMM O=C(c1ccc([O-])cn1)N1Cc2ncccc2N2CCC[C@H]2C1 ZINC001365145399 890191354 /nfs/dbraw/zinc/19/13/54/890191354.db2.gz FCGYVMZYQVTWGF-LBPRGKRZSA-N -1 1 310.357 1.807 20 0 DDADMM Cn1cc([C@@H]2CCCN(C(=O)c3ccc(F)c([O-])c3)C2)nn1 ZINC001365150377 890200570 /nfs/dbraw/zinc/20/05/70/890200570.db2.gz FPVBYSLCJDGFPA-LLVKDONJSA-N -1 1 304.325 1.680 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H]2[C@@H](C1)[C@H]2C(=O)Nc1c[n-][nH]c1=O ZINC001365206475 890347309 /nfs/dbraw/zinc/34/73/09/890347309.db2.gz GEYGLXDKJQGAFV-NGZCFLSTSA-N -1 1 322.365 1.557 20 0 DDADMM CN1CCN(c2nccnc2-c2cccc(/C=C/C(=O)[O-])c2)CC1 ZINC001240549215 890981101 /nfs/dbraw/zinc/98/11/01/890981101.db2.gz VVVRJWMMWMDLKB-AATRIKPKSA-N -1 1 324.384 1.993 20 0 DDADMM COCCn1cc(-c2ccc3nc(C(=O)OC)[n-]c3n2)cn1 ZINC001240631473 891005613 /nfs/dbraw/zinc/00/56/13/891005613.db2.gz UYSMFYPYXUSUFH-UHFFFAOYSA-N -1 1 301.306 1.254 20 0 DDADMM Cc1cc2c(c(-c3cnn([C@H]4CCCCO4)c3)n1)C(=O)[N-]C2=O ZINC001240675046 891017234 /nfs/dbraw/zinc/01/72/34/891017234.db2.gz RXMIIMJIVSFRNK-GFCCVEGCSA-N -1 1 312.329 1.836 20 0 DDADMM C[C@@H]1CC[C@H](CN2CCN(C(=O)c3ncccc3[O-])CC2)O1 ZINC001365628655 891199395 /nfs/dbraw/zinc/19/93/95/891199395.db2.gz OZRCWPHUKPANSN-CHWSQXEVSA-N -1 1 305.378 1.113 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@]2(C)CCCS2)sn1 ZINC001365681593 891306814 /nfs/dbraw/zinc/30/68/14/891306814.db2.gz QYHAPQYMHBXKSC-SNVBAGLBSA-N -1 1 308.450 1.716 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2nnc(C)s2)c1 ZINC001365699486 891358277 /nfs/dbraw/zinc/35/82/77/891358277.db2.gz JADCTDIQZYAFHY-UHFFFAOYSA-N -1 1 315.376 1.039 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)c1cncc(F)c1 ZINC001365713608 891381566 /nfs/dbraw/zinc/38/15/66/891381566.db2.gz RGHSKTZVDJMVSR-SSDOTTSWSA-N -1 1 317.367 1.725 20 0 DDADMM O=C([O-])c1ccc(-c2cccc(C(=O)N3CCNCC3)c2)cn1 ZINC001242337699 891393868 /nfs/dbraw/zinc/39/38/68/891393868.db2.gz OPRJDQQKIFSRTE-UHFFFAOYSA-N -1 1 311.341 1.492 20 0 DDADMM CCCNS(=O)(=O)[N-]CC(F)(F)c1ccc(F)cc1F ZINC001365734293 891421364 /nfs/dbraw/zinc/42/13/64/891421364.db2.gz WHYKAEKVBVQWND-UHFFFAOYSA-N -1 1 314.304 1.891 20 0 DDADMM O=S(=O)([N-]C1(c2ncon2)CC1)c1sccc1Cl ZINC001365741398 891434229 /nfs/dbraw/zinc/43/42/29/891434229.db2.gz QBLNDMNYDXSHRT-UHFFFAOYSA-N -1 1 305.768 1.752 20 0 DDADMM Cc1cccnc1C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001386711490 891542496 /nfs/dbraw/zinc/54/24/96/891542496.db2.gz LOLSMCUDDTVCDH-NSHDSACASA-N -1 1 314.345 1.039 20 0 DDADMM CN1CCN(c2ccc(-c3cc(C(=O)[O-])cnc3F)nc2)CC1 ZINC001244764216 891914133 /nfs/dbraw/zinc/91/41/33/891914133.db2.gz UAYGLLAZQPDHKJ-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM COc1ncc(-c2nncc3ccoc32)cc1[N-]S(C)(=O)=O ZINC001244792115 891927011 /nfs/dbraw/zinc/92/70/11/891927011.db2.gz ZQWWPMHPUFTLCA-UHFFFAOYSA-N -1 1 320.330 1.665 20 0 DDADMM CN(CCNC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)C1CCC1 ZINC001386891449 891948742 /nfs/dbraw/zinc/94/87/42/891948742.db2.gz YCUKMFIVUXTOTR-UHFFFAOYSA-N -1 1 318.377 1.048 20 0 DDADMM CCOC(=O)c1ccc(-c2nc(C)cc3c2C(=O)[N-]C3=O)cn1 ZINC001245253031 892051029 /nfs/dbraw/zinc/05/10/29/892051029.db2.gz CIWGNKFPKUQJGM-UHFFFAOYSA-N -1 1 311.297 1.512 20 0 DDADMM CCOC(=O)[C@@H](C)n1cc(-c2ccc(-c3nnn[n-]3)nc2)cn1 ZINC001245331493 892085342 /nfs/dbraw/zinc/08/53/42/892085342.db2.gz QQWXGZCWTJJCIL-SECBINFHSA-N -1 1 313.321 1.249 20 0 DDADMM CCOC(=O)[C@@H](C)n1cc(-c2ccc(-c3nn[n-]n3)nc2)cn1 ZINC001245331493 892085359 /nfs/dbraw/zinc/08/53/59/892085359.db2.gz QQWXGZCWTJJCIL-SECBINFHSA-N -1 1 313.321 1.249 20 0 DDADMM Cc1nc(CN[C@@H](CNC(=O)c2ncccc2[O-])C2CC2)co1 ZINC001366030763 892273497 /nfs/dbraw/zinc/27/34/97/892273497.db2.gz BBGLNGJZHQRZMZ-ZDUSSCGKSA-N -1 1 316.361 1.382 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1CC(C)C1 ZINC001387442148 893111924 /nfs/dbraw/zinc/11/19/24/893111924.db2.gz PZYLJDGMPQPWFR-MOENNCHZSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1cc(C(=O)NCCN(C)C(=O)c2ncccc2[O-])c(C)[nH]1 ZINC001387736131 893756983 /nfs/dbraw/zinc/75/69/83/893756983.db2.gz AIJSWTCAPVPSOE-UHFFFAOYSA-N -1 1 316.361 1.234 20 0 DDADMM CC(C)(C)/C=C\C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001278631537 894639167 /nfs/dbraw/zinc/63/91/67/894639167.db2.gz XJRKONUBZJVEKR-JMEBYUIHSA-N -1 1 321.425 1.439 20 0 DDADMM CCN(CCNC(=O)C1(C2CC2)CC1)C(=O)c1ncccc1[O-] ZINC001388305777 894967668 /nfs/dbraw/zinc/96/76/68/894967668.db2.gz KFCFJVVSRZDQHA-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccc(C)cc1)c1ccccn1 ZINC001252211977 895039425 /nfs/dbraw/zinc/03/94/25/895039425.db2.gz IPKBKMVJVJLSMA-AWEZNQCLSA-N -1 1 320.370 1.583 20 0 DDADMM COC(=O)c1c(F)ccc([N-]S(=O)(=O)C(C)C)c1OC ZINC001252528875 895245599 /nfs/dbraw/zinc/24/55/99/895245599.db2.gz ARCUGKWUWLCPEK-UHFFFAOYSA-N -1 1 305.327 1.771 20 0 DDADMM CC(C)[C@@H](CNC(=O)CC1CCC1)NC(=O)c1ncccc1[O-] ZINC001388881517 896114547 /nfs/dbraw/zinc/11/45/47/896114547.db2.gz KSGZGSYEFOLFDY-CYBMUJFWSA-N -1 1 319.405 1.848 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367330919 896457408 /nfs/dbraw/zinc/45/74/08/896457408.db2.gz ZWJXYXDZNAFCRO-LLVKDONJSA-N -1 1 321.425 1.465 20 0 DDADMM O=c1[nH]cc([N-]S(=O)(=O)c2ccc(Cl)s2)c(=O)[nH]1 ZINC001256401618 897423235 /nfs/dbraw/zinc/42/32/35/897423235.db2.gz LWRFMAABKXODES-UHFFFAOYSA-N -1 1 307.740 1.404 20 0 DDADMM Cn1c[nH+]c(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)c1 ZINC001259034371 898432814 /nfs/dbraw/zinc/43/28/14/898432814.db2.gz XRBJLAJNDDBWNL-UHFFFAOYSA-N -1 1 305.281 1.316 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2ccc3c(c2)CNC3=O)c1 ZINC001259189136 898515474 /nfs/dbraw/zinc/51/54/74/898515474.db2.gz LDGBQLOAAMOBSW-UHFFFAOYSA-N -1 1 318.354 1.739 20 0 DDADMM O=C(CCc1ccco1)NCCCNC(=O)c1ncccc1[O-] ZINC001293136202 914270826 /nfs/dbraw/zinc/27/08/26/914270826.db2.gz FAVAPKKJABCNDX-UHFFFAOYSA-N -1 1 317.345 1.249 20 0 DDADMM COC(=O)[C@@](C)([N-]S(=O)(=O)c1cccnc1)c1ccccc1 ZINC001259611710 898743503 /nfs/dbraw/zinc/74/35/03/898743503.db2.gz KHZIWUXYUJADGE-HNNXBMFYSA-N -1 1 320.370 1.448 20 0 DDADMM O=S(=O)(CCC(F)(F)F)[N-]c1ccnc2cccnc12 ZINC001259866791 898878011 /nfs/dbraw/zinc/87/80/11/898878011.db2.gz QOGJXYHIEXUEMK-UHFFFAOYSA-N -1 1 305.281 1.746 20 0 DDADMM CCCCC(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001390193198 898894358 /nfs/dbraw/zinc/89/43/58/898894358.db2.gz QABYYJMCOXLTGT-INIZCTEOSA-N -1 1 305.378 1.698 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C2CCOCC2)cc1F ZINC001259914888 898925423 /nfs/dbraw/zinc/92/54/23/898925423.db2.gz BBBMMEGIFIFLBF-UHFFFAOYSA-N -1 1 317.338 1.533 20 0 DDADMM O=S(=O)([N-]Cc1cccc(OC2CCOCC2)n1)C(F)F ZINC001259963216 898987049 /nfs/dbraw/zinc/98/70/49/898987049.db2.gz CMSXVDMKCCYOPL-UHFFFAOYSA-N -1 1 322.333 1.281 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)CO1 ZINC001260204879 899087617 /nfs/dbraw/zinc/08/76/17/899087617.db2.gz ONUWKHNESMIZDN-RXMQYKEDSA-N -1 1 311.693 1.212 20 0 DDADMM O=C1OC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC001260473068 899149416 /nfs/dbraw/zinc/14/94/16/899149416.db2.gz QCMCVKWPGSFFHF-VKHMYHEASA-N -1 1 302.160 1.259 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]C2CCC(F)CC2)s1 ZINC001260700113 899223114 /nfs/dbraw/zinc/22/31/14/899223114.db2.gz SDFVUGUPKYGXDE-UHFFFAOYSA-N -1 1 321.399 1.661 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cc(C)no1 ZINC001390384592 899304541 /nfs/dbraw/zinc/30/45/41/899304541.db2.gz SHAAKSPMTCFLIG-JTQLQIEISA-N -1 1 318.333 1.022 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@@H]1CCO[C@@H](CC)C1 ZINC001261270513 899443553 /nfs/dbraw/zinc/44/35/53/899443553.db2.gz CCYQMOWTLWFSKL-NEPJUHHUSA-N -1 1 301.383 1.971 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N(Cc1ccccc1F)C1CC1 ZINC001262852036 900395107 /nfs/dbraw/zinc/39/51/07/900395107.db2.gz CNDOBAKCVQZJOL-UHFFFAOYSA-N -1 1 314.328 1.303 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N(Cc1ccccc1F)C1CC1 ZINC001262852036 900395119 /nfs/dbraw/zinc/39/51/19/900395119.db2.gz CNDOBAKCVQZJOL-UHFFFAOYSA-N -1 1 314.328 1.303 20 0 DDADMM Cn1c(-c2nc(Cl)n[n-]2)nnc1N1CCc2sccc2C1 ZINC001262851703 900395737 /nfs/dbraw/zinc/39/57/37/900395737.db2.gz QDDZNKNYHSNKEJ-UHFFFAOYSA-N -1 1 321.797 1.878 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)cn1 ZINC001263911193 900814067 /nfs/dbraw/zinc/81/40/67/900814067.db2.gz CWOYOXNJLXSIEG-AFNCTOJWSA-N -1 1 312.377 1.398 20 0 DDADMM CCCCCC[C@H](C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001265451509 902031459 /nfs/dbraw/zinc/03/14/59/902031459.db2.gz RFYWBPCUGTVHRW-STQMWFEESA-N -1 1 323.441 1.807 20 0 DDADMM CC[C@@H](CNC(=O)[C@H](C)c1ccccc1)NCc1n[nH]c(=O)[n-]1 ZINC001391744250 902509236 /nfs/dbraw/zinc/50/92/36/902509236.db2.gz RJIKPAPSNSNFHZ-YPMHNXCESA-N -1 1 317.393 1.298 20 0 DDADMM Cc1nnc(CN[C@H](CNC(=O)c2ncccc2[O-])C(C)C)o1 ZINC001392211896 903549482 /nfs/dbraw/zinc/54/94/82/903549482.db2.gz HYYSQGLEBYYOJF-LLVKDONJSA-N -1 1 319.365 1.023 20 0 DDADMM CN(CCCNC(=O)CC(C)(C)C)C(=O)c1ncccc1[O-] ZINC001293951833 914799683 /nfs/dbraw/zinc/79/96/83/914799683.db2.gz DDYZQHAVTZDLLX-UHFFFAOYSA-N -1 1 307.394 1.802 20 0 DDADMM CC(C)=CC(=O)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001281221256 904579883 /nfs/dbraw/zinc/57/98/83/904579883.db2.gz KRVQMLAHWXZHMS-QWHCGFSZSA-N -1 1 317.389 1.768 20 0 DDADMM CC(C)[C@H](C)CC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001393304445 906691649 /nfs/dbraw/zinc/69/16/49/906691649.db2.gz JAUZLFRNMOKPNO-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM COC[C@H](C)CC(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001283642397 907731818 /nfs/dbraw/zinc/73/18/18/907731818.db2.gz CXFRLDVCOBLCJR-GFCCVEGCSA-N -1 1 323.393 1.038 20 0 DDADMM CCC[C@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC001372704988 908018614 /nfs/dbraw/zinc/01/86/14/908018614.db2.gz KBVGFYFEIWVPAY-CIQGVGRVSA-N -1 1 317.389 1.410 20 0 DDADMM CN(C(=O)C1(CCF)CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001394051258 908642254 /nfs/dbraw/zinc/64/22/54/908642254.db2.gz KBDSJPMZWQXBEC-UHFFFAOYSA-N -1 1 321.352 1.210 20 0 DDADMM C[C@@H](CN(C)C(=O)C(C)(C)C(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001394545666 909957972 /nfs/dbraw/zinc/95/79/72/909957972.db2.gz WGEDEWNQVKPQAW-ZETCQYMHSA-N -1 1 323.319 1.035 20 0 DDADMM CN(CCNC(=O)[C@@H]1CCCC12CC2)C(=O)c1ncccc1[O-] ZINC001373526393 910087943 /nfs/dbraw/zinc/08/79/43/910087943.db2.gz WFVAUQFZVRITKB-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM C[C@H](CNC(=O)[C@H]1CC=CCC1)NC(=O)c1ncccc1[O-] ZINC001285635801 910933788 /nfs/dbraw/zinc/93/37/88/910933788.db2.gz VEFZSGSQUDQSPB-NEPJUHHUSA-N -1 1 303.362 1.378 20 0 DDADMM CC/C(C)=C\C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001285852868 911306171 /nfs/dbraw/zinc/30/61/71/911306171.db2.gz MDDAIVYWUGTXCM-MMRAYRKESA-N -1 1 303.362 1.474 20 0 DDADMM C[C@@H](CCCNC(=O)c1ncccc1[O-])NC(=O)C(C)(C)C ZINC001287325057 912187131 /nfs/dbraw/zinc/18/71/31/912187131.db2.gz WINFVFILOAINCY-NSHDSACASA-N -1 1 307.394 1.848 20 0 DDADMM C/C=C(/C)C(=O)NCCCN(CC)C(=O)c1ncccc1[O-] ZINC001294421148 915126045 /nfs/dbraw/zinc/12/60/45/915126045.db2.gz FHIYQJUGMXGICW-QCDXTXTGSA-N -1 1 305.378 1.722 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)/C=C/C(C)(C)C ZINC001295469013 915824828 /nfs/dbraw/zinc/82/48/28/915824828.db2.gz FYPHRWDLMNQRIZ-WSKFYRRCSA-N -1 1 305.378 1.624 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc3nncn3c2)c(=O)[n-]1 ZINC001295524372 915873329 /nfs/dbraw/zinc/87/33/29/915873329.db2.gz UKWMUDJWAAZNRN-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM CC(C)(C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)C1CCC1 ZINC001376779518 919349188 /nfs/dbraw/zinc/34/91/88/919349188.db2.gz XZJXGXHILHOTRO-UHFFFAOYSA-N -1 1 321.425 1.465 20 0 DDADMM Cc1cc(C)c(C(=O)N[C@@H](C)CNC(=O)c2ncccc2[O-])[nH]1 ZINC001377786195 923035080 /nfs/dbraw/zinc/03/50/80/923035080.db2.gz CBWJXESXRDAJAM-NSHDSACASA-N -1 1 316.361 1.280 20 0 DDADMM C[C@@H](NC(=O)C1(C)CCCCCC1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001377809005 923102482 /nfs/dbraw/zinc/10/24/82/923102482.db2.gz QETFWJJUMGAUAV-NWDGAFQWSA-N -1 1 323.441 1.854 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)CCOC(C)C)cn1 ZINC000272874894 210264964 /nfs/dbraw/zinc/26/49/64/210264964.db2.gz FCRREFRKOUKBCW-UHFFFAOYSA-N -1 1 302.352 1.035 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccnc(C4CC4)c3)nc2n1 ZINC000622870763 365550145 /nfs/dbraw/zinc/55/01/45/365550145.db2.gz FWQQNOXFKFSSOP-UHFFFAOYSA-N -1 1 310.317 1.251 20 0 DDADMM Cc1ccc(C)c(CC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c1 ZINC000622870849 365550778 /nfs/dbraw/zinc/55/07/78/365550778.db2.gz JAHWXWVTIVWCJS-UHFFFAOYSA-N -1 1 311.345 1.524 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](OC)[C@@H](C)CC)nc2n1 ZINC000622997914 365591476 /nfs/dbraw/zinc/59/14/76/365591476.db2.gz QKTAEVXFGIVAFG-CABZTGNLSA-N -1 1 321.381 1.370 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC(=O)N(CC2CC2)C1 ZINC000614199156 361747780 /nfs/dbraw/zinc/74/77/80/361747780.db2.gz PKAQVBDUCCYWGL-UHFFFAOYSA-N -1 1 311.341 1.592 20 0 DDADMM O=C([C@@H](O)C1CCCCC1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614387916 361832818 /nfs/dbraw/zinc/83/28/18/361832818.db2.gz DBYYCNALCJWVRU-RYUDHWBXSA-N -1 1 309.366 1.422 20 0 DDADMM O=C(Nc1cccc(-c2n[nH]c(=O)[n-]2)c1)[C@H]1CCc2[nH]cnc2C1 ZINC000614562543 361921251 /nfs/dbraw/zinc/92/12/51/361921251.db2.gz SAFCCLOKQQCNHO-JTQLQIEISA-N -1 1 324.344 1.644 20 0 DDADMM O=S(=O)([N-]CCN1CCC(O)CC1)c1sccc1Cl ZINC000451982249 231257713 /nfs/dbraw/zinc/25/77/13/231257713.db2.gz BMWABXMMDIRTSP-UHFFFAOYSA-N -1 1 324.855 1.137 20 0 DDADMM Cc1ccc(C(=O)Nc2c([O-])cccc2F)cc1S(N)(=O)=O ZINC000092550300 539176656 /nfs/dbraw/zinc/17/66/56/539176656.db2.gz FSWTUEQLDLRAJL-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM CCN(Cc1ccoc1)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000127840911 539182236 /nfs/dbraw/zinc/18/22/36/539182236.db2.gz XEOOWXSPBXQBIW-UHFFFAOYSA-N -1 1 312.347 1.443 20 0 DDADMM CCC(CC)N(CCOC)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000439165350 282184793 /nfs/dbraw/zinc/18/47/93/282184793.db2.gz DUJYQKSMFRXIDB-UHFFFAOYSA-N -1 1 305.400 1.221 20 0 DDADMM CCCCn1ncc([N-]S(=O)(=O)CCOCCOC)c1C ZINC000164834185 539225454 /nfs/dbraw/zinc/22/54/54/539225454.db2.gz VZNVPZOUCZIIOD-UHFFFAOYSA-N -1 1 319.427 1.396 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1ccc(C(=O)NC)cc1)C(F)F ZINC000451638059 529641597 /nfs/dbraw/zinc/64/15/97/529641597.db2.gz YSJQDCUOGLFSER-NSHDSACASA-N -1 1 320.361 1.509 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2n[nH]c(C)c2[O-])c(OC)c1 ZINC000614874192 362059766 /nfs/dbraw/zinc/05/97/66/362059766.db2.gz JTZMZMUFKWNALD-UHFFFAOYSA-N -1 1 305.290 1.471 20 0 DDADMM CN(C)c1ccc(S(=O)(=O)[N-]c2ccc(C(N)=O)nc2)cc1 ZINC000432774993 529785656 /nfs/dbraw/zinc/78/56/56/529785656.db2.gz GAAZNMSIHHSIEO-UHFFFAOYSA-N -1 1 320.374 1.047 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)c2cccs2)n[n-]1 ZINC000615918564 362486309 /nfs/dbraw/zinc/48/63/09/362486309.db2.gz UUPZFQVPJODQDR-MRVPVSSYSA-N -1 1 308.363 1.463 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)c2cccs2)n1 ZINC000615918564 362486316 /nfs/dbraw/zinc/48/63/16/362486316.db2.gz UUPZFQVPJODQDR-MRVPVSSYSA-N -1 1 308.363 1.463 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(C)c(F)cc2F)n[n-]1 ZINC000615920415 362488112 /nfs/dbraw/zinc/48/81/12/362488112.db2.gz SQILBVKACNJJJN-UHFFFAOYSA-N -1 1 324.287 1.498 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(C)c(F)cc2F)n1 ZINC000615920415 362488118 /nfs/dbraw/zinc/48/81/18/362488118.db2.gz SQILBVKACNJJJN-UHFFFAOYSA-N -1 1 324.287 1.498 20 0 DDADMM CC[C@H](C)[C@H](OC)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616009159 362519331 /nfs/dbraw/zinc/51/93/31/362519331.db2.gz QFBJLNHVPCIAQM-RCOVLWMOSA-N -1 1 304.188 1.902 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2C[C@H]3CC(=O)[C@@H]2C3)c1Br ZINC000616010795 362519992 /nfs/dbraw/zinc/51/99/92/362519992.db2.gz FRWWZHGUSCTNRD-XLPZGREQSA-N -1 1 312.167 1.456 20 0 DDADMM Cc1cnc(C(=O)N2CCN(Cc3ccon3)CC2)c([O-])c1 ZINC000330878706 232134015 /nfs/dbraw/zinc/13/40/15/232134015.db2.gz LOLQLMOGDOHWQD-UHFFFAOYSA-N -1 1 302.334 1.042 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](CNC(=O)C(C)C)C2)c([O-])c1 ZINC000330875291 232129429 /nfs/dbraw/zinc/12/94/29/232129429.db2.gz JBAMOZRMVKWQOL-ZDUSSCGKSA-N -1 1 319.405 1.720 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)c2csc(=NC3CC3)[n-]2)C12CCC2 ZINC000458158693 232254944 /nfs/dbraw/zinc/25/49/44/232254944.db2.gz DUIFOGFSMNOSAC-RYUDHWBXSA-N -1 1 307.419 1.827 20 0 DDADMM CCS(=O)(=O)c1ccc(-c2nc3cccnc3c(=O)[n-]2)cc1 ZINC000491821987 539545496 /nfs/dbraw/zinc/54/54/96/539545496.db2.gz SSUFXNCFZARMLM-UHFFFAOYSA-N -1 1 315.354 1.779 20 0 DDADMM CN(C[C@H]1CCCC[C@H]1O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000286966991 219249665 /nfs/dbraw/zinc/24/96/65/219249665.db2.gz AEVLTLPSJJNPSE-NLGCZKHGSA-N -1 1 317.393 1.357 20 0 DDADMM O=C(NCCCc1nc[nH]n1)c1cc(F)c(F)c([O-])c1F ZINC000080570695 192168278 /nfs/dbraw/zinc/16/82/78/192168278.db2.gz FTSCLQGWARIZKS-UHFFFAOYSA-N -1 1 300.240 1.290 20 0 DDADMM O=c1cc(C[N@@H+]2CCC[C@H]2[C@@H](O)C(F)(F)F)nc(C2CC2)[nH]1 ZINC000459358976 233134942 /nfs/dbraw/zinc/13/49/42/233134942.db2.gz XQSHVXJXKNJCJZ-CMPLNLGQSA-N -1 1 317.311 1.947 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)C[C@@H]3OCCc4ccccc43)ccnc1-2 ZINC000279969880 215279850 /nfs/dbraw/zinc/27/98/50/215279850.db2.gz YQUMMDPVSBFZER-NOEYKIMYSA-N -1 1 322.368 1.984 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H](C)c2ccccn2)co1 ZINC000617074878 362944835 /nfs/dbraw/zinc/94/48/35/362944835.db2.gz APNISFAZEWVWTF-JTQLQIEISA-N -1 1 323.374 1.116 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H]2CCC[C@H]21 ZINC000343550106 282690794 /nfs/dbraw/zinc/69/07/94/282690794.db2.gz SEHXJXWEGFUJFW-NWDGAFQWSA-N -1 1 303.362 1.308 20 0 DDADMM O=C([O-])[C@@H]1CCCCC[C@@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000152657575 186094581 /nfs/dbraw/zinc/09/45/81/186094581.db2.gz LISSEHOLOSIUOY-MNOVXSKESA-N -1 1 302.334 1.721 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3[C@H]4Cc5ccccc5[C@@H]34)ccnc1-2 ZINC000287175169 219360561 /nfs/dbraw/zinc/36/05/61/219360561.db2.gz NEQZSBHPHBBCDK-SJVBJYQJSA-N -1 1 319.368 1.802 20 0 DDADMM CCCC[C@H](COC)NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287187310 219368071 /nfs/dbraw/zinc/36/80/71/219368071.db2.gz NWGFQTSSUALNAQ-ZNCULLJESA-N -1 1 305.382 1.669 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(COC(C)(C)C)on1)c1nn[n-]n1 ZINC000186046735 186234923 /nfs/dbraw/zinc/23/49/23/186234923.db2.gz VETWQTQEZJOQEU-SNVBAGLBSA-N -1 1 322.369 1.774 20 0 DDADMM Cn1c([N-]C(=O)c2ncoc2C(F)(F)F)nnc1C1CC1 ZINC000617283960 363036896 /nfs/dbraw/zinc/03/68/96/363036896.db2.gz ODEPKMKELSMCTK-UHFFFAOYSA-N -1 1 301.228 1.952 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1cnn(-c2ccccc2)c1 ZINC000172367525 198086453 /nfs/dbraw/zinc/08/64/53/198086453.db2.gz XHXZHLCVKODUSF-AWEZNQCLSA-N -1 1 307.375 1.793 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@H](CO)NC(=O)c2ccc([O-])cc2F)C1 ZINC000617533984 363162062 /nfs/dbraw/zinc/16/20/62/363162062.db2.gz ATNFPKSFWOHHEV-NVBFEUDRSA-N -1 1 311.353 1.827 20 0 DDADMM COc1cc(NC(=O)c2cc(C)[nH]n2)ccc1[N-]S(C)(=O)=O ZINC000054764138 352702760 /nfs/dbraw/zinc/70/27/60/352702760.db2.gz OIQROKOACOUFSF-UHFFFAOYSA-N -1 1 324.362 1.351 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)c1c[nH]c(=O)c(Br)c1 ZINC000173377434 198207934 /nfs/dbraw/zinc/20/79/34/198207934.db2.gz NKXNZEYKOJLLRV-VIFPVBQESA-N -1 1 317.139 1.325 20 0 DDADMM CCOCCO[C@@H](C)C(=O)NCc1n[n-]c(=S)n1CC ZINC000066635998 353009796 /nfs/dbraw/zinc/00/97/96/353009796.db2.gz GLYGXAWAQHVIGF-VIFPVBQESA-N -1 1 302.400 1.018 20 0 DDADMM CC(C)(C)c1ccc(SCC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000069641254 353169956 /nfs/dbraw/zinc/16/99/56/353169956.db2.gz HRPRSGWNNUREGZ-UHFFFAOYSA-N -1 1 321.402 1.659 20 0 DDADMM O=S(=O)([N-]CC1=CCCOC1)c1ccc(Br)o1 ZINC000344976301 282888825 /nfs/dbraw/zinc/88/88/25/282888825.db2.gz UYKWIDBEZRFESH-UHFFFAOYSA-N -1 1 322.180 1.667 20 0 DDADMM NC(=O)c1ccc(=NCCCCc2ccc(F)c(F)c2)[n-]n1 ZINC000355751007 290994352 /nfs/dbraw/zinc/99/43/52/290994352.db2.gz WAQDXJLYXDANBW-UHFFFAOYSA-N -1 1 306.316 1.710 20 0 DDADMM O=C(NCCN1C(=O)CCC1=O)c1cc2ccccc2cc1[O-] ZINC000073125703 191281252 /nfs/dbraw/zinc/28/12/52/191281252.db2.gz YHIQYSWCQSKDOT-UHFFFAOYSA-N -1 1 312.325 1.424 20 0 DDADMM CCN(CC(C)(C)O)C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081971902 353700526 /nfs/dbraw/zinc/70/05/26/353700526.db2.gz CARKUGKLWLHLNR-UHFFFAOYSA-N -1 1 309.410 1.609 20 0 DDADMM O=C(NCCc1nnc2n1CCC2)c1ccc(Cl)cc1[O-] ZINC000094021045 353908781 /nfs/dbraw/zinc/90/87/81/353908781.db2.gz WGHUPGGGOBIMAP-UHFFFAOYSA-N -1 1 306.753 1.556 20 0 DDADMM O=C([O-])[C@@H]1CCCN1C(=O)c1ccc(Nc2ccncc2)cc1 ZINC000346284791 283052196 /nfs/dbraw/zinc/05/21/96/283052196.db2.gz DUKNDEJHQILUNH-HNNXBMFYSA-N -1 1 311.341 1.936 20 0 DDADMM CC(C)Oc1ccc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)cc1 ZINC000586757317 354858205 /nfs/dbraw/zinc/85/82/05/354858205.db2.gz XJVHDKRMTWCZLZ-UHFFFAOYSA-N -1 1 324.362 1.248 20 0 DDADMM CC(C)Oc1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1 ZINC000586757317 354858208 /nfs/dbraw/zinc/85/82/08/354858208.db2.gz XJVHDKRMTWCZLZ-UHFFFAOYSA-N -1 1 324.362 1.248 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)Cc3ccccc3F)nc2n1 ZINC000588006711 354892806 /nfs/dbraw/zinc/89/28/06/354892806.db2.gz RXBDOUIPBDFDCU-UHFFFAOYSA-N -1 1 301.281 1.046 20 0 DDADMM C[C@@H](C(=O)N=c1cc(-c2cccs2)[n-][nH]1)N1CCN(C)CC1 ZINC000589689961 355012368 /nfs/dbraw/zinc/01/23/68/355012368.db2.gz YHHOXQJVRMWLKP-NSHDSACASA-N -1 1 319.434 1.135 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C1(C)CC1)c1ccccc1F ZINC000590749670 355163436 /nfs/dbraw/zinc/16/34/36/355163436.db2.gz VXWFANSZFGXPAP-NSHDSACASA-N -1 1 301.339 1.512 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2cccc(C)c2O)CC1 ZINC000591257813 355272064 /nfs/dbraw/zinc/27/20/64/355272064.db2.gz LJRNVALHOSGGKL-UHFFFAOYSA-N -1 1 313.375 1.928 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CC2(C)C)o1 ZINC000358870191 291064039 /nfs/dbraw/zinc/06/40/39/291064039.db2.gz ZPQWMFJFSAMZEN-VIFPVBQESA-N -1 1 301.364 1.781 20 0 DDADMM NS(=O)(=O)c1cccc(-c2nc3cccc(F)c3c(=O)[n-]2)c1 ZINC000591726974 355373010 /nfs/dbraw/zinc/37/30/10/355373010.db2.gz SVLONLBHSZVCAX-UHFFFAOYSA-N -1 1 319.317 1.789 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@H](OC)C1CC1 ZINC000592072984 355483252 /nfs/dbraw/zinc/48/32/52/355483252.db2.gz CHHBQCBDUFYCDT-NEPJUHHUSA-N -1 1 321.439 1.309 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1cc(C(=O)OC)co1)C1CC1 ZINC000594860294 356341849 /nfs/dbraw/zinc/34/18/49/356341849.db2.gz AQMVRIMROBLPBF-CYBMUJFWSA-N -1 1 301.364 1.923 20 0 DDADMM COCC(C)(C)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595330707 356452282 /nfs/dbraw/zinc/45/22/82/356452282.db2.gz NXSLELNKRCYPIZ-UHFFFAOYSA-N -1 1 319.379 1.326 20 0 DDADMM CN(CC1CN(C)C1)C(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000618193315 363498749 /nfs/dbraw/zinc/49/87/49/363498749.db2.gz RLYBWTAEUSEOTL-UHFFFAOYSA-N -1 1 318.352 1.351 20 0 DDADMM Cc1cc(C(=O)NC(C)(C)c2nn[n-]n2)nn1[C@H](C)C1CC1 ZINC000347579826 283235823 /nfs/dbraw/zinc/23/58/23/283235823.db2.gz PZXPDFSNJMRGJC-SECBINFHSA-N -1 1 303.370 1.341 20 0 DDADMM CCOC(=O)C1(C(=O)N=c2[nH][n-]c(C)c2Br)CC1 ZINC000597730793 357307427 /nfs/dbraw/zinc/30/74/27/357307427.db2.gz BPRJBAGMISYFHQ-UHFFFAOYSA-N -1 1 316.155 1.184 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2C(=O)OC(C)(C)C)c([O-])c1 ZINC000597851762 357358278 /nfs/dbraw/zinc/35/82/78/357358278.db2.gz LSTWIPQTJCZUCR-LLVKDONJSA-N -1 1 322.361 1.278 20 0 DDADMM CCCCOc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)c(C)c1 ZINC000276818795 213114204 /nfs/dbraw/zinc/11/42/04/213114204.db2.gz DCDBHKWXBNCAOX-UHFFFAOYSA-N -1 1 320.349 1.762 20 0 DDADMM COC(=O)C(C)(C)n1cc(NC(=O)c2ccc([O-])cc2F)cn1 ZINC000598371041 357554554 /nfs/dbraw/zinc/55/45/54/357554554.db2.gz QZMOWLOZSKGOMG-UHFFFAOYSA-N -1 1 321.308 1.888 20 0 DDADMM COC(=O)C(C)(C)n1cc(NC(=O)c2cc(F)ccc2[O-])cn1 ZINC000598371044 357554639 /nfs/dbraw/zinc/55/46/39/357554639.db2.gz RBWLNBSMFIZWJJ-UHFFFAOYSA-N -1 1 321.308 1.888 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@@H]1c1ccccc1Cl ZINC000565396920 304066178 /nfs/dbraw/zinc/06/61/78/304066178.db2.gz LRUUEPRTMHHESZ-NXEZZACHSA-N -1 1 303.753 1.762 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@@H]1c1ccccc1Cl ZINC000565396929 304066248 /nfs/dbraw/zinc/06/62/48/304066248.db2.gz LRUUEPRTMHHESZ-ZJUUUORDSA-N -1 1 303.753 1.762 20 0 DDADMM CC(C)(C)OC(=O)[C@H](C(=O)N=c1ccc(O)n[n-]1)C1CCCC1 ZINC000598832582 357738109 /nfs/dbraw/zinc/73/81/09/357738109.db2.gz RBLXVDVYEGYFCT-ZDUSSCGKSA-N -1 1 321.377 1.691 20 0 DDADMM CC(C)(C)OC(=O)[C@H](C(=O)N=c1ccc(=O)[n-][nH]1)C1CCCC1 ZINC000598832582 357738112 /nfs/dbraw/zinc/73/81/12/357738112.db2.gz RBLXVDVYEGYFCT-ZDUSSCGKSA-N -1 1 321.377 1.691 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C(=O)OC)no1)c1ccccc1 ZINC000599225141 357855363 /nfs/dbraw/zinc/85/53/63/357855363.db2.gz RECCXONYSAYIHX-NSHDSACASA-N -1 1 324.358 1.891 20 0 DDADMM CCOc1c(Cl)cc(C(=O)NCc2nn[n-]n2)cc1OC ZINC000599334924 357899138 /nfs/dbraw/zinc/89/91/38/357899138.db2.gz XUJGCHKNCCNIFO-UHFFFAOYSA-N -1 1 311.729 1.190 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CC[C@H]3C[C@H]3C2)c1 ZINC000599363967 357910033 /nfs/dbraw/zinc/91/00/33/357910033.db2.gz VHVGAEHGGCWIRU-OUAUKWLOSA-N -1 1 313.375 1.781 20 0 DDADMM CC(C)(CNC(=O)Cc1ccc([O-])c(Cl)c1)OCCO ZINC000633097353 422756757 /nfs/dbraw/zinc/75/67/57/422756757.db2.gz DNZRRJOXKUWNTK-UHFFFAOYSA-N -1 1 301.770 1.492 20 0 DDADMM NC(=O)[C@H]1Cc2ccccc2N(C(=O)c2ccc(O)cc2[O-])C1 ZINC000436929965 283359679 /nfs/dbraw/zinc/35/96/79/283359679.db2.gz GCPUJNGOLBEZEG-NSHDSACASA-N -1 1 312.325 1.402 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@@H]1CCC1(F)F ZINC000601438940 358553961 /nfs/dbraw/zinc/55/39/61/358553961.db2.gz CXLVWZATNHPBNS-VHSXEESVSA-N -1 1 311.350 1.293 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(C)(C)F)c(F)c1 ZINC000601476653 358569933 /nfs/dbraw/zinc/56/99/33/358569933.db2.gz VHVKHLICYFPACK-UHFFFAOYSA-N -1 1 307.318 1.639 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccnc(C2CC2)n1 ZINC000601814224 358702829 /nfs/dbraw/zinc/70/28/29/358702829.db2.gz ZSSZRXOOSDYLLR-CYBMUJFWSA-N -1 1 321.344 1.387 20 0 DDADMM CCOC(=O)[C@@]1(C)CN(C(=O)c2ccc([O-])c(F)c2)CCO1 ZINC000601907861 358736467 /nfs/dbraw/zinc/73/64/67/358736467.db2.gz SZDFILZJNFEFIS-OAHLLOKOSA-N -1 1 311.309 1.326 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CNCc1ccc(C[S@@](C)=O)cc1 ZINC000602067432 358799055 /nfs/dbraw/zinc/79/90/55/358799055.db2.gz RPJOEGCWRGMSET-JOCHJYFZSA-N -1 1 321.402 1.287 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)c2cccc(C)c2)n[n-]1 ZINC000603014679 359358020 /nfs/dbraw/zinc/35/80/20/359358020.db2.gz GGUOOLWIVCONJC-NSHDSACASA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)c2cccc(C)c2)n1 ZINC000603014679 359358022 /nfs/dbraw/zinc/35/80/22/359358022.db2.gz GGUOOLWIVCONJC-NSHDSACASA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCc2ccsc2)n[n-]1 ZINC000603164531 359448580 /nfs/dbraw/zinc/44/85/80/359448580.db2.gz KBNYZZQZPZGDFG-SECBINFHSA-N -1 1 322.390 1.853 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCc2ccsc2)[n-]1 ZINC000603164531 359448589 /nfs/dbraw/zinc/44/85/89/359448589.db2.gz KBNYZZQZPZGDFG-SECBINFHSA-N -1 1 322.390 1.853 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCc2ccsc2)n1 ZINC000603164531 359448596 /nfs/dbraw/zinc/44/85/96/359448596.db2.gz KBNYZZQZPZGDFG-SECBINFHSA-N -1 1 322.390 1.853 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@H]2C2CCC2)n[n-]1 ZINC000603152664 359438939 /nfs/dbraw/zinc/43/89/39/359438939.db2.gz DFHQNZRHFHTBPD-INTQDDNPSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@H]2C2CCC2)[n-]1 ZINC000603152664 359438942 /nfs/dbraw/zinc/43/89/42/359438942.db2.gz DFHQNZRHFHTBPD-INTQDDNPSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@H]2C2CCC2)n1 ZINC000603152664 359438946 /nfs/dbraw/zinc/43/89/46/359438946.db2.gz DFHQNZRHFHTBPD-INTQDDNPSA-N -1 1 306.366 1.595 20 0 DDADMM CC[C@@H](C)C[C@@H]([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2)C(=O)OC ZINC000603365838 359595923 /nfs/dbraw/zinc/59/59/23/359595923.db2.gz HZYUQDKRGQPNER-NZEXEKPDSA-N -1 1 319.423 1.204 20 0 DDADMM COC(=O)c1cnc(S[C@@H](C)C(=O)NCC(F)(F)F)[n-]1 ZINC000187595421 200132325 /nfs/dbraw/zinc/13/23/25/200132325.db2.gz VZXIJVWWEORTDY-YFKPBYRVSA-N -1 1 311.285 1.355 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H](C)C(=O)NCC(F)(F)F)n1 ZINC000187595421 200132326 /nfs/dbraw/zinc/13/23/26/200132326.db2.gz VZXIJVWWEORTDY-YFKPBYRVSA-N -1 1 311.285 1.355 20 0 DDADMM O=C(CCOC1CCOCC1)Nc1nc(Cl)ccc1[O-] ZINC000188355191 200233126 /nfs/dbraw/zinc/23/31/26/200233126.db2.gz AMSYXSFJSDLMKV-UHFFFAOYSA-N -1 1 300.742 1.965 20 0 DDADMM O=C(Cc1ccc(Cl)cc1)NC1(c2nn[n-]n2)CCCC1 ZINC000605381789 359848271 /nfs/dbraw/zinc/84/82/71/359848271.db2.gz WYFLTUPZICOKLS-UHFFFAOYSA-N -1 1 305.769 1.981 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc(-c2ccccc2)n[nH]1 ZINC000605382067 359848447 /nfs/dbraw/zinc/84/84/47/359848447.db2.gz VGVHODFMDHWNHG-UHFFFAOYSA-N -1 1 323.360 1.789 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1CCC[C@@H](C)O1 ZINC000618575505 363678781 /nfs/dbraw/zinc/67/87/81/363678781.db2.gz LJMURCDTTHJTOT-SCZZXKLOSA-N -1 1 311.407 1.900 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1Cc2ccccc21 ZINC000608356928 360164555 /nfs/dbraw/zinc/16/45/55/360164555.db2.gz YMHJYVUCQPPMSG-CABCVRRESA-N -1 1 305.341 1.745 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1COc2ccccc21 ZINC000608356000 360165245 /nfs/dbraw/zinc/16/52/45/360165245.db2.gz HHZUARFNAAZUBP-HIFRSBDPSA-N -1 1 321.340 1.582 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H]2CCC(F)(F)C2)oc1C ZINC000610785288 360537167 /nfs/dbraw/zinc/53/71/67/360537167.db2.gz FKQFNVUFZCKBHU-MRVPVSSYSA-N -1 1 323.317 1.841 20 0 DDADMM CNC(=O)CCCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000271966644 209346800 /nfs/dbraw/zinc/34/68/00/209346800.db2.gz VSKXWXWAINWBAT-UHFFFAOYSA-N -1 1 304.268 1.667 20 0 DDADMM CO[C@H](C)[C@@H](C)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000292494100 223121042 /nfs/dbraw/zinc/12/10/42/223121042.db2.gz JGTXOEXYLRKBGI-PSASIEDQSA-N -1 1 313.423 1.685 20 0 DDADMM Cn1[n-]c(C(=O)N2CCC[C@H](c3n[nH]c(C4CC4)n3)C2)cc1=O ZINC000613150308 361294295 /nfs/dbraw/zinc/29/42/95/361294295.db2.gz AQZIASNEBIKWON-JTQLQIEISA-N -1 1 316.365 1.141 20 0 DDADMM COC(=O)Cc1csc(N(C)C(=O)c2ccc([O-])c(F)c2)n1 ZINC000613510042 361448886 /nfs/dbraw/zinc/44/88/86/361448886.db2.gz CJDQEBFPYUUNLQ-UHFFFAOYSA-N -1 1 324.333 1.980 20 0 DDADMM COCCO[C@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000613779156 361564173 /nfs/dbraw/zinc/56/41/73/361564173.db2.gz ZOJZATXVENVUDE-ZDUSSCGKSA-N -1 1 316.357 1.818 20 0 DDADMM C[C@](O)(C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1)C1CC1 ZINC000565952653 304107279 /nfs/dbraw/zinc/10/72/79/304107279.db2.gz BZPIINHVXATROO-OAHLLOKOSA-N -1 1 307.300 1.876 20 0 DDADMM COC[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@@H](C)O1 ZINC000613824285 361590501 /nfs/dbraw/zinc/59/05/01/361590501.db2.gz DMLZGJQJJICAOL-YPMHNXCESA-N -1 1 316.357 1.816 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H]3CCCOC3)cnc2n1 ZINC000194436209 201227313 /nfs/dbraw/zinc/22/73/13/201227313.db2.gz DMMUDTXEXXGJSM-LLVKDONJSA-N -1 1 301.346 1.800 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@H]1CCCOC1)c2=O ZINC000194436209 201227316 /nfs/dbraw/zinc/22/73/16/201227316.db2.gz DMMUDTXEXXGJSM-LLVKDONJSA-N -1 1 301.346 1.800 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)Cc1csc(C)n1 ZINC000619167245 363922151 /nfs/dbraw/zinc/92/21/51/363922151.db2.gz YRAPSBAJHJQVEX-LLVKDONJSA-N -1 1 320.436 1.459 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CC[C@H]2C[C@H]2C1 ZINC000619348309 363983810 /nfs/dbraw/zinc/98/38/10/363983810.db2.gz LOOPRISCGGDBDE-KBPBESRZSA-N -1 1 324.384 1.551 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC[C@@H](CN2CCCC2=O)C1 ZINC000620253219 364350624 /nfs/dbraw/zinc/35/06/24/364350624.db2.gz HHAUPKAHYFXVNG-NSHDSACASA-N -1 1 306.337 1.616 20 0 DDADMM C[C@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@](C)(C(F)F)O1 ZINC000621121951 364694397 /nfs/dbraw/zinc/69/43/97/364694397.db2.gz UHXUOIFAZSEFRI-VFZGTOFNSA-N -1 1 313.300 1.846 20 0 DDADMM CCc1nc(CN=c2nc(Cc3ccc(F)cc3)o[n-]2)n[nH]1 ZINC000621322141 364800906 /nfs/dbraw/zinc/80/09/06/364800906.db2.gz LDSHLAKFCPUWPP-UHFFFAOYSA-N -1 1 302.313 1.514 20 0 DDADMM C[C@@H](NCc1nc(=O)[n-][nH]1)c1nc2ccccc2n1C(F)F ZINC000275420160 212322992 /nfs/dbraw/zinc/32/29/92/212322992.db2.gz GDHMUKQIEGIZJY-SSDOTTSWSA-N -1 1 308.292 1.694 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@@H]1S(=O)(=O)[N-]C1(C(F)F)CC1 ZINC000337034371 291336556 /nfs/dbraw/zinc/33/65/56/291336556.db2.gz FIGLTKGUFORINI-BDAKNGLRSA-N -1 1 311.350 1.435 20 0 DDADMM C[C@@H](NC(=O)N=c1nc(-c2ccccc2)[n-]s1)c1nnc[nH]1 ZINC000340839762 296074306 /nfs/dbraw/zinc/07/43/06/296074306.db2.gz ZLZPVCAXTHMBCQ-MRVPVSSYSA-N -1 1 315.362 1.628 20 0 DDADMM Cc1cc(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)on1 ZINC000091160426 193009119 /nfs/dbraw/zinc/00/91/19/193009119.db2.gz WHVSCPBXBMSFEA-UHFFFAOYSA-N -1 1 313.310 1.746 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H]4COC[C@]4(C)C3)cnc2n1 ZINC000622172647 365310668 /nfs/dbraw/zinc/31/06/68/365310668.db2.gz RPAKJUGYPASNMP-GTNSWQLSSA-N -1 1 313.357 1.752 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1C[C@H]3COC[C@]3(C)C1)c2=O ZINC000622172647 365310669 /nfs/dbraw/zinc/31/06/69/365310669.db2.gz RPAKJUGYPASNMP-GTNSWQLSSA-N -1 1 313.357 1.752 20 0 DDADMM CC1N=NC(C(=O)Nc2ccccc2CN2CCNC2=O)=C1[O-] ZINC000622486431 365421191 /nfs/dbraw/zinc/42/11/91/365421191.db2.gz MTNGRPXCVRVTBC-UHFFFAOYSA-N -1 1 315.333 1.201 20 0 DDADMM Cn1ccnc1[C@H]1OCC[C@@H]1NC(=O)c1c([O-])cccc1F ZINC000275331954 212276101 /nfs/dbraw/zinc/27/61/01/212276101.db2.gz KFUBJPFVPXSKSG-GWCFXTLKSA-N -1 1 305.309 1.525 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cc(F)ccc1F ZINC000622611760 365451584 /nfs/dbraw/zinc/45/15/84/365451584.db2.gz JWGVOOYEHYGIEL-ZDUSSCGKSA-N -1 1 315.283 1.997 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000625493509 366964040 /nfs/dbraw/zinc/96/40/40/366964040.db2.gz ZXBDZDBDCAIKJE-SNVBAGLBSA-N -1 1 313.306 1.208 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H](CCc1ccccc1)C(F)F ZINC000626136421 367357179 /nfs/dbraw/zinc/35/71/79/367357179.db2.gz WQBIRIIMXNLVRK-GFCCVEGCSA-N -1 1 310.348 1.807 20 0 DDADMM CCCn1nccc1NC(=O)CN1C[C@H](C(=O)[O-])CC[C@@H]1C ZINC000635018176 422770334 /nfs/dbraw/zinc/77/03/34/422770334.db2.gz LUBIOWWGPWRCLU-NWDGAFQWSA-N -1 1 308.382 1.417 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1CCC(O)CC1 ZINC000349831830 284070390 /nfs/dbraw/zinc/07/03/90/284070390.db2.gz VUTDJDAQVFGZBW-UHFFFAOYSA-N -1 1 318.377 1.219 20 0 DDADMM CCC[C@@H](NCC(=O)NC(=O)Nc1cccc(C)c1C)C(=O)[O-] ZINC000262271563 203245706 /nfs/dbraw/zinc/24/57/06/203245706.db2.gz IMFBJYWYENORBQ-CYBMUJFWSA-N -1 1 321.377 1.794 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)NC(=O)NCc1ccccc1)C(=O)[O-] ZINC000262291099 203251391 /nfs/dbraw/zinc/25/13/91/203251391.db2.gz NOCCYCBEVSZLRC-WCQYABFASA-N -1 1 321.377 1.244 20 0 DDADMM CCCCNC(=O)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000263662929 203500132 /nfs/dbraw/zinc/50/01/32/203500132.db2.gz JCXXCNVDMZFFAS-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM CS(=O)(=O)c1cccc([N-]S(=O)(=O)C[C@@H]2CCCO2)c1 ZINC000264610257 204026447 /nfs/dbraw/zinc/02/64/47/204026447.db2.gz FHRHOXHEBWYGDK-NSHDSACASA-N -1 1 319.404 1.011 20 0 DDADMM COc1ccc(OC)c([C@H](O)CNC(=O)c2cncc([O-])c2)c1 ZINC000264736087 204112762 /nfs/dbraw/zinc/11/27/62/204112762.db2.gz QSZGDMGGBJFNPO-CQSZACIVSA-N -1 1 318.329 1.268 20 0 DDADMM CC[C@H](C)N1CCN(C(=O)c2cc(=O)[nH]c(C3CC3)n2)CC1 ZINC000566463948 304161944 /nfs/dbraw/zinc/16/19/44/304161944.db2.gz AUQKWNJMODUYFU-NSHDSACASA-N -1 1 304.394 1.616 20 0 DDADMM CS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc3ccccc3c2[O-])C1 ZINC000282569954 217095859 /nfs/dbraw/zinc/09/58/59/217095859.db2.gz RVLVTFNCKZKDOQ-GFCCVEGCSA-N -1 1 319.382 1.805 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1cc(CCC(C)C)[nH]n1 ZINC000351396630 284323205 /nfs/dbraw/zinc/32/32/05/284323205.db2.gz JUKINCUQBBSKHP-UHFFFAOYSA-N -1 1 311.411 1.841 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCn2c(Br)cnc2C1 ZINC000282717493 217199428 /nfs/dbraw/zinc/19/94/28/217199428.db2.gz BFHPDOQZZJQBLR-UHFFFAOYSA-N -1 1 323.150 1.402 20 0 DDADMM CCOC(=O)C(C)(C)C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000282770247 217238274 /nfs/dbraw/zinc/23/82/74/217238274.db2.gz LKMQNYOIJJWLMP-UHFFFAOYSA-N -1 1 309.318 1.707 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H](C)OC[C@H]2CCCO2)c1 ZINC000282817298 217270813 /nfs/dbraw/zinc/27/08/13/217270813.db2.gz PFQHRCRUXQQYIQ-ZYHUDNBSSA-N -1 1 323.345 1.701 20 0 DDADMM C[C@H](CCO)CNC(=O)c1ccc(Br)cc1[O-] ZINC000097444220 193721733 /nfs/dbraw/zinc/72/17/33/193721733.db2.gz OUMRKJOIALPYDZ-MRVPVSSYSA-N -1 1 302.168 1.903 20 0 DDADMM COc1ccc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1OC ZINC000019775151 182147285 /nfs/dbraw/zinc/14/72/85/182147285.db2.gz KGPYUWDLWASJQP-LLVKDONJSA-N -1 1 322.361 1.439 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCC[C@@](O)(CO)C1 ZINC000313617181 296240473 /nfs/dbraw/zinc/24/04/73/296240473.db2.gz AQGFMEXGGZGIJF-KRWDZBQOSA-N -1 1 301.342 1.505 20 0 DDADMM CCSCC[C@@H](C)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000154293648 248301320 /nfs/dbraw/zinc/30/13/20/248301320.db2.gz RNAYYPRVCITKBX-SECBINFHSA-N -1 1 320.436 1.449 20 0 DDADMM Cn1c(=O)oc2cc(NC(=O)c3ccc(O)cc3[O-])ccc21 ZINC000170848153 248322446 /nfs/dbraw/zinc/32/24/46/248322446.db2.gz LZMSCNZYYFJECY-UHFFFAOYSA-N -1 1 300.270 1.795 20 0 DDADMM O=C(Nc1cccc(N2CCCNC2=O)c1)c1cncc([O-])c1 ZINC000174591670 248370201 /nfs/dbraw/zinc/37/02/01/248370201.db2.gz HRELSICNBWJDAO-UHFFFAOYSA-N -1 1 312.329 1.959 20 0 DDADMM O=C(CCn1ccc2ccccc21)NCCCc1nc(=O)[n-][nH]1 ZINC000174577458 248371308 /nfs/dbraw/zinc/37/13/08/248371308.db2.gz VPSDJWKJOGZBRC-UHFFFAOYSA-N -1 1 313.361 1.192 20 0 DDADMM CCNC(=O)NC(=O)[C@@H](C)Sc1nc(C(F)F)cc(=O)[n-]1 ZINC000351877099 284606225 /nfs/dbraw/zinc/60/62/25/284606225.db2.gz GFXCUOABALZOCM-RXMQYKEDSA-N -1 1 320.321 1.446 20 0 DDADMM CNC(=O)NC(=O)[C@H](C)Sc1nc(C(F)F)cc(=O)[n-]1 ZINC000351883817 284611499 /nfs/dbraw/zinc/61/14/99/284611499.db2.gz OAJMFEWCVSLFAQ-BYPYZUCNSA-N -1 1 306.294 1.056 20 0 DDADMM COc1cncc(S(=O)(=O)Nc2ccc(C)cc2C(=O)[O-])c1 ZINC000337133829 249360016 /nfs/dbraw/zinc/36/00/16/249360016.db2.gz BBDYSQDSKJNYHM-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](C)c1cccc(OC)c1 ZINC000337133547 249360264 /nfs/dbraw/zinc/36/02/64/249360264.db2.gz MEZLNXYOXINCGW-SECBINFHSA-N -1 1 316.361 1.250 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)CC1CCCC1 ZINC000104872443 194049229 /nfs/dbraw/zinc/04/92/29/194049229.db2.gz DEWODSAUMFJQBH-UHFFFAOYSA-N -1 1 316.405 1.831 20 0 DDADMM COc1n[n-]c(=NC(=O)NCc2nc3cccc(C)c3[nH]2)s1 ZINC000338513296 250004739 /nfs/dbraw/zinc/00/47/39/250004739.db2.gz UQMXGDQSJIAGOP-UHFFFAOYSA-N -1 1 318.362 1.475 20 0 DDADMM CC[C@H](C(=O)OC)C([O-])=Nc1nc(-c2cccnc2)ns1 ZINC000338821092 250150970 /nfs/dbraw/zinc/15/09/70/250150970.db2.gz HQKBNRIHIZWWBO-VIFPVBQESA-N -1 1 306.347 1.160 20 0 DDADMM O=C1C[C@H](C[N-]S(=O)(=O)c2sccc2F)CN1C1CC1 ZINC000338948963 250214955 /nfs/dbraw/zinc/21/49/55/250214955.db2.gz PVGXSUTVHBIPHE-MRVPVSSYSA-N -1 1 318.395 1.176 20 0 DDADMM Cc1c(C(=O)Nc2ccc(F)cc2[O-])cccc1S(N)(=O)=O ZINC000338960303 250220465 /nfs/dbraw/zinc/22/04/65/250220465.db2.gz CBMZSZMDAXMGBH-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCn2c(C)csc2=O)[n-]1 ZINC000339173252 250328309 /nfs/dbraw/zinc/32/83/09/250328309.db2.gz YBVPHUFZVVISCK-UHFFFAOYSA-N -1 1 324.362 1.147 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCn2c(C)csc2=O)n1 ZINC000339173252 250328314 /nfs/dbraw/zinc/32/83/14/250328314.db2.gz YBVPHUFZVVISCK-UHFFFAOYSA-N -1 1 324.362 1.147 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cccc(OC)c2)n1 ZINC000339165398 250324426 /nfs/dbraw/zinc/32/44/26/250324426.db2.gz LNPQVQPSGBIERG-UHFFFAOYSA-N -1 1 303.318 1.776 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CC23CCCC3)c1 ZINC000359686685 299515130 /nfs/dbraw/zinc/51/51/30/299515130.db2.gz VVOWZSMXSMXISM-LLVKDONJSA-N -1 1 324.402 1.819 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCC[C@@H](C)CO ZINC000340407296 251052144 /nfs/dbraw/zinc/05/21/44/251052144.db2.gz GNMKNAQYVXGRDN-SNVBAGLBSA-N -1 1 320.393 1.119 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)CCC(F)(F)F ZINC000340938324 251290138 /nfs/dbraw/zinc/29/01/38/251290138.db2.gz VDBRLHFBHSEHLE-QMMMGPOBSA-N -1 1 305.318 1.446 20 0 DDADMM C[C@@H]1CN(Cc2cccc([O-])c2Cl)CCS(=O)(=O)C1 ZINC000294545221 224081198 /nfs/dbraw/zinc/08/11/98/224081198.db2.gz DVSVUWLTOGVVOT-SNVBAGLBSA-N -1 1 303.811 1.912 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]2CSC)o1 ZINC000157238634 197172729 /nfs/dbraw/zinc/17/27/29/197172729.db2.gz GQWABWQSCATMNI-VIFPVBQESA-N -1 1 318.420 1.155 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@]1(C)CCOC1 ZINC000156974545 197153917 /nfs/dbraw/zinc/15/39/17/197153917.db2.gz PPGAKBVCPTUZSU-INIZCTEOSA-N -1 1 318.377 1.280 20 0 DDADMM CN(Cc1cn(CC(=O)[O-])nn1)[C@H]1CCc2ccccc2C1 ZINC000568061738 304265675 /nfs/dbraw/zinc/26/56/75/304265675.db2.gz PXMHZKFDVPKVCI-HNNXBMFYSA-N -1 1 300.362 1.352 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2cc3ccccc3cc2[O-])CCS1(=O)=O ZINC000294850742 224263978 /nfs/dbraw/zinc/26/39/78/224263978.db2.gz XNYBITCSOWTENE-HZMBPMFUSA-N -1 1 319.382 1.851 20 0 DDADMM O=C(CSc1nc(C(F)F)cc(=O)[n-]1)NCC(F)(F)F ZINC000289564288 221071775 /nfs/dbraw/zinc/07/17/75/221071775.db2.gz PTVLPJYRRIIZNI-UHFFFAOYSA-N -1 1 317.239 1.890 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Br)o1)[C@@H]1CCOC1 ZINC000352884816 285359953 /nfs/dbraw/zinc/35/99/53/285359953.db2.gz RPECOJSEOCBVDV-HTQZYQBOSA-N -1 1 324.196 1.745 20 0 DDADMM C[C@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352943071 285402774 /nfs/dbraw/zinc/40/27/74/285402774.db2.gz PUTFEOKTWODDST-XCBNKYQSSA-N -1 1 324.196 1.888 20 0 DDADMM COc1cc(NC(=O)N(C)C(C)C)ccc1[N-]S(C)(=O)=O ZINC000352950331 285408945 /nfs/dbraw/zinc/40/89/45/285408945.db2.gz XGJBKRURMFAGGT-UHFFFAOYSA-N -1 1 315.395 1.939 20 0 DDADMM CSC1(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)CCC1 ZINC000426448735 533473954 /nfs/dbraw/zinc/47/39/54/533473954.db2.gz DLZIZLLTWMTOBJ-UHFFFAOYSA-N -1 1 320.418 1.954 20 0 DDADMM COC(=O)c1[n-]c(=NCc2ccc(N(C)C)nc2)sc1C ZINC000433608370 533647683 /nfs/dbraw/zinc/64/76/83/533647683.db2.gz JWSKAOXEKVIXIR-UHFFFAOYSA-N -1 1 306.391 1.733 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H](CO)Cc2ccc(F)cc2)sc1C ZINC000433771884 533647791 /nfs/dbraw/zinc/64/77/91/533647791.db2.gz WCDUXQAHKAHABM-GFCCVEGCSA-N -1 1 324.377 1.815 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@H]1c1cn[nH]c1 ZINC000631531986 422816673 /nfs/dbraw/zinc/81/66/73/422816673.db2.gz SDBZAVXHIOSJAC-ZDUSSCGKSA-N -1 1 309.329 1.624 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(C2CC2)n1 ZINC000569430802 304352477 /nfs/dbraw/zinc/35/24/77/304352477.db2.gz YXKPAONBWSMXDM-GFCCVEGCSA-N -1 1 312.377 1.800 20 0 DDADMM CO[C@](C)(CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C1CC1 ZINC000291541252 286141474 /nfs/dbraw/zinc/14/14/74/286141474.db2.gz SJKSJITUWZIJCV-VUFYJBQKSA-N -1 1 303.366 1.278 20 0 DDADMM CC(C)(C)n1cc(Br)c(C(=O)Nc2nn[n-]n2)n1 ZINC000668867511 485344780 /nfs/dbraw/zinc/34/47/80/485344780.db2.gz QZWHVGMTTYKPIY-UHFFFAOYSA-N -1 1 314.147 1.166 20 0 DDADMM Cc1ccccc1CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000069457071 406717986 /nfs/dbraw/zinc/71/79/86/406717986.db2.gz LIORGIAHELQXKZ-UHFFFAOYSA-N -1 1 324.384 1.807 20 0 DDADMM C[C@@H](CS(C)(=O)=O)NC(=O)c1ccc2ccccc2c1[O-] ZINC000078637064 407038097 /nfs/dbraw/zinc/03/80/97/407038097.db2.gz VCTLJNAHADDZRT-JTQLQIEISA-N -1 1 307.371 1.708 20 0 DDADMM O=C(NC[C@@H]1CCS(=O)(=O)C1)c1cc(Cl)ccc1[O-] ZINC000045075571 407039143 /nfs/dbraw/zinc/03/91/43/407039143.db2.gz RMAOZCIWMYJKOT-QMMMGPOBSA-N -1 1 303.767 1.210 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)N(C)Cc1ccc(Cl)s1 ZINC000037932970 406995401 /nfs/dbraw/zinc/99/54/01/406995401.db2.gz STENJCGTIZMZEC-UHFFFAOYSA-N -1 1 304.799 1.766 20 0 DDADMM NC(=O)CO[N-]C(=O)CCCOc1cccc2ccccc21 ZINC000089462812 407135687 /nfs/dbraw/zinc/13/56/87/407135687.db2.gz OMRDLBSSNADMNM-UHFFFAOYSA-N -1 1 302.330 1.532 20 0 DDADMM CS(=O)(=O)CCN(C(=O)c1ccc(Cl)cc1[O-])C1CC1 ZINC000093244118 407196708 /nfs/dbraw/zinc/19/67/08/407196708.db2.gz QHGVGTSNSFXHBV-UHFFFAOYSA-N -1 1 317.794 1.695 20 0 DDADMM Cc1cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)sc1C ZINC000101664452 407314120 /nfs/dbraw/zinc/31/41/20/407314120.db2.gz QRLRXKGBPPHADM-UHFFFAOYSA-N -1 1 300.365 1.139 20 0 DDADMM Cc1cc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)sc1C ZINC000101664452 407314121 /nfs/dbraw/zinc/31/41/21/407314121.db2.gz QRLRXKGBPPHADM-UHFFFAOYSA-N -1 1 300.365 1.139 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@@](C)(O)c1ccccc1)c1nn[n-]n1 ZINC000124069022 407347389 /nfs/dbraw/zinc/34/73/89/407347389.db2.gz CRHYSJRWITVNMQ-XHDPSFHLSA-N -1 1 303.366 1.059 20 0 DDADMM COC(=O)N(C)CCCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000104313563 407350516 /nfs/dbraw/zinc/35/05/16/407350516.db2.gz GSHHJAMZAMOWDK-UHFFFAOYSA-N -1 1 309.248 1.240 20 0 DDADMM CC[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccc(OC)cc1 ZINC000124696634 407366455 /nfs/dbraw/zinc/36/64/55/407366455.db2.gz TYCLTIFSMBMXAA-RISCZKNCSA-N -1 1 317.393 1.964 20 0 DDADMM O=C(N[C@H](CCO)c1ccccc1)c1nc2ccccc2c(=O)[n-]1 ZINC000124717329 407366936 /nfs/dbraw/zinc/36/69/36/407366936.db2.gz QWJKYVABXXKFPQ-CQSZACIVSA-N -1 1 323.352 1.777 20 0 DDADMM CC(=O)Nc1cc(C(=O)Nc2nnn[n-]2)cc(C(F)(F)F)c1 ZINC000125498449 407389705 /nfs/dbraw/zinc/38/97/05/407389705.db2.gz RNOZFZCPNDCOCG-UHFFFAOYSA-N -1 1 314.227 1.429 20 0 DDADMM CC(=O)Nc1cc(C(=O)Nc2nn[n-]n2)cc(C(F)(F)F)c1 ZINC000125498449 407389706 /nfs/dbraw/zinc/38/97/06/407389706.db2.gz RNOZFZCPNDCOCG-UHFFFAOYSA-N -1 1 314.227 1.429 20 0 DDADMM CCC[C@@H](C)NC(=O)[C@@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000111588749 407411999 /nfs/dbraw/zinc/41/19/99/407411999.db2.gz DOLXRCXECLIUSI-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CS(=O)(=O)Nc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000111776640 407416181 /nfs/dbraw/zinc/41/61/81/407416181.db2.gz DIMPNGAPGPBICZ-UHFFFAOYSA-N -1 1 307.331 1.411 20 0 DDADMM COc1ccc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)cc1F ZINC000127170100 407430033 /nfs/dbraw/zinc/43/00/33/407430033.db2.gz MMULLNRSAUJSNC-UHFFFAOYSA-N -1 1 311.338 1.804 20 0 DDADMM COc1ccccc1CNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000261607392 407551505 /nfs/dbraw/zinc/55/15/05/407551505.db2.gz DGBODWIQYRZMET-ZDUSSCGKSA-N -1 1 306.362 1.108 20 0 DDADMM O=C(NCC1(O)CCC1)c1cc(Br)ccc1[O-] ZINC000223745337 407571877 /nfs/dbraw/zinc/57/18/77/407571877.db2.gz SDAGOCLWJNNKET-UHFFFAOYSA-N -1 1 300.152 1.800 20 0 DDADMM COc1ccc(Cl)cc1N1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000171022710 407572251 /nfs/dbraw/zinc/57/22/51/407572251.db2.gz PPTAWXKSJDQSHZ-JTQLQIEISA-N -1 1 321.768 1.475 20 0 DDADMM O=C(NC[C@@H]1CN2CCN1CC2)c1ccc2ccccc2c1[O-] ZINC000178782279 407602117 /nfs/dbraw/zinc/60/21/17/407602117.db2.gz CAXYAOHKKGSPFN-CQSZACIVSA-N -1 1 311.385 1.275 20 0 DDADMM CCOc1c(F)cccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129507206 407615208 /nfs/dbraw/zinc/61/52/08/407615208.db2.gz LCLGWJKVKVOWSV-JTQLQIEISA-N -1 1 319.340 1.757 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCC[C@H]2CCCCO2)c1Cl ZINC000186168746 407593846 /nfs/dbraw/zinc/59/38/46/407593846.db2.gz INQVZQVODOEORI-SNVBAGLBSA-N -1 1 321.830 1.701 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@@H]2OCCC[C@H]2C1 ZINC000130373246 407681132 /nfs/dbraw/zinc/68/11/32/407681132.db2.gz AMRXOLQMESCJIN-FZMZJTMJSA-N -1 1 313.357 1.564 20 0 DDADMM CN(CCc1cnccn1)CC(=O)[N-]OCc1ccccc1 ZINC000271488175 407704849 /nfs/dbraw/zinc/70/48/49/407704849.db2.gz HOCHIDLIZOJRQB-UHFFFAOYSA-N -1 1 300.362 1.199 20 0 DDADMM COc1ccc(-c2noc(CN3CC[C@H](C(=O)[O-])C3)n2)cc1 ZINC000262005662 407707496 /nfs/dbraw/zinc/70/74/96/407707496.db2.gz VTXYVZNFHKHSEH-NSHDSACASA-N -1 1 303.318 1.652 20 0 DDADMM COC(=O)c1cncc([N-]S(=O)(=O)Cc2ccccc2F)c1 ZINC000186612596 407717847 /nfs/dbraw/zinc/71/78/47/407717847.db2.gz ZHWSKXUAHLESLS-UHFFFAOYSA-N -1 1 324.333 1.949 20 0 DDADMM O=C1NCCc2ccc(S(=O)(=O)[N-]c3ccccc3)cc21 ZINC000116158163 407726057 /nfs/dbraw/zinc/72/60/57/407726057.db2.gz OJHONRZMMUHPKQ-UHFFFAOYSA-N -1 1 302.355 1.773 20 0 DDADMM CC(C)(C)c1nc(CN2CCN(CCCC(=O)[O-])CC2)no1 ZINC000262173657 407757130 /nfs/dbraw/zinc/75/71/30/407757130.db2.gz CWBOFPQYULUIOX-UHFFFAOYSA-N -1 1 310.398 1.350 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCCSC2)o1 ZINC000267226408 407799196 /nfs/dbraw/zinc/79/91/96/407799196.db2.gz USSNTVHOGFYEMV-QMMMGPOBSA-N -1 1 305.377 1.240 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCCC[C@@H]1CN1CCOCC1 ZINC000181244963 407972280 /nfs/dbraw/zinc/97/22/80/407972280.db2.gz NUPXBCSMHSIPLG-CYBMUJFWSA-N -1 1 322.380 1.858 20 0 DDADMM O=C(NCc1cccc2c1OCCCO2)c1ncccc1[O-] ZINC000134671951 407922405 /nfs/dbraw/zinc/92/24/05/407922405.db2.gz GLQFRAQBNXCJFS-UHFFFAOYSA-N -1 1 300.314 1.879 20 0 DDADMM O=C(c1ccc([O-])c(Cl)c1)N1CCN(C2CCOCC2)CC1 ZINC000174988133 408024726 /nfs/dbraw/zinc/02/47/26/408024726.db2.gz NETUXENPJPPABU-UHFFFAOYSA-N -1 1 324.808 1.982 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NCC1(c2ccccc2)CC1)C(=O)[O-] ZINC000263101150 408024759 /nfs/dbraw/zinc/02/47/59/408024759.db2.gz JYANMVCTVCMSDS-BBRMVZONSA-N -1 1 318.417 1.923 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(-c2nccc(C)n2)cc1 ZINC000154455229 408039315 /nfs/dbraw/zinc/03/93/15/408039315.db2.gz BGBCLSBORBYSQL-UHFFFAOYSA-N -1 1 307.375 1.840 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NCc1cccc(COC)c1)C(=O)[O-] ZINC000263069635 408016819 /nfs/dbraw/zinc/01/68/19/408016819.db2.gz GNIXGXPQSQCPGA-LRDDRELGSA-N -1 1 322.405 1.538 20 0 DDADMM CCC[C@@H](NCC(=O)NCCCN(C)c1ccccc1)C(=O)[O-] ZINC000273174546 408091506 /nfs/dbraw/zinc/09/15/06/408091506.db2.gz GTNMCTINSHHPPI-OAHLLOKOSA-N -1 1 321.421 1.472 20 0 DDADMM CCC[C@@H](NC(=O)CCc1ccccc1OC)c1nn[n-]n1 ZINC000136651295 408114898 /nfs/dbraw/zinc/11/48/98/408114898.db2.gz TTZSBHZJVBIURH-GFCCVEGCSA-N -1 1 303.366 1.799 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCNC(=O)[C@@H]1c1ccccc1 ZINC000181931168 408054394 /nfs/dbraw/zinc/05/43/94/408054394.db2.gz ZXWDJIDSKIYWOP-HNNXBMFYSA-N -1 1 314.316 1.845 20 0 DDADMM CN(C[C@H]1CCCC[C@@H]1O)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000182361112 408135485 /nfs/dbraw/zinc/13/54/85/408135485.db2.gz AOSPOCQHAOCPSP-MFKMUULPSA-N -1 1 318.377 1.297 20 0 DDADMM CN(CCC(=O)[O-])[C@@H]1CCN(c2ccc(F)c(Cl)c2)C1=O ZINC000263583175 408182927 /nfs/dbraw/zinc/18/29/27/408182927.db2.gz ZOOHYVOVHYAUBB-GFCCVEGCSA-N -1 1 314.744 1.991 20 0 DDADMM CC(C)N1C[C@@H]([N-]S(=O)(=O)c2cc(F)ccc2F)CC1=O ZINC000263641605 408185022 /nfs/dbraw/zinc/18/50/22/408185022.db2.gz CDCSWQNNEHYJEA-JTQLQIEISA-N -1 1 318.345 1.252 20 0 DDADMM CCCn1nc(C)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1C ZINC000273582312 408255320 /nfs/dbraw/zinc/25/53/20/408255320.db2.gz PEMFIOKPBZVMIS-SECBINFHSA-N -1 1 305.386 1.299 20 0 DDADMM CCCC(=O)NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000263678713 408200708 /nfs/dbraw/zinc/20/07/08/408200708.db2.gz FATNQICTMMBNFB-LBPRGKRZSA-N -1 1 305.378 1.556 20 0 DDADMM COC(=O)Nc1cccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000273515704 408229438 /nfs/dbraw/zinc/22/94/38/408229438.db2.gz OKCBRIHVKHEFOP-SECBINFHSA-N -1 1 318.337 1.254 20 0 DDADMM CCC[C@H](NC(=O)c1cc(F)c(F)c([O-])c1F)c1nn[nH]n1 ZINC000183068704 408318407 /nfs/dbraw/zinc/31/84/07/408318407.db2.gz GQCLNYPABFOWFR-ZETCQYMHSA-N -1 1 315.255 1.594 20 0 DDADMM COC(=O)NCC(=O)Nc1cc(C)cc(Br)c1[O-] ZINC000182901219 408277898 /nfs/dbraw/zinc/27/78/98/408277898.db2.gz YYFCCKNILDHRCJ-UHFFFAOYSA-N -1 1 317.139 1.758 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@H]2CCCC[N@H+]2C(C)C)[nH]n1 ZINC000183286976 408371549 /nfs/dbraw/zinc/37/15/49/408371549.db2.gz YKWLTFDEWBVHOR-GFCCVEGCSA-N -1 1 308.382 1.788 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@H]2CCCCN2C(C)C)[nH]n1 ZINC000183286976 408371552 /nfs/dbraw/zinc/37/15/52/408371552.db2.gz YKWLTFDEWBVHOR-GFCCVEGCSA-N -1 1 308.382 1.788 20 0 DDADMM CCc1ccc([C@@H](COC)[N-]S(=O)(=O)c2cnn(C)c2)o1 ZINC000173356191 162334290 /nfs/dbraw/zinc/33/42/90/162334290.db2.gz IJUWIBCHFSRIEY-GFCCVEGCSA-N -1 1 313.379 1.242 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(C)c(O)c2)o1 ZINC000159124796 408385405 /nfs/dbraw/zinc/38/54/05/408385405.db2.gz ZFVKSUWDWITWKF-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM CC[C@H](CCO)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000158955427 408373850 /nfs/dbraw/zinc/37/38/50/408373850.db2.gz BBKQRPXTEIDVJU-GFCCVEGCSA-N -1 1 320.393 1.262 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000183318613 408377967 /nfs/dbraw/zinc/37/79/67/408377967.db2.gz JNNYWKDFBGAULI-RKDXNWHRSA-N -1 1 321.345 1.831 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-][C@@H](CO)c1c(F)cccc1F ZINC000269723111 408405925 /nfs/dbraw/zinc/40/59/25/408405925.db2.gz BLUNVNTWJFBRAF-NSHDSACASA-N -1 1 318.301 1.106 20 0 DDADMM C[C@@H](O)C[C@H](C)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000269769357 408421293 /nfs/dbraw/zinc/42/12/93/408421293.db2.gz MAMTZFUDRVFFCF-JGVFFNPUSA-N -1 1 311.325 1.789 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCc3c2cccc3F)co1 ZINC000183836525 408497862 /nfs/dbraw/zinc/49/78/62/408497862.db2.gz NCVPFLNUSDFAPO-UHFFFAOYSA-N -1 1 324.333 1.530 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H](C)c1c(C)noc1C)c1nn[n-]n1 ZINC000269913212 408466368 /nfs/dbraw/zinc/46/63/68/408466368.db2.gz IUQGVGAQPLCMAE-GZMMTYOYSA-N -1 1 306.370 1.956 20 0 DDADMM O=c1[nH]c(SCC2CCC3(CC2)OCCO3)nc2n[nH]cc21 ZINC000192185393 408552224 /nfs/dbraw/zinc/55/22/24/408552224.db2.gz ZDOMZXLICRWZRY-UHFFFAOYSA-N -1 1 322.390 1.672 20 0 DDADMM CC1(C[N-]S(=O)(=O)Cc2ccccc2F)OCCCO1 ZINC000192222233 408559184 /nfs/dbraw/zinc/55/91/84/408559184.db2.gz KVYHWPSWQGOQPE-UHFFFAOYSA-N -1 1 303.355 1.398 20 0 DDADMM CSc1n[nH]c(NC(=O)CCn2c(C)c(C)sc2=O)n1 ZINC000265209586 408580491 /nfs/dbraw/zinc/58/04/91/408580491.db2.gz KWOUVMKXGSWGRN-UHFFFAOYSA-N -1 1 313.408 1.395 20 0 DDADMM O=S(=O)([N-]CC(F)(F)CO)c1ccc(Cl)c(Cl)c1 ZINC000184300740 408588602 /nfs/dbraw/zinc/58/86/02/408588602.db2.gz SGLNOUMBNYTOAC-UHFFFAOYSA-N -1 1 320.144 1.899 20 0 DDADMM COC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)c1cc(F)ccc1[O-] ZINC000249283634 408709305 /nfs/dbraw/zinc/70/93/05/408709305.db2.gz LGQFPMKNSLYBME-PXWWUCIGSA-N -1 1 307.321 1.945 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(-n2ccnc2)cc1)c1nn[n-]n1 ZINC000177653975 408676775 /nfs/dbraw/zinc/67/67/75/408676775.db2.gz NZVKAMZJJBNZOC-ZDUSSCGKSA-N -1 1 311.349 1.657 20 0 DDADMM CC(C)[C@H](NC(=O)OCc1ccccc1)C(=O)Nc1nnn[n-]1 ZINC000265548769 408690774 /nfs/dbraw/zinc/69/07/74/408690774.db2.gz YPOLWWOCDRUOMJ-NSHDSACASA-N -1 1 318.337 1.089 20 0 DDADMM CC(C)[C@H](NC(=O)OCc1ccccc1)C(=O)Nc1nn[n-]n1 ZINC000265548769 408690779 /nfs/dbraw/zinc/69/07/79/408690779.db2.gz YPOLWWOCDRUOMJ-NSHDSACASA-N -1 1 318.337 1.089 20 0 DDADMM O=C(N[C@@]1(CO)CCOC1)c1cc(Br)ccc1[O-] ZINC000185282652 408791285 /nfs/dbraw/zinc/79/12/85/408791285.db2.gz UYSTUDLIIUTLQE-GFCCVEGCSA-N -1 1 316.151 1.036 20 0 DDADMM Cn1nnc2ccc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)cc21 ZINC000185429610 408807291 /nfs/dbraw/zinc/80/72/91/408807291.db2.gz ZVQGTKLOKLIDCE-UHFFFAOYSA-N -1 1 311.227 1.358 20 0 DDADMM CCN1CCCN(C(=O)c2nn(-c3ccccc3)cc2[O-])CC1 ZINC000162979243 408749138 /nfs/dbraw/zinc/74/91/38/408749138.db2.gz SOTJFPJIINAYOO-UHFFFAOYSA-N -1 1 314.389 1.746 20 0 DDADMM CNC(=O)Cn1cc([N-]c2nc(-c3ccccc3)ns2)cn1 ZINC000185213831 408780952 /nfs/dbraw/zinc/78/09/52/408780952.db2.gz ULQNGAHNHNVXDW-UHFFFAOYSA-N -1 1 314.374 1.891 20 0 DDADMM COC(=O)c1sc(S(=O)(=O)[N-]CCF)c(C)c1Cl ZINC000280785379 408834371 /nfs/dbraw/zinc/83/43/71/408834371.db2.gz PWDQUIWTLZXVLW-UHFFFAOYSA-N -1 1 315.775 1.744 20 0 DDADMM CCS[C@H]1CCC[C@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000290840460 408842706 /nfs/dbraw/zinc/84/27/06/408842706.db2.gz VLYYMDZSQCFWJJ-BDAKNGLRSA-N -1 1 305.425 1.518 20 0 DDADMM C[C@@H]1COCC[C@H]1C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000285720897 408850817 /nfs/dbraw/zinc/85/08/17/408850817.db2.gz UKPBGCSTHWAOHQ-ZJUUUORDSA-N -1 1 305.346 1.916 20 0 DDADMM Cc1nnc(COCC(=O)Nc2nc(Cl)ccc2[O-])s1 ZINC000286563584 408991891 /nfs/dbraw/zinc/99/18/91/408991891.db2.gz SNCXOBPLLBCSSU-UHFFFAOYSA-N -1 1 314.754 1.756 20 0 DDADMM CCO[C@@H](CCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C(C)C ZINC000287509178 409047030 /nfs/dbraw/zinc/04/70/30/409047030.db2.gz HOQYNYLZFUHGFN-DABDWFRDSA-N -1 1 319.409 1.915 20 0 DDADMM CC(C)COCCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283083290 409083972 /nfs/dbraw/zinc/08/39/72/409083972.db2.gz VVMZFHWTBDIFPL-UHFFFAOYSA-N -1 1 308.407 1.811 20 0 DDADMM CC(C)N(C)C(=O)[C@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000279119014 409152056 /nfs/dbraw/zinc/15/20/56/409152056.db2.gz ACYKOPXVVKCPSG-GFCCVEGCSA-N -1 1 309.391 1.169 20 0 DDADMM COc1ccc(CN2C[C@H](C(=O)[N-]OCC3CC3)CC2=O)cc1 ZINC000293723675 409168624 /nfs/dbraw/zinc/16/86/24/409168624.db2.gz GWZKXRLCQCPIAH-CQSZACIVSA-N -1 1 318.373 1.502 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(CO)(c2ccccc2)CC1 ZINC000293749293 409173988 /nfs/dbraw/zinc/17/39/88/409173988.db2.gz BSBLJWWAXKIEJN-UHFFFAOYSA-N -1 1 312.369 1.954 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NOCC1CC1 ZINC000293762929 409175724 /nfs/dbraw/zinc/17/57/24/409175724.db2.gz LXYSTGZTCXEEHH-UHFFFAOYSA-N -1 1 304.350 1.442 20 0 DDADMM O=C(Nc1cccc(-c2n[nH]c(=O)[n-]2)c1)[C@@H]1CCCN1C1CC1 ZINC000289026423 409209338 /nfs/dbraw/zinc/20/93/38/409209338.db2.gz KNYODWQOCMEDOG-ZDUSSCGKSA-N -1 1 313.361 1.330 20 0 DDADMM Cc1nc(Cl)ccc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000294113623 409243582 /nfs/dbraw/zinc/24/35/82/409243582.db2.gz FYVGTGSKORTQKC-SECBINFHSA-N -1 1 321.768 1.475 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@](C)(O)CCc1ccccc1)c1nn[n-]n1 ZINC000283722175 409203424 /nfs/dbraw/zinc/20/34/24/409203424.db2.gz DJLCJANKQOSMNR-LRDDRELGSA-N -1 1 317.393 1.145 20 0 DDADMM COc1ccc(NCc2n[nH]cc2C)cc1[N-]S(C)(=O)=O ZINC000289429301 409269395 /nfs/dbraw/zinc/26/93/95/409269395.db2.gz DMHIWOUBUWBPPV-UHFFFAOYSA-N -1 1 310.379 1.710 20 0 DDADMM CC(C)c1nnc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)s1 ZINC000295957410 409382085 /nfs/dbraw/zinc/38/20/85/409382085.db2.gz PHVQAKOSVIGAAM-UHFFFAOYSA-N -1 1 313.408 1.631 20 0 DDADMM CCOC(=O)c1cnc(C(C)=Cc2c(C)cnn2C)[n-]c1=O ZINC000284993100 409390951 /nfs/dbraw/zinc/39/09/51/409390951.db2.gz GOQJRKQGSDDZQM-RMKNXTFCSA-N -1 1 302.334 1.961 20 0 DDADMM CCC[C@H](CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)OC ZINC000295335361 409439613 /nfs/dbraw/zinc/43/96/13/409439613.db2.gz FHFOAKLIDISBNH-GFCCVEGCSA-N -1 1 320.393 1.113 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1cn(C2CCOCC2)nn1 ZINC000290643980 409473858 /nfs/dbraw/zinc/47/38/58/409473858.db2.gz DTBNOSXUBQMFND-UHFFFAOYSA-N -1 1 323.740 1.636 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)nc(C)n1 ZINC000408067470 164216043 /nfs/dbraw/zinc/21/60/43/164216043.db2.gz LPCGHHUEWATOEZ-SNVBAGLBSA-N -1 1 303.322 1.202 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Br)o2)CCO1 ZINC000408217804 164264332 /nfs/dbraw/zinc/26/43/32/164264332.db2.gz ZXPPBVCUQVLCTC-YUMQZZPRSA-N -1 1 324.196 1.888 20 0 DDADMM CS(=O)(=O)Cc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000348856551 409556541 /nfs/dbraw/zinc/55/65/41/409556541.db2.gz DHJOJCKRIGETTF-UHFFFAOYSA-N -1 1 306.343 1.584 20 0 DDADMM O=C([O-])c1ccccc1NS(=O)(=O)c1cccc2c1OCO2 ZINC000337878360 409530185 /nfs/dbraw/zinc/53/01/85/409530185.db2.gz WGBSNNVAMDYADM-UHFFFAOYSA-N -1 1 321.310 1.914 20 0 DDADMM Cc1cc(Cl)cc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1O ZINC000353878949 409530795 /nfs/dbraw/zinc/53/07/95/409530795.db2.gz HTKFPXPQODAPEC-UHFFFAOYSA-N -1 1 319.708 1.337 20 0 DDADMM CO[C@@H](C)c1nc(=NC2CCC(S(C)(=O)=O)CC2)s[n-]1 ZINC000337883896 409538397 /nfs/dbraw/zinc/53/83/97/409538397.db2.gz WMWCQWBRIZFDQQ-IDKOKCKLSA-N -1 1 319.452 1.435 20 0 DDADMM O=C1NCCCC[C@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000331478714 409552628 /nfs/dbraw/zinc/55/26/28/409552628.db2.gz PKJNIRUCHIISBQ-MRVPVSSYSA-N -1 1 308.812 1.349 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@H]1CC[C@H](F)C1 ZINC000344972481 409577562 /nfs/dbraw/zinc/57/75/62/409577562.db2.gz ZTOVUWHAHSPTPI-BQBZGAKWSA-N -1 1 308.356 1.099 20 0 DDADMM CC1CCC(N(C)C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000337947188 409594136 /nfs/dbraw/zinc/59/41/36/409594136.db2.gz AIFNPJLRENAFBE-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H]1C[C@H](C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C[C@@H](C)C1 ZINC000356775191 409594835 /nfs/dbraw/zinc/59/48/35/409594835.db2.gz ONMUPGZOYIAPTF-GDNZZTSVSA-N -1 1 323.466 1.672 20 0 DDADMM CCC(CC)(CCO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000337968806 409613167 /nfs/dbraw/zinc/61/31/67/409613167.db2.gz XZFCHFMTIZUKKM-UHFFFAOYSA-N -1 1 303.362 1.594 20 0 DDADMM COC(=O)[C@@H](CC(F)F)NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000342531555 409701410 /nfs/dbraw/zinc/70/14/10/409701410.db2.gz YLKFXOGPAQVWLQ-SSDOTTSWSA-N -1 1 319.333 1.066 20 0 DDADMM c1cn(-c2ccccc2)nc1CNC1(c2nn[n-]n2)CCCC1 ZINC000354175448 409720752 /nfs/dbraw/zinc/72/07/52/409720752.db2.gz AYJFMLMXVFLORC-UHFFFAOYSA-N -1 1 309.377 1.945 20 0 DDADMM Cc1onc(-c2ccccc2C)c1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000356934798 409732938 /nfs/dbraw/zinc/73/29/38/409732938.db2.gz MHDKYHIXTCBUCV-UHFFFAOYSA-N -1 1 324.344 1.891 20 0 DDADMM CC(C)(CO)ONC(=O)c1cc(Br)ccc1[O-] ZINC000297141666 409824091 /nfs/dbraw/zinc/82/40/91/409824091.db2.gz IHJPVCDELIGFAM-UHFFFAOYSA-N -1 1 304.140 1.587 20 0 DDADMM CC[C@H](O[C@H]1CCC[C@H](C)C1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357056292 409824385 /nfs/dbraw/zinc/82/43/85/409824385.db2.gz OIFGTYXGLAVLDH-SRVKXCTJSA-N -1 1 307.398 1.679 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ncoc1-c1ccc(F)cc1 ZINC000357067455 409837270 /nfs/dbraw/zinc/83/72/70/409837270.db2.gz JRVYOQLSDXQNAT-UHFFFAOYSA-N -1 1 314.280 1.413 20 0 DDADMM O=C(N=c1nc(-c2ccccn2)[nH][n-]1)c1ccc(Cl)cn1 ZINC000346169994 409842152 /nfs/dbraw/zinc/84/21/52/409842152.db2.gz FYJCUYAYRPNNSD-UHFFFAOYSA-N -1 1 300.709 1.589 20 0 DDADMM CCOCCC(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000342801041 409915169 /nfs/dbraw/zinc/91/51/69/409915169.db2.gz VWZXFXCHEDROFO-UHFFFAOYSA-N -1 1 316.379 1.432 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1C[C@@H](OC(C)C)C1(C)C ZINC000349552810 409867791 /nfs/dbraw/zinc/86/77/91/409867791.db2.gz HMRLIQFVDHBDLN-NXEZZACHSA-N -1 1 317.411 1.266 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@@H](OC(C)C)C1(C)C ZINC000349552810 409867799 /nfs/dbraw/zinc/86/77/99/409867799.db2.gz HMRLIQFVDHBDLN-NXEZZACHSA-N -1 1 317.411 1.266 20 0 DDADMM C[C@@H]1CN(CC(=O)N2CCc3ccccc32)CC[C@H]1C(=O)[O-] ZINC000318876145 409880527 /nfs/dbraw/zinc/88/05/27/409880527.db2.gz LIAWQKUTGIMUFZ-TZMCWYRMSA-N -1 1 302.374 1.618 20 0 DDADMM Cc1n[nH]c(=O)c(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1C ZINC000342841684 409942052 /nfs/dbraw/zinc/94/20/52/409942052.db2.gz RYMQJZYKTMFFRH-UHFFFAOYSA-N -1 1 302.216 1.188 20 0 DDADMM CC(C)(NC(=O)[C@H]1C[C@]12CCCc1ccccc12)c1nn[n-]n1 ZINC000354772373 410076028 /nfs/dbraw/zinc/07/60/28/410076028.db2.gz LJGPBCFIILQQHT-DYVFJYSZSA-N -1 1 311.389 1.845 20 0 DDADMM CC[C@@H](C)[C@](C)(O)CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000354774127 410076406 /nfs/dbraw/zinc/07/64/06/410076406.db2.gz OUOGAXNJWYXDKQ-XLKFXECMSA-N -1 1 313.423 1.739 20 0 DDADMM COc1ccccc1C[C@@H](C)CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000354758504 410067195 /nfs/dbraw/zinc/06/71/95/410067195.db2.gz FNUIKTVMFCQLMM-LLVKDONJSA-N -1 1 317.393 1.829 20 0 DDADMM CC(C)(NC(=O)CCSCc1ccccn1)c1nn[n-]n1 ZINC000354803361 410098171 /nfs/dbraw/zinc/09/81/71/410098171.db2.gz NGAYMMYFOYGSPY-UHFFFAOYSA-N -1 1 306.395 1.270 20 0 DDADMM C[C@@H](NC(=O)CC(C)(C)C)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000332393532 410122760 /nfs/dbraw/zinc/12/27/60/410122760.db2.gz IMTAVMDGZUHUFD-SNVBAGLBSA-N -1 1 322.413 1.026 20 0 DDADMM COC1(C)CN(C(=O)CCc2c(C)nc(SC)[n-]c2=O)C1 ZINC000332439670 410158471 /nfs/dbraw/zinc/15/84/71/410158471.db2.gz DKIBNODTRDBPLB-UHFFFAOYSA-N -1 1 311.407 1.392 20 0 DDADMM CC(C)n1c(=O)c2ccccc2nc1SCc1nn[n-]n1 ZINC000298288992 410167007 /nfs/dbraw/zinc/16/70/07/410167007.db2.gz DWJMCPDEEUDYFK-UHFFFAOYSA-N -1 1 302.363 1.783 20 0 DDADMM O=C(NCc1noc(C2CC2)n1)c1nc2ccccc2c(=O)[n-]1 ZINC000343156716 410192849 /nfs/dbraw/zinc/19/28/49/410192849.db2.gz HJWSBUOZHXOOJF-UHFFFAOYSA-N -1 1 311.301 1.114 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2ccc(OCC(=O)[O-])cc2)cn1 ZINC000343261258 410285004 /nfs/dbraw/zinc/28/50/04/410285004.db2.gz PNRIVPYMQTVGJW-UHFFFAOYSA-N -1 1 323.330 1.049 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](C(C)(C)C)C2)co1 ZINC000332710974 410227633 /nfs/dbraw/zinc/22/76/33/410227633.db2.gz OSYCGTYTJSTQTK-NSHDSACASA-N -1 1 314.407 1.696 20 0 DDADMM O=C(c1c([O-])cnc2c(Br)cccc21)N1CC(O)C1 ZINC000355113509 410322385 /nfs/dbraw/zinc/32/23/85/410322385.db2.gz BXTNNWJQTMOMKB-UHFFFAOYSA-N -1 1 323.146 1.520 20 0 DDADMM CN(C)c1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)ccn1 ZINC000351858275 410298020 /nfs/dbraw/zinc/29/80/20/410298020.db2.gz VKWGCKYUUZJUFL-UHFFFAOYSA-N -1 1 317.349 1.256 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@@H](CO)CC(F)(F)F)s1 ZINC000339784505 410459407 /nfs/dbraw/zinc/45/94/07/410459407.db2.gz DOCIQGMOPBGSPH-ZCFIWIBFSA-N -1 1 304.315 1.043 20 0 DDADMM O=c1nc(CN2C[C@@H]3CC[C@@H](O)C[C@H]3C2)[n-]c2ccsc21 ZINC000333331150 410487021 /nfs/dbraw/zinc/48/70/21/410487021.db2.gz WFCVKUGOWMGQNE-GARJFASQSA-N -1 1 305.403 1.990 20 0 DDADMM Cc1cnc(C(=O)NCCCN(C)CC(F)(F)F)c([O-])c1 ZINC000358625786 410500514 /nfs/dbraw/zinc/50/05/14/410500514.db2.gz HFWWXGQFXQOKJX-UHFFFAOYSA-N -1 1 305.300 1.710 20 0 DDADMM CC(C)C1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343543886 410520098 /nfs/dbraw/zinc/52/00/98/410520098.db2.gz MJNOHAPAVNONOK-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@H](c2nnc3n2CCC3)C1 ZINC000339948745 410569506 /nfs/dbraw/zinc/56/95/06/410569506.db2.gz PCZCKIKGOLESMM-NSHDSACASA-N -1 1 313.361 1.345 20 0 DDADMM O=S(=O)(CC1CCOCC1)c1nc(Cc2ccccc2)n[n-]1 ZINC000359201151 410574549 /nfs/dbraw/zinc/57/45/49/410574549.db2.gz TYUVYDXHKARLAS-UHFFFAOYSA-N -1 1 321.402 1.596 20 0 DDADMM O=S(=O)(CC1CCOCC1)c1n[n-]c(Cc2ccccc2)n1 ZINC000359201151 410574559 /nfs/dbraw/zinc/57/45/59/410574559.db2.gz TYUVYDXHKARLAS-UHFFFAOYSA-N -1 1 321.402 1.596 20 0 DDADMM COC(=O)[C@@H](c1ccccc1)N(C)C(=O)c1cncc([O-])c1 ZINC000339916967 410551751 /nfs/dbraw/zinc/55/17/51/410551751.db2.gz ZHKVCMAWQZLEAJ-CQSZACIVSA-N -1 1 300.314 1.774 20 0 DDADMM CN(c1ccc(=NCc2ccccc2F)[n-]n1)[C@@H]1CCOC1 ZINC000579935845 422859054 /nfs/dbraw/zinc/85/90/54/422859054.db2.gz MUAJTXBBOPOQOU-CYBMUJFWSA-N -1 1 302.353 1.875 20 0 DDADMM O=S(=O)(Cc1noc(C2CCOCC2)n1)c1ccc([O-])cc1 ZINC000355677820 410635730 /nfs/dbraw/zinc/63/57/30/410635730.db2.gz DMQFFHCIVMPHII-UHFFFAOYSA-N -1 1 324.358 1.643 20 0 DDADMM COC(=O)c1ccccc1CCC(=O)Nc1nc(SC)n[nH]1 ZINC000340122270 410687222 /nfs/dbraw/zinc/68/72/22/410687222.db2.gz KLUXEEBOVOTISC-UHFFFAOYSA-N -1 1 320.374 1.885 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2cccn2C2CCCC2)n[n-]1 ZINC000353045609 410757815 /nfs/dbraw/zinc/75/78/15/410757815.db2.gz OVUTZOJANVTXJH-UHFFFAOYSA-N -1 1 323.378 1.377 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cccn2C2CCCC2)n1 ZINC000353045609 410757820 /nfs/dbraw/zinc/75/78/20/410757820.db2.gz OVUTZOJANVTXJH-UHFFFAOYSA-N -1 1 323.378 1.377 20 0 DDADMM O=C(N=c1cc(-c2ccc(Cl)s2)[n-][nH]1)[C@@H]1CCCC(=O)N1 ZINC000340303167 410840429 /nfs/dbraw/zinc/84/04/29/410840429.db2.gz WHSZVAAMMMNTSF-ZETCQYMHSA-N -1 1 324.793 1.821 20 0 DDADMM CCNC(=O)c1ccc(=NCC2(C(F)(F)F)CCC2)[n-]n1 ZINC000343975765 410861990 /nfs/dbraw/zinc/86/19/90/410861990.db2.gz VXRFNQXEQURISP-UHFFFAOYSA-N -1 1 302.300 1.793 20 0 DDADMM C[C@@H]1[C@H](C(F)(F)F)CCN1Cc1cc(=O)n2[n-]ccc2n1 ZINC000348223228 410863768 /nfs/dbraw/zinc/86/37/68/410863768.db2.gz DKBZPALIMQLHKQ-PSASIEDQSA-N -1 1 300.284 1.795 20 0 DDADMM COc1ccncc1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000348241493 410874274 /nfs/dbraw/zinc/87/42/74/410874274.db2.gz PDVBPFSZKRXATH-UHFFFAOYSA-N -1 1 313.317 1.008 20 0 DDADMM CC(C)(NC(=O)Cc1ccc(OC(F)F)cc1)c1nn[n-]n1 ZINC000359782712 410876990 /nfs/dbraw/zinc/87/69/90/410876990.db2.gz BXOYLENHOIBMKE-UHFFFAOYSA-N -1 1 311.292 1.395 20 0 DDADMM Cc1cccc(OCCCCC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000348291449 410899658 /nfs/dbraw/zinc/89/96/58/410899658.db2.gz IPKSQHOKDHUFIT-UHFFFAOYSA-N -1 1 315.377 1.863 20 0 DDADMM CC(C)(C(=O)NC1(c2nn[n-]n2)CC1)c1ccc(Cl)cc1 ZINC000348290823 410900057 /nfs/dbraw/zinc/90/00/57/410900057.db2.gz GGRUSNWKZWBVCK-UHFFFAOYSA-N -1 1 305.769 1.936 20 0 DDADMM Cc1cc(F)cc2[nH]c(C(=O)NC3(c4nn[n-]n4)CC3)cc21 ZINC000348299302 410907990 /nfs/dbraw/zinc/90/79/90/410907990.db2.gz VXCBNTCNGBCRFP-UHFFFAOYSA-N -1 1 300.297 1.548 20 0 DDADMM C[C@@H]1COCCN1CCNC(=O)c1c(F)ccc([O-])c1F ZINC000348349683 410928853 /nfs/dbraw/zinc/92/88/53/410928853.db2.gz VPLVLPAJZCSLII-SECBINFHSA-N -1 1 300.305 1.121 20 0 DDADMM CC[C@H](C(=O)[O-])N1CCN(C(=O)C(C)(C)c2ccccc2)CC1 ZINC000331079829 410934516 /nfs/dbraw/zinc/93/45/16/410934516.db2.gz NONVDVCXZYZNAS-OAHLLOKOSA-N -1 1 318.417 1.972 20 0 DDADMM COc1c(Cl)cccc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353523725 411046575 /nfs/dbraw/zinc/04/65/75/411046575.db2.gz QNPRYINCHCPCQB-UHFFFAOYSA-N -1 1 319.708 1.332 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@]1(C)CCOC1 ZINC000353579740 411073850 /nfs/dbraw/zinc/07/38/50/411073850.db2.gz LLSNSHOOEKSOJW-MRXNPFEDSA-N -1 1 318.377 1.137 20 0 DDADMM CC[C@@H](C)[C@H]([N-]S(=O)(=O)Cc1ccccc1F)C(=O)OC ZINC000341867941 411077737 /nfs/dbraw/zinc/07/77/37/411077737.db2.gz VBKVAZKDTSHOPN-MFKMUULPSA-N -1 1 317.382 1.833 20 0 DDADMM CC(C)(NC(=O)c1cccc([C@@H]2CCOC2)c1)c1nn[n-]n1 ZINC000341879292 411080652 /nfs/dbraw/zinc/08/06/52/411080652.db2.gz WYXGDJZUPWFAGO-GFCCVEGCSA-N -1 1 301.350 1.369 20 0 DDADMM COc1cc(C(=O)N2C[C@H](OC)[C@@H](OC)C2)cc(Cl)c1[O-] ZINC000331227798 411029699 /nfs/dbraw/zinc/02/96/99/411029699.db2.gz DVDIWAXVZOKGGR-RYUDHWBXSA-N -1 1 315.753 1.540 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC[C@@H](C)[S@@](C)=O)sc1C ZINC000331249822 411041643 /nfs/dbraw/zinc/04/16/43/411041643.db2.gz SAKSLVCUODWHFK-IWEMQMMOSA-N -1 1 310.466 1.195 20 0 DDADMM COc1c(C)ccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1C ZINC000353660424 411101759 /nfs/dbraw/zinc/10/17/59/411101759.db2.gz SSGFIRRRBDVIEG-UHFFFAOYSA-N -1 1 313.317 1.295 20 0 DDADMM COCCN(Cc1ccccc1)C(=O)CCCc1nn[n-]n1 ZINC000635200100 422872402 /nfs/dbraw/zinc/87/24/02/422872402.db2.gz BSXLMRBVKLDZRL-UHFFFAOYSA-N -1 1 303.366 1.198 20 0 DDADMM C[N@@H+]1CCCC[C@H]1C(=O)Nc1ccccc1NS(C)(=O)=O ZINC000635198935 422872577 /nfs/dbraw/zinc/87/25/77/422872577.db2.gz MOTHUAVMZLIRIK-ZDUSSCGKSA-N -1 1 311.407 1.481 20 0 DDADMM CC[C@H]1CN(C)c2ccccc2CN1C(=O)CCc1nn[n-]n1 ZINC000631736674 422899115 /nfs/dbraw/zinc/89/91/15/422899115.db2.gz SSRFFOCOUSMTKQ-ZDUSSCGKSA-N -1 1 314.393 1.390 20 0 DDADMM CN1CCC[C@@H]1CNS(=O)(=O)c1cccc(Cl)c1[O-] ZINC000631800274 422927683 /nfs/dbraw/zinc/92/76/83/422927683.db2.gz ZOKCTBOPCVGDBC-SECBINFHSA-N -1 1 304.799 1.418 20 0 DDADMM CCC[C@H]1CN(CC(=O)[N-]C(=O)c2ccc(OC)cc2)CCO1 ZINC000132140617 196229876 /nfs/dbraw/zinc/22/98/76/196229876.db2.gz AHPNXGLKOIPJEN-HNNXBMFYSA-N -1 1 320.389 1.453 20 0 DDADMM CC[C@@H](N=c1[n-]c(C(N)=O)cs1)C(=O)NCc1cccs1 ZINC000645347783 422998704 /nfs/dbraw/zinc/99/87/04/422998704.db2.gz OLJOCTMDFIAIPW-SECBINFHSA-N -1 1 324.431 1.232 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2ncc(CCO)s2)c1 ZINC000647714869 423013222 /nfs/dbraw/zinc/01/32/22/423013222.db2.gz FRYIRYFXOBNARU-UHFFFAOYSA-N -1 1 321.358 1.594 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCOC1 ZINC000645533228 423070010 /nfs/dbraw/zinc/07/00/10/423070010.db2.gz GSKZGHZYMKAQEO-LLVKDONJSA-N -1 1 324.324 1.805 20 0 DDADMM O=S(=O)([N-]CCO[C@H]1CCCCO1)c1cccc(F)c1F ZINC000647885538 423100588 /nfs/dbraw/zinc/10/05/88/423100588.db2.gz WHYQFCSYKXNXMH-LBPRGKRZSA-N -1 1 321.345 1.786 20 0 DDADMM CSc1nc(CNC(=O)c2cnn(CCF)c2)cc(=O)[n-]1 ZINC000640655654 423115188 /nfs/dbraw/zinc/11/51/88/423115188.db2.gz PFUNQJABMBUPMU-UHFFFAOYSA-N -1 1 311.342 1.000 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)cc(=O)[n-]1 ZINC000640654569 423116789 /nfs/dbraw/zinc/11/67/89/423116789.db2.gz UWTLLEVCWHDVTC-PEFMBERDSA-N -1 1 311.407 1.580 20 0 DDADMM COCc1cccc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c1 ZINC000640657391 423118762 /nfs/dbraw/zinc/11/87/62/423118762.db2.gz IAQJSFKOSXWDTM-UHFFFAOYSA-N -1 1 319.386 1.981 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1cc(F)ccc1F)Cn1ccnc1 ZINC000360494340 418449830 /nfs/dbraw/zinc/44/98/30/418449830.db2.gz DMWHWJTUVVUISP-SNVBAGLBSA-N -1 1 315.345 1.776 20 0 DDADMM CS(=O)(=O)Nc1c[nH]nc1[C@@H]1CCC[N@@H+](CCCF)C1 ZINC000360661120 418486865 /nfs/dbraw/zinc/48/68/65/418486865.db2.gz JATLDFQEVOTJHT-SNVBAGLBSA-N -1 1 304.391 1.320 20 0 DDADMM C[C@]1(NC(=O)c2nc3ccccc3c(=O)[n-]2)CCO[C@H]1C1CC1 ZINC000374633712 418549188 /nfs/dbraw/zinc/54/91/88/418549188.db2.gz ZDLDPHNOBKOECU-GUYCJALGSA-N -1 1 313.357 1.611 20 0 DDADMM O=C(Nc1ccc(Cn2cncn2)cc1)c1ccc(O)cc1[O-] ZINC000193207266 222146194 /nfs/dbraw/zinc/14/61/94/222146194.db2.gz BHRXVTIZTWHGMJ-UHFFFAOYSA-N -1 1 310.313 1.990 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)C)S(=O)(=O)c1nnc(C(C)(C)C)[n-]1 ZINC000195171001 222193569 /nfs/dbraw/zinc/19/35/69/222193569.db2.gz ZRQFAEPPUVDLIM-QMMMGPOBSA-N -1 1 316.427 1.179 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)C)S(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000195171001 222193571 /nfs/dbraw/zinc/19/35/71/222193571.db2.gz ZRQFAEPPUVDLIM-QMMMGPOBSA-N -1 1 316.427 1.179 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)C)S(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195171001 222193573 /nfs/dbraw/zinc/19/35/73/222193573.db2.gz ZRQFAEPPUVDLIM-QMMMGPOBSA-N -1 1 316.427 1.179 20 0 DDADMM O=C(Nc1ccnn1C[C@H]1CCOC1)c1ccc(O)cc1[O-] ZINC000195277340 222197053 /nfs/dbraw/zinc/19/70/53/222197053.db2.gz WGILINNRQSFISK-SNVBAGLBSA-N -1 1 303.318 1.583 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(C)(C)[C@@H]2C2CC2)o1 ZINC000291186051 222204395 /nfs/dbraw/zinc/20/43/95/222204395.db2.gz SWAMNVZTABTIRH-LBPRGKRZSA-N -1 1 312.391 1.448 20 0 DDADMM O=C([O-])C[C@@H]1COCCN1Cc1ccc(-n2cccn2)cc1 ZINC000316678464 418601422 /nfs/dbraw/zinc/60/14/22/418601422.db2.gz AVBALHUVTGWRHN-OAHLLOKOSA-N -1 1 301.346 1.548 20 0 DDADMM C[C@@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1c(F)cccc1F ZINC000371354963 418783702 /nfs/dbraw/zinc/78/37/02/418783702.db2.gz OIOYPZSUBONJFV-LDYMZIIASA-N -1 1 323.303 1.182 20 0 DDADMM CCOC(=O)c1coc(=NCCN(C)Cc2ccccc2)[n-]1 ZINC000386827681 418741061 /nfs/dbraw/zinc/74/10/61/418741061.db2.gz VGLVCSGTMRZMNK-UHFFFAOYSA-N -1 1 303.362 1.817 20 0 DDADMM O=C(c1cc(Cl)c(Cl)[nH]1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000371024516 418757210 /nfs/dbraw/zinc/75/72/10/418757210.db2.gz FEYNEOPODAXAHS-LURJTMIESA-N -1 1 315.164 1.854 20 0 DDADMM O=C([O-])C1(C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2)CC1 ZINC000380888887 418727912 /nfs/dbraw/zinc/72/79/12/418727912.db2.gz VVMIZLKHOXTQAV-CQSZACIVSA-N -1 1 302.374 1.632 20 0 DDADMM C[C@H](Cc1ccccc1F)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000364628055 418794006 /nfs/dbraw/zinc/79/40/06/418794006.db2.gz INMKZOPFQUCXTB-MFKMUULPSA-N -1 1 319.340 1.118 20 0 DDADMM CCC(CC)Oc1ccc(C(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000410857850 418852951 /nfs/dbraw/zinc/85/29/51/418852951.db2.gz JLBNRJQNIDIJIX-UHFFFAOYSA-N -1 1 317.349 1.723 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC2(CCOCC2)CC1 ZINC000372204477 418844709 /nfs/dbraw/zinc/84/47/09/418844709.db2.gz KBVACWQKTRBJMU-UHFFFAOYSA-N -1 1 309.337 1.943 20 0 DDADMM CCOCCC1(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCC1 ZINC000372949216 418915686 /nfs/dbraw/zinc/91/56/86/418915686.db2.gz SVPFFXKEJJKCJU-GFCCVEGCSA-N -1 1 307.398 1.503 20 0 DDADMM CS(=O)(=O)CCCCNC(=O)c1cc(Cl)ccc1[O-] ZINC000424920047 228333400 /nfs/dbraw/zinc/33/34/00/228333400.db2.gz VVRMBEJBPXBXKI-UHFFFAOYSA-N -1 1 305.783 1.600 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCc2cn(C)cn2)c(F)c1 ZINC000425183951 228384008 /nfs/dbraw/zinc/38/40/08/228384008.db2.gz HWOUXWNJKXPIDS-UHFFFAOYSA-N -1 1 315.345 1.528 20 0 DDADMM O=C(Nc1nc2cccnc2[nH]1)c1c[nH]nc1-c1ccncc1 ZINC000426582993 419511385 /nfs/dbraw/zinc/51/13/85/419511385.db2.gz ARCAUBOEYFEXEP-UHFFFAOYSA-N -1 1 305.301 1.995 20 0 DDADMM CC(=O)c1ccsc1[N-]S(=O)(=O)N1C[C@@H](C)OC[C@@H]1C ZINC000427308397 419635299 /nfs/dbraw/zinc/63/52/99/419635299.db2.gz NGTNYJGHTAIQPG-DTWKUNHWSA-N -1 1 318.420 1.717 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2cc(F)ccc2F)CCC1 ZINC000427245930 419625252 /nfs/dbraw/zinc/62/52/52/419625252.db2.gz KUGCNMGXPJUCPY-UHFFFAOYSA-N -1 1 319.329 1.729 20 0 DDADMM O=C(Nc1ccc(Cn2cncn2)cc1)C(=O)c1ccc([O-])cc1 ZINC000437008091 229554173 /nfs/dbraw/zinc/55/41/73/229554173.db2.gz ZXRDOQZGXXCPFQ-UHFFFAOYSA-N -1 1 322.324 1.853 20 0 DDADMM C[C@H](CSc1ccccc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000430328260 420107135 /nfs/dbraw/zinc/10/71/35/420107135.db2.gz ZFTBAYHBSNVTNS-SNVBAGLBSA-N -1 1 303.391 1.733 20 0 DDADMM Cn1[n-]c(=O)c2c1nc(C1CC1)cc2C(=O)Nc1cnns1 ZINC000430332139 420109362 /nfs/dbraw/zinc/10/93/62/420109362.db2.gz NILVXMQYLINKGF-UHFFFAOYSA-N -1 1 316.346 1.655 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2C[C@H]2c2cccnc2)n1 ZINC000415630985 420129153 /nfs/dbraw/zinc/12/91/53/420129153.db2.gz OXMMFUCRCVPSBT-WDEREUQCSA-N -1 1 300.318 1.724 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCc3ccccc3O2)n1 ZINC000415630573 420129269 /nfs/dbraw/zinc/12/92/69/420129269.db2.gz NPRXOVNPKHUNPT-CYBMUJFWSA-N -1 1 315.329 1.919 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCNC(=O)CC(C)C)[n-]1 ZINC000415646129 420134481 /nfs/dbraw/zinc/13/44/81/420134481.db2.gz KCIMPAVJIKEPBN-UHFFFAOYSA-N -1 1 310.354 1.077 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCNC(=O)CC(C)C)n1 ZINC000415646129 420134485 /nfs/dbraw/zinc/13/44/85/420134485.db2.gz KCIMPAVJIKEPBN-UHFFFAOYSA-N -1 1 310.354 1.077 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCCc2sccc21 ZINC000416202078 420277765 /nfs/dbraw/zinc/27/77/65/420277765.db2.gz HTUTUQBXPHOHAG-QMMMGPOBSA-N -1 1 321.358 1.765 20 0 DDADMM NS(=O)(=O)c1ccccc1CNC(=O)c1c([O-])cccc1F ZINC000436738694 420363037 /nfs/dbraw/zinc/36/30/37/420363037.db2.gz MDGSIAKXVUJRJB-UHFFFAOYSA-N -1 1 324.333 1.109 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2ccc(F)c(-c3nc[nH]n3)c2)c[nH]1 ZINC000436478215 420330206 /nfs/dbraw/zinc/33/02/06/420330206.db2.gz ONNYLTFISNNYMW-UHFFFAOYSA-N -1 1 322.325 1.443 20 0 DDADMM CC(C)C[C@@H](CN=c1ccc(C(N)=O)n[n-]1)C(=O)OC(C)(C)C ZINC000425267126 420334218 /nfs/dbraw/zinc/33/42/18/420334218.db2.gz KCHDEKCZMPOULM-NSHDSACASA-N -1 1 322.409 1.413 20 0 DDADMM CC(=O)c1ccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cc1O ZINC000436525793 420337315 /nfs/dbraw/zinc/33/73/15/420337315.db2.gz OUBRHUIRQNBGET-UHFFFAOYSA-N -1 1 314.223 1.984 20 0 DDADMM O=C(c1occ2c1CCOC2)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000425325724 420349554 /nfs/dbraw/zinc/34/95/54/420349554.db2.gz SKWULAALXFBKKB-UHFFFAOYSA-N -1 1 319.317 1.461 20 0 DDADMM O=C(c1occ2c1CCOC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425325724 420349559 /nfs/dbraw/zinc/34/95/59/420349559.db2.gz SKWULAALXFBKKB-UHFFFAOYSA-N -1 1 319.317 1.461 20 0 DDADMM COC(=O)[C@](C)(O)CNC(=O)c1ccc2ccccc2c1[O-] ZINC000436677943 420352845 /nfs/dbraw/zinc/35/28/45/420352845.db2.gz ZGWWUUHFJHGGQZ-MRXNPFEDSA-N -1 1 303.314 1.199 20 0 DDADMM O=C(Nc1ccc2c(c1)OCC(=O)N2)c1ccc(O)cc1[O-] ZINC000436821128 420370619 /nfs/dbraw/zinc/37/06/19/420370619.db2.gz UTNRRPIMBJJHNA-UHFFFAOYSA-N -1 1 300.270 1.681 20 0 DDADMM C[C@@H](c1ccccc1)[C@@H](NC(=O)c1ccc([O-])cc1F)C(N)=O ZINC000436832914 420375843 /nfs/dbraw/zinc/37/58/43/420375843.db2.gz UDUHCUYHOMWUMZ-ZUZCIYMTSA-N -1 1 316.332 1.919 20 0 DDADMM Cn1cc([C@H](CO)NC(=O)c2cc3ccccc3cc2[O-])cn1 ZINC000436885425 420378983 /nfs/dbraw/zinc/37/89/83/420378983.db2.gz DFTDCVJPYCOUHU-HNNXBMFYSA-N -1 1 311.341 1.742 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCn2ncnc2C1 ZINC000436928102 420383397 /nfs/dbraw/zinc/38/33/97/420383397.db2.gz RNPSBQGTUPSGJJ-UHFFFAOYSA-N -1 1 323.150 1.402 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2sccc2Cl)CCC(=O)N1 ZINC000416504294 420399535 /nfs/dbraw/zinc/39/95/35/420399535.db2.gz ZUACJAAYAMIBQL-JTQLQIEISA-N -1 1 308.812 1.349 20 0 DDADMM Cn1c2ccc(Cl)cc2nc1SCC(=O)Nc1nnn[n-]1 ZINC000439280957 420504725 /nfs/dbraw/zinc/50/47/25/420504725.db2.gz DOXFILVAJJGMQG-UHFFFAOYSA-N -1 1 323.769 1.471 20 0 DDADMM Cn1c2ccc(Cl)cc2nc1SCC(=O)Nc1nn[n-]n1 ZINC000439280957 420504730 /nfs/dbraw/zinc/50/47/30/420504730.db2.gz DOXFILVAJJGMQG-UHFFFAOYSA-N -1 1 323.769 1.471 20 0 DDADMM C[C@H](O)CN(CC(F)F)C(=O)c1csc(=NC2CC2)[nH]1 ZINC000456589720 420509671 /nfs/dbraw/zinc/50/96/71/420509671.db2.gz OBGCWOCRSUBJPR-ZETCQYMHSA-N -1 1 305.350 1.227 20 0 DDADMM C[C@H](O)CN(CC(F)F)C(=O)c1csc(=NC2CC2)[n-]1 ZINC000456589720 420509678 /nfs/dbraw/zinc/50/96/78/420509678.db2.gz OBGCWOCRSUBJPR-ZETCQYMHSA-N -1 1 305.350 1.227 20 0 DDADMM CC(C)[C@H]1C[C@H](CN=c2[n-]cc(S(N)(=O)=O)s2)CCO1 ZINC000450741268 420518276 /nfs/dbraw/zinc/51/82/76/420518276.db2.gz WWRQNXQKODPIMQ-NXEZZACHSA-N -1 1 319.452 1.076 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000492323932 420522623 /nfs/dbraw/zinc/52/26/23/420522623.db2.gz OULRHMHTFPKERZ-LWTINBJPSA-N -1 1 304.379 1.384 20 0 DDADMM O=S(=O)([N-]CCCn1ccnc1)c1sccc1Cl ZINC000450973450 420572399 /nfs/dbraw/zinc/57/23/99/420572399.db2.gz YSCUPDCWGLFZKY-UHFFFAOYSA-N -1 1 305.812 1.967 20 0 DDADMM O=C(NCC[N-]S(=O)(=O)c1sccc1Cl)C1CC1 ZINC000450992301 420578342 /nfs/dbraw/zinc/57/83/42/420578342.db2.gz PIKJUGKZKQHLAX-UHFFFAOYSA-N -1 1 308.812 1.206 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1ccc2[nH]ccc2c1)c1nn[n-]n1 ZINC000492671215 420623358 /nfs/dbraw/zinc/62/33/58/420623358.db2.gz BVWJZTNADSYRSN-VLWUPBBWSA-N -1 1 310.361 1.956 20 0 DDADMM CCC[C@H](NC(=O)C=CCN(C)CC(F)(F)F)c1nn[n-]n1 ZINC000492828954 420680619 /nfs/dbraw/zinc/68/06/19/420680619.db2.gz ZYIDWURZRRXKJM-DNQSNQRASA-N -1 1 320.319 1.207 20 0 DDADMM CCC[C@H](NC(=O)/C=C/CN(C)CC(F)(F)F)c1nn[n-]n1 ZINC000492828954 420680621 /nfs/dbraw/zinc/68/06/21/420680621.db2.gz ZYIDWURZRRXKJM-DNQSNQRASA-N -1 1 320.319 1.207 20 0 DDADMM CCC[C@@H](NC(=O)CCNC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC000492881541 420698233 /nfs/dbraw/zinc/69/82/33/420698233.db2.gz CZSMOSWPIUUMIJ-SECBINFHSA-N -1 1 312.374 1.072 20 0 DDADMM CN(C)C1CN(C(=O)N=c2nc(-c3ccsc3)[n-]s2)C1 ZINC000448014379 420834315 /nfs/dbraw/zinc/83/43/15/420834315.db2.gz YYLSUKZVIKBTDP-UHFFFAOYSA-N -1 1 309.420 1.466 20 0 DDADMM CSc1n[nH]c(NC(=O)[C@H]2CCN2C(=O)OC(C)(C)C)n1 ZINC000495550047 421020936 /nfs/dbraw/zinc/02/09/36/421020936.db2.gz VGBZQRPDSAKSGX-SSDOTTSWSA-N -1 1 313.383 1.475 20 0 DDADMM COC[C@H](c1ccc(Cl)cc1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC000490036375 421186269 /nfs/dbraw/zinc/18/62/69/421186269.db2.gz HBQOHWIXSAOBPO-GFCCVEGCSA-N -1 1 310.785 1.581 20 0 DDADMM C[C@@H](OC[C@@H]1CCCCO1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000522855242 421226896 /nfs/dbraw/zinc/22/68/96/421226896.db2.gz XIKQIEYQHDCSQE-NEPJUHHUSA-N -1 1 323.397 1.059 20 0 DDADMM O=C(NC1(CCO)CCCCC1)c1csc(=NC2CC2)[n-]1 ZINC000546659373 421302310 /nfs/dbraw/zinc/30/23/10/421302310.db2.gz UDIDNOCRVAXUKB-UHFFFAOYSA-N -1 1 309.435 1.954 20 0 DDADMM FC(F)(F)Cn1cc(CNC2(c3nnn[n-]3)CCCC2)cn1 ZINC000546741843 421308666 /nfs/dbraw/zinc/30/86/66/421308666.db2.gz KRDYQVIYDVUCBL-UHFFFAOYSA-N -1 1 315.303 1.518 20 0 DDADMM FC(F)(F)Cn1cc(CNC2(c3nn[n-]n3)CCCC2)cn1 ZINC000546741843 421308670 /nfs/dbraw/zinc/30/86/70/421308670.db2.gz KRDYQVIYDVUCBL-UHFFFAOYSA-N -1 1 315.303 1.518 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC1C2CC3CC(C2)CC1C3 ZINC000545914269 421272667 /nfs/dbraw/zinc/27/26/67/421272667.db2.gz FRSBNNLCQITONV-CNYNQWADSA-N -1 1 320.433 1.724 20 0 DDADMM CC(C)(COCC(F)F)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000526059110 421327556 /nfs/dbraw/zinc/32/75/56/421327556.db2.gz UVHSXIBJGMWXGV-VIFPVBQESA-N -1 1 317.340 1.214 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1cnccn1 ZINC000526934672 421339346 /nfs/dbraw/zinc/33/93/46/421339346.db2.gz IIKDFMHRSIUCRQ-UHFFFAOYSA-N -1 1 315.377 1.551 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCC[C@]2(CCCOC2)C1 ZINC000527107213 421355412 /nfs/dbraw/zinc/35/54/12/421355412.db2.gz MTZKOVXIRSPGBT-INIZCTEOSA-N -1 1 300.362 1.991 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)N=c1[n-]nc(C(C)(C)C)s1 ZINC000562592846 421384850 /nfs/dbraw/zinc/38/48/50/421384850.db2.gz FJKLRBXFKDFGDB-JTQLQIEISA-N -1 1 311.455 1.815 20 0 DDADMM Cc1ccccc1-c1nc(=NC[C@@H](C)S(C)(=O)=O)s[n-]1 ZINC000527936793 421457352 /nfs/dbraw/zinc/45/73/52/421457352.db2.gz ALLVGEFKXXEQRO-SNVBAGLBSA-N -1 1 311.432 1.780 20 0 DDADMM CN1CC[C@@H](C(=O)N=c2nc(Cc3ccccc3)[n-]s2)C1 ZINC000548863194 421479885 /nfs/dbraw/zinc/47/98/85/421479885.db2.gz YXXXREARDDHLCQ-GFCCVEGCSA-N -1 1 302.403 1.441 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1nc2ccc(C)cc2[nH]1 ZINC000564349528 421583229 /nfs/dbraw/zinc/58/32/29/421583229.db2.gz NQVXWDRIGFQVKP-UHFFFAOYSA-N -1 1 321.362 1.059 20 0 DDADMM C[C@@H](NCc1nc(COc2ccc(F)cc2)no1)[C@@H](C)C(=O)[O-] ZINC000564462186 421587692 /nfs/dbraw/zinc/58/76/92/421587692.db2.gz MIROHDBKMVXNMU-NXEZZACHSA-N -1 1 323.324 1.987 20 0 DDADMM CN(C)[C@H](CNC(=O)c1n[nH]c(=O)[n-]1)c1ccc(Cl)cc1 ZINC000530602633 421609171 /nfs/dbraw/zinc/60/91/71/421609171.db2.gz ARJDOBIHTCAIFR-SNVBAGLBSA-N -1 1 309.757 1.196 20 0 DDADMM O=C(N=c1[n-]nc(C2CC2)s1)N1CCC(c2cnc[nH]2)CC1 ZINC000555811095 421691839 /nfs/dbraw/zinc/69/18/39/421691839.db2.gz CYRZGNRCULYMLD-UHFFFAOYSA-N -1 1 318.406 1.972 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCO[C@H](c3ccnn3C)C2)c([O-])c1 ZINC000556678508 421720670 /nfs/dbraw/zinc/72/06/70/421720670.db2.gz NYOYPMYGYZKWMP-FZMZJTMJSA-N -1 1 316.361 1.479 20 0 DDADMM Cc1ncc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(C(C)C)n1 ZINC000537121926 421726890 /nfs/dbraw/zinc/72/68/90/421726890.db2.gz TZEGSCUXZFURRA-NSHDSACASA-N -1 1 315.381 1.441 20 0 DDADMM Cc1cnc(CCNC(=O)c2csc(=NC3CC3)[nH]2)nc1 ZINC000534111435 421685082 /nfs/dbraw/zinc/68/50/82/421685082.db2.gz NKTYGARGGYBZSV-UHFFFAOYSA-N -1 1 303.391 1.210 20 0 DDADMM Cc1cnc(CCNC(=O)c2csc(=NC3CC3)[n-]2)nc1 ZINC000534111435 421685084 /nfs/dbraw/zinc/68/50/84/421685084.db2.gz NKTYGARGGYBZSV-UHFFFAOYSA-N -1 1 303.391 1.210 20 0 DDADMM O=C(c1c[nH]nc1-c1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538226035 421737622 /nfs/dbraw/zinc/73/76/22/421737622.db2.gz KQXWVVGAPLSBOC-LBPRGKRZSA-N -1 1 323.360 1.610 20 0 DDADMM CN(C[C@H](O)C(F)(F)F)C(=O)c1csc(=NC2CC2)[n-]1 ZINC000539748703 421750816 /nfs/dbraw/zinc/75/08/16/421750816.db2.gz TVKFZHLSJRPKSZ-QMMMGPOBSA-N -1 1 309.313 1.135 20 0 DDADMM O=C(c1ccc2cc[nH]c2n1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000572632931 421806772 /nfs/dbraw/zinc/80/67/72/421806772.db2.gz AKAVQCNZGFWSHS-JTQLQIEISA-N -1 1 313.317 1.671 20 0 DDADMM Cc1nc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)no1 ZINC000572569962 421800234 /nfs/dbraw/zinc/80/02/34/421800234.db2.gz VEOMAWJTMMTFOV-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM Cc1nc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)no1 ZINC000572569962 421800236 /nfs/dbraw/zinc/80/02/36/421800236.db2.gz VEOMAWJTMMTFOV-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM CCOc1ccc(C[C@@H](C)CNC(=O)CCc2nn[n-]n2)cc1 ZINC000633380901 421875717 /nfs/dbraw/zinc/87/57/17/421875717.db2.gz ORVCDHFWVKMAMS-GFCCVEGCSA-N -1 1 317.393 1.526 20 0 DDADMM CCc1nc2c(s1)[C@@H](N(C)C(=O)CCc1nn[n-]n1)CCC2 ZINC000631845235 421885049 /nfs/dbraw/zinc/88/50/49/421885049.db2.gz WJOHPAUGTZQUFA-JTQLQIEISA-N -1 1 320.422 1.687 20 0 DDADMM CN(Cc1ccccc1)C1CN(Cc2cc(C(=O)[O-])nn2C)C1 ZINC000635311803 421902043 /nfs/dbraw/zinc/90/20/43/421902043.db2.gz UJMLGVJRRRGTAY-UHFFFAOYSA-N -1 1 314.389 1.435 20 0 DDADMM CNC(=O)C1CCC([N-]S(=O)(=O)c2cc(C)ns2)CC1 ZINC000631814175 421852402 /nfs/dbraw/zinc/85/24/02/421852402.db2.gz FCCPOCGQUZZSIX-UHFFFAOYSA-N -1 1 317.436 1.035 20 0 DDADMM Cc1cc(=NC(=O)c2ccnc(OCCN(C)C)c2)[n-]nc1C ZINC000636863085 421869760 /nfs/dbraw/zinc/86/97/60/421869760.db2.gz ZKKMWUNOFTVXFM-UHFFFAOYSA-N -1 1 315.377 1.103 20 0 DDADMM Cc1oc(CN(C)C(=O)[C@@H](C)Cc2cnc[nH]2)cc1C(=O)[O-] ZINC000630132466 421907875 /nfs/dbraw/zinc/90/78/75/421907875.db2.gz NHYOCKBRZWJBTD-VIFPVBQESA-N -1 1 305.334 1.847 20 0 DDADMM COc1ccc([C@H](NC(=O)[C@@H](C)Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000630143409 421916268 /nfs/dbraw/zinc/91/62/68/421916268.db2.gz FOLRQAVKHPPCBX-HZMBPMFUSA-N -1 1 317.345 1.539 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2COc3ccccc32)CC1 ZINC000630223403 421973344 /nfs/dbraw/zinc/97/33/44/421973344.db2.gz KPYQKSCYRCEFQP-GXTWGEPZSA-N -1 1 318.373 1.170 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H]1Cc1cccc(F)c1 ZINC000635357228 421932810 /nfs/dbraw/zinc/93/28/10/421932810.db2.gz AIUMXDNBDZUEHY-CQSZACIVSA-N -1 1 317.368 1.895 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@@H]1CCCN1C1CC1)c1ccc(F)cc1 ZINC000630176327 421938581 /nfs/dbraw/zinc/93/85/81/421938581.db2.gz AJHVRZBDLZFXEO-GJZGRUSLSA-N -1 1 320.364 1.737 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NC[C@@H](C(=O)[O-])c1ccc(F)cc1 ZINC000630177224 421938928 /nfs/dbraw/zinc/93/89/28/421938928.db2.gz HRKXNBZBTLURSD-QMTHXVAHSA-N -1 1 319.336 1.712 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1ccc(Br)cc1 ZINC000635399485 421954587 /nfs/dbraw/zinc/95/45/87/421954587.db2.gz PQDSAFQFHGFOEK-UHFFFAOYSA-N -1 1 324.182 1.601 20 0 DDADMM C[C@@H](C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1ccccc1 ZINC000630219676 421970332 /nfs/dbraw/zinc/97/03/32/421970332.db2.gz JMZYGKGDFDKHRU-UONOGXRCSA-N -1 1 304.390 1.798 20 0 DDADMM CCOc1ccccc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630223085 421971965 /nfs/dbraw/zinc/97/19/65/421971965.db2.gz HNNVZNAWSSWXJS-ZDUSSCGKSA-N -1 1 320.389 1.706 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1CCC([C@@H]2CCCCO2)CC1 ZINC000635314061 421903825 /nfs/dbraw/zinc/90/38/25/421903825.db2.gz APBNECXNGVBHRR-HNNXBMFYSA-N -1 1 307.394 1.899 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2[C@H]3CCO[C@H]3C2(C)C)sn1 ZINC000632023322 422028908 /nfs/dbraw/zinc/02/89/08/422028908.db2.gz JXFLOXSJVQBGNS-FBIMIBRVSA-N -1 1 302.421 1.543 20 0 DDADMM C[C@@H]1CO[C@H](c2ccccc2)CN1C(=O)CCCc1nn[n-]n1 ZINC000635483302 422031269 /nfs/dbraw/zinc/03/12/69/422031269.db2.gz TYOHGWMCMYTCRS-OCCSQVGLSA-N -1 1 315.377 1.511 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1cc2c(c(Cl)c1)OCO2 ZINC000573938356 422036690 /nfs/dbraw/zinc/03/66/90/422036690.db2.gz FXIUCEMFNKSRMN-UHFFFAOYSA-N -1 1 323.692 1.831 20 0 DDADMM Cn1cc([C@H](CO)[N-]S(=O)(=O)c2sccc2Cl)cn1 ZINC000573982997 422045946 /nfs/dbraw/zinc/04/59/46/422045946.db2.gz YNNQCFXVULFBCS-VIFPVBQESA-N -1 1 321.811 1.147 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c(O)c1 ZINC000630227151 421979247 /nfs/dbraw/zinc/97/92/47/421979247.db2.gz ZXAJYRPZOVIOSI-GFCCVEGCSA-N -1 1 306.362 1.322 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccnc(C3CC3)c2)CC1 ZINC000630227257 421979363 /nfs/dbraw/zinc/97/93/63/421979363.db2.gz KAQLARORFKWFQX-UHFFFAOYSA-N -1 1 317.389 1.580 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCc1cccc(Cl)c1 ZINC000635449010 421996645 /nfs/dbraw/zinc/99/66/45/421996645.db2.gz NNBMVDUATSFCOX-UHFFFAOYSA-N -1 1 307.785 1.925 20 0 DDADMM CC(C)Cc1nc(=NC(=O)c2cnn(CCN(C)C)c2)s[n-]1 ZINC000633626876 422011960 /nfs/dbraw/zinc/01/19/60/422011960.db2.gz WRWOXAZHTZSEND-UHFFFAOYSA-N -1 1 322.438 1.169 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@](C)(CO)c2ccccc2)sn1 ZINC000632005357 422014532 /nfs/dbraw/zinc/01/45/32/422014532.db2.gz ORXMCVVJYNBFNK-ZDUSSCGKSA-N -1 1 312.416 1.638 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC2(CCO)CCC2)c1 ZINC000632118432 422100337 /nfs/dbraw/zinc/10/03/37/422100337.db2.gz QAVHYADGDOGWSJ-UHFFFAOYSA-N -1 1 315.391 1.232 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C(C)(C)[C@H]1CCCCO1 ZINC000633691865 422052792 /nfs/dbraw/zinc/05/27/92/422052792.db2.gz SNYJPRZKQXRDTG-SNVBAGLBSA-N -1 1 308.382 1.033 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](CCO)CC(C)C)sn1 ZINC000632054614 422053905 /nfs/dbraw/zinc/05/39/05/422053905.db2.gz CSKBIVVKGCBZJX-LLVKDONJSA-N -1 1 306.453 1.775 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2nnc(C)n2C2CC2)sn1 ZINC000632206659 422163932 /nfs/dbraw/zinc/16/39/32/422163932.db2.gz PMFFHVVSZBOWTB-UHFFFAOYSA-N -1 1 313.408 1.165 20 0 DDADMM CSc1ccc([O-])c(-c2nc(CCS(C)(=O)=O)no2)c1 ZINC000632230013 422181314 /nfs/dbraw/zinc/18/13/14/422181314.db2.gz LHHJQWQIUGCKLD-UHFFFAOYSA-N -1 1 314.388 1.751 20 0 DDADMM C[C@@]12CN(C(=O)c3cc(Cl)ccc3[O-])C[C@@]1(C)C(=O)NC2=O ZINC000633878975 422126084 /nfs/dbraw/zinc/12/60/84/422126084.db2.gz XCFOUIWLEVTYAI-GJZGRUSLSA-N -1 1 322.748 1.170 20 0 DDADMM CN(C)C(=O)NCCN(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632258229 422200247 /nfs/dbraw/zinc/20/02/47/422200247.db2.gz HTBXLEDQSRMRDT-UHFFFAOYSA-N -1 1 313.785 1.318 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCS[C@@H](C)CC2)c1 ZINC000632275200 422215907 /nfs/dbraw/zinc/21/59/07/422215907.db2.gz NGZAOXFOVQZPBS-JTQLQIEISA-N -1 1 317.432 1.917 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C[C@H](C)O[C@H]1C ZINC000634022109 422226716 /nfs/dbraw/zinc/22/67/16/422226716.db2.gz OKECGSPRCWFXCA-LJUAHTATSA-N -1 1 318.377 1.276 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCC[C@@H]2CCO)c1 ZINC000632243997 422190614 /nfs/dbraw/zinc/19/06/14/422190614.db2.gz BTGDGDZRTWJQSU-ZYHUDNBSSA-N -1 1 315.391 1.230 20 0 DDADMM COc1ccc2c(c1)C[C@@H](C)N(C(=O)CCc1nn[n-]n1)[C@@H]2C ZINC000632376089 422289741 /nfs/dbraw/zinc/28/97/41/422289741.db2.gz FFMWENLGPVWWHO-GHMZBOCLSA-N -1 1 315.377 1.675 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H](Nc2ccccc2)C1 ZINC000635708623 422265328 /nfs/dbraw/zinc/26/53/28/422265328.db2.gz HJAULKXBTUQJJJ-AWEZNQCLSA-N -1 1 314.393 1.626 20 0 DDADMM C[C@H](CCO)CC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632379248 422291566 /nfs/dbraw/zinc/29/15/66/422291566.db2.gz NTHOBUGJPUCKOK-ZETCQYMHSA-N -1 1 315.317 1.115 20 0 DDADMM COC[C@](C)(O)CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632380823 422293972 /nfs/dbraw/zinc/29/39/72/422293972.db2.gz NLLOPQPFTUVCHT-LLVKDONJSA-N -1 1 313.322 1.283 20 0 DDADMM O=C(NCCc1csc(=O)[nH]1)c1c(F)ccc([O-])c1F ZINC000575837891 422333122 /nfs/dbraw/zinc/33/31/22/422333122.db2.gz RTBYHYFMWPZQDT-UHFFFAOYSA-N -1 1 300.286 1.805 20 0 DDADMM CCC(CC)[C@@H]1C[C@@H](NC(=O)CCCc2nn[n-]n2)CCO1 ZINC000635775907 422334244 /nfs/dbraw/zinc/33/42/44/422334244.db2.gz GLZPQTDSZIJOOB-STQMWFEESA-N -1 1 309.414 1.622 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2ccc(C)c(C)n2)c1 ZINC000632438950 422345272 /nfs/dbraw/zinc/34/52/72/422345272.db2.gz GROCKIQSOPNCLB-UHFFFAOYSA-N -1 1 322.386 1.891 20 0 DDADMM COc1ccccc1OC[C@@H](C)CN=c1[nH]c(C(=O)[O-])co1 ZINC000575925091 422349307 /nfs/dbraw/zinc/34/93/07/422349307.db2.gz BJZUOCGVWYNRIH-JTQLQIEISA-N -1 1 306.318 1.930 20 0 DDADMM CN1CC[C@@H](C[N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1=O ZINC000632481871 422382038 /nfs/dbraw/zinc/38/20/38/422382038.db2.gz JYSHVCCRATTYLM-MRVPVSSYSA-N -1 1 322.333 1.364 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](C)O[C@@H](C)C2)c1 ZINC000632561981 422437424 /nfs/dbraw/zinc/43/74/24/422437424.db2.gz CDBIAOHRJFYUNW-MNOVXSKESA-N -1 1 315.391 1.589 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](C)[C@](C)(CO)C2)c1 ZINC000632572067 422445293 /nfs/dbraw/zinc/44/52/93/422445293.db2.gz YVOSYPXNJLUCOR-HZMBPMFUSA-N -1 1 315.391 1.040 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCCc1nc2c(s1)CCCC2 ZINC000630900629 422404209 /nfs/dbraw/zinc/40/42/09/422404209.db2.gz XGADFNBWTZOPLE-UHFFFAOYSA-N -1 1 320.422 1.217 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCc2n[nH]c(=O)cc2C1 ZINC000632545505 422424768 /nfs/dbraw/zinc/42/47/68/422424768.db2.gz DMTLBGQBTCUJNC-UHFFFAOYSA-N -1 1 319.748 1.669 20 0 DDADMM Cn1nncc1-n1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634631641 422517008 /nfs/dbraw/zinc/51/70/08/422517008.db2.gz ZGKWETSMTOQBTD-CYBMUJFWSA-N -1 1 310.361 1.040 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@@H]1CCCO1)c1ccc(C(F)F)o1 ZINC000632592104 422460081 /nfs/dbraw/zinc/46/00/81/422460081.db2.gz BBPIWSLSFULFPM-SFYZADRCSA-N -1 1 311.306 1.035 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)[C@H](C)O1 ZINC000632646432 422492476 /nfs/dbraw/zinc/49/24/76/422492476.db2.gz JLERDLMSSHDRNJ-KHQFGBGNSA-N -1 1 324.324 1.945 20 0 DDADMM CC(C)(C)CCN1CCN(C(=O)c2ccc(C(=O)[O-])nc2)CC1 ZINC000615206324 422496736 /nfs/dbraw/zinc/49/67/36/422496736.db2.gz HGJYXVLPBKXYKE-UHFFFAOYSA-N -1 1 319.405 1.974 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000578100309 422497183 /nfs/dbraw/zinc/49/71/83/422497183.db2.gz HDXLZVLOHWVCAY-XVKPBYJWSA-N -1 1 311.363 1.590 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc([C@H]2C[C@@H]2C)o1 ZINC000578100309 422497189 /nfs/dbraw/zinc/49/71/89/422497189.db2.gz HDXLZVLOHWVCAY-XVKPBYJWSA-N -1 1 311.363 1.590 20 0 DDADMM CCn1nc([N-]S(=O)(=O)N(C)CCOC)cc1C1CC1 ZINC000578327171 422549492 /nfs/dbraw/zinc/54/94/92/422549492.db2.gz SQRUJYGETUEVPD-UHFFFAOYSA-N -1 1 302.400 1.015 20 0 DDADMM COc1ccccc1OCCN1C[C@H](OC)C[C@@]1(C)C(=O)[O-] ZINC000634693852 422554569 /nfs/dbraw/zinc/55/45/69/422554569.db2.gz JFRTYCFHAXTGTH-WBMJQRKESA-N -1 1 309.362 1.638 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCn2nccc21)c1cc(F)ccc1F ZINC000632788901 422579486 /nfs/dbraw/zinc/57/94/86/422579486.db2.gz KOHOMDSFJMOCQN-LLVKDONJSA-N -1 1 313.329 1.975 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@@H]1[C@@H]1CCCC[C@@H]1O ZINC000632820413 422603537 /nfs/dbraw/zinc/60/35/37/422603537.db2.gz HFYNLLHLUBLYSR-XQQFMLRXSA-N -1 1 307.398 1.065 20 0 DDADMM COC(=O)C[C@H](C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000579116943 422709687 /nfs/dbraw/zinc/70/96/87/422709687.db2.gz DQOWKWDYBKONOW-LURJTMIESA-N -1 1 311.281 1.334 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]1O)c1ncccc1C(F)(F)F ZINC000645752307 423172423 /nfs/dbraw/zinc/17/24/23/423172423.db2.gz PTWGSYPYKCPMOY-RKDXNWHRSA-N -1 1 310.297 1.292 20 0 DDADMM C[C@@H]1CN(C(=O)c2csc(=NC3CC3)[n-]2)C2(CCC2)CO1 ZINC000652881381 423186355 /nfs/dbraw/zinc/18/63/55/423186355.db2.gz VQKBOFINIGODAU-SNVBAGLBSA-N -1 1 307.419 1.923 20 0 DDADMM C[C@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)Cn1cccn1 ZINC000097094507 263335960 /nfs/dbraw/zinc/33/59/60/263335960.db2.gz OIDWCKUYXCOZQQ-LLVKDONJSA-N -1 1 311.345 1.186 20 0 DDADMM CC1(C(=O)[O-])CCN(C(=O)NCc2n[nH]c(C3CC3)n2)CC1 ZINC000646159410 423373172 /nfs/dbraw/zinc/37/31/72/423373172.db2.gz FIRGSNXYTYAWIK-UHFFFAOYSA-N -1 1 307.354 1.078 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](NC(=O)c1ccco1)C(C)C ZINC000646409738 423478874 /nfs/dbraw/zinc/47/88/74/423478874.db2.gz WAQPUBPUJZPXTO-SNVBAGLBSA-N -1 1 306.322 1.410 20 0 DDADMM Nc1cccc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000646520345 423522002 /nfs/dbraw/zinc/52/20/02/423522002.db2.gz HQXVZSOSIHETOM-UHFFFAOYSA-N -1 1 302.334 1.306 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)[C@@H]1CCCS(=O)(=O)C1 ZINC000651554665 423591898 /nfs/dbraw/zinc/59/18/98/423591898.db2.gz QDAUPTGDRDVUGV-MRVPVSSYSA-N -1 1 303.767 1.809 20 0 DDADMM CC[C@H](C)C[C@H](CO)NC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000649054690 423601891 /nfs/dbraw/zinc/60/18/91/423601891.db2.gz DCCJHFSUIQFFIT-WCBMZHEXSA-N -1 1 313.423 1.739 20 0 DDADMM COC1(CS(=O)(=O)[N-]C(C)(C)C(F)F)CCOCC1 ZINC000651682018 423641916 /nfs/dbraw/zinc/64/19/16/423641916.db2.gz JIOIERPSSIPPSL-UHFFFAOYSA-N -1 1 301.355 1.145 20 0 DDADMM Cn1nnc2c1CC[C@H](NC(=O)c1cc(Cl)ccc1[O-])C2 ZINC000654110660 423708961 /nfs/dbraw/zinc/70/89/61/423708961.db2.gz GGGVUVSLRWFNAJ-VIFPVBQESA-N -1 1 306.753 1.461 20 0 DDADMM CCNC(=O)NCCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000647113764 423759066 /nfs/dbraw/zinc/75/90/66/423759066.db2.gz VQSSCOCYTUPCNM-UHFFFAOYSA-N -1 1 319.283 1.460 20 0 DDADMM Cn1nnc2cc([N-]S(=O)(=O)C[C@H]3CCCCO3)ccc21 ZINC000647135794 423773162 /nfs/dbraw/zinc/77/31/62/423773162.db2.gz FPFBYKAJRTVOLX-LLVKDONJSA-N -1 1 310.379 1.279 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(C(=O)[O-])cc2)CCN1C[C@@H](C)O ZINC000647142652 423783429 /nfs/dbraw/zinc/78/34/29/423783429.db2.gz VBDITCOKYGEQME-IUODEOHRSA-N -1 1 320.389 1.302 20 0 DDADMM CO[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1ncccc1Br ZINC000656968390 423941721 /nfs/dbraw/zinc/94/17/21/423941721.db2.gz BEHBEWZCEUAUIW-YUMQZZPRSA-N -1 1 323.212 1.546 20 0 DDADMM O=S(=O)([N-]CCCCF)c1ncccc1Br ZINC000656991503 423965138 /nfs/dbraw/zinc/96/51/38/423965138.db2.gz HNYYPZYNOWNNIB-UHFFFAOYSA-N -1 1 311.176 1.872 20 0 DDADMM COc1ccc(CN(Cc2n[nH]c(=O)[n-]2)[C@@H](C)CCCO)cc1 ZINC000640016015 424076189 /nfs/dbraw/zinc/07/61/89/424076189.db2.gz HVILTZPPKVEDDU-LBPRGKRZSA-N -1 1 320.393 1.682 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CC[C@@H](n2cncn2)C1 ZINC000640339480 424358710 /nfs/dbraw/zinc/35/87/10/424358710.db2.gz QPHZBHHIFNNHPY-SNVBAGLBSA-N -1 1 314.374 1.789 20 0 DDADMM CCN(Cc1ccc(OC)cc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662201109 424466824 /nfs/dbraw/zinc/46/68/24/424466824.db2.gz GPNBZPJSCNLZKJ-AWEZNQCLSA-N -1 1 306.362 1.203 20 0 DDADMM COc1ccc([C@H]2CCCN2[C@@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662201389 424467323 /nfs/dbraw/zinc/46/73/23/424467323.db2.gz QZXCWITWRGSTAM-HUUCEWRRSA-N -1 1 318.373 1.518 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCOCCF)c(F)c1 ZINC000660175772 424579535 /nfs/dbraw/zinc/57/95/35/424579535.db2.gz BPDJLHVWXJRZEK-UHFFFAOYSA-N -1 1 313.297 1.238 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)NC[C@H](C)C(=O)[O-])c1ccco1 ZINC000655866548 424686307 /nfs/dbraw/zinc/68/63/07/424686307.db2.gz YWXMPWSLJBRFSN-RYUDHWBXSA-N -1 1 311.382 1.682 20 0 DDADMM CC(C)(CCNC(=O)N[C@@H]1CCC[N@H+]2CCCC[C@@H]12)C(=O)[O-] ZINC000655879260 424689615 /nfs/dbraw/zinc/68/96/15/424689615.db2.gz LUPHKVWTKHYNKI-OLZOCXBDSA-N -1 1 311.426 1.803 20 0 DDADMM O=C1N(Cc2nc(-c3ccc([O-])cc3F)no2)CCN1C1CC1 ZINC000664849013 424709580 /nfs/dbraw/zinc/70/95/80/424709580.db2.gz AFNMNTNTAIKESB-UHFFFAOYSA-N -1 1 318.308 1.981 20 0 DDADMM COC(=O)[C@@H](CC(F)F)[N-]S(=O)(=O)CCc1ccccc1 ZINC000341710420 271181942 /nfs/dbraw/zinc/18/19/42/271181942.db2.gz HZJIBVGINCPCRC-LLVKDONJSA-N -1 1 321.345 1.345 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)[C@H]1CCC[C@H]1O ZINC000341845190 271219961 /nfs/dbraw/zinc/21/99/61/271219961.db2.gz YXSALAHEJKQHCQ-WDEREUQCSA-N -1 1 307.394 1.774 20 0 DDADMM CN(CC[C@@H]1CCCO1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000342363497 271391281 /nfs/dbraw/zinc/39/12/81/271391281.db2.gz RVAFPOIMHBBKTJ-NSHDSACASA-N -1 1 301.346 1.564 20 0 DDADMM CN=c1[n-]nc(CC(=O)Nc2nc3ccc(C)cc3[nH]2)s1 ZINC000344525738 272049928 /nfs/dbraw/zinc/04/99/28/272049928.db2.gz NEXLOUCYXTXODP-UHFFFAOYSA-N -1 1 302.363 1.368 20 0 DDADMM Cc1ccc([C@H](C)[C@@H](O)C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000344544748 272055031 /nfs/dbraw/zinc/05/50/31/272055031.db2.gz NJWFXRCPIYSBJT-CMPLNLGQSA-N -1 1 303.366 1.024 20 0 DDADMM CCc1ccc(NC(=O)CNC(=O)c2ncccc2[O-])cc1F ZINC000344759336 272111737 /nfs/dbraw/zinc/11/17/37/272111737.db2.gz XWJVIRTWOTVUJR-UHFFFAOYSA-N -1 1 317.320 1.857 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(Br)c([O-])c2)C[C@@H]1O ZINC000345146288 272181467 /nfs/dbraw/zinc/18/14/67/272181467.db2.gz PFSDEDIDDMZOSY-UFBFGSQYSA-N -1 1 314.179 1.998 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)N=c3cc(C)c(C)n[n-]3)[nH][nH]2)o1 ZINC000345147219 272181459 /nfs/dbraw/zinc/18/14/59/272181459.db2.gz JFJLKWXNFBRMLC-UHFFFAOYSA-N -1 1 312.333 1.873 20 0 DDADMM C[C@H]1CCCC[C@@H]1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332396003 278335733 /nfs/dbraw/zinc/33/57/33/278335733.db2.gz LNVMSIYDMLLZKM-QWRGUYRKSA-N -1 1 324.454 1.361 20 0 DDADMM CC(=O)N(C)C1CCN(CC(=O)[N-]OCc2ccccc2)CC1 ZINC000119367961 281097634 /nfs/dbraw/zinc/09/76/34/281097634.db2.gz UYYAWLATSRULOW-UHFFFAOYSA-N -1 1 319.405 1.177 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCO[C@@H]4CCC[C@H]43)ccnc1-2 ZINC000287802773 294131149 /nfs/dbraw/zinc/13/11/49/294131149.db2.gz XWJCOHHPNSIXRK-SAUWQKFDSA-N -1 1 301.350 1.127 20 0 DDADMM O=C(Nc1ccc(-n2nn[n-]c2=O)cc1)c1ccc2cncn2c1 ZINC000355169436 298660276 /nfs/dbraw/zinc/66/02/76/298660276.db2.gz CRZKZSGHYUTEBE-UHFFFAOYSA-N -1 1 321.300 1.268 20 0 DDADMM Cc1cc(C)cc(C=CC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000362291763 300045572 /nfs/dbraw/zinc/04/55/72/300045572.db2.gz XBCOBCIHZKQSIO-RDFMZFSFSA-N -1 1 313.361 1.430 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(F)cc2[O-])CCN1CC(C)(C)O ZINC000188731240 300192324 /nfs/dbraw/zinc/19/23/24/300192324.db2.gz ZWTQKOAVWUKDHE-NSHDSACASA-N -1 1 310.369 1.449 20 0 DDADMM O=C(c1ccoc1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000363379635 300259848 /nfs/dbraw/zinc/25/98/48/300259848.db2.gz UIZLRFUNUJBDNE-UHFFFAOYSA-N -1 1 315.329 1.369 20 0 DDADMM COc1cncc(C(=O)[N-]c2nnc(-c3c[nH]nc3C)s2)n1 ZINC000364328510 300377031 /nfs/dbraw/zinc/37/70/31/300377031.db2.gz CTTAGTMEBCMPNK-UHFFFAOYSA-N -1 1 317.334 1.288 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CC[C@@H]2C(=O)NC[C@@H]2C1 ZINC000365726095 300569009 /nfs/dbraw/zinc/56/90/09/300569009.db2.gz INCOUMBDNLWJIZ-OCCSQVGLSA-N -1 1 310.353 1.754 20 0 DDADMM CCc1cc(=O)[nH]c(C[N@H+]2C[C@H]3CCC[C@]3(C(=O)OC)C2)n1 ZINC000369922215 301239942 /nfs/dbraw/zinc/23/99/42/301239942.db2.gz CAZJPNNFTLFASG-BZNIZROVSA-N -1 1 305.378 1.520 20 0 DDADMM O=C(N[C@H]1CN(c2ccccc2)C1=O)c1ccc([O-])cc1F ZINC000369939736 301244137 /nfs/dbraw/zinc/24/41/37/301244137.db2.gz MJGFNXHQDVCKLI-AWEZNQCLSA-N -1 1 300.289 1.677 20 0 DDADMM CCC[C@H](NC(=O)c1cc2c(cn1)OCCC2)c1nn[n-]n1 ZINC000376282513 302059260 /nfs/dbraw/zinc/05/92/60/302059260.db2.gz AUPBQIZIEOCILT-JTQLQIEISA-N -1 1 302.338 1.191 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N=c3cc4n([nH]3)CCCC4)cnc2n1 ZINC000377093076 302142994 /nfs/dbraw/zinc/14/29/94/302142994.db2.gz OTTHDHBCXKVBLK-UHFFFAOYSA-N -1 1 323.356 1.851 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3OCCc4ccccc43)ccnc1-2 ZINC000377394904 302176546 /nfs/dbraw/zinc/17/65/46/302176546.db2.gz ZDJNCUAOJBJRSQ-NPQOUYBPSA-N -1 1 308.341 1.594 20 0 DDADMM CCC[C@@H](NC(=O)c1cncc(-c2ccccn2)c1)c1nn[n-]n1 ZINC000378574819 302337987 /nfs/dbraw/zinc/33/79/87/302337987.db2.gz WRRMVMUMCUDCKJ-CQSZACIVSA-N -1 1 323.360 1.928 20 0 DDADMM COC(=O)NCC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000497304380 302705568 /nfs/dbraw/zinc/70/55/68/302705568.db2.gz LSPZLATYISYVPK-UHFFFAOYSA-N -1 1 316.310 1.206 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H](C)[C@H]2CCCC[C@@H]21 ZINC000516118161 302783632 /nfs/dbraw/zinc/78/36/32/302783632.db2.gz SMWCFEBAQJOCDH-WCQGTBRESA-N -1 1 313.423 1.985 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])c1cn(C[C@@H]2CCOC2)nn1 ZINC000528900809 303071005 /nfs/dbraw/zinc/07/10/05/303071005.db2.gz IVEIMYIWFJBJOU-VIFPVBQESA-N -1 1 306.297 1.412 20 0 DDADMM CC(=O)c1cccc(NC(=O)CNC2(C(=O)[O-])CCCC2)c1 ZINC000533202950 303313646 /nfs/dbraw/zinc/31/36/46/303313646.db2.gz ZHFCPOUSLINEOG-UHFFFAOYSA-N -1 1 304.346 1.815 20 0 DDADMM COc1nc(C)ccc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000537472074 303374274 /nfs/dbraw/zinc/37/42/74/303374274.db2.gz OPIRFLYLBVAYPN-UHFFFAOYSA-N -1 1 302.338 1.111 20 0 DDADMM O=C(N[C@H](CO)C1CCCCC1)c1csc(=NC2CC2)[n-]1 ZINC000537599437 303375259 /nfs/dbraw/zinc/37/52/59/303375259.db2.gz VFHPHXOHJCOGNO-GFCCVEGCSA-N -1 1 309.435 1.810 20 0 DDADMM Cc1noc(Cl)c1CCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000539326744 303395005 /nfs/dbraw/zinc/39/50/05/303395005.db2.gz OGJUGZWWJFQWLS-VIFPVBQESA-N -1 1 324.772 1.488 20 0 DDADMM Cc1cc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])oc1C ZINC000539705256 303397562 /nfs/dbraw/zinc/39/75/62/303397562.db2.gz CDAGPLYNYPIDAE-UHFFFAOYSA-N -1 1 310.331 1.502 20 0 DDADMM CC(C)[C@H]1CCCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544738458 303477230 /nfs/dbraw/zinc/47/72/30/303477230.db2.gz YFVIZBJXXQFKEF-GHMZBOCLSA-N -1 1 303.366 1.362 20 0 DDADMM O=S(=O)(N=c1cc2ccccn2[n-]1)c1cc(F)ccc1F ZINC000560452702 303813870 /nfs/dbraw/zinc/81/38/70/303813870.db2.gz AILSBLZNPPDOTN-UHFFFAOYSA-N -1 1 309.297 1.835 20 0 DDADMM CCCc1nc(C)c(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)s1 ZINC000363396168 307027174 /nfs/dbraw/zinc/02/71/74/307027174.db2.gz QKBRQDPJIHMDBD-VIFPVBQESA-N -1 1 322.394 1.131 20 0 DDADMM FC(F)(F)c1nc(=N[C@@H]2CCO[C@]3(CCOC3)C2)s[n-]1 ZINC000368545942 307105423 /nfs/dbraw/zinc/10/54/23/307105423.db2.gz OTASSQYXMVPFMD-GMSGAONNSA-N -1 1 309.313 1.729 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](c3ccco3)C2)o1 ZINC000370837639 307137481 /nfs/dbraw/zinc/13/74/81/307137481.db2.gz IEHUFASDDKLQAT-SNVBAGLBSA-N -1 1 324.358 1.410 20 0 DDADMM Cn1cc2c(n1)CCC[C@H]2NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000374544459 307209836 /nfs/dbraw/zinc/20/98/36/307209836.db2.gz RRWSHKPFYYSVFV-CYBMUJFWSA-N -1 1 323.356 1.464 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCC[C@H]1C(C)(C)C ZINC000416637764 307299724 /nfs/dbraw/zinc/29/97/24/307299724.db2.gz VANWTQFDGZGTQF-NXEZZACHSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCC[C@H]1C(C)(C)C ZINC000416637764 307299725 /nfs/dbraw/zinc/29/97/25/307299725.db2.gz VANWTQFDGZGTQF-NXEZZACHSA-N -1 1 301.412 1.889 20 0 DDADMM CCOCCS(=O)(=O)[N-][C@H](C(C)=O)c1ccccc1F ZINC000416649605 307299780 /nfs/dbraw/zinc/29/97/80/307299780.db2.gz PUKDNMPYEBGPFH-CYBMUJFWSA-N -1 1 303.355 1.412 20 0 DDADMM C[C@@H]1Cc2ccccc2[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546088421 307725611 /nfs/dbraw/zinc/72/56/11/307725611.db2.gz MXIHNCYGMGIVOK-YMTOWFKASA-N -1 1 309.329 1.081 20 0 DDADMM O=S(=O)([N-]Cc1nc(CO)cs1)c1cc2ccccc2o1 ZINC000552090070 307816834 /nfs/dbraw/zinc/81/68/34/307816834.db2.gz QNWZMVBTDJQMCA-UHFFFAOYSA-N -1 1 324.383 1.860 20 0 DDADMM CO[C@@H](Cc1ccccc1)CS(=O)(=O)[N-]c1ccc(=O)[nH]n1 ZINC000562938456 307947951 /nfs/dbraw/zinc/94/79/51/307947951.db2.gz RXGJPYGZURWDBY-LBPRGKRZSA-N -1 1 323.374 1.182 20 0 DDADMM Cc1ccc(CNCCN2C[C@@H](C(=O)[O-])CC2=O)c(Cl)c1 ZINC000563211861 307962712 /nfs/dbraw/zinc/96/27/12/307962712.db2.gz PRFSVNHBYDGOAP-LBPRGKRZSA-N -1 1 310.781 1.671 20 0 DDADMM COC(=O)c1cnc([N-]S(=O)(=O)C[C@H](C)OC)c(Cl)c1 ZINC000565056645 308018458 /nfs/dbraw/zinc/01/84/58/308018458.db2.gz SAZGPNMNNCREGN-ZETCQYMHSA-N -1 1 322.770 1.298 20 0 DDADMM Cc1c(C(=O)[O-])sc2nc(C)nc(N[C@H]3C[C@H](O)C3(C)C)c12 ZINC000573924838 308256292 /nfs/dbraw/zinc/25/62/92/308256292.db2.gz ZENHFCXAQKQJIR-IUCAKERBSA-N -1 1 321.402 2.000 20 0 DDADMM CCC[C@@H]1CN(C(=O)[C@@H](C(=O)[O-])C(C)C)CCN1CCOC ZINC000576878614 308346499 /nfs/dbraw/zinc/34/64/99/308346499.db2.gz FYXJNQSPBZVGOW-KGLIPLIRSA-N -1 1 314.426 1.303 20 0 DDADMM Cc1noc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)n1 ZINC000577260144 308374445 /nfs/dbraw/zinc/37/44/45/308374445.db2.gz ZHMCOXHFSZBOJB-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM Cc1noc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)n1 ZINC000577260144 308374446 /nfs/dbraw/zinc/37/44/46/308374446.db2.gz ZHMCOXHFSZBOJB-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM CCC[C@@H](C)[C@H]1CCCN1S(=O)(=O)c1c(C)o[n-]c1=N ZINC000577325173 308378960 /nfs/dbraw/zinc/37/89/60/308378960.db2.gz ACPCGMVTDLDSQP-MWLCHTKSSA-N -1 1 301.412 1.985 20 0 DDADMM COC(=O)c1ccc(NC(=O)CNC2(C(=O)[O-])CCCC2)cc1 ZINC000577615724 308402208 /nfs/dbraw/zinc/40/22/08/308402208.db2.gz XMNSEYWIDXCSOO-UHFFFAOYSA-N -1 1 320.345 1.399 20 0 DDADMM O=C(CN1CCC(CO)CC1)Nc1cc(F)cc(F)c1[O-] ZINC001120662764 782082828 /nfs/dbraw/zinc/08/28/28/782082828.db2.gz DZQZTDURNSKMJU-UHFFFAOYSA-N -1 1 300.305 1.313 20 0 DDADMM C[C@](N)(C(=O)N1CCC[C@H](CCC(=O)[O-])C1)c1ccccc1 ZINC000386011349 325758215 /nfs/dbraw/zinc/75/82/15/325758215.db2.gz QAWSHKFAKATVCY-CXAGYDPISA-N -1 1 304.390 1.964 20 0 DDADMM COc1ccc(-c2noc(CN[C@H](C)[C@H](C)C(=O)[O-])n2)cc1 ZINC000581434930 325853010 /nfs/dbraw/zinc/85/30/10/325853010.db2.gz SGWMJCRTPDRJTO-VHSXEESVSA-N -1 1 305.334 1.944 20 0 DDADMM Cn1[n-]c(CN2CCCc3c(OC(F)F)cccc32)nc1=O ZINC000582110877 325971720 /nfs/dbraw/zinc/97/17/20/325971720.db2.gz ZRQAEGHBZJLARX-UHFFFAOYSA-N -1 1 310.304 1.663 20 0 DDADMM Cc1ccc([C@H](CNC(=O)NC[C@H](C)C(=O)[O-])N2CCCC2)o1 ZINC000655867806 483972018 /nfs/dbraw/zinc/97/20/18/483972018.db2.gz ZYCHBTNGLYMAIE-AAEUAGOBSA-N -1 1 323.393 1.745 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1)[C@@H]1COCCO1 ZINC000436981359 484131073 /nfs/dbraw/zinc/13/10/73/484131073.db2.gz RASKTJJVXYJIIQ-JTQLQIEISA-N -1 1 324.724 1.185 20 0 DDADMM O=C(Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1)[C@@H]1COCCO1 ZINC000436981359 484131078 /nfs/dbraw/zinc/13/10/78/484131078.db2.gz RASKTJJVXYJIIQ-JTQLQIEISA-N -1 1 324.724 1.185 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC(CCc2ccc(O)cc2)CC1 ZINC000542824325 484314704 /nfs/dbraw/zinc/31/47/04/484314704.db2.gz UGZJYLWCXQWTAE-UHFFFAOYSA-N -1 1 320.389 1.238 20 0 DDADMM COCCCNC(=O)[C@@H](C)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000321022359 484404746 /nfs/dbraw/zinc/40/47/46/484404746.db2.gz PJUXZUXHHSEAJS-DOMZBBRYSA-N -1 1 320.389 1.212 20 0 DDADMM C[C@@H]1C[C@H](C)[C@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000669755648 484635764 /nfs/dbraw/zinc/63/57/64/484635764.db2.gz UNFQWINVGBRBCI-XKAARJIMSA-N -1 1 301.350 1.219 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@]1(C(=O)[O-])CC1(C)C)c1ccsc1 ZINC000663112840 484678713 /nfs/dbraw/zinc/67/87/13/484678713.db2.gz BUMCIIYYMQHLII-ABAIWWIYSA-N -1 1 310.419 1.968 20 0 DDADMM C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1cnn(C(C)(C)C(=O)[O-])c1 ZINC000663128990 484691344 /nfs/dbraw/zinc/69/13/44/484691344.db2.gz UUCUKPFEIVUVKG-GHMZBOCLSA-N -1 1 308.382 1.514 20 0 DDADMM O=c1nc(CN(CCOCCO)C2CCC2)[n-]c2ccsc21 ZINC000661101372 484915182 /nfs/dbraw/zinc/91/51/82/484915182.db2.gz HMQSYYQMIFXYTG-UHFFFAOYSA-N -1 1 323.418 1.760 20 0 DDADMM CCc1c[nH]c(=O)c(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000668869020 485345897 /nfs/dbraw/zinc/34/58/97/485345897.db2.gz IJFBVTGCVYDRNA-UHFFFAOYSA-N -1 1 302.338 1.062 20 0 DDADMM O=C(NCCc1nnc2n1CCC2)c1ncc2ccccc2c1[O-] ZINC000666809954 485357728 /nfs/dbraw/zinc/35/77/28/485357728.db2.gz QZWGFGTYHOJZFO-UHFFFAOYSA-N -1 1 323.356 1.451 20 0 DDADMM NS(=O)(=O)c1ccccc1CNC(=O)c1ccc([O-])c(F)c1 ZINC000673386776 485408422 /nfs/dbraw/zinc/40/84/22/485408422.db2.gz AAVVRHBIMQDWCM-UHFFFAOYSA-N -1 1 324.333 1.109 20 0 DDADMM NC(=O)N1CC[C@@H](CNC(=O)c2cc3ccccc3cc2[O-])C1 ZINC000673490628 485419252 /nfs/dbraw/zinc/41/92/52/485419252.db2.gz FDNUHJWFHYHDFR-NSHDSACASA-N -1 1 313.357 1.676 20 0 DDADMM CCc1ccnc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000678802990 485691749 /nfs/dbraw/zinc/69/17/49/485691749.db2.gz CXZMREIJOXKVFU-AWEZNQCLSA-N -1 1 308.345 1.677 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C)[C@H](F)C2)o1 ZINC000679253421 485822411 /nfs/dbraw/zinc/82/24/11/485822411.db2.gz SXYWLKOKIQEFFF-DTWKUNHWSA-N -1 1 304.343 1.008 20 0 DDADMM CCN(CC)[C@@H](C(=O)N(CCOC)CC(=O)[O-])c1ccccc1 ZINC000676209379 486144749 /nfs/dbraw/zinc/14/47/49/486144749.db2.gz YNPXLIJCNDFCHR-MRXNPFEDSA-N -1 1 322.405 1.629 20 0 DDADMM COc1ccc(NC(=O)c2n[nH]c(C)c2[O-])cc1NC(N)=O ZINC000683886584 486146229 /nfs/dbraw/zinc/14/62/29/486146229.db2.gz QVRVALKOFJFISN-UHFFFAOYSA-N -1 1 305.294 1.175 20 0 DDADMM CC[C@@H](NC(=O)c1cccc(CN2CCN(C)CC2)c1)C(=O)[O-] ZINC000676218930 486148688 /nfs/dbraw/zinc/14/86/88/486148688.db2.gz PGTZDPZTATZUBJ-OAHLLOKOSA-N -1 1 319.405 1.027 20 0 DDADMM CC[C@@H](O)CC[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676415932 486221702 /nfs/dbraw/zinc/22/17/02/486221702.db2.gz JXYBJODQUUOPPE-MRVPVSSYSA-N -1 1 309.334 1.413 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)Cc1csc(C)n1)c1ccco1 ZINC000684102443 486249142 /nfs/dbraw/zinc/24/91/42/486249142.db2.gz WNYQBDBVWGYPBV-NSHDSACASA-N -1 1 316.404 1.852 20 0 DDADMM Cc1cc(C)c([N-]S(=O)(=O)c2ccc(C(N)=O)cc2)cc1O ZINC000680809747 486269267 /nfs/dbraw/zinc/26/92/67/486269267.db2.gz MYHHUCFNFSIESG-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(-n3cncn3)c(F)c2)c1[O-] ZINC000676706793 486296035 /nfs/dbraw/zinc/29/60/35/486296035.db2.gz VKSCVFJIVRWAIT-UHFFFAOYSA-N -1 1 302.269 1.396 20 0 DDADMM CC(C)C(=O)N1CCC(NC(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681017323 486323559 /nfs/dbraw/zinc/32/35/59/486323559.db2.gz QSPRDWAWYPEIIM-UHFFFAOYSA-N -1 1 308.353 1.908 20 0 DDADMM CN(Cc1nnc2ccccn21)C(=O)c1ccc([O-])c(F)c1 ZINC000681038020 486328895 /nfs/dbraw/zinc/32/88/95/486328895.db2.gz UHMYXTWUEGDDFI-UHFFFAOYSA-N -1 1 300.293 1.846 20 0 DDADMM Cc1nc([C@H]2COCCN2C(=O)c2ccc([O-])c(F)c2)no1 ZINC000681068376 486336797 /nfs/dbraw/zinc/33/67/97/486336797.db2.gz RCXGWEKXIZIKDC-LLVKDONJSA-N -1 1 307.281 1.436 20 0 DDADMM C[C@@H]1C(=O)CC[C@H]1CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000684567910 486384867 /nfs/dbraw/zinc/38/48/67/486384867.db2.gz SJOHRXDKGSZPGS-WBIUFABUSA-N -1 1 313.361 1.411 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2ccnn2C)[nH]nc1C(F)(F)F ZINC000677234015 486421716 /nfs/dbraw/zinc/42/17/16/486421716.db2.gz VSURCVKKSGYCCY-UHFFFAOYSA-N -1 1 309.273 1.271 20 0 DDADMM COc1ccc(C(=O)NCc2nn[n-]n2)cc1-c1ccccn1 ZINC000677288258 486433134 /nfs/dbraw/zinc/43/31/34/486433134.db2.gz BQZMSZDZBYCCTN-UHFFFAOYSA-N -1 1 310.317 1.200 20 0 DDADMM O=C(c1c[nH]nc1-c1ccncc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677928396 486580517 /nfs/dbraw/zinc/58/05/17/486580517.db2.gz XNKGIDYYLJRJDH-LLVKDONJSA-N -1 1 324.348 1.005 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2(OC)CCCC2)o1 ZINC000451591565 534239315 /nfs/dbraw/zinc/23/93/15/534239315.db2.gz AQSCWYJTDJRGFK-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM Cc1noc(C)c1CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000331770112 534332376 /nfs/dbraw/zinc/33/23/76/534332376.db2.gz PZKSRTKAIPDRRD-JTQLQIEISA-N -1 1 306.322 1.329 20 0 DDADMM Cc1nc(NCCCc2nc(=O)[n-][nH]2)ccc1Br ZINC000302012081 534488904 /nfs/dbraw/zinc/48/89/04/534488904.db2.gz ZAGVKNXUTMEZGR-UHFFFAOYSA-N -1 1 312.171 1.609 20 0 DDADMM Cc1cnc(C(=O)NCC[C@@H]2C(=O)Nc3ccccc32)c([O-])c1 ZINC000494918751 534528162 /nfs/dbraw/zinc/52/81/62/534528162.db2.gz PHYVQZBWXUNYSV-LBPRGKRZSA-N -1 1 311.341 1.951 20 0 DDADMM COc1cc(C)ccc1OCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000156548453 522276861 /nfs/dbraw/zinc/27/68/61/522276861.db2.gz NTOUAOHQMJHFDA-NSHDSACASA-N -1 1 319.365 1.158 20 0 DDADMM O=S(=O)([N-]CCC1(CO)CC1)c1cc(F)c(F)cc1F ZINC000451938035 534866139 /nfs/dbraw/zinc/86/61/39/534866139.db2.gz NQTRIJATDLWCCT-UHFFFAOYSA-N -1 1 309.309 1.545 20 0 DDADMM CC(C)(C)OC1CC(CCNc2nc3[nH][n-]cc-3c(=O)n2)C1 ZINC000432849622 526536048 /nfs/dbraw/zinc/53/60/48/526536048.db2.gz FUGCHOSSEVULEI-UHFFFAOYSA-N -1 1 305.382 1.828 20 0 DDADMM CCN1C[C@@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)CC1=O ZINC000451867964 527950412 /nfs/dbraw/zinc/95/04/12/527950412.db2.gz LNHXMDLZPQFIEH-QMMMGPOBSA-N -1 1 320.773 1.378 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc(O)c(OC)c1)c1nn[n-]n1 ZINC000294873671 528179135 /nfs/dbraw/zinc/17/91/35/528179135.db2.gz HUEYDJJPLPEHCR-JTQLQIEISA-N -1 1 305.338 1.114 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1Oc2ccccc2O[C@H]1C)c1nn[n-]n1 ZINC000298160855 528200510 /nfs/dbraw/zinc/20/05/10/528200510.db2.gz DOZAOJYXUGDKDO-KWBADKCTSA-N -1 1 317.349 1.386 20 0 DDADMM CCNC(=O)c1ccc(=NCCO[C@H]2CCCC[C@@H]2C)[n-]n1 ZINC000413062176 528229060 /nfs/dbraw/zinc/22/90/60/528229060.db2.gz QVHXTIAHKACKHC-JSGCOSHPSA-N -1 1 306.410 1.655 20 0 DDADMM CC(C)c1nc([C@H]2COCCN2C(=O)c2ncccc2[O-])no1 ZINC000330317706 528322861 /nfs/dbraw/zinc/32/28/61/528322861.db2.gz QMVBUFSWIIMZQZ-SNVBAGLBSA-N -1 1 318.333 1.507 20 0 DDADMM CCC(CC)(CNC(=O)N[C@@H](C)Cc1cc(C)[nH]n1)C(=O)[O-] ZINC000424413941 528679005 /nfs/dbraw/zinc/67/90/05/528679005.db2.gz RPIYNBYAAWMSJM-JTQLQIEISA-N -1 1 310.398 1.839 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(-n2cncn2)cc1)c1nn[n-]n1 ZINC000434786890 528990974 /nfs/dbraw/zinc/99/09/74/528990974.db2.gz JBFVMTGIUNOFNX-GFCCVEGCSA-N -1 1 312.337 1.052 20 0 DDADMM CC[C@@H](Nc1ccccc1)C(=O)N=c1[nH][n-]c(C)c1C(=O)NC ZINC000412320058 529211886 /nfs/dbraw/zinc/21/18/86/529211886.db2.gz VHPNCBZRUGXCHI-GFCCVEGCSA-N -1 1 315.377 1.329 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2ccc(OC)cc2)[n-]n1 ZINC000336854396 535413132 /nfs/dbraw/zinc/41/31/32/535413132.db2.gz OGCIWJXPFJVCOX-UHFFFAOYSA-N -1 1 300.362 1.311 20 0 DDADMM CN(c1nccnc1-c1nnn[n-]1)[C@@H]1CCc2ccccc2C1 ZINC000736843235 598914106 /nfs/dbraw/zinc/91/41/06/598914106.db2.gz IDSVBUMCZIERPW-CYBMUJFWSA-N -1 1 307.361 1.650 20 0 DDADMM CN(c1nccnc1-c1nn[n-]n1)[C@@H]1CCc2ccccc2C1 ZINC000736843235 598914107 /nfs/dbraw/zinc/91/41/07/598914107.db2.gz IDSVBUMCZIERPW-CYBMUJFWSA-N -1 1 307.361 1.650 20 0 DDADMM O=C(N[C@@H]1C[C@H]1c1cccc(F)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738024755 598999132 /nfs/dbraw/zinc/99/91/32/598999132.db2.gz YIAOMSGMEDWRGT-GXTWGEPZSA-N -1 1 324.319 1.687 20 0 DDADMM O=C(N[C@@H]1C[C@H]1c1cccc(F)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738024755 598999134 /nfs/dbraw/zinc/99/91/34/598999134.db2.gz YIAOMSGMEDWRGT-GXTWGEPZSA-N -1 1 324.319 1.687 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000738259884 599025912 /nfs/dbraw/zinc/02/59/12/599025912.db2.gz XFBDVFWQMFWAFI-LLVKDONJSA-N -1 1 324.348 1.005 20 0 DDADMM O=C(OCc1nccn1C(F)F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738243880 599132942 /nfs/dbraw/zinc/13/29/42/599132942.db2.gz CGZIZUOFYQMKNS-UHFFFAOYSA-N -1 1 321.247 1.210 20 0 DDADMM O=C(OCc1nccn1C(F)F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738243880 599132944 /nfs/dbraw/zinc/13/29/44/599132944.db2.gz CGZIZUOFYQMKNS-UHFFFAOYSA-N -1 1 321.247 1.210 20 0 DDADMM COC(=O)CCCn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000736912762 599222517 /nfs/dbraw/zinc/22/25/17/599222517.db2.gz HDCKRVAQSYFINS-UHFFFAOYSA-N -1 1 313.317 1.135 20 0 DDADMM CN(C)[C@@H](CNC(=O)N(C)CCC(=O)[O-])c1cccc(F)c1 ZINC000818429157 596988481 /nfs/dbraw/zinc/98/84/81/596988481.db2.gz STDBQELTQKMZAM-ZDUSSCGKSA-N -1 1 311.357 1.545 20 0 DDADMM CN(CCC(=O)[O-])C(=O)[C@H](c1ccccc1)N1CCC(O)CC1 ZINC000737988920 597013641 /nfs/dbraw/zinc/01/36/41/597013641.db2.gz JSKUQJLKELUXBU-INIZCTEOSA-N -1 1 320.389 1.118 20 0 DDADMM CC(C)[C@@]1(C)CC(=O)N(CN2CCC[C@H](CC(=O)[O-])C2)C1=O ZINC000817858624 597047670 /nfs/dbraw/zinc/04/76/70/597047670.db2.gz LVDSXXUMHIEYNQ-MLGOLLRUSA-N -1 1 310.394 1.552 20 0 DDADMM C[C@H]1CCC[C@@H](CC(=O)N=c2cc[nH]cc2-c2nn[n-]n2)C1 ZINC000736247334 599255265 /nfs/dbraw/zinc/25/52/65/599255265.db2.gz BPYOCKAOVQFVSL-WDEREUQCSA-N -1 1 300.366 1.839 20 0 DDADMM O=C([O-])[C@H]1CCN(C(=O)NC[C@@H](c2ccco2)N2CCCC2)C1 ZINC000819258159 597506481 /nfs/dbraw/zinc/50/64/81/597506481.db2.gz QTVATSDPBOIEFQ-STQMWFEESA-N -1 1 321.377 1.533 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@H](C(=O)[O-])C2)cc1OC ZINC000818599107 597534513 /nfs/dbraw/zinc/53/45/13/597534513.db2.gz OWBPEZAQEUNQLX-JTQLQIEISA-N -1 1 308.334 1.049 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000820369162 597701696 /nfs/dbraw/zinc/70/16/96/597701696.db2.gz SBQMJFPFOBWRKA-UHFFFAOYSA-N -1 1 303.274 1.537 20 0 DDADMM NC(=O)c1n[nH]c2ccc(NC(=O)c3cccc(C(=O)[O-])c3)cc21 ZINC000821357046 598143039 /nfs/dbraw/zinc/14/30/39/598143039.db2.gz DILAVNCYMDGWDL-UHFFFAOYSA-N -1 1 324.296 1.612 20 0 DDADMM CC(C)[C@@H](NCC(=O)Nc1ccc(N2CCCC2)cc1)C(=O)[O-] ZINC000820041709 598189502 /nfs/dbraw/zinc/18/95/02/598189502.db2.gz NWONMZIRJCMTDO-MRXNPFEDSA-N -1 1 319.405 1.924 20 0 DDADMM Fc1cccc([C@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)c1 ZINC000737667328 598246454 /nfs/dbraw/zinc/24/64/54/598246454.db2.gz PHBBEGKHPHVAHV-NSHDSACASA-N -1 1 311.324 1.790 20 0 DDADMM Fc1cccc([C@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)c1 ZINC000737667328 598246456 /nfs/dbraw/zinc/24/64/56/598246456.db2.gz PHBBEGKHPHVAHV-NSHDSACASA-N -1 1 311.324 1.790 20 0 DDADMM C[C@@H](c1cccc(O)c1)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820563276 607356977 /nfs/dbraw/zinc/35/69/77/607356977.db2.gz PLJFOFUOABFKLO-JTQLQIEISA-N -1 1 324.344 1.801 20 0 DDADMM C[C@@H](c1cccc(O)c1)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820563276 607356979 /nfs/dbraw/zinc/35/69/79/607356979.db2.gz PLJFOFUOABFKLO-JTQLQIEISA-N -1 1 324.344 1.801 20 0 DDADMM CC(C)Oc1ccc(-c2nn[nH]n2)cc1[N-]S(=O)(=O)C1CC1 ZINC000735905211 598451151 /nfs/dbraw/zinc/45/11/51/598451151.db2.gz GRUZAKUXQBYVRP-UHFFFAOYSA-N -1 1 323.378 1.558 20 0 DDADMM O=C(NCc1cccc(F)n1)c1ccc(-c2nnn[n-]2)s1 ZINC000826442806 607358379 /nfs/dbraw/zinc/35/83/79/607358379.db2.gz LTGPAZVFINTZML-UHFFFAOYSA-N -1 1 304.310 1.392 20 0 DDADMM O=C(NCc1cccc(F)n1)c1ccc(-c2nn[n-]n2)s1 ZINC000826442806 607358381 /nfs/dbraw/zinc/35/83/81/607358381.db2.gz LTGPAZVFINTZML-UHFFFAOYSA-N -1 1 304.310 1.392 20 0 DDADMM FC(F)c1nc2ccccc2c(-n2cnc(-c3nn[n-]n3)n2)n1 ZINC000737637622 598536775 /nfs/dbraw/zinc/53/67/75/598536775.db2.gz ACPAELVLGYZZKR-UHFFFAOYSA-N -1 1 315.247 1.328 20 0 DDADMM O[C@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)C(F)(F)F ZINC000738380505 598578696 /nfs/dbraw/zinc/57/86/96/598578696.db2.gz BXWRTJVEIHOYML-RXMQYKEDSA-N -1 1 308.651 1.250 20 0 DDADMM O[C@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)C(F)(F)F ZINC000738380505 598578697 /nfs/dbraw/zinc/57/86/97/598578697.db2.gz BXWRTJVEIHOYML-RXMQYKEDSA-N -1 1 308.651 1.250 20 0 DDADMM Cc1ccc(C(=O)n2ncc(-c3nn[n-]n3)c2N)cc1Cl ZINC000737317898 598700390 /nfs/dbraw/zinc/70/03/90/598700390.db2.gz QGDCBQCZRSJOMC-UHFFFAOYSA-N -1 1 303.713 1.296 20 0 DDADMM Cc1noc(CCNc2ccc(Cl)c(-c3nnn[n-]3)n2)n1 ZINC000737534348 598747500 /nfs/dbraw/zinc/74/75/00/598747500.db2.gz LAYLNQXZNPIFEG-UHFFFAOYSA-N -1 1 306.717 1.261 20 0 DDADMM Cc1noc(CCNc2ccc(Cl)c(-c3nn[n-]n3)n2)n1 ZINC000737534348 598747501 /nfs/dbraw/zinc/74/75/01/598747501.db2.gz LAYLNQXZNPIFEG-UHFFFAOYSA-N -1 1 306.717 1.261 20 0 DDADMM COc1ccccc1[C@@H](O)CNc1cccc(-c2nnn[n-]2)n1 ZINC000737147494 599406781 /nfs/dbraw/zinc/40/67/81/599406781.db2.gz LWPLSUWSQHLNIV-LBPRGKRZSA-N -1 1 312.333 1.416 20 0 DDADMM COc1ccccc1[C@@H](O)CNc1cccc(-c2nn[n-]n2)n1 ZINC000737147494 599406784 /nfs/dbraw/zinc/40/67/84/599406784.db2.gz LWPLSUWSQHLNIV-LBPRGKRZSA-N -1 1 312.333 1.416 20 0 DDADMM O[C@H]1CCCC[C@H]1Nc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000823707177 599515313 /nfs/dbraw/zinc/51/53/13/599515313.db2.gz WWPDFEDZFSDAGM-DOMZBBRYSA-N -1 1 310.361 1.552 20 0 DDADMM O[C@H]1CCCC[C@H]1Nc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000823707177 599515315 /nfs/dbraw/zinc/51/53/15/599515315.db2.gz WWPDFEDZFSDAGM-DOMZBBRYSA-N -1 1 310.361 1.552 20 0 DDADMM O[C@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)c1cccnc1 ZINC000823690868 607382962 /nfs/dbraw/zinc/38/29/62/607382962.db2.gz WMARKSLJZVKQRH-SNVBAGLBSA-N -1 1 317.740 1.456 20 0 DDADMM O[C@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)c1cccnc1 ZINC000823690868 607382964 /nfs/dbraw/zinc/38/29/64/607382964.db2.gz WMARKSLJZVKQRH-SNVBAGLBSA-N -1 1 317.740 1.456 20 0 DDADMM C[C@@](O)(CNc1cc(-c2nnn[n-]2)nc2ccccc21)C1CC1 ZINC000820467675 599552102 /nfs/dbraw/zinc/55/21/02/599552102.db2.gz LJMQLQHSNBEMBN-MRXNPFEDSA-N -1 1 310.361 1.988 20 0 DDADMM C[C@@](O)(CNc1cc(-c2nn[n-]n2)nc2ccccc21)C1CC1 ZINC000820467675 599552105 /nfs/dbraw/zinc/55/21/05/599552105.db2.gz LJMQLQHSNBEMBN-MRXNPFEDSA-N -1 1 310.361 1.988 20 0 DDADMM C[C@@H](Nc1ccc(Cl)c(-c2nnn[n-]2)n1)c1cnn(C)c1 ZINC000820456637 599574129 /nfs/dbraw/zinc/57/41/29/599574129.db2.gz VVVWBTZKEXKJOT-SSDOTTSWSA-N -1 1 304.745 1.822 20 0 DDADMM C[C@@H](Nc1ccc(Cl)c(-c2nn[n-]n2)n1)c1cnn(C)c1 ZINC000820456637 599574131 /nfs/dbraw/zinc/57/41/31/599574131.db2.gz VVVWBTZKEXKJOT-SSDOTTSWSA-N -1 1 304.745 1.822 20 0 DDADMM CCCC[C@H]1NC(=O)N(CN2CCCC[C@@H]2CC(=O)[O-])C1=O ZINC000736984214 599838608 /nfs/dbraw/zinc/83/86/08/599838608.db2.gz JXUCSLREDYXHOY-VXGBXAGGSA-N -1 1 311.382 1.384 20 0 DDADMM CCOC(=O)c1ccccc1NS(=O)(=O)CCC(=O)[O-] ZINC000737155177 599868238 /nfs/dbraw/zinc/86/82/38/599868238.db2.gz QIGSZAADLBBOEA-UHFFFAOYSA-N -1 1 301.320 1.080 20 0 DDADMM COc1cc(NS(=O)(=O)CCC(=O)[O-])c(OC)cc1Cl ZINC000021819229 599873822 /nfs/dbraw/zinc/87/38/22/599873822.db2.gz KVHMRKINYCOKJR-UHFFFAOYSA-N -1 1 323.754 1.574 20 0 DDADMM O=C([O-])c1ccc(CN2CCCN(c3cccnn3)CC2)o1 ZINC000740124285 599915727 /nfs/dbraw/zinc/91/57/27/599915727.db2.gz PLQDEVYNZOAULC-UHFFFAOYSA-N -1 1 302.334 1.480 20 0 DDADMM COc1ccc(CN(C(=O)CNC(C)(C)C(=O)[O-])C2CC2)cc1 ZINC000737990855 599925337 /nfs/dbraw/zinc/92/53/37/599925337.db2.gz NEMYRBZWPCXRPJ-UHFFFAOYSA-N -1 1 320.389 1.639 20 0 DDADMM CCOC(=O)c1cn(CN(C[C@H](C)C(=O)[O-])C2CC2)nc1C ZINC000737155366 599932539 /nfs/dbraw/zinc/93/25/39/599932539.db2.gz UETIEJJHVJOXQQ-JTQLQIEISA-N -1 1 309.366 1.511 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@@H](C(=O)[O-])C2)c1Br ZINC000738822515 600027920 /nfs/dbraw/zinc/02/79/20/600027920.db2.gz ZIXJFTDGSLJMNB-SSDOTTSWSA-N -1 1 316.155 1.417 20 0 DDADMM Cc1ccccc1C1CCN([C@H](C)C(=O)NCC(=O)[O-])CC1 ZINC000738770163 600081466 /nfs/dbraw/zinc/08/14/66/600081466.db2.gz XETNCJCGZVJUEV-CYBMUJFWSA-N -1 1 304.390 1.764 20 0 DDADMM CN(C(=O)CN1CCCC[C@@H]1CCO)c1ccccc1C(=O)[O-] ZINC000737321479 600094556 /nfs/dbraw/zinc/09/45/56/600094556.db2.gz FZODYXKDFAOPRR-CYBMUJFWSA-N -1 1 320.389 1.585 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NC[C@@H](Cc1ccc(O)cc1)C(=O)[O-] ZINC000737518514 600180890 /nfs/dbraw/zinc/18/08/90/600180890.db2.gz PRCORYUAFJEXDG-UKRRQHHQSA-N -1 1 320.389 1.236 20 0 DDADMM CC(C)(C)c1n[nH]c(SCc2noc(CCC(=O)[O-])n2)n1 ZINC000736306780 600185896 /nfs/dbraw/zinc/18/58/96/600185896.db2.gz HUTCNJGPAPMOIH-UHFFFAOYSA-N -1 1 311.367 1.795 20 0 DDADMM CC(C)(C)c1nc(SCc2noc(CCC(=O)[O-])n2)n[nH]1 ZINC000736306780 600185897 /nfs/dbraw/zinc/18/58/97/600185897.db2.gz HUTCNJGPAPMOIH-UHFFFAOYSA-N -1 1 311.367 1.795 20 0 DDADMM C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cn1cc(C(=O)[O-])nn1 ZINC000736763708 600259623 /nfs/dbraw/zinc/25/96/23/600259623.db2.gz UEISLTNXGBKSBU-ZYHUDNBSSA-N -1 1 304.325 1.951 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1C[C@H](c2ccc(F)cc2)C[C@H]1C ZINC000736763622 600264066 /nfs/dbraw/zinc/26/40/66/600264066.db2.gz TWSHKYNKCJDIJE-NTZNESFSSA-N -1 1 308.353 1.593 20 0 DDADMM CCC[C@@](C)(NCC(=O)N[C@H]1CCOc2ccccc21)C(=O)[O-] ZINC000736964274 600323963 /nfs/dbraw/zinc/32/39/63/600323963.db2.gz MGIDJLVWMNUVPS-SUMWQHHRSA-N -1 1 320.389 1.859 20 0 DDADMM CC[C@H](O)[C@@H]1CCCCN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000736923621 600392053 /nfs/dbraw/zinc/39/20/53/600392053.db2.gz JAPIPHLNLRTWIK-GJZGRUSLSA-N -1 1 320.389 1.949 20 0 DDADMM O=C(CN1CCN(C2CCC2)CC1)NC1(C(=O)[O-])CCCCC1 ZINC000739290619 600509196 /nfs/dbraw/zinc/50/91/96/600509196.db2.gz SQYOHBHRJSTOCQ-UHFFFAOYSA-N -1 1 323.437 1.060 20 0 DDADMM O=C([O-])c1ccncc1NS(=O)(=O)c1ccc2c(c1)CCO2 ZINC000316198024 600514175 /nfs/dbraw/zinc/51/41/75/600514175.db2.gz LZSJVAFKDGRXAD-UHFFFAOYSA-N -1 1 320.326 1.516 20 0 DDADMM COC[C@@H]1CCN(CC(=O)Nc2cc(C(=O)[O-])ccc2C)C1 ZINC000737752775 600573789 /nfs/dbraw/zinc/57/37/89/600573789.db2.gz YFAWEYQMTGIZDW-GFCCVEGCSA-N -1 1 306.362 1.600 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1CN1C[C@@H](c2ccccn2)CC1=O ZINC000739640320 600636822 /nfs/dbraw/zinc/63/68/22/600636822.db2.gz ZSUKDNZTAGQNMN-KBPBESRZSA-N -1 1 317.389 1.684 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N(C)[C@@H]1CCCC[C@@H]1C ZINC000737794283 600640565 /nfs/dbraw/zinc/64/05/65/600640565.db2.gz LCSACWDGSLBJKW-UONOGXRCSA-N -1 1 314.426 1.447 20 0 DDADMM COc1ccc(C2CCN([C@@H](C)C(=O)NCC(=O)[O-])CC2)cc1 ZINC000832272447 600824080 /nfs/dbraw/zinc/82/40/80/600824080.db2.gz FXNJOAJOAYHAKV-LBPRGKRZSA-N -1 1 320.389 1.464 20 0 DDADMM Cc1nnc(SCC(=O)NC[C@@H](C(=O)[O-])c2ccccc2)[nH]1 ZINC000832991430 600972784 /nfs/dbraw/zinc/97/27/84/600972784.db2.gz VQBFPOPNIZRKJJ-LLVKDONJSA-N -1 1 320.374 1.190 20 0 DDADMM Cc1n[nH]c(SCC(=O)NC[C@@H](C(=O)[O-])c2ccccc2)n1 ZINC000832991430 600972787 /nfs/dbraw/zinc/97/27/87/600972787.db2.gz VQBFPOPNIZRKJJ-LLVKDONJSA-N -1 1 320.374 1.190 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1Cc1cc(=O)n2ccccc2n1 ZINC000316684302 601021632 /nfs/dbraw/zinc/02/16/32/601021632.db2.gz AGDMYIPEYXZCDW-GFCCVEGCSA-N -1 1 319.386 1.087 20 0 DDADMM CC[C@@H](CO)N1CCN([C@@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000828890543 601035220 /nfs/dbraw/zinc/03/52/20/601035220.db2.gz IORZSCWEBCURRO-SWLSCSKDSA-N -1 1 310.369 1.340 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000833019260 601088945 /nfs/dbraw/zinc/08/89/45/601088945.db2.gz IIWRJGBNKTUWJB-GHMZBOCLSA-N -1 1 323.393 1.402 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2ccsc2)CC1 ZINC000827354753 601104401 /nfs/dbraw/zinc/10/44/01/601104401.db2.gz HNDSSPMEOBDBJI-JDGPPOGSSA-N -1 1 308.403 1.769 20 0 DDADMM CCc1nc([C@@H]2CN(Cc3ccc(C(=O)[O-])o3)CCO2)n[nH]1 ZINC000737275359 601105592 /nfs/dbraw/zinc/10/55/92/601105592.db2.gz SNPABTLJGCWCAG-NSHDSACASA-N -1 1 306.322 1.232 20 0 DDADMM C/C(=C/CN1CCN(c2ncnc3sccc32)CC1)C(=O)[O-] ZINC000825746406 601155692 /nfs/dbraw/zinc/15/56/92/601155692.db2.gz HXNJFYAAUVRXGR-FUQNDXKWSA-N -1 1 318.402 1.844 20 0 DDADMM C[C@](NCC(=O)N1CCc2ccccc2C1)(C(=O)[O-])C1CC1 ZINC000827727051 601173272 /nfs/dbraw/zinc/17/32/72/601173272.db2.gz FUPJIONMNIMMJW-QGZVFWFLSA-N -1 1 302.374 1.414 20 0 DDADMM COCc1ccc(CNC(=O)CN[C@](C)(C(=O)[O-])C2CC2)cc1 ZINC000831813083 601175742 /nfs/dbraw/zinc/17/57/42/601175742.db2.gz LDFMVNPKWSXDAM-KRWDZBQOSA-N -1 1 320.389 1.292 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)N1CC[C@@](C)(O)C1 ZINC000832916067 601182137 /nfs/dbraw/zinc/18/21/37/601182137.db2.gz KVFPROHLJSFUSL-BDJLRTHQSA-N -1 1 306.362 1.477 20 0 DDADMM CC[C@H](CO)N1CCN(C(=O)Nc2cccc(C(=O)[O-])c2)CC1 ZINC000828890997 601206556 /nfs/dbraw/zinc/20/65/56/601206556.db2.gz PGEDMCUIWVZVKQ-CQSZACIVSA-N -1 1 321.377 1.305 20 0 DDADMM O=C([O-])[C@H]1CCN(C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000819271006 601313177 /nfs/dbraw/zinc/31/31/77/601313177.db2.gz FZQXASKZPWTQOE-JTQLQIEISA-N -1 1 301.306 1.206 20 0 DDADMM CCC1CCC(NCC(=O)N2CCO[C@@H](C)C2)(C(=O)[O-])CC1 ZINC000829101550 601493879 /nfs/dbraw/zinc/49/38/79/601493879.db2.gz ROSFOQHRIWFZEB-FUJMWEONSA-N -1 1 312.410 1.247 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2cc(C(C)(C)C)nn2C)C[C@H]1C(=O)[O-] ZINC000828442219 601514072 /nfs/dbraw/zinc/51/40/72/601514072.db2.gz YQKCNQUZIZFACI-WDEREUQCSA-N -1 1 322.409 1.309 20 0 DDADMM COc1ccc(-c2noc(CN3C[C@H](C)[C@H](C(=O)[O-])C3)n2)cc1 ZINC000320290448 601522367 /nfs/dbraw/zinc/52/23/67/601522367.db2.gz QJUJPNGVTFFYAF-GXFFZTMASA-N -1 1 317.345 1.898 20 0 DDADMM C[C@H]1CN(CCCS(=O)(=O)c2ccccc2)C[C@H]1C(=O)[O-] ZINC000828450462 601535733 /nfs/dbraw/zinc/53/57/33/601535733.db2.gz QBKDIHFYFJAAOL-GXTWGEPZSA-N -1 1 311.403 1.503 20 0 DDADMM O=C([O-])CCC1CCN(CN2C[C@@]3(CCOC3)CC2=O)CC1 ZINC000833225877 601632347 /nfs/dbraw/zinc/63/23/47/601632347.db2.gz RFNNCARLJVTDEA-MRXNPFEDSA-N -1 1 310.394 1.160 20 0 DDADMM COC(=O)c1ccc(C)c(NC(=O)CN[C@H](C(=O)[O-])C(C)C)c1 ZINC000818522016 601823762 /nfs/dbraw/zinc/82/37/62/601823762.db2.gz KCXWAJDRNJOKNH-AWEZNQCLSA-N -1 1 322.361 1.419 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CN2C(=O)NC3(CCCCCC3)C2=O)C1 ZINC000828028796 601888222 /nfs/dbraw/zinc/88/82/22/601888222.db2.gz CGRALOYPZYQZEF-OAHLLOKOSA-N -1 1 323.393 1.385 20 0 DDADMM CN(CC(=O)[O-])C(=O)CCN1CCO[C@](C)(c2ccccc2)C1 ZINC000830691846 601895999 /nfs/dbraw/zinc/89/59/99/601895999.db2.gz DXDPVMFGSAGAGK-KRWDZBQOSA-N -1 1 320.389 1.167 20 0 DDADMM O=C([O-])c1ccsc1N1CC[C@@H](Sc2c[nH]nn2)C1=O ZINC000833338022 601897186 /nfs/dbraw/zinc/89/71/86/601897186.db2.gz GZLZYAANIDTSGH-SSDOTTSWSA-N -1 1 310.360 1.462 20 0 DDADMM CC(C)(C)NC(=O)CN1CCCC[C@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000738702835 601927992 /nfs/dbraw/zinc/92/79/92/601927992.db2.gz ZXDFZBHCAJJWTL-STQMWFEESA-N -1 1 311.426 1.898 20 0 DDADMM CN(C)C(=O)[C@@H](c1ccccc1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000739593372 601952546 /nfs/dbraw/zinc/95/25/46/601952546.db2.gz DDCPLOKIZKVEDS-GXTWGEPZSA-N -1 1 305.378 1.405 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)NC2CCCCCCC2)C1 ZINC000740640452 601963960 /nfs/dbraw/zinc/96/39/60/601963960.db2.gz BJEJFBXDTPXWFS-ZDUSSCGKSA-N -1 1 311.426 1.805 20 0 DDADMM Fc1cccc(-c2nnn(Cc3ccnc(-c4nnn[n-]4)c3)n2)c1 ZINC000826376993 607542640 /nfs/dbraw/zinc/54/26/40/607542640.db2.gz ZWNKXVHOOYSGNX-UHFFFAOYSA-N -1 1 323.295 1.103 20 0 DDADMM Fc1cccc(-c2nnn(Cc3ccnc(-c4nn[n-]n4)c3)n2)c1 ZINC000826376993 607542641 /nfs/dbraw/zinc/54/26/41/607542641.db2.gz ZWNKXVHOOYSGNX-UHFFFAOYSA-N -1 1 323.295 1.103 20 0 DDADMM CCOC[C@@H](C(=O)[O-])N(C)C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000829960448 602072078 /nfs/dbraw/zinc/07/20/78/602072078.db2.gz IOVMWZWRYUKUCD-AWEZNQCLSA-N -1 1 317.345 1.638 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)c2cccc3c[nH]nc32)C1)C(=O)[O-] ZINC000739659545 602484033 /nfs/dbraw/zinc/48/40/33/602484033.db2.gz ZXNZNNTWYSOFGX-JTQLQIEISA-N -1 1 302.334 1.635 20 0 DDADMM CN(C[C@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1)C(=O)[O-] ZINC000739657753 602486626 /nfs/dbraw/zinc/48/66/26/602486626.db2.gz LXUWEKVFUKSEDE-SNVBAGLBSA-N -1 1 318.333 1.742 20 0 DDADMM CN(C[C@@H]1CCN(CC(=O)NCc2ccccc2F)C1)C(=O)[O-] ZINC000739661619 602537797 /nfs/dbraw/zinc/53/77/97/602537797.db2.gz RIPLRYIDVVUJJX-LBPRGKRZSA-N -1 1 323.368 1.374 20 0 DDADMM C[C@H]1CCCC[C@@]1(CNC(=O)[O-])NCc1nnc2n1CCCC2 ZINC000739104765 602552974 /nfs/dbraw/zinc/55/29/74/602552974.db2.gz WVDJWGIZFWDMOD-LRDDRELGSA-N -1 1 321.425 1.921 20 0 DDADMM CCc1cc(CNC(=O)[C@@H](CNC(=O)[O-])c2ccccc2)n[nH]1 ZINC000827556006 602920260 /nfs/dbraw/zinc/92/02/60/602920260.db2.gz CEYOXELBAHVCAV-AWEZNQCLSA-N -1 1 316.361 1.640 20 0 DDADMM CSCC(=O)Nc1ccc(-c2nc(CNC(=O)[O-])n[nH]2)cc1 ZINC000739999171 603056667 /nfs/dbraw/zinc/05/66/67/603056667.db2.gz JWRFXPZQJYLSGB-UHFFFAOYSA-N -1 1 321.362 1.541 20 0 DDADMM CSCC(=O)Nc1ccc(-c2n[nH]c(CNC(=O)[O-])n2)cc1 ZINC000739999171 603056673 /nfs/dbraw/zinc/05/66/73/603056673.db2.gz JWRFXPZQJYLSGB-UHFFFAOYSA-N -1 1 321.362 1.541 20 0 DDADMM O=C([O-])Nc1cccc(C(=O)NC2(c3nn[nH]n3)CCCC2)c1 ZINC000740028461 603100571 /nfs/dbraw/zinc/10/05/71/603100571.db2.gz CFZMVCGIJMVEKF-UHFFFAOYSA-N -1 1 316.321 1.489 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC000740642794 603196104 /nfs/dbraw/zinc/19/61/04/603196104.db2.gz JBMCTJMRKRWJRW-NSHDSACASA-N -1 1 314.345 1.806 20 0 DDADMM C[C@H]1OC(C)(C)N(C(=O)[O-])[C@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC000739212218 603222196 /nfs/dbraw/zinc/22/21/96/603222196.db2.gz UDDWEBTXECXSLG-KCJUWKMLSA-N -1 1 322.365 1.132 20 0 DDADMM C[C@@H](c1ccccc1)N(C)C(=O)CN(C)CCCNC(=O)[O-] ZINC000739060346 603254113 /nfs/dbraw/zinc/25/41/13/603254113.db2.gz SWSBLHOXMVVYIH-ZDUSSCGKSA-N -1 1 307.394 1.796 20 0 DDADMM Cc1noc([C@H](C)N2CCN(C[C@@H]3CCN(C(=O)[O-])C3)CC2)n1 ZINC000740261789 603341626 /nfs/dbraw/zinc/34/16/26/603341626.db2.gz ALZRPKRGLVYXBG-AAEUAGOBSA-N -1 1 323.397 1.057 20 0 DDADMM CCN(C[C@H]1CCN(C(=O)[O-])C1)[C@@H](C)CS(=O)(=O)CC ZINC000739414405 603345043 /nfs/dbraw/zinc/34/50/43/603345043.db2.gz LZDKCISXEVQWDF-NWDGAFQWSA-N -1 1 306.428 1.131 20 0 DDADMM C[C@@H](C(=O)N1CCC(C)CC1)N1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000739112903 603414881 /nfs/dbraw/zinc/41/48/81/603414881.db2.gz KXRKBWZEYHNXQD-HZSPNIEDSA-N -1 1 311.426 1.611 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)CC1CN(C(=O)[O-])C1)c1ccco1 ZINC000827036532 603566685 /nfs/dbraw/zinc/56/66/85/603566685.db2.gz XYDJPDJUQYUAMD-ZDUSSCGKSA-N -1 1 323.393 1.779 20 0 DDADMM COC(=O)c1ccc(CN2CCC[C@@H]2CNC(=O)[O-])cc1F ZINC000828771442 603609591 /nfs/dbraw/zinc/60/95/91/603609591.db2.gz BQVPZMXMMLTSNJ-LLVKDONJSA-N -1 1 310.325 1.844 20 0 DDADMM CN(C(=O)c1cc(-c2ccoc2)[nH]n1)[C@H]1CCN(C(=O)[O-])C1 ZINC000827926578 603696894 /nfs/dbraw/zinc/69/68/94/603696894.db2.gz FWDGSYIQKFTVTL-JTQLQIEISA-N -1 1 304.306 1.494 20 0 DDADMM C[C@@H](C(=O)N1CCN(C(=O)[O-])[C@@H](C)C1)N(C)Cc1ccccc1 ZINC000823668906 603839580 /nfs/dbraw/zinc/83/95/80/603839580.db2.gz JDIRZOZUTDOIHJ-KBPBESRZSA-N -1 1 319.405 1.718 20 0 DDADMM CC(C)[C@@H](CCN(C)[C@H](C)C(=O)N(C)C(C)C)NC(=O)[O-] ZINC000824100584 603854421 /nfs/dbraw/zinc/85/44/21/603854421.db2.gz WODXJQKSVHDRGB-CHWSQXEVSA-N -1 1 301.431 1.856 20 0 DDADMM CC(C)N1C[C@H](NCc2cccc3c2N(C(=O)[O-])CC3)CC1=O ZINC000824357358 603860789 /nfs/dbraw/zinc/86/07/89/603860789.db2.gz PWTQVDFOZSVSJM-CQSZACIVSA-N -1 1 317.389 1.826 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NCC[C@@H](C)NC(=O)[O-] ZINC000829833389 603937305 /nfs/dbraw/zinc/93/73/05/603937305.db2.gz IWOFXUABKRWARC-RXMQYKEDSA-N -1 1 308.260 1.513 20 0 DDADMM O=C([O-])N1CC[C@@H](CCNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000831659841 603948933 /nfs/dbraw/zinc/94/89/33/603948933.db2.gz QGZUAUDTGPUCLW-GHMZBOCLSA-N -1 1 306.366 1.336 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@]3(C)CCN(C(=O)[O-])C3)[nH]c2c1 ZINC000830433232 603994883 /nfs/dbraw/zinc/99/48/83/603994883.db2.gz SCBIPSOYQXDIEW-MRXNPFEDSA-N -1 1 316.361 1.878 20 0 DDADMM O=C([O-])N1CSC[C@@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000831730397 604057839 /nfs/dbraw/zinc/05/78/39/604057839.db2.gz GAWQZVMHQSWHAT-SNVBAGLBSA-N -1 1 324.337 1.371 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN([C@@H](C(N)=O)c2ccccc2)C1 ZINC000825254309 604198138 /nfs/dbraw/zinc/19/81/38/604198138.db2.gz LWBWOLBZMMUXNC-FPMFFAJLSA-N -1 1 305.378 1.581 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN([C@H](C(N)=O)c2ccccc2)C1 ZINC000825254312 604198219 /nfs/dbraw/zinc/19/82/19/604198219.db2.gz LWBWOLBZMMUXNC-YUTCNCBUSA-N -1 1 305.378 1.581 20 0 DDADMM CN(C)Cc1ccc(NC(=O)[C@H]2CCCN2C(=O)[O-])cc1F ZINC000828046115 604212843 /nfs/dbraw/zinc/21/28/43/604212843.db2.gz FTAROEKOGRUZGT-CYBMUJFWSA-N -1 1 309.341 1.968 20 0 DDADMM COC(=O)/C(C)=C/CN1CCC(N(CC2CC2)C(=O)[O-])CC1 ZINC000828601665 604227944 /nfs/dbraw/zinc/22/79/44/604227944.db2.gz QALZZLCWQAQFLE-LFYBBSHMSA-N -1 1 310.394 1.960 20 0 DDADMM O=C([O-])N1CC[C@H](CCNC(=O)N[C@H]2CCCc3cn[nH]c32)C1 ZINC000831659611 604270850 /nfs/dbraw/zinc/27/08/50/604270850.db2.gz KYFNTBWFVKWSSI-JQWIXIFHSA-N -1 1 321.381 1.476 20 0 DDADMM COc1cccc(-c2cc(C(=O)N(C)C[C@H](C)C(=O)[O-])n[nH]2)c1 ZINC000263099369 604271877 /nfs/dbraw/zinc/27/18/77/604271877.db2.gz CQJWXVKMMYINAG-JTQLQIEISA-N -1 1 317.345 1.878 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CCCCS(C)(=O)=O)C1 ZINC000825264478 604350447 /nfs/dbraw/zinc/35/04/47/604350447.db2.gz KNVXRYLYWBBRKC-RYUDHWBXSA-N -1 1 306.428 1.179 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N(C)[C@H](COC(C)C)C(=O)[O-])c1 ZINC000832858540 604440801 /nfs/dbraw/zinc/44/08/01/604440801.db2.gz BKVWQLHINRUYCT-CYBMUJFWSA-N -1 1 319.361 1.822 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CC[C@@H](NC(=O)[O-])C1 ZINC000739607757 604468238 /nfs/dbraw/zinc/46/82/38/604468238.db2.gz LGLFJOLGUPSMLG-ZIAGYGMSSA-N -1 1 305.378 1.028 20 0 DDADMM CN(C(=O)CNC1(C(=O)[O-])CCCCCC1)c1nccs1 ZINC000833686509 604481803 /nfs/dbraw/zinc/48/18/03/604481803.db2.gz XZHYSFJOIZJUIV-UHFFFAOYSA-N -1 1 311.407 1.873 20 0 DDADMM COc1coc(CN(C)CC[C@H](NC(=O)[O-])C(C)C)cc1=O ZINC000829654696 604579354 /nfs/dbraw/zinc/57/93/54/604579354.db2.gz JGIORUGEERCXEG-LBPRGKRZSA-N -1 1 312.366 1.763 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@@H]3CCN(C(=O)[O-])C3)n2)o1 ZINC000830160857 604585545 /nfs/dbraw/zinc/58/55/45/604585545.db2.gz VJXZMXANYWULEM-MRVPVSSYSA-N -1 1 305.294 1.312 20 0 DDADMM Cc1nn(CCCCC(F)(F)F)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334787 607710461 /nfs/dbraw/zinc/71/04/61/607710461.db2.gz JKNXOOPCXTZNIY-UHFFFAOYSA-N -1 1 316.287 1.773 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)N1CC[C@@H](CO)C1 ZINC000832915518 604767877 /nfs/dbraw/zinc/76/78/77/604767877.db2.gz JDIPXLJZUJTJAU-NWDGAFQWSA-N -1 1 306.362 1.334 20 0 DDADMM Cc1nc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c(C)s1 ZINC000826323561 607713333 /nfs/dbraw/zinc/71/33/33/607713333.db2.gz IWXQWMZDAFSVLJ-UHFFFAOYSA-N -1 1 316.346 1.692 20 0 DDADMM Cc1nc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c(C)s1 ZINC000826323561 607713334 /nfs/dbraw/zinc/71/33/34/607713334.db2.gz IWXQWMZDAFSVLJ-UHFFFAOYSA-N -1 1 316.346 1.692 20 0 DDADMM CSCCCn1cc(-c2nn[n-]n2)c(=O)c2nc(C)ccc21 ZINC000826229280 607717896 /nfs/dbraw/zinc/71/78/96/607717896.db2.gz YCGKYHHEMMTCDA-UHFFFAOYSA-N -1 1 316.390 1.638 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1CCOc2ccccc21 ZINC000320695936 604894895 /nfs/dbraw/zinc/89/48/95/604894895.db2.gz XGTKMLYAPFTWCR-STQMWFEESA-N -1 1 315.329 1.088 20 0 DDADMM C[C@@H](O)[C@H]1CCCN(CC(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC000833531371 604922869 /nfs/dbraw/zinc/92/28/69/604922869.db2.gz ZRWBXHXZNRTNBK-YPMHNXCESA-N -1 1 306.362 1.416 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)c2ccc(C(=O)[O-])nc2)n[nH]1 ZINC000833511657 605023145 /nfs/dbraw/zinc/02/31/45/605023145.db2.gz AKZODLKNXQCRDN-QMMMGPOBSA-N -1 1 303.322 1.512 20 0 DDADMM CCc1nnsc1C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC000833679714 605059587 /nfs/dbraw/zinc/05/95/87/605059587.db2.gz WFPGKLSSFVXFQW-UHFFFAOYSA-N -1 1 317.330 1.927 20 0 DDADMM C[C@]1([NH2+]CCC[N-]C(=O)C(F)(F)F)CCCC[C@H]1C(=O)[O-] ZINC000833567738 605061099 /nfs/dbraw/zinc/06/10/99/605061099.db2.gz CHJHMEPAZJEYKO-CABZTGNLSA-N -1 1 310.316 1.678 20 0 DDADMM CCc1ccc([C@H](C)C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000833671228 605082580 /nfs/dbraw/zinc/08/25/80/605082580.db2.gz UFOGTHPZJZQURI-NHYWBVRUSA-N -1 1 315.373 1.888 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833490230 605107660 /nfs/dbraw/zinc/10/76/60/605107660.db2.gz QVEBQKDAFFZJJY-STQMWFEESA-N -1 1 300.399 1.055 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833484353 605107808 /nfs/dbraw/zinc/10/78/08/605107808.db2.gz ZJSVXYIJUXXPCM-ZIAGYGMSSA-N -1 1 314.426 1.445 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2c(c1)CCO2 ZINC000833623798 605108937 /nfs/dbraw/zinc/10/89/37/605108937.db2.gz GIRHDKDNRSJXJT-LBPRGKRZSA-N -1 1 318.373 1.243 20 0 DDADMM CC(C)Oc1cc(CNc2nccnc2-c2nnn[n-]2)ccn1 ZINC000824319359 607734849 /nfs/dbraw/zinc/73/48/49/607734849.db2.gz NITDGWGLNOBZLK-UHFFFAOYSA-N -1 1 312.337 1.451 20 0 DDADMM CC(C)Oc1cc(CNc2nccnc2-c2nn[n-]n2)ccn1 ZINC000824319359 607734850 /nfs/dbraw/zinc/73/48/50/607734850.db2.gz NITDGWGLNOBZLK-UHFFFAOYSA-N -1 1 312.337 1.451 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000833460560 605135811 /nfs/dbraw/zinc/13/58/11/605135811.db2.gz CSAZWECCTIDGDY-JTQLQIEISA-N -1 1 321.381 1.434 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)CN2C[C@H](C(=O)[O-])CC2=O)C12CCCC2 ZINC000833660177 605174089 /nfs/dbraw/zinc/17/40/89/605174089.db2.gz MOVHPPIKZYOXEL-HZSPNIEDSA-N -1 1 324.421 1.547 20 0 DDADMM C[C@@H]1CN(C(=O)c2cnn(C(C)(C)C)c2)CCN1CCC(=O)[O-] ZINC000833626746 605252580 /nfs/dbraw/zinc/25/25/80/605252580.db2.gz YWVYSZPWQNTAEJ-GFCCVEGCSA-N -1 1 322.409 1.259 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NCCN(C(=O)[O-])C2CC2)n[nH]1 ZINC000830036142 605292013 /nfs/dbraw/zinc/29/20/13/605292013.db2.gz KIMKLUVBHZUXAX-SECBINFHSA-N -1 1 309.370 1.091 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC[C@@H](NC(=O)[O-])C2)n[nH]1 ZINC000830031723 605301648 /nfs/dbraw/zinc/30/16/48/605301648.db2.gz XZZCYJOOEUXIGS-MWLCHTKSSA-N -1 1 309.370 1.091 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)CC2(NC(=O)[O-])CCC2)[nH]n1 ZINC000831031858 605337782 /nfs/dbraw/zinc/33/77/82/605337782.db2.gz VVIOQFSQRSXFLV-UHFFFAOYSA-N -1 1 318.337 1.329 20 0 DDADMM O=C([O-])N1CC[C@H](N2CCN(C[C@@H](O)c3ccccc3)CC2)C1 ZINC000834085854 605590526 /nfs/dbraw/zinc/59/05/26/605590526.db2.gz WFYVFMQAMSXSQN-JKSUJKDBSA-N -1 1 319.405 1.090 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(Cc2ccc(C(=O)N(C)C)[nH]2)C1 ZINC000833812008 605596143 /nfs/dbraw/zinc/59/61/43/605596143.db2.gz GOKXOBBBIGPLOQ-NWDGAFQWSA-N -1 1 322.409 1.585 20 0 DDADMM CCCc1cc(C(=O)N(C2CC2)[C@@H]2CCN(C(=O)[O-])C2)n[nH]1 ZINC000826949540 605785218 /nfs/dbraw/zinc/78/52/18/605785218.db2.gz PEKIOFXJJMUXSE-GFCCVEGCSA-N -1 1 306.366 1.719 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@H](CC(C)C)NC(=O)[O-])C2)n[nH]1 ZINC000830735965 605795173 /nfs/dbraw/zinc/79/51/73/605795173.db2.gz YUCGKPVKVRTRKB-RYUDHWBXSA-N -1 1 323.397 1.501 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)N(C)Cc1ccco1 ZINC000833828350 605883166 /nfs/dbraw/zinc/88/31/66/605883166.db2.gz DFJMFSOPVQMXHQ-NEPJUHHUSA-N -1 1 309.366 1.216 20 0 DDADMM Cc1ccc(CC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])cn1 ZINC000822234801 606292962 /nfs/dbraw/zinc/29/29/62/606292962.db2.gz HVBUGLKPNCFSQS-UHFFFAOYSA-N -1 1 310.317 1.457 20 0 DDADMM CSC[C@](C)(O)CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821955794 606468391 /nfs/dbraw/zinc/46/83/91/606468391.db2.gz BRGMSSYTKQPBKP-LLVKDONJSA-N -1 1 314.802 1.441 20 0 DDADMM CSC[C@](C)(O)CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821955794 606468393 /nfs/dbraw/zinc/46/83/93/606468393.db2.gz BRGMSSYTKQPBKP-LLVKDONJSA-N -1 1 314.802 1.441 20 0 DDADMM CCN(C[C@H]1CCOC1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821207853 606534613 /nfs/dbraw/zinc/53/46/13/606534613.db2.gz WBBJORQAFOUWOK-SECBINFHSA-N -1 1 307.379 1.427 20 0 DDADMM CCN(C[C@H]1CCOC1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821207853 606534615 /nfs/dbraw/zinc/53/46/15/606534615.db2.gz WBBJORQAFOUWOK-SECBINFHSA-N -1 1 307.379 1.427 20 0 DDADMM CCc1cnc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)s1 ZINC000821499249 606576188 /nfs/dbraw/zinc/57/61/88/606576188.db2.gz GHFDUKDGNNEWFA-UHFFFAOYSA-N -1 1 320.403 1.877 20 0 DDADMM CCc1cnc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)s1 ZINC000821499249 606576189 /nfs/dbraw/zinc/57/61/89/606576189.db2.gz GHFDUKDGNNEWFA-UHFFFAOYSA-N -1 1 320.403 1.877 20 0 DDADMM CO[C@H](CNC(=O)c1ccc(-c2nnn[n-]2)s1)C(C)(C)C ZINC000821676870 606581534 /nfs/dbraw/zinc/58/15/34/606581534.db2.gz JBYIAYVYMCNNLF-SNVBAGLBSA-N -1 1 309.395 1.719 20 0 DDADMM CO[C@H](CNC(=O)c1ccc(-c2nn[n-]n2)s1)C(C)(C)C ZINC000821676870 606581535 /nfs/dbraw/zinc/58/15/35/606581535.db2.gz JBYIAYVYMCNNLF-SNVBAGLBSA-N -1 1 309.395 1.719 20 0 DDADMM CCCCC[C@H](C)NC(=O)Cn1cccc(-c2nn[n-]n2)c1=O ZINC000821050283 606614563 /nfs/dbraw/zinc/61/45/63/606614563.db2.gz QDSLXYLVDGOOPX-NSHDSACASA-N -1 1 318.381 1.113 20 0 DDADMM Clc1ccc(CCNc2cccc(-c3nnn[n-]3)n2)cn1 ZINC000822574065 606721482 /nfs/dbraw/zinc/72/14/82/606721482.db2.gz NMHLGPOIIAMQGR-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM Clc1ccc(CCNc2cccc(-c3nn[n-]n3)n2)cn1 ZINC000822574065 606721483 /nfs/dbraw/zinc/72/14/83/606721483.db2.gz NMHLGPOIIAMQGR-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM c1cn(C2CN(c3c4ccccc4nnc3-c3nnn[n-]3)C2)cn1 ZINC000823792470 607022216 /nfs/dbraw/zinc/02/22/16/607022216.db2.gz UMEPZXQLQFWELN-UHFFFAOYSA-N -1 1 319.332 1.068 20 0 DDADMM c1cn(C2CN(c3c4ccccc4nnc3-c3nn[n-]n3)C2)cn1 ZINC000823792470 607022217 /nfs/dbraw/zinc/02/22/17/607022217.db2.gz UMEPZXQLQFWELN-UHFFFAOYSA-N -1 1 319.332 1.068 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(N[C@@H]2CCOC3(CCCCC3)C2)n1 ZINC000823810393 607132441 /nfs/dbraw/zinc/13/24/41/607132441.db2.gz YQLMOARMHFGOJC-LLVKDONJSA-N -1 1 315.381 1.951 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(N[C@@H]2CCOC3(CCCCC3)C2)n1 ZINC000823810393 607132443 /nfs/dbraw/zinc/13/24/43/607132443.db2.gz YQLMOARMHFGOJC-LLVKDONJSA-N -1 1 315.381 1.951 20 0 DDADMM Cc1cc(COC(=O)c2ccc(-c3nnn[n-]3)s2)ncn1 ZINC000826267578 607801728 /nfs/dbraw/zinc/80/17/28/607801728.db2.gz LTKBKDDYUGQQFK-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM Cc1cc(COC(=O)c2ccc(-c3nn[n-]n3)s2)ncn1 ZINC000826267578 607801729 /nfs/dbraw/zinc/80/17/29/607801729.db2.gz LTKBKDDYUGQQFK-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM C[C@@H](Nc1nccnc1-c1nnn[n-]1)[C@H]1COc2ccccc21 ZINC000824670511 607850297 /nfs/dbraw/zinc/85/02/97/607850297.db2.gz QFZSXTWKNIJCQY-MWLCHTKSSA-N -1 1 309.333 1.633 20 0 DDADMM C[C@@H](Nc1nccnc1-c1nn[n-]n1)[C@H]1COc2ccccc21 ZINC000824670511 607850298 /nfs/dbraw/zinc/85/02/98/607850298.db2.gz QFZSXTWKNIJCQY-MWLCHTKSSA-N -1 1 309.333 1.633 20 0 DDADMM CC1=CCN(S(=O)(=O)c2ccc(F)c(-c3nn[n-]n3)c2)CC1 ZINC000824858733 607906255 /nfs/dbraw/zinc/90/62/55/607906255.db2.gz MHIDTQOJLBDEAY-UHFFFAOYSA-N -1 1 323.353 1.347 20 0 DDADMM c1ccc2sc(CNc3ccc(-c4nnn[n-]4)nn3)nc2c1 ZINC000826524284 607987936 /nfs/dbraw/zinc/98/79/36/607987936.db2.gz PDZUQKGOVNTNHH-UHFFFAOYSA-N -1 1 310.346 1.879 20 0 DDADMM c1ccc2sc(CNc3ccc(-c4nn[n-]n4)nn3)nc2c1 ZINC000826524284 607987937 /nfs/dbraw/zinc/98/79/37/607987937.db2.gz PDZUQKGOVNTNHH-UHFFFAOYSA-N -1 1 310.346 1.879 20 0 DDADMM CN(C)C(=O)c1ccc(Oc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000825845149 607989091 /nfs/dbraw/zinc/98/90/91/607989091.db2.gz DZDVVLITLCRASE-UHFFFAOYSA-N -1 1 311.305 1.151 20 0 DDADMM CN(C)C(=O)c1ccc(Oc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000825845149 607989092 /nfs/dbraw/zinc/98/90/92/607989092.db2.gz DZDVVLITLCRASE-UHFFFAOYSA-N -1 1 311.305 1.151 20 0 DDADMM Oc1ccc(C2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)cc1 ZINC000826510807 607989304 /nfs/dbraw/zinc/98/93/04/607989304.db2.gz LJZQRUROZJCJNF-UHFFFAOYSA-N -1 1 323.360 1.746 20 0 DDADMM Oc1ccc(C2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)cc1 ZINC000826510807 607989305 /nfs/dbraw/zinc/98/93/05/607989305.db2.gz LJZQRUROZJCJNF-UHFFFAOYSA-N -1 1 323.360 1.746 20 0 DDADMM O=C(OCCCC(F)(F)F)c1ccc(-c2nnn[n-]2)nc1 ZINC000826462947 608075919 /nfs/dbraw/zinc/07/59/19/608075919.db2.gz OKVOATCJAQCEBG-UHFFFAOYSA-N -1 1 301.228 1.761 20 0 DDADMM O=C(OCCCC(F)(F)F)c1ccc(-c2nn[n-]n2)nc1 ZINC000826462947 608075920 /nfs/dbraw/zinc/07/59/20/608075920.db2.gz OKVOATCJAQCEBG-UHFFFAOYSA-N -1 1 301.228 1.761 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)C=Cc1cc2ccccc2o1 ZINC000826394888 608078153 /nfs/dbraw/zinc/07/81/53/608078153.db2.gz CVXHEEIILRXHPJ-AATRIKPKSA-N -1 1 321.300 1.745 20 0 DDADMM Cc1oncc1CSc1nc(C)nc(C)c1-c1nn[n-]n1 ZINC000826324577 608144182 /nfs/dbraw/zinc/14/41/82/608144182.db2.gz WYERIVYCAHRKLT-UHFFFAOYSA-N -1 1 303.351 1.862 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824885618 608166292 /nfs/dbraw/zinc/16/62/92/608166292.db2.gz TWDZCVJRAIROTO-BDAKNGLRSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824885618 608166293 /nfs/dbraw/zinc/16/62/93/608166293.db2.gz TWDZCVJRAIROTO-BDAKNGLRSA-N -1 1 307.379 1.473 20 0 DDADMM CN(c1snc(Cl)c1-c1nnn[n-]1)[C@@H]1CCSC1 ZINC000826011024 608192637 /nfs/dbraw/zinc/19/26/37/608192637.db2.gz UNKCSNCRGVFJDH-RXMQYKEDSA-N -1 1 302.816 1.918 20 0 DDADMM CN(c1snc(Cl)c1-c1nn[n-]n1)[C@@H]1CCSC1 ZINC000826011024 608192639 /nfs/dbraw/zinc/19/26/39/608192639.db2.gz UNKCSNCRGVFJDH-RXMQYKEDSA-N -1 1 302.816 1.918 20 0 DDADMM CC(C)(C)OC(=O)CCCCNc1nccnc1-c1nnn[n-]1 ZINC000824099474 608294982 /nfs/dbraw/zinc/29/49/82/608294982.db2.gz HEGBXUJUPOXHPZ-UHFFFAOYSA-N -1 1 319.369 1.581 20 0 DDADMM CC(C)(C)OC(=O)CCCCNc1nccnc1-c1nn[n-]n1 ZINC000824099474 608294984 /nfs/dbraw/zinc/29/49/84/608294984.db2.gz HEGBXUJUPOXHPZ-UHFFFAOYSA-N -1 1 319.369 1.581 20 0 DDADMM C[C@H](Cn1ccnc1)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000824463664 608364873 /nfs/dbraw/zinc/36/48/73/608364873.db2.gz AIDGQDJNOONSMH-MRVPVSSYSA-N -1 1 304.745 1.612 20 0 DDADMM C[C@H](Cn1ccnc1)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000824463664 608364875 /nfs/dbraw/zinc/36/48/75/608364875.db2.gz AIDGQDJNOONSMH-MRVPVSSYSA-N -1 1 304.745 1.612 20 0 DDADMM Cn1c(CNc2ccc(-c3nnn[n-]3)nn2)nc2ccccc21 ZINC000826355303 608392047 /nfs/dbraw/zinc/39/20/47/608392047.db2.gz SMQJFMBPSUYMIQ-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM Cn1c(CNc2ccc(-c3nn[n-]n3)nn2)nc2ccccc21 ZINC000826355303 608392051 /nfs/dbraw/zinc/39/20/51/608392051.db2.gz SMQJFMBPSUYMIQ-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM C[C@@](O)(CNc1ccc(-c2nnn[n-]2)nn1)c1cccs1 ZINC000824678834 608420882 /nfs/dbraw/zinc/42/08/82/608420882.db2.gz PJXROKQEVNHEOM-GFCCVEGCSA-N -1 1 303.351 1.038 20 0 DDADMM C[C@@](O)(CNc1ccc(-c2nn[n-]n2)nn1)c1cccs1 ZINC000824678834 608420884 /nfs/dbraw/zinc/42/08/84/608420884.db2.gz PJXROKQEVNHEOM-GFCCVEGCSA-N -1 1 303.351 1.038 20 0 DDADMM c1ccc(N2CCC[C@@H](Nc3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826522281 608420941 /nfs/dbraw/zinc/42/09/41/608420941.db2.gz UOAYEHXBSPUFLC-GFCCVEGCSA-N -1 1 322.376 1.738 20 0 DDADMM c1ccc(N2CCC[C@@H](Nc3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826522281 608420943 /nfs/dbraw/zinc/42/09/43/608420943.db2.gz UOAYEHXBSPUFLC-GFCCVEGCSA-N -1 1 322.376 1.738 20 0 DDADMM c1cc(N2CCS[C@@H]3CCCC[C@H]32)nnc1-c1nnn[n-]1 ZINC000826517359 608431118 /nfs/dbraw/zinc/43/11/18/608431118.db2.gz ZPKXHWBXFYTSQE-GHMZBOCLSA-N -1 1 303.395 1.521 20 0 DDADMM c1cc(N2CCS[C@@H]3CCCC[C@H]32)nnc1-c1nn[n-]n1 ZINC000826517359 608431119 /nfs/dbraw/zinc/43/11/19/608431119.db2.gz ZPKXHWBXFYTSQE-GHMZBOCLSA-N -1 1 303.395 1.521 20 0 DDADMM C[C@H]([C@H]1Cc2ccccc2O1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC000824385664 608434037 /nfs/dbraw/zinc/43/40/37/608434037.db2.gz YRIWKJMJURZURU-QMTHXVAHSA-N -1 1 323.360 1.485 20 0 DDADMM C[C@H]([C@H]1Cc2ccccc2O1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC000824385664 608434039 /nfs/dbraw/zinc/43/40/39/608434039.db2.gz YRIWKJMJURZURU-QMTHXVAHSA-N -1 1 323.360 1.485 20 0 DDADMM Cc1nc(C)c(-c2nn[n-]n2)c(SCN2CCCCC2=O)n1 ZINC000826324411 608470651 /nfs/dbraw/zinc/47/06/51/608470651.db2.gz PPMYOVIQGRKFQF-UHFFFAOYSA-N -1 1 319.394 1.336 20 0 DDADMM NC(=O)[C@@H]1c2ccccc2CCN1Cc1ccc(-c2nnn[n-]2)o1 ZINC000826390953 608655023 /nfs/dbraw/zinc/65/50/23/608655023.db2.gz RXXGLBTZYOTEQW-AWEZNQCLSA-N -1 1 324.344 1.044 20 0 DDADMM NC(=O)[C@@H]1c2ccccc2CCN1Cc1ccc(-c2nn[n-]n2)o1 ZINC000826390953 608655024 /nfs/dbraw/zinc/65/50/24/608655024.db2.gz RXXGLBTZYOTEQW-AWEZNQCLSA-N -1 1 324.344 1.044 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC[C@H]2C2CCOCC2)c1 ZINC000826514472 609170293 /nfs/dbraw/zinc/17/02/93/609170293.db2.gz SDIBLYGMYOAHDM-ZDUSSCGKSA-N -1 1 300.366 1.657 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC[C@H]2C2CCOCC2)c1 ZINC000826514472 609170295 /nfs/dbraw/zinc/17/02/95/609170295.db2.gz SDIBLYGMYOAHDM-ZDUSSCGKSA-N -1 1 300.366 1.657 20 0 DDADMM O=S(=O)(Oc1ccc(F)nc1)c1ccccc1-c1nn[n-]n1 ZINC000826493487 609398285 /nfs/dbraw/zinc/39/82/85/609398285.db2.gz MVNPBZXRBVEGLZ-UHFFFAOYSA-N -1 1 321.293 1.169 20 0 DDADMM C[C@@H](Cn1cnc(-c2nn[n-]n2)n1)C(=O)c1ccc(F)c(F)c1 ZINC000824465418 609434186 /nfs/dbraw/zinc/43/41/86/609434186.db2.gz OOUOZTXXCIBCKY-ZETCQYMHSA-N -1 1 319.275 1.255 20 0 DDADMM Cc1cc(=O)oc2cc(OS(=O)(=O)c3c[n-]cn3)ccc12 ZINC000121509526 696711229 /nfs/dbraw/zinc/71/12/29/696711229.db2.gz FDMPLXNNNAHBEH-UHFFFAOYSA-N -1 1 306.299 1.592 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)[C@@H]2C[C@H]2C2CC2)C1)c1ncccc1[O-] ZINC000973196217 695443557 /nfs/dbraw/zinc/44/35/57/695443557.db2.gz DIZQBSYPVDRPNF-ZDEQEGDKSA-N -1 1 315.373 1.210 20 0 DDADMM O=C(COC(=O)c1cccc(F)c1)[N-]C(=O)c1ccccc1 ZINC000003405074 696007163 /nfs/dbraw/zinc/00/71/63/696007163.db2.gz UEWGKFXLMJCAFL-UHFFFAOYSA-N -1 1 301.273 1.939 20 0 DDADMM Cc1cnc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)cn1 ZINC000006348776 696017767 /nfs/dbraw/zinc/01/77/67/696017767.db2.gz NCAKFYHXJQGHAT-UHFFFAOYSA-N -1 1 312.281 1.954 20 0 DDADMM CC(=O)N(C)C[C@H]1CCN1C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000977242486 696086315 /nfs/dbraw/zinc/08/63/15/696086315.db2.gz BMJYUJLUSOQYRI-GFCCVEGCSA-N -1 1 320.393 1.307 20 0 DDADMM Cc1nnc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)nc1C ZINC000798402974 700062339 /nfs/dbraw/zinc/06/23/39/700062339.db2.gz FOCCWFNIWUINHG-UHFFFAOYSA-N -1 1 317.315 1.383 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@]1(O)CCSC1 ZINC000747427312 700069778 /nfs/dbraw/zinc/06/97/78/700069778.db2.gz KESBGMZJNOFNAF-AWEZNQCLSA-N -1 1 311.407 1.212 20 0 DDADMM CCc1noc(CCCC(=O)Nc2n[n-]c(C(F)(F)F)n2)n1 ZINC000051266606 696238524 /nfs/dbraw/zinc/23/85/24/696238524.db2.gz STTSFPCGEXZRIQ-UHFFFAOYSA-N -1 1 318.259 1.730 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)[C@H](C)Oc2ccccc2F)n[nH]1 ZINC000054125919 696273266 /nfs/dbraw/zinc/27/32/66/696273266.db2.gz OLXOALDIXPPZSW-VIFPVBQESA-N -1 1 306.297 1.086 20 0 DDADMM Cn1c(CCNC(=O)COCCc2ccccc2)n[n-]c1=S ZINC000067050881 696358544 /nfs/dbraw/zinc/35/85/44/696358544.db2.gz ASGVUIIRBYBTHX-UHFFFAOYSA-N -1 1 320.418 1.396 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1nc2ccccc2[nH]1 ZINC000079380797 696460036 /nfs/dbraw/zinc/46/00/36/696460036.db2.gz ADURZKHSQWULAY-XVKPBYJWSA-N -1 1 317.374 1.087 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2cccc3ccccc32)s1 ZINC000079482111 696460689 /nfs/dbraw/zinc/46/06/89/696460689.db2.gz VGWJGAKPLZTNRB-CYBMUJFWSA-N -1 1 313.382 1.803 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC2(c3ccccc3)CCC2)s1 ZINC000079480138 696460702 /nfs/dbraw/zinc/46/07/02/696460702.db2.gz VWHYPZDZJOUDKT-NSHDSACASA-N -1 1 303.387 1.529 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cnn(-c3ccccc3)c2)s1 ZINC000079765306 696463531 /nfs/dbraw/zinc/46/35/31/696463531.db2.gz SFIWDIVBDSIANQ-NSHDSACASA-N -1 1 315.358 1.158 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@H](C)O1 ZINC000081718071 696546966 /nfs/dbraw/zinc/54/69/66/696546966.db2.gz NNAZVSFIILGXOH-CMPLNLGQSA-N -1 1 307.394 1.841 20 0 DDADMM O=C(C[C@@H](O)c1cc(Cl)cc(Cl)c1)Nc1nnn[n-]1 ZINC000089245067 696573185 /nfs/dbraw/zinc/57/31/85/696573185.db2.gz KRCNIDKMSHGWFP-MRVPVSSYSA-N -1 1 302.121 1.569 20 0 DDADMM O=C(C[C@@H](O)c1cc(Cl)cc(Cl)c1)Nc1nn[n-]n1 ZINC000089245067 696573187 /nfs/dbraw/zinc/57/31/87/696573187.db2.gz KRCNIDKMSHGWFP-MRVPVSSYSA-N -1 1 302.121 1.569 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)NNC(=O)c1cc2ccccc2cc1[O-] ZINC000092716194 696596469 /nfs/dbraw/zinc/59/64/69/696596469.db2.gz FZANXMMYLNWVTD-GXFFZTMASA-N -1 1 314.341 1.731 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccccc2-n2cccn2)s1 ZINC000120910143 696703474 /nfs/dbraw/zinc/70/34/74/696703474.db2.gz WUNDSCDTSRBTTG-NSHDSACASA-N -1 1 315.358 1.158 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2cccc3c2COC3=O)[nH]n1 ZINC000126544277 696763345 /nfs/dbraw/zinc/76/33/45/696763345.db2.gz HIDLGDZIBTZOSR-UHFFFAOYSA-N -1 1 321.358 1.833 20 0 DDADMM COc1ccc(C(=O)[N-]n2cnc3ccccc3c2=O)c(F)c1 ZINC000133347343 696825454 /nfs/dbraw/zinc/82/54/54/696825454.db2.gz SYHIBBCKYIHLOJ-UHFFFAOYSA-N -1 1 313.288 1.928 20 0 DDADMM COc1cc(C(=O)NCCc2ccnn2C)cc(Cl)c1[O-] ZINC000135288938 696846849 /nfs/dbraw/zinc/84/68/49/696846849.db2.gz FSWHIEQHVVOPAZ-UHFFFAOYSA-N -1 1 309.753 1.760 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H](O)CC(C)C)cnc2n1 ZINC000154307807 696929900 /nfs/dbraw/zinc/92/99/00/696929900.db2.gz WWXAVSIIBAENNC-NSHDSACASA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@H](O)CC(C)C)c2=O ZINC000154307807 696929903 /nfs/dbraw/zinc/92/99/03/696929903.db2.gz WWXAVSIIBAENNC-NSHDSACASA-N -1 1 303.362 1.781 20 0 DDADMM COc1ccccc1CO[N-]C(=O)[C@H]1CC(=O)N(CC(C)C)C1 ZINC000799099329 700118189 /nfs/dbraw/zinc/11/81/89/700118189.db2.gz PQGMGBMZLKSTPT-AWEZNQCLSA-N -1 1 320.389 1.748 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCC(F)(F)F)co1 ZINC000157759903 696980892 /nfs/dbraw/zinc/98/08/92/696980892.db2.gz IFFFSQUGHCXFJA-UHFFFAOYSA-N -1 1 315.269 1.687 20 0 DDADMM Cc1cnc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)nc1 ZINC000799172129 700125900 /nfs/dbraw/zinc/12/59/00/700125900.db2.gz BLJICYFGWFJWBV-UHFFFAOYSA-N -1 1 316.327 1.676 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982630955 697162238 /nfs/dbraw/zinc/16/22/38/697162238.db2.gz ZCBHPCZVTUNUGK-NSHDSACASA-N -1 1 321.352 1.629 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)C(F)(F)F)co1 ZINC000166764947 697341784 /nfs/dbraw/zinc/34/17/84/697341784.db2.gz BKALKRZDNCXVPE-YFKPBYRVSA-N -1 1 301.242 1.295 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CCC2CCC2)CC1 ZINC000985360803 697498160 /nfs/dbraw/zinc/49/81/60/697498160.db2.gz JLPZAPZRGCFQLZ-UHFFFAOYSA-N -1 1 321.425 1.513 20 0 DDADMM C[C@](O)(CNC(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1)c1cccs1 ZINC000185769060 697523640 /nfs/dbraw/zinc/52/36/40/697523640.db2.gz FSUQRNSCRKFUDO-ZDUSSCGKSA-N -1 1 323.330 1.394 20 0 DDADMM C[C@H](CC(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC000985806134 697577163 /nfs/dbraw/zinc/57/71/63/697577163.db2.gz JQRFQVQPIKFHAE-LLVKDONJSA-N -1 1 321.425 1.369 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CCCCC1 ZINC000985935608 697606593 /nfs/dbraw/zinc/60/65/93/697606593.db2.gz PXZPBTRCIKDNJL-AAEUAGOBSA-N -1 1 321.425 1.560 20 0 DDADMM O=C(c1scnc1C1CC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773160829 697722300 /nfs/dbraw/zinc/72/23/00/697722300.db2.gz OOIRCKFLDITNLI-QMMMGPOBSA-N -1 1 322.419 1.464 20 0 DDADMM CCc1sc(C(=O)[N-]N2C(=O)N[C@](C)(CC)C2=O)cc1C ZINC000194845866 697724071 /nfs/dbraw/zinc/72/40/71/697724071.db2.gz NVJQEEOCMVSEFX-CQSZACIVSA-N -1 1 309.391 1.984 20 0 DDADMM O=C(NOCc1ccccc1)c1ccc2n[n-]c(=S)n2c1 ZINC000773759097 697801677 /nfs/dbraw/zinc/80/16/77/697801677.db2.gz WNNFNNPILZIJPT-UHFFFAOYSA-N -1 1 300.343 1.880 20 0 DDADMM COC(=O)CCCN(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773804233 697807448 /nfs/dbraw/zinc/80/74/48/697807448.db2.gz BZIUGDLHWFPYPB-UHFFFAOYSA-N -1 1 308.363 1.043 20 0 DDADMM O=C(C=Cc1cccc(F)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776562407 698117221 /nfs/dbraw/zinc/11/72/21/698117221.db2.gz CWDYZQDYCMXRHA-ZYOFXKKJSA-N -1 1 319.365 1.669 20 0 DDADMM CC1CCC(=CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)CC1 ZINC000776566114 698117852 /nfs/dbraw/zinc/11/78/52/698117852.db2.gz VRXBCPRSOSZBNT-TVKKRMFBSA-N -1 1 307.423 1.953 20 0 DDADMM O=C(c1cccc(Cl)n1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776564632 698117952 /nfs/dbraw/zinc/11/79/52/698117952.db2.gz OLTZSSBBAKQYBJ-MRVPVSSYSA-N -1 1 310.770 1.178 20 0 DDADMM CC1CC(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)C1 ZINC000987560454 698150741 /nfs/dbraw/zinc/15/07/41/698150741.db2.gz YWJSSDHEKNZFTH-KPFVRQRISA-N -1 1 317.389 1.553 20 0 DDADMM CS[C@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987671995 698193137 /nfs/dbraw/zinc/19/31/37/698193137.db2.gz NETQXIRQBUIJRK-MXWKQRLJSA-N -1 1 323.418 1.258 20 0 DDADMM O=C([N-]N1CN=NC1=O)c1cc(Cl)ccc1Br ZINC000777769259 698220489 /nfs/dbraw/zinc/22/04/89/698220489.db2.gz MYANDRZOMIIAQQ-UHFFFAOYSA-N -1 1 317.530 1.784 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NOC2CCCCC2)co1 ZINC000777961851 698233893 /nfs/dbraw/zinc/23/38/93/698233893.db2.gz NQLGVYLNIRMKIO-UHFFFAOYSA-N -1 1 302.352 1.182 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCO[C@@H](C)C2)o1 ZINC000778366183 698269093 /nfs/dbraw/zinc/26/90/93/698269093.db2.gz NLUSJMVSJLSUEN-UWVGGRQHSA-N -1 1 317.363 1.160 20 0 DDADMM COCCO[N-]C(=O)Cc1c(F)ccc(Br)c1F ZINC000800337518 700216672 /nfs/dbraw/zinc/21/66/72/700216672.db2.gz ISPGLAYPCUMDFJ-UHFFFAOYSA-N -1 1 324.121 1.964 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncccc1[O-])c1cc(F)ccc1F ZINC000778671859 698358018 /nfs/dbraw/zinc/35/80/18/698358018.db2.gz CFULOWYLLINWDX-LBPRGKRZSA-N -1 1 322.267 1.710 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000780361340 698511270 /nfs/dbraw/zinc/51/12/70/698511270.db2.gz XMDSMKGXQYOIFP-CYBMUJFWSA-N -1 1 311.357 1.545 20 0 DDADMM CCN(CC)[C@H](CNC(=O)N1CCC[C@H]1C(=O)[O-])c1ccco1 ZINC000780478553 698523156 /nfs/dbraw/zinc/52/31/56/698523156.db2.gz CTCAPMUZXCZSQY-QWHCGFSZSA-N -1 1 323.393 1.921 20 0 DDADMM COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)c1c([O-])cccc1Cl ZINC000781451657 698621130 /nfs/dbraw/zinc/62/11/30/698621130.db2.gz OEQUIQLYYQUIOC-CMPLNLGQSA-N -1 1 313.737 1.184 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1csc(Cl)n1 ZINC000781914836 698661050 /nfs/dbraw/zinc/66/10/50/698661050.db2.gz VLPYWHZWQRCJNO-SECBINFHSA-N -1 1 320.765 1.829 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1csc(Cl)n1 ZINC000781914838 698661105 /nfs/dbraw/zinc/66/11/05/698661105.db2.gz VLPYWHZWQRCJNO-VIFPVBQESA-N -1 1 320.765 1.829 20 0 DDADMM CC[C@H](F)CN1CC[C@]2(NC(=O)c3[nH]nc(C)c3[O-])CCC[C@@H]12 ZINC000990195678 698991365 /nfs/dbraw/zinc/99/13/65/698991365.db2.gz ZGKFLGQRBOYPHW-HWWQOWPSSA-N -1 1 324.400 1.899 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@@H]1CCCOC1 ZINC000389190928 699087849 /nfs/dbraw/zinc/08/78/49/699087849.db2.gz MUHNXMZAJWIISR-RKDXNWHRSA-N -1 1 307.803 1.167 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COCc2cccnc2)n1 ZINC000415646930 699129701 /nfs/dbraw/zinc/12/97/01/699129701.db2.gz LTMUGWIOUHAFRY-UHFFFAOYSA-N -1 1 304.306 1.137 20 0 DDADMM COc1ccnc(COC(=O)c2cc(=O)[n-]c(N(C)C)n2)c1 ZINC000786494054 699150611 /nfs/dbraw/zinc/15/06/11/699150611.db2.gz OYYVCOYBWCKTKC-UHFFFAOYSA-N -1 1 304.306 1.009 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)c2cnn(C)n2)c1 ZINC000786545029 699153532 /nfs/dbraw/zinc/15/35/32/699153532.db2.gz VLTVUDHZRZCFEF-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM O=C(NC1CN(C(=O)[C@@H]2Cc3ccccc32)C1)c1ncccc1[O-] ZINC000990973225 699196786 /nfs/dbraw/zinc/19/67/86/699196786.db2.gz XPOYGLHTGQAACL-CQSZACIVSA-N -1 1 323.352 1.068 20 0 DDADMM CC[C@H](C)c1ccccc1N1C[C@H](C(=O)[N-]OCCO)CC1=O ZINC000787292252 699203398 /nfs/dbraw/zinc/20/33/98/699203398.db2.gz KFFRNNWBFNFKDV-QWHCGFSZSA-N -1 1 320.389 1.593 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)[C@@H]1C ZINC000787500528 699214450 /nfs/dbraw/zinc/21/44/50/699214450.db2.gz CUEKYUQQUBTTMK-MNOVXSKESA-N -1 1 321.377 1.638 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000701200204 699226169 /nfs/dbraw/zinc/22/61/69/699226169.db2.gz BFRYABMWTKOEHU-BZNPZCIMSA-N -1 1 307.803 1.164 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2ccc(Cl)nc2F)CCCOC1 ZINC000703058825 699235332 /nfs/dbraw/zinc/23/53/32/699235332.db2.gz HYCJNPQAJHARJA-NSHDSACASA-N -1 1 308.762 1.722 20 0 DDADMM C[C@@H]1CSC[C@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703133457 699235336 /nfs/dbraw/zinc/23/53/36/699235336.db2.gz YEQDGAJDKZUOAV-RNFRBKRXSA-N -1 1 310.803 1.904 20 0 DDADMM CC1(C)COC[C@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703157461 699235839 /nfs/dbraw/zinc/23/58/39/699235839.db2.gz ISAIIVAAAHROHJ-MRVPVSSYSA-N -1 1 308.762 1.577 20 0 DDADMM CCC[C@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)OCC ZINC000705332766 699240301 /nfs/dbraw/zinc/24/03/01/699240301.db2.gz VCOMULOAQXDGLR-SECBINFHSA-N -1 1 309.819 1.557 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCCn2ccnc2)c(=O)[n-]1 ZINC000788052628 699271955 /nfs/dbraw/zinc/27/19/55/699271955.db2.gz CWOUTKLNEHKXJE-UHFFFAOYSA-N -1 1 322.390 1.585 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@@H]2CC[C@H]3C[C@H]32)c1Cl ZINC000717105266 699286074 /nfs/dbraw/zinc/28/60/74/699286074.db2.gz VSQYDTVQAGEMEN-GUBZILKMSA-N -1 1 303.815 1.788 20 0 DDADMM O=C(c1cc(Cl)c(Cl)[nH]1)N1CCC(c2nn[n-]n2)CC1 ZINC000720609887 699306750 /nfs/dbraw/zinc/30/67/50/699306750.db2.gz GSDZOCQWOSIYSO-UHFFFAOYSA-N -1 1 315.164 1.854 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H](CCO)C2CCCC2)c1Cl ZINC000725104544 699330712 /nfs/dbraw/zinc/33/07/12/699330712.db2.gz KVQGLGARKPMJTG-SNVBAGLBSA-N -1 1 321.830 1.293 20 0 DDADMM CC(C)CC(=O)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991654767 699372411 /nfs/dbraw/zinc/37/24/11/699372411.db2.gz SAEJGTSNRVJGQD-UHFFFAOYSA-N -1 1 318.377 1.046 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2ccc3c(c2)C(=O)OC3)n1 ZINC000726795728 699381057 /nfs/dbraw/zinc/38/10/57/699381057.db2.gz QDTGWZFDDVULFH-SSDOTTSWSA-N -1 1 323.330 1.088 20 0 DDADMM CCCc1ccccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727849682 699428333 /nfs/dbraw/zinc/42/83/33/699428333.db2.gz JWFRSOIGYRGYJY-UHFFFAOYSA-N -1 1 301.346 1.518 20 0 DDADMM CC1(C)COCCN1CCNC(=O)c1c([O-])cccc1Cl ZINC000728309667 699443856 /nfs/dbraw/zinc/44/38/56/699443856.db2.gz FXKPWVNZIWUYFA-UHFFFAOYSA-N -1 1 312.797 1.886 20 0 DDADMM CCN(CC)C(=O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000729854002 699489765 /nfs/dbraw/zinc/48/97/65/699489765.db2.gz QZJYALAUWNVLGT-UHFFFAOYSA-N -1 1 324.324 1.251 20 0 DDADMM NC(=O)NC(=O)COC(=O)c1ccc(Cl)c(Cl)c1[O-] ZINC000730360091 699508855 /nfs/dbraw/zinc/50/88/55/699508855.db2.gz PXZWJGNUPRIDBR-UHFFFAOYSA-N -1 1 307.089 1.051 20 0 DDADMM CC(C)Oc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000732089059 699546020 /nfs/dbraw/zinc/54/60/20/699546020.db2.gz AICOSYDQESKXEB-CYBMUJFWSA-N -1 1 317.349 1.201 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N(C1CC1)C1CCCCC1 ZINC000733139517 699580346 /nfs/dbraw/zinc/58/03/46/699580346.db2.gz SWQXLSHXFPFPIK-UHFFFAOYSA-N -1 1 305.378 1.250 20 0 DDADMM Cc1noc2nc(C)cc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)c12 ZINC000791166951 699613808 /nfs/dbraw/zinc/61/38/08/699613808.db2.gz DRPUUNWCOMABFK-UHFFFAOYSA-N -1 1 322.328 1.747 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@]2(CC=CCC2)C1 ZINC000733990409 699630906 /nfs/dbraw/zinc/63/09/06/699630906.db2.gz VKBYRAFNDMEXIC-KRWDZBQOSA-N -1 1 317.389 1.276 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000735647755 699698898 /nfs/dbraw/zinc/69/88/98/699698898.db2.gz BJGNALPIVKMKIP-ZDUSSCGKSA-N -1 1 315.377 1.711 20 0 DDADMM C[C@H](CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccc(F)cc1 ZINC000735978166 699715447 /nfs/dbraw/zinc/71/54/47/699715447.db2.gz ZBFBBICPYIJFGR-MFKMUULPSA-N -1 1 319.340 1.433 20 0 DDADMM Cc1ccc2cc(C(=O)N3CCOC[C@H]3c3nn[n-]n3)[nH]c2c1 ZINC000737374897 699739304 /nfs/dbraw/zinc/73/93/04/699739304.db2.gz AHCTWVWVMUDSIN-ZDUSSCGKSA-N -1 1 312.333 1.203 20 0 DDADMM O=C([C@@H]1C[C@@H]1c1ccc(F)cc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737782458 699745022 /nfs/dbraw/zinc/74/50/22/699745022.db2.gz GFVHJBLLNYYUKK-UPJWGTAASA-N -1 1 317.324 1.042 20 0 DDADMM O=C(CCc1ccc(Cl)cc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737852209 699746264 /nfs/dbraw/zinc/74/62/64/699746264.db2.gz DRKZWNVXJRFHPQ-LBPRGKRZSA-N -1 1 321.768 1.386 20 0 DDADMM CC(C)C[C@@H](CNC(=O)[O-])NC(=O)Cc1[nH]nc2ccccc21 ZINC000738809177 699766183 /nfs/dbraw/zinc/76/61/83/699766183.db2.gz XVVFQLJBNPJVOH-NSHDSACASA-N -1 1 318.377 1.904 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2ccsc2)n1 ZINC000795327253 699858891 /nfs/dbraw/zinc/85/88/91/699858891.db2.gz XBJKDWFAVKOGQF-UHFFFAOYSA-N -1 1 300.365 1.161 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C(=O)NC(C)(C)C)C(C)C)[n-]1 ZINC000796344506 699928109 /nfs/dbraw/zinc/92/81/09/699928109.db2.gz IJPUDUQBUFJPEQ-LBPRGKRZSA-N -1 1 324.377 1.897 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)OCc2ccccc2)[n-]1 ZINC000796347177 699928482 /nfs/dbraw/zinc/92/84/82/699928482.db2.gz XQZXZRHCOFZKOQ-UHFFFAOYSA-N -1 1 317.297 1.702 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](O)CC(C)C)o1 ZINC000751276395 700277713 /nfs/dbraw/zinc/27/77/13/700277713.db2.gz ANISLLPJQVXIEN-JTQLQIEISA-N -1 1 319.379 1.142 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)O[C@@H]3CCOC3=O)n2)cc1 ZINC000801369357 700301544 /nfs/dbraw/zinc/30/15/44/700301544.db2.gz LPRRQRVAVHOSLG-GFCCVEGCSA-N -1 1 302.286 1.359 20 0 DDADMM Cc1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc(Cl)n1 ZINC000801701254 700331876 /nfs/dbraw/zinc/33/18/76/700331876.db2.gz FUWZXBVVEWYMFG-UHFFFAOYSA-N -1 1 301.737 1.951 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)Cc1cc(C)no1 ZINC000751980281 700332835 /nfs/dbraw/zinc/33/28/35/700332835.db2.gz CXCYLXWCMOANOG-LBPRGKRZSA-N -1 1 316.379 1.134 20 0 DDADMM CC[C@H](CCOC)OC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000756591430 700630732 /nfs/dbraw/zinc/63/07/32/700630732.db2.gz VBUPNQMDDPTNBU-SNVBAGLBSA-N -1 1 305.352 1.160 20 0 DDADMM CC(C)CC[C@@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000761151876 700847489 /nfs/dbraw/zinc/84/74/89/700847489.db2.gz NXIPMNVKPFUXQX-AWEZNQCLSA-N -1 1 321.421 1.744 20 0 DDADMM Cc1nc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c2ccccn12 ZINC000762014375 700876242 /nfs/dbraw/zinc/87/62/42/700876242.db2.gz HWKBQOYIIVSEFK-LLVKDONJSA-N -1 1 311.349 1.176 20 0 DDADMM Cc1cc(Cl)ccc1OCC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765399303 701009573 /nfs/dbraw/zinc/00/95/73/701009573.db2.gz UCZGJVQCQBCIQW-UHFFFAOYSA-N -1 1 311.725 1.192 20 0 DDADMM CC(C)[C@H](Sc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765461924 701013843 /nfs/dbraw/zinc/01/38/43/701013843.db2.gz CQIATXFOCXFQKW-ZDUSSCGKSA-N -1 1 321.402 1.969 20 0 DDADMM COc1ccc(CCCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765461672 701013975 /nfs/dbraw/zinc/01/39/75/701013975.db2.gz QKASKWDWRAFAFU-UHFFFAOYSA-N -1 1 305.334 1.183 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2C[C@H]2c2cccc(F)c2F)nc1=O ZINC000765483811 701015158 /nfs/dbraw/zinc/01/51/58/701015158.db2.gz YUYBRBPSDZAUCS-IUCAKERBSA-N -1 1 309.272 1.234 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OCCCCCO)n2)cc1 ZINC000803525534 701125890 /nfs/dbraw/zinc/12/58/90/701125890.db2.gz QGYHHLFSNPACRZ-UHFFFAOYSA-N -1 1 320.345 1.906 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCCc1ccccc1 ZINC000767931161 701145643 /nfs/dbraw/zinc/14/56/43/701145643.db2.gz MGFZSLUFWVMWPZ-UHFFFAOYSA-N -1 1 306.387 1.930 20 0 DDADMM CCOC(=O)[C@](C)(O)CNC(=O)c1c([O-])cccc1Cl ZINC000768730773 701199009 /nfs/dbraw/zinc/19/90/09/701199009.db2.gz KCUGJJFGSYAXBR-CYBMUJFWSA-N -1 1 301.726 1.090 20 0 DDADMM O=C(N[C@H](CO)C[C@H](O)c1ccccc1)c1cc(F)ccc1[O-] ZINC000770860949 701297944 /nfs/dbraw/zinc/29/79/44/701297944.db2.gz WVPWMWCXJZTCST-BBRMVZONSA-N -1 1 319.332 1.746 20 0 DDADMM Cc1ncc(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)s1 ZINC000771956065 701338304 /nfs/dbraw/zinc/33/83/04/701338304.db2.gz MNXYUVINGYYESR-UHFFFAOYSA-N -1 1 320.827 1.359 20 0 DDADMM COC(=O)C=Cc1ccc(OCCOC(=O)c2cn[n-]n2)cc1 ZINC000805603796 701397277 /nfs/dbraw/zinc/39/72/77/701397277.db2.gz PCTDOOLOAMLTEI-QPJJXVBHSA-N -1 1 317.301 1.227 20 0 DDADMM CN(C)C(=O)Sc1ccc(COC(=O)c2cn[n-]n2)cc1 ZINC000805603711 701397330 /nfs/dbraw/zinc/39/73/30/701397330.db2.gz NOKAGWHVUYQSQU-UHFFFAOYSA-N -1 1 306.347 1.935 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)Nc1ccc(N2CCCC2)cc1 ZINC000805604426 701397892 /nfs/dbraw/zinc/39/78/92/701397892.db2.gz ITPQHNKTXDEDIR-UHFFFAOYSA-N -1 1 315.333 1.200 20 0 DDADMM O=C(OCCOc1ccc(Br)cc1)c1cn[n-]n1 ZINC000805605791 701398552 /nfs/dbraw/zinc/39/85/52/701398552.db2.gz NYKBZKIMGVJCNM-UHFFFAOYSA-N -1 1 312.123 1.803 20 0 DDADMM O=C(CC[C@H]1CC1(Cl)Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000830905916 706600119 /nfs/dbraw/zinc/60/01/19/706600119.db2.gz HKMXQTZVHPWNNM-IUCAKERBSA-N -1 1 318.208 1.880 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCOc4ccccc4C3)ccnc1-2 ZINC000806480909 701436715 /nfs/dbraw/zinc/43/67/15/701436715.db2.gz BPHPLGQXVNEKCG-UHFFFAOYSA-N -1 1 323.356 1.768 20 0 DDADMM CO[C@@H]1CCC[C@@H]1CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806486921 701437594 /nfs/dbraw/zinc/43/75/94/701437594.db2.gz KCYSZMKYTAQDIV-ZWNOBZJWSA-N -1 1 303.366 1.278 20 0 DDADMM C[C@@H]1C[C@@H](C(C)(C)C)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000807886248 701489760 /nfs/dbraw/zinc/48/97/60/701489760.db2.gz OHDOCUYODVYBCI-OLZOCXBDSA-N -1 1 321.421 1.600 20 0 DDADMM NC(=O)c1cccc(SCCC[N-]C(=O)C(F)(F)F)c1 ZINC000809989813 701709867 /nfs/dbraw/zinc/70/98/67/701709867.db2.gz ICAVQMWABDDHHN-UHFFFAOYSA-N -1 1 306.309 1.946 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C[C@H]1C ZINC000830952162 706609299 /nfs/dbraw/zinc/60/92/99/706609299.db2.gz DFBAUTVIAICKGO-IJLUTSLNSA-N -1 1 319.405 1.943 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000810268445 701748696 /nfs/dbraw/zinc/74/86/96/701748696.db2.gz DPWUBBIMOLITQC-NTMALXAHSA-N -1 1 320.374 1.559 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000810268445 701748699 /nfs/dbraw/zinc/74/86/99/701748699.db2.gz DPWUBBIMOLITQC-NTMALXAHSA-N -1 1 320.374 1.559 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC(C)(F)F)cnc1Cl ZINC000815066878 701792257 /nfs/dbraw/zinc/79/22/57/701792257.db2.gz HXOGSDRRCUATFA-UHFFFAOYSA-N -1 1 300.714 1.677 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)c2ccnn2C)c1 ZINC000867854208 701794449 /nfs/dbraw/zinc/79/44/49/701794449.db2.gz PPEMYJZAUFTJCF-SECBINFHSA-N -1 1 311.363 1.174 20 0 DDADMM O=C(NCC[C@@H]1CCCS1)c1nc2ccccc2c(=O)[n-]1 ZINC000866092746 706615707 /nfs/dbraw/zinc/61/57/07/706615707.db2.gz QAEKNYNXOLZOFQ-JTQLQIEISA-N -1 1 303.387 1.939 20 0 DDADMM CC[C@@H](C[C@@H](C)O)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000867932856 701839759 /nfs/dbraw/zinc/83/97/59/701839759.db2.gz UCSKLNRJNZHPMN-BDAKNGLRSA-N -1 1 323.361 1.801 20 0 DDADMM CC(C)CCNC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000867952053 701850460 /nfs/dbraw/zinc/85/04/60/701850460.db2.gz RUKGEBXQLOFWKU-GFCCVEGCSA-N -1 1 309.332 1.885 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H]2CCCC[C@H]2F)co1 ZINC000810892467 701869952 /nfs/dbraw/zinc/86/99/52/701869952.db2.gz JCDYCXVIELUEJS-NXEZZACHSA-N -1 1 305.327 1.625 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)c2cnccn2)C1)C(F)(F)F ZINC000810900835 701870380 /nfs/dbraw/zinc/87/03/80/701870380.db2.gz KNVUQWNKSCICAB-SECBINFHSA-N -1 1 316.283 1.007 20 0 DDADMM Cc1cnc(Cl)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000879262591 706633402 /nfs/dbraw/zinc/63/34/02/706633402.db2.gz GTEMTKOYWZVSEW-SECBINFHSA-N -1 1 306.757 1.576 20 0 DDADMM Cc1nc([C@@H](C)OC(=O)c2ccccc2[N-]S(C)(=O)=O)n[nH]1 ZINC000840111087 701973710 /nfs/dbraw/zinc/97/37/10/701973710.db2.gz MQSPHBXQHWQKNT-MRVPVSSYSA-N -1 1 324.362 1.403 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@@H](C2CC2)C1)c1c[nH]nc1Cl ZINC000831115566 706637312 /nfs/dbraw/zinc/63/73/12/706637312.db2.gz IKDGQMBDQSSLPE-RKDXNWHRSA-N -1 1 305.787 1.299 20 0 DDADMM Cc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c2ccccc12 ZINC000840332930 702072900 /nfs/dbraw/zinc/07/29/00/702072900.db2.gz GKQPYTHTOWCNLP-OAHLLOKOSA-N -1 1 323.356 1.875 20 0 DDADMM O=C([C@H]1CCCC12OCCO2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000816498576 702097597 /nfs/dbraw/zinc/09/75/97/702097597.db2.gz AKZNTEHJQVOWGQ-WDEREUQCSA-N -1 1 323.349 1.024 20 0 DDADMM CCCCSCC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831154463 706645792 /nfs/dbraw/zinc/64/57/92/706645792.db2.gz RJSBBMLRRLEWGE-UHFFFAOYSA-N -1 1 312.357 1.657 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)O1 ZINC000831138904 706642205 /nfs/dbraw/zinc/64/22/05/706642205.db2.gz CYEDFMQKGFDBSR-VHSXEESVSA-N -1 1 308.300 1.081 20 0 DDADMM O=C(CCc1cccs1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831157935 706646400 /nfs/dbraw/zinc/64/64/00/706646400.db2.gz FEVBIMJDFOYCML-UHFFFAOYSA-N -1 1 320.336 1.818 20 0 DDADMM CCOC(=O)[C@@H](CC)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000840683621 702203908 /nfs/dbraw/zinc/20/39/08/702203908.db2.gz APDXBCKIFODALD-GFCCVEGCSA-N -1 1 321.329 1.076 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cccc4c3CC(=O)CC4)ccnc1-2 ZINC000813235473 702315257 /nfs/dbraw/zinc/31/52/57/702315257.db2.gz LZCSIXDNQOYEDH-UHFFFAOYSA-N -1 1 320.352 1.652 20 0 DDADMM O=C(NC[C@@H]1C[C@@H]2COC[C@@H]2O1)c1ncc2ccccc2c1[O-] ZINC000831235077 706665429 /nfs/dbraw/zinc/66/54/29/706665429.db2.gz QQUILWUAPVUSDC-DYEKYZERSA-N -1 1 314.341 1.474 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C(=O)O1 ZINC000841523644 702479502 /nfs/dbraw/zinc/47/95/02/702479502.db2.gz MHAGJUDPHCISNK-RCOVLWMOSA-N -1 1 307.730 1.461 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCO[C@@H](C4CCC4)C3)ccnc1-2 ZINC000841706590 702544641 /nfs/dbraw/zinc/54/46/41/702544641.db2.gz XFPBNQFNDXVSJP-CQSZACIVSA-N -1 1 315.377 1.375 20 0 DDADMM C[C@@H]1CCN(C(=O)CC2CSC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000869312646 702565138 /nfs/dbraw/zinc/56/51/38/702565138.db2.gz RLOAYYUMRRXWDU-SCZZXKLOSA-N -1 1 324.368 1.655 20 0 DDADMM CON(C)C(=O)[C@H](C)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869490339 702623481 /nfs/dbraw/zinc/62/34/81/702623481.db2.gz BLABBGHNTHSEEH-QMMMGPOBSA-N -1 1 300.742 1.113 20 0 DDADMM COC[C@@H](C)[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000866386127 706686882 /nfs/dbraw/zinc/68/68/82/706686882.db2.gz XQYZCLKNNJCLAK-MLCYQJTMSA-N -1 1 306.409 1.013 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCO[C@H](C(F)F)C1 ZINC000831368586 706694473 /nfs/dbraw/zinc/69/44/73/706694473.db2.gz MRHJUKOUPZPELI-QMMMGPOBSA-N -1 1 314.317 1.124 20 0 DDADMM Cc1cc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)cs1 ZINC000843015449 702803974 /nfs/dbraw/zinc/80/39/74/702803974.db2.gz WHXROWCCBQUKEB-UHFFFAOYSA-N -1 1 316.448 1.600 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CC1(Cl)Cl ZINC000866469946 706706893 /nfs/dbraw/zinc/70/68/93/706706893.db2.gz QFHWBZXKBKCRTA-ZETCQYMHSA-N -1 1 323.267 1.522 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC2(CCC2)O1 ZINC000844101406 702971540 /nfs/dbraw/zinc/97/15/40/702971540.db2.gz PYEGUWCFQHNOQY-JTQLQIEISA-N -1 1 318.402 1.802 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1cc2c(s1)CCCC2 ZINC000866536971 706723360 /nfs/dbraw/zinc/72/33/60/706723360.db2.gz YKDOLASSOIJMQU-UHFFFAOYSA-N -1 1 322.477 1.689 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CC[C@@H]3C[C@@H]3C2)co1 ZINC000845773222 703198735 /nfs/dbraw/zinc/19/87/35/703198735.db2.gz JIVCFOKXNLJGJM-MXWKQRLJSA-N -1 1 313.375 1.781 20 0 DDADMM CCON(C)C(=O)C[N-]S(=O)(=O)c1sccc1Cl ZINC000846004894 703225815 /nfs/dbraw/zinc/22/58/15/703225815.db2.gz PXSLPKDCOAKSBG-UHFFFAOYSA-N -1 1 312.800 1.090 20 0 DDADMM O=C([O-])C[C@H]1CCCN1CCS(=O)(=O)Cc1ccccc1 ZINC000846273766 703259547 /nfs/dbraw/zinc/25/95/47/703259547.db2.gz DETWZRRGVMRJCM-CQSZACIVSA-N -1 1 311.403 1.541 20 0 DDADMM CCOC1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000846293656 703262912 /nfs/dbraw/zinc/26/29/12/703262912.db2.gz UGKJOGCVWSXTNU-UHFFFAOYSA-N -1 1 306.391 1.659 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)CCOC1=O ZINC000831598133 706736455 /nfs/dbraw/zinc/73/64/55/706736455.db2.gz ZDWCUTJCXXCJDF-CYBMUJFWSA-N -1 1 313.306 1.556 20 0 DDADMM CC[C@@H](C)c1nc(C)c(CC(=O)[N-]OC(C)(C)CO)c(C)n1 ZINC000848164690 703518619 /nfs/dbraw/zinc/51/86/19/703518619.db2.gz PVSAZNLMHRPGGR-SNVBAGLBSA-N -1 1 309.410 1.968 20 0 DDADMM CO[C@H](C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C)C(C)C ZINC000848340382 703540765 /nfs/dbraw/zinc/54/07/65/703540765.db2.gz RXSKQIMQVQKUGX-MXWKQRLJSA-N -1 1 324.343 1.715 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341510 703541115 /nfs/dbraw/zinc/54/11/15/703541115.db2.gz ZUXKBEAYDYLVIZ-LMLFDSFASA-N -1 1 322.327 1.469 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CCC2(F)F)co1 ZINC000851317241 703792040 /nfs/dbraw/zinc/79/20/40/703792040.db2.gz GOXBFJVTYYXDQW-QMMMGPOBSA-N -1 1 309.290 1.390 20 0 DDADMM CC1(C)CN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1c1ccco1 ZINC000869786746 703853570 /nfs/dbraw/zinc/85/35/70/703853570.db2.gz BEODACGGARZTNU-ZDUSSCGKSA-N -1 1 317.345 1.129 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000869891406 703872329 /nfs/dbraw/zinc/87/23/29/703872329.db2.gz KQCCWVLUODUUDS-VZZFWQQMSA-N -1 1 301.342 1.778 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C ZINC000870279772 703983969 /nfs/dbraw/zinc/98/39/69/703983969.db2.gz ZXUWRKQUWOCVJC-HWNAMQAFSA-N -1 1 316.361 1.104 20 0 DDADMM CCC(CC)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866740816 706783430 /nfs/dbraw/zinc/78/34/30/706783430.db2.gz VLFRBCSAFUGESM-UHFFFAOYSA-N -1 1 310.217 1.887 20 0 DDADMM C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000870447366 704039992 /nfs/dbraw/zinc/03/99/92/704039992.db2.gz RHTFAJBUSJMVIM-XQHKEYJVSA-N -1 1 314.451 1.209 20 0 DDADMM CC(C)N1CC[C@H](N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1=O ZINC000879764957 706785335 /nfs/dbraw/zinc/78/53/35/706785335.db2.gz KUJRLTUJBDWEPP-GXFFZTMASA-N -1 1 321.343 1.139 20 0 DDADMM O=C(N1CCC[C@H](c2n[n-]c(=O)o2)C1)C(F)(F)C1(O)CCC1 ZINC000819627540 704153051 /nfs/dbraw/zinc/15/30/51/704153051.db2.gz CMUBBQSESUEZLW-QMMMGPOBSA-N -1 1 317.292 1.032 20 0 DDADMM CNc1ncc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)s1 ZINC000819970494 704194396 /nfs/dbraw/zinc/19/43/96/704194396.db2.gz LWMBUBOPSBNNME-SSDOTTSWSA-N -1 1 309.351 1.293 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1ccc2ccccc2n1 ZINC000866791566 706802330 /nfs/dbraw/zinc/80/23/30/706802330.db2.gz FFCPBRBWEROQMD-UHFFFAOYSA-N -1 1 313.404 1.297 20 0 DDADMM CCn1ncn([N-]C(=O)c2ccc(CN3CCCCC3)o2)c1=O ZINC000820399878 704272597 /nfs/dbraw/zinc/27/25/97/704272597.db2.gz MFMSOFIALICTHO-UHFFFAOYSA-N -1 1 319.365 1.028 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCNC(=O)CC12CCOCC2 ZINC000871366576 704276613 /nfs/dbraw/zinc/27/66/13/704276613.db2.gz CLHJISAXLIPZHS-UHFFFAOYSA-N -1 1 322.336 1.043 20 0 DDADMM COc1cnc(C=Cc2cnc(N3CCOCC3)s2)[n-]c1=O ZINC000821012588 704359320 /nfs/dbraw/zinc/35/93/20/704359320.db2.gz KNZNOLJTMNOCCE-NSCUHMNNSA-N -1 1 320.374 1.654 20 0 DDADMM CON1CCN(C(=O)c2cc(Br)ccc2[O-])CC1 ZINC000854861973 704459577 /nfs/dbraw/zinc/45/95/77/704459577.db2.gz NPYWTINEYMIWLA-UHFFFAOYSA-N -1 1 315.167 1.474 20 0 DDADMM O=C(NCC[S@](=O)CC(F)(F)F)c1c([O-])cccc1F ZINC000855271023 704476607 /nfs/dbraw/zinc/47/66/07/704476607.db2.gz YRGTZFSDMZFYKC-FQEVSTJZSA-N -1 1 313.272 1.572 20 0 DDADMM CCn1cc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)nn1 ZINC000855613213 704493718 /nfs/dbraw/zinc/49/37/18/704493718.db2.gz PQAVAPBFSNAPBN-UHFFFAOYSA-N -1 1 304.354 1.155 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@@]1(C)CO ZINC000856252362 704520065 /nfs/dbraw/zinc/52/00/65/704520065.db2.gz XXEXYOVWJOEQQI-XPTSAGLGSA-N -1 1 306.391 1.109 20 0 DDADMM CONC(=O)C1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000832011596 706826108 /nfs/dbraw/zinc/82/61/08/706826108.db2.gz ZUAIABPHNNCHHD-UHFFFAOYSA-N -1 1 312.753 1.575 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H](CCO)c1ccccc1 ZINC000866858523 706827942 /nfs/dbraw/zinc/82/79/42/706827942.db2.gz IKSCCJUGPQIBIL-BLVKFPJESA-N -1 1 320.436 1.062 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](O)c2ccc(C)o2)sn1 ZINC000866862279 706829241 /nfs/dbraw/zinc/82/92/41/706829241.db2.gz DXUJFGSBEOGQIR-VIFPVBQESA-N -1 1 302.377 1.365 20 0 DDADMM O=C(CCc1nn[n-]n1)NOCc1ccc(C(F)(F)F)cc1 ZINC000866863728 706829468 /nfs/dbraw/zinc/82/94/68/706829468.db2.gz SMRUXCYALADCDI-UHFFFAOYSA-N -1 1 315.255 1.399 20 0 DDADMM CC(C)(O)CC[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000867124243 706903953 /nfs/dbraw/zinc/90/39/53/706903953.db2.gz LFMKXYDXRGNAEG-IBGZPJMESA-N -1 1 320.436 1.139 20 0 DDADMM O=C(N1CCCC1)N1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858335453 704696506 /nfs/dbraw/zinc/69/65/06/704696506.db2.gz VHTOSCOHQXHURT-UHFFFAOYSA-N -1 1 311.773 1.173 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCCSC1 ZINC000867270996 706943549 /nfs/dbraw/zinc/94/35/49/706943549.db2.gz TZPXYANJXASJGM-JTQLQIEISA-N -1 1 314.498 1.472 20 0 DDADMM O=c1nc(N(CCN2CCOCC2)CC2CC2)cc(Cl)[n-]1 ZINC000858632443 704737510 /nfs/dbraw/zinc/73/75/10/704737510.db2.gz TYRHPQKRBKSXBD-UHFFFAOYSA-N -1 1 312.801 1.384 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-][C@@H]1CCCC12OCCO2)C1CC1 ZINC000859227089 704827850 /nfs/dbraw/zinc/82/78/50/704827850.db2.gz YBIYYJVLYDIWKK-CHWSQXEVSA-N -1 1 319.423 1.017 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3CC(OC)C3)c(=O)c2c(OC)c1 ZINC000859335330 704868468 /nfs/dbraw/zinc/86/84/68/704868468.db2.gz UIOFHKFAWVCRAA-UHFFFAOYSA-N -1 1 318.329 1.016 20 0 DDADMM COCc1nsc([N-]C(=O)c2n[nH]cc2C(F)(F)F)n1 ZINC000867365551 706976062 /nfs/dbraw/zinc/97/60/62/706976062.db2.gz JQHHUJOUFVOGQK-UHFFFAOYSA-N -1 1 307.257 1.679 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C12CCC2 ZINC000867385946 706983803 /nfs/dbraw/zinc/98/38/03/706983803.db2.gz DJZHTTCNBPZBAF-QWRGUYRKSA-N -1 1 322.452 1.040 20 0 DDADMM CO[C@@](C)([C@@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F)C1CC1 ZINC000867404154 706990046 /nfs/dbraw/zinc/99/00/46/706990046.db2.gz GMUNZOQEBGCBCW-OQPBUACISA-N -1 1 320.361 1.842 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H]3[C@@H](C2)C3(F)F)c1 ZINC000867465255 707010348 /nfs/dbraw/zinc/01/03/48/707010348.db2.gz XTVHVVYCHVKVDN-DTORHVGOSA-N -1 1 305.302 1.286 20 0 DDADMM NC(=O)Nc1ccc(CNc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000822879578 705070751 /nfs/dbraw/zinc/07/07/51/705070751.db2.gz DDMQIUDHRKVKQX-UHFFFAOYSA-N -1 1 310.321 1.364 20 0 DDADMM NC(=O)Nc1ccc(CNc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000822879578 705070756 /nfs/dbraw/zinc/07/07/56/705070756.db2.gz DDMQIUDHRKVKQX-UHFFFAOYSA-N -1 1 310.321 1.364 20 0 DDADMM COCC1(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)CCCC1 ZINC000823063294 705128413 /nfs/dbraw/zinc/12/84/13/705128413.db2.gz RZOVDQRCGKQABW-UHFFFAOYSA-N -1 1 303.366 1.423 20 0 DDADMM OCCC1(CNc2snc(Cl)c2-c2nnn[n-]2)CCC1 ZINC000823718496 705301218 /nfs/dbraw/zinc/30/12/18/705301218.db2.gz WZLQBAOCNIEPKW-UHFFFAOYSA-N -1 1 314.802 1.941 20 0 DDADMM OCCC1(CNc2snc(Cl)c2-c2nn[n-]n2)CCC1 ZINC000823718496 705301223 /nfs/dbraw/zinc/30/12/23/705301223.db2.gz WZLQBAOCNIEPKW-UHFFFAOYSA-N -1 1 314.802 1.941 20 0 DDADMM COCCOCCCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000875230770 705323449 /nfs/dbraw/zinc/32/34/49/705323449.db2.gz JCQZOFKNVIAEPU-UHFFFAOYSA-N -1 1 316.785 1.898 20 0 DDADMM CN(OCC(F)(F)F)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000861025323 705352293 /nfs/dbraw/zinc/35/22/93/705352293.db2.gz GVVLFJHWOOQAJK-UHFFFAOYSA-N -1 1 303.244 1.299 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@H]2CCC3(CCOCC3)O2)[n-]1 ZINC000861126475 705375316 /nfs/dbraw/zinc/37/53/16/705375316.db2.gz RQCIGCJPOXEHGP-LLVKDONJSA-N -1 1 323.345 1.686 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](C(=O)Nc2nc(Cl)ccc2[O-])O1 ZINC000861250598 705408234 /nfs/dbraw/zinc/40/82/34/705408234.db2.gz GRSDQLJQTTWEDY-SFYZADRCSA-N -1 1 300.698 1.100 20 0 DDADMM C[C@@H]1CCC[C@@H]1CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875556974 705428840 /nfs/dbraw/zinc/42/88/40/705428840.db2.gz OKNAQAWSKFYOHC-MWLCHTKSSA-N -1 1 321.343 1.743 20 0 DDADMM CCO[C@@H]1COC[C@H]1[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000875780780 705508954 /nfs/dbraw/zinc/50/89/54/705508954.db2.gz XSMCEMYQYCIGGM-VXGBXAGGSA-N -1 1 321.270 1.977 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)n[n-]1 ZINC000824887121 705551641 /nfs/dbraw/zinc/55/16/41/705551641.db2.gz IABBDYQIKPHJHQ-KKOKHZNYSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)[n-]1 ZINC000824887121 705551644 /nfs/dbraw/zinc/55/16/44/705551644.db2.gz IABBDYQIKPHJHQ-KKOKHZNYSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)n1 ZINC000824887121 705551646 /nfs/dbraw/zinc/55/16/46/705551646.db2.gz IABBDYQIKPHJHQ-KKOKHZNYSA-N -1 1 320.393 1.985 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)[C@@H]2CCCC[C@@H]21 ZINC000824978105 705570988 /nfs/dbraw/zinc/57/09/88/705570988.db2.gz UEYWGOHURDOQRL-MPKXVKKWSA-N -1 1 312.377 1.912 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)[C@@H]2CCCC[C@@H]21 ZINC000824978105 705570991 /nfs/dbraw/zinc/57/09/91/705570991.db2.gz UEYWGOHURDOQRL-MPKXVKKWSA-N -1 1 312.377 1.912 20 0 DDADMM CC(C)CC[C@@](C)(O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000826128601 705775306 /nfs/dbraw/zinc/77/53/06/705775306.db2.gz PQBRSPRNXVZONT-OAHLLOKOSA-N -1 1 322.434 1.935 20 0 DDADMM COc1cccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1O ZINC000826216605 705782386 /nfs/dbraw/zinc/78/23/86/705782386.db2.gz GUXMQJWLFYVNTK-UHFFFAOYSA-N -1 1 312.289 1.228 20 0 DDADMM COc1cccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1O ZINC000826216605 705782391 /nfs/dbraw/zinc/78/23/91/705782391.db2.gz GUXMQJWLFYVNTK-UHFFFAOYSA-N -1 1 312.289 1.228 20 0 DDADMM Cc1onc(CC(=O)N(C)C(C2CC2)C2CC2)c1-c1nnn[n-]1 ZINC000826343153 705792774 /nfs/dbraw/zinc/79/27/74/705792774.db2.gz OJCKKOQHJADVKP-UHFFFAOYSA-N -1 1 316.365 1.353 20 0 DDADMM Cc1onc(CC(=O)N(C)C(C2CC2)C2CC2)c1-c1nn[n-]n1 ZINC000826343153 705792776 /nfs/dbraw/zinc/79/27/76/705792776.db2.gz OJCKKOQHJADVKP-UHFFFAOYSA-N -1 1 316.365 1.353 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@H](C)[C@H]2C)c1-c1nnn[n-]1 ZINC000826346048 705793826 /nfs/dbraw/zinc/79/38/26/705793826.db2.gz BILMFZPXMAUATA-IQJOONFLSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@H](C)[C@H]2C)c1-c1nn[n-]n1 ZINC000826346048 705793829 /nfs/dbraw/zinc/79/38/29/705793829.db2.gz BILMFZPXMAUATA-IQJOONFLSA-N -1 1 318.381 1.647 20 0 DDADMM O=C(OCc1c(F)cccc1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000826464142 705803069 /nfs/dbraw/zinc/80/30/69/705803069.db2.gz KCKSQLWLEPWUBL-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM O=C(OCc1c(F)cccc1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000826464142 705803070 /nfs/dbraw/zinc/80/30/70/705803070.db2.gz KCKSQLWLEPWUBL-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM C[C@H]1N(C(=O)c2ccc3n[n-]c(=S)n3c2)CCOC1(C)C ZINC000826981960 705904956 /nfs/dbraw/zinc/90/49/56/705904956.db2.gz BIXVQPISZFZMKB-SECBINFHSA-N -1 1 306.391 1.658 20 0 DDADMM CN1CC[C@@H](C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC1=O ZINC000863476235 705936671 /nfs/dbraw/zinc/93/66/71/705936671.db2.gz XZZUOKIHGPEZBO-LLVKDONJSA-N -1 1 314.345 1.385 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1C[C@@H]2C[C@@H](c3ccccc3)[C@@H]2C1 ZINC000863533992 705950387 /nfs/dbraw/zinc/95/03/87/705950387.db2.gz HBJSKPSHCRJEDZ-SOUVJXGZSA-N -1 1 323.414 1.221 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]CC(=O)OC1CCCCC1)C(C)C ZINC000827315955 705973816 /nfs/dbraw/zinc/97/38/16/705973816.db2.gz WYUVCAXBEWKKJV-ZDUSSCGKSA-N -1 1 321.439 1.453 20 0 DDADMM C[C@@H](c1nc(-c2ccc([O-])cc2F)no1)N1CCNCC1=O ZINC000863670889 705982646 /nfs/dbraw/zinc/98/26/46/705982646.db2.gz TYSRHZVZIWKRJZ-QMMMGPOBSA-N -1 1 306.297 1.074 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-][C@H]2COCCC2=O)cnc1Cl ZINC000827370936 705987624 /nfs/dbraw/zinc/98/76/24/705987624.db2.gz GVWLCYJKQRIBAD-VIFPVBQESA-N -1 1 318.707 1.609 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@@H]1CC[C@H](CN2CCOCC2)O1 ZINC000827447498 706004049 /nfs/dbraw/zinc/00/40/49/706004049.db2.gz FKKZZZFXXVXBAO-RISCZKNCSA-N -1 1 324.352 1.350 20 0 DDADMM CCC1(CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)COC1 ZINC000827902081 706084906 /nfs/dbraw/zinc/08/49/06/706084906.db2.gz RHXXLLWGNOWBPS-CYBMUJFWSA-N -1 1 301.350 1.222 20 0 DDADMM Cn1cc(CN[C@@]2(C(=O)[O-])CCSC2)c(Br)n1 ZINC000864511441 706185310 /nfs/dbraw/zinc/18/53/10/706185310.db2.gz XWYUKZFGTHPGPR-JTQLQIEISA-N -1 1 320.212 1.233 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCc1ccccn1 ZINC000872429982 707406671 /nfs/dbraw/zinc/40/66/71/707406671.db2.gz BFPSSNYAHIERSJ-IBGZPJMESA-N -1 1 319.452 1.355 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1cccnc1 ZINC000872431828 707406998 /nfs/dbraw/zinc/40/69/98/707406998.db2.gz OOYULTJJPGLGBX-DGIBIBHMSA-N -1 1 319.452 1.873 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@]1(C)CCCOC1 ZINC000872469503 707430504 /nfs/dbraw/zinc/43/05/04/707430504.db2.gz VYFWEFUEKZZSOU-VOJFVSQTSA-N -1 1 312.457 1.286 20 0 DDADMM COCC1(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)CC1 ZINC000829892398 706414164 /nfs/dbraw/zinc/41/41/64/706414164.db2.gz VVSTYLWQCWGNIK-UHFFFAOYSA-N -1 1 305.330 1.303 20 0 DDADMM O=c1[n-]c(CN2c3ccccc3C[C@@H]2CO)nc2c1COCC2 ZINC000878896682 706527476 /nfs/dbraw/zinc/52/74/76/706527476.db2.gz AWYHIKUYTFQKLC-GFCCVEGCSA-N -1 1 313.357 1.179 20 0 DDADMM C[C@]12COC[C@@H]1C[N@@H+](Cc1nnc(-c3ccccc3O)o1)C2 ZINC000878949603 706541317 /nfs/dbraw/zinc/54/13/17/706541317.db2.gz NMSAZZFZHLVNTE-ZBEGNZNMSA-N -1 1 301.346 1.911 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CCCCC1)c1c[nH]nc1Cl ZINC000830609412 706544095 /nfs/dbraw/zinc/54/40/95/706544095.db2.gz GRMQJNBIMVMLKT-SECBINFHSA-N -1 1 307.803 1.283 20 0 DDADMM CC[C@@H](SC)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830817102 706585938 /nfs/dbraw/zinc/58/59/38/706585938.db2.gz XUYQJJFZQZZUFJ-LDYMZIIASA-N -1 1 312.357 1.798 20 0 DDADMM C[C@H](OCC1CC1)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827374 706588396 /nfs/dbraw/zinc/58/83/96/706588396.db2.gz LCIJSIVQWHBLGZ-TVQRCGJNSA-N -1 1 322.327 1.471 20 0 DDADMM CC(C)[C@@H](C[N-]S(=O)(=O)N=S(C)(C)=O)c1cccnc1 ZINC000866934964 706849242 /nfs/dbraw/zinc/84/92/42/706849242.db2.gz WUSHMXFEPFJOGV-GFCCVEGCSA-N -1 1 319.452 1.383 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H](c1cccnc1)C1CC1 ZINC000867021879 706874747 /nfs/dbraw/zinc/87/47/47/706874747.db2.gz HEOSHNQYWFLRQG-CWTRNNRKSA-N -1 1 317.436 1.485 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@H]1CCO[C@H](C2CC2)C1 ZINC000867113937 706900876 /nfs/dbraw/zinc/90/08/76/706900876.db2.gz YMJRWUIAADELHV-RYUDHWBXSA-N -1 1 322.452 1.040 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@H]2CCC2(C)C)c1C(F)(F)F ZINC000867268513 706942531 /nfs/dbraw/zinc/94/25/31/706942531.db2.gz WLRVGOAANRKQAX-QMMMGPOBSA-N -1 1 311.329 1.906 20 0 DDADMM CC1(C)CC[C@@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867267808 706942615 /nfs/dbraw/zinc/94/26/15/706942615.db2.gz BHMOWDSGQKAYGS-LURJTMIESA-N -1 1 308.201 1.639 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1COc2ccccc21 ZINC000867275401 706945367 /nfs/dbraw/zinc/94/53/67/706945367.db2.gz SMJXMGPGYAMZHM-OVWNDWIMSA-N -1 1 318.420 1.115 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CC(C)(C)c1ccccn1 ZINC000867326770 706962145 /nfs/dbraw/zinc/96/21/45/706962145.db2.gz IIKIHVJTCKZVHV-IBGZPJMESA-N -1 1 319.452 1.311 20 0 DDADMM CC[C@H]1C[C@H]([N-]S(=O)(=O)N=S(=O)(CC)CC)CCO1 ZINC000867422353 706997180 /nfs/dbraw/zinc/99/71/80/706997180.db2.gz WZGVGKUXMRVZFQ-MNOVXSKESA-N -1 1 312.457 1.286 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H](O)C12CCC2)c1ccc(Cl)nc1F ZINC000867519518 707026077 /nfs/dbraw/zinc/02/60/77/707026077.db2.gz RFFGVXWOTCJYSY-RKDXNWHRSA-N -1 1 320.773 1.456 20 0 DDADMM CCOC(=O)CCc1c(C)nc(SCC(=O)OC)[n-]c1=O ZINC000871692956 707170846 /nfs/dbraw/zinc/17/08/46/707170846.db2.gz WIYLQPAFODIXSA-UHFFFAOYSA-N -1 1 314.363 1.251 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1ccccc1COC ZINC000872339164 707385325 /nfs/dbraw/zinc/38/53/25/707385325.db2.gz AVFFRUUMHSJGJO-UHFFFAOYSA-N -1 1 320.436 1.998 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1cccc(O)c1F ZINC000872360033 707389963 /nfs/dbraw/zinc/38/99/63/707389963.db2.gz YEIPDQFTZYCHRW-UHFFFAOYSA-N -1 1 310.372 1.696 20 0 DDADMM O=C([O-])[C@]1(NC(=O)NCc2ccc3cncn3c2)CCSC1 ZINC000909173168 712954006 /nfs/dbraw/zinc/95/40/06/712954006.db2.gz YJLKISZVKRQAJT-AWEZNQCLSA-N -1 1 320.374 1.094 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@H]2CCC[C@H]2O1)c1c[nH]nc1Cl ZINC000872557435 707478071 /nfs/dbraw/zinc/47/80/71/707478071.db2.gz VIVCLIJUHHNSFO-HRDYMLBCSA-N -1 1 305.787 1.299 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@@]1(C)CC(C)(C)OC1=O)C(C)C ZINC000882223286 707545823 /nfs/dbraw/zinc/54/58/23/707545823.db2.gz IWULEFHEIMZTTQ-MFKMUULPSA-N -1 1 307.412 1.061 20 0 DDADMM C[C@@H](NC(=O)NCc1ccc([O-])c(Cl)c1)c1ncn(C)n1 ZINC000872980050 707680887 /nfs/dbraw/zinc/68/08/87/707680887.db2.gz OSLUTGXPZPXMBD-MRVPVSSYSA-N -1 1 309.757 1.735 20 0 DDADMM C[C@@H]1CCNC(=O)[C@H]1NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873001554 707689698 /nfs/dbraw/zinc/68/96/98/707689698.db2.gz PASZOWXTYMTBPZ-PELKAZGASA-N -1 1 311.769 1.369 20 0 DDADMM O=C(NC[C@H](O)C(F)(F)C(F)(F)F)c1c([O-])cccc1F ZINC000882561549 707697632 /nfs/dbraw/zinc/69/76/32/707697632.db2.gz YEWIXBWGJRGXME-ZETCQYMHSA-N -1 1 317.185 1.820 20 0 DDADMM CCn1nncc1CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873077979 707721586 /nfs/dbraw/zinc/72/15/86/707721586.db2.gz HOUSDGABRDVJNQ-UHFFFAOYSA-N -1 1 309.757 1.656 20 0 DDADMM CN=[S@@](C)(=O)c1cccc([N-]S(=O)(=O)C[C@H](C)OC)c1 ZINC000882737040 707766860 /nfs/dbraw/zinc/76/68/60/707766860.db2.gz YOSAPKOTPSCEMW-OVWNDWIMSA-N -1 1 320.436 1.550 20 0 DDADMM CO[C@@H]1COC[C@@H]1[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000838354718 707905170 /nfs/dbraw/zinc/90/51/70/707905170.db2.gz YZUPBMKHUWCHAW-VHSXEESVSA-N -1 1 307.243 1.587 20 0 DDADMM COC(=O)CCN(CC(=O)[O-])[C@@H](C)c1c(F)cccc1F ZINC000883413894 707995885 /nfs/dbraw/zinc/99/58/85/707995885.db2.gz FOWIHSIAIWUNMO-VIFPVBQESA-N -1 1 301.289 1.976 20 0 DDADMM CC1(C)C[C@@]1(C)CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000883761392 708060442 /nfs/dbraw/zinc/06/04/42/708060442.db2.gz VFQAASZQWQZDSZ-INIZCTEOSA-N -1 1 320.393 1.490 20 0 DDADMM COc1nn(C)cc1C(=O)[N-]c1nnc(C(F)(F)F)s1 ZINC000883958172 708086748 /nfs/dbraw/zinc/08/67/48/708086748.db2.gz HRAOZOVSOOHZQE-UHFFFAOYSA-N -1 1 307.257 1.551 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NC[C@@H](Oc1ccc(F)cc1)C(=O)[O-] ZINC000909385849 713005720 /nfs/dbraw/zinc/00/57/20/713005720.db2.gz BHMDPLISILEMAD-ZIAGYGMSSA-N -1 1 324.352 1.258 20 0 DDADMM Cc1ccc2c(c1)CC[C@H]2NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909401243 713008732 /nfs/dbraw/zinc/00/87/32/713008732.db2.gz OLVXLLHESXLVRR-GDBMZVCRSA-N -1 1 316.401 1.895 20 0 DDADMM Cc1nc(C)c(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000897162259 708242426 /nfs/dbraw/zinc/24/24/26/708242426.db2.gz WOASDDRQADOLSO-SNVBAGLBSA-N -1 1 306.395 1.222 20 0 DDADMM CC1(C)CN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]2COC[C@@H]21 ZINC000909435119 713017141 /nfs/dbraw/zinc/01/71/41/713017141.db2.gz LQUJQVXQVSBKHF-NWDGAFQWSA-N -1 1 303.362 1.557 20 0 DDADMM CO[C@@]1(CNC(=O)c2ccc3ccc(O)cc3c2[O-])CCOC1 ZINC000897405229 708317122 /nfs/dbraw/zinc/31/71/22/708317122.db2.gz WJFMEKQQYNKASH-QGZVFWFLSA-N -1 1 317.341 1.786 20 0 DDADMM Cc1nc(C2CCC2)sc1CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000884812557 708381708 /nfs/dbraw/zinc/38/17/08/708381708.db2.gz RJHORTFLPMCSAD-UHFFFAOYSA-N -1 1 322.390 1.427 20 0 DDADMM CSC1(C[N-]S(=O)(=O)c2ccns2)CCOCC1 ZINC000885033520 708449776 /nfs/dbraw/zinc/44/97/76/708449776.db2.gz XOVFHHKIDWQJND-UHFFFAOYSA-N -1 1 308.450 1.334 20 0 DDADMM O=S(=O)([N-]C[C@H]1COC2(CCCC2)O1)c1ccns1 ZINC000885084740 708466723 /nfs/dbraw/zinc/46/67/23/708466723.db2.gz DGDLFONNNNGYIN-VIFPVBQESA-N -1 1 304.393 1.107 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccns2)[C@@]12CCCO2 ZINC000885104040 708473763 /nfs/dbraw/zinc/47/37/63/708473763.db2.gz KWAOPCMDWWJQBU-UMNHJUIQSA-N -1 1 318.420 1.148 20 0 DDADMM NC(=O)C12CCC(CC1)N2C(=O)c1ncc2ccccc2c1[O-] ZINC000885277899 708509227 /nfs/dbraw/zinc/50/92/27/708509227.db2.gz JUUHZAROKBPWJS-UHFFFAOYSA-N -1 1 311.341 1.563 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)c2ccns2)CC[C@@H](C)CC1 ZINC000885295532 708512991 /nfs/dbraw/zinc/51/29/91/708512991.db2.gz NIPOONHWZMJRFN-OTCDBFHCSA-N -1 1 318.420 1.543 20 0 DDADMM CC[C@@H](C)NC(=O)CC[N-]S(=O)(=O)c1cc(C)ns1 ZINC000885378817 708530167 /nfs/dbraw/zinc/53/01/67/708530167.db2.gz KCMJLXZHFVJICD-MRVPVSSYSA-N -1 1 305.425 1.035 20 0 DDADMM Cc1nn(C)c(C)c1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886263447 708731457 /nfs/dbraw/zinc/73/14/57/708731457.db2.gz WTDSDEVOCJTUHO-UHFFFAOYSA-N -1 1 309.316 1.993 20 0 DDADMM CC(C)S(=O)(=O)CC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264889 708731959 /nfs/dbraw/zinc/73/19/59/708731959.db2.gz PESBGIMOQHUYNI-UHFFFAOYSA-N -1 1 321.345 1.152 20 0 DDADMM CC(C)n1cc(Br)c(C(=O)N(C)c2nn[n-]n2)n1 ZINC000912617053 713052622 /nfs/dbraw/zinc/05/26/22/713052622.db2.gz CECTYERRTNJQIF-UHFFFAOYSA-N -1 1 314.147 1.016 20 0 DDADMM CC1(C)CNC(=O)[C@H]1NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000912645968 713060126 /nfs/dbraw/zinc/06/01/26/713060126.db2.gz ZVZOVBSEDZZSSH-CYBMUJFWSA-N -1 1 317.320 1.334 20 0 DDADMM CN1C(=O)CN=C1[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000898775693 708863182 /nfs/dbraw/zinc/86/31/82/708863182.db2.gz DQBLXEJBVKZEIN-UHFFFAOYSA-N -1 1 303.215 1.001 20 0 DDADMM O=C(NC1([C@@H]2CCCCO2)CC1)c1cnc(C2CC2)[n-]c1=O ZINC000887083649 708950741 /nfs/dbraw/zinc/95/07/41/708950741.db2.gz FZTTZLWPUYWKJM-LBPRGKRZSA-N -1 1 303.362 1.891 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])N1C(=O)[C@H](N)Cc1cc2ccccc2o1 ZINC000887398091 709036205 /nfs/dbraw/zinc/03/62/05/709036205.db2.gz OXNQLWBNTNILGK-LERXQTSPSA-N -1 1 316.357 1.767 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])N1C(=O)[C@@H](N)Cc1cc2ccccc2o1 ZINC000887398090 709036217 /nfs/dbraw/zinc/03/62/17/709036217.db2.gz OXNQLWBNTNILGK-DDTOSNHZSA-N -1 1 316.357 1.767 20 0 DDADMM C[C@@H]1C[C@]2(CC[C@@H](CNC(=O)C(=O)c3ccc([O-])cc3)O2)CO1 ZINC000899475160 709091860 /nfs/dbraw/zinc/09/18/60/709091860.db2.gz BMZIVUZHUJCYEC-HYSWKAIVSA-N -1 1 319.357 1.418 20 0 DDADMM COC[C@@H](C)NC(=S)NCCc1c(F)cc([O-])cc1F ZINC000899610049 709125640 /nfs/dbraw/zinc/12/56/40/709125640.db2.gz SASKTORQIUFJND-MRVPVSSYSA-N -1 1 304.362 1.712 20 0 DDADMM O=C(N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1c([O-])cnc2c(F)cccc21 ZINC000888836922 709388164 /nfs/dbraw/zinc/38/81/64/709388164.db2.gz OPPWOKNPJDNART-PNORDSJBSA-N -1 1 314.316 1.985 20 0 DDADMM CO[C@@H]1CCOC[C@H]1NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000889184653 709459415 /nfs/dbraw/zinc/45/94/15/709459415.db2.gz BGDFGVBOUHLISB-DGCLKSJQSA-N -1 1 320.320 1.613 20 0 DDADMM CCO[C@@H]1C[C@@](NC(=O)CN(CC)C2CC2)(C(=O)[O-])C1(C)C ZINC000909605384 709538391 /nfs/dbraw/zinc/53/83/91/709538391.db2.gz XGIOKXBBHFKJJP-MLGOLLRUSA-N -1 1 312.410 1.245 20 0 DDADMM CCOC1CC(CC(=O)N[C@@H](CCSC)c2nn[n-]n2)C1 ZINC000912862834 713110987 /nfs/dbraw/zinc/11/09/87/713110987.db2.gz WWSLDRQBTBEKRM-ILDUYXDCSA-N -1 1 313.427 1.315 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(C(=O)[C@H]2CCc3nc[nH]c3C2)C1 ZINC000909698868 709584823 /nfs/dbraw/zinc/58/48/23/709584823.db2.gz NCOCKKQMJGQPTD-ZBEGNZNMSA-N -1 1 305.378 1.474 20 0 DDADMM CC(C)(C)CC[C@@H](NC(=O)c1ccc2c(n1)CNCC2)C(=O)[O-] ZINC000900458801 709604628 /nfs/dbraw/zinc/60/46/28/709604628.db2.gz VWYPSNHYXMSVAH-CYBMUJFWSA-N -1 1 319.405 1.737 20 0 DDADMM Cc1cc([C@@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C(=O)[O-])ccc1F ZINC000909799323 709627288 /nfs/dbraw/zinc/62/72/88/709627288.db2.gz XTPZZOUJGLEVEJ-JTNHKYCSSA-N -1 1 317.320 1.903 20 0 DDADMM CCO[C@H](C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1)C1CC1 ZINC000889785004 709634736 /nfs/dbraw/zinc/63/47/36/709634736.db2.gz BNAFQSRGUMYPHD-AAEUAGOBSA-N -1 1 321.377 1.669 20 0 DDADMM C[C@@H]1C(=O)NCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900526002 709636852 /nfs/dbraw/zinc/63/68/52/709636852.db2.gz RFZBVWQBISDXAU-MRVPVSSYSA-N -1 1 303.293 1.040 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CCOCC2CC2)[n-]c1=O ZINC000889792129 709638122 /nfs/dbraw/zinc/63/81/22/709638122.db2.gz SULUNIVYYIFOPO-LBPRGKRZSA-N -1 1 321.377 1.671 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccc(F)nc2)[n-]c1=O ZINC000889791634 709638147 /nfs/dbraw/zinc/63/81/47/709638147.db2.gz JYHWSAUVYAAPFO-JTQLQIEISA-N -1 1 318.308 1.702 20 0 DDADMM C[C@@H](NC(=O)[C@H]1CCCN1C)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909822554 709640528 /nfs/dbraw/zinc/64/05/28/709640528.db2.gz MTKBJUNTSZKBJO-BPLDGKMQSA-N -1 1 304.390 1.529 20 0 DDADMM O=C([O-])c1cccc2c1CN(C(=O)[C@@H]1C[C@H]1c1cnc[nH]1)CC2 ZINC000909865082 709659332 /nfs/dbraw/zinc/65/93/32/709659332.db2.gz LKTKSLAHEAFDQE-CHWSQXEVSA-N -1 1 311.341 1.796 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)[C@H]2CCc3nc[nH]c3C2)C[C@H]1C1CC1 ZINC000909900048 709678363 /nfs/dbraw/zinc/67/83/63/709678363.db2.gz DSLLRLCSLBGJCL-SDDRHHMPSA-N -1 1 303.362 1.084 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)C1 ZINC000909903471 709680278 /nfs/dbraw/zinc/68/02/78/709680278.db2.gz PXARLQRMLQKHGG-NZPIUUIZSA-N -1 1 317.389 1.645 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)[C@H]2CCc3nc[nH]c3C2)CC12CCC2 ZINC000909936105 709694536 /nfs/dbraw/zinc/69/45/36/709694536.db2.gz QVTKPFZYMQXYQV-QFYYESIMSA-N -1 1 321.352 1.320 20 0 DDADMM Cn1nc(C2CC2)nc1CC(=O)Nc1cc([O-])c(F)cc1F ZINC000909987822 709722345 /nfs/dbraw/zinc/72/23/45/709722345.db2.gz KOSIDXYEYYKNRW-UHFFFAOYSA-N -1 1 308.288 1.858 20 0 DDADMM CN(CC(=O)N1Cc2ccc(C(=O)[O-])cc2C1)[C@@H]1CCSC1 ZINC000910040059 709746080 /nfs/dbraw/zinc/74/60/80/709746080.db2.gz NRXZUFMYSMNUJT-CQSZACIVSA-N -1 1 320.414 1.664 20 0 DDADMM O=C(COC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)[N-]C(=O)c1ccccc1 ZINC000910071241 709763435 /nfs/dbraw/zinc/76/34/35/709763435.db2.gz VQQPMDYIJQSESA-XLHUXQDVSA-N -1 1 313.353 1.778 20 0 DDADMM CC(C)N1CCC[C@@H]1C(=O)N[C@H](C)c1ncc(C(=O)[O-])s1 ZINC000910150037 709792408 /nfs/dbraw/zinc/79/24/08/709792408.db2.gz LTDWMZLUWSBEDE-NXEZZACHSA-N -1 1 311.407 1.891 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2CCSC[C@H](C(=O)[O-])C2)[nH]1 ZINC000910149956 709792460 /nfs/dbraw/zinc/79/24/60/709792460.db2.gz OOQVPFHXUOLBQG-SNVBAGLBSA-N -1 1 319.386 1.761 20 0 DDADMM C[C@H](NC(=O)CN1[C@@H](C)CC[C@@H]1C)c1ncc(C(=O)[O-])s1 ZINC000910160381 709795298 /nfs/dbraw/zinc/79/52/98/709795298.db2.gz NCUIIRPEZFXHCV-GUBZILKMSA-N -1 1 311.407 1.891 20 0 DDADMM CC(C)(C)c1n[nH]cc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910177332 709799386 /nfs/dbraw/zinc/79/93/86/709799386.db2.gz KVJSKTDOLSLWLH-UHFFFAOYSA-N -1 1 322.409 1.328 20 0 DDADMM O=C([O-])c1cnc(C2CCN(C(=O)Cc3c[nH]cn3)CC2)s1 ZINC000910210076 709816415 /nfs/dbraw/zinc/81/64/15/709816415.db2.gz SCVKGHVSKOZPNF-UHFFFAOYSA-N -1 1 320.374 1.513 20 0 DDADMM C[C@@]1(C2CCN(C(=O)c3ccc([O-])cc3F)CC2)COC(=O)N1 ZINC000928164771 713143006 /nfs/dbraw/zinc/14/30/06/713143006.db2.gz SUNAVVBLFHSWEH-INIZCTEOSA-N -1 1 322.336 1.882 20 0 DDADMM CCC(CC)[C@@H](CNc1ncc(C(=O)[O-])cn1)N1CCOCC1 ZINC000910340354 709901366 /nfs/dbraw/zinc/90/13/66/709901366.db2.gz SOUKCRRTSGLNKS-CQSZACIVSA-N -1 1 322.409 1.724 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCC[C@@H]2CCCC2(F)F)C1 ZINC000910408654 709927208 /nfs/dbraw/zinc/92/72/08/709927208.db2.gz SUKIQHWRPAGPPR-NEPJUHHUSA-N -1 1 318.364 1.725 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCOC[C@@H]1CC1CCOCC1 ZINC000890804842 709995415 /nfs/dbraw/zinc/99/54/15/709995415.db2.gz PMCZHLSHJMQYQJ-AWEZNQCLSA-N -1 1 306.362 1.445 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)C[C@@]1(F)C(=O)[O-] ZINC000910559882 709999910 /nfs/dbraw/zinc/99/99/10/709999910.db2.gz PREYLUVHVOLTHH-OTYXRUKQSA-N -1 1 306.297 1.233 20 0 DDADMM O=C([O-])[C@@H](C[C@H]1CCCO1)NC(=O)c1ccc(O)c(Cl)c1 ZINC000910588940 710012733 /nfs/dbraw/zinc/01/27/33/710012733.db2.gz ANCJUUXDDXGXTP-MWLCHTKSSA-N -1 1 313.737 1.798 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000901453711 710044669 /nfs/dbraw/zinc/04/46/69/710044669.db2.gz OSFSALSBORZXOU-INIZCTEOSA-N -1 1 318.417 1.874 20 0 DDADMM CC(C)N(C)CC(=O)Nc1nc2c(s1)CC[C@@H](C(=O)[O-])C2 ZINC000910678770 710045057 /nfs/dbraw/zinc/04/50/57/710045057.db2.gz YCIJDNICGXBTDO-SECBINFHSA-N -1 1 311.407 1.611 20 0 DDADMM COC[C@@H](NCc1cc(OC)ccc1Br)C(=O)[O-] ZINC000901479706 710051490 /nfs/dbraw/zinc/05/14/90/710051490.db2.gz ZCULENZZMZMWMF-LLVKDONJSA-N -1 1 318.167 1.647 20 0 DDADMM O=C([O-])C[C@@]1(NCc2cnn(-c3ccccc3)n2)CCCOC1 ZINC000901528393 710066240 /nfs/dbraw/zinc/06/62/40/710066240.db2.gz XCJKYHKNWDAVTC-INIZCTEOSA-N -1 1 316.361 1.381 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NCC(C)(C)CC(=O)[O-] ZINC000910826726 710088413 /nfs/dbraw/zinc/08/84/13/710088413.db2.gz HDIJQAKCDFUAFK-UHFFFAOYSA-N -1 1 307.272 1.968 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@@H](OC)C(=O)[O-])CCN1Cc1ccccc1 ZINC000901617871 710097287 /nfs/dbraw/zinc/09/72/87/710097287.db2.gz PYJSJOJMFRGBNB-HUUCEWRRSA-N -1 1 320.389 1.209 20 0 DDADMM O=C([N-][C@@H](CO)[C@@H](O)C1CCCCC1)C(F)(F)C(F)F ZINC000913052880 713156042 /nfs/dbraw/zinc/15/60/42/713156042.db2.gz LMPNFZZKXADFDR-IUCAKERBSA-N -1 1 301.280 1.305 20 0 DDADMM O=C([O-])CCN(Cc1cn2cccc(F)c2n1)C[C@@H]1CCCO1 ZINC000901676443 710116160 /nfs/dbraw/zinc/11/61/60/710116160.db2.gz DOOIBOIRMPFEGH-ZDUSSCGKSA-N -1 1 321.352 1.929 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H](Cc1ccc(F)cc1)C(=O)[O-] ZINC000910923913 710120011 /nfs/dbraw/zinc/12/00/11/710120011.db2.gz AOMYVVBGWOIRGT-HZMBPMFUSA-N -1 1 319.336 1.540 20 0 DDADMM COC(=O)[C@@H]1O[C@@H](C(=O)Nc2cc(F)cc(F)c2[O-])C[C@H]1C ZINC000910989607 710143161 /nfs/dbraw/zinc/14/31/61/710143161.db2.gz BNZCQNRYWFQPJR-KXHDPYLZSA-N -1 1 315.272 1.576 20 0 DDADMM COCC1(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)CCOCC1 ZINC000891613827 710248799 /nfs/dbraw/zinc/24/87/99/710248799.db2.gz ISMVYZPWSCQJGQ-UHFFFAOYSA-N -1 1 321.377 1.443 20 0 DDADMM CCO[C@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)[C@@H]1CCOC1 ZINC000891615681 710249539 /nfs/dbraw/zinc/24/95/39/710249539.db2.gz SAQDNDAZVKHDJI-MFKMUULPSA-N -1 1 321.377 1.441 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1cccc2nccn21 ZINC000891623667 710251210 /nfs/dbraw/zinc/25/12/10/710251210.db2.gz XNMUITOVABCPDV-UHFFFAOYSA-N -1 1 309.329 1.961 20 0 DDADMM CN(C)c1ccc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cn1 ZINC000891627519 710252022 /nfs/dbraw/zinc/25/20/22/710252022.db2.gz WTPZOZIILRFDLE-UHFFFAOYSA-N -1 1 313.361 1.774 20 0 DDADMM CN(C(=O)c1ccc2ccc(O)cc2c1[O-])C1CS(=O)(=O)C1 ZINC000913134801 713168866 /nfs/dbraw/zinc/16/88/66/713168866.db2.gz XTAATYWEFDDIHC-UHFFFAOYSA-N -1 1 321.354 1.120 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)Nc1cc(C(=O)[O-])ccn1 ZINC000902106003 710616704 /nfs/dbraw/zinc/61/67/04/710616704.db2.gz QXVDTQFNOKQWLR-UHFFFAOYSA-N -1 1 307.350 1.077 20 0 DDADMM COc1cccc(C2(NCc3cn(C)nc3C(=O)[O-])CC2)c1 ZINC000902308292 710709210 /nfs/dbraw/zinc/70/92/10/710709210.db2.gz CFVMCVOLADDZDM-UHFFFAOYSA-N -1 1 301.346 1.906 20 0 DDADMM Cc1ncc(C(=O)[O-])cc1C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000911384880 710772069 /nfs/dbraw/zinc/77/20/69/710772069.db2.gz IYQZDKUPAKODCM-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM O=C([O-])C1(C(=O)N[C@H]2CCCc3cn[nH]c32)CC2(CCC2)C1 ZINC000911385282 710772998 /nfs/dbraw/zinc/77/29/98/710772998.db2.gz QMQKTFUYXZZQCT-NSHDSACASA-N -1 1 303.362 1.938 20 0 DDADMM COCC[C@@H](NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccco1 ZINC000911500363 710825258 /nfs/dbraw/zinc/82/52/58/710825258.db2.gz NXTUEGWFERSNSF-CHWSQXEVSA-N -1 1 324.377 1.270 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])c1ccc(Cl)cc1 ZINC000911544354 710845201 /nfs/dbraw/zinc/84/52/01/710845201.db2.gz JFWGXUWUJGERPR-AGIUHOORSA-N -1 1 310.781 1.780 20 0 DDADMM CCO[C@H](C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C(C)C ZINC000913436246 713214799 /nfs/dbraw/zinc/21/47/99/713214799.db2.gz ARYZGEFLTWFWGD-OLZOCXBDSA-N -1 1 303.366 1.466 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)N[C@H]1CCC[N@H+]2CCSC[C@H]12 ZINC000902819800 710916250 /nfs/dbraw/zinc/91/62/50/710916250.db2.gz XDOVNIZGPHBSFK-FIXISWKDSA-N -1 1 312.435 1.183 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000902819800 710916256 /nfs/dbraw/zinc/91/62/56/710916256.db2.gz XDOVNIZGPHBSFK-FIXISWKDSA-N -1 1 312.435 1.183 20 0 DDADMM O=C(N[C@@H](c1nnn[n-]1)c1ccccc1)[C@H]1CCCN1C1CC1 ZINC000913439147 713216534 /nfs/dbraw/zinc/21/65/34/713216534.db2.gz ZQBNOWQIMFCCCQ-ZIAGYGMSSA-N -1 1 312.377 1.032 20 0 DDADMM COCc1nc(N2CCC[C@@H](N3CCCC3=O)CC2)cc(=O)[n-]1 ZINC000894215184 711020095 /nfs/dbraw/zinc/02/00/95/711020095.db2.gz QHXCAYNBXISGSO-GFCCVEGCSA-N -1 1 320.393 1.310 20 0 DDADMM C[C@@H](NCc1ncc(Br)cc1[O-])C(=O)NCCF ZINC000894255984 711038982 /nfs/dbraw/zinc/03/89/82/711038982.db2.gz ATUKOCSOWJIJIR-SSDOTTSWSA-N -1 1 320.162 1.114 20 0 DDADMM O=C(N[C@H]([C@@H]1CCCO1)C1(CO)CCC1)c1cc(F)ccc1[O-] ZINC000912208890 711222052 /nfs/dbraw/zinc/22/20/52/711222052.db2.gz LZAWPDNSLDPHET-LSDHHAIUSA-N -1 1 323.364 1.971 20 0 DDADMM O=C(c1sccc1C(F)F)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494317 713237403 /nfs/dbraw/zinc/23/74/03/713237403.db2.gz WTLWTTGSPZBAFO-SSDOTTSWSA-N -1 1 315.305 1.413 20 0 DDADMM C[C@@H]1COCC[N@@H+](C[C@@H](O)COc2ccc(C(=O)[O-])cc2)C1 ZINC000903633208 711231032 /nfs/dbraw/zinc/23/10/32/711231032.db2.gz PURYFEGMKRKKPE-GXTWGEPZSA-N -1 1 309.362 1.093 20 0 DDADMM O=C([C@H]1CCCc2sccc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495019 713237638 /nfs/dbraw/zinc/23/76/38/713237638.db2.gz GAIXAYLVDZWHIG-QWRGUYRKSA-N -1 1 319.390 1.281 20 0 DDADMM Cc1cc([N-]S(=O)(=O)N=S2(=O)CCCC2)cc(Cl)n1 ZINC000903667938 711240525 /nfs/dbraw/zinc/24/05/25/711240525.db2.gz HPTVVZLIDHBAEP-UHFFFAOYSA-N -1 1 323.827 1.962 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2CC2(Cl)Cl)cc(=O)[n-]1 ZINC000912246104 711242973 /nfs/dbraw/zinc/24/29/73/711242973.db2.gz COBLOIAFIVFFCR-ZCFIWIBFSA-N -1 1 308.190 1.714 20 0 DDADMM O=C([C@@H]1CC[C@@H](C(F)(F)F)C1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913498989 713239621 /nfs/dbraw/zinc/23/96/21/713239621.db2.gz SUUJAJLQFNVNEX-IWSPIJDZSA-N -1 1 319.287 1.078 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CCC(O)(CO)CC1 ZINC000912456321 711335630 /nfs/dbraw/zinc/33/56/30/711335630.db2.gz GPKGJCXVUYUXNM-UHFFFAOYSA-N -1 1 320.320 1.039 20 0 DDADMM O=C([O-])[C@H]1c2ccccc2OCCN1CCOC[C@H]1CCCO1 ZINC000903931268 711338727 /nfs/dbraw/zinc/33/87/27/711338727.db2.gz QJRUUDYDCNGJNK-CZUORRHYSA-N -1 1 321.373 1.702 20 0 DDADMM C[C@H](N[C@@H]1C[C@H](NC(=O)[O-])C12CCC2)c1nnc2ccccn21 ZINC000904203183 711408603 /nfs/dbraw/zinc/40/86/03/711408603.db2.gz GDMDKRIGITZBJS-TUAOUCFPSA-N -1 1 315.377 1.959 20 0 DDADMM COCc1nc(NCc2cc(C(=O)OC)c(C)n2C)cc(=O)[n-]1 ZINC000895153693 711434438 /nfs/dbraw/zinc/43/44/38/711434438.db2.gz KMAJPDLJURZKGL-UHFFFAOYSA-N -1 1 320.349 1.374 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)Cc2c[nH]nc21 ZINC000913586443 713267511 /nfs/dbraw/zinc/26/75/11/713267511.db2.gz YAFRAKYRVLQIFY-MRVPVSSYSA-N -1 1 314.374 1.501 20 0 DDADMM O=C(N[C@H]1[C@@H]2OC[C@H]3C[C@H]1C[C@H]23)c1cnc(C2CC2)[n-]c1=O ZINC000913652434 713275711 /nfs/dbraw/zinc/27/57/11/713275711.db2.gz CXGBSAKPEAJTCB-BSFRTMCYSA-N -1 1 301.346 1.213 20 0 DDADMM CNC(=O)[C@@H]1CCCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896427425 711724446 /nfs/dbraw/zinc/72/44/46/711724446.db2.gz ALIKSSNHQPSINA-AWEZNQCLSA-N -1 1 314.341 1.602 20 0 DDADMM CCn1ncc(C=Cc2cc(=O)[n-]c(-n3nc(C)cc3C)n2)n1 ZINC000905079681 711929687 /nfs/dbraw/zinc/92/96/87/711929687.db2.gz DUINDRQUWSYGDD-AATRIKPKSA-N -1 1 311.349 1.767 20 0 DDADMM CNc1snc(C)c1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742619 713291940 /nfs/dbraw/zinc/29/19/40/713291940.db2.gz MAOHMZMYCUZXIW-UHFFFAOYSA-N -1 1 307.383 1.026 20 0 DDADMM CCOc1cccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913743025 713292062 /nfs/dbraw/zinc/29/20/62/713292062.db2.gz BERVXJQVORXTDA-UHFFFAOYSA-N -1 1 301.350 1.618 20 0 DDADMM O=C(CCSc1ccccn1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743636 713292325 /nfs/dbraw/zinc/29/23/25/713292325.db2.gz DZJFBCSHKKUZGZ-UHFFFAOYSA-N -1 1 318.406 1.483 20 0 DDADMM COc1ccc(-c2n[n-]c(S(=O)(=O)[C@H]3CCOC3)n2)cc1 ZINC000905252542 711980991 /nfs/dbraw/zinc/98/09/91/711980991.db2.gz KTSDBNIQVBVVHY-NSHDSACASA-N -1 1 309.347 1.043 20 0 DDADMM COc1ccc(-c2nc(S(=O)(=O)[C@H]3CCOC3)n[n-]2)cc1 ZINC000905252542 711980995 /nfs/dbraw/zinc/98/09/95/711980995.db2.gz KTSDBNIQVBVVHY-NSHDSACASA-N -1 1 309.347 1.043 20 0 DDADMM CC(C)Cn1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)cn1 ZINC000913744674 713293430 /nfs/dbraw/zinc/29/34/30/713293430.db2.gz ZFAHDPWCQFCSQT-UHFFFAOYSA-N -1 1 303.370 1.072 20 0 DDADMM Cn1nc(C(=O)N2CCC(c3nn[n-]n3)CC2)c2ccccc21 ZINC000913745380 713293606 /nfs/dbraw/zinc/29/36/06/713293606.db2.gz BCQJTWYACVVKJK-UHFFFAOYSA-N -1 1 311.349 1.106 20 0 DDADMM O=C([C@H]1CCc2cccc(O)c21)N1CCC(c2nn[n-]n2)CC1 ZINC000913745961 713293873 /nfs/dbraw/zinc/29/38/73/713293873.db2.gz IIYRLBZNISFULL-LBPRGKRZSA-N -1 1 313.361 1.341 20 0 DDADMM C[C@@]1(C(=O)NCc2ccc([O-])c(Cl)c2)CCCS1(=O)=O ZINC000913801084 713302773 /nfs/dbraw/zinc/30/27/73/713302773.db2.gz IDVCKKNGRBQQFK-ZDUSSCGKSA-N -1 1 317.794 1.629 20 0 DDADMM CN(C)c1ncc(CN[C@H]2CCCn3nc(C(=O)[O-])cc32)n1C ZINC000905793656 712147878 /nfs/dbraw/zinc/14/78/78/712147878.db2.gz HFRMAUIZUCDREJ-NSHDSACASA-N -1 1 318.381 1.006 20 0 DDADMM COc1c(F)nccc1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000905946382 712196597 /nfs/dbraw/zinc/19/65/97/712196597.db2.gz BEYDJUYUYOAXIU-UHFFFAOYSA-N -1 1 318.308 1.856 20 0 DDADMM O=C(N1CCO[C@H](c2nn[n-]n2)C1)C1(C2CCCCC2)CC1 ZINC000906616246 712371000 /nfs/dbraw/zinc/37/10/00/712371000.db2.gz JKRJZYWQTHFNEP-LBPRGKRZSA-N -1 1 305.382 1.460 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC(OC(C)C)C2)c1 ZINC000907378268 712555618 /nfs/dbraw/zinc/55/56/18/712555618.db2.gz DOTHQUPLYKCINO-UHFFFAOYSA-N -1 1 301.364 1.199 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)s1 ZINC000907470420 712580053 /nfs/dbraw/zinc/58/00/53/712580053.db2.gz ABVPLCDEPPKGIZ-SECBINFHSA-N -1 1 309.420 1.808 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC1(C2(O)CCC2)CC1 ZINC000907474156 712581408 /nfs/dbraw/zinc/58/14/08/712581408.db2.gz NWQYBZOLKQDMSW-UHFFFAOYSA-N -1 1 324.468 1.024 20 0 DDADMM Cc1nc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c(C)s1 ZINC000907475048 712581886 /nfs/dbraw/zinc/58/18/86/712581886.db2.gz NFKJPTRKMUZLSF-MRVPVSSYSA-N -1 1 310.408 1.203 20 0 DDADMM O=C(c1cc2ccsc2[nH]1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907474901 712581916 /nfs/dbraw/zinc/58/19/16/712581916.db2.gz HTGSBESOTKWRQT-VIFPVBQESA-N -1 1 320.403 1.673 20 0 DDADMM C[Si](C)(C)CCCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481416 712584040 /nfs/dbraw/zinc/58/40/40/712584040.db2.gz WENHDVCISWYILP-SNVBAGLBSA-N -1 1 313.503 1.935 20 0 DDADMM CCN1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C(C)(C)C1=O ZINC000907536249 712591289 /nfs/dbraw/zinc/59/12/89/712591289.db2.gz WVAZLWQRBALDTE-UHFFFAOYSA-N -1 1 318.377 1.143 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CC(O)C2)c1c(F)cccc1Cl ZINC000907787182 712626585 /nfs/dbraw/zinc/62/65/85/712626585.db2.gz OMOITUGRGQJSMA-HLIOBJQSSA-N -1 1 305.758 1.671 20 0 DDADMM O=C([O-])[C@]1(C(=O)N2CCc3nc[nH]c3C2)C[C@H]1c1ccccc1 ZINC000907818567 712630629 /nfs/dbraw/zinc/63/06/29/712630629.db2.gz KXHWSRMFYIEHOL-YVEFUNNKSA-N -1 1 311.341 1.553 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)CCF)n[n-]1 ZINC000907828586 712632181 /nfs/dbraw/zinc/63/21/81/712632181.db2.gz DCYFAZKBLGGVDB-VIFPVBQESA-N -1 1 300.334 1.544 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)CCF)[n-]1 ZINC000907828586 712632182 /nfs/dbraw/zinc/63/21/82/712632182.db2.gz DCYFAZKBLGGVDB-VIFPVBQESA-N -1 1 300.334 1.544 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)CCF)n1 ZINC000907828586 712632183 /nfs/dbraw/zinc/63/21/83/712632183.db2.gz DCYFAZKBLGGVDB-VIFPVBQESA-N -1 1 300.334 1.544 20 0 DDADMM CCOC(=O)c1n[n-]c(-c2cccc(CNC(=O)CCF)c2)n1 ZINC000907834778 712633063 /nfs/dbraw/zinc/63/30/63/712633063.db2.gz ANANYFWCYPLXRC-UHFFFAOYSA-N -1 1 320.324 1.624 20 0 DDADMM COC(=O)C[C@H]([N-]S(=O)(=O)c1cc(C)ns1)C(C)(C)C ZINC000907840058 712634002 /nfs/dbraw/zinc/63/40/02/712634002.db2.gz YTMCXXYYASJTJW-VIFPVBQESA-N -1 1 320.436 1.708 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2C[C@H](NC(=O)c3cc(F)cc4nc[nH]c43)[C@@H]2C1 ZINC000907940167 712652045 /nfs/dbraw/zinc/65/20/45/712652045.db2.gz OBOQLYSUWMYYCQ-HVSQXUEJSA-N -1 1 317.320 1.931 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C[C@@H]1C ZINC000907941879 712652182 /nfs/dbraw/zinc/65/21/82/712652182.db2.gz SBDOCSCPUSLYPQ-GRYCIOLGSA-N -1 1 307.398 1.082 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]Cc2nc(C(C)(C)C)co2)no1 ZINC000907946025 712652995 /nfs/dbraw/zinc/65/29/95/712652995.db2.gz HDUPKSQEETVWTE-UHFFFAOYSA-N -1 1 313.379 1.888 20 0 DDADMM CN(C)c1cccnc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000907957408 712655122 /nfs/dbraw/zinc/65/51/22/712655122.db2.gz OJDQPSZXUMRZPJ-JTQLQIEISA-N -1 1 317.349 1.256 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N(C)c1cccc(CC(=O)[O-])c1 ZINC000908084877 712682443 /nfs/dbraw/zinc/68/24/43/712682443.db2.gz LIHATALRFAJIFC-LLVKDONJSA-N -1 1 301.346 1.878 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)N[C@@H]1CCOC12CCCC2 ZINC000908123297 712693511 /nfs/dbraw/zinc/69/35/11/712693511.db2.gz FDYOJBXRPNFYIW-CHWSQXEVSA-N -1 1 310.394 1.001 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@@H]1CCc2nc[nH]c2C1)CC1CCCC1 ZINC000908287205 712728635 /nfs/dbraw/zinc/72/86/35/712728635.db2.gz AWIMLDYZGLWSLS-OLZOCXBDSA-N -1 1 319.405 1.912 20 0 DDADMM O=C(NCCO[C@@H]1CCCCO1)c1cnc(C2CC2)[n-]c1=O ZINC000908311976 712737407 /nfs/dbraw/zinc/73/74/07/712737407.db2.gz WLQGVWAPMAXDGQ-GFCCVEGCSA-N -1 1 307.350 1.333 20 0 DDADMM COc1ccc([C@H](CC(=O)[O-])NC(=O)[C@@H]2CCCCN2C)cc1 ZINC000908592149 712816769 /nfs/dbraw/zinc/81/67/69/712816769.db2.gz BRFYABALBRXQTH-GJZGRUSLSA-N -1 1 320.389 1.812 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)[C@@H]1CCc2nc[nH]c2C1)c1cccs1 ZINC000908641283 712827334 /nfs/dbraw/zinc/82/73/34/712827334.db2.gz BKMSQXGXCHYWRB-BXKDBHETSA-N -1 1 319.386 1.908 20 0 DDADMM CC(C)(O)[C@@H](O)C(=O)Nc1cccc([O-])c1Br ZINC000908709185 712839149 /nfs/dbraw/zinc/83/91/49/712839149.db2.gz BFHPRRRCODOCOR-VIFPVBQESA-N -1 1 304.140 1.225 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CC2CC1(C(=O)[O-])C2)c1ccsc1 ZINC000908815851 712860728 /nfs/dbraw/zinc/86/07/28/712860728.db2.gz ZFBPUJADILRQCI-JYHUIVOISA-N -1 1 323.418 1.609 20 0 DDADMM CC(C)CN(CCC(=O)[O-])C(=O)c1cc(-c2ccn(C)c2)[nH]n1 ZINC000908855773 712870388 /nfs/dbraw/zinc/87/03/88/712870388.db2.gz TUXCQMFGKSMDLZ-UHFFFAOYSA-N -1 1 318.377 1.988 20 0 DDADMM Cc1cccc2c1C[C@@H](C(=O)[O-])N2C(=O)N[C@H]1CCCN(C)C1 ZINC000908868798 712874294 /nfs/dbraw/zinc/87/42/94/712874294.db2.gz AOMJIXLHPACTIU-WFASDCNBSA-N -1 1 317.389 1.615 20 0 DDADMM CCN(CC(=O)[O-])C(=O)[C@@H]1CCCCN1Cc1ccccc1 ZINC000908951470 712895030 /nfs/dbraw/zinc/89/50/30/712895030.db2.gz RYSWLNPHPRQJBL-HNNXBMFYSA-N -1 1 304.390 1.974 20 0 DDADMM CCC1CCC([N-]S(=O)(=O)CC2(F)CC2)(C(=O)OC)CC1 ZINC000914232836 713363166 /nfs/dbraw/zinc/36/31/66/713363166.db2.gz VQWBUXUVEFUOKH-UHFFFAOYSA-N -1 1 321.414 1.920 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1COC[C@@H]1C ZINC000928897699 713507382 /nfs/dbraw/zinc/50/73/82/713507382.db2.gz HZTWYOVYAYFOQH-TVQRCGJNSA-N -1 1 318.377 1.135 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N3CCOCC3)c2)o1 ZINC000929141528 713555154 /nfs/dbraw/zinc/55/51/54/713555154.db2.gz QWQMTQDDHMFSFT-UHFFFAOYSA-N -1 1 301.298 1.937 20 0 DDADMM COc1ncc(S(=O)(=O)[N-][C@@H]2C[C@H]2C(F)(F)F)s1 ZINC000918881264 713571082 /nfs/dbraw/zinc/57/10/82/713571082.db2.gz HTUDSWFFSUZBIZ-RFZPGFLSSA-N -1 1 302.299 1.381 20 0 DDADMM CC[C@H](CCO)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000919981654 713644237 /nfs/dbraw/zinc/64/42/37/713644237.db2.gz OHKMVCWRCITUTG-SECBINFHSA-N -1 1 302.321 1.673 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@]12C[C@H]1CCCC2 ZINC000920856691 713698332 /nfs/dbraw/zinc/69/83/32/713698332.db2.gz FMVAQHZPMHBUJU-NEPJUHHUSA-N -1 1 308.469 1.909 20 0 DDADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)CCC(F)(F)F)CCO2 ZINC000921314727 713730842 /nfs/dbraw/zinc/73/08/42/713730842.db2.gz DCXFUMQAWVUKJK-ZJUUUORDSA-N -1 1 317.329 1.544 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](c2ncccn2)C2CC2)sc1C ZINC000921417278 713763934 /nfs/dbraw/zinc/76/39/34/713763934.db2.gz BSJPRYMXPSWEGL-NSHDSACASA-N -1 1 324.431 1.980 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)C(C)(C)OC)c1 ZINC000921422599 713765943 /nfs/dbraw/zinc/76/59/43/713765943.db2.gz AYFYRWRKBLGXHW-MRVPVSSYSA-N -1 1 305.352 1.158 20 0 DDADMM Cc1cc(CC[N-]S(=O)(=O)c2cc(F)ccc2F)nn1C ZINC000921583474 713812987 /nfs/dbraw/zinc/81/29/87/713812987.db2.gz WXAJOFXHPXEETD-UHFFFAOYSA-N -1 1 315.345 1.528 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCC2(CCC2)O1)c1ccns1 ZINC000921667844 713836249 /nfs/dbraw/zinc/83/62/49/713836249.db2.gz AJCOGWNMWJHSJT-JTQLQIEISA-N -1 1 302.421 1.913 20 0 DDADMM CCN1CC[C@H]1CNC(=O)c1cc(=O)n(-c2ccc(C)cc2)[n-]1 ZINC000922157642 713977776 /nfs/dbraw/zinc/97/77/76/713977776.db2.gz CGSGSQNBJHURMZ-AWEZNQCLSA-N -1 1 314.389 1.298 20 0 DDADMM C[C@@H]1CSCCCN1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000931132318 714010961 /nfs/dbraw/zinc/01/09/61/714010961.db2.gz VXVCXSQNYQXJHN-SNVBAGLBSA-N -1 1 305.407 1.701 20 0 DDADMM CO[N-]C(=O)CNCc1cc2cnn(C(C)C)c2nc1Cl ZINC000922830754 714162021 /nfs/dbraw/zinc/16/20/21/714162021.db2.gz QTGAUCNPFYCTCQ-UHFFFAOYSA-N -1 1 311.773 1.433 20 0 DDADMM CC(C)N(CCO)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932045653 714245332 /nfs/dbraw/zinc/24/53/32/714245332.db2.gz WJBOOUMKOIREJC-UHFFFAOYSA-N -1 1 304.350 1.813 20 0 DDADMM CC(C)[C@@H](CO)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932051974 714247147 /nfs/dbraw/zinc/24/71/47/714247147.db2.gz MOKUSRRNULEPLP-GFCCVEGCSA-N -1 1 318.377 1.964 20 0 DDADMM O=C([O-])[C@@H]1CC[C@@H]1NC(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000923137058 714250645 /nfs/dbraw/zinc/25/06/45/714250645.db2.gz HRZTXCLZGWSDHT-ILXRZTDVSA-N -1 1 302.374 1.630 20 0 DDADMM O=C(Nc1nnn[n-]1)C1(CCCOCc2ccccc2)CC1 ZINC000933071272 714511821 /nfs/dbraw/zinc/51/18/21/714511821.db2.gz BMHIOPKXOUWWNQ-UHFFFAOYSA-N -1 1 301.350 1.915 20 0 DDADMM O=C(Nc1nn[n-]n1)C1(CCCOCc2ccccc2)CC1 ZINC000933071272 714511825 /nfs/dbraw/zinc/51/18/25/714511825.db2.gz BMHIOPKXOUWWNQ-UHFFFAOYSA-N -1 1 301.350 1.915 20 0 DDADMM COc1cnc([C@H]2CCCN2CCn2ccccc2=O)[n-]c1=O ZINC000934269910 714782108 /nfs/dbraw/zinc/78/21/08/714782108.db2.gz CJDAUZDBWRUESX-GFCCVEGCSA-N -1 1 316.361 1.190 20 0 DDADMM C[C@@H](CCO)NC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC000934819518 714910403 /nfs/dbraw/zinc/91/04/03/714910403.db2.gz FYQGHWJFJBZFCX-JTQLQIEISA-N -1 1 303.314 1.965 20 0 DDADMM O=C(COc1ccc(F)c(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000935037573 714961177 /nfs/dbraw/zinc/96/11/77/714961177.db2.gz WYWDEBUUYWVSRF-VIFPVBQESA-N -1 1 323.303 1.263 20 0 DDADMM O=C(c1ccc2scnc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000935037065 714961361 /nfs/dbraw/zinc/96/13/61/714961361.db2.gz MUODJGMJQJTWLR-SNVBAGLBSA-N -1 1 314.374 1.829 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(-c3ccc(C=O)o3)ccc2[O-])C[C@H]1O ZINC000935189138 714999249 /nfs/dbraw/zinc/99/92/49/714999249.db2.gz JRVKIOLCGRYNSY-ZUZCIYMTSA-N -1 1 315.325 1.918 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](O)C1CCCCCCC1)c1nn[n-]n1 ZINC000935365125 715037882 /nfs/dbraw/zinc/03/78/82/715037882.db2.gz CNGALQITHQCZEU-WCQYABFASA-N -1 1 309.414 1.483 20 0 DDADMM Cc1ccccc1[C@@H](C)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000935457754 715060439 /nfs/dbraw/zinc/06/04/39/715060439.db2.gz YTNRVOXLFSBGDA-DGCLKSJQSA-N -1 1 317.418 1.928 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956852359 715638743 /nfs/dbraw/zinc/63/87/43/715638743.db2.gz VLDSOMBPIPNNSL-BONVTDFDSA-N -1 1 309.341 1.256 20 0 DDADMM CC(C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)=C1CCC1 ZINC000937920579 715648434 /nfs/dbraw/zinc/64/84/34/715648434.db2.gz HAWHVRGLJPWHKY-ZDUSSCGKSA-N -1 1 315.373 1.618 20 0 DDADMM O=C(C1=CCCC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959959155 716370945 /nfs/dbraw/zinc/37/09/45/716370945.db2.gz YWPGFHNUOAILFG-LBPRGKRZSA-N -1 1 305.382 1.091 20 0 DDADMM O=C(CCC1CC1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132172 716642464 /nfs/dbraw/zinc/64/24/64/716642464.db2.gz PJXAXHKWPYRIEO-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC12CCC2 ZINC000940443630 716786487 /nfs/dbraw/zinc/78/64/87/716786487.db2.gz MRWVQIJYYICNDQ-NEPJUHHUSA-N -1 1 315.373 1.308 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942215793 717555401 /nfs/dbraw/zinc/55/54/01/717555401.db2.gz UAOJHICHDDEFAR-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(CC1CC1)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000943413496 718103479 /nfs/dbraw/zinc/10/34/79/718103479.db2.gz RLLMCVWNIMULBW-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000945187016 718417916 /nfs/dbraw/zinc/41/79/16/718417916.db2.gz PGGMKHNRNHWILC-NEPJUHHUSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1CCC1 ZINC000966347856 718522933 /nfs/dbraw/zinc/52/29/33/718522933.db2.gz KSFLVDSVBWIWEU-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(CC1CCC1)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000945993985 718637032 /nfs/dbraw/zinc/63/70/32/718637032.db2.gz IDUFDLNJRMJOGJ-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CC1(C)CN(C(=O)C2CC2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000967010755 718742729 /nfs/dbraw/zinc/74/27/29/718742729.db2.gz MYOYPXWTBBBMOT-ZDUSSCGKSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)[C@H]1CC1(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967890508 719074239 /nfs/dbraw/zinc/07/42/39/719074239.db2.gz IALAFNYAAZAAMR-GFCCVEGCSA-N -1 1 317.389 1.506 20 0 DDADMM CCCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](CC)C1 ZINC000948239176 719410571 /nfs/dbraw/zinc/41/05/71/719410571.db2.gz MPCGCAWJXBLOMQ-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000948242099 719412102 /nfs/dbraw/zinc/41/21/02/719412102.db2.gz KIEISWARFOMBJW-LGSVWZNTSA-N -1 1 303.362 1.330 20 0 DDADMM CC[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C(C)C ZINC000948391130 719479007 /nfs/dbraw/zinc/47/90/07/719479007.db2.gz ZITHGICECVTYOY-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM CCc1cnc(CN[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC000968478221 719608555 /nfs/dbraw/zinc/60/85/55/719608555.db2.gz JQMUHHXWFJJSQZ-LLVKDONJSA-N -1 1 316.361 1.342 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ccco2)C1)c1ncccc1[O-] ZINC000949778971 720332644 /nfs/dbraw/zinc/33/26/44/720332644.db2.gz LGKIXIRETYPOIZ-LLVKDONJSA-N -1 1 315.329 1.415 20 0 DDADMM CC(F)(F)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950538865 720674792 /nfs/dbraw/zinc/67/47/92/720674792.db2.gz BQPYXRXZEOUERE-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM CC(F)(F)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950538864 720674839 /nfs/dbraw/zinc/67/48/39/720674839.db2.gz BQPYXRXZEOUERE-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)c1cc[nH]c1 ZINC000950545953 720677799 /nfs/dbraw/zinc/67/77/99/720677799.db2.gz CPHLLVAZWPGKQV-LBPRGKRZSA-N -1 1 314.345 1.150 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@]2(CCN(C(=O)C3CC3)C2)C1 ZINC000953033565 721542329 /nfs/dbraw/zinc/54/23/29/721542329.db2.gz ZDNNHMHRDXQNEQ-QGZVFWFLSA-N -1 1 315.373 1.262 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2CCN(C(=O)C3CC3)C[C@@H]21 ZINC000953226932 721569084 /nfs/dbraw/zinc/56/90/84/721569084.db2.gz RGZGACODQTYIPM-YPMHNXCESA-N -1 1 315.373 1.260 20 0 DDADMM O=C(NCCNCc1nc2c(o1)CCCC2)c1ncccc1[O-] ZINC001126125460 738346861 /nfs/dbraw/zinc/34/68/61/738346861.db2.gz YHOPRICSJUVGMM-UHFFFAOYSA-N -1 1 316.361 1.174 20 0 DDADMM C[C@@H](O)C[C@@H](C)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692850882 738534656 /nfs/dbraw/zinc/53/46/56/738534656.db2.gz ZEFMHHDVIGVMRZ-HTQZYQBOSA-N -1 1 310.778 1.559 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001021119574 732953016 /nfs/dbraw/zinc/95/30/16/732953016.db2.gz OEUARRNBEYLWIC-FRRDWIJNSA-N -1 1 317.389 1.506 20 0 DDADMM CC1(C)OCC[C@@H]1C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000693135233 733100956 /nfs/dbraw/zinc/10/09/56/733100956.db2.gz PIRCESPVXSNQKQ-MRVPVSSYSA-N -1 1 322.789 1.968 20 0 DDADMM Cc1cnc(CN[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001021998769 733709207 /nfs/dbraw/zinc/70/92/07/733709207.db2.gz JCQWBMOEUJARQG-PHIMTYICSA-N -1 1 302.334 1.134 20 0 DDADMM Cc1cc(NC[C@@H](C)N(C)C(=O)c2ncccc2[O-])ncn1 ZINC001104536554 736203446 /nfs/dbraw/zinc/20/34/46/736203446.db2.gz PSOGROOIZSRFNV-LLVKDONJSA-N -1 1 301.350 1.458 20 0 DDADMM CC(C)[C@H](C)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104748460 736892926 /nfs/dbraw/zinc/89/29/26/736892926.db2.gz REEAQGHRNLIQDM-FRRDWIJNSA-N -1 1 323.441 1.377 20 0 DDADMM O=C(NCCNc1nc(C2CC2)ns1)c1ncccc1[O-] ZINC000092653236 739269128 /nfs/dbraw/zinc/26/91/28/739269128.db2.gz GRYYHBUUZGCUEK-UHFFFAOYSA-N -1 1 305.363 1.933 20 0 DDADMM CC(C)C(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059178311 740331037 /nfs/dbraw/zinc/33/10/37/740331037.db2.gz QDALHVGFTYLYPG-GFCCVEGCSA-N -1 1 305.378 1.412 20 0 DDADMM O=C(/C=C\C1CC1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088287052 741330327 /nfs/dbraw/zinc/33/03/27/741330327.db2.gz OFNJXAZWFAKWLB-MEJMFZKBSA-N -1 1 319.409 1.337 20 0 DDADMM COC(=O)c1nc2nc(NCC3(F)CCOCC3)ccc2[nH]1 ZINC001168129982 741537391 /nfs/dbraw/zinc/53/73/91/741537391.db2.gz QKQUGAXMMYKRTQ-UHFFFAOYSA-N -1 1 308.313 1.675 20 0 DDADMM Cc1oncc1CN1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042646653 741791706 /nfs/dbraw/zinc/79/17/06/741791706.db2.gz WFJZPGIYGZNTLK-UHFFFAOYSA-N -1 1 302.334 1.040 20 0 DDADMM C[C@H](CCCNc1cnc(F)cn1)NC(=O)c1ncccc1[O-] ZINC001114907313 751675461 /nfs/dbraw/zinc/67/54/61/751675461.db2.gz RJAKRQYBMHYVCU-SNVBAGLBSA-N -1 1 319.340 1.727 20 0 DDADMM Cc1nccc(NCCC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001114911341 751703339 /nfs/dbraw/zinc/70/33/39/751703339.db2.gz GIRRTCCGACNNLG-LLVKDONJSA-N -1 1 315.377 1.896 20 0 DDADMM CN1CC(C(=O)Nc2ccc(F)c(Br)c2[O-])C1 ZINC001142599364 742428528 /nfs/dbraw/zinc/42/85/28/742428528.db2.gz VCNSFEBWCVLISK-UHFFFAOYSA-N -1 1 303.131 1.794 20 0 DDADMM CN(C)c1nc(NC(=O)C2(F)CCOCC2)c(N=O)c(=O)[n-]1 ZINC001142605464 742432308 /nfs/dbraw/zinc/43/23/08/742432308.db2.gz CLZDZGBTBFWEPA-UHFFFAOYSA-N -1 1 313.289 1.103 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1cccn1C ZINC001076244204 742623272 /nfs/dbraw/zinc/62/32/72/742623272.db2.gz MFZJEKOXBGNAPX-NSHDSACASA-N -1 1 316.361 1.064 20 0 DDADMM Cc1noc([C@H](NC(=O)C(=O)c2ccc([O-])cc2)C(C)C)n1 ZINC001181823737 743354811 /nfs/dbraw/zinc/35/48/11/743354811.db2.gz OMCYBZMWIXQECO-GFCCVEGCSA-N -1 1 303.318 1.780 20 0 DDADMM CN(C)c1nc(NC(=O)[C@@H]2C[C@@H]2C(F)(F)F)c(N=O)c(=O)[n-]1 ZINC001182312673 743556772 /nfs/dbraw/zinc/55/67/72/743556772.db2.gz GWLXTCRMUJZJLA-UHNVWZDZSA-N -1 1 319.243 1.783 20 0 DDADMM O=C(c1cccc(F)c1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998434026 751840629 /nfs/dbraw/zinc/84/06/29/751840629.db2.gz QMRSGMHEQBBYDH-GFCCVEGCSA-N -1 1 319.340 1.044 20 0 DDADMM O=C(Nc1cccc(C(F)(F)F)c1[O-])C1CS(=O)(=O)C1 ZINC001182815796 743730694 /nfs/dbraw/zinc/73/06/94/743730694.db2.gz QAPAEXIHMBVCJD-UHFFFAOYSA-N -1 1 309.265 1.394 20 0 DDADMM CC(C)CC1(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001183162312 743795906 /nfs/dbraw/zinc/79/59/06/743795906.db2.gz OZLQDHQGBVOQRR-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM CCOC(=O)C(=O)Nc1cc(OC)cc(Br)c1[O-] ZINC001183251501 743809770 /nfs/dbraw/zinc/80/97/70/743809770.db2.gz OLEJUUXZIHKDJH-UHFFFAOYSA-N -1 1 318.123 1.665 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccc(CSc2nc[nH]n2)cc1 ZINC001183563817 743857629 /nfs/dbraw/zinc/85/76/29/743857629.db2.gz ZHEUMFVMMHQRER-UHFFFAOYSA-N -1 1 316.346 1.778 20 0 DDADMM CN(C)c1nc(NC(=O)[C@@H]2C[C@@]23CCCOC3)c(N=O)c(=O)[n-]1 ZINC001183700377 743889802 /nfs/dbraw/zinc/88/98/02/743889802.db2.gz BMZAHFFZQNEQEX-RMLUDKJBSA-N -1 1 321.337 1.401 20 0 DDADMM C[C@@H](C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O)c1ccc(O)cc1 ZINC001184279964 744014741 /nfs/dbraw/zinc/01/47/41/744014741.db2.gz RVEPFOINHJQKKJ-ZCFIWIBFSA-N -1 1 304.262 1.734 20 0 DDADMM O=C(NC1CN(CCC2CCCCC2)C1)c1cnc[nH]c1=O ZINC001030497893 744206223 /nfs/dbraw/zinc/20/62/23/744206223.db2.gz YUUYSKVAEISCFL-UHFFFAOYSA-N -1 1 304.394 1.567 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cc(CC(=O)OC)ccc1F ZINC001187291715 744535390 /nfs/dbraw/zinc/53/53/90/744535390.db2.gz CPHGYIFMLWDNCB-UHFFFAOYSA-N -1 1 319.354 1.319 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cccnc1-c1ccccn1 ZINC001187257024 744537984 /nfs/dbraw/zinc/53/79/84/744537984.db2.gz PQKGRONUZHZJJP-UHFFFAOYSA-N -1 1 307.375 1.922 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc3[nH]ccc3n2)n1 ZINC001187414229 744559447 /nfs/dbraw/zinc/55/94/47/744559447.db2.gz LCMONNCEGLGFAR-UHFFFAOYSA-N -1 1 313.317 1.644 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]Cc1cnc2ccc(C)cn12 ZINC001187388997 744562507 /nfs/dbraw/zinc/56/25/07/744562507.db2.gz ONPIDJWQMBOJIX-UHFFFAOYSA-N -1 1 306.347 1.418 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccoc3C(F)(F)F)c1-2 ZINC001187711827 744595951 /nfs/dbraw/zinc/59/59/51/744595951.db2.gz KGWSHRBFDNFOFB-UHFFFAOYSA-N -1 1 311.223 1.899 20 0 DDADMM O=S(=O)([N-]Cc1noc(C(F)(F)F)n1)c1nccs1 ZINC001187908890 744628064 /nfs/dbraw/zinc/62/80/64/744628064.db2.gz OKXDTMQLCZFEIL-UHFFFAOYSA-N -1 1 314.270 1.023 20 0 DDADMM O=C(NC1(Cc2ccccn2)CCOCC1)c1ccncc1[O-] ZINC001188644542 744735406 /nfs/dbraw/zinc/73/54/06/744735406.db2.gz HAEMBKACUGOPAI-UHFFFAOYSA-N -1 1 313.357 1.704 20 0 DDADMM COC(=O)[C@@](C)([N-]S(=O)(=O)c1ccoc1)c1ccccc1 ZINC001188631650 744742788 /nfs/dbraw/zinc/74/27/88/744742788.db2.gz NMUWZMDDDCRMKS-AWEZNQCLSA-N -1 1 309.343 1.646 20 0 DDADMM CCOC(=O)Cc1ccc(CNC(=O)c2ccncc2[O-])cc1 ZINC001188637139 744743717 /nfs/dbraw/zinc/74/37/17/744743717.db2.gz OJKFGVMQBKYNAN-UHFFFAOYSA-N -1 1 314.341 1.823 20 0 DDADMM O=C(Nc1cc(F)cc2c1OCOC2)Nc1c([O-])nc[nH]c1=O ZINC001188835580 744770236 /nfs/dbraw/zinc/77/02/36/744770236.db2.gz QGPUWFGCIAGAEN-UHFFFAOYSA-N -1 1 322.252 1.537 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])Nc1ncc(F)cn1 ZINC001089272767 744847175 /nfs/dbraw/zinc/84/71/75/744847175.db2.gz QRZQQOMGEOHQEN-VHSXEESVSA-N -1 1 319.340 1.725 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)c2cc(C(F)(F)F)[nH]n2)n1 ZINC001189520667 744910820 /nfs/dbraw/zinc/91/08/20/744910820.db2.gz XWCDBRVCMHXREW-UHFFFAOYSA-N -1 1 317.227 1.581 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C(F)(F)F)[nH]n2)n1 ZINC001189520667 744910821 /nfs/dbraw/zinc/91/08/21/744910821.db2.gz XWCDBRVCMHXREW-UHFFFAOYSA-N -1 1 317.227 1.581 20 0 DDADMM CCc1cccc(C)c1NC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001190005153 745077163 /nfs/dbraw/zinc/07/71/63/745077163.db2.gz YVEODWIMPRURFF-JTQLQIEISA-N -1 1 320.374 1.214 20 0 DDADMM O=C(c1cnc(-c2ccccc2)[n-]c1=O)N1Cc2cncnc2C1 ZINC001190259296 745164010 /nfs/dbraw/zinc/16/40/10/745164010.db2.gz XQGYJXBIRCPIQB-UHFFFAOYSA-N -1 1 319.324 1.795 20 0 DDADMM O=C(c1cnc(-c2ccccc2)[n-]c1=O)N1CCc2n[nH]cc2C1 ZINC001190261641 745165815 /nfs/dbraw/zinc/16/58/15/745165815.db2.gz WKVWIBNVKSRKJY-UHFFFAOYSA-N -1 1 321.340 1.771 20 0 DDADMM CC(C)(CNC(=O)c1cnc(-c2ccccc2)[n-]c1=O)C(N)=O ZINC001190268005 745168299 /nfs/dbraw/zinc/16/82/99/745168299.db2.gz QAGOLYZFDGRSAN-UHFFFAOYSA-N -1 1 314.345 1.091 20 0 DDADMM O=C(NCCc1cnccn1)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190267664 745168600 /nfs/dbraw/zinc/16/86/00/745168600.db2.gz MGVZUFJNCXQBKL-UHFFFAOYSA-N -1 1 321.340 1.612 20 0 DDADMM CCOc1ccc(C(=O)NCCCC[P@](=O)([O-])O)nc1 ZINC001190795248 745333450 /nfs/dbraw/zinc/33/34/50/745333450.db2.gz WPQRFGSMOAZCBZ-UHFFFAOYSA-N -1 1 302.267 1.168 20 0 DDADMM O=S(=O)([N-]c1cccc(N2CCOCC2)c1)c1ccncc1 ZINC001190894266 745370150 /nfs/dbraw/zinc/37/01/50/745370150.db2.gz YWWBBODNHRCGTE-UHFFFAOYSA-N -1 1 319.386 1.719 20 0 DDADMM O=S(=O)([N-]c1ccc(C2OCCO2)cc1)c1ccncc1 ZINC001190894290 745370411 /nfs/dbraw/zinc/37/04/11/745370411.db2.gz ZIKFXKGRXGSZOV-UHFFFAOYSA-N -1 1 306.343 1.928 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CCCNC(=O)C2)cc(Cl)c1[O-] ZINC001191158169 745438494 /nfs/dbraw/zinc/43/84/94/745438494.db2.gz LYNDAATVJBCRKR-SECBINFHSA-N -1 1 312.753 1.453 20 0 DDADMM CSc1ncc(C(=O)NCc2ccc3n[nH]cc3c2)c(=O)[n-]1 ZINC001191438405 745512491 /nfs/dbraw/zinc/51/24/91/745512491.db2.gz ZYUDWHVTESVCOI-UHFFFAOYSA-N -1 1 315.358 1.710 20 0 DDADMM CNOCCNC(=O)c1ccccc1C(=O)c1ccc([O-])cc1 ZINC001191509460 745530483 /nfs/dbraw/zinc/53/04/83/745530483.db2.gz GSHWUHGDXQHXDY-UHFFFAOYSA-N -1 1 314.341 1.504 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2c(C)ccnc2F)cc1 ZINC001191579813 745542832 /nfs/dbraw/zinc/54/28/32/745542832.db2.gz ZSHSOGNMZQAJKJ-UHFFFAOYSA-N -1 1 323.349 1.690 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cn[nH]c(=O)c1 ZINC001191564194 745550555 /nfs/dbraw/zinc/55/05/55/745550555.db2.gz JNRRAVMSVXKGPZ-UHFFFAOYSA-N -1 1 311.095 1.298 20 0 DDADMM O=S(=O)([N-]Cc1ccnc(F)c1)C(Cl)(Cl)Cl ZINC001192049025 745671722 /nfs/dbraw/zinc/67/17/22/745671722.db2.gz DTFKURNAQRUKCW-UHFFFAOYSA-N -1 1 307.561 1.968 20 0 DDADMM COCCOCCC[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192055087 745686350 /nfs/dbraw/zinc/68/63/50/745686350.db2.gz MCIOIERRUPQXHA-UHFFFAOYSA-N -1 1 314.618 1.287 20 0 DDADMM CCCCOC(=O)c1ccccc1C(=O)NCc1nn[n-]n1 ZINC001192621187 745848748 /nfs/dbraw/zinc/84/87/48/745848748.db2.gz FYDDDCRRHFNUIL-UHFFFAOYSA-N -1 1 303.322 1.087 20 0 DDADMM CC(=O)N1CCC[C@H](N(C)C(=O)c2cc([O-])cc(F)c2F)C1 ZINC001192665654 745869129 /nfs/dbraw/zinc/86/91/29/745869129.db2.gz RVPOWGMFCJSAHS-JTQLQIEISA-N -1 1 312.316 1.753 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)c2cc(F)c([O-])cc2F)n1 ZINC001192852932 745916830 /nfs/dbraw/zinc/91/68/30/745916830.db2.gz OQIFRKHBYVERTK-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM CCOC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC001193279749 746044136 /nfs/dbraw/zinc/04/41/36/746044136.db2.gz WLIHXPDLRLYNIS-NWDGAFQWSA-N -1 1 307.412 1.063 20 0 DDADMM CCOC(=O)[C@@H](CC1CCC1)[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC001193288346 746048290 /nfs/dbraw/zinc/04/82/90/746048290.db2.gz KAMNERKLEANZDD-QWHCGFSZSA-N -1 1 319.423 1.207 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)NC(=O)C2=O ZINC001193935274 746227080 /nfs/dbraw/zinc/22/70/80/746227080.db2.gz WKCSKTUOPHJPDY-UHFFFAOYSA-N -1 1 317.326 1.204 20 0 DDADMM Cn1cnc2cc([N-]S(=O)(=O)c3ccccc3N)cnc21 ZINC001193892652 746229262 /nfs/dbraw/zinc/22/92/62/746229262.db2.gz CHIJUEUWRHVBBM-UHFFFAOYSA-N -1 1 303.347 1.351 20 0 DDADMM COC(=O)c1c2[nH]ncc2ccc1NC(=O)c1cc(=O)n(C)[n-]1 ZINC001194202302 746307185 /nfs/dbraw/zinc/30/71/85/746307185.db2.gz ZUHFEYYOBDKMIY-UHFFFAOYSA-N -1 1 315.289 1.041 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2cnc(C3CC3)[nH]c2=O)CCC[N@@H+]1CCF ZINC000993937091 746381076 /nfs/dbraw/zinc/38/10/76/746381076.db2.gz RSFOGYOJDKZNBV-ZWNOBZJWSA-N -1 1 322.384 1.612 20 0 DDADMM O=S(=O)([N-]Cc1ncccn1)c1ncccc1C(F)(F)F ZINC001194528975 746403266 /nfs/dbraw/zinc/40/32/66/746403266.db2.gz CARXKRXWBNJVMU-UHFFFAOYSA-N -1 1 318.280 1.369 20 0 DDADMM Cc1[nH]c(=O)c(C(=O)NCCCC[P@](=O)([O-])O)cc1Cl ZINC001194709988 746446944 /nfs/dbraw/zinc/44/69/44/746446944.db2.gz GDPXKAOGMUDNJB-UHFFFAOYSA-N -1 1 322.685 1.437 20 0 DDADMM C[Si](C)(C)CCS(=O)(=O)[N-]c1cn[nH]c(=O)c1Cl ZINC001195042376 746515876 /nfs/dbraw/zinc/51/58/76/746515876.db2.gz UUYHWTQEYLYXRS-UHFFFAOYSA-N -1 1 309.851 1.916 20 0 DDADMM CCOC(=O)C1(CNC(=O)c2cc([O-])cnc2Cl)CCC1 ZINC001195312728 746573735 /nfs/dbraw/zinc/57/37/35/746573735.db2.gz IZFXPVUMQSXJHU-UHFFFAOYSA-N -1 1 312.753 1.904 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2cc([O-])cnc2Cl)[nH]1 ZINC001195314541 746574582 /nfs/dbraw/zinc/57/45/82/746574582.db2.gz HHGUUPSRYGSWTL-UHFFFAOYSA-N -1 1 309.709 1.485 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(S(C)(=O)=O)cc1 ZINC001195485090 746622890 /nfs/dbraw/zinc/62/28/90/746622890.db2.gz XEEFNNGFWISJEA-UHFFFAOYSA-N -1 1 302.377 1.533 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(-c2ccccn2)cc1Cl ZINC001195662562 746675536 /nfs/dbraw/zinc/67/55/36/746675536.db2.gz JSGGZCBZEWWIFO-UHFFFAOYSA-N -1 1 314.736 1.845 20 0 DDADMM O=C1Nc2cc([N-]S(=O)(=O)c3ccc(O)cc3)ccc2C1=O ZINC001195807533 746711355 /nfs/dbraw/zinc/71/13/55/746711355.db2.gz QIPFBLZLKPIHCI-UHFFFAOYSA-N -1 1 318.310 1.328 20 0 DDADMM Cn1nnc2cc(NC(=O)c3c[nH]c(=S)[n-]c3=O)ccc21 ZINC001196019821 746759090 /nfs/dbraw/zinc/75/90/90/746759090.db2.gz FRCJUQRAMOFCJC-UHFFFAOYSA-N -1 1 302.319 1.005 20 0 DDADMM C[C@H](NC(=O)c1c[nH]c(=S)[n-]c1=O)[C@H](O)c1cccc(O)c1 ZINC001196025145 746760489 /nfs/dbraw/zinc/76/04/89/746760489.db2.gz XKIOLDSIZNYVOM-CPCISQLKSA-N -1 1 321.358 1.028 20 0 DDADMM COCc1[nH]nc2c1CN(C(=O)c1cc(Cl)ncc1[O-])C2 ZINC001196390269 746853367 /nfs/dbraw/zinc/85/33/67/746853367.db2.gz ZWBMIZJTSMSMGD-UHFFFAOYSA-N -1 1 308.725 1.466 20 0 DDADMM CCc1coc(C[N-]S(=O)(=O)c2cc(OC)ccc2F)n1 ZINC001196720145 746943466 /nfs/dbraw/zinc/94/34/66/746943466.db2.gz YZCLZNOIFAADMU-UHFFFAOYSA-N -1 1 314.338 1.863 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CCS(=O)(=O)C2CCCCC2)C1 ZINC000699995697 747110836 /nfs/dbraw/zinc/11/08/36/747110836.db2.gz BAJHOVPWMIIVFC-CQSZACIVSA-N -1 1 303.424 1.531 20 0 DDADMM CC(F)(F)C(=O)[N-][C@@H]1CCN(CC(F)(F)C(F)F)C[C@@H]1O ZINC001090000144 747153917 /nfs/dbraw/zinc/15/39/17/747153917.db2.gz HQCJKSAHVGFIMG-RQJHMYQMSA-N -1 1 322.249 1.093 20 0 DDADMM Cc1coc(C[N-]S(=O)(=O)Cc2c(F)cccc2F)n1 ZINC001197558060 747199540 /nfs/dbraw/zinc/19/95/40/747199540.db2.gz CDWDMBBQCOPXJA-UHFFFAOYSA-N -1 1 302.302 1.881 20 0 DDADMM CSc1nc(NC(=O)c2ncccc2N(C)C)cc(=O)[n-]1 ZINC001198226810 747420918 /nfs/dbraw/zinc/42/09/18/747420918.db2.gz SITQRDRIWBQCCB-UHFFFAOYSA-N -1 1 305.363 1.617 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cnoc1C(F)(F)F ZINC001199061154 747689237 /nfs/dbraw/zinc/68/92/37/747689237.db2.gz KTRWZINCRONVAD-UHFFFAOYSA-N -1 1 316.172 1.381 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4c(c3)nn(C)c4C)c1-2 ZINC001199072365 747693024 /nfs/dbraw/zinc/69/30/24/747693024.db2.gz OPLWHYYGQNUBAS-UHFFFAOYSA-N -1 1 321.344 1.482 20 0 DDADMM CC(C)Oc1cnccc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001199157248 747721607 /nfs/dbraw/zinc/72/16/07/747721607.db2.gz ZJSRFFGIAWMYIK-UHFFFAOYSA-N -1 1 319.277 1.720 20 0 DDADMM COc1nc(Nc2ccnc(N3CCN(C)CC3)c2)cc(=O)[n-]1 ZINC001201650881 748572389 /nfs/dbraw/zinc/57/23/89/748572389.db2.gz HGBLFXSGHUUIFG-UHFFFAOYSA-N -1 1 316.365 1.081 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]CCCC(C)(C)O ZINC001201768108 748599497 /nfs/dbraw/zinc/59/94/97/748599497.db2.gz UHEGWVCLYKMKAZ-UHFFFAOYSA-N -1 1 312.844 1.934 20 0 DDADMM Cc1cscc1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998848092 752286047 /nfs/dbraw/zinc/28/60/47/752286047.db2.gz DVFJCFQBDNBHLL-JTQLQIEISA-N -1 1 321.406 1.275 20 0 DDADMM CC(C)CC(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202362075 748761656 /nfs/dbraw/zinc/76/16/56/748761656.db2.gz OVALLIVSCWNMQP-WDEREUQCSA-N -1 1 307.398 1.073 20 0 DDADMM C[C@H]1CCN(C(=O)C2CCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004770957 748781384 /nfs/dbraw/zinc/78/13/84/748781384.db2.gz UYXXEJMIPRHLEC-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)CCC1CC1 ZINC001004789295 748803678 /nfs/dbraw/zinc/80/36/78/748803678.db2.gz ZOLGZCGZLMPFSY-YPMHNXCESA-N -1 1 321.425 1.560 20 0 DDADMM CC1(C)CC(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)C1 ZINC000995755867 749223463 /nfs/dbraw/zinc/22/34/63/749223463.db2.gz ROICCISHTALVNX-LLVKDONJSA-N -1 1 321.425 1.273 20 0 DDADMM Cc1nc(C)c(C)c(NC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108323084 761933132 /nfs/dbraw/zinc/93/31/32/761933132.db2.gz JRWAJBWOLPMTII-VIFPVBQESA-N -1 1 315.377 1.733 20 0 DDADMM CCC1(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)CC1 ZINC000996242642 749450495 /nfs/dbraw/zinc/45/04/95/749450495.db2.gz ZDFZDPINFUROHX-JTQLQIEISA-N -1 1 307.398 1.027 20 0 DDADMM C[C@H]1C[C@@H]([NH2+]CCP(=O)([O-])[O-])CN1C(=O)OC(C)(C)C ZINC001168810826 762044467 /nfs/dbraw/zinc/04/44/67/762044467.db2.gz JDXOCYNXOLEMFZ-VHSXEESVSA-N -1 1 308.315 1.152 20 0 DDADMM Cc1cnc(C)nc1N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001115719398 750910244 /nfs/dbraw/zinc/91/02/44/750910244.db2.gz PDTRBJIKMNVZMH-NSHDSACASA-N -1 1 315.377 1.767 20 0 DDADMM CC(C)(C)C(C)(C)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035018686 751127437 /nfs/dbraw/zinc/12/74/37/751127437.db2.gz QYFOPHYAGMAPDY-LLVKDONJSA-N -1 1 323.441 1.663 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@H](NCc3nccs3)C2)c1[O-] ZINC000998955910 752401842 /nfs/dbraw/zinc/40/18/42/752401842.db2.gz SSOYWUCLJXDGAF-JTQLQIEISA-N -1 1 321.406 1.275 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001008405139 752711777 /nfs/dbraw/zinc/71/17/77/752711777.db2.gz IDKBNMRYNHWSFE-WZRBSPASSA-N -1 1 317.389 1.410 20 0 DDADMM CC[C@@H](C)C(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062149074 752793120 /nfs/dbraw/zinc/79/31/20/752793120.db2.gz CSWQXRZTGYDIKC-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062569940 753132085 /nfs/dbraw/zinc/13/20/85/753132085.db2.gz PNZJOPJZPQYXFG-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999960908 753277615 /nfs/dbraw/zinc/27/76/15/753277615.db2.gz SQDFXJRKOWOXHH-GHMZBOCLSA-N -1 1 307.398 1.027 20 0 DDADMM CC(=O)N1C[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1C ZINC001009897995 753444750 /nfs/dbraw/zinc/44/47/50/753444750.db2.gz MXPWAEBCXBVXJF-MWLCHTKSSA-N -1 1 318.377 1.046 20 0 DDADMM COc1cnc(-c2noc(-c3cc(C(C)=O)[n-]n3)n2)c(OC)c1 ZINC001212431252 753483466 /nfs/dbraw/zinc/48/34/66/753483466.db2.gz JGSKDUIKFDRTSK-UHFFFAOYSA-N -1 1 315.289 1.742 20 0 DDADMM COc1cnc(-c2noc(-c3cc(C(C)=O)n[n-]3)n2)c(OC)c1 ZINC001212431252 753483469 /nfs/dbraw/zinc/48/34/69/753483469.db2.gz JGSKDUIKFDRTSK-UHFFFAOYSA-N -1 1 315.289 1.742 20 0 DDADMM O=C(NC1(CNC(=O)c2ncccc2[O-])CCC1)c1cc[nH]c1 ZINC001062839769 753761137 /nfs/dbraw/zinc/76/11/37/753761137.db2.gz DPFNBPVNAXFZKZ-UHFFFAOYSA-N -1 1 314.345 1.198 20 0 DDADMM C[C@@H](C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)C(C)(C)C ZINC001011036626 754318918 /nfs/dbraw/zinc/31/89/18/754318918.db2.gz QLJIGJUBNPHWPG-LBPRGKRZSA-N -1 1 319.405 1.754 20 0 DDADMM Cc1coc(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)c1 ZINC001011036112 754319000 /nfs/dbraw/zinc/31/90/00/754319000.db2.gz AXEBTAMHGYCBTC-UHFFFAOYSA-N -1 1 315.329 1.287 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C2(C)CC2)CCN1C(=O)c1ncccc1[O-] ZINC001011678955 754672779 /nfs/dbraw/zinc/67/27/79/754672779.db2.gz ZYRYAAQUCVQHQJ-GHMZBOCLSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1(C)CC1 ZINC001011903752 754763106 /nfs/dbraw/zinc/76/31/06/754763106.db2.gz RTOXZKIBDIEPCV-RYUDHWBXSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C=C1CCC1 ZINC001012466115 755090972 /nfs/dbraw/zinc/09/09/72/755090972.db2.gz ZTQVTQUMSZJFDS-AAEUAGOBSA-N -1 1 315.373 1.617 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C2(C)CC2)CN1C(=O)c1ncccc1[O-] ZINC001012505221 755112320 /nfs/dbraw/zinc/11/23/20/755112320.db2.gz IYLYTWBUORZXKH-GHMZBOCLSA-N -1 1 303.362 1.307 20 0 DDADMM CC(=O)N1CCC[C@@H]([C@@H]2CCCN2C(=O)c2ncccc2[O-])C1 ZINC001013890982 755531192 /nfs/dbraw/zinc/53/11/92/755531192.db2.gz LOMMWBCWHFPIAL-KGLIPLIRSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(N[C@@]12CCC[C@@H]1N(C(=O)C1CC1)CC2)c1ncccc1[O-] ZINC001014260198 755664971 /nfs/dbraw/zinc/66/49/71/755664971.db2.gz QSXUFJIBELEQKW-SUMWQHHRSA-N -1 1 315.373 1.451 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400625 757899983 /nfs/dbraw/zinc/89/99/83/757899983.db2.gz RAQWHCFUCMHLAH-DGCLKSJQSA-N -1 1 315.373 1.332 20 0 DDADMM CC(C)COc1ncc(C(=O)N(C)c2nn[n-]n2)cc1Cl ZINC000821381925 758156327 /nfs/dbraw/zinc/15/63/27/758156327.db2.gz LPQZRHHZBAUVSC-UHFFFAOYSA-N -1 1 310.745 1.560 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC2(CN(C/C=C\Cl)C2)C1 ZINC001053232074 758288348 /nfs/dbraw/zinc/28/83/48/758288348.db2.gz IEMZIMLJVKZOOZ-RQOWECAXSA-N -1 1 323.780 1.067 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(c2nccnc2F)C1 ZINC001065245524 758392492 /nfs/dbraw/zinc/39/24/92/758392492.db2.gz WDBXOUQMVJNZPS-OAHLLOKOSA-N -1 1 317.324 1.115 20 0 DDADMM CCCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001018098336 758545640 /nfs/dbraw/zinc/54/56/40/758545640.db2.gz HSUBNEKWDWFNSY-NWDGAFQWSA-N -1 1 305.378 1.697 20 0 DDADMM CSCC[C@H](NC(=O)c1cc2c(s1)CCC2)c1nn[n-]n1 ZINC000824912930 759212330 /nfs/dbraw/zinc/21/23/30/759212330.db2.gz TVBPLYAEMMRVIL-VIFPVBQESA-N -1 1 323.447 1.974 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962272 759454357 /nfs/dbraw/zinc/45/43/57/759454357.db2.gz NICCFVNNMXKIML-RYUDHWBXSA-N -1 1 315.373 1.118 20 0 DDADMM Cc1c(C(=O)N2CCC(c3nn[n-]n3)CC2)cnn1C(C)(C)C ZINC000828204438 759537732 /nfs/dbraw/zinc/53/77/32/759537732.db2.gz IGGYYPLMFIRNIE-UHFFFAOYSA-N -1 1 317.397 1.479 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NCc1ccon1 ZINC001054592515 759858882 /nfs/dbraw/zinc/85/88/82/759858882.db2.gz YYXVHKVFZSXQKA-CMPLNLGQSA-N -1 1 302.334 1.026 20 0 DDADMM Cc1nc(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])cs1 ZINC001038183925 760899119 /nfs/dbraw/zinc/89/91/19/760899119.db2.gz OBZAQKJGDDWATJ-GFCCVEGCSA-N -1 1 318.402 1.556 20 0 DDADMM O=C(C1CC1)N1CCCC[C@H]1[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039183255 761807992 /nfs/dbraw/zinc/80/79/92/761807992.db2.gz HWHSHRIDEWTBDI-OLZOCXBDSA-N -1 1 319.409 1.123 20 0 DDADMM Cc1ccc(NC2CC(N(C)C(=O)c3ncccc3[O-])C2)nn1 ZINC001069731523 768119334 /nfs/dbraw/zinc/11/93/34/768119334.db2.gz IVLVHYVOIJZKCE-UHFFFAOYSA-N -1 1 313.361 1.601 20 0 DDADMM Cc1cc(NCCCN(C)C(=O)c2ncccc2[O-])ncn1 ZINC001109436923 763705569 /nfs/dbraw/zinc/70/55/69/763705569.db2.gz PMCPXYVCKKDSMW-UHFFFAOYSA-N -1 1 301.350 1.460 20 0 DDADMM Cc1cnc([C@H](C)N2CC(N(C)C(=O)c3ncccc3[O-])C2)o1 ZINC001042646293 764309997 /nfs/dbraw/zinc/30/99/97/764309997.db2.gz OASSBFIJVRTELX-NSHDSACASA-N -1 1 316.361 1.601 20 0 DDADMM CC(C)Oc1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cn1 ZINC001170215808 766173835 /nfs/dbraw/zinc/17/38/35/766173835.db2.gz AFPLYNWYRBTWIS-UHFFFAOYSA-N -1 1 302.294 1.642 20 0 DDADMM Cc1nc2ncc(Nc3[n-]c(=O)nc4nc[nH]c43)nc2s1 ZINC001170226174 766200033 /nfs/dbraw/zinc/20/00/33/766200033.db2.gz FAMJRYSKUZIJEF-UHFFFAOYSA-N -1 1 300.307 1.510 20 0 DDADMM CNC(=S)Nc1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)nc1 ZINC001170227878 766202764 /nfs/dbraw/zinc/20/27/64/766202764.db2.gz WTGUNLKORDARQR-UHFFFAOYSA-N -1 1 316.350 1.113 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cccc4ccncc43)nc2n1 ZINC001129408453 766871173 /nfs/dbraw/zinc/87/11/73/766871173.db2.gz AIXAHYVBBNPYFZ-UHFFFAOYSA-N -1 1 320.312 1.527 20 0 DDADMM Cc1conc1CN1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001046260331 767315722 /nfs/dbraw/zinc/31/57/22/767315722.db2.gz XZJQMBPPFGGDEK-MRXNPFEDSA-N -1 1 316.361 1.478 20 0 DDADMM C[C@@H]1[C@@H](Nc2cnc(F)cn2)CCN1C(=O)c1ncccc1[O-] ZINC001068822755 767639930 /nfs/dbraw/zinc/63/99/30/767639930.db2.gz LZACMCDUDVMQLO-ZJUUUORDSA-N -1 1 317.324 1.431 20 0 DDADMM CN(C(=O)c1coc(-c2ccccc2Cl)n1)c1nn[n-]n1 ZINC001130971915 767823773 /nfs/dbraw/zinc/82/37/73/767823773.db2.gz JHAHYAUTHGEKQX-UHFFFAOYSA-N -1 1 304.697 1.785 20 0 DDADMM CC(C)CCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070403861 768448584 /nfs/dbraw/zinc/44/85/84/768448584.db2.gz HDKKGUSWAOUVIK-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CCC1CC1 ZINC001071952155 770336592 /nfs/dbraw/zinc/33/65/92/770336592.db2.gz ISJOGFHHTMXUOK-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CCOC(=O)c1nc(NC(=O)CCOCCOC)[n-]c1Cl ZINC001133885141 770396831 /nfs/dbraw/zinc/39/68/31/770396831.db2.gz IXQJVSZCFKBEIH-UHFFFAOYSA-N -1 1 319.745 1.231 20 0 DDADMM C[C@@]1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CCNC1=O ZINC001136190830 771913688 /nfs/dbraw/zinc/91/36/88/771913688.db2.gz QJDAYDLUVVLJDH-OAHLLOKOSA-N -1 1 300.318 1.247 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCCC[C@@H]2CNC(N)=O)c1 ZINC001136575422 772021431 /nfs/dbraw/zinc/02/14/31/772021431.db2.gz VKDBVPGZKVJCOB-GFCCVEGCSA-N -1 1 319.361 1.176 20 0 DDADMM O=C(Cc1ccc(OCc2ccncc2)cc1)NCc1nn[n-]n1 ZINC001136627752 772050872 /nfs/dbraw/zinc/05/08/72/772050872.db2.gz YBVSDEYQKVYFER-UHFFFAOYSA-N -1 1 324.344 1.033 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)C1(Br)CCC1 ZINC001144748322 772610441 /nfs/dbraw/zinc/61/04/41/772610441.db2.gz AQGCYQIYCXLJDX-UHFFFAOYSA-N -1 1 314.116 1.378 20 0 DDADMM Cc1cccnc1CCCNC(=O)c1c(CO)cnc(C)c1[O-] ZINC001147832528 773259880 /nfs/dbraw/zinc/25/98/80/773259880.db2.gz QNLZUBNTLUUIGP-UHFFFAOYSA-N -1 1 315.373 1.654 20 0 DDADMM COC(=O)[C@]1(C)CCCN(C(=O)c2c(CO)cnc(C)c2[O-])C1 ZINC001147837967 773264061 /nfs/dbraw/zinc/26/40/61/773264061.db2.gz GXXOTOYLABTVJD-MRXNPFEDSA-N -1 1 322.361 1.003 20 0 DDADMM COC(=O)c1ccccc1C(=O)N=c1ncnc2[nH][n-]c(C)c1-2 ZINC001148225255 773403151 /nfs/dbraw/zinc/40/31/51/773403151.db2.gz IEXWHQAGLXPLNX-UHFFFAOYSA-N -1 1 311.301 1.074 20 0 DDADMM CCCOCCNC(=O)c1ccc(-n2[n-]c(C)cc2=O)cc1 ZINC001148337006 773440525 /nfs/dbraw/zinc/44/05/25/773440525.db2.gz UXCGUCLDIFWXNJ-UHFFFAOYSA-N -1 1 303.362 1.956 20 0 DDADMM CC(C)(C)c1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001148751985 773591779 /nfs/dbraw/zinc/59/17/79/773591779.db2.gz WKMMBJCRAJUDDW-UHFFFAOYSA-N -1 1 317.393 1.327 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036804968 774682003 /nfs/dbraw/zinc/68/20/03/774682003.db2.gz SNHZRLAZGLIIJZ-NWDGAFQWSA-N -1 1 321.425 1.369 20 0 DDADMM NCc1nccnc1[N-]c1ccc(C(=O)Nc2ccccc2)nn1 ZINC001171450665 776206689 /nfs/dbraw/zinc/20/66/89/776206689.db2.gz XQVPLAZWCGHQJJ-UHFFFAOYSA-N -1 1 321.344 1.721 20 0 DDADMM O=C(NCCCCCCNc1ncccn1)c1ncccc1[O-] ZINC001094945214 776875464 /nfs/dbraw/zinc/87/54/64/776875464.db2.gz SMVJAIFLRPSTPN-UHFFFAOYSA-N -1 1 315.377 1.980 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2cc(N)ccc2N)cc(OC)c1 ZINC001175109295 777679654 /nfs/dbraw/zinc/67/96/54/777679654.db2.gz IURMAQASSZBGSW-UHFFFAOYSA-N -1 1 323.374 1.669 20 0 DDADMM O=C(Cc1nn[n-]n1)N(Cc1ccccn1)C1CCCCC1 ZINC001176841082 778270740 /nfs/dbraw/zinc/27/07/40/778270740.db2.gz QWFPFRSVMHPXLV-UHFFFAOYSA-N -1 1 300.366 1.499 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccc(OC[C@@H]2CCCO2)c(F)c1 ZINC001176842936 778272534 /nfs/dbraw/zinc/27/25/34/778272534.db2.gz VTFYNFDQKIHFTA-JTQLQIEISA-N -1 1 321.312 1.078 20 0 DDADMM Cc1cnc(C(=O)NCCOC(F)(F)C(F)(F)F)c([O-])c1 ZINC001177052110 778413239 /nfs/dbraw/zinc/41/32/39/778413239.db2.gz MESVDZSOFZYTOC-UHFFFAOYSA-N -1 1 314.210 1.997 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCC2CC3(C2)OCCO3)c1 ZINC001177315614 778534675 /nfs/dbraw/zinc/53/46/75/778534675.db2.gz IVOFYEOFHJPSSK-UHFFFAOYSA-N -1 1 305.330 1.396 20 0 DDADMM CN(C)c1nc(NC(=O)Cc2cccn2C)c(N=O)c(=O)[n-]1 ZINC001177316017 778535567 /nfs/dbraw/zinc/53/55/67/778535567.db2.gz IRVAOYMFAJCBLK-UHFFFAOYSA-N -1 1 304.310 1.166 20 0 DDADMM O=C([O-])C1(C(=O)NCc2ccc3[nH]nnc3c2)CCCCC1 ZINC001180438396 779761256 /nfs/dbraw/zinc/76/12/56/779761256.db2.gz GHWKILDDTXQPBC-UHFFFAOYSA-N -1 1 302.334 1.609 20 0 DDADMM O=C([O-])C1(C(=O)NCc2ccc3nn[nH]c3c2)CCCCC1 ZINC001180438396 779761261 /nfs/dbraw/zinc/76/12/61/779761261.db2.gz GHWKILDDTXQPBC-UHFFFAOYSA-N -1 1 302.334 1.609 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])Nc1ncncc1Cl ZINC001115719453 780375175 /nfs/dbraw/zinc/37/51/75/780375175.db2.gz QPJUCNZWYQWFCY-SECBINFHSA-N -1 1 321.768 1.803 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@H]2C=CCCC2)C1 ZINC001118976928 781289132 /nfs/dbraw/zinc/28/91/32/781289132.db2.gz UNUYYQJLLKXOHF-GWCFXTLKSA-N -1 1 319.327 1.948 20 0 DDADMM Brc1cnc2c(c1)COC[C@@H]2OCc1nn[n-]n1 ZINC001223026574 839557730 /nfs/dbraw/zinc/55/77/30/839557730.db2.gz BJKWMOSPEAJSFI-QMMMGPOBSA-N -1 1 312.127 1.145 20 0 DDADMM NC(=O)[C@H]1COCCC12CN(C(=O)c1cc(Cl)ccc1[O-])C2 ZINC001269574222 841782184 /nfs/dbraw/zinc/78/21/84/841782184.db2.gz OECFAZIBGZMFME-LLVKDONJSA-N -1 1 324.764 1.010 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)c2cnn(C)c2C)sn1 ZINC001363752620 842643579 /nfs/dbraw/zinc/64/35/79/842643579.db2.gz LOZIMXZJQBQVKQ-ZETCQYMHSA-N -1 1 316.408 1.233 20 0 DDADMM CC[C@H](C)[C@H](C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001409044856 843309763 /nfs/dbraw/zinc/30/97/63/843309763.db2.gz NRKBWOKEJMBJOO-QWRGUYRKSA-N -1 1 305.378 1.410 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cnc2ccccc2c1 ZINC001149140157 861472225 /nfs/dbraw/zinc/47/22/25/861472225.db2.gz WNDPGINXTVNUIU-UHFFFAOYSA-N -1 1 306.285 1.859 20 0 DDADMM CN1C(=O)C[C@@]2(CCCN(C(=O)c3ccc(F)c([O-])c3)C2)C1=O ZINC001272228127 844784323 /nfs/dbraw/zinc/78/43/23/844784323.db2.gz YXUMFDOPIZYWBC-MRXNPFEDSA-N -1 1 320.320 1.142 20 0 DDADMM CC[C@H](CNC(=O)C1(C)CCC1)NC(=O)c1ncccc1[O-] ZINC001409357238 844971938 /nfs/dbraw/zinc/97/19/38/844971938.db2.gz DNNOIOYUYWIOBW-LLVKDONJSA-N -1 1 305.378 1.602 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@@H](c1nc(C)no1)C(C)C)OC ZINC001414382470 845046680 /nfs/dbraw/zinc/04/66/80/845046680.db2.gz WFVUTBHIGPWFDE-WDEREUQCSA-N -1 1 305.400 1.420 20 0 DDADMM Cc1ccc(CC(=O)N[C@@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)s1 ZINC001409462819 845135814 /nfs/dbraw/zinc/13/58/14/845135814.db2.gz IKKICSHPKAZFMC-UWVGGRQHSA-N -1 1 323.422 1.106 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3nonc3c2)n1 ZINC001149411178 861602875 /nfs/dbraw/zinc/60/28/75/861602875.db2.gz OMCCRUAJICMVJW-UHFFFAOYSA-N -1 1 301.262 1.375 20 0 DDADMM COC[C@@H](NC(=O)C(C)=Cc1ccccc1Cl)c1nn[n-]n1 ZINC001155068394 861699135 /nfs/dbraw/zinc/69/91/35/861699135.db2.gz HJSJHPFQRJTGSA-YPUOHESYSA-N -1 1 321.768 1.760 20 0 DDADMM Cc1ccc2c(c1)[C@H](Nc1nc(C)cc3c1C(=O)[N-]C3=O)C(=O)N2 ZINC001155111629 861744466 /nfs/dbraw/zinc/74/44/66/861744466.db2.gz ZSORHCLNLAKCFO-ZDUSSCGKSA-N -1 1 322.324 1.687 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2Cc1cscn1 ZINC001032368492 847146722 /nfs/dbraw/zinc/14/67/22/847146722.db2.gz DSDBDDSZBNLPCC-RYUDHWBXSA-N -1 1 316.386 1.343 20 0 DDADMM COC(=O)C[C@H]1CCCN(C(=O)c2[n-][nH]c3cc(=O)ccc2-3)C1 ZINC001155267152 861915061 /nfs/dbraw/zinc/91/50/61/861915061.db2.gz WNEYEACLWSEWKP-SNVBAGLBSA-N -1 1 317.345 1.684 20 0 DDADMM O=C(NC[C@H](O)C1CCCCC1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273829 861923922 /nfs/dbraw/zinc/92/39/22/861923922.db2.gz YNAMBIDSJHCZMO-AWEZNQCLSA-N -1 1 303.362 1.940 20 0 DDADMM CCOC(=O)c1ncn(-c2cc([O-])c(F)c(F)c2F)c1N ZINC001249957155 850980282 /nfs/dbraw/zinc/98/02/82/850980282.db2.gz BQHZQCSBEDOSCP-UHFFFAOYSA-N -1 1 301.224 1.754 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccccc1F)c1nccs1 ZINC001187912129 851086565 /nfs/dbraw/zinc/08/65/65/851086565.db2.gz BJWCEOYPOWYBBM-SNVBAGLBSA-N -1 1 302.352 1.294 20 0 DDADMM CN1CC[C@@]2(CCCN2Cc2ccccc2OCC(=O)[O-])C1=O ZINC001274205437 852030550 /nfs/dbraw/zinc/03/05/50/852030550.db2.gz JPSZDVFMHHHFGX-KRWDZBQOSA-N -1 1 318.373 1.347 20 0 DDADMM NC(=O)c1[nH]nc2c1CN(C(=O)c1c([O-])cccc1Cl)CC2 ZINC001275466812 853162964 /nfs/dbraw/zinc/16/29/64/853162964.db2.gz SSJKNJLDHVHXBF-UHFFFAOYSA-N -1 1 320.736 1.066 20 0 DDADMM COC(=O)CCCC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC001411781939 853729932 /nfs/dbraw/zinc/72/99/32/853729932.db2.gz GVTKGRZBCUAYST-UHFFFAOYSA-N -1 1 320.349 1.011 20 0 DDADMM O=C(c1ocnc1C(F)(F)F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001411935618 853996044 /nfs/dbraw/zinc/99/60/44/853996044.db2.gz GKNMKUAQDMAKGO-ZCFIWIBFSA-N -1 1 316.243 1.226 20 0 DDADMM COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)c1cccc([O-])c1F ZINC001411954744 854029712 /nfs/dbraw/zinc/02/97/12/854029712.db2.gz XKTJXSCSOICYBQ-KOLCDFICSA-N -1 1 311.309 1.324 20 0 DDADMM CC(C)NC(=O)OC[C@@H]1CCCCN1C(=O)c1cnncc1[O-] ZINC001412116374 854234973 /nfs/dbraw/zinc/23/49/73/854234973.db2.gz PAWIDKFJFOBKTP-NSHDSACASA-N -1 1 322.365 1.312 20 0 DDADMM CCCC(=O)N[C@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001412139740 854257628 /nfs/dbraw/zinc/25/76/28/854257628.db2.gz HLKQWKPIUNOFJN-RYUDHWBXSA-N -1 1 305.378 1.745 20 0 DDADMM CSCC[C@H](NC(=O)C[C@@H](C)n1ccc(C)n1)c1nn[n-]n1 ZINC001412370208 854483095 /nfs/dbraw/zinc/48/30/95/854483095.db2.gz RZOYMZYILAGQOZ-MNOVXSKESA-N -1 1 323.426 1.266 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ccc([O-])cn1)c1ccc(F)cc1 ZINC001412444278 854560879 /nfs/dbraw/zinc/56/08/79/854560879.db2.gz GGNOZZZPEBDHQW-AWEZNQCLSA-N -1 1 318.304 1.961 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@H]1CSCCS1 ZINC001412527210 854660452 /nfs/dbraw/zinc/66/04/52/854660452.db2.gz INGWXKXLLQZABZ-JTQLQIEISA-N -1 1 315.468 1.423 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc(OC(C)C)cn1 ZINC001412533081 854674366 /nfs/dbraw/zinc/67/43/66/854674366.db2.gz UYMLGFMCYGXYHQ-UHFFFAOYSA-N -1 1 318.381 1.680 20 0 DDADMM CCC1(C(=O)N(C)C[C@H](C)NC(=O)c2ncccc2[O-])CCC1 ZINC001412646761 854872981 /nfs/dbraw/zinc/87/29/81/854872981.db2.gz SADIVCMXCYKPPS-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(N[C@H](CO)C[C@@H](O)c1ccccc1)c1cccc([O-])c1F ZINC001412777779 855258933 /nfs/dbraw/zinc/25/89/33/855258933.db2.gz UNMFUPIRRMRFPU-SWLSCSKDSA-N -1 1 319.332 1.746 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@]12CCN(C(=O)c1ccc(F)c(=O)[n-]1)C2 ZINC001276302913 855498930 /nfs/dbraw/zinc/49/89/30/855498930.db2.gz KXEZOFUXFAWJBT-VFZGTOFNSA-N -1 1 307.325 1.044 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C(C)(C)C2CCOCC2)n[n-]1 ZINC001412835027 855545742 /nfs/dbraw/zinc/54/57/42/855545742.db2.gz DHOVRSSIPZCLND-UHFFFAOYSA-N -1 1 324.381 1.050 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C(C)(C)C2CCOCC2)n1 ZINC001412835027 855545745 /nfs/dbraw/zinc/54/57/45/855545745.db2.gz DHOVRSSIPZCLND-UHFFFAOYSA-N -1 1 324.381 1.050 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1cc(F)nc(F)c1F ZINC001258531399 855598072 /nfs/dbraw/zinc/59/80/72/855598072.db2.gz QUPBVXMPHXYBHF-UHFFFAOYSA-N -1 1 307.253 1.905 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H](CC1CC1)C(=O)Nc1c[n-][nH]c1=O ZINC001412860809 855733090 /nfs/dbraw/zinc/73/30/90/855733090.db2.gz WPALDSOLCSBREV-VIFPVBQESA-N -1 1 310.354 1.747 20 0 DDADMM CCOC(=O)Cc1cnc(NC(=O)c2ccc([O-])cn2)s1 ZINC001413151840 856489396 /nfs/dbraw/zinc/48/93/96/856489396.db2.gz ZXAZTGMHKQVLLN-UHFFFAOYSA-N -1 1 307.331 1.602 20 0 DDADMM COc1ccc(-c2cc(C(=O)[N-]N3CCOC3=O)n[nH]2)cc1 ZINC001413270777 856597906 /nfs/dbraw/zinc/59/79/06/856597906.db2.gz SEFJFSBAIQLPSS-UHFFFAOYSA-N -1 1 302.290 1.182 20 0 DDADMM COC[C@@H](NC(=O)C[C@H](C)c1ccc(F)cc1)c1nn[n-]n1 ZINC001413552015 857055345 /nfs/dbraw/zinc/05/53/45/857055345.db2.gz HXLLZURMRKCNFM-JOYOIKCWSA-N -1 1 307.329 1.336 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2csc(C)c2)o1 ZINC001362717132 884474431 /nfs/dbraw/zinc/47/44/31/884474431.db2.gz UEYGIOCLQKYHHN-UHFFFAOYSA-N -1 1 300.361 1.810 20 0 DDADMM COC1(CNC(=O)c2cc(C)cc(C=O)c2[O-])CCOCC1 ZINC001151733005 862942708 /nfs/dbraw/zinc/94/27/08/862942708.db2.gz MDBOBKGVLZZCBI-UHFFFAOYSA-N -1 1 307.346 1.439 20 0 DDADMM CC[C@@H](C)C(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072968929 857947496 /nfs/dbraw/zinc/94/74/96/857947496.db2.gz HCBUHRQYUXERIA-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(C(=O)N1CCc2ccccc2[C@@H]1CO)c1ccc([O-])cc1 ZINC001137936735 859992627 /nfs/dbraw/zinc/99/26/27/859992627.db2.gz VBPURWCSXBMEBL-INIZCTEOSA-N -1 1 311.337 1.693 20 0 DDADMM O=Cc1c[nH]c2ccc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)cc12 ZINC001138658890 860156376 /nfs/dbraw/zinc/15/63/76/860156376.db2.gz IEJCJZUOJVPHMA-NSHDSACASA-N -1 1 324.344 1.513 20 0 DDADMM CN(C1CN(Cc2ccc(OCC(=O)[O-])cc2)C1)[C@@H]1CCOC1 ZINC001138927186 860231089 /nfs/dbraw/zinc/23/10/89/860231089.db2.gz AVOCTRJGKAOUGV-CQSZACIVSA-N -1 1 320.389 1.055 20 0 DDADMM COc1cccc(CN2CC[C@H](O)C[C@@H]2C)c1OCC(=O)[O-] ZINC001139272173 860346191 /nfs/dbraw/zinc/34/61/91/860346191.db2.gz ZVTJQRDJACVMMA-AAEUAGOBSA-N -1 1 309.362 1.504 20 0 DDADMM CCC(=O)NC1CCN(Cc2[nH]c(C)c(C(=O)[O-])c2C)CC1 ZINC001140495874 860644972 /nfs/dbraw/zinc/64/49/72/860644972.db2.gz IQASNHKQDBLKRE-UHFFFAOYSA-N -1 1 307.394 1.820 20 0 DDADMM COC[C@H]1CN(Cc2ccccc2C(=O)[O-])Cc2cn(C)nc21 ZINC001140502065 860646959 /nfs/dbraw/zinc/64/69/59/860646959.db2.gz VERSLWKCDRYASI-CQSZACIVSA-N -1 1 315.373 1.864 20 0 DDADMM C[C@H]1CN(C2CN(Cc3ccc([O-])c(F)c3F)C2)C[C@H](C)O1 ZINC001140895801 860727959 /nfs/dbraw/zinc/72/79/59/860727959.db2.gz XDFOVHYUERIGPP-QWRGUYRKSA-N -1 1 312.360 1.964 20 0 DDADMM O=C(Nc1ccc(-n2ccnc2)nc1)c1cnc(C2CC2)[n-]c1=O ZINC001141459297 860856707 /nfs/dbraw/zinc/85/67/07/860856707.db2.gz WGKKGQAOOVEXSG-UHFFFAOYSA-N -1 1 322.328 1.893 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc2[nH][n-]c(=O)c2c1)C(F)(F)F ZINC001154212183 860875132 /nfs/dbraw/zinc/87/51/32/860875132.db2.gz LUISLQQCVINPEO-MRVPVSSYSA-N -1 1 317.223 1.102 20 0 DDADMM O=C([N-]c1nnc2nc[nH]n12)c1cc(-c2ccc(F)cc2)no1 ZINC001141672783 860908365 /nfs/dbraw/zinc/90/83/65/860908365.db2.gz QDWMESUUMFDPFJ-UHFFFAOYSA-N -1 1 313.252 1.499 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccnc2ncccc12 ZINC001154343762 861021901 /nfs/dbraw/zinc/02/19/01/861021901.db2.gz YBCKJUPORISRBB-UHFFFAOYSA-N -1 1 309.262 1.318 20 0 DDADMM COC(=O)c1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)ccc1C ZINC001361423971 881625105 /nfs/dbraw/zinc/62/51/05/881625105.db2.gz VBAPPYJSQHPKSB-UHFFFAOYSA-N -1 1 324.340 1.689 20 0 DDADMM O=S(=O)([N-]C[C@@H]1COCO1)c1cnc(Cl)cc1Cl ZINC001329785710 863854756 /nfs/dbraw/zinc/85/47/56/863854756.db2.gz GFVLUKGGZWYUOH-ZCFIWIBFSA-N -1 1 313.162 1.040 20 0 DDADMM O=C(Nc1nnc(-c2ccco2)o1)c1cnc2cccnc2c1[O-] ZINC001153854043 864159934 /nfs/dbraw/zinc/15/99/34/864159934.db2.gz JIWKJYXHWVIMIV-UHFFFAOYSA-N -1 1 323.268 1.818 20 0 DDADMM O=C(NCC1(c2cnccn2)CC1)c1c[n-]c2cccnc2c1=O ZINC001153862774 864175202 /nfs/dbraw/zinc/17/52/02/864175202.db2.gz FMEGBFLFPTYLOG-UHFFFAOYSA-N -1 1 321.340 1.175 20 0 DDADMM C[C@H]1C(=O)NCCN1C(=O)c1cc(=O)c2cc(Cl)ccc2[n-]1 ZINC001153905146 864212344 /nfs/dbraw/zinc/21/23/44/864212344.db2.gz VVDXOOIYKJSGME-QMMMGPOBSA-N -1 1 319.748 1.142 20 0 DDADMM CCOCCC1(C(=O)[N-]OC(C)(C)C(=O)OCC)CCC1 ZINC001330665847 864521921 /nfs/dbraw/zinc/52/19/21/864521921.db2.gz ONWCREATMVXICI-UHFFFAOYSA-N -1 1 301.383 1.973 20 0 DDADMM CC/C=C(/C)C(=O)N1CSC[C@H]1C(=O)Nc1c(C)[n-][nH]c1=O ZINC001330817308 864629082 /nfs/dbraw/zinc/62/90/82/864629082.db2.gz MDEJAMLJTLMHPY-NVDWLVSRSA-N -1 1 324.406 1.620 20 0 DDADMM CC(C)(F)CCNC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001330825676 864637023 /nfs/dbraw/zinc/63/70/23/864637023.db2.gz WYVXYSBAMFHTCR-UHFFFAOYSA-N -1 1 316.760 1.916 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@H]1CC=CCC1 ZINC001331253335 864966961 /nfs/dbraw/zinc/96/69/61/864966961.db2.gz ZRBUHUQIRCYVNY-NSHDSACASA-N -1 1 308.765 1.887 20 0 DDADMM CC1(CNC(=O)C(=O)NCc2ccc([O-])c(Cl)c2)CCC1 ZINC001331291763 864992140 /nfs/dbraw/zinc/99/21/40/864992140.db2.gz BZFIZPVKHOUUOG-UHFFFAOYSA-N -1 1 310.781 1.968 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C2SCCS2)[n-]c1Cl ZINC001361528223 881834640 /nfs/dbraw/zinc/83/46/40/881834640.db2.gz AKFWJOOMDDYCQT-UHFFFAOYSA-N -1 1 321.811 1.984 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CCC1CCCCC1 ZINC001381460357 881840248 /nfs/dbraw/zinc/84/02/48/881840248.db2.gz XLSSHRQEFYHVRR-RYUDHWBXSA-N -1 1 323.441 1.854 20 0 DDADMM Cc1[nH]c2ncnc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)c2c1C ZINC001159189640 865156206 /nfs/dbraw/zinc/15/62/06/865156206.db2.gz NLXAUTLEUBTSQZ-UHFFFAOYSA-N -1 1 312.293 1.347 20 0 DDADMM CCOC(=O)c1cc(Cl)nnc1[N-]c1nccnc1CN ZINC001160245927 865745139 /nfs/dbraw/zinc/74/51/39/865745139.db2.gz JKSATRZMXHGGDB-UHFFFAOYSA-N -1 1 308.729 1.299 20 0 DDADMM O=C([N-]OC/C=C/Cl)c1cc(=O)[nH]cc1Br ZINC001160681641 866022105 /nfs/dbraw/zinc/02/21/05/866022105.db2.gz OYOFNRBTUUUOMU-OWOJBTEDSA-N -1 1 307.531 1.964 20 0 DDADMM COC(=O)[C@@H](Oc1cc([O-])cc(O)c1C(C)=O)C(F)(F)F ZINC001225680288 881972396 /nfs/dbraw/zinc/97/23/96/881972396.db2.gz WUTQGBLJBAXGLG-SNVBAGLBSA-N -1 1 308.208 1.783 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]CCCC(F)F ZINC001334310029 867474964 /nfs/dbraw/zinc/47/49/64/867474964.db2.gz UIALOIAXEQKXFC-UHFFFAOYSA-N -1 1 301.746 1.706 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2cnoc2C2CC2)c1 ZINC001324967762 867666612 /nfs/dbraw/zinc/66/66/12/867666612.db2.gz NYIJMBYMNAVOGV-UHFFFAOYSA-N -1 1 323.330 1.157 20 0 DDADMM CCCCN(C)c1cncc(NCC[N-]C(=O)C(F)(F)F)n1 ZINC001164244673 869053203 /nfs/dbraw/zinc/05/32/03/869053203.db2.gz KNZZIHGODWVPCN-UHFFFAOYSA-N -1 1 319.331 1.803 20 0 DDADMM CC(C)(C)C(=O)NCCCOCCNC(=O)c1ncccc1[O-] ZINC001336810069 869158125 /nfs/dbraw/zinc/15/81/25/869158125.db2.gz UFGKTOXDMFEZFV-UHFFFAOYSA-N -1 1 323.393 1.086 20 0 DDADMM Cc1cc(CN2CCN(C(=O)c3ccc([O-])cn3)CC2)on1 ZINC001361750916 882294150 /nfs/dbraw/zinc/29/41/50/882294150.db2.gz LFWKELGOMUFSJV-UHFFFAOYSA-N -1 1 302.334 1.042 20 0 DDADMM CCc1ccc(CN(c2nnc(-c3nnn[n-]3)n2C)C2CC2)cc1 ZINC001337931776 869698412 /nfs/dbraw/zinc/69/84/12/869698412.db2.gz BVOJZQXDGRLFOL-UHFFFAOYSA-N -1 1 324.392 1.727 20 0 DDADMM CCc1ccc(CN(c2nnc(-c3nn[n-]n3)n2C)C2CC2)cc1 ZINC001337931776 869698418 /nfs/dbraw/zinc/69/84/18/869698418.db2.gz BVOJZQXDGRLFOL-UHFFFAOYSA-N -1 1 324.392 1.727 20 0 DDADMM CC(C)=C(F)C(=O)N[C@H]1C[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001338070887 869782761 /nfs/dbraw/zinc/78/27/61/869782761.db2.gz MUBARWFSPMYRGO-MNOVXSKESA-N -1 1 321.352 1.770 20 0 DDADMM CC(C)(C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001166770430 870239046 /nfs/dbraw/zinc/23/90/46/870239046.db2.gz XDZPQNFOABLXJQ-UHFFFAOYSA-N -1 1 321.425 1.323 20 0 DDADMM COCc1ccc(N2CCC([N-]C(=O)C(F)(F)F)CC2)nc1 ZINC001166895198 870349130 /nfs/dbraw/zinc/34/91/30/870349130.db2.gz MWAZKSOXSSBELW-UHFFFAOYSA-N -1 1 317.311 1.875 20 0 DDADMM CC(C)[C@H](C)NC(=O)[C@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001361826358 882445563 /nfs/dbraw/zinc/44/55/63/882445563.db2.gz OTVKUNIMMIDTKF-GXFFZTMASA-N -1 1 323.418 1.463 20 0 DDADMM CC(=CC(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccc(F)cc1 ZINC001301573549 871002983 /nfs/dbraw/zinc/00/29/83/871002983.db2.gz CMOIORDTYXVLFT-RMKNXTFCSA-N -1 1 303.293 1.747 20 0 DDADMM Cc1ccn(-c2cccc(C(=O)NC3(c4nn[n-]n4)CCC3)c2)n1 ZINC001303222695 871111510 /nfs/dbraw/zinc/11/15/10/871111510.db2.gz UONITKYMYXSBDP-UHFFFAOYSA-N -1 1 323.360 1.503 20 0 DDADMM C[C@H](CON)Oc1nc(=O)[n-]c2c1CN(Cc1ccccc1)C2 ZINC001226621748 882544246 /nfs/dbraw/zinc/54/42/46/882544246.db2.gz CYCASGLCNSXHKG-LLVKDONJSA-N -1 1 316.361 1.356 20 0 DDADMM C[C@@H](CON)Oc1nc(=O)[n-]c2c1CN(Cc1ccccc1)C2 ZINC001226621752 882545501 /nfs/dbraw/zinc/54/55/01/882545501.db2.gz CYCASGLCNSXHKG-NSHDSACASA-N -1 1 316.361 1.356 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(CCOCCC(C)C)C2)c1[O-] ZINC001318325747 871930906 /nfs/dbraw/zinc/93/09/06/871930906.db2.gz GXUYGVAOGIPVAN-CYBMUJFWSA-N -1 1 324.425 1.291 20 0 DDADMM CC/C=C(\C)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001316769301 872014354 /nfs/dbraw/zinc/01/43/54/872014354.db2.gz VCEZQVZVCBXMLT-BWODNOAJSA-N -1 1 321.425 1.727 20 0 DDADMM Cc1c[nH]c2ncc([N-]S(=O)(=O)c3ccc(O)c(N)c3)cc12 ZINC001206049303 872014829 /nfs/dbraw/zinc/01/48/29/872014829.db2.gz JOCPLGXFQASMRU-UHFFFAOYSA-N -1 1 318.358 1.960 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cc(Cl)n(C)n2)[n-]1 ZINC001361894175 882591909 /nfs/dbraw/zinc/59/19/09/882591909.db2.gz DILLZMJDLLRCTH-UHFFFAOYSA-N -1 1 324.724 1.643 20 0 DDADMM CCCCOC[C@H](C)Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226723680 882614208 /nfs/dbraw/zinc/61/42/08/882614208.db2.gz ZTPMBHZDJDNIDC-LBPRGKRZSA-N -1 1 311.382 1.603 20 0 DDADMM C[C@@H](NC(=O)c1cnncc1[O-])c1ccc2c(c1)CCC(=O)N2 ZINC001361905823 882615047 /nfs/dbraw/zinc/61/50/47/882615047.db2.gz PVEZFALFGWQNQH-SECBINFHSA-N -1 1 312.329 1.558 20 0 DDADMM CC(C)C(=O)Nc1cccc(NC(=O)CCc2nn[n-]n2)c1 ZINC001361908335 882619832 /nfs/dbraw/zinc/61/98/32/882619832.db2.gz SODRUDOPTODGGA-UHFFFAOYSA-N -1 1 302.338 1.366 20 0 DDADMM CC(=O)[C@H](C)Oc1[n-]c(=O)ncc1I ZINC001226771069 882635644 /nfs/dbraw/zinc/63/56/44/882635644.db2.gz PLEDTLOEVMQFLI-YFKPBYRVSA-N -1 1 308.075 1.143 20 0 DDADMM CN(C[C@H]1CC=CCC1)c1nnc(-c2nnn[n-]2)n1CC1CC1 ZINC001346078026 873608247 /nfs/dbraw/zinc/60/82/47/873608247.db2.gz ZUGHCMMOBHOMAJ-NSHDSACASA-N -1 1 314.397 1.661 20 0 DDADMM CN(C[C@H]1CC=CCC1)c1nnc(-c2nn[n-]n2)n1CC1CC1 ZINC001346078026 873608252 /nfs/dbraw/zinc/60/82/52/873608252.db2.gz ZUGHCMMOBHOMAJ-NSHDSACASA-N -1 1 314.397 1.661 20 0 DDADMM CC(C)(C)[C@H](O)C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001361977655 882747815 /nfs/dbraw/zinc/74/78/15/882747815.db2.gz DGYMYWDDRZFNHR-GFCCVEGCSA-N -1 1 305.338 1.124 20 0 DDADMM CCC1(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)CCOCC1 ZINC001346843127 873911652 /nfs/dbraw/zinc/91/16/52/873911652.db2.gz MCNVFNHMRKQRGF-UHFFFAOYSA-N -1 1 311.407 1.716 20 0 DDADMM COCCC1CCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1 ZINC001347851356 874291074 /nfs/dbraw/zinc/29/10/74/874291074.db2.gz DGMFDWBZUCZEGW-UHFFFAOYSA-N -1 1 311.407 1.668 20 0 DDADMM O=C(c1c(Cl)ccnc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001348149118 874380791 /nfs/dbraw/zinc/38/07/91/874380791.db2.gz LBFQZNQIMBDDHY-ZETCQYMHSA-N -1 1 310.720 1.407 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC([C@H]2CCCO2)CC1 ZINC001348320109 874481085 /nfs/dbraw/zinc/48/10/85/874481085.db2.gz DDBXSWAZAMNRAY-LLVKDONJSA-N -1 1 323.418 1.811 20 0 DDADMM O=C(C(=O)N1CCC(Cc2c[nH]cn2)CC1)c1ccc([O-])cc1 ZINC001348557719 874632887 /nfs/dbraw/zinc/63/28/87/874632887.db2.gz GIFKUEUMRMOZBI-UHFFFAOYSA-N -1 1 313.357 1.779 20 0 DDADMM O=C(/C=C\c1nccs1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC001348816074 874798796 /nfs/dbraw/zinc/79/87/96/874798796.db2.gz AZFYEDHGBXINDU-UPHRSURJSA-N -1 1 319.308 1.293 20 0 DDADMM O=C(NCCNC(=O)[C@@H]1CCC=CCCC1)c1ncccc1[O-] ZINC001348839499 874822939 /nfs/dbraw/zinc/82/29/39/874822939.db2.gz ZNDBTWFQNGGYRP-CYBMUJFWSA-N -1 1 317.389 1.770 20 0 DDADMM COCC(=O)c1ccc([O-])cc1O[C@@H](C(=O)OC)C(F)(F)F ZINC001227169531 882889881 /nfs/dbraw/zinc/88/98/81/882889881.db2.gz VVPZNUGQZUOTQK-NSHDSACASA-N -1 1 322.235 1.704 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc3[nH]nc(N)c3c2)cc1N ZINC001209863443 875147821 /nfs/dbraw/zinc/14/78/21/875147821.db2.gz VWIUSNYBFNENRO-UHFFFAOYSA-N -1 1 317.374 1.837 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(OC(F)F)c(Cl)c1 ZINC001362042110 882901231 /nfs/dbraw/zinc/90/12/31/882901231.db2.gz QBRCGCVJOQTLAB-UHFFFAOYSA-N -1 1 303.656 1.385 20 0 DDADMM COc1ncc([N-]S(=O)(=O)c2ccc(O)c(N)c2)cc1C ZINC001210317384 875329946 /nfs/dbraw/zinc/32/99/46/875329946.db2.gz QNYWRFLPDOCSJW-UHFFFAOYSA-N -1 1 309.347 1.487 20 0 DDADMM CCCCOC[C@@H](C)OC[C@@H](C)Oc1nc(C(=O)OC)n[n-]1 ZINC001228315066 883439963 /nfs/dbraw/zinc/43/99/63/883439963.db2.gz WSVWQOGMCWTVCX-GHMZBOCLSA-N -1 1 315.370 1.580 20 0 DDADMM CCCCOC[C@@H](C)OC[C@@H](C)Oc1n[n-]c(C(=O)OC)n1 ZINC001228315066 883439972 /nfs/dbraw/zinc/43/99/72/883439972.db2.gz WSVWQOGMCWTVCX-GHMZBOCLSA-N -1 1 315.370 1.580 20 0 DDADMM CCC[C@H](NC(=O)c1noc2c1CC(C)(C)CC2)c1nn[n-]n1 ZINC001362054339 882926656 /nfs/dbraw/zinc/92/66/56/882926656.db2.gz VTJHNHAXUFHROZ-JTQLQIEISA-N -1 1 318.381 1.974 20 0 DDADMM CCCCCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001210750591 875512988 /nfs/dbraw/zinc/51/29/88/875512988.db2.gz ZDXMXVWIEOGYPC-CHWSQXEVSA-N -1 1 323.441 1.015 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001210850104 875566145 /nfs/dbraw/zinc/56/61/45/875566145.db2.gz UWZRLJPARWUMBJ-NEPJUHHUSA-N -1 1 323.441 1.663 20 0 DDADMM Cc1ccc([C@@H]2CCCN2c2nnc(-c3nnn[n-]3)n2C)cc1 ZINC001350430098 875683566 /nfs/dbraw/zinc/68/35/66/875683566.db2.gz CXUDNEMWLSTHAV-LBPRGKRZSA-N -1 1 310.365 1.645 20 0 DDADMM Cc1ccc([C@@H]2CCCN2c2nnc(-c3nn[n-]n3)n2C)cc1 ZINC001350430098 875683569 /nfs/dbraw/zinc/68/35/69/875683569.db2.gz CXUDNEMWLSTHAV-LBPRGKRZSA-N -1 1 310.365 1.645 20 0 DDADMM Cc1cccn2cc(C(=O)N3CCC[C@@H](Cc4nn[n-]n4)C3)cc12 ZINC001350429663 875684026 /nfs/dbraw/zinc/68/40/26/875684026.db2.gz RJTPAWMSBGDTNU-ZDUSSCGKSA-N -1 1 324.388 1.856 20 0 DDADMM C[C@@H]1CCN(CN2C(=O)CC2(C)C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC001350488932 875720364 /nfs/dbraw/zinc/72/03/64/875720364.db2.gz ZQUDWMKLPCCUBO-ZJUUUORDSA-N -1 1 321.343 1.344 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H]1CC1(Cl)Cl ZINC001350871292 875936424 /nfs/dbraw/zinc/93/64/24/875936424.db2.gz CCKDGWJGHSADCG-RXMQYKEDSA-N -1 1 308.190 1.703 20 0 DDADMM O=C(N[C@H]1CCCOc2ccc(F)cc21)c1cnncc1[O-] ZINC001362084355 882995158 /nfs/dbraw/zinc/99/51/58/882995158.db2.gz LOHULNUBYRZNRB-LBPRGKRZSA-N -1 1 303.293 1.965 20 0 DDADMM CC(C)[C@H](Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1)C(=O)OC(C)(C)C ZINC001227430523 883008991 /nfs/dbraw/zinc/00/89/91/883008991.db2.gz LQZMLICHRLWJQB-QMMMGPOBSA-N -1 1 324.337 1.509 20 0 DDADMM CC(C)[C@H](Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)C(=O)OC(C)(C)C ZINC001227430523 883009008 /nfs/dbraw/zinc/00/90/08/883009008.db2.gz LQZMLICHRLWJQB-QMMMGPOBSA-N -1 1 324.337 1.509 20 0 DDADMM CCOC(=O)N[C@H](CNC(=O)c1ccc([O-])cn1)CC(C)C ZINC001362092286 883015355 /nfs/dbraw/zinc/01/53/55/883015355.db2.gz LMEQXWAEDTWGJB-NSHDSACASA-N -1 1 309.366 1.678 20 0 DDADMM O=c1[nH]c2nc(O[C@@H]3CCc4c3nccc4Cl)[n-]c2c(=O)[nH]1 ZINC001227435292 883020658 /nfs/dbraw/zinc/02/06/58/883020658.db2.gz SEVYRDFUERLXSA-SSDOTTSWSA-N -1 1 319.708 1.879 20 0 DDADMM O=c1[nH]c2[n-]c(O[C@@H]3CCc4c3nccc4Cl)nc2c(=O)[nH]1 ZINC001227435292 883020674 /nfs/dbraw/zinc/02/06/74/883020674.db2.gz SEVYRDFUERLXSA-SSDOTTSWSA-N -1 1 319.708 1.879 20 0 DDADMM O=C1Cc2cc(S(=O)(=O)[N-]c3ncccc3F)ccc2N1 ZINC000180264880 876454086 /nfs/dbraw/zinc/45/40/86/876454086.db2.gz OHGIOLUKVNFPPF-UHFFFAOYSA-N -1 1 307.306 1.516 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](C)CN(C)C(=O)c2ncccc2[O-])o1 ZINC001381953323 883042862 /nfs/dbraw/zinc/04/28/62/883042862.db2.gz ZYSFQEPKUAOAMR-JTQLQIEISA-N -1 1 317.345 1.579 20 0 DDADMM COc1ccc(C(N)=O)cc1Nc1cc(=O)[n-]c(N(C)C)n1 ZINC001215327936 876651579 /nfs/dbraw/zinc/65/15/79/876651579.db2.gz BKZWTNMDVZZIOX-UHFFFAOYSA-N -1 1 303.322 1.099 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1nn(C)cc1Cl ZINC001352262843 876666795 /nfs/dbraw/zinc/66/67/95/876666795.db2.gz RUVGEILWZPOXLJ-UHFFFAOYSA-N -1 1 313.770 1.096 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1nccs1)c1nn[n-]n1 ZINC001352388655 876722025 /nfs/dbraw/zinc/72/20/25/876722025.db2.gz SOQOCZKMDVJUGE-SGJFDWMWSA-N -1 1 310.408 1.280 20 0 DDADMM COC(=O)CCc1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001362110779 883064921 /nfs/dbraw/zinc/06/49/21/883064921.db2.gz NRHWYMRVOZIRCI-UHFFFAOYSA-N -1 1 317.349 1.267 20 0 DDADMM O=C(Cc1cccc2cccnc21)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001352595932 876823715 /nfs/dbraw/zinc/82/37/15/876823715.db2.gz IDJCOENKZPZPLX-CYBMUJFWSA-N -1 1 308.345 1.307 20 0 DDADMM COc1cc(CO)cc([N-]S(=O)(=O)c2cc(N)ccc2C)c1 ZINC001216202482 876889574 /nfs/dbraw/zinc/88/95/74/876889574.db2.gz YTWQFSUSYPDHDZ-UHFFFAOYSA-N -1 1 322.386 1.879 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H]3C[C@@H]3C2)c1Cl ZINC000403619861 876900306 /nfs/dbraw/zinc/90/03/06/876900306.db2.gz KTGQDSXIWFUYNI-BBBLOLIVSA-N -1 1 303.815 1.788 20 0 DDADMM O=c1nc(O[C@@H]2CC[C@H](OCc3ccccc3)C2)[n-]c(=O)[nH]1 ZINC001227570804 883089349 /nfs/dbraw/zinc/08/93/49/883089349.db2.gz WQLNNKVGZPLDOK-NWDGAFQWSA-N -1 1 303.318 1.800 20 0 DDADMM O=C([N-]c1nnc2ccc(Cl)nn21)c1ocnc1C(F)F ZINC001353187346 877170008 /nfs/dbraw/zinc/17/00/08/877170008.db2.gz CYTLRPJGKVUHCA-UHFFFAOYSA-N -1 1 314.639 1.956 20 0 DDADMM COC(=O)[C@H](CCO)Oc1nc2cc(Cl)nc(Cl)c2[n-]1 ZINC001218220894 877426159 /nfs/dbraw/zinc/42/61/59/877426159.db2.gz SAHLOODRPXXOKC-LURJTMIESA-N -1 1 320.132 1.568 20 0 DDADMM Cc1cocc1C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001395561283 912281724 /nfs/dbraw/zinc/28/17/24/912281724.db2.gz OGFKBTUUHZVEFJ-LLVKDONJSA-N -1 1 317.345 1.579 20 0 DDADMM O=S(=O)(Nc1nc2ccccc2nc1Cl)c1ncc[n-]1 ZINC000312505390 878276978 /nfs/dbraw/zinc/27/69/78/878276978.db2.gz FSSSRSNXSLNMIJ-UHFFFAOYSA-N -1 1 309.738 1.807 20 0 DDADMM C[C@H]1CCN(c2nnc(-c3nnn[n-]3)n2C)[C@@H]1c1ccccc1 ZINC001355336422 878511354 /nfs/dbraw/zinc/51/13/54/878511354.db2.gz ZWBSHJMUBNUWFQ-JQWIXIFHSA-N -1 1 310.365 1.583 20 0 DDADMM C[C@H]1CCN(c2nnc(-c3nn[n-]n3)n2C)[C@@H]1c1ccccc1 ZINC001355336422 878511380 /nfs/dbraw/zinc/51/13/80/878511380.db2.gz ZWBSHJMUBNUWFQ-JQWIXIFHSA-N -1 1 310.365 1.583 20 0 DDADMM CSc1ncc(C(=O)N(C)[C@H](C)C(=O)OC(C)C)c(=O)[n-]1 ZINC001362134853 883124068 /nfs/dbraw/zinc/12/40/68/883124068.db2.gz YTJXJXFTYBREBX-MRVPVSSYSA-N -1 1 313.379 1.316 20 0 DDADMM COC(=O)[C@H](Oc1[n-]c(=O)nc2c1CO[C@H]2C)C(F)(F)F ZINC001227710076 883140951 /nfs/dbraw/zinc/14/09/51/883140951.db2.gz HXNZVZDTHFQRCM-FFWSUHOLSA-N -1 1 308.212 1.256 20 0 DDADMM CN(C)C(=O)C1(CNC(=O)c2ccc(F)c([O-])c2)CCCC1 ZINC001362144997 883149179 /nfs/dbraw/zinc/14/91/79/883149179.db2.gz BLHNTCCPCIHXRB-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM C[C@@]1(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CCCCO1 ZINC001362165167 883190143 /nfs/dbraw/zinc/19/01/43/883190143.db2.gz MIBWWJGUNCZMRE-HNNXBMFYSA-N -1 1 317.349 1.676 20 0 DDADMM NC(=O)c1cccc(CCNC(=O)c2ccc(F)c([O-])c2)c1 ZINC001362204772 883293994 /nfs/dbraw/zinc/29/39/94/883293994.db2.gz PZZUOSVHVOPYOR-UHFFFAOYSA-N -1 1 302.305 1.603 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C)c(CC)[nH]c1=O)c1nn[n-]n1 ZINC001362207304 883300174 /nfs/dbraw/zinc/30/01/74/883300174.db2.gz UBTRXRPDXASBQT-NSHDSACASA-N -1 1 304.354 1.442 20 0 DDADMM CCN1C(=O)C[C@@H](C(=O)Nc2nnn[n-]2)[C@@H]1c1cccc(C)c1 ZINC001362260467 883428634 /nfs/dbraw/zinc/42/86/34/883428634.db2.gz XXESXZVILGSLSG-YPMHNXCESA-N -1 1 314.349 1.056 20 0 DDADMM CCN1C(=O)C[C@@H](C(=O)Nc2nn[n-]n2)[C@@H]1c1cccc(C)c1 ZINC001362260467 883428639 /nfs/dbraw/zinc/42/86/39/883428639.db2.gz XXESXZVILGSLSG-YPMHNXCESA-N -1 1 314.349 1.056 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CC[C@H]2Oc2cnnc(=S)[n-]2)C1 ZINC001228641256 883589528 /nfs/dbraw/zinc/58/95/28/883589528.db2.gz BCSBILSOSCVFOH-SECBINFHSA-N -1 1 324.406 1.939 20 0 DDADMM O=C(c1nccc(Cl)c1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362348548 883619326 /nfs/dbraw/zinc/61/93/26/883619326.db2.gz ARWSNQHSEGCRCR-ZETCQYMHSA-N -1 1 310.720 1.407 20 0 DDADMM CCN(C(=O)c1cnncc1[O-])c1ncc(C(=O)OC)s1 ZINC001362355190 883633110 /nfs/dbraw/zinc/63/31/10/883633110.db2.gz SHAJFVKJOOWTOF-UHFFFAOYSA-N -1 1 308.319 1.092 20 0 DDADMM C[C@@H]1CCN(C(=O)c2nc[n-]c(=O)c2Br)C[C@@H]1F ZINC001362405651 883737569 /nfs/dbraw/zinc/73/75/69/883737569.db2.gz DUNWYXOFUMZVNM-RQJHMYQMSA-N -1 1 318.146 1.765 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC(=O)N(CC(F)(F)F)C1 ZINC001362422929 883775160 /nfs/dbraw/zinc/77/51/60/883775160.db2.gz INCWJXDQQAPEEY-UHFFFAOYSA-N -1 1 306.215 1.336 20 0 DDADMM O=C(NCc1noc(C(F)(F)F)n1)c1cccc([O-])c1F ZINC001362475385 883896389 /nfs/dbraw/zinc/89/63/89/883896389.db2.gz OLBADKUEEDVERO-UHFFFAOYSA-N -1 1 305.187 1.863 20 0 DDADMM O=C(NCC[C@@H]1COc2ccccc2O1)c1ccc([O-])cn1 ZINC001362566385 884111091 /nfs/dbraw/zinc/11/10/91/884111091.db2.gz AJDKKTNOUXZUOS-GFCCVEGCSA-N -1 1 300.314 1.747 20 0 DDADMM COC(=O)c1c[n-]c(=O)nc1O[C@H]1CCCC2(C1)OCCO2 ZINC001229871291 884206492 /nfs/dbraw/zinc/20/64/92/884206492.db2.gz UKQGDOAQKVEQDV-VIFPVBQESA-N -1 1 310.306 1.033 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@H](O)C(C)(C)C ZINC001362617303 884233452 /nfs/dbraw/zinc/23/34/52/884233452.db2.gz YEZQMEHENBNGMK-LPEHRKFASA-N -1 1 324.343 1.451 20 0 DDADMM C=C=CCNC(=O)CCc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC001362636901 884288191 /nfs/dbraw/zinc/28/81/91/884288191.db2.gz WXJKVELXEMYCLL-UHFFFAOYSA-N -1 1 310.357 1.943 20 0 DDADMM O=C(COCc1cccc(Cl)c1)NCc1nc([O-])cc(=O)[nH]1 ZINC001362727514 884495759 /nfs/dbraw/zinc/49/57/59/884495759.db2.gz VFGBBYAVYOWCBT-UHFFFAOYSA-N -1 1 323.736 1.374 20 0 DDADMM CCC(CC)(NC(=O)c1cccc2c1O[C@@H](C)C2)c1nn[n-]n1 ZINC001362784914 884631647 /nfs/dbraw/zinc/63/16/47/884631647.db2.gz KAACHFKOSGREFE-JTQLQIEISA-N -1 1 315.377 1.968 20 0 DDADMM O=C(NCCO)c1ccc(NC(=O)c2cc(F)ccc2[O-])cc1 ZINC001362785948 884634946 /nfs/dbraw/zinc/63/49/46/884634946.db2.gz ALIFFTZTWOCFME-UHFFFAOYSA-N -1 1 318.304 1.506 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(=O)[nH]1 ZINC001362795018 884657884 /nfs/dbraw/zinc/65/78/84/884657884.db2.gz KAJISKGIRCNXKA-UHFFFAOYSA-N -1 1 316.365 1.444 20 0 DDADMM CC(C)c1ncncc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001362803213 884680341 /nfs/dbraw/zinc/68/03/41/884680341.db2.gz MWYNMLMDGNFUPW-UHFFFAOYSA-N -1 1 301.354 1.133 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@@H]1CCC2N=NC(=O)N2C1 ZINC001362820111 884718453 /nfs/dbraw/zinc/71/84/53/884718453.db2.gz RFZARHINHQPHQH-ZCFIWIBFSA-N -1 1 310.260 1.169 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1nn(CC(F)(F)F)cc1Cl ZINC001362838068 884764006 /nfs/dbraw/zinc/76/40/06/884764006.db2.gz FZQBAYKIYXEBLB-UHFFFAOYSA-N -1 1 323.666 1.183 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1Cc2ccc(F)cc21)c1nn[n-]n1 ZINC001362857648 884810963 /nfs/dbraw/zinc/81/09/63/884810963.db2.gz OHDDUOGNDRYFDY-LBPRGKRZSA-N -1 1 303.341 1.810 20 0 DDADMM COC(=O)[C@H](Cc1cncs1)NC(=O)c1ccc([O-])cc1F ZINC001362861674 884822300 /nfs/dbraw/zinc/82/23/00/884822300.db2.gz DNWGAAYCZYINGK-LBPRGKRZSA-N -1 1 324.333 1.502 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1cc(C)co1 ZINC001362887318 884891046 /nfs/dbraw/zinc/89/10/46/884891046.db2.gz HMDNCYYRFLOHOJ-SNVBAGLBSA-N -1 1 318.333 1.860 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1cc(C)co1 ZINC001362887318 884891059 /nfs/dbraw/zinc/89/10/59/884891059.db2.gz HMDNCYYRFLOHOJ-SNVBAGLBSA-N -1 1 318.333 1.860 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1cc(C)co1 ZINC001362887318 884891074 /nfs/dbraw/zinc/89/10/74/884891074.db2.gz HMDNCYYRFLOHOJ-SNVBAGLBSA-N -1 1 318.333 1.860 20 0 DDADMM Cc1cc(C(=O)Nc2cc([O-])c(F)cc2F)c(C)n1NC(N)=O ZINC001362984457 885146141 /nfs/dbraw/zinc/14/61/41/885146141.db2.gz KMUYLEJYPZYEMO-UHFFFAOYSA-N -1 1 324.287 1.963 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCN(c2ncns2)CC1 ZINC001363020669 885246983 /nfs/dbraw/zinc/24/69/83/885246983.db2.gz UGGFMJOSMDQEDK-UHFFFAOYSA-N -1 1 308.338 1.345 20 0 DDADMM O=C(Nc1cnc2c(c1)CNC2=O)c1c(F)ccc([O-])c1F ZINC001363061626 885364132 /nfs/dbraw/zinc/36/41/32/885364132.db2.gz XYIDZXOYNFGCLE-UHFFFAOYSA-N -1 1 305.240 1.561 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H]2[C@@H]2CCCOC2)c(=O)[n-]1 ZINC001363080745 885416983 /nfs/dbraw/zinc/41/69/83/885416983.db2.gz NRGHTMFMZPQNNR-KOLCDFICSA-N -1 1 309.391 1.545 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2oc(C(C)C)nc2C)n[n-]1 ZINC001363120463 885514304 /nfs/dbraw/zinc/51/43/04/885514304.db2.gz WNXNNJSWPBRVOH-UHFFFAOYSA-N -1 1 321.337 1.331 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2oc(C(C)C)nc2C)n1 ZINC001363120463 885514314 /nfs/dbraw/zinc/51/43/14/885514314.db2.gz WNXNNJSWPBRVOH-UHFFFAOYSA-N -1 1 321.337 1.331 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H](c3ccncc3)C2)c(=O)[n-]1 ZINC001363148459 885582102 /nfs/dbraw/zinc/58/21/02/885582102.db2.gz SSLRFUMVXAAAHV-NSHDSACASA-N -1 1 316.386 1.929 20 0 DDADMM CCOC(=O)Cn1ccc(NC(=O)c2c([O-])cccc2OC)n1 ZINC001363167193 885624278 /nfs/dbraw/zinc/62/42/78/885624278.db2.gz DAIDPALLNQWHNX-UHFFFAOYSA-N -1 1 319.317 1.413 20 0 DDADMM O=C([O-])c1ccc(F)c(CN2CCC3(CC2)COCC(=O)N3)c1 ZINC001231456685 885680008 /nfs/dbraw/zinc/68/00/08/885680008.db2.gz DZAIWAXUMDQEDE-UHFFFAOYSA-N -1 1 322.336 1.005 20 0 DDADMM COc1ccc(CN2CCC[C@H](n3cncn3)C2)cc1C(=O)[O-] ZINC001231754464 885856333 /nfs/dbraw/zinc/85/63/33/885856333.db2.gz YZNZEMIFDQARRX-ZDUSSCGKSA-N -1 1 316.361 1.822 20 0 DDADMM CC1(C)CCC[C@@H](CNC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001363349848 886098912 /nfs/dbraw/zinc/09/89/12/886098912.db2.gz ZUKIOHKELODVMM-GFCCVEGCSA-N -1 1 319.405 1.850 20 0 DDADMM CC(C)C(=O)[C@H](NC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC001363398902 886225767 /nfs/dbraw/zinc/22/57/67/886225767.db2.gz KEEOKMYWMNCIHM-CQSZACIVSA-N -1 1 301.350 1.215 20 0 DDADMM O=C(c1ccc(O)cn1)N1C[C@@H]2C[C@H]1C[N@H+]2Cc1ccccc1 ZINC001363498376 886491642 /nfs/dbraw/zinc/49/16/42/886491642.db2.gz CSMUMBYSIBFYOR-GJZGRUSLSA-N -1 1 309.369 1.886 20 0 DDADMM CCCc1cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)cc(=O)[nH]1 ZINC001363541300 886586667 /nfs/dbraw/zinc/58/66/67/886586667.db2.gz CRJHGAXXHFFJRS-UHFFFAOYSA-N -1 1 318.381 1.551 20 0 DDADMM CCO[C@H](C(=O)N(Cc1nn[n-]n1)CC(C)C)c1ccccc1 ZINC001363544163 886598733 /nfs/dbraw/zinc/59/87/33/886598733.db2.gz KUEDETAMYXQOJM-HNNXBMFYSA-N -1 1 317.393 1.962 20 0 DDADMM COC(C)(C)c1nsc([N-]C(=O)c2coc(C(N)=O)c2)n1 ZINC001363561213 886637736 /nfs/dbraw/zinc/63/77/36/886637736.db2.gz XSSMVFFPPXUXNF-UHFFFAOYSA-N -1 1 310.335 1.364 20 0 DDADMM O=C(NCc1cc(=O)[nH]c(C2CC2)n1)c1cccc([O-])c1F ZINC001363569671 886658799 /nfs/dbraw/zinc/65/87/99/886658799.db2.gz SKPFXMQICXPAMV-UHFFFAOYSA-N -1 1 303.293 1.834 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(c2cc(Cl)ccn2)CC1 ZINC001363569946 886659042 /nfs/dbraw/zinc/65/90/42/886659042.db2.gz LXARQSGSKFKERO-UHFFFAOYSA-N -1 1 318.764 1.798 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2ccc(F)c([O-])c2F)CCO1 ZINC001232935509 886681399 /nfs/dbraw/zinc/68/13/99/886681399.db2.gz QXGMXBWPSGMJCS-LLVKDONJSA-N -1 1 301.289 1.434 20 0 DDADMM C[C@H]1CN(C2CN(Cc3ccc(F)c([O-])c3F)C2)C[C@H](C)O1 ZINC001232939361 886684862 /nfs/dbraw/zinc/68/48/62/886684862.db2.gz NMAAHSFBUWGTHV-QWRGUYRKSA-N -1 1 312.360 1.964 20 0 DDADMM Cc1ccnc(N2CCN(Cc3cc(Cl)ncc3[O-])CC2)n1 ZINC001233038574 886748108 /nfs/dbraw/zinc/74/81/08/886748108.db2.gz VKXVFJCXCBPXIT-UHFFFAOYSA-N -1 1 319.796 1.861 20 0 DDADMM Cc1cc(NC(=O)c2cncc([O-])c2)cc(S(C)(=O)=O)c1 ZINC001363703638 887023707 /nfs/dbraw/zinc/02/37/07/887023707.db2.gz MCKFHOXTCQTTBE-UHFFFAOYSA-N -1 1 306.343 1.751 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)Nc2nc(C)cc(OC)n2)[n-]1 ZINC001363744986 887124097 /nfs/dbraw/zinc/12/40/97/887124097.db2.gz TXLPGLVPXCBKQI-QMMMGPOBSA-N -1 1 306.326 1.262 20 0 DDADMM CCOC(=O)c1nc([C@H](C)Nc2nc(C)cc(OC)n2)n[n-]1 ZINC001363744986 887124110 /nfs/dbraw/zinc/12/41/10/887124110.db2.gz TXLPGLVPXCBKQI-QMMMGPOBSA-N -1 1 306.326 1.262 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)Nc2nc(C)cc(OC)n2)n1 ZINC001363744986 887124122 /nfs/dbraw/zinc/12/41/22/887124122.db2.gz TXLPGLVPXCBKQI-QMMMGPOBSA-N -1 1 306.326 1.262 20 0 DDADMM CC[C@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)c1ccncc1 ZINC001363781419 887203435 /nfs/dbraw/zinc/20/34/35/887203435.db2.gz KRELZKXQSPDZCR-JTQLQIEISA-N -1 1 324.362 1.021 20 0 DDADMM CC[C@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)c1ccncc1 ZINC001363781419 887203454 /nfs/dbraw/zinc/20/34/54/887203454.db2.gz KRELZKXQSPDZCR-JTQLQIEISA-N -1 1 324.362 1.021 20 0 DDADMM CCc1c(C(=O)N(Cc2nn[n-]n2)CC(C)C)cnn1CC ZINC001363800348 887251940 /nfs/dbraw/zinc/25/19/40/887251940.db2.gz JTGJJBZDJHKMPZ-UHFFFAOYSA-N -1 1 305.386 1.277 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CCC2)cnn1C1CCCC1 ZINC001363821583 887313704 /nfs/dbraw/zinc/31/37/04/887313704.db2.gz MSXZXVWPKKVNRJ-UHFFFAOYSA-N -1 1 315.381 1.629 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CCc2ccon2)[n-]c1=O ZINC001363847866 887386505 /nfs/dbraw/zinc/38/65/05/887386505.db2.gz LEUPFKBPOQAMHI-LLVKDONJSA-N -1 1 318.333 1.475 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2ccc(C)nc2)n[n-]1 ZINC001363885626 887470859 /nfs/dbraw/zinc/47/08/59/887470859.db2.gz LPXDVAHPVXSXHM-SNVBAGLBSA-N -1 1 317.349 1.105 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2ccc(C)nc2)n1 ZINC001363885626 887470869 /nfs/dbraw/zinc/47/08/69/887470869.db2.gz LPXDVAHPVXSXHM-SNVBAGLBSA-N -1 1 317.349 1.105 20 0 DDADMM O=C(NC[C@@H](O)Cc1cccc(Cl)c1)c1ccc([O-])cn1 ZINC001363895570 887492626 /nfs/dbraw/zinc/49/26/26/887492626.db2.gz NTQKRUBWOYIHNV-ZDUSSCGKSA-N -1 1 306.749 1.774 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2ccc(F)c(F)c2)sn1 ZINC001363930145 887560420 /nfs/dbraw/zinc/56/04/20/887560420.db2.gz JQFDNFINFHLZES-UHFFFAOYSA-N -1 1 320.342 1.908 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2c(C)n[nH]c2C)sn1 ZINC001364007130 887713053 /nfs/dbraw/zinc/71/30/53/887713053.db2.gz WASLJSRFHZVJCE-UHFFFAOYSA-N -1 1 316.408 1.013 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@]12C[C@H]1CCCC2 ZINC001364071782 887851668 /nfs/dbraw/zinc/85/16/68/887851668.db2.gz RAECJUGDJCSVBV-RNCFNFMXSA-N -1 1 313.379 1.055 20 0 DDADMM CSc1nc(CNC(=O)Nc2cncc(F)c2)cc(=O)[n-]1 ZINC001364113791 887950413 /nfs/dbraw/zinc/95/04/13/887950413.db2.gz JSJSFZUELNWKMV-UHFFFAOYSA-N -1 1 309.326 1.760 20 0 DDADMM Cc1nc(C(N)=O)c(OC2CC(OC(=O)C(C)(C)C)C2)c(=O)[n-]1 ZINC001234469780 888001046 /nfs/dbraw/zinc/00/10/46/888001046.db2.gz KLVLQEVCEXCRHW-UHFFFAOYSA-N -1 1 323.349 1.089 20 0 DDADMM COc1ccc([C@@H](C)Oc2c(C(N)=O)nc(C)[n-]c2=O)c(F)c1 ZINC001234477660 888010360 /nfs/dbraw/zinc/01/03/60/888010360.db2.gz OEDMXKHBDUMCHV-SSDOTTSWSA-N -1 1 321.308 1.877 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H](C)C(=O)OC(C)(C)C ZINC001234565042 888104202 /nfs/dbraw/zinc/10/42/02/888104202.db2.gz STRXRPSAYPYICB-SSDOTTSWSA-N -1 1 312.322 1.386 20 0 DDADMM O=C(NCc1n[nH]c(C2CCOCC2)n1)c1ccc(F)cc1[O-] ZINC001364186111 888106352 /nfs/dbraw/zinc/10/63/52/888106352.db2.gz UVLWXXRIURBSLA-UHFFFAOYSA-N -1 1 320.324 1.473 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCC3(CC3)C2)[n-]n1 ZINC001364194643 888124435 /nfs/dbraw/zinc/12/44/35/888124435.db2.gz MOAQYDACJRAMNI-VIFPVBQESA-N -1 1 313.379 1.197 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCC3(CC3)C2)n[n-]1 ZINC001364194643 888124447 /nfs/dbraw/zinc/12/44/47/888124447.db2.gz MOAQYDACJRAMNI-VIFPVBQESA-N -1 1 313.379 1.197 20 0 DDADMM CC(C)[C@H](F)C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385250936 888735161 /nfs/dbraw/zinc/73/51/61/888735161.db2.gz BCXROUXAGAHMPB-AAEUAGOBSA-N -1 1 323.368 1.454 20 0 DDADMM CCCCC(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385252270 888738577 /nfs/dbraw/zinc/73/85/77/888738577.db2.gz GZTOBSVTQUTZOJ-GFCCVEGCSA-N -1 1 305.378 1.650 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@@H]2CCC[C@H](OC)C2)n[n-]1 ZINC001364504256 888789192 /nfs/dbraw/zinc/78/91/92/888789192.db2.gz HEGDTTXKKUZRBT-MNOVXSKESA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H]2CCC[C@H](OC)C2)n1 ZINC001364504256 888789197 /nfs/dbraw/zinc/78/91/97/888789197.db2.gz HEGDTTXKKUZRBT-MNOVXSKESA-N -1 1 324.381 1.193 20 0 DDADMM Cc1cnc(SCc2nc3c(c(=O)[nH]2)COCC3)[n-]c1=O ZINC001364612594 889033349 /nfs/dbraw/zinc/03/33/49/889033349.db2.gz CIOBEHXDKHWTJE-UHFFFAOYSA-N -1 1 306.347 1.351 20 0 DDADMM COC(=O)[C@]12CCC[C@H]1CN(C(=O)c1cccc([O-])c1F)C2 ZINC001364622025 889054715 /nfs/dbraw/zinc/05/47/15/889054715.db2.gz SYPMFXCSZDCISD-QFYYESIMSA-N -1 1 307.321 1.947 20 0 DDADMM O=C(NC1(c2nn[nH]n2)CCC1)c1cc(F)c([O-])c(Cl)c1 ZINC001364663226 889148945 /nfs/dbraw/zinc/14/89/45/889148945.db2.gz MQJLPBFSJVGMID-UHFFFAOYSA-N -1 1 311.704 1.507 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)Cc1ccoc1 ZINC001364766521 889369538 /nfs/dbraw/zinc/36/95/38/889369538.db2.gz CGXGCMYNWBPBMG-LLVKDONJSA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)Cc1ccoc1 ZINC001364766521 889369554 /nfs/dbraw/zinc/36/95/54/889369554.db2.gz CGXGCMYNWBPBMG-LLVKDONJSA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)Cc1ccoc1 ZINC001364766521 889369565 /nfs/dbraw/zinc/36/95/65/889369565.db2.gz CGXGCMYNWBPBMG-LLVKDONJSA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CC[C@@H](C)OC ZINC001364769327 889374383 /nfs/dbraw/zinc/37/43/83/889374383.db2.gz NYPRLFCKFLSIJN-GHMZBOCLSA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CC[C@@H](C)OC ZINC001364769327 889374398 /nfs/dbraw/zinc/37/43/98/889374398.db2.gz NYPRLFCKFLSIJN-GHMZBOCLSA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CC[C@@H](C)OC ZINC001364769327 889374413 /nfs/dbraw/zinc/37/44/13/889374413.db2.gz NYPRLFCKFLSIJN-GHMZBOCLSA-N -1 1 324.381 1.460 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2ncccc2F)c1 ZINC001364856055 889555165 /nfs/dbraw/zinc/55/51/65/889555165.db2.gz RXDFDXSJTJHUTN-UHFFFAOYSA-N -1 1 312.322 1.413 20 0 DDADMM C[C@@]1(C2CCN(C(=O)c3cccc([O-])c3F)CC2)COC(=O)N1 ZINC001364865539 889572893 /nfs/dbraw/zinc/57/28/93/889572893.db2.gz XSFXCZZUPVDYTF-INIZCTEOSA-N -1 1 322.336 1.882 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CC2(CCOCC2)CC[C@H]1CO ZINC001364907138 889646725 /nfs/dbraw/zinc/64/67/25/889646725.db2.gz GOGCJYKCXPPJHL-LBPRGKRZSA-N -1 1 306.362 1.181 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@@H]1CC(C)(C)CO1 ZINC001364960492 889766164 /nfs/dbraw/zinc/76/61/64/889766164.db2.gz PWDCWQKJURCFIU-SECBINFHSA-N -1 1 303.384 1.049 20 0 DDADMM CCOC(=O)c1n[n-]c(CNCc2nc(Cl)cs2)n1 ZINC001364995771 889846827 /nfs/dbraw/zinc/84/68/27/889846827.db2.gz WTEQDKLWKZVQFC-UHFFFAOYSA-N -1 1 301.759 1.381 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2nnc(C)s2)[n-]c1=O ZINC001365021134 889911218 /nfs/dbraw/zinc/91/12/18/889911218.db2.gz BENBHARVJVRZSA-UHFFFAOYSA-N -1 1 324.366 1.408 20 0 DDADMM C[S@@](=O)CCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001365237450 890413281 /nfs/dbraw/zinc/41/32/81/890413281.db2.gz IERMCHHPJREUSK-OAQYLSRUSA-N -1 1 308.363 1.078 20 0 DDADMM CCO[C@H](C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-])C(C)C ZINC001386270569 890686179 /nfs/dbraw/zinc/68/61/79/890686179.db2.gz ZEYYXEGOTZQKCC-RISCZKNCSA-N -1 1 323.393 1.083 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCO[C@H](C(C)C)C2)sn1 ZINC001365381139 890697231 /nfs/dbraw/zinc/69/72/31/890697231.db2.gz MWALBUFZKACEAM-ZJUUUORDSA-N -1 1 320.436 1.634 20 0 DDADMM COCC(C)(C)CC(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001386387936 890890743 /nfs/dbraw/zinc/89/07/43/890890743.db2.gz CFJFNHUJTRHKRG-LLVKDONJSA-N -1 1 323.393 1.084 20 0 DDADMM CC[C@H](C[C@H](C)CO)[N-]S(=O)(=O)c1csnc1OC ZINC001365673793 891289705 /nfs/dbraw/zinc/28/97/05/891289705.db2.gz VMZNGOZTUNXGJY-DTWKUNHWSA-N -1 1 308.425 1.227 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc(Cl)c(F)c2)n1 ZINC001365705439 891368675 /nfs/dbraw/zinc/36/86/75/891368675.db2.gz RMXPOWFLYNPYHH-UHFFFAOYSA-N -1 1 305.718 1.649 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1cccnc1)c1cccc(F)c1F ZINC001365720823 891395651 /nfs/dbraw/zinc/39/56/51/891395651.db2.gz FAVJNLMIDNNXME-LLVKDONJSA-N -1 1 314.313 1.372 20 0 DDADMM CCC[C@@H](CCO)[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC001365725787 891403924 /nfs/dbraw/zinc/40/39/24/891403924.db2.gz AVMKISCRURIJBO-JTQLQIEISA-N -1 1 319.379 1.204 20 0 DDADMM CC(=O)Nc1nc2nc[nH]c2c(-c2ccc(C(=O)[O-])cc2C)n1 ZINC001243201736 891620266 /nfs/dbraw/zinc/62/02/66/891620266.db2.gz DTHXFXHNVDZOSD-UHFFFAOYSA-N -1 1 311.301 1.985 20 0 DDADMM O=C([O-])CCC(=O)Nc1ccc(-c2ncnc3c2CNC3)cc1 ZINC001244030947 891778261 /nfs/dbraw/zinc/77/82/61/891778261.db2.gz OWCYCOUJADKFNS-UHFFFAOYSA-N -1 1 312.329 1.550 20 0 DDADMM Cc1cc2c(c(-c3cc(F)c(C(N)=O)c(F)c3)n1)C(=O)[N-]C2=O ZINC001244678034 891890224 /nfs/dbraw/zinc/89/02/24/891890224.db2.gz ZDJPDKSOJGAASH-UHFFFAOYSA-N -1 1 317.251 1.318 20 0 DDADMM O=[P@]([O-])(O)CCCCNC(=S)NCCc1ccccc1 ZINC001245343422 892093550 /nfs/dbraw/zinc/09/35/50/892093550.db2.gz PKUKLZYKSQAGDQ-UHFFFAOYSA-N -1 1 316.363 1.651 20 0 DDADMM O=c1cc(-c2cncc(Br)c2)[n-]n1C1=NCCN1 ZINC001245912076 892269931 /nfs/dbraw/zinc/26/99/31/892269931.db2.gz UJECMAIUNWBRPO-UHFFFAOYSA-N -1 1 308.139 1.221 20 0 DDADMM Cc1nc(CN[C@H](CNC(=O)c2ncccc2[O-])C2CC2)co1 ZINC001366030758 892271937 /nfs/dbraw/zinc/27/19/37/892271937.db2.gz BBGLNGJZHQRZMZ-CYBMUJFWSA-N -1 1 316.361 1.382 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1(C)CCCC1 ZINC001387439428 893104420 /nfs/dbraw/zinc/10/44/20/893104420.db2.gz ACVJXCMMOKZCBT-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM O=C([N-]CCCc1nc(-c2ccccn2)no1)C(F)(F)F ZINC001248432590 893634164 /nfs/dbraw/zinc/63/41/64/893634164.db2.gz QEYYJZLUFQUABQ-UHFFFAOYSA-N -1 1 300.240 1.743 20 0 DDADMM O=C([O-])c1c(F)ccc(CN2CCC[C@@]3(CCNC3=O)C2)c1F ZINC001249765965 894122609 /nfs/dbraw/zinc/12/26/09/894122609.db2.gz DNKCBSQHZMYMQG-MRXNPFEDSA-N -1 1 324.327 1.765 20 0 DDADMM C[C@H]1CC[C@H](C(=O)NCCN(C)C(=O)c2ncccc2[O-])CC1 ZINC001387941967 894195981 /nfs/dbraw/zinc/19/59/81/894195981.db2.gz UGIFUYYYTMYYCI-JOCQHMNTSA-N -1 1 319.405 1.802 20 0 DDADMM O=C([O-])CNC(=O)c1ccc(Nc2ccc3cncn3c2)cc1 ZINC001250335648 894240112 /nfs/dbraw/zinc/24/01/12/894240112.db2.gz MMJWSDNAWGQODW-UHFFFAOYSA-N -1 1 310.313 1.892 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)c1ccccc1)c1ccccn1 ZINC001251170356 894653592 /nfs/dbraw/zinc/65/35/92/894653592.db2.gz UYEAVNUQOKSXQA-AWEZNQCLSA-N -1 1 320.370 1.664 20 0 DDADMM C[C@H](C(=O)NCCNC(=O)c1ncccc1[O-])c1ccccc1 ZINC001292663154 913906483 /nfs/dbraw/zinc/90/64/83/913906483.db2.gz ZSUYHCYQBOVHIV-LBPRGKRZSA-N -1 1 313.357 1.437 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)CC(=O)NC3)cc1 ZINC001252198152 895035340 /nfs/dbraw/zinc/03/53/40/895035340.db2.gz LTVRDVNHEBLHGX-UHFFFAOYSA-N -1 1 316.382 1.968 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cc(O)cc(C(N)=O)c2)cc1 ZINC001252206503 895037114 /nfs/dbraw/zinc/03/71/14/895037114.db2.gz YWORURCCJLYEAI-UHFFFAOYSA-N -1 1 306.343 1.600 20 0 DDADMM Cc1ccc(S(=O)(=O)NCC(=O)c2ccc([O-])cc2)cc1 ZINC001252260268 895047317 /nfs/dbraw/zinc/04/73/17/895047317.db2.gz YKCVBTVICVLCMC-UHFFFAOYSA-N -1 1 305.355 1.862 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)C1CCCCC1 ZINC001388378558 895100255 /nfs/dbraw/zinc/10/02/55/895100255.db2.gz FGWPFUBUSJDIKB-GFCCVEGCSA-N -1 1 319.405 1.850 20 0 DDADMM COC(=O)c1ncc([N-]S(=O)(=O)/C=C/c2ccccc2)cn1 ZINC001252963998 895586209 /nfs/dbraw/zinc/58/62/09/895586209.db2.gz ULJSJJJCTPVLFQ-BQYQJAHWSA-N -1 1 319.342 1.676 20 0 DDADMM CCCC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001388839770 896028137 /nfs/dbraw/zinc/02/81/37/896028137.db2.gz RCGZYHKTNJEIEL-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM CC[C@H](OC)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C(C)C ZINC001388899899 896144964 /nfs/dbraw/zinc/14/49/64/896144964.db2.gz INSMUOXIDKRJIP-YPMHNXCESA-N -1 1 323.393 1.083 20 0 DDADMM O=P([O-])([O-])CC[NH2+][C@@H]1Cc2ccc(Br)cc2C1 ZINC001254266785 896328401 /nfs/dbraw/zinc/32/84/01/896328401.db2.gz NKSJZWPDRKYEKZ-LLVKDONJSA-N -1 1 320.123 1.684 20 0 DDADMM C[C@@H](CN[C@@H](C)c1csnn1)N(C)C(=O)c1ncccc1[O-] ZINC001367352374 896526816 /nfs/dbraw/zinc/52/68/16/896526816.db2.gz VXYMENRSQFCLJW-UWVGGRQHSA-N -1 1 321.406 1.450 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCCN(CCOC(C)C)C2)c1[O-] ZINC001389362705 897031877 /nfs/dbraw/zinc/03/18/77/897031877.db2.gz RASRJLJFYNUZRL-GFCCVEGCSA-N -1 1 310.398 1.043 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cccc(F)c2)cc1C(N)=O ZINC001255642652 897068003 /nfs/dbraw/zinc/06/80/03/897068003.db2.gz MNTJTFJFYYGZKO-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CCC(F)CC1 ZINC001389494312 897361515 /nfs/dbraw/zinc/36/15/15/897361515.db2.gz AEBTZTZRCYYTOV-UNXYVOJBSA-N -1 1 323.368 1.550 20 0 DDADMM C[C@H](CNCc1ncc(C2CC2)o1)NC(=O)c1ncccc1[O-] ZINC001367666323 897401036 /nfs/dbraw/zinc/40/10/36/897401036.db2.gz ODWURBGEBHYAGD-SNVBAGLBSA-N -1 1 316.361 1.561 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(OC)c(OC)c2)ccn1 ZINC001257010534 897670252 /nfs/dbraw/zinc/67/02/52/897670252.db2.gz XWLOENNENRGFOH-UHFFFAOYSA-N -1 1 324.358 1.908 20 0 DDADMM CC(=O)NCC[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257437351 897847765 /nfs/dbraw/zinc/84/77/65/897847765.db2.gz BOJUUKMRTBGGEF-UHFFFAOYSA-N -1 1 317.219 1.469 20 0 DDADMM CC(=O)NCCCCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001281055744 898378009 /nfs/dbraw/zinc/37/80/09/898378009.db2.gz MDOUTTWNUAUYJJ-UHFFFAOYSA-N -1 1 309.414 1.419 20 0 DDADMM COC(=O)c1sccc1S(=O)(=O)[N-]c1c(C)ncn1C ZINC001259005573 898410933 /nfs/dbraw/zinc/41/09/33/898410933.db2.gz BJLDQBIQRPMDKG-UHFFFAOYSA-N -1 1 315.376 1.377 20 0 DDADMM COc1nccc(C[N-]S(=O)(=O)c2c(F)cccc2F)n1 ZINC001259071967 898451106 /nfs/dbraw/zinc/45/11/06/898451106.db2.gz XTNLZMWYEAGNHQ-UHFFFAOYSA-N -1 1 315.301 1.242 20 0 DDADMM O=S(=O)([N-]CCN1CCCCO1)c1ccc(F)c(F)c1F ZINC001259080967 898454957 /nfs/dbraw/zinc/45/49/57/898454957.db2.gz ZTOSRUURHLVYSA-UHFFFAOYSA-N -1 1 324.324 1.410 20 0 DDADMM O=S(=O)([N-]Cc1cncnc1)c1ccc(F)c(F)c1F ZINC001259081354 898455303 /nfs/dbraw/zinc/45/53/03/898455303.db2.gz OYPGQKUTXQSDSQ-UHFFFAOYSA-N -1 1 303.265 1.372 20 0 DDADMM Cc1ccc2c(c1)[C@@H]([N-]S(=O)(=O)c1ccccn1)C(=O)N2 ZINC001259290297 898587789 /nfs/dbraw/zinc/58/77/89/898587789.db2.gz VJNAXFJGGDWHRY-CYBMUJFWSA-N -1 1 303.343 1.362 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccccn1)c1ccccc1 ZINC001259291250 898590666 /nfs/dbraw/zinc/59/06/66/898590666.db2.gz BBSYZUKNELDJQZ-ZDUSSCGKSA-N -1 1 306.343 1.274 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)CCCN1 ZINC001259462144 898681509 /nfs/dbraw/zinc/68/15/09/898681509.db2.gz KDFHYIOZNWIEBF-VIFPVBQESA-N -1 1 320.773 1.426 20 0 DDADMM O=c1[nH]cc([N-]S(=O)(=O)c2cccc(Cl)c2F)c(=O)[nH]1 ZINC001259464416 898682396 /nfs/dbraw/zinc/68/23/96/898682396.db2.gz MBZBOTOLZRCIES-UHFFFAOYSA-N -1 1 319.701 1.481 20 0 DDADMM O=S(=O)([O-])c1cccc(NS(=O)(=O)c2cccnc2)c1 ZINC001259607418 898737233 /nfs/dbraw/zinc/73/72/33/898737233.db2.gz RBVMKQHZNFYULC-UHFFFAOYSA-N -1 1 314.344 1.129 20 0 DDADMM O=S(=O)([N-]c1c(CO)ccc(F)c1F)c1cccnc1 ZINC001259608876 898739085 /nfs/dbraw/zinc/73/90/85/898739085.db2.gz IAABGFICUWOSFO-UHFFFAOYSA-N -1 1 300.286 1.653 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NS(=O)(=O)C1CCCC1 ZINC001259794366 898807943 /nfs/dbraw/zinc/80/79/43/898807943.db2.gz VWSDYFCWITVSKX-UHFFFAOYSA-N -1 1 304.353 1.553 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2ccc(CO)cc2Cl)cn1 ZINC001259839636 898854506 /nfs/dbraw/zinc/85/45/06/898854506.db2.gz HUCMKTPSORPNTQ-UHFFFAOYSA-N -1 1 315.782 1.850 20 0 DDADMM COC(=O)c1nc(OC)ccc1[N-]S(=O)(=O)CC(C)C ZINC001259879387 898890572 /nfs/dbraw/zinc/89/05/72/898890572.db2.gz IDVLPUFUODNDRV-UHFFFAOYSA-N -1 1 302.352 1.275 20 0 DDADMM O=S(=O)([N-]c1nc(Br)ccc1O)C(F)F ZINC001259949922 898969423 /nfs/dbraw/zinc/96/94/23/898969423.db2.gz RBVSBBLHBVARNF-UHFFFAOYSA-N -1 1 303.084 1.514 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CN(c2ccccc2)CCO1)C(F)F ZINC001259962070 898984549 /nfs/dbraw/zinc/98/45/49/898984549.db2.gz CJDAOPSRPNVTII-LLVKDONJSA-N -1 1 306.334 1.034 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1cnc2cccc(C)n12 ZINC001260106815 899055434 /nfs/dbraw/zinc/05/54/34/899055434.db2.gz NDQWKAIPORTNSE-UHFFFAOYSA-N -1 1 319.390 1.794 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)CF)c1cc(F)c(F)cc1Cl ZINC001260291198 899112668 /nfs/dbraw/zinc/11/26/68/899112668.db2.gz NENWBFWAHUMQND-YFKPBYRVSA-N -1 1 303.689 1.227 20 0 DDADMM Cc1ccnc2cc([N-]S(=O)(=O)CS(C)(=O)=O)ccc12 ZINC001260592513 899180239 /nfs/dbraw/zinc/18/02/39/899180239.db2.gz KQJONLDOMXSCIS-UHFFFAOYSA-N -1 1 314.388 1.287 20 0 DDADMM CN(C)[C@H]1CCOc2c([N-]S(=O)(=O)c3cn[nH]c3)cccc21 ZINC001260961506 899301749 /nfs/dbraw/zinc/30/17/49/899301749.db2.gz CKNGQIDUKNQCKH-ZDUSSCGKSA-N -1 1 322.390 1.596 20 0 DDADMM COC(=O)c1c(C)ccc([N-]S(=O)(=O)c2cn[nH]c2)c1F ZINC001260962058 899303378 /nfs/dbraw/zinc/30/33/78/899303378.db2.gz HUROKVLYNOWCRL-UHFFFAOYSA-N -1 1 313.310 1.445 20 0 DDADMM C[C@@H](C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1)c1ccccc1F ZINC001390490387 899529933 /nfs/dbraw/zinc/52/99/33/899529933.db2.gz OPRGSVXBSNYVNK-VHSXEESVSA-N -1 1 321.356 1.047 20 0 DDADMM Nc1cncc(S(=O)(=O)[N-]c2cc(F)cc(F)c2F)c1 ZINC000380598348 900620265 /nfs/dbraw/zinc/62/02/65/900620265.db2.gz MVJJSNXWUBAHEN-UHFFFAOYSA-N -1 1 303.265 1.882 20 0 DDADMM CCC(C)(C)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001369391009 901169268 /nfs/dbraw/zinc/16/92/68/901169268.db2.gz XUGULMZHZFFCIY-MNOVXSKESA-N -1 1 309.414 1.416 20 0 DDADMM CCC[C@@H](C)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369441965 901304955 /nfs/dbraw/zinc/30/49/55/901304955.db2.gz OKMNPYNSXWNYLG-NEPJUHHUSA-N -1 1 323.441 1.663 20 0 DDADMM CC[C@@H](CNC(=O)[C@H]1CCCC12CC2)NCc1n[nH]c(=O)[n-]1 ZINC001391692557 902373386 /nfs/dbraw/zinc/37/33/86/902373386.db2.gz BFYFOHQHRQWTAH-WDEREUQCSA-N -1 1 307.398 1.075 20 0 DDADMM CCCC1(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCCC2)CC1 ZINC001392016786 903099957 /nfs/dbraw/zinc/09/99/57/903099957.db2.gz FSZVSXLVCHZHQC-UHFFFAOYSA-N -1 1 321.425 1.609 20 0 DDADMM Cc1nc(CN(C)C[C@@H](C)NC(=O)c2ncccc2[O-])c(C)o1 ZINC001375032895 914716091 /nfs/dbraw/zinc/71/60/91/914716091.db2.gz MZFSSHTWUADKPK-SNVBAGLBSA-N -1 1 318.377 1.642 20 0 DDADMM Cc1oncc1CN(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001375032965 914716523 /nfs/dbraw/zinc/71/65/23/914716523.db2.gz NGJHOVAVMSVBLU-SNVBAGLBSA-N -1 1 304.350 1.334 20 0 DDADMM CC(C)[C@@H](CNC(=O)C[C@@H]1C[C@H]1C1CC1)NCc1n[nH]c(=O)[n-]1 ZINC001392226258 903587515 /nfs/dbraw/zinc/58/75/15/903587515.db2.gz HXDBHUUTUZKHIN-RWMBFGLXSA-N -1 1 321.425 1.177 20 0 DDADMM C/C(=C/C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-])C1CC1 ZINC001280432679 903671746 /nfs/dbraw/zinc/67/17/46/903671746.db2.gz FCMDAXRQVHJNTK-MMRAYRKESA-N -1 1 317.389 1.720 20 0 DDADMM CC[C@@H](C)CCC(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001370959144 904058093 /nfs/dbraw/zinc/05/80/93/904058093.db2.gz ZSHYGIIMHDDEJC-CHWSQXEVSA-N -1 1 323.441 1.807 20 0 DDADMM C[C@@H](CN(C)Cc1cscn1)NC(=O)c1ncccc1[O-] ZINC001392655731 904767594 /nfs/dbraw/zinc/76/75/94/904767594.db2.gz ZZGLPVGMQOCODC-JTQLQIEISA-N -1 1 306.391 1.494 20 0 DDADMM CCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1CC ZINC001371744721 905646236 /nfs/dbraw/zinc/64/62/36/905646236.db2.gz KRJWAMXFMRYUHT-NWDGAFQWSA-N -1 1 305.378 1.697 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)c1cc[nH]c1 ZINC001283711753 907835545 /nfs/dbraw/zinc/83/55/45/907835545.db2.gz OEJSMVKWVZBXIX-UHFFFAOYSA-N -1 1 316.361 1.398 20 0 DDADMM C[C@H](c1csnn1)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001394068735 908699168 /nfs/dbraw/zinc/69/91/68/908699168.db2.gz XXKSLTPDWAIZLZ-VHSXEESVSA-N -1 1 321.406 1.450 20 0 DDADMM CCC[C@@H](CC)C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001394088314 908758861 /nfs/dbraw/zinc/75/88/61/908758861.db2.gz FJKMSYFEYPRTCD-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM CC(F)(F)C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001373153425 909098371 /nfs/dbraw/zinc/09/83/71/909098371.db2.gz VSQRKSJTLJDWBM-VIFPVBQESA-N -1 1 313.304 1.021 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCCC12CC2)NCc1n[nH]c(=O)[n-]1 ZINC001394493933 909807699 /nfs/dbraw/zinc/80/76/99/909807699.db2.gz GKHNAADFGNAWJJ-MNOVXSKESA-N -1 1 307.398 1.027 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])N[C@H](C)c1ncccn1 ZINC001373749004 910850458 /nfs/dbraw/zinc/85/04/58/910850458.db2.gz KMMWLROPKGRPPE-VXGBXAGGSA-N -1 1 315.377 1.436 20 0 DDADMM CCCC(=O)N[C@@H](CC)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001375080868 914892838 /nfs/dbraw/zinc/89/28/38/914892838.db2.gz IXSIMZQLDNWMBK-NSHDSACASA-N -1 1 320.393 1.484 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)C(C)(F)F ZINC001294434227 915139928 /nfs/dbraw/zinc/13/99/28/915139928.db2.gz YPCDQHFBRQOPTI-UHFFFAOYSA-N -1 1 315.320 1.411 20 0 DDADMM CC[C@H](O)Cn1cc(C(=O)Nc2ccc([O-])c(Cl)c2)nn1 ZINC001295295351 915691307 /nfs/dbraw/zinc/69/13/07/915691307.db2.gz LPHCPASZHJDICV-VIFPVBQESA-N -1 1 310.741 1.660 20 0 DDADMM CC(C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-])=C1CCCC1 ZINC001295461424 915815790 /nfs/dbraw/zinc/81/57/90/915815790.db2.gz ZOHKGHYNRJVSLU-NSHDSACASA-N -1 1 317.389 1.912 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H]2CCCC3(C2)OCCO3)c1 ZINC001296246162 916296666 /nfs/dbraw/zinc/29/66/66/916296666.db2.gz VWYYVNDZLXEAJW-CYBMUJFWSA-N -1 1 319.357 1.929 20 0 DDADMM CCCCCC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001297189956 916794601 /nfs/dbraw/zinc/79/46/01/916794601.db2.gz FWXZORMWGIYHJX-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](C)NCc2ccccc2Cl)c1[O-] ZINC001376717862 919152982 /nfs/dbraw/zinc/15/29/82/919152982.db2.gz XDJQTLCWFRBNLP-VIFPVBQESA-N -1 1 322.796 1.985 20 0 DDADMM Cc1csc(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])c1 ZINC001376874674 919635996 /nfs/dbraw/zinc/63/59/96/919635996.db2.gz PVCLJHNIAJFOHG-SNVBAGLBSA-N -1 1 319.386 1.705 20 0 DDADMM CCC(C)(C)CC(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001377697044 922729185 /nfs/dbraw/zinc/72/91/85/922729185.db2.gz OAOSVNCKCLEWRC-GFCCVEGCSA-N -1 1 323.441 1.665 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)Cc3cccc(C4CC4)c3)nc2n1 ZINC000622870036 365550499 /nfs/dbraw/zinc/55/04/99/365550499.db2.gz PRJMJXONGQTIIO-UHFFFAOYSA-N -1 1 323.356 1.785 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3c(C)coc3C)nc2n1 ZINC000622993472 365586452 /nfs/dbraw/zinc/58/64/52/365586452.db2.gz OIBYRTYNYFJRRH-UHFFFAOYSA-N -1 1 301.306 1.442 20 0 DDADMM CCCC1(C(=O)Nc2nc3nc(CC)cc(=O)n3[n-]2)CCC1 ZINC000622994161 365586618 /nfs/dbraw/zinc/58/66/18/365586618.db2.gz GEKXJHMAXSUSNS-UHFFFAOYSA-N -1 1 303.366 1.889 20 0 DDADMM CC(C)(C)n1cc([N-]S(=O)(=O)C[C@@H]2CCCCO2)cn1 ZINC000076936717 185058008 /nfs/dbraw/zinc/05/80/08/185058008.db2.gz TUXUQQZXVRUHAG-LBPRGKRZSA-N -1 1 301.412 1.949 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)C(C)(F)F)nc2n1 ZINC000622997724 365591734 /nfs/dbraw/zinc/59/17/34/365591734.db2.gz JBRMQTRTDKFEFH-SSDOTTSWSA-N -1 1 313.308 1.600 20 0 DDADMM O=C(COc1ccc(Cl)c2cccnc12)Nc1nnn[n-]1 ZINC000076963870 185062064 /nfs/dbraw/zinc/06/20/64/185062064.db2.gz SAJUMJHGGVWMIH-UHFFFAOYSA-N -1 1 304.697 1.419 20 0 DDADMM O=C(COc1ccc(Cl)c2cccnc12)Nc1nn[n-]n1 ZINC000076963870 185062066 /nfs/dbraw/zinc/06/20/66/185062066.db2.gz SAJUMJHGGVWMIH-UHFFFAOYSA-N -1 1 304.697 1.419 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C)C[C@@H]2C)o1 ZINC000077025290 185071154 /nfs/dbraw/zinc/07/11/54/185071154.db2.gz BMAPSXQWGYMXFI-UWVGGRQHSA-N -1 1 300.380 1.448 20 0 DDADMM CCOC(=O)N1CCC(NC(=O)c2cc(F)ccc2[O-])CC1 ZINC000081932124 192341347 /nfs/dbraw/zinc/34/13/47/192341347.db2.gz ACVORCBNFNRQPB-UHFFFAOYSA-N -1 1 310.325 1.882 20 0 DDADMM Cc1ccncc1CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614387364 361832882 /nfs/dbraw/zinc/83/28/82/361832882.db2.gz AQITZRPAUHWXQN-LLVKDONJSA-N -1 1 302.334 1.427 20 0 DDADMM O=C(C[C@H]1CCCC[C@@H]1O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614387909 361832045 /nfs/dbraw/zinc/83/20/45/361832045.db2.gz DAZLYCYNTCNBPE-UTUOFQBUSA-N -1 1 309.366 1.422 20 0 DDADMM COC(=O)c1c[n-]c(SCCNC(=O)OC(C)(C)C)n1 ZINC000278612364 214273681 /nfs/dbraw/zinc/27/36/81/214273681.db2.gz IWERZXXXDXWOQJ-UHFFFAOYSA-N -1 1 301.368 1.813 20 0 DDADMM COCCCn1cc(C)c([N-]S(=O)(=O)N2CC[C@@H](C)C2)n1 ZINC000451087052 231006004 /nfs/dbraw/zinc/00/60/04/231006004.db2.gz NUSOVHJCXVTRMG-LLVKDONJSA-N -1 1 316.427 1.227 20 0 DDADMM O=c1cc(C2CC2)nc(SC[C@H]2CCS(=O)(=O)C2)[n-]1 ZINC000278670692 214315929 /nfs/dbraw/zinc/31/59/29/214315929.db2.gz OANADLQZWQOLOZ-MRVPVSSYSA-N -1 1 300.405 1.586 20 0 DDADMM O=S(=O)([N-]C[C@@]1(O)CCOC1)c1cc2cc(F)ccc2o1 ZINC000451336210 231070592 /nfs/dbraw/zinc/07/05/92/231070592.db2.gz FCAUDDIRCZFENG-ZDUSSCGKSA-N -1 1 315.322 1.002 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2CCOC[C@H]2C)c1Br ZINC000444289199 529459961 /nfs/dbraw/zinc/45/99/61/529459961.db2.gz MUYHALDQPOSRJT-HTQZYQBOSA-N -1 1 316.199 1.768 20 0 DDADMM CC[C@H](CNS(=O)(=O)c1c(F)cc(F)cc1F)C(=O)[O-] ZINC000087499035 185226885 /nfs/dbraw/zinc/22/68/85/185226885.db2.gz MQMNHXKZKJQIJP-ZCFIWIBFSA-N -1 1 311.281 1.493 20 0 DDADMM COC[C@@](C)(O)CCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000452339373 231349961 /nfs/dbraw/zinc/34/99/61/231349961.db2.gz FFYBEBIGCCBYOJ-ZDUSSCGKSA-N -1 1 321.295 1.928 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(=O)NC ZINC000425187414 529643196 /nfs/dbraw/zinc/64/31/96/529643196.db2.gz ORMGWBMVXTXHSF-JTQLQIEISA-N -1 1 306.334 1.076 20 0 DDADMM O=C([O-])Cc1csc(NC(=O)Cc2[nH]nc3ccccc32)n1 ZINC000238135240 539268120 /nfs/dbraw/zinc/26/81/20/539268120.db2.gz VFCRSOBSTIDXCP-UHFFFAOYSA-N -1 1 316.342 1.828 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)C1CCC(OC)CC1 ZINC000614995873 362110003 /nfs/dbraw/zinc/11/00/03/362110003.db2.gz IMVHAWGRGJZKMT-UHFFFAOYSA-N -1 1 309.366 1.162 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@@H](N)Cc1c[nH]c2ccccc12)C(=O)[O-] ZINC000320900808 539297231 /nfs/dbraw/zinc/29/72/31/539297231.db2.gz DRNUORNJWNTSAN-KBPBESRZSA-N -1 1 317.389 1.511 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1Cc2cccnc2C1 ZINC000623237098 365742701 /nfs/dbraw/zinc/74/27/01/365742701.db2.gz PRVDGNSYIDEEDH-UHFFFAOYSA-N -1 1 302.359 1.764 20 0 DDADMM C[N@@H+]1CCC[C@H]1[C@H]1COCCN1C(=O)c1ccc(O)c(F)c1 ZINC000615271369 362226006 /nfs/dbraw/zinc/22/60/06/362226006.db2.gz WMHOKIIYGGIEAP-UONOGXRCSA-N -1 1 308.353 1.467 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2c(F)cc(C)cc2F)n1 ZINC000424208226 529744197 /nfs/dbraw/zinc/74/41/97/529744197.db2.gz JTDCDHAYKGJFJB-UHFFFAOYSA-N -1 1 302.306 1.755 20 0 DDADMM CN1CC[C@@H](C[N-]S(=O)(=O)c2cccc(F)c2F)CC1=O ZINC000425182087 529794413 /nfs/dbraw/zinc/79/44/13/529794413.db2.gz ITAPMGKIQSNMFD-SECBINFHSA-N -1 1 318.345 1.112 20 0 DDADMM CC(C)(C)[C@@H]1NC(=O)CC[C@H]1NC(=O)c1cc(F)ccc1[O-] ZINC000286654924 219105849 /nfs/dbraw/zinc/10/58/49/219105849.db2.gz RDPDWUKLHJVCJE-BXUZGUMPSA-N -1 1 308.353 1.954 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2(C3CCC3)CCC2)co1 ZINC000458235983 530025264 /nfs/dbraw/zinc/02/52/64/530025264.db2.gz KRZJQPNHNYASCB-UHFFFAOYSA-N -1 1 312.391 1.640 20 0 DDADMM C[C@@H](CCCO)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330802850 232079180 /nfs/dbraw/zinc/07/91/80/232079180.db2.gz DYZBLKSDWCKCDR-ZETCQYMHSA-N -1 1 312.185 1.481 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H](C)c2cncnc2)c1Br ZINC000616009407 362519429 /nfs/dbraw/zinc/51/94/29/362519429.db2.gz UBVCIIXXQSMSFX-LURJTMIESA-N -1 1 310.155 1.435 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cncnc2C2CC2)c1Br ZINC000616012123 362519796 /nfs/dbraw/zinc/51/97/96/362519796.db2.gz ZCKCRKYQUCVYEO-UHFFFAOYSA-N -1 1 322.166 1.822 20 0 DDADMM O=C(C(=O)N1CCC[C@H](OCC2CC2)C1)c1ccc([O-])cc1 ZINC000436922605 229540685 /nfs/dbraw/zinc/54/06/85/229540685.db2.gz ZATXKUHBHNJXLR-HNNXBMFYSA-N -1 1 303.358 1.993 20 0 DDADMM CC(C)(C)[C@@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000358315874 299118609 /nfs/dbraw/zinc/11/86/09/299118609.db2.gz YPELHEUXFZCGOB-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCC(C)(C)CCCO)c2=O ZINC000358323339 299120592 /nfs/dbraw/zinc/12/05/92/299120592.db2.gz AROJEXDSKMVHNI-UHFFFAOYSA-N -1 1 306.366 1.202 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2ccc(C(F)(F)F)cn2)no1 ZINC000360208007 539492050 /nfs/dbraw/zinc/49/20/50/539492050.db2.gz TZHKMZKIUAAXQS-UHFFFAOYSA-N -1 1 322.268 1.270 20 0 DDADMM CS(=O)(=O)C1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000380804861 539503573 /nfs/dbraw/zinc/50/35/73/539503573.db2.gz ZBZHDFHWXISHJR-UHFFFAOYSA-N -1 1 317.794 1.695 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@@H]1CCCO)c2=O ZINC000358346149 299127097 /nfs/dbraw/zinc/12/70/97/299127097.db2.gz CNSYBLHBQLBBFF-SNVBAGLBSA-N -1 1 304.350 1.051 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@]1(C)CCCOC1 ZINC000358374687 299134921 /nfs/dbraw/zinc/13/49/21/299134921.db2.gz TZKRXCJFJINWDC-MRXNPFEDSA-N -1 1 318.377 1.485 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3CC[C@@H]3C(N)=O)[nH][n-]2)s1 ZINC000616811749 362829498 /nfs/dbraw/zinc/82/94/98/362829498.db2.gz VUABFZHWSWIEMU-DTWKUNHWSA-N -1 1 304.375 1.319 20 0 DDADMM O=C([O-])CCCCNS(=O)(=O)c1c(F)cc(F)cc1F ZINC000070200060 190871125 /nfs/dbraw/zinc/87/11/25/190871125.db2.gz IHRSKQIJTHWEND-UHFFFAOYSA-N -1 1 311.281 1.637 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000286998482 219261933 /nfs/dbraw/zinc/26/19/33/219261933.db2.gz BAUFKYTWOIMJSO-TYVWHMSSSA-N -1 1 319.365 1.051 20 0 DDADMM COC(=O)c1csc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)c1 ZINC000084052131 192398381 /nfs/dbraw/zinc/39/83/81/192398381.db2.gz KEDRGMQCMYVAMC-UHFFFAOYSA-N -1 1 315.376 1.675 20 0 DDADMM COC(=O)[C@H]1CCN(CC(=O)[N-]OCc2ccccc2)C[C@H]1C ZINC000191867115 186267129 /nfs/dbraw/zinc/26/71/29/186267129.db2.gz ZYUAYJWXVZMIBV-HIFRSBDPSA-N -1 1 320.389 1.365 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1cc2c(s1)CCCCCC2 ZINC000029018067 352234335 /nfs/dbraw/zinc/23/43/35/352234335.db2.gz CDXXSERUBNPQLU-UHFFFAOYSA-N -1 1 307.375 1.604 20 0 DDADMM CCCCNC(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC000042091374 352328944 /nfs/dbraw/zinc/32/89/44/352328944.db2.gz FXZPFPTUBIFROJ-UHFFFAOYSA-N -1 1 300.362 1.599 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(C)n1C ZINC000331767289 234099960 /nfs/dbraw/zinc/09/99/60/234099960.db2.gz CJGUQKJTOWXNGG-NSHDSACASA-N -1 1 304.350 1.750 20 0 DDADMM O=C(NCCc1cscn1)c1nc2ccccc2c(=O)[n-]1 ZINC000072730939 191222404 /nfs/dbraw/zinc/22/24/04/191222404.db2.gz YJXJYUPUTPMCED-UHFFFAOYSA-N -1 1 300.343 1.352 20 0 DDADMM CCOCCNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000053962157 352673417 /nfs/dbraw/zinc/67/34/17/352673417.db2.gz VLBMOYGUEKFPJE-UHFFFAOYSA-N -1 1 318.395 1.123 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cccc3nsnc32)on1 ZINC000065750427 352961114 /nfs/dbraw/zinc/96/11/14/352961114.db2.gz LWYSWAKIFLFCOX-UHFFFAOYSA-N -1 1 310.360 1.466 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1cccc(OC2CCCC2)c1 ZINC000066619718 353008538 /nfs/dbraw/zinc/00/85/38/353008538.db2.gz KMVXWQULGWYOBL-UHFFFAOYSA-N -1 1 303.318 1.205 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CCCS1 ZINC000075200252 353351786 /nfs/dbraw/zinc/35/17/86/353351786.db2.gz YHEQAFQVAUONCS-LLVKDONJSA-N -1 1 306.391 1.151 20 0 DDADMM COc1cc(C(=O)NCCc2nc(C)no2)cc(Cl)c1[O-] ZINC000358603771 299206195 /nfs/dbraw/zinc/20/61/95/299206195.db2.gz WZXABXVNJZNEGH-UHFFFAOYSA-N -1 1 311.725 1.718 20 0 DDADMM CC(C)C1CCC(N2CCN(C(=O)c3n[nH]c(=O)[n-]3)CC2)CC1 ZINC000084633892 353725174 /nfs/dbraw/zinc/72/51/74/353725174.db2.gz HKDYWKHFAIYZGL-UHFFFAOYSA-N -1 1 321.425 1.071 20 0 DDADMM CCCc1cc(NC(=O)NCCn2c(C)n[n-]c2=S)n(C)n1 ZINC000091092532 353809740 /nfs/dbraw/zinc/80/97/40/353809740.db2.gz PIRSDQMAUVGTBV-UHFFFAOYSA-N -1 1 323.426 1.757 20 0 DDADMM Cc1nn2cccnc2c1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000102467435 353925845 /nfs/dbraw/zinc/92/58/45/353925845.db2.gz ISYMMAVWEMPICG-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)[C@H]1CCN(c2ccccc2)C1=O ZINC000131355542 354099690 /nfs/dbraw/zinc/09/96/90/354099690.db2.gz ZQSFCSZXXFPMAX-HNNXBMFYSA-N -1 1 311.341 1.665 20 0 DDADMM CN(C)C(=O)C(C)(C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131649859 354101446 /nfs/dbraw/zinc/10/14/46/354101446.db2.gz LOHQVGODPYWAHC-UHFFFAOYSA-N -1 1 322.789 1.624 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(=O)Nc2ccccc2)c[n-]1 ZINC000617876326 363353403 /nfs/dbraw/zinc/35/34/03/363353403.db2.gz JOQJMZQCSWEGNG-UHFFFAOYSA-N -1 1 302.290 1.164 20 0 DDADMM CS(=O)(=O)c1ccc(CN2C[C@@H]3CCC[C@H]3[C@H]2C(=O)[O-])cc1 ZINC000318830153 354518534 /nfs/dbraw/zinc/51/85/34/354518534.db2.gz ZMPUWXVJMMMZGT-CFVMTHIKSA-N -1 1 323.414 1.775 20 0 DDADMM CN(Cc1ccc2c(c1)OCCO2)C(=O)c1cncc([O-])c1 ZINC000387206182 354633417 /nfs/dbraw/zinc/63/34/17/354633417.db2.gz FFSLZONRPIPIDN-UHFFFAOYSA-N -1 1 300.314 1.831 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC[C@@H](O)[C@@H](CO)C1 ZINC000396883085 354653593 /nfs/dbraw/zinc/65/35/93/354653593.db2.gz PVPHMIDXEDACCA-UKRRQHHQSA-N -1 1 301.342 1.361 20 0 DDADMM COCc1nc(CNC(=O)c2cc(F)c(F)c([O-])c2F)n[nH]1 ZINC000617935938 363389330 /nfs/dbraw/zinc/38/93/30/363389330.db2.gz WKPNKWZDWJOOFG-UHFFFAOYSA-N -1 1 316.239 1.004 20 0 DDADMM COCC1(C(=O)[O-])CCN(C(=O)c2cccc3c[nH]nc32)CC1 ZINC000358693227 299222696 /nfs/dbraw/zinc/22/26/96/299222696.db2.gz ZNPPJRKQZNBHTI-UHFFFAOYSA-N -1 1 317.345 1.516 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCCN(C)C2)c(F)c1 ZINC000588680132 354928511 /nfs/dbraw/zinc/92/85/11/354928511.db2.gz QHRLPFRKLXPHGP-SECBINFHSA-N -1 1 320.361 1.346 20 0 DDADMM Cc1cnc(C(=O)N(C)CC(=O)Nc2cccc(F)c2)c([O-])c1 ZINC000494927209 235103385 /nfs/dbraw/zinc/10/33/85/235103385.db2.gz YZVHTIQVUPLYEI-UHFFFAOYSA-N -1 1 317.320 1.945 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@H](OC)C(C)C ZINC000592075398 355484911 /nfs/dbraw/zinc/48/49/11/355484911.db2.gz ZESCYQHTWQGCOF-NEPJUHHUSA-N -1 1 323.455 1.555 20 0 DDADMM CS[C@@H]1CCCC[C@H]1NS(=O)(=O)c1c(C)o[n-]c1=N ZINC000593624465 355945782 /nfs/dbraw/zinc/94/57/82/355945782.db2.gz YSGNVNUXBHAONB-RKDXNWHRSA-N -1 1 305.425 1.348 20 0 DDADMM CS[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000593624465 355945787 /nfs/dbraw/zinc/94/57/87/355945787.db2.gz YSGNVNUXBHAONB-RKDXNWHRSA-N -1 1 305.425 1.348 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)Cc1ccc(C)cc1F ZINC000593635769 355950098 /nfs/dbraw/zinc/95/00/98/355950098.db2.gz RWRKYJXGQPZHDT-GFCCVEGCSA-N -1 1 321.345 1.455 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn(C)nc2C2CC2)n1 ZINC000593901619 356047764 /nfs/dbraw/zinc/04/77/64/356047764.db2.gz PMTBEECNHNNHJR-UHFFFAOYSA-N -1 1 303.322 1.450 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCOCC2CC2)cc1C ZINC000595318103 356447874 /nfs/dbraw/zinc/44/78/74/356447874.db2.gz PEIXJAKBARZJAM-UHFFFAOYSA-N -1 1 317.363 1.080 20 0 DDADMM COC1(CC(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)CCC1 ZINC000358758634 299244414 /nfs/dbraw/zinc/24/44/14/299244414.db2.gz HRMYPMHXCDOAJD-UHFFFAOYSA-N -1 1 318.377 1.627 20 0 DDADMM CC1(C[N-]S(=O)(=O)Cc2ccccc2Cl)OCCCO1 ZINC000276919478 213176402 /nfs/dbraw/zinc/17/64/02/213176402.db2.gz KZWVBPUASDCCLB-UHFFFAOYSA-N -1 1 319.810 1.913 20 0 DDADMM C[C@H](CC1CCCCC1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000347696153 283261230 /nfs/dbraw/zinc/26/12/30/283261230.db2.gz SUTFGNOPBUYEPG-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CC(C)(C)OC(=O)[C@]1(NC(=O)c2ncccc2[O-])CCOC1 ZINC000598167331 357481111 /nfs/dbraw/zinc/48/11/11/357481111.db2.gz MKIMSCUDWLUBCT-HNNXBMFYSA-N -1 1 308.334 1.018 20 0 DDADMM COCCC[N-]S(=O)(=O)c1cc(F)cc(C(=O)OC)c1F ZINC000599202741 357849115 /nfs/dbraw/zinc/84/91/15/357849115.db2.gz GOPAJHLHILXMGD-UHFFFAOYSA-N -1 1 323.317 1.066 20 0 DDADMM CN1C[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])CCC1=O ZINC000180574386 199178049 /nfs/dbraw/zinc/17/80/49/199178049.db2.gz VJEZCKYBUPUGFA-VIFPVBQESA-N -1 1 316.279 1.762 20 0 DDADMM COC(=O)CSCCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601041162 358391336 /nfs/dbraw/zinc/39/13/36/358391336.db2.gz YVEYCEUNAMKOLC-UHFFFAOYSA-N -1 1 317.794 1.611 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601440405 358554574 /nfs/dbraw/zinc/55/45/74/358554574.db2.gz VUIJYOGONLMRSU-MRVPVSSYSA-N -1 1 323.317 1.837 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OC(C)(C)C ZINC000601453828 358560463 /nfs/dbraw/zinc/56/04/63/358560463.db2.gz WXDAJIHYEFDCGN-VIFPVBQESA-N -1 1 320.436 1.850 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccc(C(=O)OC)c(F)c2)c1=O ZINC000601949145 358749761 /nfs/dbraw/zinc/74/97/61/358749761.db2.gz RZMHJIICCOOCRK-QMMMGPOBSA-N -1 1 308.265 1.124 20 0 DDADMM C[C@@H](CC[S@](C)=O)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000602911551 359289372 /nfs/dbraw/zinc/28/93/72/359289372.db2.gz DXZJLCNMPIAHOL-UGZDLDLSSA-N -1 1 303.811 1.861 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2C[C@@H](C)C[C@H](C)C2)n[n-]1 ZINC000603018371 359360232 /nfs/dbraw/zinc/36/02/32/359360232.db2.gz QMXVNUSJHCZSJU-UWVGGRQHSA-N -1 1 308.382 1.670 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2C[C@@H](C)C[C@H](C)C2)n1 ZINC000603018371 359360237 /nfs/dbraw/zinc/36/02/37/359360237.db2.gz QMXVNUSJHCZSJU-UWVGGRQHSA-N -1 1 308.382 1.670 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](C)CC(F)(F)F)n[n-]1 ZINC000603152755 359439241 /nfs/dbraw/zinc/43/92/41/359439241.db2.gz FNKSDFJMTVHWOI-NKWVEPMBSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](C)CC(F)(F)F)[n-]1 ZINC000603152755 359439243 /nfs/dbraw/zinc/43/92/43/359439243.db2.gz FNKSDFJMTVHWOI-NKWVEPMBSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](C)CC(F)(F)F)n1 ZINC000603152755 359439244 /nfs/dbraw/zinc/43/92/44/359439244.db2.gz FNKSDFJMTVHWOI-NKWVEPMBSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2CCCCS2)n[n-]1 ZINC000603156151 359442513 /nfs/dbraw/zinc/44/25/13/359442513.db2.gz QMNCSVZAHFXWIO-RKDXNWHRSA-N -1 1 312.395 1.444 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2CCCCS2)[n-]1 ZINC000603156151 359442517 /nfs/dbraw/zinc/44/25/17/359442517.db2.gz QMNCSVZAHFXWIO-RKDXNWHRSA-N -1 1 312.395 1.444 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2CCCCS2)n1 ZINC000603156151 359442522 /nfs/dbraw/zinc/44/25/22/359442522.db2.gz QMNCSVZAHFXWIO-RKDXNWHRSA-N -1 1 312.395 1.444 20 0 DDADMM CCCCn1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(C)n1 ZINC000187351975 200099715 /nfs/dbraw/zinc/09/97/15/200099715.db2.gz BDBNPPBJMOHKHG-SNVBAGLBSA-N -1 1 305.386 1.380 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)NCc2cccc(C)c2)n1 ZINC000188058324 200186986 /nfs/dbraw/zinc/18/69/86/200186986.db2.gz PGCBWEDTSGVLFN-UHFFFAOYSA-N -1 1 319.386 1.913 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@@H](O)C1)c1c(F)cccc1Cl ZINC000188318210 200226973 /nfs/dbraw/zinc/22/69/73/200226973.db2.gz SAYVGEWTDSYQMC-DTWKUNHWSA-N -1 1 307.774 1.918 20 0 DDADMM C[C@H]1CCCC[C@H]1OCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605530653 359867946 /nfs/dbraw/zinc/86/79/46/359867946.db2.gz OEZXUEIEVFYEJC-NWDGAFQWSA-N -1 1 307.398 1.681 20 0 DDADMM CSc1nc([N-]C(=O)c2cc(S(C)(=O)=O)c(C)o2)n[nH]1 ZINC000624923874 366624589 /nfs/dbraw/zinc/62/45/89/366624589.db2.gz HAZWZODOZYADGV-UHFFFAOYSA-N -1 1 316.364 1.084 20 0 DDADMM CC(C)(C)C(=O)Nc1ccc(F)c(C(=O)NCc2nn[n-]n2)c1 ZINC000608132685 360129744 /nfs/dbraw/zinc/12/97/44/360129744.db2.gz WWHDUDSOKAWMSZ-UHFFFAOYSA-N -1 1 320.328 1.253 20 0 DDADMM NS(=O)(=O)Cc1cccc(C(=O)Nc2c([O-])cccc2F)c1 ZINC000608367382 360167481 /nfs/dbraw/zinc/16/74/81/360167481.db2.gz PWGPDMMEIZYVTD-UHFFFAOYSA-N -1 1 324.333 1.572 20 0 DDADMM C[S@](=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000609881747 360360264 /nfs/dbraw/zinc/36/02/64/360360264.db2.gz IYPMDGIYFLPMIO-QFIPXVFZSA-N -1 1 319.390 1.136 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2COC[C@]2(C)C1 ZINC000618710542 363729431 /nfs/dbraw/zinc/72/94/31/363729431.db2.gz KQFANITYQOUDRZ-DIFFPNOSSA-N -1 1 319.405 1.897 20 0 DDADMM O=C(NC1(CCO)CCOCC1)c1ncc2ccccc2c1[O-] ZINC000613320215 361379074 /nfs/dbraw/zinc/37/90/74/361379074.db2.gz COAPBWXDEVDNMX-UHFFFAOYSA-N -1 1 316.357 1.602 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2(SC)CCC2)co1 ZINC000194458595 201231631 /nfs/dbraw/zinc/23/16/31/201231631.db2.gz FGFYCFYHMIVDSH-UHFFFAOYSA-N -1 1 318.420 1.203 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2COc3ccccc32)n1 ZINC000619865043 364165950 /nfs/dbraw/zinc/16/59/50/364165950.db2.gz JFOLDTDUVQPCIL-JTQLQIEISA-N -1 1 301.302 1.701 20 0 DDADMM COCc1nc(CN=c2nc(Cc3ccc(F)cc3)o[n-]2)n[nH]1 ZINC000621335387 364809725 /nfs/dbraw/zinc/80/97/25/364809725.db2.gz LERLRLXEEMQAJO-UHFFFAOYSA-N -1 1 318.312 1.098 20 0 DDADMM Cc1cc(F)cc(NS(=O)(=O)c2cc(C(=O)[O-])n(C)c2)c1 ZINC000091509371 193066674 /nfs/dbraw/zinc/06/66/74/193066674.db2.gz MQXKULCHYZLMNM-UHFFFAOYSA-N -1 1 312.322 1.972 20 0 DDADMM CCCCO[C@@H](C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622609474 365450039 /nfs/dbraw/zinc/45/00/39/365450039.db2.gz ACUVYKZMMMCHNS-WCQYABFASA-N -1 1 303.366 1.611 20 0 DDADMM O=C(CCc1cccnc1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622611434 365451753 /nfs/dbraw/zinc/45/17/53/365451753.db2.gz DUFQARTYUYRXLD-OAHLLOKOSA-N -1 1 308.345 1.433 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C(N)=O)[C@@H](C)c2ccccc2)c([O-])c1 ZINC000359239021 299371555 /nfs/dbraw/zinc/37/15/55/299371555.db2.gz FHYCYKFQUAZGFJ-FZMZJTMJSA-N -1 1 313.357 1.483 20 0 DDADMM C/C=C/C[C@@H]([N-]S(=O)(=O)CC(C)(C)OC)C(=O)OCC ZINC000349579923 283972090 /nfs/dbraw/zinc/97/20/90/283972090.db2.gz KYXKBPAGPHNURE-LXSSAFMLSA-N -1 1 307.412 1.229 20 0 DDADMM COC(=O)[C@@H](CO)[N-]C(=O)C(F)(F)c1cccc(Cl)c1 ZINC000275171581 212169486 /nfs/dbraw/zinc/16/94/86/212169486.db2.gz DALDJEPVJKQIIQ-SECBINFHSA-N -1 1 307.680 1.082 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)c1ccc2[nH]nnc2c1 ZINC000248891393 304147454 /nfs/dbraw/zinc/14/74/54/304147454.db2.gz BRCIXXUFYXXHDY-IIMNLJJBSA-N -1 1 314.345 1.816 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)CC(=O)N3)cn1 ZINC000349974355 284124680 /nfs/dbraw/zinc/12/46/80/284124680.db2.gz PSJIFILCMRTAJH-UHFFFAOYSA-N -1 1 319.342 1.386 20 0 DDADMM COC(=O)c1cccc2nc([N-]S(=O)(=O)c3ccoc3)[nH]c21 ZINC000350127501 284154552 /nfs/dbraw/zinc/15/45/52/284154552.db2.gz PBWONMPQNYHKFE-UHFFFAOYSA-N -1 1 321.314 1.743 20 0 DDADMM O=C([O-])CCOc1ccc(NS(=O)(=O)c2cn[nH]c2)cc1 ZINC000350482704 284204530 /nfs/dbraw/zinc/20/45/30/284204530.db2.gz UAAXSYPJBYMVGY-UHFFFAOYSA-N -1 1 311.319 1.064 20 0 DDADMM CCCN1CCN(C(=O)CCc2nn[n-]n2)c2cc(F)ccc21 ZINC000633136112 422772950 /nfs/dbraw/zinc/77/29/50/422772950.db2.gz FDIXDOMCJOGQLL-UHFFFAOYSA-N -1 1 318.356 1.535 20 0 DDADMM CSc1cc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)ccn1 ZINC000282820601 217273824 /nfs/dbraw/zinc/27/38/24/217273824.db2.gz CHCVHEIVNJBTHL-JTQLQIEISA-N -1 1 319.390 1.235 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCC(F)(F)F)o1 ZINC000266467636 205367643 /nfs/dbraw/zinc/36/76/43/205367643.db2.gz AYSVVYWZXMRLLI-UHFFFAOYSA-N -1 1 314.285 1.260 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@@H]1O)c1cncc([O-])c1 ZINC000282919827 217324746 /nfs/dbraw/zinc/32/47/46/217324746.db2.gz DYJRMZWOGWXDCI-SMDDNHRTSA-N -1 1 306.362 1.085 20 0 DDADMM CCC[C@H](NC(=O)c1cnn(-c2ccccc2)c1)c1nn[n-]n1 ZINC000267704085 206229718 /nfs/dbraw/zinc/22/97/18/206229718.db2.gz QOSIQOBGPPGBEC-ZDUSSCGKSA-N -1 1 311.349 1.657 20 0 DDADMM COc1cc2c(cc1F)nccc2NCCc1nc(=N)[n-]o1 ZINC000359545828 299475809 /nfs/dbraw/zinc/47/58/09/299475809.db2.gz JSYSTJHMRPOCAV-UHFFFAOYSA-N -1 1 303.297 1.833 20 0 DDADMM CN1C[C@H](C(=O)N=c2cc(-c3cccc(F)c3F)[n-][nH]2)CC1=O ZINC000567096397 304197101 /nfs/dbraw/zinc/19/71/01/304197101.db2.gz AWSQARJHEUBDBH-MRVPVSSYSA-N -1 1 320.299 1.194 20 0 DDADMM COC(=O)[C@H](C)[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC000042199654 183241073 /nfs/dbraw/zinc/24/10/73/183241073.db2.gz GXDAODGSPBRNCI-LURJTMIESA-N -1 1 312.174 1.833 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](CCO)COC)cc(Cl)c1[O-] ZINC000273862148 211122832 /nfs/dbraw/zinc/12/28/32/211122832.db2.gz ODWLYHHOLJWKSJ-JTQLQIEISA-N -1 1 317.769 1.572 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]Cc2cccnc2)c(C(F)(F)F)n1 ZINC000338849164 250160595 /nfs/dbraw/zinc/16/05/95/250160595.db2.gz IRWACRDFUMIBRN-UHFFFAOYSA-N -1 1 320.296 1.312 20 0 DDADMM COC(C)(C)C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338917575 250193887 /nfs/dbraw/zinc/19/38/87/250193887.db2.gz PJNVWPGIGFZMAQ-UHFFFAOYSA-N -1 1 315.317 1.142 20 0 DDADMM COc1ccc(C(=O)CC(C)(C)CC(=O)[N-]OCC(N)=O)cc1 ZINC000273836512 211101087 /nfs/dbraw/zinc/10/10/87/211101087.db2.gz LUGKITQVFSVNJX-UHFFFAOYSA-N -1 1 322.361 1.217 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338924317 250199328 /nfs/dbraw/zinc/19/93/28/250199328.db2.gz HDWNKVZGWFSDON-VXNVDRBHSA-N -1 1 306.384 1.210 20 0 DDADMM CNC(=O)C1CCC([N-]S(=O)(=O)c2sccc2F)CC1 ZINC000338876642 250177445 /nfs/dbraw/zinc/17/74/45/250177445.db2.gz CDDFQHZIVCDSPI-UHFFFAOYSA-N -1 1 320.411 1.470 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1cc(CC(C)(C)C)n[nH]1 ZINC000285002433 218252907 /nfs/dbraw/zinc/25/29/07/218252907.db2.gz GGMMLGZFTOTYCA-UHFFFAOYSA-N -1 1 311.411 1.841 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2ccn(-c3ccncc3)n2)s1 ZINC000339031419 250259192 /nfs/dbraw/zinc/25/91/92/250259192.db2.gz PAMDAXPOZYOPKX-UHFFFAOYSA-N -1 1 321.387 1.833 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc3c2OCCO3)n1 ZINC000339172970 250327983 /nfs/dbraw/zinc/32/79/83/250327983.db2.gz WVMBGXLTPXEWQG-UHFFFAOYSA-N -1 1 317.301 1.610 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COCc2ccccc2)n1 ZINC000339169596 250325550 /nfs/dbraw/zinc/32/55/50/250325550.db2.gz DHXYZMOLMCGDLQ-UHFFFAOYSA-N -1 1 303.318 1.742 20 0 DDADMM CC(C)(C)[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(N)=O ZINC000106446724 194214626 /nfs/dbraw/zinc/21/46/26/194214626.db2.gz UUDPTVHEGUXAPJ-SNVBAGLBSA-N -1 1 306.334 1.143 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCOC[C@H]1[C@@H]1CCCCC1=O ZINC000285398169 218415973 /nfs/dbraw/zinc/41/59/73/218415973.db2.gz VMIJBTPYTBBYHF-KBPBESRZSA-N -1 1 304.346 1.388 20 0 DDADMM O=C(NC1CCN(C(=O)c2ccoc2)CC1)c1cncc([O-])c1 ZINC000109942270 194299733 /nfs/dbraw/zinc/29/97/33/194299733.db2.gz DKUVHEIUHMTZLX-UHFFFAOYSA-N -1 1 315.329 1.415 20 0 DDADMM COC(C)(C)c1nc(C)c(C(=O)NC2(c3nn[n-]n3)CC2)s1 ZINC000567551899 304226162 /nfs/dbraw/zinc/22/61/62/304226162.db2.gz CHSMBISHZOGVDW-UHFFFAOYSA-N -1 1 322.394 1.265 20 0 DDADMM CN(C)C[C@@H]1CCCN1S(=O)(=O)c1ccc(C(=O)[O-])s1 ZINC000061643592 184180094 /nfs/dbraw/zinc/18/00/94/184180094.db2.gz GVSZXVMFDOWERP-VIFPVBQESA-N -1 1 318.420 1.161 20 0 DDADMM Cn1ccc(CC[N-]S(=O)(=O)c2cc(Cl)ccc2F)n1 ZINC000340964132 251306539 /nfs/dbraw/zinc/30/65/39/251306539.db2.gz VHBGYXKAEUVGTN-UHFFFAOYSA-N -1 1 317.773 1.734 20 0 DDADMM Cc1c(NS(=O)(=O)c2ccc(C(=O)[O-])c(C)c2)cnn1C ZINC000350868979 207376048 /nfs/dbraw/zinc/37/60/48/207376048.db2.gz JRAVKJRYMYXLPB-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM COc1nn(C)cc1[N-]S(=O)(=O)N1C[C@H](C)C[C@@H](C)C1 ZINC000352318139 284956849 /nfs/dbraw/zinc/95/68/49/284956849.db2.gz VZUULRPLZCPYRQ-NXEZZACHSA-N -1 1 302.400 1.063 20 0 DDADMM O=C(Nc1ccccc1OC(F)F)c1cc(=O)n2[n-]cnc2n1 ZINC000352414481 285035670 /nfs/dbraw/zinc/03/56/70/285035670.db2.gz HFCGPOAXCQOZJQ-UHFFFAOYSA-N -1 1 321.243 1.271 20 0 DDADMM O=C(NCCc1ccc(Cl)s1)c1cc(=O)n2[n-]cnc2n1 ZINC000352441268 285052514 /nfs/dbraw/zinc/05/25/14/285052514.db2.gz VFJYFOBRAUISLH-UHFFFAOYSA-N -1 1 323.765 1.105 20 0 DDADMM C[C@@H](NC(=O)C=Cc1ccccc1)C(=O)Nc1ccncc1[O-] ZINC000492072805 533021975 /nfs/dbraw/zinc/02/19/75/533021975.db2.gz TWOGVINEVOFVJE-QDZRJHCZSA-N -1 1 311.341 1.366 20 0 DDADMM CCC(CC)(CO)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000269977384 208028409 /nfs/dbraw/zinc/02/84/09/208028409.db2.gz ZFCVVNJNGGVAMQ-UHFFFAOYSA-N -1 1 319.379 1.143 20 0 DDADMM CCn1nc(C)c([N-]S(=O)(=O)C[C@@H](OC)C2CC2)c1C ZINC000567870644 304249389 /nfs/dbraw/zinc/24/93/89/304249389.db2.gz GUCITMDWXRCOKO-GFCCVEGCSA-N -1 1 301.412 1.687 20 0 DDADMM CC(C)Oc1ccccc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000352465108 285069892 /nfs/dbraw/zinc/06/98/92/285069892.db2.gz DAXQIAJKJBKXPQ-NSHDSACASA-N -1 1 303.366 1.863 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)nn1C(C)(C)C ZINC000352481368 285082243 /nfs/dbraw/zinc/08/22/43/285082243.db2.gz NUJYFUSTMAQBES-SECBINFHSA-N -1 1 305.386 1.335 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2(C)CCCCC2)co1 ZINC000270223500 208287350 /nfs/dbraw/zinc/28/73/50/208287350.db2.gz UEIQXKQKPGTBDJ-UHFFFAOYSA-N -1 1 314.407 1.888 20 0 DDADMM CC[C@@H](C)[C@@H]1CCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352550091 285133629 /nfs/dbraw/zinc/13/36/29/285133629.db2.gz JXXFRQPOPVHPEC-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM O=S(=O)(CC12CCC(CC1)C2)N[C@@H]1C[NH2+]CCC1(F)F ZINC000568277737 304283362 /nfs/dbraw/zinc/28/33/62/304283362.db2.gz BXYRGMHCQIMGLU-MOENNCHZSA-N -1 1 308.394 1.483 20 0 DDADMM COc1cc(C(=O)N2CCO[C@@H]([C@@H](C)O)C2)cc(Cl)c1[O-] ZINC000414059678 533522550 /nfs/dbraw/zinc/52/25/50/533522550.db2.gz VGXPKAMOYWAMQR-PRHODGIISA-N -1 1 315.753 1.276 20 0 DDADMM COC(=O)[C@H]1C[C@@H](NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000332495202 533534595 /nfs/dbraw/zinc/53/45/95/533534595.db2.gz YAVGDBJPNBDDCL-AOOOYVTPSA-N -1 1 321.377 1.470 20 0 DDADMM CCO[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000353009517 285454022 /nfs/dbraw/zinc/45/40/22/285454022.db2.gz RRNIPJKFPXQHTG-NWDGAFQWSA-N -1 1 321.345 1.437 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCOC1)c1ccc(Br)o1 ZINC000353387405 285700737 /nfs/dbraw/zinc/70/07/37/285700737.db2.gz OMTHYGYPFVWFJW-QMMMGPOBSA-N -1 1 324.196 1.747 20 0 DDADMM CC(C)=CCOc1cccc(C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000266581359 407569387 /nfs/dbraw/zinc/56/93/87/407569387.db2.gz MQXOXUGIKOKQAZ-UHFFFAOYSA-N -1 1 303.318 1.228 20 0 DDADMM C[C@@H]1CCC[C@H](C)N1C(=O)CS(=O)(=O)c1ccc([O-])cc1 ZINC000069163712 406685399 /nfs/dbraw/zinc/68/53/99/406685399.db2.gz KEAYKXPCLDXHKA-TXEJJXNPSA-N -1 1 311.403 1.955 20 0 DDADMM CS(=O)(=O)c1cccc([N-]S(=O)(=O)c2ccccc2)c1 ZINC000007727644 406766238 /nfs/dbraw/zinc/76/62/38/406766238.db2.gz ITRQEJUSASXVHO-UHFFFAOYSA-N -1 1 311.384 1.891 20 0 DDADMM COC(=O)[C@](C)([N-]S(=O)(=O)c1cc(F)ccc1F)C1CC1 ZINC000076299749 406962398 /nfs/dbraw/zinc/96/23/98/406962398.db2.gz NZIJWWOHLQBLBA-CYBMUJFWSA-N -1 1 319.329 1.585 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(c2ccc(Br)cc2)CC1 ZINC000044928595 407034212 /nfs/dbraw/zinc/03/42/12/407034212.db2.gz LFMMSVGWZUYWQO-UHFFFAOYSA-N -1 1 322.166 1.310 20 0 DDADMM COC(=O)CCCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000078795159 407045838 /nfs/dbraw/zinc/04/58/38/407045838.db2.gz DXKJLJLKUYQHCS-UHFFFAOYSA-N -1 1 309.366 1.059 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2nncs2)c(=O)[n-]1 ZINC000048797153 407107970 /nfs/dbraw/zinc/10/79/70/407107970.db2.gz GVBARCFNLYPXHY-UHFFFAOYSA-N -1 1 311.392 1.223 20 0 DDADMM CCN(CC)C(=O)[C@@H](c1ccccc1)S(=O)(=O)c1ncn[n-]1 ZINC000086169817 407108714 /nfs/dbraw/zinc/10/87/14/407108714.db2.gz BOOFOTVCJOETDN-GFCCVEGCSA-N -1 1 322.390 1.188 20 0 DDADMM CCN(CC)C(=O)[C@@H](c1ccccc1)S(=O)(=O)c1nnc[n-]1 ZINC000086169817 407108717 /nfs/dbraw/zinc/10/87/17/407108717.db2.gz BOOFOTVCJOETDN-GFCCVEGCSA-N -1 1 322.390 1.188 20 0 DDADMM CCN(CC)C(=O)[C@@H](c1ccccc1)S(=O)(=O)c1nc[n-]n1 ZINC000086169817 407108720 /nfs/dbraw/zinc/10/87/20/407108720.db2.gz BOOFOTVCJOETDN-GFCCVEGCSA-N -1 1 322.390 1.188 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]2C(C)C)o1 ZINC000049095481 407114917 /nfs/dbraw/zinc/11/49/17/407114917.db2.gz DNCWVJSGOKMGKI-JTQLQIEISA-N -1 1 300.380 1.448 20 0 DDADMM Cc1cc2occ(CC(=O)[N-]OCC(N)=O)c2cc1C(C)C ZINC000089465188 407135710 /nfs/dbraw/zinc/13/57/10/407135710.db2.gz PSVVXEGLXMAFBS-UHFFFAOYSA-N -1 1 304.346 1.940 20 0 DDADMM CC[N@@H+](CCNS(=O)(=O)c1cc(C)ccc1F)C1CC1 ZINC000102183962 407320408 /nfs/dbraw/zinc/32/04/08/407320408.db2.gz KFHDTXQDUMGPAQ-UHFFFAOYSA-N -1 1 300.399 1.897 20 0 DDADMM COC(=O)N1CCC[C@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000104313492 407350927 /nfs/dbraw/zinc/35/09/27/407350927.db2.gz IMOAPWFTGUPLID-LURJTMIESA-N -1 1 321.259 1.240 20 0 DDADMM O=C(CS(=O)(=O)c1ccc([O-])cc1)NCc1ccc(F)cc1 ZINC000125514307 407390428 /nfs/dbraw/zinc/39/04/28/407390428.db2.gz QQBFRPIBBROTTE-UHFFFAOYSA-N -1 1 323.345 1.621 20 0 DDADMM COc1cccc(N2CCN(C(=O)c3cncc([O-])c3)CC2)c1 ZINC000109040659 407394686 /nfs/dbraw/zinc/39/46/86/407394686.db2.gz VIQXPJKBPAADCB-UHFFFAOYSA-N -1 1 313.357 1.758 20 0 DDADMM COc1cc(C)sc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129421166 407608429 /nfs/dbraw/zinc/60/84/29/407608429.db2.gz GOGDIKMDQKHJAZ-VIFPVBQESA-N -1 1 307.379 1.598 20 0 DDADMM O=C([C@@H]1CSc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129400481 407609765 /nfs/dbraw/zinc/60/97/65/407609765.db2.gz UGUKUHVCDPTWAT-ZYHUDNBSSA-N -1 1 315.402 1.795 20 0 DDADMM Cc1c(C(=O)[N-]N2CC(=O)N(C)C2=O)oc2c1c(C)ccc2C ZINC000271308876 407626183 /nfs/dbraw/zinc/62/61/83/407626183.db2.gz UWTDXLNJBIBAJQ-UHFFFAOYSA-N -1 1 315.329 1.897 20 0 DDADMM CCCCO[C@H](C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000271399667 407667925 /nfs/dbraw/zinc/66/79/25/407667925.db2.gz WREHAWIQPRZVCF-SECBINFHSA-N -1 1 316.379 1.183 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2ccc(C)s2)n1 ZINC000266996801 407708148 /nfs/dbraw/zinc/70/81/48/407708148.db2.gz BTKGWCWKENALII-UHFFFAOYSA-N -1 1 314.392 1.469 20 0 DDADMM CC[C@H]1CCC[C@H](NC(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000153075931 407746701 /nfs/dbraw/zinc/74/67/01/407746701.db2.gz WQNPYPRCKGJGGH-JQWIXIFHSA-N -1 1 314.407 1.886 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)C2CCCCC2)co1 ZINC000153261511 407788754 /nfs/dbraw/zinc/78/87/54/407788754.db2.gz ZGNUSSJQCUWFJL-SNVBAGLBSA-N -1 1 314.407 1.886 20 0 DDADMM OCC(CO)[N-]c1nc(-c2cc(Cl)cc(Cl)c2)no1 ZINC000267224714 407797957 /nfs/dbraw/zinc/79/79/57/407797957.db2.gz ORSRXIYMXRUPGR-UHFFFAOYSA-N -1 1 304.133 1.809 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCSC(C)(C)C1)c2=O ZINC000179718416 407824163 /nfs/dbraw/zinc/82/41/63/407824163.db2.gz ZGKBQLGJFLEQIW-UHFFFAOYSA-N -1 1 306.391 1.642 20 0 DDADMM C[C@H](CO)[C@H](C)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272253247 407836708 /nfs/dbraw/zinc/83/67/08/407836708.db2.gz TWEPFVOZVYETCL-RQJHMYQMSA-N -1 1 313.206 1.684 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)OCc1ccccc1)c1nn[n-]n1 ZINC000187673803 407877006 /nfs/dbraw/zinc/87/70/06/407877006.db2.gz TWOADXPXRHWETJ-WCQYABFASA-N -1 1 303.366 1.762 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCS[C@H](C)[C@H]2C)o1 ZINC000118375595 407914378 /nfs/dbraw/zinc/91/43/78/407914378.db2.gz NUCLBPMNKCIDRZ-RKDXNWHRSA-N -1 1 318.420 1.154 20 0 DDADMM CC[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCS1 ZINC000119300097 408035725 /nfs/dbraw/zinc/03/57/25/408035725.db2.gz OJHDAMMEGYCTQW-VIFPVBQESA-N -1 1 306.391 1.642 20 0 DDADMM CN(C)C(=O)c1ccnc([N-]S(=O)(=O)Cc2ccccc2)c1 ZINC000135591052 408037066 /nfs/dbraw/zinc/03/70/66/408037066.db2.gz LCGOGJQYCBCVNX-UHFFFAOYSA-N -1 1 319.386 1.725 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1[C@@H]3Cc4ccccc4[C@@H]31)c2=O ZINC000119364915 408052728 /nfs/dbraw/zinc/05/27/28/408052728.db2.gz HZXYHHDRKMFLSH-BYCMXARLSA-N -1 1 320.352 1.742 20 0 DDADMM O=C(N[C@H]1COc2ccccc2C1=O)c1cc(F)ccc1[O-] ZINC000181539439 408006719 /nfs/dbraw/zinc/00/67/19/408006719.db2.gz ISVUQVBWQMGFSM-LBPRGKRZSA-N -1 1 301.273 1.905 20 0 DDADMM CCOC(=O)[C@@H](C)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000175202225 408077341 /nfs/dbraw/zinc/07/73/41/408077341.db2.gz OYLSYZNCMBKYEZ-VIFPVBQESA-N -1 1 323.393 1.305 20 0 DDADMM CCO[C@@H]1C[C@H](O)C12CCN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC000175249686 408087684 /nfs/dbraw/zinc/08/76/84/408087684.db2.gz AILQUEPIMVNAPS-LSDHHAIUSA-N -1 1 323.364 1.923 20 0 DDADMM CN(C)CCNS(=O)(=O)c1ccc(F)cc1C(F)(F)F ZINC000136438535 408105590 /nfs/dbraw/zinc/10/55/90/408105590.db2.gz OXUDFZOPNBQSIA-UHFFFAOYSA-N -1 1 314.304 1.684 20 0 DDADMM CCc1nsc(N2CCN(C(=O)c3cncc([O-])c3)CC2)n1 ZINC000175416778 408123867 /nfs/dbraw/zinc/12/38/67/408123867.db2.gz FEHFKVDHYDHPNK-UHFFFAOYSA-N -1 1 319.390 1.164 20 0 DDADMM C[C@@H]1C[C@H](CC(=O)NCCCc2nc(=O)[n-][nH]2)CC(C)(C)C1 ZINC000176269233 408330249 /nfs/dbraw/zinc/33/02/49/408330249.db2.gz OVMWGUYKWWWEND-VXGBXAGGSA-N -1 1 308.426 1.999 20 0 DDADMM CO[C@@H]1CCCN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000176212190 408309184 /nfs/dbraw/zinc/30/91/84/408309184.db2.gz ZMLMCXAWWGONFR-LLVKDONJSA-N -1 1 307.394 1.627 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(C)C ZINC000130971591 162002698 /nfs/dbraw/zinc/00/26/98/162002698.db2.gz VCNOZGZTOJMEFN-JTQLQIEISA-N -1 1 323.773 1.955 20 0 DDADMM CSC[C@H]1CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000134399322 162077084 /nfs/dbraw/zinc/07/70/84/162077084.db2.gz BLAICCPWHQMHRT-SNVBAGLBSA-N -1 1 303.387 1.891 20 0 DDADMM O=C(N[C@H]1CCCN(c2cccnc2)C1=O)c1cncc([O-])c1 ZINC000182907627 306707895 /nfs/dbraw/zinc/70/78/95/306707895.db2.gz QOTYZCPGPABSPH-AWEZNQCLSA-N -1 1 312.329 1.108 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)cc1Cl ZINC000172365459 162272698 /nfs/dbraw/zinc/27/26/98/162272698.db2.gz IKGJZWSXZGCVPE-SECBINFHSA-N -1 1 318.782 1.360 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC3(CCCC3)C2)o1 ZINC000173730658 162359960 /nfs/dbraw/zinc/35/99/60/162359960.db2.gz WWIWDWWGWNFXNP-UHFFFAOYSA-N -1 1 312.391 1.594 20 0 DDADMM CC(=O)N1CCCN(C(=O)c2c([O-])cnc3ccccc32)CC1 ZINC000176529784 408388068 /nfs/dbraw/zinc/38/80/68/408388068.db2.gz SYCGMRCUNFRBRH-UHFFFAOYSA-N -1 1 313.357 1.635 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1cc(F)c(F)cc1F)C[C@H](C)O ZINC000269769360 408422000 /nfs/dbraw/zinc/42/20/00/408422000.db2.gz MAMTZFUDRVFFCF-SFYZADRCSA-N -1 1 311.325 1.789 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CC(=O)Nc2ccccc21)c1nn[n-]n1 ZINC000176756050 408434899 /nfs/dbraw/zinc/43/48/99/408434899.db2.gz BJBLGAPTOURUNK-PWSUYJOCSA-N -1 1 314.349 1.283 20 0 DDADMM COC(=O)CC1(NC(=O)c2c([O-])cccc2F)CCOCC1 ZINC000274643993 408480117 /nfs/dbraw/zinc/48/01/17/408480117.db2.gz DRRWKDBNKIKGHG-UHFFFAOYSA-N -1 1 311.309 1.373 20 0 DDADMM O=C(NCCCN1C(=O)CCC1=O)c1cc(Cl)ccc1[O-] ZINC000264391738 408470499 /nfs/dbraw/zinc/47/04/99/408470499.db2.gz ZDNPKNIMOFXBCV-UHFFFAOYSA-N -1 1 310.737 1.315 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cc2ccccc2cc1[O-])[C@@H](C)O ZINC000274617778 408471515 /nfs/dbraw/zinc/47/15/15/408471515.db2.gz YTEAMRZZNNQEAD-OTYXRUKQSA-N -1 1 303.314 1.198 20 0 DDADMM NC(=O)C1(C[N-]S(=O)(=O)c2cc(F)ccc2F)CCCC1 ZINC000265180423 408571351 /nfs/dbraw/zinc/57/13/51/408571351.db2.gz DOJHRHOZJJZCMH-UHFFFAOYSA-N -1 1 318.345 1.289 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2c3ccccc3C[C@@H]2C)co1 ZINC000161616602 408621740 /nfs/dbraw/zinc/62/17/40/408621740.db2.gz MEXTYJLPTJJIHK-JTQLQIEISA-N -1 1 320.370 1.779 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)c1ncn(C)n1 ZINC000275617624 408622615 /nfs/dbraw/zinc/62/26/15/408622615.db2.gz IBRHINYYHLHWTR-SSDOTTSWSA-N -1 1 302.306 1.133 20 0 DDADMM CN(CC(F)(F)F)C(=O)CS(=O)(=O)c1ccc([O-])cc1 ZINC000177527015 408647930 /nfs/dbraw/zinc/64/79/30/408647930.db2.gz PTKASIOYBNDWCE-UHFFFAOYSA-N -1 1 311.281 1.187 20 0 DDADMM C[C@@H](O)C[C@@H]1CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000162158318 408674171 /nfs/dbraw/zinc/67/41/71/408674171.db2.gz JKGMMRPGXFFYPY-MNOVXSKESA-N -1 1 301.346 1.299 20 0 DDADMM CN(C)CCNS(=O)(=O)c1cc(C(=O)[O-])c(F)cc1Cl ZINC000184852082 408705295 /nfs/dbraw/zinc/70/52/95/408705295.db2.gz CUYJSHDZMSWRTJ-UHFFFAOYSA-N -1 1 324.761 1.017 20 0 DDADMM Cc1nc(C)c(S(=O)(=O)[N-]c2ccc3n[nH]nc3c2)s1 ZINC000177828129 408723809 /nfs/dbraw/zinc/72/38/09/408723809.db2.gz MBBPOMPOSGLRTC-UHFFFAOYSA-N -1 1 309.376 1.832 20 0 DDADMM Cc1nc(C)c(S(=O)(=O)[N-]c2ccc3nn[nH]c3c2)s1 ZINC000177828129 408723815 /nfs/dbraw/zinc/72/38/15/408723815.db2.gz MBBPOMPOSGLRTC-UHFFFAOYSA-N -1 1 309.376 1.832 20 0 DDADMM CCC[C@H](N[C@@H]1CCN(c2ccccc2OC)C1=O)C(=O)[O-] ZINC000185028207 408739190 /nfs/dbraw/zinc/73/91/90/408739190.db2.gz UKRFVVYTZSPXAJ-NEPJUHHUSA-N -1 1 306.362 1.643 20 0 DDADMM CC(C)(C)OC1CCN(Cc2cc(=O)n3[n-]ccc3n2)CC1 ZINC000162243429 408681633 /nfs/dbraw/zinc/68/16/33/408681633.db2.gz VTYOFEOZHSBGBI-UHFFFAOYSA-N -1 1 304.394 1.802 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CC)CSC ZINC000163834438 408792322 /nfs/dbraw/zinc/79/23/22/408792322.db2.gz XZAULMYJWCEMTG-MRVPVSSYSA-N -1 1 321.424 1.006 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)c1ncon1 ZINC000270932371 408747307 /nfs/dbraw/zinc/74/73/07/408747307.db2.gz KXJPVVARQSGYNW-QMMMGPOBSA-N -1 1 305.338 1.662 20 0 DDADMM COc1ccnc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000290802004 408836006 /nfs/dbraw/zinc/83/60/06/408836006.db2.gz YTESIJBRGZMDPG-SECBINFHSA-N -1 1 318.299 1.380 20 0 DDADMM CO[C@]1(C)C[C@@H]([N-]S(=O)(=O)c2c(C)onc2N)C1(C)C ZINC000290818872 408837816 /nfs/dbraw/zinc/83/78/16/408837816.db2.gz SXCNXGQJVAOUAO-PRHODGIISA-N -1 1 303.384 1.047 20 0 DDADMM C[C@@H](Sc1nc(C2CC2)cc(=O)[n-]1)C(=O)N1CCNC1=O ZINC000276395258 408849200 /nfs/dbraw/zinc/84/92/00/408849200.db2.gz VMVFODXYMMGNKT-SSDOTTSWSA-N -1 1 308.363 1.092 20 0 DDADMM C[C@H](CO)[N-]S(=O)(=O)c1ccc(Cl)c(F)c1Cl ZINC000189474815 163103001 /nfs/dbraw/zinc/10/30/01/163103001.db2.gz WILLQUFIDFMPDG-RXMQYKEDSA-N -1 1 302.154 1.792 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CC[C@H](CO)C1 ZINC000231358616 163349541 /nfs/dbraw/zinc/34/95/41/163349541.db2.gz CXXYGTJYJVBVBW-QMMMGPOBSA-N -1 1 300.152 1.609 20 0 DDADMM COc1cncc(S(=O)(=O)[N-]c2c(C)n[nH]c2C(F)F)c1 ZINC000281938534 408957180 /nfs/dbraw/zinc/95/71/80/408957180.db2.gz IQGLREFWASLUTD-UHFFFAOYSA-N -1 1 318.305 1.860 20 0 DDADMM CCc1nc(CC(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)cs1 ZINC000282852251 409067061 /nfs/dbraw/zinc/06/70/61/409067061.db2.gz GJLJDWXZWKSIOB-VIFPVBQESA-N -1 1 321.406 1.066 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)(F)F)c1cnc(Cl)c(F)c1 ZINC000287638023 409068764 /nfs/dbraw/zinc/06/87/64/409068764.db2.gz BTQNFPJAIIMBNL-LURJTMIESA-N -1 1 322.667 1.076 20 0 DDADMM C[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000278068591 409061106 /nfs/dbraw/zinc/06/11/06/409061106.db2.gz ZFWMXCVQADHMPG-APPZFPTMSA-N -1 1 309.309 1.807 20 0 DDADMM C[C@@H](CO)N(CC1CCC1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287734275 409084524 /nfs/dbraw/zinc/08/45/24/409084524.db2.gz UAQOBXRWLKVKNC-UXNQKTKSSA-N -1 1 317.393 1.357 20 0 DDADMM Cc1nc([N-]C(=O)c2nc(Br)cs2)nn1C ZINC000293821823 409187013 /nfs/dbraw/zinc/18/70/13/409187013.db2.gz ZLYXDUKHHDUNET-UHFFFAOYSA-N -1 1 302.157 1.595 20 0 DDADMM C[C@@H](CN(C)C(=O)c1c[nH]c(C(F)(F)F)c1)c1nn[n-]n1 ZINC000293655103 409154440 /nfs/dbraw/zinc/15/44/40/409154440.db2.gz ZWFMYQLBCMDQFF-LURJTMIESA-N -1 1 302.260 1.422 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(C)(C)[C@H]2C(C)C)co1 ZINC000294054564 409232315 /nfs/dbraw/zinc/23/23/15/409232315.db2.gz VYOMSGJNHNTCDI-GFCCVEGCSA-N -1 1 314.407 1.694 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2ccc(C(F)(F)F)cn2)n[n-]1 ZINC000284180857 409291682 /nfs/dbraw/zinc/29/16/82/409291682.db2.gz XPNXFISPMUFICH-UHFFFAOYSA-N -1 1 320.296 1.755 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2cc(Cl)ccc2F)OCCCO1 ZINC000284202270 409295658 /nfs/dbraw/zinc/29/56/58/409295658.db2.gz HQVMBXFHOFCJEU-UHFFFAOYSA-N -1 1 323.773 1.911 20 0 DDADMM CCC[C@H](NC(=O)c1cc2nccnc2cc1F)c1nn[n-]n1 ZINC000280497643 409330133 /nfs/dbraw/zinc/33/01/33/409330133.db2.gz WDYUOWXVFMRBRG-JTQLQIEISA-N -1 1 315.312 1.553 20 0 DDADMM Cn1cc(C(=O)CCC(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC000280509805 409336914 /nfs/dbraw/zinc/33/69/14/409336914.db2.gz GTJDWJKETXUBSK-UHFFFAOYSA-N -1 1 316.243 1.159 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000323148394 164047998 /nfs/dbraw/zinc/04/79/98/164047998.db2.gz DSKPJXPHPUVAAT-UKRRQHHQSA-N -1 1 310.353 1.896 20 0 DDADMM CCOc1cc(C(=O)N2CCOC[C@H](O)C2)cc(Cl)c1[O-] ZINC000296230272 409470457 /nfs/dbraw/zinc/47/04/57/409470457.db2.gz OCMMJLKYVLKMQO-SNVBAGLBSA-N -1 1 315.753 1.278 20 0 DDADMM Cc1cccc(C(=O)[O-])c1NS(=O)(=O)c1cnc2n1CCC2 ZINC000361999508 164154836 /nfs/dbraw/zinc/15/48/36/164154836.db2.gz JROYYWHJVRFIRK-UHFFFAOYSA-N -1 1 321.358 1.637 20 0 DDADMM O=C(N[C@H]1CCO[C@]2(CCOC2)C1)c1c(F)ccc([O-])c1F ZINC000408202213 164259604 /nfs/dbraw/zinc/25/96/04/164259604.db2.gz IEMDFFFJVKZJFW-BJOHPYRUSA-N -1 1 313.300 1.738 20 0 DDADMM COc1cccc(OCCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000295484074 409502320 /nfs/dbraw/zinc/50/23/20/409502320.db2.gz ACQLRNAFDOHYNI-NSHDSACASA-N -1 1 319.365 1.239 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cccc2c1ccn(C)c2=O ZINC000337869465 409526171 /nfs/dbraw/zinc/52/61/71/409526171.db2.gz QBQWQMVIVRSJSW-JTQLQIEISA-N -1 1 310.375 1.315 20 0 DDADMM O=C(N[C@@H]1CCO[C@@]2(CCOC2)C1)C(=O)c1ccc([O-])cc1 ZINC000331560515 409637704 /nfs/dbraw/zinc/63/77/04/409637704.db2.gz NFQSIYPBZQDIPT-WBMJQRKESA-N -1 1 305.330 1.029 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H](C)c1ccsc1 ZINC000342414584 409613321 /nfs/dbraw/zinc/61/33/21/409613321.db2.gz NCIOTKDMABDTKF-SECBINFHSA-N -1 1 307.375 1.822 20 0 DDADMM CCCc1nnc([N-]C(=O)c2csc(-c3nc[nH]n3)n2)s1 ZINC000338019129 409646972 /nfs/dbraw/zinc/64/69/72/409646972.db2.gz PFVYQUGEDGGLNL-UHFFFAOYSA-N -1 1 321.391 1.985 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H](C)C2CCC2)co1 ZINC000356869011 409676380 /nfs/dbraw/zinc/67/63/80/409676380.db2.gz LDRQUUAFDAWSEI-SECBINFHSA-N -1 1 300.380 1.448 20 0 DDADMM COCC[C@@H](C)S(=O)(=O)[N-][C@H](C(=O)OC)c1ccsc1 ZINC000345641438 409726621 /nfs/dbraw/zinc/72/66/21/409726621.db2.gz GSMFBZVPMQKTMZ-KOLCDFICSA-N -1 1 321.420 1.307 20 0 DDADMM O=C(NC[C@@H]1CCC[C@H](CO)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000342578933 409737781 /nfs/dbraw/zinc/73/77/81/409737781.db2.gz CVJSMVNORCVCKS-NEPJUHHUSA-N -1 1 315.373 1.452 20 0 DDADMM CCc1nc(CNS(=O)(=O)c2ccc(F)c(F)c2)n[nH]1 ZINC000296931027 409713194 /nfs/dbraw/zinc/71/31/94/409713194.db2.gz GCLSYARSUAAMPQ-UHFFFAOYSA-N -1 1 302.306 1.124 20 0 DDADMM Cc1ccc(SCC(=O)NC2(c3nn[n-]n3)CC2)c(C)c1 ZINC000357052695 409824367 /nfs/dbraw/zinc/82/43/67/409824367.db2.gz RJRFBWAVPOUCPN-UHFFFAOYSA-N -1 1 303.391 1.714 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](C)CCCC(F)(F)F ZINC000349445197 409839808 /nfs/dbraw/zinc/83/98/08/409839808.db2.gz FDVWMQIUITZUAD-ZCFIWIBFSA-N -1 1 315.317 1.795 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)CCCC(F)(F)F ZINC000349445197 409839816 /nfs/dbraw/zinc/83/98/16/409839816.db2.gz FDVWMQIUITZUAD-ZCFIWIBFSA-N -1 1 315.317 1.795 20 0 DDADMM Cc1ccccc1C(C)(C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000357035784 409806098 /nfs/dbraw/zinc/80/60/98/409806098.db2.gz GEVVHIYTUFOGJV-UHFFFAOYSA-N -1 1 311.345 1.391 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cccc(Oc2cnccn2)c1 ZINC000357039448 409811814 /nfs/dbraw/zinc/81/18/14/409811814.db2.gz CCBNVEJARLTJAR-UHFFFAOYSA-N -1 1 323.316 1.201 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2C[C@H](OC)C2(C)C)c1 ZINC000338227685 409818546 /nfs/dbraw/zinc/81/85/46/409818546.db2.gz VBHRKBUJHKIOIK-UWVGGRQHSA-N -1 1 317.363 1.158 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1C[C@@H]1c1ccc(Br)cc1 ZINC000342852947 409950223 /nfs/dbraw/zinc/95/02/23/409950223.db2.gz QLZWEUGKPQXUAX-BDAKNGLRSA-N -1 1 308.139 1.704 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1C[C@@H]1c1ccc(Br)cc1 ZINC000342852947 409950234 /nfs/dbraw/zinc/95/02/34/409950234.db2.gz QLZWEUGKPQXUAX-BDAKNGLRSA-N -1 1 308.139 1.704 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)CCCOc1ccccc1C ZINC000297816883 410010748 /nfs/dbraw/zinc/01/07/48/410010748.db2.gz UMESBQLHFWHFCX-UHFFFAOYSA-N -1 1 317.349 1.270 20 0 DDADMM Cc1scc(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)c1C ZINC000297848311 410023063 /nfs/dbraw/zinc/02/30/63/410023063.db2.gz XHZDYGKBBMZYBY-UHFFFAOYSA-N -1 1 305.363 1.628 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1cc(C)ccn1 ZINC000357362883 409994794 /nfs/dbraw/zinc/99/47/94/409994794.db2.gz IYMVSEMTMLHHBS-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](S(C)(=O)=O)CC2)c([O-])c1 ZINC000332228375 409996735 /nfs/dbraw/zinc/99/67/35/409996735.db2.gz NHKQHEZVGQGKPG-NSHDSACASA-N -1 1 312.391 1.135 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1cnn[nH]1)c1ccc(Cl)cc1 ZINC000357576764 410114421 /nfs/dbraw/zinc/11/44/21/410114421.db2.gz DAIPDGHJODJUJK-JTQLQIEISA-N -1 1 308.725 1.492 20 0 DDADMM CCOc1cccc(NC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000343209006 410237916 /nfs/dbraw/zinc/23/79/16/410237916.db2.gz IHPGSHCXPVXTAW-UHFFFAOYSA-N -1 1 315.329 1.554 20 0 DDADMM C[C@H]1CC(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@H](C)O1 ZINC000343186804 410222554 /nfs/dbraw/zinc/22/25/54/410222554.db2.gz WJXRWMUUVINAAE-UWVGGRQHSA-N -1 1 318.377 1.607 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCO[C@H](COc2ccccc2)C1 ZINC000355205664 410378079 /nfs/dbraw/zinc/37/80/79/410378079.db2.gz ZKJSCIOHOJRPHZ-INIZCTEOSA-N -1 1 314.341 1.707 20 0 DDADMM CCn1nc(/C=C\c2cc(=O)n3[n-]cnc3n2)c2ccccc21 ZINC000352025666 410425108 /nfs/dbraw/zinc/42/51/08/410425108.db2.gz FURSOJLVLGDSFL-FPLPWBNLSA-N -1 1 306.329 1.958 20 0 DDADMM CCOc1cc(/C=C/c2cc(=O)n3[n-]cnc3n2)ccc1OC ZINC000339956826 410574806 /nfs/dbraw/zinc/57/48/06/410574806.db2.gz NBLOOJJSULZOJE-GQCTYLIASA-N -1 1 312.329 1.995 20 0 DDADMM CCOC(=O)CN(Cc1nc(=O)n(C)[n-]1)[C@@H](C)c1ccccc1 ZINC000347482971 410578590 /nfs/dbraw/zinc/57/85/90/410578590.db2.gz GZSBCGAECVXYRG-LBPRGKRZSA-N -1 1 318.377 1.235 20 0 DDADMM CCOc1ccc(C2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)cc1 ZINC000347539851 410603042 /nfs/dbraw/zinc/60/30/42/410603042.db2.gz LLPGHPNCRZIUPS-UHFFFAOYSA-N -1 1 314.389 1.796 20 0 DDADMM CC[C@H](NC(=O)c1cncc([O-])c1)c1nnc2n1CCCCC2 ZINC000339926689 410556808 /nfs/dbraw/zinc/55/68/08/410556808.db2.gz UBODVPLUVXVLNG-ZDUSSCGKSA-N -1 1 315.377 1.986 20 0 DDADMM C[C@H](C(=O)Nc1ccncc1[O-])n1ccc2ccccc2c1=O ZINC000339937080 410562666 /nfs/dbraw/zinc/56/26/66/410562666.db2.gz BHMFVLBAVKPNOO-LLVKDONJSA-N -1 1 309.325 1.724 20 0 DDADMM CC(C)(CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C(F)(F)F ZINC000359368452 410627757 /nfs/dbraw/zinc/62/77/57/410627757.db2.gz GGSPRRLPMCJKFN-UHFFFAOYSA-N -1 1 314.289 1.125 20 0 DDADMM CC(C)(CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C(F)(F)F ZINC000359368452 410627762 /nfs/dbraw/zinc/62/77/62/410627762.db2.gz GGSPRRLPMCJKFN-UHFFFAOYSA-N -1 1 314.289 1.125 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000330592323 410808387 /nfs/dbraw/zinc/80/83/87/410808387.db2.gz OUYVSXAOTFZSNQ-VXGBXAGGSA-N -1 1 311.382 1.932 20 0 DDADMM CC[C@@]1(C)C[C@@H]1C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000359674145 410809773 /nfs/dbraw/zinc/80/97/73/410809773.db2.gz CINAJTQKPGDRFJ-YGRLFVJLSA-N -1 1 312.391 1.675 20 0 DDADMM C[C@H](Oc1ccc(F)c(F)c1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359782023 410876550 /nfs/dbraw/zinc/87/65/50/410876550.db2.gz FSEWLEFOOIATSF-ZETCQYMHSA-N -1 1 311.292 1.297 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCC2(O)CCC2)o1 ZINC000341145219 410893963 /nfs/dbraw/zinc/89/39/63/410893963.db2.gz YWLRJAKNHWUSDE-UHFFFAOYSA-N -1 1 317.363 1.040 20 0 DDADMM Cc1ccc(Cl)c(O[C@H](C)C(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000348284194 410895725 /nfs/dbraw/zinc/89/57/25/410895725.db2.gz SXZRPWMVJUXMPF-SECBINFHSA-N -1 1 321.768 1.734 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H](CO)C3CC3)cnc2n1 ZINC000359819765 410899699 /nfs/dbraw/zinc/89/96/99/410899699.db2.gz IGHKYYBSPTYLLR-LLVKDONJSA-N -1 1 301.346 1.392 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@H](CO)C3CC3)c[n-]c2n1 ZINC000359819765 410899707 /nfs/dbraw/zinc/89/97/07/410899707.db2.gz IGHKYYBSPTYLLR-LLVKDONJSA-N -1 1 301.346 1.392 20 0 DDADMM CC[C@@H](Oc1ccccc1F)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348290976 410901343 /nfs/dbraw/zinc/90/13/43/410901343.db2.gz HMGLEQCSHOQRPR-SNVBAGLBSA-N -1 1 305.313 1.302 20 0 DDADMM CC(C)(Oc1ccc(Cl)cc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348299492 410905328 /nfs/dbraw/zinc/90/53/28/410905328.db2.gz XUGQXBSZBMJYQW-UHFFFAOYSA-N -1 1 321.768 1.816 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@@H]1c1cccc(Cl)c1 ZINC000348297912 410906491 /nfs/dbraw/zinc/90/64/91/410906491.db2.gz MHBDVAWXQQMDHN-GHMZBOCLSA-N -1 1 303.753 1.762 20 0 DDADMM CCOC(=O)c1cccc([N-]S(=O)(=O)C[C@@H](C)OC)c1 ZINC000337778430 410998053 /nfs/dbraw/zinc/99/80/53/410998053.db2.gz ZEJOMHFPWWTIGA-SNVBAGLBSA-N -1 1 301.364 1.640 20 0 DDADMM CC(C)OC(=O)CC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000337802719 411009171 /nfs/dbraw/zinc/00/91/71/411009171.db2.gz IUQSHAUHGCXDGV-UHFFFAOYSA-N -1 1 307.318 1.585 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@H]1COc3ccccc31)c2=O ZINC000356537802 411078359 /nfs/dbraw/zinc/07/83/59/411078359.db2.gz HLVSEXDQHZOSEV-JTQLQIEISA-N -1 1 324.340 1.580 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc2c(cc1SC)OCCO2 ZINC000348775997 411087125 /nfs/dbraw/zinc/08/71/25/411087125.db2.gz OMVHORYBDYVKNP-UHFFFAOYSA-N -1 1 319.404 1.568 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccc(C)c(C(N)=O)c2)cc1O ZINC000360231345 411106805 /nfs/dbraw/zinc/10/68/05/411106805.db2.gz RQKYIDMCZKGBAG-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM CC[C@H](CO)N1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000129222347 196030084 /nfs/dbraw/zinc/03/00/84/196030084.db2.gz SOIPDEFZKBHGPI-GFCCVEGCSA-N -1 1 312.797 1.574 20 0 DDADMM O=C(CCC[N-]S(=O)(=O)c1ccc(C(F)F)o1)NC1CC1 ZINC000631755433 422907818 /nfs/dbraw/zinc/90/78/18/422907818.db2.gz BLFRDXYOUDBQOI-UHFFFAOYSA-N -1 1 322.333 1.554 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](NC(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000647696898 423003348 /nfs/dbraw/zinc/00/33/48/423003348.db2.gz ADUSIYMWPXDAFX-MNOVXSKESA-N -1 1 315.333 1.642 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cccc(Cl)c1F ZINC000133425548 196330628 /nfs/dbraw/zinc/33/06/28/196330628.db2.gz OEIBLIOOUKXZII-UHFFFAOYSA-N -1 1 311.762 1.884 20 0 DDADMM COc1cncc(/C=C/CCN2CCOC[C@@H]2CC(=O)[O-])c1 ZINC000652475295 423033665 /nfs/dbraw/zinc/03/36/65/423033665.db2.gz HZLGVXQDFHRTGK-PMUGQKEBSA-N -1 1 306.362 1.669 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc2c(c1)NC(=O)[C@@H](C)N2C ZINC000647815879 423059245 /nfs/dbraw/zinc/05/92/45/423059245.db2.gz PIOIOPNBZPTOIV-MRVPVSSYSA-N -1 1 315.333 1.453 20 0 DDADMM CC(=O)CCc1ccc(OCC(=O)Nc2c(C)[n-][nH]c2=O)cc1 ZINC000647816859 423060503 /nfs/dbraw/zinc/06/05/03/423060503.db2.gz YJQXLUKKHWCFMD-UHFFFAOYSA-N -1 1 317.345 1.963 20 0 DDADMM COCC[C@@H](C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645510843 423061162 /nfs/dbraw/zinc/06/11/62/423061162.db2.gz QBTDVRNOBFSLHX-MRVPVSSYSA-N -1 1 312.313 1.804 20 0 DDADMM CC(C)(C)c1n[n-]c(S(=O)(=O)Cc2cc3n(n2)CCCC3)n1 ZINC000650193560 423081149 /nfs/dbraw/zinc/08/11/49/423081149.db2.gz BNFRHYGTSKJUDB-UHFFFAOYSA-N -1 1 323.422 1.609 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2cc3n(n2)CCCC3)n[n-]1 ZINC000650193560 423081154 /nfs/dbraw/zinc/08/11/54/423081154.db2.gz BNFRHYGTSKJUDB-UHFFFAOYSA-N -1 1 323.422 1.609 20 0 DDADMM O=S(=O)([N-]CCc1ccccc1)c1c[nH]nc1C(F)(F)F ZINC000647889705 423101572 /nfs/dbraw/zinc/10/15/72/423101572.db2.gz LONTZMMQVDYQER-UHFFFAOYSA-N -1 1 319.308 1.950 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC1=CCCCC1 ZINC000295706361 225145790 /nfs/dbraw/zinc/14/57/90/225145790.db2.gz BGMRLPLOVAAQOD-UHFFFAOYSA-N -1 1 314.389 1.799 20 0 DDADMM CC1(C)[C@H](O)C[C@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645660331 423130201 /nfs/dbraw/zinc/13/02/01/423130201.db2.gz ZUOYEQMNKUPTPI-RKDXNWHRSA-N -1 1 324.324 1.538 20 0 DDADMM COc1cccc(N2C[C@@H](C(=O)[N-]OCCSC)CC2=O)c1 ZINC000366550583 418488546 /nfs/dbraw/zinc/48/85/46/418488546.db2.gz FZKRKLABCRUMOQ-NSHDSACASA-N -1 1 324.402 1.459 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1ccn(-c2ncccc2F)n1 ZINC000366637404 418498987 /nfs/dbraw/zinc/49/89/87/418498987.db2.gz BJGSZJWQXWFIHE-LBPRGKRZSA-N -1 1 321.312 1.408 20 0 DDADMM O=C(c1cccc2cccnc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366661458 418501836 /nfs/dbraw/zinc/50/18/36/418501836.db2.gz ZOXHSFBLPBSMED-LBPRGKRZSA-N -1 1 308.345 1.768 20 0 DDADMM C[C@](NC(=O)c1ccc([O-])cc1F)(C(N)=O)c1ccccc1 ZINC000182036951 221916606 /nfs/dbraw/zinc/91/66/06/221916606.db2.gz RXQIOEKUFOUVBD-MRXNPFEDSA-N -1 1 302.305 1.662 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCn3cncc3C2)c1 ZINC000374478400 418535549 /nfs/dbraw/zinc/53/55/49/418535549.db2.gz KGDJKKWEXNQSCB-SNVBAGLBSA-N -1 1 315.329 1.576 20 0 DDADMM CC(C)CN1CCO[C@@H](CN=c2[n-]nc(C(F)F)s2)C1 ZINC000360880918 418540416 /nfs/dbraw/zinc/54/04/16/418540416.db2.gz GPFPPUILCOHWOC-VIFPVBQESA-N -1 1 306.382 1.666 20 0 DDADMM COCc1nc(=NC[C@H]2CCC3(CCOCC3)[C@H]2O)s[n-]1 ZINC000374635820 418550027 /nfs/dbraw/zinc/55/00/27/418550027.db2.gz GQLCWAQELPVPBW-PWSUYJOCSA-N -1 1 313.423 1.086 20 0 DDADMM O=C([N-]S(=O)(=O)CC1CCC1)c1[nH]nc2c1CCCCC2 ZINC000191223046 222100185 /nfs/dbraw/zinc/10/01/85/222100185.db2.gz WTBNFIFKCHVIQT-UHFFFAOYSA-N -1 1 311.407 1.538 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2(C)CCCCC2)o1 ZINC000193449902 222155054 /nfs/dbraw/zinc/15/50/54/222155054.db2.gz ONMVQIIKQTXEGE-UHFFFAOYSA-N -1 1 300.380 1.640 20 0 DDADMM O=C(C=Cc1ccc(F)c(F)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000367523046 418607710 /nfs/dbraw/zinc/60/77/10/418607710.db2.gz LUWMNSVBGLOSOQ-FXMSTWTQSA-N -1 1 321.287 1.091 20 0 DDADMM COC[C@@](C)(O)CNC(=O)c1ccc(Br)c([O-])c1 ZINC000382536178 418731418 /nfs/dbraw/zinc/73/14/18/418731418.db2.gz BQNPRBUQMZSCDM-LBPRGKRZSA-N -1 1 318.167 1.282 20 0 DDADMM CO[C@]1(C[N-]S(=O)(=O)c2c(F)cccc2Cl)CCOC1 ZINC000361966429 418731514 /nfs/dbraw/zinc/73/15/14/418731514.db2.gz XSQYSVJIVCJDFK-LBPRGKRZSA-N -1 1 323.773 1.563 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc(C)c1F ZINC000371352701 418782317 /nfs/dbraw/zinc/78/23/17/418782317.db2.gz GXPUYGATZZIOEX-LLVKDONJSA-N -1 1 305.313 1.169 20 0 DDADMM CC(C)C[C@H](CNC(=O)[C@@H]1NCCc2ccccc21)C(=O)[O-] ZINC000388659435 418747217 /nfs/dbraw/zinc/74/72/17/418747217.db2.gz SQUALNLBQQHZBQ-UKRRQHHQSA-N -1 1 304.390 1.737 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1Cc2ccccc2N(C)C1)c1nn[n-]n1 ZINC000364656408 418796466 /nfs/dbraw/zinc/79/64/66/418796466.db2.gz KRBZAIJJJDLTCR-QWHCGFSZSA-N -1 1 314.393 1.466 20 0 DDADMM C[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@]2(CCCOC2)O1 ZINC000408410397 418801689 /nfs/dbraw/zinc/80/16/89/418801689.db2.gz PRDCIRCRNNPIJR-PXAZEXFGSA-N -1 1 319.357 1.371 20 0 DDADMM CO[C@H]1CCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000371681722 418808023 /nfs/dbraw/zinc/80/80/23/418808023.db2.gz SMAVRINXEUNRKC-JTQLQIEISA-N -1 1 304.350 1.315 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H](C)CCCO)c(F)c1 ZINC000425183930 228383581 /nfs/dbraw/zinc/38/35/81/228383581.db2.gz HPTWKSDDYHJVMZ-SECBINFHSA-N -1 1 307.362 1.960 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2C(=O)NCC[C@@H]2C)c(F)c1 ZINC000425193340 228386645 /nfs/dbraw/zinc/38/66/45/228386645.db2.gz LZRXDDUFJYLCFC-GZMMTYOYSA-N -1 1 318.345 1.076 20 0 DDADMM C[C@]1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CCCNC1=O ZINC000427945665 419771726 /nfs/dbraw/zinc/77/17/26/419771726.db2.gz KRMSCWHRQDSURY-INIZCTEOSA-N -1 1 314.345 1.637 20 0 DDADMM COC(=O)c1[n-]c(=NCc2c(C)cc(C)[nH]c2=O)sc1C ZINC000427994019 419783963 /nfs/dbraw/zinc/78/39/63/419783963.db2.gz QMRYBDAXVVMSSH-UHFFFAOYSA-N -1 1 307.375 1.990 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@H](CCO)CC1 ZINC000422073843 419830994 /nfs/dbraw/zinc/83/09/94/419830994.db2.gz NFNKPJVQRXSLNK-NSHDSACASA-N -1 1 309.435 1.764 20 0 DDADMM CC[C@@H](C(=O)Nc1nc2cccc(C(=O)OC)c2[nH]1)[C@H](C)O ZINC000419943183 420067914 /nfs/dbraw/zinc/06/79/14/420067914.db2.gz HZHREELBBCFTCT-DTWKUNHWSA-N -1 1 305.334 1.695 20 0 DDADMM CN(C(=O)c1ccc(C(F)(F)F)cc1[O-])[C@H]1CCNC1=O ZINC000436765331 229520803 /nfs/dbraw/zinc/52/08/03/229520803.db2.gz AFZHYYZYBBUZOS-VIFPVBQESA-N -1 1 302.252 1.372 20 0 DDADMM CCOc1nc(C(F)(F)F)ccc1C(=O)N=c1nc[nH][n-]1 ZINC000430433673 420120284 /nfs/dbraw/zinc/12/02/84/420120284.db2.gz DDQLEVPSPIRGIR-UHFFFAOYSA-N -1 1 301.228 1.291 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)c2c(C)nn(C)c2C)n1 ZINC000415631259 420129867 /nfs/dbraw/zinc/12/98/67/420129867.db2.gz DZMCOOKBTYWPIY-QMMMGPOBSA-N -1 1 319.365 1.679 20 0 DDADMM CN(CCCC(=O)[O-])CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000430678079 420177022 /nfs/dbraw/zinc/17/70/22/420177022.db2.gz LTYUMPOMKXLBLM-UHFFFAOYSA-N -1 1 308.334 1.193 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@@H]1CCC[C@H](C)C1 ZINC000416167139 420265045 /nfs/dbraw/zinc/26/50/45/420265045.db2.gz QCLPDFDADMAXJF-UWVGGRQHSA-N -1 1 309.366 1.843 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](c2ccccc2)C1 ZINC000416227222 420285953 /nfs/dbraw/zinc/28/59/53/420285953.db2.gz OZIYALWQONDVPO-XYPYZODXSA-N -1 1 315.329 1.572 20 0 DDADMM C[C@H](CN(C)C(=O)c1cn(C)c2ccc(F)cc12)c1nn[n-]n1 ZINC000435812954 420286574 /nfs/dbraw/zinc/28/65/74/420286574.db2.gz BNKCBEMOJIMGPC-SECBINFHSA-N -1 1 316.340 1.706 20 0 DDADMM O=C(NC[C@@H](O)[C@@H]1CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000436750881 420362863 /nfs/dbraw/zinc/36/28/63/420362863.db2.gz IDBDSCOFIZFLFG-PRHODGIISA-N -1 1 319.279 1.538 20 0 DDADMM CCNC(=O)CN(CC)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436747621 420363667 /nfs/dbraw/zinc/36/36/67/420363667.db2.gz BFNDJGYVPNVFNM-UHFFFAOYSA-N -1 1 314.769 1.652 20 0 DDADMM Cc1nccc(CCC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000425295005 420341524 /nfs/dbraw/zinc/34/15/24/420341524.db2.gz FFCBGPGFSPSFQF-NSHDSACASA-N -1 1 317.349 1.212 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425301533 420343224 /nfs/dbraw/zinc/34/32/24/420343224.db2.gz MJCVHHDXWJQFTG-CMPLNLGQSA-N -1 1 319.365 1.094 20 0 DDADMM COc1cc(C)cnc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425296832 420344025 /nfs/dbraw/zinc/34/40/25/420344025.db2.gz HNCONLZSMMHCTR-JTQLQIEISA-N -1 1 318.333 1.507 20 0 DDADMM CCc1cccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000425299895 420344203 /nfs/dbraw/zinc/34/42/03/420344203.db2.gz KNKAPBXSZCBVHH-SNVBAGLBSA-N -1 1 302.334 1.752 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)[nH]1 ZINC000425319860 420347241 /nfs/dbraw/zinc/34/72/41/420347241.db2.gz KGHWYIMEAPOASZ-UHFFFAOYSA-N -1 1 304.306 1.326 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@@H](CC)OC)[C@@H](C)CC ZINC000420675256 420352354 /nfs/dbraw/zinc/35/23/54/420352354.db2.gz OJEIHBSEZVQKRC-QJPTWQEYSA-N -1 1 309.428 1.309 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@@H](C(C)=O)c1ccccc1F)OC ZINC000420699946 420360955 /nfs/dbraw/zinc/36/09/55/420360955.db2.gz NRGHGSDBNSWVLU-FZMZJTMJSA-N -1 1 317.382 1.800 20 0 DDADMM CC[C@@H]1CN(CCNC(=O)c2ccc(Cl)cc2[O-])CCO1 ZINC000436821624 420372298 /nfs/dbraw/zinc/37/22/98/420372298.db2.gz PTJQCDBFNBMYKB-GFCCVEGCSA-N -1 1 312.797 1.886 20 0 DDADMM CON1CCC([N-]S(=O)(=O)c2cccc(F)c2F)CC1 ZINC000420747149 420377007 /nfs/dbraw/zinc/37/70/07/420377007.db2.gz GUJIMMKTZYZSMP-UHFFFAOYSA-N -1 1 306.334 1.269 20 0 DDADMM O=C(NC[C@@H]1COc2ccccc2O1)C(=O)c1ccc([O-])cc1 ZINC000436860122 420377059 /nfs/dbraw/zinc/37/70/59/420377059.db2.gz DUIDRILKHUTNMJ-CYBMUJFWSA-N -1 1 313.309 1.531 20 0 DDADMM O=C(NCc1ncn(-c2ccccc2)n1)C(=O)c1ccc([O-])cc1 ZINC000436771470 420364918 /nfs/dbraw/zinc/36/49/18/420364918.db2.gz VUWZXOONPWVKMH-UHFFFAOYSA-N -1 1 322.324 1.472 20 0 DDADMM COC(=O)c1cc(C(C)(C)NC(=O)c2cc(F)ccc2[O-])no1 ZINC000436792855 420367668 /nfs/dbraw/zinc/36/76/68/420367668.db2.gz OVDANSNMGLAPLT-UHFFFAOYSA-N -1 1 322.292 1.971 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)c3nnn(C)c3C)[nH][n-]2)s1 ZINC000439139835 420481790 /nfs/dbraw/zinc/48/17/90/420481790.db2.gz IUTKYWCZQXBFKI-UHFFFAOYSA-N -1 1 302.363 1.558 20 0 DDADMM CC(C)[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000439153733 420482572 /nfs/dbraw/zinc/48/25/72/420482572.db2.gz PXGJONDKVGQNBQ-LLVKDONJSA-N -1 1 322.390 1.587 20 0 DDADMM CC(C)[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000439153733 420482574 /nfs/dbraw/zinc/48/25/74/420482574.db2.gz PXGJONDKVGQNBQ-LLVKDONJSA-N -1 1 322.390 1.587 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1cn(C)c2ccccc12)c1nn[n-]n1 ZINC000492517215 420581145 /nfs/dbraw/zinc/58/11/45/420581145.db2.gz DSXDAMCKZAIVHP-LAUAKBEESA-N -1 1 324.388 1.967 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1C[C@]12CCc1ccccc12 ZINC000440642494 420593783 /nfs/dbraw/zinc/59/37/83/420593783.db2.gz YIGFTIHKWJBFLD-YOEHRIQHSA-N -1 1 323.400 1.989 20 0 DDADMM COc1cc(C(=O)NCCNC(=O)N(C)C)cc(Cl)c1[O-] ZINC000442814022 420721017 /nfs/dbraw/zinc/72/10/17/420721017.db2.gz UKQGCLSZYYKCLO-UHFFFAOYSA-N -1 1 315.757 1.055 20 0 DDADMM COc1cc(C(=O)N[C@@H]2C(=O)NCC[C@@H]2C)cc(Cl)c1[O-] ZINC000443041125 420737613 /nfs/dbraw/zinc/73/76/13/420737613.db2.gz XBTBOVIKNVTUJX-CPCISQLKSA-N -1 1 312.753 1.309 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/c2ccc[nH]2)c1 ZINC000493361720 420828507 /nfs/dbraw/zinc/82/85/07/420828507.db2.gz YQOCNOCGQWSBLG-QPJJXVBHSA-N -1 1 321.358 1.280 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C\c2ccc[nH]2)c1 ZINC000493361716 420828550 /nfs/dbraw/zinc/82/85/50/420828550.db2.gz YQOCNOCGQWSBLG-DAXSKMNVSA-N -1 1 321.358 1.280 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)N=c1[n-]nc(C(F)F)s1 ZINC000454598296 420888830 /nfs/dbraw/zinc/88/88/30/420888830.db2.gz RVJXBMFEDCEVEZ-QMMMGPOBSA-N -1 1 319.381 1.846 20 0 DDADMM CCC[C@@H]1CCC[C@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000449833251 421092728 /nfs/dbraw/zinc/09/27/28/421092728.db2.gz GSFTZKBYAJNFOX-RKDXNWHRSA-N -1 1 300.384 1.363 20 0 DDADMM CCC[C@@H]1CCC[C@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000449833251 421092730 /nfs/dbraw/zinc/09/27/30/421092730.db2.gz GSFTZKBYAJNFOX-RKDXNWHRSA-N -1 1 300.384 1.363 20 0 DDADMM Cc1ccccc1S(=O)(=O)N=c1cc(OC(F)F)n(C)[n-]1 ZINC000488362247 421084313 /nfs/dbraw/zinc/08/43/13/421084313.db2.gz CKOMCFNHMCAABQ-UHFFFAOYSA-N -1 1 317.317 1.553 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@H](C2CC2)[C@H]1C1CC1 ZINC000456296670 421138849 /nfs/dbraw/zinc/13/88/49/421138849.db2.gz PYVZAIGJNKLDMS-MLGOLLRUSA-N -1 1 315.373 1.164 20 0 DDADMM CCC[C@H](NC(=O)c1ccnc(OC(F)F)c1)c1nn[n-]n1 ZINC000450119654 421146204 /nfs/dbraw/zinc/14/62/04/421146204.db2.gz DFMBGQZADYBLDE-QMMMGPOBSA-N -1 1 312.280 1.467 20 0 DDADMM COC(=O)N1CCC[C@H](C(=O)Nc2nc(Cl)ccc2[O-])C1 ZINC000496432351 421253571 /nfs/dbraw/zinc/25/35/71/421253571.db2.gz HRKMVEIIUJNFGO-QMMMGPOBSA-N -1 1 313.741 1.858 20 0 DDADMM C[C@@]1(c2ccccc2)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000546853745 421313457 /nfs/dbraw/zinc/31/34/57/421313457.db2.gz SNAPDFHLGWXLIH-QGZVFWFLSA-N -1 1 323.356 1.221 20 0 DDADMM C[C@H](CN(C)C(=O)c1c[nH]c(=O)c2ccccc12)c1nn[n-]n1 ZINC000526057264 421327566 /nfs/dbraw/zinc/32/75/66/421327566.db2.gz BENMYSWVTGKMPL-SECBINFHSA-N -1 1 312.333 1.329 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc2c(c1)NCC2)c1nn[n-]n1 ZINC000562524824 421377176 /nfs/dbraw/zinc/37/71/76/421377176.db2.gz NJEVKFVTXOKYIS-LBPRGKRZSA-N -1 1 300.366 1.368 20 0 DDADMM CCOC(=O)N(C)C1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000496811025 421321367 /nfs/dbraw/zinc/32/13/67/421321367.db2.gz SBPPNURQGSXSLD-UHFFFAOYSA-N -1 1 321.377 1.789 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)N=c1[n-]nc(C(C)(C)C)s1 ZINC000562592847 421385218 /nfs/dbraw/zinc/38/52/18/421385218.db2.gz FJKLRBXFKDFGDB-SNVBAGLBSA-N -1 1 311.455 1.815 20 0 DDADMM O=C(CN1CCCC1=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000549970253 421510966 /nfs/dbraw/zinc/51/09/66/421510966.db2.gz DQJMKVACGGPWKK-UHFFFAOYSA-N -1 1 300.318 1.343 20 0 DDADMM C[C@](O)(CNC(=O)c1c(O)cc(Cl)cc1Cl)C(=O)[O-] ZINC000563958310 421561287 /nfs/dbraw/zinc/56/12/87/421561287.db2.gz URLNQIRCXXJUKL-NSHDSACASA-N -1 1 308.117 1.264 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2nncn2C2CC2)sc1C ZINC000551978418 421561677 /nfs/dbraw/zinc/56/16/77/421561677.db2.gz WBUXYFQMCHJREO-UHFFFAOYSA-N -1 1 313.408 1.165 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cnn3c2OCCC3)c1 ZINC000552019232 421566851 /nfs/dbraw/zinc/56/68/51/421566851.db2.gz IFZCDIWQIHRRQC-UHFFFAOYSA-N -1 1 317.301 1.410 20 0 DDADMM O=C(NCC[C@@H]1CCCOC1)c1nc2ccccc2c(=O)[n-]1 ZINC000530190961 421585625 /nfs/dbraw/zinc/58/56/25/421585625.db2.gz WVBMNTMVUINZSL-NSHDSACASA-N -1 1 301.346 1.470 20 0 DDADMM CCc1nc(SC[C@@H]2CCCS(=O)(=O)C2)[n-]c(=O)c1C ZINC000517246597 421604629 /nfs/dbraw/zinc/60/46/29/421604629.db2.gz BLZWCMJEPQMTDT-JTQLQIEISA-N -1 1 316.448 1.970 20 0 DDADMM CCC[C@@H](CC)S(=O)(=O)[N-]c1cc(C)n(CC(=O)NC)n1 ZINC000517376992 421611404 /nfs/dbraw/zinc/61/14/04/421611404.db2.gz PNNNFDJRQHLDFG-LLVKDONJSA-N -1 1 316.427 1.258 20 0 DDADMM O=C(CNc1ccccc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000570681291 421663197 /nfs/dbraw/zinc/66/31/97/421663197.db2.gz LUGJRSMVUDKQSK-LLVKDONJSA-N -1 1 302.334 1.593 20 0 DDADMM O=C(N1CCC[C@H](c2nn[n-]n2)C1)C1(Cc2ccccc2)CC1 ZINC000538224970 421737073 /nfs/dbraw/zinc/73/70/73/421737073.db2.gz OMNACLIBSXOORO-AWEZNQCLSA-N -1 1 311.389 1.929 20 0 DDADMM CCC1(CC)[C@H](NS(=O)(=O)c2c(C)o[n-]c2=N)C[C@@H]1OC ZINC000520291047 421744577 /nfs/dbraw/zinc/74/45/77/421744577.db2.gz NMZNJCKJTHYPEJ-ZJUUUORDSA-N -1 1 317.411 1.268 20 0 DDADMM CCC1(CC)[C@H]([N-]S(=O)(=O)c2c(C)onc2N)C[C@@H]1OC ZINC000520291047 421744578 /nfs/dbraw/zinc/74/45/78/421744578.db2.gz NMZNJCKJTHYPEJ-ZJUUUORDSA-N -1 1 317.411 1.268 20 0 DDADMM CCc1ccc(O)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000540425033 421763465 /nfs/dbraw/zinc/76/34/65/421763465.db2.gz KAEVQQZBFIDIBN-LLVKDONJSA-N -1 1 301.350 1.488 20 0 DDADMM Cc1nnc(CNC(=O)c2cnc3nc(C)ccc3c2[O-])s1 ZINC000540956602 421779662 /nfs/dbraw/zinc/77/96/62/421779662.db2.gz PNIMCCJWDSCQQL-UHFFFAOYSA-N -1 1 315.358 1.734 20 0 DDADMM Cc1nnc(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)s1 ZINC000540956602 421779664 /nfs/dbraw/zinc/77/96/64/421779664.db2.gz PNIMCCJWDSCQQL-UHFFFAOYSA-N -1 1 315.358 1.734 20 0 DDADMM CC(=O)N[C@@H](C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1)C(C)C ZINC000521567583 421791073 /nfs/dbraw/zinc/79/10/73/421791073.db2.gz UGRRSQPERVFOSO-CQSZACIVSA-N -1 1 320.418 1.968 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1cccc(Br)c1 ZINC000633393029 421880545 /nfs/dbraw/zinc/88/05/45/421880545.db2.gz JUGJMDRHKWQGIC-UHFFFAOYSA-N -1 1 324.182 1.254 20 0 DDADMM CCNC(=O)c1ccc(CNCc2cc(C(=O)[O-])nn2C)cc1 ZINC000635304760 421895627 /nfs/dbraw/zinc/89/56/27/421895627.db2.gz JZUJKXZYIXDBQM-UHFFFAOYSA-N -1 1 316.361 1.158 20 0 DDADMM O=C([O-])[C@@]12CCC[C@H]1CN(C(=O)c1cc(-c3ccoc3)[nH]n1)C2 ZINC000543995718 421842797 /nfs/dbraw/zinc/84/27/97/421842797.db2.gz ZCXXQPFRKVDLNS-MEDUHNTESA-N -1 1 315.329 1.997 20 0 DDADMM CCS(=O)(=O)C1CN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000633345920 421855473 /nfs/dbraw/zinc/85/54/73/421855473.db2.gz SSIQKJCKXHDBEZ-UHFFFAOYSA-N -1 1 317.794 1.234 20 0 DDADMM CO[C@@H]1C[C@@H](CC(=O)[O-])N(C(=O)c2cccc3n[nH]cc32)C1 ZINC000630188441 421947761 /nfs/dbraw/zinc/94/77/61/421947761.db2.gz APKIBTNVLAYUAO-VHSXEESVSA-N -1 1 303.318 1.267 20 0 DDADMM C[C@@H](Cc1ccco1)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630219914 421969892 /nfs/dbraw/zinc/96/98/92/421969892.db2.gz KDTATDWCLGLCEN-STQMWFEESA-N -1 1 308.378 1.466 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](C)S[C@H](C)C2)c1 ZINC000632039611 422042887 /nfs/dbraw/zinc/04/28/87/422042887.db2.gz JZERRSNSTJWURN-NXEZZACHSA-N -1 1 317.432 1.915 20 0 DDADMM CC[C@@H](OCCNC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC000633569497 421975333 /nfs/dbraw/zinc/97/53/33/421975333.db2.gz VPKVYWQCDSKUDY-CYBMUJFWSA-N -1 1 303.366 1.416 20 0 DDADMM CO[C@@H](C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1)c1ccccc1 ZINC000630224778 421975825 /nfs/dbraw/zinc/97/58/25/421975825.db2.gz VNKGOAOQVNYVAB-CQSZACIVSA-N -1 1 320.389 1.382 20 0 DDADMM COc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c(C)c1 ZINC000630225060 421975988 /nfs/dbraw/zinc/97/59/88/421975988.db2.gz SEMILBXPWVFRDN-CYBMUJFWSA-N -1 1 320.389 1.625 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC[C@H](O)C(F)(F)F)sn1 ZINC000632012856 422019610 /nfs/dbraw/zinc/01/96/10/422019610.db2.gz YQYXNDKQMDACJA-LURJTMIESA-N -1 1 304.315 1.043 20 0 DDADMM CC(C)[C@](C)(O)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632011720 422019862 /nfs/dbraw/zinc/01/98/62/422019862.db2.gz CLIYYUALKABSCF-SECBINFHSA-N -1 1 315.317 1.114 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1(c2cccc(C)c2)CC1 ZINC000633691564 422053997 /nfs/dbraw/zinc/05/39/97/422053997.db2.gz IFMRCSSFYARAFN-UHFFFAOYSA-N -1 1 312.373 1.478 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1(c2ccc(F)cc2)CC1 ZINC000633693091 422056250 /nfs/dbraw/zinc/05/62/50/422056250.db2.gz WMYMQPHUKGNKLK-UHFFFAOYSA-N -1 1 316.336 1.309 20 0 DDADMM CCc1nc(S[C@H](C)C(=O)NC(=O)NC2CC2)[n-]c(=O)c1C ZINC000583451860 422171355 /nfs/dbraw/zinc/17/13/55/422171355.db2.gz KQTQSVWQOUVTAU-MRVPVSSYSA-N -1 1 324.406 1.522 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2cnc[nH]2)cc1Cl ZINC000574804587 422185266 /nfs/dbraw/zinc/18/52/66/422185266.db2.gz KZRYJCCCUOAKLG-UHFFFAOYSA-N -1 1 315.738 1.651 20 0 DDADMM C[C@]12CN(C(=O)c3cc(Cl)ccc3[O-])C[C@]1(C)C(=O)NC2=O ZINC000633878976 422126465 /nfs/dbraw/zinc/12/64/65/422126465.db2.gz XCFOUIWLEVTYAI-HUUCEWRRSA-N -1 1 322.748 1.170 20 0 DDADMM COc1ccc(C[C@@H](C)CNC(=O)CCc2nn[n-]n2)cc1 ZINC000632160830 422128781 /nfs/dbraw/zinc/12/87/81/422128781.db2.gz LOCSTPYKCDPQIE-LLVKDONJSA-N -1 1 303.366 1.136 20 0 DDADMM CO[C@H]1CCN(c2ccc(=NCc3ccccc3F)[n-]n2)C1 ZINC000574549428 422132874 /nfs/dbraw/zinc/13/28/74/422132874.db2.gz STBOGHUOZRXSMN-ZDUSSCGKSA-N -1 1 302.353 1.875 20 0 DDADMM O=C(c1cc(F)c([O-])c(Cl)c1)N1CC[C@H](c2nc[nH]n2)C1 ZINC000582237233 422134570 /nfs/dbraw/zinc/13/45/70/422134570.db2.gz OKAKYZZONPZXCF-ZETCQYMHSA-N -1 1 310.716 1.933 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](O)Cc1ccccc1Cl)c1nn[n-]n1 ZINC000574573045 422135361 /nfs/dbraw/zinc/13/53/61/422135361.db2.gz WEPPMPDLQATAJG-RYUDHWBXSA-N -1 1 323.784 1.414 20 0 DDADMM Nc1ncc2c(n1)CCN(C(=O)c1ccc(Cl)cc1[O-])C2 ZINC000574897013 422207273 /nfs/dbraw/zinc/20/72/73/422207273.db2.gz AGSPLQJVNYLJSJ-UHFFFAOYSA-N -1 1 304.737 1.447 20 0 DDADMM Cc1nc(CC(C)C)oc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000583623878 422208549 /nfs/dbraw/zinc/20/85/49/422208549.db2.gz ZOVACXJNHOWTCZ-SECBINFHSA-N -1 1 306.370 1.570 20 0 DDADMM O=S(=O)([N-]CCC1(O)CCC1)c1c[nH]nc1C(F)(F)F ZINC000632316722 422244461 /nfs/dbraw/zinc/24/44/61/422244461.db2.gz JRXVFAPIICDISY-UHFFFAOYSA-N -1 1 313.301 1.012 20 0 DDADMM CC(C)N(CCc1nccs1)C(=O)CCCc1nn[n-]n1 ZINC000635734967 422293861 /nfs/dbraw/zinc/29/38/61/422293861.db2.gz YNPZKRMYPWICRB-UHFFFAOYSA-N -1 1 308.411 1.459 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@H](C)CCO)c1 ZINC000632379126 422290909 /nfs/dbraw/zinc/29/09/09/422290909.db2.gz LVZNTMZLWAKXIK-JTQLQIEISA-N -1 1 303.380 1.088 20 0 DDADMM C[C@H](CCCCO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632391882 422300358 /nfs/dbraw/zinc/30/03/58/422300358.db2.gz PZNLGYXOISDDBM-SSDOTTSWSA-N -1 1 315.317 1.258 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC ZINC000592078570 422329701 /nfs/dbraw/zinc/32/97/01/422329701.db2.gz UQCKFSBZTQLLKH-QMMMGPOBSA-N -1 1 307.318 1.442 20 0 DDADMM O=C(C(=O)N1C[C@@H](CO)[C@H](C(F)(F)F)C1)c1ccc([O-])cc1 ZINC000634234251 422339460 /nfs/dbraw/zinc/33/94/60/422339460.db2.gz FNCYNATWVZZSKV-GXSJLCMTSA-N -1 1 317.263 1.204 20 0 DDADMM Cc1ncc(C[N-]S(=O)(=O)c2c(C(F)(F)F)cnn2C)o1 ZINC000632440882 422346343 /nfs/dbraw/zinc/34/63/43/422346343.db2.gz MVNHEHLXOSMYPM-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM CCO[C@@H]1COCC[C@@H]1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632451983 422355498 /nfs/dbraw/zinc/35/54/98/422355498.db2.gz IKXOERBLQFAITH-GXTWGEPZSA-N -1 1 313.781 1.898 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC(=O)C1CCCC1 ZINC000628993808 422435824 /nfs/dbraw/zinc/43/58/24/422435824.db2.gz NLSCBNZRTXCONU-UHFFFAOYSA-N -1 1 309.391 1.702 20 0 DDADMM CN(C)C1(CNS(=O)(=O)c2cccc(Cl)c2[O-])CC1 ZINC000632488543 422385148 /nfs/dbraw/zinc/38/51/48/422385148.db2.gz AZDPFKUXVYBQGJ-UHFFFAOYSA-N -1 1 304.799 1.418 20 0 DDADMM C[C@H](C(=O)[O-])[N@@H+](Cc1ccc(S(C)(=O)=O)cc1)C1CCC1 ZINC000577628356 422397712 /nfs/dbraw/zinc/39/77/12/422397712.db2.gz ZGIWZBNLIPBZQD-LLVKDONJSA-N -1 1 311.403 1.918 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](c1ccccc1)[C@H]1CCCO1 ZINC000630889815 422398091 /nfs/dbraw/zinc/39/80/91/422398091.db2.gz QUQDGMPCMVVMJF-IUODEOHRSA-N -1 1 301.350 1.169 20 0 DDADMM COC[C@@H](NC(=O)CCCc1nn[n-]n1)c1ccc(Cl)cc1 ZINC000635864240 422420473 /nfs/dbraw/zinc/42/04/73/422420473.db2.gz DWPVKUDQYPFABE-GFCCVEGCSA-N -1 1 323.784 1.680 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)c2cscn2)c1 ZINC000632551026 422430095 /nfs/dbraw/zinc/43/00/95/422430095.db2.gz OJVDNDIKPNUZPB-MRVPVSSYSA-N -1 1 314.388 1.897 20 0 DDADMM CC(C)[C@H]1CCc2[n-]n(CCCN3CCOCC3)c(=O)c2C1 ZINC000634616247 422508700 /nfs/dbraw/zinc/50/87/00/422508700.db2.gz FSJPCYHCBAKWPO-LSDHHAIUSA-N -1 1 307.438 1.979 20 0 DDADMM O=C(Cn1cccn1)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000578182233 422514407 /nfs/dbraw/zinc/51/44/07/422514407.db2.gz UDCBRBSEGNDAOY-UHFFFAOYSA-N -1 1 303.272 1.612 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)[C@@H](C)O1 ZINC000632646433 422491456 /nfs/dbraw/zinc/49/14/56/422491456.db2.gz JLERDLMSSHDRNJ-MRTMQBJTSA-N -1 1 324.324 1.945 20 0 DDADMM O=c1c2c([n-]n1-c1ccncn1)CN(Cc1ccccc1)CC2 ZINC000634600230 422500408 /nfs/dbraw/zinc/50/04/08/422500408.db2.gz ALSGBPMLRYPIKL-CQSZACIVSA-N -1 1 307.357 1.701 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC2(CCC2)[C@H]1c1ccco1 ZINC000635999495 422554471 /nfs/dbraw/zinc/55/44/71/422554471.db2.gz LDMGVYOGBUYVOQ-CQSZACIVSA-N -1 1 301.350 1.869 20 0 DDADMM COC1(CO)CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000632775478 422570982 /nfs/dbraw/zinc/57/09/82/422570982.db2.gz WOFUGYZLUWFEER-UHFFFAOYSA-N -1 1 313.781 1.588 20 0 DDADMM CC1(C)CN(CC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000629380101 422639019 /nfs/dbraw/zinc/63/90/19/422639019.db2.gz KFLUDEJZVVUSGG-UHFFFAOYSA-N -1 1 300.362 1.858 20 0 DDADMM O=C(COC1CCOCC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629377077 422640379 /nfs/dbraw/zinc/64/03/79/422640379.db2.gz FJOSJLGZJUJYTM-UHFFFAOYSA-N -1 1 317.345 1.712 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)Cc1c(OC)cccc1OC ZINC000578761532 422642291 /nfs/dbraw/zinc/64/22/91/422642291.db2.gz IVEVLGUUOGZTDB-UHFFFAOYSA-N -1 1 319.317 1.395 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2cnc3onc(C)c3c2)CCC1 ZINC000634789378 422647868 /nfs/dbraw/zinc/64/78/68/422647868.db2.gz LHZUHAQDQJCHTH-UHFFFAOYSA-N -1 1 311.363 1.842 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2ccc3c(cc[nH]c3=O)c2)CCC1 ZINC000634793988 422649023 /nfs/dbraw/zinc/64/90/23/422649023.db2.gz JHRNQCLVMSROFR-UHFFFAOYSA-N -1 1 322.386 1.839 20 0 DDADMM CN(CCCCC(=O)[O-])CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000629506923 422705653 /nfs/dbraw/zinc/70/56/53/422705653.db2.gz ILZCTKQHXLNKDS-UHFFFAOYSA-N -1 1 322.361 1.583 20 0 DDADMM CCCN(Cc1cn(CC(=O)[O-])nn1)[C@H]1C[C@@H](OCC)C1(C)C ZINC000579118765 422711170 /nfs/dbraw/zinc/71/11/70/422711170.db2.gz BGVVSEZORHHBTL-UONOGXRCSA-N -1 1 324.425 1.778 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)[C@@H](C)O[C@@H](C)C(=O)[O-])CC1 ZINC000634924959 422716840 /nfs/dbraw/zinc/71/68/40/422716840.db2.gz MHZFBNBOVKPXIQ-NEPJUHHUSA-N -1 1 300.399 1.197 20 0 DDADMM COc1cc(OC2CC2)ccc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000579059983 422694148 /nfs/dbraw/zinc/69/41/48/422694148.db2.gz KQVHTWDDUJUDPX-UHFFFAOYSA-N -1 1 317.349 1.415 20 0 DDADMM O=C([O-])[C@@H]1CC(=O)N(C2CCN(Cc3cccc(F)c3)CC2)C1 ZINC000650498814 423176660 /nfs/dbraw/zinc/17/66/60/423176660.db2.gz ZURXGNMPECPMGP-CYBMUJFWSA-N -1 1 320.364 1.723 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](CC(C)C)C2)co1 ZINC000154499107 263343627 /nfs/dbraw/zinc/34/36/27/263343627.db2.gz SBQLDTQPPSPKAO-NSHDSACASA-N -1 1 314.407 1.696 20 0 DDADMM NC(=O)c1cccc(S(=O)(=O)[N-]c2ccc3c(c2)COC3)c1 ZINC000180091003 263363642 /nfs/dbraw/zinc/36/36/42/263363642.db2.gz ZUXQDZHCBITSGM-UHFFFAOYSA-N -1 1 318.354 1.617 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc(N2CCC2)nc1 ZINC000648175491 423258781 /nfs/dbraw/zinc/25/87/81/423258781.db2.gz WJTGLUTYGYTHDS-UHFFFAOYSA-N -1 1 312.255 1.681 20 0 DDADMM CN(C(=O)c1ccc(C(F)(F)F)c([O-])c1)C(C)(C)C(N)=O ZINC000650845155 423283903 /nfs/dbraw/zinc/28/39/03/423283903.db2.gz BFSKXWQEFHOTIH-UHFFFAOYSA-N -1 1 304.268 1.747 20 0 DDADMM COc1ccnc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000646113546 423345214 /nfs/dbraw/zinc/34/52/14/423345214.db2.gz SWIPYEDYYXCPJN-UHFFFAOYSA-N -1 1 304.306 1.199 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C(C)(C)c3ccccc3)nc2n1 ZINC000643909245 423402068 /nfs/dbraw/zinc/40/20/68/423402068.db2.gz NRLCBDDPQUHUFE-UHFFFAOYSA-N -1 1 311.345 1.642 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H]1CCc2cc(Br)ccc21 ZINC000648779458 423460621 /nfs/dbraw/zinc/46/06/21/423460621.db2.gz QNDDTMFIGLZYOF-JTQLQIEISA-N -1 1 322.166 1.308 20 0 DDADMM COCC1(O)CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000653767443 423598874 /nfs/dbraw/zinc/59/88/74/423598874.db2.gz DRXNZTOBHTYDMD-UHFFFAOYSA-N -1 1 305.252 1.244 20 0 DDADMM Cc1nc(SCCN2C(=O)CN(C)C2=O)[n-]c(=O)c1C1CC1 ZINC000641543190 423709371 /nfs/dbraw/zinc/70/93/71/423709371.db2.gz ORDWBBIMZUWXGY-UHFFFAOYSA-N -1 1 322.390 1.354 20 0 DDADMM Cc1nn(CCSc2nc(C)c(C3CC3)c(=O)[n-]2)c(=O)o1 ZINC000641543702 423711641 /nfs/dbraw/zinc/71/16/41/423711641.db2.gz XDMWRPYRZXDLIL-UHFFFAOYSA-N -1 1 308.363 1.618 20 0 DDADMM CCN1C[C@@H](C[N-]S(=O)(=O)c2sccc2Cl)CC1=O ZINC000651856253 423714421 /nfs/dbraw/zinc/71/44/21/423714421.db2.gz ZDCZQNKAYDTQKZ-MRVPVSSYSA-N -1 1 322.839 1.548 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC2CSC2)c(F)c1 ZINC000641613534 423757264 /nfs/dbraw/zinc/75/72/64/423757264.db2.gz SJGBOEFOIXETIP-UHFFFAOYSA-N -1 1 309.359 1.615 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cc(C(N)=O)ccc1F)C(C)C ZINC000647128398 423768207 /nfs/dbraw/zinc/76/82/07/423768207.db2.gz AJARJAHOFVUDJP-GFCCVEGCSA-N -1 1 318.370 1.337 20 0 DDADMM COc1ccccc1[C@@H](N)CNC(=O)[C@]1(C(=O)[O-])CC=CCC1 ZINC000656854117 423796359 /nfs/dbraw/zinc/79/63/59/423796359.db2.gz SONPIKHTRXCCDX-GUYCJALGSA-N -1 1 318.373 1.622 20 0 DDADMM O=C([O-])CCC1CCN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CC1 ZINC000659404102 423802467 /nfs/dbraw/zinc/80/24/67/423802467.db2.gz AHRZVXDCQVVYMF-GFCCVEGCSA-N -1 1 320.393 1.553 20 0 DDADMM O=S(=O)([N-][C@H]1CCSC1)c1ncccc1Br ZINC000656920996 423881028 /nfs/dbraw/zinc/88/10/28/423881028.db2.gz JVSYWEYPWXODGF-ZETCQYMHSA-N -1 1 323.237 1.628 20 0 DDADMM O=C(c1cc2n(n1)CCCC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887554 424016120 /nfs/dbraw/zinc/01/61/20/424016120.db2.gz BPHVXCZBEWXINJ-UHFFFAOYSA-N -1 1 317.349 1.328 20 0 DDADMM CC(C)(C)c1nnc(CNS(=O)(=O)c2ccccc2O)[nH]1 ZINC000657010691 423992452 /nfs/dbraw/zinc/99/24/52/423992452.db2.gz NAUGXHGQSMKSNA-UHFFFAOYSA-N -1 1 310.379 1.286 20 0 DDADMM COc1cc(CN[C@H](C(=O)[O-])c2ccnn2C)cc(OC)c1O ZINC000647467435 424050620 /nfs/dbraw/zinc/05/06/20/424050620.db2.gz DFVQTWPYNRUHRD-ZDUSSCGKSA-N -1 1 321.333 1.058 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc2c1OC(C)(C)C2)c1nn[n-]n1 ZINC000654998061 424103197 /nfs/dbraw/zinc/10/31/97/424103197.db2.gz ZFKSEZBEPBOHDU-JTQLQIEISA-N -1 1 315.377 1.789 20 0 DDADMM O=S(=O)([N-]CCO[C@H]1CC1(F)F)c1cc(F)ccc1F ZINC000657116683 424124326 /nfs/dbraw/zinc/12/43/26/424124326.db2.gz BNHDPTOOVCUSFE-JTQLQIEISA-N -1 1 313.272 1.667 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2c(F)cccc2F)nn1 ZINC000657120995 424132041 /nfs/dbraw/zinc/13/20/41/424132041.db2.gz GWVUHQSCKDWTKQ-UHFFFAOYSA-N -1 1 302.306 1.055 20 0 DDADMM COC[C@H]1CN(C(=O)N=c2[n-]sc3ccccc32)C[C@@H](C)O1 ZINC000640339222 424360919 /nfs/dbraw/zinc/36/09/19/424360919.db2.gz NOLXUGLHVKBPRW-GHMZBOCLSA-N -1 1 321.402 1.986 20 0 DDADMM NC(=O)C[C@H]1CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640340249 424363000 /nfs/dbraw/zinc/36/30/00/424363000.db2.gz PWOLUYAEJJTIGO-SECBINFHSA-N -1 1 304.375 1.447 20 0 DDADMM C[C@H](C(=O)NC[C@@H]1CCCO1)N1CCC(CCC(=O)[O-])CC1 ZINC000662219596 424487294 /nfs/dbraw/zinc/48/72/94/424487294.db2.gz YWLDRHDHJNVLFU-OCCSQVGLSA-N -1 1 312.410 1.247 20 0 DDADMM C[C@@H](C(=O)NC[C@@H]1CCCO1)N1CCC(CCC(=O)[O-])CC1 ZINC000662219595 424488264 /nfs/dbraw/zinc/48/82/64/424488264.db2.gz YWLDRHDHJNVLFU-JSGCOSHPSA-N -1 1 312.410 1.247 20 0 DDADMM COc1ccccc1[C@H]1CCCN1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662201623 424466954 /nfs/dbraw/zinc/46/69/54/424466954.db2.gz JMUZSQIPVOBBCT-KGLIPLIRSA-N -1 1 318.373 1.518 20 0 DDADMM CC[C@@H](COCC1CC1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000664305223 424527102 /nfs/dbraw/zinc/52/71/02/424527102.db2.gz SEHANSFYDPFDLW-LBPRGKRZSA-N -1 1 315.373 1.858 20 0 DDADMM C[C@H]1CN(S(=O)(=O)[N-]CC(F)(F)C(C)(C)C)C[C@H](C)O1 ZINC000660107637 424531662 /nfs/dbraw/zinc/53/16/62/424531662.db2.gz QEYVRVSFIIYHIP-UWVGGRQHSA-N -1 1 314.398 1.611 20 0 DDADMM C[C@H](NC(=O)c1ccc2[nH]nnc2c1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000655576423 424577609 /nfs/dbraw/zinc/57/76/09/424577609.db2.gz QYIPHSPWBCHUKR-INTQDDNPSA-N -1 1 316.361 1.823 20 0 DDADMM CC(=O)c1[nH]c(CCN2CCC[C@H](c3n[nH]c(=O)[n-]3)C2)nc1C ZINC000660558831 424717046 /nfs/dbraw/zinc/71/70/46/424717046.db2.gz VNVSUHWBLGUGRX-NSHDSACASA-N -1 1 318.381 1.167 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)N1CCN(C2CCC2)CC1)C1CCC1 ZINC000665325655 424784239 /nfs/dbraw/zinc/78/42/39/424784239.db2.gz LKTJMAVRGXIMOS-AWEZNQCLSA-N -1 1 309.410 1.367 20 0 DDADMM CCO[C@H](CC)c1noc(-c2coc(S(=O)(=O)[N-]C)c2)n1 ZINC000665040371 424734546 /nfs/dbraw/zinc/73/45/46/424734546.db2.gz QNDIUWXMEXFQMO-SECBINFHSA-N -1 1 315.351 1.725 20 0 DDADMM CCN(C[C@@H](C)O)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000341382172 271056748 /nfs/dbraw/zinc/05/67/48/271056748.db2.gz BVCIIQCRRRRRID-SECBINFHSA-N -1 1 313.423 1.374 20 0 DDADMM CN(C)C1CN(C(=O)N=c2[n-]nc(-c3ccccc3)s2)C1 ZINC000342298470 271370651 /nfs/dbraw/zinc/37/06/51/271370651.db2.gz ANRHCNYVJNKORF-UHFFFAOYSA-N -1 1 303.391 1.405 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1cc(F)c[nH]1 ZINC000345300014 272213025 /nfs/dbraw/zinc/21/30/25/272213025.db2.gz OSYRMPPLIFKDDR-UHFFFAOYSA-N -1 1 315.301 1.917 20 0 DDADMM O=C(CO)NCc1ccccc1[N-]S(=O)(=O)c1ccccc1 ZINC000345457893 272256358 /nfs/dbraw/zinc/25/63/58/272256358.db2.gz YWGANXZEGRNLKQ-UHFFFAOYSA-N -1 1 320.370 1.096 20 0 DDADMM CNC(=O)c1sc2[nH]c(-c3ccc([O-])cn3)nc(=O)c2c1C ZINC000427440888 277262488 /nfs/dbraw/zinc/26/24/88/277262488.db2.gz GJABENQXNLTBOX-UHFFFAOYSA-N -1 1 316.342 1.833 20 0 DDADMM COc1ccccc1C=CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129286872 281339155 /nfs/dbraw/zinc/33/91/55/281339155.db2.gz UIQOKHZWLGKXLN-MMQHEFTJSA-N -1 1 313.361 1.628 20 0 DDADMM CN(C)C1CN(C(=O)c2nn(-c3ccc(Cl)cc3)cc2[O-])C1 ZINC000279415776 289072037 /nfs/dbraw/zinc/07/20/37/289072037.db2.gz JGWNBZRXDVUJOZ-UHFFFAOYSA-N -1 1 320.780 1.617 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](c3ccnn3C)C2)c([O-])c1 ZINC000338308595 292872642 /nfs/dbraw/zinc/87/26/42/292872642.db2.gz BVJJKCBAKJZNIO-GFCCVEGCSA-N -1 1 300.362 1.849 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)C[C@H]1CCCCO1)C(=O)OCC ZINC000361420935 299864273 /nfs/dbraw/zinc/86/42/73/299864273.db2.gz QGLZYOSAELGTNF-CBSYICTRSA-N -1 1 319.423 1.373 20 0 DDADMM O=C([O-])C1(C(=O)N2CCCN(Cc3ccccc3)CC2)CC1 ZINC000323937719 298262443 /nfs/dbraw/zinc/26/24/43/298262443.db2.gz SEUJCNSHUIBARN-UHFFFAOYSA-N -1 1 302.374 1.586 20 0 DDADMM O=C([O-])Cc1ccc(NS(=O)(=O)c2cnc3n2CCC3)cc1 ZINC000361996637 299980818 /nfs/dbraw/zinc/98/08/18/299980818.db2.gz OSCAHGBKLBODJB-UHFFFAOYSA-N -1 1 321.358 1.257 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CCN1Cc1ccccc1)c1nn[n-]n1 ZINC000368526709 301048891 /nfs/dbraw/zinc/04/88/91/301048891.db2.gz UQEHZPZBNWXDGV-GXTWGEPZSA-N -1 1 314.393 1.036 20 0 DDADMM CC(C)c1n[n-]c(=NC[C@@H]2CCC[C@@H]2N2CCOCC2)s1 ZINC000368560818 301052595 /nfs/dbraw/zinc/05/25/95/301052595.db2.gz GFKVVDGGISLWHA-STQMWFEESA-N -1 1 310.467 1.996 20 0 DDADMM CCCCN1CCOC[C@@H]1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000368973615 301114217 /nfs/dbraw/zinc/11/42/17/301114217.db2.gz KPJVQOIRNRLPJL-MRVPVSSYSA-N -1 1 321.303 1.263 20 0 DDADMM C[C@@H]1[C@H](C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)[C@H]1c1ccccc1 ZINC000369167765 301139697 /nfs/dbraw/zinc/13/96/97/301139697.db2.gz WIVVFNCXEQLBOY-MYPMTAMASA-N -1 1 311.389 1.955 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCCOC(C)(C)C1 ZINC000370015981 301259190 /nfs/dbraw/zinc/25/91/90/301259190.db2.gz GBQNQACCGYFBMX-UHFFFAOYSA-N -1 1 311.407 1.854 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@@H]1CCCN1Cc1ccccn1 ZINC000370449659 301341667 /nfs/dbraw/zinc/34/16/67/301341667.db2.gz YJWGACYODNRPRD-ZFWWWQNUSA-N -1 1 305.378 1.130 20 0 DDADMM CC[C@@H](OC1CCCCC1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370513482 301355136 /nfs/dbraw/zinc/35/51/36/301355136.db2.gz TXPMFJUGWAKEHO-OLZOCXBDSA-N -1 1 323.397 1.228 20 0 DDADMM CC[C@H](C)[C@H](OC)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000356115285 306836178 /nfs/dbraw/zinc/83/61/78/306836178.db2.gz ICQIMABPKFUBFM-VFZGTOFNSA-N -1 1 320.393 1.729 20 0 DDADMM C[C@@H](Cc1cccc(O)c1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000507206825 302724474 /nfs/dbraw/zinc/72/44/74/302724474.db2.gz AQXHNJIBNFPLKG-NSHDSACASA-N -1 1 323.352 1.990 20 0 DDADMM COC[C@@](C)(O)CNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000525192572 302909240 /nfs/dbraw/zinc/90/92/40/302909240.db2.gz KWURGKCMDUWQNU-INIZCTEOSA-N -1 1 318.377 1.670 20 0 DDADMM COC[C@](C)(O)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000525951569 302922035 /nfs/dbraw/zinc/92/20/35/302922035.db2.gz QGQQSKVAPJGXQI-CYBMUJFWSA-N -1 1 303.742 1.181 20 0 DDADMM O=C(N=c1cc([C@@H]2CCCO2)[nH][nH]1)c1csc(=NC2CC2)[n-]1 ZINC000527499273 302957693 /nfs/dbraw/zinc/95/76/93/302957693.db2.gz SRQROXBENRXNOQ-NSHDSACASA-N -1 1 319.390 1.388 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](c1ccccn1)C1CCC1 ZINC000528503900 303036069 /nfs/dbraw/zinc/03/60/69/303036069.db2.gz VLUPCZGTRUGNTE-LBPRGKRZSA-N -1 1 322.390 1.610 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](c1ccccn1)C1CCC1 ZINC000528503900 303036070 /nfs/dbraw/zinc/03/60/70/303036070.db2.gz VLUPCZGTRUGNTE-LBPRGKRZSA-N -1 1 322.390 1.610 20 0 DDADMM CC(C)Cc1n[n-]c(=NC(=O)N2CCNC[C@H]2C(C)C)s1 ZINC000528664056 303054321 /nfs/dbraw/zinc/05/43/21/303054321.db2.gz ILOKYLFUVPBAEJ-NSHDSACASA-N -1 1 311.455 1.620 20 0 DDADMM Cc1scnc1[N-]C(=O)c1ccc(S(=O)(=O)N(C)C)o1 ZINC000529548484 303136018 /nfs/dbraw/zinc/13/60/18/303136018.db2.gz DPRKUIKSOBNWRO-UHFFFAOYSA-N -1 1 315.376 1.547 20 0 DDADMM CS(=O)(=O)N1CCCC[C@H]1C(=O)Nc1cccc(F)c1[O-] ZINC000530004718 303169633 /nfs/dbraw/zinc/16/96/33/303169633.db2.gz DETMCPICBTZBOK-NSHDSACASA-N -1 1 316.354 1.284 20 0 DDADMM O=C([O-])[C@H]1c2ccoc2CC[N@@H+]1C[C@@H](O)COCc1ccco1 ZINC000530168642 303178555 /nfs/dbraw/zinc/17/85/55/303178555.db2.gz LMFXJFJBXOKZRR-IAQYHMDHSA-N -1 1 321.329 1.434 20 0 DDADMM O=C([O-])[C@H]1c2ccoc2CCN1C[C@@H](O)COCc1ccco1 ZINC000530168642 303178557 /nfs/dbraw/zinc/17/85/57/303178557.db2.gz LMFXJFJBXOKZRR-IAQYHMDHSA-N -1 1 321.329 1.434 20 0 DDADMM CC(C)c1nsc(N2CCN(c3nc(=N)[n-]s3)CC2)n1 ZINC000531640172 303252407 /nfs/dbraw/zinc/25/24/07/303252407.db2.gz NFBCFWHHHMQIKK-UHFFFAOYSA-N -1 1 311.440 1.252 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)Cc1cccnc1 ZINC000531777412 303256167 /nfs/dbraw/zinc/25/61/67/303256167.db2.gz SVECTQMHCFQLCF-UHFFFAOYSA-N -1 1 304.375 1.880 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@@H]3CC34CCCCC4)CC2)s[n-]1 ZINC000531908220 303265277 /nfs/dbraw/zinc/26/52/77/303265277.db2.gz LFFQMDIPGWTNPF-NSHDSACASA-N -1 1 321.450 1.570 20 0 DDADMM COC[C@@H](CCO)Nc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000357532474 306891971 /nfs/dbraw/zinc/89/19/71/306891971.db2.gz KMNPHQGXIBQIKP-CYBMUJFWSA-N -1 1 318.377 1.670 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)c1cn([C@@H]2CCOC2)nn1 ZINC000358216610 306934574 /nfs/dbraw/zinc/93/45/74/306934574.db2.gz XXBNRTGKULMYEF-SECBINFHSA-N -1 1 308.725 1.851 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cscn2)c1 ZINC000359691433 306960082 /nfs/dbraw/zinc/96/00/82/306960082.db2.gz JJAQFPKXCDRRPH-UHFFFAOYSA-N -1 1 313.360 1.009 20 0 DDADMM FC(F)(F)c1nc(=NC2CC[NH+]([C@H]3CCOC3)CC2)s[n-]1 ZINC000367265228 307090423 /nfs/dbraw/zinc/09/04/23/307090423.db2.gz UMBFQZLFKCPPQQ-VIFPVBQESA-N -1 1 322.356 1.644 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@@H]2c2ccco2)c1 ZINC000367517078 307096327 /nfs/dbraw/zinc/09/63/27/307096327.db2.gz JLJAZIZFRCOKHZ-VHSXEESVSA-N -1 1 322.342 1.375 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1OCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000373316259 307184447 /nfs/dbraw/zinc/18/44/47/307184447.db2.gz DQDLZTMFUIHKMG-RDBSUJKOSA-N -1 1 321.425 1.891 20 0 DDADMM O=C(N1CCO[C@@H](c2nn[n-]n2)C1)C12CC3CC(CC(C3)C1)C2 ZINC000377599983 307274368 /nfs/dbraw/zinc/27/43/68/307274368.db2.gz KSDXJRQGABRROD-RRHJKOLHSA-N -1 1 317.393 1.316 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C(N)=O)C(C)C)c(F)c1 ZINC000425215732 307302310 /nfs/dbraw/zinc/30/23/10/307302310.db2.gz JJLKAZOJYSYZPI-JTQLQIEISA-N -1 1 306.334 1.061 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)/C=C/c3ccc4c(c3)OCO4)ccnc1-2 ZINC000493697628 307308347 /nfs/dbraw/zinc/30/83/47/307308347.db2.gz ZBIQBCSDLQFURK-VXOSQPKNSA-N -1 1 322.324 1.722 20 0 DDADMM CC(=O)N1CCCC[C@@H]1CCS(=O)(=O)[N-]CC(C)(F)F ZINC000559138129 307899174 /nfs/dbraw/zinc/89/91/74/307899174.db2.gz ADGRWQWAIXDLBA-LLVKDONJSA-N -1 1 312.382 1.352 20 0 DDADMM CN(C)[C@H](CNc1ncncc1C(=O)[O-])c1ccccc1Cl ZINC000563208660 307962513 /nfs/dbraw/zinc/96/25/13/307962513.db2.gz ZRIOEKKIQIIKGK-CYBMUJFWSA-N -1 1 320.780 1.965 20 0 DDADMM C[C@](N)(C(=O)N1C[C@@H]2CCC[C@@]2(C(=O)[O-])C1)c1ccccc1 ZINC000564661281 308002353 /nfs/dbraw/zinc/00/23/53/308002353.db2.gz OQAUSTDDYWNOCU-IAOVAPTHSA-N -1 1 302.374 1.574 20 0 DDADMM CCN(CCCN1CCO[C@H](CC(=O)[O-])C1)CC(F)(F)F ZINC000565100735 308020387 /nfs/dbraw/zinc/02/03/87/308020387.db2.gz CXCWLOJUDHIQQC-LLVKDONJSA-N -1 1 312.332 1.436 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C[C@@H]1C(=O)[O-] ZINC000570451367 308170091 /nfs/dbraw/zinc/17/00/91/308170091.db2.gz LJLOSOANBFCWLW-GWCFXTLKSA-N -1 1 314.345 1.655 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)CC(C)(C)CO ZINC000575215056 308273204 /nfs/dbraw/zinc/27/32/04/308273204.db2.gz NFUNTWGSVODLAW-UHFFFAOYSA-N -1 1 320.393 1.071 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000575408988 308276544 /nfs/dbraw/zinc/27/65/44/308276544.db2.gz BWJCKKPUVUCTTJ-HNNXBMFYSA-N -1 1 304.390 1.518 20 0 DDADMM O=C(c1ccc2cncn2c1)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000576349592 308308530 /nfs/dbraw/zinc/30/85/30/308308530.db2.gz RAZKWLJLQAMZEM-SNVBAGLBSA-N -1 1 312.333 1.178 20 0 DDADMM CC(C)C[N@H+]1CCCC[C@H]1CNS(=O)(=O)c1cscn1 ZINC000583080950 337236958 /nfs/dbraw/zinc/23/69/58/337236958.db2.gz DFWXWRTXVCJBOV-LBPRGKRZSA-N -1 1 317.480 1.932 20 0 DDADMM CN(CCNC(=O)NCCCC(=O)[O-])Cc1ccc(F)cc1 ZINC000583810608 337336282 /nfs/dbraw/zinc/33/62/82/337336282.db2.gz YBJKYAXWDWVPRS-UHFFFAOYSA-N -1 1 311.357 1.422 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC000372367440 483933287 /nfs/dbraw/zinc/93/32/87/483933287.db2.gz QLUBSWYKFOLMOD-CMPLNLGQSA-N -1 1 303.341 1.849 20 0 DDADMM Cn1cccc1S(=O)(=O)[N-]c1cc(C2CCOCC2)n[nH]1 ZINC000414438528 484059078 /nfs/dbraw/zinc/05/90/78/484059078.db2.gz DLFQAMPXBQYTSX-UHFFFAOYSA-N -1 1 310.379 1.443 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)c2cccn2C)cc1CO ZINC000414376462 484059502 /nfs/dbraw/zinc/05/95/02/484059502.db2.gz CMJRZHZNUZVHPZ-UHFFFAOYSA-N -1 1 310.375 1.717 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)n1cccn1 ZINC000425302910 484115958 /nfs/dbraw/zinc/11/59/58/484115958.db2.gz NSEAUXIYRPQYFV-QWRGUYRKSA-N -1 1 305.338 1.329 20 0 DDADMM O=C(COCc1cccnc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425306866 484117512 /nfs/dbraw/zinc/11/75/12/484117512.db2.gz SLQWFOAYVOUJND-LBPRGKRZSA-N -1 1 318.333 1.093 20 0 DDADMM O=c1[nH]c2cc(F)c([N-]S(=O)(=O)C[C@@H]3CCCO3)cc2o1 ZINC000656634303 484252199 /nfs/dbraw/zinc/25/21/99/484252199.db2.gz SHHBEBJMHGJLDB-ZETCQYMHSA-N -1 1 316.310 1.593 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc2c(c1)CCN(C)C2=O ZINC000656635302 484254072 /nfs/dbraw/zinc/25/40/72/484254072.db2.gz SSASVJWGNNKZMM-SNVBAGLBSA-N -1 1 312.391 1.091 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ncccc1F)[C@H]1CCOC1 ZINC000656731147 484305378 /nfs/dbraw/zinc/30/53/78/484305378.db2.gz ISYHKNPFKMGQAA-ONGXEEELSA-N -1 1 304.343 1.014 20 0 DDADMM CCc1nc(C2CCN(C(=O)[C@@H](C(=O)[O-])C3CC3)CC2)n[nH]1 ZINC000663051518 484629390 /nfs/dbraw/zinc/62/93/90/484629390.db2.gz YIEMWPTVGUVUFX-LBPRGKRZSA-N -1 1 306.366 1.184 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)c2ccsc2)o1 ZINC000669900458 484674597 /nfs/dbraw/zinc/67/45/97/484674597.db2.gz QYWLQPWNXYPNHX-QMMMGPOBSA-N -1 1 314.388 1.740 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]1c1cccc(F)c1 ZINC000667961689 484875814 /nfs/dbraw/zinc/87/58/14/484875814.db2.gz MHBIYFUKMWUWAO-SNVBAGLBSA-N -1 1 319.292 1.623 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CCc3cncn3C2)cc(Cl)c1[O-] ZINC000672151220 485239639 /nfs/dbraw/zinc/23/96/39/485239639.db2.gz WAAPYRRNIRCMEA-SNVBAGLBSA-N -1 1 321.764 1.996 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)NCc1cccc(C(=O)[O-])c1 ZINC000666563245 485274516 /nfs/dbraw/zinc/27/45/16/485274516.db2.gz XVDXDAGBBDZFRS-OAHLLOKOSA-N -1 1 319.405 1.866 20 0 DDADMM O=C(Cc1cc(C2CC2)no1)NC1(c2nn[n-]n2)CCCC1 ZINC000668868921 485345047 /nfs/dbraw/zinc/34/50/47/485345047.db2.gz HTYMYVZNGNUOLT-UHFFFAOYSA-N -1 1 302.338 1.193 20 0 DDADMM Cc1ccn2cnc(C(=O)NC3(c4nn[n-]n4)CCCC3)c2c1 ZINC000668869633 485345885 /nfs/dbraw/zinc/34/58/85/485345885.db2.gz SDTSMBONUXMHJZ-UHFFFAOYSA-N -1 1 311.349 1.355 20 0 DDADMM Cc1sc(C(N)=O)cc1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000673014701 485379846 /nfs/dbraw/zinc/37/98/46/485379846.db2.gz TZVCTJMYSXUFQK-UHFFFAOYSA-N -1 1 304.327 1.682 20 0 DDADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)CCC1CCCCC1 ZINC000669442697 485446037 /nfs/dbraw/zinc/44/60/37/485446037.db2.gz OSLDIKZQPSLCMR-UHFFFAOYSA-N -1 1 320.455 1.235 20 0 DDADMM Cc1cc(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)[nH]n1 ZINC000673838184 485454247 /nfs/dbraw/zinc/45/42/47/485454247.db2.gz KLNGBZDLRIQKAZ-UHFFFAOYSA-N -1 1 303.366 1.750 20 0 DDADMM Fc1ccccc1-c1nnc2ccc(NCc3nn[n-]n3)nn21 ZINC000678402384 485572835 /nfs/dbraw/zinc/57/28/35/485572835.db2.gz WHBCXCZWAZXHJH-UHFFFAOYSA-N -1 1 311.284 1.056 20 0 DDADMM CC[C@@H]1CC[C@@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000675037304 485845659 /nfs/dbraw/zinc/84/56/59/485845659.db2.gz PODWHYPGZGLDDP-AGIUHOORSA-N -1 1 301.350 1.363 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCO1 ZINC000679545726 485915781 /nfs/dbraw/zinc/91/57/81/485915781.db2.gz PGRWTLWAKLNWOU-MCIONIFRSA-N -1 1 315.377 1.611 20 0 DDADMM CCO[C@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C(C)C ZINC000675515969 485965617 /nfs/dbraw/zinc/96/56/17/485965617.db2.gz SHETWIXJOTUACC-UKRRQHHQSA-N -1 1 317.393 1.857 20 0 DDADMM CCOc1cc(C(=O)N2CCO[C@@H](OC)C2)cc(Cl)c1[O-] ZINC000683354329 485966730 /nfs/dbraw/zinc/96/67/30/485966730.db2.gz XATLFAOQWOUQRQ-GFCCVEGCSA-N -1 1 315.753 1.889 20 0 DDADMM O=C(NC1CC1)C1(c2nc(-c3ccc([O-])c(F)c3)no2)CC1 ZINC000683594501 486057685 /nfs/dbraw/zinc/05/76/85/486057685.db2.gz XSSCKZDMQJSMLL-UHFFFAOYSA-N -1 1 303.293 1.892 20 0 DDADMM Cc1cc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)nn1C ZINC000683687104 486080542 /nfs/dbraw/zinc/08/05/42/486080542.db2.gz PSZWBILJVGFYFO-UHFFFAOYSA-N -1 1 317.374 1.488 20 0 DDADMM Cc1cc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)nn1C ZINC000683687104 486080546 /nfs/dbraw/zinc/08/05/46/486080546.db2.gz PSZWBILJVGFYFO-UHFFFAOYSA-N -1 1 317.374 1.488 20 0 DDADMM Cc1cnc(CN(C)[C@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC000676193630 486139292 /nfs/dbraw/zinc/13/92/92/486139292.db2.gz ALHWTWZXKTXNCG-LBPRGKRZSA-N -1 1 316.361 1.430 20 0 DDADMM COCCN(CC(=O)[O-])C(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000676208396 486143215 /nfs/dbraw/zinc/14/32/15/486143215.db2.gz WZHHPTPHUFWIHG-OAHLLOKOSA-N -1 1 320.389 1.211 20 0 DDADMM COc1nnc([N-]C(=O)c2nc(SC)ncc2Cl)s1 ZINC000683903829 486154751 /nfs/dbraw/zinc/15/47/51/486154751.db2.gz DLRJAWFNAQBGQC-UHFFFAOYSA-N -1 1 317.783 1.964 20 0 DDADMM CCN(CC)C(=O)N1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681017922 486323510 /nfs/dbraw/zinc/32/35/10/486323510.db2.gz FSSXPFNQRWLPGI-UHFFFAOYSA-N -1 1 323.368 1.751 20 0 DDADMM NS(=O)(=O)c1ccc(CNC(=O)c2ccc([O-])c(F)c2)cc1 ZINC000681015768 486323638 /nfs/dbraw/zinc/32/36/38/486323638.db2.gz QMHHFZRZMGMDFW-UHFFFAOYSA-N -1 1 324.333 1.109 20 0 DDADMM NC(=O)NCc1ccc(NC(=O)c2ccc([O-])c(F)c2)cc1 ZINC000681032824 486326978 /nfs/dbraw/zinc/32/69/78/486326978.db2.gz SAMZHLKJRWJQRV-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N(C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000681042427 486329452 /nfs/dbraw/zinc/32/94/52/486329452.db2.gz ONPZRKZROQPYKH-LLVKDONJSA-N -1 1 313.350 1.323 20 0 DDADMM COCc1nc2n(n1)C[C@H](NC(=O)c1ccc([O-])c(F)c1)CC2 ZINC000681055643 486333566 /nfs/dbraw/zinc/33/35/66/486333566.db2.gz NVTFHWXRZCZFPM-SNVBAGLBSA-N -1 1 320.324 1.014 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC(F)(F)CC1 ZINC000684520957 486375279 /nfs/dbraw/zinc/37/52/79/486375279.db2.gz ZMOLFKRZCDAPGQ-UHFFFAOYSA-N -1 1 324.331 1.852 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc3nccn3c2)co1 ZINC000677306253 486436691 /nfs/dbraw/zinc/43/66/91/486436691.db2.gz HHXBKSRYXNANCG-UHFFFAOYSA-N -1 1 320.330 1.088 20 0 DDADMM O=C(CCCCC(=O)c1ccc(F)cc1)NCc1nn[n-]n1 ZINC000681730973 486503029 /nfs/dbraw/zinc/50/30/29/486503029.db2.gz SOIRNDOOFUAEQI-UHFFFAOYSA-N -1 1 305.313 1.398 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@@H]1CCCC(=O)N1 ZINC000677862208 486559892 /nfs/dbraw/zinc/55/98/92/486559892.db2.gz FKDWQJXVSAZGCD-NSHDSACASA-N -1 1 300.318 1.390 20 0 DDADMM C[C@H]1C[C@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CC(=O)N1 ZINC000331888493 534108220 /nfs/dbraw/zinc/10/82/20/534108220.db2.gz DXSBNUUURQUHHU-IUCAKERBSA-N -1 1 304.375 1.413 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2C[C@@H](C)O[C@H]2C)o1 ZINC000416606607 534240183 /nfs/dbraw/zinc/24/01/83/534240183.db2.gz OFHVDRQUDSHUOG-UTLUCORTSA-N -1 1 317.363 1.158 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H](C)CCCO)cnc2n1 ZINC000452443042 534400010 /nfs/dbraw/zinc/40/00/10/534400010.db2.gz OUFSZOCBNKCPQV-SNVBAGLBSA-N -1 1 303.362 1.782 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@H](C)CCCO)c2=O ZINC000452443042 534400014 /nfs/dbraw/zinc/40/00/14/534400014.db2.gz OUFSZOCBNKCPQV-SNVBAGLBSA-N -1 1 303.362 1.782 20 0 DDADMM O=C(NC[C@@H](CO)C1CCCCC1)C(=O)c1ccc([O-])cc1 ZINC000294485795 534525188 /nfs/dbraw/zinc/52/51/88/534525188.db2.gz JDCJRAPAZRMLNI-AWEZNQCLSA-N -1 1 305.374 1.880 20 0 DDADMM O=C([C@H]1CCCc2nccn21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000424524920 534629526 /nfs/dbraw/zinc/62/95/26/534629526.db2.gz ADASWQHXLCGNPK-WDEREUQCSA-N -1 1 317.349 1.255 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CS[C@H](C)C1 ZINC000412577320 534644254 /nfs/dbraw/zinc/64/42/54/534644254.db2.gz BMCVUSKYWBCQQH-KCJUWKMLSA-N -1 1 320.418 1.604 20 0 DDADMM Cn1[n-]c(CN[C@@H](c2cc(F)ccc2F)C(F)F)nc1=O ZINC000434666918 534666473 /nfs/dbraw/zinc/66/64/73/534666473.db2.gz VHIPMACLRKNICS-JTQLQIEISA-N -1 1 304.247 1.483 20 0 DDADMM Cn1cc(NC(=O)c2ccc3n[n-]c(=S)n3c2)c(C2CC2)n1 ZINC000293003647 534745740 /nfs/dbraw/zinc/74/57/40/534745740.db2.gz ZJWLLCRWGRDDHU-UHFFFAOYSA-N -1 1 314.374 1.881 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000491321164 526408898 /nfs/dbraw/zinc/40/88/98/526408898.db2.gz VNLKTECNIJAHDI-UHFFFAOYSA-N -1 1 307.350 1.480 20 0 DDADMM CC(C)(CCNC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000424393535 527041060 /nfs/dbraw/zinc/04/10/60/527041060.db2.gz APXZJHQEWBGDJY-UHFFFAOYSA-N -1 1 304.350 1.634 20 0 DDADMM CCNC(=O)c1ccc(=NCCCOc2ccc(C)cc2)[n-]n1 ZINC000413118240 528228010 /nfs/dbraw/zinc/22/80/10/528228010.db2.gz ZBXLEQDJSPTYTR-UHFFFAOYSA-N -1 1 314.389 1.838 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC(C)(C)CCO)o1 ZINC000443327522 528241236 /nfs/dbraw/zinc/24/12/36/528241236.db2.gz COHPCXBXWBKNCX-UHFFFAOYSA-N -1 1 319.379 1.143 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCOC2(C)C)o1 ZINC000451694413 528244014 /nfs/dbraw/zinc/24/40/14/528244014.db2.gz KWOWVDBPVUVAAA-JTQLQIEISA-N -1 1 317.363 1.302 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC[C@@H](C)CCO)o1 ZINC000451624463 528244060 /nfs/dbraw/zinc/24/40/60/528244060.db2.gz MWPWBJUGSQPPFO-SNVBAGLBSA-N -1 1 319.379 1.143 20 0 DDADMM CCC[C@H](O)[C@H](CO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000412545946 528323367 /nfs/dbraw/zinc/32/33/67/528323367.db2.gz ZFDHVMBCBXSZEK-QWRGUYRKSA-N -1 1 321.295 1.663 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)[C@@H](c1ccccc1)N(C)C ZINC000295795166 528561573 /nfs/dbraw/zinc/56/15/73/528561573.db2.gz ZMDDVOJSVBCQFK-CYBMUJFWSA-N -1 1 308.378 1.679 20 0 DDADMM CCOCCOC[C@@H](O)CNC(=O)c1ccc(Cl)cc1[O-] ZINC000436611406 528805949 /nfs/dbraw/zinc/80/59/49/528805949.db2.gz ZXYAJOIBRSBRRG-NSHDSACASA-N -1 1 317.769 1.189 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc2cc(OC)ccn21)c1nn[n-]n1 ZINC000424702076 528993443 /nfs/dbraw/zinc/99/34/43/528993443.db2.gz FIHINMLWLBNVOQ-SNVBAGLBSA-N -1 1 315.337 1.127 20 0 DDADMM CC1(C)CN(C(=O)N=c2[n-]nc(-c3ccco3)s2)CCN1 ZINC000331888449 529081414 /nfs/dbraw/zinc/08/14/14/529081414.db2.gz DWXAVLAQKMFNBK-UHFFFAOYSA-N -1 1 307.379 1.436 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(=O)NC ZINC000452085139 529195249 /nfs/dbraw/zinc/19/52/49/529195249.db2.gz NPJYUHSGDOWVNP-SECBINFHSA-N -1 1 308.762 1.282 20 0 DDADMM CCS[C@@H]1CC[C@@H](N(C)S(=O)(=O)c2c(C)o[n-]c2=N)C1 ZINC000330894890 529219373 /nfs/dbraw/zinc/21/93/73/529219373.db2.gz RNMOCJBDSHUHSL-NXEZZACHSA-N -1 1 319.452 1.690 20 0 DDADMM CO[C@@H](C)CN(C(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)C ZINC000736959370 598944135 /nfs/dbraw/zinc/94/41/35/598944135.db2.gz BNDGDMOPAKIVIZ-JTQLQIEISA-N -1 1 304.354 1.147 20 0 DDADMM CO[C@@H](C)CN(C(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)C ZINC000736959370 598944137 /nfs/dbraw/zinc/94/41/37/598944137.db2.gz BNDGDMOPAKIVIZ-JTQLQIEISA-N -1 1 304.354 1.147 20 0 DDADMM CN(Cc1cnccn1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736829457 598970828 /nfs/dbraw/zinc/97/08/28/598970828.db2.gz FAMKMCBJSMPJHM-UHFFFAOYSA-N -1 1 319.332 1.236 20 0 DDADMM CN(Cc1cnccn1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736829457 598970830 /nfs/dbraw/zinc/97/08/30/598970830.db2.gz FAMKMCBJSMPJHM-UHFFFAOYSA-N -1 1 319.332 1.236 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@@H](Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC000737161175 598975322 /nfs/dbraw/zinc/97/53/22/598975322.db2.gz HLGBRBXIAZICCU-ZJUUUORDSA-N -1 1 322.394 1.029 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@@H](Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC000737161175 598975324 /nfs/dbraw/zinc/97/53/24/598975324.db2.gz HLGBRBXIAZICCU-ZJUUUORDSA-N -1 1 322.394 1.029 20 0 DDADMM C[C@@H]1CCCC[C@@H]1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736270055 598997132 /nfs/dbraw/zinc/99/71/32/598997132.db2.gz IRVSHJAQHONGRB-GHMZBOCLSA-N -1 1 300.366 1.818 20 0 DDADMM C[C@@H]1CCCC[C@@H]1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736270055 598997133 /nfs/dbraw/zinc/99/71/33/598997133.db2.gz IRVSHJAQHONGRB-GHMZBOCLSA-N -1 1 300.366 1.818 20 0 DDADMM O=C(N[C@@H]1C[C@@H]1c1cccc(F)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738024763 598998942 /nfs/dbraw/zinc/99/89/42/598998942.db2.gz YIAOMSGMEDWRGT-TZMCWYRMSA-N -1 1 324.319 1.687 20 0 DDADMM O=C(N[C@@H]1C[C@@H]1c1cccc(F)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738024763 598998943 /nfs/dbraw/zinc/99/89/43/598998943.db2.gz YIAOMSGMEDWRGT-TZMCWYRMSA-N -1 1 324.319 1.687 20 0 DDADMM Cc1ccccc1[C@@H](O)CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737424945 599110341 /nfs/dbraw/zinc/11/03/41/599110341.db2.gz ZULPSVFUARWWBV-AWEZNQCLSA-N -1 1 324.344 1.034 20 0 DDADMM Cc1ccccc1[C@@H](O)CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737424945 599110344 /nfs/dbraw/zinc/11/03/44/599110344.db2.gz ZULPSVFUARWWBV-AWEZNQCLSA-N -1 1 324.344 1.034 20 0 DDADMM O=c1c(-c2nn[n-]n2)cn(C[C@@H]2CCCOC2)c2ccccc12 ZINC000823656371 607259411 /nfs/dbraw/zinc/25/94/11/607259411.db2.gz CKWQLMZNNRDWJB-NSHDSACASA-N -1 1 311.345 1.608 20 0 DDADMM CC(C)(NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1cccs1 ZINC000735671954 599196678 /nfs/dbraw/zinc/19/66/78/599196678.db2.gz WELILOKARIMVIG-UHFFFAOYSA-N -1 1 314.374 1.988 20 0 DDADMM CC(C)(NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1cccs1 ZINC000735671954 599196680 /nfs/dbraw/zinc/19/66/80/599196680.db2.gz WELILOKARIMVIG-UHFFFAOYSA-N -1 1 314.374 1.988 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000818373820 597093706 /nfs/dbraw/zinc/09/37/06/597093706.db2.gz BZWDLORMFQSINR-HZPDHXFCSA-N -1 1 318.417 1.873 20 0 DDADMM Cn1c(CN2CCCC[C@H]2C(=O)[O-])nc2ccccc2c1=O ZINC000821269154 597430876 /nfs/dbraw/zinc/43/08/76/597430876.db2.gz OMNQHZTZUUHLDL-ZDUSSCGKSA-N -1 1 301.346 1.373 20 0 DDADMM C[C@H](CC(=O)[O-])Nc1cccc(CN2CCC[C@@H]2C(N)=O)c1 ZINC000820088734 598217803 /nfs/dbraw/zinc/21/78/03/598217803.db2.gz DHWIUEDWCVWWKI-BXUZGUMPSA-N -1 1 305.378 1.411 20 0 DDADMM COc1ccc([C@@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000737077418 598351620 /nfs/dbraw/zinc/35/16/20/598351620.db2.gz TYDVGOAEWZXVEY-GFCCVEGCSA-N -1 1 323.360 1.659 20 0 DDADMM COc1ccc([C@@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000737077418 598351622 /nfs/dbraw/zinc/35/16/22/598351622.db2.gz TYDVGOAEWZXVEY-GFCCVEGCSA-N -1 1 323.360 1.659 20 0 DDADMM C[C@@H](C(=O)n1ncc(-c2nn[n-]n2)c1N)c1ccccc1F ZINC000735571881 598561380 /nfs/dbraw/zinc/56/13/80/598561380.db2.gz LNTANQDZXFYFJC-SSDOTTSWSA-N -1 1 301.285 1.228 20 0 DDADMM O[C@@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)COCC1CC1 ZINC000738381481 598747823 /nfs/dbraw/zinc/74/78/23/598747823.db2.gz HDIWYDHWTZLYGH-VIFPVBQESA-N -1 1 324.772 1.115 20 0 DDADMM O[C@@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)COCC1CC1 ZINC000738381481 598747826 /nfs/dbraw/zinc/74/78/26/598747826.db2.gz HDIWYDHWTZLYGH-VIFPVBQESA-N -1 1 324.772 1.115 20 0 DDADMM COc1ccccc1CSc1nccnc1-c1nn[n-]n1 ZINC000737154000 599443526 /nfs/dbraw/zinc/44/35/26/599443526.db2.gz XRGVCTSFWMJZNS-UHFFFAOYSA-N -1 1 300.347 1.958 20 0 DDADMM Cc1ncc(CCNC(=O)c2ccc(-c3nnn[n-]3)s2)s1 ZINC000822466805 607396163 /nfs/dbraw/zinc/39/61/63/607396163.db2.gz BNCINIMRGDDTHV-UHFFFAOYSA-N -1 1 320.403 1.666 20 0 DDADMM Cc1ncc(CCNC(=O)c2ccc(-c3nn[n-]n3)s2)s1 ZINC000822466805 607396165 /nfs/dbraw/zinc/39/61/65/607396165.db2.gz BNCINIMRGDDTHV-UHFFFAOYSA-N -1 1 320.403 1.666 20 0 DDADMM Cc1cccc(S(=O)(=O)N2CC[C@@H](N(C)C)C2)c1C(=O)[O-] ZINC000738743328 599878054 /nfs/dbraw/zinc/87/80/54/599878054.db2.gz DAXZZGWPXDDQLE-LLVKDONJSA-N -1 1 312.391 1.018 20 0 DDADMM CCN1CCCC[C@H]1C(=O)NCCOc1ccc(C(=O)[O-])cc1 ZINC000737114357 599921543 /nfs/dbraw/zinc/92/15/43/599921543.db2.gz IKKDTPYRCGFYBA-HNNXBMFYSA-N -1 1 320.389 1.754 20 0 DDADMM CN1CCN(Cc2ccc(NC(=O)[C@@H]3C[C@@H]3C(=O)[O-])cc2)CC1 ZINC000737529108 600071662 /nfs/dbraw/zinc/07/16/62/600071662.db2.gz REOUJFXSZLELLE-CABCVRRESA-N -1 1 317.389 1.093 20 0 DDADMM CN(C(=O)[O-])c1ccc(NS(=O)(=O)c2ccnn2C)cc1 ZINC000737335029 600097597 /nfs/dbraw/zinc/09/75/97/600097597.db2.gz BAMDAIWZKRMVLT-UHFFFAOYSA-N -1 1 310.335 1.335 20 0 DDADMM O=C([O-])CSCCC(=O)Nc1ccn(-c2ccncc2)n1 ZINC000739853455 600258209 /nfs/dbraw/zinc/25/82/09/600258209.db2.gz QWHZMUSFBXSMSC-UHFFFAOYSA-N -1 1 306.347 1.414 20 0 DDADMM CC1CCC(NC(=O)CN2CCC3(C[C@H]3C(=O)[O-])CC2)CC1 ZINC000405500731 600300833 /nfs/dbraw/zinc/30/08/33/600300833.db2.gz SMNKJGUFWRCNAL-RUXDESIVSA-N -1 1 308.422 1.868 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)[O-] ZINC000737516385 600363229 /nfs/dbraw/zinc/36/32/29/600363229.db2.gz AIQIEKMTYBXSIN-UKRRQHHQSA-N -1 1 322.380 1.670 20 0 DDADMM Cc1cc(CNS(=O)(=O)Cc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000738391980 600444992 /nfs/dbraw/zinc/44/49/92/600444992.db2.gz DQMNWTZVRIRSJL-UHFFFAOYSA-N -1 1 309.347 1.036 20 0 DDADMM C[C@@H]1SCCN(CC(=O)N2CCC(C(=O)[O-])CC2)[C@H]1C ZINC000166997130 600489541 /nfs/dbraw/zinc/48/95/41/600489541.db2.gz HXXWGHQWCMUSMB-QWRGUYRKSA-N -1 1 300.424 1.135 20 0 DDADMM CN(C(=O)c1cccc2c[nH]nc21)c1nc(CC(=O)[O-])cs1 ZINC000737340642 600495815 /nfs/dbraw/zinc/49/58/15/600495815.db2.gz KCRYOKKUVZZZOD-UHFFFAOYSA-N -1 1 316.342 1.923 20 0 DDADMM CC[C@@](C)(NCC(=O)NCCOc1cccc(C)c1)C(=O)[O-] ZINC000736861174 600563252 /nfs/dbraw/zinc/56/32/52/600563252.db2.gz HBCNZLJEKNPHHK-MRXNPFEDSA-N -1 1 308.378 1.333 20 0 DDADMM CC(C)C[C@@]1(C)CC(=O)N(CN(C[C@@H](C)C(=O)[O-])C2CC2)C1=O ZINC000736427438 600702362 /nfs/dbraw/zinc/70/23/62/600702362.db2.gz SFJQZEPZIBWKRR-PXAZEXFGSA-N -1 1 324.421 1.940 20 0 DDADMM C[C@H](CN(C)CCOc1ccc(S(C)(=O)=O)cc1)C(=O)[O-] ZINC000827443022 600818629 /nfs/dbraw/zinc/81/86/29/600818629.db2.gz VTOFGJHHZPUOCW-LLVKDONJSA-N -1 1 315.391 1.121 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)CN1CCC2(C1)CCOCC2)C(=O)[O-] ZINC000828717801 600861071 /nfs/dbraw/zinc/86/10/71/600861071.db2.gz KMKXYEGHUMIISR-TZMCWYRMSA-N -1 1 312.410 1.104 20 0 DDADMM C[C@@H]1CN(CCC(=O)N(C)CC(=O)[O-])[C@H](c2ccccc2)CO1 ZINC000828437650 600861220 /nfs/dbraw/zinc/86/12/20/600861220.db2.gz ABIRPZJVQXWYOE-HIFRSBDPSA-N -1 1 320.389 1.382 20 0 DDADMM C[C@H]1CN(CCC(=O)N(C)CC(=O)[O-])[C@H](c2ccccc2)CO1 ZINC000828437654 600861310 /nfs/dbraw/zinc/86/13/10/600861310.db2.gz ABIRPZJVQXWYOE-ZFWWWQNUSA-N -1 1 320.389 1.382 20 0 DDADMM COCCN1CCCN(C(=O)[C@@H]2CCC[C@@H](C(=O)[O-])C2)CC1 ZINC000320647092 600910936 /nfs/dbraw/zinc/91/09/36/600910936.db2.gz FWQHCUDFXBLQHX-ZIAGYGMSSA-N -1 1 312.410 1.058 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1[nH]nc2c1CCCC2)c1ccccn1 ZINC000833126205 600913296 /nfs/dbraw/zinc/91/32/96/600913296.db2.gz NVORUHNGTHWOAJ-CYBMUJFWSA-N -1 1 300.318 1.239 20 0 DDADMM O=C([O-])[C@H]1CCC[C@@H](C(=O)Nc2nc(-c3ccco3)n[nH]2)C1 ZINC000833190184 600927761 /nfs/dbraw/zinc/92/77/61/600927761.db2.gz WIUUMHDAUIGMSP-BDAKNGLRSA-N -1 1 304.306 1.894 20 0 DDADMM Cc1nn(C)c(NS(=O)(=O)c2ccc(C(=O)[O-])c(C)c2)c1C ZINC000832903517 600979015 /nfs/dbraw/zinc/97/90/15/600979015.db2.gz LVIQDWHIBOWOIX-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM Cc1ccc(C(C)(C)CN(C)[C@H](C)C(=O)NCC(=O)[O-])cc1 ZINC000832922922 601023385 /nfs/dbraw/zinc/02/33/85/601023385.db2.gz CRCNRSVLTRGXBV-CYBMUJFWSA-N -1 1 306.406 1.794 20 0 DDADMM C[C@](NCC(=O)N[C@H]1CCOc2ccccc21)(C(=O)[O-])C1CC1 ZINC000827729301 601032452 /nfs/dbraw/zinc/03/24/52/601032452.db2.gz ZSKKDYVRHLSWDQ-SUMWQHHRSA-N -1 1 318.373 1.469 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCOc2ccccc2)CC1 ZINC000827362457 601087390 /nfs/dbraw/zinc/08/73/90/601087390.db2.gz OHGBRRBEEYGPIZ-AWEZNQCLSA-N -1 1 320.389 1.463 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCc2nccs2)CC1 ZINC000827362089 601101054 /nfs/dbraw/zinc/10/10/54/601101054.db2.gz HMGAYVAZSNJOPR-LLVKDONJSA-N -1 1 311.407 1.083 20 0 DDADMM Cc1ccccc1CN(C)C(=O)CN[C@@](C)(C(=O)[O-])C1CC1 ZINC000832978710 601174892 /nfs/dbraw/zinc/17/48/92/601174892.db2.gz DBOQPJQFBBLLTB-QGZVFWFLSA-N -1 1 304.390 1.796 20 0 DDADMM CC[C@@H](CO)N1CCN(C(=O)Nc2cccc(C(=O)[O-])c2)CC1 ZINC000828890996 601206592 /nfs/dbraw/zinc/20/65/92/601206592.db2.gz PGEDMCUIWVZVKQ-AWEZNQCLSA-N -1 1 321.377 1.305 20 0 DDADMM CN1CCC(NS(=O)(=O)c2ccc(/C=C\C(=O)[O-])cc2)CC1 ZINC000314512017 601208519 /nfs/dbraw/zinc/20/85/19/601208519.db2.gz SDQCSJVYMQKLLE-DAXSKMNVSA-N -1 1 324.402 1.157 20 0 DDADMM CCN(CCNS(=O)(=O)c1cc(C(=O)[O-])c(C)o1)C1CC1 ZINC000829713523 601220096 /nfs/dbraw/zinc/22/00/96/601220096.db2.gz JFSJJWFLOQPVTA-UHFFFAOYSA-N -1 1 316.379 1.049 20 0 DDADMM CN(C)[C@H]1CCN(S(=O)(=O)c2ccc(/C=C\C(=O)[O-])cc2)C1 ZINC000316030424 601235482 /nfs/dbraw/zinc/23/54/82/601235482.db2.gz HHOQZYCBLJAFLA-UJZCVKTISA-N -1 1 324.402 1.109 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2ccc(/C=C\C(=O)[O-])cc2)cn1 ZINC000384193128 601330055 /nfs/dbraw/zinc/33/00/55/601330055.db2.gz IBXCWRGNGNEVDW-DAXSKMNVSA-N -1 1 319.342 1.684 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCc1csc(-c2cnn(C)c2)n1 ZINC000831585712 601488821 /nfs/dbraw/zinc/48/88/21/601488821.db2.gz OTJZPENIWUIWHO-CQSZACIVSA-N -1 1 324.406 1.513 20 0 DDADMM C[C@@H]1CN([C@H](C(=O)NC2CC2)c2ccc(F)cc2)C[C@@H]1C(=O)[O-] ZINC000828413166 601523474 /nfs/dbraw/zinc/52/34/74/601523474.db2.gz QHANYYHXJGGQSG-ONERCXAPSA-N -1 1 320.364 1.798 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)NCC1(C(=O)[O-])CCCC1 ZINC000830584871 601599662 /nfs/dbraw/zinc/59/96/62/601599662.db2.gz FIRCTIFHGQHUQX-OAHLLOKOSA-N -1 1 318.417 1.921 20 0 DDADMM Cc1sc(C(=O)[O-])cc1CN1CCN(CCCCO)CC1 ZINC000833033076 601647652 /nfs/dbraw/zinc/64/76/52/601647652.db2.gz UKILADGLKHPGCR-UHFFFAOYSA-N -1 1 312.435 1.645 20 0 DDADMM C[C@@H](C(=O)[O-])[C@@H](NCC(=O)Nc1nncs1)c1ccccc1 ZINC000826051484 601656482 /nfs/dbraw/zinc/65/64/82/601656482.db2.gz CIQNFPUQOVAPGU-BXKDBHETSA-N -1 1 320.374 1.528 20 0 DDADMM C[C@@H](C(=O)N1CCO[C@@H](CC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000825989832 601740633 /nfs/dbraw/zinc/74/06/33/601740633.db2.gz GXVXFHYOGQPJKC-ZFWWWQNUSA-N -1 1 320.389 1.209 20 0 DDADMM O=C([O-])C[C@H]1CN(C(=O)c2cnc(-c3ccccc3)[nH]2)CCO1 ZINC000833217156 601743310 /nfs/dbraw/zinc/74/33/10/601743310.db2.gz ABKXNVCUUDGFOW-LBPRGKRZSA-N -1 1 315.329 1.392 20 0 DDADMM CC(C)CN(C1CCN(C)CC1)S(=O)(=O)C[C@H](C)C(=O)[O-] ZINC000826871367 601780319 /nfs/dbraw/zinc/78/03/19/601780319.db2.gz ULJVRQNEXMTKIN-LBPRGKRZSA-N -1 1 320.455 1.089 20 0 DDADMM O=C([O-])c1cc(CN[C@H]2CCN(Cc3ccccc3)C2=O)c[nH]1 ZINC000833296675 601832639 /nfs/dbraw/zinc/83/26/39/601832639.db2.gz PCHXUMLLXKWBDX-AWEZNQCLSA-N -1 1 313.357 1.604 20 0 DDADMM O=C([O-])CCC1CCN(Cc2cc(=O)n3ccsc3n2)CC1 ZINC000315426001 601845558 /nfs/dbraw/zinc/84/55/58/601845558.db2.gz KMDJDQVNIVCLEJ-UHFFFAOYSA-N -1 1 321.402 1.833 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCC2(CC1)OCc1ccccc12 ZINC000833236432 601911374 /nfs/dbraw/zinc/91/13/74/601911374.db2.gz KIHJODNXEMHXMV-UHFFFAOYSA-N -1 1 318.373 1.099 20 0 DDADMM CN(C)C(=O)[C@H](c1ccccc1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000739593374 601952807 /nfs/dbraw/zinc/95/28/07/601952807.db2.gz DDCPLOKIZKVEDS-OCCSQVGLSA-N -1 1 305.378 1.405 20 0 DDADMM C[C@H](C(=O)N1CCC(C)CC1)N1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000739111610 601980430 /nfs/dbraw/zinc/98/04/30/601980430.db2.gz CNPUABGIQGSVEN-KGLIPLIRSA-N -1 1 311.426 1.708 20 0 DDADMM C[C@H](c1cn(-c2ccccc2)nn1)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828424623 602223058 /nfs/dbraw/zinc/22/30/58/602223058.db2.gz RFIUBJYPUVCKRH-YRGRVCCFSA-N -1 1 300.362 1.981 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+](CCC(=O)[O-])C2CCOCC2)n1 ZINC000830224756 602238272 /nfs/dbraw/zinc/23/82/72/602238272.db2.gz VCGLUHGIIFMCID-UHFFFAOYSA-N -1 1 309.366 1.200 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@@H+](CCC(=O)[O-])C2CCOCC2)n1 ZINC000830224756 602238276 /nfs/dbraw/zinc/23/82/76/602238276.db2.gz VCGLUHGIIFMCID-UHFFFAOYSA-N -1 1 309.366 1.200 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC000739532273 602351385 /nfs/dbraw/zinc/35/13/85/602351385.db2.gz WNQXAQQHEWMREU-KBPBESRZSA-N -1 1 323.393 1.460 20 0 DDADMM CC(C)CCNC(=O)[C@H](C)N1CCC(CN(C)C(=O)[O-])CC1 ZINC000738817854 602382120 /nfs/dbraw/zinc/38/21/20/602382120.db2.gz HNQDIIMHDUFUEI-ZDUSSCGKSA-N -1 1 313.442 1.859 20 0 DDADMM CN1CCC[C@H](NC(=O)[C@@H](Cc2ccccc2)NC(=O)[O-])C1 ZINC000739726443 602569184 /nfs/dbraw/zinc/56/91/84/602569184.db2.gz AEPATODZSUGRKF-UONOGXRCSA-N -1 1 305.378 1.076 20 0 DDADMM CN(C[C@H]1CCN(Cn2nccc2-c2ccncc2)C1)C(=O)[O-] ZINC000739666340 602693810 /nfs/dbraw/zinc/69/38/10/602693810.db2.gz YHUWJTZXHKAIBK-CYBMUJFWSA-N -1 1 315.377 1.834 20 0 DDADMM CCN(C[C@@H]1CCCN(C(=O)[O-])C1)[C@H](C)CS(C)(=O)=O ZINC000739413796 602737195 /nfs/dbraw/zinc/73/71/95/602737195.db2.gz JLWPKKWTDAWSTG-NEPJUHHUSA-N -1 1 306.428 1.131 20 0 DDADMM C[C@@H]1CN([C@H]2CCN(c3ccccc3)C2=O)CC[C@H]1NC(=O)[O-] ZINC000739175884 602759682 /nfs/dbraw/zinc/75/96/82/602759682.db2.gz LLQOZASNZPWERE-YUELXQCFSA-N -1 1 317.389 1.770 20 0 DDADMM C[C@@H]1CN(CC[S@@](=O)c2ccccc2)CC[C@H]1NC(=O)[O-] ZINC000739180351 602779724 /nfs/dbraw/zinc/77/97/24/602779724.db2.gz FFNUPDLOCZTGEN-MTNMJMNLSA-N -1 1 310.419 1.772 20 0 DDADMM CC[NH+]1CCC(NC(=O)c2ccc(NC(=O)[O-])cc2[O-])CC1 ZINC000739458481 602844286 /nfs/dbraw/zinc/84/42/86/602844286.db2.gz YBXTZOYUQYDOTH-UHFFFAOYSA-N -1 1 307.350 1.696 20 0 DDADMM C[C@H]([C@H](C)S(C)(=O)=O)N(C)C[C@@H]1CCCN(C(=O)[O-])C1 ZINC000738650664 602862586 /nfs/dbraw/zinc/86/25/86/602862586.db2.gz GZXWXDWVEJSMAX-WOPDTQHZSA-N -1 1 306.428 1.130 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)NCCN(C(=O)[O-])C1CC1 ZINC000738800084 602910538 /nfs/dbraw/zinc/91/05/38/602910538.db2.gz FCYKZSIUKPRVOY-ZDUSSCGKSA-N -1 1 312.414 1.110 20 0 DDADMM CCc1cc(CNC(=O)N2CCC[C@@H](N(C)C(=O)[O-])C2)[nH]n1 ZINC000739540116 602916595 /nfs/dbraw/zinc/91/65/95/602916595.db2.gz RVSAVGBNMDZGNX-GFCCVEGCSA-N -1 1 309.370 1.256 20 0 DDADMM O=C([O-])N1CC[C@H](CCNC(=O)N2CCN(C3CCC3)CC2)C1 ZINC000740501893 602966606 /nfs/dbraw/zinc/96/66/06/602966606.db2.gz QQOZRHBOBGIIJQ-ZDUSSCGKSA-N -1 1 324.425 1.256 20 0 DDADMM O=C([O-])N1CCC[C@H](C(=O)Nc2ccn(-c3ccncc3)n2)C1 ZINC000738514772 603025810 /nfs/dbraw/zinc/02/58/10/603025810.db2.gz MOASKKUGNCPTPC-NSHDSACASA-N -1 1 315.333 1.596 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cc(F)ccc1O ZINC000826467027 607611393 /nfs/dbraw/zinc/61/13/93/607611393.db2.gz DLXUEZZVJIVSBR-UHFFFAOYSA-N -1 1 315.264 1.463 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cc(F)ccc1O ZINC000826467027 607611394 /nfs/dbraw/zinc/61/13/94/607611394.db2.gz DLXUEZZVJIVSBR-UHFFFAOYSA-N -1 1 315.264 1.463 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)NC2(c3nn[nH]n3)CCCC2)cc1 ZINC000738661116 603227645 /nfs/dbraw/zinc/22/76/45/603227645.db2.gz JGKCRZULBSDAHN-UHFFFAOYSA-N -1 1 316.321 1.489 20 0 DDADMM C[C@H](C(=O)NC(C)(C)CNC(=O)[O-])N(C)Cc1ccccc1 ZINC000738620526 603291214 /nfs/dbraw/zinc/29/12/14/603291214.db2.gz VPFSFYBEACXSQX-GFCCVEGCSA-N -1 1 307.394 1.669 20 0 DDADMM Cc1noc([C@@H](C)N2CCN(C[C@H]3CCN(C(=O)[O-])C3)CC2)n1 ZINC000740261795 603341236 /nfs/dbraw/zinc/34/12/36/603341236.db2.gz ALZRPKRGLVYXBG-DGCLKSJQSA-N -1 1 323.397 1.057 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCN(C(=O)Cc2n[nH]c3ccccc32)C1 ZINC000827846881 603547212 /nfs/dbraw/zinc/54/72/12/603547212.db2.gz SKUSMSUOSYKUFD-SNVBAGLBSA-N -1 1 302.334 1.316 20 0 DDADMM COC(=O)c1cc(CN2CC[C@H](CNC(=O)[O-])C2)c(C)s1 ZINC000828744420 603604378 /nfs/dbraw/zinc/60/43/78/603604378.db2.gz SQUNVJKNEJQFDO-SNVBAGLBSA-N -1 1 312.391 1.933 20 0 DDADMM C[C@@H](NC(=O)[C@H]1Cc2ccccc2CN1C(=O)[O-])c1nnc[nH]1 ZINC000825460063 603801220 /nfs/dbraw/zinc/80/12/20/603801220.db2.gz VLGCKUFMLABSDD-BXKDBHETSA-N -1 1 315.333 1.087 20 0 DDADMM CC(C)N1CCN(C(=O)CC2C[C@H]3CC[C@@H](C2)N3C(=O)[O-])CC1 ZINC000824363661 603834938 /nfs/dbraw/zinc/83/49/38/603834938.db2.gz VVXRJNUKAMMUHC-GOOCMWNKSA-N -1 1 323.437 1.850 20 0 DDADMM Cc1ccc(NC(=O)C2CCN(C3CN(C(=O)[O-])C3)CC2)nc1 ZINC000830364804 603919904 /nfs/dbraw/zinc/91/99/04/603919904.db2.gz UYUUYHKVRIWIEB-UHFFFAOYSA-N -1 1 318.377 1.403 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000826757426 603937424 /nfs/dbraw/zinc/93/74/24/603937424.db2.gz GHKHKSARMHDOJL-LLVKDONJSA-N -1 1 322.340 1.797 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000825193746 603938928 /nfs/dbraw/zinc/93/89/28/603938928.db2.gz KWUQNJAGWSERJT-WDMOLILDSA-N -1 1 320.393 1.867 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2CCCc3[nH]ncc32)C[C@H](C)N1C(=O)[O-] ZINC000825975436 603949796 /nfs/dbraw/zinc/94/97/96/603949796.db2.gz OUBJVIPNWIEBFJ-OUAUKWLOSA-N -1 1 306.366 1.429 20 0 DDADMM O=C([O-])NCC1(NC(=O)[C@@H]2CCCc3[nH]ncc32)CCCC1 ZINC000832452512 603950054 /nfs/dbraw/zinc/95/00/54/603950054.db2.gz XGDUVAPAIHMNMF-SNVBAGLBSA-N -1 1 306.366 1.526 20 0 DDADMM C[C@@H](CN(C)C(=O)[O-])C(=O)NC[C@@H](c1cccs1)N(C)C ZINC000824853761 604062395 /nfs/dbraw/zinc/06/23/95/604062395.db2.gz CVOBNSZCBHDPOJ-QWRGUYRKSA-N -1 1 313.423 1.713 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1C[C@H]1CN(c2ccccc2)C(=O)O1 ZINC000832572746 604064551 /nfs/dbraw/zinc/06/45/51/604064551.db2.gz GUOBKTMBNOZTHE-KBPBESRZSA-N -1 1 319.361 1.744 20 0 DDADMM C[C@@H](CN(C)C(=O)[O-])C(=O)NC[C@H](c1ccsc1)N(C)C ZINC000824853979 604086680 /nfs/dbraw/zinc/08/66/80/604086680.db2.gz FVDUZFYDPUEUEV-CMPLNLGQSA-N -1 1 313.423 1.713 20 0 DDADMM C[C@H](NC1CCN(C(=O)[O-])CC1)c1nnc2n1CCCCC2 ZINC000825478513 604178545 /nfs/dbraw/zinc/17/85/45/604178545.db2.gz HMVHESSASTYELL-NSHDSACASA-N -1 1 307.398 1.798 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(CCCCS(C)(=O)=O)CC1 ZINC000825286522 604346864 /nfs/dbraw/zinc/34/68/64/604346864.db2.gz FNOCHQQNOAMLHR-LLVKDONJSA-N -1 1 306.428 1.179 20 0 DDADMM CN(C)[C@@H](CNC(=O)NC1CN(C(=O)[O-])C1)c1ccc(F)cc1 ZINC000827995127 604575352 /nfs/dbraw/zinc/57/53/52/604575352.db2.gz QDWTYNZFEHAXSG-ZDUSSCGKSA-N -1 1 324.356 1.090 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H]1CC(=O)[O-])N1CCc2ccccc2C1 ZINC000825982964 604670952 /nfs/dbraw/zinc/67/09/52/604670952.db2.gz OMMMEPJBFFGBOV-CJNGLKHVSA-N -1 1 316.401 1.899 20 0 DDADMM Cc1nc(CN(C)[C@@H]2CCN(Cc3c[nH]c(C(=O)[O-])c3)C2)no1 ZINC000832997645 604685056 /nfs/dbraw/zinc/68/50/56/604685056.db2.gz FNAYPOOFOOPESW-GFCCVEGCSA-N -1 1 319.365 1.111 20 0 DDADMM O=C([O-])N1CC(NC(=O)c2ccc(Nc3ccncc3)cc2)C1 ZINC000831610984 604753142 /nfs/dbraw/zinc/75/31/42/604753142.db2.gz ZYZSCLOEFMAVQL-UHFFFAOYSA-N -1 1 312.329 1.339 20 0 DDADMM COc1ccccc1[C@H](C)NCC(=O)N1CCN(C(=O)[O-])CC1 ZINC000829592405 604770943 /nfs/dbraw/zinc/77/09/43/604770943.db2.gz QIUIQPCGBKILPR-LBPRGKRZSA-N -1 1 321.377 1.168 20 0 DDADMM CC(C)C(=O)NCCN(C)Cc1ccc(O[C@@H](C)C(=O)[O-])cc1 ZINC000833467929 604774677 /nfs/dbraw/zinc/77/46/77/604774677.db2.gz XVRDIXOQQCRCQQ-ZDUSSCGKSA-N -1 1 322.405 1.743 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)CCc2ccccc2C(=O)[O-])CCN1C ZINC000833584758 604837965 /nfs/dbraw/zinc/83/79/65/604837965.db2.gz VDOVTOJNBZICDC-CHWSQXEVSA-N -1 1 304.390 1.868 20 0 DDADMM C[C@H]1[C@H](C)N(CC(=O)Nc2ccc(C(=O)[O-])cc2)CCN1C ZINC000833588965 604854617 /nfs/dbraw/zinc/85/46/17/604854617.db2.gz SVHLALDYZMOXMD-RYUDHWBXSA-N -1 1 305.378 1.348 20 0 DDADMM CN(C)C(=O)CCCC(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC000833687706 604869599 /nfs/dbraw/zinc/86/95/99/604869599.db2.gz QPNXCCRTPKUKFL-UHFFFAOYSA-N -1 1 318.333 1.458 20 0 DDADMM CC(C)(C(=O)[O-])[C@@H]1CCCN(CC(=O)NCC(F)(F)F)C1 ZINC000135029729 604948958 /nfs/dbraw/zinc/94/89/58/604948958.db2.gz ODZDIBTYJMAJHF-SECBINFHSA-N -1 1 310.316 1.488 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1CCC[C@@H]1CC(=O)[O-] ZINC000315276945 604966131 /nfs/dbraw/zinc/96/61/31/604966131.db2.gz FLIGTXVMEVJYPF-GFCCVEGCSA-N -1 1 306.362 1.881 20 0 DDADMM C[C@H]1C[C@H](NS(=O)(=O)c2ccccc2C(=O)[O-])CCN1C ZINC000833599679 605040345 /nfs/dbraw/zinc/04/03/45/605040345.db2.gz ZITKPXCRCOKPSU-WDEREUQCSA-N -1 1 312.391 1.146 20 0 DDADMM C[C@@H](C(=O)NC[C@@H]1CCCO1)N(C)Cc1ccc(C(=O)[O-])cc1 ZINC000833403885 605046817 /nfs/dbraw/zinc/04/68/17/605046817.db2.gz DZBRIEGUNOROFH-WFASDCNBSA-N -1 1 320.389 1.500 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)c2ccccc2NC(=O)[O-])n[nH]1 ZINC000833674104 605072489 /nfs/dbraw/zinc/07/24/89/605072489.db2.gz PWMNDDQMZDGSOP-MRVPVSSYSA-N -1 1 303.322 1.948 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1C[C@H]1Cc1ccccc1 ZINC000833767345 605078681 /nfs/dbraw/zinc/07/86/81/605078681.db2.gz ABZTYRVUZPIVDL-SNPRPXQTSA-N -1 1 313.357 1.400 20 0 DDADMM CC(C)(C(=O)[O-])[C@H](NC(=O)NCCN1CCC1)c1ccccc1 ZINC000833413533 605125302 /nfs/dbraw/zinc/12/53/02/605125302.db2.gz NOKFUYPQWGLJQD-CQSZACIVSA-N -1 1 319.405 1.843 20 0 DDADMM C[C@H](O)C[C@H]1CCCN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000824699316 607738174 /nfs/dbraw/zinc/73/81/74/607738174.db2.gz RLSNFZWFGITFBF-DTWKUNHWSA-N -1 1 308.773 1.655 20 0 DDADMM C[C@H](O)C[C@H]1CCCN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000824699316 607738175 /nfs/dbraw/zinc/73/81/75/607738175.db2.gz RLSNFZWFGITFBF-DTWKUNHWSA-N -1 1 308.773 1.655 20 0 DDADMM COCc1cccc(C(=O)N2CCN(CCC(=O)[O-])[C@H](C)C2)c1 ZINC000833725645 605250549 /nfs/dbraw/zinc/25/05/49/605250549.db2.gz DDGDHEUSXWWXJE-CYBMUJFWSA-N -1 1 320.389 1.454 20 0 DDADMM Cc1nc(COC(=O)[C@@H](C)[C@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830758314 605263072 /nfs/dbraw/zinc/26/30/72/605263072.db2.gz ZLEWZWJKRPXXAZ-ZANVPECISA-N -1 1 318.333 1.801 20 0 DDADMM C[C@@]1(C(=O)Nc2ncc(C(F)(F)F)[nH]2)CCN(C(=O)[O-])C1 ZINC000825685371 605293267 /nfs/dbraw/zinc/29/32/67/605293267.db2.gz YKJINNWTMSPJTF-SNVBAGLBSA-N -1 1 306.244 1.757 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@H](NC(=O)[O-])[C@@H](C)C2)n[nH]1 ZINC000830028667 605301043 /nfs/dbraw/zinc/30/10/43/605301043.db2.gz BENRYZYJJSNLKO-KWBADKCTSA-N -1 1 323.397 1.337 20 0 DDADMM O=C([O-])N1CC(OCCC(=O)Nc2cccc(Cl)c2O)C1 ZINC000833992321 605316455 /nfs/dbraw/zinc/31/64/55/605316455.db2.gz ADYXQJIQCXXMDI-UHFFFAOYSA-N -1 1 314.725 1.753 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1CCCn1c(=O)[nH]c2ccccc21 ZINC000834218948 605341311 /nfs/dbraw/zinc/34/13/11/605341311.db2.gz DWTRHDZFHZAYQW-LBPRGKRZSA-N -1 1 318.377 1.864 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)[C@@H]2CCC[C@H](NC(=O)[O-])C2)n[nH]1 ZINC000830031173 605435989 /nfs/dbraw/zinc/43/59/89/605435989.db2.gz SWXMWXCSSGWZKZ-WCQGTBRESA-N -1 1 308.382 1.592 20 0 DDADMM O=C(CC1CCN(C(=O)[O-])CC1)N[C@@H]1CCc2nc[nH]c2C1 ZINC000831348938 605442035 /nfs/dbraw/zinc/44/20/35/605442035.db2.gz BCLZAYZRLOWGSI-LLVKDONJSA-N -1 1 306.366 1.163 20 0 DDADMM O=C([O-])NC1CCC(NCc2nnc3n2CCCCC3)CC1 ZINC000834163499 605567728 /nfs/dbraw/zinc/56/77/28/605567728.db2.gz PDNZQSCSWPUKBH-UHFFFAOYSA-N -1 1 307.398 1.673 20 0 DDADMM COc1cc(CN(C)[C@@H]2CCN(C(=O)[O-])C2)cc(OC)c1OC ZINC000833886998 605583902 /nfs/dbraw/zinc/58/39/02/605583902.db2.gz JKSGFPOGGQBVSZ-GFCCVEGCSA-N -1 1 324.377 1.897 20 0 DDADMM O=C([O-])N1CC[C@@H](N2CCN(C[C@@H](O)c3ccccc3)CC2)C1 ZINC000834085851 605590547 /nfs/dbraw/zinc/59/05/47/605590547.db2.gz WFYVFMQAMSXSQN-HZPDHXFCSA-N -1 1 319.405 1.090 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCC[C@H](n3cncn3)C2)cn1 ZINC000834260335 605592520 /nfs/dbraw/zinc/59/25/20/605592520.db2.gz PUXMJHAPIZEXQE-LBPRGKRZSA-N -1 1 302.338 1.600 20 0 DDADMM O=C([O-])N1CCC[C@H](OCC(=O)Nc2ccc3nc[nH]c3c2)C1 ZINC000831524176 605673834 /nfs/dbraw/zinc/67/38/34/605673834.db2.gz OTQPAUVSMWFRAC-NSHDSACASA-N -1 1 318.333 1.660 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CCC[C@@H]1CN(C)C(=O)[O-])C2 ZINC000833916462 605856257 /nfs/dbraw/zinc/85/62/57/605856257.db2.gz RJUCYFPVYVPGLI-VXGBXAGGSA-N -1 1 320.393 1.424 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NCC1CCCCC1 ZINC000833827614 605905807 /nfs/dbraw/zinc/90/58/07/605905807.db2.gz BTJMJXXBJCACEA-OCCSQVGLSA-N -1 1 311.426 1.661 20 0 DDADMM CCc1nc(CONC(=N)[C@H]2COCCN2C(=O)[O-])cs1 ZINC000833865957 605924233 /nfs/dbraw/zinc/92/42/33/605924233.db2.gz JCIMGEQZIGQVNF-SECBINFHSA-N -1 1 314.367 1.083 20 0 DDADMM O=C([O-])N1CCC(C(=O)Nc2ccccc2-c2nnc[nH]2)CC1 ZINC000834056761 605990800 /nfs/dbraw/zinc/99/08/00/605990800.db2.gz VHWHPPBZVNSUMP-UHFFFAOYSA-N -1 1 315.333 1.800 20 0 DDADMM C[C@H](Cn1cccn1)OC(=O)c1sccc1-c1nn[n-]n1 ZINC000820308106 606409887 /nfs/dbraw/zinc/40/98/87/606409887.db2.gz QZZVCQPGNOEBFH-MRVPVSSYSA-N -1 1 304.335 1.370 20 0 DDADMM CCOC(=O)[C@@H](C)CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821313475 606428908 /nfs/dbraw/zinc/42/89/08/606428908.db2.gz FXLYWNIABPMJTB-ZETCQYMHSA-N -1 1 310.745 1.526 20 0 DDADMM CCOC(=O)[C@@H](C)CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821313475 606428909 /nfs/dbraw/zinc/42/89/09/606428909.db2.gz FXLYWNIABPMJTB-ZETCQYMHSA-N -1 1 310.745 1.526 20 0 DDADMM CCCCCN(CCO)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821056884 606470472 /nfs/dbraw/zinc/47/04/72/606470472.db2.gz ZXOHCNQOLSORIK-UHFFFAOYSA-N -1 1 310.789 1.904 20 0 DDADMM CCCCCN(CCO)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821056884 606470473 /nfs/dbraw/zinc/47/04/73/606470473.db2.gz ZXOHCNQOLSORIK-UHFFFAOYSA-N -1 1 310.789 1.904 20 0 DDADMM COCCn1cc(NC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000821695201 606513736 /nfs/dbraw/zinc/51/37/36/606513736.db2.gz NSNOVKCANTXVFG-UHFFFAOYSA-N -1 1 319.350 1.023 20 0 DDADMM COCCn1cc(NC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000821695201 606513737 /nfs/dbraw/zinc/51/37/37/606513737.db2.gz NSNOVKCANTXVFG-UHFFFAOYSA-N -1 1 319.350 1.023 20 0 DDADMM C[C@@H](NC(=O)Nc1nn(C)cc1-c1nnn[n-]1)c1ccccc1 ZINC000820380600 606595497 /nfs/dbraw/zinc/59/54/97/606595497.db2.gz XEZHNAUGTOFQSN-SECBINFHSA-N -1 1 312.337 1.483 20 0 DDADMM C[C@@H](NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)c1ccccc1 ZINC000820380600 606595499 /nfs/dbraw/zinc/59/54/99/606595499.db2.gz XEZHNAUGTOFQSN-SECBINFHSA-N -1 1 312.337 1.483 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCC2=CCCCC2)n1 ZINC000822614365 606596122 /nfs/dbraw/zinc/59/61/22/606596122.db2.gz MKFOKDXRRDGTDR-UHFFFAOYSA-N -1 1 316.369 1.612 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCC2=CCCCC2)n1 ZINC000822614365 606596124 /nfs/dbraw/zinc/59/61/24/606596124.db2.gz MKFOKDXRRDGTDR-UHFFFAOYSA-N -1 1 316.369 1.612 20 0 DDADMM C[C@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C1CCOCC1 ZINC000820508533 606654102 /nfs/dbraw/zinc/65/41/02/606654102.db2.gz QVRPYGHOFMDDTO-VIFPVBQESA-N -1 1 303.322 1.234 20 0 DDADMM C[C@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C1CCOCC1 ZINC000820508533 606654103 /nfs/dbraw/zinc/65/41/03/606654103.db2.gz QVRPYGHOFMDDTO-VIFPVBQESA-N -1 1 303.322 1.234 20 0 DDADMM CCN(C(=O)Cn1ccnc1-c1nnn[n-]1)C1CCCCC1 ZINC000821154252 606654872 /nfs/dbraw/zinc/65/48/72/606654872.db2.gz KWROUJRKGNETQT-UHFFFAOYSA-N -1 1 303.370 1.244 20 0 DDADMM CCN(C(=O)Cn1ccnc1-c1nn[n-]n1)C1CCCCC1 ZINC000821154252 606654873 /nfs/dbraw/zinc/65/48/73/606654873.db2.gz KWROUJRKGNETQT-UHFFFAOYSA-N -1 1 303.370 1.244 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)[C@@H]2C[C@@H]2Cc2ccccc2)n1 ZINC000822605123 606684624 /nfs/dbraw/zinc/68/46/24/606684624.db2.gz ZSNREDXNYUXYCX-NWDGAFQWSA-N -1 1 323.360 1.418 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)[C@@H]2C[C@@H]2Cc2ccccc2)n1 ZINC000822605123 606684625 /nfs/dbraw/zinc/68/46/25/606684625.db2.gz ZSNREDXNYUXYCX-NWDGAFQWSA-N -1 1 323.360 1.418 20 0 DDADMM C[C@@H](CNc1ccccc1)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820280314 606816955 /nfs/dbraw/zinc/81/69/55/606816955.db2.gz DHPDTYOAFOZSQN-NSHDSACASA-N -1 1 323.360 1.492 20 0 DDADMM C[C@@H](CNc1ccccc1)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820280314 606816957 /nfs/dbraw/zinc/81/69/57/606816957.db2.gz DHPDTYOAFOZSQN-NSHDSACASA-N -1 1 323.360 1.492 20 0 DDADMM Cc1csc(Cn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)n1 ZINC000822414815 606867145 /nfs/dbraw/zinc/86/71/45/606867145.db2.gz VYFUNUQLNNLJLZ-UHFFFAOYSA-N -1 1 324.369 1.995 20 0 DDADMM CC(C)CO[C@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000820097698 607053874 /nfs/dbraw/zinc/05/38/74/607053874.db2.gz RUGDRBHDLYYGRN-JTQLQIEISA-N -1 1 321.406 1.815 20 0 DDADMM CC(C)CO[C@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000820097698 607053876 /nfs/dbraw/zinc/05/38/76/607053876.db2.gz RUGDRBHDLYYGRN-JTQLQIEISA-N -1 1 321.406 1.815 20 0 DDADMM Cc1ccccc1OCC(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822366789 607103360 /nfs/dbraw/zinc/10/33/60/607103360.db2.gz SOLOBLZCENKYNG-UHFFFAOYSA-N -1 1 316.346 1.649 20 0 DDADMM Cc1ccccc1OCC(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822366789 607103361 /nfs/dbraw/zinc/10/33/61/607103361.db2.gz SOLOBLZCENKYNG-UHFFFAOYSA-N -1 1 316.346 1.649 20 0 DDADMM COc1cccc2c1CC[C@@H]2Nc1nccnc1-c1nnn[n-]1 ZINC000821877584 607119307 /nfs/dbraw/zinc/11/93/07/607119307.db2.gz MSGDZHFGGWVBMV-NSHDSACASA-N -1 1 309.333 1.765 20 0 DDADMM COc1cccc2c1CC[C@@H]2Nc1nccnc1-c1nn[n-]n1 ZINC000821877584 607119309 /nfs/dbraw/zinc/11/93/09/607119309.db2.gz MSGDZHFGGWVBMV-NSHDSACASA-N -1 1 309.333 1.765 20 0 DDADMM O=S(=O)(Oc1cccc(F)c1-c1nnn[n-]1)c1cccnc1 ZINC000826493446 607867375 /nfs/dbraw/zinc/86/73/75/607867375.db2.gz LBMJCZLGAYGAMN-UHFFFAOYSA-N -1 1 321.293 1.169 20 0 DDADMM O=S(=O)(Oc1cccc(F)c1-c1nn[n-]n1)c1cccnc1 ZINC000826493446 607867376 /nfs/dbraw/zinc/86/73/76/607867376.db2.gz LBMJCZLGAYGAMN-UHFFFAOYSA-N -1 1 321.293 1.169 20 0 DDADMM Cc1ocnc1CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000826343037 608077383 /nfs/dbraw/zinc/07/73/83/608077383.db2.gz JRPZKJZJJIFNKW-UHFFFAOYSA-N -1 1 308.305 1.718 20 0 DDADMM Cc1ocnc1CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000826343037 608077384 /nfs/dbraw/zinc/07/73/84/608077384.db2.gz JRPZKJZJJIFNKW-UHFFFAOYSA-N -1 1 308.305 1.718 20 0 DDADMM O=C(OCC[C@H]1CCCCO1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826462639 608143667 /nfs/dbraw/zinc/14/36/67/608143667.db2.gz DGKYPMQOUVLQRH-LLVKDONJSA-N -1 1 303.322 1.378 20 0 DDADMM O=C(OCC[C@H]1CCCCO1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826462639 608143668 /nfs/dbraw/zinc/14/36/68/608143668.db2.gz DGKYPMQOUVLQRH-LLVKDONJSA-N -1 1 303.322 1.378 20 0 DDADMM C[C@H](Cn1cncn1)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000824463653 608180244 /nfs/dbraw/zinc/18/02/44/608180244.db2.gz ABWCGGOAEDYCQQ-RXMQYKEDSA-N -1 1 311.762 1.069 20 0 DDADMM C[C@H](Cn1cncn1)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000824463653 608180246 /nfs/dbraw/zinc/18/02/46/608180246.db2.gz ABWCGGOAEDYCQQ-RXMQYKEDSA-N -1 1 311.762 1.069 20 0 DDADMM CCC1(CC)[C@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@H](C)[C@H]1OC ZINC000825171410 608197404 /nfs/dbraw/zinc/19/74/04/608197404.db2.gz PAMOMIFDSDGYDP-ZWKOPEQDSA-N -1 1 317.397 1.908 20 0 DDADMM CCC1(CC)[C@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@H](C)[C@H]1OC ZINC000825171410 608197405 /nfs/dbraw/zinc/19/74/05/608197405.db2.gz PAMOMIFDSDGYDP-ZWKOPEQDSA-N -1 1 317.397 1.908 20 0 DDADMM Cc1nc(C)c(-c2nn[n-]n2)c(SCc2ccn(C)n2)n1 ZINC000826324269 608199058 /nfs/dbraw/zinc/19/90/58/608199058.db2.gz KLQGAWXGSICLBS-UHFFFAOYSA-N -1 1 302.367 1.299 20 0 DDADMM CCC1(O)CCC(NC(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000825176359 608258177 /nfs/dbraw/zinc/25/81/77/608258177.db2.gz QQEOEDHTQASFHE-UHFFFAOYSA-N -1 1 321.406 1.742 20 0 DDADMM CCC1(O)CCC(NC(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000825176359 608258178 /nfs/dbraw/zinc/25/81/78/608258178.db2.gz QQEOEDHTQASFHE-UHFFFAOYSA-N -1 1 321.406 1.742 20 0 DDADMM c1cc(N2CCC[C@H](C[C@@H]3CCOC3)C2)nnc1-c1nnn[n-]1 ZINC000826516827 608299012 /nfs/dbraw/zinc/29/90/12/608299012.db2.gz DHHOZBQDVBXYAE-NEPJUHHUSA-N -1 1 315.381 1.300 20 0 DDADMM c1cc(N2CCC[C@H](C[C@@H]3CCOC3)C2)nnc1-c1nn[n-]n1 ZINC000826516827 608299013 /nfs/dbraw/zinc/29/90/13/608299013.db2.gz DHHOZBQDVBXYAE-NEPJUHHUSA-N -1 1 315.381 1.300 20 0 DDADMM Clc1ccc(NCCCn2ccnc2)nc1-c1nnn[n-]1 ZINC000826353076 608364643 /nfs/dbraw/zinc/36/46/43/608364643.db2.gz ZWTOSGCETZVDKW-UHFFFAOYSA-N -1 1 304.745 1.614 20 0 DDADMM Clc1ccc(NCCCn2ccnc2)nc1-c1nn[n-]n1 ZINC000826353076 608364645 /nfs/dbraw/zinc/36/46/45/608364645.db2.gz ZWTOSGCETZVDKW-UHFFFAOYSA-N -1 1 304.745 1.614 20 0 DDADMM Cc1ccc(C)n1C1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000826285151 608408425 /nfs/dbraw/zinc/40/84/25/608408425.db2.gz QVUBPPNMRQBTGD-UHFFFAOYSA-N -1 1 324.392 1.917 20 0 DDADMM Cc1ccc(C)n1C1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000826285151 608408427 /nfs/dbraw/zinc/40/84/27/608408427.db2.gz QVUBPPNMRQBTGD-UHFFFAOYSA-N -1 1 324.392 1.917 20 0 DDADMM Cc1cc([C@@](C)(O)CNc2ccc(-c3nnn[n-]3)nn2)c(C)o1 ZINC000826261586 608423766 /nfs/dbraw/zinc/42/37/66/608423766.db2.gz KTCYJYIDNIJPGM-AWEZNQCLSA-N -1 1 315.337 1.186 20 0 DDADMM Cc1cc([C@@](C)(O)CNc2ccc(-c3nn[n-]n3)nn2)c(C)o1 ZINC000826261586 608423767 /nfs/dbraw/zinc/42/37/67/608423767.db2.gz KTCYJYIDNIJPGM-AWEZNQCLSA-N -1 1 315.337 1.186 20 0 DDADMM OCC[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)c1ccccc1 ZINC000826509581 608424292 /nfs/dbraw/zinc/42/42/92/608424292.db2.gz ZCNAWVQMZNLFNC-LBPRGKRZSA-N -1 1 311.349 1.235 20 0 DDADMM OCC[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)c1ccccc1 ZINC000826509581 608424293 /nfs/dbraw/zinc/42/42/93/608424293.db2.gz ZCNAWVQMZNLFNC-LBPRGKRZSA-N -1 1 311.349 1.235 20 0 DDADMM CCc1cnc(CN(C)c2ccc(-c3nnn[n-]3)nn2)s1 ZINC000825734698 608427957 /nfs/dbraw/zinc/42/79/57/608427957.db2.gz MMAQKDJUAKRCAK-UHFFFAOYSA-N -1 1 302.367 1.312 20 0 DDADMM CCc1cnc(CN(C)c2ccc(-c3nn[n-]n3)nn2)s1 ZINC000825734698 608427959 /nfs/dbraw/zinc/42/79/59/608427959.db2.gz MMAQKDJUAKRCAK-UHFFFAOYSA-N -1 1 302.367 1.312 20 0 DDADMM CC(C)(CNc1ccc(-c2nnn[n-]2)nn1)[C@@H]1CCCCO1 ZINC000824157535 608430948 /nfs/dbraw/zinc/43/09/48/608430948.db2.gz IYNLGWSHGKNMQF-NSHDSACASA-N -1 1 303.370 1.664 20 0 DDADMM CC(C)(CNc1ccc(-c2nn[n-]n2)nn1)[C@@H]1CCCCO1 ZINC000824157535 608430950 /nfs/dbraw/zinc/43/09/50/608430950.db2.gz IYNLGWSHGKNMQF-NSHDSACASA-N -1 1 303.370 1.664 20 0 DDADMM CN(C)c1cccc(CN(C)c2ccc(-c3nnn[n-]3)nn2)c1 ZINC000825879388 608434346 /nfs/dbraw/zinc/43/43/46/608434346.db2.gz MGFUDFGKIURRGN-UHFFFAOYSA-N -1 1 310.365 1.359 20 0 DDADMM CN(C)c1cccc(CN(C)c2ccc(-c3nn[n-]n3)nn2)c1 ZINC000825879388 608434348 /nfs/dbraw/zinc/43/43/48/608434348.db2.gz MGFUDFGKIURRGN-UHFFFAOYSA-N -1 1 310.365 1.359 20 0 DDADMM C[C@@](O)(CNc1ccc(-c2nnn[n-]2)nn1)c1ccc(F)cc1 ZINC000824677245 608438173 /nfs/dbraw/zinc/43/81/73/608438173.db2.gz BYCKGPOUDMEXLN-CQSZACIVSA-N -1 1 315.312 1.115 20 0 DDADMM C[C@@](O)(CNc1ccc(-c2nn[n-]n2)nn1)c1ccc(F)cc1 ZINC000824677245 608438174 /nfs/dbraw/zinc/43/81/74/608438174.db2.gz BYCKGPOUDMEXLN-CQSZACIVSA-N -1 1 315.312 1.115 20 0 DDADMM Cc1cc(C)cc(CCC(=O)n2ncc(-c3nn[n-]n3)c2N)c1 ZINC000826264723 609267637 /nfs/dbraw/zinc/26/76/37/609267637.db2.gz YCIOPLHZADJYOT-UHFFFAOYSA-N -1 1 311.349 1.535 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCCC[C@H]2C(=O)OC)[n-]1 ZINC000797012032 699990648 /nfs/dbraw/zinc/99/06/48/699990648.db2.gz HWQYWXKZGPOIQD-BXKDBHETSA-N -1 1 309.318 1.690 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCO[C@@H]([C@H]3CCOC3)C2)[n-]1 ZINC000797086112 699992982 /nfs/dbraw/zinc/99/29/82/699992982.db2.gz RABJBBMBPKZYRH-MISXGVKJSA-N -1 1 323.345 1.542 20 0 DDADMM CNC(=O)C(C)(C)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000746236556 700012744 /nfs/dbraw/zinc/01/27/44/700012744.db2.gz GPWWNUYNATVAJD-UHFFFAOYSA-N -1 1 308.382 1.116 20 0 DDADMM CC(=O)NC[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000974414816 695665287 /nfs/dbraw/zinc/66/52/87/695665287.db2.gz KLIZAJZSBRCEER-NSHDSACASA-N -1 1 318.377 1.048 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975229648 695817392 /nfs/dbraw/zinc/81/73/92/695817392.db2.gz ZBPSFELNJTXZMI-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(CC(c1ccccc1)c1ccccc1)NN1CC(=O)[N-]C1=O ZINC000029018720 696108353 /nfs/dbraw/zinc/10/83/53/696108353.db2.gz DEMBFUXESZBZMB-UHFFFAOYSA-N -1 1 323.352 1.792 20 0 DDADMM CCn1cnnc1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747360704 700065948 /nfs/dbraw/zinc/06/59/48/700065948.db2.gz PQBQAEAIGKUMFF-UHFFFAOYSA-N -1 1 304.354 1.155 20 0 DDADMM COC(=O)N1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)CC1 ZINC000747367853 700066057 /nfs/dbraw/zinc/06/60/57/700066057.db2.gz UDDAMAKKRFFRGN-UHFFFAOYSA-N -1 1 322.365 1.138 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCC(=O)NC1CC1 ZINC000747356917 700066179 /nfs/dbraw/zinc/06/61/79/700066179.db2.gz BQWDAANIYGKDSG-UHFFFAOYSA-N -1 1 320.393 1.403 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000044490037 696166079 /nfs/dbraw/zinc/16/60/79/696166079.db2.gz VSBXGZCDCSMDDJ-SSDOTTSWSA-N -1 1 316.151 1.836 20 0 DDADMM CNC(=O)[C@H]1CCCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000747224127 700060852 /nfs/dbraw/zinc/06/08/52/700060852.db2.gz UPBDUSMFZOEOSU-NSHDSACASA-N -1 1 320.393 1.212 20 0 DDADMM C=CCNC(=O)Cn1c(-c2ccc(OC)cc2)n[n-]c1=S ZINC000045573987 696175276 /nfs/dbraw/zinc/17/52/76/696175276.db2.gz OIDVDRMLJOGBEN-UHFFFAOYSA-N -1 1 304.375 1.918 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H](C)c1ccccn1 ZINC000047331993 696197216 /nfs/dbraw/zinc/19/72/16/696197216.db2.gz TWCQDSDAXLOZNY-QMMMGPOBSA-N -1 1 314.798 1.816 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977601172 696230434 /nfs/dbraw/zinc/23/04/34/696230434.db2.gz NLXNMRODSRPEIQ-GFCCVEGCSA-N -1 1 303.362 1.426 20 0 DDADMM COC1(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)CCOCC1 ZINC000747542469 700075157 /nfs/dbraw/zinc/07/51/57/700075157.db2.gz YQJCDKGTBGRDRU-UHFFFAOYSA-N -1 1 323.393 1.539 20 0 DDADMM CS(=O)(=O)Nc1ccc([O-])c(C(=O)Nc2ccccc2O)c1 ZINC000055158294 696282355 /nfs/dbraw/zinc/28/23/55/696282355.db2.gz JECRAJDKLGXDQA-UHFFFAOYSA-N -1 1 322.342 1.722 20 0 DDADMM Cn1ccc2c1cccc2C(=O)NCCc1n[n-]c(=S)n1C ZINC000067052044 696358491 /nfs/dbraw/zinc/35/84/91/696358491.db2.gz LRNFBACPRMXHJN-UHFFFAOYSA-N -1 1 315.402 1.942 20 0 DDADMM Cn1c(CNC(=O)CCOc2cccc(F)c2)n[n-]c1=S ZINC000067050280 696358519 /nfs/dbraw/zinc/35/85/19/696358519.db2.gz MYBOWWUSGPMWIT-UHFFFAOYSA-N -1 1 310.354 1.702 20 0 DDADMM N#Cc1cccc(C(=O)N[N-]C(=O)c2ccncc2Cl)c1 ZINC000073077463 696400177 /nfs/dbraw/zinc/40/01/77/696400177.db2.gz PKTZVPKNARUJJT-UHFFFAOYSA-N -1 1 300.705 1.681 20 0 DDADMM CS[C@H]1CC[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000075505675 696421303 /nfs/dbraw/zinc/42/13/03/696421303.db2.gz KBGFZSQOPQZDAY-UWVGGRQHSA-N -1 1 303.387 1.937 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(Cc2ccccc2F)C2CC2)s1 ZINC000079382065 696459921 /nfs/dbraw/zinc/45/99/21/696459921.db2.gz XUKBSMLPNZUZDV-LBPRGKRZSA-N -1 1 321.377 1.664 20 0 DDADMM Cc1ccccc1CC(C)(C)NC(=O)Cc1sc(N)nc1[O-] ZINC000079994399 696466093 /nfs/dbraw/zinc/46/60/93/696466093.db2.gz CQCXSUOSGGSUSK-LBPRGKRZSA-N -1 1 319.430 1.779 20 0 DDADMM Cc1c(CNC(=O)Cc2sc(N)nc2[O-])oc2ccccc12 ZINC000080305483 696532794 /nfs/dbraw/zinc/53/27/94/696532794.db2.gz SJAXFFHRHQEFKS-GFCCVEGCSA-N -1 1 317.370 1.704 20 0 DDADMM CC(C)(NC(=O)Cc1sc(N)nc1[O-])c1cccc(F)c1 ZINC000080386162 696533933 /nfs/dbraw/zinc/53/39/33/696533933.db2.gz YPDKMKYJNXVZJL-SNVBAGLBSA-N -1 1 309.366 1.524 20 0 DDADMM CCc1nn(C)cc1C(=O)NCc1n[n-]c(=S)n1C(C)C ZINC000092441768 696594663 /nfs/dbraw/zinc/59/46/63/696594663.db2.gz IEXMQPSXEMWIGT-UHFFFAOYSA-N -1 1 308.411 1.747 20 0 DDADMM CC(=O)OC1CCN(CC(=O)[N-]OCc2ccccc2)CC1 ZINC000093208200 696599461 /nfs/dbraw/zinc/59/94/61/696599461.db2.gz BNKUHFQZKMIQIA-UHFFFAOYSA-N -1 1 306.362 1.262 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC(C)(C)SC)o1 ZINC000748062786 700097658 /nfs/dbraw/zinc/09/76/58/700097658.db2.gz IBTCYAUTFYKOAX-UHFFFAOYSA-N -1 1 321.420 1.876 20 0 DDADMM CC1CC(C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000979618273 696611825 /nfs/dbraw/zinc/61/18/25/696611825.db2.gz JWCVEABIPSWTMO-FLWUZPLOSA-N -1 1 317.389 1.458 20 0 DDADMM CCc1nc([N-]C(=O)c2cccc[n+]2[O-])sc1C(=O)OC ZINC000124243198 696740744 /nfs/dbraw/zinc/74/07/44/696740744.db2.gz WWRRDOMHZFRZDN-UHFFFAOYSA-N -1 1 307.331 1.378 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1c(F)cccc1Cl)OCC1CC1 ZINC000131496016 696806869 /nfs/dbraw/zinc/80/68/69/696806869.db2.gz ANAHBVKEQAPTPW-UHFFFAOYSA-N -1 1 321.757 1.711 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(Cc2ccns2)CC1 ZINC000981026634 696982875 /nfs/dbraw/zinc/98/28/75/696982875.db2.gz HBSGONRXYLCLCW-UHFFFAOYSA-N -1 1 318.402 1.592 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Cl)cc(Cl)c2)nc1=O ZINC000765391305 701009338 /nfs/dbraw/zinc/00/93/38/701009338.db2.gz WULNULOWKVQJNT-UHFFFAOYSA-N -1 1 302.117 1.772 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CC=CC[C@H]2C)o1 ZINC000163754626 697334299 /nfs/dbraw/zinc/33/42/99/697334299.db2.gz NYCMIBJHAUPZIE-MNOVXSKESA-N -1 1 313.375 1.947 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CC(C)(C)C)CC1 ZINC000985270598 697477989 /nfs/dbraw/zinc/47/79/89/697477989.db2.gz SQDHCZAEQRLZPE-UHFFFAOYSA-N -1 1 309.414 1.369 20 0 DDADMM Cc1nc(-c2ccccc2)c(C(=O)[N-]N2CC(=O)NC2=O)s1 ZINC000184102730 697499177 /nfs/dbraw/zinc/49/91/77/697499177.db2.gz TZIXLLWLIWEBRU-UHFFFAOYSA-N -1 1 316.342 1.315 20 0 DDADMM CSCCCCC(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000185659095 697521447 /nfs/dbraw/zinc/52/14/47/697521447.db2.gz BMJMDOXNOLZPJV-UHFFFAOYSA-N -1 1 324.406 1.345 20 0 DDADMM Cn1nccc1CC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000186420867 697533317 /nfs/dbraw/zinc/53/33/17/697533317.db2.gz ISQRKAVKENPFMU-UHFFFAOYSA-N -1 1 301.318 1.219 20 0 DDADMM NC(=O)CO[N-]C(=O)C[C@@H](Cc1ccccc1)c1ccccc1 ZINC000772936300 697695551 /nfs/dbraw/zinc/69/55/51/697695551.db2.gz MROMXCXWEDEIHL-MRXNPFEDSA-N -1 1 312.369 1.936 20 0 DDADMM C[C@@H]1[C@@H](NCc2ccon2)CCN1C(=O)c1ncccc1[O-] ZINC000986173545 697719325 /nfs/dbraw/zinc/71/93/25/697719325.db2.gz VUCUSIKKOHMNDV-PWSUYJOCSA-N -1 1 302.334 1.168 20 0 DDADMM O=c1ccc([N-]S(=O)(=O)c2cnc(Cl)c(Cl)c2)n[nH]1 ZINC000227884131 697771889 /nfs/dbraw/zinc/77/18/89/697771889.db2.gz YAXPGDZKYPEWJG-UHFFFAOYSA-N -1 1 321.145 1.273 20 0 DDADMM C[S@@](=O)CCNC(=O)c1ccc(Br)c([O-])c1 ZINC000228317078 697773931 /nfs/dbraw/zinc/77/39/31/697773931.db2.gz RTOXMUMVAKMZFV-MRXNPFEDSA-N -1 1 306.181 1.263 20 0 DDADMM CC1(C)NC(=O)N(CCCOC(=O)c2ccc([O-])cc2F)C1=O ZINC000773585540 697783681 /nfs/dbraw/zinc/78/36/81/697783681.db2.gz WDHFNHZVHQVGNX-UHFFFAOYSA-N -1 1 324.308 1.409 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1ccc(F)nc1F ZINC000231794955 697787466 /nfs/dbraw/zinc/78/74/66/697787466.db2.gz VPNGDJXOSPZQED-UHFFFAOYSA-N -1 1 302.306 1.511 20 0 DDADMM CC[C@@H](C)NC(=O)CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773854575 697814609 /nfs/dbraw/zinc/81/46/09/697814609.db2.gz ZHIPMGZUIYSMKG-SECBINFHSA-N -1 1 321.406 1.053 20 0 DDADMM CC(C)(C)c1n[n-]c(S(=O)(=O)CC(F)(F)C(F)F)n1 ZINC000774011164 697833265 /nfs/dbraw/zinc/83/32/65/697833265.db2.gz ATNZVNUKYYPSNJ-UHFFFAOYSA-N -1 1 303.281 1.776 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)CC(F)(F)C(F)F)n[n-]1 ZINC000774011164 697833268 /nfs/dbraw/zinc/83/32/68/697833268.db2.gz ATNZVNUKYYPSNJ-UHFFFAOYSA-N -1 1 303.281 1.776 20 0 DDADMM CN(CC1(O)CCCC1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774068087 697839629 /nfs/dbraw/zinc/83/96/29/697839629.db2.gz GIOVTDUDVQUOPK-UHFFFAOYSA-N -1 1 306.391 1.395 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H](O)c1ccccn1 ZINC000774097860 697843523 /nfs/dbraw/zinc/84/35/23/697843523.db2.gz VJDFJIPHPUAWHD-JTQLQIEISA-N -1 1 324.134 1.617 20 0 DDADMM CCc1nc(CC(=O)OCCC[N-]C(=O)C(F)(F)F)cs1 ZINC000774926598 697943014 /nfs/dbraw/zinc/94/30/14/697943014.db2.gz OYPZNWQOCUKROX-UHFFFAOYSA-N -1 1 324.324 1.860 20 0 DDADMM O=C(/C=C/C1CCOCC1)OCCC[N-]C(=O)C(F)(F)F ZINC000774948349 697946069 /nfs/dbraw/zinc/94/60/69/697946069.db2.gz MOLQMNKZUZVIMU-NSCUHMNNSA-N -1 1 309.284 1.581 20 0 DDADMM O=C(NCCCCN1CCOCC1)c1cccc(Cl)c1[O-] ZINC000775659963 698020258 /nfs/dbraw/zinc/02/02/58/698020258.db2.gz PVONMCBAOKSIFS-UHFFFAOYSA-N -1 1 312.797 1.888 20 0 DDADMM O=C([N-]CCCOC(=O)c1csc2cncn21)C(F)(F)F ZINC000777099651 698164283 /nfs/dbraw/zinc/16/42/83/698164283.db2.gz CNTDGCHNKAYBDT-UHFFFAOYSA-N -1 1 321.280 1.621 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)[C@@H]1OC ZINC000777335537 698180967 /nfs/dbraw/zinc/18/09/67/698180967.db2.gz IEIVJZRXOZGMES-LOWVWBTDSA-N -1 1 323.393 1.536 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1CC12CCC2 ZINC000777354852 698182587 /nfs/dbraw/zinc/18/25/87/698182587.db2.gz GOYSRUZGZSHJNM-NSHDSACASA-N -1 1 302.334 1.002 20 0 DDADMM CCCCSCC(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000777362326 698182959 /nfs/dbraw/zinc/18/29/59/698182959.db2.gz KSKVSPLKRRJLSM-UHFFFAOYSA-N -1 1 324.406 1.345 20 0 DDADMM CC(C)C(=O)N1CC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987755507 698219447 /nfs/dbraw/zinc/21/94/47/698219447.db2.gz MUWVNMONRUQOSM-LBPRGKRZSA-N -1 1 305.378 1.364 20 0 DDADMM CCc1ncsc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000750203028 700210195 /nfs/dbraw/zinc/21/01/95/700210195.db2.gz RGKAIDYBTXSBQS-UHFFFAOYSA-N -1 1 318.354 1.819 20 0 DDADMM CCC(N[C@@H](C)CC)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000255680480 698422581 /nfs/dbraw/zinc/42/25/81/698422581.db2.gz AZNYOAIUBUFREN-IUZMTQGWSA-N -1 1 311.451 1.938 20 0 DDADMM CN(C)[C@H](CNC(=O)NC(C)(C)C(=O)[O-])c1ccc(F)cc1 ZINC000780449902 698519576 /nfs/dbraw/zinc/51/95/76/698519576.db2.gz DPWVTZIXDMQBFJ-GFCCVEGCSA-N -1 1 311.357 1.591 20 0 DDADMM COC(=O)[C@H]1[C@H](O)CCCN1C(=O)c1c([O-])cccc1Cl ZINC000781451665 698621385 /nfs/dbraw/zinc/62/13/85/698621385.db2.gz OEQUIQLYYQUIOC-ZYHUDNBSSA-N -1 1 313.737 1.184 20 0 DDADMM O=C(COC1CCCCC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339192347 698785491 /nfs/dbraw/zinc/78/54/91/698785491.db2.gz ORUKERZHABHAMP-UHFFFAOYSA-N -1 1 309.366 1.831 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCC(=O)CC1CC1 ZINC000783023879 698799091 /nfs/dbraw/zinc/79/90/91/698799091.db2.gz KFSQVFFFCGMSPQ-UHFFFAOYSA-N -1 1 317.388 1.646 20 0 DDADMM O=C(NN1CCCNC1=O)c1ccc(Br)c([O-])c1 ZINC000783599396 698856049 /nfs/dbraw/zinc/85/60/49/698856049.db2.gz CRJLNPRCISSDHH-UHFFFAOYSA-N -1 1 314.139 1.215 20 0 DDADMM Cc1nonc1[N-]C(=O)c1c(Cl)cccc1S(C)(=O)=O ZINC000784088683 698908947 /nfs/dbraw/zinc/90/89/47/698908947.db2.gz NMVQZVBNDMSADV-UHFFFAOYSA-N -1 1 315.738 1.687 20 0 DDADMM CCOC(=O)NCC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000785674840 699090979 /nfs/dbraw/zinc/09/09/79/699090979.db2.gz SZOYCQLEKRDOIZ-UHFFFAOYSA-N -1 1 321.285 1.288 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971678 699196237 /nfs/dbraw/zinc/19/62/37/699196237.db2.gz ILSPZZHOAFKTQV-RYUDHWBXSA-N -1 1 303.362 1.020 20 0 DDADMM C[C@@H](CC(=O)OCc1nc(=O)n(C)[n-]1)c1c[nH]c2ccccc21 ZINC000787231286 699198056 /nfs/dbraw/zinc/19/80/56/699198056.db2.gz ZDZJCTKKHBUKOR-JTQLQIEISA-N -1 1 314.345 1.827 20 0 DDADMM CCN1CCCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)CC1=O ZINC000787483807 699214030 /nfs/dbraw/zinc/21/40/30/699214030.db2.gz ZPTZAAATDKUUFP-UHFFFAOYSA-N -1 1 320.393 1.308 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1CC[C@H](C2CCCCC2)C1 ZINC000708871551 699251080 /nfs/dbraw/zinc/25/10/80/699251080.db2.gz ULMYYDCNBQJXBH-ZDUSSCGKSA-N -1 1 303.424 1.388 20 0 DDADMM CCN1CC[C@@H]1C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000712352553 699261204 /nfs/dbraw/zinc/26/12/04/699261204.db2.gz DWXIUBDMYCAOKM-MRVPVSSYSA-N -1 1 307.778 1.247 20 0 DDADMM C[C@@H]1CCCN(Cc2cn(C)nc2Br)[C@@H]1C(=O)[O-] ZINC000714079373 699269072 /nfs/dbraw/zinc/26/90/72/699269072.db2.gz OTOKVPGGPXJRQX-SCZZXKLOSA-N -1 1 316.199 1.868 20 0 DDADMM O=C([O-])[C@@H]1[C@H](CNC(=O)c2ccc(O)c(Cl)c2)C1(F)F ZINC000715251236 699274680 /nfs/dbraw/zinc/27/46/80/699274680.db2.gz ZLBJBBPVUQIZFD-RCOVLWMOSA-N -1 1 305.664 1.741 20 0 DDADMM O=S(=O)([N-][C@H]1CNOC1)c1ccc(Cl)c(F)c1Cl ZINC000722502490 699318385 /nfs/dbraw/zinc/31/83/85/699318385.db2.gz MGYPPVVFVGZHOW-YFKPBYRVSA-N -1 1 315.153 1.314 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CC(O)C2)c1ccc(Cl)nc1F ZINC000724970707 699328355 /nfs/dbraw/zinc/32/83/55/699328355.db2.gz JLCZTODXUUUZNY-NUQKGASQSA-N -1 1 306.746 1.066 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CCCCCCC1 ZINC000788646416 699330048 /nfs/dbraw/zinc/33/00/48/699330048.db2.gz XDMVTAQKHMZHQL-UHFFFAOYSA-N -1 1 309.366 1.987 20 0 DDADMM CCCCC[C@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OC ZINC000790225553 699448111 /nfs/dbraw/zinc/44/81/11/699448111.db2.gz MRVPQMXUIMHTPV-VIFPVBQESA-N -1 1 319.345 1.980 20 0 DDADMM O=C(CCOCC(F)(F)C(F)F)[N-]O[C@@H]1CCCCO1 ZINC000790550160 699466406 /nfs/dbraw/zinc/46/64/06/699466406.db2.gz YWXZOUREDDTZSO-SECBINFHSA-N -1 1 303.252 1.868 20 0 DDADMM O=S(=O)([N-]Cc1cocn1)c1ccc(Cl)nc1Cl ZINC000730814448 699516483 /nfs/dbraw/zinc/51/64/83/699516483.db2.gz BRUFKEVQRDLKRC-UHFFFAOYSA-N -1 1 308.146 1.855 20 0 DDADMM CCSc1ccccc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732090186 699545955 /nfs/dbraw/zinc/54/59/55/699545955.db2.gz PGJMBVVIZXFGFY-LLVKDONJSA-N -1 1 319.390 1.525 20 0 DDADMM CC(=CC(=O)NCc1nn[n-]n1)c1ccc(C(F)(F)F)cc1 ZINC000732157885 699548236 /nfs/dbraw/zinc/54/82/36/699548236.db2.gz KEHKNGBHDNOPOX-SOFGYWHQSA-N -1 1 311.267 1.938 20 0 DDADMM Cc1ccc2nc([C@H]3CCCN3C(=O)c3cc(=O)[nH][n-]3)[nH]c2c1 ZINC000732280609 699553641 /nfs/dbraw/zinc/55/36/41/699553641.db2.gz LVQKHZBIQQFMCC-CYBMUJFWSA-N -1 1 311.345 1.411 20 0 DDADMM Cc1ccc2c(c1)N(C(=O)CCn1cc[n-]c(=O)c1=O)CCC2 ZINC000733155698 699581346 /nfs/dbraw/zinc/58/13/46/699581346.db2.gz PSJIWJXXVFHALO-UHFFFAOYSA-N -1 1 313.357 1.215 20 0 DDADMM COc1ccc(OC)c(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000791164482 699613672 /nfs/dbraw/zinc/61/36/72/699613672.db2.gz LGJUWBWZZFSKAK-UHFFFAOYSA-N -1 1 312.329 1.611 20 0 DDADMM COc1ncccc1C(=O)COC(=O)c1ccc([O-])cc1F ZINC000792089976 699674946 /nfs/dbraw/zinc/67/49/46/699674946.db2.gz UPXGGDZJDIQZPY-UHFFFAOYSA-N -1 1 305.261 1.975 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(NC(C)=O)c(F)cc1F ZINC000737756007 699744470 /nfs/dbraw/zinc/74/44/70/699744470.db2.gz CKRCRBGYNOYHCL-UHFFFAOYSA-N -1 1 308.306 1.311 20 0 DDADMM CCN(CCNC(=O)[C@@H](Cc1ccccc1)N(C)C)C(=O)[O-] ZINC000739424733 699774495 /nfs/dbraw/zinc/77/44/95/699774495.db2.gz SYGSYMAWFUUDKI-CQSZACIVSA-N -1 1 307.394 1.275 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)NC12CC3CC(CC(C3)C1)C2 ZINC000739682926 699777617 /nfs/dbraw/zinc/77/76/17/699777617.db2.gz XFAHICUSCVEEGU-UHFFFAOYSA-N -1 1 323.437 1.661 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nc(Cl)cs2)c[n-]1 ZINC000793963562 699789483 /nfs/dbraw/zinc/78/94/83/699789483.db2.gz LVJSQOIKPQBXOM-UHFFFAOYSA-N -1 1 300.727 1.949 20 0 DDADMM O=C(C[C@H]1CCOC1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000740387515 699792318 /nfs/dbraw/zinc/79/23/18/699792318.db2.gz PANPYFDLCRXQMH-SNVBAGLBSA-N -1 1 304.298 1.968 20 0 DDADMM CC(C)(C)[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000794345272 699804089 /nfs/dbraw/zinc/80/40/89/699804089.db2.gz GTKYDWZWYYJFBS-LBPRGKRZSA-N -1 1 307.394 1.211 20 0 DDADMM COC(=O)C(=O)CCCSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000795217972 699852685 /nfs/dbraw/zinc/85/26/85/699852685.db2.gz TZXMQOMZAMISGL-UHFFFAOYSA-N -1 1 324.280 1.815 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cn3cccnc3n2)[n-]1 ZINC000796343215 699927826 /nfs/dbraw/zinc/92/78/26/699927826.db2.gz DVOKCUIRSGXQKH-UHFFFAOYSA-N -1 1 300.274 1.201 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC2CCC3(CC2)OCCO3)[n-]1 ZINC000796365893 699929541 /nfs/dbraw/zinc/92/95/41/699929541.db2.gz MFUXLPSDXDFJMX-UHFFFAOYSA-N -1 1 323.345 1.891 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](CCO)C2CC2)c(F)c1 ZINC000751441193 700289008 /nfs/dbraw/zinc/28/90/08/700289008.db2.gz BLZWPYMLTSEUDJ-LBPRGKRZSA-N -1 1 321.345 1.413 20 0 DDADMM CNC(=O)COC(=O)c1nn(-c2cccc(C(C)C)c2)cc1[O-] ZINC000801410830 700305273 /nfs/dbraw/zinc/30/52/73/700305273.db2.gz MHHSXBUXXKQONF-UHFFFAOYSA-N -1 1 317.345 1.604 20 0 DDADMM O=C(COC(=O)c1ccc(F)cn1)[N-]C(=O)c1ccccc1 ZINC000751828317 700318153 /nfs/dbraw/zinc/31/81/53/700318153.db2.gz WAMGLNINDKJDJG-UHFFFAOYSA-N -1 1 302.261 1.334 20 0 DDADMM Cc1nc2ccnn2c(C)c1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801703540 700333118 /nfs/dbraw/zinc/33/31/18/700333118.db2.gz LUMXFXOGEDATKU-UHFFFAOYSA-N -1 1 321.344 1.254 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1cncc(-n2ccnn2)c1 ZINC000801960702 700360155 /nfs/dbraw/zinc/36/01/55/700360155.db2.gz DAMWYFYUFYTUEC-UHFFFAOYSA-N -1 1 316.708 1.669 20 0 DDADMM CC(C)c1cccnc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000752503611 700368917 /nfs/dbraw/zinc/36/89/17/700368917.db2.gz FIHXDXPMJDCEIG-UHFFFAOYSA-N -1 1 302.334 1.084 20 0 DDADMM CSCCNC(=S)NN=c1c(F)c(F)[n-]c(F)c1F ZINC000752730687 700382847 /nfs/dbraw/zinc/38/28/47/700382847.db2.gz QJPXDYOFXVMUNU-UHFFFAOYSA-N -1 1 314.333 1.792 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@H]2C[C@@H](O)c2cccs2)nc1=O ZINC000754052113 700478815 /nfs/dbraw/zinc/47/88/15/700478815.db2.gz TWANFNCTGXWHGP-GHMZBOCLSA-N -1 1 308.407 1.258 20 0 DDADMM CC(C)(C)OC1CC(CC(=O)N2CCO[C@H](c3nn[n-]n3)C2)C1 ZINC000754646457 700516307 /nfs/dbraw/zinc/51/63/07/700516307.db2.gz KWHRLEINKOVSHQ-MCIGGMRASA-N -1 1 323.397 1.083 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H](C)c1ccc[nH]1 ZINC000760729318 700821064 /nfs/dbraw/zinc/82/10/64/700821064.db2.gz KUIXEVKQIJEJRY-JTQLQIEISA-N -1 1 313.361 1.800 20 0 DDADMM CCOC(=O)C[C@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000760988091 700833504 /nfs/dbraw/zinc/83/35/04/700833504.db2.gz OHIFSFAUQRPKMU-VIFPVBQESA-N -1 1 309.366 1.686 20 0 DDADMM Cn1cccc([N-]S(=O)(=O)c2cnc(Cl)c(F)c2)c1=O ZINC000761955209 700874344 /nfs/dbraw/zinc/87/43/44/700874344.db2.gz YYLGDVRIRKRFNP-UHFFFAOYSA-N -1 1 317.729 1.374 20 0 DDADMM CNc1nc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cs1 ZINC000762209225 700885225 /nfs/dbraw/zinc/88/52/25/700885225.db2.gz GBTOPTHAWOKBKD-SNVBAGLBSA-N -1 1 315.362 1.217 20 0 DDADMM CCOc1cc(C(=O)NCC[S@](=O)CC)cc(Cl)c1[O-] ZINC000762602723 700899936 /nfs/dbraw/zinc/89/99/36/700899936.db2.gz OCVDCBSCQUURNA-HXUWFJFHSA-N -1 1 319.810 1.943 20 0 DDADMM COc1cccc([C@H](O)CNC(=O)c2ncc(C)cc2[O-])c1 ZINC000763257847 700932166 /nfs/dbraw/zinc/93/21/66/700932166.db2.gz HXGMGZWFTIXVFR-CQSZACIVSA-N -1 1 302.330 1.568 20 0 DDADMM Cn1[n-]c(COC(=O)c2cnc(-c3ccco3)s2)nc1=O ZINC000765466310 701014026 /nfs/dbraw/zinc/01/40/26/701014026.db2.gz MRNSLBBUYPBKQO-UHFFFAOYSA-N -1 1 306.303 1.182 20 0 DDADMM CC(C)C[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000765480285 701014712 /nfs/dbraw/zinc/01/47/12/701014712.db2.gz KEQGQRVFMAHBTD-ZDUSSCGKSA-N -1 1 303.362 1.982 20 0 DDADMM Cc1ccc2c(CCC(=O)OCc3nc(=O)n(C)[n-]3)c[nH]c2c1 ZINC000765486716 701015325 /nfs/dbraw/zinc/01/53/25/701015325.db2.gz MLSOBRKVLVQEBZ-UHFFFAOYSA-N -1 1 314.345 1.574 20 0 DDADMM C[C@H]1CCN(C(=O)NCC2CC2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000804889057 701218065 /nfs/dbraw/zinc/21/80/65/701218065.db2.gz CGACDXDCNDVSQG-QXEWZRGKSA-N -1 1 321.343 1.883 20 0 DDADMM CCOC[C@@H](C(=O)OC)N(C)C(=O)c1c([O-])cccc1Cl ZINC000769983024 701263268 /nfs/dbraw/zinc/26/32/68/701263268.db2.gz JWWYZDSNOWUFSL-JTQLQIEISA-N -1 1 315.753 1.696 20 0 DDADMM C[C@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CCCOC1 ZINC000806483646 701437218 /nfs/dbraw/zinc/43/72/18/701437218.db2.gz LRPOEHNQMDBBNC-WDEREUQCSA-N -1 1 303.366 1.278 20 0 DDADMM CON(CC1CCOCC1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806488530 701437461 /nfs/dbraw/zinc/43/74/61/701437461.db2.gz PTBDJHIOCGBIFA-UHFFFAOYSA-N -1 1 319.365 1.164 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Nc3ccc4c(c3)CC(=O)N4)ccnc1-2 ZINC000806489841 701437777 /nfs/dbraw/zinc/43/77/77/701437777.db2.gz GGTZSKNXNLHKOD-UHFFFAOYSA-N -1 1 322.328 1.480 20 0 DDADMM Cc1ccc(CCNC(=O)N=c2ccnc3n(C)[n-]cc2-3)cn1 ZINC000806489374 701437798 /nfs/dbraw/zinc/43/77/98/701437798.db2.gz ZMKFDDVOMAEYNV-UHFFFAOYSA-N -1 1 310.361 1.409 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@H]([C@@H]4CCOC4)C3)ccnc1-2 ZINC000806491220 701437915 /nfs/dbraw/zinc/43/79/15/701437915.db2.gz WLPGYWLVOYMEIL-NWDGAFQWSA-N -1 1 315.377 1.232 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3Cc4cccc(O)c4C3)ccnc1-2 ZINC000806493509 701438153 /nfs/dbraw/zinc/43/81/53/701438153.db2.gz WXZOGKQRRYGGOG-LLVKDONJSA-N -1 1 323.356 1.336 20 0 DDADMM Cc1cccc(NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1C ZINC000806991570 701457660 /nfs/dbraw/zinc/45/76/60/701457660.db2.gz YXLQELRRNHSRHB-UHFFFAOYSA-N -1 1 323.356 1.536 20 0 DDADMM O=C(CNC(=O)c1ccc(O)cc1)Nc1nc(Cl)ccc1[O-] ZINC000807368065 701474832 /nfs/dbraw/zinc/47/48/32/701474832.db2.gz FTTKCRHTCQHBLH-UHFFFAOYSA-N -1 1 321.720 1.515 20 0 DDADMM COC(=O)C1CC([N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000867768883 701739016 /nfs/dbraw/zinc/73/90/16/701739016.db2.gz JDEHHFUZAIPBFT-UHFFFAOYSA-N -1 1 322.745 1.104 20 0 DDADMM Cc1ccc(CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cc1 ZINC000830966373 706612735 /nfs/dbraw/zinc/61/27/35/706612735.db2.gz UMSZLSGQHGUHIQ-UHFFFAOYSA-N -1 1 313.357 1.422 20 0 DDADMM CC(C)CC[C@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000867995831 701879662 /nfs/dbraw/zinc/87/96/62/701879662.db2.gz XOBWGBLBKUPAHS-NSHDSACASA-N -1 1 322.409 1.879 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Cl)c(F)cc2Cl)nc1=O ZINC000815505793 701884557 /nfs/dbraw/zinc/88/45/57/701884557.db2.gz XYAAYRHFOOKJNP-UHFFFAOYSA-N -1 1 320.107 1.911 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2[C@H]1O)c1c([O-])cccc1F ZINC000811568897 702007869 /nfs/dbraw/zinc/00/78/69/702007869.db2.gz MMVCJUALFNKKSW-IAQYHMDHSA-N -1 1 303.289 1.756 20 0 DDADMM Cc1nc([C@@H](C)OC(=O)c2c[n-]c3nc(C)ccc3c2=O)n[nH]1 ZINC000840203353 702027068 /nfs/dbraw/zinc/02/70/68/702027068.db2.gz GJCOXQDQOFZRTM-MRVPVSSYSA-N -1 1 313.317 1.988 20 0 DDADMM CC1=C(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)SCCO1 ZINC000816498971 702098381 /nfs/dbraw/zinc/09/83/81/702098381.db2.gz ZEGVIEPIHZIZER-SECBINFHSA-N -1 1 311.363 1.476 20 0 DDADMM Cc1nocc1C(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000868444378 702131636 /nfs/dbraw/zinc/13/16/36/702131636.db2.gz KNXMWSBXTGPPHK-SECBINFHSA-N -1 1 319.283 1.514 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000812804284 702243679 /nfs/dbraw/zinc/24/36/79/702243679.db2.gz LZSDBMPWZQTNRM-LBPRGKRZSA-N -1 1 324.352 1.651 20 0 DDADMM CC(C)[C@@H]1C[C@H]1NC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868699206 702274373 /nfs/dbraw/zinc/27/43/73/702274373.db2.gz QMQDCBUOZVMUKU-CWSCBRNRSA-N -1 1 321.343 1.883 20 0 DDADMM C[C@@]1(C2CCCCC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000831201348 706656218 /nfs/dbraw/zinc/65/62/18/706656218.db2.gz HLULHEUSTHAZCY-KRWDZBQOSA-N -1 1 319.405 1.498 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC/C=C\Br)o1 ZINC000813936833 702444891 /nfs/dbraw/zinc/44/48/91/702444891.db2.gz MCYVMCQHZBGJHY-DJWKRKHSSA-N -1 1 324.152 1.253 20 0 DDADMM C[C@@H]1[C@@H](C)[C@H](C)CN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869213671 702513846 /nfs/dbraw/zinc/51/38/46/702513846.db2.gz JVNCWBAAIAOCCZ-OUAUKWLOSA-N -1 1 320.393 1.441 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)Cc1cccs1 ZINC000869313887 702565955 /nfs/dbraw/zinc/56/59/55/702565955.db2.gz JOIHRURXNBSXAA-UHFFFAOYSA-N -1 1 323.292 1.472 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCO1)c1cc(Cl)cnc1Cl ZINC000866373732 706684262 /nfs/dbraw/zinc/68/42/62/706684262.db2.gz RBSCIXBITQCYNP-QMMMGPOBSA-N -1 1 311.190 1.846 20 0 DDADMM CCn1nc(C)c(CSCCC[N-]C(=O)C(F)(F)F)n1 ZINC000842191393 702681512 /nfs/dbraw/zinc/68/15/12/702681512.db2.gz LVFPDLGDSOIXEM-UHFFFAOYSA-N -1 1 310.345 1.908 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)C1SCCCS1 ZINC000842227592 702684903 /nfs/dbraw/zinc/68/49/03/702684903.db2.gz UKTLQSUJGLWOQB-SNVBAGLBSA-N -1 1 321.431 1.602 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCCC[C@H]1CCOC1 ZINC000842648765 702749767 /nfs/dbraw/zinc/74/97/67/702749767.db2.gz MBUKVNFNRCYKSR-NSHDSACASA-N -1 1 314.407 1.504 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])C[N@H+]1CCOc1ccccc1C(=O)[O-] ZINC000842934671 702792006 /nfs/dbraw/zinc/79/20/06/702792006.db2.gz UAVURFAFQFYPTM-NEPJUHHUSA-N -1 1 307.346 1.949 20 0 DDADMM C[C@H]1CCC[C@]1(C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843013209 702803441 /nfs/dbraw/zinc/80/34/41/702803441.db2.gz CHBOCMJBFNQXAY-JSGCOSHPSA-N -1 1 316.467 1.743 20 0 DDADMM C[C@H]1CC[C@H](CC(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843017024 702804358 /nfs/dbraw/zinc/80/43/58/702804358.db2.gz YLALJGLEYFWQRB-STQMWFEESA-N -1 1 316.467 1.743 20 0 DDADMM CCOC(=O)C1(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCCC1 ZINC000843588490 702905487 /nfs/dbraw/zinc/90/54/87/702905487.db2.gz ZWFYWVAKIFEAMN-UHFFFAOYSA-N -1 1 316.361 1.404 20 0 DDADMM CCC[C@](C)([N-]S(=O)(=O)c1ccc(F)nc1F)C(=O)OC ZINC000866467017 706705935 /nfs/dbraw/zinc/70/59/35/706705935.db2.gz RSFYORIJEKQGKK-LBPRGKRZSA-N -1 1 322.333 1.370 20 0 DDADMM CC(C)(C)OC(=O)CC1(C(=O)[N-]OC[C@@H]2CCOC2)CCC1 ZINC000843862510 702934727 /nfs/dbraw/zinc/93/47/27/702934727.db2.gz DKBWCDFDNKAYER-GFCCVEGCSA-N -1 1 313.394 1.973 20 0 DDADMM C[C@@H](NC(=O)CCCC(=O)[O-])C1(N2CCOCC2)CCCC1 ZINC000844243444 702998263 /nfs/dbraw/zinc/99/82/63/702998263.db2.gz XNKVFYXCXZJVQZ-CYBMUJFWSA-N -1 1 312.410 1.391 20 0 DDADMM CO[C@@H](CC(=O)N=c1ccnc2n(C)[n-]cc1-2)C(F)(F)F ZINC000844503510 703039294 /nfs/dbraw/zinc/03/92/94/703039294.db2.gz UXUJOKBZNYUSGI-VIFPVBQESA-N -1 1 302.256 1.248 20 0 DDADMM C[C@H](CO)C1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000844650692 703051406 /nfs/dbraw/zinc/05/14/06/703051406.db2.gz PIVBMXXYSCIXHY-LLVKDONJSA-N -1 1 317.393 1.214 20 0 DDADMM COC/C(C)=C\C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000845517155 703167110 /nfs/dbraw/zinc/16/71/10/703167110.db2.gz CHSGLYNRELUASC-UITAMQMPSA-N -1 1 304.350 1.261 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H](C)c1ccc(F)cc1 ZINC000866595116 706739849 /nfs/dbraw/zinc/73/98/49/706739849.db2.gz XDVLBWFSSPFIRA-OVWNDWIMSA-N -1 1 322.427 1.881 20 0 DDADMM Cc1noc([C@@H](C)N(C)C(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC000848324426 703539032 /nfs/dbraw/zinc/53/90/32/703539032.db2.gz SAWJCKAONFVWMS-SSDOTTSWSA-N -1 1 318.362 1.543 20 0 DDADMM CC[C@@](C)(OC)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848339706 703540534 /nfs/dbraw/zinc/54/05/34/703540534.db2.gz NKYJCZNNFWFPFC-GIPNMCIBSA-N -1 1 324.343 1.860 20 0 DDADMM COC[C@H](C)CC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848340688 703540652 /nfs/dbraw/zinc/54/06/52/703540652.db2.gz ORHYSDSXFSNQAK-GMTAPVOTSA-N -1 1 324.343 1.717 20 0 DDADMM C[C@@H]1CCN(CN2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)C1=O ZINC000848503139 703558480 /nfs/dbraw/zinc/55/84/80/703558480.db2.gz WTMBSOUXIMPUOM-GMTAPVOTSA-N -1 1 321.343 1.344 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2coc(C)n2)c1 ZINC000848767876 703586363 /nfs/dbraw/zinc/58/63/63/703586363.db2.gz RAJARKQVFJTBHI-OAQYLSRUSA-N -1 1 309.343 1.843 20 0 DDADMM CC[C@@H](COCC1CC1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000849419399 703645862 /nfs/dbraw/zinc/64/58/62/703645862.db2.gz MJJVEEPVZMKMLP-JTQLQIEISA-N -1 1 321.830 1.557 20 0 DDADMM C[C@@H](O)CCOC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000849686132 703667596 /nfs/dbraw/zinc/66/75/96/703667596.db2.gz WTMBRTWZAQZHSE-SECBINFHSA-N -1 1 319.379 1.284 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000850940371 703766683 /nfs/dbraw/zinc/76/66/83/703766683.db2.gz KZDJXTTZCMJVAP-CYZMBNFOSA-N -1 1 316.361 1.248 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2CC3(CC3)C2)CCC1 ZINC000851106285 703780809 /nfs/dbraw/zinc/78/08/09/703780809.db2.gz ZVCIBOXIRIPWJT-UHFFFAOYSA-N -1 1 300.424 1.107 20 0 DDADMM CSC(C)(C)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869553356 703812164 /nfs/dbraw/zinc/81/21/64/703812164.db2.gz DGOACOPFAJAEFZ-UHFFFAOYSA-N -1 1 315.313 1.309 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1c[nH]nc1Cl)C1CCCCC1 ZINC000851847846 703869859 /nfs/dbraw/zinc/86/98/59/703869859.db2.gz WCYVXAICXURTBB-SNVBAGLBSA-N -1 1 321.830 1.937 20 0 DDADMM Cc1nccnc1CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000818543385 703923380 /nfs/dbraw/zinc/92/33/80/703923380.db2.gz AGIBGZOASIIQHV-UHFFFAOYSA-N -1 1 315.377 1.685 20 0 DDADMM CCOCn1nc(C)c(CC(=O)[N-]OCC(F)(F)F)c1C ZINC000866733629 706779247 /nfs/dbraw/zinc/77/92/47/706779247.db2.gz XUYNCZDOTFJAOC-UHFFFAOYSA-N -1 1 309.288 1.647 20 0 DDADMM CO[C@@H]1CC[C@@H](C)N(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC000870715878 704111575 /nfs/dbraw/zinc/11/15/75/704111575.db2.gz OBQQKQUGNLDASW-PSASIEDQSA-N -1 1 311.407 1.852 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2nc(C)no2)c1 ZINC000819983820 704197461 /nfs/dbraw/zinc/19/74/61/704197461.db2.gz ASFNUYDNHFOWKD-NRFANRHFSA-N -1 1 310.331 1.238 20 0 DDADMM CCOC1(COC(=O)c2ccc(C(=O)OC)[n-]2)CCOCC1 ZINC000853191068 704214275 /nfs/dbraw/zinc/21/42/75/704214275.db2.gz XUWSQLNAUHGZQE-UHFFFAOYSA-N -1 1 311.334 1.544 20 0 DDADMM CC1(C[N-]S(=O)(=O)N=[S@](C)(=O)c2ccccc2)COC1 ZINC000866781298 706798282 /nfs/dbraw/zinc/79/82/82/706798282.db2.gz NWJQKIYIGKYDCP-LJQANCHMSA-N -1 1 318.420 1.014 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000820408032 704273719 /nfs/dbraw/zinc/27/37/19/704273719.db2.gz VGNGTLNFIISONZ-ZETCQYMHSA-N -1 1 320.271 1.163 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1ccccc1Cl ZINC000820768444 704328707 /nfs/dbraw/zinc/32/87/07/704328707.db2.gz QMUVVYDNOQSKCR-QMMMGPOBSA-N -1 1 310.828 1.963 20 0 DDADMM C[C@H]1CCCC[C@H]1OCC[N-]S(=O)(=O)N=S(C)(C)=O ZINC000820786906 704330953 /nfs/dbraw/zinc/33/09/53/704330953.db2.gz ZVOCVSLDQVBARV-WDEREUQCSA-N -1 1 312.457 1.144 20 0 DDADMM CCC(C)(C)CCn1cc(C(=O)OC)cc(-c2nn[n-]n2)c1=O ZINC000820848183 704337963 /nfs/dbraw/zinc/33/79/63/704337963.db2.gz SPDPEKYXRAKYPM-UHFFFAOYSA-N -1 1 319.365 1.641 20 0 DDADMM CSCCC[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866812654 706811249 /nfs/dbraw/zinc/81/12/49/706811249.db2.gz NJTFORFERGSFEB-UHFFFAOYSA-N -1 1 314.230 1.204 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCO[C@H](c2ccccc2)O1 ZINC000854634426 704430317 /nfs/dbraw/zinc/43/03/17/704430317.db2.gz DVKVGWQGUXBYBL-ABAIWWIYSA-N -1 1 303.318 1.867 20 0 DDADMM O=C(c1ccc2oc(=S)[n-]c2c1)N1CC[C@H](c2nc[nH]n2)C1 ZINC000854986855 704465261 /nfs/dbraw/zinc/46/52/61/704465261.db2.gz DDSIYYVTQOCEEA-VIFPVBQESA-N -1 1 315.358 1.864 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC12CCOCC2 ZINC000855349913 704480240 /nfs/dbraw/zinc/48/02/40/704480240.db2.gz JDCVEQVBURUOLS-UHFFFAOYSA-N -1 1 304.375 1.413 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC[C@@H](N2CCOC2=O)C1 ZINC000855509818 704489567 /nfs/dbraw/zinc/48/95/67/704489567.db2.gz KXDNVGCOLFZIOQ-SNVBAGLBSA-N -1 1 310.737 1.712 20 0 DDADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)[N-]OCC1CCC1 ZINC000856347460 704523895 /nfs/dbraw/zinc/52/38/95/704523895.db2.gz SRQOGFXECTXIQU-JTQLQIEISA-N -1 1 316.361 1.529 20 0 DDADMM CO[C@H](C)CC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856420109 704528310 /nfs/dbraw/zinc/52/83/10/704528310.db2.gz XUTFVQKCVBSSNN-BBBLOLIVSA-N -1 1 310.316 1.327 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)[O-])CN1CCS(=O)(=O)C1CCCCC1 ZINC000857084086 704550193 /nfs/dbraw/zinc/55/01/93/704550193.db2.gz NVHNOTYQSVBPSM-QWHCGFSZSA-N -1 1 317.451 1.919 20 0 DDADMM CCC(CC)[C@H](O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866845970 706823003 /nfs/dbraw/zinc/82/30/03/706823003.db2.gz TUTTWBWDBYBPPU-SECBINFHSA-N -1 1 308.350 1.435 20 0 DDADMM CN(OCC(F)(F)F)C(=O)CNC(=O)c1c([O-])cccc1F ZINC000857424620 704588862 /nfs/dbraw/zinc/58/88/62/704588862.db2.gz DEJXZYLUCASARF-UHFFFAOYSA-N -1 1 324.230 1.213 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C(F)=C3CCC3)nc2n1 ZINC000857685529 704613726 /nfs/dbraw/zinc/61/37/26/704613726.db2.gz UJPFJJNBZKDGEJ-UHFFFAOYSA-N -1 1 305.313 1.716 20 0 DDADMM CCCc1cc(=O)n2[nH]c([N-]C(=O)C(F)=C3CCC3)nc2n1 ZINC000857685529 704613727 /nfs/dbraw/zinc/61/37/27/704613727.db2.gz UJPFJJNBZKDGEJ-UHFFFAOYSA-N -1 1 305.313 1.716 20 0 DDADMM O=C(Cc1nnc[nH]1)[N-]OCc1ccc(C(F)(F)F)cc1 ZINC000866863566 706829605 /nfs/dbraw/zinc/82/96/05/706829605.db2.gz QVQQJVDTQDWXCA-UHFFFAOYSA-N -1 1 300.240 1.614 20 0 DDADMM CCC(CC)C(=O)N1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858341999 704697525 /nfs/dbraw/zinc/69/75/25/704697525.db2.gz JHHGKQGGOLUCBK-UHFFFAOYSA-N -1 1 312.801 1.920 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@@H](Nc2cc(Cl)[n-]c(=O)n2)C1 ZINC000858419420 704709057 /nfs/dbraw/zinc/70/90/57/704709057.db2.gz KVAIYXGHUUUSFJ-SFYZADRCSA-N -1 1 305.787 1.603 20 0 DDADMM CC(C)C1([N-]S(=O)(=O)c2nc[nH]c2Br)CC1 ZINC000867310658 706955954 /nfs/dbraw/zinc/95/59/54/706955954.db2.gz YYBPGRSKLAIKID-UHFFFAOYSA-N -1 1 308.201 1.639 20 0 DDADMM O=S(=O)([N-][C@H]1CCn2ccnc21)c1ccc(Cl)nc1F ZINC000867317372 706958358 /nfs/dbraw/zinc/95/83/58/706958358.db2.gz NAPUPFSKYBNFAT-ZETCQYMHSA-N -1 1 316.745 1.494 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@]2(C)C[C@H]3C[C@H]3C2)c1Cl ZINC000859359916 704877470 /nfs/dbraw/zinc/87/74/70/704877470.db2.gz UJDOAFXLNRXZPC-BZBKMWRSSA-N -1 1 303.815 1.788 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(Cl)cnc1Cl)[C@H](C)O ZINC000867353365 706972173 /nfs/dbraw/zinc/97/21/73/706972173.db2.gz LSSVORPUKPORJB-POYBYMJQSA-N -1 1 313.206 1.826 20 0 DDADMM COCc1nsc([N-]C(=O)c2cc(C(F)(F)F)ncn2)n1 ZINC000867365993 706976627 /nfs/dbraw/zinc/97/66/27/706976627.db2.gz RHBPQKXJIWUAJN-UHFFFAOYSA-N -1 1 319.268 1.746 20 0 DDADMM CCC[C@@H](C)[C@@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867459359 707008464 /nfs/dbraw/zinc/00/84/64/707008464.db2.gz VSRNWUQSEYRUFT-RKDXNWHRSA-N -1 1 324.805 1.950 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)N=S1(=O)CCCC1)c1ccccn1 ZINC000867496731 707019064 /nfs/dbraw/zinc/01/90/64/707019064.db2.gz JZNSLXHQMBWPKW-NSHDSACASA-N -1 1 317.436 1.281 20 0 DDADMM CC[C@H](COCC1CC1)[N-]S(=O)(=O)N=[S@](C)(=O)CC ZINC000867499980 707020103 /nfs/dbraw/zinc/02/01/03/707020103.db2.gz YZAAZVGSLJLNIW-ADLMAVQZSA-N -1 1 312.457 1.144 20 0 DDADMM C[C@H](CNCc1cn(CC(=O)[O-])nn1)Oc1cccc(Cl)c1 ZINC000902323267 710716727 /nfs/dbraw/zinc/71/67/27/710716727.db2.gz BJTLUQVICGDRLD-SNVBAGLBSA-N -1 1 324.768 1.573 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC12CC2)c1nc[nH]c1Br ZINC000867579672 707045701 /nfs/dbraw/zinc/04/57/01/707045701.db2.gz ISDMDGDTWAKBNU-SSDOTTSWSA-N -1 1 320.212 1.783 20 0 DDADMM O=C([N-][C@H]1CCCNC1=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000823293055 705196905 /nfs/dbraw/zinc/19/69/05/705196905.db2.gz UJVFGWJPSSNERY-JTQLQIEISA-N -1 1 304.243 1.451 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)C1(c2ccccc2)CC1 ZINC000823379863 705226295 /nfs/dbraw/zinc/22/62/95/705226295.db2.gz MCIIFIQKKHTQDH-UHFFFAOYSA-N -1 1 312.358 1.994 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)C1(c2ccccc2)CC1 ZINC000823379863 705226296 /nfs/dbraw/zinc/22/62/96/705226296.db2.gz MCIIFIQKKHTQDH-UHFFFAOYSA-N -1 1 312.358 1.994 20 0 DDADMM CC[C@@H](C(=O)NCc1nn[n-]n1)c1ccc(Br)cc1 ZINC000860837913 705296173 /nfs/dbraw/zinc/29/61/73/705296173.db2.gz IYOXIZCDCXSSKB-SNVBAGLBSA-N -1 1 324.182 1.772 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N[C@H](CO)CCF)c2)o1 ZINC000824052125 705363913 /nfs/dbraw/zinc/36/39/13/705363913.db2.gz CDNGNYSSIDBZEO-NSHDSACASA-N -1 1 321.304 1.915 20 0 DDADMM CC(=O)N1CSC[C@H]1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834833892 707133900 /nfs/dbraw/zinc/13/39/00/707133900.db2.gz PBMDDSHJDWYDKN-NSHDSACASA-N -1 1 314.794 1.583 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@H]1CC(=O)N(C2CC2)C1 ZINC000834833500 707134028 /nfs/dbraw/zinc/13/40/28/707134028.db2.gz AYYUGGZUNGRDPS-JTQLQIEISA-N -1 1 308.765 1.673 20 0 DDADMM CC1(CCNC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)CC1 ZINC000875546167 705425150 /nfs/dbraw/zinc/42/51/50/705425150.db2.gz SGWDKVLHFZIQMO-UHFFFAOYSA-N -1 1 307.316 1.497 20 0 DDADMM CCCC[C@H](C)N(C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876300484 705682724 /nfs/dbraw/zinc/68/27/24/705682724.db2.gz BEGRCFHFMYQXHP-NSHDSACASA-N -1 1 322.409 1.975 20 0 DDADMM C[C@@]1(C(N)=O)CCN(C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000876396466 705708432 /nfs/dbraw/zinc/70/84/32/705708432.db2.gz GPWDWMIJEMOPTO-CQSZACIVSA-N -1 1 311.769 1.453 20 0 DDADMM CN(Cc1ccc(-c2nnn[n-]2)o1)Cc1ncc(Cl)n1C ZINC000825961190 705752111 /nfs/dbraw/zinc/75/21/11/705752111.db2.gz BTSOSZAAIQFLEJ-UHFFFAOYSA-N -1 1 307.745 1.479 20 0 DDADMM CN(Cc1ccc(-c2nn[n-]n2)o1)Cc1ncc(Cl)n1C ZINC000825961190 705752117 /nfs/dbraw/zinc/75/21/17/705752117.db2.gz BTSOSZAAIQFLEJ-UHFFFAOYSA-N -1 1 307.745 1.479 20 0 DDADMM Cc1onc(CC(=O)N2CCC3(CCCC3)C2)c1-c1nnn[n-]1 ZINC000826343873 705792901 /nfs/dbraw/zinc/79/29/01/705792901.db2.gz PKOOXGFOBMFZGB-UHFFFAOYSA-N -1 1 316.365 1.498 20 0 DDADMM Cc1onc(CC(=O)N2CCC3(CCCC3)C2)c1-c1nn[n-]n1 ZINC000826343873 705792902 /nfs/dbraw/zinc/79/29/02/705792902.db2.gz PKOOXGFOBMFZGB-UHFFFAOYSA-N -1 1 316.365 1.498 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2C[C@H]2c2ccccc2)c1-c1nnn[n-]1 ZINC000826346291 705794311 /nfs/dbraw/zinc/79/43/11/705794311.db2.gz INQZYESWQBUKDD-NWDGAFQWSA-N -1 1 324.344 1.378 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2C[C@H]2c2ccccc2)c1-c1nn[n-]n1 ZINC000826346291 705794314 /nfs/dbraw/zinc/79/43/14/705794314.db2.gz INQZYESWQBUKDD-NWDGAFQWSA-N -1 1 324.344 1.378 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)CCCc1ccsc1 ZINC000826394970 705798685 /nfs/dbraw/zinc/79/86/85/705798685.db2.gz GWXGOYJVUPEZSM-UHFFFAOYSA-N -1 1 303.351 1.370 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1cnn(C)c1C1CC1)C1CC1 ZINC000863406369 705921029 /nfs/dbraw/zinc/92/10/29/705921029.db2.gz ATSDLVAGBIJMCZ-ZDUSSCGKSA-N -1 1 313.423 1.854 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@H]2COCC[C@H]21 ZINC000827349684 705983004 /nfs/dbraw/zinc/98/30/04/705983004.db2.gz LMNYSNFTIQOCNH-NWDGAFQWSA-N -1 1 318.402 1.659 20 0 DDADMM CON(C)C(=O)[C@@H](C)NC(=O)c1cc2ccccc2cc1[O-] ZINC000863702915 705989621 /nfs/dbraw/zinc/98/96/21/705989621.db2.gz BRCNEPDOSRFGQK-SNVBAGLBSA-N -1 1 302.330 1.684 20 0 DDADMM C[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C2(CCC2)CO1 ZINC000864059532 706055493 /nfs/dbraw/zinc/05/54/93/706055493.db2.gz LCNNIVIBCQUFCE-JTQLQIEISA-N -1 1 303.362 1.843 20 0 DDADMM Cn1cnc(CCC[N-]S(=O)(=O)c2cc3ccccc3o2)n1 ZINC000827925406 706089027 /nfs/dbraw/zinc/08/90/27/706089027.db2.gz VXLDBUCHUIOAJQ-UHFFFAOYSA-N -1 1 320.374 1.472 20 0 DDADMM CCCONC(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000828235171 706143654 /nfs/dbraw/zinc/14/36/54/706143654.db2.gz HORJFKUQQBMEQW-UHFFFAOYSA-N -1 1 317.367 1.530 20 0 DDADMM CCn1nnc(C)c1CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000877775319 706205731 /nfs/dbraw/zinc/20/57/31/706205731.db2.gz LDOPTIDBFXFVEB-GFCCVEGCSA-N -1 1 319.331 1.249 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(O)cc1 ZINC000872453279 707419638 /nfs/dbraw/zinc/41/96/38/707419638.db2.gz WTLCQOCJHSIXMW-LJQANCHMSA-N -1 1 320.436 1.623 20 0 DDADMM O=C(COC1CC1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000878045272 706274000 /nfs/dbraw/zinc/27/40/00/706274000.db2.gz HRMSRACMUSAZLJ-UHFFFAOYSA-N -1 1 303.358 1.993 20 0 DDADMM O=c1[n-]c(CN(CCO)c2ccccc2)nc2c1COCC2 ZINC000878181813 706317596 /nfs/dbraw/zinc/31/75/96/706317596.db2.gz ZHSXXWCBPJUSMN-UHFFFAOYSA-N -1 1 301.346 1.254 20 0 DDADMM O=c1[n-]c(CN2C[C@H](O)Cc3ccccc32)nc2c1COCC2 ZINC000878528025 706417467 /nfs/dbraw/zinc/41/74/67/706417467.db2.gz NNOIGGWRONGILC-GFCCVEGCSA-N -1 1 313.357 1.179 20 0 DDADMM CCc1ccc(F)cc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000865595632 706472589 /nfs/dbraw/zinc/47/25/89/706472589.db2.gz NRKIUBWNNYZEEQ-LBPRGKRZSA-N -1 1 305.313 1.115 20 0 DDADMM CCN(C#N)CCN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000879000748 706558526 /nfs/dbraw/zinc/55/85/26/706558526.db2.gz WPHZJGPFLQWMDX-MNOVXSKESA-N -1 1 306.332 1.178 20 0 DDADMM CSC(C)(C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830790134 706580021 /nfs/dbraw/zinc/58/00/21/706580021.db2.gz OEHGIABUDRPLCA-LLVKDONJSA-N -1 1 312.357 1.798 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCCOC2)C1 ZINC000830826522 706587835 /nfs/dbraw/zinc/58/78/35/706587835.db2.gz FAUVLHWBCHANMF-BXKDBHETSA-N -1 1 308.300 1.083 20 0 DDADMM CCO[C@@H]1C[C@@H]1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830826416 706587882 /nfs/dbraw/zinc/58/78/82/706587882.db2.gz CEIMXVBANIYEKM-SBMIAAHKSA-N -1 1 308.300 1.081 20 0 DDADMM CCCCO[C@@H](C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830829070 706588443 /nfs/dbraw/zinc/58/84/43/706588443.db2.gz VDWVVCGWCPLQCK-GWCFXTLKSA-N -1 1 324.343 1.861 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)c(C)[nH]1 ZINC000830834522 706589192 /nfs/dbraw/zinc/58/91/92/706589192.db2.gz ZENSDIRZJOQQSB-CYBMUJFWSA-N -1 1 317.311 1.915 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1occc1C(=O)OC)c1ccncc1 ZINC000866864748 706829316 /nfs/dbraw/zinc/82/93/16/706829316.db2.gz SOXVMCGLRTUOFJ-LBPRGKRZSA-N -1 1 324.358 1.891 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1(C)C ZINC000866938369 706850499 /nfs/dbraw/zinc/85/04/99/706850499.db2.gz SEWGZNJXGDUFQM-IUCAKERBSA-N -1 1 306.334 1.452 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(C)cc1OC ZINC000866953199 706854882 /nfs/dbraw/zinc/85/48/82/706854882.db2.gz OGFPOWKJZXWQTN-LJQANCHMSA-N -1 1 320.436 1.456 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)N=S2(=O)CCCC2)CCCS1 ZINC000866954246 706854912 /nfs/dbraw/zinc/85/49/12/706854912.db2.gz DOGYGGGWPXMHDH-SNVBAGLBSA-N -1 1 312.482 1.368 20 0 DDADMM CCc1cc(CNC(=O)N(C)[C@@H](C(=O)[O-])c2ccccc2)n[nH]1 ZINC000908983393 712908407 /nfs/dbraw/zinc/90/84/07/712908407.db2.gz PUZMDYBUSDEIOY-CQSZACIVSA-N -1 1 316.361 1.939 20 0 DDADMM CC[C@@H](O)CC[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000866968583 706859100 /nfs/dbraw/zinc/85/91/00/706859100.db2.gz PZPWPNAPRDJHOS-NSPYISDASA-N -1 1 320.436 1.139 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2nc[nH]c2Br)CCCOC1 ZINC000867051119 706882447 /nfs/dbraw/zinc/88/24/47/706882447.db2.gz AWIKDPLLQOPMGP-SECBINFHSA-N -1 1 324.200 1.020 20 0 DDADMM O=C([O-])CN(C(=O)[C@H]1CCc2[nH]cnc2C1)C1CCCCC1 ZINC000909009730 712915560 /nfs/dbraw/zinc/91/55/60/712915560.db2.gz VQQCGNQMOKMWBR-NSHDSACASA-N -1 1 305.378 1.761 20 0 DDADMM COc1ccc2[nH]c3c(c2c1)CCN(Cc1nc(=O)n(C)[n-]1)C3 ZINC000880369504 706954871 /nfs/dbraw/zinc/95/48/71/706954871.db2.gz FLMLGFHKMFQBOL-UHFFFAOYSA-N -1 1 313.361 1.157 20 0 DDADMM CSc1cc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)ccn1 ZINC000832771047 706980165 /nfs/dbraw/zinc/98/01/65/706980165.db2.gz WWGHDJCFLNJUAQ-NSHDSACASA-N -1 1 323.418 1.425 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc([O-])cc2F)C[C@H]1N1CCOCC1 ZINC000880497302 706995767 /nfs/dbraw/zinc/99/57/67/706995767.db2.gz YTIACRKRRUTWKU-HUUCEWRRSA-N -1 1 322.380 1.760 20 0 DDADMM O=C([O-])CN(C(=O)N[C@H]1CCc2nc[nH]c2C1)C1CCCC1 ZINC000909021539 712919059 /nfs/dbraw/zinc/91/90/59/712919059.db2.gz XSDLMDSOVZWWRF-JTQLQIEISA-N -1 1 306.366 1.306 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2nc[nH]c2Br)[C@H](C)O1 ZINC000867609347 707052953 /nfs/dbraw/zinc/05/29/53/707052953.db2.gz QGOFBWXXDQUYLP-DSYKOEDSSA-N -1 1 324.200 1.016 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@H]2C(F)(F)F)nc1Cl ZINC000867693242 707076332 /nfs/dbraw/zinc/07/63/32/707076332.db2.gz ZMZTVGMGAPXMCF-RFZPGFLSSA-N -1 1 303.693 1.303 20 0 DDADMM CCOC(=O)[C@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000834862760 707142733 /nfs/dbraw/zinc/14/27/33/707142733.db2.gz GUXAPUTXCCPFGX-LBPRGKRZSA-N -1 1 314.341 1.966 20 0 DDADMM Cc1[n-]n(-c2ccc(S(=O)(=O)C(F)F)cc2)c(=O)c1F ZINC000871949427 707252108 /nfs/dbraw/zinc/25/21/08/707252108.db2.gz ALOADJTYTVGUEB-SECBINFHSA-N -1 1 306.265 1.744 20 0 DDADMM CC(C)(C)c1nc(CN2C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C2)n[nH]1 ZINC000872167997 707312180 /nfs/dbraw/zinc/31/21/80/707312180.db2.gz NKTIWDIOSZCEPQ-YUMQZZPRSA-N -1 1 320.315 1.797 20 0 DDADMM O=S(=O)([N-]c1ccn(CCF)n1)N1CCc2ccccc2C1 ZINC000872408033 707401141 /nfs/dbraw/zinc/40/11/41/707401141.db2.gz KNNOXUPROZRDLU-UHFFFAOYSA-N -1 1 324.381 1.568 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCc1cccnc1 ZINC000872432729 707408035 /nfs/dbraw/zinc/40/80/35/707408035.db2.gz DTXSWABNSQPVHU-IBGZPJMESA-N -1 1 319.452 1.355 20 0 DDADMM COC[C@@](C)([N-]S(=O)(=O)CC1CC2(CCC2)C1)C(=O)OC ZINC000872460389 707423842 /nfs/dbraw/zinc/42/38/42/707423842.db2.gz FCNAPXXUURYHQH-CYBMUJFWSA-N -1 1 319.423 1.064 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)Cc1cnn(-c2ccccc2)c1 ZINC000836901865 707546815 /nfs/dbraw/zinc/54/68/15/707546815.db2.gz KEEZMYJOIGRDBQ-HNNXBMFYSA-N -1 1 303.362 1.480 20 0 DDADMM CCS(=O)(=O)C1CN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000836908170 707549271 /nfs/dbraw/zinc/54/92/71/707549271.db2.gz USHSNBNETVAELW-UHFFFAOYSA-N -1 1 303.767 1.305 20 0 DDADMM O=S(=O)(N[C@@H]1CCCN2CCCC[C@@H]12)c1cccnc1F ZINC000882336708 707590230 /nfs/dbraw/zinc/59/02/30/707590230.db2.gz QTYUGKJRAKGXQQ-NEPJUHHUSA-N -1 1 313.398 1.516 20 0 DDADMM Cn1ccc(CCNC(=O)NCc2ccc([O-])c(Cl)c2)n1 ZINC000872970729 707676973 /nfs/dbraw/zinc/67/69/73/707676973.db2.gz ZPBGSLUWSOWYFW-UHFFFAOYSA-N -1 1 308.769 1.821 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2(CC3CC3)CC2)c1 ZINC000882572692 707704317 /nfs/dbraw/zinc/70/43/17/707704317.db2.gz PLDDMTZQSKDEGM-UHFFFAOYSA-N -1 1 313.375 1.925 20 0 DDADMM CCN1CC[C@@H]1C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000882766399 707783393 /nfs/dbraw/zinc/78/33/93/707783393.db2.gz FBZRUANCQHJZPA-SECBINFHSA-N -1 1 320.361 1.346 20 0 DDADMM CCOC(CN(CC)C(=O)c1cnc(C2CC2)[n-]c1=O)OCC ZINC000909286014 712982106 /nfs/dbraw/zinc/98/21/06/712982106.db2.gz KYPIPYPMKDMNIF-UHFFFAOYSA-N -1 1 323.393 1.921 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H]1CC(=O)N(CC2CC2)C1 ZINC000882933116 707859471 /nfs/dbraw/zinc/85/94/71/707859471.db2.gz WXXZSNSPOAQDIR-LBPRGKRZSA-N -1 1 322.792 1.715 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)c1ccccc1F ZINC000909360406 713000085 /nfs/dbraw/zinc/00/00/85/713000085.db2.gz PZNDAJYUZDDGCW-BREBYQMCSA-N -1 1 303.293 1.594 20 0 DDADMM CCC(CC)(CC(=O)[O-])NC(=O)CN(C)[C@@H]1CCSC1 ZINC000909374497 713002929 /nfs/dbraw/zinc/00/29/29/713002929.db2.gz CRBHLPORDLKURV-LLVKDONJSA-N -1 1 302.440 1.573 20 0 DDADMM CCC(CC)(CC(=O)[O-])NC(=O)CN(C)[C@H]1CCSC1 ZINC000909374499 713003078 /nfs/dbraw/zinc/00/30/78/713003078.db2.gz CRBHLPORDLKURV-NSHDSACASA-N -1 1 302.440 1.573 20 0 DDADMM CO[C@@]1(C(=O)Nc2nc(-c3ccc(C)o3)n[nH]2)CCSC1 ZINC000897003760 708204219 /nfs/dbraw/zinc/20/42/19/708204219.db2.gz BYMDFEOIMYLEIM-ZDUSSCGKSA-N -1 1 308.363 1.834 20 0 DDADMM C[C@@H](C[S@](C)=O)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897371759 708307592 /nfs/dbraw/zinc/30/75/92/708307592.db2.gz DVQIWXRONIJPER-FPVGNUTFSA-N -1 1 307.371 1.748 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CCc2ccc(C(=O)[O-])cc2C1 ZINC000909454496 713022585 /nfs/dbraw/zinc/02/25/85/713022585.db2.gz KVAJETFOHOLEAX-HNNXBMFYSA-N -1 1 302.374 1.754 20 0 DDADMM O=C([O-])CC[C@H]1CCCN(C(=O)[C@@H]2CCc3nc[nH]c3C2)C1 ZINC000909475994 713028004 /nfs/dbraw/zinc/02/80/04/713028004.db2.gz CHSZKDMXNWRUSR-VXGBXAGGSA-N -1 1 305.378 1.618 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccns1)C(=O)N1CCCC[C@H]1C ZINC000884996845 708437868 /nfs/dbraw/zinc/43/78/68/708437868.db2.gz BZRFCCVALHFEJP-NXEZZACHSA-N -1 1 317.436 1.211 20 0 DDADMM CCCCOCCOCC[N-]S(=O)(=O)c1ccns1 ZINC000885037771 708451693 /nfs/dbraw/zinc/45/16/93/708451693.db2.gz ZWBGANNHPCPGGA-UHFFFAOYSA-N -1 1 308.425 1.255 20 0 DDADMM CC(C)[C@@H]1OCCC[C@@H]1C[N-]S(=O)(=O)c1ccns1 ZINC000885043048 708453267 /nfs/dbraw/zinc/45/32/67/708453267.db2.gz DOGYVNYYZXQLNX-PWSUYJOCSA-N -1 1 304.437 1.873 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]C(CF)CF)c1ccc(F)cc1 ZINC000885413331 708538994 /nfs/dbraw/zinc/53/89/94/708538994.db2.gz HUBBEWLNSPKVJT-LBPRGKRZSA-N -1 1 311.325 1.740 20 0 DDADMM CCOC(=O)c1ccc(CS(=O)(=O)[N-]C(CF)CF)cc1 ZINC000885414195 708539086 /nfs/dbraw/zinc/53/90/86/708539086.db2.gz UPPNPGDLMPIZCN-UHFFFAOYSA-N -1 1 321.345 1.590 20 0 DDADMM COC(=O)c1c(NC(=O)c2cc(F)ccc2[O-])nc2n1CCC2 ZINC000912578125 713039084 /nfs/dbraw/zinc/03/90/84/713039084.db2.gz WLQSFZLOOLGTDW-UHFFFAOYSA-N -1 1 319.292 1.713 20 0 DDADMM C[C@H](NS(C)(=O)=O)C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885676440 708595456 /nfs/dbraw/zinc/59/54/56/708595456.db2.gz OFPCAVQSHUOBMY-YFKPBYRVSA-N -1 1 310.734 1.061 20 0 DDADMM O=C(COC[C@@H]1CCCO1)NCCc1c(F)cc([O-])cc1F ZINC000886264583 708731914 /nfs/dbraw/zinc/73/19/14/708731914.db2.gz CUUIEYFROPJENC-NSHDSACASA-N -1 1 315.316 1.525 20 0 DDADMM CC(=O)NC(C)(C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886265130 708731925 /nfs/dbraw/zinc/73/19/25/708731925.db2.gz ZCRIBQPGTNWZFC-UHFFFAOYSA-N -1 1 300.305 1.244 20 0 DDADMM COC(=O)N[C@@H](C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266571 708732460 /nfs/dbraw/zinc/73/24/60/708732460.db2.gz QJVGPUDYHXVDHA-ZETCQYMHSA-N -1 1 302.277 1.074 20 0 DDADMM CC(C)(CNC(=O)c1cnc(C2CC2)[n-]c1=O)n1cccn1 ZINC000912624883 713054370 /nfs/dbraw/zinc/05/43/70/713054370.db2.gz CCKCTPSTZUUHQR-UHFFFAOYSA-N -1 1 301.350 1.421 20 0 DDADMM CC[C@@H](NC(=O)NCCc1c(F)cc([O-])cc1F)C(=O)NC ZINC000927787292 713056113 /nfs/dbraw/zinc/05/61/13/713056113.db2.gz PWEOKYBOOBZTAF-GFCCVEGCSA-N -1 1 315.320 1.037 20 0 DDADMM Cc1ccc(SCC(=O)NCc2nc([O-])cc(=O)[nH]2)c(C)c1 ZINC000898750570 708854718 /nfs/dbraw/zinc/85/47/18/708854718.db2.gz HJXVPOOHODZTIN-UHFFFAOYSA-N -1 1 319.386 1.913 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)[C@@](C)(CC(=O)[O-])c1ccsc1 ZINC000887316709 709015553 /nfs/dbraw/zinc/01/55/53/709015553.db2.gz XRRLUEBMIXBAIE-DOMZBBRYSA-N -1 1 310.419 1.691 20 0 DDADMM CC[C@@H](O)[C@@H](C)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000912732218 713077373 /nfs/dbraw/zinc/07/73/73/713077373.db2.gz VJLJRXRZNGAVNL-CHWFTXMASA-N -1 1 324.343 1.309 20 0 DDADMM C[C@H](O)[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccccc1 ZINC000912734677 713077897 /nfs/dbraw/zinc/07/78/97/713077897.db2.gz MIXQXHLFSSUPKT-HZMBPMFUSA-N -1 1 313.357 1.912 20 0 DDADMM O=c1c2c(F)ccc([O-])c2cnn1C1=N[C@@H]2CCCC[C@@H]2N1 ZINC000899358376 709066805 /nfs/dbraw/zinc/06/68/05/709066805.db2.gz WVTCADCYTVWVQC-PHIMTYICSA-N -1 1 302.309 1.360 20 0 DDADMM O=C(NCCN1CCNC1=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000899472430 709090225 /nfs/dbraw/zinc/09/02/25/709090225.db2.gz QWHDEJFUUGWAIC-UHFFFAOYSA-N -1 1 317.267 1.166 20 0 DDADMM C[C@@H]1C[C@@]2(CC[C@H](CNC(=O)c3cc(F)ccc3[O-])O2)CO1 ZINC000899478638 709093671 /nfs/dbraw/zinc/09/36/71/709093671.db2.gz JCYGMCWBMFBDMS-SIVJFFJCSA-N -1 1 309.337 1.988 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cccc3c2OCC3)CC1 ZINC000899537823 709108182 /nfs/dbraw/zinc/10/81/82/709108182.db2.gz DMXKYXOAWVOGSQ-UHFFFAOYSA-N -1 1 318.373 1.243 20 0 DDADMM CCCCN(CC(N)=O)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900194916 709479338 /nfs/dbraw/zinc/47/93/38/709479338.db2.gz LJTRWFABCOKKJF-UHFFFAOYSA-N -1 1 319.336 1.807 20 0 DDADMM O=C([O-])CC[C@H]1CCCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC000909480208 709482494 /nfs/dbraw/zinc/48/24/94/709482494.db2.gz AIHLQGHWUHPRRY-GFCCVEGCSA-N -1 1 308.382 1.621 20 0 DDADMM CN(C)Cc1ccc(C(=O)N[C@]2(C)CCCC[C@H]2C(=O)[O-])cn1 ZINC000909533525 709504934 /nfs/dbraw/zinc/50/49/34/709504934.db2.gz OBRDGZDYQDROIO-WMLDXEAASA-N -1 1 319.405 1.907 20 0 DDADMM CCNC(=O)CN(CC)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900301743 709529236 /nfs/dbraw/zinc/52/92/36/709529236.db2.gz ZHXKMGRJELTMNY-UHFFFAOYSA-N -1 1 319.336 1.678 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1ccc(C)nc1)c1nn[n-]n1 ZINC000912862117 713110620 /nfs/dbraw/zinc/11/06/20/713110620.db2.gz IUNOCCIABOLSQW-FYJFLYSWSA-N -1 1 318.406 1.527 20 0 DDADMM Cc1ccsc1CCNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909601542 709536676 /nfs/dbraw/zinc/53/66/76/709536676.db2.gz JFAPZNAWKCGYAO-LBPRGKRZSA-N -1 1 310.419 1.512 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(C(=O)CN(C)[C@H]2CCSC2)C1 ZINC000909697438 709583769 /nfs/dbraw/zinc/58/37/69/709583769.db2.gz FYTMJHUYJPZVLR-WFASDCNBSA-N -1 1 314.451 1.383 20 0 DDADMM Cc1nnc(C[N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)[nH]1 ZINC000889698919 709586511 /nfs/dbraw/zinc/58/65/11/709586511.db2.gz YITGIFAIJYNVHW-UHFFFAOYSA-N -1 1 302.231 1.800 20 0 DDADMM O=C([O-])C[C@H]1CCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000909734677 709600464 /nfs/dbraw/zinc/60/04/64/709600464.db2.gz WCSGDPORNYYTGT-GFCCVEGCSA-N -1 1 300.318 1.551 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N1[C@@H](C)CC[C@H]1C(=O)[O-] ZINC000900463413 709607392 /nfs/dbraw/zinc/60/73/92/709607392.db2.gz VZJGGURISXLKLX-YUTCNCBUSA-N -1 1 320.389 1.939 20 0 DDADMM CCc1cc2c(Cn3cc(COC)nn3)cc(=O)oc2cc1[O-] ZINC000900506235 709627391 /nfs/dbraw/zinc/62/73/91/709627391.db2.gz LDTRZTAHOVYQBJ-UHFFFAOYSA-N -1 1 315.329 1.847 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2C[C@H](C)O[C@H]2C)[n-]c1=O ZINC000889786298 709635411 /nfs/dbraw/zinc/63/54/11/709635411.db2.gz LHPZCIPEOLVLQF-YFKTTZPYSA-N -1 1 321.377 1.668 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2C[C@@H](C)CO2)[n-]c1=O ZINC000889786583 709635739 /nfs/dbraw/zinc/63/57/39/709635739.db2.gz MUKNIAWPVGCZAT-VWYCJHECSA-N -1 1 307.350 1.279 20 0 DDADMM O=C([O-])[C@H](Cc1cccc(Cl)c1)NC(=O)CCc1cnc[nH]1 ZINC000909815770 709636201 /nfs/dbraw/zinc/63/62/01/709636201.db2.gz KLCVIVRKFUONEC-ZDUSSCGKSA-N -1 1 321.764 1.808 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccc(F)cn2)[n-]c1=O ZINC000889787729 709636273 /nfs/dbraw/zinc/63/62/73/709636273.db2.gz ONLJRCBXQRWXJT-NSHDSACASA-N -1 1 318.308 1.702 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H](O)C(C)(C)C)[n-]c1=O ZINC000889789404 709636797 /nfs/dbraw/zinc/63/67/97/709636797.db2.gz FAECOGAYLMWGMS-GXSJLCMTSA-N -1 1 309.366 1.261 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C[C@](C)(O)C2CC2)[n-]c1=O ZINC000889790230 709637313 /nfs/dbraw/zinc/63/73/13/709637313.db2.gz OZYPIDVXHFGWED-BZNIZROVSA-N -1 1 321.377 1.405 20 0 DDADMM CC[C@@H](C)OCC(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889790646 709637545 /nfs/dbraw/zinc/63/75/45/709637545.db2.gz TXDXNZUZACAWOC-GHMZBOCLSA-N -1 1 309.366 1.669 20 0 DDADMM COC[C@H](C)CC(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889791487 709637983 /nfs/dbraw/zinc/63/79/83/709637983.db2.gz HTRLSFPAXODHLA-MNOVXSKESA-N -1 1 309.366 1.527 20 0 DDADMM CC[S@](=O)CCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900647335 709693754 /nfs/dbraw/zinc/69/37/54/709693754.db2.gz OXFKOIBUFGVKHA-NRFANRHFSA-N -1 1 310.350 1.578 20 0 DDADMM CN(CC(=O)N[C@@H]1CCC[C@]1(C)C(=O)[O-])[C@H]1CCSC1 ZINC000909994028 709726873 /nfs/dbraw/zinc/72/68/73/709726873.db2.gz TVGJZTZGIFTNTD-WDMOLILDSA-N -1 1 300.424 1.183 20 0 DDADMM O=C([O-])c1ccc2c(c1)CN(C(=O)[C@@H]1CCc3[nH]cnc3C1)C2 ZINC000910040017 709745825 /nfs/dbraw/zinc/74/58/25/709745825.db2.gz MADBNCHCLYATLZ-SNVBAGLBSA-N -1 1 311.341 1.755 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CCCCC[C@@H]3C(=O)[O-])n[nH]2)c1 ZINC000910047225 709751383 /nfs/dbraw/zinc/75/13/83/709751383.db2.gz VPOKGUHZPBQTML-CQSZACIVSA-N -1 1 316.361 1.885 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000910073221 709763854 /nfs/dbraw/zinc/76/38/54/709763854.db2.gz YYQDYDMKBWQNMH-ZETCQYMHSA-N -1 1 316.390 1.849 20 0 DDADMM CCC[C@]1(C(=O)[O-])CCCN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000910119159 709778419 /nfs/dbraw/zinc/77/84/19/709778419.db2.gz WVAOHWYHZOQLFB-OAHLLOKOSA-N -1 1 302.334 1.817 20 0 DDADMM CC1(C)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]2CCCO[C@H]21 ZINC000900837147 709784370 /nfs/dbraw/zinc/78/43/70/709784370.db2.gz BAZXLWKTDMPOQK-CYZMBNFOSA-N -1 1 317.389 1.993 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](O)[C@@H](F)C3)cnc2n1 ZINC000900839597 709785666 /nfs/dbraw/zinc/78/56/66/709785666.db2.gz VHVDPVIXBBMOHM-RYUDHWBXSA-N -1 1 305.309 1.189 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](O)[C@@H](F)C3)c[n-]c2n1 ZINC000900839597 709785667 /nfs/dbraw/zinc/78/56/67/709785667.db2.gz VHVDPVIXBBMOHM-RYUDHWBXSA-N -1 1 305.309 1.189 20 0 DDADMM CO[C@H](CC(C)C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910171513 709796781 /nfs/dbraw/zinc/79/67/81/709796781.db2.gz XADSDXRUNUMQEA-QWHCGFSZSA-N -1 1 300.399 1.055 20 0 DDADMM CC(C)C[C@H](NC(=O)CN(C)CCc1ccccc1)C(=O)[O-] ZINC000901456305 710045877 /nfs/dbraw/zinc/04/58/77/710045877.db2.gz FCQWRFPGGFQYNU-HNNXBMFYSA-N -1 1 306.406 1.776 20 0 DDADMM COc1cccc([C@@H](C(=O)[O-])N(C)C(=O)[C@@H]2CCCN2C)c1 ZINC000910771458 710070234 /nfs/dbraw/zinc/07/02/34/710070234.db2.gz JNRYZUURQPUKMX-KBPBESRZSA-N -1 1 306.362 1.374 20 0 DDADMM O=C([O-])c1cccc(CNCc2cc3c(cn2)OCCO3)c1 ZINC000901572461 710079308 /nfs/dbraw/zinc/07/93/08/710079308.db2.gz GBKXUZDYTYKGDF-UHFFFAOYSA-N -1 1 300.314 1.841 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CC[C@H]2[C@@H](C1)[C@H]2C(=O)[O-] ZINC000910852651 710096322 /nfs/dbraw/zinc/09/63/22/710096322.db2.gz UWSZIYAUFOVTRD-UXLLHSPISA-N -1 1 316.401 1.340 20 0 DDADMM CCC(CC)(CNC(=O)C(C)(C)CN1CCOCC1)C(=O)[O-] ZINC000901691673 710119671 /nfs/dbraw/zinc/11/96/71/710119671.db2.gz BMBXPUMNTKJIJV-UHFFFAOYSA-N -1 1 314.426 1.352 20 0 DDADMM CCn1cc(Cl)c([N-]S(=O)(=O)C[C@H](OC)C2CC2)n1 ZINC000901717256 710131093 /nfs/dbraw/zinc/13/10/93/710131093.db2.gz JUIFGUIXRVXKEI-JTQLQIEISA-N -1 1 307.803 1.723 20 0 DDADMM CC(C)CN1CCN(C(=O)c2coc(/C=C\C(=O)[O-])c2)CC1 ZINC000901740242 710136101 /nfs/dbraw/zinc/13/61/01/710136101.db2.gz JEAZYACPJPXBSF-ARJAWSKDSA-N -1 1 306.362 1.791 20 0 DDADMM CC(C)CS(=O)(=O)CC(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910982345 710140465 /nfs/dbraw/zinc/14/04/65/710140465.db2.gz YCGDQTBRBFLNNE-UHFFFAOYSA-N -1 1 307.318 1.680 20 0 DDADMM CCOC(=O)/C=C/CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000931568433 714130609 /nfs/dbraw/zinc/13/06/09/714130609.db2.gz GMFXUYBGKPOMJH-ITKZLYELSA-N -1 1 308.300 1.249 20 0 DDADMM COc1cc2c(cc1CN1CC[C@](O)(C(=O)[O-])C1)O[C@H](C)C2 ZINC000901900239 710168568 /nfs/dbraw/zinc/16/85/68/710168568.db2.gz DMCJMYBODQBTQD-QLJPJBMISA-N -1 1 307.346 1.040 20 0 DDADMM C[C@@H]1CN(Cc2ccc(N3CCOCC3)cc2)C[C@H](C(=O)[O-])O1 ZINC000901910030 710171203 /nfs/dbraw/zinc/17/12/03/710171203.db2.gz AIQAHBIFMDMRRH-CZUORRHYSA-N -1 1 320.389 1.197 20 0 DDADMM O=C([O-])c1ccc(/C=C/C(=O)N2CCN(C3CCC3)CC2)o1 ZINC000901921466 710176107 /nfs/dbraw/zinc/17/61/07/710176107.db2.gz BZOBIEUIEPXUTF-FNORWQNLSA-N -1 1 304.346 1.688 20 0 DDADMM Cc1nn(C)c(C)c1CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891627089 710252152 /nfs/dbraw/zinc/25/21/52/710252152.db2.gz SHJGXHAZBPPEJL-UHFFFAOYSA-N -1 1 315.377 1.593 20 0 DDADMM O=C([O-])[C@@H]1c2ccccc2OCCN1C(=O)CCc1cnc[nH]1 ZINC000911057035 710613985 /nfs/dbraw/zinc/61/39/85/710613985.db2.gz JWTQDCNNHBLPHD-HNNXBMFYSA-N -1 1 315.329 1.389 20 0 DDADMM O=C([O-])Cn1cc(CNCCCSc2ccc(F)cc2)nn1 ZINC000902129336 710625784 /nfs/dbraw/zinc/62/57/84/710625784.db2.gz MFDSFYDYUODWQR-UHFFFAOYSA-N -1 1 324.381 1.774 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CC[C@@H]1C(=O)[O-] ZINC000902172211 710646883 /nfs/dbraw/zinc/64/68/83/710646883.db2.gz BTOVYJWEFWGWMM-MFKMUULPSA-N -1 1 314.345 1.655 20 0 DDADMM CN(C)[C@H](CNC(=O)C12CC(C(=O)[O-])(C1)C2)c1ccc(F)cc1 ZINC000911194579 710678346 /nfs/dbraw/zinc/67/83/46/710678346.db2.gz SLQDUCAIUWPSAT-NVPAJSRCSA-N -1 1 320.364 1.800 20 0 DDADMM O=C([O-])[C@@H]1CC[C@@H]1C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000911325095 710742509 /nfs/dbraw/zinc/74/25/09/710742509.db2.gz HGSSQAUIWJQJMN-GRYCIOLGSA-N -1 1 318.377 1.499 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000911325900 710742751 /nfs/dbraw/zinc/74/27/51/710742751.db2.gz WOYZOFMUIBSQCS-MWLCHTKSSA-N -1 1 306.366 1.499 20 0 DDADMM CC1CCN(CC(=O)N[C@](C)(CC(=O)[O-])c2ccccn2)CC1 ZINC000911616943 710882272 /nfs/dbraw/zinc/88/22/72/710882272.db2.gz SKKRVSOIUVQFAA-QGZVFWFLSA-N -1 1 319.405 1.620 20 0 DDADMM COC(=O)[C@@]1(C)CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1C ZINC000913431517 713214419 /nfs/dbraw/zinc/21/44/19/713214419.db2.gz YKLPDKCYIJDPEZ-FVMDXXJSSA-N -1 1 319.361 1.331 20 0 DDADMM CN(C)c1ccnc(CNC(=O)[C@]2(C(=O)[O-])CC=CCC2)c1 ZINC000902814003 710913138 /nfs/dbraw/zinc/91/31/38/710913138.db2.gz LCTYOJHEHVDPDJ-INIZCTEOSA-N -1 1 303.362 1.575 20 0 DDADMM COCc1nc(NC[C@@H](O)Cc2cccc(F)c2)cc(=O)[n-]1 ZINC000894010465 710924761 /nfs/dbraw/zinc/92/47/61/710924761.db2.gz VEUPOBPBLSHEGS-LBPRGKRZSA-N -1 1 307.325 1.483 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cc(C)nnc1N(C)C)OC ZINC000903176941 711055327 /nfs/dbraw/zinc/05/53/27/711055327.db2.gz VNJKNROUYPZUET-JTQLQIEISA-N -1 1 302.400 1.018 20 0 DDADMM Cn1nc(C2CC2)cc1S(=O)(=O)[N-]c1ccc2nccn2c1 ZINC000903606670 711221387 /nfs/dbraw/zinc/22/13/87/711221387.db2.gz NODWLCFKFKYXFS-UHFFFAOYSA-N -1 1 317.374 1.746 20 0 DDADMM CSc1ccncc1[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC000903609843 711222481 /nfs/dbraw/zinc/22/24/81/711222481.db2.gz GVJSLYHFBMRCOV-GOSISDBHSA-N -1 1 324.453 1.035 20 0 DDADMM C[C@@H](CCC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC000913494414 713237169 /nfs/dbraw/zinc/23/71/69/713237169.db2.gz AEIPBCOOJQYFOX-GXTWGEPZSA-N -1 1 315.377 1.684 20 0 DDADMM O=C(N[C@@H]([C@@H]1CCCO1)C1(CO)CCC1)c1cncc([O-])c1 ZINC000912219188 711228203 /nfs/dbraw/zinc/22/82/03/711228203.db2.gz SISNABPYTZDCNR-KBPBESRZSA-N -1 1 306.362 1.227 20 0 DDADMM O=C(c1cc(F)cc(Cl)c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496122 713238297 /nfs/dbraw/zinc/23/82/97/713238297.db2.gz SPUKFDVLYRBCMO-SNVBAGLBSA-N -1 1 311.704 1.206 20 0 DDADMM CN(C)C(=O)c1ccc(CN[C@@H](C(=O)[O-])c2ccc(F)cc2)[nH]1 ZINC000903709098 711249275 /nfs/dbraw/zinc/24/92/75/711249275.db2.gz BILGFZYPIFMQAM-CQSZACIVSA-N -1 1 319.336 1.771 20 0 DDADMM O=C([C@H](F)CC1CCCCC1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913497960 713239177 /nfs/dbraw/zinc/23/91/77/713239177.db2.gz NJQQFVKVMJSFRJ-VXGBXAGGSA-N -1 1 311.361 1.408 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)Nc1ccc(OC)cc1 ZINC000903712509 711250981 /nfs/dbraw/zinc/25/09/81/711250981.db2.gz YYDVDJOFANSZHR-LBPRGKRZSA-N -1 1 324.377 1.445 20 0 DDADMM CN(Cc1ncc(Br)cc1[O-])[C@@]1(CO)CCOC1 ZINC000895538085 711537137 /nfs/dbraw/zinc/53/71/37/711537137.db2.gz IFPOYDZRSVTUKK-GFCCVEGCSA-N -1 1 317.183 1.133 20 0 DDADMM CN(C(=O)c1ccc2ccc(O)cc2c1[O-])[C@H]1CCN(C)C1=O ZINC000896495879 711733106 /nfs/dbraw/zinc/73/31/06/711733106.db2.gz HIMFGDMKCZIFDN-AWEZNQCLSA-N -1 1 314.341 1.554 20 0 DDADMM CN1CC[C@@H]1CNC(=O)[C@](C)(CC(=O)[O-])c1ccc(F)cc1 ZINC000905130418 711945631 /nfs/dbraw/zinc/94/56/31/711945631.db2.gz DUPZUCILKRLXRI-CZUORRHYSA-N -1 1 308.353 1.378 20 0 DDADMM CCOc1ccc(CC(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000913742191 713291657 /nfs/dbraw/zinc/29/16/57/713291657.db2.gz MGFOIKNDXDSPMG-UHFFFAOYSA-N -1 1 315.377 1.547 20 0 DDADMM O=C(CCc1ccccc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913742290 713291840 /nfs/dbraw/zinc/29/18/40/713291840.db2.gz SSCUKJFJHWFBPQ-UHFFFAOYSA-N -1 1 303.341 1.678 20 0 DDADMM CSc1ccccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742500 713291914 /nfs/dbraw/zinc/29/19/14/713291914.db2.gz ZPUANLFBVAGAKW-UHFFFAOYSA-N -1 1 303.391 1.941 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)nn1C ZINC000913743905 713292544 /nfs/dbraw/zinc/29/25/44/713292544.db2.gz QIIWIQSFZUEQLZ-UHFFFAOYSA-N -1 1 303.370 1.076 20 0 DDADMM CC(C)c1ncc(C(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC000913743733 713292547 /nfs/dbraw/zinc/29/25/47/713292547.db2.gz JCUGFFFHXXOLKJ-UHFFFAOYSA-N -1 1 306.395 1.799 20 0 DDADMM CCC[C@H]1C[C@@H](C(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000913744350 713292718 /nfs/dbraw/zinc/29/27/18/713292718.db2.gz KKCNVWHXKCTRCN-STQMWFEESA-N -1 1 307.398 1.501 20 0 DDADMM O=C(Cc1ccc(Cl)c(F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744951 713293464 /nfs/dbraw/zinc/29/34/64/713293464.db2.gz LLQQARBVIQIMEV-UHFFFAOYSA-N -1 1 323.759 1.941 20 0 DDADMM O=C(c1cc(-n2cccc2)ccn1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745177 713293544 /nfs/dbraw/zinc/29/35/44/713293544.db2.gz SCKLRUSBPCJVPZ-UHFFFAOYSA-N -1 1 323.360 1.405 20 0 DDADMM CCNc1nc(C(=O)N2CCC(c3nn[n-]n3)CC2)cs1 ZINC000913746551 713294237 /nfs/dbraw/zinc/29/42/37/713294237.db2.gz ZRJDEXSATQBDMK-UHFFFAOYSA-N -1 1 307.383 1.108 20 0 DDADMM O=C(N1CCC(c2nn[n-]n2)CC1)C1([C@H]2CCCCO2)CCC1 ZINC000913746799 713294334 /nfs/dbraw/zinc/29/43/34/713294334.db2.gz HYJXTYLOEWJJHV-CYBMUJFWSA-N -1 1 319.409 1.645 20 0 DDADMM C[C@]1(c2ccccc2)C[C@H]1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913747056 713294646 /nfs/dbraw/zinc/29/46/46/713294646.db2.gz PMFDKEDXHOZMAK-WMLDXEAASA-N -1 1 311.389 1.884 20 0 DDADMM CN(CC1(CS(C)(=O)=O)CC1)[C@@H](C(=O)[O-])c1ccccc1 ZINC000905375279 712019762 /nfs/dbraw/zinc/01/97/62/712019762.db2.gz WTVKGXKFCMGYBJ-CYBMUJFWSA-N -1 1 311.403 1.569 20 0 DDADMM Cc1cc([C@H](NCCS(=O)(=O)C(C)C)C(=O)[O-])ccc1F ZINC000905384891 712023482 /nfs/dbraw/zinc/02/34/82/712023482.db2.gz JZTCSCFUTJHTJF-ZDUSSCGKSA-N -1 1 317.382 1.673 20 0 DDADMM CC(C)N(CC1CC1)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906820813 712417748 /nfs/dbraw/zinc/41/77/48/712417748.db2.gz KPROOBBNKYDCQE-UHFFFAOYSA-N -1 1 313.375 1.900 20 0 DDADMM CC[C@H](C)CN(C)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906863675 712424204 /nfs/dbraw/zinc/42/42/04/712424204.db2.gz TUASFERDQWAGST-VIFPVBQESA-N -1 1 301.364 1.757 20 0 DDADMM COC[C@@](C)(CO)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907408542 712563503 /nfs/dbraw/zinc/56/35/03/712563503.db2.gz JOSFIWFSFTXZIW-OAHLLOKOSA-N -1 1 308.309 1.207 20 0 DDADMM Cc1ccncc1C=CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477619 712582771 /nfs/dbraw/zinc/58/27/71/712582771.db2.gz GDIBGAIZSLHSOB-DLGQBQFBSA-N -1 1 316.390 1.233 20 0 DDADMM Cc1ccc(F)c(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000907480237 712583649 /nfs/dbraw/zinc/58/36/49/712583649.db2.gz NTGZCQSBXKGAGO-GFCCVEGCSA-N -1 1 321.381 1.506 20 0 DDADMM CC(=Cc1ccco1)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480617 712583791 /nfs/dbraw/zinc/58/37/91/712583791.db2.gz PRKDYFSCAIKANA-DJYGCBNOSA-N -1 1 305.363 1.513 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]C1(c2nc(C)no2)CC1)C1CC1 ZINC000907858923 712637185 /nfs/dbraw/zinc/63/71/85/712637185.db2.gz DGAZAZKHMPVEMB-LLVKDONJSA-N -1 1 315.395 1.102 20 0 DDADMM C[C@@H]1CCCC[C@H]1CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907942841 712652639 /nfs/dbraw/zinc/65/26/39/712652639.db2.gz CDPCFZIDMKLUQC-NEPJUHHUSA-N -1 1 307.398 1.226 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@@H]3C[C@@H]4C[C@H](C(=O)[O-])C[C@H]34)c2C1 ZINC000907947768 712653509 /nfs/dbraw/zinc/65/35/09/712653509.db2.gz HLLQSJPUMLOQDA-MMROJZCPSA-N -1 1 317.389 1.764 20 0 DDADMM Cc1ccc(O)cc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000908010916 712665762 /nfs/dbraw/zinc/66/57/62/712665762.db2.gz JPQLMQGWUGFDQT-UHFFFAOYSA-N -1 1 303.318 1.809 20 0 DDADMM CC(C)(C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1nccs1 ZINC000908012721 712666085 /nfs/dbraw/zinc/66/60/85/712666085.db2.gz ZVKDXHAZVJLAJI-UHFFFAOYSA-N -1 1 322.390 1.916 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H](C)[S@@]1=O ZINC000908138186 712695921 /nfs/dbraw/zinc/69/59/21/712695921.db2.gz GVJXDLGVHHJKRF-QRRAURDBSA-N -1 1 323.418 1.431 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2COC[C@H]2C2CC2)sc1C ZINC000908415175 712765721 /nfs/dbraw/zinc/76/57/21/712765721.db2.gz RHRNULMALUOSGY-WDEREUQCSA-N -1 1 302.421 1.463 20 0 DDADMM O=C([O-])c1ccc2c(c1)CCN2C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000908650462 712829046 /nfs/dbraw/zinc/82/90/46/712829046.db2.gz CMGASRLLLCZAJI-LLVKDONJSA-N -1 1 311.341 1.802 20 0 DDADMM CN1CCO[C@@H](C(=O)Nc2cccc([O-])c2Br)C1 ZINC000908714006 712840135 /nfs/dbraw/zinc/84/01/35/712840135.db2.gz YQNKGGVZLDMKNS-SNVBAGLBSA-N -1 1 315.167 1.424 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H](C(=O)[O-])C1CC1)c1cccs1 ZINC000908747341 712846710 /nfs/dbraw/zinc/84/67/10/712846710.db2.gz QPJFNUVXWRFCDT-JQWIXIFHSA-N -1 1 311.407 1.513 20 0 DDADMM O=C([O-])[C@@H](CCF)NC(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC000908757329 712848877 /nfs/dbraw/zinc/84/88/77/712848877.db2.gz UCZXIUZKXHSXHZ-ZIAGYGMSSA-N -1 1 323.368 1.373 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@@H](C(=O)[O-])C1CC1)c1ccsc1 ZINC000908815867 712860674 /nfs/dbraw/zinc/86/06/74/712860674.db2.gz ZNQLYQSLVUEYQS-NWDGAFQWSA-N -1 1 311.407 1.513 20 0 DDADMM COc1cc(F)c(S(=O)(=O)NCc2cc(C)[nH]n2)cc1F ZINC000914133218 713346520 /nfs/dbraw/zinc/34/65/20/713346520.db2.gz LAQVLPBYOOALCN-UHFFFAOYSA-N -1 1 317.317 1.483 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N(CCC(=O)[O-])CC2CC2)C1 ZINC000908875444 712876873 /nfs/dbraw/zinc/87/68/73/712876873.db2.gz GVJHNMFEBSAUTR-ZWNOBZJWSA-N -1 1 305.378 1.789 20 0 DDADMM O=C([O-])CCN(CC1CC1)C(=O)c1n[nH]cc1C(F)(F)F ZINC000908876227 712877229 /nfs/dbraw/zinc/87/72/29/712877229.db2.gz QILXJGUUHIFMTL-UHFFFAOYSA-N -1 1 305.256 1.755 20 0 DDADMM Cc1n[nH]cc1CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000914172276 713353066 /nfs/dbraw/zinc/35/30/66/713353066.db2.gz CKVVYISDEQOZEO-UHFFFAOYSA-N -1 1 310.304 1.744 20 0 DDADMM COC(=O)[C@@H](CC1CCCCC1)[N-]S(=O)(=O)CC1(F)CC1 ZINC000914978741 713405479 /nfs/dbraw/zinc/40/54/79/713405479.db2.gz VSYIXTBSMMQHQD-GFCCVEGCSA-N -1 1 321.414 1.920 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]2C(=O)NC(C)(C)C)c([O-])c1 ZINC000928730400 713473475 /nfs/dbraw/zinc/47/34/75/713473475.db2.gz CRRBXHISQGIHSG-LLVKDONJSA-N -1 1 305.378 1.615 20 0 DDADMM CCN1CCC[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1=O ZINC000928872192 713500307 /nfs/dbraw/zinc/50/03/07/713500307.db2.gz MQEJATLQGPCDNT-NSHDSACASA-N -1 1 320.393 1.355 20 0 DDADMM C[C@@H](CN1CCS(=O)(=O)[C@H](C)C1)C(=O)c1ccc([O-])cc1 ZINC000929679391 713667168 /nfs/dbraw/zinc/66/71/68/713667168.db2.gz HGSYPBASGOYTGI-NWDGAFQWSA-N -1 1 311.403 1.330 20 0 DDADMM O=C(NC1CCS(=O)(=O)CC1)c1c([O-])cccc1Cl ZINC000920448583 713670357 /nfs/dbraw/zinc/67/03/57/713670357.db2.gz IWJRSSUTWCYCHZ-UHFFFAOYSA-N -1 1 303.767 1.353 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-][C@@H]1c2ccccc2OC[C@H]1F ZINC000921319859 713732804 /nfs/dbraw/zinc/73/28/04/713732804.db2.gz XSRQCASYGYJYFK-DGCLKSJQSA-N -1 1 312.322 1.566 20 0 DDADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1C2 ZINC000921378422 713748911 /nfs/dbraw/zinc/74/89/11/713748911.db2.gz XTLSWJIICPGEOF-RHYQMDGZSA-N -1 1 321.464 1.389 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2ncc(C)cc2C)c1 ZINC000921627745 713825423 /nfs/dbraw/zinc/82/54/23/713825423.db2.gz RQWDLOJZDSAJNG-UHFFFAOYSA-N -1 1 322.386 1.891 20 0 DDADMM O=S(=O)([N-]CCO[C@H]1CCOC1)c1cc(F)ccc1F ZINC000921886519 713897041 /nfs/dbraw/zinc/89/70/41/713897041.db2.gz SZJNYKTXVHXHHR-JTQLQIEISA-N -1 1 307.318 1.049 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@@H](C2CCOCC2)C1 ZINC000922775685 714143774 /nfs/dbraw/zinc/14/37/74/714143774.db2.gz FBAWKQMJPCOILK-CYBMUJFWSA-N -1 1 307.398 1.188 20 0 DDADMM C[C@@H]1C[C@@H](O)CN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932049230 714246097 /nfs/dbraw/zinc/24/60/97/714246097.db2.gz HNDHFRIBQOSPTI-ZWNOBZJWSA-N -1 1 302.334 1.565 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@H]1[C@@H]2COC[C@@H]21 ZINC000932052574 714247113 /nfs/dbraw/zinc/24/71/13/714247113.db2.gz ZXZIFZKRQKZBKP-IMRBUKKESA-N -1 1 300.318 1.344 20 0 DDADMM CC(C)C[C@@](C)(CNC(=O)[C@@H]1CCc2nc[nH]c2C1)C(=O)[O-] ZINC000923190120 714265729 /nfs/dbraw/zinc/26/57/29/714265729.db2.gz MKDZUNDWDAAIMX-BZNIZROVSA-N -1 1 307.394 1.768 20 0 DDADMM C[C@H]1CCC(C)(C)N1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000923945493 714492615 /nfs/dbraw/zinc/49/26/15/714492615.db2.gz SOXRGKAFQWCISN-JTQLQIEISA-N -1 1 320.393 1.727 20 0 DDADMM O=S1(=O)CCC[C@H]1Cc1nc(-c2ccc([O-])cc2F)no1 ZINC000924004630 714505478 /nfs/dbraw/zinc/50/54/78/714505478.db2.gz IJHLVALSNBBSDV-VIFPVBQESA-N -1 1 312.322 1.701 20 0 DDADMM CCCN(CC)C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933102807 714515652 /nfs/dbraw/zinc/51/56/52/714515652.db2.gz HZKCNLHECAHWEH-UHFFFAOYSA-N -1 1 314.332 1.588 20 0 DDADMM C[S@](=O)(=NC([O-])=CS(=O)(=O)C1CC1)c1ccccc1 ZINC000925952131 714971904 /nfs/dbraw/zinc/97/19/04/714971904.db2.gz HSHLKFSVYNOROF-GOSISDBHSA-N -1 1 301.389 1.247 20 0 DDADMM Cc1nn(C)cc1C1=NO[C@H](C(=O)Nc2ccc(F)cc2[O-])C1 ZINC000935098915 714975381 /nfs/dbraw/zinc/97/53/81/714975381.db2.gz FGPNKHZPSAZVDA-AWEZNQCLSA-N -1 1 318.308 1.705 20 0 DDADMM Cn1cc(O[C@@H]2CCC[C@@H]2NC(=O)c2c([O-])cccc2F)cn1 ZINC000926280373 715041535 /nfs/dbraw/zinc/04/15/35/715041535.db2.gz FGEUOYGHDGHURQ-GXTWGEPZSA-N -1 1 319.336 1.995 20 0 DDADMM C[C@@H](CC(=O)[O-])NS(=O)(=O)c1occc1Br ZINC000926452251 715068512 /nfs/dbraw/zinc/06/85/12/715068512.db2.gz CKLRZGGITKJYLQ-YFKPBYRVSA-N -1 1 312.141 1.184 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000936680770 715230727 /nfs/dbraw/zinc/23/07/27/715230727.db2.gz ZFSLYIJQWURPJX-RYUDHWBXSA-N -1 1 305.378 1.506 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CCC2CC2)C1 ZINC000936932198 715260239 /nfs/dbraw/zinc/26/02/39/715260239.db2.gz YVDQRGUYDGERSE-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000937124490 715279832 /nfs/dbraw/zinc/27/98/32/715279832.db2.gz WQNRNUUEZQKNBF-NSHDSACASA-N -1 1 321.352 1.724 20 0 DDADMM CN(C(=O)C1=CCCC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211425 715293027 /nfs/dbraw/zinc/29/30/27/715293027.db2.gz JRSBBKNWPXGBJD-ZDUSSCGKSA-N -1 1 315.373 1.570 20 0 DDADMM O=C(NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-])C1CC1 ZINC000937363143 715349724 /nfs/dbraw/zinc/34/97/24/715349724.db2.gz QPCYCUIENGXLHV-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956343151 715411909 /nfs/dbraw/zinc/41/19/09/715411909.db2.gz FCNALQZPFUFFDT-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CC1(NC(=O)c2ncccc2[O-])CCN(C(=O)C2CCC2)CC1 ZINC000956343682 715412623 /nfs/dbraw/zinc/41/26/23/715412623.db2.gz OWUMNPAJMLNOKP-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ccco2)C1)c1ncccc1[O-] ZINC000937860815 715616682 /nfs/dbraw/zinc/61/66/82/715616682.db2.gz KJTAQZSEZFBXNR-JTQLQIEISA-N -1 1 301.302 1.025 20 0 DDADMM CCC(CC)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849145 715636429 /nfs/dbraw/zinc/63/64/29/715636429.db2.gz LZYXBHWRHRLPHF-QGZVFWFLSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@@H]2CC23CC3)C1 ZINC000956852184 715639007 /nfs/dbraw/zinc/63/90/07/715639007.db2.gz SSIVCNMXRWXJLW-ZBEGNZNMSA-N -1 1 315.373 1.308 20 0 DDADMM CN(C(=O)[C@@H]1CC[C@H](F)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955130780 715641486 /nfs/dbraw/zinc/64/14/86/715641486.db2.gz JKXZCMSSDRJZQJ-MNOVXSKESA-N -1 1 321.352 1.208 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)C1=CCCC1)c1ncccc1[O-] ZINC000955963157 716040124 /nfs/dbraw/zinc/04/01/24/716040124.db2.gz IOHYELZEDGHHCT-ZDUSSCGKSA-N -1 1 315.373 1.618 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])c1cc[nH]c1 ZINC000955976772 716046164 /nfs/dbraw/zinc/04/61/64/716046164.db2.gz HRVFHWXHJILRJV-LBPRGKRZSA-N -1 1 314.345 1.150 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ccoc2)C1)c1ncccc1[O-] ZINC000940126100 716637643 /nfs/dbraw/zinc/63/76/43/716637643.db2.gz UMVRSOOECCBGJS-LLVKDONJSA-N -1 1 301.302 1.025 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2(C)CC2)C1 ZINC000959181633 716894354 /nfs/dbraw/zinc/89/43/54/716894354.db2.gz GGPNOEHFEDDKJE-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)C2CCC2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959315799 716953374 /nfs/dbraw/zinc/95/33/74/716953374.db2.gz RSVDEFQSGFMIDF-DGCLKSJQSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)F)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959341302 716972746 /nfs/dbraw/zinc/97/27/46/716972746.db2.gz KJBXMRWYFBAUIC-WDEREUQCSA-N -1 1 323.368 1.360 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C/C=C/Cl)C[C@@H]2C)c1[O-] ZINC000941965260 717411104 /nfs/dbraw/zinc/41/11/04/717411104.db2.gz XSSIVRDZYKNTQU-DLSFUBIUSA-N -1 1 312.801 1.616 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000965091096 717532634 /nfs/dbraw/zinc/53/26/34/717532634.db2.gz BOEUBYXOQKZJDB-XQQFMLRXSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C(=O)N1CCC[C@H]2[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962775037 717583932 /nfs/dbraw/zinc/58/39/32/717583932.db2.gz JFBAQQIKLZNMLP-STQMWFEESA-N -1 1 317.389 1.649 20 0 DDADMM O=C(NC1CCN(C(=O)C2CCCC2)CC1)c1ncccc1[O-] ZINC000943328079 718080629 /nfs/dbraw/zinc/08/06/29/718080629.db2.gz IMKAAJWKHLXUOP-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CC1(C(=O)N[C@H]2CC[C@H](NC(=O)c3ncccc3[O-])CC2)CC1 ZINC000943684600 718174392 /nfs/dbraw/zinc/17/43/92/718174392.db2.gz REHFIJSYMKRHJO-HAQNSBGRSA-N -1 1 317.389 1.745 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C1CC1 ZINC000966920669 718715071 /nfs/dbraw/zinc/71/50/71/718715071.db2.gz HJWHBTKFTDNHPU-CYBMUJFWSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])CC1(C)C ZINC000966972924 718733021 /nfs/dbraw/zinc/73/30/21/718733021.db2.gz SDOLZFQIOYASPQ-CYBMUJFWSA-N -1 1 319.405 1.800 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2CCC2)C1 ZINC000967901495 719079846 /nfs/dbraw/zinc/07/98/46/719079846.db2.gz RCIPXEKVXLUYRX-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H](C)C(C)C)C1 ZINC000967904736 719081453 /nfs/dbraw/zinc/08/14/53/719081453.db2.gz NZXBXVDDSLUPSJ-GFCCVEGCSA-N -1 1 319.405 1.752 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2cc[nH]c2)C1 ZINC000967907475 719082654 /nfs/dbraw/zinc/08/26/54/719082654.db2.gz MGSLWSHBIHCKEL-UHFFFAOYSA-N -1 1 314.345 1.102 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000948506022 719528118 /nfs/dbraw/zinc/52/81/18/719528118.db2.gz XIZGRBCAMAPUES-LBPRGKRZSA-N -1 1 319.405 1.800 20 0 DDADMM CC1=C(C)C[C@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000968771534 719782127 /nfs/dbraw/zinc/78/21/27/719782127.db2.gz XYBDVXJZBNEHEW-CHWSQXEVSA-N -1 1 319.409 1.337 20 0 DDADMM CC1(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCCCCC1 ZINC000968945045 719890768 /nfs/dbraw/zinc/89/07/68/719890768.db2.gz MGHXABAVEVMUJF-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])C1=CCCC1 ZINC000949369452 720044104 /nfs/dbraw/zinc/04/41/04/720044104.db2.gz OBCDNWDCQLIAKE-LBPRGKRZSA-N -1 1 301.346 1.228 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1ncccc1[O-] ZINC000949535420 720145498 /nfs/dbraw/zinc/14/54/98/720145498.db2.gz URHYPXIPQUSITR-NMKXLXIOSA-N -1 1 315.373 1.164 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CCC[C@@H](C1)N2C(=O)C1CC1 ZINC000952702632 721501239 /nfs/dbraw/zinc/50/12/39/721501239.db2.gz YHUSCXVNNLVEAP-BETUJISGSA-N -1 1 315.373 1.403 20 0 DDADMM CN(C(=O)C1CC1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953681359 721641250 /nfs/dbraw/zinc/64/12/50/721641250.db2.gz PXPNOLDXDKAUAA-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC000953888124 721674493 /nfs/dbraw/zinc/67/44/93/721674493.db2.gz LBJMTMUXUGNDPX-AAEUAGOBSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(NC[C@H]1CCN1Cc1cscn1)c1ncccc1[O-] ZINC001038183051 732893236 /nfs/dbraw/zinc/89/32/36/732893236.db2.gz GEVNGUSFIZJWBO-LLVKDONJSA-N -1 1 304.375 1.248 20 0 DDADMM CC(C)N(CCN(C)c1ncccn1)C(=O)c1ncccc1[O-] ZINC001125477129 733496756 /nfs/dbraw/zinc/49/67/56/733496756.db2.gz GNGODSGYTKNAKX-UHFFFAOYSA-N -1 1 315.377 1.564 20 0 DDADMM CC[C@]1(C)C[C@@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035289 734378512 /nfs/dbraw/zinc/37/85/12/734378512.db2.gz BVSSCBVTNSJNJC-SJKOYZFVSA-N -1 1 317.389 1.508 20 0 DDADMM Cc1cccc(C2=CCN(c3nnc(-c4nnn[n-]4)n3C)CC2)c1 ZINC001121268761 782433231 /nfs/dbraw/zinc/43/32/31/782433231.db2.gz VSDDDROAHREFEZ-UHFFFAOYSA-N -1 1 322.376 1.597 20 0 DDADMM Cc1cccc(C2=CCN(c3nnc(-c4nn[n-]n4)n3C)CC2)c1 ZINC001121268761 782433234 /nfs/dbraw/zinc/43/32/34/782433234.db2.gz VSDDDROAHREFEZ-UHFFFAOYSA-N -1 1 322.376 1.597 20 0 DDADMM CC(=O)N1CCC[C@H](N(C)Cc2nc(=O)c3sccc3[n-]2)C1 ZINC001027255175 738134106 /nfs/dbraw/zinc/13/41/06/738134106.db2.gz BMJYHNDLFBINQW-NSHDSACASA-N -1 1 320.418 1.840 20 0 DDADMM CC(C)C(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059178312 740331009 /nfs/dbraw/zinc/33/10/09/740331009.db2.gz QDALHVGFTYLYPG-LBPRGKRZSA-N -1 1 305.378 1.412 20 0 DDADMM CC(C)=CC(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059515263 741089094 /nfs/dbraw/zinc/08/90/94/741089094.db2.gz WDLZGJWLJBGMHB-CYBMUJFWSA-N -1 1 317.389 1.722 20 0 DDADMM O=C(NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001059516105 741089598 /nfs/dbraw/zinc/08/95/98/741089598.db2.gz FMFDAJJLESLXSD-NSHDSACASA-N -1 1 303.362 1.166 20 0 DDADMM O=C(N[C@H]1C[C@@H](Nc2cnc(F)cn2)C1)c1ncccc1[O-] ZINC001059613391 741415033 /nfs/dbraw/zinc/41/50/33/741415033.db2.gz DPESNGNNTWUHGA-DTORHVGOSA-N -1 1 303.297 1.089 20 0 DDADMM C[C@@H](CCNC(=O)C(=O)C(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001075965477 742286784 /nfs/dbraw/zinc/28/67/84/742286784.db2.gz PSSOYWBJUZTNNA-JTQLQIEISA-N -1 1 321.377 1.027 20 0 DDADMM C[C@]1(CO)CN(Cc2ccc(Cl)cc2C(=O)[O-])CC[C@@H]1O ZINC001142647079 742480340 /nfs/dbraw/zinc/48/03/40/742480340.db2.gz NDONLZJZIIWSTR-DZGCQCFKSA-N -1 1 313.781 1.603 20 0 DDADMM CC(C)=C(F)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076132573 742560873 /nfs/dbraw/zinc/56/08/73/742560873.db2.gz OUOKAOOZLJDNGO-JTQLQIEISA-N -1 1 309.341 1.675 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1ccccn1 ZINC001076244450 742624071 /nfs/dbraw/zinc/62/40/71/742624071.db2.gz SBFYEAXPNNWHRF-NSHDSACASA-N -1 1 314.345 1.121 20 0 DDADMM Cc1conc1C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244916 742624698 /nfs/dbraw/zinc/62/46/98/742624698.db2.gz FYSMRXRUQWQYRN-JTQLQIEISA-N -1 1 318.333 1.022 20 0 DDADMM O=C(Cc1ccc(O)cc1F)Nc1n[n-]c(C(F)(F)F)n1 ZINC001180647863 742872977 /nfs/dbraw/zinc/87/29/77/742872977.db2.gz IHQQHRIVRVQHSI-UHFFFAOYSA-N -1 1 304.203 1.849 20 0 DDADMM Cc1cc(C)c(NC(=O)[C@H](C)N(C)C)c(S(=O)(=O)[O-])c1 ZINC001180862680 742968571 /nfs/dbraw/zinc/96/85/71/742968571.db2.gz QTCJQGVSJFWDEV-JTQLQIEISA-N -1 1 300.380 1.439 20 0 DDADMM O=C(C[C@H]1C(=O)Nc2ccccc21)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001180957036 742997272 /nfs/dbraw/zinc/99/72/72/742997272.db2.gz JVUVLHHCFADCEJ-MRVPVSSYSA-N -1 1 324.300 1.123 20 0 DDADMM O=C(CCc1ccccc1)C(=O)NCCCC[P@@](=O)([O-])O ZINC001180967661 743003678 /nfs/dbraw/zinc/00/36/78/743003678.db2.gz GGTHBHYYMMUXIX-UHFFFAOYSA-N -1 1 313.290 1.262 20 0 DDADMM O=C(N[C@H]1CCC[C@@H](Nc2ncccn2)C1)c1ncccc1[O-] ZINC001061076186 743072053 /nfs/dbraw/zinc/07/20/53/743072053.db2.gz OOOHXFUOOVTDBO-NWDGAFQWSA-N -1 1 313.361 1.730 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1ccc(=O)[nH]n1 ZINC001181683331 743280233 /nfs/dbraw/zinc/28/02/33/743280233.db2.gz IZIOKYDJVUNBLN-RXMQYKEDSA-N -1 1 311.095 1.405 20 0 DDADMM O=C(Cc1cccc2[nH]ccc21)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001181730113 743312539 /nfs/dbraw/zinc/31/25/39/743312539.db2.gz WNAXEWOSKHTSRF-UHFFFAOYSA-N -1 1 324.300 1.378 20 0 DDADMM CCOC(=O)c1cc(NC(=O)C23CCC(CO)(CC2)CC3)n[nH]1 ZINC001182702683 743700782 /nfs/dbraw/zinc/70/07/82/743700782.db2.gz ALKYFOQQHSMZNO-UHFFFAOYSA-N -1 1 321.377 1.858 20 0 DDADMM O=C(CCC1OCCO1)Nc1nc(Br)ccc1[O-] ZINC001182726265 743709741 /nfs/dbraw/zinc/70/97/41/743709741.db2.gz ZFLYKEMNCAKPOL-UHFFFAOYSA-N -1 1 317.139 1.641 20 0 DDADMM CC[C@@H](C)CCCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001182873238 743741985 /nfs/dbraw/zinc/74/19/85/743741985.db2.gz CQWFFHFKOXVUII-CHWSQXEVSA-N -1 1 323.441 1.807 20 0 DDADMM CC(C)(C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O)c1ccc(O)cc1 ZINC001183777790 743902617 /nfs/dbraw/zinc/90/26/17/743902617.db2.gz ZNHFLYJIIVQYSZ-UHFFFAOYSA-N -1 1 304.306 1.092 20 0 DDADMM O=C(NC1CN(Cc2ncc(Cl)s2)C1)c1ncccc1[O-] ZINC001030242604 743978488 /nfs/dbraw/zinc/97/84/88/743978488.db2.gz WABFVCUWPYQIHI-UHFFFAOYSA-N -1 1 324.793 1.511 20 0 DDADMM CC(=O)c1ccnc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001184828642 744109697 /nfs/dbraw/zinc/10/96/97/744109697.db2.gz SGIZLXOLHUIJBS-UHFFFAOYSA-N -1 1 303.234 1.136 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cnc([C@@H]3C[C@@H]3C)nc2)n[nH]1 ZINC001185117695 744163811 /nfs/dbraw/zinc/16/38/11/744163811.db2.gz SFBNYEBZLVFSFI-WCBMZHEXSA-N -1 1 315.333 1.752 20 0 DDADMM C[C@H]1C[C@@H]1c1ncc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cn1 ZINC001185128625 744166074 /nfs/dbraw/zinc/16/60/74/744166074.db2.gz QDXRAZDWSLGKAE-FSPLSTOPSA-N -1 1 316.277 1.451 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2nc(SC)ncc2[O-])n[nH]1 ZINC001185161843 744168251 /nfs/dbraw/zinc/16/82/51/744168251.db2.gz WKULCWSTSBJGDM-UHFFFAOYSA-N -1 1 323.334 1.056 20 0 DDADMM CCOC(=O)c1ncc(NC(=O)c2ccc([O-])c(F)c2)cn1 ZINC001186209525 744364628 /nfs/dbraw/zinc/36/46/28/744364628.db2.gz WIJUYKGFNVWYGA-UHFFFAOYSA-N -1 1 305.265 1.750 20 0 DDADMM CCOC(=O)c1ncncc1NC(=O)c1ccc([O-])cc1F ZINC001186318928 744373405 /nfs/dbraw/zinc/37/34/05/744373405.db2.gz SPKJNNPGLWOWFU-UHFFFAOYSA-N -1 1 305.265 1.750 20 0 DDADMM COC(=O)[C@H](CCSC)NC(=O)c1ccc([O-])cc1F ZINC001186337668 744388546 /nfs/dbraw/zinc/38/85/46/744388546.db2.gz PKUALERZEMSDOS-NSHDSACASA-N -1 1 301.339 1.556 20 0 DDADMM O=S(=O)([N-]Cc1cncnc1C(F)(F)F)c1ccco1 ZINC001186887125 744460115 /nfs/dbraw/zinc/46/01/15/744460115.db2.gz RCYSNECFLQXFIR-UHFFFAOYSA-N -1 1 307.253 1.567 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ncc(C)nc1Br ZINC001187244037 744524181 /nfs/dbraw/zinc/52/41/81/744524181.db2.gz WQSRUANHIBORTM-UHFFFAOYSA-N -1 1 324.200 1.326 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1nccnc1Br ZINC001187245932 744525366 /nfs/dbraw/zinc/52/53/66/744525366.db2.gz ICLQNTHLUKQUNZ-UHFFFAOYSA-N -1 1 310.173 1.017 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(S(C)(=O)=O)cc1C ZINC001187287059 744534259 /nfs/dbraw/zinc/53/42/59/744534259.db2.gz PXWQRKVTBNHRFO-UHFFFAOYSA-N -1 1 321.420 1.177 20 0 DDADMM COc1ccc2c(c1)CC[C@H]2[N-]S(=O)(=O)c1cnoc1C ZINC001187410922 744557869 /nfs/dbraw/zinc/55/78/69/744557869.db2.gz YTILRLRMVHSJDA-CYBMUJFWSA-N -1 1 308.359 1.957 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992048270 744560139 /nfs/dbraw/zinc/56/01/39/744560139.db2.gz IAVXOEUUCGJANM-MCIGGMRASA-N -1 1 317.389 1.458 20 0 DDADMM COc1cccc(OC)c1NC(=O)C(=O)Nc1ncccc1[O-] ZINC001187476663 744569860 /nfs/dbraw/zinc/56/98/60/744569860.db2.gz FYMFIJWDTQFXFE-UHFFFAOYSA-N -1 1 317.301 1.382 20 0 DDADMM COc1ccccc1[C@H](C)C(=O)NCCCC[P@](=O)([O-])O ZINC001187689365 744591390 /nfs/dbraw/zinc/59/13/90/744591390.db2.gz BGZIEKDHSOSGEA-NSHDSACASA-N -1 1 315.306 1.873 20 0 DDADMM CCOC(=O)[C@H](NC(=O)c1n[n-]nc1C(F)(F)F)[C@@H](C)CC ZINC001187774013 744600771 /nfs/dbraw/zinc/60/07/71/744600771.db2.gz OUCQLCJIDLDSET-NKWVEPMBSA-N -1 1 322.287 1.531 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cccnc1-c1ccccc1 ZINC001187811107 744610977 /nfs/dbraw/zinc/61/09/77/744610977.db2.gz BPOZODTUDMHPHW-UHFFFAOYSA-N -1 1 323.312 1.784 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c(C)o1 ZINC000998506134 751933615 /nfs/dbraw/zinc/93/36/15/751933615.db2.gz MFYALSLESCSAPE-LLVKDONJSA-N -1 1 319.365 1.115 20 0 DDADMM O=S(=O)([N-]CCSCc1ccco1)c1nccs1 ZINC001187908954 744628085 /nfs/dbraw/zinc/62/80/85/744628085.db2.gz PTUOEFLQVRPFQZ-UHFFFAOYSA-N -1 1 304.418 1.948 20 0 DDADMM CCOC(=O)CC(C)(C)C[N-]S(=O)(=O)c1nccs1 ZINC001187918173 744629213 /nfs/dbraw/zinc/62/92/13/744629213.db2.gz VLPXVWVHQAKQGA-UHFFFAOYSA-N -1 1 306.409 1.401 20 0 DDADMM O=S(=O)([N-]C1CCN(c2ccccn2)CC1)c1nccs1 ZINC001187912792 744629299 /nfs/dbraw/zinc/62/92/99/744629299.db2.gz OHOWDMXEGAFLFB-UHFFFAOYSA-N -1 1 324.431 1.485 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1nonc1C ZINC001187987009 744643512 /nfs/dbraw/zinc/64/35/12/744643512.db2.gz PYUFOUXKXUZUQT-UHFFFAOYSA-N -1 1 310.335 1.392 20 0 DDADMM Cc1[nH][nH]c2ncnc(=NC(=O)c3nc(C(F)(F)F)c[n-]3)c1-2 ZINC001188277923 744685393 /nfs/dbraw/zinc/68/53/93/744685393.db2.gz LBAWMLAXHJVXAA-UHFFFAOYSA-N -1 1 311.227 1.029 20 0 DDADMM COc1ccc(NC(=O)Nc2c([O-])nc[nH]c2=O)cc1OC ZINC001188767922 744764657 /nfs/dbraw/zinc/76/46/57/744764657.db2.gz ZTCLZWDQZCTXST-UHFFFAOYSA-N -1 1 306.278 1.549 20 0 DDADMM CC1(C)CCC[C@H]1C(=O)NCC1CC(NC(=O)c2cnn[nH]2)C1 ZINC000992318292 744785836 /nfs/dbraw/zinc/78/58/36/744785836.db2.gz RGKDRVPZBNMIKE-MCIGGMRASA-N -1 1 319.409 1.256 20 0 DDADMM O=S(=O)(Cc1cccc(F)c1F)[N-]c1cnn(CCO)c1 ZINC001189425585 744893715 /nfs/dbraw/zinc/89/37/15/744893715.db2.gz IWMMUJAXGQIEOK-UHFFFAOYSA-N -1 1 317.317 1.096 20 0 DDADMM O=S(=O)(CCCF)[N-]c1cccnc1OC1CCOCC1 ZINC001189868220 745007171 /nfs/dbraw/zinc/00/71/71/745007171.db2.gz FPGBHLCYKDTXNL-UHFFFAOYSA-N -1 1 318.370 1.741 20 0 DDADMM Cc1nnc(CNC(=O)c2cnc(-c3ccccc3)[n-]c2=O)o1 ZINC001190270744 745170372 /nfs/dbraw/zinc/17/03/72/745170372.db2.gz VYUVOMNSVOWURW-UHFFFAOYSA-N -1 1 311.301 1.471 20 0 DDADMM COC(=O)c1c(F)ccc(F)c1NS(=O)(=O)c1ncc[n-]1 ZINC001190728406 745308966 /nfs/dbraw/zinc/30/89/66/745308966.db2.gz VEYNAHJJJBMKME-UHFFFAOYSA-N -1 1 317.273 1.275 20 0 DDADMM O=C1NCc2cc([N-]S(=O)(=O)c3ccncc3)ccc2N1 ZINC001190903302 745379833 /nfs/dbraw/zinc/37/98/33/745379833.db2.gz CBCFEBZHWFIGIM-UHFFFAOYSA-N -1 1 304.331 1.518 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](O)C2CCCCC2)c(=O)[n-]1 ZINC001191436687 745511860 /nfs/dbraw/zinc/51/18/60/745511860.db2.gz IDRQGKZICDBTJE-LLVKDONJSA-N -1 1 311.407 1.575 20 0 DDADMM CSc1ncc(C(=O)NCCc2ccc(CO)cc2)c(=O)[n-]1 ZINC001191441582 745513547 /nfs/dbraw/zinc/51/35/47/745513547.db2.gz YAYRXBWTYJFUQP-UHFFFAOYSA-N -1 1 319.386 1.369 20 0 DDADMM O=C([N-]c1ncnc2n[nH]nc21)c1nccnc1-c1ccccc1 ZINC001191463056 745528136 /nfs/dbraw/zinc/52/81/36/745528136.db2.gz DLFYFMCYVBHCLF-UHFFFAOYSA-N -1 1 318.300 1.457 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC1CCCC1)c1ccncc1 ZINC001191553597 745547551 /nfs/dbraw/zinc/54/75/51/745547551.db2.gz JCKRCMKDWCKEKR-CYBMUJFWSA-N -1 1 312.391 1.405 20 0 DDADMM CCc1nsc(NCC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001106296478 745563540 /nfs/dbraw/zinc/56/35/40/745563540.db2.gz SRVKJHHCMONADL-VIFPVBQESA-N -1 1 321.406 1.822 20 0 DDADMM Cc1noc([N-]C(=O)c2cnc(Br)o2)c1C(N)=O ZINC001191713604 745580641 /nfs/dbraw/zinc/58/06/41/745580641.db2.gz MYQBSWCQHGLWSJ-UHFFFAOYSA-N -1 1 315.083 1.085 20 0 DDADMM NC(=O)c1cnoc1[N-]C(=O)c1nc(C(F)(F)F)cs1 ZINC001192450169 745792286 /nfs/dbraw/zinc/79/22/86/745792286.db2.gz PIPYAXKJYVHKLI-UHFFFAOYSA-N -1 1 306.225 1.501 20 0 DDADMM Cn1cc2c(n1)[C@H](CNC(=O)c1c(F)ccc([O-])c1F)OCC2 ZINC001192540364 745827125 /nfs/dbraw/zinc/82/71/25/745827125.db2.gz VJAOIEXXPKZJLB-NSHDSACASA-N -1 1 323.299 1.448 20 0 DDADMM CN(C1CN(C(=O)c2c(F)ccc(F)c2[O-])C1)[C@H]1CCOC1 ZINC001192705652 745874956 /nfs/dbraw/zinc/87/49/56/745874956.db2.gz CFRTWJPWPUCVLZ-VIFPVBQESA-N -1 1 312.316 1.216 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cccc([C@H](C)O)c1 ZINC001193008658 745947919 /nfs/dbraw/zinc/94/79/19/745947919.db2.gz KXXCPAUIXJZAKJ-JTQLQIEISA-N -1 1 315.391 1.823 20 0 DDADMM O=c1[nH]cnc2ccc([N-]S(=O)(=O)C[C@@H]3CCCO3)cc21 ZINC001193265071 746036438 /nfs/dbraw/zinc/03/64/38/746036438.db2.gz OUMFJCQGYXABTF-JTQLQIEISA-N -1 1 309.347 1.256 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)CC1CCC1)c1ccccn1 ZINC001193534837 746123561 /nfs/dbraw/zinc/12/35/61/746123561.db2.gz ZPHSYWNKBAACMR-CYBMUJFWSA-N -1 1 312.391 1.405 20 0 DDADMM Cn1cccc([N-]S(=O)(=O)Cc2noc3ccccc32)c1=O ZINC001194110366 746276925 /nfs/dbraw/zinc/27/69/25/746276925.db2.gz IMZQPOCNGDGNEC-UHFFFAOYSA-N -1 1 319.342 1.468 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2nc3cc(OC)ccc3[nH]2)[n-]n1 ZINC001194278623 746316212 /nfs/dbraw/zinc/31/62/12/746316212.db2.gz GILHBFKWOYFUNQ-UHFFFAOYSA-N -1 1 315.289 1.334 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cccc(C(C)=O)c2C)[n-]n1 ZINC001194288408 746344082 /nfs/dbraw/zinc/34/40/82/746344082.db2.gz BCZFDKGVILLPTM-UHFFFAOYSA-N -1 1 301.302 1.960 20 0 DDADMM CCOc1cc(C(=O)Nc2cc(=O)[n-]c(SC)n2)cnn1 ZINC001194449143 746373883 /nfs/dbraw/zinc/37/38/83/746373883.db2.gz DPBUBGHTRYIKCL-UHFFFAOYSA-N -1 1 307.335 1.345 20 0 DDADMM CCOC(=O)c1n[nH]cc1NC(=O)c1cc([O-])cnc1Cl ZINC001195298042 746570632 /nfs/dbraw/zinc/57/06/32/746570632.db2.gz NDEGMKKDHKYZLX-UHFFFAOYSA-N -1 1 310.697 1.593 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2nnc(Br)s2)n1 ZINC001195318772 746576458 /nfs/dbraw/zinc/57/64/58/746576458.db2.gz NHZAFZBUIZWYEI-UHFFFAOYSA-N -1 1 315.152 1.962 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cc(CC(=O)OC)ccc1F ZINC001195494628 746625576 /nfs/dbraw/zinc/62/55/76/746625576.db2.gz NNXZHOBZVJSKDG-UHFFFAOYSA-N -1 1 314.338 1.984 20 0 DDADMM O=C1NCc2cccc([N-]S(=O)(=O)c3ccc(O)cc3)c2N1 ZINC001195811151 746713115 /nfs/dbraw/zinc/71/31/15/746713115.db2.gz PNXHNHKMABNJCU-UHFFFAOYSA-N -1 1 319.342 1.828 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(O)cc2)cc(C(N)=O)c1 ZINC001195811172 746713389 /nfs/dbraw/zinc/71/33/89/746713389.db2.gz QOOQNKJMFQLOCN-UHFFFAOYSA-N -1 1 322.342 1.301 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1ccc2c(c1)[C@H](O)CCC2 ZINC001195977877 746744858 /nfs/dbraw/zinc/74/48/58/746744858.db2.gz ZKWPKSQUCVBSMC-CYBMUJFWSA-N -1 1 313.375 1.361 20 0 DDADMM COc1cc(Cl)ncc1NC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196013809 746756434 /nfs/dbraw/zinc/75/64/34/746756434.db2.gz HABWWZJQWSWTBB-UHFFFAOYSA-N -1 1 312.738 1.780 20 0 DDADMM COCCNC(=S)Nc1ncc(Br)cc1[O-] ZINC001197821649 747289029 /nfs/dbraw/zinc/28/90/29/747289029.db2.gz SMGVUCLJYPWUIA-UHFFFAOYSA-N -1 1 306.185 1.483 20 0 DDADMM COc1nc(Cl)ccc1S(=O)(=O)[N-]CC(C)(F)F ZINC001198651451 747562985 /nfs/dbraw/zinc/56/29/85/747562985.db2.gz ZZXBXBJUBLCQLP-UHFFFAOYSA-N -1 1 300.714 1.677 20 0 DDADMM CCOC(=O)[C@H](C)NC(=S)Nc1cc(Cl)ncc1[O-] ZINC001199812435 748008031 /nfs/dbraw/zinc/00/80/31/748008031.db2.gz UKGAHIKRHGCGBT-LURJTMIESA-N -1 1 303.771 1.679 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CC1CC1 ZINC001004326051 748324131 /nfs/dbraw/zinc/32/41/31/748324131.db2.gz DZHGVHFGHZRLNR-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM O=C([C@H]1[C@@H]2CCCC[C@@H]21)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998834472 752272570 /nfs/dbraw/zinc/27/25/70/752272570.db2.gz VUIHMRSXBFZLQF-NMKXLXIOSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)C1CCC1 ZINC001004651715 748622920 /nfs/dbraw/zinc/62/29/20/748622920.db2.gz DFAATZNUXJDBNU-ZYHUDNBSSA-N -1 1 307.398 1.170 20 0 DDADMM Cc1ccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)o1 ZINC000995417390 748702578 /nfs/dbraw/zinc/70/25/78/748702578.db2.gz OWUHUSXBVJTSNN-NSHDSACASA-N -1 1 319.365 1.052 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)o1 ZINC000998851922 752290119 /nfs/dbraw/zinc/29/01/19/752290119.db2.gz JFJGQBZECOPHTD-NSHDSACASA-N -1 1 319.365 1.115 20 0 DDADMM C[C@H]1CCN(C(=O)CC2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004755672 748738831 /nfs/dbraw/zinc/73/88/31/748738831.db2.gz XAEJCLITMNXKRN-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CCN(C(=O)C2(C)CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004760905 748752861 /nfs/dbraw/zinc/75/28/61/748752861.db2.gz UDNQBFKOPSAFJN-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1ccc(N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001061586190 748888257 /nfs/dbraw/zinc/88/82/57/748888257.db2.gz NXNDWHSIPZRCCM-GFCCVEGCSA-N -1 1 313.361 1.142 20 0 DDADMM C[C@@H](CNc1ncncc1Cl)NC(=O)c1ncccc1[O-] ZINC001108323114 761933456 /nfs/dbraw/zinc/93/34/56/761933456.db2.gz LCOGACCLTILBDR-QMMMGPOBSA-N -1 1 307.741 1.461 20 0 DDADMM O=C(NC/C=C\CNc1nccnc1F)c1ncccc1[O-] ZINC001107141349 749370167 /nfs/dbraw/zinc/37/01/67/749370167.db2.gz BLQGNVIIHFTIJB-UPHRSURJSA-N -1 1 303.297 1.114 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCC[C@H]2CNCc2ccon2)c1[O-] ZINC001036984808 750666048 /nfs/dbraw/zinc/66/60/48/750666048.db2.gz XKKLCHYOTPBMCF-JQWIXIFHSA-N -1 1 319.365 1.100 20 0 DDADMM O=C(N[C@H]1CCCN(Cc2ccccn2)C1)c1ncccc1[O-] ZINC001007191772 750777080 /nfs/dbraw/zinc/77/70/80/750777080.db2.gz VZCOZMDDDFWFTE-AWEZNQCLSA-N -1 1 312.373 1.577 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](CNc2nccnc2F)C1 ZINC001060856567 751020327 /nfs/dbraw/zinc/02/03/27/751020327.db2.gz LVAGPVRVUIINMG-SNVBAGLBSA-N -1 1 317.324 1.291 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cs1 ZINC001035080394 751171057 /nfs/dbraw/zinc/17/10/57/751171057.db2.gz HYRQESMNKLQYMO-LLVKDONJSA-N -1 1 321.406 1.275 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](NCc2ccon2)C1 ZINC000998995052 752417757 /nfs/dbraw/zinc/41/77/57/752417757.db2.gz JSMYTSGQVOZVTJ-GFCCVEGCSA-N -1 1 302.334 1.170 20 0 DDADMM C[C@H]1CCCN(C(=O)CC2(C)CC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036564128 752537837 /nfs/dbraw/zinc/53/78/37/752537837.db2.gz IBHHANBMCSOJTB-NWDGAFQWSA-N -1 1 321.425 1.417 20 0 DDADMM C/C=C(/C)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005950200 753409962 /nfs/dbraw/zinc/40/99/62/753409962.db2.gz IFAWBGABGPVTEG-NEOSZVFXSA-N -1 1 303.362 1.330 20 0 DDADMM O=C(CCC1CC1)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839541 753759765 /nfs/dbraw/zinc/75/97/65/753759765.db2.gz UWZUONJLCYPWOS-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(c2cccc(F)n2)C1 ZINC001062923458 753849614 /nfs/dbraw/zinc/84/96/14/753849614.db2.gz SQEBXTCRJUNWCC-NSHDSACASA-N -1 1 316.336 1.672 20 0 DDADMM Cc1nccc(N2CC[C@@H](N(C)C(=O)c3ncccc3[O-])C2)n1 ZINC001062923662 753850723 /nfs/dbraw/zinc/85/07/23/753850723.db2.gz XCYLQDUAZCADSP-GFCCVEGCSA-N -1 1 313.361 1.237 20 0 DDADMM O=C(N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)C1CCCC1 ZINC001078528530 754160227 /nfs/dbraw/zinc/16/02/27/754160227.db2.gz DZHWUUTYAKYHNX-RYUDHWBXSA-N -1 1 319.409 1.218 20 0 DDADMM CC(=O)N1C[C@]2(C)CN(C(=O)c3ncccc3[O-])C[C@]2(C)C1 ZINC001010900773 754240108 /nfs/dbraw/zinc/24/01/08/754240108.db2.gz BHWOLUMOKZPPCF-IYBDPMFKSA-N -1 1 303.362 1.118 20 0 DDADMM CC1(C)CC(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001011035996 754318858 /nfs/dbraw/zinc/31/88/58/754318858.db2.gz WJAJFUQVHDESSB-UHFFFAOYSA-N -1 1 317.389 1.508 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011037672 754320247 /nfs/dbraw/zinc/32/02/47/754320247.db2.gz GNFQFVGWRAZJQN-VXGBXAGGSA-N -1 1 303.362 1.118 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1CC1 ZINC001011376042 754483307 /nfs/dbraw/zinc/48/33/07/754483307.db2.gz XRFOCGWGAKNGRS-ZYHUDNBSSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@H]1C[C@H](NC(=O)CC2CC2)CN1C(=O)c1ncccc1[O-] ZINC001012507900 755113940 /nfs/dbraw/zinc/11/39/40/755113940.db2.gz XFIOMCGEGKPWOV-JQWIXIFHSA-N -1 1 303.362 1.307 20 0 DDADMM CCC(=O)N[C@H]1C[C@@H](C)N(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001012616577 755161931 /nfs/dbraw/zinc/16/19/31/755161931.db2.gz KOVGZFLSDBJRIU-KOLCDFICSA-N -1 1 318.377 1.189 20 0 DDADMM CCCCC(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC001079652744 755503160 /nfs/dbraw/zinc/50/31/60/755503160.db2.gz GRHXWIMZBHDCSO-UHFFFAOYSA-N -1 1 305.378 1.650 20 0 DDADMM CCC(=O)N[C@]12CCC[C@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001014104964 755597467 /nfs/dbraw/zinc/59/74/67/755597467.db2.gz QHUWZQISKUQCGW-WBMJQRKESA-N -1 1 303.362 1.451 20 0 DDADMM CCC(=O)N1CC[C@]2(NC(=O)c3ncccc3[O-])CCC[C@@H]12 ZINC001014261461 755665556 /nfs/dbraw/zinc/66/55/56/755665556.db2.gz WNDHFTJEULMRCS-MLGOLLRUSA-N -1 1 303.362 1.451 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1Nc1ncc(F)cn1 ZINC001067075084 755673240 /nfs/dbraw/zinc/67/32/40/755673240.db2.gz ARKBOFWZASUDKR-ONGXEEELSA-N -1 1 317.324 1.289 20 0 DDADMM O=C(NCCNC(=O)c1ccc([O-])cc1F)c1cccs1 ZINC000162303105 762452319 /nfs/dbraw/zinc/45/23/19/762452319.db2.gz FMTNVHQIAOVCCA-UHFFFAOYSA-N -1 1 308.334 1.753 20 0 DDADMM O=C(c1ncccc1[O-])N1CCO[C@@H]2CN(C/C=C/Cl)C[C@@H]21 ZINC001083060004 757110162 /nfs/dbraw/zinc/11/01/62/757110162.db2.gz HULUAJRAFFTVRS-PTFZUMFESA-N -1 1 323.780 1.065 20 0 DDADMM CCc1nc(C)cc(N[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097764557 757508830 /nfs/dbraw/zinc/50/88/30/757508830.db2.gz IXRYZIDSTSXUNQ-LLVKDONJSA-N -1 1 315.377 1.678 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])Nc1ncncc1Cl ZINC001097764393 757509478 /nfs/dbraw/zinc/50/94/78/757509478.db2.gz FMZVKJAMQKVIGM-MRVPVSSYSA-N -1 1 307.741 1.461 20 0 DDADMM CC(=O)NCc1ccc(CNC(=O)c2ncccc2[O-])c(F)c1 ZINC001017784320 758237459 /nfs/dbraw/zinc/23/74/59/758237459.db2.gz VFDOAMJVDFNEIM-UHFFFAOYSA-N -1 1 317.320 1.492 20 0 DDADMM O=c1ncc(NCc2ncccc2[O-])cn1CC(F)(F)F ZINC001169732984 762640096 /nfs/dbraw/zinc/64/00/96/762640096.db2.gz QAOGRJDZFUFYKG-UHFFFAOYSA-N -1 1 300.240 1.518 20 0 DDADMM Cc1noc([C@@H](C)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001018755937 759226256 /nfs/dbraw/zinc/22/62/56/759226256.db2.gz IEZIWEFBFBQXNR-KOLCDFICSA-N -1 1 317.349 1.044 20 0 DDADMM Cc1ccc(C(F)(F)C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000825170424 759228244 /nfs/dbraw/zinc/22/82/44/759228244.db2.gz DECDOPLJUZQMGH-NSHDSACASA-N -1 1 323.303 1.200 20 0 DDADMM CN(C[C@H]1CCN1Cc1ccns1)C(=O)c1ncccc1[O-] ZINC001085561474 759697909 /nfs/dbraw/zinc/69/79/09/759697909.db2.gz MRPYGWYWXHKQBY-LLVKDONJSA-N -1 1 318.402 1.590 20 0 DDADMM Cc1conc1CN[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001054603054 759875314 /nfs/dbraw/zinc/87/53/14/759875314.db2.gz FZRFPVNAHSQTOB-GWCFXTLKSA-N -1 1 316.361 1.334 20 0 DDADMM CC(=O)c1ccc(-c2ccc(C(=O)N(C)c3nn[n-]n3)o2)cc1 ZINC001131169343 767916664 /nfs/dbraw/zinc/91/66/64/767916664.db2.gz GJSUACZIYGAALD-UHFFFAOYSA-N -1 1 311.301 1.939 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C(C)=C/c3cccnc3)nc2n1 ZINC001131556070 768186965 /nfs/dbraw/zinc/18/69/65/768186965.db2.gz KUWHCGGPAJZEMZ-JXMROGBWSA-N -1 1 324.344 1.417 20 0 DDADMM C[C@H](c1cnccn1)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042646385 764310393 /nfs/dbraw/zinc/31/03/93/764310393.db2.gz QELBXLWBWRFHKO-LLVKDONJSA-N -1 1 313.361 1.095 20 0 DDADMM CSc1nc(CNC(=O)c2ncnc3[nH]ccc32)cc(=O)[n-]1 ZINC001143620003 765009710 /nfs/dbraw/zinc/00/97/10/765009710.db2.gz JFURWZHVCMHWPD-UHFFFAOYSA-N -1 1 316.346 1.057 20 0 DDADMM CSc1nc2[nH]ccc2c(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001170222859 766195853 /nfs/dbraw/zinc/19/58/53/766195853.db2.gz GVOZYKJGRAHOAK-UHFFFAOYSA-N -1 1 314.334 1.795 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001045271190 766196009 /nfs/dbraw/zinc/19/60/09/766196009.db2.gz QSJZLVCMOKYIRJ-YPMHNXCESA-N -1 1 321.425 1.417 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2cc(C(F)(F)F)cc[n+]2[O-])[n-]1 ZINC001170226839 766201039 /nfs/dbraw/zinc/20/10/39/766201039.db2.gz ABCYCESWAIESLF-UHFFFAOYSA-N -1 1 312.211 1.454 20 0 DDADMM COCCOc1cc(Nc2[n-]c(=O)nc3nc[nH]c32)ccn1 ZINC001170229638 766203975 /nfs/dbraw/zinc/20/39/75/766203975.db2.gz UYXPRLFIPAGRLQ-UHFFFAOYSA-N -1 1 302.294 1.222 20 0 DDADMM O=c1cc(Nc2[n-]c(=O)nc3nc[nH]c32)cnn1CC1CCC1 ZINC001170229770 766204103 /nfs/dbraw/zinc/20/41/03/766204103.db2.gz ASVCEYQOQABNCA-UHFFFAOYSA-N -1 1 313.321 1.159 20 0 DDADMM Cn1cncc1C[N@H+]1CC[C@@](C)(NC(=O)c2ccccc2O)C1 ZINC001046145982 766996635 /nfs/dbraw/zinc/99/66/35/766996635.db2.gz CEKNMNQQHQBSMW-QGZVFWFLSA-N -1 1 314.389 1.520 20 0 DDADMM CCCCCC(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131825009 768392590 /nfs/dbraw/zinc/39/25/90/768392590.db2.gz FUVJNEFGVMUFBR-QWHCGFSZSA-N -1 1 323.441 1.158 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1c1ncccn1 ZINC001048643445 769622870 /nfs/dbraw/zinc/62/28/70/769622870.db2.gz KOBRXRKJAMONHM-NWDGAFQWSA-N -1 1 313.361 1.365 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ccnc(F)c2F)[n-]1 ZINC001133687916 770154613 /nfs/dbraw/zinc/15/46/13/770154613.db2.gz FDFRCPCGNWRUES-UHFFFAOYSA-N -1 1 323.255 1.929 20 0 DDADMM CC[C@H](F)CN1CC[C@H]2[C@@H]1CCCN2C(=O)c1n[nH]c(C)c1[O-] ZINC001049422634 770811061 /nfs/dbraw/zinc/81/10/61/770811061.db2.gz KWILKOSCRBVFIY-AVGNSLFASA-N -1 1 324.400 1.851 20 0 DDADMM Cc1cc(N2CCCC2)nc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159192017 771044466 /nfs/dbraw/zinc/04/44/66/771044466.db2.gz GUSLKURMIMLHBH-UHFFFAOYSA-N -1 1 312.337 1.501 20 0 DDADMM COCC(=O)N[C@@H]1CCCN(Cc2cc(F)c([O-])cc2F)C1 ZINC001144663278 772589985 /nfs/dbraw/zinc/58/99/85/772589985.db2.gz BOUUEVWNRMPQEC-LLVKDONJSA-N -1 1 314.332 1.397 20 0 DDADMM O=C(Nc1nn[n-]n1)c1c(Cl)ccnc1Br ZINC001147759198 773216959 /nfs/dbraw/zinc/21/69/59/773216959.db2.gz ZGAACNLQIICJNU-UHFFFAOYSA-N -1 1 303.507 1.263 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2c[nH]c(Cl)cc2=O)c1[O-] ZINC001147834102 773260700 /nfs/dbraw/zinc/26/07/00/773260700.db2.gz NHQHBXQDKPJHDQ-UHFFFAOYSA-N -1 1 309.709 1.594 20 0 DDADMM O=C([N-]c1nonc1-c1nc2ccccc2[nH]1)c1ccncn1 ZINC001148164738 773379069 /nfs/dbraw/zinc/37/90/69/773379069.db2.gz XLXZDPMYGVNDRC-UHFFFAOYSA-N -1 1 307.273 1.655 20 0 DDADMM O=C(Nc1nnco1)c1cc([O-])c(Br)c(O)c1 ZINC001148981663 773663432 /nfs/dbraw/zinc/66/34/32/773663432.db2.gz QOHRYWFARDBXAG-UHFFFAOYSA-N -1 1 300.068 1.496 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCCF ZINC001074944869 774258740 /nfs/dbraw/zinc/25/87/40/774258740.db2.gz ZTLIAHSFSAFORX-RYUDHWBXSA-N -1 1 323.368 1.646 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCCF ZINC001074944867 774258747 /nfs/dbraw/zinc/25/87/47/774258747.db2.gz ZTLIAHSFSAFORX-NEPJUHHUSA-N -1 1 323.368 1.646 20 0 DDADMM CO[C@@H](C)c1nsc(NCCNC(=O)c2ncccc2[O-])n1 ZINC001093563040 774890734 /nfs/dbraw/zinc/89/07/34/774890734.db2.gz UCDKFMXGAGVXAT-QMMMGPOBSA-N -1 1 323.378 1.188 20 0 DDADMM CCc1ncnc(NCCCNC(=O)c2ncccc2[O-])c1F ZINC001094375606 775819547 /nfs/dbraw/zinc/81/95/47/775819547.db2.gz HCPHNGWVBCUBQO-UHFFFAOYSA-N -1 1 319.340 1.511 20 0 DDADMM O=C(NCCCNc1ccnc(C2CC2)n1)c1ncccc1[O-] ZINC001094375599 775819869 /nfs/dbraw/zinc/81/98/69/775819869.db2.gz GXHKQAAMTUBKGX-UHFFFAOYSA-N -1 1 313.361 1.687 20 0 DDADMM Cn1ncc(C(=O)Nc2cccc(F)c2[O-])c1-n1cnnc1 ZINC001171632485 776356043 /nfs/dbraw/zinc/35/60/43/776356043.db2.gz COPPOABTLCZLOK-UHFFFAOYSA-N -1 1 302.269 1.098 20 0 DDADMM C[C@@H]1C[C@@H](N[C@@H]2SC(=O)[N-]C2=O)CN1Cc1ccccc1 ZINC001171725744 776424833 /nfs/dbraw/zinc/42/48/33/776424833.db2.gz BUICWFKZQFMNOI-MPKXVKKWSA-N -1 1 305.403 1.548 20 0 DDADMM CN(CCNc1cnc2ccccc2n1)C(=O)c1ncccc1[O-] ZINC001101561247 777250684 /nfs/dbraw/zinc/25/06/84/777250684.db2.gz RCDXWGWJGCXPEJ-UHFFFAOYSA-N -1 1 323.356 1.915 20 0 DDADMM CCc1nc(C)cc(NCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001101561441 777251734 /nfs/dbraw/zinc/25/17/34/777251734.db2.gz YLTBWNYUCUTTMQ-UHFFFAOYSA-N -1 1 315.377 1.632 20 0 DDADMM Cc1[nH]nc2ccc([N-]S(=O)(=O)c3cncc(N)c3)cc12 ZINC001175699261 777864347 /nfs/dbraw/zinc/86/43/47/777864347.db2.gz OZRKLLBBNCHOHD-UHFFFAOYSA-N -1 1 303.347 1.649 20 0 DDADMM COc1cncc(CCC(=O)Nc2cc(=O)[n-]c(SC)n2)c1 ZINC001175777282 777907557 /nfs/dbraw/zinc/90/75/57/777907557.db2.gz URQLTDJPNARNLD-UHFFFAOYSA-N -1 1 320.374 1.879 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)C1(C)CC=CC1 ZINC001102323699 778058411 /nfs/dbraw/zinc/05/84/11/778058411.db2.gz BNAYESHACATSDD-VXGBXAGGSA-N -1 1 319.409 1.051 20 0 DDADMM CN(C)c1ncc(Nc2cc(S(C)(=O)=O)ccc2[O-])cn1 ZINC001176333355 778118360 /nfs/dbraw/zinc/11/83/60/778118360.db2.gz KHRGBQFHECBHGE-UHFFFAOYSA-N -1 1 308.363 1.395 20 0 DDADMM CC1(C)CC(=O)Nc2c(NC(=O)Cc3nn[n-]n3)cccc21 ZINC001176845372 778299845 /nfs/dbraw/zinc/29/98/45/778299845.db2.gz SXEYIJGJOIXTNQ-UHFFFAOYSA-N -1 1 300.322 1.001 20 0 DDADMM CSc1nc(NC(=O)[C@H]2Cc3ccccc3O2)cc(=O)[n-]1 ZINC001178936860 779221475 /nfs/dbraw/zinc/22/14/75/779221475.db2.gz YZKRINSESOTFES-SNVBAGLBSA-N -1 1 303.343 1.846 20 0 DDADMM CC(=O)N(C)CCC(=O)Nc1nc(Br)ccc1[O-] ZINC001180221037 779658917 /nfs/dbraw/zinc/65/89/17/779658917.db2.gz YSOHWTSWWIILBE-UHFFFAOYSA-N -1 1 316.155 1.357 20 0 DDADMM Cc1cc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)nn1C(C)C ZINC001118783968 781240314 /nfs/dbraw/zinc/24/03/14/781240314.db2.gz MINLJVWDUMTNCI-UHFFFAOYSA-N -1 1 315.337 1.064 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)NCC1(C2CC2)CC1 ZINC001119164831 781340251 /nfs/dbraw/zinc/34/02/51/781340251.db2.gz YLIUESPXRIRQFA-UHFFFAOYSA-N -1 1 322.792 1.968 20 0 DDADMM Cc1cnc(Cl)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001119890698 781667445 /nfs/dbraw/zinc/66/74/45/781667445.db2.gz NWGKVCMLGRQTNO-VIFPVBQESA-N -1 1 306.757 1.576 20 0 DDADMM C/C=C(\C)C(=O)N1CCC(CCN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001271766956 844095658 /nfs/dbraw/zinc/09/56/58/844095658.db2.gz XGOONIYLFAIJFG-UUILKARUSA-N -1 1 321.425 1.537 20 0 DDADMM O=C(C1CC1)N(CCNCc1n[nH]c(=O)[n-]1)Cc1ccccc1 ZINC001271789339 844111304 /nfs/dbraw/zinc/11/13/04/844111304.db2.gz BJCFWMQTAROHKK-UHFFFAOYSA-N -1 1 315.377 1.039 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(CC2CC2)C(C)C)[n-]n1 ZINC001414196027 839580462 /nfs/dbraw/zinc/58/04/62/839580462.db2.gz NLFIVGASXAGOKH-UHFFFAOYSA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(CC2CC2)C(C)C)n[n-]1 ZINC001414196027 839580466 /nfs/dbraw/zinc/58/04/66/839580466.db2.gz NLFIVGASXAGOKH-UHFFFAOYSA-N -1 1 301.368 1.005 20 0 DDADMM CC[C@H](F)C(=O)N(CC)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001409213095 844520144 /nfs/dbraw/zinc/52/01/44/844520144.db2.gz VZLGOMFGXWYTJW-NEPJUHHUSA-N -1 1 323.368 1.598 20 0 DDADMM NC(=O)[C@H]1CCC2(C1)CCN(C(=O)c1ncccc1[O-])CC2 ZINC001269345754 841541822 /nfs/dbraw/zinc/54/18/22/841541822.db2.gz FUGNMCUXCWBSQC-NSHDSACASA-N -1 1 303.362 1.295 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@]12CCN(C(=O)c1ncccc1[O-])C2 ZINC001269346628 841543096 /nfs/dbraw/zinc/54/30/96/841543096.db2.gz HYVZKXYJYQBRRS-YVEFUNNKSA-N -1 1 317.389 1.508 20 0 DDADMM CN1c2ccccc2[C@]2(CCN(C(=O)c3ccc([O-])cn3)C2)C1=O ZINC001269354634 841556918 /nfs/dbraw/zinc/55/69/18/841556918.db2.gz PNSVQBBGVNOAEX-GOSISDBHSA-N -1 1 323.352 1.548 20 0 DDADMM CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1ccc([O-])cc1Cl ZINC001269983278 842202673 /nfs/dbraw/zinc/20/26/73/842202673.db2.gz NDAYGWJUMBQWRB-CHWSQXEVSA-N -1 1 308.765 1.881 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2OCCN(C3CCCC3)[C@H]2C1 ZINC001270258062 842433299 /nfs/dbraw/zinc/43/32/99/842433299.db2.gz KBOACWQXCKBGAU-ZFWWWQNUSA-N -1 1 317.389 1.255 20 0 DDADMM NC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1cc2cccc(O)c2cc1[O-] ZINC001270617411 842722106 /nfs/dbraw/zinc/72/21/06/842722106.db2.gz BRQQVMKYJAJXMV-DYZYQPBXSA-N -1 1 312.325 1.341 20 0 DDADMM CC(C)(C)N1CC(Oc2[n-]c(=O)ncc2Br)C1 ZINC001227132955 843357973 /nfs/dbraw/zinc/35/79/73/843357973.db2.gz BNAHXRAGVBEOGX-UHFFFAOYSA-N -1 1 302.172 1.806 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)NC3(C(N)=O)CC3)cc2c1 ZINC001154755199 861428562 /nfs/dbraw/zinc/42/85/62/861428562.db2.gz ZZVYWXNCLNATIU-UHFFFAOYSA-N -1 1 300.314 1.302 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1nccc2ccccc21 ZINC001149157799 861474812 /nfs/dbraw/zinc/47/48/12/861474812.db2.gz HUSDTZYVQGPARK-UHFFFAOYSA-N -1 1 306.285 1.859 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)[C@@H]1CCCOC1 ZINC001365683874 846396500 /nfs/dbraw/zinc/39/65/00/846396500.db2.gz HJRIKAHGTUJIAT-RKDXNWHRSA-N -1 1 306.409 1.245 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cccc2cc(O)cnc21 ZINC001155110707 861741377 /nfs/dbraw/zinc/74/13/77/861741377.db2.gz WVTVXHGBFXHHSP-UHFFFAOYSA-N -1 1 322.284 1.565 20 0 DDADMM Cc1ccncc1CN1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001032370265 847206950 /nfs/dbraw/zinc/20/69/50/847206950.db2.gz QXDVFAWIDGNFJF-GJZGRUSLSA-N -1 1 324.384 1.590 20 0 DDADMM COC(=O)c1ccc(C)c(NC(=O)c2cnncc2[O-])c1O ZINC001155145642 861770777 /nfs/dbraw/zinc/77/07/77/861770777.db2.gz ODMDRTJXASOILN-UHFFFAOYSA-N -1 1 303.274 1.235 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@]2(CC(C)C)CCNC2=O)n1 ZINC001128946501 848471471 /nfs/dbraw/zinc/47/14/71/848471471.db2.gz RNSZULVICZNFPN-OAHLLOKOSA-N -1 1 322.365 1.077 20 0 DDADMM CCCC(=O)N[C@H](C)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001410151150 848847153 /nfs/dbraw/zinc/84/71/53/848847153.db2.gz NMWNTFBQPZHDCF-SNVBAGLBSA-N -1 1 322.409 1.649 20 0 DDADMM CC(C)=CCN1C(=O)COCC12CN(Cc1ncccc1[O-])C2 ZINC001273325652 849537921 /nfs/dbraw/zinc/53/79/21/849537921.db2.gz PAIBLQHWRVGXLY-UHFFFAOYSA-N -1 1 317.389 1.167 20 0 DDADMM CC1(C(=O)NC2(CNC(=O)c3ncccc3[O-])CC2)CCC1 ZINC001410690731 849646228 /nfs/dbraw/zinc/64/62/28/849646228.db2.gz HFHLADRDQIPOBY-UHFFFAOYSA-N -1 1 303.362 1.356 20 0 DDADMM Cc1ccc(COCC(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC001411350988 850900692 /nfs/dbraw/zinc/90/06/92/850900692.db2.gz GTNCGFWLEGDKDW-UHFFFAOYSA-N -1 1 310.357 1.806 20 0 DDADMM C[C@@H]1c2nc(C(N)=O)cn2CCN1C(=O)c1cc(F)ccc1[O-] ZINC001275361148 853016142 /nfs/dbraw/zinc/01/61/42/853016142.db2.gz OVCRTFFUXMHEKE-MRVPVSSYSA-N -1 1 318.308 1.044 20 0 DDADMM NC(=O)c1cc2c([nH]1)CN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC001275373763 853032892 /nfs/dbraw/zinc/03/28/92/853032892.db2.gz OHAOEUYLEQVAOD-UHFFFAOYSA-N -1 1 303.293 1.157 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC2(CN(Cc3cn[nH]c3)C2)C1 ZINC001275407370 853078497 /nfs/dbraw/zinc/07/84/97/853078497.db2.gz IIWHANKLXJLYEW-UHFFFAOYSA-N -1 1 316.336 1.212 20 0 DDADMM COc1ccccc1C(F)(F)C(=O)[N-]c1ccn(C)c(=O)n1 ZINC001411464457 853159347 /nfs/dbraw/zinc/15/93/47/853159347.db2.gz LMOYINBCOUMQMG-UHFFFAOYSA-N -1 1 309.272 1.354 20 0 DDADMM O=C(c1ccc([O-])cn1)N(C[C@H]1CCCO1)[C@H]1CCSC1 ZINC001411783039 853727568 /nfs/dbraw/zinc/72/75/68/853727568.db2.gz YFUYUVRLMRMVQZ-WCQYABFASA-N -1 1 308.403 1.914 20 0 DDADMM NC(=O)c1noc2c1CN(C(=O)c1ccc(Cl)cc1[O-])CC2 ZINC001275935376 853982348 /nfs/dbraw/zinc/98/23/48/853982348.db2.gz XMWJMIHAZROSFK-UHFFFAOYSA-N -1 1 321.720 1.331 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC2(CN(Cc3cn[nH]c3)C2)C1 ZINC001275975226 854054585 /nfs/dbraw/zinc/05/45/85/854054585.db2.gz OOBSMNZSDRMEGM-UHFFFAOYSA-N -1 1 316.336 1.212 20 0 DDADMM CC1(C)OCC(NC(=O)c2c([O-])cnc3c(F)cccc32)CO1 ZINC001411998742 854089485 /nfs/dbraw/zinc/08/94/85/854089485.db2.gz FFDAUXKCLPQJKM-UHFFFAOYSA-N -1 1 320.320 1.961 20 0 DDADMM COCCOCN1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc(F)c([O-])c1 ZINC001275989218 854098828 /nfs/dbraw/zinc/09/88/28/854098828.db2.gz OVPNEWPOROGAOH-BETUJISGSA-N -1 1 324.352 1.051 20 0 DDADMM CCC(CC)(NC(=O)C[C@@H]1Cc2ccccc2O1)c1nn[n-]n1 ZINC001412108250 854227043 /nfs/dbraw/zinc/22/70/43/854227043.db2.gz JWWDWJYOZHTTRG-LBPRGKRZSA-N -1 1 315.377 1.725 20 0 DDADMM CCC(CC)(NC(=O)CCn1nc(C)cc1C)c1nn[n-]n1 ZINC001412108635 854228305 /nfs/dbraw/zinc/22/83/05/854228305.db2.gz XHYYIKXKCVHBEJ-UHFFFAOYSA-N -1 1 305.386 1.235 20 0 DDADMM C[C@@H](C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001412218914 854345510 /nfs/dbraw/zinc/34/55/10/854345510.db2.gz WVWXFIUFZYWGDQ-NQBHXWOUSA-N -1 1 317.389 1.458 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC(C(=O)N2CCCCC2)CC1 ZINC001412353144 854465661 /nfs/dbraw/zinc/46/56/61/854465661.db2.gz SGXZJSVKUKOEEF-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM COC(=O)[C@]1(C)C[C@@H](OC)CN1C(=O)c1cccc([O-])c1F ZINC001412443871 854559407 /nfs/dbraw/zinc/55/94/07/854559407.db2.gz JNZHGDASPHEVII-PSLIRLAXSA-N -1 1 311.309 1.324 20 0 DDADMM O=C(N[C@@H](CO)[C@@H](O)c1ccccn1)c1cc(Cl)ccc1[O-] ZINC001412462855 854581214 /nfs/dbraw/zinc/58/12/14/854581214.db2.gz PSBDOZQKVOLDSR-JSGCOSHPSA-N -1 1 322.748 1.265 20 0 DDADMM O=C(c1cc(C2CCCCC2)n[nH]1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001412493356 854622024 /nfs/dbraw/zinc/62/20/24/854622024.db2.gz UZTKOSATMAHGTI-NSHDSACASA-N -1 1 315.381 1.600 20 0 DDADMM CC(=O)c1ccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)cc1O ZINC001412531355 854670281 /nfs/dbraw/zinc/67/02/81/854670281.db2.gz RTJGVDCKYINKGR-UHFFFAOYSA-N -1 1 317.349 1.406 20 0 DDADMM NC(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)c1cccc([O-])c1Cl)C2 ZINC001276049611 854672966 /nfs/dbraw/zinc/67/29/66/854672966.db2.gz FFYDWWPPBQRUKL-BZBKMWRSSA-N -1 1 308.765 1.629 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1CCC(=O)[C@H](C)C1(C)C ZINC001412533046 854673498 /nfs/dbraw/zinc/67/34/98/854673498.db2.gz UQBXODAAJUYDAR-NWDGAFQWSA-N -1 1 321.425 1.826 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)c(=O)[n-]1 ZINC001412580301 854749561 /nfs/dbraw/zinc/74/95/61/854749561.db2.gz IGEAAOXQVGIHOE-QNSHHTMESA-N -1 1 309.391 1.447 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1coc(C)c1 ZINC001412588013 854772253 /nfs/dbraw/zinc/77/22/53/854772253.db2.gz LNVFSOBHQGBDCF-NSHDSACASA-N -1 1 318.333 1.860 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1coc(C)c1 ZINC001412588013 854772254 /nfs/dbraw/zinc/77/22/54/854772254.db2.gz LNVFSOBHQGBDCF-NSHDSACASA-N -1 1 318.333 1.860 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1coc(C)c1 ZINC001412588013 854772256 /nfs/dbraw/zinc/77/22/56/854772256.db2.gz LNVFSOBHQGBDCF-NSHDSACASA-N -1 1 318.333 1.860 20 0 DDADMM [O-]c1c(F)cc(CN2CC3(CCN3Cc3cn[nH]c3)C2)cc1F ZINC001276177448 854899009 /nfs/dbraw/zinc/89/90/09/854899009.db2.gz CFHUVQFVQNBTKD-UHFFFAOYSA-N -1 1 320.343 1.854 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cc(C)no2)[n-]c1=O ZINC001412768146 855239451 /nfs/dbraw/zinc/23/94/51/855239451.db2.gz HVLQCDVHYYLMLU-SECBINFHSA-N -1 1 304.306 1.465 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1nc(C2CC2)cs1)c1nn[n-]n1 ZINC001412793782 855275686 /nfs/dbraw/zinc/27/56/86/855275686.db2.gz KENPUNDRBFIGEZ-MRVPVSSYSA-N -1 1 306.395 1.338 20 0 DDADMM CCOC(=O)c1cn(C)nc1NC(=O)c1cccc([O-])c1F ZINC001412820374 855305331 /nfs/dbraw/zinc/30/53/31/855305331.db2.gz MWPHOGZEZVAXJZ-UHFFFAOYSA-N -1 1 307.281 1.694 20 0 DDADMM CSc1nc(NC(=O)c2cccc3nncn32)cc(=O)[n-]1 ZINC001156057255 862681251 /nfs/dbraw/zinc/68/12/51/862681251.db2.gz HPJZXEJCPPOJHI-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM CCC(CC)(NC(=O)CCOc1ccccc1F)c1nn[n-]n1 ZINC001412939633 855796117 /nfs/dbraw/zinc/79/61/17/855796117.db2.gz ZUNQFEUXVSLJCX-UHFFFAOYSA-N -1 1 321.356 1.939 20 0 DDADMM O=c1nc(N[C@@H]2CCO[C@@H](c3cccnc3)C2)nc2[nH][n-]cc1-2 ZINC001328143327 862723489 /nfs/dbraw/zinc/72/34/89/862723489.db2.gz PIXOBKRJZNMJLX-ZYHUDNBSSA-N -1 1 312.333 1.159 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)CC2(C)CC2)CCN1C(=O)c1ncccc1[O-] ZINC001413032065 855995553 /nfs/dbraw/zinc/99/55/53/855995553.db2.gz NNMQIRORUGVJCC-NEPJUHHUSA-N -1 1 317.389 1.697 20 0 DDADMM CSc1n[nH]c(NC(=O)c2cccn3ccnc23)c1C(N)=O ZINC001151471191 862792124 /nfs/dbraw/zinc/79/21/24/862792124.db2.gz NWLSKNOIWIMUHB-UHFFFAOYSA-N -1 1 316.346 1.131 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccoc1)c1cnc(C2CC2)[n-]c1=O ZINC001413474919 856860359 /nfs/dbraw/zinc/86/03/59/856860359.db2.gz IYZAVVZGHXUZHT-NSHDSACASA-N -1 1 317.345 1.234 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1snnc1C1CC1 ZINC001413501432 856895577 /nfs/dbraw/zinc/89/55/77/856895577.db2.gz DNKBELUTWPBBSM-UHFFFAOYSA-N -1 1 307.383 1.227 20 0 DDADMM CNS(=O)(=O)c1cccc(C(=O)Nc2cccc(F)c2[O-])c1 ZINC001151771323 862958343 /nfs/dbraw/zinc/95/83/43/862958343.db2.gz HARDIRCIGQHAOK-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM O=C(Nc1cc(C(=O)OCc2ccccc2)[nH]n1)[C@H](O)C1CC1 ZINC001413756339 858490508 /nfs/dbraw/zinc/49/05/08/858490508.db2.gz OUEIRTCASGCXIL-CQSZACIVSA-N -1 1 315.329 1.476 20 0 DDADMM O=C([O-])[C@@]1(C(=O)Nc2ccc3c(c2)C=CCCC3)CNCCO1 ZINC001122149167 858696719 /nfs/dbraw/zinc/69/67/19/858696719.db2.gz YQVVXXCGMGNCFU-KRWDZBQOSA-N -1 1 316.357 1.418 20 0 DDADMM CN(C)c1nc(NC(=O)c2cc3n(n2)CCC3)c(N=O)c(=O)[n-]1 ZINC001151928909 863062063 /nfs/dbraw/zinc/06/20/63/863062063.db2.gz JMCVOHOYQPMOJM-UHFFFAOYSA-N -1 1 317.309 1.041 20 0 DDADMM CC[C@H](C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001381265003 881399312 /nfs/dbraw/zinc/39/93/12/881399312.db2.gz LVZHOHRKCFQXJO-WCQYABFASA-N -1 1 319.405 1.800 20 0 DDADMM O=C(/C=C\Sc1ccccc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123869008 859447746 /nfs/dbraw/zinc/44/77/46/859447746.db2.gz KLALDVMEKHHUPM-DVBBHNHCSA-N -1 1 301.375 1.822 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1C[C@@H](C)OC2(CCC2)C1 ZINC001123904687 859464145 /nfs/dbraw/zinc/46/41/45/859464145.db2.gz IEQGVUKSWFQUTG-MRVPVSSYSA-N -1 1 309.391 1.563 20 0 DDADMM Cc1ncccc1C=CC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123910693 859466934 /nfs/dbraw/zinc/46/69/34/859466934.db2.gz UAWSCXSIRLAMLA-FWWRYZNZSA-N -1 1 312.377 1.398 20 0 DDADMM Cc1cncc(C=CC(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)c1 ZINC001123999507 859519305 /nfs/dbraw/zinc/51/93/05/859519305.db2.gz WMYYAEKYWMDPFT-ISZGNANSSA-N -1 1 312.377 1.398 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(Cc2ncccc2[O-])[C@@H]2C[C@@H]21 ZINC001138390554 860084396 /nfs/dbraw/zinc/08/43/96/860084396.db2.gz BGZHZOLEGLOCDE-OLZOCXBDSA-N -1 1 305.378 1.981 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)COc3ccc(F)c(F)c3)ccnc1-2 ZINC001361377106 881528275 /nfs/dbraw/zinc/52/82/75/881528275.db2.gz LYIQPVPZOPQURM-UHFFFAOYSA-N -1 1 318.283 1.638 20 0 DDADMM CCC(CC)(NC(=O)c1cn2c(cccc2C)n1)c1nn[n-]n1 ZINC001140064059 860568300 /nfs/dbraw/zinc/56/83/00/860568300.db2.gz IKJHMDJAUFQCPV-UHFFFAOYSA-N -1 1 313.365 1.601 20 0 DDADMM C[C@H]1CN(Cc2ccncc2[O-])Cc2nnc(C(F)(F)F)n21 ZINC001140282155 860611668 /nfs/dbraw/zinc/61/16/68/860611668.db2.gz OBXOGKFILGNBKS-QMMMGPOBSA-N -1 1 313.283 1.974 20 0 DDADMM Cc1cc(CN[C@H](C)[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])on1 ZINC001381359533 881571131 /nfs/dbraw/zinc/57/11/31/881571131.db2.gz IVAXGGJOBZXZBE-BDAKNGLRSA-N -1 1 307.354 1.017 20 0 DDADMM CN(C)c1nc(NC(=O)C(=O)c2ccco2)c(N=O)c(=O)[n-]1 ZINC001142278991 861102907 /nfs/dbraw/zinc/10/29/07/861102907.db2.gz LIGHZIIOINKKSR-UHFFFAOYSA-N -1 1 305.250 1.061 20 0 DDADMM Cc1n[nH]c(C(=O)NCCCNC/C(Cl)=C\Cl)c1[O-] ZINC001156627009 863207789 /nfs/dbraw/zinc/20/77/89/863207789.db2.gz RFZNHKJHLXYZMO-VMPITWQZSA-N -1 1 307.181 1.452 20 0 DDADMM CNC(=O)Nc1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC001361430243 881638035 /nfs/dbraw/zinc/63/80/35/881638035.db2.gz LIAUCETXYVJEHT-UHFFFAOYSA-N -1 1 324.344 1.345 20 0 DDADMM CSc1nc(NC(=O)c2cn3cnccc3n2)cc(=O)[n-]1 ZINC001152481168 863383716 /nfs/dbraw/zinc/38/37/16/863383716.db2.gz DGRSJMWBWBPRLW-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cnn2cc(F)ccc12 ZINC001156939573 863463821 /nfs/dbraw/zinc/46/38/21/863463821.db2.gz VFNPMHJJPZMRBR-UHFFFAOYSA-N -1 1 315.241 1.161 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CC(N2CC(F)C2)C1 ZINC001152668923 863482333 /nfs/dbraw/zinc/48/23/33/863482333.db2.gz ADZINKDUBZIJJH-UHFFFAOYSA-N -1 1 317.320 1.124 20 0 DDADMM Cc1nc(CCNCc2cn(C3CCC3)nn2)sc1C(=O)[O-] ZINC001329342677 863608496 /nfs/dbraw/zinc/60/84/96/863608496.db2.gz CDXDLNRSOACPOU-UHFFFAOYSA-N -1 1 321.406 1.799 20 0 DDADMM Nc1cc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)ncn1 ZINC001157159367 863622169 /nfs/dbraw/zinc/62/21/69/863622169.db2.gz GQMRBJXIGXTQBK-UHFFFAOYSA-N -1 1 306.262 1.144 20 0 DDADMM Cn1ncnc1C1(O)CN(C(=O)c2cc3ccccc3cc2[O-])C1 ZINC001153625552 863980539 /nfs/dbraw/zinc/98/05/39/863980539.db2.gz XICAKHZXOYHQDS-UHFFFAOYSA-N -1 1 324.340 1.018 20 0 DDADMM COc1ccc2c(c1)[n-]c(C(=O)Nc1c[nH]ccc1=O)cc2=O ZINC001154113214 864417210 /nfs/dbraw/zinc/41/72/10/864417210.db2.gz LTQCWOCIOKUQJF-UHFFFAOYSA-N -1 1 311.297 1.477 20 0 DDADMM CCCCNC(=O)CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001330706715 864543414 /nfs/dbraw/zinc/54/34/14/864543414.db2.gz VBXAPRPEWQHGKV-LBPRGKRZSA-N -1 1 309.332 1.046 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cnc3n2CCC3)[n-]1 ZINC001361517035 881812741 /nfs/dbraw/zinc/81/27/41/881812741.db2.gz VGVOAYMYHIIFIW-UHFFFAOYSA-N -1 1 316.317 1.399 20 0 DDADMM COc1ccn2c(c1)ncc2C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001361528372 881833448 /nfs/dbraw/zinc/83/34/48/881833448.db2.gz TYJRRPLBXYNSMQ-UHFFFAOYSA-N -1 1 322.328 1.250 20 0 DDADMM CC(C)n1nnc([N-]C(=O)c2cc(COC(C)(C)C)on2)n1 ZINC001361529156 881836204 /nfs/dbraw/zinc/83/62/04/881836204.db2.gz LDMMJZBJOFWXPG-UHFFFAOYSA-N -1 1 308.342 1.809 20 0 DDADMM CCNC(=O)NCCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001159174517 865147782 /nfs/dbraw/zinc/14/77/82/865147782.db2.gz FBMDTECZPWQDPF-UHFFFAOYSA-N -1 1 320.324 1.128 20 0 DDADMM COc1cc(F)c(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c(F)c1 ZINC001361532536 881840822 /nfs/dbraw/zinc/84/08/22/881840822.db2.gz QHOZYZSVTVZISY-UHFFFAOYSA-N -1 1 318.283 1.881 20 0 DDADMM Cn1cnc2c1nc(Cl)nc2Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159192753 865158591 /nfs/dbraw/zinc/15/85/91/865158591.db2.gz XGFZTWSBVFDAMR-UHFFFAOYSA-N -1 1 317.700 1.132 20 0 DDADMM COC(=O)c1ccc(Cl)c(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159198369 865161674 /nfs/dbraw/zinc/16/16/74/865161674.db2.gz NIUPASJAPYGPCL-UHFFFAOYSA-N -1 1 320.696 1.637 20 0 DDADMM CCOC(=O)c1ncnc(Nc2[n-]c(=O)nc3nc[nH]c32)c1C ZINC001159198361 865162315 /nfs/dbraw/zinc/16/23/15/865162315.db2.gz MXONUUVYKQRZOT-UHFFFAOYSA-N -1 1 315.293 1.077 20 0 DDADMM CN(C)c1nc([N-]c2nccnc2CN)nc(-c2ccccc2)n1 ZINC001160246283 865745480 /nfs/dbraw/zinc/74/54/80/865745480.db2.gz ZRDIBHOANGWWRU-UHFFFAOYSA-N -1 1 322.376 1.597 20 0 DDADMM COc1ccc2ccc(Nc3c(O)[nH]c(=O)[n-]c3=S)nc2c1 ZINC001160852773 866149389 /nfs/dbraw/zinc/14/93/89/866149389.db2.gz FXCJIAVIODBCJM-LLVKDONJSA-N -1 1 316.342 1.191 20 0 DDADMM CC(C)COc1cccc(Nc2c(O)[nH]c(=O)[n-]c2=S)n1 ZINC001160854370 866151263 /nfs/dbraw/zinc/15/12/63/866151263.db2.gz URLCZVDWWBGXIV-SNVBAGLBSA-N -1 1 308.363 1.064 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2ccc(C(=O)[O-])o2)C[C@@H](C)O1 ZINC001333359685 866665907 /nfs/dbraw/zinc/66/59/07/866665907.db2.gz XRGZVIWYTOXJBC-GHMZBOCLSA-N -1 1 310.350 1.207 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2COc3ccccc3C2)c(=O)[n-]1 ZINC001361652325 882092555 /nfs/dbraw/zinc/09/25/55/882092555.db2.gz UABILGICNBZBNF-JTQLQIEISA-N -1 1 317.370 1.638 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc3nc(C)oc3c2)n1 ZINC001321436777 867594162 /nfs/dbraw/zinc/59/41/62/867594162.db2.gz CPGJJMULGZHBHH-UHFFFAOYSA-N -1 1 308.319 1.306 20 0 DDADMM CCn1nc(CNCCn2cc(C(=O)[O-])nn2)c2ccccc21 ZINC001334851925 867866826 /nfs/dbraw/zinc/86/68/26/867866826.db2.gz BHKRCGIECDVXFZ-UHFFFAOYSA-N -1 1 314.349 1.136 20 0 DDADMM CCOC(=O)C[N-]C(=O)C(F)(F)c1cnc(Cl)c(C)c1 ZINC001335405622 868275795 /nfs/dbraw/zinc/27/57/95/868275795.db2.gz KTTAKXIHGCRXHI-UHFFFAOYSA-N -1 1 306.696 1.815 20 0 DDADMM Cc1cc2c(c(NCc3cnn4ccccc34)n1)C(=O)[N-]C2=O ZINC001163282539 868305797 /nfs/dbraw/zinc/30/57/97/868305797.db2.gz SYXRSWJPDLMGEK-UHFFFAOYSA-N -1 1 307.313 1.533 20 0 DDADMM C[C@@H](CCCNC(=O)c1ncccc1[O-])NC(=O)C(C)(F)F ZINC001335806615 868550074 /nfs/dbraw/zinc/55/00/74/868550074.db2.gz LDWBGHOHNLXPLQ-VIFPVBQESA-N -1 1 315.320 1.457 20 0 DDADMM CCn1ncc(C(=O)Nc2c[n-][nH]c2=O)c1Br ZINC001363710557 887034488 /nfs/dbraw/zinc/03/44/88/887034488.db2.gz QKWASCNQVMEXOA-UHFFFAOYSA-N -1 1 300.116 1.347 20 0 DDADMM COC(=O)c1cc2cc(N[C@H]3SC(=O)[N-]C3=O)cnc2[nH]1 ZINC001163666208 868609155 /nfs/dbraw/zinc/60/91/55/868609155.db2.gz JAIRXGFFRNOEKU-JTQLQIEISA-N -1 1 306.303 1.071 20 0 DDADMM CNS(=O)(=O)c1ccc(NC(=O)c2ccc([O-])cc2F)cc1 ZINC001361726161 882240272 /nfs/dbraw/zinc/24/02/72/882240272.db2.gz VALJFEIFVCZCHG-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@]1(C)C=CCC1)NC(=O)c1ncccc1[O-] ZINC001337385082 869462907 /nfs/dbraw/zinc/46/29/07/869462907.db2.gz WCNXNGNQTAUJHW-YVEFUNNKSA-N -1 1 317.389 1.720 20 0 DDADMM N[C@@H](C(=O)NCCCC(=O)[O-])c1ccc(Br)cc1 ZINC001337602225 869560248 /nfs/dbraw/zinc/56/02/48/869560248.db2.gz PKBZTESEIWZALE-LLVKDONJSA-N -1 1 315.167 1.430 20 0 DDADMM Cn1nc2ccccc2c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001338243368 869880765 /nfs/dbraw/zinc/88/07/65/869880765.db2.gz HWODSQYOZPKPBV-JTQLQIEISA-N -1 1 311.349 1.106 20 0 DDADMM CC[C@@H](C)CCCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001317056890 870177248 /nfs/dbraw/zinc/17/72/48/870177248.db2.gz CQWFFHFKOXVUII-OLZOCXBDSA-N -1 1 323.441 1.807 20 0 DDADMM CCc1ccc(N2CCC([N-]C(=O)C(F)(F)F)CC2)nn1 ZINC001166893679 870349063 /nfs/dbraw/zinc/34/90/63/870349063.db2.gz BCVAFJWLRMWHLY-UHFFFAOYSA-N -1 1 302.300 1.686 20 0 DDADMM CC(C)OCCC(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001298271636 870420019 /nfs/dbraw/zinc/42/00/19/870420019.db2.gz VBTASLAWFZKJAW-ONEGZZNKSA-N -1 1 321.377 1.005 20 0 DDADMM CC[C@H](SC)C(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001298349142 870491622 /nfs/dbraw/zinc/49/16/22/870491622.db2.gz UJHSJPUEHSOWJJ-ITKZLYELSA-N -1 1 323.418 1.331 20 0 DDADMM COCCOc1cc(C(=O)[O-])ccc1NC(=O)[C@@H](C)N(C)C ZINC001339569876 870559443 /nfs/dbraw/zinc/55/94/43/870559443.db2.gz XBJMLZQJMIRVLX-SNVBAGLBSA-N -1 1 310.350 1.299 20 0 DDADMM Cc1nn(-c2ccccc2)c(C)c1CC(=O)N(C)c1nn[n-]n1 ZINC001339646879 870603987 /nfs/dbraw/zinc/60/39/87/870603987.db2.gz LFGFVIUUFNZGPB-UHFFFAOYSA-N -1 1 311.349 1.208 20 0 DDADMM Nc1ccc([N-]c2nonc2-c2cn3ccncc3n2)c(F)n1 ZINC001203613308 870672278 /nfs/dbraw/zinc/67/22/78/870672278.db2.gz SAMHXCHUDYICDV-UHFFFAOYSA-N -1 1 312.268 1.639 20 0 DDADMM Nc1ccc(F)cc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001301653004 871013766 /nfs/dbraw/zinc/01/37/66/871013766.db2.gz BHRQKDKLLOYXKW-CYBMUJFWSA-N -1 1 312.308 1.440 20 0 DDADMM Cc1onc(-c2ccccc2)c1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001302937247 871088624 /nfs/dbraw/zinc/08/86/24/871088624.db2.gz MCBAZOWPFQBZCV-GFCCVEGCSA-N -1 1 324.344 1.793 20 0 DDADMM Cc1ccc(C=CC(=O)N(Cc2nn[n-]n2)CC(C)C)cn1 ZINC001303201425 871107025 /nfs/dbraw/zinc/10/70/25/871107025.db2.gz KSFCPTWJXITTGU-VOTSOKGWSA-N -1 1 300.366 1.601 20 0 DDADMM C[C@@H](c1ccccc1)[C@@H]1CCCN1c1nnc(-c2nnn[n-]2)n1C ZINC001341255616 871581177 /nfs/dbraw/zinc/58/11/77/871581177.db2.gz SBPWDUAZBHRLBD-AAEUAGOBSA-N -1 1 324.392 1.768 20 0 DDADMM C[C@@H](c1ccccc1)[C@@H]1CCCN1c1nnc(-c2nn[n-]n2)n1C ZINC001341255616 871581186 /nfs/dbraw/zinc/58/11/86/871581186.db2.gz SBPWDUAZBHRLBD-AAEUAGOBSA-N -1 1 324.392 1.768 20 0 DDADMM O=C([O-])[C@]1(C(=O)N2CCC[C@H](C3CCCCC3)C2)CNCCO1 ZINC001342265888 872113432 /nfs/dbraw/zinc/11/34/32/872113432.db2.gz TVDPFNQRMGRQBH-WMLDXEAASA-N -1 1 324.421 1.249 20 0 DDADMM Cc1ccc(F)c(Br)c1C(=O)N(C)c1nn[n-]n1 ZINC001361904664 882613932 /nfs/dbraw/zinc/61/39/32/882613932.db2.gz KLGKYWBYEJJDBW-UHFFFAOYSA-N -1 1 314.118 1.686 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cnsn2)[n-]c1Cl ZINC001361924002 882648132 /nfs/dbraw/zinc/64/81/32/882648132.db2.gz PPTKDINYGKTOKQ-UHFFFAOYSA-N -1 1 301.715 1.344 20 0 DDADMM CC(C)CN(C)c1nnc(-c2nnn[n-]2)n1Cc1ccccc1 ZINC001344102795 872857455 /nfs/dbraw/zinc/85/74/55/872857455.db2.gz ORBNXFXNDPAISB-UHFFFAOYSA-N -1 1 312.381 1.599 20 0 DDADMM CC(C)CN(C)c1nnc(-c2nn[n-]n2)n1Cc1ccccc1 ZINC001344102795 872857458 /nfs/dbraw/zinc/85/74/58/872857458.db2.gz ORBNXFXNDPAISB-UHFFFAOYSA-N -1 1 312.381 1.599 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nn(C)cc2C)[n-]c1Cl ZINC001361933071 882667506 /nfs/dbraw/zinc/66/75/06/882667506.db2.gz PIBVQYXPJDVCIT-UHFFFAOYSA-N -1 1 311.729 1.534 20 0 DDADMM CSc1ncc(C(=O)Nc2nnc(C(F)F)s2)c(=O)[n-]1 ZINC001361961331 882714304 /nfs/dbraw/zinc/71/43/04/882714304.db2.gz VSENEWZEJHSROB-UHFFFAOYSA-N -1 1 319.318 1.946 20 0 DDADMM CCC(=O)N(C)C[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001381842544 882779569 /nfs/dbraw/zinc/77/95/69/882779569.db2.gz FHJAWWZDAZSFQY-SECBINFHSA-N -1 1 306.366 1.046 20 0 DDADMM Cc1cccc(NC(=O)c2cnc(C3CC3)[n-]c2=O)c1C(N)=O ZINC001361993009 882779771 /nfs/dbraw/zinc/77/97/71/882779771.db2.gz JOHACPFJJDFHJN-UHFFFAOYSA-N -1 1 312.329 1.719 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H](C)n2cccc2)c1 ZINC001347426049 874136306 /nfs/dbraw/zinc/13/63/06/874136306.db2.gz SWUHAYXEHVKKLN-SNVBAGLBSA-N -1 1 323.374 1.302 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@](C)(O)c1ccccc1 ZINC001347661209 874233090 /nfs/dbraw/zinc/23/30/90/874233090.db2.gz QFCJKGBNUYCPOH-OAHLLOKOSA-N -1 1 319.386 1.417 20 0 DDADMM CC[C@H](CNC(=O)C12CCC(CC1)C2)NCc1n[nH]c(=O)[n-]1 ZINC001378265131 874578310 /nfs/dbraw/zinc/57/83/10/874578310.db2.gz DNYNQOCGRRWLMN-LOIXOFCESA-N -1 1 307.398 1.075 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)Oc2cccc(F)c2F)n[n-]1 ZINC001209151369 874693771 /nfs/dbraw/zinc/69/37/71/874693771.db2.gz FXUFVAVIAZXTHY-UHFFFAOYSA-N -1 1 318.257 1.242 20 0 DDADMM O=C(c1c(F)ccnc1Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001349354080 875127732 /nfs/dbraw/zinc/12/77/32/875127732.db2.gz AHKUHTSHCSUPQU-SSDOTTSWSA-N -1 1 310.720 1.407 20 0 DDADMM O=S(=O)([N-]CCOc1ncccc1F)c1ccns1 ZINC001211076440 875649288 /nfs/dbraw/zinc/64/92/88/875649288.db2.gz ADLBUQFECWLJSC-UHFFFAOYSA-N -1 1 303.340 1.035 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CCC1CCCCC1 ZINC001378842395 875753114 /nfs/dbraw/zinc/75/31/14/875753114.db2.gz CWHHTRCSQWVHSZ-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM CC[C@H](CNC(=O)CCc1nn[n-]n1)Oc1cccc(Cl)c1 ZINC001362070122 882961198 /nfs/dbraw/zinc/96/11/98/882961198.db2.gz CSDOYNICSKMXDG-LLVKDONJSA-N -1 1 323.784 1.760 20 0 DDADMM CCCCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001378932938 875936741 /nfs/dbraw/zinc/93/67/41/875936741.db2.gz ZGMUCCPZESSKLK-NEPJUHHUSA-N -1 1 309.414 1.417 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ncc(OC)cc3C)no2)[n-]n1 ZINC001213463602 875944034 /nfs/dbraw/zinc/94/40/34/875944034.db2.gz IGHWSHHLDDFUEP-UHFFFAOYSA-N -1 1 315.289 1.625 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ccccc3OC)no2)[n-]n1 ZINC001213460990 875944891 /nfs/dbraw/zinc/94/48/91/875944891.db2.gz BIHINMFJAFMYBS-UHFFFAOYSA-N -1 1 300.274 1.922 20 0 DDADMM CC(C)(C)OC(=O)N1CC(Oc2[n-]c(=S)ncc2F)C1 ZINC001227386752 882993130 /nfs/dbraw/zinc/99/31/30/882993130.db2.gz ZVWICCNYLYNGJV-UHFFFAOYSA-N -1 1 301.343 1.903 20 0 DDADMM CC(C)C(=O)NC1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001362084885 882994030 /nfs/dbraw/zinc/99/40/30/882994030.db2.gz FQQHWUCTSLFIQF-UHFFFAOYSA-N -1 1 308.353 1.908 20 0 DDADMM COC(=O)/C=C/[C@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001214071074 876161287 /nfs/dbraw/zinc/16/12/87/876161287.db2.gz ZKONRLQDJCHMQI-HFSLJOEWSA-N -1 1 305.302 1.361 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)c1cccnc1 ZINC001351405939 876234344 /nfs/dbraw/zinc/23/43/44/876234344.db2.gz DGZMCQNVILGCMD-UHFFFAOYSA-N -1 1 314.345 1.074 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H](Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)C1 ZINC001227430595 883010334 /nfs/dbraw/zinc/01/03/34/883010334.db2.gz MPRQZCODJPHNER-HTQZYQBOSA-N -1 1 322.321 1.265 20 0 DDADMM Cc1ccccc1OC[C@@H](C)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227431574 883016262 /nfs/dbraw/zinc/01/62/62/883016262.db2.gz WJKVDOIKAGPEBI-SECBINFHSA-N -1 1 316.317 1.919 20 0 DDADMM CC(=O)c1cc([N-]S(=O)(=O)c2ccc(N)c(N)c2)cs1 ZINC001214785205 876464057 /nfs/dbraw/zinc/46/40/57/876464057.db2.gz MNHJBQNAIDCOFB-UHFFFAOYSA-N -1 1 311.388 1.916 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)[C@H]1CCSC1 ZINC001362102348 883042834 /nfs/dbraw/zinc/04/28/34/883042834.db2.gz HVOUXPQTOKMYQC-VIFPVBQESA-N -1 1 305.363 1.470 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)CC1CC1 ZINC001352121868 876605804 /nfs/dbraw/zinc/60/58/04/876605804.db2.gz HCZGJYHZCPMMPW-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cccc(N)c2)c2c[nH]nc21 ZINC001215751399 876801642 /nfs/dbraw/zinc/80/16/42/876801642.db2.gz JFUANCPVDVLGSC-UHFFFAOYSA-N -1 1 318.358 1.955 20 0 DDADMM O=C(c1ccc(-n2cccc2)cc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001352595973 876823780 /nfs/dbraw/zinc/82/37/80/876823780.db2.gz IVVKIAJSUHWIJF-ZDUSSCGKSA-N -1 1 308.345 1.620 20 0 DDADMM COc1ncc(Nc2ncc(C)nc2C)cc1[N-]S(C)(=O)=O ZINC001216151589 876878638 /nfs/dbraw/zinc/87/86/38/876878638.db2.gz CSBGADQCYMBWMX-UHFFFAOYSA-N -1 1 323.378 1.612 20 0 DDADMM COc1ncc(Nc2cncc(N)c2)cc1[N-]S(C)(=O)=O ZINC001216152416 876881347 /nfs/dbraw/zinc/88/13/47/876881347.db2.gz RQQRABQOHCQMCD-UHFFFAOYSA-N -1 1 309.351 1.183 20 0 DDADMM CC(C)(C)OC(=O)N1CCC12CC(Oc1nc(=O)[nH]c(=O)[n-]1)C2 ZINC001227568898 883084847 /nfs/dbraw/zinc/08/48/47/883084847.db2.gz PSWIHQJRAJUKCT-UHFFFAOYSA-N -1 1 324.337 1.204 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CCC(C2CC2)CC1 ZINC001379455497 877121199 /nfs/dbraw/zinc/12/11/99/877121199.db2.gz HNABNJOGRXYHBP-QFWMXSHPSA-N -1 1 321.425 1.321 20 0 DDADMM CCCCOc1cccc(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)c1 ZINC001353211961 877189998 /nfs/dbraw/zinc/18/99/98/877189998.db2.gz MTLGNUPBGREJQC-MRXNPFEDSA-N -1 1 322.361 1.247 20 0 DDADMM CCCCCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001353351212 877270021 /nfs/dbraw/zinc/27/00/21/877270021.db2.gz YFSWNGQGEWSDKV-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM C[C@@H](CNC(=O)/C=C/c1ccco1)NC(=O)c1ncccc1[O-] ZINC001354218189 877814859 /nfs/dbraw/zinc/81/48/59/877814859.db2.gz HOWUFHRFFWAWBP-MLRMMBSGSA-N -1 1 315.329 1.328 20 0 DDADMM COC(=O)C[C@@H](C)[N-]S(=O)(=O)c1sc(Cl)nc1C ZINC000310702173 877912625 /nfs/dbraw/zinc/91/26/25/877912625.db2.gz BBEMAOMECNOEHL-RXMQYKEDSA-N -1 1 312.800 1.335 20 0 DDADMM CC[C@@H](C)OCC(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001395568147 912295349 /nfs/dbraw/zinc/29/53/49/912295349.db2.gz DFEGQMIFKHTHAS-NWDGAFQWSA-N -1 1 323.393 1.179 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H]2C[C@@H](C)n3ncnc32)c1 ZINC001300759609 878331157 /nfs/dbraw/zinc/33/11/57/878331157.db2.gz ZBPNKEXIMHWDRO-SKDRFNHKSA-N -1 1 300.318 1.540 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CC=C[C@@H]1CO ZINC001219676113 878446656 /nfs/dbraw/zinc/44/66/56/878446656.db2.gz YBKYYINACKVQAU-CYBMUJFWSA-N -1 1 300.318 1.343 20 0 DDADMM CCC(C)(C)C(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001395645053 912453648 /nfs/dbraw/zinc/45/36/48/912453648.db2.gz DDXUMPNNMQRAQC-LLVKDONJSA-N -1 1 307.394 1.800 20 0 DDADMM NC(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)c1cc([O-])cc(F)c1F)C2 ZINC001276827006 880196402 /nfs/dbraw/zinc/19/64/02/880196402.db2.gz GDYQOJVAQVISTN-IJBDUVHLSA-N -1 1 310.300 1.254 20 0 DDADMM CCOC(=O)C(F)(F)[C@@H](OCc1nn[n-]n1)c1ccccc1 ZINC001223027161 880788694 /nfs/dbraw/zinc/78/86/94/880788694.db2.gz MOEGABUAJKBDQU-NSHDSACASA-N -1 1 312.276 1.656 20 0 DDADMM CC(C)C(=O)NCC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001416544274 881228340 /nfs/dbraw/zinc/22/83/40/881228340.db2.gz YEUDATOABLCWRL-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM CCCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C(C)C)C1 ZINC001374377187 912670834 /nfs/dbraw/zinc/67/08/34/912670834.db2.gz URKXKSOEJPQARG-CYBMUJFWSA-N -1 1 319.405 1.896 20 0 DDADMM CS[C@H]1CC[C@@H](N(C)C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001362185751 883241271 /nfs/dbraw/zinc/24/12/71/883241271.db2.gz CZYKFGBOFQCJND-MNOVXSKESA-N -1 1 323.418 1.260 20 0 DDADMM C[C@@H](CN(C)C(=O)C12CCC(CC1)CC2)NCc1n[nH]c(=O)[n-]1 ZINC001382164756 883555235 /nfs/dbraw/zinc/55/52/35/883555235.db2.gz AIXIQKMGYVXWRJ-FZWSLVFFSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H]1CCN(C(=O)c2nc[n-]c(=O)c2Br)C[C@H]1F ZINC001362405642 883736361 /nfs/dbraw/zinc/73/63/61/883736361.db2.gz DUNWYXOFUMZVNM-NKWVEPMBSA-N -1 1 318.146 1.765 20 0 DDADMM COC(=O)[C@H]1C[C@@H](Oc2c([O-])c(OC)cc3ccc(=O)oc32)C1 ZINC001229218618 883877283 /nfs/dbraw/zinc/87/72/83/883877283.db2.gz PHEDBULPNWJERB-AOOOYVTPSA-N -1 1 320.297 1.838 20 0 DDADMM C[C@@](O)(C1CCN(C(=O)c2ccc([O-])cn2)CC1)C(F)(F)F ZINC001362499024 883945771 /nfs/dbraw/zinc/94/57/71/883945771.db2.gz WWJDTXSLEQPINJ-CYBMUJFWSA-N -1 1 318.295 1.953 20 0 DDADMM CSc1ncc(C(=O)N2CCOC[C@H](C3CCC3)C2)c(=O)[n-]1 ZINC001362511480 883975953 /nfs/dbraw/zinc/97/59/53/883975953.db2.gz ZASFGFSNVCWSIZ-LLVKDONJSA-N -1 1 323.418 1.793 20 0 DDADMM CCc1c[nH]c(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC001362551778 884076291 /nfs/dbraw/zinc/07/62/91/884076291.db2.gz ZUDDOZCUHASGGV-SECBINFHSA-N -1 1 305.338 1.009 20 0 DDADMM C[C@@H](c1ccccn1)N1CC[C@@H](Oc2nc(Cl)[n-]c(=O)n2)C1 ZINC001230241154 884387435 /nfs/dbraw/zinc/38/74/35/884387435.db2.gz WEFFYGIWWNMUTE-VHSXEESVSA-N -1 1 321.768 1.840 20 0 DDADMM Cc1cc(C)cc(CNC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC001362702170 884444999 /nfs/dbraw/zinc/44/49/99/884444999.db2.gz XZQYQDNDGPNKCH-UHFFFAOYSA-N -1 1 313.357 1.450 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2c(C)cccc2F)co1 ZINC001362736091 884513880 /nfs/dbraw/zinc/51/38/80/884513880.db2.gz CSQWZKGAACKNRF-UHFFFAOYSA-N -1 1 312.322 1.888 20 0 DDADMM C[C@@H](NC(=O)COC(C)(C)C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382766954 884657993 /nfs/dbraw/zinc/65/79/93/884657993.db2.gz PRLOJVFVVGYDEE-MNOVXSKESA-N -1 1 323.393 1.225 20 0 DDADMM Cc1ccc(CC(=O)NC2(c3nn[n-]n3)CCC2)c(Cl)c1 ZINC001362834353 884754337 /nfs/dbraw/zinc/75/43/37/884754337.db2.gz JHTVCPVPYLNSCT-UHFFFAOYSA-N -1 1 305.769 1.900 20 0 DDADMM CC(C)(CO)[C@H](NC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC001362840032 884769956 /nfs/dbraw/zinc/76/99/56/884769956.db2.gz AMRJHCKRGNAYGQ-OAHLLOKOSA-N -1 1 317.393 1.398 20 0 DDADMM CCC(CC)(NC(=O)CCCn1nc(C)cc1C)c1nn[n-]n1 ZINC001362851472 884796508 /nfs/dbraw/zinc/79/65/08/884796508.db2.gz AYRJZPGJNCPHLX-UHFFFAOYSA-N -1 1 319.413 1.625 20 0 DDADMM CCC(CC)(NC(=O)[C@H](Cc1ccccc1)OC)c1nn[n-]n1 ZINC001362856079 884809345 /nfs/dbraw/zinc/80/93/45/884809345.db2.gz LKRUEIRSKXOFRI-ZDUSSCGKSA-N -1 1 317.393 1.589 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)Oc1cccc(F)c1)c1nn[n-]n1 ZINC001362858270 884813866 /nfs/dbraw/zinc/81/38/66/884813866.db2.gz RDSHNCYSUHZZKJ-JTQLQIEISA-N -1 1 321.356 1.938 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)Oc1cccc(F)c1)c1nn[n-]n1 ZINC001362858275 884814302 /nfs/dbraw/zinc/81/43/02/884814302.db2.gz RDSHNCYSUHZZKJ-SNVBAGLBSA-N -1 1 321.356 1.938 20 0 DDADMM COC[C@H](NC(=O)[C@@H]1C[C@H]1c1ccccc1C)c1nn[n-]n1 ZINC001362900564 884927928 /nfs/dbraw/zinc/92/79/28/884927928.db2.gz OTDQOXMUFRDCFK-XQQFMLRXSA-N -1 1 301.350 1.116 20 0 DDADMM C[C@@H](CNC(=O)c1cncs1)N(C)C(=O)c1ncccc1[O-] ZINC001382909364 884928895 /nfs/dbraw/zinc/92/88/95/884928895.db2.gz JSBJHVUNJSHTTA-VIFPVBQESA-N -1 1 320.374 1.134 20 0 DDADMM COC(=O)Cc1cccc(NC(=O)c2cccc([O-])c2F)n1 ZINC001362908852 884955531 /nfs/dbraw/zinc/95/55/31/884955531.db2.gz WCGGQUSOMXDWBM-UHFFFAOYSA-N -1 1 304.277 1.894 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1cccnc1 ZINC001382956515 885032104 /nfs/dbraw/zinc/03/21/04/885032104.db2.gz OCCUGTYQSRCWLY-LLVKDONJSA-N -1 1 314.345 1.073 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(C(F)(F)F)c(=O)[nH]1 ZINC001362949109 885059930 /nfs/dbraw/zinc/05/99/30/885059930.db2.gz MLMOPAJHBNSXLS-UHFFFAOYSA-N -1 1 302.212 1.795 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@H]1CC12CC2 ZINC001362967445 885110560 /nfs/dbraw/zinc/11/05/60/885110560.db2.gz FLWXWZYLCJXOIN-AEJSXWLSSA-N -1 1 304.312 1.845 20 0 DDADMM Cc1c[nH]c(=O)c(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)c1 ZINC001363036810 885289015 /nfs/dbraw/zinc/28/90/15/885289015.db2.gz AJXMMZQZSVSCPR-UHFFFAOYSA-N -1 1 316.361 1.953 20 0 DDADMM O=c1[n-]c(OC[C@@H]2C[N@@H+](Cc3ccccc3)CCCO2)n[nH]1 ZINC001231309249 885491888 /nfs/dbraw/zinc/49/18/88/885491888.db2.gz ZCCPOURIUOUIAZ-ZDUSSCGKSA-N -1 1 304.350 1.180 20 0 DDADMM CCC[C@@H](C)CC(=O)Nc1n[n-]c(OCCO)c1C(=O)OC ZINC001363119372 885509583 /nfs/dbraw/zinc/50/95/83/885509583.db2.gz NZADDIVDFCZVBW-SECBINFHSA-N -1 1 313.354 1.332 20 0 DDADMM CCC[C@@H](C)CC(=O)Nc1[n-]nc(OCCO)c1C(=O)OC ZINC001363119372 885509592 /nfs/dbraw/zinc/50/95/92/885509592.db2.gz NZADDIVDFCZVBW-SECBINFHSA-N -1 1 313.354 1.332 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cc2c(cc1Cl)NC(=O)CO2 ZINC001363122694 885520257 /nfs/dbraw/zinc/52/02/57/885520257.db2.gz ZTQCMEDKJBMDES-UHFFFAOYSA-N -1 1 308.681 1.352 20 0 DDADMM CCC[C@@H](C)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001383283159 885544995 /nfs/dbraw/zinc/54/49/95/885544995.db2.gz LYZIYBGOQNBJQD-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM CSc1cccc(C(=O)NCc2cc(=O)[n-]c(SC)n2)n1 ZINC001363141459 885565943 /nfs/dbraw/zinc/56/59/43/885565943.db2.gz MOBLFMHBHQCECA-UHFFFAOYSA-N -1 1 322.415 1.951 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@H]1CCC=CO1 ZINC001363153001 885592516 /nfs/dbraw/zinc/59/25/16/885592516.db2.gz MLOOKHBOEMMWLH-GARJFASQSA-N -1 1 320.311 1.737 20 0 DDADMM CCOC(=O)Cn1ccc(NC(=O)c2ccc(F)c([O-])c2)n1 ZINC001363174171 885638970 /nfs/dbraw/zinc/63/89/70/885638970.db2.gz QVFQSKAGLLHCGX-UHFFFAOYSA-N -1 1 307.281 1.543 20 0 DDADMM CCOC(=O)c1cc(CNC(=O)c2cc(F)ccc2[O-])on1 ZINC001363180772 885655141 /nfs/dbraw/zinc/65/51/41/885655141.db2.gz PBYRLUGOJPEJAJ-UHFFFAOYSA-N -1 1 308.265 1.626 20 0 DDADMM O=C([O-])c1ccc(F)c(CN2CCN(C[C@H]3CCCO3)CC2)c1 ZINC001231458101 885678901 /nfs/dbraw/zinc/67/89/01/885678901.db2.gz RFQKLVFUDJVVOJ-OAHLLOKOSA-N -1 1 322.380 1.821 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2cccc([O-])c2F)CS1(=O)=O ZINC001363220777 885745785 /nfs/dbraw/zinc/74/57/85/885745785.db2.gz XQYBBMSKISIJNX-BDAKNGLRSA-N -1 1 301.339 1.227 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCc2ccncc2)[n-]1 ZINC001363233490 885780951 /nfs/dbraw/zinc/78/09/51/885780951.db2.gz YRHXBGNHISDCEQ-JTQLQIEISA-N -1 1 317.349 1.186 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCc2ccncc2)n[n-]1 ZINC001363233490 885780964 /nfs/dbraw/zinc/78/09/64/885780964.db2.gz YRHXBGNHISDCEQ-JTQLQIEISA-N -1 1 317.349 1.186 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCc2ccncc2)n1 ZINC001363233490 885780972 /nfs/dbraw/zinc/78/09/72/885780972.db2.gz YRHXBGNHISDCEQ-JTQLQIEISA-N -1 1 317.349 1.186 20 0 DDADMM COC(=O)[C@@H](C)c1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001363286014 885917582 /nfs/dbraw/zinc/91/75/82/885917582.db2.gz UNTJOQGXTNFUCG-VIFPVBQESA-N -1 1 301.302 1.711 20 0 DDADMM O=C(C[C@H]1CC[C@@H](C(F)(F)F)O1)NCc1nc([O-])cc(=O)[nH]1 ZINC001363323048 886019999 /nfs/dbraw/zinc/01/99/99/886019999.db2.gz HLJUAMDNYRPUKC-RQJHMYQMSA-N -1 1 321.255 1.004 20 0 DDADMM C[C@H](COCC(=O)NC1(c2nn[n-]n2)CCC1)c1ccccc1 ZINC001363376278 886170858 /nfs/dbraw/zinc/17/08/58/886170858.db2.gz OZGPFEOZUPIEQQ-GFCCVEGCSA-N -1 1 315.377 1.515 20 0 DDADMM NC(=O)c1ncccc1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001363384937 886190074 /nfs/dbraw/zinc/19/00/74/886190074.db2.gz OAWZXKRQESFTEH-UHFFFAOYSA-N -1 1 305.721 1.470 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(C2CCC2)cc1)c1nn[n-]n1 ZINC001363418166 886282399 /nfs/dbraw/zinc/28/23/99/886282399.db2.gz SHICFTSGXSUXGX-CYBMUJFWSA-N -1 1 301.350 1.585 20 0 DDADMM Cn1ccnc1[C@H]1C[C@H](NC(=O)c2ccc(F)c([O-])c2)CCO1 ZINC001363444568 886354467 /nfs/dbraw/zinc/35/44/67/886354467.db2.gz PAZKUCUUIMPFSK-BXUZGUMPSA-N -1 1 319.336 1.915 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1COc2ccccc21 ZINC001363540938 886586579 /nfs/dbraw/zinc/58/65/79/886586579.db2.gz BGOWVLSQQCUDLC-GFCCVEGCSA-N -1 1 301.350 1.361 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C(C)(C)C1CCOCC1 ZINC001363545462 886601947 /nfs/dbraw/zinc/60/19/47/886601947.db2.gz PKZFCPKUXUTMSH-UHFFFAOYSA-N -1 1 309.414 1.637 20 0 DDADMM Cc1ccccc1COCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363546861 886604045 /nfs/dbraw/zinc/60/40/45/886604045.db2.gz FGJIPSFZBHCZAJ-UHFFFAOYSA-N -1 1 301.350 1.220 20 0 DDADMM CC(C)Cc1ncc(C(=O)NC2(c3nn[n-]n3)CCC2)s1 ZINC001363550897 886616686 /nfs/dbraw/zinc/61/66/86/886616686.db2.gz NLLWJWYEFQJJIE-UHFFFAOYSA-N -1 1 306.395 1.664 20 0 DDADMM NC(=O)Cc1cccc2c1CCN(C(=O)c1cncc([O-])c1)C2 ZINC001363556261 886628973 /nfs/dbraw/zinc/62/89/73/886628973.db2.gz SFSDQTGTVLIRFZ-UHFFFAOYSA-N -1 1 311.341 1.014 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CCN(C[C@H]2CCCO2)CC1 ZINC001233035532 886742781 /nfs/dbraw/zinc/74/27/81/886742781.db2.gz FJYZALUCSXQLMU-CYBMUJFWSA-N -1 1 311.813 1.737 20 0 DDADMM O=C(COc1cccnc1)NCCc1c(F)cc([O-])cc1F ZINC001363604095 886743275 /nfs/dbraw/zinc/74/32/75/886743275.db2.gz DCZSFSRWXMTSDQ-UHFFFAOYSA-N -1 1 308.284 1.803 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CCN(c2ncc(O)cn2)CC1 ZINC001233037965 886747722 /nfs/dbraw/zinc/74/77/22/886747722.db2.gz LOJYHSCAYFUXED-UHFFFAOYSA-N -1 1 321.768 1.258 20 0 DDADMM O=C(Nc1ccc(OCCO)nc1)c1cnc(C2CC2)[n-]c1=O ZINC001363638973 886846385 /nfs/dbraw/zinc/84/63/85/886846385.db2.gz WGRPZHQRCLFWGM-UHFFFAOYSA-N -1 1 316.317 1.078 20 0 DDADMM CCOc1n[nH]c([N-]C(=O)c2c(C)noc2C(F)(F)F)n1 ZINC001363641508 886855195 /nfs/dbraw/zinc/85/51/95/886855195.db2.gz NOCOHKGSQMXSEE-UHFFFAOYSA-N -1 1 305.216 1.771 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2csnn2)[n-]c1=O ZINC001363676659 886951913 /nfs/dbraw/zinc/95/19/13/886951913.db2.gz RZMOUOZCDXZPAG-MRVPVSSYSA-N -1 1 307.335 1.020 20 0 DDADMM CC(C)(C)OC(=O)NCc1ncc(C(=O)[N-]c2nc[nH]n2)s1 ZINC001363705419 887027905 /nfs/dbraw/zinc/02/79/05/887027905.db2.gz UGGXQTINJZDYNC-UHFFFAOYSA-N -1 1 324.366 1.538 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H]1CCCC2(C1)OCCO2 ZINC001233760225 887288238 /nfs/dbraw/zinc/28/82/38/887288238.db2.gz VPSHHWVULPFUNG-SECBINFHSA-N -1 1 310.306 1.033 20 0 DDADMM CC[C@@H](OC1CCCCC1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363821502 887313239 /nfs/dbraw/zinc/31/32/39/887313239.db2.gz MMTXCIRAPWFVLF-GFCCVEGCSA-N -1 1 307.398 1.823 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001363833158 887344450 /nfs/dbraw/zinc/34/44/50/887344450.db2.gz SIENZXPQNGLYQW-SFYZADRCSA-N -1 1 322.408 1.018 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CCc2ccon2)[n-]c1=O ZINC001363847870 887385007 /nfs/dbraw/zinc/38/50/07/887385007.db2.gz LEUPFKBPOQAMHI-NSHDSACASA-N -1 1 318.333 1.475 20 0 DDADMM COCc1nnc2n1CCN(Cc1cccc([O-])c1Cl)C2 ZINC001363917301 887537624 /nfs/dbraw/zinc/53/76/24/887537624.db2.gz KLOSSDUUVUJJIS-UHFFFAOYSA-N -1 1 308.769 1.799 20 0 DDADMM CCC[C@@H](C[N-]S(=O)(=O)c1cc(OC)ns1)OCC ZINC001364003587 887704576 /nfs/dbraw/zinc/70/45/76/887704576.db2.gz PMXSWJXHLXZEQC-VIFPVBQESA-N -1 1 308.425 1.635 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)C[C@@H](C)C(C)(C)C)[n-]n1 ZINC001364050101 887796727 /nfs/dbraw/zinc/79/67/27/887796727.db2.gz YSSQKTRXXXRKJI-SECBINFHSA-N -1 1 317.411 1.499 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)C[C@@H](C)C(C)(C)C)n[n-]1 ZINC001364050101 887796736 /nfs/dbraw/zinc/79/67/36/887796736.db2.gz YSSQKTRXXXRKJI-SECBINFHSA-N -1 1 317.411 1.499 20 0 DDADMM COc1ccc([C@H](C)NC(=O)CCc2nn[n-]n2)c(OC)c1 ZINC001364052614 887803712 /nfs/dbraw/zinc/80/37/12/887803712.db2.gz BTMJCPBUGFPUHF-VIFPVBQESA-N -1 1 305.338 1.027 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)c2cc(F)cc(F)c2[O-])n1 ZINC001364085304 887880390 /nfs/dbraw/zinc/88/03/90/887880390.db2.gz PYDQBSGHPSYYGP-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM CS(=O)(=O)c1ccc(Cl)c(C(=O)Nc2c[n-][nH]c2=O)c1 ZINC001364087301 887884038 /nfs/dbraw/zinc/88/40/38/887884038.db2.gz CIZQCKJUHRDLDA-UHFFFAOYSA-N -1 1 315.738 1.425 20 0 DDADMM COc1cccc([C@@H](C)Oc2c(C(N)=O)nc(C)[n-]c2=O)c1 ZINC001234470005 888001179 /nfs/dbraw/zinc/00/11/79/888001179.db2.gz MQAYQARQBJBPBB-MRVPVSSYSA-N -1 1 303.318 1.738 20 0 DDADMM CC(C)Oc1cncc(COc2c(C(N)=O)nc[n-]c2=O)c1 ZINC001234471034 888002532 /nfs/dbraw/zinc/00/25/32/888002532.db2.gz AUZUSJUTYSPOML-UHFFFAOYSA-N -1 1 304.306 1.042 20 0 DDADMM CSc1cc(NC(=O)N2CCC(c3nn[n-]n3)CC2)ccn1 ZINC001364154593 888039323 /nfs/dbraw/zinc/03/93/23/888039323.db2.gz VJBWTJRLFJNMPU-UHFFFAOYSA-N -1 1 319.394 1.728 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@@H]1CCCOC1=O ZINC001234560744 888097008 /nfs/dbraw/zinc/09/70/08/888097008.db2.gz OWFMPDOTANMBQM-MRVPVSSYSA-N -1 1 310.306 1.177 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H](C)c1ncccc1F ZINC001234564618 888105414 /nfs/dbraw/zinc/10/54/14/888105414.db2.gz MNCIPZPUPIOBNN-SSDOTTSWSA-N -1 1 307.281 1.951 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H]1CCCC(=O)C1(C)C ZINC001234564662 888105676 /nfs/dbraw/zinc/10/56/76/888105676.db2.gz NHDQWVGYIGLGGT-JTQLQIEISA-N -1 1 308.334 1.804 20 0 DDADMM CC[C@H]1C[C@H](C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)CCO1 ZINC001364245835 888212739 /nfs/dbraw/zinc/21/27/39/888212739.db2.gz MKAMRQPLKXCARV-NEPJUHHUSA-N -1 1 323.393 1.402 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC(OC[C@@H]2CCCO2)CC1 ZINC001364475280 888721971 /nfs/dbraw/zinc/72/19/71/888721971.db2.gz AXPTZBNBZLMWTO-AWEZNQCLSA-N -1 1 306.362 1.587 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001364530198 888861567 /nfs/dbraw/zinc/86/15/67/888861567.db2.gz UBZLOTSDHBTXDV-QMMMGPOBSA-N -1 1 304.354 1.314 20 0 DDADMM CS[C@H](C)C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001396186092 913323770 /nfs/dbraw/zinc/32/37/70/913323770.db2.gz YDUZPTVJBULRAR-KPPDAEKUSA-N -1 1 323.418 1.258 20 0 DDADMM CC[C@H](SC)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385584738 889362585 /nfs/dbraw/zinc/36/25/85/889362585.db2.gz YJGFBKGRASRZMK-PWSUYJOCSA-N -1 1 323.418 1.260 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2cccnc2)c1 ZINC001364779165 889398911 /nfs/dbraw/zinc/39/89/11/889398911.db2.gz JFEGDGLUEVTBTA-UHFFFAOYSA-N -1 1 308.359 1.317 20 0 DDADMM COc1nscc1CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC001364840343 889523384 /nfs/dbraw/zinc/52/33/84/889523384.db2.gz WWQDDQCJXYMNLM-SNVBAGLBSA-N -1 1 322.390 1.993 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2cnccc2C)c1 ZINC001364851000 889547162 /nfs/dbraw/zinc/54/71/62/889547162.db2.gz UQURSBQFYPMBDY-UHFFFAOYSA-N -1 1 308.359 1.583 20 0 DDADMM CC(C)[C@H](C)CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385839268 889839956 /nfs/dbraw/zinc/83/99/56/889839956.db2.gz UOCCSIJYPZRXCP-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM CSCC[C@H](NC(=O)Nc1ncc(C)s1)c1nn[n-]n1 ZINC001365026494 889923926 /nfs/dbraw/zinc/92/39/26/889923926.db2.gz CKFVGUNZBNVCQV-ZETCQYMHSA-N -1 1 313.412 1.581 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)CCC[C@@H]2C)[n-]n1 ZINC001365036078 889946626 /nfs/dbraw/zinc/94/66/26/889946626.db2.gz XLPNSWBFSUQGJQ-ZJUUUORDSA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)CCC[C@@H]2C)n[n-]1 ZINC001365036078 889946637 /nfs/dbraw/zinc/94/66/37/889946637.db2.gz XLPNSWBFSUQGJQ-ZJUUUORDSA-N -1 1 315.395 1.396 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCC(F)(F)[C@@H](CO)C1 ZINC001238234811 890144917 /nfs/dbraw/zinc/14/49/17/890144917.db2.gz XPUUOMXRJQBBAU-SNVBAGLBSA-N -1 1 303.280 1.973 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1csnc1OC ZINC001365145553 890193945 /nfs/dbraw/zinc/19/39/45/890193945.db2.gz PVCHJDAKVPLENB-KCJUWKMLSA-N -1 1 308.425 1.227 20 0 DDADMM CC[C@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1csnc1OC ZINC001365145556 890193998 /nfs/dbraw/zinc/19/39/98/890193998.db2.gz PVCHJDAKVPLENB-KWQFWETISA-N -1 1 308.425 1.227 20 0 DDADMM COc1ccc(CN2CC[C@@H]2C(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001365211937 890360269 /nfs/dbraw/zinc/36/02/69/890360269.db2.gz QYNWYOLPCSMIPV-CYBMUJFWSA-N -1 1 302.334 1.337 20 0 DDADMM CC[C@]([N-]S(=O)(=O)c1cc(C)ns1)(C(N)=O)C(C)C ZINC001365699283 891355649 /nfs/dbraw/zinc/35/56/49/891355649.db2.gz BYOIMNUEWVXKOW-LLVKDONJSA-N -1 1 305.425 1.020 20 0 DDADMM CCC[C@@H](C)S(=O)(=O)N[C@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC001365720347 891394513 /nfs/dbraw/zinc/39/45/13/891394513.db2.gz LHRFFUZUVZGUTR-RKDXNWHRSA-N -1 1 318.399 1.150 20 0 DDADMM CCC[C@@H](C)S(=O)(=O)N[C@H](C)c1nnc(C(=O)OCC)[n-]1 ZINC001365720347 891394529 /nfs/dbraw/zinc/39/45/29/891394529.db2.gz LHRFFUZUVZGUTR-RKDXNWHRSA-N -1 1 318.399 1.150 20 0 DDADMM CCC[C@@H](C)S(=O)(=O)N[C@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC001365720347 891394539 /nfs/dbraw/zinc/39/45/39/891394539.db2.gz LHRFFUZUVZGUTR-RKDXNWHRSA-N -1 1 318.399 1.150 20 0 DDADMM CCC[C@H](CCO)[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC001365725788 891404371 /nfs/dbraw/zinc/40/43/71/891404371.db2.gz AVMKISCRURIJBO-SNVBAGLBSA-N -1 1 319.379 1.204 20 0 DDADMM O=C(c1cccnc1-c1cc(F)cc(F)c1[O-])N1CCNCC1 ZINC001243504587 891670672 /nfs/dbraw/zinc/67/06/72/891670672.db2.gz UBBGNBUMXFOKPJ-UHFFFAOYSA-N -1 1 319.311 1.778 20 0 DDADMM COc1nccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c1C ZINC001244793327 891927437 /nfs/dbraw/zinc/92/74/37/891927437.db2.gz FWZYKVFXSZQTKA-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM Cc1ccc(F)c(-n2cc([O-])c(C(=O)NCc3nnc[nH]3)n2)c1 ZINC001292149575 913619708 /nfs/dbraw/zinc/61/97/08/913619708.db2.gz XIRVDUFSJIQDIE-UHFFFAOYSA-N -1 1 316.296 1.074 20 0 DDADMM CN(CCNC(=O)c1ccc(F)s1)C(=O)c1ncccc1[O-] ZINC001386973667 892108133 /nfs/dbraw/zinc/10/81/33/892108133.db2.gz YMTYCOCOFWWOFX-UHFFFAOYSA-N -1 1 323.349 1.490 20 0 DDADMM COc1ccc(-c2nc(C)cc3c2C(=O)[N-]C3=O)c(Cl)n1 ZINC001245872489 892253589 /nfs/dbraw/zinc/25/35/89/892253589.db2.gz OAKBOYLETCFKQN-UHFFFAOYSA-N -1 1 303.705 1.998 20 0 DDADMM C[C@@H](C(=O)NCCN(C)C(=O)c1ncccc1[O-])C1CCCC1 ZINC001387122934 892432715 /nfs/dbraw/zinc/43/27/15/892432715.db2.gz KJOLDPYNDIFDHX-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)c1ccncc1 ZINC001387181459 892562109 /nfs/dbraw/zinc/56/21/09/892562109.db2.gz QRERHYBHLYTGCV-UHFFFAOYSA-N -1 1 314.345 1.074 20 0 DDADMM C[C@@H](CNC(=O)CC(C)(C)C(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001366354408 893288402 /nfs/dbraw/zinc/28/84/02/893288402.db2.gz FQMADNYAPGNHDS-ZETCQYMHSA-N -1 1 323.319 1.083 20 0 DDADMM Cc1cc(CN(C)CCNC(=O)c2[nH]nc(C)c2[O-])cs1 ZINC001366523109 893856168 /nfs/dbraw/zinc/85/61/68/893856168.db2.gz YONDMSHNALDIPJ-UHFFFAOYSA-N -1 1 308.407 1.655 20 0 DDADMM COC(=O)c1ccc(Nc2cc(=O)[n-]c(N(C)C)n2)cc1N ZINC001250334257 894239922 /nfs/dbraw/zinc/23/99/22/894239922.db2.gz GRQZTFQUTNAART-UHFFFAOYSA-N -1 1 303.322 1.361 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cccc3c2CC(=O)NC3)cc1 ZINC001252198556 895034491 /nfs/dbraw/zinc/03/44/91/895034491.db2.gz PBDPTPYVENWNGK-UHFFFAOYSA-N -1 1 316.382 1.968 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001388622280 895562380 /nfs/dbraw/zinc/56/23/80/895562380.db2.gz JIQQWPWKPBFLJS-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM CCCS(=O)(=O)[N-]c1cn2cc(Br)ncc2n1 ZINC001253626707 895928567 /nfs/dbraw/zinc/92/85/67/895928567.db2.gz MSCHRUOONSIVMB-UHFFFAOYSA-N -1 1 319.184 1.644 20 0 DDADMM CC[C@H](CNC(=O)[C@@H]1CC2CCC1CC2)NCc1n[nH]c(=O)[n-]1 ZINC001367148484 896008547 /nfs/dbraw/zinc/00/85/47/896008547.db2.gz ITPUBJLMMJQALN-FIYWTHMPSA-N -1 1 321.425 1.321 20 0 DDADMM Cc1c(C(=O)[N-]S(=O)(=O)c2ccccc2)ccc2cncn21 ZINC001254466395 896453681 /nfs/dbraw/zinc/45/36/81/896453681.db2.gz VWGZGSQCBWEJMY-UHFFFAOYSA-N -1 1 315.354 1.761 20 0 DDADMM CC(C)[C@H](CNC(=O)C[C@H](C)C1CC1)NCc1n[nH]c(=O)[n-]1 ZINC001367497835 896928682 /nfs/dbraw/zinc/92/86/82/896928682.db2.gz QEEKZXDHNIYRHD-JQWIXIFHSA-N -1 1 309.414 1.177 20 0 DDADMM CC1(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)CCCC1 ZINC001389623708 897663111 /nfs/dbraw/zinc/66/31/11/897663111.db2.gz AZTGPRHWQSEKBC-LLVKDONJSA-N -1 1 307.398 1.075 20 0 DDADMM C[C@H](CC1CCCCC1)C(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367773504 897736923 /nfs/dbraw/zinc/73/69/23/897736923.db2.gz YIXNJORMGFKSSM-NEPJUHHUSA-N -1 1 323.441 1.711 20 0 DDADMM CN(C)C(=O)C[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257439003 897846895 /nfs/dbraw/zinc/84/68/95/897846895.db2.gz WETKWAUEFXQNGD-UHFFFAOYSA-N -1 1 317.219 1.421 20 0 DDADMM CC[C@H](CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001389813759 898074241 /nfs/dbraw/zinc/07/42/41/898074241.db2.gz BYFBBBAQWKUYJC-NEPJUHHUSA-N -1 1 309.414 1.273 20 0 DDADMM O=S(=O)([N-]C1(CO)CCCC1)c1cc(F)c(F)cc1F ZINC001259042317 898437326 /nfs/dbraw/zinc/43/73/26/898437326.db2.gz HIXOKGNXUKMGIN-UHFFFAOYSA-N -1 1 309.309 1.687 20 0 DDADMM CCCCC(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC001396691590 914259909 /nfs/dbraw/zinc/25/99/09/914259909.db2.gz SOLWAYOUHUTYCU-AGIUHOORSA-N -1 1 317.389 1.839 20 0 DDADMM Cc1cc(Cl)c([N-]S(=O)(=O)c2cnn(C)c2)cc1O ZINC001259827105 898836853 /nfs/dbraw/zinc/83/68/53/898836853.db2.gz JBSDFQSFIIDPCN-UHFFFAOYSA-N -1 1 301.755 1.888 20 0 DDADMM O=S(=O)([N-]c1cccc(CO)c1)c1ccc2c(c1)OCO2 ZINC001259849619 898865990 /nfs/dbraw/zinc/86/59/90/898865990.db2.gz FNSOQGJXAYOBKO-UHFFFAOYSA-N -1 1 307.327 1.708 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]c2c[nH]c(=O)c(C(F)(F)F)c2)n1 ZINC001259938693 898958256 /nfs/dbraw/zinc/95/82/56/898958256.db2.gz ZDEYRWHCXSXZIZ-UHFFFAOYSA-N -1 1 322.268 1.340 20 0 DDADMM CN1CCN(c2cccc([N-]S(=O)(=O)C(F)F)c2)CC1 ZINC001259956081 898977674 /nfs/dbraw/zinc/97/76/74/898977674.db2.gz FGHBKEYNTSOJPF-UHFFFAOYSA-N -1 1 305.350 1.403 20 0 DDADMM CCOC(=O)c1cc(F)c(C)c([N-]S(=O)(=O)CCOC)c1 ZINC001259972798 898997838 /nfs/dbraw/zinc/99/78/38/898997838.db2.gz ZJXMNRDGZRILPT-UHFFFAOYSA-N -1 1 319.354 1.699 20 0 DDADMM O=C1CC[C@@H](C[N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)N1 ZINC001260205318 899088974 /nfs/dbraw/zinc/08/89/74/899088974.db2.gz DXJAARBJJUKGKQ-LURJTMIESA-N -1 1 324.736 1.175 20 0 DDADMM CC(=O)Nc1cccc(S(=O)(=O)[N-]c2ccc(CO)cc2)c1 ZINC001260809675 899274510 /nfs/dbraw/zinc/27/45/10/899274510.db2.gz MPRUBMMWVYFESV-UHFFFAOYSA-N -1 1 320.370 1.938 20 0 DDADMM CN(C)CCO[N-]C(=O)C1=NN(c2ccc(Cl)cc2)CC1=O ZINC001261741247 899747155 /nfs/dbraw/zinc/74/71/55/899747155.db2.gz HYSYICOELXUETK-UHFFFAOYSA-N -1 1 324.768 1.454 20 0 DDADMM COc1ccccc1CNC(=O)NCC[N-]C(=O)C(F)(F)F ZINC000586428395 900636477 /nfs/dbraw/zinc/63/64/77/900636477.db2.gz LDNKKRYLWYMOJZ-UHFFFAOYSA-N -1 1 319.283 1.173 20 0 DDADMM CC(C)CCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001369388376 901160425 /nfs/dbraw/zinc/16/04/25/901160425.db2.gz GYQAFTNJQQWXOY-VXGBXAGGSA-N -1 1 309.414 1.416 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N(C)CC(C)(C)CNC(=O)c1ncccc1[O-] ZINC001369731212 901835665 /nfs/dbraw/zinc/83/56/65/901835665.db2.gz MEEVHMAMHYBURQ-NEPJUHHUSA-N -1 1 319.405 1.658 20 0 DDADMM Cc1sccc1C(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370179502 902695570 /nfs/dbraw/zinc/69/55/70/902695570.db2.gz SIPIJWOEIOFCDN-NSHDSACASA-N -1 1 321.406 1.178 20 0 DDADMM CCCC(=O)N(CCNCc1n[nH]c(=O)[n-]1)Cc1ccccc1 ZINC001279297871 903212988 /nfs/dbraw/zinc/21/29/88/903212988.db2.gz QUZYIHCGRQTOQC-UHFFFAOYSA-N -1 1 317.393 1.429 20 0 DDADMM Cc1nc(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])cs1 ZINC001392775044 905216432 /nfs/dbraw/zinc/21/64/32/905216432.db2.gz UTRDDSBTQMIACP-QMMMGPOBSA-N -1 1 320.374 1.100 20 0 DDADMM CC(C)[C@@H](C)CC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001393304454 906692251 /nfs/dbraw/zinc/69/22/51/906692251.db2.gz JAUZLFRNMOKPNO-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](C)N(C)Cc2ncccc2C)c1[O-] ZINC001394062464 908680780 /nfs/dbraw/zinc/68/07/80/908680780.db2.gz XAVJHIBKYDOHNS-NSHDSACASA-N -1 1 317.393 1.377 20 0 DDADMM C[C@@H](CC(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001394437842 909626643 /nfs/dbraw/zinc/62/66/43/909626643.db2.gz BTTGQLXHMRYWED-GXFFZTMASA-N -1 1 321.425 1.273 20 0 DDADMM CCC(CC)CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001394713013 910372753 /nfs/dbraw/zinc/37/27/53/910372753.db2.gz QZJFUJHGQGTDHE-GFCCVEGCSA-N -1 1 323.441 1.663 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)nc1 ZINC001296284038 916320467 /nfs/dbraw/zinc/32/04/67/916320467.db2.gz WFTVFXSXKNKEKO-UHFFFAOYSA-N -1 1 319.346 1.385 20 0 DDADMM CCCC(C)(C)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001375656842 916614994 /nfs/dbraw/zinc/61/49/94/916614994.db2.gz CRKDMLNTAZMOJM-NEPJUHHUSA-N -1 1 323.441 1.014 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001376257962 918087471 /nfs/dbraw/zinc/08/74/71/918087471.db2.gz GTTWVHKAUQQTAE-VXGBXAGGSA-N -1 1 305.378 1.364 20 0 DDADMM C[C@H]1CCC[C@@H]1CC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001376739184 919224788 /nfs/dbraw/zinc/22/47/88/919224788.db2.gz SLHMMFUHUIRMAH-NWDGAFQWSA-N -1 1 321.425 1.465 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccoc1Cl ZINC001376954433 919892856 /nfs/dbraw/zinc/89/28/56/919892856.db2.gz NQNHRJQAROMLNO-MRVPVSSYSA-N -1 1 323.736 1.582 20 0 DDADMM Cc1nc([C@@H](C)N[C@@H](C)CCNC(=O)c2ncccc2[O-])no1 ZINC001377419636 921836473 /nfs/dbraw/zinc/83/64/73/921836473.db2.gz AAGWDDTYMNUQCY-VHSXEESVSA-N -1 1 319.365 1.338 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC[C@H]3CC[C@H](C)O3)nc2n1 ZINC000622994360 365586419 /nfs/dbraw/zinc/58/64/19/365586419.db2.gz MHKFJAMNSZTASS-GXSJLCMTSA-N -1 1 319.365 1.266 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cc(C)n(C)c3C)nc2n1 ZINC000622995778 365588501 /nfs/dbraw/zinc/58/85/01/365588501.db2.gz OZOPSQDZNZGXEL-UHFFFAOYSA-N -1 1 314.349 1.188 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3(CCC)CC3)nc2n1 ZINC000622996834 365590262 /nfs/dbraw/zinc/59/02/62/365590262.db2.gz BYQKDAYCHJQRKT-UHFFFAOYSA-N -1 1 303.366 1.889 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CCCCO3)nc2n1 ZINC000622997020 365590518 /nfs/dbraw/zinc/59/05/18/365590518.db2.gz JGDYROBVCSSSDT-LLVKDONJSA-N -1 1 319.365 1.268 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC[C@@H](OC)C3)nc2n1 ZINC000622997647 365591022 /nfs/dbraw/zinc/59/10/22/365591022.db2.gz GNEULOAONAGGCX-GXSJLCMTSA-N -1 1 319.365 1.124 20 0 DDADMM C[C@H]1OCC[C@]1(O)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000614203090 361749763 /nfs/dbraw/zinc/74/97/63/361749763.db2.gz JOXAENHQNVQKTP-HWPZZCPQSA-N -1 1 302.330 1.210 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1S(=O)(=O)N1CCN2CCC[C@H]2C1 ZINC000248874715 282114144 /nfs/dbraw/zinc/11/41/44/282114144.db2.gz LVYNODQCTNKZDX-ZDUSSCGKSA-N -1 1 324.402 1.162 20 0 DDADMM Cc1nc(-c2ccc(N3CC(=O)N[C@H](C)C3)nc2)[n-]c(=O)c1C ZINC000450785545 230793063 /nfs/dbraw/zinc/79/30/63/230793063.db2.gz OAGZBAZSLNAYKC-SECBINFHSA-N -1 1 313.361 1.186 20 0 DDADMM C[C@@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1cncnc1 ZINC000614473845 361875336 /nfs/dbraw/zinc/87/53/36/361875336.db2.gz MRSSIVHALFTCQM-SECBINFHSA-N -1 1 303.322 1.075 20 0 DDADMM COC(=O)c1c[n-]c(SC[C@@H]2CC(C(=O)OC)=C(C)O2)n1 ZINC000278637474 214293543 /nfs/dbraw/zinc/29/35/43/214293543.db2.gz LDABXBQOADFXSW-QMMMGPOBSA-N -1 1 312.347 1.524 20 0 DDADMM CC[C@]1(C(C)C)C[C@H]1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000457276534 529391367 /nfs/dbraw/zinc/39/13/67/529391367.db2.gz KFBWJSOMHFCJLM-BXUZGUMPSA-N -1 1 314.407 1.742 20 0 DDADMM NC(=O)C1CCC([N-]S(=O)(=O)c2sccc2Cl)CC1 ZINC000451177463 231026262 /nfs/dbraw/zinc/02/62/62/231026262.db2.gz INVNFYUYXDGSKN-UHFFFAOYSA-N -1 1 322.839 1.724 20 0 DDADMM CC[C@@](C)(CNC(=O)OC(C)(C)C)C(=O)[N-]O[C@@H]1CCOC1 ZINC000495046031 529498464 /nfs/dbraw/zinc/49/84/64/529498464.db2.gz IDDHVCHGDTUIRP-ABAIWWIYSA-N -1 1 316.398 1.764 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnc(C(C)(C)C)s1)c1nn[n-]n1 ZINC000183420503 539242056 /nfs/dbraw/zinc/24/20/56/539242056.db2.gz MOWUZIIXFXITGU-MRVPVSSYSA-N -1 1 308.411 1.829 20 0 DDADMM CN1CC[C@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])CC1=O ZINC000194189198 539262289 /nfs/dbraw/zinc/26/22/89/539262289.db2.gz LBEXIDPHBNFCAX-VIFPVBQESA-N -1 1 316.279 1.762 20 0 DDADMM CC[C@@H](NC(=O)c1coc(S(=O)(=O)[N-]C)c1)[C@H]1CC1(C)C ZINC000416277042 529678077 /nfs/dbraw/zinc/67/80/77/529678077.db2.gz OCFZCSQKERKYOD-GHMZBOCLSA-N -1 1 314.407 1.742 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC/C=C\c2ccccc2)co1 ZINC000255633920 282271003 /nfs/dbraw/zinc/27/10/03/282271003.db2.gz IZOTZJLQFYTVJA-YVMONPNESA-N -1 1 320.370 1.631 20 0 DDADMM COc1ccc(NC(=O)C2(C)COC2)cc1[N-]S(C)(=O)=O ZINC000272795778 210198706 /nfs/dbraw/zinc/19/87/06/210198706.db2.gz GUVVUTVEDWFUJU-UHFFFAOYSA-N -1 1 314.363 1.042 20 0 DDADMM C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000272787939 210190585 /nfs/dbraw/zinc/19/05/85/210190585.db2.gz WIKJBFUFXSFFQD-MADCSZMMSA-N -1 1 312.753 1.260 20 0 DDADMM CO[C@@H]1COCC[C@H]1CNC(=O)c1ncc2ccccc2c1[O-] ZINC000615739001 362420279 /nfs/dbraw/zinc/42/02/79/362420279.db2.gz LTURTKMKGOEYOL-GXTWGEPZSA-N -1 1 316.357 1.722 20 0 DDADMM CN(Cc1nccn1C(F)F)C(=O)C(=O)c1ccc([O-])cc1 ZINC000436777702 530026861 /nfs/dbraw/zinc/02/68/61/530026861.db2.gz DDBNBHRQEOVMKE-UHFFFAOYSA-N -1 1 309.272 1.825 20 0 DDADMM CCOC(=O)[C@H](Cc1ccncc1)NC(=O)c1cncc([O-])c1 ZINC000616173147 362573349 /nfs/dbraw/zinc/57/33/49/362573349.db2.gz HYXAPESWAGNNEE-AWEZNQCLSA-N -1 1 315.329 1.086 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2[C@H](C)CCC2(C)C)o1 ZINC000616177746 362574790 /nfs/dbraw/zinc/57/47/90/362574790.db2.gz LJEQHWLNVLOPLR-SECBINFHSA-N -1 1 300.380 1.591 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(F)cc2C)co1 ZINC000158808348 290748221 /nfs/dbraw/zinc/74/82/21/290748221.db2.gz CWKZUINTGVKDBW-UHFFFAOYSA-N -1 1 312.322 1.888 20 0 DDADMM C[C@H](O)[C@@H](NC(=O)C1CC1)c1nc(-c2ccc([O-])c(F)c2)no1 ZINC000277883268 213835392 /nfs/dbraw/zinc/83/53/92/213835392.db2.gz CKZRBNXFVXERKQ-JVXZTZIISA-N -1 1 321.308 1.529 20 0 DDADMM C[C@@H](CNC(=O)NCCC[N@@H+](C)Cc1ccccc1)C(=O)[O-] ZINC000390820085 539511068 /nfs/dbraw/zinc/51/10/68/539511068.db2.gz VNERINRMSUVWOQ-ZDUSSCGKSA-N -1 1 307.394 1.528 20 0 DDADMM CCN(CC)C(=O)c1ccc(S(=O)(=O)[N-]c2cnc[nH]2)cc1 ZINC000564563486 304001310 /nfs/dbraw/zinc/00/13/10/304001310.db2.gz WINSYUPVBKULJG-UHFFFAOYSA-N -1 1 322.390 1.693 20 0 DDADMM COC(=O)C[C@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CCO1 ZINC000148546482 186045023 /nfs/dbraw/zinc/04/50/23/186045023.db2.gz NYWYKFPNSWUJGF-JTQLQIEISA-N -1 1 313.737 1.450 20 0 DDADMM Cc1ccccc1[C@@H](N)C(=O)N1C[C@@H]2CCC[C@@]2(C(=O)[O-])C1 ZINC000564801487 304014715 /nfs/dbraw/zinc/01/47/15/304014715.db2.gz GCTFTORGWJJCDD-DXCKQFNASA-N -1 1 302.374 1.708 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@H](C)[C@@H]2C)o1 ZINC000031488181 352267197 /nfs/dbraw/zinc/26/71/97/352267197.db2.gz NTEKIFMONRFZKP-GARJFASQSA-N -1 1 314.407 1.742 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CCCCC2)o1 ZINC000032633127 352282229 /nfs/dbraw/zinc/28/22/29/352282229.db2.gz MDFXFOKOSSFBBB-UHFFFAOYSA-N -1 1 314.407 1.983 20 0 DDADMM O=C([N-]C[C@H]1CN(Cc2ccccc2)CCO1)C(F)(F)F ZINC000034382630 352291824 /nfs/dbraw/zinc/29/18/24/352291824.db2.gz SGYDZKNULWQYIA-LBPRGKRZSA-N -1 1 302.296 1.566 20 0 DDADMM CC(C)OC(=O)[C@H](C)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000080627038 192175348 /nfs/dbraw/zinc/17/53/48/192175348.db2.gz OUNOSGWKHVGGSL-SECBINFHSA-N -1 1 321.345 1.831 20 0 DDADMM CCn1cc(NC(=O)c2ccccc2[N-]S(=O)(=O)CC)cn1 ZINC000065844239 352965302 /nfs/dbraw/zinc/96/53/02/352965302.db2.gz WIAJKDKWPNUJOL-UHFFFAOYSA-N -1 1 322.390 1.917 20 0 DDADMM O=C(NCCNc1ccccn1)c1nc2ccccc2c(=O)[n-]1 ZINC000078484571 353518097 /nfs/dbraw/zinc/51/80/97/353518097.db2.gz FNJUNGLQKNBLGE-UHFFFAOYSA-N -1 1 309.329 1.160 20 0 DDADMM CCc1onc(C)c1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000345074962 282915956 /nfs/dbraw/zinc/91/59/56/282915956.db2.gz UMGNNZDUGFBXKB-UHFFFAOYSA-N -1 1 304.306 1.015 20 0 DDADMM CCC[C@H](NC(=O)C[C@H](O)c1cccc(F)c1)c1nn[n-]n1 ZINC000089307678 353764976 /nfs/dbraw/zinc/76/49/76/353764976.db2.gz VENMJBZEWFARNF-RYUDHWBXSA-N -1 1 307.329 1.420 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H](C)[C@H](O)C3)cnc2n1 ZINC000089461304 353773150 /nfs/dbraw/zinc/77/31/50/353773150.db2.gz LUWPOJCXXSHYMN-NOZJJQNGSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](C)[C@H](O)C3)c[n-]c2n1 ZINC000089461304 353773151 /nfs/dbraw/zinc/77/31/51/353773151.db2.gz LUWPOJCXXSHYMN-NOZJJQNGSA-N -1 1 301.346 1.487 20 0 DDADMM O=S(=O)([N-]CCOC[C@@H]1CCCO1)c1cccc(F)c1F ZINC000115584606 353987392 /nfs/dbraw/zinc/98/73/92/353987392.db2.gz OBPWTNIXAQCYNT-JTQLQIEISA-N -1 1 321.345 1.439 20 0 DDADMM C[C@@H](C(=O)[O-])[C@H](C)NS(=O)(=O)c1c(F)cc(F)cc1F ZINC000133154493 354107809 /nfs/dbraw/zinc/10/78/09/354107809.db2.gz RVHDCHLFNOJIKW-RITPCOANSA-N -1 1 311.281 1.491 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2cnc(CC)s2)s1 ZINC000150734935 354177059 /nfs/dbraw/zinc/17/70/59/354177059.db2.gz RTEXYJIOQRCPTP-UHFFFAOYSA-N -1 1 312.376 1.986 20 0 DDADMM CC(C)[C@@H]1C[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000357229008 291021982 /nfs/dbraw/zinc/02/19/82/291021982.db2.gz UVHNUEUQKHKIDK-FZMZJTMJSA-N -1 1 315.373 1.857 20 0 DDADMM COc1ccc(-c2nc([C@H]3CN(C)CCN3C)no2)c([O-])c1 ZINC000317615858 354511921 /nfs/dbraw/zinc/51/19/21/354511921.db2.gz GWPCOKFBDUHONQ-GFCCVEGCSA-N -1 1 304.350 1.369 20 0 DDADMM Cc1cccc(CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000588697827 354930225 /nfs/dbraw/zinc/93/02/25/354930225.db2.gz WPAYNFBXXCCSQK-MRXNPFEDSA-N -1 1 307.357 1.956 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COc2ccc(O)cc2)n1 ZINC000590356152 355077010 /nfs/dbraw/zinc/07/70/10/355077010.db2.gz XSAGYHGGPMKOKL-UHFFFAOYSA-N -1 1 305.290 1.310 20 0 DDADMM COc1ccc(OC(C)C)c(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000276965275 213206848 /nfs/dbraw/zinc/20/68/48/213206848.db2.gz ZPVHHNDHQOZNJN-UHFFFAOYSA-N -1 1 322.321 1.071 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCc3n[nH]cc3C2)n1 ZINC000593900368 356047954 /nfs/dbraw/zinc/04/79/54/356047954.db2.gz CIUDGQVCQOKSAM-MRVPVSSYSA-N -1 1 303.322 1.053 20 0 DDADMM CCC[C@](C)(O)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595315738 356446882 /nfs/dbraw/zinc/44/68/82/356446882.db2.gz SGVXJIKYLQUTQG-ZDUSSCGKSA-N -1 1 319.379 1.204 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCO[C@H]1CC1(F)F ZINC000618129994 363469234 /nfs/dbraw/zinc/46/92/34/363469234.db2.gz FMCJPOQRTUJWMY-ZETCQYMHSA-N -1 1 319.333 1.367 20 0 DDADMM CC[C@@H](C)Oc1cc(C(=O)NC(C)(C)c2nn[n-]n2)ccn1 ZINC000347577717 283234526 /nfs/dbraw/zinc/23/45/26/283234526.db2.gz NJQAFYWKPAFFIF-SECBINFHSA-N -1 1 304.354 1.437 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C[C@@H]1OC ZINC000276860627 213139196 /nfs/dbraw/zinc/13/91/96/213139196.db2.gz GBUQCKRLFVGRSC-PHIMTYICSA-N -1 1 323.393 1.274 20 0 DDADMM O=C(NCCSCCCO)c1ncc2ccccc2c1[O-] ZINC000597382879 357157398 /nfs/dbraw/zinc/15/73/98/357157398.db2.gz HSBXUEJUQIDIII-UHFFFAOYSA-N -1 1 306.387 1.786 20 0 DDADMM COCC[C@@H](NC(=O)c1ncc2ccccc2c1[O-])C(=O)OC ZINC000597672696 357278223 /nfs/dbraw/zinc/27/82/23/357278223.db2.gz HTNXAFFOWWMVGC-GFCCVEGCSA-N -1 1 318.329 1.248 20 0 DDADMM COC(=O)c1occc1CNC(=O)c1csc(=NC2CC2)[n-]1 ZINC000598241082 357505314 /nfs/dbraw/zinc/50/53/14/357505314.db2.gz KYYJGOPGAUNYJI-UHFFFAOYSA-N -1 1 321.358 1.449 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC[C@@H]2CC2(F)F)c1 ZINC000598621494 357657728 /nfs/dbraw/zinc/65/77/28/357657728.db2.gz GUIHVDCTYBQVSL-MRVPVSSYSA-N -1 1 309.290 1.390 20 0 DDADMM C[S@](=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000598752352 357716549 /nfs/dbraw/zinc/71/65/49/357716549.db2.gz JPHCBGJFKFOWCA-FTFVXWMISA-N -1 1 309.387 1.381 20 0 DDADMM C[S@](=O)[C@H]1CCC[C@@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000598752355 357716787 /nfs/dbraw/zinc/71/67/87/357716787.db2.gz JPHCBGJFKFOWCA-ZZPLEAIBSA-N -1 1 309.387 1.381 20 0 DDADMM Cc1cccc(C)c1OCCNC(=O)CN1CC[C@@H](C(=O)[O-])C1 ZINC000178893976 198947897 /nfs/dbraw/zinc/94/78/97/198947897.db2.gz USKIVJDNQQEBHM-CQSZACIVSA-N -1 1 320.389 1.205 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(=O)OC ZINC000179553429 199054175 /nfs/dbraw/zinc/05/41/75/199054175.db2.gz HBEBOCGSNKPSJX-ZETCQYMHSA-N -1 1 311.281 1.334 20 0 DDADMM CCOc1ccc(OCCCC(=O)NCc2nn[n-]n2)cc1 ZINC000600497949 358242077 /nfs/dbraw/zinc/24/20/77/358242077.db2.gz RZEGDPAUUCYRKU-UHFFFAOYSA-N -1 1 305.338 1.074 20 0 DDADMM CCCOc1ccc(C(=O)CCC(=O)NCc2nn[n-]n2)cc1 ZINC000600503289 358242832 /nfs/dbraw/zinc/24/28/32/358242832.db2.gz DYCZOOMDNSRUTA-UHFFFAOYSA-N -1 1 317.349 1.268 20 0 DDADMM C[C@@H](c1cnn(C)c1)N(C)C(=O)c1csc(=NC2CC2)[n-]1 ZINC000348069995 283353230 /nfs/dbraw/zinc/35/32/30/283353230.db2.gz HWMDOLZOBKQINJ-VIFPVBQESA-N -1 1 305.407 1.706 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)CCC(C)(F)F ZINC000601452996 358560011 /nfs/dbraw/zinc/56/00/11/358560011.db2.gz YGNMAUZPZSASME-SECBINFHSA-N -1 1 315.382 1.929 20 0 DDADMM CCOC(=O)C[C@@H](C)C[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601380063 358525318 /nfs/dbraw/zinc/52/53/18/358525318.db2.gz JAMZYGCBVQWLGK-MRVPVSSYSA-N -1 1 306.409 1.319 20 0 DDADMM COC(=O)Cc1c(C)[nH]n(-c2ccc(CC(=O)[O-])cc2)c1=O ZINC000601950956 358750384 /nfs/dbraw/zinc/75/03/84/358750384.db2.gz WDWPKYXVJRERJD-LBPRGKRZSA-N -1 1 304.302 1.216 20 0 DDADMM C[S@@](=O)C1(CNC(=O)N=c2[n-]sc3ccccc32)CC1 ZINC000603052865 359378583 /nfs/dbraw/zinc/37/85/83/359378583.db2.gz XOWNDJRDZJRIDY-HXUWFJFHSA-N -1 1 309.416 1.751 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)C[C@@]1(C)CC1(F)F ZINC000603275184 359534759 /nfs/dbraw/zinc/53/47/59/359534759.db2.gz QZTMSMBZLOMBHE-GXSJLCMTSA-N -1 1 313.366 1.539 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cccc(Cl)c2F)n1 ZINC000358960207 299292394 /nfs/dbraw/zinc/29/23/94/299292394.db2.gz RYVPYMYCASBTEF-UHFFFAOYSA-N -1 1 304.734 1.960 20 0 DDADMM CCOc1cc(C(=O)N2CCC[C@@H]2C(N)=O)cc(Cl)c1[O-] ZINC000186777620 200008523 /nfs/dbraw/zinc/00/85/23/200008523.db2.gz CSKHGJCSUFKQCE-SNVBAGLBSA-N -1 1 312.753 1.534 20 0 DDADMM COC(=O)C1(CS(=O)(=O)[N-][C@H](C)C(F)F)CCCCC1 ZINC000603424929 359633372 /nfs/dbraw/zinc/63/33/72/359633372.db2.gz UJBBTGRLGIUAQT-SECBINFHSA-N -1 1 313.366 1.683 20 0 DDADMM Cc1cc(CNC(=O)N=c2[n-]nc(-c3ccccc3)s2)n[nH]1 ZINC000603522497 359653559 /nfs/dbraw/zinc/65/35/59/359653559.db2.gz RBEABJMOIMBIIH-UHFFFAOYSA-N -1 1 314.374 1.980 20 0 DDADMM CO[C@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CC[C@@H]1C ZINC000187755156 200152887 /nfs/dbraw/zinc/15/28/87/200152887.db2.gz ODQJOPGPBGTPDG-JQWIXIFHSA-N -1 1 321.421 1.873 20 0 DDADMM O=C(NOC[C@H]1CCOC1)c1cc(Br)ccc1[O-] ZINC000188311964 200225767 /nfs/dbraw/zinc/22/57/67/200225767.db2.gz GORHZRUADXTPDC-QMMMGPOBSA-N -1 1 316.151 1.853 20 0 DDADMM O=C(Cc1c[nH]c2ccccc12)NC1(c2nn[n-]n2)CCCC1 ZINC000605381695 359848322 /nfs/dbraw/zinc/84/83/22/359848322.db2.gz OAWUPDZGSIPAIW-UHFFFAOYSA-N -1 1 310.361 1.809 20 0 DDADMM CC(C)CCc1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC000606117411 359901454 /nfs/dbraw/zinc/90/14/54/359901454.db2.gz MRNBJYMDKOLROF-UHFFFAOYSA-N -1 1 316.365 1.043 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000287736788 219702806 /nfs/dbraw/zinc/70/28/06/219702806.db2.gz UCQILBWHHWJJLG-TUBFPLQUSA-N -1 1 303.366 1.278 20 0 DDADMM O=S(=O)(Cc1ccccc1F)[N-]Cc1nnc(C2CC2)o1 ZINC000189072812 200343824 /nfs/dbraw/zinc/34/38/24/200343824.db2.gz HBZVOXFJFIOKGN-UHFFFAOYSA-N -1 1 311.338 1.706 20 0 DDADMM CS(=O)(=O)N1CCC(C(=O)Nc2c([O-])cccc2F)CC1 ZINC000608367449 360167189 /nfs/dbraw/zinc/16/71/89/360167189.db2.gz ZJVXEARVBCMWOQ-UHFFFAOYSA-N -1 1 316.354 1.141 20 0 DDADMM NC(=O)c1ccc(OCC(=O)Nc2c([O-])cccc2F)cc1 ZINC000608365794 360167586 /nfs/dbraw/zinc/16/75/86/360167586.db2.gz JQBFVGPFJMFPJJ-UHFFFAOYSA-N -1 1 304.277 1.648 20 0 DDADMM C[NH+](C)CC(=O)Nc1cc(I)ccc1O ZINC000610490316 360450293 /nfs/dbraw/zinc/45/02/93/360450293.db2.gz LKNDCZFTGLGJTR-UHFFFAOYSA-N -1 1 320.130 1.497 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2ccc(COC)o2)s1 ZINC000610872038 360557160 /nfs/dbraw/zinc/55/71/60/360557160.db2.gz UMFZHLGKRNYSTA-UHFFFAOYSA-N -1 1 311.319 1.707 20 0 DDADMM CCc1nocc1C(=O)N=c1[nH][n-]c(CC)c1Br ZINC000611775198 360821574 /nfs/dbraw/zinc/82/15/74/360821574.db2.gz ZEBLKTMETMVGSS-UHFFFAOYSA-N -1 1 313.155 1.959 20 0 DDADMM CCC[C@H](NC(=O)c1cnn2cccc(Cl)c12)c1nn[n-]n1 ZINC000612060343 360905706 /nfs/dbraw/zinc/90/57/06/360905706.db2.gz JWLOKSPLDLWDJQ-JTQLQIEISA-N -1 1 319.756 1.772 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(C)=O)c(O)c1)c1nn[n-]n1 ZINC000612062351 360906880 /nfs/dbraw/zinc/90/68/80/360906880.db2.gz VNZSMCGQWDXFRR-NSHDSACASA-N -1 1 303.322 1.379 20 0 DDADMM C[C@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)N(C)CC(F)(F)F ZINC000193208136 201006882 /nfs/dbraw/zinc/00/68/82/201006882.db2.gz YREIOPVIINBBAA-SCSAIBSYSA-N -1 1 319.209 1.645 20 0 DDADMM CCNC(=O)[C@H]1Cc2ccccc2CN1Cc1ncccc1[O-] ZINC000566138248 304121046 /nfs/dbraw/zinc/12/10/46/304121046.db2.gz MVMOREXNPRMMBQ-MRXNPFEDSA-N -1 1 311.385 1.850 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1noc2c1CCCC2 ZINC000622610296 365450446 /nfs/dbraw/zinc/45/04/46/365450446.db2.gz RLJILLXQIPKOAT-CYBMUJFWSA-N -1 1 324.344 1.586 20 0 DDADMM COC[C@@H](C)C(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000349246009 283881728 /nfs/dbraw/zinc/88/17/28/283881728.db2.gz JXRQNPVAAOSFIO-SECBINFHSA-N -1 1 316.379 1.288 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)Cc1cccc(Cl)c1 ZINC000625491450 366962770 /nfs/dbraw/zinc/96/27/70/366962770.db2.gz DQXPDZMGCVIOHR-NSHDSACASA-N -1 1 303.767 1.117 20 0 DDADMM CC[C@@H](NC(=O)c1ncccc1[O-])c1nnc2n1CCCCC2 ZINC000275288879 212251746 /nfs/dbraw/zinc/25/17/46/212251746.db2.gz LFPXNIRBBXCMAG-LLVKDONJSA-N -1 1 315.377 1.986 20 0 DDADMM CCO[C@@H](C(=O)N=c1[nH][n-]c(CC)c1Br)C1CC1 ZINC000625964356 367246750 /nfs/dbraw/zinc/24/67/50/367246750.db2.gz IYCGGKOWHOAUGN-SNVBAGLBSA-N -1 1 316.199 1.910 20 0 DDADMM CC(C)(CN=c1[nH]c(C(=O)[O-])co1)c1ccc2c(c1)OCO2 ZINC000566293896 304140565 /nfs/dbraw/zinc/14/05/65/304140565.db2.gz JVAABABSVTZHOW-UHFFFAOYSA-N -1 1 304.302 1.913 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc(CCC(C)(C)C)no1 ZINC000349784015 284052048 /nfs/dbraw/zinc/05/20/48/284052048.db2.gz MLCDZSLYJCTJTR-UHFFFAOYSA-N -1 1 319.427 1.504 20 0 DDADMM O=C([O-])[C@H]1CC[C@H]1C(=O)NCCN1CCc2ccccc2C1 ZINC000261984598 203166350 /nfs/dbraw/zinc/16/63/50/203166350.db2.gz CHBSBUWGWXTUOA-CABCVRRESA-N -1 1 302.374 1.272 20 0 DDADMM CCC[C@H](NCC(=O)Nc1ccc(OC)cc1OC)C(=O)[O-] ZINC000262294171 203253735 /nfs/dbraw/zinc/25/37/35/203253735.db2.gz OIYIPLGPMNLZKD-LBPRGKRZSA-N -1 1 310.350 1.485 20 0 DDADMM Cc1cccc(OCCNC(=O)CN2CCC(C(=O)[O-])CC2)c1 ZINC000262611274 203349352 /nfs/dbraw/zinc/34/93/52/203349352.db2.gz BTUXSFOVKAYWEM-UHFFFAOYSA-N -1 1 320.389 1.287 20 0 DDADMM C[C@H](C(=O)Nc1cccc2ncccc21)N(C)CCC(=O)[O-] ZINC000262612479 203350885 /nfs/dbraw/zinc/35/08/85/203350885.db2.gz CFLHVRWDAVZOTM-LLVKDONJSA-N -1 1 301.346 1.968 20 0 DDADMM COCCOC[C@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000360543022 306984554 /nfs/dbraw/zinc/98/45/54/306984554.db2.gz FVCQNDJLMDBJJQ-VIFPVBQESA-N -1 1 309.334 1.295 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)C2CCCC2)o1 ZINC000264994112 204329799 /nfs/dbraw/zinc/32/97/99/204329799.db2.gz CGRCNOGVEOJYCN-VIFPVBQESA-N -1 1 301.364 1.923 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCNC(=O)C(C)(C)C)c1 ZINC000282523865 217061055 /nfs/dbraw/zinc/06/10/55/217061055.db2.gz BLMXJYMVRPSOML-UHFFFAOYSA-N -1 1 322.361 1.670 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCN2C(C)=O)c1 ZINC000282779123 217244823 /nfs/dbraw/zinc/24/48/23/217244823.db2.gz MCXNGWJPAHWILG-LBPRGKRZSA-N -1 1 306.318 1.128 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)c1cc2c(s1)CCC2 ZINC000021040896 182263155 /nfs/dbraw/zinc/26/31/55/182263155.db2.gz NQFZUQPGKWSNNZ-JTQLQIEISA-N -1 1 305.359 1.386 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(CNC(C)=O)cc1)c1nn[n-]n1 ZINC000267584666 206158519 /nfs/dbraw/zinc/15/85/19/206158519.db2.gz LPADARABCFQWMQ-CYBMUJFWSA-N -1 1 316.365 1.107 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2cc(Br)ccc2[O-])C(=O)O1 ZINC000267881330 206318643 /nfs/dbraw/zinc/31/86/43/206318643.db2.gz WQJQSEVYKMFYQM-MUWHJKNJSA-N -1 1 314.135 1.589 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Br)c([O-])c2)C[C@H]1O ZINC000351859894 284591584 /nfs/dbraw/zinc/59/15/84/284591584.db2.gz PGXNPXOUUCTTIY-RDDDGLTNSA-N -1 1 300.152 1.608 20 0 DDADMM CN(C)c1nc([O-])c(CC(=O)Nc2ccc(F)cc2F)s1 ZINC000028757247 248185554 /nfs/dbraw/zinc/18/55/54/248185554.db2.gz PHHXOKBOJPWWMW-SNVBAGLBSA-N -1 1 313.329 1.853 20 0 DDADMM CC[C@@H](C)[C@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1ccccc1 ZINC000174582426 248371568 /nfs/dbraw/zinc/37/15/68/248371568.db2.gz RORYKCDCRFGONQ-DOMZBBRYSA-N -1 1 316.405 1.977 20 0 DDADMM O=C([O-])[C@H]1c2ccccc2CCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000336876780 249280799 /nfs/dbraw/zinc/28/07/99/249280799.db2.gz JRUQFVLZZPXQTR-OAHLLOKOSA-N -1 1 322.324 1.782 20 0 DDADMM COc1ccccc1-n1ncc(C(=O)Nc2ccncc2[O-])c1C ZINC000336920768 249289776 /nfs/dbraw/zinc/28/97/76/249289776.db2.gz GPYNELQERYOFEI-UHFFFAOYSA-N -1 1 324.340 1.964 20 0 DDADMM O=C(NCCn1ccnc1)c1cc(Br)ccc1[O-] ZINC000043947599 183390601 /nfs/dbraw/zinc/39/06/01/183390601.db2.gz UDXAYIAJSVRBAG-UHFFFAOYSA-N -1 1 310.151 1.781 20 0 DDADMM Cn1cc(-c2nn(C)cc2NC(=O)c2ccc([O-])cc2F)cn1 ZINC000567329636 304212370 /nfs/dbraw/zinc/21/23/70/304212370.db2.gz WZMLLUHQYOQCDK-UHFFFAOYSA-N -1 1 315.308 1.918 20 0 DDADMM COc1ccc(CNC2(C(=O)[O-])CC2)c(Br)c1O ZINC000284282946 217960837 /nfs/dbraw/zinc/96/08/37/217960837.db2.gz QNSDQXCCDUQHKG-UHFFFAOYSA-N -1 1 316.151 1.870 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(C3(OC)CCC3)no2)o1 ZINC000273949087 211204538 /nfs/dbraw/zinc/20/45/38/211204538.db2.gz ISNZRDMLCWNTDX-UHFFFAOYSA-N -1 1 313.335 1.263 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C)[C@H]1c1ccccc1 ZINC000352056348 284744253 /nfs/dbraw/zinc/74/42/53/284744253.db2.gz BRVKYNHMEABFMA-SKDRFNHKSA-N -1 1 315.329 1.730 20 0 DDADMM CC[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccncc1 ZINC000352056203 284744262 /nfs/dbraw/zinc/74/42/62/284744262.db2.gz AHDVAYXOLPFKRZ-SECBINFHSA-N -1 1 304.306 1.173 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)c1ccccc1)c1sccc1F ZINC000338927798 250201090 /nfs/dbraw/zinc/20/10/90/250201090.db2.gz XMIWLTNOOHHKPT-LLVKDONJSA-N -1 1 315.391 1.942 20 0 DDADMM CC(C)(CO)[C@@H]([N-]S(=O)(=O)c1sccc1F)C1CC1 ZINC000338992684 250239249 /nfs/dbraw/zinc/23/92/49/250239249.db2.gz BGZKDNHNDBSJNB-JTQLQIEISA-N -1 1 307.412 1.963 20 0 DDADMM Cc1ccccc1[C@@H]1CN(C(=O)CCc2nn[n-]n2)CCO1 ZINC000631490653 422796173 /nfs/dbraw/zinc/79/61/73/422796173.db2.gz SMPMOPLPBOSOJT-ZDUSSCGKSA-N -1 1 301.350 1.041 20 0 DDADMM CC(C)(C)[C@@H]([N-]S(=O)(=O)c1cccc(Cl)c1F)C(N)=O ZINC000106447099 194215643 /nfs/dbraw/zinc/21/56/43/194215643.db2.gz UKDQPRRBMOUVCA-JTQLQIEISA-N -1 1 322.789 1.657 20 0 DDADMM CNS(=O)(=O)c1cccc(NC(=O)c2cncc([O-])c2)c1C ZINC000339910253 250743197 /nfs/dbraw/zinc/74/31/97/250743197.db2.gz JCLQOZAHGSKRCW-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM C[C@H]1COCC[C@H]1[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000567497402 304224112 /nfs/dbraw/zinc/22/41/12/304224112.db2.gz UQGGXMFTEYKJNU-VHSXEESVSA-N -1 1 312.416 2.000 20 0 DDADMM O=C(Cn1cc(Br)ccc1=O)Nc1ccncc1[O-] ZINC000109889316 194295386 /nfs/dbraw/zinc/29/53/86/194295386.db2.gz XPBVNQZQKAACSG-UHFFFAOYSA-N -1 1 324.134 1.350 20 0 DDADMM Cc1cccc(OC[C@@H](O)CNC(=O)c2cncc([O-])c2)c1 ZINC000109957271 194301331 /nfs/dbraw/zinc/30/13/31/194301331.db2.gz RZUPWAAHVKLEDA-AWEZNQCLSA-N -1 1 302.330 1.265 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)[C@H](C)N2CC[C@H](C(=O)[O-])C2)c1C ZINC000567593032 304227866 /nfs/dbraw/zinc/22/78/66/304227866.db2.gz ORODAVMJXCESKR-QWRGUYRKSA-N -1 1 322.361 1.396 20 0 DDADMM O=S(=O)([N-]CC(F)(F)F)c1cnc(-c2ccccc2)nc1 ZINC000340366734 251029358 /nfs/dbraw/zinc/02/93/58/251029358.db2.gz GHFNQDMRKHPJOP-UHFFFAOYSA-N -1 1 317.292 1.984 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@@H]1CCOC1)c1cc(Cl)ccc1F ZINC000340841905 251237962 /nfs/dbraw/zinc/23/79/62/251237962.db2.gz GMRTWGJZISYQET-LDYMZIIASA-N -1 1 323.773 1.155 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC[C@H](C)C[C@H]2C)o1 ZINC000112955376 194538510 /nfs/dbraw/zinc/53/85/10/194538510.db2.gz DBACJNQMTZJBHK-HBNTYKKESA-N -1 1 314.407 1.742 20 0 DDADMM C[C@H]1CCC[C@@]1(O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000294559241 224088218 /nfs/dbraw/zinc/08/82/18/224088218.db2.gz LKECOYHGYJFQNH-TVQRCGJNSA-N -1 1 305.346 1.794 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(Cl)s1 ZINC000352437665 285050993 /nfs/dbraw/zinc/05/09/93/285050993.db2.gz DGRKJWNPQPKAMC-ZCFIWIBFSA-N -1 1 323.765 1.624 20 0 DDADMM CCC[C@@H](NC(=O)COC/C=C/c1ccccc1)c1nn[n-]n1 ZINC000120074891 195092588 /nfs/dbraw/zinc/09/25/88/195092588.db2.gz ZXXKWRBESYWQKY-OAUONFCTSA-N -1 1 315.377 1.887 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCC(C)(C)CO)c1 ZINC000270014503 208069565 /nfs/dbraw/zinc/06/95/65/208069565.db2.gz MUGKWXOZQBDAMD-UHFFFAOYSA-N -1 1 319.379 1.143 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccc2c1CCNC2=O)C1CC1 ZINC000567869784 304249368 /nfs/dbraw/zinc/24/93/68/304249368.db2.gz ILAQECOEUNLOFI-AWEZNQCLSA-N -1 1 324.402 1.139 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCCc2cccc(F)c2)[n-]n1 ZINC000413149633 224144122 /nfs/dbraw/zinc/14/41/22/224144122.db2.gz VIHBFPLCBAMXGB-UHFFFAOYSA-N -1 1 302.353 1.784 20 0 DDADMM CC[C@H]1CCC[C@H]1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000156225254 197097431 /nfs/dbraw/zinc/09/74/31/197097431.db2.gz GSJNDHYRNIHPIN-GXSJLCMTSA-N -1 1 300.380 1.496 20 0 DDADMM CCC1(CNC(=O)c2coc(S(=O)(=O)[N-]C)c2)CCC1 ZINC000155641553 197074628 /nfs/dbraw/zinc/07/46/28/197074628.db2.gz MCFCNMKXIOUDMG-UHFFFAOYSA-N -1 1 300.380 1.498 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1cc2ccccc2s1 ZINC000633208986 422807503 /nfs/dbraw/zinc/80/75/03/422807503.db2.gz JRFLTAHEGCTHML-UHFFFAOYSA-N -1 1 301.375 1.706 20 0 DDADMM O=C(CSc1nc(C(F)F)cc(=O)[n-]1)N1CCOCC1 ZINC000289478211 221003283 /nfs/dbraw/zinc/00/32/83/221003283.db2.gz IAUCDDJSRXIDMW-UHFFFAOYSA-N -1 1 305.306 1.071 20 0 DDADMM COc1cc(C(=O)N2CCO[C@H]([C@@H](C)O)C2)cc(Cl)c1[O-] ZINC000414059677 224296730 /nfs/dbraw/zinc/29/67/30/224296730.db2.gz VGXPKAMOYWAMQR-PELKAZGASA-N -1 1 315.753 1.276 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)c1c(F)cncc1F ZINC000289927480 221322615 /nfs/dbraw/zinc/32/26/15/221322615.db2.gz RBAMDPVTCKWZRK-YFKPBYRVSA-N -1 1 318.305 1.278 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ccc(Br)o2)CCOC1 ZINC000352874047 285351774 /nfs/dbraw/zinc/35/17/74/285351774.db2.gz URLHXZUPOXKMLV-JTQLQIEISA-N -1 1 324.196 1.747 20 0 DDADMM CN1C(=O)Cc2cc(S(=O)(=O)[N-]c3cccc(O)c3)ccc21 ZINC000121813460 195352459 /nfs/dbraw/zinc/35/24/59/195352459.db2.gz AOUCYFQNALZOFD-UHFFFAOYSA-N -1 1 318.354 1.712 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@](C)(O)C(C)C ZINC000353291211 285646179 /nfs/dbraw/zinc/64/61/79/285646179.db2.gz NGXSYJSTCHSQAM-OAHLLOKOSA-N -1 1 306.366 1.075 20 0 DDADMM CCOc1cc(F)ccc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353738379 285899992 /nfs/dbraw/zinc/89/99/92/285899992.db2.gz PBWNBXTUABQLMQ-UHFFFAOYSA-N -1 1 317.280 1.208 20 0 DDADMM CO[C@](C)([C@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000414417019 286150920 /nfs/dbraw/zinc/15/09/20/286150920.db2.gz XOASNMDMPCCTQL-DYZYQPBXSA-N -1 1 315.373 1.857 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1COc2ccc(C)cc21 ZINC000579813959 422834354 /nfs/dbraw/zinc/83/43/54/422834354.db2.gz XWYFFXCGEDEOTB-VIFPVBQESA-N -1 1 317.301 1.068 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCCC[C@H]1CC(F)(F)F ZINC000633267845 422834650 /nfs/dbraw/zinc/83/46/50/422834650.db2.gz UBANPUFJLLFHNF-IUCAKERBSA-N -1 1 305.304 1.760 20 0 DDADMM O=C(N[C@H]1CCCS(=O)(=O)C1)c1ccc(Cl)cc1[O-] ZINC000069144917 406685683 /nfs/dbraw/zinc/68/56/83/406685683.db2.gz YFIYZYFCYZWKJS-VIFPVBQESA-N -1 1 303.767 1.353 20 0 DDADMM Cn1c(C2CCN(C(=O)c3cscn3)CC2)n[n-]c1=S ZINC000068426636 406641219 /nfs/dbraw/zinc/64/12/19/406641219.db2.gz LMCYVKJMXNROSO-UHFFFAOYSA-N -1 1 309.420 1.954 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCc3sccc3C2)C1 ZINC000035297083 406971016 /nfs/dbraw/zinc/97/10/16/406971016.db2.gz GLFPTQVFVKGLLG-GFCCVEGCSA-N -1 1 308.403 1.429 20 0 DDADMM CC[C@H](C)n1ncc2c1nc(C)cc2C(=O)Nc1nnn[n-]1 ZINC000076964212 406974960 /nfs/dbraw/zinc/97/49/60/406974960.db2.gz NDDCXQBNVLDETL-QMMMGPOBSA-N -1 1 300.326 1.476 20 0 DDADMM CC[C@H](C)n1ncc2c1nc(C)cc2C(=O)Nc1nn[n-]n1 ZINC000076964212 406974963 /nfs/dbraw/zinc/97/49/63/406974963.db2.gz NDDCXQBNVLDETL-QMMMGPOBSA-N -1 1 300.326 1.476 20 0 DDADMM COc1cc(NC(=O)CSC)ccc1[N-]S(C)(=O)=O ZINC000029970449 406929258 /nfs/dbraw/zinc/92/92/58/406929258.db2.gz LJVNWECHESOBRQ-UHFFFAOYSA-N -1 1 304.393 1.368 20 0 DDADMM O=C(NC[C@H]1CCC[C@@H](O)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000075418672 406932052 /nfs/dbraw/zinc/93/20/52/406932052.db2.gz BDPQUWVVSRTJRB-WDEREUQCSA-N -1 1 301.346 1.204 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(F)cc(Br)c1 ZINC000044929386 407034369 /nfs/dbraw/zinc/03/43/69/407034369.db2.gz MPQHQDDCYHGKHA-UHFFFAOYSA-N -1 1 300.091 1.031 20 0 DDADMM Cc1ccc(F)cc1NC(=O)[C@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000086169837 407108692 /nfs/dbraw/zinc/10/86/92/407108692.db2.gz ZAEZEZIRIPLDPK-QMMMGPOBSA-N -1 1 312.326 1.053 20 0 DDADMM Cc1ccc(F)cc1NC(=O)[C@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000086169837 407108694 /nfs/dbraw/zinc/10/86/94/407108694.db2.gz ZAEZEZIRIPLDPK-QMMMGPOBSA-N -1 1 312.326 1.053 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1c2ccccc2O[C@@H]1C ZINC000570937898 304428258 /nfs/dbraw/zinc/42/82/58/304428258.db2.gz ZNRHCFGBQLWIFM-XCBNKYQSSA-N -1 1 317.301 1.148 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]2C2CCC2)o1 ZINC000102877541 407328330 /nfs/dbraw/zinc/32/83/30/407328330.db2.gz YUGSLDCIVLELEQ-NSHDSACASA-N -1 1 312.391 1.592 20 0 DDADMM CCCCCCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000103937736 407344517 /nfs/dbraw/zinc/34/45/17/407344517.db2.gz SHORYFZXBVLUPB-UHFFFAOYSA-N -1 1 304.394 1.878 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCCc2sccc21)c1nn[n-]n1 ZINC000124502027 407360035 /nfs/dbraw/zinc/36/00/35/407360035.db2.gz FRXRDKQYCRLVBB-KOLCDFICSA-N -1 1 305.407 1.943 20 0 DDADMM CCCCn1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1C ZINC000124699199 407366440 /nfs/dbraw/zinc/36/64/40/407366440.db2.gz BGCZJGNLJPDCOS-SNVBAGLBSA-N -1 1 305.386 1.380 20 0 DDADMM CC(C)Oc1ccccc1CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000124401486 407357504 /nfs/dbraw/zinc/35/75/04/407357504.db2.gz OCRDAYVASIHWOU-GFCCVEGCSA-N -1 1 317.393 1.792 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cccc(C(=O)N(C)C)c2)ccn1 ZINC000112496270 407431545 /nfs/dbraw/zinc/43/15/45/407431545.db2.gz UOMOQMYMEMRFDY-UHFFFAOYSA-N -1 1 319.386 1.893 20 0 DDADMM CC1(CNC(=O)c2ccc(Br)cc2[O-])OCCO1 ZINC000178510000 407476176 /nfs/dbraw/zinc/47/61/76/407476176.db2.gz QJVCXBOQOPFHET-UHFFFAOYSA-N -1 1 316.151 1.648 20 0 DDADMM Cn1nccc1S(=O)(=O)[N-]c1ccncc1Br ZINC000128230298 407510463 /nfs/dbraw/zinc/51/04/63/407510463.db2.gz SKCJFNIZXIFSIW-UHFFFAOYSA-N -1 1 317.168 1.378 20 0 DDADMM C/C(=C\C(C)(C)C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000185917022 407538703 /nfs/dbraw/zinc/53/87/03/407538703.db2.gz BJZHOHXIJBKGNP-CMDGGOBGSA-N -1 1 312.391 1.971 20 0 DDADMM O=C(NN1CCCCC1=O)c1ccc(Br)cc1[O-] ZINC000171118984 407603170 /nfs/dbraw/zinc/60/31/70/407603170.db2.gz QXGFRDRCZVFIDM-UHFFFAOYSA-N -1 1 313.151 1.812 20 0 DDADMM O=C(COc1ccccc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129516863 407615818 /nfs/dbraw/zinc/61/58/18/407615818.db2.gz UFEAVEIDGMBYAR-JTQLQIEISA-N -1 1 305.313 1.124 20 0 DDADMM CC(C)Oc1cccnc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129541987 407617331 /nfs/dbraw/zinc/61/73/31/407617331.db2.gz BVJIGBAAYJVYAI-NSHDSACASA-N -1 1 316.365 1.402 20 0 DDADMM C[C@H](C(=O)Nc1ccc(Cl)cc1)S(=O)(=O)c1ncn[n-]1 ZINC000153028290 407739228 /nfs/dbraw/zinc/73/92/28/407739228.db2.gz UAMXNTGWOLNLPX-SSDOTTSWSA-N -1 1 314.754 1.259 20 0 DDADMM C[C@H](C(=O)Nc1ccc(Cl)cc1)S(=O)(=O)c1nc[n-]n1 ZINC000153028290 407739234 /nfs/dbraw/zinc/73/92/34/407739234.db2.gz UAMXNTGWOLNLPX-SSDOTTSWSA-N -1 1 314.754 1.259 20 0 DDADMM O=C(N[C@H]1CCN(c2ncccc2F)C1)c1cncc([O-])c1 ZINC000153331774 407804611 /nfs/dbraw/zinc/80/46/11/407804611.db2.gz WWAQJFVFHOQOTQ-NSHDSACASA-N -1 1 302.309 1.330 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ccc(C)cc2)c1 ZINC000133892056 407875320 /nfs/dbraw/zinc/87/53/20/407875320.db2.gz VAZXKFSFMLKYGP-UHFFFAOYSA-N -1 1 309.343 1.853 20 0 DDADMM O=C(CNC(=O)c1ccc(C(F)(F)F)cc1[O-])N1CCCC1 ZINC000180355458 407877739 /nfs/dbraw/zinc/87/77/39/407877739.db2.gz JZVBUNDJUFYQTC-UHFFFAOYSA-N -1 1 316.279 1.763 20 0 DDADMM C[C@H](C(=O)Nc1ccc2c(c1)OCO2)N1CCC(C(=O)[O-])CC1 ZINC000262679193 407897060 /nfs/dbraw/zinc/89/70/60/407897060.db2.gz VIPLBDYJJWZLHC-SNVBAGLBSA-N -1 1 320.345 1.539 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119290712 408032311 /nfs/dbraw/zinc/03/23/11/408032311.db2.gz AKOZZFUSWKTMJU-PSLIRLAXSA-N -1 1 306.366 1.201 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cnn(-c3ccccc3)c2)n[n-]1 ZINC000119112883 407996913 /nfs/dbraw/zinc/99/69/13/407996913.db2.gz KCGVINTVYKAVOE-UHFFFAOYSA-N -1 1 317.374 1.527 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@]2(C)CCO[C@@H]2C2CC2)c1Cl ZINC000273133099 408074565 /nfs/dbraw/zinc/07/45/65/408074565.db2.gz UDGLFDDQSJRPBZ-SKDRFNHKSA-N -1 1 319.814 1.309 20 0 DDADMM Cc1cccc([C@@H](C)C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1 ZINC000155078718 408124353 /nfs/dbraw/zinc/12/43/53/408124353.db2.gz XNVZTHIHGIGYHY-SECBINFHSA-N -1 1 308.363 1.259 20 0 DDADMM Cc1cccc([C@@H](C)C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1 ZINC000155078718 408124356 /nfs/dbraw/zinc/12/43/56/408124356.db2.gz XNVZTHIHGIGYHY-SECBINFHSA-N -1 1 308.363 1.259 20 0 DDADMM Cc1ccccc1CN1CCN(c2cnc(C(=O)[O-])cn2)CC1 ZINC000263422529 408134684 /nfs/dbraw/zinc/13/46/84/408134684.db2.gz PYRIPRFWJRLIQJ-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM Nc1ccnc(CNC(=O)c2ccc(Br)c([O-])c2)n1 ZINC000155253534 408142629 /nfs/dbraw/zinc/14/26/29/408142629.db2.gz CQDWWPAUBODBRA-UHFFFAOYSA-N -1 1 323.150 1.457 20 0 DDADMM CC[C@@H](C)[C@@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000182445427 408161576 /nfs/dbraw/zinc/16/15/76/408161576.db2.gz GTNRKWTZFJZTOW-NXEZZACHSA-N -1 1 304.394 1.938 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCSCC[C@@H]2C)co1 ZINC000190109789 408168820 /nfs/dbraw/zinc/16/88/20/408168820.db2.gz ZLYOUWGBKBSHBD-VIFPVBQESA-N -1 1 318.420 1.155 20 0 DDADMM COCCCNC(=O)[C@H](C)O[N-]C(=O)CCCc1ccccc1 ZINC000269400430 408296748 /nfs/dbraw/zinc/29/67/48/408296748.db2.gz GBBWWFFEARYPEC-AWEZNQCLSA-N -1 1 322.405 1.598 20 0 DDADMM O=C(NCCc1nnc(-c2ccccc2)o1)c1ncccc1[O-] ZINC000176327254 408339727 /nfs/dbraw/zinc/33/97/27/408339727.db2.gz NMZWXIIZOIBVQI-UHFFFAOYSA-N -1 1 310.313 1.810 20 0 DDADMM COC(=O)[C@H](C)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000133077929 162051049 /nfs/dbraw/zinc/05/10/49/162051049.db2.gz SHXAEOWTIJQACX-SSDOTTSWSA-N -1 1 309.746 1.567 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1Cc2cccc(F)c2O1)c1nn[n-]n1 ZINC000136706038 162121110 /nfs/dbraw/zinc/12/11/10/162121110.db2.gz LGELHWMSGZNUSW-WDEREUQCSA-N -1 1 305.313 1.300 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@@H]2CCCC[N@H+]2C(C)C)[nH]n1 ZINC000183286955 408371186 /nfs/dbraw/zinc/37/11/86/408371186.db2.gz YKWLTFDEWBVHOR-LBPRGKRZSA-N -1 1 308.382 1.788 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@@H]2CCCCN2C(C)C)[nH]n1 ZINC000183286955 408371191 /nfs/dbraw/zinc/37/11/91/408371191.db2.gz YKWLTFDEWBVHOR-LBPRGKRZSA-N -1 1 308.382 1.788 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@](C)(O)C(C)C ZINC000176516695 408384556 /nfs/dbraw/zinc/38/45/56/408384556.db2.gz VDCUUWFTFGRJGJ-MRXNPFEDSA-N -1 1 309.410 1.513 20 0 DDADMM CC[C@@H](C)c1cc(C(=O)[N-]c2ncn(CC(=O)N(C)C)n2)on1 ZINC000173760772 162362868 /nfs/dbraw/zinc/36/28/68/162362868.db2.gz KOANLFLPSCCXRG-SECBINFHSA-N -1 1 320.353 1.120 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)CCOc1ccccc1)c1nn[n-]n1 ZINC000183407780 408401106 /nfs/dbraw/zinc/40/11/06/408401106.db2.gz MRRBTAFQQOSVHI-QWHCGFSZSA-N -1 1 317.393 1.867 20 0 DDADMM CCN(C)C(=O)c1cccc(C(=O)Nc2nc(SC)n[nH]2)c1 ZINC000264222930 408409832 /nfs/dbraw/zinc/40/98/32/408409832.db2.gz USONYUJFZYYMMD-UHFFFAOYSA-N -1 1 319.390 1.871 20 0 DDADMM C[C@H](CN(C)C(=O)c1c(Cl)nc2ccccn21)c1nn[n-]n1 ZINC000183435343 408411114 /nfs/dbraw/zinc/41/11/14/408411114.db2.gz PBMAROOJSNTPDV-MRVPVSSYSA-N -1 1 319.756 1.377 20 0 DDADMM Cc1ccccc1SCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183439918 408413753 /nfs/dbraw/zinc/41/37/53/408413753.db2.gz HJAXHHFAQBGAJL-NSHDSACASA-N -1 1 305.407 1.862 20 0 DDADMM O=C(c1cc(F)c(F)c([O-])c1F)N1CCN(CC2CC2)CC1 ZINC000183440642 408414273 /nfs/dbraw/zinc/41/42/73/408414273.db2.gz KBGYAXHVIPHZAY-UHFFFAOYSA-N -1 1 314.307 1.977 20 0 DDADMM C[C@H](CN(C)C(=O)CCOc1ccc(Cl)cc1)c1nn[n-]n1 ZINC000183442964 408414290 /nfs/dbraw/zinc/41/42/90/408414290.db2.gz MPLAPIVZLLZFLI-SNVBAGLBSA-N -1 1 323.784 1.884 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCCSCC1 ZINC000264238179 408416916 /nfs/dbraw/zinc/41/69/16/408416916.db2.gz NSUXXVRGSAMPHH-UHFFFAOYSA-N -1 1 320.418 1.147 20 0 DDADMM CCC[C@H](NC(=O)CCOCc1ccccc1)c1nn[n-]n1 ZINC000176777688 408440088 /nfs/dbraw/zinc/44/00/88/408440088.db2.gz XRAUAMYMKPOEMW-ZDUSSCGKSA-N -1 1 303.366 1.764 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1ccc2c(c1)CCO2)c1nn[n-]n1 ZINC000176784860 408443733 /nfs/dbraw/zinc/44/37/33/408443733.db2.gz AVXPJYPBLDNLBQ-VUDGCMKMSA-N -1 1 313.361 1.805 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)NC(=O)c1c([O-])cccc1F ZINC000274692565 408499176 /nfs/dbraw/zinc/49/91/76/408499176.db2.gz KKGZDSGABHSBJK-ZETCQYMHSA-N -1 1 309.215 1.755 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cc(C(=O)OC)co2)o1 ZINC000274864702 408560386 /nfs/dbraw/zinc/56/03/86/408560386.db2.gz WJMZWBZSAALOSU-UHFFFAOYSA-N -1 1 314.319 1.095 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2cc(C)on2)CCCCC1 ZINC000265316606 408610380 /nfs/dbraw/zinc/61/03/80/408610380.db2.gz JOSSLBMHLPDPBY-UHFFFAOYSA-N -1 1 316.379 1.278 20 0 DDADMM O=C(CCOC1CCOCC1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000177613410 408670997 /nfs/dbraw/zinc/67/09/97/408670997.db2.gz PXFUFQBQQKPXBN-UHFFFAOYSA-N -1 1 308.260 1.348 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2ccc(C)cc2C)co1 ZINC000162879710 408741220 /nfs/dbraw/zinc/74/12/20/408741220.db2.gz YPQUDQVWNYUIIE-UHFFFAOYSA-N -1 1 322.386 1.735 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(-n2ccnc2)cc1)c1nn[n-]n1 ZINC000177653991 408677984 /nfs/dbraw/zinc/67/79/84/408677984.db2.gz NZVKAMZJJBNZOC-CYBMUJFWSA-N -1 1 311.349 1.657 20 0 DDADMM CC(C)[N@H+]1CCC[C@@H](NS(=O)(=O)c2ncn(C)c2Cl)C1 ZINC000275823396 408687175 /nfs/dbraw/zinc/68/71/75/408687175.db2.gz BFZBUTHBALMWGX-SNVBAGLBSA-N -1 1 320.846 1.225 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)N2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000164569930 408800922 /nfs/dbraw/zinc/80/09/22/408800922.db2.gz CBQKJVQHDQJJNV-NEPJUHHUSA-N -1 1 306.362 1.819 20 0 DDADMM CCC(O)(CC)CC[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000276269323 408828471 /nfs/dbraw/zinc/82/84/71/408828471.db2.gz UUBZCGGQFHILCR-UHFFFAOYSA-N -1 1 319.379 1.286 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)Oc1ccc(Cl)cc1)c1nn[n-]n1 ZINC000277262503 408908520 /nfs/dbraw/zinc/90/85/20/408908520.db2.gz GGKBGXSVTIVKIB-ZJUUUORDSA-N -1 1 323.784 1.883 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000291719493 408912074 /nfs/dbraw/zinc/91/20/74/408912074.db2.gz BCPCWMMBRGXAKD-GHMZBOCLSA-N -1 1 309.757 1.544 20 0 DDADMM O=C([N-]CC1CCN(C(=O)c2cscn2)CC1)C(F)(F)F ZINC000281807027 408932596 /nfs/dbraw/zinc/93/25/96/408932596.db2.gz UHVRYLJKGXLMIN-UHFFFAOYSA-N -1 1 321.324 1.674 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(F)cc3nn[nH]c32)CC[S@@]1=O ZINC000282034347 408976307 /nfs/dbraw/zinc/97/63/07/408976307.db2.gz KNTWBTPNZQGZHE-ZEDNOMKYSA-N -1 1 310.354 1.080 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1cncn1C ZINC000292866164 409044593 /nfs/dbraw/zinc/04/45/93/409044593.db2.gz ZESMLSFTPHGCBB-UHFFFAOYSA-N -1 1 303.366 1.452 20 0 DDADMM CCCC(O)(CCC)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287798504 409095645 /nfs/dbraw/zinc/09/56/45/409095645.db2.gz XRBXPSACGZRMFK-MOSHPQCFSA-N -1 1 319.409 1.795 20 0 DDADMM CC[C@@H](COC)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000293396141 409105341 /nfs/dbraw/zinc/10/53/41/409105341.db2.gz HFBBAROMHNOXTO-ZETCQYMHSA-N -1 1 315.317 1.142 20 0 DDADMM CCOC1CC(N(C)C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000293608854 409148055 /nfs/dbraw/zinc/14/80/55/409148055.db2.gz YGBHIWFTKMBYFT-UHFFFAOYSA-N -1 1 306.391 1.658 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2nc(C)n(C)n2)c(=O)[n-]1 ZINC000293666576 409156980 /nfs/dbraw/zinc/15/69/80/409156980.db2.gz JWXAPGSEUCYOIC-UHFFFAOYSA-N -1 1 322.394 1.221 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(=CC(=O)Nc2nnn[n-]2)CC1 ZINC000293668073 409157695 /nfs/dbraw/zinc/15/76/95/409157695.db2.gz JFWBHIMAVOXINP-UHFFFAOYSA-N -1 1 308.342 1.096 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(=CC(=O)Nc2nn[n-]n2)CC1 ZINC000293668073 409157699 /nfs/dbraw/zinc/15/76/99/409157699.db2.gz JFWBHIMAVOXINP-UHFFFAOYSA-N -1 1 308.342 1.096 20 0 DDADMM CCOC[C@@H]1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000279183493 409166178 /nfs/dbraw/zinc/16/61/78/409166178.db2.gz BVRNRDJWYCGIIB-SHTJFRFBSA-N -1 1 303.366 1.232 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000293733283 409170341 /nfs/dbraw/zinc/17/03/41/409170341.db2.gz NMHJYDZBMRTCQX-SECBINFHSA-N -1 1 317.794 1.552 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)C1(Cc2cccs2)CCCCC1 ZINC000289352076 409252393 /nfs/dbraw/zinc/25/23/93/409252393.db2.gz LFLDNVQIDZWMFJ-UHFFFAOYSA-N -1 1 321.402 1.824 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2ccc(Cl)nc2)s1 ZINC000289415940 409267428 /nfs/dbraw/zinc/26/74/28/409267428.db2.gz BPMNFJWZZJOLBJ-UHFFFAOYSA-N -1 1 318.811 1.627 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@]1(O)CCC[C@H]1C ZINC000294301469 409274786 /nfs/dbraw/zinc/27/47/86/409274786.db2.gz OHWQEPXDIUKHTR-XLKFXECMSA-N -1 1 311.407 1.493 20 0 DDADMM O=S(=O)([N-]c1nc(C2CCC2)n[nH]1)c1cccc2nonc21 ZINC000284973193 409384324 /nfs/dbraw/zinc/38/43/24/409384324.db2.gz DBUUCZVKIXNFDH-UHFFFAOYSA-N -1 1 320.334 1.409 20 0 DDADMM CC[C@@H](O)CS(=O)(=O)c1nc(-c2ccc3c(c2)CCC3)n[n-]1 ZINC000295993354 409394363 /nfs/dbraw/zinc/39/43/63/409394363.db2.gz RWCDSMORLGPUEO-CYBMUJFWSA-N -1 1 321.402 1.505 20 0 DDADMM CC[C@@H](O)CS(=O)(=O)c1n[n-]c(-c2ccc3c(c2)CCC3)n1 ZINC000295993354 409394370 /nfs/dbraw/zinc/39/43/70/409394370.db2.gz RWCDSMORLGPUEO-CYBMUJFWSA-N -1 1 321.402 1.505 20 0 DDADMM CCOC(=O)C[C@@H](C)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295548601 409342137 /nfs/dbraw/zinc/34/21/37/409342137.db2.gz PCZFVGBTGCGYKA-SECBINFHSA-N -1 1 322.390 1.337 20 0 DDADMM CC(=O)NC[C@H]1CCCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000290524741 409431314 /nfs/dbraw/zinc/43/13/14/409431314.db2.gz XUKBPBPRBVIURN-SNVBAGLBSA-N -1 1 312.316 1.659 20 0 DDADMM COC(=O)CCCO[N-]C(=O)[C@@H](C)c1ccc2c(c1)OCO2 ZINC000296119334 409436636 /nfs/dbraw/zinc/43/66/36/409436636.db2.gz QVNAWOBRTMSMQO-JTQLQIEISA-N -1 1 309.318 1.520 20 0 DDADMM Cc1cnc(C)c(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000290575016 409449716 /nfs/dbraw/zinc/44/97/16/409449716.db2.gz BLORVFNOKDYZQG-NSHDSACASA-N -1 1 316.327 1.988 20 0 DDADMM Cc1cnc(N2CCN(C(=O)c3ncc(C)cc3[O-])CC2)s1 ZINC000408229810 164269378 /nfs/dbraw/zinc/26/93/78/164269378.db2.gz XUPLCOINCRNHPW-UHFFFAOYSA-N -1 1 318.402 1.823 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)[N-]c2cn(C)nc2C(F)(F)F)C1 ZINC000408241743 164273294 /nfs/dbraw/zinc/27/32/94/164273294.db2.gz LLIJDGXKHLHKFW-SSDOTTSWSA-N -1 1 312.317 1.437 20 0 DDADMM COC(=O)c1nscc1[N-]S(=O)(=O)N1CCC[C@H]1C ZINC000408287163 164286095 /nfs/dbraw/zinc/28/60/95/164286095.db2.gz QDVZETRZAWRHDN-SSDOTTSWSA-N -1 1 305.381 1.071 20 0 DDADMM Cc1cnc(C(=O)N2CCC(C(=O)N3CCCC3)CC2)c([O-])c1 ZINC000408366682 164308889 /nfs/dbraw/zinc/30/88/89/164308889.db2.gz NNZOQIBDRKGZCP-UHFFFAOYSA-N -1 1 317.389 1.570 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000408410686 164323662 /nfs/dbraw/zinc/32/36/62/164323662.db2.gz XDBGTKPRUHVHCU-GXTWGEPZSA-N -1 1 302.374 1.660 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1c[nH]c2ncccc12 ZINC000295494305 409506024 /nfs/dbraw/zinc/50/60/24/409506024.db2.gz FVUFWPQQTLQSCS-UHFFFAOYSA-N -1 1 305.334 1.495 20 0 DDADMM COc1cc(C(=O)N[C@@](C)(C(N)=O)C(C)C)cc(Cl)c1[O-] ZINC000341981331 409530992 /nfs/dbraw/zinc/53/09/92/409530992.db2.gz DIDGWAQRWYZSHL-CQSZACIVSA-N -1 1 314.769 1.684 20 0 DDADMM CC(C)Cc1cc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)[nH]n1 ZINC000353906648 409553979 /nfs/dbraw/zinc/55/39/79/409553979.db2.gz RDJJJZHPUTVARI-UHFFFAOYSA-N -1 1 322.390 1.508 20 0 DDADMM CS(=O)(=O)Nc1cccc(CNC(=O)c2ncccc2[O-])c1 ZINC000338008345 409641858 /nfs/dbraw/zinc/64/18/58/409641858.db2.gz JNPSHHYQWJVMFN-UHFFFAOYSA-N -1 1 321.358 1.089 20 0 DDADMM COc1cccc(Cl)c1CC(=O)NC1(c2nn[n-]n2)CC1 ZINC000357077526 409845050 /nfs/dbraw/zinc/84/50/50/409845050.db2.gz NRFPUKAFYOHQBZ-UHFFFAOYSA-N -1 1 307.741 1.210 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCC2CCOCC2)c1 ZINC000338212856 409805346 /nfs/dbraw/zinc/80/53/46/409805346.db2.gz FNOYUIIJNIONRR-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM CCc1ccc(NC(=O)c2cncc([O-])c2)cc1S(N)(=O)=O ZINC000346314990 409912402 /nfs/dbraw/zinc/91/24/02/409912402.db2.gz UTQDIFFQBAPYSY-UHFFFAOYSA-N -1 1 321.358 1.249 20 0 DDADMM CC(C)C(=O)Nc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357091531 409866769 /nfs/dbraw/zinc/86/67/69/409866769.db2.gz AVVUDYXJGRLWQT-UHFFFAOYSA-N -1 1 314.349 1.213 20 0 DDADMM O=S(=O)(Cc1cncc(F)c1)c1nc(-c2ccccc2)n[n-]1 ZINC000357118212 409884274 /nfs/dbraw/zinc/88/42/74/409884274.db2.gz JPKYSJNCIZNSJT-UHFFFAOYSA-N -1 1 318.333 1.980 20 0 DDADMM O=S(=O)(Cc1cncc(F)c1)c1n[n-]c(-c2ccccc2)n1 ZINC000357118212 409884281 /nfs/dbraw/zinc/88/42/81/409884281.db2.gz JPKYSJNCIZNSJT-UHFFFAOYSA-N -1 1 318.333 1.980 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](C)Cc1cccc(O)c1 ZINC000349625002 409888462 /nfs/dbraw/zinc/88/84/62/409888462.db2.gz HCZGGOXUAYKFTR-MRVPVSSYSA-N -1 1 311.363 1.011 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)Cc1cccc(O)c1 ZINC000349625002 409888468 /nfs/dbraw/zinc/88/84/68/409888468.db2.gz HCZGGOXUAYKFTR-MRVPVSSYSA-N -1 1 311.363 1.011 20 0 DDADMM CCn1cnc(S(=O)(=O)[N-]c2cc3[nH]ncc3c(C)c2)c1 ZINC000346474001 409976975 /nfs/dbraw/zinc/97/69/75/409976975.db2.gz HDNBLVDNDNANTF-UHFFFAOYSA-N -1 1 305.363 1.889 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)CCC(=O)c1ccc(C)s1 ZINC000297858304 410028228 /nfs/dbraw/zinc/02/82/28/410028228.db2.gz YGIAOHNSHWIBGV-UHFFFAOYSA-N -1 1 321.362 1.136 20 0 DDADMM C[C@H](CC(=O)Nc1nn[nH]c1C(N)=O)Cc1cccc(F)c1 ZINC000297868662 410031509 /nfs/dbraw/zinc/03/15/09/410031509.db2.gz TXHFMNLZLCCOIJ-QMMMGPOBSA-N -1 1 305.313 1.250 20 0 DDADMM CCc1cnccc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332306297 410054630 /nfs/dbraw/zinc/05/46/30/410054630.db2.gz ITFDJADZPNEQSK-LLVKDONJSA-N -1 1 302.334 1.752 20 0 DDADMM CC(C)(NC(=O)c1cnc(-c2ccncc2)s1)c1nn[n-]n1 ZINC000354757503 410063794 /nfs/dbraw/zinc/06/37/94/410063794.db2.gz CAIGLVUVGTUYRM-UHFFFAOYSA-N -1 1 315.362 1.383 20 0 DDADMM COc1c2ccccc2[nH]c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000354784577 410085835 /nfs/dbraw/zinc/08/58/35/410085835.db2.gz HVKKYGRTNRUPHA-VIFPVBQESA-N -1 1 314.349 1.565 20 0 DDADMM CC(C)(NC(=O)c1ccc(-c2ccccc2)[nH]c1=O)c1nn[n-]n1 ZINC000354786692 410086589 /nfs/dbraw/zinc/08/65/89/410086589.db2.gz KAYUNWUGYKADLB-UHFFFAOYSA-N -1 1 324.344 1.632 20 0 DDADMM Cc1cnc(C(=O)NCC2(S(C)(=O)=O)CCCC2)c([O-])c1 ZINC000343109591 410151863 /nfs/dbraw/zinc/15/18/63/410151863.db2.gz VDMINAIUYSLOQJ-UHFFFAOYSA-N -1 1 312.391 1.183 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)[C@@H]1CCCO1 ZINC000339451494 410195277 /nfs/dbraw/zinc/19/52/77/410195277.db2.gz QTCYDDWZTKLTKX-UWVGGRQHSA-N -1 1 317.363 1.302 20 0 DDADMM Cn1[n-]c(CN2CCN(Cc3ccc(Cl)cc3)CC2)nc1=O ZINC000329299675 410221063 /nfs/dbraw/zinc/22/10/63/410221063.db2.gz VEURBKVWQYQKRA-UHFFFAOYSA-N -1 1 321.812 1.080 20 0 DDADMM C(N=c1[n-]nc(C2CC2)o1)C1(N2CCOCC2)CCCCC1 ZINC000329309675 410224200 /nfs/dbraw/zinc/22/42/00/410224200.db2.gz PHTLMBMWYXIFBN-UHFFFAOYSA-N -1 1 306.410 1.816 20 0 DDADMM CCOC(=O)C[C@H](C)NC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000351941383 410352644 /nfs/dbraw/zinc/35/26/44/410352644.db2.gz QLUUAACJBZWTOX-ZETCQYMHSA-N -1 1 313.379 1.284 20 0 DDADMM C[C@@H]1CCCc2nc(S(=O)(=O)NC[C@@H]3CCC[N@H+]3C)cn21 ZINC000333197910 410417362 /nfs/dbraw/zinc/41/73/62/410417362.db2.gz UOOLVLVTTSXAOJ-NEPJUHHUSA-N -1 1 312.439 1.153 20 0 DDADMM COc1ccc(NC(=O)NC(C)(C)C)cc1[N-]S(C)(=O)=O ZINC000298731833 410371697 /nfs/dbraw/zinc/37/16/97/410371697.db2.gz OIJZZMXVZSEXPW-UHFFFAOYSA-N -1 1 315.395 1.987 20 0 DDADMM CC(C)c1ccc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)s1 ZINC000355245947 410396611 /nfs/dbraw/zinc/39/66/11/410396611.db2.gz VVAARXUEHXGACK-UHFFFAOYSA-N -1 1 314.392 1.645 20 0 DDADMM CC(C)c1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)s1 ZINC000355245947 410396616 /nfs/dbraw/zinc/39/66/16/410396616.db2.gz VVAARXUEHXGACK-UHFFFAOYSA-N -1 1 314.392 1.645 20 0 DDADMM Cn1[n-]c(CN2CC[C@H](Oc3ccccc3Cl)C2)nc1=O ZINC000329661177 410403481 /nfs/dbraw/zinc/40/34/81/410403481.db2.gz IPCMBMOJHXULMQ-JTQLQIEISA-N -1 1 308.769 1.415 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2c(C)noc2C)sc1C ZINC000329937937 410489040 /nfs/dbraw/zinc/48/90/40/410489040.db2.gz DUOLJVSNAJYETP-UHFFFAOYSA-N -1 1 301.393 1.843 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(N2CCCCC2)nc1 ZINC000635174609 422858416 /nfs/dbraw/zinc/85/84/16/422858416.db2.gz MNUUQUCHBJRESY-UHFFFAOYSA-N -1 1 315.381 1.546 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1cccc(F)c1 ZINC000352147312 410511174 /nfs/dbraw/zinc/51/11/74/410511174.db2.gz IOSFUKPZGUKKQE-UHFFFAOYSA-N -1 1 307.281 1.308 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCCc2occc21 ZINC000352112959 410489654 /nfs/dbraw/zinc/48/96/54/410489654.db2.gz XVVAIBPLRZHZQK-MRVPVSSYSA-N -1 1 305.290 1.297 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCn2ncnc2C1 ZINC000329954101 410494272 /nfs/dbraw/zinc/49/42/72/410494272.db2.gz JURQKSSVMWJOFZ-UHFFFAOYSA-N -1 1 316.365 1.036 20 0 DDADMM COc1cc(NC(=O)c2cncc([O-])c2)ccc1NC(N)=O ZINC000339905701 410547086 /nfs/dbraw/zinc/54/70/86/410547086.db2.gz ASBXGMFNGNWZIS-UHFFFAOYSA-N -1 1 302.290 1.539 20 0 DDADMM Cc1coc(C)c1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000340005954 410611577 /nfs/dbraw/zinc/61/15/77/410611577.db2.gz PXEUZBXYKPCIHR-UHFFFAOYSA-N -1 1 310.331 1.502 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CC(=O)N(C(CC)CC)C1)c1nn[n-]n1 ZINC000343739088 410659232 /nfs/dbraw/zinc/65/92/32/410659232.db2.gz YPVAVLQNWUPBJU-PWSUYJOCSA-N -1 1 322.413 1.194 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000356108811 410838742 /nfs/dbraw/zinc/83/87/42/410838742.db2.gz UVVJIUOLPWGWEB-WDEREUQCSA-N -1 1 301.346 1.468 20 0 DDADMM CCOc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1OCC ZINC000359779990 410874550 /nfs/dbraw/zinc/87/45/50/410874550.db2.gz BFELLWWUYIIDQW-UHFFFAOYSA-N -1 1 319.365 1.662 20 0 DDADMM CC(C)(NC(=O)CCCOCc1ccccc1)c1nn[n-]n1 ZINC000359804353 410890841 /nfs/dbraw/zinc/89/08/41/410890841.db2.gz XBKWZEXFRKMMKO-UHFFFAOYSA-N -1 1 303.366 1.548 20 0 DDADMM CCO[C@H](CCNC(=O)CNC(=O)c1ncccc1[O-])C(C)C ZINC000344020695 410897816 /nfs/dbraw/zinc/89/78/16/410897816.db2.gz SIICCGSDMPCGRX-CYBMUJFWSA-N -1 1 323.393 1.084 20 0 DDADMM C[S@](=O)Cc1ccc(CNC(=O)c2cncc([O-])c2)cc1 ZINC000344048257 410920275 /nfs/dbraw/zinc/92/02/75/410920275.db2.gz BEPADILNEJSZAC-NRFANRHFSA-N -1 1 304.371 1.596 20 0 DDADMM CC[C@H]1CN(C(=O)c2ncc(C)cc2[O-])CCN1CCOC ZINC000331152825 410982222 /nfs/dbraw/zinc/98/22/22/410982222.db2.gz AVKCAWVZMFMLHS-ZDUSSCGKSA-N -1 1 307.394 1.278 20 0 DDADMM CO[C@@H](C)c1nc(=NCCCOC2CCOCC2)s[n-]1 ZINC000337786335 410999765 /nfs/dbraw/zinc/99/97/65/410999765.db2.gz BLSGETKRXQICOD-JTQLQIEISA-N -1 1 301.412 1.665 20 0 DDADMM Cc1ccc([C@@H](NCc2nc(=O)n(C)[n-]2)c2ccccn2)cc1 ZINC000348383944 410945588 /nfs/dbraw/zinc/94/55/88/410945588.db2.gz ZQDILXZLKJHACP-MRXNPFEDSA-N -1 1 309.373 1.691 20 0 DDADMM CSC[C@@](C)(O)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331287530 411060400 /nfs/dbraw/zinc/06/04/00/411060400.db2.gz UUTXTBQMPMFEHC-JTQLQIEISA-N -1 1 310.466 1.152 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC ZINC000337813327 411016837 /nfs/dbraw/zinc/01/68/37/411016837.db2.gz PCRMGCUMIMTWGX-UFBFGSQYSA-N -1 1 321.345 1.831 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC(=O)N(CC(F)(F)F)C1 ZINC000331240351 411036734 /nfs/dbraw/zinc/03/67/34/411036734.db2.gz PQBDNPDYKQADGI-UHFFFAOYSA-N -1 1 322.670 1.850 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCc3nc(C)ncc3C2)sn1 ZINC000631730472 422896723 /nfs/dbraw/zinc/89/67/23/422896723.db2.gz VGOKXHIZBSLIBU-NSHDSACASA-N -1 1 324.431 1.386 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCCOC2)c1 ZINC000631779897 422919759 /nfs/dbraw/zinc/91/97/59/422919759.db2.gz NDMFXTQWQBVYRB-JTQLQIEISA-N -1 1 301.364 1.106 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C)C(=O)NC(C)(C)C)sn1 ZINC000631795183 422925814 /nfs/dbraw/zinc/92/58/14/422925814.db2.gz BGBPPESBDUWBGF-MRVPVSSYSA-N -1 1 305.425 1.033 20 0 DDADMM O=S(=O)([N-]c1ccccc1F)c1cnn([C@H]2CCOC2)c1 ZINC000131529872 196177470 /nfs/dbraw/zinc/17/74/70/196177470.db2.gz AOGHGESAHPIGSF-JTQLQIEISA-N -1 1 311.338 1.784 20 0 DDADMM CCc1ncsc1NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000132253133 196238978 /nfs/dbraw/zinc/23/89/78/196238978.db2.gz GCFRKCRAOCDQNH-UHFFFAOYSA-N -1 1 315.376 1.459 20 0 DDADMM COCCN(CC(=O)[O-])Cc1ccc(CN2CCOCC2)cc1 ZINC000652457530 423024968 /nfs/dbraw/zinc/02/49/68/423024968.db2.gz WBIRSGOCEGTYDC-UHFFFAOYSA-N -1 1 322.405 1.052 20 0 DDADMM COc1cc(-c2nc(C[S@@](C)=O)no2)cc(Cl)c1[O-] ZINC000350588577 306753688 /nfs/dbraw/zinc/75/36/88/306753688.db2.gz BBJYGOHWYGQMML-LJQANCHMSA-N -1 1 302.739 1.983 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1ncccc1C(F)(F)F)C1CC1 ZINC000645557703 423081065 /nfs/dbraw/zinc/08/10/65/423081065.db2.gz CAADBWWNXSZIHQ-LLVKDONJSA-N -1 1 324.324 1.540 20 0 DDADMM COCC[C@@H](C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000647897956 423105280 /nfs/dbraw/zinc/10/52/80/423105280.db2.gz PAYSKILLVXNSGA-ZCFIWIBFSA-N -1 1 301.290 1.132 20 0 DDADMM CSc1nc(CNC(=O)C(C)(C)c2cccnc2)cc(=O)[n-]1 ZINC000640656119 423118248 /nfs/dbraw/zinc/11/82/48/423118248.db2.gz MDZQCNNAXJLBNB-UHFFFAOYSA-N -1 1 318.402 1.893 20 0 DDADMM CSc1nc(CNC(=O)Cc2cnccc2C)cc(=O)[n-]1 ZINC000640656289 423119004 /nfs/dbraw/zinc/11/90/04/423119004.db2.gz XFGLXPBTXJMDSG-UHFFFAOYSA-N -1 1 304.375 1.466 20 0 DDADMM CC(C)[C@@H](CNc1ncc(C(=O)[O-])s1)N1CCN(C)CC1 ZINC000630455905 417818008 /nfs/dbraw/zinc/81/80/08/417818008.db2.gz IZDCGMQUTXODHE-LLVKDONJSA-N -1 1 312.439 1.525 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)[N-]S(=O)(=O)C1CCCCC1 ZINC000360847614 418533448 /nfs/dbraw/zinc/53/34/48/418533448.db2.gz SZHMXYWNXDMFFX-SECBINFHSA-N -1 1 317.329 1.733 20 0 DDADMM C[C@@H](O)c1cn(C2CN(C(=O)c3ccc(Cl)cc3[O-])C2)nn1 ZINC000374817156 418565783 /nfs/dbraw/zinc/56/57/83/418565783.db2.gz HTDSYALEBGIYPK-MRVPVSSYSA-N -1 1 322.752 1.388 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)NC(=O)CC(C)(C)C)c1nn[n-]n1 ZINC000190155950 222069470 /nfs/dbraw/zinc/06/94/70/222069470.db2.gz JGDGHHPEMGJIAO-UWVGGRQHSA-N -1 1 310.402 1.098 20 0 DDADMM CCN(C(=O)c1ccc(Br)cc1[O-])[C@@H](C)CO ZINC000294050831 418582698 /nfs/dbraw/zinc/58/26/98/418582698.db2.gz UGPKTQYALKAWQC-QMMMGPOBSA-N -1 1 302.168 1.998 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@H](C)S1(=O)=O ZINC000291175176 222195886 /nfs/dbraw/zinc/19/58/86/222195886.db2.gz HRIGVERKAGAZJN-DTORHVGOSA-N -1 1 301.339 1.179 20 0 DDADMM Cn1cc([N-]S(=O)(=O)CCCCF)c(-c2ccccn2)n1 ZINC000367408811 418598399 /nfs/dbraw/zinc/59/83/99/418598399.db2.gz ZOEKKGKLEJBYMQ-UHFFFAOYSA-N -1 1 312.370 1.974 20 0 DDADMM CN1CC[C@H]2CCN(S(=O)(=O)c3cccc(C(=O)[O-])c3)C[C@H]21 ZINC000375578181 418658405 /nfs/dbraw/zinc/65/84/05/418658405.db2.gz OGKOEDDQYMYNQP-SMDDNHRTSA-N -1 1 324.402 1.100 20 0 DDADMM Cc1ccc(CCCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)s1 ZINC000372680689 418891635 /nfs/dbraw/zinc/89/16/35/418891635.db2.gz IZUFHAWZZFBGJO-GFCCVEGCSA-N -1 1 321.406 1.492 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1cccnc1-c1cnn(C)c1 ZINC000372682148 418892071 /nfs/dbraw/zinc/89/20/71/418892071.db2.gz LVFGUUABOPGELR-UHFFFAOYSA-N -1 1 324.406 1.649 20 0 DDADMM O=C(c1c(F)cc(F)cc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000372154169 418840498 /nfs/dbraw/zinc/84/04/98/418840498.db2.gz CXOZUVAOOMINPY-ZETCQYMHSA-N -1 1 311.267 1.637 20 0 DDADMM O=C(c1c(F)cc(F)cc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000372154168 418840916 /nfs/dbraw/zinc/84/09/16/418840916.db2.gz CXOZUVAOOMINPY-SSDOTTSWSA-N -1 1 311.267 1.637 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@@H]3C[C@H]3C)CC2)n1 ZINC000373074922 418927033 /nfs/dbraw/zinc/92/70/33/418927033.db2.gz QZZVTHVVJYHXNK-MWLCHTKSSA-N -1 1 306.366 1.343 20 0 DDADMM COCC(C)(C)N1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000424988014 228344039 /nfs/dbraw/zinc/34/40/39/228344039.db2.gz DGUAGENMVAXIIO-UHFFFAOYSA-N -1 1 320.389 1.144 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CCCOC2)c(F)c1 ZINC000425159105 228376002 /nfs/dbraw/zinc/37/60/02/228376002.db2.gz RWPSCZPHIHGEOI-JTQLQIEISA-N -1 1 305.346 1.978 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2C(=O)NCC[C@@H]2C)c(F)c1 ZINC000425193342 228386391 /nfs/dbraw/zinc/38/63/91/228386391.db2.gz LZRXDDUFJYLCFC-KWQFWETISA-N -1 1 318.345 1.076 20 0 DDADMM CC(C)(C)NC(=O)CCNC(=O)c1csc(=NC2CC2)[n-]1 ZINC000425915617 419368938 /nfs/dbraw/zinc/36/89/38/419368938.db2.gz JWDQVBWQKQOFPY-UHFFFAOYSA-N -1 1 310.423 1.174 20 0 DDADMM CCC[C@H](CC)NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000426274608 419428120 /nfs/dbraw/zinc/42/81/20/419428120.db2.gz ALXRCAMPXXQMEA-JTQLQIEISA-N -1 1 312.443 1.361 20 0 DDADMM COCc1nc(=NCCCN(C(=O)C2CCC2)C2CC2)s[n-]1 ZINC000426897399 419565980 /nfs/dbraw/zinc/56/59/80/419565980.db2.gz FYPHCUVQZJLFSO-UHFFFAOYSA-N -1 1 324.450 1.700 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](CCO)c2ccccc2)c([O-])c1 ZINC000427137719 419601120 /nfs/dbraw/zinc/60/11/20/419601120.db2.gz UDJDROUNMVPXJA-AWEZNQCLSA-N -1 1 300.358 1.992 20 0 DDADMM CC(C)(C)OC(=O)CC[C@@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000412065006 419616332 /nfs/dbraw/zinc/61/63/32/419616332.db2.gz KETQESCOTMUNDR-NSHDSACASA-N -1 1 310.398 1.844 20 0 DDADMM COc1ccc([C@@H](CCO)NC(=O)c2ncc(C)cc2[O-])cc1 ZINC000427543923 419683711 /nfs/dbraw/zinc/68/37/11/419683711.db2.gz PWKIYFMBERQMMP-CQSZACIVSA-N -1 1 316.357 1.958 20 0 DDADMM Cc1nc(C(C)C)oc1CN1CCN(c2nc(=N)[n-]s2)CC1 ZINC000428361931 419854473 /nfs/dbraw/zinc/85/44/73/419854473.db2.gz GAZIPQUINMJTKM-UHFFFAOYSA-N -1 1 322.438 1.693 20 0 DDADMM CCOC(=O)[C@H](CCOC(C)(C)C)NC(=O)c1ncccc1[O-] ZINC000428024243 419790596 /nfs/dbraw/zinc/79/05/96/419790596.db2.gz ZMHFVFFXDAZGDK-NSHDSACASA-N -1 1 324.377 1.654 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NC[C@H]2CCC[C@H](O)C2)[n-]n1 ZINC000432218479 229087972 /nfs/dbraw/zinc/08/79/72/229087972.db2.gz LPAIVRRGXMFIOB-JSGCOSHPSA-N -1 1 318.421 1.534 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCc3ccccc3O2)n1 ZINC000415630576 420130102 /nfs/dbraw/zinc/13/01/02/420130102.db2.gz NPRXOVNPKHUNPT-ZDUSSCGKSA-N -1 1 315.329 1.919 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2nc(C)cs2)[n-]1 ZINC000415634369 420131446 /nfs/dbraw/zinc/13/14/46/420131446.db2.gz BOPGISXEOLYURT-UHFFFAOYSA-N -1 1 308.363 1.923 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2nc(C)cs2)n1 ZINC000415634369 420131448 /nfs/dbraw/zinc/13/14/48/420131448.db2.gz BOPGISXEOLYURT-UHFFFAOYSA-N -1 1 308.363 1.923 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(OCC(N)=O)cc2)cn1 ZINC000430991725 420230662 /nfs/dbraw/zinc/23/06/62/420230662.db2.gz ZQHIGIUSYAQPPE-UHFFFAOYSA-N -1 1 321.358 1.055 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2ccccc2[C@@H]1C ZINC000416151506 420258990 /nfs/dbraw/zinc/25/89/90/420258990.db2.gz QREUZNXVPYSPLI-VIFPVBQESA-N -1 1 315.329 1.656 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1cc(C)cc(C)c1 ZINC000416218482 420282510 /nfs/dbraw/zinc/28/25/10/420282510.db2.gz FHKILXVPUSGYQY-UHFFFAOYSA-N -1 1 317.345 1.785 20 0 DDADMM CCOC(=O)[C@H](CO)NC(=O)c1cc2ccccc2cc1[O-] ZINC000436737654 420363737 /nfs/dbraw/zinc/36/37/37/420363737.db2.gz JMEMNYDJXOWJPD-ZDUSSCGKSA-N -1 1 303.314 1.199 20 0 DDADMM COC(=O)c1cc(NC(=O)c2ccc(OC)cc2[O-])cn1C ZINC000436576442 420341190 /nfs/dbraw/zinc/34/11/90/420341190.db2.gz LKCXMVYLXCLQJB-UHFFFAOYSA-N -1 1 304.302 1.778 20 0 DDADMM O=C(c1nc2c(s1)CCC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425310013 420345176 /nfs/dbraw/zinc/34/51/76/420345176.db2.gz WZGGQDCGJSDPOL-QMMMGPOBSA-N -1 1 320.374 1.740 20 0 DDADMM CCOC(=O)C(C)(C)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425308133 420346035 /nfs/dbraw/zinc/34/60/35/420346035.db2.gz UZSKOWMRNNKPSS-SECBINFHSA-N -1 1 311.338 1.071 20 0 DDADMM COCCO[C@@H]1COCC[C@H]1NC(=O)c1c([O-])cccc1F ZINC000436677638 420353301 /nfs/dbraw/zinc/35/33/01/420353301.db2.gz YJGFRKHFKDBQHD-DGCLKSJQSA-N -1 1 313.325 1.082 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC[C@@](F)(CO)C1 ZINC000436714289 420359726 /nfs/dbraw/zinc/35/97/26/420359726.db2.gz HSYRHGODAPBYAU-LBPRGKRZSA-N -1 1 318.142 1.701 20 0 DDADMM CCOc1ncccc1CNC(=O)C(=O)c1ccc([O-])cc1 ZINC000436835120 420375066 /nfs/dbraw/zinc/37/50/66/420375066.db2.gz CBOHGRZPQUFNET-UHFFFAOYSA-N -1 1 300.314 1.685 20 0 DDADMM CN(CCCNC(=O)C1CCC1)C(=O)c1cc(F)ccc1[O-] ZINC000436882714 420379904 /nfs/dbraw/zinc/37/99/04/420379904.db2.gz VCFWXIGTYVMMIT-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM C[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C(=O)OC(C)(C)C ZINC000425527166 420408787 /nfs/dbraw/zinc/40/87/87/420408787.db2.gz ZCCSVVFFFSHOPR-JQWIXIFHSA-N -1 1 310.398 1.051 20 0 DDADMM Cc1cc2cc[nH]c2cc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000444450222 230099790 /nfs/dbraw/zinc/09/97/90/230099790.db2.gz OQRWMUWMWRKUBQ-UHFFFAOYSA-N -1 1 308.301 1.460 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H]2CCO[C@@H](C(C)C)C2)[n-]n1 ZINC000450736913 420517773 /nfs/dbraw/zinc/51/77/73/420517773.db2.gz KBTONYAILNZYMW-GXTWGEPZSA-N -1 1 306.410 1.511 20 0 DDADMM CC[C@@H](NC(=O)c1coc(S(=O)(=O)[N-]C)c1)C1CCCC1 ZINC000456653296 420522647 /nfs/dbraw/zinc/52/26/47/420522647.db2.gz GDLXJODCJVDOTB-GFCCVEGCSA-N -1 1 314.407 1.886 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)[C@@H](C)O ZINC000645746455 423166151 /nfs/dbraw/zinc/16/61/51/423166151.db2.gz LWXMCDRPDZUMTH-APPZFPTMSA-N -1 1 312.313 1.538 20 0 DDADMM O=C(/C=C\COCC(F)(F)F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000493145492 420768518 /nfs/dbraw/zinc/76/85/18/420768518.db2.gz DIYCTOSFTPERHJ-FIFYQCSRSA-N -1 1 319.287 1.041 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2ocnc2C2CC2)c1 ZINC000486940324 420993077 /nfs/dbraw/zinc/99/30/77/420993077.db2.gz KQVJMYABCDSKSV-UHFFFAOYSA-N -1 1 323.330 1.157 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc2ccc(=O)[nH]c21)c1nn[n-]n1 ZINC000487094488 421003549 /nfs/dbraw/zinc/00/35/49/421003549.db2.gz KBQXWJQPILMMHF-VIFPVBQESA-N -1 1 312.333 1.329 20 0 DDADMM CCN1C[C@@H](NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CC1=O ZINC000456159409 421110122 /nfs/dbraw/zinc/11/01/22/421110122.db2.gz FKQZHQCOZMNAPX-JTQLQIEISA-N -1 1 320.393 1.139 20 0 DDADMM CCCN(CC(N)=O)c1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000488785690 421115631 /nfs/dbraw/zinc/11/56/31/421115631.db2.gz SROYPDYFYXQHQA-UHFFFAOYSA-N -1 1 315.377 1.563 20 0 DDADMM O=C([O-])CCCCCNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000455841253 421068462 /nfs/dbraw/zinc/06/84/62/421068462.db2.gz YEEDMVSNMGTDQR-UHFFFAOYSA-N -1 1 302.334 1.847 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCCC[C@H]1CC(=O)Nc1nnn[n-]1 ZINC000496703538 421302651 /nfs/dbraw/zinc/30/26/51/421302651.db2.gz SSRFJBHGBDCPIE-UWVGGRQHSA-N -1 1 324.385 1.612 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCCC[C@H]1CC(=O)Nc1nn[n-]n1 ZINC000496703538 421302654 /nfs/dbraw/zinc/30/26/54/421302654.db2.gz SSRFJBHGBDCPIE-UWVGGRQHSA-N -1 1 324.385 1.612 20 0 DDADMM C[C@@H]1Cc2ccccc2CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000545383765 421256296 /nfs/dbraw/zinc/25/62/96/421256296.db2.gz WNCIRLZCGDJGOY-SNVBAGLBSA-N -1 1 309.329 1.005 20 0 DDADMM CC(=O)[C@@H]1C[C@H](CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1(C)C ZINC000545417616 421259714 /nfs/dbraw/zinc/25/97/14/421259714.db2.gz PEEPNSXVNQCOPS-UPJWGTAASA-N -1 1 319.409 1.547 20 0 DDADMM Cc1nc(C)c(C(=O)NC2(c3nn[n-]n3)CCCC2)nc1C ZINC000545940354 421273390 /nfs/dbraw/zinc/27/33/90/421273390.db2.gz BLGJFAVIVYEMDX-UHFFFAOYSA-N -1 1 301.354 1.114 20 0 DDADMM COc1ccc(-c2n[n-]c(S(=O)(=O)C[C@@H]3CCOC3)n2)cc1 ZINC000562495605 421373981 /nfs/dbraw/zinc/37/39/81/421373981.db2.gz FRNMPOHCLJESQT-SNVBAGLBSA-N -1 1 323.374 1.291 20 0 DDADMM COC(=O)c1ccc(OCCNC(=O)c2ncccc2[O-])cc1 ZINC000548632095 421455019 /nfs/dbraw/zinc/45/50/19/421455019.db2.gz LPAZKWVENQISKM-UHFFFAOYSA-N -1 1 316.313 1.383 20 0 DDADMM CCn1nnnc1-c1cccc(NC(=O)c2cncc([O-])c2)c1 ZINC000548419316 421435773 /nfs/dbraw/zinc/43/57/73/421435773.db2.gz DSTJUHJQJUUCGQ-UHFFFAOYSA-N -1 1 310.317 1.713 20 0 DDADMM CCc1nc(SCCN2CCCS2(=O)=O)[n-]c(=O)c1C ZINC000514799859 421455306 /nfs/dbraw/zinc/45/53/06/421455306.db2.gz WNYBBVPQSMYJHN-UHFFFAOYSA-N -1 1 317.436 1.181 20 0 DDADMM C[N@H+]1CCCC(C)(C)[C@H]1CNS(=O)(=O)c1cscn1 ZINC000563058081 421447202 /nfs/dbraw/zinc/44/72/02/421447202.db2.gz GFDSDAKPRSSCDJ-SNVBAGLBSA-N -1 1 303.453 1.542 20 0 DDADMM O=C(N[C@H]1C[C@@H]2CCCCN2C1=O)c1csc(=NC2CC2)[n-]1 ZINC000562641043 421390611 /nfs/dbraw/zinc/39/06/11/421390611.db2.gz WUDZWUCEMKPTNJ-QWRGUYRKSA-N -1 1 320.418 1.023 20 0 DDADMM Cc1cc(C(=O)Nc2ccncc2[O-])c(C)n1[C@@H]1CCOC1 ZINC000528915108 421524134 /nfs/dbraw/zinc/52/41/34/421524134.db2.gz HXBZHQSETGWFIU-GFCCVEGCSA-N -1 1 301.346 1.841 20 0 DDADMM COC(=O)c1[n-]cnc1NC(=O)c1occc1Br ZINC000514902735 421463110 /nfs/dbraw/zinc/46/31/10/421463110.db2.gz HLSPZCVRYUDDLA-UHFFFAOYSA-N -1 1 314.095 1.804 20 0 DDADMM Cc1cc(CC(=O)NC2(c3nn[n-]n3)CCCC2)ncc1Cl ZINC000528601410 421500135 /nfs/dbraw/zinc/50/01/35/421500135.db2.gz ZICNJMPMPHAUSQ-UHFFFAOYSA-N -1 1 320.784 1.685 20 0 DDADMM O=C(NCc1cc2n(n1)CCCO2)c1csc(=NC2CC2)[n-]1 ZINC000552121466 421575068 /nfs/dbraw/zinc/57/50/68/421575068.db2.gz FWIPRDNMLPMOON-UHFFFAOYSA-N -1 1 319.390 1.048 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)Cn1ccnc1 ZINC000552668090 421592879 /nfs/dbraw/zinc/59/28/79/421592879.db2.gz QUUYERHJCDCHLO-UHFFFAOYSA-N -1 1 312.326 1.032 20 0 DDADMM Cc1nc(CC2CC2)oc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000530455279 421601355 /nfs/dbraw/zinc/60/13/55/421601355.db2.gz GGICNNUBZWDUJA-UHFFFAOYSA-N -1 1 316.365 1.648 20 0 DDADMM Cn1cc([C@H](NC(=O)c2ncccc2[O-])C2(CO)CCC2)cn1 ZINC000571127632 421690016 /nfs/dbraw/zinc/69/00/16/421690016.db2.gz WCBKXWVXGNXECL-AWEZNQCLSA-N -1 1 316.361 1.154 20 0 DDADMM CCCc1nc(=NC(=O)N2CCN3CCCC[C@H]3C2)s[n-]1 ZINC000556094305 421699788 /nfs/dbraw/zinc/69/97/88/421699788.db2.gz WSLUAHXCYWXQRV-NSHDSACASA-N -1 1 309.439 1.615 20 0 DDADMM O=C1CCCN1c1ccc(S(=O)(=O)[N-]c2cnc[nH]2)cc1 ZINC000571391736 421712088 /nfs/dbraw/zinc/71/20/88/421712088.db2.gz IXTQQQGFZBLHLK-UHFFFAOYSA-N -1 1 306.347 1.337 20 0 DDADMM N=c1nc(N2CCN(C[C@H]3CCC4(CCCC4)O3)CC2)s[n-]1 ZINC000519003244 421675582 /nfs/dbraw/zinc/67/55/82/421675582.db2.gz FICUKKFVVKFRMH-GFCCVEGCSA-N -1 1 323.466 1.564 20 0 DDADMM Cc1oc(C2CC2)nc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000556843086 421745807 /nfs/dbraw/zinc/74/58/07/421745807.db2.gz MNXJFLKADGXEIW-UHFFFAOYSA-N -1 1 302.338 1.573 20 0 DDADMM O=C(N[C@H]1CCCc2ccccc21)c1cc(=O)n2[n-]cnc2n1 ZINC000541840165 421807074 /nfs/dbraw/zinc/80/70/74/421807074.db2.gz FFDKYYWJLSYGCS-LBPRGKRZSA-N -1 1 309.329 1.225 20 0 DDADMM COC(=O)c1ccccc1N(C)C(=O)CN(C)C[C@H](C)C(=O)[O-] ZINC000572885633 421879370 /nfs/dbraw/zinc/87/93/70/421879370.db2.gz ICZJFQKXSXIXTN-NSHDSACASA-N -1 1 322.361 1.089 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cccc2nccnc21 ZINC000544499596 421844923 /nfs/dbraw/zinc/84/49/23/421844923.db2.gz NTRXORMAZKBXDM-UHFFFAOYSA-N -1 1 309.333 1.342 20 0 DDADMM CCOc1ccc(N(CC)C(=O)CCCc2nn[n-]n2)cc1 ZINC000635251701 421857509 /nfs/dbraw/zinc/85/75/09/421857509.db2.gz GSYMBMNYGAUCPO-UHFFFAOYSA-N -1 1 303.366 1.974 20 0 DDADMM COc1cc(NC(=O)[C@H]2CCCN2C2CC2)ccc1C(=O)[O-] ZINC000630185276 421943195 /nfs/dbraw/zinc/94/31/95/421943195.db2.gz ZFYFWSLYPBYBHD-CYBMUJFWSA-N -1 1 304.346 1.959 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C(C)(C)CC(F)(F)F)CC1 ZINC000630218403 421968739 /nfs/dbraw/zinc/96/87/39/421968739.db2.gz DUYIZHUDGGZDSZ-SNVBAGLBSA-N -1 1 324.343 1.972 20 0 DDADMM Cc1cccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1C ZINC000630222242 421971992 /nfs/dbraw/zinc/97/19/92/421971992.db2.gz FEYCVPSWXXRZEP-CYBMUJFWSA-N -1 1 304.390 1.924 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2[C@H]3CCO[C@@H]3C2(C)C)sn1 ZINC000632023324 422028009 /nfs/dbraw/zinc/02/80/09/422028009.db2.gz JXFLOXSJVQBGNS-IEBDPFPHSA-N -1 1 302.421 1.543 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2cccc3c2OCO3)n1 ZINC000573936136 422038176 /nfs/dbraw/zinc/03/81/76/422038176.db2.gz NIIIPLPTPPMVQC-SSDOTTSWSA-N -1 1 311.319 1.146 20 0 DDADMM COc1ccc(CC[C@H](C)NC(=O)CCCc2nn[n-]n2)cc1 ZINC000635437119 421989193 /nfs/dbraw/zinc/98/91/93/421989193.db2.gz HOBYZFGALRDICR-LBPRGKRZSA-N -1 1 317.393 1.669 20 0 DDADMM CN(C)C(=O)CS(=O)(=O)c1ncc(-c2ccc(F)cc2)[n-]1 ZINC000581528148 421991931 /nfs/dbraw/zinc/99/19/31/421991931.db2.gz MOLSAUXRSBMGCJ-UHFFFAOYSA-N -1 1 311.338 1.078 20 0 DDADMM CN(C)C(=O)CS(=O)(=O)c1nc(-c2ccc(F)cc2)c[n-]1 ZINC000581528148 421991939 /nfs/dbraw/zinc/99/19/39/421991939.db2.gz MOLSAUXRSBMGCJ-UHFFFAOYSA-N -1 1 311.338 1.078 20 0 DDADMM CCOc1cccc([C@H](C)NC(=O)CCCc2nn[n-]n2)c1 ZINC000635446149 421994331 /nfs/dbraw/zinc/99/43/31/421994331.db2.gz IRNGCRKDGPXZSL-NSHDSACASA-N -1 1 303.366 1.799 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCS[C@H](C)[C@H]2C)c1 ZINC000631989479 422002897 /nfs/dbraw/zinc/00/28/97/422002897.db2.gz UQKOUSANVQZBCX-NXEZZACHSA-N -1 1 317.432 1.915 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2C[C@@H](OC)C2(C)C)c1 ZINC000632084933 422074407 /nfs/dbraw/zinc/07/44/07/422074407.db2.gz RKZYOQKHQFEIDE-QWHCGFSZSA-N -1 1 315.391 1.493 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@H](c2ccccc2F)C1 ZINC000635536591 422085526 /nfs/dbraw/zinc/08/55/26/422085526.db2.gz VJLJKAAXGAXVPG-LLVKDONJSA-N -1 1 303.341 1.678 20 0 DDADMM Cc1ccc2c(c1)[C@@H](NC(=O)c1cc(=O)n3[n-]cnc3n1)CCC2 ZINC000582083308 422104115 /nfs/dbraw/zinc/10/41/15/422104115.db2.gz PXMYMMVYQVWTES-ZDUSSCGKSA-N -1 1 323.356 1.533 20 0 DDADMM O=C(c1ccnn1CC1CCC1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000632053877 422052944 /nfs/dbraw/zinc/05/29/44/422052944.db2.gz FJAOKSCAZWGJJW-LBPRGKRZSA-N -1 1 315.381 1.216 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(C)cc1OC ZINC000633692992 422054814 /nfs/dbraw/zinc/05/48/14/422054814.db2.gz VGPLJABTMAKNCS-UHFFFAOYSA-N -1 1 302.334 1.069 20 0 DDADMM CO[C@]1(C)C[C@H]([N-]S(=O)(=O)c2cc(C)ns2)C1(C)C ZINC000632056271 422056965 /nfs/dbraw/zinc/05/69/65/422056965.db2.gz VIFJSMIHFCBGMO-JOYOIKCWSA-N -1 1 304.437 1.933 20 0 DDADMM COc1ccc([C@H](C)CCNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635607652 422160311 /nfs/dbraw/zinc/16/03/11/422160311.db2.gz QOTYQYKQVMGHDH-GFCCVEGCSA-N -1 1 317.393 1.841 20 0 DDADMM C[C@@H](C(=O)Nc1ccncc1[O-])n1ccc(C(F)(F)F)n1 ZINC000632169744 422135820 /nfs/dbraw/zinc/13/58/20/422135820.db2.gz DMDXIKSBIJDGIU-ZETCQYMHSA-N -1 1 300.240 1.624 20 0 DDADMM CO[C@H](c1ccc(F)cc1)[C@H](C)NC(=O)CCc1nn[n-]n1 ZINC000632273705 422212546 /nfs/dbraw/zinc/21/25/46/422212546.db2.gz XETFQMZOYVFOSW-XPTSAGLGSA-N -1 1 307.329 1.164 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC(Cc2ccccc2Cl)C1 ZINC000635678518 422231995 /nfs/dbraw/zinc/23/19/95/422231995.db2.gz SNQSVQZPNWJWMU-UHFFFAOYSA-N -1 1 319.796 1.877 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](OC)C[C@H]2C)c1 ZINC000632305489 422237705 /nfs/dbraw/zinc/23/77/05/422237705.db2.gz WBENZPFWJGRDQS-ZYHUDNBSSA-N -1 1 315.391 1.589 20 0 DDADMM CN(Cc1ccccc1C(F)(F)F)C(=O)CCc1nn[n-]n1 ZINC000630642027 422238532 /nfs/dbraw/zinc/23/85/32/422238532.db2.gz MODJGKPXVHGCMB-UHFFFAOYSA-N -1 1 313.283 1.810 20 0 DDADMM Cc1nc2sccn2c1CN(C)C(=O)CCCc1nn[n-]n1 ZINC000635639551 422193180 /nfs/dbraw/zinc/19/31/80/422193180.db2.gz BDMBJFIASFYIBC-UHFFFAOYSA-N -1 1 319.394 1.199 20 0 DDADMM C[C@@H]1CC[C@H](C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)O1 ZINC000632433144 422339696 /nfs/dbraw/zinc/33/96/96/422339696.db2.gz LZDNXOOMMVKLCX-RNFRBKRXSA-N -1 1 313.301 1.274 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCNc1cccc(F)c1 ZINC000635793972 422349310 /nfs/dbraw/zinc/34/93/10/422349310.db2.gz GNLRBSVRXGZCBH-UHFFFAOYSA-N -1 1 306.345 1.280 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1nc2c(s1)CCCCCC2 ZINC000630810419 422350464 /nfs/dbraw/zinc/35/04/64/422350464.db2.gz DEJAAWJIWLYUOX-UHFFFAOYSA-N -1 1 306.395 1.887 20 0 DDADMM CC(=O)NC1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000630862255 422380048 /nfs/dbraw/zinc/38/00/48/422380048.db2.gz QRYJTZJHYSXRNS-UHFFFAOYSA-N -1 1 310.781 1.715 20 0 DDADMM CCOc1cc(C(=O)N[C@H]2C[C@H](C(N)=O)C2)cc(Cl)c1[O-] ZINC000628781839 422326049 /nfs/dbraw/zinc/32/60/49/422326049.db2.gz AALNMBPYUGKFAW-XWEPSHTISA-N -1 1 312.753 1.438 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H]3COC[C@@H]3C2)c1 ZINC000632569369 422443432 /nfs/dbraw/zinc/44/34/32/422443432.db2.gz HGSOHADLMUHPSU-QWRGUYRKSA-N -1 1 313.375 1.058 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCS[C@H]2C)c1 ZINC000632504981 422396766 /nfs/dbraw/zinc/39/67/66/422396766.db2.gz QIIATJVRVGHXON-WCBMZHEXSA-N -1 1 303.405 1.573 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@@H](C)[C@@H](O)C(F)(F)F)s1 ZINC000632673445 422508810 /nfs/dbraw/zinc/50/88/10/422508810.db2.gz KKAXYQNVTIZSRW-MHTLYPKNSA-N -1 1 304.315 1.042 20 0 DDADMM CC(C)CN1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000632582842 422453729 /nfs/dbraw/zinc/45/37/29/422453729.db2.gz NGEGFYHVDUUCJL-UHFFFAOYSA-N -1 1 324.808 1.915 20 0 DDADMM CCC[C@@H](CCO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632619426 422478266 /nfs/dbraw/zinc/47/82/66/422478266.db2.gz KMPLFZQXHYQHEO-ZETCQYMHSA-N -1 1 315.317 1.258 20 0 DDADMM COC[C@H](NC(=O)CCCc1nn[n-]n1)c1cccc(Cl)c1 ZINC000635938106 422490864 /nfs/dbraw/zinc/49/08/64/422490864.db2.gz MDQIGPQQKICWKV-LBPRGKRZSA-N -1 1 323.784 1.680 20 0 DDADMM CCCN1CCN(C(=O)CCc2nn[n-]n2)c2ccc(F)cc21 ZINC000632743082 422552981 /nfs/dbraw/zinc/55/29/81/422552981.db2.gz QPWGSSBVDOMNFD-UHFFFAOYSA-N -1 1 318.356 1.535 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](CF)c1ccc(F)cc1 ZINC000632771649 422566776 /nfs/dbraw/zinc/56/67/76/422566776.db2.gz QPCHHEYAAYVIID-JTQLQIEISA-N -1 1 317.317 1.524 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](CF)c1ccc(F)cc1 ZINC000632771649 422566782 /nfs/dbraw/zinc/56/67/82/422566782.db2.gz QPCHHEYAAYVIID-JTQLQIEISA-N -1 1 317.317 1.524 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1cnc(C2CC2)nc1)C1CC1 ZINC000629287971 422583340 /nfs/dbraw/zinc/58/33/40/422583340.db2.gz FNYOQDNSOBKXOR-ZDUSSCGKSA-N -1 1 311.407 1.911 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000636058521 422604197 /nfs/dbraw/zinc/60/41/97/422604197.db2.gz UYBVOOOXYANYTP-HNNXBMFYSA-N -1 1 319.409 1.330 20 0 DDADMM COCC[C@@]1([N-]S(=O)(=O)c2nc(C)c(C)s2)CCOC1 ZINC000578616262 422605942 /nfs/dbraw/zinc/60/59/42/422605942.db2.gz VPJBXDZUYHXQKT-GFCCVEGCSA-N -1 1 320.436 1.234 20 0 DDADMM O=C(c1cnn(CC2CC2)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000632842977 422620254 /nfs/dbraw/zinc/62/02/54/422620254.db2.gz AQLFOHUOEYMTBK-LLVKDONJSA-N -1 1 317.349 1.402 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@]1(O)CCSC1 ZINC000629377525 422639567 /nfs/dbraw/zinc/63/95/67/422639567.db2.gz VIAPBKKNFZJPHW-AWEZNQCLSA-N -1 1 305.359 1.384 20 0 DDADMM Cc1csc(C2(NC(=O)CCc3nn[n-]n3)CCCC2)n1 ZINC000631267956 422642940 /nfs/dbraw/zinc/64/29/40/422642940.db2.gz RNGLONNCJURCDH-UHFFFAOYSA-N -1 1 306.395 1.483 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2cnn(CC(C)C)c2)CCC1 ZINC000634789469 422647822 /nfs/dbraw/zinc/64/78/22/422647822.db2.gz PUDDARKQXKADIU-UHFFFAOYSA-N -1 1 301.412 1.850 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cnc3n2CCC3)c(F)c1 ZINC000634794720 422649876 /nfs/dbraw/zinc/64/98/76/422649876.db2.gz OUQXPOAFHMIGDA-UHFFFAOYSA-N -1 1 311.338 1.778 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1C[C@@H](C(=O)[O-])CC[C@H]1C ZINC000629491031 422698806 /nfs/dbraw/zinc/69/88/06/422698806.db2.gz NBQDVNUEWAUHSI-MNOVXSKESA-N -1 1 324.343 1.972 20 0 DDADMM CC(C)CCOCCNC(=O)CN1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000629494517 422701882 /nfs/dbraw/zinc/70/18/82/422701882.db2.gz ARLPBRREZNSVEP-ZIAGYGMSSA-N -1 1 314.426 1.208 20 0 DDADMM COCc1nc(CN=c2nc(-c3cccc(C)c3)[n-]s2)n[nH]1 ZINC000631369316 422720602 /nfs/dbraw/zinc/72/06/02/422720602.db2.gz UQPKJRXGBNXBHG-UHFFFAOYSA-N -1 1 316.390 1.812 20 0 DDADMM CC(C)Cc1nc(=NCc2nnc([C@H]3CCOC3)[nH]2)s[n-]1 ZINC000631377015 422726837 /nfs/dbraw/zinc/72/68/37/422726837.db2.gz ZKMSUYJXPOINLL-VIFPVBQESA-N -1 1 308.411 1.393 20 0 DDADMM CC(C)Cc1nc(=NCc2n[nH]c([C@H]3CCOC3)n2)s[n-]1 ZINC000631377015 422726840 /nfs/dbraw/zinc/72/68/40/422726840.db2.gz ZKMSUYJXPOINLL-VIFPVBQESA-N -1 1 308.411 1.393 20 0 DDADMM C[C@@H]1C[N@H+](CCCNC(=O)/C=C/c2cncc(O)c2)C[C@H](C)O1 ZINC000179404368 263359113 /nfs/dbraw/zinc/35/91/13/263359113.db2.gz MOFQAGZLGUQUHG-TWRSITDPSA-N -1 1 319.405 1.416 20 0 DDADMM O=C([O-])c1cc(N[C@@H]2CCCC[C@H]2N2CCOCC2)ccn1 ZINC000650767273 423254493 /nfs/dbraw/zinc/25/44/93/423254493.db2.gz OKOFESLIZUJZPF-UKRRQHHQSA-N -1 1 305.378 1.257 20 0 DDADMM O=C([O-])CC[C@@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000648452534 423365828 /nfs/dbraw/zinc/36/58/28/423365828.db2.gz SVUARJUFIDJMIF-RYUDHWBXSA-N -1 1 305.378 1.933 20 0 DDADMM CCc1nn(C)c(CC)c1S(=O)(=O)[N-][C@@H](C)C(F)(F)F ZINC000643834665 423375298 /nfs/dbraw/zinc/37/52/98/423375298.db2.gz FVWLAIQMGDZTHG-ZETCQYMHSA-N -1 1 313.345 1.774 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CC[C@@H]1Cc2ccccc2NC1=O ZINC000646411744 423481000 /nfs/dbraw/zinc/48/10/00/423481000.db2.gz QCKMVNMCDJZRKX-LLVKDONJSA-N -1 1 314.345 1.953 20 0 DDADMM CCC(CC)[C@H](C(=O)Nc1c(C)[n-][nH]c1=O)N1CCOCC1 ZINC000646410316 423481064 /nfs/dbraw/zinc/48/10/64/423481064.db2.gz CNEXQRTVOOJWAP-CYBMUJFWSA-N -1 1 310.398 1.499 20 0 DDADMM CC(C)Nc1cccc(-c2cn(Cc3nc(=O)n(C)[n-]3)nn2)c1 ZINC000641156206 423429974 /nfs/dbraw/zinc/42/99/74/423429974.db2.gz OANXCVGCJJVBJA-UHFFFAOYSA-N -1 1 313.365 1.236 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)c2cc(C3CCCCC3)[nH]n2)CCO1 ZINC000653542786 423521603 /nfs/dbraw/zinc/52/16/03/423521603.db2.gz DIPBDFMLQTZXFS-ZDUSSCGKSA-N -1 1 307.350 1.383 20 0 DDADMM CCC[C@@H](NC(=O)C1CCC(C(C)(C)O)CC1)c1nn[n-]n1 ZINC000651462065 423551373 /nfs/dbraw/zinc/55/13/73/423551373.db2.gz PNXRUMCUNDQTKL-HTAVTVPLSA-N -1 1 309.414 1.734 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)[C@H](O)C2CCC2)CC1 ZINC000649194396 423672080 /nfs/dbraw/zinc/67/20/80/423672080.db2.gz OVTNICJKZQHDEF-QGZVFWFLSA-N -1 1 317.385 1.975 20 0 DDADMM C[C@@H]1CO[C@@H](CO)CN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000649215702 423680725 /nfs/dbraw/zinc/68/07/25/423680725.db2.gz JYOQMEZPWHLHSW-PSASIEDQSA-N -1 1 319.279 1.633 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnc2onc(C)c2c1)C(C)C ZINC000647128223 423766739 /nfs/dbraw/zinc/76/67/39/423766739.db2.gz VQSAKUWWOHKTSW-LBPRGKRZSA-N -1 1 313.379 1.944 20 0 DDADMM O=C([O-])C1(CNC(=O)NCc2ccc3cncn3c2)CCC1 ZINC000659407177 423805148 /nfs/dbraw/zinc/80/51/48/423805148.db2.gz ZHRDBICUEMWXKI-UHFFFAOYSA-N -1 1 302.334 1.388 20 0 DDADMM O=C(NC[C@@H]1CCCNC1=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000665731957 423780780 /nfs/dbraw/zinc/78/07/80/423780780.db2.gz AGJLVTCXSJRPDQ-VIFPVBQESA-N -1 1 316.279 1.667 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cc(F)c(OC)cc2F)CC1 ZINC000641685558 423858064 /nfs/dbraw/zinc/85/80/64/423858064.db2.gz KEXONWWFYQGXQY-UHFFFAOYSA-N -1 1 307.318 1.894 20 0 DDADMM CC(C)(O)CC[N-]S(=O)(=O)c1ncccc1Br ZINC000656961473 423928766 /nfs/dbraw/zinc/92/87/66/423928766.db2.gz BEXOSFKMYGVQCK-UHFFFAOYSA-N -1 1 323.212 1.283 20 0 DDADMM O=C(CC1CC(F)(F)C1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644884260 424011311 /nfs/dbraw/zinc/01/13/11/424011311.db2.gz LGEZMSZPEWAZEG-SECBINFHSA-N -1 1 301.293 1.917 20 0 DDADMM C[C@H]1CN(C)c2ccccc2CN1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000641792710 423986599 /nfs/dbraw/zinc/98/65/99/423986599.db2.gz AKNXQHIUIQHICD-SWLSCSKDSA-N -1 1 317.389 1.012 20 0 DDADMM C[C@@H](CNc1ncc(C(=O)[O-])cc1Cl)N1CCN(C)CC1 ZINC000647449933 424028154 /nfs/dbraw/zinc/02/81/54/424028154.db2.gz WDOWPXLDOVTBIK-JTQLQIEISA-N -1 1 312.801 1.481 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)nn1 ZINC000657121038 424131580 /nfs/dbraw/zinc/13/15/80/424131580.db2.gz OPZRMYBUBRSAJQ-UHFFFAOYSA-N -1 1 320.296 1.194 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2cc(F)c(C)cc2F)nn1 ZINC000657121511 424132769 /nfs/dbraw/zinc/13/27/69/424132769.db2.gz UMOOBSAIFJSWPU-UHFFFAOYSA-N -1 1 316.333 1.363 20 0 DDADMM O=C(NCc1nc([C@@H]2CCCO2)n[nH]1)c1ccc([O-])cc1F ZINC000655232801 424322895 /nfs/dbraw/zinc/32/28/95/424322895.db2.gz YZJWCKAQPUEKHQ-NSHDSACASA-N -1 1 306.297 1.431 20 0 DDADMM C[C@@]1(CNC(=O)N=c2[n-]sc3ccccc32)CCC(=O)N1 ZINC000640337963 424360819 /nfs/dbraw/zinc/36/08/19/424360819.db2.gz QOECRRZSLJTRQI-AWEZNQCLSA-N -1 1 304.375 1.508 20 0 DDADMM C[C@H]1CN(C(=O)N=c2[n-]sc3ccccc32)C[C@H](CO)O1 ZINC000640338711 424361048 /nfs/dbraw/zinc/36/10/48/424361048.db2.gz GIAMXEQCGSYGDA-VHSXEESVSA-N -1 1 307.375 1.332 20 0 DDADMM CCN(Cc1ccc(Cl)cc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662202591 424469540 /nfs/dbraw/zinc/46/95/40/424469540.db2.gz NCCDETKCMDYGRQ-CYBMUJFWSA-N -1 1 310.781 1.847 20 0 DDADMM CC[C@@H]1OCC[C@H]1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000655444186 424477359 /nfs/dbraw/zinc/47/73/59/424477359.db2.gz WRAPWEWSLNFTCJ-OLZOCXBDSA-N -1 1 318.377 1.278 20 0 DDADMM Cc1ccc(-n2ccc([N-]S(=O)(=O)N3CCCC3)n2)cc1 ZINC000655589260 424583957 /nfs/dbraw/zinc/58/39/57/424583957.db2.gz YNUCXPILDDJMNQ-UHFFFAOYSA-N -1 1 306.391 1.933 20 0 DDADMM C[C@@H](CNC(=O)NC[C@@H](c1cccc(F)c1)N(C)C)C(=O)[O-] ZINC000655860962 424684317 /nfs/dbraw/zinc/68/43/17/424684317.db2.gz YKOGNPWXKWWKAP-GWCFXTLKSA-N -1 1 311.357 1.448 20 0 DDADMM CC(C)(CCNC(=O)N[C@H]1CCC[N@H+]2CCCC[C@@H]12)C(=O)[O-] ZINC000655879265 424690060 /nfs/dbraw/zinc/69/00/60/424690060.db2.gz LUPHKVWTKHYNKI-STQMWFEESA-N -1 1 311.426 1.803 20 0 DDADMM O=C([O-])[C@H](CNC(=O)N[C@@H]1CCc2[nH]cnc2C1)C1CCC1 ZINC000665312250 424780085 /nfs/dbraw/zinc/78/00/85/424780085.db2.gz HRNCDGWGRSCKON-GHMZBOCLSA-N -1 1 306.366 1.067 20 0 DDADMM C[C@H](N=c1[n-]c(C(F)(F)F)ns1)[C@H](C)N1CCOCC1 ZINC000342046321 271282112 /nfs/dbraw/zinc/28/21/12/271282112.db2.gz RUFDIPFHKCGSFC-YUMQZZPRSA-N -1 1 310.345 1.500 20 0 DDADMM Cc1noc(C2CC2)c1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000344389969 272014343 /nfs/dbraw/zinc/01/43/43/272014343.db2.gz QQAGYGLMGBXPJB-UHFFFAOYSA-N -1 1 316.317 1.330 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cnn(-c2ccccc2)c1 ZINC000345546540 272278331 /nfs/dbraw/zinc/27/83/31/272278331.db2.gz UDQCBBJZHHQXPQ-UHFFFAOYSA-N -1 1 323.360 1.585 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N(CCCCO)C1CC1 ZINC000345667053 272300276 /nfs/dbraw/zinc/30/02/76/272300276.db2.gz QFQZVNPEYXZSDJ-UHFFFAOYSA-N -1 1 301.346 1.300 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@@H](CC(C)C)C(=O)OC(C)C)OC ZINC000420680120 287899374 /nfs/dbraw/zinc/89/93/74/287899374.db2.gz PXVFKSUVANPMBS-OLZOCXBDSA-N -1 1 323.455 1.697 20 0 DDADMM C[C@H]1CCCC[C@@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332474063 278334520 /nfs/dbraw/zinc/33/45/20/278334520.db2.gz VZDKBVQPHFSRGE-QWRGUYRKSA-N -1 1 309.439 1.426 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCOCC2)C1)c1cc(F)ccc1[O-] ZINC000331377404 279902301 /nfs/dbraw/zinc/90/23/01/279902301.db2.gz FHXOFKHKMHMYCV-GFCCVEGCSA-N -1 1 309.337 1.989 20 0 DDADMM O=C(Nc1nc2c(s1)CCCCC2)NN1CC(=O)[N-]C1=O ZINC000275799506 280057397 /nfs/dbraw/zinc/05/73/97/280057397.db2.gz OQTDISIQVDHSPO-UHFFFAOYSA-N -1 1 309.351 1.000 20 0 DDADMM CC(C)OC(=O)[C@H](C)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000075535173 280965115 /nfs/dbraw/zinc/96/51/15/280965115.db2.gz UKBSQLBVYQDPCF-SNVBAGLBSA-N -1 1 317.345 1.241 20 0 DDADMM CS[C@H](CO)[C@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000119877869 281108870 /nfs/dbraw/zinc/10/88/70/281108870.db2.gz MYLPHTIVOOOGLM-JOYOIKCWSA-N -1 1 315.416 1.824 20 0 DDADMM O=C(NCc1ccccc1CO)c1nc2ccccc2c(=O)[n-]1 ZINC000129038617 281329471 /nfs/dbraw/zinc/32/94/71/281329471.db2.gz POTDZHWROLHNHM-UHFFFAOYSA-N -1 1 309.325 1.345 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@H](c1ccccc1)C1CC1 ZINC000130339655 281376730 /nfs/dbraw/zinc/37/67/30/281376730.db2.gz HAYDEKPMKADLBJ-CQSZACIVSA-N -1 1 300.362 1.341 20 0 DDADMM O=C(N[C@H]1CC[C@@H](CO)CC1)c1nc2ccccc2c(=O)[n-]1 ZINC000152767125 281760352 /nfs/dbraw/zinc/76/03/52/281760352.db2.gz QMWGNRTUIJVIBC-XYPYZODXSA-N -1 1 301.346 1.204 20 0 DDADMM CN(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H](CO)C(C)(C)C ZINC000153085088 281775036 /nfs/dbraw/zinc/77/50/36/281775036.db2.gz NSSKUJQHAKBSIF-LBPRGKRZSA-N -1 1 303.362 1.402 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCO[C@H](C)C2)o1 ZINC000354288052 298392762 /nfs/dbraw/zinc/39/27/62/298392762.db2.gz BAMDXCZADFRBRY-NXEZZACHSA-N -1 1 317.363 1.302 20 0 DDADMM Cn1ncc2c1nc(Oc1ccc3c(c1)CCC(=O)N3)[n-]c2=O ZINC000356534896 298815685 /nfs/dbraw/zinc/81/56/85/298815685.db2.gz VGKKSZQAOXFOFI-UHFFFAOYSA-N -1 1 311.301 1.746 20 0 DDADMM Cc1c([N-]S(=O)(=O)CCOCC(F)(F)F)cnn1C ZINC000357152629 298981181 /nfs/dbraw/zinc/98/11/81/298981181.db2.gz WMADFKXJJXUMKO-UHFFFAOYSA-N -1 1 301.290 1.049 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)CC(F)(F)F)o1 ZINC000362019508 299986215 /nfs/dbraw/zinc/98/62/15/299986215.db2.gz XQUMBOFILIZONB-LURJTMIESA-N -1 1 314.285 1.258 20 0 DDADMM CN1CCN(C2(C(=O)[N-]O[C@H]3CCCCO3)CCCC2)CC1 ZINC000362878757 300168484 /nfs/dbraw/zinc/16/84/84/300168484.db2.gz SVASWFAFVYDQCG-AWEZNQCLSA-N -1 1 311.426 1.121 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1C[C@@H]1c1ccco1 ZINC000367635423 300866913 /nfs/dbraw/zinc/86/69/13/300866913.db2.gz QDUUOLVLTQHBED-UWVGGRQHSA-N -1 1 303.318 1.354 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C[C@H](C)OC)CC2)n1 ZINC000369323407 301164815 /nfs/dbraw/zinc/16/48/15/301164815.db2.gz XAUKCXYXZCWNCC-JTQLQIEISA-N -1 1 324.381 1.112 20 0 DDADMM C[C@@H]1CC(=O)NCCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000371470739 301469316 /nfs/dbraw/zinc/46/93/16/301469316.db2.gz OCZOGOLZHJFWNS-MRVPVSSYSA-N -1 1 316.279 1.762 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@H]1[C@@H]1CCCO1 ZINC000375064239 301887535 /nfs/dbraw/zinc/88/75/35/301887535.db2.gz IJBHEXHTAPVFNS-KBPBESRZSA-N -1 1 313.357 1.707 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCN2C(=O)OC[C@H]2C1 ZINC000376202195 302050633 /nfs/dbraw/zinc/05/06/33/302050633.db2.gz YTJBGLPWDSYLKV-GFCCVEGCSA-N -1 1 312.325 1.822 20 0 DDADMM Cn1cc(N2CC[C@@H](NC(=O)c3ccc(Cl)cc3[O-])C2)cn1 ZINC000377712509 302217102 /nfs/dbraw/zinc/21/71/02/302217102.db2.gz KYZOAFDCRQJIJH-LLVKDONJSA-N -1 1 320.780 1.788 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cnc(CC(C)C)nc1 ZINC000355878437 306817716 /nfs/dbraw/zinc/81/77/16/306817716.db2.gz HYXAAKGRGJQAIQ-UHFFFAOYSA-N -1 1 317.411 1.080 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@@H]1c1ncc[nH]1 ZINC000378578179 302339191 /nfs/dbraw/zinc/33/91/91/302339191.db2.gz DBVDUAPVDBOTCW-GFCCVEGCSA-N -1 1 309.329 1.624 20 0 DDADMM Cn1cncc1CCNC(=O)c1cc(Br)ccc1[O-] ZINC000401808110 302373828 /nfs/dbraw/zinc/37/38/28/302373828.db2.gz RLDCKEZUTBZYLZ-UHFFFAOYSA-N -1 1 324.178 1.861 20 0 DDADMM COC(=O)Nc1cccc(CNC(=O)c2ncccc2[O-])c1 ZINC000495758365 302460440 /nfs/dbraw/zinc/46/04/40/302460440.db2.gz FBKXIYVVTKQPLM-UHFFFAOYSA-N -1 1 301.302 1.896 20 0 DDADMM O=c1cc(/C=C\c2ccc(-n3cncn3)c(F)c2)nc2nc[n-]n21 ZINC000515589109 302778367 /nfs/dbraw/zinc/77/83/67/302778367.db2.gz BRLINEKERQMTAD-IWQZZHSRSA-N -1 1 323.291 1.308 20 0 DDADMM COc1cc([N-]S(=O)(=O)C[C@H](OC)C2CC2)cc(OC)c1 ZINC000516180631 302785937 /nfs/dbraw/zinc/78/59/37/302785937.db2.gz ACXIJXOBXQDWQU-AWEZNQCLSA-N -1 1 315.391 1.871 20 0 DDADMM C[C@@H](CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1)c1ccco1 ZINC000528813821 303066290 /nfs/dbraw/zinc/06/62/90/303066290.db2.gz MFOXXRFLWXVGGH-JTQLQIEISA-N -1 1 321.406 1.386 20 0 DDADMM CCn1nncc1CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000529561002 303137543 /nfs/dbraw/zinc/13/75/43/303137543.db2.gz IWGGFYBVFTWZME-UHFFFAOYSA-N -1 1 314.267 1.952 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(F)(F)C[C@@H]1C ZINC000530010508 303170061 /nfs/dbraw/zinc/17/00/61/303170061.db2.gz YCBDGYAAGLKJGS-LURJTMIESA-N -1 1 303.265 1.156 20 0 DDADMM CC(C)N1C[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)CC1=O ZINC000530772144 303211224 /nfs/dbraw/zinc/21/12/24/303211224.db2.gz YADRCGHSDCISLP-GFCCVEGCSA-N -1 1 322.386 1.720 20 0 DDADMM Cc1nnc([C@H](C)NC(=O)c2cnc3nc(C)ccc3c2[O-])o1 ZINC000531845859 303260812 /nfs/dbraw/zinc/26/08/12/303260812.db2.gz AXFSPEGPWZUAPC-QMMMGPOBSA-N -1 1 313.317 1.826 20 0 DDADMM Cc1nnc([C@H](C)NC(=O)c2c[n-]c3nc(C)ccc3c2=O)o1 ZINC000531845859 303260815 /nfs/dbraw/zinc/26/08/15/303260815.db2.gz AXFSPEGPWZUAPC-QMMMGPOBSA-N -1 1 313.317 1.826 20 0 DDADMM C[C@H](C(=O)NCc1cccs1)N1CCC[C@H](CC(=O)[O-])C1 ZINC000532881397 303300624 /nfs/dbraw/zinc/30/06/24/303300624.db2.gz WECLZARTFPKRPD-VXGBXAGGSA-N -1 1 310.419 1.940 20 0 DDADMM O=C(c1ccc(-n2ccnc2)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000533113502 303309841 /nfs/dbraw/zinc/30/98/41/303309841.db2.gz PNBCNPLHFBIIEP-CYBMUJFWSA-N -1 1 323.360 1.405 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2ccn(C)n2)c1-c1ccccc1 ZINC000533946690 303325303 /nfs/dbraw/zinc/32/53/03/303325303.db2.gz KGEGWOQOSNGKPA-UHFFFAOYSA-N -1 1 317.374 1.919 20 0 DDADMM COC(=O)C1(NC(=O)c2csc(=NC3CC3)[n-]2)CCCC1 ZINC000538685279 303387560 /nfs/dbraw/zinc/38/75/60/303387560.db2.gz SCQNLSQGTLJCQM-UHFFFAOYSA-N -1 1 309.391 1.355 20 0 DDADMM COCCCCS(=O)(=O)[N-]c1cc(C(=O)OC)cs1 ZINC000555123269 303711164 /nfs/dbraw/zinc/71/11/64/303711164.db2.gz GTPRMYZWSGCWKV-UHFFFAOYSA-N -1 1 307.393 1.703 20 0 DDADMM CCOc1cc(C(=O)NC[C@H](O)C(F)F)cc(Cl)c1[O-] ZINC000358127360 306930510 /nfs/dbraw/zinc/93/05/10/306930510.db2.gz GPGDDOLXNUEERL-QMMMGPOBSA-N -1 1 309.696 1.800 20 0 DDADMM C[C@@H]1C[C@H](CC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CC(C)(C)C1 ZINC000370862024 307138581 /nfs/dbraw/zinc/13/85/81/307138581.db2.gz ZKFKMUDUGHXXNA-JHJVBQTASA-N -1 1 321.425 1.952 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)c3cc4c(cn3)OCCC4)ccnc1-2 ZINC000374719927 307212538 /nfs/dbraw/zinc/21/25/38/307212538.db2.gz DMKSVQFDGPUPIC-NDENLUEZSA-N -1 1 309.329 1.314 20 0 DDADMM C[C@@H](CC(C)(C)O)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000395012928 307295697 /nfs/dbraw/zinc/29/56/97/307295697.db2.gz KGICKEBETMVFGF-ZETCQYMHSA-N -1 1 311.325 1.932 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](CO)C1CCCC1 ZINC000395117880 307295973 /nfs/dbraw/zinc/29/59/73/307295973.db2.gz JZRCVBRNDWJDGA-SNVBAGLBSA-N -1 1 311.407 1.493 20 0 DDADMM O=C(OC[C@H]1CNC(=O)O1)c1ccc(Br)c([O-])c1 ZINC000495719065 307315500 /nfs/dbraw/zinc/31/55/00/307315500.db2.gz SPEXYIOMHRGUJJ-SSDOTTSWSA-N -1 1 316.107 1.420 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000562355678 307935623 /nfs/dbraw/zinc/93/56/23/307935623.db2.gz UZJDZZHAOOMLEQ-FVCICTRLSA-N -1 1 314.389 1.899 20 0 DDADMM O=C(Cc1ccc2c(c1)NCC2)Nc1n[n-]c(C(F)(F)F)n1 ZINC000567071260 308070673 /nfs/dbraw/zinc/07/06/73/308070673.db2.gz RCQURRVWAICQQD-UHFFFAOYSA-N -1 1 311.267 1.973 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1nc2ccccc2s1)C1CC1 ZINC000567783220 308095574 /nfs/dbraw/zinc/09/55/74/308095574.db2.gz QDLQBAOJUINULA-LLVKDONJSA-N -1 1 312.416 2.000 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)Cc1cccc(F)c1F)C(=O)OC ZINC000568261009 308114602 /nfs/dbraw/zinc/11/46/02/308114602.db2.gz NSIXVUAKSGBSGV-NSHDSACASA-N -1 1 321.345 1.726 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC(C)(C)OC)C1CCCCC1 ZINC000568447865 308117986 /nfs/dbraw/zinc/11/79/86/308117986.db2.gz LDQKPMNFJOEKLT-LBPRGKRZSA-N -1 1 321.439 1.453 20 0 DDADMM CCC[C@H](CC)S(=O)(=O)[N-]c1cn(CC)nc1C(N)=O ZINC000569460113 308144210 /nfs/dbraw/zinc/14/42/10/308144210.db2.gz AZUIJKSKQMTHBW-VIFPVBQESA-N -1 1 302.400 1.322 20 0 DDADMM Cc1sc(N2CCN(CC(C)(C)O)[C@H](C)C2)nc1C(=O)[O-] ZINC000570686939 308176964 /nfs/dbraw/zinc/17/69/64/308176964.db2.gz PTDBSLJZFZRVCN-SECBINFHSA-N -1 1 313.423 1.431 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)CNC[C@@H]3CCCO3)[nH][n-]2)s1 ZINC000570923938 308185683 /nfs/dbraw/zinc/18/56/83/308185683.db2.gz ZRKZFJUSGQZTTE-NSHDSACASA-N -1 1 320.418 1.576 20 0 DDADMM CCOc1cc(C(=O)N[C@@H]2COC[C@H]2OC)cc(Cl)c1[O-] ZINC000571526523 308197365 /nfs/dbraw/zinc/19/73/65/308197365.db2.gz VGHWOAQOTCGAQZ-ZYHUDNBSSA-N -1 1 315.753 1.588 20 0 DDADMM COc1ccccc1CN(CCOCC(=O)[O-])C[C@H]1CCCO1 ZINC000574331583 308268308 /nfs/dbraw/zinc/26/83/08/308268308.db2.gz GCDGUQAZAHJXPR-OAHLLOKOSA-N -1 1 323.389 1.777 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC2(CCC2)c2ccccc21 ZINC000575968543 308283569 /nfs/dbraw/zinc/28/35/69/308283569.db2.gz YZBXOYVSLNLHOA-UHFFFAOYSA-N -1 1 321.340 1.500 20 0 DDADMM CCCC[C@H](Nc1nc(C(F)(F)F)nc2[nH]cnc21)C(=O)[O-] ZINC000576449270 308315197 /nfs/dbraw/zinc/31/51/97/308315197.db2.gz QTOBALBMMHGVQH-LURJTMIESA-N -1 1 317.271 1.849 20 0 DDADMM Cc1ncc(CNC(=O)c2ccc3ccccc3c2[O-])c(N)n1 ZINC000584313046 332385679 /nfs/dbraw/zinc/38/56/79/332385679.db2.gz BTEAFDQHDITVSJ-UHFFFAOYSA-N -1 1 308.341 1.986 20 0 DDADMM COc1cccc2c1[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)CC2 ZINC000582656992 337121652 /nfs/dbraw/zinc/12/16/52/337121652.db2.gz RRVBPWUCCHARBU-JQWIXIFHSA-N -1 1 315.377 1.500 20 0 DDADMM O=c1cc(/C=C/c2cccc(-n3cccn3)c2)nc2nc[n-]n21 ZINC000583042871 337229706 /nfs/dbraw/zinc/22/97/06/337229706.db2.gz GYAXOWHVXCNTQW-AATRIKPKSA-N -1 1 304.313 1.774 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1c(C)nn(C)c1C)C1CCCC1 ZINC000656614894 484244570 /nfs/dbraw/zinc/24/45/70/484244570.db2.gz RPFAZMZCOZMJQO-ZDUSSCGKSA-N -1 1 315.439 1.984 20 0 DDADMM CCc1ncc([N-]S(=O)(=O)C[C@@H](OC)C2CCCC2)cn1 ZINC000656626393 484249520 /nfs/dbraw/zinc/24/95/20/484249520.db2.gz OHMDHKJRZQKPGE-CYBMUJFWSA-N -1 1 313.423 1.986 20 0 DDADMM O=C([O-])C1(C(=O)Nc2ccc3[nH]nnc3c2)CC2(CCC2)C1 ZINC000659118477 484638874 /nfs/dbraw/zinc/63/88/74/484638874.db2.gz GSIQZGIHAKRJOI-UHFFFAOYSA-N -1 1 300.318 1.932 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1cccc(-c2nnc[nH]2)c1)C1CCC1 ZINC000663152582 484706622 /nfs/dbraw/zinc/70/66/22/484706622.db2.gz LGKHEWJLTDQAMC-CYBMUJFWSA-N -1 1 314.345 1.702 20 0 DDADMM Cn1nc(C(F)(F)F)cc1CNC1(c2nnn[n-]2)CCCC1 ZINC000667925924 484858309 /nfs/dbraw/zinc/85/83/09/484858309.db2.gz RFMGHOLDERZVKA-UHFFFAOYSA-N -1 1 315.303 1.511 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)NC(=O)CO3)cn1 ZINC000671657789 485160473 /nfs/dbraw/zinc/16/04/73/485160473.db2.gz YFZNSJDKAVVDOX-UHFFFAOYSA-N -1 1 319.342 1.522 20 0 DDADMM CSc1ccc(O)c(C(=O)NC[C@@H]2C[N@@H+](C)CCN2C)c1 ZINC000668582269 485226995 /nfs/dbraw/zinc/22/69/95/485226995.db2.gz UNKOIQCBDPOXFV-LLVKDONJSA-N -1 1 309.435 1.090 20 0 DDADMM O=C(C(=O)N1CCc2ccc(O)cc2CC1)c1ccc([O-])cc1 ZINC000672840405 485356773 /nfs/dbraw/zinc/35/67/73/485356773.db2.gz MRIYKBCHGTYUJM-UHFFFAOYSA-N -1 1 311.337 1.908 20 0 DDADMM Cc1nn(C)cc1[C@H]1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000672996364 485377102 /nfs/dbraw/zinc/37/71/02/485377102.db2.gz IPOVPSMGEYPTTJ-OAHLLOKOSA-N -1 1 313.357 1.981 20 0 DDADMM COc1cc(CNC(=O)c2c(C)[n-]c(=O)nc2SC)ccn1 ZINC000673830195 485453280 /nfs/dbraw/zinc/45/32/80/485453280.db2.gz BOQYYERCFDKXCU-UHFFFAOYSA-N -1 1 320.374 1.546 20 0 DDADMM CCC[C@H](NC(=O)CN(C)c1ccc(Cl)cn1)c1nn[n-]n1 ZINC000675144303 485866408 /nfs/dbraw/zinc/86/64/08/485866408.db2.gz LBGHUBHZIMCEOP-JTQLQIEISA-N -1 1 323.788 1.342 20 0 DDADMM CCCOc1ccc(C(=O)NCc2nn[n-]n2)c(OCCC)c1 ZINC000683243722 485917586 /nfs/dbraw/zinc/91/75/86/485917586.db2.gz FXIONQSVCAVBMH-UHFFFAOYSA-N -1 1 319.365 1.707 20 0 DDADMM CC1CCN(CC(=O)N[C@@H](c2nnn[n-]2)c2ccccc2)CC1 ZINC000676122641 486123064 /nfs/dbraw/zinc/12/30/64/486123064.db2.gz CIYYERPFUSBQLX-OAHLLOKOSA-N -1 1 314.393 1.137 20 0 DDADMM CC1(C)CC[C@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000683893144 486148771 /nfs/dbraw/zinc/14/87/71/486148771.db2.gz WVJPBDNTOMHUCK-VXGBXAGGSA-N -1 1 301.350 1.363 20 0 DDADMM Cc1nnc([C@H](C)NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)o1 ZINC000676394225 486215056 /nfs/dbraw/zinc/21/50/56/486215056.db2.gz XKINPWUIYBLZRB-QMMMGPOBSA-N -1 1 319.365 1.971 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCC[C@@H](C(N)=O)C2)sc1C ZINC000676415329 486221384 /nfs/dbraw/zinc/22/13/84/486221384.db2.gz XWMCISNVKHEMCF-ZJUUUORDSA-N -1 1 317.436 1.082 20 0 DDADMM NC(=O)[C@H](Cc1ccccc1)NC(=O)c1ccc([O-])c(F)c1 ZINC000681023214 486324519 /nfs/dbraw/zinc/32/45/19/486324519.db2.gz XSUOJSBPBPPZAO-ZDUSSCGKSA-N -1 1 302.305 1.358 20 0 DDADMM COc1cc(NC(=O)c2n[nH]c(C)c2[O-])cc(-n2cccn2)c1 ZINC000684351854 486329139 /nfs/dbraw/zinc/32/91/39/486329139.db2.gz VTTRYEBCHGWWKJ-UHFFFAOYSA-N -1 1 313.317 1.870 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCN(C)c2ccccc21)c1nn[n-]n1 ZINC000676823212 486334696 /nfs/dbraw/zinc/33/46/96/486334696.db2.gz RQATYWWOZBSRJC-QWHCGFSZSA-N -1 1 314.393 1.781 20 0 DDADMM CO[C@@H]1CC[C@@H]2OCCN(C(=O)c3ccc([O-])c(F)c3)[C@@H]2C1 ZINC000681059382 486334821 /nfs/dbraw/zinc/33/48/21/486334821.db2.gz PIIYTVOHYSFXOC-KYOSRNDESA-N -1 1 309.337 1.940 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000681070132 486337589 /nfs/dbraw/zinc/33/75/89/486337589.db2.gz WZMXTQCEGONPKE-SNVBAGLBSA-N -1 1 301.339 1.181 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCc4c[nH]nc4C3)cnc2n1 ZINC000676830329 486338451 /nfs/dbraw/zinc/33/84/51/486338451.db2.gz RRQMKQFFLFGDRA-UHFFFAOYSA-N -1 1 309.329 1.565 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CCc3c[nH]nc3C1)c2=O ZINC000676830329 486338454 /nfs/dbraw/zinc/33/84/54/486338454.db2.gz RRQMKQFFLFGDRA-UHFFFAOYSA-N -1 1 309.329 1.565 20 0 DDADMM CN(C)C(=O)c1ccnc(NC(=O)c2ccc([O-])c(F)c2)c1 ZINC000681089482 486347034 /nfs/dbraw/zinc/34/70/34/486347034.db2.gz KLZYYGZRSXACCJ-UHFFFAOYSA-N -1 1 303.293 1.880 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC1CC1)c1cc(F)c(F)cc1F ZINC000677243573 486424332 /nfs/dbraw/zinc/42/43/32/486424332.db2.gz YGFKWAGHKQYKAI-MRVPVSSYSA-N -1 1 309.309 1.543 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)[C@H]1CCCCO1 ZINC000685502693 486551919 /nfs/dbraw/zinc/55/19/19/486551919.db2.gz QREQRGHQUMGELI-SNVBAGLBSA-N -1 1 317.411 1.439 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCC1=O ZINC000677868657 486561165 /nfs/dbraw/zinc/56/11/65/486561165.db2.gz LLNRKQMRGXIWJI-QKCSRTOESA-N -1 1 313.361 1.411 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)[C@H](C)OC)c(F)c1 ZINC000685605687 486573041 /nfs/dbraw/zinc/57/30/41/486573041.db2.gz XHWLELXGFOIBJK-SFYZADRCSA-N -1 1 309.334 1.675 20 0 DDADMM C[C@H](Cc1ccc(Br)cc1)C(=O)NCc1nn[n-]n1 ZINC000677969580 486595089 /nfs/dbraw/zinc/59/50/89/486595089.db2.gz UCXZRTNMPGZGLW-MRVPVSSYSA-N -1 1 324.182 1.457 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H]1CCc2nncn2C1 ZINC000629380537 490576821 /nfs/dbraw/zinc/57/68/21/490576821.db2.gz RUQGOYRVRQRQLE-LLVKDONJSA-N -1 1 324.344 1.371 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N2CCN3CCC2CC3)c1 ZINC000424801908 533807862 /nfs/dbraw/zinc/80/78/62/533807862.db2.gz YIIRYJRNRCXNBT-QFIPXVFZSA-N -1 1 322.430 1.109 20 0 DDADMM C[C@@H](C[S@@](C)=O)[N-]S(=O)(=O)c1sccc1Cl ZINC000451398631 534219778 /nfs/dbraw/zinc/21/97/78/534219778.db2.gz WXDAXLHIFRDQAI-WDDATRMLSA-N -1 1 301.842 1.447 20 0 DDADMM COC(=O)[C@@H](C)N(C)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000435945541 534224299 /nfs/dbraw/zinc/22/42/99/534224299.db2.gz VDEWHSMNIIXHFV-SSDOTTSWSA-N -1 1 301.726 1.688 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@]2(OC(C)C)CCOC2)c1 ZINC000451456278 534231543 /nfs/dbraw/zinc/23/15/43/534231543.db2.gz LEOQOVLYZWDVKL-INIZCTEOSA-N -1 1 323.345 1.701 20 0 DDADMM COC(=O)c1cnc([C@H](C)[N-]S(=O)(=O)c2ccoc2)s1 ZINC000425202686 534310136 /nfs/dbraw/zinc/31/01/36/534310136.db2.gz KXRVNCIQINDWGV-ZETCQYMHSA-N -1 1 316.360 1.562 20 0 DDADMM CC(=O)[C@@H]1C[C@H](C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1(C)C ZINC000156637323 518208685 /nfs/dbraw/zinc/20/86/85/518208685.db2.gz ZCVXXCJPPZUOIK-GRYCIOLGSA-N -1 1 305.382 1.157 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)C(=O)NC(C)C)c2=O ZINC000412861788 534400450 /nfs/dbraw/zinc/40/04/50/534400450.db2.gz YSWYIXXSQOXIHR-JTQLQIEISA-N -1 1 316.361 1.287 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)C(=O)NC(C)C)cnc2n1 ZINC000412861788 534400465 /nfs/dbraw/zinc/40/04/65/534400465.db2.gz YSWYIXXSQOXIHR-JTQLQIEISA-N -1 1 316.361 1.287 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@@H]3CCC[C@H](F)C3)CC2)s[n-]1 ZINC000424706416 534841051 /nfs/dbraw/zinc/84/10/51/534841051.db2.gz BFGGJTCHQUPWTO-ZJUUUORDSA-N -1 1 313.402 1.128 20 0 DDADMM CC(C)(C)n1cc([N-]S(=O)(=O)CCOCC2CC2)cn1 ZINC000424061340 526927460 /nfs/dbraw/zinc/92/74/60/526927460.db2.gz DZWCFUUESYZDLG-UHFFFAOYSA-N -1 1 301.412 1.806 20 0 DDADMM CC(C)(O)C1CN(C(=O)c2ccc(Br)c([O-])c2)C1 ZINC000331994113 527315093 /nfs/dbraw/zinc/31/50/93/527315093.db2.gz QCDIWRJOVIWDJY-UHFFFAOYSA-N -1 1 314.179 1.998 20 0 DDADMM CC1(CO)CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000332512413 527998906 /nfs/dbraw/zinc/99/89/06/527998906.db2.gz KLCOEMLLRHVDCS-UHFFFAOYSA-N -1 1 301.346 1.158 20 0 DDADMM CCOC(=O)c1noc(CSc2nc(C3CC3)cc(=O)[n-]2)n1 ZINC000413000238 528389752 /nfs/dbraw/zinc/38/97/52/528389752.db2.gz ADVMBBXYVFGSGZ-UHFFFAOYSA-N -1 1 322.346 1.912 20 0 DDADMM CCCNC(=O)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000298179603 528399645 /nfs/dbraw/zinc/39/96/45/528399645.db2.gz YUOOPOVUGMFGLX-UHFFFAOYSA-N -1 1 308.382 1.044 20 0 DDADMM CC(C)[C@@H]([N-]c1noc(C(C)(C)C)n1)C(=O)N1CCOCC1 ZINC000302123832 528601698 /nfs/dbraw/zinc/60/16/98/528601698.db2.gz HLAMETRFWLRZML-LLVKDONJSA-N -1 1 310.398 1.662 20 0 DDADMM CN(Cc1nc2ccccc2n1C)c1nccnc1-c1nnn[n-]1 ZINC000736830859 598933540 /nfs/dbraw/zinc/93/35/40/598933540.db2.gz AUAAHEFFHFQGAH-UHFFFAOYSA-N -1 1 321.348 1.180 20 0 DDADMM CN(Cc1nc2ccccc2n1C)c1nccnc1-c1nn[n-]n1 ZINC000736830859 598933541 /nfs/dbraw/zinc/93/35/41/598933541.db2.gz AUAAHEFFHFQGAH-UHFFFAOYSA-N -1 1 321.348 1.180 20 0 DDADMM CCc1ccccc1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736695449 598940976 /nfs/dbraw/zinc/94/09/76/598940976.db2.gz LYGOAQQYLUASGT-UHFFFAOYSA-N -1 1 308.345 1.754 20 0 DDADMM CCc1ccccc1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736695449 598940977 /nfs/dbraw/zinc/94/09/77/598940977.db2.gz LYGOAQQYLUASGT-UHFFFAOYSA-N -1 1 308.345 1.754 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735859719 598992227 /nfs/dbraw/zinc/99/22/27/598992227.db2.gz ZKDRDGIJDLIVGI-UHFFFAOYSA-N -1 1 314.271 1.675 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735859719 598992229 /nfs/dbraw/zinc/99/22/29/598992229.db2.gz ZKDRDGIJDLIVGI-UHFFFAOYSA-N -1 1 314.271 1.675 20 0 DDADMM CCN1CCCC[C@H]1C(=O)Nc1ccc(OCC(=O)[O-])cc1 ZINC000737114164 599733541 /nfs/dbraw/zinc/73/35/41/599733541.db2.gz HPVRMUBUJGEYNY-AWEZNQCLSA-N -1 1 306.362 1.963 20 0 DDADMM CC1(C)CCCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736210158 599093292 /nfs/dbraw/zinc/09/32/92/599093292.db2.gz GKWAQHUIEWSRLP-UHFFFAOYSA-N -1 1 300.366 1.914 20 0 DDADMM CC1(C)CCCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736210158 599093295 /nfs/dbraw/zinc/09/32/95/599093295.db2.gz GKWAQHUIEWSRLP-UHFFFAOYSA-N -1 1 300.366 1.914 20 0 DDADMM CC(C)C[C@@H](CO)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000820055858 599104722 /nfs/dbraw/zinc/10/47/22/599104722.db2.gz KWHZAZXOIGYCJI-LURJTMIESA-N -1 1 302.791 1.796 20 0 DDADMM CC(C)C[C@@H](CO)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000820055858 599104723 /nfs/dbraw/zinc/10/47/23/599104723.db2.gz KWHZAZXOIGYCJI-LURJTMIESA-N -1 1 302.791 1.796 20 0 DDADMM CCc1nnc([C@@H](C)OC(=O)c2ccc(-c3nnn[n-]3)nc2)o1 ZINC000736706238 599135164 /nfs/dbraw/zinc/13/51/64/599135164.db2.gz JFQQWRPONFESMH-SSDOTTSWSA-N -1 1 315.293 1.125 20 0 DDADMM CCc1nnc([C@@H](C)OC(=O)c2ccc(-c3nn[n-]n3)nc2)o1 ZINC000736706238 599135167 /nfs/dbraw/zinc/13/51/67/599135167.db2.gz JFQQWRPONFESMH-SSDOTTSWSA-N -1 1 315.293 1.125 20 0 DDADMM CN(CCC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000818429122 596988641 /nfs/dbraw/zinc/98/86/41/596988641.db2.gz OWGKLANZBVSPLN-ZDUSSCGKSA-N -1 1 323.393 1.923 20 0 DDADMM CSc1ccc(CN(C)C(=O)CN(C)CCC(=O)[O-])cc1 ZINC000738142937 597013144 /nfs/dbraw/zinc/01/31/44/597013144.db2.gz BOKJAAWUYKGZRK-UHFFFAOYSA-N -1 1 310.419 1.773 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)N2CCN(CC3CC3)CC2)cc1 ZINC000390664083 597299947 /nfs/dbraw/zinc/29/99/47/597299947.db2.gz QODDTQBFJKAWAE-UHFFFAOYSA-N -1 1 317.389 1.622 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)c1cccc(Br)c1O ZINC000817762789 597469421 /nfs/dbraw/zinc/46/94/21/597469421.db2.gz YSKKKMOPUKKAFO-LURJTMIESA-N -1 1 302.124 1.700 20 0 DDADMM CCOC(=O)[C@H](C)N(C)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821313469 607304485 /nfs/dbraw/zinc/30/44/85/607304485.db2.gz FUGFJMCCIMQKIE-ZETCQYMHSA-N -1 1 310.745 1.303 20 0 DDADMM CCOC(=O)[C@H](C)N(C)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821313469 607304486 /nfs/dbraw/zinc/30/44/86/607304486.db2.gz FUGFJMCCIMQKIE-ZETCQYMHSA-N -1 1 310.745 1.303 20 0 DDADMM Cc1nn(C)c2nc(Cl)c(CN3CC[C@@H](C(=O)[O-])C3)cc12 ZINC000819029920 597527935 /nfs/dbraw/zinc/52/79/35/597527935.db2.gz MPRUNPVXMVWPIZ-SECBINFHSA-N -1 1 308.769 1.837 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCOC[C@H]2C2CC2)CCCCC1 ZINC000821388891 598076626 /nfs/dbraw/zinc/07/66/26/598076626.db2.gz XJSYLUBFQSMGDS-ZDUSSCGKSA-N -1 1 310.394 1.001 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)Nc2ccccc2C(=O)[O-])n[nH]1 ZINC000820492607 598179958 /nfs/dbraw/zinc/17/99/58/598179958.db2.gz NXLONPOKEYFNNN-MRVPVSSYSA-N -1 1 303.322 1.948 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)Nc1nnc(-c2ccco2)o1)C(=O)[O-] ZINC000820465810 598187404 /nfs/dbraw/zinc/18/74/04/598187404.db2.gz UINZOEKEVMHYDN-KWQFWETISA-N -1 1 322.321 1.357 20 0 DDADMM O=S(=O)(Oc1ccccc1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738349063 598259873 /nfs/dbraw/zinc/25/98/73/598259873.db2.gz YOPVDGJOUJEQLA-UHFFFAOYSA-N -1 1 321.293 1.169 20 0 DDADMM O=S(=O)(Oc1ccccc1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738349063 598259875 /nfs/dbraw/zinc/25/98/75/598259875.db2.gz YOPVDGJOUJEQLA-UHFFFAOYSA-N -1 1 321.293 1.169 20 0 DDADMM O=S(=O)(Oc1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738348666 598259921 /nfs/dbraw/zinc/25/99/21/598259921.db2.gz WAUZRICWICRFCS-UHFFFAOYSA-N -1 1 303.303 1.029 20 0 DDADMM O=S(=O)(Oc1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738348666 598259923 /nfs/dbraw/zinc/25/99/23/598259923.db2.gz WAUZRICWICRFCS-UHFFFAOYSA-N -1 1 303.303 1.029 20 0 DDADMM Cc1ccccc1N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000737435860 598337730 /nfs/dbraw/zinc/33/77/30/598337730.db2.gz LLUXCIOPBHLQQG-UHFFFAOYSA-N -1 1 322.376 1.292 20 0 DDADMM Cc1ccccc1N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000737435860 598337731 /nfs/dbraw/zinc/33/77/31/598337731.db2.gz LLUXCIOPBHLQQG-UHFFFAOYSA-N -1 1 322.376 1.292 20 0 DDADMM Cc1ccc(OCCNc2nccnc2-c2nnn[n-]2)c(C)c1 ZINC000737365180 598339621 /nfs/dbraw/zinc/33/96/21/598339621.db2.gz GJKSTORIIWETNP-UHFFFAOYSA-N -1 1 311.349 1.764 20 0 DDADMM Cc1ccc(OCCNc2nccnc2-c2nn[n-]n2)c(C)c1 ZINC000737365180 598339624 /nfs/dbraw/zinc/33/96/24/598339624.db2.gz GJKSTORIIWETNP-UHFFFAOYSA-N -1 1 311.349 1.764 20 0 DDADMM CCOc1cc(Nc2nccnc2-c2nnn[n-]2)ccc1OC ZINC000736636238 598345806 /nfs/dbraw/zinc/34/58/06/598345806.db2.gz PSJXQFMCQGRXCD-UHFFFAOYSA-N -1 1 313.321 1.808 20 0 DDADMM CCOc1cc(Nc2nccnc2-c2nn[n-]n2)ccc1OC ZINC000736636238 598345808 /nfs/dbraw/zinc/34/58/08/598345808.db2.gz PSJXQFMCQGRXCD-UHFFFAOYSA-N -1 1 313.321 1.808 20 0 DDADMM COc1c(C)cnc(CNc2nccnc2-c2nnn[n-]2)c1C ZINC000737023812 598350103 /nfs/dbraw/zinc/35/01/03/598350103.db2.gz DXMCBHDIIAXNPN-UHFFFAOYSA-N -1 1 312.337 1.289 20 0 DDADMM COc1c(C)cnc(CNc2nccnc2-c2nn[n-]n2)c1C ZINC000737023812 598350105 /nfs/dbraw/zinc/35/01/05/598350105.db2.gz DXMCBHDIIAXNPN-UHFFFAOYSA-N -1 1 312.337 1.289 20 0 DDADMM C[C@@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)CCCO1 ZINC000736292855 598457479 /nfs/dbraw/zinc/45/74/79/598457479.db2.gz MGQAQNBWYNTEKH-ZCFIWIBFSA-N -1 1 300.775 1.592 20 0 DDADMM C[C@@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)CCCO1 ZINC000736292855 598457481 /nfs/dbraw/zinc/45/74/81/598457481.db2.gz MGQAQNBWYNTEKH-ZCFIWIBFSA-N -1 1 300.775 1.592 20 0 DDADMM CCCc1nc(Cn2cccc(-c3nn[n-]n3)c2=O)cs1 ZINC000736495236 598675796 /nfs/dbraw/zinc/67/57/96/598675796.db2.gz JVPVVDAKWXCNMV-UHFFFAOYSA-N -1 1 302.363 1.486 20 0 DDADMM C[C@H](CNC(=O)CCCNC(=O)[O-])N1CCc2ccccc2C1 ZINC000736595858 599719213 /nfs/dbraw/zinc/71/92/13/599719213.db2.gz LPXMCUAQKDRINY-CYBMUJFWSA-N -1 1 319.405 1.597 20 0 DDADMM COc1cccc([C@H](CNC(=O)C(C)(C)NC(=O)[O-])N(C)C)c1 ZINC000738092491 599726668 /nfs/dbraw/zinc/72/66/68/599726668.db2.gz PQFSGABPZOPLMO-ZDUSSCGKSA-N -1 1 323.393 1.460 20 0 DDADMM O=C([O-])CCCCCCNC(=O)N1CCN2CCCC[C@@H]2C1 ZINC000317075250 599831295 /nfs/dbraw/zinc/83/12/95/599831295.db2.gz VUWGIXAQBPNROG-CQSZACIVSA-N -1 1 311.426 1.901 20 0 DDADMM O=C([O-])c1coc(CN2CCC(C(=O)N3CCOCC3)CC2)c1 ZINC000740270930 599847486 /nfs/dbraw/zinc/84/74/86/599847486.db2.gz YRETUVONJMELDC-UHFFFAOYSA-N -1 1 322.361 1.049 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C(C)(C)C(=O)[O-])c1Br ZINC000738820817 599848212 /nfs/dbraw/zinc/84/82/12/599848212.db2.gz KFWDMGFAZUZUDE-UHFFFAOYSA-N -1 1 304.144 1.416 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)CN1CCC(C(=O)[O-])CC1 ZINC000738120563 599967092 /nfs/dbraw/zinc/96/70/92/599967092.db2.gz MUMXXWRNWMCLFW-GFCCVEGCSA-N -1 1 320.389 1.669 20 0 DDADMM CCCN1CCN(C(=O)CCc2ccc(C(=O)[O-])cc2)CC1 ZINC000737029916 600069248 /nfs/dbraw/zinc/06/92/48/600069248.db2.gz HKDJUQMEEXECQH-UHFFFAOYSA-N -1 1 304.390 1.872 20 0 DDADMM Cc1ccccc1CNC(=O)CN1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000738772038 600305238 /nfs/dbraw/zinc/30/52/38/600305238.db2.gz GTBLNOLEIFCRSL-HNNXBMFYSA-N -1 1 316.401 1.798 20 0 DDADMM Cc1oc(C(=O)[O-])cc1CN1CCC[C@H](N2CCCC2=O)C1 ZINC000738956688 600335089 /nfs/dbraw/zinc/33/50/89/600335089.db2.gz DPBAKLSQGDYQBL-ZDUSSCGKSA-N -1 1 306.362 1.873 20 0 DDADMM CN([C@@H]1CCN(C2CC2)C1)S(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000737387885 600349132 /nfs/dbraw/zinc/34/91/32/600349132.db2.gz VQJWLPJGOGFKSL-CYBMUJFWSA-N -1 1 324.402 1.242 20 0 DDADMM C[C@H](CN(C)CC(=O)Nc1nc(-c2ccco2)cs1)C(=O)[O-] ZINC000736572812 600362709 /nfs/dbraw/zinc/36/27/09/600362709.db2.gz SCJVPEURYIEFMD-SECBINFHSA-N -1 1 323.374 1.994 20 0 DDADMM COc1cc(C=CC(=O)[O-])ccc1OS(=O)(=O)c1c[nH]cn1 ZINC000737879035 600401413 /nfs/dbraw/zinc/40/14/13/600401413.db2.gz OEYYDXMRFBWWGJ-HYXAFXHYSA-N -1 1 324.314 1.284 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CN2C[C@H](c3ccccn3)CC2=O)C1 ZINC000738537276 600421644 /nfs/dbraw/zinc/42/16/44/600421644.db2.gz DTBRGOVOKGMWRO-CHWSQXEVSA-N -1 1 303.362 1.152 20 0 DDADMM O=C([O-])[C@@H](NCCS(=O)(=O)c1ccccc1)c1ccccc1 ZINC000739498745 600500347 /nfs/dbraw/zinc/50/03/47/600500347.db2.gz DEUKDLQRUBZFQD-HNNXBMFYSA-N -1 1 319.382 1.876 20 0 DDADMM COC(=O)CCN(Cc1cc(C(=O)[O-])co1)C[C@H]1CCCO1 ZINC000737598606 600511531 /nfs/dbraw/zinc/51/15/31/600511531.db2.gz FBGNBSAMXLCCCI-GFCCVEGCSA-N -1 1 311.334 1.522 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)[N-]c2ccc3[nH+]ccn3c2)o1 ZINC000740187779 600513408 /nfs/dbraw/zinc/51/34/08/600513408.db2.gz VKCKOMAXAIOVQW-UHFFFAOYSA-N -1 1 307.287 1.426 20 0 DDADMM O=C([O-])Cc1nc(NC(=O)c2cc(-c3ccccc3)no2)n[nH]1 ZINC000739908386 600534162 /nfs/dbraw/zinc/53/41/62/600534162.db2.gz BGGHWGGYQZIZLJ-UHFFFAOYSA-N -1 1 313.273 1.339 20 0 DDADMM O=C([O-])Cc1csc(NC(=O)N2CC[C@@H](N3CC=CC3)C2)n1 ZINC000833268962 600811511 /nfs/dbraw/zinc/81/15/11/600811511.db2.gz JIXMFZJJGMEEPK-LLVKDONJSA-N -1 1 322.390 1.248 20 0 DDADMM O=C([O-])c1cccc(NC(=O)CN2CCN3CCCC[C@H]3C2)c1 ZINC000833107513 600827169 /nfs/dbraw/zinc/82/71/69/600827169.db2.gz DWWWMPBWWPBJEW-HNNXBMFYSA-N -1 1 317.389 1.493 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CCN3CCCC[C@@H]3C2)cc1 ZINC000833108769 600827214 /nfs/dbraw/zinc/82/72/14/600827214.db2.gz XPQGFRMYPMUYBJ-OAHLLOKOSA-N -1 1 317.389 1.493 20 0 DDADMM C[C@H](C(=O)NCCSCC(=O)[O-])N(C)Cc1ccccc1 ZINC000826004791 600934073 /nfs/dbraw/zinc/93/40/73/600934073.db2.gz JYRKILVCFRPKFO-GFCCVEGCSA-N -1 1 310.419 1.441 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@@H]1CCC[C@@H](C(=O)[O-])C1 ZINC000317205883 600958567 /nfs/dbraw/zinc/95/85/67/600958567.db2.gz KEYRHAFQXFCFCI-KBUPBQIOSA-N -1 1 308.422 1.963 20 0 DDADMM CCCCC[C@@H](O)CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000829349252 601084753 /nfs/dbraw/zinc/08/47/53/601084753.db2.gz VQVMZQZHWAWPTJ-UONOGXRCSA-N -1 1 314.426 1.325 20 0 DDADMM Cc1cc(C)n([C@H](C)C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)n1 ZINC000832880922 601092191 /nfs/dbraw/zinc/09/21/91/601092191.db2.gz VEIDFNPVLOSPHE-GXTWGEPZSA-N -1 1 322.409 1.068 20 0 DDADMM Cc1ccc(NC(=O)NC[C@@H]2CN(C)CCN2C)cc1C(=O)[O-] ZINC000320645952 601137670 /nfs/dbraw/zinc/13/76/70/601137670.db2.gz HYMBLXQHXIBPPS-CYBMUJFWSA-N -1 1 320.393 1.061 20 0 DDADMM O=C([O-])c1sc2cccc(F)c2c1CN1C[C@@H](O)[C@@H](O)C1 ZINC000236589919 601154724 /nfs/dbraw/zinc/15/47/24/601154724.db2.gz AABUHEZXRAGKBG-AOOOYVTPSA-N -1 1 311.334 1.276 20 0 DDADMM COC[C@H]1CCCN1CC(=O)N(C)c1ccccc1C(=O)[O-] ZINC000831666830 601451681 /nfs/dbraw/zinc/45/16/81/601451681.db2.gz VSMFKPWQAKTMMC-GFCCVEGCSA-N -1 1 306.362 1.458 20 0 DDADMM C[C@@H]1CN(Cc2nc(Cc3ccccc3)no2)C[C@@H]1C(=O)[O-] ZINC000828463066 601509388 /nfs/dbraw/zinc/50/93/88/601509388.db2.gz OGUIHDZFORXAFY-YPMHNXCESA-N -1 1 301.346 1.813 20 0 DDADMM COc1cc(NC(=O)CN2C[C@H](C(=O)[O-])[C@H](C)C2)cc(OC)c1 ZINC000832017700 601515970 /nfs/dbraw/zinc/51/59/70/601515970.db2.gz ZFLNZVUAQRBQPO-YGRLFVJLSA-N -1 1 322.361 1.295 20 0 DDADMM CSc1cccc(NC(=O)CN2C[C@H](C)[C@@H](C(=O)[O-])C2)c1 ZINC000832786000 601527468 /nfs/dbraw/zinc/52/74/68/601527468.db2.gz USCZHTYOHMTQCR-GWCFXTLKSA-N -1 1 308.403 2.000 20 0 DDADMM Cc1cc(CC(=O)N[C@H](CC(=O)[O-])c2ccc(F)cc2)[nH]n1 ZINC000832882782 601671492 /nfs/dbraw/zinc/67/14/92/601671492.db2.gz BWBLOWUUBHSYDD-CYBMUJFWSA-N -1 1 305.309 1.732 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@H](C)NC(=O)[O-])N2CCCC2)o1 ZINC000740111821 601888615 /nfs/dbraw/zinc/88/86/15/601888615.db2.gz AAITUKDIHYOTGR-RYUDHWBXSA-N -1 1 309.366 1.497 20 0 DDADMM CC[C@@]1(C(C)C)NC(=O)N(CN2CC[C@](C)(C(=O)[O-])C2)C1=O ZINC000829044378 601892009 /nfs/dbraw/zinc/89/20/09/601892009.db2.gz QJRGKKDZWFURHU-GJZGRUSLSA-N -1 1 311.382 1.097 20 0 DDADMM O=C(CN1CCCC[C@@H]1[C@H]1CCCN1C(=O)[O-])NCC1CC1 ZINC000740369648 601926573 /nfs/dbraw/zinc/92/65/73/601926573.db2.gz PBNJSUUGYUITLJ-ZIAGYGMSSA-N -1 1 309.410 1.510 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1CC[C@](C)(C(=O)[O-])C1 ZINC000826940098 601935192 /nfs/dbraw/zinc/93/51/92/601935192.db2.gz GTLZYGFIUWQAQO-LBPRGKRZSA-N -1 1 310.316 1.582 20 0 DDADMM C[C@H](c1nnnn1-c1ccccc1)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000827966727 601939687 /nfs/dbraw/zinc/93/96/87/601939687.db2.gz UGXKMFKVVDTNEA-IAQYHMDHSA-N -1 1 301.350 1.520 20 0 DDADMM Cc1ccc(CNC(=O)[C@@H](C)N2CC[C@H](CNC(=O)[O-])C2)cc1 ZINC000740127710 601950193 /nfs/dbraw/zinc/95/01/93/601950193.db2.gz ZYGFGCIZYONXLL-UKRRQHHQSA-N -1 1 319.405 1.589 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)N2CC[C@@H](CNC(=O)[O-])C2)cc1 ZINC000739916221 601952774 /nfs/dbraw/zinc/95/27/74/601952774.db2.gz MKSVYCAUDSVNQV-NEPJUHHUSA-N -1 1 321.377 1.612 20 0 DDADMM C[C@@H](C(=O)N1CCCCCC1)N1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738618922 601973984 /nfs/dbraw/zinc/97/39/84/601973984.db2.gz VAQJGMJAUWSZCB-UONOGXRCSA-N -1 1 311.426 1.852 20 0 DDADMM O=C([O-])NC[C@H]1CCCCN1C[C@H]1COc2ccccc2O1 ZINC000740623433 602046906 /nfs/dbraw/zinc/04/69/06/602046906.db2.gz MFBXHWAZEZYGSK-OLZOCXBDSA-N -1 1 306.362 1.949 20 0 DDADMM Clc1ccc(N2CC[C@H]([C@@H]3CCOC3)C2)nc1-c1nnn[n-]1 ZINC000826352278 607544444 /nfs/dbraw/zinc/54/44/44/607544444.db2.gz VPXSRGPMYBDWGM-VHSXEESVSA-N -1 1 320.784 1.778 20 0 DDADMM Clc1ccc(N2CC[C@H]([C@@H]3CCOC3)C2)nc1-c1nn[n-]n1 ZINC000826352278 607544446 /nfs/dbraw/zinc/54/44/46/607544446.db2.gz VPXSRGPMYBDWGM-VHSXEESVSA-N -1 1 320.784 1.778 20 0 DDADMM CN1CCO[C@@H]2CN(c3nc(Cl)c(C(=O)[O-])s3)C[C@H]21 ZINC000830965503 602137883 /nfs/dbraw/zinc/13/78/83/602137883.db2.gz RMVAUNOANMEBJN-RNFRBKRXSA-N -1 1 303.771 1.014 20 0 DDADMM CN1CCO[C@H]2CN(c3nc(Cl)c(C(=O)[O-])s3)C[C@H]21 ZINC000830965506 602137906 /nfs/dbraw/zinc/13/79/06/602137906.db2.gz RMVAUNOANMEBJN-RQJHMYQMSA-N -1 1 303.771 1.014 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000736375430 602291135 /nfs/dbraw/zinc/29/11/35/602291135.db2.gz PDMHITNBMPPWSQ-GFCCVEGCSA-N -1 1 317.349 1.380 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000736375430 602291136 /nfs/dbraw/zinc/29/11/36/602291136.db2.gz PDMHITNBMPPWSQ-GFCCVEGCSA-N -1 1 317.349 1.380 20 0 DDADMM Cc1ccc(N2C(=O)C[C@@H](N3CC[C@](C)(C(=O)[O-])C3)C2=O)cc1 ZINC000832934618 602389945 /nfs/dbraw/zinc/38/99/45/602389945.db2.gz GRVFKDSZPNCRGH-DYVFJYSZSA-N -1 1 316.357 1.424 20 0 DDADMM C[C@](CNC(=O)[O-])(NC(=O)c1cc(F)cc2nc[nH]c21)C1CC1 ZINC000738923213 602503682 /nfs/dbraw/zinc/50/36/82/602503682.db2.gz DOZQUXJAUWRWHK-OAHLLOKOSA-N -1 1 320.324 1.868 20 0 DDADMM O=C([O-])NCC1CCN(CC[S@](=O)c2ccccc2)CC1 ZINC000740643709 602528614 /nfs/dbraw/zinc/52/86/14/602528614.db2.gz PZDHPEONHJQNHY-NRFANRHFSA-N -1 1 310.419 1.774 20 0 DDADMM CN(C)C(=O)c1cccc(CN2CCC(N(C)C(=O)[O-])CC2)c1 ZINC000737950065 602538415 /nfs/dbraw/zinc/53/84/15/602538415.db2.gz IWTNPHPFIMDXRP-UHFFFAOYSA-N -1 1 319.405 1.963 20 0 DDADMM C[C@@H](C1CC1)N(C(=O)CN1CC[C@@H](CN(C)C(=O)[O-])C1)C1CC1 ZINC000738891944 602543149 /nfs/dbraw/zinc/54/31/49/602543149.db2.gz SURYSEWTRIKUNN-STQMWFEESA-N -1 1 323.437 1.708 20 0 DDADMM CCCN(CC(=O)NCc1ccco1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739354225 602546626 /nfs/dbraw/zinc/54/66/26/602546626.db2.gz PGLAPAYHLHJGHX-GFCCVEGCSA-N -1 1 309.366 1.360 20 0 DDADMM Cn1ccc(S(=O)(=O)Nc2cc(NC(=O)[O-])ccc2F)n1 ZINC000740292105 602654726 /nfs/dbraw/zinc/65/47/26/602654726.db2.gz GIEIHCAEZQGFBY-UHFFFAOYSA-N -1 1 314.298 1.450 20 0 DDADMM Cc1cnn(C[C@@H]2CN(C[C@H]3CCCN(C(=O)[O-])C3)CCO2)c1 ZINC000740201203 602707003 /nfs/dbraw/zinc/70/70/03/602707003.db2.gz QUIVQVVWLRCJOL-CABCVRRESA-N -1 1 322.409 1.282 20 0 DDADMM CC(C)N1CCN(C(=O)NCC[C@H]2CCN(C(=O)[O-])C2)CC1 ZINC000738854065 602717972 /nfs/dbraw/zinc/71/79/72/602717972.db2.gz LWWHXTKUHLQHQP-ZDUSSCGKSA-N -1 1 312.414 1.112 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](CNC(=O)[O-])C2CCCCC2)c1C ZINC000740031536 602758451 /nfs/dbraw/zinc/75/84/51/602758451.db2.gz NZLOLKBZNALRFH-LBPRGKRZSA-N -1 1 308.382 1.973 20 0 DDADMM C[C@H]1CN(CC(=O)N(C)Cc2ccccc2)CC[C@H]1NC(=O)[O-] ZINC000739171429 602798915 /nfs/dbraw/zinc/79/89/15/602798915.db2.gz IHHWWWVOCOHXPS-DZGCQCFKSA-N -1 1 319.405 1.623 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)NC[C@H](NC(=O)[O-])C(C)C ZINC000740031147 602827965 /nfs/dbraw/zinc/82/79/65/602827965.db2.gz MKKSOZBOHBLPJV-LBPRGKRZSA-N -1 1 311.386 1.242 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)CC1CCN(C(=O)[O-])CC1 ZINC000738800667 602856379 /nfs/dbraw/zinc/85/63/79/602856379.db2.gz KCAPDYLZKVBKOI-CQSZACIVSA-N -1 1 311.426 1.565 20 0 DDADMM C[C@@H](C(=O)NCc1nnc[nH]1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823704755 602903301 /nfs/dbraw/zinc/90/33/01/602903301.db2.gz TVICRWWBKNJNGV-BXKDBHETSA-N -1 1 303.322 1.066 20 0 DDADMM Cc1cc(CNC(=O)[C@H](C)[C@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830040799 602933537 /nfs/dbraw/zinc/93/35/37/602933537.db2.gz DGKUXXBPQPDNLP-RISCZKNCSA-N -1 1 316.361 1.979 20 0 DDADMM O=S(=O)(NCc1ccoc1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826491974 607598239 /nfs/dbraw/zinc/59/82/39/607598239.db2.gz MLQBHCZOPQNRSQ-UHFFFAOYSA-N -1 1 323.309 1.077 20 0 DDADMM O=C([O-])N1CCC[C@@H](C(=O)Nc2ccn(-c3ccncc3)n2)C1 ZINC000738514770 603025966 /nfs/dbraw/zinc/02/59/66/603025966.db2.gz MOASKKUGNCPTPC-LLVKDONJSA-N -1 1 315.333 1.596 20 0 DDADMM O=C([O-])NC[C@@H](NC(=O)Cc1[nH]nc2ccccc21)C1CC1 ZINC000740603634 603060792 /nfs/dbraw/zinc/06/07/92/603060792.db2.gz KEMGSBCXUMNNOJ-CYBMUJFWSA-N -1 1 302.334 1.268 20 0 DDADMM O=C([O-])NCCN1CCC(NC(=O)NC2CCCCC2)CC1 ZINC000740660068 603084029 /nfs/dbraw/zinc/08/40/29/603084029.db2.gz YSLJDTDRQJJPPB-UHFFFAOYSA-N -1 1 312.414 1.350 20 0 DDADMM CCCCC[C@H](O)CC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000825267715 607606191 /nfs/dbraw/zinc/60/61/91/607606191.db2.gz LWWLHJSQQSAPKJ-LBPRGKRZSA-N -1 1 319.365 1.636 20 0 DDADMM CCCCC[C@H](O)CC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000825267715 607606192 /nfs/dbraw/zinc/60/61/92/607606192.db2.gz LWWLHJSQQSAPKJ-LBPRGKRZSA-N -1 1 319.365 1.636 20 0 DDADMM CN(CCNC(=O)[C@H]1CCCCN1Cc1ccccc1)C(=O)[O-] ZINC000739689460 603092047 /nfs/dbraw/zinc/09/20/47/603092047.db2.gz VFBPPOXBKLJVHO-OAHLLOKOSA-N -1 1 319.405 1.767 20 0 DDADMM COc1cccc([C@@H](CNC(=O)C[C@H](C)NC(=O)[O-])N(C)C)c1 ZINC000829544397 603251088 /nfs/dbraw/zinc/25/10/88/603251088.db2.gz UYFBXSJBQYYJKA-SMDDNHRTSA-N -1 1 323.393 1.460 20 0 DDADMM CC(C)C[C@H](C)N(C)C(=O)CN1CC[C@@H](NC(=O)[O-])[C@@H](C)C1 ZINC000738808753 603413969 /nfs/dbraw/zinc/41/39/69/603413969.db2.gz YGSLJVUGAADHFB-MELADBBJSA-N -1 1 313.442 1.857 20 0 DDADMM Cc1ccc2nc(CNC(=O)N(C)CCCNC(=O)[O-])[nH]c2c1 ZINC000830432349 603467281 /nfs/dbraw/zinc/46/72/81/603467281.db2.gz DRKPGLZXWHXOON-UHFFFAOYSA-N -1 1 319.365 1.670 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000738873333 603482794 /nfs/dbraw/zinc/48/27/94/603482794.db2.gz NBNQWFQENHDOOK-VIFPVBQESA-N -1 1 304.310 1.097 20 0 DDADMM CCN(CC1CCN([C@H](C)C(=O)NCC(C)C)CC1)C(=O)[O-] ZINC000827089915 603509925 /nfs/dbraw/zinc/50/99/25/603509925.db2.gz ZGOOAVZXTJCSSL-CYBMUJFWSA-N -1 1 313.442 1.859 20 0 DDADMM CNC(=O)c1cccc(CN2CCC[C@H](C)[C@H]2CNC(=O)[O-])c1 ZINC000828568123 603724864 /nfs/dbraw/zinc/72/48/64/603724864.db2.gz ZYHLAHKZGDEKOV-SWLSCSKDSA-N -1 1 319.405 1.914 20 0 DDADMM O=S(=O)(NCCC1CC1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826491146 607653583 /nfs/dbraw/zinc/65/35/83/607653583.db2.gz VUDGWUOLUKXKOS-UHFFFAOYSA-N -1 1 311.342 1.084 20 0 DDADMM O=S(=O)(NCCC1CC1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826491146 607653584 /nfs/dbraw/zinc/65/35/84/607653584.db2.gz VUDGWUOLUKXKOS-UHFFFAOYSA-N -1 1 311.342 1.084 20 0 DDADMM O=C([O-])N1CC(N2CCC(C(=O)Nc3cccc(F)c3)CC2)C1 ZINC000831786128 603923086 /nfs/dbraw/zinc/92/30/86/603923086.db2.gz XDSAQKZTVBHYOV-UHFFFAOYSA-N -1 1 321.352 1.838 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000826759184 603934924 /nfs/dbraw/zinc/93/49/24/603934924.db2.gz WXJAPVVFQZMMTC-SNVBAGLBSA-N -1 1 308.313 1.755 20 0 DDADMM COc1ccccc1NC(=O)CN(C)C1CCN(C(=O)[O-])CC1 ZINC000829637599 603982846 /nfs/dbraw/zinc/98/28/46/603982846.db2.gz FAIKDPMRMXORNZ-UHFFFAOYSA-N -1 1 321.377 1.708 20 0 DDADMM CN(CC(=O)N1CCc2ccccc21)C1CCN(C(=O)[O-])CC1 ZINC000828102751 603987793 /nfs/dbraw/zinc/98/77/93/603987793.db2.gz PGHRNJAUARKQDX-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)N(C)Cc2ccco2)C1 ZINC000825251770 604176546 /nfs/dbraw/zinc/17/65/46/604176546.db2.gz AVLUYOUAUCIHEP-OLZOCXBDSA-N -1 1 323.393 1.606 20 0 DDADMM Cc1ccccc1[C@@H](CO)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826305802 608185746 /nfs/dbraw/zinc/18/57/46/608185746.db2.gz IXGYLGGXXRDFOJ-CQSZACIVSA-N -1 1 324.344 1.034 20 0 DDADMM Cc1ccccc1[C@@H](CO)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826305802 608185747 /nfs/dbraw/zinc/18/57/47/608185747.db2.gz IXGYLGGXXRDFOJ-CQSZACIVSA-N -1 1 324.344 1.034 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(CCCCS(C)(=O)=O)CC1 ZINC000825286523 604346972 /nfs/dbraw/zinc/34/69/72/604346972.db2.gz FNOCHQQNOAMLHR-NSHDSACASA-N -1 1 306.428 1.179 20 0 DDADMM C[C@@H](CC(=O)N(C)Cc1nnc[nH]1)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000824558334 604358923 /nfs/dbraw/zinc/35/89/23/604358923.db2.gz OHKYDJZZTDHJTO-WDEREUQCSA-N -1 1 309.370 1.179 20 0 DDADMM C[C@H](CNC(=O)C1(NC(=O)[O-])CC1)N1CCc2ccccc2C1 ZINC000824914616 604425939 /nfs/dbraw/zinc/42/59/39/604425939.db2.gz FUARPUBWPVMMOY-GFCCVEGCSA-N -1 1 317.389 1.350 20 0 DDADMM CCc1[nH]c(C(=O)N2[C@H](C)CN(C)C[C@H]2C)c(C)c1C(=O)[O-] ZINC000833666678 604581664 /nfs/dbraw/zinc/58/16/64/604581664.db2.gz CWZOREVRBSYUKT-NXEZZACHSA-N -1 1 307.394 1.748 20 0 DDADMM CN(C)C(=O)c1ccc(CN2CCC3(C[C@H]3C(=O)[O-])CC2)[nH]1 ZINC000833687161 604646977 /nfs/dbraw/zinc/64/69/77/604646977.db2.gz JHNDHCGJHBXMSP-LBPRGKRZSA-N -1 1 305.378 1.403 20 0 DDADMM O=C([O-])[C@@H]1CCN(Cc2nc(-c3ccc(F)c(F)c3)no2)C1 ZINC000262657089 604670177 /nfs/dbraw/zinc/67/01/77/604670177.db2.gz MUUFRMDVQUGFBS-SECBINFHSA-N -1 1 309.272 1.921 20 0 DDADMM O=C([O-])[C@H]1CCCN1C(=O)c1cccc(Br)c1O ZINC000821509873 604700647 /nfs/dbraw/zinc/70/06/47/604700647.db2.gz ZZQAFTVMGSIOIP-SECBINFHSA-N -1 1 314.135 1.844 20 0 DDADMM Cc1cncc(/C=C/C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000832986666 604712354 /nfs/dbraw/zinc/71/23/54/604712354.db2.gz KEJGVLHSCMZFKW-XGACYXMMSA-N -1 1 317.389 1.411 20 0 DDADMM COC(=O)[C@@H](c1cccc(C(=O)[O-])c1)N1CCN(C)[C@H](C)C1 ZINC000833701573 604932550 /nfs/dbraw/zinc/93/25/50/604932550.db2.gz KVMZFEZIDDCJEX-BXUZGUMPSA-N -1 1 306.362 1.235 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)c1cncc(C(=O)[O-])c1 ZINC000833635932 605031056 /nfs/dbraw/zinc/03/10/56/605031056.db2.gz ZTFFXDMCIXJBPP-FZMZJTMJSA-N -1 1 303.362 1.479 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)C1CCC(F)(F)CC1 ZINC000216038354 605078375 /nfs/dbraw/zinc/07/83/75/605078375.db2.gz IOHFICUNNMDCBU-JTQLQIEISA-N -1 1 301.293 1.347 20 0 DDADMM C[C@@H](NC1CN(C(=O)[O-])C1)c1ccc(NC(=O)NC2CC2)cc1 ZINC000825484064 605097030 /nfs/dbraw/zinc/09/70/30/605097030.db2.gz DJPNBOJLDKOZOB-SNVBAGLBSA-N -1 1 318.377 1.983 20 0 DDADMM C[C@@H](NC1CN(C(=O)[O-])C1)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000825486349 605098272 /nfs/dbraw/zinc/09/82/72/605098272.db2.gz WZYIOZNZWUXSPE-SECBINFHSA-N -1 1 303.362 1.929 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1COc2ccccc21 ZINC000833624340 605100363 /nfs/dbraw/zinc/10/03/63/605100363.db2.gz ULGQSUTZFWFAOK-OCCSQVGLSA-N -1 1 318.373 1.170 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C/c1cccc(F)c1 ZINC000833621915 605113939 /nfs/dbraw/zinc/11/39/39/605113939.db2.gz OSNMRSLDEFQLHV-GFUIURDCSA-N -1 1 320.364 1.846 20 0 DDADMM C[C@@H]1CN(C(=O)CC[C@@H]2CCCCO2)CCN1CCC(=O)[O-] ZINC000833617768 605252911 /nfs/dbraw/zinc/25/29/11/605252911.db2.gz GVHBAISCOKKKGD-KGLIPLIRSA-N -1 1 312.410 1.343 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@@H](NC(=O)[O-])[C@H](C)C2)n[nH]1 ZINC000830028660 605300992 /nfs/dbraw/zinc/30/09/92/605300992.db2.gz BENRYZYJJSNLKO-GBIKHYSHSA-N -1 1 323.397 1.337 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@H](NC(=O)[O-])[C@H](C)C2)n[nH]1 ZINC000830028669 605301144 /nfs/dbraw/zinc/30/11/44/605301144.db2.gz BENRYZYJJSNLKO-NRUUGDAUSA-N -1 1 323.397 1.337 20 0 DDADMM CC[C@H]1CN(C(=O)NCc2cc(C)n[nH]2)CC[C@@H]1NC(=O)[O-] ZINC000833846323 605317668 /nfs/dbraw/zinc/31/76/68/605317668.db2.gz GSVGRKIVNDPQCW-JQWIXIFHSA-N -1 1 309.370 1.296 20 0 DDADMM C[C@]1(NC(=O)[O-])CCCC[C@H]1C(=O)N[C@@H]1CCc2[nH]cnc2C1 ZINC000833824613 605323620 /nfs/dbraw/zinc/32/36/20/605323620.db2.gz GGKFRNGNSAPCJQ-GDLVEWKHSA-N -1 1 320.393 1.600 20 0 DDADMM CCc1cc(CNC(=O)N(C2CC2)[C@H]2CCN(C(=O)[O-])C2)[nH]n1 ZINC000827556034 605399567 /nfs/dbraw/zinc/39/95/67/605399567.db2.gz CPBGVIXLUUOKTF-ZDUSSCGKSA-N -1 1 321.381 1.398 20 0 DDADMM O=C([O-])NC1CCN(Cc2ccc(N3CCCC3)nc2)CC1 ZINC000834190569 605485153 /nfs/dbraw/zinc/48/51/53/605485153.db2.gz UOICDWIOCRWCCG-UHFFFAOYSA-N -1 1 304.394 1.914 20 0 DDADMM Cc1cc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)ccc1O ZINC000833742203 605529674 /nfs/dbraw/zinc/52/96/74/605529674.db2.gz HZPATYQMVQXEAD-GFCCVEGCSA-N -1 1 306.362 1.322 20 0 DDADMM O=C([O-])N[C@@H]1CCN(Cc2ccc(C(=O)NC3CC3)cc2)C1 ZINC000834188863 605568163 /nfs/dbraw/zinc/56/81/63/605568163.db2.gz DIGJGTNRDRHWNQ-CQSZACIVSA-N -1 1 303.362 1.421 20 0 DDADMM CC(C)C[C@H](NC(=O)[O-])C(=O)Nc1cc(CN(C)C)ccn1 ZINC000824211066 605766728 /nfs/dbraw/zinc/76/67/28/605766728.db2.gz MLPJIAIRDMKAJK-LBPRGKRZSA-N -1 1 308.382 1.764 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)[O-])CCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000825832511 605766853 /nfs/dbraw/zinc/76/68/53/605766853.db2.gz SWTRIMURWPZTRS-MWLCHTKSSA-N -1 1 318.333 1.930 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CC[C@@H](NC(=O)[O-])C[C@H]1C ZINC000833844590 605771586 /nfs/dbraw/zinc/77/15/86/605771586.db2.gz JREPSCVTUJJNIK-MGPQQGTHSA-N -1 1 311.426 1.898 20 0 DDADMM O=C([O-])N1CC[C@@H](N(C(=O)c2cc(C3CC3)[nH]n2)C2CC2)C1 ZINC000831935908 605785472 /nfs/dbraw/zinc/78/54/72/605785472.db2.gz WWVIENGYGGVBEP-LLVKDONJSA-N -1 1 304.350 1.644 20 0 DDADMM O=C([O-])N[C@@H](CNS(=O)(=O)c1c(F)cccc1F)C1CC1 ZINC000834149799 605806165 /nfs/dbraw/zinc/80/61/65/605806165.db2.gz IMVIZRRUCRPBDI-JTQLQIEISA-N -1 1 320.317 1.289 20 0 DDADMM CC(=O)N(C)C1CCN(Cc2cc(C(=O)[O-])ccc2F)CC1 ZINC000833388737 605831024 /nfs/dbraw/zinc/83/10/24/605831024.db2.gz YBIZYRZXVOFFLO-UHFFFAOYSA-N -1 1 308.353 1.967 20 0 DDADMM CN(CC(C)(C)CNC(=O)[O-])C(=O)CN(C)[C@@H]1CCSC1 ZINC000833870847 605939572 /nfs/dbraw/zinc/93/95/72/605939572.db2.gz MVYDSMYVYAVAQD-LLVKDONJSA-N -1 1 317.455 1.176 20 0 DDADMM NC(=O)C[C@@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000822864983 606141005 /nfs/dbraw/zinc/14/10/05/606141005.db2.gz RBOMZGHQYXWUCZ-QMMMGPOBSA-N -1 1 321.772 1.007 20 0 DDADMM NC(=O)C[C@@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000822864983 606141006 /nfs/dbraw/zinc/14/10/06/606141006.db2.gz RBOMZGHQYXWUCZ-QMMMGPOBSA-N -1 1 321.772 1.007 20 0 DDADMM C[C@@H]1CN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C(C)(C)C1 ZINC000820768245 606146129 /nfs/dbraw/zinc/14/61/29/606146129.db2.gz LWIAEVNKSONVFR-VIFPVBQESA-N -1 1 322.394 1.071 20 0 DDADMM C[C@@H]1CN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C(C)(C)C1 ZINC000820768245 606146130 /nfs/dbraw/zinc/14/61/30/606146130.db2.gz LWIAEVNKSONVFR-VIFPVBQESA-N -1 1 322.394 1.071 20 0 DDADMM O=C(CCCc1ccccn1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822958774 606195953 /nfs/dbraw/zinc/19/59/53/606195953.db2.gz HBZWHEBZLDWNGH-UHFFFAOYSA-N -1 1 324.344 1.929 20 0 DDADMM CN(C)C(=O)c1ccc(Oc2ncccc2-c2nn[n-]n2)cc1 ZINC000821579778 606430512 /nfs/dbraw/zinc/43/05/12/606430512.db2.gz NKTZKGJGNAKACZ-UHFFFAOYSA-N -1 1 310.317 1.756 20 0 DDADMM CN(C)c1ncccc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821592874 606509971 /nfs/dbraw/zinc/50/99/71/606509971.db2.gz ZPXVYIVETWHAAG-UHFFFAOYSA-N -1 1 315.362 1.642 20 0 DDADMM CN(C)c1ncccc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821592874 606509973 /nfs/dbraw/zinc/50/99/73/606509973.db2.gz ZPXVYIVETWHAAG-UHFFFAOYSA-N -1 1 315.362 1.642 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCO[C@H]2CCCC[C@H]21 ZINC000823483633 606514280 /nfs/dbraw/zinc/51/42/80/606514280.db2.gz KHFXPLOCKXCPGW-ZJUUUORDSA-N -1 1 319.390 1.712 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCO[C@H]2CCCC[C@H]21 ZINC000823483633 606514282 /nfs/dbraw/zinc/51/42/82/606514282.db2.gz KHFXPLOCKXCPGW-ZJUUUORDSA-N -1 1 319.390 1.712 20 0 DDADMM COc1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)cc1 ZINC000821773067 606516687 /nfs/dbraw/zinc/51/66/87/606516687.db2.gz YUDDSCPLLQDJJH-UHFFFAOYSA-N -1 1 311.301 1.627 20 0 DDADMM COc1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)cc1 ZINC000821773067 606516688 /nfs/dbraw/zinc/51/66/88/606516688.db2.gz YUDDSCPLLQDJJH-UHFFFAOYSA-N -1 1 311.301 1.627 20 0 DDADMM COc1ccc(CCC(=O)Nc2n[nH]cc2-c2nnn[n-]2)cc1 ZINC000821790204 606532135 /nfs/dbraw/zinc/53/21/35/606532135.db2.gz JLEIAMIKCLLVTA-UHFFFAOYSA-N -1 1 313.321 1.170 20 0 DDADMM COc1ccc(CCC(=O)Nc2n[nH]cc2-c2nn[n-]n2)cc1 ZINC000821790204 606532137 /nfs/dbraw/zinc/53/21/37/606532137.db2.gz JLEIAMIKCLLVTA-UHFFFAOYSA-N -1 1 313.321 1.170 20 0 DDADMM COc1cccnc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821903678 606541259 /nfs/dbraw/zinc/54/12/59/606541259.db2.gz KGRHRXNQVKRFKN-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM COc1cccnc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821903678 606541261 /nfs/dbraw/zinc/54/12/61/606541261.db2.gz KGRHRXNQVKRFKN-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM CC(C)CC[C@@](C)(O)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820073058 606578354 /nfs/dbraw/zinc/57/83/54/606578354.db2.gz PKUGRFOXAFPOQI-CQSZACIVSA-N -1 1 323.422 1.845 20 0 DDADMM CC(C)CC[C@@](C)(O)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820073058 606578356 /nfs/dbraw/zinc/57/83/56/606578356.db2.gz PKUGRFOXAFPOQI-CQSZACIVSA-N -1 1 323.422 1.845 20 0 DDADMM CO[C@@]1(C)C[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1(C)C ZINC000821678440 606581450 /nfs/dbraw/zinc/58/14/50/606581450.db2.gz NTSSEVMGDBMSFC-YGRLFVJLSA-N -1 1 321.406 1.862 20 0 DDADMM CO[C@@]1(C)C[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1(C)C ZINC000821678440 606581451 /nfs/dbraw/zinc/58/14/51/606581451.db2.gz NTSSEVMGDBMSFC-YGRLFVJLSA-N -1 1 321.406 1.862 20 0 DDADMM COC(=O)[C@@H]1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C[C@H]1C ZINC000821657444 606729238 /nfs/dbraw/zinc/72/92/38/606729238.db2.gz NWVCFDORXPVGFD-HTQZYQBOSA-N -1 1 322.756 1.160 20 0 DDADMM COC(=O)[C@@H]1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C[C@H]1C ZINC000821657444 606729241 /nfs/dbraw/zinc/72/92/41/606729241.db2.gz NWVCFDORXPVGFD-HTQZYQBOSA-N -1 1 322.756 1.160 20 0 DDADMM CN(Cc1cccn1C)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821616014 606745871 /nfs/dbraw/zinc/74/58/71/606745871.db2.gz YYPQRCGKDMHULO-UHFFFAOYSA-N -1 1 302.363 1.539 20 0 DDADMM CN(Cc1cccn1C)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821616014 606745873 /nfs/dbraw/zinc/74/58/73/606745873.db2.gz YYPQRCGKDMHULO-UHFFFAOYSA-N -1 1 302.363 1.539 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)O1 ZINC000820971320 606840216 /nfs/dbraw/zinc/84/02/16/606840216.db2.gz BTGMCSYEDRBVIZ-CMPLNLGQSA-N -1 1 303.322 1.263 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)O1 ZINC000820971320 606840217 /nfs/dbraw/zinc/84/02/17/606840217.db2.gz BTGMCSYEDRBVIZ-CMPLNLGQSA-N -1 1 303.322 1.263 20 0 DDADMM C[C@H](Cc1cccc(O)c1)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820299824 607229475 /nfs/dbraw/zinc/22/94/75/607229475.db2.gz LCEMLWIQWYPABM-SNVBAGLBSA-N -1 1 324.344 1.328 20 0 DDADMM C[C@H](Cc1cccc(O)c1)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820299824 607229476 /nfs/dbraw/zinc/22/94/76/607229476.db2.gz LCEMLWIQWYPABM-SNVBAGLBSA-N -1 1 324.344 1.328 20 0 DDADMM CC(=O)c1cccc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000824029173 607860376 /nfs/dbraw/zinc/86/03/76/607860376.db2.gz GNSJYKFZCAPXRI-UHFFFAOYSA-N -1 1 323.312 1.821 20 0 DDADMM CC(=O)c1cccc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000824029173 607860377 /nfs/dbraw/zinc/86/03/77/607860377.db2.gz GNSJYKFZCAPXRI-UHFFFAOYSA-N -1 1 323.312 1.821 20 0 DDADMM CC[C@H]1CN(C(C)=O)CC[C@@H]1Nc1cccc(-c2nnn[n-]2)n1 ZINC000825212226 607904845 /nfs/dbraw/zinc/90/48/45/607904845.db2.gz QKTLHOQFHPWTCE-RYUDHWBXSA-N -1 1 315.381 1.321 20 0 DDADMM CC[C@H]1CN(C(C)=O)CC[C@@H]1Nc1cccc(-c2nn[n-]n2)n1 ZINC000825212226 607904846 /nfs/dbraw/zinc/90/48/46/607904846.db2.gz QKTLHOQFHPWTCE-RYUDHWBXSA-N -1 1 315.381 1.321 20 0 DDADMM OCC[C@H](Nc1ccc(-c2nnn[n-]2)nn1)c1cccs1 ZINC000826509428 607990962 /nfs/dbraw/zinc/99/09/62/607990962.db2.gz VMYXECUSRIYYCG-QMMMGPOBSA-N -1 1 303.351 1.254 20 0 DDADMM OCC[C@H](Nc1ccc(-c2nn[n-]n2)nn1)c1cccs1 ZINC000826509428 607990963 /nfs/dbraw/zinc/99/09/63/607990963.db2.gz VMYXECUSRIYYCG-QMMMGPOBSA-N -1 1 303.351 1.254 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)N1CCC[C@H]2CCCC[C@H]21 ZINC000826425336 608013631 /nfs/dbraw/zinc/01/36/31/608013631.db2.gz YJYZPDRHVJTAHV-VXGBXAGGSA-N -1 1 315.381 1.244 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)N1CCC[C@H]2CCCC[C@H]21 ZINC000826425336 608013632 /nfs/dbraw/zinc/01/36/32/608013632.db2.gz YJYZPDRHVJTAHV-VXGBXAGGSA-N -1 1 315.381 1.244 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCOC[C@@H]1C1CC1 ZINC000826472349 608040835 /nfs/dbraw/zinc/04/08/35/608040835.db2.gz HSFJICJMXZHIRM-SECBINFHSA-N -1 1 305.363 1.179 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCOC[C@@H]1C1CC1 ZINC000826472349 608040834 /nfs/dbraw/zinc/04/08/34/608040834.db2.gz HSFJICJMXZHIRM-SECBINFHSA-N -1 1 305.363 1.179 20 0 DDADMM Cc1cc(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)cc(C)c1F ZINC000826260686 608158303 /nfs/dbraw/zinc/15/83/03/608158303.db2.gz AYRFQKXASJRQAT-UHFFFAOYSA-N -1 1 315.312 1.608 20 0 DDADMM Cc1cc(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)cc(C)c1F ZINC000826260686 608158304 /nfs/dbraw/zinc/15/83/04/608158304.db2.gz AYRFQKXASJRQAT-UHFFFAOYSA-N -1 1 315.312 1.608 20 0 DDADMM CCC[C@H]1C(=O)NCCN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825248610 608233402 /nfs/dbraw/zinc/23/34/02/608233402.db2.gz WZSNDOUVSBKFFX-VIFPVBQESA-N -1 1 321.772 1.020 20 0 DDADMM CCC[C@H]1C(=O)NCCN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825248610 608233404 /nfs/dbraw/zinc/23/34/04/608233404.db2.gz WZSNDOUVSBKFFX-VIFPVBQESA-N -1 1 321.772 1.020 20 0 DDADMM Brc1ncccc1Oc1ccc(-c2nnn[n-]2)nn1 ZINC000823837974 608402781 /nfs/dbraw/zinc/40/27/81/608402781.db2.gz JPYKSOZRPCVQKO-UHFFFAOYSA-N -1 1 320.110 1.607 20 0 DDADMM Brc1ncccc1Oc1ccc(-c2nn[n-]n2)nn1 ZINC000823837974 608402782 /nfs/dbraw/zinc/40/27/82/608402782.db2.gz JPYKSOZRPCVQKO-UHFFFAOYSA-N -1 1 320.110 1.607 20 0 DDADMM c1csc([C@@H]2CCCCN2c2ccc(-c3nnn[n-]3)nn2)n1 ZINC000826526030 608409156 /nfs/dbraw/zinc/40/91/56/608409156.db2.gz IMJAGOBORLURFY-JTQLQIEISA-N -1 1 314.378 1.845 20 0 DDADMM c1csc([C@@H]2CCCCN2c2ccc(-c3nn[n-]n3)nn2)n1 ZINC000826526030 608409157 /nfs/dbraw/zinc/40/91/57/608409157.db2.gz IMJAGOBORLURFY-JTQLQIEISA-N -1 1 314.378 1.845 20 0 DDADMM CCOc1cccc(CCNc2ccc(-c3nnn[n-]3)nn2)n1 ZINC000825649326 608433062 /nfs/dbraw/zinc/43/30/62/608433062.db2.gz OTNVZRXQBOTWSZ-UHFFFAOYSA-N -1 1 312.337 1.105 20 0 DDADMM CCOc1cccc(CCNc2ccc(-c3nn[n-]n3)nn2)n1 ZINC000825649326 608433065 /nfs/dbraw/zinc/43/30/65/608433065.db2.gz OTNVZRXQBOTWSZ-UHFFFAOYSA-N -1 1 312.337 1.105 20 0 DDADMM c1cc(N2CCC(C3CCOCC3)CC2)nnc1-c1nnn[n-]1 ZINC000826516233 608438208 /nfs/dbraw/zinc/43/82/08/608438208.db2.gz BUERSNTYDQSLFH-UHFFFAOYSA-N -1 1 315.381 1.300 20 0 DDADMM c1cc(N2CCC(C3CCOCC3)CC2)nnc1-c1nn[n-]n1 ZINC000826516233 608438209 /nfs/dbraw/zinc/43/82/09/608438209.db2.gz BUERSNTYDQSLFH-UHFFFAOYSA-N -1 1 315.381 1.300 20 0 DDADMM CCOc1ncccc1CNc1nc(-c2nn[n-]n2)ccc1C ZINC000825658828 608542454 /nfs/dbraw/zinc/54/24/54/608542454.db2.gz KNTZVUALTQDEPA-UHFFFAOYSA-N -1 1 311.349 1.976 20 0 DDADMM CN(C[C@H]1CCCC[C@@H]1O)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825909227 609403431 /nfs/dbraw/zinc/40/34/31/609403431.db2.gz UMZXHZAOWFMKRP-ZJUUUORDSA-N -1 1 321.406 1.551 20 0 DDADMM CN(C[C@H]1CCCC[C@@H]1O)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825909227 609403433 /nfs/dbraw/zinc/40/34/33/609403433.db2.gz UMZXHZAOWFMKRP-ZJUUUORDSA-N -1 1 321.406 1.551 20 0 DDADMM O=C(CC1CCC1)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973130918 695428149 /nfs/dbraw/zinc/42/81/49/695428149.db2.gz LUEIOUUBGKKZKG-HAQNSBGRSA-N -1 1 303.362 1.354 20 0 DDADMM O=C([N-]c1ccon1)c1nc2ncc(Br)cn2n1 ZINC000755769175 700582751 /nfs/dbraw/zinc/58/27/51/700582751.db2.gz KBCAQUKCNDHYLP-UHFFFAOYSA-N -1 1 309.083 1.127 20 0 DDADMM CC[C@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976403693 695971754 /nfs/dbraw/zinc/97/17/54/695971754.db2.gz NSOIGIAWZMEONN-MEWQQHAOSA-N -1 1 303.362 1.020 20 0 DDADMM CCCCc1ccc(NC(=S)NN2CC(=O)[N-]C2=O)cc1 ZINC000007500943 696027564 /nfs/dbraw/zinc/02/75/64/696027564.db2.gz VSMDIYWSUVQCJA-UHFFFAOYSA-N -1 1 306.391 1.782 20 0 DDADMM CCC(Nc1ccc(CC)cc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009770012 696037314 /nfs/dbraw/zinc/03/73/14/696037314.db2.gz KVPTYIPMVQVQIR-UHFFFAOYSA-N -1 1 303.387 1.856 20 0 DDADMM CCC(Nc1cc(F)ccc1C)=C1C(=O)[N-]C(=S)NC1=O ZINC000009769887 696037522 /nfs/dbraw/zinc/03/75/22/696037522.db2.gz AFXKCZAJDYLOQU-UHFFFAOYSA-N -1 1 307.350 1.741 20 0 DDADMM Cc1cc(C(N)=O)ccc1[N-]S(=O)(=O)c1cccc(C#N)c1 ZINC000032629873 696124971 /nfs/dbraw/zinc/12/49/71/696124971.db2.gz OFRMJHHOQSARAT-UHFFFAOYSA-N -1 1 315.354 1.766 20 0 DDADMM CN(C[C@H]1CCN1C(=O)CC1CCC1)C(=O)c1ncccc1[O-] ZINC000977618821 696236589 /nfs/dbraw/zinc/23/65/89/696236589.db2.gz PCUMJXGCBNSOLC-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM CN(C[C@H]1CCN1C(=O)[C@@H]1CC1(C)C)C(=O)c1ncccc1[O-] ZINC000977623714 696238192 /nfs/dbraw/zinc/23/81/92/696238192.db2.gz ZKUBRRRXUBDXJR-NEPJUHHUSA-N -1 1 317.389 1.506 20 0 DDADMM CCC(N[C@@H]1CCC[C@@H](C)[C@@H]1C)=C1C(=O)[N-]C(=S)NC1=O ZINC000054501209 696276433 /nfs/dbraw/zinc/27/64/33/696276433.db2.gz QJMUCOFQVFPBSD-WCABBAIRSA-N -1 1 309.435 1.596 20 0 DDADMM COc1ccc([N+](=O)[O-])cc1C(=O)[N-]NC(=O)NC1CCCC1 ZINC000065920689 696348318 /nfs/dbraw/zinc/34/83/18/696348318.db2.gz GSTQCTUAEXHSCR-UHFFFAOYSA-N -1 1 322.321 1.490 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000066579231 696354137 /nfs/dbraw/zinc/35/41/37/696354137.db2.gz HMSVODUBMGQGLF-UHFFFAOYSA-N -1 1 311.281 1.334 20 0 DDADMM CN(CC(=O)N[N-]C(=O)c1cccs1)Cc1ccccc1F ZINC000067128473 696359132 /nfs/dbraw/zinc/35/91/32/696359132.db2.gz PXCJELJDUKFHCW-UHFFFAOYSA-N -1 1 321.377 1.780 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741187 696450438 /nfs/dbraw/zinc/45/04/38/696450438.db2.gz IFRROJBYWUEHEP-WUHRBBMRSA-N -1 1 317.389 1.458 20 0 DDADMM CC1(C)C[C@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741160 696450533 /nfs/dbraw/zinc/45/05/33/696450533.db2.gz IAVXOEUUCGJANM-TUAOUCFPSA-N -1 1 317.389 1.458 20 0 DDADMM CCN(CCc1ccccc1)C(=O)Cc1sc(N)nc1[O-] ZINC000079495052 696460961 /nfs/dbraw/zinc/46/09/61/696460961.db2.gz MFSPHSBSQZZUFZ-GFCCVEGCSA-N -1 1 305.403 1.424 20 0 DDADMM Cc1cnn(CC(=O)N[N-]C(=O)c2ccc(Cl)cc2F)c1 ZINC000081029501 696539914 /nfs/dbraw/zinc/53/99/14/696539914.db2.gz LJKHMEAUUPYCKQ-UHFFFAOYSA-N -1 1 310.716 1.445 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)cc1 ZINC000798814688 700099068 /nfs/dbraw/zinc/09/90/68/700099068.db2.gz ZZLULYMQGCFGOM-CYBMUJFWSA-N -1 1 314.407 1.086 20 0 DDADMM O=C([N-]CCCSCc1nnnn1C1CC1)C(F)(F)F ZINC000091617203 696590276 /nfs/dbraw/zinc/59/02/76/696590276.db2.gz QDFYRJKAYPKXMY-UHFFFAOYSA-N -1 1 309.317 1.310 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CCC(F)(F)C2)co1 ZINC000798920690 700105403 /nfs/dbraw/zinc/10/54/03/700105403.db2.gz MVTBWEDNFXUEDD-QMMMGPOBSA-N -1 1 323.317 1.780 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(Cl)nc(N(C)C)c1)c1nn[n-]n1 ZINC000124215951 696740328 /nfs/dbraw/zinc/74/03/28/696740328.db2.gz RUXYMUPTQXDHCR-MRVPVSSYSA-N -1 1 323.788 1.190 20 0 DDADMM C[C@H]1CO[C@H](CO)CN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000129732752 696791466 /nfs/dbraw/zinc/79/14/66/696791466.db2.gz QIQOVDDFINIUGC-GWCFXTLKSA-N -1 1 305.330 1.080 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(F)cc2F)C1 ZINC000748775029 700134590 /nfs/dbraw/zinc/13/45/90/700134590.db2.gz YLDQBRVKZAWKAX-SECBINFHSA-N -1 1 314.288 1.012 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2ccc(F)cc2)co1 ZINC000163440001 697333251 /nfs/dbraw/zinc/33/32/51/697333251.db2.gz JRGUTVHFXFPQCN-UHFFFAOYSA-N -1 1 313.306 1.684 20 0 DDADMM CN(C(=O)C1CC1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984110186 697341329 /nfs/dbraw/zinc/34/13/29/697341329.db2.gz AEKASFFNEXVHBV-LBPRGKRZSA-N -1 1 303.362 1.260 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@H]1CC=CCC1 ZINC000181997899 697469049 /nfs/dbraw/zinc/46/90/49/697469049.db2.gz LAHPVPXSKATWDP-NSHDSACASA-N -1 1 302.334 1.168 20 0 DDADMM CCOCCO[N-]C(=O)[C@H](C(C)C)N1C[C@@H](C)O[C@@H](C)C1 ZINC000184785656 697507972 /nfs/dbraw/zinc/50/79/72/697507972.db2.gz KDSFBULBALGIBC-MJBXVCDLSA-N -1 1 302.415 1.205 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC2(SC)CCC2)o1 ZINC000799688881 700158906 /nfs/dbraw/zinc/15/89/06/700158906.db2.gz BBLMBPSRQCMWME-UHFFFAOYSA-N -1 1 319.404 1.630 20 0 DDADMM COc1ccccc1[C@H](O)CNC(=O)c1ccc(C#N)c([O-])c1 ZINC000188300670 697556477 /nfs/dbraw/zinc/55/64/77/697556477.db2.gz DEUISQMITHHYNG-OAHLLOKOSA-N -1 1 312.325 1.736 20 0 DDADMM NC(=O)NCc1ccc(C(=O)Nc2nc(Cl)ccc2[O-])cc1 ZINC000188364904 697557555 /nfs/dbraw/zinc/55/75/55/697557555.db2.gz HBWVGHWMOGMYFI-UHFFFAOYSA-N -1 1 320.736 1.861 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H]2CCN(C)C2=O)c1 ZINC000772530904 697645499 /nfs/dbraw/zinc/64/54/99/697645499.db2.gz KWRHFIAZIQLHEC-ZDUSSCGKSA-N -1 1 305.330 1.159 20 0 DDADMM O=C(C[C@@H](O)c1cccc(F)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000772690900 697661434 /nfs/dbraw/zinc/66/14/34/697661434.db2.gz QQINMHHEWLGMRM-GFCCVEGCSA-N -1 1 319.340 1.348 20 0 DDADMM C[C@@H](CC1CCCCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000772917680 697694356 /nfs/dbraw/zinc/69/43/56/697694356.db2.gz MCHVCOIBTMZISI-LBPRGKRZSA-N -1 1 307.394 1.402 20 0 DDADMM CCc1nc(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)cs1 ZINC000773152004 697719279 /nfs/dbraw/zinc/71/92/79/697719279.db2.gz LOLWVPGQXPISNQ-SECBINFHSA-N -1 1 324.435 1.078 20 0 DDADMM O=C(CCc1ccsc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773160097 697721409 /nfs/dbraw/zinc/72/14/09/697721409.db2.gz HJADINKKOWFFKM-JTQLQIEISA-N -1 1 309.420 1.511 20 0 DDADMM Cc1ccc(C=CC(=O)NCc2nn[n-]n2)c(Br)c1 ZINC000211228018 697750629 /nfs/dbraw/zinc/75/06/29/697750629.db2.gz AWAROLFZUILENV-PLNGDYQASA-N -1 1 322.166 1.600 20 0 DDADMM O=C(COC(=O)c1ccc([O-])cc1F)Nc1nnc(C2CC2)o1 ZINC000773569269 697783041 /nfs/dbraw/zinc/78/30/41/697783041.db2.gz LKWUSGYLJURFSY-UHFFFAOYSA-N -1 1 321.264 1.587 20 0 DDADMM O=C(NCCCn1cccn1)c1ccc2n[n-]c(=S)n2c1 ZINC000773774059 697803108 /nfs/dbraw/zinc/80/31/08/697803108.db2.gz XRYBWLMLROLIRK-UHFFFAOYSA-N -1 1 302.363 1.035 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1ncccn1 ZINC000773999714 697832487 /nfs/dbraw/zinc/83/24/87/697832487.db2.gz DEGXNKSGVHFQFI-UHFFFAOYSA-N -1 1 310.310 1.240 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2C[C@@H]2C(C)C)co1 ZINC000774860785 697935599 /nfs/dbraw/zinc/93/55/99/697935599.db2.gz NUKGORZFJAIJTB-GXSJLCMTSA-N -1 1 301.364 1.637 20 0 DDADMM O=C([C@H](O)c1ccccc1Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000775545379 698008217 /nfs/dbraw/zinc/00/82/17/698008217.db2.gz NMGBUUVOHFOQHA-JOYOIKCWSA-N -1 1 321.768 1.293 20 0 DDADMM CCOC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000777214690 698173941 /nfs/dbraw/zinc/17/39/41/698173941.db2.gz UQDRZLGKKIBFIB-VXGBXAGGSA-N -1 1 321.377 1.774 20 0 DDADMM CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000777214685 698174050 /nfs/dbraw/zinc/17/40/50/698174050.db2.gz UQDRZLGKKIBFIB-NEPJUHHUSA-N -1 1 321.377 1.774 20 0 DDADMM CC(C)(CO)N1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000778941704 698384125 /nfs/dbraw/zinc/38/41/25/698384125.db2.gz ANJICYFOGGDVNF-UHFFFAOYSA-N -1 1 312.797 1.574 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2ncccc2N)c1 ZINC000780073654 698485870 /nfs/dbraw/zinc/48/58/70/698485870.db2.gz CETYWUDFLJYYPK-UHFFFAOYSA-N -1 1 300.314 1.972 20 0 DDADMM CCc1cc(C(=O)N2CC[C@](C(=O)[O-])(C(F)(F)F)C2)n[nH]1 ZINC000263166552 698494434 /nfs/dbraw/zinc/49/44/34/698494434.db2.gz YKMPYQPMANNCGQ-NSHDSACASA-N -1 1 305.256 1.451 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)N[C@@H]2CCc3nc[nH]c3C2)cc1 ZINC000263364458 698502597 /nfs/dbraw/zinc/50/25/97/698502597.db2.gz LETOIZSLDDUXOK-GFCCVEGCSA-N -1 1 314.345 1.465 20 0 DDADMM CC(C)[C@H](NC(=O)NC[C@@H](c1ccsc1)N(C)C)C(=O)[O-] ZINC000780384431 698514053 /nfs/dbraw/zinc/51/40/53/698514053.db2.gz IJZYWUVDUHXTGG-RYUDHWBXSA-N -1 1 313.423 1.759 20 0 DDADMM CCC[C@@H](NC(=O)NC[C@@H](c1ccsc1)N(C)C)C(=O)[O-] ZINC000780387044 698514316 /nfs/dbraw/zinc/51/43/16/698514316.db2.gz YXQJBWSWJGTGRR-NEPJUHHUSA-N -1 1 313.423 1.903 20 0 DDADMM CC[C@@H](NC(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1)C(=O)[O-] ZINC000780513373 698527353 /nfs/dbraw/zinc/52/73/53/698527353.db2.gz OUGQYTVFYKKGJB-OLZOCXBDSA-N -1 1 323.393 1.887 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(F)c(C)c2)C1 ZINC000780616337 698538914 /nfs/dbraw/zinc/53/89/14/698538914.db2.gz YHHGKZKGGLTODG-NSHDSACASA-N -1 1 310.325 1.181 20 0 DDADMM O=C([O-])[C@H](NC(=O)N[C@H]1CCCc2cn[nH]c21)c1ccccc1 ZINC000780682238 698547695 /nfs/dbraw/zinc/54/76/95/698547695.db2.gz AGDXYEMNWLBUBA-GXTWGEPZSA-N -1 1 314.345 1.912 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CC(C)(C)C1 ZINC000989337818 698589896 /nfs/dbraw/zinc/58/98/96/698589896.db2.gz KGBKZYDHCOIZPZ-JQWIXIFHSA-N -1 1 321.425 1.416 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC(C)(C)[C@@H](C)O ZINC000782034237 698676861 /nfs/dbraw/zinc/67/68/61/698676861.db2.gz RTUJECHCJNMABM-LLVKDONJSA-N -1 1 320.393 1.260 20 0 DDADMM O=C([O-])Cc1ccccc1CN1CCN(c2ncccn2)CC1 ZINC000322099969 698732493 /nfs/dbraw/zinc/73/24/93/698732493.db2.gz SEPCEXGMAJBJPA-UHFFFAOYSA-N -1 1 312.373 1.426 20 0 DDADMM COCC[C@@H](C)OC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000783470373 698843399 /nfs/dbraw/zinc/84/33/99/698843399.db2.gz QKRPSXLYSNKMGE-SECBINFHSA-N -1 1 307.350 1.562 20 0 DDADMM CC[C@H](F)CN1CC[C@@]2(NC(=O)c3[nH]nc(C)c3[O-])CCC[C@@H]12 ZINC000990195681 698991476 /nfs/dbraw/zinc/99/14/76/698991476.db2.gz ZGKFLGQRBOYPHW-OZVIIMIRSA-N -1 1 324.400 1.899 20 0 DDADMM O=C(C=C1CCCCC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000990386506 699039862 /nfs/dbraw/zinc/03/98/62/699039862.db2.gz KOSSSVGMYJOAJX-UHFFFAOYSA-N -1 1 315.373 1.618 20 0 DDADMM O=C(CC1=CCCCC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000990500168 699073074 /nfs/dbraw/zinc/07/30/74/699073074.db2.gz BWRIQZSBPBQORH-UHFFFAOYSA-N -1 1 315.373 1.618 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cncc(Br)c1)C(F)F ZINC000390275596 699093114 /nfs/dbraw/zinc/09/31/14/699093114.db2.gz NSWFASFCEXDEJM-RXMQYKEDSA-N -1 1 315.139 1.776 20 0 DDADMM CCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])CC(C)(C)C1 ZINC000990687967 699132507 /nfs/dbraw/zinc/13/25/07/699132507.db2.gz UGZGDYQCLOLTMZ-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)=C1CCC1 ZINC000990969802 699195452 /nfs/dbraw/zinc/19/54/52/699195452.db2.gz OPXWMDFCPSRPGK-UHFFFAOYSA-N -1 1 301.346 1.228 20 0 DDADMM C[C@H]1CCC[C@@]1(C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990972176 699196246 /nfs/dbraw/zinc/19/62/46/699196246.db2.gz MWAPQNCSZROYNE-APPDUMDISA-N -1 1 317.389 1.554 20 0 DDADMM C[C@]1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C[C@H]2C[C@H]2C1 ZINC000990978428 699197884 /nfs/dbraw/zinc/19/78/84/699197884.db2.gz PMEVSVXWWMGEKA-FTJFQDGESA-N -1 1 315.373 1.164 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)[C@@H]1C ZINC000787500526 699214232 /nfs/dbraw/zinc/21/42/32/699214232.db2.gz CUEKYUQQUBTTMK-GHMZBOCLSA-N -1 1 321.377 1.638 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CCCC23CC3)c1Cl ZINC000706262970 699243198 /nfs/dbraw/zinc/24/31/98/699243198.db2.gz IXXDNFGABWVTCG-VIFPVBQESA-N -1 1 303.815 1.932 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2ccc3c(c2)C(=O)OC3)n1 ZINC000726795729 699381197 /nfs/dbraw/zinc/38/11/97/699381197.db2.gz QDTGWZFDDVULFH-ZETCQYMHSA-N -1 1 323.330 1.088 20 0 DDADMM C[C@H](c1cccs1)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000726867661 699386725 /nfs/dbraw/zinc/38/67/25/699386725.db2.gz OBVDEHHFAIPGCD-SNVBAGLBSA-N -1 1 307.375 1.208 20 0 DDADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1c(F)cccc1F ZINC000727850479 699428244 /nfs/dbraw/zinc/42/82/44/699428244.db2.gz ZVGZWWREOPEFQU-VIFPVBQESA-N -1 1 323.299 1.082 20 0 DDADMM O=C(C[N-]S(=O)(=O)CCC(F)(F)F)OC1CCCCC1 ZINC000790223963 699448089 /nfs/dbraw/zinc/44/80/89/699448089.db2.gz BOSFLDWAIWBDGE-UHFFFAOYSA-N -1 1 317.329 1.734 20 0 DDADMM CC(C)[C@H]1CCC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000728981940 699461554 /nfs/dbraw/zinc/46/15/54/699461554.db2.gz MXBHSJPVBVZXTG-STQMWFEESA-N -1 1 307.394 1.258 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCOC(C)(C)C ZINC000731448388 699528837 /nfs/dbraw/zinc/52/88/37/699528837.db2.gz IUHPOFVXJOJCPC-UHFFFAOYSA-N -1 1 321.377 1.953 20 0 DDADMM O=C(CCc1ccc(Cl)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732091511 699546037 /nfs/dbraw/zinc/54/60/37/699546037.db2.gz GZFMZWFWRXKHTC-GFCCVEGCSA-N -1 1 321.768 1.386 20 0 DDADMM CC(C)(C)S(=O)(=O)CCNC(=O)c1c([O-])cccc1Cl ZINC000733365076 699590349 /nfs/dbraw/zinc/59/03/49/699590349.db2.gz ZRCNEZFSBXOGBN-UHFFFAOYSA-N -1 1 319.810 1.989 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cccc4c3OCCO4)ccnc1-2 ZINC000791161982 699613317 /nfs/dbraw/zinc/61/33/17/699613317.db2.gz RDVCWKZNGSWKKW-UHFFFAOYSA-N -1 1 310.313 1.365 20 0 DDADMM CCc1noc(CC)c1CC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791164511 699613823 /nfs/dbraw/zinc/61/38/23/699613823.db2.gz MJOBBPJETIMFPY-UHFFFAOYSA-N -1 1 313.361 1.636 20 0 DDADMM C[C@@H]1CCCC[C@@H]1CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000733989561 699630955 /nfs/dbraw/zinc/63/09/55/699630955.db2.gz CGJPIERSGVMSLD-CHWSQXEVSA-N -1 1 307.394 1.259 20 0 DDADMM CCO[N-]C(=O)[C@H]1CCC(=O)N(C)[C@H]1c1ccc(OC)cc1 ZINC000734422019 699650093 /nfs/dbraw/zinc/65/00/93/699650093.db2.gz NOWTZLNOJPWXTA-ZFWWWQNUSA-N -1 1 306.362 1.672 20 0 DDADMM O=C(C[C@H]1CCc2ccccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000734433460 699650731 /nfs/dbraw/zinc/65/07/31/699650731.db2.gz GGOMTFLNPOGBPO-TZMCWYRMSA-N -1 1 313.361 1.220 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)C(=O)[N-]OC1CCOCC1 ZINC000792272685 699689024 /nfs/dbraw/zinc/68/90/24/699689024.db2.gz FNBWCPDHDFCNBP-UHFFFAOYSA-N -1 1 318.333 1.037 20 0 DDADMM COC(=O)[C@@H]1CCN(Cn2[n-]c(-c3ccccn3)nc2=S)C1 ZINC000735296233 699689402 /nfs/dbraw/zinc/68/94/02/699689402.db2.gz KZDAUDLHPVXOGL-SNVBAGLBSA-N -1 1 319.390 1.455 20 0 DDADMM CCn1nc(C)c(C(=O)N2CCC(c3nn[n-]n3)CC2)c1Cl ZINC000736729078 699730842 /nfs/dbraw/zinc/73/08/42/699730842.db2.gz TVZLDHMUDQLIMN-UHFFFAOYSA-N -1 1 323.788 1.398 20 0 DDADMM CCc1onc(-c2ccccc2)c1C(=O)[N-]N1CC(=O)NC1=O ZINC000793087460 699732952 /nfs/dbraw/zinc/73/29/52/699732952.db2.gz YOSTYFYQAWRDPX-UHFFFAOYSA-N -1 1 314.301 1.101 20 0 DDADMM Cc1cccc(C)c1NCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000737389451 699739294 /nfs/dbraw/zinc/73/92/94/699739294.db2.gz IYHHTYQSWHXIAY-UHFFFAOYSA-N -1 1 314.393 1.635 20 0 DDADMM O=C(CCc1cccc(Cl)c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737857874 699746602 /nfs/dbraw/zinc/74/66/02/699746602.db2.gz VXNDNAIMKFUXPI-GFCCVEGCSA-N -1 1 321.768 1.386 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](Cc2ccccc2)C(=O)[O-])c1C ZINC000738166863 699754422 /nfs/dbraw/zinc/75/44/22/699754422.db2.gz KXOWCPJXTFOPLH-ZDUSSCGKSA-N -1 1 301.346 1.700 20 0 DDADMM O=C(c1coc2cc(F)ccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000793462426 699758886 /nfs/dbraw/zinc/75/88/86/699758886.db2.gz DUIPVVHSFWVMFG-LBPRGKRZSA-N -1 1 317.280 1.299 20 0 DDADMM Cc1nnc(COC(=O)c2ccc(Br)c([O-])c2)[nH]1 ZINC000795491526 699871639 /nfs/dbraw/zinc/87/16/39/699871639.db2.gz RIFVLHPLCVSGTC-UHFFFAOYSA-N -1 1 312.123 1.938 20 0 DDADMM O=C(COC(=O)C[C@@H]1CCCC[C@H]1O)[N-]C(=O)c1ccccc1 ZINC000796133335 699914848 /nfs/dbraw/zinc/91/48/48/699914848.db2.gz YCRFDNHSMHKMNN-UONOGXRCSA-N -1 1 319.357 1.427 20 0 DDADMM CCC(CC)NC(=O)[C@@H](C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796361480 699929047 /nfs/dbraw/zinc/92/90/47/699929047.db2.gz IDOUDONBMBMJKO-SECBINFHSA-N -1 1 310.350 1.651 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2ccc(C(N)=O)cc2)[n-]1 ZINC000796363119 699929533 /nfs/dbraw/zinc/92/95/33/699929533.db2.gz PVOHAPCMMWDEDP-UHFFFAOYSA-N -1 1 302.286 1.257 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CSCCS1 ZINC000751063544 700267667 /nfs/dbraw/zinc/26/76/67/700267667.db2.gz NKGCVGZERNZSGT-QWRGUYRKSA-N -1 1 321.431 1.254 20 0 DDADMM CC(=O)NCCCOC(=O)c1nn(-c2ccc(C)cc2)cc1[O-] ZINC000801369634 700301349 /nfs/dbraw/zinc/30/13/49/700301349.db2.gz UOOLMSXGZOSFNJ-UHFFFAOYSA-N -1 1 317.345 1.569 20 0 DDADMM Cc1ccc(OCC(=O)N=c2ccnc3n(C)[n-]cc2-3)c(C)c1 ZINC000801700086 700332111 /nfs/dbraw/zinc/33/21/11/700332111.db2.gz GKUKICNKFSMJJZ-UHFFFAOYSA-N -1 1 310.357 1.976 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801700405 700332159 /nfs/dbraw/zinc/33/21/59/700332159.db2.gz LDUQREUSMZTJDU-RISCZKNCSA-N -1 1 302.378 1.876 20 0 DDADMM CNC(=O)CCCN(C)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000753804246 700458360 /nfs/dbraw/zinc/45/83/60/700458360.db2.gz FZDMYKYOUGXONA-UHFFFAOYSA-N -1 1 320.422 1.418 20 0 DDADMM CSc1nc(C)c(CCC(=O)O[C@H]2CCCOC2)c(=O)[n-]1 ZINC000761339851 700856951 /nfs/dbraw/zinc/85/69/51/700856951.db2.gz IPWCQKNZAHYKBK-JTQLQIEISA-N -1 1 312.391 1.867 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2C[C@H]2c2cc(F)ccc2F)nc1=O ZINC000765481023 701015056 /nfs/dbraw/zinc/01/50/56/701015056.db2.gz MBRHHLBEKPCVOT-WCBMZHEXSA-N -1 1 309.272 1.234 20 0 DDADMM O=C(C=Cc1ccc2[nH]ccc2c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000765790582 701027522 /nfs/dbraw/zinc/02/75/22/701027522.db2.gz OIWOADPCFMQOBZ-KWEXDPCDSA-N -1 1 324.344 1.294 20 0 DDADMM Cc1ccsc1S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C ZINC000802868756 701064827 /nfs/dbraw/zinc/06/48/27/701064827.db2.gz CQYBICBEOACDPK-JTQLQIEISA-N -1 1 304.437 1.448 20 0 DDADMM C[C@H]1CCN(Cc2cnnn2C)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000809769083 701688264 /nfs/dbraw/zinc/68/82/64/701688264.db2.gz GKUCPIYIZGVESW-QXEWZRGKSA-N -1 1 319.331 1.093 20 0 DDADMM Cc1nnc([C@@H](C)NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)[nH]1 ZINC000804814983 701214344 /nfs/dbraw/zinc/21/43/44/701214344.db2.gz MCCPMKOXZMZSJP-MRVPVSSYSA-N -1 1 304.354 1.532 20 0 DDADMM CC(C)N(C)c1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000770440267 701278149 /nfs/dbraw/zinc/27/81/49/701278149.db2.gz HHGSVGGHEALDJT-UHFFFAOYSA-N -1 1 305.338 1.120 20 0 DDADMM Cc1c(Cl)ccc2c1CN(C(=O)CCc1nn[n-]n1)CC2 ZINC000830893795 706598692 /nfs/dbraw/zinc/59/86/92/706598692.db2.gz KCCKHCRKYGVKCM-UHFFFAOYSA-N -1 1 305.769 1.679 20 0 DDADMM Cn1c(COC(=O)c2cn[n-]n2)nnc1-c1ccc(Cl)cc1 ZINC000805604700 701397771 /nfs/dbraw/zinc/39/77/71/701397771.db2.gz PRCOUGTYIKJAGE-UHFFFAOYSA-N -1 1 318.724 1.611 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)N1c2ccccc2C[C@@H]1C ZINC000805606762 701398928 /nfs/dbraw/zinc/39/89/28/701398928.db2.gz MNMHPDBSQUGIJC-UWVGGRQHSA-N -1 1 300.318 1.328 20 0 DDADMM Cc1nc(Cl)c(Cl)cc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000805720687 701407392 /nfs/dbraw/zinc/40/73/92/701407392.db2.gz GDZYTWHOLACOQI-UHFFFAOYSA-N -1 1 317.132 1.476 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H](CO)c3ccsc3)ccnc1-2 ZINC000806481167 701436934 /nfs/dbraw/zinc/43/69/34/701436934.db2.gz ABSBXGGZKFMYSO-LBPRGKRZSA-N -1 1 317.374 1.258 20 0 DDADMM CC[C@@H](C)[C@](C)(O)CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806480933 701437015 /nfs/dbraw/zinc/43/70/15/701437015.db2.gz CPKSTLXATWEEFF-MEBBXXQBSA-N -1 1 305.382 1.260 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N(CCCCO)C3CC3)ccnc1-2 ZINC000806485142 701437278 /nfs/dbraw/zinc/43/72/78/701437278.db2.gz UFNPVAGBOVLDTC-UHFFFAOYSA-N -1 1 303.366 1.111 20 0 DDADMM Cc1ccncc1[C@@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806487750 701437471 /nfs/dbraw/zinc/43/74/71/701437471.db2.gz CUZIYSWKFZIVKC-LLVKDONJSA-N -1 1 310.361 1.928 20 0 DDADMM CS[C@H]1CC[C@@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806492307 701438080 /nfs/dbraw/zinc/43/80/80/701438080.db2.gz DYYXAWSAULJCOC-ZJUUUORDSA-N -1 1 305.407 1.747 20 0 DDADMM CC1=C(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)SCCO1 ZINC000806547632 701441218 /nfs/dbraw/zinc/44/12/18/701441218.db2.gz MQPCMTZKOUOGHC-LLVKDONJSA-N -1 1 317.374 1.400 20 0 DDADMM CCOc1cc(C(=O)N[C@H]2C[C@@H](C)OC2=O)cc(Cl)c1[O-] ZINC000808205364 701507364 /nfs/dbraw/zinc/50/73/64/701507364.db2.gz CLBSNPGOEXQKSC-XCBNKYQSSA-N -1 1 313.737 1.878 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C2CCC2)[C@H]2CCOC2)sn1 ZINC000867733746 701719680 /nfs/dbraw/zinc/71/96/80/701719680.db2.gz OLDKIZRYOAXMJA-AAEUAGOBSA-N -1 1 316.448 1.935 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2c3ccccc3CN2C)sn1 ZINC000867793937 701753303 /nfs/dbraw/zinc/75/33/03/701753303.db2.gz MMNMTVVWSMRAKD-ZDUSSCGKSA-N -1 1 323.443 1.917 20 0 DDADMM O=S(=O)([N-]CC[C@H](O)C1CCCC1)c1ccc(F)nc1F ZINC000867932759 701839988 /nfs/dbraw/zinc/83/99/88/701839988.db2.gz ZFUNTEQXSWQRJH-JTQLQIEISA-N -1 1 320.361 1.579 20 0 DDADMM CC(C)[C@@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO1 ZINC000831019867 706621514 /nfs/dbraw/zinc/62/15/14/706621514.db2.gz JJQMLFIKQZKAFO-IUCAKERBSA-N -1 1 307.803 1.545 20 0 DDADMM CC[C@@H](C)[C@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868141161 701961231 /nfs/dbraw/zinc/96/12/31/701961231.db2.gz WCHJHLQQQASUEY-ZJUUUORDSA-N -1 1 308.382 1.489 20 0 DDADMM CC1(CNC(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000868334677 702068641 /nfs/dbraw/zinc/06/86/41/702068641.db2.gz RGBBUHXGKFQVMH-GFCCVEGCSA-N -1 1 307.316 1.639 20 0 DDADMM Cc1cc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)ncn1 ZINC000811855977 702072574 /nfs/dbraw/zinc/07/25/74/702072574.db2.gz CNHUWWRMTHUHTN-JTQLQIEISA-N -1 1 302.300 1.680 20 0 DDADMM CCC[C@H](NC(=O)C[C@](O)(CC)c1ccccc1)c1nn[n-]n1 ZINC000840387588 702093898 /nfs/dbraw/zinc/09/38/98/702093898.db2.gz PMFDRWZBALBMKC-XJKSGUPXSA-N -1 1 317.393 1.845 20 0 DDADMM O=C([N-]CC1CN(C(=O)c2cc3occc3[nH]2)C1)C(F)(F)F ZINC000831139774 706642653 /nfs/dbraw/zinc/64/26/53/706642653.db2.gz NJILYXLMBHKMTQ-UHFFFAOYSA-N -1 1 315.251 1.511 20 0 DDADMM Cc1ccncc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831144367 706643502 /nfs/dbraw/zinc/64/35/02/706643502.db2.gz HUSJWNIOXNAHTF-UHFFFAOYSA-N -1 1 301.268 1.141 20 0 DDADMM C[C@H](C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)c1cccs1 ZINC000831145525 706644172 /nfs/dbraw/zinc/64/41/72/706644172.db2.gz XASVNQLZRAQYNM-QMMMGPOBSA-N -1 1 320.336 1.989 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2CC(C)(C)Oc3ccccc32)nc1=O ZINC000840658970 702188519 /nfs/dbraw/zinc/18/85/19/702188519.db2.gz HKZVDWNPGXWZMB-LLVKDONJSA-N -1 1 317.345 1.497 20 0 DDADMM CC(=O)CN(C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C(C)C ZINC000868597703 702218832 /nfs/dbraw/zinc/21/88/32/702218832.db2.gz ARIPLDMBNRAISL-UHFFFAOYSA-N -1 1 318.377 1.420 20 0 DDADMM CC(C)[C@]1(C)C[C@@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868698622 702273403 /nfs/dbraw/zinc/27/34/03/702273403.db2.gz JJOWCRGFMNWEMK-LRDDRELGSA-N -1 1 320.393 1.489 20 0 DDADMM CCn1cccc([N-]S(=O)(=O)c2cn(C)c(Cl)n2)c1=O ZINC000840916539 702278591 /nfs/dbraw/zinc/27/85/91/702278591.db2.gz AYTZPCLNNNAZBG-UHFFFAOYSA-N -1 1 316.770 1.056 20 0 DDADMM O=C([N-]OCC1CC1)[C@H]1CC(=O)N(c2cc(F)cc(F)c2)C1 ZINC000817373745 702361268 /nfs/dbraw/zinc/36/12/68/702361268.db2.gz YIYBPTHMYMIJSN-JTQLQIEISA-N -1 1 310.300 1.776 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)[N-]n1cnn(CC)c1=O)C(F)(F)F ZINC000879383480 706668557 /nfs/dbraw/zinc/66/85/57/706668557.db2.gz AAZQZCZPMRRYML-HTQZYQBOSA-N -1 1 309.292 1.295 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)[C@H](C)N2CC[C@H](C(=O)[O-])C2)c1 ZINC000817715939 702459944 /nfs/dbraw/zinc/45/99/44/702459944.db2.gz PKVJJZBVPHYQBZ-JQWIXIFHSA-N -1 1 319.361 1.379 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C(=O)O1 ZINC000841523641 702479246 /nfs/dbraw/zinc/47/92/46/702479246.db2.gz MHAGJUDPHCISNK-IMTBSYHQSA-N -1 1 307.730 1.461 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000841533756 702483400 /nfs/dbraw/zinc/48/34/00/702483400.db2.gz GARJIKCESAVMOB-SNVBAGLBSA-N -1 1 305.302 1.195 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)CC12CCC(CC1)CC2 ZINC000841536011 702486319 /nfs/dbraw/zinc/48/63/19/702486319.db2.gz YMYFDXUKOUVPNM-MQYJIDSJSA-N -1 1 315.435 1.828 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC[C@H]3CCCS3)ccnc1-2 ZINC000879416103 706673942 /nfs/dbraw/zinc/67/39/42/706673942.db2.gz NOLOADIGCLHHHL-SNVBAGLBSA-N -1 1 305.407 1.749 20 0 DDADMM C[C@@H]1CC[C@H](C)N(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000869212917 702513084 /nfs/dbraw/zinc/51/30/84/702513084.db2.gz ANWDZVWUDVXZRU-MNOVXSKESA-N -1 1 320.393 1.585 20 0 DDADMM CS[C@H](C)CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869452020 702611684 /nfs/dbraw/zinc/61/16/84/702611684.db2.gz LHHCJKYHJKOEOQ-SSDOTTSWSA-N -1 1 315.313 1.309 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869530717 702637489 /nfs/dbraw/zinc/63/74/89/702637489.db2.gz RGKULMTYMHINRF-MWLCHTKSSA-N -1 1 323.311 1.850 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CCC(C)(C)C2)CCC1 ZINC000843016468 702803752 /nfs/dbraw/zinc/80/37/52/702803752.db2.gz SNDUDNXISKINBW-GFCCVEGCSA-N -1 1 316.467 1.743 20 0 DDADMM C[C@@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C(C)(F)F ZINC000843015384 702803905 /nfs/dbraw/zinc/80/39/05/702803905.db2.gz UFTVDAPVGZEQJK-VIFPVBQESA-N -1 1 312.382 1.208 20 0 DDADMM C[C@@H](CC(C)(C)C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014938 702804024 /nfs/dbraw/zinc/80/40/24/702804024.db2.gz IROZVCLMNARTMV-LBPRGKRZSA-N -1 1 318.483 1.989 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CCC(F)(F)F)CCC1 ZINC000843017253 702804281 /nfs/dbraw/zinc/80/42/81/702804281.db2.gz AOEVGCRWWNDRHL-UHFFFAOYSA-N -1 1 316.345 1.259 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)C1(O)CCOCC1 ZINC000843765671 702920970 /nfs/dbraw/zinc/92/09/70/702920970.db2.gz GWHAIFJNZKZRCJ-UHFFFAOYSA-N -1 1 303.318 1.262 20 0 DDADMM CCON(CC)C(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC000831487166 706717404 /nfs/dbraw/zinc/71/74/04/706717404.db2.gz OTCNDWOTODHQBP-UHFFFAOYSA-N -1 1 316.361 1.483 20 0 DDADMM CC(C)(C)OC(=O)N1[C@@H](C(=O)Nc2nnn[n-]2)CC[C@H]1C1CC1 ZINC000845554976 703173747 /nfs/dbraw/zinc/17/37/47/703173747.db2.gz NDIVXZZQIHXJHI-VHSXEESVSA-N -1 1 322.369 1.316 20 0 DDADMM CC(C)(C)OC(=O)N1[C@@H](C(=O)Nc2nn[n-]n2)CC[C@H]1C1CC1 ZINC000845554976 703173748 /nfs/dbraw/zinc/17/37/48/703173748.db2.gz NDIVXZZQIHXJHI-VHSXEESVSA-N -1 1 322.369 1.316 20 0 DDADMM O=S(=O)([N-][C@H](C1CC1)C1CCOCC1)c1c[nH]nc1Cl ZINC000845981330 703223121 /nfs/dbraw/zinc/22/31/21/703223121.db2.gz VTPNMBDJRLGYBV-LLVKDONJSA-N -1 1 319.814 1.547 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(F)(F)C2(O)CCC2)n1 ZINC000846650095 703308095 /nfs/dbraw/zinc/30/80/95/703308095.db2.gz DFVMLXRMZFJBFT-UHFFFAOYSA-N -1 1 303.265 1.075 20 0 DDADMM CC(C)[C@H](C[N-]S(=O)(=O)N=S(C)(C)=O)c1cccnc1 ZINC000866934965 706848851 /nfs/dbraw/zinc/84/88/51/706848851.db2.gz WUSHMXFEPFJOGV-LBPRGKRZSA-N -1 1 319.452 1.383 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C(=O)O1 ZINC000848073893 703503695 /nfs/dbraw/zinc/50/36/95/703503695.db2.gz MZFCFFDRIBURAZ-RCOVLWMOSA-N -1 1 303.236 1.845 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2COC3(CCC3)C2)c1 ZINC000849448492 703649201 /nfs/dbraw/zinc/64/92/01/703649201.db2.gz ZLIYVBIWNMLRFI-SNVBAGLBSA-N -1 1 315.347 1.056 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2CCC2(C)C)cc1 ZINC000850067179 703701278 /nfs/dbraw/zinc/70/12/78/703701278.db2.gz AWGIMNLOFDTLEN-ZDUSSCGKSA-N -1 1 319.357 1.931 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])[C@H]1CCc2nncn2CC1 ZINC000879705542 706765190 /nfs/dbraw/zinc/76/51/90/706765190.db2.gz HDDGJEGEFJOWFK-QMMMGPOBSA-N -1 1 307.741 1.623 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)OC[C@@H]1CCS(=O)(=O)C1 ZINC000869736339 703839295 /nfs/dbraw/zinc/83/92/95/703839295.db2.gz GYSQSXVPNMAQMF-JTQLQIEISA-N -1 1 318.778 1.566 20 0 DDADMM COc1cccc([C@@H]2CN(C(=O)c3ncccc3[O-])CCN2)c1 ZINC000870153783 703935362 /nfs/dbraw/zinc/93/53/62/703935362.db2.gz XXJUKEZFTBISSC-AWEZNQCLSA-N -1 1 313.357 1.583 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1C/C=C\Cl ZINC000852737065 704109956 /nfs/dbraw/zinc/10/99/56/704109956.db2.gz QKNWYUMYKLSSPR-ABXVWLFBSA-N -1 1 314.691 1.335 20 0 DDADMM COC(=O)[C@H](C1CC1)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852756982 704116745 /nfs/dbraw/zinc/11/67/45/704116745.db2.gz FRMYWNCNMHVORD-MIMYLULJSA-N -1 1 322.327 1.327 20 0 DDADMM O=C(CCCn1cccn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000819965555 704193559 /nfs/dbraw/zinc/19/35/59/704193559.db2.gz CQGAYOQVPHGUDS-LLVKDONJSA-N -1 1 305.338 1.158 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC[C@H]2CCCS2)co1 ZINC000871031684 704204879 /nfs/dbraw/zinc/20/48/79/704204879.db2.gz GMIGXLNSTOUFPG-SNVBAGLBSA-N -1 1 318.420 1.203 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2nnc(C)o2)c1 ZINC000820058258 704212733 /nfs/dbraw/zinc/21/27/33/704212733.db2.gz FEYPJSNNUFFPHY-CLTRCRFRSA-N -1 1 324.358 1.799 20 0 DDADMM C[C@@H](C(=O)[N-]OCCCC(F)(F)F)N1CCSCC1 ZINC000871213451 704244482 /nfs/dbraw/zinc/24/44/82/704244482.db2.gz RUMUCBJWNZCILT-VIFPVBQESA-N -1 1 300.346 1.814 20 0 DDADMM CC(C)(C)OC(=O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866795339 706803895 /nfs/dbraw/zinc/80/38/95/706803895.db2.gz YQKCSLRVEVXGIT-UHFFFAOYSA-N -1 1 324.761 1.494 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)c1cccnc1 ZINC000820779399 704329980 /nfs/dbraw/zinc/32/99/80/704329980.db2.gz GVQFJPWLOMELHE-JTQLQIEISA-N -1 1 303.409 1.239 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cccc3scnc32)n1 ZINC000820796478 704332119 /nfs/dbraw/zinc/33/21/19/704332119.db2.gz KOUNYBQMEYRROF-UHFFFAOYSA-N -1 1 310.360 1.466 20 0 DDADMM O=C(NCC[S@](=O)CC(F)(F)F)c1cc(F)ccc1[O-] ZINC000855276050 704476897 /nfs/dbraw/zinc/47/68/97/704476897.db2.gz NKOUMIKONSSAPV-FQEVSTJZSA-N -1 1 313.272 1.572 20 0 DDADMM C[C@H](CO)[C@@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000855466320 704486681 /nfs/dbraw/zinc/48/66/81/704486681.db2.gz KSKDASQPUDHORB-PWSUYJOCSA-N -1 1 320.418 1.641 20 0 DDADMM O=C(NCCO[C@@H]1CC1(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000855607851 704493387 /nfs/dbraw/zinc/49/33/87/704493387.db2.gz MPGYFGZGCCQLJG-MRVPVSSYSA-N -1 1 314.317 1.172 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3COC[C@@H]3c3ccccc3)ccnc1-2 ZINC000857614701 704607689 /nfs/dbraw/zinc/60/76/89/704607689.db2.gz JDJHGZBXZBUFBU-CABCVRRESA-N -1 1 322.368 1.711 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C(C)=C/C(C)(C)C)nc2n1 ZINC000857685259 704613705 /nfs/dbraw/zinc/61/37/05/704613705.db2.gz VNJFIWZOHHRDIO-CMDGGOBGSA-N -1 1 303.366 1.911 20 0 DDADMM CCOCC(C)(C)C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867273163 706944159 /nfs/dbraw/zinc/94/41/59/706944159.db2.gz BNLQKUJFKJUXKU-UHFFFAOYSA-N -1 1 312.457 1.145 20 0 DDADMM O=C(OCCF)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000859164023 704809375 /nfs/dbraw/zinc/80/93/75/704809375.db2.gz FHXIETVCRMMPQJ-QMMMGPOBSA-N -1 1 300.252 1.483 20 0 DDADMM COC1CC(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000859844968 705018953 /nfs/dbraw/zinc/01/89/53/705018953.db2.gz ZFKDARZUMMQBSI-UHFFFAOYSA-N -1 1 304.298 1.967 20 0 DDADMM C[C@H]1CN(C(=O)c2cncc([O-])c2)CC[C@@H](C(F)(F)F)O1 ZINC000874562777 705106194 /nfs/dbraw/zinc/10/61/94/705106194.db2.gz MTORUSJELMWPSN-KWQFWETISA-N -1 1 304.268 1.969 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(Cc2cn[nH]c2)CC1 ZINC000823024477 705115266 /nfs/dbraw/zinc/11/52/66/705115266.db2.gz CHHAUBNOZKQGCL-UHFFFAOYSA-N -1 1 304.325 1.212 20 0 DDADMM O=C(NC1(CCO)CCCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000874907388 705223855 /nfs/dbraw/zinc/22/38/55/705223855.db2.gz IOGXYCWGCVWYRK-UHFFFAOYSA-N -1 1 320.418 1.833 20 0 DDADMM Cc1nc2sccn2c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000860785906 705282265 /nfs/dbraw/zinc/28/22/65/705282265.db2.gz NBFMHDCGSLJWQG-ZETCQYMHSA-N -1 1 305.367 1.093 20 0 DDADMM CC(C)n1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1Cl ZINC000860785592 705282450 /nfs/dbraw/zinc/28/24/50/705282450.db2.gz CSPACBOWPRACKW-MRVPVSSYSA-N -1 1 311.777 1.506 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@H]1CCS(=O)(=O)C1 ZINC000834833791 707134154 /nfs/dbraw/zinc/13/41/54/707134154.db2.gz LWJKWJGQHUYUAQ-VIFPVBQESA-N -1 1 303.767 1.097 20 0 DDADMM CCOC(=O)CCc1c(C)nc(SCC(C)(C)O)[n-]c1=O ZINC000871695191 707172156 /nfs/dbraw/zinc/17/21/56/707172156.db2.gz WCZKOVGPZZNRBB-UHFFFAOYSA-N -1 1 314.407 1.849 20 0 DDADMM O=Cc1ccc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1 ZINC000861537947 705495406 /nfs/dbraw/zinc/49/54/06/705495406.db2.gz IAYXFPUVWKXJNK-UHFFFAOYSA-N -1 1 315.329 1.536 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@@H](CO)Cc2cccc(F)c21 ZINC000911688856 710931362 /nfs/dbraw/zinc/93/13/62/710931362.db2.gz KAUWROPEZFYNNW-JTQLQIEISA-N -1 1 302.305 1.738 20 0 DDADMM CC[C@@H]1CCC[C@H](NC(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825191587 705621402 /nfs/dbraw/zinc/62/14/02/705621402.db2.gz CTWNBPGIKWJIOC-MNOVXSKESA-N -1 1 318.381 1.791 20 0 DDADMM CC[C@@H]1CCC[C@H](NC(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825191587 705621404 /nfs/dbraw/zinc/62/14/04/705621404.db2.gz CTWNBPGIKWJIOC-MNOVXSKESA-N -1 1 318.381 1.791 20 0 DDADMM CC(C)(NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CCC1 ZINC000876300927 705683596 /nfs/dbraw/zinc/68/35/96/705683596.db2.gz MHGPCBMFJWOFFW-UHFFFAOYSA-N -1 1 320.393 1.633 20 0 DDADMM CCc1ccc(Br)cc1C(=O)N(C)c1nn[n-]n1 ZINC000825531992 705688815 /nfs/dbraw/zinc/68/88/15/705688815.db2.gz QHMDFORTGGIIKQ-UHFFFAOYSA-N -1 1 310.155 1.801 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1C[C@H](O)CC(F)(F)C1 ZINC000876395860 705707521 /nfs/dbraw/zinc/70/75/21/705707521.db2.gz QAGSSBDQVPOUKW-SECBINFHSA-N -1 1 320.723 1.957 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc3[nH]ccc32)c1-c1nnn[n-]1 ZINC000826348737 705795566 /nfs/dbraw/zinc/79/55/66/705795566.db2.gz BLHSNFVCPHJASM-UHFFFAOYSA-N -1 1 323.316 1.826 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc3[nH]ccc32)c1-c1nn[n-]n1 ZINC000826348737 705795569 /nfs/dbraw/zinc/79/55/69/705795569.db2.gz BLHSNFVCPHJASM-UHFFFAOYSA-N -1 1 323.316 1.826 20 0 DDADMM O=C([N-][C@@H]1CCN(c2ccc(Cl)cc2F)C1=O)C(F)F ZINC000862962567 705838078 /nfs/dbraw/zinc/83/80/78/705838078.db2.gz WHZWWMJNKAANAT-MRVPVSSYSA-N -1 1 306.671 1.966 20 0 DDADMM COC(=O)NCCCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000863475968 705936812 /nfs/dbraw/zinc/93/68/12/705936812.db2.gz SHGOTCSILWMXDU-UHFFFAOYSA-N -1 1 318.333 1.653 20 0 DDADMM CO[C@@H]1CCC[C@H]1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000827820672 706072089 /nfs/dbraw/zinc/07/20/89/706072089.db2.gz FFWKTARUKVWESA-GXSJLCMTSA-N -1 1 306.391 1.563 20 0 DDADMM CC(C)Cc1ncc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)s1 ZINC000827961845 706094104 /nfs/dbraw/zinc/09/41/04/706094104.db2.gz VKTAQENTJCNERR-VIFPVBQESA-N -1 1 322.394 1.068 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000827990326 706100294 /nfs/dbraw/zinc/10/02/94/706100294.db2.gz DMIOWPPUZWAOJJ-NSHDSACASA-N -1 1 306.391 1.515 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC[C@H]2CCCO2)C1 ZINC000864429698 706166328 /nfs/dbraw/zinc/16/63/28/706166328.db2.gz YVMKYXYIKBAHEV-BXKDBHETSA-N -1 1 323.315 1.018 20 0 DDADMM N[C@H](C(=O)N1CC[C@H](C(=O)[O-])C1)c1cccc(C(F)(F)F)c1 ZINC000864606811 706210252 /nfs/dbraw/zinc/21/02/52/706210252.db2.gz GMBAWYBHEPJKOG-ONGXEEELSA-N -1 1 316.279 1.638 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCSC1 ZINC000872456081 707421428 /nfs/dbraw/zinc/42/14/28/707421428.db2.gz BNDONULZHLFRLU-BCTVWOGZSA-N -1 1 300.471 1.223 20 0 DDADMM C[C@@H]1CCC[C@@H](C2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000829132382 706291536 /nfs/dbraw/zinc/29/15/36/706291536.db2.gz YGRBDNYEAFJDNC-CHWSQXEVSA-N -1 1 319.405 1.211 20 0 DDADMM CN(Cc1nc2c(c(=O)[n-]1)COCC2)OCc1ccccc1 ZINC000878293522 706349835 /nfs/dbraw/zinc/34/98/35/706349835.db2.gz LEDYRHWTZGOVAA-UHFFFAOYSA-N -1 1 301.346 1.819 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC000830794779 706580814 /nfs/dbraw/zinc/58/08/14/706580814.db2.gz BKINAAONYRPPHF-DNJQJEMRSA-N -1 1 320.311 1.223 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCCS1 ZINC000866949713 706853444 /nfs/dbraw/zinc/85/34/44/706853444.db2.gz WEWFJQGWFMDGIF-VIFPVBQESA-N -1 1 300.471 1.224 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CCCS1 ZINC000866949712 706853553 /nfs/dbraw/zinc/85/35/53/706853553.db2.gz WEWFJQGWFMDGIF-SECBINFHSA-N -1 1 300.471 1.224 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C2(C3CC3)CC2)c1C(F)(F)F ZINC000866964361 706857817 /nfs/dbraw/zinc/85/78/17/706857817.db2.gz YOGPQGGYJFHVHF-UHFFFAOYSA-N -1 1 309.313 1.660 20 0 DDADMM C[S@](=N)(=O)N1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000832167885 706859059 /nfs/dbraw/zinc/85/90/59/706859059.db2.gz VPKAOVNWHKOPSN-OAQYLSRUSA-N -1 1 319.333 1.020 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CCCC(F)(F)C1 ZINC000866984513 706864977 /nfs/dbraw/zinc/86/49/77/706864977.db2.gz XGOONCXVVSHDTK-SECBINFHSA-N -1 1 318.411 1.907 20 0 DDADMM C[C@H]1CC([N-]S(=O)(=O)c2ccc(F)nc2F)C[C@H](C)O1 ZINC000867040774 706879788 /nfs/dbraw/zinc/87/97/88/706879788.db2.gz IAXNXQRDLYUAAK-YUMQZZPRSA-N -1 1 306.334 1.594 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C(=O)OC ZINC000867055330 706883851 /nfs/dbraw/zinc/88/38/51/706883851.db2.gz TUVKGDTZXUDGGC-SSDOTTSWSA-N -1 1 311.306 1.837 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCC[C@H]1OC ZINC000867058380 706885333 /nfs/dbraw/zinc/88/53/33/706885333.db2.gz QTCCYNDZRRFSBE-WDEREUQCSA-N -1 1 312.457 1.144 20 0 DDADMM CC(C)(C)C(=O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867112237 706899952 /nfs/dbraw/zinc/89/99/52/706899952.db2.gz OEHIFAYFDPSCML-UHFFFAOYSA-N -1 1 308.762 1.768 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCc2sccc21 ZINC000867115403 706901083 /nfs/dbraw/zinc/90/10/83/706901083.db2.gz MOKBBSXVXJNBSE-SECBINFHSA-N -1 1 308.450 1.688 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H](C)c1ccncc1OC ZINC000867156017 706911166 /nfs/dbraw/zinc/91/11/66/706911166.db2.gz JBMSNFXZPBBLBF-AYLIAGHASA-N -1 1 321.424 1.103 20 0 DDADMM CCc1ncc(C[N-]S(=O)(=O)c2cccnc2Cl)o1 ZINC000832494748 706925959 /nfs/dbraw/zinc/92/59/59/706925959.db2.gz VLPOFPRKEFKJIR-UHFFFAOYSA-N -1 1 301.755 1.764 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCC1 ZINC000867229306 706931624 /nfs/dbraw/zinc/93/16/24/706931624.db2.gz CGPUHGYFYGTUDY-UHFFFAOYSA-N -1 1 306.334 1.455 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CCOC[C@H]1C ZINC000867264208 706941639 /nfs/dbraw/zinc/94/16/39/706941639.db2.gz ZKPBYZSMJXFCRZ-GHMZBOCLSA-N -1 1 312.457 1.001 20 0 DDADMM Cn1[n-]c(CN2CCCSC[C@@H]2c2ccccc2)nc1=O ZINC000880387724 706960024 /nfs/dbraw/zinc/96/00/24/706960024.db2.gz MEMQXKLVJUCCFY-CYBMUJFWSA-N -1 1 304.419 1.789 20 0 DDADMM CC[C@H](C)C[C@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867448306 707004448 /nfs/dbraw/zinc/00/44/48/707004448.db2.gz BFISABILJDWNKR-DTWKUNHWSA-N -1 1 324.805 1.950 20 0 DDADMM CC[C@@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867448305 707004548 /nfs/dbraw/zinc/00/45/48/707004548.db2.gz BFISABILJDWNKR-BDAKNGLRSA-N -1 1 324.805 1.950 20 0 DDADMM CC(C)Oc1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000833779083 707014630 /nfs/dbraw/zinc/01/46/30/707014630.db2.gz OGCYXSYMDGRGQD-UHFFFAOYSA-N -1 1 317.345 1.353 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2ccc(C)s2)n[n-]1 ZINC000880666595 707052088 /nfs/dbraw/zinc/05/20/88/707052088.db2.gz PCCXZIJVUHSQIM-SECBINFHSA-N -1 1 322.390 1.771 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2ccc(C)s2)[n-]1 ZINC000880666595 707052092 /nfs/dbraw/zinc/05/20/92/707052092.db2.gz PCCXZIJVUHSQIM-SECBINFHSA-N -1 1 322.390 1.771 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2ccc(C)s2)n1 ZINC000880666595 707052094 /nfs/dbraw/zinc/05/20/94/707052094.db2.gz PCCXZIJVUHSQIM-SECBINFHSA-N -1 1 322.390 1.771 20 0 DDADMM COC(=O)C[C@](C)([N-]S(=O)(=O)c1cc(C)ns1)C1CC1 ZINC000867701802 707078968 /nfs/dbraw/zinc/07/89/68/707078968.db2.gz WLQBDLYZKMOUHY-LBPRGKRZSA-N -1 1 318.420 1.462 20 0 DDADMM Cn1nncc1CN[C@@H](C(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC000871619389 707140727 /nfs/dbraw/zinc/14/07/27/707140727.db2.gz OBRLZSZWGHYSSY-LLVKDONJSA-N -1 1 314.267 1.749 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCC(=O)NC2CCCC2)cc1 ZINC000871799155 707208774 /nfs/dbraw/zinc/20/87/74/707208774.db2.gz IYVDYXOODBJFEX-UHFFFAOYSA-N -1 1 320.389 1.734 20 0 DDADMM O=C1N[C@@H]([C@@H]2CCCOC2)C(=O)N1Cc1ccc([O-])c(Cl)c1 ZINC000871911925 707242566 /nfs/dbraw/zinc/24/25/66/707242566.db2.gz NVURARXTUOORAA-MFKMUULPSA-N -1 1 324.764 1.893 20 0 DDADMM O=C([O-])[C@@H](CC(F)F)NC(=O)NCc1ccc2cncn2c1 ZINC000909172132 712953467 /nfs/dbraw/zinc/95/34/67/712953467.db2.gz QMFMQOMUAGIBRS-SNVBAGLBSA-N -1 1 312.276 1.242 20 0 DDADMM O=S(=O)(CC=C(Cl)Cl)[N-]Cc1nnc(C2CC2)o1 ZINC000882085901 707491817 /nfs/dbraw/zinc/49/18/17/707491817.db2.gz BHKBTQUYVYODLZ-UHFFFAOYSA-N -1 1 312.178 1.685 20 0 DDADMM CC1(C)C[C@](C)([N-]S(=O)(=O)C[C@H]2CCC2(F)F)C(=O)O1 ZINC000882223918 707546789 /nfs/dbraw/zinc/54/67/89/707546789.db2.gz HHWNWDXRIPEFCM-KCJUWKMLSA-N -1 1 311.350 1.435 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000836904845 707548562 /nfs/dbraw/zinc/54/85/62/707548562.db2.gz HAWPFKJCTWTWNB-GJZGRUSLSA-N -1 1 320.389 1.100 20 0 DDADMM CC(C)[C@H]1N(C(=O)CCn2cc[n-]c(=O)c2=O)CC12CCCC2 ZINC000837192648 707595610 /nfs/dbraw/zinc/59/56/10/707595610.db2.gz UYFCVUUCTFJWSJ-CQSZACIVSA-N -1 1 319.405 1.354 20 0 DDADMM CN1C[C@@H](NC(=O)NCc2ccc([O-])c(Cl)c2)CCC1=O ZINC000872904514 707646993 /nfs/dbraw/zinc/64/69/93/707646993.db2.gz JQIZZVDFZNNFFC-JTQLQIEISA-N -1 1 311.769 1.466 20 0 DDADMM CCN1CC[C@H]1CNC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000882480688 707650430 /nfs/dbraw/zinc/65/04/30/707650430.db2.gz KZMPFHACHYGCDL-ZDUSSCGKSA-N -1 1 300.362 1.402 20 0 DDADMM COC1(COC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CCC1 ZINC000837503450 707666895 /nfs/dbraw/zinc/66/68/95/707666895.db2.gz XBRPZCHZXRBJCT-UHFFFAOYSA-N -1 1 319.361 1.707 20 0 DDADMM C[C@H](C[S@](C)=O)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872967625 707675647 /nfs/dbraw/zinc/67/56/47/707675647.db2.gz JKKXDNABZYFUNG-YLVJLNSGSA-N -1 1 304.799 1.612 20 0 DDADMM C=C/C=C/CC[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000882586819 707708510 /nfs/dbraw/zinc/70/85/10/707708510.db2.gz SAPHWWRCRYFNIV-SNAWJCMRSA-N -1 1 309.313 1.850 20 0 DDADMM Cn1cnc(CCCNC(=O)NCc2ccc([O-])c(Cl)c2)n1 ZINC000873052424 707710649 /nfs/dbraw/zinc/71/06/49/707710649.db2.gz PNFBXEUNCKFGMX-UHFFFAOYSA-N -1 1 323.784 1.606 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@]23C[C@H]2CCCC3)o1 ZINC000927450016 712986709 /nfs/dbraw/zinc/98/67/09/712986709.db2.gz LUTNJLDZAZQOLE-YGRLFVJLSA-N -1 1 312.391 1.498 20 0 DDADMM CCCCC[C@H](NC(=O)CN(C)[C@@H]1CCSC1)C(=O)[O-] ZINC000909345860 712996591 /nfs/dbraw/zinc/99/65/91/712996591.db2.gz OPALPVRHPPPGTQ-NEPJUHHUSA-N -1 1 302.440 1.573 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)c1ccccc1F ZINC000909359319 712999963 /nfs/dbraw/zinc/99/99/63/712999963.db2.gz ICMUPSQXIZIDTA-YMTOWFKASA-N -1 1 317.320 1.596 20 0 DDADMM O=C(CC[C@H]1CC[C@H](C2OCCO2)O1)[N-]OCC(F)(F)F ZINC000897032670 708212696 /nfs/dbraw/zinc/21/26/96/708212696.db2.gz XBKAYBDZSHLCLT-RKDXNWHRSA-N -1 1 313.272 1.297 20 0 DDADMM CCS[C@@H]1CCC[C@@H]1NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909415400 713012207 /nfs/dbraw/zinc/01/22/07/713012207.db2.gz ONXKRWUUMVCDIQ-FRRDWIJNSA-N -1 1 314.451 1.573 20 0 DDADMM C[C@@H](C(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)[O-])N(C)C ZINC000909444404 713019984 /nfs/dbraw/zinc/01/99/84/713019984.db2.gz ZCKFSEAODXIMJM-HZMBPMFUSA-N -1 1 303.362 1.230 20 0 DDADMM O=S(=O)([N-]CCN1Cc2ccccc2C1)c1ccns1 ZINC000884976484 708433443 /nfs/dbraw/zinc/43/34/43/708433443.db2.gz RLAFVSAEXZLHJA-UHFFFAOYSA-N -1 1 309.416 1.437 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCc1ccc2ncccc2c1 ZINC000927668463 713029193 /nfs/dbraw/zinc/02/91/93/713029193.db2.gz KARVZZMMKMXFCS-UHFFFAOYSA-N -1 1 310.361 1.430 20 0 DDADMM CN(C)C(=O)C1(C[N-]S(=O)(=O)c2ccns2)CCCC1 ZINC000885011508 708442149 /nfs/dbraw/zinc/44/21/49/708442149.db2.gz OSMHYGHEFLUDOT-UHFFFAOYSA-N -1 1 317.436 1.070 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2ccns2)CCCCC1 ZINC000885040975 708452902 /nfs/dbraw/zinc/45/29/02/708452902.db2.gz QEDJCZPQRSGNEP-UHFFFAOYSA-N -1 1 318.420 1.687 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccns1)[C@@H](O)c1cccc(F)c1 ZINC000885042377 708453571 /nfs/dbraw/zinc/45/35/71/708453571.db2.gz NYDLMXMMPDIENA-PRHODGIISA-N -1 1 316.379 1.683 20 0 DDADMM CCC(CC)(CC)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912540703 713032825 /nfs/dbraw/zinc/03/28/25/713032825.db2.gz BPHOIRRFHBPXHK-UHFFFAOYSA-N -1 1 303.384 1.443 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)Cc1cccc(F)c1)c1ccns1 ZINC000885212988 708495735 /nfs/dbraw/zinc/49/57/35/708495735.db2.gz YHPRFJVHPYZRSG-NSHDSACASA-N -1 1 316.379 1.164 20 0 DDADMM O=S(=O)([N-][C@H]1COc2cc(F)ccc2C1)c1ccns1 ZINC000885299293 708514012 /nfs/dbraw/zinc/51/40/12/708514012.db2.gz ARFMTEFZXYTXNA-SNVBAGLBSA-N -1 1 314.363 1.564 20 0 DDADMM CCOC(=O)C(CC)(CC)C[N-]S(=O)(=O)c1ccns1 ZINC000885331985 708521246 /nfs/dbraw/zinc/52/12/46/708521246.db2.gz HKLOOJQKUHPMKC-UHFFFAOYSA-N -1 1 320.436 1.791 20 0 DDADMM CCOC(=O)C1(C[N-]S(=O)(=O)c2cccc(F)c2F)CC1 ZINC000885430299 708543861 /nfs/dbraw/zinc/54/38/61/708543861.db2.gz GSQBJSQVVGXEFZ-UHFFFAOYSA-N -1 1 319.329 1.586 20 0 DDADMM O=C(CN1CCCS1(=O)=O)Nc1cc(F)c([O-])cc1Cl ZINC000885674223 708594541 /nfs/dbraw/zinc/59/45/41/708594541.db2.gz KXMHLVMATFATGL-UHFFFAOYSA-N -1 1 322.745 1.159 20 0 DDADMM CC(=O)N[C@H](C(=O)NCCc1c(F)cc([O-])cc1F)C(C)C ZINC000886262894 708731708 /nfs/dbraw/zinc/73/17/08/708731708.db2.gz DYNYHEADPWURKU-AWEZNQCLSA-N -1 1 314.332 1.490 20 0 DDADMM CCc1c(C(=O)NCCc2c(F)cc([O-])cc2F)cnn1C ZINC000886264495 708731918 /nfs/dbraw/zinc/73/19/18/708731918.db2.gz ADBKITKGJHDORY-UHFFFAOYSA-N -1 1 309.316 1.939 20 0 DDADMM COCn1ccc(C(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC000886268607 708732961 /nfs/dbraw/zinc/73/29/61/708732961.db2.gz WHXQBNBMZJOUAQ-UHFFFAOYSA-N -1 1 311.288 1.443 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@H]1C[C@H](CO)C1 ZINC000927783067 713054960 /nfs/dbraw/zinc/05/49/60/713054960.db2.gz AIMBPZSSUQRBAV-KYZUINATSA-N -1 1 300.305 1.283 20 0 DDADMM C[C@H]1C(=O)CCCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927783704 713055228 /nfs/dbraw/zinc/05/52/28/713055228.db2.gz FFZPHAVMYMPPMU-VIFPVBQESA-N -1 1 312.316 1.976 20 0 DDADMM CC[C@@H](NC(=O)NCCc1c(F)cc([O-])cc1F)[C@H](C)O ZINC000927787524 713056321 /nfs/dbraw/zinc/05/63/21/713056321.db2.gz XKYNCTJCQOCXNU-ISVAXAHUSA-N -1 1 302.321 1.672 20 0 DDADMM CCn1cnc2c1CCN(C(=O)c1cnc(C3CC3)[n-]c1=O)C2 ZINC000886606357 708792347 /nfs/dbraw/zinc/79/23/47/708792347.db2.gz TZIOWQLECONGKW-UHFFFAOYSA-N -1 1 313.361 1.475 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)c(C)n1C[C@H]1CCCOC1 ZINC000912618313 713052359 /nfs/dbraw/zinc/05/23/59/713052359.db2.gz OYZFVPVOPATAJV-GFCCVEGCSA-N -1 1 318.381 1.321 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000927790367 713057116 /nfs/dbraw/zinc/05/71/16/713057116.db2.gz WWIMQQODSYTZCE-GDNZZTSVSA-N -1 1 312.316 1.093 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2cc(F)ccc2[O-])CCS1(=O)=O ZINC000887179441 708981894 /nfs/dbraw/zinc/98/18/94/708981894.db2.gz HNSASCORIRHEPL-WPRPVWTQSA-N -1 1 301.339 1.227 20 0 DDADMM CC1(C)CO[C@H](CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000899187287 709013783 /nfs/dbraw/zinc/01/37/83/709013783.db2.gz RUJBUSWNAKGDNM-SNVBAGLBSA-N -1 1 322.327 1.329 20 0 DDADMM C[C@@H]1C[C@]2(CC[C@H](CNC(=O)C(=O)c3ccc([O-])cc3)O2)CO1 ZINC000899475161 709091877 /nfs/dbraw/zinc/09/18/77/709091877.db2.gz BMZIVUZHUJCYEC-JDSLSITLSA-N -1 1 319.357 1.418 20 0 DDADMM C[C@@H]1C[C@]2(CC[C@H](CNC(=O)c3ccc([O-])cc3F)O2)CO1 ZINC000899481798 709094822 /nfs/dbraw/zinc/09/48/22/709094822.db2.gz XUQUBVDKMAQCMR-NSODJVPESA-N -1 1 309.337 1.988 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@H]1C[C@@H]1CCCO1 ZINC000887845475 709141809 /nfs/dbraw/zinc/14/18/09/709141809.db2.gz ZCUYHTGXENGWTI-YPMHNXCESA-N -1 1 309.337 1.941 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=S)NC2CCC2)[n-]c1=O ZINC000899667867 709143026 /nfs/dbraw/zinc/14/30/26/709143026.db2.gz YQCLITYJDJMKAA-JTQLQIEISA-N -1 1 308.407 1.755 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])C[C@@H]1C(=O)NCc1ccc2cncn2c1 ZINC000899789606 709196734 /nfs/dbraw/zinc/19/67/34/709196734.db2.gz UJGMUEHPFVHJSP-CJNGLKHVSA-N -1 1 301.346 1.697 20 0 DDADMM C[C@]1([C@@H]2CCCN(C(=O)c3cc(F)ccc3[O-])C2)COC(=O)N1 ZINC000928023217 713105471 /nfs/dbraw/zinc/10/54/71/713105471.db2.gz GQEBTURGACHYBG-QLJPJBMISA-N -1 1 322.336 1.882 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)N2CC[C@H]2CO)c([O-])c1 ZINC000889146280 709454041 /nfs/dbraw/zinc/45/40/41/709454041.db2.gz SAEUXFZBVMEUJK-NSHDSACASA-N -1 1 322.361 1.946 20 0 DDADMM O=C([O-])CC[C@@H]1CCCN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000909481998 709483054 /nfs/dbraw/zinc/48/30/54/709483054.db2.gz JPEIACGOGCUTKH-JTQLQIEISA-N -1 1 302.334 1.675 20 0 DDADMM O=C([O-])C[C@H]1CCCCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000909497355 709489521 /nfs/dbraw/zinc/48/95/21/709489521.db2.gz LTMUGYJUSBJUHB-SSDOTTSWSA-N -1 1 305.256 1.898 20 0 DDADMM CCC(CC)N1C[C@H](C(=O)[N-]OCc2ccccn2)CC1=O ZINC000909525630 709501575 /nfs/dbraw/zinc/50/15/75/709501575.db2.gz IXOISAVPHLWROU-GFCCVEGCSA-N -1 1 305.378 1.667 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@@H](CCC(=O)[O-])Cc1ccccc1 ZINC000909536777 709505910 /nfs/dbraw/zinc/50/59/10/709505910.db2.gz RXLWINCUGBPUEF-LSDHHAIUSA-N -1 1 304.390 1.673 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1CCCSC1)c1nn[n-]n1 ZINC000912861906 713110311 /nfs/dbraw/zinc/11/03/11/713110311.db2.gz BNQHWRVBZWGZQZ-BDAKNGLRSA-N -1 1 301.441 1.253 20 0 DDADMM CSCC[C@H](NC(=O)C1(C(F)F)CCCC1)c1nn[n-]n1 ZINC000912861986 713110581 /nfs/dbraw/zinc/11/05/81/713110581.db2.gz FZVBENQNMGIZNO-QMMMGPOBSA-N -1 1 319.381 1.936 20 0 DDADMM CC[C@H](CC(F)F)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912863449 713111645 /nfs/dbraw/zinc/11/16/45/713111645.db2.gz YOPRGODUZZIZPJ-SFYZADRCSA-N -1 1 307.370 1.792 20 0 DDADMM COc1cc(C(=O)[O-])ccc1CCNC(=O)[C@H]1CCCN1C ZINC000909629343 709547759 /nfs/dbraw/zinc/54/77/59/709547759.db2.gz SKJDDEWEYWTJET-CYBMUJFWSA-N -1 1 306.362 1.146 20 0 DDADMM CC1CCN(CC(=O)N(C)[C@H](Cc2ccccc2)C(=O)[O-])CC1 ZINC000909640412 709553987 /nfs/dbraw/zinc/55/39/87/709553987.db2.gz MVHNPEIUSRFUQU-MRXNPFEDSA-N -1 1 318.417 1.873 20 0 DDADMM CN(C)CC(=O)N[C@@H](C[C@H]1CCCc2ccccc21)C(=O)[O-] ZINC000909785683 709619685 /nfs/dbraw/zinc/61/96/85/709619685.db2.gz CZDWOMNWNWAPGJ-HIFRSBDPSA-N -1 1 304.390 1.628 20 0 DDADMM COC(=O)[C@@H](C)CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC000900511172 709630852 /nfs/dbraw/zinc/63/08/52/709630852.db2.gz MUVUFYRQIYMKDZ-VIFPVBQESA-N -1 1 319.361 1.473 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Cn2ccc(C)n2)[n-]c1=O ZINC000889786048 709635525 /nfs/dbraw/zinc/63/55/25/709635525.db2.gz KAMYYYKYGWWJBA-LLVKDONJSA-N -1 1 317.349 1.059 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@@H](Cc1cccc(Cl)c1)C(=O)[O-] ZINC000909815226 709635700 /nfs/dbraw/zinc/63/57/00/709635700.db2.gz VJIKYRDYYDGNNE-STQMWFEESA-N -1 1 310.781 1.546 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H](O)C(C)(C)C)[n-]c1=O ZINC000889789407 709637107 /nfs/dbraw/zinc/63/71/07/709637107.db2.gz FAECOGAYLMWGMS-ONGXEEELSA-N -1 1 309.366 1.261 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2nccs2)[n-]c1=O ZINC000889790477 709637731 /nfs/dbraw/zinc/63/77/31/709637731.db2.gz RPAPQZHBCBKMHZ-MRVPVSSYSA-N -1 1 306.347 1.625 20 0 DDADMM CC[C@@H]1CC[C@@H](C(=O)N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)O1 ZINC000889792186 709637924 /nfs/dbraw/zinc/63/79/24/709637924.db2.gz UDYPOHDYIRLDRR-WOPDTQHZSA-N -1 1 321.377 1.812 20 0 DDADMM C[C@H](NC(=O)C1(N(C)C)CC1)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909825970 709642606 /nfs/dbraw/zinc/64/26/06/709642606.db2.gz YRWPYTPJIAWTIR-JSGCOSHPSA-N -1 1 304.390 1.529 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N2CC[C@H](N3CCC3)C2)c1 ZINC000912904053 713119540 /nfs/dbraw/zinc/11/95/40/713119540.db2.gz OQCVRXJCPNFMSQ-WHEQGISXSA-N -1 1 322.430 1.109 20 0 DDADMM CC(C)(C)C[C@H](NC(=O)Cc1n[nH]c2c1CCCC2)C(=O)[O-] ZINC000909879964 709666560 /nfs/dbraw/zinc/66/65/60/709666560.db2.gz RKYNRMIRNNCETO-ZDUSSCGKSA-N -1 1 307.394 1.837 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)[C@@H]2CCCc3[nH]ncc32)C[C@H]1C1CC1 ZINC000909902331 709678780 /nfs/dbraw/zinc/67/87/80/709678780.db2.gz DXWWPRFKGGETLS-KGYLQXTDSA-N -1 1 303.362 1.399 20 0 DDADMM CC(C)(CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)CC(F)(F)F ZINC000900624303 709683579 /nfs/dbraw/zinc/68/35/79/709683579.db2.gz GRDABCGRCUNIBM-QMMMGPOBSA-N -1 1 321.303 1.468 20 0 DDADMM CC(C)(C)O[C@H]1C[C@H](NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000909920077 709689451 /nfs/dbraw/zinc/68/94/51/709689451.db2.gz MAARJOQFGJWSMN-JHJVBQTASA-N -1 1 312.410 1.245 20 0 DDADMM COc1cccc([C@H](NC(=O)CN(C)C2CCC2)C(=O)[O-])c1 ZINC000909943457 709699848 /nfs/dbraw/zinc/69/98/48/709699848.db2.gz CSGKFWIGPGIPTA-HNNXBMFYSA-N -1 1 306.362 1.421 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cccc3[nH]c(C)nc32)[C@@H](C(=O)[O-])C1 ZINC000909983159 709718520 /nfs/dbraw/zinc/71/85/20/709718520.db2.gz RDEXODJTUNCJHP-ZWNOBZJWSA-N -1 1 317.345 1.576 20 0 DDADMM CN(C)[C@@H](C(=O)Nc1cc([O-])c(F)cc1F)c1cncn1C ZINC000909987036 709721587 /nfs/dbraw/zinc/72/15/87/709721587.db2.gz ZDBGQVJUAOKSPS-CYBMUJFWSA-N -1 1 310.304 1.645 20 0 DDADMM O=C(NCCOc1cccnc1)c1cnc(C2CC2)[n-]c1=O ZINC000900718651 709726618 /nfs/dbraw/zinc/72/66/18/709726618.db2.gz KOFUMGCARNITEO-UHFFFAOYSA-N -1 1 300.318 1.263 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1cn(C)cn1 ZINC000890110390 709754577 /nfs/dbraw/zinc/75/45/77/709754577.db2.gz OADZIYYXQGWNLT-UHFFFAOYSA-N -1 1 303.366 1.452 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N(CC(=O)[O-])C3CCC3)n[nH]2)c1 ZINC000910080145 709765150 /nfs/dbraw/zinc/76/51/50/709765150.db2.gz SNIMQDSZHWIYFT-UHFFFAOYSA-N -1 1 302.334 1.495 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)N[C@@H](C(=O)[O-])C1CCCCC1 ZINC000910089473 709767173 /nfs/dbraw/zinc/76/71/73/709767173.db2.gz DBYHPWFOHOHPRU-GXTWGEPZSA-N -1 1 312.410 1.104 20 0 DDADMM Cc1ncc(C(=O)N2CCC[C@@H]2c2nc(C(=O)[O-])cs2)[nH]1 ZINC000910101933 709772750 /nfs/dbraw/zinc/77/27/50/709772750.db2.gz JFAOGVMQRRAREK-SNVBAGLBSA-N -1 1 306.347 1.850 20 0 DDADMM O=C([O-])[C@H]1CSCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000910148790 709791846 /nfs/dbraw/zinc/79/18/46/709791846.db2.gz XNDFDXBDZWRWMJ-NXEZZACHSA-N -1 1 309.391 1.106 20 0 DDADMM CCc1c(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)ccn1C ZINC000910175297 709797947 /nfs/dbraw/zinc/79/79/47/709797947.db2.gz MNJUDFKEOHMMNI-GFCCVEGCSA-N -1 1 307.394 1.209 20 0 DDADMM O=C([O-])c1ccc(F)c2c1CN(C(=O)CCc1c[nH]nn1)CC2 ZINC000910210122 709816118 /nfs/dbraw/zinc/81/61/18/709816118.db2.gz RXVJMNAHANYJOT-UHFFFAOYSA-N -1 1 318.308 1.160 20 0 DDADMM O=C([O-])c1ccc(F)c2c1CN(C(=O)CCc1cnn[nH]1)CC2 ZINC000910210122 709816123 /nfs/dbraw/zinc/81/61/23/709816123.db2.gz RXVJMNAHANYJOT-UHFFFAOYSA-N -1 1 318.308 1.160 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2cccc3c2CCO3)C1 ZINC000910242894 709835778 /nfs/dbraw/zinc/83/57/78/709835778.db2.gz IZHMFLXNJFYUGK-NSHDSACASA-N -1 1 304.346 1.357 20 0 DDADMM COC(C)(C)C[C@H](C)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000910250340 709840801 /nfs/dbraw/zinc/84/08/01/709840801.db2.gz HKQOUEJKEUITHR-RYUDHWBXSA-N -1 1 300.399 1.103 20 0 DDADMM Cc1nccc(N2CCOC[C@@H]2C(=O)[O-])c1Br ZINC000900945464 709841245 /nfs/dbraw/zinc/84/12/45/709841245.db2.gz LFJVTWIEGGCVBZ-SECBINFHSA-N -1 1 301.140 1.442 20 0 DDADMM O=C([N-]S(=O)(=O)C1CCCCC1)c1ccc2c(n1)CNCC2 ZINC000901019674 709884688 /nfs/dbraw/zinc/88/46/88/709884688.db2.gz OLAFGEAEJBFQOE-UHFFFAOYSA-N -1 1 323.418 1.120 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@@](C)(CC(=O)[O-])C3CC3)c2C1 ZINC000910320095 709888787 /nfs/dbraw/zinc/88/87/87/709888787.db2.gz WIIDWVZPNWXHAO-FVMDXXJSSA-N -1 1 305.378 1.908 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H]3C[C@H]4C[C@@]4(C(=O)[O-])C3)c2C1 ZINC000910346452 709904164 /nfs/dbraw/zinc/90/41/64/709904164.db2.gz JXLRBQFLWHUEFH-MQPMOYQSSA-N -1 1 303.362 1.518 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CC[C@H](O)[C@H](F)C1 ZINC000890693167 709956716 /nfs/dbraw/zinc/95/67/16/709956716.db2.gz UKVWWNYASRYJJU-ZJUUUORDSA-N -1 1 318.142 1.700 20 0 DDADMM COc1cccc([C@@H](C(=O)[O-])N(C)C(=O)[C@@H]2CCCCN2C)c1 ZINC000910769144 710069946 /nfs/dbraw/zinc/06/99/46/710069946.db2.gz GTSOERHADKVRTB-GJZGRUSLSA-N -1 1 320.389 1.764 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C[C@H]1CC(=O)[O-] ZINC000910860157 710097752 /nfs/dbraw/zinc/09/77/52/710097752.db2.gz FVDNSXHQEUBWFH-ZWNOBZJWSA-N -1 1 314.345 1.655 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2cncc(Cl)c2)CCOCC1 ZINC000901720116 710132015 /nfs/dbraw/zinc/13/20/15/710132015.db2.gz MMUFHXSOXBJZEQ-UHFFFAOYSA-N -1 1 320.798 1.672 20 0 DDADMM CCN(C(=O)CN1CCN(CC)CC1)c1cccc(C(=O)[O-])c1 ZINC000910965491 710133533 /nfs/dbraw/zinc/13/35/33/710133533.db2.gz BCOSESLAMRLKCG-UHFFFAOYSA-N -1 1 319.405 1.375 20 0 DDADMM CCN(C(=O)[C@H]1CCCc2n[nH]nc21)c1cccc(C(=O)[O-])c1 ZINC000910968727 710135134 /nfs/dbraw/zinc/13/51/34/710135134.db2.gz XOCTYFKJYJNYNP-LBPRGKRZSA-N -1 1 314.345 1.976 20 0 DDADMM CC(C)CN1CCN(C(=O)[C@H]2CO[C@H](CCC(=O)[O-])C2)CC1 ZINC000901739133 710135336 /nfs/dbraw/zinc/13/53/36/710135336.db2.gz OTXFJXMMGBICDD-ZIAGYGMSSA-N -1 1 312.410 1.057 20 0 DDADMM CCOc1ccc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])cc1F ZINC000901740423 710135860 /nfs/dbraw/zinc/13/58/60/710135860.db2.gz ANEORJRRYATNTC-UWVGGRQHSA-N -1 1 312.341 1.292 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCc1cn(-c2ccc(F)cc2)nn1 ZINC000901795135 710149420 /nfs/dbraw/zinc/14/94/20/710149420.db2.gz XZPQCWMFHWQMAM-HNNXBMFYSA-N -1 1 322.340 1.376 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@H](NCc2cn(CC(=O)[O-])nn2)C12CCC2 ZINC000901803058 710151353 /nfs/dbraw/zinc/15/13/53/710151353.db2.gz NLBUGHLQMUWALD-QWHCGFSZSA-N -1 1 322.409 1.579 20 0 DDADMM COc1ccc([C@H](NCc2cnn(C)c2)C(=O)[O-])cc1Cl ZINC000901852754 710160338 /nfs/dbraw/zinc/16/03/38/710160338.db2.gz POKSNAYCGWINEH-ZDUSSCGKSA-N -1 1 309.753 1.998 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(Cc2cnc(OC)s2)C1 ZINC000901864817 710162007 /nfs/dbraw/zinc/16/20/07/710162007.db2.gz JXAXFEONZNPQKJ-CYBMUJFWSA-N -1 1 300.380 1.465 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891614669 710249119 /nfs/dbraw/zinc/24/91/19/710249119.db2.gz OALBBJCDBPTGRC-JTQLQIEISA-N -1 1 315.377 1.612 20 0 DDADMM COCc1nc(N2CC[C@@H](OCc3ccccn3)C2)cc(=O)[n-]1 ZINC000892948658 710530499 /nfs/dbraw/zinc/53/04/99/710530499.db2.gz XELVYLDGNGSIBF-CYBMUJFWSA-N -1 1 316.361 1.519 20 0 DDADMM CO[C@H]1CCCN(NC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000928424233 713189507 /nfs/dbraw/zinc/18/95/07/713189507.db2.gz VAIUDILJCIVYFH-LBPRGKRZSA-N -1 1 301.346 1.696 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCc2ccns2)o1 ZINC000893374222 710622388 /nfs/dbraw/zinc/62/23/88/710622388.db2.gz OGUDLCBJGSXSNW-UHFFFAOYSA-N -1 1 316.360 1.044 20 0 DDADMM C[C@@](O)(CNCc1cc(C(=O)[O-])no1)c1ccc(F)cc1F ZINC000902256454 710683967 /nfs/dbraw/zinc/68/39/67/710683967.db2.gz HTOGXKUBZXWOEG-CQSZACIVSA-N -1 1 312.272 1.648 20 0 DDADMM COCCN1CCCN(C(=O)c2ccc(C(=O)[O-])cc2F)CC1 ZINC000911207983 710685485 /nfs/dbraw/zinc/68/54/85/710685485.db2.gz GHMQVTAMUOPAJK-UHFFFAOYSA-N -1 1 324.352 1.318 20 0 DDADMM O=C(/C=C/c1cc(C(=O)[O-])co1)NCCN1CCSCC1 ZINC000911212549 710688838 /nfs/dbraw/zinc/68/88/38/710688838.db2.gz KDXVQMGRQPGMIW-OWOJBTEDSA-N -1 1 310.375 1.156 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)CC(C)(C)CC(=O)[O-])C2)n[nH]1 ZINC000911324887 710742133 /nfs/dbraw/zinc/74/21/33/710742133.db2.gz BWKNFBOKKFWGRW-LLVKDONJSA-N -1 1 308.382 1.710 20 0 DDADMM Cn1ccc2c1cccc2NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911335468 710748110 /nfs/dbraw/zinc/74/81/10/710748110.db2.gz SZWJJTWGQFNMFF-GFCCVEGCSA-N -1 1 315.373 1.913 20 0 DDADMM COCCN1CC[C@H](NC(=O)c2cc(C)cc(C(=O)[O-])c2)C1 ZINC000911354352 710759850 /nfs/dbraw/zinc/75/98/50/710759850.db2.gz XPFFBHDJQAYDPO-AWEZNQCLSA-N -1 1 306.362 1.144 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccncc1 ZINC000911373662 710768030 /nfs/dbraw/zinc/76/80/30/710768030.db2.gz GICNIOREPAJBLE-ZDUSSCGKSA-N -1 1 319.405 1.272 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2cccc(CC(=O)[O-])c2)n[nH]1 ZINC000911392000 710776036 /nfs/dbraw/zinc/77/60/36/710776036.db2.gz YVUFSVDUGQJFAN-JTQLQIEISA-N -1 1 301.346 1.706 20 0 DDADMM C[C@@H]1CN(C)[C@@H](C)CN1C(=O)c1ccccc1CCC(=O)[O-] ZINC000911437580 710798463 /nfs/dbraw/zinc/79/84/63/710798463.db2.gz BLXIOLQZDIUGCM-QWHCGFSZSA-N -1 1 304.390 1.868 20 0 DDADMM Nn1c(SCc2nn[n-]n2)nnc1-c1ccc(Cl)cc1 ZINC000913396058 713208059 /nfs/dbraw/zinc/20/80/59/713208059.db2.gz GRBSMMDSOYDPHY-UHFFFAOYSA-N -1 1 308.758 1.118 20 0 DDADMM C[C@@]1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCCCO1 ZINC000913436720 713215067 /nfs/dbraw/zinc/21/50/67/713215067.db2.gz GQQKNAGMEHOQQO-DOMZBBRYSA-N -1 1 301.350 1.365 20 0 DDADMM Cc1ccc(C(=O)NCCN2CCCOCC2)cc1C(=O)[O-] ZINC000911504614 710827457 /nfs/dbraw/zinc/82/74/57/710827457.db2.gz GYBRNKREMWKYRP-UHFFFAOYSA-N -1 1 306.362 1.145 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@@H]1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913436802 713215155 /nfs/dbraw/zinc/21/51/55/713215155.db2.gz HJJLCEFDUWIGFW-LPTSXCQYSA-N -1 1 301.350 1.076 20 0 DDADMM COCc1nc(N[C@@H]2CCC[C@@H]3CN(C(C)=O)C[C@H]32)cc(=O)[n-]1 ZINC000893889184 710876018 /nfs/dbraw/zinc/87/60/18/710876018.db2.gz WRPWECZHVXZDMT-JHJVBQTASA-N -1 1 320.393 1.388 20 0 DDADMM COC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCCCC1 ZINC000913438977 713215887 /nfs/dbraw/zinc/21/58/87/713215887.db2.gz VGEONAZBGSZJFX-CYBMUJFWSA-N -1 1 315.377 1.755 20 0 DDADMM O=C([O-])[C@]1(C(=O)N[C@H]2CCC[N@H+]3CCSC[C@H]23)CC=CCC1 ZINC000902820015 710915980 /nfs/dbraw/zinc/91/59/80/710915980.db2.gz ZWTNPRGQNXEDCZ-WOSRLPQWSA-N -1 1 324.446 1.494 20 0 DDADMM O=C([O-])[C@]1(C(=O)N[C@H]2CCCN3CCSC[C@H]23)CC=CCC1 ZINC000902820015 710915984 /nfs/dbraw/zinc/91/59/84/710915984.db2.gz ZWTNPRGQNXEDCZ-WOSRLPQWSA-N -1 1 324.446 1.494 20 0 DDADMM C[C@H](NCc1ncc(Br)cc1[O-])C(=O)NCCF ZINC000894255986 711039207 /nfs/dbraw/zinc/03/92/07/711039207.db2.gz ATUKOCSOWJIJIR-ZETCQYMHSA-N -1 1 320.162 1.114 20 0 DDADMM Cc1cn2c(nc(CN3CC[C@H](C)[C@H](C(=O)[O-])C3)cc2=O)s1 ZINC000903409249 711118457 /nfs/dbraw/zinc/11/84/57/711118457.db2.gz SHYUYQAJHFULEV-JOYOIKCWSA-N -1 1 321.402 1.607 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)CC2(F)CCC2)s1 ZINC000914416534 713392448 /nfs/dbraw/zinc/39/24/48/713392448.db2.gz RLCJWJIFOOYZBX-UHFFFAOYSA-N -1 1 311.429 1.572 20 0 DDADMM C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1cc2ccccc2cc1[O-] ZINC000894468438 711137770 /nfs/dbraw/zinc/13/77/70/711137770.db2.gz XFIWITUBQGNYQW-YGRLFVJLSA-N -1 1 319.382 1.708 20 0 DDADMM COCc1nc(N2CCC[C@@H](C(=O)NC(C)C)C2)cc(=O)[n-]1 ZINC000894616446 711216850 /nfs/dbraw/zinc/21/68/50/711216850.db2.gz KSZPXBHTJMHZCI-LLVKDONJSA-N -1 1 308.382 1.070 20 0 DDADMM COc1ccc(C(=O)[O-])cc1CN1CCC[C@H](n2ccnn2)C1 ZINC000903597159 711217902 /nfs/dbraw/zinc/21/79/02/711217902.db2.gz KBPJWEORUBZAPA-AWEZNQCLSA-N -1 1 316.361 1.822 20 0 DDADMM O=C(c1nsc2ccccc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913493448 713236822 /nfs/dbraw/zinc/23/68/22/713236822.db2.gz VRXQVJIRTCGQON-SECBINFHSA-N -1 1 316.346 1.023 20 0 DDADMM CCOC(=O)[C@H](F)[C@H]1CCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000912254102 711248095 /nfs/dbraw/zinc/24/80/95/711248095.db2.gz LHLYIARYRGOICU-CMPLNLGQSA-N -1 1 310.325 1.459 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)Nc1ccc(OC)cc1 ZINC000903712508 711250970 /nfs/dbraw/zinc/25/09/70/711250970.db2.gz YYDVDJOFANSZHR-GFCCVEGCSA-N -1 1 324.377 1.445 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2CC2(Cl)Cl)n1 ZINC000912363103 711292740 /nfs/dbraw/zinc/29/27/40/711292740.db2.gz JPTQWXYYZVICNW-RITPCOANSA-N -1 1 321.164 1.352 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2CC2(Cl)Cl)n[n-]1 ZINC000912363103 711292735 /nfs/dbraw/zinc/29/27/35/711292735.db2.gz JPTQWXYYZVICNW-RITPCOANSA-N -1 1 321.164 1.352 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2CC2(Cl)Cl)[n-]1 ZINC000912363103 711292737 /nfs/dbraw/zinc/29/27/37/711292737.db2.gz JPTQWXYYZVICNW-RITPCOANSA-N -1 1 321.164 1.352 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=Cc2ccccc2)n[n-]1 ZINC000912364745 711293144 /nfs/dbraw/zinc/29/31/44/711293144.db2.gz ZLMNLSKSLWTDJP-PBQZMEPESA-N -1 1 314.345 1.872 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=Cc2ccccc2)[n-]1 ZINC000912364745 711293145 /nfs/dbraw/zinc/29/31/45/711293145.db2.gz ZLMNLSKSLWTDJP-PBQZMEPESA-N -1 1 314.345 1.872 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C=Cc2ccccc2)n1 ZINC000912364745 711293149 /nfs/dbraw/zinc/29/31/49/711293149.db2.gz ZLMNLSKSLWTDJP-PBQZMEPESA-N -1 1 314.345 1.872 20 0 DDADMM CO[C@@H]1CN(CCOC2CCSCC2)[C@@](C)(C(=O)[O-])C1 ZINC000903911285 711328181 /nfs/dbraw/zinc/32/81/81/711328181.db2.gz AYEMNTQCBPXJFG-GXTWGEPZSA-N -1 1 303.424 1.463 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@]1(C)CCOc2ccccc21 ZINC000904261905 711420861 /nfs/dbraw/zinc/42/08/61/711420861.db2.gz RDPFIJMZYMWXIK-MRXNPFEDSA-N -1 1 301.346 1.906 20 0 DDADMM O=C([O-])COCCOCCNCc1cc(F)c(F)cc1F ZINC000904272755 711421843 /nfs/dbraw/zinc/42/18/43/711421843.db2.gz YPUCTFDFBUIKCR-UHFFFAOYSA-N -1 1 307.268 1.311 20 0 DDADMM COCc1nc(NC[C@@H]2CCN2C(=O)OC(C)(C)C)cc(=O)[n-]1 ZINC000896146656 711682516 /nfs/dbraw/zinc/68/25/16/711682516.db2.gz VCDFTWNDYSLFFT-JTQLQIEISA-N -1 1 324.381 1.750 20 0 DDADMM CC[C@@H]1C(=O)NCCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896219479 711693440 /nfs/dbraw/zinc/69/34/40/711693440.db2.gz VVQJMUPXYCMOEE-CQSZACIVSA-N -1 1 314.341 1.602 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cc(F)cc1F)[C@H]1CN(C)CCN1C ZINC000896567070 711754269 /nfs/dbraw/zinc/75/42/69/711754269.db2.gz YYCPWGTWGINRIW-JOYOIKCWSA-N -1 1 313.348 1.035 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C[C@H]3CC[C@@H](C4CC4)O3)ccnc1-2 ZINC000904938678 711904935 /nfs/dbraw/zinc/90/49/35/711904935.db2.gz CSESBOWFPZZJBU-RISCZKNCSA-N -1 1 300.362 1.628 20 0 DDADMM COc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)nc1Cl ZINC000913744420 713292778 /nfs/dbraw/zinc/29/27/78/713292778.db2.gz NDRGJVXFJKMYSP-UHFFFAOYSA-N -1 1 322.756 1.277 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cc(C(F)(F)F)n(C)n2)n[n-]1 ZINC000905250274 711980163 /nfs/dbraw/zinc/98/01/63/711980163.db2.gz OFOGELRFLHDFNQ-UHFFFAOYSA-N -1 1 323.300 1.093 20 0 DDADMM Cc1c(C(=O)N2CCC(c3nn[n-]n3)CC2)cnn1CC(C)C ZINC000913744572 713293321 /nfs/dbraw/zinc/29/33/21/713293321.db2.gz UNPMGYZNDOURQP-UHFFFAOYSA-N -1 1 317.397 1.380 20 0 DDADMM C[C@@H]1Cc2cc(C(=O)N3CCC(c4nn[n-]n4)CC3)ccc2O1 ZINC000913745815 713293778 /nfs/dbraw/zinc/29/37/78/713293778.db2.gz PGZIACGLOBLURA-SNVBAGLBSA-N -1 1 313.361 1.543 20 0 DDADMM CCc1nc(CN(C)[C@H](C(=O)[O-])c2cccc(OC)c2)n[nH]1 ZINC000905374683 712019830 /nfs/dbraw/zinc/01/98/30/712019830.db2.gz PCCIDKBTVMFWLI-AWEZNQCLSA-N -1 1 304.350 1.633 20 0 DDADMM CCC/C=C\[C@H](O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000913780198 713299566 /nfs/dbraw/zinc/29/95/66/713299566.db2.gz RPYWXOISYBMYGE-LDTRIUGDSA-N -1 1 322.327 1.373 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]4C[C@@H]4C[C@H]3C)nc2n1 ZINC000905633963 712101903 /nfs/dbraw/zinc/10/19/03/712101903.db2.gz OZXOZHUDWWTHMU-GRLWKWRFSA-N -1 1 301.350 1.347 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)[C@@H]1CCO[C@H]1C1CC1 ZINC000905948188 712197083 /nfs/dbraw/zinc/19/70/83/712197083.db2.gz KDASAEYOZFZTRG-YPMHNXCESA-N -1 1 303.362 1.815 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1ccc(C)nc1N(C)C ZINC000906061831 712234441 /nfs/dbraw/zinc/23/44/41/712234441.db2.gz UFZOSEFQRWETQK-LJQANCHMSA-N -1 1 320.440 1.230 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1cnc(Cl)cc1C ZINC000906061932 712234526 /nfs/dbraw/zinc/23/45/26/712234526.db2.gz VFRLZKRLCCKGMZ-QGZVFWFLSA-N -1 1 311.816 1.818 20 0 DDADMM COC[C@@H]1CCCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000907432619 712570904 /nfs/dbraw/zinc/57/09/04/712570904.db2.gz HTPGVIFJYNVFEK-LLVKDONJSA-N -1 1 305.378 1.948 20 0 DDADMM CCc1cccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1O ZINC000907476901 712582444 /nfs/dbraw/zinc/58/24/44/712582444.db2.gz CQCVUIJRLZKRHC-LLVKDONJSA-N -1 1 319.390 1.398 20 0 DDADMM O=C(c1ccnc(C2CC2)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479696 712583365 /nfs/dbraw/zinc/58/33/65/712583365.db2.gz LYDMTOUWMAUPDE-LBPRGKRZSA-N -1 1 316.390 1.402 20 0 DDADMM Cc1ncsc1CCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480349 712583472 /nfs/dbraw/zinc/58/34/72/712583472.db2.gz ONNRMQFUANTMEP-SECBINFHSA-N -1 1 324.435 1.214 20 0 DDADMM Cc1ncoc1C[N-]S(=O)(=O)c1cnccc1C(F)(F)F ZINC000907598556 712600716 /nfs/dbraw/zinc/60/07/16/712600716.db2.gz TYRADOVYCBKNBS-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC12CCCCC2)c1c[nH]nc1Cl ZINC000907646720 712608104 /nfs/dbraw/zinc/60/81/04/712608104.db2.gz BLAXFPIXQLZPTP-SNVBAGLBSA-N -1 1 319.814 1.833 20 0 DDADMM O=C(N[C@H](CO)CC(F)F)c1c([O-])cnc2c(F)cccc21 ZINC000907742080 712620953 /nfs/dbraw/zinc/62/09/53/712620953.db2.gz FUFKJPGKFHBDHN-ZETCQYMHSA-N -1 1 314.263 1.825 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)c(F)c1 ZINC000907759933 712622979 /nfs/dbraw/zinc/62/29/79/712622979.db2.gz JCZCHBVHTQCFSQ-CSVDQLGKSA-N -1 1 315.341 1.727 20 0 DDADMM CC(C)=C[C@H]1[C@@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C1(C)C ZINC000907944267 712652816 /nfs/dbraw/zinc/65/28/16/712652816.db2.gz RYGHXJUICXSPBG-RYUDHWBXSA-N -1 1 319.409 1.248 20 0 DDADMM CC(C)(C)[C@@H](CC(=O)[O-])NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000908035081 712669827 /nfs/dbraw/zinc/66/98/27/712669827.db2.gz RDECGIGGYAMGDN-CYBMUJFWSA-N -1 1 307.394 1.837 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1C[C@]2(CCCO2)[C@@H]1C1CC1 ZINC000908449954 712776562 /nfs/dbraw/zinc/77/65/62/712776562.db2.gz GBQWTOPBNOLPCO-SUMWQHHRSA-N -1 1 315.373 1.843 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CCC(CC(=O)[O-])CC1 ZINC000908676042 712833673 /nfs/dbraw/zinc/83/36/73/712833673.db2.gz VTUFYYTVWPVFFW-UHFFFAOYSA-N -1 1 318.417 1.874 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000908688648 712835577 /nfs/dbraw/zinc/83/55/77/712835577.db2.gz VQBWARYSQSSRTN-YUTCNCBUSA-N -1 1 317.389 1.825 20 0 DDADMM C[C@H](C(=O)Nc1cccc([O-])c1Br)S(C)(=O)=O ZINC000908715700 712840286 /nfs/dbraw/zinc/84/02/86/712840286.db2.gz WSSSDUUKTLFYGZ-ZCFIWIBFSA-N -1 1 322.180 1.526 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NCCOC1CCCCC1 ZINC000908748585 712847130 /nfs/dbraw/zinc/84/71/30/712847130.db2.gz FZEAWCDDKHNGBL-CYBMUJFWSA-N -1 1 312.410 1.249 20 0 DDADMM C[C@H](Cc1ccsc1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908750104 712847434 /nfs/dbraw/zinc/84/74/34/712847434.db2.gz CWWUYLNYDXJEEW-DGCLKSJQSA-N -1 1 310.419 1.592 20 0 DDADMM C[C@H](CCc1cccn1C)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908832939 712864192 /nfs/dbraw/zinc/86/41/92/712864192.db2.gz VMHGDNHMTUEXGD-ZIAGYGMSSA-N -1 1 321.421 1.259 20 0 DDADMM C[C@H](c1ccccc1)N(CC(=O)[O-])C(=O)N[C@H]1CCCN(C)C1 ZINC000908871841 712875404 /nfs/dbraw/zinc/87/54/04/712875404.db2.gz WGUQLUYRSQQPAM-HIFRSBDPSA-N -1 1 319.405 1.938 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N(CCC(=O)[O-])C3CC3)n[nH]2)c1 ZINC000908873426 712876144 /nfs/dbraw/zinc/87/61/44/712876144.db2.gz BNUDAAYCSWYJNJ-UHFFFAOYSA-N -1 1 302.334 1.495 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)N(CC(=O)[O-])Cc1ccccc1 ZINC000908900061 712882747 /nfs/dbraw/zinc/88/27/47/712882747.db2.gz GZJPLGKBVCKWEY-AWEZNQCLSA-N -1 1 320.389 1.068 20 0 DDADMM O=C1[C@@H]([N-]S(=O)(=O)CC2(F)CCC2)CN1c1ccccc1 ZINC000914352393 713382978 /nfs/dbraw/zinc/38/29/78/713382978.db2.gz UOPSQRBQLVIEPK-LBPRGKRZSA-N -1 1 312.366 1.213 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccco1)c1noc(C(F)(F)F)n1 ZINC000916399625 713453315 /nfs/dbraw/zinc/45/33/15/713453315.db2.gz UJHZKVJXNQPMNG-RXMQYKEDSA-N -1 1 311.241 1.721 20 0 DDADMM Cn1ccnc1C(=O)CSc1nc(C(F)F)cc(=O)[n-]1 ZINC000917746267 713512296 /nfs/dbraw/zinc/51/22/96/713512296.db2.gz MCCJGEFHTGIPLP-UHFFFAOYSA-N -1 1 300.290 1.828 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000929062682 713542344 /nfs/dbraw/zinc/54/23/44/713542344.db2.gz BGRRKXKQTHNLSP-RYUDHWBXSA-N -1 1 315.439 1.072 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C(C)(C)c2ncc[nH]2)c1Cl ZINC000919413899 713604034 /nfs/dbraw/zinc/60/40/34/713604034.db2.gz VWAOWLZAJQZHLF-UHFFFAOYSA-N -1 1 303.775 1.010 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2(Br)CC2)sn1 ZINC000920052558 713647298 /nfs/dbraw/zinc/64/72/98/713647298.db2.gz KEQPWRSJZYNENQ-UHFFFAOYSA-N -1 1 311.226 1.657 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2c[nH]cc2C)cc1 ZINC000920576219 713680399 /nfs/dbraw/zinc/68/03/99/713680399.db2.gz IHKYKPBGYCFCFJ-UHFFFAOYSA-N -1 1 316.313 1.445 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2C=C[C@H]3C[C@@H]2CO3)sn1 ZINC000921607157 713820079 /nfs/dbraw/zinc/82/00/79/713820079.db2.gz HDEQOKXUMUEKAM-AXFHLTTASA-N -1 1 300.405 1.321 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ncc(C)cc2C)c1 ZINC000921631120 713826163 /nfs/dbraw/zinc/82/61/63/713826163.db2.gz WMJPGWWUUVQQNL-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM CCC[C@H](O)CC[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000921683621 713841750 /nfs/dbraw/zinc/84/17/50/713841750.db2.gz ILTHOWVTGRCFHM-YPMLDQLKSA-N -1 1 314.473 1.268 20 0 DDADMM COCC[C@H]1COCCN1C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000930534087 713859923 /nfs/dbraw/zinc/85/99/23/713859923.db2.gz CMSUAVFOEIBGHE-ZFWWWQNUSA-N -1 1 307.390 1.948 20 0 DDADMM CCOCCOCC[N-]S(=O)(=O)c1sccc1Cl ZINC000921888105 713897666 /nfs/dbraw/zinc/89/76/66/713897666.db2.gz ILCOPICXWKUQJX-UHFFFAOYSA-N -1 1 313.828 1.733 20 0 DDADMM C[C@H](CN1C[C@H](CO)OC(C)(C)C1)C(=O)c1ccc([O-])cc1 ZINC000930749237 713910414 /nfs/dbraw/zinc/91/04/14/713910414.db2.gz OQOHFJSUJCBMGQ-IUODEOHRSA-N -1 1 307.390 1.683 20 0 DDADMM O=C(N[C@H]1CCC[C@@]12CCCO2)c1cnc(C2CC2)[n-]c1=O ZINC000922312157 714018236 /nfs/dbraw/zinc/01/82/36/714018236.db2.gz TUZRXYQYPJIGMX-BLLLJJGKSA-N -1 1 303.362 1.891 20 0 DDADMM CC(C)=CC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000966111387 717957894 /nfs/dbraw/zinc/95/78/94/717957894.db2.gz KWKZPKXYMBZXNZ-NWDGAFQWSA-N -1 1 303.362 1.330 20 0 DDADMM C[C@]1(CO)CCCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932047086 714245694 /nfs/dbraw/zinc/24/56/94/714245694.db2.gz USRKDOHTGNPRFB-MRXNPFEDSA-N -1 1 316.361 1.957 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@H](CO)CC1CC1 ZINC000932047791 714245859 /nfs/dbraw/zinc/24/58/59/714245859.db2.gz QWRRUWNIDIVHEI-ZDUSSCGKSA-N -1 1 316.361 1.860 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC1([C@@H]2CCCCO2)CC1 ZINC000923219056 714275712 /nfs/dbraw/zinc/27/57/12/714275712.db2.gz CEAFLMGDBJGAHA-OLZOCXBDSA-N -1 1 310.394 1.001 20 0 DDADMM Cc1ccccc1[C@H](CO)N(C)C(=O)CCCc1nn[n-]n1 ZINC000923712712 714456953 /nfs/dbraw/zinc/45/69/53/714456953.db2.gz MYVALJRKTVVFSH-ZDUSSCGKSA-N -1 1 303.366 1.023 20 0 DDADMM COC[C@@H]1COCCN1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000923982666 714499901 /nfs/dbraw/zinc/49/99/01/714499901.db2.gz QAFDFOMLRQDGJJ-LLVKDONJSA-N -1 1 314.769 1.602 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2cnc(Cl)cn2)[n-]c1=O ZINC000934269913 714782168 /nfs/dbraw/zinc/78/21/68/714782168.db2.gz CJDWDYLDYICFHE-JTQLQIEISA-N -1 1 321.768 1.971 20 0 DDADMM COc1cnc([C@H]2CCCN2CC(=O)OCC(C)C)[n-]c1=O ZINC000934271227 714782763 /nfs/dbraw/zinc/78/27/63/714782763.db2.gz DCABWVQFASHJHT-LLVKDONJSA-N -1 1 309.366 1.527 20 0 DDADMM CCn1ncnc1CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934275075 714783931 /nfs/dbraw/zinc/78/39/31/714783931.db2.gz YZMOYDUZJRWQTM-SNVBAGLBSA-N -1 1 304.354 1.139 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H]1CC[C@H](C(F)(F)F)O1)c1nn[n-]n1 ZINC000934311478 714791832 /nfs/dbraw/zinc/79/18/32/714791832.db2.gz IIFPUHSGBNVIKL-XHNCKOQMSA-N -1 1 321.303 1.657 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000934313838 714792413 /nfs/dbraw/zinc/79/24/13/714792413.db2.gz RWKJJBOXZMOZSE-LLVKDONJSA-N -1 1 311.292 1.514 20 0 DDADMM Cc1c(Cl)cccc1N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000934729825 714890913 /nfs/dbraw/zinc/89/09/13/714890913.db2.gz WAERAHANHCUJIH-UHFFFAOYSA-N -1 1 321.812 1.392 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@]1(C)CCOc2ccccc21)c1nn[n-]n1 ZINC000935367364 715038691 /nfs/dbraw/zinc/03/86/91/715038691.db2.gz KYXKTBGBDKYTFZ-BZNIZROVSA-N -1 1 315.377 1.502 20 0 DDADMM O=C([O-])CCCNS(=O)(=O)c1occc1Br ZINC000926450934 715068599 /nfs/dbraw/zinc/06/85/99/715068599.db2.gz FZRBZKQPROKQMC-UHFFFAOYSA-N -1 1 312.141 1.185 20 0 DDADMM CC[C@@H](NS(=O)(=O)c1occc1Br)C(=O)[O-] ZINC000926452690 715068906 /nfs/dbraw/zinc/06/89/06/715068906.db2.gz GXVVETJCFPHUGC-ZCFIWIBFSA-N -1 1 312.141 1.184 20 0 DDADMM CN(C(=O)CC1CC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937212462 715292966 /nfs/dbraw/zinc/29/29/66/715292966.db2.gz WJRDFBSZGGUUMA-GFCCVEGCSA-N -1 1 303.362 1.260 20 0 DDADMM O=C(N[C@@H]1CCCCN(C(=O)C(F)F)C1)c1ncccc1[O-] ZINC000943203699 718046128 /nfs/dbraw/zinc/04/61/28/718046128.db2.gz LWQQSFREWRZAID-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM C/C=C(/C)C(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937329089 715335800 /nfs/dbraw/zinc/33/58/00/715335800.db2.gz SIAASNJNWADCKU-RPHDBTCBSA-N -1 1 317.389 1.864 20 0 DDADMM O=C(N[C@@H]1CCCCN(C(=O)C2CC2)C1)c1ncccc1[O-] ZINC000943203717 718045903 /nfs/dbraw/zinc/04/59/03/718045903.db2.gz MGEPAGQNOZSEMO-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CC(=O)N[C@@]1(C)CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000956616600 715495084 /nfs/dbraw/zinc/49/50/84/715495084.db2.gz ZNSMADOIGNCXDJ-INIZCTEOSA-N -1 1 320.393 1.355 20 0 DDADMM CC1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CC=CC1 ZINC000937863529 715618618 /nfs/dbraw/zinc/61/86/18/715618618.db2.gz IUFSFHOBYDRHNS-LBPRGKRZSA-N -1 1 315.373 1.474 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937864284 715619281 /nfs/dbraw/zinc/61/92/81/715619281.db2.gz OFPJPFIKBHMZIG-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)CCC1 ZINC000956852519 715638750 /nfs/dbraw/zinc/63/87/50/715638750.db2.gz YIPKJQWXYRKGJP-KRWDZBQOSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(CCC1CC1)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955930444 716022522 /nfs/dbraw/zinc/02/25/22/716022522.db2.gz DZIPHDVEBMEJKJ-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CC=CCC1 ZINC000939371875 716209403 /nfs/dbraw/zinc/20/94/03/716209403.db2.gz FBISIMHGUSUDHB-QWHCGFSZSA-N -1 1 315.373 1.474 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000959709577 716252253 /nfs/dbraw/zinc/25/22/53/716252253.db2.gz ZVYYSTKNTACUHF-FDYHWXHSSA-N -1 1 317.389 1.410 20 0 DDADMM O=C(CC1CCC1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000939878792 716472008 /nfs/dbraw/zinc/47/20/08/716472008.db2.gz PCBOBNRJIQMMSF-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CC(=O)N1CC[C@@H]([C@@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000958984097 716794438 /nfs/dbraw/zinc/79/44/38/716794438.db2.gz USNINABCXYOKFU-BXKDBHETSA-N -1 1 318.377 1.046 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2CCC2)C1 ZINC000959000653 716812405 /nfs/dbraw/zinc/81/24/05/716812405.db2.gz OCZYBSVQZHQZAA-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C1CCC1 ZINC000945275417 718435119 /nfs/dbraw/zinc/43/51/19/718435119.db2.gz BBPXIVTWDGLHMF-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CCN1CCc2ccccc2[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC000948909940 719780388 /nfs/dbraw/zinc/78/03/88/719780388.db2.gz DVFMBGNRWZMTEB-CQSZACIVSA-N -1 1 314.389 1.773 20 0 DDADMM CC(C)(C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCCC1 ZINC000968937841 719886502 /nfs/dbraw/zinc/88/65/02/719886502.db2.gz RMVNZTHAUUFJIT-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949539192 720147674 /nfs/dbraw/zinc/14/76/74/720147674.db2.gz ZPXDEYMMNAXJKN-NEPJUHHUSA-N -1 1 305.378 1.410 20 0 DDADMM Cc1cccc(C)c1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970062646 720614528 /nfs/dbraw/zinc/61/45/28/720614528.db2.gz JHEBEOAFEVUKPU-GFCCVEGCSA-N -1 1 315.377 1.131 20 0 DDADMM CC1=CC[C@@](C)(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000970772957 720930720 /nfs/dbraw/zinc/93/07/20/720930720.db2.gz PUXXPGMCKOHPTM-MLGOLLRUSA-N -1 1 319.409 1.337 20 0 DDADMM CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000951972273 721261797 /nfs/dbraw/zinc/26/17/97/721261797.db2.gz JVXIGTRCRGGYBA-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(NCC1CCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC000952156043 721337864 /nfs/dbraw/zinc/33/78/64/721337864.db2.gz ASVTUQVKUJJUGV-UHFFFAOYSA-N -1 1 303.362 1.166 20 0 DDADMM C/C=C(/C)C(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000952247988 721379408 /nfs/dbraw/zinc/37/94/08/721379408.db2.gz MCUUQAAPRRTIOP-BASWHVEKSA-N -1 1 317.389 1.722 20 0 DDADMM CCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000952640889 721489020 /nfs/dbraw/zinc/48/90/20/721489020.db2.gz UBHLYZLOCFCMQU-BETUJISGSA-N -1 1 317.389 1.793 20 0 DDADMM CC(=O)N1CCC[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000952903180 721521968 /nfs/dbraw/zinc/52/19/68/721521968.db2.gz UUFPALBJEPKPCP-MRXNPFEDSA-N -1 1 303.362 1.262 20 0 DDADMM CCn1ccnc1CN1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038193115 733187128 /nfs/dbraw/zinc/18/71/28/733187128.db2.gz HPFGIBDYKCXTPW-GFCCVEGCSA-N -1 1 315.377 1.008 20 0 DDADMM Cc1conc1CN1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010733057 733191591 /nfs/dbraw/zinc/19/15/91/733191591.db2.gz OYWUYCNFIYLYNA-NSHDSACASA-N -1 1 302.334 1.088 20 0 DDADMM O=C(CCC1CCC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010927878 733519823 /nfs/dbraw/zinc/51/98/23/733519823.db2.gz FMSDWVKVKVMKAC-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011015250 733738334 /nfs/dbraw/zinc/73/83/34/733738334.db2.gz UKOHKARAHCYYBN-NSHDSACASA-N -1 1 303.362 1.118 20 0 DDADMM CC(=O)N1CC([C@H](C)NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC001006547781 736387987 /nfs/dbraw/zinc/38/79/87/736387987.db2.gz BBFGTCPFFCRWCJ-VIFPVBQESA-N -1 1 320.393 1.211 20 0 DDADMM C[C@H]1CCC[C@@]1(O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692887846 738943591 /nfs/dbraw/zinc/94/35/91/738943591.db2.gz GGNCBXBRZCPDID-QPUJVOFHSA-N -1 1 322.789 1.704 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)/C=C/C2CC2)C1 ZINC001017088945 751460993 /nfs/dbraw/zinc/46/09/93/751460993.db2.gz VOMRRCSRNBHSJL-YBJDMEARSA-N -1 1 319.409 1.147 20 0 DDADMM C/C=C(/C)C(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059515343 741088710 /nfs/dbraw/zinc/08/87/10/741088710.db2.gz XCQMAUIKIFYRRG-RPHDBTCBSA-N -1 1 317.389 1.722 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@H]2CCC2(C)C)C1 ZINC001029812369 741341218 /nfs/dbraw/zinc/34/12/18/741341218.db2.gz IDYBZYYFNNWJGX-VXGBXAGGSA-N -1 1 321.425 1.227 20 0 DDADMM O=C([C@H]1CC12CC2)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088389514 741455645 /nfs/dbraw/zinc/45/56/45/741455645.db2.gz QQWSDWDUJXQIIH-NWDGAFQWSA-N -1 1 319.409 1.171 20 0 DDADMM C[C@@H](CCCNc1cnc(F)cn1)NC(=O)c1ncccc1[O-] ZINC001114907312 751674747 /nfs/dbraw/zinc/67/47/47/751674747.db2.gz RJAKRQYBMHYVCU-JTQLQIEISA-N -1 1 319.340 1.727 20 0 DDADMM Cc1nc(C(=O)NCC[C@H](C)NC(=O)c2ncccc2[O-])co1 ZINC001076258881 742629222 /nfs/dbraw/zinc/62/92/22/742629222.db2.gz NAEZIWICNIPXNT-VIFPVBQESA-N -1 1 318.333 1.022 20 0 DDADMM Nc1cc(CC(=O)Nc2nc(Br)ccc2[O-])ccn1 ZINC001181230994 743124701 /nfs/dbraw/zinc/12/47/01/743124701.db2.gz WUXCDUUUFLAXQV-UHFFFAOYSA-N -1 1 323.150 1.708 20 0 DDADMM C[C@@H](CCNC(=O)[C@@H](C)C1CCC1)NC(=O)c1ncccc1[O-] ZINC001077095562 743239404 /nfs/dbraw/zinc/23/94/04/743239404.db2.gz YDDUALWLPNVKRM-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1n[nH]c(C(=O)NC2CN(CCC3CCCCC3)C2)c1[O-] ZINC001030223129 743961821 /nfs/dbraw/zinc/96/18/21/743961821.db2.gz YFSPZKGWIRRGND-UHFFFAOYSA-N -1 1 306.410 1.808 20 0 DDADMM Cc1ccc(F)c(CN2CC(NC(=O)c3ncccc3[O-])C2)c1 ZINC001030242901 743978657 /nfs/dbraw/zinc/97/86/57/743978657.db2.gz ZDYAKQXZSMEZQQ-UHFFFAOYSA-N -1 1 315.348 1.849 20 0 DDADMM CCOC(=O)[C@H](C)C(=O)Nc1nc(Br)ccc1[O-] ZINC001184185515 743983352 /nfs/dbraw/zinc/98/33/52/743983352.db2.gz SOEXSCKGTZWUGY-ZCFIWIBFSA-N -1 1 317.139 1.687 20 0 DDADMM Cc1noc(C)c1NC(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001185142215 744160117 /nfs/dbraw/zinc/16/01/17/744160117.db2.gz LCJUZAAKGVICQJ-UHFFFAOYSA-N -1 1 321.297 1.895 20 0 DDADMM Cc1ncc(CO)c(CNC(=O)c2ccc([O-])c(F)c2)c1O ZINC001186237084 744361786 /nfs/dbraw/zinc/36/17/86/744361786.db2.gz PAXZFBMRYBXFID-UHFFFAOYSA-N -1 1 306.293 1.363 20 0 DDADMM CC(C)C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ccc([O-])cc3F)C[C@H]21 ZINC001186326631 744374889 /nfs/dbraw/zinc/37/48/89/744374889.db2.gz DTTHLRPBYHKMBJ-IMRBUKKESA-N -1 1 306.337 1.374 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NC(=O)NCC1CCOCC1 ZINC001186910529 744471575 /nfs/dbraw/zinc/47/15/75/744471575.db2.gz GDDSJXLJGRRAPA-UHFFFAOYSA-N -1 1 313.339 1.417 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cnn(C2CCOCC2)c1 ZINC001187245735 744524892 /nfs/dbraw/zinc/52/48/92/744524892.db2.gz CRYOMGFAOJYNTR-UHFFFAOYSA-N -1 1 303.384 1.013 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cc(C)c(C(=O)OC)cc1C ZINC001187286325 744534517 /nfs/dbraw/zinc/53/45/17/744534517.db2.gz HIBHZABDSRNHTF-UHFFFAOYSA-N -1 1 315.391 1.868 20 0 DDADMM O=C(NCC(F)(F)c1ccccn1)c1n[n-]nc1C(F)(F)F ZINC001187770586 744599005 /nfs/dbraw/zinc/59/90/05/744599005.db2.gz NTHBYIFNFMEOTL-UHFFFAOYSA-N -1 1 321.209 1.740 20 0 DDADMM CN(C)c1nc(NC(=O)Nc2ccc3c(c2)OCO3)cc(=O)[n-]1 ZINC001187830699 744624757 /nfs/dbraw/zinc/62/47/57/744624757.db2.gz DMMCDVCLBBISSW-UHFFFAOYSA-N -1 1 317.305 1.621 20 0 DDADMM O=S(=O)([N-]C[C@H]1COc2ccccc2O1)c1nccs1 ZINC001187908817 744627980 /nfs/dbraw/zinc/62/79/80/744627980.db2.gz MYUVPZNGXCBIAB-VIFPVBQESA-N -1 1 312.372 1.261 20 0 DDADMM O=S(=O)([N-]Cc1ccc(-n2ccnn2)cc1)c1nccs1 ZINC001187923248 744635787 /nfs/dbraw/zinc/63/57/87/744635787.db2.gz LMDASTBYMOLLRY-UHFFFAOYSA-N -1 1 321.387 1.202 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1c(C)ncn1C ZINC001187987226 744643660 /nfs/dbraw/zinc/64/36/60/744643660.db2.gz XEGGRUBSOOHZGN-UHFFFAOYSA-N -1 1 322.390 1.742 20 0 DDADMM COC(=O)c1scnc1[N-]C(=O)c1nnsc1C1CC1 ZINC001188083874 744657648 /nfs/dbraw/zinc/65/76/48/744657648.db2.gz RHGAJPSRWBOUHK-UHFFFAOYSA-N -1 1 310.360 1.911 20 0 DDADMM Cc1nccc(N[C@@H](C)C[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001089272862 744847224 /nfs/dbraw/zinc/84/72/24/744847224.db2.gz RMQKKKAPTLTRSA-WDEREUQCSA-N -1 1 315.377 1.895 20 0 DDADMM Cn1nc2c(c1NC(=O)c1cnc(C3CC3)[n-]c1=O)CCCC2 ZINC000913675132 744872863 /nfs/dbraw/zinc/87/28/63/744872863.db2.gz BFSGCOWOHCAYTH-UHFFFAOYSA-N -1 1 313.361 1.924 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccn(C(F)F)n1 ZINC001189446132 744900415 /nfs/dbraw/zinc/90/04/15/744900415.db2.gz NPYVKSASJHUGPP-UHFFFAOYSA-N -1 1 300.181 1.130 20 0 DDADMM Cc1coc(C[N-]S(=O)(=O)Cc2cc(F)ccc2F)n1 ZINC001189645280 744932999 /nfs/dbraw/zinc/93/29/99/744932999.db2.gz VFNASDKBGWCCJV-UHFFFAOYSA-N -1 1 302.302 1.881 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2cnc(OC)nc2)c(OC)c1 ZINC001190109997 745117183 /nfs/dbraw/zinc/11/71/83/745117183.db2.gz GKTWFONPNROJRL-UHFFFAOYSA-N -1 1 305.290 1.460 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2c(C)cnn2CCO)c(OC)c1 ZINC001190122491 745123379 /nfs/dbraw/zinc/12/33/79/745123379.db2.gz SZUCXNPPVVSPAK-UHFFFAOYSA-N -1 1 321.333 1.159 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1ccc(OC)nc1OC ZINC001190240582 745158022 /nfs/dbraw/zinc/15/80/22/745158022.db2.gz FUCJMCOEQCEZRZ-UHFFFAOYSA-N -1 1 321.289 1.434 20 0 DDADMM CC1(C)[C@H](NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)C[C@@H]1O ZINC001190629818 745279131 /nfs/dbraw/zinc/27/91/31/745279131.db2.gz YDOCOGMCFREDPJ-NEPJUHHUSA-N -1 1 314.345 1.133 20 0 DDADMM Cc1nccnc1CNC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190638358 745282484 /nfs/dbraw/zinc/28/24/84/745282484.db2.gz ZMCDJZNNZXMPIV-UHFFFAOYSA-N -1 1 322.328 1.273 20 0 DDADMM COc1cc(C(=O)Nc2c[nH]c(=O)nc2N)cc(Cl)c1[O-] ZINC001191148353 745436293 /nfs/dbraw/zinc/43/62/93/745436293.db2.gz MATTZQFXUGEIPK-UHFFFAOYSA-N -1 1 310.697 1.384 20 0 DDADMM O=C([O-])CCC(=O)CNC(=O)c1c[nH]c(-c2ccccc2)n1 ZINC001192222155 745730649 /nfs/dbraw/zinc/73/06/49/745730649.db2.gz PTNDUJBZJYLMOI-UHFFFAOYSA-N -1 1 301.302 1.240 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1Cc2ccnn2CC[C@@H]1CO ZINC001192539737 745826419 /nfs/dbraw/zinc/82/64/19/745826419.db2.gz IJVSPFMGMICEST-SNVBAGLBSA-N -1 1 323.299 1.274 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cccc(OC2CCC2)c1 ZINC001193167955 746004322 /nfs/dbraw/zinc/00/43/22/746004322.db2.gz WMTJDXHDFABDID-UHFFFAOYSA-N -1 1 316.317 1.654 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1cccnc1-n1cccn1 ZINC001193196081 746008057 /nfs/dbraw/zinc/00/80/57/746008057.db2.gz CFFVRFCGAQIUHG-NSHDSACASA-N -1 1 308.363 1.188 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1cnn(C2CCOCC2)c1 ZINC001193205481 746013101 /nfs/dbraw/zinc/01/31/01/746013101.db2.gz JHOBVHJUODGEKN-ZDUSSCGKSA-N -1 1 315.395 1.155 20 0 DDADMM Cn1ccnc1S(=O)(=O)[N-]c1cccc(CN2CCCC2)c1 ZINC001193596922 746139907 /nfs/dbraw/zinc/13/99/07/746139907.db2.gz DKJUGQWURPXGSE-UHFFFAOYSA-N -1 1 320.418 1.817 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cncnc2C(F)(F)F)[n-]n1 ZINC001194282284 746339401 /nfs/dbraw/zinc/33/94/01/746339401.db2.gz GLXNAAAZMKZJTI-UHFFFAOYSA-N -1 1 315.211 1.257 20 0 DDADMM COc1ncnc(NC(=O)c2c[n-]c(C(F)(F)F)n2)c1OC ZINC001194770705 746462387 /nfs/dbraw/zinc/46/23/87/746462387.db2.gz NQCWFGCXWAZQMZ-UHFFFAOYSA-N -1 1 317.227 1.488 20 0 DDADMM O=C1CCC(S(=O)(=O)[N-]c2ccccc2C2=NCCO2)CC1 ZINC001194809678 746465187 /nfs/dbraw/zinc/46/51/87/746465187.db2.gz WRVRVVZUGYSJBO-UHFFFAOYSA-N -1 1 322.386 1.717 20 0 DDADMM COc1ccccc1OC(=O)NCCCC[P@](=O)([O-])O ZINC001195283637 746568195 /nfs/dbraw/zinc/56/81/95/746568195.db2.gz DHSOTCMKCZDEIB-UHFFFAOYSA-N -1 1 303.251 1.742 20 0 DDADMM O=Nc1c(=O)[nH]c(=O)[nH]c1NC(=O)c1cc([O-])cnc1Cl ZINC001195297349 746569762 /nfs/dbraw/zinc/56/97/62/746569762.db2.gz ANILGXKVASFVOC-UHFFFAOYSA-N -1 1 311.641 1.292 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1Cc2ccnn2CC[C@H]1CO ZINC001195308420 746572603 /nfs/dbraw/zinc/57/26/03/746572603.db2.gz DGZZAGVNFLCDGK-JTQLQIEISA-N -1 1 322.752 1.044 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1Cc2ccnn2CC[C@@H]1CO ZINC001195308423 746572651 /nfs/dbraw/zinc/57/26/51/746572651.db2.gz DGZZAGVNFLCDGK-SNVBAGLBSA-N -1 1 322.752 1.044 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)[C@H](O)CC3)cn1 ZINC001195731311 746678760 /nfs/dbraw/zinc/67/87/60/746678760.db2.gz GCALHDVTOLRMRC-CQSZACIVSA-N -1 1 320.370 1.871 20 0 DDADMM O=C(NCC1(c2ccc(F)cn2)CC1)c1c[nH]c(=S)[n-]c1=O ZINC001196026719 746766050 /nfs/dbraw/zinc/76/60/50/746766050.db2.gz DIBBMMKUSXYSCA-UHFFFAOYSA-N -1 1 320.349 1.467 20 0 DDADMM COc1ccccc1NC(=S)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001196272512 746823479 /nfs/dbraw/zinc/82/34/79/746823479.db2.gz TZVOZRVLQLRLCY-UHFFFAOYSA-N -1 1 316.346 1.876 20 0 DDADMM O=C([N-]c1cc(-c2ccccc2O)no1)c1snnc1CO ZINC001196350875 746840903 /nfs/dbraw/zinc/84/09/03/746840903.db2.gz GGBHAOSJISHANP-UHFFFAOYSA-N -1 1 318.314 1.643 20 0 DDADMM CCCOc1cccnc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001196923598 746998567 /nfs/dbraw/zinc/99/85/67/746998567.db2.gz MSKLRZUZHJJILS-UHFFFAOYSA-N -1 1 319.277 1.722 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1ncc(Cl)cc1O ZINC001196915017 747009403 /nfs/dbraw/zinc/00/94/03/747009403.db2.gz QCJZHDCJAGNXOM-UHFFFAOYSA-N -1 1 322.770 1.526 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c(Cl)ccnc1C(F)(F)F ZINC001197235044 747113269 /nfs/dbraw/zinc/11/32/69/747113269.db2.gz MGFYAIXGTUVBDK-UHFFFAOYSA-N -1 1 306.635 1.197 20 0 DDADMM CCCCS[C@H](C)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123911470 747135979 /nfs/dbraw/zinc/13/59/79/747135979.db2.gz DHLABJOPVZDURT-VXGBXAGGSA-N -1 1 311.455 1.903 20 0 DDADMM O=S(=O)(Cc1ccccn1)[N-]c1cnn(C2CCOCC2)c1 ZINC001197781937 747263065 /nfs/dbraw/zinc/26/30/65/747263065.db2.gz FLPGCHONEHTDNC-UHFFFAOYSA-N -1 1 322.390 1.572 20 0 DDADMM Cc1cc2ncc([N-]S(=O)(=O)Cc3ccccn3)cn2n1 ZINC001197789036 747264904 /nfs/dbraw/zinc/26/49/04/747264904.db2.gz LTNMTGCDYHDWMQ-UHFFFAOYSA-N -1 1 303.347 1.375 20 0 DDADMM O=C1OCc2c1cccc2[N-]S(=O)(=O)Cc1ccccn1 ZINC001197821327 747288443 /nfs/dbraw/zinc/28/84/43/747288443.db2.gz JYHBQWCUEZZLPF-UHFFFAOYSA-N -1 1 304.327 1.694 20 0 DDADMM CN(C)c1cnccc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001198158397 747394436 /nfs/dbraw/zinc/39/44/36/747394436.db2.gz ZOCVVKXPIPMANN-UHFFFAOYSA-N -1 1 300.244 1.537 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(-n3ccnn3)cc2)cn1 ZINC001198305884 747445326 /nfs/dbraw/zinc/44/53/26/747445326.db2.gz WICJEXSYKJAENQ-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM CN1CC2(C1)CCN(S(=O)(=O)c1ccccc1C(=O)[O-])CC2 ZINC001198767362 747603013 /nfs/dbraw/zinc/60/30/13/747603013.db2.gz XNZINCVEAZJIPJ-UHFFFAOYSA-N -1 1 324.402 1.101 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cncn1CC1CCC1 ZINC001199610414 747914072 /nfs/dbraw/zinc/91/40/72/747914072.db2.gz MWHXVFTXHPZLNF-UHFFFAOYSA-N -1 1 313.321 1.307 20 0 DDADMM O=S(=O)([N-]C1(CO)CC1)c1c(F)cccc1Br ZINC001201018586 748389760 /nfs/dbraw/zinc/38/97/60/748389760.db2.gz IOZHTEAFVJRBFH-UHFFFAOYSA-N -1 1 324.171 1.391 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cnn(Cc2ccccn2)c1 ZINC001201412879 748507904 /nfs/dbraw/zinc/50/79/04/748507904.db2.gz LPLLVUTYUWHTDA-UHFFFAOYSA-N -1 1 315.362 1.437 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)N[C@@H]1C[C@H]1c1ccccc1 ZINC001201942983 748649102 /nfs/dbraw/zinc/64/91/02/748649102.db2.gz ZFEKPQHZNUJDTF-QWHCGFSZSA-N -1 1 312.306 1.800 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)CC(C)(C)C ZINC001004747913 748713561 /nfs/dbraw/zinc/71/35/61/748713561.db2.gz UUWPGLLPLJRKER-VXGBXAGGSA-N -1 1 323.441 1.806 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001004749895 748716002 /nfs/dbraw/zinc/71/60/02/748716002.db2.gz JDYQEEFJKQUHNR-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM CC1(C)CN(C(=O)[C@]2(C)C=CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996147661 749396844 /nfs/dbraw/zinc/39/68/44/749396844.db2.gz ZQXUDHIXWCSTDC-BDJLRTHQSA-N -1 1 319.409 1.193 20 0 DDADMM O=C(NCC1=CCN(Cc2ccon2)CC1)c1ncccc1[O-] ZINC001000610116 761997891 /nfs/dbraw/zinc/99/78/91/761997891.db2.gz VYLYUHFLPSDZQH-UHFFFAOYSA-N -1 1 314.345 1.337 20 0 DDADMM CC1(C)CCC[C@@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035018256 751127468 /nfs/dbraw/zinc/12/74/68/751127468.db2.gz ICONCWAAFXVRNS-VXGBXAGGSA-N -1 1 321.425 1.417 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035022577 751131845 /nfs/dbraw/zinc/13/18/45/751131845.db2.gz RRUCURCCNWMLEI-MNOVXSKESA-N -1 1 307.398 1.027 20 0 DDADMM O=C(CC1CC1)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035150967 751236299 /nfs/dbraw/zinc/23/62/99/751236299.db2.gz QCMKJQZGKVAFPF-UHFFFAOYSA-N -1 1 319.409 1.125 20 0 DDADMM C[C@]1(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000997848363 751321733 /nfs/dbraw/zinc/32/17/33/751321733.db2.gz VZDDNYSRBGRGRN-INIZCTEOSA-N -1 1 301.346 1.084 20 0 DDADMM C[C@@H]1CCCN(C(=O)C2CCCC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036494814 752485549 /nfs/dbraw/zinc/48/55/49/752485549.db2.gz MBVDQSHGURRQQM-YPMHNXCESA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H]1CCCN(C(=O)C2CC=CC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036528166 752521905 /nfs/dbraw/zinc/52/19/05/752521905.db2.gz OYJUIVWYWNFHIG-YPMHNXCESA-N -1 1 319.409 1.193 20 0 DDADMM Cc1ncc(CN2CCC[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001007177294 753034030 /nfs/dbraw/zinc/03/40/30/753034030.db2.gz LPDNTWVITXJOMH-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM O=C(CCC1CC1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036670679 753196545 /nfs/dbraw/zinc/19/65/45/753196545.db2.gz YQFFBKZMBBUHGQ-CHWSQXEVSA-N -1 1 319.409 1.123 20 0 DDADMM CCC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001009754922 753341264 /nfs/dbraw/zinc/34/12/64/753341264.db2.gz BYKWRPSUSNIFLZ-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM Cc1nc([C@H](C)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001010733005 754130276 /nfs/dbraw/zinc/13/02/76/754130276.db2.gz OJHGXAOEBZIXOD-ONGXEEELSA-N -1 1 317.349 1.044 20 0 DDADMM CC[C@@]1(C)C[C@@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035287 754318280 /nfs/dbraw/zinc/31/82/80/754318280.db2.gz BVSSCBVTNSJNJC-PXAZEXFGSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CCC1 ZINC001011371530 754479047 /nfs/dbraw/zinc/47/90/47/754479047.db2.gz PRDUUNMCJDHQRD-JQWIXIFHSA-N -1 1 303.362 1.307 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)C1CC1)C(=O)c1ncccc1[O-] ZINC001002016746 754646374 /nfs/dbraw/zinc/64/63/74/754646374.db2.gz WOQRPTCKEZJGMP-GFCCVEGCSA-N -1 1 303.362 1.260 20 0 DDADMM CC(C)[C@@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064622891 754741874 /nfs/dbraw/zinc/74/18/74/754741874.db2.gz MVLDNEPTNFWROR-GFCCVEGCSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001014894993 756004979 /nfs/dbraw/zinc/00/49/79/756004979.db2.gz RUYQJQNVOMCFLH-CHWSQXEVSA-N -1 1 317.389 1.863 20 0 DDADMM CN(C(=O)[C@H]1CC1(C)C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016408120 757007766 /nfs/dbraw/zinc/00/77/66/757007766.db2.gz DFENYNMECMUTFQ-HTAVTVPLSA-N -1 1 317.389 1.553 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962270 759454249 /nfs/dbraw/zinc/45/42/49/759454249.db2.gz NICCFVNNMXKIML-NEPJUHHUSA-N -1 1 315.373 1.118 20 0 DDADMM Cc1cc(NC2CCN(C(=O)c3ncccc3[O-])CC2)ncn1 ZINC001057313795 763397321 /nfs/dbraw/zinc/39/73/21/763397321.db2.gz CPURNLLZNHURQC-UHFFFAOYSA-N -1 1 313.361 1.602 20 0 DDADMM O=C(CCC1CCCCCC1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001131435197 768133349 /nfs/dbraw/zinc/13/33/49/768133349.db2.gz TXMXUXAZJFHPDD-UHFFFAOYSA-N -1 1 309.414 1.467 20 0 DDADMM C/C=C(/C)C(=O)N[C@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050524121 763705736 /nfs/dbraw/zinc/70/57/36/763705736.db2.gz UNVPOWUSEUXZOU-ZYFYVMIWSA-N -1 1 317.389 1.720 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cscc3C)nc2n1 ZINC001131556286 768187116 /nfs/dbraw/zinc/18/71/16/768187116.db2.gz TWSJUFAHHCJJBC-UHFFFAOYSA-N -1 1 303.347 1.602 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1ncc(F)cn1 ZINC001112983846 765083914 /nfs/dbraw/zinc/08/39/14/765083914.db2.gz QWINTEQAUMRRPG-BDAKNGLRSA-N -1 1 305.313 1.335 20 0 DDADMM C[C@@H](Nc1nc(C2CCC2)ns1)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001113356561 765605670 /nfs/dbraw/zinc/60/56/70/765605670.db2.gz JBJVGXSJRIOFQU-JGVFFNPUSA-N -1 1 321.410 1.543 20 0 DDADMM CC(C)CCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131788128 768355739 /nfs/dbraw/zinc/35/57/39/768355739.db2.gz PWPQSTPTGUIRCT-CHWSQXEVSA-N -1 1 323.441 1.014 20 0 DDADMM Cn1cnc2nc(Cl)nc(Nc3[n-]c(=O)nc4nc[nH]c43)c21 ZINC001170222341 766196178 /nfs/dbraw/zinc/19/61/78/766196178.db2.gz BBDSDGDAIQHDME-UHFFFAOYSA-N -1 1 317.700 1.132 20 0 DDADMM CC(C)Oc1cccnc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170223812 766196806 /nfs/dbraw/zinc/19/68/06/766196806.db2.gz QTIFZAILHVOPSO-UHFFFAOYSA-N -1 1 302.294 1.642 20 0 DDADMM COCCOc1cncc(Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001170230358 766205025 /nfs/dbraw/zinc/20/50/25/766205025.db2.gz PUMVTORACNEUOB-UHFFFAOYSA-N -1 1 302.294 1.222 20 0 DDADMM Cc1ccnc(N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001058148047 766274024 /nfs/dbraw/zinc/27/40/24/766274024.db2.gz ZXJFVQIDBBFIGR-GFCCVEGCSA-N -1 1 313.361 1.284 20 0 DDADMM O=C(N[C@@H]1CCN(c2cccc(F)n2)C1)c1ncccc1[O-] ZINC001058344280 766437508 /nfs/dbraw/zinc/43/75/08/766437508.db2.gz WDFXZWRKWZTURP-SNVBAGLBSA-N -1 1 302.309 1.330 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(Cc2cscn2)C1 ZINC001046260328 767315387 /nfs/dbraw/zinc/31/53/87/767315387.db2.gz XYEAXNHQVGVAJW-HNNXBMFYSA-N -1 1 318.402 1.638 20 0 DDADMM Cc1ccc(N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001046333430 767431156 /nfs/dbraw/zinc/43/11/56/767431156.db2.gz GEJXCXBKTFDQEA-TXEJJXNPSA-N -1 1 313.361 1.506 20 0 DDADMM Cc1cc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c(C)cc1Cl ZINC001132268744 768722722 /nfs/dbraw/zinc/72/27/22/768722722.db2.gz RUBXOFOIMTZJSW-UHFFFAOYSA-N -1 1 323.784 1.300 20 0 DDADMM O=C(CCCCc1ccccc1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001133208261 769591537 /nfs/dbraw/zinc/59/15/37/769591537.db2.gz BYTPCRMFPKETTR-UHFFFAOYSA-N -1 1 317.393 1.129 20 0 DDADMM CCC(C)(C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952302 770336703 /nfs/dbraw/zinc/33/67/03/770336703.db2.gz KKNXJQXVHZSSJM-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCC(=O)Nc2ccccc2)c1 ZINC001136321066 771936400 /nfs/dbraw/zinc/93/64/00/771936400.db2.gz MMARFYAXPHZVHO-UHFFFAOYSA-N -1 1 312.325 1.882 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCCC[C@H]2CNC(N)=O)c1 ZINC001136575423 772021618 /nfs/dbraw/zinc/02/16/18/772021618.db2.gz VKDBVPGZKVJCOB-LBPRGKRZSA-N -1 1 319.361 1.176 20 0 DDADMM CCCN(CC(=O)OCC)C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001144221090 772443973 /nfs/dbraw/zinc/44/39/73/772443973.db2.gz WDSUIILVJPHMMU-UHFFFAOYSA-N -1 1 307.346 1.928 20 0 DDADMM O=C(C(=O)N1CC[C@H](c2cc(=O)[nH][nH]2)C1)c1ccc([O-])cc1 ZINC001144502272 772552578 /nfs/dbraw/zinc/55/25/78/772552578.db2.gz ICDQCJAVWAMNPQ-JTQLQIEISA-N -1 1 301.302 1.020 20 0 DDADMM CN(Cc1c(F)cc([O-])cc1F)C1CCS(=O)(=O)CC1 ZINC001144559945 772565177 /nfs/dbraw/zinc/56/51/77/772565177.db2.gz SKOFEPVXODFVGP-UHFFFAOYSA-N -1 1 305.346 1.679 20 0 DDADMM O=C(Cc1ccc(O)c(F)c1)NCCCC[P@](=O)([O-])O ZINC001144632285 772585641 /nfs/dbraw/zinc/58/56/41/772585641.db2.gz HQEVQVYASWBWOK-UHFFFAOYSA-N -1 1 305.242 1.148 20 0 DDADMM COC[C@@H]1CN(Cc2cc(F)c([O-])cc2F)Cc2nnn(C)c21 ZINC001144650756 772587814 /nfs/dbraw/zinc/58/78/14/772587814.db2.gz BCBMEJHXZLCRKG-JTQLQIEISA-N -1 1 324.331 1.545 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC[C@@H](c2nnc3ccccn32)C1 ZINC001147019714 773017301 /nfs/dbraw/zinc/01/73/01/773017301.db2.gz GJSRNOHVGLRRLK-GFCCVEGCSA-N -1 1 323.356 1.850 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])c1ncncc1Cl ZINC001100515436 776262820 /nfs/dbraw/zinc/26/28/20/776262820.db2.gz QOVDQHRMZPXIPX-UHFFFAOYSA-N -1 1 321.768 1.487 20 0 DDADMM NS(=O)(=O)c1cc(C(=O)Nc2cccc(F)c2[O-])cs1 ZINC001171632699 776356136 /nfs/dbraw/zinc/35/61/36/776356136.db2.gz LLOATWVGFRPZGN-UHFFFAOYSA-N -1 1 316.335 1.493 20 0 DDADMM Cc1ccnc(N(CCNC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001101323484 777069717 /nfs/dbraw/zinc/06/97/17/777069717.db2.gz KSLOUXKAPLKZED-UHFFFAOYSA-N -1 1 313.361 1.284 20 0 DDADMM CS(=O)(=O)c1cccc(-c2noc(-c3ccncc3[O-])n2)c1 ZINC001212721610 777119069 /nfs/dbraw/zinc/11/90/69/777119069.db2.gz XOIBHLYHBPXOCT-UHFFFAOYSA-N -1 1 317.326 1.908 20 0 DDADMM CCOC(=O)[C@@H]1CC12CCC([NH2+]CCP(=O)([O-])[O-])CC2 ZINC001173603291 777274825 /nfs/dbraw/zinc/27/48/25/777274825.db2.gz ISCDVSWGLJIHQD-AKJDGMEZSA-N -1 1 305.311 1.266 20 0 DDADMM CN(C)c1nc(Nc2ccc(C(N)=O)cc2)c(N=O)c(=O)[n-]1 ZINC001174048425 777395248 /nfs/dbraw/zinc/39/52/48/777395248.db2.gz DZVLORMCYUURGM-UHFFFAOYSA-N -1 1 302.294 1.489 20 0 DDADMM CC(C)NC(=O)c1cccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c1 ZINC001174184733 777423577 /nfs/dbraw/zinc/42/35/77/777423577.db2.gz XVVXRVLPQYDCNY-UHFFFAOYSA-N -1 1 319.390 1.935 20 0 DDADMM Cc1nn(C)c(C)c1Nc1cc(S(=O)(=O)C(N)=O)ccc1[O-] ZINC001174229709 777428827 /nfs/dbraw/zinc/42/88/27/777428827.db2.gz KKNOBLGWXRSTCR-UHFFFAOYSA-N -1 1 324.362 1.753 20 0 DDADMM CN(C)c1nc(NC2=CCC3(CC2)OCCO3)c(N=O)c(=O)[n-]1 ZINC001174636899 777531535 /nfs/dbraw/zinc/53/15/35/777531535.db2.gz DBGMEEZCDRUFMB-UHFFFAOYSA-N -1 1 321.337 1.869 20 0 DDADMM C[S@](=O)c1ccccc1[N-]S(=O)(=O)c1ccc(N)cc1 ZINC001175308623 777746983 /nfs/dbraw/zinc/74/69/83/777746983.db2.gz ITOFIRJOHQOBAN-IBGZPJMESA-N -1 1 310.400 1.807 20 0 DDADMM O=C(CCc1ccc(F)nc1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001175405535 777774900 /nfs/dbraw/zinc/77/49/00/777774900.db2.gz AUJNYPFFWMTKPH-UHFFFAOYSA-N -1 1 302.269 1.164 20 0 DDADMM C[C@@H](C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CCC1 ZINC001102079767 777857695 /nfs/dbraw/zinc/85/76/95/777857695.db2.gz DJCYRNQFLGZYQI-NQBHXWOUSA-N -1 1 321.425 1.131 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ncoc1-c1ccon1 ZINC001176602616 778201603 /nfs/dbraw/zinc/20/16/03/778201603.db2.gz NIULTKBIVZIKFX-UHFFFAOYSA-N -1 1 317.309 1.141 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)C1=Cc2cccn2C1=O ZINC001177816996 778727337 /nfs/dbraw/zinc/72/73/37/778727337.db2.gz KPUNYNZEQDXBEE-UHFFFAOYSA-N -1 1 300.274 1.064 20 0 DDADMM C[C@@H]1CC[C@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)O1 ZINC000692861914 779491130 /nfs/dbraw/zinc/49/11/30/779491130.db2.gz NKQQMJOMMTXBGO-HTQZYQBOSA-N -1 1 308.762 1.720 20 0 DDADMM Nc1cn[n-]c(=NNC(=S)NC[C@H]2CCCCO2)c1Cl ZINC001116388275 780503748 /nfs/dbraw/zinc/50/37/48/780503748.db2.gz FDDVWFYPHXXLMG-SSDOTTSWSA-N -1 1 316.818 1.072 20 0 DDADMM CSCc1nc2ccccc2n1CC(=O)N(C)c1nn[n-]n1 ZINC001119429306 781450855 /nfs/dbraw/zinc/45/08/55/781450855.db2.gz AZXBZKKWABVQNL-UHFFFAOYSA-N -1 1 317.378 1.075 20 0 DDADMM O=C([O-])Cc1ccc(CCNCc2cn(C3CCC3)nn2)cc1 ZINC001119550461 781507584 /nfs/dbraw/zinc/50/75/84/781507584.db2.gz HFVZGMWIYWOTFY-UHFFFAOYSA-N -1 1 314.389 1.963 20 0 DDADMM CN1CCN(c2ccccc2CNC(=O)C(C)(C)C(=O)[O-])CC1 ZINC001119574858 781521208 /nfs/dbraw/zinc/52/12/08/781521208.db2.gz PGHMJRKRMHOTOF-UHFFFAOYSA-N -1 1 319.405 1.165 20 0 DDADMM CC[C@H](C)CO[N-]C(=O)CC1(O)CN(C(=O)OC(C)(C)C)C1 ZINC001120287792 781853023 /nfs/dbraw/zinc/85/30/23/781853023.db2.gz AXZDIFVNRHWDOK-NSHDSACASA-N -1 1 316.398 1.452 20 0 DDADMM NC(=O)C12CC(NC(=O)c3ccc(C(F)(F)F)cc3[O-])(C1)C2 ZINC001269920266 842155664 /nfs/dbraw/zinc/15/56/64/842155664.db2.gz XIHALWAXDNGXRV-UHFFFAOYSA-N -1 1 314.263 1.549 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2cccc(C)c2)sn1 ZINC001363936578 842870424 /nfs/dbraw/zinc/87/04/24/842870424.db2.gz SZKJSCBNFNTELH-UHFFFAOYSA-N -1 1 312.416 1.981 20 0 DDADMM CC(=O)N1CCC(Oc2[n-]c(=O)ncc2Br)CC1 ZINC001227134014 843358391 /nfs/dbraw/zinc/35/83/91/843358391.db2.gz SFDYLZQCWJEPGP-UHFFFAOYSA-N -1 1 316.155 1.334 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CC(F)(F)F ZINC001409261993 844728478 /nfs/dbraw/zinc/72/84/78/844728478.db2.gz XJCFQMNUBKNFDN-MRVPVSSYSA-N -1 1 319.283 1.364 20 0 DDADMM Cc1cc(C(=O)N[C@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)c(C)s1 ZINC001409407657 845054596 /nfs/dbraw/zinc/05/45/96/845054596.db2.gz WEQORPNHIZHHSM-RKDXNWHRSA-N -1 1 323.422 1.485 20 0 DDADMM O=C(c1ccc2cccnc2c1[O-])N(CCO)CC(F)(F)F ZINC001149319747 861544686 /nfs/dbraw/zinc/54/46/86/861544686.db2.gz DIXGYTZAECAMFI-UHFFFAOYSA-N -1 1 314.263 1.937 20 0 DDADMM C[C@@]1(CO)CCN(C(=O)c2ccc3cccnc3c2[O-])C[C@H]1O ZINC001149319100 861545917 /nfs/dbraw/zinc/54/59/17/861545917.db2.gz IUTNLEIWMFYFRX-DYVFJYSZSA-N -1 1 316.357 1.146 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)Cc1ccns1 ZINC001409527998 845253315 /nfs/dbraw/zinc/25/33/15/845253315.db2.gz BTRCYELORJRSDV-JTQLQIEISA-N -1 1 306.391 1.494 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001409672489 845539106 /nfs/dbraw/zinc/53/91/06/845539106.db2.gz CRSBXZHLOYFVJR-NMKXLXIOSA-N -1 1 317.389 1.315 20 0 DDADMM O=C(Nc1cccc(C(F)(F)F)c1[O-])c1cn2c(n1)C=CCN2 ZINC001149441633 861617622 /nfs/dbraw/zinc/61/76/22/861617622.db2.gz FIBQWJLSBZOPPU-UHFFFAOYSA-N -1 1 324.262 1.969 20 0 DDADMM Cc1nc2ncccc2cc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001149559461 861708520 /nfs/dbraw/zinc/70/85/20/861708520.db2.gz OVPOJNKIWDCFLY-UHFFFAOYSA-N -1 1 321.300 1.562 20 0 DDADMM N=c1ccc(F)c(N)n1C(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149645504 861777014 /nfs/dbraw/zinc/77/70/14/861777014.db2.gz WBBGYPSBCGJLKC-UHFFFAOYSA-N -1 1 313.288 1.942 20 0 DDADMM CCCCCCN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001272850712 847548278 /nfs/dbraw/zinc/54/82/78/847548278.db2.gz IMKMKVTXDINOKN-AWEZNQCLSA-N -1 1 321.421 1.798 20 0 DDADMM O=C(C[C@@H]1C=CCCC1)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001273105421 847915924 /nfs/dbraw/zinc/91/59/24/847915924.db2.gz ANRCUIMIMLPEFP-GFCCVEGCSA-N -1 1 319.409 1.385 20 0 DDADMM O=C(NCCN1CCCCC1=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155262272 861907433 /nfs/dbraw/zinc/90/74/33/861907433.db2.gz FYLVRCPTOSTZJH-UHFFFAOYSA-N -1 1 302.334 1.011 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cc2ccccc2s1 ZINC001149794909 861910275 /nfs/dbraw/zinc/91/02/75/861910275.db2.gz MSARPWUOWQZPBA-UHFFFAOYSA-N -1 1 302.315 1.937 20 0 DDADMM C[C@H](CN(C)C(=O)C1CC2(CCC2)C1)NCc1n[nH]c(=O)[n-]1 ZINC001410433632 849259732 /nfs/dbraw/zinc/25/97/32/849259732.db2.gz ZVRUHNRFIHLBSQ-SNVBAGLBSA-N -1 1 307.398 1.027 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CCc3nnc(C(F)F)o3)ccnc1-2 ZINC001411356259 850920932 /nfs/dbraw/zinc/92/09/32/850920932.db2.gz UAMRVVMXOPZYJO-UHFFFAOYSA-N -1 1 322.275 1.234 20 0 DDADMM O=C(NCC[N-]S(=O)(=O)c1nccs1)C1CCCCC1 ZINC001187912185 851085591 /nfs/dbraw/zinc/08/55/91/851085591.db2.gz DJUXNIUCZWDDKT-UHFFFAOYSA-N -1 1 317.436 1.118 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCCCC1(C)C ZINC001273772148 851325652 /nfs/dbraw/zinc/32/56/52/851325652.db2.gz QZSPILGHWXVRGV-GFCCVEGCSA-N -1 1 323.441 1.665 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@@](C)(C(N)=O)C(C)C)c([O-])c2n1 ZINC001155659609 862350562 /nfs/dbraw/zinc/35/05/62/862350562.db2.gz JCPOBDNDMUIGIN-QGZVFWFLSA-N -1 1 315.373 1.879 20 0 DDADMM O=C(NC12CC(C(=O)N3CC=CC3)(C1)C2)c1ccc([O-])c(F)c1 ZINC001275377339 853039427 /nfs/dbraw/zinc/03/94/27/853039427.db2.gz NQCNPJRHZXYFPC-UHFFFAOYSA-N -1 1 316.332 1.582 20 0 DDADMM O=C(NC12CC(C(=O)N3CC=CC3)(C1)C2)c1ccc(F)c([O-])c1 ZINC001275408891 853082021 /nfs/dbraw/zinc/08/20/21/853082021.db2.gz SPDQIJQAHWIJFG-UHFFFAOYSA-N -1 1 316.332 1.582 20 0 DDADMM C[S@](=O)C[C@H](NC(=O)c1ccc([O-])cn1)c1ccccc1 ZINC001411793425 853746208 /nfs/dbraw/zinc/74/62/08/853746208.db2.gz IUSUKUWTBOQJRH-QKKBWIMNSA-N -1 1 304.371 1.637 20 0 DDADMM C[S@](=O)C[C@@H](NC(=O)c1ccc([O-])cn1)c1ccccc1 ZINC001411793449 853746725 /nfs/dbraw/zinc/74/67/25/853746725.db2.gz IUSUKUWTBOQJRH-SZNDQCEHSA-N -1 1 304.371 1.637 20 0 DDADMM CC(C)[C@H](CCN(C)C(=O)c1ncccc1[O-])NC(=O)C1CC1 ZINC001411815607 853774464 /nfs/dbraw/zinc/77/44/64/853774464.db2.gz WQELNLRGKKVCAR-ZDUSSCGKSA-N -1 1 319.405 1.800 20 0 DDADMM COC(=O)[C@@H]1c2ccccc2CCN1C(=O)c1ccc([O-])cn1 ZINC001411851660 853835292 /nfs/dbraw/zinc/83/52/92/853835292.db2.gz TTXNUDOYPPKNPP-HNNXBMFYSA-N -1 1 312.325 1.700 20 0 DDADMM O=C(NC[C@@H](O)COc1ccc(F)c(F)c1)c1ccc([O-])cn1 ZINC001411890450 853933246 /nfs/dbraw/zinc/93/32/46/853933246.db2.gz WAMWAVCFSWIZDI-SNVBAGLBSA-N -1 1 324.283 1.235 20 0 DDADMM C[C@H](CC(=O)OCc1ccccc1)NC(=O)c1cnncc1O ZINC001411914320 853963934 /nfs/dbraw/zinc/96/39/34/853963934.db2.gz FLQNRWYMKZROMS-LLVKDONJSA-N -1 1 315.329 1.434 20 0 DDADMM NC(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1ccc(Cl)cc1[O-] ZINC001275935442 853982221 /nfs/dbraw/zinc/98/22/21/853982221.db2.gz YQBXNPUKYSVSSI-BOOASOPXSA-N -1 1 308.765 1.675 20 0 DDADMM NC(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)c1ccc(Cl)cc1[O-])C2 ZINC001275933371 853984450 /nfs/dbraw/zinc/98/44/50/853984450.db2.gz DQHBYMFATLSADY-YFKFIEJBSA-N -1 1 308.765 1.629 20 0 DDADMM CCC(CC)(NC(=O)c1nnn(C(C)C)c1C)c1nn[n-]n1 ZINC001412112763 854224187 /nfs/dbraw/zinc/22/41/87/854224187.db2.gz SPURAVBFJWNIPE-UHFFFAOYSA-N -1 1 306.374 1.126 20 0 DDADMM Cc1nnc(CC(=O)Nc2cccc([O-])c2Br)[nH]1 ZINC001412160541 854278096 /nfs/dbraw/zinc/27/80/96/854278096.db2.gz XWLDJAHRUSDYLE-UHFFFAOYSA-N -1 1 311.139 1.762 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@H]1C(=O)Nc2ccc(F)cc21 ZINC001412187757 854315010 /nfs/dbraw/zinc/31/50/10/854315010.db2.gz PGSOYAKUASDZOR-SECBINFHSA-N -1 1 304.281 1.627 20 0 DDADMM Cn1ccnc1[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCO1 ZINC001412224934 854357588 /nfs/dbraw/zinc/35/75/88/854357588.db2.gz JVRMCMXKGJRBOY-JQWIXIFHSA-N -1 1 302.334 1.171 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccn(C(C)C)n2)n[n-]1 ZINC001412327251 854444967 /nfs/dbraw/zinc/44/49/67/854444967.db2.gz OIIQJJYQOIWGSR-SECBINFHSA-N -1 1 320.353 1.250 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccn(C(C)C)n2)[n-]1 ZINC001412327251 854444969 /nfs/dbraw/zinc/44/49/69/854444969.db2.gz OIIQJJYQOIWGSR-SECBINFHSA-N -1 1 320.353 1.250 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccn(C(C)C)n2)n1 ZINC001412327251 854444970 /nfs/dbraw/zinc/44/49/70/854444970.db2.gz OIIQJJYQOIWGSR-SECBINFHSA-N -1 1 320.353 1.250 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCCN(CC(F)(F)F)CC1 ZINC001412459920 854578444 /nfs/dbraw/zinc/57/84/44/854578444.db2.gz UWDOQDKRIJPEPI-UHFFFAOYSA-N -1 1 303.284 1.497 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)Cc1cn(C)nn1 ZINC001412546791 854698815 /nfs/dbraw/zinc/69/88/15/854698815.db2.gz VGJLJOWGKRQYFV-SECBINFHSA-N -1 1 318.381 1.104 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H](C)C1CC1 ZINC001412593426 854785457 /nfs/dbraw/zinc/78/54/57/854785457.db2.gz SOFJOUFICMTHJG-KOLCDFICSA-N -1 1 306.366 1.691 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H](C)C1CC1 ZINC001412593426 854785459 /nfs/dbraw/zinc/78/54/59/854785459.db2.gz SOFJOUFICMTHJG-KOLCDFICSA-N -1 1 306.366 1.691 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H](C)C1CC1 ZINC001412593426 854785462 /nfs/dbraw/zinc/78/54/62/854785462.db2.gz SOFJOUFICMTHJG-KOLCDFICSA-N -1 1 306.366 1.691 20 0 DDADMM COC[C@@H](NC(=O)[C@@H](C)c1ccc(F)cc1F)c1nn[n-]n1 ZINC001412598563 854786071 /nfs/dbraw/zinc/78/60/71/854786071.db2.gz AOZSETXHYAKNJW-WRWORJQWSA-N -1 1 311.292 1.085 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1c2c[nH]nc2CC[C@H]1C)c1nn[n-]n1 ZINC001412643787 854868299 /nfs/dbraw/zinc/86/82/99/854868299.db2.gz OUNIIIOFFAWBMU-JFUSQASVSA-N -1 1 303.370 1.246 20 0 DDADMM Cc1nn(C)cc1CCC(=O)Nc1cc(C(=O)OC(C)C)[nH]n1 ZINC001412700534 854978348 /nfs/dbraw/zinc/97/83/48/854978348.db2.gz CTXADZKLWJNZOT-UHFFFAOYSA-N -1 1 319.365 1.588 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(=O)OC ZINC001412754516 855147751 /nfs/dbraw/zinc/14/77/51/855147751.db2.gz WWJIIIUOCJJQQF-SECBINFHSA-N -1 1 321.251 1.329 20 0 DDADMM CCC(CC)(NC(=O)COc1cc(C)ccc1F)c1nn[n-]n1 ZINC001412937456 855793562 /nfs/dbraw/zinc/79/35/62/855793562.db2.gz RVDIOOVMQNKQBK-UHFFFAOYSA-N -1 1 321.356 1.858 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cnn2c1OCC2 ZINC001156179947 862783588 /nfs/dbraw/zinc/78/35/88/862783588.db2.gz LACMIUURNHSYIC-UHFFFAOYSA-N -1 1 311.301 1.419 20 0 DDADMM CS(=O)(=O)CC1(CNC(=O)c2ccc(F)c([O-])c2)CCC1 ZINC001413113338 856448780 /nfs/dbraw/zinc/44/87/80/856448780.db2.gz DSEIDUFDHHUENN-UHFFFAOYSA-N -1 1 315.366 1.476 20 0 DDADMM CSc1nc(CNC(=O)COCc2ncc(C)o2)cc(=O)[n-]1 ZINC001413192408 856535844 /nfs/dbraw/zinc/53/58/44/856535844.db2.gz BRTCZCILJZDGCK-UHFFFAOYSA-N -1 1 324.362 1.034 20 0 DDADMM O=C(CCC1CC1)NC[C@@H]1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001413199456 856546701 /nfs/dbraw/zinc/54/67/01/856546701.db2.gz WNLLPHWCNBHKRM-NEPJUHHUSA-N -1 1 305.382 1.010 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cn2ccnc2cn1 ZINC001156201811 862810221 /nfs/dbraw/zinc/81/02/21/862810221.db2.gz GASIFHKGWLDJBV-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1ccc(C)o1 ZINC001413272877 856599710 /nfs/dbraw/zinc/59/97/10/856599710.db2.gz CCJQPMOSXWZWNR-UHFFFAOYSA-N -1 1 302.377 1.574 20 0 DDADMM O=S(=O)([N-]Cc1ccncn1)c1ccc(Br)o1 ZINC001413353765 856705772 /nfs/dbraw/zinc/70/57/72/856705772.db2.gz DVVBDHFEWYORPW-UHFFFAOYSA-N -1 1 318.152 1.311 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@]1(C)CCCC[C@H]1C ZINC001413365450 856721381 /nfs/dbraw/zinc/72/13/81/856721381.db2.gz JNHUYHCDDUJWBU-RNCFNFMXSA-N -1 1 315.395 1.443 20 0 DDADMM NS(=O)(=O)[N-]c1ncc(Br)cc1C(F)(F)F ZINC001260075139 856840493 /nfs/dbraw/zinc/84/04/93/856840493.db2.gz XXPWSXOHRVUTLX-UHFFFAOYSA-N -1 1 320.090 1.478 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CC[C@H]1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001413505186 856902449 /nfs/dbraw/zinc/90/24/49/856902449.db2.gz JBWQUTJWTVBPLP-NXEZZACHSA-N -1 1 321.381 1.063 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])cn2)CC[C@@H](C(F)(F)F)O1 ZINC001413518957 856923442 /nfs/dbraw/zinc/92/34/42/856923442.db2.gz IJKMZQJUBBYDHH-KCJUWKMLSA-N -1 1 304.268 1.969 20 0 DDADMM CC(C)(C)OC(=O)N1CC(C)(NC(=O)c2ncccc2[O-])C1 ZINC001413800530 858547102 /nfs/dbraw/zinc/54/71/02/858547102.db2.gz MSOOMQDWMUKGJO-UHFFFAOYSA-N -1 1 307.350 1.526 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)C1(C)CC1 ZINC001413814557 858561009 /nfs/dbraw/zinc/56/10/09/858561009.db2.gz RZGYHWJTXSBEEM-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM CCC1(CC)CCCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC1 ZINC001121980267 858650713 /nfs/dbraw/zinc/65/07/13/858650713.db2.gz JYAROZKTELMJJJ-INIZCTEOSA-N -1 1 312.410 1.249 20 0 DDADMM CN(c1nnc(Cc2nnn[n-]2)n1CC1CC1)c1ccccc1 ZINC001123489573 859256457 /nfs/dbraw/zinc/25/64/57/859256457.db2.gz OOYVACHJBSYMID-UHFFFAOYSA-N -1 1 310.365 1.560 20 0 DDADMM CN(c1nnc(Cc2nn[n-]n2)n1CC1CC1)c1ccccc1 ZINC001123489573 859256466 /nfs/dbraw/zinc/25/64/66/859256466.db2.gz OOYVACHJBSYMID-UHFFFAOYSA-N -1 1 310.365 1.560 20 0 DDADMM O=C(CCc1cncc2ccccc21)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123868131 859447426 /nfs/dbraw/zinc/44/74/26/859447426.db2.gz CBCWDVVAHNMVAR-AWEZNQCLSA-N -1 1 322.372 1.697 20 0 DDADMM O=C(c1cnn(-c2ccccc2)c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123868370 859447775 /nfs/dbraw/zinc/44/77/75/859447775.db2.gz FABIKCRQKWMPRC-LLVKDONJSA-N -1 1 309.333 1.015 20 0 DDADMM O=C(C=Cc1ccnc(Cl)c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123870104 859449374 /nfs/dbraw/zinc/44/93/74/859449374.db2.gz YHKFDAFSPBRZFX-SYBPUXJVSA-N -1 1 304.741 1.277 20 0 DDADMM Cc1oc2ccccc2c1CC(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123960796 859497114 /nfs/dbraw/zinc/49/71/14/859497114.db2.gz GKXUHIHCSUGOMQ-LLVKDONJSA-N -1 1 311.345 1.813 20 0 DDADMM O=C(C=Cc1ccccc1F)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123999013 859516893 /nfs/dbraw/zinc/51/68/93/859516893.db2.gz MMPGUBBHHNFOMV-QDZRJHCZSA-N -1 1 315.352 1.833 20 0 DDADMM C[C@@H](c1ccccn1)N1CC[C@@H](OCCS(=O)(=O)[O-])C1 ZINC001224572112 881454930 /nfs/dbraw/zinc/45/49/30/881454930.db2.gz RCUWTOKZJSKGMT-NWDGAFQWSA-N -1 1 300.380 1.121 20 0 DDADMM Cc1cc(N2CC[C@@H](OCC[P@](=O)([O-])O)C2)nc(Cl)n1 ZINC001224601282 881462769 /nfs/dbraw/zinc/46/27/69/881462769.db2.gz FSFVXWQGGPCQHX-SECBINFHSA-N -1 1 321.701 1.211 20 0 DDADMM C[C@@H](O)[C@@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC001137645550 859955297 /nfs/dbraw/zinc/95/52/97/859955297.db2.gz ZGEDRACNFURXSY-NXEZZACHSA-N -1 1 306.391 1.251 20 0 DDADMM CCn1nnc2c1CCN(Cc1ncccc1[O-])[C@@H]2COC ZINC001138391390 860088337 /nfs/dbraw/zinc/08/83/37/860088337.db2.gz XGJDHMRPFCZXRW-CYBMUJFWSA-N -1 1 303.366 1.144 20 0 DDADMM O=C([O-])COc1ccc(CN2CCC[C@@]3(CNC(=O)O3)C2)cc1 ZINC001138927727 860234050 /nfs/dbraw/zinc/23/40/50/860234050.db2.gz YJESBCMATFSXFW-MRXNPFEDSA-N -1 1 320.345 1.224 20 0 DDADMM COCC[C@@]1(NC(=O)c2cc(C)cc(C=O)c2[O-])CCOC1 ZINC001139195359 860316637 /nfs/dbraw/zinc/31/66/37/860316637.db2.gz OPMXGEBVFRDAFH-MRXNPFEDSA-N -1 1 307.346 1.439 20 0 DDADMM COc1cccc(C[N@@H+](C)CC2(C)COC2)c1OCC(=O)[O-] ZINC001139268334 860342011 /nfs/dbraw/zinc/34/20/11/860342011.db2.gz UZARLSIILDJZSO-UHFFFAOYSA-N -1 1 309.362 1.627 20 0 DDADMM COc1cccc(CN2CC[C@@H]3CCO[C@H]3C2)c1OCC(=O)[O-] ZINC001139269047 860345430 /nfs/dbraw/zinc/34/54/30/860345430.db2.gz YYFBIMBEEZMBNN-DOMZBBRYSA-N -1 1 321.373 1.769 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)c1nnc(C)o1 ZINC001362153401 883165941 /nfs/dbraw/zinc/16/59/41/883165941.db2.gz YFFDXZQSUJJJPB-LURJTMIESA-N -1 1 309.351 1.395 20 0 DDADMM COc1ncnc2c1CCN(Cc1ccc(C(=O)[O-])o1)CC2 ZINC001140946147 860743101 /nfs/dbraw/zinc/74/31/01/860743101.db2.gz UJEDLSCFZXTTAH-UHFFFAOYSA-N -1 1 303.318 1.377 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2cc(F)c([O-])c(F)c2)CCC1=O ZINC001140987714 860753378 /nfs/dbraw/zinc/75/33/78/860753378.db2.gz AOVRBYANQZQHHY-SNVBAGLBSA-N -1 1 313.300 1.625 20 0 DDADMM C[C@@H]1CN(C2CN(Cc3cc(F)c([O-])c(F)c3)C2)C[C@@H](C)O1 ZINC001140994617 860754943 /nfs/dbraw/zinc/75/49/43/860754943.db2.gz WDLYMDVKYWFKLP-GHMZBOCLSA-N -1 1 312.360 1.964 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cccc(N2C(=O)CCC2=O)c1 ZINC001141578566 860892267 /nfs/dbraw/zinc/89/22/67/860892267.db2.gz SYNQFZOOQZWRMS-UHFFFAOYSA-N -1 1 314.301 1.329 20 0 DDADMM CS(=O)(=O)CCCCNC(=O)c1c(F)ccc([O-])c1F ZINC001325919745 860955623 /nfs/dbraw/zinc/95/56/23/860955623.db2.gz XPXSZYNOPPQZNG-UHFFFAOYSA-N -1 1 307.318 1.225 20 0 DDADMM O=C(NCc1cc(C(F)(F)F)n[nH]1)C(=O)c1ccc([O-])cc1 ZINC001152670617 863483012 /nfs/dbraw/zinc/48/30/12/863483012.db2.gz VPEBKRMJEQVJBA-UHFFFAOYSA-N -1 1 313.235 1.633 20 0 DDADMM CCOC(=O)[C@@H](C)CNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681780 863489786 /nfs/dbraw/zinc/48/97/86/863489786.db2.gz QEGBIKQIUKHQTK-VIFPVBQESA-N -1 1 318.329 1.575 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c[nH]c3nccnc23)n1 ZINC001153191473 863752160 /nfs/dbraw/zinc/75/21/60/863752160.db2.gz ZDRFNJLFMJBSKE-UHFFFAOYSA-N -1 1 300.278 1.062 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCOC[C@@H]2[C@H]2CCCO2)c1 ZINC001157427652 863813032 /nfs/dbraw/zinc/81/30/32/863813032.db2.gz HBYHCCFLIVGOHN-HUUCEWRRSA-N -1 1 319.357 1.533 20 0 DDADMM Cn1nc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c2c1CCCC2 ZINC001153543025 863936841 /nfs/dbraw/zinc/93/68/41/863936841.db2.gz MSTZPECGMBRANX-UHFFFAOYSA-N -1 1 318.293 1.150 20 0 DDADMM O=C(Cn1cc(C2CC2)nn1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001330168698 864121430 /nfs/dbraw/zinc/12/14/30/864121430.db2.gz OBHLPHBEYKRLFX-UHFFFAOYSA-N -1 1 324.344 1.686 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C=Cc1ccc(Cl)nc1 ZINC001153811015 864125826 /nfs/dbraw/zinc/12/58/26/864125826.db2.gz HPKPBYXKQNXVIK-XQRVVYSFSA-N -1 1 320.784 1.946 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)c1ccnc2c1CC(=O)N2 ZINC001157811669 864162351 /nfs/dbraw/zinc/16/23/51/864162351.db2.gz NIHIWRSQDSYABZ-UHFFFAOYSA-N -1 1 305.240 1.812 20 0 DDADMM COc1cnc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)nc1 ZINC001361498251 881769588 /nfs/dbraw/zinc/76/95/88/881769588.db2.gz VEOFRXOYIBIZMZ-UHFFFAOYSA-N -1 1 301.306 1.112 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2ccc3nonc3c2)c1 ZINC001158686477 864812276 /nfs/dbraw/zinc/81/22/76/864812276.db2.gz JWKPVGFEJGMTRG-UHFFFAOYSA-N -1 1 311.297 1.979 20 0 DDADMM O=c1nc2nc[nH]c2c(N[C@H](F)Oc2cncc(Cl)n2)[n-]1 ZINC001159205043 865166782 /nfs/dbraw/zinc/16/67/82/865166782.db2.gz SEMNLCWRHHXORZ-VIFPVBQESA-N -1 1 311.664 1.246 20 0 DDADMM Cc1nn2c(nc(C)c2CNCc2cnn(C)c2C(=O)[O-])s1 ZINC001332006942 865496834 /nfs/dbraw/zinc/49/68/34/865496834.db2.gz KZVNRVTZQLNTEL-UHFFFAOYSA-N -1 1 320.378 1.129 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1ncccc1CSC ZINC001361552484 881878348 /nfs/dbraw/zinc/87/83/48/881878348.db2.gz QCOGOZGSYHSNDC-UHFFFAOYSA-N -1 1 306.347 1.707 20 0 DDADMM CCOC(=O)Nc1ccc(F)c(C(=O)N(C)c2nn[n-]n2)c1 ZINC001361554524 881883482 /nfs/dbraw/zinc/88/34/82/881883482.db2.gz IWNDMDWBSJXCEU-UHFFFAOYSA-N -1 1 308.273 1.184 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(CN2CC3(CC3)CC2=O)C1 ZINC001332325799 865761495 /nfs/dbraw/zinc/76/14/95/865761495.db2.gz JMJSYIVHYXQCOG-LBPRGKRZSA-N -1 1 319.327 1.099 20 0 DDADMM CC(C)Nc1nnc(Sc2nc3c(cnn3C)c(=O)[n-]2)s1 ZINC001319739395 866264853 /nfs/dbraw/zinc/26/48/53/866264853.db2.gz LHYRWQBZTGZSOZ-UHFFFAOYSA-N -1 1 323.407 1.892 20 0 DDADMM Cc1cc2c(c(NCc3cn(CC(C)C)cn3)n1)C(=O)[N-]C2=O ZINC001161797724 867001074 /nfs/dbraw/zinc/00/10/74/867001074.db2.gz IGFPHRBNPFIAKC-UHFFFAOYSA-N -1 1 313.361 1.738 20 0 DDADMM COc1ccc(NC(=O)c2nc[nH]c2C)cc1[N-]S(C)(=O)=O ZINC001361627664 882041260 /nfs/dbraw/zinc/04/12/60/882041260.db2.gz ZJISMRHBIXLBDG-UHFFFAOYSA-N -1 1 324.362 1.351 20 0 DDADMM Cc1nc2ccccc2c(C(=O)OCc2nc(=O)n(C)[n-]2)c1C ZINC001320885244 867100801 /nfs/dbraw/zinc/10/08/01/867100801.db2.gz JHWNNGMLLIXHAF-UHFFFAOYSA-N -1 1 312.329 1.630 20 0 DDADMM COC(=O)[C@@H]1CC(F)(F)CN1Cc1cc(Cl)ncc1[O-] ZINC001233034071 886739596 /nfs/dbraw/zinc/73/95/96/886739596.db2.gz FNXPRIOZAPIXFK-QMMMGPOBSA-N -1 1 306.696 1.823 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1OC1CCC2(CC1)OCCO2 ZINC001225902961 882103056 /nfs/dbraw/zinc/10/30/56/882103056.db2.gz ORAPESWUFHGBJU-UHFFFAOYSA-N -1 1 324.333 1.423 20 0 DDADMM O=C(Nc1cc(CO)ccn1)c1ccc2n[n-]c(=S)n2c1 ZINC001162583495 867660553 /nfs/dbraw/zinc/66/05/53/867660553.db2.gz SWNORHXDNYPEIK-UHFFFAOYSA-N -1 1 301.331 1.158 20 0 DDADMM Cc1noc(C)c1[N-]C(=O)c1ccc(S(N)(=O)=O)cc1F ZINC001361686906 882161279 /nfs/dbraw/zinc/16/12/79/882161279.db2.gz YDDMCTFMEFKLMV-UHFFFAOYSA-N -1 1 313.310 1.330 20 0 DDADMM COC(=O)c1nc2ccc(N[C@H](C(=O)OC)C(C)(C)C)[n-]c-2n1 ZINC001163509011 868495154 /nfs/dbraw/zinc/49/51/54/868495154.db2.gz GOCQACDVTDNIKX-SNVBAGLBSA-N -1 1 320.349 1.744 20 0 DDADMM COC(=O)c1nc2ccc(N[C@H](C(=O)OC)C(C)(C)C)nc2[n-]1 ZINC001163509011 868495165 /nfs/dbraw/zinc/49/51/65/868495165.db2.gz GOCQACDVTDNIKX-SNVBAGLBSA-N -1 1 320.349 1.744 20 0 DDADMM CN1CCN(c2nccnc2CC(=O)[O-])[C@@H](c2ccccc2)C1 ZINC001163671856 868616197 /nfs/dbraw/zinc/61/61/97/868616197.db2.gz GHZAJQBWUQLWCI-OAHLLOKOSA-N -1 1 312.373 1.597 20 0 DDADMM O=C([N-]CCNc1nccc(-c2cccnc2)n1)C(F)(F)F ZINC001164237767 869044930 /nfs/dbraw/zinc/04/49/30/869044930.db2.gz IEWDEZLFHZMFOZ-UHFFFAOYSA-N -1 1 311.267 1.629 20 0 DDADMM O=C([N-]CCNc1ccc(=O)n(CC2CCC2)n1)C(F)(F)F ZINC001164251342 869060173 /nfs/dbraw/zinc/06/01/73/869060173.db2.gz RLLCVJBSJBBQQO-UHFFFAOYSA-N -1 1 318.299 1.134 20 0 DDADMM N[C@H](C(=O)NCCCC(=O)[O-])c1ccc(Br)cc1 ZINC001337602226 869559874 /nfs/dbraw/zinc/55/98/74/869559874.db2.gz PKBZTESEIWZALE-NSHDSACASA-N -1 1 315.167 1.430 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCN(c2cnccn2)CC1 ZINC001361773103 882344771 /nfs/dbraw/zinc/34/47/71/882344771.db2.gz UUNBIRLZGBVCCL-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM CC(=O)Nc1cccc([C@H](C)NC(=O)CCCc2nn[n-]n2)c1 ZINC001361790381 882379845 /nfs/dbraw/zinc/37/98/45/882379845.db2.gz WIQCTJMPWZTASD-JTQLQIEISA-N -1 1 316.365 1.358 20 0 DDADMM Cc1ccc(Cl)cc1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001339092336 870342637 /nfs/dbraw/zinc/34/26/37/870342637.db2.gz AXZSORPKNQTZRC-UHFFFAOYSA-N -1 1 321.764 1.205 20 0 DDADMM CC[C@H](C)CC(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001298356869 870497946 /nfs/dbraw/zinc/49/79/46/870497946.db2.gz NYHCADYATDCKCM-RXNFCKPNSA-N -1 1 305.378 1.626 20 0 DDADMM CN1CC[C@@H](C(=O)Nc2ccc(Br)c([O-])c2)C1=O ZINC001339754300 870672114 /nfs/dbraw/zinc/67/21/14/870672114.db2.gz NBGJIBCQDGGSEP-QMMMGPOBSA-N -1 1 313.151 1.572 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3cncnc3c2)c1 ZINC001203712507 870722775 /nfs/dbraw/zinc/72/27/75/870722775.db2.gz DBCIBWRLWMHMSO-UHFFFAOYSA-N -1 1 315.358 1.595 20 0 DDADMM CCc1nc(CN(C)c2nnc(-c3c[n-][nH]c3=O)n2C)cs1 ZINC001339939769 870797075 /nfs/dbraw/zinc/79/70/75/870797075.db2.gz BIFCPFSKGTVEMH-UHFFFAOYSA-N -1 1 319.394 1.566 20 0 DDADMM Cc1csc(NCCNC(=O)C(=O)c2ccc([O-])cc2)n1 ZINC001299088420 870887129 /nfs/dbraw/zinc/88/71/29/870887129.db2.gz GVMNQSPRJGFQIQ-UHFFFAOYSA-N -1 1 305.359 1.568 20 0 DDADMM C[C@@H]1C(=O)N([N-]C(=O)c2cc3ccccc3s2)C(=O)N1C ZINC001301136593 870975948 /nfs/dbraw/zinc/97/59/48/870975948.db2.gz XYSKAKVTJHNCGD-MRVPVSSYSA-N -1 1 303.343 1.829 20 0 DDADMM CCN(CC)C(=O)c1ccccc1NC(=O)CCc1nn[n-]n1 ZINC001361838592 882473117 /nfs/dbraw/zinc/47/31/17/882473117.db2.gz UGTMLJFMUIXRSM-UHFFFAOYSA-N -1 1 316.365 1.253 20 0 DDADMM COC(=O)Nc1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001361854055 882503538 /nfs/dbraw/zinc/50/35/38/882503538.db2.gz KIYVDURODNEHCQ-UHFFFAOYSA-N -1 1 304.310 1.339 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N1Cc2ccccc2[C@H]1C ZINC001341018898 871467673 /nfs/dbraw/zinc/46/76/73/871467673.db2.gz CWKWEIDMYLFUQO-SECBINFHSA-N -1 1 312.333 1.723 20 0 DDADMM C[C@@H](O)C(C)(C)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001309922871 871607757 /nfs/dbraw/zinc/60/77/57/871607757.db2.gz QAVNQHXZSBDQIE-SSDOTTSWSA-N -1 1 311.325 1.789 20 0 DDADMM O=C(NC[C@H]1CCN(CC(F)(F)F)C1)c1ccc([O-])cn1 ZINC001361886582 882575810 /nfs/dbraw/zinc/57/58/10/882575810.db2.gz VDMPVXARCXQOSM-SECBINFHSA-N -1 1 303.284 1.401 20 0 DDADMM O=C(c1ccco1)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318762490 872257412 /nfs/dbraw/zinc/25/74/12/872257412.db2.gz UUAHVYJNQPYOMP-NSHDSACASA-N -1 1 319.365 1.135 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1C[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC001342592972 872285871 /nfs/dbraw/zinc/28/58/71/872285871.db2.gz XBSMLOIBSAPTAQ-RSQPEXBXSA-N -1 1 312.381 1.127 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1C[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC001342592972 872285885 /nfs/dbraw/zinc/28/58/85/872285885.db2.gz XBSMLOIBSAPTAQ-RSQPEXBXSA-N -1 1 312.381 1.127 20 0 DDADMM COCCCCC(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC001342658273 872322670 /nfs/dbraw/zinc/32/26/70/872322670.db2.gz DWFPRHIZIOERRO-LLVKDONJSA-N -1 1 309.366 1.671 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)C(=O)Cc1ccn[nH]1 ZINC001319146807 872462523 /nfs/dbraw/zinc/46/25/23/872462523.db2.gz QSVOPCJFVOUOMJ-UHFFFAOYSA-N -1 1 303.347 1.321 20 0 DDADMM CC/C(C)=C\C(=O)N(C)C[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001344157386 872893154 /nfs/dbraw/zinc/89/31/54/872893154.db2.gz CBMLWPMQNXIFMT-UKVQZPPCSA-N -1 1 317.389 1.816 20 0 DDADMM CC(C)CN(C)c1nnc(-c2nnn[n-]2)n1Cc1cccs1 ZINC001344634576 873061936 /nfs/dbraw/zinc/06/19/36/873061936.db2.gz XYKIPTZSDCUIIH-UHFFFAOYSA-N -1 1 318.410 1.660 20 0 DDADMM CC(C)CN(C)c1nnc(-c2nn[n-]n2)n1Cc1cccs1 ZINC001344634576 873061948 /nfs/dbraw/zinc/06/19/48/873061948.db2.gz XYKIPTZSDCUIIH-UHFFFAOYSA-N -1 1 318.410 1.660 20 0 DDADMM Cc1nn(C)cc1[C@H](C)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001345880719 873544622 /nfs/dbraw/zinc/54/46/22/873544622.db2.gz BJPAQHIRWLJMPE-LURJTMIESA-N -1 1 307.379 1.312 20 0 DDADMM CC(C)c1nn(C)c2sc(C(=O)OCc3nn[n-]n3)cc12 ZINC001346450059 873758841 /nfs/dbraw/zinc/75/88/41/873758841.db2.gz MLBVESFOFPYMKH-UHFFFAOYSA-N -1 1 306.351 1.628 20 0 DDADMM CCC1(CO)CCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1 ZINC001346722347 873862606 /nfs/dbraw/zinc/86/26/06/873862606.db2.gz RLUSHPYLFYSEDP-UHFFFAOYSA-N -1 1 311.407 1.404 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1Cc2cccc(O)c2C1 ZINC001346910559 873933263 /nfs/dbraw/zinc/93/32/63/873933263.db2.gz OMFUXULCJNOTPL-VIFPVBQESA-N -1 1 317.370 1.382 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1cccc(N(C)C)n1 ZINC001347081626 874003847 /nfs/dbraw/zinc/00/38/47/874003847.db2.gz PYLODWIVWPTDKK-UHFFFAOYSA-N -1 1 319.390 1.170 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCOC2(CCCCC2)C1 ZINC001348451793 874568259 /nfs/dbraw/zinc/56/82/59/874568259.db2.gz NUIADNGLQSVZAZ-UHFFFAOYSA-N -1 1 323.418 1.955 20 0 DDADMM NC(=O)C[C@@H](NC(=O)c1ccc([O-])cn1)c1ccc(Cl)cc1 ZINC001362022969 882849013 /nfs/dbraw/zinc/84/90/13/882849013.db2.gz HGSZSRKYGLXSPU-CYBMUJFWSA-N -1 1 319.748 1.787 20 0 DDADMM CCOC(=O)[C@@H](CC)Oc1[n-]c(=O)ncc1Br ZINC001227138278 882867524 /nfs/dbraw/zinc/86/75/24/882867524.db2.gz PQSDYSKXBUNSNS-SSDOTTSWSA-N -1 1 305.128 1.665 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@]1(C)CCCC[C@@H]1O ZINC001349176887 875014622 /nfs/dbraw/zinc/01/46/22/875014622.db2.gz TUZIZVCJCCDCNP-XPTSAGLGSA-N -1 1 311.407 1.450 20 0 DDADMM O=C(/C=C\c1ccco1)NCCCNC(=O)c1ncccc1[O-] ZINC001349625737 875271819 /nfs/dbraw/zinc/27/18/19/875271819.db2.gz REJOWBOBXWAUTI-SREVYHEPSA-N -1 1 315.329 1.330 20 0 DDADMM COc1ccc(C(=O)[O-])cc1NS(=O)(=O)c1ccccc1N ZINC001210258521 875291262 /nfs/dbraw/zinc/29/12/62/875291262.db2.gz JCHNUVMOPQTPBH-UHFFFAOYSA-N -1 1 322.342 1.776 20 0 DDADMM CC1(C)CC[C@H]1C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001349939791 875464128 /nfs/dbraw/zinc/46/41/28/875464128.db2.gz FPJOQTYLOAXTIE-NSHDSACASA-N -1 1 305.378 1.460 20 0 DDADMM O=C(C=Cc1ccc2[nH]ccc2c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001350225356 875587365 /nfs/dbraw/zinc/58/73/65/875587365.db2.gz UGLIJIGVMAGKKA-LSYFEZSPSA-N -1 1 308.345 1.710 20 0 DDADMM CC(=O)CCCCCCC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001350429374 875683609 /nfs/dbraw/zinc/68/36/09/875683609.db2.gz OYPMHJLDWRFVSY-AWEZNQCLSA-N -1 1 321.425 1.910 20 0 DDADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CC1(C)CC1 ZINC001378873129 875820272 /nfs/dbraw/zinc/82/02/72/875820272.db2.gz LWQMBFOSKJKLOJ-LLVKDONJSA-N -1 1 309.414 1.321 20 0 DDADMM CC(C)[C@H](CNC(=O)Cc1cccs1)NCc1n[nH]c(=O)[n-]1 ZINC001378940014 875953652 /nfs/dbraw/zinc/95/36/52/875953652.db2.gz UJXLFYJSTNTIIG-NSHDSACASA-N -1 1 323.422 1.045 20 0 DDADMM COc1ccc(-c2noc(-c3c[nH]c(=S)[n-]c3=O)n2)nc1 ZINC001213866779 876086601 /nfs/dbraw/zinc/08/66/01/876086601.db2.gz KDMVLZWSYFJQNM-UHFFFAOYSA-N -1 1 303.303 1.592 20 0 DDADMM O=c1[nH]c2[n-]c(OC[C@@H]3CC[C@@]4(CCCCO4)O3)nc2c(=O)[nH]1 ZINC001227429436 883006114 /nfs/dbraw/zinc/00/61/14/883006114.db2.gz CNIYWWVQCQHRTJ-RMLUDKJBSA-N -1 1 322.321 1.219 20 0 DDADMM Nc1ccccc1S(=O)(=O)Nc1cnc(F)c(C(=O)[O-])c1 ZINC001215113749 876569983 /nfs/dbraw/zinc/56/99/83/876569983.db2.gz OHOSCCPCIZPYQZ-UHFFFAOYSA-N -1 1 311.294 1.302 20 0 DDADMM COCOc1ccc([N-]S(=O)(=O)c2ccc(C)c(N)c2)cn1 ZINC001215666772 876781990 /nfs/dbraw/zinc/78/19/90/876781990.db2.gz ZQSNOWBKTOORDQ-UHFFFAOYSA-N -1 1 323.374 1.756 20 0 DDADMM COc1cc(C)cc(F)c1[N-]S(=O)(=O)c1cncc(N)c1 ZINC001215708018 876788737 /nfs/dbraw/zinc/78/87/37/876788737.db2.gz OKVTUWDDLLLMBJ-UHFFFAOYSA-N -1 1 311.338 1.921 20 0 DDADMM COc1ncc(Nc2ccnc(C)n2)cc1[N-]S(C)(=O)=O ZINC001216151469 876878901 /nfs/dbraw/zinc/87/89/01/876878901.db2.gz BILYPFDGWPHCRX-UHFFFAOYSA-N -1 1 309.351 1.304 20 0 DDADMM CCOC(=O)[C@@H](CCc1ccccc1)Oc1nc(=O)[nH]c(=O)[n-]1 ZINC001227566119 883080563 /nfs/dbraw/zinc/08/05/63/883080563.db2.gz FPIITBUJYRFBOO-LLVKDONJSA-N -1 1 319.317 1.226 20 0 DDADMM CN(C)c1nc(Nc2ccc(-c3ccn(C)n3)nc2)cc(=O)[n-]1 ZINC001216557298 876977356 /nfs/dbraw/zinc/97/73/56/876977356.db2.gz ZLCWDANYCNXGGH-UHFFFAOYSA-N -1 1 311.349 1.787 20 0 DDADMM O=C(/C=C\C1CC1)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001353089324 877112231 /nfs/dbraw/zinc/11/22/31/877112231.db2.gz WTLVBMVMRVXEIT-DMTLFAOVSA-N -1 1 315.373 1.378 20 0 DDADMM CNC(=O)c1ccc(NC(=O)c2cnncc2[O-])cc1Cl ZINC001362131778 883116269 /nfs/dbraw/zinc/11/62/69/883116269.db2.gz DNTWQZPSHVLGMH-UHFFFAOYSA-N -1 1 306.709 1.448 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)CCC1(C)CC1 ZINC001395560755 912280224 /nfs/dbraw/zinc/28/02/24/912280224.db2.gz MDHOXPSECJSDTK-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cccnc1 ZINC001395563707 912287460 /nfs/dbraw/zinc/28/74/60/912287460.db2.gz ZFCSRKCGKDRKFD-NSHDSACASA-N -1 1 314.345 1.073 20 0 DDADMM C[C@@H]1CN(c2nnc(-c3cc(Cl)ncc3[O-])n2C)C[C@H](C)O1 ZINC001355514815 878592643 /nfs/dbraw/zinc/59/26/43/878592643.db2.gz WBYNUMJUSOPAIW-DTORHVGOSA-N -1 1 323.784 1.850 20 0 DDADMM NC(=O)c1cc2c([nH]1)CN(C(=O)c1cc([O-])cc(F)c1F)CC2 ZINC001276828723 880365626 /nfs/dbraw/zinc/36/56/26/880365626.db2.gz WZKBHYZKEZNPRM-UHFFFAOYSA-N -1 1 321.283 1.296 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CC1=CCCCC1 ZINC001358468261 880443246 /nfs/dbraw/zinc/44/32/46/880443246.db2.gz CBYZIHICSORHRS-LBPRGKRZSA-N -1 1 317.389 1.912 20 0 DDADMM CC(=O)NC[C@H](NC(=O)c1cnn[nH]1)c1ccc2ccccc2c1 ZINC001358763615 880881505 /nfs/dbraw/zinc/88/15/05/880881505.db2.gz GUUAHENZCFEFEQ-HNNXBMFYSA-N -1 1 323.356 1.565 20 0 DDADMM CC(C)(C)OC(=O)C1(NC(=O)c2cnncc2[O-])CCCC1 ZINC001362248647 883403337 /nfs/dbraw/zinc/40/33/37/883403337.db2.gz AEOIWRMDRRXSAQ-UHFFFAOYSA-N -1 1 307.350 1.567 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)Cc2cc(C)n[nH]2)c(=O)[n-]1 ZINC001362281862 883474504 /nfs/dbraw/zinc/47/45/04/883474504.db2.gz CMEDJZBZAUSHKF-SSDOTTSWSA-N -1 1 307.379 1.297 20 0 DDADMM CCC[C@H](C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1)C(C)C ZINC001382130716 883479272 /nfs/dbraw/zinc/47/92/72/883479272.db2.gz GCIXMVCUEYJGQF-RYUDHWBXSA-N -1 1 311.430 1.519 20 0 DDADMM O=C(NCC1CCS(=O)(=O)CC1)c1cccc([O-])c1F ZINC001362290241 883491204 /nfs/dbraw/zinc/49/12/04/883491204.db2.gz GJWDREFTDXUNDP-UHFFFAOYSA-N -1 1 301.339 1.086 20 0 DDADMM C[C@@H]1C[C@@H](C)[C@H](C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)O1 ZINC001362300538 883513708 /nfs/dbraw/zinc/51/37/08/883513708.db2.gz UPFDLLGSKZFEER-GPCCPHFNSA-N -1 1 317.349 1.531 20 0 DDADMM COC[C@@H](O)CCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362316085 883546947 /nfs/dbraw/zinc/54/69/47/883546947.db2.gz INDJVKSFUZNXNV-VIFPVBQESA-N -1 1 307.268 1.538 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@@H]1CCn2ccnc21 ZINC001228551272 883547740 /nfs/dbraw/zinc/54/77/40/883547740.db2.gz OIKSBXLTVXHMAT-SECBINFHSA-N -1 1 306.347 1.662 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2C[C@@H](C1)Cn1c(=O)cccc12 ZINC001362462826 883867247 /nfs/dbraw/zinc/86/72/47/883867247.db2.gz RCHGMACMLOZMJX-NWDGAFQWSA-N -1 1 311.341 1.208 20 0 DDADMM O=C(NC[C@@H]1CCCS(=O)(=O)C1)c1ccc(F)c([O-])c1 ZINC001362503189 883955015 /nfs/dbraw/zinc/95/50/15/883955015.db2.gz IPJOPCSBUKVQIU-VIFPVBQESA-N -1 1 301.339 1.086 20 0 DDADMM C[C@@](CO)(NC(=O)c1ccc([O-])c(F)c1)c1ccc(O)cc1 ZINC001362602044 884193435 /nfs/dbraw/zinc/19/34/35/884193435.db2.gz IPOQGNIXRQGGAW-INIZCTEOSA-N -1 1 305.305 1.874 20 0 DDADMM CCc1c[nH]c(=O)c(C(=O)NC(CC)(CC)c2nn[n-]n2)c1 ZINC001362626882 884260236 /nfs/dbraw/zinc/26/02/36/884260236.db2.gz VMFDFYCYOCKUNQ-UHFFFAOYSA-N -1 1 304.354 1.308 20 0 DDADMM O=C(c1ccc([O-])cn1)N1C[C@@H](CO)Cc2cccc(F)c21 ZINC001362632526 884272376 /nfs/dbraw/zinc/27/23/76/884272376.db2.gz JNMDWRPPZSCKKL-JTQLQIEISA-N -1 1 302.305 1.738 20 0 DDADMM COC(=O)c1c[n-]c(OC2CCN(c3ncccn3)CC2)n1 ZINC001230280206 884405396 /nfs/dbraw/zinc/40/53/96/884405396.db2.gz OBAFNBGKGIDJJI-UHFFFAOYSA-N -1 1 303.322 1.034 20 0 DDADMM O=C(c1s[n-]c(=O)c1Cl)N1CCC[C@H](c2nn[nH]n2)C1 ZINC001362687322 884414465 /nfs/dbraw/zinc/41/44/65/884414465.db2.gz KJCGFQYZPFYZRB-YFKPBYRVSA-N -1 1 314.758 1.035 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(C(N)=O)cc2OC)c([O-])c1 ZINC001362736355 884513589 /nfs/dbraw/zinc/51/35/89/884513589.db2.gz JGBPEXRRQUGFTG-UHFFFAOYSA-N -1 1 316.313 1.761 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@H](N2CCCC2=O)CC1 ZINC001362749771 884547631 /nfs/dbraw/zinc/54/76/31/884547631.db2.gz KFICZGBUARVXCM-ZDUSSCGKSA-N -1 1 303.362 1.404 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@]2(O)CCCC(C)(C)C2)n1 ZINC001362818467 884713464 /nfs/dbraw/zinc/71/34/64/884713464.db2.gz NCMBEJPKKBMWEF-HNNXBMFYSA-N -1 1 309.366 1.856 20 0 DDADMM CCC(CC)(NC(=O)c1cncc(OC(C)C)c1)c1nn[n-]n1 ZINC001362851754 884798301 /nfs/dbraw/zinc/79/83/01/884798301.db2.gz DCRLTLHFNSIOLA-UHFFFAOYSA-N -1 1 318.381 1.827 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@@H]1CC1(F)F ZINC001362890867 884898090 /nfs/dbraw/zinc/89/80/90/884898090.db2.gz RUROWGQSTDNCHW-JGVFFNPUSA-N -1 1 314.292 1.300 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@@H]1CC1(F)F ZINC001362890867 884898098 /nfs/dbraw/zinc/89/80/98/884898098.db2.gz RUROWGQSTDNCHW-JGVFFNPUSA-N -1 1 314.292 1.300 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@@H]1CC1(F)F ZINC001362890867 884898110 /nfs/dbraw/zinc/89/81/10/884898110.db2.gz RUROWGQSTDNCHW-JGVFFNPUSA-N -1 1 314.292 1.300 20 0 DDADMM O=C(c1cnc(C2CC2)o1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001362907008 884948766 /nfs/dbraw/zinc/94/87/66/884948766.db2.gz MYCFAOVRLGHRMO-UHFFFAOYSA-N -1 1 304.306 1.660 20 0 DDADMM CC[C@H](Oc1cccc(C)c1)C(=O)N[C@@H](COC)c1nn[n-]n1 ZINC001362906929 884949225 /nfs/dbraw/zinc/94/92/25/884949225.db2.gz GNYGEEGGZMUWGQ-STQMWFEESA-N -1 1 319.365 1.169 20 0 DDADMM CCc1ccc(C(=O)N[C@@H](COC)c2nn[n-]n2)cc1CC ZINC001362912401 884964817 /nfs/dbraw/zinc/96/48/17/884964817.db2.gz XSDVOIHINQYPNW-ZDUSSCGKSA-N -1 1 303.366 1.442 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCC(=O)[C@H](C)C2)n[n-]1 ZINC001362935903 885028196 /nfs/dbraw/zinc/02/81/96/885028196.db2.gz NPOGHDPFUYOMQS-UTLUCORTSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCC(=O)[C@H](C)C2)[n-]1 ZINC001362935903 885028211 /nfs/dbraw/zinc/02/82/11/885028211.db2.gz NPOGHDPFUYOMQS-UTLUCORTSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCC(=O)[C@H](C)C2)n1 ZINC001362935903 885028224 /nfs/dbraw/zinc/02/82/24/885028224.db2.gz NPOGHDPFUYOMQS-UTLUCORTSA-N -1 1 322.365 1.164 20 0 DDADMM CN(Cc1nc(=O)o[n-]1)C(=O)c1cc(C2CCCCC2)[nH]n1 ZINC001362947783 885056804 /nfs/dbraw/zinc/05/68/04/885056804.db2.gz GOPNMAJCNBXLQE-UHFFFAOYSA-N -1 1 305.338 1.406 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)c1ccn(C)n1 ZINC001363021059 885246499 /nfs/dbraw/zinc/24/64/99/885246499.db2.gz WSJGYRUJERMMQG-SECBINFHSA-N -1 1 303.366 1.839 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@]2(C)CCCCO2)n[n-]1 ZINC001363046761 885321980 /nfs/dbraw/zinc/32/19/80/885321980.db2.gz PIXXSJKVFRXZBS-OTYXRUKQSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@]2(C)CCCCO2)[n-]1 ZINC001363046761 885322001 /nfs/dbraw/zinc/32/20/01/885322001.db2.gz PIXXSJKVFRXZBS-OTYXRUKQSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@]2(C)CCCCO2)n1 ZINC001363046761 885322019 /nfs/dbraw/zinc/32/20/19/885322019.db2.gz PIXXSJKVFRXZBS-OTYXRUKQSA-N -1 1 310.354 1.118 20 0 DDADMM CN(Cc1cnc[nH]1)C(=O)c1ncc(Br)cc1[O-] ZINC001363056254 885350599 /nfs/dbraw/zinc/35/05/99/885350599.db2.gz SFZPKEHFWNQLRX-UHFFFAOYSA-N -1 1 311.139 1.545 20 0 DDADMM CN(C(=O)[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)C1(C)C)c1nn[n-]n1 ZINC001363057534 885354440 /nfs/dbraw/zinc/35/44/40/885354440.db2.gz WSXITNDJMJBHBJ-DTWKUNHWSA-N -1 1 324.385 1.102 20 0 DDADMM C[C@H](CN1CCOCC1)Oc1cccnc1-c1ncccc1[O-] ZINC001231199481 885369252 /nfs/dbraw/zinc/36/92/52/885369252.db2.gz DGJAQJVDJVYLQG-CYBMUJFWSA-N -1 1 315.373 1.949 20 0 DDADMM CCC(CC)(NC(=O)CC[C@@H]1CC(C)(C)CO1)c1nn[n-]n1 ZINC001363136367 885554433 /nfs/dbraw/zinc/55/44/33/885554433.db2.gz NNBOLAZOFGCUSN-LLVKDONJSA-N -1 1 309.414 1.927 20 0 DDADMM CN(C)C(=O)NC1CCN(Cc2cc(C(=O)[O-])ccc2F)CC1 ZINC001231461105 885682328 /nfs/dbraw/zinc/68/23/28/885682328.db2.gz SEDOSXGJAKFKHI-UHFFFAOYSA-N -1 1 323.368 1.760 20 0 DDADMM CC[C@H](C)C(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001383409971 885739765 /nfs/dbraw/zinc/73/97/65/885739765.db2.gz KMTDARHYFRCMMR-UNXYVOJBSA-N -1 1 305.378 1.553 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2cccc([O-])c2F)CS1(=O)=O ZINC001363220783 885744578 /nfs/dbraw/zinc/74/45/78/885744578.db2.gz XQYBBMSKISIJNX-RKDXNWHRSA-N -1 1 301.339 1.227 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc2c(c1)COC(=O)N2 ZINC001363276641 885887461 /nfs/dbraw/zinc/88/74/61/885887461.db2.gz HQZDHZIHPJILSS-UHFFFAOYSA-N -1 1 314.305 1.071 20 0 DDADMM NC(=O)COc1cccc(C(=O)Nc2cc([O-])c(F)cc2F)c1 ZINC001363384101 886187549 /nfs/dbraw/zinc/18/75/49/886187549.db2.gz JYIOQHLBIAOYJN-UHFFFAOYSA-N -1 1 322.267 1.787 20 0 DDADMM COC[C@H](NC(=O)C[C@@H](C)c1cccc(OC)c1)c1nn[n-]n1 ZINC001363409330 886256583 /nfs/dbraw/zinc/25/65/83/886256583.db2.gz CCEGRBNCHJPING-MFKMUULPSA-N -1 1 319.365 1.206 20 0 DDADMM COC[C@H](NC(=O)[C@H](C)Cc1ccccc1C)c1nn[n-]n1 ZINC001363420535 886289717 /nfs/dbraw/zinc/28/97/17/886289717.db2.gz XZIMVSWNPMQFEN-YPMHNXCESA-N -1 1 303.366 1.191 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2c3ccccc3CN(C)C2=O)c([O-])c1 ZINC001363482120 886454007 /nfs/dbraw/zinc/45/40/07/886454007.db2.gz ZZTQNNDYWPKPLG-AWEZNQCLSA-N -1 1 311.341 1.539 20 0 DDADMM C[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C(=O)N(C)c2nn[n-]n2)C1 ZINC001363491883 886479984 /nfs/dbraw/zinc/47/99/84/886479984.db2.gz VRKFBLAPCBTUHV-ZJUUUORDSA-N -1 1 324.385 1.198 20 0 DDADMM Cc1noc(C)c1C(C)(C)C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363526079 886553659 /nfs/dbraw/zinc/55/36/59/886553659.db2.gz RJGLKLRUTYMOBR-JTQLQIEISA-N -1 1 304.354 1.098 20 0 DDADMM COC[C@@H](NC(=O)C[C@H]1CCCc2ccccc21)c1nn[n-]n1 ZINC001363584422 886694254 /nfs/dbraw/zinc/69/42/54/886694254.db2.gz FESOAOLRBOWNGH-TZMCWYRMSA-N -1 1 315.377 1.514 20 0 DDADMM CC1(C)C[C@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCO1 ZINC001363682735 886967797 /nfs/dbraw/zinc/96/77/97/886967797.db2.gz CBMRSJNUSILZKE-SNVBAGLBSA-N -1 1 321.377 1.076 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H](Oc2c(=O)[n-]cnc2C(=O)OC)C1 ZINC001233758320 887286489 /nfs/dbraw/zinc/28/64/89/887286489.db2.gz JQQQVSGIDCEDHW-NXEZZACHSA-N -1 1 324.333 1.470 20 0 DDADMM CCc1ccncc1NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC001363813697 887291045 /nfs/dbraw/zinc/29/10/45/887291045.db2.gz RUYXYZQQYGHMRA-UHFFFAOYSA-N -1 1 309.347 1.397 20 0 DDADMM CC(C)OC(=O)C(C)(C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363884246 887469639 /nfs/dbraw/zinc/46/96/39/887469639.db2.gz ILTGNCMPOADGBN-UHFFFAOYSA-N -1 1 307.350 1.520 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)Cc1nnc(C)o1 ZINC001363892295 887486610 /nfs/dbraw/zinc/48/66/10/887486610.db2.gz MZRFVRSQFKIQTK-UHFFFAOYSA-N -1 1 309.351 1.176 20 0 DDADMM COc1ccc(CNS(=O)(=O)c2cc(OC)ccc2[O-])cc1 ZINC001363943189 887589113 /nfs/dbraw/zinc/58/91/13/887589113.db2.gz WTTZQUMOSXLPQE-UHFFFAOYSA-N -1 1 323.370 1.888 20 0 DDADMM C[C@@H]1CCCN(C(=O)CCNC(=O)c2ccc(F)c([O-])c2)C1 ZINC001363975313 887648709 /nfs/dbraw/zinc/64/87/09/887648709.db2.gz OUPDRDFIIXFCNR-LLVKDONJSA-N -1 1 308.353 1.910 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC(C3CC3)CC2)[n-]n1 ZINC001363998685 887696173 /nfs/dbraw/zinc/69/61/73/887696173.db2.gz ANOMMUOSPMFQLT-UHFFFAOYSA-N -1 1 313.379 1.007 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC(C3CC3)CC2)n[n-]1 ZINC001363998685 887696180 /nfs/dbraw/zinc/69/61/80/887696180.db2.gz ANOMMUOSPMFQLT-UHFFFAOYSA-N -1 1 313.379 1.007 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1csnc1OC)[C@@H]1CCCCO1 ZINC001364004592 887707134 /nfs/dbraw/zinc/70/71/34/887707134.db2.gz STGQQMZLVWZBIZ-UWVGGRQHSA-N -1 1 320.436 1.778 20 0 DDADMM COC[C@@H](NC(=O)Cc1ccc(C2CCC2)cc1)c1nn[n-]n1 ZINC001364032553 887761547 /nfs/dbraw/zinc/76/15/47/887761547.db2.gz OBMJODBTDRIXRC-CQSZACIVSA-N -1 1 315.377 1.514 20 0 DDADMM COC[C@@H](NC(=O)Cc1c(C)cc(C)cc1C)c1nn[n-]n1 ZINC001364035797 887767323 /nfs/dbraw/zinc/76/73/23/887767323.db2.gz RWASYVDOHYZRHU-CYBMUJFWSA-N -1 1 303.366 1.171 20 0 DDADMM CC[C@@](C)(CNC(=O)OC(C)(C)C)C(=O)Nc1c[n-][nH]c1=O ZINC001364093695 887902533 /nfs/dbraw/zinc/90/25/33/887902533.db2.gz OGQMPIMMVLPQKA-AWEZNQCLSA-N -1 1 312.370 1.995 20 0 DDADMM CC[C@](C)(OC)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001364104200 887927916 /nfs/dbraw/zinc/92/79/16/887927916.db2.gz NKYJCZNNFWFPFC-KWBADKCTSA-N -1 1 324.343 1.860 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H](C)c2ccccn2)c(=O)[n-]1 ZINC001234564848 888104694 /nfs/dbraw/zinc/10/46/94/888104694.db2.gz JTMNNYZBKBPXCZ-SECBINFHSA-N -1 1 302.334 1.940 20 0 DDADMM CCOC(=O)[C@H](Oc1[n-]c(=O)c(F)cc1C(=O)OC)C1CC1 ZINC001234607017 888137776 /nfs/dbraw/zinc/13/77/76/888137776.db2.gz VKARIVYDVKPYNP-SNVBAGLBSA-N -1 1 313.281 1.433 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2nocc2C)[n-]c1=O ZINC001364415489 888585993 /nfs/dbraw/zinc/58/59/93/888585993.db2.gz IXUNQZYFFBOZTM-SECBINFHSA-N -1 1 304.306 1.465 20 0 DDADMM CC(=O)Nc1cccc2c1CN(C(=O)c1ccc([O-])cn1)CC2 ZINC001364439393 888639499 /nfs/dbraw/zinc/63/94/99/888639499.db2.gz LIWUPZYKPWKRRY-UHFFFAOYSA-N -1 1 311.341 1.944 20 0 DDADMM COC(=O)c1c(NC(=O)[C@@H]2CCCC23CC3)n[n-]c1OCCO ZINC001364512116 888810869 /nfs/dbraw/zinc/81/08/69/888810869.db2.gz ZQOGIVZJPMAPLI-VIFPVBQESA-N -1 1 323.349 1.086 20 0 DDADMM COC(=O)c1c(NC(=O)[C@@H]2CCCC23CC3)[n-]nc1OCCO ZINC001364512116 888810876 /nfs/dbraw/zinc/81/08/76/888810876.db2.gz ZQOGIVZJPMAPLI-VIFPVBQESA-N -1 1 323.349 1.086 20 0 DDADMM CCC[C@H](C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385308254 888848088 /nfs/dbraw/zinc/84/80/88/888848088.db2.gz YOEMKDBHCODRGA-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cncc(C(F)F)c1 ZINC001364528731 888854492 /nfs/dbraw/zinc/85/44/92/888854492.db2.gz LXWQSRUUAXSJCZ-UHFFFAOYSA-N -1 1 322.271 1.488 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NCc2nnc(CC)s2)n[n-]1 ZINC001364695360 889217366 /nfs/dbraw/zinc/21/73/66/889217366.db2.gz LQUQPWDAJWZKMX-ZETCQYMHSA-N -1 1 310.383 1.246 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2nnc(CC)s2)n1 ZINC001364695360 889217372 /nfs/dbraw/zinc/21/73/72/889217372.db2.gz LQUQPWDAJWZKMX-ZETCQYMHSA-N -1 1 310.383 1.246 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCC[C@H](C)C2)[n-]n1 ZINC001364759454 889356858 /nfs/dbraw/zinc/35/68/58/889356858.db2.gz JJYHNBKEEIYLDV-IUCAKERBSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCC[C@H](C)C2)n[n-]1 ZINC001364759454 889356868 /nfs/dbraw/zinc/35/68/68/889356868.db2.gz JJYHNBKEEIYLDV-IUCAKERBSA-N -1 1 301.368 1.053 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1C[C@H](C)n2ccnc21 ZINC001364865907 889573417 /nfs/dbraw/zinc/57/34/17/889573417.db2.gz SEZLNKQUDLMSPS-JGVFFNPUSA-N -1 1 314.392 1.333 20 0 DDADMM CCC(C)(C)[C@H](C)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001364905783 889646540 /nfs/dbraw/zinc/64/65/40/889646540.db2.gz BTWXLJOPASFISH-QMMMGPOBSA-N -1 1 303.384 1.299 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC12CCC2 ZINC001386245620 890636313 /nfs/dbraw/zinc/63/63/13/890636313.db2.gz XGKOSRYUIFFRKA-QWRGUYRKSA-N -1 1 303.362 1.212 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCC12CC2 ZINC001365450684 890817517 /nfs/dbraw/zinc/81/75/17/890817517.db2.gz JVGWIYLBFXXKML-JTQLQIEISA-N -1 1 313.379 1.197 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2ccns2)[n-]c1=O ZINC001365521277 890973229 /nfs/dbraw/zinc/97/32/29/890973229.db2.gz YJUIADNFUJTJIG-UHFFFAOYSA-N -1 1 309.351 1.704 20 0 DDADMM CC(C)(C(N)=O)N1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001365531831 890998279 /nfs/dbraw/zinc/99/82/79/890998279.db2.gz HZAKRKPTJNQELG-UHFFFAOYSA-N -1 1 313.348 1.052 20 0 DDADMM CN1CCN(c2cc(-c3ccc(CC(=O)[O-])cc3)ncn2)CC1 ZINC001240972464 891104307 /nfs/dbraw/zinc/10/43/07/891104307.db2.gz RFXNLMFMBVYURK-UHFFFAOYSA-N -1 1 312.373 1.523 20 0 DDADMM CN1CCN(c2nccc(-c3ccc(C(=O)[O-])c(F)c3)n2)CC1 ZINC001241184337 891130079 /nfs/dbraw/zinc/13/00/79/891130079.db2.gz CWPXOMRVDZLQEJ-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM Cc1nccc(-c2cccc(NC(=O)CCc3nn[n-]n3)c2)n1 ZINC001290830667 913529426 /nfs/dbraw/zinc/52/94/26/913529426.db2.gz NBNHQVVMMYQJAM-UHFFFAOYSA-N -1 1 309.333 1.536 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2C[C@@H](C)N(C3CC3)C2)sn1 ZINC001365680858 891307513 /nfs/dbraw/zinc/30/75/13/891307513.db2.gz VQQPYALPPHSSGW-RKDXNWHRSA-N -1 1 317.436 1.055 20 0 DDADMM Cc1cccc(-c2nc3nc[nH]c3c(N3CCOCC3)n2)c1[O-] ZINC001242344986 891399223 /nfs/dbraw/zinc/39/92/23/891399223.db2.gz ZEPRPAHWVDCRDI-UHFFFAOYSA-N -1 1 311.345 1.871 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1cnc(Cl)cn1 ZINC001365739519 891429577 /nfs/dbraw/zinc/42/95/77/891429577.db2.gz OYGSXMDNFGZDKG-UHFFFAOYSA-N -1 1 320.783 1.074 20 0 DDADMM COc1ncc(Cl)cc1-c1nc(C)cc2c1C(=O)[N-]C2=O ZINC001242504426 891443939 /nfs/dbraw/zinc/44/39/39/891443939.db2.gz AMNKOAMTMYUQCV-UHFFFAOYSA-N -1 1 303.705 1.998 20 0 DDADMM COc1c(C(=O)[O-])cccc1C1=C2C(=NC(=O)C[C@@H]2C)N=CN1 ZINC001242920493 891550491 /nfs/dbraw/zinc/55/04/91/891550491.db2.gz HKKMRHXGEOPGTH-QMMMGPOBSA-N -1 1 313.313 1.701 20 0 DDADMM COC(=O)c1ccc(F)cc1-c1nc(C)cc2c1C(=O)[N-]C2=O ZINC001243120312 891603154 /nfs/dbraw/zinc/60/31/54/891603154.db2.gz WNRLIFPHPSQXNG-UHFFFAOYSA-N -1 1 314.272 1.866 20 0 DDADMM COc1ncc(-c2cccc(N(C)C)n2)cc1[N-]S(C)(=O)=O ZINC001244791173 891924051 /nfs/dbraw/zinc/92/40/51/891924051.db2.gz WUOVJMIDDQFURU-UHFFFAOYSA-N -1 1 322.390 1.590 20 0 DDADMM COc1ncc(-c2ncc(C)cc2F)cc1[N-]S(C)(=O)=O ZINC001244790617 891925285 /nfs/dbraw/zinc/92/52/85/891925285.db2.gz QKTOHFQBCREPKV-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM CC(=O)[N-]S(=O)(=O)c1ccc(-c2ccc3cncn3c2)nc1 ZINC001245898187 892260927 /nfs/dbraw/zinc/26/09/27/892260927.db2.gz NKIGBJMKUMDFDC-UHFFFAOYSA-N -1 1 316.342 1.221 20 0 DDADMM CC(C)[C@H](F)C(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001387387674 892977727 /nfs/dbraw/zinc/97/77/27/892977727.db2.gz REOVBCIMUXMLOP-AAEUAGOBSA-N -1 1 323.368 1.502 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001292489725 913730159 /nfs/dbraw/zinc/73/01/59/913730159.db2.gz KEFVCGMRUVVOLR-QWRGUYRKSA-N -1 1 306.362 1.546 20 0 DDADMM C[C@H](CCC(C)(C)C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001366386938 893405285 /nfs/dbraw/zinc/40/52/85/893405285.db2.gz FCTQMMBJYNMCIZ-NEPJUHHUSA-N -1 1 323.441 1.663 20 0 DDADMM COc1cccc([C@@H](O)CNc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001250739019 894393613 /nfs/dbraw/zinc/39/36/13/894393613.db2.gz ISXXFMOWJLMBTB-JTQLQIEISA-N -1 1 301.306 1.164 20 0 DDADMM CC(C)c1ccc(OC[C@@H](O)CNCC(=O)CCC(=O)[O-])cc1 ZINC001250774121 894410221 /nfs/dbraw/zinc/41/02/21/894410221.db2.gz FOVGRXCIQCBFHS-HNNXBMFYSA-N -1 1 323.389 1.573 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C(F)(F)F)c1cccc2cccnc21 ZINC001251029188 894580416 /nfs/dbraw/zinc/58/04/16/894580416.db2.gz AKBAVQFBFVPBEV-JTQLQIEISA-N -1 1 320.292 1.436 20 0 DDADMM CC(C)(C)/C=C\C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001278631540 894639531 /nfs/dbraw/zinc/63/95/31/894639531.db2.gz XJRKONUBZJVEKR-ZADCQDASSA-N -1 1 321.425 1.439 20 0 DDADMM O=c1cnc2cc([N-]S(=O)(=O)c3ccccc3)ccc2[nH]1 ZINC001251154823 894648995 /nfs/dbraw/zinc/64/89/95/894648995.db2.gz FJKFHPOLIQNQGT-UHFFFAOYSA-N -1 1 301.327 1.724 20 0 DDADMM CCC[C@@H](OC)C(=O)NC[C@H](CC)NC(=O)c1ncccc1[O-] ZINC001388147845 894652107 /nfs/dbraw/zinc/65/21/07/894652107.db2.gz OYJXOEYPNWSAOQ-WCQYABFASA-N -1 1 323.393 1.227 20 0 DDADMM NC(=O)c1cc(O)cc([N-]S(=O)(=O)c2ccc(F)cc2)c1 ZINC001251668385 894767464 /nfs/dbraw/zinc/76/74/64/894767464.db2.gz QNZLWGGZMGDORS-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)CNC3=O)cc1 ZINC001251924224 894889335 /nfs/dbraw/zinc/88/93/35/894889335.db2.gz TZTFIGMQNAYHQB-UHFFFAOYSA-N -1 1 318.354 1.739 20 0 DDADMM CCC1(C(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001366835701 895081975 /nfs/dbraw/zinc/08/19/75/895081975.db2.gz OBJIWCQAEIJNMY-LBPRGKRZSA-N -1 1 321.425 1.419 20 0 DDADMM CCCC[C@@H](CC)COC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001253022675 895611696 /nfs/dbraw/zinc/61/16/96/895611696.db2.gz QGUYFIFOMYLYKU-NEPJUHHUSA-N -1 1 300.403 1.194 20 0 DDADMM CCCC[C@@H](CC)COC[C@H](O)C[NH2+]CCP(=O)([O-])[O-] ZINC001253023470 895611722 /nfs/dbraw/zinc/61/17/22/895611722.db2.gz WFRNULJJAGAVMP-CHWSQXEVSA-N -1 1 311.359 1.348 20 0 DDADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)C1CCC1 ZINC001367421706 896731533 /nfs/dbraw/zinc/73/15/33/896731533.db2.gz WKUYYBCEGARDFO-AAEUAGOBSA-N -1 1 323.441 1.567 20 0 DDADMM Cn1c(=O)cc([N-]S(=O)(=O)c2ccc(Cl)s2)[nH]c1=O ZINC001256542485 897470235 /nfs/dbraw/zinc/47/02/35/897470235.db2.gz WIDAVOPWJPLQMT-UHFFFAOYSA-N -1 1 321.767 1.002 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H](C)CC(C)(C)C ZINC001367700911 897510283 /nfs/dbraw/zinc/51/02/83/897510283.db2.gz YOUJAGJDPVONFV-GHMZBOCLSA-N -1 1 311.430 1.567 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cccc(C)c1)c1ccncc1 ZINC001256985396 897656494 /nfs/dbraw/zinc/65/64/94/897656494.db2.gz SFSNGOUACVDMGT-CQSZACIVSA-N -1 1 320.370 1.583 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccnc(CO)c2)cc1OC ZINC001257016206 897673006 /nfs/dbraw/zinc/67/30/06/897673006.db2.gz OYSUYXRIBAYNDH-UHFFFAOYSA-N -1 1 324.358 1.392 20 0 DDADMM CC(CO)(CO)[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257504206 897866549 /nfs/dbraw/zinc/86/65/49/897866549.db2.gz MTLFXDBVRADGAZ-UHFFFAOYSA-N -1 1 320.219 1.077 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]C1CCC(F)CC1 ZINC001258341094 898144386 /nfs/dbraw/zinc/14/43/86/898144386.db2.gz AVHSKYBBBDAEAL-UHFFFAOYSA-N -1 1 309.794 1.941 20 0 DDADMM O=S(=O)([N-]Cc1ncc(F)cn1)c1ccc(F)c(F)c1F ZINC001259080755 898456206 /nfs/dbraw/zinc/45/62/06/898456206.db2.gz SQVZQGARQGZCKL-UHFFFAOYSA-N -1 1 321.255 1.512 20 0 DDADMM COC1CC(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC001259083647 898458447 /nfs/dbraw/zinc/45/84/47/898458447.db2.gz PJUUZHLBYNAULW-UHFFFAOYSA-N -1 1 309.309 1.807 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccc(F)c(F)c1F)NC1CCC1 ZINC001259082904 898458426 /nfs/dbraw/zinc/45/84/26/898458426.db2.gz WEXSIJNXDNZHTQ-UHFFFAOYSA-N -1 1 322.308 1.051 20 0 DDADMM COc1ncc([N-]S(=O)(=O)c2ccc3c(c2)CCO3)cc1F ZINC001259350398 898620849 /nfs/dbraw/zinc/62/08/49/898620849.db2.gz LCFQIBBQQGKIBJ-UHFFFAOYSA-N -1 1 324.333 1.965 20 0 DDADMM O=c1cc([N-]S(=O)(=O)c2cc(Cl)ccc2F)cn[nH]1 ZINC001259452895 898678081 /nfs/dbraw/zinc/67/80/81/898678081.db2.gz BAVVUSJZWBJFIZ-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM COC(=O)[C@H](COC(C)(C)C)[N-]S(=O)(=O)C1CCCC1 ZINC001259804244 898813943 /nfs/dbraw/zinc/81/39/43/898813943.db2.gz XXOWVHDIALJHGU-NSHDSACASA-N -1 1 307.412 1.205 20 0 DDADMM C[C@H]([N-]S(=O)(=O)C(F)F)c1ccc(S(C)(=O)=O)cc1 ZINC001259958959 898981507 /nfs/dbraw/zinc/98/15/07/898981507.db2.gz JYFZKIAHIUCZSQ-ZETCQYMHSA-N -1 1 313.347 1.293 20 0 DDADMM O=C(c1ccccc1)N1CCC[C@H]([N-]S(=O)(=O)C(F)F)C1 ZINC001259962107 898983831 /nfs/dbraw/zinc/98/38/31/898983831.db2.gz CNVNXAORXYPENP-NSHDSACASA-N -1 1 318.345 1.433 20 0 DDADMM CC(C)c1nnc2ccc(C[N-]S(=O)(=O)C(F)F)cn21 ZINC001259963661 898985551 /nfs/dbraw/zinc/98/55/51/898985551.db2.gz JSEPZJKGQKNDOI-UHFFFAOYSA-N -1 1 304.322 1.495 20 0 DDADMM CC(C)[C@@H](C[N-]S(=O)(=O)C(F)F)NC(=O)OC(C)(C)C ZINC001259962918 898986236 /nfs/dbraw/zinc/98/62/36/898986236.db2.gz WLPQGNUFVRCVLW-MRVPVSSYSA-N -1 1 316.370 1.678 20 0 DDADMM CC(C)[C@H](CO)[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260196152 899086388 /nfs/dbraw/zinc/08/63/88/899086388.db2.gz VWZQKRNZHLXDHB-JTQLQIEISA-N -1 1 313.753 1.913 20 0 DDADMM O=C1C[C@@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)CN1 ZINC001260287353 899111697 /nfs/dbraw/zinc/11/16/97/899111697.db2.gz CMLYKCPCOHGUCC-ZCFIWIBFSA-N -1 1 324.736 1.033 20 0 DDADMM O=S(=O)([N-]Cc1cccnn1)c1cc(F)c(F)cc1Cl ZINC001260288169 899112007 /nfs/dbraw/zinc/11/20/07/899112007.db2.gz ILNKHIMCISWTTH-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM CCNC(=O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260288602 899112747 /nfs/dbraw/zinc/11/27/47/899112747.db2.gz XRBVMWODUKRZNP-UHFFFAOYSA-N -1 1 312.725 1.033 20 0 DDADMM CC[C@@H](CNC(=O)Cc1ccoc1)NC(=O)c1ncccc1[O-] ZINC001390380462 899296386 /nfs/dbraw/zinc/29/63/86/899296386.db2.gz LJVQBKGFHILKEO-LBPRGKRZSA-N -1 1 317.345 1.248 20 0 DDADMM C[C@H](CNC(=O)CC1(C)CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001390433094 899394787 /nfs/dbraw/zinc/39/47/87/899394787.db2.gz OXEGMWCBYXIFON-LLVKDONJSA-N -1 1 309.414 1.465 20 0 DDADMM Cc1ccccc1CCC(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001390488382 899523832 /nfs/dbraw/zinc/52/38/32/899523832.db2.gz XHSUOPCSTKNCHK-GFCCVEGCSA-N -1 1 317.393 1.046 20 0 DDADMM CCCC[C@H](C)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001396743738 914367121 /nfs/dbraw/zinc/36/71/21/914367121.db2.gz QMTYNSHVWFQCGV-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N(C)Cc1cscn1 ZINC001263033940 900472525 /nfs/dbraw/zinc/47/25/25/900472525.db2.gz ZNUYEWPHZLVGOL-UHFFFAOYSA-N -1 1 305.367 1.487 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(C2(O)CC2)CC1 ZINC001263846241 900761059 /nfs/dbraw/zinc/76/10/59/900761059.db2.gz MBLPLBNQJLRIHB-UHFFFAOYSA-N -1 1 309.391 1.156 20 0 DDADMM CC(=Cc1cccnc1)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001263911434 900815015 /nfs/dbraw/zinc/81/50/15/900815015.db2.gz IWDQYRIFSWZFLU-UNJUUPHPSA-N -1 1 312.377 1.479 20 0 DDADMM CCCCCC[C@@H](C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001265451508 902031253 /nfs/dbraw/zinc/03/12/53/902031253.db2.gz RFYWBPCUGTVHRW-OLZOCXBDSA-N -1 1 323.441 1.807 20 0 DDADMM CCC(=O)N[C@@H](CCN(C)C(=O)c1ncccc1[O-])C(C)C ZINC001370023388 902409631 /nfs/dbraw/zinc/40/96/31/902409631.db2.gz AIFHBPQEDBHZCQ-LBPRGKRZSA-N -1 1 307.394 1.800 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)CC1CCCC1 ZINC001370472871 903241555 /nfs/dbraw/zinc/24/15/55/903241555.db2.gz OKCPETDSYQWTCB-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@H](C)C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001392245607 903636675 /nfs/dbraw/zinc/63/66/75/903636675.db2.gz ROZNQSBRLQBWSO-DGCLKSJQSA-N -1 1 323.441 1.567 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CC=CCC1)NC(=O)c1ncccc1[O-] ZINC001280979965 904267875 /nfs/dbraw/zinc/26/78/75/904267875.db2.gz WUCOPLPELYDCEF-CHWSQXEVSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001392548698 904432164 /nfs/dbraw/zinc/43/21/64/904432164.db2.gz YHYYMDYGLBCRIQ-WYUUTHIRSA-N -1 1 303.362 1.068 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)COC(C)(C)C ZINC001375061328 914824647 /nfs/dbraw/zinc/82/46/47/914824647.db2.gz TZLHBEIRVYWACY-NSHDSACASA-N -1 1 323.393 1.227 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1CCCCC1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001371487761 905085503 /nfs/dbraw/zinc/08/55/03/905085503.db2.gz RUGINZVNDRUGDO-MNOVXSKESA-N -1 1 309.414 1.321 20 0 DDADMM Cc1cccc(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)c1 ZINC001392772315 905211810 /nfs/dbraw/zinc/21/18/10/905211810.db2.gz WZNFIMBFRMMTSB-CYBMUJFWSA-N -1 1 315.377 1.117 20 0 DDADMM CCn1cccc1C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001392831297 905396752 /nfs/dbraw/zinc/39/67/52/905396752.db2.gz WLAKLCOFHCZHFW-NSHDSACASA-N -1 1 316.361 1.157 20 0 DDADMM CC(C)c1occc1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282983695 906397549 /nfs/dbraw/zinc/39/75/49/906397549.db2.gz OKXTULLHPUWGFK-UHFFFAOYSA-N -1 1 317.345 1.663 20 0 DDADMM CC(C)SCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001372239453 906790310 /nfs/dbraw/zinc/79/03/10/906790310.db2.gz UPTGMXBGNXMGHF-LLVKDONJSA-N -1 1 323.418 1.260 20 0 DDADMM CC(C)(C)CC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001393363761 906806177 /nfs/dbraw/zinc/80/61/77/906806177.db2.gz BPVXCEUTAUTSRI-SRVKXCTJSA-N -1 1 321.425 1.416 20 0 DDADMM Cc1ccsc1C(=O)N[C@H](C)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001393919903 908249571 /nfs/dbraw/zinc/24/95/71/908249571.db2.gz VMRYRFPVFAJQPL-DTWKUNHWSA-N -1 1 309.395 1.177 20 0 DDADMM CN(C(=O)CCC1CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001393961724 908390825 /nfs/dbraw/zinc/39/08/25/908390825.db2.gz FQCVCCVNYFQSOO-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1)[C@@H]1CC12CC2 ZINC001394179248 909024980 /nfs/dbraw/zinc/02/49/80/909024980.db2.gz JPALGHJOSNAJTA-NSHDSACASA-N -1 1 319.409 1.219 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1ccncc1 ZINC001373260013 909334547 /nfs/dbraw/zinc/33/45/47/909334547.db2.gz DMCKPYVPWLEJMW-LLVKDONJSA-N -1 1 314.345 1.121 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001394427353 909605669 /nfs/dbraw/zinc/60/56/69/909605669.db2.gz JNSOZEGTZIEKAL-MEDUHNTESA-N -1 1 323.368 1.504 20 0 DDADMM CN(CCNC(=O)C1CC(C)(C)C1)C(=O)c1ncccc1[O-] ZINC001373429717 909837491 /nfs/dbraw/zinc/83/74/91/909837491.db2.gz ZPUYFSXASDLBOO-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(Cl)cc1)NCc1n[nH]c(=O)[n-]1 ZINC001394539879 909941902 /nfs/dbraw/zinc/94/19/02/909941902.db2.gz JYCXHCLSQLEAAI-SECBINFHSA-N -1 1 323.784 1.414 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C(C)C ZINC001285313647 910337527 /nfs/dbraw/zinc/33/75/27/910337527.db2.gz HHCRMPJWPKZJOE-JVOXIWMLSA-N -1 1 305.378 1.624 20 0 DDADMM CCC(C)(C)C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001397197244 915416091 /nfs/dbraw/zinc/41/60/91/915416091.db2.gz UEPFBXXQQGJXOQ-XYPYZODXSA-N -1 1 305.378 1.600 20 0 DDADMM Cc1ccc(F)c(N)c1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001296690490 916538279 /nfs/dbraw/zinc/53/82/79/916538279.db2.gz QBTDNGAUGMXXRA-VIFPVBQESA-N -1 1 322.369 1.160 20 0 DDADMM O=C(NCCN(Cc1csnn1)C1CC1)c1ncccc1[O-] ZINC001377521833 922213052 /nfs/dbraw/zinc/21/30/52/922213052.db2.gz GNKOOHSCHRCEQV-UHFFFAOYSA-N -1 1 319.390 1.033 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCC(C)=C(C)C3)nc2n1 ZINC000622869663 365549383 /nfs/dbraw/zinc/54/93/83/365549383.db2.gz BOKBYUGLUFRBEF-NSHDSACASA-N -1 1 301.350 1.801 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC4CCC3CC4)nc2n1 ZINC000622870145 365550557 /nfs/dbraw/zinc/55/05/57/365550557.db2.gz RUTHVRBOJRZXFD-VQXHTEKXSA-N -1 1 301.350 1.491 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CCC(F)(F)F)nc2n1 ZINC000622994160 365586968 /nfs/dbraw/zinc/58/69/68/365586968.db2.gz GBTIRASCAOOYLU-UHFFFAOYSA-N -1 1 303.244 1.261 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3Cc4ccccc43)nc2n1 ZINC000622996738 365589416 /nfs/dbraw/zinc/58/94/16/365589416.db2.gz YVRZKYMWLYGUIJ-CYBMUJFWSA-N -1 1 323.356 1.649 20 0 DDADMM CSC[C@@](C)(O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000077105352 185109043 /nfs/dbraw/zinc/10/90/43/185109043.db2.gz UIHLYRBFMDXEJW-NSHDSACASA-N -1 1 311.375 1.357 20 0 DDADMM Cn1ncc(Cl)c1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614388931 361832184 /nfs/dbraw/zinc/83/21/84/361832184.db2.gz JGIGHCVYYYCUCO-ZETCQYMHSA-N -1 1 311.729 1.182 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C(C)C)[C@H](O)C(F)(F)F)c([O-])c1 ZINC000614472461 361874004 /nfs/dbraw/zinc/87/40/04/361874004.db2.gz IQRMADXEGMLOEF-ONGXEEELSA-N -1 1 306.284 1.773 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451285140 231057192 /nfs/dbraw/zinc/05/71/92/231057192.db2.gz MRVLDJCMOLAHPU-APPZFPTMSA-N -1 1 322.839 1.724 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC(C)(C)C1(O)CCCC1 ZINC000452051862 231283025 /nfs/dbraw/zinc/28/30/25/231283025.db2.gz ZYKJNNJNRRDGAQ-UHFFFAOYSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)C1(O)CCCC1 ZINC000452051862 231283028 /nfs/dbraw/zinc/28/30/28/231283028.db2.gz ZYKJNNJNRRDGAQ-UHFFFAOYSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)Cc1ccc(C)c(C)c1 ZINC000452073264 231292151 /nfs/dbraw/zinc/29/21/51/231292151.db2.gz YPYFAYWVHXOQDI-UHFFFAOYSA-N -1 1 309.391 1.833 20 0 DDADMM CC(C)[C@@H](CNS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000087495569 185226671 /nfs/dbraw/zinc/22/66/71/185226671.db2.gz MVULQHJJDXUCHN-MRVPVSSYSA-N -1 1 307.318 1.600 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc(C2CCCCC2)no1 ZINC000136341023 539195115 /nfs/dbraw/zinc/19/51/15/539195115.db2.gz QKWSYHKINBDWJD-UHFFFAOYSA-N -1 1 317.411 1.573 20 0 DDADMM CCC[C@H](NC(=O)c1ccoc1Br)c1nn[n-]n1 ZINC000194815239 539262798 /nfs/dbraw/zinc/26/27/98/539262798.db2.gz PKYQGXCQDCFIKT-ZETCQYMHSA-N -1 1 314.143 1.826 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)Cc1ncon1 ZINC000329389505 231518336 /nfs/dbraw/zinc/51/83/36/231518336.db2.gz UMPKKAVCBNEUAP-UHFFFAOYSA-N -1 1 305.338 1.443 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000279845403 295689613 /nfs/dbraw/zinc/68/96/13/295689613.db2.gz FRLNYZKZDGCCOX-LDYMZIIASA-N -1 1 323.418 1.852 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)[C@@H]2C[C@@H](C)Cc3cn[nH]c32)s[n-]1 ZINC000623215640 365726670 /nfs/dbraw/zinc/72/66/70/365726670.db2.gz AECWMOQKWQRXPT-QXFUBDJGSA-N -1 1 321.406 1.695 20 0 DDADMM Cc1oc(C(=O)[O-])cc1S(=O)(=O)N(C)C[C@@H]1CCCN1C ZINC000092625474 185330042 /nfs/dbraw/zinc/33/00/42/185330042.db2.gz ZEKVDUJNAOSDOL-JTQLQIEISA-N -1 1 316.379 1.001 20 0 DDADMM CCc1ncc(C[N-]S(=O)(=O)c2ccc(F)cc2F)o1 ZINC000451955147 529756532 /nfs/dbraw/zinc/75/65/32/529756532.db2.gz DBFNZTAIENWBBF-UHFFFAOYSA-N -1 1 302.302 1.994 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)C[C@H]2CC=CCC2)co1 ZINC000330849603 530024510 /nfs/dbraw/zinc/02/45/10/530024510.db2.gz ZEOHNYHYMNFOMZ-NSHDSACASA-N -1 1 312.391 1.616 20 0 DDADMM CCNC(=O)C(C)(C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000616194946 362581771 /nfs/dbraw/zinc/58/17/71/362581771.db2.gz IAEANMQLDAIPMC-UHFFFAOYSA-N -1 1 301.346 1.585 20 0 DDADMM CCCc1cc(=O)[n-]c(SC[C@@H]2CC(C(=O)OC)=NO2)n1 ZINC000277725033 213721231 /nfs/dbraw/zinc/72/12/31/213721231.db2.gz OUSQJXNLIMGVAG-VIFPVBQESA-N -1 1 311.363 1.545 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])[C@@H]1CCCCS1(=O)=O ZINC000279691979 215066054 /nfs/dbraw/zinc/06/60/54/215066054.db2.gz ILBIEQQPPLBIEA-QMMMGPOBSA-N -1 1 304.755 1.346 20 0 DDADMM CO[C@H](C)[C@H](C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000342093872 282540577 /nfs/dbraw/zinc/54/05/77/282540577.db2.gz GIBCQVVSGTXTLO-NKWVEPMBSA-N -1 1 312.185 1.744 20 0 DDADMM CCC(=O)N1CCCC[C@H]1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279883665 215205030 /nfs/dbraw/zinc/20/50/30/215205030.db2.gz UDKRPUDELQXAGU-QXGSTRBFSA-N -1 1 315.377 1.071 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CSC[C@H]1C(=O)N1CCCC1 ZINC000181929097 186220328 /nfs/dbraw/zinc/22/03/28/186220328.db2.gz RCOUKTUNSUIEJA-ZDUSSCGKSA-N -1 1 324.377 1.669 20 0 DDADMM CC[C@@H](C)c1ncc([N-]S(=O)(=O)CCOCCOC)cn1 ZINC000358490051 299170897 /nfs/dbraw/zinc/17/08/97/299170897.db2.gz GQERXGDIPYANNR-LLVKDONJSA-N -1 1 317.411 1.395 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC000262847836 186312681 /nfs/dbraw/zinc/31/26/81/186312681.db2.gz HOMOJZLFMYNXKO-OAHLLOKOSA-N -1 1 307.350 1.241 20 0 DDADMM CSCCO[N-]C(=O)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000280039178 215330332 /nfs/dbraw/zinc/33/03/32/215330332.db2.gz PEJWXXVCNPMRNS-ZDUSSCGKSA-N -1 1 308.403 1.446 20 0 DDADMM CONC(=O)CCn1c(=S)[n-]nc1-c1ccc(OC)cc1 ZINC000025824282 352201849 /nfs/dbraw/zinc/20/18/49/352201849.db2.gz OSKAGAXXLNUSHU-UHFFFAOYSA-N -1 1 308.363 1.684 20 0 DDADMM Cc1cn2c(n1)[C@H](CNC(=O)c1ncc(C)cc1[O-])CCC2 ZINC000331716856 234038197 /nfs/dbraw/zinc/03/81/97/234038197.db2.gz GJMYHFVSPHVSIG-LBPRGKRZSA-N -1 1 300.362 1.908 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)Nc1ccccn1 ZINC000047344050 352491914 /nfs/dbraw/zinc/49/19/14/352491914.db2.gz XAIYZHPDXGQIHR-UHFFFAOYSA-N -1 1 321.340 1.717 20 0 DDADMM Cc1ccccc1-n1nc(C(=O)Nc2nn[n-]n2)c2c1CCC2 ZINC000060380455 352869712 /nfs/dbraw/zinc/86/97/12/352869712.db2.gz AAZFAVXHALJYPS-UHFFFAOYSA-N -1 1 309.333 1.435 20 0 DDADMM O=C([O-])CSCC(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000564967500 304031193 /nfs/dbraw/zinc/03/11/93/304031193.db2.gz SHMGXXYTTBYJMC-JTQLQIEISA-N -1 1 324.406 1.206 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1ccc(Br)o1)C1CC1 ZINC000331958299 234321289 /nfs/dbraw/zinc/32/12/89/234321289.db2.gz UQVWDWZRZIJCGQ-QMMMGPOBSA-N -1 1 324.196 1.745 20 0 DDADMM Cc1nc(-c2ccc(NC[C@H](O)C3CC3)nc2)[n-]c(=O)c1C ZINC000080678256 353622083 /nfs/dbraw/zinc/62/20/83/353622083.db2.gz ZFNIROAOOPHVJJ-ZDUSSCGKSA-N -1 1 300.362 1.632 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCC[C@H]1O ZINC000081953859 353698798 /nfs/dbraw/zinc/69/87/98/353698798.db2.gz AAQWQPNVONGMMM-GHMZBOCLSA-N -1 1 307.394 1.267 20 0 DDADMM CCCc1cc(NC(=O)NCc2n[n-]c(=S)n2C)n(C)n1 ZINC000091090907 353809996 /nfs/dbraw/zinc/80/99/96/353809996.db2.gz WJQJUPRPATUWMR-UHFFFAOYSA-N -1 1 309.399 1.485 20 0 DDADMM CC(C)c1nsc([N-]c2cnn(CCN3CCOCC3)c2)n1 ZINC000092649918 353864930 /nfs/dbraw/zinc/86/49/30/353864930.db2.gz FYWBGBRAUBXRAE-UHFFFAOYSA-N -1 1 322.438 1.934 20 0 DDADMM Cc1nc([N-]C(=O)c2cnc(-c3ncccn3)s2)nn1C ZINC000355814974 291017396 /nfs/dbraw/zinc/01/73/96/291017396.db2.gz VNQQJUYBCURTOD-UHFFFAOYSA-N -1 1 301.335 1.289 20 0 DDADMM C[C@@H]1C[N@H+]2CCCC[C@H]2CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC000317273687 354509453 /nfs/dbraw/zinc/50/94/53/354509453.db2.gz SUQBJACESWVVGX-YPMHNXCESA-N -1 1 303.362 1.479 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC000317273687 354509458 /nfs/dbraw/zinc/50/94/58/354509458.db2.gz SUQBJACESWVVGX-YPMHNXCESA-N -1 1 303.362 1.479 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3cc(C)oc3C)nc2n1 ZINC000588744424 354932751 /nfs/dbraw/zinc/93/27/51/354932751.db2.gz IJDDALBBOSCPEB-UHFFFAOYSA-N -1 1 315.333 1.832 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]C1(C(F)F)CCCC1 ZINC000588906304 354947809 /nfs/dbraw/zinc/94/78/09/354947809.db2.gz XYCHEGDDCIQJKW-UHFFFAOYSA-N -1 1 313.366 1.683 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2Cc3cc(F)ccc3O2)n1 ZINC000590356716 355076583 /nfs/dbraw/zinc/07/65/83/355076583.db2.gz NGFYDUTXRMEGRB-GFCCVEGCSA-N -1 1 319.292 1.668 20 0 DDADMM Cc1cnc(C(=O)NCC(=O)NCCc2ccccc2)c([O-])c1 ZINC000494833175 235094623 /nfs/dbraw/zinc/09/46/23/235094623.db2.gz DFWQHFWTCVFZQK-UHFFFAOYSA-N -1 1 313.357 1.184 20 0 DDADMM Cc1nnsc1C(=O)[N-]c1cc(S(C)(=O)=O)ccc1F ZINC000346433418 283092994 /nfs/dbraw/zinc/09/29/94/283092994.db2.gz IJZFRZQBHFJKJA-UHFFFAOYSA-N -1 1 315.351 1.641 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CC(=O)N(C(C)(C)C)C2)n1 ZINC000591303201 355284815 /nfs/dbraw/zinc/28/48/15/355284815.db2.gz MHIKQNUHRSXZMR-VIFPVBQESA-N -1 1 322.365 1.172 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(C(=O)OCC)CCCC2)n1 ZINC000592631039 355648757 /nfs/dbraw/zinc/64/87/57/355648757.db2.gz WRODZBCOOUDPEU-UHFFFAOYSA-N -1 1 323.349 1.648 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)CCCCF)c1OC ZINC000593217992 355826072 /nfs/dbraw/zinc/82/60/72/355826072.db2.gz PGCZEABLFDYXLV-UHFFFAOYSA-N -1 1 319.354 1.973 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](OC)c2ccc(O)cc2)n1 ZINC000593901327 356047427 /nfs/dbraw/zinc/04/74/27/356047427.db2.gz LUTUBWJRKIBZHF-LBPRGKRZSA-N -1 1 319.317 1.618 20 0 DDADMM CCCNC(=O)CCCC(=O)Nc1ncc(C(=O)OCC)[n-]1 ZINC000593900264 356048205 /nfs/dbraw/zinc/04/82/05/356048205.db2.gz ALFGUQUZIIZHDQ-UHFFFAOYSA-N -1 1 310.354 1.221 20 0 DDADMM CCCNC(=O)CCCC(=O)Nc1nc(C(=O)OCC)c[n-]1 ZINC000593900264 356048206 /nfs/dbraw/zinc/04/82/06/356048206.db2.gz ALFGUQUZIIZHDQ-UHFFFAOYSA-N -1 1 310.354 1.221 20 0 DDADMM COC(C)(C)CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000080699921 192183787 /nfs/dbraw/zinc/18/37/87/192183787.db2.gz FJVZXTNKNYDWOH-UHFFFAOYSA-N -1 1 320.393 1.526 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2COC(C)(C)C2)o1 ZINC000594796202 356319956 /nfs/dbraw/zinc/31/99/56/356319956.db2.gz QMOJIOMIXJLNOE-VIFPVBQESA-N -1 1 317.363 1.302 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](CO)C(C)(C)C)cc1C ZINC000595328814 356451347 /nfs/dbraw/zinc/45/13/47/356451347.db2.gz XCNGMCSAEVCZMG-VIFPVBQESA-N -1 1 319.379 1.060 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCOC2(C)C)o1 ZINC000595392263 356475900 /nfs/dbraw/zinc/47/59/00/356475900.db2.gz LAQXXMCCXSUJBK-SECBINFHSA-N -1 1 317.363 1.160 20 0 DDADMM CNC(=O)[C@H](C)CN(C)C(=O)c1ccc2ccccc2c1[O-] ZINC000081467941 192271521 /nfs/dbraw/zinc/27/15/21/192271521.db2.gz BZHIWWVAIKKLFU-LLVKDONJSA-N -1 1 300.358 2.000 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CCC1(F)F)C(C)(C)C ZINC000601348328 358509771 /nfs/dbraw/zinc/50/97/71/358509771.db2.gz WLDZJADPNGHMMO-RKDXNWHRSA-N -1 1 313.366 1.539 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H](c1ccccn1)C1CCC1 ZINC000636256898 422753636 /nfs/dbraw/zinc/75/36/36/422753636.db2.gz YUJKFWBVWZFNOD-HNNXBMFYSA-N -1 1 300.366 1.575 20 0 DDADMM CNC(=O)c1ccc(=NCC2(C(=O)OC(C)(C)C)CCC2)[n-]n1 ZINC000596559933 356916990 /nfs/dbraw/zinc/91/69/90/356916990.db2.gz SPFNSSFMRQNWNH-UHFFFAOYSA-N -1 1 320.393 1.182 20 0 DDADMM CC[C@H](NCc1nc(=O)n(C)[n-]1)c1ccccc1OC(F)F ZINC000347565558 283229010 /nfs/dbraw/zinc/22/90/10/283229010.db2.gz IFPNKWKMGZHJID-JTQLQIEISA-N -1 1 312.320 1.951 20 0 DDADMM CCOC(=O)[C@@H](CC(=O)Nc1ccc([O-])c(F)c1F)C(C)=O ZINC000597751117 357316247 /nfs/dbraw/zinc/31/62/47/357316247.db2.gz RCBCUWBBEZAFMO-QMMMGPOBSA-N -1 1 315.272 1.767 20 0 DDADMM CCOC(=O)C[C@H](NC(=O)c1ncc(C)cc1[O-])C(F)(F)F ZINC000598051751 357445294 /nfs/dbraw/zinc/44/52/94/357445294.db2.gz XFLISQSTRPCMID-VIFPVBQESA-N -1 1 320.267 1.710 20 0 DDADMM COC(=O)[C@](C)(CCF)NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000598284748 357524299 /nfs/dbraw/zinc/52/42/99/357524299.db2.gz JSMFREWUSFUXRL-ZDUSSCGKSA-N -1 1 315.370 1.160 20 0 DDADMM CCOCCn1cc(C(=O)Nc2nc(C(=O)OCC)c[n-]2)cn1 ZINC000598730055 357706980 /nfs/dbraw/zinc/70/69/80/357706980.db2.gz YDPPPDTVQCVPPY-UHFFFAOYSA-N -1 1 321.337 1.072 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)[C@@H]1COC(C)(C)C1 ZINC000599210644 357852050 /nfs/dbraw/zinc/85/20/50/357852050.db2.gz WNHLXHRALXUVBG-WDEREUQCSA-N -1 1 307.412 1.061 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C(=O)OC)no1)c1ccccc1 ZINC000599225140 357855624 /nfs/dbraw/zinc/85/56/24/357855624.db2.gz RECCXONYSAYIHX-LLVKDONJSA-N -1 1 324.358 1.891 20 0 DDADMM COC(=O)[C@H](C[C@@H]1CCCOC1)N=c1nc(C(C)C)[n-]s1 ZINC000599166047 357836480 /nfs/dbraw/zinc/83/64/80/357836480.db2.gz RAYMWKKVXGUQRT-QWRGUYRKSA-N -1 1 313.423 1.854 20 0 DDADMM C[C@H]1CN(CCN2CCc3ccc(C(=O)[O-])cc3C2)CCO1 ZINC000565486279 304071366 /nfs/dbraw/zinc/07/13/66/304071366.db2.gz MERJFNVQTFVCCF-ZDUSSCGKSA-N -1 1 304.390 1.464 20 0 DDADMM CNC(=O)c1ccc(CN(C)C(=O)c2ncc(C)cc2[O-])cc1 ZINC000358897235 299273700 /nfs/dbraw/zinc/27/37/00/299273700.db2.gz CRNNQOYPGMPWFX-UHFFFAOYSA-N -1 1 313.357 1.727 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)Cn1cccn1 ZINC000358917828 299280906 /nfs/dbraw/zinc/28/09/06/299280906.db2.gz HLGRGIACRPKJHN-UHFFFAOYSA-N -1 1 312.326 1.032 20 0 DDADMM COC(=O)[C@@](C)(CCF)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601670657 358646872 /nfs/dbraw/zinc/64/68/72/358646872.db2.gz IRUFVNNBYNOASD-CQSZACIVSA-N -1 1 317.744 1.996 20 0 DDADMM COc1cc(CNC2(c3nnn[n-]3)CCCC2)cc(OC)c1O ZINC000348145600 283389460 /nfs/dbraw/zinc/38/94/60/283389460.db2.gz SRKYWQHISITXDA-UHFFFAOYSA-N -1 1 319.365 1.482 20 0 DDADMM C[C@@H](S[C@@H](C)C(=O)[O-])C(=O)NC[C@@H]1CCN1C(C)(C)C ZINC000602002534 358772733 /nfs/dbraw/zinc/77/27/33/358772733.db2.gz UIVFRMXYJLAJPA-VWYCJHECSA-N -1 1 302.440 1.570 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2ncccc2[O-])s1 ZINC000348168212 283399687 /nfs/dbraw/zinc/39/96/87/283399687.db2.gz LICSBDDPKHWCAW-UHFFFAOYSA-N -1 1 312.372 1.182 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)c2cccc(C)c2)n[n-]1 ZINC000603014671 359358418 /nfs/dbraw/zinc/35/84/18/359358418.db2.gz GGUOOLWIVCONJC-LLVKDONJSA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)c2cccc(C)c2)n1 ZINC000603014671 359358421 /nfs/dbraw/zinc/35/84/21/359358421.db2.gz GGUOOLWIVCONJC-LLVKDONJSA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C23CCC(CC2)C3)n[n-]1 ZINC000603157892 359444586 /nfs/dbraw/zinc/44/45/86/359444586.db2.gz WVBBDVXREDLVQX-YLTRJXTNSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C23CCC(CC2)C3)[n-]1 ZINC000603157892 359444588 /nfs/dbraw/zinc/44/45/88/359444588.db2.gz WVBBDVXREDLVQX-YLTRJXTNSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C23CCC(CC2)C3)n1 ZINC000603157892 359444591 /nfs/dbraw/zinc/44/45/91/359444591.db2.gz WVBBDVXREDLVQX-YLTRJXTNSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCCC23CC3)n[n-]1 ZINC000603157253 359444855 /nfs/dbraw/zinc/44/48/55/359444855.db2.gz WAPSMCSWJXXSKB-UWVGGRQHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCCC23CC3)[n-]1 ZINC000603157253 359444858 /nfs/dbraw/zinc/44/48/58/359444858.db2.gz WAPSMCSWJXXSKB-UWVGGRQHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCCC23CC3)n1 ZINC000603157253 359444862 /nfs/dbraw/zinc/44/48/62/359444862.db2.gz WAPSMCSWJXXSKB-UWVGGRQHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(F)cc2F)n[n-]1 ZINC000603163308 359447858 /nfs/dbraw/zinc/44/78/58/359447858.db2.gz HSXSBLDXKOEQCQ-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(F)cc2F)[n-]1 ZINC000603163308 359447863 /nfs/dbraw/zinc/44/78/63/359447863.db2.gz HSXSBLDXKOEQCQ-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(F)cc2F)n1 ZINC000603163308 359447867 /nfs/dbraw/zinc/44/78/67/359447867.db2.gz HSXSBLDXKOEQCQ-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)C[C@]1(C)CC1(F)F)C(=O)OC ZINC000603308966 359559711 /nfs/dbraw/zinc/55/97/11/359559711.db2.gz MKIJMSQVWVZXNE-ONGXEEELSA-N -1 1 313.366 1.683 20 0 DDADMM Cc1cc(CNC(=O)N=c2nc(-c3ccccc3)[n-]s2)n[nH]1 ZINC000603522357 359653585 /nfs/dbraw/zinc/65/35/85/359653585.db2.gz WTDWVFDFOHZYSP-UHFFFAOYSA-N -1 1 314.374 1.980 20 0 DDADMM CCO[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccccc1 ZINC000187330793 200096113 /nfs/dbraw/zinc/09/61/13/200096113.db2.gz BCAZSISHRQEPDE-YPMHNXCESA-N -1 1 303.366 1.539 20 0 DDADMM O=C(CC1CCOCC1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000605021584 359825377 /nfs/dbraw/zinc/82/53/77/359825377.db2.gz BKQZNLSQPKZWMF-CQSZACIVSA-N -1 1 301.350 1.222 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1ccccc1-n1cncn1 ZINC000605290957 359841657 /nfs/dbraw/zinc/84/16/57/359841657.db2.gz DQWLQHUSZJBEQL-LLVKDONJSA-N -1 1 308.363 1.188 20 0 DDADMM COC(=O)c1[nH]c(C)cc1[N-]S(=O)(=O)C[C@@H]1CCCCO1 ZINC000610123969 360383952 /nfs/dbraw/zinc/38/39/52/360383952.db2.gz WIBOFTJXDPTNLO-JTQLQIEISA-N -1 1 316.379 1.421 20 0 DDADMM CN1CCN(C(=O)c2ncc3ccccc3c2[O-])C(C)(C)C1=O ZINC000612212303 360944637 /nfs/dbraw/zinc/94/46/37/360944637.db2.gz UWINQKLHWVOTGB-UHFFFAOYSA-N -1 1 313.357 1.633 20 0 DDADMM C[C@](O)(CNC(=O)c1ncc2ccccc2c1[O-])C(F)(F)F ZINC000613108077 361270477 /nfs/dbraw/zinc/27/04/77/361270477.db2.gz PEEWCSMMTOWLQK-ZDUSSCGKSA-N -1 1 314.263 1.984 20 0 DDADMM CCCn1ccc2cc(NC(=O)NN3CC(=O)[N-]C3=O)ccc21 ZINC000193541351 201072710 /nfs/dbraw/zinc/07/27/10/201072710.db2.gz OOYJOCKJLLZZPA-UHFFFAOYSA-N -1 1 315.333 1.640 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2c(c1)CCOC2)c1nn[n-]n1 ZINC000613473747 361433465 /nfs/dbraw/zinc/43/34/65/361433465.db2.gz CBZYAHWKOQVJPV-JTQLQIEISA-N -1 1 301.350 1.148 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000566009324 304109933 /nfs/dbraw/zinc/10/99/33/304109933.db2.gz NNRFNIUQUBULIU-GHMZBOCLSA-N -1 1 324.343 1.828 20 0 DDADMM C[C@@H]1[C@H](C(F)(F)F)OCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000619263336 363950877 /nfs/dbraw/zinc/95/08/77/363950877.db2.gz WMNRVMFUFYIEBH-PRHODGIISA-N -1 1 317.263 1.753 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2(CC(C)C)CC2)o1 ZINC000620280750 364361118 /nfs/dbraw/zinc/36/11/18/364361118.db2.gz UMZOQHRJANQVFE-UHFFFAOYSA-N -1 1 300.380 1.496 20 0 DDADMM CC(=O)N[C@H](CCC(C)C)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000621443189 364856566 /nfs/dbraw/zinc/85/65/66/364856566.db2.gz BJWUOHDVIVJKRA-MRVPVSSYSA-N -1 1 321.303 1.703 20 0 DDADMM CC(C)(NCc1nc(=O)[n-][nH]1)c1ccccc1Br ZINC000271805999 209232048 /nfs/dbraw/zinc/23/20/48/209232048.db2.gz QFOHCWQYWZXLJJ-UHFFFAOYSA-N -1 1 311.183 1.885 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCSC[C@H](C(=O)[O-])C2)c1 ZINC000621602938 364933143 /nfs/dbraw/zinc/93/31/43/364933143.db2.gz AWWSUICVLAEXQB-LLVKDONJSA-N -1 1 319.386 1.761 20 0 DDADMM Cc1ccc([C@H](CNC(=O)N2CC(C(=O)[O-])C2)N2CCCC2)o1 ZINC000621830058 365047144 /nfs/dbraw/zinc/04/71/44/365047144.db2.gz WTQAMCSRPHGWFC-ZDUSSCGKSA-N -1 1 321.377 1.451 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)C3(CO)CC3)cnc2n1 ZINC000622146111 365291600 /nfs/dbraw/zinc/29/16/00/365291600.db2.gz IXYUYZMORVWWFZ-JTQLQIEISA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@@H](C)C3(CO)CC3)c[n-]c2n1 ZINC000622146111 365291607 /nfs/dbraw/zinc/29/16/07/365291607.db2.gz IXYUYZMORVWWFZ-JTQLQIEISA-N -1 1 301.346 1.535 20 0 DDADMM CCCCO[C@H](C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622609475 365450529 /nfs/dbraw/zinc/45/05/29/365450529.db2.gz ACUVYKZMMMCHNS-YPMHNXCESA-N -1 1 303.366 1.611 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cc(Cl)c[nH]1 ZINC000622612098 365452097 /nfs/dbraw/zinc/45/20/97/365452097.db2.gz PUIUVGGKGRUVFT-NSHDSACASA-N -1 1 302.725 1.701 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1COc2cc(F)ccc2C1)c1nn[n-]n1 ZINC000626443754 367548609 /nfs/dbraw/zinc/54/86/09/367548609.db2.gz SYWLVLWGXSKFBD-ONGXEEELSA-N -1 1 319.340 1.152 20 0 DDADMM CCN([C@H]1CCOC(C)(C)C1)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349779622 284049591 /nfs/dbraw/zinc/04/95/91/284049591.db2.gz WALISVCVTVMFKX-JTQLQIEISA-N -1 1 317.411 1.364 20 0 DDADMM COc1ccc(CN2CCCN(CCCC(=O)[O-])CC2=O)cc1 ZINC000262331110 203263721 /nfs/dbraw/zinc/26/37/21/203263721.db2.gz AZKGPNLLMGLCDN-UHFFFAOYSA-N -1 1 320.389 1.594 20 0 DDADMM O=C([O-])[C@@H]1COCCN1C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000262350283 203270177 /nfs/dbraw/zinc/27/01/77/203270177.db2.gz VDPUUYBFYGEINZ-ZDUSSCGKSA-N -1 1 301.302 1.002 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC(=O)Nc2ccccc2)C1 ZINC000262609814 203348725 /nfs/dbraw/zinc/34/87/25/203348725.db2.gz AMGHADHYLBKHHJ-NSHDSACASA-N -1 1 305.334 1.131 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ncccc2F)cc1C(N)=O ZINC000350678441 284241685 /nfs/dbraw/zinc/24/16/85/284241685.db2.gz VLRMXBAWZBHXHB-UHFFFAOYSA-N -1 1 309.322 1.429 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3[nH]nnc3c2)cn1 ZINC000350870261 284274035 /nfs/dbraw/zinc/27/40/35/284274035.db2.gz MVWUQUBNZVWNMZ-UHFFFAOYSA-N -1 1 305.319 1.162 20 0 DDADMM CCc1ccccc1NC(=O)CN1CC[C@](COC)(C(=O)[O-])C1 ZINC000635019374 422774247 /nfs/dbraw/zinc/77/42/47/422774247.db2.gz VOYANTXSKWUPLT-KRWDZBQOSA-N -1 1 320.389 1.611 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])C1CCC2(CC1)NC(=O)NC2=O ZINC000340331810 284308550 /nfs/dbraw/zinc/30/85/50/284308550.db2.gz BUMIUUZMCFATLP-UHFFFAOYSA-N -1 1 321.308 1.238 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC(C)(C)CCCO)c1 ZINC000271699190 209136903 /nfs/dbraw/zinc/13/69/03/209136903.db2.gz PWETZTQREJZQGY-UHFFFAOYSA-N -1 1 319.379 1.143 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)N(C)c1cnn(C)c1 ZINC000266120443 205114521 /nfs/dbraw/zinc/11/45/21/205114521.db2.gz WDRIZVZJNWDBKX-UHFFFAOYSA-N -1 1 322.390 1.458 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)[C@H]1CCOC1 ZINC000266160097 205146769 /nfs/dbraw/zinc/14/67/69/205146769.db2.gz HCPCSUVSNIFQBJ-SFYZADRCSA-N -1 1 309.309 1.807 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1csc(=NC2CC2)[n-]1)CC1CC1 ZINC000566744824 304178630 /nfs/dbraw/zinc/17/86/30/304178630.db2.gz WYOCQQYCUFCMIV-SNVBAGLBSA-N -1 1 323.418 1.458 20 0 DDADMM C[S@](=O)C[C@@H](NC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000175908191 306686921 /nfs/dbraw/zinc/68/69/21/306686921.db2.gz HVQSBYJGRUZFRV-SZNDQCEHSA-N -1 1 304.371 1.637 20 0 DDADMM CN(C)c1ccc(CCC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)cc1 ZINC000283269437 217530004 /nfs/dbraw/zinc/53/00/04/217530004.db2.gz SPSDXNKEMQAPFO-PGMHBOJBSA-N -1 1 323.400 1.979 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)CCCCO)c(=O)[n-]1 ZINC000351764271 284507163 /nfs/dbraw/zinc/50/71/63/284507163.db2.gz JYGILPQRKPOWGR-UHFFFAOYSA-N -1 1 313.423 1.376 20 0 DDADMM COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1cc(F)ccc1[O-] ZINC000190676788 291627474 /nfs/dbraw/zinc/62/74/74/291627474.db2.gz LGQFPMKNSLYBME-UDZFHETQSA-N -1 1 307.321 1.945 20 0 DDADMM O=C(c1ncccc1[O-])N1CCS(=O)(=O)C2(CCCCC2)C1 ZINC000267881971 206318253 /nfs/dbraw/zinc/31/82/53/206318253.db2.gz OSENDEBLGUMNLO-UHFFFAOYSA-N -1 1 324.402 1.361 20 0 DDADMM CC(C)NC(=O)CCCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000104043821 248246306 /nfs/dbraw/zinc/24/63/06/248246306.db2.gz AFORYBCYUWITAH-UHFFFAOYSA-N -1 1 307.276 1.457 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(C)(C)C(C)(C)C ZINC000336850473 249256417 /nfs/dbraw/zinc/25/64/17/249256417.db2.gz XMWPNRVKPWLHBJ-UHFFFAOYSA-N -1 1 317.411 1.689 20 0 DDADMM NS(=O)(=O)c1c[n-]c(=NC[C@H]2CCCC(F)(F)C2)s1 ZINC000336926831 249291289 /nfs/dbraw/zinc/29/12/89/249291289.db2.gz MEFRVCAGSURXOJ-ZETCQYMHSA-N -1 1 311.379 1.450 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(Br)cc1 ZINC000635058049 422790762 /nfs/dbraw/zinc/79/07/62/422790762.db2.gz FSMLKBFZRNSTQK-UHFFFAOYSA-N -1 1 310.155 1.924 20 0 DDADMM CCOc1cc(C(=O)N(C)Cc2nc[nH]n2)cc(Cl)c1[O-] ZINC000273954021 211209403 /nfs/dbraw/zinc/20/94/03/211209403.db2.gz NKYWQNIFQLUZQD-UHFFFAOYSA-N -1 1 310.741 1.835 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1sccc1F)Cn1cccn1 ZINC000338870509 250173761 /nfs/dbraw/zinc/17/37/61/250173761.db2.gz XVXYXXDNTUYRQN-VIFPVBQESA-N -1 1 303.384 1.698 20 0 DDADMM O=C(NCC(F)(F)CO)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338866535 250170380 /nfs/dbraw/zinc/17/03/80/250170380.db2.gz ONBHEKHEHCIGML-UHFFFAOYSA-N -1 1 316.691 1.951 20 0 DDADMM O=S(=O)([N-][C@H]1c2ccccc2C[C@H]1O)c1sccc1F ZINC000338902996 250190293 /nfs/dbraw/zinc/19/02/93/250190293.db2.gz KIEDHFHVFKPABA-NEPJUHHUSA-N -1 1 313.375 1.824 20 0 DDADMM O=S(=O)([N-]CCCOC1CCOCC1)c1sccc1F ZINC000338913182 250192364 /nfs/dbraw/zinc/19/23/64/250192364.db2.gz OMQLBBKEIBRLTD-UHFFFAOYSA-N -1 1 323.411 1.751 20 0 DDADMM CC1(Cn2cc(C(=O)Nc3ccc(F)cc3[O-])nn2)COC1 ZINC000273832250 211096359 /nfs/dbraw/zinc/09/63/59/211096359.db2.gz LNOWDISUIJIBOS-UHFFFAOYSA-N -1 1 306.297 1.412 20 0 DDADMM O=S(=O)([N-]CCNc1ccccn1)c1sccc1F ZINC000338931876 250204425 /nfs/dbraw/zinc/20/44/25/250204425.db2.gz UCGYTRSRBRJWFD-UHFFFAOYSA-N -1 1 301.368 1.673 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]([N-]S(=O)(=O)c2sccc2F)C1 ZINC000338972466 250228100 /nfs/dbraw/zinc/22/81/00/250228100.db2.gz GVBRYHIEGRZUMZ-HTQZYQBOSA-N -1 1 307.368 1.507 20 0 DDADMM CC[C@@H]1CN(CC[N-]S(=O)(=O)c2sccc2F)CCO1 ZINC000338977084 250229934 /nfs/dbraw/zinc/22/99/34/250229934.db2.gz ZCWACFKCCROQRO-SNVBAGLBSA-N -1 1 322.427 1.276 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCN(C3CC3)C2)sc1C ZINC000339040846 250263446 /nfs/dbraw/zinc/26/34/46/250263446.db2.gz FBXHZBARKITJQI-JTQLQIEISA-N -1 1 301.437 1.275 20 0 DDADMM CC(C)n1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000339188721 250336328 /nfs/dbraw/zinc/33/63/28/250336328.db2.gz KFOBQFHOHQPXSG-UHFFFAOYSA-N -1 1 305.338 1.572 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)CCc1ccccc1 ZINC000352133848 284811971 /nfs/dbraw/zinc/81/19/71/284811971.db2.gz GHRDQMDFUGWOQX-SNVBAGLBSA-N -1 1 317.345 1.648 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2coc(C)c2)c1 ZINC000359700326 299517952 /nfs/dbraw/zinc/51/79/52/299517952.db2.gz PBFVKXZFWUCETO-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2cc[nH]c2C2CC2)c1 ZINC000340005455 250811481 /nfs/dbraw/zinc/81/14/81/250811481.db2.gz NMSHFQIRLLBKEG-UHFFFAOYSA-N -1 1 321.358 1.497 20 0 DDADMM COC(=O)c1nscc1[N-]S(=O)(=O)N1CCCCC1 ZINC000268868283 207037839 /nfs/dbraw/zinc/03/78/39/207037839.db2.gz OJBPJGZRPHQPTO-UHFFFAOYSA-N -1 1 305.381 1.072 20 0 DDADMM CCC(=O)Nc1cccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000273421620 210758767 /nfs/dbraw/zinc/75/87/67/210758767.db2.gz HLLSTDGFPQFUHQ-JTQLQIEISA-N -1 1 316.365 1.424 20 0 DDADMM O=C([O-])[C@]1(NCc2cc(F)ccc2Br)CCOC1 ZINC000340676121 251161766 /nfs/dbraw/zinc/16/17/66/251161766.db2.gz JKJRESGNTMXCEY-LBPRGKRZSA-N -1 1 318.142 1.922 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2cccc(C)n2)o1 ZINC000177349890 306688361 /nfs/dbraw/zinc/68/83/61/306688361.db2.gz UVZKKPNZNWJGBP-UHFFFAOYSA-N -1 1 324.358 1.638 20 0 DDADMM COC[C@H](O)CC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000340939056 251289914 /nfs/dbraw/zinc/28/99/14/251289914.db2.gz WWBWHZVFKBAPEZ-SECBINFHSA-N -1 1 311.762 1.155 20 0 DDADMM COc1ccc(NC(=O)C[N@H+]2CC[C@@H](C(=O)[O-])C2)cc1Cl ZINC000062133778 184208149 /nfs/dbraw/zinc/20/81/49/184208149.db2.gz JDWAWAMRKHKRBU-SECBINFHSA-N -1 1 312.753 1.694 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@@H](C(=O)[O-])C2)cc1Cl ZINC000062133778 184208151 /nfs/dbraw/zinc/20/81/51/184208151.db2.gz JDWAWAMRKHKRBU-SECBINFHSA-N -1 1 312.753 1.694 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H]1O)c1cc(F)c(F)cc1F ZINC000269239854 207367795 /nfs/dbraw/zinc/36/77/95/207367795.db2.gz AUZZNBNFYAVGHL-HQJQHLMTSA-N -1 1 309.309 1.543 20 0 DDADMM CCOCc1nc([C@@H](C)[N-]S(=O)(=O)c2ccc(C)o2)no1 ZINC000352528557 285117823 /nfs/dbraw/zinc/11/78/23/285117823.db2.gz CNNZRLZVXYEIMZ-SECBINFHSA-N -1 1 315.351 1.547 20 0 DDADMM COCCN=c1[n-]nc(S[C@H](C)c2n[nH]c(C)n2)s1 ZINC000412984643 224116303 /nfs/dbraw/zinc/11/63/03/224116303.db2.gz PQTRKQLETPZPGO-ZCFIWIBFSA-N -1 1 300.413 1.298 20 0 DDADMM COCCC1(C)CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000293070781 533188356 /nfs/dbraw/zinc/18/83/56/533188356.db2.gz QRJWTACVMNLCBK-UHFFFAOYSA-N -1 1 306.391 1.517 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@H](Oc2ccc(Cl)cc2)C1 ZINC000633212156 422808656 /nfs/dbraw/zinc/80/86/56/422808656.db2.gz JTAFCFMDJZWONO-LBPRGKRZSA-N -1 1 321.768 1.466 20 0 DDADMM O=C(Nc1ccc(C(F)(F)F)cc1)c1cc(=O)n2[n-]cnc2n1 ZINC000352727216 285257972 /nfs/dbraw/zinc/25/79/72/285257972.db2.gz QVRLCEGPGOYNOL-UHFFFAOYSA-N -1 1 323.234 1.689 20 0 DDADMM CCC[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccn1 ZINC000352795880 285306358 /nfs/dbraw/zinc/30/63/58/285306358.db2.gz LVVAXODUFPZAMV-VIFPVBQESA-N -1 1 323.378 1.126 20 0 DDADMM CCC[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccn1 ZINC000352795880 285306363 /nfs/dbraw/zinc/30/63/63/285306363.db2.gz LVVAXODUFPZAMV-VIFPVBQESA-N -1 1 323.378 1.126 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1([C@@H]2CCCCO2)CCC1 ZINC000636330076 422809477 /nfs/dbraw/zinc/80/94/77/422809477.db2.gz ZMTAEFHUQHCXGZ-LBPRGKRZSA-N -1 1 307.398 1.378 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1(C)C ZINC000294969919 224346798 /nfs/dbraw/zinc/34/67/98/224346798.db2.gz LPSRZRQRCNWZEU-GGZOMVNGSA-N -1 1 320.418 1.807 20 0 DDADMM CO[C@@H](C)[C@@H](C)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000292494103 533344450 /nfs/dbraw/zinc/34/44/50/533344450.db2.gz JGTXOEXYLRKBGI-SCZZXKLOSA-N -1 1 313.423 1.685 20 0 DDADMM C[C@@H]1CCNC(=O)[C@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000195475854 296575483 /nfs/dbraw/zinc/57/54/83/296575483.db2.gz PHTCMWFLLZPMQF-XCBNKYQSSA-N -1 1 320.773 1.282 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2cn3c(n2)CCC[C@H]3C)c1C ZINC000569202828 304341260 /nfs/dbraw/zinc/34/12/60/304341260.db2.gz UZJJKKYFAZOGDI-MRVPVSSYSA-N -1 1 309.395 1.921 20 0 DDADMM COCCCC[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000292699985 292322276 /nfs/dbraw/zinc/32/22/76/292322276.db2.gz AOBRANZYZAIOMH-UHFFFAOYSA-N -1 1 315.317 1.144 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CO)C(C)(C)C)o1 ZINC000359985132 306969368 /nfs/dbraw/zinc/96/93/68/306969368.db2.gz DQERQNMCSRPFMU-JTQLQIEISA-N -1 1 319.379 1.142 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCC[C@@H]2C)o1 ZINC000031489491 406940723 /nfs/dbraw/zinc/94/07/23/406940723.db2.gz MRAOJPWZGCQGAF-UWVGGRQHSA-N -1 1 300.380 1.496 20 0 DDADMM CN(C)C(=O)CN(C)C(=O)c1ccc(Br)cc1[O-] ZINC000044490648 407024003 /nfs/dbraw/zinc/02/40/03/407024003.db2.gz JKUGQUFNNJLZEB-UHFFFAOYSA-N -1 1 315.167 1.315 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCS(=O)CC1 ZINC000044491156 407024191 /nfs/dbraw/zinc/02/41/91/407024191.db2.gz NFYKXCGDGPRSBN-UHFFFAOYSA-N -1 1 318.192 1.359 20 0 DDADMM CCCCC[C@@H](C)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OCC ZINC000037499958 406990485 /nfs/dbraw/zinc/99/04/85/406990485.db2.gz WTMGZBAWWMZETN-SNVBAGLBSA-N -1 1 317.411 1.834 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC1(O)CCCCC1 ZINC000087039710 407115878 /nfs/dbraw/zinc/11/58/78/407115878.db2.gz JJBFBJHTIIFPFM-UHFFFAOYSA-N -1 1 311.407 1.638 20 0 DDADMM Cn1c(CNC(=O)[C@@H]2CCOc3ccccc32)n[n-]c1=S ZINC000066625983 407255888 /nfs/dbraw/zinc/25/58/88/407255888.db2.gz HRTBPIALTIJIJV-SNVBAGLBSA-N -1 1 304.375 1.660 20 0 DDADMM Cc1ccc2oc(C(=O)Nc3nc(S(C)(=O)=O)n[n-]3)cc2c1 ZINC000101664047 407314594 /nfs/dbraw/zinc/31/45/94/407314594.db2.gz BODMFEUHTWLACL-UHFFFAOYSA-N -1 1 320.330 1.515 20 0 DDADMM Cc1ccc2oc(C(=O)Nc3nnc(S(C)(=O)=O)[n-]3)cc2c1 ZINC000101664047 407314595 /nfs/dbraw/zinc/31/45/95/407314595.db2.gz BODMFEUHTWLACL-UHFFFAOYSA-N -1 1 320.330 1.515 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CCC[C@@H](C)C2)co1 ZINC000122401184 407299746 /nfs/dbraw/zinc/29/97/46/407299746.db2.gz BUTSDQVSDRFASP-MNOVXSKESA-N -1 1 314.407 1.744 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2c(O)cccc2O)C[C@@H](C)O1 ZINC000125621023 407393613 /nfs/dbraw/zinc/39/36/13/407393613.db2.gz VJNWOHDVARJAOU-VXGBXAGGSA-N -1 1 308.378 1.327 20 0 DDADMM C[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1F ZINC000109020209 407393935 /nfs/dbraw/zinc/39/39/35/407393935.db2.gz LISWKIWDHXQDDS-SSDOTTSWSA-N -1 1 312.326 1.090 20 0 DDADMM C[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1F ZINC000109020209 407393936 /nfs/dbraw/zinc/39/39/36/407393936.db2.gz LISWKIWDHXQDDS-SSDOTTSWSA-N -1 1 312.326 1.090 20 0 DDADMM COCC[C@@](C)(O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000178737443 407573110 /nfs/dbraw/zinc/57/31/10/407573110.db2.gz QZUKMPNVKUEEAY-GFCCVEGCSA-N -1 1 309.334 1.031 20 0 DDADMM C[C@@H](CC1CCCCC1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000114538543 407587263 /nfs/dbraw/zinc/58/72/63/407587263.db2.gz XXQLUKXIJAOTJX-VIFPVBQESA-N -1 1 314.411 1.753 20 0 DDADMM C[C@@H](CC1CCCCC1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000114538543 407587267 /nfs/dbraw/zinc/58/72/67/407587267.db2.gz XXQLUKXIJAOTJX-VIFPVBQESA-N -1 1 314.411 1.753 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC(C)(C)[C@@H](C)O)c(=O)[n-]1 ZINC000271248232 407598058 /nfs/dbraw/zinc/59/80/58/407598058.db2.gz DEWZLJXXOHYDFN-SECBINFHSA-N -1 1 313.423 1.421 20 0 DDADMM O=C(c1cc(-c2ccccc2)no1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129294486 407602270 /nfs/dbraw/zinc/60/22/70/407602270.db2.gz HIBQWIGNOFXXJD-GFCCVEGCSA-N -1 1 324.344 1.875 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCO1 ZINC000271333005 407641963 /nfs/dbraw/zinc/64/19/63/407641963.db2.gz ZXUTVXXKVDINLE-YUMQZZPRSA-N -1 1 309.309 1.950 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC[C@H](CCO)C1 ZINC000231209050 407694263 /nfs/dbraw/zinc/69/42/63/407694263.db2.gz DKLXTBFSZHXIHM-SECBINFHSA-N -1 1 314.179 1.999 20 0 DDADMM CCOC(=O)CC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000271518185 407712879 /nfs/dbraw/zinc/71/28/79/407712879.db2.gz PDSKHKGRBXNWSJ-UHFFFAOYSA-N -1 1 318.326 1.089 20 0 DDADMM O=C1NCCCC[C@@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131182939 407725821 /nfs/dbraw/zinc/72/58/21/407725821.db2.gz UYQSIEHFYMZONY-JTQLQIEISA-N -1 1 320.773 1.426 20 0 DDADMM O=C([O-])CCCN1CCN(C(=O)Cc2ccccc2F)CC1 ZINC000116375121 407750378 /nfs/dbraw/zinc/75/03/78/407750378.db2.gz GRLQZCVKUUICMC-UHFFFAOYSA-N -1 1 308.353 1.377 20 0 DDADMM Cc1[nH]nc2cc([N-]S(=O)(=O)c3cn(C)c(C)n3)ccc12 ZINC000180356081 407877958 /nfs/dbraw/zinc/87/79/58/407877958.db2.gz XNUKMYXTCWVHQB-UHFFFAOYSA-N -1 1 305.363 1.714 20 0 DDADMM NC(=O)CCn1ccc(NC(=O)c2ccc3ccccc3c2[O-])n1 ZINC000117703787 407850334 /nfs/dbraw/zinc/85/03/34/407850334.db2.gz SOXZNYTZZWWFGU-UHFFFAOYSA-N -1 1 324.340 1.870 20 0 DDADMM CCc1ccccc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000181028490 407950164 /nfs/dbraw/zinc/95/01/64/407950164.db2.gz CXXTUMBDRRECNT-UHFFFAOYSA-N -1 1 320.370 1.854 20 0 DDADMM Cc1cc(C)c(N2CC[C@H](NC(=O)c3cnn[nH]3)C2=O)c(C)c1 ZINC000188083079 407915764 /nfs/dbraw/zinc/91/57/64/407915764.db2.gz IFNXJIUMZMIDCQ-LBPRGKRZSA-N -1 1 313.361 1.265 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1(N2CCOCC2)CCCC1 ZINC000153898039 407925607 /nfs/dbraw/zinc/92/56/07/407925607.db2.gz KVUFKRBZBQGKRN-ZDUSSCGKSA-N -1 1 319.405 1.551 20 0 DDADMM CS(=O)(=O)C[C@H](NC(=O)c1ncccc1[O-])c1ccccc1 ZINC000153942913 407935136 /nfs/dbraw/zinc/93/51/36/407935136.db2.gz DVLTYOCVJZKJFF-LBPRGKRZSA-N -1 1 320.370 1.303 20 0 DDADMM O=C(CCOc1cccc(Cl)c1Cl)Nc1nnn[n-]1 ZINC000118685763 407935751 /nfs/dbraw/zinc/93/57/51/407935751.db2.gz XAKIASAIUWMBMX-UHFFFAOYSA-N -1 1 302.121 1.914 20 0 DDADMM O=C(CCOc1cccc(Cl)c1Cl)Nc1nn[n-]n1 ZINC000118685763 407935755 /nfs/dbraw/zinc/93/57/55/407935755.db2.gz XAKIASAIUWMBMX-UHFFFAOYSA-N -1 1 302.121 1.914 20 0 DDADMM CCc1nc(C2(NC(=O)c3ncccc3[O-])CCCC2)no1 ZINC000153950633 407939953 /nfs/dbraw/zinc/93/99/53/407939953.db2.gz WLEDOSHERDUFHS-UHFFFAOYSA-N -1 1 302.334 1.932 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(C(=O)NCC(C)C)cc1 ZINC000154345762 408024944 /nfs/dbraw/zinc/02/49/44/408024944.db2.gz SBEUTQLZUNUPKA-UHFFFAOYSA-N -1 1 314.407 1.461 20 0 DDADMM CCOC(=O)c1cc(NC(=O)CCOCC(F)(F)F)n[nH]1 ZINC000181755279 408034549 /nfs/dbraw/zinc/03/45/49/408034549.db2.gz VIHJDBHUTJEFFQ-UHFFFAOYSA-N -1 1 309.244 1.494 20 0 DDADMM CC[C@@H]1CCCC[C@H]1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000119342618 408045884 /nfs/dbraw/zinc/04/58/84/408045884.db2.gz ZZUWIRCNMMKRPW-ZYHUDNBSSA-N -1 1 314.407 1.886 20 0 DDADMM C[C@H]1CN(C(=O)Cc2n[nH]c3ccccc32)CC[C@H]1C(=O)[O-] ZINC000262965079 407989508 /nfs/dbraw/zinc/98/95/08/407989508.db2.gz MHKONNLTNPKVBG-WDEREUQCSA-N -1 1 301.346 1.675 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1COc3ccccc3C1)c2=O ZINC000119155299 408005922 /nfs/dbraw/zinc/00/59/22/408005922.db2.gz JYSPAICXXRGSOX-LLVKDONJSA-N -1 1 324.340 1.407 20 0 DDADMM C[C@@H](O)C[C@@H]1CCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119423305 408066410 /nfs/dbraw/zinc/06/64/10/408066410.db2.gz AMUIFUQAYHEPNK-ZJUUUORDSA-N -1 1 304.350 1.049 20 0 DDADMM CC(C)[C@@H](O)C(C)(C)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119429115 408066778 /nfs/dbraw/zinc/06/67/78/408066778.db2.gz HBYXTLFQGYFRAG-CYBMUJFWSA-N -1 1 320.393 1.447 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1c(C)nn(C)c1C)c1ccco1 ZINC000175357087 408112399 /nfs/dbraw/zinc/11/23/99/408112399.db2.gz AFNKLLYYJOPQBS-NSHDSACASA-N -1 1 313.379 1.296 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(NC(C)=O)c1)c1nn[n-]n1 ZINC000136623881 408112525 /nfs/dbraw/zinc/11/25/25/408112525.db2.gz BJMMHKWILPDDDJ-LBPRGKRZSA-N -1 1 302.338 1.429 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCc1ccoc1 ZINC000175415940 408123758 /nfs/dbraw/zinc/12/37/58/408123758.db2.gz GAULNYBFJLNHHZ-UHFFFAOYSA-N -1 1 314.345 1.481 20 0 DDADMM C[C@@]1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCCC[C@H]1O ZINC000175423822 408128528 /nfs/dbraw/zinc/12/85/28/408128528.db2.gz VFWXNARVNOERBA-DYVFJYSZSA-N -1 1 315.373 1.594 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2(C(C)C)CC2)o1 ZINC000175491603 408143284 /nfs/dbraw/zinc/14/32/84/408143284.db2.gz UQROCHNBMKLOCA-UHFFFAOYSA-N -1 1 300.380 1.354 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(Br)o1)c1nn[n-]n1 ZINC000273409394 408187921 /nfs/dbraw/zinc/18/79/21/408187921.db2.gz CINQMXOACUYRBR-LURJTMIESA-N -1 1 314.143 1.431 20 0 DDADMM CC(C)[C@H](CC(=O)NCCCc1nc(=O)[n-][nH]1)c1ccccc1 ZINC000176258137 408325151 /nfs/dbraw/zinc/32/51/51/408325151.db2.gz WBVLOMPZHNHZBO-AWEZNQCLSA-N -1 1 316.405 1.977 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1ccc(-c2ccccc2)[nH]1 ZINC000176261044 408326994 /nfs/dbraw/zinc/32/69/94/408326994.db2.gz HBPCEZXQLANWPJ-UHFFFAOYSA-N -1 1 311.345 1.456 20 0 DDADMM C[C@@H]1CN(C2CC2)C[C@@H]1NS(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000273697729 408289014 /nfs/dbraw/zinc/28/90/14/408289014.db2.gz JIRLHBXLRUPCOH-YGRLFVJLSA-N -1 1 324.402 1.146 20 0 DDADMM O=C(CCCOc1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000131225796 162009910 /nfs/dbraw/zinc/00/99/10/162009910.db2.gz WFWVUZMWGWFFQP-CYBMUJFWSA-N -1 1 315.377 1.765 20 0 DDADMM CC(C)(C)[C@@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(N)=O ZINC000131630489 162019622 /nfs/dbraw/zinc/01/96/22/162019622.db2.gz VAYMHTLUXRAKEK-JTQLQIEISA-N -1 1 322.789 1.657 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)c1nc[nH]n1 ZINC000183207077 408352660 /nfs/dbraw/zinc/35/26/60/408352660.db2.gz NYFUKNDQJZHFNA-RXMQYKEDSA-N -1 1 306.269 1.262 20 0 DDADMM CCC[C@H](C)N(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000264055263 408353402 /nfs/dbraw/zinc/35/34/02/408353402.db2.gz APGDIUNTMQESCM-JTQLQIEISA-N -1 1 304.394 1.829 20 0 DDADMM CCc1ccc([C@H](COC)[N-]S(=O)(=O)c2cnn(C)c2)o1 ZINC000173356203 162333863 /nfs/dbraw/zinc/33/38/63/162333863.db2.gz IJUWIBCHFSRIEY-LBPRGKRZSA-N -1 1 313.379 1.242 20 0 DDADMM Cc1nc(-c2ccncc2)[nH]c(=O)c1CC(=O)[N-]OCC(C)C ZINC000269656106 408378782 /nfs/dbraw/zinc/37/87/82/408378782.db2.gz BMAOORNBELRPDT-UHFFFAOYSA-N -1 1 316.361 1.799 20 0 DDADMM Cc1ccc(SCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183417191 408405154 /nfs/dbraw/zinc/40/51/54/408405154.db2.gz LIGAVWHYFCUYKV-NSHDSACASA-N -1 1 305.407 1.862 20 0 DDADMM Cc1cc(F)cc(S(=O)(=O)[N-]c2ccc(CC(N)=O)cc2)c1 ZINC000176685616 408417754 /nfs/dbraw/zinc/41/77/54/408417754.db2.gz ZIEKFDRQFAULTM-UHFFFAOYSA-N -1 1 322.361 1.963 20 0 DDADMM COC(=O)COc1cccc(C[N-]C(=O)C(F)(F)C(F)F)c1 ZINC000176711501 408420210 /nfs/dbraw/zinc/42/02/10/408420210.db2.gz KOCFWILBZPMENR-UHFFFAOYSA-N -1 1 323.242 1.755 20 0 DDADMM CC[C@H](C(=O)[O-])N1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000191416812 408421868 /nfs/dbraw/zinc/42/18/68/408421868.db2.gz ACMMOYQHUSRORW-CYBMUJFWSA-N -1 1 312.316 1.586 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(OC)c(OC)c1)c1nn[n-]n1 ZINC000176768988 408436887 /nfs/dbraw/zinc/43/68/87/408436887.db2.gz MUEWHKRNAFUVIP-JTQLQIEISA-N -1 1 305.338 1.488 20 0 DDADMM C[C@H](CN(C)C(=O)c1cn(C)nc1C(C)(C)C)c1nn[n-]n1 ZINC000274803694 408538722 /nfs/dbraw/zinc/53/87/22/408538722.db2.gz TYFCGMBUYCMGIS-SECBINFHSA-N -1 1 305.386 1.106 20 0 DDADMM COC(=O)[C@@]1(C)CC[N@H+](Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000177473441 408631270 /nfs/dbraw/zinc/63/12/70/408631270.db2.gz XRLPQFSFPGIMRV-KRWDZBQOSA-N -1 1 317.341 1.884 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2ncccc2C)o1 ZINC000177482530 408633029 /nfs/dbraw/zinc/63/30/29/408633029.db2.gz ODNRCRFNTHNNNM-UHFFFAOYSA-N -1 1 324.358 1.638 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H]3CCCC[C@H]3O)cnc2n1 ZINC000177552649 408654942 /nfs/dbraw/zinc/65/49/42/408654942.db2.gz LLMAMQGGWMYLFS-BXUZGUMPSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@H]3CCCC[C@H]3O)c[n-]c2n1 ZINC000177552649 408654947 /nfs/dbraw/zinc/65/49/47/408654947.db2.gz LLMAMQGGWMYLFS-BXUZGUMPSA-N -1 1 315.373 1.925 20 0 DDADMM CN(C)CCN(C)S(=O)(=O)c1ccc(C(=O)[O-])cc1Cl ZINC000184903174 408713012 /nfs/dbraw/zinc/71/30/12/408713012.db2.gz HYYFLAJOUMZVDP-UHFFFAOYSA-N -1 1 320.798 1.220 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(NC(C)=O)ccc1F)c1nn[n-]n1 ZINC000177654538 408678313 /nfs/dbraw/zinc/67/83/13/408678313.db2.gz DUJXTQBFWLPQJQ-GFCCVEGCSA-N -1 1 320.328 1.568 20 0 DDADMM C[C@@H]1CCCN1S(=O)(=O)[N-]c1ccn(CC(F)(F)F)n1 ZINC000185277547 408791629 /nfs/dbraw/zinc/79/16/29/408791629.db2.gz KYZNUKSBOICNRI-MRVPVSSYSA-N -1 1 312.317 1.586 20 0 DDADMM COC(CN(CCCO)C(=O)c1cc(Cl)ccc1[O-])OC ZINC000276193828 408824775 /nfs/dbraw/zinc/82/47/75/408824775.db2.gz IMTFWGNHDHAUOD-UHFFFAOYSA-N -1 1 317.769 1.489 20 0 DDADMM Cc1nc([C@H](C)NS(=O)(=O)c2cccc(C(=O)[O-])c2C)n[nH]1 ZINC000285656092 408837100 /nfs/dbraw/zinc/83/71/00/408837100.db2.gz YLVWPWZWFXOLGS-QMMMGPOBSA-N -1 1 324.362 1.159 20 0 DDADMM CCCC[C@H](C)N(C)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000290882185 408848350 /nfs/dbraw/zinc/84/83/50/408848350.db2.gz GGQXRDXXCLELIG-JTQLQIEISA-N -1 1 302.396 1.838 20 0 DDADMM C[C@@H]1CCCN(C(=O)C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000276502612 408871330 /nfs/dbraw/zinc/87/13/30/408871330.db2.gz WRGVRXOXRVEILE-ZCFIWIBFSA-N -1 1 305.260 1.021 20 0 DDADMM CCNc1ccc(Cl)cc1C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000190239672 163133063 /nfs/dbraw/zinc/13/30/63/163133063.db2.gz ORABCSXGFFISHB-UHFFFAOYSA-N -1 1 323.784 1.546 20 0 DDADMM Cc1cc(CS(=O)(=O)c2nnc(Cc3ccccc3)[n-]2)no1 ZINC000195287979 163316008 /nfs/dbraw/zinc/31/60/08/163316008.db2.gz KXXPKBJBIJRXPS-UHFFFAOYSA-N -1 1 318.358 1.666 20 0 DDADMM Cc1cc(CS(=O)(=O)c2nc(Cc3ccccc3)n[n-]2)no1 ZINC000195287979 163316009 /nfs/dbraw/zinc/31/60/09/163316009.db2.gz KXXPKBJBIJRXPS-UHFFFAOYSA-N -1 1 318.358 1.666 20 0 DDADMM Cc1cc(CS(=O)(=O)c2n[n-]c(Cc3ccccc3)n2)no1 ZINC000195287979 163316010 /nfs/dbraw/zinc/31/60/10/163316010.db2.gz KXXPKBJBIJRXPS-UHFFFAOYSA-N -1 1 318.358 1.666 20 0 DDADMM CCC[C@H](NC(=O)c1cnnc(-c2ccccc2)c1)c1nn[n-]n1 ZINC000291898391 408941134 /nfs/dbraw/zinc/94/11/34/408941134.db2.gz JVNPLHDYHIRPIT-ZDUSSCGKSA-N -1 1 323.360 1.928 20 0 DDADMM CC[C@H](C)[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000286681978 408996224 /nfs/dbraw/zinc/99/62/24/408996224.db2.gz YWDDHZACRHHXDX-LURJTMIESA-N -1 1 310.217 1.568 20 0 DDADMM CCCOCC(=O)N1CCC(c2nc(C(=O)OCC)n[n-]2)CC1 ZINC000277812732 409016856 /nfs/dbraw/zinc/01/68/56/409016856.db2.gz UYTKAARSLKHINU-UHFFFAOYSA-N -1 1 324.381 1.114 20 0 DDADMM C[C@@H](NC(=O)c1cncc([O-])c1)c1ccc(NC(N)=O)cc1 ZINC000316379804 287004801 /nfs/dbraw/zinc/00/48/01/287004801.db2.gz WPAQTAAZZXCWTN-SECBINFHSA-N -1 1 300.318 1.769 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])c1ccc(NC(N)=O)cc1 ZINC000153579931 287005954 /nfs/dbraw/zinc/00/59/54/287005954.db2.gz CLWXIPVWDDURLJ-SECBINFHSA-N -1 1 300.318 1.769 20 0 DDADMM CCN(CC)C(=O)[C@@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000279128120 409154392 /nfs/dbraw/zinc/15/43/92/409154392.db2.gz BHGXBZFVEANHNO-LBPRGKRZSA-N -1 1 309.391 1.171 20 0 DDADMM COCCOc1ncccc1CNC(=O)c1cncc([O-])c1 ZINC000279202933 409168877 /nfs/dbraw/zinc/16/88/77/409168877.db2.gz LIWRGRXXLKPJPE-UHFFFAOYSA-N -1 1 303.318 1.137 20 0 DDADMM Cn1cnnc1[C@@H]1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000283548526 409174359 /nfs/dbraw/zinc/17/43/59/409174359.db2.gz UXEYLLJRIQPIEJ-LBPRGKRZSA-N -1 1 300.318 1.067 20 0 DDADMM CCOc1ccccc1N1C[C@H](C(=O)[N-]OCC2CC2)CC1=O ZINC000293766381 409176427 /nfs/dbraw/zinc/17/64/27/409176427.db2.gz MESZFUPXMVLDGN-CYBMUJFWSA-N -1 1 318.373 1.896 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccccc1N(C)C ZINC000289268448 409240347 /nfs/dbraw/zinc/24/03/47/409240347.db2.gz CTWJWKKHVMZFPO-UHFFFAOYSA-N -1 1 310.379 1.110 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCc2ccccc2C1 ZINC000289375578 409256901 /nfs/dbraw/zinc/25/69/01/409256901.db2.gz MXYZYCLBAWXCPP-LBPRGKRZSA-N -1 1 307.375 1.401 20 0 DDADMM CC1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCOCC1 ZINC000295076771 409314772 /nfs/dbraw/zinc/31/47/72/409314772.db2.gz IIKUEDLFWCAXQB-UHFFFAOYSA-N -1 1 306.391 1.565 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000290363338 409374674 /nfs/dbraw/zinc/37/46/74/409374674.db2.gz ACDVZLRYNQMWPM-SNVBAGLBSA-N -1 1 324.327 1.755 20 0 DDADMM C[S@@](=O)C1(C[N-]S(=O)(=O)c2cc(F)ccc2F)CCC1 ZINC000280738677 409433870 /nfs/dbraw/zinc/43/38/70/409433870.db2.gz KVQOXLFEFSMECX-LJQANCHMSA-N -1 1 323.386 1.544 20 0 DDADMM Cc1ccc([C@H]2OCCC[C@H]2NC(=O)CCc2nn[n-]n2)cc1 ZINC000631589675 422842752 /nfs/dbraw/zinc/84/27/52/422842752.db2.gz PTAKJPPJMLVILC-CZUORRHYSA-N -1 1 315.377 1.477 20 0 DDADMM CC(=O)c1ccc(NS(=O)(=O)c2cc(C(=O)[O-])n(C)c2)cc1 ZINC000314359920 164014667 /nfs/dbraw/zinc/01/46/67/164014667.db2.gz WJOUZNFYCWDHJD-UHFFFAOYSA-N -1 1 322.342 1.727 20 0 DDADMM CC[C@H]1C[C@H](C)CN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000407995013 164192436 /nfs/dbraw/zinc/19/24/36/164192436.db2.gz FIPUCHYFQRNLRT-ONGXEEELSA-N -1 1 300.380 1.448 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@]2(C(F)(F)F)CCOC2)c(C)o1 ZINC000408067274 164216200 /nfs/dbraw/zinc/21/62/00/164216200.db2.gz JXTRYSKWMHTJSG-JTQLQIEISA-N -1 1 313.297 1.896 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@@H]1O)c1ccc(Br)o1 ZINC000408213887 164263194 /nfs/dbraw/zinc/26/31/94/164263194.db2.gz JJBWSYGLJKJUHC-YUMQZZPRSA-N -1 1 324.196 1.481 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2ccc(Br)o2)CCOC1 ZINC000408216419 164263766 /nfs/dbraw/zinc/26/37/66/164263766.db2.gz VETSXORSMZVZEZ-VIFPVBQESA-N -1 1 310.169 1.499 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1ccc(F)cc1C ZINC000290670245 409482346 /nfs/dbraw/zinc/48/23/46/409482346.db2.gz GHMXIGIXHBQZLO-UHFFFAOYSA-N -1 1 313.354 1.534 20 0 DDADMM Cc1onc(C2CC2)c1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000408466686 164340225 /nfs/dbraw/zinc/34/02/25/164340225.db2.gz JORPICOXJOPDBR-SNVBAGLBSA-N -1 1 318.333 1.969 20 0 DDADMM COC(=O)C1(CN=c2[n-]c([C@H](C)OC)ns2)CCOCC1 ZINC000337862771 409518234 /nfs/dbraw/zinc/51/82/34/409518234.db2.gz JLLMSKHSWRURIE-VIFPVBQESA-N -1 1 315.395 1.049 20 0 DDADMM COC(=O)C1(CN=c2nc([C@H](C)OC)[n-]s2)CCOCC1 ZINC000337862771 409518240 /nfs/dbraw/zinc/51/82/40/409518240.db2.gz JLLMSKHSWRURIE-VIFPVBQESA-N -1 1 315.395 1.049 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cc(O)cc(F)c2)c[nH]1 ZINC000348882503 409571927 /nfs/dbraw/zinc/57/19/27/409571927.db2.gz LGMAHXAMKRQMKY-UHFFFAOYSA-N -1 1 300.267 1.358 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1cccc(C)c1C(=O)[O-] ZINC000349111305 409700154 /nfs/dbraw/zinc/70/01/54/409700154.db2.gz WMWIMDCFPNVVBI-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)c2ccc(CN(C)C)cc2)s[n-]1 ZINC000338022010 409650754 /nfs/dbraw/zinc/65/07/54/409650754.db2.gz XIZFOHBCMDIACO-SNVBAGLBSA-N -1 1 320.418 1.981 20 0 DDADMM Cc1cn(-c2ccc(F)cc2)nc1C(=O)N=c1nc(C)[nH][n-]1 ZINC000338170476 409772518 /nfs/dbraw/zinc/77/25/18/409772518.db2.gz IVRCNUKUYCFBRF-UHFFFAOYSA-N -1 1 300.297 1.421 20 0 DDADMM COCCN([C@@H]1CC[C@@H](C)C1)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349506939 409855447 /nfs/dbraw/zinc/85/54/47/409855447.db2.gz WDUUCANNVFNFAS-MWLCHTKSSA-N -1 1 317.411 1.221 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCC(C)(F)F)c1 ZINC000297103314 409806494 /nfs/dbraw/zinc/80/64/94/409806494.db2.gz OPZILWPKEXIFQM-UHFFFAOYSA-N -1 1 311.306 1.780 20 0 DDADMM Cc1nn(CC(F)(F)F)c(C)c1[C@H](C)C(=O)Nc1nnn[n-]1 ZINC000349991342 409919690 /nfs/dbraw/zinc/91/96/90/409919690.db2.gz HGYGVVOQFJELMW-YFKPBYRVSA-N -1 1 317.275 1.318 20 0 DDADMM Cc1nn(CC(F)(F)F)c(C)c1[C@H](C)C(=O)Nc1nn[n-]n1 ZINC000349991342 409919696 /nfs/dbraw/zinc/91/96/96/409919696.db2.gz HGYGVVOQFJELMW-YFKPBYRVSA-N -1 1 317.275 1.318 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@H](C)c1ccc(C)cc1 ZINC000349587137 409880275 /nfs/dbraw/zinc/88/02/75/409880275.db2.gz NNOSEFXYXRXMNN-SNVBAGLBSA-N -1 1 309.391 1.786 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H](C)c1ccc(C)cc1 ZINC000349587137 409880284 /nfs/dbraw/zinc/88/02/84/409880284.db2.gz NNOSEFXYXRXMNN-SNVBAGLBSA-N -1 1 309.391 1.786 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@H](C(=O)[O-])[C@@H](C)C2)cc1 ZINC000318884458 409881757 /nfs/dbraw/zinc/88/17/57/409881757.db2.gz MZMRVVOKBBXQFX-FZMZJTMJSA-N -1 1 306.362 1.676 20 0 DDADMM O=C(N[C@@H]1CC[S@@](=O)C1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338387145 409952666 /nfs/dbraw/zinc/95/26/66/409952666.db2.gz JREJUAWIMPUSQC-AOUSDQRYSA-N -1 1 324.789 1.845 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@H](C)CCc1ccccc1F ZINC000297700680 409964244 /nfs/dbraw/zinc/96/42/44/409964244.db2.gz JVMIEVVKUFYUDF-SECBINFHSA-N -1 1 319.340 1.511 20 0 DDADMM COc1ccccc1[C@@H](C)CC(=O)Nc1nn[nH]c1C(N)=O ZINC000297722713 409971878 /nfs/dbraw/zinc/97/18/78/409971878.db2.gz JTCQLPVKTBCOCU-QMMMGPOBSA-N -1 1 303.322 1.045 20 0 DDADMM CC(C)c1noc(CCC(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000346338631 409927947 /nfs/dbraw/zinc/92/79/47/409927947.db2.gz VJXZIGUVKBFASF-UHFFFAOYSA-N -1 1 319.369 1.224 20 0 DDADMM C[C@@H]1CCC[C@H]1CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000346590896 410025904 /nfs/dbraw/zinc/02/59/04/410025904.db2.gz LCSWZQRMBVBSQU-MNOVXSKESA-N -1 1 309.439 1.426 20 0 DDADMM CC(C)[C@H]1CC[C@@H](C)C[C@@H]1OCC(=O)Nc1nn[nH]c1C(N)=O ZINC000297891826 410039209 /nfs/dbraw/zinc/03/92/09/410039209.db2.gz VQCSWIIAOHSHTO-MXWKQRLJSA-N -1 1 323.397 1.320 20 0 DDADMM CCOC(=O)c1ccc(C(=O)N=c2nc(C3CCC3)[nH][n-]2)nc1 ZINC000346622575 410040890 /nfs/dbraw/zinc/04/08/90/410040890.db2.gz DFIHRUKNJCULFK-UHFFFAOYSA-N -1 1 315.333 1.318 20 0 DDADMM CCS(=O)(=O)N(C)Cc1nc(-c2ccc([O-])cc2F)no1 ZINC000350819988 409999857 /nfs/dbraw/zinc/99/98/57/409999857.db2.gz SMKXNVWTJFGYTL-UHFFFAOYSA-N -1 1 315.326 1.363 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)Nc2nn[nH]c2C(=O)NC2CC2)C[C@H]1C ZINC000297804407 410006105 /nfs/dbraw/zinc/00/61/05/410006105.db2.gz SPTJNIVCNVELHR-OPRDCNLKSA-N -1 1 305.382 1.708 20 0 DDADMM O=S(=O)([N-]CCN1CCSCC1)c1sccc1F ZINC000338907723 410049293 /nfs/dbraw/zinc/04/92/93/410049293.db2.gz RDYRIHGOCBHYMK-UHFFFAOYSA-N -1 1 310.441 1.214 20 0 DDADMM COc1cc(CCC(=O)NC(C)(C)c2nn[n-]n2)cc(OC)c1 ZINC000354786016 410086910 /nfs/dbraw/zinc/08/69/10/410086910.db2.gz NRAFCUSHOVXUAV-UHFFFAOYSA-N -1 1 319.365 1.201 20 0 DDADMM CC(C)(NC(=O)[C@H]1C[C@@H]1c1c(F)cccc1F)c1nn[n-]n1 ZINC000354793879 410093050 /nfs/dbraw/zinc/09/30/50/410093050.db2.gz UDBUGFCRJHSHFZ-YUMQZZPRSA-N -1 1 307.304 1.633 20 0 DDADMM Cc1ccc2[nH]cc(CCC(=O)NC(C)(C)c3nn[n-]n3)c2c1 ZINC000354808126 410103591 /nfs/dbraw/zinc/10/35/91/410103591.db2.gz VWDPQYXZTLNCND-UHFFFAOYSA-N -1 1 312.377 1.974 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1cnn[nH]1)c1ccc(Cl)cc1 ZINC000357576767 410113684 /nfs/dbraw/zinc/11/36/84/410113684.db2.gz DAIPDGHJODJUJK-SNVBAGLBSA-N -1 1 308.725 1.492 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@H](c3nccs3)C2)c([O-])c1 ZINC000332386837 410116368 /nfs/dbraw/zinc/11/63/68/410116368.db2.gz ZWLQQRSPMNSXOY-NSHDSACASA-N -1 1 305.359 1.766 20 0 DDADMM Cc1ccc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000332442205 410161371 /nfs/dbraw/zinc/16/13/71/410161371.db2.gz RWBCABICQOTMDK-GFCCVEGCSA-N -1 1 302.334 1.427 20 0 DDADMM CC(=O)c1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(F)c1 ZINC000358004544 410341451 /nfs/dbraw/zinc/34/14/51/410341451.db2.gz WWCVDWOPPVQVDY-MRVPVSSYSA-N -1 1 305.313 1.417 20 0 DDADMM C[C@@H]1CO[C@@H](c2ccccc2Cl)CN1Cc1nc(=O)n(C)[n-]1 ZINC000329639583 410395778 /nfs/dbraw/zinc/39/57/78/410395778.db2.gz ZCLBXSYZOCJIGK-ZWNOBZJWSA-N -1 1 322.796 1.724 20 0 DDADMM Cc1cnc([C@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)o1 ZINC000358349805 410450752 /nfs/dbraw/zinc/45/07/52/410450752.db2.gz HVCIPNOBASKAJJ-QMMMGPOBSA-N -1 1 301.306 1.461 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)c1ccccc1)NCC(F)(F)F ZINC000298990111 410474305 /nfs/dbraw/zinc/47/43/05/410474305.db2.gz RHMALCGLXYCLPJ-UHFFFAOYSA-N -1 1 310.222 1.573 20 0 DDADMM CCCN(C)C(=O)[C@@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000339823698 410482421 /nfs/dbraw/zinc/48/24/21/410482421.db2.gz UNMZDLCNBVPZLC-LBPRGKRZSA-N -1 1 309.391 1.171 20 0 DDADMM CCc1c(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)ccn1C ZINC000298894030 410442601 /nfs/dbraw/zinc/44/26/01/410442601.db2.gz JQXXJFUAINFUOX-JTQLQIEISA-N -1 1 303.366 1.019 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn3ccnc3s2)n1 ZINC000352158654 410516897 /nfs/dbraw/zinc/51/68/97/410516897.db2.gz MDXOMNGJYCWHDS-UHFFFAOYSA-N -1 1 305.319 1.548 20 0 DDADMM CNC(=O)COc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000339938836 410565162 /nfs/dbraw/zinc/56/51/62/410565162.db2.gz ZYWHLOJVVWEPFM-UHFFFAOYSA-N -1 1 301.302 1.164 20 0 DDADMM CCC(=O)c1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1 ZINC000352285645 410598967 /nfs/dbraw/zinc/59/89/67/410598967.db2.gz MILQTMIAKITAOI-UHFFFAOYSA-N -1 1 322.346 1.053 20 0 DDADMM CCC(=O)c1ccc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cc1 ZINC000352285645 410598975 /nfs/dbraw/zinc/59/89/75/410598975.db2.gz MILQTMIAKITAOI-UHFFFAOYSA-N -1 1 322.346 1.053 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2CCN(CC3CC3)CC2)[n-]n1 ZINC000330257535 410602379 /nfs/dbraw/zinc/60/23/79/410602379.db2.gz QTAYMHNDERRLSW-UHFFFAOYSA-N -1 1 319.409 1.245 20 0 DDADMM Cc1cc(C(=O)N[C@H](Cc2nc[nH]n2)c2ccccc2)[nH]c(=O)n1 ZINC000352218853 410554217 /nfs/dbraw/zinc/55/42/17/410554217.db2.gz ZNVNPYJRYIACKC-GFCCVEGCSA-N -1 1 324.344 1.323 20 0 DDADMM CC(C)c1nc([C@@H]2COCCN2C(=O)c2ncccc2[O-])no1 ZINC000330317705 410626200 /nfs/dbraw/zinc/62/62/00/410626200.db2.gz QMVBUFSWIIMZQZ-JTQLQIEISA-N -1 1 318.333 1.507 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)Oc1ccccc1F)c1nn[n-]n1 ZINC000343716333 410643707 /nfs/dbraw/zinc/64/37/07/410643707.db2.gz CPTFRFXOGQWNDY-KOLCDFICSA-N -1 1 307.329 1.764 20 0 DDADMM Cc1cc(Br)cnc1NCCCc1nc(=O)[n-][nH]1 ZINC000301654554 410762616 /nfs/dbraw/zinc/76/26/16/410762616.db2.gz URNNTAQSZDPOKT-UHFFFAOYSA-N -1 1 312.171 1.609 20 0 DDADMM CCC1([N-]S(=O)(=O)c2cc(C(=O)OC)co2)CCOCC1 ZINC000330546944 410765709 /nfs/dbraw/zinc/76/57/09/410765709.db2.gz VHYCPNJUMSQBJA-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cncnc1-c1ccccc1 ZINC000356030376 410783765 /nfs/dbraw/zinc/78/37/65/410783765.db2.gz AVMWIRBLMSHAEH-UHFFFAOYSA-N -1 1 307.317 1.076 20 0 DDADMM COc1ccc2c(c1)ncc(C(=O)N=c1cc[nH]cc1C)c2[O-] ZINC000337463192 410806654 /nfs/dbraw/zinc/80/66/54/410806654.db2.gz RXJUHSIVOCPUJO-UHFFFAOYSA-N -1 1 309.325 1.914 20 0 DDADMM Cc1nc(S[C@H](C)C(=O)NCC(F)(F)F)[n-]c(=O)c1C ZINC000330674507 410869106 /nfs/dbraw/zinc/86/91/06/410869106.db2.gz IISBQXABVRPJPM-SSDOTTSWSA-N -1 1 309.313 1.958 20 0 DDADMM C[C@H](Oc1ccccc1Cl)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348292972 410903176 /nfs/dbraw/zinc/90/31/76/410903176.db2.gz LYZJQKHANPSHGW-QMMMGPOBSA-N -1 1 307.741 1.426 20 0 DDADMM C[C@@H]1CC(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C[C@@H](C)C1 ZINC000356511581 411065166 /nfs/dbraw/zinc/06/51/66/411065166.db2.gz KVRBEGOHHAKFIV-YUMQZZPRSA-N -1 1 300.384 1.219 20 0 DDADMM C[C@@H]1CC(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C[C@@H](C)C1 ZINC000356511581 411065169 /nfs/dbraw/zinc/06/51/69/411065169.db2.gz KVRBEGOHHAKFIV-YUMQZZPRSA-N -1 1 300.384 1.219 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(C)C ZINC000337812758 411016478 /nfs/dbraw/zinc/01/64/78/411016478.db2.gz OXSZWVSDGNSYNT-LLVKDONJSA-N -1 1 307.318 1.441 20 0 DDADMM O=C(C(=O)N1CCC[C@@](O)(C(F)(F)F)C1)c1ccc([O-])cc1 ZINC000331245725 411038831 /nfs/dbraw/zinc/03/88/31/411038831.db2.gz RRYLBEIJYUTONI-ZDUSSCGKSA-N -1 1 317.263 1.491 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCOC[C@H]2CCCO2)sn1 ZINC000631684189 422880299 /nfs/dbraw/zinc/88/02/99/422880299.db2.gz PZHWDEPIEBUXAO-LLVKDONJSA-N -1 1 320.436 1.316 20 0 DDADMM CC(C)OCc1ccccc1CNC(=O)CCCc1nn[n-]n1 ZINC000635223422 422885412 /nfs/dbraw/zinc/88/54/12/422885412.db2.gz VQKCDYOCFRZWPG-UHFFFAOYSA-N -1 1 317.393 1.764 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000580157476 422894425 /nfs/dbraw/zinc/89/44/25/422894425.db2.gz ARGSDHMJHABAGY-YUTCNCBUSA-N -1 1 321.446 1.905 20 0 DDADMM C[C@@H](CN1CCCC1=O)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631777483 422917672 /nfs/dbraw/zinc/91/76/72/422917672.db2.gz KOGQYGNKFNAJOB-QMMMGPOBSA-N -1 1 322.333 1.506 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C)[C@H](C)C2)o1 ZINC000132016594 196218885 /nfs/dbraw/zinc/21/88/85/196218885.db2.gz DMLFHRHENDLGDJ-NXEZZACHSA-N -1 1 300.380 1.306 20 0 DDADMM CO[C@@H](C)c1nc(=NCC(C)(C)[C@@]2(O)CCOC2)s[n-]1 ZINC000645365944 423007087 /nfs/dbraw/zinc/00/70/87/423007087.db2.gz LHOAIPFZXNYSGJ-TVQRCGJNSA-N -1 1 301.412 1.257 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cc(C)[nH]c2C)c1 ZINC000642989628 423016375 /nfs/dbraw/zinc/01/63/75/423016375.db2.gz WFZFSLMVUWDHGQ-UHFFFAOYSA-N -1 1 323.374 1.498 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]1O)c1ccc(Br)cc1[O-] ZINC000133426365 196330172 /nfs/dbraw/zinc/33/01/72/196330172.db2.gz YRXIUESCYSABED-NXEZZACHSA-N -1 1 300.152 1.798 20 0 DDADMM CCN(CCC(=O)[O-])Cc1nc(-c2ccc3c(c2)OCO3)no1 ZINC000652464641 423027495 /nfs/dbraw/zinc/02/74/95/423027495.db2.gz PFYWKXFTUOWWKC-UHFFFAOYSA-N -1 1 319.317 1.762 20 0 DDADMM COc1ccc(OCCCN2CCOC[C@H]2CC(=O)[O-])cc1 ZINC000652475949 423035047 /nfs/dbraw/zinc/03/50/47/423035047.db2.gz MFTFHQNPBJFRJK-CYBMUJFWSA-N -1 1 309.362 1.640 20 0 DDADMM C[C@@H]1CN(Cc2ccc(-n3cccn3)cc2)C[C@H](C(=O)[O-])O1 ZINC000652508465 423049538 /nfs/dbraw/zinc/04/95/38/423049538.db2.gz FJGAQEZUBMIGLE-IUODEOHRSA-N -1 1 301.346 1.546 20 0 DDADMM O=C(Nc1nc(CCO)cs1)c1c(F)ccc([O-])c1F ZINC000647804976 423054550 /nfs/dbraw/zinc/05/45/50/423054550.db2.gz YFFRELJCVYLTGI-UHFFFAOYSA-N -1 1 300.286 1.914 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCCN1C(=O)CC(C)(C)C ZINC000647815664 423058473 /nfs/dbraw/zinc/05/84/73/423058473.db2.gz GRKVIYSITASHQR-JTQLQIEISA-N -1 1 308.382 1.789 20 0 DDADMM CC[C@](C)(O)C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645555980 423081614 /nfs/dbraw/zinc/08/16/14/423081614.db2.gz BTJVAVZJNSFGCX-JTQLQIEISA-N -1 1 312.313 1.540 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cnn(C[C@H]2CCCO2)c1)OC ZINC000643269120 423091901 /nfs/dbraw/zinc/09/19/01/423091901.db2.gz FHCQLMDVGKSROZ-QWHCGFSZSA-N -1 1 317.411 1.229 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cnn(C[C@H]2CCCO2)c1)OC ZINC000643269118 423092582 /nfs/dbraw/zinc/09/25/82/423092582.db2.gz FHCQLMDVGKSROZ-CHWSQXEVSA-N -1 1 317.411 1.229 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cnnn2-c2ccccc2)on1 ZINC000647896853 423102870 /nfs/dbraw/zinc/10/28/70/423102870.db2.gz AZLMSTOEXVMJAZ-UHFFFAOYSA-N -1 1 319.346 1.042 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)on1 ZINC000647897402 423105266 /nfs/dbraw/zinc/10/52/66/423105266.db2.gz ZGAWYNFIIZSWQQ-UHFFFAOYSA-N -1 1 310.257 1.203 20 0 DDADMM Cn1nnnc1SCCc1nc(-c2ccc([O-])cc2F)no1 ZINC000350835160 306757922 /nfs/dbraw/zinc/75/79/22/306757922.db2.gz DUPVJZUVFRTYDR-UHFFFAOYSA-N -1 1 322.325 1.440 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1COc2cccc(C)c2C1 ZINC000625349010 417145938 /nfs/dbraw/zinc/14/59/38/417145938.db2.gz KAYBGOOHLXKDMW-JTQLQIEISA-N -1 1 323.374 1.156 20 0 DDADMM O=C(NCc1ncc2c(n1)CCOC2)c1c([O-])cccc1F ZINC000652753546 423117544 /nfs/dbraw/zinc/11/75/44/423117544.db2.gz IEQCYUJLVUMWEV-UHFFFAOYSA-N -1 1 303.293 1.324 20 0 DDADMM CCc1c(C(=O)NCc2cc(=O)[n-]c(SC)n2)cnn1C ZINC000640656488 423118078 /nfs/dbraw/zinc/11/80/78/423118078.db2.gz CDGOYDFJQBYOCS-UHFFFAOYSA-N -1 1 307.379 1.130 20 0 DDADMM CCn1cc([C@@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)cn1 ZINC000296890508 226601055 /nfs/dbraw/zinc/60/10/55/226601055.db2.gz IHVHCVIPLPVFJY-SECBINFHSA-N -1 1 316.390 1.725 20 0 DDADMM C/C=C/C[C@H](CO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645652863 423126716 /nfs/dbraw/zinc/12/67/16/423126716.db2.gz HLDGJGNCYLPVFB-GKQMSVHHSA-N -1 1 324.324 1.706 20 0 DDADMM CCCc1c(C(=O)Nc2nnn[n-]2)cnn1-c1ccc(F)cc1 ZINC000360362543 418418233 /nfs/dbraw/zinc/41/82/33/418418233.db2.gz NDAYLHMLBSRSSB-UHFFFAOYSA-N -1 1 315.312 1.729 20 0 DDADMM CCCc1c(C(=O)Nc2nn[n-]n2)cnn1-c1ccc(F)cc1 ZINC000360362543 418418236 /nfs/dbraw/zinc/41/82/36/418418236.db2.gz NDAYLHMLBSRSSB-UHFFFAOYSA-N -1 1 315.312 1.729 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H](C)NC(=O)C1CCCC1)c1nn[n-]n1 ZINC000176762441 221834576 /nfs/dbraw/zinc/83/45/76/221834576.db2.gz OCFHSYUJEMWBOQ-ZYHUDNBSSA-N -1 1 322.413 1.242 20 0 DDADMM CC1CCC(NC(=O)CN2CCC(c3n[nH]c(=O)[n-]3)CC2)CC1 ZINC000373840633 418464767 /nfs/dbraw/zinc/46/47/67/418464767.db2.gz YYFKYCHIGDENSK-UHFFFAOYSA-N -1 1 321.425 1.385 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN[C@@H](c2ccncc2)C1 ZINC000367086193 418558013 /nfs/dbraw/zinc/55/80/13/418558013.db2.gz SQWGPLWGLLWFRP-OAHLLOKOSA-N -1 1 301.321 1.713 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC3(CCO)CC3)cnc2n1 ZINC000187936656 222001221 /nfs/dbraw/zinc/00/12/21/222001221.db2.gz ZXXRIVKGSDYRRU-UHFFFAOYSA-N -1 1 301.346 1.536 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCC3(CCO)CC3)c[n-]c2n1 ZINC000187936656 222001225 /nfs/dbraw/zinc/00/12/25/222001225.db2.gz ZXXRIVKGSDYRRU-UHFFFAOYSA-N -1 1 301.346 1.536 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H](C)C(=O)NC[C@@H]2CCCO2)n1 ZINC000195073891 222190040 /nfs/dbraw/zinc/19/00/40/222190040.db2.gz XADYGANQIJZEEP-ONGXEEELSA-N -1 1 311.407 1.108 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1cccnc1)c1ccccc1 ZINC000193979562 222168769 /nfs/dbraw/zinc/16/87/69/222168769.db2.gz JUIVOQMVPDKNGW-CQSZACIVSA-N -1 1 320.370 1.664 20 0 DDADMM C[C@@](O)(CN1Cc2ccccc2[C@@H](C(=O)[O-])C1)C(F)(F)F ZINC000313874282 418593194 /nfs/dbraw/zinc/59/31/94/418593194.db2.gz MERFMJFYIDTBNV-WCQYABFASA-N -1 1 303.280 1.984 20 0 DDADMM C[C@@H](c1ncc(-c2ccccc2)o1)S(=O)(=O)c1nnc[n-]1 ZINC000361310738 418623621 /nfs/dbraw/zinc/62/36/21/418623621.db2.gz RFUUNEFSWBILEY-VIFPVBQESA-N -1 1 304.331 1.995 20 0 DDADMM C[C@@H](c1ncc(-c2ccccc2)o1)S(=O)(=O)c1ncn[n-]1 ZINC000361310738 418623624 /nfs/dbraw/zinc/62/36/24/418623624.db2.gz RFUUNEFSWBILEY-VIFPVBQESA-N -1 1 304.331 1.995 20 0 DDADMM C[C@@H](c1ncc(-c2ccccc2)o1)S(=O)(=O)c1nc[n-]n1 ZINC000361310738 418623628 /nfs/dbraw/zinc/62/36/28/418623628.db2.gz RFUUNEFSWBILEY-VIFPVBQESA-N -1 1 304.331 1.995 20 0 DDADMM CN(C)C1(C(=O)NCCCCCC(=O)[O-])Cc2ccccc2C1 ZINC000371282198 418774040 /nfs/dbraw/zinc/77/40/40/418774040.db2.gz GQJMIEYCPYLPKZ-UHFFFAOYSA-N -1 1 318.417 1.847 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1Cc2ccccc2N(C)C1)c1nn[n-]n1 ZINC000364656407 418797115 /nfs/dbraw/zinc/79/71/15/418797115.db2.gz KRBZAIJJJDLTCR-OLZOCXBDSA-N -1 1 314.393 1.466 20 0 DDADMM C[C@H](CN(C)C(=O)C=C1CCOc2ccccc21)c1nn[n-]n1 ZINC000364990614 418829752 /nfs/dbraw/zinc/82/97/52/418829752.db2.gz QPJKBLHRSIYUMJ-ZGSOTFDTSA-N -1 1 313.361 1.628 20 0 DDADMM Cc1ccc(C(F)(F)C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000365009085 418832028 /nfs/dbraw/zinc/83/20/28/418832028.db2.gz FDABGNHUGNACJB-NSHDSACASA-N -1 1 323.303 1.200 20 0 DDADMM C[C@@H](CO)N1CCCN(C(=O)c2cc(F)c([O-])c(F)c2)CC1 ZINC000364935870 418824765 /nfs/dbraw/zinc/82/47/65/418824765.db2.gz NGBBWBUQMDGIJA-JTQLQIEISA-N -1 1 314.332 1.199 20 0 DDADMM Cc1cc(C)c(C)c(OCCC(=O)Nc2nn[nH]c2C(N)=O)c1 ZINC000410857509 418852475 /nfs/dbraw/zinc/85/24/75/418852475.db2.gz IRHXACZVCXTRTP-UHFFFAOYSA-N -1 1 317.349 1.236 20 0 DDADMM CSc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)ccc1F ZINC000372459019 418872730 /nfs/dbraw/zinc/87/27/30/418872730.db2.gz XQIHSNWSKDFPEP-JTQLQIEISA-N -1 1 323.353 1.274 20 0 DDADMM C[C@H](CC(=O)Nc1n[n-]c(C(F)(F)F)n1)c1cnn(C)c1 ZINC000411290226 418889742 /nfs/dbraw/zinc/88/97/42/418889742.db2.gz IYZYBLLXFSZPCN-ZCFIWIBFSA-N -1 1 302.260 1.689 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@](C)(C(=O)Nc2nnn[n-]2)C1 ZINC000372138271 418838416 /nfs/dbraw/zinc/83/84/16/418838416.db2.gz ZIYFSGMMRWHYBF-CYBMUJFWSA-N -1 1 310.358 1.175 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@](C)(C(=O)Nc2nn[n-]n2)C1 ZINC000372138271 418838419 /nfs/dbraw/zinc/83/84/19/418838419.db2.gz ZIYFSGMMRWHYBF-CYBMUJFWSA-N -1 1 310.358 1.175 20 0 DDADMM O=C([O-])[C@H]1Cn2c(=O)[nH]nc2CN1Cc1cc(Cl)cs1 ZINC000424415352 228268102 /nfs/dbraw/zinc/26/81/02/228268102.db2.gz SOIYPQUQNKGTGF-MRVPVSSYSA-N -1 1 314.754 1.168 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)CC(=O)NC(C)C ZINC000298151067 228382633 /nfs/dbraw/zinc/38/26/33/228382633.db2.gz GUMFQIDGZFLLRN-UHFFFAOYSA-N -1 1 322.409 1.385 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCC(C)(C)CO)c(F)c1 ZINC000425183657 228383680 /nfs/dbraw/zinc/38/36/80/228383680.db2.gz GYLUUECPIHMWAG-UHFFFAOYSA-N -1 1 307.362 1.960 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)Cn2cncn2)c(F)c1 ZINC000425220828 228393339 /nfs/dbraw/zinc/39/33/39/228393339.db2.gz VMZJJWIYADYTCY-VIFPVBQESA-N -1 1 316.333 1.232 20 0 DDADMM NC(=O)[C@@H]1CCCCC[C@@H]1NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000426794675 419552696 /nfs/dbraw/zinc/55/26/96/419552696.db2.gz SQDDHKHSACMHNB-MNOVXSKESA-N -1 1 322.434 1.303 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](CCO)c2ccccc2)c([O-])c1 ZINC000427137720 419601468 /nfs/dbraw/zinc/60/14/68/419601468.db2.gz UDJDROUNMVPXJA-CQSZACIVSA-N -1 1 300.358 1.992 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCc2c1c(F)ccc2F ZINC000432284954 229091994 /nfs/dbraw/zinc/09/19/94/229091994.db2.gz MQCISFBXDWAYPE-UHFFFAOYSA-N -1 1 315.301 1.425 20 0 DDADMM C[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000432309465 229094229 /nfs/dbraw/zinc/09/42/29/229094229.db2.gz XKNDLOLNBMWCHL-SFYZADRCSA-N -1 1 324.196 1.745 20 0 DDADMM Cc1cccc(C)c1NC(=O)CN=c1[n-]c(C(N)=O)cs1 ZINC000432333310 229096743 /nfs/dbraw/zinc/09/67/43/229096743.db2.gz BISLQVAWKBQSLZ-UHFFFAOYSA-N -1 1 304.375 1.331 20 0 DDADMM CC(C)C[C@@H](CNC(=O)N[C@H]1CCc2[nH]cnc2C1)C(=O)[O-] ZINC000424393757 420316090 /nfs/dbraw/zinc/31/60/90/420316090.db2.gz BHUZVVIFGDPXSS-QWRGUYRKSA-N -1 1 308.382 1.313 20 0 DDADMM CN(CC(=O)NCc1ccccc1)C(=O)c1cc(F)ccc1[O-] ZINC000436526859 420337883 /nfs/dbraw/zinc/33/78/83/420337883.db2.gz FNDKCXXLUFCERP-UHFFFAOYSA-N -1 1 316.332 1.920 20 0 DDADMM NC(=O)NC(=O)C1CCC(NC(=O)c2cc(F)ccc2[O-])CC1 ZINC000436589187 420341100 /nfs/dbraw/zinc/34/11/00/420341100.db2.gz OZJMGXOQXQGBBA-UHFFFAOYSA-N -1 1 323.324 1.015 20 0 DDADMM CCc1cncc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000425296838 420343259 /nfs/dbraw/zinc/34/32/59/420343259.db2.gz HODJRNGKPAAHES-LLVKDONJSA-N -1 1 302.334 1.752 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@H](CC)OC)[C@@H](C)CC ZINC000420675257 420352367 /nfs/dbraw/zinc/35/23/67/420352367.db2.gz OJEIHBSEZVQKRC-SDDRHHMPSA-N -1 1 309.428 1.309 20 0 DDADMM NC(=O)C[C@H](NC(=O)c1ccc([O-])cc1F)c1ccccc1 ZINC000436682589 420355328 /nfs/dbraw/zinc/35/53/28/420355328.db2.gz VUDSNHHUBQFNNR-AWEZNQCLSA-N -1 1 302.305 1.878 20 0 DDADMM O=C(Nc1ccc(Cn2ccnc2)cn1)C(=O)c1ccc([O-])cc1 ZINC000436700245 420355593 /nfs/dbraw/zinc/35/55/93/420355593.db2.gz ZGTIQWAFGDQNBZ-UHFFFAOYSA-N -1 1 322.324 1.853 20 0 DDADMM CCC[C@H](NC(=O)c1cc(Cl)c([O-])c(OC)c1)C(N)=O ZINC000436701130 420355837 /nfs/dbraw/zinc/35/58/37/420355837.db2.gz ZBWBNEAIRYSLOG-VIFPVBQESA-N -1 1 300.742 1.438 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@H](C(=O)OC(C)(C)C)C(C)C)OC ZINC000420688795 420356528 /nfs/dbraw/zinc/35/65/28/420356528.db2.gz FKTWIQXTVUZKPQ-RYUDHWBXSA-N -1 1 323.455 1.697 20 0 DDADMM O=C(Cc1nc2cc(F)c([O-])cc2c(=O)[nH]1)NC1CCCCC1 ZINC000416411578 420360441 /nfs/dbraw/zinc/36/04/41/420360441.db2.gz KJXUWNHEVLZJSF-UHFFFAOYSA-N -1 1 319.336 1.759 20 0 DDADMM COc1ccc(C(=O)Nc2ccc3c(c2)OCC(=O)N3)c([O-])c1 ZINC000436820251 420369764 /nfs/dbraw/zinc/36/97/64/420369764.db2.gz QNNRUNMNRZERPZ-UHFFFAOYSA-N -1 1 314.297 1.984 20 0 DDADMM CCC[C@@H](C)CS(=O)(=O)[N-][C@](CC)(COC)C(=O)OC ZINC000416513337 420402090 /nfs/dbraw/zinc/40/20/90/420402090.db2.gz CSLFLDFODOFHIP-DGCLKSJQSA-N -1 1 309.428 1.310 20 0 DDADMM O=C(Nc1ccc(-n2cnnn2)cc1)C(=O)c1ccc([O-])cc1 ZINC000436887933 420379231 /nfs/dbraw/zinc/37/92/31/420379231.db2.gz RRVADYSMIMCBND-UHFFFAOYSA-N -1 1 309.285 1.189 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@H](C(=O)OC(C)C)C(C)C)OC ZINC000420760066 420381785 /nfs/dbraw/zinc/38/17/85/420381785.db2.gz WZUSSXPZAZRXJB-NEPJUHHUSA-N -1 1 309.428 1.307 20 0 DDADMM O=C(NCc1ccc2c(c1)C(=O)NCC2)c1ccc([O-])cc1F ZINC000436977644 420389980 /nfs/dbraw/zinc/38/99/80/420389980.db2.gz FGQPSZKTKZAHJH-UHFFFAOYSA-N -1 1 314.316 1.747 20 0 DDADMM Cc1cccc(-n2ccnc2SCC(=O)Nc2nnn[n-]2)c1 ZINC000439267649 420504842 /nfs/dbraw/zinc/50/48/42/420504842.db2.gz AYXYVRDRDKVVTM-UHFFFAOYSA-N -1 1 315.362 1.425 20 0 DDADMM Cc1cccc(-n2ccnc2SCC(=O)Nc2nn[n-]n2)c1 ZINC000439267649 420504846 /nfs/dbraw/zinc/50/48/46/420504846.db2.gz AYXYVRDRDKVVTM-UHFFFAOYSA-N -1 1 315.362 1.425 20 0 DDADMM CC[C@H](NC(=O)c1ccc(Br)c([O-])c1)C(=O)NC ZINC000457054980 420598032 /nfs/dbraw/zinc/59/80/32/420598032.db2.gz PXSLUXQCBLWXCG-VIFPVBQESA-N -1 1 315.167 1.409 20 0 DDADMM CC(C)[C@@H](CO)[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C(C)C ZINC000452904944 420677733 /nfs/dbraw/zinc/67/77/33/420677733.db2.gz BBWJWQXFOJAKMV-CABCVRRESA-N -1 1 307.390 1.980 20 0 DDADMM CC(C)[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@@H](CO)C(C)C ZINC000452904948 420677960 /nfs/dbraw/zinc/67/79/60/420677960.db2.gz BBWJWQXFOJAKMV-LSDHHAIUSA-N -1 1 307.390 1.980 20 0 DDADMM COCCn1nc(C(=O)Nc2ccc([O-])c(Cl)c2)ccc1=O ZINC000440948094 420619894 /nfs/dbraw/zinc/61/98/94/420619894.db2.gz LDDCKYNTDASVBC-UHFFFAOYSA-N -1 1 323.736 1.501 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)/C=C\c2ccnn2C)c1 ZINC000492901303 420704532 /nfs/dbraw/zinc/70/45/32/420704532.db2.gz QKUYIHNAWCHZHB-XQRVVYSFSA-N -1 1 301.302 1.564 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)C(C)(C)CNC(=O)OC(C)(C)C ZINC000496090660 421131386 /nfs/dbraw/zinc/13/13/86/421131386.db2.gz NOUQJAZBHOMSPC-LLVKDONJSA-N -1 1 318.414 1.602 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@@H]2C[C@H](O)CC[C@H]2C1 ZINC000456320283 421141448 /nfs/dbraw/zinc/14/14/48/421141448.db2.gz LVFKANCNGAMDJT-NTZNESFSSA-N -1 1 321.446 1.762 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC23CCC(CC2)CC3)o1 ZINC000456247071 421133945 /nfs/dbraw/zinc/13/39/45/421133945.db2.gz KQFHEPLDNQZTCZ-UHFFFAOYSA-N -1 1 312.391 1.640 20 0 DDADMM Cc1nn(C)c(C)c1[C@H](C)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000522855804 421227564 /nfs/dbraw/zinc/22/75/64/421227564.db2.gz VXIIGSJVXVSQKF-VIFPVBQESA-N -1 1 317.397 1.239 20 0 DDADMM Cc1ccc(CCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000562176590 421343642 /nfs/dbraw/zinc/34/36/42/421343642.db2.gz QLUNFCOOSVTUGU-NSHDSACASA-N -1 1 305.407 1.908 20 0 DDADMM O=S(=O)([N-][C@H]1CCn2ccnc2C1)c1cc(F)ccc1F ZINC000547642732 421348846 /nfs/dbraw/zinc/34/88/46/421348846.db2.gz GFAGCSYXNPFKEF-JTQLQIEISA-N -1 1 313.329 1.455 20 0 DDADMM Cc1cnc(C(=O)NCc2n[nH]cc2Br)c([O-])c1 ZINC000547976608 421384477 /nfs/dbraw/zinc/38/44/77/421384477.db2.gz PIHLTBSRUQMCGA-UHFFFAOYSA-N -1 1 311.139 1.511 20 0 DDADMM CCC[C@@H](C)CS(=O)(=O)N[C@H](CN1CCCCC1)C(=O)[O-] ZINC000548222144 421413518 /nfs/dbraw/zinc/41/35/18/421413518.db2.gz KHGFOECHKIMSQV-CHWSQXEVSA-N -1 1 320.455 1.281 20 0 DDADMM COc1cc(NC(=O)COC(F)F)ccc1[N-]S(C)(=O)=O ZINC000505400963 421417665 /nfs/dbraw/zinc/41/76/65/421417665.db2.gz CBSXAZGFBWTLBB-UHFFFAOYSA-N -1 1 324.305 1.244 20 0 DDADMM Cc1cnc(C(=O)N2CCC(NC(=O)C3CC3)CC2)c([O-])c1 ZINC000547985213 421385474 /nfs/dbraw/zinc/38/54/74/421385474.db2.gz AFBZOAHWSDTNTB-UHFFFAOYSA-N -1 1 303.362 1.226 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCc2ccc(F)cc21 ZINC000563573139 421521446 /nfs/dbraw/zinc/52/14/46/421521446.db2.gz TXCLJPURGGXSHT-NSHDSACASA-N -1 1 311.338 1.500 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCc2ccc(F)cc21 ZINC000563573139 421521448 /nfs/dbraw/zinc/52/14/48/421521448.db2.gz TXCLJPURGGXSHT-NSHDSACASA-N -1 1 311.338 1.500 20 0 DDADMM C[C@@]1(Br)C[C@H]1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000528600908 421500054 /nfs/dbraw/zinc/50/00/54/421500054.db2.gz VNGGJRCEMXIXID-OIBJUYFYSA-N -1 1 314.187 1.259 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N2C[C@H]3CCC[C@@H](C2)N3)s[n-]1 ZINC000515482158 421505190 /nfs/dbraw/zinc/50/51/90/421505190.db2.gz QHNCHMUXTIALKW-BBBLOLIVSA-N -1 1 311.411 1.026 20 0 DDADMM COc1cccc([C@@H](CNC(=O)c2ncccc2[O-])OC)c1 ZINC000529571243 421543955 /nfs/dbraw/zinc/54/39/55/421543955.db2.gz ALVZCQDTGJNRQE-CQSZACIVSA-N -1 1 302.330 1.913 20 0 DDADMM CC[C@](C)(CCO)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000554504633 421644359 /nfs/dbraw/zinc/64/43/59/421644359.db2.gz PEORGVKOZZJRMN-MRXNPFEDSA-N -1 1 320.393 1.262 20 0 DDADMM C[C@H]1CC[S@](=O)CCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000519803167 421729570 /nfs/dbraw/zinc/72/95/70/421729570.db2.gz OPFOLDRIALPFIQ-LXGOIASLSA-N -1 1 313.448 1.122 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@@]1(F)c1ccccc1 ZINC000571397500 421712145 /nfs/dbraw/zinc/71/21/45/421712145.db2.gz FEUFPQCARBQYPB-RFAUZJTJSA-N -1 1 319.292 1.264 20 0 DDADMM CCC(CC)N(C(=O)c1coc(S(=O)(=O)[N-]C)c1)C1CC1 ZINC000535882088 421713528 /nfs/dbraw/zinc/71/35/28/421713528.db2.gz DZQZCRJEUUTOBJ-UHFFFAOYSA-N -1 1 314.407 1.981 20 0 DDADMM CCn1nc(C)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1C ZINC000537122757 421727319 /nfs/dbraw/zinc/72/73/19/421727319.db2.gz NBRGDCQPOTVJKZ-LLVKDONJSA-N -1 1 303.370 1.053 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@H](C(=O)[O-])C1 ZINC000570818274 421671469 /nfs/dbraw/zinc/67/14/69/421671469.db2.gz PXOCDYFAOKUCFG-MFKMUULPSA-N -1 1 319.317 1.374 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@H]1CC12CCSCC2 ZINC000518955701 421673535 /nfs/dbraw/zinc/67/35/35/421673535.db2.gz WXNWXGAGDLIKDE-SECBINFHSA-N -1 1 317.436 1.207 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CC12CCSCC2 ZINC000518955701 421673536 /nfs/dbraw/zinc/67/35/36/421673536.db2.gz WXNWXGAGDLIKDE-SECBINFHSA-N -1 1 317.436 1.207 20 0 DDADMM COc1ccc(OCCCN2CCO[C@@H](CC(=O)[O-])C2)cc1 ZINC000519167275 421684285 /nfs/dbraw/zinc/68/42/85/421684285.db2.gz NPRJQSUBNSAPFR-HNNXBMFYSA-N -1 1 309.362 1.640 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]2F)c(F)c1 ZINC000571942955 421755494 /nfs/dbraw/zinc/75/54/94/421755494.db2.gz LIKGUEUROYDQNC-GXSJLCMTSA-N -1 1 319.329 1.781 20 0 DDADMM CO[C@H]1C[C@H](CC(=O)[O-])N(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000571975018 421756667 /nfs/dbraw/zinc/75/66/67/421756667.db2.gz FNMGTBHGYMSQHG-MNOVXSKESA-N -1 1 319.317 1.374 20 0 DDADMM COc1cc2c(cc1/C=C\c1cc(=O)n3[n-]cnc3n1)O[C@H](C)C2 ZINC000558451647 421807888 /nfs/dbraw/zinc/80/78/88/421807888.db2.gz ZZNJSJLAHSEFNU-UMBAGQNISA-N -1 1 324.340 1.920 20 0 DDADMM O=C(c1cccc2ccc(=O)[nH]c21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000521116033 421774106 /nfs/dbraw/zinc/77/41/06/421774106.db2.gz CUECRXGCXOEKEE-LLVKDONJSA-N -1 1 324.344 1.473 20 0 DDADMM O=C(Nc1ccc2nc3n(c(=O)c2c1)CCC3)c1cncc([O-])c1 ZINC000521162300 421775734 /nfs/dbraw/zinc/77/57/34/421775734.db2.gz MEHOONIYPWSPHS-UHFFFAOYSA-N -1 1 322.324 1.696 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1nc2ccccc2s1)[C@@H]1CCOC1 ZINC000572479209 421791780 /nfs/dbraw/zinc/79/17/80/421791780.db2.gz MGOKFMZMFIEOAS-NXEZZACHSA-N -1 1 312.416 2.000 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2cccc(N(C)C)c2)sn1 ZINC000631852919 421890574 /nfs/dbraw/zinc/89/05/74/421890574.db2.gz JARRSEKWLWOLTF-UHFFFAOYSA-N -1 1 311.432 1.996 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@]1(CO)CCc2ccccc21 ZINC000635307014 421898129 /nfs/dbraw/zinc/89/81/29/421898129.db2.gz FXSVSGWOQZHZCN-INIZCTEOSA-N -1 1 301.346 1.042 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCSc2ccccc21 ZINC000559693439 421837330 /nfs/dbraw/zinc/83/73/30/421837330.db2.gz WPXHKMMZCYLRNI-UHFFFAOYSA-N -1 1 311.388 1.697 20 0 DDADMM CC(C)(NC(=O)Cc1cc(Cl)cc2c1OCC2)c1nn[n-]n1 ZINC000543585210 421838819 /nfs/dbraw/zinc/83/88/19/421838819.db2.gz BORAGPRVDAUPAE-UHFFFAOYSA-N -1 1 321.768 1.382 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2C[C@@H](C)O[C@H]2C)c1Br ZINC000633346735 421853633 /nfs/dbraw/zinc/85/36/33/421853633.db2.gz ILPVGIYMXNWFQV-MHSYXAOVSA-N -1 1 302.172 1.655 20 0 DDADMM Cc1nc(CNC(=O)[C@@H](C)Cc2cnc[nH]2)sc1C(=O)[O-] ZINC000630158016 421926209 /nfs/dbraw/zinc/92/62/09/421926209.db2.gz BKTNDXNZZLGYAP-ZETCQYMHSA-N -1 1 308.363 1.368 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630223610 421973838 /nfs/dbraw/zinc/97/38/38/421973838.db2.gz JYPBMENXDDUDLV-UONOGXRCSA-N -1 1 320.389 1.461 20 0 DDADMM CSCC[C@@H](O)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000581371692 421959440 /nfs/dbraw/zinc/95/94/40/421959440.db2.gz IISGEBMSGLOPRQ-GFCCVEGCSA-N -1 1 307.375 1.835 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cccc3n[nH]cc32)[C@H](C(=O)[O-])C1 ZINC000630209622 421962987 /nfs/dbraw/zinc/96/29/87/421962987.db2.gz GOMWJNZSLMARMB-RNCFNFMXSA-N -1 1 303.318 1.267 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC1(c2ccccc2F)CCC1 ZINC000633564189 421971348 /nfs/dbraw/zinc/97/13/48/421971348.db2.gz ZOKVAJDVFJAODI-UHFFFAOYSA-N -1 1 303.341 1.510 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CC[C@H]2CCCCO2)CC1 ZINC000630224938 421975286 /nfs/dbraw/zinc/97/52/86/421975286.db2.gz PUENMFINHQGFDG-UONOGXRCSA-N -1 1 312.410 1.343 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc3[nH]ccc3c2)CC1 ZINC000630226308 421975633 /nfs/dbraw/zinc/97/56/33/421975633.db2.gz WTXPTIBJLDGPJI-GFCCVEGCSA-N -1 1 315.373 1.789 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H](C)c2cccs2)CC1 ZINC000630226366 421976014 /nfs/dbraw/zinc/97/60/14/421976014.db2.gz VTLSAIZLTKJMBM-NEPJUHHUSA-N -1 1 310.419 1.859 20 0 DDADMM COc1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c(O)c1 ZINC000630227182 421977998 /nfs/dbraw/zinc/97/79/98/421977998.db2.gz JENPEJMYTBSKJH-UHFFFAOYSA-N -1 1 322.361 1.022 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1ccc2[nH]nnc2c1)C1CCCCC1 ZINC000630262220 421995649 /nfs/dbraw/zinc/99/56/49/421995649.db2.gz KNGJOHAFDRABHH-GFCCVEGCSA-N -1 1 316.361 1.969 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1[C@H](C(=O)[O-])C1(C)C)c1ccc(F)cc1 ZINC000582002360 422087194 /nfs/dbraw/zinc/08/71/94/422087194.db2.gz DAUIYRVTEOVJAY-MELADBBJSA-N -1 1 322.380 1.901 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)NCc2n[nH]c(C3CC3)n2)[n-]n1 ZINC000574407236 422105438 /nfs/dbraw/zinc/10/54/38/422105438.db2.gz VKAVVAJBHYELBJ-UHFFFAOYSA-N -1 1 317.353 1.003 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(C2CC2)cc1 ZINC000582110721 422110906 /nfs/dbraw/zinc/11/09/06/422110906.db2.gz LKCGNZSFOMXRMQ-SNVBAGLBSA-N -1 1 323.356 1.786 20 0 DDADMM CC[C@@H](NS(=O)(=O)c1cc(OC)ccc1[O-])[C@@H]1CCCO1 ZINC000632145007 422118550 /nfs/dbraw/zinc/11/85/50/422118550.db2.gz SKCGATUSHBOEQF-YPMHNXCESA-N -1 1 315.391 1.637 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCSC[C@H]1c1ccccc1 ZINC000635572142 422124202 /nfs/dbraw/zinc/12/42/02/422124202.db2.gz MOROJQRGJUZDPC-ZDUSSCGKSA-N -1 1 317.418 1.839 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCc1c[nH]c2ccc(F)cc12 ZINC000635577615 422130904 /nfs/dbraw/zinc/13/09/04/422130904.db2.gz QGAVCSJBRLFUGE-UHFFFAOYSA-N -1 1 316.340 1.502 20 0 DDADMM O=C(NC[C@@H](n1cncn1)C(F)(F)F)c1ccc([O-])cc1F ZINC000633984085 422198761 /nfs/dbraw/zinc/19/87/61/422198761.db2.gz KMDJKRXGUGFCEZ-SNVBAGLBSA-N -1 1 318.230 1.656 20 0 DDADMM CC[C@H](C)[C@H](O)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000575056059 422245298 /nfs/dbraw/zinc/24/52/98/422245298.db2.gz RKYZSLZKQCTCEI-GXSJLCMTSA-N -1 1 314.432 1.982 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@H]1N(C)C(=O)CCCc1nn[n-]n1 ZINC000635702907 422256155 /nfs/dbraw/zinc/25/61/55/422256155.db2.gz FXBFJWWCNRGTEC-VXGBXAGGSA-N -1 1 309.414 1.575 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)C(=O)CCCc2nn[n-]n2)C12CCC2 ZINC000635701216 422257084 /nfs/dbraw/zinc/25/70/84/422257084.db2.gz YWEDNQIPYLWKCC-NWDGAFQWSA-N -1 1 307.398 1.329 20 0 DDADMM O=c1cnc2cc(S(=O)(=O)[N-]c3ccccc3)ccc2[nH]1 ZINC000575119748 422262992 /nfs/dbraw/zinc/26/29/92/422262992.db2.gz HCXQRVDCBIHXAU-UHFFFAOYSA-N -1 1 301.327 1.724 20 0 DDADMM O=C(N[C@@H]1C[C@@H]2CCCCN2C1=O)c1ccc(Cl)cc1[O-] ZINC000575143886 422269317 /nfs/dbraw/zinc/26/93/17/422269317.db2.gz SUVJKDHLHGQQRP-CMPLNLGQSA-N -1 1 308.765 1.929 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1cccc(Br)c1 ZINC000630695257 422271955 /nfs/dbraw/zinc/27/19/55/422271955.db2.gz QCTLYRCWIROASA-UHFFFAOYSA-N -1 1 310.155 1.211 20 0 DDADMM CC(C)N1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000632359787 422272757 /nfs/dbraw/zinc/27/27/57/422272757.db2.gz RYBKHUBLEYITBR-UHFFFAOYSA-N -1 1 310.781 1.667 20 0 DDADMM Cc1nnsc1C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632361115 422274914 /nfs/dbraw/zinc/27/49/14/422274914.db2.gz PJWSBPFSHGGPBF-UHFFFAOYSA-N -1 1 309.319 1.856 20 0 DDADMM CCc1nc(C)c(C[N-]S(=O)(=O)c2cc(C)ns2)o1 ZINC000632362536 422276533 /nfs/dbraw/zinc/27/65/33/422276533.db2.gz JIWNFUYOYODRBH-UHFFFAOYSA-N -1 1 301.393 1.789 20 0 DDADMM Cc1cccc([C@@H](NC(=O)CCc2nn[n-]n2)C(F)(F)F)c1 ZINC000632369034 422283766 /nfs/dbraw/zinc/28/37/66/422283766.db2.gz KJLXCRIGHUDXIH-GFCCVEGCSA-N -1 1 313.283 1.861 20 0 DDADMM CCCc1ncc(C[N-]S(=O)(=O)c2cc(C)ns2)o1 ZINC000632419471 422328774 /nfs/dbraw/zinc/32/87/74/422328774.db2.gz HNIQUUVEJQVCAR-UHFFFAOYSA-N -1 1 301.393 1.871 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@H](CO)[C@H](C(F)(F)F)C2)c([O-])c1 ZINC000634233691 422336974 /nfs/dbraw/zinc/33/69/74/422336974.db2.gz MCHRUBWWPHRWDX-DTWKUNHWSA-N -1 1 304.268 1.338 20 0 DDADMM Cc1cnc(CC[N-]S(=O)(=O)c2ccc(C(F)F)o2)cn1 ZINC000632432488 422338821 /nfs/dbraw/zinc/33/88/21/422338821.db2.gz QTJSOIQSORFGLZ-UHFFFAOYSA-N -1 1 317.317 1.837 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@H]3COC[C@@H]32)c1 ZINC000632441869 422347381 /nfs/dbraw/zinc/34/73/81/422347381.db2.gz MNVLQLISEKDVRK-PWSUYJOCSA-N -1 1 313.375 1.200 20 0 DDADMM CC[C@@H]1OCC[C@H]1NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632442737 422348677 /nfs/dbraw/zinc/34/86/77/422348677.db2.gz NKHCPOAVTIMPBH-PWSUYJOCSA-N -1 1 301.364 1.247 20 0 DDADMM Cc1nc([C@H]2CCCN2C(=O)CCCc2nn[n-]n2)cs1 ZINC000635813911 422369989 /nfs/dbraw/zinc/36/99/89/422369989.db2.gz IQAJBEHKPIVHHH-LLVKDONJSA-N -1 1 306.395 1.651 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)[C@H](OC)C2CC2)c1 ZINC000632420589 422327826 /nfs/dbraw/zinc/32/78/26/422327826.db2.gz KBJIXJKUWAPETP-XPTSAGLGSA-N -1 1 315.391 1.493 20 0 DDADMM Cc1ccnc([C@H]2CCN(C(=O)CCCc3nn[n-]n3)C2)c1 ZINC000636000410 422554363 /nfs/dbraw/zinc/55/43/63/422554363.db2.gz YNUYEPYQAVYHDK-LBPRGKRZSA-N -1 1 300.366 1.242 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCCC[C@@H]2O)c(F)c1 ZINC000632792463 422583233 /nfs/dbraw/zinc/58/32/33/422583233.db2.gz PSFMZSDQAAFWFW-NEPJUHHUSA-N -1 1 321.345 1.555 20 0 DDADMM CCOC1(CS(=O)(=O)[N-]c2cnn(CC)c2)CCOCC1 ZINC000629291822 422586273 /nfs/dbraw/zinc/58/62/73/422586273.db2.gz WSVCTLYDRLKPBF-UHFFFAOYSA-N -1 1 317.411 1.230 20 0 DDADMM CC(C)(C)C(=O)NCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629373019 422638095 /nfs/dbraw/zinc/63/80/95/422638095.db2.gz IROXCFABTXOKQE-UHFFFAOYSA-N -1 1 316.361 1.679 20 0 DDADMM CNC(=O)C1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC1 ZINC000629378818 422640330 /nfs/dbraw/zinc/64/03/30/422640330.db2.gz VKAIVUMYSLCBLH-UHFFFAOYSA-N -1 1 300.318 1.043 20 0 DDADMM COC[C@@]1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCOC1 ZINC000629380759 422640591 /nfs/dbraw/zinc/64/05/91/422640591.db2.gz XDINTMGAIKGYHD-INIZCTEOSA-N -1 1 317.345 1.570 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2cccc3c2CNC3=O)CCC1 ZINC000634792738 422649912 /nfs/dbraw/zinc/64/99/12/422649912.db2.gz FIZRICHJXPELDU-UHFFFAOYSA-N -1 1 310.375 1.241 20 0 DDADMM COCC(C)(C)N1CCN(Cc2ccc(C(=O)[O-])cn2)CC1 ZINC000579119104 422710309 /nfs/dbraw/zinc/71/03/09/422710309.db2.gz IMNJVXKUUKFLOA-UHFFFAOYSA-N -1 1 307.394 1.322 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@H](NC(=O)CCCc2nn[n-]n2)C12CCC2 ZINC000636204589 422713445 /nfs/dbraw/zinc/71/34/45/422713445.db2.gz KYNYDIAYTBVRIO-NWDGAFQWSA-N -1 1 321.425 1.765 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H]3[C@@H](C(=O)[O-])C3(C)C)[nH]c2c1 ZINC000634823199 422669679 /nfs/dbraw/zinc/66/96/79/422669679.db2.gz NPUYGRDHIXGGFU-STQMWFEESA-N -1 1 301.346 1.844 20 0 DDADMM O=S(=O)([N-]CCC1(CO)CC1)c1ncccc1C(F)(F)F ZINC000645750099 423170180 /nfs/dbraw/zinc/17/01/80/423170180.db2.gz NGINYUFRMSJVAO-UHFFFAOYSA-N -1 1 324.324 1.541 20 0 DDADMM Cc1ccc(Br)cc1CC(=O)NCc1nn[n-]n1 ZINC000648307624 423311212 /nfs/dbraw/zinc/31/12/12/423311212.db2.gz FZGVAVQBWGYXAQ-UHFFFAOYSA-N -1 1 310.155 1.130 20 0 DDADMM Cc1ccccc1OCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000646067645 423323274 /nfs/dbraw/zinc/32/32/74/423323274.db2.gz VIYVFBDHBHOISN-LBPRGKRZSA-N -1 1 317.345 1.869 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)c1[nH]nc2ccccc21 ZINC000643847342 423376391 /nfs/dbraw/zinc/37/63/91/423376391.db2.gz FKAHPKRZTWKSGB-HNNXBMFYSA-N -1 1 303.318 1.269 20 0 DDADMM CC(=O)NCC(C)(C)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000651096071 423389715 /nfs/dbraw/zinc/38/97/15/423389715.db2.gz UPMHFJHGURSABP-UHFFFAOYSA-N -1 1 316.361 1.883 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cn1cnc2c(C)cccc2c1=O ZINC000646413420 423479847 /nfs/dbraw/zinc/47/98/47/423479847.db2.gz YDFJZJYFVMBETG-UHFFFAOYSA-N -1 1 313.317 1.081 20 0 DDADMM COCCOCc1cc(C(=O)Nc2c(C)[n-][nH]c2=O)ccc1F ZINC000646412796 423479936 /nfs/dbraw/zinc/47/99/36/423479936.db2.gz ABKJENWDQQHPOL-UHFFFAOYSA-N -1 1 323.324 1.978 20 0 DDADMM O=C(c1cn(C2CCC2)nn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000649219191 423684042 /nfs/dbraw/zinc/68/40/42/423684042.db2.gz VPQFKYMYDQVLGW-VIFPVBQESA-N -1 1 318.337 1.112 20 0 DDADMM CO[C@@H](CS(=O)(=O)Nc1cccc(C(=O)[O-])c1O)C(C)C ZINC000647130290 423768531 /nfs/dbraw/zinc/76/85/31/423768531.db2.gz OBBZUGYSVBVPCM-NSHDSACASA-N -1 1 317.363 1.503 20 0 DDADMM COc1cc(CS(=O)(=O)[N-]CC(=O)C2CCCC2)sn1 ZINC000641632195 423776292 /nfs/dbraw/zinc/77/62/92/423776292.db2.gz NDZLUDBIEYKXMT-UHFFFAOYSA-N -1 1 318.420 1.330 20 0 DDADMM CO[C@H]1CCCC[C@H]1S(=O)(=O)[N-]c1cccc2c1CNC2=O ZINC000641686308 423858854 /nfs/dbraw/zinc/85/88/54/423858854.db2.gz QKSJEIAIMOGNRK-UONOGXRCSA-N -1 1 324.402 1.629 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccccc2-c2cn[nH]c2)CC1 ZINC000641685008 423860579 /nfs/dbraw/zinc/86/05/79/423860579.db2.gz DNSQHYMTIYZBDF-UHFFFAOYSA-N -1 1 307.375 1.997 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccnc1NC(C)=O)C(C)C ZINC000647273182 423940606 /nfs/dbraw/zinc/94/06/06/423940606.db2.gz CPPBUZFZWRIDFO-LBPRGKRZSA-N -1 1 315.395 1.453 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc(=O)[nH]1 ZINC000644884226 424009085 /nfs/dbraw/zinc/00/90/85/424009085.db2.gz RDVIKFMEBQWDSJ-SECBINFHSA-N -1 1 304.306 1.204 20 0 DDADMM CN1C(=O)NCC12CCN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC000644846351 423973759 /nfs/dbraw/zinc/97/37/59/423973759.db2.gz QGZAJFGWFKYKJO-UHFFFAOYSA-N -1 1 307.325 1.161 20 0 DDADMM C[C@@]1(O)C[C@H]([N-]S(=O)(=O)c2ncccc2Br)C1 ZINC000657015193 423994411 /nfs/dbraw/zinc/99/44/11/423994411.db2.gz KSSGHGVEWWSPQO-WKFQBHICSA-N -1 1 321.196 1.036 20 0 DDADMM COC[C@H](CC[N-]S(=O)(=O)c1sccc1Cl)OC ZINC000657052280 424045671 /nfs/dbraw/zinc/04/56/71/424045671.db2.gz VRCFTDINXYGLGY-QMMMGPOBSA-N -1 1 313.828 1.731 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H](CO)CC2CC2)sc1C ZINC000657182166 424193567 /nfs/dbraw/zinc/19/35/67/424193567.db2.gz NDAMJEGHJYPZBD-LLVKDONJSA-N -1 1 304.437 1.447 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)N=c1[n-]sc2ccccc21 ZINC000640342881 424362787 /nfs/dbraw/zinc/36/27/87/424362787.db2.gz QRFZAJGQASWKEK-KOLCDFICSA-N -1 1 318.402 1.754 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2C(=O)NCC2(C)C)c(F)c1 ZINC000660014967 424461150 /nfs/dbraw/zinc/46/11/50/424461150.db2.gz PGDJITIHSZUGRN-LLVKDONJSA-N -1 1 318.345 1.076 20 0 DDADMM O=S(=O)([N-]CCOCCF)c1ncccc1C(F)(F)F ZINC000660174709 424579168 /nfs/dbraw/zinc/57/91/68/424579168.db2.gz JMRHHEODTUWCHN-UHFFFAOYSA-N -1 1 316.276 1.365 20 0 DDADMM C[C@@H](CNC(=O)NC[C@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000655855133 424683430 /nfs/dbraw/zinc/68/34/30/424683430.db2.gz CVGDRDFUBXYJFO-QWHCGFSZSA-N -1 1 323.393 1.827 20 0 DDADMM O=c1ccccn1CC[N-]S(=O)(=O)c1sccc1F ZINC000338911402 271046090 /nfs/dbraw/zinc/04/60/90/271046090.db2.gz SNYKCSIUSGYRIU-UHFFFAOYSA-N -1 1 302.352 1.027 20 0 DDADMM CSc1n[nH]c([N-]S(=O)(=O)Cc2ccc(F)cc2)n1 ZINC000341420861 271061222 /nfs/dbraw/zinc/06/12/22/271061222.db2.gz GPIFVDJZWZWXSN-UHFFFAOYSA-N -1 1 302.356 1.608 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCCCS2)c1 ZINC000344047351 271939501 /nfs/dbraw/zinc/93/95/01/271939501.db2.gz KMDLMZGLXOQFRM-JTQLQIEISA-N -1 1 319.404 1.630 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H](C(F)(F)F)C1 ZINC000344131713 271952953 /nfs/dbraw/zinc/95/29/53/271952953.db2.gz KGBXYMCVOKTJRQ-LURJTMIESA-N -1 1 321.255 1.311 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](CO)[C@H]1CCCO1 ZINC000344940929 272142076 /nfs/dbraw/zinc/14/20/76/272142076.db2.gz NNPLRGMEGKEVQN-WDEREUQCSA-N -1 1 323.393 1.058 20 0 DDADMM C[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CC(C)(C)C1 ZINC000345015553 272157615 /nfs/dbraw/zinc/15/76/15/272157615.db2.gz HKWWSAVEQDFDKZ-LLVKDONJSA-N -1 1 305.378 1.412 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCC(=O)c2ccccc21)c1nn[n-]n1 ZINC000286098277 278177047 /nfs/dbraw/zinc/17/70/47/278177047.db2.gz OLBZRGUWPOQGQV-MFKMUULPSA-N -1 1 313.361 1.522 20 0 DDADMM CC[C@@H]1CCCCCN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000125480178 280237594 /nfs/dbraw/zinc/23/75/94/280237594.db2.gz BMJMEASXVHBTHW-LLVKDONJSA-N -1 1 314.407 1.983 20 0 DDADMM Nc1ccnc(CNC(=O)c2cc(Br)ccc2[O-])n1 ZINC000120471152 281119154 /nfs/dbraw/zinc/11/91/54/281119154.db2.gz IVAKYZZLGRJATJ-UHFFFAOYSA-N -1 1 323.150 1.457 20 0 DDADMM CNC(=O)c1ccc(CNC(=O)c2c([O-])cccc2F)cc1 ZINC000125234419 281204556 /nfs/dbraw/zinc/20/45/56/281204556.db2.gz WWGVBYFSGVMVIS-UHFFFAOYSA-N -1 1 302.305 1.821 20 0 DDADMM CC[C@]1(C)C[C@H]1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000135564525 281597592 /nfs/dbraw/zinc/59/75/92/281597592.db2.gz UWTCSZWNIPHGOE-BLLLJJGKSA-N -1 1 302.378 1.692 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NC(=O)c1cc(F)cc2nc[nH]c21 ZINC000237102598 293243319 /nfs/dbraw/zinc/24/33/19/293243319.db2.gz KBZMNZTYSNCLGN-AWEZNQCLSA-N -1 1 309.297 1.312 20 0 DDADMM O=C(N=c1nc[nH][n-]1)c1cccnc1OCc1ccccc1F ZINC000361736650 299927167 /nfs/dbraw/zinc/92/71/67/299927167.db2.gz LTRARRLWPJFCES-UHFFFAOYSA-N -1 1 313.292 1.592 20 0 DDADMM O=C(Nc1nc2n(n1)CCCC2)c1csc(=NC2CC2)[n-]1 ZINC000572720683 304550907 /nfs/dbraw/zinc/55/09/07/304550907.db2.gz IMRPXZNGUJMYCL-UHFFFAOYSA-N -1 1 304.379 1.319 20 0 DDADMM C[C@H](C[S@](C)=O)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000572837848 304559625 /nfs/dbraw/zinc/55/96/25/304559625.db2.gz DZOWAMZTXANKGY-CVJBHZAOSA-N -1 1 318.445 1.342 20 0 DDADMM C[C@H]1CCC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000354245265 298379932 /nfs/dbraw/zinc/37/99/32/298379932.db2.gz BSVJPPILEBCRLK-RYUDHWBXSA-N -1 1 305.378 1.602 20 0 DDADMM C[C@@H]1CC[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000356766103 298869586 /nfs/dbraw/zinc/86/95/86/298869586.db2.gz WASAZKDSSFRYFV-ZJUUUORDSA-N -1 1 314.407 1.885 20 0 DDADMM CCC[C@](C)([N-]S(=O)(=O)Cc1cc(C)no1)C(=O)OC ZINC000362604384 300104948 /nfs/dbraw/zinc/10/49/48/300104948.db2.gz SNBBCFQCEWMQPS-LBPRGKRZSA-N -1 1 304.368 1.134 20 0 DDADMM CO[C@@H](C)C(=O)N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000368505153 301043510 /nfs/dbraw/zinc/04/35/10/301043510.db2.gz XNLCISVGBOGCHX-QUBYGPBYSA-N -1 1 313.720 1.233 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCOCC3(CCC3)C1)c2=O ZINC000368836210 301094306 /nfs/dbraw/zinc/09/43/06/301094306.db2.gz VFIHROAQRYAQGB-UHFFFAOYSA-N -1 1 316.361 1.317 20 0 DDADMM CNC(=O)c1cc2cc(NC(=O)c3cncc([O-])c3)ccc2[nH]1 ZINC000355434144 306800347 /nfs/dbraw/zinc/80/03/47/306800347.db2.gz ACJSPKTZJFJXFH-UHFFFAOYSA-N -1 1 310.313 1.880 20 0 DDADMM CCc1nc(=NC2C[C@H]3CC[C@@H](C2)N3CC(=O)N(C)C)s[n-]1 ZINC000376189959 302048179 /nfs/dbraw/zinc/04/81/79/302048179.db2.gz WNVNAYXCWQOAKJ-YOGCLGLASA-N -1 1 323.466 1.018 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@H]1c1ccc(O)cc1 ZINC000377887826 302247298 /nfs/dbraw/zinc/24/72/98/302247298.db2.gz GZRZYYXRGNIBNO-LBPRGKRZSA-N -1 1 323.374 1.627 20 0 DDADMM CNC(=O)NC1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000396862689 302350676 /nfs/dbraw/zinc/35/06/76/302350676.db2.gz XXCAVNVIKLITLK-UHFFFAOYSA-N -1 1 311.769 1.579 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-][C@@H](C(C)=O)c1ccccc1F ZINC000416638936 302378805 /nfs/dbraw/zinc/37/88/05/302378805.db2.gz AEUJPCSGBFFHPT-RNCFNFMXSA-N -1 1 303.355 1.410 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-][C@H](C(C)=O)c1ccccc1F ZINC000416638937 302378815 /nfs/dbraw/zinc/37/88/15/302378815.db2.gz AEUJPCSGBFFHPT-TVQRCGJNSA-N -1 1 303.355 1.410 20 0 DDADMM COc1ccc(CN2CC[C@H]2CN=c2[n-]nc(C)s2)cc1 ZINC000528136304 303006364 /nfs/dbraw/zinc/00/63/64/303006364.db2.gz AKGMLXYYDBQHDJ-ZDUSSCGKSA-N -1 1 304.419 1.963 20 0 DDADMM O=C(Nc1ccc(Cl)cc1[O-])c1cn(C[C@H]2CCOC2)nn1 ZINC000528900297 303071023 /nfs/dbraw/zinc/07/10/23/303071023.db2.gz DYFLCWHAWPZTQK-SECBINFHSA-N -1 1 322.752 1.926 20 0 DDADMM O=C(NC[C@H](O)[C@@H]1CCCO1)c1ccc(C(F)(F)F)cc1[O-] ZINC000529768493 303152298 /nfs/dbraw/zinc/15/22/98/303152298.db2.gz MFUQJHXRAICYDW-RYUDHWBXSA-N -1 1 319.279 1.681 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(C[C@H](O)c2ccc(F)cc2Cl)C1 ZINC000530169334 303178595 /nfs/dbraw/zinc/17/85/95/303178595.db2.gz KGPROOIDJZNKHC-JSGCOSHPSA-N -1 1 317.744 1.688 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)c2cnn(C)c2)sc1C ZINC000532496104 303288063 /nfs/dbraw/zinc/28/80/63/303288063.db2.gz KVCSLDHBYWSWRR-QMMMGPOBSA-N -1 1 300.409 1.533 20 0 DDADMM CC(C)(NC(=O)c1cccc([C@@H]2CCCOC2)c1)c1nn[n-]n1 ZINC000535045043 303341846 /nfs/dbraw/zinc/34/18/46/303341846.db2.gz IMSUEPVXQMHDRB-CYBMUJFWSA-N -1 1 315.377 1.759 20 0 DDADMM CN1CCC[C@@H](Sc2nc(C(F)(F)F)cc(=O)[n-]2)C1=O ZINC000537604138 303375532 /nfs/dbraw/zinc/37/55/32/303375532.db2.gz YWWQJWTVSPFSBB-ZCFIWIBFSA-N -1 1 307.297 1.914 20 0 DDADMM COCc1nc(C)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000539324212 303394408 /nfs/dbraw/zinc/39/44/08/303394408.db2.gz JIJWTZZFOXYRKS-VIFPVBQESA-N -1 1 322.394 1.131 20 0 DDADMM COc1ccc(C(=O)[O-])cc1NS(=O)(=O)c1cccc(N)c1 ZINC000547868592 303556930 /nfs/dbraw/zinc/55/69/30/303556930.db2.gz VNFYNXROJZGGCB-UHFFFAOYSA-N -1 1 322.342 1.776 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)N2CCCC2)C1)c1cncc([O-])c1 ZINC000286557829 303955319 /nfs/dbraw/zinc/95/53/19/303955319.db2.gz AEXQZLFHCUDKPO-ZDUSSCGKSA-N -1 1 318.377 1.197 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-][C@@H](CO)CC(F)(F)F)s1 ZINC000359193870 306952575 /nfs/dbraw/zinc/95/25/75/306952575.db2.gz KNUUUFSSDGKSLU-ZCFIWIBFSA-N -1 1 318.342 1.297 20 0 DDADMM Cn1c(=O)ccnc1[N-]S(=O)(=O)c1ccc(Cl)s1 ZINC000361534352 306997802 /nfs/dbraw/zinc/99/78/02/306997802.db2.gz XQZUYKKJQGMAMN-UHFFFAOYSA-N -1 1 305.768 1.296 20 0 DDADMM O=C(C1C2CC3CC(C2)CC1C3)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370849673 307138391 /nfs/dbraw/zinc/13/83/91/307138391.db2.gz DYVOYHZUJBPIGT-QBBLGZLKSA-N -1 1 317.393 1.172 20 0 DDADMM O=C(c1csc2ccccc12)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370862403 307138468 /nfs/dbraw/zinc/13/84/68/307138468.db2.gz PYMMZJMMZAVMEH-NSHDSACASA-N -1 1 315.358 1.628 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(c2cccc(OC)c2)C1 ZINC000375369589 307224763 /nfs/dbraw/zinc/22/47/63/307224763.db2.gz MQVYJPUSIINBRJ-GFCCVEGCSA-N -1 1 322.361 1.132 20 0 DDADMM CCCCC[C@@H](C)NC(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000377601550 307274578 /nfs/dbraw/zinc/27/45/78/307274578.db2.gz CIDMUZUPHASWBM-GFCCVEGCSA-N -1 1 323.441 1.775 20 0 DDADMM CNC(=O)NC[C@@H]1CN(Cc2cccc([O-])c2Cl)CCO1 ZINC000529645690 307565524 /nfs/dbraw/zinc/56/55/24/307565524.db2.gz UTFGEJKGWXEKID-LLVKDONJSA-N -1 1 313.785 1.175 20 0 DDADMM CCOC[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CCO1 ZINC000529892930 307574530 /nfs/dbraw/zinc/57/45/30/307574530.db2.gz OXNYDDVUHRQZBC-ZDUSSCGKSA-N -1 1 316.357 1.818 20 0 DDADMM CO[C@H](Cc1ccccc1)CS(=O)(=O)[N-]c1c[nH]nc1C ZINC000566076672 308043071 /nfs/dbraw/zinc/04/30/71/308043071.db2.gz PRRBHNKMVDFUQL-CYBMUJFWSA-N -1 1 309.391 1.718 20 0 DDADMM Cc1ccc2nc([N-]S(=O)(=O)c3cnc4n3CCC4)[nH]c2c1 ZINC000566655407 308059839 /nfs/dbraw/zinc/05/98/39/308059839.db2.gz YVPAGVDWPBKOTI-UHFFFAOYSA-N -1 1 317.374 1.815 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)N=c1ncn(C2CCCCC2)[n-]1 ZINC000570255251 308164402 /nfs/dbraw/zinc/16/44/02/308164402.db2.gz MKFIURGRRSZRKT-CYBMUJFWSA-N -1 1 320.441 1.763 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]c1ccn(CCc2ccncc2)n1 ZINC000571852911 308204371 /nfs/dbraw/zinc/20/43/71/308204371.db2.gz NRJLYCZRYMNMHG-UHFFFAOYSA-N -1 1 323.422 1.519 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@@H](O)C[C@H]3C)nc2)[n-]c(=O)c1C ZINC000573268293 308235618 /nfs/dbraw/zinc/23/56/18/308235618.db2.gz GHZPRZFDWYZTLW-RNCFNFMXSA-N -1 1 300.362 1.821 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000575408990 308276679 /nfs/dbraw/zinc/27/66/79/308276679.db2.gz BWJCKKPUVUCTTJ-OAHLLOKOSA-N -1 1 304.390 1.518 20 0 DDADMM Cc1ncc(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)c(N)n1 ZINC000576686584 308332205 /nfs/dbraw/zinc/33/22/05/308332205.db2.gz IYIQIYKSKVJJKH-UHFFFAOYSA-N -1 1 300.347 1.323 20 0 DDADMM Cn1cc(C(C)(C)[N-]S(=O)(=O)c2c(F)cccc2F)nn1 ZINC000580834338 308634733 /nfs/dbraw/zinc/63/47/33/308634733.db2.gz OGBGJZHIJPIWEK-UHFFFAOYSA-N -1 1 316.333 1.307 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)NCCN2CC=CCC2)[n-]n1 ZINC000584366394 337193622 /nfs/dbraw/zinc/19/36/22/337193622.db2.gz FWTHBQDCINNDKL-UHFFFAOYSA-N -1 1 305.382 1.069 20 0 DDADMM O=C([C@@H]1CCc2n[nH]cc2C1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000582841222 337208002 /nfs/dbraw/zinc/20/80/02/337208002.db2.gz GONOLKWJJRRMTM-ZJUUUORDSA-N -1 1 317.349 1.009 20 0 DDADMM O=C([O-])[C@H]1CC[C@@H](C(=O)Nc2cccc(-c3cn[nH]n3)c2)O1 ZINC000582964380 337219956 /nfs/dbraw/zinc/21/99/56/337219956.db2.gz OILVJNOXGFHPLH-NWDGAFQWSA-N -1 1 302.290 1.042 20 0 DDADMM O=c1cc(/C=C\c2cccc(-n3cccn3)c2)nc2nc[n-]n21 ZINC000583042872 337229743 /nfs/dbraw/zinc/22/97/43/337229743.db2.gz GYAXOWHVXCNTQW-WAYWQWQTSA-N -1 1 304.313 1.774 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)N[C@H](CCF)C(=O)[O-])c(F)c1 ZINC000656163999 483988617 /nfs/dbraw/zinc/98/86/17/483988617.db2.gz DWDNKPCUAIXFQI-SECBINFHSA-N -1 1 311.281 1.364 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccc(F)c1Br ZINC000155961493 484056387 /nfs/dbraw/zinc/05/63/87/484056387.db2.gz WJDAGFQYOIUING-UHFFFAOYSA-N -1 1 300.091 1.031 20 0 DDADMM O=C(C1CC1)C1(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)CC1 ZINC000425304219 484117174 /nfs/dbraw/zinc/11/71/74/484117174.db2.gz PUJYLSAIRNCUNG-SNVBAGLBSA-N -1 1 305.334 1.241 20 0 DDADMM O=C(NC[C@H]1CCCCS1(=O)=O)c1c([O-])cccc1F ZINC000436989784 484133524 /nfs/dbraw/zinc/13/35/24/484133524.db2.gz PHWMQBAXNOEPIN-SECBINFHSA-N -1 1 301.339 1.228 20 0 DDADMM CCO[C@H]1C[C@@](NCc2cn(C3CCC3)nn2)(C(=O)[O-])C1(C)C ZINC000656566358 484213683 /nfs/dbraw/zinc/21/36/83/484213683.db2.gz IUUKAHDAYRSHDY-XJKSGUPXSA-N -1 1 322.409 1.751 20 0 DDADMM O=c1[nH]ccc2cc([N-]S(=O)(=O)CCOCC3CC3)ccc21 ZINC000656633574 484252591 /nfs/dbraw/zinc/25/25/91/484252591.db2.gz DGUOZLYKPUNEPT-UHFFFAOYSA-N -1 1 322.386 1.696 20 0 DDADMM Nc1nc(NC[C@H](CC(=O)[O-])C2CC2)cc(-n2cccn2)n1 ZINC000656782493 484323352 /nfs/dbraw/zinc/32/33/52/484323352.db2.gz FJPIQZWZTZDJAW-JTQLQIEISA-N -1 1 302.338 1.157 20 0 DDADMM O=C(c1cc(C2CC2)no1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000575162624 484347841 /nfs/dbraw/zinc/34/78/41/484347841.db2.gz BVMFEAZIUSXXDW-SECBINFHSA-N -1 1 304.306 1.660 20 0 DDADMM C[C@H]1CCNC(=O)[C@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000669635980 484596435 /nfs/dbraw/zinc/59/64/35/484596435.db2.gz OMJNZBMSRCTIQP-ZANVPECISA-N -1 1 308.359 1.236 20 0 DDADMM CC(C)CN1CCO[C@@H](CNC(=O)[C@H](C(=O)[O-])C(C)(C)C)C1 ZINC000663100789 484666741 /nfs/dbraw/zinc/66/67/41/484666741.db2.gz PNQVCDXJJDUFEC-QWHCGFSZSA-N -1 1 314.426 1.206 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000663108114 484673389 /nfs/dbraw/zinc/67/33/89/484673389.db2.gz PXZVXZROGJUZMZ-OAHLLOKOSA-N -1 1 300.318 1.707 20 0 DDADMM C[C@](NC(=O)[C@H]1CCCc2[nH]ncc21)(C(=O)[O-])c1ccccc1 ZINC000663141179 484699024 /nfs/dbraw/zinc/69/90/24/484699024.db2.gz KMCBLIYYFYPSRV-YVEFUNNKSA-N -1 1 313.357 1.946 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@H]1O)c1ccc([O-])c(F)c1 ZINC000670136821 484762269 /nfs/dbraw/zinc/76/22/69/484762269.db2.gz GOGMECHKEFEBCL-DOMZBBRYSA-N -1 1 323.364 1.829 20 0 DDADMM CC(C)[C@@H](NC(=O)NC[C@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663270663 484776812 /nfs/dbraw/zinc/77/68/12/484776812.db2.gz DQGJDGOSLKKBOT-HUUCEWRRSA-N -1 1 319.405 1.669 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000663272234 484778608 /nfs/dbraw/zinc/77/86/08/484778608.db2.gz VCHNEYKDFWOUAH-CQSZACIVSA-N -1 1 305.378 1.377 20 0 DDADMM Cc1cnc(C(=O)N[C@H](CO)[C@H](C)c2ccccc2)c([O-])c1 ZINC000667977060 484887230 /nfs/dbraw/zinc/88/72/30/484887230.db2.gz KUVWMDHHCSAQEG-TZMCWYRMSA-N -1 1 300.358 1.990 20 0 DDADMM COc1cccc(CNC(=O)c2c(C)[n-]c(=O)nc2SC)n1 ZINC000673835503 485453973 /nfs/dbraw/zinc/45/39/73/485453973.db2.gz PKKKXSNACLYQMF-UHFFFAOYSA-N -1 1 320.374 1.546 20 0 DDADMM CCC[C@@H](NC(=O)CCOc1cccc(F)c1)c1nn[n-]n1 ZINC000675145517 485865152 /nfs/dbraw/zinc/86/51/52/485865152.db2.gz YDVYXSZMZRZKSZ-GFCCVEGCSA-N -1 1 307.329 1.765 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]Cc1nc(C2CCC2)no1 ZINC000680693450 486257260 /nfs/dbraw/zinc/25/72/60/486257260.db2.gz FYDXJQQADKAZFK-UHFFFAOYSA-N -1 1 303.384 1.182 20 0 DDADMM COCCOc1ccc(F)cc1NC(=O)c1n[nH]c(C)c1[O-] ZINC000676706854 486296724 /nfs/dbraw/zinc/29/67/24/486296724.db2.gz DUNRGHCPAHVIQM-UHFFFAOYSA-N -1 1 309.297 1.840 20 0 DDADMM C[C@@H](NC(=O)c1ccc([O-])c(F)c1)C(=O)NCc1ccccc1 ZINC000681020613 486324637 /nfs/dbraw/zinc/32/46/37/486324637.db2.gz HCUGNHVGLZPNDV-LLVKDONJSA-N -1 1 316.332 1.966 20 0 DDADMM CC(C)C(=O)N1CCCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681039683 486329011 /nfs/dbraw/zinc/32/90/11/486329011.db2.gz QLALTLFTQLDBKG-UHFFFAOYSA-N -1 1 308.353 1.862 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1N1CCOCC1)c1ccc([O-])c(F)c1 ZINC000681057115 486334429 /nfs/dbraw/zinc/33/44/29/486334429.db2.gz QZAIEWIDWHIRBH-HUUCEWRRSA-N -1 1 322.380 1.905 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H](C)C[C@@H](C)O)c(F)c1 ZINC000676859770 486348733 /nfs/dbraw/zinc/34/87/33/486348733.db2.gz QWHJTSFDRPZBIX-DTWKUNHWSA-N -1 1 323.361 1.659 20 0 DDADMM CCOc1nc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)co1 ZINC000681513458 486438196 /nfs/dbraw/zinc/43/81/96/486438196.db2.gz AZBMSWZIHGVXPC-LLVKDONJSA-N -1 1 314.305 1.106 20 0 DDADMM CC1(C)CN(C(=O)c2cc(Cl)ccc2[O-])CCN1CCO ZINC000682006939 486619190 /nfs/dbraw/zinc/61/91/90/486619190.db2.gz VISVWMJZCMWMSS-UHFFFAOYSA-N -1 1 312.797 1.574 20 0 DDADMM CN(CC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)C(=O)C1CC1 ZINC000603546514 490394481 /nfs/dbraw/zinc/39/44/81/490394481.db2.gz CESAORLNJCIMBA-UHFFFAOYSA-N -1 1 314.345 1.589 20 0 DDADMM CCCc1nc(=NC(=O)c2ccc(-c3nnc[nH]3)cc2)s[n-]1 ZINC000353082382 490753218 /nfs/dbraw/zinc/75/32/18/490753218.db2.gz XCCOTERIVOWBNV-UHFFFAOYSA-N -1 1 314.374 1.950 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)ccn1 ZINC000432567499 533747042 /nfs/dbraw/zinc/74/70/42/533747042.db2.gz HHZPAAQKZCXSQX-UHFFFAOYSA-N -1 1 315.211 1.257 20 0 DDADMM C[C@H]1CSCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000295605406 534061484 /nfs/dbraw/zinc/06/14/84/534061484.db2.gz CTQGVXXFYZWGCA-SECBINFHSA-N -1 1 308.432 1.843 20 0 DDADMM COc1ccc([N-]S(=O)(=O)CCOCC2CC2)c(O)c1 ZINC000424062752 534186760 /nfs/dbraw/zinc/18/67/60/534186760.db2.gz HOEAOQGAPOMFMP-UHFFFAOYSA-N -1 1 301.364 1.569 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N[C@H](C(C)=O)C(C)C)n1 ZINC000432670427 534307682 /nfs/dbraw/zinc/30/76/82/534307682.db2.gz ONWACRIWGQEJCW-NSHDSACASA-N -1 1 313.379 1.018 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(C2CC2)C1)c1sccc1Cl ZINC000451108821 534871218 /nfs/dbraw/zinc/87/12/18/534871218.db2.gz BGGUDDKFGGFVOP-QMMMGPOBSA-N -1 1 306.840 1.917 20 0 DDADMM CC(=O)NCCC[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425146239 526745144 /nfs/dbraw/zinc/74/51/44/526745144.db2.gz WGAKGRKDPTUATF-UHFFFAOYSA-N -1 1 306.334 1.078 20 0 DDADMM CC1=C(C(=O)N(C)C)[C@@H](c2ccc([O-])c(Cl)c2)NC(=O)N1 ZINC000299286493 528068580 /nfs/dbraw/zinc/06/85/80/528068580.db2.gz GXJMPABHNOGBDH-GFCCVEGCSA-N -1 1 309.753 1.762 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H](C)N(C)c2ccccc2)[n-]n1 ZINC000413054595 528230600 /nfs/dbraw/zinc/23/06/00/528230600.db2.gz OCOSHQKMICZGDQ-CYBMUJFWSA-N -1 1 313.405 1.585 20 0 DDADMM CCC[C@H](O)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330810873 528260436 /nfs/dbraw/zinc/26/04/36/528260436.db2.gz JXZYNCHBQPOYMI-ZETCQYMHSA-N -1 1 312.185 1.481 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-]c1nn(CCCOC)cc1C ZINC000451083381 528368615 /nfs/dbraw/zinc/36/86/15/528368615.db2.gz IELLFYHPYDXLFM-UHFFFAOYSA-N -1 1 318.443 1.617 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@@H]3C[C@H]3CC)CC2)n1 ZINC000451220907 528369117 /nfs/dbraw/zinc/36/91/17/528369117.db2.gz SIZQYXDJKKGPBV-ZYHUDNBSSA-N -1 1 320.393 1.734 20 0 DDADMM CCOc1ccc(CN[C@H](C(=O)[O-])c2cnn(C)c2)cc1F ZINC000417591533 528462975 /nfs/dbraw/zinc/46/29/75/528462975.db2.gz IWKGUVMPEHMLNY-AWEZNQCLSA-N -1 1 307.325 1.873 20 0 DDADMM CCC(CC)(CNC(=O)NCc1n[nH]c(C(C)C)n1)C(=O)[O-] ZINC000424398005 528678005 /nfs/dbraw/zinc/67/80/05/528678005.db2.gz MCSAAROWVRTWFK-UHFFFAOYSA-N -1 1 311.386 1.618 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1ccc(OC)cc1)c1nn[n-]n1 ZINC000492126124 528966915 /nfs/dbraw/zinc/96/69/15/528966915.db2.gz WDYQFPFLYBXEBU-PGJNLMOESA-N -1 1 301.350 1.879 20 0 DDADMM CC1(C)CN(C(=O)N=c2[n-]nc(-c3ccsc3)s2)CCN1 ZINC000331994511 529081061 /nfs/dbraw/zinc/08/10/61/529081061.db2.gz WRTRRPGTNFOLCZ-UHFFFAOYSA-N -1 1 323.447 1.904 20 0 DDADMM CC(C)OCCCN(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735895933 598940699 /nfs/dbraw/zinc/94/06/99/598940699.db2.gz KHLPBYNUSHGTDR-UHFFFAOYSA-N -1 1 304.354 1.149 20 0 DDADMM CC(C)OCCCN(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735895933 598940700 /nfs/dbraw/zinc/94/07/00/598940700.db2.gz KHLPBYNUSHGTDR-UHFFFAOYSA-N -1 1 304.354 1.149 20 0 DDADMM Cc1nnc(CNc2c3ccccc3nnc2-c2nnn[n-]2)o1 ZINC000737520229 598959949 /nfs/dbraw/zinc/95/99/49/598959949.db2.gz GEDMRNYSNUTJSD-UHFFFAOYSA-N -1 1 309.293 1.113 20 0 DDADMM Cc1nnc(CNc2c3ccccc3nnc2-c2nn[n-]n2)o1 ZINC000737520229 598959950 /nfs/dbraw/zinc/95/99/50/598959950.db2.gz GEDMRNYSNUTJSD-UHFFFAOYSA-N -1 1 309.293 1.113 20 0 DDADMM c1cc(NCCCOC[C@@H]2CCOC2)nc(-c2nnn[n-]2)c1 ZINC000738413077 598973365 /nfs/dbraw/zinc/97/33/65/598973365.db2.gz MOMUZZGVEHUDNU-NSHDSACASA-N -1 1 304.354 1.117 20 0 DDADMM c1cc(NCCCOC[C@@H]2CCOC2)nc(-c2nn[n-]n2)c1 ZINC000738413077 598973366 /nfs/dbraw/zinc/97/33/66/598973366.db2.gz MOMUZZGVEHUDNU-NSHDSACASA-N -1 1 304.354 1.117 20 0 DDADMM O[C@@H]1CCCC[C@@H]1CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000738390530 598978610 /nfs/dbraw/zinc/97/86/10/598978610.db2.gz QXDLCQLUNVGANF-PSASIEDQSA-N -1 1 308.773 1.878 20 0 DDADMM O[C@@H]1CCCC[C@@H]1CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000738390530 598978611 /nfs/dbraw/zinc/97/86/11/598978611.db2.gz QXDLCQLUNVGANF-PSASIEDQSA-N -1 1 308.773 1.878 20 0 DDADMM CC(=O)c1ccccc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735502999 598979724 /nfs/dbraw/zinc/97/97/24/598979724.db2.gz DTQGSVQWZBPLGH-UHFFFAOYSA-N -1 1 308.301 1.717 20 0 DDADMM CC(=O)c1ccccc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735502999 598979726 /nfs/dbraw/zinc/97/97/26/598979726.db2.gz DTQGSVQWZBPLGH-UHFFFAOYSA-N -1 1 308.301 1.717 20 0 DDADMM O=C(Nc1ccc2n[nH]cc2c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738176027 598988425 /nfs/dbraw/zinc/98/84/25/598988425.db2.gz UYTYWZDQCHWEGT-UHFFFAOYSA-N -1 1 306.289 1.390 20 0 DDADMM O=C(Nc1ccc2n[nH]cc2c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738176027 598988426 /nfs/dbraw/zinc/98/84/26/598988426.db2.gz UYTYWZDQCHWEGT-UHFFFAOYSA-N -1 1 306.289 1.390 20 0 DDADMM COCc1ccccc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737022285 598992469 /nfs/dbraw/zinc/99/24/69/598992469.db2.gz PYKJXFWQZDZRSB-UHFFFAOYSA-N -1 1 310.317 1.660 20 0 DDADMM COCc1ccccc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737022285 598992471 /nfs/dbraw/zinc/99/24/71/598992471.db2.gz PYKJXFWQZDZRSB-UHFFFAOYSA-N -1 1 310.317 1.660 20 0 DDADMM CCc1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000736693179 598992642 /nfs/dbraw/zinc/99/26/42/598992642.db2.gz YKGRGKOPXYKHSI-UHFFFAOYSA-N -1 1 314.374 1.816 20 0 DDADMM CCc1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000736693179 598992643 /nfs/dbraw/zinc/99/26/43/598992643.db2.gz YKGRGKOPXYKHSI-UHFFFAOYSA-N -1 1 314.374 1.816 20 0 DDADMM Cc1ccc2c(c1)CC[C@H]2NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737376580 598997623 /nfs/dbraw/zinc/99/76/23/598997623.db2.gz IONRQBFWMYIXFG-CQSZACIVSA-N -1 1 320.356 1.987 20 0 DDADMM Cc1ccc2c(c1)CC[C@H]2NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737376580 598997624 /nfs/dbraw/zinc/99/76/24/598997624.db2.gz IONRQBFWMYIXFG-CQSZACIVSA-N -1 1 320.356 1.987 20 0 DDADMM CC(C)(C)SCCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735642528 599027756 /nfs/dbraw/zinc/02/77/56/599027756.db2.gz CIOVLZHUJOHKPL-UHFFFAOYSA-N -1 1 306.395 1.523 20 0 DDADMM CC(C)(C)SCCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735642528 599027758 /nfs/dbraw/zinc/02/77/58/599027758.db2.gz CIOVLZHUJOHKPL-UHFFFAOYSA-N -1 1 306.395 1.523 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000738259639 599028910 /nfs/dbraw/zinc/02/89/10/599028910.db2.gz UETVFSGQKZSXHZ-LLVKDONJSA-N -1 1 324.348 1.005 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000738259639 599028911 /nfs/dbraw/zinc/02/89/11/599028911.db2.gz UETVFSGQKZSXHZ-LLVKDONJSA-N -1 1 324.348 1.005 20 0 DDADMM O=S(=O)(c1cccc(F)c1-c1nnn[n-]1)N1CCCCC1 ZINC000738353910 599032185 /nfs/dbraw/zinc/03/21/85/599032185.db2.gz DYPOHJSJAOEGRF-UHFFFAOYSA-N -1 1 311.342 1.180 20 0 DDADMM O=S(=O)(c1cccc(F)c1-c1nn[n-]n1)N1CCCCC1 ZINC000738353910 599032187 /nfs/dbraw/zinc/03/21/87/599032187.db2.gz DYPOHJSJAOEGRF-UHFFFAOYSA-N -1 1 311.342 1.180 20 0 DDADMM Cc1ccccc1[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCO1 ZINC000822352217 599037405 /nfs/dbraw/zinc/03/74/05/599037405.db2.gz WULKOZXISXPUEH-CYBMUJFWSA-N -1 1 323.360 1.543 20 0 DDADMM Cc1ccccc1[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCO1 ZINC000822352217 599037408 /nfs/dbraw/zinc/03/74/08/599037408.db2.gz WULKOZXISXPUEH-CYBMUJFWSA-N -1 1 323.360 1.543 20 0 DDADMM CC[C@@]1(C)CCCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000820950287 599201850 /nfs/dbraw/zinc/20/18/50/599201850.db2.gz LQIGQRONEVTWPY-HNNXBMFYSA-N -1 1 300.366 1.914 20 0 DDADMM CC[C@@]1(C)CCCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000820950287 599201852 /nfs/dbraw/zinc/20/18/52/599201852.db2.gz LQIGQRONEVTWPY-HNNXBMFYSA-N -1 1 300.366 1.914 20 0 DDADMM CN(C)[C@H](CNC(=O)CSCC(=O)[O-])c1cccs1 ZINC000157609633 597095036 /nfs/dbraw/zinc/09/50/36/597095036.db2.gz KMGSNOLFCOAGAE-SECBINFHSA-N -1 1 302.421 1.285 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)N[C@H](C)C(=O)[O-] ZINC000740080344 597142265 /nfs/dbraw/zinc/14/22/65/597142265.db2.gz NWBGTJKIWIPCRS-GFCCVEGCSA-N -1 1 320.393 1.341 20 0 DDADMM Cc1ccc(CCn2nc(C)c(C)c(-c3nn[n-]n3)c2=O)cc1 ZINC000737337287 599368192 /nfs/dbraw/zinc/36/81/92/599368192.db2.gz KXBGAERAQCMCGP-UHFFFAOYSA-N -1 1 310.361 1.591 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)NC[C@@H]1C[N@H+]2CCC[C@H]2CO1 ZINC000321663141 598178191 /nfs/dbraw/zinc/17/81/91/598178191.db2.gz REMXODPYOPRMDH-NWDGAFQWSA-N -1 1 319.361 1.370 20 0 DDADMM Cc1ccc(CN(C(=O)CN[C@@H](C(=O)[O-])C(C)C)C2CC2)o1 ZINC000821079129 598189813 /nfs/dbraw/zinc/18/98/13/598189813.db2.gz PIPVLBVOURIQGO-OAHLLOKOSA-N -1 1 308.378 1.778 20 0 DDADMM O=C([O-])c1cccc(CN2CCN(C[C@@H]3CCCO3)CC2)c1 ZINC000821551768 598210414 /nfs/dbraw/zinc/21/04/14/598210414.db2.gz AFRPDYNKPKRYDH-INIZCTEOSA-N -1 1 304.390 1.681 20 0 DDADMM C[C@@H](N(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)(C)C ZINC000736095233 598263676 /nfs/dbraw/zinc/26/36/76/598263676.db2.gz CXXLURZJUHCVGB-SECBINFHSA-N -1 1 324.410 1.317 20 0 DDADMM C[C@@H](N(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)(C)C ZINC000736095233 598263678 /nfs/dbraw/zinc/26/36/78/598263678.db2.gz CXXLURZJUHCVGB-SECBINFHSA-N -1 1 324.410 1.317 20 0 DDADMM O=C1CCc2cc(Oc3nccnc3-c3nn[n-]n3)ccc2N1 ZINC000738302756 598341239 /nfs/dbraw/zinc/34/12/39/598341239.db2.gz NHYGIKSIWXBBEV-UHFFFAOYSA-N -1 1 309.289 1.334 20 0 DDADMM CCN(CC)c1ccc(Nc2nccnc2-c2nnn[n-]2)cn1 ZINC000736533352 598344209 /nfs/dbraw/zinc/34/42/09/598344209.db2.gz ZTQLAAGZWLSHPR-UHFFFAOYSA-N -1 1 311.353 1.642 20 0 DDADMM CCN(CC)c1ccc(Nc2nccnc2-c2nn[n-]n2)cn1 ZINC000736533352 598344210 /nfs/dbraw/zinc/34/42/10/598344210.db2.gz ZTQLAAGZWLSHPR-UHFFFAOYSA-N -1 1 311.353 1.642 20 0 DDADMM CCn1c2cccc(F)c2nc1Cn1cnc(-c2nn[n-]n2)n1 ZINC000736713198 598644579 /nfs/dbraw/zinc/64/45/79/598644579.db2.gz HSVVXSUQKNDZJU-UHFFFAOYSA-N -1 1 313.300 1.015 20 0 DDADMM COc1cccc([C@@H](CO)Nc2cccc(-c3nnn[n-]3)n2)c1 ZINC000821863961 599582677 /nfs/dbraw/zinc/58/26/77/599582677.db2.gz SAYPQTLLCLIXEA-CYBMUJFWSA-N -1 1 312.333 1.416 20 0 DDADMM COc1cccc([C@@H](CO)Nc2cccc(-c3nn[n-]n3)n2)c1 ZINC000821863961 599582680 /nfs/dbraw/zinc/58/26/80/599582680.db2.gz SAYPQTLLCLIXEA-CYBMUJFWSA-N -1 1 312.333 1.416 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]2CCCC[C@H]2[N@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC000739559331 599714013 /nfs/dbraw/zinc/71/40/13/599714013.db2.gz AYLQWKOHZGKSLD-RTXFEEFZSA-N -1 1 316.361 1.240 20 0 DDADMM Cc1ccc(C)n1C1CCN([C@@H](C)C(=O)NCC(=O)[O-])CC1 ZINC000738578232 599714543 /nfs/dbraw/zinc/71/45/43/599714543.db2.gz BERWWUUERMIOTO-ZDUSSCGKSA-N -1 1 307.394 1.331 20 0 DDADMM O=C([O-])CSCCN[C@@H](C(=O)NC1CC1)c1ccccc1 ZINC000739864605 599896520 /nfs/dbraw/zinc/89/65/20/599896520.db2.gz LKYMLSGRYIIFHE-CQSZACIVSA-N -1 1 308.403 1.414 20 0 DDADMM Cc1ccc(N2CCCN(Cc3cc(C(=O)[O-])co3)CC2)nn1 ZINC000738614192 599915211 /nfs/dbraw/zinc/91/52/11/599915211.db2.gz GBPBPWUZWROJBM-UHFFFAOYSA-N -1 1 316.361 1.789 20 0 DDADMM Cc1ccnc(NC[C@H]2CCN(c3ccc(C(=O)[O-])nn3)C2)c1 ZINC000738797546 599958333 /nfs/dbraw/zinc/95/83/33/599958333.db2.gz CFCUNSHWHZUNNQ-GFCCVEGCSA-N -1 1 313.361 1.817 20 0 DDADMM Cc1cc(NS(=O)(=O)c2c(C)oc(C)c2C(=O)[O-])n(C)n1 ZINC000037300451 600052980 /nfs/dbraw/zinc/05/29/80/600052980.db2.gz DCQGTMNJNVSTSV-UHFFFAOYSA-N -1 1 313.335 1.437 20 0 DDADMM Cc1cc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2C)n(C)n1 ZINC000315146215 600053071 /nfs/dbraw/zinc/05/30/71/600053071.db2.gz UOEIIHRDJDZYRP-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM CCC[C@@](C)(NCC(=O)NC(=O)Nc1ccccc1)C(=O)[O-] ZINC000736963612 600152754 /nfs/dbraw/zinc/15/27/54/600152754.db2.gz JVSDXIGHHRDQRH-OAHLLOKOSA-N -1 1 307.350 1.568 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NC[C@H](Cc1ccc(O)cc1)C(=O)[O-] ZINC000737518510 600180932 /nfs/dbraw/zinc/18/09/32/600180932.db2.gz PRCORYUAFJEXDG-DZGCQCFKSA-N -1 1 320.389 1.236 20 0 DDADMM CCCn1c(=O)[nH]nc1SCc1noc(CCC(=O)[O-])n1 ZINC000737050090 600184276 /nfs/dbraw/zinc/18/42/76/600184276.db2.gz IKFBZZLLGAKDEK-UHFFFAOYSA-N -1 1 313.339 1.086 20 0 DDADMM C[C@@H]1CN(Cc2ccccc2)CCN1Cn1cnc(C(=O)[O-])n1 ZINC000738217237 600281197 /nfs/dbraw/zinc/28/11/97/600281197.db2.gz NJSGMPTZPJKEJC-CYBMUJFWSA-N -1 1 315.377 1.140 20 0 DDADMM O=C(CN1CC[C@@H](c2ccco2)C1)NC1(C(=O)[O-])CCCCC1 ZINC000739277651 600325669 /nfs/dbraw/zinc/32/56/69/600325669.db2.gz FEKRMYWSHMKBDE-CYBMUJFWSA-N -1 1 320.389 1.973 20 0 DDADMM COc1cc(NC(=O)CN(CCC(=O)[O-])C(C)C)cc(OC)c1 ZINC000737892892 600395484 /nfs/dbraw/zinc/39/54/84/600395484.db2.gz RXVKMOPADUILMJ-UHFFFAOYSA-N -1 1 324.377 1.827 20 0 DDADMM C[C@H]1SCCN(CC(=O)N2CCC(C(=O)[O-])CC2)[C@H]1C ZINC000166997368 600489244 /nfs/dbraw/zinc/48/92/44/600489244.db2.gz HXXWGHQWCMUSMB-WDEREUQCSA-N -1 1 300.424 1.135 20 0 DDADMM CCCc1ccc(S(=O)(=O)Nc2cnn(CC(=O)[O-])c2)cc1 ZINC000315067335 600529052 /nfs/dbraw/zinc/52/90/52/600529052.db2.gz IAJPACNLNHDNSZ-UHFFFAOYSA-N -1 1 323.374 1.721 20 0 DDADMM O=C([O-])[C@H]1CCN(CN2C(=O)OC[C@@H]2Cc2ccccc2)C1 ZINC000739599649 600557212 /nfs/dbraw/zinc/55/72/12/600557212.db2.gz YYBAGZOJSOVHSF-KBPBESRZSA-N -1 1 304.346 1.414 20 0 DDADMM COCCN1CC[C@H](NC(=O)c2ccccc2NC(=O)[O-])C1 ZINC000737811333 600589963 /nfs/dbraw/zinc/58/99/63/600589963.db2.gz LDXJACTXHUTXKZ-NSHDSACASA-N -1 1 307.350 1.227 20 0 DDADMM Cc1ccsc1CCCC(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000826316539 607460249 /nfs/dbraw/zinc/46/02/49/607460249.db2.gz RJYYRZFDFMUUBL-UHFFFAOYSA-N -1 1 317.378 1.678 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN(C)CCc1cccs1)C(=O)[O-] ZINC000828707631 600847552 /nfs/dbraw/zinc/84/75/52/600847552.db2.gz HLVRBVJJBCESHR-FZMZJTMJSA-N -1 1 312.435 1.838 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CCSC[C@H]1CC(=O)[O-] ZINC000251582020 601012348 /nfs/dbraw/zinc/01/23/48/601012348.db2.gz CITQYIDKUQPJJR-CHWSQXEVSA-N -1 1 314.451 1.670 20 0 DDADMM CC[C@@H](CO)N1CCN([C@@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000828890261 601035245 /nfs/dbraw/zinc/03/52/45/601035245.db2.gz AAKDQXGBFQESPC-LSDHHAIUSA-N -1 1 310.369 1.340 20 0 DDADMM COc1ccccc1CN(C)C(=O)CN[C@](C)(C(=O)[O-])C1CC1 ZINC000832613734 601040670 /nfs/dbraw/zinc/04/06/70/601040670.db2.gz PMBZXRLYEQIZQS-KRWDZBQOSA-N -1 1 320.389 1.497 20 0 DDADMM Cc1nc([C@@H]2CN(Cc3ccc(F)cc3C(=O)[O-])CCO2)n[nH]1 ZINC000738824416 601106350 /nfs/dbraw/zinc/10/63/50/601106350.db2.gz VYJXVGGMWDESBW-ZDUSSCGKSA-N -1 1 320.324 1.524 20 0 DDADMM Cc1nnc(SCC(=O)Nc2ccc(C)c(C(=O)[O-])c2)[nH]1 ZINC000832991089 601109612 /nfs/dbraw/zinc/10/96/12/601109612.db2.gz QGKGOAWKBMKACJ-UHFFFAOYSA-N -1 1 306.347 1.851 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2ccc(C)c(C(=O)[O-])c2)n1 ZINC000832991089 601109613 /nfs/dbraw/zinc/10/96/13/601109613.db2.gz QGKGOAWKBMKACJ-UHFFFAOYSA-N -1 1 306.347 1.851 20 0 DDADMM CN(CC(=O)NCCC(=O)[O-])[C@H]1CCCN(c2ccccc2)C1 ZINC000830674262 601170733 /nfs/dbraw/zinc/17/07/33/601170733.db2.gz HRIKKYVTBJUIPR-HNNXBMFYSA-N -1 1 319.405 1.178 20 0 DDADMM CN(CC(=O)NCCC(=O)[O-])[C@@H]1CCCN(c2ccccc2)C1 ZINC000830674263 601170756 /nfs/dbraw/zinc/17/07/56/601170756.db2.gz HRIKKYVTBJUIPR-OAHLLOKOSA-N -1 1 319.405 1.178 20 0 DDADMM CN(C)[C@@H]1CCN(S(=O)(=O)c2ccc(/C=C/C(=O)[O-])cc2)C1 ZINC000316030423 601235372 /nfs/dbraw/zinc/23/53/72/601235372.db2.gz HHOQZYCBLJAFLA-OQHXTRMZSA-N -1 1 324.402 1.109 20 0 DDADMM CCC[C@](C)(NCc1ccnc(-c2nnn[n-]2)c1)C(=O)OC ZINC000825232657 607500774 /nfs/dbraw/zinc/50/07/74/607500774.db2.gz JFDXFYYUYSQJHG-AWEZNQCLSA-N -1 1 304.354 1.083 20 0 DDADMM CCC[C@](C)(NCc1ccnc(-c2nn[n-]n2)c1)C(=O)OC ZINC000825232657 607500776 /nfs/dbraw/zinc/50/07/76/607500776.db2.gz JFDXFYYUYSQJHG-AWEZNQCLSA-N -1 1 304.354 1.083 20 0 DDADMM COC(=O)c1nn(CN2CCC[C@H](C(=O)[O-])C2)c2ccccc21 ZINC000831471534 601401781 /nfs/dbraw/zinc/40/17/81/601401781.db2.gz UTPVMICLNZPCOY-NSHDSACASA-N -1 1 317.345 1.577 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCN(C[C@H](C)O)[C@H](C)C1 ZINC000830375527 601417567 /nfs/dbraw/zinc/41/75/67/601417567.db2.gz OZWFTAWNLTXJNQ-NEPJUHHUSA-N -1 1 310.394 1.427 20 0 DDADMM O=C([O-])C[C@@H]1CN(CCC(=O)Nc2cccc(F)c2)CCO1 ZINC000316815122 601453749 /nfs/dbraw/zinc/45/37/49/601453749.db2.gz OQIZJKWDUWNVOK-CYBMUJFWSA-N -1 1 310.325 1.330 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1C[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000315592952 601521153 /nfs/dbraw/zinc/52/11/53/601521153.db2.gz OMWJJSOHNMAYHR-RYUDHWBXSA-N -1 1 306.362 1.595 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN2C[C@H](C(=O)[O-])[C@H](C)C2)cc1 ZINC000831399514 601521757 /nfs/dbraw/zinc/52/17/57/601521757.db2.gz SEDGWKLRWVKLRO-MFKMUULPSA-N -1 1 320.345 1.064 20 0 DDADMM CSc1ccc(NC(=O)CN2C[C@H](C(=O)[O-])[C@H](C)C2)cc1 ZINC000832780742 601524655 /nfs/dbraw/zinc/52/46/55/601524655.db2.gz CJZBSDHDFZLFAJ-MFKMUULPSA-N -1 1 308.403 2.000 20 0 DDADMM C[C@@H](C(=O)[O-])[C@@H](NC(=O)CCc1nc[nH]n1)c1ccccc1 ZINC000826031671 601608801 /nfs/dbraw/zinc/60/88/01/601608801.db2.gz RFDYNVLTMYMBLC-QMTHXVAHSA-N -1 1 302.334 1.316 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CCC[C@@H]3COCC[C@@H]32)cc1 ZINC000833104681 601662323 /nfs/dbraw/zinc/66/23/23/601662323.db2.gz DBGMFCDTBSFFQA-HIFRSBDPSA-N -1 1 318.373 1.824 20 0 DDADMM C[C@@H]1CN(CN2C(=O)CN(c3ccccc3)C2=O)C[C@@H]1C(=O)[O-] ZINC000828451104 601815368 /nfs/dbraw/zinc/81/53/68/601815368.db2.gz SHIBAOVSWUSGSH-YPMHNXCESA-N -1 1 317.345 1.065 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)Sc1c[nH]nn1 ZINC000832914293 601897131 /nfs/dbraw/zinc/89/71/31/601897131.db2.gz BJORWFSTKBWEQS-QMMMGPOBSA-N -1 1 306.347 1.931 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CC(=O)Nc2ccnn2C2CCCC2)C1 ZINC000828024836 601912793 /nfs/dbraw/zinc/91/27/93/601912793.db2.gz YBWGQVSYNYHAJX-MRXNPFEDSA-N -1 1 320.393 1.733 20 0 DDADMM Cc1cc(NC(=O)CN(C2CC2)C2CCN(C(=O)[O-])CC2)on1 ZINC000740083866 601932845 /nfs/dbraw/zinc/93/28/45/601932845.db2.gz HFHIBKYXAZPWQX-UHFFFAOYSA-N -1 1 322.365 1.528 20 0 DDADMM O=C([O-])c1cc(Cl)cc(NC(=O)NCCc2nc[nH]n2)c1 ZINC000147529625 601946600 /nfs/dbraw/zinc/94/66/00/601946600.db2.gz CHAXCJKNENBCNI-UHFFFAOYSA-N -1 1 309.713 1.521 20 0 DDADMM C[C@@H](C(=O)NCCC1=CCCCC1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738629230 601951679 /nfs/dbraw/zinc/95/16/79/601951679.db2.gz NPXBKCSGDPTZPT-ZFWWWQNUSA-N -1 1 323.437 1.971 20 0 DDADMM C[C@@H](C(=O)N1CCCCCC1)N1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738618917 601974185 /nfs/dbraw/zinc/97/41/85/601974185.db2.gz VAQJGMJAUWSZCB-KBPBESRZSA-N -1 1 311.426 1.852 20 0 DDADMM NC(=O)[C@@H](NCC1(NC(=O)[O-])CCCCC1)c1ccc(F)cc1 ZINC000740325524 602046754 /nfs/dbraw/zinc/04/67/54/602046754.db2.gz VFMRDYDWIJDSIB-ZDUSSCGKSA-N -1 1 323.368 1.912 20 0 DDADMM CC(C)C[C@@H]1CCC(=O)N(CN2CCC[C@@H](C(=O)[O-])C2)C1=O ZINC000826832554 602082331 /nfs/dbraw/zinc/08/23/31/602082331.db2.gz UAJOWBKUTHLBKT-QWHCGFSZSA-N -1 1 310.394 1.552 20 0 DDADMM CCO[C@H](C)c1nc(Cn2ccnc2-c2nn[n-]n2)cs1 ZINC000825577616 608012870 /nfs/dbraw/zinc/01/28/70/608012870.db2.gz YAOWYNVHPCKSEU-MRVPVSSYSA-N -1 1 305.367 1.666 20 0 DDADMM CC(C)(C)n1cnnc1SCc1ccnc(-c2nnn[n-]2)c1 ZINC000824152615 607545272 /nfs/dbraw/zinc/54/52/72/607545272.db2.gz KVFYQLUTNKZBPH-UHFFFAOYSA-N -1 1 316.394 1.901 20 0 DDADMM CC(C)(C)n1cnnc1SCc1ccnc(-c2nn[n-]n2)c1 ZINC000824152615 607545275 /nfs/dbraw/zinc/54/52/75/607545275.db2.gz KVFYQLUTNKZBPH-UHFFFAOYSA-N -1 1 316.394 1.901 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)N1CCC(CCN2CCOCC2)CC1 ZINC000827247950 602131845 /nfs/dbraw/zinc/13/18/45/602131845.db2.gz SESYPCDGKPDLKG-CYBMUJFWSA-N -1 1 312.410 1.058 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H]3CCC[C@H](C(=O)[O-])C3)C2)n[nH]1 ZINC000832996832 602242068 /nfs/dbraw/zinc/24/20/68/602242068.db2.gz HHHRRFDWNILQHI-FRRDWIJNSA-N -1 1 320.393 1.710 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCCN1CC(=O)N1CCC[C@@H](C)C1 ZINC000739101043 602275840 /nfs/dbraw/zinc/27/58/40/602275840.db2.gz BAFXQGWXCHUUTK-MCIONIFRSA-N -1 1 311.426 1.756 20 0 DDADMM CCCN1CCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]3N2C(=O)[O-])CC1 ZINC000739361939 602300541 /nfs/dbraw/zinc/30/05/41/602300541.db2.gz BERYOTUNUQPJGY-ZNMIVQPWSA-N -1 1 323.437 1.852 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC(CN(C)C(=O)[O-])CC2)n[nH]1 ZINC000738872550 602367225 /nfs/dbraw/zinc/36/72/25/602367225.db2.gz GLRDXQPILZYPIT-UHFFFAOYSA-N -1 1 308.382 1.995 20 0 DDADMM COC(=O)c1oc(CN2CC[C@@H](CN(C)C(=O)[O-])C2)cc1C ZINC000739794055 602529761 /nfs/dbraw/zinc/52/97/61/602529761.db2.gz UFUYLRYGSMGLAD-NSHDSACASA-N -1 1 310.350 1.806 20 0 DDADMM Cc1ncc(C(=O)N2CCC[C@@H]([C@@H]3CCCN3C(=O)[O-])C2)[nH]1 ZINC000740206627 602589917 /nfs/dbraw/zinc/58/99/17/602589917.db2.gz JCCLEXXSOCWWOS-YPMHNXCESA-N -1 1 306.366 1.713 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CCC(NC(=O)[O-])CC1 ZINC000739609618 602684163 /nfs/dbraw/zinc/68/41/63/602684163.db2.gz WKJKDBNLHJSTBI-OAHLLOKOSA-N -1 1 319.405 1.418 20 0 DDADMM CCN1CCC[C@@H](NC(=O)c2csc(CNC(=O)[O-])n2)C1 ZINC000739453160 602712579 /nfs/dbraw/zinc/71/25/79/602712579.db2.gz DCMYXLMDWXQVMW-SECBINFHSA-N -1 1 312.395 1.125 20 0 DDADMM C[C@H](C(=O)NC1CCCCC1)N1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000739180147 602783066 /nfs/dbraw/zinc/78/30/66/602783066.db2.gz XXWJGMVGLAREOD-YRGRVCCFSA-N -1 1 311.426 1.802 20 0 DDADMM C[C@@H]1CN(C(=O)Cc2n[nH]c3ccccc32)C[C@@H](C)N1C(=O)[O-] ZINC000739138085 602801279 /nfs/dbraw/zinc/80/12/79/602801279.db2.gz RMHGPJNYCOXBCE-GHMZBOCLSA-N -1 1 316.361 1.705 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)CCC(C)(C)NC(=O)[O-] ZINC000739200938 602809150 /nfs/dbraw/zinc/80/91/50/602809150.db2.gz VKEGVHBTIKTRIY-STQMWFEESA-N -1 1 311.426 1.898 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)COCc2ccco2)C[C@@H](C)N1C(=O)[O-] ZINC000739180257 602875070 /nfs/dbraw/zinc/87/50/70/602875070.db2.gz OGCBZGNFBZDUCS-JHJVBQTASA-N -1 1 312.366 1.230 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc2n[nH]cc2c1 ZINC000826467010 607594788 /nfs/dbraw/zinc/59/47/88/607594788.db2.gz CVYZZWHKWUMOFX-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM O=C([O-])N1CCCC[C@@H](NC(=O)N2CCN(C3CCC3)CC2)C1 ZINC000740517047 602977766 /nfs/dbraw/zinc/97/77/66/602977766.db2.gz CCEWZGCJUQLMJG-CYBMUJFWSA-N -1 1 324.425 1.399 20 0 DDADMM CCN(CCNC(=O)N1C[C@@H]2CCCCN2C[C@H]1C)C(=O)[O-] ZINC000739427157 602994171 /nfs/dbraw/zinc/99/41/71/602994171.db2.gz PFXWLLDNGVKOAP-OLZOCXBDSA-N -1 1 312.414 1.255 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@H]1CCC[C@@H]1NC(=O)[O-] ZINC000739199058 603003556 /nfs/dbraw/zinc/00/35/56/603003556.db2.gz OALIOZIYWCDIFX-MQYQWHSLSA-N -1 1 309.410 1.508 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)C1CCC(=O)CC1 ZINC000826480181 607613493 /nfs/dbraw/zinc/61/34/93/607613493.db2.gz SWMZXDMCNXSSOI-UHFFFAOYSA-N -1 1 301.306 1.064 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)C1CCC(=O)CC1 ZINC000826480181 607613494 /nfs/dbraw/zinc/61/34/94/607613494.db2.gz SWMZXDMCNXSSOI-UHFFFAOYSA-N -1 1 301.306 1.064 20 0 DDADMM Cc1nc(CN2CCN(C[C@H]3CCN(C(=O)[O-])C3)CC2)oc1C ZINC000740218181 603336544 /nfs/dbraw/zinc/33/65/44/603336544.db2.gz HZQJNDMADULJQW-CQSZACIVSA-N -1 1 322.409 1.409 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)NCC(C)(C)NC(=O)[O-] ZINC000824164901 603453929 /nfs/dbraw/zinc/45/39/29/603453929.db2.gz RAHPUXSKIKITKY-LLVKDONJSA-N -1 1 300.403 1.014 20 0 DDADMM CCC[C@@](C)(NC(=O)[O-])C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000826711644 603548725 /nfs/dbraw/zinc/54/87/25/603548725.db2.gz DRJXUBNIJJUDRY-OAHLLOKOSA-N -1 1 308.382 1.942 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC000827864385 603555647 /nfs/dbraw/zinc/55/56/47/603555647.db2.gz DNXSINZNHLNSIF-GFCCVEGCSA-N -1 1 314.345 1.901 20 0 DDADMM CC[C@H]1CN2CCCC[C@H]2CN1C(=O)C1CCN(C(=O)[O-])CC1 ZINC000826690472 603557160 /nfs/dbraw/zinc/55/71/60/603557160.db2.gz LDECETYHMXKLBL-GJZGRUSLSA-N -1 1 323.437 1.852 20 0 DDADMM C[C@H]1OC(C)(C)N(C(=O)[O-])[C@H]1CNCc1cn2ccccc2n1 ZINC000826126679 603565106 /nfs/dbraw/zinc/56/51/06/603565106.db2.gz PODOPNNWAHLMHW-YPMHNXCESA-N -1 1 318.377 1.927 20 0 DDADMM O=C([O-])N1CCN2C(=O)N(CN3CCC4(CCCC4)C3)C[C@H]2C1 ZINC000832043688 603608483 /nfs/dbraw/zinc/60/84/83/603608483.db2.gz ZZYQQOHPZLMSAW-CYBMUJFWSA-N -1 1 322.409 1.310 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@H]1CC[C@@H](NC(=O)[O-])C1 ZINC000826086659 603629720 /nfs/dbraw/zinc/62/97/20/603629720.db2.gz AGYXTSSANYAQOV-XJFOESAGSA-N -1 1 309.410 1.508 20 0 DDADMM C[C@H](C(=O)Nc1ncccn1)N(C)CC(C)(C)CNC(=O)[O-] ZINC000823722572 603630536 /nfs/dbraw/zinc/63/05/36/603630536.db2.gz GODDDKHILPANHD-SNVBAGLBSA-N -1 1 309.370 1.029 20 0 DDADMM CC(C)[C@@H](CCN(C)C(=O)N[C@@H]1CCCN(C)C1)NC(=O)[O-] ZINC000824084894 603632538 /nfs/dbraw/zinc/63/25/38/603632538.db2.gz NEWHJMZPFOXNLS-CHWSQXEVSA-N -1 1 314.430 1.404 20 0 DDADMM C[C@H](C(=O)N(C)Cc1nnc[nH]1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823655784 603644842 /nfs/dbraw/zinc/64/48/42/603644842.db2.gz VXDZQCPBKMVVOR-GXFFZTMASA-N -1 1 317.349 1.408 20 0 DDADMM O=C([O-])NCC1(C(=O)N2CCC(c3nc[nH]n3)CC2)CCCC1 ZINC000832433482 603703047 /nfs/dbraw/zinc/70/30/47/603703047.db2.gz QRYANDXMPLDQEX-UHFFFAOYSA-N -1 1 321.381 1.339 20 0 DDADMM C[C@H]1CCCN(Cc2cnc3ccnn3c2)[C@@H]1CNC(=O)[O-] ZINC000825924272 603717760 /nfs/dbraw/zinc/71/77/60/603717760.db2.gz GIIQPLORZKOYHB-WCQYABFASA-N -1 1 303.366 1.597 20 0 DDADMM CC(C)C(=O)Nc1ccc(-c2nc(CNC(=O)[O-])n[nH]2)cc1 ZINC000824043983 603734021 /nfs/dbraw/zinc/73/40/21/603734021.db2.gz NUSGPMKZMPJOFV-UHFFFAOYSA-N -1 1 303.322 1.834 20 0 DDADMM CC(C)C(=O)Nc1ccc(-c2n[nH]c(CNC(=O)[O-])n2)cc1 ZINC000824043983 603734025 /nfs/dbraw/zinc/73/40/25/603734025.db2.gz NUSGPMKZMPJOFV-UHFFFAOYSA-N -1 1 303.322 1.834 20 0 DDADMM CC(C)[C@H](CCN(C)CC(=O)N1CCC[C@@H](C)C1)NC(=O)[O-] ZINC000825906889 603824200 /nfs/dbraw/zinc/82/42/00/603824200.db2.gz UUIPCULGHDCDRM-KGLIPLIRSA-N -1 1 313.442 1.859 20 0 DDADMM Cc1cc(CNC(=O)CC2C[C@H]3CC[C@@H](C2)N3C(=O)[O-])[nH]n1 ZINC000830041737 603895040 /nfs/dbraw/zinc/89/50/40/603895040.db2.gz RWAKXCJNCBFLMF-VGPLMAKISA-N -1 1 306.366 1.645 20 0 DDADMM O=C([O-])N1CCC[C@H]1CC(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000831333309 603937906 /nfs/dbraw/zinc/93/79/06/603937906.db2.gz DQAOJDZPJXTTDX-JTQLQIEISA-N -1 1 320.324 1.851 20 0 DDADMM Cc1n[nH]c(C)c1NC(=O)CN(C)C1CCN(C(=O)[O-])CC1 ZINC000830671681 603992738 /nfs/dbraw/zinc/99/27/38/603992738.db2.gz DHOYMAVSHBJDOU-UHFFFAOYSA-N -1 1 309.370 1.039 20 0 DDADMM C[C@H](NC(=O)[C@@H]1CCCCCC[C@H]1NC(=O)[O-])c1nnc[nH]1 ZINC000825095748 603995471 /nfs/dbraw/zinc/99/54/71/603995471.db2.gz XWRKPHRYWFSCKE-HBNTYKKESA-N -1 1 309.370 1.589 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1CCN1C(=O)c2ccccc2C1=O ZINC000832572804 604071668 /nfs/dbraw/zinc/07/16/68/604071668.db2.gz HNPQIDBCBYFFOG-LLVKDONJSA-N -1 1 317.345 1.015 20 0 DDADMM CCN(Cc1cccc(NC(=O)CCc2nc[nH]n2)c1)C(=O)[O-] ZINC000827166205 604149497 /nfs/dbraw/zinc/14/94/97/604149497.db2.gz AGGHVVVNJCMPOQ-UHFFFAOYSA-N -1 1 317.349 1.876 20 0 DDADMM C[C@@H](NC(=O)[O-])c1ccc(NC(=O)CCc2nc[nH]n2)cc1 ZINC000825423344 604155568 /nfs/dbraw/zinc/15/55/68/604155568.db2.gz PBFRQUPSDOCKAZ-SECBINFHSA-N -1 1 303.322 1.705 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)N2CCC[C@@H](C)C2)C1 ZINC000825904400 604166528 /nfs/dbraw/zinc/16/65/28/604166528.db2.gz FURHQVPMQPULDZ-HZSPNIEDSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)NCCC(C)(C)C)C1 ZINC000825254594 604225270 /nfs/dbraw/zinc/22/52/70/604225270.db2.gz MZBHDKAHGROFPB-QWHCGFSZSA-N -1 1 313.442 1.907 20 0 DDADMM COc1cc(CN(C)CCNC(=O)[O-])ccc1OC(F)F ZINC000829116227 604358026 /nfs/dbraw/zinc/35/80/26/604358026.db2.gz UDPRMANCVMCVEE-UHFFFAOYSA-N -1 1 304.293 1.996 20 0 DDADMM Cc1cc([C@H](C)N2CCO[C@H](c3n[nH]c(C)n3)C2)oc1C(=O)[O-] ZINC000832991265 604385299 /nfs/dbraw/zinc/38/52/99/604385299.db2.gz LDGIKYXDTPOAQS-CABZTGNLSA-N -1 1 320.349 1.847 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CC[C@@H](NC(=O)[O-])C2)o1 ZINC000739411238 604471395 /nfs/dbraw/zinc/47/13/95/604471395.db2.gz REMLVIDIEXTCCC-LLVKDONJSA-N -1 1 309.366 1.604 20 0 DDADMM CC(=O)N[C@H]1CCCN(Cc2ccc(O[C@H](C)C(=O)[O-])cc2)C1 ZINC000833396066 604533575 /nfs/dbraw/zinc/53/35/75/604533575.db2.gz DHUMSICRMRAWMC-DOMZBBRYSA-N -1 1 320.389 1.639 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1C(=O)CCc1ccc(C(=O)[O-])cc1 ZINC000833585005 604838640 /nfs/dbraw/zinc/83/86/40/604838640.db2.gz YLRDDPKHTGMKMB-QWHCGFSZSA-N -1 1 304.390 1.868 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1CN1C(=O)CC2(CCCCC2)C1=O ZINC000833211482 604977100 /nfs/dbraw/zinc/97/71/00/604977100.db2.gz ZKVOWECXBALUIS-LBPRGKRZSA-N -1 1 308.378 1.592 20 0 DDADMM CC(C)C[C@H](C)N(C)C(=O)CN1CCC([C@@H](O)C(=O)[O-])CC1 ZINC000833474371 604980417 /nfs/dbraw/zinc/98/04/17/604980417.db2.gz PRRHPQFQIURFDR-SWLSCSKDSA-N -1 1 314.426 1.037 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)Nc2cc(C(=O)[O-])n(C)c2)n[nH]1 ZINC000833512867 605020813 /nfs/dbraw/zinc/02/08/13/605020813.db2.gz VQALPVDBWZPHQO-QMMMGPOBSA-N -1 1 320.353 1.848 20 0 DDADMM CC(C)Oc1cccc([C@H](C)N[C@@H](C)C(=O)NCC(=O)[O-])c1 ZINC000833507167 605070475 /nfs/dbraw/zinc/07/04/75/605070475.db2.gz XEJYLYUXUBTXKR-RYUDHWBXSA-N -1 1 308.378 1.714 20 0 DDADMM CCc1ccc(C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)cc1 ZINC000833669334 605103816 /nfs/dbraw/zinc/10/38/16/605103816.db2.gz GAPCPJGCQHBWRF-CYBMUJFWSA-N -1 1 304.390 1.870 20 0 DDADMM CC(C)CO[C@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833490227 605108633 /nfs/dbraw/zinc/10/86/33/605108633.db2.gz QVEBQKDAFFZJJY-CHWSQXEVSA-N -1 1 300.399 1.055 20 0 DDADMM Cc1cc(F)cc(C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)c1 ZINC000833744646 605116426 /nfs/dbraw/zinc/11/64/26/605116426.db2.gz GJRJNDCMQLMDDQ-GFCCVEGCSA-N -1 1 308.353 1.755 20 0 DDADMM C[C@@H](CN(CN1C[C@H](c2cccnc2)CC1=O)C1CC1)C(=O)[O-] ZINC000833516009 605120583 /nfs/dbraw/zinc/12/05/83/605120583.db2.gz DJPHLPKHMCUHCF-GXTWGEPZSA-N -1 1 317.389 1.540 20 0 DDADMM CC(C)C[C@H](NC(=O)CN[C@H](C)c1cccc(O)c1)C(=O)[O-] ZINC000833481309 605121309 /nfs/dbraw/zinc/12/13/09/605121309.db2.gz LUWRBSUITRBJSO-RISCZKNCSA-N -1 1 308.378 1.658 20 0 DDADMM CC(C)C[C@@H](NC(=O)CN[C@@H](C)c1cccc(O)c1)C(=O)[O-] ZINC000833481310 605121391 /nfs/dbraw/zinc/12/13/91/605121391.db2.gz LUWRBSUITRBJSO-SMDDNHRTSA-N -1 1 308.378 1.658 20 0 DDADMM CCN1C[C@H](C)N(C(=O)NCC2(C(=O)[O-])CCCC2)C[C@@H]1C ZINC000833656169 605123771 /nfs/dbraw/zinc/12/37/71/605123771.db2.gz ICGWQQBNTUQMOS-STQMWFEESA-N -1 1 311.426 1.756 20 0 DDADMM O=C([O-])N[C@H](C(=O)N[C@H]1CCCc2cn[nH]c21)c1ccccc1 ZINC000832790452 605127315 /nfs/dbraw/zinc/12/73/15/605127315.db2.gz WVIBJTQXGSZIHC-JSGCOSHPSA-N -1 1 314.345 1.912 20 0 DDADMM COC(=O)c1cc(CN2CCOCC[C@@H]2C)cc(C(=O)[O-])c1 ZINC000833712966 605203653 /nfs/dbraw/zinc/20/36/53/605203653.db2.gz PHEVWODLVMLRNQ-NSHDSACASA-N -1 1 307.346 1.782 20 0 DDADMM C[C@@H]1C[C@@H](N(C)S(=O)(=O)c2cc(C(=O)[O-])co2)CCN1C ZINC000833594932 605205669 /nfs/dbraw/zinc/20/56/69/605205669.db2.gz BZSTTXSJOFSZKE-KOLCDFICSA-N -1 1 316.379 1.081 20 0 DDADMM Cc1cc(C(=O)N2CCN(CCC(=O)[O-])[C@H](C)C2)ccc1F ZINC000833742197 605255293 /nfs/dbraw/zinc/25/52/93/605255293.db2.gz HYQUQFWFJFLBEF-GFCCVEGCSA-N -1 1 308.353 1.755 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCC[C@@H](OC)CC1)C(=O)[O-] ZINC000833640294 605272254 /nfs/dbraw/zinc/27/22/54/605272254.db2.gz WSCYOKIIGHYPCS-SCRDCRAPSA-N -1 1 300.399 1.103 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N[C@H]2CCC[C@@H]2CNC(=O)[O-])n[nH]1 ZINC000830036538 605294269 /nfs/dbraw/zinc/29/42/69/605294269.db2.gz SVTPPEYZTOHTBH-NDMJEZRESA-N -1 1 323.397 1.385 20 0 DDADMM C[C@H](C(=O)NC(=O)Nc1ccccc1)N(C)CCCNC(=O)[O-] ZINC000823678807 605467439 /nfs/dbraw/zinc/46/74/39/605467439.db2.gz VPSVGDJHDBYCHX-LLVKDONJSA-N -1 1 322.365 1.313 20 0 DDADMM O=C([O-])N1CCCN(Cc2ccccc2-n2cncn2)CC1 ZINC000834109442 605475745 /nfs/dbraw/zinc/47/57/45/605475745.db2.gz IKBLFZNTJJZGES-UHFFFAOYSA-N -1 1 301.350 1.453 20 0 DDADMM Cn1cc(CN2CC[C@H](NC(=O)[O-])C2)c(-c2ccncc2)n1 ZINC000833947160 605570676 /nfs/dbraw/zinc/57/06/76/605570676.db2.gz AOYVGKOBEHUFMM-ZDUSSCGKSA-N -1 1 301.350 1.324 20 0 DDADMM Cn1c(CN[C@H]2CCCN(C(=O)[O-])C2)nc2cc(F)ccc21 ZINC000833942247 605638988 /nfs/dbraw/zinc/63/89/88/605638988.db2.gz OFSZJBRJEJVOMG-NSHDSACASA-N -1 1 306.341 1.944 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC[C@H](CNC(=O)[O-])C2)n[nH]1 ZINC000830031274 605699070 /nfs/dbraw/zinc/69/90/70/605699070.db2.gz UQFMHBMKTBNVKH-CMPLNLGQSA-N -1 1 323.397 1.338 20 0 DDADMM O=C([O-])N1CC[C@H](N(Cc2cnc3ccnn3c2)C2CC2)C1 ZINC000834085238 605776906 /nfs/dbraw/zinc/77/69/06/605776906.db2.gz QQKZCXZNKAGCCI-ZDUSSCGKSA-N -1 1 301.350 1.446 20 0 DDADMM O=C([O-])N[C@@H]1C[C@H](NC[C@H](O)C(F)(F)F)c2ccccc21 ZINC000834157830 605794476 /nfs/dbraw/zinc/79/44/76/605794476.db2.gz INROIHCQIURLCH-AXFHLTTASA-N -1 1 304.268 1.953 20 0 DDADMM O=C([O-])Nc1ccccc1C(=O)N[C@H]1CCc2[nH]cnc2C1 ZINC000833288211 605832354 /nfs/dbraw/zinc/83/23/54/605832354.db2.gz BGQPPYGPVNMAFN-VIFPVBQESA-N -1 1 300.318 1.787 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NCCC1=CCCCC1 ZINC000833833449 605911774 /nfs/dbraw/zinc/91/17/74/605911774.db2.gz YGSCWMZZWCABAX-UKRRQHHQSA-N -1 1 323.437 1.971 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CN1C(=O)[C@H]2CCCCC[C@H]21 ZINC000833833990 605958094 /nfs/dbraw/zinc/95/80/94/605958094.db2.gz LQUPACOBKCMEFI-YIYPIFLZSA-N -1 1 309.410 1.713 20 0 DDADMM CC[C@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)C1CCOCC1 ZINC000820919245 606030945 /nfs/dbraw/zinc/03/09/45/606030945.db2.gz AHDKVOGWXJBFMN-LBPRGKRZSA-N -1 1 316.365 1.197 20 0 DDADMM CC[C@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)C1CCOCC1 ZINC000820919245 606030951 /nfs/dbraw/zinc/03/09/51/606030951.db2.gz AHDKVOGWXJBFMN-LBPRGKRZSA-N -1 1 316.365 1.197 20 0 DDADMM COCc1ccc(Sc2nccnc2-c2nn[n-]n2)cc1 ZINC000821696097 606142198 /nfs/dbraw/zinc/14/21/98/606142198.db2.gz WFHANXNFEZLRQB-UHFFFAOYSA-N -1 1 300.347 1.954 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCCc2c(F)cccc21 ZINC000823478661 606325401 /nfs/dbraw/zinc/32/54/01/606325401.db2.gz OBODHMZZUNSSSL-UHFFFAOYSA-N -1 1 324.319 1.994 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCCc2c(F)cccc21 ZINC000823478661 606325403 /nfs/dbraw/zinc/32/54/03/606325403.db2.gz OBODHMZZUNSSSL-UHFFFAOYSA-N -1 1 324.319 1.994 20 0 DDADMM C[C@H](Nc1cccc(-c2nnn[n-]2)n1)c1nnc2ccccn21 ZINC000820461260 606448507 /nfs/dbraw/zinc/44/85/07/606448507.db2.gz GLKGNUIHIODYFJ-VIFPVBQESA-N -1 1 307.321 1.478 20 0 DDADMM C[C@H](Nc1cccc(-c2nn[n-]n2)n1)c1nnc2ccccn21 ZINC000820461260 606448509 /nfs/dbraw/zinc/44/85/09/606448509.db2.gz GLKGNUIHIODYFJ-VIFPVBQESA-N -1 1 307.321 1.478 20 0 DDADMM Cn1cc(C(=O)Nc2n[nH]cc2-c2nnn[n-]2)c2ccccc21 ZINC000822669841 606532554 /nfs/dbraw/zinc/53/25/54/606532554.db2.gz RYQSTPVHMHIYMI-UHFFFAOYSA-N -1 1 308.305 1.334 20 0 DDADMM Cn1cc(C(=O)Nc2n[nH]cc2-c2nn[n-]n2)c2ccccc21 ZINC000822669841 606532556 /nfs/dbraw/zinc/53/25/56/606532556.db2.gz RYQSTPVHMHIYMI-UHFFFAOYSA-N -1 1 308.305 1.334 20 0 DDADMM O=C(NCc1cccc(CO)c1)c1ccc(-c2nnn[n-]2)s1 ZINC000823257496 606596869 /nfs/dbraw/zinc/59/68/69/606596869.db2.gz PDKXIBXRCQZPBL-UHFFFAOYSA-N -1 1 315.358 1.351 20 0 DDADMM O=C(NCc1cccc(CO)c1)c1ccc(-c2nn[n-]n2)s1 ZINC000823257496 606596870 /nfs/dbraw/zinc/59/68/70/606596870.db2.gz PDKXIBXRCQZPBL-UHFFFAOYSA-N -1 1 315.358 1.351 20 0 DDADMM Clc1nsc(N2CC[C@]3(CCOC3)C2)c1-c1nnn[n-]1 ZINC000822591815 606802139 /nfs/dbraw/zinc/80/21/39/606802139.db2.gz XBIPGSFKDRKSGY-NSHDSACASA-N -1 1 312.786 1.593 20 0 DDADMM Clc1nsc(N2CC[C@]3(CCOC3)C2)c1-c1nn[n-]n1 ZINC000822591815 606802141 /nfs/dbraw/zinc/80/21/41/606802141.db2.gz XBIPGSFKDRKSGY-NSHDSACASA-N -1 1 312.786 1.593 20 0 DDADMM Cc1nc(CCNc2cccc(-c3nnn[n-]3)n2)sc1C ZINC000822439884 606875582 /nfs/dbraw/zinc/87/55/82/606875582.db2.gz FANOTQOGMMSXMU-UHFFFAOYSA-N -1 1 301.379 1.990 20 0 DDADMM Cc1nc(CCNc2cccc(-c3nn[n-]n3)n2)sc1C ZINC000822439884 606875583 /nfs/dbraw/zinc/87/55/83/606875583.db2.gz FANOTQOGMMSXMU-UHFFFAOYSA-N -1 1 301.379 1.990 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCOC2(CCCC2)C1 ZINC000823478133 607071141 /nfs/dbraw/zinc/07/11/41/607071141.db2.gz HEDFUOVHCBUWPX-UHFFFAOYSA-N -1 1 314.349 1.047 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCOC2(CCCC2)C1 ZINC000823478133 607071142 /nfs/dbraw/zinc/07/11/42/607071142.db2.gz HEDFUOVHCBUWPX-UHFFFAOYSA-N -1 1 314.349 1.047 20 0 DDADMM CCOc1ccc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)cc1 ZINC000821418096 607106017 /nfs/dbraw/zinc/10/60/17/607106017.db2.gz VZLNDLCVYDAVEU-UHFFFAOYSA-N -1 1 316.346 1.974 20 0 DDADMM CCOc1ccc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)cc1 ZINC000821418096 607106019 /nfs/dbraw/zinc/10/60/19/607106019.db2.gz VZLNDLCVYDAVEU-UHFFFAOYSA-N -1 1 316.346 1.974 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCC2=CCCC2)n1 ZINC000826357154 607804225 /nfs/dbraw/zinc/80/42/25/607804225.db2.gz TYFQAARKDRYLDE-UHFFFAOYSA-N -1 1 302.342 1.222 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCC2=CCCC2)n1 ZINC000826357154 607804226 /nfs/dbraw/zinc/80/42/26/607804226.db2.gz TYFQAARKDRYLDE-UHFFFAOYSA-N -1 1 302.342 1.222 20 0 DDADMM CCC(CC)(CNc1cccc(-c2nnn[n-]2)n1)C(=O)OC ZINC000825089660 607903121 /nfs/dbraw/zinc/90/31/21/607903121.db2.gz KJPUDGQGXVBKPQ-UHFFFAOYSA-N -1 1 304.354 1.653 20 0 DDADMM CCC(CC)(CNc1cccc(-c2nn[n-]n2)n1)C(=O)OC ZINC000825089660 607903122 /nfs/dbraw/zinc/90/31/22/607903122.db2.gz KJPUDGQGXVBKPQ-UHFFFAOYSA-N -1 1 304.354 1.653 20 0 DDADMM CCO[C@H](C)c1nc(Cn2ccnc2-c2nnn[n-]2)cs1 ZINC000825577616 608012869 /nfs/dbraw/zinc/01/28/69/608012869.db2.gz YAOWYNVHPCKSEU-MRVPVSSYSA-N -1 1 305.367 1.666 20 0 DDADMM COc1ccc(CN(C)c2cccc(-c3nnn[n-]3)n2)cc1O ZINC000826193263 608016188 /nfs/dbraw/zinc/01/61/88/608016188.db2.gz XGUKDOUJVJSGGE-UHFFFAOYSA-N -1 1 312.333 1.612 20 0 DDADMM COc1ccc(CN(C)c2cccc(-c3nn[n-]n3)n2)cc1O ZINC000826193263 608016189 /nfs/dbraw/zinc/01/61/89/608016189.db2.gz XGUKDOUJVJSGGE-UHFFFAOYSA-N -1 1 312.333 1.612 20 0 DDADMM Cc1nnc([C@H](C)Nc2snc(Cl)c2-c2nnn[n-]2)o1 ZINC000826335626 608191262 /nfs/dbraw/zinc/19/12/62/608191262.db2.gz VGPKUQYXUORMBA-VKHMYHEASA-N -1 1 312.746 1.841 20 0 DDADMM Cc1nnc([C@H](C)Nc2snc(Cl)c2-c2nn[n-]n2)o1 ZINC000826335626 608191265 /nfs/dbraw/zinc/19/12/65/608191265.db2.gz VGPKUQYXUORMBA-VKHMYHEASA-N -1 1 312.746 1.841 20 0 DDADMM CCC1(CC)[C@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@H](C)[C@@H]1OC ZINC000825171403 608197101 /nfs/dbraw/zinc/19/71/01/608197101.db2.gz PAMOMIFDSDGYDP-BIMULSAOSA-N -1 1 317.397 1.908 20 0 DDADMM CCC1(CC)[C@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@H](C)[C@@H]1OC ZINC000825171403 608197103 /nfs/dbraw/zinc/19/71/03/608197103.db2.gz PAMOMIFDSDGYDP-BIMULSAOSA-N -1 1 317.397 1.908 20 0 DDADMM CC[C@H](Nc1cccc(-c2nnn[n-]2)n1)[C@@H](O)C(F)(F)F ZINC000825147941 608232107 /nfs/dbraw/zinc/23/21/07/608232107.db2.gz MVTCQSPTQROLIH-IMTBSYHQSA-N -1 1 302.260 1.375 20 0 DDADMM CC[C@H](Nc1cccc(-c2nn[n-]n2)n1)[C@@H](O)C(F)(F)F ZINC000825147941 608232108 /nfs/dbraw/zinc/23/21/08/608232108.db2.gz MVTCQSPTQROLIH-IMTBSYHQSA-N -1 1 302.260 1.375 20 0 DDADMM CC[C@H]1CC[C@@H](C)N1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000825195034 608265687 /nfs/dbraw/zinc/26/56/87/608265687.db2.gz UXIGLLUGLQDYDZ-BDAKNGLRSA-N -1 1 304.358 1.395 20 0 DDADMM CC[C@H]1CC[C@@H](C)N1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000825195034 608265688 /nfs/dbraw/zinc/26/56/88/608265688.db2.gz UXIGLLUGLQDYDZ-BDAKNGLRSA-N -1 1 304.358 1.395 20 0 DDADMM C[C@@H](Cn1ccnc1)Nc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000824465959 608365042 /nfs/dbraw/zinc/36/50/42/608365042.db2.gz SYSYNJUEYGHHBW-JTQLQIEISA-N -1 1 321.348 1.507 20 0 DDADMM C[C@@H](Cn1ccnc1)Nc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000824465959 608365043 /nfs/dbraw/zinc/36/50/43/608365043.db2.gz SYSYNJUEYGHHBW-JTQLQIEISA-N -1 1 321.348 1.507 20 0 DDADMM Clc1cccc2c1CCN(c1ccc(-c3nnn[n-]3)nn1)C2 ZINC000826353122 608421745 /nfs/dbraw/zinc/42/17/45/608421745.db2.gz CAQZWZVELXXVNH-UHFFFAOYSA-N -1 1 313.752 1.873 20 0 DDADMM Clc1cccc2c1CCN(c1ccc(-c3nn[n-]n3)nn1)C2 ZINC000826353122 608421747 /nfs/dbraw/zinc/42/17/47/608421747.db2.gz CAQZWZVELXXVNH-UHFFFAOYSA-N -1 1 313.752 1.873 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1N1CCN(c2ccccc2)CC1 ZINC000826280956 608541464 /nfs/dbraw/zinc/54/14/64/608541464.db2.gz XNUTXBRXMKULDX-UHFFFAOYSA-N -1 1 321.388 1.897 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000826280833 608544773 /nfs/dbraw/zinc/54/47/73/608544773.db2.gz RTZXIQRDWMFCIW-LLVKDONJSA-N -1 1 310.365 1.677 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cc(O)cc(O)c1 ZINC000826467323 608565518 /nfs/dbraw/zinc/56/55/18/608565518.db2.gz QVHKHXQEVYGGEE-UHFFFAOYSA-N -1 1 313.273 1.030 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cc(O)cc(O)c1 ZINC000826467323 608565521 /nfs/dbraw/zinc/56/55/21/608565521.db2.gz QVHKHXQEVYGGEE-UHFFFAOYSA-N -1 1 313.273 1.030 20 0 DDADMM CC(C)(C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cccnc1 ZINC000824064205 609391414 /nfs/dbraw/zinc/39/14/14/609391414.db2.gz BMVQRBPGCNNBLI-UHFFFAOYSA-N -1 1 324.344 1.884 20 0 DDADMM Cc1ncccc1OS(=O)(=O)c1ccccc1-c1nn[n-]n1 ZINC000826330727 609397652 /nfs/dbraw/zinc/39/76/52/609397652.db2.gz GBQHKWQCAQAYGB-UHFFFAOYSA-N -1 1 317.330 1.338 20 0 DDADMM CCC[C@H]1C[C@H](C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCO1 ZINC000825252562 609591042 /nfs/dbraw/zinc/59/10/42/609591042.db2.gz JFVKKYLSQKIEAG-ZJUUUORDSA-N -1 1 319.369 1.134 20 0 DDADMM CCC[C@H]1C[C@H](C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCO1 ZINC000825252562 609591043 /nfs/dbraw/zinc/59/10/43/609591043.db2.gz JFVKKYLSQKIEAG-ZJUUUORDSA-N -1 1 319.369 1.134 20 0 DDADMM COC(=O)CCCC(=O)Nc1nc(Br)ccc1[O-] ZINC000121512045 696711387 /nfs/dbraw/zinc/71/13/87/696711387.db2.gz ROZLBWZQIJSILI-UHFFFAOYSA-N -1 1 317.139 1.832 20 0 DDADMM Cc1cc(=O)[nH]cc1C(=O)Nc1nc(Br)ccc1[O-] ZINC000121599747 696713078 /nfs/dbraw/zinc/71/30/78/696713078.db2.gz KLZGGUIVOQQHHA-UHFFFAOYSA-N -1 1 324.134 1.799 20 0 DDADMM Cn1[n-]c(COC(=O)CO[C@H]2CCCc3ccccc32)nc1=O ZINC000797491974 700012078 /nfs/dbraw/zinc/01/20/78/700012078.db2.gz VRKMDXNFXRIRGM-ZDUSSCGKSA-N -1 1 317.345 1.246 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)C1=CCCC1 ZINC000974021687 695622301 /nfs/dbraw/zinc/62/23/01/695622301.db2.gz QJUQQFPVWYJULD-TXEJJXNPSA-N -1 1 301.346 1.275 20 0 DDADMM CC1(C)CN(C/C=C/Cl)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000974491941 695679499 /nfs/dbraw/zinc/67/94/99/695679499.db2.gz QLRCCSBFBPQDOO-FVOPLDGLSA-N -1 1 309.797 1.980 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975252702 695820478 /nfs/dbraw/zinc/82/04/78/695820478.db2.gz HNXWKQIELFHAMQ-FRRDWIJNSA-N -1 1 317.389 1.412 20 0 DDADMM CC1(C(=O)N2CCCC[C@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000975847254 695871803 /nfs/dbraw/zinc/87/18/03/695871803.db2.gz PIXLROCWHLNVRH-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM C#Cc1cccc([N-]S(=O)(=O)c2ccc(C)c(C(N)=O)c2)c1 ZINC000032084672 696122846 /nfs/dbraw/zinc/12/28/46/696122846.db2.gz HJFUOKYKBMXJSO-UHFFFAOYSA-N -1 1 314.366 1.876 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2C[C@H]2c2ccccc2)c1Cl ZINC000044414589 696164682 /nfs/dbraw/zinc/16/46/82/696164682.db2.gz WAIXCRFTPRITTR-QWRGUYRKSA-N -1 1 311.794 1.908 20 0 DDADMM Cc1ncc(CN(C)CC(=O)N[N-]C(=O)c2cccs2)s1 ZINC000053144834 696263895 /nfs/dbraw/zinc/26/38/95/696263895.db2.gz XIYRMBWQHXIPTR-UHFFFAOYSA-N -1 1 324.431 1.406 20 0 DDADMM COc1cc[nH]c(=NNC(=O)c2cc(=O)c3ccccc3[n-]2)n1 ZINC000053463484 696266683 /nfs/dbraw/zinc/26/66/83/696266683.db2.gz YBNLWXWTPYWULD-UHFFFAOYSA-N -1 1 311.301 1.084 20 0 DDADMM CCC(=O)[C@@H](C)OC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000057603972 696298477 /nfs/dbraw/zinc/29/84/77/696298477.db2.gz PBSHNEKAAYDBHR-LLVKDONJSA-N -1 1 319.361 1.895 20 0 DDADMM Cc1ncsc1CN(C)CC(=O)N[N-]C(=O)c1cccs1 ZINC000066564381 696353567 /nfs/dbraw/zinc/35/35/67/696353567.db2.gz WTTVHNRSQIQIAP-UHFFFAOYSA-N -1 1 324.431 1.406 20 0 DDADMM Cn1c(CNC(=O)COc2cccc(C#N)c2)n[n-]c1=S ZINC000066625393 696353833 /nfs/dbraw/zinc/35/38/33/696353833.db2.gz IEKWFCMQAJIMNT-UHFFFAOYSA-N -1 1 303.347 1.045 20 0 DDADMM CCn1c(CNC(=O)CNc2ccc(C#N)cc2)n[n-]c1=S ZINC000066636984 696354311 /nfs/dbraw/zinc/35/43/11/696354311.db2.gz LALFTBJUIKBJPL-UHFFFAOYSA-N -1 1 316.390 1.561 20 0 DDADMM CC(C)COCCC(=O)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067080075 696358920 /nfs/dbraw/zinc/35/89/20/696358920.db2.gz JNEDJIAVELNFSV-UHFFFAOYSA-N -1 1 312.439 1.997 20 0 DDADMM CC(C)Oc1cccnc1C(=O)NCCc1n[n-]c(=S)n1C ZINC000079542731 696461331 /nfs/dbraw/zinc/46/13/31/696461331.db2.gz IXJAISUJCJNVNN-UHFFFAOYSA-N -1 1 321.406 1.632 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2ccc(F)c(C)c2)n1 ZINC000105590381 696623607 /nfs/dbraw/zinc/62/36/07/696623607.db2.gz LQRRYWXHZAYGQZ-UHFFFAOYSA-N -1 1 312.326 1.238 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)c1ccc(Cl)cn1)N(C)C ZINC000798828590 700100009 /nfs/dbraw/zinc/10/00/09/700100009.db2.gz UZOLXAQEESGDJG-NSHDSACASA-N -1 1 319.814 1.126 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N1CCCCC1 ZINC000126411274 696761757 /nfs/dbraw/zinc/76/17/57/696761757.db2.gz AJHUXVNUGCGULD-CHWSQXEVSA-N -1 1 304.456 1.741 20 0 DDADMM O=C([N-]CCCS(=O)(=O)Cc1ccccc1)C(F)(F)F ZINC000130464620 696798101 /nfs/dbraw/zinc/79/81/01/696798101.db2.gz OEBUIODJRYVXHU-UHFFFAOYSA-N -1 1 309.309 1.670 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2cn(C)c(Cl)n2)n[nH]1 ZINC000152396404 696899197 /nfs/dbraw/zinc/89/91/97/696899197.db2.gz DZWNIIZKXMIIBY-UHFFFAOYSA-N -1 1 303.775 1.550 20 0 DDADMM NC(=O)c1ccc(=NNC(=O)Nc2ccc(F)c(Cl)c2)[n-]n1 ZINC000152962250 696907610 /nfs/dbraw/zinc/90/76/10/696907610.db2.gz JWHVUJMTYBEOSA-UHFFFAOYSA-N -1 1 324.703 1.517 20 0 DDADMM CC(=O)N1CC2(C[C@H]1C)CCN(C(=O)c1ncccc1[O-])CC2 ZINC000982202233 697057317 /nfs/dbraw/zinc/05/73/17/697057317.db2.gz IJZDWIAVFQKIQU-GFCCVEGCSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(CC1CCC1)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982592116 697155093 /nfs/dbraw/zinc/15/50/93/697155093.db2.gz NWRHFQOVOAPHCA-ZDUSSCGKSA-N -1 1 317.389 1.556 20 0 DDADMM CC1CC(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000982617103 697159372 /nfs/dbraw/zinc/15/93/72/697159372.db2.gz HNYQKBFQTJGKKC-OTTFEQOBSA-N -1 1 317.389 1.412 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982646935 697164460 /nfs/dbraw/zinc/16/44/60/697164460.db2.gz GNUCZACLFHHUPA-RYUDHWBXSA-N -1 1 317.389 1.412 20 0 DDADMM CCC1(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000983246897 697231859 /nfs/dbraw/zinc/23/18/59/697231859.db2.gz HNBGZDFNAIQKQK-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM CCCC(=O)N1CCC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000983811979 697303080 /nfs/dbraw/zinc/30/30/80/697303080.db2.gz MYFPVZCGPTYLJH-LBPRGKRZSA-N -1 1 305.378 1.650 20 0 DDADMM O=C(Nc1cccc2c1OCCO2)c1nnc2ccccc2c1O ZINC000171865449 697363038 /nfs/dbraw/zinc/36/30/38/697363038.db2.gz OCLNXPFBUKXMHB-UHFFFAOYSA-N -1 1 323.308 1.947 20 0 DDADMM CC(=CC(=O)NCCCc1nc(=O)[n-][nH]1)c1ccc(F)cc1 ZINC000174583459 697390225 /nfs/dbraw/zinc/39/02/25/697390225.db2.gz UNPMPTIZIBUIGF-MDZDMXLPSA-N -1 1 304.325 1.389 20 0 DDADMM CCN(C)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000805125191 701368593 /nfs/dbraw/zinc/36/85/93/701368593.db2.gz ALUKBUGRWGOWBJ-GUBZILKMSA-N -1 1 309.332 1.836 20 0 DDADMM Cc1ccoc1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985266592 697477563 /nfs/dbraw/zinc/47/75/63/697477563.db2.gz GDUVKVAKDIILBL-UHFFFAOYSA-N -1 1 319.365 1.148 20 0 DDADMM Cc1ccc2c(CC(=O)N(C)C[C@@H](C)c3nn[n-]n3)c[nH]c2c1 ZINC000183429184 697488235 /nfs/dbraw/zinc/48/82/35/697488235.db2.gz KXABUJJNKUSCKG-LLVKDONJSA-N -1 1 312.377 1.794 20 0 DDADMM CC(C)C[C@@H](CO)N(C)C(=O)c1nnc2ccccc2c1O ZINC000184551188 697504619 /nfs/dbraw/zinc/50/46/19/697504619.db2.gz LWRKPWFMBRPCCO-NSHDSACASA-N -1 1 303.362 1.402 20 0 DDADMM O=C([N-]CCCSc1nc2cncnc2[nH]1)C(F)(F)F ZINC000186740808 697537363 /nfs/dbraw/zinc/53/73/63/697537363.db2.gz XYYPAWDXFJLZKE-UHFFFAOYSA-N -1 1 305.285 1.514 20 0 DDADMM COC(=O)C[C@]1(NC(=O)c2c([O-])cccc2F)CCCOC1 ZINC000772257938 697615466 /nfs/dbraw/zinc/61/54/66/697615466.db2.gz LJQIIJJOZRYNQH-OAHLLOKOSA-N -1 1 311.309 1.373 20 0 DDADMM O=C([N-]c1cnoc1)c1cccnc1S(=O)(=O)C(F)(F)F ZINC000749557549 700169946 /nfs/dbraw/zinc/16/99/46/700169946.db2.gz QQQMCOALMJFUMM-UHFFFAOYSA-N -1 1 321.236 1.615 20 0 DDADMM NC(=O)CO[N-]C(=O)C[C@H](Cc1ccccc1)c1ccccc1 ZINC000772936299 697695425 /nfs/dbraw/zinc/69/54/25/697695425.db2.gz MROMXCXWEDEIHL-INIZCTEOSA-N -1 1 312.369 1.936 20 0 DDADMM O=C(CCc1cccs1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773165037 697722849 /nfs/dbraw/zinc/72/28/49/697722849.db2.gz YKWZDXCXTKOPBD-SNVBAGLBSA-N -1 1 309.420 1.511 20 0 DDADMM O=C(N[C@@H](CO)C[C@@H](O)c1ccccc1)c1c([O-])cccc1F ZINC000773491420 697767836 /nfs/dbraw/zinc/76/78/36/697767836.db2.gz SHBHYEMCUCKPTD-IUODEOHRSA-N -1 1 319.332 1.746 20 0 DDADMM O=C(c1cccnc1Cl)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000773498908 697768408 /nfs/dbraw/zinc/76/84/08/697768408.db2.gz SUWSMMFAXBSDLB-UHFFFAOYSA-N -1 1 308.725 1.843 20 0 DDADMM COc1ccc(C=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1O ZINC000773999895 697832410 /nfs/dbraw/zinc/83/24/10/697832410.db2.gz XDVRFOHJQZBRJZ-ONRRBMGISA-N -1 1 317.349 1.189 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)cn1 ZINC000774011573 697833227 /nfs/dbraw/zinc/83/32/27/697833227.db2.gz ZZHLLQJBCKPNTN-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)cn1 ZINC000774011573 697833233 /nfs/dbraw/zinc/83/32/33/697833233.db2.gz ZZHLLQJBCKPNTN-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM Cc1ccccc1OCC(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774886598 697938441 /nfs/dbraw/zinc/93/84/41/697938441.db2.gz AGUZBPJTBMGKAX-UHFFFAOYSA-N -1 1 319.279 1.986 20 0 DDADMM O=C(CC[C@H]1CCCCO1)OCCC[N-]C(=O)C(F)(F)F ZINC000774949264 697945879 /nfs/dbraw/zinc/94/58/79/697945879.db2.gz PRANKZGJXDEIAI-SNVBAGLBSA-N -1 1 311.300 1.948 20 0 DDADMM O=C(c1cccc(Cl)c1[O-])N1CCN(C2CCOCC2)CC1 ZINC000775768801 698030636 /nfs/dbraw/zinc/03/06/36/698030636.db2.gz XAENJSZQRZDWMX-UHFFFAOYSA-N -1 1 324.808 1.982 20 0 DDADMM O=C(c1cccc2[nH]ccc21)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776561853 698117151 /nfs/dbraw/zinc/11/71/51/698117151.db2.gz AEORBHBGBFAWDZ-LBPRGKRZSA-N -1 1 314.374 1.611 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000776566966 698118217 /nfs/dbraw/zinc/11/82/17/698118217.db2.gz YVGFSYAXZNBGFL-JOYOIKCWSA-N -1 1 321.381 1.759 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CCCC1 ZINC000987863325 698250550 /nfs/dbraw/zinc/25/05/50/698250550.db2.gz FZMYRCYWCRFFDR-ZYHUDNBSSA-N -1 1 307.398 1.170 20 0 DDADMM Cc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)c(C)o1 ZINC000988048164 698287936 /nfs/dbraw/zinc/28/79/36/698287936.db2.gz HMTWGWKBAREGKE-GZMMTYOYSA-N -1 1 319.365 1.113 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CCCCCC1 ZINC000988350447 698334033 /nfs/dbraw/zinc/33/40/33/698334033.db2.gz LEAIUARNRQULPF-YPMHNXCESA-N -1 1 321.425 1.560 20 0 DDADMM COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)c2ncc(C)cc2[O-])C1 ZINC000779101684 698395981 /nfs/dbraw/zinc/39/59/81/698395981.db2.gz QWEFCYPUARXWNO-VXGBXAGGSA-N -1 1 321.377 1.692 20 0 DDADMM C[C@@H]1C[C@H](NCc2cscn2)CN1C(=O)c1ncccc1[O-] ZINC000988692913 698439509 /nfs/dbraw/zinc/43/95/09/698439509.db2.gz XXRIJUZHEXZKEA-MNOVXSKESA-N -1 1 318.402 1.637 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)Cc1ccc(F)cc1F ZINC000779902320 698478309 /nfs/dbraw/zinc/47/83/09/698478309.db2.gz PUVZFEGJYBOCMJ-UHFFFAOYSA-N -1 1 303.290 1.276 20 0 DDADMM Nc1cccnc1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000780078594 698487080 /nfs/dbraw/zinc/48/70/80/698487080.db2.gz NULRXHCLARLJSZ-UHFFFAOYSA-N -1 1 312.281 1.833 20 0 DDADMM CN(C)[C@@H](CNC(=O)N(CC(=O)[O-])C1CC1)c1cccs1 ZINC000780341091 698508192 /nfs/dbraw/zinc/50/81/92/698508192.db2.gz GGPUCUGWWCFZEY-NSHDSACASA-N -1 1 311.407 1.609 20 0 DDADMM C[C@@H](NC(=O)NC[C@@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000780343934 698509264 /nfs/dbraw/zinc/50/92/64/698509264.db2.gz NBDMFXKCEVKOJM-NEPJUHHUSA-N -1 1 309.366 1.579 20 0 DDADMM CC[C@@H](NC(=O)NC[C@H](c1ccc(F)cc1)N(C)C)C(=O)[O-] ZINC000780450975 698519613 /nfs/dbraw/zinc/51/96/13/698519613.db2.gz KKPHBMQTPSGZEI-CHWSQXEVSA-N -1 1 311.357 1.591 20 0 DDADMM CCc1cc(CNC(=O)N[C@H](C(=O)[O-])c2ccccc2)n[nH]1 ZINC000780571138 698533800 /nfs/dbraw/zinc/53/38/00/698533800.db2.gz RBZDDXAHBUSXDQ-ZDUSSCGKSA-N -1 1 302.334 1.597 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C2(C)CCC2)CCN1C(=O)c1ncccc1[O-] ZINC000989250894 698568568 /nfs/dbraw/zinc/56/85/68/698568568.db2.gz XPPCOLFROSKVLS-NEPJUHHUSA-N -1 1 317.389 1.697 20 0 DDADMM CCO[C@@H]1C[C@](CO)([N-]C(=O)C(F)(F)C(F)F)C1(C)C ZINC000781872108 698657891 /nfs/dbraw/zinc/65/78/91/698657891.db2.gz GUXSEXAKORTUQH-RDDDGLTNSA-N -1 1 301.280 1.569 20 0 DDADMM CS(=O)(=O)c1ccc(CN2CCCCC[C@H]2C(=O)[O-])cc1 ZINC000313874318 698685128 /nfs/dbraw/zinc/68/51/28/698685128.db2.gz ROFYXXQPXNPUDB-AWEZNQCLSA-N -1 1 311.403 1.919 20 0 DDADMM C[C@@H]1CN(C)C(=O)CN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000782594035 698745128 /nfs/dbraw/zinc/74/51/28/698745128.db2.gz QKUNAGBDSULZMZ-SNVBAGLBSA-N -1 1 302.330 1.161 20 0 DDADMM CCS(=O)(=O)Cc1noc(-c2cc3c(cc2[O-])OCO3)n1 ZINC000350565842 698872208 /nfs/dbraw/zinc/87/22/08/698872208.db2.gz ITYTXORKKCMIIA-UHFFFAOYSA-N -1 1 312.303 1.106 20 0 DDADMM COC[C@@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@H](C)O1 ZINC000784670804 699033604 /nfs/dbraw/zinc/03/36/04/699033604.db2.gz CYXUYUVZASCKGZ-JQWIXIFHSA-N -1 1 323.393 1.490 20 0 DDADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)CC(C)(C)C ZINC000785425126 699075922 /nfs/dbraw/zinc/07/59/22/699075922.db2.gz HDXMLYABZYTHBX-LBPRGKRZSA-N -1 1 309.410 1.505 20 0 DDADMM O=C([N-]CCCOC(=O)[C@H]1COc2ccccc21)C(F)(F)F ZINC000785771916 699099696 /nfs/dbraw/zinc/09/96/96/699099696.db2.gz WZTWTDMXGVOXJL-JTQLQIEISA-N -1 1 317.263 1.774 20 0 DDADMM C[C@@H]1CC[C@@H](C[N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])O1 ZINC000397966287 699118527 /nfs/dbraw/zinc/11/85/27/699118527.db2.gz XUGMLYPPEYRQIS-BDAKNGLRSA-N -1 1 318.326 1.580 20 0 DDADMM CC[C@H](C)c1ccccc1N1C[C@@H](C(=O)[N-]OCCO)CC1=O ZINC000787292254 699203506 /nfs/dbraw/zinc/20/35/06/699203506.db2.gz KFFRNNWBFNFKDV-STQMWFEESA-N -1 1 320.389 1.593 20 0 DDADMM CCC(=O)N1CC(C)(C)CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000991040394 699214822 /nfs/dbraw/zinc/21/48/22/699214822.db2.gz JQQFVQDGACPHBK-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2CCCC23CC3)c1Cl ZINC000706262969 699243017 /nfs/dbraw/zinc/24/30/17/699243017.db2.gz IXXDNFGABWVTCG-SECBINFHSA-N -1 1 303.815 1.932 20 0 DDADMM O=C(COCc1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000720661898 699307631 /nfs/dbraw/zinc/30/76/31/699307631.db2.gz LGURPHTYLDYJSP-UHFFFAOYSA-N -1 1 301.350 1.123 20 0 DDADMM CCCCN(CC)c1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000725878669 699337110 /nfs/dbraw/zinc/33/71/10/699337110.db2.gz JFMSRDYICCFQLB-UHFFFAOYSA-N -1 1 318.377 1.510 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@@H]1CC[C@@H](C)O1 ZINC000789047571 699358504 /nfs/dbraw/zinc/35/85/04/699358504.db2.gz RGVAFDJVAWNSOQ-SKDRFNHKSA-N -1 1 319.361 1.705 20 0 DDADMM CCOC1CC(CC(=O)OCCC[N-]C(=O)C(F)(F)F)C1 ZINC000789161375 699365557 /nfs/dbraw/zinc/36/55/57/699365557.db2.gz CDAKYAZMLIAWHT-UHFFFAOYSA-N -1 1 311.300 1.803 20 0 DDADMM C[C@H]1c2ccsc2CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000726865830 699386283 /nfs/dbraw/zinc/38/62/83/699386283.db2.gz HYZWCECTUHZROY-JTQLQIEISA-N -1 1 319.386 1.134 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc2ncccc2c1 ZINC000727851738 699428083 /nfs/dbraw/zinc/42/80/83/699428083.db2.gz LPFXJDHXJAWCFM-UHFFFAOYSA-N -1 1 310.313 1.114 20 0 DDADMM CCOC(=O)[C@H](C)[N-]S(=O)(=O)Cc1cc(C(C)(C)C)on1 ZINC000790164300 699446274 /nfs/dbraw/zinc/44/62/74/699446274.db2.gz LAOBTNQWZHAOLQ-VIFPVBQESA-N -1 1 318.395 1.343 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)C(F)(F)C1CCOCC1 ZINC000790479300 699463251 /nfs/dbraw/zinc/46/32/51/699463251.db2.gz FHAMRYVDHBSSLJ-UHFFFAOYSA-N -1 1 314.214 1.824 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H]2CCOC2)o1 ZINC000730401957 699509784 /nfs/dbraw/zinc/50/97/84/699509784.db2.gz SDRIOGZPBHJCAL-SECBINFHSA-N -1 1 317.363 1.302 20 0 DDADMM O=C(CCc1cccc(Cl)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000731853356 699537906 /nfs/dbraw/zinc/53/79/06/699537906.db2.gz KKWUPOXNMOOPBG-LBPRGKRZSA-N -1 1 321.768 1.386 20 0 DDADMM O=C(C=Cc1ccc2ccc(Cl)cc2n1)NCc1nn[n-]n1 ZINC000732157611 699548361 /nfs/dbraw/zinc/54/83/61/699548361.db2.gz BLSXIZAVILWMAH-AATRIKPKSA-N -1 1 314.736 1.731 20 0 DDADMM CCOC(=O)N(C)C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000732283385 699553863 /nfs/dbraw/zinc/55/38/63/699553863.db2.gz XNRRYGSAKMWJFG-UHFFFAOYSA-N -1 1 307.350 1.480 20 0 DDADMM CC(=O)Nc1nc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cs1 ZINC000791166656 699613631 /nfs/dbraw/zinc/61/36/31/699613631.db2.gz YCCLLEQUHWXGJQ-UHFFFAOYSA-N -1 1 316.346 1.009 20 0 DDADMM CCC[C@H](NC(=O)CCOc1cccc(C=O)c1)c1nn[n-]n1 ZINC000736429823 699725358 /nfs/dbraw/zinc/72/53/58/699725358.db2.gz AVXZQDSISSYRCA-ZDUSSCGKSA-N -1 1 317.349 1.439 20 0 DDADMM CC(C)(C)CS(=O)(=O)[N-]C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000793143525 699735039 /nfs/dbraw/zinc/73/50/39/699735039.db2.gz LZKDRWFAVNGOAM-UHFFFAOYSA-N -1 1 322.390 1.577 20 0 DDADMM O=C(Cc1cccc(Cl)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000737966932 699749025 /nfs/dbraw/zinc/74/90/25/699749025.db2.gz MKTSWHCFZBAFOR-UHFFFAOYSA-N -1 1 305.769 1.802 20 0 DDADMM O=C(c1cc2ccccc2s1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000738255422 699755953 /nfs/dbraw/zinc/75/59/53/699755953.db2.gz SPNBQFYMYRVRJR-JTQLQIEISA-N -1 1 315.358 1.628 20 0 DDADMM O=C(c1ccc2c(c1)CCCC2)N1CCOC[C@H]1c1nn[n-]n1 ZINC000738268983 699756153 /nfs/dbraw/zinc/75/61/53/699756153.db2.gz JOTOFWXAVWFZQK-AWEZNQCLSA-N -1 1 313.361 1.292 20 0 DDADMM CC(C)C[C@@H]1CCCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000740845186 699802284 /nfs/dbraw/zinc/80/22/84/699802284.db2.gz OCCFRYNKQCAKEO-AWEZNQCLSA-N -1 1 321.421 1.744 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2cnccn2)c(=O)[n-]1 ZINC000741940360 699856256 /nfs/dbraw/zinc/85/62/56/699856256.db2.gz NPROHYMJALFMSE-UHFFFAOYSA-N -1 1 320.374 1.679 20 0 DDADMM CC[C@H](C)[C@H](OC)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000795983298 699904932 /nfs/dbraw/zinc/90/49/32/699904932.db2.gz AGULIIWAYBYRKX-FZMZJTMJSA-N -1 1 307.346 1.547 20 0 DDADMM Cn1cc([C@H](O)CNC(=O)c2ccc3ccccc3c2[O-])cn1 ZINC000743647716 699922154 /nfs/dbraw/zinc/92/21/54/699922154.db2.gz SRVWDAXHTHMFKX-OAHLLOKOSA-N -1 1 311.341 1.742 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)NC2CCCCCC2)[n-]1 ZINC000796344324 699927936 /nfs/dbraw/zinc/92/79/36/699927936.db2.gz JKWMESNEZNWZGU-UHFFFAOYSA-N -1 1 322.361 1.797 20 0 DDADMM CCC(CC)NC(=O)[C@H](C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796361482 699928967 /nfs/dbraw/zinc/92/89/67/699928967.db2.gz IDOUDONBMBMJKO-VIFPVBQESA-N -1 1 310.350 1.651 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C)C(=O)NC2CCCCC2)[n-]1 ZINC000796369510 699929994 /nfs/dbraw/zinc/92/99/94/699929994.db2.gz OTSMXWDXYWNYDZ-SNVBAGLBSA-N -1 1 322.361 1.796 20 0 DDADMM CC1(COC(=O)c2sccc2[N-]S(C)(=O)=O)COC1 ZINC000744795926 699961339 /nfs/dbraw/zinc/96/13/39/699961339.db2.gz OOYUPHLGCPRZAP-UHFFFAOYSA-N -1 1 305.377 1.313 20 0 DDADMM O=C(NCc1ccc(-n2cncn2)nc1)c1cc(F)ccc1[O-] ZINC000751063467 700267857 /nfs/dbraw/zinc/26/78/57/700267857.db2.gz ALBWTJXJKNYFLR-UHFFFAOYSA-N -1 1 313.292 1.437 20 0 DDADMM CC(C)CC[C@@](C)(O)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000751437193 700288341 /nfs/dbraw/zinc/28/83/41/700288341.db2.gz WXAWTWFFPSFRJM-GFCCVEGCSA-N -1 1 323.846 1.539 20 0 DDADMM Cc1nc(CCC(=O)N=c2ccnc3n(C)[n-]cc2-3)cs1 ZINC000801703737 700332894 /nfs/dbraw/zinc/33/28/94/700332894.db2.gz UKGXPDZQQTWZOU-UHFFFAOYSA-N -1 1 301.375 1.678 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)Cc1cc(C)on1 ZINC000751982829 700333388 /nfs/dbraw/zinc/33/33/88/700333388.db2.gz QXIAYTIBBWMVDH-GFCCVEGCSA-N -1 1 316.379 1.134 20 0 DDADMM Cc1noc(C2CC2)c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000752652363 700377642 /nfs/dbraw/zinc/37/76/42/700377642.db2.gz ARQXPTRJZXWJGT-SNVBAGLBSA-N -1 1 302.338 1.393 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H]2C[C@@H](O)c2cccs2)nc1=O ZINC000754052137 700478791 /nfs/dbraw/zinc/47/87/91/700478791.db2.gz TWANFNCTGXWHGP-WDEREUQCSA-N -1 1 308.407 1.258 20 0 DDADMM Cc1cc(=O)n(C)cc1NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000754347157 700497373 /nfs/dbraw/zinc/49/73/73/700497373.db2.gz ZOYQTHGDNCTVSH-UHFFFAOYSA-N -1 1 316.361 1.873 20 0 DDADMM CC[C@H](C)c1ccc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)cc1 ZINC000755767869 700582514 /nfs/dbraw/zinc/58/25/14/700582514.db2.gz HZJINWKMCIZDPL-VIFPVBQESA-N -1 1 322.390 1.974 20 0 DDADMM CC[C@H](C)c1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1 ZINC000755767869 700582517 /nfs/dbraw/zinc/58/25/17/700582517.db2.gz HZJINWKMCIZDPL-VIFPVBQESA-N -1 1 322.390 1.974 20 0 DDADMM CC[C@@H](CCOC)OC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000756591429 700630874 /nfs/dbraw/zinc/63/08/74/700630874.db2.gz VBUPNQMDDPTNBU-JTQLQIEISA-N -1 1 305.352 1.160 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCOC(C)(C)C)o1 ZINC000757044697 700653744 /nfs/dbraw/zinc/65/37/44/700653744.db2.gz XXKLADUNRNNJPL-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H]3COC(C)(C)O3)cnc2n1 ZINC000761678948 700866645 /nfs/dbraw/zinc/86/66/45/700866645.db2.gz NNVNPNFXFJSIKA-SNVBAGLBSA-N -1 1 317.345 1.525 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@H]1COC(C)(C)O1)c2=O ZINC000761678948 700866647 /nfs/dbraw/zinc/86/66/47/700866647.db2.gz NNVNPNFXFJSIKA-SNVBAGLBSA-N -1 1 317.345 1.525 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)C1SCCS1 ZINC000763976435 700955800 /nfs/dbraw/zinc/95/58/00/700955800.db2.gz GXXXFILSZGDQSR-SECBINFHSA-N -1 1 307.404 1.211 20 0 DDADMM O=C(c1nc(Cl)ccc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000765109721 700999392 /nfs/dbraw/zinc/99/93/92/700999392.db2.gz OCNMNWFXEBDYGV-SSDOTTSWSA-N -1 1 310.720 1.407 20 0 DDADMM CCO[C@H](C)c1ncc(C(=O)OCc2nc(=O)n(C)[n-]2)s1 ZINC000765488770 701015604 /nfs/dbraw/zinc/01/56/04/701015604.db2.gz NDMZUGRNJPYHMV-SSDOTTSWSA-N -1 1 312.351 1.019 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(N)cc2C(F)(F)F)nc1=O ZINC000765495034 701016208 /nfs/dbraw/zinc/01/62/08/701016208.db2.gz RKQISALMPDWHBG-UHFFFAOYSA-N -1 1 316.239 1.066 20 0 DDADMM COc1ccc(C[C@H](C)C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765498828 701016378 /nfs/dbraw/zinc/01/63/78/701016378.db2.gz XXJRWWWUIDSHQD-JTQLQIEISA-N -1 1 305.334 1.039 20 0 DDADMM O=C(CCCNC(=O)c1ccccc1)[N-]OCC(F)(F)F ZINC000766770339 701062605 /nfs/dbraw/zinc/06/26/05/701062605.db2.gz VWSYIRZXCNNZAH-UHFFFAOYSA-N -1 1 304.268 1.807 20 0 DDADMM O=C(NC[C@H]1COC2(CCOCC2)O1)c1ccc([O-])c(F)c1 ZINC000768389469 701173645 /nfs/dbraw/zinc/17/36/45/701173645.db2.gz YHVPJLNQAHPHIM-NSHDSACASA-N -1 1 311.309 1.183 20 0 DDADMM O=C(COC(=O)Cc1ccc(O)cc1)[N-]C(=O)c1ccccc1 ZINC000768603435 701190620 /nfs/dbraw/zinc/19/06/20/701190620.db2.gz JKIAFFNKQXOBAR-UHFFFAOYSA-N -1 1 313.309 1.435 20 0 DDADMM Cc1csc(CCC(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000769510320 701247973 /nfs/dbraw/zinc/24/79/73/701247973.db2.gz RIGSJVFEHNYOIJ-UHFFFAOYSA-N -1 1 324.324 1.996 20 0 DDADMM CC(C)NC(=S)NNc1nc(=O)c2cnn(C(C)(C)C)c2[n-]1 ZINC000769794585 701256297 /nfs/dbraw/zinc/25/62/97/701256297.db2.gz OCAGGXRQEDQWQT-UHFFFAOYSA-N -1 1 323.426 1.486 20 0 DDADMM COC(=O)C[C@@]1([N-]C(=O)C(F)(F)C(F)F)CCCOC1 ZINC000770166839 701269886 /nfs/dbraw/zinc/26/98/86/701269886.db2.gz JZGMFWUWMCCJJL-JTQLQIEISA-N -1 1 301.236 1.115 20 0 DDADMM CCC1(CC)[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C[C@H]1OC ZINC000830884847 706596953 /nfs/dbraw/zinc/59/69/53/706596953.db2.gz SABAVVWITAAHIQ-NXEZZACHSA-N -1 1 321.830 1.935 20 0 DDADMM O=C(COc1cccnc1)Nc1nc(Br)ccc1[O-] ZINC000771576808 701324212 /nfs/dbraw/zinc/32/42/12/701324212.db2.gz ZOOMHYWXXQJNMM-UHFFFAOYSA-N -1 1 324.134 1.962 20 0 DDADMM COC(=O)C[C@@]1(NC(=O)c2ccc([O-])c(F)c2)CCCOC1 ZINC000771656549 701327379 /nfs/dbraw/zinc/32/73/79/701327379.db2.gz NKHDTBVPMDRVJG-HNNXBMFYSA-N -1 1 311.309 1.373 20 0 DDADMM COc1ccc(C(=O)[C@H](C)OC(=O)c2cn[n-]n2)cc1Cl ZINC000805603448 701397227 /nfs/dbraw/zinc/39/72/27/701397227.db2.gz MWUSYNRASITYKB-ZETCQYMHSA-N -1 1 309.709 1.895 20 0 DDADMM COc1ccc(-c2noc([C@@H](C)OC(=O)c3cn[n-]n3)n2)cc1 ZINC000805603576 701397395 /nfs/dbraw/zinc/39/73/95/701397395.db2.gz LKRYPHGXLLITSJ-MRVPVSSYSA-N -1 1 315.289 1.781 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)N1CCCc2ccccc21 ZINC000805606388 701399216 /nfs/dbraw/zinc/39/92/16/701399216.db2.gz WVRGGQDOKQIMJX-SNVBAGLBSA-N -1 1 300.318 1.329 20 0 DDADMM C[C@H](c1ccc(F)cc1)N(C)C(=O)COC(=O)c1cn[n-]n1 ZINC000805609041 701399891 /nfs/dbraw/zinc/39/98/91/701399891.db2.gz MEUZOYMGOZRHCU-SECBINFHSA-N -1 1 306.297 1.320 20 0 DDADMM C[C@H]1CCN(Cc2cnn(C)c2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000805786050 701409342 /nfs/dbraw/zinc/40/93/42/701409342.db2.gz RWZUWOIYPFIVSV-NHCYSSNCSA-N -1 1 318.343 1.698 20 0 DDADMM CS[C@@H]1CC[C@@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806492304 701437831 /nfs/dbraw/zinc/43/78/31/701437831.db2.gz DYYXAWSAULJCOC-NXEZZACHSA-N -1 1 305.407 1.747 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3Cc4cccc(O)c4C3)ccnc1-2 ZINC000806493510 701438093 /nfs/dbraw/zinc/43/80/93/701438093.db2.gz WXZOGKQRRYGGOG-NSHDSACASA-N -1 1 323.356 1.336 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC3(CCO)CCC3)ccnc1-2 ZINC000806493234 701438159 /nfs/dbraw/zinc/43/81/59/701438159.db2.gz VOFUHSHREIAWLA-UHFFFAOYSA-N -1 1 303.366 1.016 20 0 DDADMM CCOC(CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)OCC ZINC000806547255 701441113 /nfs/dbraw/zinc/44/11/13/701441113.db2.gz DVXCMQIVAVJWLI-AWEZNQCLSA-N -1 1 319.365 1.195 20 0 DDADMM COc1cc(C)c(NC(=O)NN2CC(=O)[N-]C2=O)c(C)c1C ZINC000806767787 701448068 /nfs/dbraw/zinc/44/80/68/701448068.db2.gz LINBKBQRMAZQDV-UHFFFAOYSA-N -1 1 306.322 1.209 20 0 DDADMM C[C@@H](CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)C(C)(C)C ZINC000806900478 701453137 /nfs/dbraw/zinc/45/31/37/701453137.db2.gz WTIMQCQXPWDLFO-JTQLQIEISA-N -1 1 317.393 1.079 20 0 DDADMM O=C(N[C@H](CCO)c1cccs1)C(=O)c1ccc([O-])cc1 ZINC000807176390 701467995 /nfs/dbraw/zinc/46/79/95/701467995.db2.gz QCKURPOPGNVNRV-GFCCVEGCSA-N -1 1 305.355 1.876 20 0 DDADMM C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@H](O)c1cccc(F)c1 ZINC000807199087 701469267 /nfs/dbraw/zinc/46/92/67/701469267.db2.gz RRLMYTYDGNSUBS-BMIGLBTASA-N -1 1 317.316 1.952 20 0 DDADMM O=C([N-]Cc1nccc(C(F)F)n1)C(F)(F)C1(O)CCC1 ZINC000807889693 701489756 /nfs/dbraw/zinc/48/97/56/701489756.db2.gz HBNCJDIIOSSSCP-UHFFFAOYSA-N -1 1 307.247 1.581 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]CC(F)(F)F)ccc1F ZINC000808565287 701524413 /nfs/dbraw/zinc/52/44/13/701524413.db2.gz WQSDIZWILRVTQO-UHFFFAOYSA-N -1 1 315.244 1.453 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(Cc2ncccn2)C1)C(F)(F)F ZINC000810066668 701719833 /nfs/dbraw/zinc/71/98/33/701719833.db2.gz OHCPHCJFYJQXNU-JTQLQIEISA-N -1 1 302.300 1.367 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H]1CCCC2(CC2)C1 ZINC000830953750 706610032 /nfs/dbraw/zinc/61/00/32/706610032.db2.gz YUIMTPGLBAWPRQ-LLVKDONJSA-N -1 1 317.389 1.842 20 0 DDADMM C[C@@H](c1ccc(F)cc1)N1CC[C@@H](OC(=O)c2cn[n-]n2)C1=O ZINC000815246121 701829838 /nfs/dbraw/zinc/82/98/38/701829838.db2.gz TVQRMGVEVGDNHI-TVQRCGJNSA-N -1 1 318.308 1.463 20 0 DDADMM Cc1ccn(C[C@@H](C)C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000868010261 701889578 /nfs/dbraw/zinc/88/95/78/701889578.db2.gz ILNFYXBDWVCFQT-ZYHUDNBSSA-N -1 1 319.365 1.322 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000868016879 701894358 /nfs/dbraw/zinc/89/43/58/701894358.db2.gz DDXARWDXTGEWES-PWSUYJOCSA-N -1 1 324.764 1.545 20 0 DDADMM Cn1cnc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)c1 ZINC000811230846 701942558 /nfs/dbraw/zinc/94/25/58/701942558.db2.gz VXKQLVNMMMLWBF-UHFFFAOYSA-N -1 1 300.270 1.589 20 0 DDADMM Cc1cccc(O)c1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831117851 706638135 /nfs/dbraw/zinc/63/81/35/706638135.db2.gz XGJLHGUNAVLKPZ-UHFFFAOYSA-N -1 1 316.279 1.451 20 0 DDADMM CCOC(CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)OCC ZINC000816498408 702097198 /nfs/dbraw/zinc/09/71/98/702097198.db2.gz UDMPCNSRMIWERE-JTQLQIEISA-N -1 1 313.354 1.270 20 0 DDADMM Cc1ccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c(O)c1 ZINC000831135361 706641583 /nfs/dbraw/zinc/64/15/83/706641583.db2.gz WPIIXMVOYBFAIB-UHFFFAOYSA-N -1 1 316.279 1.451 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(Br)nn1C ZINC000816741730 702174626 /nfs/dbraw/zinc/17/46/26/702174626.db2.gz YQWWWERAIKAHHA-UHFFFAOYSA-N -1 1 300.116 1.172 20 0 DDADMM CC(C)=CCNC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868533941 702182565 /nfs/dbraw/zinc/18/25/65/702182565.db2.gz PBUUQEXEJNVYIT-LBPRGKRZSA-N -1 1 307.316 1.805 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)nc1=O ZINC000840669366 702193964 /nfs/dbraw/zinc/19/39/64/702193964.db2.gz XBRFBKNGHQTNJP-WDEREUQCSA-N -1 1 307.737 1.609 20 0 DDADMM CCC(C)(C)[C@@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868764933 702309747 /nfs/dbraw/zinc/30/97/47/702309747.db2.gz FMASMIBRBPDLQN-SNVBAGLBSA-N -1 1 322.409 1.879 20 0 DDADMM CC(C)[C@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CC1 ZINC000868783023 702317834 /nfs/dbraw/zinc/31/78/34/702317834.db2.gz HUOJEZQPZQMQKE-AWEZNQCLSA-N -1 1 320.393 1.489 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)/C=C/c3cccc(F)c3)nc2n1 ZINC000813379320 702341010 /nfs/dbraw/zinc/34/10/10/702341010.db2.gz ZYZPEDYNWGFYLX-AATRIKPKSA-N -1 1 313.292 1.517 20 0 DDADMM O=C([C@H](O)c1ccc(Cl)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000813496649 702360838 /nfs/dbraw/zinc/36/08/38/702360838.db2.gz OAFOUBKMOMBMDR-ZYHUDNBSSA-N -1 1 321.768 1.293 20 0 DDADMM C[C@]1(CS(=O)(=O)[N-][C@@H]2CCCC23OCCO3)CC1(F)F ZINC000882412595 707627590 /nfs/dbraw/zinc/62/75/90/707627590.db2.gz OERNRBFTJMNCEL-NXEZZACHSA-N -1 1 311.350 1.247 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2CCOC(C)(C)C2)c1Cl ZINC000841525219 702479859 /nfs/dbraw/zinc/47/98/59/702479859.db2.gz TZVAHYFYWPRVOY-VIFPVBQESA-N -1 1 321.830 1.557 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)CC1Cc2ccccc2C1 ZINC000841535570 702485994 /nfs/dbraw/zinc/48/59/94/702485994.db2.gz SWFMFJOKLAEJQS-CQSZACIVSA-N -1 1 323.414 1.272 20 0 DDADMM C[C@@H]1CC[C@@H](C)N(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000869212916 702513006 /nfs/dbraw/zinc/51/30/06/702513006.db2.gz ANWDZVWUDVXZRU-GHMZBOCLSA-N -1 1 320.393 1.585 20 0 DDADMM C[C@@H]1OCC[C@H]1COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000869358077 702580669 /nfs/dbraw/zinc/58/06/69/702580669.db2.gz YPMOYMIFNGZNFW-IUCAKERBSA-N -1 1 319.404 1.701 20 0 DDADMM CC(C)[C@H]([N-]C(=O)C(F)(F)F)c1nc(C2(N)CCC2)no1 ZINC000842619646 702743558 /nfs/dbraw/zinc/74/35/58/702743558.db2.gz CLECXCLSYMYSGJ-ZETCQYMHSA-N -1 1 306.288 1.783 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2CCC(F)(F)C2)CCC1 ZINC000843015420 702803666 /nfs/dbraw/zinc/80/36/66/702803666.db2.gz VKCDDNHSNVXDGJ-JTQLQIEISA-N -1 1 324.393 1.352 20 0 DDADMM C[C@@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)c1ccccc1 ZINC000843015555 702803744 /nfs/dbraw/zinc/80/37/44/702803744.db2.gz MFPNUSIIXYEGCZ-CYBMUJFWSA-N -1 1 324.446 1.720 20 0 DDADMM CCC[C@@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C(C)C ZINC000843016964 702804136 /nfs/dbraw/zinc/80/41/36/702804136.db2.gz WLROYIVBOVNXON-CYBMUJFWSA-N -1 1 318.483 1.989 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)/C=C\c2cccnc2)CCC1 ZINC000843017182 702804445 /nfs/dbraw/zinc/80/44/45/702804445.db2.gz ZPWQMNKLAFGGAM-SREVYHEPSA-N -1 1 323.418 1.025 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](C(=O)Nc2nnn[n-]2)CC1 ZINC000843801251 702926515 /nfs/dbraw/zinc/92/65/15/702926515.db2.gz RMONZVAAYRMGGT-SECBINFHSA-N -1 1 310.358 1.175 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](C(=O)Nc2nn[n-]n2)CC1 ZINC000843801251 702926517 /nfs/dbraw/zinc/92/65/17/702926517.db2.gz RMONZVAAYRMGGT-SECBINFHSA-N -1 1 310.358 1.175 20 0 DDADMM CCO[N-]C(=O)CNCc1cc2c(C)nn(C)c2nc1Cl ZINC000846090906 703236430 /nfs/dbraw/zinc/23/64/30/703236430.db2.gz MYRMIWICXLOHNM-UHFFFAOYSA-N -1 1 311.773 1.087 20 0 DDADMM CSC(C)(C)C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866901029 706839599 /nfs/dbraw/zinc/83/95/99/706839599.db2.gz RVGMBJDKMXFARK-UHFFFAOYSA-N -1 1 300.471 1.224 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2CCCCCCC2)n[n-]1 ZINC000879654516 706750551 /nfs/dbraw/zinc/75/05/51/706750551.db2.gz LRDQKAIACSHZGO-UHFFFAOYSA-N -1 1 308.382 1.958 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2CCCCCCC2)n1 ZINC000879654516 706750553 /nfs/dbraw/zinc/75/05/53/706750553.db2.gz LRDQKAIACSHZGO-UHFFFAOYSA-N -1 1 308.382 1.958 20 0 DDADMM CCO[C@H](C)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848342605 703540970 /nfs/dbraw/zinc/54/09/70/703540970.db2.gz UMWGGBVSZHOLBQ-OPRDCNLKSA-N -1 1 310.316 1.469 20 0 DDADMM O=C(N[C@H]1CCOC1=O)c1cc(Br)ccc1[O-] ZINC000849212585 703624853 /nfs/dbraw/zinc/62/48/53/703624853.db2.gz IFANDJWQTSFAJG-QMMMGPOBSA-N -1 1 300.108 1.200 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCC[C@@H](C)O ZINC000849718513 703671402 /nfs/dbraw/zinc/67/14/02/703671402.db2.gz UAVVLCQVULVWFU-SNVBAGLBSA-N -1 1 301.364 1.376 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OC[C@H](O)C(C)C ZINC000849718537 703671404 /nfs/dbraw/zinc/67/14/04/703671404.db2.gz UPQCRMDYBZRFDZ-ZDUSSCGKSA-N -1 1 315.391 1.622 20 0 DDADMM COC[C@@H](OC)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000850200398 703713258 /nfs/dbraw/zinc/71/32/58/703713258.db2.gz VJZGOBPFIJHDEK-CYBMUJFWSA-N -1 1 308.286 1.203 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)nc1=O ZINC000850280267 703720461 /nfs/dbraw/zinc/72/04/61/703720461.db2.gz LBQXFQXGUKHNBP-HTQZYQBOSA-N -1 1 307.272 1.520 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1ccc(Cl)nc1F)C(=O)OC ZINC000866680366 706762718 /nfs/dbraw/zinc/76/27/18/706762718.db2.gz GLDIOFIHKKSMHP-NSHDSACASA-N -1 1 324.761 1.494 20 0 DDADMM COCCOCCCC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866683235 706763671 /nfs/dbraw/zinc/76/36/71/706763671.db2.gz BPHYNQCHKVHLAW-UHFFFAOYSA-N -1 1 324.349 1.081 20 0 DDADMM Cn1[n-]c(COC(=O)C2(c3ccnc4ccccc43)CC2)nc1=O ZINC000869808042 703856195 /nfs/dbraw/zinc/85/61/95/703856195.db2.gz RJQWBURAKUCNNR-UHFFFAOYSA-N -1 1 324.340 1.432 20 0 DDADMM CO[C@H](C)C(=O)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870160547 703938659 /nfs/dbraw/zinc/93/86/59/703938659.db2.gz PUVFZUGCLUTDJQ-LLVKDONJSA-N -1 1 312.797 1.725 20 0 DDADMM COCc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1 ZINC000831813180 706785164 /nfs/dbraw/zinc/78/51/64/706785164.db2.gz NTMGSAHGHABMBR-CYBMUJFWSA-N -1 1 320.389 1.454 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(CCO[C@H]2CC2(F)F)C1 ZINC000879768256 706787589 /nfs/dbraw/zinc/78/75/89/706787589.db2.gz ZOVQHLCVIBYKEQ-WCBMZHEXSA-N -1 1 316.270 1.554 20 0 DDADMM O=C([O-])[C@H]1CCN(CCCS(=O)(=O)c2ccc(F)cc2)C1 ZINC000819287418 704101350 /nfs/dbraw/zinc/10/13/50/704101350.db2.gz VFSFYPMXEWPXSE-NSHDSACASA-N -1 1 315.366 1.396 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CC(=O)Nc2ccc(Cl)nc2)C1 ZINC000852726201 704107089 /nfs/dbraw/zinc/10/70/89/704107089.db2.gz WXJVBBFFPUPIEC-JTQLQIEISA-N -1 1 311.769 1.860 20 0 DDADMM CC[C@H](C)C[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000852736887 704109685 /nfs/dbraw/zinc/10/96/85/704109685.db2.gz PFNNWDCZXUYQOP-GUBZILKMSA-N -1 1 310.316 1.629 20 0 DDADMM CC(C)CC[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000852736740 704109827 /nfs/dbraw/zinc/10/98/27/704109827.db2.gz OIQIWQYWVNGZFF-NXEZZACHSA-N -1 1 310.316 1.629 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1CCC[C@H](C2CCCCC2)C1 ZINC000852740767 704110972 /nfs/dbraw/zinc/11/09/72/704110972.db2.gz DPMXJXKSCYKQIZ-AWEZNQCLSA-N -1 1 317.451 1.778 20 0 DDADMM CN1C(=O)NCC12CCN(C(=O)c1c([O-])cccc1Cl)CC2 ZINC000819755023 704167866 /nfs/dbraw/zinc/16/78/66/704167866.db2.gz JRZHKPROIPRCJM-UHFFFAOYSA-N -1 1 323.780 1.675 20 0 DDADMM O=C(C1SCCCS1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000819967512 704193692 /nfs/dbraw/zinc/19/36/92/704193692.db2.gz KUDSFTIOLKKRNO-MRVPVSSYSA-N -1 1 315.420 1.677 20 0 DDADMM CC1(C)C[C@](C)([N-]S(=O)(=O)c2cccc(F)c2F)C(=O)O1 ZINC000820734843 704325353 /nfs/dbraw/zinc/32/53/53/704325353.db2.gz LBOIFZWWZFLNEI-ZDUSSCGKSA-N -1 1 319.329 1.727 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]c1ccc(F)cc1F ZINC000821119686 704373594 /nfs/dbraw/zinc/37/35/94/704373594.db2.gz XYSVCPIFOPNKPG-UHFFFAOYSA-N -1 1 310.347 1.883 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1ccc2nccnc2c1 ZINC000821157518 704377256 /nfs/dbraw/zinc/37/72/56/704377256.db2.gz MVHYFMRLUWKJQC-UHFFFAOYSA-N -1 1 300.365 1.014 20 0 DDADMM Cc1ccncc1C=CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342161 704382216 /nfs/dbraw/zinc/38/22/16/704382216.db2.gz JPLQWZZMYVUTBD-IHWYPQMZSA-N -1 1 314.345 1.898 20 0 DDADMM COC/C=C\C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856416556 704527971 /nfs/dbraw/zinc/52/79/71/704527971.db2.gz QLMMEFCMBSMQFI-QKMQQOOLSA-N -1 1 308.300 1.105 20 0 DDADMM CCC[C@H](OC)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856415988 704528020 /nfs/dbraw/zinc/52/80/20/704528020.db2.gz QKIJHNXGFLATFL-VWYCJHECSA-N -1 1 324.343 1.717 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NC(C)(C)[C@H](C)O)c2=O ZINC000857478682 704594124 /nfs/dbraw/zinc/59/41/24/704594124.db2.gz LZCSOAGELAHOBD-VIFPVBQESA-N -1 1 304.346 1.426 20 0 DDADMM CCOC(=O)N[C@@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858351328 704698754 /nfs/dbraw/zinc/69/87/54/704698754.db2.gz OZQKPDWBXYFBQX-MRVPVSSYSA-N -1 1 300.746 1.551 20 0 DDADMM CC(C)C[N-]S(=O)(=O)c1ccc(Br)nc1F ZINC000858876409 704767592 /nfs/dbraw/zinc/76/75/92/704767592.db2.gz XYTSRLXSJNPHRI-UHFFFAOYSA-N -1 1 311.176 1.918 20 0 DDADMM O=C([N-]OCc1ccccc1)[C@@H]1CNC(=O)C[C@H]1C(F)(F)F ZINC000873836384 704866513 /nfs/dbraw/zinc/86/65/13/704866513.db2.gz QNDLZXXHJLROGN-GHMZBOCLSA-N -1 1 316.279 1.549 20 0 DDADMM O=C([N-][C@@H]1CCCCNC1=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000873992141 704914355 /nfs/dbraw/zinc/91/43/55/704914355.db2.gz XNFBOJJBLUQKKX-LLVKDONJSA-N -1 1 318.270 1.842 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)C[C@]1(C)CC1(Cl)Cl ZINC000867385579 706983385 /nfs/dbraw/zinc/98/33/85/706983385.db2.gz KFVJACBUOPJQOC-XPUUQOCRSA-N -1 1 322.185 1.001 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1C[C@H](C)O[C@H]1C ZINC000867404572 706990005 /nfs/dbraw/zinc/99/00/05/706990005.db2.gz RIBCRBZNWJGTSP-DCAQKATOSA-N -1 1 312.457 1.142 20 0 DDADMM O=S(=O)([N-][C@@H]1CC[C@@H]2C[C@@H]2C1)c1nc[nH]c1Br ZINC000867452308 707005417 /nfs/dbraw/zinc/00/54/17/707005417.db2.gz CBNBWKJUBCSYFR-BWZBUEFSSA-N -1 1 320.212 1.639 20 0 DDADMM C[C@@H](COCC1CC1)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867463388 707009978 /nfs/dbraw/zinc/00/99/78/707009978.db2.gz PIPNLJZWQGAOBK-QMMMGPOBSA-N -1 1 322.789 1.968 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C(OC)OC ZINC000867494745 707018538 /nfs/dbraw/zinc/01/85/38/707018538.db2.gz YZMWOLFMWHHAJW-SSDOTTSWSA-N -1 1 313.322 1.893 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2[C@@H]3c4cccc(Cl)c4C[C@H]23)nc1=O ZINC000859795565 705006626 /nfs/dbraw/zinc/00/66/26/705006626.db2.gz WBXUXBAKKXJSGM-ZWKOPEQDSA-N -1 1 319.748 1.391 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC2SCCS2)c1 ZINC000867531125 707030715 /nfs/dbraw/zinc/03/07/15/707030715.db2.gz POVMXEOMFHQYQE-UHFFFAOYSA-N -1 1 321.445 1.485 20 0 DDADMM CON(C(=O)CCCc1nn[n-]n1)[C@@H](C)c1ccccc1C ZINC000823019572 705113115 /nfs/dbraw/zinc/11/31/15/705113115.db2.gz XIZKDKADRFVPJU-LBPRGKRZSA-N -1 1 303.366 1.982 20 0 DDADMM CCC1(CC)CCCN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000874628964 705122151 /nfs/dbraw/zinc/12/21/51/705122151.db2.gz PTHQHRCNORLDJE-UHFFFAOYSA-N -1 1 314.407 1.983 20 0 DDADMM CCN(C(=O)CCCc1nn[n-]n1)[C@H](C)[C@H](O)c1ccccc1 ZINC000823057604 705126783 /nfs/dbraw/zinc/12/67/83/705126783.db2.gz VQZARVKDOWPJLJ-WBMJQRKESA-N -1 1 317.393 1.493 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2C[C@H]2C(C)(C)C)n[n-]1 ZINC000880665376 707051738 /nfs/dbraw/zinc/05/17/38/707051738.db2.gz CXXNVIJNGAXJIN-OPRDCNLKSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2C[C@H]2C(C)(C)C)[n-]1 ZINC000880665376 707051740 /nfs/dbraw/zinc/05/17/40/707051740.db2.gz CXXNVIJNGAXJIN-OPRDCNLKSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2C[C@H]2C(C)(C)C)n1 ZINC000880665376 707051743 /nfs/dbraw/zinc/05/17/43/707051743.db2.gz CXXNVIJNGAXJIN-OPRDCNLKSA-N -1 1 308.382 1.841 20 0 DDADMM Cc1ccc2nc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)cn2c1 ZINC000860349469 705162319 /nfs/dbraw/zinc/16/23/19/705162319.db2.gz NETNKBRMFRKYSX-LLVKDONJSA-N -1 1 311.349 1.176 20 0 DDADMM O=C([N-]CCCOC(=O)[C@@H]1C[C@H]1c1ccco1)C(F)(F)F ZINC000860441142 705188244 /nfs/dbraw/zinc/18/82/44/705188244.db2.gz KWOSDOZQIWFLTQ-RKDXNWHRSA-N -1 1 305.252 1.995 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC[C@H](C(C)(C)O)C1 ZINC000874879319 705212095 /nfs/dbraw/zinc/21/20/95/705212095.db2.gz RUKSQYGHLGEMDY-VIFPVBQESA-N -1 1 311.407 1.446 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CCCC23CC3)CCC1 ZINC000860847508 705299259 /nfs/dbraw/zinc/29/92/59/705299259.db2.gz MVHBHDBJXJYFMW-LBPRGKRZSA-N -1 1 314.451 1.497 20 0 DDADMM CCOC(=O)CCc1c(C)nc(SCCOC)[n-]c1=O ZINC000871694603 707171669 /nfs/dbraw/zinc/17/16/69/707171669.db2.gz OJQSGQCKWDPMMH-UHFFFAOYSA-N -1 1 300.380 1.725 20 0 DDADMM C[C@@H](CCO)C1(CNc2nccnc2-c2nnn[n-]2)CCC1 ZINC000824406373 705446269 /nfs/dbraw/zinc/44/62/69/705446269.db2.gz ILBPFXDTWBAVAD-JTQLQIEISA-N -1 1 303.370 1.257 20 0 DDADMM C[C@@H](CCO)C1(CNc2nccnc2-c2nn[n-]n2)CCC1 ZINC000824406373 705446272 /nfs/dbraw/zinc/44/62/72/705446272.db2.gz ILBPFXDTWBAVAD-JTQLQIEISA-N -1 1 303.370 1.257 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H]2CC=CCC2)n[n-]1 ZINC000824887423 705552286 /nfs/dbraw/zinc/55/22/86/705552286.db2.gz MVQPIUQUKXACEV-QWRGUYRKSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H]2CC=CCC2)[n-]1 ZINC000824887423 705552289 /nfs/dbraw/zinc/55/22/89/705552289.db2.gz MVQPIUQUKXACEV-QWRGUYRKSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H]2CC=CCC2)n1 ZINC000824887423 705552292 /nfs/dbraw/zinc/55/22/92/705552292.db2.gz MVQPIUQUKXACEV-QWRGUYRKSA-N -1 1 306.366 1.905 20 0 DDADMM O=C([N-]OC1CCC1)[C@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC000861880611 705593851 /nfs/dbraw/zinc/59/38/51/705593851.db2.gz FRODILXKPSJWIR-VIFPVBQESA-N -1 1 310.300 1.918 20 0 DDADMM CC[C@@H]1C[C@@H](OC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000825184619 705619412 /nfs/dbraw/zinc/61/94/12/705619412.db2.gz WUEMAQVXXSETBN-MNOVXSKESA-N -1 1 303.322 1.376 20 0 DDADMM CC[C@@H]1C[C@@H](OC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000825184619 705619449 /nfs/dbraw/zinc/61/94/49/705619449.db2.gz WUEMAQVXXSETBN-MNOVXSKESA-N -1 1 303.322 1.376 20 0 DDADMM C[C@H](CO)N(C[C@H](C)O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876394786 705707742 /nfs/dbraw/zinc/70/77/42/705707742.db2.gz AVNKSEWPMWZRCU-ZJUUUORDSA-N -1 1 316.785 1.319 20 0 DDADMM CSCC[C@H](C)N(C)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826228302 705783214 /nfs/dbraw/zinc/78/32/14/705783214.db2.gz LEMQFOLOIFINBY-QMMMGPOBSA-N -1 1 324.410 1.306 20 0 DDADMM CSCC[C@H](C)N(C)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826228302 705783219 /nfs/dbraw/zinc/78/32/19/705783219.db2.gz LEMQFOLOIFINBY-QMMMGPOBSA-N -1 1 324.410 1.306 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)Cc2noc(C)c2-c2nnn[n-]2)o1 ZINC000826282729 705786121 /nfs/dbraw/zinc/78/61/21/705786121.db2.gz BGPJZKWJSCJNCI-QMMMGPOBSA-N -1 1 316.321 1.484 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)Cc2noc(C)c2-c2nn[n-]n2)o1 ZINC000826282729 705786123 /nfs/dbraw/zinc/78/61/23/705786123.db2.gz BGPJZKWJSCJNCI-QMMMGPOBSA-N -1 1 316.321 1.484 20 0 DDADMM C[C@@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)C1CCCCC1 ZINC000863046477 705854353 /nfs/dbraw/zinc/85/43/53/705854353.db2.gz MEQQZASWHGEKHQ-ZDUSSCGKSA-N -1 1 321.421 1.602 20 0 DDADMM CC(C)Cc1ccc(C(=O)[N-]N2C(=O)[C@@H](C)N(C)C2=O)s1 ZINC000826839064 705882119 /nfs/dbraw/zinc/88/21/19/705882119.db2.gz OWZDFZLVSQFNQG-SECBINFHSA-N -1 1 309.391 1.874 20 0 DDADMM CON(C)C(=O)[C@H](C)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000863629696 705972010 /nfs/dbraw/zinc/97/20/10/705972010.db2.gz VMDYUJXNHRWQIP-ZETCQYMHSA-N -1 1 320.267 1.549 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@@H](OC)C(C)C ZINC000827320700 705974711 /nfs/dbraw/zinc/97/47/11/705974711.db2.gz NLQBVXKDRHWVFV-CHWSQXEVSA-N -1 1 321.439 1.309 20 0 DDADMM O=C(N[C@H]1CCN(C2CCC2)C1=O)c1c([O-])cccc1Cl ZINC000863839195 706026590 /nfs/dbraw/zinc/02/65/90/706026590.db2.gz LPXIPHMGESMTQX-NSHDSACASA-N -1 1 308.765 1.929 20 0 DDADMM C[C@@H](O)CN1CCN(C(=O)Nc2cccc(C(=O)[O-])c2)C[C@@H]1C ZINC000827796416 706069210 /nfs/dbraw/zinc/06/92/10/706069210.db2.gz UFCPPHBPWXFXEO-NWDGAFQWSA-N -1 1 321.377 1.304 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CC2(CCC2)[C@](F)(C(=O)[O-])C1 ZINC000864157373 706083562 /nfs/dbraw/zinc/08/35/62/706083562.db2.gz YCPKWROKLGMLQA-MEBBXXQBSA-N -1 1 309.341 1.394 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccc(CF)cc2)CC1 ZINC000864159705 706084558 /nfs/dbraw/zinc/08/45/58/706084558.db2.gz ZDXSMBFHLSZKCW-UHFFFAOYSA-N -1 1 308.353 1.777 20 0 DDADMM CC[C@@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000828075690 706114222 /nfs/dbraw/zinc/11/42/22/706114222.db2.gz HSHOMQIXVCWOHW-BDAKNGLRSA-N -1 1 309.819 1.149 20 0 DDADMM CN(CC(=O)N(C)Cc1ccco1)CC(C)(C)CNC(=O)[O-] ZINC000828090350 706116593 /nfs/dbraw/zinc/11/65/93/706116593.db2.gz MFNRJAMHUUYCGL-UHFFFAOYSA-N -1 1 311.382 1.464 20 0 DDADMM COc1cncc(CN2CC(C[N-]C(=O)C(F)(F)F)C2)c1 ZINC000877776568 706207336 /nfs/dbraw/zinc/20/73/36/706207336.db2.gz PKWLDZRBSARNDA-UHFFFAOYSA-N -1 1 303.284 1.201 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1cccc(F)c1O ZINC000872378578 707394537 /nfs/dbraw/zinc/39/45/37/707394537.db2.gz BYVMQPJDSKBFBW-UHFFFAOYSA-N -1 1 310.372 1.696 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nc(C3CC3)nn2C)[n-]1 ZINC000829205295 706302167 /nfs/dbraw/zinc/30/21/67/706302167.db2.gz GWYYWGCUYDHULQ-UHFFFAOYSA-N -1 1 304.306 1.164 20 0 DDADMM N=C(N)Nc1nc(C2([N-]C(=O)C(F)(F)F)CCCC2)cs1 ZINC000829609120 706366603 /nfs/dbraw/zinc/36/66/03/706366603.db2.gz BHDVSODRIXDOOP-UHFFFAOYSA-N -1 1 321.328 1.896 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CC(C)(C)CO2)c1 ZINC000872549684 707473886 /nfs/dbraw/zinc/47/38/86/707473886.db2.gz YABANGIUOVBWSK-NSHDSACASA-N -1 1 315.391 1.494 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC[C@@H]1CC[C@H](C(F)(F)F)O1 ZINC000830442719 706518671 /nfs/dbraw/zinc/51/86/71/706518671.db2.gz KNCFNQOKSAVMIU-DTWKUNHWSA-N -1 1 321.303 1.139 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](c1ccccc1)C1CCOCC1 ZINC000865897333 706556480 /nfs/dbraw/zinc/55/64/80/706556480.db2.gz ZSDIIGARPUQLLQ-INIZCTEOSA-N -1 1 315.377 1.416 20 0 DDADMM CCOCC1(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000830800828 706582288 /nfs/dbraw/zinc/58/22/88/706582288.db2.gz AQKDOOLMTNUABP-LBPRGKRZSA-N -1 1 322.327 1.473 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCCCS2)C1 ZINC000830807619 706583019 /nfs/dbraw/zinc/58/30/19/706583019.db2.gz NLZRGYZQRFCFGL-CABZTGNLSA-N -1 1 324.368 1.942 20 0 DDADMM CO[C@H](C)CCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807649 706583194 /nfs/dbraw/zinc/58/31/94/706583194.db2.gz NZWLQCMBYZYZTC-SKDRFNHKSA-N -1 1 310.316 1.471 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCSC2)C1 ZINC000830815984 706585151 /nfs/dbraw/zinc/58/51/51/706585151.db2.gz IFNOEIPOVWSZDF-KCJUWKMLSA-N -1 1 310.341 1.409 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1ccc(F)nc1F)C(=O)OC ZINC000866887618 706835517 /nfs/dbraw/zinc/83/55/17/706835517.db2.gz AAQZWWAFKRAMOH-UHFFFAOYSA-N -1 1 322.333 1.370 20 0 DDADMM C[C@H](CCO)C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866892630 706836904 /nfs/dbraw/zinc/83/69/04/706836904.db2.gz GBMMUYYBRRDCHQ-SSDOTTSWSA-N -1 1 313.206 1.685 20 0 DDADMM CCC(C)(C)CCS(=O)(=O)[N-][C@](C)(COC)C(=O)OC ZINC000866948042 706853028 /nfs/dbraw/zinc/85/30/28/706853028.db2.gz GEGKMHGMHMEEAP-CYBMUJFWSA-N -1 1 309.428 1.310 20 0 DDADMM COc1cc(C)ccc1C[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866952492 706854588 /nfs/dbraw/zinc/85/45/88/706854588.db2.gz CVFKKDYBSJDFPP-UHFFFAOYSA-N -1 1 306.409 1.066 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C1CCOCC1 ZINC000866965711 706858212 /nfs/dbraw/zinc/85/82/12/706858212.db2.gz XYPQVDOLJRWRJP-QMMMGPOBSA-N -1 1 306.334 1.453 20 0 DDADMM O=C(CCc1ccccc1N1CCOCC1)[N-]OCC(F)F ZINC000832185204 706864398 /nfs/dbraw/zinc/86/43/98/706864398.db2.gz DWWMJCCOJUPKIL-UHFFFAOYSA-N -1 1 314.332 1.769 20 0 DDADMM COC/C(C)=C/COC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000908991810 712910858 /nfs/dbraw/zinc/91/08/58/712910858.db2.gz DOJFYXDDCXCNCT-BJMVGYQFSA-N -1 1 319.361 1.730 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(Cl)ccnc1Cl)[C@H](C)O ZINC000832309572 706888880 /nfs/dbraw/zinc/88/88/80/706888880.db2.gz HZISOFBKZKQOOP-POYBYMJQSA-N -1 1 313.206 1.826 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867095776 706894530 /nfs/dbraw/zinc/89/45/30/706894530.db2.gz FDJJSHUSANDVHB-JGVFFNPUSA-N -1 1 322.333 1.226 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867095775 706894713 /nfs/dbraw/zinc/89/47/13/706894713.db2.gz FDJJSHUSANDVHB-HTQZYQBOSA-N -1 1 322.333 1.226 20 0 DDADMM Cc1csc2nc(CC(=O)N(C)C[C@H](C)c3nn[n-]n3)cn12 ZINC000867380093 706981666 /nfs/dbraw/zinc/98/16/66/706981666.db2.gz BPUJKCNOSUXWHC-QMMMGPOBSA-N -1 1 319.394 1.022 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)C1CCCCC1 ZINC000833124008 707001223 /nfs/dbraw/zinc/00/12/23/707001223.db2.gz DBWSLEIQFQTVPD-RISCZKNCSA-N -1 1 305.378 1.979 20 0 DDADMM CCOC(=O)C1=CC[C@@H]([N-]S(=O)(=O)c2cc(C)ns2)C1 ZINC000867559221 707039363 /nfs/dbraw/zinc/03/93/63/707039363.db2.gz KNSCEGBWCVBYRX-SNVBAGLBSA-N -1 1 316.404 1.382 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@@H](O)C1)c1cc(Cl)cnc1Cl ZINC000867564525 707041063 /nfs/dbraw/zinc/04/10/63/707041063.db2.gz KQBFGVHJIHYBON-WRXNHJIOSA-N -1 1 311.190 1.438 20 0 DDADMM CSc1nc(CNC(=O)[C@H](C)Cn2ccc(C)n2)cc(=O)[n-]1 ZINC000880650045 707048207 /nfs/dbraw/zinc/04/82/07/707048207.db2.gz JUVLMXYDJWHKPF-SECBINFHSA-N -1 1 321.406 1.362 20 0 DDADMM O=S(=O)([N-][C@H]1[C@@H]2OC[C@@H]3C[C@H]1C[C@@H]32)c1cc(F)ccc1F ZINC000867615449 707055217 /nfs/dbraw/zinc/05/52/17/707055217.db2.gz ZTISBRYGKXWOFZ-LIHPDXLKSA-N -1 1 315.341 1.667 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccnc1Cl)[C@H](O)C(F)(F)F ZINC000867644666 707061980 /nfs/dbraw/zinc/06/19/80/707061980.db2.gz WDBXKPSOJLKJQD-FSPLSTOPSA-N -1 1 318.704 1.325 20 0 DDADMM CC(C)([N-]S(=O)(=O)N=S1(=O)CCCC1)[C@H]1CCCCO1 ZINC000867684602 707074319 /nfs/dbraw/zinc/07/43/19/707074319.db2.gz WGUFHQADIBEGJO-LLVKDONJSA-N -1 1 324.468 1.430 20 0 DDADMM Cc1cnc(SCc2cn(C[C@H]3CCOC3)nn2)[n-]c1=O ZINC000871673416 707163919 /nfs/dbraw/zinc/16/39/19/707163919.db2.gz JZXPTELEUWOSEW-SNVBAGLBSA-N -1 1 307.379 1.411 20 0 DDADMM CC(C)(C)n1nnc(C(=O)NCc2ccc([O-])c(Cl)c2)n1 ZINC000871750681 707187677 /nfs/dbraw/zinc/18/76/77/707187677.db2.gz CWVDWDFVSZBKNR-UHFFFAOYSA-N -1 1 309.757 1.717 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000909093776 712935973 /nfs/dbraw/zinc/93/59/73/712935973.db2.gz HVNFSZCJWWPARY-NSHDSACASA-N -1 1 311.357 1.372 20 0 DDADMM CO[C@@H]1CN2C(=O)N(Cc3ccc([O-])c(Cl)c3)C(=O)[C@@]2(C)C1 ZINC000871910719 707242261 /nfs/dbraw/zinc/24/22/61/707242261.db2.gz AJSPMNYRZMNHAA-ZUZCIYMTSA-N -1 1 324.764 1.987 20 0 DDADMM Cc1oc(C(=O)[O-])cc1S(=O)(=O)N(CCN(C)C)C(C)C ZINC000871923614 707246323 /nfs/dbraw/zinc/24/63/23/707246323.db2.gz YNFOXJRSCMPHKA-UHFFFAOYSA-N -1 1 318.395 1.247 20 0 DDADMM COC1(CS(=O)(=O)[N-]N=c2cc(Cl)[nH]c(C)n2)CCC1 ZINC000872375517 707393976 /nfs/dbraw/zinc/39/39/76/707393976.db2.gz HPDBUIFLYAFADF-UHFFFAOYSA-N -1 1 320.802 1.254 20 0 DDADMM O=C([O-])CN(C(=O)NCc1ccc2cncn2c1)C1CCC1 ZINC000909169009 712952408 /nfs/dbraw/zinc/95/24/08/712952408.db2.gz HKPUOLBKVHWAGQ-UHFFFAOYSA-N -1 1 302.334 1.483 20 0 DDADMM CO[C@H]([C@@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CC1 ZINC000836571585 707481595 /nfs/dbraw/zinc/48/15/95/707481595.db2.gz BQARYOWITGEMPY-NOZJJQNGSA-N -1 1 303.366 1.277 20 0 DDADMM CC1(C)CCN(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1=O ZINC000872752582 707584699 /nfs/dbraw/zinc/58/46/99/707584699.db2.gz FWVQEFLNAJMSFR-ZDUSSCGKSA-N -1 1 321.343 1.345 20 0 DDADMM C[N@H+]1CCCC(C)(C)[C@H]1CNC(=O)N(CC(=O)[O-])C1CCC1 ZINC000909210675 712964522 /nfs/dbraw/zinc/96/45/22/712964522.db2.gz ONSOAGFUNBVDDV-CYBMUJFWSA-N -1 1 311.426 1.756 20 0 DDADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]c1ccc2[nH]ccc2c1 ZINC000882671589 707742225 /nfs/dbraw/zinc/74/22/25/707742225.db2.gz QVYMMOBGKDWAFB-LJQANCHMSA-N -1 1 316.408 1.399 20 0 DDADMM CC1(C)C[C@H](O)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000909257477 712975637 /nfs/dbraw/zinc/97/56/37/712975637.db2.gz BBRZXEWBEOBUSH-LLVKDONJSA-N -1 1 305.378 1.683 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)[C@@H]1CCCOC1 ZINC000882760668 707776484 /nfs/dbraw/zinc/77/64/84/707776484.db2.gz JLIWYIUYAOSOAN-NEPJUHHUSA-N -1 1 324.468 1.288 20 0 DDADMM COC1CCC(NC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000837957393 707797535 /nfs/dbraw/zinc/79/75/35/707797535.db2.gz DBFGYFUHQPPIMD-UHFFFAOYSA-N -1 1 306.391 1.705 20 0 DDADMM O=C(COC(=O)CCCC(=O)C1CC1)[N-]C(=O)c1ccccc1 ZINC000838153401 707855456 /nfs/dbraw/zinc/85/54/56/707855456.db2.gz TTWXNEYHAAHNAZ-UHFFFAOYSA-N -1 1 317.341 1.636 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@]2(C)CCC(=O)N2)c1 ZINC000873458671 707859001 /nfs/dbraw/zinc/85/90/01/707859001.db2.gz OKAPTGRZIGDMOF-INIZCTEOSA-N -1 1 305.330 1.349 20 0 DDADMM CCC[C@@H](CC)C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000873517747 707882666 /nfs/dbraw/zinc/88/26/66/707882666.db2.gz RZRPGAUUBYBXMA-LLVKDONJSA-N -1 1 306.366 1.638 20 0 DDADMM CCC[C@H](CC)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000873542699 707891871 /nfs/dbraw/zinc/89/18/71/707891871.db2.gz XQKHERFSSNYVKD-LBPRGKRZSA-N -1 1 304.456 1.743 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)CCc1cnc[nH]1)c1ccc(F)cc1F ZINC000909340368 712995672 /nfs/dbraw/zinc/99/56/72/712995672.db2.gz ADBHQXXKPOJFSH-CYBMUJFWSA-N -1 1 323.299 1.953 20 0 DDADMM CC[C@H](OC(=O)c1coc(S(=O)(=O)[N-]C)c1)c1ccncc1 ZINC000839005787 708014507 /nfs/dbraw/zinc/01/45/07/708014507.db2.gz AFUZGTWDVXUKOF-LBPRGKRZSA-N -1 1 324.358 1.891 20 0 DDADMM O=C([O-])C1(NC(=O)[C@@H]2CCCc3[nH]ncc32)CCSCC1 ZINC000909345958 712996464 /nfs/dbraw/zinc/99/64/64/712996464.db2.gz AXLWNRMGWSQLLE-SECBINFHSA-N -1 1 309.391 1.296 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@H](C(=O)[O-])c1ccc(Cl)c(F)c1 ZINC000909391839 713006773 /nfs/dbraw/zinc/00/67/73/713006773.db2.gz XYKMGJYYBCDNDO-NEPJUHHUSA-N -1 1 314.744 1.815 20 0 DDADMM O=C(CC[C@@H]1CC[C@H](C2OCCO2)O1)[N-]OCC(F)(F)F ZINC000897032668 708212565 /nfs/dbraw/zinc/21/25/65/708212565.db2.gz XBKAYBDZSHLCLT-DTWKUNHWSA-N -1 1 313.272 1.297 20 0 DDADMM CC1CCN(CC(=O)NCCc2cccc(C(=O)[O-])c2)CC1 ZINC000909463240 713024452 /nfs/dbraw/zinc/02/44/52/713024452.db2.gz UHFLRFWCSJFQQH-UHFFFAOYSA-N -1 1 304.390 1.775 20 0 DDADMM O=C([O-])CCCN(Cc1ccccc1)C(=O)Cc1ncn[nH]1 ZINC000909475783 713027974 /nfs/dbraw/zinc/02/79/74/713027974.db2.gz JHHBWTZWRWQARD-UHFFFAOYSA-N -1 1 302.334 1.241 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCN(c2ccccc2)C1)c1ccns1 ZINC000884974534 708432910 /nfs/dbraw/zinc/43/29/10/708432910.db2.gz XRVLYXVZRDUTEE-LBPRGKRZSA-N -1 1 323.443 1.948 20 0 DDADMM COc1ccccc1[C@H](O)C[N-]S(=O)(=O)c1ccns1 ZINC000885023622 708445969 /nfs/dbraw/zinc/44/59/69/708445969.db2.gz CGYLAXYTUCEAFI-SNVBAGLBSA-N -1 1 314.388 1.164 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccns1)[C@@H](O)c1ccc(F)cc1 ZINC000885028068 708447405 /nfs/dbraw/zinc/44/74/05/708447405.db2.gz SXMCGTHGIZJREO-PRHODGIISA-N -1 1 316.379 1.683 20 0 DDADMM CC(C)[C@@H](C[N-]S(=O)(=O)c1ccns1)c1ccnn1C ZINC000885131424 708477998 /nfs/dbraw/zinc/47/79/98/708477998.db2.gz HBWHVNHYXWUTGP-SNVBAGLBSA-N -1 1 314.436 1.595 20 0 DDADMM O=C(NC[C@H]1CNC(=O)C1)c1ccc2ccc(O)cc2c1[O-] ZINC000897970993 708507097 /nfs/dbraw/zinc/50/70/97/708507097.db2.gz QYTZLQVWNXROTR-SECBINFHSA-N -1 1 300.314 1.117 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCOc2cccc(F)c2)sn1 ZINC000885374790 708529493 /nfs/dbraw/zinc/52/94/93/708529493.db2.gz OLPCMRJXXDNAON-UHFFFAOYSA-N -1 1 316.379 1.948 20 0 DDADMM O=C(NCCO[C@H]1CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000885724614 708608862 /nfs/dbraw/zinc/60/88/62/708608862.db2.gz HESHPNIROFYELC-JTQLQIEISA-N -1 1 319.279 1.946 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cc(C)ns1)[C@H]1CCCOC1 ZINC000885750509 708617276 /nfs/dbraw/zinc/61/72/76/708617276.db2.gz OURLNCQAJRTSGV-QWRGUYRKSA-N -1 1 320.436 1.171 20 0 DDADMM CN1CC[C@@H](C(=O)NCCc2c(F)cc([O-])cc2F)CC1=O ZINC000886262916 708731447 /nfs/dbraw/zinc/73/14/47/708731447.db2.gz GCBFTMADMITKCL-SECBINFHSA-N -1 1 312.316 1.198 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H](O)C1CCOCC1 ZINC000886264917 708731969 /nfs/dbraw/zinc/73/19/69/708731969.db2.gz PYQMXJGZNARQQM-AWEZNQCLSA-N -1 1 315.316 1.117 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H]1CCc2cncn2C1 ZINC000886268324 708732936 /nfs/dbraw/zinc/73/29/36/708732936.db2.gz LRAVVJPLORXOFV-JTQLQIEISA-N -1 1 321.327 1.788 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NCCc1c(F)cc(O)cc1F ZINC000886269796 708733194 /nfs/dbraw/zinc/73/31/94/708733194.db2.gz HSRYAPOABJFJOX-SECBINFHSA-N -1 1 309.316 1.931 20 0 DDADMM C[C@H](Cc1c[nH]cn1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886269796 708733197 /nfs/dbraw/zinc/73/31/97/708733197.db2.gz HSRYAPOABJFJOX-SECBINFHSA-N -1 1 309.316 1.931 20 0 DDADMM O=C(CC1(O)CCOCC1)NCCc1c(F)cc([O-])cc1F ZINC000886271433 708733646 /nfs/dbraw/zinc/73/36/46/708733646.db2.gz IZLJPNUWSIQPHZ-UHFFFAOYSA-N -1 1 315.316 1.261 20 0 DDADMM CC(C)(CO)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927785597 713055938 /nfs/dbraw/zinc/05/59/38/713055938.db2.gz MGLDCXIZEAQUIK-UHFFFAOYSA-N -1 1 302.321 1.531 20 0 DDADMM C[C@@H](O)CN(C(=O)NCCc1c(F)cc([O-])cc1F)C1CC1 ZINC000927787365 713056273 /nfs/dbraw/zinc/05/62/73/713056273.db2.gz RXPZWKPDEONCQK-SECBINFHSA-N -1 1 314.332 1.768 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C1(c2cccc(Cl)c2)CC1 ZINC000898750279 708854551 /nfs/dbraw/zinc/85/45/51/708854551.db2.gz GHBKVJQBDDVIID-UHFFFAOYSA-N -1 1 319.748 1.889 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2C[C@@](CO)(c3ccccc3)C2)c([O-])c1 ZINC000886912706 708892813 /nfs/dbraw/zinc/89/28/13/708892813.db2.gz AUOZMIYUEJILLD-UJKQEGAGSA-N -1 1 312.369 1.918 20 0 DDADMM O=S(=O)(CCOC1CC1)c1n[n-]c(CCC2CCCC2)n1 ZINC000899470713 709090391 /nfs/dbraw/zinc/09/03/91/709090391.db2.gz MPVGSSIWFRZOAV-UHFFFAOYSA-N -1 1 313.423 1.880 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2C[C@H]2CCCO2)c([O-])c1 ZINC000887843128 709140898 /nfs/dbraw/zinc/14/08/98/709140898.db2.gz DQWNUDBJKHNVLJ-QWHCGFSZSA-N -1 1 306.362 1.506 20 0 DDADMM COC(=O)[C@H]1CCN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000888069062 709206408 /nfs/dbraw/zinc/20/64/08/709206408.db2.gz XJOAANIQPMRMJX-SECBINFHSA-N -1 1 303.236 1.799 20 0 DDADMM CCN1CC[C@H]1CNC(=O)C[C@@H](C(=O)[O-])c1ccc(C)cc1 ZINC000899835910 709210830 /nfs/dbraw/zinc/21/08/30/709210830.db2.gz QFYBQYYMRIDDQI-LSDHHAIUSA-N -1 1 304.390 1.764 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCCC3(CC3)C2)co1 ZINC000888698079 709363523 /nfs/dbraw/zinc/36/35/23/709363523.db2.gz HZTZXADYQBLZKE-NSHDSACASA-N -1 1 312.391 1.640 20 0 DDADMM CC1(C)CNC(=O)[C@@H]1[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000912820924 713100316 /nfs/dbraw/zinc/10/03/16/713100316.db2.gz OTVMZIIUDZFUPM-JTQLQIEISA-N -1 1 318.270 1.697 20 0 DDADMM CC(C)(C(=O)[O-])[C@H]1CCCN(C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC000909489779 709486531 /nfs/dbraw/zinc/48/65/31/709486531.db2.gz FRUXFZCXZQBMAQ-RYUDHWBXSA-N -1 1 319.405 1.864 20 0 DDADMM CN(CC(=O)N[C@]1(C)CCCC[C@@H]1C(=O)[O-])[C@H]1CCSC1 ZINC000909526762 709502190 /nfs/dbraw/zinc/50/21/90/709502190.db2.gz ATCZWXGMBOBTID-YWPYICTPSA-N -1 1 314.451 1.573 20 0 DDADMM C[C@]1(NC(=O)[C@@H]2CCc3nc[nH]c3C2)CCCC[C@H]1C(=O)[O-] ZINC000909532604 709504953 /nfs/dbraw/zinc/50/49/53/709504953.db2.gz ZPPQOCZOMMDLJF-GDLVEWKHSA-N -1 1 305.378 1.664 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C)cnc1F)c1nn[n-]n1 ZINC000912858537 713109169 /nfs/dbraw/zinc/10/91/69/713109169.db2.gz SXNMPHNZONJEIK-VIFPVBQESA-N -1 1 310.358 1.266 20 0 DDADMM CSCC[C@H](NC(=O)COc1cccc(C)c1)c1nn[n-]n1 ZINC000912860119 713109553 /nfs/dbraw/zinc/10/95/53/713109553.db2.gz NZQSPCVJTHSEDO-LBPRGKRZSA-N -1 1 321.406 1.498 20 0 DDADMM CCCCO[C@H](C)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912860759 713109932 /nfs/dbraw/zinc/10/99/32/713109932.db2.gz XMXUATHJCHIANN-ZJUUUORDSA-N -1 1 301.416 1.315 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(C(C)C)nc1)c1nn[n-]n1 ZINC000912861634 713110560 /nfs/dbraw/zinc/11/05/60/713110560.db2.gz SJLJBPLANVGXFE-LBPRGKRZSA-N -1 1 320.422 1.942 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1C[C@H]1OC(C)(C)C)c1nn[n-]n1 ZINC000912863209 713111498 /nfs/dbraw/zinc/11/14/98/713111498.db2.gz OSOJPFQRGKXKIB-KXUCPTDWSA-N -1 1 313.427 1.314 20 0 DDADMM CN(CC(=O)N1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)[O-])[C@@H]1CCSC1 ZINC000909676306 709570842 /nfs/dbraw/zinc/57/08/42/709570842.db2.gz CKIWFXILNGTJGM-OPDFLTKYSA-N -1 1 312.435 1.135 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC000900457822 709603901 /nfs/dbraw/zinc/60/39/01/709603901.db2.gz NKCBWQDGQRZAQA-GUYCJALGSA-N -1 1 320.389 1.798 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CC2(OC)CCC2)[n-]c1=O ZINC000889789960 709637637 /nfs/dbraw/zinc/63/76/37/709637637.db2.gz LKYWFSHBPSUHFT-LLVKDONJSA-N -1 1 321.377 1.814 20 0 DDADMM C[C@@H](NC(=O)CN(C)C1CCC1)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909819989 709639097 /nfs/dbraw/zinc/63/90/97/709639097.db2.gz LSIZGVFASQTFHY-CJNGLKHVSA-N -1 1 318.417 1.919 20 0 DDADMM Cc1nn(C)cc1[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900542454 709644758 /nfs/dbraw/zinc/64/47/58/709644758.db2.gz VHWKZCSCDXDWJU-MRVPVSSYSA-N -1 1 301.350 1.593 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2C[C@]2(F)c2ccccc2)C1 ZINC000909851131 709651938 /nfs/dbraw/zinc/65/19/38/709651938.db2.gz MGHWOJNJXWUHNX-JDFRZJQESA-N -1 1 320.364 1.537 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@@H](NC(=O)CN(C)C2CCC2)C(=O)[O-])C1 ZINC000909910784 709683771 /nfs/dbraw/zinc/68/37/71/709683771.db2.gz BNNQNDORGBAERB-UXIGCNINSA-N -1 1 312.410 1.245 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)c2ccc3cncn3c2)CC12CCC2 ZINC000909941964 709698500 /nfs/dbraw/zinc/69/85/00/709698500.db2.gz UVCVSHDDVBHVGN-INIZCTEOSA-N -1 1 317.320 1.753 20 0 DDADMM COCC(=O)N[C@H](C(=O)Nc1cc([O-])c(F)cc1F)C(C)C ZINC000909981574 709716779 /nfs/dbraw/zinc/71/67/79/709716779.db2.gz SWGOMQMZDFCCPI-ZDUSSCGKSA-N -1 1 316.304 1.396 20 0 DDADMM CC[C@@H](O)[C@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000900708630 709722084 /nfs/dbraw/zinc/72/20/84/709722084.db2.gz DJCJQNMIBMYTNB-QPUJVOFHSA-N -1 1 306.366 1.075 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CC[C@H](C(=O)[O-])[C@H](C)C2)C1 ZINC000909988570 709723186 /nfs/dbraw/zinc/72/31/86/709723186.db2.gz QOQMOIPCIBHDRB-LFSVMHDDSA-N -1 1 305.378 1.645 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)N[C@H]1CCC[C@@]1(C)C(=O)[O-] ZINC000910002094 709730832 /nfs/dbraw/zinc/73/08/32/709730832.db2.gz RMRXEXRLGOBTRR-BLLLJJGKSA-N -1 1 312.410 1.104 20 0 DDADMM O=C([O-])[C@@H]1CSCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000910148792 709791911 /nfs/dbraw/zinc/79/19/11/709791911.db2.gz XNDFDXBDZWRWMJ-VHSXEESVSA-N -1 1 309.391 1.106 20 0 DDADMM Cc1ccn([C@H](C)CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)n1 ZINC000910169666 709796028 /nfs/dbraw/zinc/79/60/28/709796028.db2.gz GDIIWFGMCRSZEG-UONOGXRCSA-N -1 1 322.409 1.150 20 0 DDADMM CC(C)(C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1)c1ccccn1 ZINC000910178376 709799236 /nfs/dbraw/zinc/79/92/36/709799236.db2.gz AZVMKCOPCQLPBJ-UHFFFAOYSA-N -1 1 319.405 1.367 20 0 DDADMM CC(C)c1cccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)n1 ZINC000910178944 709799821 /nfs/dbraw/zinc/79/98/21/709799821.db2.gz RXVCJUBUKIPNPG-UHFFFAOYSA-N -1 1 319.405 1.826 20 0 DDADMM CCN(CC)CC(=O)Nc1ccc(O[C@H](C)C(=O)[O-])c(F)c1 ZINC000910227348 709826045 /nfs/dbraw/zinc/82/60/45/709826045.db2.gz DRLWQOLRXWFSDO-SNVBAGLBSA-N -1 1 312.341 1.958 20 0 DDADMM Cc1cccc2c1CC[C@H]2NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000910241413 709835076 /nfs/dbraw/zinc/83/50/76/709835076.db2.gz ASBCHPLMOACZIR-XJKSGUPXSA-N -1 1 316.401 1.895 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)[C@@H]2CCC[C@H]21 ZINC000910247979 709839012 /nfs/dbraw/zinc/83/90/12/709839012.db2.gz QGFLGZKXBOYJAD-NWJSVONSSA-N -1 1 317.389 1.616 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H]1CCC2(CCC2)CO1)c1nn[n-]n1 ZINC000900984808 709862883 /nfs/dbraw/zinc/86/28/83/709862883.db2.gz SDIHFCFPVBPLGB-NWDGAFQWSA-N -1 1 307.398 1.897 20 0 DDADMM O=C(NC[C@H]1CSCCS1)c1cnc(C2CC2)[n-]c1=O ZINC000900991686 709867527 /nfs/dbraw/zinc/86/75/27/709867527.db2.gz KYRUAKQYYFKHKF-VIFPVBQESA-N -1 1 311.432 1.638 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC[C@@H]1CC1CCOCC1 ZINC000890804818 709995210 /nfs/dbraw/zinc/99/52/10/709995210.db2.gz WBFRUAQMCXYOSS-ZDUSSCGKSA-N -1 1 306.362 1.445 20 0 DDADMM CN1CCN(C(=O)c2ccoc2CC(=O)[O-])C2(CCCCC2)C1 ZINC000910688560 710048354 /nfs/dbraw/zinc/04/83/54/710048354.db2.gz WCQFAIMDDUFTFG-UHFFFAOYSA-N -1 1 320.389 1.997 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC/C=C(\C)COC ZINC000901655191 710109644 /nfs/dbraw/zinc/10/96/44/710109644.db2.gz UIUIJGCCXYBHJK-ONNFQVAWSA-N -1 1 300.380 1.280 20 0 DDADMM CC(C)Oc1cccc([N-]S(=O)(=O)N=S(C)(C)=O)c1 ZINC000901674644 710116058 /nfs/dbraw/zinc/11/60/58/710116058.db2.gz PSKQCAJDHWECGD-UHFFFAOYSA-N -1 1 306.409 1.858 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc3[nH]cnc3cc2F)C[C@H]1C(=O)[O-] ZINC000901697844 710122488 /nfs/dbraw/zinc/12/24/88/710122488.db2.gz UJLITBBGAKSZEQ-PSASIEDQSA-N -1 1 305.309 1.885 20 0 DDADMM O=C([O-])C[C@]1(NCc2cn3cc(Cl)ccc3n2)CCOC1 ZINC000901839225 710158178 /nfs/dbraw/zinc/15/81/78/710158178.db2.gz XWWLPNKFGGTXNT-CQSZACIVSA-N -1 1 309.753 1.711 20 0 DDADMM Cc1cc(CN2CC[C@](O)(C(=O)[O-])C2)c(OC(F)F)cc1C ZINC000901903112 710169691 /nfs/dbraw/zinc/16/96/91/710169691.db2.gz QGCZZVPVIOQOTF-OAHLLOKOSA-N -1 1 315.316 1.926 20 0 DDADMM O=C([O-])CC[C@@H]1C[C@@H](C(=O)N2CCN(C3CCCC3)CC2)CO1 ZINC000901942624 710180760 /nfs/dbraw/zinc/18/07/60/710180760.db2.gz VBPLXPQHIZZJQU-UKRRQHHQSA-N -1 1 324.421 1.343 20 0 DDADMM CCOCCO[C@@H](C)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891624294 710251763 /nfs/dbraw/zinc/25/17/63/710251763.db2.gz PQPGKVLOYARZNQ-JTQLQIEISA-N -1 1 309.366 1.441 20 0 DDADMM CC(=O)N1CCC[C@@H](C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)C1 ZINC000891629018 710252467 /nfs/dbraw/zinc/25/24/67/710252467.db2.gz YGYYVELHRMFYBT-LLVKDONJSA-N -1 1 318.377 1.258 20 0 DDADMM COCc1nc(NC[C@H](O)COc2ccccc2)cc(=O)[n-]1 ZINC000891794683 710297137 /nfs/dbraw/zinc/29/71/37/710297137.db2.gz YZUVZBBQGGFMOV-NSHDSACASA-N -1 1 305.334 1.180 20 0 DDADMM COCc1nc(NCC(=O)NCCc2ccccc2)cc(=O)[n-]1 ZINC000892130689 710377368 /nfs/dbraw/zinc/37/73/68/710377368.db2.gz QGPXRZPWYUYIEX-UHFFFAOYSA-N -1 1 316.361 1.099 20 0 DDADMM COCc1nc(N2CCN(C)c3ccccc3C2)cc(=O)[n-]1 ZINC000892309510 710414360 /nfs/dbraw/zinc/41/43/60/710414360.db2.gz GNZNOCQVBOJGSY-UHFFFAOYSA-N -1 1 300.362 1.785 20 0 DDADMM COc1cc(C(=O)NN2CCC[C@@H](OC)C2)cc(Cl)c1[O-] ZINC000928416706 713186601 /nfs/dbraw/zinc/18/66/01/713186601.db2.gz ZHDSSTPNOPUXFQ-SNVBAGLBSA-N -1 1 314.769 1.810 20 0 DDADMM COCc1nc(NC[C@@](C)(O)c2ccc(F)cc2)cc(=O)[n-]1 ZINC000893010448 710540892 /nfs/dbraw/zinc/54/08/92/710540892.db2.gz XOHYKAAXBAHLSA-OAHLLOKOSA-N -1 1 307.325 1.787 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@@H]1C[C@]12CCOC2 ZINC000902150915 710636017 /nfs/dbraw/zinc/63/60/17/710636017.db2.gz ZOOUPHYOZCAQQY-HZMBPMFUSA-N -1 1 312.391 1.114 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)NCc1ccc(OCC(=O)[O-])cc1 ZINC000902193350 710658449 /nfs/dbraw/zinc/65/84/49/710658449.db2.gz YRWCGDCAHBKGBI-RYUDHWBXSA-N -1 1 308.378 1.543 20 0 DDADMM O=C([O-])c1occc1CN[C@H]1CCN(Cc2ccccc2)C1=O ZINC000902195601 710659700 /nfs/dbraw/zinc/65/97/00/710659700.db2.gz IZWIOSASCIAWAG-AWEZNQCLSA-N -1 1 314.341 1.869 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@@H]2CC[C@@H]2C(=O)[O-])N2CCCC2)o1 ZINC000911332075 710745945 /nfs/dbraw/zinc/74/59/45/710745945.db2.gz IUKFAGFWRJXGKQ-HZSPNIEDSA-N -1 1 320.389 1.952 20 0 DDADMM CS(=O)(=O)CCCCSCCC[N-]C(=O)C(F)(F)F ZINC000902464137 710762275 /nfs/dbraw/zinc/76/22/75/710762275.db2.gz TVNANQLEGJOHHI-UHFFFAOYSA-N -1 1 321.386 1.613 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)C23CCC(C(=O)[O-])(CC2)C3)n[nH]1 ZINC000911388528 710774234 /nfs/dbraw/zinc/77/42/34/710774234.db2.gz BORVWFJZYOEWOA-CXPKIZLGSA-N -1 1 305.378 1.800 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCN(Cc3cn(-c4ccc(F)cc4)nn3)C[C@@H]21 ZINC000902553907 710792285 /nfs/dbraw/zinc/79/22/85/710792285.db2.gz IZPOPDADGGPPIX-QLFBSQMISA-N -1 1 316.336 1.559 20 0 DDADMM COC[C@@H]1COCCN1Cn1[n-]c(-c2ccccn2)nc1=S ZINC000902560253 710795939 /nfs/dbraw/zinc/79/59/39/710795939.db2.gz OHSPVTLPKKHLNB-LLVKDONJSA-N -1 1 321.406 1.307 20 0 DDADMM CCC(CC)(CCO)CNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911569478 710858970 /nfs/dbraw/zinc/85/89/70/710858970.db2.gz OOPMQHKHCSEOKK-ZDUSSCGKSA-N -1 1 314.426 1.088 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@@H]([C@H]2CCCO2)C1 ZINC000902780632 710899394 /nfs/dbraw/zinc/89/93/94/710899394.db2.gz MYYJMAACJOIHGO-BXUZGUMPSA-N -1 1 313.357 1.564 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cc(C)nnc1N(C)C)C1CC1 ZINC000903176108 711055382 /nfs/dbraw/zinc/05/53/82/711055382.db2.gz LJJLMQCAYRMQNP-LBPRGKRZSA-N -1 1 314.411 1.018 20 0 DDADMM COCc1nc(N2CC[C@@H](Oc3ccccc3)C2)cc(=O)[n-]1 ZINC000894611435 711214359 /nfs/dbraw/zinc/21/43/59/711214359.db2.gz SMYCEVMSGUSFCE-CYBMUJFWSA-N -1 1 301.346 1.986 20 0 DDADMM Cc1nc([C@@H]2CCN(CCOc3cccc(C(=O)[O-])c3)C2)no1 ZINC000903627444 711229331 /nfs/dbraw/zinc/22/93/31/711229331.db2.gz PTSKTEBHRMZFKF-CYBMUJFWSA-N -1 1 317.345 1.945 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@H+]1CCOC[C@@H](C)C1 ZINC000903632997 711231112 /nfs/dbraw/zinc/23/11/12/711231112.db2.gz CFFZAPASDIJPGK-STQMWFEESA-N -1 1 320.389 1.358 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCOC[C@@H](C)C1 ZINC000903632997 711231116 /nfs/dbraw/zinc/23/11/16/711231116.db2.gz CFFZAPASDIJPGK-STQMWFEESA-N -1 1 320.389 1.358 20 0 DDADMM COC(C)(C)[C@@H](C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000928594159 713237691 /nfs/dbraw/zinc/23/76/91/713237691.db2.gz FEDGQUYBFYTHJA-LLVKDONJSA-N -1 1 320.393 1.524 20 0 DDADMM CC[C@H](OC1CCCCC1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495234 713237877 /nfs/dbraw/zinc/23/78/77/713237877.db2.gz IWGZGFGUKQRZCL-OLZOCXBDSA-N -1 1 323.397 1.228 20 0 DDADMM CCOC(=O)[C@@H](CCc1ccccc1)N1CC[C@@H](C(=O)[O-])C1 ZINC000903692785 711246185 /nfs/dbraw/zinc/24/61/85/711246185.db2.gz BGEXIAARRALFLI-HUUCEWRRSA-N -1 1 305.374 1.957 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N[C@H](C)c1ccccc1 ZINC000903712175 711251165 /nfs/dbraw/zinc/25/11/65/711251165.db2.gz SAPFKMQIRXIAIQ-ZIAGYGMSSA-N -1 1 322.405 1.675 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1cc(C(=O)OC)sc1C ZINC000903712959 711251747 /nfs/dbraw/zinc/25/17/47/711251747.db2.gz VZPGMMWMTGHJDO-UHFFFAOYSA-N -1 1 315.391 1.766 20 0 DDADMM CCCCCCO[C@H](C)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913498807 713239320 /nfs/dbraw/zinc/23/93/20/713239320.db2.gz JTLSTGQDPVEKFO-VXGBXAGGSA-N -1 1 311.386 1.085 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C(F)=C(C)C2CC2)n[n-]1 ZINC000912363434 711292764 /nfs/dbraw/zinc/29/27/64/711292764.db2.gz OKWWDYGJIWKLAE-FBHKUJJOSA-N -1 1 310.329 1.812 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C(F)=C(C)C2CC2)[n-]1 ZINC000912363434 711292767 /nfs/dbraw/zinc/29/27/67/711292767.db2.gz OKWWDYGJIWKLAE-FBHKUJJOSA-N -1 1 310.329 1.812 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)/C(F)=C(\C)C2CC2)n1 ZINC000912363434 711292770 /nfs/dbraw/zinc/29/27/70/711292770.db2.gz OKWWDYGJIWKLAE-FBHKUJJOSA-N -1 1 310.329 1.812 20 0 DDADMM Cc1nc(N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)cc(=O)[nH]1 ZINC000895040151 711380048 /nfs/dbraw/zinc/38/00/48/711380048.db2.gz KTACCRRFDGTCAN-NSHDSACASA-N -1 1 304.272 1.138 20 0 DDADMM O=C([O-])N[C@H]1C[C@@H](NCc2nnc3n2CCCCC3)C12CCC2 ZINC000904206092 711408620 /nfs/dbraw/zinc/40/86/20/711408620.db2.gz ZJUDSPYGBGEVFP-NEPJUHHUSA-N -1 1 319.409 1.673 20 0 DDADMM CC[C@@H](CN1CCOCC1)NC(=O)c1c(F)ccc([O-])c1F ZINC000928651470 713251548 /nfs/dbraw/zinc/25/15/48/713251548.db2.gz PONGZEOZENCAAP-JTQLQIEISA-N -1 1 314.332 1.511 20 0 DDADMM COCc1nc(N2CCC(C(=O)OC(C)C)CC2)cc(=O)[n-]1 ZINC000895231837 711459118 /nfs/dbraw/zinc/45/91/18/711459118.db2.gz SBSMVXVGMJSQLB-UHFFFAOYSA-N -1 1 309.366 1.497 20 0 DDADMM Cc1nn(C)c(C)c1[C@H](C)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743173 713292137 /nfs/dbraw/zinc/29/21/37/713292137.db2.gz JIFUTTPNEVCDDV-VIFPVBQESA-N -1 1 317.397 1.060 20 0 DDADMM Cc1cccc(C2(C(=O)N3CCC(c4nn[n-]n4)CC3)CC2)c1 ZINC000913743326 713292328 /nfs/dbraw/zinc/29/23/28/713292328.db2.gz QDNDSJABMWAIOT-UHFFFAOYSA-N -1 1 311.389 1.946 20 0 DDADMM Cc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)c2nccn2c1 ZINC000913745846 713293812 /nfs/dbraw/zinc/29/38/12/713293812.db2.gz QIOUOCASCRXJAU-UHFFFAOYSA-N -1 1 311.349 1.176 20 0 DDADMM O=C([O-])c1cc(F)c(F)cc1NS(=O)(=O)CC1(F)CC1 ZINC000905992532 712213122 /nfs/dbraw/zinc/21/31/22/712213122.db2.gz YYQMZVJAWUCDOX-UHFFFAOYSA-N -1 1 309.265 1.907 20 0 DDADMM CSc1ccc([N-]S(=O)(=O)N=S2(=O)CCCC2)cn1 ZINC000906059804 712233659 /nfs/dbraw/zinc/23/36/59/712233659.db2.gz WNPVNADPMKRAPK-UHFFFAOYSA-N -1 1 321.449 1.722 20 0 DDADMM CN(C)c1cncc([N-]S(=O)(=O)N=S2(=O)CCCC2)c1 ZINC000906073450 712237784 /nfs/dbraw/zinc/23/77/84/712237784.db2.gz IIDRSFPOWPSCBG-UHFFFAOYSA-N -1 1 318.424 1.066 20 0 DDADMM CCNC(=O)[C@@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000913921584 713319989 /nfs/dbraw/zinc/31/99/89/713319989.db2.gz SXIIFBYIPVLDHN-MRVPVSSYSA-N -1 1 315.320 1.037 20 0 DDADMM O=C(N[C@]1(CO)CCOC1)c1ccc2ccc(O)cc2c1[O-] ZINC000907357434 712552024 /nfs/dbraw/zinc/55/20/24/712552024.db2.gz WAHSRLTULWCVMB-INIZCTEOSA-N -1 1 303.314 1.132 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@@H](C2CC2)O1)c1c[nH]nc1Cl ZINC000907468074 712579534 /nfs/dbraw/zinc/57/95/34/712579534.db2.gz CETISPLHRQUTAZ-IUCAKERBSA-N -1 1 305.787 1.299 20 0 DDADMM O=C(c1ccc(C(F)F)o1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907472013 712580624 /nfs/dbraw/zinc/58/06/24/712580624.db2.gz KUXZXOCBQZECHW-ZCFIWIBFSA-N -1 1 315.305 1.661 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCSC[C@H]2c2nn[n-]n2)s1 ZINC000907472137 712580671 /nfs/dbraw/zinc/58/06/71/712580671.db2.gz MHKFXAUWQASCJO-PBKGFPTLSA-N -1 1 322.419 1.295 20 0 DDADMM O=C(C[C@@H]1CCC2(CCC2)O1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907474339 712581452 /nfs/dbraw/zinc/58/14/52/712581452.db2.gz GWXPPMWHDCNGPL-QWRGUYRKSA-N -1 1 323.422 1.308 20 0 DDADMM Cc1cccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1F ZINC000907478983 712582942 /nfs/dbraw/zinc/58/29/42/712582942.db2.gz ZKINNVOPLPPTEI-JTQLQIEISA-N -1 1 307.354 1.578 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC12CCCCC2)c1c[nH]nc1Cl ZINC000907646719 712608133 /nfs/dbraw/zinc/60/81/33/712608133.db2.gz BLAXFPIXQLZPTP-JTQLQIEISA-N -1 1 319.814 1.833 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CC(O)C2)c1c(Cl)ccnc1Cl ZINC000907786143 712626261 /nfs/dbraw/zinc/62/62/61/712626261.db2.gz GTHRLNUSALOEKD-NUQKGASQSA-N -1 1 323.201 1.580 20 0 DDADMM O=S(=O)([N-][C@H](CCO)C1CCCC1)c1c[nH]nc1Cl ZINC000907823775 712631376 /nfs/dbraw/zinc/63/13/76/712631376.db2.gz TXYRAEBKSWWXQR-SECBINFHSA-N -1 1 307.803 1.283 20 0 DDADMM CCO[C@]12CCC[C@@]1([N-]S(=O)(=O)[C@@H]1CCO[C@H]1C)CCO2 ZINC000908018165 712667163 /nfs/dbraw/zinc/66/71/63/712667163.db2.gz APXQSANHEVEPKD-DGAVXFQQSA-N -1 1 319.423 1.159 20 0 DDADMM CCC(=O)c1ccc(O)c(NC(=O)C[C@]2(O)CCC[N@H+](C)C2)c1 ZINC000908320927 712740637 /nfs/dbraw/zinc/74/06/37/712740637.db2.gz OMZQMZSWYMAZGG-QGZVFWFLSA-N -1 1 320.389 1.770 20 0 DDADMM CCC(=O)c1ccc(O)c(NC(=O)C[C@@]2(O)CCC[N@H+](C)C2)c1 ZINC000908320926 712740718 /nfs/dbraw/zinc/74/07/18/712740718.db2.gz OMZQMZSWYMAZGG-KRWDZBQOSA-N -1 1 320.389 1.770 20 0 DDADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H]1CC(C)(C)OC1=O ZINC000908369590 712754150 /nfs/dbraw/zinc/75/41/50/712754150.db2.gz BUPRTTIPNOOUPD-SNVBAGLBSA-N -1 1 305.334 1.226 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@@H](O)C(Cl)(Cl)Cl ZINC000908369846 712754520 /nfs/dbraw/zinc/75/45/20/712754520.db2.gz GPLWUORUDCZSQC-SCSAIBSYSA-N -1 1 302.545 1.483 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@H]1C1CC1)c1ccc(C(F)F)o1 ZINC000908402537 712761680 /nfs/dbraw/zinc/76/16/80/712761680.db2.gz ANBMLNTWZHVGCO-IUCAKERBSA-N -1 1 307.318 1.921 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@H]1C1CC1)c1ccc(Cl)nc1F ZINC000908405722 712762897 /nfs/dbraw/zinc/76/28/97/712762897.db2.gz KUTWZZQVEJPBHF-DTWKUNHWSA-N -1 1 320.773 1.577 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCC3(C[C@H]3C(=O)[O-])CC2)C1 ZINC000908656262 712830469 /nfs/dbraw/zinc/83/04/69/712830469.db2.gz UZJWNILLEBOFAR-WXHSDQCUSA-N -1 1 317.389 1.789 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2CCc3ccccc3C2)C1 ZINC000908700586 712837777 /nfs/dbraw/zinc/83/77/77/712837777.db2.gz MXOAJVHHZQDDCK-JKSUJKDBSA-N -1 1 316.401 1.457 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)[C@H]1CCC(=O)NC1 ZINC000908713852 712840048 /nfs/dbraw/zinc/84/00/48/712840048.db2.gz DNFRGIMIJOXZRW-ZETCQYMHSA-N -1 1 313.151 1.619 20 0 DDADMM CN(C)[C@@H](CNC(=O)NC1(C(=O)[O-])CCC1)c1cccs1 ZINC000908746028 712846421 /nfs/dbraw/zinc/84/64/21/712846421.db2.gz IPLPBICRQJJQGL-JTQLQIEISA-N -1 1 311.407 1.657 20 0 DDADMM CC[C@](C)(NC(=O)NC[C@H](c1cccs1)N(C)C)C(=O)[O-] ZINC000908746908 712846734 /nfs/dbraw/zinc/84/67/34/712846734.db2.gz KASROHVWLWBMPO-YGRLFVJLSA-N -1 1 313.423 1.903 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2CCc3ccc(F)cc32)C1 ZINC000908785976 712854494 /nfs/dbraw/zinc/85/44/94/712854494.db2.gz TXIFIYJTACHYNS-WFASDCNBSA-N -1 1 320.364 1.726 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@@H](CCF)C(=O)[O-])c1ccsc1 ZINC000908814205 712860280 /nfs/dbraw/zinc/86/02/80/712860280.db2.gz OHAWROCRQVINDD-QWRGUYRKSA-N -1 1 317.386 1.463 20 0 DDADMM CN(C(=O)N[C@@H]1CCCN(C)C1)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000908869808 712874825 /nfs/dbraw/zinc/87/48/25/712874825.db2.gz HIHVVGOSLMGRJJ-CABCVRRESA-N -1 1 319.405 1.418 20 0 DDADMM CO[C@H]1C[C@H](CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000914408427 713391201 /nfs/dbraw/zinc/39/12/01/713391201.db2.gz NDJPMQUMAVIJRB-XYPYZODXSA-N -1 1 307.394 1.943 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]C[C@@H](C)CC(F)F)s1 ZINC000916603509 713460282 /nfs/dbraw/zinc/46/02/82/713460282.db2.gz MUCOMGYGMDVCFW-LURJTMIESA-N -1 1 300.352 1.721 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)O[C@@H]1CCOC1 ZINC000916830001 713468002 /nfs/dbraw/zinc/46/80/02/713468002.db2.gz XOKBZCGCFJNWCC-OPRDCNLKSA-N -1 1 324.299 1.443 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](CO)c2cccnc2)sc1C ZINC000917495670 713500310 /nfs/dbraw/zinc/50/03/10/713500310.db2.gz AYIKUIVKERSJMH-NSHDSACASA-N -1 1 313.404 1.167 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](CO)c2cccnc2)sc1C ZINC000917495669 713500420 /nfs/dbraw/zinc/50/04/20/713500420.db2.gz AYIKUIVKERSJMH-LLVKDONJSA-N -1 1 313.404 1.167 20 0 DDADMM O=C(CCCn1cncn1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000917514403 713501843 /nfs/dbraw/zinc/50/18/43/713501843.db2.gz IMTMQPKSLNCJFB-UHFFFAOYSA-N -1 1 312.333 1.793 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC[C@@H]2CC[C@H]3C[C@H]32)o1 ZINC000920885687 713700431 /nfs/dbraw/zinc/70/04/31/713700431.db2.gz SAHLQAHKJLDKCY-DCAQKATOSA-N -1 1 313.375 1.781 20 0 DDADMM COC(=O)CC(C)(C)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000921200215 713720992 /nfs/dbraw/zinc/72/09/92/713720992.db2.gz KNZIQXNBOLBQHG-UHFFFAOYSA-N -1 1 321.345 1.832 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-][C@@H]1c2ccccc2OC[C@@H]1F ZINC000921317850 713731880 /nfs/dbraw/zinc/73/18/80/713731880.db2.gz JXKZBXYEVJIENO-GXFFZTMASA-N -1 1 312.322 1.733 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-][C@H]1c2ccccc2OC[C@H]1F ZINC000921318772 713732238 /nfs/dbraw/zinc/73/22/38/713732238.db2.gz CKLUWRDSNMTJRA-SUHUHFCYSA-N -1 1 315.366 1.557 20 0 DDADMM O=C(CCc1nn[n-]n1)N1Cc2ccccc2N2CCC[C@H]2C1 ZINC000922602325 714097712 /nfs/dbraw/zinc/09/77/12/714097712.db2.gz BLIYJDMPLAAROU-ZDUSSCGKSA-N -1 1 312.377 1.144 20 0 DDADMM CC(C)(C)[C@H]1CSCCN1Cc1cc(=O)n2[n-]ccc2n1 ZINC000931595638 714134842 /nfs/dbraw/zinc/13/48/42/714134842.db2.gz CRWNEXYJTMCMAM-GFCCVEGCSA-N -1 1 306.435 1.986 20 0 DDADMM C[C@H](CCO)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932044804 714245380 /nfs/dbraw/zinc/24/53/80/714245380.db2.gz JXEVGGCQUITJOO-LLVKDONJSA-N -1 1 304.350 1.718 20 0 DDADMM O=C(N[C@H]1CCCCN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000943024456 717961680 /nfs/dbraw/zinc/96/16/80/717961680.db2.gz COJDVZXJDVMYCK-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM O=C([O-])[C@@H]1CN(CC(=O)Nc2ccc(F)cc2F)C[C@H]1C1CC1 ZINC000923531416 714392847 /nfs/dbraw/zinc/39/28/47/714392847.db2.gz SKXTUGAZFWPAPR-NWDGAFQWSA-N -1 1 324.327 1.946 20 0 DDADMM CC(C)Cn1[n-]c(CC(=O)N2CCN(C)C[C@H]2C(C)C)cc1=O ZINC000933294459 714556857 /nfs/dbraw/zinc/55/68/57/714556857.db2.gz QHAGBUXJQKKJSN-HNNXBMFYSA-N -1 1 322.453 1.174 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@]12C[C@H]1CCC2 ZINC000924434138 714581002 /nfs/dbraw/zinc/58/10/02/714581002.db2.gz GPBGYBYNGMCXFL-PRHODGIISA-N -1 1 307.268 1.358 20 0 DDADMM COc1cnc([C@H]2CCCN2CC(=O)NCC(C)(C)C)[n-]c1=O ZINC000934270654 714781950 /nfs/dbraw/zinc/78/19/50/714781950.db2.gz UBZCXHSEQAOVHI-LLVKDONJSA-N -1 1 322.409 1.490 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1ccnn1[C@H]1CCCOC1 ZINC000934683299 714880584 /nfs/dbraw/zinc/88/05/84/714880584.db2.gz KCBRVBQBRMHVNH-WCQYABFASA-N -1 1 310.354 1.814 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(Cc2ccccc2)[nH]1)c1nn[n-]n1 ZINC000935365158 715037789 /nfs/dbraw/zinc/03/77/89/715037789.db2.gz DOOVFSZVYDGFCI-LBPRGKRZSA-N -1 1 324.388 1.994 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@]1(C)CCOc2ccccc21)c1nn[n-]n1 ZINC000935367366 715038822 /nfs/dbraw/zinc/03/88/22/715038822.db2.gz KYXKTBGBDKYTFZ-MEDUHNTESA-N -1 1 315.377 1.502 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216412 715293567 /nfs/dbraw/zinc/29/35/67/715293567.db2.gz BRXVCCDDMCQSKS-JHJVBQTASA-N -1 1 317.389 1.506 20 0 DDADMM CCC(=O)N1CC[C@@](C)(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000956926366 715667307 /nfs/dbraw/zinc/66/73/07/715667307.db2.gz LXLINDRNQHTHOI-MRXNPFEDSA-N -1 1 318.377 1.190 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC000955511206 715829404 /nfs/dbraw/zinc/82/94/04/715829404.db2.gz JRMGUXMQPVLHAD-QWHCGFSZSA-N -1 1 319.405 1.943 20 0 DDADMM CSCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC000955522424 715835444 /nfs/dbraw/zinc/83/54/44/715835444.db2.gz MIJDVZWYWLBWCR-WDEREUQCSA-N -1 1 323.418 1.260 20 0 DDADMM CC(C)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC000955559375 715853970 /nfs/dbraw/zinc/85/39/70/715853970.db2.gz YBAYKZARQAHXSP-NWDGAFQWSA-N -1 1 305.378 1.553 20 0 DDADMM C[C@]1(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000938459163 715854024 /nfs/dbraw/zinc/85/40/24/715854024.db2.gz ITVMKWKAXQYCGT-SJCJKPOMSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000955979273 716047162 /nfs/dbraw/zinc/04/71/62/716047162.db2.gz CXANNHQNVNFESR-SRVKXCTJSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939809305 716435502 /nfs/dbraw/zinc/43/55/02/716435502.db2.gz BBJODNKFORQXDC-CHWSQXEVSA-N -1 1 319.405 1.752 20 0 DDADMM C[C@H]1CN(C(=O)C2CC2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959305357 716940543 /nfs/dbraw/zinc/94/05/43/716940543.db2.gz QOTNDDIYPSATKC-CMPLNLGQSA-N -1 1 303.362 1.022 20 0 DDADMM CCC(=O)N1CCC[C@@H]2[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962516032 717485075 /nfs/dbraw/zinc/48/50/75/717485075.db2.gz JNNKEUYITBNNQW-VXGBXAGGSA-N -1 1 303.362 1.403 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]2[C@H]1CCN2C(=O)C1CC1 ZINC000962942908 717635203 /nfs/dbraw/zinc/63/52/03/717635203.db2.gz HWQUNYXFXPQQCL-QWHCGFSZSA-N -1 1 315.373 1.403 20 0 DDADMM CCN(C(=O)C1CC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942614471 717778678 /nfs/dbraw/zinc/77/86/78/717778678.db2.gz KDACSVGGXLCCSG-GFCCVEGCSA-N -1 1 303.362 1.260 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CC2CC2)C1 ZINC000942754399 717856536 /nfs/dbraw/zinc/85/65/36/717856536.db2.gz AAAVDBSLMPWKOD-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM CC1(C(=O)N[C@@H]2CCCCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000943303481 718073327 /nfs/dbraw/zinc/07/33/27/718073327.db2.gz PGRZBXOPTRBGQG-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H]1CN(C(=O)C(F)F)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945090139 718392200 /nfs/dbraw/zinc/39/22/00/718392200.db2.gz BMSQAYVUFCTZNP-IUCAKERBSA-N -1 1 313.304 1.019 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C(C)(C)F ZINC000945276766 718435106 /nfs/dbraw/zinc/43/51/06/718435106.db2.gz DNCQNVBNWUHKCT-QWRGUYRKSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)C)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945516253 718471988 /nfs/dbraw/zinc/47/19/88/718471988.db2.gz HFDGRBXBUZDTCT-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000966306437 718506791 /nfs/dbraw/zinc/50/67/91/718506791.db2.gz KIEISWARFOMBJW-UWMOLUOFSA-N -1 1 303.362 1.330 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]1CNC(=O)C1CCC1)c1ncccc1[O-] ZINC000946190927 718707062 /nfs/dbraw/zinc/70/70/62/718707062.db2.gz CRYRBWLTIJUYMT-QWHCGFSZSA-N -1 1 317.389 1.602 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949535323 720145460 /nfs/dbraw/zinc/14/54/60/720145460.db2.gz RYEUPGFBWSGTAR-GHMZBOCLSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)C1CC2(CC2)C1)c1ncccc1[O-] ZINC000949537796 720146877 /nfs/dbraw/zinc/14/68/77/720146877.db2.gz BVBPFJPGJJFLHI-LBPRGKRZSA-N -1 1 315.373 1.308 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)C2CCCC2)C1)c1ncccc1[O-] ZINC000949646210 720236164 /nfs/dbraw/zinc/23/61/64/720236164.db2.gz HDXZDMUSMORVFI-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950546618 720678431 /nfs/dbraw/zinc/67/84/31/720678431.db2.gz RSFUWCWNWKYVEY-SRVKXCTJSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@@H](N(C)Cc3ccsc3)C2)c1[O-] ZINC000971366940 721260988 /nfs/dbraw/zinc/26/09/88/721260988.db2.gz OMCWGUWBJPMDBX-GFCCVEGCSA-N -1 1 320.418 1.832 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CCC1)c1ccc(Cl)nc1F ZINC000692840043 738417040 /nfs/dbraw/zinc/41/70/40/738417040.db2.gz NBFAOTVRJICRRU-MRVPVSSYSA-N -1 1 308.762 1.313 20 0 DDADMM C[C@@H](c1csnn1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010730573 733189533 /nfs/dbraw/zinc/18/95/33/733189533.db2.gz GNLKYAKVOMHIBP-VHSXEESVSA-N -1 1 319.390 1.204 20 0 DDADMM CC1(C(=O)N2C[C@@H]3CCN(C(=O)c4ncccc4[O-])[C@@H]3C2)CC1 ZINC001021445272 733209625 /nfs/dbraw/zinc/20/96/25/733209625.db2.gz NROQEUNPXAWLIY-NWDGAFQWSA-N -1 1 315.373 1.260 20 0 DDADMM CCc1ncoc1C(=O)[N-]c1nc(-c2cnn(C)c2)ns1 ZINC001121167814 782390163 /nfs/dbraw/zinc/39/01/63/782390163.db2.gz XPLOQVGXGFLUJK-UHFFFAOYSA-N -1 1 304.335 1.741 20 0 DDADMM COc1ncc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cc1F ZINC001203404615 734716855 /nfs/dbraw/zinc/71/68/55/734716855.db2.gz GVJHORHADVKKEW-UHFFFAOYSA-N -1 1 308.273 1.932 20 0 DDADMM O=C([C@H]1[C@@H]2CCC[C@@H]21)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024916536 736167454 /nfs/dbraw/zinc/16/74/54/736167454.db2.gz OZTMZKDDORWMFA-NMKXLXIOSA-N -1 1 319.409 1.027 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024970949 736203783 /nfs/dbraw/zinc/20/37/83/736203783.db2.gz AMOGFNFSVQWTMC-GFCCVEGCSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@H](C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001025000156 736223351 /nfs/dbraw/zinc/22/33/51/736223351.db2.gz INCUXSBQQHNXPU-VXGBXAGGSA-N -1 1 323.441 1.663 20 0 DDADMM CCC(=O)N1CCCC[C@@H]1[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001025186794 736346815 /nfs/dbraw/zinc/34/68/15/736346815.db2.gz YVYBLOLBDARGIT-NWDGAFQWSA-N -1 1 307.398 1.266 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001020069659 736982950 /nfs/dbraw/zinc/98/29/50/736982950.db2.gz MWNDWVODJSDNDG-WOPDTQHZSA-N -1 1 305.378 1.553 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H](CO)C1)c1ccc(Cl)nc1F ZINC000692888846 738958093 /nfs/dbraw/zinc/95/80/93/738958093.db2.gz OFBIQOGJYOFUGS-RKDXNWHRSA-N -1 1 322.789 1.704 20 0 DDADMM Cc1cc(NC2(CNC(=O)c3ncccc3[O-])CCC2)ncn1 ZINC001111786122 737424745 /nfs/dbraw/zinc/42/47/45/737424745.db2.gz YPHMXYNPBVKVCZ-UHFFFAOYSA-N -1 1 313.361 1.650 20 0 DDADMM CC(C)c1noc([C@@H](C)NCCNC(=O)c2ncccc2[O-])n1 ZINC001126111234 738304822 /nfs/dbraw/zinc/30/48/22/738304822.db2.gz ZIVREZZZTLVPIW-SNVBAGLBSA-N -1 1 319.365 1.374 20 0 DDADMM C[C@@H](C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001088290000 741335063 /nfs/dbraw/zinc/33/50/63/741335063.db2.gz ZIANXFYDYAXQJD-YPMHNXCESA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H](CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001168354799 742227751 /nfs/dbraw/zinc/22/77/51/742227751.db2.gz NUSJRPVAFURIDN-NSHDSACASA-N -1 1 321.425 1.323 20 0 DDADMM Cc1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cc(C)n1 ZINC001168693619 743064348 /nfs/dbraw/zinc/06/43/48/743064348.db2.gz IIFMCMWXBUTWQM-CQSZACIVSA-N -1 1 308.345 1.731 20 0 DDADMM COc1cc(Br)c([O-])c(NC(=O)COC(C)=O)c1 ZINC001181399277 743191493 /nfs/dbraw/zinc/19/14/93/743191493.db2.gz CHYGHJVSYVMJIY-UHFFFAOYSA-N -1 1 318.123 1.665 20 0 DDADMM CC1(C)OC(=O)C[C@@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001182752762 743705214 /nfs/dbraw/zinc/70/52/14/743705214.db2.gz FOFSDMASPTZBPX-GFCCVEGCSA-N -1 1 315.329 1.858 20 0 DDADMM CC1(C)OC(=O)C[C@@H]1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001182748354 743716881 /nfs/dbraw/zinc/71/68/81/743716881.db2.gz MPZDTUYJMNMVRP-LLVKDONJSA-N -1 1 315.329 1.858 20 0 DDADMM COC(=O)c1c(NC(=O)C[C@H]2C=CCCC2)n[n-]c1OCCO ZINC001183791283 743911705 /nfs/dbraw/zinc/91/17/05/743911705.db2.gz WTHGUFFUFWMTFB-JTQLQIEISA-N -1 1 323.349 1.252 20 0 DDADMM COC(=O)c1c(NC(=O)C[C@H]2C=CCCC2)[n-]nc1OCCO ZINC001183791283 743911709 /nfs/dbraw/zinc/91/17/09/743911709.db2.gz WTHGUFFUFWMTFB-JTQLQIEISA-N -1 1 323.349 1.252 20 0 DDADMM O=C(NC1CN(Cc2ccc(Cl)nc2)C1)c1ncccc1[O-] ZINC001030240277 743974109 /nfs/dbraw/zinc/97/41/09/743974109.db2.gz YAAUZHLQRDFQMN-UHFFFAOYSA-N -1 1 318.764 1.450 20 0 DDADMM CC1(C)CO[C@H](CN2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC001030241244 743977170 /nfs/dbraw/zinc/97/71/70/743977170.db2.gz ATMQRWSHTYJAGN-LBPRGKRZSA-N -1 1 305.378 1.016 20 0 DDADMM CSc1ncc([O-])c(C(=O)NCc2[nH]nc3ccccc32)n1 ZINC001185186306 744174443 /nfs/dbraw/zinc/17/44/43/744174443.db2.gz NIEPRPIWYQZLJR-UHFFFAOYSA-N -1 1 315.358 1.710 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2ccnc(C)n2)cc1C(=O)[O-] ZINC001185272104 744195286 /nfs/dbraw/zinc/19/52/86/744195286.db2.gz YKIJHSYNUASVQY-UHFFFAOYSA-N -1 1 323.330 1.293 20 0 DDADMM Cc1nn(C)c(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)c1F ZINC001185373845 744218984 /nfs/dbraw/zinc/21/89/84/744218984.db2.gz JVYBCWFQTVQNQB-UHFFFAOYSA-N -1 1 323.288 1.079 20 0 DDADMM COC(=O)c1ccnc(CNC(=O)c2ccc([O-])c(F)c2)c1 ZINC001186237749 744362475 /nfs/dbraw/zinc/36/24/75/744362475.db2.gz YTSKNKPJTFDFRL-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM O=c1oc2cc([O-])ccc2cc1NS(=O)(=O)c1ccco1 ZINC001186820136 744454198 /nfs/dbraw/zinc/45/41/98/744454198.db2.gz LSEIONVUMVLOMF-UHFFFAOYSA-N -1 1 307.283 1.892 20 0 DDADMM O=c1oc2cc(O)ccc2cc1[N-]S(=O)(=O)c1ccco1 ZINC001186820136 744454199 /nfs/dbraw/zinc/45/41/99/744454199.db2.gz LSEIONVUMVLOMF-UHFFFAOYSA-N -1 1 307.283 1.892 20 0 DDADMM O=C1OCC=C1[N-]S(=O)(=O)Cc1cc(Cl)cc(Cl)c1 ZINC001187085723 744502181 /nfs/dbraw/zinc/50/21/81/744502181.db2.gz RIKFLRRUJHZLLP-UHFFFAOYSA-N -1 1 322.169 1.854 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]Cc1cnccc1C(F)(F)F ZINC001187324272 744539825 /nfs/dbraw/zinc/53/98/25/744539825.db2.gz ISGKIBAKAUMROT-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM CC(F)(F)C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992047570 744560040 /nfs/dbraw/zinc/56/00/40/744560040.db2.gz FDWJBYDRDGFNGE-UHFFFAOYSA-N -1 1 313.304 1.067 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCN1Cc1ccccc1)c1nccs1 ZINC001187918071 744627554 /nfs/dbraw/zinc/62/75/54/744627554.db2.gz QKSIPOOZKSEKLU-CYBMUJFWSA-N -1 1 323.443 1.696 20 0 DDADMM O=S(=O)([N-][C@H]1CCCN(c2ccccn2)C1)c1nccs1 ZINC001187919402 744635082 /nfs/dbraw/zinc/63/50/82/744635082.db2.gz ZLKVDKNXAMIUHC-NSHDSACASA-N -1 1 324.431 1.485 20 0 DDADMM O=S(=O)([N-]C[C@@H]1COc2ccccc2C1)c1nccs1 ZINC001187920881 744635142 /nfs/dbraw/zinc/63/51/42/744635142.db2.gz RFODJWHNWRORQA-SNVBAGLBSA-N -1 1 310.400 1.673 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)c1ccc[nH]1)c1ccccc1N ZINC001188578770 744729918 /nfs/dbraw/zinc/72/99/18/744729918.db2.gz SLYNGJXCNCUGQV-UHFFFAOYSA-N -1 1 318.358 1.885 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)c1ccc[nH]1)c1ccccc1N ZINC001188578770 744729919 /nfs/dbraw/zinc/72/99/19/744729919.db2.gz SLYNGJXCNCUGQV-UHFFFAOYSA-N -1 1 318.358 1.885 20 0 DDADMM CN1CCN(c2cncc([N-]S(=O)(=O)c3ccoc3)c2)CC1 ZINC001188580193 744730657 /nfs/dbraw/zinc/73/06/57/744730657.db2.gz VSWRHFNVFSGRHK-UHFFFAOYSA-N -1 1 322.390 1.227 20 0 DDADMM O=C(NC[C@H]1CN(c2ccccc2)CCO1)c1ccncc1[O-] ZINC001188639346 744744132 /nfs/dbraw/zinc/74/41/32/744744132.db2.gz WXZDCSRCGYFYBD-AWEZNQCLSA-N -1 1 313.357 1.422 20 0 DDADMM O=C([N-]c1nnc(Br)s1)c1ccc(CO)o1 ZINC001189144996 744833657 /nfs/dbraw/zinc/83/36/57/744833657.db2.gz KPBRQUAWBQNJIT-UHFFFAOYSA-N -1 1 304.125 1.638 20 0 DDADMM O=S(=O)(Cc1cc(F)ccc1F)[N-]c1ccn2cnnc2c1 ZINC001189650776 744947261 /nfs/dbraw/zinc/94/72/61/744947261.db2.gz FKDYAPQQINCMRG-UHFFFAOYSA-N -1 1 324.312 1.949 20 0 DDADMM COc1cc(OC)c(OC)cc1[N-]S(=O)(=O)CCCF ZINC001189914511 745029586 /nfs/dbraw/zinc/02/95/86/745029586.db2.gz AWDINAZLRPOINA-UHFFFAOYSA-N -1 1 307.343 1.814 20 0 DDADMM O=S(=O)([N-]c1ccc2nn(CCO)cc2c1)c1ccncc1 ZINC001190894265 745370251 /nfs/dbraw/zinc/37/02/51/745370251.db2.gz YWSAFOVDOSFWSQ-UHFFFAOYSA-N -1 1 318.358 1.224 20 0 DDADMM CCOC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1cnc(SC)[n-]c1=O ZINC001191426579 745509454 /nfs/dbraw/zinc/50/94/54/745509454.db2.gz DGIQIQSXOLTIFR-RMLUDKJBSA-N -1 1 323.374 1.072 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc([C@@H](C)O)cc2)n1 ZINC001192339762 745758386 /nfs/dbraw/zinc/75/83/86/745758386.db2.gz IYDSXDFXWJDVEJ-SECBINFHSA-N -1 1 303.318 1.892 20 0 DDADMM CCOc1cc(N)n(C(=O)c2cc([O-])cc(F)c2F)c(=N)n1 ZINC001192661366 745867955 /nfs/dbraw/zinc/86/79/55/745867955.db2.gz UMYNHVUMNHDXCM-UHFFFAOYSA-N -1 1 310.260 1.016 20 0 DDADMM COc1ccc(CO)c([N-]S(=O)(=O)C[C@@H]2CCCO2)c1 ZINC001193260169 746035153 /nfs/dbraw/zinc/03/51/53/746035153.db2.gz ALAZNQUTLNWMGD-LBPRGKRZSA-N -1 1 301.364 1.108 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc(F)c([O-])c2F)n[nH]1 ZINC001193771128 746190547 /nfs/dbraw/zinc/19/05/47/746190547.db2.gz WGJFFKZQAOPONW-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM NC(=O)Nc1cccc([N-]S(=O)(=O)c2ccccc2N)c1 ZINC001193931891 746225769 /nfs/dbraw/zinc/22/57/69/746225769.db2.gz IGFIRJKAZPRRGU-UHFFFAOYSA-N -1 1 306.347 1.560 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1cccc2c1CNC(=O)N2 ZINC001193935281 746226998 /nfs/dbraw/zinc/22/69/98/746226998.db2.gz WUUZCGLBYNAKIX-UHFFFAOYSA-N -1 1 318.358 1.705 20 0 DDADMM O=S(=O)([N-]CCC1COC1)c1ncccc1C(F)(F)F ZINC001194586861 746415954 /nfs/dbraw/zinc/41/59/54/746415954.db2.gz SUWUBTNQJRYVFI-UHFFFAOYSA-N -1 1 310.297 1.415 20 0 DDADMM Cc1nc2nc[nH]c2c(NC(=O)c2c[nH]c(C(F)(F)F)n2)n1 ZINC001194772674 746462707 /nfs/dbraw/zinc/46/27/07/746462707.db2.gz IJYRCDREUUJHSI-UHFFFAOYSA-N -1 1 311.227 1.656 20 0 DDADMM C[Si](C)(C)CCS(=O)(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001195042440 746515656 /nfs/dbraw/zinc/51/56/56/746515656.db2.gz YLAIOQMZMWDFEN-UHFFFAOYSA-N -1 1 320.403 1.366 20 0 DDADMM CC(C)c1cccc(S(=O)(=O)Nc2c([O-])nc[nH]c2=O)c1 ZINC001195119828 746531984 /nfs/dbraw/zinc/53/19/84/746531984.db2.gz APANSNPXFCWSGN-UHFFFAOYSA-N -1 1 309.347 1.812 20 0 DDADMM Cc1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn(C)c1=O ZINC001195105209 746538550 /nfs/dbraw/zinc/53/85/50/746538550.db2.gz VNOHCXTVWMLQOC-UHFFFAOYSA-N -1 1 301.228 1.083 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2nc(C)ccc2[O-])n(C)n1 ZINC001195317671 746575941 /nfs/dbraw/zinc/57/59/41/746575941.db2.gz CRQFVZWBJLQHNS-UHFFFAOYSA-N -1 1 304.306 1.258 20 0 DDADMM CCOC(=O)NC(=S)Nc1[nH]c(=S)[n-]c(=O)c1N=O ZINC001195458754 746606611 /nfs/dbraw/zinc/60/66/11/746606611.db2.gz SZUZJVMSAANMLC-UHFFFAOYSA-N -1 1 303.325 1.312 20 0 DDADMM CCCC(=O)N1C[C@@H]2CCC[C@]2(NC(=O)c2ncccc2[O-])C1 ZINC001007355738 752108847 /nfs/dbraw/zinc/10/88/47/752108847.db2.gz ANYBODVPOFCLCE-SJCJKPOMSA-N -1 1 317.389 1.698 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(C)c(CO)c2)cn1 ZINC001195725357 746677267 /nfs/dbraw/zinc/67/72/67/746677267.db2.gz NQNNRTGBEZMMKS-UHFFFAOYSA-N -1 1 308.359 1.692 20 0 DDADMM NC(=O)c1cnoc1[N-]C(=O)c1snc(Cl)c1Cl ZINC001196333231 746834733 /nfs/dbraw/zinc/83/47/33/746834733.db2.gz BIZDLJOUZCUXJQ-UHFFFAOYSA-N -1 1 307.118 1.789 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cccnc1C(C)=O ZINC001196924542 746998580 /nfs/dbraw/zinc/99/85/80/746998580.db2.gz IOKHEYXKDMVIMX-UHFFFAOYSA-N -1 1 314.363 1.369 20 0 DDADMM Cc1noc(C)c1CN1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001031628505 747249982 /nfs/dbraw/zinc/24/99/82/747249982.db2.gz OPHQUAVXOLTTIH-UHFFFAOYSA-N -1 1 316.361 1.254 20 0 DDADMM O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000994661073 747291897 /nfs/dbraw/zinc/29/18/97/747291897.db2.gz FLJSYLLBQPDHGU-MDZLAQPJSA-N -1 1 315.373 1.164 20 0 DDADMM Cn1ccc2cccc(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)c21 ZINC001199030288 747682161 /nfs/dbraw/zinc/68/21/61/747682161.db2.gz ATZASWKRFQRION-UHFFFAOYSA-N -1 1 308.301 1.803 20 0 DDADMM CC(C)Oc1cccnc1C(=O)NCCCC[P@](=O)([O-])O ZINC001199243001 747758827 /nfs/dbraw/zinc/75/88/27/747758827.db2.gz HGCGBNAMUCQMIA-UHFFFAOYSA-N -1 1 316.294 1.557 20 0 DDADMM COc1cnc(Cl)c(C(=O)[N-]c2ncnc3n[nH]nc32)c1 ZINC001199257642 747766169 /nfs/dbraw/zinc/76/61/69/747766169.db2.gz PYXJVJLDYBCWAA-UHFFFAOYSA-N -1 1 305.685 1.057 20 0 DDADMM COc1cc(C)c(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cn1 ZINC001199705553 747957716 /nfs/dbraw/zinc/95/77/16/747957716.db2.gz SJSHXXJHGQDWDZ-UHFFFAOYSA-N -1 1 305.250 1.250 20 0 DDADMM COc1cc(C)c(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cn1 ZINC001199710313 747960835 /nfs/dbraw/zinc/96/08/35/747960835.db2.gz CQDPNFRNTUHFCB-UHFFFAOYSA-N -1 1 300.278 1.023 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1Nc1ccc2c(c1)NC(=O)CO2 ZINC001203178361 747997543 /nfs/dbraw/zinc/99/75/43/747997543.db2.gz AWSVYGDDWOGPOU-UHFFFAOYSA-N -1 1 319.302 1.943 20 0 DDADMM Cn1cc(CN2CC[C@H](Oc3ccccc3)C2)c(C(=O)[O-])n1 ZINC000864787403 749141800 /nfs/dbraw/zinc/14/18/00/749141800.db2.gz ODPWXKHTGMKEDO-AWEZNQCLSA-N -1 1 301.346 1.772 20 0 DDADMM CC(C)c1cc(NC[C@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001108323034 761933394 /nfs/dbraw/zinc/93/33/94/761933394.db2.gz HBSASVXZOCDKOP-NSHDSACASA-N -1 1 315.377 1.931 20 0 DDADMM CCc1nsc(NC/C=C\CNC(=O)c2ncccc2[O-])n1 ZINC001107139434 749357912 /nfs/dbraw/zinc/35/79/12/749357912.db2.gz MXAMTBDCEVGCED-ARJAWSKDSA-N -1 1 319.390 1.599 20 0 DDADMM C[C@H](CNc1ncnc2c1CCC2)NC(=O)c1ncccc1[O-] ZINC001107690151 750383825 /nfs/dbraw/zinc/38/38/25/750383825.db2.gz KYBDIZRYHCHPBO-SNVBAGLBSA-N -1 1 313.361 1.296 20 0 DDADMM C[C@H]1CCCN(C(=O)C2CCCC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036494812 752485011 /nfs/dbraw/zinc/48/50/11/752485011.db2.gz MBVDQSHGURRQQM-WCQYABFASA-N -1 1 321.425 1.417 20 0 DDADMM CSCCC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062482264 753050392 /nfs/dbraw/zinc/05/03/92/753050392.db2.gz QUGILMRMEYRAME-UHFFFAOYSA-N -1 1 323.418 1.309 20 0 DDADMM Cc1cnc(CN)n1-c1cc(C(F)(F)F)c(C(=O)[O-])nn1 ZINC001169027670 762184693 /nfs/dbraw/zinc/18/46/93/762184693.db2.gz CFHUICNNZJAMOX-UHFFFAOYSA-N -1 1 301.228 1.146 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ccco2)CCN1C(=O)c1ncccc1[O-] ZINC001011670583 754667940 /nfs/dbraw/zinc/66/79/40/754667940.db2.gz AEEYYKJJJBSMQE-QWRGUYRKSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C(C)(C)F)CCN1C(=O)c1ncccc1[O-] ZINC001011673684 754668436 /nfs/dbraw/zinc/66/84/36/754668436.db2.gz NTGPEJRXUNGPEW-UWVGGRQHSA-N -1 1 309.341 1.255 20 0 DDADMM C[C@H]1C[C@@H](Nc2ncccn2)CCN1C(=O)c1ncccc1[O-] ZINC001064765324 754833265 /nfs/dbraw/zinc/83/32/65/754833265.db2.gz HRVDOFNIKFMMPF-RYUDHWBXSA-N -1 1 313.361 1.682 20 0 DDADMM CCN(C(=O)[C@@H](C)SC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079358273 755264138 /nfs/dbraw/zinc/26/41/38/755264138.db2.gz LJOXIFANEHUYLC-SNVBAGLBSA-N -1 1 323.418 1.212 20 0 DDADMM CCCC(=O)NC[C@@H]1CCC(C)(C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001098862241 755577572 /nfs/dbraw/zinc/57/75/72/755577572.db2.gz NKWDZYFZVMAECU-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM Cc1cc(N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)ncn1 ZINC001067075531 755686216 /nfs/dbraw/zinc/68/62/16/755686216.db2.gz HWJBWOKLOCPIIA-CMPLNLGQSA-N -1 1 313.361 1.458 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@]2(C)CC=CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082530889 756849218 /nfs/dbraw/zinc/84/92/18/756849218.db2.gz PFYTXROIKDEIAX-HSMVNMDESA-N -1 1 319.409 1.193 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)C[Si](C)(C)C)o1 ZINC000824063715 759146773 /nfs/dbraw/zinc/14/67/73/759146773.db2.gz UEOOKMUXCCVYQQ-UHFFFAOYSA-N -1 1 304.444 1.137 20 0 DDADMM C[C@H](Cc1cccc(F)c1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000826614349 759293944 /nfs/dbraw/zinc/29/39/44/759293944.db2.gz ASHUEPKRSKWRNG-MFKMUULPSA-N -1 1 319.340 1.118 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC(=O)C[C@@H]1C1CC1 ZINC000828269978 759582235 /nfs/dbraw/zinc/58/22/35/759582235.db2.gz WVJPIZWGHFTNEQ-CYBMUJFWSA-N -1 1 301.346 1.643 20 0 DDADMM CCC1(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC001019587638 760087728 /nfs/dbraw/zinc/08/77/28/760087728.db2.gz YTHGUKNMFIOLNV-NSHDSACASA-N -1 1 307.398 1.171 20 0 DDADMM Cc1cc(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])on1 ZINC001038195057 760909055 /nfs/dbraw/zinc/90/90/55/760909055.db2.gz RWPIGWVPTMHCFT-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM Cc1nsc(N(C)C[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108932527 763142462 /nfs/dbraw/zinc/14/24/62/763142462.db2.gz AJPWCGKKJIHRCE-QMMMGPOBSA-N -1 1 307.379 1.202 20 0 DDADMM Cc1nsc(NCCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001109436732 763706101 /nfs/dbraw/zinc/70/61/01/763706101.db2.gz DZXCBZVWSYCZGY-UHFFFAOYSA-N -1 1 307.379 1.521 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(CC[C@@H]2CCCCO2)C1 ZINC001042646708 764310017 /nfs/dbraw/zinc/31/00/17/764310017.db2.gz XZXCPZREPMZGGI-AWEZNQCLSA-N -1 1 319.405 1.503 20 0 DDADMM Cc1ccnc(N[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983715 765082705 /nfs/dbraw/zinc/08/27/05/765082705.db2.gz PLTNDHAQOUDTQO-MNOVXSKESA-N -1 1 301.350 1.505 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1ncc(F)cn1 ZINC001112983848 765083220 /nfs/dbraw/zinc/08/32/20/765083220.db2.gz QWINTEQAUMRRPG-IUCAKERBSA-N -1 1 305.313 1.335 20 0 DDADMM CCCc1cc(Cl)nc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170215948 766174105 /nfs/dbraw/zinc/17/41/05/766174105.db2.gz DMHAHZJQRHGFFQ-UHFFFAOYSA-N -1 1 321.728 1.855 20 0 DDADMM Cc1[nH]c2c(ncnc2Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1C ZINC001170216442 766174983 /nfs/dbraw/zinc/17/49/83/766174983.db2.gz CHNANBMMWRWCHH-UHFFFAOYSA-N -1 1 312.293 1.347 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cs1 ZINC001045241773 766176039 /nfs/dbraw/zinc/17/60/39/766176039.db2.gz HYRQESMNKLQYMO-NSHDSACASA-N -1 1 321.406 1.275 20 0 DDADMM CC(C)Oc1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nn1 ZINC001170218029 766176487 /nfs/dbraw/zinc/17/64/87/766176487.db2.gz PCAATUMHQGQBBC-UHFFFAOYSA-N -1 1 303.282 1.037 20 0 DDADMM COc1cnc(Cl)cc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170219143 766177518 /nfs/dbraw/zinc/17/75/18/766177518.db2.gz YGKUQUDNQSUBFS-UHFFFAOYSA-N -1 1 308.685 1.516 20 0 DDADMM COC(=O)c1c(C)ccnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170223336 766196756 /nfs/dbraw/zinc/19/67/56/766196756.db2.gz MHKUJANYELEKPM-UHFFFAOYSA-N -1 1 300.278 1.292 20 0 DDADMM O=C(C1CCC=CCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045313689 766213264 /nfs/dbraw/zinc/21/32/64/766213264.db2.gz JDDCFNBBBUNZAJ-ZDUSSCGKSA-N -1 1 319.409 1.337 20 0 DDADMM CC(C)[C@H](CC1CCCC1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134694206 771127120 /nfs/dbraw/zinc/12/71/20/771127120.db2.gz ZPRSSVAKACPEHZ-ZDUSSCGKSA-N -1 1 323.441 1.569 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Nc2nccs2)cc1F ZINC001136627430 772050907 /nfs/dbraw/zinc/05/09/07/772050907.db2.gz IWDIVYPIJKVNNJ-UHFFFAOYSA-N -1 1 319.325 1.469 20 0 DDADMM Cc1nc([N-]C(=O)c2nc(-c3cnccn3)no2)sc1C ZINC001136658598 772068342 /nfs/dbraw/zinc/06/83/42/772068342.db2.gz CSYZWRCNGPRIRW-UHFFFAOYSA-N -1 1 302.319 1.852 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2cc(F)c([O-])cc2F)CCO1 ZINC001144658120 772587779 /nfs/dbraw/zinc/58/77/79/772587779.db2.gz RIYYOHJLYISZGJ-CYBMUJFWSA-N -1 1 301.289 1.434 20 0 DDADMM CN(Cc1cc(F)c([O-])cc1F)C1CCS(=O)(=O)CC1 ZINC001144657902 772588276 /nfs/dbraw/zinc/58/82/76/772588276.db2.gz MPLWKNPOZVQZRV-UHFFFAOYSA-N -1 1 305.346 1.679 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)Cc1ccc(C2CC2)cc1 ZINC001144715746 772601557 /nfs/dbraw/zinc/60/15/57/772601557.db2.gz SNCKDEJVWKVVJW-UHFFFAOYSA-N -1 1 300.318 1.529 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@H]2CCc3c2cccc3O)c1[O-] ZINC001147848086 773268924 /nfs/dbraw/zinc/26/89/24/773268924.db2.gz FFQHFJNMPOZECP-ZDUSSCGKSA-N -1 1 314.341 1.711 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(C(=O)c2ccccc2)cc1 ZINC001148297235 773423826 /nfs/dbraw/zinc/42/38/26/773423826.db2.gz ZDELFJNKCQGCDB-UHFFFAOYSA-N -1 1 307.313 1.361 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCCn3ccnc3)cc2)[n-]1 ZINC001148326175 773436438 /nfs/dbraw/zinc/43/64/38/773436438.db2.gz JBCYZZGWXQMZSP-UHFFFAOYSA-N -1 1 311.345 1.426 20 0 DDADMM Cc1ncnc(C)c1NC(=O)c1cc(S(=O)(=O)[O-])ccc1O ZINC001148917112 773634310 /nfs/dbraw/zinc/63/43/10/773634310.db2.gz LCHHROCTXXBAPU-UHFFFAOYSA-N -1 1 323.330 1.298 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])Nc1ncncc1Cl ZINC001099105061 774835319 /nfs/dbraw/zinc/83/53/19/774835319.db2.gz PRWAMYCUNXYKBQ-SECBINFHSA-N -1 1 321.768 1.851 20 0 DDADMM O=C([N-]c1cccc(F)c1O)c1nc(-c2cnccn2)no1 ZINC001171637604 776405688 /nfs/dbraw/zinc/40/56/88/776405688.db2.gz ODVNKTJEANVLRR-UHFFFAOYSA-N -1 1 301.237 1.624 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])c1nc(-c2cnccn2)no1 ZINC001171637604 776405696 /nfs/dbraw/zinc/40/56/96/776405696.db2.gz ODVNKTJEANVLRR-UHFFFAOYSA-N -1 1 301.237 1.624 20 0 DDADMM CCc1ncnc(NCCN(C)C(=O)c2ncccc2[O-])c1F ZINC001101561182 777250629 /nfs/dbraw/zinc/25/06/29/777250629.db2.gz NISOMKSGTVTECU-UHFFFAOYSA-N -1 1 319.340 1.463 20 0 DDADMM CCOC(=O)c1nc[n-]c1-c1nc(-c2ccnc(OC)c2)no1 ZINC001212768213 777704398 /nfs/dbraw/zinc/70/43/98/777704398.db2.gz UDQJYNOPYTZGIW-UHFFFAOYSA-N -1 1 315.289 1.707 20 0 DDADMM Cc1ccc(CCC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)s1 ZINC001175629441 777851473 /nfs/dbraw/zinc/85/14/73/777851473.db2.gz IXOQNMSBEXMXIC-UHFFFAOYSA-N -1 1 319.346 1.657 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccc2[nH]nc(-c3ccncc3)c2c1 ZINC001176845041 778299454 /nfs/dbraw/zinc/29/94/54/778299454.db2.gz NMXHUGUEPXHEEL-UHFFFAOYSA-N -1 1 320.316 1.319 20 0 DDADMM Cc1ccc(C2(C(=O)Nc3[nH]c(=O)[n-]c(=O)c3N=O)CC2)nc1 ZINC001178138318 778899133 /nfs/dbraw/zinc/89/91/33/778899133.db2.gz GRQHVNFGOSIZCM-UHFFFAOYSA-N -1 1 315.289 1.659 20 0 DDADMM C[N@H+]1CCCC[C@@H]1C(=O)Nc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC001178696979 779146792 /nfs/dbraw/zinc/14/67/92/779146792.db2.gz RAGWIYKCRFKRDH-LLVKDONJSA-N -1 1 302.334 1.464 20 0 DDADMM O=C(N[C@H](CO)c1ncc[nH]1)c1c([O-])cnc2c(F)cccc21 ZINC001179172351 779301286 /nfs/dbraw/zinc/30/12/86/779301286.db2.gz JKXTUMSDLAWIOB-SNVBAGLBSA-N -1 1 316.292 1.266 20 0 DDADMM CC[C@@H](CC(C)C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179876213 779533484 /nfs/dbraw/zinc/53/34/84/779533484.db2.gz KQMLLZZMBGFVDI-AVGNSLFASA-N -1 1 323.441 1.662 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@H]1CCCCN1Cc1ccncc1 ZINC001116735910 780550574 /nfs/dbraw/zinc/55/05/74/780550574.db2.gz JONDLSZNBNIUPD-JKSUJKDBSA-N -1 1 319.405 1.520 20 0 DDADMM COCc1nocc1C(=O)[N-]c1ncn(Cc2ccccc2)n1 ZINC001117666230 780875786 /nfs/dbraw/zinc/87/57/86/780875786.db2.gz UDQZFPIKRDUMSO-UHFFFAOYSA-N -1 1 313.317 1.713 20 0 DDADMM Cc1noc(C)c1CCCNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC001119643973 781547155 /nfs/dbraw/zinc/54/71/55/781547155.db2.gz HODQSMRNNPUBEH-CYBMUJFWSA-N -1 1 323.393 1.137 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)C1(CF)CC1 ZINC001283528562 837803327 /nfs/dbraw/zinc/80/33/27/837803327.db2.gz JMQMILNMZSDERK-UHFFFAOYSA-N -1 1 309.341 1.115 20 0 DDADMM CC(C)N(CCCNC(=O)[C@@H]1CC[C@H](C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001267510173 838217265 /nfs/dbraw/zinc/21/72/65/838217265.db2.gz FRUAPJPYIDFGFA-QWHCGFSZSA-N -1 1 323.441 1.663 20 0 DDADMM Cc1nc(CNC/C=C/CNC(=O)c2ncccc2[O-])co1 ZINC001268535278 840406523 /nfs/dbraw/zinc/40/65/23/840406523.db2.gz SHIHVUYKYDJZEG-NSCUHMNNSA-N -1 1 302.334 1.159 20 0 DDADMM C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1cc(Cl)ccc1[O-] ZINC001362150123 840992719 /nfs/dbraw/zinc/99/27/19/840992719.db2.gz UXLOMSXTMLAIDI-IUCAKERBSA-N -1 1 319.810 1.939 20 0 DDADMM CN1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(=O)c2ccc(Cl)cc2[n-]1 ZINC001269327468 841522627 /nfs/dbraw/zinc/52/26/27/841522627.db2.gz BGDYXPIIZSDUEQ-PHIMTYICSA-N -1 1 317.776 1.710 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CN(C(=O)c1ccc([O-])cc1Cl)C2 ZINC001269761906 842017955 /nfs/dbraw/zinc/01/79/55/842017955.db2.gz AHFCMYBZMHEBAC-LLVKDONJSA-N -1 1 308.765 1.596 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)NCCn3ccnn3)cc2c1 ZINC001154744917 861413833 /nfs/dbraw/zinc/41/38/33/861413833.db2.gz SLOIXKNOTGGDAK-UHFFFAOYSA-N -1 1 312.329 1.576 20 0 DDADMM CC(C)C(=O)N1CCCC[C@H]1CCNC(=O)c1ncccc1[O-] ZINC001409808945 845835952 /nfs/dbraw/zinc/83/59/52/845835952.db2.gz HOAFJVJNVLMFDK-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM CNC(=O)[C@H]1CC12CCN(C(=O)c1cccc([O-])c1F)CC2 ZINC001272282600 845994961 /nfs/dbraw/zinc/99/49/61/845994961.db2.gz IFSKLQUECXZTHZ-LLVKDONJSA-N -1 1 306.337 1.520 20 0 DDADMM O=S(=O)([N-]CCc1ccc(F)c(F)c1)c1ccns1 ZINC001365381002 846158807 /nfs/dbraw/zinc/15/88/07/846158807.db2.gz PPPXKTOTGRNFCD-UHFFFAOYSA-N -1 1 304.343 1.942 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)N[C@H]1CCCC[N@@H+](C/C=C/Cl)C1 ZINC001034365349 848420641 /nfs/dbraw/zinc/42/06/41/848420641.db2.gz UWJMOAXCPCLWSZ-FUEXJSSKSA-N -1 1 324.812 1.827 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1cccc2oc(C)nc21 ZINC001128946632 848473133 /nfs/dbraw/zinc/47/31/33/848473133.db2.gz BNSKYJMFJCBWAU-UHFFFAOYSA-N -1 1 300.274 1.898 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCC2(CCC(=O)N2)CC1 ZINC001155266941 861915199 /nfs/dbraw/zinc/91/51/99/861915199.db2.gz RJOPIBDSTXOJEM-UHFFFAOYSA-N -1 1 314.345 1.153 20 0 DDADMM CCO[C@H](C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-])C(C)C ZINC001410372521 849164477 /nfs/dbraw/zinc/16/44/77/849164477.db2.gz OUGYEAYMBHECSW-FZMZJTMJSA-N -1 1 323.393 1.083 20 0 DDADMM CC[C@H](C)C[C@H](CO)[N-]S(=O)(=O)c1csnc1OC ZINC001364872081 849261511 /nfs/dbraw/zinc/26/15/11/849261511.db2.gz CZHRDBRVJILWGD-DTWKUNHWSA-N -1 1 308.425 1.227 20 0 DDADMM CCC(CC)CC(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001410806311 849796540 /nfs/dbraw/zinc/79/65/40/849796540.db2.gz LXUKGXNGLLZLFX-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM COc1cc(-c2ccc(Cl)c([O-])c2)nc(S(C)(=O)=O)n1 ZINC001243575952 850606004 /nfs/dbraw/zinc/60/60/04/850606004.db2.gz AEPXGYYZZBUWID-UHFFFAOYSA-N -1 1 314.750 1.915 20 0 DDADMM CC(C)=C(C)CC(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001274242159 852083564 /nfs/dbraw/zinc/08/35/64/852083564.db2.gz RPZDHFKSBJMIJT-NWDGAFQWSA-N -1 1 307.398 1.193 20 0 DDADMM COc1ccc(CNC(=O)c2ccc3ccc(C)nc3c2[O-])nn1 ZINC001155661112 862352063 /nfs/dbraw/zinc/35/20/63/862352063.db2.gz ZRFXXKZSYNBVNM-UHFFFAOYSA-N -1 1 324.340 1.977 20 0 DDADMM NC(=O)c1cc2c([nH]1)CN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC001275360570 853014822 /nfs/dbraw/zinc/01/48/22/853014822.db2.gz BYHWFWDUCFWXJI-UHFFFAOYSA-N -1 1 303.293 1.157 20 0 DDADMM CCC[C@@H](C)C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001411510303 853261456 /nfs/dbraw/zinc/26/14/56/853261456.db2.gz LAKGEDGXIVJUPV-NEPJUHHUSA-N -1 1 307.394 1.800 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2snnc2C)[n-]1 ZINC001411536869 853322925 /nfs/dbraw/zinc/32/29/25/853322925.db2.gz MUTIFZIAYAJZJO-UHFFFAOYSA-N -1 1 308.319 1.416 20 0 DDADMM NC(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)c1c(F)ccc([O-])c1F)C2 ZINC001275613442 853433111 /nfs/dbraw/zinc/43/31/11/853433111.db2.gz XTBSBDJGUGKELN-AKZRSSKFSA-N -1 1 310.300 1.254 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(N2CCCC2=O)cc1 ZINC001411614320 853461875 /nfs/dbraw/zinc/46/18/75/853461875.db2.gz QJNWWCMVQZLPRW-UHFFFAOYSA-N -1 1 314.349 1.288 20 0 DDADMM C[C@@H](CNC(=O)C1(C)CCCC1)N(C)C(=O)c1ncccc1[O-] ZINC001411633530 853491227 /nfs/dbraw/zinc/49/12/27/853491227.db2.gz KGSLANNPELTVCD-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)CCc2cccn2C)c(=O)[n-]1 ZINC001411791510 853743010 /nfs/dbraw/zinc/74/30/10/853743010.db2.gz AMANJDICRFDDFO-SNVBAGLBSA-N -1 1 320.418 1.994 20 0 DDADMM C[C@@H](C(=O)NCCN(C)C(=O)c1ncccc1[O-])C(C)(C)C ZINC001415538034 853777540 /nfs/dbraw/zinc/77/75/40/853777540.db2.gz HCJCSDYRLQHEAP-NSHDSACASA-N -1 1 307.394 1.658 20 0 DDADMM O=C(NCC1(NC(=O)C2CC2)CCCCC1)c1ncccc1[O-] ZINC001411822622 853786473 /nfs/dbraw/zinc/78/64/73/853786473.db2.gz ZFALKAPUZILPEP-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM COC(=O)[C@H]1C[C@H](OC)CCN1C(=O)c1cccc([O-])c1F ZINC001411954747 854029874 /nfs/dbraw/zinc/02/98/74/854029874.db2.gz XKTJXSCSOICYBQ-MWLCHTKSSA-N -1 1 311.309 1.324 20 0 DDADMM CC(C)(C)NC(=O)[C@H]1CC12CN(C(=O)c1cccc([O-])c1F)C2 ZINC001275984936 854083073 /nfs/dbraw/zinc/08/30/73/854083073.db2.gz VRCHRABJLGTJDI-LLVKDONJSA-N -1 1 320.364 1.908 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2[C@@H]3CCO[C@@H]3C23CCC3)c(=O)[n-]1 ZINC001412002901 854094896 /nfs/dbraw/zinc/09/48/96/854094896.db2.gz HWZOFVZINALZFH-GDPRMGEGSA-N -1 1 321.402 1.592 20 0 DDADMM CCS(=O)(=O)c1ccc(CNC(=O)c2ccc([O-])cn2)cc1 ZINC001412083987 854206630 /nfs/dbraw/zinc/20/66/30/854206630.db2.gz CCHQZRXVGTVRHI-UHFFFAOYSA-N -1 1 320.370 1.511 20 0 DDADMM COC1(CNC(=O)c2c(C)[n-]c(=O)nc2SC)CCCC1 ZINC001412179846 854300684 /nfs/dbraw/zinc/30/06/84/854300684.db2.gz JIPNUOGRNJPTFG-UHFFFAOYSA-N -1 1 311.407 1.902 20 0 DDADMM CC[C@@H](C)c1nc(C)c(CC(=O)N(C)c2nn[n-]n2)c(C)n1 ZINC001412230846 854351932 /nfs/dbraw/zinc/35/19/32/854351932.db2.gz AOZBRNBUEWWIJA-MRVPVSSYSA-N -1 1 303.370 1.326 20 0 DDADMM Cn1ccnc1[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCO1 ZINC001412224951 854356866 /nfs/dbraw/zinc/35/68/66/854356866.db2.gz JVRMCMXKGJRBOY-PWSUYJOCSA-N -1 1 302.334 1.171 20 0 DDADMM COc1ccc(NC(=O)c2cncc([O-])c2)cc1S(C)(=O)=O ZINC001412250527 854376107 /nfs/dbraw/zinc/37/61/07/854376107.db2.gz WPZTVRNBCRSAGN-UHFFFAOYSA-N -1 1 322.342 1.452 20 0 DDADMM Cn1ccnc1[C@H](NC(=O)c1cccc([O-])c1F)C(C)(C)CO ZINC001412284945 854407344 /nfs/dbraw/zinc/40/73/44/854407344.db2.gz FRAIJRFXMNPOBZ-ZDUSSCGKSA-N -1 1 321.352 1.754 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)COC(C)(C)CC)n[n-]1 ZINC001412325080 854443104 /nfs/dbraw/zinc/44/31/04/854443104.db2.gz BACNLSRGHQXHQJ-VIFPVBQESA-N -1 1 312.370 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)COC(C)(C)CC)[n-]1 ZINC001412325080 854443105 /nfs/dbraw/zinc/44/31/05/854443105.db2.gz BACNLSRGHQXHQJ-VIFPVBQESA-N -1 1 312.370 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)COC(C)(C)CC)n1 ZINC001412325080 854443107 /nfs/dbraw/zinc/44/31/07/854443107.db2.gz BACNLSRGHQXHQJ-VIFPVBQESA-N -1 1 312.370 1.364 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cncc(CC)c2)n[n-]1 ZINC001412325709 854443114 /nfs/dbraw/zinc/44/31/14/854443114.db2.gz DMCUECBFJHUBBH-VIFPVBQESA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cncc(CC)c2)[n-]1 ZINC001412325709 854443115 /nfs/dbraw/zinc/44/31/15/854443115.db2.gz DMCUECBFJHUBBH-VIFPVBQESA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cncc(CC)c2)n1 ZINC001412325709 854443117 /nfs/dbraw/zinc/44/31/17/854443117.db2.gz DMCUECBFJHUBBH-VIFPVBQESA-N -1 1 317.349 1.430 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@]12CCO[C@H]1CCCC2 ZINC001412545641 854695140 /nfs/dbraw/zinc/69/51/40/854695140.db2.gz OPTOGNUXQRSANR-SWLSCSKDSA-N -1 1 307.398 1.534 20 0 DDADMM Cc1cccc2[nH]c(C(=O)Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)cc21 ZINC001151090591 862618010 /nfs/dbraw/zinc/61/80/10/862618010.db2.gz WMOFYRDDEFFYRG-UHFFFAOYSA-N -1 1 324.300 1.758 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001412682216 854937694 /nfs/dbraw/zinc/93/76/94/854937694.db2.gz LJHCEADJXMDRNJ-YNEHKIRRSA-N -1 1 319.405 1.800 20 0 DDADMM CCC[C@@H](OCC)C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001412683667 854941825 /nfs/dbraw/zinc/94/18/25/854941825.db2.gz AJBUUAVPEYBRDU-LLVKDONJSA-N -1 1 311.382 1.402 20 0 DDADMM Cc1cc2c(c(NCCOc3ccccc3F)n1)C(=O)[N-]C2=O ZINC001156023385 862641381 /nfs/dbraw/zinc/64/13/81/862641381.db2.gz GMQRUXCZVCMYAK-UHFFFAOYSA-N -1 1 315.304 1.904 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1nc(C(C)(C)C)co1 ZINC001412769630 855243298 /nfs/dbraw/zinc/24/32/98/855243298.db2.gz HLEDFRCYDHLGEW-UHFFFAOYSA-N -1 1 322.321 1.112 20 0 DDADMM CC(C)(C)NC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ccc(F)c(=O)[n-]1 ZINC001276302738 855498197 /nfs/dbraw/zinc/49/81/97/855498197.db2.gz JKSJHWOLOVJHSF-XXFAHNHDSA-N -1 1 321.352 1.446 20 0 DDADMM CC1(C)CNC(=O)c2c(C(=O)Nc3ccncc3[O-])coc2C1 ZINC001412833147 855521601 /nfs/dbraw/zinc/52/16/01/855521601.db2.gz YTIRDFRSMHHZET-UHFFFAOYSA-N -1 1 315.329 1.367 20 0 DDADMM O=C(c1cc(F)cc(F)c1O)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC001412890011 855759637 /nfs/dbraw/zinc/75/96/37/855759637.db2.gz XWBBTNKJINHSKK-SSDOTTSWSA-N -1 1 324.287 1.514 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)CC2CCCC2)[n-]n1 ZINC001413281564 856608396 /nfs/dbraw/zinc/60/83/96/856608396.db2.gz SKFJXFHHXQFYLI-UHFFFAOYSA-N -1 1 301.368 1.007 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)CC2CCCC2)n[n-]1 ZINC001413281564 856608403 /nfs/dbraw/zinc/60/84/03/856608403.db2.gz SKFJXFHHXQFYLI-UHFFFAOYSA-N -1 1 301.368 1.007 20 0 DDADMM CSc1nc(C2CC2)nc(C)c1C(=O)N(C)c1nn[n-]n1 ZINC001413326515 856656354 /nfs/dbraw/zinc/65/63/54/856656354.db2.gz LGHOGTPKWZCWQI-UHFFFAOYSA-N -1 1 305.367 1.174 20 0 DDADMM CCC(C)(C)[C@H](O)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001413326753 856657241 /nfs/dbraw/zinc/65/72/41/856657241.db2.gz AEBNPWVTHBNHRO-VXGBXAGGSA-N -1 1 303.366 1.202 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC[C@H](O)C1CCCC1 ZINC001413420860 856787810 /nfs/dbraw/zinc/78/78/10/856787810.db2.gz WTMJMYFWCMASMK-JTQLQIEISA-N -1 1 320.436 1.371 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCCC1CCOCC1 ZINC001413500900 856895643 /nfs/dbraw/zinc/89/56/43/856895643.db2.gz APBBQPITNPDFHU-UHFFFAOYSA-N -1 1 309.414 1.781 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3ncncc3c2)n1 ZINC001151767646 862955915 /nfs/dbraw/zinc/95/59/15/862955915.db2.gz PQGOXYXQEBUZLJ-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM CCN(C(=O)[C@@H](C)COC)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001413785316 858529390 /nfs/dbraw/zinc/52/93/90/858529390.db2.gz NXEIDTWSQULSFO-NWDGAFQWSA-N -1 1 323.393 1.037 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N(CC)CC1CC1 ZINC001122610580 858909658 /nfs/dbraw/zinc/90/96/58/858909658.db2.gz AMHOAOYFBFCZHI-UHFFFAOYSA-N -1 1 320.401 1.121 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N(CC)CC1CC1 ZINC001122610580 858909667 /nfs/dbraw/zinc/90/96/67/858909667.db2.gz AMHOAOYFBFCZHI-UHFFFAOYSA-N -1 1 320.401 1.121 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]([C@@H](CO)C1CC1)C1CC1 ZINC001123800970 859420084 /nfs/dbraw/zinc/42/00/84/859420084.db2.gz IRHMGVCTLVJSHM-JQWIXIFHSA-N -1 1 323.418 1.306 20 0 DDADMM C[C@@H]1OCCN(C(=O)C(=O)c2ccc([O-])cc2)[C@@H]1C(F)(F)F ZINC001123838479 859436237 /nfs/dbraw/zinc/43/62/37/859436237.db2.gz TVDSZMYCGCOACG-UFBFGSQYSA-N -1 1 317.263 1.753 20 0 DDADMM O=C(c1ccco1)[C@@H](OCC[P@@](=O)([O-])O)c1ccco1 ZINC001224603604 881464057 /nfs/dbraw/zinc/46/40/57/881464057.db2.gz AIWPFHCLJUMKOL-LBPRGKRZSA-N -1 1 300.203 1.991 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H](OCC[P@](=O)([O-])O)[C@@H]2C1 ZINC001224601133 881463460 /nfs/dbraw/zinc/46/34/60/881463460.db2.gz DOYHTBUSPZEHPX-HBNTYKKESA-N -1 1 321.310 1.436 20 0 DDADMM [O-]c1cccnc1CN1Cc2cnn(C3CCOCC3)c2C1 ZINC001138395715 860092914 /nfs/dbraw/zinc/09/29/14/860092914.db2.gz JXRIFUMTCAIGCF-UHFFFAOYSA-N -1 1 300.362 1.851 20 0 DDADMM O=C1CN(Cc2ncccc2[O-])CCN1c1ccc(F)cc1 ZINC001138396304 860094574 /nfs/dbraw/zinc/09/45/74/860094574.db2.gz PZOSDQJVBDNDGB-UHFFFAOYSA-N -1 1 301.321 1.775 20 0 DDADMM CCOC(=O)[C@@H]1CCC[N@H+]1Cc1ccc(-c2nn[nH]n2)cc1 ZINC001139093372 860279308 /nfs/dbraw/zinc/27/93/08/860279308.db2.gz RLYLVQNMVHLURF-ZDUSSCGKSA-N -1 1 301.350 1.394 20 0 DDADMM CCC(CC)(NC(=O)Cn1ccc2ccccc21)c1nn[n-]n1 ZINC001140064690 860567443 /nfs/dbraw/zinc/56/74/43/860567443.db2.gz UQVUYOZIWBYJAT-UHFFFAOYSA-N -1 1 312.377 1.986 20 0 DDADMM CN(Cc1ccc([O-])c(F)c1F)C1CCS(=O)(=O)CC1 ZINC001140893603 860726247 /nfs/dbraw/zinc/72/62/47/860726247.db2.gz VDGNDTHSAMVFDV-UHFFFAOYSA-N -1 1 305.346 1.679 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c[nH]nc2-c2ccoc2)n1 ZINC001141838349 860955404 /nfs/dbraw/zinc/95/54/04/860955404.db2.gz LOEOYMKZZALMAJ-UHFFFAOYSA-N -1 1 315.289 1.822 20 0 DDADMM CCC(CC)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001224943212 881598808 /nfs/dbraw/zinc/59/88/08/881598808.db2.gz VXXBYAKDEDFBHP-VXGBXAGGSA-N -1 1 309.414 1.464 20 0 DDADMM Cc1ccc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)nc1C ZINC001141956157 860980595 /nfs/dbraw/zinc/98/05/95/860980595.db2.gz QQFXOOPLJFFTOM-UHFFFAOYSA-N -1 1 313.386 1.960 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N(C[C@@H]2CCC(=O)N2)C(C)C)c1 ZINC001142399313 861143066 /nfs/dbraw/zinc/14/30/66/861143066.db2.gz TUHMVOXYRUUTDH-ZDUSSCGKSA-N -1 1 318.373 1.642 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cnc2cccc(F)n21 ZINC001156636474 863216040 /nfs/dbraw/zinc/21/60/40/863216040.db2.gz FJDIBQMEOKZGJU-UHFFFAOYSA-N -1 1 315.241 1.161 20 0 DDADMM CCOC(=O)c1[n-]cnc1CNC(=O)c1cnc2sccn12 ZINC001152222441 863225067 /nfs/dbraw/zinc/22/50/67/863225067.db2.gz GFOIIZFSRREDFR-UHFFFAOYSA-N -1 1 319.346 1.226 20 0 DDADMM Cn1c(C=O)ccc1C(=O)NCCc1c(F)cc([O-])cc1F ZINC001156722198 863295821 /nfs/dbraw/zinc/29/58/21/863295821.db2.gz KLFKQMLAOXFSBO-UHFFFAOYSA-N -1 1 308.284 1.794 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4c(=O)cc[nH]c4c3)c1-2 ZINC001152558268 863428691 /nfs/dbraw/zinc/42/86/91/863428691.db2.gz ULYPGWRXQURHGO-UHFFFAOYSA-N -1 1 320.312 1.129 20 0 DDADMM CC(C)CC1(C(=O)N[C@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001381403443 881682641 /nfs/dbraw/zinc/68/26/41/881682641.db2.gz HCIGWGTVHDYZIZ-VXGBXAGGSA-N -1 1 323.441 1.710 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4[nH]c(=O)ccc4c3)c1-2 ZINC001153831851 864141810 /nfs/dbraw/zinc/14/18/10/864141810.db2.gz NMRMAMOGCGRNOW-UHFFFAOYSA-N -1 1 320.312 1.129 20 0 DDADMM O=C(Nc1[nH]nc2cccnc21)c1cnc2cccnc2c1[O-] ZINC001153853672 864160794 /nfs/dbraw/zinc/16/07/94/864160794.db2.gz AGPDDIXGQATGDX-UHFFFAOYSA-N -1 1 306.285 1.447 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc(C2CC2)cnc1Cl ZINC001153872909 864185856 /nfs/dbraw/zinc/18/58/56/864185856.db2.gz ZRPMDZVKBVMRIB-UHFFFAOYSA-N -1 1 318.768 1.935 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2CC=CCC2)C1 ZINC001330264090 864198229 /nfs/dbraw/zinc/19/82/29/864198229.db2.gz HBQLDBVYLMRFGU-MFKMUULPSA-N -1 1 319.327 1.948 20 0 DDADMM C[C@@H]1C(=O)NCCN1C(=O)c1cc(=O)c2cc(Cl)ccc2[n-]1 ZINC001153905145 864210952 /nfs/dbraw/zinc/21/09/52/864210952.db2.gz VVDXOOIYKJSGME-MRVPVSSYSA-N -1 1 319.748 1.142 20 0 DDADMM N=c1scc(N)n1C(=O)c1c(O)cc2ccccc2c1[O-] ZINC001154023321 864330489 /nfs/dbraw/zinc/33/04/89/864330489.db2.gz SPINAAGKGLVMRY-UHFFFAOYSA-N -1 1 301.327 1.864 20 0 DDADMM Cc1nc(Nc2[n-]c(=O)nc3nc[nH]c32)cc(-c2ccncc2)n1 ZINC001159199045 865162388 /nfs/dbraw/zinc/16/23/88/865162388.db2.gz AISMLVZLTLXDHK-UHFFFAOYSA-N -1 1 320.316 1.963 20 0 DDADMM CC/C=C\CNC(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC001159567569 865404198 /nfs/dbraw/zinc/40/41/98/865404198.db2.gz YOMYHDWHYQNIAP-PLNGDYQASA-N -1 1 312.373 1.765 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)/C=C\C(C)(C)C ZINC001331973281 865473670 /nfs/dbraw/zinc/47/36/70/865473670.db2.gz UIJGVFRMNPYJJJ-MXFLAHGMSA-N -1 1 307.398 1.192 20 0 DDADMM COc1ccc(Br)cc1C(=O)N(C)c1nn[n-]n1 ZINC001361554274 881883624 /nfs/dbraw/zinc/88/36/24/881883624.db2.gz BHDWWQAWTXEQOF-UHFFFAOYSA-N -1 1 312.127 1.247 20 0 DDADMM COc1cc(C(=O)[N-]c2ccc(C(=O)N(C)C)cc2F)on1 ZINC001361576661 881931684 /nfs/dbraw/zinc/93/16/84/881931684.db2.gz POSLTIJXBBDWCV-UHFFFAOYSA-N -1 1 307.281 1.776 20 0 DDADMM Cc1sc2ncnc(Nc3c(O)[nH]c(=O)[n-]c3=S)c2c1C ZINC001160847910 866148449 /nfs/dbraw/zinc/14/84/49/866148449.db2.gz RLEDRXCHJLZIQU-SSDOTTSWSA-N -1 1 321.387 1.255 20 0 DDADMM COc1cc(Nc2c(O)[nH]c(=O)[n-]c2=S)nc2ccccc21 ZINC001160853817 866151054 /nfs/dbraw/zinc/15/10/54/866151054.db2.gz QNSYFUGNWSWTFA-NSHDSACASA-N -1 1 316.342 1.191 20 0 DDADMM CCc1nc2sccc2c(Nc2c(O)[nH]c(=O)[n-]c2=S)n1 ZINC001160858022 866152440 /nfs/dbraw/zinc/15/24/40/866152440.db2.gz LBIGJOGJXLKNKJ-ZETCQYMHSA-N -1 1 321.387 1.201 20 0 DDADMM CNC(=O)c1cccc(C(=O)Nc2nc(Cl)ccc2[O-])n1 ZINC001323028408 866280306 /nfs/dbraw/zinc/28/03/06/866280306.db2.gz XSLZPXXPUFTDMH-UHFFFAOYSA-N -1 1 306.709 1.448 20 0 DDADMM Cn1c(=O)oc2cc(NC(=O)c3ccc(O)cc3[O-])c(F)cc21 ZINC001161232215 866458161 /nfs/dbraw/zinc/45/81/61/866458161.db2.gz LYTFDHPUYYNUOB-UHFFFAOYSA-N -1 1 318.260 1.934 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)C1(CC)CCCC1 ZINC001320880864 867098782 /nfs/dbraw/zinc/09/87/82/867098782.db2.gz SDRWXAUIKQSVFO-UHFFFAOYSA-N -1 1 309.414 1.419 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CC[C@@]3(CC(F)(F)C3(F)F)C2)C1=O ZINC001334039571 867259057 /nfs/dbraw/zinc/25/90/57/867259057.db2.gz FWRFUEKLAIJSMM-LDYMZIIASA-N -1 1 324.274 1.038 20 0 DDADMM CCOC(=O)CC1CC(Oc2nc(=O)[n-]cc2C(=O)OCC)C1 ZINC001225899809 882100995 /nfs/dbraw/zinc/10/09/95/882100995.db2.gz RAWIZBZYOQQXIU-UHFFFAOYSA-N -1 1 324.333 1.470 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1OC[C@H](C)OC[C@@H](C)OC ZINC001225901046 882101022 /nfs/dbraw/zinc/10/10/22/882101022.db2.gz LHIHOIAUQSIQDX-ZJUUUORDSA-N -1 1 314.338 1.178 20 0 DDADMM O=C([N-]c1ncn(Cc2cccnc2)n1)c1cc(C2CC2)on1 ZINC001325007603 867693402 /nfs/dbraw/zinc/69/34/02/867693402.db2.gz JKQGENKTAXPIMI-UHFFFAOYSA-N -1 1 310.317 1.839 20 0 DDADMM CC(=O)NCCCCCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001321825072 867817708 /nfs/dbraw/zinc/81/77/08/867817708.db2.gz JZTNPNKQWFXZGR-UHFFFAOYSA-N -1 1 324.381 1.178 20 0 DDADMM COC[C@@H]1CC[C@H]([C@H]2COCCN2CCCCCC(=O)[O-])O1 ZINC001334761970 867818754 /nfs/dbraw/zinc/81/87/54/867818754.db2.gz BWLIZDCRQAGLHS-RRFJBIMHSA-N -1 1 315.410 1.526 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nnc(-c3ccccn3)o2)o1 ZINC001322038790 867952370 /nfs/dbraw/zinc/95/23/70/867952370.db2.gz BFWGMGBTXYLQGO-UHFFFAOYSA-N -1 1 306.303 1.300 20 0 DDADMM CC(C)(C)c1nc2nc[nH]c2c(N[C@H]2SC(=O)[N-]C2=O)n1 ZINC001163663016 868604089 /nfs/dbraw/zinc/60/40/89/868604089.db2.gz SGDPQORXYUQIRR-VIFPVBQESA-N -1 1 306.351 1.371 20 0 DDADMM O=C(N[C@H]1CN2CCC1CC2)c1ccc2oc(=S)[n-]c2c1 ZINC001336617266 869051777 /nfs/dbraw/zinc/05/17/77/869051777.db2.gz QQPICQDJTCSZJG-LBPRGKRZSA-N -1 1 303.387 1.941 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C[C@H]2CCOC2)[n-]c1Cl ZINC001361765601 882324631 /nfs/dbraw/zinc/32/46/31/882324631.db2.gz GDUIPPGBUMLRSW-SSDOTTSWSA-N -1 1 301.730 1.605 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1C[C@@H]2CC[C@H]1c1ccccc12 ZINC001338723070 870134180 /nfs/dbraw/zinc/13/41/80/870134180.db2.gz SVWUIACVKADLHP-CABZTGNLSA-N -1 1 324.344 1.597 20 0 DDADMM COc1ccc(F)c(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c1 ZINC001301240644 870982147 /nfs/dbraw/zinc/98/21/47/870982147.db2.gz ALEIVCFKUBSLKW-UHFFFAOYSA-N -1 1 323.349 1.857 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ncoc1C1CC1 ZINC001301650071 871015843 /nfs/dbraw/zinc/01/58/43/871015843.db2.gz OTPGZYMOVCNRBH-NSHDSACASA-N -1 1 310.317 1.585 20 0 DDADMM Cc1ccnc(C[N-]S(=O)(=O)c2cnc(Cl)c(F)c2)n1 ZINC001309680804 871590665 /nfs/dbraw/zinc/59/06/65/871590665.db2.gz NOPJGHNXFOGMGQ-UHFFFAOYSA-N -1 1 316.745 1.451 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC(N2CCNC2=O)CC1 ZINC001361877549 882555965 /nfs/dbraw/zinc/55/59/65/882555965.db2.gz HOLLHJNLGJIBKS-UHFFFAOYSA-N -1 1 307.325 1.161 20 0 DDADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CC(C)C1 ZINC001381751458 882596250 /nfs/dbraw/zinc/59/62/50/882596250.db2.gz VNUZNTUBLUBELF-HTAVTVPLSA-N -1 1 309.414 1.321 20 0 DDADMM Cc1ncc2c(n1)CC[C@@H](NC(=O)c1cccc([O-])c1F)C2 ZINC001361900184 882602766 /nfs/dbraw/zinc/60/27/66/882602766.db2.gz ACJYKUMRXYTKSR-LLVKDONJSA-N -1 1 301.321 1.917 20 0 DDADMM Cc1nn(C)cc1[C@@H](C)Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226723252 882614085 /nfs/dbraw/zinc/61/40/85/882614085.db2.gz VFQNNZXPKUXBMZ-LLVKDONJSA-N -1 1 319.365 1.201 20 0 DDADMM C[C@H](Oc1cc(=O)[n-]c(N2CCOCC2)n1)c1nccs1 ZINC001226727964 882615910 /nfs/dbraw/zinc/61/59/10/882615910.db2.gz KPGCNFDHFLVKNL-VIFPVBQESA-N -1 1 308.363 1.615 20 0 DDADMM Cn1ncc2cc(S(=O)(=O)[N-]c3ncccc3Cl)cnc21 ZINC001343005009 872465609 /nfs/dbraw/zinc/46/56/09/872465609.db2.gz NMUAYZCCOJSUNE-UHFFFAOYSA-N -1 1 323.765 1.818 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cc2c([nH]1)CCCC2 ZINC001344076769 872851080 /nfs/dbraw/zinc/85/10/80/872851080.db2.gz WCHDOBNSJZAIHT-OAHLLOKOSA-N -1 1 322.372 1.926 20 0 DDADMM CCCC[C@@H](C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207980083 873710797 /nfs/dbraw/zinc/71/07/97/873710797.db2.gz UGLINKYOGJZEEM-VXGBXAGGSA-N -1 1 309.414 1.417 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H](Oc3cnc[n-]c3=O)[C@@H]2C1 ZINC001227051582 882796129 /nfs/dbraw/zinc/79/61/29/882796129.db2.gz LXDLDERKSMCVOU-HBNTYKKESA-N -1 1 307.350 1.816 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(-c2ccccn2)[nH]c1=O ZINC001347773931 874270942 /nfs/dbraw/zinc/27/09/42/874270942.db2.gz VXBIOYMSLRUVMD-UHFFFAOYSA-N -1 1 311.301 1.839 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCNC(=O)[C@H]1c1ccccc1 ZINC001362013297 882820422 /nfs/dbraw/zinc/82/04/22/882820422.db2.gz DTPKZAHWUOPMQQ-OAHLLOKOSA-N -1 1 314.316 1.845 20 0 DDADMM CCOCCN(C)c1nnc(-c2c[n-][nH]c2=O)n1CC1CC1 ZINC001348332473 874490581 /nfs/dbraw/zinc/49/05/81/874490581.db2.gz MXYUKYOFAVBLKF-UHFFFAOYSA-N -1 1 306.370 1.257 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(C2CCOCC2)C1 ZINC001348599790 874660541 /nfs/dbraw/zinc/66/05/41/874660541.db2.gz FSUIEOMDQCCWFH-UHFFFAOYSA-N -1 1 309.391 1.278 20 0 DDADMM C=C/C(C)=C/CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209100568 874668375 /nfs/dbraw/zinc/66/83/75/874668375.db2.gz JCKLEAWRRNDXLQ-IGEMTJHASA-N -1 1 305.382 1.113 20 0 DDADMM CCCCC1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001209474662 874911276 /nfs/dbraw/zinc/91/12/76/874911276.db2.gz IODXCQRSGBKNOK-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2ccc(CO)c(F)c2)ccc1O ZINC001210485929 875404866 /nfs/dbraw/zinc/40/48/66/875404866.db2.gz XZUUXRVWQCSLNU-UHFFFAOYSA-N -1 1 312.322 1.407 20 0 DDADMM O=C(NCCCNC(=O)C12CCC(CC1)C2)c1ncccc1[O-] ZINC001349939967 875466894 /nfs/dbraw/zinc/46/68/94/875466894.db2.gz HWBOPGSYOJFOQV-UHFFFAOYSA-N -1 1 317.389 1.604 20 0 DDADMM Cc1cc(CNC[C@@H](C)N(C)C(=O)c2ncccc2[O-])no1 ZINC001378761108 875573417 /nfs/dbraw/zinc/57/34/17/875573417.db2.gz OFYSWGGTKMEHIN-SNVBAGLBSA-N -1 1 304.350 1.334 20 0 DDADMM O=S(=O)([N-]Cc1cccc(F)n1)c1c[nH]nc1C(F)(F)F ZINC001211110847 875662635 /nfs/dbraw/zinc/66/26/35/875662635.db2.gz GZTYODYTAMJRQT-UHFFFAOYSA-N -1 1 324.259 1.441 20 0 DDADMM COC(=O)c1ncc(Nc2cc3ccc([O-])cc3oc2=O)cn1 ZINC001211154131 875678598 /nfs/dbraw/zinc/67/85/98/875678598.db2.gz RPNWFTITZGHAID-UHFFFAOYSA-N -1 1 313.269 1.819 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)/C=C/C2CCOCC2)[n-]c1=O ZINC001350678925 875828175 /nfs/dbraw/zinc/82/81/75/875828175.db2.gz GOOAIAWZJMVHHN-SNAWJCMRSA-N -1 1 321.377 1.525 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H](Oc2[n-]c(=S)ncc2F)CO1 ZINC001227383289 882990937 /nfs/dbraw/zinc/99/09/37/882990937.db2.gz MERHNCVNTIHNHR-IONNQARKSA-N -1 1 302.327 1.394 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@@H](Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)C1 ZINC001227431624 883017667 /nfs/dbraw/zinc/01/76/67/883017667.db2.gz XFJHSOFIOABDRD-HTQZYQBOSA-N -1 1 322.321 1.265 20 0 DDADMM Cc1nc(CN[C@@H](C)CN(C)C(=O)c2ncccc2[O-])c(C)o1 ZINC001379103189 876409520 /nfs/dbraw/zinc/40/95/20/876409520.db2.gz UQTNKWNEPWLOFD-JTQLQIEISA-N -1 1 318.377 1.642 20 0 DDADMM CCC[C@H](OC)C(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001351797313 876436448 /nfs/dbraw/zinc/43/64/48/876436448.db2.gz VWCGKQZMWVAWEQ-ZDUSSCGKSA-N -1 1 323.393 1.181 20 0 DDADMM CCCC[C@@](C)(F)C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001379156451 876530488 /nfs/dbraw/zinc/53/04/88/876530488.db2.gz WMPYNHADTJLJRU-QMTHXVAHSA-N -1 1 315.393 1.365 20 0 DDADMM COc1cc(CO)ccc1[N-]S(=O)(=O)c1ccc(N)c(C)c1 ZINC001215210004 876610629 /nfs/dbraw/zinc/61/06/29/876610629.db2.gz CPATWJRESONMSI-UHFFFAOYSA-N -1 1 322.386 1.879 20 0 DDADMM COc1cc(SC)c(Nc2c(N)[nH]c(=S)[n-]c2=O)cn1 ZINC001215810577 876812243 /nfs/dbraw/zinc/81/22/43/876812243.db2.gz DNEBNHVKECJXEW-UHFFFAOYSA-N -1 1 311.392 1.922 20 0 DDADMM COc1ncc(N(C)c2ccccc2N)cc1[N-]S(C)(=O)=O ZINC001216155526 876881123 /nfs/dbraw/zinc/88/11/23/876881123.db2.gz KKGLWBJAYXYXBL-UHFFFAOYSA-N -1 1 322.390 1.812 20 0 DDADMM COCC(C)(C)C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001381965728 883075847 /nfs/dbraw/zinc/07/58/47/883075847.db2.gz YSPCFQJJKBZRRT-NSHDSACASA-N -1 1 323.393 1.037 20 0 DDADMM CCC(=CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)CC ZINC001352812377 876948079 /nfs/dbraw/zinc/94/80/79/876948079.db2.gz YOGCIDGBBVZLHT-ZDUSSCGKSA-N -1 1 317.389 1.864 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@@H]3CCNc4ccccc43)c1-2 ZINC001218527290 877539764 /nfs/dbraw/zinc/53/97/64/877539764.db2.gz HMAAJCXGBRMGHJ-LLVKDONJSA-N -1 1 308.345 1.573 20 0 DDADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CC1(C)CCCC1 ZINC001379657742 877670887 /nfs/dbraw/zinc/67/08/87/877670887.db2.gz QAPLCYPETGNAEG-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM COC(=O)C[C@H](C)[N-]S(=O)(=O)c1sc(Cl)nc1C ZINC000310702175 877911191 /nfs/dbraw/zinc/91/11/91/877911191.db2.gz BBEMAOMECNOEHL-YFKPBYRVSA-N -1 1 312.800 1.335 20 0 DDADMM CC(C)[C@H](F)C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001379733818 877858243 /nfs/dbraw/zinc/85/82/43/877858243.db2.gz BNCSJSBNQFGHOW-BLLLJJGKSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@@]1(CNC(=O)c2ncccc2[O-])CCN(C(=O)C(F)F)C1 ZINC001379778763 877996389 /nfs/dbraw/zinc/99/63/89/877996389.db2.gz NSIXDYMOSCWLFW-AWEZNQCLSA-N -1 1 313.304 1.021 20 0 DDADMM CCC(=O)N[C@H](C)[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001380369706 879480616 /nfs/dbraw/zinc/48/06/16/879480616.db2.gz XPTBZJIDWJVFPL-NXEZZACHSA-N -1 1 322.409 1.647 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@]2(CC[C@H]2OCc2nn[n-]n2)C1 ZINC001223029483 880789831 /nfs/dbraw/zinc/78/98/31/880789831.db2.gz MBNAWHVLYSDUPZ-YGRLFVJLSA-N -1 1 309.370 1.506 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](OCc2nn[n-]n2)[C@@H](F)C1 ZINC001223029797 880789952 /nfs/dbraw/zinc/78/99/52/880789952.db2.gz PVMLOYCSYKMJBQ-VHSXEESVSA-N -1 1 315.349 1.454 20 0 DDADMM CCC(=O)NC1(CNC(=O)c2ncccc2[O-])CCCCC1 ZINC001381032355 880891170 /nfs/dbraw/zinc/89/11/70/880891170.db2.gz OYCIFJPNRQHYMK-UHFFFAOYSA-N -1 1 305.378 1.746 20 0 DDADMM CC(C)[C@H](Oc1[n-]c(=O)nc2c1COC2)C(=O)OC(C)(C)C ZINC001227686033 883131496 /nfs/dbraw/zinc/13/14/96/883131496.db2.gz DREALSWODCMOSH-NSHDSACASA-N -1 1 310.350 1.958 20 0 DDADMM COc1cc(C(=O)N(C)C)ccc1NC(=O)c1ccc([O-])cn1 ZINC001362158851 883178633 /nfs/dbraw/zinc/17/86/33/883178633.db2.gz OZSDHUACDSVESN-UHFFFAOYSA-N -1 1 315.329 1.750 20 0 DDADMM CCOC(=O)CN1CCCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001362201973 883282235 /nfs/dbraw/zinc/28/22/35/883282235.db2.gz AMYDFVOZVXYBIQ-UHFFFAOYSA-N -1 1 324.352 1.242 20 0 DDADMM Cc1nnc([C@@H]2CCCN(C(=O)c3cccc([O-])c3F)C2)[nH]1 ZINC001362284668 883479927 /nfs/dbraw/zinc/47/99/27/883479927.db2.gz JYHBLBNXRRPDLB-SNVBAGLBSA-N -1 1 304.325 1.978 20 0 DDADMM O=C(N[C@@H](CO)[C@@H]1CCOC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362284026 883480347 /nfs/dbraw/zinc/48/03/47/883480347.db2.gz NDEGARJZPKJCEI-KOLCDFICSA-N -1 1 319.279 1.538 20 0 DDADMM S=c1nncc(OC[C@H]2CN(Cc3ccccc3)CCO2)[n-]1 ZINC001228641461 883588675 /nfs/dbraw/zinc/58/86/75/883588675.db2.gz DQHVQDJUHGYAJA-CYBMUJFWSA-N -1 1 318.402 1.440 20 0 DDADMM CN(C)C(=O)Nc1ccc(CNC(=O)c2cnncc2O)cc1 ZINC001362388090 883695069 /nfs/dbraw/zinc/69/50/69/883695069.db2.gz OKFPYLSGNJQZEK-UHFFFAOYSA-N -1 1 315.333 1.206 20 0 DDADMM CN(C)C(=O)Nc1ccc(CNC(=O)c2cnncc2[O-])cc1 ZINC001362388090 883695076 /nfs/dbraw/zinc/69/50/76/883695076.db2.gz OKFPYLSGNJQZEK-UHFFFAOYSA-N -1 1 315.333 1.206 20 0 DDADMM CC[C@@H](C)c1nnc([C@H](C)NC(=O)CCCc2nn[n-]n2)[nH]1 ZINC001362422080 883772240 /nfs/dbraw/zinc/77/22/40/883772240.db2.gz DNBDYUWSWPVJFJ-BDAKNGLRSA-N -1 1 306.374 1.031 20 0 DDADMM O=C(Nc1ccc2c(c1)C(=O)OC2)c1cnc(C2CC2)[n-]c1=O ZINC001362507544 883966664 /nfs/dbraw/zinc/96/66/64/883966664.db2.gz ZDIDWYFOWJAFRC-UHFFFAOYSA-N -1 1 311.297 1.982 20 0 DDADMM O=C1[N-]C(=O)[C@H](Cc2ccc(O[C@@H]3CCCOC3=O)cc2)S1 ZINC001229623482 884081564 /nfs/dbraw/zinc/08/15/64/884081564.db2.gz JJNNKZWDZGSURD-NEPJUHHUSA-N -1 1 321.354 1.665 20 0 DDADMM CCC[C@H](NC(=O)CCc1nn[n-]n1)[C@@H](O)c1ccccc1 ZINC001362620314 884241945 /nfs/dbraw/zinc/24/19/45/884241945.db2.gz MRUKDCZZSGPLEP-WFASDCNBSA-N -1 1 303.366 1.151 20 0 DDADMM C[C@H]1OCC[C@]12CN(C(=O)c1ccc(F)c([O-])c1)C[C@@H](C)O2 ZINC001362621460 884243371 /nfs/dbraw/zinc/24/33/71/884243371.db2.gz PRIXDTAZINMJPR-UVWXRNBGSA-N -1 1 309.337 1.940 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@H]1C(=O)Nc2c1cccc2F ZINC001362660049 884349473 /nfs/dbraw/zinc/34/94/73/884349473.db2.gz XRXNQTUJWMTPAT-MRVPVSSYSA-N -1 1 304.281 1.627 20 0 DDADMM COC(=O)C[C@@](C)(NC(=O)c1ccc([O-])cn1)c1ccncc1 ZINC001362708956 884460630 /nfs/dbraw/zinc/46/06/30/884460630.db2.gz VHDUYIZDZQXHDG-MRXNPFEDSA-N -1 1 315.329 1.391 20 0 DDADMM Cc1cccc(OCCC(=O)NCc2nc([O-])cc(=O)[nH]2)c1C ZINC001362718655 884479333 /nfs/dbraw/zinc/47/93/33/884479333.db2.gz IEKJKTCMRMHRHR-UHFFFAOYSA-N -1 1 317.345 1.590 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H]2[C@H]2CCCOC2)c(=O)[n-]1 ZINC001362828147 884737584 /nfs/dbraw/zinc/73/75/84/884737584.db2.gz WJWSCCAOVHKYQT-CMPLNLGQSA-N -1 1 323.418 1.935 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](Cc1ccccc1)OC)c1nn[n-]n1 ZINC001362856073 884809760 /nfs/dbraw/zinc/80/97/60/884809760.db2.gz LKRUEIRSKXOFRI-CYBMUJFWSA-N -1 1 317.393 1.589 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1cc(C)on1 ZINC001362892091 884900892 /nfs/dbraw/zinc/90/08/92/884900892.db2.gz XGMBHAAQYIIAQX-JTQLQIEISA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1cc(C)on1 ZINC001362892091 884900914 /nfs/dbraw/zinc/90/09/14/884900914.db2.gz XGMBHAAQYIIAQX-JTQLQIEISA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1cc(C)on1 ZINC001362892091 884900926 /nfs/dbraw/zinc/90/09/26/884900926.db2.gz XGMBHAAQYIIAQX-JTQLQIEISA-N -1 1 319.321 1.255 20 0 DDADMM CC[C@@H](C)Oc1ccc(C(=O)N[C@@H](COC)c2nn[n-]n2)cc1 ZINC001362906868 884949321 /nfs/dbraw/zinc/94/93/21/884949321.db2.gz GHGYLXXIASXDNW-MFKMUULPSA-N -1 1 319.365 1.495 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2ccc([O-])cc2F)[nH]c1=O ZINC001362972441 885118756 /nfs/dbraw/zinc/11/87/56/885118756.db2.gz XFABGHOYWMNJOR-UHFFFAOYSA-N -1 1 321.308 1.701 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H]1CC[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001288471280 912918673 /nfs/dbraw/zinc/91/86/73/912918673.db2.gz KUAAZKBYRKNTIJ-FZXKYSEESA-N -1 1 317.389 1.863 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1cc(CO)cc(CO)c1 ZINC001363053482 885341258 /nfs/dbraw/zinc/34/12/58/885341258.db2.gz UTHGRDWYPVGQHU-UHFFFAOYSA-N -1 1 303.314 1.638 20 0 DDADMM CSCC[C@H](NC(=O)c1ocnc1C1CC1)c1nn[n-]n1 ZINC001363100994 885466323 /nfs/dbraw/zinc/46/63/23/885466323.db2.gz WZPJOWJCVBRVLI-QMMMGPOBSA-N -1 1 308.367 1.289 20 0 DDADMM O=C([N-]N1CN=NC1=O)c1cccc(F)c1Br ZINC001363113119 885475970 /nfs/dbraw/zinc/47/59/70/885475970.db2.gz SFAVXYKGVVEDHK-UHFFFAOYSA-N -1 1 301.075 1.269 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1C[C@H]1c1ccc2c(c1)OCCO2 ZINC001363127717 885534075 /nfs/dbraw/zinc/53/40/75/885534075.db2.gz NDBYMDPPEKFBMY-VHSXEESVSA-N -1 1 301.302 1.629 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@](F)(C(=O)Nc2c[n-][nH]c2=O)C1 ZINC001363129977 885538630 /nfs/dbraw/zinc/53/86/30/885538630.db2.gz UICGICKCKGEFBC-CYBMUJFWSA-N -1 1 314.317 1.403 20 0 DDADMM O=C(c1ccc(Cl)c([O-])c1)N1CCN(Cc2nnc[nH]2)CC1 ZINC001363200565 885699403 /nfs/dbraw/zinc/69/94/03/885699403.db2.gz DEGAICOOINTPRF-UHFFFAOYSA-N -1 1 321.768 1.122 20 0 DDADMM CC[C@H](C(=O)N1CC[C@@H](c2nn[n-]n2)C1)c1c(C)noc1C ZINC001363217727 885736945 /nfs/dbraw/zinc/73/69/45/885736945.db2.gz LPUGTFXYVUJGCP-MNOVXSKESA-N -1 1 304.354 1.314 20 0 DDADMM COC(=O)[C@]1(C)C[C@H](OC)CN1C(=O)c1ccc(F)c([O-])c1 ZINC001363217918 885739831 /nfs/dbraw/zinc/73/98/31/885739831.db2.gz IPRLBZLJNIWXDY-BONVTDFDSA-N -1 1 311.309 1.324 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC[C@@H]2CCCCO2)n[n-]1 ZINC001363229233 885767566 /nfs/dbraw/zinc/76/75/66/885767566.db2.gz ALTQZVYEUCAJBE-QWRGUYRKSA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC[C@@H]2CCCCO2)[n-]1 ZINC001363229233 885767580 /nfs/dbraw/zinc/76/75/80/885767580.db2.gz ALTQZVYEUCAJBE-QWRGUYRKSA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC[C@@H]2CCCCO2)n1 ZINC001363229233 885767597 /nfs/dbraw/zinc/76/75/97/885767597.db2.gz ALTQZVYEUCAJBE-QWRGUYRKSA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H](C)n2cccc2)n[n-]1 ZINC001363231957 885773051 /nfs/dbraw/zinc/77/30/51/885773051.db2.gz NYWPOMRAPJWXCM-QWRGUYRKSA-N -1 1 319.365 1.611 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H](C)n2cccc2)[n-]1 ZINC001363231957 885773065 /nfs/dbraw/zinc/77/30/65/885773065.db2.gz NYWPOMRAPJWXCM-QWRGUYRKSA-N -1 1 319.365 1.611 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H](C)n2cccc2)n1 ZINC001363231957 885773071 /nfs/dbraw/zinc/77/30/71/885773071.db2.gz NYWPOMRAPJWXCM-QWRGUYRKSA-N -1 1 319.365 1.611 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cccc3c2OCC3)o1 ZINC001363256619 885836628 /nfs/dbraw/zinc/83/66/28/885836628.db2.gz WSJSIFQVVUTWLQ-UHFFFAOYSA-N -1 1 322.342 1.375 20 0 DDADMM CC(C)(Cc1ccc(O)cc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363332870 886046918 /nfs/dbraw/zinc/04/69/18/886046918.db2.gz XCJNURDHFBGDOA-UHFFFAOYSA-N -1 1 317.345 1.479 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(CO)cc2OC)n1 ZINC001363385404 886191247 /nfs/dbraw/zinc/19/12/47/886191247.db2.gz YBWRIKZPJXPDFO-UHFFFAOYSA-N -1 1 319.317 1.340 20 0 DDADMM COC[C@@H](NC(=O)Cc1ccccc1OC(C)C)c1nn[n-]n1 ZINC001363408103 886254009 /nfs/dbraw/zinc/25/40/09/886254009.db2.gz BKMLYJGTOUYBSJ-GFCCVEGCSA-N -1 1 319.365 1.033 20 0 DDADMM O=C(c1cc(C2CC2)no1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363431551 886322014 /nfs/dbraw/zinc/32/20/14/886322014.db2.gz IFLGDVCIUIJXDQ-VIFPVBQESA-N -1 1 302.338 1.160 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)no1 ZINC001363437869 886335396 /nfs/dbraw/zinc/33/53/96/886335396.db2.gz YTWOMCITAUGOAK-JTQLQIEISA-N -1 1 318.381 1.580 20 0 DDADMM Cc1nc(CNC(=O)c2c([O-])cccc2F)sc1C(N)=O ZINC001363453931 886380325 /nfs/dbraw/zinc/38/03/25/886380325.db2.gz CVRZZBXYQPGWKY-UHFFFAOYSA-N -1 1 309.322 1.325 20 0 DDADMM CCc1cccc(CNC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC001363468669 886420745 /nfs/dbraw/zinc/42/07/45/886420745.db2.gz FUEIDHADEOLRCF-UHFFFAOYSA-N -1 1 313.357 1.396 20 0 DDADMM COC(=O)c1occc1CNC(=O)c1c(F)ccc([O-])c1F ZINC001363479813 886449815 /nfs/dbraw/zinc/44/98/15/886449815.db2.gz CTRKJZOMNWFNCX-UHFFFAOYSA-N -1 1 311.240 1.980 20 0 DDADMM CC[C@@H](C(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccc(OC)cc1 ZINC001363486919 886466831 /nfs/dbraw/zinc/46/68/31/886466831.db2.gz QLAAIWGAIPTSOM-GFCCVEGCSA-N -1 1 317.345 1.706 20 0 DDADMM CO[C@H]1CCCN(NC(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC001363530774 886563193 /nfs/dbraw/zinc/56/31/93/886563193.db2.gz JUSMTHFLMUKCGW-JTQLQIEISA-N -1 1 319.336 1.835 20 0 DDADMM [O-]c1cccc(CN2CCN(c3ncc(O)cn3)CC2)c1Cl ZINC001232788935 886589861 /nfs/dbraw/zinc/58/98/61/886589861.db2.gz CQCZEAZMUJUFTJ-UHFFFAOYSA-N -1 1 320.780 1.863 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001383841696 886628240 /nfs/dbraw/zinc/62/82/40/886628240.db2.gz XRZBMHOHAKUVQJ-QJPTWQEYSA-N -1 1 305.378 1.410 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C2CCC(O)CC2)[n-]c1=O ZINC001363625920 886801794 /nfs/dbraw/zinc/80/17/94/886801794.db2.gz ARZYGHUVYFIRBQ-UHFFFAOYSA-N -1 1 309.366 1.093 20 0 DDADMM CCC[C@@H](OC)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001363639727 886847884 /nfs/dbraw/zinc/84/78/84/886847884.db2.gz FZHONTNMEWSUPH-GARJFASQSA-N -1 1 324.343 1.860 20 0 DDADMM COc1cnc(C2(NC(=O)c3c[nH]nc3C)CCCC2)[n-]c1=O ZINC001363703294 887020153 /nfs/dbraw/zinc/02/01/53/887020153.db2.gz FHKTYXSOWSICRW-UHFFFAOYSA-N -1 1 317.349 1.422 20 0 DDADMM O=C(COc1cccc(Cl)c1)NC1(c2nn[n-]n2)CCC1 ZINC001363815705 887297672 /nfs/dbraw/zinc/29/76/72/887297672.db2.gz BIIULPYJYDFLOZ-UHFFFAOYSA-N -1 1 307.741 1.428 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc(C(F)(F)F)nc1 ZINC001363822065 887315998 /nfs/dbraw/zinc/31/59/98/887315998.db2.gz QIKSZSVFQDZJHY-UHFFFAOYSA-N -1 1 312.255 1.423 20 0 DDADMM CCOC(=O)c1nc(NC(=O)/C=C/[C@@H]2CCOC2)[n-]c1Cl ZINC001289091699 913147070 /nfs/dbraw/zinc/14/70/70/913147070.db2.gz ZXFJYKOSDCHYBP-MPJRPATESA-N -1 1 313.741 1.771 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCC(C)(C)O2)n[n-]1 ZINC001363881793 887461608 /nfs/dbraw/zinc/46/16/08/887461608.db2.gz BBLOJANBAXRDFJ-IUCAKERBSA-N -1 1 310.354 1.116 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCC(C)(C)O2)[n-]1 ZINC001363881793 887461619 /nfs/dbraw/zinc/46/16/19/887461619.db2.gz BBLOJANBAXRDFJ-IUCAKERBSA-N -1 1 310.354 1.116 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCC(C)(C)O2)n1 ZINC001363881793 887461631 /nfs/dbraw/zinc/46/16/31/887461631.db2.gz BBLOJANBAXRDFJ-IUCAKERBSA-N -1 1 310.354 1.116 20 0 DDADMM O=S(=O)([N-]CC1(n2cccn2)CC1)c1ccc(C(F)F)o1 ZINC001364047918 887792906 /nfs/dbraw/zinc/79/29/06/887792906.db2.gz HJTGHAPXZWXVBJ-UHFFFAOYSA-N -1 1 317.317 1.881 20 0 DDADMM CC(=O)NCC1(CCNC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001384666153 887860302 /nfs/dbraw/zinc/86/03/02/887860302.db2.gz HAWYPSCZLKQVKH-UHFFFAOYSA-N -1 1 318.377 1.096 20 0 DDADMM O=P([O-])([O-])Oc1ccc(O[C@H]2CCc3[nH+]ccn3C2)cc1 ZINC001234337032 887881916 /nfs/dbraw/zinc/88/19/16/887881916.db2.gz WFOPJAQVOOFRNA-LBPRGKRZSA-N -1 1 310.246 1.748 20 0 DDADMM CCc1onc(C)c1C[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001364108981 887941008 /nfs/dbraw/zinc/94/10/08/887941008.db2.gz LJHLARSCHBFBAG-UHFFFAOYSA-N -1 1 317.392 1.489 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@H](C)COc2ccccc2)c(=O)[n-]1 ZINC001234469610 888002281 /nfs/dbraw/zinc/00/22/81/888002281.db2.gz JCSDRAHUHCGEGM-SECBINFHSA-N -1 1 303.318 1.436 20 0 DDADMM COc1cc(NC(=O)NCCc2c(F)cc([O-])cc2F)[nH]n1 ZINC001364150875 888031431 /nfs/dbraw/zinc/03/14/31/888031431.db2.gz LJRMKLPHUBVNRA-UHFFFAOYSA-N -1 1 312.276 1.766 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1OC[C@@H]1Cc2ccccc2O1 ZINC001234557225 888089593 /nfs/dbraw/zinc/08/95/93/888089593.db2.gz GVTVACWQODZRDG-NSHDSACASA-N -1 1 316.313 1.660 20 0 DDADMM CC1=C[C@@H](Oc2c(C(N)=O)nc(C(C)C)[n-]c2=O)CC(=O)O1 ZINC001234560972 888096430 /nfs/dbraw/zinc/09/64/30/888096430.db2.gz JTABFRPOQXYIHE-MRVPVSSYSA-N -1 1 307.306 1.003 20 0 DDADMM Cc1nn(C)cc1[C@H](C)Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O ZINC001234563429 888102432 /nfs/dbraw/zinc/10/24/32/888102432.db2.gz XYIDTVCJZIVEIZ-VIFPVBQESA-N -1 1 319.365 1.586 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H](C)c1ccncc1F ZINC001234564688 888104244 /nfs/dbraw/zinc/10/42/44/888104244.db2.gz NPKQNEPSWLOIJB-ZETCQYMHSA-N -1 1 307.281 1.951 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@H](C)c1cn(C)nc1C ZINC001234601326 888133089 /nfs/dbraw/zinc/13/30/89/888133089.db2.gz JFODNOGYQCNZPP-MRVPVSSYSA-N -1 1 309.297 1.895 20 0 DDADMM C[C@@H]1C[C@H]1CNC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001364215860 888159219 /nfs/dbraw/zinc/15/92/19/888159219.db2.gz WUNROWDRSWBLEA-RCWTZXSCSA-N -1 1 321.343 1.883 20 0 DDADMM COC1(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)CCCCC1 ZINC001364246314 888211096 /nfs/dbraw/zinc/21/10/96/888211096.db2.gz OGDWFGAUTZBOQW-UHFFFAOYSA-N -1 1 323.393 1.546 20 0 DDADMM COc1cccc(C2=NO[C@H](C(=O)Nc3c[n-][nH]c3=O)C2)c1 ZINC001364514226 888815164 /nfs/dbraw/zinc/81/51/64/888815164.db2.gz ZXCIKYIWXLAAJH-LBPRGKRZSA-N -1 1 302.290 1.256 20 0 DDADMM CCN(Cc1nc(=O)n(C)[n-]1)Cc1nc2c(s1)C[C@@H](C)CC2 ZINC001364528179 888854373 /nfs/dbraw/zinc/85/43/73/888854373.db2.gz IAOMYUBFDDSXNG-JTQLQIEISA-N -1 1 321.450 1.712 20 0 DDADMM Cc1nn2cccnc2c1S(=O)(=O)[N-][C@@H](C)CC(F)(F)F ZINC001364813600 889470097 /nfs/dbraw/zinc/47/00/97/889470097.db2.gz JBDGSVVFWJTPQL-ZETCQYMHSA-N -1 1 322.312 1.657 20 0 DDADMM C[C@@H](C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC001385681373 889558477 /nfs/dbraw/zinc/55/84/77/889558477.db2.gz SGKUCZUINBZPMW-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM CN(C(=O)CC1(C)CC1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001396202403 913359938 /nfs/dbraw/zinc/35/99/38/913359938.db2.gz UOZPCWCZKVRDFY-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM Cn1cnnc1CC1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001364901137 889637360 /nfs/dbraw/zinc/63/73/60/889637360.db2.gz ADBZBEROTQQGCQ-UHFFFAOYSA-N -1 1 318.352 1.755 20 0 DDADMM CCOC(=O)[C@@H](C[C@H](C)CC)[N-]S(=O)(=O)Cc1ccno1 ZINC001364922829 889674582 /nfs/dbraw/zinc/67/45/82/889674582.db2.gz IUVIOROJQBDMPN-ZYHUDNBSSA-N -1 1 318.395 1.462 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2cc(C3CC3)no2)c1 ZINC001364959070 889760283 /nfs/dbraw/zinc/76/02/83/889760283.db2.gz GJFPPSVBSUTELE-UHFFFAOYSA-N -1 1 324.358 1.745 20 0 DDADMM O=C(Nc1ccccc1F)c1ccc(-n2[n-]c(=O)[nH]c2=O)cc1 ZINC001290083661 913379586 /nfs/dbraw/zinc/37/95/86/913379586.db2.gz LVNBURRFHPALDP-UHFFFAOYSA-N -1 1 314.276 1.658 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2CCOC23CCCC3)c(=O)[n-]1 ZINC001365151523 890202465 /nfs/dbraw/zinc/20/24/65/890202465.db2.gz VTUPWFTUYCTIBI-JTQLQIEISA-N -1 1 309.391 1.736 20 0 DDADMM Cc1ccc(-c2nc3nc[nH]c3c(N3CCOCC3)n2)c([O-])c1 ZINC001241035688 891116636 /nfs/dbraw/zinc/11/66/36/891116636.db2.gz CTPWDJRMGSTUKU-UHFFFAOYSA-N -1 1 311.345 1.871 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)CC(C)(C)OC ZINC001365673978 891293148 /nfs/dbraw/zinc/29/31/48/891293148.db2.gz YOZAHEOIWZBPGC-QMMMGPOBSA-N -1 1 308.425 1.634 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@]1(C)CCCC[C@H]1O ZINC001365679434 891303471 /nfs/dbraw/zinc/30/34/71/891303471.db2.gz BNFKXWCDSSKETH-ZYHUDNBSSA-N -1 1 320.436 1.371 20 0 DDADMM COC(=O)c1cc2ccc(-c3cnn(CC(=O)[O-])c3)[nH]c-2n1 ZINC001242182105 891362761 /nfs/dbraw/zinc/36/27/61/891362761.db2.gz UARYUVXSXHMICR-UHFFFAOYSA-N -1 1 300.274 1.298 20 0 DDADMM [O-]c1cc(F)ccc1-c1nc2c(c(N3CCOCC3)n1)CNC2 ZINC001242218215 891375799 /nfs/dbraw/zinc/37/57/99/891375799.db2.gz OUYTXCOJSZXZRE-UHFFFAOYSA-N -1 1 316.336 1.428 20 0 DDADMM C[C@H](CCNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)NC(=O)c1ncccc1[O-] ZINC001386658404 891423803 /nfs/dbraw/zinc/42/38/03/891423803.db2.gz GPYPOIUYMKUNKR-NMKXLXIOSA-N -1 1 317.389 1.458 20 0 DDADMM COC(=O)c1cc(-c2nc(C)cc3c2C(=O)[N-]C3=O)ccc1F ZINC001243282914 891632358 /nfs/dbraw/zinc/63/23/58/891632358.db2.gz RSCWUWCEOGICGE-UHFFFAOYSA-N -1 1 314.272 1.866 20 0 DDADMM O=C1Cc2cc(-c3ccnc(N4CCOCC4)c3)ccc2C(=O)[N-]1 ZINC001243900941 891751503 /nfs/dbraw/zinc/75/15/03/891751503.db2.gz HEDZHHAUNCANGE-UHFFFAOYSA-N -1 1 323.352 1.398 20 0 DDADMM COc1ncc(-c2nccc3nc[nH]c32)cc1[N-]S(C)(=O)=O ZINC001244789926 891924065 /nfs/dbraw/zinc/92/40/65/891924065.db2.gz LYXBEYPSCURLFG-UHFFFAOYSA-N -1 1 319.346 1.400 20 0 DDADMM COc1ncc(-c2ncnc3occc32)cc1[N-]S(C)(=O)=O ZINC001244790505 891925247 /nfs/dbraw/zinc/92/52/47/891925247.db2.gz PUTGPSWQTKOBDJ-UHFFFAOYSA-N -1 1 320.330 1.665 20 0 DDADMM CN(C)c1nc(NC(=S)NCCc2ccccc2)cc(=O)[n-]1 ZINC001245293163 892069183 /nfs/dbraw/zinc/06/91/83/892069183.db2.gz KAGCQHBJCKJTTD-UHFFFAOYSA-N -1 1 317.418 1.777 20 0 DDADMM O=C([O-])Cc1nccnc1C1=CC[C@H](N2CCOCC2)CC1 ZINC001245419473 892110353 /nfs/dbraw/zinc/11/03/53/892110353.db2.gz QCFKGBFKYPLATN-ZDUSSCGKSA-N -1 1 303.362 1.372 20 0 DDADMM O=C(CCCF)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001387345700 892883731 /nfs/dbraw/zinc/88/37/31/892883731.db2.gz BAITYJBTYSHWSG-LLVKDONJSA-N -1 1 309.341 1.258 20 0 DDADMM CCCCC(=O)NCCN(C(=O)c1ncccc1[O-])C1CC1 ZINC001387464071 893160603 /nfs/dbraw/zinc/16/06/03/893160603.db2.gz YEEUDVMNNLOZMX-UHFFFAOYSA-N -1 1 305.378 1.698 20 0 DDADMM C[C@H](CCNC(=O)C1(C)CCC1)NC(=O)c1ncccc1[O-] ZINC001387556895 893335820 /nfs/dbraw/zinc/33/58/20/893335820.db2.gz IJBDYXVYCDMBSA-LLVKDONJSA-N -1 1 305.378 1.602 20 0 DDADMM O=C([O-])c1c(F)ccc(CN2CCC(=O)N3CCC[C@@H]3C2)c1F ZINC001249781222 894124906 /nfs/dbraw/zinc/12/49/06/894124906.db2.gz OATNZIQGKPYXQV-LLVKDONJSA-N -1 1 324.327 1.860 20 0 DDADMM O=S(=O)([N-]c1ccc2c(cnn2CCO)c1)c1ccccc1 ZINC001251141362 894646839 /nfs/dbraw/zinc/64/68/39/894646839.db2.gz LNMGBRGQLZTGNN-UHFFFAOYSA-N -1 1 317.370 1.829 20 0 DDADMM NS(=O)(=O)c1ccc2[nH]c(-c3cc(Cl)ncc3[O-])nc2c1 ZINC001251251387 894676330 /nfs/dbraw/zinc/67/63/30/894676330.db2.gz BDQYFOHIOUPQPO-UHFFFAOYSA-N -1 1 324.749 1.631 20 0 DDADMM Cc1ccc(F)c(C(=O)NCCNC(=O)c2ncccc2[O-])c1 ZINC001292666653 913908829 /nfs/dbraw/zinc/90/88/29/913908829.db2.gz SPMMZFRGFPEHCN-UHFFFAOYSA-N -1 1 317.320 1.395 20 0 DDADMM CCC(C)(C)C(=O)N(C)C[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001396508137 913944119 /nfs/dbraw/zinc/94/41/19/913944119.db2.gz WNXHEYDFHSEHFM-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM CCS(=O)(=O)[N-]c1cnn(-c2ccccc2)c(=O)c1Cl ZINC001253386479 895808649 /nfs/dbraw/zinc/80/86/49/895808649.db2.gz JGCORTNKNDNPBX-UHFFFAOYSA-N -1 1 313.766 1.648 20 0 DDADMM CC[C@H](CNC(=O)CCC1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001367136431 895972629 /nfs/dbraw/zinc/97/26/29/895972629.db2.gz HULCWULVEZXJDT-CYBMUJFWSA-N -1 1 323.441 1.855 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccc(S(C)(=O)=O)c(Cl)c1 ZINC001253692288 896004606 /nfs/dbraw/zinc/00/46/06/896004606.db2.gz GGOSOHPIVKJZST-UHFFFAOYSA-N -1 1 311.812 1.895 20 0 DDADMM CC(C)[C@H](CNC(=O)C1C(C)(C)C1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001367460486 896818020 /nfs/dbraw/zinc/81/80/20/896818020.db2.gz KXZQPKQPEVHYJK-JTQLQIEISA-N -1 1 323.441 1.423 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2ccc(F)cc2Cl)o1 ZINC001258919818 898360168 /nfs/dbraw/zinc/36/01/68/898360168.db2.gz HPYWOLRWBNEVSF-UHFFFAOYSA-N -1 1 305.718 1.649 20 0 DDADMM O=S(=O)([N-]Cc1cnc(Cl)cn1)c1cc(F)ccc1F ZINC001258950853 898377528 /nfs/dbraw/zinc/37/75/28/898377528.db2.gz ACPNXXQAIUQBHA-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM COC(=O)c1sccc1S(=O)(=O)[N-]c1cnccc1F ZINC001259006464 898412199 /nfs/dbraw/zinc/41/21/99/898412199.db2.gz SZHZOIBHDUMXOL-UHFFFAOYSA-N -1 1 316.335 1.870 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(C(F)(F)F)c(C)c1 ZINC001259027155 898426835 /nfs/dbraw/zinc/42/68/35/898426835.db2.gz OLURXIGSOKRCLD-UHFFFAOYSA-N -1 1 311.281 1.929 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]Cc1c(F)ccc(Cl)c1F ZINC001259028859 898428319 /nfs/dbraw/zinc/42/83/19/898428319.db2.gz VDZIBLQFRBUTAB-UHFFFAOYSA-N -1 1 313.709 1.211 20 0 DDADMM C[C@H]1OCC[C@H]1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259080847 898455444 /nfs/dbraw/zinc/45/54/44/898455444.db2.gz XBCAXJJJLYNDSQ-SFYZADRCSA-N -1 1 309.309 1.807 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccnc(C(N)=O)c2)cc1C ZINC001259163247 898496704 /nfs/dbraw/zinc/49/67/04/898496704.db2.gz WTADPRKQVAZTPW-UHFFFAOYSA-N -1 1 305.359 1.020 20 0 DDADMM CCOC(=O)c1cnc(Cl)cc1[N-]S(=O)(=O)C1CC1 ZINC001259270784 898562805 /nfs/dbraw/zinc/56/28/05/898562805.db2.gz KTIQZBUFXXOZRL-UHFFFAOYSA-N -1 1 304.755 1.816 20 0 DDADMM CCC(CC)C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001368134900 898779090 /nfs/dbraw/zinc/77/90/90/898779090.db2.gz JSVINVXSJLZUCE-ZDUSSCGKSA-N -1 1 323.441 1.711 20 0 DDADMM COC(=O)c1ccnc(C)c1[N-]S(=O)(=O)C1CCOCC1 ZINC001259908701 898918958 /nfs/dbraw/zinc/91/89/58/898918958.db2.gz ATBBUFDEILUPMC-UHFFFAOYSA-N -1 1 314.363 1.097 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H]1C[N-]S(=O)(=O)C(F)F ZINC001259960435 898981333 /nfs/dbraw/zinc/98/13/33/898981333.db2.gz UEKGWLOQHOUBGL-SSDOTTSWSA-N -1 1 300.327 1.138 20 0 DDADMM C[C@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1[N-]S(=O)(=O)C(F)F ZINC001259959127 898981666 /nfs/dbraw/zinc/98/16/66/898981666.db2.gz MGWMNUSQGMTGTL-YUMQZZPRSA-N -1 1 314.354 1.384 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)C(F)F)CN1C(=O)OC(C)(C)C ZINC001259962131 898983182 /nfs/dbraw/zinc/98/31/82/898983182.db2.gz FCXRDYZYUIKUAN-HTQZYQBOSA-N -1 1 314.354 1.526 20 0 DDADMM COC(=O)CCc1ccc(C[N-]S(=O)(=O)C(F)F)cc1 ZINC001259962585 898983497 /nfs/dbraw/zinc/98/34/97/898983497.db2.gz NJGLGLVTARYKCR-UHFFFAOYSA-N -1 1 307.318 1.434 20 0 DDADMM O=S(=O)([N-]Cc1cncn1Cc1ccccc1)C(F)F ZINC001259964318 898988448 /nfs/dbraw/zinc/98/84/48/898988448.db2.gz LZMYHGLEDPRUJR-UHFFFAOYSA-N -1 1 301.318 1.573 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cnc3nccn3c2)cc1 ZINC001260223808 899097754 /nfs/dbraw/zinc/09/77/54/899097754.db2.gz ZVEKLQBZXJYLEQ-UHFFFAOYSA-N -1 1 318.314 1.228 20 0 DDADMM C[C@@H](O)c1ccnc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)c1 ZINC001260223756 899098238 /nfs/dbraw/zinc/09/82/38/899098238.db2.gz YYMLYGXIIXLIOU-SECBINFHSA-N -1 1 322.342 1.634 20 0 DDADMM Cc1cc(S(=O)(=O)Nc2[n-]c(=O)nc3nc[nH]c32)ccc1F ZINC001260762359 899255855 /nfs/dbraw/zinc/25/58/55/899255855.db2.gz SFAKWUDLMZUNOJ-UHFFFAOYSA-N -1 1 323.309 1.307 20 0 DDADMM O=C1OCC=C1[N-]S(=O)(=O)Cc1ccc(C(F)(F)F)cc1 ZINC001260902620 899290442 /nfs/dbraw/zinc/29/04/42/899290442.db2.gz MYGNKDNONPRYRC-UHFFFAOYSA-N -1 1 321.276 1.566 20 0 DDADMM CC[C@H]1c2ccccc2CN1c1nnc(-c2nnn[n-]2)n1CC ZINC001263012686 900468237 /nfs/dbraw/zinc/46/82/37/900468237.db2.gz UEASNXNTKCQKJO-LBPRGKRZSA-N -1 1 310.365 1.949 20 0 DDADMM CC[C@H]1c2ccccc2CN1c1nnc(-c2nn[n-]n2)n1CC ZINC001263012686 900468241 /nfs/dbraw/zinc/46/82/41/900468241.db2.gz UEASNXNTKCQKJO-LBPRGKRZSA-N -1 1 310.365 1.949 20 0 DDADMM CC(C)CCC(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001369616918 901635639 /nfs/dbraw/zinc/63/56/39/901635639.db2.gz RKWBKHVOOLVZIG-UHFFFAOYSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@H](C(=O)Nc1ccc(OCc2nn[n-]n2)cc1)n1ccnc1 ZINC001293568255 914557760 /nfs/dbraw/zinc/55/77/60/914557760.db2.gz HCZZMBIFXGRVDC-SNVBAGLBSA-N -1 1 313.321 1.175 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N(C)CC(C)(C)CNC(=O)c1ncccc1[O-] ZINC001369731223 901835346 /nfs/dbraw/zinc/83/53/46/901835346.db2.gz MEEVHMAMHYBURQ-RYUDHWBXSA-N -1 1 319.405 1.658 20 0 DDADMM O=C([N-]c1nc2ccc(F)cn2n1)c1nnc2ccccc2n1 ZINC001265444751 902023062 /nfs/dbraw/zinc/02/30/62/902023062.db2.gz ZGKALGLQQWQSHV-UHFFFAOYSA-N -1 1 309.264 1.459 20 0 DDADMM CCC1(C(=O)NCCC2CCN(Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001391843613 902704420 /nfs/dbraw/zinc/70/44/20/902704420.db2.gz FTHBLMWKCILLBI-UHFFFAOYSA-N -1 1 321.425 1.419 20 0 DDADMM Cc1ccoc1C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001370442540 903167132 /nfs/dbraw/zinc/16/71/32/903167132.db2.gz MIJHDRMJCYCZSZ-NSHDSACASA-N -1 1 317.345 1.579 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H](C)c1ccccc1 ZINC001392117612 903321550 /nfs/dbraw/zinc/32/15/50/903321550.db2.gz AVQRHUQJUHTYCP-NEPJUHHUSA-N -1 1 317.393 1.251 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@@H](C)C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001392245606 903636868 /nfs/dbraw/zinc/63/68/68/903636868.db2.gz ROZNQSBRLQBWSO-AAEUAGOBSA-N -1 1 323.441 1.567 20 0 DDADMM CCC(C)(C)C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001370884135 903917308 /nfs/dbraw/zinc/91/73/08/903917308.db2.gz LIKOOWQKSNXLHP-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM Cc1scc(C(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)c1C ZINC001392479114 904225327 /nfs/dbraw/zinc/22/53/27/904225327.db2.gz BRXJUXMXNLSVJM-SSDOTTSWSA-N -1 1 309.395 1.097 20 0 DDADMM Cc1ncsc1C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001392773759 905213977 /nfs/dbraw/zinc/21/39/77/905213977.db2.gz LKICMHLRZDMYME-QMMMGPOBSA-N -1 1 320.374 1.100 20 0 DDADMM CC[C@]1(C(C)C)C[C@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282981401 906392407 /nfs/dbraw/zinc/39/24/07/906392407.db2.gz KUEZJCIKHCYLPD-YVEFUNNKSA-N -1 1 319.405 1.706 20 0 DDADMM C[C@@]1(C(=O)NCCNC(=O)c2ncccc2[O-])CC=CCC1 ZINC001282982585 906395941 /nfs/dbraw/zinc/39/59/41/906395941.db2.gz VHNPWYUXEVHTOA-MRXNPFEDSA-N -1 1 303.362 1.380 20 0 DDADMM Cc1cc(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])c(C)o1 ZINC001372769216 908195402 /nfs/dbraw/zinc/19/54/02/908195402.db2.gz RGLPQIMLBOGWJI-SECBINFHSA-N -1 1 317.345 1.545 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CC(F)(F)F ZINC001394810997 910629319 /nfs/dbraw/zinc/62/93/19/910629319.db2.gz MPEWIGAFNQALAE-SSDOTTSWSA-N -1 1 323.319 1.083 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001285531106 910746434 /nfs/dbraw/zinc/74/64/34/910746434.db2.gz MWKMVOYHGAHTAG-ZYOFXKKJSA-N -1 1 305.378 1.482 20 0 DDADMM CCCCC(=O)N[C@@]1(CNCc2n[nH]c(=O)[n-]2)CCCC[C@H]1C ZINC001373892823 911292430 /nfs/dbraw/zinc/29/24/30/911292430.db2.gz BXGYSMFCVZVEKP-MLGOLLRUSA-N -1 1 323.441 1.855 20 0 DDADMM CCCCCC(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001286418099 911971925 /nfs/dbraw/zinc/97/19/25/911971925.db2.gz YPTQRWYNAFIUDU-JOCQHMNTSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1cc(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])co1 ZINC001376895667 919706543 /nfs/dbraw/zinc/70/65/43/919706543.db2.gz WLOPIVVASXGBIG-SECBINFHSA-N -1 1 303.318 1.237 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CC3(OC)CCC3)nc2n1 ZINC000622996994 365589789 /nfs/dbraw/zinc/58/97/89/365589789.db2.gz IINGAKQSGJBEMS-UHFFFAOYSA-N -1 1 319.365 1.268 20 0 DDADMM O=C(N[C@@H]1C=C[C@H](CO)C1)c1ccc(Br)c([O-])c1 ZINC000305757674 231040108 /nfs/dbraw/zinc/04/01/08/231040108.db2.gz XGTAPOQRRRHOAF-WCBMZHEXSA-N -1 1 312.163 1.822 20 0 DDADMM CC(C)[C@](C)(NC(=O)c1ncc2ccccc2c1[O-])C(N)=O ZINC000614718269 361988911 /nfs/dbraw/zinc/98/89/11/361988911.db2.gz VMEDWCFNAOJEFC-INIZCTEOSA-N -1 1 301.346 1.570 20 0 DDADMM COCC[C@H](CO)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451511185 231114769 /nfs/dbraw/zinc/11/47/69/231114769.db2.gz PTUOAAKVHREILG-LLVKDONJSA-N -1 1 317.338 1.248 20 0 DDADMM O=C(c1nc2ccccc2s1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129506019 539184855 /nfs/dbraw/zinc/18/48/55/539184855.db2.gz AFXMWWSPDQSRSJ-SECBINFHSA-N -1 1 314.374 1.829 20 0 DDADMM O=S(=O)([N-]CCn1ccnn1)c1c(F)cccc1Cl ZINC000133364973 539191080 /nfs/dbraw/zinc/19/10/80/539191080.db2.gz CSSJTWTZZHHYLM-UHFFFAOYSA-N -1 1 304.734 1.049 20 0 DDADMM CC[C@H]1C(=O)NCCN1C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000153778405 290653301 /nfs/dbraw/zinc/65/33/01/290653301.db2.gz QXELVUAYPYEJTO-JTQLQIEISA-N -1 1 312.753 1.405 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCCOC(C)C ZINC000185216267 539245716 /nfs/dbraw/zinc/24/57/16/539245716.db2.gz VLVCJVGWNVYKIL-UHFFFAOYSA-N -1 1 320.393 1.526 20 0 DDADMM CCC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCCCC1 ZINC000358179615 299093481 /nfs/dbraw/zinc/09/34/81/299093481.db2.gz ASBMPKFUIZVLAB-UHFFFAOYSA-N -1 1 303.366 1.508 20 0 DDADMM NC(=O)COc1cccc(CNC(=O)c2ccc([O-])cc2F)c1 ZINC000154939261 290682349 /nfs/dbraw/zinc/68/23/49/290682349.db2.gz AOYDCMORGSGYJA-UHFFFAOYSA-N -1 1 318.304 1.325 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1COc2ccc(Br)cc21 ZINC000095442942 185366670 /nfs/dbraw/zinc/36/66/70/185366670.db2.gz XUMLDCLYOXLBBK-ZETCQYMHSA-N -1 1 310.111 1.077 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1COc2ccc(Br)cc21 ZINC000095442942 185366671 /nfs/dbraw/zinc/36/66/71/185366671.db2.gz XUMLDCLYOXLBBK-ZETCQYMHSA-N -1 1 310.111 1.077 20 0 DDADMM CN1CC[C@H](C[N-]S(=O)(=O)c2sccc2F)CC1=O ZINC000425180084 529804925 /nfs/dbraw/zinc/80/49/25/529804925.db2.gz DBZQWKQIRIASJV-QMMMGPOBSA-N -1 1 306.384 1.034 20 0 DDADMM COC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)c1ccc([O-])c(F)c1 ZINC000615815051 362452447 /nfs/dbraw/zinc/45/24/47/362452447.db2.gz KPBFHFMBELSORO-MJVIPROJSA-N -1 1 307.321 1.945 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)c2cccc(F)c2)n[n-]1 ZINC000615918594 362485916 /nfs/dbraw/zinc/48/59/16/362485916.db2.gz UWNPHUGPRBKUEM-VIFPVBQESA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)c2cccc(F)c2)n1 ZINC000615918594 362485923 /nfs/dbraw/zinc/48/59/23/362485923.db2.gz UWNPHUGPRBKUEM-VIFPVBQESA-N -1 1 320.324 1.540 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](C(C)C)C2)co1 ZINC000332638615 530025053 /nfs/dbraw/zinc/02/50/53/530025053.db2.gz JTLJGJGTEFVANM-SNVBAGLBSA-N -1 1 300.380 1.306 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cnn(C(C)C)c2)c1Br ZINC000616009659 362519174 /nfs/dbraw/zinc/51/91/74/362519174.db2.gz YMQAYSWYTGFPNH-UHFFFAOYSA-N -1 1 312.171 1.932 20 0 DDADMM COC1(C(=O)N=c2[nH][n-]c(C)c2Br)CCCC1 ZINC000616009705 362519598 /nfs/dbraw/zinc/51/95/98/362519598.db2.gz ZNXKIBDELUAFKU-UHFFFAOYSA-N -1 1 302.172 1.800 20 0 DDADMM CN1C(=O)CC[C@@H]1C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000361892487 539498356 /nfs/dbraw/zinc/49/83/56/539498356.db2.gz LDBVJFBSPYHFLY-LLVKDONJSA-N -1 1 320.299 1.336 20 0 DDADMM CO[C@@H]1COC[C@@H]1NC(=O)c1cc(Br)ccc1[O-] ZINC000458350072 232361933 /nfs/dbraw/zinc/36/19/33/232361933.db2.gz YIIPQWXQOQHCDR-GXSJLCMTSA-N -1 1 316.151 1.298 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCCc1nccs1)c2=O ZINC000358355617 299129285 /nfs/dbraw/zinc/12/92/85/299129285.db2.gz XFCYPYFXDFMKOB-UHFFFAOYSA-N -1 1 317.374 1.493 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@]2(CC)CCOC2)o1 ZINC000616460766 362710057 /nfs/dbraw/zinc/71/00/57/362710057.db2.gz WXZAFBNARJJQLY-CYBMUJFWSA-N -1 1 317.363 1.304 20 0 DDADMM COCC(C)(C)CCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000286880557 219208143 /nfs/dbraw/zinc/20/81/43/219208143.db2.gz ZGSYRXNEGSXGPC-UHFFFAOYSA-N -1 1 323.846 1.805 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cc(N2CCCC2=O)ccc1Cl ZINC000270468676 186399469 /nfs/dbraw/zinc/39/94/69/186399469.db2.gz ULWYURDNIBGVSP-UHFFFAOYSA-N -1 1 306.713 1.232 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc(N2CCCC2=O)ccc1Cl ZINC000270468676 186399471 /nfs/dbraw/zinc/39/94/71/186399471.db2.gz ULWYURDNIBGVSP-UHFFFAOYSA-N -1 1 306.713 1.232 20 0 DDADMM CC(C)CC[C@@H]1CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000344147102 282793966 /nfs/dbraw/zinc/79/39/66/282793966.db2.gz ZRVSDVQERSUPGV-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM COCc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)o1 ZINC000331857103 234200000 /nfs/dbraw/zinc/20/00/00/234200000.db2.gz ROFTXJWLCBWVCV-VIFPVBQESA-N -1 1 307.306 1.534 20 0 DDADMM CCn1c(CNC(=O)c2ccc(C(=O)OC)cn2)n[n-]c1=S ZINC000067050602 353032434 /nfs/dbraw/zinc/03/24/34/353032434.db2.gz NQLFWLVBLKLYEX-UHFFFAOYSA-N -1 1 321.362 1.072 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1cnn(C[C@H]2CCCO2)c1 ZINC000080782934 353628227 /nfs/dbraw/zinc/62/82/27/353628227.db2.gz YJOOJICUKPZHRW-CYBMUJFWSA-N -1 1 317.411 1.229 20 0 DDADMM O=C(N[C@H]1CC[S@](=O)C1)c1cc(Br)ccc1[O-] ZINC000081790520 353692669 /nfs/dbraw/zinc/69/26/69/353692669.db2.gz RPTODUZWZNNWGZ-WNWIJWBNSA-N -1 1 318.192 1.406 20 0 DDADMM O=C(N[C@H]1c2ccccc2C[C@@H]1O)c1nc2ccccc2c(=O)[n-]1 ZINC000083064870 353705859 /nfs/dbraw/zinc/70/58/59/353705859.db2.gz MMAHDZBROMBLLL-GJZGRUSLSA-N -1 1 321.336 1.311 20 0 DDADMM C[C@H](CN=c1[n-]c(-c2ccc(F)cc2)no1)CN1CCOCC1 ZINC000623985929 366142245 /nfs/dbraw/zinc/14/22/45/366142245.db2.gz HACJKPVMUADRAC-GFCCVEGCSA-N -1 1 320.368 1.678 20 0 DDADMM COCCOc1cc(C(=O)Nc2nn[n-]n2)nc2ccccc21 ZINC000146001861 354158857 /nfs/dbraw/zinc/15/88/57/354158857.db2.gz BLRFFIAOPNOZGT-UHFFFAOYSA-N -1 1 314.305 1.025 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1Cc1nnnn1-c1ccccc1 ZINC000322554585 354536587 /nfs/dbraw/zinc/53/65/87/354536587.db2.gz WBZJJCDCEFFEEF-LNSITVRQSA-N -1 1 313.361 1.347 20 0 DDADMM CS(=O)(=O)c1ccc(CN2C[C@@H]3CCC[C@@H]3[C@@H]2C(=O)[O-])cc1 ZINC000318830148 354518487 /nfs/dbraw/zinc/51/84/87/354518487.db2.gz ZMPUWXVJMMMZGT-AEGPPILISA-N -1 1 323.414 1.775 20 0 DDADMM COC(=O)c1ccccc1N(C)C(=O)CN(C)CCCC(=O)[O-] ZINC000565048112 304040113 /nfs/dbraw/zinc/04/01/13/304040113.db2.gz SJSPSBOVWVCOHY-UHFFFAOYSA-N -1 1 322.361 1.233 20 0 DDADMM CCC[C@@H](NC(=O)c1cnn([C@@H](C)CC)c1C)c1nn[n-]n1 ZINC000358826566 291045942 /nfs/dbraw/zinc/04/59/42/291045942.db2.gz LKZZCVSIGYWPTD-JOYOIKCWSA-N -1 1 305.386 1.947 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn3c2CCCC3)n1 ZINC000591302632 355284660 /nfs/dbraw/zinc/28/46/60/355284660.db2.gz LJKPRHSVTNANFI-UHFFFAOYSA-N -1 1 303.322 1.372 20 0 DDADMM O=C(CSc1nnnn1C1CCOCC1)c1ccc([O-])cc1 ZINC000591930750 355438580 /nfs/dbraw/zinc/43/85/80/355438580.db2.gz FNUHDUFEVLGGGH-UHFFFAOYSA-N -1 1 320.374 1.705 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@@H](OC)C1CC1 ZINC000592072988 355483295 /nfs/dbraw/zinc/48/32/95/355483295.db2.gz CHHBQCBDUFYCDT-NWDGAFQWSA-N -1 1 321.439 1.309 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C[C@H](OC)C(C)C)cn1 ZINC000592353672 355565307 /nfs/dbraw/zinc/56/53/07/355565307.db2.gz FDVYGBSZCKYWNV-LBPRGKRZSA-N -1 1 316.379 1.281 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)CSCC(=O)[O-] ZINC000592362725 355567651 /nfs/dbraw/zinc/56/76/51/355567651.db2.gz IJUPKNVKLRWOAZ-VXGBXAGGSA-N -1 1 300.424 1.280 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc3c(c2)CCN(C)C3=O)c1[O-] ZINC000618087782 363455210 /nfs/dbraw/zinc/45/52/10/363455210.db2.gz LMGVCDXLSQKSTM-UHFFFAOYSA-N -1 1 300.318 1.304 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@@H](OC)C(F)(F)F)[n-]1 ZINC000593150475 355811863 /nfs/dbraw/zinc/81/18/63/355811863.db2.gz OWRDMQBEQUIGMU-SSDOTTSWSA-N -1 1 309.244 1.492 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@@H](OC)C(F)(F)F)n1 ZINC000593150475 355811867 /nfs/dbraw/zinc/81/18/67/355811867.db2.gz OWRDMQBEQUIGMU-SSDOTTSWSA-N -1 1 309.244 1.492 20 0 DDADMM Cc1nc(S[C@@H](C)C(=O)NC[C@H]2CCCO2)[n-]c(=O)c1C ZINC000346717524 283145877 /nfs/dbraw/zinc/14/58/77/283145877.db2.gz RJQIGAARSJDRGU-WDEREUQCSA-N -1 1 311.407 1.575 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC[C@]2(C)CC2(F)F)c1 ZINC000594857657 356340428 /nfs/dbraw/zinc/34/04/28/356340428.db2.gz NEGLXZYEBWCMBQ-LLVKDONJSA-N -1 1 323.317 1.780 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CSC2(C)C)cc1C ZINC000595328254 356450788 /nfs/dbraw/zinc/45/07/88/356450788.db2.gz XWGMGKJVBSDVQW-MRVPVSSYSA-N -1 1 319.404 1.547 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H]3CCC[C@@H](O)C3)cnc2n1 ZINC000081716222 192316423 /nfs/dbraw/zinc/31/64/23/192316423.db2.gz DUUDCCGLAOAOJS-VXGBXAGGSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@@H]3CCC[C@@H](O)C3)c[n-]c2n1 ZINC000081716222 192316425 /nfs/dbraw/zinc/31/64/25/192316425.db2.gz DUUDCCGLAOAOJS-VXGBXAGGSA-N -1 1 315.373 1.925 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@H](OC)C2CC2)c(OC)c1 ZINC000579351873 422753323 /nfs/dbraw/zinc/75/33/23/422753323.db2.gz LIUZOAGVDNUKEA-AWEZNQCLSA-N -1 1 315.391 1.871 20 0 DDADMM CCOC(=O)c1csc(=N[C@H]2CCc3nc(C)ncc3C2)[n-]1 ZINC000596110844 356775759 /nfs/dbraw/zinc/77/57/59/356775759.db2.gz VALRHOQHDWWGCU-NSHDSACASA-N -1 1 318.402 1.810 20 0 DDADMM CC(C)(C)[C@H](CO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000276848122 213131406 /nfs/dbraw/zinc/13/14/06/213131406.db2.gz QUVSAGZLCYANPL-NSHDSACASA-N -1 1 311.325 1.789 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CC[S@](=O)C1 ZINC000597572358 357232932 /nfs/dbraw/zinc/23/29/32/357232932.db2.gz LPSCIOAGYDOQDI-XFNZEKPQSA-N -1 1 318.398 1.781 20 0 DDADMM CCOC(=O)CC1(NC(=O)c2cncc([O-])c2)CCOCC1 ZINC000598487868 357603346 /nfs/dbraw/zinc/60/33/46/357603346.db2.gz XNOYVHDDYAPZOI-UHFFFAOYSA-N -1 1 308.334 1.019 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC2CC(C)C2)o1 ZINC000598621680 357657539 /nfs/dbraw/zinc/65/75/39/357657539.db2.gz BLQZFMUSKAAMSP-UHFFFAOYSA-N -1 1 301.364 1.781 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1CCCC2(CC2)C1 ZINC000598823330 357736331 /nfs/dbraw/zinc/73/63/31/357736331.db2.gz FKKTYISOLDGXNN-LLVKDONJSA-N -1 1 305.378 1.928 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccccc1C(=O)c1ccccc1 ZINC000599334108 357898071 /nfs/dbraw/zinc/89/80/71/357898071.db2.gz DUAFNEQUCCKMCE-UHFFFAOYSA-N -1 1 307.313 1.361 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)[C@@H]1COC(C)(C)C1)C(=O)OC ZINC000599334911 357898934 /nfs/dbraw/zinc/89/89/34/357898934.db2.gz KPVUREZERGJZPN-SRVKXCTJSA-N -1 1 321.439 1.451 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@@H]1CO)c1ccc(F)c(F)c1F ZINC000179489879 199044755 /nfs/dbraw/zinc/04/47/55/199044755.db2.gz FQNHIGFYFKJOHK-SCZZXKLOSA-N -1 1 323.336 1.933 20 0 DDADMM CS(=O)(=O)c1cccc(CN2C[C@@H]3CCC[C@H]3[C@H]2C(=O)[O-])c1 ZINC000565487414 304071232 /nfs/dbraw/zinc/07/12/32/304071232.db2.gz VCZRQLQIDGPJSF-CFVMTHIKSA-N -1 1 323.414 1.775 20 0 DDADMM CNC(=O)[C@H]1CCCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180558475 199176217 /nfs/dbraw/zinc/17/62/17/199176217.db2.gz VPPXVDIVHSZIER-SNVBAGLBSA-N -1 1 316.279 1.762 20 0 DDADMM C[C@H](C(=O)N[C@@H](C)C(=O)[O-])N1CCC(Cc2ccccc2)CC1 ZINC000237421197 202261253 /nfs/dbraw/zinc/26/12/53/202261253.db2.gz ZUBJEZKLLFYPNW-UONOGXRCSA-N -1 1 318.417 1.919 20 0 DDADMM CCOC(=O)C[C@@H](O)CSc1nc(C)c(C2CC2)c(=O)[n-]1 ZINC000601088558 358398959 /nfs/dbraw/zinc/39/89/59/358398959.db2.gz TWFDOGNHOWOUPS-SNVBAGLBSA-N -1 1 312.391 1.774 20 0 DDADMM COC(=O)CCC(=O)CSc1nc(C)c(C2CC2)c(=O)[n-]1 ZINC000601086805 358399117 /nfs/dbraw/zinc/39/91/17/358399117.db2.gz DEOOZRHKGZKFLN-UHFFFAOYSA-N -1 1 310.375 1.982 20 0 DDADMM CCOC(=O)[C@]1(C)CN(C(=O)c2ccc([O-])cc2F)CCO1 ZINC000601905651 358734828 /nfs/dbraw/zinc/73/48/28/358734828.db2.gz KDGDJOOFXNJRBH-HNNXBMFYSA-N -1 1 311.309 1.326 20 0 DDADMM COC(=O)CC1(NC(=O)N=c2[n-]sc3ccccc32)CC1 ZINC000603052242 359378275 /nfs/dbraw/zinc/37/82/75/359378275.db2.gz PLYCTXRJOOHFPB-UHFFFAOYSA-N -1 1 305.359 1.935 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2=CC[C@H](C)CC2)n[n-]1 ZINC000603154336 359440526 /nfs/dbraw/zinc/44/05/26/359440526.db2.gz LEEGJTWCAMQHCB-UWVGGRQHSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2=CC[C@H](C)CC2)[n-]1 ZINC000603154336 359440529 /nfs/dbraw/zinc/44/05/29/359440529.db2.gz LEEGJTWCAMQHCB-UWVGGRQHSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2=CC[C@H](C)CC2)n1 ZINC000603154336 359440536 /nfs/dbraw/zinc/44/05/36/359440536.db2.gz LEEGJTWCAMQHCB-UWVGGRQHSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@]2(C)CC2(F)F)n[n-]1 ZINC000603158516 359445639 /nfs/dbraw/zinc/44/56/39/359445639.db2.gz ZYSDZVJGSMITDR-UPONEAKYSA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@]2(C)CC2(F)F)[n-]1 ZINC000603158516 359445644 /nfs/dbraw/zinc/44/56/44/359445644.db2.gz ZYSDZVJGSMITDR-UPONEAKYSA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@]2(C)CC2(F)F)n1 ZINC000603158516 359445646 /nfs/dbraw/zinc/44/56/46/359445646.db2.gz ZYSDZVJGSMITDR-UPONEAKYSA-N -1 1 302.281 1.204 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)CC2(C)CCC2)CCSC1 ZINC000603305326 359555992 /nfs/dbraw/zinc/55/59/92/359555992.db2.gz NVEQLHIZVQYCPC-GFCCVEGCSA-N -1 1 307.437 1.145 20 0 DDADMM CCOc1cc(C(=O)N2CCS(=O)CC2)cc(Cl)c1[O-] ZINC000186782557 200009622 /nfs/dbraw/zinc/00/96/22/200009622.db2.gz BSQWBLYGKINNAK-UHFFFAOYSA-N -1 1 317.794 1.649 20 0 DDADMM CCOc1cc(C(=O)NC2CN(C(C)=O)C2)cc(Cl)c1[O-] ZINC000186832757 200018675 /nfs/dbraw/zinc/01/86/75/200018675.db2.gz AXUXSQYWJGDCHN-UHFFFAOYSA-N -1 1 312.753 1.405 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@@]1(C)CC1(F)F ZINC000603356955 359591119 /nfs/dbraw/zinc/59/11/19/359591119.db2.gz FFNORRUXAWZDNI-MWLCHTKSSA-N -1 1 311.350 1.293 20 0 DDADMM O=S(=O)([N-]Cc1nnc(C2CC2)o1)c1cc(F)ccc1F ZINC000189070892 200344100 /nfs/dbraw/zinc/34/41/00/200344100.db2.gz NHVFPBCBHGSDHP-UHFFFAOYSA-N -1 1 315.301 1.704 20 0 DDADMM COc1ccc(SCCC(=O)NCc2nn[n-]n2)cc1OC ZINC000607116146 359998511 /nfs/dbraw/zinc/99/85/11/359998511.db2.gz KHEUCBNYSNNGOF-UHFFFAOYSA-N -1 1 323.378 1.016 20 0 DDADMM CN(CC(=O)Nc1c([O-])cccc1F)c1ncnc2nc[nH]c21 ZINC000608366958 360167340 /nfs/dbraw/zinc/16/73/40/360167340.db2.gz DAAJCSVJHRUIKZ-UHFFFAOYSA-N -1 1 316.296 1.273 20 0 DDADMM CCC[C@@H](NC(=O)c1nc2cnccc2s1)c1nn[n-]n1 ZINC000612055599 360902967 /nfs/dbraw/zinc/90/29/67/360902967.db2.gz GAEWDRUYSOUVEC-SSDOTTSWSA-N -1 1 303.351 1.476 20 0 DDADMM C[C@@](O)(CNC(=O)c1ncc2ccccc2c1[O-])C(F)(F)F ZINC000613108076 361269752 /nfs/dbraw/zinc/26/97/52/361269752.db2.gz PEEWCSMMTOWLQK-CYBMUJFWSA-N -1 1 314.263 1.984 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc(C(=O)NC(C)C)cc1 ZINC000193316892 201028100 /nfs/dbraw/zinc/02/81/00/201028100.db2.gz NSIXXFLCTIOMHC-LLVKDONJSA-N -1 1 314.407 1.601 20 0 DDADMM Cc1nnc2n1C[C@H](CNC(=O)c1ccc([O-])c(F)c1)CC2 ZINC000613510107 361448467 /nfs/dbraw/zinc/44/84/67/361448467.db2.gz IGZLIUBMVQITBR-JTQLQIEISA-N -1 1 304.325 1.424 20 0 DDADMM CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)c1ccc([O-])c(F)c1 ZINC000613567490 361470821 /nfs/dbraw/zinc/47/08/21/361470821.db2.gz ARTMHKNZEIBRRI-OAHLLOKOSA-N -1 1 313.325 1.354 20 0 DDADMM O=S(=O)(C[C@@H]1CCCCO1)c1nc(Cc2ccccc2)n[n-]1 ZINC000195289434 201373843 /nfs/dbraw/zinc/37/38/43/201373843.db2.gz CMDADZFPSSDMCR-ZDUSSCGKSA-N -1 1 321.402 1.738 20 0 DDADMM O=S(=O)(C[C@@H]1CCCCO1)c1n[n-]c(Cc2ccccc2)n1 ZINC000195289434 201373845 /nfs/dbraw/zinc/37/38/45/201373845.db2.gz CMDADZFPSSDMCR-ZDUSSCGKSA-N -1 1 321.402 1.738 20 0 DDADMM COC(=O)c1ccc(C)c(NC(=O)c2n[nH]c(C)c2[O-])c1O ZINC000619727163 364133198 /nfs/dbraw/zinc/13/31/98/364133198.db2.gz DANMFOSJFVTQBC-UHFFFAOYSA-N -1 1 305.290 1.477 20 0 DDADMM CCS(=O)(=O)C1(CNC(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000620053072 364262128 /nfs/dbraw/zinc/26/21/28/364262128.db2.gz NBJPDOGQYMWAMP-UHFFFAOYSA-N -1 1 319.329 1.368 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCc2ccco2)o1 ZINC000620361301 364387699 /nfs/dbraw/zinc/38/76/99/364387699.db2.gz VXYCMBGBYKOEMV-UHFFFAOYSA-N -1 1 312.347 1.143 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCSC[C@@H](C(=O)[O-])C2)c1 ZINC000621602939 364933213 /nfs/dbraw/zinc/93/32/13/364933213.db2.gz AWWSUICVLAEXQB-NSHDSACASA-N -1 1 319.386 1.761 20 0 DDADMM CCC[C@H](C)CS(=O)(=O)[N-][C@@](CC)(COC)C(=O)OC ZINC000416513336 283821331 /nfs/dbraw/zinc/82/13/31/283821331.db2.gz CSLFLDFODOFHIP-AAEUAGOBSA-N -1 1 309.428 1.310 20 0 DDADMM CC(C)(C)n1nnc(C(=O)N=c2cc(-c3cccs3)o[n-]2)n1 ZINC000622123129 365276986 /nfs/dbraw/zinc/27/69/86/365276986.db2.gz BFBUSFBCNBXYRE-UHFFFAOYSA-N -1 1 318.362 1.819 20 0 DDADMM O=S(=O)([N-][C@@H]1CO[C@@H](C2CC2)C1)c1cc(F)ccc1F ZINC000625577872 367027456 /nfs/dbraw/zinc/02/74/56/367027456.db2.gz CLYMDKSJZUYISY-CMPLNLGQSA-N -1 1 303.330 1.811 20 0 DDADMM CC[C@H](NC(=O)c1ncccc1[O-])c1nnc2n1CCCCC2 ZINC000275288882 212251114 /nfs/dbraw/zinc/25/11/14/212251114.db2.gz LFPXNIRBBXCMAG-NSHDSACASA-N -1 1 315.377 1.986 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c2nccn2c1 ZINC000626238120 367433148 /nfs/dbraw/zinc/43/31/48/367433148.db2.gz VJWZNUDLZFAVGC-NSHDSACASA-N -1 1 311.349 1.176 20 0 DDADMM COC1(CNS(=O)(=O)c2c(C)o[n-]c2=N)CCC(C)CC1 ZINC000349666830 284007837 /nfs/dbraw/zinc/00/78/37/284007837.db2.gz OSVCUDJKJATGRP-UHFFFAOYSA-N -1 1 317.411 1.269 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2c(C)onc2N)CCC(C)CC1 ZINC000349666830 284007842 /nfs/dbraw/zinc/00/78/42/284007842.db2.gz OSVCUDJKJATGRP-UHFFFAOYSA-N -1 1 317.411 1.269 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCCC[C@@H]1C(C)C ZINC000349724452 284030873 /nfs/dbraw/zinc/03/08/73/284030873.db2.gz UKGYUFCYTACNIN-GHMZBOCLSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCCC[C@@H]1C(C)C ZINC000349724452 284030875 /nfs/dbraw/zinc/03/08/75/284030875.db2.gz UKGYUFCYTACNIN-GHMZBOCLSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCCC[C@@H]1C(C)C ZINC000349724453 284030940 /nfs/dbraw/zinc/03/09/40/284030940.db2.gz UKGYUFCYTACNIN-MNOVXSKESA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCCC[C@@H]1C(C)C ZINC000349724453 284030943 /nfs/dbraw/zinc/03/09/43/284030943.db2.gz UKGYUFCYTACNIN-MNOVXSKESA-N -1 1 301.412 1.889 20 0 DDADMM O=C([O-])c1coc(=NCCc2cccc(C(F)(F)F)n2)[nH]1 ZINC000566298340 304141662 /nfs/dbraw/zinc/14/16/62/304141662.db2.gz STOFYQASEVFGJK-UHFFFAOYSA-N -1 1 301.224 1.863 20 0 DDADMM O=C([O-])[C@H](C1CC1)N1CCN(C(=O)c2c(F)cccc2F)CC1 ZINC000635017741 422772107 /nfs/dbraw/zinc/77/21/07/422772107.db2.gz WWBQOXOTHACDHV-AWEZNQCLSA-N -1 1 324.327 1.586 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(Cc2nccn2-c2ccccc2)C1 ZINC000566361522 304148988 /nfs/dbraw/zinc/14/89/88/304148988.db2.gz KKXSFJGLVOQFSW-KRWDZBQOSA-N -1 1 315.373 1.795 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(NC(N)=O)cc2)cn1 ZINC000350012717 284131766 /nfs/dbraw/zinc/13/17/66/284131766.db2.gz DEMZYCPWOCCICT-UHFFFAOYSA-N -1 1 322.346 1.382 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)NC(=O)NCc1ccccc1)C(=O)[O-] ZINC000262291093 203250936 /nfs/dbraw/zinc/25/09/36/203250936.db2.gz NOCCYCBEVSZLRC-AAEUAGOBSA-N -1 1 321.377 1.244 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)Nc1ccc2c(c1)OCCO2)C(=O)[O-] ZINC000262327487 203262260 /nfs/dbraw/zinc/26/22/60/203262260.db2.gz XIFKKVGBFUUDAR-CMPLNLGQSA-N -1 1 322.361 1.628 20 0 DDADMM CCOC(=O)[C@@H]1CCCN(CC(=O)[N-]OCc2ccccc2)C1 ZINC000093190715 193213537 /nfs/dbraw/zinc/21/35/37/193213537.db2.gz RVZBPBNFBZXCMA-OAHLLOKOSA-N -1 1 320.389 1.510 20 0 DDADMM O=C(CNC(=O)c1c([O-])cnc2ccc(Cl)cc21)NC1CC1 ZINC000282513048 217052899 /nfs/dbraw/zinc/05/28/99/217052899.db2.gz SICXKEOREAYBFG-UHFFFAOYSA-N -1 1 319.748 1.602 20 0 DDADMM O=C([O-])[C@@]12CCC[C@H]1CN(C(=O)N[C@H]1CCCc3cn[nH]c31)C2 ZINC000263216729 304166618 /nfs/dbraw/zinc/16/66/18/304166618.db2.gz JPZKHZPKKQQKEX-MQIPJXDCSA-N -1 1 318.377 1.683 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCc2cncn2C)c1 ZINC000282697935 217183662 /nfs/dbraw/zinc/18/36/62/217183662.db2.gz FLUUVIREVFUAGO-UHFFFAOYSA-N -1 1 303.318 1.484 20 0 DDADMM CCOCC(C)(C)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000288371283 220114640 /nfs/dbraw/zinc/11/46/40/220114640.db2.gz JQRGVQHNWIPGOD-UHFFFAOYSA-N -1 1 309.819 1.415 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCC(C)(C)C2)co1 ZINC000351535048 284364684 /nfs/dbraw/zinc/36/46/84/284364684.db2.gz SOVQMLPVTPKBTJ-JTQLQIEISA-N -1 1 300.380 1.496 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)Nc1cc(C(=O)[O-])ccc1F ZINC000024433312 182381012 /nfs/dbraw/zinc/38/10/12/182381012.db2.gz HNIVXOZRTCNXOQ-UHFFFAOYSA-N -1 1 314.294 1.930 20 0 DDADMM Cc1nnc(CCNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)[nH]1 ZINC000267921597 206338077 /nfs/dbraw/zinc/33/80/77/206338077.db2.gz CEOJAUDDGMNNBN-UHFFFAOYSA-N -1 1 318.381 1.187 20 0 DDADMM O=C(CCCc1ccccc1Br)Nc1nnn[n-]1 ZINC000152561950 248297605 /nfs/dbraw/zinc/29/76/05/248297605.db2.gz OMAPVSVIYKEZTD-UHFFFAOYSA-N -1 1 310.155 1.924 20 0 DDADMM O=C(CCCc1ccccc1Br)Nc1nn[n-]n1 ZINC000152561950 248297610 /nfs/dbraw/zinc/29/76/10/248297610.db2.gz OMAPVSVIYKEZTD-UHFFFAOYSA-N -1 1 310.155 1.924 20 0 DDADMM O=C(c1ccsc1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000263700851 248579900 /nfs/dbraw/zinc/57/99/00/248579900.db2.gz SEUJSSBQQNATLR-UHFFFAOYSA-N -1 1 317.370 1.447 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1Cc2ccccc2S1 ZINC000337142975 249364477 /nfs/dbraw/zinc/36/44/77/249364477.db2.gz XTJCAQYVTGIMQI-NSHDSACASA-N -1 1 316.386 1.155 20 0 DDADMM CCC[C@@H](CC)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000104871423 194049325 /nfs/dbraw/zinc/04/93/25/194049325.db2.gz LVWGGAWHMISKIQ-GFCCVEGCSA-N -1 1 304.394 1.877 20 0 DDADMM C[C@H]([C@H](C)NC(=O)c1cc(Cl)ccc1[O-])N1CCOCC1 ZINC000104937469 194054686 /nfs/dbraw/zinc/05/46/86/194054686.db2.gz KZNQHQLCOJQPFQ-WDEREUQCSA-N -1 1 312.797 1.885 20 0 DDADMM COc1n[n-]c(=NC(=O)N[C@@H](C)c2n[nH]c(C(C)C)n2)s1 ZINC000338512900 250005099 /nfs/dbraw/zinc/00/50/99/250005099.db2.gz RUXQSXNQNJROHQ-LURJTMIESA-N -1 1 311.371 1.093 20 0 DDADMM CC(C)c1nc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cs1 ZINC000338739200 250113460 /nfs/dbraw/zinc/11/34/60/250113460.db2.gz AGBNXLWGXZCWRU-UHFFFAOYSA-N -1 1 315.380 1.040 20 0 DDADMM CC(C)c1nc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)cs1 ZINC000338739200 250113462 /nfs/dbraw/zinc/11/34/62/250113462.db2.gz AGBNXLWGXZCWRU-UHFFFAOYSA-N -1 1 315.380 1.040 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@@H](N2CCOCC2)C1 ZINC000105569956 194111619 /nfs/dbraw/zinc/11/16/19/194111619.db2.gz UTJMUFQJWJOCKZ-GFCCVEGCSA-N -1 1 310.781 1.592 20 0 DDADMM CO[C@@H](C)c1nc(=NC(O)=Cc2c(C)noc2Cl)s[n-]1 ZINC000338922591 250197952 /nfs/dbraw/zinc/19/79/52/250197952.db2.gz ADVMJCRVOPUEEF-LURJTMIESA-N -1 1 316.770 1.798 20 0 DDADMM Cc1cc(NC(=O)[C@@H](C)S(=O)(=O)c2ccc([O-])cc2)on1 ZINC000105724022 194121812 /nfs/dbraw/zinc/12/18/12/194121812.db2.gz OVRAEQXVTBXISW-SECBINFHSA-N -1 1 310.331 1.490 20 0 DDADMM CC1(C)CN(CC[N-]S(=O)(=O)c2sccc2F)CCO1 ZINC000338966771 250223536 /nfs/dbraw/zinc/22/35/36/250223536.db2.gz XUBOHBQELLIWPY-UHFFFAOYSA-N -1 1 322.427 1.276 20 0 DDADMM O=C(CN1CSCC1=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000339138581 250310187 /nfs/dbraw/zinc/31/01/87/250310187.db2.gz WPYOJULBJAMMTO-UHFFFAOYSA-N -1 1 318.358 1.254 20 0 DDADMM O=C(NCCCN1C(=O)CCC1=O)c1ccc(Cl)cc1[O-] ZINC000109688719 194279286 /nfs/dbraw/zinc/27/92/86/194279286.db2.gz GHZANPNAHRVSIQ-UHFFFAOYSA-N -1 1 310.737 1.315 20 0 DDADMM CC(C)S(=O)(=O)CC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000340597944 251130148 /nfs/dbraw/zinc/13/01/48/251130148.db2.gz WQKLMCPDGBCBQI-UHFFFAOYSA-N -1 1 323.374 1.544 20 0 DDADMM CC(C)(C)Oc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)nc1 ZINC000340738898 251184656 /nfs/dbraw/zinc/18/46/56/251184656.db2.gz LZKPKEILWNNDAQ-UHFFFAOYSA-N -1 1 304.354 1.437 20 0 DDADMM CNC(=O)c1ccc(=NC[C@H](CO)c2ccccc2Cl)[n-]n1 ZINC000340908169 251268713 /nfs/dbraw/zinc/26/87/13/251268713.db2.gz HLANYKCRPTUGLG-SNVBAGLBSA-N -1 1 320.780 1.100 20 0 DDADMM CN(C)C[C@@H]1CCCN1S(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000061643363 184180620 /nfs/dbraw/zinc/18/06/20/184180620.db2.gz RDXPDEYTFRTFQC-LBPRGKRZSA-N -1 1 312.391 1.100 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1C[C@@H](O)C[C@@H]1C)c2=O ZINC000412285661 224004357 /nfs/dbraw/zinc/00/43/57/224004357.db2.gz UQSVHYCOEJVCAO-UWVGGRQHSA-N -1 1 302.330 1.132 20 0 DDADMM O=C(NC[C@H]1CNC(=O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC000412460262 224034348 /nfs/dbraw/zinc/03/43/48/224034348.db2.gz GQRZYKGRUXIBEN-SSDOTTSWSA-N -1 1 302.252 1.277 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCCSC2)o1 ZINC000362107332 300007033 /nfs/dbraw/zinc/00/70/33/300007033.db2.gz SZKQTSQAZIYDIO-VIFPVBQESA-N -1 1 319.404 1.630 20 0 DDADMM C[C@@H](NC(=O)CC1CCCCC1)C(=O)Nc1ccncc1[O-] ZINC000332636320 533053312 /nfs/dbraw/zinc/05/33/12/533053312.db2.gz STNHUESWLNORDQ-LLVKDONJSA-N -1 1 305.378 1.623 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2C[C@H](O)C[C@@H]2C)c(=O)[n-]1 ZINC000331013725 533071692 /nfs/dbraw/zinc/07/16/92/533071692.db2.gz OIFBCRIGOIZZGW-WCBMZHEXSA-N -1 1 311.407 1.127 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(N)=O ZINC000352538611 285125372 /nfs/dbraw/zinc/12/53/72/285125372.db2.gz MQBYZNQIYPLLPM-ZETCQYMHSA-N -1 1 310.297 1.036 20 0 DDADMM O=C([O-])c1coc(NC[C@H](c2ccccc2)N2CCOCC2)n1 ZINC000567980224 304258144 /nfs/dbraw/zinc/25/81/44/304258144.db2.gz UBHGYAZRZQEPGU-CQSZACIVSA-N -1 1 317.345 1.280 20 0 DDADMM O=C(Nc1cc(C2CCOCC2)[nH]n1)C(=O)c1ccc([O-])cc1 ZINC000288749176 220389019 /nfs/dbraw/zinc/38/90/19/220389019.db2.gz KJDIYIHYBKCGOW-UHFFFAOYSA-N -1 1 315.329 1.831 20 0 DDADMM C[C@H](NC(=O)c1cncc([O-])c1)c1cn(-c2ccccc2)nn1 ZINC000178046485 306688859 /nfs/dbraw/zinc/68/88/59/306688859.db2.gz RYDXAAURVLUEAG-NSHDSACASA-N -1 1 309.329 1.859 20 0 DDADMM CC[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(C)cc1 ZINC000352610043 285172007 /nfs/dbraw/zinc/17/20/07/285172007.db2.gz NJTIFXUEXXQXFN-LBPRGKRZSA-N -1 1 311.345 1.607 20 0 DDADMM COC1CCC(CCN=c2ccc(C(=O)N(C)C)n[n-]2)CC1 ZINC000413226863 224158089 /nfs/dbraw/zinc/15/80/89/224158089.db2.gz ICYGXGBYDABSFR-UHFFFAOYSA-N -1 1 306.410 1.608 20 0 DDADMM COC(=O)[C@H]1COCCN1C(=O)c1ccc2ccccc2c1[O-] ZINC000267762153 291914921 /nfs/dbraw/zinc/91/49/21/291914921.db2.gz QWQPOWKQNPQSBK-CQSZACIVSA-N -1 1 315.325 1.559 20 0 DDADMM Cc1noc(NC(=O)CSc2nc(C(F)F)cc(=O)[n-]2)n1 ZINC000289598065 221093432 /nfs/dbraw/zinc/09/34/32/221093432.db2.gz STEYVNANUILWAB-UHFFFAOYSA-N -1 1 317.277 1.542 20 0 DDADMM COC[C@@H]1C[C@H](O)CN1C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000413903676 224271053 /nfs/dbraw/zinc/27/10/53/224271053.db2.gz HJNYUMHGIJYCCQ-UWVGGRQHSA-N -1 1 315.753 1.276 20 0 DDADMM Cn1nnc2c1C[C@H](c1nc(-c3ccc([O-])c(F)c3)no1)CC2 ZINC000289847551 221266625 /nfs/dbraw/zinc/26/66/25/221266625.db2.gz QBZWEPQMVCCWHX-SECBINFHSA-N -1 1 315.308 1.982 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1(Cc2ccccc2)CC1 ZINC000289906790 221308915 /nfs/dbraw/zinc/30/89/15/221308915.db2.gz PYDRLKPFYZTLIT-UHFFFAOYSA-N -1 1 307.375 1.619 20 0 DDADMM Cc1nn(C)c(S(=O)(=O)[N-]CC(F)(F)F)c1C(F)F ZINC000414461214 224341194 /nfs/dbraw/zinc/34/11/94/224341194.db2.gz XJDWBFWLCUJMBW-UHFFFAOYSA-N -1 1 307.244 1.507 20 0 DDADMM CCC[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1 ZINC000352847512 285333420 /nfs/dbraw/zinc/33/34/20/285333420.db2.gz UOJVLGKOSVEKGK-LBPRGKRZSA-N -1 1 311.345 1.689 20 0 DDADMM Cc1ccc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000340365311 292181070 /nfs/dbraw/zinc/18/10/70/292181070.db2.gz GYCJPBSPXPXVTA-UHFFFAOYSA-N -1 1 302.359 1.451 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCc2ccccc2O1)c1nn[n-]n1 ZINC000569688614 304374591 /nfs/dbraw/zinc/37/45/91/304374591.db2.gz YSPQUUGJUPQTAE-YPMHNXCESA-N -1 1 301.350 1.551 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCc1ncc[nH]1 ZINC000114338115 407560725 /nfs/dbraw/zinc/56/07/25/407560725.db2.gz XLTSMGACWAUUDM-UHFFFAOYSA-N -1 1 314.349 1.024 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CS(=O)(=O)c1ccc([O-])cc1 ZINC000069163710 406686486 /nfs/dbraw/zinc/68/64/86/406686486.db2.gz JFFZYEXBKCWDIV-GFCCVEGCSA-N -1 1 311.403 1.957 20 0 DDADMM O=C(Cc1ccc(-n2cccn2)cc1)[N-]O[C@H]1CCCCO1 ZINC000072059849 406872821 /nfs/dbraw/zinc/87/28/21/406872821.db2.gz CPHNWDUYVAXGAC-INIZCTEOSA-N -1 1 301.346 1.989 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)c1cccs1 ZINC000074161813 406903788 /nfs/dbraw/zinc/90/37/88/406903788.db2.gz WXLJSTGBUVCMPQ-UHFFFAOYSA-N -1 1 302.359 1.631 20 0 DDADMM CC(C)c1cc(C(=O)Nc2nnn[n-]2)c2cnn(C(C)C)c2n1 ZINC000076963454 406974919 /nfs/dbraw/zinc/97/49/19/406974919.db2.gz SOZLUQQIHMNKAP-UHFFFAOYSA-N -1 1 314.353 1.901 20 0 DDADMM CC(C)c1cc(C(=O)Nc2nn[n-]n2)c2cnn(C(C)C)c2n1 ZINC000076963454 406974923 /nfs/dbraw/zinc/97/49/23/406974923.db2.gz SOZLUQQIHMNKAP-UHFFFAOYSA-N -1 1 314.353 1.901 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@](C)(O)C(C)C)cnc2n1 ZINC000075117160 406921382 /nfs/dbraw/zinc/92/13/82/406921382.db2.gz VRNMDYDPHXTNNQ-MRXNPFEDSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@](C)(O)C(C)C)c2=O ZINC000075117160 406921386 /nfs/dbraw/zinc/92/13/86/406921386.db2.gz VRNMDYDPHXTNNQ-MRXNPFEDSA-N -1 1 303.362 1.781 20 0 DDADMM NC(=O)[C@@H]1CCCN1C(=O)c1ccc(Br)cc1[O-] ZINC000044490538 407024661 /nfs/dbraw/zinc/02/46/61/407024661.db2.gz NRDKJPLJJDUCMA-VIFPVBQESA-N -1 1 313.151 1.245 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCOC1)c1ccc(F)c(F)c1F ZINC000048673559 407105382 /nfs/dbraw/zinc/10/53/82/407105382.db2.gz UULRTQWNETULTF-MRVPVSSYSA-N -1 1 309.309 1.809 20 0 DDADMM CC(C)CCc1nc(CS(=O)(=O)c2ncn[n-]2)cs1 ZINC000086169795 407109101 /nfs/dbraw/zinc/10/91/01/407109101.db2.gz YIGMDWWIRYBPBX-UHFFFAOYSA-N -1 1 300.409 1.824 20 0 DDADMM CC(C)CCc1nc(CS(=O)(=O)c2nc[n-]n2)cs1 ZINC000086169795 407109104 /nfs/dbraw/zinc/10/91/04/407109104.db2.gz YIGMDWWIRYBPBX-UHFFFAOYSA-N -1 1 300.409 1.824 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2nc3ccccc3s2)n[n-]1 ZINC000086170548 407109598 /nfs/dbraw/zinc/10/95/98/407109598.db2.gz NVPOAVHDLXHXSO-UHFFFAOYSA-N -1 1 308.388 1.951 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)CS(=O)(=O)c2nc[n-]n2)cc1C ZINC000086169806 407109852 /nfs/dbraw/zinc/10/98/52/407109852.db2.gz HMANVEVNHHCMQW-LLVKDONJSA-N -1 1 322.390 1.073 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2C[C@@H]2c2ccc(F)cc2)n1 ZINC000101664364 407314506 /nfs/dbraw/zinc/31/45/06/407314506.db2.gz VSTATTDRFVERBN-ZJUUUORDSA-N -1 1 324.337 1.090 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2C[C@@H]2c2ccc(F)cc2)[n-]1 ZINC000101664364 407314508 /nfs/dbraw/zinc/31/45/08/407314508.db2.gz VSTATTDRFVERBN-ZJUUUORDSA-N -1 1 324.337 1.090 20 0 DDADMM Cn1c(CNC(=O)[C@H]2CC(c3ccccc3)=NO2)n[n-]c1=S ZINC000067050224 407266495 /nfs/dbraw/zinc/26/64/95/407266495.db2.gz ZJFVNVYGJLNCII-LLVKDONJSA-N -1 1 317.374 1.287 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(C(N)=O)c(C)c2)cc1 ZINC000103425860 407338789 /nfs/dbraw/zinc/33/87/89/407338789.db2.gz RLZVRVKYPQNHCQ-UHFFFAOYSA-N -1 1 320.370 1.903 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1cccc(NC(=O)CO)c1 ZINC000108759651 407390716 /nfs/dbraw/zinc/39/07/16/407390716.db2.gz DNENJUCWUQXRGD-UHFFFAOYSA-N -1 1 320.370 1.727 20 0 DDADMM COCCS(=O)(=O)[N-]c1nc2cc(Cl)ccc2n1C ZINC000110123368 407398274 /nfs/dbraw/zinc/39/82/74/407398274.db2.gz HWEDMXWOVSYJOD-UHFFFAOYSA-N -1 1 303.771 1.615 20 0 DDADMM C[C@H](O)CNC(=O)c1cc(I)ccc1[O-] ZINC000126880324 407423167 /nfs/dbraw/zinc/42/31/67/407423167.db2.gz IVLZSHVWGRUGMY-LURJTMIESA-N -1 1 321.114 1.107 20 0 DDADMM CC1CCN(CC(=O)Nc2cccc(-c3nn[nH]n3)c2)CC1 ZINC000127475479 407437933 /nfs/dbraw/zinc/43/79/33/407437933.db2.gz MIBCRZKMRKONAO-UHFFFAOYSA-N -1 1 300.366 1.537 20 0 DDADMM O=C(Nc1cccc(CN2CCOCC2)n1)c1cncc([O-])c1 ZINC000271063034 407494486 /nfs/dbraw/zinc/49/44/86/407494486.db2.gz HDDLVQBCNAEYNM-UHFFFAOYSA-N -1 1 314.345 1.267 20 0 DDADMM NC(=O)CCC1CCN(Cc2nc(=O)c3sccc3[n-]2)CC1 ZINC000271081893 407504574 /nfs/dbraw/zinc/50/45/74/407504574.db2.gz FMESTYXFTMYEKB-UHFFFAOYSA-N -1 1 320.418 1.874 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCC1CCC1 ZINC000195475027 407460532 /nfs/dbraw/zinc/46/05/32/407460532.db2.gz RMHVSURURJIXLG-UHFFFAOYSA-N -1 1 302.378 1.901 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2cccc(CO)c2)c1Cl ZINC000228554433 407647798 /nfs/dbraw/zinc/64/77/98/407647798.db2.gz ORRWZUFYSCUJFG-UHFFFAOYSA-N -1 1 315.782 1.044 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H]1CO)c1ccc(F)c(F)c1F ZINC000228606148 407650616 /nfs/dbraw/zinc/65/06/16/407650616.db2.gz FFMDOUGPCRTFBB-CBAPKCEASA-N -1 1 309.309 1.543 20 0 DDADMM CCCS(=O)(=O)Nc1ccccc1C(=O)Nc1nnc(C)[nH]1 ZINC000171550282 407701691 /nfs/dbraw/zinc/70/16/91/407701691.db2.gz VUASBPOSVPZJPM-UHFFFAOYSA-N -1 1 323.378 1.517 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1n[nH]c(C)n1 ZINC000171550282 407701694 /nfs/dbraw/zinc/70/16/94/407701694.db2.gz VUASBPOSVPZJPM-UHFFFAOYSA-N -1 1 323.378 1.517 20 0 DDADMM C[C@@H]1CO[C@@H](c2ccccc2)C[N@@H+]1CCCOC(=O)NC(N)=O ZINC000271504780 407707685 /nfs/dbraw/zinc/70/76/85/407707685.db2.gz LATQGMIRGGTOSE-TZMCWYRMSA-N -1 1 321.377 1.643 20 0 DDADMM CNS(=O)(=O)c1cccc(NC(=O)c2cc(F)ccc2[O-])c1 ZINC000179415189 407785517 /nfs/dbraw/zinc/78/55/17/407785517.db2.gz JUTRKQKTFYGQLT-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM Cc1cccc(N2CCC(NC(=O)c3ncccc3[O-])CC2)n1 ZINC000116982365 407804426 /nfs/dbraw/zinc/80/44/26/407804426.db2.gz PPVHQLOMVMBQND-UHFFFAOYSA-N -1 1 312.373 1.889 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)Oc1cccc(CO)c1)c1nn[n-]n1 ZINC000180553253 407899066 /nfs/dbraw/zinc/89/90/66/407899066.db2.gz CZYFGEGXPFOOON-ZWNOBZJWSA-N -1 1 319.365 1.117 20 0 DDADMM CCOc1ccccc1NC(=O)[C@@H](C)N1CC[C@H](C(=O)[O-])C1 ZINC000262688250 407902194 /nfs/dbraw/zinc/90/21/94/407902194.db2.gz YRPIYMMIEMGKOA-NEPJUHHUSA-N -1 1 306.362 1.819 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@@](C)(O)C3)c[n-]c2[nH+]1 ZINC000153816073 407907236 /nfs/dbraw/zinc/90/72/36/407907236.db2.gz ZUJOREOMHSNITP-MRXNPFEDSA-N -1 1 301.346 1.631 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@@](C)(O)C3)c[n-]c2n1 ZINC000153816073 407907246 /nfs/dbraw/zinc/90/72/46/407907246.db2.gz ZUJOREOMHSNITP-MRXNPFEDSA-N -1 1 301.346 1.631 20 0 DDADMM COC[C@](C)(NC(=O)c1ccc2ccccc2c1[O-])C(=O)OC ZINC000268265421 407975206 /nfs/dbraw/zinc/97/52/06/407975206.db2.gz RQOZBNHQGUTEAQ-KRWDZBQOSA-N -1 1 317.341 1.853 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC[C@@H]2CCCCO2)c1 ZINC000272428215 407914732 /nfs/dbraw/zinc/91/47/32/407914732.db2.gz OPFAOWOSJVQVHP-NSHDSACASA-N -1 1 317.363 1.304 20 0 DDADMM CO[C@@H]1CCCC[C@H]1NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119289919 408032545 /nfs/dbraw/zinc/03/25/45/408032545.db2.gz QZSAARMWRTWYRU-VXGBXAGGSA-N -1 1 304.350 1.361 20 0 DDADMM O=C([O-])c1csc(CNC(=O)c2cc(F)cc3nc[nH]c32)n1 ZINC000181863753 408047332 /nfs/dbraw/zinc/04/73/32/408047332.db2.gz JFTFCTKCPNIQPQ-UHFFFAOYSA-N -1 1 320.305 1.787 20 0 DDADMM CCCCNC(=O)[C@H]1CCC[N@@H+](Cc2nc(CC)cc(=O)[nH]2)C1 ZINC000119361424 408050459 /nfs/dbraw/zinc/05/04/59/408050459.db2.gz LADZCNUAKKVYBW-ZDUSSCGKSA-N -1 1 320.437 1.873 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCOC1CCCC1)c2=O ZINC000119165904 408004328 /nfs/dbraw/zinc/00/43/28/408004328.db2.gz AZQAVSMQJHKHGP-UHFFFAOYSA-N -1 1 304.350 1.363 20 0 DDADMM COc1cc(-n2cccc2)c(Cl)cc1C(=O)Nc1nnn[n-]1 ZINC000135474742 408017115 /nfs/dbraw/zinc/01/71/15/408017115.db2.gz SAAAWRIAKZDCHS-UHFFFAOYSA-N -1 1 318.724 1.905 20 0 DDADMM COc1cc(-n2cccc2)c(Cl)cc1C(=O)Nc1nn[n-]n1 ZINC000135474742 408017122 /nfs/dbraw/zinc/01/71/22/408017122.db2.gz SAAAWRIAKZDCHS-UHFFFAOYSA-N -1 1 318.724 1.905 20 0 DDADMM CC[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@H](C)O1 ZINC000119241535 408020542 /nfs/dbraw/zinc/02/05/42/408020542.db2.gz MYSJHKYWSXTGBF-UWVGGRQHSA-N -1 1 304.350 1.313 20 0 DDADMM NS(=O)(=O)Cc1cccc(NC(=O)c2cc(F)ccc2[O-])c1 ZINC000154814670 408088519 /nfs/dbraw/zinc/08/85/19/408088519.db2.gz DSXUOLJYSOEVDY-UHFFFAOYSA-N -1 1 324.333 1.572 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN([C@@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000263301806 408098758 /nfs/dbraw/zinc/09/87/58/408098758.db2.gz GRUJGDSISIMNFH-TYNCELHUSA-N -1 1 320.364 1.974 20 0 DDADMM C[C@@H](C(=O)N1C[C@H](C)C[C@H](C)C1)[N@H+]1C[C@@H](C)C[C@H](C(=O)[O-])C1 ZINC000263327480 408106164 /nfs/dbraw/zinc/10/61/64/408106164.db2.gz KVJAXFMEKWZGCP-AICCOOGYSA-N -1 1 310.438 1.922 20 0 DDADMM Cc1ccc(F)c(OCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000155589934 408182617 /nfs/dbraw/zinc/18/26/17/408182617.db2.gz JXJDOCIKOLSFDW-LLVKDONJSA-N -1 1 319.340 1.432 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000268770153 408185289 /nfs/dbraw/zinc/18/52/89/408185289.db2.gz YBNNZNVHEVDCFH-GFCCVEGCSA-N -1 1 321.377 1.869 20 0 DDADMM COc1cc(C)sc1C(=O)Nc1nc(SCCO)n[nH]1 ZINC000268774869 408188076 /nfs/dbraw/zinc/18/80/76/408188076.db2.gz ZAFBMFYLJKOJJA-UHFFFAOYSA-N -1 1 314.392 1.520 20 0 DDADMM CC(C)c1ncc(Cl)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)n1 ZINC000273578658 408251996 /nfs/dbraw/zinc/25/19/96/408251996.db2.gz NSQNPDYSAIDEEX-MRVPVSSYSA-N -1 1 323.788 1.642 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1OC ZINC000273586656 408256109 /nfs/dbraw/zinc/25/61/09/408256109.db2.gz RCRMNAMLEVTFGC-SECBINFHSA-N -1 1 305.338 1.093 20 0 DDADMM CO[C@H](C)CCNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000263792197 408259701 /nfs/dbraw/zinc/25/97/01/408259701.db2.gz JZBXRUURYIEQCM-SNVBAGLBSA-N -1 1 320.393 1.526 20 0 DDADMM CCCCOc1cccc(CNC(=O)CN(C)CCC(=O)[O-])c1 ZINC000269309398 408262288 /nfs/dbraw/zinc/26/22/88/408262288.db2.gz VSTFSLWFTNCGRK-UHFFFAOYSA-N -1 1 322.405 1.888 20 0 DDADMM Cn1cccc([N-]S(=O)(=O)c2cc(F)ccc2Cl)c1=O ZINC000150861660 408215424 /nfs/dbraw/zinc/21/54/24/408215424.db2.gz ITQXFXKHANVWHD-UHFFFAOYSA-N -1 1 316.741 1.979 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(Cc2cccc(F)c2)CC1 ZINC000151280638 408288935 /nfs/dbraw/zinc/28/89/35/408288935.db2.gz RNPBXHDXVQPLIB-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM CCc1nc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)cs1 ZINC000132686350 162042167 /nfs/dbraw/zinc/04/21/67/162042167.db2.gz XCLNATHZXLGTRI-UHFFFAOYSA-N -1 1 317.374 1.623 20 0 DDADMM COCCOCCOCCCNC(=O)c1ccc([O-])cc1F ZINC000274554489 408447795 /nfs/dbraw/zinc/44/77/95/408447795.db2.gz NLAZSWUOQWVMCM-UHFFFAOYSA-N -1 1 315.341 1.331 20 0 DDADMM CCOc1c(F)cccc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183445988 408414476 /nfs/dbraw/zinc/41/44/76/408414476.db2.gz JOLCHJLPVRYURF-VIFPVBQESA-N -1 1 307.329 1.613 20 0 DDADMM CO[C@@H](C)[C@H](C)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000191474663 408431750 /nfs/dbraw/zinc/43/17/50/408431750.db2.gz GQOBLSCBHYWZFQ-CABZTGNLSA-N -1 1 320.393 1.524 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(SCC)nc1)c1nn[n-]n1 ZINC000176762512 408435249 /nfs/dbraw/zinc/43/52/49/408435249.db2.gz BLOTWWFRPMLMEP-SNVBAGLBSA-N -1 1 306.395 1.978 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(F)c(NC(C)=O)c1)c1nn[n-]n1 ZINC000176756920 408435709 /nfs/dbraw/zinc/43/57/09/408435709.db2.gz QFKMQSSEHKGZSD-NSHDSACASA-N -1 1 320.328 1.568 20 0 DDADMM CCC[C@H](NC(=O)c1cnn(-c2ccccc2)n1)c1nn[n-]n1 ZINC000176766797 408437338 /nfs/dbraw/zinc/43/73/38/408437338.db2.gz YVSCIWZDJGQUAJ-NSHDSACASA-N -1 1 312.337 1.052 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)NC(=O)c1cccs1)c1nn[n-]n1 ZINC000176772622 408441369 /nfs/dbraw/zinc/44/13/69/408441369.db2.gz PXGAPYPDLAWHGI-IUCAKERBSA-N -1 1 322.394 1.037 20 0 DDADMM Cc1cc(Br)c2c(c1)CN(Cc1nc(=O)[n-][nH]1)CC2 ZINC000275041664 408576926 /nfs/dbraw/zinc/57/69/26/408576926.db2.gz HCWPETHRTMORTP-UHFFFAOYSA-N -1 1 323.194 1.727 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc2ccccc2c1[O-])[C@H](C)O ZINC000275947315 408726846 /nfs/dbraw/zinc/72/68/46/408726846.db2.gz FUBXDYXLEPBINH-TVQRCGJNSA-N -1 1 303.314 1.198 20 0 DDADMM CCOc1cccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000164675955 408801856 /nfs/dbraw/zinc/80/18/56/408801856.db2.gz WTJYURNRHLLQOA-AWEZNQCLSA-N -1 1 320.389 1.498 20 0 DDADMM CCOc1ncccc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000253385890 408814054 /nfs/dbraw/zinc/81/40/54/408814054.db2.gz UWTXTCMNFHGREN-UHFFFAOYSA-N -1 1 301.228 1.870 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H](CO)C3CCCC3)ccnc1-2 ZINC000290785250 408831789 /nfs/dbraw/zinc/83/17/89/408831789.db2.gz UGRXDPKCZVLZHY-FDIDITGRSA-N -1 1 303.366 1.014 20 0 DDADMM CC(C)C(=O)NC1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000280847850 408845497 /nfs/dbraw/zinc/84/54/97/408845497.db2.gz VTXJPYIWUVTBHU-UHFFFAOYSA-N -1 1 318.373 1.338 20 0 DDADMM O=C(NC[C@@H]1CCC[N@H+](Cc2cncnc2)C1)C(F)(F)F ZINC000291483617 408872959 /nfs/dbraw/zinc/87/29/59/408872959.db2.gz FZYZXGGBTJKDEJ-JTQLQIEISA-N -1 1 302.300 1.367 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000291649660 408900825 /nfs/dbraw/zinc/90/08/25/408900825.db2.gz JNMFMNYNGIZAHH-GZMMTYOYSA-N -1 1 309.757 1.149 20 0 DDADMM O=S(=O)([N-]CCF)c1cccc(OC(F)(F)F)c1F ZINC000291847257 408933565 /nfs/dbraw/zinc/93/35/65/408933565.db2.gz BDPQDPOZXUOZFG-UHFFFAOYSA-N -1 1 305.224 1.972 20 0 DDADMM Cc1cccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1 ZINC000194883178 163300707 /nfs/dbraw/zinc/30/07/07/163300707.db2.gz KSKVMCWUKPJZEX-UHFFFAOYSA-N -1 1 306.343 1.600 20 0 DDADMM CCOC1CC(O)(C[N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000277455964 408943889 /nfs/dbraw/zinc/94/38/89/408943889.db2.gz ASEPFQUJMHXPGP-UHFFFAOYSA-N -1 1 321.345 1.173 20 0 DDADMM COC(=O)C1=NO[C@@H](CSc2nc(C3CC3)cc(=O)[n-]2)C1 ZINC000277743712 409003788 /nfs/dbraw/zinc/00/37/88/409003788.db2.gz QHCYCIYJMZPRPB-MRVPVSSYSA-N -1 1 309.347 1.470 20 0 DDADMM CSCC[C@@H](C)N(C)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287628777 409066373 /nfs/dbraw/zinc/06/63/73/409066373.db2.gz NXRNHQOORLRPHR-IQHDWMNZSA-N -1 1 307.423 1.947 20 0 DDADMM CN(CCC1CCOCC1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287323243 409018846 /nfs/dbraw/zinc/01/88/46/409018846.db2.gz WOXVLMCHPAJSMQ-RGEXLXHISA-N -1 1 317.393 1.622 20 0 DDADMM O=c1cc(C2CC2)nc(SCC2CCS(=O)(=O)CC2)[n-]1 ZINC000277828767 409020184 /nfs/dbraw/zinc/02/01/84/409020184.db2.gz YQGWQXHRGHNPLO-UHFFFAOYSA-N -1 1 314.432 1.977 20 0 DDADMM CC(C)[C@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCS1 ZINC000287702319 409078603 /nfs/dbraw/zinc/07/86/03/409078603.db2.gz ROHARKLHHUWUJD-FDIDITGRSA-N -1 1 319.434 1.947 20 0 DDADMM COCCN(CC(F)F)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283138194 409095403 /nfs/dbraw/zinc/09/54/03/409095403.db2.gz RVUCUWPFOAUSTC-UHFFFAOYSA-N -1 1 316.333 1.372 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C[N@@H+]1C1CC1 ZINC000283253130 409122794 /nfs/dbraw/zinc/12/27/94/409122794.db2.gz CQHVVQPGWNAGMP-ONGXEEELSA-N -1 1 317.418 1.373 20 0 DDADMM O=C(C(=O)N1CCC[C@H]1[C@H](O)C(F)(F)F)c1ccc([O-])cc1 ZINC000288155876 409155933 /nfs/dbraw/zinc/15/59/33/409155933.db2.gz LSQXJZVRBLKANF-JQWIXIFHSA-N -1 1 317.263 1.489 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)no1 ZINC000290522846 409432437 /nfs/dbraw/zinc/43/24/37/409432437.db2.gz ZUSUJJUSJRPFHF-SECBINFHSA-N -1 1 319.283 1.514 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2ncccn2)c(=O)[n-]1 ZINC000295390025 409461482 /nfs/dbraw/zinc/46/14/82/409461482.db2.gz LESLGCGZAUMQIX-UHFFFAOYSA-N -1 1 305.363 1.574 20 0 DDADMM O=C([C@@H]1CCc2c[nH]nc2C1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000407998922 164193712 /nfs/dbraw/zinc/19/37/12/164193712.db2.gz SYUFCTXNQIXAEW-KOLCDFICSA-N -1 1 317.349 1.009 20 0 DDADMM COc1cc(C(=O)N2CCN(C(C)=O)CC2)cc(Cl)c1[O-] ZINC000408118809 164233339 /nfs/dbraw/zinc/23/33/39/164233339.db2.gz XJZVDGGNWFUYIW-UHFFFAOYSA-N -1 1 312.753 1.359 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ccc(Br)o2)CCCO1 ZINC000408216201 164263382 /nfs/dbraw/zinc/26/33/82/164263382.db2.gz VRHRMFYXGGNOCK-JTQLQIEISA-N -1 1 324.196 1.890 20 0 DDADMM COC(=O)c1nscc1[N-]S(=O)(=O)N1CCC[C@@H](C)C1 ZINC000408339638 164300787 /nfs/dbraw/zinc/30/07/87/164300787.db2.gz LNRWZGUQJMLBQQ-MRVPVSSYSA-N -1 1 319.408 1.318 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C(=O)N(C)C)CC2(C)C)c([O-])c1 ZINC000408371447 164309856 /nfs/dbraw/zinc/30/98/56/164309856.db2.gz BDIVXLLRDGWZPW-UHFFFAOYSA-N -1 1 320.393 1.314 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc3c(cc2O)CCCC3)CC[N@H+]1CCO ZINC000408410162 164322409 /nfs/dbraw/zinc/32/24/09/164322409.db2.gz KPMWONFFJSKHSB-CYBMUJFWSA-N -1 1 318.417 1.410 20 0 DDADMM C[C@@H](NC(=O)Cc1nn[n-]n1)c1ccc(Cl)cc1Cl ZINC000408497688 164350739 /nfs/dbraw/zinc/35/07/39/164350739.db2.gz JNQVHPQBSPJPDY-ZCFIWIBFSA-N -1 1 300.149 1.926 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cc(F)ccc1F ZINC000295483942 409501528 /nfs/dbraw/zinc/50/15/28/409501528.db2.gz FACKYJWRBRAUJE-UHFFFAOYSA-N -1 1 301.289 1.897 20 0 DDADMM O=C1NCCCC[C@@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000331478716 409553366 /nfs/dbraw/zinc/55/33/66/409553366.db2.gz PKJNIRUCHIISBQ-QMMMGPOBSA-N -1 1 308.812 1.349 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CC[C@H](F)C2)o1 ZINC000344906534 409553663 /nfs/dbraw/zinc/55/36/63/409553663.db2.gz KUGRRFGAMDKIKG-DTWKUNHWSA-N -1 1 305.327 1.625 20 0 DDADMM C[C@H]1Cc2ccccc2N1C(=O)CNC(=O)c1ncccc1[O-] ZINC000337950865 409596326 /nfs/dbraw/zinc/59/63/26/409596326.db2.gz PIXVSCIBVZHBHW-NSHDSACASA-N -1 1 311.341 1.495 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H]2C[C@@H]2C(F)F)c(=O)[n-]1 ZINC000345530860 409684775 /nfs/dbraw/zinc/68/47/75/409684775.db2.gz MIQDJAWVNYJUEG-DTWKUNHWSA-N -1 1 317.361 1.915 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H]2C[C@@H]2C(F)F)c(=O)[n-]1 ZINC000345532084 409688245 /nfs/dbraw/zinc/68/82/45/409688245.db2.gz MIQDJAWVNYJUEG-IUCAKERBSA-N -1 1 317.361 1.915 20 0 DDADMM CC(C)(C)C(=O)NCCCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000345549069 409690770 /nfs/dbraw/zinc/69/07/70/409690770.db2.gz NYWRZQHBZFFRIR-UHFFFAOYSA-N -1 1 322.413 1.028 20 0 DDADMM CC(C)c1[nH]ncc1C(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC000356996296 409776148 /nfs/dbraw/zinc/77/61/48/409776148.db2.gz JSAYYXKQYGQEPQ-UHFFFAOYSA-N -1 1 313.321 1.467 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H]3CC[C@@H](C(=O)[O-])O3)[nH]c2c1 ZINC000346097045 409779634 /nfs/dbraw/zinc/77/96/34/409779634.db2.gz RXSLQGYORSFPKI-RYUDHWBXSA-N -1 1 303.318 1.120 20 0 DDADMM O=C(CCCOCc1ccccc1)NC1(c2nn[n-]n2)CC1 ZINC000357066382 409831861 /nfs/dbraw/zinc/83/18/61/409831861.db2.gz BIPHHUKRMLEQFX-UHFFFAOYSA-N -1 1 301.350 1.302 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CC2)ccc1Br ZINC000357074774 409844331 /nfs/dbraw/zinc/84/43/31/409844331.db2.gz STRSADGYQFHRMB-UHFFFAOYSA-N -1 1 322.166 1.690 20 0 DDADMM COCCCOc1cccc(C(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000357076422 409845358 /nfs/dbraw/zinc/84/53/58/409845358.db2.gz VGCLZBXYDVNOQY-UHFFFAOYSA-N -1 1 317.349 1.034 20 0 DDADMM CCC[C@]1(COC)CCCN1S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349468681 409847424 /nfs/dbraw/zinc/84/74/24/409847424.db2.gz ZHIPOQLUDLIOHQ-CYBMUJFWSA-N -1 1 317.411 1.365 20 0 DDADMM C[C@H](CSCc1ccccc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357048387 409819221 /nfs/dbraw/zinc/81/92/21/409819221.db2.gz MOLWMWGPKZTZPK-LLVKDONJSA-N -1 1 317.418 1.875 20 0 DDADMM CCC1(CC)CCN(S(=O)(=O)c2c(C)o[n-]c2=N)CC1 ZINC000349579218 409876987 /nfs/dbraw/zinc/87/69/87/409876987.db2.gz KOMURPFWICEBPI-UHFFFAOYSA-N -1 1 301.412 1.986 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccc(F)c(F)c2)CC[C@H]1C(=O)[O-] ZINC000318737424 409880618 /nfs/dbraw/zinc/88/06/18/409880618.db2.gz JZCASTSQWNWMOE-GXSJLCMTSA-N -1 1 312.316 1.946 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1cc(F)ccc1F ZINC000349591830 409882527 /nfs/dbraw/zinc/88/25/27/409882527.db2.gz VRBVKKDGPFOWKX-UHFFFAOYSA-N -1 1 303.290 1.152 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cc(F)ccc1F ZINC000349591830 409882537 /nfs/dbraw/zinc/88/25/37/409882537.db2.gz VRBVKKDGPFOWKX-UHFFFAOYSA-N -1 1 303.290 1.152 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2NCCc3ccccc32)CC[C@H]1C(=O)[O-] ZINC000318835726 409882990 /nfs/dbraw/zinc/88/29/90/409882990.db2.gz ARKSDSZSXINSNT-UXIGCNINSA-N -1 1 302.374 1.443 20 0 DDADMM COC(=O)c1ccc(C(=O)N=c2cc(C)n([C@H](C)C3CC3)[nH]2)[n-]1 ZINC000346344063 409930145 /nfs/dbraw/zinc/93/01/45/409930145.db2.gz GPJTUBJGLUMJDV-SNVBAGLBSA-N -1 1 316.361 1.951 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332336498 410077819 /nfs/dbraw/zinc/07/78/19/410077819.db2.gz NWPKKHBDGVKKMX-LLVKDONJSA-N -1 1 303.318 1.804 20 0 DDADMM CC(C)(NC(=O)[C@@H]1COc2ccc(Cl)cc2C1)c1nn[n-]n1 ZINC000354793298 410091704 /nfs/dbraw/zinc/09/17/04/410091704.db2.gz PWOLLBWQUFSIEJ-VIFPVBQESA-N -1 1 321.768 1.456 20 0 DDADMM COc1ccccc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332358056 410092241 /nfs/dbraw/zinc/09/22/41/410092241.db2.gz ULVBWXSZCGYGHN-SNVBAGLBSA-N -1 1 303.318 1.804 20 0 DDADMM CC[C@@H](C)[C@@H](C)N(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CC1 ZINC000357606189 410129239 /nfs/dbraw/zinc/12/92/39/410129239.db2.gz LNPXXTHGEBJRPP-RKDXNWHRSA-N -1 1 309.366 1.936 20 0 DDADMM O=C(c1cccc2c[nH]nc21)N1CCCC[C@H]1c1n[nH]c(=O)[n-]1 ZINC000329164534 410137828 /nfs/dbraw/zinc/13/78/28/410137828.db2.gz HAIWGOOTZSQGMT-NSHDSACASA-N -1 1 312.333 1.754 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc(C(=O)NC)c2)n1 ZINC000339392582 410154500 /nfs/dbraw/zinc/15/45/00/410154500.db2.gz MZVIDYKETRMWCR-UHFFFAOYSA-N -1 1 316.317 1.198 20 0 DDADMM CCCCc1ccc(-n2nnnc2SCc2nn[n-]n2)cc1 ZINC000298322007 410179650 /nfs/dbraw/zinc/17/96/50/410179650.db2.gz STORIWFBIBENLH-UHFFFAOYSA-N -1 1 316.394 1.810 20 0 DDADMM CC(C)c1nc2n(n1)C[C@@H](NC(=O)c1c([O-])cccc1F)CC2 ZINC000332728906 410236131 /nfs/dbraw/zinc/23/61/31/410236131.db2.gz ZHKSKZGZUUBVFC-JTQLQIEISA-N -1 1 318.352 1.991 20 0 DDADMM COc1ccc(N(CC2CC2)C(=O)CCc2nn[n-]n2)cc1 ZINC000633308749 422855937 /nfs/dbraw/zinc/85/59/37/422855937.db2.gz CWQPQAGFNAMHRT-UHFFFAOYSA-N -1 1 301.350 1.584 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(C)(C)C[C@H]2C)o1 ZINC000332709723 410228283 /nfs/dbraw/zinc/22/82/83/410228283.db2.gz ORJZKEZZGHHJSY-SECBINFHSA-N -1 1 300.380 1.448 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)on1 ZINC000351856552 410294792 /nfs/dbraw/zinc/29/47/92/410294792.db2.gz LEULMVOHRBKGLV-UHFFFAOYSA-N -1 1 306.322 1.906 20 0 DDADMM NC(=O)c1ccc(CN(C(=O)c2cncc([O-])c2)C2CC2)cc1 ZINC000339831501 410488635 /nfs/dbraw/zinc/48/86/35/410488635.db2.gz SJYSYOVGNHIMOY-UHFFFAOYSA-N -1 1 311.341 1.691 20 0 DDADMM CC(=O)[C@@H]1C[C@@H](CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)C1(C)C ZINC000358408608 410464427 /nfs/dbraw/zinc/46/44/27/410464427.db2.gz HUYUDMRBCSBQOY-USWWRNFRSA-N -1 1 307.398 1.403 20 0 DDADMM O=C(c1cc2c([nH]1)CCCC2)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000298860236 410427826 /nfs/dbraw/zinc/42/78/26/410427826.db2.gz GBSRJFNLQPGTAU-LLVKDONJSA-N -1 1 315.377 1.325 20 0 DDADMM Cc1ncc(Br)cc1NC(=O)CCc1nn[n-]n1 ZINC000633317239 422858516 /nfs/dbraw/zinc/85/85/16/422858516.db2.gz JVVHTGXEQWWCGH-UHFFFAOYSA-N -1 1 311.143 1.237 20 0 DDADMM COC(=O)Cc1csc(N(C)C(=O)c2cncc([O-])c2)n1 ZINC000339952243 410573574 /nfs/dbraw/zinc/57/35/74/410573574.db2.gz POWOLIPWLPZIHR-UHFFFAOYSA-N -1 1 307.331 1.236 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1c[nH]nc1-c1ccc(F)cc1 ZINC000352197119 410541866 /nfs/dbraw/zinc/54/18/66/410541866.db2.gz LTVWPENQAHWCBS-UHFFFAOYSA-N -1 1 313.296 1.148 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@@H]1c1ccc(F)c(F)c1 ZINC000352204530 410548160 /nfs/dbraw/zinc/54/81/60/410548160.db2.gz HSEUBAXPSWFAAF-RKDXNWHRSA-N -1 1 305.288 1.387 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@H](COCC3CC3)C2)c([O-])c1 ZINC000330325906 410628498 /nfs/dbraw/zinc/62/84/98/410628498.db2.gz UWUDXYSSCPMKFU-ZDUSSCGKSA-N -1 1 306.362 1.363 20 0 DDADMM CC[C@H](C)n1ncc([N-]S(=O)(=O)CCOCCOC)c1C ZINC000359660880 410800331 /nfs/dbraw/zinc/80/03/31/410800331.db2.gz MCOHDMSVGTYBKM-NSHDSACASA-N -1 1 319.427 1.567 20 0 DDADMM Cc1ccc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1C ZINC000340369452 410846606 /nfs/dbraw/zinc/84/66/06/410846606.db2.gz LECUCAFXLFPROK-UHFFFAOYSA-N -1 1 316.386 1.759 20 0 DDADMM C[C@H](NC(=O)CC1CCCCC1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359782074 410875399 /nfs/dbraw/zinc/87/53/99/410875399.db2.gz FZGRUIMPLAGZTO-JTQLQIEISA-N -1 1 322.413 1.026 20 0 DDADMM CC(C)(C)n1nc(C(=O)NC(C)(C)c2nn[n-]n2)cc1C1CC1 ZINC000359782132 410875668 /nfs/dbraw/zinc/87/56/68/410875668.db2.gz HBVKIMIPLDMZRU-UHFFFAOYSA-N -1 1 317.397 1.694 20 0 DDADMM Cc1nc(-c2ccc(N3CC[C@@](C)(O)C3)nc2)[n-]c(=O)c1C ZINC000301881627 410818059 /nfs/dbraw/zinc/81/80/59/410818059.db2.gz MEWCAMARRHKEEO-MRXNPFEDSA-N -1 1 300.362 1.822 20 0 DDADMM Cc1nc2cc(F)ccc2cc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348277411 410892750 /nfs/dbraw/zinc/89/27/50/410892750.db2.gz LWUUKQKRRWWYFF-UHFFFAOYSA-N -1 1 312.308 1.615 20 0 DDADMM Cc1cc2occ(CC(=O)NC3(c4nn[n-]n4)CC3)c2cc1C ZINC000348278179 410894848 /nfs/dbraw/zinc/89/48/48/410894848.db2.gz UKKLKEUIVKETKT-UHFFFAOYSA-N -1 1 311.345 1.911 20 0 DDADMM CC[C@H](Oc1ccccc1F)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348290974 410900321 /nfs/dbraw/zinc/90/03/21/410900321.db2.gz HMGLEQCSHOQRPR-JTQLQIEISA-N -1 1 305.313 1.302 20 0 DDADMM Cc1ccc(OCCC(=O)NC2(c3nn[n-]n3)CC2)cc1C ZINC000348297013 410904711 /nfs/dbraw/zinc/90/47/11/410904711.db2.gz IWHLONLXADZXOB-UHFFFAOYSA-N -1 1 301.350 1.391 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1c(F)cccc1F ZINC000353391109 410960834 /nfs/dbraw/zinc/96/08/34/410960834.db2.gz USEBBXNPQPCGJP-SSDOTTSWSA-N -1 1 319.271 1.187 20 0 DDADMM C[C@@H](CCN1CCOCC1)NC(=O)c1c(F)ccc([O-])c1F ZINC000348530093 411001029 /nfs/dbraw/zinc/00/10/29/411001029.db2.gz HTLXRMJIQKNYAE-JTQLQIEISA-N -1 1 314.332 1.511 20 0 DDADMM CCC[C@H](C)CS(=O)(=O)[N-][C@@H](CC(F)F)C(=O)OC ZINC000341720106 411052825 /nfs/dbraw/zinc/05/28/25/411052825.db2.gz YIBZUZZVDVYIEH-IUCAKERBSA-N -1 1 301.355 1.539 20 0 DDADMM O=c1[nH]c(CC(F)(F)F)c([O-])n1-c1ccn(CC(F)F)n1 ZINC000348691131 411057230 /nfs/dbraw/zinc/05/72/30/411057230.db2.gz QVCTUOOGLQZJJC-RXMQYKEDSA-N -1 1 312.198 1.525 20 0 DDADMM COc1c2ccccc2[nH]c1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000353483253 411020857 /nfs/dbraw/zinc/02/08/57/411020857.db2.gz QJURZSQGXMHPQZ-UHFFFAOYSA-N -1 1 300.322 1.355 20 0 DDADMM CC(C)C(=O)Nc1cc(C(=O)Nc2ccncc2[O-])ccn1 ZINC000344199032 411021211 /nfs/dbraw/zinc/02/12/11/411021211.db2.gz VMOABJBZLLVROF-UHFFFAOYSA-N -1 1 300.318 1.451 20 0 DDADMM CCCCNC(=O)[C@@H]1CSCN1C(=O)c1ncc(C)cc1[O-] ZINC000331216053 411022214 /nfs/dbraw/zinc/02/22/14/411022214.db2.gz VSRKAEMSZFPCMB-NSHDSACASA-N -1 1 323.418 1.527 20 0 DDADMM Cc1cc(NC(=O)CNC(=O)c2ncccc2[O-])ccc1O ZINC000344382692 411097881 /nfs/dbraw/zinc/09/78/81/411097881.db2.gz LJDYFKQWIXAKQD-UHFFFAOYSA-N -1 1 301.302 1.170 20 0 DDADMM C[C@@H](O)CNC(=O)c1cc(I)ccc1[O-] ZINC000128911188 196003593 /nfs/dbraw/zinc/00/35/93/196003593.db2.gz IVLZSHVWGRUGMY-ZCFIWIBFSA-N -1 1 321.114 1.107 20 0 DDADMM COCc1nc2n(n1)C[C@@H](NC(=O)c1c([O-])cccc1F)CC2 ZINC000129369420 196042113 /nfs/dbraw/zinc/04/21/13/196042113.db2.gz MMPXIEONSKQZMR-VIFPVBQESA-N -1 1 320.324 1.014 20 0 DDADMM CC(C)c1nc(CNC(=O)N2CC[C@@H](C)[C@@H](C(=O)[O-])C2)n[nH]1 ZINC000580070455 422883300 /nfs/dbraw/zinc/88/33/00/422883300.db2.gz JFPZVCCGJLHPOF-ZJUUUORDSA-N -1 1 309.370 1.180 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCc2csc(C)n2)sn1 ZINC000631704736 422886254 /nfs/dbraw/zinc/88/62/54/422886254.db2.gz SJZPVJREMGJDBK-UHFFFAOYSA-N -1 1 303.434 1.737 20 0 DDADMM CC(C)(C)CCCS(=O)(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000580333427 422919856 /nfs/dbraw/zinc/91/98/56/422919856.db2.gz SZTDBSYJDGLYKR-NSHDSACASA-N -1 1 317.411 1.151 20 0 DDADMM CC[N@@H+](CC(=O)Nc1ccccc1NS(C)(=O)=O)C1CC1 ZINC000130736881 196105059 /nfs/dbraw/zinc/10/50/59/196105059.db2.gz BDECTCDXTJHPTM-UHFFFAOYSA-N -1 1 311.407 1.481 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCN(C)CC(F)F)cnc2n1 ZINC000630028361 422931213 /nfs/dbraw/zinc/93/12/13/422931213.db2.gz SBGQLXDHPGVJLW-UHFFFAOYSA-N -1 1 324.331 1.571 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCN(C)CC(F)F)c2=O ZINC000630028361 422931217 /nfs/dbraw/zinc/93/12/17/422931217.db2.gz SBGQLXDHPGVJLW-UHFFFAOYSA-N -1 1 324.331 1.571 20 0 DDADMM C[C@H](CNC(=O)NCCCC(=O)[O-])N1CCc2ccccc2C1 ZINC000580428534 422931371 /nfs/dbraw/zinc/93/13/71/422931371.db2.gz QWWDNIREABXKAD-CYBMUJFWSA-N -1 1 319.405 1.597 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1cccc(F)c1F)C1CC1 ZINC000580643392 422946299 /nfs/dbraw/zinc/94/62/99/422946299.db2.gz ANUXLZBOISLRJZ-LBPRGKRZSA-N -1 1 319.329 1.336 20 0 DDADMM O=S(=O)([N-]c1ccccc1F)c1cnn([C@@H]2CCOC2)c1 ZINC000131529674 196176985 /nfs/dbraw/zinc/17/69/85/196176985.db2.gz AOGHGESAHPIGSF-SNVBAGLBSA-N -1 1 311.338 1.784 20 0 DDADMM CC(C)(C)[C@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(N)=O ZINC000131630276 196186183 /nfs/dbraw/zinc/18/61/83/196186183.db2.gz VAYMHTLUXRAKEK-SNVBAGLBSA-N -1 1 322.789 1.657 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCC(F)F)c1 ZINC000642993504 423018680 /nfs/dbraw/zinc/01/86/80/423018680.db2.gz STSMJCDWPWLFHK-UHFFFAOYSA-N -1 1 308.306 1.284 20 0 DDADMM O=S(=O)(Cc1c(F)cccc1Cl)[N-]C1COCCOC1 ZINC000650013885 423021167 /nfs/dbraw/zinc/02/11/67/423021167.db2.gz JLNDTKDIANGYJY-UHFFFAOYSA-N -1 1 323.773 1.314 20 0 DDADMM COc1ccc(CNC(=O)c2ccc([O-])c(F)c2)c(OC)n1 ZINC000643002107 423017959 /nfs/dbraw/zinc/01/79/59/423017959.db2.gz BYEYVTYBZWWOOK-UHFFFAOYSA-N -1 1 306.293 1.874 20 0 DDADMM CC(C)(C(=O)[O-])C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000647738102 423022765 /nfs/dbraw/zinc/02/27/65/423022765.db2.gz WXXCZLCVDYKELB-JTQLQIEISA-N -1 1 306.366 1.499 20 0 DDADMM C[C@@H]1CN(CCN2CCCc3ccccc32)C[C@H](C(=O)[O-])O1 ZINC000652510045 423049677 /nfs/dbraw/zinc/04/96/77/423049677.db2.gz QQEXHIJLYWCHQY-CZUORRHYSA-N -1 1 304.390 1.613 20 0 DDADMM CS(=O)(=O)C1(CN=c2nc(C3CCCC3)[n-]s2)CCC1 ZINC000643189404 423054484 /nfs/dbraw/zinc/05/44/84/423054484.db2.gz CJJKPQAWZDFKRY-UHFFFAOYSA-N -1 1 315.464 1.997 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CSCN1C(=O)C(C)(C)C ZINC000647815709 423058399 /nfs/dbraw/zinc/05/83/99/423058399.db2.gz IDKVLJSCKJMXQZ-QMMMGPOBSA-N -1 1 312.395 1.310 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCOC1 ZINC000645533229 423069531 /nfs/dbraw/zinc/06/95/31/423069531.db2.gz GSKZGHZYMKAQEO-NSHDSACASA-N -1 1 324.324 1.805 20 0 DDADMM CC[C@@H](COC)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645554042 423079443 /nfs/dbraw/zinc/07/94/43/423079443.db2.gz REIIKSKQVSUJDY-QMMMGPOBSA-N -1 1 312.313 1.804 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(OC)nc1OC)OC ZINC000643269186 423093073 /nfs/dbraw/zinc/09/30/73/423093073.db2.gz GKORKXDZNSFYOH-SECBINFHSA-N -1 1 304.368 1.266 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCCC2CC2)c1 ZINC000643272481 423094090 /nfs/dbraw/zinc/09/40/90/423094090.db2.gz LUTTVWFDTYWIOD-UHFFFAOYSA-N -1 1 312.391 1.819 20 0 DDADMM O=S(=O)([N-]Cc1ccccc1)c1c[nH]nc1C(F)(F)F ZINC000647889807 423101070 /nfs/dbraw/zinc/10/10/70/423101070.db2.gz RRSTVQHJCZDPPD-UHFFFAOYSA-N -1 1 305.281 1.907 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC(C2CC2)C1 ZINC000643287321 423100749 /nfs/dbraw/zinc/10/07/49/423100749.db2.gz CUJQUXDQPKPCTN-UHFFFAOYSA-N -1 1 314.389 1.853 20 0 DDADMM CC[C@H](C)[C@@H](O)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000647898925 423105243 /nfs/dbraw/zinc/10/52/43/423105243.db2.gz SOROKTYLWCPJJJ-BQBZGAKWSA-N -1 1 315.317 1.114 20 0 DDADMM CN(C)C(=O)C1([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CC1 ZINC000416604484 225000929 /nfs/dbraw/zinc/00/09/29/225000929.db2.gz ZHYAGHFGBKEHKE-UHFFFAOYSA-N -1 1 322.308 1.003 20 0 DDADMM COc1cncc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c1C ZINC000640654706 423115394 /nfs/dbraw/zinc/11/53/94/423115394.db2.gz WMWNMSMXOBAEFG-UHFFFAOYSA-N -1 1 320.374 1.546 20 0 DDADMM FC(F)(F)c1nnc([N-]Cc2cn(C[C@H]3CCOC3)nn2)o1 ZINC000630953580 417829059 /nfs/dbraw/zinc/82/90/59/417829059.db2.gz JMAOSYNTKBFOHS-SSDOTTSWSA-N -1 1 318.259 1.329 20 0 DDADMM CCC(C)(C)NC(=O)[C@@H](C)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000373839618 418465377 /nfs/dbraw/zinc/46/53/77/418465377.db2.gz QLQIDOCNVYPJBL-SNVBAGLBSA-N -1 1 309.414 1.383 20 0 DDADMM CSc1ccsc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000294230171 418583555 /nfs/dbraw/zinc/58/35/55/418583555.db2.gz MIGJVKOIBHIKNU-MRVPVSSYSA-N -1 1 324.431 1.901 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2Cc3ccc(C)cc3C2)co1 ZINC000361331836 418627251 /nfs/dbraw/zinc/62/72/51/418627251.db2.gz PPYQASDPHKGTHV-UHFFFAOYSA-N -1 1 320.370 1.652 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCCO[C@@H]3CCC[C@H]31)c2=O ZINC000367991148 418670209 /nfs/dbraw/zinc/67/02/09/418670209.db2.gz UPOYEPTZSIJBPV-CHWSQXEVSA-N -1 1 316.361 1.458 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@H](N2CCOCC2)C1 ZINC000382522680 418730970 /nfs/dbraw/zinc/73/09/70/418730970.db2.gz QZOSCPXLCFQEEB-ZDUSSCGKSA-N -1 1 324.808 1.982 20 0 DDADMM C[C@@](N)(C(=O)N1CCC[C@@H](CCC(=O)[O-])C1)c1ccccc1 ZINC000386011351 418737966 /nfs/dbraw/zinc/73/79/66/418737966.db2.gz QAWSHKFAKATVCY-GUYCJALGSA-N -1 1 304.390 1.964 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCCO1 ZINC000390750907 418755785 /nfs/dbraw/zinc/75/57/85/418755785.db2.gz VSEWTZURCOGCAM-DZGCQCFKSA-N -1 1 320.389 1.114 20 0 DDADMM O=C(NCCn1cnnc1)c1ccc(Br)cc1[O-] ZINC000394617107 418760099 /nfs/dbraw/zinc/76/00/99/418760099.db2.gz DAOPQULUCDFSEP-UHFFFAOYSA-N -1 1 311.139 1.176 20 0 DDADMM Cc1ccc2cccc(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)c2n1 ZINC000371741342 418813312 /nfs/dbraw/zinc/81/33/12/418813312.db2.gz KXDUMRYKCLPIMX-ZDUSSCGKSA-N -1 1 324.344 1.270 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)Nc2nn[nH]c2C(N)=O)cc1C ZINC000410854793 418852923 /nfs/dbraw/zinc/85/29/23/418852923.db2.gz AGQDUNGJLICXPG-UHFFFAOYSA-N -1 1 315.333 1.122 20 0 DDADMM C[C@H]1CN(C(=O)c2c([O-])cnc3ccccc32)CC[S@@](=O)C1 ZINC000365455540 418862943 /nfs/dbraw/zinc/86/29/43/418862943.db2.gz HMYFMKJSYLNGBI-KPWVOAKYSA-N -1 1 318.398 1.781 20 0 DDADMM CCCN(C)S(=O)(=O)Nc1cccc(F)c1-c1nc[nH]n1 ZINC000365838381 418914011 /nfs/dbraw/zinc/91/40/11/418914011.db2.gz QOUNGFQPUKOZNY-UHFFFAOYSA-N -1 1 313.358 1.609 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCN(C3CC3)C2)c(F)c1 ZINC000425161129 228376860 /nfs/dbraw/zinc/37/68/60/228376860.db2.gz XEDPBJKLKHYRRJ-SNVBAGLBSA-N -1 1 316.373 1.788 20 0 DDADMM C[C@@H]1[C@H](C(=O)OC(C)(C)C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000420923812 419357910 /nfs/dbraw/zinc/35/79/10/419357910.db2.gz IKWSBRNMTUHAMO-GHMZBOCLSA-N -1 1 310.398 1.051 20 0 DDADMM Cc1nn(C)cc1C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425170942 228379803 /nfs/dbraw/zinc/37/98/03/228379803.db2.gz OZEYCLYKXMJRRK-UHFFFAOYSA-N -1 1 315.345 1.794 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)c2cncc(F)c2)c(F)c1 ZINC000425969122 419374092 /nfs/dbraw/zinc/37/40/92/419374092.db2.gz VRNPSCYJNLPMIS-UHFFFAOYSA-N -1 1 313.285 1.260 20 0 DDADMM CSc1n[nH]c(NC(=O)c2ccc(N(C)C(C)=O)cc2)n1 ZINC000426087759 419386682 /nfs/dbraw/zinc/38/66/82/419386682.db2.gz YAHOGGHJQJBIBR-UHFFFAOYSA-N -1 1 305.363 1.762 20 0 DDADMM CC[C@@H]1C[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000421225713 419529514 /nfs/dbraw/zinc/52/95/14/419529514.db2.gz ZNDSDSRSTCOGFS-WDEREUQCSA-N -1 1 301.346 1.611 20 0 DDADMM Cc1cnc(C(=O)N(CCC2CCCCC2)CC(N)=O)c([O-])c1 ZINC000427099992 419592995 /nfs/dbraw/zinc/59/29/95/419592995.db2.gz JGVBGPDSLZEIFQ-UHFFFAOYSA-N -1 1 319.405 1.994 20 0 DDADMM CC[C@]1(O)CCN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000428736113 419923883 /nfs/dbraw/zinc/92/38/83/419923883.db2.gz GQSYYWNCQWPFPZ-INIZCTEOSA-N -1 1 307.394 1.775 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1Cc2ccccc2C[C@@H]1C ZINC000416153219 420259213 /nfs/dbraw/zinc/25/92/13/420259213.db2.gz BHUZGBSBEDYZSL-VIFPVBQESA-N -1 1 315.329 1.483 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CCC(C(C)C)CC1 ZINC000416173111 420268683 /nfs/dbraw/zinc/26/86/83/420268683.db2.gz ADHCIZKGFZLDSO-UHFFFAOYSA-N -1 1 309.366 1.841 20 0 DDADMM CCC1(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCCCC1 ZINC000416201237 420276618 /nfs/dbraw/zinc/27/66/18/420276618.db2.gz FHFRDXOTNXZOSY-UHFFFAOYSA-N -1 1 309.366 1.987 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC000416220023 420284858 /nfs/dbraw/zinc/28/48/58/420284858.db2.gz IPNHJXMXOCWAOL-VHSXEESVSA-N -1 1 319.292 1.321 20 0 DDADMM CC(C)C[C@H](CN=c1ccc(C(N)=O)n[n-]1)C(=O)OC(C)(C)C ZINC000425267125 420334278 /nfs/dbraw/zinc/33/42/78/420334278.db2.gz KCHDEKCZMPOULM-LLVKDONJSA-N -1 1 322.409 1.413 20 0 DDADMM O=C(N[C@@H]1CCN(CC(F)F)C1)c1c(F)ccc([O-])c1F ZINC000416346330 420338559 /nfs/dbraw/zinc/33/85/59/420338559.db2.gz AQDCZCQVDSVDDY-SSDOTTSWSA-N -1 1 306.259 1.740 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)nc1 ZINC000425299984 420343627 /nfs/dbraw/zinc/34/36/27/420343627.db2.gz KSNQYVLDTXWCIV-SECBINFHSA-N -1 1 304.306 1.199 20 0 DDADMM O=C(COc1ccsc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425297335 420344531 /nfs/dbraw/zinc/34/45/31/420344531.db2.gz IFAKOMLXKJDDIJ-VIFPVBQESA-N -1 1 309.347 1.622 20 0 DDADMM C[C@H](NC(=O)c1cc(F)ccc1[O-])C1(S(C)(=O)=O)CC1 ZINC000436647113 420349063 /nfs/dbraw/zinc/34/90/63/420349063.db2.gz KIKBETLTEXWGLZ-QMMMGPOBSA-N -1 1 301.339 1.227 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cc(F)ccc1[O-])c1cccc(O)c1 ZINC000436677669 420352725 /nfs/dbraw/zinc/35/27/25/420352725.db2.gz DFJBLZDWHHWCBP-CQSZACIVSA-N -1 1 319.288 1.881 20 0 DDADMM O=C(NCc1ccc(-n2cncn2)cc1)C(=O)c1ccc([O-])cc1 ZINC000436699747 420355405 /nfs/dbraw/zinc/35/54/05/420355405.db2.gz SBZXVDLPZXSFOZ-UHFFFAOYSA-N -1 1 322.324 1.472 20 0 DDADMM O=C(NCCOc1ncccc1Cl)C(=O)c1ccc([O-])cc1 ZINC000436703943 420358223 /nfs/dbraw/zinc/35/82/23/420358223.db2.gz NIRPFTQOOQSIKS-UHFFFAOYSA-N -1 1 320.732 1.819 20 0 DDADMM O=C(NCCNC(=O)c1cc(F)ccc1[O-])NC1CCCCC1 ZINC000436727663 420361434 /nfs/dbraw/zinc/36/14/34/420361434.db2.gz BFZFAXBLLCDTAP-UHFFFAOYSA-N -1 1 323.368 1.893 20 0 DDADMM Cc1cc(C)c(CNC(=O)C(=O)c2ccc([O-])cc2)c(=O)[nH]1 ZINC000436734714 420361651 /nfs/dbraw/zinc/36/16/51/420361651.db2.gz LCYAMVLPJODTBL-UHFFFAOYSA-N -1 1 300.314 1.609 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCc2c(=O)[nH]cnc2C1 ZINC000436829626 420372934 /nfs/dbraw/zinc/37/29/34/420372934.db2.gz MEWWUJKPFJIGAW-UHFFFAOYSA-N -1 1 305.721 1.740 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC[C@@H](N2CCCC2=O)C1 ZINC000437001763 420391783 /nfs/dbraw/zinc/39/17/83/420391783.db2.gz LFBKBKDJBUSMMY-LLVKDONJSA-N -1 1 308.765 1.883 20 0 DDADMM Cc1cc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])ns1 ZINC000444344635 230086201 /nfs/dbraw/zinc/08/62/01/230086201.db2.gz RAIDQGXBUOHWIS-UHFFFAOYSA-N -1 1 313.360 1.057 20 0 DDADMM O=C(CSc1ccccc1Br)Nc1nnn[n-]1 ZINC000439266596 420503462 /nfs/dbraw/zinc/50/34/62/420503462.db2.gz QXFVSNWRSLRCHS-UHFFFAOYSA-N -1 1 314.168 1.693 20 0 DDADMM O=C(CSc1ccccc1Br)Nc1nn[n-]n1 ZINC000439266596 420503468 /nfs/dbraw/zinc/50/34/68/420503468.db2.gz QXFVSNWRSLRCHS-UHFFFAOYSA-N -1 1 314.168 1.693 20 0 DDADMM O=C(C=Cc1ccc(Cl)nc1)NC1(c2nn[n-]n2)CCCC1 ZINC000492272851 420507983 /nfs/dbraw/zinc/50/79/83/420507983.db2.gz YUHUECUKFBORHU-GQCTYLIASA-N -1 1 318.768 1.847 20 0 DDADMM C[C@H]1[C@H](C(=O)[N-]OCC(F)F)CCN1C(=O)OC(C)(C)C ZINC000492508443 420578483 /nfs/dbraw/zinc/57/84/83/420578483.db2.gz KTCSNUNJJZBILF-DTWKUNHWSA-N -1 1 308.325 1.945 20 0 DDADMM COc1cc(C=CC(=O)N=c2ccc([O-])n[nH]2)cc(OC)c1 ZINC000492716545 420638117 /nfs/dbraw/zinc/63/81/17/420638117.db2.gz NLIGCJMTXVMDFB-HWKANZROSA-N -1 1 301.302 1.273 20 0 DDADMM O=C(N=c1nc(-c2ccccn2)[nH][n-]1)c1ccc2c(n1)CCCC2 ZINC000442482232 420683066 /nfs/dbraw/zinc/68/30/66/420683066.db2.gz SKYOGYRRTUMGLF-UHFFFAOYSA-N -1 1 320.356 1.815 20 0 DDADMM CC1(C)CCC[C@@]1(O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454256835 420826316 /nfs/dbraw/zinc/82/63/16/420826316.db2.gz PZEPOSVYXPPAIM-QGZVFWFLSA-N -1 1 315.373 1.594 20 0 DDADMM CCC(CC)(CNC(=O)OC(C)(C)C)C(=O)Nc1nnn[n-]1 ZINC000493948462 420971168 /nfs/dbraw/zinc/97/11/68/420971168.db2.gz HDVBWRAEUGLFJI-UHFFFAOYSA-N -1 1 312.374 1.469 20 0 DDADMM CCC(CC)(CNC(=O)OC(C)(C)C)C(=O)Nc1nn[n-]n1 ZINC000493948462 420971170 /nfs/dbraw/zinc/97/11/70/420971170.db2.gz HDVBWRAEUGLFJI-UHFFFAOYSA-N -1 1 312.374 1.469 20 0 DDADMM CCN1C[C@@H](NC(=O)c2cc(Cl)c([O-])c(OC)c2)CC1=O ZINC000456169015 421111869 /nfs/dbraw/zinc/11/18/69/421111869.db2.gz PCFRNOOKDYOZCR-VIFPVBQESA-N -1 1 312.753 1.405 20 0 DDADMM CS(=O)(=O)C[C@H]1CCCCN1C(=O)c1cc(F)ccc1[O-] ZINC000456185795 421116014 /nfs/dbraw/zinc/11/60/14/421116014.db2.gz HIGKHRVHBWLSBV-LLVKDONJSA-N -1 1 315.366 1.571 20 0 DDADMM CC(C)(C)CCC1(O)CC(C(=O)NC(C)(C)c2nn[n-]n2)C1 ZINC000450004399 421126190 /nfs/dbraw/zinc/12/61/90/421126190.db2.gz LDIWZDQEGLIFFF-UHFFFAOYSA-N -1 1 309.414 1.518 20 0 DDADMM CCS(=O)(=O)C1CN(C(=O)c2cc3ccccc3cc2[O-])C1 ZINC000456295417 421138103 /nfs/dbraw/zinc/13/81/03/421138103.db2.gz SHTNMCNJZOHGGX-UHFFFAOYSA-N -1 1 319.382 1.805 20 0 DDADMM CC(C)CCCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000450570699 421211354 /nfs/dbraw/zinc/21/13/54/421211354.db2.gz ZLPFPWBYJVCCCD-UHFFFAOYSA-N -1 1 300.380 1.804 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cnc3ccsc3c2)n1 ZINC000525752211 421300294 /nfs/dbraw/zinc/30/02/94/421300294.db2.gz PFYUBNGBSRLPLT-UHFFFAOYSA-N -1 1 323.359 1.070 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cnc3ccsc3c2)[n-]1 ZINC000525752211 421300296 /nfs/dbraw/zinc/30/02/96/421300296.db2.gz PFYUBNGBSRLPLT-UHFFFAOYSA-N -1 1 323.359 1.070 20 0 DDADMM O=C(COc1ccccc1Cl)NC1(c2nn[n-]n2)CCCC1 ZINC000524039256 421252573 /nfs/dbraw/zinc/25/25/73/421252573.db2.gz JQYOWPQOBTVDEB-UHFFFAOYSA-N -1 1 321.768 1.818 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCc2cccc(O)c21)c1nn[n-]n1 ZINC000560370945 421254201 /nfs/dbraw/zinc/25/42/01/421254201.db2.gz ARBYDEPZJUKBBH-KOLCDFICSA-N -1 1 301.350 1.197 20 0 DDADMM CCc1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c(C)nn1 ZINC000545449750 421259086 /nfs/dbraw/zinc/25/90/86/421259086.db2.gz GSGOPRQTOUMVNQ-UHFFFAOYSA-N -1 1 300.244 1.737 20 0 DDADMM CCc1ncc(S(=O)(=O)N=c2cc3ccccn3[n-]2)s1 ZINC000560452450 421266188 /nfs/dbraw/zinc/26/61/88/421266188.db2.gz YOYBTDQVIQVPRS-UHFFFAOYSA-N -1 1 308.388 1.576 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc2c(cc1O)CCCC2)c1nn[n-]n1 ZINC000548137372 421405504 /nfs/dbraw/zinc/40/55/04/421405504.db2.gz VWXAVDOFUXWOIJ-SNVBAGLBSA-N -1 1 315.377 1.660 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)CNC(=O)C3CC3)[nH][n-]2)c1 ZINC000548405380 421434695 /nfs/dbraw/zinc/43/46/95/421434695.db2.gz KPZQSMFUCHRRQQ-UHFFFAOYSA-N -1 1 316.336 1.411 20 0 DDADMM C[C@@H](O)[C@@H]1CCN(c2ccc(=NCc3ccccc3F)[n-]n2)C1 ZINC000563612225 421528384 /nfs/dbraw/zinc/52/83/84/421528384.db2.gz JCYJXYFBRGVXPY-TZMCWYRMSA-N -1 1 316.380 1.857 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)N=c2ccc(OC(C)C)n[n-]2)n[nH]1 ZINC000551950200 421558320 /nfs/dbraw/zinc/55/83/20/421558320.db2.gz SJHWNNCJDRXHSZ-SECBINFHSA-N -1 1 319.369 1.249 20 0 DDADMM COc1ccc(S(=O)(=O)N=c2cc3ccccn3[n-]2)cc1C ZINC000551952271 421559471 /nfs/dbraw/zinc/55/94/71/421559471.db2.gz UVOQQOYXDFPMOW-UHFFFAOYSA-N -1 1 317.370 1.874 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(F)(F)C[C@@H]2C)co1 ZINC000530018324 421572638 /nfs/dbraw/zinc/57/26/38/421572638.db2.gz RZROIKTXWORZPM-QMMMGPOBSA-N -1 1 322.333 1.448 20 0 DDADMM Cc1c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)ccn1C(C)C ZINC000551735773 421540492 /nfs/dbraw/zinc/54/04/92/421540492.db2.gz FYRJAICKACMBDU-LBPRGKRZSA-N -1 1 302.382 1.910 20 0 DDADMM CCc1ncncc1C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000554581121 421652785 /nfs/dbraw/zinc/65/27/85/421652785.db2.gz QDEUTBDJKJQDCN-UHFFFAOYSA-N -1 1 301.302 1.784 20 0 DDADMM Cn1[n-]c(CN2CCCN(c3ccc(F)c(F)c3)CC2)nc1=O ZINC000556529877 421715320 /nfs/dbraw/zinc/71/53/20/421715320.db2.gz IJCDGEOZGOOXIQ-UHFFFAOYSA-N -1 1 323.347 1.099 20 0 DDADMM O=S(=O)(N=c1cc2ccccn2[n-]1)c1ccc2c(c1)OCO2 ZINC000571469173 421718558 /nfs/dbraw/zinc/71/85/58/421718558.db2.gz JQLPEAYGNCQCLM-UHFFFAOYSA-N -1 1 317.326 1.286 20 0 DDADMM Cc1ccccc1NCCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000537122835 421727542 /nfs/dbraw/zinc/72/75/42/421727542.db2.gz RLUGTYOUXVFECU-CYBMUJFWSA-N -1 1 314.393 1.716 20 0 DDADMM CC(=O)[C@@H](C)CCCCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000537124032 421728182 /nfs/dbraw/zinc/72/81/82/421728182.db2.gz VYXFBEWNTWSDGC-WCQYABFASA-N -1 1 307.398 1.691 20 0 DDADMM COc1ccc(OCCCN2CCO[C@H](CC(=O)[O-])C2)cc1 ZINC000519167276 421683821 /nfs/dbraw/zinc/68/38/21/421683821.db2.gz NPRJQSUBNSAPFR-OAHLLOKOSA-N -1 1 309.362 1.640 20 0 DDADMM O=C(c1ccc2nccnc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538224700 421736975 /nfs/dbraw/zinc/73/69/75/421736975.db2.gz FEFDWWZJDVBEEK-LLVKDONJSA-N -1 1 309.333 1.163 20 0 DDADMM CN(C(=O)CCCc1nn[n-]n1)C1C2CC3CC(C2)CC1C3 ZINC000635277261 421878320 /nfs/dbraw/zinc/87/83/20/421878320.db2.gz OKHONCXKWBFMGG-UHFFFAOYSA-N -1 1 303.410 1.806 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1[C@H](C(=O)[O-])C[C@H]2CCCC[C@@H]21 ZINC000630097606 421886626 /nfs/dbraw/zinc/88/66/26/421886626.db2.gz KHSXOXQPRODTKC-RFHZTLPTSA-N -1 1 305.378 1.833 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1CCN(C)C[C@H]1c1ccccc1 ZINC000635299591 421888162 /nfs/dbraw/zinc/88/81/62/421888162.db2.gz BORTUTPHTDYLHK-INIZCTEOSA-N -1 1 314.389 1.607 20 0 DDADMM C[C@@H](CNC(=O)CCCc1nn[n-]n1)N(C)c1ccccc1 ZINC000635302886 421890851 /nfs/dbraw/zinc/89/08/51/421890851.db2.gz FHXDYZZKAKXAKB-LBPRGKRZSA-N -1 1 302.382 1.164 20 0 DDADMM COc1ccc([C@@H](CCO)NCc2cc(C(=O)[O-])nn2C)cc1 ZINC000635306901 421897900 /nfs/dbraw/zinc/89/79/00/421897900.db2.gz DTTSFKKAOZGIID-CQSZACIVSA-N -1 1 319.361 1.340 20 0 DDADMM COc1cccc([C@H]2C[C@H](N(C)C(=O)CCc3nn[n-]n3)C2)c1 ZINC000633363245 421863915 /nfs/dbraw/zinc/86/39/15/421863915.db2.gz ILZMADUQCDFYLH-JOCQHMNTSA-N -1 1 315.377 1.546 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630221813 421972859 /nfs/dbraw/zinc/97/28/59/421972859.db2.gz CLUUJIKYVGSGEI-UONOGXRCSA-N -1 1 314.426 1.445 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1ccc(C(F)F)o1)[N@@H+](C)C1CC1 ZINC000631895585 421919996 /nfs/dbraw/zinc/91/99/96/421919996.db2.gz UBVWNMCBRZDGCO-QMMMGPOBSA-N -1 1 308.350 1.978 20 0 DDADMM Cc1ccc(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1C ZINC000630223357 421973297 /nfs/dbraw/zinc/97/32/97/421973297.db2.gz IOSJPPWNBRMKDG-OAHLLOKOSA-N -1 1 318.417 1.853 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630221809 421973629 /nfs/dbraw/zinc/97/36/29/421973629.db2.gz CLUUJIKYVGSGEI-KBPBESRZSA-N -1 1 314.426 1.445 20 0 DDADMM Cn1ncnc1CCCNC(=O)c1ncc2ccccc2c1[O-] ZINC000627894648 421937652 /nfs/dbraw/zinc/93/76/52/421937652.db2.gz BEOCOERLFLNCOQ-UHFFFAOYSA-N -1 1 311.345 1.432 20 0 DDADMM CCCCN(CCOC)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631933974 421952659 /nfs/dbraw/zinc/95/26/59/421952659.db2.gz NEDODTVBYRAILI-UHFFFAOYSA-N -1 1 317.407 1.838 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)c2cncc(C(=O)[O-])c2)CC1 ZINC000630204447 421958418 /nfs/dbraw/zinc/95/84/18/421958418.db2.gz MWJZATDAYTXNHY-UHFFFAOYSA-N -1 1 305.378 1.726 20 0 DDADMM CCC[C@@H](C(=O)[O-])n1ccc(=NC(=O)[C@H](C)Cc2cnc[nH]2)[nH]1 ZINC000630208246 421960784 /nfs/dbraw/zinc/96/07/84/421960784.db2.gz VFDOWCOBUAUGLB-PWSUYJOCSA-N -1 1 319.365 1.271 20 0 DDADMM CCOc1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)cc1 ZINC000630222064 421972077 /nfs/dbraw/zinc/97/20/77/421972077.db2.gz JTPIRLMQTWWTQQ-UHFFFAOYSA-N -1 1 320.389 1.706 20 0 DDADMM CCc1ccccc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630227076 421977950 /nfs/dbraw/zinc/97/79/50/421977950.db2.gz HGMBXLDRTHXXTL-UHFFFAOYSA-N -1 1 304.390 1.870 20 0 DDADMM CC(C)(C)n1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)n1 ZINC000630227313 421979341 /nfs/dbraw/zinc/97/93/41/421979341.db2.gz LAKXGQKQCSCJRY-UHFFFAOYSA-N -1 1 322.409 1.259 20 0 DDADMM C[C@@H](NC(=O)c1cn[nH]c1-c1ccccn1)c1nnc2n1CCC2 ZINC000573648551 421996029 /nfs/dbraw/zinc/99/60/29/421996029.db2.gz PLIWYZBCNSBQIU-SNVBAGLBSA-N -1 1 323.360 1.500 20 0 DDADMM CO[C@@H](CNC(=O)Cc1ccc([O-])c(Cl)c1)[C@@H]1CCOC1 ZINC000633607570 421996017 /nfs/dbraw/zinc/99/60/17/421996017.db2.gz XMTNNKDXWRNPJA-RISCZKNCSA-N -1 1 313.781 1.756 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NC[C@@H](C(=O)[O-])C1CCCCC1 ZINC000630267400 421998213 /nfs/dbraw/zinc/99/82/13/421998213.db2.gz PNUBFGCCQZHPFK-BXUZGUMPSA-N -1 1 307.394 1.986 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC[C@@H](OCCO)C1 ZINC000631986464 422002362 /nfs/dbraw/zinc/00/23/62/422002362.db2.gz DDFXFGLPKAEOSN-GFCCVEGCSA-N -1 1 313.781 1.588 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@@H](O)C(F)(F)C1 ZINC000633619510 422005503 /nfs/dbraw/zinc/00/55/03/422005503.db2.gz ZMKGIDHWCLGZPV-LLVKDONJSA-N -1 1 305.708 1.817 20 0 DDADMM CCCCCc1cc(C(=O)N2C[C@@H](OC)C[C@]2(C)C(=O)[O-])n[nH]1 ZINC000630315215 422019752 /nfs/dbraw/zinc/01/97/52/422019752.db2.gz FOQWULBTZKKFEH-BLLLJJGKSA-N -1 1 323.393 1.847 20 0 DDADMM CN(C[C@@H]1CCC[N@@H+](C)C1)C(=O)NCc1cccc(C(=O)[O-])c1 ZINC000635523857 422073592 /nfs/dbraw/zinc/07/35/92/422073592.db2.gz CQBOFUQZUYKOMR-CQSZACIVSA-N -1 1 319.405 1.868 20 0 DDADMM N=c1nc(N2CCN(Cc3ccc4[nH]ccc4c3)CC2)s[n-]1 ZINC000628189741 422075574 /nfs/dbraw/zinc/07/55/74/422075574.db2.gz LWARLZNVQROASY-UHFFFAOYSA-N -1 1 314.418 1.754 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)C[C@H]2CCOC2)c1 ZINC000632098980 422088017 /nfs/dbraw/zinc/08/80/17/422088017.db2.gz DHUDPRCHADPHIW-GHMZBOCLSA-N -1 1 315.391 1.494 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@H]1CCOc2ccccc21 ZINC000635541993 422091280 /nfs/dbraw/zinc/09/12/80/422091280.db2.gz UPPUMSHZNKIKGI-LLVKDONJSA-N -1 1 301.350 1.205 20 0 DDADMM Cc1cccc(N2CCN(C(=O)CCc3nn[n-]n3)CC2)c1C ZINC000630433995 422099058 /nfs/dbraw/zinc/09/90/58/422099058.db2.gz ATEUJMQHBSMCKL-UHFFFAOYSA-N -1 1 314.393 1.098 20 0 DDADMM Cc1ccccc1OCCCNC(=O)CCCc1nn[n-]n1 ZINC000635502958 422047593 /nfs/dbraw/zinc/04/75/93/422047593.db2.gz AKLFJEFIUVQWQZ-UHFFFAOYSA-N -1 1 303.366 1.416 20 0 DDADMM CC[C@@H](C(=O)N=c1[nH][n-]c(C)c1C(=O)NC)c1ccc(F)cc1 ZINC000633691188 422052977 /nfs/dbraw/zinc/05/29/77/422052977.db2.gz FFLVYSGRYMTFKJ-GFCCVEGCSA-N -1 1 318.352 1.771 20 0 DDADMM CC[C@@H](C[C@@H](C)CO)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632053635 422054419 /nfs/dbraw/zinc/05/44/19/422054419.db2.gz XHLLRZYUHWRBKQ-MNOVXSKESA-N -1 1 317.407 1.476 20 0 DDADMM CN(C)[C@H](CNC(=O)CCc1nn[n-]n1)c1ccccc1Cl ZINC000630497090 422141602 /nfs/dbraw/zinc/14/16/02/422141602.db2.gz JIRNUMAMKDXONB-GFCCVEGCSA-N -1 1 322.800 1.205 20 0 DDADMM CN(C(=O)Cc1ccc([O-])c(Cl)c1)[C@H]1CCS(=O)(=O)C1 ZINC000630570536 422187866 /nfs/dbraw/zinc/18/78/66/422187866.db2.gz BDESIPKWKONLPS-JTQLQIEISA-N -1 1 317.794 1.234 20 0 DDADMM C/C=C/C[C@@H](CO)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632228067 422178134 /nfs/dbraw/zinc/17/81/34/422178134.db2.gz RIMYQSMEROFWHL-FSIBCCDJSA-N -1 1 301.364 1.006 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)C[C@H]2CCC[C@@H]2O)c1 ZINC000632143735 422118214 /nfs/dbraw/zinc/11/82/14/422118214.db2.gz YZPTZPHIJMJFIC-PWSUYJOCSA-N -1 1 315.391 1.182 20 0 DDADMM CC[C@H](C)n1ncc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1C ZINC000574573064 422134857 /nfs/dbraw/zinc/13/48/57/422134857.db2.gz WGVYKGXFIUDERQ-JQWIXIFHSA-N -1 1 317.397 1.695 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCO[C@@H]2CCCCO2)sn1 ZINC000632293990 422228359 /nfs/dbraw/zinc/22/83/59/422228359.db2.gz DINXALXEVLYTDQ-LLVKDONJSA-N -1 1 320.436 1.663 20 0 DDADMM CO[C@@H](c1ccccc1Cl)[C@H](C)NC(=O)CCc1nn[n-]n1 ZINC000632326081 422251168 /nfs/dbraw/zinc/25/11/68/422251168.db2.gz OABHPSCCWUBTRG-LKFCYVNXSA-N -1 1 323.784 1.678 20 0 DDADMM CO[C@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)[C@@](C)(CO)C1 ZINC000634077054 422256547 /nfs/dbraw/zinc/25/65/47/422256547.db2.gz ZTJNSINOADLFCX-IAQYHMDHSA-N -1 1 313.781 1.586 20 0 DDADMM CC[C@@H](C)Cc1noc(C[N-]S(=O)(=O)CCOC(C)C)n1 ZINC000632248009 422192515 /nfs/dbraw/zinc/19/25/15/422192515.db2.gz HBNULESWXWOOHP-LLVKDONJSA-N -1 1 319.427 1.503 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C2CC2)C(C)(C)CO)sn1 ZINC000632347267 422266261 /nfs/dbraw/zinc/26/62/61/422266261.db2.gz MMKHNNBLJLMRGE-LLVKDONJSA-N -1 1 304.437 1.527 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@](C)(OC)C2CC2)c1 ZINC000632351414 422269189 /nfs/dbraw/zinc/26/91/89/422269189.db2.gz CMTIUUJYSCTRPV-AWEZNQCLSA-N -1 1 315.391 1.494 20 0 DDADMM COCC(C)(C)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632360455 422273936 /nfs/dbraw/zinc/27/39/36/422273936.db2.gz WXSOYVVJRKSEEB-UHFFFAOYSA-N -1 1 303.380 1.352 20 0 DDADMM C[C@H](CCCO)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632389589 422299243 /nfs/dbraw/zinc/29/92/43/422299243.db2.gz AATIZVNGMMVSIP-SSDOTTSWSA-N -1 1 315.317 1.115 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCOC2(C)C)c1 ZINC000632390993 422301593 /nfs/dbraw/zinc/30/15/93/422301593.db2.gz PJQBDTPQOXLEPF-GFCCVEGCSA-N -1 1 301.364 1.247 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@H](Cc2ccncc2)C1 ZINC000635789354 422344589 /nfs/dbraw/zinc/34/45/89/422344589.db2.gz JJLXXCDGPYDOPW-CYBMUJFWSA-N -1 1 300.366 1.009 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cnn(CC2CC2)c1 ZINC000630802774 422345716 /nfs/dbraw/zinc/34/57/16/422345716.db2.gz LASSYBAFBZCSSF-UHFFFAOYSA-N -1 1 300.244 1.682 20 0 DDADMM C[C@H]1CCn2cc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)nc2C1 ZINC000630803231 422345956 /nfs/dbraw/zinc/34/59/56/422345956.db2.gz PPVXIWOTQURDHJ-LURJTMIESA-N -1 1 314.271 1.855 20 0 DDADMM C[C@@](CO)(Cc1ccc(F)cc1)NC(=O)c1cncc([O-])c1 ZINC000634293930 422370127 /nfs/dbraw/zinc/37/01/27/422370127.db2.gz GJOMAJNRADMPLS-INIZCTEOSA-N -1 1 304.321 1.650 20 0 DDADMM CC[C@H]1C[C@H](NS(=O)(=O)c2cc(OC)ccc2[O-])CCO1 ZINC000632474935 422374802 /nfs/dbraw/zinc/37/48/02/422374802.db2.gz OOPFFQUNMSJPHJ-MNOVXSKESA-N -1 1 315.391 1.637 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]Cc1nc(C(F)F)no1)C(C)C ZINC000632479532 422378766 /nfs/dbraw/zinc/37/87/66/422378766.db2.gz DETDQSDALULTMV-ZETCQYMHSA-N -1 1 313.326 1.098 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CC23CCOCC3)c1 ZINC000632413812 422324536 /nfs/dbraw/zinc/32/45/36/422324536.db2.gz MIHHHCSJENIMKD-CYBMUJFWSA-N -1 1 313.375 1.248 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCC[C@@H]1[C@H]1CCCC[C@@H]1O ZINC000635884851 422441480 /nfs/dbraw/zinc/44/14/80/422441480.db2.gz MQCIVFHKKVSZGQ-MCIONIFRSA-N -1 1 321.425 1.455 20 0 DDADMM CN1CCOC[C@H]1CNC(=O)c1ncc2ccccc2c1[O-] ZINC000628912982 422383017 /nfs/dbraw/zinc/38/30/17/422383017.db2.gz JARYEQPDIIHZPN-GFCCVEGCSA-N -1 1 301.346 1.001 20 0 DDADMM COc1ccc2c(c1)CCN(C(=O)CCCc1nn[n-]n1)CC2 ZINC000635836332 422390763 /nfs/dbraw/zinc/39/07/63/422390763.db2.gz ADVANPJOJCMNPM-UHFFFAOYSA-N -1 1 315.377 1.158 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)CC2CCC2)co1 ZINC000634369082 422409416 /nfs/dbraw/zinc/40/94/16/422409416.db2.gz SDVHJXAHCAOOBD-SECBINFHSA-N -1 1 300.380 1.496 20 0 DDADMM O=C(N=c1nc(C2CCOCC2)[n-]s1)c1[nH]nc2c1CCC2 ZINC000629099674 422473776 /nfs/dbraw/zinc/47/37/76/422473776.db2.gz JYKSIEYTPQQZAY-UHFFFAOYSA-N -1 1 319.390 1.318 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)[C@H](C)O1 ZINC000632648815 422494318 /nfs/dbraw/zinc/49/43/18/422494318.db2.gz VATYWIIBOROEEL-PTZCXBDSSA-N -1 1 309.309 1.948 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-][C@H](C(C)(C)C)C(F)(F)F ZINC000629350306 422625015 /nfs/dbraw/zinc/62/50/15/422625015.db2.gz LMCGGPYZGXQMTC-SSDOTTSWSA-N -1 1 300.306 1.070 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCOC[C@@]12CCOC2 ZINC000632871352 422634755 /nfs/dbraw/zinc/63/47/55/422634755.db2.gz FTTWRIOGLSGCJH-HNNXBMFYSA-N -1 1 311.765 1.606 20 0 DDADMM COC(=O)c1cncc([N-]S(=O)(=O)C[C@H](OC)C2CC2)c1 ZINC000578769306 422645270 /nfs/dbraw/zinc/64/52/70/422645270.db2.gz PTFKOJHBJZIYLQ-LBPRGKRZSA-N -1 1 314.363 1.035 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC000629490685 422699220 /nfs/dbraw/zinc/69/92/20/422699220.db2.gz WUCCHTMZYMOCNJ-GHMZBOCLSA-N -1 1 324.343 1.830 20 0 DDADMM Cc1ncc([C@H](C)N2CCN(c3nc(=N)[n-]s3)CC2)c(C)n1 ZINC000638171396 422725671 /nfs/dbraw/zinc/72/56/71/422725671.db2.gz VKCJMUJXNOVPMC-JTQLQIEISA-N -1 1 319.438 1.241 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC(F)(F)c1ccc(F)cc1 ZINC000636228588 422731955 /nfs/dbraw/zinc/73/19/55/422731955.db2.gz POJKRVBIUCFVJV-UHFFFAOYSA-N -1 1 313.283 1.570 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2cscn2)c1 ZINC000179251242 263357063 /nfs/dbraw/zinc/35/70/63/263357063.db2.gz ILRNMJHGGYNSPM-UHFFFAOYSA-N -1 1 316.360 1.044 20 0 DDADMM C[C@H]1CN(C(C)(C)CNc2ccnc(C(=O)[O-])c2)C[C@H](C)O1 ZINC000650764972 423250599 /nfs/dbraw/zinc/25/05/99/423250599.db2.gz VFKBOFCAZWJVNQ-RYUDHWBXSA-N -1 1 307.394 1.501 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](C)c1ccc(Cl)cc1 ZINC000653028966 423265118 /nfs/dbraw/zinc/26/51/18/423265118.db2.gz YHZKDELRWNZCDZ-MRVPVSSYSA-N -1 1 320.780 1.895 20 0 DDADMM O=C([O-])CC[C@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000648452532 423365808 /nfs/dbraw/zinc/36/58/08/423365808.db2.gz SVUARJUFIDJMIF-NEPJUHHUSA-N -1 1 305.378 1.933 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NC[C@@](C)(C(=O)[O-])c1ccccc1 ZINC000653323712 423441437 /nfs/dbraw/zinc/44/14/37/423441437.db2.gz YTPBOFXETXTWED-RHSMWYFYSA-N -1 1 304.390 1.629 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCCNC(=O)c1ccccc1 ZINC000646411649 423479508 /nfs/dbraw/zinc/47/95/08/423479508.db2.gz LXEWIGANSUEDIA-UHFFFAOYSA-N -1 1 302.334 1.572 20 0 DDADMM C[C@]12CCC(=O)N1[C@H](C(=O)Nc1c([O-])cccc1F)CS2 ZINC000648841122 423493515 /nfs/dbraw/zinc/49/35/15/423493515.db2.gz BYESEVCPOVAKLN-XPTSAGLGSA-N -1 1 310.350 1.924 20 0 DDADMM COc1cc(O)cc([N-]S(=O)(=O)C[C@H](OC)C(C)C)c1 ZINC000647129853 423769456 /nfs/dbraw/zinc/76/94/56/423769456.db2.gz FLWKFAKNQFDGFX-ZDUSSCGKSA-N -1 1 303.380 1.814 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@@H](C)c1nc(C)no1)C1CCCC1 ZINC000656891939 423840047 /nfs/dbraw/zinc/84/00/47/423840047.db2.gz VSLAVCWZLMBPDS-JOYOIKCWSA-N -1 1 317.411 1.564 20 0 DDADMM O=S(=O)(NC[C@@H]1CCCCN1C1CC1)c1ccccc1[O-] ZINC000656974121 423947242 /nfs/dbraw/zinc/94/72/42/423947242.db2.gz LJGWUXJOOWVLQW-ZDUSSCGKSA-N -1 1 310.419 1.687 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccnc1-n1cccn1)C(C)C ZINC000647265761 423929082 /nfs/dbraw/zinc/92/90/82/423929082.db2.gz ADLQZXDRDLHEED-ZDUSSCGKSA-N -1 1 324.406 1.680 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2c(F)cccc2F)c2ncnn21 ZINC000657065106 424065778 /nfs/dbraw/zinc/06/57/78/424065778.db2.gz ZUOFIIKYTIGLAH-XCBNKYQSSA-N -1 1 314.317 1.541 20 0 DDADMM COc1cc(CN[C@@H](C(=O)[O-])c2ccnn2C)cc(OC)c1O ZINC000647467434 424049296 /nfs/dbraw/zinc/04/92/96/424049296.db2.gz DFVQTWPYNRUHRD-CYBMUJFWSA-N -1 1 321.333 1.058 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2c(F)cccc2Cl)nn1 ZINC000657120634 424127499 /nfs/dbraw/zinc/12/74/99/424127499.db2.gz GDSOKRDHJUTGEK-UHFFFAOYSA-N -1 1 318.761 1.569 20 0 DDADMM O=S(=O)(N[C@@H]1CC[N@H+](C2CCCCC2)C1)c1cscn1 ZINC000657161277 424167195 /nfs/dbraw/zinc/16/71/95/424167195.db2.gz NNIDHYMISFSYDJ-LLVKDONJSA-N -1 1 315.464 1.828 20 0 DDADMM O=C(CNC(=O)N=c1[n-]sc2ccccc21)NCC(F)F ZINC000640339297 424360138 /nfs/dbraw/zinc/36/01/38/424360138.db2.gz PXWJTVBGNBGLNT-UHFFFAOYSA-N -1 1 314.317 1.221 20 0 DDADMM CC1(C)CNC(=O)[C@@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000660012239 424454625 /nfs/dbraw/zinc/45/46/25/424454625.db2.gz AVMGHCMSWVCUDQ-ZETCQYMHSA-N -1 1 308.812 1.204 20 0 DDADMM O=C([O-])CCC1CCN(CC(=O)NC(C2CC2)C2CC2)CC1 ZINC000662218911 424482611 /nfs/dbraw/zinc/48/26/11/424482611.db2.gz WYXNFGLHSIXFBR-UHFFFAOYSA-N -1 1 308.422 1.868 20 0 DDADMM C[C@]1(C2CC2)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000664308235 424527288 /nfs/dbraw/zinc/52/72/88/424527288.db2.gz XEMFAMCXLFFIHN-QGZVFWFLSA-N -1 1 313.357 1.564 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NC[C@@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665307521 424778578 /nfs/dbraw/zinc/77/85/78/424778578.db2.gz JBBKRZBBBXJFIF-NOZJJQNGSA-N -1 1 308.382 1.449 20 0 DDADMM Cn1[n-]c(C(=O)NC[C@H](c2ccco2)N2CCCC2)cc1=O ZINC000665347929 424788916 /nfs/dbraw/zinc/78/89/16/424788916.db2.gz WEOYYKMJTMUDTE-GFCCVEGCSA-N -1 1 304.350 1.286 20 0 DDADMM CNC(=O)C[C@@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000323088322 271031136 /nfs/dbraw/zinc/03/11/36/271031136.db2.gz VOTZHKPTZNMPOC-SSDOTTSWSA-N -1 1 315.167 1.409 20 0 DDADMM C[C@H]1CCC[C@@H]1CNC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000342091837 271295319 /nfs/dbraw/zinc/29/53/19/271295319.db2.gz IZLPDSGTQNTBLX-WDEREUQCSA-N -1 1 324.454 1.218 20 0 DDADMM CC(=O)NC1CCC(N=c2nc(C(F)(F)F)[n-]s2)CC1 ZINC000342129211 271309544 /nfs/dbraw/zinc/30/95/44/271309544.db2.gz YJEBDBPFSOMECN-UHFFFAOYSA-N -1 1 308.329 1.838 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC1(CCO)CCCCC1)c2=O ZINC000344407073 272017622 /nfs/dbraw/zinc/01/76/22/272017622.db2.gz FDCJAPIQSFOOPZ-UHFFFAOYSA-N -1 1 318.377 1.489 20 0 DDADMM COc1cc(C(=O)Nc2ccncc2[O-])cc2c1OCCO2 ZINC000345364199 272230926 /nfs/dbraw/zinc/23/09/26/272230926.db2.gz ZQGRCWXLDFORMJ-UHFFFAOYSA-N -1 1 302.286 1.241 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC[C@@]2(CCCc3ccccc32)C1 ZINC000262168927 275003113 /nfs/dbraw/zinc/00/31/13/275003113.db2.gz CYMBBJOFUGKTPU-SFHVURJKSA-N -1 1 316.401 1.557 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CC(=O)N1C ZINC000282491291 278413044 /nfs/dbraw/zinc/41/30/44/278413044.db2.gz WESWQYGXWGFJAE-QMMMGPOBSA-N -1 1 316.279 1.714 20 0 DDADMM CC(C)[C@H](NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C(C)(C)O ZINC000287059113 280287256 /nfs/dbraw/zinc/28/72/56/280287256.db2.gz GQKABGFOBHZGHY-SJWFJVLYSA-N -1 1 305.382 1.259 20 0 DDADMM CC[C@@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C[C@H](C)O1 ZINC000287157469 280340952 /nfs/dbraw/zinc/34/09/52/280340952.db2.gz MEDLSFQQXALAIU-AMDODJAPSA-N -1 1 303.366 1.373 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(CC(F)F)C1CC1 ZINC000067072447 280927454 /nfs/dbraw/zinc/92/74/54/280927454.db2.gz LPBLQVSNVUJMGA-UHFFFAOYSA-N -1 1 324.331 1.438 20 0 DDADMM O=C(NCCNC(=O)c1c([O-])cccc1F)c1ccc(F)cc1 ZINC000120669089 281122955 /nfs/dbraw/zinc/12/29/55/281122955.db2.gz FULKQORWIOSBQE-UHFFFAOYSA-N -1 1 320.295 1.830 20 0 DDADMM O=S(=O)([N-]CCCn1ccnc1)c1cc(F)ccc1F ZINC000128615864 281317906 /nfs/dbraw/zinc/31/79/06/281317906.db2.gz MBMBVDLFOCUJHQ-UHFFFAOYSA-N -1 1 301.318 1.530 20 0 DDADMM Cc1csc(NC(=O)CN2C[C@@H]3CCC[C@@]3(C(=O)[O-])C2)n1 ZINC000262213677 293040850 /nfs/dbraw/zinc/04/08/50/293040850.db2.gz UJKJKHVFCYUAAO-IINYFYTJSA-N -1 1 309.391 1.577 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCO[C@@H](C)C2)o1 ZINC000354288070 298392851 /nfs/dbraw/zinc/39/28/51/298392851.db2.gz BAMDXCZADFRBRY-UWVGGRQHSA-N -1 1 317.363 1.302 20 0 DDADMM Cc1nc(C(F)(F)F)sc1C(=O)N=c1ccc([O-])n[nH]1 ZINC000362172486 300021539 /nfs/dbraw/zinc/02/15/39/300021539.db2.gz SQVOEIWFBUNCMZ-UHFFFAOYSA-N -1 1 304.253 1.640 20 0 DDADMM Cc1nc(C(F)(F)F)sc1C(=O)N=c1ccc(O)n[n-]1 ZINC000362172486 300021540 /nfs/dbraw/zinc/02/15/40/300021540.db2.gz SQVOEIWFBUNCMZ-UHFFFAOYSA-N -1 1 304.253 1.640 20 0 DDADMM Cn1cc(C[C@H]2CCN(C(=O)c3cc(F)ccc3[O-])C2)cn1 ZINC000362846409 300158408 /nfs/dbraw/zinc/15/84/08/300158408.db2.gz GPEMPZOPJVQCLY-LLVKDONJSA-N -1 1 303.337 1.970 20 0 DDADMM O=C(N1CCO[C@@H](c2nn[n-]n2)C1)C1(Cc2ccccc2)CC1 ZINC000363365228 300257974 /nfs/dbraw/zinc/25/79/74/300257974.db2.gz GVMXAERMAAULSE-CYBMUJFWSA-N -1 1 313.361 1.123 20 0 DDADMM c1ccc(-c2nc(=NC[C@@H]3CN4CCCC[C@@H]4CO3)[n-]o2)cc1 ZINC000364278612 300367862 /nfs/dbraw/zinc/36/78/62/300367862.db2.gz JGMOBCVHKPYYHJ-HUUCEWRRSA-N -1 1 314.389 1.824 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC2(CCOC2=O)CC1 ZINC000367697916 300871878 /nfs/dbraw/zinc/87/18/78/300871878.db2.gz DPOLVLDTYUIFEE-UHFFFAOYSA-N -1 1 311.284 1.840 20 0 DDADMM CCc1nncn1CC[N-]S(=O)(=O)c1sccc1Cl ZINC000575000300 304704604 /nfs/dbraw/zinc/70/46/04/304704604.db2.gz NKQZIMVNAVSVHF-UHFFFAOYSA-N -1 1 320.827 1.534 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H]3CCCCC[C@H]32)co1 ZINC000372310523 301548240 /nfs/dbraw/zinc/54/82/40/301548240.db2.gz DCDRVRZJGOAQPI-CMPLNLGQSA-N -1 1 312.391 1.592 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)c1 ZINC000374474009 301809632 /nfs/dbraw/zinc/80/96/32/301809632.db2.gz BQNSYZGURVOCGT-NWDGAFQWSA-N -1 1 315.329 1.655 20 0 DDADMM O=S(=O)([N-]C[C@@H]1[C@H]2COC[C@H]21)c1c(F)cccc1Cl ZINC000375663163 301975441 /nfs/dbraw/zinc/97/54/41/301975441.db2.gz IELZHARWMJLWAM-PSVAKVPMSA-N -1 1 305.758 1.650 20 0 DDADMM Cc1cc(F)ccc1[C@@H](O)CN1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000377495518 302185659 /nfs/dbraw/zinc/18/56/59/302185659.db2.gz RROHWMOJZQXWSK-RISCZKNCSA-N -1 1 320.368 1.871 20 0 DDADMM CCC[C@@]1(CO)CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000377526280 302189547 /nfs/dbraw/zinc/18/95/47/302189547.db2.gz UVHRRONCYGAATD-QGZVFWFLSA-N -1 1 315.373 1.548 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@@H]1C1CC1)c1ccc(F)c(F)c1F ZINC000378324163 302312177 /nfs/dbraw/zinc/31/21/77/302312177.db2.gz RWWVJJNWWMEQEF-NOZJJQNGSA-N -1 1 321.320 1.950 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[O-])CN1CC(=O)N(C)Cc1cccs1 ZINC000397020955 302351737 /nfs/dbraw/zinc/35/17/37/302351737.db2.gz RKFVRBWWESZOQT-RYUDHWBXSA-N -1 1 310.419 1.892 20 0 DDADMM CCSCC[C@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000357373709 306879715 /nfs/dbraw/zinc/87/97/15/306879715.db2.gz FLIPONFUFCVIQQ-ZETCQYMHSA-N -1 1 301.368 1.158 20 0 DDADMM O=C([O-])[C@@H]1c2ccoc2CCN1C[C@H](O)COc1ccccc1 ZINC000530168135 303178064 /nfs/dbraw/zinc/17/80/64/303178064.db2.gz ILHREOCGLNHXSB-LRDDRELGSA-N -1 1 317.341 1.703 20 0 DDADMM C[C@H](C[S@@](C)=O)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000541308624 303418895 /nfs/dbraw/zinc/41/88/95/303418895.db2.gz KZRDMOKLVVXWMR-DYBLOJMWSA-N -1 1 301.389 1.478 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H](C)C1CCCCC1 ZINC000544807659 303478547 /nfs/dbraw/zinc/47/85/47/303478547.db2.gz BQUQSNQCJABVAG-GHMZBOCLSA-N -1 1 317.393 1.752 20 0 DDADMM O=C(Nc1ccccc1N1CCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000547298233 303522570 /nfs/dbraw/zinc/52/25/70/303522570.db2.gz AADYPFYSNBDAIY-UHFFFAOYSA-N -1 1 324.344 1.270 20 0 DDADMM CC(C)[C@@]1(C)C[C@@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000547841356 303554140 /nfs/dbraw/zinc/55/41/40/303554140.db2.gz MCTJPSGUWICLJA-QMTHXVAHSA-N -1 1 309.439 1.281 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H](C)C(=O)NC(=O)NC2CC2)n1 ZINC000547989200 303566759 /nfs/dbraw/zinc/56/67/59/303566759.db2.gz VSGVWYLBSAQWHD-ZETCQYMHSA-N -1 1 310.379 1.213 20 0 DDADMM Cc1c(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)cnn1C ZINC000362692421 307025625 /nfs/dbraw/zinc/02/56/25/307025625.db2.gz QNJRHTYVEVEJOR-UHFFFAOYSA-N -1 1 314.305 1.039 20 0 DDADMM O=C(CCOc1ccccc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366646065 307078323 /nfs/dbraw/zinc/07/83/23/307078323.db2.gz UENUZBAKUIKOLC-NSHDSACASA-N -1 1 319.340 1.514 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1c(F)cccc1F ZINC000367052043 307083646 /nfs/dbraw/zinc/08/36/46/307083646.db2.gz HBPNDUCDJZYKHN-VHSXEESVSA-N -1 1 321.331 1.988 20 0 DDADMM O=C(c1ncccc1[O-])N1CCn2c(nnc2-c2cccnc2)C1 ZINC000370793954 307136497 /nfs/dbraw/zinc/13/64/97/307136497.db2.gz SVBPJQFENFLJIS-UHFFFAOYSA-N -1 1 322.328 1.097 20 0 DDADMM O=C(N[C@@H]1CCCC[C@@H]1N1CCOCC1)c1ncccc1[O-] ZINC000372498944 307168799 /nfs/dbraw/zinc/16/87/99/307168799.db2.gz ZBKNKNGOGOMXIA-OLZOCXBDSA-N -1 1 305.378 1.160 20 0 DDADMM Cc1nnc([C@H](NC(=O)c2ncccc2[O-])c2ccccc2)n1C ZINC000372925263 307176906 /nfs/dbraw/zinc/17/69/06/307176906.db2.gz NVAGDERSKLSGBB-CQSZACIVSA-N -1 1 323.356 1.744 20 0 DDADMM COC[C@H]1CCCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000376618268 307249874 /nfs/dbraw/zinc/24/98/74/307249874.db2.gz MEUXNSPDQUEKAI-DSVMPHHWSA-N -1 1 317.393 1.622 20 0 DDADMM CC(C)N(C(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1)C(C)C ZINC000377583188 307273528 /nfs/dbraw/zinc/27/35/28/307273528.db2.gz LRGVDJPLIDBSCK-UHFFFAOYSA-N -1 1 309.414 1.335 20 0 DDADMM C[C@@H](N=c1ccc(N(C)[C@H]2CCNC2=O)n[n-]1)c1ccccc1 ZINC000516279056 307414736 /nfs/dbraw/zinc/41/47/36/307414736.db2.gz COFNKDNTQRTENU-OCCSQVGLSA-N -1 1 311.389 1.396 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC1(CF)CCC1 ZINC000518775411 307445321 /nfs/dbraw/zinc/44/53/21/307445321.db2.gz PHHYFYVMBUGHLW-UHFFFAOYSA-N -1 1 320.368 1.993 20 0 DDADMM O=C(N=c1[n-]nc(C2CC2)s1)NCc1ccc2cncn2c1 ZINC000563089369 307954682 /nfs/dbraw/zinc/95/46/82/307954682.db2.gz IVLWRBWLNLBLDR-UHFFFAOYSA-N -1 1 314.374 1.807 20 0 DDADMM FC(F)Cn1cc(CN=c2[n-]ncn2Cc2ccccc2)cn1 ZINC000569209440 308136789 /nfs/dbraw/zinc/13/67/89/308136789.db2.gz DGXYRPLBHSZNHG-UHFFFAOYSA-N -1 1 318.331 1.822 20 0 DDADMM Cn1cc(Cl)c(CNC(=O)c2csc(=NC3CC3)[n-]2)n1 ZINC000569427527 308143830 /nfs/dbraw/zinc/14/38/30/308143830.db2.gz RCTMBOIKPIMLIO-UHFFFAOYSA-N -1 1 311.798 1.456 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)[C@@H](C)O1 ZINC000574738732 308270891 /nfs/dbraw/zinc/27/08/91/308270891.db2.gz ALUOCATVUREOTI-MXWKQRLJSA-N -1 1 301.346 1.466 20 0 DDADMM O[C@@H]1CN(c2ccc(=NCc3ccccc3F)[n-]n2)CC12CC2 ZINC000576135176 308291895 /nfs/dbraw/zinc/29/18/95/308291895.db2.gz PAAABGMSRLKSLA-CQSZACIVSA-N -1 1 314.364 1.611 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC(C1CCC1)C1CCC1 ZINC000576372170 308310471 /nfs/dbraw/zinc/31/04/71/308310471.db2.gz KQPGLHGVMSTJDQ-UHFFFAOYSA-N -1 1 307.350 1.595 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1c(F)ccc([O-])c1F)CC1CC1 ZINC000576831277 308343238 /nfs/dbraw/zinc/34/32/38/308343238.db2.gz DNOXTHZYINQABV-SECBINFHSA-N -1 1 313.300 1.990 20 0 DDADMM C[C@@H](c1nnnn1-c1ccccc1)N1C[C@@H](C)[C@](C)(C(=O)[O-])C1 ZINC000577500106 308393042 /nfs/dbraw/zinc/39/30/42/308393042.db2.gz PGDKYKHYILCYSJ-BFQNTYOBSA-N -1 1 315.377 1.766 20 0 DDADMM C[C@@H]1C[C@H](O)CN1C(=O)c1cc(Br)ccc1[O-] ZINC000384875111 325752914 /nfs/dbraw/zinc/75/29/14/325752914.db2.gz YXSBBFXJOVISPX-APPZFPTMSA-N -1 1 300.152 1.750 20 0 DDADMM CCN(CC)CCS(=O)(=O)N[C@H]1CCCCC[C@H]1C(=O)[O-] ZINC000584171020 331852163 /nfs/dbraw/zinc/85/21/63/331852163.db2.gz YGWQRANJMUNIHC-OLZOCXBDSA-N -1 1 320.455 1.281 20 0 DDADMM Cn1cc(S(=O)(=O)Nc2ccccc2CC#N)cc1C(=O)[O-] ZINC000193361936 340576781 /nfs/dbraw/zinc/57/67/81/340576781.db2.gz WSSGCFDYIRCEPT-UHFFFAOYSA-N -1 1 319.342 1.590 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NC1(CCO)CC1)c2=O ZINC000412360481 484035572 /nfs/dbraw/zinc/03/55/72/484035572.db2.gz LMAXOFZYVLKHAM-UHFFFAOYSA-N -1 1 302.330 1.182 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)n1cccn1 ZINC000425302912 484116148 /nfs/dbraw/zinc/11/61/48/484116148.db2.gz NSEAUXIYRPQYFV-WDEREUQCSA-N -1 1 305.338 1.329 20 0 DDADMM O=C(c1ncccc1Cl)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425303803 484116171 /nfs/dbraw/zinc/11/61/71/484116171.db2.gz PCFZKGUOSQSGCL-QMMMGPOBSA-N -1 1 308.725 1.843 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cnn(Cc2ccccn2)c1 ZINC000193322642 484126006 /nfs/dbraw/zinc/12/60/06/484126006.db2.gz ANHVBPIHPRJGFY-NSHDSACASA-N -1 1 310.379 1.103 20 0 DDADMM COc1cc(/C=C\c2cc(=O)n3[n-]cnc3n2)cc(OC)c1O ZINC000436932581 484127730 /nfs/dbraw/zinc/12/77/30/484127730.db2.gz OJSZBNAFCLZWRI-ARJAWSKDSA-N -1 1 314.301 1.311 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](C(F)(F)F)CN(CCO[C@@H]2CC2(F)F)C1 ZINC000656756563 484315975 /nfs/dbraw/zinc/31/59/75/484315975.db2.gz GCSBLKMFHMTXJF-HRDYMLBCSA-N -1 1 317.254 1.996 20 0 DDADMM CN(C)c1noc(CN2CC[C@H](C(=O)[O-])[C@@H]3CCCC[C@@H]32)n1 ZINC000656763293 484319168 /nfs/dbraw/zinc/31/91/68/484319168.db2.gz AVALYXILYFOCTD-SRVKXCTJSA-N -1 1 308.382 1.601 20 0 DDADMM O=C(c1ccc(I)c([O-])c1)N1CCC1 ZINC000307185068 484376975 /nfs/dbraw/zinc/37/69/75/484376975.db2.gz GZUBXXOSSOELFG-UHFFFAOYSA-N -1 1 303.099 1.843 20 0 DDADMM CC1(C(=O)[O-])CCN(CC(=O)NCCOc2ccccc2)CC1 ZINC000320394520 484401884 /nfs/dbraw/zinc/40/18/84/484401884.db2.gz AUQZHCWLBWMCOG-UHFFFAOYSA-N -1 1 320.389 1.368 20 0 DDADMM COc1cccc([C@H](CNC(=O)C2(C(=O)[O-])CCC2)N(C)C)c1 ZINC000320194237 484402280 /nfs/dbraw/zinc/40/22/80/484402280.db2.gz BOIFPDJVFHDNAJ-AWEZNQCLSA-N -1 1 320.389 1.669 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCN(CC(F)F)CC1 ZINC000667602674 484686004 /nfs/dbraw/zinc/68/60/04/484686004.db2.gz HOYFAALFHWZTIF-UHFFFAOYSA-N -1 1 321.327 1.963 20 0 DDADMM C[C@@](NC(=O)[C@@H]1CCCc2[nH]ncc21)(C(=O)[O-])c1ccccc1 ZINC000663141175 484699143 /nfs/dbraw/zinc/69/91/43/484699143.db2.gz KMCBLIYYFYPSRV-PXAZEXFGSA-N -1 1 313.357 1.946 20 0 DDADMM CC[C@H](NC(=O)NC[C@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663271819 484778812 /nfs/dbraw/zinc/77/88/12/484778812.db2.gz QNQFYEMKSJWGAR-KGLIPLIRSA-N -1 1 305.378 1.423 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(Cc1ccc(C)o1)C1CC1 ZINC000667940319 484861424 /nfs/dbraw/zinc/86/14/24/484861424.db2.gz YXFMNSMVKNAKRK-UHFFFAOYSA-N -1 1 319.317 1.603 20 0 DDADMM C[C@H](c1ccccc1)n1ccc([N-]S(=O)(=O)N2CCC2)n1 ZINC000671660550 485160595 /nfs/dbraw/zinc/16/05/95/485160595.db2.gz AMDVKRLWYAPFFT-GFCCVEGCSA-N -1 1 306.391 1.855 20 0 DDADMM CO[C@H](C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@@H]1CCOC1 ZINC000666314190 485169760 /nfs/dbraw/zinc/16/97/60/485169760.db2.gz NTRINXWUJLRSMV-ABAIWWIYSA-N -1 1 317.345 1.772 20 0 DDADMM O=C(CCCNC(=O)c1ncc2ccccc2c1[O-])NC1CC1 ZINC000666552167 485270308 /nfs/dbraw/zinc/27/03/08/485270308.db2.gz JACCRPDIFZCSII-UHFFFAOYSA-N -1 1 313.357 1.729 20 0 DDADMM CCNC(=O)CN(CC)C(=O)c1ncc2ccccc2c1[O-] ZINC000666895257 485373256 /nfs/dbraw/zinc/37/32/56/485373256.db2.gz KVYUFMZNKRSSBZ-UHFFFAOYSA-N -1 1 301.346 1.539 20 0 DDADMM CC(C)[C@H](CNC(=O)C(=O)c1ccc([O-])cc1)c1ccnn1C ZINC000673124350 485390264 /nfs/dbraw/zinc/39/02/64/485390264.db2.gz VOPOQXJSCSNRRB-AWEZNQCLSA-N -1 1 315.373 1.864 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1noc2c1CCCCC2 ZINC000682029113 485465778 /nfs/dbraw/zinc/46/57/78/485465778.db2.gz LIMWZLICJBEPET-UHFFFAOYSA-N -1 1 304.335 1.695 20 0 DDADMM Cc1ccc(Cc2nc(C(=O)NCc3nn[n-]n3)cs2)cc1 ZINC000678203629 485487015 /nfs/dbraw/zinc/48/70/15/485487015.db2.gz WBNGZKZMLKGEFN-UHFFFAOYSA-N -1 1 314.374 1.485 20 0 DDADMM Cc1cc(N2CCC(NC(=O)c3ccc([O-])c(F)c3)CC2)n[nH]1 ZINC000682247124 485542648 /nfs/dbraw/zinc/54/26/48/485542648.db2.gz AOYFFPGEAFFFMT-UHFFFAOYSA-N -1 1 318.352 1.962 20 0 DDADMM Cc1c(F)c(C(F)(F)F)ccc1C(=O)NCc1nn[n-]n1 ZINC000682361239 485566272 /nfs/dbraw/zinc/56/62/72/485566272.db2.gz QQOTWHNFWYDEEH-UHFFFAOYSA-N -1 1 303.219 1.596 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CC=CCC2)o1 ZINC000679299628 485837987 /nfs/dbraw/zinc/83/79/87/485837987.db2.gz ICZUPQNFDVPGAA-UHFFFAOYSA-N -1 1 312.391 1.759 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CCOC[C@H]2C)c(F)c1 ZINC000683342425 485961004 /nfs/dbraw/zinc/96/10/04/485961004.db2.gz UHUKFTSJJLHYNQ-PELKAZGASA-N -1 1 321.345 1.677 20 0 DDADMM CC(C)(c1ccc(C(=O)NCc2nn[n-]n2)cc1)C(F)(F)F ZINC000683408147 485988226 /nfs/dbraw/zinc/98/82/26/485988226.db2.gz KWGRVJQDPGVVOZ-UHFFFAOYSA-N -1 1 313.283 1.970 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2nc3c(cnn3C)c(=O)[nH]2)n1 ZINC000683454591 486004962 /nfs/dbraw/zinc/00/49/62/486004962.db2.gz QCMXZZLCAKDUGP-UHFFFAOYSA-N -1 1 318.362 1.419 20 0 DDADMM COc1ccc(C(=O)NCc2nn[n-]n2)cc1OC1CCCC1 ZINC000680195674 486109222 /nfs/dbraw/zinc/10/92/22/486109222.db2.gz FVMGYEYOXQWFKY-UHFFFAOYSA-N -1 1 317.349 1.460 20 0 DDADMM CCCc1c(C(=O)NCc2nn[n-]n2)cnn1-c1ccccc1 ZINC000680195951 486110132 /nfs/dbraw/zinc/11/01/32/486110132.db2.gz FZIFWPWDLDYPFO-UHFFFAOYSA-N -1 1 311.349 1.268 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCOC[C@@H]2CCOC2)sc1C ZINC000676414938 486222094 /nfs/dbraw/zinc/22/20/94/486222094.db2.gz ADCMXUNQRYBJTG-LLVKDONJSA-N -1 1 320.436 1.091 20 0 DDADMM CC1N=NC(C(=O)Nc2cccc(CN3CCOCC3)c2)=C1[O-] ZINC000676631727 486274771 /nfs/dbraw/zinc/27/47/71/486274771.db2.gz DAKAPUUXKHEROL-UHFFFAOYSA-N -1 1 316.361 1.508 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cc(C)ccc2NS(C)(=O)=O)c1[O-] ZINC000676707916 486297583 /nfs/dbraw/zinc/29/75/83/486297583.db2.gz NWBDAVIQIIDNBN-UHFFFAOYSA-N -1 1 324.362 1.356 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(Cc2ccccn2)CC1 ZINC000681015110 486324076 /nfs/dbraw/zinc/32/40/76/486324076.db2.gz DEBQLDFZVQZCKC-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)c2ccc([O-])c(F)c2)C1 ZINC000681047062 486330798 /nfs/dbraw/zinc/33/07/98/486330798.db2.gz TXLNMPKUNOVMSW-RYUDHWBXSA-N -1 1 308.353 1.908 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)CCCO)c(F)c1 ZINC000676865011 486350518 /nfs/dbraw/zinc/35/05/18/486350518.db2.gz SYGYXAFEXVNAOC-MRVPVSSYSA-N -1 1 309.334 1.413 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H](O)C2CC2)c(F)c1 ZINC000676890145 486355879 /nfs/dbraw/zinc/35/58/79/486355879.db2.gz SPAPLSAQJPCQSW-NSHDSACASA-N -1 1 307.318 1.023 20 0 DDADMM C/C=C/C[C@H](CO)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000681157303 486361669 /nfs/dbraw/zinc/36/16/69/486361669.db2.gz ZVZGYVNUDNYLCJ-CDAZIORVSA-N -1 1 321.345 1.579 20 0 DDADMM O=C(CC1(CSc2ccccc2)CC1)NCc1nn[n-]n1 ZINC000681190327 486367691 /nfs/dbraw/zinc/36/76/91/486367691.db2.gz VJGCVURWDQXJQU-UHFFFAOYSA-N -1 1 303.391 1.779 20 0 DDADMM Cc1cnc(C(=O)N(C)CC(=O)N(C)C2CCCCC2)c([O-])c1 ZINC000681711999 486498122 /nfs/dbraw/zinc/49/81/22/486498122.db2.gz WVQHQGSUDLHRDL-UHFFFAOYSA-N -1 1 319.405 1.959 20 0 DDADMM Cc1ccc(Cl)cc1S(=O)(=O)[N-]c1cc(C(N)=O)[nH]n1 ZINC000677644622 486512169 /nfs/dbraw/zinc/51/21/69/486512169.db2.gz BINRCSYXYRRTQN-UHFFFAOYSA-N -1 1 314.754 1.271 20 0 DDADMM COc1ccc(NC(=O)/C=C\C2CC2)cc1[N-]S(C)(=O)=O ZINC000491906498 534024363 /nfs/dbraw/zinc/02/43/63/534024363.db2.gz GTQLJDLZUWJBCP-YVMONPNESA-N -1 1 310.375 1.971 20 0 DDADMM COC(=O)c1ccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)n1C ZINC000294381942 534048384 /nfs/dbraw/zinc/04/83/84/534048384.db2.gz BNSLGZXUPOHXCM-UHFFFAOYSA-N -1 1 317.227 1.201 20 0 DDADMM Cc1ccc(CN[C@@]2(C(=O)[O-])CCc3c2cccc3F)nn1 ZINC000417564863 534086590 /nfs/dbraw/zinc/08/65/90/534086590.db2.gz JKHOHULXIOQCQM-INIZCTEOSA-N -1 1 301.321 1.940 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H](CC(C)C)C(N)=O)c1 ZINC000412321829 534231815 /nfs/dbraw/zinc/23/18/15/534231815.db2.gz QPCFPHWFHFGEJG-SNVBAGLBSA-N -1 1 308.334 1.265 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)C[C@@H]2CCCO2)c1F ZINC000295583341 534278265 /nfs/dbraw/zinc/27/82/65/534278265.db2.gz ZRMLGGNPPKWLON-VIFPVBQESA-N -1 1 317.338 1.533 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000436937908 534528706 /nfs/dbraw/zinc/52/87/06/534528706.db2.gz HIPLOGNXPQRAAR-AWEZNQCLSA-N -1 1 313.353 1.542 20 0 DDADMM O=C(CCNC(=O)c1cc(Cl)ccc1[O-])N1CCOCC1 ZINC000158119302 526503856 /nfs/dbraw/zinc/50/38/56/526503856.db2.gz PJPXZWOUGDRWSP-UHFFFAOYSA-N -1 1 312.753 1.024 20 0 DDADMM CO[C@H](C)c1nc(=NC[C@H]2CCN(CC(F)(F)F)C2)s[n-]1 ZINC000336847183 536498172 /nfs/dbraw/zinc/49/81/72/536498172.db2.gz UHPGWTVYSCWHKN-RKDXNWHRSA-N -1 1 324.372 1.964 20 0 DDADMM CCN(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425179394 527753845 /nfs/dbraw/zinc/75/38/45/527753845.db2.gz UKCSDBBXMYCKTK-SECBINFHSA-N -1 1 320.361 1.418 20 0 DDADMM CCOC(=O)[C@@H](NCc1nc(=O)n(C)[n-]1)c1cccc(C)c1C ZINC000459630656 527811586 /nfs/dbraw/zinc/81/15/86/527811586.db2.gz PROBXRYPEBCUCA-AWEZNQCLSA-N -1 1 318.377 1.119 20 0 DDADMM CC(C)OC(=O)[C@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000452012275 527863132 /nfs/dbraw/zinc/86/31/32/527863132.db2.gz LQUUBPPWDASSJV-QMMMGPOBSA-N -1 1 307.318 1.583 20 0 DDADMM CCC1CN(C(=O)CCc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000457313154 527872393 /nfs/dbraw/zinc/87/23/93/527872393.db2.gz WYEYEXUWFOMBRY-UHFFFAOYSA-N -1 1 302.378 1.853 20 0 DDADMM CCOc1cc(C(=O)N2C[C@@H](F)C[C@H]2CO)cc(Cl)c1[O-] ZINC000330588321 528219022 /nfs/dbraw/zinc/21/90/22/528219022.db2.gz OQQPHFVBMMTRHM-UWVGGRQHSA-N -1 1 317.744 1.989 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)c1cccnc1 ZINC000298128602 528286194 /nfs/dbraw/zinc/28/61/94/528286194.db2.gz XSONHDYSZPSEFN-VIFPVBQESA-N -1 1 324.362 1.021 20 0 DDADMM CCOC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccc(C)cc1C ZINC000298123786 528286218 /nfs/dbraw/zinc/28/62/18/528286218.db2.gz UNFJUFYDSTUITF-UHFFFAOYSA-N -1 1 324.358 1.971 20 0 DDADMM CCC[C@H](O)[C@H](CO)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000414026254 528320936 /nfs/dbraw/zinc/32/09/36/528320936.db2.gz WFUMPCRGZNVQRO-QWRGUYRKSA-N -1 1 317.769 1.306 20 0 DDADMM CCOC(=O)c1nn(C)cc1CN1CCC[C@H](C)[C@H]1C(=O)[O-] ZINC000424425058 528376025 /nfs/dbraw/zinc/37/60/25/528376025.db2.gz XWAQWDFQHXPWDY-GWCFXTLKSA-N -1 1 309.366 1.282 20 0 DDADMM CC(F)(F)C(=O)[N-][C@@H](C(N)=O)c1cccc(Br)c1 ZINC000292974563 528711692 /nfs/dbraw/zinc/71/16/92/528711692.db2.gz KQQPQMLOUKOTLE-MRVPVSSYSA-N -1 1 321.121 1.747 20 0 DDADMM CC1(C)C(=O)N[C@@H]1C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000294734234 528866785 /nfs/dbraw/zinc/86/67/85/528866785.db2.gz LUUMWKRYBPIACZ-CYBMUJFWSA-N -1 1 303.362 1.164 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CC[C@H](C)C2)co1 ZINC000334070740 536311962 /nfs/dbraw/zinc/31/19/62/536311962.db2.gz PAIVLOZLMUOTFH-UWVGGRQHSA-N -1 1 300.380 1.354 20 0 DDADMM O=c1c(-c2nn[n-]n2)cn(CCCO)c2ccc(Cl)cc12 ZINC000738372983 598862233 /nfs/dbraw/zinc/86/22/33/598862233.db2.gz YTINTBMKPCBECC-UHFFFAOYSA-N -1 1 305.725 1.217 20 0 DDADMM CCOc1ccccc1OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736655249 598980243 /nfs/dbraw/zinc/98/02/43/598980243.db2.gz SGSXVLDKCZKOHI-UHFFFAOYSA-N -1 1 311.301 1.880 20 0 DDADMM CCOc1ccccc1OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736655249 598980245 /nfs/dbraw/zinc/98/02/45/598980245.db2.gz SGSXVLDKCZKOHI-UHFFFAOYSA-N -1 1 311.301 1.880 20 0 DDADMM CCc1nc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)sc1C ZINC000736706204 598992513 /nfs/dbraw/zinc/99/25/13/598992513.db2.gz RRWZKTCTNSBPGU-UHFFFAOYSA-N -1 1 315.362 1.841 20 0 DDADMM CCc1nc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)sc1C ZINC000736706204 598992515 /nfs/dbraw/zinc/99/25/15/598992515.db2.gz RRWZKTCTNSBPGU-UHFFFAOYSA-N -1 1 315.362 1.841 20 0 DDADMM O=C(Nc1cccc(F)c1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738183199 598999913 /nfs/dbraw/zinc/99/99/13/598999913.db2.gz JQUDBGMUTDZUOZ-UHFFFAOYSA-N -1 1 302.244 1.792 20 0 DDADMM O=C(Nc1cccc(F)c1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738183199 598999916 /nfs/dbraw/zinc/99/99/16/598999916.db2.gz JQUDBGMUTDZUOZ-UHFFFAOYSA-N -1 1 302.244 1.792 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)nc1)Nc1ccccc1 ZINC000737907945 599006151 /nfs/dbraw/zinc/00/61/51/599006151.db2.gz GBGYJYHHDXMHSB-UHFFFAOYSA-N -1 1 324.300 1.057 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)nc1)Nc1ccccc1 ZINC000737907945 599006153 /nfs/dbraw/zinc/00/61/53/599006153.db2.gz GBGYJYHHDXMHSB-UHFFFAOYSA-N -1 1 324.300 1.057 20 0 DDADMM CCC1(C)CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736397856 599027796 /nfs/dbraw/zinc/02/77/96/599027796.db2.gz XHZMOEWYTNUSOK-UHFFFAOYSA-N -1 1 300.366 1.914 20 0 DDADMM CCC1(C)CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736397856 599027798 /nfs/dbraw/zinc/02/77/98/599027798.db2.gz XHZMOEWYTNUSOK-UHFFFAOYSA-N -1 1 300.366 1.914 20 0 DDADMM O=C(N[C@@H]1C[C@@H]1Cc1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738018491 599091794 /nfs/dbraw/zinc/09/17/94/599091794.db2.gz BOCSXVCFSPRUKU-DZGCQCFKSA-N -1 1 320.356 1.623 20 0 DDADMM O=C(N[C@@H]1C[C@@H]1Cc1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738018491 599091796 /nfs/dbraw/zinc/09/17/96/599091796.db2.gz BOCSXVCFSPRUKU-DZGCQCFKSA-N -1 1 320.356 1.623 20 0 DDADMM O=C(Nc1cc(Cl)ccc1O)c1ccc(-c2nn[n-]n2)nc1 ZINC000738147435 599107912 /nfs/dbraw/zinc/10/79/12/599107912.db2.gz WIJQUJRGRSKJKZ-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM CSc1ccc(OCCn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000821983280 599123078 /nfs/dbraw/zinc/12/30/78/599123078.db2.gz FSEOXKBEJHLCQD-UHFFFAOYSA-N -1 1 303.351 1.259 20 0 DDADMM CC(C)C[C@@H]1NC(=O)N(CN2CCC[C@H](CC(=O)[O-])C2)C1=O ZINC000817869086 597041549 /nfs/dbraw/zinc/04/15/49/597041549.db2.gz YUXDXRBPYRLUMY-NEPJUHHUSA-N -1 1 311.382 1.097 20 0 DDADMM C[C@H](C(=O)[O-])N1CCN(C(=O)Cc2c[nH]c3ccccc23)CC1 ZINC000390450589 597057593 /nfs/dbraw/zinc/05/75/93/597057593.db2.gz ZXVLDCWSHJKCQQ-GFCCVEGCSA-N -1 1 315.373 1.328 20 0 DDADMM NC(=O)Cc1ccc(NCc2ccc(-c3nn[n-]n3)s2)cc1 ZINC000822873451 607309227 /nfs/dbraw/zinc/30/92/27/607309227.db2.gz AVCYDWMWDDRREK-UHFFFAOYSA-N -1 1 314.374 1.568 20 0 DDADMM Clc1nsc(NCc2nnc3n2CCC3)c1-c1nnn[n-]1 ZINC000822590077 607303785 /nfs/dbraw/zinc/30/37/85/607303785.db2.gz HKPNHNYHTFRVKJ-UHFFFAOYSA-N -1 1 323.773 1.126 20 0 DDADMM Clc1nsc(NCc2nnc3n2CCC3)c1-c1nn[n-]n1 ZINC000822590077 607303786 /nfs/dbraw/zinc/30/37/86/607303786.db2.gz HKPNHNYHTFRVKJ-UHFFFAOYSA-N -1 1 323.773 1.126 20 0 DDADMM C[C@H]1CCC[C@H](NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736249075 598263620 /nfs/dbraw/zinc/26/36/20/598263620.db2.gz DNDBPAJTBBNRQJ-UWVGGRQHSA-N -1 1 322.394 1.119 20 0 DDADMM C[C@H]1CCC[C@H](NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736249075 598263623 /nfs/dbraw/zinc/26/36/23/598263623.db2.gz DNDBPAJTBBNRQJ-UWVGGRQHSA-N -1 1 322.394 1.119 20 0 DDADMM c1ccc(N2CC[C@@H](CNc3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000738436507 598344762 /nfs/dbraw/zinc/34/47/62/598344762.db2.gz SATDMDMZEXNCQT-LBPRGKRZSA-N -1 1 322.376 1.595 20 0 DDADMM c1ccc(N2CC[C@@H](CNc3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000738436507 598344764 /nfs/dbraw/zinc/34/47/64/598344764.db2.gz SATDMDMZEXNCQT-LBPRGKRZSA-N -1 1 322.376 1.595 20 0 DDADMM CCCNC(=O)CCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736484161 598575771 /nfs/dbraw/zinc/57/57/71/598575771.db2.gz WVJDSUAZYKRWAW-UHFFFAOYSA-N -1 1 309.761 1.243 20 0 DDADMM CCCNC(=O)CCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736484161 598575773 /nfs/dbraw/zinc/57/57/73/598575773.db2.gz WVJDSUAZYKRWAW-UHFFFAOYSA-N -1 1 309.761 1.243 20 0 DDADMM C[C@@H](CN1CCCC1=O)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000735999479 598575914 /nfs/dbraw/zinc/57/59/14/598575914.db2.gz AWJAFVXWADXACV-QMMMGPOBSA-N -1 1 321.772 1.338 20 0 DDADMM C[C@@H](CN1CCCC1=O)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000735999479 598575916 /nfs/dbraw/zinc/57/59/16/598575916.db2.gz AWJAFVXWADXACV-QMMMGPOBSA-N -1 1 321.772 1.338 20 0 DDADMM CC(C)(C)[C@@H]1OCCC[C@@H]1CNc1nccnc1-c1nnn[n-]1 ZINC000735595500 599304476 /nfs/dbraw/zinc/30/44/76/599304476.db2.gz GCLCFHSHHSAUFU-ZYHUDNBSSA-N -1 1 317.397 1.910 20 0 DDADMM CC(C)(C)[C@@H]1OCCC[C@@H]1CNc1nccnc1-c1nn[n-]n1 ZINC000735595500 599304478 /nfs/dbraw/zinc/30/44/78/599304478.db2.gz GCLCFHSHHSAUFU-ZYHUDNBSSA-N -1 1 317.397 1.910 20 0 DDADMM c1cc(-c2nnn[n-]2)oc1CNCc1ccn(-c2ccccc2)n1 ZINC000823774070 599418201 /nfs/dbraw/zinc/41/82/01/599418201.db2.gz YVGWUGDNCGZYCQ-UHFFFAOYSA-N -1 1 321.344 1.935 20 0 DDADMM c1cc(-c2nn[n-]n2)oc1CNCc1ccn(-c2ccccc2)n1 ZINC000823774070 599418204 /nfs/dbraw/zinc/41/82/04/599418204.db2.gz YVGWUGDNCGZYCQ-UHFFFAOYSA-N -1 1 321.344 1.935 20 0 DDADMM Cc1n[nH]cc1CCCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000822015468 599469871 /nfs/dbraw/zinc/46/98/71/599469871.db2.gz JZDZSIFJPJKTHM-UHFFFAOYSA-N -1 1 318.772 1.991 20 0 DDADMM Cc1n[nH]cc1CCCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000822015468 599469872 /nfs/dbraw/zinc/46/98/72/599469872.db2.gz JZDZSIFJPJKTHM-UHFFFAOYSA-N -1 1 318.772 1.991 20 0 DDADMM O[C@H](CNc1cc(-c2nnn[n-]2)nc2ccccc21)C(F)(F)F ZINC000823690727 599501685 /nfs/dbraw/zinc/50/16/85/599501685.db2.gz SKPAHSXZAWVDOS-LLVKDONJSA-N -1 1 324.266 1.750 20 0 DDADMM O[C@H](CNc1cc(-c2nn[n-]n2)nc2ccccc21)C(F)(F)F ZINC000823690727 599501686 /nfs/dbraw/zinc/50/16/86/599501686.db2.gz SKPAHSXZAWVDOS-LLVKDONJSA-N -1 1 324.266 1.750 20 0 DDADMM CCO[C@@H]1C[C@H](OC(=O)c2ccc(-c3nnn[n-]3)nc2)C1(C)C ZINC000736615893 599618218 /nfs/dbraw/zinc/61/82/18/599618218.db2.gz YWAPCFFQUNGNEZ-NEPJUHHUSA-N -1 1 317.349 1.622 20 0 DDADMM CCO[C@@H]1C[C@H](OC(=O)c2ccc(-c3nn[n-]n3)nc2)C1(C)C ZINC000736615893 599618219 /nfs/dbraw/zinc/61/82/19/599618219.db2.gz YWAPCFFQUNGNEZ-NEPJUHHUSA-N -1 1 317.349 1.622 20 0 DDADMM O=C(OCc1cccc2c[nH]nc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000823431830 599684798 /nfs/dbraw/zinc/68/47/98/599684798.db2.gz YVWYXUPQKKUGKT-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM O=C(OCc1cccc2c[nH]nc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000823431830 599684799 /nfs/dbraw/zinc/68/47/99/599684799.db2.gz YVWYXUPQKKUGKT-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM O=C([O-])NCCCC(=O)NC1CCN(Cc2ccncc2)CC1 ZINC000739961705 599748588 /nfs/dbraw/zinc/74/85/88/599748588.db2.gz BHQCZWFCODEKRV-UHFFFAOYSA-N -1 1 320.393 1.210 20 0 DDADMM CC(C)c1ccc(OCCNC(=O)CNC(C)(C)C(=O)[O-])cc1 ZINC000736521721 599924624 /nfs/dbraw/zinc/92/46/24/599924624.db2.gz SMDVYZWSUIZAKM-UHFFFAOYSA-N -1 1 322.405 1.758 20 0 DDADMM NC(=O)C[C@@H]1CCCCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000738440561 599993751 /nfs/dbraw/zinc/99/37/51/599993751.db2.gz ABZTYUKDEOMFHB-NSHDSACASA-N -1 1 319.361 1.053 20 0 DDADMM CC(C)CN1CCN(C(=O)NC2CCC(C(=O)[O-])CC2)CC1 ZINC000315320546 600027255 /nfs/dbraw/zinc/02/72/55/600027255.db2.gz HMNZTVNGJXZTFW-UHFFFAOYSA-N -1 1 311.426 1.613 20 0 DDADMM Cn1cccc1[C@H]1CCCN1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000738406707 600067473 /nfs/dbraw/zinc/06/74/73/600067473.db2.gz GIGXWJQGBPUTCS-OAHLLOKOSA-N -1 1 319.405 1.485 20 0 DDADMM CCOc1ccc(C[C@H](CNC(=O)CN(C)C)C(=O)[O-])cc1 ZINC000737198775 600115332 /nfs/dbraw/zinc/11/53/32/600115332.db2.gz HAJSHHKWCCWLPP-CYBMUJFWSA-N -1 1 308.378 1.006 20 0 DDADMM CC(C)C[C@H](NC(=O)CN1CCc2ccccc2CC1)C(=O)[O-] ZINC000736409921 600216354 /nfs/dbraw/zinc/21/63/54/600216354.db2.gz BAYHEGWARXKCJB-INIZCTEOSA-N -1 1 318.417 1.703 20 0 DDADMM COCCN(C(C)=O)c1nc(CNC(C)(C)C(=O)[O-])cs1 ZINC000737764600 600284617 /nfs/dbraw/zinc/28/46/17/600284617.db2.gz JBSUFKJIWMRNCE-UHFFFAOYSA-N -1 1 315.395 1.095 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H](O)CN1C[C@@H](O)c1ccc(C(F)(F)F)cc1 ZINC000740057359 600312525 /nfs/dbraw/zinc/31/25/25/600312525.db2.gz XMBADPWVQQGYJI-GRYCIOLGSA-N -1 1 319.279 1.259 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000405507326 600340187 /nfs/dbraw/zinc/34/01/87/600340187.db2.gz WJWVLVIWBSDZTA-CYBMUJFWSA-N -1 1 320.393 1.547 20 0 DDADMM O=C([O-])[C@H](c1ccc(F)cc1)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000739517683 600430018 /nfs/dbraw/zinc/43/00/18/600430018.db2.gz QIBAFBMQHYFFEO-HOTGVXAUSA-N -1 1 322.380 1.748 20 0 DDADMM Cc1cc(CNS(=O)(=O)Cc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000738392276 600445188 /nfs/dbraw/zinc/44/51/88/600445188.db2.gz JRZMXHAYAWFCPL-UHFFFAOYSA-N -1 1 309.347 1.036 20 0 DDADMM C[C@H]1SCCN(CC(=O)N2CCC(C(=O)[O-])CC2)[C@@H]1C ZINC000166997246 600489469 /nfs/dbraw/zinc/48/94/69/600489469.db2.gz HXXWGHQWCMUSMB-GHMZBOCLSA-N -1 1 300.424 1.135 20 0 DDADMM Cc1cc(F)ccc1S(=O)(=O)Nc1cnn(CC(=O)[O-])c1 ZINC000038030462 600528966 /nfs/dbraw/zinc/52/89/66/600528966.db2.gz KYOWIJGPBOZVOM-UHFFFAOYSA-N -1 1 313.310 1.216 20 0 DDADMM CC(C)N(CCC(=O)[O-])CN1C(=O)CC2(CCCCC2)C1=O ZINC000736468391 600608438 /nfs/dbraw/zinc/60/84/38/600608438.db2.gz PEACKFJELZLDJS-UHFFFAOYSA-N -1 1 310.394 1.838 20 0 DDADMM C[C@@H]1CN(CC(=O)N2CCC(C(=O)[O-])CC2)C[C@H](C)S1 ZINC000070383918 600840251 /nfs/dbraw/zinc/84/02/51/600840251.db2.gz KMCYNDCFSDWWQD-PHIMTYICSA-N -1 1 300.424 1.135 20 0 DDADMM Cn1cc(-c2nc(CN3CCC[C@H](C(=O)[O-])C3)cs2)cn1 ZINC000739015061 600843739 /nfs/dbraw/zinc/84/37/39/600843739.db2.gz DKLPKYUVKULQAI-JTQLQIEISA-N -1 1 306.391 1.840 20 0 DDADMM C[C@@H]1CCC[C@H](C)N1C(=O)CN1CCSC[C@H]1CC(=O)[O-] ZINC000252150381 601017240 /nfs/dbraw/zinc/01/72/40/601017240.db2.gz MKRIWVUQSGSAQU-FRRDWIJNSA-N -1 1 314.451 1.668 20 0 DDADMM C[C@@H](NC(=O)CN[C@@](C)(C(=O)[O-])C1CC1)c1ccc(F)cc1 ZINC000827637749 601029097 /nfs/dbraw/zinc/02/90/97/601029097.db2.gz WXLYHIKSTQKIDB-QLJPJBMISA-N -1 1 308.353 1.846 20 0 DDADMM CCCN1CCN(C(=O)Nc2ccc(CC(=O)[O-])cc2)CC1 ZINC000316638887 601031071 /nfs/dbraw/zinc/03/10/71/601031071.db2.gz OFAJRIACAHTSLD-UHFFFAOYSA-N -1 1 305.378 1.873 20 0 DDADMM Cc1ncc(/C=C\C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)s1 ZINC000833007883 601087611 /nfs/dbraw/zinc/08/76/11/601087611.db2.gz FXMDETKIFVCIGZ-BYCRGOAPSA-N -1 1 323.418 1.472 20 0 DDADMM CCN1C[C@H](C)[C@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)C1 ZINC000737111992 601111132 /nfs/dbraw/zinc/11/11/32/601111132.db2.gz RHBTUNQNMCFMDS-GXFFZTMASA-N -1 1 312.391 1.003 20 0 DDADMM Cn1cc(N2CCN(Cc3ccc(C(=O)[O-])cc3)CC2)cn1 ZINC000821298803 601115536 /nfs/dbraw/zinc/11/55/36/601115536.db2.gz XPLXYGUTAPBZTQ-UHFFFAOYSA-N -1 1 300.362 1.441 20 0 DDADMM COc1ccccc1NC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000832623448 601123543 /nfs/dbraw/zinc/12/35/43/601123543.db2.gz QARPGHIDJFTXOU-LBPRGKRZSA-N -1 1 321.377 1.708 20 0 DDADMM COc1ncccc1NC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000832659861 601129725 /nfs/dbraw/zinc/12/97/25/601129725.db2.gz NNDBFTLQDPASOG-LLVKDONJSA-N -1 1 322.365 1.103 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@H]2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000830624060 601141449 /nfs/dbraw/zinc/14/14/49/601141449.db2.gz QOBHVGUCRRXEQD-QWHCGFSZSA-N -1 1 305.378 1.973 20 0 DDADMM CCOc1ccc(NC(=O)CN[C@](C)(C(=O)[O-])C2CC2)cc1 ZINC000830080508 601174785 /nfs/dbraw/zinc/17/47/85/601174785.db2.gz OKMCRSNPTGZPKK-INIZCTEOSA-N -1 1 306.362 1.867 20 0 DDADMM C[C@H](O)CN1CCN(C(=O)Nc2ccc(C(=O)[O-])cc2)C[C@H]1C ZINC000827796631 601191870 /nfs/dbraw/zinc/19/18/70/601191870.db2.gz ZUBBXHOTRVRLEV-NEPJUHHUSA-N -1 1 321.377 1.304 20 0 DDADMM COC1CCN(CCNC(=O)c2ccccc2NC(=O)[O-])CC1 ZINC000831537269 601208760 /nfs/dbraw/zinc/20/87/60/601208760.db2.gz XLEACUMVDBVJIW-UHFFFAOYSA-N -1 1 321.377 1.617 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cc(F)ccc2O)CC1 ZINC000827375057 601212391 /nfs/dbraw/zinc/21/23/91/601212391.db2.gz PAFQWYQGZBTTHP-JTQLQIEISA-N -1 1 310.325 1.152 20 0 DDADMM C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cn1cnc(C(=O)[O-])n1 ZINC000828150890 601300467 /nfs/dbraw/zinc/30/04/67/601300467.db2.gz RTKNQYIFBMEOJY-TZMCWYRMSA-N -1 1 300.362 1.887 20 0 DDADMM COc1ccc(C(=O)[O-])cc1S(=O)(=O)[N-]c1cc[nH+]cc1 ZINC000019231643 601359286 /nfs/dbraw/zinc/35/92/86/601359286.db2.gz ODQDQINKPDGKRP-UHFFFAOYSA-N -1 1 308.315 1.589 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C[C@H](O)COCc2ccccc2F)C1 ZINC000833174634 601439360 /nfs/dbraw/zinc/43/93/60/601439360.db2.gz IBKUZPCZYJXTQT-SWLSCSKDSA-N -1 1 315.316 1.202 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2cc(C(C)(C)C)nn2C)C[C@@H]1C(=O)[O-] ZINC000828442217 601514224 /nfs/dbraw/zinc/51/42/24/601514224.db2.gz YQKCNQUZIZFACI-MNOVXSKESA-N -1 1 322.409 1.309 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)CCOCC1CC1 ZINC000825977183 601548193 /nfs/dbraw/zinc/54/81/93/601548193.db2.gz ADGFREQKCKUXAC-GFCCVEGCSA-N -1 1 312.410 1.057 20 0 DDADMM CN(Cc1ccc(NC(=O)NCc2c[nH]nn2)cc1)C(=O)[O-] ZINC000830836568 601625218 /nfs/dbraw/zinc/62/52/18/601625218.db2.gz ZHEDBQVHHZFBKK-UHFFFAOYSA-N -1 1 304.310 1.236 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C(=O)c2cc(-c3ccccc3)[nH]n2)C1 ZINC000262877267 601657996 /nfs/dbraw/zinc/65/79/96/601657996.db2.gz YMDNNSCCPAHDNC-OAHLLOKOSA-N -1 1 303.293 1.716 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCO[C@@H](CC(=O)[O-])C1 ZINC000832847619 601738894 /nfs/dbraw/zinc/73/88/94/601738894.db2.gz PELZWQONIDOTNW-ZETCQYMHSA-N -1 1 321.255 1.053 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1C[C@H](C)[C@H](C(=O)[O-])C1 ZINC000832847384 601759581 /nfs/dbraw/zinc/75/95/81/601759581.db2.gz IIYIDNSJZNQNJO-CAHLUQPWSA-N -1 1 305.256 1.530 20 0 DDADMM C[C@H](CN(CN1CC2(CC1=O)CCOCC2)C1CC1)C(=O)[O-] ZINC000827448691 601785559 /nfs/dbraw/zinc/78/55/59/601785559.db2.gz BQMCHSPOXDODOV-GFCCVEGCSA-N -1 1 310.394 1.158 20 0 DDADMM Cn1cc(-c2nc(CN3CC[C@@](C)(C(=O)[O-])C3)cs2)cn1 ZINC000833041813 601932818 /nfs/dbraw/zinc/93/28/18/601932818.db2.gz WSRKHQVHZYKZTE-CQSZACIVSA-N -1 1 306.391 1.840 20 0 DDADMM Cc1nn(C)c2ncc(CN3CCC[C@H](N(C)C(=O)[O-])C3)cc12 ZINC000740256594 601966196 /nfs/dbraw/zinc/96/61/96/601966196.db2.gz RBIZHORVBKMPPQ-ZDUSSCGKSA-N -1 1 317.393 1.851 20 0 DDADMM CC(=O)Nc1ccccc1OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824004692 607539908 /nfs/dbraw/zinc/53/99/08/607539908.db2.gz CVDDGFSKHGXQII-UHFFFAOYSA-N -1 1 310.317 1.799 20 0 DDADMM CC(=O)Nc1ccccc1OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824004692 607539910 /nfs/dbraw/zinc/53/99/10/607539910.db2.gz CVDDGFSKHGXQII-UHFFFAOYSA-N -1 1 310.317 1.799 20 0 DDADMM O=C([O-])N(CCNS(=O)(=O)c1c(F)cccc1F)C1CC1 ZINC000740488297 602045156 /nfs/dbraw/zinc/04/51/56/602045156.db2.gz JVAKGTLQMLKNJH-UHFFFAOYSA-N -1 1 320.317 1.386 20 0 DDADMM O=C([O-])c1ccc(F)cc1S(=O)(=O)Nc1ccc(F)nc1 ZINC000833318229 602157732 /nfs/dbraw/zinc/15/77/32/602157732.db2.gz KEMQQXBDSGECTR-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM C[C@H]1CCCN(C(=O)CN2CCC(CN(C)C(=O)[O-])CC2)C1 ZINC000739105166 602385439 /nfs/dbraw/zinc/38/54/39/602385439.db2.gz NEWOHCYKOZLYOT-ZDUSSCGKSA-N -1 1 311.426 1.567 20 0 DDADMM O=C([O-])NCCCNC(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000740653342 602392455 /nfs/dbraw/zinc/39/24/55/602392455.db2.gz QCOUCLHQPSEBAD-GFCCVEGCSA-N -1 1 324.381 1.373 20 0 DDADMM CCCN(C(=O)c1cc(C2CC2)[nH]n1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739345152 602515335 /nfs/dbraw/zinc/51/53/35/602515335.db2.gz VPNUFGGCXPHCDO-NSHDSACASA-N -1 1 306.366 1.892 20 0 DDADMM COC(=O)c1cc(CN2CC[C@H](CN(C)C(=O)[O-])C2)oc1C ZINC000739776823 602541951 /nfs/dbraw/zinc/54/19/51/602541951.db2.gz GFAPDQJFPPKONI-LLVKDONJSA-N -1 1 310.350 1.806 20 0 DDADMM COC(=O)c1cc(CN2CC[C@H](CN(C)C(=O)[O-])C2)c(C)o1 ZINC000739776968 602543539 /nfs/dbraw/zinc/54/35/39/602543539.db2.gz HJPOCQUMMYWRQD-LLVKDONJSA-N -1 1 310.350 1.806 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000740417099 602582598 /nfs/dbraw/zinc/58/25/98/602582598.db2.gz PTATZFVYQCIXJX-SNVBAGLBSA-N -1 1 315.329 1.400 20 0 DDADMM O=C([O-])N1CCC(NC(=O)N2CCN3CCCC[C@@H]3C2)CC1 ZINC000738637465 602616933 /nfs/dbraw/zinc/61/69/33/602616933.db2.gz BQHMGGNDXLWZOT-CYBMUJFWSA-N -1 1 310.398 1.009 20 0 DDADMM CC(C)(C)C(=O)N1CCN(C[C@H]2CCCN(C(=O)[O-])C2)CC1 ZINC000738673185 602702058 /nfs/dbraw/zinc/70/20/58/602702058.db2.gz IECJIOZBZOSVAO-CYBMUJFWSA-N -1 1 311.426 1.567 20 0 DDADMM C[C@@H](CN1CCN(C)CC1)NC(=O)c1ccc(NC(=O)[O-])cc1 ZINC000736587386 602735171 /nfs/dbraw/zinc/73/51/71/602735171.db2.gz XXMPDWNXTRMILC-LBPRGKRZSA-N -1 1 320.393 1.142 20 0 DDADMM O=C([O-])NC[C@H]1CCCN([C@@H]2CCN(c3ccccc3)C2=O)C1 ZINC000740628740 602736331 /nfs/dbraw/zinc/73/63/31/602736331.db2.gz DBNIPCPMXRIZTL-UKRRQHHQSA-N -1 1 317.389 1.772 20 0 DDADMM CCCc1cc(C(=O)N2CCC([C@H](C)NC(=O)[O-])CC2)n[nH]1 ZINC000739372733 602755803 /nfs/dbraw/zinc/75/58/03/602755803.db2.gz JKSXHLBPFQXSLF-JTQLQIEISA-N -1 1 308.382 1.871 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000739267953 602765411 /nfs/dbraw/zinc/76/54/11/602765411.db2.gz LESRQYLQABVEAX-MCIONIFRSA-N -1 1 311.426 1.756 20 0 DDADMM COC(=O)c1cc(CN2CC[C@@H](NC(=O)[O-])[C@@H](C)C2)oc1C ZINC000739776238 602772844 /nfs/dbraw/zinc/77/28/44/602772844.db2.gz BVYFFCFIRKVISV-TVQRCGJNSA-N -1 1 310.350 1.853 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1)N1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000739175192 602783526 /nfs/dbraw/zinc/78/35/26/602783526.db2.gz JXODMNNGNNGHHY-UMVBOHGHSA-N -1 1 319.405 1.669 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)COCc2ccco2)C[C@H](C)N1C(=O)[O-] ZINC000739180254 602874634 /nfs/dbraw/zinc/87/46/34/602874634.db2.gz OGCBZGNFBZDUCS-FRRDWIJNSA-N -1 1 312.366 1.230 20 0 DDADMM CCN(CC)C(=O)[C@H](N[C@@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000739404679 602930826 /nfs/dbraw/zinc/93/08/26/602930826.db2.gz ZLMHTRYZLHNSEV-HUUCEWRRSA-N -1 1 319.405 1.938 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](CN2CCN(C(=O)[O-])CC2)C1 ZINC000736272366 602977361 /nfs/dbraw/zinc/97/73/61/602977361.db2.gz FOSHQXBMDBIJDK-LBPRGKRZSA-N -1 1 313.398 1.539 20 0 DDADMM C[C@@H](C(=O)NC[C@H]1CCCN1C(=O)[O-])N(C)Cc1ccccc1 ZINC000738624964 602990631 /nfs/dbraw/zinc/99/06/31/602990631.db2.gz NOUXGAAFUKPWOF-DZGCQCFKSA-N -1 1 319.405 1.766 20 0 DDADMM CCc1nnc([C@H]2CN(CC3CCN(C(=O)[O-])CC3)CCO2)[nH]1 ZINC000739551414 603072850 /nfs/dbraw/zinc/07/28/50/603072850.db2.gz COVOJXHJDLAKIS-GFCCVEGCSA-N -1 1 323.397 1.130 20 0 DDADMM C[C@H](CCNC(=O)c1c[nH]c(-c2ccccc2)n1)NC(=O)[O-] ZINC000738907898 603194684 /nfs/dbraw/zinc/19/46/84/603194684.db2.gz UTDZGRDDYNHZAH-SNVBAGLBSA-N -1 1 302.334 1.853 20 0 DDADMM C[N@@H+](CCCNC(=O)[O-])Cc1c([O-])nnn1-c1ccccc1 ZINC000739681563 603198814 /nfs/dbraw/zinc/19/88/14/603198814.db2.gz GOVWTROLXQKCML-UHFFFAOYSA-N -1 1 305.338 1.062 20 0 DDADMM COc1ccc(C)cc1NC(=O)[C@@H](C)N(C)CCCNC(=O)[O-] ZINC000739890900 603250008 /nfs/dbraw/zinc/25/00/08/603250008.db2.gz IXJUPSDJMNKUAK-GFCCVEGCSA-N -1 1 323.393 1.920 20 0 DDADMM C[C@@H](CC(=O)NC[C@H](c1ccc(F)cc1)N(C)C)NC(=O)[O-] ZINC000824630586 603368633 /nfs/dbraw/zinc/36/86/33/603368633.db2.gz XIXXYKGMFFAFEX-GXFFZTMASA-N -1 1 311.357 1.591 20 0 DDADMM C[C@@H](CC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C)NC(=O)[O-] ZINC000824630584 603368643 /nfs/dbraw/zinc/36/86/43/603368643.db2.gz XIXXYKGMFFAFEX-GWCFXTLKSA-N -1 1 311.357 1.591 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000824143498 603482862 /nfs/dbraw/zinc/48/28/62/603482862.db2.gz NBNQWFQENHDOOK-SECBINFHSA-N -1 1 304.310 1.097 20 0 DDADMM COc1ccc(OC)c([C@H](O)CN(C)[C@@H]2CCN(C(=O)[O-])C2)c1 ZINC000829493860 603484188 /nfs/dbraw/zinc/48/41/88/603484188.db2.gz FDFUYBATXUTLSR-BXUZGUMPSA-N -1 1 324.377 1.421 20 0 DDADMM CN(CCn1cnc2ccccc2c1=O)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828345996 603534880 /nfs/dbraw/zinc/53/48/80/603534880.db2.gz ONIIFMNYRURNPY-GFCCVEGCSA-N -1 1 316.361 1.081 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)c1ccc(CNC(=O)[O-])o1 ZINC000829833054 603543912 /nfs/dbraw/zinc/54/39/12/603543912.db2.gz FANZVDMMXKXGCH-UHFFFAOYSA-N -1 1 306.322 1.441 20 0 DDADMM O=C([O-])N[C@H]1CC[C@@H](C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000832297173 603555945 /nfs/dbraw/zinc/55/59/45/603555945.db2.gz NMDQPJQSSLNGOG-MNOVXSKESA-N -1 1 315.333 1.642 20 0 DDADMM C[C@H]1CCCN(CN2C[C@H]3CN(C(=O)[O-])CCN3C2=O)CC1 ZINC000825926016 603599701 /nfs/dbraw/zinc/59/97/01/603599701.db2.gz SXWCIVHTUYWTRH-QWHCGFSZSA-N -1 1 310.398 1.166 20 0 DDADMM Cc1cc(CNC(=O)N(C)C2CCC(NC(=O)[O-])CC2)n[nH]1 ZINC000830041377 603661682 /nfs/dbraw/zinc/66/16/82/603661682.db2.gz LAEJVZAMYIGZCS-UHFFFAOYSA-N -1 1 309.370 1.438 20 0 DDADMM C[C@@H]1CCCN(Cc2cnc3ccnn3c2)[C@H]1CNC(=O)[O-] ZINC000825924273 603717837 /nfs/dbraw/zinc/71/78/37/603717837.db2.gz GIIQPLORZKOYHB-YPMHNXCESA-N -1 1 303.366 1.597 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)[C@H](C)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000826669315 603811447 /nfs/dbraw/zinc/81/14/47/603811447.db2.gz VNKRVQDMJAOGFN-MJBXVCDLSA-N -1 1 311.426 1.850 20 0 DDADMM COC(=O)c1cc(CN2CCC[C@@H]2CN(C)C(=O)[O-])oc1C ZINC000828741964 604096556 /nfs/dbraw/zinc/09/65/56/604096556.db2.gz GKLXMUVYEFNHOV-LLVKDONJSA-N -1 1 310.350 1.949 20 0 DDADMM CN(C[C@H]1CCCN1CC(=O)N(C)C1CCCCC1)C(=O)[O-] ZINC000828218645 604108507 /nfs/dbraw/zinc/10/85/07/604108507.db2.gz QXXZBTBXVFKKGD-CQSZACIVSA-N -1 1 311.426 1.852 20 0 DDADMM Cc1ccc2nc(CNC(=O)NCCN(C)C(=O)[O-])[nH]c2c1 ZINC000830433225 604112463 /nfs/dbraw/zinc/11/24/63/604112463.db2.gz RZKAAVZXCZNYTA-UHFFFAOYSA-N -1 1 305.338 1.280 20 0 DDADMM O=S(=O)(c1ccc(F)cc1-c1nn[n-]n1)N1C[C@@H]2CCC[C@@H]21 ZINC000826495076 607674737 /nfs/dbraw/zinc/67/47/37/607674737.db2.gz BLMHVDORCADTPE-KWQFWETISA-N -1 1 323.353 1.179 20 0 DDADMM O=C([O-])N[C@H]1CCCCCC[C@@H]1C(=O)NCCc1nc[nH]n1 ZINC000832350405 604307005 /nfs/dbraw/zinc/30/70/05/604307005.db2.gz REWBBXJJLJVEOI-QWRGUYRKSA-N -1 1 309.370 1.070 20 0 DDADMM CC(C)c1nnc(NC(=O)CN(CCC(=O)[O-])C(C)C)s1 ZINC000827168603 604474563 /nfs/dbraw/zinc/47/45/63/604474563.db2.gz ODBMUDUETZPOAO-UHFFFAOYSA-N -1 1 314.411 1.785 20 0 DDADMM C[C@H]1CN(CC(=O)N(C)c2ccccc2C(=O)[O-])[C@@H](C)CN1C ZINC000833620136 604573505 /nfs/dbraw/zinc/57/35/05/604573505.db2.gz CUHORGYIXKIYIH-STQMWFEESA-N -1 1 319.405 1.372 20 0 DDADMM C[C@@H](C(=O)N1[C@H](C)CCC[C@@H]1C)N1CCC(O)(C(=O)[O-])CC1 ZINC000833402358 604726616 /nfs/dbraw/zinc/72/66/16/604726616.db2.gz JYXDKWCALNMXQQ-XQQFMLRXSA-N -1 1 312.410 1.076 20 0 DDADMM C[C@@H]1[C@H](C)N(CC(=O)Nc2ccsc2C(=O)[O-])CCN1C ZINC000833586302 604851396 /nfs/dbraw/zinc/85/13/96/604851396.db2.gz FAXMROHFAJOVOY-ZJUUUORDSA-N -1 1 311.407 1.409 20 0 DDADMM CCc1nc([C@H](C)NS(=O)(=O)c2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000833675134 605004915 /nfs/dbraw/zinc/00/49/15/605004915.db2.gz YIWCEDSOGZZXJB-QMMMGPOBSA-N -1 1 324.362 1.105 20 0 DDADMM C[C@]1(c2ccccc2)CC(=O)N(CN2CC[C@H](C(=O)[O-])C2)C1=O ZINC000820215367 605025871 /nfs/dbraw/zinc/02/58/71/605025871.db2.gz VRBCCQBBOBZTSC-YVEFUNNKSA-N -1 1 316.357 1.067 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1C[C@H]1C1CCCCC1 ZINC000833767940 605083549 /nfs/dbraw/zinc/08/35/49/605083549.db2.gz VIAFTWWIYHIULK-IHRRRGAJSA-N -1 1 305.378 1.738 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)C=C1CCSCC1 ZINC000833624357 605103238 /nfs/dbraw/zinc/10/32/38/605103238.db2.gz UNANBXBNMPOHGL-LBPRGKRZSA-N -1 1 312.435 1.447 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](NC(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000738616569 605136955 /nfs/dbraw/zinc/13/69/55/605136955.db2.gz PKAQJPNWRUOBTP-WFASDCNBSA-N -1 1 317.389 1.302 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C\c1cncc(F)c1 ZINC000833618716 605161390 /nfs/dbraw/zinc/16/13/90/605161390.db2.gz DXOHJIQXOQEKNY-DLGQBQFBSA-N -1 1 321.352 1.241 20 0 DDADMM CCOc1ncccc1C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833665137 605163526 /nfs/dbraw/zinc/16/35/26/605163526.db2.gz MNADENLIXRWJQC-GFCCVEGCSA-N -1 1 321.377 1.101 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2c1CCC2 ZINC000833626087 605168068 /nfs/dbraw/zinc/16/80/68/605168068.db2.gz MUQMOXMOSMFBEW-ZDUSSCGKSA-N -1 1 316.401 1.796 20 0 DDADMM CCCN(CCC)C(=O)CN1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833651326 605195070 /nfs/dbraw/zinc/19/50/70/605195070.db2.gz WUXBEXMJKHOSPR-AWEZNQCLSA-N -1 1 313.442 1.116 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2nc[nH]c21 ZINC000833627558 605208625 /nfs/dbraw/zinc/20/86/25/605208625.db2.gz YRXWCAGICDOYIG-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM CS(=O)(=O)Nc1ccccc1C(=O)OCCCC(=O)[O-] ZINC000738138676 605277898 /nfs/dbraw/zinc/27/78/98/605277898.db2.gz GEXAACMFVUZSFT-UHFFFAOYSA-N -1 1 301.320 1.080 20 0 DDADMM O=C([O-])N[C@H]1CCCN(Cc2ccc(C(=O)NC3CC3)cc2)C1 ZINC000834181456 605491501 /nfs/dbraw/zinc/49/15/01/605491501.db2.gz ISOJAHIGCIMVRV-HNNXBMFYSA-N -1 1 317.389 1.811 20 0 DDADMM COc1cc(CN(C)[C@H]2CCN(C(=O)[O-])C2)cc2c1OCCO2 ZINC000833887786 605589589 /nfs/dbraw/zinc/58/95/89/605589589.db2.gz YCCZNVIPSDPJCU-LBPRGKRZSA-N -1 1 322.361 1.651 20 0 DDADMM C[C@H](O)[C@H]1CCN([C@H]2CCN(c3sccc3C(=O)[O-])C2=O)C1 ZINC000833526280 605698176 /nfs/dbraw/zinc/69/81/76/605698176.db2.gz HTBPUIKMHXJXRZ-NHCYSSNCSA-N -1 1 324.402 1.254 20 0 DDADMM O=C([O-])N1CCC2(C[C@@H]2C(=O)N2CCN(CC3CC3)CC2)CC1 ZINC000834090342 605893249 /nfs/dbraw/zinc/89/32/49/605893249.db2.gz YTFXACMRFYVIHU-CQSZACIVSA-N -1 1 321.421 1.321 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]1NCc1nccn1CC(F)(F)F ZINC000834175250 605982261 /nfs/dbraw/zinc/98/22/61/605982261.db2.gz MKZFUOADDYVEGM-DTWKUNHWSA-N -1 1 306.288 1.724 20 0 DDADMM O=C(CC[C@@H]1CCOC1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822950333 606293661 /nfs/dbraw/zinc/29/36/61/606293661.db2.gz KHJDDFIEDQYWIW-SECBINFHSA-N -1 1 303.322 1.328 20 0 DDADMM CC(C)OC(=O)[C@@H](C)CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820137290 606467779 /nfs/dbraw/zinc/46/77/79/606467779.db2.gz ICLSGLDHTVKHSF-QMMMGPOBSA-N -1 1 324.772 1.915 20 0 DDADMM CC(C)OC(=O)[C@@H](C)CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820137290 606467781 /nfs/dbraw/zinc/46/77/81/606467781.db2.gz ICLSGLDHTVKHSF-QMMMGPOBSA-N -1 1 324.772 1.915 20 0 DDADMM CN(C[C@@H]1CCOC1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000821598248 606474306 /nfs/dbraw/zinc/47/43/06/606474306.db2.gz WFIYQCPNHNIXGS-LURJTMIESA-N -1 1 300.775 1.449 20 0 DDADMM CN(C[C@@H]1CCOC1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000821598248 606474308 /nfs/dbraw/zinc/47/43/08/606474308.db2.gz WFIYQCPNHNIXGS-LURJTMIESA-N -1 1 300.775 1.449 20 0 DDADMM O=C(Nn1cnc2ccccc21)c1ccc(-c2nnn[n-]2)s1 ZINC000823387748 606522369 /nfs/dbraw/zinc/52/23/69/606522369.db2.gz WQFVYSJVWIZOQI-UHFFFAOYSA-N -1 1 311.330 1.662 20 0 DDADMM O=C(Nn1cnc2ccccc21)c1ccc(-c2nn[n-]n2)s1 ZINC000823387748 606522370 /nfs/dbraw/zinc/52/23/70/606522370.db2.gz WQFVYSJVWIZOQI-UHFFFAOYSA-N -1 1 311.330 1.662 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)c1cnn(C)c1 ZINC000820397728 606570318 /nfs/dbraw/zinc/57/03/18/606570318.db2.gz RWRMWTNCCPLZBR-ZETCQYMHSA-N -1 1 303.351 1.153 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)c1cnn(C)c1 ZINC000820397728 606570319 /nfs/dbraw/zinc/57/03/19/606570319.db2.gz RWRMWTNCCPLZBR-ZETCQYMHSA-N -1 1 303.351 1.153 20 0 DDADMM CO[C@H](C)CN(C(=O)c1ccc(-c2nnn[n-]2)s1)C(C)C ZINC000821673626 606571344 /nfs/dbraw/zinc/57/13/44/606571344.db2.gz HIABOHWXZUYGKV-SECBINFHSA-N -1 1 309.395 1.814 20 0 DDADMM CO[C@H](C)CN(C(=O)c1ccc(-c2nn[n-]n2)s1)C(C)C ZINC000821673626 606571346 /nfs/dbraw/zinc/57/13/46/606571346.db2.gz HIABOHWXZUYGKV-SECBINFHSA-N -1 1 309.395 1.814 20 0 DDADMM O=C(NC[C@@H]1CCC[C@H](O)C1)c1ccc(-c2nnn[n-]2)s1 ZINC000823175268 606571610 /nfs/dbraw/zinc/57/16/10/606571610.db2.gz DLLYJNWETCOKLH-BDAKNGLRSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(NC[C@@H]1CCC[C@H](O)C1)c1ccc(-c2nn[n-]n2)s1 ZINC000823175268 606571611 /nfs/dbraw/zinc/57/16/11/606571611.db2.gz DLLYJNWETCOKLH-BDAKNGLRSA-N -1 1 307.379 1.209 20 0 DDADMM Cc1nc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)sc1C ZINC000822444090 606579476 /nfs/dbraw/zinc/57/94/76/606579476.db2.gz ADONOTFHWXIGPP-UHFFFAOYSA-N -1 1 320.403 1.932 20 0 DDADMM Cc1nc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)sc1C ZINC000822444090 606579478 /nfs/dbraw/zinc/57/94/78/606579478.db2.gz ADONOTFHWXIGPP-UHFFFAOYSA-N -1 1 320.403 1.932 20 0 DDADMM CN(Cc1cccc(O)c1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821614490 606590900 /nfs/dbraw/zinc/59/09/00/606590900.db2.gz IKABVOLHWCCVCY-UHFFFAOYSA-N -1 1 315.358 1.906 20 0 DDADMM CN(Cc1cccc(O)c1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821614490 606590902 /nfs/dbraw/zinc/59/09/02/606590902.db2.gz IKABVOLHWCCVCY-UHFFFAOYSA-N -1 1 315.358 1.906 20 0 DDADMM CCC1(CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCCC1 ZINC000820961931 606772253 /nfs/dbraw/zinc/77/22/53/606772253.db2.gz FSKGGEHBMUMPIK-UHFFFAOYSA-N -1 1 318.385 1.692 20 0 DDADMM CCC1(CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCCC1 ZINC000820961931 606772256 /nfs/dbraw/zinc/77/22/56/606772256.db2.gz FSKGGEHBMUMPIK-UHFFFAOYSA-N -1 1 318.385 1.692 20 0 DDADMM CCCC1(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)CCC1 ZINC000821034263 606958136 /nfs/dbraw/zinc/95/81/36/606958136.db2.gz JTYRIYAEFYMOIB-UHFFFAOYSA-N -1 1 300.366 1.962 20 0 DDADMM CCCC1(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)CCC1 ZINC000821034263 606958137 /nfs/dbraw/zinc/95/81/37/606958137.db2.gz JTYRIYAEFYMOIB-UHFFFAOYSA-N -1 1 300.366 1.962 20 0 DDADMM C[C@@H](C[C@H](O)c1ccco1)Nc1nccnc1-c1nnn[n-]1 ZINC000820231122 607045490 /nfs/dbraw/zinc/04/54/90/607045490.db2.gz ASDNYVNPTMXYDT-IUCAKERBSA-N -1 1 301.310 1.174 20 0 DDADMM C[C@@H](C[C@H](O)c1ccco1)Nc1nccnc1-c1nn[n-]n1 ZINC000820231122 607045492 /nfs/dbraw/zinc/04/54/92/607045492.db2.gz ASDNYVNPTMXYDT-IUCAKERBSA-N -1 1 301.310 1.174 20 0 DDADMM COc1cc(C)sc1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000821712674 607106140 /nfs/dbraw/zinc/10/61/40/607106140.db2.gz KYOWULLHXQNLHB-UHFFFAOYSA-N -1 1 322.375 1.954 20 0 DDADMM COc1cc(C)sc1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000821712674 607106142 /nfs/dbraw/zinc/10/61/42/607106142.db2.gz KYOWULLHXQNLHB-UHFFFAOYSA-N -1 1 322.375 1.954 20 0 DDADMM CCC[C@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)CCO1 ZINC000825258402 607969351 /nfs/dbraw/zinc/96/93/51/607969351.db2.gz CFCPEEQVEKWQHL-ZETCQYMHSA-N -1 1 314.802 1.982 20 0 DDADMM CCC[C@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)CCO1 ZINC000825258402 607969352 /nfs/dbraw/zinc/96/93/52/607969352.db2.gz CFCPEEQVEKWQHL-ZETCQYMHSA-N -1 1 314.802 1.982 20 0 DDADMM CCO[C@@H](C)c1nc(Cn2ccnc2-c2nnn[n-]2)cs1 ZINC000825577620 608012920 /nfs/dbraw/zinc/01/29/20/608012920.db2.gz YAOWYNVHPCKSEU-QMMMGPOBSA-N -1 1 305.367 1.666 20 0 DDADMM CCO[C@@H](C)c1nc(Cn2ccnc2-c2nn[n-]n2)cs1 ZINC000825577620 608012921 /nfs/dbraw/zinc/01/29/21/608012921.db2.gz YAOWYNVHPCKSEU-QMMMGPOBSA-N -1 1 305.367 1.666 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)Nc1ccc(Cl)cc1 ZINC000826424812 608014676 /nfs/dbraw/zinc/01/46/76/608014676.db2.gz DTTJRRGSTSUFKV-UHFFFAOYSA-N -1 1 303.713 1.355 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)Nc1ccc(Cl)cc1 ZINC000826424812 608014677 /nfs/dbraw/zinc/01/46/77/608014677.db2.gz DTTJRRGSTSUFKV-UHFFFAOYSA-N -1 1 303.713 1.355 20 0 DDADMM Clc1nsc(N2CCC[C@@H]2c2ncon2)c1-c1nnn[n-]1 ZINC000826354141 608182114 /nfs/dbraw/zinc/18/21/14/608182114.db2.gz QWJZSXNFYRKXNK-RXMQYKEDSA-N -1 1 324.757 1.701 20 0 DDADMM Clc1nsc(N2CCC[C@@H]2c2ncon2)c1-c1nn[n-]n1 ZINC000826354141 608182115 /nfs/dbraw/zinc/18/21/15/608182115.db2.gz QWJZSXNFYRKXNK-RXMQYKEDSA-N -1 1 324.757 1.701 20 0 DDADMM CC(C)[C@@H]1C[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000824215847 608250374 /nfs/dbraw/zinc/25/03/74/608250374.db2.gz MVVPAQBLBTTWLX-AAEUAGOBSA-N -1 1 316.365 1.195 20 0 DDADMM CC(C)[C@@H]1C[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000824215847 608250376 /nfs/dbraw/zinc/25/03/76/608250376.db2.gz MVVPAQBLBTTWLX-AAEUAGOBSA-N -1 1 316.365 1.195 20 0 DDADMM CC[C@@H]1CC[C@@H](C)N1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000825195037 608265393 /nfs/dbraw/zinc/26/53/93/608265393.db2.gz UXIGLLUGLQDYDZ-RKDXNWHRSA-N -1 1 304.358 1.395 20 0 DDADMM CC[C@@H]1CC[C@@H](C)N1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000825195037 608265394 /nfs/dbraw/zinc/26/53/94/608265394.db2.gz UXIGLLUGLQDYDZ-RKDXNWHRSA-N -1 1 304.358 1.395 20 0 DDADMM CCN(CCCNc1ccc(-c2nnn[n-]2)nn1)c1ccccc1 ZINC000825418996 608391199 /nfs/dbraw/zinc/39/11/99/608391199.db2.gz CMJHTIIXGSTDAU-UHFFFAOYSA-N -1 1 324.392 1.985 20 0 DDADMM CCN(CCCNc1ccc(-c2nn[n-]n2)nn1)c1ccccc1 ZINC000825418996 608391201 /nfs/dbraw/zinc/39/12/01/608391201.db2.gz CMJHTIIXGSTDAU-UHFFFAOYSA-N -1 1 324.392 1.985 20 0 DDADMM FC(F)Oc1ccccc1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826370582 608394339 /nfs/dbraw/zinc/39/43/39/608394339.db2.gz ZSCBUNNRMVYZJH-UHFFFAOYSA-N -1 1 319.275 1.870 20 0 DDADMM FC(F)Oc1ccccc1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826370582 608394342 /nfs/dbraw/zinc/39/43/42/608394342.db2.gz ZSCBUNNRMVYZJH-UHFFFAOYSA-N -1 1 319.275 1.870 20 0 DDADMM Fc1ccc(OCCNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826376303 608395694 /nfs/dbraw/zinc/39/56/94/608395694.db2.gz XHBILJODPOSAIA-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM Fc1ccc(OCCNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826376303 608395696 /nfs/dbraw/zinc/39/56/96/608395696.db2.gz XHBILJODPOSAIA-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM CN(CCCCNc1ccc(-c2nnn[n-]2)nn1)c1ccccc1 ZINC000825918739 608403162 /nfs/dbraw/zinc/40/31/62/608403162.db2.gz LDDRJVZUZYNWOP-UHFFFAOYSA-N -1 1 324.392 1.985 20 0 DDADMM CN(CCCCNc1ccc(-c2nn[n-]n2)nn1)c1ccccc1 ZINC000825918739 608403164 /nfs/dbraw/zinc/40/31/64/608403164.db2.gz LDDRJVZUZYNWOP-UHFFFAOYSA-N -1 1 324.392 1.985 20 0 DDADMM c1ccc([C@@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@H]2CCCO2)cc1 ZINC000826520861 608411285 /nfs/dbraw/zinc/41/12/85/608411285.db2.gz SUQRLDGECIVBGZ-UKRRQHHQSA-N -1 1 323.360 1.989 20 0 DDADMM c1ccc([C@@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@H]2CCCO2)cc1 ZINC000826520861 608411287 /nfs/dbraw/zinc/41/12/87/608411287.db2.gz SUQRLDGECIVBGZ-UKRRQHHQSA-N -1 1 323.360 1.989 20 0 DDADMM CC(=O)NCc1ccc(Nc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000823994700 608417238 /nfs/dbraw/zinc/41/72/38/608417238.db2.gz MPTKRUAQDITHKP-UHFFFAOYSA-N -1 1 310.321 1.036 20 0 DDADMM CC(=O)NCc1ccc(Nc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000823994700 608417240 /nfs/dbraw/zinc/41/72/40/608417240.db2.gz MPTKRUAQDITHKP-UHFFFAOYSA-N -1 1 310.321 1.036 20 0 DDADMM Fc1cccc(C2(CNc3ccc(-c4nnn[n-]4)nn3)CC2)c1 ZINC000826376688 608421541 /nfs/dbraw/zinc/42/15/41/608421541.db2.gz NUJRQSSKZNMPBV-UHFFFAOYSA-N -1 1 311.324 1.940 20 0 DDADMM Fc1cccc(C2(CNc3ccc(-c4nn[n-]n4)nn3)CC2)c1 ZINC000826376688 608421543 /nfs/dbraw/zinc/42/15/43/608421543.db2.gz NUJRQSSKZNMPBV-UHFFFAOYSA-N -1 1 311.324 1.940 20 0 DDADMM Brc1ccc(Oc2ccc(-c3nnn[n-]3)nn2)cn1 ZINC000823832260 608431124 /nfs/dbraw/zinc/43/11/24/608431124.db2.gz JSLCYVQKEWLSPR-UHFFFAOYSA-N -1 1 320.110 1.607 20 0 DDADMM Brc1ccc(Oc2ccc(-c3nn[n-]n3)nn2)cn1 ZINC000823832260 608431125 /nfs/dbraw/zinc/43/11/25/608431125.db2.gz JSLCYVQKEWLSPR-UHFFFAOYSA-N -1 1 320.110 1.607 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@@H]1N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC000825171182 608432528 /nfs/dbraw/zinc/43/25/28/608432528.db2.gz MLESYXWRMHYHPA-NWDGAFQWSA-N -1 1 317.397 1.687 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@@H]1N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC000825171182 608432529 /nfs/dbraw/zinc/43/25/29/608432529.db2.gz MLESYXWRMHYHPA-NWDGAFQWSA-N -1 1 317.397 1.687 20 0 DDADMM C[C@H](C[C@@H](O)c1cccs1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824391008 608435064 /nfs/dbraw/zinc/43/50/64/608435064.db2.gz GDVGFBDSANJSIL-PSASIEDQSA-N -1 1 317.378 1.642 20 0 DDADMM C[C@H](C[C@@H](O)c1cccs1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824391008 608435066 /nfs/dbraw/zinc/43/50/66/608435066.db2.gz GDVGFBDSANJSIL-PSASIEDQSA-N -1 1 317.378 1.642 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)C1SCCS1 ZINC000826467293 608565726 /nfs/dbraw/zinc/56/57/26/608565726.db2.gz PJSDGDZYDFAQKB-UHFFFAOYSA-N -1 1 309.376 1.111 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)C1SCCS1 ZINC000826467293 608565727 /nfs/dbraw/zinc/56/57/27/608565727.db2.gz PJSDGDZYDFAQKB-UHFFFAOYSA-N -1 1 309.376 1.111 20 0 DDADMM COc1ccc(-c2nn[nH]n2)cc1[N-]S(=O)(=O)CCC1CC1 ZINC000826186739 608887558 /nfs/dbraw/zinc/88/75/58/608887558.db2.gz IZHWSXPRHASOPA-UHFFFAOYSA-N -1 1 323.378 1.417 20 0 DDADMM CC[C@@H](CSC)N(C)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825122432 609469294 /nfs/dbraw/zinc/46/92/94/609469294.db2.gz ZNNLPTBWYZOQEI-VIFPVBQESA-N -1 1 324.410 1.306 20 0 DDADMM CC[C@@H](CSC)N(C)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825122432 609469297 /nfs/dbraw/zinc/46/92/97/609469297.db2.gz ZNNLPTBWYZOQEI-VIFPVBQESA-N -1 1 324.410 1.306 20 0 DDADMM O=C1CCOc2c(NCc3ccnc(-c4nnn[n-]4)c3)cccc21 ZINC000826480646 609513520 /nfs/dbraw/zinc/51/35/20/609513520.db2.gz KRYLXZNXBLREDO-UHFFFAOYSA-N -1 1 322.328 1.839 20 0 DDADMM O=C1CCOc2c(NCc3ccnc(-c4nn[n-]n4)c3)cccc21 ZINC000826480646 609513523 /nfs/dbraw/zinc/51/35/23/609513523.db2.gz KRYLXZNXBLREDO-UHFFFAOYSA-N -1 1 322.328 1.839 20 0 DDADMM COC[C@@](C)(O)C(=O)Nc1cccc([O-])c1Br ZINC000908709765 712839313 /nfs/dbraw/zinc/83/93/13/712839313.db2.gz SXHCGNPVCQDIIL-LLVKDONJSA-N -1 1 304.140 1.491 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2nnn(C)c2C)c1 ZINC000745162923 699973305 /nfs/dbraw/zinc/97/33/05/699973305.db2.gz HMQHWLDKWJFOGA-UHFFFAOYSA-N -1 1 303.318 1.431 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973198077 695444060 /nfs/dbraw/zinc/44/40/60/695444060.db2.gz TVDAOQDHJWNEPK-WRWGMCAJSA-N -1 1 303.362 1.210 20 0 DDADMM C[C@H](OC(=O)c1nn(-c2ccccc2)cc1[O-])C(=O)N(C)C ZINC000746144204 700007263 /nfs/dbraw/zinc/00/72/63/700007263.db2.gz UPNLIDLXYLMHIQ-JTQLQIEISA-N -1 1 303.318 1.211 20 0 DDADMM O=C(COC(=O)c1ccc(F)cc1)[N-]C(=O)c1ccccc1 ZINC000003510723 696008909 /nfs/dbraw/zinc/00/89/09/696008909.db2.gz VFLISAJDPDDGOO-UHFFFAOYSA-N -1 1 301.273 1.939 20 0 DDADMM O=C(CCCC1CCCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798270535 700053898 /nfs/dbraw/zinc/05/38/98/700053898.db2.gz PSGWFYCEXZCWJT-UHFFFAOYSA-N -1 1 307.398 1.370 20 0 DDADMM Cc1c(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)cnn1C ZINC000021603438 696073987 /nfs/dbraw/zinc/07/39/87/696073987.db2.gz GWVZLGIYXZDNFE-UHFFFAOYSA-N -1 1 314.297 1.898 20 0 DDADMM CC(C)c1ncc(S(=O)(=O)Oc2cccc(CC(N)=O)c2)[n-]1 ZINC000747256195 700062651 /nfs/dbraw/zinc/06/26/51/700062651.db2.gz QSCZWANRXICCLI-UHFFFAOYSA-N -1 1 323.374 1.329 20 0 DDADMM CC(C)c1nc(S(=O)(=O)Oc2cccc(CC(N)=O)c2)c[n-]1 ZINC000747256195 700062654 /nfs/dbraw/zinc/06/26/54/700062654.db2.gz QSCZWANRXICCLI-UHFFFAOYSA-N -1 1 323.374 1.329 20 0 DDADMM CC[C@@H]1CN(CC(=O)[N-]C(=O)c2ccc(OC)cc2)CCO1 ZINC000045752528 696177004 /nfs/dbraw/zinc/17/70/04/696177004.db2.gz AKZIYKNRMVUJDC-CYBMUJFWSA-N -1 1 306.362 1.062 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccc(Cl)cc1F)[C@@H]1CC1[N+](=O)[O-] ZINC000049135759 696221502 /nfs/dbraw/zinc/22/15/02/696221502.db2.gz RPCVGEVTQVJJFQ-HTRCEHHLSA-N -1 1 322.701 1.790 20 0 DDADMM CC(C)(C)SCC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000747470499 700072108 /nfs/dbraw/zinc/07/21/08/700072108.db2.gz NDCDNAKCEATSFV-GFCCVEGCSA-N -1 1 305.407 1.937 20 0 DDADMM CC(C)(C)SCC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000747470502 700072125 /nfs/dbraw/zinc/07/21/25/700072125.db2.gz NDCDNAKCEATSFV-LBPRGKRZSA-N -1 1 305.407 1.937 20 0 DDADMM O=C(N[N-]C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1)NC1CC1 ZINC000064429196 696339531 /nfs/dbraw/zinc/33/95/31/696339531.db2.gz QGSPGABBZHMGOY-UHFFFAOYSA-N -1 1 319.752 1.837 20 0 DDADMM Cn1c(CNC(=O)CSc2ccc(C#N)cc2)n[n-]c1=S ZINC000066625826 696353929 /nfs/dbraw/zinc/35/39/29/696353929.db2.gz NTYSBTCSRGMQLH-UHFFFAOYSA-N -1 1 319.415 1.758 20 0 DDADMM Cn1c(CNC(=O)c2c(Cl)nc3ccccn32)n[n-]c1=S ZINC000066626263 696354476 /nfs/dbraw/zinc/35/44/76/696354476.db2.gz OBQZJBOPLROPFP-UHFFFAOYSA-N -1 1 322.781 1.709 20 0 DDADMM Cn1c(CCNC(=O)CCCc2ccccn2)n[n-]c1=S ZINC000067119030 696359333 /nfs/dbraw/zinc/35/93/33/696359333.db2.gz YNRUUWSQWYOAGG-UHFFFAOYSA-N -1 1 305.407 1.554 20 0 DDADMM O=C(N[C@H]1C[C@@H](CNC(=O)C2CCCC2)C1)c1ncccc1[O-] ZINC000978500850 696396323 /nfs/dbraw/zinc/39/63/23/696396323.db2.gz CHKYPVSUGXQIIX-BJHJDKERSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1ccc2cccc(NC(=O)Cc3sc(N)nc3[O-])c2n1 ZINC000079956224 696465421 /nfs/dbraw/zinc/46/54/21/696465421.db2.gz LKODMIIFYNAHMS-NSHDSACASA-N -1 1 314.370 1.829 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC(C)(C)C[C@H](C)O ZINC000087424702 696568264 /nfs/dbraw/zinc/56/82/64/696568264.db2.gz PHIAGZDMGAXOML-JTQLQIEISA-N -1 1 309.410 1.729 20 0 DDADMM CCC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000979547301 696591872 /nfs/dbraw/zinc/59/18/72/696591872.db2.gz LOTCOIXHHWSYDM-QJPTWQEYSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(-n2ccc(C(F)(F)F)n2)cc1 ZINC000102895215 696612107 /nfs/dbraw/zinc/61/21/07/696612107.db2.gz DVEMTIIFDKZACS-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(-n2ccc(C(F)(F)F)n2)cc1 ZINC000102895215 696612108 /nfs/dbraw/zinc/61/21/08/696612108.db2.gz DVEMTIIFDKZACS-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM C[C@@H](CCc1ccccc1)NC(=O)Cc1sc(N)nc1[O-] ZINC000120782183 696701167 /nfs/dbraw/zinc/70/11/67/696701167.db2.gz NARSYDGWDOOISQ-JQWIXIFHSA-N -1 1 305.403 1.471 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@H]2c2ccccc2)s1 ZINC000120847363 696702357 /nfs/dbraw/zinc/70/23/57/696702357.db2.gz YFSBHMKJPLTSGK-NWDGAFQWSA-N -1 1 303.387 1.697 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H](C)C(F)(F)F)o1 ZINC000748242885 700107796 /nfs/dbraw/zinc/10/77/96/700107796.db2.gz UCSGZTYJNGPVIQ-ZCFIWIBFSA-N -1 1 315.269 1.543 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(C2OCCO2)c1)c1nn[n-]n1 ZINC000126039627 696758515 /nfs/dbraw/zinc/75/85/15/696758515.db2.gz GDPUXYZVQVKOPG-GFCCVEGCSA-N -1 1 317.349 1.516 20 0 DDADMM O=C(NC[C@H]1CSCCS1)c1nc2ccccc2c(=O)[n-]1 ZINC000131641207 696807970 /nfs/dbraw/zinc/80/79/70/696807970.db2.gz GQCXSJLVZVJAFY-VIFPVBQESA-N -1 1 321.427 1.502 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCCn1cccn1 ZINC000140734776 696866963 /nfs/dbraw/zinc/86/69/63/696866963.db2.gz GLGPKFQEEREOQI-UHFFFAOYSA-N -1 1 315.376 1.173 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CCSC ZINC000158555722 696991861 /nfs/dbraw/zinc/99/18/61/696991861.db2.gz KSZHFDVBCGOGAO-QMMMGPOBSA-N -1 1 321.424 1.006 20 0 DDADMM CCOc1ccc(N2C[C@@H](C(=O)[N-]OCCOC)CC2=O)cc1 ZINC000748766031 700133210 /nfs/dbraw/zinc/13/32/10/700133210.db2.gz CXXHNUXHWYRXDR-LBPRGKRZSA-N -1 1 322.361 1.132 20 0 DDADMM CC(C)(F)C(=O)N[C@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000983429588 697262860 /nfs/dbraw/zinc/26/28/60/697262860.db2.gz NYZCASLDYHZKQN-QWRGUYRKSA-N -1 1 323.368 1.693 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2ccccc2C)co1 ZINC000163456062 697333132 /nfs/dbraw/zinc/33/31/32/697333132.db2.gz MIEOYNHBLPIHQU-UHFFFAOYSA-N -1 1 309.343 1.853 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)Cc2ccccc2)co1 ZINC000166034968 697340777 /nfs/dbraw/zinc/34/07/77/697340777.db2.gz ZNSWPVKASXJOIR-LLVKDONJSA-N -1 1 323.370 1.976 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2cccc(C)c2F)n1 ZINC000180479020 697449135 /nfs/dbraw/zinc/44/91/35/697449135.db2.gz VHHVVAWZYCHNMU-UHFFFAOYSA-N -1 1 312.326 1.238 20 0 DDADMM CO[C@H](C)CC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000181076934 697454720 /nfs/dbraw/zinc/45/47/20/697454720.db2.gz BBSHJEBNDGLCAL-MRVPVSSYSA-N -1 1 304.343 1.561 20 0 DDADMM N#Cc1ccc(C(=O)NNC(=O)c2cccc(Cl)c2)cc1[O-] ZINC000188213466 697555291 /nfs/dbraw/zinc/55/52/91/697555291.db2.gz PBZKNFVTOGXYQW-UHFFFAOYSA-N -1 1 315.716 1.992 20 0 DDADMM Cc1ccsc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000985889233 697596506 /nfs/dbraw/zinc/59/65/06/697596506.db2.gz ZGBFDWALOOCJSK-ZJUUUORDSA-N -1 1 321.406 1.273 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-]C(=O)CCc2nc[nH]n2)c(C)c1 ZINC000190816593 697596584 /nfs/dbraw/zinc/59/65/84/697596584.db2.gz ZREFRQVPJWTDSU-UHFFFAOYSA-N -1 1 322.390 1.000 20 0 DDADMM COCCOc1cccc(CC(=O)[N-]O[C@H]2CCCCO2)c1 ZINC000772439860 697632172 /nfs/dbraw/zinc/63/21/72/697632172.db2.gz FYFOXKYSGDTMEU-INIZCTEOSA-N -1 1 309.362 1.829 20 0 DDADMM CC(NC1CCCC1)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000192696927 697636789 /nfs/dbraw/zinc/63/67/89/697636789.db2.gz WNEVESPKIWCASK-ZRDIBKRKSA-N -1 1 309.435 1.692 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1csnn1 ZINC000194574665 697714420 /nfs/dbraw/zinc/71/44/20/697714420.db2.gz ADWQFKGDLVFTRQ-UHFFFAOYSA-N -1 1 301.125 1.654 20 0 DDADMM CN1C(=O)CC[C@@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000194573701 697714577 /nfs/dbraw/zinc/71/45/77/697714577.db2.gz AJPQNMIRGYKZNU-ZCFIWIBFSA-N -1 1 314.139 1.109 20 0 DDADMM C[C@H]1[C@@H](NCc2nncs2)CCN1C(=O)c1ncccc1[O-] ZINC000986174138 697720141 /nfs/dbraw/zinc/72/01/41/697720141.db2.gz XGMFFMVMFJJZAA-UWVGGRQHSA-N -1 1 319.390 1.032 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773159397 697721204 /nfs/dbraw/zinc/72/12/04/697721204.db2.gz FIQPGKQHQIJSQC-OIBJUYFYSA-N -1 1 322.394 1.225 20 0 DDADMM O=C(CCCc1ccccc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773160076 697721360 /nfs/dbraw/zinc/72/13/60/697721360.db2.gz GXTHIGPKKSPOHV-CYBMUJFWSA-N -1 1 317.418 1.839 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]C(=O)CCCCc2cn[nH]n2)c1 ZINC000195255428 697736571 /nfs/dbraw/zinc/73/65/71/697736571.db2.gz ZMKNDMWBLSHIKF-UHFFFAOYSA-N -1 1 322.390 1.331 20 0 DDADMM C[C@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000230139094 697781171 /nfs/dbraw/zinc/78/11/71/697781171.db2.gz VULUMPWHASKNHG-PELKAZGASA-N -1 1 318.326 1.580 20 0 DDADMM COc1ccc(N(C)C(=O)c2ccc3n[n-]c(=S)n3c2)cn1 ZINC000773881176 697817804 /nfs/dbraw/zinc/81/78/04/697817804.db2.gz UNVSZFMXNWSAJI-UHFFFAOYSA-N -1 1 315.358 1.698 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2ccc(N)cn2)c1 ZINC000776459334 698107687 /nfs/dbraw/zinc/10/76/87/698107687.db2.gz YJKRABXMXKCLKL-UHFFFAOYSA-N -1 1 300.314 1.972 20 0 DDADMM C[C@@H](Cc1ccccc1)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776563981 698117163 /nfs/dbraw/zinc/11/71/63/698117163.db2.gz LLBBFCCMWSMART-WCQYABFASA-N -1 1 317.418 1.695 20 0 DDADMM CC(Cl)(Cl)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000777221252 698174542 /nfs/dbraw/zinc/17/45/42/698174542.db2.gz FQWGFALJISVWQQ-UHFFFAOYSA-N -1 1 304.129 1.680 20 0 DDADMM COC(=O)C1(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)CC1 ZINC000777399619 698186987 /nfs/dbraw/zinc/18/69/87/698186987.db2.gz RNIGYQVOYDFJCY-UHFFFAOYSA-N -1 1 307.350 1.297 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2cnn(CC3CC3)c2)n1 ZINC000777924272 698231783 /nfs/dbraw/zinc/23/17/83/698231783.db2.gz WMKNRWFCUONSBD-MRVPVSSYSA-N -1 1 311.367 1.024 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)CCOC1 ZINC000778026926 698238239 /nfs/dbraw/zinc/23/82/39/698238239.db2.gz DVOJPFGBIJCILM-SNVBAGLBSA-N -1 1 311.190 1.846 20 0 DDADMM Cc1ccsc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000987848244 698246149 /nfs/dbraw/zinc/24/61/49/698246149.db2.gz ZSGRTOOVFGRDCI-NXEZZACHSA-N -1 1 321.406 1.273 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc(F)c1 ZINC000987922664 698266604 /nfs/dbraw/zinc/26/66/04/698266604.db2.gz XYMYZRHUGIWNFR-SKDRFNHKSA-N -1 1 319.340 1.042 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](CO)C[C@H](O)c2ccccc2)c([O-])c1 ZINC000779024628 698391125 /nfs/dbraw/zinc/39/11/25/698391125.db2.gz NLUHNVXOJAMJLL-KGLIPLIRSA-N -1 1 316.357 1.310 20 0 DDADMM Cc1cc(C)c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)o1 ZINC000988514252 698395069 /nfs/dbraw/zinc/39/50/69/698395069.db2.gz COPISCXRNVNGHI-GXSJLCMTSA-N -1 1 319.365 1.113 20 0 DDADMM CC(C)[C@H](NC(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000780382131 698513578 /nfs/dbraw/zinc/51/35/78/698513578.db2.gz UWXWDRLSRGOCHM-HNNXBMFYSA-N -1 1 319.405 1.453 20 0 DDADMM CN(C)Cc1cc(CNC(=O)N(CC(=O)[O-])C2CC2)ccc1F ZINC000780576115 698534717 /nfs/dbraw/zinc/53/47/17/698534717.db2.gz IZCBQBRNDYJVEQ-UHFFFAOYSA-N -1 1 323.368 1.646 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1C[C@H]2C[C@@]2(CO)C1 ZINC000783786722 698879605 /nfs/dbraw/zinc/87/96/05/698879605.db2.gz RGTKVTLHJPTTPF-RNCFNFMXSA-N -1 1 301.264 1.865 20 0 DDADMM CC(C)[C@H](CC(F)(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000783870365 698888658 /nfs/dbraw/zinc/88/86/58/698888658.db2.gz VIJQJFUIICGFED-VIFPVBQESA-N -1 1 321.299 1.020 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H](CO)CC1 ZINC000378797159 699056923 /nfs/dbraw/zinc/05/69/23/699056923.db2.gz SNGLPEKDZMFREI-LBPRGKRZSA-N -1 1 307.394 1.849 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]C[C@@H]1CCC=CO1 ZINC000380076163 699060929 /nfs/dbraw/zinc/06/09/29/699060929.db2.gz RZZGZQSGMZNMSR-VIFPVBQESA-N -1 1 316.310 1.705 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])[C@@H](C)O1 ZINC000384794103 699073312 /nfs/dbraw/zinc/07/33/12/699073312.db2.gz RNQWVMJQTFKRPO-MRTMQBJTSA-N -1 1 318.326 1.578 20 0 DDADMM CC(C)[C@@H](CO)C[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000394034462 699105416 /nfs/dbraw/zinc/10/54/16/699105416.db2.gz NGIIBPYXNDSABP-SECBINFHSA-N -1 1 320.342 1.277 20 0 DDADMM C[C@H]1CSC[C@@H]1[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000397865489 699118001 /nfs/dbraw/zinc/11/80/01/699118001.db2.gz ZXLZIJONNVQMOX-CBAPKCEASA-N -1 1 320.367 1.764 20 0 DDADMM O=C(NC1CN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)C1)c1ncccc1[O-] ZINC000990978396 699197894 /nfs/dbraw/zinc/19/78/94/699197894.db2.gz OFUHRMVVTZSBEE-IJLUTSLNSA-N -1 1 315.373 1.164 20 0 DDADMM O=S(=O)([N-]CCC1CC(O)C1)c1ccc(Cl)nc1F ZINC000705273444 699240253 /nfs/dbraw/zinc/24/02/53/699240253.db2.gz BVZCVZJWNDEQCO-UHFFFAOYSA-N -1 1 308.762 1.313 20 0 DDADMM C[C@H]1CCCN(Cc2cn(C)nc2Br)[C@H]1C(=O)[O-] ZINC000714079374 699269433 /nfs/dbraw/zinc/26/94/33/699269433.db2.gz OTOKVPGGPXJRQX-WCBMZHEXSA-N -1 1 316.199 1.868 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000788146562 699284206 /nfs/dbraw/zinc/28/42/06/699284206.db2.gz CWOFFLIDNFVTJB-QWRGUYRKSA-N -1 1 313.427 1.267 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@@H]1CCCOC1 ZINC000723871698 699322231 /nfs/dbraw/zinc/32/22/31/699322231.db2.gz VTXJKMXTPGCHAA-ZJUUUORDSA-N -1 1 321.830 1.557 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CC(O)C2)c1ccc(Cl)nc1F ZINC000724970708 699328282 /nfs/dbraw/zinc/32/82/82/699328282.db2.gz JLCZTODXUUUZNY-WINXVSCGSA-N -1 1 306.746 1.066 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)OC(C)(C)C ZINC000725883589 699337160 /nfs/dbraw/zinc/33/71/60/699337160.db2.gz BOJDAOBRWPMAOO-UHFFFAOYSA-N -1 1 309.366 1.774 20 0 DDADMM COCCONC(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000789338607 699379403 /nfs/dbraw/zinc/37/94/03/699379403.db2.gz MBASHUYHIARHRJ-UHFFFAOYSA-N -1 1 322.361 1.575 20 0 DDADMM C[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]2CCCC[C@H]12 ZINC000726865523 699385987 /nfs/dbraw/zinc/38/59/87/699385987.db2.gz ITNKMTDCDNNSAW-MGPQQGTHSA-N -1 1 319.405 1.354 20 0 DDADMM CSc1nc(C)c(CCC(=O)OC[C@@H]2CCCO2)c(=O)[n-]1 ZINC000728763237 699455224 /nfs/dbraw/zinc/45/52/24/699455224.db2.gz QMYIJZUHPORVEZ-JTQLQIEISA-N -1 1 312.391 1.867 20 0 DDADMM COC(=O)c1sccc1OS(=O)(=O)c1c[n-]nc1C ZINC000732220264 699551183 /nfs/dbraw/zinc/55/11/83/699551183.db2.gz HWSLTMVLQRGYEN-UHFFFAOYSA-N -1 1 302.333 1.334 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC[C@@H](N2CCCC2=O)C1 ZINC000791080617 699610396 /nfs/dbraw/zinc/61/03/96/699610396.db2.gz FDYNAUSDFVJLNI-SNVBAGLBSA-N -1 1 308.765 1.883 20 0 DDADMM Cc1nc2ccccc2n1CC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791163807 699613609 /nfs/dbraw/zinc/61/36/09/699613609.db2.gz FAMYHIYJPNYTTI-UHFFFAOYSA-N -1 1 320.356 1.639 20 0 DDADMM O=C(CCCc1cccs1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737834889 699746054 /nfs/dbraw/zinc/74/60/54/699746054.db2.gz ABNOUCCHEBGHDQ-NSHDSACASA-N -1 1 307.379 1.184 20 0 DDADMM O=C(c1cnc2ccsc2c1)N1CCC(c2nn[n-]n2)CC1 ZINC000738284458 699756619 /nfs/dbraw/zinc/75/66/19/699756619.db2.gz VCBYVOQIXDBNDF-UHFFFAOYSA-N -1 1 314.374 1.829 20 0 DDADMM CN(C)c1n[nH]c([N-]S(=O)(=O)C=Cc2ccc(F)cc2)n1 ZINC000795604437 699878276 /nfs/dbraw/zinc/87/82/76/699878276.db2.gz NENGTZGHFNMHMQ-FPLPWBNLSA-N -1 1 311.342 1.422 20 0 DDADMM O=C(Nc1c([O-])cccc1F)[C@H]1CC[C@@H](C(F)(F)F)NC1=O ZINC000743415500 699911694 /nfs/dbraw/zinc/91/16/94/699911694.db2.gz CXHKOENMPDZUMO-RCOVLWMOSA-N -1 1 320.242 1.927 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cc(OC)cc(C)n2)[n-]1 ZINC000796344479 699927821 /nfs/dbraw/zinc/92/78/21/699927821.db2.gz HWAHPQKGTSHZRK-UHFFFAOYSA-N -1 1 304.302 1.870 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)Cn2ccccc2=O)c1 ZINC000744576128 699954478 /nfs/dbraw/zinc/95/44/78/699954478.db2.gz MQNYLULNWBYMKU-UHFFFAOYSA-N -1 1 315.325 1.542 20 0 DDADMM C[C@@H](OC(=O)c1nn(-c2ccccc2)cc1[O-])c1nnnn1C ZINC000801203600 700277263 /nfs/dbraw/zinc/27/72/63/700277263.db2.gz MISZESADHIVEFL-SECBINFHSA-N -1 1 314.305 1.019 20 0 DDADMM Cn1ncnc1COC(=O)c1ccc(Br)c([O-])c1 ZINC000801293310 700291062 /nfs/dbraw/zinc/29/10/62/700291062.db2.gz VSVIBXMOBMCEHH-UHFFFAOYSA-N -1 1 312.123 1.640 20 0 DDADMM COC(=O)[C@@H](C)OC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801325332 700297213 /nfs/dbraw/zinc/29/72/13/700297213.db2.gz COHCOFHLZDEHDV-MRVPVSSYSA-N -1 1 308.265 1.435 20 0 DDADMM CC[C@@H](C)OCC(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000751875312 700322162 /nfs/dbraw/zinc/32/21/62/700322162.db2.gz OIBZJPIEJTVXJE-LLVKDONJSA-N -1 1 323.345 1.310 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CCc3ccccc3C(N)=O)ccnc1-2 ZINC000801701342 700332134 /nfs/dbraw/zinc/33/21/34/700332134.db2.gz KNGSLDGDCSYBLI-UHFFFAOYSA-N -1 1 323.356 1.012 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CCOCc3ccccc3)ccnc1-2 ZINC000801701761 700332542 /nfs/dbraw/zinc/33/25/42/700332542.db2.gz FEPOGXZWYUESOX-UHFFFAOYSA-N -1 1 310.357 1.887 20 0 DDADMM CN(C)C(=O)c1cccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000801702117 700332644 /nfs/dbraw/zinc/33/26/44/700332644.db2.gz RCMHTZHHGAOLMD-UHFFFAOYSA-N -1 1 323.356 1.296 20 0 DDADMM [O-]C(=NO[C@H]1CCCCO1)Nc1cn(Cc2ccccc2)nn1 ZINC000801730578 700335166 /nfs/dbraw/zinc/33/51/66/700335166.db2.gz LMYBVYIKIRHTGA-AWEZNQCLSA-N -1 1 317.349 1.906 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1cn(Cc2ccccc2)nn1 ZINC000801730578 700335171 /nfs/dbraw/zinc/33/51/71/700335171.db2.gz LMYBVYIKIRHTGA-AWEZNQCLSA-N -1 1 317.349 1.906 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCNc2ccccc2)co1 ZINC000755907283 700591481 /nfs/dbraw/zinc/59/14/81/700591481.db2.gz AJPCNWPYVROEOJ-UHFFFAOYSA-N -1 1 323.374 1.030 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CC(C)(F)F)cc1 ZINC000758705348 700719431 /nfs/dbraw/zinc/71/94/31/700719431.db2.gz PTYAEJMTQZQFFR-UHFFFAOYSA-N -1 1 315.272 1.540 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1nc(Cl)ccc1F ZINC000759128132 700738407 /nfs/dbraw/zinc/73/84/07/700738407.db2.gz VTXSQVUKCUXSOT-UHFFFAOYSA-N -1 1 310.720 1.587 20 0 DDADMM CCn1cnc(S(=O)(=O)[N-]c2ccc3nc(C)[nH]c3c2)c1 ZINC000759146679 700739352 /nfs/dbraw/zinc/73/93/52/700739352.db2.gz IFJLHOFUEZAEHY-UHFFFAOYSA-N -1 1 305.363 1.889 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCOCC(C)C)co1 ZINC000759705488 700767276 /nfs/dbraw/zinc/76/72/76/700767276.db2.gz QZDRGYBBMVQWMQ-UHFFFAOYSA-N -1 1 305.352 1.017 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N(CC(=O)[O-])CC1CC1 ZINC000763057143 700922828 /nfs/dbraw/zinc/92/28/28/700922828.db2.gz BSRBSJLNYKUPKZ-AWEZNQCLSA-N -1 1 320.389 1.798 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCN(C(=O)OC(C)(C)C)C2)c([O-])c1 ZINC000763250388 700931715 /nfs/dbraw/zinc/93/17/15/700931715.db2.gz MGHHXFWFLXHYAZ-LLVKDONJSA-N -1 1 321.377 1.835 20 0 DDADMM CC(C)CCOc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765385435 701009112 /nfs/dbraw/zinc/00/91/12/701009112.db2.gz IEWCSIPHIKEPPS-UHFFFAOYSA-N -1 1 319.361 1.890 20 0 DDADMM CC(C)COc1cccc(C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765407838 701010100 /nfs/dbraw/zinc/01/01/00/701010100.db2.gz CAKHTOKKAVTDMD-UHFFFAOYSA-N -1 1 305.334 1.500 20 0 DDADMM Cn1[n-]c(COC(=O)C2(c3cccc(Cl)c3)CCC2)nc1=O ZINC000765410628 701010475 /nfs/dbraw/zinc/01/04/75/701010475.db2.gz RRACXYUOMJZACG-UHFFFAOYSA-N -1 1 321.764 1.927 20 0 DDADMM Cn1[n-]c(COC(=O)CCSc2ccc(F)cc2)nc1=O ZINC000765411041 701010483 /nfs/dbraw/zinc/01/04/83/701010483.db2.gz WCNRBKYVGPCJCC-UHFFFAOYSA-N -1 1 311.338 1.473 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)OCc2nc(=O)n(C)[n-]2)cc1C ZINC000765422416 701011254 /nfs/dbraw/zinc/01/12/54/701011254.db2.gz WXNTVXOSQPOLLG-UHFFFAOYSA-N -1 1 317.345 1.432 20 0 DDADMM Cn1[n-]c(COC(=O)CCCOCc2ccccc2)nc1=O ZINC000765462081 701013806 /nfs/dbraw/zinc/01/38/06/701013806.db2.gz OROKFSFBGXPRHD-UHFFFAOYSA-N -1 1 305.334 1.149 20 0 DDADMM C[C@H](CC(=O)OCc1nc(=O)n(C)[n-]1)C(=O)c1ccccc1 ZINC000765475267 701014513 /nfs/dbraw/zinc/01/45/13/701014513.db2.gz VQSSBWBPBIGFOP-SNVBAGLBSA-N -1 1 303.318 1.061 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc([C@@H]3CCCOC3)c2)nc1=O ZINC000765494963 701016142 /nfs/dbraw/zinc/01/61/42/701016142.db2.gz CDFRFGKUCIRRCZ-CYBMUJFWSA-N -1 1 317.345 1.359 20 0 DDADMM Cc1ccsc1S(=O)(=O)[N-]C(=O)c1ccc2cncn2c1 ZINC000802868007 701065059 /nfs/dbraw/zinc/06/50/59/701065059.db2.gz YATOIQXQZXOFDO-UHFFFAOYSA-N -1 1 321.383 1.823 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2occ3c2CCOC3)c[n-]1 ZINC000803192991 701088238 /nfs/dbraw/zinc/08/82/38/701088238.db2.gz XWPYQFZZXAZMAP-UHFFFAOYSA-N -1 1 305.290 1.504 20 0 DDADMM CNC(=O)c1ccc(CNC(=O)c2ccc([O-])c(F)c2)cc1 ZINC000767297850 701096493 /nfs/dbraw/zinc/09/64/93/701096493.db2.gz CKDCRIRCNOFMIR-UHFFFAOYSA-N -1 1 302.305 1.821 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCc1cn[nH]c1 ZINC000803322341 701101574 /nfs/dbraw/zinc/10/15/74/701101574.db2.gz BSRUMLWCKXRHJY-UHFFFAOYSA-N -1 1 315.333 1.451 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](c1ccccn1)C(C)C ZINC000809819249 701692605 /nfs/dbraw/zinc/69/26/05/701692605.db2.gz YWTHJYOJQHEPJB-LLVKDONJSA-N -1 1 310.379 1.636 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)[N-]OCC(F)(F)F)c2=O ZINC000768292033 701167094 /nfs/dbraw/zinc/16/70/94/701167094.db2.gz JIFOEQSDWQFQCO-UHFFFAOYSA-N -1 1 316.235 1.760 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)Nc1ccc(Cl)c(Cl)c1 ZINC000805604973 701397751 /nfs/dbraw/zinc/39/77/51/701397751.db2.gz TWZRPBJDMLXRHJ-UHFFFAOYSA-N -1 1 315.116 1.907 20 0 DDADMM O=C(O[C@H]1CCCN(c2ccccc2Cl)C1=O)c1cn[n-]n1 ZINC000805605820 701398671 /nfs/dbraw/zinc/39/86/71/701398671.db2.gz QKPXXPZIDXYPIN-LBPRGKRZSA-N -1 1 320.736 1.811 20 0 DDADMM Cc1ccccc1CN(C)C(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805608248 701399761 /nfs/dbraw/zinc/39/97/61/701399761.db2.gz BZIUOYJHRKMYJX-NSHDSACASA-N -1 1 302.334 1.317 20 0 DDADMM CO[C@H](CNC(=O)N=c1ccnc2n(C)[n-]cc1-2)C(F)(F)F ZINC000806490865 701437867 /nfs/dbraw/zinc/43/78/67/701437867.db2.gz OYTGNNIMPADOAZ-SECBINFHSA-N -1 1 317.271 1.041 20 0 DDADMM CC(C)Cc1cc(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)n[nH]1 ZINC000806492761 701437952 /nfs/dbraw/zinc/43/79/52/701437952.db2.gz NZKCNJZBVARNHH-UHFFFAOYSA-N -1 1 313.365 1.907 20 0 DDADMM C[C@@H]1CCCCCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806898345 701453179 /nfs/dbraw/zinc/45/31/79/701453179.db2.gz BSSCGZXGZVHSCV-LLVKDONJSA-N -1 1 315.377 1.071 20 0 DDADMM Cc1nn(C)cc1NC(=O)c1[n-]cnc1C(=O)c1ccccc1 ZINC000806913054 701454272 /nfs/dbraw/zinc/45/42/72/701454272.db2.gz OZJFOEFLXLFNSQ-UHFFFAOYSA-N -1 1 309.329 1.935 20 0 DDADMM O=C(NC[C@H]1CCCN1CC(F)(F)F)c1cncc([O-])c1 ZINC000830945497 706608261 /nfs/dbraw/zinc/60/82/61/706608261.db2.gz SCFWTNQIWNGTRM-SNVBAGLBSA-N -1 1 303.284 1.544 20 0 DDADMM CC(C)CCNC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000867952054 701850020 /nfs/dbraw/zinc/85/00/20/701850020.db2.gz RUKGEBXQLOFWKU-LBPRGKRZSA-N -1 1 309.332 1.885 20 0 DDADMM CO[C@H]1CCCC[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000815382860 701855178 /nfs/dbraw/zinc/85/51/78/701855178.db2.gz LFRQZNQSPPKRQQ-KGLIPLIRSA-N -1 1 319.357 1.691 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2ccc(N(C)C)nc2)[nH]nc1C1CC1 ZINC000811824036 702068132 /nfs/dbraw/zinc/06/81/32/702068132.db2.gz DTAIIHXNVCEMKP-UHFFFAOYSA-N -1 1 321.406 1.857 20 0 DDADMM CC1(CNC(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000868334678 702068995 /nfs/dbraw/zinc/06/89/95/702068995.db2.gz RGBBUHXGKFQVMH-LBPRGKRZSA-N -1 1 307.316 1.639 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H](OC)C(C)C)co1 ZINC000816355715 702070519 /nfs/dbraw/zinc/07/05/19/702070519.db2.gz OMFWYMZBULQGEW-SNVBAGLBSA-N -1 1 305.352 1.016 20 0 DDADMM CCCc1[nH]ccc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831121995 706638681 /nfs/dbraw/zinc/63/86/81/706638681.db2.gz SELRBQISAIRJQR-UHFFFAOYSA-N -1 1 317.311 1.718 20 0 DDADMM C[C@@H]1CC[C@@H](CCC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)O1 ZINC000831140497 706642569 /nfs/dbraw/zinc/64/25/69/706642569.db2.gz YOONDFQPABPRJA-KOLCDFICSA-N -1 1 322.327 1.471 20 0 DDADMM O=C(Cc1sc(N2CCC2)nc1[O-])Nc1cccc(O)c1 ZINC000840700539 702214641 /nfs/dbraw/zinc/21/46/41/702214641.db2.gz CGOFWRDYKZYVKU-NSHDSACASA-N -1 1 305.359 1.425 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NOC2CCC2)o1 ZINC000812789929 702239407 /nfs/dbraw/zinc/23/94/07/702239407.db2.gz PZEHEPPPIPVADW-UHFFFAOYSA-N -1 1 316.379 1.570 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-]Cc1nc(C2CC2)no1 ZINC000817131499 702281720 /nfs/dbraw/zinc/28/17/20/702281720.db2.gz ZWSFSZNWEOMXBM-NSHDSACASA-N -1 1 317.411 1.428 20 0 DDADMM CCC[C@H](NC(=O)c1ccnc(C(F)(F)F)c1)c1nn[n-]n1 ZINC000813474776 702356651 /nfs/dbraw/zinc/35/66/51/702356651.db2.gz BSRIEVNGNJZXRO-QMMMGPOBSA-N -1 1 314.271 1.885 20 0 DDADMM CCCNS(=O)(=O)[N-][C@@H](C(=O)OC)c1cc(F)ccc1F ZINC000813662405 702394110 /nfs/dbraw/zinc/39/41/10/702394110.db2.gz FMCIONIKFKEACG-LLVKDONJSA-N -1 1 322.333 1.013 20 0 DDADMM COC(=O)C[C@@H]1COCCN1C(=O)c1c([O-])cccc1Cl ZINC000813664424 702394209 /nfs/dbraw/zinc/39/42/09/702394209.db2.gz MBKWYRRIRVJRMB-SECBINFHSA-N -1 1 313.737 1.450 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@@H]2COC(=O)N[C@H]2C1 ZINC000869121612 702469474 /nfs/dbraw/zinc/46/94/74/702469474.db2.gz FSYVUHRCSONZRH-PWSUYJOCSA-N -1 1 324.764 1.545 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)Cc1ccsc1 ZINC000869316051 702566424 /nfs/dbraw/zinc/56/64/24/702566424.db2.gz LETOKEQWBPBIKE-UHFFFAOYSA-N -1 1 323.292 1.472 20 0 DDADMM C[C@H]1CC[N@@H+](CCOc2ccccc2C(=O)[O-])C[C@@H]1C(=O)[O-] ZINC000842935008 702791965 /nfs/dbraw/zinc/79/19/65/702791965.db2.gz CCOWDHOSYFQZDV-AAEUAGOBSA-N -1 1 307.346 1.806 20 0 DDADMM CS[C@@H](C)CCC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016004 702803823 /nfs/dbraw/zinc/80/38/23/702803823.db2.gz LIZAWDBTYGVLEK-NSHDSACASA-N -1 1 322.496 1.448 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014961 702803918 /nfs/dbraw/zinc/80/39/18/702803918.db2.gz JGJJXBNQOBSOQY-VXGBXAGGSA-N -1 1 302.440 1.209 20 0 DDADMM CSCCCC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843018053 702804241 /nfs/dbraw/zinc/80/42/41/702804241.db2.gz LZAAPOXBOHSRKU-UHFFFAOYSA-N -1 1 308.469 1.060 20 0 DDADMM C[C@@H]1CC[C@H](CC(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843017022 702804246 /nfs/dbraw/zinc/80/42/46/702804246.db2.gz YLALJGLEYFWQRB-OLZOCXBDSA-N -1 1 316.467 1.743 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2ccc(Cl)o2)CCC1 ZINC000843018764 702804327 /nfs/dbraw/zinc/80/43/27/702804327.db2.gz CVYVUBLLBSDKSZ-UHFFFAOYSA-N -1 1 320.798 1.477 20 0 DDADMM C[C@@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccc(Cl)cc1 ZINC000845462068 703159849 /nfs/dbraw/zinc/15/98/49/703159849.db2.gz GPPCJIVXMIEJSB-BXKDBHETSA-N -1 1 321.768 1.557 20 0 DDADMM COc1cc(N2CCN(C/C=C(\C)C(=O)[O-])CC2)ccc1F ZINC000846262690 703257610 /nfs/dbraw/zinc/25/76/10/703257610.db2.gz TVGYEUOACBOXJS-LFYBBSHMSA-N -1 1 308.353 1.987 20 0 DDADMM CN(CCSC(C)(C)C)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000846270639 703258715 /nfs/dbraw/zinc/25/87/15/703258715.db2.gz KSOFWTLWTPJJBL-UHFFFAOYSA-N -1 1 316.467 1.773 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn(C(C)C)c2N)n1 ZINC000846651471 703308747 /nfs/dbraw/zinc/30/87/47/703308747.db2.gz UESUTUOHZOPTMW-UHFFFAOYSA-N -1 1 306.326 1.198 20 0 DDADMM Cc1cc(C2CCN(C(=O)c3ccnc(C(=O)[O-])c3)CC2)n[nH]1 ZINC000846704107 703321299 /nfs/dbraw/zinc/32/12/99/703321299.db2.gz OUXUBXFXBUDJLQ-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847094315 703377063 /nfs/dbraw/zinc/37/70/63/703377063.db2.gz ZSEPIUTWGKJMSH-STRFDMGBSA-N -1 1 314.345 1.980 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](F)Cc2ccccc2)n[n-]1 ZINC000879654501 706750768 /nfs/dbraw/zinc/75/07/68/706750768.db2.gz KVFBOCLOGFIGEA-LLVKDONJSA-N -1 1 320.324 1.178 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](F)Cc2ccccc2)n1 ZINC000879654501 706750771 /nfs/dbraw/zinc/75/07/71/706750771.db2.gz KVFBOCLOGFIGEA-LLVKDONJSA-N -1 1 320.324 1.178 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1cccc2nonc21)C(C)(F)F ZINC000848701050 703577812 /nfs/dbraw/zinc/57/78/12/703577812.db2.gz XBSJBDCGMWQHFH-UHFFFAOYSA-N -1 1 305.306 1.935 20 0 DDADMM COC[C@H](OC)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000850200403 703713341 /nfs/dbraw/zinc/71/33/41/703713341.db2.gz VJZGOBPFIJHDEK-ZDUSSCGKSA-N -1 1 308.286 1.203 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)c2cc(C3CC3)[nH]n2)CC12CCC2 ZINC000851611746 703815288 /nfs/dbraw/zinc/81/52/88/703815288.db2.gz SAQOBBXKZBGYTQ-OAHLLOKOSA-N -1 1 307.325 1.706 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CC[C@H](C)C2)cc1C ZINC000851786270 703855177 /nfs/dbraw/zinc/85/51/77/703855177.db2.gz SZITXAXCXRGFDF-WPRPVWTQSA-N -1 1 301.364 1.842 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCCc2ccco2)c1Cl ZINC000851849836 703870407 /nfs/dbraw/zinc/87/04/07/703870407.db2.gz XZXHHMIFDAKMPW-UHFFFAOYSA-N -1 1 303.771 1.578 20 0 DDADMM O=C(c1ccon1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870160798 703938982 /nfs/dbraw/zinc/93/89/82/703938982.db2.gz VBUADGZQGRKUJR-UHFFFAOYSA-N -1 1 321.764 1.992 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(CCc2cscn2)C1 ZINC000879765999 706785710 /nfs/dbraw/zinc/78/57/10/706785710.db2.gz KHMKCMFAOBCZGQ-NSHDSACASA-N -1 1 307.341 1.829 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC2(C)OCCO2)c(F)c1 ZINC000819877891 704179728 /nfs/dbraw/zinc/17/97/28/704179728.db2.gz HPARVKYWQGDVTJ-UHFFFAOYSA-N -1 1 307.318 1.315 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC2CCOCC2)c1 ZINC000820052766 704211340 /nfs/dbraw/zinc/21/13/40/704211340.db2.gz HLGJZJCEBXNSSE-OAQYLSRUSA-N -1 1 312.387 1.773 20 0 DDADMM CC(C)CN1C[C@@H](C(=O)[N-]OCCCC(F)(F)F)CC1=O ZINC000871212390 704243962 /nfs/dbraw/zinc/24/39/62/704243962.db2.gz SHUYDLITMQKDFH-JTQLQIEISA-N -1 1 310.316 1.881 20 0 DDADMM C[S@@](=O)CC[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866797346 706804774 /nfs/dbraw/zinc/80/47/74/706804774.db2.gz MPAUOBXBBWKXJU-MRXNPFEDSA-N -1 1 317.219 1.045 20 0 DDADMM Cc1ncccc1C=CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342532 704382368 /nfs/dbraw/zinc/38/23/68/704382368.db2.gz WQNOJWQORYEKHJ-SNAWJCMRSA-N -1 1 314.345 1.898 20 0 DDADMM CN(C(=O)CNc1cccc(-c2nnn[n-]2)n1)C1CCCCC1 ZINC000821570757 704423482 /nfs/dbraw/zinc/42/34/82/704423482.db2.gz JRTDSQULOPYFHQ-UHFFFAOYSA-N -1 1 315.381 1.465 20 0 DDADMM CN(C(=O)CNc1cccc(-c2nn[n-]n2)n1)C1CCCCC1 ZINC000821570757 704423484 /nfs/dbraw/zinc/42/34/84/704423484.db2.gz JRTDSQULOPYFHQ-UHFFFAOYSA-N -1 1 315.381 1.465 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@H]2CC(C)=NO2)c(=O)[n-]1 ZINC000854794350 704453293 /nfs/dbraw/zinc/45/32/93/704453293.db2.gz ZRBDKWQLSOVXRW-SNVBAGLBSA-N -1 1 324.406 1.426 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)c2ncnn21 ZINC000855329764 704478951 /nfs/dbraw/zinc/47/89/51/704478951.db2.gz ADBZTZQEMFOVKA-CBAPKCEASA-N -1 1 315.362 1.045 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)[C@@](C)(CO)C1 ZINC000856246491 704519561 /nfs/dbraw/zinc/51/95/61/704519561.db2.gz FZXAFHMPJOBPJL-QLJPJBMISA-N -1 1 307.394 1.705 20 0 DDADMM O=C([O-])CN(CCS(=O)(=O)C1CCCCC1)C1CCC1 ZINC000857084016 704550067 /nfs/dbraw/zinc/55/00/67/704550067.db2.gz LOWCTVQQIHHDQF-UHFFFAOYSA-N -1 1 303.424 1.673 20 0 DDADMM C[C@@H]1CCCN(CCS(=O)(=O)C2CCCCC2)[C@H]1C(=O)[O-] ZINC000857084567 704550152 /nfs/dbraw/zinc/55/01/52/704550152.db2.gz WGYLEXBHCMEJRQ-TZMCWYRMSA-N -1 1 317.451 1.919 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)Cc1cc2ccccc2[nH]c1=O ZINC000857287299 704574680 /nfs/dbraw/zinc/57/46/80/704574680.db2.gz NTABQVIWNGVIFV-AWEZNQCLSA-N -1 1 304.346 1.548 20 0 DDADMM COC(=O)C1(CNc2cc(Cl)[n-]c(=O)n2)CCOCC1 ZINC000858477226 704716164 /nfs/dbraw/zinc/71/61/64/704716164.db2.gz IAXCNWMJVFXKDQ-UHFFFAOYSA-N -1 1 301.730 1.217 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NCCc1n[n-]c(=S)o1 ZINC000822043739 704867194 /nfs/dbraw/zinc/86/71/94/704867194.db2.gz LNMUDXHQSPIXIT-UHFFFAOYSA-N -1 1 320.418 1.192 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1CCC[C@H]1Cc1ccccc1 ZINC000822325815 704917876 /nfs/dbraw/zinc/91/78/76/704917876.db2.gz FBXYLEYGMWKCMZ-STQMWFEESA-N -1 1 301.346 1.228 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)[C@@H](O)C(C)C ZINC000867405046 706990338 /nfs/dbraw/zinc/99/03/38/706990338.db2.gz DLFLTVPBFFLQOC-KWQFWETISA-N -1 1 324.805 1.948 20 0 DDADMM CCC[C@@H](C)[C@H](CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867459146 707007952 /nfs/dbraw/zinc/00/79/52/707007952.db2.gz SRYQQOAODJFSIO-BDAKNGLRSA-N -1 1 308.350 1.435 20 0 DDADMM COC1CC(CC[N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000867497929 707019624 /nfs/dbraw/zinc/01/96/24/707019624.db2.gz WXYNJPUUSOHKCR-UHFFFAOYSA-N -1 1 322.789 1.968 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](C(C)(C)O)C1 ZINC000874878842 705212184 /nfs/dbraw/zinc/21/21/84/705212184.db2.gz NIXNUPDJGSUDKE-NSHDSACASA-N -1 1 307.394 1.847 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2cc3ccncc3s2)C1=O ZINC000823677566 705293925 /nfs/dbraw/zinc/29/39/25/705293925.db2.gz XUJJVIURWKWBBY-AWEZNQCLSA-N -1 1 318.358 1.662 20 0 DDADMM OCCOc1ccc(NCc2ccc(-c3nnn[n-]3)o2)cc1 ZINC000823722204 705301835 /nfs/dbraw/zinc/30/18/35/705301835.db2.gz NNTRLULJAAZHOH-UHFFFAOYSA-N -1 1 301.306 1.443 20 0 DDADMM OCCOc1ccc(NCc2ccc(-c3nn[n-]n3)o2)cc1 ZINC000823722204 705301838 /nfs/dbraw/zinc/30/18/38/705301838.db2.gz NNTRLULJAAZHOH-UHFFFAOYSA-N -1 1 301.306 1.443 20 0 DDADMM O=C(CN1CCSC1=O)NCc1ccc([O-])c(Cl)c1 ZINC000834834065 707133728 /nfs/dbraw/zinc/13/37/28/707133728.db2.gz VDIVQBSOOCKRKK-UHFFFAOYSA-N -1 1 300.767 1.831 20 0 DDADMM C[C@H]1CC[C@@H](CNC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000875551417 705426844 /nfs/dbraw/zinc/42/68/44/705426844.db2.gz VELVVDDALWKDEW-VHSXEESVSA-N -1 1 321.343 1.743 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CCCCCC1 ZINC000876299764 705682782 /nfs/dbraw/zinc/68/27/82/705682782.db2.gz XGSSEMBTTQUCIP-UHFFFAOYSA-N -1 1 306.366 1.341 20 0 DDADMM CCn1nc(C)cc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000825806739 705732401 /nfs/dbraw/zinc/73/24/01/705732401.db2.gz BWSXQVCVNVDYAB-UHFFFAOYSA-N -1 1 313.321 1.349 20 0 DDADMM CCn1cc(CN(C)C(=O)c2ccc3n[n-]c(=S)n3c2)cn1 ZINC000825835009 705735473 /nfs/dbraw/zinc/73/54/73/705735473.db2.gz AJKZRGFPNZDEOS-UHFFFAOYSA-N -1 1 316.390 1.507 20 0 DDADMM Cc1ncc(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)s1 ZINC000826330352 705791924 /nfs/dbraw/zinc/79/19/24/705791924.db2.gz QKOIUZYCUKJUOF-UHFFFAOYSA-N -1 1 305.323 1.109 20 0 DDADMM Cc1ncc(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)s1 ZINC000826330352 705791928 /nfs/dbraw/zinc/79/19/28/705791928.db2.gz QKOIUZYCUKJUOF-UHFFFAOYSA-N -1 1 305.323 1.109 20 0 DDADMM Cc1onc(CC(=O)N(CC(F)F)C(C)C)c1-c1nnn[n-]1 ZINC000826343512 705793023 /nfs/dbraw/zinc/79/30/23/705793023.db2.gz DLMUMNAMMVGIBX-UHFFFAOYSA-N -1 1 314.296 1.208 20 0 DDADMM Cc1onc(CC(=O)N(CC(F)F)C(C)C)c1-c1nn[n-]n1 ZINC000826343512 705793026 /nfs/dbraw/zinc/79/30/26/705793026.db2.gz DLMUMNAMMVGIBX-UHFFFAOYSA-N -1 1 314.296 1.208 20 0 DDADMM Cc1onc(CC(=O)N(CC2CC2)CC2CC2)c1-c1nnn[n-]1 ZINC000826343564 705793186 /nfs/dbraw/zinc/79/31/86/705793186.db2.gz GGWSAYDSWIQBPN-UHFFFAOYSA-N -1 1 316.365 1.354 20 0 DDADMM Cc1onc(CC(=O)N(CC2CC2)CC2CC2)c1-c1nn[n-]n1 ZINC000826343564 705793189 /nfs/dbraw/zinc/79/31/89/705793189.db2.gz GGWSAYDSWIQBPN-UHFFFAOYSA-N -1 1 316.365 1.354 20 0 DDADMM CCOC[C@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)CCO1 ZINC000862957576 705835937 /nfs/dbraw/zinc/83/59/37/705835937.db2.gz BLJNNZQRHOEJMD-GFCCVEGCSA-N -1 1 323.393 1.492 20 0 DDADMM Cc1cc(F)c(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(F)c1 ZINC000826834902 705880891 /nfs/dbraw/zinc/88/08/91/705880891.db2.gz YGCBKBLLWOUKOA-JTQLQIEISA-N -1 1 309.276 1.000 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@H](C(=O)[O-])c1cc(F)ccc1F ZINC000864115838 706071179 /nfs/dbraw/zinc/07/11/79/706071179.db2.gz ZUQACCYGZPVCAZ-OQPBUACISA-N -1 1 323.299 1.809 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000827901680 706084504 /nfs/dbraw/zinc/08/45/04/706084504.db2.gz NWKJFNIPFHCKBR-YXJLRHLOSA-N -1 1 309.373 1.697 20 0 DDADMM CCc1csc(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)n1 ZINC000835591688 707288312 /nfs/dbraw/zinc/28/83/12/707288312.db2.gz YBXZHGDYYSWTOE-SNVBAGLBSA-N -1 1 319.452 1.097 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)c1cccs1 ZINC000864345605 706143444 /nfs/dbraw/zinc/14/34/44/706143444.db2.gz ZYIMIAPGPAZUFE-AXFHLTTASA-N -1 1 311.407 1.513 20 0 DDADMM O=C(C[C@@H]1CC[C@@H](C2CC2)O1)N1CCC(c2nn[n-]n2)CC1 ZINC000828416354 706175373 /nfs/dbraw/zinc/17/53/73/706175373.db2.gz HKFCQRXQJIRRKS-STQMWFEESA-N -1 1 305.382 1.253 20 0 DDADMM N[C@H](C(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)c1cccc(C(F)(F)F)c1 ZINC000864613843 706213081 /nfs/dbraw/zinc/21/30/81/706213081.db2.gz ITLXQUYYQXNLOI-GDPRMGEGSA-N -1 1 316.279 1.685 20 0 DDADMM O=S1(=O)C[C@H]2CN(Cc3cccc([O-])c3Cl)CC[C@@H]2C1 ZINC000877790536 706215427 /nfs/dbraw/zinc/21/54/27/706215427.db2.gz NFZAPJMUTJUFHJ-VXGBXAGGSA-N -1 1 315.822 1.912 20 0 DDADMM CCCCS(=O)(=O)CC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000828850094 706243097 /nfs/dbraw/zinc/24/30/97/706243097.db2.gz IBXLUCAMJQHZKP-UHFFFAOYSA-N -1 1 319.810 1.877 20 0 DDADMM CCc1cc2c(CC(=O)NN3CC(=O)[N-]C3=O)csc2s1 ZINC000864895955 706295420 /nfs/dbraw/zinc/29/54/20/706295420.db2.gz AQXKWTPCAQZVFT-UHFFFAOYSA-N -1 1 323.399 1.651 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)CS1 ZINC000872497175 707446895 /nfs/dbraw/zinc/44/68/95/707446895.db2.gz GFFRMVCCZVHRAR-KSRUKNBBSA-N -1 1 314.498 1.611 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCC[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830002686 706434986 /nfs/dbraw/zinc/43/49/86/706434986.db2.gz ONOLMBRXYUUNRJ-NXEZZACHSA-N -1 1 321.830 1.937 20 0 DDADMM CCS[C@H]1CCCC[C@@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866929291 706847267 /nfs/dbraw/zinc/84/72/67/706847267.db2.gz VXBVBWAGJXFTCU-UWVGGRQHSA-N -1 1 314.498 1.613 20 0 DDADMM CCC[C@@H](OCC)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830788526 706579954 /nfs/dbraw/zinc/57/99/54/706579954.db2.gz DRVIWFSFTOSUTG-MFKMUULPSA-N -1 1 324.343 1.861 20 0 DDADMM CSC1(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000830807623 706583167 /nfs/dbraw/zinc/58/31/67/706583167.db2.gz NMLPOQHRRHWRAX-SNVBAGLBSA-N -1 1 310.341 1.552 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCCS2)C1 ZINC000830822301 706586828 /nfs/dbraw/zinc/58/68/28/706586828.db2.gz PVFMHCVAKNEBHL-KCJUWKMLSA-N -1 1 310.341 1.552 20 0 DDADMM CCOC1CC2(C[C@@H]2C(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1 ZINC000866901652 706839685 /nfs/dbraw/zinc/83/96/85/706839685.db2.gz LBPFDFPFTZLXCY-DILZAHMFSA-N -1 1 305.382 1.111 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CCNc1ccccc1 ZINC000866953828 706855143 /nfs/dbraw/zinc/85/51/43/706855143.db2.gz ALLDYJZUOGBNLE-UHFFFAOYSA-N -1 1 317.436 1.195 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)N=S(C)(C)=O)CCCC1 ZINC000866960336 706856586 /nfs/dbraw/zinc/85/65/86/706856586.db2.gz MQXZPJHLVNHTOT-UHFFFAOYSA-N -1 1 312.457 1.145 20 0 DDADMM CS[C@@H](C)C[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867000682 706869050 /nfs/dbraw/zinc/86/90/50/706869050.db2.gz LYKVLROUOGTVQD-LURJTMIESA-N -1 1 317.358 1.469 20 0 DDADMM CC(C)[C@H](CO)[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(C)C ZINC000832235355 706877355 /nfs/dbraw/zinc/87/73/55/706877355.db2.gz BAJUTJZTOBAUMG-ONGXEEELSA-N -1 1 323.846 1.631 20 0 DDADMM O=S(=O)([N-]CCCCCCO)c1c[nH]nc1C(F)(F)F ZINC000867059407 706886060 /nfs/dbraw/zinc/88/60/60/706886060.db2.gz CALUJHYEDMRCPD-UHFFFAOYSA-N -1 1 315.317 1.260 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC(C)(C)CCOC ZINC000867106326 706897577 /nfs/dbraw/zinc/89/75/77/706897577.db2.gz BAZWYPOGDBFKFY-UHFFFAOYSA-N -1 1 314.473 1.391 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC2OCCCO2)c(F)c1 ZINC000867161488 706913130 /nfs/dbraw/zinc/91/31/30/706913130.db2.gz CJTCNLWHYLAXFK-UHFFFAOYSA-N -1 1 307.318 1.315 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)[C@H]1CCc2nncn2CC1 ZINC000880236505 706916268 /nfs/dbraw/zinc/91/62/68/706916268.db2.gz ABIQOHDTJLQJFP-QMMMGPOBSA-N -1 1 308.288 1.853 20 0 DDADMM C[C@@H](CN(C)C(=O)C1([C@H]2CCCCO2)CCC1)c1nn[n-]n1 ZINC000867380976 706982034 /nfs/dbraw/zinc/98/20/34/706982034.db2.gz LOFHHDYJOLHDCQ-NWDGAFQWSA-N -1 1 307.398 1.501 20 0 DDADMM CC1(C)CO[C@@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000832803360 706987684 /nfs/dbraw/zinc/98/76/84/706987684.db2.gz OPTUHMLNGNICNE-SNVBAGLBSA-N -1 1 306.391 1.563 20 0 DDADMM C[C@@H](C(=O)[O-])N(C(=O)N[C@H]1CCc2nc[nH]c2C1)C1CCC1 ZINC000909021652 712919017 /nfs/dbraw/zinc/91/90/17/712919017.db2.gz YMIJHVAVSXIKAQ-UWVGGRQHSA-N -1 1 306.366 1.304 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)C[C@@H](O)C(Cl)(Cl)Cl ZINC000909054449 712926715 /nfs/dbraw/zinc/92/67/15/712926715.db2.gz OOXISEPKNVNPLN-RNFRBKRXSA-N -1 1 308.589 1.172 20 0 DDADMM CSc1nc(CNC(=O)[C@@H](C)Cn2ccc(C)n2)cc(=O)[n-]1 ZINC000880650047 707048038 /nfs/dbraw/zinc/04/80/38/707048038.db2.gz JUVLMXYDJWHKPF-VIFPVBQESA-N -1 1 321.406 1.362 20 0 DDADMM CCCCS(=O)(=O)[N-]C(=O)c1cnn(-c2ccncc2)c1 ZINC000835036653 707160269 /nfs/dbraw/zinc/16/02/69/707160269.db2.gz PYBMLSGNEYVGEX-UHFFFAOYSA-N -1 1 308.363 1.127 20 0 DDADMM O=C1N[C@H](C2CCOCC2)C(=O)N1Cc1ccc([O-])c(Cl)c1 ZINC000871911254 707242137 /nfs/dbraw/zinc/24/21/37/707242137.db2.gz FUFOZJMEIWZJLZ-CYBMUJFWSA-N -1 1 324.764 1.893 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@]2(C)CC2(C)C)o1 ZINC000881542255 707288563 /nfs/dbraw/zinc/28/85/63/707288563.db2.gz VYZHXKUFVGDDEP-ZDUSSCGKSA-N -1 1 300.380 1.354 20 0 DDADMM CO[C@@H]1CN(C[C@@H](O)c2cccc(Cl)c2)[C@](C)(C(=O)[O-])C1 ZINC000872161431 707310841 /nfs/dbraw/zinc/31/08/41/707310841.db2.gz SZAFGAPQONQNHV-GUTXKFCHSA-N -1 1 313.781 1.937 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)CCSC)o1 ZINC000835818339 707332793 /nfs/dbraw/zinc/33/27/93/707332793.db2.gz PMGMPWNOIFDKHO-MRVPVSSYSA-N -1 1 307.393 1.486 20 0 DDADMM C[C@@](CCF)(NC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000909173159 712954057 /nfs/dbraw/zinc/95/40/57/712954057.db2.gz YALCFASGPDYVAI-AWEZNQCLSA-N -1 1 308.313 1.336 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-]CC(=O)C(C)(C)C ZINC000882073274 707487184 /nfs/dbraw/zinc/48/71/84/707487184.db2.gz OWUKSQZCDMIFOV-JTQLQIEISA-N -1 1 307.412 1.253 20 0 DDADMM COC(=O)N1CCC([C@@H]2OCC[C@H]2[N-]C(=O)C(F)(F)F)CC1 ZINC000836836753 707527471 /nfs/dbraw/zinc/52/74/71/707527471.db2.gz JVUDCNRYBDWMEP-ZJUUUORDSA-N -1 1 324.299 1.301 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@H]1CCCN1Cc1ccccn1 ZINC000836893442 707540704 /nfs/dbraw/zinc/54/07/04/707540704.db2.gz BASNNRGLQNWDNI-HUUCEWRRSA-N -1 1 307.394 1.111 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)Cn2ccc(C)n2)c1 ZINC000837814454 707754511 /nfs/dbraw/zinc/75/45/11/707754511.db2.gz OSEJTWUTMZQARS-UHFFFAOYSA-N -1 1 302.330 1.886 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC2(CCC2)O1)c1ccc(F)nc1F ZINC000882776937 707789622 /nfs/dbraw/zinc/78/96/22/707789622.db2.gz PUDXOWCMQNPSIU-SECBINFHSA-N -1 1 318.345 1.740 20 0 DDADMM Cc1conc1COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000873348962 707820845 /nfs/dbraw/zinc/82/08/45/707820845.db2.gz QMHIKJOSXAWVGK-UHFFFAOYSA-N -1 1 316.360 1.773 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)C1CN(C(=O)OC(C)(C)C)C1)C(F)F ZINC000882870829 707829788 /nfs/dbraw/zinc/82/97/88/707829788.db2.gz RTPGQWQPWPJTCS-SSDOTTSWSA-N -1 1 314.354 1.179 20 0 DDADMM O=C([N-]CCCOC(=O)c1cc2n(n1)CCCC2)C(F)(F)F ZINC000838295756 707890275 /nfs/dbraw/zinc/89/02/75/707890275.db2.gz YJCNUVBPSGMASZ-UHFFFAOYSA-N -1 1 319.283 1.445 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)C2(CO)CCOCC2)c1 ZINC000838465231 707925836 /nfs/dbraw/zinc/92/58/36/707925836.db2.gz KQICEGORIUBMSC-UHFFFAOYSA-N -1 1 322.357 1.470 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)NOCC2CC2)C1)C(F)(F)F ZINC000838614964 707953844 /nfs/dbraw/zinc/95/38/44/707953844.db2.gz XYMSMINREWPIGH-SNVBAGLBSA-N -1 1 323.315 1.428 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NCCCOC1CCCC1 ZINC000909406689 713010137 /nfs/dbraw/zinc/01/01/37/713010137.db2.gz HUIWWMUGAAGALT-CYBMUJFWSA-N -1 1 312.410 1.249 20 0 DDADMM O=c1nc(N2CCCS(=O)(=O)C[C@H]2C2CC2)cc(Cl)[n-]1 ZINC000897018889 708209003 /nfs/dbraw/zinc/20/90/03/708209003.db2.gz JDNAINKYYBJLGK-VIFPVBQESA-N -1 1 317.798 1.239 20 0 DDADMM COCc1nc(N[C@@H]2C[C@@H]3C[C@@H](C(=O)OC)C[C@H]23)cc(=O)[n-]1 ZINC000897019661 708209626 /nfs/dbraw/zinc/20/96/26/708209626.db2.gz OEBSYACDPCBAGF-ZRUFSTJUSA-N -1 1 307.350 1.328 20 0 DDADMM Cc1cnc(C(=O)NC[C@H]2CC[C@H](C(F)(F)F)O2)c([O-])c1 ZINC000927566909 713010816 /nfs/dbraw/zinc/01/08/16/713010816.db2.gz BBDJXELXQJRILY-PSASIEDQSA-N -1 1 304.268 1.935 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)OC[C@@H]1C[C@@H]2COC[C@@H]2O1 ZINC000909464931 713025252 /nfs/dbraw/zinc/02/52/52/713025252.db2.gz APQRLTGTWNSRSK-SUNKGSAMSA-N -1 1 312.749 1.935 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccc(C)cc1C ZINC000912527910 713030687 /nfs/dbraw/zinc/03/06/87/713030687.db2.gz JHPWFOQXKAWESH-UHFFFAOYSA-N -1 1 310.331 1.581 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])CC(=O)N1 ZINC000897904373 708488164 /nfs/dbraw/zinc/48/81/64/708488164.db2.gz ARVUTEQYZADIEU-SECBINFHSA-N -1 1 300.314 1.212 20 0 DDADMM CCNC(=O)C(C)(C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897950048 708502900 /nfs/dbraw/zinc/50/29/00/708502900.db2.gz SHNWSUXYVSLHAV-UHFFFAOYSA-N -1 1 316.357 1.896 20 0 DDADMM CCN(C[C@H](C)OC)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000885445351 708546894 /nfs/dbraw/zinc/54/68/94/708546894.db2.gz NBRZXUQXYBWHNL-JTQLQIEISA-N -1 1 303.380 1.446 20 0 DDADMM CCN1CCO[C@H](C(=O)Nc2cc(F)c([O-])cc2Cl)C1 ZINC000885677013 708595239 /nfs/dbraw/zinc/59/52/39/708595239.db2.gz YSCREIDEGKUPTL-LBPRGKRZSA-N -1 1 302.733 1.844 20 0 DDADMM CN(CC(=O)Nc1cc(F)c([O-])cc1Cl)C(=O)C1CC1 ZINC000885676484 708595462 /nfs/dbraw/zinc/59/54/62/708595462.db2.gz OOVWJMCGTVPKCU-UHFFFAOYSA-N -1 1 300.717 1.992 20 0 DDADMM COC(=O)[C@@](C)(Cn1cccn1)NCc1cccc([O-])c1Cl ZINC000898342352 708614195 /nfs/dbraw/zinc/61/41/95/708614195.db2.gz JFCNYCJRHDNUTP-OAHLLOKOSA-N -1 1 323.780 1.964 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000885774629 708624854 /nfs/dbraw/zinc/62/48/54/708624854.db2.gz UIQOXTCCRZFVGH-GHMZBOCLSA-N -1 1 309.343 1.520 20 0 DDADMM CO[C@@](C)(CO)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898431343 708638082 /nfs/dbraw/zinc/63/80/82/708638082.db2.gz MJPCPRXUGKELMN-MRXNPFEDSA-N -1 1 305.330 1.378 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1n[nH]c2c1CCC2 ZINC000886265031 708732124 /nfs/dbraw/zinc/73/21/24/708732124.db2.gz VREWHYFDOOONLV-UHFFFAOYSA-N -1 1 307.300 1.855 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1CCc2nc[nH]c2C1 ZINC000886268590 708733133 /nfs/dbraw/zinc/73/31/33/708733133.db2.gz VQXFGGXGOWPFOD-SECBINFHSA-N -1 1 321.327 1.857 20 0 DDADMM C[C@@H]1CCN(C[C@](C)(O)C(F)F)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000886288771 708735979 /nfs/dbraw/zinc/73/59/79/708735979.db2.gz HDJHNKRJYUJDIC-FYBVGQRMSA-N -1 1 318.286 1.391 20 0 DDADMM C[C@@](O)(CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C(F)F ZINC000886310457 708740271 /nfs/dbraw/zinc/74/02/71/708740271.db2.gz LVKCWPFIVFTOEK-NXEZZACHSA-N -1 1 304.259 1.145 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-]c2cncn(CC)c2=O)s1 ZINC000886416159 708757323 /nfs/dbraw/zinc/75/73/23/708757323.db2.gz XVLAASVJIKXPAZ-UHFFFAOYSA-N -1 1 314.392 1.083 20 0 DDADMM CN(C[C@@H]1CCOC1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782942 713054920 /nfs/dbraw/zinc/05/49/20/713054920.db2.gz RLVGMDKHGCSGBG-JTQLQIEISA-N -1 1 314.332 1.891 20 0 DDADMM C[C@@H](CCO)CCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927783703 713055331 /nfs/dbraw/zinc/05/53/31/713055331.db2.gz BTZWZGLNPATAKL-SNVBAGLBSA-N -1 1 316.348 1.921 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C12CC(c3ccccc3)(C1)C2 ZINC000898757313 708856900 /nfs/dbraw/zinc/85/69/00/708856900.db2.gz QXRWTSLPKCDDFW-UHFFFAOYSA-N -1 1 311.341 1.626 20 0 DDADMM O=C(N[C@H]1C[C@H](CO)C1)c1cc(Br)ccc1[O-] ZINC000886898520 708887735 /nfs/dbraw/zinc/88/77/35/708887735.db2.gz FCUYBIVVSVSPKB-XWEPSHTISA-N -1 1 300.152 1.655 20 0 DDADMM C[C@]1(CO)C[C@H](O)CN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000912661173 713063179 /nfs/dbraw/zinc/06/31/79/713063179.db2.gz XBUOBSVAKOFISZ-TVQRCGJNSA-N -1 1 319.279 1.369 20 0 DDADMM O=C(NC[C@@H]1CC[C@H](C2CC2)O1)c1ccc2n[n-]c(=S)n2c1 ZINC000887479003 709052762 /nfs/dbraw/zinc/05/27/62/709052762.db2.gz GSCXIXMUQUZYGF-NWDGAFQWSA-N -1 1 318.402 1.705 20 0 DDADMM C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1c([O-])cccc1Cl ZINC000899451054 709084839 /nfs/dbraw/zinc/08/48/39/709084839.db2.gz PCPQWQDAIBKRNB-APPZFPTMSA-N -1 1 303.767 1.209 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=S)NCC2CC2)[n-]c1=O ZINC000899666091 709142755 /nfs/dbraw/zinc/14/27/55/709142755.db2.gz CDAIDPQBDVUEGG-JTQLQIEISA-N -1 1 308.407 1.612 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC(=O)N2CCC[C@@H]21 ZINC000888159817 709227921 /nfs/dbraw/zinc/22/79/21/709227921.db2.gz YAQSJXCHIDCWPV-ZDUSSCGKSA-N -1 1 308.765 1.769 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCC3(CC3)C2)o1 ZINC000888703609 709364587 /nfs/dbraw/zinc/36/45/87/709364587.db2.gz BFQAXWSJYOWQKO-SNVBAGLBSA-N -1 1 312.391 1.640 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000909500578 709491393 /nfs/dbraw/zinc/49/13/93/709491393.db2.gz WUMIBVVFGRLGBY-ZETCQYMHSA-N -1 1 305.256 1.898 20 0 DDADMM CC[N@@H+](CC(=O)N[C@H](C)c1nc(C)c(C(=O)[O-])s1)C1CC1 ZINC000909502159 709491918 /nfs/dbraw/zinc/49/19/18/709491918.db2.gz CUVLDGIIHWGOND-SECBINFHSA-N -1 1 311.407 1.811 20 0 DDADMM CCN(CC(=O)N[C@H](C)c1nc(C)c(C(=O)[O-])s1)C1CC1 ZINC000909502159 709491920 /nfs/dbraw/zinc/49/19/20/709491920.db2.gz CUVLDGIIHWGOND-SECBINFHSA-N -1 1 311.407 1.811 20 0 DDADMM CN(CC(=O)NC1(C(=O)[O-])CCCCCC1)[C@H]1CCSC1 ZINC000909518309 709498930 /nfs/dbraw/zinc/49/89/30/709498930.db2.gz BBVWRVGGAZCNQP-LBPRGKRZSA-N -1 1 314.451 1.718 20 0 DDADMM O=C([O-])C1(NC(=O)[C@H]2CCc3[nH]cnc3C2)CCCCCC1 ZINC000909519959 709499732 /nfs/dbraw/zinc/49/97/32/709499732.db2.gz SVAGZRAXCSZMKH-NSHDSACASA-N -1 1 305.378 1.808 20 0 DDADMM CCc1nc(C)c(CC(=O)[N-]OCc2ccccn2)c(C)n1 ZINC000909520828 709499757 /nfs/dbraw/zinc/49/97/57/709499757.db2.gz UIJDBRBRBFKYDW-UHFFFAOYSA-N -1 1 300.362 1.841 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1ccc(O)c(Cl)c1)[C@@H]1CCCOC1 ZINC000909544028 709509188 /nfs/dbraw/zinc/50/91/88/709509188.db2.gz HXGHYAADIBCQSK-SKDRFNHKSA-N -1 1 313.737 1.655 20 0 DDADMM C[C@@H](C(=O)NCc1cccc(-n2ccc(C(=O)[O-])n2)c1)N(C)C ZINC000909566043 709518695 /nfs/dbraw/zinc/51/86/95/709518695.db2.gz HADMYMPCPGSMPZ-NSHDSACASA-N -1 1 316.361 1.137 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1CC1(Cl)Cl)c1nn[n-]n1 ZINC000912859711 713109656 /nfs/dbraw/zinc/10/96/56/713109656.db2.gz DVMHNKVXYAFAKE-WDSKDSINSA-N -1 1 310.210 1.304 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)CCCCc2cn[nH]n2)c2ccccc21 ZINC000909578969 709524183 /nfs/dbraw/zinc/52/41/83/709524183.db2.gz QZPAMNCXAFDQQS-CYBMUJFWSA-N -1 1 314.345 1.733 20 0 DDADMM CSCC[C@H](NC(=O)CC[C@H]1CC[C@H](C)O1)c1nn[n-]n1 ZINC000912861238 713109966 /nfs/dbraw/zinc/10/99/66/713109966.db2.gz HWCUNHFVHNNCCI-AXFHLTTASA-N -1 1 313.427 1.458 20 0 DDADMM CSCC[C@H](NC(=O)C=CC1CCOCC1)c1nn[n-]n1 ZINC000912860783 713110057 /nfs/dbraw/zinc/11/00/57/713110057.db2.gz YEBWTTHGTLWDBN-QUCGXOGASA-N -1 1 311.411 1.093 20 0 DDADMM CSCC[C@H](NC(=O)/C=C\C1CCOCC1)c1nn[n-]n1 ZINC000912860783 713110058 /nfs/dbraw/zinc/11/00/58/713110058.db2.gz YEBWTTHGTLWDBN-QUCGXOGASA-N -1 1 311.411 1.093 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1C[C@H]1c1ccccc1)c1nn[n-]n1 ZINC000912861928 713110513 /nfs/dbraw/zinc/11/05/13/713110513.db2.gz CMYXOAUVEYDZOH-XQQFMLRXSA-N -1 1 317.418 1.914 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1CCCCS1)c1nn[n-]n1 ZINC000912861890 713110629 /nfs/dbraw/zinc/11/06/29/713110629.db2.gz BAPFQILTZCRBQT-IUCAKERBSA-N -1 1 301.441 1.396 20 0 DDADMM CSCC[C@H](NC(=O)C1(C(F)(F)F)CCC1)c1nn[n-]n1 ZINC000912863434 713111524 /nfs/dbraw/zinc/11/15/24/713111524.db2.gz XVOMIAHBZTVGHP-ZETCQYMHSA-N -1 1 323.344 1.843 20 0 DDADMM O=C([O-])[C@@H](CC(F)(F)F)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000909671525 709569270 /nfs/dbraw/zinc/56/92/70/709569270.db2.gz QTAIAGZJYILGAH-HZGVNTEJSA-N -1 1 305.256 1.351 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000909677123 709571384 /nfs/dbraw/zinc/57/13/84/709571384.db2.gz DVYWYSQPFCFOEL-MIJXAVMKSA-N -1 1 303.362 1.541 20 0 DDADMM COC1(CNC(=O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)CCCCC1 ZINC000909730406 709598647 /nfs/dbraw/zinc/59/86/47/709598647.db2.gz JNQUMLHUHFCIHK-CYBMUJFWSA-N -1 1 312.410 1.249 20 0 DDADMM COC1(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCCCC1 ZINC000909730406 709598650 /nfs/dbraw/zinc/59/86/50/709598650.db2.gz JNQUMLHUHFCIHK-CYBMUJFWSA-N -1 1 312.410 1.249 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@H](CC(F)F)C(=O)[O-])c2C1 ZINC000909775739 709613991 /nfs/dbraw/zinc/61/39/91/709613991.db2.gz QULOQVVVXBTEGV-IMTBSYHQSA-N -1 1 301.293 1.373 20 0 DDADMM C[C@@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)[C@H](C(=O)[O-])C1 ZINC000909784723 709619662 /nfs/dbraw/zinc/61/96/62/709619662.db2.gz YPZLGHYAMNOTOC-SVRRBLITSA-N -1 1 305.256 1.754 20 0 DDADMM C[C@H]1[C@H](C(=O)[O-])CCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000909810229 709632869 /nfs/dbraw/zinc/63/28/69/709632869.db2.gz WCYFYYFMYHEXPS-JOYOIKCWSA-N -1 1 300.318 1.407 20 0 DDADMM CCN(CC(=O)N[C@@H](Cc1cccc(Cl)c1)C(=O)[O-])C1CC1 ZINC000909817468 709637272 /nfs/dbraw/zinc/63/72/72/709637272.db2.gz ZDJAITWWOXIHCT-AWEZNQCLSA-N -1 1 324.808 1.936 20 0 DDADMM CCO[C@]12CCC[C@@]1(NC(=O)C(=O)c1ccc([O-])cc1)CCO2 ZINC000889804365 709642804 /nfs/dbraw/zinc/64/28/04/709642804.db2.gz MDUFRNIKRWNYJN-SJORKVTESA-N -1 1 319.357 1.767 20 0 DDADMM O=C(c1nn(-c2ccccc2)cc1[O-])N1CC[C@@H](N2CCC2)C1 ZINC000912907068 713119863 /nfs/dbraw/zinc/11/98/63/713119863.db2.gz LGPPGLYDCGFOGI-CQSZACIVSA-N -1 1 312.373 1.498 20 0 DDADMM CC(C)C[C@@H](C(=O)[O-])N(C)C(=O)CN(C)[C@@H]1CCSC1 ZINC000909853634 709653602 /nfs/dbraw/zinc/65/36/02/709653602.db2.gz IMNRIAAPIYHVRT-NEPJUHHUSA-N -1 1 302.440 1.381 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCOC[C@H](C3CCC3)C2)C1 ZINC000909855260 709654058 /nfs/dbraw/zinc/65/40/58/709654058.db2.gz MIJKTQCIFNYKRN-HUUCEWRRSA-N -1 1 324.421 1.058 20 0 DDADMM O=C(NCCCOC[C@@H]1CCCO1)c1cnc(C2CC2)[n-]c1=O ZINC000900683961 709710103 /nfs/dbraw/zinc/71/01/03/709710103.db2.gz VBCMGCNWHMMSND-LBPRGKRZSA-N -1 1 321.377 1.375 20 0 DDADMM O=C(CN1CCCCC(=O)C1=O)Nc1cc([O-])c(F)cc1F ZINC000909970992 709713740 /nfs/dbraw/zinc/71/37/40/709713740.db2.gz BVQQMUGSKWHMCN-UHFFFAOYSA-N -1 1 312.272 1.191 20 0 DDADMM CO[C@@H](C(=O)Nc1cc([O-])c(F)cc1F)C(=O)OC(C)(C)C ZINC000909973307 709714392 /nfs/dbraw/zinc/71/43/92/709714392.db2.gz WBEUVHHCETYLLL-NSHDSACASA-N -1 1 317.288 1.966 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C=CC2(C)CCOCC2)n1 ZINC000909989267 709723018 /nfs/dbraw/zinc/72/30/18/709723018.db2.gz FFKCHTWXQRQMLW-SNAWJCMRSA-N -1 1 307.350 1.898 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccn(C)c3)[nH]n2)CC[C@@H]1C(=O)[O-] ZINC000909988565 709723256 /nfs/dbraw/zinc/72/32/56/709723256.db2.gz QMARNQPKEJQVPV-PWSUYJOCSA-N -1 1 316.361 1.598 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@H]3CCC[C@]3(C)C(=O)[O-])c2C1 ZINC000909991751 709725238 /nfs/dbraw/zinc/72/52/38/709725238.db2.gz IVLCMTUOPUSZJU-ABYOOWDOSA-N -1 1 305.378 1.908 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCCCC[C@@H]2C(=O)[O-])C1 ZINC000910047509 709752297 /nfs/dbraw/zinc/75/22/97/709752297.db2.gz YGOZSNHOIQBJKG-RAIGVLPGSA-N -1 1 305.378 1.931 20 0 DDADMM O=C([O-])[C@@H](F)C1CN(C(=O)c2cc(C3CCCCC3)[nH]n2)C1 ZINC000910132751 709785213 /nfs/dbraw/zinc/78/52/13/709785213.db2.gz PJQMMZDWVORZNM-ZDUSSCGKSA-N -1 1 309.341 1.952 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H](O)[C@H](F)C3)cnc2n1 ZINC000900839598 709785573 /nfs/dbraw/zinc/78/55/73/709785573.db2.gz VHVDPVIXBBMOHM-VXGBXAGGSA-N -1 1 305.309 1.189 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](O)[C@H](F)C3)c[n-]c2n1 ZINC000900839598 709785574 /nfs/dbraw/zinc/78/55/74/709785574.db2.gz VHVDPVIXBBMOHM-VXGBXAGGSA-N -1 1 305.309 1.189 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2[nH]cnc2C(C)(C)C)CC1 ZINC000910174286 709797898 /nfs/dbraw/zinc/79/78/98/709797898.db2.gz FKZNOFVKQFAEOI-LLVKDONJSA-N -1 1 322.409 1.328 20 0 DDADMM CN(CC(=O)NCc1ccc(CC(=O)[O-])cc1)[C@H]1CCSC1 ZINC000910196366 709808180 /nfs/dbraw/zinc/80/81/80/709808180.db2.gz RCBLELXPWBIRPL-AWEZNQCLSA-N -1 1 322.430 1.367 20 0 DDADMM C[N@@H+](CC(=O)N1CCc2occc2[C@@H]1C(=O)[O-])[C@@H]1CCSC1 ZINC000910206469 709814152 /nfs/dbraw/zinc/81/41/52/709814152.db2.gz UKWBUUXDMFMEPN-QMTHXVAHSA-N -1 1 324.402 1.227 20 0 DDADMM CN(CC(=O)N1CCc2occc2[C@@H]1C(=O)[O-])[C@@H]1CCSC1 ZINC000910206469 709814156 /nfs/dbraw/zinc/81/41/56/709814156.db2.gz UKWBUUXDMFMEPN-QMTHXVAHSA-N -1 1 324.402 1.227 20 0 DDADMM COc1cc2c(cc1F)nccc2N1CCOC[C@H]1C(=O)[O-] ZINC000900946062 709841079 /nfs/dbraw/zinc/84/10/79/709841079.db2.gz ROTJDSJJPJWUKB-ZDUSSCGKSA-N -1 1 306.293 1.672 20 0 DDADMM Cc1nc([C@@H]2CCCN(c3nc(C)c(C(=O)[O-])s3)C2)n[nH]1 ZINC000900991515 709867418 /nfs/dbraw/zinc/86/74/18/709867418.db2.gz VRIYUVKRKWTWGJ-SECBINFHSA-N -1 1 307.379 1.960 20 0 DDADMM Cc1nnc([C@@H]2CCCN(c3nc(C)c(C(=O)[O-])s3)C2)[nH]1 ZINC000900991515 709867421 /nfs/dbraw/zinc/86/74/21/709867421.db2.gz VRIYUVKRKWTWGJ-SECBINFHSA-N -1 1 307.379 1.960 20 0 DDADMM Cc1cnc(C(=O)N2CCC([C@]3(C)COC(=O)N3)CC2)c([O-])c1 ZINC000928161546 713142507 /nfs/dbraw/zinc/14/25/07/713142507.db2.gz CMOQQIMCXZYDDZ-INIZCTEOSA-N -1 1 319.361 1.446 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CC(F)(F)C[C@H]2C(=O)[O-])c1 ZINC000910427078 709935317 /nfs/dbraw/zinc/93/53/17/709935317.db2.gz UXUAVCMNKPOHER-JTQLQIEISA-N -1 1 309.272 1.806 20 0 DDADMM COc1ccc(CN(CCCO)C(=O)c2ncccc2[O-])cc1 ZINC000890644522 709937404 /nfs/dbraw/zinc/93/74/04/709937404.db2.gz PLCVWSQAACSCJS-UHFFFAOYSA-N -1 1 316.357 1.821 20 0 DDADMM COC(=O)C[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1C ZINC000928169046 713143786 /nfs/dbraw/zinc/14/37/86/713143786.db2.gz AGXOCRVTRIYGRC-MWLCHTKSSA-N -1 1 319.361 1.331 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC[C@H](O)[C@@H](F)C1 ZINC000890690970 709955635 /nfs/dbraw/zinc/95/56/35/709955635.db2.gz HAZDZVLRJFXSLC-UWVGGRQHSA-N -1 1 307.243 1.956 20 0 DDADMM O=C([O-])c1ccc2c(c1)CCN(C(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C2 ZINC000910503714 709975327 /nfs/dbraw/zinc/97/53/27/709975327.db2.gz SAQNZCFYHYYVSJ-ZIAGYGMSSA-N -1 1 311.341 1.796 20 0 DDADMM CCN(CC(=O)N[C@](C)(Cc1ccc(F)cc1)C(=O)[O-])C1CC1 ZINC000910513648 709978191 /nfs/dbraw/zinc/97/81/91/709978191.db2.gz ULWIAWDPWIPQFR-QGZVFWFLSA-N -1 1 322.380 1.812 20 0 DDADMM O=C([O-])[C@H](C[C@H]1CCCO1)NC(=O)c1ccc(O)c(Cl)c1 ZINC000910588939 710012595 /nfs/dbraw/zinc/01/25/95/710012595.db2.gz ANCJUUXDDXGXTP-KOLCDFICSA-N -1 1 313.737 1.798 20 0 DDADMM CCN(CC)[C@@H](C(=O)NC[C@@H]1C[C@@H]1C(=O)[O-])c1ccccc1 ZINC000910605797 710020257 /nfs/dbraw/zinc/02/02/57/710020257.db2.gz VBHKJEFGSGYBOQ-SOUVJXGZSA-N -1 1 304.390 1.906 20 0 DDADMM Cn1[nH]c(=O)c2c1CN(C(=O)Cc1ccc([O-])c(Cl)c1)CC2 ZINC000913038417 713150079 /nfs/dbraw/zinc/15/00/79/713150079.db2.gz UVWUOFSZQBQWOK-UHFFFAOYSA-N -1 1 321.764 1.612 20 0 DDADMM COC(=O)[C@@](C)(Cn1cccn1)NC(=O)c1cc(F)ccc1[O-] ZINC000890947566 710038006 /nfs/dbraw/zinc/03/80/06/710038006.db2.gz PCDPSQNWVYYSCU-OAHLLOKOSA-N -1 1 321.308 1.090 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000901481124 710051833 /nfs/dbraw/zinc/05/18/33/710051833.db2.gz JVFUGOXYMMZDLJ-UHFFFAOYSA-N -1 1 306.362 1.682 20 0 DDADMM O=C(N[C@@H](CO)[C@@H](O)C1CCCCC1)c1ccc([O-])cc1F ZINC000913050439 713154989 /nfs/dbraw/zinc/15/49/89/713154989.db2.gz ZCFJPIIPTJWWIZ-GJZGRUSLSA-N -1 1 311.353 1.563 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCN(C(=O)c3cc(-c4cccs4)[nH]n3)C[C@@H]21 ZINC000910841042 710091329 /nfs/dbraw/zinc/09/13/29/710091329.db2.gz KSYBGQWNLMXHHQ-VYUIOLGVSA-N -1 1 317.370 1.931 20 0 DDADMM C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000901607531 710093108 /nfs/dbraw/zinc/09/31/08/710093108.db2.gz CHAXZFINFBXDDS-RBIVETJNSA-N -1 1 318.377 1.499 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN1C(=O)c1cccc2[nH]cnc21 ZINC000901666158 710114302 /nfs/dbraw/zinc/11/43/02/710114302.db2.gz OBGDSTQOCUIWLW-OAHLLOKOSA-N -1 1 303.318 1.269 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc3[nH]cnc3cc2F)C[C@H]1C(=O)[O-] ZINC000901697846 710122964 /nfs/dbraw/zinc/12/29/64/710122964.db2.gz UJLITBBGAKSZEQ-WCBMZHEXSA-N -1 1 305.309 1.885 20 0 DDADMM CC(C)CN1CCN(C(=O)C2(C(=O)[O-])CCSCC2)CC1 ZINC000901739552 710136180 /nfs/dbraw/zinc/13/61/80/710136180.db2.gz VZJQZJJEIKEOAM-UHFFFAOYSA-N -1 1 314.451 1.385 20 0 DDADMM O=C(C[C@H]1CCCS(=O)(=O)C1)Nc1cc(F)cc(F)c1[O-] ZINC000910985745 710141627 /nfs/dbraw/zinc/14/16/27/710141627.db2.gz CIGHKDZZTJVSJE-MRVPVSSYSA-N -1 1 319.329 1.824 20 0 DDADMM COCc1nc(N(C)Cc2ccc3c(c2)OCCO3)cc(=O)[n-]1 ZINC000891495924 710219455 /nfs/dbraw/zinc/21/94/55/710219455.db2.gz UGNJPEQSPXPFRF-UHFFFAOYSA-N -1 1 317.345 1.736 20 0 DDADMM CO[C@@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)[C@H]1CCOC1 ZINC000891616100 710249321 /nfs/dbraw/zinc/24/93/21/710249321.db2.gz VAZWSEOOFXOZMS-JOYOIKCWSA-N -1 1 307.350 1.051 20 0 DDADMM CCNC(=O)c1ccc(CNc2cc(=O)[n-]c(COC)n2)cc1 ZINC000891837505 710306127 /nfs/dbraw/zinc/30/61/27/710306127.db2.gz HCYFIHSXOVJDQC-UHFFFAOYSA-N -1 1 316.361 1.690 20 0 DDADMM COCc1nc(NC[C@@H]2CCN(CC(F)(F)F)C2)cc(=O)[n-]1 ZINC000891893227 710319633 /nfs/dbraw/zinc/31/96/33/710319633.db2.gz LOXOZITUBNTUMG-VIFPVBQESA-N -1 1 320.315 1.625 20 0 DDADMM CN1C(=O)CCC[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000913268787 713186943 /nfs/dbraw/zinc/18/69/43/713186943.db2.gz OYMYPEUDIOGTEZ-ZDUSSCGKSA-N -1 1 314.345 1.527 20 0 DDADMM CCC1(S(=O)(=O)[N-]C(=O)c2[nH]nc3c2C[C@H](C)CC3)CC1 ZINC000893090554 710553099 /nfs/dbraw/zinc/55/30/99/710553099.db2.gz ICOJUWVUIBYYGL-SECBINFHSA-N -1 1 311.407 1.537 20 0 DDADMM CN(C)C1(C(=O)Nc2ccc3cnn(CC(=O)[O-])c3c2)CCC1 ZINC000911073781 710622065 /nfs/dbraw/zinc/62/20/65/710622065.db2.gz AJECQJGJUNZXEX-UHFFFAOYSA-N -1 1 316.361 1.544 20 0 DDADMM COc1c(C)[nH]cc(CN(C)C(=O)c2cncc([O-])c2)c1=O ZINC000913323770 713199801 /nfs/dbraw/zinc/19/98/01/713199801.db2.gz MELUBEYLLZAAOW-UHFFFAOYSA-N -1 1 303.318 1.065 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)Nc1cccc(CN2CCN(C)CC2)c1 ZINC000911264108 710717264 /nfs/dbraw/zinc/71/72/64/710717264.db2.gz RXYQYSDPWHKKDQ-HNNXBMFYSA-N -1 1 319.405 1.483 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)C3(C(=O)[O-])CCCC3)C2)n[nH]1 ZINC000911326066 710742874 /nfs/dbraw/zinc/74/28/74/710742874.db2.gz YUXLRIQQJMEJRU-NSHDSACASA-N -1 1 306.366 1.464 20 0 DDADMM CCOCc1nc(C)cc(N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000893665747 710769055 /nfs/dbraw/zinc/76/90/55/710769055.db2.gz NQCXAARVSZEOAT-LLVKDONJSA-N -1 1 319.365 1.794 20 0 DDADMM O=C([O-])c1cccc(CC(=O)N2CCN(C3CCC3)CC2)c1 ZINC000911375983 710769112 /nfs/dbraw/zinc/76/91/12/710769112.db2.gz BTPWNUVFUNTYSV-UHFFFAOYSA-N -1 1 302.374 1.624 20 0 DDADMM CCN1CCN(C(=O)CCc2ccccc2C(=O)[O-])[C@@H](C)C1 ZINC000911406955 710783844 /nfs/dbraw/zinc/78/38/44/710783844.db2.gz HFGIGBXEJCAKPU-ZDUSSCGKSA-N -1 1 304.390 1.870 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccccc2CCC(=O)[O-])[C@H](C)CN1C ZINC000911437575 710798457 /nfs/dbraw/zinc/79/84/57/710798457.db2.gz BLXIOLQZDIUGCM-CHWSQXEVSA-N -1 1 304.390 1.868 20 0 DDADMM C[C@@H]1CN(C)[C@@H](C)CN1C(=O)CCc1ccc(C(=O)[O-])cc1 ZINC000911441234 710800173 /nfs/dbraw/zinc/80/01/73/710800173.db2.gz PHZYCNOSADZBFF-QWHCGFSZSA-N -1 1 304.390 1.868 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)CCC(=O)[O-])CCN1CC(C)(C)O ZINC000911500345 710825247 /nfs/dbraw/zinc/82/52/47/710825247.db2.gz NNJAWWINMHLHJN-GFCCVEGCSA-N -1 1 314.426 1.181 20 0 DDADMM O=C([O-])CC[C@@H]1CC[C@H](C(=O)NCc2ccc3cncn3c2)O1 ZINC000911645200 710902560 /nfs/dbraw/zinc/90/25/60/710902560.db2.gz IQAFXEZKQNCZGV-UONOGXRCSA-N -1 1 317.345 1.363 20 0 DDADMM Cc1nc([C@@H]2CCN(C(=O)C34CCC(C(=O)[O-])(CC3)C4)C2)n[nH]1 ZINC000911772880 710976890 /nfs/dbraw/zinc/97/68/90/710976890.db2.gz IYGCHVOJWKGMNW-VHWKEVPUSA-N -1 1 318.377 1.464 20 0 DDADMM Cc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c(F)c1F ZINC000913493948 713236753 /nfs/dbraw/zinc/23/67/53/713236753.db2.gz NZRADFGXIZLSAK-VIFPVBQESA-N -1 1 309.276 1.000 20 0 DDADMM CN(CCc1ccc2c(c1)OCO2)Cc1cnc(C(=O)[O-])cn1 ZINC000903625914 711229304 /nfs/dbraw/zinc/22/93/04/711229304.db2.gz VIKSZMVFGRSZOA-UHFFFAOYSA-N -1 1 315.329 1.578 20 0 DDADMM C[C@@H](Cc1ccccc1F)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495667 713237629 /nfs/dbraw/zinc/23/76/29/713237629.db2.gz NMVGBPYIKRLQAD-GXFFZTMASA-N -1 1 319.340 1.118 20 0 DDADMM CC[C@@H](OC1CCCCC1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495235 713237896 /nfs/dbraw/zinc/23/78/96/713237896.db2.gz IWGZGFGUKQRZCL-QWHCGFSZSA-N -1 1 323.397 1.228 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@H]1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494950 713237915 /nfs/dbraw/zinc/23/79/15/713237915.db2.gz DROVTMWAKALVKF-MXWKQRLJSA-N -1 1 319.390 1.273 20 0 DDADMM C[C@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)c1c(F)cccc1F ZINC000913494965 713237992 /nfs/dbraw/zinc/23/79/92/713237992.db2.gz DYHRVJPXORUVER-KWQFWETISA-N -1 1 323.303 1.182 20 0 DDADMM CSc1nc(CNC(=O)C=CC2CCOCC2)cc(=O)[n-]1 ZINC000912252645 711247246 /nfs/dbraw/zinc/24/72/46/711247246.db2.gz ZQHNFUWKYNOFNU-IHWYPQMZSA-N -1 1 309.391 1.503 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)Nc1cccc(C)c1 ZINC000903711777 711250220 /nfs/dbraw/zinc/25/02/20/711250220.db2.gz KGVKHJIVUPTROS-CYBMUJFWSA-N -1 1 308.378 1.745 20 0 DDADMM CC(=CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1cccs1 ZINC000913499836 713239755 /nfs/dbraw/zinc/23/97/55/713239755.db2.gz RJJYGKIJMHZZNA-TTZKWOQHSA-N -1 1 305.363 1.265 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(F)c(F)c2)n[n-]1 ZINC000912364719 711293050 /nfs/dbraw/zinc/29/30/50/711293050.db2.gz YULSYOODRUQTAC-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(F)c(F)c2)[n-]1 ZINC000912364719 711293055 /nfs/dbraw/zinc/29/30/55/711293055.db2.gz YULSYOODRUQTAC-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(F)c(F)c2)n1 ZINC000912364719 711293058 /nfs/dbraw/zinc/29/30/58/711293058.db2.gz YULSYOODRUQTAC-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM Cc1ccc(SCCC(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC000912474069 711344556 /nfs/dbraw/zinc/34/45/56/711344556.db2.gz NBWLOBMHTFHPKM-UHFFFAOYSA-N -1 1 319.386 1.995 20 0 DDADMM Cc1c([C@@H](NCc2cccc3c2OCO3)C(=O)[O-])cnn1C ZINC000904049567 711370686 /nfs/dbraw/zinc/37/06/86/711370686.db2.gz YYTRKCSQKMAABA-CYBMUJFWSA-N -1 1 303.318 1.373 20 0 DDADMM CN1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C[C@H]1CO ZINC000913543377 713251282 /nfs/dbraw/zinc/25/12/82/713251282.db2.gz HDBLNOJQUKRTOX-JTQLQIEISA-N -1 1 318.295 1.160 20 0 DDADMM Nc1ccc(CN2CCC(C(=O)c3ccc([O-])cc3)CC2)nn1 ZINC000904305181 711430104 /nfs/dbraw/zinc/43/01/04/711430104.db2.gz HCGFDJBDZRMQKP-UHFFFAOYSA-N -1 1 312.373 1.859 20 0 DDADMM O=C(C=Cc1cccc(F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742018 713291828 /nfs/dbraw/zinc/29/18/28/713291828.db2.gz BJGHSVYKBNCLDJ-SNAWJCMRSA-N -1 1 301.325 1.758 20 0 DDADMM COc1cc(Cl)ccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743018 713292131 /nfs/dbraw/zinc/29/21/31/713292131.db2.gz AXRAZCTVWHIFGC-UHFFFAOYSA-N -1 1 321.768 1.882 20 0 DDADMM O=C(c1nccc2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913743447 713292620 /nfs/dbraw/zinc/29/26/20/713292620.db2.gz WHDLHYGELSSNIR-UHFFFAOYSA-N -1 1 308.345 1.768 20 0 DDADMM C[C@@H]1C(=O)CC[C@H](C(=O)N2CCC(c3nn[n-]n3)CC2)C1(C)C ZINC000913744172 713293007 /nfs/dbraw/zinc/29/30/07/713293007.db2.gz CNWRGCQGLBFPGU-ZYHUDNBSSA-N -1 1 319.409 1.547 20 0 DDADMM CCCS(=O)(=O)CCN[C@H](C(=O)[O-])c1ccc(OC)cc1 ZINC000905383045 712022539 /nfs/dbraw/zinc/02/25/39/712022539.db2.gz CIJSGMLGBGLAIV-ZDUSSCGKSA-N -1 1 315.391 1.235 20 0 DDADMM CCS(=O)(=O)CCN[C@H](C(=O)[O-])c1ccc(F)c(C)c1 ZINC000905385989 712023920 /nfs/dbraw/zinc/02/39/20/712023920.db2.gz PQUOVBZNRREZTF-LBPRGKRZSA-N -1 1 303.355 1.284 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@@H]4C[C@@H]4CC3)nc2n1 ZINC000906057901 712233218 /nfs/dbraw/zinc/23/32/18/712233218.db2.gz PSLCJLSJIQWJDM-RTCCRHLQSA-N -1 1 315.377 1.745 20 0 DDADMM CN1C(=O)CCC[C@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000906103367 712244855 /nfs/dbraw/zinc/24/48/55/712244855.db2.gz OCHJGTGEFHVMRU-ZDUSSCGKSA-N -1 1 314.345 1.732 20 0 DDADMM CC(C)N(CC(F)F)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906894846 712430228 /nfs/dbraw/zinc/43/02/28/712430228.db2.gz LWZRFMMERWDRTK-UHFFFAOYSA-N -1 1 323.317 1.755 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@]1(c2ccccc2)C[C@H](F)C1)c1nn[n-]n1 ZINC000907348904 712549413 /nfs/dbraw/zinc/54/94/13/712549413.db2.gz BYSBWVRJUMSSFN-AXAPSJFSSA-N -1 1 317.368 1.832 20 0 DDADMM CCOCCO[N-]C(=O)Cc1sc(-c2ccn(C)n2)nc1C ZINC000907469772 712580007 /nfs/dbraw/zinc/58/00/07/712580007.db2.gz HNZUJKYSQHKTHU-UHFFFAOYSA-N -1 1 324.406 1.479 20 0 DDADMM O=C(/C=C/C1CCCCC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907472901 712581149 /nfs/dbraw/zinc/58/11/49/712581149.db2.gz DYUIXRDRZUJKBF-SYTKJHMZSA-N -1 1 307.423 1.953 20 0 DDADMM COc1cc(C)ccc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477626 712582664 /nfs/dbraw/zinc/58/26/64/712582664.db2.gz GIXQSNVTMBJRSN-NSHDSACASA-N -1 1 319.390 1.447 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2c(F)cccc2Cl)CCNC1=O ZINC000907814877 712630325 /nfs/dbraw/zinc/63/03/25/712630325.db2.gz UTDZVUXQJJMGLH-NSHDSACASA-N -1 1 306.746 1.036 20 0 DDADMM CCN(CC(=O)N[C@H](CC(=O)[O-])c1ccc(OC)cc1)C1CC1 ZINC000908593385 712816868 /nfs/dbraw/zinc/81/68/68/712816868.db2.gz MEAYHURXHLXXQT-OAHLLOKOSA-N -1 1 320.389 1.812 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCc2cccc(F)c2)C1 ZINC000908703781 712838556 /nfs/dbraw/zinc/83/85/56/712838556.db2.gz LAZDJZNYNNYSSL-ZDUSSCGKSA-N -1 1 308.353 1.281 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000908709813 712839266 /nfs/dbraw/zinc/83/92/66/712839266.db2.gz UJRPAIQWGGCERQ-ZFWWWQNUSA-N -1 1 304.390 1.969 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)F)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966050714 717911390 /nfs/dbraw/zinc/91/13/90/717911390.db2.gz UCNIFKOQCYOHIK-VHSXEESVSA-N -1 1 309.341 1.112 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC(CC2CCOCC2)C1 ZINC000919779820 713634830 /nfs/dbraw/zinc/63/48/30/713634830.db2.gz AOINQCUCCBFSDW-UHFFFAOYSA-N -1 1 317.389 1.948 20 0 DDADMM CCC1(CC)CNC(=O)[C@@H]1[N-]S(=O)(=O)c1cc(C)ns1 ZINC000922043431 713944684 /nfs/dbraw/zinc/94/46/84/713944684.db2.gz OLCOADZMIYLPJB-JTQLQIEISA-N -1 1 317.436 1.035 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)CC1 ZINC000923104482 714238320 /nfs/dbraw/zinc/23/83/20/714238320.db2.gz XUBSQMHMOSZTIF-ZQDZILKHSA-N -1 1 308.422 1.820 20 0 DDADMM CC[C@@H](O)CCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932044769 714245273 /nfs/dbraw/zinc/24/52/73/714245273.db2.gz ATRFUJINFLDPFG-CYBMUJFWSA-N -1 1 304.350 1.860 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC[C@H]2/C=C/c2ccccc2)C1=O ZINC000923272379 714292649 /nfs/dbraw/zinc/29/26/49/714292649.db2.gz HSCQUZJNKMHYJD-FHQWLQQXSA-N -1 1 314.385 1.850 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)N[C@H](C)C(F)F)[n-]c1=O ZINC000932434698 714329885 /nfs/dbraw/zinc/32/98/85/714329885.db2.gz ZZEGOZBCXGCIQC-HTQZYQBOSA-N -1 1 316.308 1.691 20 0 DDADMM CC1=NO[C@@H](CNC(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000923528745 714391770 /nfs/dbraw/zinc/39/17/70/714391770.db2.gz XQPMDMRLPKNJOR-SNVBAGLBSA-N -1 1 313.304 1.677 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@@H]1[C@@H]2CCC(=O)[C@@H]21 ZINC000923583750 714416878 /nfs/dbraw/zinc/41/68/78/714416878.db2.gz UDQXKXBCLAFQDX-HFBAOOFYSA-N -1 1 314.293 1.767 20 0 DDADMM COc1nn(C)cc1C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000923666064 714445820 /nfs/dbraw/zinc/44/58/20/714445820.db2.gz OODDZTTUNGHRJC-UHFFFAOYSA-N -1 1 318.329 1.736 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@H]1CC1(O)CCCCC1 ZINC000925047774 714736898 /nfs/dbraw/zinc/73/68/98/714736898.db2.gz DLKAXXLDVWFPQZ-ZDUSSCGKSA-N -1 1 321.425 1.599 20 0 DDADMM COc1cnc([C@@H]2CCCN2CC(=O)NCC2CCC2)[n-]c1=O ZINC000934277893 714785317 /nfs/dbraw/zinc/78/53/17/714785317.db2.gz SJJVCBLBGVYKGR-LBPRGKRZSA-N -1 1 320.393 1.244 20 0 DDADMM COCC[C@H]1NC(=O)N(CCc2c(F)cc([O-])cc2F)C1=O ZINC000925462723 714864594 /nfs/dbraw/zinc/86/45/94/714864594.db2.gz MSBXEWPVRMNETE-GFCCVEGCSA-N -1 1 314.288 1.170 20 0 DDADMM CN(CCCC(=O)[N-]OCC(F)(F)F)C(=O)c1ccncc1 ZINC000934901168 714927171 /nfs/dbraw/zinc/92/71/71/714927171.db2.gz AGEWHFIACWYKDX-UHFFFAOYSA-N -1 1 319.283 1.544 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@H]2CCO[C@@H](C(C)C)C2)n[nH]1 ZINC000935260061 715014328 /nfs/dbraw/zinc/01/43/28/715014328.db2.gz FWXVAAXAXLVJBF-CMPLNLGQSA-N -1 1 309.366 1.976 20 0 DDADMM CCn1cc(O[C@@H]2CCC[C@@H]2NC(=O)c2cncc([O-])c2)cn1 ZINC000926716903 715117755 /nfs/dbraw/zinc/11/77/55/715117755.db2.gz LAJZYIDSFNSEHO-LSDHHAIUSA-N -1 1 316.361 1.734 20 0 DDADMM CSCCO[N-]C(=O)c1cc(=O)[nH]cc1Br ZINC000935754294 715126257 /nfs/dbraw/zinc/12/62/57/715126257.db2.gz CHWYHQOKMNSJFN-UHFFFAOYSA-N -1 1 307.169 1.574 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-][C@H]2C[C@H](C)OC2=O)cnc1Cl ZINC000935986532 715142170 /nfs/dbraw/zinc/14/21/70/715142170.db2.gz NOLIJWKVWCBNRO-CBAPKCEASA-N -1 1 318.707 1.955 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2=CCCC2)C1 ZINC000937141366 715283094 /nfs/dbraw/zinc/28/30/94/715283094.db2.gz SAZITAIVPWBAJD-ZDUSSCGKSA-N -1 1 315.373 1.570 20 0 DDADMM CC(C)CC(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849154 715636495 /nfs/dbraw/zinc/63/64/95/715636495.db2.gz MKONNYPSGOJBKR-INIZCTEOSA-N -1 1 305.378 1.554 20 0 DDADMM CCCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC000955500946 715824940 /nfs/dbraw/zinc/82/49/40/715824940.db2.gz MLTNJTMWCVOMGJ-VXGBXAGGSA-N -1 1 305.378 1.697 20 0 DDADMM CC(C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000955656055 715894980 /nfs/dbraw/zinc/89/49/80/715894980.db2.gz VIALGKGPXODGSG-NEPJUHHUSA-N -1 1 305.378 1.553 20 0 DDADMM CC(C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)=C1CCC1 ZINC000940330472 716736188 /nfs/dbraw/zinc/73/61/88/716736188.db2.gz HAWHVRGLJPWHKY-CYBMUJFWSA-N -1 1 315.373 1.618 20 0 DDADMM CC[C@H](F)C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940831883 716981547 /nfs/dbraw/zinc/98/15/47/716981547.db2.gz NQXWPZTYYSUDGA-NSHDSACASA-N -1 1 309.341 1.210 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1)C(F)F ZINC000964660081 717362326 /nfs/dbraw/zinc/36/23/26/717362326.db2.gz XYTCEGXKIMIICY-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC000964674120 717372834 /nfs/dbraw/zinc/37/28/34/717372834.db2.gz YMSHSWZCDUVURF-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM CCCC(=O)N1CCC[C@@H]2[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962689631 717556629 /nfs/dbraw/zinc/55/66/29/717556629.db2.gz HOAGGDBMHVEMLP-CHWSQXEVSA-N -1 1 317.389 1.793 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C(C)C)C1 ZINC000942755982 717857610 /nfs/dbraw/zinc/85/76/10/717857610.db2.gz DUKWNGONIMPABX-LBPRGKRZSA-N -1 1 305.378 1.506 20 0 DDADMM CCCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000967010240 718742720 /nfs/dbraw/zinc/74/27/20/718742720.db2.gz KWRFGNXSHGJLIE-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946362172 718761814 /nfs/dbraw/zinc/76/18/14/718761814.db2.gz MYIAZTSJPNWGLG-QWHCGFSZSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1coc(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])c1 ZINC000949541148 720148952 /nfs/dbraw/zinc/14/89/52/720148952.db2.gz BELODIWMQWSJKY-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950082265 720482314 /nfs/dbraw/zinc/48/23/14/720482314.db2.gz PESWZJFPCUUESF-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)c1ccccc1 ZINC000970527496 720797920 /nfs/dbraw/zinc/79/79/20/720797920.db2.gz RAYJQQNMRWQBJS-DGCLKSJQSA-N -1 1 315.377 1.005 20 0 DDADMM CC(C)C(=O)N1CC[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000953099469 721552601 /nfs/dbraw/zinc/55/26/01/721552601.db2.gz VLQHHTLUMRLRPG-QGZVFWFLSA-N -1 1 317.389 1.508 20 0 DDADMM CC(C)C(=O)N1CC[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000953099468 721552649 /nfs/dbraw/zinc/55/26/49/721552649.db2.gz VLQHHTLUMRLRPG-KRWDZBQOSA-N -1 1 317.389 1.508 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2ccsc2)C1 ZINC000954124170 721723544 /nfs/dbraw/zinc/72/35/44/721723544.db2.gz ONJPJJPFEKPHOU-UHFFFAOYSA-N -1 1 317.370 1.445 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CC(F)(F)F)C1 ZINC000954124306 721723628 /nfs/dbraw/zinc/72/36/28/721723628.db2.gz VDLAWLFKZUMZPZ-UHFFFAOYSA-N -1 1 317.267 1.022 20 0 DDADMM CC(C)C(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001010023459 738709709 /nfs/dbraw/zinc/70/97/09/738709709.db2.gz JXCBKQIAQVORMX-NWDGAFQWSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@@H]1CCN(C(=O)C=C2CCC2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087147079 733546889 /nfs/dbraw/zinc/54/68/89/733546889.db2.gz GORKDSBZKUSXQQ-YPMHNXCESA-N -1 1 319.409 1.337 20 0 DDADMM Cc1nc(CN2CCC[C@H]2CNC(=O)c2ncccc2[O-])co1 ZINC001027844433 738729198 /nfs/dbraw/zinc/72/91/98/738729198.db2.gz RHBLEYBQMIQWMO-ZDUSSCGKSA-N -1 1 316.361 1.478 20 0 DDADMM O=C([O-])C1=CC[C@H](NS(=O)(=O)c2c(F)cccc2F)C1 ZINC000694941960 736459361 /nfs/dbraw/zinc/45/93/61/736459361.db2.gz HRPFXFKNHXBYQZ-QMMMGPOBSA-N -1 1 303.286 1.417 20 0 DDADMM C[C@@H](NC(=O)[C@@H]1C[C@H]1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006567000 736507329 /nfs/dbraw/zinc/50/73/29/736507329.db2.gz FBCHCTCQQMWSPO-CKYFFXLPSA-N -1 1 303.362 1.020 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@@H](O)C1)c1ccc(Cl)nc1F ZINC000692888747 738959460 /nfs/dbraw/zinc/95/94/60/738959460.db2.gz MSWVGHLFGPQZID-JGVFFNPUSA-N -1 1 308.762 1.313 20 0 DDADMM CC(C)[C@H](CO)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692822187 738102392 /nfs/dbraw/zinc/10/23/92/738102392.db2.gz ITSMHAQIMWOSDD-QMMMGPOBSA-N -1 1 310.778 1.417 20 0 DDADMM Nc1ccc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)cn1 ZINC001167937022 739739716 /nfs/dbraw/zinc/73/97/16/739739716.db2.gz PEQQUBXIVZISMG-UHFFFAOYSA-N -1 1 305.274 1.749 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059085181 739898258 /nfs/dbraw/zinc/89/82/58/739898258.db2.gz OCTIUTRGIMQQBE-CYBMUJFWSA-N -1 1 317.389 1.722 20 0 DDADMM O=C(c1cc[nH]c1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088320031 741382849 /nfs/dbraw/zinc/38/28/49/741382849.db2.gz UXKVKZIBUSRNSA-GFCCVEGCSA-N -1 1 318.381 1.013 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1Cc1nncs1 ZINC001088539101 741712141 /nfs/dbraw/zinc/71/21/41/741712141.db2.gz IQRDFQXWGMAWDV-ZJUUUORDSA-N -1 1 319.390 1.032 20 0 DDADMM Cc1ncoc1C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076056977 742512567 /nfs/dbraw/zinc/51/25/67/742512567.db2.gz RXGPFFNNBJNGND-VIFPVBQESA-N -1 1 318.333 1.022 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1=COCCC1 ZINC001076243986 742623199 /nfs/dbraw/zinc/62/31/99/742623199.db2.gz FZNYPIVYVGXNMZ-NSHDSACASA-N -1 1 319.361 1.106 20 0 DDADMM C[C@@H](CCNC(=O)[C@H]1CC12CCC2)NC(=O)c1ncccc1[O-] ZINC001076537715 742775218 /nfs/dbraw/zinc/77/52/18/742775218.db2.gz KCGTXDQZBVDZKJ-NWDGAFQWSA-N -1 1 317.389 1.602 20 0 DDADMM CCC(=O)N[C@@H](C)CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001076564524 742785650 /nfs/dbraw/zinc/78/56/50/742785650.db2.gz UFSADBNJSDJJIY-VIFPVBQESA-N -1 1 306.366 1.094 20 0 DDADMM CC(C)CC(=O)N1CCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002083355 742867449 /nfs/dbraw/zinc/86/74/49/742867449.db2.gz PDBFXZACRFCQEC-ZDUSSCGKSA-N -1 1 319.405 1.896 20 0 DDADMM CC(C)(C)C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002195619 742946153 /nfs/dbraw/zinc/94/61/53/742946153.db2.gz REWDXPUGTKVUDK-UTUOFQBUSA-N -1 1 317.389 1.695 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cccn2nccc12 ZINC001168693202 743051044 /nfs/dbraw/zinc/05/10/44/743051044.db2.gz HGYCWBUVOKWOJW-AWEZNQCLSA-N -1 1 319.328 1.367 20 0 DDADMM Cc1cc(C)c(NC(=O)Nc2c(O)[nH]c(=O)[n-]c2=S)c(C)c1 ZINC001182125681 743485480 /nfs/dbraw/zinc/48/54/80/743485480.db2.gz KBZKTKKINVYHIC-SNVBAGLBSA-N -1 1 320.374 1.269 20 0 DDADMM Cc1ncc(CN2CC(NC(=O)c3ncccc3[O-])C2)s1 ZINC001030240139 743974061 /nfs/dbraw/zinc/97/40/61/743974061.db2.gz VHWMMMVDYGMLLZ-UHFFFAOYSA-N -1 1 304.375 1.166 20 0 DDADMM Cc1nc(CN2CC(NC(=O)c3ncccc3[O-])C2)sc1C ZINC001030239372 743975139 /nfs/dbraw/zinc/97/51/39/743975139.db2.gz GXRDYYNYNDCRJF-UHFFFAOYSA-N -1 1 318.402 1.475 20 0 DDADMM C[C@H]1C[C@@H]1c1ncc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cn1 ZINC001185143006 744160523 /nfs/dbraw/zinc/16/05/23/744160523.db2.gz DMCNSKPNHMYXHD-XPUUQOCRSA-N -1 1 311.305 1.224 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)Nc1cncc(O)c1 ZINC001185876039 744299879 /nfs/dbraw/zinc/29/98/79/744299879.db2.gz IGISRIJZTQVSAX-UHFFFAOYSA-N -1 1 308.315 1.595 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1C[C@H](CO)[C@@H](C(F)(F)F)C1 ZINC001186209210 744364579 /nfs/dbraw/zinc/36/45/79/744364579.db2.gz RMKRXCDYBMRRHK-BDAKNGLRSA-N -1 1 307.243 1.774 20 0 DDADMM COCCNC(=O)Nc1cc(OC)cc(Br)c1[O-] ZINC001186538955 744411888 /nfs/dbraw/zinc/41/18/88/744411888.db2.gz BKMGAAZKFBUTHF-UHFFFAOYSA-N -1 1 319.155 1.931 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ncc(Cl)nc1Cl ZINC001187243003 744523967 /nfs/dbraw/zinc/52/39/67/744523967.db2.gz BPBBHDHDCWOITE-UHFFFAOYSA-N -1 1 300.167 1.562 20 0 DDADMM CCOCCS(=O)(=O)[N-][C@@H](CC(C)(C)F)C(=O)OCC ZINC001187309115 744546693 /nfs/dbraw/zinc/54/66/93/744546693.db2.gz MFTSCXOPKPHDPZ-JTQLQIEISA-N -1 1 313.391 1.012 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]c1cc(C(N)=O)ccc1Cl ZINC001187371122 744551278 /nfs/dbraw/zinc/55/12/78/744551278.db2.gz QIEOETHCAPOXDS-UHFFFAOYSA-N -1 1 315.738 1.536 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]Cc1cnc2cc(C)ccn12 ZINC001187390144 744562840 /nfs/dbraw/zinc/56/28/40/744562840.db2.gz YJIBBNWTYIOVFY-UHFFFAOYSA-N -1 1 306.347 1.418 20 0 DDADMM COC(=O)C1CCC(C[N-]S(=O)(=O)c2nccs2)CC1 ZINC001187907303 744627949 /nfs/dbraw/zinc/62/79/49/744627949.db2.gz AJUPKPOWDSMNFQ-UHFFFAOYSA-N -1 1 318.420 1.401 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@@H]([N-]S(=O)(=O)c2nccs2)C1 ZINC001187924808 744636293 /nfs/dbraw/zinc/63/62/93/744636293.db2.gz AARACHZHLFCBMJ-RKDXNWHRSA-N -1 1 304.393 1.153 20 0 DDADMM CN(CC[N-]S(=O)(=O)c1nccs1)C(=O)OC(C)(C)C ZINC001187846918 744617809 /nfs/dbraw/zinc/61/78/09/744617809.db2.gz UEJVPAPFBQSTPZ-UHFFFAOYSA-N -1 1 321.424 1.288 20 0 DDADMM CCCCC[C@@H](CC)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188024541 744652223 /nfs/dbraw/zinc/65/22/23/744652223.db2.gz FWHIUHXIXMSLIZ-CHWSQXEVSA-N -1 1 323.441 1.807 20 0 DDADMM CCOC(=O)c1cccc(NC(=O)Nc2c([O-])nc[nH]c2=O)c1 ZINC001188642555 744745559 /nfs/dbraw/zinc/74/55/59/744745559.db2.gz MDOYRCSEGJKYGE-UHFFFAOYSA-N -1 1 318.289 1.709 20 0 DDADMM CC(C)(C)c1cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)[nH]n1 ZINC001189250476 744853590 /nfs/dbraw/zinc/85/35/90/744853590.db2.gz MTDSKNNOVIZZNF-UHFFFAOYSA-N -1 1 301.310 1.331 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncc(F)cc1F)c1ncc(F)cn1 ZINC001190208955 745154397 /nfs/dbraw/zinc/15/43/97/745154397.db2.gz QZKHMBKBGPXMJG-ZCFIWIBFSA-N -1 1 318.280 1.328 20 0 DDADMM CCNC(=O)CN(C)C(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190257680 745163001 /nfs/dbraw/zinc/16/30/01/745163001.db2.gz IRJSXDKJUYPMFK-UHFFFAOYSA-N -1 1 314.345 1.057 20 0 DDADMM O=C(c1cnc(-c2ccccc2)[n-]c1=O)N1CCCC12COC2 ZINC001190261768 745165559 /nfs/dbraw/zinc/16/55/59/745165559.db2.gz HWETYGCOVGMEIH-UHFFFAOYSA-N -1 1 311.341 1.854 20 0 DDADMM CCC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@]2(C)C1 ZINC000992821549 745223131 /nfs/dbraw/zinc/22/31/31/745223131.db2.gz TWOGICQLMREJDK-BZNIZROVSA-N -1 1 303.362 1.118 20 0 DDADMM Cc1cnc(=O)[nH]c1NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629782 745278707 /nfs/dbraw/zinc/27/87/07/745278707.db2.gz XMKVZYLVUUZFDH-UHFFFAOYSA-N -1 1 324.300 1.301 20 0 DDADMM CC(C)OC1CN(C(=O)c2cnc(-c3ccccn3)[n-]c2=O)C1 ZINC001190628037 745279251 /nfs/dbraw/zinc/27/92/51/745279251.db2.gz GYYZWHGRLMBKPO-UHFFFAOYSA-N -1 1 314.345 1.494 20 0 DDADMM CSc1nc(NC(=O)c2cccc(C(C)=O)n2)cc(=O)[n-]1 ZINC001190738927 745313071 /nfs/dbraw/zinc/31/30/71/745313071.db2.gz DJLGKMIEFOMQIX-UHFFFAOYSA-N -1 1 304.331 1.754 20 0 DDADMM CN1CCc2ccc([N-]S(=O)(=O)c3ccncc3)cc2C1=O ZINC001190903934 745380876 /nfs/dbraw/zinc/38/08/76/745380876.db2.gz PCNSHMUFVJWHMH-UHFFFAOYSA-N -1 1 317.370 1.511 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)NCCCc1ccccc1 ZINC001191222655 745458661 /nfs/dbraw/zinc/45/86/61/745458661.db2.gz ZLXUOTVJMYYYBF-UHFFFAOYSA-N -1 1 314.322 1.876 20 0 DDADMM CSc1ncc(C(=O)N2CCC3(CCC(=O)O3)CC2)c(=O)[n-]1 ZINC001191431611 745511477 /nfs/dbraw/zinc/51/14/77/745511477.db2.gz ZILZBGGMKUGUKO-UHFFFAOYSA-N -1 1 323.374 1.216 20 0 DDADMM CSc1ncc(C(=O)N2CCc3cccc(N)c32)c(=O)[n-]1 ZINC001191438094 745512556 /nfs/dbraw/zinc/51/25/56/745512556.db2.gz PQRKTKPDDRTKJC-UHFFFAOYSA-N -1 1 302.359 1.689 20 0 DDADMM O=C1CCCN1CCC[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001191997175 745662168 /nfs/dbraw/zinc/66/21/68/745662168.db2.gz NWNCMWZGRNMAEB-UHFFFAOYSA-N -1 1 323.629 1.246 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(F)c(Br)c(F)c1 ZINC001192018769 745675563 /nfs/dbraw/zinc/67/55/63/745675563.db2.gz NXTFJEUIXYXOBG-UHFFFAOYSA-N -1 1 318.081 1.170 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1ccn[nH]c1=O ZINC001192378875 745772382 /nfs/dbraw/zinc/77/23/82/745772382.db2.gz DMPXHGNDWDYWIY-UHFFFAOYSA-N -1 1 311.095 1.298 20 0 DDADMM O=C(N[C@H]1C(=O)NCc2ccccc21)c1c(F)ccc([O-])c1F ZINC001192552416 745812333 /nfs/dbraw/zinc/81/23/33/745812333.db2.gz NOKJJMRTORSRPH-CQSZACIVSA-N -1 1 318.279 1.771 20 0 DDADMM O=C(Nc1ccc(N2C(=O)C=CC2=O)cc1)Nc1ncccc1[O-] ZINC001192567092 745818634 /nfs/dbraw/zinc/81/86/34/745818634.db2.gz RORUNSSSGQEGHB-UHFFFAOYSA-N -1 1 324.296 1.861 20 0 DDADMM CC(C)(C)N(C[C@@H](O)CO)C(=O)c1c(F)ccc([O-])c1F ZINC001192525915 745820830 /nfs/dbraw/zinc/82/08/30/745820830.db2.gz CANAKIWXNWPNFX-MRVPVSSYSA-N -1 1 303.305 1.264 20 0 DDADMM CCN(CCNc1cc(C)nc(C)n1)C(=O)c1ncccc1[O-] ZINC001106727468 745971501 /nfs/dbraw/zinc/97/15/01/745971501.db2.gz QXKHYUIGTZPZCS-UHFFFAOYSA-N -1 1 315.377 1.768 20 0 DDADMM O=C([N-]c1nnco1)c1cn2nc(Br)sc2n1 ZINC001152077592 745973734 /nfs/dbraw/zinc/97/37/34/745973734.db2.gz QYNZGSZGRBWNQZ-UHFFFAOYSA-N -1 1 315.112 1.189 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1ccncc1C(F)(F)F ZINC001193196439 746008504 /nfs/dbraw/zinc/00/85/04/746008504.db2.gz LVHFSWARYCVALI-QMMMGPOBSA-N -1 1 310.297 1.443 20 0 DDADMM COc1ccnc(C(=O)Nc2ccc3c(c2)OCC(=O)N3)c1[O-] ZINC001193530897 746121558 /nfs/dbraw/zinc/12/15/58/746121558.db2.gz APDLCIUPLGKRKG-UHFFFAOYSA-N -1 1 315.285 1.379 20 0 DDADMM CCn1nnc(-c2ccccc2[N-]S(=O)(=O)CC2CCC2)n1 ZINC001193522531 746132496 /nfs/dbraw/zinc/13/24/96/746132496.db2.gz FVSQCHSLPDVDTF-UHFFFAOYSA-N -1 1 321.406 1.902 20 0 DDADMM COc1ccnc(C(=O)Nc2cc(Cl)nc3ccnn32)c1[O-] ZINC001193524800 746133369 /nfs/dbraw/zinc/13/33/69/746133369.db2.gz LVJFZRVTYPOOFK-UHFFFAOYSA-N -1 1 319.708 1.744 20 0 DDADMM CC(C)COC(=O)Nc1ccc(S(=O)(=O)N(C)C)cc1[O-] ZINC001193836953 746211709 /nfs/dbraw/zinc/21/17/09/746211709.db2.gz VTMKFICMADNHIL-UHFFFAOYSA-N -1 1 316.379 1.847 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)Cc2noc3ccccc23)c(=O)[nH]1 ZINC001194058763 746267174 /nfs/dbraw/zinc/26/71/74/746267174.db2.gz NQIIBUIOCGKWKT-UHFFFAOYSA-N -1 1 320.330 1.574 20 0 DDADMM CSc1nnc([N-]C(=O)c2ncc(C)cn2)c(SC)n1 ZINC001194065850 746269911 /nfs/dbraw/zinc/26/99/11/746269911.db2.gz YEXLIVXOALNUAG-UHFFFAOYSA-N -1 1 308.392 1.666 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cncc(SC)c2)n1 ZINC001194083465 746275078 /nfs/dbraw/zinc/27/50/78/746275078.db2.gz OMPWPDRESIXVLA-UHFFFAOYSA-N -1 1 306.347 1.956 20 0 DDADMM Cc1[n-]n(-c2ccccc2)c(=O)c1C(=O)N1CCc2nc[nH]c2C1 ZINC001194266894 746327177 /nfs/dbraw/zinc/32/71/77/746327177.db2.gz ASNXKDDZTYZMCL-UHFFFAOYSA-N -1 1 323.356 1.808 20 0 DDADMM CCOc1ncc(NC(=O)c2cc(C(=O)OC)n[n-]2)cc1F ZINC001194282302 746338013 /nfs/dbraw/zinc/33/80/13/746338013.db2.gz GXGHTKCLSZVCDD-UHFFFAOYSA-N -1 1 308.269 1.381 20 0 DDADMM Cc1nc2c([nH]1)CCN(C(=O)c1cc([O-])cnc1Cl)CC2 ZINC001195300210 746570861 /nfs/dbraw/zinc/57/08/61/746570861.db2.gz YHMWZNXFINAOLB-UHFFFAOYSA-N -1 1 306.753 1.713 20 0 DDADMM COc1ccc(CCNC(=O)c2cc([O-])cnc2Cl)nc1 ZINC001195312860 746574023 /nfs/dbraw/zinc/57/40/23/746574023.db2.gz LTJAWWBZCMOFJH-UHFFFAOYSA-N -1 1 307.737 1.817 20 0 DDADMM COC(=O)c1c(O)cccc1NC(=O)c1nc(C)ccc1[O-] ZINC001195330782 746587686 /nfs/dbraw/zinc/58/76/86/746587686.db2.gz RZTFVJIXGFXUDG-UHFFFAOYSA-N -1 1 302.286 1.840 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3c(F)cncc3Cl)c1-2 ZINC001195468790 746617735 /nfs/dbraw/zinc/61/77/35/746617735.db2.gz UHLXBTQRPZEVCP-UHFFFAOYSA-N -1 1 306.688 1.475 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc2nn(CCO)cc2c1 ZINC001195486981 746623163 /nfs/dbraw/zinc/62/31/63/746623163.db2.gz OVVWRHOYFMLSPE-UHFFFAOYSA-N -1 1 308.363 1.472 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC2(C[C@@H]2CCO)CC1 ZINC001196014919 746757499 /nfs/dbraw/zinc/75/74/99/746757499.db2.gz VAXTVSHZEUTSHH-VIFPVBQESA-N -1 1 309.391 1.096 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(F)c(OC2CCC2)c(F)c1 ZINC001196604044 746910628 /nfs/dbraw/zinc/91/06/28/746910628.db2.gz JUAVZVGSQSMFMJ-UHFFFAOYSA-N -1 1 309.276 1.339 20 0 DDADMM O=C(NCC1CN(CC(F)(F)C(F)F)C1)c1ncccc1[O-] ZINC001031627998 747246465 /nfs/dbraw/zinc/24/64/65/747246465.db2.gz AUFFOQQRHVBAEV-UHFFFAOYSA-N -1 1 321.274 1.349 20 0 DDADMM CCOC(=O)c1cncc([N-]S(=O)(=O)Cc2ccccn2)c1 ZINC001197782652 747263103 /nfs/dbraw/zinc/26/31/03/747263103.db2.gz MATRVUKNHFWIQV-UHFFFAOYSA-N -1 1 321.358 1.595 20 0 DDADMM Cc1ccc2c(c1)[C@H]([N-]S(=O)(=O)Cc1ccccn1)C(=O)N2 ZINC001197835764 747273379 /nfs/dbraw/zinc/27/33/79/747273379.db2.gz XEQSZLCGLMZGOC-AWEZNQCLSA-N -1 1 317.370 1.503 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cccc(C2CCC2)n1 ZINC001197878366 747295291 /nfs/dbraw/zinc/29/52/91/747295291.db2.gz CZJPMBZSAGOFOK-UHFFFAOYSA-N -1 1 310.317 1.973 20 0 DDADMM CN(C(=O)[C@@H]1CC1(C)C)C1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC001004066775 747958268 /nfs/dbraw/zinc/95/82/68/747958268.db2.gz BRUVVNCUKLGXBF-MCIGGMRASA-N -1 1 319.409 1.350 20 0 DDADMM CC(C)c1oncc1C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001199748055 747977771 /nfs/dbraw/zinc/97/77/71/747977771.db2.gz RCCHUWBNUHEPSY-UHFFFAOYSA-N -1 1 304.266 1.079 20 0 DDADMM NC(=O)CC[N-]S(=O)(=O)c1cc(Cl)c(Cl)cc1F ZINC001201279393 748451346 /nfs/dbraw/zinc/45/13/46/748451346.db2.gz JXXUYAQHVYQYLU-UHFFFAOYSA-N -1 1 315.153 1.286 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1ccc(F)c(F)c1OC ZINC001201915869 748640904 /nfs/dbraw/zinc/64/09/04/748640904.db2.gz KFBYILGKMLDFJT-UHFFFAOYSA-N -1 1 323.317 1.668 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C(C)(C)F)CCCN1C(=O)c1ncccc1[O-] ZINC001014690189 748732529 /nfs/dbraw/zinc/73/25/29/748732529.db2.gz YMIIFQJJBIESPK-QWRGUYRKSA-N -1 1 323.368 1.645 20 0 DDADMM CCCC[C@@H](CNc1nccc(OC)n1)NC(=O)c1cnn[nH]1 ZINC001114328275 749060601 /nfs/dbraw/zinc/06/06/01/749060601.db2.gz ARRJHXFLJHQXIS-JTQLQIEISA-N -1 1 319.369 1.004 20 0 DDADMM CC(C)CC(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996145538 749395606 /nfs/dbraw/zinc/39/56/06/749395606.db2.gz QRLSQKBXWHYGTL-AGIUHOORSA-N -1 1 317.389 1.695 20 0 DDADMM O=C(CCC1CC1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202671797 749492307 /nfs/dbraw/zinc/49/23/07/749492307.db2.gz BIVNLIYTQPAYMM-RYUDHWBXSA-N -1 1 319.409 1.218 20 0 DDADMM CC(=O)Nc1c(C)ccnc1NCC[N-]C(=O)C(F)(F)F ZINC001156203142 762004212 /nfs/dbraw/zinc/00/42/12/762004212.db2.gz JVPVXNFHYYCFCV-UHFFFAOYSA-N -1 1 304.272 1.439 20 0 DDADMM C[C@H](CNc1ncnc2ccccc21)NC(=O)c1ncccc1[O-] ZINC001107690011 750383847 /nfs/dbraw/zinc/38/38/47/750383847.db2.gz GSWHNQOMXVZPSW-LLVKDONJSA-N -1 1 323.356 1.961 20 0 DDADMM CCCCCN1CCO[C@](C)(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001107871924 750508154 /nfs/dbraw/zinc/50/81/54/750508154.db2.gz LPKVWYCPTARYSQ-MRXNPFEDSA-N -1 1 324.425 1.435 20 0 DDADMM Cc1coc(C)c1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034926025 751065423 /nfs/dbraw/zinc/06/54/23/751065423.db2.gz QFGPZUFBTCCWIE-LLVKDONJSA-N -1 1 319.365 1.115 20 0 DDADMM COc1ccc([C@H]2C[C@H]([NH2+]CCP(=O)([O-])[O-])CCO2)cc1 ZINC001168599463 751302670 /nfs/dbraw/zinc/30/26/70/751302670.db2.gz YXJVSNJXEIEPSV-TZMCWYRMSA-N -1 1 315.306 1.683 20 0 DDADMM CCC(=O)N1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)CC1 ZINC001009634033 753291599 /nfs/dbraw/zinc/29/15/99/753291599.db2.gz NKKRGMVZQBBJDC-UHFFFAOYSA-N -1 1 320.393 1.308 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC23CC3)C1 ZINC001005956189 753417665 /nfs/dbraw/zinc/41/76/65/753417665.db2.gz KEZMBIAQBTXJIN-JQWIXIFHSA-N -1 1 315.373 1.164 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839633 753759923 /nfs/dbraw/zinc/75/99/23/753759923.db2.gz YCMRKISHEWTABF-QWRGUYRKSA-N -1 1 303.362 1.212 20 0 DDADMM C[C@H]1[C@H](NC(=O)C2(C)CC2)CCN1C(=O)c1ncccc1[O-] ZINC001011678960 754673108 /nfs/dbraw/zinc/67/31/08/754673108.db2.gz ZYRYAAQUCVQHQJ-WDEREUQCSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ccc[nH]1 ZINC001012279644 754971048 /nfs/dbraw/zinc/97/10/48/754971048.db2.gz JEYKZKXGSUJFJU-WDEREUQCSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1=CCCC1 ZINC001012485875 755104417 /nfs/dbraw/zinc/10/44/17/755104417.db2.gz YQDPMRWHIPWGTL-AAEUAGOBSA-N -1 1 315.373 1.617 20 0 DDADMM CCOC(=O)C1(Nc2ccccc2C(=O)[O-])CCN(C)CC1 ZINC001169492291 762480059 /nfs/dbraw/zinc/48/00/59/762480059.db2.gz PFGVLWUWXVYTQG-UHFFFAOYSA-N -1 1 306.362 1.824 20 0 DDADMM COc1cc(C[S@@](=O)CCC[N-]C(=O)C(F)(F)F)ccn1 ZINC001118827268 756740387 /nfs/dbraw/zinc/74/03/87/756740387.db2.gz JODXILZSKSSMNB-NRFANRHFSA-N -1 1 324.324 1.408 20 0 DDADMM CCc1cc(C)nc(N[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097765488 757511866 /nfs/dbraw/zinc/51/18/66/757511866.db2.gz TZENAUGKWZXMJD-NSHDSACASA-N -1 1 315.377 1.678 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(C)(C)F ZINC001018099949 758546883 /nfs/dbraw/zinc/54/68/83/758546883.db2.gz NVILWFZUNJRWQO-MNOVXSKESA-N -1 1 323.368 1.645 20 0 DDADMM CCCC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001065749581 758753271 /nfs/dbraw/zinc/75/32/71/758753271.db2.gz NCELAWJONDXWEZ-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM CCC(=O)N1CC[C@@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001018453318 758846308 /nfs/dbraw/zinc/84/63/08/758846308.db2.gz VSEOTYXSVDRWFL-NEPJUHHUSA-N -1 1 305.378 1.412 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@@]3(CCN(CCF)C3)C2)c1[O-] ZINC001054116917 759184322 /nfs/dbraw/zinc/18/43/22/759184322.db2.gz CXNVJKZMPBFFBS-HNNXBMFYSA-N -1 1 310.373 1.321 20 0 DDADMM CN(CCCCO)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000828747961 759763752 /nfs/dbraw/zinc/76/37/52/759763752.db2.gz QBXDXWIILZLGAN-UHFFFAOYSA-N -1 1 304.350 1.814 20 0 DDADMM Cc1nsc(N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001046796382 767932531 /nfs/dbraw/zinc/93/25/31/767932531.db2.gz FZFNSWZTCDWMHY-MGCOHNPYSA-N -1 1 319.390 1.568 20 0 DDADMM O=C(NC[C@H]1CCN1Cc1cncc(F)c1)c1ncccc1[O-] ZINC001038193021 760907236 /nfs/dbraw/zinc/90/72/36/760907236.db2.gz HAWFZFNNNZWZOJ-CYBMUJFWSA-N -1 1 316.336 1.326 20 0 DDADMM CC1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCCCC1 ZINC001000083798 761496326 /nfs/dbraw/zinc/49/63/26/761496326.db2.gz XKOMSVFSWZHXFY-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(Nc2cc(F)ncn2)CC1 ZINC001057314517 763398765 /nfs/dbraw/zinc/39/87/65/763398765.db2.gz SHYOQCWFUMDEJH-UHFFFAOYSA-N -1 1 317.324 1.433 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@@H]3CCN(C/C=C\Cl)[C@H]3C2)c1[O-] ZINC001042016026 763567475 /nfs/dbraw/zinc/56/74/75/763567475.db2.gz NEAUJLJOMBYVGC-VHGJUTQGSA-N -1 1 324.812 1.713 20 0 DDADMM CC(C)CC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531629 763718022 /nfs/dbraw/zinc/71/80/22/763718022.db2.gz USLAMDGAPJNFSS-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1ccnc(N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)n1 ZINC001043148511 764713314 /nfs/dbraw/zinc/71/33/14/764713314.db2.gz XFBYPSMTKFMRTN-ZYHUDNBSSA-N -1 1 313.361 1.458 20 0 DDADMM Cc1ccc(N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])nn1 ZINC001113574667 765895351 /nfs/dbraw/zinc/89/53/51/765895351.db2.gz CHGJQVQLODNFKB-NSHDSACASA-N -1 1 301.350 1.140 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc(-c4ccncc4)ccn3)c2[nH]1 ZINC001170214137 766174260 /nfs/dbraw/zinc/17/42/60/766174260.db2.gz XKWOJUOMJLJDRZ-UHFFFAOYSA-N -1 1 321.300 1.916 20 0 DDADMM CN(C)C(=O)c1cccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001170216319 766175428 /nfs/dbraw/zinc/17/54/28/766175428.db2.gz IVWAPTZGAICVGC-UHFFFAOYSA-N -1 1 314.305 1.161 20 0 DDADMM CC/C=C(\C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952552 770338017 /nfs/dbraw/zinc/33/80/17/770338017.db2.gz NUHBLYSXMJKCDH-OAIDTJHVSA-N -1 1 317.389 1.720 20 0 DDADMM O=C(NC[C@@H](Nc1nccnc1F)C1CC1)c1ncccc1[O-] ZINC001096650878 771372560 /nfs/dbraw/zinc/37/25/60/771372560.db2.gz BCUJTYNTIHUBPR-SNVBAGLBSA-N -1 1 317.324 1.337 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001144501735 772551723 /nfs/dbraw/zinc/55/17/23/772551723.db2.gz AFHFDWAKSHRIHN-ZETCQYMHSA-N -1 1 315.289 1.122 20 0 DDADMM COc1cc(NC(=O)c2c(CO)cnc(C)c2[O-])cc(OC)c1 ZINC001147832587 773260026 /nfs/dbraw/zinc/26/00/26/773260026.db2.gz UIFDNYPLBAZEEL-UHFFFAOYSA-N -1 1 318.329 1.857 20 0 DDADMM CCCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073738045 773359343 /nfs/dbraw/zinc/35/93/43/773359343.db2.gz RISOJANQXLUYMI-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CCCC34COC4)cc2)[n-]1 ZINC001148330562 773438545 /nfs/dbraw/zinc/43/85/45/773438545.db2.gz VPIXXBAYFNXSOA-UHFFFAOYSA-N -1 1 313.357 1.804 20 0 DDADMM CCCCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001073900746 773511462 /nfs/dbraw/zinc/51/14/62/773511462.db2.gz NOKQDAFLARWQBU-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM COc1c(F)c(F)cc(C(=O)[N-]c2ncnc3n[nH]nc32)c1F ZINC001148779772 773586689 /nfs/dbraw/zinc/58/66/89/773586689.db2.gz QDUXDDZMMHTMLN-UHFFFAOYSA-N -1 1 324.222 1.426 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@]23CCC[C@H]2CN(C/C=C\Cl)C3)c1[O-] ZINC001098789466 774675590 /nfs/dbraw/zinc/67/55/90/774675590.db2.gz ZEQYODBHWHAVNN-RUSSKTGRSA-N -1 1 324.812 1.761 20 0 DDADMM CC[C@@H](F)CN1C[C@@H]2CCC[C@]2(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001098794779 774677068 /nfs/dbraw/zinc/67/70/68/774677068.db2.gz GROPDFXLNRBHOX-OZVIIMIRSA-N -1 1 324.400 1.756 20 0 DDADMM O=C(NCCCNc1ncncc1Cl)c1ncccc1[O-] ZINC001094375892 775820244 /nfs/dbraw/zinc/82/02/44/775820244.db2.gz TVCHZAPBRXJPGI-UHFFFAOYSA-N -1 1 307.741 1.463 20 0 DDADMM COC(=O)c1nc(Cl)c(F)c([N-]c2nccnc2CN)n1 ZINC001171450305 776198305 /nfs/dbraw/zinc/19/83/05/776198305.db2.gz PLFYKQCJNBHQDF-UHFFFAOYSA-N -1 1 312.692 1.048 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(N[C@@H]3Cc4cccc(F)c4C3)c2[nH]1 ZINC001171780184 776445010 /nfs/dbraw/zinc/44/50/10/776445010.db2.gz FVSITYUDUWMHRJ-SSDOTTSWSA-N -1 1 301.281 1.434 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(N[C@@H]3Cc4ccc(Cl)cc4C3)c2[nH]1 ZINC001172712113 776880396 /nfs/dbraw/zinc/88/03/96/776880396.db2.gz KDAHQFMEZSZWSZ-SECBINFHSA-N -1 1 317.736 1.948 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CC(C)(C)C ZINC001101726173 777439114 /nfs/dbraw/zinc/43/91/14/777439114.db2.gz JGTXKCCHHNQPIC-GHMZBOCLSA-N -1 1 309.414 1.131 20 0 DDADMM CCOC(=O)c1nonc1[N-]c1ccc(-c2nn[nH]n2)cc1 ZINC001175424729 777784882 /nfs/dbraw/zinc/78/48/82/777784882.db2.gz DEWRDZATRVYTQP-UHFFFAOYSA-N -1 1 301.266 1.170 20 0 DDADMM CS(=O)(=O)c1ccc([N-]c2noc3nccnc23)c(F)c1 ZINC001176274666 778099335 /nfs/dbraw/zinc/09/93/35/778099335.db2.gz QSYUYHVKNNQQAM-UHFFFAOYSA-N -1 1 308.294 1.904 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNc1nccnc1F ZINC001103924382 779175677 /nfs/dbraw/zinc/17/56/77/779175677.db2.gz KDHYXTBDUPUIPG-SECBINFHSA-N -1 1 305.313 1.194 20 0 DDADMM CN1CCC[C@@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000692878892 779491029 /nfs/dbraw/zinc/49/10/29/779491029.db2.gz HMWDFFCVVCQZMJ-MRVPVSSYSA-N -1 1 307.778 1.247 20 0 DDADMM CN(CCCN(C)c1cccc(F)n1)C(=O)c1ncccc1[O-] ZINC001112081081 779617942 /nfs/dbraw/zinc/61/79/42/779617942.db2.gz DXSSKENOCQELAU-UHFFFAOYSA-N -1 1 318.352 1.920 20 0 DDADMM CC(C)(CO)C[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001116469753 780512718 /nfs/dbraw/zinc/51/27/18/780512718.db2.gz GLMURNDRMZKUMK-UHFFFAOYSA-N -1 1 313.206 1.685 20 0 DDADMM CC(C)(C)c1csc(CNCCn2cc(C(=O)[O-])nn2)n1 ZINC001119615798 781537306 /nfs/dbraw/zinc/53/73/06/781537306.db2.gz SIXQIEOVJVYRCV-UHFFFAOYSA-N -1 1 309.395 1.520 20 0 DDADMM CC(C)N(CCCNC(=O)CC1(C)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001267505237 838203472 /nfs/dbraw/zinc/20/34/72/838203472.db2.gz OGQFSWPLXQVQNF-UHFFFAOYSA-N -1 1 309.414 1.417 20 0 DDADMM CS[C@H](C)C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001408813758 838916562 /nfs/dbraw/zinc/91/65/62/838916562.db2.gz NKFBEWLSARFSOM-GHMZBOCLSA-N -1 1 323.418 1.260 20 0 DDADMM CC(C)CN1CC[C@@]2(CCCN2C(=O)c2ncccc2[O-])C1=O ZINC001268845279 840894388 /nfs/dbraw/zinc/89/43/88/840894388.db2.gz JPRBMTYWOYIDPO-KRWDZBQOSA-N -1 1 317.389 1.650 20 0 DDADMM CN1CCC12CN(C(=O)c1cc(=O)c3ccc(Cl)cc3[n-]1)C2 ZINC001269328661 841525549 /nfs/dbraw/zinc/52/55/49/841525549.db2.gz PRUSFSFODDNFBX-UHFFFAOYSA-N -1 1 317.776 1.712 20 0 DDADMM CC[C@H](C)N1CC[C@@]2(CCCN2C(=O)c2cncc([O-])c2)C1=O ZINC001271384683 843542935 /nfs/dbraw/zinc/54/29/35/843542935.db2.gz BBBPEBAIMRDIKI-SJCJKPOMSA-N -1 1 317.389 1.793 20 0 DDADMM CC(C)CN1CC[C@@]2(CCCN2C(=O)c2cncc([O-])c2)C1=O ZINC001271387117 843546346 /nfs/dbraw/zinc/54/63/46/843546346.db2.gz QJQFKHZTLDYEGC-KRWDZBQOSA-N -1 1 317.389 1.650 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC1(F)F ZINC001409261697 844726487 /nfs/dbraw/zinc/72/64/87/844726487.db2.gz QFHPSUMRDPRQAB-BDAKNGLRSA-N -1 1 313.304 1.067 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccncc1 ZINC001409261831 844726618 /nfs/dbraw/zinc/72/66/18/844726618.db2.gz TYUWFGKAMHJNKJ-GFCCVEGCSA-N -1 1 314.345 1.121 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CC(F)(F)F ZINC001409261994 844728038 /nfs/dbraw/zinc/72/80/38/844728038.db2.gz XJCFQMNUBKNFDN-QMMMGPOBSA-N -1 1 319.283 1.364 20 0 DDADMM CS[C@@H](C)C(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001409664901 845523642 /nfs/dbraw/zinc/52/36/42/845523642.db2.gz SNBIPEWSFCWORO-ZJUUUORDSA-N -1 1 311.407 1.021 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2CCOC1CCC1 ZINC001032369742 847194651 /nfs/dbraw/zinc/19/46/51/847194651.db2.gz POYYOWOLXMODGF-STQMWFEESA-N -1 1 317.389 1.255 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CCn2cnnc2C1 ZINC001149644978 861777187 /nfs/dbraw/zinc/77/71/87/861777187.db2.gz HEDXMSFVFTXHLL-UHFFFAOYSA-N -1 1 310.313 1.499 20 0 DDADMM CC1(C)C(=O)NC[C@@H]1NC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149659635 861789711 /nfs/dbraw/zinc/78/97/11/861789711.db2.gz IJRDOUCUAHZNEE-AWEZNQCLSA-N -1 1 314.341 1.505 20 0 DDADMM Cc1nc2c([nH]1)CCN(C(=O)c1[n-][nH]c3cc(=O)ccc1-3)CC2 ZINC001155265793 861912753 /nfs/dbraw/zinc/91/27/53/861912753.db2.gz ZQOJYFOUBZFYPL-UHFFFAOYSA-N -1 1 311.345 1.541 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CC12CCC2 ZINC001411211327 850413337 /nfs/dbraw/zinc/41/33/37/850413337.db2.gz BFRSXOBODZJKGD-WDEREUQCSA-N -1 1 321.425 1.321 20 0 DDADMM Cc1nc([N-]C(=O)c2nc3ncccn3n2)nn1-c1ccccc1 ZINC001273530177 851063506 /nfs/dbraw/zinc/06/35/06/851063506.db2.gz ROLRSJADQFVSCD-UHFFFAOYSA-N -1 1 320.316 1.266 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1nccs1)c1ccc(F)cc1F ZINC001187911258 851086064 /nfs/dbraw/zinc/08/60/64/851086064.db2.gz QQCJHDLSDDDINP-UHFFFAOYSA-N -1 1 318.326 1.583 20 0 DDADMM COc1cc(CNC(=O)c2ccc([O-])cn2)cc(OC)c1O ZINC001411891188 853938704 /nfs/dbraw/zinc/93/87/04/853938704.db2.gz CRRGSJVEFLZFBG-UHFFFAOYSA-N -1 1 304.302 1.440 20 0 DDADMM Cc1ccccc1COCC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001412085832 854207290 /nfs/dbraw/zinc/20/72/90/854207290.db2.gz ZATFHTJLKIQLAQ-UHFFFAOYSA-N -1 1 310.357 1.806 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C2CC2)[C@H]1C1CC1 ZINC001412153393 854273017 /nfs/dbraw/zinc/27/30/17/854273017.db2.gz AOHXIBYDSGCDPK-MWLCHTKSSA-N -1 1 305.334 1.157 20 0 DDADMM CSc1ncc(C(=O)N2CCCO[C@H](C(C)C)C2)c(=O)[n-]1 ZINC001412174837 854296720 /nfs/dbraw/zinc/29/67/20/854296720.db2.gz BDSGRPRBFNIMSG-NSHDSACASA-N -1 1 311.407 1.791 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3ccc(F)c([O-])c3)[C@@H]2C1 ZINC001412201219 854327970 /nfs/dbraw/zinc/32/79/70/854327970.db2.gz GUABWKRYUSBNCT-GZBFAFLISA-N -1 1 320.364 1.908 20 0 DDADMM COc1ccc(C(=O)N(C)c2nn[n-]n2)c(OC2CCCC2)c1 ZINC001412224527 854357513 /nfs/dbraw/zinc/35/75/13/854357513.db2.gz ADBNFMZFOQFTMD-UHFFFAOYSA-N -1 1 317.349 1.806 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC=C(Cl)Cl)o1 ZINC001412294842 854417660 /nfs/dbraw/zinc/41/76/60/854417660.db2.gz WWCXTFDQYHIEOW-UHFFFAOYSA-N -1 1 313.162 1.237 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cn(C3CC3)nn2)[n-]c1Cl ZINC001412300025 854421388 /nfs/dbraw/zinc/42/13/88/854421388.db2.gz JBKIKGSGJJNADC-UHFFFAOYSA-N -1 1 324.728 1.419 20 0 DDADMM CC[C@@H]1CCc2[nH]c(=O)c(C(=O)N(C)c3nn[n-]n3)cc2C1 ZINC001412377907 854488238 /nfs/dbraw/zinc/48/82/38/854488238.db2.gz TZBBLVIUSPRFNO-MRVPVSSYSA-N -1 1 302.338 1.092 20 0 DDADMM CC(C)(Nc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001412377266 854490284 /nfs/dbraw/zinc/49/02/84/854490284.db2.gz RETZHOAWSGKNDS-UHFFFAOYSA-N -1 1 302.334 1.395 20 0 DDADMM O=C(NCC1CC1)[C@H]1CCCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001412429810 854541567 /nfs/dbraw/zinc/54/15/67/854541567.db2.gz MGOZGXHESWCZLF-ZDUSSCGKSA-N -1 1 320.364 1.910 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccc(F)cc1F)N1CC[C@H](c2nn[n-]n2)C1 ZINC001412495228 854625320 /nfs/dbraw/zinc/62/53/20/854625320.db2.gz YZMXLHHZAQPNKP-KPXOXKRLSA-N -1 1 319.315 1.598 20 0 DDADMM O=C(c1ccc([O-])cc1F)N(C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC001412535954 854677274 /nfs/dbraw/zinc/67/72/74/854677274.db2.gz UHWXUFYVNACBKH-JTQLQIEISA-N -1 1 313.350 1.323 20 0 DDADMM Cc1ccc(Cl)c(OCC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001412540981 854684824 /nfs/dbraw/zinc/68/48/24/854684824.db2.gz LVGDVQSRUIMFGJ-UHFFFAOYSA-N -1 1 321.768 1.736 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2[C@H]3CCO[C@H]3C2(C)C)c(=O)[n-]1 ZINC001412580312 854750326 /nfs/dbraw/zinc/75/03/26/854750326.db2.gz IGEAAOXQVGIHOE-SZEHBUNVSA-N -1 1 309.391 1.447 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1N1CCOCC1)c1ccc([O-])cc1F ZINC001412647103 854873846 /nfs/dbraw/zinc/87/38/46/854873846.db2.gz RFWWKYSPWMCAOC-HZPDHXFCSA-N -1 1 322.380 1.905 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCn2nc(C(F)(F)F)cc2C1 ZINC001412732750 855043472 /nfs/dbraw/zinc/04/34/72/855043472.db2.gz WYUJERMSBJAXMC-UHFFFAOYSA-N -1 1 312.251 1.659 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC1(C2CCCCC2)CC1 ZINC001412769323 855245096 /nfs/dbraw/zinc/24/50/96/855245096.db2.gz FVBOJEXAZLPDGT-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM O=C(N[C@@H](CO)C[C@@H](O)c1ccccc1)c1ccc([O-])cc1F ZINC001412771474 855245586 /nfs/dbraw/zinc/24/55/86/855245586.db2.gz FPALZVWHIXLNRE-MLGOLLRUSA-N -1 1 319.332 1.746 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCCn1ccccc1=O ZINC001328065136 862669715 /nfs/dbraw/zinc/66/97/15/862669715.db2.gz PAJWPYMAKXGZRV-UHFFFAOYSA-N -1 1 310.350 1.018 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(C(C)=O)s1)c1nn[n-]n1 ZINC001412937870 855794929 /nfs/dbraw/zinc/79/49/29/855794929.db2.gz UGIIAEBQUGXUFP-UHFFFAOYSA-N -1 1 307.379 1.909 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C(N)=O ZINC001412931420 855795853 /nfs/dbraw/zinc/79/58/53/855795853.db2.gz HMMOGFNOKAJQAQ-ZDUSSCGKSA-N -1 1 302.330 1.635 20 0 DDADMM CCCCn1ncc(C(=O)NC(CC)(CC)c2nn[n-]n2)c1C ZINC001412934556 855798963 /nfs/dbraw/zinc/79/89/63/855798963.db2.gz JMGFGKVXAYDDJK-UHFFFAOYSA-N -1 1 319.413 1.950 20 0 DDADMM O=C(CCC1CC1)NC[C@H]1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001413199460 856547169 /nfs/dbraw/zinc/54/71/69/856547169.db2.gz WNLLPHWCNBHKRM-RYUDHWBXSA-N -1 1 305.382 1.010 20 0 DDADMM Cn1cc2ccc(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)cc2n1 ZINC001151519582 862815927 /nfs/dbraw/zinc/81/59/27/862815927.db2.gz AXAFBJBENMUQDS-UHFFFAOYSA-N -1 1 309.289 1.198 20 0 DDADMM CC1N=NC(C(=O)Nc2ccccc2[C@@H]2CCC(=O)N2)=C1[O-] ZINC001413330754 856684737 /nfs/dbraw/zinc/68/47/37/856684737.db2.gz MOLLDHFPGPXBDI-NSHDSACASA-N -1 1 300.318 1.627 20 0 DDADMM O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)c1cccc([O-])c1F ZINC001413374678 856723059 /nfs/dbraw/zinc/72/30/59/856723059.db2.gz ANIZNEXUCSGHKG-GFCCVEGCSA-N -1 1 322.336 1.041 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C(C)(C)C ZINC001413463747 856844828 /nfs/dbraw/zinc/84/48/28/856844828.db2.gz PPROAWIQPQIZQL-NQBHXWOUSA-N -1 1 319.405 1.704 20 0 DDADMM CCn1nccc1C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001413625373 857252444 /nfs/dbraw/zinc/25/24/44/857252444.db2.gz QPBPBEJEJXJEOY-UHFFFAOYSA-N -1 1 305.338 1.072 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc2ncsc2n1 ZINC001156291516 862915321 /nfs/dbraw/zinc/91/53/21/862915321.db2.gz UIVHVASWHQFABD-UHFFFAOYSA-N -1 1 318.274 1.543 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C[C@@H]2CCOC2)[n-]c1=O ZINC001413761174 858495418 /nfs/dbraw/zinc/49/54/18/858495418.db2.gz DFKIAJYGDVUOKQ-WDEREUQCSA-N -1 1 307.350 1.281 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2nccs2)CC1 ZINC001123277903 859158491 /nfs/dbraw/zinc/15/84/91/859158491.db2.gz PJJRPVBOCXCWKD-QUCGXOGASA-N -1 1 309.391 1.164 20 0 DDADMM CCN(CC(OC)OC)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001123994152 859515987 /nfs/dbraw/zinc/51/59/87/859515987.db2.gz OHTGGCBZNIEWHT-UHFFFAOYSA-N -1 1 311.382 1.695 20 0 DDADMM COC[C@H](C)OC[C@@H](C)OC[C@@H](C)OCC[P@](=O)([O-])O ZINC001224603962 881465850 /nfs/dbraw/zinc/46/58/50/881465850.db2.gz CUYFYFKOSSWEJJ-QJPTWQEYSA-N -1 1 314.315 1.026 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(C)(C)C ZINC000830823125 860011074 /nfs/dbraw/zinc/01/10/74/860011074.db2.gz LTOYHZQBZIMVPT-MRVPVSSYSA-N -1 1 323.802 1.319 20 0 DDADMM O=C(Cc1cc2ccccc2c(=O)[nH]1)[N-]OC[C@H]1CCOC1 ZINC001138312224 860055556 /nfs/dbraw/zinc/05/55/56/860055556.db2.gz OZYLGOQOJNRXBH-NSHDSACASA-N -1 1 302.330 1.567 20 0 DDADMM Nc1ccc(Cl)cc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001138438748 860102447 /nfs/dbraw/zinc/10/24/47/860102447.db2.gz YSMLFSQHQJCQLV-JTQLQIEISA-N -1 1 324.797 1.366 20 0 DDADMM O=Cc1c[nH]c2ccc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)cc12 ZINC001138658889 860156627 /nfs/dbraw/zinc/15/66/27/860156627.db2.gz IEJCJZUOJVPHMA-LLVKDONJSA-N -1 1 324.344 1.513 20 0 DDADMM COc1cccc(C[N@@H+](CCCO)C(C)C)c1OCC(=O)[O-] ZINC001139268077 860342757 /nfs/dbraw/zinc/34/27/57/860342757.db2.gz NQRIFKUVNFAEGF-UHFFFAOYSA-N -1 1 311.378 1.751 20 0 DDADMM CCC1(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203383762 860343781 /nfs/dbraw/zinc/34/37/81/860343781.db2.gz KHWGOGCXHAYBCA-QWRGUYRKSA-N -1 1 319.409 1.218 20 0 DDADMM COC[C@H]1CN(Cc2ccc([O-])c(F)c2F)Cc2nnn(C)c21 ZINC001140888405 860725394 /nfs/dbraw/zinc/72/53/94/860725394.db2.gz RQEDYQGZIBHNEH-SNVBAGLBSA-N -1 1 324.331 1.545 20 0 DDADMM CSc1nc(NC(=O)c2ccc3[nH][nH]c(=O)c3c2)cc(=O)[n-]1 ZINC001154204880 860866723 /nfs/dbraw/zinc/86/67/23/860866723.db2.gz UWRXLHDDZIVLPD-UHFFFAOYSA-N -1 1 317.330 1.738 20 0 DDADMM Nc1nc2ccccc2cc1C(=O)NCCCC[P@](=O)([O-])O ZINC001154289148 860954069 /nfs/dbraw/zinc/95/40/69/860954069.db2.gz JZJWVFJZBOIUGC-UHFFFAOYSA-N -1 1 323.289 1.505 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc2[nH]c(-c3ccco3)nc2c1 ZINC001141945267 860976262 /nfs/dbraw/zinc/97/62/62/860976262.db2.gz RXXIQMHNEBAMMV-UHFFFAOYSA-N -1 1 323.316 1.907 20 0 DDADMM O=C(CCC(=O)c1cccs1)NCCCC[P@@](=O)([O-])O ZINC001142104038 861017933 /nfs/dbraw/zinc/01/79/33/861017933.db2.gz DERSFJKUOIJPQS-UHFFFAOYSA-N -1 1 319.319 1.785 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1onc2ccccc21 ZINC001154372008 861050403 /nfs/dbraw/zinc/05/04/03/861050403.db2.gz ADPOEIAZPTVJNE-UHFFFAOYSA-N -1 1 301.218 1.679 20 0 DDADMM O=C([N-]c1ncn(Cc2cccnc2)n1)c1ocnc1C1CC1 ZINC001326057477 861070485 /nfs/dbraw/zinc/07/04/85/861070485.db2.gz BQLPEDDKTUXWKS-UHFFFAOYSA-N -1 1 310.317 1.839 20 0 DDADMM O=C(N[C@@H]1CC12CCOCC2)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681027 863490390 /nfs/dbraw/zinc/49/03/90/863490390.db2.gz BQNHOGZXPGUQMZ-CQSZACIVSA-N -1 1 314.341 1.945 20 0 DDADMM O=c1[n-]c(CNC(=S)Nc2ccccc2)nc2c1COCC2 ZINC001329273634 863571842 /nfs/dbraw/zinc/57/18/42/863571842.db2.gz LHYABMULYSGLRQ-UHFFFAOYSA-N -1 1 316.386 1.742 20 0 DDADMM Cc1nc(CNCc2cnc3c(cnn3C)c2)ccc1C(=O)[O-] ZINC001329310787 863591599 /nfs/dbraw/zinc/59/15/99/863591599.db2.gz OKBAJNXBOPSSIN-UHFFFAOYSA-N -1 1 311.345 1.660 20 0 DDADMM CC1=C(C)C[C@@](C)(C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1 ZINC001152935291 863613504 /nfs/dbraw/zinc/61/35/04/863613504.db2.gz NUGPNGKETOBTKT-HNNXBMFYSA-N -1 1 307.398 1.243 20 0 DDADMM CCN(Cc1nonc1C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152975715 863629270 /nfs/dbraw/zinc/62/92/70/863629270.db2.gz YRXWXKKPULTEJM-SNVBAGLBSA-N -1 1 319.365 1.119 20 0 DDADMM Cc1nc2[nH]cnc2c(NC(=O)c2cnc3cccnc3c2[O-])n1 ZINC001153850664 864156256 /nfs/dbraw/zinc/15/62/56/864156256.db2.gz GEFRAORBPCXZFE-UHFFFAOYSA-N -1 1 321.300 1.150 20 0 DDADMM Cc1cnc(C(=O)NCc2nc(-c3ccccn3)n[nH]2)c([O-])c1 ZINC001158042247 864380706 /nfs/dbraw/zinc/38/07/06/864380706.db2.gz SGXXMZWJIZUBSG-UHFFFAOYSA-N -1 1 310.317 1.206 20 0 DDADMM COC(=O)c1nc(Cl)cnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159198125 865159643 /nfs/dbraw/zinc/15/96/43/865159643.db2.gz GKQQVSQBBUHCQZ-UHFFFAOYSA-N -1 1 321.684 1.032 20 0 DDADMM CC(C)Sc1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nn1 ZINC001159195162 865160237 /nfs/dbraw/zinc/16/02/37/865160237.db2.gz LYSIQCJGZPEDPO-UHFFFAOYSA-N -1 1 319.350 1.750 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2cc(-c3ccncc3)ncn2)[n-]1 ZINC001159199474 865164032 /nfs/dbraw/zinc/16/40/32/865164032.db2.gz LYEFMSAARYUXBQ-UHFFFAOYSA-N -1 1 306.289 1.654 20 0 DDADMM O=C(Nc1cnc2ccnn2c1)c1ccc2n[n-]c(=S)n2c1 ZINC001159202606 865166755 /nfs/dbraw/zinc/16/67/55/865166755.db2.gz PJDKCLPIZXCVIR-UHFFFAOYSA-N -1 1 311.330 1.313 20 0 DDADMM O=C([O-])/C=C/c1ccccc1-c1noc(C[C@@H]2COCCN2)n1 ZINC001332003819 865494464 /nfs/dbraw/zinc/49/44/64/865494464.db2.gz XJGJWGDEBYVZEP-BTDICHCPSA-N -1 1 315.329 1.365 20 0 DDADMM Cc1cc2c(c(N[C@H]3NC(=O)c4ccccc43)n1)C(=O)[N-]C2=O ZINC001159976610 865624993 /nfs/dbraw/zinc/62/49/93/865624993.db2.gz RGZAMAHAMQGAID-LBPRGKRZSA-N -1 1 308.297 1.128 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cnc3ccccc3c2)n1 ZINC001160664540 866004003 /nfs/dbraw/zinc/00/40/03/866004003.db2.gz GHOMDZJLTOLJQP-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cnc3ccccc3c2)[n-]1 ZINC001160664540 866004020 /nfs/dbraw/zinc/00/40/20/866004020.db2.gz GHOMDZJLTOLJQP-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CC=CCC1 ZINC001332756220 866120860 /nfs/dbraw/zinc/12/08/60/866120860.db2.gz KIYXZJYPBZTUKK-NEPJUHHUSA-N -1 1 321.425 1.487 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@H]1CCCCN1Cc1ccncc1 ZINC001320402975 866713049 /nfs/dbraw/zinc/71/30/49/866713049.db2.gz ARFBGGJSPORULM-MRXNPFEDSA-N -1 1 319.405 1.663 20 0 DDADMM CCCCCC(=O)N[C@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001333828133 867052716 /nfs/dbraw/zinc/05/27/16/867052716.db2.gz PBQNKCLYLKOXMB-VXGBXAGGSA-N -1 1 307.394 1.991 20 0 DDADMM CCCCCC(=O)N[C@@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001333828131 867053686 /nfs/dbraw/zinc/05/36/86/867053686.db2.gz PBQNKCLYLKOXMB-RYUDHWBXSA-N -1 1 307.394 1.991 20 0 DDADMM COC(=O)[C@@H](C)[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001324860315 867583159 /nfs/dbraw/zinc/58/31/59/867583159.db2.gz SYDQOQLDCBRJFS-RXMQYKEDSA-N -1 1 313.162 1.228 20 0 DDADMM CNS(=O)(=O)c1ccc(NC(=O)c2cccc([O-])c2F)cc1 ZINC001361697217 882180922 /nfs/dbraw/zinc/18/09/22/882180922.db2.gz ZXABPYRDCRZWPI-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM CC(C)(C)OC(=O)N1CC(O)(CC(=O)[N-]OC/C=C/Cl)C1 ZINC001336334065 868878236 /nfs/dbraw/zinc/87/82/36/868878236.db2.gz PYAVKQYSGFTPDJ-SNAWJCMRSA-N -1 1 320.773 1.159 20 0 DDADMM CSc1nc2[nH]ccc2c(NCC[N-]C(=O)C(F)(F)F)n1 ZINC001164238503 869045470 /nfs/dbraw/zinc/04/54/70/869045470.db2.gz WCSJYXKSRNOBCN-UHFFFAOYSA-N -1 1 319.312 1.770 20 0 DDADMM COCc1cc(OC)ccc1NCC[N-]C(=O)C(F)(F)F ZINC001164251000 869059409 /nfs/dbraw/zinc/05/94/09/869059409.db2.gz KVWFLZCWDIJPOI-UHFFFAOYSA-N -1 1 306.284 1.932 20 0 DDADMM CCCC[C@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164381575 869170160 /nfs/dbraw/zinc/17/01/60/869170160.db2.gz XQQHAOWCXSYNBJ-LBPRGKRZSA-N -1 1 323.441 1.713 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(F)c2cccnc12)c1nn[n-]n1 ZINC001337222989 869386543 /nfs/dbraw/zinc/38/65/43/869386543.db2.gz GSNXRUMZMKFOIW-SECBINFHSA-N -1 1 314.324 1.763 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cncnc2CC)[n-]c1Cl ZINC001361767705 882331289 /nfs/dbraw/zinc/33/12/89/882331289.db2.gz NJLWCASWTYVVCM-UHFFFAOYSA-N -1 1 323.740 1.845 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=Cc2nccs2)n[n-]1 ZINC001166125573 869848632 /nfs/dbraw/zinc/84/86/32/869848632.db2.gz AHKZSGKCNYTLOH-WTSVBCDHSA-N -1 1 321.362 1.329 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=Cc2nccs2)[n-]1 ZINC001166125573 869848643 /nfs/dbraw/zinc/84/86/43/869848643.db2.gz AHKZSGKCNYTLOH-WTSVBCDHSA-N -1 1 321.362 1.329 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C=Cc2nccs2)n1 ZINC001166125573 869848656 /nfs/dbraw/zinc/84/86/56/869848656.db2.gz AHKZSGKCNYTLOH-WTSVBCDHSA-N -1 1 321.362 1.329 20 0 DDADMM C/C(=C/C(=O)NC[C@](C)(NC(=O)c1cnn[nH]1)C1CC1)C1CC1 ZINC001297569333 870105906 /nfs/dbraw/zinc/10/59/06/870105906.db2.gz RXWVSWBCLNJWJX-BJIFSAINSA-N -1 1 317.393 1.176 20 0 DDADMM Cc1occc1CN(C)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001338716653 870129178 /nfs/dbraw/zinc/12/91/78/870129178.db2.gz FFIJYJIXEJFYSN-UHFFFAOYSA-N -1 1 307.745 1.791 20 0 DDADMM Cc1ccccc1[C@@H](C)N(C)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001338721587 870131195 /nfs/dbraw/zinc/13/11/95/870131195.db2.gz OQKMTFBJTPRJNY-SNVBAGLBSA-N -1 1 314.349 1.664 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC[C@@H](c2nnc3n2CCC3)C1 ZINC001361798211 882392965 /nfs/dbraw/zinc/39/29/65/882392965.db2.gz OKOLKOYZJOOGEK-LLVKDONJSA-N -1 1 313.361 1.345 20 0 DDADMM COc1ccccc1[C@@H](C)N(OC)C(=O)c1cnncc1[O-] ZINC001339065399 870327506 /nfs/dbraw/zinc/32/75/06/870327506.db2.gz JWAMWUYEEFNSNU-SNVBAGLBSA-N -1 1 303.318 1.956 20 0 DDADMM C/C=C(\C)C(=O)N[C@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001298567759 870622627 /nfs/dbraw/zinc/62/26/27/870622627.db2.gz OPCHUVLMILVYDD-WXLQGSQKSA-N -1 1 318.377 1.260 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCC[C@@H]1c1ccsc1 ZINC001340047618 870861949 /nfs/dbraw/zinc/86/19/49/870861949.db2.gz HADPXFPUASLYOD-SNVBAGLBSA-N -1 1 316.394 1.881 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCC[C@@H]1c1ccsc1 ZINC001340047618 870861962 /nfs/dbraw/zinc/86/19/62/870861962.db2.gz HADPXFPUASLYOD-SNVBAGLBSA-N -1 1 316.394 1.881 20 0 DDADMM Cc1cnc(N2CCN(Cc3ccc(C(=O)[O-])cc3)CC2)nc1 ZINC001204159702 870972207 /nfs/dbraw/zinc/97/22/07/870972207.db2.gz NJEPFUKANXHPPU-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM O=C(Cc1cncc2ccccc21)NCc1nc([O-])cc(=O)[nH]1 ZINC001301576227 871003469 /nfs/dbraw/zinc/00/34/69/871003469.db2.gz MRADCLDFVQQJTP-UHFFFAOYSA-N -1 1 310.313 1.295 20 0 DDADMM Cc1ccc(C=CC(=O)N(Cc2nn[n-]n2)CC(C)C)cn1 ZINC001303201423 871108371 /nfs/dbraw/zinc/10/83/71/871108371.db2.gz KSFCPTWJXITTGU-SREVYHEPSA-N -1 1 300.366 1.601 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cn2cccnc2n1 ZINC001304013745 871176553 /nfs/dbraw/zinc/17/65/53/871176553.db2.gz LOLDPZRWXKCNQI-UHFFFAOYSA-N -1 1 318.283 1.686 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)C(=O)[N-]OCC(C)C)N(C)C)cc1 ZINC001340818773 871370961 /nfs/dbraw/zinc/37/09/61/871370961.db2.gz ZUIZQMDSHYLVMS-OAHLLOKOSA-N -1 1 321.421 1.418 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1Nc1cnn([C@@H]2CCOC2)c1 ZINC001205167660 871408030 /nfs/dbraw/zinc/40/80/30/871408030.db2.gz BXIYTPAUIORRIM-SSDOTTSWSA-N -1 1 308.323 1.770 20 0 DDADMM CC(=O)N[C@H](CC(=O)Nc1c[n-][nH]c1=O)c1ccc(F)cc1F ZINC001413844359 871829062 /nfs/dbraw/zinc/82/90/62/871829062.db2.gz ISFQYGGHYRUFJD-LLVKDONJSA-N -1 1 324.287 1.600 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2(OC)CCCCCC2)n[n-]1 ZINC001413846083 871949584 /nfs/dbraw/zinc/94/95/84/871949584.db2.gz GMVPFPQARFZZEQ-UHFFFAOYSA-N -1 1 324.381 1.337 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2(OC)CCCCCC2)n1 ZINC001413846083 871949591 /nfs/dbraw/zinc/94/95/91/871949591.db2.gz GMVPFPQARFZZEQ-UHFFFAOYSA-N -1 1 324.381 1.337 20 0 DDADMM C[C@H]1C[C@H]1C(=O)Nc1cccc(C(=O)N(C)c2nn[n-]n2)c1 ZINC001361901946 882607559 /nfs/dbraw/zinc/60/75/59/882607559.db2.gz DRZXZQXAOVBYFD-GZMMTYOYSA-N -1 1 300.322 1.071 20 0 DDADMM CC(C)Cn1c(-c2nnn[n-]2)nnc1N(C)Cc1ccsc1 ZINC001342950927 872449890 /nfs/dbraw/zinc/44/98/90/872449890.db2.gz FIQBKRMEJJCTFY-UHFFFAOYSA-N -1 1 318.410 1.812 20 0 DDADMM CC(C)Cn1c(-c2nn[n-]n2)nnc1N(C)Cc1ccsc1 ZINC001342950927 872449896 /nfs/dbraw/zinc/44/98/96/872449896.db2.gz FIQBKRMEJJCTFY-UHFFFAOYSA-N -1 1 318.410 1.812 20 0 DDADMM c1ccc(CCn2c(-c3nnn[n-]3)nnc2N2CCCCC2)cc1 ZINC001344411006 872998467 /nfs/dbraw/zinc/99/84/67/872998467.db2.gz OHYCYMBZBQYOJT-UHFFFAOYSA-N -1 1 324.392 1.691 20 0 DDADMM c1ccc(CCn2c(-c3nn[n-]n3)nnc2N2CCCCC2)cc1 ZINC001344411006 872998475 /nfs/dbraw/zinc/99/84/75/872998475.db2.gz OHYCYMBZBQYOJT-UHFFFAOYSA-N -1 1 324.392 1.691 20 0 DDADMM C[C@H](Cc1ccco1)Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c12 ZINC001226885829 882700925 /nfs/dbraw/zinc/70/09/25/882700925.db2.gz JHZQIXLHIZQKGS-ZCFIWIBFSA-N -1 1 304.262 1.140 20 0 DDADMM C[C@@H](Cc1ccco1)Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c12 ZINC001226885828 882701422 /nfs/dbraw/zinc/70/14/22/882701422.db2.gz JHZQIXLHIZQKGS-LURJTMIESA-N -1 1 304.262 1.140 20 0 DDADMM COC(C)(C)C[C@H](C)Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c21 ZINC001226887111 882702273 /nfs/dbraw/zinc/70/22/73/882702273.db2.gz ZHBCQIWBHAEGQG-LURJTMIESA-N -1 1 310.310 1.119 20 0 DDADMM CO[C@H]1CCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1(C)C ZINC001347026800 873981033 /nfs/dbraw/zinc/98/10/33/873981033.db2.gz YBNKTMRXTTUCLK-VIFPVBQESA-N -1 1 311.407 1.666 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1C[C@@H]2[C@H](C1)C2(F)F ZINC001347111291 874017098 /nfs/dbraw/zinc/01/70/98/874017098.db2.gz VRDNTGWPPIMXHP-KVSKUHBBSA-N -1 1 301.318 1.553 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@H](Oc3cnc[n-]c3=O)[C@@H]2C1 ZINC001227051579 882797251 /nfs/dbraw/zinc/79/72/51/882797251.db2.gz LXDLDERKSMCVOU-AXFHLTTASA-N -1 1 307.350 1.816 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](c1cnn(C)c1)C(C)C ZINC001347885649 874298784 /nfs/dbraw/zinc/29/87/84/874298784.db2.gz SSLFGJADXKHZOV-NSHDSACASA-N -1 1 321.406 1.640 20 0 DDADMM CCOc1ccc(OCC)c(NC(=O)CCCc2nn[n-]n2)c1 ZINC001362023384 882852520 /nfs/dbraw/zinc/85/25/20/882852520.db2.gz ALPXKMUDPSBJDF-UHFFFAOYSA-N -1 1 319.365 1.959 20 0 DDADMM CCCC[C@@H](CNCc1ccon1)NC(=O)c1ncccc1[O-] ZINC001378445441 874891657 /nfs/dbraw/zinc/89/16/57/874891657.db2.gz XHBOPYXWCRITSA-LBPRGKRZSA-N -1 1 318.377 1.854 20 0 DDADMM O=C(c1cccn1Cc1ccncc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001349002238 874918615 /nfs/dbraw/zinc/91/86/15/874918615.db2.gz KJEWMKIHVRZYMV-CYBMUJFWSA-N -1 1 323.360 1.074 20 0 DDADMM NC(=O)CSc1ccccc1NC(=O)c1cnncc1[O-] ZINC001362032305 882877535 /nfs/dbraw/zinc/87/75/35/882877535.db2.gz URYAPHNLHPGTLN-UHFFFAOYSA-N -1 1 304.331 1.012 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)/C=C\C2CCOCC2)[n-]1 ZINC001349793158 875371529 /nfs/dbraw/zinc/37/15/29/875371529.db2.gz XCZIRTOUZYOLLE-ARJAWSKDSA-N -1 1 320.345 1.925 20 0 DDADMM O=C(CCC1CCC1)NCCCNC(=O)c1ncccc1[O-] ZINC001349871631 875424693 /nfs/dbraw/zinc/42/46/93/875424693.db2.gz KWCWSHYEBOYISU-UHFFFAOYSA-N -1 1 305.378 1.604 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC001350289701 875615380 /nfs/dbraw/zinc/61/53/80/875615380.db2.gz ICSSGLOHTUMJDA-KXUCPTDWSA-N -1 1 309.391 1.467 20 0 DDADMM O=C(NCCCCCCNC(=O)C1CCC1)c1ncccc1[O-] ZINC001350410362 875672576 /nfs/dbraw/zinc/67/25/76/875672576.db2.gz IPNDMKHUWFANHB-UHFFFAOYSA-N -1 1 319.405 1.994 20 0 DDADMM Cc1cc(C)n(C[C@@H](NCc2cn3ccccc3n2)C(=O)[O-])n1 ZINC001350650968 875807296 /nfs/dbraw/zinc/80/72/96/875807296.db2.gz BXDHPSJKIGPKSK-CQSZACIVSA-N -1 1 313.361 1.391 20 0 DDADMM COc1cccc(-c2noc(-c3nccc(OC)c3[O-])n2)n1 ZINC001213326622 875898065 /nfs/dbraw/zinc/89/80/65/875898065.db2.gz ZMXXJEFQRQMYCD-UHFFFAOYSA-N -1 1 300.274 1.916 20 0 DDADMM CCOc1ncccc1CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001350873299 875938720 /nfs/dbraw/zinc/93/87/20/875938720.db2.gz PZOWSAZLXYHOAQ-UHFFFAOYSA-N -1 1 320.374 1.503 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ccc4nccnc4c3)no2)[n-]n1 ZINC001213463884 875946699 /nfs/dbraw/zinc/94/66/99/875946699.db2.gz QKGIJPZZZOZAJA-UHFFFAOYSA-N -1 1 322.284 1.857 20 0 DDADMM C[C@H](Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)c1cccc(N(C)C)c1 ZINC001227430511 883011237 /nfs/dbraw/zinc/01/12/37/883011237.db2.gz LKJMHQWRCRZRSW-QMMMGPOBSA-N -1 1 315.333 1.970 20 0 DDADMM CC(C)[C@@H](F)C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001379042358 876208308 /nfs/dbraw/zinc/20/83/08/876208308.db2.gz PNJQCHXDTCTQSE-LLVKDONJSA-N -1 1 309.341 1.160 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1cccnc1OC1CCCC1 ZINC001362089101 883007722 /nfs/dbraw/zinc/00/77/22/883007722.db2.gz LQEFQPKREXHKDV-UHFFFAOYSA-N -1 1 316.365 1.165 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001351405924 876233683 /nfs/dbraw/zinc/23/36/83/876233683.db2.gz CZURVTDCGNDDRF-VXGBXAGGSA-N -1 1 305.378 1.412 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@](C)(O)CCC(C)C ZINC001351512406 876298263 /nfs/dbraw/zinc/29/82/63/876298263.db2.gz DXGRLFABLXZVHB-AWEZNQCLSA-N -1 1 313.423 1.696 20 0 DDADMM COC(=O)c1cccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c1F ZINC001214574483 876397211 /nfs/dbraw/zinc/39/72/11/876397211.db2.gz WJXQYCOMUSTERE-UHFFFAOYSA-N -1 1 310.310 1.722 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC[C@H](c2nncn2C2CC2)C1 ZINC001362099161 883035515 /nfs/dbraw/zinc/03/55/15/883035515.db2.gz DJLPDUGMCAQQLC-NSHDSACASA-N -1 1 313.361 1.733 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccccc2N)ccc1CO ZINC001216118462 876867520 /nfs/dbraw/zinc/86/75/20/876867520.db2.gz VGZKJDZGETUTPN-UHFFFAOYSA-N -1 1 308.359 1.571 20 0 DDADMM COc1ncc(Nc2c(C)ncnc2C)cc1[N-]S(C)(=O)=O ZINC001216152388 876880033 /nfs/dbraw/zinc/88/00/33/876880033.db2.gz QKLMFWOELCZCNH-UHFFFAOYSA-N -1 1 323.378 1.612 20 0 DDADMM O=C(c1ccco1)[C@@H](Oc1nc(=O)[nH]c(=O)[n-]1)c1ccco1 ZINC001227566003 883080925 /nfs/dbraw/zinc/08/09/25/883080925.db2.gz DWVFIUSQSGZSBN-JTQLQIEISA-N -1 1 303.230 1.472 20 0 DDADMM O=c1nc(O[C@H]2CC=C[C@@H]2COCc2ccccc2)[n-]c(=O)[nH]1 ZINC001227567000 883083508 /nfs/dbraw/zinc/08/35/08/883083508.db2.gz LPEMNTHCZWEXOL-OLZOCXBDSA-N -1 1 315.329 1.823 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cncc(OCC2CC2)c1 ZINC001216598103 876990453 /nfs/dbraw/zinc/99/04/53/876990453.db2.gz GUYKVZXJMNNXOF-UHFFFAOYSA-N -1 1 305.363 1.981 20 0 DDADMM O=c1nc(O[C@@H]2CC[C@@H](OCc3ccccc3)C2)[n-]c(=O)[nH]1 ZINC001227570806 883087956 /nfs/dbraw/zinc/08/79/56/883087956.db2.gz WQLNNKVGZPLDOK-VXGBXAGGSA-N -1 1 303.318 1.800 20 0 DDADMM O=C(OCc1ccccc1)[C@H]1C[C@@H](Oc2nc(=O)[nH]c(=O)[n-]2)C1 ZINC001227570706 883090181 /nfs/dbraw/zinc/09/01/81/883090181.db2.gz MYKHJMYBXHGECQ-PHIMTYICSA-N -1 1 317.301 1.184 20 0 DDADMM COC(=O)CCN(Cc1ccncc1)C(=O)c1ccc([O-])cn1 ZINC001362131128 883116474 /nfs/dbraw/zinc/11/64/74/883116474.db2.gz VJTBQNIBEPWJHF-UHFFFAOYSA-N -1 1 315.329 1.388 20 0 DDADMM Cn1cnnc1C1CCN(Cc2ccc(/C=C/C(=O)[O-])o2)CC1 ZINC001353897433 877635646 /nfs/dbraw/zinc/63/56/46/877635646.db2.gz UGSZEWYQMASOMQ-SNAWJCMRSA-N -1 1 316.361 1.886 20 0 DDADMM COc1ccc(N)c(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001218670529 877656664 /nfs/dbraw/zinc/65/66/64/877656664.db2.gz GDKYNKGJCDYABH-UHFFFAOYSA-N -1 1 305.250 1.129 20 0 DDADMM C[C@H](C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C(C)(C)C ZINC001379868459 878192113 /nfs/dbraw/zinc/19/21/13/878192113.db2.gz FIJXEUHOZJTQAR-MWLCHTKSSA-N -1 1 309.414 1.177 20 0 DDADMM Cc1cc[nH]c1C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001380065619 878700005 /nfs/dbraw/zinc/70/00/05/878700005.db2.gz YCWJFPIHYQRIEV-JTQLQIEISA-N -1 1 320.397 1.081 20 0 DDADMM C[C@H]1c2sccc2CCN1c1nnc(-c2noc(=O)[n-]2)n1C ZINC001355763849 878706652 /nfs/dbraw/zinc/70/66/52/878706652.db2.gz GIHTYRLWFZPLME-ZETCQYMHSA-N -1 1 318.362 1.344 20 0 DDADMM COC(=O)c1c(NC([O-])=NO[C@H]2CCCCO2)nc2n1CCC2 ZINC001220116529 878714225 /nfs/dbraw/zinc/71/42/25/878714225.db2.gz XKGORSVRPVBNBW-JTQLQIEISA-N -1 1 324.337 1.196 20 0 DDADMM COC(=O)c1c(NC(=O)[N-]O[C@H]2CCCCO2)nc2n1CCC2 ZINC001220116529 878714232 /nfs/dbraw/zinc/71/42/32/878714232.db2.gz XKGORSVRPVBNBW-JTQLQIEISA-N -1 1 324.337 1.196 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)c2ccc(C)c(N)c2)cc1 ZINC000316645675 879435487 /nfs/dbraw/zinc/43/54/87/879435487.db2.gz PBWJWXORJFYAGU-UHFFFAOYSA-N -1 1 319.386 1.738 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2CC[C@@H](OCc3nn[n-]n3)[C@H]2C1 ZINC001223026811 880788013 /nfs/dbraw/zinc/78/80/13/880788013.db2.gz JGWOKBDZDAVQCG-GARJFASQSA-N -1 1 309.370 1.362 20 0 DDADMM CN(C(=O)/C=C\C(C)(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001358723350 880803710 /nfs/dbraw/zinc/80/37/10/880803710.db2.gz KYVKPJSJDLYOHS-FPLPWBNLSA-N -1 1 317.389 1.672 20 0 DDADMM C[C@@H]1[C@H](Oc2[n-]c(=O)nc3c2COC3)CN1C(=O)OC(C)(C)C ZINC001227686046 883131803 /nfs/dbraw/zinc/13/18/03/883131803.db2.gz FANCQUXJYPXSQM-LDYMZIIASA-N -1 1 323.349 1.599 20 0 DDADMM Cc1nsc(N2CCN(C(=O)c3cccc([O-])c3F)CC2)n1 ZINC001362145374 883148098 /nfs/dbraw/zinc/14/80/98/883148098.db2.gz FOAJNVQEUUDXAX-UHFFFAOYSA-N -1 1 322.365 1.654 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)[C@@H]1[C@H]2CCCO[C@H]21 ZINC001362165281 883193236 /nfs/dbraw/zinc/19/32/36/883193236.db2.gz NVXXKHJUQIBIIP-MRVWCRGKSA-N -1 1 315.333 1.142 20 0 DDADMM O=C(NCC1(CO)COC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362196823 883269767 /nfs/dbraw/zinc/26/97/67/883269767.db2.gz DQFGDJIZAKRTHT-UHFFFAOYSA-N -1 1 305.252 1.150 20 0 DDADMM NC(=O)C[C@@H](NC(=O)c1ccc([O-])cn1)c1cccc(Cl)c1 ZINC001362200568 883281490 /nfs/dbraw/zinc/28/14/90/883281490.db2.gz SMAQYOXOMVAQSY-CYBMUJFWSA-N -1 1 319.748 1.787 20 0 DDADMM COC[C@H](C)OC[C@H](C)OC[C@H](C)Oc1cnnc(=S)[n-]1 ZINC001228641517 883589298 /nfs/dbraw/zinc/58/92/98/883589298.db2.gz FQYFOZGDGKYFFB-DCAQKATOSA-N -1 1 317.411 1.384 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccccn1 ZINC001362375656 883671608 /nfs/dbraw/zinc/67/16/08/883671608.db2.gz OMUXYGPFAFTODS-LLVKDONJSA-N -1 1 300.366 1.279 20 0 DDADMM Cc1sc(Cl)nc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362379186 883675205 /nfs/dbraw/zinc/67/52/05/883675205.db2.gz WAAQCTYOQJBEIY-ZETCQYMHSA-N -1 1 312.786 1.638 20 0 DDADMM CC(C)[C@](C)(NC(=O)c1c([O-])cnc2c(F)cccc21)C(N)=O ZINC001362427802 883785886 /nfs/dbraw/zinc/78/58/86/883785886.db2.gz BIDQEINZAPHRPN-INIZCTEOSA-N -1 1 319.336 1.709 20 0 DDADMM CC(C)[C@@](C)(NC(=O)c1c([O-])cnc2c(F)cccc21)C(N)=O ZINC001362427810 883786349 /nfs/dbraw/zinc/78/63/49/883786349.db2.gz BIDQEINZAPHRPN-MRXNPFEDSA-N -1 1 319.336 1.709 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]c2cc(C(=O)OC)cs2)on1 ZINC001362427951 883786439 /nfs/dbraw/zinc/78/64/39/883786439.db2.gz MAZWQTUQZSOOKO-UHFFFAOYSA-N -1 1 324.314 1.952 20 0 DDADMM O=C(COc1ccsn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001362433337 883796568 /nfs/dbraw/zinc/79/65/68/883796568.db2.gz HOZMVMYFUYJSSG-MRVPVSSYSA-N -1 1 310.335 1.017 20 0 DDADMM O=C(N[C@H]1CCCC[C@H]1N1CCOCC1)c1ccc([O-])cn1 ZINC001362442796 883820193 /nfs/dbraw/zinc/82/01/93/883820193.db2.gz TXDSJKUGOVGFCK-DZGCQCFKSA-N -1 1 305.378 1.160 20 0 DDADMM CCC(CC)(NC(=O)CO[C@@H]1CCC[C@H](C)C1)c1nn[n-]n1 ZINC001362496262 883939615 /nfs/dbraw/zinc/93/96/15/883939615.db2.gz LIKUCNQBFCKHOC-NWDGAFQWSA-N -1 1 309.414 1.927 20 0 DDADMM Cc1nn(C)c(=O)c(C(=O)Nc2cc([O-])c(F)cc2F)c1C ZINC001362512483 883977912 /nfs/dbraw/zinc/97/79/12/883977912.db2.gz BVEPNSWRSUNKGN-UHFFFAOYSA-N -1 1 309.272 1.633 20 0 DDADMM CCC(=O)c1ccc([O-])cc1O[C@@H](CC(=O)OC)C(=O)OC ZINC001229474956 884003826 /nfs/dbraw/zinc/00/38/26/884003826.db2.gz NMXNDRPDMVCVLL-ZDUSSCGKSA-N -1 1 310.302 1.468 20 0 DDADMM CCC[C@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccccn1 ZINC001362534712 884026277 /nfs/dbraw/zinc/02/62/77/884026277.db2.gz BGUBCMRLZKAHIN-STQMWFEESA-N -1 1 314.393 1.885 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1C[C@H]1c1cccc(O)c1)c1nn[n-]n1 ZINC001362617435 884232139 /nfs/dbraw/zinc/23/21/39/884232139.db2.gz OSQBKLOMBBHRFX-TVYUQYBPSA-N -1 1 301.350 1.271 20 0 DDADMM COC[C@H](NC(=O)CCCc1nn[n-]n1)c1cccc(OC)c1 ZINC001362650388 884322121 /nfs/dbraw/zinc/32/21/21/884322121.db2.gz RIJQJGDKEBBFMP-ZDUSSCGKSA-N -1 1 319.365 1.035 20 0 DDADMM CCn1ccnc1[C@@H](C)NC(=O)c1cnc(SC)[n-]c1=O ZINC001362661396 884348405 /nfs/dbraw/zinc/34/84/05/884348405.db2.gz RHEHSEPWKQACNE-MRVPVSSYSA-N -1 1 307.379 1.612 20 0 DDADMM CSCC[C@H](NC(=O)c1ccnn1CC(C)C)c1nn[n-]n1 ZINC001362683105 884407039 /nfs/dbraw/zinc/40/70/39/884407039.db2.gz SKJBLBLDZLVPCK-JTQLQIEISA-N -1 1 323.426 1.276 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2ccc([O-])cn2)CCCCC1 ZINC001362685452 884411464 /nfs/dbraw/zinc/41/14/64/884411464.db2.gz WZZKJCFMQHPTPA-UHFFFAOYSA-N -1 1 312.391 1.265 20 0 DDADMM CC1(C)CN(C(=O)c2cccc([O-])c2F)C[C@@]2(CCOC2)O1 ZINC001362715859 884472366 /nfs/dbraw/zinc/47/23/66/884472366.db2.gz HIJYICMWZIURAQ-MRXNPFEDSA-N -1 1 309.337 1.941 20 0 DDADMM C[C@@H](NC(=O)c1ccccn1)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001382717309 884548256 /nfs/dbraw/zinc/54/82/56/884548256.db2.gz WGGCVRKIVYFLKJ-GHMZBOCLSA-N -1 1 314.345 1.119 20 0 DDADMM C[C@H](NC(=O)c1cnncc1[O-])c1nc(C(F)(F)F)no1 ZINC001362801153 884675795 /nfs/dbraw/zinc/67/57/95/884675795.db2.gz BFCHPIHHZFEHAZ-BYPYZUCNSA-N -1 1 303.200 1.075 20 0 DDADMM Cc1cccc(OCCC(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001362832058 884749865 /nfs/dbraw/zinc/74/98/65/884749865.db2.gz PTCAWYBRNKIVHU-UHFFFAOYSA-N -1 1 317.393 1.962 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)c1nccnc1C ZINC001362861607 884821157 /nfs/dbraw/zinc/82/11/57/884821157.db2.gz YMLAQCNGXGUMAZ-VIFPVBQESA-N -1 1 319.390 1.802 20 0 DDADMM CO[C@@H]1[C@H]2C[C@H]3CN(C(=O)c4cnc(SC)[n-]c4=O)[C@H]1[C@H]3C2 ZINC001362874279 884854754 /nfs/dbraw/zinc/85/47/54/884854754.db2.gz QGFGCFATZSBQNH-DWFQIMNCSA-N -1 1 321.402 1.400 20 0 DDADMM CC[C@H](Oc1cccc(C)c1)C(=O)N[C@H](COC)c1nn[n-]n1 ZINC001362906917 884947911 /nfs/dbraw/zinc/94/79/11/884947911.db2.gz GNYGEEGGZMUWGQ-OLZOCXBDSA-N -1 1 319.365 1.169 20 0 DDADMM COC[C@H](NC(=O)[C@@H](C)OC1CCCCCC1)c1nn[n-]n1 ZINC001362907616 884952642 /nfs/dbraw/zinc/95/26/42/884952642.db2.gz ICZVSOHOIAPKAC-PWSUYJOCSA-N -1 1 311.386 1.131 20 0 DDADMM CSc1ncc(C(=O)NCc2ncc(Cl)n2C)c(=O)[n-]1 ZINC001362941235 885041072 /nfs/dbraw/zinc/04/10/72/885041072.db2.gz ZSKNXNFMVKOEFB-UHFFFAOYSA-N -1 1 313.770 1.221 20 0 DDADMM CN1CCO[C@H](COc2nc3c(cc(Cl)nc3Cl)[n-]2)C1 ZINC001231051378 885164781 /nfs/dbraw/zinc/16/47/81/885164781.db2.gz YONCSITXBIOTIY-ZETCQYMHSA-N -1 1 317.176 1.974 20 0 DDADMM CN1CC[C@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C1=O ZINC001362993063 885171652 /nfs/dbraw/zinc/17/16/52/885171652.db2.gz CVSVIRVCZDLXMJ-VIFPVBQESA-N -1 1 302.252 1.372 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC[C@@H](CN2CCCC2=O)C1 ZINC001362998969 885189885 /nfs/dbraw/zinc/18/98/85/885189885.db2.gz QQUVFKXBDAXSOB-NSHDSACASA-N -1 1 306.337 1.616 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](C)c2ccccn2)n[n-]1 ZINC001363045116 885318386 /nfs/dbraw/zinc/31/83/86/885318386.db2.gz CLEIXEIPJZLIDZ-UWVGGRQHSA-N -1 1 317.349 1.357 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](C)c2ccccn2)[n-]1 ZINC001363045116 885318413 /nfs/dbraw/zinc/31/84/13/885318413.db2.gz CLEIXEIPJZLIDZ-UWVGGRQHSA-N -1 1 317.349 1.357 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](C)c2ccccn2)n1 ZINC001363045116 885318431 /nfs/dbraw/zinc/31/84/31/885318431.db2.gz CLEIXEIPJZLIDZ-UWVGGRQHSA-N -1 1 317.349 1.357 20 0 DDADMM CS(=O)(=O)c1ccccc1CNC(=O)c1ncccc1[O-] ZINC001363053596 885343049 /nfs/dbraw/zinc/34/30/49/885343049.db2.gz CWYMPUVMVRFSFH-UHFFFAOYSA-N -1 1 306.343 1.121 20 0 DDADMM CSCC[C@H](NC(=O)c1oc(C(C)C)nc1C)c1nn[n-]n1 ZINC001363097733 885456297 /nfs/dbraw/zinc/45/62/97/885456297.db2.gz CBIPSUCXYHGDFU-VIFPVBQESA-N -1 1 324.410 1.844 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1CCC(=O)C[C@H]1C)c1nn[n-]n1 ZINC001363098642 885459031 /nfs/dbraw/zinc/45/90/31/885459031.db2.gz HIBXHLAVWDZRQZ-IEBDPFPHSA-N -1 1 311.411 1.115 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cc(F)ccc2Cl)n1 ZINC001363111104 885488532 /nfs/dbraw/zinc/48/85/32/885488532.db2.gz PHULZEADCWLXKQ-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cc(F)ccc2Cl)[n-]1 ZINC001363111104 885488538 /nfs/dbraw/zinc/48/85/38/885488538.db2.gz PHULZEADCWLXKQ-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM CCC[C@H](C)CC(=O)Nc1n[n-]c(OCCO)c1C(=O)OC ZINC001363119374 885509915 /nfs/dbraw/zinc/50/99/15/885509915.db2.gz NZADDIVDFCZVBW-VIFPVBQESA-N -1 1 313.354 1.332 20 0 DDADMM CCC[C@H](C)CC(=O)Nc1[n-]nc(OCCO)c1C(=O)OC ZINC001363119374 885509929 /nfs/dbraw/zinc/50/99/29/885509929.db2.gz NZADDIVDFCZVBW-VIFPVBQESA-N -1 1 313.354 1.332 20 0 DDADMM CSc1ncc(C(=O)NC[C@H]2C(C)(C)C2(F)F)c(=O)[n-]1 ZINC001363127354 885533218 /nfs/dbraw/zinc/53/32/18/885533218.db2.gz WPHAHEGDQLBJKB-ZETCQYMHSA-N -1 1 303.334 1.925 20 0 DDADMM CCC(CC)(NC(=O)C[C@H](C)c1cnn(C)c1)c1nn[n-]n1 ZINC001363140428 885563642 /nfs/dbraw/zinc/56/36/42/885563642.db2.gz YTVXEINNQSTPBK-JTQLQIEISA-N -1 1 305.386 1.259 20 0 DDADMM CN(C)C(=O)[C@@H]1C[C@@H]2[C@@H](CCN2Cc2ccc(C(=O)[O-])s2)O1 ZINC001231463004 885682959 /nfs/dbraw/zinc/68/29/59/885682959.db2.gz SKWHTDFTPAFMIH-UTUOFQBUSA-N -1 1 324.402 1.266 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cccc(CC)n2)n[n-]1 ZINC001363233375 885779997 /nfs/dbraw/zinc/77/99/97/885779997.db2.gz WUPVWAVKXNKTIV-SECBINFHSA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cccc(CC)n2)[n-]1 ZINC001363233375 885780005 /nfs/dbraw/zinc/78/00/05/885780005.db2.gz WUPVWAVKXNKTIV-SECBINFHSA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cccc(CC)n2)n1 ZINC001363233375 885780015 /nfs/dbraw/zinc/78/00/15/885780015.db2.gz WUPVWAVKXNKTIV-SECBINFHSA-N -1 1 317.349 1.430 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1cnoc1 ZINC001363271509 885872758 /nfs/dbraw/zinc/87/27/58/885872758.db2.gz JZXRNUGGMXTWSU-UHFFFAOYSA-N -1 1 304.350 1.749 20 0 DDADMM CCO[C@@H](C)c1ncc(C(=O)NC2(c3nn[n-]n3)CCC2)s1 ZINC001363276102 885885637 /nfs/dbraw/zinc/88/56/37/885885637.db2.gz CQBHYXPXRGRDMQ-QMMMGPOBSA-N -1 1 322.394 1.563 20 0 DDADMM CC[C@H](O)Cn1cc(C(=O)NCc2ccc([O-])c(Cl)c2)nn1 ZINC001363311341 885984569 /nfs/dbraw/zinc/98/45/69/885984569.db2.gz JUBXFNZRFMHFBV-JTQLQIEISA-N -1 1 324.768 1.338 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(CO)c(CO)c2)c([O-])c1 ZINC001363312014 885986536 /nfs/dbraw/zinc/98/65/36/885986536.db2.gz HVCLMCCIMCDXMJ-UHFFFAOYSA-N -1 1 303.314 1.638 20 0 DDADMM Cc1cc(C)c(C(=O)N(Cc2nn[n-]n2)CC(C)C)c(=O)[nH]1 ZINC001363356637 886119486 /nfs/dbraw/zinc/11/94/86/886119486.db2.gz PCWQEDAKYYRTQG-UHFFFAOYSA-N -1 1 304.354 1.216 20 0 DDADMM C[C@H](OC1CCCCCC1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363376364 886171242 /nfs/dbraw/zinc/17/12/42/886171242.db2.gz SOMZCXSYRSVJIR-NSHDSACASA-N -1 1 307.398 1.823 20 0 DDADMM C[C@@H]1Cc2n[nH]cc2CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001363414737 886273418 /nfs/dbraw/zinc/27/34/18/886273418.db2.gz LOGUKJJUQXASAS-SECBINFHSA-N -1 1 313.361 1.617 20 0 DDADMM COC[C@@H](NC(=O)c1scc(C)c1Cl)c1nn[n-]n1 ZINC001363438835 886338055 /nfs/dbraw/zinc/33/80/55/886338055.db2.gz VOUNOKPJKMQSME-ZCFIWIBFSA-N -1 1 301.759 1.341 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cccs1 ZINC001383706291 886366001 /nfs/dbraw/zinc/36/60/01/886366001.db2.gz VPHTVYAQSAEYKU-SNVBAGLBSA-N -1 1 319.386 1.739 20 0 DDADMM COc1ccc(C(=O)NCc2cc(=O)[n-]c(SC)n2)cc1F ZINC001363458075 886395281 /nfs/dbraw/zinc/39/52/81/886395281.db2.gz OKFLXAIITCRMKZ-UHFFFAOYSA-N -1 1 323.349 1.982 20 0 DDADMM O=C(CCOc1cccc(F)c1)NCc1nc([O-])cc(=O)[nH]1 ZINC001363490030 886474363 /nfs/dbraw/zinc/47/43/63/886474363.db2.gz NJVHKHWCNKTBDG-UHFFFAOYSA-N -1 1 307.281 1.112 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1C[C@H]1c1ccc(F)cc1F ZINC001363550821 886614338 /nfs/dbraw/zinc/61/43/38/886614338.db2.gz NALSNWDZVCLVDT-WDEREUQCSA-N -1 1 319.315 1.777 20 0 DDADMM O=C(N1CCN(Cc2ccc(F)c([O-])c2F)CC1)C(F)(F)F ZINC001232931286 886681810 /nfs/dbraw/zinc/68/18/10/886681810.db2.gz LXGIWCAWJFNEMX-UHFFFAOYSA-N -1 1 324.249 1.877 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](c2ncccn2)C2CC2)c(=O)[n-]1 ZINC001363584150 886695093 /nfs/dbraw/zinc/69/50/93/886695093.db2.gz YQKHQTVUPJCZSW-SNVBAGLBSA-N -1 1 317.374 1.575 20 0 DDADMM CCCc1nc(C)c(C(=O)N[C@H](COC)c2nn[n-]n2)s1 ZINC001363586672 886699687 /nfs/dbraw/zinc/69/96/87/886699687.db2.gz KRBWFMUEJDHQBZ-MRVPVSSYSA-N -1 1 310.383 1.035 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2ccc([O-])cc2F)cn1 ZINC001363586994 886701995 /nfs/dbraw/zinc/70/19/95/886701995.db2.gz COOSMGFTPJIPHZ-UHFFFAOYSA-N -1 1 324.333 1.260 20 0 DDADMM CCCn1nccc1C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001363599409 886735460 /nfs/dbraw/zinc/73/54/60/886735460.db2.gz AJRZRTAUNALVTR-UHFFFAOYSA-N -1 1 319.365 1.462 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2cc(Cl)ncc2[O-])CCC1=O ZINC001233032566 886739172 /nfs/dbraw/zinc/73/91/72/886739172.db2.gz LPBAEGICLYBQNH-JTQLQIEISA-N -1 1 312.753 1.395 20 0 DDADMM NC(=O)c1cc(C(=O)[N-]c2nnc([C@H]3CCCO3)s2)co1 ZINC001363640788 886851369 /nfs/dbraw/zinc/85/13/69/886851369.db2.gz KWUXDJSFUYRBAP-SSDOTTSWSA-N -1 1 308.319 1.334 20 0 DDADMM CC(C)(CNC(N)=O)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001363648455 886881366 /nfs/dbraw/zinc/88/13/66/886881366.db2.gz AFANVDIHOSIJBC-UHFFFAOYSA-N -1 1 319.283 1.588 20 0 DDADMM CCN(CC)C(=O)c1ccc(C(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001363711833 887043082 /nfs/dbraw/zinc/04/30/82/887043082.db2.gz VAFGFBYYUQPKQY-UHFFFAOYSA-N -1 1 302.334 1.850 20 0 DDADMM Cc1c[nH]c(C2(NC(=O)c3cc(F)ccc3[O-])CCOCC2)n1 ZINC001363720888 887067460 /nfs/dbraw/zinc/06/74/60/887067460.db2.gz LGUGSDBIHPYBEH-UHFFFAOYSA-N -1 1 319.336 1.999 20 0 DDADMM CSc1ncc(C(=O)NC2CCC3(COC3)CC2)c(=O)[n-]1 ZINC001363755224 887148947 /nfs/dbraw/zinc/14/89/47/887148947.db2.gz QALZCNNPPZUTPU-UHFFFAOYSA-N -1 1 309.391 1.593 20 0 DDADMM CCc1cc(=O)[n-]c(SCC2(C(=O)OC)CCOCC2)n1 ZINC001363756515 887151683 /nfs/dbraw/zinc/15/16/83/887151683.db2.gz PUCXXLDHPYEXII-UHFFFAOYSA-N -1 1 312.391 1.807 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@@H]1COc2ccccc2O1 ZINC001233757200 887279963 /nfs/dbraw/zinc/27/99/63/887279963.db2.gz ZCVVDARYXYMIAP-VIFPVBQESA-N -1 1 318.285 1.188 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@H]1CC[C@@]2(CCCCO2)O1 ZINC001233757721 887286030 /nfs/dbraw/zinc/28/60/30/887286030.db2.gz GKSBRQWNJOFKCG-MEBBXXQBSA-N -1 1 324.333 1.423 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C(C)(C)C)C2)[n-]n1 ZINC001363830553 887336097 /nfs/dbraw/zinc/33/60/97/887336097.db2.gz ORSOIDDMKRXLPW-VIFPVBQESA-N -1 1 315.395 1.253 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C(C)(C)C)C2)n[n-]1 ZINC001363830553 887336111 /nfs/dbraw/zinc/33/61/11/887336111.db2.gz ORSOIDDMKRXLPW-VIFPVBQESA-N -1 1 315.395 1.253 20 0 DDADMM COC(=O)c1cc([O-])c(Br)c(O[C@@H](C)CON)c1 ZINC001233907226 887446817 /nfs/dbraw/zinc/44/68/17/887446817.db2.gz GCFZGBPJWGLGPE-LURJTMIESA-N -1 1 320.139 1.599 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2CCC(C)(C)O2)[n-]1 ZINC001363881800 887462002 /nfs/dbraw/zinc/46/20/02/887462002.db2.gz BBLOJANBAXRDFJ-RKDXNWHRSA-N -1 1 310.354 1.116 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2CCC(C)(C)O2)n[n-]1 ZINC001363881800 887461991 /nfs/dbraw/zinc/46/19/91/887461991.db2.gz BBLOJANBAXRDFJ-RKDXNWHRSA-N -1 1 310.354 1.116 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2CCC(C)(C)O2)n1 ZINC001363881800 887462011 /nfs/dbraw/zinc/46/20/11/887462011.db2.gz BBLOJANBAXRDFJ-RKDXNWHRSA-N -1 1 310.354 1.116 20 0 DDADMM CCC(CC)N(CC)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001363995571 887690178 /nfs/dbraw/zinc/69/01/78/887690178.db2.gz IZMOLMAPGMQRRD-UHFFFAOYSA-N -1 1 303.384 1.396 20 0 DDADMM CCC(CC)N(CC)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001363995571 887690184 /nfs/dbraw/zinc/69/01/84/887690184.db2.gz IZMOLMAPGMQRRD-UHFFFAOYSA-N -1 1 303.384 1.396 20 0 DDADMM CN1Cc2ccccc2[C@@H]([N-]S(=O)(=O)c2ccns2)C1=O ZINC001364018850 887731859 /nfs/dbraw/zinc/73/18/59/887731859.db2.gz DVWAFABKRCMKNP-GFCCVEGCSA-N -1 1 323.399 1.135 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@H](C)c2cnc3ccccn32)c(=O)[n-]1 ZINC001234472571 888004231 /nfs/dbraw/zinc/00/42/31/888004231.db2.gz UZFWOQIWQLIZSP-MRVPVSSYSA-N -1 1 313.317 1.377 20 0 DDADMM CC1=C[C@H](Oc2c(C(N)=O)nc(C(C)C)[n-]c2=O)CC(=O)O1 ZINC001234560974 888098917 /nfs/dbraw/zinc/09/89/17/888098917.db2.gz JTABFRPOQXYIHE-QMMMGPOBSA-N -1 1 307.306 1.003 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H](C)c1cccnc1F ZINC001234564484 888102290 /nfs/dbraw/zinc/10/22/90/888102290.db2.gz KOOYWLKTOQDOBP-ZETCQYMHSA-N -1 1 307.281 1.951 20 0 DDADMM COC[C@@H](C)OC[C@H](C)Oc1c(=O)[n-]c(C)nc1C(=O)OC ZINC001234563931 888102936 /nfs/dbraw/zinc/10/29/36/888102936.db2.gz AELBVKREMLWGCN-BDAKNGLRSA-N -1 1 314.338 1.096 20 0 DDADMM CN(C(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)(C)C)c1nn[n-]n1 ZINC001364190289 888112138 /nfs/dbraw/zinc/11/21/38/888112138.db2.gz CJFPRMNQWZIMBJ-MRVPVSSYSA-N -1 1 312.374 1.102 20 0 DDADMM CC[S@@](C)(=O)=NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[n-]1 ZINC001364194230 888124596 /nfs/dbraw/zinc/12/45/96/888124596.db2.gz CGWICHJWDPJOJS-OAQYLSRUSA-N -1 1 310.335 1.002 20 0 DDADMM COC[C@@H](C)OC[C@@H](C)Oc1[n-]c(=O)c(F)cc1C(=O)OC ZINC001234606625 888138423 /nfs/dbraw/zinc/13/84/23/888138423.db2.gz HHQXCJKFYIGBLD-RKDXNWHRSA-N -1 1 317.313 1.532 20 0 DDADMM NC(=O)c1ccc(C(=O)NCCc2c(F)cc([O-])cc2F)o1 ZINC001364241752 888203645 /nfs/dbraw/zinc/20/36/45/888203645.db2.gz HTAMULYMXLYBEU-UHFFFAOYSA-N -1 1 310.256 1.335 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)NCc1ccc(=O)[nH]n1 ZINC001364286479 888298519 /nfs/dbraw/zinc/29/85/19/888298519.db2.gz SGXBAPWNLHQUSQ-UHFFFAOYSA-N -1 1 308.725 1.541 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)c(=O)[n-]1 ZINC001364480777 888732969 /nfs/dbraw/zinc/73/29/69/888732969.db2.gz WCDRHZTUMDXXSB-MZFCOBPBSA-N -1 1 307.375 1.057 20 0 DDADMM CC(C)[C@@H]1C[C@@H](C(=O)Nc2nc(SCCO)n[nH]2)CCO1 ZINC001364491707 888757582 /nfs/dbraw/zinc/75/75/82/888757582.db2.gz LCCWACKZLGCGCZ-UWVGGRQHSA-N -1 1 314.411 1.279 20 0 DDADMM CS[C@@H](C)C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001385368132 888979190 /nfs/dbraw/zinc/97/91/90/888979190.db2.gz SZNCKRWICMUDSE-JTQLQIEISA-N -1 1 323.418 1.260 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CCCF)C1 ZINC001385389243 889016515 /nfs/dbraw/zinc/01/65/15/889016515.db2.gz MWAZLHMJYISYMA-LLVKDONJSA-N -1 1 309.341 1.210 20 0 DDADMM CC(C)CN(Cc1nn[nH]n1)C(=O)c1cccc(Cl)c1[O-] ZINC001364652993 889127076 /nfs/dbraw/zinc/12/70/76/889127076.db2.gz YSUIDHSNUBECKR-UHFFFAOYSA-N -1 1 309.757 1.857 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC[C@@](CO)(C(F)(F)F)C1 ZINC001364743471 889322381 /nfs/dbraw/zinc/32/23/81/889322381.db2.gz UXTVHLHKXQJSQY-GFCCVEGCSA-N -1 1 307.243 1.918 20 0 DDADMM NC(=O)CC1CC(NC(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC001364743508 889322932 /nfs/dbraw/zinc/32/29/32/889322932.db2.gz VFEPKBJGCBVFOY-UHFFFAOYSA-N -1 1 314.341 1.635 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@H](C)C2)[n-]n1 ZINC001364759451 889356493 /nfs/dbraw/zinc/35/64/93/889356493.db2.gz JJYHNBKEEIYLDV-DTWKUNHWSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@H](C)C2)n[n-]1 ZINC001364759451 889356508 /nfs/dbraw/zinc/35/65/08/889356508.db2.gz JJYHNBKEEIYLDV-DTWKUNHWSA-N -1 1 301.368 1.053 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NS(=O)(=O)C[C@H](C)CC)n[n-]1 ZINC001364879312 889595089 /nfs/dbraw/zinc/59/50/89/889595089.db2.gz AMSHXPRSDVGOHN-RKDXNWHRSA-N -1 1 318.399 1.008 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NS(=O)(=O)C[C@H](C)CC)[n-]1 ZINC001364879312 889595098 /nfs/dbraw/zinc/59/50/98/889595098.db2.gz AMSHXPRSDVGOHN-RKDXNWHRSA-N -1 1 318.399 1.008 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NS(=O)(=O)C[C@H](C)CC)n1 ZINC001364879312 889595103 /nfs/dbraw/zinc/59/51/03/889595103.db2.gz AMSHXPRSDVGOHN-RKDXNWHRSA-N -1 1 318.399 1.008 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC(C)(C)OCC1CC1 ZINC001364880880 889600537 /nfs/dbraw/zinc/60/05/37/889600537.db2.gz LTRCEZCIQXEQGV-UHFFFAOYSA-N -1 1 320.436 1.635 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H](C)c1ccncc1 ZINC001364898009 889628614 /nfs/dbraw/zinc/62/86/14/889628614.db2.gz ZCHURKDUBFKZQC-VIFPVBQESA-N -1 1 313.404 1.629 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](c1ccccn1)C1CC1 ZINC001364918212 889665540 /nfs/dbraw/zinc/66/55/40/889665540.db2.gz XLCZPWPYBUAYDV-JTQLQIEISA-N -1 1 316.317 1.173 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]c2nc3c(o2)CCCC3)on1 ZINC001365150506 890202927 /nfs/dbraw/zinc/20/29/27/890202927.db2.gz JHGUIWGJUXLFGF-UHFFFAOYSA-N -1 1 305.290 1.970 20 0 DDADMM CC(C)C[C@H](C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001386056938 890229280 /nfs/dbraw/zinc/22/92/80/890229280.db2.gz LDAXBEUVVCQHSN-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM COC(=O)c1cn(C)nc1NC(=O)c1ccc(OC)cc1[O-] ZINC001365190849 890304125 /nfs/dbraw/zinc/30/41/25/890304125.db2.gz YKMQOYSKVVQICX-UHFFFAOYSA-N -1 1 305.290 1.173 20 0 DDADMM CC1(c2cc(NC(=O)CCCc3nn[n-]n3)no2)CCCC1 ZINC001365197157 890318072 /nfs/dbraw/zinc/31/80/72/890318072.db2.gz MXOWOGTWWFRJEK-UHFFFAOYSA-N -1 1 304.354 1.981 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@H](C)[C@H](C)C2)[n-]n1 ZINC001365377745 890691308 /nfs/dbraw/zinc/69/13/08/890691308.db2.gz GFYPJMDFFZGUPW-IVZWLZJFSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@H](C)[C@H](C)C2)n[n-]1 ZINC001365377745 890691313 /nfs/dbraw/zinc/69/13/13/890691313.db2.gz GFYPJMDFFZGUPW-IVZWLZJFSA-N -1 1 315.395 1.299 20 0 DDADMM Cc1cc2c(c(-c3cccc(C(=O)N(C)C)c3)n1)C(=O)[N-]C2=O ZINC001239923467 890777324 /nfs/dbraw/zinc/77/73/24/890777324.db2.gz MPMMTFKVFYEUON-UHFFFAOYSA-N -1 1 309.325 1.642 20 0 DDADMM COC[C@H](O)CCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001365515686 890962704 /nfs/dbraw/zinc/96/27/04/890962704.db2.gz UNXVTOLFPFJNAP-CYBMUJFWSA-N -1 1 320.349 1.097 20 0 DDADMM COc1cc(-c2c(F)cc([O-])cc2F)nc(S(C)(=O)=O)n1 ZINC001241118058 891122012 /nfs/dbraw/zinc/12/20/12/891122012.db2.gz FGKFMRBSWVJETG-UHFFFAOYSA-N -1 1 316.285 1.540 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)CC1CC1 ZINC001396282402 913527372 /nfs/dbraw/zinc/52/73/72/913527372.db2.gz LNNMIHJMSXJTMH-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM COC(=O)c1nc2ccc(-c3cc(C(=O)[O-])cnc3F)nc2[nH]1 ZINC001244763475 891914011 /nfs/dbraw/zinc/91/40/11/891914011.db2.gz KMKRXMXNGFBDGR-UHFFFAOYSA-N -1 1 316.248 1.595 20 0 DDADMM COc1cnccc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244795014 891930803 /nfs/dbraw/zinc/93/08/03/891930803.db2.gz VDCQPYHFUZNPGB-UHFFFAOYSA-N -1 1 309.347 1.532 20 0 DDADMM Cc1cc2c(c(-c3cnn(CC(F)(F)F)c3)n1)C(=O)[N-]C2=O ZINC001245385823 892102572 /nfs/dbraw/zinc/10/25/72/892102572.db2.gz ISCLFXMKZUYKHS-UHFFFAOYSA-N -1 1 310.235 1.699 20 0 DDADMM O=C(NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1)C1CCCCC1 ZINC001366019918 892229085 /nfs/dbraw/zinc/22/90/85/892229085.db2.gz LZKJBGZXRTYTRX-LBPRGKRZSA-N -1 1 307.398 1.075 20 0 DDADMM CSc1nc(Nc2ccc3c(c2)OCC(=O)N3)cc(=O)[n-]1 ZINC001249615524 894064232 /nfs/dbraw/zinc/06/42/32/894064232.db2.gz GCUIKVJUMXJFKY-UHFFFAOYSA-N -1 1 304.331 1.979 20 0 DDADMM COc1ncc(CC(=O)c2ccccc2)cc1[N-]S(C)(=O)=O ZINC001249886757 894157585 /nfs/dbraw/zinc/15/75/85/894157585.db2.gz XBTLMBSMEBULLZ-UHFFFAOYSA-N -1 1 320.370 1.887 20 0 DDADMM Cc1ccccc1OC[C@@H](O)C[NH2+]CCCC[P@](=O)([O-])O ZINC001251736073 894791569 /nfs/dbraw/zinc/79/15/69/894791569.db2.gz HHAJONDGQJZVOT-ZDUSSCGKSA-N -1 1 317.322 1.282 20 0 DDADMM COC(=O)c1cnn(C)c1[N-]S(=O)(=O)Cc1ccccc1 ZINC001252817969 895475053 /nfs/dbraw/zinc/47/50/53/895475053.db2.gz UGTRHHUJUJEGPP-UHFFFAOYSA-N -1 1 309.347 1.149 20 0 DDADMM CCC(C)(C)CC(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367158368 896029623 /nfs/dbraw/zinc/02/96/23/896029623.db2.gz RQEVFUCSWHHHPE-UHFFFAOYSA-N -1 1 323.441 1.855 20 0 DDADMM CCCCS(=O)(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001253787273 896091946 /nfs/dbraw/zinc/09/19/46/896091946.db2.gz ZXEZDTNONIVXKP-UHFFFAOYSA-N -1 1 303.344 1.188 20 0 DDADMM CC(C)C(C)(C)C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001388989548 896314799 /nfs/dbraw/zinc/31/47/99/896314799.db2.gz MDFFHHRDABSHNB-UHFFFAOYSA-N -1 1 321.421 2.000 20 0 DDADMM CN(CCC1CCN(C(=O)CC2CC2)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001367430252 896748443 /nfs/dbraw/zinc/74/84/43/896748443.db2.gz DWLCAJBZGQSTME-UHFFFAOYSA-N -1 1 321.425 1.371 20 0 DDADMM COc1cncc(C(=O)[N-]c2nnc(-c3ccncc3)s2)n1 ZINC001255690649 897091252 /nfs/dbraw/zinc/09/12/52/897091252.db2.gz WKRZGEIRZFTFRI-UHFFFAOYSA-N -1 1 314.330 1.651 20 0 DDADMM C[C@H]1C[C@H]([NH2+]CCP(=O)([O-])[O-])CCN1C(=O)OC(C)(C)C ZINC001256454801 897443477 /nfs/dbraw/zinc/44/34/77/897443477.db2.gz XCOFOXRXSCEEBT-WDEREUQCSA-N -1 1 322.342 1.542 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@H]1O)c1cc(Cl)c(Cl)s1 ZINC001257504572 897866261 /nfs/dbraw/zinc/86/62/61/897866261.db2.gz QLOXFLAZGPDKBX-PHDIDXHHSA-N -1 1 318.203 1.093 20 0 DDADMM CCOC(=O)CC1CCC(Nc2[n-]c(=O)nc3nc[nH]c32)CC1 ZINC001258022475 898023638 /nfs/dbraw/zinc/02/36/38/898023638.db2.gz JEVBYZSURMYMFF-UHFFFAOYSA-N -1 1 319.365 1.982 20 0 DDADMM O=C(NCCCNC(=O)C1CCCCC1)c1ncccc1[O-] ZINC001293110117 914245805 /nfs/dbraw/zinc/24/58/05/914245805.db2.gz QJKSIVQFIOTOFW-UHFFFAOYSA-N -1 1 305.378 1.604 20 0 DDADMM O=S(=O)([N-]CCn1cccn1)c1cc(F)c(F)cc1F ZINC001259042436 898438201 /nfs/dbraw/zinc/43/82/01/898438201.db2.gz QDLFGOTYZSIAOI-UHFFFAOYSA-N -1 1 305.281 1.279 20 0 DDADMM COc1cccnc1C[N-]S(=O)(=O)c1c(F)cccc1F ZINC001259071958 898450576 /nfs/dbraw/zinc/45/05/76/898450576.db2.gz WYCVOZNRTHXMJF-UHFFFAOYSA-N -1 1 314.313 1.847 20 0 DDADMM O=S(=O)([N-]Cc1ccnnc1)c1ccc(F)c(F)c1F ZINC001259081395 898454926 /nfs/dbraw/zinc/45/49/26/898454926.db2.gz RRYUVYPVEACPPY-UHFFFAOYSA-N -1 1 303.265 1.372 20 0 DDADMM Cc1ncn(C)c1[N-]S(=O)(=O)c1ccc(-n2cccn2)cc1 ZINC001259363570 898632378 /nfs/dbraw/zinc/63/23/78/898632378.db2.gz ZOWWYGLZLOEVIR-UHFFFAOYSA-N -1 1 317.374 1.715 20 0 DDADMM CNC(=O)c1ccc(F)c([N-]S(=O)(=O)c2cccnc2)c1 ZINC001259608007 898737197 /nfs/dbraw/zinc/73/71/97/898737197.db2.gz PHWLVWMEGVXEAJ-UHFFFAOYSA-N -1 1 309.322 1.381 20 0 DDADMM CC(=O)c1nn(C)cc1[N-]S(=O)(=O)Cc1cccc(C)c1 ZINC001259744193 898776676 /nfs/dbraw/zinc/77/66/76/898776676.db2.gz YCEYNXXTJORCLK-UHFFFAOYSA-N -1 1 307.375 1.873 20 0 DDADMM COC(=O)[C@H](COC(C)(C)C)[N-]S(=O)(=O)C1CCCCC1 ZINC001259817128 898825418 /nfs/dbraw/zinc/82/54/18/898825418.db2.gz WVKUKBWJODAGTI-LBPRGKRZSA-N -1 1 321.439 1.595 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(C(=O)c2ccncc2)cc1 ZINC001259972032 898995401 /nfs/dbraw/zinc/99/54/01/898995401.db2.gz KDRHSMOAYCSJJV-UHFFFAOYSA-N -1 1 320.370 1.701 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1ccc(CO)cc1F ZINC001260110698 899058329 /nfs/dbraw/zinc/05/83/29/899058329.db2.gz ROXYEFZOVQUTJQ-UHFFFAOYSA-N -1 1 313.354 1.469 20 0 DDADMM Cc1ncncc1NS(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC001260209607 899090121 /nfs/dbraw/zinc/09/01/21/899090121.db2.gz OHLXLZGVDFLGHF-UHFFFAOYSA-N -1 1 311.294 1.423 20 0 DDADMM O=C1CC[C@@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)N1 ZINC001260287118 899109414 /nfs/dbraw/zinc/10/94/14/899109414.db2.gz SWYDGMHLYZFPQE-LURJTMIESA-N -1 1 324.736 1.175 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2ccc(C(N)=O)c(O)c2)ccc1F ZINC001260761840 899255548 /nfs/dbraw/zinc/25/55/48/899255548.db2.gz YBORWDGWLRFRCW-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccccc1 ZINC001390381987 899297123 /nfs/dbraw/zinc/29/71/23/899297123.db2.gz MUCPRSGMRUJONR-ZDUSSCGKSA-N -1 1 313.357 1.726 20 0 DDADMM CC[C@@H](CNC(=O)CC[C@@H](C)OC)NC(=O)c1ncccc1[O-] ZINC001390381930 899299024 /nfs/dbraw/zinc/29/90/24/899299024.db2.gz XPSGSCJZPXXDDD-NEPJUHHUSA-N -1 1 323.393 1.227 20 0 DDADMM C[C@](CNC(=O)CCCF)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001390824298 900287876 /nfs/dbraw/zinc/28/78/76/900287876.db2.gz GXJMJJCXAJVQDL-MRXNPFEDSA-N -1 1 323.368 1.552 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N1C[C@@H]2CC=CC[C@@H]2C1 ZINC001263033494 900472684 /nfs/dbraw/zinc/47/26/84/900472684.db2.gz PNOWPSYBVJZLBF-PHIMTYICSA-N -1 1 300.366 1.796 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1C[C@@H](C)OC2(CCCC2)C1 ZINC001263846230 900760813 /nfs/dbraw/zinc/76/08/13/900760813.db2.gz LSOILPZKENZRKL-SECBINFHSA-N -1 1 323.418 1.953 20 0 DDADMM CC1(/C=C\C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)CCOCC1 ZINC001263911758 900813833 /nfs/dbraw/zinc/81/38/33/900813833.db2.gz RGZDKYXMBUKLSC-DSYXLKISSA-N -1 1 319.409 1.354 20 0 DDADMM O=C([N-]c1nnc2ccc(Cl)nn21)c1c(F)ccnc1F ZINC001264321339 901034125 /nfs/dbraw/zinc/03/41/25/901034125.db2.gz PAVOVGDKOOGQPO-UHFFFAOYSA-N -1 1 310.651 1.703 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C1CCCC1 ZINC001369840269 902071809 /nfs/dbraw/zinc/07/18/09/902071809.db2.gz XUOBKJPPBJTESL-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM CS[C@@H](C)C(=O)N[C@@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001370105006 902554086 /nfs/dbraw/zinc/55/40/86/902554086.db2.gz YUICYEDHQYOQIZ-UWVGGRQHSA-N -1 1 323.418 1.115 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001392020999 903107526 /nfs/dbraw/zinc/10/75/26/903107526.db2.gz JKBRIUGOVNGJRR-VXGBXAGGSA-N -1 1 321.425 1.321 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C1(C)C(C)(C)C1(C)C ZINC001392144788 903383914 /nfs/dbraw/zinc/38/39/14/903383914.db2.gz KWVOWKNPZOWARX-JTQLQIEISA-N -1 1 323.441 1.519 20 0 DDADMM Cc1cocc1C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)CC(C)C ZINC001392173161 903447084 /nfs/dbraw/zinc/44/70/84/903447084.db2.gz UUAHZRZUSXHJLI-NSHDSACASA-N -1 1 321.381 1.346 20 0 DDADMM CCCCC(=O)NC[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001370938544 904021561 /nfs/dbraw/zinc/02/15/61/904021561.db2.gz DSMSNCGMEOCCJT-STQMWFEESA-N -1 1 319.405 1.992 20 0 DDADMM CC/C=C(\C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001281133023 904450538 /nfs/dbraw/zinc/45/05/38/904450538.db2.gz PTNSXKQBFCIMBJ-OAIDTJHVSA-N -1 1 317.389 1.863 20 0 DDADMM O=C(NCCCNC(=O)[C@H]1CC12CCC2)c1ncccc1[O-] ZINC001283233680 906956748 /nfs/dbraw/zinc/95/67/48/906956748.db2.gz YTKDLRTUXJXPGC-LLVKDONJSA-N -1 1 303.362 1.214 20 0 DDADMM CC[C@@H](C)C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372359192 907120759 /nfs/dbraw/zinc/12/07/59/907120759.db2.gz MHMMDQGEZPPAST-PWSUYJOCSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1cnoc1C(=O)N[C@@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001394967744 911039595 /nfs/dbraw/zinc/03/95/95/911039595.db2.gz ULKXRYZMMUKTMZ-ZJUUUORDSA-N -1 1 318.333 1.020 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCC3(CNC(=O)C3)CC2)c1 ZINC001294213531 914985956 /nfs/dbraw/zinc/98/59/56/914985956.db2.gz MDAIULPNSZIJGC-UHFFFAOYSA-N -1 1 316.357 1.255 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(Cl)c(F)c1N)c1nn[n-]n1 ZINC001296064984 916221064 /nfs/dbraw/zinc/22/10/64/916221064.db2.gz UERNXDJILUZSGR-ZCFIWIBFSA-N -1 1 312.736 1.450 20 0 DDADMM C[C@@H](N[C@@H](C)CNC(=O)c1ncccc1[O-])c1csnn1 ZINC001376716128 919150914 /nfs/dbraw/zinc/15/09/14/919150914.db2.gz QWPIVIRJENHNSR-DTWKUNHWSA-N -1 1 307.379 1.108 20 0 DDADMM Cc1nc(CN[C@H](C)CCNC(=O)c2[nH]nc(C)c2[O-])co1 ZINC001377018152 920104266 /nfs/dbraw/zinc/10/42/66/920104266.db2.gz COBRHDNEUSAIOH-MRVPVSSYSA-N -1 1 307.354 1.018 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H](C)CC(F)(F)F)nc2n1 ZINC000622869707 365548823 /nfs/dbraw/zinc/54/88/23/365548823.db2.gz DNKUHAWJBBJALK-RXMQYKEDSA-N -1 1 303.244 1.253 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCC[C@H]3C)nc2n1 ZINC000622993210 365585102 /nfs/dbraw/zinc/58/51/02/365585102.db2.gz FPSOEBCJLWXIJA-KOLCDFICSA-N -1 1 303.366 1.745 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3C3CCC3)nc2n1 ZINC000622993584 365587192 /nfs/dbraw/zinc/58/71/92/365587192.db2.gz SVDKYDSENQVAAV-WDEREUQCSA-N -1 1 301.350 1.355 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC(C)(C)O3)nc2n1 ZINC000622997835 365590974 /nfs/dbraw/zinc/59/09/74/365590974.db2.gz NIXUVQNMMVZBPH-JTQLQIEISA-N -1 1 319.365 1.266 20 0 DDADMM Cc1nc(-c2ccc(NCCC3(CO)CC3)nc2)[n-]c(=O)c1C ZINC000450819097 230799142 /nfs/dbraw/zinc/79/91/42/230799142.db2.gz CWPKVDBSRGWHGP-UHFFFAOYSA-N -1 1 314.389 1.857 20 0 DDADMM CC1(C)CCC[C@](O)(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)C1 ZINC000614392681 361835307 /nfs/dbraw/zinc/83/53/07/361835307.db2.gz ZUCTWIXIVZMRCX-BDJLRTHQSA-N -1 1 323.393 1.813 20 0 DDADMM Cn1ncc(C2CC2)c1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614389513 361831832 /nfs/dbraw/zinc/83/18/32/361831832.db2.gz NVERLUBOPVWYKC-SNVBAGLBSA-N -1 1 317.349 1.406 20 0 DDADMM O=C(C[C@H]1CCCC[C@@H]1O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614387911 361831869 /nfs/dbraw/zinc/83/18/69/361831869.db2.gz DAZLYCYNTCNBPE-WOPDTQHZSA-N -1 1 309.366 1.422 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)C[C@H](C)OC)c(F)cc1F ZINC000278618463 214278994 /nfs/dbraw/zinc/27/89/94/214278994.db2.gz MIBWTDFIMCVKCH-ZETCQYMHSA-N -1 1 323.317 1.528 20 0 DDADMM COC[C@@H](CCO)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451333466 231070474 /nfs/dbraw/zinc/07/04/74/231070474.db2.gz AQGUPQYUNAWXGC-LLVKDONJSA-N -1 1 317.338 1.248 20 0 DDADMM CC[C@@H](C)[C@H]([N-]S(=O)(=O)c1cnn(C)c1C)C(F)(F)F ZINC000292621274 529420891 /nfs/dbraw/zinc/42/08/91/529420891.db2.gz OMBWREVNFCRGIG-XCBNKYQSSA-N -1 1 313.345 1.984 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1c(C)nn(C)c1Cl)C(=O)OC ZINC000299580349 529507376 /nfs/dbraw/zinc/50/73/76/529507376.db2.gz CVMOZGHWOUPZDU-LLVKDONJSA-N -1 1 323.802 1.002 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)c2ccccn2)co1 ZINC000159149535 539218014 /nfs/dbraw/zinc/21/80/14/539218014.db2.gz DNBWTAOPEDNGAF-VIFPVBQESA-N -1 1 309.347 1.074 20 0 DDADMM COCCO[C@@H]1COCC[C@@H]1NC(=O)c1c([O-])cccc1F ZINC000436677639 229509369 /nfs/dbraw/zinc/50/93/69/229509369.db2.gz YJGFRKHFKDBQHD-WCQYABFASA-N -1 1 313.325 1.082 20 0 DDADMM N[C@H](Cc1ccccc1)C(=O)Nc1ccc(O)c(C(=O)[O-])c1 ZINC000314963560 539295090 /nfs/dbraw/zinc/29/50/90/539295090.db2.gz BUDZLZUCSVLRCJ-CYBMUJFWSA-N -1 1 300.314 1.599 20 0 DDADMM CCOC(=O)C(CC)(CC)CN=c1[n-]c(COC)ns1 ZINC000601220467 358452495 /nfs/dbraw/zinc/45/24/95/358452495.db2.gz RKFCAUKPZFFQQT-UHFFFAOYSA-N -1 1 301.412 1.888 20 0 DDADMM CCOC(=O)C(CC)(CC)CN=c1nc(COC)[n-]s1 ZINC000601220467 358452499 /nfs/dbraw/zinc/45/24/99/358452499.db2.gz RKFCAUKPZFFQQT-UHFFFAOYSA-N -1 1 301.412 1.888 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCCOc1ccc2c(c1)OCO2 ZINC000615221065 362200968 /nfs/dbraw/zinc/20/09/68/362200968.db2.gz IULDFQOCCNHQIH-UHFFFAOYSA-N -1 1 319.317 1.950 20 0 DDADMM Cc1cnn(CC[N-]S(=O)(=O)c2nc(C)c(C)s2)c1 ZINC000333165691 539317634 /nfs/dbraw/zinc/31/76/34/539317634.db2.gz OQJFWMDTVMYVDB-UHFFFAOYSA-N -1 1 300.409 1.243 20 0 DDADMM C[C@@H](Cc1ccc(Cl)cc1)N(C)C(=O)CCc1nn[n-]n1 ZINC000631392372 422735862 /nfs/dbraw/zinc/73/58/62/422735862.db2.gz SNAMECCPBIDFDB-JTQLQIEISA-N -1 1 307.785 1.875 20 0 DDADMM Cc1cc([C@](C)(O)CNC(=O)C(=O)c2ccc([O-])cc2)c(C)o1 ZINC000280796605 282333666 /nfs/dbraw/zinc/33/36/66/282333666.db2.gz PAKQRJDUOCEVHO-QGZVFWFLSA-N -1 1 317.341 1.809 20 0 DDADMM CC1(C)CCN(C(=O)CNC(=O)c2ncccc2[O-])CCS1 ZINC000345472409 282963949 /nfs/dbraw/zinc/96/39/49/282963949.db2.gz VEHDTHCXTOMXNL-UHFFFAOYSA-N -1 1 323.418 1.261 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCC2CC(F)(F)C2)co1 ZINC000457158983 530025365 /nfs/dbraw/zinc/02/53/65/530025365.db2.gz NGKFWDGCLOXDHV-UHFFFAOYSA-N -1 1 322.333 1.353 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@H]2C(C)C)c1 ZINC000292367233 530031821 /nfs/dbraw/zinc/03/18/21/530031821.db2.gz XPENDEPWEZPRBF-QWRGUYRKSA-N -1 1 312.391 1.531 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCOC(C)C)c1 ZINC000292381655 530031967 /nfs/dbraw/zinc/03/19/67/530031967.db2.gz YMISOAHYFNGMIW-UHFFFAOYSA-N -1 1 316.379 1.054 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2ccc(F)cc2)co1 ZINC000158149970 290738331 /nfs/dbraw/zinc/73/83/31/290738331.db2.gz IZDVHBXIRPPTNN-UHFFFAOYSA-N -1 1 312.322 1.257 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2C[C@]23CCOC3)c1Br ZINC000616009108 362519207 /nfs/dbraw/zinc/51/92/07/362519207.db2.gz OSIBHAZTGNQLNY-CPCISQLKSA-N -1 1 300.156 1.268 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCCCC[C@@H]1CCO ZINC000356844524 539472506 /nfs/dbraw/zinc/47/25/06/539472506.db2.gz YQHPMCAMJPCTAH-GFCCVEGCSA-N -1 1 309.435 1.907 20 0 DDADMM CC[C@@H](C)n1nc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1C ZINC000358386973 299139340 /nfs/dbraw/zinc/13/93/40/299139340.db2.gz XWXXJZXPCOJRRS-VHSXEESVSA-N -1 1 305.386 1.551 20 0 DDADMM Cc1ccc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(Cl)c1 ZINC000358413639 299146069 /nfs/dbraw/zinc/14/60/69/299146069.db2.gz OEZGKQJRCZPNCR-SNVBAGLBSA-N -1 1 307.785 1.966 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCO[C@H](C(C)(C)C)C3)ccnc1-2 ZINC000287011883 219269464 /nfs/dbraw/zinc/26/94/64/219269464.db2.gz BREKMSZDTHDSCX-QXGSTRBFSA-N -1 1 317.393 1.621 20 0 DDADMM Cc1noc(C)c1CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000080606966 192171989 /nfs/dbraw/zinc/17/19/89/192171989.db2.gz JTVXEIXZNNJDPT-UHFFFAOYSA-N -1 1 318.377 1.911 20 0 DDADMM C[C@@H](CN1CCCC1=O)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000171067578 197873907 /nfs/dbraw/zinc/87/39/07/197873907.db2.gz OLKBKELXHSQWKT-VIFPVBQESA-N -1 1 318.345 1.254 20 0 DDADMM CN(C)C(=O)CCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000171079335 197876914 /nfs/dbraw/zinc/87/69/14/197876914.db2.gz GEKKEOGVJCYGBR-UHFFFAOYSA-N -1 1 306.334 1.112 20 0 DDADMM Cc1cc2cccnc2c(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000354161079 290927948 /nfs/dbraw/zinc/92/79/48/290927948.db2.gz RTHZSFIUUOPVDB-UHFFFAOYSA-N -1 1 320.312 1.527 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCC[C@H](C)C2)c(=O)[n-]1 ZINC000029510095 352240001 /nfs/dbraw/zinc/24/00/01/352240001.db2.gz PADZNSKCKJRJBP-JTQLQIEISA-N -1 1 309.435 1.991 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cccc3c[nH]nc32)o1 ZINC000032939451 352285465 /nfs/dbraw/zinc/28/54/65/352285465.db2.gz MBVZMZDIZRVJGR-UHFFFAOYSA-N -1 1 320.330 1.316 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@H](C)[C@H]2C)o1 ZINC000042781424 352351761 /nfs/dbraw/zinc/35/17/61/352351761.db2.gz NTEKIFMONRFZKP-HBNTYKKESA-N -1 1 314.407 1.742 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc(C(N)=O)cc2)cc1F ZINC000055619117 352739593 /nfs/dbraw/zinc/73/95/93/352739593.db2.gz BVFBUIACTXBZQX-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM CCc1cc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)sc1C ZINC000060242197 352866567 /nfs/dbraw/zinc/86/65/67/352866567.db2.gz AQWHRRCRFQQWID-UHFFFAOYSA-N -1 1 314.392 1.393 20 0 DDADMM CCc1cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)sc1C ZINC000060242197 352866569 /nfs/dbraw/zinc/86/65/69/352866569.db2.gz AQWHRRCRFQQWID-UHFFFAOYSA-N -1 1 314.392 1.393 20 0 DDADMM Cc1c([C@H]2OCC[C@@H]2NC(=O)c2ncc(C)cc2[O-])cnn1C ZINC000331998344 234367115 /nfs/dbraw/zinc/36/71/15/234367115.db2.gz MQBGSFMFFYLAFS-SWLSCSKDSA-N -1 1 316.361 1.398 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@@H]1CN1CCOCC1 ZINC000174563114 198352847 /nfs/dbraw/zinc/35/28/47/198352847.db2.gz HPTXVESPBZPTPB-CYBMUJFWSA-N -1 1 324.808 1.982 20 0 DDADMM CCCc1cc(NC(=O)NCc2n[n-]c(=S)n2CC)n(C)n1 ZINC000091095249 353810052 /nfs/dbraw/zinc/81/00/52/353810052.db2.gz RYKIWPPCCGUARF-UHFFFAOYSA-N -1 1 323.426 1.968 20 0 DDADMM O=C(CN1CCN(C(=O)c2cc(F)ccc2[O-])CC1)C1CC1 ZINC000094151383 353911274 /nfs/dbraw/zinc/91/12/74/353911274.db2.gz BDFVWATUKYTOAQ-UHFFFAOYSA-N -1 1 306.337 1.268 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C#N)c(Cl)c1)c1nn[n-]n1 ZINC000130727471 354096379 /nfs/dbraw/zinc/09/63/79/354096379.db2.gz NLHOCHIFJQJUAN-NSHDSACASA-N -1 1 304.741 1.996 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)c(C)c1 ZINC000151412655 354183419 /nfs/dbraw/zinc/18/34/19/354183419.db2.gz HJTJDBAPJSCGBC-UHFFFAOYSA-N -1 1 323.374 1.922 20 0 DDADMM O=C([O-])CSCC(=O)N[C@@H]1CCN(Cc2ccccc2)C1 ZINC000157619537 354226220 /nfs/dbraw/zinc/22/62/20/354226220.db2.gz LHHFUOOYMCSQFV-CYBMUJFWSA-N -1 1 308.403 1.195 20 0 DDADMM COC(=O)c1sccc1NC(=O)CN(C)C[C@@H](C)C(=O)[O-] ZINC000565046539 304040058 /nfs/dbraw/zinc/04/00/58/304040058.db2.gz LRNKEXNWKMSHBE-MRVPVSSYSA-N -1 1 314.363 1.126 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCc3c[nH]nc3C2)n1 ZINC000585682756 354827149 /nfs/dbraw/zinc/82/71/49/354827149.db2.gz PPSWNDOXCUPPSU-MRVPVSSYSA-N -1 1 303.322 1.053 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2csc(=NC3CC3)[n-]2)[C@@H]1CCO ZINC000618017667 363428918 /nfs/dbraw/zinc/42/89/18/363428918.db2.gz BSJRCYRONQBVSJ-ZWNOBZJWSA-N -1 1 309.435 1.762 20 0 DDADMM COC(=O)c1c(C)cccc1S(=O)(=O)[N-]CC(F)(F)F ZINC000588946861 354951234 /nfs/dbraw/zinc/95/12/34/354951234.db2.gz COSPJKORYGDEKK-UHFFFAOYSA-N -1 1 311.281 1.622 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)CCOC)o1 ZINC000358848066 291054077 /nfs/dbraw/zinc/05/40/77/291054077.db2.gz AQQVZCISBZFJND-SECBINFHSA-N -1 1 305.352 1.160 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OC ZINC000592068054 355481442 /nfs/dbraw/zinc/48/14/42/355481442.db2.gz HBHCPYDEPCYMDZ-IUCAKERBSA-N -1 1 319.345 1.836 20 0 DDADMM CC[C@@H](C)C[C@H](CO)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000592082528 355488678 /nfs/dbraw/zinc/48/86/78/355488678.db2.gz IYXRLBNCGBDMPC-NXEZZACHSA-N -1 1 319.379 1.142 20 0 DDADMM C[C@@H](CN(C)C(=O)C(C)(C)C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC000592787556 355691014 /nfs/dbraw/zinc/69/10/14/355691014.db2.gz PTGUFFANEOIJNR-VIFPVBQESA-N -1 1 311.386 1.130 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000592831652 355707198 /nfs/dbraw/zinc/70/71/98/355707198.db2.gz OWKSQSGNWAZACM-LBPRGKRZSA-N -1 1 307.346 1.669 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@H](OC)C(F)(F)F)[n-]1 ZINC000593150476 355812215 /nfs/dbraw/zinc/81/22/15/355812215.db2.gz OWRDMQBEQUIGMU-ZETCQYMHSA-N -1 1 309.244 1.492 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@H](OC)C(F)(F)F)n1 ZINC000593150476 355812218 /nfs/dbraw/zinc/81/22/18/355812218.db2.gz OWRDMQBEQUIGMU-ZETCQYMHSA-N -1 1 309.244 1.492 20 0 DDADMM CCOCCCNC(=O)[C@H](C)Sc1nc(C)c(C)c(=O)[n-]1 ZINC000346717053 283145475 /nfs/dbraw/zinc/14/54/75/283145475.db2.gz QHWPCPWCZLXQIN-NSHDSACASA-N -1 1 313.423 1.822 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2cnccc2C)c1 ZINC000594818566 356327037 /nfs/dbraw/zinc/32/70/37/356327037.db2.gz MBYSBDJHKZHPPS-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM CCC[C@@H](C(=O)OCC)n1ccc([N-]S(=O)(=O)N(C)C)n1 ZINC000594699672 356288722 /nfs/dbraw/zinc/28/87/22/356288722.db2.gz AJEUHNYSZJMCMW-JTQLQIEISA-N -1 1 318.399 1.006 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H](C)SC)cc1C ZINC000595322928 356449619 /nfs/dbraw/zinc/44/96/19/356449619.db2.gz PWUHGYPLXFFNKX-MRVPVSSYSA-N -1 1 307.393 1.404 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C2(C(C)C)CC2)cc1C ZINC000595333029 356452229 /nfs/dbraw/zinc/45/22/29/356452229.db2.gz IRAQISGBCXIKTD-UHFFFAOYSA-N -1 1 301.364 1.842 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CSC[C@@H]2C)cc1C ZINC000595337057 356453898 /nfs/dbraw/zinc/45/38/98/356453898.db2.gz JDUKXERDOQDFRF-DTWKUNHWSA-N -1 1 319.404 1.404 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CC[C@@H]3C[C@@H]3C2)cc1C ZINC000595342375 356455953 /nfs/dbraw/zinc/45/59/53/356455953.db2.gz CBLLEHWWUDMMFD-GMTAPVOTSA-N -1 1 313.375 1.842 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)c2ccccc2OC)o1 ZINC000618232201 363532344 /nfs/dbraw/zinc/53/23/44/363532344.db2.gz VEHIVGAGBLXYFQ-UHFFFAOYSA-N -1 1 324.358 1.473 20 0 DDADMM CN(C[C@H]1COCCO1)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000618224612 363524476 /nfs/dbraw/zinc/52/44/76/363524476.db2.gz PGINFIVICTXVFX-JTQLQIEISA-N -1 1 319.279 1.898 20 0 DDADMM CCOC(=O)c1cnc(N2CCC(c3n[n-]c(=O)o3)CC2)s1 ZINC000596226479 356823446 /nfs/dbraw/zinc/82/34/46/356823446.db2.gz KLZMIXNJPNHZEQ-UHFFFAOYSA-N -1 1 324.362 1.792 20 0 DDADMM COCc1ncsc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000347567606 283230012 /nfs/dbraw/zinc/23/00/12/283230012.db2.gz OPTGAGKNONITFO-MRVPVSSYSA-N -1 1 324.362 1.398 20 0 DDADMM Cn1[n-]c(CN[C@@H](c2cccc(F)c2F)C(F)(F)F)nc1=O ZINC000347605639 283241426 /nfs/dbraw/zinc/24/14/26/283241426.db2.gz FPEBWMXBKQHMIE-JTQLQIEISA-N -1 1 322.237 1.780 20 0 DDADMM C[C@H](CCNC(=O)c1ncc2ccccc2c1[O-])[S@](C)=O ZINC000597397099 357163760 /nfs/dbraw/zinc/16/37/60/357163760.db2.gz PBVLPCVSEBPEPC-UZJPJQLHSA-N -1 1 306.387 1.827 20 0 DDADMM COC(=O)C1(NC(=O)c2ncc3ccccc3c2[O-])CCC1 ZINC000597417836 357171564 /nfs/dbraw/zinc/17/15/64/357171564.db2.gz GGGXALSZAXALBJ-UHFFFAOYSA-N -1 1 300.314 1.766 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N(C)Cc2cnc[nH]2)c1 ZINC000597863242 357363183 /nfs/dbraw/zinc/36/31/83/357363183.db2.gz LYDXGDGAEXUJIX-NRFANRHFSA-N -1 1 307.375 1.185 20 0 DDADMM CS(=O)(=O)c1cccc(CN2C[C@@H]3CCC[C@@H]3[C@H]2C(=O)[O-])c1 ZINC000565487416 304071372 /nfs/dbraw/zinc/07/13/72/304071372.db2.gz VCZRQLQIDGPJSF-QEJZJMRPSA-N -1 1 323.414 1.775 20 0 DDADMM COc1c(CNC(=O)c2c(F)ccc([O-])c2F)c(C)nn1C ZINC000348081374 283358449 /nfs/dbraw/zinc/35/84/49/283358449.db2.gz TXESVPQNEFYEAC-UHFFFAOYSA-N -1 1 311.288 1.651 20 0 DDADMM CCN(CC)S(=O)(=O)[N-][C@@H](C(=O)OC)c1cccs1 ZINC000348113096 283374789 /nfs/dbraw/zinc/37/47/89/283374789.db2.gz DYDUHAHECKMFBC-SNVBAGLBSA-N -1 1 306.409 1.138 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601452417 358560227 /nfs/dbraw/zinc/56/02/27/358560227.db2.gz SFXDIEDMOGXIBD-VIFPVBQESA-N -1 1 320.436 1.708 20 0 DDADMM COC(=O)[C@@H](C[N-]S(=O)(=O)c1cc(C)ns1)CC1CC1 ZINC000601455033 358561367 /nfs/dbraw/zinc/56/13/67/358561367.db2.gz VWAJZHIRAYHJDU-SNVBAGLBSA-N -1 1 318.420 1.319 20 0 DDADMM C[S@@](=O)C1(CNC(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000601557192 358599939 /nfs/dbraw/zinc/59/99/39/358599939.db2.gz XPZYUZBAEGTMMR-LJQANCHMSA-N -1 1 301.795 1.615 20 0 DDADMM C[C@H](S[C@@H](C)C(=O)NC[C@@H]1CCN1C(C)(C)C)C(=O)[O-] ZINC000602002529 358773022 /nfs/dbraw/zinc/77/30/22/358773022.db2.gz UIVFRMXYJLAJPA-DCAQKATOSA-N -1 1 302.440 1.570 20 0 DDADMM CCOC(=O)CCN(C)C(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000358929990 299284355 /nfs/dbraw/zinc/28/43/55/299284355.db2.gz HEPIVEZACFYAHE-UHFFFAOYSA-N -1 1 317.345 1.669 20 0 DDADMM CCOC(=O)CCN(C)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000358929990 299284360 /nfs/dbraw/zinc/28/43/60/299284360.db2.gz HEPIVEZACFYAHE-UHFFFAOYSA-N -1 1 317.345 1.669 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1sc(C)cc1C ZINC000602300914 358920882 /nfs/dbraw/zinc/92/08/82/358920882.db2.gz RHMPDVGZDCYPMX-UHFFFAOYSA-N -1 1 307.375 1.949 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCCC(F)(F)F)n[n-]1 ZINC000603157323 359443675 /nfs/dbraw/zinc/44/36/75/359443675.db2.gz WEOQBSJPKTZAKP-SSDOTTSWSA-N -1 1 322.287 1.891 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCCC(F)(F)F)[n-]1 ZINC000603157323 359443679 /nfs/dbraw/zinc/44/36/79/359443679.db2.gz WEOQBSJPKTZAKP-SSDOTTSWSA-N -1 1 322.287 1.891 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCCC(F)(F)F)n1 ZINC000603157323 359443682 /nfs/dbraw/zinc/44/36/82/359443682.db2.gz WEOQBSJPKTZAKP-SSDOTTSWSA-N -1 1 322.287 1.891 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2ccccc2F)n[n-]1 ZINC000603161766 359447144 /nfs/dbraw/zinc/44/71/44/359447144.db2.gz GPMMIQOMYIFPAY-SECBINFHSA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2ccccc2F)[n-]1 ZINC000603161766 359447149 /nfs/dbraw/zinc/44/71/49/359447149.db2.gz GPMMIQOMYIFPAY-SECBINFHSA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2ccccc2F)n1 ZINC000603161766 359447153 /nfs/dbraw/zinc/44/71/53/359447153.db2.gz GPMMIQOMYIFPAY-SECBINFHSA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(C)cc2)n[n-]1 ZINC000603164406 359448676 /nfs/dbraw/zinc/44/86/76/359448676.db2.gz JSMXZYGUAWWSPB-JTQLQIEISA-N -1 1 302.334 1.781 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(C)cc2)[n-]1 ZINC000603164406 359448683 /nfs/dbraw/zinc/44/86/83/359448683.db2.gz JSMXZYGUAWWSPB-JTQLQIEISA-N -1 1 302.334 1.781 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(C)cc2)n1 ZINC000603164406 359448691 /nfs/dbraw/zinc/44/86/91/359448691.db2.gz JSMXZYGUAWWSPB-JTQLQIEISA-N -1 1 302.334 1.781 20 0 DDADMM O=C(C(=O)N1CCCC[C@H]1c1ncon1)c1ccc([O-])cc1 ZINC000281040178 216039344 /nfs/dbraw/zinc/03/93/44/216039344.db2.gz WFAIKSIHNKXMBJ-LBPRGKRZSA-N -1 1 301.302 1.712 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)[N-]OC[C@H]3CCOC3)CC2=O)cc1 ZINC000187859324 200167198 /nfs/dbraw/zinc/16/71/98/200167198.db2.gz XXEDGDLANPESKF-KBPBESRZSA-N -1 1 318.373 1.432 20 0 DDADMM CCc1nnsc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000604081811 359716809 /nfs/dbraw/zinc/71/68/09/359716809.db2.gz MYZVLFREIPREFO-SNVBAGLBSA-N -1 1 315.362 1.133 20 0 DDADMM CCc1n[nH]c(=O)c(C(=O)Nc2nc(Cl)ccc2[O-])c1CC ZINC000188353014 200232706 /nfs/dbraw/zinc/23/27/06/200232706.db2.gz SNOHVAXUBSQOJD-UHFFFAOYSA-N -1 1 322.752 1.901 20 0 DDADMM O=C(CCCCCc1ccc(F)cc1)NN1CC(=O)[N-]C1=O ZINC000188364985 200234158 /nfs/dbraw/zinc/23/41/58/200234158.db2.gz FTPPGNYOMDQHSZ-UHFFFAOYSA-N -1 1 307.325 1.512 20 0 DDADMM COc1ccc(C)cc1CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605530499 359866365 /nfs/dbraw/zinc/86/63/65/359866365.db2.gz LTTWPRRLBHHAFN-UHFFFAOYSA-N -1 1 315.377 1.645 20 0 DDADMM C[C@H](Cc1cccc(C(F)(F)F)c1)C(=O)NCc1nn[n-]n1 ZINC000606370435 359909737 /nfs/dbraw/zinc/90/97/37/359909737.db2.gz ZIAHPQAHBYOEPO-MRVPVSSYSA-N -1 1 313.283 1.714 20 0 DDADMM CO[C@@H](C)CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000189116950 200350945 /nfs/dbraw/zinc/35/09/45/200350945.db2.gz WZACXJLMAMIPKF-VIFPVBQESA-N -1 1 306.366 1.135 20 0 DDADMM NC(=O)NCc1ccc(C(=O)Nc2c([O-])cccc2F)cc1 ZINC000608366005 360166945 /nfs/dbraw/zinc/16/69/45/360166945.db2.gz YUGUZNLQJVHJMJ-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM CC(C)CS(=O)(=O)CCC(=O)Nc1c([O-])cccc1F ZINC000608367334 360166978 /nfs/dbraw/zinc/16/69/78/360166978.db2.gz WCEDHDAIKALKPY-UHFFFAOYSA-N -1 1 303.355 1.931 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)Cn1nc(C)cc1C ZINC000610231289 360392858 /nfs/dbraw/zinc/39/28/58/360392858.db2.gz KJWCIKKHWPFZFG-UHFFFAOYSA-N -1 1 305.338 1.347 20 0 DDADMM COC(=O)[C@@H](C)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000610898434 360566664 /nfs/dbraw/zinc/56/66/64/360566664.db2.gz VNWWKAYCTACPRR-ZETCQYMHSA-N -1 1 323.317 1.061 20 0 DDADMM O=C(N[C@@H]1CC(=O)N(C2CC2)C1)c1ncc2ccccc2c1[O-] ZINC000611939446 360862582 /nfs/dbraw/zinc/86/25/82/360862582.db2.gz XMPUQHBDBPKPIH-LLVKDONJSA-N -1 1 311.341 1.434 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2[nH][nH]c(=O)c2c1)c1nn[n-]n1 ZINC000612057874 360903929 /nfs/dbraw/zinc/90/39/29/360903929.db2.gz UEAKKOKEMZPBNB-JTQLQIEISA-N -1 1 301.310 1.053 20 0 DDADMM CN(C[C@H](O)C(F)(F)F)C(=O)c1ncc2ccccc2c1[O-] ZINC000613249454 361348794 /nfs/dbraw/zinc/34/87/94/361348794.db2.gz MXWQBDPNOJVYRQ-JTQLQIEISA-N -1 1 314.263 1.936 20 0 DDADMM Cc1noc(-c2ccccc2)c1[N-]C(=O)c1nc2n(n1)CCCN2 ZINC000613473330 361433522 /nfs/dbraw/zinc/43/35/22/361433522.db2.gz YXODEZUBNJNCFM-UHFFFAOYSA-N -1 1 324.344 1.567 20 0 DDADMM COCCCN(CCO)C(=O)c1ncc2ccccc2c1[O-] ZINC000613790018 361568872 /nfs/dbraw/zinc/56/88/72/361568872.db2.gz ZPFFKAIQISBPBJ-UHFFFAOYSA-N -1 1 304.346 1.411 20 0 DDADMM Cn1cc(C[C@@H]2CCC[C@@H]2NC(=O)c2ncccc2[O-])cn1 ZINC000618932104 363809738 /nfs/dbraw/zinc/80/97/38/363809738.db2.gz OIJLAZOEXZNNTI-STQMWFEESA-N -1 1 300.362 1.662 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)Cc1csc(C)n1 ZINC000619167246 363922288 /nfs/dbraw/zinc/92/22/88/363922288.db2.gz YRAPSBAJHJQVEX-NSHDSACASA-N -1 1 320.436 1.459 20 0 DDADMM Cc1cnc(C(=O)NCC(C)(C)[C@@]2(O)CCCOC2)c([O-])c1 ZINC000620118471 364297771 /nfs/dbraw/zinc/29/77/71/364297771.db2.gz RWMAESUOWIHCQQ-MRXNPFEDSA-N -1 1 308.378 1.393 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H](C(F)F)C2CCCCC2)c1 ZINC000621323740 364802362 /nfs/dbraw/zinc/80/23/62/364802362.db2.gz LUPRAXKKTUWXKE-LLVKDONJSA-N -1 1 307.366 1.912 20 0 DDADMM C[C@H](CNC(=O)OC(C)(C)C)N(C)C(=O)c1ncccc1[O-] ZINC000275392457 212305447 /nfs/dbraw/zinc/30/54/47/212305447.db2.gz XQAAJNOHQREJNZ-SNVBAGLBSA-N -1 1 309.366 1.772 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2nc(C)[nH]c2C)c1Br ZINC000621895815 365092729 /nfs/dbraw/zinc/09/27/29/365092729.db2.gz DTFGIRDULILZFQ-UHFFFAOYSA-N -1 1 312.171 1.749 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1CCC(C2CC2)CC1 ZINC000621991458 365174717 /nfs/dbraw/zinc/17/47/17/365174717.db2.gz TXDQGUCDXAJIQO-UHFFFAOYSA-N -1 1 304.394 1.655 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)N3C[C@@H](C)[C@](C)(CO)C3)c[nH]c12 ZINC000622181271 365317072 /nfs/dbraw/zinc/31/70/72/365317072.db2.gz NYKPHMPHXWHQCP-XIKOKIGWSA-N -1 1 314.385 1.927 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)N3C[C@H](C)[C@@](C)(CO)C3)c[nH]c12 ZINC000622181268 365317553 /nfs/dbraw/zinc/31/75/53/365317553.db2.gz NYKPHMPHXWHQCP-KPZWWZAWSA-N -1 1 314.385 1.927 20 0 DDADMM CN(C(=O)c1cc(F)c(F)c([O-])c1F)[C@H]1CN2CCC1CC2 ZINC000349145262 283861773 /nfs/dbraw/zinc/86/17/73/283861773.db2.gz DSUTZMGMBNEFBY-NSHDSACASA-N -1 1 314.307 1.976 20 0 DDADMM O=C(CC[C@H]1CCCO1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622609518 365450553 /nfs/dbraw/zinc/45/05/53/365450553.db2.gz BFWVFMGAWXLMKY-OCCSQVGLSA-N -1 1 301.350 1.365 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCN(C)CC(F)F)sc1C ZINC000625675172 367083722 /nfs/dbraw/zinc/08/37/22/367083722.db2.gz ZTWSEHWUKQCPRR-UHFFFAOYSA-N -1 1 313.395 1.235 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C(C)(C)C(F)F ZINC000349832488 284070458 /nfs/dbraw/zinc/07/04/58/284070458.db2.gz WETHMBSPVDKLNL-UHFFFAOYSA-N -1 1 312.320 1.959 20 0 DDADMM CCC[C@@H](NC(=O)c1ncn(-c2ccccc2)n1)c1nn[n-]n1 ZINC000092376585 193187952 /nfs/dbraw/zinc/18/79/52/193187952.db2.gz XMHLBTZKBLZVBP-LLVKDONJSA-N -1 1 312.337 1.052 20 0 DDADMM COCC(C)(C)N1CCN(Cc2cc(C)c(C(=O)[O-])o2)CC1 ZINC000566362439 304148679 /nfs/dbraw/zinc/14/86/79/304148679.db2.gz ULXACNMJEBUCRS-UHFFFAOYSA-N -1 1 310.394 1.829 20 0 DDADMM Cc1ccc(-c2cc(C(=O)N3CCOC[C@H]3C(=O)[O-])n[nH]2)cc1 ZINC000262473914 203303506 /nfs/dbraw/zinc/30/35/06/203303506.db2.gz JUFPQATVKXBKSR-AWEZNQCLSA-N -1 1 315.329 1.311 20 0 DDADMM CCN(Cc1cccc(F)c1)C(=O)CN1CCC(C(=O)[O-])CC1 ZINC000262671165 203376616 /nfs/dbraw/zinc/37/66/16/203376616.db2.gz RPSYYAUODBRSHR-UHFFFAOYSA-N -1 1 322.380 1.971 20 0 DDADMM O=C(c1conc1C1CC1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000350833172 284268352 /nfs/dbraw/zinc/26/83/52/284268352.db2.gz OKJFPTRZHKEGJB-SECBINFHSA-N -1 1 304.306 1.660 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)Cn2nc(C)cc2C)c1 ZINC000282515423 217054886 /nfs/dbraw/zinc/05/48/86/217054886.db2.gz ASIMWQIPVYRENV-UHFFFAOYSA-N -1 1 303.318 1.631 20 0 DDADMM CC(=O)NCCN(Cc1ccsc1)C(=O)c1cncc([O-])c1 ZINC000282718020 217199340 /nfs/dbraw/zinc/19/93/40/217199340.db2.gz BYCRPGBPTPVTIV-UHFFFAOYSA-N -1 1 319.386 1.627 20 0 DDADMM O=C(CCc1ccsc1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282890497 217305380 /nfs/dbraw/zinc/30/53/80/217305380.db2.gz KNEGTJOJYIUTBO-NSHDSACASA-N -1 1 306.391 1.498 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCCCCNC(C)=O)c1 ZINC000282939499 217339293 /nfs/dbraw/zinc/33/92/93/217339293.db2.gz ZRXNLOHTITWYIT-UHFFFAOYSA-N -1 1 322.361 1.814 20 0 DDADMM COCCn1cc(C(=O)Nc2ccc(F)cc2[O-])c(=O)cc1C ZINC000288493324 220178330 /nfs/dbraw/zinc/17/83/30/220178330.db2.gz SIEMPDFJSKXTSH-UHFFFAOYSA-N -1 1 320.320 1.900 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1C[C@H]1c1ccc(F)cc1F ZINC000636282450 422776968 /nfs/dbraw/zinc/77/69/68/422776968.db2.gz LAADCCPNIJLVCJ-CMPLNLGQSA-N -1 1 307.304 1.473 20 0 DDADMM CCOc1ccc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000019775024 182146916 /nfs/dbraw/zinc/14/69/16/182146916.db2.gz XLTZTOZISYSHTL-GFCCVEGCSA-N -1 1 306.362 1.820 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)c2cc(F)ccc2[O-])C1 ZINC000267537047 206132727 /nfs/dbraw/zinc/13/27/27/206132727.db2.gz YYRDEJBRWVLQOY-PWSUYJOCSA-N -1 1 308.353 1.908 20 0 DDADMM CC[C@@H](C)C[C@@H](C)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000333982502 249170317 /nfs/dbraw/zinc/17/03/17/249170317.db2.gz MRIXMRKKGWRKND-NXEZZACHSA-N -1 1 302.396 1.742 20 0 DDADMM O=C(Cn1ncc2ccccc21)Nc1n[n-]c(C(F)(F)F)n1 ZINC000337229992 249399259 /nfs/dbraw/zinc/39/92/59/249399259.db2.gz VMWWMYPSQDQMLS-UHFFFAOYSA-N -1 1 310.239 1.812 20 0 DDADMM O=C([O-])C(=O)N1CCC[C@@H](c2n[nH]c(C3CCCCC3)n2)C1 ZINC000274067983 211325624 /nfs/dbraw/zinc/32/56/24/211325624.db2.gz BKYXQYLMASAKSD-LLVKDONJSA-N -1 1 306.366 1.643 20 0 DDADMM Cc1cc(C2(NC(=O)c3ncc(C)cc3[O-])CCOCC2)on1 ZINC000629725694 422794881 /nfs/dbraw/zinc/79/48/81/422794881.db2.gz KAVCVCBYCVQBED-UHFFFAOYSA-N -1 1 317.345 1.828 20 0 DDADMM C[C@@H]1CCNC(=O)[C@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284791512 218179229 /nfs/dbraw/zinc/17/92/29/218179229.db2.gz XXEADMIWBFQQJO-HQJQHLMTSA-N -1 1 320.773 1.282 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@@H]1c1cccc(F)c1 ZINC000352060784 284747593 /nfs/dbraw/zinc/74/75/93/284747593.db2.gz VIWFMWGSGDQNCS-NXEZZACHSA-N -1 1 319.292 1.321 20 0 DDADMM CC[C@@H](C(=O)OC)C([O-])=Nc1nc(-c2cccnc2)ns1 ZINC000338821091 250151260 /nfs/dbraw/zinc/15/12/60/250151260.db2.gz HQKBNRIHIZWWBO-SECBINFHSA-N -1 1 306.347 1.160 20 0 DDADMM C[C@@H]1CCC[C@@]1(O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000339098206 250291921 /nfs/dbraw/zinc/29/19/21/250291921.db2.gz OWBWCTBUJLJJIZ-AMIZOPFISA-N -1 1 323.336 1.933 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)OC[C@@H]2CCCO2)n1 ZINC000339177479 250330180 /nfs/dbraw/zinc/33/01/80/250330180.db2.gz CNJMCZKXJMUTQK-UWVGGRQHSA-N -1 1 311.338 1.109 20 0 DDADMM CC(C)CCC(=O)NCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339187596 250334245 /nfs/dbraw/zinc/33/42/45/250334245.db2.gz FNPOSDZYSRRARH-UHFFFAOYSA-N -1 1 324.381 1.034 20 0 DDADMM Cc1nn(C)c(C)c1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000339206813 250341285 /nfs/dbraw/zinc/34/12/85/250341285.db2.gz ZKXRJTPDOWGZSY-JTQLQIEISA-N -1 1 305.338 1.145 20 0 DDADMM Cc1sc(=NCc2ncc(C(F)(F)F)cn2)[nH]c1C(=O)[O-] ZINC000579598277 422799463 /nfs/dbraw/zinc/79/94/63/422799463.db2.gz XTPVBULWSPQZSB-UHFFFAOYSA-N -1 1 318.280 1.993 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)c1nn[n-]n1)[C@H]1OCCc2sccc21 ZINC000340739836 251186039 /nfs/dbraw/zinc/18/60/39/251186039.db2.gz MRFNZIGHGUPDKQ-LDYMZIIASA-N -1 1 321.406 1.563 20 0 DDADMM CN(C)C[C@@H]1CCCN1S(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000061643345 184180388 /nfs/dbraw/zinc/18/03/88/184180388.db2.gz SKSXSPZROMMJPE-LBPRGKRZSA-N -1 1 312.391 1.100 20 0 DDADMM Cc1nc(S(=O)(=O)Nc2ccc(C(=O)[O-])cc2F)cn1C ZINC000063009517 184265931 /nfs/dbraw/zinc/26/59/31/184265931.db2.gz GTZBGHPJFGZQPI-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM CC(C)[C@@H]1CCCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000352352531 284986973 /nfs/dbraw/zinc/98/69/73/284986973.db2.gz JWGIJIWLGAJXSG-QWHCGFSZSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1cccc(Cl)c1 ZINC000352421219 285039281 /nfs/dbraw/zinc/03/92/81/285039281.db2.gz BQUADGXJUCHNDZ-QMMMGPOBSA-N -1 1 317.736 1.562 20 0 DDADMM C[C@@H](NC(=O)C=Cc1ccccc1)C(=O)Nc1ccncc1[O-] ZINC000492072800 533016815 /nfs/dbraw/zinc/01/68/15/533016815.db2.gz TWOGVINEVOFVJE-ABZNLYFFSA-N -1 1 311.341 1.366 20 0 DDADMM CS[C@H](CO)[C@@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000119920535 195063056 /nfs/dbraw/zinc/06/30/56/195063056.db2.gz UKPXFSIYRNUIAR-GMSGAONNSA-N -1 1 311.375 1.356 20 0 DDADMM COCCCCC[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000269996836 208050970 /nfs/dbraw/zinc/05/09/70/208050970.db2.gz HYTBKTOOQADQIM-UHFFFAOYSA-N -1 1 305.352 1.161 20 0 DDADMM O=C(N=c1cc(-c2cccs2)[n-][nH]1)[C@H]1CCc2cncn2C1 ZINC000567976182 304257427 /nfs/dbraw/zinc/25/74/27/304257427.db2.gz IVBJTLUWRIMHFV-JTQLQIEISA-N -1 1 313.386 1.958 20 0 DDADMM O=C([O-])c1coc(NCCc2nc(C(F)(F)F)cs2)n1 ZINC000567979656 304258172 /nfs/dbraw/zinc/25/81/72/304258172.db2.gz WFGMJBOFWZBLBC-UHFFFAOYSA-N -1 1 307.253 1.925 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)C[C@H](C)C2)co1 ZINC000157517215 197193324 /nfs/dbraw/zinc/19/33/24/197193324.db2.gz UMICDUPMUOUHNB-AOOOYVTPSA-N -1 1 300.380 1.306 20 0 DDADMM CC[C@H]1CCCCN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000157256615 197174748 /nfs/dbraw/zinc/17/47/48/197174748.db2.gz ICHNHYASWQVLJN-NSHDSACASA-N -1 1 300.380 1.592 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(F)cc2cccnc21)c1nn[n-]n1 ZINC000156540768 197121451 /nfs/dbraw/zinc/12/14/51/197121451.db2.gz WOFUJSDFVNQNCV-SECBINFHSA-N -1 1 314.324 1.763 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCSC(C)(C)C2)co1 ZINC000155539861 197064686 /nfs/dbraw/zinc/06/46/86/197064686.db2.gz YKLSKJQEGINDGC-UHFFFAOYSA-N -1 1 318.420 1.155 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCCC(F)(F)C1 ZINC000289704408 221167089 /nfs/dbraw/zinc/16/70/89/221167089.db2.gz DTMURVUHLPECDC-QMMMGPOBSA-N -1 1 309.338 1.669 20 0 DDADMM CO[C@@H](C)c1nsc(N=C([O-])[C@H](C)C(=O)OC(C)(C)C)n1 ZINC000414266972 224317102 /nfs/dbraw/zinc/31/71/02/224317102.db2.gz SLZKTZYCXSCTCQ-YUMQZZPRSA-N -1 1 315.395 1.584 20 0 DDADMM CN(CC(=O)NCc1cccs1)C(=O)c1ncccc1[O-] ZINC000352825241 285323128 /nfs/dbraw/zinc/32/31/28/285323128.db2.gz HQVKQLBSBVTRIA-UHFFFAOYSA-N -1 1 305.359 1.237 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1sccc1Cl)C1CC1 ZINC000451276311 533349574 /nfs/dbraw/zinc/34/95/74/533349574.db2.gz SOFNHCIOHHKEQM-MRVPVSSYSA-N -1 1 309.796 1.631 20 0 DDADMM CC[C@@H](C)N[C@@H](CNC(=O)N=c1[n-]ncs1)c1ccco1 ZINC000353350650 285676695 /nfs/dbraw/zinc/67/66/95/285676695.db2.gz IHXOZPQQSWVJEX-ZJUUUORDSA-N -1 1 309.395 1.804 20 0 DDADMM COc1cc(CCNC(=O)CCc2nn[n-]n2)ccc1Cl ZINC000633232965 422817819 /nfs/dbraw/zinc/81/78/19/422817819.db2.gz RHTUVEQUPFMTGK-UHFFFAOYSA-N -1 1 309.757 1.153 20 0 DDADMM O=S1(=O)CC[C@@H]2CN(Cc3cccc([O-])c3Cl)C[C@H]21 ZINC000353474519 285756646 /nfs/dbraw/zinc/75/66/46/285756646.db2.gz UWUJOSDGKOPVHT-BXKDBHETSA-N -1 1 301.795 1.665 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCCOCC1CC1 ZINC000353742333 285902429 /nfs/dbraw/zinc/90/24/29/285902429.db2.gz WJMRNTVZDOAHTE-UHFFFAOYSA-N -1 1 311.407 1.759 20 0 DDADMM O=C([O-])c1cncnc1NCC1(N2CCOCC2)CCCCC1 ZINC000570098513 304396377 /nfs/dbraw/zinc/39/63/77/304396377.db2.gz SKFCFZDMSQXFMH-UHFFFAOYSA-N -1 1 320.393 1.044 20 0 DDADMM C[C@H]1CCCC[C@H]1NC(=O)CS(=O)(=O)c1ccc([O-])cc1 ZINC000069163428 406685747 /nfs/dbraw/zinc/68/57/47/406685747.db2.gz CDXWGPOYVCWLKZ-SMDDNHRTSA-N -1 1 311.403 1.861 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC[C@@H]1C ZINC000071207877 406834200 /nfs/dbraw/zinc/83/42/00/406834200.db2.gz IWYQCQVQABAAJU-WPRPVWTQSA-N -1 1 301.368 1.053 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccc(F)cc2)ccc1F ZINC000032087219 406945107 /nfs/dbraw/zinc/94/51/07/406945107.db2.gz XEVFECZOHNZJAL-UHFFFAOYSA-N -1 1 312.297 1.865 20 0 DDADMM O=C(NCCNC(=O)c1cc(F)ccc1[O-])c1cccnc1 ZINC000080002845 407068515 /nfs/dbraw/zinc/06/85/15/407068515.db2.gz YGZXELYZJGBOEO-UHFFFAOYSA-N -1 1 303.293 1.086 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2ccc(CNC(N)=O)cc2)c1 ZINC000067124153 407267241 /nfs/dbraw/zinc/26/72/41/407267241.db2.gz IZQAMYYCGQGERT-UHFFFAOYSA-N -1 1 319.386 1.964 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](NS(=O)(=O)c2c(F)cccc2F)C1 ZINC000097061612 407277962 /nfs/dbraw/zinc/27/79/62/407277962.db2.gz QZRQPSYDGHSWCS-SFYZADRCSA-N -1 1 305.302 1.496 20 0 DDADMM CN(Cc1cn(C)nc1C(F)(F)F)C(=O)c1ncccc1[O-] ZINC000124224826 407351762 /nfs/dbraw/zinc/35/17/62/407351762.db2.gz WLRJQHOCIHPTSR-UHFFFAOYSA-N -1 1 314.267 1.812 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)N[C@H]1CC[N@H+](C2CCCC2)C1 ZINC000106891505 407365086 /nfs/dbraw/zinc/36/50/86/407365086.db2.gz ZSOFPTUAGGMUHW-LBPRGKRZSA-N -1 1 313.423 1.587 20 0 DDADMM CC(C)C(=O)NC[C@@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000111594481 407411996 /nfs/dbraw/zinc/41/19/96/407411996.db2.gz AZSOFWKJFMORJG-LBPRGKRZSA-N -1 1 305.378 1.412 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1ccncc1C ZINC000112496458 407430984 /nfs/dbraw/zinc/43/09/84/407430984.db2.gz PLNLTBCFYWFLHJ-UHFFFAOYSA-N -1 1 300.771 1.886 20 0 DDADMM CC(C)(C)C(=O)NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000112727163 407437427 /nfs/dbraw/zinc/43/74/27/407437427.db2.gz BQUFQMMMWCSBQL-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C(=O)OC ZINC000186128593 407582600 /nfs/dbraw/zinc/58/26/00/407582600.db2.gz OYRYVGNBGMSPKW-QMMMGPOBSA-N -1 1 323.802 1.084 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)c1nc[nH]n1 ZINC000128920564 407585143 /nfs/dbraw/zinc/58/51/43/407585143.db2.gz MRBYYVZNEBEXMY-YFKPBYRVSA-N -1 1 306.269 1.262 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129290018 407602665 /nfs/dbraw/zinc/60/26/65/407602665.db2.gz MDVGCJUNQQKGLQ-VXGBXAGGSA-N -1 1 301.350 1.373 20 0 DDADMM O=C(Nc1cnn(Cc2ccccn2)c1)c1ccc(O)cc1[O-] ZINC000171235693 407636214 /nfs/dbraw/zinc/63/62/14/407636214.db2.gz MWVFEMIOCKVALJ-UHFFFAOYSA-N -1 1 310.313 1.990 20 0 DDADMM O=C([O-])[C@@H]1COCCN1C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000262073734 407732119 /nfs/dbraw/zinc/73/21/19/407732119.db2.gz DGALWCOLJAYKTR-JTQLQIEISA-N -1 1 307.331 1.064 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](C)C[C@@H]2C)co1 ZINC000152998586 407732164 /nfs/dbraw/zinc/73/21/64/407732164.db2.gz ZKZBMPDGORTJLF-ZJUUUORDSA-N -1 1 300.380 1.448 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)Nc1cccc(NC(C)=O)c1)C(=O)[O-] ZINC000262265865 407777895 /nfs/dbraw/zinc/77/78/95/407777895.db2.gz HGJPPZMJZFVIQB-HZMBPMFUSA-N -1 1 321.377 1.815 20 0 DDADMM CN(CCC(=O)[O-])Cc1cc2c(cc1OC(F)F)OCO2 ZINC000262266726 407779071 /nfs/dbraw/zinc/77/90/71/407779071.db2.gz FXLCCLKDKVWDHA-UHFFFAOYSA-N -1 1 303.261 1.923 20 0 DDADMM Cn1[n-]cc2c(=O)c(C(=O)Nc3ccc4nccnc4c3)cnc1-2 ZINC000179437584 407789940 /nfs/dbraw/zinc/78/99/40/407789940.db2.gz PSIOXYRALIHCGO-UHFFFAOYSA-N -1 1 320.312 1.869 20 0 DDADMM Cc1cccc(CC(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1 ZINC000179540871 407803062 /nfs/dbraw/zinc/80/30/62/407803062.db2.gz DVPFFLRNTDXQET-UHFFFAOYSA-N -1 1 320.370 1.529 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)on1 ZINC000173066661 407861028 /nfs/dbraw/zinc/86/10/28/407861028.db2.gz KEGJDXOTJLSDGM-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC[C@H]2CCCCO2)c1 ZINC000272428213 407915014 /nfs/dbraw/zinc/91/50/14/407915014.db2.gz OPFAOWOSJVQVHP-LLVKDONJSA-N -1 1 317.363 1.304 20 0 DDADMM CCOC(=O)c1cc(F)cc(S(=O)(=O)[N-]CC(F)F)c1 ZINC000180809888 407921580 /nfs/dbraw/zinc/92/15/80/407921580.db2.gz ZCNVFVRKRGZWPI-UHFFFAOYSA-N -1 1 311.281 1.546 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](CO)CC1)c1cc(F)ccc1F ZINC000245611717 408028209 /nfs/dbraw/zinc/02/82/09/408028209.db2.gz LKPLVIRRKYSURK-HOMQSWHASA-N -1 1 305.346 1.794 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)Nc1cccc(C(C)=O)c1)C(=O)[O-] ZINC000263135995 408034377 /nfs/dbraw/zinc/03/43/77/408034377.db2.gz NRGJVPFDJQWVNR-BONVTDFDSA-N -1 1 306.362 1.917 20 0 DDADMM O=C(Nc1ccc(Cc2nn[nH]n2)cc1)c1ccc([O-])cc1F ZINC000182038121 408068314 /nfs/dbraw/zinc/06/83/14/408068314.db2.gz KZOFUDCGRHGRJQ-UHFFFAOYSA-N -1 1 313.292 1.888 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1ccc(Cl)cn1)c2=O ZINC000119482659 408081397 /nfs/dbraw/zinc/08/13/97/408081397.db2.gz LRZQHYHJOKKYEZ-UHFFFAOYSA-N -1 1 317.736 1.652 20 0 DDADMM CNC(=O)[C@@H]1CCCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000182196126 408089419 /nfs/dbraw/zinc/08/94/19/408089419.db2.gz TTWUDEYLQXDEPQ-AWEZNQCLSA-N -1 1 316.357 1.599 20 0 DDADMM CCC[C@H](NC(=O)c1cc2c(s1)CCOC2)c1nn[n-]n1 ZINC000136644176 408115656 /nfs/dbraw/zinc/11/56/56/408115656.db2.gz UCIGJQNZZGNJOW-VIFPVBQESA-N -1 1 307.379 1.605 20 0 DDADMM CN(C)c1ccncc1C(=O)N1C[C@@H]2CCC[C@@]2(C(=O)[O-])C1 ZINC000263412374 408132530 /nfs/dbraw/zinc/13/25/30/408132530.db2.gz JDQNIOFXHIEUGE-MEDUHNTESA-N -1 1 303.362 1.475 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2[C@H]3Cc4ccccc4[C@@H]23)c1Cl ZINC000246106318 408145063 /nfs/dbraw/zinc/14/50/63/408145063.db2.gz WZDWRGYVJGIYND-QJPTWQEYSA-N -1 1 323.805 1.690 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3CCCCC3)nc2n1 ZINC000137515074 408155697 /nfs/dbraw/zinc/15/56/97/408155697.db2.gz WNUCPALDKSUKMO-UHFFFAOYSA-N -1 1 303.366 1.889 20 0 DDADMM C[C@@H]1CCSCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000190110623 408168432 /nfs/dbraw/zinc/16/84/32/408168432.db2.gz JXMRRYUNQHKLDL-SECBINFHSA-N -1 1 306.391 1.642 20 0 DDADMM O=C(Cc1c(F)cccc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155523679 408173674 /nfs/dbraw/zinc/17/36/74/408173674.db2.gz RGFXIWUSIACIFE-VIFPVBQESA-N -1 1 307.304 1.427 20 0 DDADMM CCC1(CC)CCCN(CCS(=O)(=O)CC(=O)[O-])CC1 ZINC000263572704 408178225 /nfs/dbraw/zinc/17/82/25/408178225.db2.gz LHXSDVHQSJDFOH-UHFFFAOYSA-N -1 1 305.440 1.778 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCC[C@H]1O)c1cc(F)c(F)cc1F ZINC000121328971 408185852 /nfs/dbraw/zinc/18/58/52/408185852.db2.gz CBXANKIDLDFSNN-QPUJVOFHSA-N -1 1 323.336 1.933 20 0 DDADMM CCOCCC1(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCCC1 ZINC000155611298 408187608 /nfs/dbraw/zinc/18/76/08/408187608.db2.gz NVIAFFJVLCXTQL-ZDUSSCGKSA-N -1 1 321.425 1.893 20 0 DDADMM Cc1nc(CCCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cs1 ZINC000273599467 408260429 /nfs/dbraw/zinc/26/04/29/408260429.db2.gz XRYCJXGITNCYPZ-VIFPVBQESA-N -1 1 308.411 1.549 20 0 DDADMM CSc1n[nH]c(NC(=O)[C@H](C)n2nnnc2C(C)(C)C)n1 ZINC000273522089 408232719 /nfs/dbraw/zinc/23/27/19/408232719.db2.gz SSBPJOGFVJUTMY-LURJTMIESA-N -1 1 310.387 1.010 20 0 DDADMM CN1CC[C@H](CNC(=O)C(=O)[O-])[C@H]1c1ccc(Cl)c(F)c1 ZINC000274178461 408317120 /nfs/dbraw/zinc/31/71/20/408317120.db2.gz OHGOSAXVNLBYHG-BXKDBHETSA-N -1 1 314.744 1.673 20 0 DDADMM CC(C)Cc1ccc(CCCC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000151163842 408268400 /nfs/dbraw/zinc/26/84/00/408268400.db2.gz DBQRHOFYZKSZHP-UHFFFAOYSA-N -1 1 317.389 1.791 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1nc3ccccc3[nH]1)c2=O ZINC000132701199 162042323 /nfs/dbraw/zinc/04/23/23/162042323.db2.gz UMUTZDPDAXAELQ-UHFFFAOYSA-N -1 1 322.328 1.480 20 0 DDADMM CO[C@]1(C)C[C@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C1(C)C ZINC000132803221 162046074 /nfs/dbraw/zinc/04/60/74/162046074.db2.gz NAHQEECYYKOBIV-MEDUHNTESA-N -1 1 318.377 1.607 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cnn(C)c1)c1nc(C(C)(C)C)no1 ZINC000174041835 162389875 /nfs/dbraw/zinc/38/98/75/162389875.db2.gz JDDDIBYFYVCGOA-MRVPVSSYSA-N -1 1 313.383 1.140 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(Br)cc1)c1nn[n-]n1 ZINC000183397766 408397214 /nfs/dbraw/zinc/39/72/14/408397214.db2.gz JBBALQKVMYEHRV-QMMMGPOBSA-N -1 1 324.182 1.838 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)Oc1cccc(F)c1)c1nn[n-]n1 ZINC000183450440 408415573 /nfs/dbraw/zinc/41/55/73/408415573.db2.gz JKBYMBIKQXGSEC-ZJUUUORDSA-N -1 1 307.329 1.368 20 0 DDADMM CO[C@H](C)[C@H](C)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000191474647 408431663 /nfs/dbraw/zinc/43/16/63/408431663.db2.gz GQOBLSCBHYWZFQ-JOYOIKCWSA-N -1 1 320.393 1.524 20 0 DDADMM CCC[C@@H](NC(=O)CSc1cccc(OC)c1)c1nn[n-]n1 ZINC000176774493 408441527 /nfs/dbraw/zinc/44/15/27/408441527.db2.gz IPNOUHSKUWZORY-GFCCVEGCSA-N -1 1 321.406 1.958 20 0 DDADMM CCNc1ncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)s1 ZINC000177022762 408501039 /nfs/dbraw/zinc/50/10/39/408501039.db2.gz RIUPVACNSZTRBM-UHFFFAOYSA-N -1 1 306.273 1.964 20 0 DDADMM O=C(NC1(c2ncon2)CCOCC1)c1c([O-])cccc1F ZINC000274840288 408551977 /nfs/dbraw/zinc/55/19/77/408551977.db2.gz PPXNLSMJQHYVQN-UHFFFAOYSA-N -1 1 307.281 1.350 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)c2ccccn2)o1 ZINC000177327057 408580151 /nfs/dbraw/zinc/58/01/51/408580151.db2.gz RDEJPEZAVBNOEN-JTQLQIEISA-N -1 1 324.358 1.891 20 0 DDADMM COC(=O)[C@H](C)Sc1ccccc1C(=O)Nc1nnn[n-]1 ZINC000265459990 408658943 /nfs/dbraw/zinc/65/89/43/408658943.db2.gz MSLNWWRSCBSPSV-ZETCQYMHSA-N -1 1 307.335 1.106 20 0 DDADMM COC(=O)[C@H](C)Sc1ccccc1C(=O)Nc1nn[n-]n1 ZINC000265459990 408658948 /nfs/dbraw/zinc/65/89/48/408658948.db2.gz MSLNWWRSCBSPSV-ZETCQYMHSA-N -1 1 307.335 1.106 20 0 DDADMM C[C@H](CNS(=O)(=O)c1ccc(C(=O)[O-])cc1)N(C)C1CC1 ZINC000184993197 408731012 /nfs/dbraw/zinc/73/10/12/408731012.db2.gz XZIKDFMEUDYJPF-SNVBAGLBSA-N -1 1 312.391 1.146 20 0 DDADMM CC[N@H+]1CCC[C@H]1C[N-]S(=O)(=O)c1c(F)cc(F)cc1F ZINC000193804742 408744888 /nfs/dbraw/zinc/74/48/88/408744888.db2.gz OPAGBZFXVTYWDE-JTQLQIEISA-N -1 1 322.352 1.867 20 0 DDADMM O=C(NCc1ccc(Cn2cncn2)cc1)c1ncccc1[O-] ZINC000195146640 408813469 /nfs/dbraw/zinc/81/34/69/408813469.db2.gz UZQOEYHTGCOKAY-UHFFFAOYSA-N -1 1 309.329 1.357 20 0 DDADMM CC(=O)Nc1cccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1C ZINC000276034790 408756441 /nfs/dbraw/zinc/75/64/41/408756441.db2.gz UWVMWGKJJVHASZ-VIFPVBQESA-N -1 1 316.365 1.342 20 0 DDADMM Cc1c(F)cc(C(=O)[O-])cc1S(=O)(=O)N[C@@H](C)CN(C)C ZINC000168640403 408818773 /nfs/dbraw/zinc/81/87/73/408818773.db2.gz UAGBQBHTCIALTK-QMMMGPOBSA-N -1 1 318.370 1.061 20 0 DDADMM CC(C)(C)NC(=O)NC(=O)CSc1nc(C2CC2)cc(=O)[n-]1 ZINC000276290208 408829521 /nfs/dbraw/zinc/82/95/21/408829521.db2.gz JVYYAPYJDMAPEW-UHFFFAOYSA-N -1 1 324.406 1.776 20 0 DDADMM C[C@@H](CN1CCOCC1)NC(=O)c1c(F)ccc([O-])c1F ZINC000280858809 408847438 /nfs/dbraw/zinc/84/74/38/408847438.db2.gz WWQDAEZETVNJNM-VIFPVBQESA-N -1 1 300.305 1.121 20 0 DDADMM COCCOC[C@@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000280892045 408855117 /nfs/dbraw/zinc/85/51/17/408855117.db2.gz ZNYAJGHWDWBJBT-SNVBAGLBSA-N -1 1 315.316 1.796 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)C(C)(C)[N-]C(=O)C(F)(F)F)n[nH]1 ZINC000277270275 408910096 /nfs/dbraw/zinc/91/00/96/408910096.db2.gz HELFXOUXNMBHEO-ZCFIWIBFSA-N -1 1 321.303 1.001 20 0 DDADMM CC(C)(C)[C@H](O)C[C@@H](CO)NC(=O)c1ccc(Cl)cc1[O-] ZINC000286055950 408916075 /nfs/dbraw/zinc/91/60/75/408916075.db2.gz VTDWWBAXYMNSHO-GXFFZTMASA-N -1 1 315.797 1.933 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cc2ccccc2[nH]c1=O ZINC000192659018 163230537 /nfs/dbraw/zinc/23/05/37/163230537.db2.gz KLEPAKHJDXAJGW-UHFFFAOYSA-N -1 1 323.234 1.917 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H](O)C1)c1cc(F)ccc1F ZINC000228596219 163341981 /nfs/dbraw/zinc/34/19/81/163341981.db2.gz PRBQEZNRGFSMCD-GXSJLCMTSA-N -1 1 305.346 1.794 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CC[S@](=O)C1 ZINC000277548057 408962471 /nfs/dbraw/zinc/96/24/71/408962471.db2.gz MLSKNIKIUULIOL-UGZDLDLSSA-N -1 1 301.795 1.886 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@]3(C)CCCC[C@H]3O)ccnc1-2 ZINC000287634881 409067865 /nfs/dbraw/zinc/06/78/65/409067865.db2.gz OIXBMUBGNOWCAQ-IZQOGISBSA-N -1 1 317.393 1.405 20 0 DDADMM C[C@H](CO[N-]C(=O)C1C=NC(=S)N1)NC(=O)OC(C)(C)C ZINC000282248520 409019164 /nfs/dbraw/zinc/01/91/64/409019164.db2.gz QWDDINSPSVZUAB-SSDOTTSWSA-N -1 1 316.383 1.273 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)CC(C)C)CC2)n1 ZINC000277873464 409027553 /nfs/dbraw/zinc/02/75/53/409027553.db2.gz YRRKTHVLYFBILD-UHFFFAOYSA-N -1 1 308.382 1.734 20 0 DDADMM COc1ccc2c(c1)[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)CC2 ZINC000278129931 409070724 /nfs/dbraw/zinc/07/07/24/409070724.db2.gz OANPYMQMQLHJSG-ZWNOBZJWSA-N -1 1 315.377 1.500 20 0 DDADMM COCCCONC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000287805783 409096396 /nfs/dbraw/zinc/09/63/96/409096396.db2.gz LXTYFTSVPPPZSL-UHFFFAOYSA-N -1 1 322.365 1.069 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000283311641 409134584 /nfs/dbraw/zinc/13/45/84/409134584.db2.gz QBXOJGQIIYYBEJ-JTQLQIEISA-N -1 1 306.391 1.705 20 0 DDADMM CC(C)(C)N1C[C@H](NC(=O)C(=O)c2ccc([O-])cc2)CC1=O ZINC000289215036 409234249 /nfs/dbraw/zinc/23/42/49/409234249.db2.gz NFTLDDRCNLLFGY-LLVKDONJSA-N -1 1 304.346 1.091 20 0 DDADMM Cc1nc2ccc(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)cc2o1 ZINC000280218362 409272476 /nfs/dbraw/zinc/27/24/76/409272476.db2.gz GOOQMXKULGQVMT-MRVPVSSYSA-N -1 1 300.322 1.525 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)c2cscn2)C1)C(F)(F)F ZINC000290417669 409393295 /nfs/dbraw/zinc/39/32/95/409393295.db2.gz RKVSXSBQSRCJOW-MRVPVSSYSA-N -1 1 321.324 1.674 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)C[C@@H](C)OC)c1F ZINC000295558438 409346555 /nfs/dbraw/zinc/34/65/55/409346555.db2.gz XRYLGXASUVXBIF-MRVPVSSYSA-N -1 1 305.327 1.389 20 0 DDADMM C[C@@H]1CC[C@@H](CCC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)O1 ZINC000407996429 164192577 /nfs/dbraw/zinc/19/25/77/164192577.db2.gz LAHJFSNTLOBVDY-UTUOFQBUSA-N -1 1 309.366 1.829 20 0 DDADMM Cn1cc([N-]S(=O)(=O)N2CCCCC2)c(C(F)(F)F)n1 ZINC000408213212 164262411 /nfs/dbraw/zinc/26/24/11/164262411.db2.gz FFJXMIFQJFTIGF-UHFFFAOYSA-N -1 1 312.317 1.582 20 0 DDADMM Cc1nc([C@@H](C)NC(=O)CNC(=O)c2ncccc2[O-])cs1 ZINC000337896045 409545975 /nfs/dbraw/zinc/54/59/75/409545975.db2.gz DETDWMOEBNZJQW-MRVPVSSYSA-N -1 1 320.374 1.159 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc(F)cc1F ZINC000337952297 409596954 /nfs/dbraw/zinc/59/69/54/409596954.db2.gz QDMYEZUBFUAJOL-UHFFFAOYSA-N -1 1 307.256 1.434 20 0 DDADMM CCCC[C@@H](CC)CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000337950749 409597104 /nfs/dbraw/zinc/59/71/04/409597104.db2.gz OJNFOOXWANWYTH-GFCCVEGCSA-N -1 1 307.394 1.850 20 0 DDADMM COCC[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC000338166317 409766381 /nfs/dbraw/zinc/76/63/81/409766381.db2.gz QYJWBOOMIVMOKI-GFCCVEGCSA-N -1 1 323.393 1.084 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccc(C2CC2)cn1 ZINC000342643846 409784870 /nfs/dbraw/zinc/78/48/70/409784870.db2.gz CXEFHWHYALCDFD-UHFFFAOYSA-N -1 1 314.345 1.543 20 0 DDADMM CO[C@@H](C)CN(C(=O)c1nc2ccccc2c(=O)[n-]1)C(C)C ZINC000354525527 409917800 /nfs/dbraw/zinc/91/78/00/409917800.db2.gz XFTAVEVICALMNA-NSHDSACASA-N -1 1 303.362 1.809 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](c3ccnn3C)C2)c([O-])c1 ZINC000338308596 409893290 /nfs/dbraw/zinc/89/32/90/409893290.db2.gz BVJJKCBAKJZNIO-LBPRGKRZSA-N -1 1 300.362 1.849 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)[C@@H]3CCCO3)cnc2n1 ZINC000332115761 409898032 /nfs/dbraw/zinc/89/80/32/409898032.db2.gz KFBZFLVSPSKWBV-GWCFXTLKSA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)[C@@H]1CCCO1)c2=O ZINC000332115761 409898041 /nfs/dbraw/zinc/89/80/41/409898041.db2.gz KFBZFLVSPSKWBV-GWCFXTLKSA-N -1 1 301.346 1.941 20 0 DDADMM CCC[C@@]1(C)CCCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000357348995 409986942 /nfs/dbraw/zinc/98/69/42/409986942.db2.gz AAGLVSKJIOYVFC-HNNXBMFYSA-N -1 1 309.366 1.939 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H](C)c1ccc(F)cc1 ZINC000357216542 409945605 /nfs/dbraw/zinc/94/56/05/409945605.db2.gz MICNHUVBUMLVBL-MRVPVSSYSA-N -1 1 321.308 1.869 20 0 DDADMM O=C(c1ccncc1Cl)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332261438 410022174 /nfs/dbraw/zinc/02/21/74/410022174.db2.gz BECGLLSUKDNXPE-QMMMGPOBSA-N -1 1 308.725 1.843 20 0 DDADMM CCCCO[C@H]1C[C@@H](N(C)C(=O)CCc2nn[n-]n2)C1(C)C ZINC000631608797 422851613 /nfs/dbraw/zinc/85/16/13/422851613.db2.gz HUMWLSNXSYOUTM-NEPJUHHUSA-N -1 1 309.414 1.575 20 0 DDADMM COc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc(C)n1 ZINC000332271473 410030402 /nfs/dbraw/zinc/03/04/02/410030402.db2.gz GQEKLDXUUBIPEC-SNVBAGLBSA-N -1 1 318.333 1.507 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N=c2ccc(OC(C)C)n[n-]2)n[nH]1 ZINC000346627487 410044120 /nfs/dbraw/zinc/04/41/20/410044120.db2.gz UJJNMRWVFLKTJF-JTQLQIEISA-N -1 1 318.381 1.470 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCCC[C@H]1O)c1cccc(F)c1F ZINC000332331404 410071238 /nfs/dbraw/zinc/07/12/38/410071238.db2.gz NZWJZHIVQDLNKR-GHMZBOCLSA-N -1 1 305.346 1.937 20 0 DDADMM COCCCOc1cccc(C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000354790993 410089646 /nfs/dbraw/zinc/08/96/46/410089646.db2.gz PGIGJRQIQRMMJY-UHFFFAOYSA-N -1 1 319.365 1.280 20 0 DDADMM C/C=C\C[C@@H](CO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000351594537 410090276 /nfs/dbraw/zinc/09/02/76/410090276.db2.gz DEEMROLQPGMTQI-KZUAUGPASA-N -1 1 309.309 1.709 20 0 DDADMM CC(C)(NC(=O)Cc1csc(-c2ccoc2)n1)c1nn[n-]n1 ZINC000354808157 410101200 /nfs/dbraw/zinc/10/12/00/410101200.db2.gz WABCAPZEXVQHAK-UHFFFAOYSA-N -1 1 318.362 1.510 20 0 DDADMM CC(C)(NC(=O)c1cc(-c2ccc(F)cc2)n[nH]1)c1nn[n-]n1 ZINC000354808135 410103175 /nfs/dbraw/zinc/10/31/75/410103175.db2.gz VYMMQTGGCIHJKU-UHFFFAOYSA-N -1 1 315.312 1.394 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H](CO)C[C@@H](O)C(C)(C)C)sc1C ZINC000343050329 410105541 /nfs/dbraw/zinc/10/55/41/410105541.db2.gz JUJURXVKRDZQIF-VHSXEESVSA-N -1 1 316.423 1.230 20 0 DDADMM COc1cc(C(=O)[N-]c2nc(-c3cccs3)nn2C)on1 ZINC000193203072 306726550 /nfs/dbraw/zinc/72/65/50/306726550.db2.gz GMQVXKKIXGZHPN-UHFFFAOYSA-N -1 1 305.319 1.793 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCc2c(=O)[nH]cnc2C1 ZINC000346877241 410215657 /nfs/dbraw/zinc/21/56/57/410215657.db2.gz OMTIEKRCYXLSHE-UHFFFAOYSA-N -1 1 307.256 1.365 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)Cc2[nH]nc3ccccc32)s[n-]1 ZINC000357699736 410195664 /nfs/dbraw/zinc/19/56/64/410195664.db2.gz VMPMBBYJEYLXDS-MRVPVSSYSA-N -1 1 317.374 1.725 20 0 DDADMM CCc1noc(C)c1[N-]C(=O)c1coc(S(=O)(=O)NC)c1 ZINC000339491578 410232465 /nfs/dbraw/zinc/23/24/65/410232465.db2.gz IRNZAXFUXCTXHD-UHFFFAOYSA-N -1 1 313.335 1.299 20 0 DDADMM Cn1[n-]c(CN2CCC(C(=O)c3ccc(F)cc3)CC2)nc1=O ZINC000333081172 410344230 /nfs/dbraw/zinc/34/42/30/410344230.db2.gz XDKWJBPVWFGRJK-UHFFFAOYSA-N -1 1 318.352 1.342 20 0 DDADMM O=C1C[C@@]2(CCN(Cc3nc(=O)c4sccc4[n-]3)C2)CN1 ZINC000332994133 410304397 /nfs/dbraw/zinc/30/43/97/410304397.db2.gz BUQBIVLZJKIQSM-AWEZNQCLSA-N -1 1 304.375 1.109 20 0 DDADMM O=C1NC(=O)[C@@]2(CCC[N@@H+](Cc3c[nH]c4nccnc34)C2)N1 ZINC000329706259 410419484 /nfs/dbraw/zinc/41/94/84/410419484.db2.gz JVKHBICVGRTNML-AWEZNQCLSA-N -1 1 300.322 1.128 20 0 DDADMM C[C@H](NC(=O)c1csc(=NC2CC2)[n-]1)c1cc[nH]c(=O)c1 ZINC000355252503 410400144 /nfs/dbraw/zinc/40/01/44/410400144.db2.gz LBBIWGSYRPUNPZ-QMMMGPOBSA-N -1 1 304.375 1.731 20 0 DDADMM O=C(c1cccc2cnccc21)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000298820676 410411695 /nfs/dbraw/zinc/41/16/95/410411695.db2.gz AZMYDONJSAUTFU-GFCCVEGCSA-N -1 1 323.356 1.666 20 0 DDADMM CC[N@@H+]1CC[C@@H](NS(=O)(=O)c2cn3c(n2)CCC[C@@H]3C)C1 ZINC000333185679 410412068 /nfs/dbraw/zinc/41/20/68/410412068.db2.gz QRRUVYOYGSDYRR-NWDGAFQWSA-N -1 1 312.439 1.153 20 0 DDADMM COc1cc(C(=O)N2CC(=O)N(CC3CC3)C2)cc(Cl)c1[O-] ZINC000333282072 410460302 /nfs/dbraw/zinc/46/03/02/410460302.db2.gz JTNSOPKHVFGEOD-UHFFFAOYSA-N -1 1 324.764 1.706 20 0 DDADMM O=S(=O)([N-][C@H]1CS(=O)(=O)c2ccccc21)c1ccoc1 ZINC000352170588 410520415 /nfs/dbraw/zinc/52/04/15/410520415.db2.gz DNVNQTDWDXTMLL-NSHDSACASA-N -1 1 313.356 1.087 20 0 DDADMM CCOCCCN(C[C@H](C)C(=O)OC)C(=O)c1cncc([O-])c1 ZINC000339950260 410573145 /nfs/dbraw/zinc/57/31/45/410573145.db2.gz GMUOVVWMRNYPRA-LBPRGKRZSA-N -1 1 324.377 1.465 20 0 DDADMM O=C(N[C@@H]1CC(=O)N(c2ccc(Cl)c(F)c2)C1)c1cnn[nH]1 ZINC000347490022 410581690 /nfs/dbraw/zinc/58/16/90/410581690.db2.gz UQTQZLAKGFIORM-SSDOTTSWSA-N -1 1 323.715 1.133 20 0 DDADMM O=S(=O)([N-][C@H]1[C@@H]2COC[C@@H]21)c1cc(Cl)sc1Cl ZINC000330138397 410558282 /nfs/dbraw/zinc/55/82/82/410558282.db2.gz IGVFVKVCZWWWQM-FBMACHJBSA-N -1 1 314.215 1.978 20 0 DDADMM COC(=O)c1cnc(C(=O)[N-]c2nnc(C(C)(C)C)s2)cn1 ZINC000355628728 410616608 /nfs/dbraw/zinc/61/66/08/410616608.db2.gz JTGKKQJWOQJKEI-UHFFFAOYSA-N -1 1 321.362 1.665 20 0 DDADMM CC(C)[C@H]1CCC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343694491 410626221 /nfs/dbraw/zinc/62/62/21/410626221.db2.gz IYHKVWYWLHXFTP-QWHCGFSZSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)c1ncc[nH]1 ZINC000340036258 410630248 /nfs/dbraw/zinc/63/02/48/410630248.db2.gz GKRLPCSCUJVOGP-LBPRGKRZSA-N -1 1 311.345 1.773 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2cnccc2CO)c1 ZINC000347703407 410678848 /nfs/dbraw/zinc/67/88/48/410678848.db2.gz UEUQOQSNTOKLFU-UHFFFAOYSA-N -1 1 301.302 1.490 20 0 DDADMM Cc1ccc(CCC(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])o1 ZINC000347713434 410683569 /nfs/dbraw/zinc/68/35/69/410683569.db2.gz BSOAGZQGIZSPLL-UHFFFAOYSA-N -1 1 324.358 1.512 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)Oc1ccc(F)cc1)c1nn[n-]n1 ZINC000343771265 410684212 /nfs/dbraw/zinc/68/42/12/410684212.db2.gz XSLZTXRORNGGFT-BXKDBHETSA-N -1 1 307.329 1.764 20 0 DDADMM COc1cncc(S(=O)(=O)[N-]C2(C(F)(F)F)CCC2)c1 ZINC000337281211 410689335 /nfs/dbraw/zinc/68/93/35/410689335.db2.gz HSGLUDBOXCXNKR-UHFFFAOYSA-N -1 1 310.297 1.854 20 0 DDADMM COc1cc(C(=O)N2C[C@@H](F)C[C@H]2CO)cc(Cl)c1[O-] ZINC000330576680 410791658 /nfs/dbraw/zinc/79/16/58/410791658.db2.gz ICXOPBDRLLYYCS-IUCAKERBSA-N -1 1 303.717 1.599 20 0 DDADMM COc1cccc(-c2noc([N-][C@@H](CO)c3cnn(C)c3)n2)c1 ZINC000301824548 410807213 /nfs/dbraw/zinc/80/72/13/410807213.db2.gz LVIZPWMMFNKUEU-ZDUSSCGKSA-N -1 1 315.333 1.624 20 0 DDADMM CC[C@@H](C)OCC(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000359675089 410809313 /nfs/dbraw/zinc/80/93/13/410809313.db2.gz CVIHHFQRYSCRHT-SECBINFHSA-N -1 1 316.379 1.054 20 0 DDADMM CCCNC(=O)CCC(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000337577340 410872963 /nfs/dbraw/zinc/87/29/63/410872963.db2.gz JXXMXVLCTZRVSG-UHFFFAOYSA-N -1 1 308.334 1.424 20 0 DDADMM COc1ccc2c(CC(=O)NC(C)(C)c3nn[n-]n3)coc2c1 ZINC000359781179 410875104 /nfs/dbraw/zinc/87/51/04/410875104.db2.gz DYAXSMNNDCHPEX-UHFFFAOYSA-N -1 1 315.333 1.549 20 0 DDADMM CCCN(C)c1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000359781113 410877199 /nfs/dbraw/zinc/87/71/99/410877199.db2.gz DAYKBSHRRQULDX-UHFFFAOYSA-N -1 1 302.382 1.711 20 0 DDADMM O=C(Cc1csc2nccn12)Nc1n[n-]c(C(F)(F)F)n1 ZINC000359960392 410972097 /nfs/dbraw/zinc/97/20/97/410972097.db2.gz XJCDIEGMHUKXKP-UHFFFAOYSA-N -1 1 316.268 1.714 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(C)(C)C(C)(C)C)co1 ZINC000348473321 410976879 /nfs/dbraw/zinc/97/68/79/410976879.db2.gz ACFQJNRXUVFSQJ-UHFFFAOYSA-N -1 1 316.423 1.990 20 0 DDADMM Cc1cnc(C(=O)N2CCN(CC(C)C)C(=O)[C@H]2C)c([O-])c1 ZINC000331171436 410996594 /nfs/dbraw/zinc/99/65/94/410996594.db2.gz OOYNTERQOXPKFK-GFCCVEGCSA-N -1 1 305.378 1.425 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCO[C@H]1CCCC[C@H]1C ZINC000331264988 411047058 /nfs/dbraw/zinc/04/70/58/411047058.db2.gz PGQCXWWOKODZTL-KOLCDFICSA-N -1 1 317.411 1.269 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCO[C@H]1CCCC[C@H]1C ZINC000331264988 411047065 /nfs/dbraw/zinc/04/70/65/411047065.db2.gz PGQCXWWOKODZTL-KOLCDFICSA-N -1 1 317.411 1.269 20 0 DDADMM NC(=O)[C@H]1CCC[C@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)C1 ZINC000348708598 411062297 /nfs/dbraw/zinc/06/22/97/411062297.db2.gz XTMMADVIKWYBEM-UWVGGRQHSA-N -1 1 318.402 1.790 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CC(=O)N[C@@H](C)C2)c1 ZINC000331241086 411034750 /nfs/dbraw/zinc/03/47/50/411034750.db2.gz PSWJPRPYODOSSK-WCBMZHEXSA-N -1 1 306.318 1.032 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCCn2cccn2)c(=O)[n-]1 ZINC000344228671 411039551 /nfs/dbraw/zinc/03/95/51/411039551.db2.gz WTEUVGDAKFGEPH-UHFFFAOYSA-N -1 1 321.406 1.158 20 0 DDADMM CC(C)C[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000353856535 411136385 /nfs/dbraw/zinc/13/63/85/411136385.db2.gz COKVYZCVSITALN-NSHDSACASA-N -1 1 303.366 1.316 20 0 DDADMM C[C@@H](NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1)C1CCCC1 ZINC000331430946 411086257 /nfs/dbraw/zinc/08/62/57/411086257.db2.gz SYJJWGNDGXVAAC-SNVBAGLBSA-N -1 1 324.454 1.361 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC(Cc2ccc(F)c(F)c2)C1 ZINC000631712030 422889661 /nfs/dbraw/zinc/88/96/61/422889661.db2.gz LNGUSLHCUGHMGD-UHFFFAOYSA-N -1 1 307.304 1.112 20 0 DDADMM COC[C@H](O)CCNC(=O)c1ccc(Br)cc1[O-] ZINC000130857479 196116560 /nfs/dbraw/zinc/11/65/60/196116560.db2.gz YOTFLLOWDYVAAW-SECBINFHSA-N -1 1 318.167 1.282 20 0 DDADMM CCOc1nccc(C)c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000580547423 422940390 /nfs/dbraw/zinc/94/03/90/422940390.db2.gz VVZSGGGCZWXVLE-NSHDSACASA-N -1 1 316.365 1.322 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1C[C@H](C)[C@H]1C ZINC000580592855 422943827 /nfs/dbraw/zinc/94/38/27/422943827.db2.gz REJXNIMQKBEQEP-CMPLNLGQSA-N -1 1 312.373 1.550 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1sccc1Cl)[C@@H]1CN(C)CCO1 ZINC000649860801 422963906 /nfs/dbraw/zinc/96/39/06/422963906.db2.gz BCPOKLWFWNZKPZ-SCZZXKLOSA-N -1 1 324.855 1.399 20 0 DDADMM CC(C)(CO)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000649861361 422965197 /nfs/dbraw/zinc/96/51/97/422965197.db2.gz IQBTVGVTWOHZNP-UHFFFAOYSA-N -1 1 301.339 1.869 20 0 DDADMM C[C@@H](CO)[C@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000133973923 196350735 /nfs/dbraw/zinc/35/07/35/196350735.db2.gz CKDVRIHXEBIPSE-YUMQZZPRSA-N -1 1 302.168 1.901 20 0 DDADMM C[C@H]1[C@@H](C(=O)[O-])CCN1CCCS(=O)(=O)c1ccccc1 ZINC000652504019 423046594 /nfs/dbraw/zinc/04/65/94/423046594.db2.gz ONJNNYHERFRKER-JSGCOSHPSA-N -1 1 311.403 1.645 20 0 DDADMM COc1cccc2c1CN(S(=O)(=O)c1c(C)o[n-]c1=N)CC2 ZINC000650227180 423091713 /nfs/dbraw/zinc/09/17/13/423091713.db2.gz JBBVYNXZINGYAK-UHFFFAOYSA-N -1 1 323.374 1.151 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@H](OC)C(C)C)c1ccc(C)o1 ZINC000645574948 423094872 /nfs/dbraw/zinc/09/48/72/423094872.db2.gz FNCUAZVLXCLWTO-OCCSQVGLSA-N -1 1 319.423 1.866 20 0 DDADMM O=C([N-]c1ncn(C2CCCCC2)n1)c1nc2ncccn2n1 ZINC000613451190 416678098 /nfs/dbraw/zinc/67/80/98/416678098.db2.gz MLLZSVYQJBOWFA-UHFFFAOYSA-N -1 1 312.337 1.473 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2cc(Cl)ccc2F)CC1 ZINC000571689682 304480299 /nfs/dbraw/zinc/48/02/99/304480299.db2.gz VVQPREKTMSZTRJ-UHFFFAOYSA-N -1 1 321.757 1.853 20 0 DDADMM O=C([O-])[C@@]1(NCc2cccc(F)c2Br)CCOC1 ZINC000417561537 225363913 /nfs/dbraw/zinc/36/39/13/225363913.db2.gz DNIHWFIQESOGKW-GFCCVEGCSA-N -1 1 318.142 1.922 20 0 DDADMM CCn1ncc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c1C ZINC000640654050 423113327 /nfs/dbraw/zinc/11/33/27/423113327.db2.gz LBCMWCHTLSGUER-UHFFFAOYSA-N -1 1 307.379 1.359 20 0 DDADMM CO[C@H](C(=O)NCc1cc(=O)[n-]c(SC)n1)C1CCCC1 ZINC000640655086 423116316 /nfs/dbraw/zinc/11/63/16/423116316.db2.gz KIKRFELIXHCGIS-LBPRGKRZSA-N -1 1 311.407 1.726 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)[C@H]1CC12CC2 ZINC000643350224 423120891 /nfs/dbraw/zinc/12/08/91/423120891.db2.gz CLEOYUVEOFRSNC-KCJUWKMLSA-N -1 1 321.743 1.998 20 0 DDADMM CC[C@@](COC)([N-]S(=O)(=O)CC1CCCCC1)C(=O)OC ZINC000416516429 287400753 /nfs/dbraw/zinc/40/07/53/287400753.db2.gz PTUBWBAUJCHJSF-AWEZNQCLSA-N -1 1 321.439 1.454 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000297328695 227012810 /nfs/dbraw/zinc/01/28/10/227012810.db2.gz ADPPQXJCHLNGAO-VHSXEESVSA-N -1 1 306.391 1.563 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@]2(CCO[C@H](C)C2)O1 ZINC000373743050 418456487 /nfs/dbraw/zinc/45/64/87/418456487.db2.gz KRMAKZQPVCKKJM-XHBSWPGZSA-N -1 1 306.362 1.586 20 0 DDADMM CC[C@@H](OC1CCCC1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366712621 418507931 /nfs/dbraw/zinc/50/79/31/418507931.db2.gz YVXDYGKDJYSONP-WCQYABFASA-N -1 1 307.398 1.644 20 0 DDADMM O=C(N[C@H]1CCC[C@@H]1O)c1cc(Br)ccc1[O-] ZINC000132995872 418514713 /nfs/dbraw/zinc/51/47/13/418514713.db2.gz DRDRPZMQNHKOAA-ONGXEEELSA-N -1 1 300.152 1.798 20 0 DDADMM Cn1[n-]cc2/c(=N/C(=O)N3CCC4(C3)CCOCC4)ccnc1-2 ZINC000287779471 418574262 /nfs/dbraw/zinc/57/42/62/418574262.db2.gz WQFOWUXXDXEDTM-CPNJWEJPSA-N -1 1 315.377 1.376 20 0 DDADMM CC(C)NC(=O)OC1CN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000291034946 222088190 /nfs/dbraw/zinc/08/81/90/222088190.db2.gz YSBUWSVCTXOTFZ-UHFFFAOYSA-N -1 1 314.288 1.629 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)S(=O)(=O)c1nnc(C(C)(C)C)[n-]1 ZINC000195168033 222193681 /nfs/dbraw/zinc/19/36/81/222193681.db2.gz RCPXKOMQEGPPKU-IUCAKERBSA-N -1 1 316.427 1.179 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)S(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000195168033 222193682 /nfs/dbraw/zinc/19/36/82/222193682.db2.gz RCPXKOMQEGPPKU-IUCAKERBSA-N -1 1 316.427 1.179 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)S(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195168033 222193685 /nfs/dbraw/zinc/19/36/85/222193685.db2.gz RCPXKOMQEGPPKU-IUCAKERBSA-N -1 1 316.427 1.179 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H](C)C1CC1 ZINC000195457579 222202628 /nfs/dbraw/zinc/20/26/28/222202628.db2.gz IDGBLRLFUVLRLD-VIFPVBQESA-N -1 1 302.378 1.899 20 0 DDADMM O=C1CC[C@H](N=c2nc(C(F)(F)F)[n-]s2)[C@@H](C2CC2)N1 ZINC000367416899 418598481 /nfs/dbraw/zinc/59/84/81/418598481.db2.gz BWKYALBAMJRCIW-POYBYMJQSA-N -1 1 306.313 1.448 20 0 DDADMM C[C@@H]1CCC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC000361259422 418614599 /nfs/dbraw/zinc/61/45/99/418614599.db2.gz ASKNJEDPOVPHER-VWYCJHECSA-N -1 1 303.366 1.220 20 0 DDADMM CC1(C)CCCC[C@@H]1CC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370976213 418753837 /nfs/dbraw/zinc/75/38/37/418753837.db2.gz MDEGRNXFDFRXBE-NEPJUHHUSA-N -1 1 307.398 1.706 20 0 DDADMM C[C@]1(O)CCOC[C@@H]1[C@@H]1CCCN1C(=O)c1cncc([O-])c1 ZINC000408064582 418784975 /nfs/dbraw/zinc/78/49/75/418784975.db2.gz LDPQWPRIYMXIRH-YCPHGPKFSA-N -1 1 306.362 1.179 20 0 DDADMM CCc1n[n-]c(=NC(=O)N2CCC[C@H](c3nc[nH]n3)C2)s1 ZINC000365905578 418921209 /nfs/dbraw/zinc/92/12/09/418921209.db2.gz IBZXQDYGEQGBCW-QMMMGPOBSA-N -1 1 307.383 1.052 20 0 DDADMM C[C@H](C(=O)[O-])C1(NS(=O)(=O)c2c(F)cccc2F)CC1 ZINC000650425457 423153176 /nfs/dbraw/zinc/15/31/76/423153176.db2.gz HGDLJLPBGYCSHN-SSDOTTSWSA-N -1 1 305.302 1.496 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C)[C@H](O)Cc2ccccc2)c([O-])c1 ZINC000427668430 419711431 /nfs/dbraw/zinc/71/14/31/419711431.db2.gz NGCKFLSWAUFDIX-GXTWGEPZSA-N -1 1 300.358 1.818 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccccc2OC(F)F)n1 ZINC000428055781 419803892 /nfs/dbraw/zinc/80/38/92/419803892.db2.gz FSPOVQMAIDAFLY-UHFFFAOYSA-N -1 1 319.289 1.458 20 0 DDADMM NC(=O)c1csc(=NCCNC(=O)c2ccccc2Cl)[n-]1 ZINC000432324286 229095303 /nfs/dbraw/zinc/09/53/03/229095303.db2.gz GVUKLVZZBNDDLX-UHFFFAOYSA-N -1 1 324.793 1.159 20 0 DDADMM NC(=O)c1csc(=NCCNC(=O)c2ccc(Cl)cc2)[n-]1 ZINC000432346679 229097288 /nfs/dbraw/zinc/09/72/88/229097288.db2.gz LZBGBEPZASXIQV-UHFFFAOYSA-N -1 1 324.793 1.159 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2snnc2C(C)(C)C)n1 ZINC000415636288 420130651 /nfs/dbraw/zinc/13/06/51/420130651.db2.gz FKIMUZURUQWHFP-UHFFFAOYSA-N -1 1 323.378 1.988 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1ccc2c(c1)CCCN2 ZINC000420442153 420290664 /nfs/dbraw/zinc/29/06/64/420290664.db2.gz PNFBIZLGVYLVMK-UHFFFAOYSA-N -1 1 322.390 1.232 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc2c(c1)CCCN2 ZINC000420442153 420290667 /nfs/dbraw/zinc/29/06/67/420290667.db2.gz PNFBIZLGVYLVMK-UHFFFAOYSA-N -1 1 322.390 1.232 20 0 DDADMM NC(=O)[C@H]1CC[C@H](C[N-]S(=O)(=O)c2sccc2F)CC1 ZINC000420702924 420363018 /nfs/dbraw/zinc/36/30/18/420363018.db2.gz IQHFKYWMVGHDKB-KYZUINATSA-N -1 1 320.411 1.457 20 0 DDADMM C[C@H](N=c1ccc(N(C)CCOCCO)n[n-]1)c1ccccc1 ZINC000425256377 420331640 /nfs/dbraw/zinc/33/16/40/420331640.db2.gz HDJNYSOEQHYWRW-AWEZNQCLSA-N -1 1 316.405 1.517 20 0 DDADMM COC(=O)[C@@H]1CC12CCN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC000436550785 420339350 /nfs/dbraw/zinc/33/93/50/420339350.db2.gz UUGAGESTXRSCQE-LBPRGKRZSA-N -1 1 307.321 1.947 20 0 DDADMM CC(C)(NC(=O)c1cc2c(cc1O)CCCC2)c1nn[n-]n1 ZINC000436587439 420341490 /nfs/dbraw/zinc/34/14/90/420341490.db2.gz FFUXRTNZCCMUNX-UHFFFAOYSA-N -1 1 301.350 1.449 20 0 DDADMM O=C(C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ccc(F)cc1 ZINC000425310042 420346570 /nfs/dbraw/zinc/34/65/70/420346570.db2.gz XAVQRYXKBYSCRM-JTQLQIEISA-N -1 1 319.292 1.503 20 0 DDADMM O=C(C(=O)N1CCC(C2N=NC(=O)O2)CC1)c1ccc([O-])cc1 ZINC000425319116 420347658 /nfs/dbraw/zinc/34/76/58/420347658.db2.gz JMLYGUMTHHXVTJ-UHFFFAOYSA-N -1 1 317.301 1.070 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cc(F)ccc1[O-])c1cccc(O)c1 ZINC000436677668 420352146 /nfs/dbraw/zinc/35/21/46/420352146.db2.gz DFJBLZDWHHWCBP-AWEZNQCLSA-N -1 1 319.288 1.881 20 0 DDADMM O=C(C(=O)N1CCC(c2n[nH]c(=O)o2)CC1)c1ccc(F)cc1 ZINC000425328237 420352360 /nfs/dbraw/zinc/35/23/60/420352360.db2.gz XKWDGRQOPLMBIO-UHFFFAOYSA-N -1 1 319.292 1.503 20 0 DDADMM O=C(C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1ccc(F)cc1 ZINC000425328237 420352362 /nfs/dbraw/zinc/35/23/62/420352362.db2.gz XKWDGRQOPLMBIO-UHFFFAOYSA-N -1 1 319.292 1.503 20 0 DDADMM CN(C)C(=O)NCCN(C)C(=O)c1ccc2ccccc2c1[O-] ZINC000436669690 420354010 /nfs/dbraw/zinc/35/40/10/420354010.db2.gz BEFUQSWXKKFGSK-UHFFFAOYSA-N -1 1 315.373 1.889 20 0 DDADMM COc1ccc(C(=O)Nc2cccc(C(N)=O)c2OC)c([O-])c1 ZINC000436729038 420360451 /nfs/dbraw/zinc/36/04/51/420360451.db2.gz PIQRHHTZGUZEDT-UHFFFAOYSA-N -1 1 316.313 1.761 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@@H](C(C)=O)c1ccccc1F)OC ZINC000420699947 420361818 /nfs/dbraw/zinc/36/18/18/420361818.db2.gz NRGHGSDBNSWVLU-RISCZKNCSA-N -1 1 317.382 1.800 20 0 DDADMM CS(=O)(=O)c1cccnc1CNC(=O)c1cc(F)ccc1[O-] ZINC000436820112 420369605 /nfs/dbraw/zinc/36/96/05/420369605.db2.gz GEXDNCWQVBADKR-UHFFFAOYSA-N -1 1 324.333 1.260 20 0 DDADMM CN(C)c1nc(-c2nc(-c3ccc([O-])cc3F)no2)cc(=O)[nH]1 ZINC000436876121 420380025 /nfs/dbraw/zinc/38/00/25/420380025.db2.gz WSYNHQDVOJNQOW-UHFFFAOYSA-N -1 1 317.280 1.810 20 0 DDADMM CC[C@](COC)([N-]S(=O)(=O)CC1CCCC1)C(=O)OC ZINC000416513403 420401802 /nfs/dbraw/zinc/40/18/02/420401802.db2.gz DBGAKXBCGLISPF-CYBMUJFWSA-N -1 1 307.412 1.064 20 0 DDADMM O=C(C(=O)N1CCC[C@@H]1C1CCOCC1)c1ccc([O-])cc1 ZINC000437003238 420392485 /nfs/dbraw/zinc/39/24/85/420392485.db2.gz ZYBLEEZEWBOALH-OAHLLOKOSA-N -1 1 303.358 1.993 20 0 DDADMM CC(C)c1nc([C@H]2COCCN2C(=O)c2cncc([O-])c2)no1 ZINC000354667647 306782641 /nfs/dbraw/zinc/78/26/41/306782641.db2.gz UIZVKSNODVYHBY-GFCCVEGCSA-N -1 1 318.333 1.507 20 0 DDADMM C[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)[C@H](C)[C@@H](C)O1 ZINC000438405188 420453790 /nfs/dbraw/zinc/45/37/90/420453790.db2.gz VOISRKRDWAEXAY-OPRDCNLKSA-N -1 1 304.350 1.312 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@H]([C@H](O)C(F)(F)F)C2)c([O-])c1 ZINC000456676211 420526341 /nfs/dbraw/zinc/52/63/41/420526341.db2.gz FSQQCSHIIBTAMP-KCJUWKMLSA-N -1 1 304.268 1.481 20 0 DDADMM C[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1cccc(F)c1 ZINC000439145111 420482866 /nfs/dbraw/zinc/48/28/66/420482866.db2.gz CSJZRCRIKRXYIP-ZETCQYMHSA-N -1 1 312.326 1.090 20 0 DDADMM C[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1cccc(F)c1 ZINC000439145111 420482868 /nfs/dbraw/zinc/48/28/68/420482868.db2.gz CSJZRCRIKRXYIP-ZETCQYMHSA-N -1 1 312.326 1.090 20 0 DDADMM CCOc1ccccc1C=CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000492732914 420644047 /nfs/dbraw/zinc/64/40/47/420644047.db2.gz GYSLNFYVADSVNA-ZWLSGLHFSA-N -1 1 315.377 1.874 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](CO)c2ccccc2)sc1C ZINC000443144976 420746238 /nfs/dbraw/zinc/74/62/38/420746238.db2.gz HMLSLTDNKKTOMI-LBPRGKRZSA-N -1 1 312.416 1.772 20 0 DDADMM O=C(/C=C/COCC(F)(F)F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000493145494 420768649 /nfs/dbraw/zinc/76/86/49/420768649.db2.gz DIYCTOSFTPERHJ-LXOKAJLYSA-N -1 1 319.287 1.041 20 0 DDADMM CCOC(=O)c1n[n-]c(-c2cccc(CNC(=O)CC)c2)n1 ZINC000447673749 420793360 /nfs/dbraw/zinc/79/33/60/420793360.db2.gz ZRMZXYOOTPMBOU-UHFFFAOYSA-N -1 1 302.334 1.675 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cnc3ccccc3c2)n1 ZINC000447870902 420808979 /nfs/dbraw/zinc/80/89/79/420808979.db2.gz GGRYSCIULLPDLC-UHFFFAOYSA-N -1 1 304.331 1.405 20 0 DDADMM O=C(C=Cc1ccc(-n2ccnc2)cc1)NC1(c2nn[n-]n2)CC1 ZINC000493856255 420959608 /nfs/dbraw/zinc/95/96/08/420959608.db2.gz LLZLAOIYRQNCHK-ZZXKWVIFSA-N -1 1 321.344 1.204 20 0 DDADMM CCc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CCCC2)o1 ZINC000493744251 420930450 /nfs/dbraw/zinc/93/04/50/420930450.db2.gz XZNYXPCCMAZHFC-BQYQJAHWSA-N -1 1 301.350 1.954 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)N2CC(C)(O)C2)c([O-])c1 ZINC000495722527 421054064 /nfs/dbraw/zinc/05/40/64/421054064.db2.gz VFXSHOGPKIVRPO-UHFFFAOYSA-N -1 1 322.361 1.946 20 0 DDADMM CC[C@@H](CN=c1ccc(C(=O)NCCO)n[n-]1)c1ccccc1 ZINC000488371244 421086630 /nfs/dbraw/zinc/08/66/30/421086630.db2.gz UWIFGFJEHRTAOF-ZDUSSCGKSA-N -1 1 314.389 1.226 20 0 DDADMM C[C@H]1CCCC[C@@H]1CCN=c1ccc(C(=O)NCCO)n[n-]1 ZINC000488430155 421091079 /nfs/dbraw/zinc/09/10/79/421091079.db2.gz JUDVVEOYJOXGEU-QWHCGFSZSA-N -1 1 306.410 1.249 20 0 DDADMM C[C@@H](Nc1nc2[nH][n-]cc-2c(=O)n1)[C@H](C)NC(=O)OC(C)(C)C ZINC000496016832 421118050 /nfs/dbraw/zinc/11/80/50/421118050.db2.gz UTSLPHVOTUTEHI-SFYZADRCSA-N -1 1 322.369 1.146 20 0 DDADMM CC[C@H](C)N1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000456537541 421180790 /nfs/dbraw/zinc/18/07/90/421180790.db2.gz WPECPELKXMSPBJ-NSHDSACASA-N -1 1 317.393 1.230 20 0 DDADMM CC(C)(NC(=O)[C@H]1COc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000490019984 421185902 /nfs/dbraw/zinc/18/59/02/421185902.db2.gz QGFCSHCFVFGSNP-VIFPVBQESA-N -1 1 307.741 1.381 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H]2CSc3ccccc32)[n-]n1 ZINC000450562080 421210358 /nfs/dbraw/zinc/21/03/58/421210358.db2.gz MOBIGKHVZIJYDM-NSHDSACASA-N -1 1 314.414 1.950 20 0 DDADMM C[C@@H]1[C@H](C(=O)[N-]O[C@@H]2CCOC2)CCN1C(=O)OC(C)(C)C ZINC000492190255 421211944 /nfs/dbraw/zinc/21/19/44/421211944.db2.gz TVMTXOUQRMCLMP-IJLUTSLNSA-N -1 1 314.382 1.469 20 0 DDADMM O=C(OCCCF)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000496278996 421225243 /nfs/dbraw/zinc/22/52/43/421225243.db2.gz WSUDEESBPYMQMX-SECBINFHSA-N -1 1 314.279 1.873 20 0 DDADMM C[C@H](CO[N-]C(=O)[C@H](F)C(F)(F)F)NC(=O)OC(C)(C)C ZINC000496703925 421302893 /nfs/dbraw/zinc/30/28/93/421302893.db2.gz VUDQJYHEFBEWLW-RQJHMYQMSA-N -1 1 318.267 1.848 20 0 DDADMM O=S(=O)(CCc1ccccc1)N=c1cc2ccccn2[n-]1 ZINC000560453261 421266422 /nfs/dbraw/zinc/26/64/22/421266422.db2.gz RUCPHRBAVFAJQP-UHFFFAOYSA-N -1 1 301.371 1.741 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC1C2CC3CC(C2)CC1C3 ZINC000545914271 421272620 /nfs/dbraw/zinc/27/26/20/421272620.db2.gz FRSBNNLCQITONV-NHBAOXBKSA-N -1 1 320.433 1.724 20 0 DDADMM O=C1NC(=O)N2CC[N@H+](Cc3cc4ccccc4s3)C[C@H]12 ZINC000546197464 421288200 /nfs/dbraw/zinc/28/82/00/421288200.db2.gz KMVBNSNTZPRVOZ-GFCCVEGCSA-N -1 1 301.371 1.637 20 0 DDADMM CNC(=O)CC[C@H]1CCCCN1C(=O)c1cccc2nn[nH]c21 ZINC000561387541 421323268 /nfs/dbraw/zinc/32/32/68/421323268.db2.gz ZGZDJCLOISGETC-LLVKDONJSA-N -1 1 315.377 1.479 20 0 DDADMM C[C@H](CN(C)C(=O)C1(c2ccccc2)CC(=O)C1)c1nn[n-]n1 ZINC000562346496 421355733 /nfs/dbraw/zinc/35/57/33/421355733.db2.gz LASMUIIYZMZMBQ-LLVKDONJSA-N -1 1 313.361 1.063 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccn(C2CCCCC2)n1)c1nn[n-]n1 ZINC000527173679 421362046 /nfs/dbraw/zinc/36/20/46/421362046.db2.gz USOISEQNTJCOHY-NSHDSACASA-N -1 1 317.397 1.777 20 0 DDADMM Cc1cc(CS(=O)(=O)Nc2c(F)cccc2C(=O)[O-])on1 ZINC000548251129 421413897 /nfs/dbraw/zinc/41/38/97/421413897.db2.gz GMZBKFILDPYJBL-UHFFFAOYSA-N -1 1 314.294 1.762 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1cnn(C)c1 ZINC000563018598 421440700 /nfs/dbraw/zinc/44/07/00/421440700.db2.gz JOBWKGQGRQDBQR-VHSXEESVSA-N -1 1 305.338 1.018 20 0 DDADMM Cc1cc(F)cc([C@]2(F)CCN(CC(=O)Nc3nnn[n-]3)C2)c1 ZINC000563516946 421515719 /nfs/dbraw/zinc/51/57/19/421515719.db2.gz QPLBFACOTKAJMU-AWEZNQCLSA-N -1 1 322.319 1.156 20 0 DDADMM Cc1cc(F)cc([C@]2(F)CCN(CC(=O)Nc3nn[n-]n3)C2)c1 ZINC000563516946 421515721 /nfs/dbraw/zinc/51/57/21/421515721.db2.gz QPLBFACOTKAJMU-AWEZNQCLSA-N -1 1 322.319 1.156 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)c3ncn(C)n3)cnc2n1 ZINC000548735002 421468428 /nfs/dbraw/zinc/46/84/28/421468428.db2.gz BFPAZHDUEDJVKJ-VIFPVBQESA-N -1 1 312.333 1.263 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)c1ncn(C)n1)c2=O ZINC000548735002 421468430 /nfs/dbraw/zinc/46/84/30/421468430.db2.gz BFPAZHDUEDJVKJ-VIFPVBQESA-N -1 1 312.333 1.263 20 0 DDADMM Cc1cccc2c1CC(C)(C)N(CC(=O)Nc1nnn[n-]1)C2 ZINC000563247649 421477339 /nfs/dbraw/zinc/47/73/39/421477339.db2.gz ADOYKBCRPPLTIE-UHFFFAOYSA-N -1 1 300.366 1.284 20 0 DDADMM Cc1cccc2c1CC(C)(C)N(CC(=O)Nc1nn[n-]n1)C2 ZINC000563247649 421477341 /nfs/dbraw/zinc/47/73/41/421477341.db2.gz ADOYKBCRPPLTIE-UHFFFAOYSA-N -1 1 300.366 1.284 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCC[C@@H]([C@@H](C)O)C1 ZINC000563852541 421549332 /nfs/dbraw/zinc/54/93/32/421549332.db2.gz XCNUMSAXIXGYBS-NXEZZACHSA-N -1 1 311.407 1.446 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC000563945011 421558264 /nfs/dbraw/zinc/55/82/64/421558264.db2.gz ITARCMYLEUZCFY-TWTBIMQBSA-N -1 1 317.345 1.181 20 0 DDADMM Cn1cc([C@@H]2C[C@H](NC(=O)c3cc(F)ccc3[O-])CCO2)cn1 ZINC000552447202 421587591 /nfs/dbraw/zinc/58/75/91/421587591.db2.gz ITRBVWUMCFMLGF-DOMZBBRYSA-N -1 1 319.336 1.915 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCn2nnc(CO)c2C1 ZINC000552468029 421588744 /nfs/dbraw/zinc/58/87/44/421588744.db2.gz ZAOPSTXUFFHHCA-UHFFFAOYSA-N -1 1 324.340 1.285 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCCO[C@H](C)C1 ZINC000563632515 421529986 /nfs/dbraw/zinc/52/99/86/421529986.db2.gz WRLOPDPSJJLMHN-CHWSQXEVSA-N -1 1 320.389 1.500 20 0 DDADMM CC[C@@H](C)N1CCN(C(=O)N=c2[n-]nc(C3CC3)s2)CC1 ZINC000571277940 421703204 /nfs/dbraw/zinc/70/32/04/421703204.db2.gz KQVFETCUTMMMPZ-SNVBAGLBSA-N -1 1 309.439 1.785 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](CO)CC2CCC2)sc1C ZINC000518861531 421669359 /nfs/dbraw/zinc/66/93/59/421669359.db2.gz JINSMKAHARVKFL-NSHDSACASA-N -1 1 304.437 1.589 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CC2CCC1CC2 ZINC000570936788 421678683 /nfs/dbraw/zinc/67/86/83/421678683.db2.gz UUPUYVPHARKWTI-HBIQZDMRSA-N -1 1 315.377 1.362 20 0 DDADMM COC(=O)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000533572699 421679191 /nfs/dbraw/zinc/67/91/91/421679191.db2.gz IWXOTTPENLWXOC-LBPRGKRZSA-N -1 1 315.333 1.006 20 0 DDADMM CCN(CC)CCS(=O)(=O)NC1(CC(=O)[O-])CCCCC1 ZINC000571043552 421683391 /nfs/dbraw/zinc/68/33/91/421683391.db2.gz OVUAQXHDVOOQLX-UHFFFAOYSA-N -1 1 320.455 1.425 20 0 DDADMM Cc1c(CN(C)C(=O)c2nc3ccccc3c(=O)[n-]2)cnn1C ZINC000571611182 421730699 /nfs/dbraw/zinc/73/06/99/421730699.db2.gz VFPIWQSVEOTQFS-UHFFFAOYSA-N -1 1 311.345 1.237 20 0 DDADMM CC[C@@H](OC1CCCCC1)C(=O)Nc1nn[nH]c1C(=O)NC ZINC000556806632 421738968 /nfs/dbraw/zinc/73/89/68/421738968.db2.gz KXYBHIHEDFIRBJ-SNVBAGLBSA-N -1 1 309.370 1.231 20 0 DDADMM O=C(c1ccnn1C1CCCC1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538225515 421737757 /nfs/dbraw/zinc/73/77/57/421737757.db2.gz CQZTXMNGDDOFQC-LLVKDONJSA-N -1 1 315.381 1.531 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(c2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000557082698 421758215 /nfs/dbraw/zinc/75/82/15/421758215.db2.gz RUSMTVAURZKUAB-NSHDSACASA-N -1 1 315.255 1.673 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H]2CCC[C@H](S(C)(=O)=O)C2)s[n-]1 ZINC000558687736 421821173 /nfs/dbraw/zinc/82/11/73/421821173.db2.gz BFBDRMVGKOBRLM-BBBLOLIVSA-N -1 1 319.452 1.435 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1cncn1C ZINC000542482594 421823912 /nfs/dbraw/zinc/82/39/12/421823912.db2.gz WTPBAPRCMKBGHA-UHFFFAOYSA-N -1 1 317.393 1.494 20 0 DDADMM CO[C@@H](C)c1nc(C)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)s1 ZINC000542772293 421828694 /nfs/dbraw/zinc/82/86/94/421828694.db2.gz DQMINROMOHZEQO-CBAPKCEASA-N -1 1 324.410 1.548 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@H]1C1CCCCC1 ZINC000542769346 421829060 /nfs/dbraw/zinc/82/90/60/421829060.db2.gz RKOGNYPKQHEEPI-ZDUSSCGKSA-N -1 1 315.377 1.603 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(F)c1 ZINC000540806584 421768911 /nfs/dbraw/zinc/76/89/11/421768911.db2.gz VINZRYIHSCHVPW-NSHDSACASA-N -1 1 317.324 1.561 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2ccc[nH]c2=O)s1 ZINC000557474118 421772773 /nfs/dbraw/zinc/77/27/73/421772773.db2.gz KLHFSAQGNZVCDQ-UHFFFAOYSA-N -1 1 314.344 1.436 20 0 DDADMM COC(=O)CCN(CCC(=O)[O-])Cc1cccc(F)c1F ZINC000580990715 421876694 /nfs/dbraw/zinc/87/66/94/421876694.db2.gz XCALXLJCQUJBSQ-UHFFFAOYSA-N -1 1 301.289 1.805 20 0 DDADMM CCC(=O)N1CC[C@@H](NC(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000631820387 421856404 /nfs/dbraw/zinc/85/64/04/421856404.db2.gz TUUIOWLMRXGRBL-LLVKDONJSA-N -1 1 310.781 1.715 20 0 DDADMM CCc1nc(CC[N-]S(=O)(=O)c2cc(C)ns2)cs1 ZINC000631881867 421909646 /nfs/dbraw/zinc/90/96/46/421909646.db2.gz KYWVKYJCRULDJY-UHFFFAOYSA-N -1 1 317.461 1.991 20 0 DDADMM Cn1cc(CCC[N-]S(=O)(=O)c2ccc(C(F)F)o2)cn1 ZINC000631884892 421912858 /nfs/dbraw/zinc/91/28/58/421912858.db2.gz WAYZHLABOZELOC-UHFFFAOYSA-N -1 1 319.333 1.862 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN(C(=O)C2CC2)C1 ZINC000633458415 421915412 /nfs/dbraw/zinc/91/54/12/421915412.db2.gz FPQFXIFEUUNARZ-UHFFFAOYSA-N -1 1 308.765 1.626 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC[C@H]1CSc2ccccc2O1 ZINC000633549132 421960451 /nfs/dbraw/zinc/96/04/51/421960451.db2.gz JBJGNXICYWDRQJ-JTQLQIEISA-N -1 1 319.390 1.192 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCCO[C@@H]3C2(C)C)sn1 ZINC000632032536 422037707 /nfs/dbraw/zinc/03/77/07/422037707.db2.gz UXVGKAUPNAMTSU-WCQGTBRESA-N -1 1 316.448 1.933 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630225276 421976814 /nfs/dbraw/zinc/97/68/14/421976814.db2.gz ZKAYXEUDCUEGRF-ZDUSSCGKSA-N -1 1 314.426 1.445 20 0 DDADMM O=C([O-])[C@@H](C[C@H]1CCCOC1)NC(=O)c1[nH]nc2c1CCCC2 ZINC000630272029 422001346 /nfs/dbraw/zinc/00/13/46/422001346.db2.gz GZZPYPONWDQLJH-ZWNOBZJWSA-N -1 1 321.377 1.288 20 0 DDADMM CCCN(CC(C)(C)O)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632007802 422014676 /nfs/dbraw/zinc/01/46/76/422014676.db2.gz WWJQSNITLNUEHG-UHFFFAOYSA-N -1 1 317.407 1.572 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCCOc2ccccc21 ZINC000635464701 422015155 /nfs/dbraw/zinc/01/51/55/422015155.db2.gz GXRGTQNZBJKDKU-LBPRGKRZSA-N -1 1 301.350 1.553 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1nsc2ccccc12 ZINC000633644288 422022173 /nfs/dbraw/zinc/02/21/73/422022173.db2.gz DMPDTZSEAOEPIG-UHFFFAOYSA-N -1 1 302.363 1.101 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@@H](C)[C@@H]2C)c1 ZINC000632111650 422095993 /nfs/dbraw/zinc/09/59/93/422095993.db2.gz ZWUXYKUALPOBGN-UWVGGRQHSA-N -1 1 301.364 1.199 20 0 DDADMM O=C([O-])[C@@H]1CCCN(S(=O)(=O)c2cc(O)cc(F)c2)C1 ZINC000630443464 422106296 /nfs/dbraw/zinc/10/62/96/422106296.db2.gz XRCBHAJSKDHICE-MRVPVSSYSA-N -1 1 303.311 1.017 20 0 DDADMM CC1([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCOCC1 ZINC000632136023 422111638 /nfs/dbraw/zinc/11/16/38/422111638.db2.gz JPIPPRJTZASLFA-UHFFFAOYSA-N -1 1 313.301 1.276 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC000633691356 422053476 /nfs/dbraw/zinc/05/34/76/422053476.db2.gz NSRRURWTMYNUEO-FVMDXXJSSA-N -1 1 306.410 1.901 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@H]1CCC1(F)F)c1ccco1 ZINC000632060270 422058744 /nfs/dbraw/zinc/05/87/44/422058744.db2.gz HNVSDWKQYLAITA-ZJUUUORDSA-N -1 1 309.334 1.932 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)CCc2cnccn2)c1 ZINC000632174833 422139168 /nfs/dbraw/zinc/13/91/68/422139168.db2.gz JSXKLJKLANTFGP-UHFFFAOYSA-N -1 1 323.374 1.054 20 0 DDADMM CC(C)(C)[C@H]1OCCC[C@H]1CNC(=O)CCCc1nn[n-]n1 ZINC000635597306 422147795 /nfs/dbraw/zinc/14/77/95/422147795.db2.gz QUJSNZGJPVHVOC-FZMZJTMJSA-N -1 1 309.414 1.480 20 0 DDADMM Cc1cc(C)cc(C[C@H](C)NC(=O)CCCc2nn[n-]n2)c1 ZINC000635615844 422164884 /nfs/dbraw/zinc/16/48/84/422164884.db2.gz PVYQQVRQLDXMJW-ZDUSSCGKSA-N -1 1 301.394 1.887 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](O)Cc1ccccc1Cl)c1nn[n-]n1 ZINC000574573041 422134375 /nfs/dbraw/zinc/13/43/75/422134375.db2.gz WEPPMPDLQATAJG-NEPJUHHUSA-N -1 1 323.784 1.414 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000632167717 422134351 /nfs/dbraw/zinc/13/43/51/422134351.db2.gz VUXLYJRFTSAMLG-JGPRNRPPSA-N -1 1 315.391 1.635 20 0 DDADMM C[C@@H]1c2ccc(F)cc2C[C@H](C)N1C(=O)CCc1nn[n-]n1 ZINC000632262395 422203869 /nfs/dbraw/zinc/20/38/69/422203869.db2.gz RWGSZTQUKDKLKD-VHSXEESVSA-N -1 1 303.341 1.806 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]CC(F)(F)c2ccccc2)CC1 ZINC000632266274 422206543 /nfs/dbraw/zinc/20/65/43/422206543.db2.gz BLCYGFBKXDSKEJ-UHFFFAOYSA-N -1 1 318.389 1.792 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2c(c1)N(C)CCO2)c1nn[n-]n1 ZINC000635658002 422212904 /nfs/dbraw/zinc/21/29/04/422212904.db2.gz CHKUWKRWZVADHF-NSHDSACASA-N -1 1 316.365 1.300 20 0 DDADMM C[C@H]1CN(C(=O)CCCc2nn[n-]n2)C[C@@H](c2ccsc2)O1 ZINC000635685913 422241091 /nfs/dbraw/zinc/24/10/91/422241091.db2.gz RYWHVJJVZQFTCR-JQWIXIFHSA-N -1 1 321.406 1.573 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])[C@](C)(CO)C1 ZINC000634073363 422253401 /nfs/dbraw/zinc/25/34/01/422253401.db2.gz WCGLEEKLWSDIHF-SJCJKPOMSA-N -1 1 316.357 1.552 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC(F)(F)CC2)o1 ZINC000628637020 422269850 /nfs/dbraw/zinc/26/98/50/422269850.db2.gz INXOPIAVQRQNHD-UHFFFAOYSA-N -1 1 322.333 1.449 20 0 DDADMM CC[C@H](O)CN(Cc1ccccc1)C(=O)CCCc1nn[n-]n1 ZINC000635716363 422274030 /nfs/dbraw/zinc/27/40/30/422274030.db2.gz RJKCYVKVULUOJK-AWEZNQCLSA-N -1 1 317.393 1.322 20 0 DDADMM CO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C12CCC2 ZINC000584340010 422296772 /nfs/dbraw/zinc/29/67/72/422296772.db2.gz CIQBAFUKUNUXML-GHMZBOCLSA-N -1 1 316.448 1.996 20 0 DDADMM O=C(N[C@H]1COCCC1=O)c1cc(Br)ccc1[O-] ZINC000628870958 422359016 /nfs/dbraw/zinc/35/90/16/422359016.db2.gz VURXUNYYKUQSJM-VIFPVBQESA-N -1 1 314.135 1.243 20 0 DDADMM COc1ccc2c(c1)CCC[C@H]2N(C)C(=O)CCc1nn[n-]n1 ZINC000630849688 422372805 /nfs/dbraw/zinc/37/28/05/422372805.db2.gz IRNVSUJBIQMSQC-CQSZACIVSA-N -1 1 315.377 1.677 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-][C@H](C)c1nc(C(F)(F)F)no1 ZINC000632480172 422380247 /nfs/dbraw/zinc/38/02/47/422380247.db2.gz NYKFDYQLXNQMLW-NTSWFWBYSA-N -1 1 317.289 1.104 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)CC1CC1)c1ccc(C(F)F)o1 ZINC000632562104 422437038 /nfs/dbraw/zinc/43/70/38/422437038.db2.gz GRSVBVKPKKETOL-SECBINFHSA-N -1 1 309.334 1.904 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@]3(CC3(F)F)C2)c1 ZINC000632515294 422404235 /nfs/dbraw/zinc/40/42/35/422404235.db2.gz OVVNNDZBOKVUHZ-LBPRGKRZSA-N -1 1 319.329 1.821 20 0 DDADMM CC(C)c1c(Cc2ccccc2)[n-]n(-c2nccn(C)c2=O)c1=O ZINC000634613518 422505790 /nfs/dbraw/zinc/50/57/90/422505790.db2.gz AJOSVDYVMVYPAK-OAHLLOKOSA-N -1 1 324.384 1.998 20 0 DDADMM CC(C)[C@@H]1CCc2[n-]n([C@H]3CCCS(=O)(=O)C3)c(=O)c2C1 ZINC000634634128 422518258 /nfs/dbraw/zinc/51/82/58/422518258.db2.gz OYNISHMLRDCEAE-AGIUHOORSA-N -1 1 312.435 1.834 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000578100308 422497762 /nfs/dbraw/zinc/49/77/62/422497762.db2.gz HDXLZVLOHWVCAY-XCBNKYQSSA-N -1 1 311.363 1.590 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000578100308 422497766 /nfs/dbraw/zinc/49/77/66/422497766.db2.gz HDXLZVLOHWVCAY-XCBNKYQSSA-N -1 1 311.363 1.590 20 0 DDADMM O=S(=O)([N-][C@H]1CCn2cncc2C1)c1sccc1Cl ZINC000634661223 422543840 /nfs/dbraw/zinc/54/38/40/422543840.db2.gz MKYRINRGQDFOIL-QMMMGPOBSA-N -1 1 317.823 1.891 20 0 DDADMM C[C@@H]1c2nncn2CCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632745765 422554130 /nfs/dbraw/zinc/55/41/30/422554130.db2.gz OUTURLMPHURDRA-SECBINFHSA-N -1 1 306.753 1.783 20 0 DDADMM COc1ccc(COCCN2C[C@H](OC)C[C@]2(C)C(=O)[O-])cc1 ZINC000634695383 422554970 /nfs/dbraw/zinc/55/49/70/422554970.db2.gz UJAKWSMAOPKYPM-NVXWUHKLSA-N -1 1 323.389 1.776 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC(N2CN=NC2=O)CC1 ZINC000578421582 422565023 /nfs/dbraw/zinc/56/50/23/422565023.db2.gz ISHBXIMJDASNAP-UHFFFAOYSA-N -1 1 306.297 1.306 20 0 DDADMM O=C(CCc1nn[n-]n1)NC12CCC(C(F)(F)F)(CC1)CC2 ZINC000632779122 422573709 /nfs/dbraw/zinc/57/37/09/422573709.db2.gz SZLAVUYGRVURIC-UHFFFAOYSA-N -1 1 317.315 1.904 20 0 DDADMM COC[C@H](NC(=O)Cc1ccc([O-])c(Cl)c1)[C@H]1CCCO1 ZINC000632799354 422586674 /nfs/dbraw/zinc/58/66/74/422586674.db2.gz TUQMWUDYFYVJKU-GXTWGEPZSA-N -1 1 313.781 1.898 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1cnn(CC2CC2)c1)C1CC1 ZINC000629291010 422586752 /nfs/dbraw/zinc/58/67/52/422586752.db2.gz WXKOKCBLJOGMPM-AWEZNQCLSA-N -1 1 313.423 1.850 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1cc(O)cc(OC)c1)C1CC1 ZINC000629294333 422588424 /nfs/dbraw/zinc/58/84/24/422588424.db2.gz XAWPYAGHIMATQM-CQSZACIVSA-N -1 1 315.391 1.958 20 0 DDADMM Cn1nnnc1-c1ccccc1[N-]S(=O)(=O)CCC1CC1 ZINC000634797830 422652419 /nfs/dbraw/zinc/65/24/19/422652419.db2.gz XJNDTEIBZCEREK-UHFFFAOYSA-N -1 1 307.379 1.419 20 0 DDADMM Cc1ccsc1CNC(=O)CN1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000629499728 422704028 /nfs/dbraw/zinc/70/40/28/422704028.db2.gz FGILTTPHAYZDIT-NEPJUHHUSA-N -1 1 310.419 1.715 20 0 DDADMM NC(=O)C1(CNC(=O)Cc2ccc([O-])c(Cl)c2)CCCC1 ZINC000631324814 422686638 /nfs/dbraw/zinc/68/66/38/422686638.db2.gz KHZDZHRPMNDLRE-UHFFFAOYSA-N -1 1 310.781 1.750 20 0 DDADMM O=C(NC[C@@H]1CCCCN1CCO)c1c([O-])cc(F)cc1F ZINC000650596503 423206596 /nfs/dbraw/zinc/20/65/96/423206596.db2.gz DMCPPLWRFDIFML-NSHDSACASA-N -1 1 314.332 1.247 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2c(c1)CCCN2)c1nn[n-]n1 ZINC000648097762 423211766 /nfs/dbraw/zinc/21/17/66/423211766.db2.gz NJAKJVOWTNXWIK-CYBMUJFWSA-N -1 1 300.366 1.829 20 0 DDADMM CC[C@H](C)CS(=O)(=O)[N-][C@H](C(=O)OC)c1ccsc1 ZINC000184256174 263386181 /nfs/dbraw/zinc/38/61/81/263386181.db2.gz UJHTWRHOGUDSAU-ONGXEEELSA-N -1 1 305.421 1.928 20 0 DDADMM CCN(CC)CCS(=O)(=O)N[C@H](CC(C)(C)C)C(=O)[O-] ZINC000646059244 423319145 /nfs/dbraw/zinc/31/91/45/423319145.db2.gz RMLXIZOQSZCOOE-LLVKDONJSA-N -1 1 308.444 1.137 20 0 DDADMM CC[C@H](C)N[C@@H](CNC(=O)N=c1ncn(C)[n-]1)c1ccco1 ZINC000653291715 423425033 /nfs/dbraw/zinc/42/50/33/423425033.db2.gz AEBZJFUOCSUFLL-QWRGUYRKSA-N -1 1 306.370 1.081 20 0 DDADMM CCn1cc(C(=O)C(=O)Nc2cccc(C(C)=O)c2[O-])cn1 ZINC000646215696 423399852 /nfs/dbraw/zinc/39/98/52/423399852.db2.gz UTZVGCGPIDYBKS-UHFFFAOYSA-N -1 1 301.302 1.633 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3c(F)cccc3F)nc2n1 ZINC000643909278 423402161 /nfs/dbraw/zinc/40/21/61/423402161.db2.gz PFHJXVUTZDEZGH-UHFFFAOYSA-N -1 1 305.244 1.257 20 0 DDADMM O=C(c1cccc2[nH]cnc21)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000648893724 423527276 /nfs/dbraw/zinc/52/72/76/423527276.db2.gz VROQRXUPBJGTCD-SECBINFHSA-N -1 1 312.333 1.406 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3CC[C@H]3C)c(=O)c2c(OC)c1 ZINC000641248582 423502857 /nfs/dbraw/zinc/50/28/57/423502857.db2.gz YXGRDONDYSXNNP-SECBINFHSA-N -1 1 302.330 1.780 20 0 DDADMM O=C(N[C@H]1CCCNC1=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000653755735 423596053 /nfs/dbraw/zinc/59/60/53/423596053.db2.gz QEBQTYONXPMGAT-VIFPVBQESA-N -1 1 302.252 1.419 20 0 DDADMM C[C@]1(CS(=O)(=O)[N-]Cc2noc(C3CC3)n2)CC1(F)F ZINC000641401655 423611064 /nfs/dbraw/zinc/61/10/64/423611064.db2.gz CTZTWJHHQWCTDE-SNVBAGLBSA-N -1 1 307.322 1.412 20 0 DDADMM O=C(NC1(c2noc(C(F)F)n2)CCC1)c1cncc([O-])c1 ZINC000646816429 423620352 /nfs/dbraw/zinc/62/03/52/423620352.db2.gz YHCFOOFFCBITDX-UHFFFAOYSA-N -1 1 310.260 1.917 20 0 DDADMM C[C@H](CN(C)C(=O)COC[C@@H](C)c1ccccc1)c1nn[n-]n1 ZINC000651721236 423657472 /nfs/dbraw/zinc/65/74/72/423657472.db2.gz YTGXCHAUIPVCHZ-CHWSQXEVSA-N -1 1 317.393 1.582 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccccc1-n1cncn1)C1CC1 ZINC000647123684 423763362 /nfs/dbraw/zinc/76/33/62/423763362.db2.gz PNTGBHSGIVDSSL-AWEZNQCLSA-N -1 1 322.390 1.434 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC(CCC(=O)[O-])CC2)n[nH]1 ZINC000659396523 423795838 /nfs/dbraw/zinc/79/58/38/423795838.db2.gz CCEKNHLFGPATNP-NSHDSACASA-N -1 1 322.409 1.936 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-]c1csc(C(N)=O)c1 ZINC000641690122 423869749 /nfs/dbraw/zinc/86/97/49/423869749.db2.gz VGRPMKWNKKYRGJ-SNVBAGLBSA-N -1 1 320.436 1.650 20 0 DDADMM CN(C)[C@H](CNC(=O)c1ccc(C(=O)[O-])cn1)c1cccs1 ZINC000642207496 424128436 /nfs/dbraw/zinc/12/84/36/424128436.db2.gz AGYDQFJGTGKTSC-GFCCVEGCSA-N -1 1 319.386 1.874 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)c1cccc(OCC(=O)[O-])c1 ZINC000659751974 424217707 /nfs/dbraw/zinc/21/77/07/424217707.db2.gz OJRSDEKRUSQQQC-UHFFFAOYSA-N -1 1 317.345 1.544 20 0 DDADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCc2ccc(Cl)cc21 ZINC000649747330 424177602 /nfs/dbraw/zinc/17/76/02/424177602.db2.gz WHAZFFHHAUHUTF-JTQLQIEISA-N -1 1 306.797 1.924 20 0 DDADMM O=C(NCc1n[nH]c([C@@H]2CCCO2)n1)c1ccc([O-])c(Cl)c1 ZINC000655238284 424324338 /nfs/dbraw/zinc/32/43/38/424324338.db2.gz ZRQAIFPZRFJSLR-NSHDSACASA-N -1 1 322.752 1.945 20 0 DDADMM CCNC(=O)C(C)(C)NC(=O)N=c1[n-]sc2ccccc21 ZINC000640336888 424355543 /nfs/dbraw/zinc/35/55/43/424355543.db2.gz CZOGCCQLIFMBTE-UHFFFAOYSA-N -1 1 306.391 1.754 20 0 DDADMM C[C@@H]1c2nncn2CCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640339486 424359657 /nfs/dbraw/zinc/35/96/57/424359657.db2.gz QWDMOYXNHPGSEH-SECBINFHSA-N -1 1 314.374 1.918 20 0 DDADMM C[N@@H+]1CC[C@@H]2CN(C(=O)N=c3[n-]sc4ccccc43)C[C@@H]21 ZINC000640339108 424360428 /nfs/dbraw/zinc/36/04/28/424360428.db2.gz LHXWMDQORSMFAP-PWSUYJOCSA-N -1 1 302.403 1.886 20 0 DDADMM O=C(CNC(=O)N=c1[n-]sc2ccccc21)N1CCCCC1 ZINC000640341853 424363388 /nfs/dbraw/zinc/36/33/88/424363388.db2.gz ZJLANSFGPCOKOQ-UHFFFAOYSA-N -1 1 318.402 1.852 20 0 DDADMM C[C@@H]1CCN([C@@H]2CCN(CC(=O)[O-])C2=O)[C@H]1c1cccc(F)c1 ZINC000662205903 424472527 /nfs/dbraw/zinc/47/25/27/424472527.db2.gz NOPNDJGLXCSGDI-DJSGYFEHSA-N -1 1 320.364 1.894 20 0 DDADMM O=S(=O)([N-]c1ccn(-c2ccc(Cl)cc2)n1)N1CCC1 ZINC000655587346 424584188 /nfs/dbraw/zinc/58/41/88/424584188.db2.gz DMDDWYCVHLGSGY-UHFFFAOYSA-N -1 1 312.782 1.888 20 0 DDADMM CC(C)[C@@H](O)[C@H](Nc1nc2[nH][n-]cc-2c(=O)n1)c1ccccc1 ZINC000664532355 424628391 /nfs/dbraw/zinc/62/83/91/424628391.db2.gz RSUSTUTVTJEMOU-CHWSQXEVSA-N -1 1 313.361 1.602 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C1CC1)[C@H]1CCCCO1 ZINC000655628120 424601593 /nfs/dbraw/zinc/60/15/93/424601593.db2.gz XBSXDUHFNJSQMU-MNOVXSKESA-N -1 1 315.395 1.191 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)NC[C@H](C(=O)[O-])C1CCC1 ZINC000665317744 424781608 /nfs/dbraw/zinc/78/16/08/424781608.db2.gz GSEJEFJXFSWSNG-KGLIPLIRSA-N -1 1 311.426 1.613 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2cccc(C(=O)[O-])c2O)cc1 ZINC000317489420 271022246 /nfs/dbraw/zinc/02/22/46/271022246.db2.gz MGMZZQQMPCGEPK-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM Cc1cc(C(=O)N=c2nc[nH][n-]2)nn1-c1c(F)cccc1F ZINC000345005111 272154713 /nfs/dbraw/zinc/15/47/13/272154713.db2.gz AHSBRDKCFAFLRR-UHFFFAOYSA-N -1 1 304.260 1.251 20 0 DDADMM CN(C[C@H]1CC=CCC1)C(=O)CNC(=O)c1ncccc1[O-] ZINC000345034291 272160003 /nfs/dbraw/zinc/16/00/03/272160003.db2.gz NPVYCGCMDBNLGE-LBPRGKRZSA-N -1 1 303.362 1.332 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCc2ccsc2C1 ZINC000345142745 272180533 /nfs/dbraw/zinc/18/05/33/272180533.db2.gz XKSAWHUJBDQCJF-UHFFFAOYSA-N -1 1 317.370 1.163 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc(-c2ccco2)on1 ZINC000345489850 272263269 /nfs/dbraw/zinc/26/32/69/272263269.db2.gz ZNMAUOBOCFEFPP-UHFFFAOYSA-N -1 1 314.305 1.647 20 0 DDADMM CCCCN(CC)C(=O)CN1C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C1 ZINC000345502427 272267367 /nfs/dbraw/zinc/26/73/67/272267367.db2.gz GLDNWYMSNJAVPY-QWRGUYRKSA-N -1 1 324.343 1.830 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)[C@@H](O)C(F)F ZINC000295688713 288056509 /nfs/dbraw/zinc/05/65/09/288056509.db2.gz IUVYNTNFWQGMQB-OIBJUYFYSA-N -1 1 315.288 1.648 20 0 DDADMM CC(C)C[C@H](CNC(=O)N1CCN(C)C[C@H]1C(C)C)C(=O)[O-] ZINC000424405862 279150609 /nfs/dbraw/zinc/15/06/09/279150609.db2.gz FHCQXNRUATUOOW-KGLIPLIRSA-N -1 1 313.442 1.715 20 0 DDADMM COCCn1nc(C)c([N-]S(=O)(=O)CCOC(C)C)c1C ZINC000080327329 281002355 /nfs/dbraw/zinc/00/23/55/281002355.db2.gz WINBTKYMJPRORW-UHFFFAOYSA-N -1 1 319.427 1.313 20 0 DDADMM NC(=O)CCCCNC(=O)c1ccc(Br)cc1[O-] ZINC000089357826 281019970 /nfs/dbraw/zinc/01/99/70/281019970.db2.gz XXBXOEHKXBILBX-UHFFFAOYSA-N -1 1 315.167 1.540 20 0 DDADMM CC1(C)CCC[C@]1(O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294038223 281071089 /nfs/dbraw/zinc/07/10/89/281071089.db2.gz FBCNVXNEDTXZSU-HNNXBMFYSA-N -1 1 320.418 1.689 20 0 DDADMM C[C@@H](NC(=O)c1nc(=O)[n-][nH]1)c1cccc(Cl)c1Cl ZINC000117990262 281084266 /nfs/dbraw/zinc/08/42/66/281084266.db2.gz KIIDGCNFSWBSLY-RXMQYKEDSA-N -1 1 301.133 1.896 20 0 DDADMM CC(C)CO[C@@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000126906878 281256494 /nfs/dbraw/zinc/25/64/94/281256494.db2.gz GQQPQOPNLFDQTQ-GFCCVEGCSA-N -1 1 315.373 1.810 20 0 DDADMM O=C(CSc1ccc(O)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129376097 281341976 /nfs/dbraw/zinc/34/19/76/281341976.db2.gz FFOSNJTUMSBUNT-JTQLQIEISA-N -1 1 319.390 1.404 20 0 DDADMM O=C(N[C@H]1CS(=O)(=O)c2ccccc21)c1c([O-])cccc1F ZINC000130499592 281383242 /nfs/dbraw/zinc/38/32/42/281383242.db2.gz QJKFJLBAWCVHHL-NSHDSACASA-N -1 1 321.329 1.790 20 0 DDADMM CO[C@@H]1CCC[C@H]1[C@H]1COCCN1C(=O)c1ncc(C)cc1[O-] ZINC000408062557 293719972 /nfs/dbraw/zinc/71/99/72/293719972.db2.gz HMVHKXYSUACJMB-GZBFAFLISA-N -1 1 320.389 1.752 20 0 DDADMM C[C@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)CC[S@@]1=O ZINC000290075974 299951389 /nfs/dbraw/zinc/95/13/89/299951389.db2.gz GBHAOVSTEUVEAE-FFVOIRBGSA-N -1 1 303.330 1.654 20 0 DDADMM CCCc1cc(C(=O)N=c2[n-]n(C)cc2C(=O)OCC)ccn1 ZINC000362045697 299993616 /nfs/dbraw/zinc/99/36/16/299993616.db2.gz NTJGZZRQWFZKIG-UHFFFAOYSA-N -1 1 316.361 1.619 20 0 DDADMM O=C(NCc1cc2c(cc1OC(F)F)OCO2)c1cnn[nH]1 ZINC000362568001 300098681 /nfs/dbraw/zinc/09/86/81/300098681.db2.gz DLZLEXTUFLAIMK-UHFFFAOYSA-N -1 1 312.232 1.065 20 0 DDADMM O=C([N-]OCc1ccccc1)[C@H]1CC(=O)N(c2nccs2)C1 ZINC000363115095 300213574 /nfs/dbraw/zinc/21/35/74/300213574.db2.gz WCRNVSYDEQBZLP-LBPRGKRZSA-N -1 1 317.370 1.744 20 0 DDADMM COC(=O)CC1(NC(=O)c2ccc([O-])cc2F)CCOCC1 ZINC000574462024 304654685 /nfs/dbraw/zinc/65/46/85/304654685.db2.gz FBTLWOLOENKBCP-UHFFFAOYSA-N -1 1 311.309 1.373 20 0 DDADMM CNC(=O)c1ccc(=NC[C@@H]2C[C@]2(C)c2cccs2)[n-]n1 ZINC000363299155 300247034 /nfs/dbraw/zinc/24/70/34/300247034.db2.gz LXDZDOPWDYNBMU-BONVTDFDSA-N -1 1 302.403 1.709 20 0 DDADMM CC(C)(C)CCN1CCN(C(=O)[C@@H]2CC[C@H](C(=O)[O-])O2)CC1 ZINC000574517617 304659246 /nfs/dbraw/zinc/65/92/46/304659246.db2.gz DLOGYIIQJXMFIQ-QWHCGFSZSA-N -1 1 312.410 1.199 20 0 DDADMM CCOC(=O)[C@H](CC(C)C)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000367359787 300835634 /nfs/dbraw/zinc/83/56/34/300835634.db2.gz BRDCDNGOXMHUMU-LBPRGKRZSA-N -1 1 310.398 1.668 20 0 DDADMM COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)c1cc(Cl)ccc1[O-] ZINC000368726208 301081701 /nfs/dbraw/zinc/08/17/01/301081701.db2.gz ZQGYOHKZAZNORK-NWDGAFQWSA-N -1 1 313.737 1.184 20 0 DDADMM O=C(N=c1[n-]nc2ccccn21)N1CCCC[C@@H]1c1nnc[nH]1 ZINC000369894611 301235940 /nfs/dbraw/zinc/23/59/40/301235940.db2.gz WVVSHDOKBZFBMJ-SNVBAGLBSA-N -1 1 312.337 1.028 20 0 DDADMM O=C(c1ccc(C2CCC2)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370105178 301276274 /nfs/dbraw/zinc/27/62/74/301276274.db2.gz ZJJRVISEIQGFSL-CQSZACIVSA-N -1 1 313.361 1.681 20 0 DDADMM O=C(NCc1noc(-c2cccc(F)c2)n1)c1cncc([O-])c1 ZINC000376050632 302031687 /nfs/dbraw/zinc/03/16/87/302031687.db2.gz OHFJMOSFBUYCTL-UHFFFAOYSA-N -1 1 314.276 1.906 20 0 DDADMM COC(=O)C[C@@H]1COCCN1C(=O)c1cc(Cl)ccc1[O-] ZINC000377421701 302178249 /nfs/dbraw/zinc/17/82/49/302178249.db2.gz VTVHIUVDOSZWBP-SNVBAGLBSA-N -1 1 313.737 1.450 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2[C@@H]2CCCCC2=O)c([O-])c1 ZINC000330334537 302333289 /nfs/dbraw/zinc/33/32/89/302333289.db2.gz YSGVFKOXXMCXPI-QWHCGFSZSA-N -1 1 318.373 1.696 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3ccc(C)nc3)no2)o1 ZINC000355974458 306823906 /nfs/dbraw/zinc/82/39/06/306823906.db2.gz UBCZACJPVMUDAP-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM C[C@H]1[C@@H](c2ccccc2)[C@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000437390207 302388877 /nfs/dbraw/zinc/38/88/77/302388877.db2.gz VSNJBRULNRNYTD-LSJOCFKGSA-N -1 1 320.374 1.196 20 0 DDADMM C[C@H]1[C@@H](c2ccccc2)[C@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000437390207 302388879 /nfs/dbraw/zinc/38/88/79/302388879.db2.gz VSNJBRULNRNYTD-LSJOCFKGSA-N -1 1 320.374 1.196 20 0 DDADMM CC1(c2nc(=NC(=O)c3[nH]nc4c3CCCC4)s[n-]2)CC1 ZINC000529704228 303145826 /nfs/dbraw/zinc/14/58/26/303145826.db2.gz NQMYXDNRWNEGAY-UHFFFAOYSA-N -1 1 303.391 1.866 20 0 DDADMM COc1cc(C(=O)NC[C@H](O)[C@H]2CCCO2)cc(Cl)c1[O-] ZINC000529774249 303154386 /nfs/dbraw/zinc/15/43/86/303154386.db2.gz ZXAJPBWKGITKMY-WDEREUQCSA-N -1 1 315.753 1.324 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1F ZINC000539323672 303394413 /nfs/dbraw/zinc/39/44/13/303394413.db2.gz LKONPGGCRHYRCN-NSHDSACASA-N -1 1 318.356 1.425 20 0 DDADMM O=S(=O)([N-][C@H]1CCn2ccnc2C1)c1cc2ccccc2o1 ZINC000547642792 303544379 /nfs/dbraw/zinc/54/43/79/303544379.db2.gz GJRVOKJHRUOKOS-LBPRGKRZSA-N -1 1 317.370 1.923 20 0 DDADMM CCNC(=O)c1ccc(=NCCC2Cc3ccccc3C2)[n-]n1 ZINC000549732316 303617308 /nfs/dbraw/zinc/61/73/08/303617308.db2.gz CFSAPXSDPFJHFC-UHFFFAOYSA-N -1 1 310.401 1.865 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cccnc2C(F)(F)F)no1 ZINC000553462729 303676374 /nfs/dbraw/zinc/67/63/74/303676374.db2.gz GZWSTMPRHGRWPO-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)Nc1ccc(=O)[nH]c1 ZINC000359638125 306958987 /nfs/dbraw/zinc/95/89/87/306958987.db2.gz QXBBSJZKCLMTTI-UHFFFAOYSA-N -1 1 313.317 1.334 20 0 DDADMM O=C(C[C@@H]1Sc2ccc(Cl)cc2NC1=O)Nc1nnn[n-]1 ZINC000365115692 307059239 /nfs/dbraw/zinc/05/92/39/307059239.db2.gz GULCVFSXICIRQB-QMMMGPOBSA-N -1 1 324.753 1.295 20 0 DDADMM O=C(C[C@@H]1Sc2ccc(Cl)cc2NC1=O)Nc1nn[n-]n1 ZINC000365115692 307059240 /nfs/dbraw/zinc/05/92/40/307059240.db2.gz GULCVFSXICIRQB-QMMMGPOBSA-N -1 1 324.753 1.295 20 0 DDADMM Cn1ccnc1-c1nnc([N-]C(=O)c2cncc(Cl)n2)s1 ZINC000365296827 307064079 /nfs/dbraw/zinc/06/40/79/307064079.db2.gz QJWDYLOKECGKAR-UHFFFAOYSA-N -1 1 321.753 1.634 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@]2(CCOC2)O1 ZINC000366560529 307076383 /nfs/dbraw/zinc/07/63/83/307076383.db2.gz BZTIITXCQKHOGE-LRDDRELGSA-N -1 1 309.337 1.941 20 0 DDADMM CCOc1cccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000366663931 307079152 /nfs/dbraw/zinc/07/91/52/307079152.db2.gz ROVCTMWAMVDUIZ-GFCCVEGCSA-N -1 1 301.350 1.618 20 0 DDADMM Cc1nc(CO[C@@H]2CCN(C(=O)c3cncc([O-])c3)C2)cs1 ZINC000374889865 307215944 /nfs/dbraw/zinc/21/59/44/307215944.db2.gz AHRFIVFBLWYIRH-CQSZACIVSA-N -1 1 319.386 1.983 20 0 DDADMM C[C@@H](CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000377596037 307274172 /nfs/dbraw/zinc/27/41/72/307274172.db2.gz UODNFHALWWGFDO-WCQYABFASA-N -1 1 301.350 1.293 20 0 DDADMM O=C(C=Cc1c(F)cccc1F)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000377596398 307274181 /nfs/dbraw/zinc/27/41/81/307274181.db2.gz XQZNBUOOAIQPMM-ITKZLYELSA-N -1 1 321.287 1.091 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1C[C@H](c2ccccc2)[C@H]1C ZINC000377861098 307277631 /nfs/dbraw/zinc/27/76/31/307277631.db2.gz PUYCYZWKOGQWSD-JIMOISOXSA-N -1 1 321.402 1.866 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@H](c2ccccc2)[C@H]1C ZINC000377861098 307277632 /nfs/dbraw/zinc/27/76/32/307277632.db2.gz PUYCYZWKOGQWSD-JIMOISOXSA-N -1 1 321.402 1.866 20 0 DDADMM CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)c2cncc([O-])c2)C1 ZINC000495521672 307309094 /nfs/dbraw/zinc/30/90/94/307309094.db2.gz WTMYYKRJCPMZSM-RYUDHWBXSA-N -1 1 321.377 1.774 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@]12C[C@@H]1CCC2 ZINC000520563461 307461244 /nfs/dbraw/zinc/46/12/44/307461244.db2.gz QDPXCPDOZSNTMQ-ZBEGNZNMSA-N -1 1 300.362 1.858 20 0 DDADMM CC1(C)CCC[C@@H]1CCN=c1ccc(C(=O)NCCO)n[n-]1 ZINC000528070161 307526556 /nfs/dbraw/zinc/52/65/56/307526556.db2.gz YOBNRMSXAWLXFK-GFCCVEGCSA-N -1 1 306.410 1.249 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2cc(F)ccc2F)n1 ZINC000528445200 307536276 /nfs/dbraw/zinc/53/62/76/307536276.db2.gz JUFPUEUOTPBNAG-UHFFFAOYSA-N -1 1 302.306 1.055 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@H](Nc2ncccn2)C1 ZINC000563188904 307959597 /nfs/dbraw/zinc/95/95/97/307959597.db2.gz RUGRGCWVROPUSR-JTQLQIEISA-N -1 1 302.309 1.648 20 0 DDADMM Cn1cc([C@H]2C[C@H](NC(=O)c3cncc([O-])c3)CCO2)cn1 ZINC000568778122 308130123 /nfs/dbraw/zinc/13/01/23/308130123.db2.gz BMMICKMSHMEELH-TZMCWYRMSA-N -1 1 302.334 1.171 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C[C@H]1C(=O)[O-] ZINC000570451368 308169972 /nfs/dbraw/zinc/16/99/72/308169972.db2.gz LJLOSOANBFCWLW-GXFFZTMASA-N -1 1 314.345 1.655 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)[C@@H]1CCC(=O)N(C)C1 ZINC000570694661 308177413 /nfs/dbraw/zinc/17/74/13/308177413.db2.gz LPQTXRDXRGCHHM-SECBINFHSA-N -1 1 306.391 1.450 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(Cc1ccccc1)C(C)C ZINC000573167859 308230835 /nfs/dbraw/zinc/23/08/35/308230835.db2.gz AOKPMCAQSVJGQR-UHFFFAOYSA-N -1 1 309.391 1.995 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncc(C)cc1[O-])c1cccnc1 ZINC000577686659 308406518 /nfs/dbraw/zinc/40/65/18/308406518.db2.gz AWVFMJGNIYYUQX-LBPRGKRZSA-N -1 1 301.302 1.135 20 0 DDADMM Cc1cnc(CCN2CCN(c3nc(=N)[n-]s3)CC2)c(C)c1 ZINC000580831156 308634353 /nfs/dbraw/zinc/63/43/53/308634353.db2.gz FSVMDGAXJNHORG-UHFFFAOYSA-N -1 1 318.450 1.327 20 0 DDADMM CN1CC[C@@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CC1=O ZINC000549583921 325801453 /nfs/dbraw/zinc/80/14/53/325801453.db2.gz DNSKABURMHVTBK-LLVKDONJSA-N -1 1 314.345 1.589 20 0 DDADMM CN(C)C(=O)NCCN(C)C(=O)c1c(F)ccc([O-])c1F ZINC000578558656 325811473 /nfs/dbraw/zinc/81/14/73/325811473.db2.gz XUXGUUDPJLQIJG-UHFFFAOYSA-N -1 1 301.293 1.014 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H](C)Oc3ccccc3)nc2n1 ZINC000137510687 484036503 /nfs/dbraw/zinc/03/65/03/484036503.db2.gz OIHFNQJBVGYISR-JTQLQIEISA-N -1 1 313.317 1.132 20 0 DDADMM COc1cccc([C@@H](N)C(=O)NC[C@H](CC(=O)[O-])CC(C)C)c1 ZINC000656432187 484127032 /nfs/dbraw/zinc/12/70/32/484127032.db2.gz MXYAKVDWBHHYBX-BLLLJJGKSA-N -1 1 322.405 1.948 20 0 DDADMM CC1(C)C[C@@]1(C(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000663111173 484676810 /nfs/dbraw/zinc/67/68/10/484676810.db2.gz SLDMODCFTMGQTI-HNNXBMFYSA-N -1 1 300.318 1.707 20 0 DDADMM CC1(C)C[C@@]1(C(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000663115568 484680866 /nfs/dbraw/zinc/68/08/66/484680866.db2.gz WUQJJPUHMLCNNF-SFHVURJKSA-N -1 1 316.401 1.662 20 0 DDADMM CCCN1CCC[C@@H]1C(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1 ZINC000663137799 484696243 /nfs/dbraw/zinc/69/62/43/484696243.db2.gz BQGIESAMCFZCIS-LLVKDONJSA-N -1 1 308.382 1.516 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@@H]1Cc2ccccc2CN1)C1CCC1 ZINC000663279347 484782530 /nfs/dbraw/zinc/78/25/30/484782530.db2.gz GXIDUJMLHADIAK-CABCVRRESA-N -1 1 302.374 1.318 20 0 DDADMM O=C(c1cc(C2CC2)on1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670383639 484801245 /nfs/dbraw/zinc/80/12/45/484801245.db2.gz FNNUWKLCLRQEFY-VIFPVBQESA-N -1 1 304.306 1.660 20 0 DDADMM COCCOCCCNC(=O)c1ncc2ccccc2c1[O-] ZINC000665524885 484907662 /nfs/dbraw/zinc/90/76/62/484907662.db2.gz PIPWELDUNVUBAG-UHFFFAOYSA-N -1 1 304.346 1.723 20 0 DDADMM CCCCN(CC(N)=O)C(=O)c1ncc2ccccc2c1[O-] ZINC000666357131 485183420 /nfs/dbraw/zinc/18/34/20/485183420.db2.gz CZDLTVYWWFCYNK-UHFFFAOYSA-N -1 1 301.346 1.668 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC[C@H]2C[C@H]21 ZINC000672128332 485230896 /nfs/dbraw/zinc/23/08/96/485230896.db2.gz JSWDRINPALCJKD-WCQYABFASA-N -1 1 300.362 1.605 20 0 DDADMM NC(=O)[C@@H]1CCC[C@H](NC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000669002288 485376468 /nfs/dbraw/zinc/37/64/68/485376468.db2.gz XWAYOECVJPKKRY-PWSUYJOCSA-N -1 1 313.357 1.714 20 0 DDADMM C[C@@H](NC(=O)c1ncc2ccccc2c1[O-])c1nnc2n1CCC2 ZINC000667026432 485396184 /nfs/dbraw/zinc/39/61/84/485396184.db2.gz KJNWYASZLQCLFC-SNVBAGLBSA-N -1 1 323.356 1.969 20 0 DDADMM NC(=O)NC1CCN(C(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000669325824 485430214 /nfs/dbraw/zinc/43/02/14/485430214.db2.gz PWEHANOADJHJPM-UHFFFAOYSA-N -1 1 314.345 1.213 20 0 DDADMM CCc1cccc(CC)c1S(=O)(=O)[N-]c1cc(C(N)=O)[nH]n1 ZINC000679202287 485806015 /nfs/dbraw/zinc/80/60/15/485806015.db2.gz DVSKIMUMQLJXAI-UHFFFAOYSA-N -1 1 322.390 1.434 20 0 DDADMM COCCC[C@@H](C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000679267323 485827990 /nfs/dbraw/zinc/82/79/90/485827990.db2.gz AOMJPVIBRFWSMM-YPMHNXCESA-N -1 1 303.366 1.468 20 0 DDADMM CC(C)OCCCC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000680318937 486145488 /nfs/dbraw/zinc/14/54/88/486145488.db2.gz UJDNQASJSZXSGM-AWEZNQCLSA-N -1 1 303.366 1.611 20 0 DDADMM Cc1cnc(C(=O)N2CSC[C@@H]2C(=O)NCC2CC2)c([O-])c1 ZINC000680845203 486280900 /nfs/dbraw/zinc/28/09/00/486280900.db2.gz XRBJFUMQIISUHG-LLVKDONJSA-N -1 1 321.402 1.137 20 0 DDADMM Cn1cc(CN2CCN(C(=O)c3ccc([O-])c(F)c3)CC2)cn1 ZINC000681042522 486329439 /nfs/dbraw/zinc/32/94/39/486329439.db2.gz XMFHBBVTANGPGK-UHFFFAOYSA-N -1 1 318.352 1.223 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2cc(Cl)cnc2N)o1 ZINC000676891254 486356128 /nfs/dbraw/zinc/35/61/28/486356128.db2.gz FJCZFPIYWDBWKX-YFKPBYRVSA-N -1 1 317.758 1.048 20 0 DDADMM CC[C@H](C)[C@@H](OC)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000684566906 486384723 /nfs/dbraw/zinc/38/47/23/486384723.db2.gz JBPJXEJCODEMIF-WCFLWFBJSA-N -1 1 303.366 1.466 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCO[C@H](C(C)(C)C)C1 ZINC000685504991 486553037 /nfs/dbraw/zinc/55/30/37/486553037.db2.gz ZRUOENWDGIRKTL-UWVGGRQHSA-N -1 1 317.411 1.437 20 0 DDADMM Cc1cn(-c2ccccc2Cl)nc1C(=O)NCc1nn[n-]n1 ZINC000678042281 486614939 /nfs/dbraw/zinc/61/49/39/486614939.db2.gz URSPDIDFCUCRIB-UHFFFAOYSA-N -1 1 317.740 1.277 20 0 DDADMM COC(=O)c1cnc([C@@H](C)[N-]S(=O)(=O)c2ccoc2)s1 ZINC000425202685 534308484 /nfs/dbraw/zinc/30/84/84/534308484.db2.gz KXRVNCIQINDWGV-SSDOTTSWSA-N -1 1 316.360 1.562 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](CO)CC(C)(C)C)sc1C ZINC000443486582 534518529 /nfs/dbraw/zinc/51/85/29/534518529.db2.gz PBFMCEWKVVXHCD-SNVBAGLBSA-N -1 1 306.453 1.835 20 0 DDADMM O=C(NC[C@@H](CO)Cc1cccnc1)c1c(F)ccc([O-])c1F ZINC000294511610 534529104 /nfs/dbraw/zinc/52/91/04/534529104.db2.gz RUBZYZYXLXMMIS-NSHDSACASA-N -1 1 322.311 1.646 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H]1CC[C@H](C)O1 ZINC000457356631 534644515 /nfs/dbraw/zinc/64/45/15/534644515.db2.gz GZNWYSZOEJSCAJ-CABZTGNLSA-N -1 1 318.377 1.278 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC[C@@H]1C[C@H]1C ZINC000299650211 534646268 /nfs/dbraw/zinc/64/62/68/534646268.db2.gz KKCXAPIHEWEGLS-SKDRFNHKSA-N -1 1 302.378 1.757 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1ccc(OC(F)F)cn1 ZINC000424211570 534861118 /nfs/dbraw/zinc/86/11/18/534861118.db2.gz KIEHBGBCWSZEGF-UHFFFAOYSA-N -1 1 322.333 1.851 20 0 DDADMM O=S(=O)([N-]CCC1(CO)CC1)c1ccc(Br)o1 ZINC000451940798 534865944 /nfs/dbraw/zinc/86/59/44/534865944.db2.gz VRKDSHMVSSHXFV-UHFFFAOYSA-N -1 1 324.196 1.483 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@@H](CO)O1)c1c(F)cccc1Cl ZINC000431589055 534868967 /nfs/dbraw/zinc/86/89/67/534868967.db2.gz JASVMDCLORXDCX-BDAKNGLRSA-N -1 1 323.773 1.297 20 0 DDADMM O=C([O-])c1ccc(NS(=O)(=O)c2cncc(F)c2)cc1F ZINC000229620291 526379321 /nfs/dbraw/zinc/37/93/21/526379321.db2.gz ZVIRNAWJYUTZDJ-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM Brc1cnc2[nH]c(SCc3nn[n-]n3)nc2c1 ZINC000435185114 526650714 /nfs/dbraw/zinc/65/07/14/526650714.db2.gz GQKSLZMGPPCPRB-UHFFFAOYSA-N -1 1 312.156 1.526 20 0 DDADMM CC(C)(CCNC(=O)c1cc(Cl)ccc1[O-])S(C)(=O)=O ZINC000412655385 527045313 /nfs/dbraw/zinc/04/53/13/527045313.db2.gz LDEMQTRAVJODDC-UHFFFAOYSA-N -1 1 319.810 1.989 20 0 DDADMM CC(C)(CO)O[N-]C(=O)CCc1nc(-c2cccs2)no1 ZINC000297339631 527209883 /nfs/dbraw/zinc/20/98/83/527209883.db2.gz XJSJVVRBUGXVBW-UHFFFAOYSA-N -1 1 311.363 1.549 20 0 DDADMM CC(C)(NC(=O)C=Cc1ccccc1OC(F)F)c1nn[n-]n1 ZINC000491929751 527261616 /nfs/dbraw/zinc/26/16/16/527261616.db2.gz LEPURYYKQJEADS-BQYQJAHWSA-N -1 1 323.303 1.866 20 0 DDADMM CCCN(C(=O)c1ncc(C)cc1[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000330915150 527797395 /nfs/dbraw/zinc/79/73/95/527797395.db2.gz YUVABBZFFGNXDF-LLVKDONJSA-N -1 1 312.391 1.135 20 0 DDADMM CC1(CNC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CCCC1 ZINC000331372423 527949461 /nfs/dbraw/zinc/94/94/61/527949461.db2.gz AESIWNZJZZPBTI-UHFFFAOYSA-N -1 1 324.454 1.363 20 0 DDADMM CCN1C[C@@H]([N-]S(=O)(=O)c2c(F)cc(C)cc2F)CC1=O ZINC000425189738 527950351 /nfs/dbraw/zinc/95/03/51/527950351.db2.gz SZHQKLLAOGDYII-VIFPVBQESA-N -1 1 318.345 1.172 20 0 DDADMM CC(C)c1n[nH]c(C(N)=O)c1[N-]S(=O)(=O)c1cccs1 ZINC000451118659 528001948 /nfs/dbraw/zinc/00/19/48/528001948.db2.gz XYTXQUVKNVTKKQ-UHFFFAOYSA-N -1 1 314.392 1.494 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H](c2cccnc2)C(C)C)[n-]n1 ZINC000413177406 528229970 /nfs/dbraw/zinc/22/99/70/528229970.db2.gz LVNWOYNGZGKGTI-CQSZACIVSA-N -1 1 313.405 1.895 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC2(SC)CC2)o1 ZINC000433356517 528244037 /nfs/dbraw/zinc/24/40/37/528244037.db2.gz UHYNNQQDNXKYRD-UHFFFAOYSA-N -1 1 319.404 1.630 20 0 DDADMM CCOc1ccc(CN[C@H](C(=O)[O-])c2cnn(C)c2)cc1OC ZINC000417594550 528462561 /nfs/dbraw/zinc/46/25/61/528462561.db2.gz IXHPPLMZPARJKB-HNNXBMFYSA-N -1 1 319.361 1.743 20 0 DDADMM CCN(CCOC)C(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000299572798 528556987 /nfs/dbraw/zinc/55/69/87/528556987.db2.gz ICFPRNOSDXYYQU-UHFFFAOYSA-N -1 1 320.393 1.479 20 0 DDADMM CCOC[C@H]1CCCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000458080197 529015035 /nfs/dbraw/zinc/01/50/35/529015035.db2.gz LOXGAMSDTVQQGJ-LLVKDONJSA-N -1 1 318.377 1.705 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc2cc(F)ccc2o1)C(=O)NC ZINC000452085160 529195731 /nfs/dbraw/zinc/19/57/31/529195731.db2.gz NTKRXGOUHIWMAA-SNVBAGLBSA-N -1 1 314.338 1.375 20 0 DDADMM CC[C@@H](Oc1cccc(C)c1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000413510848 529246092 /nfs/dbraw/zinc/24/60/92/529246092.db2.gz HVDRQDUFIVCTIL-GFCCVEGCSA-N -1 1 303.366 1.717 20 0 DDADMM CC[C@@H]1CCCC[C@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332481960 529285278 /nfs/dbraw/zinc/28/52/78/529285278.db2.gz WWYZKXWNMABJBY-VXGBXAGGSA-N -1 1 323.466 1.816 20 0 DDADMM C[C@@](O)(CNc1nccnc1-c1nnn[n-]1)c1cccs1 ZINC000736140911 598857759 /nfs/dbraw/zinc/85/77/59/598857759.db2.gz FAHLPPPXWCKJTK-GFCCVEGCSA-N -1 1 303.351 1.038 20 0 DDADMM C[C@@](O)(CNc1nccnc1-c1nn[n-]n1)c1cccs1 ZINC000736140911 598857760 /nfs/dbraw/zinc/85/77/60/598857760.db2.gz FAHLPPPXWCKJTK-GFCCVEGCSA-N -1 1 303.351 1.038 20 0 DDADMM CC(C)N1CC[C@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)C1=O ZINC000735882283 598944134 /nfs/dbraw/zinc/94/41/34/598944134.db2.gz OSEFPXAQTLLNLE-VIFPVBQESA-N -1 1 321.772 1.336 20 0 DDADMM CC(C)N1CC[C@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)C1=O ZINC000735882283 598944136 /nfs/dbraw/zinc/94/41/36/598944136.db2.gz OSEFPXAQTLLNLE-VIFPVBQESA-N -1 1 321.772 1.336 20 0 DDADMM CC(C)(C)[C@H](O)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000735597192 598968239 /nfs/dbraw/zinc/96/82/39/598968239.db2.gz NGGOMMIUXRFRFB-LLVKDONJSA-N -1 1 313.365 1.629 20 0 DDADMM CC(C)(C)[C@H](O)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000735597192 598968241 /nfs/dbraw/zinc/96/82/41/598968241.db2.gz NGGOMMIUXRFRFB-LLVKDONJSA-N -1 1 313.365 1.629 20 0 DDADMM O=C(NCCSC(F)(F)F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738085428 598994529 /nfs/dbraw/zinc/99/45/29/598994529.db2.gz IYSKQCSPASPKIG-UHFFFAOYSA-N -1 1 318.284 1.245 20 0 DDADMM O=C(NCCSC(F)(F)F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738085428 598994531 /nfs/dbraw/zinc/99/45/31/598994531.db2.gz IYSKQCSPASPKIG-UHFFFAOYSA-N -1 1 318.284 1.245 20 0 DDADMM C[C@]1(c2ccccc2)C[C@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736224252 599093879 /nfs/dbraw/zinc/09/38/79/599093879.db2.gz RFBAIAFWXOVWKS-RHSMWYFYSA-N -1 1 320.356 1.722 20 0 DDADMM C[C@]1(c2ccccc2)C[C@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736224252 599093882 /nfs/dbraw/zinc/09/38/82/599093882.db2.gz RFBAIAFWXOVWKS-RHSMWYFYSA-N -1 1 320.356 1.722 20 0 DDADMM O=C([O-])C[C@H]1CCCN([C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000819375571 597087452 /nfs/dbraw/zinc/08/74/52/597087452.db2.gz QCBPPRFIHVIKLU-ZJUUUORDSA-N -1 1 308.300 1.336 20 0 DDADMM Cc1nnc(SCCC(=O)N2CCC[C@H](CC(=O)[O-])C2)[nH]1 ZINC000819035886 597104213 /nfs/dbraw/zinc/10/42/13/597104213.db2.gz FCAZHHXUDKTJOY-SNVBAGLBSA-N -1 1 312.395 1.309 20 0 DDADMM CC(C)C1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000735751215 599247054 /nfs/dbraw/zinc/24/70/54/599247054.db2.gz VOSFVRPBINPOFS-UHFFFAOYSA-N -1 1 300.366 1.770 20 0 DDADMM CC(C)C1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000735751215 599247057 /nfs/dbraw/zinc/24/70/57/599247057.db2.gz VOSFVRPBINPOFS-UHFFFAOYSA-N -1 1 300.366 1.770 20 0 DDADMM CN1CCN(Cc2cccc(C(=O)NCCCC(=O)[O-])c2)CC1 ZINC000262754248 597361551 /nfs/dbraw/zinc/36/15/51/597361551.db2.gz OGLQGFZBULDNFA-UHFFFAOYSA-N -1 1 319.405 1.029 20 0 DDADMM CC(C)NC(=O)CNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000735888995 599258601 /nfs/dbraw/zinc/25/86/01/599258601.db2.gz USNBBQSCQQSGCD-UHFFFAOYSA-N -1 1 311.349 1.351 20 0 DDADMM CC(C)NC(=O)CNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000735888995 599258603 /nfs/dbraw/zinc/25/86/03/599258603.db2.gz USNBBQSCQQSGCD-UHFFFAOYSA-N -1 1 311.349 1.351 20 0 DDADMM C[C@H](C(=O)[O-])N(C)Cc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000262642321 597476845 /nfs/dbraw/zinc/47/68/45/597476845.db2.gz JEHXVGLMHLXJTK-MRVPVSSYSA-N -1 1 307.318 1.588 20 0 DDADMM CC(=O)NCCN(Cc1cc(F)c(F)c(F)c1)[C@@H](C)C(=O)[O-] ZINC000819770061 597703778 /nfs/dbraw/zinc/70/37/78/597703778.db2.gz GJABYEAFZDIUKA-QMMMGPOBSA-N -1 1 318.295 1.515 20 0 DDADMM C[C@@H](NCC(=O)NCC(=O)[O-])c1ccccc1C(F)(F)F ZINC000820141575 597808708 /nfs/dbraw/zinc/80/87/08/597808708.db2.gz FCGROWPEBAAVEL-MRVPVSSYSA-N -1 1 304.268 1.557 20 0 DDADMM COC(=O)[C@@H](CNc1cccc(-c2nnn[n-]2)n1)c1ccccc1 ZINC000821640275 607327048 /nfs/dbraw/zinc/32/70/48/607327048.db2.gz FCHBBROKVDXAGU-LBPRGKRZSA-N -1 1 324.344 1.630 20 0 DDADMM COC(=O)[C@@H](CNc1cccc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000821640275 607327049 /nfs/dbraw/zinc/32/70/49/607327049.db2.gz FCHBBROKVDXAGU-LBPRGKRZSA-N -1 1 324.344 1.630 20 0 DDADMM CC(C)[C@@H](NCC(=O)NCCc1ccc(Cl)cc1)C(=O)[O-] ZINC000820041362 598188425 /nfs/dbraw/zinc/18/84/25/598188425.db2.gz DQFYEQSPHWYVPN-CQSZACIVSA-N -1 1 312.797 1.698 20 0 DDADMM CC(C)[C@@H]1CCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735749590 598268512 /nfs/dbraw/zinc/26/85/12/598268512.db2.gz NIWPWXSWVCDBBK-LBPRGKRZSA-N -1 1 322.394 1.071 20 0 DDADMM CC(C)[C@@H]1CCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735749590 598268513 /nfs/dbraw/zinc/26/85/13/598268513.db2.gz NIWPWXSWVCDBBK-LBPRGKRZSA-N -1 1 322.394 1.071 20 0 DDADMM CN1CCN(c2nccnc2-c2nnn[n-]2)Cc2ccccc21 ZINC000736868165 598522873 /nfs/dbraw/zinc/52/28/73/598522873.db2.gz PXKUWSJHTSPZLM-UHFFFAOYSA-N -1 1 308.349 1.113 20 0 DDADMM CN1CCN(c2nccnc2-c2nn[n-]n2)Cc2ccccc21 ZINC000736868165 598522874 /nfs/dbraw/zinc/52/28/74/598522874.db2.gz PXKUWSJHTSPZLM-UHFFFAOYSA-N -1 1 308.349 1.113 20 0 DDADMM C[C@@H](Cn1cccn1)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000736075936 598542629 /nfs/dbraw/zinc/54/26/29/598542629.db2.gz BBYZILUNMFZHOB-LURJTMIESA-N -1 1 310.774 1.674 20 0 DDADMM C[C@@H](Cn1cccn1)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000736075936 598542630 /nfs/dbraw/zinc/54/26/30/598542630.db2.gz BBYZILUNMFZHOB-LURJTMIESA-N -1 1 310.774 1.674 20 0 DDADMM C[C@@H](Cn1cccn1)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736082351 598574679 /nfs/dbraw/zinc/57/46/79/598574679.db2.gz UKMZGZNPKHAVPA-QMMMGPOBSA-N -1 1 304.745 1.612 20 0 DDADMM C[C@@H](Cn1cccn1)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736082351 598574680 /nfs/dbraw/zinc/57/46/80/598574680.db2.gz UKMZGZNPKHAVPA-QMMMGPOBSA-N -1 1 304.745 1.612 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1CC(=O)N1CCCCCC1 ZINC000091132418 601023061 /nfs/dbraw/zinc/02/30/61/601023061.db2.gz NVESRIZOZLBFCH-GFCCVEGCSA-N -1 1 300.424 1.281 20 0 DDADMM COc1cccc(C[C@H](C)Nc2nccnc2-c2nnn[n-]2)c1 ZINC000737129122 598795655 /nfs/dbraw/zinc/79/56/55/598795655.db2.gz IOGNIHHTSVGOEG-JTQLQIEISA-N -1 1 311.349 1.708 20 0 DDADMM COc1cccc(C[C@H](C)Nc2nccnc2-c2nn[n-]n2)c1 ZINC000737129122 598795657 /nfs/dbraw/zinc/79/56/57/598795657.db2.gz IOGNIHHTSVGOEG-JTQLQIEISA-N -1 1 311.349 1.708 20 0 DDADMM O=C1OC(c2ccsc2)=NC1=Cc1ccc(-c2nnn[n-]2)o1 ZINC000823551026 599310145 /nfs/dbraw/zinc/31/01/45/599310145.db2.gz CBIPOUDQIFLDEK-WEVVVXLNSA-N -1 1 313.298 1.866 20 0 DDADMM O=C1OC(c2ccsc2)=NC1=Cc1ccc(-c2nn[n-]n2)o1 ZINC000823551026 599310147 /nfs/dbraw/zinc/31/01/47/599310147.db2.gz CBIPOUDQIFLDEK-WEVVVXLNSA-N -1 1 313.298 1.866 20 0 DDADMM COc1cccc([C@H](O)CNc2cccc(-c3nnn[n-]3)n2)c1 ZINC000737127586 599437006 /nfs/dbraw/zinc/43/70/06/599437006.db2.gz LKHXLYHPTUKAIE-CYBMUJFWSA-N -1 1 312.333 1.416 20 0 DDADMM COc1cccc([C@H](O)CNc2cccc(-c3nn[n-]n3)n2)c1 ZINC000737127586 599437008 /nfs/dbraw/zinc/43/70/08/599437008.db2.gz LKHXLYHPTUKAIE-CYBMUJFWSA-N -1 1 312.333 1.416 20 0 DDADMM COC(=O)[C@H](CC(C)C)OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821640331 599624653 /nfs/dbraw/zinc/62/46/53/599624653.db2.gz GHKBFYRPOCNVLF-NSHDSACASA-N -1 1 319.321 1.006 20 0 DDADMM COC(=O)[C@H](CC(C)C)OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821640331 599624654 /nfs/dbraw/zinc/62/46/54/599624654.db2.gz GHKBFYRPOCNVLF-NSHDSACASA-N -1 1 319.321 1.006 20 0 DDADMM CO[C@@H](CNC(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)(C)C ZINC000821677070 599654618 /nfs/dbraw/zinc/65/46/18/599654618.db2.gz NSPXBPSRQPLWBT-NSHDSACASA-N -1 1 304.354 1.053 20 0 DDADMM CO[C@@H](CNC(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)(C)C ZINC000821677070 599654619 /nfs/dbraw/zinc/65/46/19/599654619.db2.gz NSPXBPSRQPLWBT-NSHDSACASA-N -1 1 304.354 1.053 20 0 DDADMM CO[C@]1(C)C[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1(C)C ZINC000821679238 599655543 /nfs/dbraw/zinc/65/55/43/599655543.db2.gz YVOZNZGRNYGLCB-IAQYHMDHSA-N -1 1 316.365 1.195 20 0 DDADMM CO[C@]1(C)C[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1(C)C ZINC000821679238 599655544 /nfs/dbraw/zinc/65/55/44/599655544.db2.gz YVOZNZGRNYGLCB-IAQYHMDHSA-N -1 1 316.365 1.195 20 0 DDADMM O=C(OCC(F)(F)C(F)F)c1sccc1-c1nn[n-]n1 ZINC000823396039 599659251 /nfs/dbraw/zinc/65/92/51/599659251.db2.gz DHBGPCXHAKFMCR-UHFFFAOYSA-N -1 1 310.232 1.985 20 0 DDADMM C[C@@H](CNC(=O)CCNC(=O)[O-])N1CCc2ccccc2C1 ZINC000736594847 599719241 /nfs/dbraw/zinc/71/92/41/599719241.db2.gz DBYJYGHPNZLRID-LBPRGKRZSA-N -1 1 305.378 1.207 20 0 DDADMM COc1ccccc1[C@H](C)N(C)C(=O)CNC(C)(C)C(=O)[O-] ZINC000738120586 599925261 /nfs/dbraw/zinc/92/52/61/599925261.db2.gz MZCQOEMGMIETTG-NSHDSACASA-N -1 1 308.378 1.667 20 0 DDADMM COc1ccc([C@H](NC(=O)CNC(C)(C)C(=O)[O-])C(C)C)cc1 ZINC000737959354 599925501 /nfs/dbraw/zinc/92/55/01/599925501.db2.gz AWYQZBIUIWEANI-OAHLLOKOSA-N -1 1 322.405 1.961 20 0 DDADMM CCCc1c(C(=O)NCCc2nc(C)n[nH]2)[nH]c(C)c1C(=O)[O-] ZINC000737041231 600004440 /nfs/dbraw/zinc/00/44/40/600004440.db2.gz QEEIBCBHPXNSBJ-UHFFFAOYSA-N -1 1 319.365 1.373 20 0 DDADMM Cc1nn(C)c(NS(=O)(=O)c2ccc(C(=O)[O-])cc2C)c1C ZINC000738347160 600126733 /nfs/dbraw/zinc/12/67/33/600126733.db2.gz CJKWWYOAVSZUCK-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM CN(C)CC(=O)NC[C@H](Cc1ccc2ccccc2c1)C(=O)[O-] ZINC000737362296 600180812 /nfs/dbraw/zinc/18/08/12/600180812.db2.gz ZQVRCJZFYAFZRD-INIZCTEOSA-N -1 1 314.385 1.761 20 0 DDADMM CC(C)N(CCC(=O)[O-])CC(=O)N1CCC(c2ccccc2)=N1 ZINC000736465445 600222975 /nfs/dbraw/zinc/22/29/75/600222975.db2.gz FUCNUUMRTLCFMV-UHFFFAOYSA-N -1 1 317.389 1.808 20 0 DDADMM CC(C)[C@]1(C)CC(=O)N(CN(C[C@H](C)C(=O)[O-])C2CC2)C1=O ZINC000736577932 600259586 /nfs/dbraw/zinc/25/95/86/600259586.db2.gz HOXPUIUASOUPBB-ZBEGNZNMSA-N -1 1 310.394 1.550 20 0 DDADMM CCC[C@](C)(NCC(=O)Nc1cccc(NC(C)=O)c1)C(=O)[O-] ZINC000736961334 600461336 /nfs/dbraw/zinc/46/13/36/600461336.db2.gz ABVTWBUGLBALHB-INIZCTEOSA-N -1 1 321.377 1.817 20 0 DDADMM COC[C@H]1CCN([C@H](C)C(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C1 ZINC000737751244 600573825 /nfs/dbraw/zinc/57/38/25/600573825.db2.gz IAGKXOGBWGLBTN-NEPJUHHUSA-N -1 1 308.378 1.869 20 0 DDADMM COC[C@H]1CCCN(CC(=O)Nc2ccccc2C(=O)[O-])C1 ZINC000737755116 600614172 /nfs/dbraw/zinc/61/41/72/600614172.db2.gz WAEDBUHWZZSKEX-LBPRGKRZSA-N -1 1 306.362 1.682 20 0 DDADMM C[C@H](c1ccc(C(=O)[O-])o1)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000736717677 600626617 /nfs/dbraw/zinc/62/66/17/600626617.db2.gz PKWMDGLUEKWXIC-FRRDWIJNSA-N -1 1 308.378 1.570 20 0 DDADMM C[C@H](Sc1ccccc1C(=O)N(C)Cc1nnc[nH]1)C(=O)[O-] ZINC000736712492 600680741 /nfs/dbraw/zinc/68/07/41/600680741.db2.gz MUOGWINONMLKEZ-VIFPVBQESA-N -1 1 320.374 1.642 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)CC(=O)NCCC(=O)[O-])C12CCCC2 ZINC000737157856 600721445 /nfs/dbraw/zinc/72/14/45/600721445.db2.gz OQAMKFGCNCOWPQ-OLZOCXBDSA-N -1 1 312.410 1.247 20 0 DDADMM C[C@H]1C[C@@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)CCN1C ZINC000157599876 600766064 /nfs/dbraw/zinc/76/60/64/600766064.db2.gz JISJLQCUOJUOQQ-JQWIXIFHSA-N -1 1 312.391 1.146 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2cncc(F)c2)CC1 ZINC000827356441 601094238 /nfs/dbraw/zinc/09/42/38/601094238.db2.gz RXCIZPIELCOBOP-QAVQXKDTSA-N -1 1 321.352 1.241 20 0 DDADMM Cc1ccc([C@H](CNC(=O)CCc2nc[nH]n2)C(=O)[O-])cc1 ZINC000832922159 601137380 /nfs/dbraw/zinc/13/73/80/601137380.db2.gz ONLXIOCACQSDGW-LBPRGKRZSA-N -1 1 302.334 1.030 20 0 DDADMM C[C@](NCC(=O)N1CCc2sccc2C1)(C(=O)[O-])C1CC1 ZINC000827727719 601173088 /nfs/dbraw/zinc/17/30/88/601173088.db2.gz MDYHQMHTNVVBOH-OAHLLOKOSA-N -1 1 308.403 1.476 20 0 DDADMM CCC(CC)(CC(=O)[O-])NC(=O)CCSc1nnc(C)[nH]1 ZINC000828779953 601307858 /nfs/dbraw/zinc/30/78/58/601307858.db2.gz LKQUHVJXXWKSIB-UHFFFAOYSA-N -1 1 314.411 1.745 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)cc1 ZINC000831399774 601601502 /nfs/dbraw/zinc/60/15/02/601601502.db2.gz YCVTURBSACHZEX-ZDUSSCGKSA-N -1 1 322.361 1.501 20 0 DDADMM COC[C@@](C)(NC(=O)[C@H](C)N(C)Cc1ccccc1)C(=O)[O-] ZINC000831597022 601693682 /nfs/dbraw/zinc/69/36/82/601693682.db2.gz NZYUVSBDHPGZHG-BLLLJJGKSA-N -1 1 308.378 1.113 20 0 DDADMM C[C@H](C(=O)N1CC[C@@](F)(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000825982320 601714859 /nfs/dbraw/zinc/71/48/59/601714859.db2.gz AMEQDVUIEJLCEH-WBMJQRKESA-N -1 1 308.353 1.532 20 0 DDADMM O=C([O-])NC1(CNC(=O)c2[nH]nc3c2CCCC3)CCCCC1 ZINC000740546880 601859992 /nfs/dbraw/zinc/85/99/92/601859992.db2.gz AIGKNKSNDIUGGK-UHFFFAOYSA-N -1 1 320.393 1.989 20 0 DDADMM Cc1ccc([S@@](=O)Cc2ccnc(-c3nnn[n-]3)c2)nc1 ZINC000826292877 607530546 /nfs/dbraw/zinc/53/05/46/607530546.db2.gz PZCCLKQLXFALIC-NRFANRHFSA-N -1 1 300.347 1.273 20 0 DDADMM Cc1ccc([S@@](=O)Cc2ccnc(-c3nn[n-]n3)c2)nc1 ZINC000826292877 607530547 /nfs/dbraw/zinc/53/05/47/607530547.db2.gz PZCCLKQLXFALIC-NRFANRHFSA-N -1 1 300.347 1.273 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C[C@@H](O)c1ccc(F)c(F)c1 ZINC000833220975 601894452 /nfs/dbraw/zinc/89/44/52/601894452.db2.gz GDFNQLRNIHOHIT-GXFFZTMASA-N -1 1 317.357 1.890 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CN2C(=O)CC3(CCCCC3)C2=O)C1 ZINC000828029139 601895756 /nfs/dbraw/zinc/89/57/56/601895756.db2.gz RYTXPZGDIZNUOJ-HNNXBMFYSA-N -1 1 308.378 1.450 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C[C@@H]1COc2ccccc2O1 ZINC000091130699 601923658 /nfs/dbraw/zinc/92/36/58/601923658.db2.gz MZTDQFVYPDAICU-NWDGAFQWSA-N -1 1 309.387 1.719 20 0 DDADMM C[C@@H](C1CC1)N(C(=O)CN1CC[C@H](CNC(=O)[O-])C1)C1CC1 ZINC000738888265 601938957 /nfs/dbraw/zinc/93/89/57/601938957.db2.gz BFPXOEAISVGHIG-NWDGAFQWSA-N -1 1 309.410 1.365 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)N2CC[C@H](CNC(=O)[O-])C2)cc1 ZINC000739916225 601952559 /nfs/dbraw/zinc/95/25/59/601952559.db2.gz MKSVYCAUDSVNQV-NWDGAFQWSA-N -1 1 321.377 1.612 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)CN2CC[C@H](CNC(=O)[O-])C2)[C@H]1C ZINC000739096016 601963347 /nfs/dbraw/zinc/96/33/47/601963347.db2.gz YLQPKFFREBGACQ-RQJABVFESA-N -1 1 311.426 1.517 20 0 DDADMM NC(=O)[C@H](NC[C@@H]1CCCN(C(=O)[O-])C1)c1ccc(F)cc1 ZINC000740325536 602046389 /nfs/dbraw/zinc/04/63/89/602046389.db2.gz VHNXLRGGEYUOGW-GXFFZTMASA-N -1 1 309.341 1.332 20 0 DDADMM O[C@@H](CNc1snc(Cl)c1-c1nnn[n-]1)c1ccncc1 ZINC000826504269 607543061 /nfs/dbraw/zinc/54/30/61/607543061.db2.gz RQSGSLQMYWWYRN-ZETCQYMHSA-N -1 1 323.769 1.517 20 0 DDADMM O[C@@H](CNc1snc(Cl)c1-c1nn[n-]n1)c1ccncc1 ZINC000826504269 607543062 /nfs/dbraw/zinc/54/30/62/607543062.db2.gz RQSGSLQMYWWYRN-ZETCQYMHSA-N -1 1 323.769 1.517 20 0 DDADMM CCc1nn(Cc2nccs2)c(=O)c(-c2nn[n-]n2)c1CC ZINC000825763285 607545678 /nfs/dbraw/zinc/54/56/78/607545678.db2.gz RXSUEQTWMNSERP-UHFFFAOYSA-N -1 1 317.378 1.053 20 0 DDADMM COc1cccc(CNC(=O)CN[C@H](C(=O)[O-])C(C)(C)C)c1 ZINC000832553687 602225639 /nfs/dbraw/zinc/22/56/39/602225639.db2.gz GCCNQCDIBDSFIR-CQSZACIVSA-N -1 1 308.378 1.400 20 0 DDADMM CC1CCN(CC(=O)Nc2ccc3[nH]nc(C(=O)[O-])c3c2)CC1 ZINC000828289808 602312633 /nfs/dbraw/zinc/31/26/33/602312633.db2.gz MMYHSJWYKVDCJO-UHFFFAOYSA-N -1 1 316.361 1.932 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)NC[C@@H](c1cccs1)N(C)C ZINC000739315005 602339985 /nfs/dbraw/zinc/33/99/85/602339985.db2.gz PDUNCYUCUMKHSX-MNOVXSKESA-N -1 1 313.423 1.903 20 0 DDADMM CC(C)CN1CCN(C(=O)[C@H](NC(=O)[O-])c2ccccc2)CC1 ZINC000738823015 602350719 /nfs/dbraw/zinc/35/07/19/602350719.db2.gz DHPQEFAJDPYTDE-OAHLLOKOSA-N -1 1 319.405 1.796 20 0 DDADMM CN(C)[C@H](CNC(=O)NCCN(C)C(=O)[O-])c1cccs1 ZINC000739694514 602442989 /nfs/dbraw/zinc/44/29/89/602442989.db2.gz MIDJPYYNVIKYBA-SNVBAGLBSA-N -1 1 314.411 1.260 20 0 DDADMM C[C@@H](NC(=O)[O-])C(=O)N1CCN(Cc2ccccc2)C(C)(C)C1 ZINC000738989985 602523191 /nfs/dbraw/zinc/52/31/91/602523191.db2.gz YNGORGGVHFLRPB-CYBMUJFWSA-N -1 1 319.405 1.766 20 0 DDADMM CCCN(CC(=O)NCc1ccccn1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739352551 602547374 /nfs/dbraw/zinc/54/73/74/602547374.db2.gz DDQDDYMWBRNLIY-CQSZACIVSA-N -1 1 320.393 1.162 20 0 DDADMM O=S(=O)(Oc1ccccc1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826494346 607572673 /nfs/dbraw/zinc/57/26/73/607572673.db2.gz YPJAGLCALVVTHH-UHFFFAOYSA-N -1 1 320.305 1.774 20 0 DDADMM O=S(=O)(Oc1ccccc1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826494346 607572674 /nfs/dbraw/zinc/57/26/74/607572674.db2.gz YPJAGLCALVVTHH-UHFFFAOYSA-N -1 1 320.305 1.774 20 0 DDADMM CCC(CC)NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825106798 607573737 /nfs/dbraw/zinc/57/37/37/607573737.db2.gz IOMIZFUGOCPCLO-UHFFFAOYSA-N -1 1 313.358 1.473 20 0 DDADMM O=C([O-])N[C@H](CCC(=O)NCc1nnc[nH]1)c1ccccc1 ZINC000740540377 602569433 /nfs/dbraw/zinc/56/94/33/602569433.db2.gz TXFNBGKVUOCUSY-LLVKDONJSA-N -1 1 303.322 1.210 20 0 DDADMM C[C@H](C(=O)N(C)c1ccccc1)N1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738603483 602577376 /nfs/dbraw/zinc/57/73/76/602577376.db2.gz PSODUYQXWJMLDB-ZIAGYGMSSA-N -1 1 319.405 1.970 20 0 DDADMM CN(Cc1ccco1)C(=O)CN1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000739660966 602577708 /nfs/dbraw/zinc/57/77/08/602577708.db2.gz RTOJTNLFGCSJDN-GFCCVEGCSA-N -1 1 309.366 1.170 20 0 DDADMM O=C(CN1CCC[C@@H]([C@@H]2CCCN2C(=O)[O-])C1)NCC1CCC1 ZINC000740368794 602679050 /nfs/dbraw/zinc/67/90/50/602679050.db2.gz MARZTYWXDSFLPI-CABCVRRESA-N -1 1 323.437 1.757 20 0 DDADMM CN(C[C@@H]1CCN([C@H]2CCN(c3ccccc3)C2=O)C1)C(=O)[O-] ZINC000739661104 602735671 /nfs/dbraw/zinc/73/56/71/602735671.db2.gz SIPLWHOZKFNCDG-ZFWWWQNUSA-N -1 1 317.389 1.724 20 0 DDADMM CN(C[C@H]1CCN([C@@H]2CCN(c3ccccc3)C2=O)C1)C(=O)[O-] ZINC000739661102 602735848 /nfs/dbraw/zinc/73/58/48/602735848.db2.gz SIPLWHOZKFNCDG-UKRRQHHQSA-N -1 1 317.389 1.724 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])CCN1CCC(=O)NCC1CCCCC1 ZINC000739161495 602738108 /nfs/dbraw/zinc/73/81/08/602738108.db2.gz PETRSMWDZXVFCN-CYBMUJFWSA-N -1 1 311.426 1.757 20 0 DDADMM COC(=O)c1cc(CN2CC[C@H](NC(=O)[O-])[C@@H](C)C2)c(C)o1 ZINC000739777362 602784129 /nfs/dbraw/zinc/78/41/29/602784129.db2.gz IVIVSVKMHDIWAY-CABZTGNLSA-N -1 1 310.350 1.853 20 0 DDADMM O=C([O-])N1CCC[C@H]1CC(=O)Nc1cnn(-c2ccncc2)c1 ZINC000831346322 602824491 /nfs/dbraw/zinc/82/44/91/602824491.db2.gz TXFSRIVHWNTMHR-ZDUSSCGKSA-N -1 1 315.333 1.738 20 0 DDADMM CN(CC(=O)NCc1ccccc1)C[C@H]1CCCN(C(=O)[O-])C1 ZINC000739623269 602877899 /nfs/dbraw/zinc/87/78/99/602877899.db2.gz BWGYGGWZNZDHLL-OAHLLOKOSA-N -1 1 319.405 1.625 20 0 DDADMM CCc1cc(CNC(=O)NC[C@H]2CCCCN2C(=O)[O-])[nH]n1 ZINC000739540628 602886570 /nfs/dbraw/zinc/88/65/70/602886570.db2.gz XFESTQPTMHYRQZ-GFCCVEGCSA-N -1 1 309.370 1.304 20 0 DDADMM Cc1cc(CNC(=O)N2CCC([C@@H](C)NC(=O)[O-])CC2)n[nH]1 ZINC000740072365 602886825 /nfs/dbraw/zinc/88/68/25/602886825.db2.gz GDYAUVCGBNJUTA-SNVBAGLBSA-N -1 1 309.370 1.296 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CNC(=O)N1CCN(C2CCC2)CC1 ZINC000740415472 602966334 /nfs/dbraw/zinc/96/63/34/602966334.db2.gz FTGQQDNFNOBXHV-CYBMUJFWSA-N -1 1 310.398 1.009 20 0 DDADMM O=C([O-])N1CCCC[C@@H](NC(=O)N2CCN(CC3CC3)CC2)C1 ZINC000740519144 602968898 /nfs/dbraw/zinc/96/88/98/602968898.db2.gz MLMUWLFHRYXPAC-CQSZACIVSA-N -1 1 324.425 1.256 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)N[C@@H]1CCOc2ccccc21 ZINC000739683041 603250194 /nfs/dbraw/zinc/25/01/94/603250194.db2.gz YCVGQOVYORNVHJ-CYBMUJFWSA-N -1 1 321.377 1.216 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)NC1(c2ccccc2)CCC1 ZINC000739681122 603254122 /nfs/dbraw/zinc/25/41/22/603254122.db2.gz DEQVHQKXPQMVKD-UHFFFAOYSA-N -1 1 319.405 1.772 20 0 DDADMM CN(CCCNC(=O)[O-])[C@@H]1CCN(c2ccccc2F)C1=O ZINC000739676500 603256702 /nfs/dbraw/zinc/25/67/02/603256702.db2.gz LWHBXLVCYGRODJ-CYBMUJFWSA-N -1 1 309.341 1.521 20 0 DDADMM O=C([O-])N1CCC[C@H](CNCc2nnnn2-c2ccccc2)C1 ZINC000740511123 603271154 /nfs/dbraw/zinc/27/11/54/603271154.db2.gz DMFCZHHYPAHWFB-GFCCVEGCSA-N -1 1 316.365 1.142 20 0 DDADMM O=C([O-])N[C@@H](C(=O)NCc1nnc[nH]1)c1cccc(Cl)c1 ZINC000740534581 603310013 /nfs/dbraw/zinc/31/00/13/603310013.db2.gz UFSFYCBMVYFAOT-SNVBAGLBSA-N -1 1 309.713 1.083 20 0 DDADMM O=C([O-])N[C@@H](Cc1ccccc1)[C@@H](O)CNCc1ccco1 ZINC000144864923 603395739 /nfs/dbraw/zinc/39/57/39/603395739.db2.gz SDLKAYGVUGKSQF-GJZGRUSLSA-N -1 1 304.346 1.609 20 0 DDADMM C[C@@H](C(=O)N[C@@H](CNC(=O)[O-])C1CC1)N(C)Cc1ccccc1 ZINC000738620639 603429624 /nfs/dbraw/zinc/42/96/24/603429624.db2.gz VZBUHJWSVQYPSG-WFASDCNBSA-N -1 1 319.405 1.669 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CC[C@@H](N(C)C(=O)[O-])C2)o1 ZINC000827045412 603512056 /nfs/dbraw/zinc/51/20/56/603512056.db2.gz IOKLVKUCQXYSME-GFCCVEGCSA-N -1 1 323.393 1.946 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)[C@@H]1CCC[C@@H](NC(=O)[O-])C1 ZINC000829833429 603544038 /nfs/dbraw/zinc/54/40/38/603544038.db2.gz JZJKNAYTEGKNHW-DGCLKSJQSA-N -1 1 308.382 1.593 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H](C(=O)N2CCC(c3nc[nH]n3)CC2)C1 ZINC000832318061 603562440 /nfs/dbraw/zinc/56/24/40/603562440.db2.gz ICPDAKBZFUWQEB-VXGBXAGGSA-N -1 1 321.381 1.337 20 0 DDADMM O=C([O-])N1CCC[C@H]1[C@H]1CCCN(CN2C(=O)CC23CCC3)C1 ZINC000832004022 603600872 /nfs/dbraw/zinc/60/08/72/603600872.db2.gz CIBWDJPJFCFXCG-KBPBESRZSA-N -1 1 321.421 1.953 20 0 DDADMM CC(C)(CNC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000823955921 603614845 /nfs/dbraw/zinc/61/48/45/603614845.db2.gz JAIHDNVENIQMAY-LBPRGKRZSA-N -1 1 323.393 1.827 20 0 DDADMM Cc1cc(CNC(=O)N(C)CC[C@@H](NC(=O)[O-])C(C)C)[nH]n1 ZINC000830042187 603661544 /nfs/dbraw/zinc/66/15/44/603661544.db2.gz YYEIWPHAUFRYKA-GFCCVEGCSA-N -1 1 311.386 1.542 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2cc(C3CC3)[nH]n2)[C@H]1CNC(=O)[O-] ZINC000825912872 603689343 /nfs/dbraw/zinc/68/93/43/603689343.db2.gz NIUALFZIGCGLNS-RNCFNFMXSA-N -1 1 306.366 1.795 20 0 DDADMM C[C@@H]1CCCN(CC(=O)N2CCCC[C@H]2C)[C@H]1CNC(=O)[O-] ZINC000825919497 603726136 /nfs/dbraw/zinc/72/61/36/603726136.db2.gz NVIWMUOTIOUMDB-MCIONIFRSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@H](NC(=O)[C@@H](NC(=O)[O-])c1cccc(Cl)c1)c1nnc[nH]1 ZINC000825077374 603798928 /nfs/dbraw/zinc/79/89/28/603798928.db2.gz YLRVXQMHZIJGDH-XVKPBYJWSA-N -1 1 323.740 1.644 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H]1CNC(=O)[O-])N(C)Cc1ccccc1 ZINC000823667918 603862200 /nfs/dbraw/zinc/86/22/00/603862200.db2.gz AMVHCEDVPQNLOW-UKRRQHHQSA-N -1 1 319.405 1.766 20 0 DDADMM CCCN(C[C@H](C)CS(C)(=O)=O)[C@H]1CCN(C(=O)[O-])C1 ZINC000826904588 603906055 /nfs/dbraw/zinc/90/60/55/603906055.db2.gz XBUATYORZUWYFN-RYUDHWBXSA-N -1 1 306.428 1.131 20 0 DDADMM CC[N@H+](C)C[C@H]1CCN(C(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000826988630 603935769 /nfs/dbraw/zinc/93/57/69/603935769.db2.gz MBFBEKVRDUROFE-LLVKDONJSA-N -1 1 321.377 1.896 20 0 DDADMM CC[N@@H+](C)C[C@H]1CCN(C(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000826988630 603935773 /nfs/dbraw/zinc/93/57/73/603935773.db2.gz MBFBEKVRDUROFE-LLVKDONJSA-N -1 1 321.377 1.896 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCCN(C(=O)[O-])CC1 ZINC000829833632 603937174 /nfs/dbraw/zinc/93/71/74/603937174.db2.gz KYWPKRHFHAMQDG-UHFFFAOYSA-N -1 1 320.271 1.563 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)[C@@H]1CCCc2[nH]ncc21)C(=O)[O-] ZINC000828186690 603953170 /nfs/dbraw/zinc/95/31/70/603953170.db2.gz RLCUYNMHBGFVTO-GHMZBOCLSA-N -1 1 306.366 1.430 20 0 DDADMM CN(C)[C@@H](CNC(=O)CN(C)C(=O)[O-])c1ccc(Cl)cc1 ZINC000828107813 603971163 /nfs/dbraw/zinc/97/11/63/603971163.db2.gz GIQRZBZUIXESOL-LBPRGKRZSA-N -1 1 313.785 1.669 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)[C@]1(C)CCN(C(=O)[O-])C1 ZINC000826087657 604054535 /nfs/dbraw/zinc/05/45/35/604054535.db2.gz QDRWUDBDKHBCAZ-DVOMOZLQSA-N -1 1 309.410 1.462 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)NC(C2CC2)C2CC2)C1 ZINC000825252973 604195717 /nfs/dbraw/zinc/19/57/17/604195717.db2.gz HGIVAEPPNZFPJZ-BXUZGUMPSA-N -1 1 323.437 1.659 20 0 DDADMM C[C@@H](NCCCN(C)C(=O)[O-])c1cn(-c2ccccc2)nn1 ZINC000825491098 604263137 /nfs/dbraw/zinc/26/31/37/604263137.db2.gz WFSIBAGZEQZPEJ-GFCCVEGCSA-N -1 1 303.366 1.918 20 0 DDADMM CC(C)(C)OC(=O)NCCN1CCC[C@H](CNC(=O)[O-])C1 ZINC000823822438 604360209 /nfs/dbraw/zinc/36/02/09/604360209.db2.gz JIFSTHSSZRSJNH-LLVKDONJSA-N -1 1 301.387 1.491 20 0 DDADMM CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000827005859 604431236 /nfs/dbraw/zinc/43/12/36/604431236.db2.gz GLYBOUJMKNSPPQ-CYBMUJFWSA-N -1 1 321.333 1.620 20 0 DDADMM O=C([O-])N[C@@H](C(=O)Nc1ccc2[nH]nnc2c1)c1ccccc1 ZINC000832752267 604527020 /nfs/dbraw/zinc/52/70/20/604527020.db2.gz OFFOBKDEAPBLHU-CYBMUJFWSA-N -1 1 311.301 1.905 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)C[C@@H]3CCCN3C(=O)[O-])C2)n[nH]1 ZINC000830739125 604647141 /nfs/dbraw/zinc/64/71/41/604647141.db2.gz VUHNMVCJDKEXNK-RYUDHWBXSA-N -1 1 321.381 1.352 20 0 DDADMM C[C@H](c1ccccc1)N(C)C(=O)CN1CCC(O)(C(=O)[O-])CC1 ZINC000833550257 604724421 /nfs/dbraw/zinc/72/44/21/604724421.db2.gz VFZVNLVHEMKYQJ-CYBMUJFWSA-N -1 1 320.389 1.118 20 0 DDADMM CC(=O)N[C@@H](C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1)C(C)C ZINC000833394589 604865896 /nfs/dbraw/zinc/86/58/96/604865896.db2.gz ATYLOLGGXJTONU-LLVKDONJSA-N -1 1 318.333 1.360 20 0 DDADMM C[C@H](C(=O)NCC(F)(F)F)N1CCC[C@H](C(C)(C)C(=O)[O-])C1 ZINC000833404140 604949769 /nfs/dbraw/zinc/94/97/69/604949769.db2.gz HNHLKBAHIAZCHO-ZJUUUORDSA-N -1 1 324.343 1.876 20 0 DDADMM COCCN1CCC[C@H](NC(=O)C2CCC(C(=O)[O-])CC2)C1 ZINC000399630038 605085903 /nfs/dbraw/zinc/08/59/03/605085903.db2.gz FYGSYIRXPUDWFB-RUXDESIVSA-N -1 1 312.410 1.104 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000833451725 605113149 /nfs/dbraw/zinc/11/31/49/605113149.db2.gz WYYRQEHIKUDWGD-ZDUSSCGKSA-N -1 1 312.410 1.058 20 0 DDADMM COC(=O)c1cc(CN2CCN(C)[C@@H](C)C2)cc(C(=O)[O-])c1 ZINC000833712806 605211736 /nfs/dbraw/zinc/21/17/36/605211736.db2.gz NMGUPHLEZYZYPG-NSHDSACASA-N -1 1 306.362 1.307 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(Cn3cccnc3=S)C[C@@H]21 ZINC000834186785 605330845 /nfs/dbraw/zinc/33/08/45/605330845.db2.gz XMOLPXWYOPFLIT-GRYCIOLGSA-N -1 1 308.407 1.938 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)CN(C(=O)[O-])c1ccccc1 ZINC000824165223 605351432 /nfs/dbraw/zinc/35/14/32/605351432.db2.gz ULOHFWUCNURMHG-OAHLLOKOSA-N -1 1 319.405 1.970 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(CC(=O)NCc3ccco3)C[C@H]21 ZINC000834191071 605440941 /nfs/dbraw/zinc/44/09/41/605440941.db2.gz YSGAYQZQIMAUNQ-MRVWCRGKSA-N -1 1 321.377 1.264 20 0 DDADMM CC(C)N1CCN(C(=O)C[C@H](NC(=O)[O-])c2ccccc2)CC1 ZINC000824363224 605579509 /nfs/dbraw/zinc/57/95/09/605579509.db2.gz QEWROBRBYIUDMP-HNNXBMFYSA-N -1 1 319.405 1.938 20 0 DDADMM COc1cc(CN(C)[C@H](C)CNC(=O)[O-])cc(OC)c1OC ZINC000833886971 605593554 /nfs/dbraw/zinc/59/35/54/605593554.db2.gz ILOPUEMVFPYCAU-SNVBAGLBSA-N -1 1 312.366 1.800 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CN2C[C@@H](C3CC3)CC2=O)C1 ZINC000833811721 605723738 /nfs/dbraw/zinc/72/37/38/605723738.db2.gz DSBJWRICVWRMLZ-UBHSHLNASA-N -1 1 309.410 1.571 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N1CCCN(C(=O)[O-])CC1)C2 ZINC000833915109 605847911 /nfs/dbraw/zinc/84/79/11/605847911.db2.gz BGHWUCNCQXIUFS-NSHDSACASA-N -1 1 306.366 1.035 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCN(Cc3cccnc3)CC2)C1 ZINC000834079737 605887375 /nfs/dbraw/zinc/88/73/75/605887375.db2.gz RUHQOSIMJSGHSS-HNNXBMFYSA-N -1 1 304.394 1.199 20 0 DDADMM O=C([O-])N1CC[C@@H]([N@H+](CCC[N-]C(=O)C(F)(F)F)C2CC2)C1 ZINC000834081351 605951177 /nfs/dbraw/zinc/95/11/77/605951177.db2.gz FZFURBFYVRZSIT-SNVBAGLBSA-N -1 1 323.315 1.272 20 0 DDADMM O=C([O-])N1CC[C@@H]([N@@H+](CCC[N-]C(=O)C(F)(F)F)C2CC2)C1 ZINC000834081351 605951179 /nfs/dbraw/zinc/95/11/79/605951179.db2.gz FZFURBFYVRZSIT-SNVBAGLBSA-N -1 1 323.315 1.272 20 0 DDADMM O=C([O-])N[C@@H](CNC(=O)c1cc(F)cc2nc[nH]c21)C1CC1 ZINC000834145457 605992689 /nfs/dbraw/zinc/99/26/89/605992689.db2.gz COKZSGXOJYOHBT-NSHDSACASA-N -1 1 306.297 1.478 20 0 DDADMM CCc1ccc(O)c(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000821486324 606053620 /nfs/dbraw/zinc/05/36/20/606053620.db2.gz CPEOOUJIQQMEJT-UHFFFAOYSA-N -1 1 310.317 1.782 20 0 DDADMM CCc1ccc(O)c(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000821486324 606053622 /nfs/dbraw/zinc/05/36/22/606053622.db2.gz CPEOOUJIQQMEJT-UHFFFAOYSA-N -1 1 310.317 1.782 20 0 DDADMM C[C@H]1CCC[C@@H](CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820698156 606168241 /nfs/dbraw/zinc/16/82/41/606168241.db2.gz QJPFHTWMLWSEME-VHSXEESVSA-N -1 1 318.385 1.548 20 0 DDADMM C[C@H]1CCC[C@@H](CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820698156 606168242 /nfs/dbraw/zinc/16/82/42/606168242.db2.gz QJPFHTWMLWSEME-VHSXEESVSA-N -1 1 318.385 1.548 20 0 DDADMM COC[C@H](Nc1cccc(-c2nnn[n-]2)n1)c1ccc(C)o1 ZINC000821684326 606223619 /nfs/dbraw/zinc/22/36/19/606223619.db2.gz YFLFTHWFPVWFBX-NSHDSACASA-N -1 1 300.322 1.963 20 0 DDADMM COC[C@H](Nc1cccc(-c2nn[n-]n2)n1)c1ccc(C)o1 ZINC000821684326 606223621 /nfs/dbraw/zinc/22/36/21/606223621.db2.gz YFLFTHWFPVWFBX-NSHDSACASA-N -1 1 300.322 1.963 20 0 DDADMM C[C@H](OCC1CC1)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000820518767 606297506 /nfs/dbraw/zinc/29/75/06/606297506.db2.gz KJQVAYGOACGQPG-QMMMGPOBSA-N -1 1 303.322 1.326 20 0 DDADMM Cc1noc(C)c1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822523042 606535225 /nfs/dbraw/zinc/53/52/25/606535225.db2.gz ONNMQYPZOVSPEV-UHFFFAOYSA-N -1 1 304.335 1.463 20 0 DDADMM Cc1noc(C)c1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822523042 606535227 /nfs/dbraw/zinc/53/52/27/606535227.db2.gz ONNMQYPZOVSPEV-UHFFFAOYSA-N -1 1 304.335 1.463 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)c1cnn(C)c1 ZINC000820397726 606570504 /nfs/dbraw/zinc/57/05/04/606570504.db2.gz RWRMWTNCCPLZBR-SSDOTTSWSA-N -1 1 303.351 1.153 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)c1cnn(C)c1 ZINC000820397726 606570507 /nfs/dbraw/zinc/57/05/07/606570507.db2.gz RWRMWTNCCPLZBR-SSDOTTSWSA-N -1 1 303.351 1.153 20 0 DDADMM CCc1nnsc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000821553435 606650431 /nfs/dbraw/zinc/65/04/31/606650431.db2.gz HTJJGPUCXSSLCM-UHFFFAOYSA-N -1 1 317.334 1.033 20 0 DDADMM CCc1nnsc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000821553435 606650432 /nfs/dbraw/zinc/65/04/32/606650432.db2.gz HTJJGPUCXSSLCM-UHFFFAOYSA-N -1 1 317.334 1.033 20 0 DDADMM O=C(CSC(F)(F)F)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000823054971 606652889 /nfs/dbraw/zinc/65/28/89/606652889.db2.gz IJKCCFDHVVBEEW-UHFFFAOYSA-N -1 1 319.268 1.558 20 0 DDADMM O=C(CSC(F)(F)F)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000823054971 606652890 /nfs/dbraw/zinc/65/28/90/606652890.db2.gz IJKCCFDHVVBEEW-UHFFFAOYSA-N -1 1 319.268 1.558 20 0 DDADMM CCOC(=O)C[C@H](C)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821325181 606726133 /nfs/dbraw/zinc/72/61/33/606726133.db2.gz CKBFRPIWOKSBMJ-ZETCQYMHSA-N -1 1 310.745 1.669 20 0 DDADMM CCOC(=O)C[C@H](C)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821325181 606726134 /nfs/dbraw/zinc/72/61/34/606726134.db2.gz CKBFRPIWOKSBMJ-ZETCQYMHSA-N -1 1 310.745 1.669 20 0 DDADMM C[C@]1(c2ccccc2)CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC000820633756 606728626 /nfs/dbraw/zinc/72/86/26/606728626.db2.gz DIJBICINMZGUPT-INIZCTEOSA-N -1 1 307.361 1.825 20 0 DDADMM C[C@]1(c2ccccc2)CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC000820633756 606728628 /nfs/dbraw/zinc/72/86/28/606728628.db2.gz DIJBICINMZGUPT-INIZCTEOSA-N -1 1 307.361 1.825 20 0 DDADMM Fc1ccc2oc(CNc3n[nH]cc3-c3nnn[n-]3)nc2c1 ZINC000822787269 606873085 /nfs/dbraw/zinc/87/30/85/606873085.db2.gz WEUBINWOQOFMSN-UHFFFAOYSA-N -1 1 300.257 1.482 20 0 DDADMM Fc1ccc2oc(CNc3n[nH]cc3-c3nn[n-]n3)nc2c1 ZINC000822787269 606873086 /nfs/dbraw/zinc/87/30/86/606873086.db2.gz WEUBINWOQOFMSN-UHFFFAOYSA-N -1 1 300.257 1.482 20 0 DDADMM CC[C@]1(C)CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820949761 606955836 /nfs/dbraw/zinc/95/58/36/606955836.db2.gz FHFGKLZQGGARNR-CYBMUJFWSA-N -1 1 304.358 1.254 20 0 DDADMM CC[C@]1(C)CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820949761 606955838 /nfs/dbraw/zinc/95/58/38/606955838.db2.gz FHFGKLZQGGARNR-CYBMUJFWSA-N -1 1 304.358 1.254 20 0 DDADMM CN(C)c1nc(COc2cccc(F)c2-c2nnn[n-]2)ns1 ZINC000825884331 607865848 /nfs/dbraw/zinc/86/58/48/607865848.db2.gz ZMJHKPWWDYJRBX-UHFFFAOYSA-N -1 1 321.341 1.502 20 0 DDADMM CN(C)c1nc(COc2cccc(F)c2-c2nn[n-]n2)ns1 ZINC000825884331 607865849 /nfs/dbraw/zinc/86/58/49/607865849.db2.gz ZMJHKPWWDYJRBX-UHFFFAOYSA-N -1 1 321.341 1.502 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@H]2CC23CCCCC3)n1 ZINC000826356926 608209795 /nfs/dbraw/zinc/20/97/95/608209795.db2.gz LALAJXYDJMMJPE-SNVBAGLBSA-N -1 1 316.369 1.445 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@H]2CC23CCCCC3)n1 ZINC000826356926 608209797 /nfs/dbraw/zinc/20/97/97/608209797.db2.gz LALAJXYDJMMJPE-SNVBAGLBSA-N -1 1 316.369 1.445 20 0 DDADMM CC(C)[C@H]1C[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824213868 608248884 /nfs/dbraw/zinc/24/88/84/608248884.db2.gz DGDBLTZDZFPABD-VHSXEESVSA-N -1 1 321.406 1.862 20 0 DDADMM CC(C)[C@H]1C[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824213868 608248885 /nfs/dbraw/zinc/24/88/85/608248885.db2.gz DGDBLTZDZFPABD-VHSXEESVSA-N -1 1 321.406 1.862 20 0 DDADMM C[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)c1ccc2c(c1)OCO2 ZINC000824655894 608392851 /nfs/dbraw/zinc/39/28/51/608392851.db2.gz GTGLVSJBOAIOMG-MRVPVSSYSA-N -1 1 311.305 1.559 20 0 DDADMM C[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)c1ccc2c(c1)OCO2 ZINC000824655894 608392854 /nfs/dbraw/zinc/39/28/54/608392854.db2.gz GTGLVSJBOAIOMG-MRVPVSSYSA-N -1 1 311.305 1.559 20 0 DDADMM Fc1cccc(F)c1CCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826377003 608401835 /nfs/dbraw/zinc/40/18/35/608401835.db2.gz AIAKKHZXEVULOO-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM Fc1cccc(F)c1CCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826377003 608401837 /nfs/dbraw/zinc/40/18/37/608401837.db2.gz AIAKKHZXEVULOO-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM Cn1cncc1[C@H]1CCCCN1c1ccc(-c2nn[n-]n2)nn1 ZINC000826365204 608408699 /nfs/dbraw/zinc/40/86/99/608408699.db2.gz FPZJSGWTFBTECV-LLVKDONJSA-N -1 1 311.353 1.122 20 0 DDADMM C[C@H](Cc1ccc(O)cc1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC000824457402 608431592 /nfs/dbraw/zinc/43/15/92/608431592.db2.gz LGBOESQBYSZCOP-SNVBAGLBSA-N -1 1 311.349 1.430 20 0 DDADMM C[C@H](Cc1ccc(O)cc1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC000824457402 608431593 /nfs/dbraw/zinc/43/15/93/608431593.db2.gz LGBOESQBYSZCOP-SNVBAGLBSA-N -1 1 311.349 1.430 20 0 DDADMM CCC(CC)[C@H](CCNc1ccc(-c2nnn[n-]2)nn1)OC ZINC000825096668 608435636 /nfs/dbraw/zinc/43/56/36/608435636.db2.gz PLCIDYGPXYGCRK-LBPRGKRZSA-N -1 1 305.386 1.910 20 0 DDADMM CCC(CC)[C@H](CCNc1ccc(-c2nn[n-]n2)nn1)OC ZINC000825096668 608435639 /nfs/dbraw/zinc/43/56/39/608435639.db2.gz PLCIDYGPXYGCRK-LBPRGKRZSA-N -1 1 305.386 1.910 20 0 DDADMM CN(CC1CCOCC1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825915033 608449682 /nfs/dbraw/zinc/44/96/82/608449682.db2.gz RUPAUNRPIYXYIK-UHFFFAOYSA-N -1 1 307.379 1.427 20 0 DDADMM CN(CC1CCOCC1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825915033 608449683 /nfs/dbraw/zinc/44/96/83/608449683.db2.gz RUPAUNRPIYXYIK-UHFFFAOYSA-N -1 1 307.379 1.427 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NC[C@@H](O)c1ccc(F)cc1 ZINC000826280403 608542388 /nfs/dbraw/zinc/54/23/88/608542388.db2.gz ANVUDLFCPGTGAI-CYBMUJFWSA-N -1 1 314.324 1.855 20 0 DDADMM c1csc([C@H]2CN(Cc3ccc(-c4nnn[n-]4)o3)CCO2)n1 ZINC000826525865 608668673 /nfs/dbraw/zinc/66/86/73/608668673.db2.gz CBTZVWBZUJOLKU-LLVKDONJSA-N -1 1 318.362 1.490 20 0 DDADMM c1csc([C@H]2CN(Cc3ccc(-c4nn[n-]n4)o3)CCO2)n1 ZINC000826525865 608668676 /nfs/dbraw/zinc/66/86/76/608668676.db2.gz CBTZVWBZUJOLKU-LLVKDONJSA-N -1 1 318.362 1.490 20 0 DDADMM C[C@H]1C[C@H](Nc2c3ccccc3nnc2-c2nnn[n-]2)CCO1 ZINC000824892405 608800936 /nfs/dbraw/zinc/80/09/36/608800936.db2.gz HVMXRZIWBILXGW-VHSXEESVSA-N -1 1 311.349 1.789 20 0 DDADMM C[C@H]1C[C@H](Nc2c3ccccc3nnc2-c2nn[n-]n2)CCO1 ZINC000824892405 608800937 /nfs/dbraw/zinc/80/09/37/608800937.db2.gz HVMXRZIWBILXGW-VHSXEESVSA-N -1 1 311.349 1.789 20 0 DDADMM Cc1ccc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c(O)c1 ZINC000826282295 608820596 /nfs/dbraw/zinc/82/05/96/608820596.db2.gz HNDDPCGPNBHFJU-UHFFFAOYSA-N -1 1 311.301 1.839 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](Cn2ccnc2-c2nnn[n-]2)C1 ZINC000824103725 608893693 /nfs/dbraw/zinc/89/36/93/608893693.db2.gz IUKGJNMWUFCKNY-SNVBAGLBSA-N -1 1 319.369 1.320 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](Cn2ccnc2-c2nn[n-]n2)C1 ZINC000824103725 608893694 /nfs/dbraw/zinc/89/36/94/608893694.db2.gz IUKGJNMWUFCKNY-SNVBAGLBSA-N -1 1 319.369 1.320 20 0 DDADMM Cc1nn(-c2ccccc2)cc1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826332756 609312391 /nfs/dbraw/zinc/31/23/91/609312391.db2.gz GTSBGKIKABLITP-UHFFFAOYSA-N -1 1 319.332 1.894 20 0 DDADMM Cc1nn(-c2ccccc2)cc1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826332756 609312392 /nfs/dbraw/zinc/31/23/92/609312392.db2.gz GTSBGKIKABLITP-UHFFFAOYSA-N -1 1 319.332 1.894 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824226465 609402768 /nfs/dbraw/zinc/40/27/68/609402768.db2.gz ZBTAYRMWHGQPDM-SECBINFHSA-N -1 1 307.379 1.425 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824226465 609402767 /nfs/dbraw/zinc/40/27/67/609402767.db2.gz ZBTAYRMWHGQPDM-SECBINFHSA-N -1 1 307.379 1.425 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000973812876 695549538 /nfs/dbraw/zinc/54/95/38/695549538.db2.gz YJUMLBJBXWSNCS-AOOOYVTPSA-N -1 1 301.302 1.071 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975229653 695817496 /nfs/dbraw/zinc/81/74/96/695817496.db2.gz ZBPSFELNJTXZMI-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM CCCc1ccc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)cc1 ZINC000798145718 700044235 /nfs/dbraw/zinc/04/42/35/700044235.db2.gz PPGIBXXMIDKYQC-UHFFFAOYSA-N -1 1 315.377 1.276 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC(=O)NC1CCCC1 ZINC000747371564 700066593 /nfs/dbraw/zinc/06/65/93/700066593.db2.gz SEXJARYBDZUOOT-UHFFFAOYSA-N -1 1 320.393 1.403 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NC[C@@H]2Cc3ccccc3O2)n1 ZINC000046876089 696192062 /nfs/dbraw/zinc/19/20/62/696192062.db2.gz KVGLPHRDRVSFJO-NSHDSACASA-N -1 1 315.333 1.115 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CC1(C)C ZINC000977598337 696229189 /nfs/dbraw/zinc/22/91/89/696229189.db2.gz LONGNXTZLFLVBP-NEPJUHHUSA-N -1 1 317.389 1.506 20 0 DDADMM CN(C)c1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cn1 ZINC000747473282 700071907 /nfs/dbraw/zinc/07/19/07/700071907.db2.gz CXZWKFGOAKGIHB-CQSZACIVSA-N -1 1 323.360 1.180 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)Cc2ccccc2O)o1 ZINC000053916194 696270627 /nfs/dbraw/zinc/27/06/27/696270627.db2.gz YKIARYQXPPUIBF-UHFFFAOYSA-N -1 1 324.358 1.166 20 0 DDADMM CCOCCOC(=O)c1ccccc1[N-]S(=O)(=O)CC ZINC000062294438 696323033 /nfs/dbraw/zinc/32/30/33/696323033.db2.gz QPAXXRCLPWBYCF-UHFFFAOYSA-N -1 1 301.364 1.642 20 0 DDADMM CCn1c(CNC(=O)c2c(C)nn3cccnc23)n[n-]c1=S ZINC000066635999 696354240 /nfs/dbraw/zinc/35/42/40/696354240.db2.gz IMEWCHQNICPBME-UHFFFAOYSA-N -1 1 317.378 1.242 20 0 DDADMM O=C(NCC[C@H](O)c1ccccc1)c1nc2ccccc2c(=O)[n-]1 ZINC000075535931 696421739 /nfs/dbraw/zinc/42/17/39/696421739.db2.gz KGOZRYCUHUTKTJ-HNNXBMFYSA-N -1 1 323.352 1.777 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCCc3cc(F)ccc32)s1 ZINC000079617091 696462118 /nfs/dbraw/zinc/46/21/18/696462118.db2.gz MXEXKCWSHRFXEN-LLVKDONJSA-N -1 1 307.350 1.452 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCc3ccc(Cl)cc32)s1 ZINC000079810597 696463755 /nfs/dbraw/zinc/46/37/55/696463755.db2.gz SGFCZOAQULKJLL-JTQLQIEISA-N -1 1 309.778 1.576 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(CC2CC2)C2CCCCC2)s1 ZINC000079975156 696465746 /nfs/dbraw/zinc/46/57/46/696465746.db2.gz NTTVCMRTMXKAEU-LBPRGKRZSA-N -1 1 309.435 1.905 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@@H](Nc3ccccc3)C2)s1 ZINC000079993596 696465944 /nfs/dbraw/zinc/46/59/44/696465944.db2.gz VJPDAXXJMRAJDI-VXGBXAGGSA-N -1 1 318.402 1.046 20 0 DDADMM C[C@@H]1Cc2ccccc2[C@@H]1NC(=O)Cc1sc(N)nc1[O-] ZINC000080212125 696531703 /nfs/dbraw/zinc/53/17/03/696531703.db2.gz IRAPDDSDTXOWSQ-XTWCZFFVSA-N -1 1 303.387 1.383 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC[C@@H](SC)C2)o1 ZINC000080842610 696537917 /nfs/dbraw/zinc/53/79/17/696537917.db2.gz XSNILOYLVWRTSY-RKDXNWHRSA-N -1 1 318.420 1.202 20 0 DDADMM Cc1ccc(C)c(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)c1 ZINC000798812597 700098627 /nfs/dbraw/zinc/09/86/27/700098627.db2.gz UWJBVOQIMKNNLS-CQSZACIVSA-N -1 1 312.435 1.695 20 0 DDADMM CC(C)(C)OC(=O)N1CCOC[C@@H]1C[N-]C(=O)C(F)(F)F ZINC000116625227 696668277 /nfs/dbraw/zinc/66/82/77/696668277.db2.gz DCKBBSNGBSEFKF-QMMMGPOBSA-N -1 1 312.288 1.301 20 0 DDADMM O=C(Nc1ccccc1C(=O)Nc1nnn[n-]1)c1ccsc1 ZINC000118685807 696674407 /nfs/dbraw/zinc/67/44/07/696674407.db2.gz AVERDHYTPFRGSE-UHFFFAOYSA-N -1 1 314.330 1.766 20 0 DDADMM O=C(Nc1ccccc1C(=O)Nc1nn[n-]n1)c1ccsc1 ZINC000118685807 696674409 /nfs/dbraw/zinc/67/44/09/696674409.db2.gz AVERDHYTPFRGSE-UHFFFAOYSA-N -1 1 314.330 1.766 20 0 DDADMM Cc1cccc(C)c1CCNC(=O)Cc1sc(N)nc1[O-] ZINC000129462473 696789022 /nfs/dbraw/zinc/78/90/22/696789022.db2.gz KQIICZYNOWGTDL-GFCCVEGCSA-N -1 1 305.403 1.309 20 0 DDADMM C[C@@H]1CO[C@@H](CO)CN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000129732945 696791454 /nfs/dbraw/zinc/79/14/54/696791454.db2.gz QIQOVDDFINIUGC-ZWNOBZJWSA-N -1 1 305.330 1.080 20 0 DDADMM O=C([N-]CC1CCN(Cc2ncccn2)CC1)C(F)(F)F ZINC000799174311 700126424 /nfs/dbraw/zinc/12/64/24/700126424.db2.gz MUPCCEZUBDECOW-UHFFFAOYSA-N -1 1 302.300 1.367 20 0 DDADMM O=C(NC[C@H]1CC[C@@H](NC(=O)C2CC2)C1)c1ncccc1[O-] ZINC000981207242 697034553 /nfs/dbraw/zinc/03/45/53/697034553.db2.gz ISALWHKXRALKJM-CMPLNLGQSA-N -1 1 303.362 1.212 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1cc[nH]c1 ZINC000982676770 697168127 /nfs/dbraw/zinc/16/81/27/697168127.db2.gz XFIGJHCINLISGW-NSHDSACASA-N -1 1 314.345 1.007 20 0 DDADMM O=C(CC1CC1)N[C@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000983411442 697258455 /nfs/dbraw/zinc/25/84/55/697258455.db2.gz KFUBXIBHTYHQDP-STQMWFEESA-N -1 1 317.389 1.745 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000983836639 697305648 /nfs/dbraw/zinc/30/56/48/697305648.db2.gz SIMZLHFGFTVTJF-ZDUSSCGKSA-N -1 1 317.389 1.816 20 0 DDADMM CC(C)C[C@@H](O)C[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000160401929 697316912 /nfs/dbraw/zinc/31/69/12/697316912.db2.gz ANTFNTOOUIKYIA-SECBINFHSA-N -1 1 320.342 1.419 20 0 DDADMM CCN1CCN(CC(=O)Nc2ccc3[n-]c(=S)oc3c2)CC1 ZINC000163690729 697334099 /nfs/dbraw/zinc/33/40/99/697334099.db2.gz OWOPYHSQAHQBMK-UHFFFAOYSA-N -1 1 320.418 1.693 20 0 DDADMM CN(Cc1ccon1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000985389978 697502964 /nfs/dbraw/zinc/50/29/64/697502964.db2.gz FEWQCMAKCHWFTG-UHFFFAOYSA-N -1 1 316.361 1.512 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)[N-]OC[C@H]3CCOC3)c[nH]c12 ZINC000188444068 697559657 /nfs/dbraw/zinc/55/96/57/697559657.db2.gz NVKDSTQOUCWNHF-NSHDSACASA-N -1 1 302.330 1.947 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)[N-]OC[C@@H]3CCOC3)c[nH]c12 ZINC000188444043 697559725 /nfs/dbraw/zinc/55/97/25/697559725.db2.gz NVKDSTQOUCWNHF-LLVKDONJSA-N -1 1 302.330 1.947 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCO[C@@H](C(F)F)C1 ZINC000193730814 697678724 /nfs/dbraw/zinc/67/87/24/697678724.db2.gz BIALTRIAWXTNGA-SNVBAGLBSA-N -1 1 309.272 1.029 20 0 DDADMM COc1cc(Cl)ccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000772909228 697693332 /nfs/dbraw/zinc/69/33/32/697693332.db2.gz BEOXGMKPCSNOQI-UHFFFAOYSA-N -1 1 323.736 1.227 20 0 DDADMM O=C(CC[C@H]1CCCCO1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773157513 697720692 /nfs/dbraw/zinc/72/06/92/697720692.db2.gz CRLIIDJHBVTIHG-GHMZBOCLSA-N -1 1 311.411 1.166 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1ccc(OC)c(O)c1)c1nn[n-]n1 ZINC000773263643 697735579 /nfs/dbraw/zinc/73/55/79/697735579.db2.gz QQZRMMVWBDMRCT-IOCXFXADSA-N -1 1 317.349 1.585 20 0 DDADMM Cc1sccc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986269157 697760793 /nfs/dbraw/zinc/76/07/93/697760793.db2.gz QWPRPDFHLLAIFF-KCJUWKMLSA-N -1 1 321.406 1.273 20 0 DDADMM O=C([O-])c1ccccc1CC(=O)NCCN1CCSCC1 ZINC000230765368 697784581 /nfs/dbraw/zinc/78/45/81/697784581.db2.gz MDWJOEQFVLZGNX-UHFFFAOYSA-N -1 1 308.403 1.092 20 0 DDADMM C[C@@H](Cn1cccn1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773795922 697806480 /nfs/dbraw/zinc/80/64/80/697806480.db2.gz YJUHWNXTAOICBB-VIFPVBQESA-N -1 1 302.363 1.033 20 0 DDADMM O=C(NC[C@@H](O)c1ccsc1)c1ccc2n[n-]c(=S)n2c1 ZINC000774046959 697837131 /nfs/dbraw/zinc/83/71/31/697837131.db2.gz DMVGFAFWABPFCK-SNVBAGLBSA-N -1 1 320.399 1.543 20 0 DDADMM Cc1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)cc1O ZINC000774908525 697940947 /nfs/dbraw/zinc/94/09/47/697940947.db2.gz KTJBGRXAKHBJGD-UHFFFAOYSA-N -1 1 305.252 1.926 20 0 DDADMM O=C([N-]CCCOC(=O)c1n[nH]c2c1CCCC2)C(F)(F)F ZINC000774918093 697941651 /nfs/dbraw/zinc/94/16/51/697941651.db2.gz CRULPPGGHTUKMC-UHFFFAOYSA-N -1 1 319.283 1.514 20 0 DDADMM O=C(Cc1cccc(O)c1)OCCC[N-]C(=O)C(F)(F)F ZINC000774936037 697944288 /nfs/dbraw/zinc/94/42/88/697944288.db2.gz GLRPMICYIVVXKI-UHFFFAOYSA-N -1 1 305.252 1.547 20 0 DDADMM CC(C)n1nccc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774971158 697949349 /nfs/dbraw/zinc/94/93/49/697949349.db2.gz BJNSLFHUKNNCAD-UHFFFAOYSA-N -1 1 307.272 1.689 20 0 DDADMM COCC[C@@H]([N-]C(=O)C(F)(F)c1c(F)cccc1F)C(N)=O ZINC000775977671 698057439 /nfs/dbraw/zinc/05/74/39/698057439.db2.gz TXIPYCDJQXOCCD-SECBINFHSA-N -1 1 322.258 1.063 20 0 DDADMM Nc1ccc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)nc1 ZINC000776457482 698107567 /nfs/dbraw/zinc/10/75/67/698107567.db2.gz DGQKBWLVPDWEPV-UHFFFAOYSA-N -1 1 312.281 1.833 20 0 DDADMM CN(C[C@H]1CCN(C(=O)CC2CC2)C1)C(=O)c1ncccc1[O-] ZINC000987663794 698189357 /nfs/dbraw/zinc/18/93/57/698189357.db2.gz PYTNTYMGBAKEBC-CYBMUJFWSA-N -1 1 317.389 1.508 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)CCC1 ZINC000778025443 698238337 /nfs/dbraw/zinc/23/83/37/698238337.db2.gz DVVXQBJAKXKLPF-UHFFFAOYSA-N -1 1 324.189 1.075 20 0 DDADMM Cc1cnc(C(=O)NCC(=O)Nc2ccc(F)c(F)c2)c([O-])c1 ZINC000778297622 698258412 /nfs/dbraw/zinc/25/84/12/698258412.db2.gz HEIUHRYTLWVVCM-UHFFFAOYSA-N -1 1 321.283 1.742 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000778717473 698362007 /nfs/dbraw/zinc/36/20/07/698362007.db2.gz DDUHVDIPURQJLW-UQTJOTSZSA-N -1 1 313.361 1.512 20 0 DDADMM Cc1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)ccc1O ZINC000778856939 698377471 /nfs/dbraw/zinc/37/74/71/698377471.db2.gz XPBBRQCKLVLMAC-UHFFFAOYSA-N -1 1 313.309 1.814 20 0 DDADMM C[C@H]1C[C@@H](NCc2nncs2)CN1C(=O)c1ncccc1[O-] ZINC000988692533 698439171 /nfs/dbraw/zinc/43/91/71/698439171.db2.gz WDZHQSLZFNLARU-VHSXEESVSA-N -1 1 319.390 1.032 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])C[N@@H+](CC(=O)N(C2CC2)C2CCCC2)C1 ZINC000263372534 698503115 /nfs/dbraw/zinc/50/31/15/698503115.db2.gz VURBDDIWCPEITA-OLZOCXBDSA-N -1 1 308.422 1.963 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1nnc2n1CCCC2 ZINC000781033537 698584953 /nfs/dbraw/zinc/58/49/53/698584953.db2.gz XXOINFDITSFVEJ-UHFFFAOYSA-N -1 1 316.365 1.794 20 0 DDADMM O=C(NCc1cn2ccsc2n1)c1cc2nn[n-]c2cc1F ZINC000781897606 698659596 /nfs/dbraw/zinc/65/95/96/698659596.db2.gz UXTKQHWYYOEDIQ-UHFFFAOYSA-N -1 1 316.321 1.736 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H](O)c1ccccc1 ZINC000314531011 698689887 /nfs/dbraw/zinc/68/98/87/698689887.db2.gz SFPSPJNEKPIWSJ-NSHDSACASA-N -1 1 319.386 1.676 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)[C@@H]1CC[C@@H](C)CC1 ZINC000989698256 698732956 /nfs/dbraw/zinc/73/29/56/698732956.db2.gz WLHMIWNJHLVKLG-LPWJVIDDSA-N -1 1 321.425 1.416 20 0 DDADMM O=c1nc(NC[C@@H](O)c2cc(F)cc(Cl)c2)nc2[nH][n-]cc1-2 ZINC000784827928 699041785 /nfs/dbraw/zinc/04/17/85/699041785.db2.gz ZIWPUSUWJICKKW-SNVBAGLBSA-N -1 1 323.715 1.370 20 0 DDADMM COCC[C@@](C)(O)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000389059524 699087301 /nfs/dbraw/zinc/08/73/01/699087301.db2.gz IKRKFCFLDRTZLJ-OAHLLOKOSA-N -1 1 311.382 1.131 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@]1(O)CCC[C@H]1C ZINC000392928907 699101481 /nfs/dbraw/zinc/10/14/81/699101481.db2.gz JJMIKVDTZSSCGE-HWPZZCPQSA-N -1 1 307.394 1.895 20 0 DDADMM Cc1ccc(-c2nsc([N-]C(=O)c3cnn(C)n3)n2)s1 ZINC000786089286 699125179 /nfs/dbraw/zinc/12/51/79/699125179.db2.gz QHWBPDLCBFDNCB-UHFFFAOYSA-N -1 1 306.376 1.956 20 0 DDADMM CC[C@@H](Oc1ccccc1F)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000786533241 699152920 /nfs/dbraw/zinc/15/29/20/699152920.db2.gz JHGRUAMLBDJVTO-SNVBAGLBSA-N -1 1 309.297 1.148 20 0 DDADMM C[C@]1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000990974688 699197131 /nfs/dbraw/zinc/19/71/31/699197131.db2.gz JQTKKHWIULGCAB-INIZCTEOSA-N -1 1 301.346 1.084 20 0 DDADMM O=c1cc(CSc2n[nH]c(CO)n2)c2ccc([O-])cc2o1 ZINC000787485446 699213932 /nfs/dbraw/zinc/21/39/32/699213932.db2.gz COUPRYFRYXQMPG-UHFFFAOYSA-N -1 1 305.315 1.401 20 0 DDADMM CO[C@@](C)(CO)CNC(=O)c1ccc(Br)c([O-])c1 ZINC000702473129 699230249 /nfs/dbraw/zinc/23/02/49/699230249.db2.gz OJTFWZMBCALPBF-GFCCVEGCSA-N -1 1 318.167 1.282 20 0 DDADMM O=C(NCc1cnc(N2CCOCC2)s1)c1cncc([O-])c1 ZINC000787944120 699257431 /nfs/dbraw/zinc/25/74/31/699257431.db2.gz MKZPLGVKEWDZEO-UHFFFAOYSA-N -1 1 320.374 1.010 20 0 DDADMM N[C@@](C(=O)[N-]C1=NCCS1)(c1cccc(F)c1)C(F)(F)F ZINC000788273928 699295581 /nfs/dbraw/zinc/29/55/81/699295581.db2.gz JTFMLGADEPIRBH-LLVKDONJSA-N -1 1 321.299 1.761 20 0 DDADMM O=C(NC[C@H](O)COc1cccc(F)c1)c1ncccc1[O-] ZINC000725885156 699337233 /nfs/dbraw/zinc/33/72/33/699337233.db2.gz CLGYHVWOYUQGKQ-NSHDSACASA-N -1 1 306.293 1.096 20 0 DDADMM CO[C@H](C)c1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000727855972 699428697 /nfs/dbraw/zinc/42/86/97/699428697.db2.gz AORJFBBWUFOJRS-LLVKDONJSA-N -1 1 317.345 1.273 20 0 DDADMM CC(=O)NC(C)(C)C(=O)Nc1nc(Br)ccc1[O-] ZINC000790042603 699436680 /nfs/dbraw/zinc/43/66/80/699436680.db2.gz JMURZGLXOBNMML-UHFFFAOYSA-N -1 1 316.155 1.403 20 0 DDADMM CCN(CC)C(=O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000790164382 699446374 /nfs/dbraw/zinc/44/63/74/699446374.db2.gz NMVPXHFWDYXCRM-UHFFFAOYSA-N -1 1 322.789 1.626 20 0 DDADMM CCc1ccc([C@@H](C)C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000732091001 699545929 /nfs/dbraw/zinc/54/59/29/699545929.db2.gz XHVFBVXBTOCAQF-BXUZGUMPSA-N -1 1 315.377 1.466 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cnn2ccccc12 ZINC000732276826 699553257 /nfs/dbraw/zinc/55/32/57/699553257.db2.gz SKEUIUSTWKOSSN-AWEZNQCLSA-N -1 1 319.328 1.367 20 0 DDADMM CCC[C@@H](NC(=O)C(F)(F)C1CCOCC1)c1nn[n-]n1 ZINC000790745736 699595229 /nfs/dbraw/zinc/59/52/29/699595229.db2.gz DIYHABNFBFSINZ-SECBINFHSA-N -1 1 303.313 1.219 20 0 DDADMM CC(C)OCCCn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000735905297 699709809 /nfs/dbraw/zinc/70/98/09/699709809.db2.gz ZXWUHQYVOXAFPM-UHFFFAOYSA-N -1 1 313.361 1.997 20 0 DDADMM C[C@@H]1CCCN(CC(=O)N2Cc3ccccc3C[C@@H]2C(=O)[O-])C1 ZINC000736795280 699732169 /nfs/dbraw/zinc/73/21/69/699732169.db2.gz URAHWUOOJRHVCM-CZUORRHYSA-N -1 1 316.401 1.756 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC000737489955 699741754 /nfs/dbraw/zinc/74/17/54/699741754.db2.gz OPUFYISWVLGDIL-IHWYPQMZSA-N -1 1 304.379 1.384 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ccc(Cl)cn2)n1 ZINC000795470263 699870422 /nfs/dbraw/zinc/87/04/22/699870422.db2.gz RRWJSVZJEAAXIV-UHFFFAOYSA-N -1 1 315.738 1.219 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nnc3n2CCCCC3)[n-]1 ZINC000796345517 699928060 /nfs/dbraw/zinc/92/80/60/699928060.db2.gz NKXFKSWAFZKUNL-UHFFFAOYSA-N -1 1 318.333 1.476 20 0 DDADMM O=C(OC[C@H]1COC(=O)O1)c1nn(-c2ccccc2)cc1[O-] ZINC000801203476 700277385 /nfs/dbraw/zinc/27/73/85/700277385.db2.gz UKCIMQZCYVAVJC-JTQLQIEISA-N -1 1 304.258 1.270 20 0 DDADMM CCCNC(=O)COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801325764 700297699 /nfs/dbraw/zinc/29/76/99/700297699.db2.gz PCIMOTDICHLZEV-UHFFFAOYSA-N -1 1 321.308 1.400 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)O[C@@H]2CCNC2=O)n1 ZINC000801418677 700305508 /nfs/dbraw/zinc/30/55/08/700305508.db2.gz SKNKZMXMEDXAFM-CYBMUJFWSA-N -1 1 315.329 1.186 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3ccc4c(c3)NC(=O)C4)ccnc1-2 ZINC000801701513 700331751 /nfs/dbraw/zinc/33/17/51/700331751.db2.gz QNUPIQHVOVUEGP-UHFFFAOYSA-N -1 1 321.340 1.018 20 0 DDADMM Cc1nc2ccc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)cc2n1C ZINC000801703608 700332989 /nfs/dbraw/zinc/33/29/89/700332989.db2.gz NYCTYKXXBURWMY-UHFFFAOYSA-N -1 1 320.356 1.789 20 0 DDADMM C[C@@H]1CCC[C@@H](OCC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000801703874 700333077 /nfs/dbraw/zinc/33/30/77/700333077.db2.gz TZRWAPRMIQYPPC-VXGBXAGGSA-N -1 1 302.378 1.876 20 0 DDADMM O=S(=O)([N-]CCNc1ncccn1)c1cc2ccccc2o1 ZINC000754038229 700477936 /nfs/dbraw/zinc/47/79/36/700477936.db2.gz MDUDSWBEKKRQQR-UHFFFAOYSA-N -1 1 318.358 1.613 20 0 DDADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CCC(C)(C)CC1 ZINC000755576036 700571523 /nfs/dbraw/zinc/57/15/23/700571523.db2.gz JINATOXOQFEYHY-UHFFFAOYSA-N -1 1 307.394 1.354 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCOC(C)(C)C)co1 ZINC000756403028 700619742 /nfs/dbraw/zinc/61/97/42/700619742.db2.gz GEYJVFRONCWYPE-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CCSC2)co1 ZINC000756578206 700630187 /nfs/dbraw/zinc/63/01/87/700630187.db2.gz HPNLQDDUGWMGOE-MRVPVSSYSA-N -1 1 305.377 1.098 20 0 DDADMM COC(=O)C1([N-]C(=O)C(F)(F)c2nccs2)CCCC1 ZINC000756634560 700632551 /nfs/dbraw/zinc/63/25/51/700632551.db2.gz ZKAZLKREKQWRRH-UHFFFAOYSA-N -1 1 304.318 1.837 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)c2ccncc2)co1 ZINC000757734321 700669895 /nfs/dbraw/zinc/66/98/95/700669895.db2.gz MZMNGZZPRICNPY-VIFPVBQESA-N -1 1 310.331 1.501 20 0 DDADMM COc1ccccc1N1C[C@H](C(=O)[N-]OCC(F)F)CC1=O ZINC000759331026 700746854 /nfs/dbraw/zinc/74/68/54/700746854.db2.gz LVGFYCPKNXBUMW-SECBINFHSA-N -1 1 314.288 1.361 20 0 DDADMM COc1ccc(C(=O)CCC(=O)[N-]OCC(F)F)cc1OC ZINC000759343776 700747637 /nfs/dbraw/zinc/74/76/37/700747637.db2.gz PJZHEXKAOSTIOU-UHFFFAOYSA-N -1 1 317.288 1.980 20 0 DDADMM CCOc1cc(C(=O)NCCS(C)(=O)=O)cc(Cl)c1[O-] ZINC000762584485 700899289 /nfs/dbraw/zinc/89/92/89/700899289.db2.gz ZIXRUIICABDPLA-UHFFFAOYSA-N -1 1 321.782 1.219 20 0 DDADMM COCc1c2ccccc2oc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765407452 701010123 /nfs/dbraw/zinc/01/01/23/701010123.db2.gz LGAYOYVCHBCUHD-UHFFFAOYSA-N -1 1 317.301 1.358 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccn2Cc2cccs2)nc1=O ZINC000765465967 701014191 /nfs/dbraw/zinc/01/41/91/701014191.db2.gz BTSFRTVICASWOL-UHFFFAOYSA-N -1 1 318.358 1.377 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(C3CCCCC3)[nH]n2)nc1=O ZINC000765494439 701015916 /nfs/dbraw/zinc/01/59/16/701015916.db2.gz AUFZHXBCBNDCFY-UHFFFAOYSA-N -1 1 305.338 1.236 20 0 DDADMM Cn1[n-]c(COC(=O)C2(Cc3ccc(Cl)cc3)CC2)nc1=O ZINC000765496676 701016217 /nfs/dbraw/zinc/01/62/17/701016217.db2.gz LDKFLQIVSBRSNG-UHFFFAOYSA-N -1 1 321.764 1.828 20 0 DDADMM C[C@H](CCCc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765500042 701016468 /nfs/dbraw/zinc/01/64/68/701016468.db2.gz BDUMCXPEIRUNGA-GFCCVEGCSA-N -1 1 303.362 1.811 20 0 DDADMM O=C(CSc1n[nH]c(=S)s1)[N-]OCC(F)(F)F ZINC000766763852 701062145 /nfs/dbraw/zinc/06/21/45/701062145.db2.gz DGEMWHITBVSZCT-UHFFFAOYSA-N -1 1 305.328 1.529 20 0 DDADMM Cc1ccc(F)c(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1F ZINC000766876053 701067643 /nfs/dbraw/zinc/06/76/43/701067643.db2.gz VIAJTJVCZVJPKV-SECBINFHSA-N -1 1 309.276 1.000 20 0 DDADMM Cc1cc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n(C)n1 ZINC000803466498 701117314 /nfs/dbraw/zinc/11/73/14/701117314.db2.gz RDVFFVSDRQRMQG-UHFFFAOYSA-N -1 1 303.366 1.586 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)C1(CCO)CC1 ZINC000770534684 701282234 /nfs/dbraw/zinc/28/22/34/701282234.db2.gz FNQLGKZRJWESNE-UHFFFAOYSA-N -1 1 304.298 1.704 20 0 DDADMM O=C(CC1(CSc2ccccc2)CC1)NN1CC(=O)[N-]C1=O ZINC000771189582 701312831 /nfs/dbraw/zinc/31/28/31/701312831.db2.gz DJFWRGRYDCSDIH-UHFFFAOYSA-N -1 1 319.386 1.532 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC(C)(C)C ZINC000830879245 706596093 /nfs/dbraw/zinc/59/60/93/706596093.db2.gz ZZXQMLPWNKZIKX-SSDOTTSWSA-N -1 1 323.802 1.462 20 0 DDADMM O=C(OCCCc1nc(-c2cccs2)no1)c1cn[n-]n1 ZINC000805603974 701397387 /nfs/dbraw/zinc/39/73/87/701397387.db2.gz ZGHLWXJWGQKUON-UHFFFAOYSA-N -1 1 305.319 1.706 20 0 DDADMM C[C@@H](CNC(=O)[C@H](C)OC(=O)c1cn[n-]n1)c1ccccc1 ZINC000805606884 701399522 /nfs/dbraw/zinc/39/95/22/701399522.db2.gz CIIJYRWPPXWRLL-QWRGUYRKSA-N -1 1 302.334 1.270 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC2(CCC2)C1)c1c[nH]nc1Cl ZINC000830903333 706600095 /nfs/dbraw/zinc/60/00/95/706600095.db2.gz GEJAYNQPJUUDMA-MRVPVSSYSA-N -1 1 305.787 1.443 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1C[C@H](C)OC1=O ZINC000808203971 701507490 /nfs/dbraw/zinc/50/74/90/701507490.db2.gz YXDMWWTWCFEZMF-CBAPKCEASA-N -1 1 307.350 1.222 20 0 DDADMM CC(C)(C)C[C@@H]1C[C@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952799 706609489 /nfs/dbraw/zinc/60/94/89/706609489.db2.gz MORCFZQYYSACNY-WDEREUQCSA-N -1 1 319.405 1.943 20 0 DDADMM CCC(CC)S(=O)(=O)[N-]C(=O)[C@@]1(F)CCN(C(C)(C)C)C1 ZINC000810583007 701794500 /nfs/dbraw/zinc/79/45/00/701794500.db2.gz KSOXZHFRFBRGLD-CQSZACIVSA-N -1 1 322.446 1.834 20 0 DDADMM CCC(O)(CC)C(C)(C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000867858365 701798347 /nfs/dbraw/zinc/79/83/47/701798347.db2.gz AABPCICJSAMCFY-UHFFFAOYSA-N -1 1 309.819 1.671 20 0 DDADMM O=C(COC(=O)c1ncoc1C1CC1)[N-]C(=O)c1ccccc1 ZINC000815325289 701848392 /nfs/dbraw/zinc/84/83/92/701848392.db2.gz YQBTVAMSHMWZSB-UHFFFAOYSA-N -1 1 314.297 1.665 20 0 DDADMM Cc1ccc2snc(C(=O)OCc3nc(=O)n(C)[n-]3)c2c1 ZINC000815507989 701884471 /nfs/dbraw/zinc/88/44/71/701884471.db2.gz CPACIZAYUKFZFQ-UHFFFAOYSA-N -1 1 304.331 1.384 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@@H]2COc3cccnc3O2)[n-]1 ZINC000816364816 702073386 /nfs/dbraw/zinc/07/33/86/702073386.db2.gz PIIOULUUECUVOR-VIFPVBQESA-N -1 1 318.285 1.193 20 0 DDADMM O=C(NCCC1CCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868386520 702101910 /nfs/dbraw/zinc/10/19/10/702101910.db2.gz HBWKCALXJFRUPJ-UHFFFAOYSA-N -1 1 306.366 1.244 20 0 DDADMM CCn1ncn([N-]C(=O)c2cc3c(s2)CCCCC3)c1=O ZINC000816734908 702170024 /nfs/dbraw/zinc/17/00/24/702170024.db2.gz JYJPLIALUWTSOM-UHFFFAOYSA-N -1 1 306.391 1.779 20 0 DDADMM COc1ccc(CN2C[C@H](C(=O)[N-]OC3CCC3)CC2=O)cc1 ZINC000812789575 702238991 /nfs/dbraw/zinc/23/89/91/702238991.db2.gz GASDLGSHKIWZSC-CYBMUJFWSA-N -1 1 318.373 1.644 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000812802328 702243065 /nfs/dbraw/zinc/24/30/65/702243065.db2.gz HXYVATUZBREWHH-CYBMUJFWSA-N -1 1 306.362 1.512 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@H]1CC(=O)N(Cc2ccco2)C1 ZINC000812804703 702243504 /nfs/dbraw/zinc/24/35/04/702243504.db2.gz CCJLKMDOXRPEKL-LBPRGKRZSA-N -1 1 310.350 1.101 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@H](CC)OC ZINC000817135098 702283858 /nfs/dbraw/zinc/28/38/58/702283858.db2.gz IKGXEPTUXFAMAT-NWDGAFQWSA-N -1 1 307.412 1.063 20 0 DDADMM CC[C@@]1(C)NC(=O)N(NC(=O)c2cccc(Cl)c2[O-])C1=O ZINC000813545794 702370699 /nfs/dbraw/zinc/37/06/99/702370699.db2.gz AJAQUXOCAISWBG-CYBMUJFWSA-N -1 1 311.725 1.411 20 0 DDADMM CC[C@@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000817643036 702433088 /nfs/dbraw/zinc/43/30/88/702433088.db2.gz HDIHFXHWPMNCHC-WDEREUQCSA-N -1 1 306.391 1.705 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)Cc2c(F)cccc2Cl)C(=O)O1 ZINC000841520165 702476541 /nfs/dbraw/zinc/47/65/41/702476541.db2.gz FZKQKXOZNVZLTA-WRWORJQWSA-N -1 1 321.757 1.603 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000841533010 702482520 /nfs/dbraw/zinc/48/25/20/702482520.db2.gz BGUYYPIHVQIDCZ-SNVBAGLBSA-N -1 1 321.757 1.709 20 0 DDADMM CCOC1CC2(C[C@H]2NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000879416043 706674264 /nfs/dbraw/zinc/67/42/64/706674264.db2.gz LUXFHPKYLOTXGQ-XNVJVGRKSA-N -1 1 315.377 1.421 20 0 DDADMM CC(C)SCC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869343550 702575348 /nfs/dbraw/zinc/57/53/48/702575348.db2.gz LXQQQOGIWPFGJU-UHFFFAOYSA-N -1 1 315.313 1.309 20 0 DDADMM Cc1c(Br)csc1C(=O)[N-]N1CCOC1=O ZINC000869406400 702596465 /nfs/dbraw/zinc/59/64/65/702596465.db2.gz QVBQACYDBCLEMX-UHFFFAOYSA-N -1 1 305.153 1.916 20 0 DDADMM COC[C@H](C)[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1F ZINC000866385986 706686816 /nfs/dbraw/zinc/68/68/16/706686816.db2.gz VBJSWWSIOVVBNJ-UGZDLDLSSA-N -1 1 324.399 1.152 20 0 DDADMM COc1nccc(CSCCC[N-]C(=O)C(F)(F)F)n1 ZINC000842186401 702680953 /nfs/dbraw/zinc/68/09/53/702680953.db2.gz XWBYBCMCZHBYQZ-UHFFFAOYSA-N -1 1 309.313 1.787 20 0 DDADMM CC[C@@H]1CCC[C@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014113 702803263 /nfs/dbraw/zinc/80/32/63/702803263.db2.gz LFAHFQHMYSCCQK-CHWSQXEVSA-N -1 1 316.467 1.743 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C(C)(C)C2CCC2)CCC1 ZINC000843015718 702803654 /nfs/dbraw/zinc/80/36/54/702803654.db2.gz WDYUFHVDBHRADG-UHFFFAOYSA-N -1 1 316.467 1.743 20 0 DDADMM Cc1ccc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)cc1 ZINC000843017336 702804087 /nfs/dbraw/zinc/80/40/87/702804087.db2.gz BBQJXNRBKCYOIU-UHFFFAOYSA-N -1 1 310.419 1.539 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)/C=C/c2cccnc2)CCC1 ZINC000843017186 702804131 /nfs/dbraw/zinc/80/41/31/702804131.db2.gz ZPWQMNKLAFGGAM-VOTSOKGWSA-N -1 1 323.418 1.025 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-]CC1CCC1)c1ccccc1F ZINC000866482562 706709773 /nfs/dbraw/zinc/70/97/73/706709773.db2.gz MWRMHUMOCSUNNF-IBGZPJMESA-N -1 1 320.411 1.917 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@@]1(C)C[C@]1(F)Cl ZINC000846403996 703271617 /nfs/dbraw/zinc/27/16/17/703271617.db2.gz JBMFBQCYZIFOLS-NWDGAFQWSA-N -1 1 319.720 1.331 20 0 DDADMM CC1(C)CCC[C@]1(O)C[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000831627727 706742172 /nfs/dbraw/zinc/74/21/72/706742172.db2.gz LSWXPNUOHNSWRU-NSHDSACASA-N -1 1 307.803 1.283 20 0 DDADMM O=C(NC[C@H]1CN(C2CC2)C(=O)O1)c1ccc(Cl)cc1[O-] ZINC000847268276 703393137 /nfs/dbraw/zinc/39/31/37/703393137.db2.gz ZUFKAKNQNXIMBL-JTQLQIEISA-N -1 1 310.737 1.759 20 0 DDADMM O=C(O[C@H]1CNOC1)c1cc(Br)cc(Cl)c1[O-] ZINC000848377794 703544824 /nfs/dbraw/zinc/54/48/24/703544824.db2.gz BGFSPPOEROIBIF-LURJTMIESA-N -1 1 322.542 1.868 20 0 DDADMM CO[C@@H](COC(=O)c1c([O-])cc(F)cc1F)[C@H]1CCOC1 ZINC000849718773 703671453 /nfs/dbraw/zinc/67/14/53/703671453.db2.gz ZXDMPQJBBDDRBA-UFBFGSQYSA-N -1 1 302.273 1.879 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2CCC[C@@H]2Cc2ccccc2)nc1=O ZINC000850333170 703724958 /nfs/dbraw/zinc/72/49/58/703724958.db2.gz CZLKCXAVSWJIRN-KGLIPLIRSA-N -1 1 315.373 1.811 20 0 DDADMM O=C([N-]CCCOC(=O)C1(F)CCOCC1)C(F)(F)F ZINC000869728780 703837585 /nfs/dbraw/zinc/83/75/85/703837585.db2.gz WBGGMWYQDVLHIK-UHFFFAOYSA-N -1 1 301.236 1.117 20 0 DDADMM CCOC(=O)COCCOC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869735302 703839089 /nfs/dbraw/zinc/83/90/89/703839089.db2.gz RODAOIGQXGMFBQ-UHFFFAOYSA-N -1 1 316.737 1.711 20 0 DDADMM CC(C)OC[C@@H](O)COC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869736760 703839575 /nfs/dbraw/zinc/83/95/75/703839575.db2.gz PDKCOTHYNAOODX-LLVKDONJSA-N -1 1 302.754 1.917 20 0 DDADMM CN(CCC(=O)Nc1ccc(N(C)C)cc1)Cc1n[n-]c(=O)o1 ZINC000852187720 703959927 /nfs/dbraw/zinc/95/99/27/703959927.db2.gz BNKUYKQSTLBLCR-UHFFFAOYSA-N -1 1 319.365 1.302 20 0 DDADMM C[C@@H]1CCN(C(=O)NOC2CCC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852367359 704025864 /nfs/dbraw/zinc/02/58/64/704025864.db2.gz XBMVJHNPVDHCQN-SCZZXKLOSA-N -1 1 323.315 1.569 20 0 DDADMM CC(C)[C@H](C)NC(=O)CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763081 706783643 /nfs/dbraw/zinc/78/36/43/706783643.db2.gz MSTKXFGKSYNZCG-GXFFZTMASA-N -1 1 323.359 1.290 20 0 DDADMM O=C1OCCN1[C@H]1CC[N@H+](Cc2cc(=O)[nH]c(C3CC3)n2)C1 ZINC000852441567 704050266 /nfs/dbraw/zinc/05/02/66/704050266.db2.gz HEKPQMZBBYLUMO-LBPRGKRZSA-N -1 1 304.350 1.086 20 0 DDADMM CCCCC[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000852735128 704109169 /nfs/dbraw/zinc/10/91/69/704109169.db2.gz GIFDTMMWAGPWLV-UWVGGRQHSA-N -1 1 310.316 1.773 20 0 DDADMM O=C([O-])[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1C/C=C/Cl ZINC000852737067 704109911 /nfs/dbraw/zinc/10/99/11/704109911.db2.gz QKNWYUMYKLSSPR-KHMYBQILSA-N -1 1 314.691 1.335 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1CCCC[C@@H]1CC1CCC1 ZINC000852741406 704110948 /nfs/dbraw/zinc/11/09/48/704110948.db2.gz YPLKRNYIARLEML-CYBMUJFWSA-N -1 1 303.424 1.531 20 0 DDADMM CNC(=O)NC[C@@H]1CCCCN1C(=O)c1ncc(C)cc1[O-] ZINC000870759243 704123348 /nfs/dbraw/zinc/12/33/48/704123348.db2.gz ARQGHYYFNDKBLL-NSHDSACASA-N -1 1 306.366 1.019 20 0 DDADMM Cc1cnc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(C)c1 ZINC000820067530 704214973 /nfs/dbraw/zinc/21/49/73/704214973.db2.gz HKMRMCMOGLQBJC-UHFFFAOYSA-N -1 1 302.334 1.807 20 0 DDADMM C[C@@H]1CCN(Cc2cnc(N)s2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000853248519 704224363 /nfs/dbraw/zinc/22/43/63/704224363.db2.gz HGRWASCEDPDBRP-APPZFPTMSA-N -1 1 322.356 1.614 20 0 DDADMM Cc1cnc(C(=O)N(CC2CC2)[C@H](C(N)=O)C2CC2)c([O-])c1 ZINC000871391805 704283744 /nfs/dbraw/zinc/28/37/44/704283744.db2.gz BSWCFXHGKFATEK-AWEZNQCLSA-N -1 1 303.362 1.212 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc2cccnc2c1)c1nn[n-]n1 ZINC000820673699 704318346 /nfs/dbraw/zinc/31/83/46/704318346.db2.gz ITOVUNWVCJNCEQ-ZDUSSCGKSA-N -1 1 310.361 1.948 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H](SC)C(C)(C)C ZINC000867091379 706893554 /nfs/dbraw/zinc/89/35/54/706893554.db2.gz JVFYRYWQMKFYJD-HUTHGQBESA-N -1 1 316.514 1.716 20 0 DDADMM O=C(Cc1cccc2ncccc12)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000821194690 704379758 /nfs/dbraw/zinc/37/97/58/704379758.db2.gz CLJXYOUZNVCXTH-ZDUSSCGKSA-N -1 1 322.372 1.697 20 0 DDADMM O=C(Cc1cccc2ncccc12)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000821194686 704379834 /nfs/dbraw/zinc/37/98/34/704379834.db2.gz CLJXYOUZNVCXTH-CYBMUJFWSA-N -1 1 322.372 1.697 20 0 DDADMM O=C([N-][C@H](CCO)C(F)(F)F)C(F)(F)C1(O)CCCC1 ZINC000854616648 704427167 /nfs/dbraw/zinc/42/71/67/704427167.db2.gz SBXHQFDMYKAMEC-SSDOTTSWSA-N -1 1 305.243 1.356 20 0 DDADMM CC[C@](O)(CC(=O)[N-]OCCN1CCCC1=O)c1ccccc1 ZINC000856045303 704511797 /nfs/dbraw/zinc/51/17/97/704511797.db2.gz RBFAWTAQSZVMDE-KRWDZBQOSA-N -1 1 320.389 1.345 20 0 DDADMM O=C([N-]OCC1CCC1)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000856343122 704523642 /nfs/dbraw/zinc/52/36/42/704523642.db2.gz VOEMHJWSBZNESK-OAHLLOKOSA-N -1 1 302.374 1.883 20 0 DDADMM COC(=O)[C@H]1C[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000856369165 704524972 /nfs/dbraw/zinc/52/49/72/704524972.db2.gz VIKJJDYKTGBXQO-MGCOHNPYSA-N -1 1 307.350 1.295 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2[C@@H]3CCC[C@@H]32)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418823 704528209 /nfs/dbraw/zinc/52/82/09/704528209.db2.gz OFZKBWWNCYQTQH-GCHJQGSQSA-N -1 1 318.339 1.948 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)[N-]O[C@H](CO)C(C)C)c[nH]c12 ZINC000857285528 704574273 /nfs/dbraw/zinc/57/42/73/704574273.db2.gz FGTZMHHXPRKROO-CYBMUJFWSA-N -1 1 304.346 1.515 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]CC1(c2cccc(F)c2)CC1 ZINC000866851924 706825631 /nfs/dbraw/zinc/82/56/31/706825631.db2.gz FLMOXWXIDKARNW-UHFFFAOYSA-N -1 1 320.411 1.419 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2ccc(F)nn2)c(=O)[n-]1 ZINC000857692296 704613858 /nfs/dbraw/zinc/61/38/58/704613858.db2.gz RLWAJDFRMVTXPQ-UHFFFAOYSA-N -1 1 323.353 1.713 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)CC)C12CCC2 ZINC000866869686 706830220 /nfs/dbraw/zinc/83/02/20/706830220.db2.gz MFURABGBQWPUHC-QQKBFRNYSA-N -1 1 324.468 1.286 20 0 DDADMM O=C(CN1CCCCC(=O)C1=O)Nc1ccc([O-])c(Cl)c1 ZINC000866869555 706830433 /nfs/dbraw/zinc/83/04/33/706830433.db2.gz WTEXVZVSBROOQA-UHFFFAOYSA-N -1 1 310.737 1.566 20 0 DDADMM CCOCC(C)(C)C[N-]S(=O)(=O)N=[S@@](C)(=O)CC ZINC000867273320 706944217 /nfs/dbraw/zinc/94/42/17/706944217.db2.gz DCGPRDUSENTFOX-KRWDZBQOSA-N -1 1 300.446 1.001 20 0 DDADMM C[C@@H](F)CC[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000867308742 706955459 /nfs/dbraw/zinc/95/54/59/706955459.db2.gz MSNXWQCQBVZTNO-MLCYQJTMSA-N -1 1 308.400 1.726 20 0 DDADMM CC(C)C1([N-]S(=O)(=O)c2cnn(C)c2C(F)(F)F)CC1 ZINC000867310128 706955793 /nfs/dbraw/zinc/95/57/93/706955793.db2.gz OSDSVPJCRRSGKU-UHFFFAOYSA-N -1 1 311.329 1.906 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1ccnc(C)c1 ZINC000867330345 706963081 /nfs/dbraw/zinc/96/30/81/706963081.db2.gz YJGZQYGYDVKQPK-UHFFFAOYSA-N -1 1 305.425 1.232 20 0 DDADMM Cc1occ(C(F)(F)F)c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000821894254 704836609 /nfs/dbraw/zinc/83/66/09/704836609.db2.gz LNSIZRVHCDFJJI-ZCFIWIBFSA-N -1 1 317.271 1.996 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(Cc2cc(Cl)cc3c2OCC3)C1 ZINC000859819374 705012355 /nfs/dbraw/zinc/01/23/55/705012355.db2.gz IBKPEKHBKIIFTK-HNNXBMFYSA-N -1 1 311.765 1.950 20 0 DDADMM O=C(NC[C@H](c1ccco1)N1CCCC1)N[C@H]1C[C@H](C(=O)[O-])C1 ZINC000874382412 705029064 /nfs/dbraw/zinc/02/90/64/705029064.db2.gz IJLMIAKIEKTWIB-JHJVBQTASA-N -1 1 321.377 1.579 20 0 DDADMM O=C([N-]OC/C=C\Cl)[C@@H]1CNC(=O)C[C@@H]1C(F)(F)F ZINC000823046797 705123073 /nfs/dbraw/zinc/12/30/73/705123073.db2.gz ORESNVDIVQMYDO-WJTODNIASA-N -1 1 300.664 1.101 20 0 DDADMM CC[C@@H](COCC1CC1)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000823062137 705127712 /nfs/dbraw/zinc/12/77/12/705127712.db2.gz JSYQRTDYSLPRTO-LBPRGKRZSA-N -1 1 317.393 1.669 20 0 DDADMM CS(=O)(=O)c1ccc(/C=C\C(=O)[N-]OCC(F)(F)F)o1 ZINC000860202284 705129377 /nfs/dbraw/zinc/12/93/77/705129377.db2.gz VCDKZLZSUXNEFA-RQOWECAXSA-N -1 1 313.253 1.306 20 0 DDADMM O=C(C(=O)N1CCn2nc(C3CC3)cc2C1)c1ccc([O-])cc1 ZINC000834443901 707055269 /nfs/dbraw/zinc/05/52/69/707055269.db2.gz KOBIGVKAZGHPQP-UHFFFAOYSA-N -1 1 311.341 1.691 20 0 DDADMM CC(C)OCCCCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824314784 705425610 /nfs/dbraw/zinc/42/56/10/705425610.db2.gz GGPZCWRCMOQCKY-UHFFFAOYSA-N -1 1 304.354 1.197 20 0 DDADMM CC(C)OCCCCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824314784 705425612 /nfs/dbraw/zinc/42/56/12/705425612.db2.gz GGPZCWRCMOQCKY-UHFFFAOYSA-N -1 1 304.354 1.197 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC000825482230 705679571 /nfs/dbraw/zinc/67/95/71/705679571.db2.gz YDJRJTCPDFBRCV-DTWKUNHWSA-N -1 1 303.288 1.393 20 0 DDADMM Cc1nc(CN(C)c2ccc(Cl)c(-c3nnn[n-]3)n2)no1 ZINC000826325680 705790340 /nfs/dbraw/zinc/79/03/40/705790340.db2.gz HYOTVJGTPHBOFC-UHFFFAOYSA-N -1 1 306.717 1.243 20 0 DDADMM Cc1nc(CN(C)c2ccc(Cl)c(-c3nn[n-]n3)n2)no1 ZINC000826325680 705790342 /nfs/dbraw/zinc/79/03/42/705790342.db2.gz HYOTVJGTPHBOFC-UHFFFAOYSA-N -1 1 306.717 1.243 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)CC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826343659 705793138 /nfs/dbraw/zinc/79/31/38/705793138.db2.gz JJJOOFIYVCGZDQ-BDAKNGLRSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)CC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826343659 705793143 /nfs/dbraw/zinc/79/31/43/705793143.db2.gz JJJOOFIYVCGZDQ-BDAKNGLRSA-N -1 1 304.354 1.353 20 0 DDADMM COCCN1CC[C@@H](NC(=O)c2ccc3oc(=S)[n-]c3c2)C1 ZINC000826797521 705873598 /nfs/dbraw/zinc/87/35/98/705873598.db2.gz VIAXIJDUWJSTCR-LLVKDONJSA-N -1 1 321.402 1.567 20 0 DDADMM Cc1oc(C(C)(C)C)cc1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000827962026 706094397 /nfs/dbraw/zinc/09/43/97/706094397.db2.gz XUXOODJUEFFCBW-LLVKDONJSA-N -1 1 319.365 1.612 20 0 DDADMM CCN(OC)C(=O)C[N-]S(=O)(=O)c1sccc1Cl ZINC000828165812 706131653 /nfs/dbraw/zinc/13/16/53/706131653.db2.gz XSJYIONSHUQVPR-UHFFFAOYSA-N -1 1 312.800 1.090 20 0 DDADMM Cc1cc(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)nn1C ZINC000877773696 706204883 /nfs/dbraw/zinc/20/48/83/706204883.db2.gz VFFYMKQKSRWRLE-LBPRGKRZSA-N -1 1 304.316 1.371 20 0 DDADMM Cc1cc(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)n(C)n1 ZINC000877772933 706204992 /nfs/dbraw/zinc/20/49/92/706204992.db2.gz CRDBMHBMNCIFTL-LBPRGKRZSA-N -1 1 304.316 1.371 20 0 DDADMM CCC1(CC(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)COC1 ZINC000828682203 706216871 /nfs/dbraw/zinc/21/68/71/706216871.db2.gz ZAGFHKXNIHRQKC-GFCCVEGCSA-N -1 1 322.327 1.473 20 0 DDADMM Cn1cc(CNCc2ccc3c(c2)OCCO3)c(C(=O)[O-])n1 ZINC000864784037 706262251 /nfs/dbraw/zinc/26/22/51/706262251.db2.gz RHYCJWQNNAVZCJ-UHFFFAOYSA-N -1 1 303.318 1.179 20 0 DDADMM CCn1nc(C)c(C(=O)CSc2nc(C(=O)OC)c[n-]2)n1 ZINC000829454797 706336666 /nfs/dbraw/zinc/33/66/66/706336666.db2.gz YSJIUSXSNFQKJD-UHFFFAOYSA-N -1 1 309.351 1.091 20 0 DDADMM C[C@]1([N-]S(=O)(=O)N=[S@](C)(=O)C2CCCCC2)CCOC1 ZINC000882017968 707467649 /nfs/dbraw/zinc/46/76/49/707467649.db2.gz MHNYGOJPMVRLAK-HXPMCKFVSA-N -1 1 324.468 1.430 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@@H]2OCCNC(=O)[C@H]21 ZINC000830056782 706446152 /nfs/dbraw/zinc/44/61/52/706446152.db2.gz JZJTVZNBQFAMHQ-STQMWFEESA-N -1 1 324.764 1.165 20 0 DDADMM Cc1cc(=O)[n-]c(C[NH2+][C@@]2(CNC(=O)[O-])CCCC[C@H]2C)n1 ZINC000830128868 706460837 /nfs/dbraw/zinc/46/08/37/706460837.db2.gz IOZIFOGKBFUESV-MEBBXXQBSA-N -1 1 308.382 1.797 20 0 DDADMM O=C(NCCOC(F)(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000830195402 706472505 /nfs/dbraw/zinc/47/25/05/706472505.db2.gz YCBLKLWETRXRJB-UHFFFAOYSA-N -1 1 306.269 1.284 20 0 DDADMM COc1cc(C)cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1C ZINC000865595346 706472665 /nfs/dbraw/zinc/47/26/65/706472665.db2.gz IUFSWTNRFTZTAH-CYBMUJFWSA-N -1 1 317.349 1.039 20 0 DDADMM C/C(=C\C1CCC(C)CC1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000865595282 706472820 /nfs/dbraw/zinc/47/28/20/706472820.db2.gz HGAPKSKRHWFDKJ-LBXQGKLUSA-N -1 1 319.409 1.872 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H](Cc1cccnc1)C1CCCC1 ZINC000865709101 706502066 /nfs/dbraw/zinc/50/20/66/706502066.db2.gz AEZWNTFKLFKABY-ZDUSSCGKSA-N -1 1 300.366 1.260 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CO1 ZINC000830807652 706583202 /nfs/dbraw/zinc/58/32/02/706583202.db2.gz OALHMEVFCXKFRP-PTRXPTGYSA-N -1 1 308.300 1.081 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2(O)CCCCC2)C1 ZINC000830817112 706585539 /nfs/dbraw/zinc/58/55/39/706585539.db2.gz XYBGERFGMQKWNV-LBPRGKRZSA-N -1 1 322.327 1.351 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2(O)CCCCC2)C1 ZINC000830817111 706585929 /nfs/dbraw/zinc/58/59/29/706585929.db2.gz XYBGERFGMQKWNV-GFCCVEGCSA-N -1 1 322.327 1.351 20 0 DDADMM CC(C)CO[C@H](C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827581 706588341 /nfs/dbraw/zinc/58/83/41/706588341.db2.gz MUDSPXATNWJYEK-MFKMUULPSA-N -1 1 324.343 1.717 20 0 DDADMM Cn1cccc1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830831996 706588897 /nfs/dbraw/zinc/58/88/97/706588897.db2.gz AQKPFPLOZAZVSN-GFCCVEGCSA-N -1 1 303.284 1.308 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)COCC2CC2)C1 ZINC000830833663 706589426 /nfs/dbraw/zinc/58/94/26/706589426.db2.gz RYPKWQYBKAVYAH-GFCCVEGCSA-N -1 1 308.300 1.083 20 0 DDADMM CCOCCCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830832470 706589504 /nfs/dbraw/zinc/58/95/04/706589504.db2.gz FOHAQWVXKAZJTB-LBPRGKRZSA-N -1 1 310.316 1.473 20 0 DDADMM CC1(C[N-]S(=O)(=O)N=[S@@](C)(=O)c2ccccc2F)CC1 ZINC000866914529 706843594 /nfs/dbraw/zinc/84/35/94/706843594.db2.gz YBAFYYSFBVLAPD-IBGZPJMESA-N -1 1 320.411 1.917 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)N=S2(=O)CCCC2)CCCC[C@@H]1O ZINC000866915975 706844296 /nfs/dbraw/zinc/84/42/96/706844296.db2.gz OLPKVVFMHZXZEH-RYUDHWBXSA-N -1 1 324.468 1.024 20 0 DDADMM COc1cc(CCC(=O)[N-]OCC(F)F)cc(OC)c1O ZINC000832184961 706864309 /nfs/dbraw/zinc/86/43/09/706864309.db2.gz ASHSTUZLSPQWJQ-UHFFFAOYSA-N -1 1 305.277 1.655 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000867042033 706880121 /nfs/dbraw/zinc/88/01/21/706880121.db2.gz XPVFVMMOOGZBPQ-JGPRNRPPSA-N -1 1 312.457 1.285 20 0 DDADMM CC(C)[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867051544 706882834 /nfs/dbraw/zinc/88/28/34/706882834.db2.gz DNQDUBIPDBGXOX-ZJUUUORDSA-N -1 1 320.361 1.842 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCCCCCO)c1 ZINC000867059650 706885971 /nfs/dbraw/zinc/88/59/71/706885971.db2.gz FHGOUQNKUMBZME-UHFFFAOYSA-N -1 1 303.380 1.232 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCCCO1)c1ccc(F)nc1F ZINC000867073388 706890122 /nfs/dbraw/zinc/89/01/22/706890122.db2.gz SHYIMBRKLUEUTM-SECBINFHSA-N -1 1 306.334 1.597 20 0 DDADMM Cc1csc([C@H](C)C[N-]S(=O)(=O)N=S(C)(C)=O)n1 ZINC000867099119 706895919 /nfs/dbraw/zinc/89/59/19/706895919.db2.gz OLUGWXAATJMKQE-SSDOTTSWSA-N -1 1 311.454 1.117 20 0 DDADMM CC(C)(CO)[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C1CC1 ZINC000867283670 706947401 /nfs/dbraw/zinc/94/74/01/706947401.db2.gz LXBJRTDFYCUZEF-NSHDSACASA-N -1 1 320.361 1.435 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@H]1Cc2ccccc2O1)c1nn[n-]n1 ZINC000867381777 706982420 /nfs/dbraw/zinc/98/24/20/706982420.db2.gz QTHMIHKWHNNTJE-CMPLNLGQSA-N -1 1 301.350 1.155 20 0 DDADMM O=C([O-])CN(C(=O)N[C@H]1CCc2nc[nH]c2C1)C1CCCCC1 ZINC000909020155 712918383 /nfs/dbraw/zinc/91/83/83/712918383.db2.gz OCFWPNMETOEXKC-NSHDSACASA-N -1 1 320.393 1.696 20 0 DDADMM CCC[C@H](C)[C@H](CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867459148 707007834 /nfs/dbraw/zinc/00/78/34/707007834.db2.gz SRYQQOAODJFSIO-IUCAKERBSA-N -1 1 308.350 1.435 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCC2SCCS2)sn1 ZINC000867532332 707031002 /nfs/dbraw/zinc/03/10/02/707031002.db2.gz UYGUWFLUAXXTKZ-UHFFFAOYSA-N -1 1 310.491 1.926 20 0 DDADMM O=C(NC[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1ccc2n[n-]c(=S)n2c1 ZINC000834379865 707041252 /nfs/dbraw/zinc/04/12/52/707041252.db2.gz ULUCYPCHSFQXGB-DLOVCJGASA-N -1 1 318.402 1.705 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2ccc(C)s2)n[n-]1 ZINC000880666597 707052375 /nfs/dbraw/zinc/05/23/75/707052375.db2.gz PCCXZIJVUHSQIM-VIFPVBQESA-N -1 1 322.390 1.771 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2ccc(C)s2)[n-]1 ZINC000880666597 707052376 /nfs/dbraw/zinc/05/23/76/707052376.db2.gz PCCXZIJVUHSQIM-VIFPVBQESA-N -1 1 322.390 1.771 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2ccc(C)s2)n1 ZINC000880666597 707052377 /nfs/dbraw/zinc/05/23/77/707052377.db2.gz PCCXZIJVUHSQIM-VIFPVBQESA-N -1 1 322.390 1.771 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C(C)(C)C(C)(F)F)n[n-]1 ZINC000880666307 707052390 /nfs/dbraw/zinc/05/23/90/707052390.db2.gz MXGCICIGZJWDFN-ZETCQYMHSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C(C)(C)C(C)(F)F)[n-]1 ZINC000880666307 707052391 /nfs/dbraw/zinc/05/23/91/707052391.db2.gz MXGCICIGZJWDFN-ZETCQYMHSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C(C)(C)C(C)(F)F)n1 ZINC000880666307 707052393 /nfs/dbraw/zinc/05/23/93/707052393.db2.gz MXGCICIGZJWDFN-ZETCQYMHSA-N -1 1 318.324 1.840 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)sc1C ZINC000867615132 707055069 /nfs/dbraw/zinc/05/50/69/707055069.db2.gz XIZJGXYHDBXDFZ-NGDQXYMTSA-N -1 1 314.432 1.462 20 0 DDADMM CCO[C@@H](C(=O)Nc1nc(Cl)ccc1[O-])[C@@H]1CCOC1 ZINC000867713080 707082735 /nfs/dbraw/zinc/08/27/35/707082735.db2.gz METIQSIEHNDZKV-LDYMZIIASA-N -1 1 300.742 1.821 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccnc(Br)c2)c1=O ZINC000871952663 707254096 /nfs/dbraw/zinc/25/40/96/707254096.db2.gz KFPUAIGIERILNP-ZETCQYMHSA-N -1 1 312.123 1.356 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C[C@H](O)CSC)CC2 ZINC000872167477 707312415 /nfs/dbraw/zinc/31/24/15/707312415.db2.gz LEJSPSGZWGFQDZ-JTQLQIEISA-N -1 1 311.403 1.475 20 0 DDADMM CC[C@@](C)(COC(=O)c1coc(S(=O)(=O)[N-]C)c1)OC ZINC000835957747 707358384 /nfs/dbraw/zinc/35/83/84/707358384.db2.gz BXIXLDMPNLUVKT-LBPRGKRZSA-N -1 1 305.352 1.160 20 0 DDADMM O=C(N[C@]12CCC[C@H]1OCC2)c1ccc2n[n-]c(=S)n2c1 ZINC000872281529 707362734 /nfs/dbraw/zinc/36/27/34/707362734.db2.gz UFQZAJBSJRJBTA-YGRLFVJLSA-N -1 1 304.375 1.459 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@]2(C)CC(C)(C)OC2=O)sn1 ZINC000882223963 707546493 /nfs/dbraw/zinc/54/64/93/707546493.db2.gz TTYBRBMCXXGUEO-NSHDSACASA-N -1 1 304.393 1.214 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-][C@]1(C)CC(C)(C)OC1=O)C1CC1 ZINC000882225024 707547362 /nfs/dbraw/zinc/54/73/62/707547362.db2.gz RMWKXCNGNGTICC-SMDDNHRTSA-N -1 1 319.423 1.205 20 0 DDADMM CC[C@@H](C)C[C@@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OC ZINC000882298211 707574982 /nfs/dbraw/zinc/57/49/82/707574982.db2.gz DXBQXBQSCRPCSE-NXEZZACHSA-N -1 1 318.370 1.477 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)[C@H]1CCCOC1 ZINC000882760862 707776195 /nfs/dbraw/zinc/77/61/95/707776195.db2.gz NURBNOAWPFMITE-IUCAKERBSA-N -1 1 307.803 1.547 20 0 DDADMM CCN1CC[C@H]1C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000882765168 707780499 /nfs/dbraw/zinc/78/04/99/707780499.db2.gz RMGRFILNEZMVRJ-QMMMGPOBSA-N -1 1 323.212 1.415 20 0 DDADMM CCOC(=O)[C@H](C[C@H](C)CC)[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC000921381396 713749564 /nfs/dbraw/zinc/74/95/64/713749564.db2.gz AOKGFNQQCRCPMX-UPJWGTAASA-N -1 1 321.439 1.453 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)NC3(C(=O)[O-])CCSCC3)c2C1 ZINC000909347585 712996705 /nfs/dbraw/zinc/99/67/05/712996705.db2.gz PEAKGWVVTZRVOI-VIFPVBQESA-N -1 1 323.418 1.615 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCCOc2ccccc2)C1 ZINC000909398568 713008327 /nfs/dbraw/zinc/00/83/27/713008327.db2.gz LFSSHCPGWQNHAZ-AWEZNQCLSA-N -1 1 320.389 1.368 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])C[C@H](CO)O1 ZINC000897520402 708361605 /nfs/dbraw/zinc/36/16/05/708361605.db2.gz GVSUJXFXBAGOJF-ZWNOBZJWSA-N -1 1 317.341 1.473 20 0 DDADMM O=C([O-])c1cccc(CCNC(=O)[C@H]2CCCc3[nH]ncc32)c1 ZINC000909463739 713024837 /nfs/dbraw/zinc/02/48/37/713024837.db2.gz VFDLWDJXUMGYMF-ZDUSSCGKSA-N -1 1 313.357 1.887 20 0 DDADMM C[C@@H]1OCC[C@@]1(O)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897604340 708390269 /nfs/dbraw/zinc/39/02/69/708390269.db2.gz MUZDWLPXDMDYQZ-DYZYQPBXSA-N -1 1 317.341 1.521 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C(=O)O1 ZINC000897764746 708443293 /nfs/dbraw/zinc/44/32/93/708443293.db2.gz OSQNDIFKXYOZNS-AMIZOPFISA-N -1 1 301.298 1.685 20 0 DDADMM O=S(=O)([N-]CC1([C@H](O)c2ccccc2)CC1)c1ccns1 ZINC000885069460 708461539 /nfs/dbraw/zinc/46/15/39/708461539.db2.gz UTUKYICYFUPYQR-CYBMUJFWSA-N -1 1 324.427 1.935 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)C[C@H]2CCCC(C)(C)O2)n1 ZINC000885134880 708478677 /nfs/dbraw/zinc/47/86/77/708478677.db2.gz NNUIIQVOBQXGKH-SNVBAGLBSA-N -1 1 303.384 1.145 20 0 DDADMM COCCOC(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000885162833 708482832 /nfs/dbraw/zinc/48/28/32/708482832.db2.gz UGAIMBIZPOCWIT-UHFFFAOYSA-N -1 1 312.288 1.160 20 0 DDADMM Cc1ccc([C@H](O)[C@@H](C)[N-]S(=O)(=O)c2ccns2)cc1 ZINC000885186222 708488400 /nfs/dbraw/zinc/48/84/00/708488400.db2.gz VMOYIPCVEVWYRX-ZWNOBZJWSA-N -1 1 312.416 1.852 20 0 DDADMM C[C@H](c1ccccc1)[C@@H](O)C[N-]S(=O)(=O)c1ccns1 ZINC000885309037 708516658 /nfs/dbraw/zinc/51/66/58/708516658.db2.gz AIKKHNYMQCNDPX-PWSUYJOCSA-N -1 1 312.416 1.586 20 0 DDADMM COC(=O)C1(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000885402106 708536920 /nfs/dbraw/zinc/53/69/20/708536920.db2.gz ULJUDXVZFUFJLV-UHFFFAOYSA-N -1 1 323.292 1.335 20 0 DDADMM COC(=O)C1(C[N-]S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC000885402027 708536964 /nfs/dbraw/zinc/53/69/64/708536964.db2.gz PDIBBUVHSICKHF-UHFFFAOYSA-N -1 1 305.302 1.196 20 0 DDADMM O=S(=O)([N-][C@]12C[C@H]1COC2)c1ccc(Cl)nc1Cl ZINC000885496447 708560107 /nfs/dbraw/zinc/56/01/07/708560107.db2.gz PYBMQSKNAQSCDJ-WKEGUHRASA-N -1 1 309.174 1.456 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1ccc2nc(C)[nH]c2c1 ZINC000886399381 708753398 /nfs/dbraw/zinc/75/33/98/708753398.db2.gz SQSJJFQMNNGWSY-UHFFFAOYSA-N -1 1 319.390 1.968 20 0 DDADMM O=S(=O)([N-]c1[nH]nc2c1COCC2)c1ccc(C(F)F)o1 ZINC000886479272 708767411 /nfs/dbraw/zinc/76/74/11/708767411.db2.gz GFLYXQBJCLNCIZ-UHFFFAOYSA-N -1 1 319.289 1.814 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N(CCO)C1CCC1 ZINC000927784740 713055172 /nfs/dbraw/zinc/05/51/72/713055172.db2.gz QTEZGCJZYQHPHR-UHFFFAOYSA-N -1 1 314.332 1.769 20 0 DDADMM CN(C(=O)CCOc1ccc(Cl)cc1Cl)c1nn[n-]n1 ZINC000912618081 713052657 /nfs/dbraw/zinc/05/26/57/713052657.db2.gz MNRZEBWYLIEMTG-UHFFFAOYSA-N -1 1 316.148 1.938 20 0 DDADMM Cc1cccc(CO[N-]C(=O)[C@H]2CC(=O)N(C3CCCC3)C2)n1 ZINC000898938007 708929416 /nfs/dbraw/zinc/92/94/16/708929416.db2.gz PVQDHAUMSYTJDN-ZDUSSCGKSA-N -1 1 317.389 1.729 20 0 DDADMM COC(=O)[C@H]1C[C@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000898989439 708945755 /nfs/dbraw/zinc/94/57/55/708945755.db2.gz YZBTUBZNFVBDAB-XYPYZODXSA-N -1 1 315.325 1.932 20 0 DDADMM O=C(NCC1(C2(O)CCC2)CC1)c1cnc(C2CC2)[n-]c1=O ZINC000887539269 709065890 /nfs/dbraw/zinc/06/58/90/709065890.db2.gz JCSOKNAZKOBVSC-UHFFFAOYSA-N -1 1 303.362 1.485 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CC12CC(O)C2 ZINC000888963502 709414448 /nfs/dbraw/zinc/41/44/48/709414448.db2.gz XOYROYAVQNSBHC-MAXPVNGDSA-N -1 1 305.378 1.431 20 0 DDADMM CCCN1C[C@@H](C(=O)[N-]OCCOc2ccccc2)CC1=O ZINC000889443827 709504726 /nfs/dbraw/zinc/50/47/26/709504726.db2.gz UNPMSMBIMIOCEE-ZDUSSCGKSA-N -1 1 306.362 1.372 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](C)Cc1ccccc1)c1nn[n-]n1 ZINC000912860587 713109875 /nfs/dbraw/zinc/10/98/75/713109875.db2.gz RFIQBTAAFUJHNG-AAEUAGOBSA-N -1 1 319.434 1.989 20 0 DDADMM CSCC[C@H](NC(=O)c1ccnc(SC)c1)c1nn[n-]n1 ZINC000912860395 713110003 /nfs/dbraw/zinc/11/00/03/713110003.db2.gz OBSHZQSCRNNPJV-VIFPVBQESA-N -1 1 324.435 1.541 20 0 DDADMM CSCC[C@H](NC(=O)c1nc(Cl)cs1)c1nn[n-]n1 ZINC000912861980 713110363 /nfs/dbraw/zinc/11/03/63/713110363.db2.gz FXOXVXYSXCEIIQ-YFKPBYRVSA-N -1 1 318.815 1.534 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](C)c1ccc(C)o1)c1nn[n-]n1 ZINC000912861425 713110572 /nfs/dbraw/zinc/11/05/72/713110572.db2.gz KNVPHGQMAMRWRD-ZJUUUORDSA-N -1 1 309.395 1.815 20 0 DDADMM CSCC[C@H](NC(=O)c1cc2c([nH]1)CCCC2)c1nn[n-]n1 ZINC000912863083 713111276 /nfs/dbraw/zinc/11/12/76/713111276.db2.gz LBBZYPUPHKAFKD-NSHDSACASA-N -1 1 320.422 1.631 20 0 DDADMM NC(=O)CC1CC(NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000928053761 713112242 /nfs/dbraw/zinc/11/22/42/713112242.db2.gz ZZTMYMSVHYDMFY-UHFFFAOYSA-N -1 1 316.279 1.795 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC000889593118 709557011 /nfs/dbraw/zinc/55/70/11/709557011.db2.gz NPHCSLHJPISKSK-MBNYWOFBSA-N -1 1 314.389 1.899 20 0 DDADMM C[C@@H]1CCN(C(=O)CN(C)CCc2ccccc2)[C@H](C(=O)[O-])C1 ZINC000909783897 709618632 /nfs/dbraw/zinc/61/86/32/709618632.db2.gz VYCMEQJNOFKAKH-ZBFHGGJFSA-N -1 1 318.417 1.873 20 0 DDADMM C[C@H]1[C@H](C(=O)[O-])CCN1C(=O)CN(C)CCc1ccccc1 ZINC000909807434 709631354 /nfs/dbraw/zinc/63/13/54/709631354.db2.gz HEIUVLYZWRQLHX-DZGCQCFKSA-N -1 1 304.390 1.483 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CC[C@@H](C)OC)[n-]c1=O ZINC000889789330 709636948 /nfs/dbraw/zinc/63/69/48/709636948.db2.gz CQUSFISJNDWJLO-MNOVXSKESA-N -1 1 309.366 1.669 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CCOCC2CC2)[n-]c1=O ZINC000889792128 709638110 /nfs/dbraw/zinc/63/81/10/709638110.db2.gz SULUNIVYYIFOPO-GFCCVEGCSA-N -1 1 321.377 1.671 20 0 DDADMM C[C@H](NC(=O)CN(C)C1CCC1)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909819991 709638950 /nfs/dbraw/zinc/63/89/50/709638950.db2.gz LSIZGVFASQTFHY-XJKSGUPXSA-N -1 1 318.417 1.919 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cccnc2)[n-]c1=O ZINC000889795929 709639500 /nfs/dbraw/zinc/63/95/00/709639500.db2.gz VXNWIBPVKPZEEJ-NSHDSACASA-N -1 1 300.318 1.563 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)c2ccoc2CC(=O)[O-])CC1 ZINC000909916252 709687636 /nfs/dbraw/zinc/68/76/36/709687636.db2.gz IOBLTLQVWMAXMP-UHFFFAOYSA-N -1 1 308.378 1.853 20 0 DDADMM C[C@H]1CC[C@@](NC(=O)Cc2n[nH]c3c2CCCC3)(C(=O)[O-])CC1 ZINC000909952706 709705492 /nfs/dbraw/zinc/70/54/92/709705492.db2.gz KXPRLDSPWWLAHK-QQVUYCAZSA-N -1 1 319.405 1.981 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cccc3[nH]c(C)nc32)[C@H](C(=O)[O-])C1 ZINC000909983158 709718449 /nfs/dbraw/zinc/71/84/49/709718449.db2.gz RDEXODJTUNCJHP-MFKMUULPSA-N -1 1 317.345 1.576 20 0 DDADMM O=C([O-])CC1(CNC(=O)c2ccc3cncn3c2)CCOCC1 ZINC000910120131 709779017 /nfs/dbraw/zinc/77/90/17/709779017.db2.gz MKPUGGCLGKPVBR-UHFFFAOYSA-N -1 1 317.345 1.336 20 0 DDADMM CCN(CC)[C@H](C(=O)N1CC([C@H](F)C(=O)[O-])C1)c1ccccc1 ZINC000910128675 709783295 /nfs/dbraw/zinc/78/32/95/709783295.db2.gz KCUAVSSDCAKIFH-GJZGRUSLSA-N -1 1 322.380 1.951 20 0 DDADMM Cc1ccc(-c2cc(C(=O)N3CC([C@@H](F)C(=O)[O-])C3)n[nH]2)cc1 ZINC000910132326 709785318 /nfs/dbraw/zinc/78/53/18/709785318.db2.gz AMMHEIHLQNJUMB-CQSZACIVSA-N -1 1 317.320 1.880 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H]3CCCC[C@@H]3C(=O)[O-])c2C1 ZINC000910141771 709788755 /nfs/dbraw/zinc/78/87/55/709788755.db2.gz YSWIXZNALQVKOW-JFGNBEQYSA-N -1 1 305.378 1.908 20 0 DDADMM CNc1nc(C)ccc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910171314 709796899 /nfs/dbraw/zinc/79/68/99/709796899.db2.gz WIEFHOUFJCDLPL-LBPRGKRZSA-N -1 1 320.393 1.053 20 0 DDADMM O=C([O-])Cc1ccc(CNC(=O)[C@H]2CCCc3[nH]ncc32)cc1 ZINC000910196316 709808242 /nfs/dbraw/zinc/80/82/42/709808242.db2.gz PQYBXDQQBDHCLP-ZDUSSCGKSA-N -1 1 313.357 1.773 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@H]1CC=C(C(=O)[O-])C1 ZINC000910201665 709810725 /nfs/dbraw/zinc/81/07/25/709810725.db2.gz XUIBRFAOHYRXMZ-HNNXBMFYSA-N -1 1 302.374 1.451 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@]3(C)OCCc4ccccc43)ccnc1-2 ZINC000890283268 709811010 /nfs/dbraw/zinc/81/10/10/709811010.db2.gz HTOBUQBGCGFRSL-SFHVURJKSA-N -1 1 322.368 1.768 20 0 DDADMM C[C@@H]1CN(C[C@H]2CCCN2c2cc(C(=O)[O-])ncn2)C[C@H](C)O1 ZINC000910352668 709906242 /nfs/dbraw/zinc/90/62/42/709906242.db2.gz ROFUYGXHYJDTAK-FRRDWIJNSA-N -1 1 320.393 1.253 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1N(C(=O)c1c[nH]cc3ncnc1-3)CC2 ZINC000910396838 709923007 /nfs/dbraw/zinc/92/30/07/709923007.db2.gz UEFAGJBFYXCNGZ-ABAIWWIYSA-N -1 1 300.318 1.427 20 0 DDADMM CN(CC(=O)N1C[C@@H]2CCC[C@]2(C(=O)[O-])C1)[C@@H]1CCSC1 ZINC000910419561 709931723 /nfs/dbraw/zinc/93/17/23/709931723.db2.gz OLSCWGBDBPWBFE-ZOWXZIJZSA-N -1 1 312.435 1.137 20 0 DDADMM COC[C@@](C)(CCO)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000901349005 710019793 /nfs/dbraw/zinc/01/97/93/710019793.db2.gz QSYNEDHDGZJKLT-QGZVFWFLSA-N -1 1 319.357 1.768 20 0 DDADMM CCCCCC[N@@H+](C)CC(=O)NCc1ncc(C(=O)[O-])s1 ZINC000910815547 710085784 /nfs/dbraw/zinc/08/57/84/710085784.db2.gz DARRKQIWTNDWOB-UHFFFAOYSA-N -1 1 313.423 1.970 20 0 DDADMM O=C([N-][C@H](CO)[C@@H](O)C1CCCCC1)C(F)(F)C(F)F ZINC000913052878 713156059 /nfs/dbraw/zinc/15/60/59/713156059.db2.gz LMPNFZZKXADFDR-BDAKNGLRSA-N -1 1 301.280 1.305 20 0 DDADMM CCn1nc(C)c(CNCCc2ccc(OCC(=O)[O-])cc2)n1 ZINC000901739314 710136173 /nfs/dbraw/zinc/13/61/73/710136173.db2.gz RFMFIECZIBGZDF-UHFFFAOYSA-N -1 1 318.377 1.402 20 0 DDADMM CCc1ncc(CN[C@H](C(=O)[O-])c2cccc(OC)c2)cn1 ZINC000901753365 710142339 /nfs/dbraw/zinc/14/23/39/710142339.db2.gz CCGHOXCCZALNJO-HNNXBMFYSA-N -1 1 301.346 1.963 20 0 DDADMM CCn1nnc(C)c1CN[C@H](C(=O)[O-])c1ccc(F)c(C)c1 ZINC000901843397 710158662 /nfs/dbraw/zinc/15/86/62/710158662.db2.gz PEKPSACZHVSPIG-AWEZNQCLSA-N -1 1 306.341 1.969 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(Cc2cnn(-c3ccc(Cl)cc3)c2)C1 ZINC000901901384 710169256 /nfs/dbraw/zinc/16/92/56/710169256.db2.gz JCDGDEFXHLUGOK-OAHLLOKOSA-N -1 1 321.764 1.547 20 0 DDADMM C[C@@H]1CCCN(S(=O)(=O)[N-]c2nn(C)c3ccccc32)C1 ZINC000901988458 710193914 /nfs/dbraw/zinc/19/39/14/710193914.db2.gz YTQXXDXUQSPVSK-LLVKDONJSA-N -1 1 308.407 1.962 20 0 DDADMM COc1ccc(O[C@H](C)CNCc2cc(C(=O)[O-])no2)cc1 ZINC000902125678 710624523 /nfs/dbraw/zinc/62/45/23/710624523.db2.gz QVRTUHJETJEMLR-SNVBAGLBSA-N -1 1 306.318 1.939 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@H]1C[C@@]12CCOC2 ZINC000902150917 710636168 /nfs/dbraw/zinc/63/61/68/710636168.db2.gz ZOOUPHYOZCAQQY-QMTHXVAHSA-N -1 1 312.391 1.114 20 0 DDADMM CS(=O)(=O)Cc1cccc(CNCc2ccoc2C(=O)[O-])c1 ZINC000902191724 710657628 /nfs/dbraw/zinc/65/76/28/710657628.db2.gz OSLPFRKHSKZQIV-UHFFFAOYSA-N -1 1 323.370 1.812 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1cccc(C(=O)[O-])n1)c1ccsc1 ZINC000911193713 710678189 /nfs/dbraw/zinc/67/81/89/710678189.db2.gz OOUHMAHKEYQBPS-ZDUSSCGKSA-N -1 1 319.386 1.874 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)CC(C)(C)CC(=O)[O-])C2)n[nH]1 ZINC000911324888 710742020 /nfs/dbraw/zinc/74/20/20/710742020.db2.gz BWKNFBOKKFWGRW-NSHDSACASA-N -1 1 308.382 1.710 20 0 DDADMM COCc1nc(N2C[C@H](C)O[C@]3(CCCOC3)C2)cc(=O)[n-]1 ZINC000893647430 710762735 /nfs/dbraw/zinc/76/27/35/710762735.db2.gz UOPPIPLEQTZQJH-XHDPSFHLSA-N -1 1 309.366 1.103 20 0 DDADMM CN(C(=O)c1ccc(C(=O)[O-])cc1F)[C@@H]1CN2CCC1CC2 ZINC000911377676 710770408 /nfs/dbraw/zinc/77/04/08/710770408.db2.gz WUQMDGDODXLILL-CQSZACIVSA-N -1 1 306.337 1.690 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC000911409290 710785529 /nfs/dbraw/zinc/78/55/29/710785529.db2.gz FJUNPUAYUQSMGX-BFHYXJOUSA-N -1 1 312.410 1.055 20 0 DDADMM COCc1nc(N[C@@H]2CCC[C@H]3CN(C(C)=O)C[C@H]32)cc(=O)[n-]1 ZINC000893889186 710875867 /nfs/dbraw/zinc/87/58/67/710875867.db2.gz WRPWECZHVXZDMT-YNEHKIRRSA-N -1 1 320.393 1.388 20 0 DDADMM COCc1nc(N2CC[C@](C)(C(=O)OC(C)(C)C)C2)cc(=O)[n-]1 ZINC000894144133 710984848 /nfs/dbraw/zinc/98/48/48/710984848.db2.gz NJVYDYMHJXSEMY-INIZCTEOSA-N -1 1 323.393 1.887 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-]c1cnc2nccn2c1 ZINC000903191113 711059725 /nfs/dbraw/zinc/05/97/25/711059725.db2.gz NTASHINWCOBPSC-GFCCVEGCSA-N -1 1 312.395 1.532 20 0 DDADMM O=C([O-])[C@@]1(C(=O)Nc2ccc3ccccc3c2)CNCCO1 ZINC000911906461 711062658 /nfs/dbraw/zinc/06/26/58/711062658.db2.gz LFIRYXNQEUCTTC-INIZCTEOSA-N -1 1 300.314 1.222 20 0 DDADMM CCOC(=O)[C@](C)([N-]S(=O)(=O)c1ccoc1)C(F)(F)F ZINC000903230176 711074298 /nfs/dbraw/zinc/07/42/98/711074298.db2.gz WLGMTUHXQRUTMJ-VIFPVBQESA-N -1 1 315.269 1.442 20 0 DDADMM Cc1cn2c(nc(CN3C[C@H](C(=O)[O-])CC[C@H]3C)cc2=O)s1 ZINC000903407781 711117924 /nfs/dbraw/zinc/11/79/24/711117924.db2.gz LRWHGCHTXDGQLQ-MWLCHTKSSA-N -1 1 321.402 1.750 20 0 DDADMM C[C@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccc(Cl)cc1 ZINC000913500590 713239966 /nfs/dbraw/zinc/23/99/66/713239966.db2.gz ZXPOIDHFFMGXSY-CABZTGNLSA-N -1 1 321.768 1.557 20 0 DDADMM COc1cc(C)cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c1C ZINC000913494164 713236760 /nfs/dbraw/zinc/23/67/60/713236760.db2.gz UMMROPKDCSMIHE-LBPRGKRZSA-N -1 1 317.349 1.039 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(Cc1nnnn1-c1ccccc1)C2 ZINC000903612812 711223945 /nfs/dbraw/zinc/22/39/45/711223945.db2.gz YFAUVSHNTXYQAS-LRDDRELGSA-N -1 1 313.361 1.349 20 0 DDADMM O=C([C@H]1Cc2ccc(Cl)cc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494339 713237137 /nfs/dbraw/zinc/23/71/37/713237137.db2.gz WYKJXIPCGYKOAB-RYUDHWBXSA-N -1 1 319.752 1.093 20 0 DDADMM Cc1cc(C)c(CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)c(C)c1 ZINC000913495592 713237682 /nfs/dbraw/zinc/23/76/82/713237682.db2.gz LOJJHNQEYNCELU-CQSZACIVSA-N -1 1 315.377 1.268 20 0 DDADMM [O-]c1cc(Br)cnc1CNCc1n[nH]c(C2CC2)n1 ZINC000894675913 711236085 /nfs/dbraw/zinc/23/60/85/711236085.db2.gz JXFLXTIWCHERHE-UHFFFAOYSA-N -1 1 324.182 1.835 20 0 DDADMM C[C@](O)(CN1CC[C@@H](c2ncc(C(=O)[O-])s2)C1)C(F)(F)F ZINC000903924818 711334835 /nfs/dbraw/zinc/33/48/35/711334835.db2.gz QBJYETQZIBQSLB-HQJQHLMTSA-N -1 1 324.324 1.944 20 0 DDADMM O=C(NCCNC(=O)C1CC1)c1ccc2ccc(O)cc2c1[O-] ZINC000895438472 711512895 /nfs/dbraw/zinc/51/28/95/711512895.db2.gz WKOFFRUJKBPIDD-UHFFFAOYSA-N -1 1 314.341 1.507 20 0 DDADMM O=C(NC[C@@H]1CCCNC1=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896260654 711697698 /nfs/dbraw/zinc/69/76/98/711697698.db2.gz UGWALPYXIOWWBR-NSHDSACASA-N -1 1 314.341 1.507 20 0 DDADMM CN(C)c1ccnc(CNC(=O)CC2(C(=O)[O-])CCCC2)c1 ZINC000905129505 711945295 /nfs/dbraw/zinc/94/52/95/711945295.db2.gz URVPHPRWWPWOSO-UHFFFAOYSA-N -1 1 305.378 1.799 20 0 DDADMM O=C([C@H]1CC[C@H](C(F)(F)F)C1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744847 713293267 /nfs/dbraw/zinc/29/32/67/713293267.db2.gz HKWNPMQJJAHYRS-UWVGGRQHSA-N -1 1 317.315 1.884 20 0 DDADMM CO[C@H](Cc1ccccc1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913746522 713294419 /nfs/dbraw/zinc/29/44/19/713294419.db2.gz XZMGKDHFCLTHTQ-CQSZACIVSA-N -1 1 315.377 1.163 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@H]2NCc1cnn2ccccc12 ZINC000905794566 712147951 /nfs/dbraw/zinc/14/79/51/712147951.db2.gz KJOPAZSGJJMWPG-GFCCVEGCSA-N -1 1 311.345 1.854 20 0 DDADMM O=C(c1nsc2ccccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000906615118 712370674 /nfs/dbraw/zinc/37/06/74/712370674.db2.gz FJPWLUGWZXYZKJ-VIFPVBQESA-N -1 1 316.346 1.023 20 0 DDADMM CC(C)[C@@H](C)N(C)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906820208 712417520 /nfs/dbraw/zinc/41/75/20/712417520.db2.gz XRSBJBMQNJYHHR-SECBINFHSA-N -1 1 301.364 1.755 20 0 DDADMM C[C@@H](C[S@@](C)=O)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907336550 712547039 /nfs/dbraw/zinc/54/70/39/712547039.db2.gz RKPNCVPXIUXZLH-HXNGOWOSSA-N -1 1 310.350 1.576 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCOC2(CCCC2)C1)c1nn[n-]n1 ZINC000907348920 712549417 /nfs/dbraw/zinc/54/94/17/712549417.db2.gz CFNLSEJPHPMLJY-NEPJUHHUSA-N -1 1 307.398 1.501 20 0 DDADMM O=C(CCF)N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000907414054 712564904 /nfs/dbraw/zinc/56/49/04/712564904.db2.gz QMCLLDXAVBGWNF-SNVBAGLBSA-N -1 1 301.684 1.557 20 0 DDADMM CCO[C@H](CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)C(C)C ZINC000907473370 712581051 /nfs/dbraw/zinc/58/10/51/712581051.db2.gz GQVPCKLPNVUSJD-GHMZBOCLSA-N -1 1 313.427 1.267 20 0 DDADMM O=C(CCCc1cccnc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479259 712583389 /nfs/dbraw/zinc/58/33/89/712583389.db2.gz AKAQSAKFJLFJMT-LBPRGKRZSA-N -1 1 318.406 1.234 20 0 DDADMM Cc1ncccc1C=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481243 712583875 /nfs/dbraw/zinc/58/38/75/712583875.db2.gz UNBCBZINXYTMFK-QMAVJUDZSA-N -1 1 316.390 1.233 20 0 DDADMM CO[C@@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)C1CCCC1 ZINC000907481837 712584025 /nfs/dbraw/zinc/58/40/25/712584025.db2.gz LPXQKQUVOMFAAM-WDEREUQCSA-N -1 1 311.411 1.021 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)CC[C@@H]1O ZINC000907537051 712591427 /nfs/dbraw/zinc/59/14/27/712591427.db2.gz HROFQRZGWDZFRC-SKDRFNHKSA-N -1 1 304.321 1.922 20 0 DDADMM COCCCN(CCO)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907606336 712601966 /nfs/dbraw/zinc/60/19/66/712601966.db2.gz KMMOOHINPDSJBF-UHFFFAOYSA-N -1 1 322.336 1.551 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(N)=O ZINC000907698400 712615346 /nfs/dbraw/zinc/61/53/46/712615346.db2.gz FNEZMTWOASDFMR-LLVKDONJSA-N -1 1 310.297 1.036 20 0 DDADMM CO[C@@H]1CCOC[C@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000907836298 712633401 /nfs/dbraw/zinc/63/34/01/712633401.db2.gz RRCRNHQFWRLSHB-DGCLKSJQSA-N -1 1 311.359 1.515 20 0 DDADMM O=C([O-])[C@]1(C(=O)NCCN2CC=CCC2)C[C@H]1c1ccccc1 ZINC000907847098 712635240 /nfs/dbraw/zinc/63/52/40/712635240.db2.gz NHGQUFKFBDLVOD-MAUKXSAKSA-N -1 1 314.385 1.623 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-]C1(c2nc(C)no2)CC1 ZINC000907860258 712637272 /nfs/dbraw/zinc/63/72/72/712637272.db2.gz MSQGNIUDQUUWHC-LLVKDONJSA-N -1 1 317.411 1.348 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)[C@H]2CC[C@@H]2OC)c1 ZINC000907892119 712642969 /nfs/dbraw/zinc/64/29/69/712642969.db2.gz YCFRBZSAGAVAQF-JQWIXIFHSA-N -1 1 301.364 1.199 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2C[C@@H]3CCC[C@H]23)c1C(F)(F)F ZINC000907958651 712655223 /nfs/dbraw/zinc/65/52/23/712655223.db2.gz LZBZIQFVUSVYHE-XHNCKOQMSA-N -1 1 323.340 1.906 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)O[C@@H]2CCOC2)[n-]c1=O ZINC000908018635 712667055 /nfs/dbraw/zinc/66/70/55/712667055.db2.gz MTFCOTJUUIBNRJ-ZJUUUORDSA-N -1 1 309.322 1.253 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@H]1[C@@H]1CCCO1 ZINC000908081280 712681104 /nfs/dbraw/zinc/68/11/04/712681104.db2.gz WSPQYWOOBHTRLS-STQMWFEESA-N -1 1 303.362 1.843 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](CC(C)C)NCC(F)(F)F ZINC000908369370 712754122 /nfs/dbraw/zinc/75/41/22/712754122.db2.gz BCCJICFIOFMVOC-QMMMGPOBSA-N -1 1 308.304 1.929 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CCC[C@@H](C(=O)[O-])C3)n[nH]2)c1 ZINC000908598357 712817891 /nfs/dbraw/zinc/81/78/91/712817891.db2.gz NMDOCKRHQSGWPH-LLVKDONJSA-N -1 1 302.334 1.352 20 0 DDADMM COCOCCCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000908612333 712822278 /nfs/dbraw/zinc/82/22/78/712822278.db2.gz MTYDOPXHBGOERO-UHFFFAOYSA-N -1 1 305.330 1.991 20 0 DDADMM C[C@H](CCc1ccco1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908700949 712837729 /nfs/dbraw/zinc/83/77/29/712837729.db2.gz RVQXZAMCQHRFRA-OLZOCXBDSA-N -1 1 308.378 1.514 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCCc3cc(F)ccc32)C1 ZINC000908721174 712841013 /nfs/dbraw/zinc/84/10/13/712841013.db2.gz USVVOMAJANCZIQ-ZDUSSCGKSA-N -1 1 320.364 1.902 20 0 DDADMM COc1ccccc1N(C)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908732332 712843283 /nfs/dbraw/zinc/84/32/83/712843283.db2.gz NROFSZZVDWTHQA-LBPRGKRZSA-N -1 1 306.362 1.455 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H](CF)C(=O)[O-])c1cccc(F)c1 ZINC000908752506 712848175 /nfs/dbraw/zinc/84/81/75/712848175.db2.gz JPWOCYGIMMYZEB-VXGBXAGGSA-N -1 1 315.320 1.150 20 0 DDADMM CO[C@]1(C)C[C@@H](NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C1(C)C ZINC000908835221 712864941 /nfs/dbraw/zinc/86/49/41/712864941.db2.gz LPFPSIFLISUFEN-XHBSWPGZSA-N -1 1 312.410 1.103 20 0 DDADMM CN1CCC[C@H](NC(=O)N2CCc3occc3[C@H]2C(=O)[O-])C1 ZINC000908871826 712875555 /nfs/dbraw/zinc/87/55/55/712875555.db2.gz WGIUCKKEFFVHEX-GWCFXTLKSA-N -1 1 307.350 1.067 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@@H]1CCCCO1 ZINC000914786687 713401092 /nfs/dbraw/zinc/40/10/92/713401092.db2.gz MZTWAAMFFFXDRN-JTQLQIEISA-N -1 1 300.380 1.257 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2cccc(Cl)c2F)C(=O)O1 ZINC000915290622 713415747 /nfs/dbraw/zinc/41/57/47/713415747.db2.gz ZGVUYNIEKLSDTC-POYBYMJQSA-N -1 1 307.730 1.461 20 0 DDADMM COCC[C@@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000915845479 713433272 /nfs/dbraw/zinc/43/32/72/713433272.db2.gz KBAKFRRXGWIGHJ-SECBINFHSA-N -1 1 302.321 1.937 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)CCC(F)(F)F ZINC000918061163 713527694 /nfs/dbraw/zinc/52/76/94/713527694.db2.gz MSZOAFDZDCPYIO-VIFPVBQESA-N -1 1 319.345 1.790 20 0 DDADMM COCCOCC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000919223926 713590079 /nfs/dbraw/zinc/59/00/79/713590079.db2.gz FDUABRZYLXYQRJ-UHFFFAOYSA-N -1 1 308.286 1.205 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-][C@H]1c2ccccc2OC[C@@H]1F ZINC000921319858 713732796 /nfs/dbraw/zinc/73/27/96/713732796.db2.gz XSRQCASYGYJYFK-AAEUAGOBSA-N -1 1 312.322 1.566 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)CC)c1ccccn1 ZINC000921518005 713795381 /nfs/dbraw/zinc/79/53/81/713795381.db2.gz QUYJNJCRSKITNR-YPMLDQLKSA-N -1 1 305.425 1.485 20 0 DDADMM CCN(C(=O)CCCc1nn[n-]n1)[C@@H](CO)c1ccccc1 ZINC000922081960 713955524 /nfs/dbraw/zinc/95/55/24/713955524.db2.gz BWXCHGLQRQZEMO-ZDUSSCGKSA-N -1 1 303.366 1.105 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCCC1(O)CCC1 ZINC000922400390 714042923 /nfs/dbraw/zinc/04/29/23/714042923.db2.gz ZHPHHRDPJZOGTM-UHFFFAOYSA-N -1 1 314.332 1.817 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC[C@H]2CCNC(=O)[C@H]21 ZINC000922449084 714057426 /nfs/dbraw/zinc/05/74/26/714057426.db2.gz TYDDMSQRPPRJEQ-ZANVPECISA-N -1 1 308.765 1.786 20 0 DDADMM CC[C@@]1(C(=O)[O-])CCCN([C@@H](C)C(=O)Nc2cc(C)no2)C1 ZINC000923467547 714365704 /nfs/dbraw/zinc/36/57/04/714365704.db2.gz DGMUDGAYVCQLJW-XHDPSFHLSA-N -1 1 309.366 1.887 20 0 DDADMM Cc1cc(C(=O)OCc2nc(=O)n(C)[n-]2)cc2c1NCCC2 ZINC000923688810 714451438 /nfs/dbraw/zinc/45/14/38/714451438.db2.gz KNKOMEALCUUMSS-UHFFFAOYSA-N -1 1 302.334 1.132 20 0 DDADMM COc1cnc([C@@H]2CCCN2CCOC[C@@H]2CCCO2)[n-]c1=O ZINC000934275964 714784374 /nfs/dbraw/zinc/78/43/74/714784374.db2.gz JIGDPJBPTUPTPT-STQMWFEESA-N -1 1 323.393 1.523 20 0 DDADMM CCn1ncc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)n1 ZINC000934280455 714785879 /nfs/dbraw/zinc/78/58/79/714785879.db2.gz WCZJMCIQZNGIKR-LLVKDONJSA-N -1 1 304.354 1.139 20 0 DDADMM O=C(c1cccc2c1CCOC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000935036570 714961014 /nfs/dbraw/zinc/96/10/14/714961014.db2.gz DNBZVPMDOSFGSY-LLVKDONJSA-N -1 1 313.361 1.292 20 0 DDADMM O=C([C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000935455506 715059738 /nfs/dbraw/zinc/05/97/38/715059738.db2.gz FCMQNSGFDKCGPK-ZNSALQAWSA-N -1 1 305.407 1.108 20 0 DDADMM CCc1cc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n(C)n1 ZINC000935792912 715128722 /nfs/dbraw/zinc/12/87/22/715128722.db2.gz DNAJFJYWNPDPOQ-JTQLQIEISA-N -1 1 319.365 1.020 20 0 DDADMM O=C(N1CCC(c2n[n-]c(=O)o2)CC1)[C@]12CCO[C@@H]1CCCC2 ZINC000935830119 715131409 /nfs/dbraw/zinc/13/14/09/715131409.db2.gz UBCONLCNQWIXTM-MLGOLLRUSA-N -1 1 321.377 1.831 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000936188730 715156057 /nfs/dbraw/zinc/15/60/57/715156057.db2.gz GPPQTFGZXNNODL-VXGBXAGGSA-N -1 1 305.378 1.410 20 0 DDADMM CC(C)(F)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000943413449 718103369 /nfs/dbraw/zinc/10/33/69/718103369.db2.gz PULMLQSYBAVRNC-UHFFFAOYSA-N -1 1 309.341 1.256 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)/C=C/C2CC2)C1 ZINC000936996501 715265968 /nfs/dbraw/zinc/26/59/68/715265968.db2.gz JZNMVQXTFALREQ-KTRBRXNASA-N -1 1 315.373 1.426 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1CC1 ZINC000955486153 715814661 /nfs/dbraw/zinc/81/46/61/715814661.db2.gz MGVKPGZGZNEBMY-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM O=C(CC1CCC1)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955942978 716028543 /nfs/dbraw/zinc/02/85/43/716028543.db2.gz XBMUZBQYDGBMCO-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000939143466 716150546 /nfs/dbraw/zinc/15/05/46/716150546.db2.gz AXEAVCRABRSGHB-SNVBAGLBSA-N -1 1 301.302 1.025 20 0 DDADMM O=C(C[C@@H]1C=CCC1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000939313173 716190185 /nfs/dbraw/zinc/19/01/85/716190185.db2.gz LJUPWPKVWFGZME-CHWSQXEVSA-N -1 1 315.373 1.474 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939832064 716450574 /nfs/dbraw/zinc/45/05/74/716450574.db2.gz CMNWRZNEXFADFI-FRRDWIJNSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)C2CC=CC2)CC1 ZINC000940569440 716854106 /nfs/dbraw/zinc/85/41/06/716854106.db2.gz BHKPEPJFYFRDOD-UHFFFAOYSA-N -1 1 315.373 1.428 20 0 DDADMM CC(C)(F)C(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964398376 717246474 /nfs/dbraw/zinc/24/64/74/717246474.db2.gz BEJVVRADPUITBR-LLVKDONJSA-N -1 1 323.368 1.646 20 0 DDADMM CC(C)(F)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964851971 717454358 /nfs/dbraw/zinc/45/43/58/717454358.db2.gz ZXCJDUIJLOYLOC-LLVKDONJSA-N -1 1 323.368 1.646 20 0 DDADMM CC(=O)N[C@H]1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000943578863 718149323 /nfs/dbraw/zinc/14/93/23/718149323.db2.gz OAWTUXBAUVSMJL-HAQNSBGRSA-N -1 1 318.377 1.237 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1(C)CC1 ZINC000966325305 718515794 /nfs/dbraw/zinc/51/57/94/718515794.db2.gz CYKAHELHRGYMJN-WDEREUQCSA-N -1 1 303.362 1.164 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C(C)(C)C)C1 ZINC000967907626 719082469 /nfs/dbraw/zinc/08/24/69/719082469.db2.gz OLLJEMRNTSCGDV-UHFFFAOYSA-N -1 1 305.378 1.506 20 0 DDADMM CC(C)(F)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968882979 719841540 /nfs/dbraw/zinc/84/15/40/719841540.db2.gz BUTWUFAGQHORNX-PHIMTYICSA-N -1 1 321.352 1.351 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1cccs1)c1ncccc1[O-] ZINC000949531321 720143368 /nfs/dbraw/zinc/14/33/68/720143368.db2.gz PPGBEHGVGHCZBB-JTQLQIEISA-N -1 1 317.370 1.493 20 0 DDADMM Cc1ccoc1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949531984 720143888 /nfs/dbraw/zinc/14/38/88/720143888.db2.gz WGEDXMCXBJEFDH-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950082264 720482337 /nfs/dbraw/zinc/48/23/37/720482337.db2.gz PESWZJFPCUUESF-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCN(C/C=C\Cl)CC1 ZINC000951381102 721011420 /nfs/dbraw/zinc/01/14/20/721011420.db2.gz RIQKJYVDSXOJJY-RJRFIUFISA-N -1 1 322.796 1.570 20 0 DDADMM CC(C)C(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000953271444 721583630 /nfs/dbraw/zinc/58/36/30/721583630.db2.gz ADBJVKDVVAKOPA-STQMWFEESA-N -1 1 317.389 1.506 20 0 DDADMM Cc1ccncc1CN1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038193754 733198678 /nfs/dbraw/zinc/19/86/78/733198678.db2.gz LBACYPWHJFDLHS-AWEZNQCLSA-N -1 1 312.373 1.495 20 0 DDADMM CC(C)(C)C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021444409 733208275 /nfs/dbraw/zinc/20/82/75/733208275.db2.gz NHJFZMPFIDSRDI-NWDGAFQWSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1ncsc1CN1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038195652 733217097 /nfs/dbraw/zinc/21/70/97/733217097.db2.gz WYDVMUGWIBOFSQ-NSHDSACASA-N -1 1 318.402 1.556 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001087123732 733292932 /nfs/dbraw/zinc/29/29/32/733292932.db2.gz XGRZISNSANFQHB-WOPDTQHZSA-N -1 1 309.414 1.273 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CCOCC1 ZINC000692878407 738735491 /nfs/dbraw/zinc/73/54/91/738735491.db2.gz FPDKCIXRVYAZAF-MRVPVSSYSA-N -1 1 322.789 1.968 20 0 DDADMM CC[C@]1(C)C[C@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167577814 734754100 /nfs/dbraw/zinc/75/41/00/734754100.db2.gz HNIFOUDNWKYRAX-XHDPSFHLSA-N -1 1 321.425 1.323 20 0 DDADMM CN(Cc1ccsc1)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001121356725 782469696 /nfs/dbraw/zinc/46/96/96/782469696.db2.gz CNJIOVNUMHOQIJ-UHFFFAOYSA-N -1 1 309.786 1.952 20 0 DDADMM C[C@H](Cn1cccn1)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692888180 738958847 /nfs/dbraw/zinc/95/88/47/738958847.db2.gz HKGMFSGRYZQDQZ-MRVPVSSYSA-N -1 1 318.761 1.438 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)c1nncn1C ZINC000692897261 738966495 /nfs/dbraw/zinc/96/64/95/738966495.db2.gz ZEYPUQIBBHYNPR-LURJTMIESA-N -1 1 319.749 1.042 20 0 DDADMM Cc1nccc(NC2(CNC(=O)c3ncccc3[O-])CCC2)n1 ZINC001111788168 737451913 /nfs/dbraw/zinc/45/19/13/737451913.db2.gz DLDQMZCJLJBHQE-UHFFFAOYSA-N -1 1 313.361 1.650 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059187727 740348511 /nfs/dbraw/zinc/34/85/11/740348511.db2.gz JAWTXIGJLWVPPX-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM CC[C@@H](F)C(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059518602 741091105 /nfs/dbraw/zinc/09/11/05/741091105.db2.gz OVURZLZARPICHR-NWDGAFQWSA-N -1 1 323.368 1.504 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)C(C)(C)C)CC1 ZINC001030011039 741692781 /nfs/dbraw/zinc/69/27/81/741692781.db2.gz UPMMJBXLFQLRMR-UHFFFAOYSA-N -1 1 309.414 1.227 20 0 DDADMM CCC[C@@H](NC(C)=O)C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001180975819 743008554 /nfs/dbraw/zinc/00/85/54/743008554.db2.gz ZPIGSYKLZSMVTH-CYBMUJFWSA-N -1 1 316.361 1.821 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)[C@@H]1COc2ccccc2O1 ZINC001181896816 743382722 /nfs/dbraw/zinc/38/27/22/743382722.db2.gz PAPPAIPBVUSDIN-QMMMGPOBSA-N -1 1 318.245 1.064 20 0 DDADMM C[C@@]1(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)CC1(Cl)Cl ZINC001182138217 743496760 /nfs/dbraw/zinc/49/67/60/743496760.db2.gz IHYUJJZFOMDBPY-QMMMGPOBSA-N -1 1 307.093 1.808 20 0 DDADMM C[C@@]1(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC1(Cl)Cl ZINC001182142142 743497358 /nfs/dbraw/zinc/49/73/58/743497358.db2.gz HRKGKAZMHLJCRB-VIFPVBQESA-N -1 1 318.120 1.238 20 0 DDADMM C[C@@]1(C(=O)Nc2[n-]c(=O)nc3[nH]c(=O)[nH]c32)CC1(Cl)Cl ZINC001182142142 743497362 /nfs/dbraw/zinc/49/73/62/743497362.db2.gz HRKGKAZMHLJCRB-VIFPVBQESA-N -1 1 318.120 1.238 20 0 DDADMM COCc1ccc(CC(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001182200978 743524056 /nfs/dbraw/zinc/52/40/56/743524056.db2.gz SETBPGRTGSNQNP-UHFFFAOYSA-N -1 1 315.306 1.450 20 0 DDADMM O=C(NCCNc1ccccn1)c1ccc2n[n-]c(=S)n2c1 ZINC001182229278 743527016 /nfs/dbraw/zinc/52/70/16/743527016.db2.gz OXPVFNVQDIZZLI-UHFFFAOYSA-N -1 1 314.374 1.255 20 0 DDADMM CN(C(=O)C1CC=CC1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016344502 743547458 /nfs/dbraw/zinc/54/74/58/743547458.db2.gz RUAGDRAPTADCJK-UHFFFAOYSA-N -1 1 315.373 1.473 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@]34CC[C@H](O3)c3ccccc34)c1-2 ZINC001182483317 743653407 /nfs/dbraw/zinc/65/34/07/743653407.db2.gz VPWNGBVZUPHCIT-YVEFUNNKSA-N -1 1 321.340 1.734 20 0 DDADMM CC(C)C1OCC(C(=O)Nc2n[n-]c(C(F)(F)F)n2)CO1 ZINC001183173932 743789669 /nfs/dbraw/zinc/78/96/69/743789669.db2.gz RNCYQEVMIOPEDZ-UHFFFAOYSA-N -1 1 308.260 1.407 20 0 DDADMM Cc1nsc(C)c1CN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001030242571 743977785 /nfs/dbraw/zinc/97/77/85/743977785.db2.gz VNKJIILDFKMUAQ-UHFFFAOYSA-N -1 1 318.402 1.475 20 0 DDADMM O=[P@]([O-])(O)CCNS(=O)(=O)c1cc2ccccc2s1 ZINC001185472645 744231995 /nfs/dbraw/zinc/23/19/95/744231995.db2.gz ZLZPNEJFCIFBEZ-UHFFFAOYSA-N -1 1 321.316 1.357 20 0 DDADMM O=S(=O)([N-]c1cccc(O)c1)c1ccc(-c2nn[nH]n2)cc1 ZINC001185555565 744246245 /nfs/dbraw/zinc/24/62/45/744246245.db2.gz ASMFFJPSEREDOP-UHFFFAOYSA-N -1 1 317.330 1.373 20 0 DDADMM CCOC(=O)[C@H]1CCN(C(=O)c2ccc([O-])cc2F)CCC1=O ZINC001186327834 744375486 /nfs/dbraw/zinc/37/54/86/744375486.db2.gz SSBNUXQJHBIPOS-LBPRGKRZSA-N -1 1 323.320 1.516 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(C(=O)OC)cc1Cl ZINC001187285973 744534460 /nfs/dbraw/zinc/53/44/60/744534460.db2.gz BLAFCJPFGMPQQT-UHFFFAOYSA-N -1 1 321.782 1.905 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cnc2cc(C(C)C)nn2c1 ZINC001187249404 744536283 /nfs/dbraw/zinc/53/62/83/744536283.db2.gz BDNKPZQYSLFBDZ-UHFFFAOYSA-N -1 1 312.395 1.631 20 0 DDADMM CC(C)c1nc(-c2ccc(C(=O)NCc3nn[n-]n3)cc2)no1 ZINC001187511961 744569455 /nfs/dbraw/zinc/56/94/55/744569455.db2.gz HKKJONWAENQKSU-UHFFFAOYSA-N -1 1 313.321 1.303 20 0 DDADMM O=C(NCc1ccc(Cl)nn1)c1n[n-]nc1C(F)(F)F ZINC001187769677 744598678 /nfs/dbraw/zinc/59/86/78/744598678.db2.gz CFSMAZBOFCDALU-UHFFFAOYSA-N -1 1 306.635 1.197 20 0 DDADMM O=C(Nc1cc(OCC2CC2)n[nH]1)c1n[n-]nc1C(F)(F)F ZINC001187758663 744605897 /nfs/dbraw/zinc/60/58/97/744605897.db2.gz BBPZXFWCVWVCGA-UHFFFAOYSA-N -1 1 316.243 1.588 20 0 DDADMM Nc1nc(=O)c2ccccc2n1C(=O)c1[n-]nnc1C(F)(F)F ZINC001187766554 744608225 /nfs/dbraw/zinc/60/82/25/744608225.db2.gz WYDZANNTJLJEPJ-UHFFFAOYSA-N -1 1 324.222 1.047 20 0 DDADMM Nc1nc(=O)c2ccccc2n1C(=O)c1nn[n-]c1C(F)(F)F ZINC001187766554 744608228 /nfs/dbraw/zinc/60/82/28/744608228.db2.gz WYDZANNTJLJEPJ-UHFFFAOYSA-N -1 1 324.222 1.047 20 0 DDADMM Nc1nc(=O)c2ccccc2n1C(=O)c1n[n-]nc1C(F)(F)F ZINC001187766554 744608231 /nfs/dbraw/zinc/60/82/31/744608231.db2.gz WYDZANNTJLJEPJ-UHFFFAOYSA-N -1 1 324.222 1.047 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1nccs1)c1ccc(Cl)cc1 ZINC001187908927 744627855 /nfs/dbraw/zinc/62/78/55/744627855.db2.gz PELXUAROVQZKBB-UHFFFAOYSA-N -1 1 316.791 1.958 20 0 DDADMM O=S(=O)([N-]Cc1ccc(-c2ncon2)cc1)c1nccs1 ZINC001187908562 744628153 /nfs/dbraw/zinc/62/81/53/744628153.db2.gz KIEQIZYCMFSBIU-UHFFFAOYSA-N -1 1 322.371 1.672 20 0 DDADMM Cc1ccccc1C1([N-]S(=O)(=O)c2nccs2)COC1 ZINC001187918669 744635366 /nfs/dbraw/zinc/63/53/66/744635366.db2.gz KPTCWTSRPSPFCL-UHFFFAOYSA-N -1 1 310.400 1.656 20 0 DDADMM CCCCC[C@H](CC)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188024543 744652126 /nfs/dbraw/zinc/65/21/26/744652126.db2.gz FWHIUHXIXMSLIZ-QWHCGFSZSA-N -1 1 323.441 1.807 20 0 DDADMM CCCCOC(=O)CNC(=O)NCC(=O)c1ccc([O-])cc1 ZINC001188305542 744694085 /nfs/dbraw/zinc/69/40/85/744694085.db2.gz DPQBBQSTBQGLNL-UHFFFAOYSA-N -1 1 308.334 1.217 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cc(C(C)=O)ccc1F ZINC001188388485 744697027 /nfs/dbraw/zinc/69/70/27/744697027.db2.gz IYEWRUXSXDMQNS-UHFFFAOYSA-N -1 1 303.311 1.333 20 0 DDADMM CC(C)(C)[C@H](CN1CCOCC1)NC(=O)c1ccncc1[O-] ZINC001188644538 744735109 /nfs/dbraw/zinc/73/51/09/744735109.db2.gz GSZVNJXRDSJFOJ-AWEZNQCLSA-N -1 1 307.394 1.264 20 0 DDADMM C[C@H](C[C@H](C)Nc1cc(F)ncn1)NC(=O)c1ncccc1[O-] ZINC001089271396 744842783 /nfs/dbraw/zinc/84/27/83/744842783.db2.gz JDBGNIFKDDJRFL-VHSXEESVSA-N -1 1 319.340 1.725 20 0 DDADMM COC(=O)c1c(Cl)nccc1[N-]S(=O)(=O)CCCF ZINC001189870502 745008555 /nfs/dbraw/zinc/00/85/55/745008555.db2.gz WOPDAJAOONANOR-UHFFFAOYSA-N -1 1 310.734 1.623 20 0 DDADMM CC1(C)[C@@H](O)C[C@@H]1NC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190270399 745168904 /nfs/dbraw/zinc/16/89/04/745168904.db2.gz PBHMSLUTFVUIJU-STQMWFEESA-N -1 1 313.357 1.738 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)CCO1 ZINC001190638300 745282361 /nfs/dbraw/zinc/28/23/61/745282361.db2.gz XCOCYKNKGKFFIX-QWRGUYRKSA-N -1 1 314.345 1.542 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cccc(C(C)=O)n1 ZINC001190742748 745315582 /nfs/dbraw/zinc/31/55/82/745315582.db2.gz VCYGTIUZHAUYNO-UHFFFAOYSA-N -1 1 303.274 1.620 20 0 DDADMM COc1c(C)cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1C ZINC001191078943 745419017 /nfs/dbraw/zinc/41/90/17/745419017.db2.gz NLYBCNPFXXBYQJ-UHFFFAOYSA-N -1 1 313.317 1.936 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)Cc2cc(C)no2)c(=O)[n-]1 ZINC001191419739 745506418 /nfs/dbraw/zinc/50/64/18/745506418.db2.gz AFVRWCGUMHMWHC-SSDOTTSWSA-N -1 1 308.363 1.562 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(F)c(F)c1Br ZINC001191893272 745640927 /nfs/dbraw/zinc/64/09/27/745640927.db2.gz FOVVUYKFKCXHOJ-UHFFFAOYSA-N -1 1 318.081 1.170 20 0 DDADMM C[C@@H](O)c1ccc(C(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001192372159 745769222 /nfs/dbraw/zinc/76/92/22/745769222.db2.gz ASSLKCOAOWVAPO-SNVBAGLBSA-N -1 1 301.279 1.428 20 0 DDADMM Cc1cc(Cl)ncc1S(=O)(=O)[N-][C@H](CO)C(F)(F)F ZINC001192384807 745773927 /nfs/dbraw/zinc/77/39/27/745773927.db2.gz DHZFQZQVLSPUHZ-SSDOTTSWSA-N -1 1 318.704 1.245 20 0 DDADMM CCOC(=O)c1ncncc1NC(=O)c1c(F)ccc([O-])c1F ZINC001192524114 745807053 /nfs/dbraw/zinc/80/70/53/745807053.db2.gz KULZBZQRRUVNTN-UHFFFAOYSA-N -1 1 323.255 1.889 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)c1cc([O-])cc(F)c1F)C(=O)N(C)C ZINC001192684727 745862224 /nfs/dbraw/zinc/86/22/24/745862224.db2.gz UPOKCYHAWHOTPL-SDBXPKJASA-N -1 1 314.332 1.903 20 0 DDADMM CC(C)C(=O)N[C@@H]1[C@H]2CN(C(=O)c3cc([O-])cc(F)c3F)C[C@H]21 ZINC001192664561 745868178 /nfs/dbraw/zinc/86/81/78/745868178.db2.gz NSFDIVNAVAVHGQ-YABSGUDNSA-N -1 1 324.327 1.513 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1ccc(-c2nnco2)cc1 ZINC001193264432 746036703 /nfs/dbraw/zinc/03/67/03/746036703.db2.gz KLXBTRIPLLTKIW-LBPRGKRZSA-N -1 1 309.347 1.657 20 0 DDADMM O=C(N[C@@H]1CCCN(Cc2cnsn2)C1)c1ncccc1[O-] ZINC001007189267 752054561 /nfs/dbraw/zinc/05/45/61/752054561.db2.gz MBYKQWDJVHLORL-SNVBAGLBSA-N -1 1 319.390 1.033 20 0 DDADMM COc1ccnc(C(=O)N(C)c2nc(Cl)ccc2N)c1[O-] ZINC001193524790 746133077 /nfs/dbraw/zinc/13/30/77/746133077.db2.gz LPWBHFYYRDSRHZ-UHFFFAOYSA-N -1 1 308.725 1.703 20 0 DDADMM Cn1ccnc1S(=O)(=O)[N-][C@@H](c1ccccn1)C(F)(F)F ZINC001193617453 746150135 /nfs/dbraw/zinc/15/01/35/746150135.db2.gz PSEYMFQVGWYXNB-VIFPVBQESA-N -1 1 320.296 1.397 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)c2ccccc2N)cc1F ZINC001193938743 746228549 /nfs/dbraw/zinc/22/85/49/746228549.db2.gz ZDAKATPGPRWSMV-UHFFFAOYSA-N -1 1 309.322 1.308 20 0 DDADMM CCCC[C@@H](CC)COC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001193983160 746246676 /nfs/dbraw/zinc/24/66/76/746246676.db2.gz FTHWAGHBLHIGRT-BDAKNGLRSA-N -1 1 315.395 1.464 20 0 DDADMM Cc1cnc(C(=O)[N-]c2nonc2-c2nc3ccccc3[nH]2)nc1 ZINC001194063717 746269296 /nfs/dbraw/zinc/26/92/96/746269296.db2.gz GAVADGFDAKEVMM-UHFFFAOYSA-N -1 1 321.300 1.964 20 0 DDADMM COC(=O)c1cc(NC(=O)c2cc(=O)n(C)[n-]2)cc2[nH]ncc21 ZINC001194203288 746307297 /nfs/dbraw/zinc/30/72/97/746307297.db2.gz WHBOXKKNXFVSSG-UHFFFAOYSA-N -1 1 315.289 1.041 20 0 DDADMM CSc1ncc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1C ZINC001194321556 746336542 /nfs/dbraw/zinc/33/65/42/746336542.db2.gz AXPJESQMATUDHV-UHFFFAOYSA-N -1 1 321.318 1.963 20 0 DDADMM Cc1cccc(Cl)c1S(=O)(=O)[N-][C@@H](CO)C(F)(F)F ZINC001195328637 746567168 /nfs/dbraw/zinc/56/71/68/746567168.db2.gz ZMYWTOAAUUTVNF-QMMMGPOBSA-N -1 1 317.716 1.850 20 0 DDADMM O=C(N[C@@H]1CCc2[nH]c(=O)ccc2C1)c1cc([O-])cnc1Cl ZINC001195306552 746572358 /nfs/dbraw/zinc/57/23/58/746572358.db2.gz UAYBXIUUGYSGNR-SECBINFHSA-N -1 1 319.748 1.829 20 0 DDADMM O=C(NCc1ccc2nonc2c1)c1cc([O-])cnc1Cl ZINC001195306571 746572619 /nfs/dbraw/zinc/57/26/19/746572619.db2.gz UFBYXGGRGVZPLJ-UHFFFAOYSA-N -1 1 304.693 1.907 20 0 DDADMM Cc1cncnc1C(=O)Nc1nc(Br)ccc1[O-] ZINC001195352817 746582103 /nfs/dbraw/zinc/58/21/03/746582103.db2.gz HWDNWJFPDQMOGG-UHFFFAOYSA-N -1 1 309.123 1.900 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cc(F)c(CO)c(F)c1 ZINC001195607665 746665297 /nfs/dbraw/zinc/66/52/97/746665297.db2.gz IKRVTMLLVBPYRU-UHFFFAOYSA-N -1 1 322.193 1.846 20 0 DDADMM O=C(Nc1ccccc1-c1nc[nH]n1)c1c[nH]c(=S)[n-]c1=O ZINC001196020435 746759300 /nfs/dbraw/zinc/75/93/00/746759300.db2.gz GRXSVAVOSPVDMB-UHFFFAOYSA-N -1 1 314.330 1.508 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccccc1)c1c[nH]c(=S)[n-]c1=O ZINC001196023225 746760216 /nfs/dbraw/zinc/76/02/16/746760216.db2.gz GTCIGKPYUPTVQM-NSHDSACASA-N -1 1 319.386 1.052 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001089546982 747189373 /nfs/dbraw/zinc/18/93/73/747189373.db2.gz XVCPCRWTOJONDI-NSHDSACASA-N -1 1 323.441 1.663 20 0 DDADMM O=C(NCC1CN(Cc2cncs2)C1)c1ncccc1[O-] ZINC001031628268 747253319 /nfs/dbraw/zinc/25/33/19/747253319.db2.gz FVLJLWRPCDRWNR-UHFFFAOYSA-N -1 1 304.375 1.106 20 0 DDADMM O=S(=O)(Cc1ccccn1)[N-]c1c(CO)ccc(F)c1F ZINC001197830184 747272194 /nfs/dbraw/zinc/27/21/94/747272194.db2.gz VJAVSTHLYGTQJT-UHFFFAOYSA-N -1 1 314.313 1.794 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000994658989 747272881 /nfs/dbraw/zinc/27/28/81/747272881.db2.gz IFNYFNANBROHTE-TUAOUCFPSA-N -1 1 315.373 1.164 20 0 DDADMM CSc1nc(NC(=O)c2cc(C(N)=O)cs2)cc(=O)[n-]1 ZINC001197956276 747321830 /nfs/dbraw/zinc/32/18/30/747321830.db2.gz ZNWWUCKEIFHMQX-UHFFFAOYSA-N -1 1 310.360 1.317 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@H]2c3cc(C)ccc3NC2=O)n1C ZINC001198408776 747483013 /nfs/dbraw/zinc/48/30/13/747483013.db2.gz RFKQMWPHTBCUIX-ZDUSSCGKSA-N -1 1 320.374 1.009 20 0 DDADMM C=CS(=O)(=O)[N-]c1ncc(Br)nc1N(C)C ZINC001198569805 747530510 /nfs/dbraw/zinc/53/05/10/747530510.db2.gz MQDVQYOQANHGMR-UHFFFAOYSA-N -1 1 307.173 1.190 20 0 DDADMM O=C(NC[C@@H]1CCCN1c1cnc(F)cn1)c1ncccc1[O-] ZINC001061342980 747690683 /nfs/dbraw/zinc/69/06/83/747690683.db2.gz FBGLATKVBJEGJF-JTQLQIEISA-N -1 1 317.324 1.115 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1cncn1CC1CCC1 ZINC001199607108 747913332 /nfs/dbraw/zinc/91/33/32/747913332.db2.gz LSAYDOSSXFFVTH-UHFFFAOYSA-N -1 1 317.349 1.835 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnc(OCC)n2)n1 ZINC001199636149 747926823 /nfs/dbraw/zinc/92/68/23/747926823.db2.gz TYPQZDUSSKFUND-UHFFFAOYSA-N -1 1 305.294 1.027 20 0 DDADMM CN(C(=O)[C@H]1CC1(C)C)C1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC001004066774 747957980 /nfs/dbraw/zinc/95/79/80/747957980.db2.gz BRUVVNCUKLGXBF-HTAVTVPLSA-N -1 1 319.409 1.350 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1ccnc(C(C)(C)C)n1 ZINC001201905779 748636153 /nfs/dbraw/zinc/63/61/53/748636153.db2.gz IVNFYCVSEUCCJR-UHFFFAOYSA-N -1 1 315.395 1.469 20 0 DDADMM CC(C)=CC(=O)N1CCCC[C@H]1[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004681963 748645925 /nfs/dbraw/zinc/64/59/25/748645925.db2.gz LYGDKCXCTZYDTM-NEPJUHHUSA-N -1 1 307.398 1.336 20 0 DDADMM CC(C)CC(=O)N1CCCC[C@H]1[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004702862 748662100 /nfs/dbraw/zinc/66/21/00/748662100.db2.gz XUFMTUWDNXFLCS-NEPJUHHUSA-N -1 1 309.414 1.416 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001004760810 748752237 /nfs/dbraw/zinc/75/22/37/748752237.db2.gz SZFOOIBCLGNKMC-FZXKYSEESA-N -1 1 317.389 1.720 20 0 DDADMM CSc1nc(NC(=O)NC(=O)c2ccccc2)cc(=O)[n-]1 ZINC001202443178 748782840 /nfs/dbraw/zinc/78/28/40/748782840.db2.gz UNFHDKSGDAYTMT-UHFFFAOYSA-N -1 1 304.331 1.866 20 0 DDADMM C/C=C(/C)C(=O)N1CCCC[C@@H]1[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004804479 748812419 /nfs/dbraw/zinc/81/24/19/748812419.db2.gz MXGNMKSCQVPPTF-QJJZASRKSA-N -1 1 307.398 1.336 20 0 DDADMM COC(=O)C1(N(C)C(=O)C(=O)c2ccc([O-])cc2)CCCCC1 ZINC001153574183 749136376 /nfs/dbraw/zinc/13/63/76/749136376.db2.gz JPPPLQBNNVCJOE-UHFFFAOYSA-N -1 1 319.357 1.909 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)c1ccco1 ZINC001066656980 749466997 /nfs/dbraw/zinc/46/69/97/749466997.db2.gz OXQNMRWCZGOCAB-UHFFFAOYSA-N -1 1 317.345 1.615 20 0 DDADMM CC(C)CC(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066674651 749645969 /nfs/dbraw/zinc/64/59/69/749645969.db2.gz OAPKTXPHKUUSNC-UHFFFAOYSA-N -1 1 307.394 1.754 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(C)(C)C ZINC001005286974 749751278 /nfs/dbraw/zinc/75/12/78/749751278.db2.gz FOBBLJJYEQEPQU-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM CN(c1cccc(F)n1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001056900487 761994030 /nfs/dbraw/zinc/99/40/30/761994030.db2.gz HJUOLFGPUKWWLZ-NSHDSACASA-N -1 1 316.336 1.672 20 0 DDADMM O=C([C@H]1CC12CCCC2)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000622771 762017078 /nfs/dbraw/zinc/01/70/78/762017078.db2.gz ZZADDIZTAZOKQW-VXGBXAGGSA-N -1 1 319.409 1.171 20 0 DDADMM Cc1cc(N[C@@H](C)CN(C)C(=O)c2ncccc2[O-])nc(C)n1 ZINC001115719024 750883607 /nfs/dbraw/zinc/88/36/07/750883607.db2.gz FCVAEKQBJPIYDK-NSHDSACASA-N -1 1 315.377 1.767 20 0 DDADMM Cc1coc(C)c1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999063375 752520093 /nfs/dbraw/zinc/52/00/93/752520093.db2.gz CUWWBTNFJIQJRG-LLVKDONJSA-N -1 1 319.365 1.115 20 0 DDADMM CC[C@H](C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008071902 752533363 /nfs/dbraw/zinc/53/33/63/752533363.db2.gz SVZOHAPYPLFCFP-AAEUAGOBSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001008573634 752806901 /nfs/dbraw/zinc/80/69/01/752806901.db2.gz JLKWBTSJJIQFOA-GFCCVEGCSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1ccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)cc1 ZINC000999436817 752912730 /nfs/dbraw/zinc/91/27/30/752912730.db2.gz IDXCZKROCGIHDS-UHFFFAOYSA-N -1 1 311.341 1.350 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC12CCCC2 ZINC000999622847 753053265 /nfs/dbraw/zinc/05/32/65/753053265.db2.gz VLSQLSSZIYODTR-LBPRGKRZSA-N -1 1 315.373 1.308 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005956100 753416501 /nfs/dbraw/zinc/41/65/01/753416501.db2.gz IXNCMOOLWZQJNP-RYUDHWBXSA-N -1 1 319.405 1.656 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC23CC3)C1 ZINC001005956190 753417518 /nfs/dbraw/zinc/41/75/18/753417518.db2.gz KEZMBIAQBTXJIN-PWSUYJOCSA-N -1 1 315.373 1.164 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062841146 753753907 /nfs/dbraw/zinc/75/39/07/753753907.db2.gz YLZCGZGYSOKPDJ-NEPJUHHUSA-N -1 1 317.389 1.602 20 0 DDADMM CC(C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)=C1CCC1 ZINC001011035309 754318109 /nfs/dbraw/zinc/31/81/09/754318109.db2.gz CUXJUZLCYLIZCA-UHFFFAOYSA-N -1 1 315.373 1.572 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CCCC1 ZINC001011364295 754471689 /nfs/dbraw/zinc/47/16/89/754471689.db2.gz VBSLQJCOXCSXIH-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ccoc1 ZINC001012291905 754979078 /nfs/dbraw/zinc/97/90/78/754979078.db2.gz FWGUSNOQUPNGFM-JQWIXIFHSA-N -1 1 315.329 1.413 20 0 DDADMM CC(=O)N1CCC[C@@H]1[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001013913044 755537625 /nfs/dbraw/zinc/53/76/25/755537625.db2.gz RTSSCVJRKILEAQ-UONOGXRCSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(N[C@]12CCC[C@@H]1N(C(=O)C1CC1)CC2)c1ncccc1[O-] ZINC001014260196 755664684 /nfs/dbraw/zinc/66/46/84/755664684.db2.gz QSXUFJIBELEQKW-GUYCJALGSA-N -1 1 315.373 1.451 20 0 DDADMM CCN(C(=O)[C@H](F)C(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080284624 755826138 /nfs/dbraw/zinc/82/61/38/755826138.db2.gz ZARMHMFIHAFDIP-CYBMUJFWSA-N -1 1 323.368 1.454 20 0 DDADMM C[C@H](C(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001016431433 757039342 /nfs/dbraw/zinc/03/93/42/757039342.db2.gz PTOYYUHXVGATNF-PKSQDBQZSA-N -1 1 317.389 1.553 20 0 DDADMM CC(C)=CC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])CC[C@H]1C ZINC001018099452 758545652 /nfs/dbraw/zinc/54/56/52/758545652.db2.gz LTIYKROVVXXHPB-CHWSQXEVSA-N -1 1 317.389 1.863 20 0 DDADMM CCCC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001018098337 758545978 /nfs/dbraw/zinc/54/59/78/758545978.db2.gz HSUBNEKWDWFNSY-RYUDHWBXSA-N -1 1 305.378 1.697 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)C2(C)CC2)CN1C(=O)c1ncccc1[O-] ZINC001018194163 758619875 /nfs/dbraw/zinc/61/98/75/758619875.db2.gz HXRSQQRXDQAUNH-NWDGAFQWSA-N -1 1 317.389 1.697 20 0 DDADMM O=C(CCCF)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC001066079109 759048016 /nfs/dbraw/zinc/04/80/16/759048016.db2.gz UMCDHNZBQPPPQQ-LBPRGKRZSA-N -1 1 323.368 1.648 20 0 DDADMM Cc1ccccc1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054349405 759527088 /nfs/dbraw/zinc/52/70/88/759527088.db2.gz RMHWVMVXDFHSQS-DGCLKSJQSA-N -1 1 315.377 1.069 20 0 DDADMM CC1(C(=O)N2CC3(C2)CCN(C(=O)c2ncccc2[O-])C3)CC1 ZINC001019091880 759579534 /nfs/dbraw/zinc/57/95/34/759579534.db2.gz LVRFXVMDVFNDKD-UHFFFAOYSA-N -1 1 315.373 1.262 20 0 DDADMM C[C@H]1CN(C(=O)C2(C)CCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054943437 760210960 /nfs/dbraw/zinc/21/09/60/760210960.db2.gz IEBSZYHDLGFQKX-QWRGUYRKSA-N -1 1 307.398 1.027 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001540367 762945178 /nfs/dbraw/zinc/94/51/78/762945178.db2.gz ANINANZMEXQGSE-CHWSQXEVSA-N -1 1 317.389 1.268 20 0 DDADMM O=C(NC[C@H]1COCCN1CC1CCC1)c1ncccc1[O-] ZINC001050887702 764235810 /nfs/dbraw/zinc/23/58/10/764235810.db2.gz JQGHTWHGTSGTHB-ZDUSSCGKSA-N -1 1 305.378 1.018 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C/C(Cl)=C\Cl)C1 ZINC001042645862 764308500 /nfs/dbraw/zinc/30/85/00/764308500.db2.gz DSIFQGCIQLHFIL-WEVVVXLNSA-N -1 1 316.188 1.862 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1ncncc1Cl ZINC001112982719 765098586 /nfs/dbraw/zinc/09/85/86/765098586.db2.gz AWUKRABXKGXMHD-DTWKUNHWSA-N -1 1 321.768 1.850 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)CCCF ZINC001052421850 765808642 /nfs/dbraw/zinc/80/86/42/765808642.db2.gz NSLFROCCIJVQKP-NEPJUHHUSA-N -1 1 323.368 1.361 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001045282388 766200901 /nfs/dbraw/zinc/20/09/01/766200901.db2.gz WYUHJZDMKVGJAL-AVGNSLFASA-N -1 1 321.425 1.417 20 0 DDADMM CCc1noc(-c2ccnc(Nc3[n-]c(=O)nc4nc[nH]c43)c2)n1 ZINC001170227437 766201224 /nfs/dbraw/zinc/20/12/24/766201224.db2.gz MUCFQGFHGGFTLJ-UHFFFAOYSA-N -1 1 324.304 1.810 20 0 DDADMM Cc1oncc1CN1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001046262977 767321313 /nfs/dbraw/zinc/32/13/13/767321313.db2.gz ZYDSFKBQNTXVOL-INIZCTEOSA-N -1 1 316.361 1.478 20 0 DDADMM Cc1ccnc(NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001068475932 767353967 /nfs/dbraw/zinc/35/39/67/767353967.db2.gz MWVROMWMXFDBIY-HAQNSBGRSA-N -1 1 313.361 1.506 20 0 DDADMM CC/C(C)=C/C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071951624 770334455 /nfs/dbraw/zinc/33/44/55/770334455.db2.gz BLAQKXLYMQBDSB-NALFIARHSA-N -1 1 317.389 1.720 20 0 DDADMM CO[C@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)C(=O)OC(C)(C)C ZINC001133906749 770431835 /nfs/dbraw/zinc/43/18/35/770431835.db2.gz AAHGPYQUGGMEFD-RXMQYKEDSA-N -1 1 324.259 1.119 20 0 DDADMM Cc1ccccc1[C@H](C)CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134727797 771143282 /nfs/dbraw/zinc/14/32/82/771143282.db2.gz WJINCROLBLZBCW-GFCCVEGCSA-N -1 1 317.393 1.218 20 0 DDADMM Cc1nccc(N[C@@H](CNC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001096639274 771364085 /nfs/dbraw/zinc/36/40/85/771364085.db2.gz LDZBICJMQTXSNI-LBPRGKRZSA-N -1 1 313.361 1.506 20 0 DDADMM C[C@H]1C[C@H](CC(=O)NCCNCc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001135406555 771486991 /nfs/dbraw/zinc/48/69/91/771486991.db2.gz WHZZZSHIPCMHFJ-NWDGAFQWSA-N -1 1 323.441 1.569 20 0 DDADMM COC(=O)C[C@H]1CN(Cc2cc(F)c([O-])cc2F)CCO1 ZINC001144657707 772588261 /nfs/dbraw/zinc/58/82/61/772588261.db2.gz FGTDZSFAZMPDCN-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc2nonc2c1 ZINC001149416747 772816857 /nfs/dbraw/zinc/81/68/57/772816857.db2.gz PTWRGXSAHIPNOF-UHFFFAOYSA-N -1 1 302.206 1.074 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)c1cc[nH]c1)c1ccccc1N ZINC001146889115 772968681 /nfs/dbraw/zinc/96/86/81/772968681.db2.gz QIJYJOGQDNFCOU-UHFFFAOYSA-N -1 1 318.358 1.885 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)c1cc[nH]c1)c1ccccc1N ZINC001146889115 772968684 /nfs/dbraw/zinc/96/86/84/772968684.db2.gz QIJYJOGQDNFCOU-UHFFFAOYSA-N -1 1 318.358 1.885 20 0 DDADMM CC[C@@H](CC(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001147473838 773144858 /nfs/dbraw/zinc/14/48/58/773144858.db2.gz BDONGLVWLXNZLE-LBPRGKRZSA-N -1 1 317.393 1.300 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(C(=O)OCc2ccccc2)[nH]1 ZINC001147751770 773232676 /nfs/dbraw/zinc/23/26/76/773232676.db2.gz HJQVOAFNHAGNBT-UHFFFAOYSA-N -1 1 312.289 1.137 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2nccc3cnccc32)c1[O-] ZINC001147832948 773259820 /nfs/dbraw/zinc/25/98/20/773259820.db2.gz AZTTVQDENBIVDR-UHFFFAOYSA-N -1 1 310.313 1.783 20 0 DDADMM COc1cc(OC)c(NC(=O)c2c(CO)cnc(C)c2[O-])cn1 ZINC001147835315 773262290 /nfs/dbraw/zinc/26/22/90/773262290.db2.gz DPTUVENFRJXYJE-UHFFFAOYSA-N -1 1 319.317 1.252 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCC(F)(F)c2ccccn2)c1[O-] ZINC001147844672 773267274 /nfs/dbraw/zinc/26/72/74/773267274.db2.gz VAYXNUMEBUVUPS-UHFFFAOYSA-N -1 1 323.299 1.505 20 0 DDADMM C[C@H]1C(=O)N([N-]C(=O)c2cc(F)cc3ccoc32)C(=O)N1C ZINC001148186886 773387208 /nfs/dbraw/zinc/38/72/08/773387208.db2.gz JMDYFOHLZXFAIQ-ZETCQYMHSA-N -1 1 305.265 1.499 20 0 DDADMM CO[C@H](C)C1CN(C(=O)c2ccc(-n3[n-]c(C)cc3=O)cc2)C1 ZINC001148333202 773439489 /nfs/dbraw/zinc/43/94/89/773439489.db2.gz QLGGXXULHHVZDO-GFCCVEGCSA-N -1 1 315.373 1.906 20 0 DDADMM COC1CC(CNC(=O)c2ccc(-n3[n-]c(C)cc3=O)cc2)C1 ZINC001148344475 773444751 /nfs/dbraw/zinc/44/47/51/773444751.db2.gz IIOCXOXHRIFTDF-UHFFFAOYSA-N -1 1 315.373 1.954 20 0 DDADMM CC(=O)c1cc(C(=O)NCCCC[P@](=O)([O-])O)ccc1O ZINC001148668830 773572027 /nfs/dbraw/zinc/57/20/27/773572027.db2.gz KLKDCSNXFPMMQB-UHFFFAOYSA-N -1 1 315.262 1.283 20 0 DDADMM Cc1cc(CO)cc([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001212758725 777361232 /nfs/dbraw/zinc/36/12/32/777361232.db2.gz HWXZXCXLWXFXTN-UHFFFAOYSA-N -1 1 307.375 1.453 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2ccc(=O)n(C)c2)c1 ZINC001174356128 777464480 /nfs/dbraw/zinc/46/44/80/777464480.db2.gz AEELRLUUWRLVGV-UHFFFAOYSA-N -1 1 308.359 1.628 20 0 DDADMM CNC(=O)c1ccc(Nc2cc(S(C)(=O)=O)ccc2[O-])cc1 ZINC001174511988 777506138 /nfs/dbraw/zinc/50/61/38/777506138.db2.gz ICVZOGNOKGLEOZ-UHFFFAOYSA-N -1 1 320.370 1.899 20 0 DDADMM CNC(=O)c1cc(Nc2[nH]c(=S)[n-]c(=O)c2N=O)ccn1 ZINC001175412587 777778632 /nfs/dbraw/zinc/77/86/32/777778632.db2.gz NKNPMPIBWWPWDT-UHFFFAOYSA-N -1 1 306.307 1.367 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)c1ccnc2[nH]c(=O)[nH]c21 ZINC001176994308 778378590 /nfs/dbraw/zinc/37/85/90/778378590.db2.gz QWHQILOSRKWFLL-UHFFFAOYSA-N -1 1 318.720 1.953 20 0 DDADMM CC(C)c1cccc(CC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001178452620 779055720 /nfs/dbraw/zinc/05/57/20/779055720.db2.gz MOXGOCIGGZUWNU-UHFFFAOYSA-N -1 1 312.333 1.758 20 0 DDADMM COc1ccnc(NC[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001103917597 779169547 /nfs/dbraw/zinc/16/95/47/779169547.db2.gz SATGIKSKQONWRL-JTQLQIEISA-N -1 1 317.349 1.064 20 0 DDADMM O=C(CN1CCc2ccccc21)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001180290549 779707632 /nfs/dbraw/zinc/70/76/32/779707632.db2.gz HISAGIVTMGNDJN-UHFFFAOYSA-N -1 1 310.317 1.060 20 0 DDADMM Cc1cc(C)cc(OCC(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001180541945 779822306 /nfs/dbraw/zinc/82/23/06/779822306.db2.gz GKERSFJEKUUNFF-UHFFFAOYSA-N -1 1 315.306 1.756 20 0 DDADMM CC(C)O[N-]C(=O)[C@H](C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC001117769517 780897621 /nfs/dbraw/zinc/89/76/21/780897621.db2.gz AOODMWQPMVIJED-LLVKDONJSA-N -1 1 314.407 1.497 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@@H](O)C1)c1cnc(Cl)cc1Cl ZINC001118888470 781264238 /nfs/dbraw/zinc/26/42/38/781264238.db2.gz QUEREBFDDYRKBG-KNVOCYPGSA-N -1 1 311.190 1.438 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@@H]1CC12CCC2 ZINC001119287069 781393712 /nfs/dbraw/zinc/39/37/12/781393712.db2.gz UHYVLHZCIIDGRL-GFCCVEGCSA-N -1 1 308.765 1.721 20 0 DDADMM Cn1c(Cl)cnc1CNCCc1nc(C(=O)[O-])cs1 ZINC001119536444 781500086 /nfs/dbraw/zinc/50/00/86/781500086.db2.gz LZPUAHNQQWTVRR-UHFFFAOYSA-N -1 1 300.771 1.561 20 0 DDADMM Cc1cc(C(=O)N(C)CCNC(=O)c2ncccc2[O-])cs1 ZINC001408554357 837243108 /nfs/dbraw/zinc/24/31/08/837243108.db2.gz LRPFEJQYQBLUCV-UHFFFAOYSA-N -1 1 319.386 1.659 20 0 DDADMM CC(C)(C)CC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001408909924 839746006 /nfs/dbraw/zinc/74/60/06/839746006.db2.gz CXWDFROBLCLONI-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1nnc(CNC/C=C/CNC(=O)c2ncccc2[O-])s1 ZINC001268535757 840405087 /nfs/dbraw/zinc/40/50/87/840405087.db2.gz VFMNRVUOYUJSII-NSCUHMNNSA-N -1 1 319.390 1.023 20 0 DDADMM CCC[C@@H](C)C(=O)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001269711514 841963582 /nfs/dbraw/zinc/96/35/82/841963582.db2.gz KUAFQOHKNOHQCF-OLZOCXBDSA-N -1 1 323.441 1.665 20 0 DDADMM COc1cccc(O)c1C(=O)N1CCC[C@]2(C1)C[N@H+](C)CCO2 ZINC001270067860 842268898 /nfs/dbraw/zinc/26/88/98/842268898.db2.gz PZMQKXWGCNWRGX-QGZVFWFLSA-N -1 1 320.389 1.338 20 0 DDADMM NC(=O)C12CC(NC(=O)c3cc4cccc(O)c4cc3[O-])(C1)C2 ZINC001270618829 842725171 /nfs/dbraw/zinc/72/51/71/842725171.db2.gz ZDFRXNFBBHEZHT-UHFFFAOYSA-N -1 1 312.325 1.389 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CCF)c1cnc(Cl)cc1Cl ZINC001326470344 861393975 /nfs/dbraw/zinc/39/39/75/861393975.db2.gz GJVKHESBSPOTJT-ZCFIWIBFSA-N -1 1 317.169 1.387 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@H](C)S1 ZINC001326479788 861399732 /nfs/dbraw/zinc/39/97/32/861399732.db2.gz AAPSUPOHKJXSOQ-IUCAKERBSA-N -1 1 308.432 1.984 20 0 DDADMM CNC(=O)[C@H](C)NC(=O)c1cc2cc(OC)ccc2cc1[O-] ZINC001154757525 861428283 /nfs/dbraw/zinc/42/82/83/861428283.db2.gz UVDTUFWLOZITEU-VIFPVBQESA-N -1 1 302.330 1.418 20 0 DDADMM COc1cc2[nH]ncc2cc1C(=O)NC1C(=O)N=CN=C1[O-] ZINC001154786527 861452720 /nfs/dbraw/zinc/45/27/20/861452720.db2.gz KILCJIKNHWQDJE-UHFFFAOYSA-N -1 1 301.262 1.025 20 0 DDADMM Cc1cc(C(=O)N[C@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)c(C)s1 ZINC001409407640 845054305 /nfs/dbraw/zinc/05/43/05/845054305.db2.gz WEQORPNHIZHHSM-DTWKUNHWSA-N -1 1 323.422 1.485 20 0 DDADMM O=C(Nc1[nH]nc2nccnc21)c1ccc2cccnc2c1[O-] ZINC001149314813 861539284 /nfs/dbraw/zinc/53/92/84/861539284.db2.gz PTACLZLZNADVGM-UHFFFAOYSA-N -1 1 306.285 1.811 20 0 DDADMM O=C(N[C@@H]1CCc2n[nH]cc2C1)c1ccc2cccnc2c1[O-] ZINC001149327134 861547090 /nfs/dbraw/zinc/54/70/90/861547090.db2.gz SJHOCSSKCGBKMK-GFCCVEGCSA-N -1 1 308.341 1.951 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C2([C@@H]3CCCO3)CCC2)sn1 ZINC001365004378 845987639 /nfs/dbraw/zinc/98/76/39/845987639.db2.gz VJSWOKPJJQBTGA-JTQLQIEISA-N -1 1 302.421 1.832 20 0 DDADMM Cn1cnc2c1cccc2C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001154991421 861628087 /nfs/dbraw/zinc/62/80/87/861628087.db2.gz KTTDJRYVQQZDBO-UHFFFAOYSA-N -1 1 314.261 1.425 20 0 DDADMM O=C(NC[C@H]1CCC(=O)N1)c1cc2cccc(O)c2cc1[O-] ZINC001149653773 861787061 /nfs/dbraw/zinc/78/70/61/861787061.db2.gz QKCNHOPUAYZCBY-SNVBAGLBSA-N -1 1 300.314 1.259 20 0 DDADMM Cn1c(=O)cccc1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001149657290 861788688 /nfs/dbraw/zinc/78/86/88/861788688.db2.gz HUBQERJPEDBUAN-UHFFFAOYSA-N -1 1 310.313 1.529 20 0 DDADMM O=C(Nc1ncnn2cccc12)c1nc2ccc(Cl)nc2[n-]1 ZINC001155171841 861796678 /nfs/dbraw/zinc/79/66/78/861796678.db2.gz YWGLRBZJNYRJKO-UHFFFAOYSA-N -1 1 313.708 1.328 20 0 DDADMM CCOC(=O)[C@H]1[C@@H]2CN(C(=O)c3[n-][nH]c4cc(=O)ccc3-4)C[C@@H]21 ZINC001155266299 861911700 /nfs/dbraw/zinc/91/17/00/861911700.db2.gz AYXRNDANFYCKPP-PJXYFTJBSA-N -1 1 315.329 1.150 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC001434560567 848664818 /nfs/dbraw/zinc/66/48/18/848664818.db2.gz BVXSHYUCUSDMNN-AYMMMOKOSA-N -1 1 306.409 1.386 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1c[nH]c2c1cccc2O ZINC001155304619 861946406 /nfs/dbraw/zinc/94/64/06/861946406.db2.gz AQRUKEUALLELRZ-UHFFFAOYSA-N -1 1 310.273 1.498 20 0 DDADMM CCO[C@@H](C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-])C(C)C ZINC001410372535 849164371 /nfs/dbraw/zinc/16/43/71/849164371.db2.gz OUGYEAYMBHECSW-SMDDNHRTSA-N -1 1 323.393 1.083 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cccnc2C)o1 ZINC001364898014 849340890 /nfs/dbraw/zinc/34/08/90/849340890.db2.gz ZIOWBUVPJNPKQN-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM O=S(=O)(CC(F)(F)F)[N-]c1ccnn1C1CCOCC1 ZINC001253124248 851727440 /nfs/dbraw/zinc/72/74/40/851727440.db2.gz SFIYGJTYWNDOPJ-UHFFFAOYSA-N -1 1 313.301 1.539 20 0 DDADMM CN1CC2(C1)CCN(C(=O)c1c(F)c(F)c([O-])c(F)c1F)C2 ZINC001275045792 852766742 /nfs/dbraw/zinc/76/67/42/852766742.db2.gz XEGVYIUPRCTSPV-UHFFFAOYSA-N -1 1 318.270 1.726 20 0 DDADMM CN1CC2(C1)CCCN(C(=O)c1cc(F)c(F)c([O-])c1F)C2 ZINC001275367360 853025028 /nfs/dbraw/zinc/02/50/28/853025028.db2.gz KANNRKIABBQQIV-UHFFFAOYSA-N -1 1 314.307 1.977 20 0 DDADMM NC(=O)C1=CC2(CC1)CCN(C(=O)c1ccc(F)c([O-])c1)CC2 ZINC001275408470 853079891 /nfs/dbraw/zinc/07/98/91/853079891.db2.gz OMIAKXXYAZUGDC-UHFFFAOYSA-N -1 1 318.348 1.959 20 0 DDADMM CC(C)(C)NC(=O)[C@@H]1CC12CN(C(=O)c1ccc(F)c([O-])c1)C2 ZINC001275407008 853080878 /nfs/dbraw/zinc/08/08/78/853080878.db2.gz BHSKZMPJGWDNMQ-NSHDSACASA-N -1 1 320.364 1.908 20 0 DDADMM CCN(C(=O)[C@@]12C[C@@H]1CN(C(=O)c1cncc([O-])c1)C2)C(C)C ZINC001275622831 853448744 /nfs/dbraw/zinc/44/87/44/853448744.db2.gz HGEXSZIJFVMNHN-CXAGYDPISA-N -1 1 317.389 1.506 20 0 DDADMM CN(C(=O)c1ccc([O-])cn1)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC001411821526 853783437 /nfs/dbraw/zinc/78/34/37/853783437.db2.gz FBGDVQMAEYMJHF-QWHCGFSZSA-N -1 1 312.391 1.215 20 0 DDADMM Cc1ccc(N2CCOC2=O)cc1NC(=O)C1=C([O-])C(C)N=N1 ZINC001411833505 853802507 /nfs/dbraw/zinc/80/25/07/853802507.db2.gz QZDRLRSVKRZVBW-UHFFFAOYSA-N -1 1 316.317 1.941 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(=O)[nH]c2c1CCCC2)c1nn[n-]n1 ZINC001411855989 853845775 /nfs/dbraw/zinc/84/57/75/853845775.db2.gz JQMPAZJHJVPGFT-GFCCVEGCSA-N -1 1 316.365 1.450 20 0 DDADMM CSc1ncc(C(=O)N(C)Cc2cccc(O)c2)c(=O)[n-]1 ZINC001411886461 853918977 /nfs/dbraw/zinc/91/89/77/853918977.db2.gz LYFONKGLJWMSBE-UHFFFAOYSA-N -1 1 305.359 1.882 20 0 DDADMM O=C([O-])c1ccc(CN2CC3(CN(Cc4cn[nH]c4)C3)C2)cc1 ZINC001275905583 853923797 /nfs/dbraw/zinc/92/37/97/853923797.db2.gz DVXDYQVNLLOBQJ-UHFFFAOYSA-N -1 1 312.373 1.426 20 0 DDADMM O=C(N[C@H](CO)Cc1ccc(O)cc1)c1ccc(F)c([O-])c1 ZINC001411975902 854059269 /nfs/dbraw/zinc/05/92/69/854059269.db2.gz LHEPICRLBZSPGC-LBPRGKRZSA-N -1 1 305.305 1.570 20 0 DDADMM NC(=O)[C@H]1CCC[C@@]12CCN(C(=O)c1cccc([O-])c1F)C2 ZINC001275984166 854080698 /nfs/dbraw/zinc/08/06/98/854080698.db2.gz LKDWJXJPVYCTDJ-BZNIZROVSA-N -1 1 306.337 1.649 20 0 DDADMM CCCC[C@H](C)C(=O)N1C[C@H](NC(=O)c2cnn[nH]2)C(C)(C)C1 ZINC001412083118 854204369 /nfs/dbraw/zinc/20/43/69/854204369.db2.gz WYGZBJZXQXGMEX-AAEUAGOBSA-N -1 1 321.425 1.598 20 0 DDADMM COC(=O)Nc1cccc(CNC(=O)c2cnncc2[O-])c1 ZINC001412114713 854232100 /nfs/dbraw/zinc/23/21/00/854232100.db2.gz FNRGQXYTGHJMKG-UHFFFAOYSA-N -1 1 302.290 1.291 20 0 DDADMM O=C(CNC(=O)c1cccc(O)c1)Nc1cc([O-])c(F)cc1F ZINC001412203505 854326500 /nfs/dbraw/zinc/32/65/00/854326500.db2.gz SQXYOFSJUDXOKZ-UHFFFAOYSA-N -1 1 322.267 1.745 20 0 DDADMM CC[C@@H]1[C@@H](C(=O)N(C)c2nn[n-]n2)CCN1C(=O)OC(C)(C)C ZINC001412234776 854358277 /nfs/dbraw/zinc/35/82/77/854358277.db2.gz YUVCQTQRDTZUAN-VHSXEESVSA-N -1 1 324.385 1.198 20 0 DDADMM NC(=O)[C@H]1CC[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001412253884 854379343 /nfs/dbraw/zinc/37/93/43/854379343.db2.gz SRRKBHPMSGAICJ-MGCOHNPYSA-N -1 1 318.377 1.081 20 0 DDADMM CC(C)C(=O)NC[C@H](C1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001412360468 854473304 /nfs/dbraw/zinc/47/33/04/854473304.db2.gz BTPPZJHZKKURCG-GFCCVEGCSA-N -1 1 305.378 1.410 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C)n(C(C)C)n1)c1nn[n-]n1 ZINC001412371160 854476810 /nfs/dbraw/zinc/47/68/10/854476810.db2.gz UQTAGWCRHSILNW-JTQLQIEISA-N -1 1 323.426 1.510 20 0 DDADMM COC[C@@H](NC(=O)CC(C)(C)c1ccccc1)c1nn[n-]n1 ZINC001412604140 854797606 /nfs/dbraw/zinc/79/76/06/854797606.db2.gz IFEDIDUSOUYVJY-GFCCVEGCSA-N -1 1 303.366 1.371 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001412682201 854937306 /nfs/dbraw/zinc/93/73/06/854937306.db2.gz LJHCEADJXMDRNJ-JHJVBQTASA-N -1 1 319.405 1.800 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCO[C@H](C(C)C)C2)c(=O)[n-]1 ZINC001412751414 855103777 /nfs/dbraw/zinc/10/37/77/855103777.db2.gz MFGIKRZYUNWBQR-KOLCDFICSA-N -1 1 311.407 1.838 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cc2n[nH]nc2cc1F ZINC001156075783 862703399 /nfs/dbraw/zinc/70/33/99/862703399.db2.gz MZYQNODMLQODFG-UHFFFAOYSA-N -1 1 319.252 1.432 20 0 DDADMM CC(=O)NCCN(Cc1ccsc1)C(=O)c1ccc([O-])cn1 ZINC001412873454 855746235 /nfs/dbraw/zinc/74/62/35/855746235.db2.gz BEKYGBPDBOCSQB-UHFFFAOYSA-N -1 1 319.386 1.627 20 0 DDADMM COc1ccc2c(c1)OCCN(C(=O)c1ccc([O-])cn1)C2 ZINC001412898047 855768881 /nfs/dbraw/zinc/76/88/81/855768881.db2.gz ITYZQWYTBLMDQE-UHFFFAOYSA-N -1 1 300.314 1.831 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCO[C@H]([C@H](C)O)C1 ZINC001413100493 856430490 /nfs/dbraw/zinc/43/04/90/856430490.db2.gz HKAPRFRMEWPIPC-QWRGUYRKSA-N -1 1 323.393 1.010 20 0 DDADMM O=C(Nc1ccc(=O)n(CCO)c1)c1cc(Cl)ccc1[O-] ZINC001413182719 856524002 /nfs/dbraw/zinc/52/40/02/856524002.db2.gz GZLLVDKISKHARA-UHFFFAOYSA-N -1 1 308.721 1.452 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc[nH]c2C(C)C)n[n-]1 ZINC001413271355 856597801 /nfs/dbraw/zinc/59/78/01/856597801.db2.gz LYOJOVYMSCTNFD-VIFPVBQESA-N -1 1 319.365 1.924 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc[nH]c2C(C)C)[n-]1 ZINC001413271355 856597810 /nfs/dbraw/zinc/59/78/10/856597810.db2.gz LYOJOVYMSCTNFD-VIFPVBQESA-N -1 1 319.365 1.924 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc[nH]c2C(C)C)n1 ZINC001413271355 856597817 /nfs/dbraw/zinc/59/78/17/856597817.db2.gz LYOJOVYMSCTNFD-VIFPVBQESA-N -1 1 319.365 1.924 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1CCO[C@H](C)C1 ZINC001413319785 856644868 /nfs/dbraw/zinc/64/48/68/856644868.db2.gz JNCKOEYSPICCGB-RKDXNWHRSA-N -1 1 306.409 1.245 20 0 DDADMM Cc1ccc(CO[C@H](C)C(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC001413338996 856686869 /nfs/dbraw/zinc/68/68/69/856686869.db2.gz HGQQZDKMNHQQFT-LLVKDONJSA-N -1 1 317.345 1.418 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1C[C@H]1c1cccc(O)c1 ZINC001413346983 856700058 /nfs/dbraw/zinc/70/00/58/856700058.db2.gz VIMMODDNWPUOHT-WDEREUQCSA-N -1 1 301.302 1.013 20 0 DDADMM Cc1cc2c(cc1C)[C@H](C(=O)NC1(c3nn[n-]n3)CCC1)CO2 ZINC001413511279 856913200 /nfs/dbraw/zinc/91/32/00/856913200.db2.gz RSFSWIBSNPVVJA-GFCCVEGCSA-N -1 1 313.361 1.488 20 0 DDADMM Cc1cc(S(N)(=O)=O)c(C)cc1NC(=O)c1cncc([O-])c1 ZINC001413539574 856952428 /nfs/dbraw/zinc/95/24/28/856952428.db2.gz CWCDPCCHMSYIKA-UHFFFAOYSA-N -1 1 321.358 1.304 20 0 DDADMM CCOC(=O)[C@@H](CCCC(C)C)NC(=O)c1cnncc1[O-] ZINC001413543234 857039752 /nfs/dbraw/zinc/03/97/52/857039752.db2.gz OKTHUEHVJQIIHA-GFCCVEGCSA-N -1 1 309.366 1.670 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc(Cl)c(Cl)[nH]1 ZINC001362836831 884761347 /nfs/dbraw/zinc/76/13/47/884761347.db2.gz SUPIVBRSNFURSV-UHFFFAOYSA-N -1 1 301.137 1.644 20 0 DDADMM CCCOCC(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001124067258 859559192 /nfs/dbraw/zinc/55/91/92/859559192.db2.gz NOBSMDGZSBFBPE-UHFFFAOYSA-N -1 1 309.366 1.503 20 0 DDADMM Cc1cc(C(F)F)n(CC(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC001361377286 881528382 /nfs/dbraw/zinc/52/83/82/881528382.db2.gz WINSDGJTXZTSQE-UHFFFAOYSA-N -1 1 320.303 1.423 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)COc1ccc(F)c(F)c1 ZINC001361383232 881539617 /nfs/dbraw/zinc/53/96/17/881539617.db2.gz IUEOBZHEJVFPOZ-UHFFFAOYSA-N -1 1 311.244 1.492 20 0 DDADMM COc1cc(C(=O)N2CC3(C[C@H]3C(N)=O)C2)cc(Cl)c1[O-] ZINC001276401599 860486346 /nfs/dbraw/zinc/48/63/46/860486346.db2.gz IJNFACNSVIIAPS-QMMMGPOBSA-N -1 1 310.737 1.002 20 0 DDADMM CCOc1cc(C)c([N-]S(=O)(=O)c2ccc(O)c(N)c2)cn1 ZINC001203046149 860654550 /nfs/dbraw/zinc/65/45/50/860654550.db2.gz QTTFYBQMFUQWPD-UHFFFAOYSA-N -1 1 323.374 1.877 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1[C@H]2C[N@@H+](Cc3ccc(C(=O)[O-])o3)C[C@H]21 ZINC001140944128 860743286 /nfs/dbraw/zinc/74/32/86/860743286.db2.gz RFBLIEBHVXJANO-PTEHBNRSSA-N -1 1 322.361 1.933 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1[C@H]2CN(Cc3ccc(C(=O)[O-])o3)C[C@H]21 ZINC001140944128 860743291 /nfs/dbraw/zinc/74/32/91/860743291.db2.gz RFBLIEBHVXJANO-PTEHBNRSSA-N -1 1 322.361 1.933 20 0 DDADMM O=c1[nH]c(=O)c2c([nH]1)CCN(Cc1cc(F)c([O-])c(F)c1)C2 ZINC001140991683 860753404 /nfs/dbraw/zinc/75/34/04/860753404.db2.gz UWTLTFDHPHZAOF-UHFFFAOYSA-N -1 1 309.272 1.430 20 0 DDADMM CSc1nc(NC(=O)c2nc3ccccn3c2F)cc(=O)[n-]1 ZINC001154240742 860910420 /nfs/dbraw/zinc/91/04/20/860910420.db2.gz JNSUCHOAELXCAQ-UHFFFAOYSA-N -1 1 319.321 1.943 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nc3ccccn3c2F)c[n-]1 ZINC001154245083 860915436 /nfs/dbraw/zinc/91/54/36/860915436.db2.gz SNUVVAASBKOYAD-UHFFFAOYSA-N -1 1 317.280 1.626 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc2[nH]c(-c3cccnc3)nc2c1 ZINC001154481263 861136812 /nfs/dbraw/zinc/13/68/12/861136812.db2.gz FUOUNNVUFVBPGG-UHFFFAOYSA-N -1 1 320.316 1.068 20 0 DDADMM NC(=O)c1ccccc1NCC(=O)Nc1cc(F)cc(F)c1[O-] ZINC001142541741 861175089 /nfs/dbraw/zinc/17/50/89/861175089.db2.gz GDEAIFZECQVZAI-UHFFFAOYSA-N -1 1 321.283 1.820 20 0 DDADMM Cc1nnc([C@H](C)NCCCNC(=O)c2[nH]nc(C)c2[O-])s1 ZINC001156626940 863207348 /nfs/dbraw/zinc/20/73/48/863207348.db2.gz QQNPGYXONNJKQE-QMMMGPOBSA-N -1 1 324.410 1.054 20 0 DDADMM COC(=O)C1=C[C@H](OCC(=O)[O-])C[N@@H+](Cc2ccccc2)C1 ZINC001225023328 881643074 /nfs/dbraw/zinc/64/30/74/881643074.db2.gz FUTQTORUGJVCJF-AWEZNQCLSA-N -1 1 305.330 1.071 20 0 DDADMM COC(=O)C1=C[C@H](OCC(=O)[O-])CN(Cc2ccccc2)C1 ZINC001225023328 881643086 /nfs/dbraw/zinc/64/30/86/881643086.db2.gz FUTQTORUGJVCJF-AWEZNQCLSA-N -1 1 305.330 1.071 20 0 DDADMM O=C(Nc1ccccc1[C@H](O)c1ccccn1)c1cnncc1[O-] ZINC001156769566 863334148 /nfs/dbraw/zinc/33/41/48/863334148.db2.gz GGZRBNMJOPRTLS-INIZCTEOSA-N -1 1 322.324 1.911 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1cnn2cccc(F)c12 ZINC001156910910 863440170 /nfs/dbraw/zinc/44/01/70/863440170.db2.gz FYMBRGFTWYHLPK-UHFFFAOYSA-N -1 1 317.280 1.626 20 0 DDADMM Cc1cc2c(c(NC3(C4CCOCC4)CC3)n1)C(=O)[N-]C2=O ZINC001156919486 863447429 /nfs/dbraw/zinc/44/74/29/863447429.db2.gz IWUUDMAWEGNOLZ-UHFFFAOYSA-N -1 1 301.346 1.645 20 0 DDADMM CC(C)c1noc(CNCCCNC(=O)c2ncccc2[O-])n1 ZINC001156949208 863470378 /nfs/dbraw/zinc/47/03/78/863470378.db2.gz JBTFBEWDYDKXRO-UHFFFAOYSA-N -1 1 319.365 1.203 20 0 DDADMM CC(=O)[C@@H]1CCCN1C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152680423 863490866 /nfs/dbraw/zinc/49/08/66/863490866.db2.gz YRHFXJQVSRBBCE-LBPRGKRZSA-N -1 1 300.314 1.840 20 0 DDADMM CC1(C)[C@H](O)C[C@@H]1NC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681201 863491124 /nfs/dbraw/zinc/49/11/24/863491124.db2.gz GXDLJIQTMLDOJY-QWHCGFSZSA-N -1 1 302.330 1.535 20 0 DDADMM N[C@H](C(=O)NCCCC(=O)[O-])c1cccc(Br)c1 ZINC001329304776 863588424 /nfs/dbraw/zinc/58/84/24/863588424.db2.gz WVWQVBMNNJUBSQ-NSHDSACASA-N -1 1 315.167 1.430 20 0 DDADMM Cc1nnc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)nc1C ZINC001157164705 863627362 /nfs/dbraw/zinc/62/73/62/863627362.db2.gz AGGQXDPOJMQFCR-UHFFFAOYSA-N -1 1 320.289 1.573 20 0 DDADMM CC(=O)Nc1cc(NC(=O)c2cnc3cccnc3c2[O-])ccn1 ZINC001153851877 864157945 /nfs/dbraw/zinc/15/79/45/864157945.db2.gz RSUNLYDZRNIRJW-UHFFFAOYSA-N -1 1 323.312 1.529 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@H]2CC=CCC2)C1 ZINC001330264088 864198219 /nfs/dbraw/zinc/19/82/19/864198219.db2.gz HBQLDBVYLMRFGU-GWCFXTLKSA-N -1 1 319.327 1.948 20 0 DDADMM Cc1cc2ncnc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)c2s1 ZINC001159190340 865156101 /nfs/dbraw/zinc/15/61/01/865156101.db2.gz UHJXRCHJKWKBLU-UHFFFAOYSA-N -1 1 315.318 1.772 20 0 DDADMM CCOC(=O)c1ccc(C)c(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159192565 865157764 /nfs/dbraw/zinc/15/77/64/865157764.db2.gz SNGJMDSEGGJVRK-UHFFFAOYSA-N -1 1 314.305 1.682 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc(Cl)nc3c2SCC3)[n-]1 ZINC001159192387 865158536 /nfs/dbraw/zinc/15/85/36/865158536.db2.gz OHFNEEZOJCIYEJ-UHFFFAOYSA-N -1 1 321.753 1.894 20 0 DDADMM CCSc1cncc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159194620 865160337 /nfs/dbraw/zinc/16/03/37/865160337.db2.gz GKVYDHQYWKLVDY-UHFFFAOYSA-N -1 1 305.323 1.361 20 0 DDADMM CCc1nc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(Cl)nc1C ZINC001159195968 865160384 /nfs/dbraw/zinc/16/03/84/865160384.db2.gz SZRQERNVSADTQJ-UHFFFAOYSA-N -1 1 321.728 1.774 20 0 DDADMM O=C([O-])/C=C/c1ccc(C(=O)NCCCCN2CCOCC2)o1 ZINC001332177673 865642103 /nfs/dbraw/zinc/64/21/03/865642103.db2.gz FSATXUQWPGRPNF-GQCTYLIASA-N -1 1 322.361 1.220 20 0 DDADMM CN(C(=O)C1CCC(NC(=O)C2CCCC2)CC1)c1nn[n-]n1 ZINC001361565427 881905388 /nfs/dbraw/zinc/90/53/88/881905388.db2.gz MNZJWOOARGNDIA-UHFFFAOYSA-N -1 1 320.397 1.028 20 0 DDADMM CCOc1ccc(Cl)nc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160848594 866148033 /nfs/dbraw/zinc/14/80/33/866148033.db2.gz KNQCWRSOLFTONL-ZETCQYMHSA-N -1 1 314.754 1.081 20 0 DDADMM COc1ccc2ccc(Nc3c(O)[nH]c(=O)[n-]c3=S)nc2c1 ZINC001160852774 866149631 /nfs/dbraw/zinc/14/96/31/866149631.db2.gz FXCJIAVIODBCJM-NSHDSACASA-N -1 1 316.342 1.191 20 0 DDADMM Cc1nn(C)cc1CCC(=O)OCCC[N-]C(=O)C(F)(F)F ZINC001322189360 868033581 /nfs/dbraw/zinc/03/35/81/868033581.db2.gz MUPSJUUZTPDDPS-UHFFFAOYSA-N -1 1 321.299 1.273 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCc2[nH]cnc2[C@@H]1c1cccnc1 ZINC001163273436 868294000 /nfs/dbraw/zinc/29/40/00/868294000.db2.gz UBJSRDCQRUOYDU-INIZCTEOSA-N -1 1 321.340 1.693 20 0 DDADMM Cn1c(CCNC(=O)C(=O)c2ccc([O-])cc2)nc2ccccc21 ZINC001322898769 868451517 /nfs/dbraw/zinc/45/15/17/868451517.db2.gz JWWYCAVNGLYIFV-UHFFFAOYSA-N -1 1 323.352 1.821 20 0 DDADMM CSc1nc(CNC(=O)C=C(C)c2cnccn2)cc(=O)[n-]1 ZINC001163577914 868544296 /nfs/dbraw/zinc/54/42/96/868544296.db2.gz NGNHTCPZCGCAPB-WEVVVXLNSA-N -1 1 317.374 1.414 20 0 DDADMM O=C(c1cnncc1[O-])N1CCC(Oc2ccc(F)nc2)CC1 ZINC001335874776 868591947 /nfs/dbraw/zinc/59/19/47/868591947.db2.gz KZSQMKBJBVLUOG-UHFFFAOYSA-N -1 1 318.308 1.400 20 0 DDADMM CSCC(=O)N(C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001381577673 882210664 /nfs/dbraw/zinc/21/06/64/882210664.db2.gz LUKBVEKWDGWNSB-UHFFFAOYSA-N -1 1 323.418 1.213 20 0 DDADMM CCON(CC)C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001336349090 868888887 /nfs/dbraw/zinc/88/88/87/868888887.db2.gz RBDJEFNLWCNVQT-UHFFFAOYSA-N -1 1 316.304 1.129 20 0 DDADMM O=C(Cc1ccc(SC2CC2)cc1)NN1CC(=O)[N-]C1=O ZINC001336669253 869084779 /nfs/dbraw/zinc/08/47/79/869084779.db2.gz VKRYGWCWBHIPQL-UHFFFAOYSA-N -1 1 305.359 1.067 20 0 DDADMM CC/C(C)=C\C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164447135 869194632 /nfs/dbraw/zinc/19/46/32/869194632.db2.gz GUUFPGUTZINMCI-FLIBITNWSA-N -1 1 307.398 1.243 20 0 DDADMM C=C/C(C)=C/CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166679485 870150959 /nfs/dbraw/zinc/15/09/59/870150959.db2.gz VNNCLBLDYHDOEP-UUILKARUSA-N -1 1 319.409 1.409 20 0 DDADMM O=C([N-]C1CCN(c2cc3c(nn2)CCC3)CC1)C(F)(F)F ZINC001166893902 870348440 /nfs/dbraw/zinc/34/84/40/870348440.db2.gz AJCKATAIPDYJGI-UHFFFAOYSA-N -1 1 314.311 1.613 20 0 DDADMM O=C([N-]C1CCN(c2ncnc3cc[nH]c32)CC1)C(F)(F)F ZINC001166893792 870349156 /nfs/dbraw/zinc/34/91/56/870349156.db2.gz HBIXVCGSSAQHKW-UHFFFAOYSA-N -1 1 313.283 1.605 20 0 DDADMM O=C(CCCn1ccccc1=O)Nc1n[nH]c2cc(O)ccc21 ZINC001298306529 870457667 /nfs/dbraw/zinc/45/76/67/870457667.db2.gz MIZQELWNBLPONP-UHFFFAOYSA-N -1 1 312.329 1.849 20 0 DDADMM CSc1ncc(C(=O)NCCCC2CCOCC2)c(=O)[n-]1 ZINC001298542471 870610619 /nfs/dbraw/zinc/61/06/19/870610619.db2.gz XXFQKJMNJZDGSW-UHFFFAOYSA-N -1 1 311.407 1.841 20 0 DDADMM C=C/C(C)=C/CC(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001298678660 870669605 /nfs/dbraw/zinc/66/96/05/870669605.db2.gz FLLLLWQCWRADTC-YTRUQHMWSA-N -1 1 303.362 1.544 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2ccc3cncnc3c2)ccc1O ZINC001203707732 870719958 /nfs/dbraw/zinc/71/99/58/870719958.db2.gz NVDPELBKNOAEKJ-UHFFFAOYSA-N -1 1 316.342 1.718 20 0 DDADMM O=C([O-])c1ccc(CN2CC3CC2(C(=O)N2CCCC2)C3)cc1 ZINC001204155654 870969880 /nfs/dbraw/zinc/96/98/80/870969880.db2.gz QUDADANOZRRMGE-UHFFFAOYSA-N -1 1 314.385 1.972 20 0 DDADMM COC(=O)c1cc([N-]C(=O)c2ncoc2[C@@H]2CCCO2)on1 ZINC001361839916 882474679 /nfs/dbraw/zinc/47/46/79/882474679.db2.gz UPGZXABOGFDRQN-QMMMGPOBSA-N -1 1 307.262 1.553 20 0 DDADMM CCOCCC(=O)N1CCC[C@H]1c1nn[n-]c1C(=O)OCC ZINC001303492516 871127834 /nfs/dbraw/zinc/12/78/34/871127834.db2.gz FNZLSWMIAUJNON-JTQLQIEISA-N -1 1 310.354 1.072 20 0 DDADMM CCOCCC(=O)N1CCC[C@H]1c1[n-]nnc1C(=O)OCC ZINC001303492516 871127845 /nfs/dbraw/zinc/12/78/45/871127845.db2.gz FNZLSWMIAUJNON-JTQLQIEISA-N -1 1 310.354 1.072 20 0 DDADMM CCOCCC(=O)N1CCC[C@H]1c1n[n-]nc1C(=O)OCC ZINC001303492516 871127852 /nfs/dbraw/zinc/12/78/52/871127852.db2.gz FNZLSWMIAUJNON-JTQLQIEISA-N -1 1 310.354 1.072 20 0 DDADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCCC2(CC2)C1 ZINC001317584841 871142154 /nfs/dbraw/zinc/14/21/54/871142154.db2.gz LEIQPMKMTSHICR-NSHDSACASA-N -1 1 307.398 1.029 20 0 DDADMM Cc1c[nH]c(=O)c(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c1 ZINC001304580971 871226324 /nfs/dbraw/zinc/22/63/24/871226324.db2.gz KZERCBWWWNWSCD-UHFFFAOYSA-N -1 1 306.347 1.118 20 0 DDADMM Cc1cc2cc([N-]S(=O)(=O)c3ccc(O)c(N)c3)cnc2[nH]1 ZINC001204928495 871291473 /nfs/dbraw/zinc/29/14/73/871291473.db2.gz BWUDLFWIOAMEKA-UHFFFAOYSA-N -1 1 318.358 1.960 20 0 DDADMM NC(=O)c1cnc(C(=O)Nc2ccc(F)cc2[O-])c(Cl)c1 ZINC001341368662 871625385 /nfs/dbraw/zinc/62/53/85/871625385.db2.gz DGDKPRAUOKCVAF-UHFFFAOYSA-N -1 1 309.684 1.931 20 0 DDADMM CNC(=O)c1ccc(NC(=O)Nc2ccc([O-])c(Cl)c2)nn1 ZINC001310953375 871664601 /nfs/dbraw/zinc/66/46/01/871664601.db2.gz PRSCVBCZHQCKQL-UHFFFAOYSA-N -1 1 321.724 1.839 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CC[C@H](c2ccco2)C1 ZINC001341610785 871734172 /nfs/dbraw/zinc/73/41/72/871734172.db2.gz GBHVMGPPGCHJGT-VIFPVBQESA-N -1 1 300.322 1.498 20 0 DDADMM O=C([O-])[C@]1(C(=O)N2CCC[C@@H](C3CCCCC3)C2)CNCCO1 ZINC001342265887 872113315 /nfs/dbraw/zinc/11/33/15/872113315.db2.gz TVDPFNQRMGRQBH-RHSMWYFYSA-N -1 1 324.421 1.249 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)Cc1ccccc1C ZINC001342698759 872339243 /nfs/dbraw/zinc/33/92/43/872339243.db2.gz DGHQCHQKEOJVOE-UHFFFAOYSA-N -1 1 312.381 1.347 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)Cc1ccccc1C ZINC001342698759 872339260 /nfs/dbraw/zinc/33/92/60/872339260.db2.gz DGHQCHQKEOJVOE-UHFFFAOYSA-N -1 1 312.381 1.347 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C[C@@H]2CCCO2)[n-]c1Cl ZINC001361920346 882642156 /nfs/dbraw/zinc/64/21/56/882642156.db2.gz BZHYZXWKTYNQSU-ZETCQYMHSA-N -1 1 301.730 1.747 20 0 DDADMM Cc1cc(C)n(Cc2cccc(C(=O)OCc3nn[n-]n3)c2)n1 ZINC001343954263 872818647 /nfs/dbraw/zinc/81/86/47/872818647.db2.gz PEPTZILJQRGTTL-UHFFFAOYSA-N -1 1 312.333 1.418 20 0 DDADMM CC(C)C[C@@H](CC(=O)Nc1nnn[n-]1)NC(=O)OC(C)(C)C ZINC001361929160 882657992 /nfs/dbraw/zinc/65/79/92/882657992.db2.gz KVFXCARKNNPFSR-VIFPVBQESA-N -1 1 312.374 1.468 20 0 DDADMM CC(C)C[C@@H](CC(=O)Nc1nn[n-]n1)NC(=O)OC(C)(C)C ZINC001361929160 882657998 /nfs/dbraw/zinc/65/79/98/882657998.db2.gz KVFXCARKNNPFSR-VIFPVBQESA-N -1 1 312.374 1.468 20 0 DDADMM CCC[C@@H](C)CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207651218 873442418 /nfs/dbraw/zinc/44/24/18/873442418.db2.gz PHZGMEKRMGPZPX-NEPJUHHUSA-N -1 1 309.414 1.417 20 0 DDADMM Cc1noc(C)c1CN(C)C(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001346162786 873628940 /nfs/dbraw/zinc/62/89/40/873628940.db2.gz ACTZZWKJDFHZCN-UHFFFAOYSA-N -1 1 308.363 1.656 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1CCC(F)(F)C1 ZINC001346957773 873951144 /nfs/dbraw/zinc/95/11/44/873951144.db2.gz MONOLNQKSHYKTF-SSDOTTSWSA-N -1 1 303.334 1.945 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC1(Br)CC1 ZINC001347173612 874042625 /nfs/dbraw/zinc/04/26/25/874042625.db2.gz QIMUFNDQEIYGLO-UHFFFAOYSA-N -1 1 318.196 1.437 20 0 DDADMM CCCCC1(C(=O)NCCNC(=O)c2ncccc2[O-])CC1 ZINC001348838421 874819967 /nfs/dbraw/zinc/81/99/67/874819967.db2.gz FDSLSEXILWSZMH-UHFFFAOYSA-N -1 1 305.378 1.604 20 0 DDADMM CCCCOc1ccc(C(=O)OCc2nn[n-]n2)cc1OC ZINC001348851136 874830666 /nfs/dbraw/zinc/83/06/66/874830666.db2.gz WEWYLMXKNUNJNQ-UHFFFAOYSA-N -1 1 306.322 1.744 20 0 DDADMM CCOCC(COCC)Oc1[n-]c(=O)ncc1Br ZINC001227139205 882873031 /nfs/dbraw/zinc/87/30/31/882873031.db2.gz ZQAZEMRDCKXNMR-UHFFFAOYSA-N -1 1 321.171 1.765 20 0 DDADMM C[C@H](CC(C)(C)C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209972755 875181956 /nfs/dbraw/zinc/18/19/56/875181956.db2.gz IQPYLXQGRRMAPD-NEPJUHHUSA-N -1 1 323.441 1.663 20 0 DDADMM O=C(CCC(F)F)NCCCNC(=O)c1ncccc1[O-] ZINC001349939464 875464439 /nfs/dbraw/zinc/46/44/39/875464439.db2.gz ASEFMAXKXFXLGV-UHFFFAOYSA-N -1 1 301.293 1.069 20 0 DDADMM O=C(c1cnc2c(F)cccc2c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001350222652 875585142 /nfs/dbraw/zinc/58/51/42/875585142.db2.gz HQIZBEFSJPKPCZ-JTQLQIEISA-N -1 1 312.308 1.517 20 0 DDADMM O=C(NCCCCCCNC(=O)C1CC1)c1ncccc1[O-] ZINC001350410622 875672712 /nfs/dbraw/zinc/67/27/12/875672712.db2.gz KFDOAOOITNWWKE-UHFFFAOYSA-N -1 1 305.378 1.604 20 0 DDADMM COC(=O)c1cc(NC(=O)CCCc2nn[n-]n2)ccc1C ZINC001362075009 882973083 /nfs/dbraw/zinc/97/30/83/882973083.db2.gz PBCOBMCSROAROE-UHFFFAOYSA-N -1 1 303.322 1.256 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ncccc1[O-])NCc1nncs1 ZINC001378968384 876030725 /nfs/dbraw/zinc/03/07/25/876030725.db2.gz VJDKFZYHWWGKKN-JTQLQIEISA-N -1 1 321.406 1.183 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@@H](Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)C1 ZINC001227430597 883011492 /nfs/dbraw/zinc/01/14/92/883011492.db2.gz MPRQZCODJPHNER-JGVFFNPUSA-N -1 1 322.321 1.265 20 0 DDADMM O=c1[nH]c2nc(O[C@H]3CCOc4ccccc43)[n-]c2c(=O)[nH]1 ZINC001227430220 883013037 /nfs/dbraw/zinc/01/30/37/883013037.db2.gz JNCRRAKUFQHVSZ-VIFPVBQESA-N -1 1 300.274 1.667 20 0 DDADMM O=c1[nH]c2[n-]c(O[C@H]3CCOc4ccccc43)nc2c(=O)[nH]1 ZINC001227430220 883013050 /nfs/dbraw/zinc/01/30/50/883013050.db2.gz JNCRRAKUFQHVSZ-VIFPVBQESA-N -1 1 300.274 1.667 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@]1(C)CCO[C@@H]1C1CC1 ZINC001351774550 876426625 /nfs/dbraw/zinc/42/66/25/876426625.db2.gz AVZGQEQGIZOFQF-IAQYHMDHSA-N -1 1 323.418 1.714 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1cncc(Br)c1 ZINC000304161897 876495293 /nfs/dbraw/zinc/49/52/93/876495293.db2.gz LUFBKVCNOKLDRM-SNVBAGLBSA-N -1 1 321.196 1.765 20 0 DDADMM CCOc1ncc([N-]S(=O)(=O)c2ccc(N)c(N)c2)cc1C ZINC001214935326 876512058 /nfs/dbraw/zinc/51/20/58/876512058.db2.gz SRPHYKBIRBKLSC-UHFFFAOYSA-N -1 1 322.390 1.754 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1ccoc1 ZINC001381951051 883038233 /nfs/dbraw/zinc/03/82/33/883038233.db2.gz KGFFOOYWABEDHP-JTQLQIEISA-N -1 1 303.318 1.271 20 0 DDADMM C[C@@H](CN(C)C(=O)C(C)(C)C1CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001379187940 876589727 /nfs/dbraw/zinc/58/97/27/876589727.db2.gz BSGGBYGEIMWROB-JTQLQIEISA-N -1 1 309.414 1.273 20 0 DDADMM CCC[C@@]1(CO)CCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001352198550 876644827 /nfs/dbraw/zinc/64/48/27/876644827.db2.gz LHCAKBNVVQQCHB-CQSZACIVSA-N -1 1 311.407 1.404 20 0 DDADMM CO[C@H](C)CCC(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001381958534 883057591 /nfs/dbraw/zinc/05/75/91/883057591.db2.gz CIYMTBRMLUVPKX-NWDGAFQWSA-N -1 1 323.393 1.179 20 0 DDADMM O=C(NCCCN(C(=O)C(F)F)C1CC1)c1ncccc1[O-] ZINC001352482428 876767098 /nfs/dbraw/zinc/76/70/98/876767098.db2.gz CRNPIAOOQHSDLX-UHFFFAOYSA-N -1 1 313.304 1.163 20 0 DDADMM COc1cc(CO)cc([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001216204134 876891898 /nfs/dbraw/zinc/89/18/98/876891898.db2.gz AYMSHKSDDXWRSV-UHFFFAOYSA-N -1 1 323.374 1.153 20 0 DDADMM CNC(=O)c1nccnc1[N-]c1cnc(Br)s1 ZINC001216266491 876905414 /nfs/dbraw/zinc/90/54/14/876905414.db2.gz KEFXXJDDJYJQJQ-UHFFFAOYSA-N -1 1 314.168 1.799 20 0 DDADMM Cc1ccc(N2CCC(Oc3nc(=O)[nH]c(=O)[n-]3)CC2)cc1 ZINC001227565911 883081399 /nfs/dbraw/zinc/08/13/99/883081399.db2.gz CZHCIANSLWCBJC-UHFFFAOYSA-N -1 1 302.334 1.639 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1(C2CCC2)CCC1 ZINC001379406511 877005964 /nfs/dbraw/zinc/00/59/64/877005964.db2.gz RVYSSWSAGYGEML-SNVBAGLBSA-N -1 1 307.398 1.075 20 0 DDADMM Cc1ccc(Cl)cc1C(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001379558892 877390278 /nfs/dbraw/zinc/39/02/78/877390278.db2.gz GUJHBYFZKPHSLC-VIFPVBQESA-N -1 1 323.784 1.380 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)NC1CCN(Cc2ccncc2)CC1 ZINC001354071073 877731024 /nfs/dbraw/zinc/73/10/24/877731024.db2.gz BJFSLIFDZUUFBX-CQSZACIVSA-N -1 1 305.378 1.273 20 0 DDADMM NC(=O)c1coc(CNC(=O)c2cc3ccccc3cc2[O-])n1 ZINC001300633090 878032501 /nfs/dbraw/zinc/03/25/01/878032501.db2.gz HDAJQSAIEIACGR-UHFFFAOYSA-N -1 1 311.297 1.562 20 0 DDADMM CC1(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)CCCCC1 ZINC001379891651 878239824 /nfs/dbraw/zinc/23/98/24/878239824.db2.gz IPSRJJJJROVVNN-GFCCVEGCSA-N -1 1 321.425 1.465 20 0 DDADMM CO[C@H](C)C(=O)NCCCN(C(=O)c1ncccc1[O-])C(C)C ZINC001356659276 879166549 /nfs/dbraw/zinc/16/65/49/879166549.db2.gz AXHJMOINXCRECR-GFCCVEGCSA-N -1 1 323.393 1.179 20 0 DDADMM CN(C)C(=O)c1cccc([N-]S(=O)(=O)c2ccccc2N)c1 ZINC000316209665 879308098 /nfs/dbraw/zinc/30/80/98/879308098.db2.gz YXOQQIOMWCLOMZ-UHFFFAOYSA-N -1 1 319.386 1.771 20 0 DDADMM Cc1occc1C(=O)N[C@@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001380380009 879509689 /nfs/dbraw/zinc/50/96/89/879509689.db2.gz RLVKETZNYKPGHY-UWVGGRQHSA-N -1 1 317.345 1.625 20 0 DDADMM C[C@H](CNC(=O)c1ccsc1)N(C)C(=O)c1ncccc1[O-] ZINC001380619467 880058502 /nfs/dbraw/zinc/05/85/02/880058502.db2.gz VNFKBZZDYJIEPB-SNVBAGLBSA-N -1 1 319.386 1.739 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CCN2C(=O)c1cc([O-])cc(F)c1F ZINC001276826705 880184108 /nfs/dbraw/zinc/18/41/08/880184108.db2.gz BZFLACAUIHIUJB-VXJOIVPMSA-N -1 1 310.300 1.363 20 0 DDADMM CC[C@@H]1CCC[C@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001380875931 880584309 /nfs/dbraw/zinc/58/43/09/880584309.db2.gz ARHVOOPPEUXIJB-VXGBXAGGSA-N -1 1 321.425 1.465 20 0 DDADMM Cc1noc(CN(C)CCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001380952037 880733022 /nfs/dbraw/zinc/73/30/22/880733022.db2.gz DQZMCHJMHCISJD-UHFFFAOYSA-N -1 1 319.365 1.073 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(C[C@H]3COC4(CCCCC4)O3)C2)n[nH]1 ZINC001414000901 880780305 /nfs/dbraw/zinc/78/03/05/880780305.db2.gz IZEYLKRSBNJUHI-STQMWFEESA-N -1 1 322.409 1.766 20 0 DDADMM CC(C)[C@@H]1CC[C@@H](C)C[C@H]1OC(=O)[C@@H](O)OCc1nn[n-]n1 ZINC001223030478 880789924 /nfs/dbraw/zinc/78/99/24/880789924.db2.gz XNDBCKXUUXWKLU-XZUYRWCXSA-N -1 1 312.370 1.039 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2CC[C@@H](C1)C2OCc1nn[n-]n1 ZINC001223029434 880791266 /nfs/dbraw/zinc/79/12/66/880791266.db2.gz LJPXXXPIWMGVKO-DHHPTOIESA-N -1 1 309.370 1.362 20 0 DDADMM CC(C)[C@H]1CC[C@@H](C)C[C@@]12OC[C@H](COCc1nn[n-]n1)O2 ZINC001223030686 880793535 /nfs/dbraw/zinc/79/35/35/880793535.db2.gz ZSXVOXZIILAHEP-COMQUAJESA-N -1 1 310.398 1.920 20 0 DDADMM CCCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2CC2)C1 ZINC001374377583 912673387 /nfs/dbraw/zinc/67/33/87/912673387.db2.gz XBMNVYHFAAJYED-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)c2cccc([O-])c2F)C1 ZINC001362193883 883261787 /nfs/dbraw/zinc/26/17/87/883261787.db2.gz ACLLGXJECYBRAN-WDEREUQCSA-N -1 1 308.353 1.908 20 0 DDADMM COC(=O)[C@@H](C)[C@H](NC(=O)c1cnncc1[O-])c1ccccc1 ZINC001362215448 883321872 /nfs/dbraw/zinc/32/18/72/883321872.db2.gz GLAYSCWIGSAXQK-HZMBPMFUSA-N -1 1 315.329 1.462 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H](c2cccnc2)C(F)(F)F)n1 ZINC001228311731 883441596 /nfs/dbraw/zinc/44/15/96/883441596.db2.gz NXZDYRFPVAJINS-SSDOTTSWSA-N -1 1 302.212 1.669 20 0 DDADMM COC(=O)c1nc(O[C@H](c2cccnc2)C(F)(F)F)n[n-]1 ZINC001228311731 883441601 /nfs/dbraw/zinc/44/16/01/883441601.db2.gz NXZDYRFPVAJINS-SSDOTTSWSA-N -1 1 302.212 1.669 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H]2CCc3cc(OC)ccc3C2)n1 ZINC001228314632 883441904 /nfs/dbraw/zinc/44/19/04/883441904.db2.gz RSDUPQKRAVLJRZ-GFCCVEGCSA-N -1 1 303.318 1.536 20 0 DDADMM COC(=O)c1nc(O[C@@H]2CCc3cc(OC)ccc3C2)n[n-]1 ZINC001228314632 883441907 /nfs/dbraw/zinc/44/19/07/883441907.db2.gz RSDUPQKRAVLJRZ-GFCCVEGCSA-N -1 1 303.318 1.536 20 0 DDADMM O=C(NN1CCCNC1=O)c1c([O-])cnc2c(F)cccc21 ZINC001362321528 883562075 /nfs/dbraw/zinc/56/20/75/883562075.db2.gz SKCVLFBAOFDGKZ-UHFFFAOYSA-N -1 1 304.281 1.140 20 0 DDADMM C[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC[S@@]1=O ZINC001362356780 883637128 /nfs/dbraw/zinc/63/71/28/883637128.db2.gz RXPVIKWGCMXWIS-FPVGNUTFSA-N -1 1 309.391 1.043 20 0 DDADMM CCC[C@@]1(CO)CCCN1C(=O)c1cnc(SC)[n-]c1=O ZINC001362401918 883728731 /nfs/dbraw/zinc/72/87/31/883728731.db2.gz HQOFMKSTIOLPFY-AWEZNQCLSA-N -1 1 311.407 1.671 20 0 DDADMM O=C(c1ccc([O-])cn1)N1C[C@H]2CN(c3ccccc3)C[C@@H]2C1 ZINC001362486752 883917987 /nfs/dbraw/zinc/91/79/87/883917987.db2.gz LKECWCXVFRMUBE-ZIAGYGMSSA-N -1 1 309.369 1.996 20 0 DDADMM CCC(CC)(NC(=O)CO[C@@H]1CCC[C@@H](C)C1)c1nn[n-]n1 ZINC001362496278 883939944 /nfs/dbraw/zinc/93/99/44/883939944.db2.gz LIKUCNQBFCKHOC-VXGBXAGGSA-N -1 1 309.414 1.927 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@H](O)C(C)(C)C ZINC001362617297 884232372 /nfs/dbraw/zinc/23/23/72/884232372.db2.gz YEZQMEHENBNGMK-GUBZILKMSA-N -1 1 324.343 1.451 20 0 DDADMM CCC(CC)(NC(=O)c1coc(C2CCC2)n1)c1nn[n-]n1 ZINC001362622457 884247143 /nfs/dbraw/zinc/24/71/43/884247143.db2.gz BTEKVBSMMVVHCH-UHFFFAOYSA-N -1 1 304.354 1.901 20 0 DDADMM CSc1ncc(C(=O)NC2(C3CC3)CCOCC2)c(=O)[n-]1 ZINC001362628777 884262258 /nfs/dbraw/zinc/26/22/58/884262258.db2.gz ZRUDCFUNYQFSFP-UHFFFAOYSA-N -1 1 309.391 1.593 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@H](Oc2nc(C)[n-]c(=O)c2OC)C1 ZINC001230026009 884286142 /nfs/dbraw/zinc/28/61/42/884286142.db2.gz NCZOAZOGLNVHMI-QWRGUYRKSA-N -1 1 310.350 2.000 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2conc2C2CC2)n[n-]1 ZINC001362660803 884349223 /nfs/dbraw/zinc/34/92/23/884349223.db2.gz OEYWHDVSMFIAPH-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2conc2C2CC2)[n-]1 ZINC001362660803 884349244 /nfs/dbraw/zinc/34/92/44/884349244.db2.gz OEYWHDVSMFIAPH-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2conc2C2CC2)n1 ZINC001362660803 884349265 /nfs/dbraw/zinc/34/92/65/884349265.db2.gz OEYWHDVSMFIAPH-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM COC(=O)c1c[n-]c(O[C@@H](Cc2ccccc2)C(=O)OC)n1 ZINC001230280164 884406230 /nfs/dbraw/zinc/40/62/30/884406230.db2.gz NJKQAPWTDYCXKY-LBPRGKRZSA-N -1 1 304.302 1.359 20 0 DDADMM Cn1cc([C@H]2CN(C(=O)c3ccc(F)c([O-])c3)CCN2)cn1 ZINC001362743133 884529318 /nfs/dbraw/zinc/52/93/18/884529318.db2.gz VSPLPFZROMZLRE-CYBMUJFWSA-N -1 1 304.325 1.052 20 0 DDADMM CCC(CC)(NC(=O)Cc1nc(C2CC2)cs1)c1nn[n-]n1 ZINC001362786812 884636620 /nfs/dbraw/zinc/63/66/20/884636620.db2.gz QICNMRNWCIMIMS-UHFFFAOYSA-N -1 1 320.422 1.908 20 0 DDADMM O=C(N[C@@H]1CCCc2c1cnn2CCO)c1cccc([O-])c1F ZINC001362809831 884695546 /nfs/dbraw/zinc/69/55/46/884695546.db2.gz FVDQMZYPPCLGCV-GFCCVEGCSA-N -1 1 319.336 1.528 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccnn1C1CCCC1 ZINC001362830823 884746431 /nfs/dbraw/zinc/74/64/31/884746431.db2.gz MTBMTZVXORAXCU-UHFFFAOYSA-N -1 1 317.397 1.810 20 0 DDADMM Cc1cc(C)n([C@H](C)CC(=O)N(Cc2nn[n-]n2)CC(C)C)n1 ZINC001362833518 884752129 /nfs/dbraw/zinc/75/21/29/884752129.db2.gz UYNXEWQUXKUJKT-CYBMUJFWSA-N -1 1 319.413 1.649 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1oc(C2CC2)nc1C(F)F ZINC001362833245 884752857 /nfs/dbraw/zinc/75/28/57/884752857.db2.gz GGKRIWLDZLOQEO-UHFFFAOYSA-N -1 1 324.291 1.812 20 0 DDADMM C[C@H]1[C@@H](O)CCN1C(=O)c1ccc(Br)cc1[O-] ZINC001362853429 884801256 /nfs/dbraw/zinc/80/12/56/884801256.db2.gz JCXDHEYORFKYLA-XVKPBYJWSA-N -1 1 300.152 1.750 20 0 DDADMM COCc1ccnc(NC(=O)c2cnc(SC)[n-]c2=O)c1 ZINC001362891105 884896835 /nfs/dbraw/zinc/89/68/35/884896835.db2.gz YZDMJBQUCJMYSH-UHFFFAOYSA-N -1 1 306.347 1.698 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC(C2N=NC(=O)O2)CC1 ZINC001362903812 884938747 /nfs/dbraw/zinc/93/87/47/884938747.db2.gz BFMRKYCROQEHIU-UHFFFAOYSA-N -1 1 307.281 1.640 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccccc2OC)n[n-]1 ZINC001362938303 885033101 /nfs/dbraw/zinc/03/31/01/885033101.db2.gz SEPYKIYVRMIOGH-VIFPVBQESA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccccc2OC)[n-]1 ZINC001362938303 885033120 /nfs/dbraw/zinc/03/31/20/885033120.db2.gz SEPYKIYVRMIOGH-VIFPVBQESA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccccc2OC)n1 ZINC001362938303 885033139 /nfs/dbraw/zinc/03/31/39/885033139.db2.gz SEPYKIYVRMIOGH-VIFPVBQESA-N -1 1 318.333 1.481 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cn1ncc(Br)c1C ZINC001362950694 885065777 /nfs/dbraw/zinc/06/57/77/885065777.db2.gz SJNLPVHHKPXTSS-UHFFFAOYSA-N -1 1 314.143 1.330 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)c1cnccn1 ZINC001362991518 885166845 /nfs/dbraw/zinc/16/68/45/885166845.db2.gz YTBXPJCHTGYYIB-SECBINFHSA-N -1 1 301.350 1.895 20 0 DDADMM CCn1ccnc1NC(=O)c1cc(C(=O)OC(C)(C)C)n[n-]1 ZINC001363025827 885257319 /nfs/dbraw/zinc/25/73/19/885257319.db2.gz UMSQUCBWKWKXIE-UHFFFAOYSA-N -1 1 305.338 1.834 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2[C@H]3CCCO[C@H]32)[n-]c1=O ZINC001363041636 885302216 /nfs/dbraw/zinc/30/22/16/885302216.db2.gz QPPOXRXSGVEPJD-LYIQGSDWSA-N -1 1 319.361 1.279 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cnnc(C)c2)[n-]c1=O ZINC001363042792 885308359 /nfs/dbraw/zinc/30/83/59/885308359.db2.gz VWOHDPAHHXFOCF-NSHDSACASA-N -1 1 315.333 1.267 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccoc2Cl)n[n-]1 ZINC001363045714 885315599 /nfs/dbraw/zinc/31/55/99/885315599.db2.gz IZISPPZYWGNIFL-LURJTMIESA-N -1 1 312.713 1.719 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccoc2Cl)[n-]1 ZINC001363045714 885315615 /nfs/dbraw/zinc/31/56/15/885315615.db2.gz IZISPPZYWGNIFL-LURJTMIESA-N -1 1 312.713 1.719 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccoc2Cl)n1 ZINC001363045714 885315635 /nfs/dbraw/zinc/31/56/35/885315635.db2.gz IZISPPZYWGNIFL-LURJTMIESA-N -1 1 312.713 1.719 20 0 DDADMM CSc1ncc(C(=O)N2C[C@]3(CCCO3)[C@H]2C2CC2)c(=O)[n-]1 ZINC001363058741 885357178 /nfs/dbraw/zinc/35/71/78/885357178.db2.gz OIJOIRBGCYWDOL-IAQYHMDHSA-N -1 1 321.402 1.688 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H]2[C@H]2CCCOC2)c(=O)[n-]1 ZINC001363080767 885418412 /nfs/dbraw/zinc/41/84/12/885418412.db2.gz NRGHTMFMZPQNNR-ONGXEEELSA-N -1 1 309.391 1.545 20 0 DDADMM CC[C@@H]1CCC[C@]1(C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001363113100 885492158 /nfs/dbraw/zinc/49/21/58/885492158.db2.gz URZKSBREPKZOND-PELKAZGASA-N -1 1 300.384 1.363 20 0 DDADMM CC[C@@H]1CCC[C@]1(C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001363113100 885492170 /nfs/dbraw/zinc/49/21/70/885492170.db2.gz URZKSBREPKZOND-PELKAZGASA-N -1 1 300.384 1.363 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@](C)(NC(=O)c2cnncc2[O-])C1 ZINC001363121137 885518058 /nfs/dbraw/zinc/51/80/58/885518058.db2.gz AKJUVQWUMNXZNU-OAHLLOKOSA-N -1 1 322.365 1.312 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@@H]1CCCC1(F)F ZINC001363240957 885798680 /nfs/dbraw/zinc/79/86/80/885798680.db2.gz SUUSPTFEGVWBEQ-ZETCQYMHSA-N -1 1 317.292 1.452 20 0 DDADMM Cc1nc(C(C)C)ncc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363283206 885907028 /nfs/dbraw/zinc/90/70/28/885907028.db2.gz QFSRANYHRJTPJU-UHFFFAOYSA-N -1 1 315.381 1.441 20 0 DDADMM C[C@H](C[C@@H](C)NC(=O)C(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001383565470 886040357 /nfs/dbraw/zinc/04/03/57/886040357.db2.gz MQWNZYCCXCFZRQ-GHMZBOCLSA-N -1 1 307.394 1.846 20 0 DDADMM CSc1ncc(C(=O)NCc2ncccc2N(C)C)c(=O)[n-]1 ZINC001363373547 886164381 /nfs/dbraw/zinc/16/43/81/886164381.db2.gz IPNFFWBEWJCRQY-UHFFFAOYSA-N -1 1 319.390 1.295 20 0 DDADMM CC(C)(C)OC1CC(CC(=O)NC2(c3nn[n-]n3)CCC2)C1 ZINC001363376014 886170950 /nfs/dbraw/zinc/17/09/50/886170950.db2.gz JSUXNVNLJCGBOS-UHFFFAOYSA-N -1 1 307.398 1.679 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc(C(F)(F)F)ccn1 ZINC001363376101 886171024 /nfs/dbraw/zinc/17/10/24/886171024.db2.gz MEERNKUVJOPBML-UHFFFAOYSA-N -1 1 312.255 1.423 20 0 DDADMM O=C(NC[C@H](O)Cc1cccc(Cl)c1)c1ncccc1[O-] ZINC001363380948 886183537 /nfs/dbraw/zinc/18/35/37/886183537.db2.gz WZMLHZGMJHVXIW-GFCCVEGCSA-N -1 1 306.749 1.774 20 0 DDADMM CC[C@H](O)Cn1cc(C(=O)Nc2cc([O-])c(F)cc2F)nn1 ZINC001363383843 886187632 /nfs/dbraw/zinc/18/76/32/886187632.db2.gz GNNJEBCLOVLELJ-ZETCQYMHSA-N -1 1 312.276 1.285 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2n[nH]cc2C(F)(F)F)n1 ZINC001363383612 886187966 /nfs/dbraw/zinc/18/79/66/886187966.db2.gz BLPWMSFKEBXEAO-UHFFFAOYSA-N -1 1 317.227 1.581 20 0 DDADMM CC(C)(C)[C@H](NC(N)=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001363385033 886191118 /nfs/dbraw/zinc/19/11/18/886191118.db2.gz RMMVOOCCMYZPMI-LLVKDONJSA-N -1 1 313.785 1.745 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)c1O ZINC001363431445 886321530 /nfs/dbraw/zinc/32/15/30/886321530.db2.gz HNRDJSLGQQZAOG-JTQLQIEISA-N -1 1 317.349 1.009 20 0 DDADMM CC[C@@H](CC(=O)N[C@@H](COC)c1nn[n-]n1)c1ccc(C)cc1 ZINC001363437391 886336228 /nfs/dbraw/zinc/33/62/28/886336228.db2.gz RBOQUYXMFBHCSR-JSGCOSHPSA-N -1 1 317.393 1.896 20 0 DDADMM Cn1ccnc1[C@H]1C[C@H](NC(=O)c2ccc([O-])cn2)CCO1 ZINC001363443903 886352336 /nfs/dbraw/zinc/35/23/36/886352336.db2.gz LAVLAFAULAMPFO-ZWNOBZJWSA-N -1 1 302.334 1.171 20 0 DDADMM CCc1nnc(C)cc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001363459833 886396850 /nfs/dbraw/zinc/39/68/50/886396850.db2.gz ZGBJRHZHDSMKCH-UHFFFAOYSA-N -1 1 319.390 1.495 20 0 DDADMM Cn1nccc1[C@H](O)C1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001363482689 886456862 /nfs/dbraw/zinc/45/68/62/886456862.db2.gz KSIBVTFLHQEFJH-OAHLLOKOSA-N -1 1 316.361 1.107 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](C)c2ccncc2)c(=O)[n-]1 ZINC001363507582 886512935 /nfs/dbraw/zinc/51/29/35/886512935.db2.gz DKLQKWFWCZLXMB-SECBINFHSA-N -1 1 304.375 1.833 20 0 DDADMM CSc1ncc(C(=O)N2C[C@H](C)Cn3nccc32)c(=O)[n-]1 ZINC001363525408 886549754 /nfs/dbraw/zinc/54/97/54/886549754.db2.gz DXCBDDKWMIQGGV-QMMMGPOBSA-N -1 1 305.363 1.397 20 0 DDADMM COC(=O)[C@H](Cc1cncs1)NC(=O)c1c([O-])cccc1F ZINC001363558841 886632813 /nfs/dbraw/zinc/63/28/13/886632813.db2.gz MIYOUIJFDMNQJJ-JTQLQIEISA-N -1 1 324.333 1.502 20 0 DDADMM COc1cc(OC)nc(C(=O)NCc2ccc([O-])c(Cl)c2)n1 ZINC001363562048 886640687 /nfs/dbraw/zinc/64/06/87/886640687.db2.gz VAZCRBHGMSEZPL-UHFFFAOYSA-N -1 1 323.736 1.783 20 0 DDADMM CCCc1nc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)co1 ZINC001363600103 886735298 /nfs/dbraw/zinc/73/52/98/886735298.db2.gz DIWVZAJERHBMKQ-UHFFFAOYSA-N -1 1 320.349 1.796 20 0 DDADMM COC[C@H]1CN(Cc2cc(Cl)ncc2[O-])Cc2cn(C)nc21 ZINC001233036300 886743234 /nfs/dbraw/zinc/74/32/34/886743234.db2.gz MWEVWFUCPOENAH-GFCCVEGCSA-N -1 1 322.796 1.920 20 0 DDADMM CCc1cc(C(=O)OC)ccc1NC(=O)CCc1nn[n-]n1 ZINC001363615128 886770056 /nfs/dbraw/zinc/77/00/56/886770056.db2.gz ZCOIRQVRLBUBRL-UHFFFAOYSA-N -1 1 303.322 1.120 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@H]1CCCS1 ZINC001363641849 886855038 /nfs/dbraw/zinc/85/50/38/886855038.db2.gz MOIFJIIZNNNDML-GUBZILKMSA-N -1 1 324.368 1.940 20 0 DDADMM COc1cnc(C2(NC(=O)[C@@H](O)C3CCC3)CCCC2)[n-]c1=O ZINC001363709723 887038075 /nfs/dbraw/zinc/03/80/75/887038075.db2.gz OXIMGXBILBSASJ-LBPRGKRZSA-N -1 1 321.377 1.237 20 0 DDADMM C[C@@H](CC(N)=O)c1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001363761550 887160948 /nfs/dbraw/zinc/16/09/48/887160948.db2.gz OHLZKBODEFIJLS-VIFPVBQESA-N -1 1 300.318 1.413 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1CCCc2nn(C)cc21 ZINC001363805771 887266112 /nfs/dbraw/zinc/26/61/12/887266112.db2.gz QYZQRTULZUVPED-NSHDSACASA-N -1 1 317.397 1.038 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)c1cccc(C)c1 ZINC001363967332 887631643 /nfs/dbraw/zinc/63/16/43/887631643.db2.gz FULMVKXNTNFRHT-JTQLQIEISA-N -1 1 323.374 1.544 20 0 DDADMM CCN(C(=O)CC1CCC1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001384598161 887746630 /nfs/dbraw/zinc/74/66/30/887746630.db2.gz PKVPMUJFFMKSLN-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC001364099455 887916273 /nfs/dbraw/zinc/91/62/73/887916273.db2.gz YRPOVFDEMYUPMW-LLVKDONJSA-N -1 1 300.318 1.103 20 0 DDADMM Cc1cncc(S(=O)(=O)[N-][C@H]([C@H]2CCOC2)C(F)(F)F)c1 ZINC001364127711 887981234 /nfs/dbraw/zinc/98/12/34/887981234.db2.gz GCTAYWDCWZQFRY-GXSJLCMTSA-N -1 1 324.324 1.636 20 0 DDADMM COc1ccc(OC[C@H](C)Oc2c(C(N)=O)nc[n-]c2=O)cc1 ZINC001234472657 888004814 /nfs/dbraw/zinc/00/48/14/888004814.db2.gz WJWCQYKRWXUNBU-VIFPVBQESA-N -1 1 319.317 1.136 20 0 DDADMM CC(C)[C@@H](O)[C@@H](NC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC001364149493 888028835 /nfs/dbraw/zinc/02/88/35/888028835.db2.gz QIXRCZMBNLRKFM-LSDHHAIUSA-N -1 1 303.366 1.007 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H]1Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O ZINC001234565632 888104733 /nfs/dbraw/zinc/10/47/33/888104733.db2.gz OOJDYQMRPHIRHV-BDAKNGLRSA-N -1 1 323.349 1.125 20 0 DDADMM CC[C@@H]1OC(=O)C(Oc2c(=O)[n-]c(C)nc2C(=O)OC)=C1C ZINC001234565781 888105965 /nfs/dbraw/zinc/10/59/65/888105965.db2.gz ZPCBTVCUQGMMFO-QMMMGPOBSA-N -1 1 308.290 1.265 20 0 DDADMM CN(C(=O)c1cc(Br)c(F)cc1[O-])c1nn[nH]n1 ZINC001364198322 888129486 /nfs/dbraw/zinc/12/94/86/888129486.db2.gz QBBMFECYRCDJJD-UHFFFAOYSA-N -1 1 316.090 1.084 20 0 DDADMM CCOc1nc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)co1 ZINC001364231644 888184596 /nfs/dbraw/zinc/18/45/96/888184596.db2.gz CPCAEJXKLUCXNT-UHFFFAOYSA-N -1 1 322.321 1.243 20 0 DDADMM CCC[C@@H](CC)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385305522 888841781 /nfs/dbraw/zinc/84/17/81/888841781.db2.gz FJSVEPPHPPZTFD-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)CCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001385567790 889336731 /nfs/dbraw/zinc/33/67/31/889336731.db2.gz NRFIIQBQSPHJCV-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@@H]1[C@H](C)S(=O)(=O)CCN1Cc1ccc([O-])c(F)c1F ZINC001364924614 889678698 /nfs/dbraw/zinc/67/86/98/889678698.db2.gz BOIWUAWRFHXNAA-BDAKNGLRSA-N -1 1 305.346 1.678 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](C)C(C)(C)C ZINC001364936303 889702277 /nfs/dbraw/zinc/70/22/77/889702277.db2.gz YPZVNQOUYPXXOD-MRVPVSSYSA-N -1 1 303.384 1.157 20 0 DDADMM O=S(=O)([N-]C1([C@@H]2CCCO2)CCC1)c1c[nH]nc1Cl ZINC001365000327 889861085 /nfs/dbraw/zinc/86/10/85/889861085.db2.gz ABIUZBWXTKISET-VIFPVBQESA-N -1 1 305.787 1.443 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccnnc2C)[n-]c1=O ZINC001365147590 890195394 /nfs/dbraw/zinc/19/53/94/890195394.db2.gz QJXMNGRHVRKGEH-NSHDSACASA-N -1 1 315.333 1.267 20 0 DDADMM CC(C)(C)CC(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001386256396 890658410 /nfs/dbraw/zinc/65/84/10/890658410.db2.gz IXPTXJWRINWTHL-PHIMTYICSA-N -1 1 305.378 1.600 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC[C@@H]1CC[C@H]2C[C@H]21 ZINC001365460954 890837128 /nfs/dbraw/zinc/83/71/28/890837128.db2.gz GIIWBBNXOVRSNN-GUBZILKMSA-N -1 1 302.421 1.866 20 0 DDADMM CCc1nc(C)cc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)n1 ZINC001244789799 891920737 /nfs/dbraw/zinc/92/07/37/891920737.db2.gz FGQKBRBDRFPTCK-UHFFFAOYSA-N -1 1 322.390 1.790 20 0 DDADMM COc1cc(-c2nc(C)cc3c2C(=O)[N-]C3=O)c(Cl)cn1 ZINC001245036481 891977934 /nfs/dbraw/zinc/97/79/34/891977934.db2.gz HSKSNLSSCNJNJM-UHFFFAOYSA-N -1 1 303.705 1.998 20 0 DDADMM COC(=O)c1cc(OC)cc(-c2ccc(-c3nnn[n-]3)nc2)c1 ZINC001245357769 892097525 /nfs/dbraw/zinc/09/75/25/892097525.db2.gz VJPWFAVQGJYBKP-UHFFFAOYSA-N -1 1 311.301 1.724 20 0 DDADMM COC(=O)c1cc(OC)cc(-c2ccc(-c3nn[n-]n3)nc2)c1 ZINC001245357769 892097544 /nfs/dbraw/zinc/09/75/44/892097544.db2.gz VJPWFAVQGJYBKP-UHFFFAOYSA-N -1 1 311.301 1.724 20 0 DDADMM Cc1cc2c(c(-c3ccc4c(c3)OCC(=O)N4)n1)C(=O)[N-]C2=O ZINC001245452130 892126293 /nfs/dbraw/zinc/12/62/93/892126293.db2.gz SPZKVIWTJNGGKJ-UHFFFAOYSA-N -1 1 309.281 1.272 20 0 DDADMM Cc1cc(C)n(-c2ccc(-c3noc(Cc4nnn[n-]4)n3)cn2)n1 ZINC001247140809 893121512 /nfs/dbraw/zinc/12/15/12/893121512.db2.gz ZCBIHKIWXXLHGY-UHFFFAOYSA-N -1 1 323.320 1.038 20 0 DDADMM Cc1cc(C)n(-c2ccc(-c3noc(Cc4nn[n-]n4)n3)cn2)n1 ZINC001247140809 893121521 /nfs/dbraw/zinc/12/15/21/893121521.db2.gz ZCBIHKIWXXLHGY-UHFFFAOYSA-N -1 1 323.320 1.038 20 0 DDADMM CS(=O)(=O)CCn1cc(Nc2ccnc(Cl)c2[O-])cn1 ZINC001249673376 894093591 /nfs/dbraw/zinc/09/35/91/894093591.db2.gz FJUMHUQWHDMMKO-UHFFFAOYSA-N -1 1 316.770 1.425 20 0 DDADMM COc1ncc(-c2ccccc2C(N)=O)cc1[N-]S(C)(=O)=O ZINC001250610050 894342008 /nfs/dbraw/zinc/34/20/08/894342008.db2.gz QTYSUZGYDFAIIB-UHFFFAOYSA-N -1 1 321.358 1.228 20 0 DDADMM CS(=O)(=O)Nc1c(O)cc(S(=O)(=O)[O-])c2ccccc21 ZINC001252712954 895412195 /nfs/dbraw/zinc/41/21/95/895412195.db2.gz RBDMQEKDRTUJJK-UHFFFAOYSA-N -1 1 317.344 1.164 20 0 DDADMM CCCC[C@H](CC)COC[C@H](O)C[NH2+]CCP(=O)([O-])[O-] ZINC001253023472 895611143 /nfs/dbraw/zinc/61/11/43/895611143.db2.gz WFRNULJJAGAVMP-QWHCGFSZSA-N -1 1 311.359 1.348 20 0 DDADMM CCC(=O)NC[C@@H]1[C@@H](C)CCCN1C(=O)c1ncccc1[O-] ZINC001388818025 895978555 /nfs/dbraw/zinc/97/85/55/895978555.db2.gz MNUVNUISKJVUSB-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCC(=O)N2CCCCC2)c1 ZINC001292828648 914030115 /nfs/dbraw/zinc/03/01/15/914030115.db2.gz BLLNRWJJEUXBTH-UHFFFAOYSA-N -1 1 304.346 1.255 20 0 DDADMM CCC[C@H](C)CC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367315509 896424856 /nfs/dbraw/zinc/42/48/56/896424856.db2.gz JEZXHGYOLQEEJJ-LBPRGKRZSA-N -1 1 323.441 1.855 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CC1CCCCCC1 ZINC001367698788 897503365 /nfs/dbraw/zinc/50/33/65/897503365.db2.gz MSZOHVWAISNJNC-LLVKDONJSA-N -1 1 309.414 1.465 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2ccc3c(c2)CC(=O)NC3)c1 ZINC001256958649 897644832 /nfs/dbraw/zinc/64/48/32/897644832.db2.gz OGNJETYWIMVKHT-UHFFFAOYSA-N -1 1 316.382 1.968 20 0 DDADMM CC[C@H](SC)C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001389629826 897677129 /nfs/dbraw/zinc/67/71/29/897677129.db2.gz GDEICIUNEIXAAU-JQWIXIFHSA-N -1 1 323.418 1.260 20 0 DDADMM O=S(=O)([N-]CCc1cncs1)c1cc(F)ccc1F ZINC001258949322 898377026 /nfs/dbraw/zinc/37/70/26/898377026.db2.gz VWMBQUIMYVOQNS-UHFFFAOYSA-N -1 1 304.343 1.942 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(-c2ccc(O)cc2)cc1 ZINC001259024880 898421735 /nfs/dbraw/zinc/42/17/35/898421735.db2.gz KFLQFXAPPRYTKZ-UHFFFAOYSA-N -1 1 321.354 1.974 20 0 DDADMM Cc1nc(CNC2(CNC(=O)c3ncccc3[O-])CC2)c(C)o1 ZINC001367996061 898438728 /nfs/dbraw/zinc/43/87/28/898438728.db2.gz SCPZLZCKOGKTRE-UHFFFAOYSA-N -1 1 316.361 1.444 20 0 DDADMM O=C1CCC([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC001259081693 898455617 /nfs/dbraw/zinc/45/56/17/898455617.db2.gz JMBRVDCNACVVOR-UHFFFAOYSA-N -1 1 307.293 1.894 20 0 DDADMM CC(=O)NC[C@H](C)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259082458 898457915 /nfs/dbraw/zinc/45/79/15/898457915.db2.gz BUGOVKNNTFZRBP-ZETCQYMHSA-N -1 1 324.324 1.154 20 0 DDADMM COC(=O)c1scc([N-]S(=O)(=O)C2CC2)c1C(=O)OC ZINC001259270180 898561240 /nfs/dbraw/zinc/56/12/40/898561240.db2.gz BBSQYFLLMCYGRY-UHFFFAOYSA-N -1 1 319.360 1.225 20 0 DDADMM CCCC[C@@H](C)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001390055628 898588366 /nfs/dbraw/zinc/58/83/66/898588366.db2.gz VSCGUGJRKILNIN-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM CC(C)(O)[C@H](F)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259461855 898681738 /nfs/dbraw/zinc/68/17/38/898681738.db2.gz GHADJVCZVLAVFQ-SNVBAGLBSA-N -1 1 313.753 1.866 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)c2ccc(Cl)cc2F)c(=O)[nH]1 ZINC001259516029 898709327 /nfs/dbraw/zinc/70/93/27/898709327.db2.gz XENDHDUJEKCDNR-SECBINFHSA-N -1 1 317.729 1.155 20 0 DDADMM Cc1nc2c([N-]S(=O)(=O)c3cnn(C)c3)cccn2c1C ZINC001259821686 898831071 /nfs/dbraw/zinc/83/10/71/898831071.db2.gz LURYEAVRTYYQJA-UHFFFAOYSA-N -1 1 305.363 1.485 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H]1C[N-]S(=O)(=O)C(F)F ZINC001259960317 898982042 /nfs/dbraw/zinc/98/20/42/898982042.db2.gz NVFOXLOGTDXSNC-QMMMGPOBSA-N -1 1 314.354 1.528 20 0 DDADMM CN1CCC[C@@](C)(NS(=O)(=O)c2cccc(C(=O)[O-])c2)C1 ZINC001260194476 899082742 /nfs/dbraw/zinc/08/27/42/899082742.db2.gz MPVQCCXQPRKMGD-CQSZACIVSA-N -1 1 312.391 1.147 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cnc(Cl)nc2)cc1 ZINC001260221173 899096360 /nfs/dbraw/zinc/09/63/60/899096360.db2.gz MCUQYSHXOLNXMQ-UHFFFAOYSA-N -1 1 313.722 1.629 20 0 DDADMM CN(C)c1cccnc1NS(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC001260223626 899097457 /nfs/dbraw/zinc/09/74/57/899097457.db2.gz VNPSFIHSUWJIBX-UHFFFAOYSA-N -1 1 321.358 1.647 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)CO1 ZINC001260287331 899112814 /nfs/dbraw/zinc/11/28/14/899112814.db2.gz BWTQLPUVVCXONH-RXMQYKEDSA-N -1 1 311.693 1.212 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cccc(Oc2ccncc2)c1 ZINC001293234110 914356829 /nfs/dbraw/zinc/35/68/29/914356829.db2.gz HOUWFZBSYNUORE-UHFFFAOYSA-N -1 1 310.317 1.958 20 0 DDADMM CS[C@@H](C)CC(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001293253977 914371539 /nfs/dbraw/zinc/37/15/39/914371539.db2.gz SPIKDONIWPTMBT-JTQLQIEISA-N -1 1 311.407 1.165 20 0 DDADMM CC(C)CC(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396772867 914436993 /nfs/dbraw/zinc/43/69/93/914436993.db2.gz AAMNZIOVIHSDIB-GFCCVEGCSA-N -1 1 305.378 1.506 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)C[C@@H]1CC=CCC1 ZINC001263015287 900468612 /nfs/dbraw/zinc/46/86/12/900468612.db2.gz IDFJJBKBHPGNAW-LLVKDONJSA-N -1 1 302.386 1.194 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)C[C@@H]1CC=CCC1 ZINC001263015287 900468616 /nfs/dbraw/zinc/46/86/16/900468616.db2.gz IDFJJBKBHPGNAW-LLVKDONJSA-N -1 1 302.386 1.194 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N(C)[C@@H](C)c1cccs1 ZINC001263118460 900505740 /nfs/dbraw/zinc/50/57/40/900505740.db2.gz FNXBHVJUUCHDHN-QMMMGPOBSA-N -1 1 320.378 1.900 20 0 DDADMM CCC(CC)CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001369395971 901182255 /nfs/dbraw/zinc/18/22/55/901182255.db2.gz SQDATUZNYXPOGB-ZYHUDNBSSA-N -1 1 309.414 1.416 20 0 DDADMM CC[C@H](C)CC(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001396823570 914542588 /nfs/dbraw/zinc/54/25/88/914542588.db2.gz YGEFZQFXSZPJON-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H](CNC(=O)c1ccncc1)N(C)C(=O)c1ncccc1[O-] ZINC001369774666 901917470 /nfs/dbraw/zinc/91/74/70/901917470.db2.gz UZBMXLCLGWVNPT-NSHDSACASA-N -1 1 314.345 1.073 20 0 DDADMM Cc1nc(CNC[C@@H](C)N(C)C(=O)c2ncccc2[O-])co1 ZINC001392047898 903164521 /nfs/dbraw/zinc/16/45/21/903164521.db2.gz FDXPKFMMYGVQAA-SNVBAGLBSA-N -1 1 304.350 1.334 20 0 DDADMM CN(C(=O)c1cn(C)nc1-c1cc(F)ccc1F)c1nn[n-]n1 ZINC001279187827 903190709 /nfs/dbraw/zinc/19/07/09/903190709.db2.gz MRCKBUWSDGOOKC-UHFFFAOYSA-N -1 1 319.275 1.155 20 0 DDADMM CC(C)[C@H](CNC(=O)CCC(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001392217577 903566830 /nfs/dbraw/zinc/56/68/30/903566830.db2.gz RBVOVAYFZXXJMQ-QMMMGPOBSA-N -1 1 323.319 1.083 20 0 DDADMM O=C(NCC1(CCNC(=O)C2CCC2)CC1)c1ncccc1[O-] ZINC001371391676 904877617 /nfs/dbraw/zinc/87/76/17/904877617.db2.gz MJSIGNZXOONDJA-UHFFFAOYSA-N -1 1 317.389 1.604 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CCC(F)(F)F ZINC001392730175 905089315 /nfs/dbraw/zinc/08/93/15/905089315.db2.gz SNEXBTFIURGFNP-QMMMGPOBSA-N -1 1 319.283 1.364 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CC12CCC2 ZINC001294036949 914872342 /nfs/dbraw/zinc/87/23/42/914872342.db2.gz FTEBZHJAJDNOCM-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM Cc1cc(C)c(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001392772992 905211180 /nfs/dbraw/zinc/21/11/80/905211180.db2.gz IJECEWNGNOOABA-JTQLQIEISA-N -1 1 317.345 1.545 20 0 DDADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C12CCC(CC1)C2 ZINC001392784301 905246337 /nfs/dbraw/zinc/24/63/37/905246337.db2.gz MZCDITJWRWYOTQ-YZKWQOGNSA-N -1 1 319.409 1.075 20 0 DDADMM Cc1cnc(CNC2(CNC(=O)c3ncccc3[O-])CC2)s1 ZINC001392901346 905643183 /nfs/dbraw/zinc/64/31/83/905643183.db2.gz YAKHRPVBXPVMCS-UHFFFAOYSA-N -1 1 318.402 1.604 20 0 DDADMM CC[C@@H](C)CCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001372039216 906304263 /nfs/dbraw/zinc/30/42/63/906304263.db2.gz JGNMFIQRAKJOCU-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372370814 907148317 /nfs/dbraw/zinc/14/83/17/907148317.db2.gz IAENXRLFJPAJLI-NWDGAFQWSA-N -1 1 317.389 1.458 20 0 DDADMM CCC1(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001372613868 907774693 /nfs/dbraw/zinc/77/46/93/907774693.db2.gz YLPJTZMUSLLUSB-PHIMTYICSA-N -1 1 303.362 1.354 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1ccoc1Cl ZINC001393946740 908350827 /nfs/dbraw/zinc/35/08/27/908350827.db2.gz XXWNTNAQCDXHJL-BQBZGAKWSA-N -1 1 313.745 1.053 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])N[C@@H](C)c1ncccn1 ZINC001373749002 910850692 /nfs/dbraw/zinc/85/06/92/910850692.db2.gz KMMWLROPKGRPPE-RYUDHWBXSA-N -1 1 315.377 1.436 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H](C)C1CC1 ZINC001374117319 911932509 /nfs/dbraw/zinc/93/25/09/911932509.db2.gz JEOTZDQZZJBTFY-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001294290652 915041235 /nfs/dbraw/zinc/04/12/35/915041235.db2.gz UBNHCLSTCHHENZ-OLZOCXBDSA-N -1 1 319.405 1.658 20 0 DDADMM CCCC(C)(C)C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001375253927 915386986 /nfs/dbraw/zinc/38/69/86/915386986.db2.gz UZBICASBYZPVOP-LLVKDONJSA-N -1 1 309.414 1.321 20 0 DDADMM CCCSCC(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001295438096 915788190 /nfs/dbraw/zinc/78/81/90/915788190.db2.gz ZALOEWSPEXQXRY-SNVBAGLBSA-N -1 1 311.407 1.165 20 0 DDADMM CNC(=O)C1CCC(NC(=O)c2cc(C)cc(C=O)c2[O-])CC1 ZINC001296201010 916271173 /nfs/dbraw/zinc/27/11/73/916271173.db2.gz ZHMRACFLDROGKT-UHFFFAOYSA-N -1 1 318.373 1.548 20 0 DDADMM C/C=C/C[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)OCC ZINC001296212526 916280381 /nfs/dbraw/zinc/28/03/81/916280381.db2.gz IPVSZRHQXKNHBJ-OGOUPESXSA-N -1 1 319.361 1.687 20 0 DDADMM C[C@@H](NC(=O)CC1(C)CCCC1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001377794743 923063449 /nfs/dbraw/zinc/06/34/49/923063449.db2.gz NKXGCEUGJIIAHT-WDEREUQCSA-N -1 1 309.414 1.464 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)CC1CCCCCC1 ZINC001377863409 923265053 /nfs/dbraw/zinc/26/50/53/923265053.db2.gz PHSREDCJRSUBOE-VXGBXAGGSA-N -1 1 323.441 1.854 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC[C@@H](C)[C@H](C)C2)o1 ZINC000248619547 282093870 /nfs/dbraw/zinc/09/38/70/282093870.db2.gz SOGDCQBYAYTKKS-GMTAPVOTSA-N -1 1 314.407 1.742 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCCC3(C)C)nc2n1 ZINC000622869653 365548838 /nfs/dbraw/zinc/54/88/38/365548838.db2.gz AWSJMOYWICSYFS-JTQLQIEISA-N -1 1 303.366 1.881 20 0 DDADMM CO[C@@H]1CCC[C@H](CC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)C1 ZINC000622869868 365549245 /nfs/dbraw/zinc/54/92/45/365549245.db2.gz JWJYHDHBSCEWMB-WDEREUQCSA-N -1 1 319.365 1.260 20 0 DDADMM Cc1ccc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c(C2CC2)n1 ZINC000622870367 365550412 /nfs/dbraw/zinc/55/04/12/365550412.db2.gz ZXTPSHIWHSVIAJ-UHFFFAOYSA-N -1 1 324.344 1.559 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CC[C@@H](C)OC)nc2n1 ZINC000622997436 365590348 /nfs/dbraw/zinc/59/03/48/365590348.db2.gz ZOGIMYPYIMDBSD-SECBINFHSA-N -1 1 307.354 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC34CCC4)nc2n1 ZINC000622997182 365590479 /nfs/dbraw/zinc/59/04/79/365590479.db2.gz OGUVPLURZZJDFJ-JTQLQIEISA-N -1 1 301.350 1.499 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)C3CCC3)nc2n1 ZINC000622998079 365591346 /nfs/dbraw/zinc/59/13/46/365591346.db2.gz XIPZIWVXNZFTSQ-VIFPVBQESA-N -1 1 303.366 1.745 20 0 DDADMM COc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)ccc1O ZINC000614474840 361876093 /nfs/dbraw/zinc/87/60/93/361876093.db2.gz ZDXAPLLKHXIDPA-UHFFFAOYSA-N -1 1 319.317 1.509 20 0 DDADMM CCCc1cc(=O)[n-]c(SC[C@H]2CCS(=O)(=O)C2)n1 ZINC000278643054 214297084 /nfs/dbraw/zinc/29/70/84/214297084.db2.gz IZIXWDOLYORSCU-SECBINFHSA-N -1 1 302.421 1.662 20 0 DDADMM CN(C)C(=O)CCC[N-]S(=O)(=O)c1sccc1Cl ZINC000451113495 231011193 /nfs/dbraw/zinc/01/11/93/231011193.db2.gz YVVQONVUHYLDPL-UHFFFAOYSA-N -1 1 310.828 1.548 20 0 DDADMM CC[C@@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000443300306 529427806 /nfs/dbraw/zinc/42/78/06/529427806.db2.gz KGSHWAGSTZGRRL-NOZJJQNGSA-N -1 1 319.379 1.142 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCc2ccc(F)c(F)c21 ZINC000451954096 231247624 /nfs/dbraw/zinc/24/76/24/231247624.db2.gz MNCOKLJUKBNLCE-UHFFFAOYSA-N -1 1 315.301 1.425 20 0 DDADMM Cc1ccc(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1O ZINC000089458516 539172624 /nfs/dbraw/zinc/17/26/24/539172624.db2.gz CRMMSEFUSISXKW-GFCCVEGCSA-N -1 1 301.350 1.162 20 0 DDADMM CC[C@H](NC(=O)CNC(=O)c1ncccc1[O-])[C@@H]1CC1(C)C ZINC000416280545 529477544 /nfs/dbraw/zinc/47/75/44/529477544.db2.gz YPLJOVDYAOMGFA-QWRGUYRKSA-N -1 1 305.378 1.458 20 0 DDADMM O=C([C@H]1Cc2cc(F)ccc2O1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129315659 539184072 /nfs/dbraw/zinc/18/40/72/539184072.db2.gz BHLXYEUILLGKTD-TVQRCGJNSA-N -1 1 317.324 1.049 20 0 DDADMM CN(Cc1ccc(Cl)s1)C(=O)CNC(C)(C)C(=O)[O-] ZINC000087624661 185229462 /nfs/dbraw/zinc/22/94/62/185229462.db2.gz YGTSTHDRHYEQQP-UHFFFAOYSA-N -1 1 304.799 1.813 20 0 DDADMM O=S(=O)([N-]C1(CO)CC1)c1cc(Cl)sc1Cl ZINC000162429612 539223067 /nfs/dbraw/zinc/22/30/67/539223067.db2.gz CXVQKVIOQIPQFR-UHFFFAOYSA-N -1 1 302.204 1.858 20 0 DDADMM C[C@@H]1Cc2cn[nH]c2[C@H](C(=O)N=c2cc(C(F)(F)F)[n-][nH]2)C1 ZINC000623202432 365716791 /nfs/dbraw/zinc/71/67/91/365716791.db2.gz QZEYPELCBGQEMP-HTRCEHHLSA-N -1 1 313.283 1.878 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCO[C@@H]1c1ccnn1C(C)C ZINC000615221017 362200976 /nfs/dbraw/zinc/20/09/76/362200976.db2.gz XKQLUFJYZBTKSA-GWCFXTLKSA-N -1 1 319.365 1.917 20 0 DDADMM CN(C)c1ncc(NS(=O)(=O)c2cccc(C(=O)[O-])c2)cn1 ZINC000443467939 529812245 /nfs/dbraw/zinc/81/22/45/529812245.db2.gz UJYKOLVGFXVCTK-UHFFFAOYSA-N -1 1 322.346 1.042 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(C)cc(C)c2)n[n-]1 ZINC000615920042 362486168 /nfs/dbraw/zinc/48/61/68/362486168.db2.gz MNZKVPBTPSGAJF-UHFFFAOYSA-N -1 1 302.334 1.528 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(C)cc(C)c2)n1 ZINC000615920042 362486172 /nfs/dbraw/zinc/48/61/72/362486172.db2.gz MNZKVPBTPSGAJF-UHFFFAOYSA-N -1 1 302.334 1.528 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2sccc2CC)n[n-]1 ZINC000615919155 362486921 /nfs/dbraw/zinc/48/69/21/362486921.db2.gz BVGMTXUOTSORFO-UHFFFAOYSA-N -1 1 308.363 1.535 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2sccc2CC)n1 ZINC000615919155 362486926 /nfs/dbraw/zinc/48/69/26/362486926.db2.gz BVGMTXUOTSORFO-UHFFFAOYSA-N -1 1 308.363 1.535 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2[C@@H](C=C(C)C)C2(C)C)n[n-]1 ZINC000615920413 362488016 /nfs/dbraw/zinc/48/80/16/362488016.db2.gz SOISKGNETAQPSO-ZYHUDNBSSA-N -1 1 320.393 1.836 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2[C@@H](C=C(C)C)C2(C)C)n1 ZINC000615920413 362488020 /nfs/dbraw/zinc/48/80/20/362488020.db2.gz SOISKGNETAQPSO-ZYHUDNBSSA-N -1 1 320.393 1.836 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2[C@H](C=C(C)C)C2(C)C)n[n-]1 ZINC000615920410 362488432 /nfs/dbraw/zinc/48/84/32/362488432.db2.gz SOISKGNETAQPSO-CMPLNLGQSA-N -1 1 320.393 1.836 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2[C@H](C=C(C)C)C2(C)C)n1 ZINC000615920410 362488439 /nfs/dbraw/zinc/48/84/39/362488439.db2.gz SOISKGNETAQPSO-CMPLNLGQSA-N -1 1 320.393 1.836 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)CC(C)(C)C)o1 ZINC000457205881 530028339 /nfs/dbraw/zinc/02/83/39/530028339.db2.gz CKKKJASIXOQQGU-VIFPVBQESA-N -1 1 302.396 1.742 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H](O)C2CCCCC2)c1Br ZINC000616008504 362518006 /nfs/dbraw/zinc/51/80/06/362518006.db2.gz HBCHEKXZDRCYQT-SNVBAGLBSA-N -1 1 316.199 1.782 20 0 DDADMM C[C@H]1CN(CCO)CCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000616161508 362568240 /nfs/dbraw/zinc/56/82/40/362568240.db2.gz DBQNSJFVDDBNFR-LBPRGKRZSA-N -1 1 315.373 1.079 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCNC(=O)CC1 ZINC000616357975 362655402 /nfs/dbraw/zinc/65/54/02/362655402.db2.gz KTSRRTXKICHHRU-SNVBAGLBSA-N -1 1 320.393 1.187 20 0 DDADMM COc1cccc(S(=O)(=O)Nc2cccc(C(=O)[O-])c2O)c1 ZINC000388629007 539509048 /nfs/dbraw/zinc/50/90/48/539509048.db2.gz KPCXIOKSPXKLTC-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM C[C@@H]1C(=O)Nc2cc(C(=O)Nc3ccncc3[O-])ccc2N1C ZINC000358346200 299126789 /nfs/dbraw/zinc/12/67/89/299126789.db2.gz CXRAANRIOIGPQG-SECBINFHSA-N -1 1 312.329 1.238 20 0 DDADMM Cc1c(C=C([O-])Nc2nc(-c3ccccc3)ns2)cnn1C ZINC000341929246 282513011 /nfs/dbraw/zinc/51/30/11/282513011.db2.gz NDDCMGZOQCOLNV-UHFFFAOYSA-N -1 1 313.386 1.850 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cncc([O-])c1)[C@H]1CCC[C@@H](OC)C1 ZINC000564462834 303999826 /nfs/dbraw/zinc/99/98/26/303999826.db2.gz UGMUXGOFUNNBQQ-ZLKJLUDKSA-N -1 1 322.361 1.264 20 0 DDADMM COCCCCS(=O)(=O)[N-]c1ccc(F)cc1C(=O)OC ZINC000564549543 304000404 /nfs/dbraw/zinc/00/04/04/304000404.db2.gz BGBKRHTYAGPFNN-UHFFFAOYSA-N -1 1 319.354 1.781 20 0 DDADMM OCCN1CCCC[C@H]1CN=c1nc(C2CCCC2)[n-]s1 ZINC000564557391 304000977 /nfs/dbraw/zinc/00/09/77/304000977.db2.gz MGMYITDEIZCCKG-ZDUSSCGKSA-N -1 1 310.467 1.876 20 0 DDADMM Cn1ccnc1[C@H](NC(=O)c1cc(F)ccc1[O-])C(C)(C)CO ZINC000616864412 362850678 /nfs/dbraw/zinc/85/06/78/362850678.db2.gz CBNQYNJCRDLJEW-ZDUSSCGKSA-N -1 1 321.352 1.754 20 0 DDADMM Cn1ncc2ccc(CNC(=O)C(=O)c3ccc([O-])cc3)cc21 ZINC000564645587 304006186 /nfs/dbraw/zinc/00/61/86/304006186.db2.gz JALNJIJJOHCZDX-UHFFFAOYSA-N -1 1 309.325 1.778 20 0 DDADMM CCC[C@@H]1C[C@@H](C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000279872974 215197449 /nfs/dbraw/zinc/19/74/49/215197449.db2.gz SZFBASRCXDJQNM-XRNNBIEOSA-N -1 1 302.378 1.876 20 0 DDADMM CC(C)OC(=O)[C@@H](C)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287014679 219270243 /nfs/dbraw/zinc/27/02/43/219270243.db2.gz BWHLILYAXBVIDE-FZUCCHQLSA-N -1 1 319.365 1.051 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000343392915 282654914 /nfs/dbraw/zinc/65/49/14/282654914.db2.gz QVQGAPOQAWOUQL-GRYCIOLGSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC1CCSCC1 ZINC000171475654 186165640 /nfs/dbraw/zinc/16/56/40/186165640.db2.gz OGTRITYIVOVPQB-UHFFFAOYSA-N -1 1 320.418 1.194 20 0 DDADMM COc1ccc(NC(=O)C23CC(C2)C3)cc1[N-]S(C)(=O)=O ZINC000623772956 366048400 /nfs/dbraw/zinc/04/84/00/366048400.db2.gz JFPUWXUPWXFFTH-UHFFFAOYSA-N -1 1 310.375 1.805 20 0 DDADMM CC(C)n1cnnc1[C@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000172229459 198061069 /nfs/dbraw/zinc/06/10/69/198061069.db2.gz ZGLMUTDJOXWRMT-LBPRGKRZSA-N -1 1 315.377 1.979 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]Cc2ccco2)c(C(F)(F)F)n1 ZINC000000066174 352108907 /nfs/dbraw/zinc/10/89/07/352108907.db2.gz GWWDJHAPPOYHCE-UHFFFAOYSA-N -1 1 309.269 1.510 20 0 DDADMM C[C@@H](Oc1cccc(C(C)(C)C)c1)C(=O)NN1CC(=O)[N-]C1=O ZINC000044946344 352398082 /nfs/dbraw/zinc/39/80/82/352398082.db2.gz YWFUYDQVBZDCFW-SNVBAGLBSA-N -1 1 319.361 1.334 20 0 DDADMM CC(C)C(=O)N1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000046561587 352455548 /nfs/dbraw/zinc/45/55/48/352455548.db2.gz XTIRURBSAYEBSJ-UHFFFAOYSA-N -1 1 310.781 1.986 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1C[C@@H]1c1cccc(Br)c1 ZINC000060380471 352870069 /nfs/dbraw/zinc/87/00/69/352870069.db2.gz UAYASLSNRUINGL-BDAKNGLRSA-N -1 1 308.139 1.704 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1C[C@@H]1c1cccc(Br)c1 ZINC000060380471 352870070 /nfs/dbraw/zinc/87/00/70/352870070.db2.gz UAYASLSNRUINGL-BDAKNGLRSA-N -1 1 308.139 1.704 20 0 DDADMM COc1ccc(NC(=O)c2ccnn2C)cc1[N-]S(C)(=O)=O ZINC000063537439 352916141 /nfs/dbraw/zinc/91/61/41/352916141.db2.gz FYQPHYRUCJBTOP-UHFFFAOYSA-N -1 1 324.362 1.053 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)[N-]c2c(F)cccc2CO)c1 ZINC000358553227 299196215 /nfs/dbraw/zinc/19/62/15/299196215.db2.gz FBCJGMBYMWUMDD-UHFFFAOYSA-N -1 1 311.338 1.404 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@@H](C)c2ccccn2)o1 ZINC000068268974 353100701 /nfs/dbraw/zinc/10/07/01/353100701.db2.gz ABPRUBDOUOKJBL-JTQLQIEISA-N -1 1 323.374 1.416 20 0 DDADMM O=C(C=Cc1ccc(OC(F)F)cc1)NC1(c2nn[n-]n2)CC1 ZINC000492007311 234358617 /nfs/dbraw/zinc/35/86/17/234358617.db2.gz HMDGJFCQLGAZAM-UTCJRWHESA-N -1 1 321.287 1.620 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H](C)OCC1CC1 ZINC000074161854 353296162 /nfs/dbraw/zinc/29/61/62/353296162.db2.gz WOBFULXHPZKPMI-NSHDSACASA-N -1 1 318.377 1.071 20 0 DDADMM CCn1ccnc1[C@H]1OCC[C@@H]1NC(=O)c1ncc(C)cc1[O-] ZINC000332016910 234393279 /nfs/dbraw/zinc/39/32/79/234393279.db2.gz VAWBFGDHWINARV-FZMZJTMJSA-N -1 1 316.361 1.572 20 0 DDADMM C[C@]1(CO)CCC[C@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000075494947 353365600 /nfs/dbraw/zinc/36/56/00/353365600.db2.gz MIRAJDFCMIWRGR-MLGOLLRUSA-N -1 1 301.346 1.204 20 0 DDADMM NC(=O)Nc1cccc(CNC(=O)c2cc(F)ccc2[O-])c1 ZINC000080004000 353586477 /nfs/dbraw/zinc/58/64/77/353586477.db2.gz ORWAMNXOJYJGRT-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084938990 353730448 /nfs/dbraw/zinc/73/04/48/353730448.db2.gz BIJKOOBORCXELQ-MNOVXSKESA-N -1 1 308.359 1.365 20 0 DDADMM CCN(Cc1ccoc1)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000102370750 353925478 /nfs/dbraw/zinc/92/54/78/353925478.db2.gz TUJJSNNTZNNHLE-UHFFFAOYSA-N -1 1 312.347 1.443 20 0 DDADMM O=C(NC[C@@H]1CCCS1(=O)=O)c1cc2ccccc2cc1[O-] ZINC000127032192 354059753 /nfs/dbraw/zinc/05/97/53/354059753.db2.gz HDANAWATPNZZCZ-ZDUSSCGKSA-N -1 1 319.382 1.852 20 0 DDADMM COCCC1(NC(=O)c2nc3ccccc3c(=O)[n-]2)CCC1 ZINC000358665194 299216672 /nfs/dbraw/zinc/21/66/72/299216672.db2.gz VSARBQBDDXDXON-UHFFFAOYSA-N -1 1 301.346 1.612 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@H]2CN1Cc1nnnn1-c1ccccc1 ZINC000322554587 354536434 /nfs/dbraw/zinc/53/64/34/354536434.db2.gz WBZJJCDCEFFEEF-WHOFXGATSA-N -1 1 313.361 1.347 20 0 DDADMM CC(C)(NC(=O)c1ccncc1Br)c1nn[n-]n1 ZINC000357274275 291042502 /nfs/dbraw/zinc/04/25/02/291042502.db2.gz PXKJMLLJSCVVBT-UHFFFAOYSA-N -1 1 311.143 1.022 20 0 DDADMM NC(=O)[C@@H]1CCC[C@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000332468673 235001780 /nfs/dbraw/zinc/00/17/80/235001780.db2.gz RQSFXGMIRSJADB-MNOVXSKESA-N -1 1 322.365 1.823 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2ccc(=O)[nH]c2)[n-]1 ZINC000590355133 355076133 /nfs/dbraw/zinc/07/61/33/355076133.db2.gz FMINGJBKUYYOLC-UHFFFAOYSA-N -1 1 304.306 1.258 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2ccc(=O)[nH]c2)n1 ZINC000590355133 355076138 /nfs/dbraw/zinc/07/61/38/355076138.db2.gz FMINGJBKUYYOLC-UHFFFAOYSA-N -1 1 304.306 1.258 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2n[nH]c(C)c2[O-])ccc1O ZINC000590532672 355108665 /nfs/dbraw/zinc/10/86/65/355108665.db2.gz HRFLIDNFNFISJG-UHFFFAOYSA-N -1 1 305.290 1.558 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCSCCCO ZINC000601320497 358494917 /nfs/dbraw/zinc/49/49/17/358494917.db2.gz QVOLNKYCLFHUCC-UHFFFAOYSA-N -1 1 303.811 1.820 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(N(C)C)ccn2)n1 ZINC000591302750 355284694 /nfs/dbraw/zinc/28/46/94/355284694.db2.gz ZPASTINKJYIBBD-UHFFFAOYSA-N -1 1 303.322 1.300 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCS[C@@H]2C)o1 ZINC000592112750 355503919 /nfs/dbraw/zinc/50/39/19/355503919.db2.gz LNPILYVFMGCIBH-HTQZYQBOSA-N -1 1 305.377 1.239 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1C[C@H]1C1CCCC1 ZINC000593116509 355804733 /nfs/dbraw/zinc/80/47/33/355804733.db2.gz PJBNJDISCYDIAU-NWDGAFQWSA-N -1 1 305.378 1.784 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2coc3c2C(=O)CCC3)n1 ZINC000593902030 356048841 /nfs/dbraw/zinc/04/88/41/356048841.db2.gz YSXBTXPPUHGXBT-UHFFFAOYSA-N -1 1 317.301 1.951 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)Cc2ccon2)CC[C@@H](C)CC1 ZINC000594838388 356333741 /nfs/dbraw/zinc/33/37/41/356333741.db2.gz YJEAHVHMKPSAIJ-MJHDQNEOSA-N -1 1 316.379 1.216 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C)[C@H](C)OC)cc1C ZINC000595329942 356450882 /nfs/dbraw/zinc/45/08/82/356450882.db2.gz FBOHESDWIQUSNN-BDAKNGLRSA-N -1 1 305.352 1.076 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C)CSC)cc1C ZINC000595313027 356444119 /nfs/dbraw/zinc/44/41/19/356444119.db2.gz QZXJUGGYPGSVKO-MRVPVSSYSA-N -1 1 307.393 1.404 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H](OC)C(C)C)cc1C ZINC000595312835 356444229 /nfs/dbraw/zinc/44/42/29/356444229.db2.gz NOQXALSNYKIZBP-SNVBAGLBSA-N -1 1 319.379 1.324 20 0 DDADMM Cn1[n-]c(CN2CCc3c(ccc(Cl)c3Cl)C2)nc1=O ZINC000347396730 283211175 /nfs/dbraw/zinc/21/11/75/283211175.db2.gz WTCWSFBCJOBGBE-UHFFFAOYSA-N -1 1 313.188 1.974 20 0 DDADMM CC[C@H](C)Oc1cc(C(=O)NC(C)(C)c2nn[n-]n2)ccn1 ZINC000347577720 283234613 /nfs/dbraw/zinc/23/46/13/283234613.db2.gz NJQAFYWKPAFFIF-VIFPVBQESA-N -1 1 304.354 1.437 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])CC[S@]1=O ZINC000597564128 357229471 /nfs/dbraw/zinc/22/94/71/357229471.db2.gz OIEWVZZGIWVPCI-RKFFSXRUSA-N -1 1 318.398 1.924 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ccc(Cl)cc2[O-])CS1(=O)=O ZINC000618313988 363579909 /nfs/dbraw/zinc/57/99/09/363579909.db2.gz BYMBTUFNKIGUQZ-PSASIEDQSA-N -1 1 317.794 1.741 20 0 DDADMM CCOC(=O)[C@]1(C)CCC[C@H]1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000598471583 357596501 /nfs/dbraw/zinc/59/65/01/357596501.db2.gz IBCLCUORMLSRAE-CXAGYDPISA-N -1 1 319.357 1.813 20 0 DDADMM COC(=O)[C@H]1C[C@@H](C)CCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000598775377 357725106 /nfs/dbraw/zinc/72/51/06/357725106.db2.gz MZKJHTJDLUCCIB-GXFFZTMASA-N -1 1 305.330 1.375 20 0 DDADMM CCC[C@@H](C[N-]S(=O)(=O)c1cc(C(=O)OC)co1)OCC ZINC000599367126 357911698 /nfs/dbraw/zinc/91/16/98/357911698.db2.gz AOBDEGVBAUCPCY-NSHDSACASA-N -1 1 319.379 1.550 20 0 DDADMM CC[C@H](C)CS(=O)(=O)[N-][C@H](C(=O)OC)c1cccs1 ZINC000347971923 283310882 /nfs/dbraw/zinc/31/08/82/283310882.db2.gz WIYIXRHXUUHRAN-ONGXEEELSA-N -1 1 305.421 1.928 20 0 DDADMM CN(C)C(=O)CN(C)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180344981 199148382 /nfs/dbraw/zinc/14/83/82/199148382.db2.gz VXTIMZJIBSMGRM-UHFFFAOYSA-N -1 1 304.268 1.571 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccc(C)o2)o1 ZINC000601512322 358582451 /nfs/dbraw/zinc/58/24/51/358582451.db2.gz CJWNEYHDVJWQFE-UHFFFAOYSA-N -1 1 313.331 1.836 20 0 DDADMM CCN(CCSC)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000601454093 358560887 /nfs/dbraw/zinc/56/08/87/358560887.db2.gz UWGNIDAOAHIGFD-UHFFFAOYSA-N -1 1 305.421 1.774 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCCC[C@@H]2C2CC2)n[n-]1 ZINC000603019680 359362172 /nfs/dbraw/zinc/36/21/72/359362172.db2.gz CNALXYNTXZTLOB-NEPJUHHUSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCCC[C@@H]2C2CC2)n1 ZINC000603019680 359362178 /nfs/dbraw/zinc/36/21/78/359362178.db2.gz CNALXYNTXZTLOB-NEPJUHHUSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2(C3CCC3)CCC2)n[n-]1 ZINC000603022052 359365009 /nfs/dbraw/zinc/36/50/09/359365009.db2.gz NNBZONSHVSDACY-UHFFFAOYSA-N -1 1 306.366 1.568 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2(C3CCC3)CCC2)n1 ZINC000603022052 359365013 /nfs/dbraw/zinc/36/50/13/359365013.db2.gz NNBZONSHVSDACY-UHFFFAOYSA-N -1 1 306.366 1.568 20 0 DDADMM COC(=O)[C@@H]1OCC[C@@H]1NC(=O)N=c1[n-]sc2ccccc21 ZINC000603052322 359378032 /nfs/dbraw/zinc/37/80/32/359378032.db2.gz RQHNBFICJXZVSV-GXSJLCMTSA-N -1 1 321.358 1.170 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cc(F)cc(F)c1 ZINC000618488012 363649144 /nfs/dbraw/zinc/64/91/44/363649144.db2.gz JKDKDSMFLRVCHG-ZDUSSCGKSA-N -1 1 315.283 1.997 20 0 DDADMM CN(C)c1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000618488598 363650317 /nfs/dbraw/zinc/65/03/17/363650317.db2.gz YCLGWFFBXAYUKK-HNNXBMFYSA-N -1 1 322.372 1.785 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@@H](C)SC)n[n-]1 ZINC000603156691 359442887 /nfs/dbraw/zinc/44/28/87/359442887.db2.gz SOXDTOJXYBUYFR-HTQZYQBOSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@@H](C)SC)[n-]1 ZINC000603156691 359442894 /nfs/dbraw/zinc/44/28/94/359442894.db2.gz SOXDTOJXYBUYFR-HTQZYQBOSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@@H](C)SC)n1 ZINC000603156691 359442900 /nfs/dbraw/zinc/44/29/00/359442900.db2.gz SOXDTOJXYBUYFR-HTQZYQBOSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2c(F)cccc2F)n[n-]1 ZINC000603159407 359445748 /nfs/dbraw/zinc/44/57/48/359445748.db2.gz BNGLHBWKSXZLTA-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2c(F)cccc2F)[n-]1 ZINC000603159407 359445751 /nfs/dbraw/zinc/44/57/51/359445751.db2.gz BNGLHBWKSXZLTA-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2c(F)cccc2F)n1 ZINC000603159407 359445757 /nfs/dbraw/zinc/44/57/57/359445757.db2.gz BNGLHBWKSXZLTA-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM COCc1nc(=N[C@@H]2CCC[C@@H]3CN(C(C)=O)C[C@@H]32)s[n-]1 ZINC000565679621 304083478 /nfs/dbraw/zinc/08/34/78/304083478.db2.gz XZSRLIQJRSMOLD-GRYCIOLGSA-N -1 1 310.423 1.165 20 0 DDADMM COC(=O)CC[C@H](Sc1ncc(C)c(=O)[n-]1)C(=O)OC ZINC000603336702 359577627 /nfs/dbraw/zinc/57/76/27/359577627.db2.gz UTMSMDLDRDQXIJ-QMMMGPOBSA-N -1 1 300.336 1.078 20 0 DDADMM COCCCN(CCOC)C(=O)c1c(F)ccc([O-])c1F ZINC000280989403 216007388 /nfs/dbraw/zinc/00/73/88/216007388.db2.gz QFOLYAAEDDQSJR-UHFFFAOYSA-N -1 1 303.305 1.796 20 0 DDADMM Cn1nccc1C1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000280998757 216013007 /nfs/dbraw/zinc/01/30/07/216013007.db2.gz QZTZOTXCBQRKNS-UHFFFAOYSA-N -1 1 313.357 1.715 20 0 DDADMM Cc1ccc(OCC(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000605381666 359847643 /nfs/dbraw/zinc/84/76/43/359847643.db2.gz LQTQAFDHKKFWDC-UHFFFAOYSA-N -1 1 301.350 1.473 20 0 DDADMM CS(=O)(=O)Cc1ccc(C(=O)Nc2c([O-])cccc2F)o1 ZINC000605440321 359859362 /nfs/dbraw/zinc/85/93/62/359859362.db2.gz NZQGICXQYIBMIV-UHFFFAOYSA-N -1 1 313.306 1.921 20 0 DDADMM CC(C)CCOCCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287742110 219705891 /nfs/dbraw/zinc/70/58/91/219705891.db2.gz ULXXZVWXLWLLLX-UYRXBGFRSA-N -1 1 305.382 1.526 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2c(O)cccc2F)cc1C(N)=O ZINC000608004418 360099535 /nfs/dbraw/zinc/09/95/35/360099535.db2.gz IMCDYDNBUMWERY-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c(C)[n-]c(=O)nc2SC)CCCO1 ZINC000281168658 216125366 /nfs/dbraw/zinc/12/53/66/216125366.db2.gz BKXFKRQFHUEIFA-SNVBAGLBSA-N -1 1 311.407 1.854 20 0 DDADMM COC(=O)c1[nH]c(C)cc1[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC000609910069 360364818 /nfs/dbraw/zinc/36/48/18/360364818.db2.gz ILAGQWQWJKSNKF-SECBINFHSA-N -1 1 302.352 1.030 20 0 DDADMM COC(=O)c1[nH]c(C)cc1[N-]S(=O)(=O)CCOC(C)C ZINC000610509669 360456865 /nfs/dbraw/zinc/45/68/65/360456865.db2.gz QJXVKUWBKFUTEZ-UHFFFAOYSA-N -1 1 304.368 1.276 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2CC[C@@H](OC)C2)c1Br ZINC000611773980 360821679 /nfs/dbraw/zinc/82/16/79/360821679.db2.gz ILEYRAVDXKQAPG-HTQZYQBOSA-N -1 1 316.199 1.910 20 0 DDADMM C[C@H](CNC(=O)c1ncc2ccccc2c1[O-])S(C)(=O)=O ZINC000612951808 361207304 /nfs/dbraw/zinc/20/73/04/361207304.db2.gz SPFRUDVZELRPRW-SECBINFHSA-N -1 1 308.359 1.103 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc(-c3nc(=O)o[n-]3)c2)[C@H](C)CN1C ZINC000613190971 361316981 /nfs/dbraw/zinc/31/69/81/361316981.db2.gz KRILOXBLYDXOJW-GHMZBOCLSA-N -1 1 316.361 1.195 20 0 DDADMM CCN1CCCN(C(=O)c2ncc3ccccc3c2[O-])CC1=O ZINC000613856887 361605461 /nfs/dbraw/zinc/60/54/61/361605461.db2.gz CYSLPCPDRWOQGH-UHFFFAOYSA-N -1 1 313.357 1.635 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1C[C@H](O)C12CCC2 ZINC000619084287 363892040 /nfs/dbraw/zinc/89/20/40/363892040.db2.gz XTZBENFCNQIBBW-QWRGUYRKSA-N -1 1 319.405 1.821 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1Cc2ccc(F)cc21 ZINC000619519803 364048295 /nfs/dbraw/zinc/04/82/95/364048295.db2.gz QORDRZXWYFFCBZ-LBPRGKRZSA-N -1 1 317.320 1.436 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000619964582 364215580 /nfs/dbraw/zinc/21/55/80/364215580.db2.gz OACBKPGBRIWQHU-ZDUSSCGKSA-N -1 1 314.426 1.350 20 0 DDADMM CO[C@H](C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C1CCC1 ZINC000621709473 364994076 /nfs/dbraw/zinc/99/40/76/364994076.db2.gz USAFEXUBEWXLJE-OLZOCXBDSA-N -1 1 301.350 1.220 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@@H](C(=O)[O-])C3CC3)CC2)s1 ZINC000635015576 422767999 /nfs/dbraw/zinc/76/79/99/422767999.db2.gz GOLYVPZWZWZFRW-CYBMUJFWSA-N -1 1 308.403 1.678 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cc(Cl)c[nH]1 ZINC000622612096 365452462 /nfs/dbraw/zinc/45/24/62/365452462.db2.gz PUIUVGGKGRUVFT-LLVKDONJSA-N -1 1 302.725 1.701 20 0 DDADMM Cc1c(NC(=O)C2=C([O-])C(C)N=N2)cnn1C1CCOCC1 ZINC000622625967 365453404 /nfs/dbraw/zinc/45/34/04/365453404.db2.gz AMYIJFYHASHLDU-UHFFFAOYSA-N -1 1 305.338 1.532 20 0 DDADMM COc1cc2[n-]cc(C(=O)NCCCF)c(=O)c2c(OC)c1 ZINC000626182068 367396631 /nfs/dbraw/zinc/39/66/31/367396631.db2.gz PJZIWZSYPMPJDW-UHFFFAOYSA-N -1 1 308.309 1.635 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3CC(F)C3)c(=O)c2c(OC)c1 ZINC000626431422 367540757 /nfs/dbraw/zinc/54/07/57/367540757.db2.gz UCQSALHPUCKZKW-UHFFFAOYSA-N -1 1 306.293 1.339 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1cccc2cnccc21)c1nn[n-]n1 ZINC000626443665 367548032 /nfs/dbraw/zinc/54/80/32/367548032.db2.gz PJQCWQDTXMUNKD-LLVKDONJSA-N -1 1 310.361 1.553 20 0 DDADMM Cn1ccnc1[C@H]1OCC[C@@H]1NC(=O)c1cc(F)ccc1[O-] ZINC000275248234 212223226 /nfs/dbraw/zinc/22/32/26/212223226.db2.gz ABEDPQCUZMALHF-AAEUAGOBSA-N -1 1 305.309 1.525 20 0 DDADMM CCN([C@@H](C)C(F)(F)F)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349655943 284001669 /nfs/dbraw/zinc/00/16/69/284001669.db2.gz MBVVTHVNNXFLNN-LURJTMIESA-N -1 1 301.290 1.357 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3CCCOC3)c(=O)c2c(OC)c1 ZINC000627121975 367988994 /nfs/dbraw/zinc/98/89/94/367988994.db2.gz MWHYBXCLSQFEGJ-UHFFFAOYSA-N -1 1 318.329 1.365 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCCC[C@H]1C(C)C ZINC000349724454 284030957 /nfs/dbraw/zinc/03/09/57/284030957.db2.gz UKGYUFCYTACNIN-QWRGUYRKSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCCC[C@H]1C(C)C ZINC000349724454 284030961 /nfs/dbraw/zinc/03/09/61/284030961.db2.gz UKGYUFCYTACNIN-QWRGUYRKSA-N -1 1 301.412 1.889 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(C)c(C(N)=O)c2)cn1 ZINC000349966955 284122103 /nfs/dbraw/zinc/12/21/03/284122103.db2.gz ARNRDMCAVOKBAN-UHFFFAOYSA-N -1 1 321.358 1.298 20 0 DDADMM CC(C)(CNS(=O)(=O)c1c(F)cccc1F)CC(=O)[O-] ZINC000275032766 212083500 /nfs/dbraw/zinc/08/35/00/212083500.db2.gz QDZCTBAYBHUHCH-UHFFFAOYSA-N -1 1 307.318 1.744 20 0 DDADMM Cc1ccc(CN(C(=O)CN(C)CCC(=O)[O-])C2CC2)cc1 ZINC000262667950 203373923 /nfs/dbraw/zinc/37/39/23/203373923.db2.gz QGOOQMYRGDKZEO-UHFFFAOYSA-N -1 1 304.390 1.893 20 0 DDADMM O=c1ccc2cc(S(=O)(=O)[N-]c3ncccc3F)ccc2[nH]1 ZINC000350661784 284237466 /nfs/dbraw/zinc/23/74/66/284237466.db2.gz CIPSTRYDQDRJFH-UHFFFAOYSA-N -1 1 319.317 1.863 20 0 DDADMM O=S(=O)([N-]c1nc(C2CCC2)n[nH]1)c1cnc2n1CCC2 ZINC000351395557 284323422 /nfs/dbraw/zinc/32/34/22/284323422.db2.gz ILOAETOVNHDNSC-UHFFFAOYSA-N -1 1 308.367 1.016 20 0 DDADMM CCc1ccsc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282806306 217264358 /nfs/dbraw/zinc/26/43/58/217264358.db2.gz AXVLTWRCBWFHAE-JTQLQIEISA-N -1 1 306.391 1.742 20 0 DDADMM O=S(=O)(CCCCF)[N-]c1cc([C@@H]2CCCOC2)[nH]n1 ZINC000288467706 220160424 /nfs/dbraw/zinc/16/04/24/220160424.db2.gz AXRMKTUFWFJEQQ-SNVBAGLBSA-N -1 1 305.375 1.795 20 0 DDADMM COCCOC[C@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000360551940 306985170 /nfs/dbraw/zinc/98/51/70/306985170.db2.gz NFBGTWUXLIATIP-VIFPVBQESA-N -1 1 309.334 1.295 20 0 DDADMM Cc1cc(F)ccc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282985260 217370149 /nfs/dbraw/zinc/37/01/49/217370149.db2.gz UFIOBPUSSAEPFH-SNVBAGLBSA-N -1 1 304.325 1.565 20 0 DDADMM Cc1ccc(NC(=O)N2CCN(CC(=O)[O-])CC2)cc1Cl ZINC000035680353 182785486 /nfs/dbraw/zinc/78/54/86/182785486.db2.gz ZDCZCGDWLCOQCF-UHFFFAOYSA-N -1 1 311.769 1.883 20 0 DDADMM CC1(C)CN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]2CCC[C@H]21 ZINC000567099606 304197464 /nfs/dbraw/zinc/19/74/64/304197464.db2.gz NHXVSLNXAKCTAE-KOLCDFICSA-N -1 1 301.350 1.068 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](C)c1cccc(OC)c1 ZINC000337133549 249360039 /nfs/dbraw/zinc/36/00/39/249360039.db2.gz MEZLNXYOXINCGW-VIFPVBQESA-N -1 1 316.361 1.250 20 0 DDADMM C[C@H]1OCC[C@@]1(O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284660357 218119868 /nfs/dbraw/zinc/11/98/68/218119868.db2.gz MRDZYLLZYJQJRH-PRHODGIISA-N -1 1 323.773 1.297 20 0 DDADMM CCNC(=O)[C@H](C)NC(=O)c1cc(Cl)c([O-])c(OCC)c1 ZINC000273918991 211172752 /nfs/dbraw/zinc/17/27/52/211172752.db2.gz FHCRDIRHODRJCV-QMMMGPOBSA-N -1 1 314.769 1.699 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2nc3ccccc3[nH]2)o1 ZINC000046672622 183566039 /nfs/dbraw/zinc/56/60/39/183566039.db2.gz SCRZNFQTLRPBOW-UHFFFAOYSA-N -1 1 320.330 1.316 20 0 DDADMM CCN(C)C(=O)CNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338861341 250167539 /nfs/dbraw/zinc/16/75/39/250167539.db2.gz IYIPNPSKYTZIFW-UHFFFAOYSA-N -1 1 321.764 1.802 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2sccc2F)CCC1 ZINC000338924008 250199414 /nfs/dbraw/zinc/19/94/14/250199414.db2.gz FSILSJNWYINVMD-UHFFFAOYSA-N -1 1 307.368 1.651 20 0 DDADMM CC(C)[C@H](O)C1(C[N-]S(=O)(=O)c2sccc2F)CC1 ZINC000338945475 250212165 /nfs/dbraw/zinc/21/21/65/250212165.db2.gz NHJJDBGUCAAORA-JTQLQIEISA-N -1 1 307.412 1.963 20 0 DDADMM CC(C)C(=O)NCCC[N-]S(=O)(=O)c1sccc1F ZINC000338937758 250206832 /nfs/dbraw/zinc/20/68/32/250206832.db2.gz IVJIMLMITTWSSU-UHFFFAOYSA-N -1 1 308.400 1.328 20 0 DDADMM NC(=O)c1ccc(CNC(=O)c2c(F)ccc([O-])c2F)cc1 ZINC000339232415 250356084 /nfs/dbraw/zinc/35/60/84/250356084.db2.gz MIXYDMQKYYEILT-UHFFFAOYSA-N -1 1 306.268 1.699 20 0 DDADMM CC(C)(C)[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(N)=O ZINC000106446961 194214163 /nfs/dbraw/zinc/21/41/63/194214163.db2.gz FCUKGOZSJBPVSW-JTQLQIEISA-N -1 1 306.334 1.143 20 0 DDADMM CS(=O)(=O)Cc1ccc(C(=O)Nc2ccc(F)cc2[O-])o1 ZINC000340330090 251010440 /nfs/dbraw/zinc/01/04/40/251010440.db2.gz OQXGEXIKZLSOJI-UHFFFAOYSA-N -1 1 313.306 1.921 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC[C@@H]1CCCO1 ZINC000064334954 184294624 /nfs/dbraw/zinc/29/46/24/184294624.db2.gz DZWGYUDEMQLYMB-LBPRGKRZSA-N -1 1 318.377 1.280 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCOC[C@H]1C ZINC000064336363 184294886 /nfs/dbraw/zinc/29/48/86/184294886.db2.gz MIRJNFGRVUHUBA-SNVBAGLBSA-N -1 1 318.377 1.232 20 0 DDADMM O=C(NCCCCN1CCOCC1)c1c([O-])cc(F)cc1F ZINC000066046275 184385573 /nfs/dbraw/zinc/38/55/73/184385573.db2.gz UEWIFAIXOCEOMB-UHFFFAOYSA-N -1 1 314.332 1.513 20 0 DDADMM CC(C)(NC(=O)c1ccc(Cn2ccnc2)cc1)c1nn[n-]n1 ZINC000359801658 207385325 /nfs/dbraw/zinc/38/53/25/207385325.db2.gz RRBNUDMDYWWMKD-UHFFFAOYSA-N -1 1 311.349 1.110 20 0 DDADMM Cc1c(Cl)c(C(F)(F)F)nn1CC(=O)Nc1nnn[n-]1 ZINC000352373515 285003368 /nfs/dbraw/zinc/00/33/68/285003368.db2.gz KWFXPWDPHWVDHT-UHFFFAOYSA-N -1 1 309.639 1.016 20 0 DDADMM Cc1c(Cl)c(C(F)(F)F)nn1CC(=O)Nc1nn[n-]n1 ZINC000352373515 285003370 /nfs/dbraw/zinc/00/33/70/285003370.db2.gz KWFXPWDPHWVDHT-UHFFFAOYSA-N -1 1 309.639 1.016 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(Cl)s1 ZINC000352437664 285050893 /nfs/dbraw/zinc/05/08/93/285050893.db2.gz DGRKJWNPQPKAMC-LURJTMIESA-N -1 1 323.765 1.624 20 0 DDADMM CS[C@@H](CO)[C@@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000119920813 195063078 /nfs/dbraw/zinc/06/30/78/195063078.db2.gz UKPXFSIYRNUIAR-XCBNKYQSSA-N -1 1 311.375 1.356 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](C2CCCCC2)CN1Cc1nc(=O)n(C)[n-]1 ZINC000332099269 533037520 /nfs/dbraw/zinc/03/75/20/533037520.db2.gz CROYENZXGKUZLA-OLZOCXBDSA-N -1 1 322.409 1.052 20 0 DDADMM CC(C)(C)[C@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@H]1O ZINC000288664830 220332495 /nfs/dbraw/zinc/33/24/95/220332495.db2.gz SRHOZXBXVSWHQR-KBPBESRZSA-N -1 1 305.374 1.830 20 0 DDADMM Cc1noc([C@@H]2CCCN2C(=O)C(=O)c2ccc([O-])cc2)n1 ZINC000286473041 219008203 /nfs/dbraw/zinc/00/82/03/219008203.db2.gz HBKRZGSGZDOVLM-LBPRGKRZSA-N -1 1 301.302 1.630 20 0 DDADMM O=C(N=c1cc(-c2cccs2)[n-][nH]1)[C@@H]1CCc2cncn2C1 ZINC000567976183 304257469 /nfs/dbraw/zinc/25/74/69/304257469.db2.gz IVBJTLUWRIMHFV-SNVBAGLBSA-N -1 1 313.386 1.958 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C)C[C@H](C)C2)o1 ZINC000157890159 197224122 /nfs/dbraw/zinc/22/41/22/197224122.db2.gz PZHHLCRJNFJLBN-QWRGUYRKSA-N -1 1 314.407 1.696 20 0 DDADMM CCCc1nc(C)c(C(=O)[N-]c2nc(C(=O)OC)ns2)o1 ZINC000567992089 304260094 /nfs/dbraw/zinc/26/00/94/304260094.db2.gz IKHZLCJKKDFNTB-UHFFFAOYSA-N -1 1 310.335 1.826 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@]1(C)CCOC1 ZINC000156974410 197153866 /nfs/dbraw/zinc/15/38/66/197153866.db2.gz PPGAKBVCPTUZSU-MRXNPFEDSA-N -1 1 318.377 1.280 20 0 DDADMM CCC1(CNC(=O)c2coc(S(=O)(=O)[N-]C)c2)CCCC1 ZINC000156953393 197152460 /nfs/dbraw/zinc/15/24/60/197152460.db2.gz DYRYZVQCOCURGL-UHFFFAOYSA-N -1 1 314.407 1.888 20 0 DDADMM O=C([O-])C[C@H]1CN(CCN2CCCc3ccccc32)CCO1 ZINC000568059197 304265507 /nfs/dbraw/zinc/26/55/07/304265507.db2.gz ZJAQHMMPYMTDLK-HNNXBMFYSA-N -1 1 304.390 1.615 20 0 DDADMM COc1ccc(CN(Cc2n[nH]c(=O)[n-]2)[C@@H](CO)C(C)C)cc1 ZINC000352719477 285252649 /nfs/dbraw/zinc/25/26/49/285252649.db2.gz GQVAVRNPZPZVGH-AWEZNQCLSA-N -1 1 320.393 1.538 20 0 DDADMM O=C(CCc1nn[n-]n1)N1C[C@H]2CCCCN2c2ccccc21 ZINC000633212948 422808530 /nfs/dbraw/zinc/80/85/30/422808530.db2.gz CHNLPOAZZUDRPP-GFCCVEGCSA-N -1 1 312.377 1.538 20 0 DDADMM O=C(c1ccc(Cl)cc1)C1CCN(Cc2nc(=O)[n-][nH]2)CC1 ZINC000271645781 209090096 /nfs/dbraw/zinc/09/00/96/209090096.db2.gz SVYYXPCJIUFXGJ-UHFFFAOYSA-N -1 1 320.780 1.846 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2cnc(C)s2)s1 ZINC000289478735 221004934 /nfs/dbraw/zinc/00/49/34/221004934.db2.gz IOZGXLRLRXZGPL-UHFFFAOYSA-N -1 1 304.422 1.344 20 0 DDADMM Cn1nnc2c1C[C@H](c1nc(-c3ccc([O-])cc3F)no1)CC2 ZINC000289815239 221244769 /nfs/dbraw/zinc/24/47/69/221244769.db2.gz OERYEIRMTUZZJX-MRVPVSSYSA-N -1 1 315.308 1.982 20 0 DDADMM CS(=O)(=O)N1CCCN(Cc2cccc([O-])c2Cl)CC1 ZINC000294807021 533385250 /nfs/dbraw/zinc/38/52/50/533385250.db2.gz DUNXVPOLGPIHOU-UHFFFAOYSA-N -1 1 318.826 1.513 20 0 DDADMM C[C@@H](O)C[C@H](C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352954978 285412558 /nfs/dbraw/zinc/41/25/58/285412558.db2.gz SYCRSQLNPCGFHT-NKWVEPMBSA-N -1 1 312.185 1.480 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCC(=O)OC(C)C ZINC000353731244 285895027 /nfs/dbraw/zinc/89/50/27/285895027.db2.gz KDAYAXNMPDCGPX-UHFFFAOYSA-N -1 1 313.379 1.284 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc(O)cc1F ZINC000360857886 299762288 /nfs/dbraw/zinc/76/22/88/299762288.db2.gz IGEAUTDJEZBPIR-UHFFFAOYSA-N -1 1 305.265 1.000 20 0 DDADMM COCC[C@H](CO)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000359980832 306969223 /nfs/dbraw/zinc/96/92/23/306969223.db2.gz HISIEYZLDFKEOR-MRVPVSSYSA-N -1 1 311.762 1.155 20 0 DDADMM Cc1ccc(NC(=O)[C@@H](C)S(=O)(=O)c2ncn[n-]2)c(F)c1 ZINC000086169610 407108967 /nfs/dbraw/zinc/10/89/67/407108967.db2.gz BFSGZMGGGRRQPY-MRVPVSSYSA-N -1 1 312.326 1.053 20 0 DDADMM Cc1ccc(NC(=O)[C@@H](C)S(=O)(=O)c2nc[n-]n2)c(F)c1 ZINC000086169610 407108970 /nfs/dbraw/zinc/10/89/70/407108970.db2.gz BFSGZMGGGRRQPY-MRVPVSSYSA-N -1 1 312.326 1.053 20 0 DDADMM O=C(CNC(=O)c1cc(F)ccc1[O-])N1CCc2ccccc21 ZINC000080023080 407068205 /nfs/dbraw/zinc/06/82/05/407068205.db2.gz AAZLNSXHDLSQPU-UHFFFAOYSA-N -1 1 314.316 1.850 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2c(C)c(C)nn2C)c1 ZINC000122350555 407298652 /nfs/dbraw/zinc/29/86/52/407298652.db2.gz AAOLMROHJFSJBW-UHFFFAOYSA-N -1 1 302.334 1.953 20 0 DDADMM CC(C)(C)NC(=O)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000101569474 407311678 /nfs/dbraw/zinc/31/16/78/407311678.db2.gz JZYFQJBRKHQIGE-UHFFFAOYSA-N -1 1 306.334 1.158 20 0 DDADMM Cc1cccc(CNC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)c1 ZINC000103941510 407344500 /nfs/dbraw/zinc/34/45/00/407344500.db2.gz KNKVVWIMOLHZIA-UHFFFAOYSA-N -1 1 324.384 1.807 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000124586160 407362555 /nfs/dbraw/zinc/36/25/55/407362555.db2.gz WNGZIFYDDLSGSM-JOYOIKCWSA-N -1 1 323.784 1.539 20 0 DDADMM CC(C)[C@@H](NC(=O)c1cc(Br)ccc1[O-])C(N)=O ZINC000107187148 407370536 /nfs/dbraw/zinc/37/05/36/407370536.db2.gz JLDZMTITWXIXEW-SNVBAGLBSA-N -1 1 315.167 1.394 20 0 DDADMM C[C@H](C(=O)NCC(F)(F)F)S(=O)(=O)c1ccc([O-])cc1 ZINC000125525154 407390815 /nfs/dbraw/zinc/39/08/15/407390815.db2.gz OVHZBITXJPRPRC-SSDOTTSWSA-N -1 1 311.281 1.233 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccccc2F)C1=O)c1cncc([O-])c1 ZINC000112402844 407428352 /nfs/dbraw/zinc/42/83/52/407428352.db2.gz AKVGCVPJJANIQD-CYBMUJFWSA-N -1 1 315.304 1.462 20 0 DDADMM C[C@H](c1nc(C2CC2)no1)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127226795 407431557 /nfs/dbraw/zinc/43/15/57/407431557.db2.gz JKQMZQUYFKPEQA-ZCFIWIBFSA-N -1 1 309.351 1.477 20 0 DDADMM COc1cc(OC)cc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)c1 ZINC000178570747 407496336 /nfs/dbraw/zinc/49/63/36/407496336.db2.gz FUQSHDKRYSRYCM-UHFFFAOYSA-N -1 1 311.363 1.845 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC000265906430 407506071 /nfs/dbraw/zinc/50/60/71/407506071.db2.gz BBOSZRAVGSYZQO-LLVKDONJSA-N -1 1 321.377 1.820 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC1CCOCC1 ZINC000195482822 407462589 /nfs/dbraw/zinc/46/25/89/407462589.db2.gz OZGLFZWUNACSSS-UHFFFAOYSA-N -1 1 318.377 1.280 20 0 DDADMM CC(C)N1CC[C@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1=O ZINC000114743365 407610955 /nfs/dbraw/zinc/61/09/55/407610955.db2.gz AJWKHQCTSVBIIE-JTQLQIEISA-N -1 1 318.345 1.252 20 0 DDADMM CN(CC(=O)[O-])C(=O)c1[nH]nc2ccc(Br)cc21 ZINC000178929565 407660495 /nfs/dbraw/zinc/66/04/95/407660495.db2.gz LCVFEBKFLIINRC-UHFFFAOYSA-N -1 1 312.123 1.482 20 0 DDADMM C[C@H](CC(N)=O)NC(=O)c1cc(Br)ccc1[O-] ZINC000231676881 407698343 /nfs/dbraw/zinc/69/83/43/407698343.db2.gz DNMWMEPNXHKYRH-ZCFIWIBFSA-N -1 1 301.140 1.148 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Br)c([O-])c2)CC[C@H]1O ZINC000186601486 407715372 /nfs/dbraw/zinc/71/53/72/407715372.db2.gz KGMPILKLTHYPRB-LDYMZIIASA-N -1 1 314.179 1.998 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N(CCO)C1CC1 ZINC000236493780 407726913 /nfs/dbraw/zinc/72/69/13/407726913.db2.gz MTPAQXUWHNRUGM-UHFFFAOYSA-N -1 1 300.152 1.752 20 0 DDADMM COc1ccc(CN2C[C@H](C(=O)[N-]OCC(C)C)CC2=O)cc1 ZINC000153378995 407813177 /nfs/dbraw/zinc/81/31/77/407813177.db2.gz GHDQPUKYPULQII-CQSZACIVSA-N -1 1 320.389 1.748 20 0 DDADMM C[C@H](C(=O)Nc1ccc2c(c1)OCO2)N1CC[C@@H](C(=O)[O-])C1 ZINC000262640389 407889734 /nfs/dbraw/zinc/88/97/34/407889734.db2.gz IVZOVXIMKILZBF-NXEZZACHSA-N -1 1 306.318 1.149 20 0 DDADMM C[C@@H](Cc1c(F)cccc1F)NC(=O)CN(C)CCC(=O)[O-] ZINC000262688281 407902336 /nfs/dbraw/zinc/90/23/36/407902336.db2.gz YSONXZCHUIFUCS-JTQLQIEISA-N -1 1 314.332 1.419 20 0 DDADMM CCc1oc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])cc1C ZINC000181030646 407950217 /nfs/dbraw/zinc/95/02/17/407950217.db2.gz IMYWIYYKHPQOSV-UHFFFAOYSA-N -1 1 324.358 1.756 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccncc2C)o1 ZINC000188831114 407980287 /nfs/dbraw/zinc/98/02/87/407980287.db2.gz MDURUDXIWVEPEP-UHFFFAOYSA-N -1 1 324.358 1.638 20 0 DDADMM CCc1cnc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)s1 ZINC000119295501 408036328 /nfs/dbraw/zinc/03/63/28/408036328.db2.gz NPBJGWQSNDQTFH-UHFFFAOYSA-N -1 1 317.374 1.623 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC(C)(C)c1nccs1)c2=O ZINC000182020684 408065853 /nfs/dbraw/zinc/06/58/53/408065853.db2.gz BDBXVZLOLKDPKQ-UHFFFAOYSA-N -1 1 317.374 1.796 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2C[C@H](C)C[C@H](C)C2)co1 ZINC000245929176 408103554 /nfs/dbraw/zinc/10/35/54/408103554.db2.gz QDIKWRGXVAZUCO-IAZYJMLFSA-N -1 1 314.407 1.742 20 0 DDADMM CCCC[C@H](NC(=O)c1n[nH]nc1-c1ccccc1)C(=O)[O-] ZINC000263399789 408130119 /nfs/dbraw/zinc/13/01/19/408130119.db2.gz GHOSVDHICZSPQM-NSHDSACASA-N -1 1 302.334 1.845 20 0 DDADMM NC(=O)[C@H](NC(=O)c1cc(F)ccc1[O-])c1ccc(F)cc1 ZINC000137125168 408142791 /nfs/dbraw/zinc/14/27/91/408142791.db2.gz QQPBFCDOVQALCA-CYBMUJFWSA-N -1 1 306.268 1.627 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1CCCO1 ZINC000273326866 408155326 /nfs/dbraw/zinc/15/53/26/408155326.db2.gz IDHFSXQWIWMBFS-UHFFFAOYSA-N -1 1 300.318 1.259 20 0 DDADMM COc1cccc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000155472661 408169709 /nfs/dbraw/zinc/16/97/09/408169709.db2.gz PFMDABDBOUWUND-GWJCSSMESA-N -1 1 313.361 1.628 20 0 DDADMM COC[C@@](C)(NCc1cc(=O)oc2cc([O-])ccc12)C(=O)OC ZINC000273380609 408173526 /nfs/dbraw/zinc/17/35/26/408173526.db2.gz YTLRUIQOPGGSOD-MRXNPFEDSA-N -1 1 321.329 1.166 20 0 DDADMM Cc1cccc(OCCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000155557120 408180314 /nfs/dbraw/zinc/18/03/14/408180314.db2.gz HCIXDBUKGGLENT-ZDUSSCGKSA-N -1 1 315.377 1.683 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cn1 ZINC000155554782 408180979 /nfs/dbraw/zinc/18/09/79/408180979.db2.gz CAWSFLWIRZXBNF-LBPRGKRZSA-N -1 1 300.366 1.738 20 0 DDADMM Cc1nc(C)c(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)s1 ZINC000132767568 162043076 /nfs/dbraw/zinc/04/30/76/162043076.db2.gz WEHPJLRGTNPPHJ-UHFFFAOYSA-N -1 1 317.374 1.677 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCc2cc(F)cc(F)c2)C1 ZINC000164525601 162151351 /nfs/dbraw/zinc/15/13/51/162151351.db2.gz WIYMUSUMSGMBTH-NSHDSACASA-N -1 1 312.316 1.378 20 0 DDADMM COCCc1cc(NC(=O)c2ccc(OC)cc2[O-])n(C)n1 ZINC000158555908 408346560 /nfs/dbraw/zinc/34/65/60/408346560.db2.gz SGPBTERVKPELFY-UHFFFAOYSA-N -1 1 305.334 1.576 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Br)cc2[O-])CC[C@@H]1O ZINC000159253864 408393191 /nfs/dbraw/zinc/39/31/91/408393191.db2.gz BRFGGRGYTJQQSB-KWQFWETISA-N -1 1 314.179 1.998 20 0 DDADMM O=S(=O)([N-][C@H]1CCCOCC1)c1ccc(F)c(F)c1F ZINC000183383605 408394490 /nfs/dbraw/zinc/39/44/90/408394490.db2.gz ZSVKUGSHLDVVDX-QMMMGPOBSA-N -1 1 309.309 1.951 20 0 DDADMM CCC[C@@H](NC(=O)CNC(=O)CC1CCCCC1)c1nn[n-]n1 ZINC000176767866 408437442 /nfs/dbraw/zinc/43/74/42/408437442.db2.gz JNIAOVOBJASKCU-GFCCVEGCSA-N -1 1 322.413 1.244 20 0 DDADMM C[C@@H](CN(C)C(=O)CCC(=O)c1cccc(F)c1)c1nn[n-]n1 ZINC000274735086 408514379 /nfs/dbraw/zinc/51/43/79/408514379.db2.gz DJWZFDZHHDICQI-JTQLQIEISA-N -1 1 319.340 1.564 20 0 DDADMM Cc1cn(-c2c(F)cccc2F)nc1C(=O)Nc1nn[n-]n1 ZINC000191695527 408472592 /nfs/dbraw/zinc/47/25/92/408472592.db2.gz AOQZEJFZRKDEJJ-UHFFFAOYSA-N -1 1 305.248 1.224 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1cc(F)cc(F)c1)C(F)(F)F ZINC000270593259 408595857 /nfs/dbraw/zinc/59/58/57/408595857.db2.gz ZBAQRHYCEUSOLJ-SECBINFHSA-N -1 1 319.251 1.556 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc([C@H]2CCOC2)c1)c1nn[n-]n1 ZINC000274810654 408540335 /nfs/dbraw/zinc/54/03/35/408540335.db2.gz VLBUBKOYBBZWGR-RISCZKNCSA-N -1 1 315.377 1.579 20 0 DDADMM C/C=C/C[C@@H](CO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000185280852 408791634 /nfs/dbraw/zinc/79/16/34/408791634.db2.gz DEEMROLQPGMTQI-SGJFDWMWSA-N -1 1 309.309 1.709 20 0 DDADMM CN1CCO[C@@H](CN(CC2CC2)C(=O)c2ccc([O-])cc2F)C1 ZINC000276363125 408843480 /nfs/dbraw/zinc/84/34/80/408843480.db2.gz IJPSWEDNHBBILL-CQSZACIVSA-N -1 1 322.380 1.714 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]1CCO)c1cccc(F)c1F ZINC000188918855 163077240 /nfs/dbraw/zinc/07/72/40/163077240.db2.gz GMOZOKBVADIPMD-GXSJLCMTSA-N -1 1 305.346 1.794 20 0 DDADMM O=C(NC[C@H]1COCCO1)c1ccc(Br)c([O-])c1 ZINC000231328206 163350179 /nfs/dbraw/zinc/35/01/79/163350179.db2.gz FDSJZERQUATWTA-VIFPVBQESA-N -1 1 316.151 1.300 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCSC(C)C)co1 ZINC000286851935 409001288 /nfs/dbraw/zinc/00/12/88/409001288.db2.gz XYKXRBBVHPOOBQ-UHFFFAOYSA-N -1 1 306.409 1.059 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CC[C@@H](C)OC)c1 ZINC000292238814 409007504 /nfs/dbraw/zinc/00/75/04/409007504.db2.gz NTAAIOHQKLDHEG-SECBINFHSA-N -1 1 316.379 1.054 20 0 DDADMM CCOC(=O)CC(C)(C)CC(=O)Nc1nc(SC)n[nH]1 ZINC000287306269 409016367 /nfs/dbraw/zinc/01/63/67/409016367.db2.gz JPTYYVJORQROAT-UHFFFAOYSA-N -1 1 300.384 1.835 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C[C@@H](C)OC)cc1C ZINC000282316274 409032672 /nfs/dbraw/zinc/03/26/72/409032672.db2.gz YYCRKRGQVKLOBD-SNVBAGLBSA-N -1 1 301.364 1.558 20 0 DDADMM C[C@H]1CCN(Cc2ccn(C)n2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000287439679 409037197 /nfs/dbraw/zinc/03/71/97/409037197.db2.gz QANKWIVKHITXLR-NHCYSSNCSA-N -1 1 318.343 1.698 20 0 DDADMM C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)c1ccc([O-])cc1F ZINC000287588628 409060738 /nfs/dbraw/zinc/06/07/38/409060738.db2.gz XWCIWUMNYCVXKR-NEPJUHHUSA-N -1 1 308.353 1.467 20 0 DDADMM CCC[C@@](C)(NC(=O)c1ccc2n[n-]c(=S)n2c1)C(=O)OC ZINC000283034728 409073816 /nfs/dbraw/zinc/07/38/16/409073816.db2.gz MYGGTLGOKFOTNZ-CQSZACIVSA-N -1 1 322.390 1.480 20 0 DDADMM CC(C)(C)C[C@@H](CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283552000 409175789 /nfs/dbraw/zinc/17/57/89/409175789.db2.gz VDFMDLBEMGHQQP-JTQLQIEISA-N -1 1 308.407 1.545 20 0 DDADMM O=c1nc([C@@H]2CCCCN2Cc2nc(-c3ccco3)no2)[nH][n-]1 ZINC000289043405 409211469 /nfs/dbraw/zinc/21/14/69/409211469.db2.gz BRFHBJMLEYOPJQ-VIFPVBQESA-N -1 1 316.321 1.468 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@@H]1c1cccc(F)c1 ZINC000289276305 409240911 /nfs/dbraw/zinc/24/09/11/409240911.db2.gz DSNNXNUAQHWZFY-GHMZBOCLSA-N -1 1 311.338 1.539 20 0 DDADMM COc1ccc(N2C[C@@H](C(=O)[N-]OCCSC)CC2=O)cc1 ZINC000280173259 409263798 /nfs/dbraw/zinc/26/37/98/409263798.db2.gz ZGTNPIHYZCQQOV-NSHDSACASA-N -1 1 324.402 1.459 20 0 DDADMM Cc1nc2cc(C(=O)N(C)C[C@H](C)c3nn[n-]n3)ccc2o1 ZINC000279392400 409204885 /nfs/dbraw/zinc/20/48/85/409204885.db2.gz ADBOOIYFAGHEMQ-QMMMGPOBSA-N -1 1 300.322 1.525 20 0 DDADMM CCOCCOC1CN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000289409422 409265967 /nfs/dbraw/zinc/26/59/67/409265967.db2.gz HQPGGNZPNGTZKB-UHFFFAOYSA-N -1 1 301.289 1.548 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)N(C)CCCOc1ccccc1 ZINC000262681104 163864834 /nfs/dbraw/zinc/86/48/34/163864834.db2.gz VZKJFXFWNONAQJ-UHFFFAOYSA-N -1 1 308.378 1.320 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@@H](C)NCc2ccccc21 ZINC000333839693 164073123 /nfs/dbraw/zinc/07/31/23/164073123.db2.gz KWYZDPQXEHPLLN-SECBINFHSA-N -1 1 322.390 1.083 20 0 DDADMM C[C@@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000285497557 409465944 /nfs/dbraw/zinc/46/59/44/409465944.db2.gz FACLLOPGRAZGFM-RKDXNWHRSA-N -1 1 307.803 1.024 20 0 DDADMM Cc1oc(-c2ccco2)nc1CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000359803351 164146461 /nfs/dbraw/zinc/14/64/61/164146461.db2.gz VOKTVUNOGYAGFO-UHFFFAOYSA-N -1 1 316.321 1.350 20 0 DDADMM O=C(c1n[nH]c2c1CCC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000408000244 164194540 /nfs/dbraw/zinc/19/45/40/164194540.db2.gz YTHRHTRPQYBFMV-QMMMGPOBSA-N -1 1 303.322 1.007 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCCC[C@H]2C)o1 ZINC000408166456 164249051 /nfs/dbraw/zinc/24/90/51/164249051.db2.gz YPJXMYSGWJHGOM-SNVBAGLBSA-N -1 1 300.380 1.592 20 0 DDADMM Cc1cnc(C(=O)N2CCn3c(nnc3C(C)C)C2)c([O-])c1 ZINC000408368739 164310247 /nfs/dbraw/zinc/31/02/47/164310247.db2.gz MUAZEZSOINZUCQ-UHFFFAOYSA-N -1 1 301.350 1.467 20 0 DDADMM CCC[C@@]1(C)CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000337918449 409567577 /nfs/dbraw/zinc/56/75/77/409567577.db2.gz WPRHWQZUFIVQDG-KRWDZBQOSA-N -1 1 319.405 1.946 20 0 DDADMM CO[C@@H](C)c1nc(=Nc2ccc3[nH]nc(C(N)=O)c3c2)s[n-]1 ZINC000337868560 409522528 /nfs/dbraw/zinc/52/25/28/409522528.db2.gz MBTRZSCVZKVBRI-LURJTMIESA-N -1 1 318.362 1.386 20 0 DDADMM C[C@H](F)CCNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000356692034 409525906 /nfs/dbraw/zinc/52/59/06/409525906.db2.gz FYEVREDOIAVQLP-VIFPVBQESA-N -1 1 320.386 1.834 20 0 DDADMM CC(C)CCC[C@@H](C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337941736 409589932 /nfs/dbraw/zinc/58/99/32/409589932.db2.gz MFQUFZCTDWDHKV-GFCCVEGCSA-N -1 1 307.394 1.848 20 0 DDADMM Cc1cnc(C(=O)N2CC(=O)N(C)[C@H]2c2ccccc2)c([O-])c1 ZINC000356980816 409765381 /nfs/dbraw/zinc/76/53/81/409765381.db2.gz JHGHACFXZHQPDJ-MRXNPFEDSA-N -1 1 311.341 1.709 20 0 DDADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-]c1cccc(F)c1Cl ZINC000342636617 409777709 /nfs/dbraw/zinc/77/77/09/409777709.db2.gz KUYWJLJODNWURX-SSDOTTSWSA-N -1 1 308.762 1.698 20 0 DDADMM O=C(Cc1coc(-c2ccccc2)n1)NC1(c2nn[n-]n2)CC1 ZINC000357057573 409825023 /nfs/dbraw/zinc/82/50/23/409825023.db2.gz ZCYMJAIHAZPCKI-UHFFFAOYSA-N -1 1 310.317 1.203 20 0 DDADMM CC(C)N1CCN(C(=O)NCc2cccc(C(=O)[O-])c2)CC1 ZINC000316570677 409855119 /nfs/dbraw/zinc/85/51/19/409855119.db2.gz CRLLHIIOSVZCGH-UHFFFAOYSA-N -1 1 305.378 1.620 20 0 DDADMM CC[C@](NC(=O)c1ncccc1[O-])(C(=O)OC)c1ccccc1 ZINC000342723832 409845262 /nfs/dbraw/zinc/84/52/62/409845262.db2.gz HLKCUETYZWPBLH-QGZVFWFLSA-N -1 1 314.341 1.996 20 0 DDADMM O=C(NCc1cn2ccsc2n1)c1ccc2nnc([O-])n2c1 ZINC000338265350 409851171 /nfs/dbraw/zinc/85/11/71/409851171.db2.gz IYAGPVKKWGWCKQ-UHFFFAOYSA-N -1 1 314.330 1.074 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC[C@@]2(C1)CCCOC2 ZINC000357093702 409871061 /nfs/dbraw/zinc/87/10/61/409871061.db2.gz LLGZNLDZIUIEOO-OAHLLOKOSA-N -1 1 323.418 1.855 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC(C)(C)CC(C)(C)C1 ZINC000349589688 409880742 /nfs/dbraw/zinc/88/07/42/409880742.db2.gz ZQRRRUAJRKFCNG-UHFFFAOYSA-N -1 1 301.412 1.842 20 0 DDADMM NC(=O)[C@@H]1CCCCC[C@H]1N=c1nc(C(F)(F)F)[n-]s1 ZINC000342775178 409896456 /nfs/dbraw/zinc/89/64/56/409896456.db2.gz DFHIGDDEEZQHCA-RNFRBKRXSA-N -1 1 308.329 1.825 20 0 DDADMM Cc1nc([C@@H]2CN(C(=O)c3c([O-])cccc3F)CCO2)n[nH]1 ZINC000332247792 410014201 /nfs/dbraw/zinc/01/42/01/410014201.db2.gz BRYPDHXSWGLCTP-NSHDSACASA-N -1 1 306.297 1.172 20 0 DDADMM Cc1c(NS(=O)(=O)c2cccc(C(=O)[O-])c2C)cnn1C ZINC000357417638 410028077 /nfs/dbraw/zinc/02/80/77/410028077.db2.gz AUIHZQLZVSRGQA-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM CN1CCN(Cc2nc(-c3ccc([O-])cc3Cl)no2)CC1=O ZINC000350810858 409997946 /nfs/dbraw/zinc/99/79/46/409997946.db2.gz BCTVZICPFZMFOR-UHFFFAOYSA-N -1 1 322.752 1.370 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)Cc1c(C)cc(C)cc1C ZINC000297790881 410001945 /nfs/dbraw/zinc/00/19/45/410001945.db2.gz RJNBKADEGKEREL-UHFFFAOYSA-N -1 1 301.350 1.271 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCCN2CCCC2=O)sc1C ZINC000332295469 410049309 /nfs/dbraw/zinc/04/93/09/410049309.db2.gz IHIFDKIPCPSKIA-UHFFFAOYSA-N -1 1 317.436 1.051 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)C[C@@H](C)[C@H]2C)co1 ZINC000354739068 410052570 /nfs/dbraw/zinc/05/25/70/410052570.db2.gz VAQWCZMSGYZGMW-GMTAPVOTSA-N -1 1 314.407 1.694 20 0 DDADMM COc1cccc([C@H](C)N2CCN(c3nc(=N)[n-]s3)CC2)c1 ZINC000332426725 410150035 /nfs/dbraw/zinc/15/00/35/410150035.db2.gz CMYLXNHTUNHJQW-NSHDSACASA-N -1 1 319.434 1.842 20 0 DDADMM O=C(Cc1ccccc1F)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332432855 410152130 /nfs/dbraw/zinc/15/21/30/410152130.db2.gz ZMLMFWTWZALCKH-LLVKDONJSA-N -1 1 305.309 1.863 20 0 DDADMM COCCO[C@@H]1COCC[C@H]1[NH2+]Cc1cccc([O-])c1Cl ZINC000339360945 410125867 /nfs/dbraw/zinc/12/58/67/410125867.db2.gz MWYAPFRUEKDTIQ-TZMCWYRMSA-N -1 1 315.797 1.956 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCOc1ccc(Cl)c(F)c1 ZINC000633309759 422855575 /nfs/dbraw/zinc/85/55/75/422855575.db2.gz UTBADWYENOOJSR-UHFFFAOYSA-N -1 1 313.720 1.120 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCO1 ZINC000332446575 410163947 /nfs/dbraw/zinc/16/39/47/410163947.db2.gz KGVPLFPBECSXOI-NWDGAFQWSA-N -1 1 309.366 1.686 20 0 DDADMM COc1ccc(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)nc1 ZINC000339432566 410183771 /nfs/dbraw/zinc/18/37/71/410183771.db2.gz GWDXEXLJHVSBNC-UHFFFAOYSA-N -1 1 301.228 1.408 20 0 DDADMM CC(C)[C@@H](C[N@H+]1CCN2C(=O)NC(=O)[C@H]2C1)c1ccccc1 ZINC000332678794 410206210 /nfs/dbraw/zinc/20/62/10/410206210.db2.gz UDGVZQRVFLNLCQ-HUUCEWRRSA-N -1 1 301.390 1.662 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N(CC1CC1)CC1CC1 ZINC000343384850 410414454 /nfs/dbraw/zinc/41/44/54/410414454.db2.gz JYHMTDKLZNDOAL-UHFFFAOYSA-N -1 1 303.362 1.166 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)(F)F)c1ccc(F)cc1F ZINC000339785426 410458415 /nfs/dbraw/zinc/45/84/15/410458415.db2.gz CWXHDYVOPDBARZ-ZETCQYMHSA-N -1 1 319.251 1.556 20 0 DDADMM CC[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C1CCOCC1 ZINC000358389664 410460391 /nfs/dbraw/zinc/46/03/91/410460391.db2.gz AAAPHISYIZMKDH-ZDUSSCGKSA-N -1 1 318.377 1.609 20 0 DDADMM CN1CCO[C@@H]2CN(C(=O)c3cc4ccccc4cc3[O-])C[C@H]21 ZINC000333230925 410434709 /nfs/dbraw/zinc/43/47/09/410434709.db2.gz GPTJPHYVNKVJKF-NVXWUHKLSA-N -1 1 312.369 1.700 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)Cc1cccc(Br)c1 ZINC000347386306 410513619 /nfs/dbraw/zinc/51/36/19/410513619.db2.gz PULZDSLIWLYXKH-UHFFFAOYSA-N -1 1 311.183 1.503 20 0 DDADMM C[C@@H](NC(=O)c1cncc([O-])c1)C(=O)N1Cc2ccccc2C1 ZINC000355438976 410514688 /nfs/dbraw/zinc/51/46/88/410514688.db2.gz YDZCTGCVHLHXEA-LLVKDONJSA-N -1 1 311.341 1.448 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(=O)c2ccc(C)cc2)n1 ZINC000352163640 410519340 /nfs/dbraw/zinc/51/93/40/410519340.db2.gz FLWINHRBCFSFAI-UHFFFAOYSA-N -1 1 301.302 1.716 20 0 DDADMM C[C@@H](c1ccc([S@@](C)=O)cc1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC000347413219 410532130 /nfs/dbraw/zinc/53/21/30/410532130.db2.gz BMKOMKIZSWXRLY-CHNSCGDPSA-N -1 1 308.407 1.039 20 0 DDADMM Cn1[n-]c(CN2CCN(C3CC3)c3ccc(F)cc32)nc1=O ZINC000330167732 410570549 /nfs/dbraw/zinc/57/05/49/410570549.db2.gz VYQIPOLCDLCKMT-UHFFFAOYSA-N -1 1 303.341 1.237 20 0 DDADMM Cn1[n-]c(CN[C@H](C(=O)OC(C)(C)C)c2ccccc2)nc1=O ZINC000347483051 410578580 /nfs/dbraw/zinc/57/85/80/410578580.db2.gz HXYNVFBAJHXUDN-ZDUSSCGKSA-N -1 1 318.377 1.281 20 0 DDADMM Cn1[n-]c(CN[C@@H](CC(F)(F)F)c2ccccc2)nc1=O ZINC000347537598 410603875 /nfs/dbraw/zinc/60/38/75/410603875.db2.gz SEGQBZLXCFDZOS-JTQLQIEISA-N -1 1 300.284 1.892 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cscc2C)co1 ZINC000347610270 410627897 /nfs/dbraw/zinc/62/78/97/410627897.db2.gz NUTHWUZSPCFXOI-UHFFFAOYSA-N -1 1 314.388 1.488 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)CCCSC)co1 ZINC000343883224 410777426 /nfs/dbraw/zinc/77/74/26/410777426.db2.gz OWDJZXCRCIWGOM-UHFFFAOYSA-N -1 1 306.409 1.013 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C[C@H]3C[C@H]3C)CC2)n1 ZINC000356032152 410785309 /nfs/dbraw/zinc/78/53/09/410785309.db2.gz DDJNSTFGKQBVGZ-ZYHUDNBSSA-N -1 1 320.393 1.734 20 0 DDADMM CSCc1ccc(CNc2nc3[nH][n-]cc-3c(=O)n2)cc1 ZINC000343910274 410801779 /nfs/dbraw/zinc/80/17/79/410801779.db2.gz JZBQRNZUPKJITO-UHFFFAOYSA-N -1 1 301.375 1.907 20 0 DDADMM CO[C@H](C)c1nc(=NCC2(S(C)(=O)=O)CCCC2)s[n-]1 ZINC000343916577 410807000 /nfs/dbraw/zinc/80/70/00/410807000.db2.gz QJHWFBRPHRSCBT-SECBINFHSA-N -1 1 319.452 1.437 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2[C@@H](C)C2(F)F)c1 ZINC000359681683 410812399 /nfs/dbraw/zinc/81/23/99/410812399.db2.gz LJESTQMCXRUEPS-LDWIPMOCSA-N -1 1 320.317 1.140 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cc(C)oc2C)c1 ZINC000359700805 410825096 /nfs/dbraw/zinc/82/50/96/410825096.db2.gz REPSBZOGCJLVTI-UHFFFAOYSA-N -1 1 324.358 1.762 20 0 DDADMM N=c1nc(N2CCN(C(=O)CCCC(F)(F)F)CC2)s[n-]1 ZINC000330650594 410849226 /nfs/dbraw/zinc/84/92/26/410849226.db2.gz XKXOAZJMRWYWLS-UHFFFAOYSA-N -1 1 323.344 1.332 20 0 DDADMM Cc1ncc(C[N-]S(=O)(=O)c2cc(F)ccc2F)c(=O)[nH]1 ZINC000343963563 410852801 /nfs/dbraw/zinc/85/28/01/410852801.db2.gz CTPDFOCSMZOMCB-UHFFFAOYSA-N -1 1 315.301 1.247 20 0 DDADMM O=C(N[C@@H]1CC[S@@](=O)C1)c1ccc(Br)c([O-])c1 ZINC000343977899 410863129 /nfs/dbraw/zinc/86/31/29/410863129.db2.gz KPTWZNRKBIBWBO-CQLKUDPESA-N -1 1 318.192 1.406 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ncc(C)cc2[O-])[C@@H](CC)CN1C(C)=O ZINC000427241127 287178807 /nfs/dbraw/zinc/17/88/07/287178807.db2.gz ATWPQCHKMBTIJC-KGLIPLIRSA-N -1 1 319.405 1.957 20 0 DDADMM Cc1c(C(=O)NC(C)(C)c2nn[n-]n2)cnn1-c1ccccc1 ZINC000359797624 410888683 /nfs/dbraw/zinc/88/86/83/410888683.db2.gz YDWSWWOOQANJAE-UHFFFAOYSA-N -1 1 311.349 1.359 20 0 DDADMM C[C@H]1OCC[C@@]1(O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000331200474 411013027 /nfs/dbraw/zinc/01/30/27/411013027.db2.gz PPEYHDHNBMMVBT-PRHODGIISA-N -1 1 323.773 1.297 20 0 DDADMM COC[C@H]1CN(C(=O)c2cc(Cl)c([O-])c(OC)c2)CCO1 ZINC000331283147 411057024 /nfs/dbraw/zinc/05/70/24/411057024.db2.gz WAWYDGKVDXRRID-SNVBAGLBSA-N -1 1 315.753 1.542 20 0 DDADMM CN(Cc1ccc(Br)s1)Cc1nc(=O)n(C)[n-]1 ZINC000353478020 411017383 /nfs/dbraw/zinc/01/73/83/411017383.db2.gz LIJMIJYSVGXRDI-UHFFFAOYSA-N -1 1 317.212 1.564 20 0 DDADMM COCC[C@H](CO)Nc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000341377787 411030703 /nfs/dbraw/zinc/03/07/03/411030703.db2.gz CRXZDVHQLVCJJU-CYBMUJFWSA-N -1 1 318.377 1.670 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C[C@@H]1C ZINC000331254947 411044005 /nfs/dbraw/zinc/04/40/05/411044005.db2.gz UQSZZERVOUTBEL-IUCAKERBSA-N -1 1 313.300 1.942 20 0 DDADMM CCOC(=O)c1ccc(C(=O)Nc2nc(SC)n[nH]2)cc1 ZINC000353779461 411128404 /nfs/dbraw/zinc/12/84/04/411128404.db2.gz JRPLTDCMWLHQFC-UHFFFAOYSA-N -1 1 306.347 1.956 20 0 DDADMM CCN(Cc1ccncc1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000356563689 411090175 /nfs/dbraw/zinc/09/01/75/411090175.db2.gz MLELYMPCLRXZNY-UHFFFAOYSA-N -1 1 308.341 1.980 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](C1CCCCC1)C(F)(F)F ZINC000631701180 422885874 /nfs/dbraw/zinc/88/58/74/422885874.db2.gz WEPCTROPJZFQLP-LLVKDONJSA-N -1 1 305.304 1.760 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N(C)C[C@@H]1CCCO1 ZINC000580201770 422901817 /nfs/dbraw/zinc/90/18/17/422901817.db2.gz DFGJYLOPKXBHNV-WFASDCNBSA-N -1 1 320.389 1.500 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](C)Cn2cccn2)sn1 ZINC000631760636 422911253 /nfs/dbraw/zinc/91/12/53/422911253.db2.gz FMXWSOSUULFKIO-SECBINFHSA-N -1 1 300.409 1.263 20 0 DDADMM C[C@H]1CN(C(=O)CCc2nn[n-]n2)C[C@H](c2ccsc2)O1 ZINC000631789720 422922501 /nfs/dbraw/zinc/92/25/01/422922501.db2.gz WHUXFKLTLVQMQV-GXSJLCMTSA-N -1 1 307.379 1.182 20 0 DDADMM O=C(Cc1nnc[nH]1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000631797240 422924893 /nfs/dbraw/zinc/92/48/93/422924893.db2.gz QUMNUNIXBOGFRO-UHFFFAOYSA-N -1 1 314.345 1.174 20 0 DDADMM COC[C@H](C)[C@H](C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000643165784 423049037 /nfs/dbraw/zinc/04/90/37/423049037.db2.gz GOAXFMCAAJCATE-GVXVVHGQSA-N -1 1 303.366 1.324 20 0 DDADMM O=S(=O)([N-][C@@H](CO)[C@@H]1CCCOC1)c1cccc(F)c1F ZINC000416611501 225003513 /nfs/dbraw/zinc/00/35/13/225003513.db2.gz PJUWYIKEEMMFEM-KOLCDFICSA-N -1 1 321.345 1.031 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@@H](CO)C1)c1ccc(F)c(F)c1F ZINC000295645119 225081231 /nfs/dbraw/zinc/08/12/31/225081231.db2.gz DTZBXQULYUWTCT-SFYZADRCSA-N -1 1 309.309 1.543 20 0 DDADMM CCn1nccc1CN[C@H](C(=O)[O-])c1ccc(OC)c(F)c1 ZINC000417564648 225366229 /nfs/dbraw/zinc/36/62/29/225366229.db2.gz GCXTYUBVYLYFDA-AWEZNQCLSA-N -1 1 307.325 1.966 20 0 DDADMM CSc1nc(CNC(=O)C[C@@H](C)[C@H]2CCCO2)cc(=O)[n-]1 ZINC000640654917 423115602 /nfs/dbraw/zinc/11/56/02/423115602.db2.gz FCXZOGAYFZNUBP-MWLCHTKSSA-N -1 1 311.407 1.726 20 0 DDADMM CSc1nc(CNC(=O)CC(C)(C)CC(C)=O)cc(=O)[n-]1 ZINC000640656186 423118222 /nfs/dbraw/zinc/11/82/22/423118222.db2.gz OUADBIFPZQZJPH-UHFFFAOYSA-N -1 1 311.407 1.916 20 0 DDADMM C[C@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645648260 423125951 /nfs/dbraw/zinc/12/59/51/423125951.db2.gz XNZANKPXOCANHE-LDYMZIIASA-N -1 1 324.324 1.946 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@](O)(C4CC4)C3)cnc2n1 ZINC000366004186 418414912 /nfs/dbraw/zinc/41/49/12/418414912.db2.gz NLOPXABTXRFJGO-QGZVFWFLSA-N -1 1 313.357 1.631 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@](O)(C4CC4)C3)c[n-]c2n1 ZINC000366004186 418414915 /nfs/dbraw/zinc/41/49/15/418414915.db2.gz NLOPXABTXRFJGO-QGZVFWFLSA-N -1 1 313.357 1.631 20 0 DDADMM CO[C@@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000373281617 418417150 /nfs/dbraw/zinc/41/71/50/418417150.db2.gz TWJVWGSRPPKKFA-LLVKDONJSA-N -1 1 301.346 1.564 20 0 DDADMM CCC[C@H](NC(=O)CCOc1ccc(F)cc1)c1nn[n-]n1 ZINC000176759279 221834469 /nfs/dbraw/zinc/83/44/69/221834469.db2.gz KWBRYZSAVKOZOW-LBPRGKRZSA-N -1 1 307.329 1.765 20 0 DDADMM C[C@@H]1CN(C(=O)c2cncc([O-])c2)C[C@@]2(CCO[C@H](C)C2)O1 ZINC000373834013 418463580 /nfs/dbraw/zinc/46/35/80/418463580.db2.gz AAIGGGXQUZDVBP-HSMVNMDESA-N -1 1 306.362 1.586 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](CO)[C@H](C)C1 ZINC000360838271 418529019 /nfs/dbraw/zinc/52/90/19/418529019.db2.gz BMSIMARBENASQS-MWLCHTKSSA-N -1 1 307.394 1.489 20 0 DDADMM O=C(N[C@@H]1CN(c2ccc(F)cc2)C1=O)c1ncccc1[O-] ZINC000360845523 418531536 /nfs/dbraw/zinc/53/15/36/418531536.db2.gz ATMGBOVMWDABPL-LLVKDONJSA-N -1 1 301.277 1.072 20 0 DDADMM Cc1nc(-c2ccc(NCCC[S@](C)=O)nc2)[n-]c(=O)c1C ZINC000361025980 418568634 /nfs/dbraw/zinc/56/86/34/418568634.db2.gz QREADYSHIPMTPX-QFIPXVFZSA-N -1 1 320.418 1.463 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]c1ccn(-c2ncccc2F)n1 ZINC000366937732 418540277 /nfs/dbraw/zinc/54/02/77/418540277.db2.gz HBNCHCMXJSHZTR-UHFFFAOYSA-N -1 1 313.358 1.405 20 0 DDADMM O=C(Nc1ccn(-c2ncccc2F)n1)c1ccc(O)cc1[O-] ZINC000366946285 418541847 /nfs/dbraw/zinc/54/18/47/418541847.db2.gz LJVYIVHEDFHCTK-UHFFFAOYSA-N -1 1 314.276 1.492 20 0 DDADMM CO[C@@H]1CCN(C(=O)CCc2c(C)nc(SC)[n-]c2=O)C1 ZINC000361138759 418590983 /nfs/dbraw/zinc/59/09/83/418590983.db2.gz CIQDELZIBGGMMT-SNVBAGLBSA-N -1 1 311.407 1.392 20 0 DDADMM COc1ccc(NC(=O)CN2CCC[C@H](CC(=O)[O-])C2)cc1 ZINC000386457684 418740086 /nfs/dbraw/zinc/74/00/86/418740086.db2.gz SPSHDNJUZWKETR-GFCCVEGCSA-N -1 1 306.362 1.820 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC23CCCC3)co1 ZINC000365557525 418875700 /nfs/dbraw/zinc/87/57/00/418875700.db2.gz HPSUFEUTMPCVIH-UHFFFAOYSA-N -1 1 312.391 1.737 20 0 DDADMM C[C@@H](CSCc1ccccc1)C(=O)Nc1nn[nH]c1C(N)=O ZINC000410863575 418852112 /nfs/dbraw/zinc/85/21/12/418852112.db2.gz YNIXYEJNRWLZSI-VIFPVBQESA-N -1 1 319.390 1.412 20 0 DDADMM CN(C)C1(C(=O)N2CCC[C@@H](C(=O)[O-])C2)Cc2ccccc2C1 ZINC000372943424 418914972 /nfs/dbraw/zinc/91/49/72/418914972.db2.gz GIHWBHZMHHQXBZ-OAHLLOKOSA-N -1 1 316.401 1.409 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C1CC1 ZINC000425172140 228379926 /nfs/dbraw/zinc/37/99/26/228379926.db2.gz XUMBCUUQILIKQQ-LBPRGKRZSA-N -1 1 305.346 1.977 20 0 DDADMM O=C(NCCCN1CCCCC1=O)c1csc(=NC2CC2)[n-]1 ZINC000425911472 419366239 /nfs/dbraw/zinc/36/62/39/419366239.db2.gz BCJDLADWNASKGL-UHFFFAOYSA-N -1 1 322.434 1.272 20 0 DDADMM CC[C@H]1C[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000421225710 419528743 /nfs/dbraw/zinc/52/87/43/419528743.db2.gz ZNDSDSRSTCOGFS-QWRGUYRKSA-N -1 1 301.346 1.611 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncc(C)cc1[O-])c1ccc(O)cc1 ZINC000427809312 419737422 /nfs/dbraw/zinc/73/74/22/419737422.db2.gz ULUYEJIGKOXPAM-CYBMUJFWSA-N -1 1 316.313 1.445 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@]1(C)CC1(F)F ZINC000421767456 419718450 /nfs/dbraw/zinc/71/84/50/419718450.db2.gz AQOSPOTVIMDIKW-CYBMUJFWSA-N -1 1 310.304 1.713 20 0 DDADMM CO[C@](C)(CNC(=O)c1c(C)[n-]c(=O)nc1SC)C1CC1 ZINC000428269321 419841970 /nfs/dbraw/zinc/84/19/70/419841970.db2.gz IYUYKBWEOLGDNI-CQSZACIVSA-N -1 1 311.407 1.757 20 0 DDADMM O=C(c1cc(F)cc2nn[nH]c21)N(CCO)Cc1ccccc1 ZINC000431920110 229067581 /nfs/dbraw/zinc/06/75/81/229067581.db2.gz CQUMMBILMDBXQB-UHFFFAOYSA-N -1 1 314.320 1.732 20 0 DDADMM NC(=O)N[C@H](CC(=O)[N-]O[C@@H]1CCCCO1)c1cccs1 ZINC000299486800 229147473 /nfs/dbraw/zinc/14/74/73/229147473.db2.gz BHJJNJJXVZVWOP-BXKDBHETSA-N -1 1 313.379 1.422 20 0 DDADMM Cc1c(Cl)cccc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000429419722 420016924 /nfs/dbraw/zinc/01/69/24/420016924.db2.gz ANIYFTOCYSZXTB-UHFFFAOYSA-N -1 1 314.754 1.422 20 0 DDADMM Cc1c(Cl)cccc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000429419722 420016926 /nfs/dbraw/zinc/01/69/26/420016926.db2.gz ANIYFTOCYSZXTB-UHFFFAOYSA-N -1 1 314.754 1.422 20 0 DDADMM CC(C)(C)n1ccnc1SCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000430333551 420108933 /nfs/dbraw/zinc/10/89/33/420108933.db2.gz RPINKZJNENQMIX-UHFFFAOYSA-N -1 1 321.410 1.049 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)Oc2ccccn2)n1 ZINC000415633915 420131556 /nfs/dbraw/zinc/13/15/56/420131556.db2.gz WJMGSPWUUGRCDL-SECBINFHSA-N -1 1 304.306 1.387 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N[C@H]1CCOc2ccccc21)C(=O)[O-] ZINC000420270803 420176012 /nfs/dbraw/zinc/17/60/12/420176012.db2.gz JNBACDAGZCAZJS-RBOXIYTFSA-N -1 1 320.389 1.715 20 0 DDADMM CO[C@H](CC(C)C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000416168636 420266598 /nfs/dbraw/zinc/26/65/98/420266598.db2.gz ZETMQNCUHYSCPG-CYBMUJFWSA-N -1 1 320.393 1.729 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(C)(C)CS(C)(=O)=O)n1 ZINC000436194756 420314808 /nfs/dbraw/zinc/31/48/08/420314808.db2.gz CESVJNSORNWPEE-UHFFFAOYSA-N -1 1 304.437 1.908 20 0 DDADMM O=c1[n-]c(CCCNc2nc(C3CCCCC3)ns2)n[nH]1 ZINC000420596338 420326506 /nfs/dbraw/zinc/32/65/06/420326506.db2.gz HLEYMOQWORPITL-UHFFFAOYSA-N -1 1 308.411 1.876 20 0 DDADMM O=C(NCc1cccc(-n2cncn2)c1)C(=O)c1ccc([O-])cc1 ZINC000436510480 420335383 /nfs/dbraw/zinc/33/53/83/420335383.db2.gz DLYPTAYXINYRAN-UHFFFAOYSA-N -1 1 322.324 1.472 20 0 DDADMM COc1ccc(NC(=O)c2ccccc2[O-])cc1-n1cnnn1 ZINC000436587850 420342368 /nfs/dbraw/zinc/34/23/68/420342368.db2.gz GHCSVPLSPLLBPB-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM Cc1cnc(CCCC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)o1 ZINC000425298246 420344305 /nfs/dbraw/zinc/34/43/05/420344305.db2.gz JGIVFVWXVCXWPB-LLVKDONJSA-N -1 1 320.349 1.801 20 0 DDADMM CSc1c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cnn1C ZINC000425298740 420344379 /nfs/dbraw/zinc/34/43/79/420344379.db2.gz JKVGJRZCCLJSPF-MRVPVSSYSA-N -1 1 323.378 1.250 20 0 DDADMM CC(C)c1n[nH]cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425306908 420345981 /nfs/dbraw/zinc/34/59/81/420345981.db2.gz STYZQDMNXSPSRX-VIFPVBQESA-N -1 1 305.338 1.642 20 0 DDADMM O=C(c1ocnc1C1CC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425318020 420347813 /nfs/dbraw/zinc/34/78/13/420347813.db2.gz GYYFQPWCDDCSPY-UHFFFAOYSA-N -1 1 304.306 1.660 20 0 DDADMM O=C(c1ncoc1C1CC1)N1CCC(C2N=NC(=O)O2)CC1 ZINC000425325759 420350028 /nfs/dbraw/zinc/35/00/28/420350028.db2.gz STXXYLVRBFRLKM-UHFFFAOYSA-N -1 1 304.306 1.660 20 0 DDADMM O=C(c1ncoc1C1CC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425325759 420350032 /nfs/dbraw/zinc/35/00/32/420350032.db2.gz STXXYLVRBFRLKM-UHFFFAOYSA-N -1 1 304.306 1.660 20 0 DDADMM COc1cc(C)cnc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425321612 420351822 /nfs/dbraw/zinc/35/18/22/420351822.db2.gz MUZITMRGWBUADL-UHFFFAOYSA-N -1 1 318.333 1.507 20 0 DDADMM CCN(C[C@H](C)O)C(=O)c1cc(Br)ccc1[O-] ZINC000436864796 420377189 /nfs/dbraw/zinc/37/71/89/420377189.db2.gz OLAKVRNYOBYVDU-QMMMGPOBSA-N -1 1 302.168 1.998 20 0 DDADMM COCC[C@H](CO)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436782605 420364794 /nfs/dbraw/zinc/36/47/94/420364794.db2.gz NWCKJHLAWFPGRU-SECBINFHSA-N -1 1 303.742 1.181 20 0 DDADMM Cc1n[n-]c(=NC(=O)N(C)Cc2cc(C(C)(C)C)[nH]n2)s1 ZINC000444833523 230138531 /nfs/dbraw/zinc/13/85/31/230138531.db2.gz FIGSESXUUBYTKG-UHFFFAOYSA-N -1 1 308.411 1.953 20 0 DDADMM CN(C)C(=O)C1([N-]S(=O)(=O)c2sccc2Cl)CC1 ZINC000416594451 420429119 /nfs/dbraw/zinc/42/91/19/420429119.db2.gz QALLUAKSLCBWBA-UHFFFAOYSA-N -1 1 308.812 1.301 20 0 DDADMM COc1cncc(C=CC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000492443775 420560494 /nfs/dbraw/zinc/56/04/94/420560494.db2.gz QLHICUQYLIMKNR-SNAWJCMRSA-N -1 1 314.349 1.202 20 0 DDADMM O=S(=O)([N-]CCCN1CCOCC1)c1sccc1Cl ZINC000450954383 420567788 /nfs/dbraw/zinc/56/77/88/420567788.db2.gz BSTZTVUZLVPPEN-UHFFFAOYSA-N -1 1 324.855 1.402 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2cc(C3CC3)no2)c1 ZINC000442879370 420724412 /nfs/dbraw/zinc/72/44/12/420724412.db2.gz AVOJIOZCDWNDQM-UHFFFAOYSA-N -1 1 323.330 1.157 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@](F)(C(=O)[N-]C2=NCCS2)C1 ZINC000492970766 420724671 /nfs/dbraw/zinc/72/46/71/420724671.db2.gz ZQLCWLTXNJYNAF-ZDUSSCGKSA-N -1 1 317.386 1.555 20 0 DDADMM CCOC(=O)c1n[n-]c(-c2cccc(CNC(=O)C3CC3)c2)n1 ZINC000447686489 420793882 /nfs/dbraw/zinc/79/38/82/420793882.db2.gz FTMQULXYZJXJBJ-UHFFFAOYSA-N -1 1 314.345 1.675 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H](OC)C(C)C)c1Br ZINC000448315662 420848900 /nfs/dbraw/zinc/84/89/00/420848900.db2.gz HBEMIEMSHNBTBP-SECBINFHSA-N -1 1 304.188 1.766 20 0 DDADMM CCn1nccc1S(=O)(=O)Nc1ccc(C)c(C(=O)[O-])c1 ZINC000471954953 420960795 /nfs/dbraw/zinc/96/07/95/420960795.db2.gz OOHYGIRQQQCQBM-UHFFFAOYSA-N -1 1 309.347 1.710 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/C2CCCC2)c1 ZINC000493755436 420933991 /nfs/dbraw/zinc/93/39/91/420933991.db2.gz MYGITAPTFZAPND-RMKNXTFCSA-N -1 1 324.402 1.985 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2C[C@@H](C)N(C)[C@@H](C)C2)[n-]n1 ZINC000455251321 420990306 /nfs/dbraw/zinc/99/03/06/420990306.db2.gz WHMLCCTUBRULLA-TXEJJXNPSA-N -1 1 307.398 1.242 20 0 DDADMM CCOCCO[N-]C(=O)C(C)(C)CNC(=O)OC(C)(C)C ZINC000495539343 421019576 /nfs/dbraw/zinc/01/95/76/421019576.db2.gz OYNMUROALPWIQN-UHFFFAOYSA-N -1 1 304.387 1.622 20 0 DDADMM COC[C@@H](C)n1ccc([N-]S(=O)(=O)N2CCC[C@H]2C)n1 ZINC000489039775 421132394 /nfs/dbraw/zinc/13/23/94/421132394.db2.gz KNXUWCXCIIWSBS-GHMZBOCLSA-N -1 1 302.400 1.232 20 0 DDADMM C[C@@H](OCCc1ccccc1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000450001748 421125602 /nfs/dbraw/zinc/12/56/02/421125602.db2.gz APYYSGYPEYQBCO-LLVKDONJSA-N -1 1 303.366 1.199 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCC(F)(F)C2)o1 ZINC000488965869 421128975 /nfs/dbraw/zinc/12/89/75/421128975.db2.gz FHMYRQPYAVFFIY-QMMMGPOBSA-N -1 1 322.333 1.495 20 0 DDADMM CCC[C@H](NC(=O)[C@H](Cc1ccccc1)OC)c1nn[n-]n1 ZINC000450121738 421145491 /nfs/dbraw/zinc/14/54/91/421145491.db2.gz KUEGTNRZWGLOHP-STQMWFEESA-N -1 1 303.366 1.415 20 0 DDADMM C[C@H](NCc1nc(=O)n(C)[n-]1)c1nc(C(F)(F)F)cs1 ZINC000490059855 421188306 /nfs/dbraw/zinc/18/83/06/421188306.db2.gz MHMITYFDCHBIGM-YFKPBYRVSA-N -1 1 307.301 1.435 20 0 DDADMM CC(C)CC1(CN=c2ccc(C(=O)NCCO)n[n-]2)CCCC1 ZINC000490071672 421188111 /nfs/dbraw/zinc/18/81/11/421188111.db2.gz KWQYHMCXPIADDZ-UHFFFAOYSA-N -1 1 320.437 1.639 20 0 DDADMM CC(C)C1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000544781079 421230718 /nfs/dbraw/zinc/23/07/18/421230718.db2.gz WOOUEMNUTQNEOE-UHFFFAOYSA-N -1 1 303.366 1.362 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)[C@]1(c2ccccc2)CCCCC1=O ZINC000560197158 421239257 /nfs/dbraw/zinc/23/92/57/421239257.db2.gz ARPYZKKPAIAMFT-KRWDZBQOSA-N -1 1 314.389 1.579 20 0 DDADMM O=C(CSc1nnc(-c2ccc(F)cc2)o1)Nc1nnn[n-]1 ZINC000560285054 421242032 /nfs/dbraw/zinc/24/20/32/421242032.db2.gz ZIMVGRDZQGAMOU-UHFFFAOYSA-N -1 1 321.297 1.120 20 0 DDADMM O=C(CSc1nnc(-c2ccc(F)cc2)o1)Nc1nn[n-]n1 ZINC000560285054 421242035 /nfs/dbraw/zinc/24/20/35/421242035.db2.gz ZIMVGRDZQGAMOU-UHFFFAOYSA-N -1 1 321.297 1.120 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CSC(C)C ZINC000523688988 421245106 /nfs/dbraw/zinc/24/51/06/421245106.db2.gz SGSJEYKGVKQURR-UHFFFAOYSA-N -1 1 308.407 1.810 20 0 DDADMM C[C@@]1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1c1ccccc1 ZINC000561510695 421328137 /nfs/dbraw/zinc/32/81/37/421328137.db2.gz YGWQSKOALAUMBS-SJCJKPOMSA-N -1 1 323.356 1.341 20 0 DDADMM COc1ccccc1OCCN1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000561787059 421335873 /nfs/dbraw/zinc/33/58/73/421335873.db2.gz ICFQBEKOCSIBJK-LBPRGKRZSA-N -1 1 318.377 1.777 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(Cl)c(C#N)c1)c1nn[n-]n1 ZINC000547488244 421337003 /nfs/dbraw/zinc/33/70/03/421337003.db2.gz SWUMGJOCUNFSGF-MRVPVSSYSA-N -1 1 304.741 1.600 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(Cl)cc2c1OCC2)c1nn[n-]n1 ZINC000547488769 421337807 /nfs/dbraw/zinc/33/78/07/421337807.db2.gz LVKKDBMHYGKWNA-QMMMGPOBSA-N -1 1 321.768 1.664 20 0 DDADMM Cn1[n-]c(CN2CCOc3c2cccc3C(C)(C)C)nc1=O ZINC000548078459 421397386 /nfs/dbraw/zinc/39/73/86/421397386.db2.gz ONAJHMRRQWWBEA-UHFFFAOYSA-N -1 1 302.378 1.805 20 0 DDADMM CC(C)[C@@H](CN=c1[n-]c(C(F)(F)F)ns1)N1CCOCC1 ZINC000515729332 421515770 /nfs/dbraw/zinc/51/57/70/421515770.db2.gz GNVIEYLXHZMARE-SECBINFHSA-N -1 1 324.372 1.748 20 0 DDADMM C[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC2(CCC2)O1 ZINC000563146110 421463296 /nfs/dbraw/zinc/46/32/96/421463296.db2.gz CENQQQMKBWXACJ-NSHDSACASA-N -1 1 313.357 1.707 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1CCc2ccccc2C1 ZINC000563334285 421486798 /nfs/dbraw/zinc/48/67/98/421486798.db2.gz OXIAOGBAGHCWFO-CYBMUJFWSA-N -1 1 312.373 1.243 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N2CCNC[C@H]2C(C)C)s[n-]1 ZINC000528689613 421508132 /nfs/dbraw/zinc/50/81/32/421508132.db2.gz FBKFOZFFYOMLKI-ZJUUUORDSA-N -1 1 313.427 1.129 20 0 DDADMM Cc1cc(F)ccc1S(=O)(=O)Nc1cnn(C)c1C(=O)[O-] ZINC000552137618 421576367 /nfs/dbraw/zinc/57/63/67/421576367.db2.gz AYQRFOKSUPYBJT-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM CC[C@H]1CN(C(=O)c2cccc(C(=O)[O-])c2)CCN1C[C@H](C)O ZINC000564329540 421580233 /nfs/dbraw/zinc/58/02/33/421580233.db2.gz XNOYVMAYAKBRAI-WFASDCNBSA-N -1 1 320.389 1.302 20 0 DDADMM Cc1cnc(SCC(=O)N2CCO[C@H]3CCCC[C@@H]32)[n-]c1=O ZINC000563636703 421531032 /nfs/dbraw/zinc/53/10/32/421531032.db2.gz NBOASNPVHDIUKQ-RYUDHWBXSA-N -1 1 323.418 1.753 20 0 DDADMM CCCN1C[C@H](C(=O)Nc2[nH]c(C)nc2C(=O)OCC)CC1=O ZINC000571146557 421691480 /nfs/dbraw/zinc/69/14/80/421691480.db2.gz KYWFKRLUCJJFSD-SNVBAGLBSA-N -1 1 322.365 1.092 20 0 DDADMM CCOc1nc(C)ccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000571227397 421698580 /nfs/dbraw/zinc/69/85/80/421698580.db2.gz UOEQOGWLRKOCPY-LLVKDONJSA-N -1 1 316.365 1.322 20 0 DDADMM CO[C@@H]1C[C@H](CC(=O)[O-])N(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000571975017 421756543 /nfs/dbraw/zinc/75/65/43/421756543.db2.gz FNMGTBHGYMSQHG-GHMZBOCLSA-N -1 1 319.317 1.374 20 0 DDADMM CN1C[C@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CC1=O ZINC000557240334 421765273 /nfs/dbraw/zinc/76/52/73/421765273.db2.gz XLLXIXXDOBHSCT-SNVBAGLBSA-N -1 1 300.318 1.199 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cnn(C(C)C)c1 ZINC000521102878 421773571 /nfs/dbraw/zinc/77/35/71/421773571.db2.gz MKCWYJYCBLARGF-UHFFFAOYSA-N -1 1 303.384 1.405 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000630072972 421872745 /nfs/dbraw/zinc/87/27/45/421872745.db2.gz OLZOSDXCOVDHDE-IUODEOHRSA-N -1 1 307.394 1.899 20 0 DDADMM COCCN(CCc1ccccc1)Cc1cc(C(=O)[O-])nn1C ZINC000635299097 421888276 /nfs/dbraw/zinc/88/82/76/421888276.db2.gz NWFYVQZEOQVRTI-UHFFFAOYSA-N -1 1 317.389 1.809 20 0 DDADMM O=C(CC1(C(=O)[O-])CCOCC1)Nc1ccc2[nH]nnc2c1 ZINC000630104407 421890035 /nfs/dbraw/zinc/89/00/35/421890035.db2.gz JWZDZNBMMPEYHU-UHFFFAOYSA-N -1 1 304.306 1.168 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@@]1(CO)CCc2ccccc21 ZINC000635307015 421897757 /nfs/dbraw/zinc/89/77/57/421897757.db2.gz FXSVSGWOQZHZCN-MRXNPFEDSA-N -1 1 301.346 1.042 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cc(F)ccc2F)CC1 ZINC000630222355 421974385 /nfs/dbraw/zinc/97/43/85/421974385.db2.gz MGXUGVFFCCMMPC-UHFFFAOYSA-N -1 1 312.316 1.586 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H](C)c2ccccc2)CC1 ZINC000630219669 421969941 /nfs/dbraw/zinc/96/99/41/421969941.db2.gz JMZYGKGDFDKHRU-KBPBESRZSA-N -1 1 304.390 1.798 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2C[C@@H]2C2CCCC2)CC1 ZINC000630221174 421969965 /nfs/dbraw/zinc/96/99/65/421969965.db2.gz VDOBLVBLMAGCSU-YUELXQCFSA-N -1 1 308.422 1.820 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CCCC3(CC3)C2)CC1 ZINC000630220606 421970424 /nfs/dbraw/zinc/97/04/24/421970424.db2.gz PXPVXRODJAVEKF-UONOGXRCSA-N -1 1 308.422 1.964 20 0 DDADMM CC[C@H](C)[C@H](OC)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630221289 421971353 /nfs/dbraw/zinc/97/13/53/421971353.db2.gz XCIFHVPZESRJQZ-SCRDCRAPSA-N -1 1 300.399 1.055 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc3n[nH]cc3c2)CC1 ZINC000630221665 421972236 /nfs/dbraw/zinc/97/22/36/421972236.db2.gz AIKIGHWZIVUSIP-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H](C)C[C@@H](C)O)c1 ZINC000632018640 422026184 /nfs/dbraw/zinc/02/61/84/422026184.db2.gz GTJAYGFILOWLED-VHSXEESVSA-N -1 1 303.380 1.086 20 0 DDADMM CNC(=O)[C@H]1CCCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000630348141 422036861 /nfs/dbraw/zinc/03/68/61/422036861.db2.gz LUBXAMFLNJSFLP-JTQLQIEISA-N -1 1 318.402 1.708 20 0 DDADMM Cc1cnc(SC[C@H]2CCCN(S(C)(=O)=O)C2)[n-]c1=O ZINC000573936250 422037937 /nfs/dbraw/zinc/03/79/37/422037937.db2.gz XPCOAQLIHARLLC-JTQLQIEISA-N -1 1 317.436 1.254 20 0 DDADMM CC(C)[C@H](CCO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632036691 422040589 /nfs/dbraw/zinc/04/05/89/422040589.db2.gz ZRXCCLGHMFCCFZ-ZETCQYMHSA-N -1 1 315.317 1.114 20 0 DDADMM COc1cc(OC)c2c(c1)N(C(=O)CCc1nn[n-]n1)CCC2 ZINC000633680205 422043076 /nfs/dbraw/zinc/04/30/76/422043076.db2.gz MIDVZRJAFFRTTM-UHFFFAOYSA-N -1 1 317.349 1.129 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccc3c(c2)CCO3)CC1 ZINC000630225130 421975035 /nfs/dbraw/zinc/97/50/35/421975035.db2.gz FJGXJYKAJHQCGV-UHFFFAOYSA-N -1 1 318.373 1.243 20 0 DDADMM COc1cccc(C[C@@H](C)NC(=O)CCCc2nn[n-]n2)c1 ZINC000635555218 422104384 /nfs/dbraw/zinc/10/43/84/422104384.db2.gz YTUNEJNIZOSKOQ-LLVKDONJSA-N -1 1 303.366 1.278 20 0 DDADMM O=C([O-])C1CCN(S(=O)(=O)c2cc(O)cc(F)c2)CC1 ZINC000630441361 422106276 /nfs/dbraw/zinc/10/62/76/422106276.db2.gz LDUSTOVMOQRFEG-UHFFFAOYSA-N -1 1 303.311 1.017 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1c(C)n[nH]c1C(F)F)C1CC1 ZINC000581824607 422047879 /nfs/dbraw/zinc/04/78/79/422047879.db2.gz RDOGYSZIRNXYCU-MRVPVSSYSA-N -1 1 309.338 1.822 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)CC1(OC)CCCC1)c1ccco1 ZINC000632060437 422060366 /nfs/dbraw/zinc/06/03/66/422060366.db2.gz MGBKIFFAADHZRD-GFCCVEGCSA-N -1 1 317.407 1.846 20 0 DDADMM O=S(=O)([N-]CCc1ccco1)c1c[nH]nc1C(F)(F)F ZINC000632215347 422171557 /nfs/dbraw/zinc/17/15/57/422171557.db2.gz CZPBDUGFWBRPHK-UHFFFAOYSA-N -1 1 309.269 1.543 20 0 DDADMM C[C@]12CN(C(=O)c3ccc(Cl)cc3[O-])C[C@@]1(C)C(=O)NC2=O ZINC000633876750 422126582 /nfs/dbraw/zinc/12/65/82/422126582.db2.gz NQCKKAFRRKZJGF-GASCZTMLSA-N -1 1 322.748 1.170 20 0 DDADMM COc1cc(C(=O)NCC[C@H](O)C(F)F)cc(Cl)c1[O-] ZINC000633993299 422206632 /nfs/dbraw/zinc/20/66/32/422206632.db2.gz LMJFWRKMGZBMEK-QMMMGPOBSA-N -1 1 309.696 1.800 20 0 DDADMM CO[C@H](c1ccc(F)cc1)[C@@H](C)NC(=O)CCc1nn[n-]n1 ZINC000632273704 422213090 /nfs/dbraw/zinc/21/30/90/422213090.db2.gz XETFQMZOYVFOSW-OTYXRUKQSA-N -1 1 307.329 1.164 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCCOc2cc(Cl)ccc21 ZINC000632307986 422240928 /nfs/dbraw/zinc/24/09/28/422240928.db2.gz CTBWIUYJDMRHMA-NSHDSACASA-N -1 1 321.768 1.816 20 0 DDADMM COc1cccc([C@H](N)C(=O)N2CC[C@H](C)[C@H](C(=O)[O-])C2)c1 ZINC000630573195 422190360 /nfs/dbraw/zinc/19/03/60/422190360.db2.gz FJLQKHPDCOSFPI-GDLCADMTSA-N -1 1 306.362 1.264 20 0 DDADMM Cc1ccc2sc(NC(=O)CCc3nn[n-]n3)nc2c1C ZINC000634119225 422271490 /nfs/dbraw/zinc/27/14/90/422271490.db2.gz GLLKTVDCLGZGHU-UHFFFAOYSA-N -1 1 302.363 1.998 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1ccc(Cl)cc1)C1CC1 ZINC000630693844 422273271 /nfs/dbraw/zinc/27/32/71/422273271.db2.gz IYXHSPTYSZFTPB-UHFFFAOYSA-N -1 1 305.769 1.977 20 0 DDADMM COCC1(CC[N-]S(=O)(=O)c2cc(C)ns2)CCC1 ZINC000632369260 422283478 /nfs/dbraw/zinc/28/34/78/422283478.db2.gz PDXAEZIETCVQQN-UHFFFAOYSA-N -1 1 304.437 1.937 20 0 DDADMM CC(F)(F)C[N-]S(=O)(=O)CCc1noc2ccccc21 ZINC000632370680 422285164 /nfs/dbraw/zinc/28/51/64/422285164.db2.gz IDKXUQZPQUTOJT-UHFFFAOYSA-N -1 1 304.318 1.945 20 0 DDADMM O=C(NC[C@H]1CCCN1CC(F)F)c1ccc([O-])cc1F ZINC000628619060 422260653 /nfs/dbraw/zinc/26/06/53/422260653.db2.gz ZTBCBAQBIVEZIK-SECBINFHSA-N -1 1 302.296 1.991 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCOC2(C)C)c1 ZINC000632390994 422301003 /nfs/dbraw/zinc/30/10/03/422301003.db2.gz PJQBDTPQOXLEPF-LBPRGKRZSA-N -1 1 301.364 1.247 20 0 DDADMM CO[C@@H](c1ccccc1F)[C@@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635771079 422328240 /nfs/dbraw/zinc/32/82/40/422328240.db2.gz SFBAQTFNTXUQBP-MEBBXXQBSA-N -1 1 321.356 1.554 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](CCCO)C2)c1 ZINC000632429780 422336758 /nfs/dbraw/zinc/33/67/58/422336758.db2.gz SUNQYCQBPKRACO-NSHDSACASA-N -1 1 315.391 1.184 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@H](COc2ccccc2)C1 ZINC000635784000 422340070 /nfs/dbraw/zinc/34/00/70/422340070.db2.gz OYKXKVSYNUODIC-CYBMUJFWSA-N -1 1 315.377 1.450 20 0 DDADMM Cc1ccc(C2CCN(C(=O)CCCc3nn[n-]n3)CC2)cn1 ZINC000635791748 422348433 /nfs/dbraw/zinc/34/84/33/422348433.db2.gz XHBJYEJEVZSQEH-UHFFFAOYSA-N -1 1 314.393 1.632 20 0 DDADMM COc1ccccc1C[C@@H]1CCCN1C(=O)CCc1nn[n-]n1 ZINC000630855010 422375057 /nfs/dbraw/zinc/37/50/57/422375057.db2.gz TWNPYDIAYLHLJU-ZDUSSCGKSA-N -1 1 315.377 1.375 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]([C@H](CO)C2CC2)C2CC2)sn1 ZINC000632411450 422322178 /nfs/dbraw/zinc/32/21/78/422322178.db2.gz BEXYIGOWQXFPJF-DGCLKSJQSA-N -1 1 316.448 1.527 20 0 DDADMM CN1CCOC[C@H]1CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000628916761 422388651 /nfs/dbraw/zinc/38/86/51/422388651.db2.gz GOUVMVGRBKWTMP-SNVBAGLBSA-N -1 1 318.295 1.471 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)COCC2CC2)c1 ZINC000632512565 422402821 /nfs/dbraw/zinc/40/28/21/422402821.db2.gz TWDLKVPGSYBOAK-SNVBAGLBSA-N -1 1 315.391 1.494 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@H]1COCCN1CC(F)(F)F ZINC000628959994 422419272 /nfs/dbraw/zinc/41/92/72/422419272.db2.gz OUDVFCQOIGMGPP-SNVBAGLBSA-N -1 1 322.258 1.733 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2cnccc2C)c1 ZINC000632549705 422429569 /nfs/dbraw/zinc/42/95/69/422429569.db2.gz DRZHLRGRUZBRTC-UHFFFAOYSA-N -1 1 322.386 1.625 20 0 DDADMM Cc1nc(SC[C@H]2CCS(=O)(=O)C2)[n-]c(=O)c1C1CC1 ZINC000631023815 422477339 /nfs/dbraw/zinc/47/73/39/422477339.db2.gz YEWIGLZUXZMBIN-SECBINFHSA-N -1 1 314.432 1.895 20 0 DDADMM C[C@H](Cc1cncc2ccccc21)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000578272206 422535882 /nfs/dbraw/zinc/53/58/82/422535882.db2.gz GZGKVCIGTOYQPR-LLVKDONJSA-N -1 1 324.388 1.978 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](c1ccc(F)cc1)C(F)(F)F ZINC000632751125 422555766 /nfs/dbraw/zinc/55/57/66/422555766.db2.gz LVAMBHRTRZUGOT-LLVKDONJSA-N -1 1 317.246 1.691 20 0 DDADMM COC[C@@H](NC(=O)Cc1ccc([O-])c(Cl)c1)[C@H]1CCCO1 ZINC000632799357 422588019 /nfs/dbraw/zinc/58/80/19/422588019.db2.gz TUQMWUDYFYVJKU-TZMCWYRMSA-N -1 1 313.781 1.898 20 0 DDADMM CCn1cc(C(=O)N=c2[nH][n-]c(C)c2Br)c(C)n1 ZINC000627669343 422655173 /nfs/dbraw/zinc/65/51/73/422655173.db2.gz BCOUHEUDCQSZID-UHFFFAOYSA-N -1 1 312.171 1.680 20 0 DDADMM CCn1ccnc1[C@@H]1CCCCN1C(=O)CCc1nn[n-]n1 ZINC000632837250 422615802 /nfs/dbraw/zinc/61/58/02/422615802.db2.gz ZKDJBDUSSYKSOH-NSHDSACASA-N -1 1 303.370 1.103 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccccc1NC1CCCCC1 ZINC000631232874 422619205 /nfs/dbraw/zinc/61/92/05/422619205.db2.gz JMOAMPDOMHRUPI-UHFFFAOYSA-N -1 1 300.366 1.874 20 0 DDADMM Cc1ccc2nc(CNC(=O)c3ccc(C(=O)[O-])cn3)[nH]c2c1 ZINC000578730312 422634036 /nfs/dbraw/zinc/63/40/36/422634036.db2.gz MHKBKBFAYNVSDA-UHFFFAOYSA-N -1 1 310.313 1.895 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H]1CCNC(=O)C1 ZINC000629376645 422640405 /nfs/dbraw/zinc/64/04/05/422640405.db2.gz YVUKEIVKEVRQSI-SNVBAGLBSA-N -1 1 300.318 1.043 20 0 DDADMM C[C@H]1CCN(CC(=O)N(C)Cc2cccs2)C[C@@H]1C(=O)[O-] ZINC000579007118 422690652 /nfs/dbraw/zinc/69/06/52/422690652.db2.gz YJHQWVMFIJPEMK-AAEUAGOBSA-N -1 1 310.419 1.749 20 0 DDADMM Cn1nccc1[C@H]1COCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000650880943 423297869 /nfs/dbraw/zinc/29/78/69/423297869.db2.gz ZCMKSVJGUSMOOX-CYBMUJFWSA-N -1 1 321.764 1.993 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cc(F)c(F)c(F)c3)nc2n1 ZINC000643909425 423401468 /nfs/dbraw/zinc/40/14/68/423401468.db2.gz XOPUZEBVFDUDCO-UHFFFAOYSA-N -1 1 323.234 1.396 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N[C@H]1C[C@@H](C(=O)[O-])c2ccccc21 ZINC000653328545 423441846 /nfs/dbraw/zinc/44/18/46/423441846.db2.gz KGYPMSJXKJGTIC-QLFBSQMISA-N -1 1 302.374 1.900 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N[C@@H]1C[C@H](C(=O)[O-])c2ccccc21 ZINC000653328547 423442054 /nfs/dbraw/zinc/44/20/54/423442054.db2.gz KGYPMSJXKJGTIC-RRFJBIMHSA-N -1 1 302.374 1.900 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C)n1ccc2ccccc2c1=O ZINC000646409781 423477510 /nfs/dbraw/zinc/47/75/10/423477510.db2.gz XXUZNCHSLRRURU-JTQLQIEISA-N -1 1 312.329 1.938 20 0 DDADMM COc1ccc(C(=O)Nc2c(C)[n-][nH]c2=O)c(OC)c1OC ZINC000646410512 423479573 /nfs/dbraw/zinc/47/95/73/423479573.db2.gz LCAHYFGTFFHINC-UHFFFAOYSA-N -1 1 307.306 1.702 20 0 DDADMM CSc1cccnc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000651561615 423595081 /nfs/dbraw/zinc/59/50/81/423595081.db2.gz RMQAFIFEDOQBEO-SECBINFHSA-N -1 1 304.379 1.336 20 0 DDADMM O=C(c1ocnc1-c1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000649217126 423684945 /nfs/dbraw/zinc/68/49/45/423684945.db2.gz ARNGMGLEQQRFEW-LBPRGKRZSA-N -1 1 324.344 1.875 20 0 DDADMM CCN1C[C@@H](C[N-]S(=O)(=O)c2cccc(F)c2F)CC1=O ZINC000651856682 423714946 /nfs/dbraw/zinc/71/49/46/423714946.db2.gz LDZAMCZLNRCUTN-SECBINFHSA-N -1 1 318.345 1.112 20 0 DDADMM CC(C)N(C(=O)CN1CCC[C@H](c2n[n-]c(=N)o2)C1)C(C)C ZINC000639823587 423759600 /nfs/dbraw/zinc/75/96/00/423759600.db2.gz CGBDONTVBNOMIY-LBPRGKRZSA-N -1 1 309.414 1.307 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)NC[C@H](CC(=O)[O-])CC(C)C ZINC000659416330 423820389 /nfs/dbraw/zinc/82/03/89/423820389.db2.gz SGTPUDGWXFVQDK-KBPBESRZSA-N -1 1 313.442 1.859 20 0 DDADMM CCC[C@@H]1CN(c2cncc(C(=O)[O-])n2)CCN1CCOC ZINC000649395899 423847046 /nfs/dbraw/zinc/84/70/46/423847046.db2.gz KYHPGVPASZGLGU-GFCCVEGCSA-N -1 1 308.382 1.112 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1nc(C(F)(F)F)c[nH]1)C(C)C ZINC000647275502 423939813 /nfs/dbraw/zinc/93/98/13/423939813.db2.gz CIKXLMGKSVGVTB-SSDOTTSWSA-N -1 1 315.317 1.841 20 0 DDADMM N=c1nc(N2CCN(C(=O)N3CCCCCC3)CC2)s[n-]1 ZINC000641749759 423941972 /nfs/dbraw/zinc/94/19/72/423941972.db2.gz FOAKVPLONKFNLV-UHFFFAOYSA-N -1 1 310.427 1.069 20 0 DDADMM C[C@H](F)CC[N-]S(=O)(=O)c1ncccc1Br ZINC000656997496 423972142 /nfs/dbraw/zinc/97/21/42/423972142.db2.gz SOZMAROXBJGQCQ-ZETCQYMHSA-N -1 1 311.176 1.871 20 0 DDADMM Cc1cc(Br)c(C(=O)NCc2nn[n-]n2)s1 ZINC000654965956 424064929 /nfs/dbraw/zinc/06/49/29/424064929.db2.gz OOCFGEMDQPOTOI-UHFFFAOYSA-N -1 1 302.157 1.262 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1ccc(Br)o1 ZINC000641925580 424075834 /nfs/dbraw/zinc/07/58/34/424075834.db2.gz XRLPPJWAGQWEIW-UHFFFAOYSA-N -1 1 314.124 1.216 20 0 DDADMM CN1CCN(C(=O)N=c2ccc(C(F)(F)F)n[n-]2)CC1(C)C ZINC000639993342 424031782 /nfs/dbraw/zinc/03/17/82/424031782.db2.gz OKWFTAFEYRMATJ-UHFFFAOYSA-N -1 1 317.315 1.475 20 0 DDADMM CCOc1cc(CN[C@H](C(=O)[O-])c2ccnn2C)ccc1O ZINC000647467574 424050972 /nfs/dbraw/zinc/05/09/72/424050972.db2.gz LNABJGKUVAAWDK-AWEZNQCLSA-N -1 1 305.334 1.440 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc(-c2nc(C)no2)nc1 ZINC000649669298 424121025 /nfs/dbraw/zinc/12/10/25/424121025.db2.gz KARBBVGRXBZSHG-MRVPVSSYSA-N -1 1 312.351 1.217 20 0 DDADMM C[C@@H]1C(=O)N(C)CCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640339545 424360213 /nfs/dbraw/zinc/36/02/13/424360213.db2.gz RZWBGXYTMAYTNF-SECBINFHSA-N -1 1 304.375 1.413 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)Cc1ccccn1 ZINC000655309566 424387127 /nfs/dbraw/zinc/38/71/27/424387127.db2.gz RCMLTKUUFGPLEY-VIFPVBQESA-N -1 1 318.402 1.969 20 0 DDADMM COCCN(CC(=O)[O-])Cc1cccc(F)c1OC(F)F ZINC000662197986 424463473 /nfs/dbraw/zinc/46/34/73/424463473.db2.gz AFZHPKDEUFVSHX-UHFFFAOYSA-N -1 1 307.268 1.960 20 0 DDADMM CC[C@H](COCC1CC1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000664305222 424527139 /nfs/dbraw/zinc/52/71/39/424527139.db2.gz SEHANSFYDPFDLW-GFCCVEGCSA-N -1 1 315.373 1.858 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CCOC2(C)C)sc1C ZINC000660114947 424540123 /nfs/dbraw/zinc/54/01/23/424540123.db2.gz MDKNCXHGHHJHTF-SNVBAGLBSA-N -1 1 304.437 1.853 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)[C@H]1CCC[C@@H]1O ZINC000341845187 271220181 /nfs/dbraw/zinc/22/01/81/271220181.db2.gz YXSALAHEJKQHCQ-QWRGUYRKSA-N -1 1 307.394 1.774 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H](C)C[C@H](C)O)c(=O)[n-]1 ZINC000341955136 271258870 /nfs/dbraw/zinc/25/88/70/271258870.db2.gz MRQYTVHYIYAGDO-IUCAKERBSA-N -1 1 313.423 1.421 20 0 DDADMM CCCCn1nccc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343344158 271741460 /nfs/dbraw/zinc/74/14/60/271741460.db2.gz QFMUHMWETIBNSR-UHFFFAOYSA-N -1 1 317.349 1.152 20 0 DDADMM COc1ccccc1SCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000344537707 272053935 /nfs/dbraw/zinc/05/39/35/272053935.db2.gz ITCLNXQQIWHKLT-UHFFFAOYSA-N -1 1 307.379 1.352 20 0 DDADMM Cc1ccc([C@@H](C)[C@@H](O)C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000344544751 272055592 /nfs/dbraw/zinc/05/55/92/272055592.db2.gz NJWFXRCPIYSBJT-ZYHUDNBSSA-N -1 1 303.366 1.024 20 0 DDADMM CO[C@@H](CC[N-]S(=O)(=O)c1cnc(C)s1)C(F)(F)F ZINC000345349144 272226611 /nfs/dbraw/zinc/22/66/11/272226611.db2.gz WRJCOUSENFPVSI-ZETCQYMHSA-N -1 1 318.342 1.697 20 0 DDADMM Cc1cc(C(=O)N=c2nc(-c3ccccc3F)[nH][n-]2)nn1C ZINC000345678025 272303355 /nfs/dbraw/zinc/30/33/55/272303355.db2.gz MGSWZVFAAGMYNG-UHFFFAOYSA-N -1 1 300.297 1.327 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc2[nH]c(=O)[nH]c2n1 ZINC000339433539 280053768 /nfs/dbraw/zinc/05/37/68/280053768.db2.gz KZKKRZSKWXSDLR-UHFFFAOYSA-N -1 1 313.199 1.053 20 0 DDADMM O=C(NC[C@@H](O)COc1ccc(F)cc1)c1cc(F)ccc1[O-] ZINC000081945661 281011884 /nfs/dbraw/zinc/01/18/84/281011884.db2.gz XOULBCPWXWDSQV-GFCCVEGCSA-N -1 1 323.295 1.840 20 0 DDADMM Cc1nc(CNC(=O)c2cc(Br)ccc2[O-])no1 ZINC000124496806 281190750 /nfs/dbraw/zinc/19/07/50/281190750.db2.gz ZTJPSMSSQMOOIY-UHFFFAOYSA-N -1 1 312.123 1.776 20 0 DDADMM CSCC[C@@H](CO)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000127040758 281261252 /nfs/dbraw/zinc/26/12/52/281261252.db2.gz CMWSCEZXUMYEGQ-QMMMGPOBSA-N -1 1 311.375 1.357 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ccc(C)nc2)c1 ZINC000151903766 281707332 /nfs/dbraw/zinc/70/73/32/281707332.db2.gz OIJDLOACVFJMIE-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM C[C@@H]1CS(=O)(=O)CCN1C(=O)c1cc2ccccc2cc1[O-] ZINC000179597630 288405267 /nfs/dbraw/zinc/40/52/67/288405267.db2.gz MXCXWOYWSFIJAP-LLVKDONJSA-N -1 1 319.382 1.805 20 0 DDADMM C[C@@H]1OCC[C@@]12CN(C(=O)c1cc(F)ccc1[O-])C[C@@H](C)O2 ZINC000279319427 288903396 /nfs/dbraw/zinc/90/33/96/288903396.db2.gz MUSKEMRLSNZUDO-OHUAYANFSA-N -1 1 309.337 1.940 20 0 DDADMM CN1CC[C@H]2OCCN(C(=O)c3s[n-]c(=O)c3Cl)[C@H]2C1 ZINC000285055930 290235975 /nfs/dbraw/zinc/23/59/75/290235975.db2.gz QNWDVKRVTLEWLE-JGVFFNPUSA-N -1 1 317.798 1.047 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)Nc1ccncc1 ZINC000361514862 299885043 /nfs/dbraw/zinc/88/50/43/299885043.db2.gz HBAHXHMIXNQHCQ-UHFFFAOYSA-N -1 1 321.340 1.138 20 0 DDADMM N#Cc1ccc(C(=O)NCC2(CO)CC3(CCC3)C2)cc1[O-] ZINC000572231735 304513309 /nfs/dbraw/zinc/51/33/09/304513309.db2.gz GPENWJBKYVFMQO-UHFFFAOYSA-N -1 1 300.358 1.936 20 0 DDADMM C[C@H](C(=O)N1CCCC[C@@H]1C(=O)[O-])N(C)Cc1ccccc1 ZINC000262633065 298019687 /nfs/dbraw/zinc/01/96/87/298019687.db2.gz FTUQEPPFPNIRSC-UKRRQHHQSA-N -1 1 304.390 1.973 20 0 DDADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(F)c(Cl)c1 ZINC000353958810 298324888 /nfs/dbraw/zinc/32/48/88/298324888.db2.gz FXHHAGBXKHBPNI-UHFFFAOYSA-N -1 1 321.699 1.487 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2ccccc2F)cn1 ZINC000354234429 298376173 /nfs/dbraw/zinc/37/61/73/298376173.db2.gz BRQADQWFZCCYCK-UHFFFAOYSA-N -1 1 310.306 1.808 20 0 DDADMM Cc1cc(C(F)(F)F)nn1CC(=O)Nc1ccncc1[O-] ZINC000354257021 298384044 /nfs/dbraw/zinc/38/40/44/298384044.db2.gz ULTJSBDMMDKJSI-UHFFFAOYSA-N -1 1 300.240 1.372 20 0 DDADMM Cc1ccc([C@H](CNC(=O)c2ccc3[nH]nnc3c2)C(=O)[O-])cc1 ZINC000362805488 300145455 /nfs/dbraw/zinc/14/54/55/300145455.db2.gz AFBPIHUKDDVEKQ-ZDUSSCGKSA-N -1 1 324.340 1.865 20 0 DDADMM CC(C)(NC(=O)c1coc(C2CCCCC2)n1)c1nn[n-]n1 ZINC000362963061 300189919 /nfs/dbraw/zinc/18/99/19/300189919.db2.gz HWWONDPNSIBYLO-UHFFFAOYSA-N -1 1 304.354 1.901 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccn(C)n2)c2c(n1)CCCC2 ZINC000333932733 301058824 /nfs/dbraw/zinc/05/88/24/301058824.db2.gz HAIKQRMHWPYXMD-UHFFFAOYSA-N -1 1 306.391 1.225 20 0 DDADMM Cc1ccc(-n2[n-]c(C(=O)N3CCCN(C)CC3)cc2=O)cc1 ZINC000369935026 301242956 /nfs/dbraw/zinc/24/29/56/301242956.db2.gz GCZBJFPDXDDQIM-UHFFFAOYSA-N -1 1 314.389 1.252 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@@H]1CCCN1Cc1ccccn1 ZINC000370449657 301341217 /nfs/dbraw/zinc/34/12/17/301341217.db2.gz YJWGACYODNRPRD-HIFRSBDPSA-N -1 1 305.378 1.130 20 0 DDADMM O=C(c1ccc(F)cc1Cl)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370857448 301398242 /nfs/dbraw/zinc/39/82/42/301398242.db2.gz OATJHMYNMXRERU-JTQLQIEISA-N -1 1 311.704 1.206 20 0 DDADMM COCCn1ncc2c1[C@H](NC(=O)c1cncc([O-])c1)CCC2 ZINC000371889162 301509332 /nfs/dbraw/zinc/50/93/32/301509332.db2.gz VWUXWIUHMYVTSD-CQSZACIVSA-N -1 1 316.361 1.438 20 0 DDADMM CCN(CC)C(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000355008686 306792443 /nfs/dbraw/zinc/79/24/43/306792443.db2.gz VJAXKHSOKNNWTN-UHFFFAOYSA-N -1 1 315.395 1.940 20 0 DDADMM CN(C(=O)N1CC[N@H+]2CCC[C@H]2[C@H]1C(=O)[O-])C1CCCCC1 ZINC000377156585 302149652 /nfs/dbraw/zinc/14/96/52/302149652.db2.gz DVIWURYZFBOHKQ-KBPBESRZSA-N -1 1 309.410 1.604 20 0 DDADMM Cn1nc(-c2ccccc2)nc1CCNC(=O)c1cncc([O-])c1 ZINC000378552930 302334793 /nfs/dbraw/zinc/33/47/93/302334793.db2.gz NILYRJMRBBJDLE-UHFFFAOYSA-N -1 1 323.356 1.555 20 0 DDADMM C[C@@H](N=c1ccc(N2CC[C@@H](C(N)=O)C2)n[n-]1)c1ccccc1 ZINC000515167636 302767032 /nfs/dbraw/zinc/76/70/32/302767032.db2.gz KMPQCOBYMDQMDY-TZMCWYRMSA-N -1 1 311.389 1.383 20 0 DDADMM O=S(=O)([N-]CC12CC(C1)CO2)c1cc(Cl)ccc1F ZINC000528527927 303039541 /nfs/dbraw/zinc/03/95/41/303039541.db2.gz ITFBISNDUQYYGE-UHFFFAOYSA-N -1 1 305.758 1.936 20 0 DDADMM O=C([C@@H]1Cc2c1cccc2Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000528879996 303069315 /nfs/dbraw/zinc/06/93/15/303069315.db2.gz CPTDBZNDTOHHKO-BXKDBHETSA-N -1 1 317.780 1.899 20 0 DDADMM CCCOc1cncc([N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C)c1 ZINC000530156653 303177004 /nfs/dbraw/zinc/17/70/04/303177004.db2.gz CYEYQBXCIBRRLA-JTQLQIEISA-N -1 1 315.395 1.089 20 0 DDADMM C[C@](O)(CN1CCc2cccc(C(F)(F)F)c2C1)C(=O)[O-] ZINC000530174098 303179710 /nfs/dbraw/zinc/17/97/10/303179710.db2.gz UHVNOIDDQKCHQB-ZDUSSCGKSA-N -1 1 303.280 1.899 20 0 DDADMM CN(CCC(=O)[O-])[C@@H]1CCN(c2ccc(Cl)cc2F)C1=O ZINC000530211096 303184504 /nfs/dbraw/zinc/18/45/04/303184504.db2.gz YPFZMCQAMHAREM-GFCCVEGCSA-N -1 1 314.744 1.991 20 0 DDADMM NC(=O)C1(C[N-]S(=O)(=O)c2cc3ccccc3o2)CCCC1 ZINC000531487312 303247016 /nfs/dbraw/zinc/24/70/16/303247016.db2.gz CRIGZEDMCCEMCD-UHFFFAOYSA-N -1 1 322.386 1.757 20 0 DDADMM CC(C)CN1CC(=O)N[C@H]1c1n[nH]c2cc(C(=O)[O-])ccc21 ZINC000535025099 303340388 /nfs/dbraw/zinc/34/03/88/303340388.db2.gz SBZOWYXSXCXBKM-CQSZACIVSA-N -1 1 302.334 1.348 20 0 DDADMM Cc1cc(C)cc(OCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000539328030 303394799 /nfs/dbraw/zinc/39/47/99/303394799.db2.gz NUULVFAFAOQDAK-CYBMUJFWSA-N -1 1 315.377 1.602 20 0 DDADMM O=C([O-])C1(NC(=O)c2n[nH]c3ccccc32)Cc2ccccc2C1 ZINC000539608044 303396525 /nfs/dbraw/zinc/39/65/25/303396525.db2.gz NEESTEPHTYDORV-UHFFFAOYSA-N -1 1 321.336 1.915 20 0 DDADMM Cc1cc([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)c(C)s1 ZINC000543698970 303461832 /nfs/dbraw/zinc/46/18/32/303461832.db2.gz HADAOWUFBBSLGH-MRVPVSSYSA-N -1 1 317.374 1.587 20 0 DDADMM C[C@H]1CC(C)(C)CC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544808678 303478709 /nfs/dbraw/zinc/47/87/09/303478709.db2.gz ZBBMLRAFLVRVBU-VHSXEESVSA-N -1 1 303.366 1.362 20 0 DDADMM Cc1ccc2c(c1)N(C(=O)c1cc(=O)n3[n-]cnc3n1)CCC2 ZINC000546794184 303519362 /nfs/dbraw/zinc/51/93/62/303519362.db2.gz UYRRSKDJXOJSGQ-UHFFFAOYSA-N -1 1 309.329 1.319 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CCC[C@@H](CO)C2)sc1C ZINC000561563434 303844617 /nfs/dbraw/zinc/84/46/17/303844617.db2.gz JHYFZRSDGSTDAS-NWDGAFQWSA-N -1 1 318.464 1.837 20 0 DDADMM CC(C)[C@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363571643 307031825 /nfs/dbraw/zinc/03/18/25/307031825.db2.gz RBOPKXDEDGLGLS-KBPBESRZSA-N -1 1 315.377 1.539 20 0 DDADMM O=C(c1cccc2ccsc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000364163843 307042430 /nfs/dbraw/zinc/04/24/30/307042430.db2.gz AOTQALWUUPTHOY-LLVKDONJSA-N -1 1 315.358 1.628 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCO[C@H]3CCC[C@H]31)c2=O ZINC000366610830 307077548 /nfs/dbraw/zinc/07/75/48/307077548.db2.gz TVGNBEMBULCAIU-NEPJUHHUSA-N -1 1 302.334 1.067 20 0 DDADMM Cc1nc(S(=O)(=O)[C@@H](C)c2nc(-c3ccccc3)no2)n[n-]1 ZINC000367511750 307095964 /nfs/dbraw/zinc/09/59/64/307095964.db2.gz DWAHCDYYCMMCMC-QMMMGPOBSA-N -1 1 319.346 1.698 20 0 DDADMM COC(=O)c1[n-]c(=NC[C@@]2(O)CCc3ccccc32)sc1C ZINC000377137032 307264025 /nfs/dbraw/zinc/26/40/25/307264025.db2.gz WPDZDJWPXMQWKM-INIZCTEOSA-N -1 1 318.398 1.906 20 0 DDADMM CN(CC(=O)N=c1ccnc2n(C)[n-]cc1-2)C(=O)OC(C)(C)C ZINC000495719264 307315526 /nfs/dbraw/zinc/31/55/26/307315526.db2.gz IGDYSTFTRBVYBK-UHFFFAOYSA-N -1 1 319.365 1.147 20 0 DDADMM C[C@H](N=c1ccc(N(C)[C@@H]2CCNC2=O)n[n-]1)c1ccccc1 ZINC000516279054 307414770 /nfs/dbraw/zinc/41/47/70/307414770.db2.gz COFNKDNTQRTENU-GXTWGEPZSA-N -1 1 311.389 1.396 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CC[C@@H](CO)[C@@H](O)C1 ZINC000545989962 307723374 /nfs/dbraw/zinc/72/33/74/307723374.db2.gz CZEQOPSQWQSEBN-WFASDCNBSA-N -1 1 301.342 1.361 20 0 DDADMM Cc1nc(S(=O)(=O)Nc2cc(F)cc(C(=O)[O-])c2F)c[nH]1 ZINC000548226021 307760615 /nfs/dbraw/zinc/76/06/15/307760615.db2.gz VFXPMXXULFZNIE-UHFFFAOYSA-N -1 1 317.273 1.495 20 0 DDADMM O=C([O-])[C@H]1CCN(CCN2C[C@H]3CC[C@@H](C2)O3)c2ccccc21 ZINC000566509632 308056311 /nfs/dbraw/zinc/05/63/11/308056311.db2.gz VPUOQTBVXDVRHM-LZWOXQAQSA-N -1 1 316.401 1.928 20 0 DDADMM C[C@@H](CCO)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000567107149 308071341 /nfs/dbraw/zinc/07/13/41/308071341.db2.gz OWLCUXWEIHGQMW-VIFPVBQESA-N -1 1 300.405 1.593 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@H]1CCC(=O)C1 ZINC000567949343 308102062 /nfs/dbraw/zinc/10/20/62/308102062.db2.gz ZSPBWKWWECBHMT-QMMMGPOBSA-N -1 1 314.338 1.505 20 0 DDADMM CCC[C@H]1[C@H](C)CCCN1S(=O)(=O)c1c(C)o[n-]c1=N ZINC000569410756 308142890 /nfs/dbraw/zinc/14/28/90/308142890.db2.gz IQVLTDJADDMXIR-KOLCDFICSA-N -1 1 301.412 1.985 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NCc1ccc(F)c(CN(C)C)c1 ZINC000570166419 308161348 /nfs/dbraw/zinc/16/13/48/308161348.db2.gz PHMUKDRQBRFCOB-AWEZNQCLSA-N -1 1 310.369 1.860 20 0 DDADMM C[C@H]1OCC[C@H]1N(CCO)Cc1nc(=O)c2sccc2[n-]1 ZINC000570340304 308166959 /nfs/dbraw/zinc/16/69/59/308166959.db2.gz KZTOARHKJUVFLX-MWLCHTKSSA-N -1 1 309.391 1.369 20 0 DDADMM O=C(CCN1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1)Nc1cccnc1 ZINC000571002553 308188794 /nfs/dbraw/zinc/18/87/94/308188794.db2.gz LXTYHTWFYMHEHV-LLVKDONJSA-N -1 1 316.365 1.114 20 0 DDADMM CCC1(O)CN(c2ccc(=NCc3ccccc3F)[n-]n2)C1 ZINC000572137229 308213072 /nfs/dbraw/zinc/21/30/72/308213072.db2.gz NJLOSBUNTMEBMM-UHFFFAOYSA-N -1 1 302.353 1.611 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000572935190 308226538 /nfs/dbraw/zinc/22/65/38/308226538.db2.gz WZSKBDNSWXPZMA-HNNXBMFYSA-N -1 1 319.317 1.233 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](C)Cc1cc(C)cc(C)c1 ZINC000574367129 308269084 /nfs/dbraw/zinc/26/90/84/308269084.db2.gz TVSAMKJATSXIJS-NSHDSACASA-N -1 1 323.418 1.922 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)Cc1cc(C)cc(C)c1 ZINC000574367129 308269086 /nfs/dbraw/zinc/26/90/86/308269086.db2.gz TVSAMKJATSXIJS-NSHDSACASA-N -1 1 323.418 1.922 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(Oc2ccccc2)cn1 ZINC000575465060 308277461 /nfs/dbraw/zinc/27/74/61/308277461.db2.gz VSDPNBKZKYXAJY-UHFFFAOYSA-N -1 1 322.328 1.806 20 0 DDADMM O=C([O-])C1(CC(=O)NCc2ccc3cncn3c2)CCCC1 ZINC000576447491 308314909 /nfs/dbraw/zinc/31/49/09/308314909.db2.gz LZJJNHADFOUPSU-UHFFFAOYSA-N -1 1 301.346 1.986 20 0 DDADMM C[C@@H]1CN(CCS(=O)(=O)c2ccccc2)C[C@@]1(C)C(=O)[O-] ZINC000576473293 308317498 /nfs/dbraw/zinc/31/74/98/308317498.db2.gz SDFHMBTZMMZFEU-IUODEOHRSA-N -1 1 311.403 1.503 20 0 DDADMM Cn1cc(-c2cc(CN(CC(=O)[O-])C3CCCC3)on2)cn1 ZINC000576529386 308321443 /nfs/dbraw/zinc/32/14/43/308321443.db2.gz BGAXFKPIWUDELU-UHFFFAOYSA-N -1 1 304.350 1.904 20 0 DDADMM CO[C@H]1C[C@@H](C(=O)[O-])N(Cc2ccc(-n3cccn3)cc2)C1 ZINC000576590763 308325667 /nfs/dbraw/zinc/32/56/67/308325667.db2.gz DPXHUFHPYZEZND-GJZGRUSLSA-N -1 1 301.346 1.546 20 0 DDADMM CCC[C@H](C)[C@@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000576708717 308334082 /nfs/dbraw/zinc/33/40/82/308334082.db2.gz HZPCMMMRYINHOR-GXFFZTMASA-N -1 1 303.362 1.450 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnccc1C(F)(F)F)c1nn[n-]n1 ZINC000577293427 308377871 /nfs/dbraw/zinc/37/78/71/308377871.db2.gz JPESRPZUXMCTFL-ZETCQYMHSA-N -1 1 314.271 1.489 20 0 DDADMM C[C@@H]1[C@H](C(=O)[O-])CCCN1C(=O)[C@@H]1Cc2ccccc2CN1 ZINC000384337655 325751356 /nfs/dbraw/zinc/75/13/56/325751356.db2.gz BHMZSPXJQODDCT-DFBGVHRSSA-N -1 1 302.374 1.413 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnc2onc(C)c2c1)C1CC1 ZINC000584231606 331872814 /nfs/dbraw/zinc/87/28/14/331872814.db2.gz FZSJVKXHFFEEKV-GFCCVEGCSA-N -1 1 311.363 1.698 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2sc(C)nc2C)o1 ZINC000582523682 337104547 /nfs/dbraw/zinc/10/45/47/337104547.db2.gz VOOWGFUXQRGYFB-RXMQYKEDSA-N -1 1 302.381 1.491 20 0 DDADMM C[C@H]1CN(c2ccc(C(=O)[O-])nn2)CCN1Cc1ccccc1 ZINC000396363376 337240730 /nfs/dbraw/zinc/24/07/30/337240730.db2.gz KBTWRBVEBACELK-ZDUSSCGKSA-N -1 1 312.373 1.886 20 0 DDADMM Cc1nc([C@H](C)NC(=O)NC[C@H](CC(=O)[O-])CC(C)C)n[nH]1 ZINC000392428173 483985029 /nfs/dbraw/zinc/98/50/29/483985029.db2.gz MGKFXDTUIUSQBW-ONGXEEELSA-N -1 1 311.386 1.610 20 0 DDADMM Cn1cccc1S(=O)(=O)[N-]c1ccc(N2CCCC2=O)cc1 ZINC000414366012 484059742 /nfs/dbraw/zinc/05/97/42/484059742.db2.gz AUDXFXWDBZORCI-UHFFFAOYSA-N -1 1 319.386 1.953 20 0 DDADMM O=C(N[C@@H]1CC(=O)N(c2ccccc2)C1)C(=O)c1ccc([O-])cc1 ZINC000436942315 484129057 /nfs/dbraw/zinc/12/90/57/484129057.db2.gz HRWKFHFSSYRTPR-CYBMUJFWSA-N -1 1 324.336 1.497 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)C[C@H](OC)C2CC2)nc1C ZINC000663301895 484792860 /nfs/dbraw/zinc/79/28/60/484792860.db2.gz RPBQDIZHYDOYSB-ZDUSSCGKSA-N -1 1 314.407 1.955 20 0 DDADMM O=C(c1cn2ccccc2n1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670384533 484802530 /nfs/dbraw/zinc/80/25/30/484802530.db2.gz YZXYKFLPYYEPSE-SNVBAGLBSA-N -1 1 313.317 1.443 20 0 DDADMM CCS(=O)(=O)C[C@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000668739794 485304400 /nfs/dbraw/zinc/30/44/00/485304400.db2.gz PWXZDJNEJXQHCK-JTQLQIEISA-N -1 1 322.386 1.493 20 0 DDADMM CS(=O)(=O)CCCNC(=O)c1ncc2ccccc2c1[O-] ZINC000666916946 485377292 /nfs/dbraw/zinc/37/72/92/485377292.db2.gz FGEKZJDJOQCXJR-UHFFFAOYSA-N -1 1 308.359 1.105 20 0 DDADMM C[C@H](c1ccccc1)[C@H](CO)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000673056765 485383521 /nfs/dbraw/zinc/38/35/21/485383521.db2.gz HLPUUMHJKLCPCN-WBMJQRKESA-N -1 1 313.353 1.856 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2ccc[nH]2)c(F)c1 ZINC000673628631 485439460 /nfs/dbraw/zinc/43/94/60/485439460.db2.gz MXZMUDCRACNZOW-UHFFFAOYSA-N -1 1 302.302 1.780 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](c2ccnn2C)C(C)C)c([O-])c1 ZINC000682684343 485739346 /nfs/dbraw/zinc/73/93/46/485739346.db2.gz FHBPAMNQEROPTK-GFCCVEGCSA-N -1 1 302.378 1.999 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-]c1ccc(Cl)cc1Cl ZINC000682832821 485792346 /nfs/dbraw/zinc/79/23/46/485792346.db2.gz KLPOUNZGICWFMT-UHFFFAOYSA-N -1 1 307.162 1.923 20 0 DDADMM CCc1ccc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)cc1CC ZINC000679202563 485806731 /nfs/dbraw/zinc/80/67/31/485806731.db2.gz KAMXXTWGIBIQQQ-UHFFFAOYSA-N -1 1 322.390 1.434 20 0 DDADMM COc1ccc(-c2cncc(C(=O)NCc3nn[n-]n3)c2)cc1 ZINC000675140660 485864173 /nfs/dbraw/zinc/86/41/73/485864173.db2.gz WZWQVLVUYKTWAQ-UHFFFAOYSA-N -1 1 310.317 1.200 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCOC[C@H]2C)c(F)c1 ZINC000683342435 485960838 /nfs/dbraw/zinc/96/08/38/485960838.db2.gz UHUKFTSJJLHYNQ-PRHODGIISA-N -1 1 321.345 1.677 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)C1Cc2ccccc2C1 ZINC000683350450 485964243 /nfs/dbraw/zinc/96/42/43/485964243.db2.gz BRHIQPWAWRNTRG-INIZCTEOSA-N -1 1 319.368 1.820 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CC(F)(F)C2)o1 ZINC000679920526 486037225 /nfs/dbraw/zinc/03/72/25/486037225.db2.gz WLOVQJCPWAFLNM-UHFFFAOYSA-N -1 1 322.333 1.448 20 0 DDADMM CC(C)[C@H](NC(=O)c1ccc([O-])c(F)c1)C(=O)N1CCCC1 ZINC000681047036 486330751 /nfs/dbraw/zinc/33/07/51/486330751.db2.gz SPVJIXPBHSRBBS-AWEZNQCLSA-N -1 1 308.353 1.908 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCc2c[nH]nc2C1 ZINC000676829343 486338362 /nfs/dbraw/zinc/33/83/62/486338362.db2.gz KSBNYRPPSLIURR-UHFFFAOYSA-N -1 1 315.377 1.710 20 0 DDADMM O=C(Cc1ccc(OCc2ccccn2)cc1)NCc1nn[n-]n1 ZINC000676885822 486355340 /nfs/dbraw/zinc/35/53/40/486355340.db2.gz YCOPXRYIMRKPDS-UHFFFAOYSA-N -1 1 324.344 1.033 20 0 DDADMM CC(C)(C)NC(=O)N1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681357929 486412183 /nfs/dbraw/zinc/41/21/83/486412183.db2.gz SGWMDMCOXFSIRY-UHFFFAOYSA-N -1 1 323.368 1.797 20 0 DDADMM CN1CC[C@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)C1=O ZINC000681510485 486437014 /nfs/dbraw/zinc/43/70/14/486437014.db2.gz LXHGUEOXQFVAHE-LLVKDONJSA-N -1 1 300.318 1.199 20 0 DDADMM CC(C)C(=O)NCCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684873797 486449840 /nfs/dbraw/zinc/44/98/40/486449840.db2.gz LCZGVYGFVLVGSH-UHFFFAOYSA-N -1 1 318.295 1.913 20 0 DDADMM Cc1cnc(C(=O)NCc2nnnn2-c2ccccc2)c([O-])c1 ZINC000681721236 486501356 /nfs/dbraw/zinc/50/13/56/486501356.db2.gz VDQKPNTWFHEDAQ-UHFFFAOYSA-N -1 1 310.317 1.001 20 0 DDADMM COc1cc(CN[C@H](C(=O)[O-])c2cnn(C)c2)cc(OC)c1 ZINC000417586872 533901629 /nfs/dbraw/zinc/90/16/29/533901629.db2.gz BBLJHFIYEDNHOI-AWEZNQCLSA-N -1 1 305.334 1.353 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCC[C@H]2CCOC2)o1 ZINC000452017085 534238976 /nfs/dbraw/zinc/23/89/76/534238976.db2.gz MWSDXTNNAQIHKP-JTQLQIEISA-N -1 1 317.363 1.161 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)C(=O)OC(C)(C)C)sc1C ZINC000435209683 534517244 /nfs/dbraw/zinc/51/72/44/534517244.db2.gz DGYSASRYQBOWAT-QMMMGPOBSA-N -1 1 320.436 1.768 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@H]2Cc2cc(F)ccc2F)nc1=O ZINC000419296708 534664891 /nfs/dbraw/zinc/66/48/91/534664891.db2.gz FEHXFMJTSQFQEA-GFCCVEGCSA-N -1 1 308.332 1.594 20 0 DDADMM Cn1cc([C@@H](NCc2ccc(OC(F)F)cc2)C(=O)[O-])cn1 ZINC000417602394 534753253 /nfs/dbraw/zinc/75/32/53/534753253.db2.gz VHUSBVCNISXAMP-GFCCVEGCSA-N -1 1 311.288 1.937 20 0 DDADMM Cn1cc([C@@H](NCc2cccc(OC(F)F)c2)C(=O)[O-])cn1 ZINC000417598776 534753307 /nfs/dbraw/zinc/75/33/07/534753307.db2.gz OBLYHPRTXXPTBO-GFCCVEGCSA-N -1 1 311.288 1.937 20 0 DDADMM Cn1nnnc1-c1cccc(NC(=O)c2ccc([O-])cc2F)c1 ZINC000154770255 527655250 /nfs/dbraw/zinc/65/52/50/527655250.db2.gz GLSQRMFAVFDLEA-UHFFFAOYSA-N -1 1 313.292 1.974 20 0 DDADMM CCOC(=O)c1ccc(CS(=O)(=O)[N-]CC(F)F)cc1 ZINC000295939720 528223819 /nfs/dbraw/zinc/22/38/19/528223819.db2.gz KWSBEIINBVIYLK-UHFFFAOYSA-N -1 1 307.318 1.548 20 0 DDADMM CCC(=O)N1CCN(C(=O)c2cc(Cl)c([O-])c(OC)c2)C1 ZINC000425016403 528324642 /nfs/dbraw/zinc/32/46/42/528324642.db2.gz FWQVBMZJJURYMH-UHFFFAOYSA-N -1 1 312.753 1.706 20 0 DDADMM CCOc1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000491946481 528371288 /nfs/dbraw/zinc/37/12/88/528371288.db2.gz VEFBTGOUCGDTFJ-YFHOEESVSA-N -1 1 301.350 1.663 20 0 DDADMM CCC[C@]1(CO)CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000293038137 528522352 /nfs/dbraw/zinc/52/23/52/528522352.db2.gz OEXVOLLRHGQZNO-HNNXBMFYSA-N -1 1 320.418 1.643 20 0 DDADMM CC(C)n1cc2c(n1)C[C@@H](N=c1[n-]c(C(N)=O)cs1)CC2 ZINC000432332790 528580051 /nfs/dbraw/zinc/58/00/51/528580051.db2.gz BOAXAVODZJAREN-JTQLQIEISA-N -1 1 305.407 1.411 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C(=O)OCC)c(C)[nH]1)c1nn[n-]n1 ZINC000294907160 528989803 /nfs/dbraw/zinc/98/98/03/528989803.db2.gz JZAKSFKMUPERDT-SNVBAGLBSA-N -1 1 320.353 1.284 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)[C@@H](O)C(C)C ZINC000416622440 529195139 /nfs/dbraw/zinc/19/51/39/529195139.db2.gz MLEBZZRGUBYSEG-PWSUYJOCSA-N -1 1 319.379 1.140 20 0 DDADMM CC[C@H](C)[C@@H]([N-]S(=O)(=O)c1cnn(C)c1C)C(F)(F)F ZINC000292621272 529219964 /nfs/dbraw/zinc/21/99/64/529219964.db2.gz OMBWREVNFCRGIG-OIBJUYFYSA-N -1 1 313.345 1.984 20 0 DDADMM C[C@H]1COCC[C@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000278068597 538136075 /nfs/dbraw/zinc/13/60/75/538136075.db2.gz ZFWMXCVQADHMPG-IONNQARKSA-N -1 1 309.309 1.807 20 0 DDADMM Clc1cccc2c1CCN(c1nccnc1-c1nnn[n-]1)C2 ZINC000737576124 598854766 /nfs/dbraw/zinc/85/47/66/598854766.db2.gz WEXMMCUCCJXNLY-UHFFFAOYSA-N -1 1 313.752 1.873 20 0 DDADMM Clc1cccc2c1CCN(c1nccnc1-c1nn[n-]n1)C2 ZINC000737576124 598854769 /nfs/dbraw/zinc/85/47/69/598854769.db2.gz WEXMMCUCCJXNLY-UHFFFAOYSA-N -1 1 313.752 1.873 20 0 DDADMM OC[C@H]1CCCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000738395687 598935398 /nfs/dbraw/zinc/93/53/98/598935398.db2.gz YFJHTKOLDLTTFK-JTQLQIEISA-N -1 1 311.349 1.019 20 0 DDADMM OC[C@H]1CCCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000738395687 598935400 /nfs/dbraw/zinc/93/54/00/598935400.db2.gz YFJHTKOLDLTTFK-JTQLQIEISA-N -1 1 311.349 1.019 20 0 DDADMM O=C(OCc1nc2ccccc2[nH]1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738245680 598981243 /nfs/dbraw/zinc/98/12/43/598981243.db2.gz WDDVYWKUWNIZID-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM O=C(OCc1nc2ccccc2[nH]1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738245680 598981245 /nfs/dbraw/zinc/98/12/45/598981245.db2.gz WDDVYWKUWNIZID-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM Cc1ccccc1CCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737427136 598983844 /nfs/dbraw/zinc/98/38/44/598983844.db2.gz QRJYOIDDRXBIDE-UHFFFAOYSA-N -1 1 308.345 1.543 20 0 DDADMM Cc1ccccc1CCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737427136 598983845 /nfs/dbraw/zinc/98/38/45/598983845.db2.gz QRJYOIDDRXBIDE-UHFFFAOYSA-N -1 1 308.345 1.543 20 0 DDADMM Cn1c(Cl)cnc1COC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737592551 598995794 /nfs/dbraw/zinc/99/57/94/598995794.db2.gz QHBNRXVXPYBCJZ-UHFFFAOYSA-N -1 1 319.712 1.006 20 0 DDADMM Cn1c(Cl)cnc1COC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737592551 598995795 /nfs/dbraw/zinc/99/57/95/598995795.db2.gz QHBNRXVXPYBCJZ-UHFFFAOYSA-N -1 1 319.712 1.006 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC[C@H]1c1ccc[nH]1 ZINC000738259283 599023477 /nfs/dbraw/zinc/02/34/77/599023477.db2.gz PTHSUBINGKXMRS-ZDUSSCGKSA-N -1 1 309.333 1.567 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@H]1c1ccc[nH]1 ZINC000738259283 599023480 /nfs/dbraw/zinc/02/34/80/599023480.db2.gz PTHSUBINGKXMRS-ZDUSSCGKSA-N -1 1 309.333 1.567 20 0 DDADMM CC(C)C[C@@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735794871 599026433 /nfs/dbraw/zinc/02/64/33/599026433.db2.gz HBBQYFDJXOKVPX-LBPRGKRZSA-N -1 1 300.366 1.912 20 0 DDADMM CC(C)C[C@@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735794871 599026435 /nfs/dbraw/zinc/02/64/35/599026435.db2.gz HBBQYFDJXOKVPX-LBPRGKRZSA-N -1 1 300.366 1.912 20 0 DDADMM O=C(NC1CC(c2ccccc2)C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738019485 599030518 /nfs/dbraw/zinc/03/05/18/599030518.db2.gz KDDTWPQDYIPMIN-UHFFFAOYSA-N -1 1 320.356 1.938 20 0 DDADMM O=C(NC1CC(c2ccccc2)C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738019485 599030520 /nfs/dbraw/zinc/03/05/20/599030520.db2.gz KDDTWPQDYIPMIN-UHFFFAOYSA-N -1 1 320.356 1.938 20 0 DDADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)Oc1ccccc1F ZINC000820281313 599067399 /nfs/dbraw/zinc/06/73/99/599067399.db2.gz VECRDANJKVIMFM-SECBINFHSA-N -1 1 315.312 1.675 20 0 DDADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)Oc1ccccc1F ZINC000820281313 599067402 /nfs/dbraw/zinc/06/74/02/599067402.db2.gz VECRDANJKVIMFM-SECBINFHSA-N -1 1 315.312 1.675 20 0 DDADMM c1cc2c(s1)CCO[C@H]2CNc1nccnc1-c1nnn[n-]1 ZINC000823808949 599076617 /nfs/dbraw/zinc/07/66/17/599076617.db2.gz GEJWNVPLMQDDDJ-VIFPVBQESA-N -1 1 315.362 1.444 20 0 DDADMM c1cc2c(s1)CCO[C@H]2CNc1nccnc1-c1nn[n-]n1 ZINC000823808949 599076620 /nfs/dbraw/zinc/07/66/20/599076620.db2.gz GEJWNVPLMQDDDJ-VIFPVBQESA-N -1 1 315.362 1.444 20 0 DDADMM CCc1nnc([C@H](C)OC(=O)c2ccc(-c3nnn[n-]3)nc2)o1 ZINC000736706240 599135148 /nfs/dbraw/zinc/13/51/48/599135148.db2.gz JFQQWRPONFESMH-ZETCQYMHSA-N -1 1 315.293 1.125 20 0 DDADMM CCc1nnc([C@H](C)OC(=O)c2ccc(-c3nn[n-]n3)nc2)o1 ZINC000736706240 599135150 /nfs/dbraw/zinc/13/51/50/599135150.db2.gz JFQQWRPONFESMH-ZETCQYMHSA-N -1 1 315.293 1.125 20 0 DDADMM C[NH+](C)CCN(CC(=O)[O-])C(=O)c1ccc(Cl)cc1[O-] ZINC000230739087 596910177 /nfs/dbraw/zinc/91/01/77/596910177.db2.gz PEHJJYRGQQUUQY-UHFFFAOYSA-N -1 1 300.742 1.134 20 0 DDADMM COCc1cccc(CNC(=O)CN2CCC(C(=O)[O-])CC2)c1 ZINC000739843669 596917622 /nfs/dbraw/zinc/91/76/22/596917622.db2.gz TUOZPZKEVKGBDS-UHFFFAOYSA-N -1 1 320.389 1.246 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)Nc1ccccc1N1CCCC1=O ZINC000737991521 596999529 /nfs/dbraw/zinc/99/95/29/596999529.db2.gz NZNMQGJQUFFACV-UHFFFAOYSA-N -1 1 319.361 1.158 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)N2CCN3CCCC[C@@H]3C2)cc1 ZINC000321474522 597300115 /nfs/dbraw/zinc/30/01/15/597300115.db2.gz FSHLFHKNFWZKEH-OAHLLOKOSA-N -1 1 317.389 1.765 20 0 DDADMM O=[S@@]1CC[C@@H](Nc2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000823612561 607327452 /nfs/dbraw/zinc/32/74/52/607327452.db2.gz BAHKRFPSSBIVRG-PVBMHHQDSA-N -1 1 314.374 1.348 20 0 DDADMM O=[S@@]1CC[C@@H](Nc2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000823612561 607327453 /nfs/dbraw/zinc/32/74/53/607327453.db2.gz BAHKRFPSSBIVRG-PVBMHHQDSA-N -1 1 314.374 1.348 20 0 DDADMM CCC(CC)n1ccc(Cn2cccc(-c3nn[n-]n3)c2=O)n1 ZINC000820902419 607329376 /nfs/dbraw/zinc/32/93/76/607329376.db2.gz KWVPRVDSTCCEID-UHFFFAOYSA-N -1 1 313.365 1.634 20 0 DDADMM CN(CC(=O)NC1CCC(C(=O)[O-])CC1)[C@H]1CCSC1 ZINC000820554358 598150175 /nfs/dbraw/zinc/15/01/75/598150175.db2.gz ORHLPHLDHCZMJR-MCIGGMRASA-N -1 1 300.424 1.183 20 0 DDADMM CC(C)[C@@H](NCC(=O)N1CCC(c2ccccc2)=N1)C(=O)[O-] ZINC000820041642 598189187 /nfs/dbraw/zinc/18/91/87/598189187.db2.gz MDYJOKCWKJWIAM-OAHLLOKOSA-N -1 1 303.362 1.322 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1cccc(C(F)F)c1 ZINC000737770955 598259087 /nfs/dbraw/zinc/25/90/87/598259087.db2.gz NPHSLIDDDQOLTP-UHFFFAOYSA-N -1 1 305.248 1.272 20 0 DDADMM C[C@@H](CC(=O)n1ncc(-c2nn[n-]n2)c1N)c1ccccc1F ZINC000735975665 598370936 /nfs/dbraw/zinc/37/09/36/598370936.db2.gz IFRDEXRGETYZRM-QMMMGPOBSA-N -1 1 315.312 1.619 20 0 DDADMM CCc1nn(CCC(F)(F)F)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736705349 598643377 /nfs/dbraw/zinc/64/33/77/598643377.db2.gz GWHNVJUGSPZMNG-UHFFFAOYSA-N -1 1 316.287 1.501 20 0 DDADMM CC(C)Oc1ccc(Cn2cccc(-c3nn[n-]n3)c2=O)cc1 ZINC000735915543 598675726 /nfs/dbraw/zinc/67/57/26/598675726.db2.gz OVYARRKJRINUFX-UHFFFAOYSA-N -1 1 311.345 1.864 20 0 DDADMM CN(C)C(=O)c1cccc(CNc2cccc(-c3nnn[n-]3)n2)c1 ZINC000736754003 599407140 /nfs/dbraw/zinc/40/71/40/599407140.db2.gz RUZKKGXVGSIGGF-UHFFFAOYSA-N -1 1 323.360 1.576 20 0 DDADMM CN(C)C(=O)c1cccc(CNc2cccc(-c3nn[n-]n3)n2)c1 ZINC000736754003 599407142 /nfs/dbraw/zinc/40/71/42/599407142.db2.gz RUZKKGXVGSIGGF-UHFFFAOYSA-N -1 1 323.360 1.576 20 0 DDADMM FC(F)(F)CN1CC[C@@H](Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC000737628349 599420582 /nfs/dbraw/zinc/42/05/82/599420582.db2.gz UHEQYPZHRCIACP-MRVPVSSYSA-N -1 1 313.287 1.310 20 0 DDADMM FC(F)(F)CN1CC[C@@H](Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC000737628349 599420583 /nfs/dbraw/zinc/42/05/83/599420583.db2.gz UHEQYPZHRCIACP-MRVPVSSYSA-N -1 1 313.287 1.310 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H]1CCCN1c1cccc(-c2nnn[n-]2)n1 ZINC000737512184 599432387 /nfs/dbraw/zinc/43/23/87/599432387.db2.gz XCOUUATVOBAVJC-ZDUSSCGKSA-N -1 1 324.392 1.954 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H]1CCCN1c1cccc(-c2nn[n-]n2)n1 ZINC000737512184 599432390 /nfs/dbraw/zinc/43/23/90/599432390.db2.gz XCOUUATVOBAVJC-ZDUSSCGKSA-N -1 1 324.392 1.954 20 0 DDADMM NC(=O)[C@H]1CCCC[C@@H]1Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000822860395 607382270 /nfs/dbraw/zinc/38/22/70/607382270.db2.gz TXTRACBWRXJZPC-CBAPKCEASA-N -1 1 321.772 1.371 20 0 DDADMM NC(=O)[C@H]1CCCC[C@@H]1Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000822860395 607382272 /nfs/dbraw/zinc/38/22/72/607382272.db2.gz TXTRACBWRXJZPC-CBAPKCEASA-N -1 1 321.772 1.371 20 0 DDADMM NC(=O)[C@H]1CCC[C@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000737748519 599533006 /nfs/dbraw/zinc/53/30/06/599533006.db2.gz ZTMPCMYAJXHZSF-YUMQZZPRSA-N -1 1 321.772 1.371 20 0 DDADMM NC(=O)[C@H]1CCC[C@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000737748519 599533009 /nfs/dbraw/zinc/53/30/09/599533009.db2.gz ZTMPCMYAJXHZSF-YUMQZZPRSA-N -1 1 321.772 1.371 20 0 DDADMM CC[C@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1nccs1 ZINC000736362887 599551589 /nfs/dbraw/zinc/55/15/89/599551589.db2.gz KOAOPKGTOHTCQM-VIFPVBQESA-N -1 1 315.362 1.599 20 0 DDADMM CC[C@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1nccs1 ZINC000736362887 599551592 /nfs/dbraw/zinc/55/15/92/599551592.db2.gz KOAOPKGTOHTCQM-VIFPVBQESA-N -1 1 315.362 1.599 20 0 DDADMM O=C([O-])[C@H](c1ccc(F)cc1)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000739519068 599713606 /nfs/dbraw/zinc/71/36/06/599713606.db2.gz VLLGJPAGAITUCZ-OAGGEKHMSA-N -1 1 322.380 1.482 20 0 DDADMM O=C([O-])NCCC(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000739941503 599748493 /nfs/dbraw/zinc/74/84/93/599748493.db2.gz JDABTAGAZWLMMC-UHFFFAOYSA-N -1 1 323.368 1.564 20 0 DDADMM Cc1c2ccccc2oc1C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000170580935 599777284 /nfs/dbraw/zinc/77/72/84/599777284.db2.gz WYICJFILNYVJDL-LBPRGKRZSA-N -1 1 313.313 1.890 20 0 DDADMM O=C([O-])CSCCNC(=O)c1c[nH]c2ccccc2c1=O ZINC000389469118 599890333 /nfs/dbraw/zinc/89/03/33/599890333.db2.gz OBKUGOAYLIEVAL-UHFFFAOYSA-N -1 1 306.343 1.076 20 0 DDADMM C[C@H](c1cc(F)ccc1F)N(C)CCC(=O)NCC(=O)[O-] ZINC000736714311 600160177 /nfs/dbraw/zinc/16/01/77/600160177.db2.gz GPDQSRMDSKQXFR-SECBINFHSA-N -1 1 300.305 1.549 20 0 DDADMM C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cn1cc(C(=O)[O-])nn1 ZINC000736763698 600259417 /nfs/dbraw/zinc/25/94/17/600259417.db2.gz UEISLTNXGBKSBU-CMPLNLGQSA-N -1 1 304.325 1.951 20 0 DDADMM C[C@H]1C[C@H](c2ccc(F)cc2)CN1CC(=O)NCCC(=O)[O-] ZINC000736761796 600263994 /nfs/dbraw/zinc/26/39/94/600263994.db2.gz QGMQVWFKTFIUDO-AAEUAGOBSA-N -1 1 308.353 1.594 20 0 DDADMM Cc1ccc(CNC(=O)CN2CCC3(C[C@H]3C(=O)[O-])CC2)cc1 ZINC000405363068 600289217 /nfs/dbraw/zinc/28/92/17/600289217.db2.gz QXLYAZGDNOMRFI-HNNXBMFYSA-N -1 1 316.401 1.798 20 0 DDADMM C[C@@H](CN(C)[C@@H](C)C(=O)Nc1c(F)cccc1F)C(=O)[O-] ZINC000736574753 600365778 /nfs/dbraw/zinc/36/57/78/600365778.db2.gz HYTCTLQUNQDWBM-IUCAKERBSA-N -1 1 300.305 1.944 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN(C)C[C@@H](C)C(=O)[O-])cc1 ZINC000736160350 600374024 /nfs/dbraw/zinc/37/40/24/600374024.db2.gz XRERCQJHZMCVPS-SNVBAGLBSA-N -1 1 307.350 1.236 20 0 DDADMM CC[C@](C)(NCC(=O)N[C@H]1CCOc2ccccc21)C(=O)[O-] ZINC000736861643 600558858 /nfs/dbraw/zinc/55/88/58/600558858.db2.gz RJMSFCQNOZDIJW-LRDDRELGSA-N -1 1 306.362 1.469 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1cnn[nH]1)Cc1cccc2ccccc21 ZINC000739404239 600598851 /nfs/dbraw/zinc/59/88/51/600598851.db2.gz UHWWRBOHQAJWOB-CYBMUJFWSA-N -1 1 324.340 1.631 20 0 DDADMM O=C([O-])[C@@H](NC(=O)Cc1[nH]nc2ccccc21)c1ccccn1 ZINC000833119312 600918820 /nfs/dbraw/zinc/91/88/20/600918820.db2.gz JPEZQCXPDGFMHR-HNNXBMFYSA-N -1 1 310.313 1.443 20 0 DDADMM C[C@H](O)CN1CCN([C@H](C(=O)[O-])c2ccccc2F)C[C@@H]1C ZINC000827796136 600999893 /nfs/dbraw/zinc/99/98/93/600999893.db2.gz MFKCBXVDWCHGSA-HUBLWGQQSA-N -1 1 310.369 1.338 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccncc2Cl)CC1 ZINC000827379157 601099349 /nfs/dbraw/zinc/09/93/49/601099349.db2.gz CYVWXTGPFDOTDM-JTQLQIEISA-N -1 1 311.769 1.356 20 0 DDADMM CCN1CC[C@H](NS(=O)(=O)c2ccc(C(=O)[O-])c(C)c2)C1 ZINC000389311479 601110483 /nfs/dbraw/zinc/11/04/83/601110483.db2.gz NLRUIXBHABDPEQ-NSHDSACASA-N -1 1 312.391 1.066 20 0 DDADMM COc1cccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1O ZINC000832518509 601122222 /nfs/dbraw/zinc/12/22/22/601122222.db2.gz IQWPHTVZIGCGRS-LLVKDONJSA-N -1 1 322.361 1.022 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)Nc2ccccc2F)CC1 ZINC000827369471 601123471 /nfs/dbraw/zinc/12/34/71/601123471.db2.gz YZQNWCQJBFYMRH-LLVKDONJSA-N -1 1 309.341 1.838 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCC(=O)Nc1cc(F)ccc1F ZINC000831578817 601135404 /nfs/dbraw/zinc/13/54/04/601135404.db2.gz RBNYMBSQNRHPPN-AWEZNQCLSA-N -1 1 316.304 1.373 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1ccnc(C(=O)[O-])c1)c1cccs1 ZINC000830585276 601248084 /nfs/dbraw/zinc/24/80/84/601248084.db2.gz WAGMUAWTGRLIBV-LBPRGKRZSA-N -1 1 319.386 1.874 20 0 DDADMM CC(=O)c1cccc(NC(=O)[C@H](C)N2C[C@H](C)[C@H](C(=O)[O-])C2)c1 ZINC000825960875 601506692 /nfs/dbraw/zinc/50/66/92/601506692.db2.gz SIHAZVKPMNXSBB-ZIBATOQPSA-N -1 1 318.373 1.869 20 0 DDADMM COc1ccc(-c2nnc(CN3C[C@H](C)[C@H](C(=O)[O-])C3)o2)cc1 ZINC000832133925 601519112 /nfs/dbraw/zinc/51/91/12/601519112.db2.gz HBKVSDRFYCVUOR-GXFFZTMASA-N -1 1 317.345 1.898 20 0 DDADMM COCCN1CCN(Cc2cc(C(=O)[O-])sc2C)C[C@H]1C ZINC000831744986 601631124 /nfs/dbraw/zinc/63/11/24/601631124.db2.gz NYMWCKMZZBEOCC-LLVKDONJSA-N -1 1 312.435 1.907 20 0 DDADMM C[C@@H]1CN([C@H]2CC(=O)N(c3ccc(F)cc3)C2=O)C[C@@H]1C(=O)[O-] ZINC000828430256 601635314 /nfs/dbraw/zinc/63/53/14/601635314.db2.gz APFMMYLIRPMRCT-ICCXJUOJSA-N -1 1 320.320 1.110 20 0 DDADMM O=C([O-])c1cccc(NC(=O)CN2CCC[C@@H]3COCC[C@H]32)c1 ZINC000833106714 601662335 /nfs/dbraw/zinc/66/23/35/601662335.db2.gz UMOAHUZDNUADLH-UKRRQHHQSA-N -1 1 318.373 1.824 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C(=O)c2cn[nH]c2-c2ccccc2F)C1 ZINC000833354095 601687826 /nfs/dbraw/zinc/68/78/26/601687826.db2.gz ICWPZBPSLVNEIL-OAHLLOKOSA-N -1 1 321.283 1.855 20 0 DDADMM C[C@H]1CN(C(=O)CSc2n[nH]c(=S)s2)C[C@H]1C(=O)[O-] ZINC000828340517 601759385 /nfs/dbraw/zinc/75/93/85/601759385.db2.gz IZRKCBIGGVAPNE-NTSWFWBYSA-N -1 1 319.433 1.098 20 0 DDADMM C[C@@H]1CN(C(=O)Nc2cnn(-c3ccncc3)c2)C[C@@H]1C(=O)[O-] ZINC000828388074 601795542 /nfs/dbraw/zinc/79/55/42/601795542.db2.gz VJEBNNFPOKCAHD-MFKMUULPSA-N -1 1 315.333 1.452 20 0 DDADMM C[C@H](CN(C)[C@@H]1CCN(c2c(F)cccc2F)C1=O)C(=O)[O-] ZINC000827442193 601826419 /nfs/dbraw/zinc/82/64/19/601826419.db2.gz DQHXCWFJEBYYMM-BXKDBHETSA-N -1 1 312.316 1.723 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C[C@@H](O)c1ccc(F)cc1F ZINC000833221766 601891664 /nfs/dbraw/zinc/89/16/64/601891664.db2.gz PFDBYFKLOJPZDJ-ZWNOBZJWSA-N -1 1 317.357 1.890 20 0 DDADMM Cc1noc([C@@H](C)SCc2ccnc(-c3nnn[n-]3)c2)n1 ZINC000826337838 607534349 /nfs/dbraw/zinc/53/43/49/607534349.db2.gz BZOSRZQHOLWVGP-SSDOTTSWSA-N -1 1 303.351 1.948 20 0 DDADMM Cc1noc([C@@H](C)SCc2ccnc(-c3nn[n-]n3)c2)n1 ZINC000826337838 607534351 /nfs/dbraw/zinc/53/43/51/607534351.db2.gz BZOSRZQHOLWVGP-SSDOTTSWSA-N -1 1 303.351 1.948 20 0 DDADMM COc1cc(C=CC(=O)[O-])ccc1OC(=O)CCc1nc[nH]n1 ZINC000831978198 601972284 /nfs/dbraw/zinc/97/22/84/601972284.db2.gz ZFBUZAUCCPFHLX-ZZXKWVIFSA-N -1 1 317.301 1.449 20 0 DDADMM C[C@H](C(=O)N1CCCCCC1)N1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738618925 601974441 /nfs/dbraw/zinc/97/44/41/601974441.db2.gz VAQJGMJAUWSZCB-ZIAGYGMSSA-N -1 1 311.426 1.852 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)[C@@H](C)CC(=O)[O-] ZINC000832891055 602073652 /nfs/dbraw/zinc/07/36/52/602073652.db2.gz JANZDDRCADDRKT-ZDUSSCGKSA-N -1 1 319.405 1.796 20 0 DDADMM CC(C)C[C@@H](NC(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000736423289 602326018 /nfs/dbraw/zinc/32/60/18/602326018.db2.gz CFECFUSZZVVMJI-CYBMUJFWSA-N -1 1 317.349 1.888 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000736370502 602340464 /nfs/dbraw/zinc/34/04/64/602340464.db2.gz VZHPOCMSZMCHKV-OCCSQVGLSA-N -1 1 323.393 1.825 20 0 DDADMM CCCN(CCC)C(=O)CN1CCC(CN(C)C(=O)[O-])CC1 ZINC000739356983 602385071 /nfs/dbraw/zinc/38/50/71/602385071.db2.gz LTYGLJUAEBYEMH-UHFFFAOYSA-N -1 1 313.442 1.957 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1)N1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738631401 602539463 /nfs/dbraw/zinc/53/94/63/602539463.db2.gz VCWWSKNEAQEDBP-ZFWWWQNUSA-N -1 1 319.405 1.623 20 0 DDADMM CCN(CC(=O)NCc1nc2ccc(Cl)cc2[nH]1)C(=O)[O-] ZINC000739394444 602758069 /nfs/dbraw/zinc/75/80/69/602758069.db2.gz DLHXDTLUZWKFAA-UHFFFAOYSA-N -1 1 310.741 1.832 20 0 DDADMM O=C([O-])N(CC(=O)Nc1cnn(-c2ccncc2)c1)CC1CC1 ZINC000831468542 602824619 /nfs/dbraw/zinc/82/46/19/602824619.db2.gz LKLSJLXVCKZXJN-UHFFFAOYSA-N -1 1 315.333 1.596 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)NCC[NH+]2CCCCC2)c([O-])c1 ZINC000740697293 602849833 /nfs/dbraw/zinc/84/98/33/602849833.db2.gz BJJRSMIXMYBWAC-UHFFFAOYSA-N -1 1 307.350 1.698 20 0 DDADMM CC(C)[C@H](CNC(=O)N1CCN(C)C[C@@H]1C(C)C)NC(=O)[O-] ZINC000738785557 602916130 /nfs/dbraw/zinc/91/61/30/602916130.db2.gz NKMJHEYQBQOQKX-QWHCGFSZSA-N -1 1 314.430 1.260 20 0 DDADMM CC(C)(CC(=O)Nc1cnn(-c2ccncc2)c1)NC(=O)[O-] ZINC000738739352 602982667 /nfs/dbraw/zinc/98/26/67/602982667.db2.gz VCDBLTSFDNXDOL-UHFFFAOYSA-N -1 1 303.322 1.642 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)N[C@H]1CCCN(C(=O)[O-])C1 ZINC000736821342 602995038 /nfs/dbraw/zinc/99/50/38/602995038.db2.gz KWSONPDWJGITLM-RDBSUJKOSA-N -1 1 324.425 1.397 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)NC[C@H]1CCCN1C(=O)[O-] ZINC000739194649 602996040 /nfs/dbraw/zinc/99/60/40/602996040.db2.gz BINWFTDPAIXEIN-MGPQQGTHSA-N -1 1 324.425 1.397 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N[C@@H]1CCC[C@H]1CNC(=O)[O-] ZINC000829834929 603004381 /nfs/dbraw/zinc/00/43/81/603004381.db2.gz XHEMOWDHBXKINU-QWHCGFSZSA-N -1 1 323.397 1.386 20 0 DDADMM O=C(Cc1ccccc1O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826423919 607606544 /nfs/dbraw/zinc/60/65/44/607606544.db2.gz NCOLMXWFGQEYMA-UHFFFAOYSA-N -1 1 311.301 1.253 20 0 DDADMM O=C(Cc1ccccc1O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826423919 607606547 /nfs/dbraw/zinc/60/65/47/607606547.db2.gz NCOLMXWFGQEYMA-UHFFFAOYSA-N -1 1 311.301 1.253 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)COCc2ccccc2)C[C@H](C)N1C(=O)[O-] ZINC000739177113 603138092 /nfs/dbraw/zinc/13/80/92/603138092.db2.gz FUZCJTBQKYEESO-IJEWVQPXSA-N -1 1 322.405 1.637 20 0 DDADMM CN(CCCNC(=O)[O-])Cc1cncc(Br)c1 ZINC000739682878 603258732 /nfs/dbraw/zinc/25/87/32/603258732.db2.gz VSPNUIJZHBLDEF-UHFFFAOYSA-N -1 1 302.172 1.934 20 0 DDADMM C[C@H]1CN(CCC(=O)Nc2cc(N)ccc2F)CCN1C(=O)[O-] ZINC000826058997 603571604 /nfs/dbraw/zinc/57/16/04/603571604.db2.gz RALCPHDBBABVSC-JTQLQIEISA-N -1 1 324.356 1.421 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N(C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828001588 603711394 /nfs/dbraw/zinc/71/13/94/603711394.db2.gz SZNXJJZHXDHTGB-HUUCEWRRSA-N -1 1 319.405 1.370 20 0 DDADMM Cc1cc(CNC(=O)N[C@@H]2CCCC[C@@H]2CNC(=O)[O-])[nH]n1 ZINC000830041154 603810028 /nfs/dbraw/zinc/81/00/28/603810028.db2.gz IDJGGTXLUUGBNR-ZYHUDNBSSA-N -1 1 309.370 1.344 20 0 DDADMM CC(C)[C@H](CCN(C)[C@H](C)C(=O)N(C)C(C)C)NC(=O)[O-] ZINC000824100585 603854557 /nfs/dbraw/zinc/85/45/57/603854557.db2.gz WODXJQKSVHDRGB-OLZOCXBDSA-N -1 1 301.431 1.856 20 0 DDADMM O=C([O-])N1CC[C@@](F)(C(=O)NCc2nc3ccc(F)cc3[nH]2)C1 ZINC000831903655 603936676 /nfs/dbraw/zinc/93/66/76/603936676.db2.gz YIZHNYVROLSDOS-AWEZNQCLSA-N -1 1 324.287 1.410 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C)c(NC(=O)[O-])c1)c1nn[nH]n1 ZINC000826764637 604002515 /nfs/dbraw/zinc/00/25/15/604002515.db2.gz KPCLQXCYTBWOCE-SNVBAGLBSA-N -1 1 318.337 1.869 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CCC[C@@H]1CN(C)C(=O)[O-] ZINC000826667802 604101422 /nfs/dbraw/zinc/10/14/22/604101422.db2.gz LUDYAHPEKSZPMB-ZIAGYGMSSA-N -1 1 311.426 1.852 20 0 DDADMM O=S(=O)(NC1CC=CC1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826488178 607673016 /nfs/dbraw/zinc/67/30/16/607673016.db2.gz JTYAEJCESXQRRO-UHFFFAOYSA-N -1 1 309.326 1.003 20 0 DDADMM CCc1cc(=O)[nH]c(CN(C2CC2)C2CCN(C(=O)[O-])CC2)n1 ZINC000827571142 604124044 /nfs/dbraw/zinc/12/40/44/604124044.db2.gz XLQJSDMRCFIUMP-UHFFFAOYSA-N -1 1 320.393 1.851 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@H]([C@@H](C)NC(=O)[O-])C2)n[nH]1 ZINC000826949366 604153323 /nfs/dbraw/zinc/15/33/23/604153323.db2.gz MIMIHAVAEGLNLT-MNOVXSKESA-N -1 1 308.382 1.871 20 0 DDADMM CN(CCCNC(=O)c1cn[nH]c1-c1ccccc1F)C(=O)[O-] ZINC000828301966 604175061 /nfs/dbraw/zinc/17/50/61/604175061.db2.gz KDOJPJNRYIEEQH-UHFFFAOYSA-N -1 1 320.324 1.946 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(CN2C(=O)C(C)(C)C2(C)C)CC1 ZINC000825289534 604204720 /nfs/dbraw/zinc/20/47/20/604204720.db2.gz ZYVFXUSNLARAJS-LLVKDONJSA-N -1 1 311.426 1.959 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC3(C[C@H]3C(=O)[O-])CC2)n[nH]1 ZINC000832883797 604306926 /nfs/dbraw/zinc/30/69/26/604306926.db2.gz PWLDEYYCHAZANZ-GWCFXTLKSA-N -1 1 320.393 1.545 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CC[C@@H](NC(=O)[O-])C1 ZINC000739607755 604468758 /nfs/dbraw/zinc/46/87/58/604468758.db2.gz LGLFJOLGUPSMLG-KGLIPLIRSA-N -1 1 305.378 1.028 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCN(CC3CC3)CC2)cc1F ZINC000833310631 604944184 /nfs/dbraw/zinc/94/41/84/604944184.db2.gz YUZZNXPYVICMDR-UHFFFAOYSA-N -1 1 306.337 1.692 20 0 DDADMM CCCn1c(C)nnc1CN(CCC(=O)[O-])C1CCOCC1 ZINC000833652052 605046643 /nfs/dbraw/zinc/04/66/43/605046643.db2.gz CSNFHTAXPNSVCR-UHFFFAOYSA-N -1 1 310.398 1.452 20 0 DDADMM CCc1nc(NC(=O)c2cnc3nc[nH]c3c2)sc1C(=O)[O-] ZINC000833675342 605063788 /nfs/dbraw/zinc/06/37/88/605063788.db2.gz MTTBDAHFNOBPEJ-UHFFFAOYSA-N -1 1 317.330 1.927 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN[C@@H](C)c1cccc(OC)c1)C(=O)[O-] ZINC000833638909 605067635 /nfs/dbraw/zinc/06/76/35/605067635.db2.gz LICBPLYJOHFTKR-MQIPJXDCSA-N -1 1 322.405 1.961 20 0 DDADMM CC(C)Oc1cc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])ccn1 ZINC000833505544 605075758 /nfs/dbraw/zinc/07/57/58/605075758.db2.gz NVXRSKICMNPXOZ-LBPRGKRZSA-N -1 1 318.333 1.018 20 0 DDADMM O=C([O-])N1CCC[C@H]1CN1CCN(c2cccc(O)c2)CC1 ZINC000834111252 605465661 /nfs/dbraw/zinc/46/56/61/605465661.db2.gz DBHLEIGWCMOJPJ-AWEZNQCLSA-N -1 1 305.378 1.657 20 0 DDADMM O=C([O-])N1CC(C(=O)NC[C@@H](c2ccco2)N2CCCCC2)C1 ZINC000834023034 605508508 /nfs/dbraw/zinc/50/85/08/605508508.db2.gz UCGCYXXNELMSSE-ZDUSSCGKSA-N -1 1 321.377 1.533 20 0 DDADMM O=C([O-])Nc1ccc(CNC[C@@H]2CCCCS2(=O)=O)cn1 ZINC000834259601 605515994 /nfs/dbraw/zinc/51/59/94/605515994.db2.gz BDMUXSMIUCHJKA-NSHDSACASA-N -1 1 313.379 1.228 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CN(C[C@H]2CCOc3ccccc32)CCO1 ZINC000833816418 605675059 /nfs/dbraw/zinc/67/50/59/605675059.db2.gz CKBUXJMZVOKREP-ZENOOKHLSA-N -1 1 320.389 1.910 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)N1CCc2ccccc21 ZINC000833828787 605883273 /nfs/dbraw/zinc/88/32/73/605883273.db2.gz FNASYUQQSXEDDR-STQMWFEESA-N -1 1 317.389 1.554 20 0 DDADMM O=C([O-])N1CCC2(C[C@@H]2C(=O)N2CCN(C3CCC3)CC2)CC1 ZINC000834088693 605904671 /nfs/dbraw/zinc/90/46/71/605904671.db2.gz NDERTOVMVIOCCY-CQSZACIVSA-N -1 1 321.421 1.463 20 0 DDADMM Cc1ccc(C)c(OC[C@@H](O)CN2CC[C@H](NC(=O)[O-])C2)c1C ZINC000740119320 605929866 /nfs/dbraw/zinc/92/98/66/605929866.db2.gz NUAGZNOCKZWQSO-GJZGRUSLSA-N -1 1 322.405 1.693 20 0 DDADMM Cn1c(CN[C@@H]2CCC[C@@H]2NC(=O)[O-])nc2cc(F)ccc21 ZINC000833941556 605987048 /nfs/dbraw/zinc/98/70/48/605987048.db2.gz BPNUARQBQPDHNX-MNOVXSKESA-N -1 1 306.341 1.991 20 0 DDADMM O=C([O-])N[C@@H]1CCCN(CCC(=O)OCc2ccccc2)C1 ZINC000834181757 606028956 /nfs/dbraw/zinc/02/89/56/606028956.db2.gz JENTXUAXHWDQNM-CQSZACIVSA-N -1 1 306.362 1.852 20 0 DDADMM C[C@H](CO)CSc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000820280275 606091765 /nfs/dbraw/zinc/09/17/65/606091765.db2.gz CRUMDLBVHAVQFZ-MRVPVSSYSA-N -1 1 302.363 1.530 20 0 DDADMM O=C([O-])NCCCC(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000834230057 606094658 /nfs/dbraw/zinc/09/46/58/606094658.db2.gz RNJJRMXUYLSGLL-NSHDSACASA-N -1 1 321.381 1.436 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)s1)C1CCCC1 ZINC000823396093 606134751 /nfs/dbraw/zinc/13/47/51/606134751.db2.gz FLMFQQFFJODETM-UHFFFAOYSA-N -1 1 306.347 1.844 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)s1)C1CCCC1 ZINC000823396093 606134750 /nfs/dbraw/zinc/13/47/50/606134750.db2.gz FLMFQQFFJODETM-UHFFFAOYSA-N -1 1 306.347 1.844 20 0 DDADMM CC(C)(C)OC(=O)COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000819928274 606135903 /nfs/dbraw/zinc/13/59/03/606135903.db2.gz NAVKWDIQKDLBJC-UHFFFAOYSA-N -1 1 310.335 1.427 20 0 DDADMM CC(C)(C)OC(=O)COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000819928274 606135905 /nfs/dbraw/zinc/13/59/05/606135905.db2.gz NAVKWDIQKDLBJC-UHFFFAOYSA-N -1 1 310.335 1.427 20 0 DDADMM CCCCCNC(=O)COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821055859 606140188 /nfs/dbraw/zinc/14/01/88/606140188.db2.gz HWIODAWNVDZJDV-UHFFFAOYSA-N -1 1 323.378 1.391 20 0 DDADMM CCCCCNC(=O)COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821055859 606140189 /nfs/dbraw/zinc/14/01/89/606140189.db2.gz HWIODAWNVDZJDV-UHFFFAOYSA-N -1 1 323.378 1.391 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCc2ccc(Cl)o2)n1 ZINC000822620198 606170728 /nfs/dbraw/zinc/17/07/28/606170728.db2.gz LHPVQZYSKYYQLE-UHFFFAOYSA-N -1 1 322.716 1.168 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCc2ccc(Cl)o2)n1 ZINC000822620198 606170729 /nfs/dbraw/zinc/17/07/29/606170729.db2.gz LHPVQZYSKYYQLE-UHFFFAOYSA-N -1 1 322.716 1.168 20 0 DDADMM CCCCO[C@@H](C)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000821071946 606196507 /nfs/dbraw/zinc/19/65/07/606196507.db2.gz OIJLZYYERJRZRI-VIFPVBQESA-N -1 1 305.338 1.716 20 0 DDADMM O=C(OCC[C@H]1CCCC1=O)c1sccc1-c1nn[n-]n1 ZINC000823400269 606227039 /nfs/dbraw/zinc/22/70/39/606227039.db2.gz AJPCDOOBOLWFLZ-MRVPVSSYSA-N -1 1 306.347 1.844 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccncc1F ZINC000823294623 606297011 /nfs/dbraw/zinc/29/70/11/606297011.db2.gz KQDDODDRORFGEM-UHFFFAOYSA-N -1 1 300.253 1.359 20 0 DDADMM CCS[C@@H]1CCC[C@@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821457113 606325373 /nfs/dbraw/zinc/32/53/73/606325373.db2.gz URPNVSHAZLQPER-CMPLNLGQSA-N -1 1 318.406 1.666 20 0 DDADMM CCS[C@@H]1CCC[C@@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821457113 606325375 /nfs/dbraw/zinc/32/53/75/606325375.db2.gz URPNVSHAZLQPER-CMPLNLGQSA-N -1 1 318.406 1.666 20 0 DDADMM CS[C@H](CO)[C@H](C)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821950199 606468832 /nfs/dbraw/zinc/46/88/32/606468832.db2.gz LRKDHZQLHZIBCZ-POYBYMJQSA-N -1 1 314.802 1.439 20 0 DDADMM CS[C@H](CO)[C@H](C)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821950199 606468834 /nfs/dbraw/zinc/46/88/34/606468834.db2.gz LRKDHZQLHZIBCZ-POYBYMJQSA-N -1 1 314.802 1.439 20 0 DDADMM C[C@H](N(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C1(C)CC1 ZINC000820306719 606472985 /nfs/dbraw/zinc/47/29/85/606472985.db2.gz CYNRKDTWTKTLJO-VIFPVBQESA-N -1 1 322.394 1.071 20 0 DDADMM C[C@H](N(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C1(C)CC1 ZINC000820306719 606472987 /nfs/dbraw/zinc/47/29/87/606472987.db2.gz CYNRKDTWTKTLJO-VIFPVBQESA-N -1 1 322.394 1.071 20 0 DDADMM O=C(NCCNc1ccccn1)c1ccc(-c2nn[n-]n2)s1 ZINC000823202182 606591991 /nfs/dbraw/zinc/59/19/91/606591991.db2.gz SHNPQSFOIXURNL-UHFFFAOYSA-N -1 1 315.362 1.165 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)o1 ZINC000822229647 606597262 /nfs/dbraw/zinc/59/72/62/606597262.db2.gz YMZHYJOFYAKISZ-MRVPVSSYSA-N -1 1 316.325 1.384 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)o1 ZINC000822229647 606597264 /nfs/dbraw/zinc/59/72/64/606597264.db2.gz YMZHYJOFYAKISZ-MRVPVSSYSA-N -1 1 316.325 1.384 20 0 DDADMM COc1ccsc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000821911508 606651861 /nfs/dbraw/zinc/65/18/61/606651861.db2.gz VRIJJISSWYNSCW-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM COc1ccsc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000821911508 606651862 /nfs/dbraw/zinc/65/18/62/606651862.db2.gz VRIJJISSWYNSCW-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM Cc1ccncc1COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822378825 606801692 /nfs/dbraw/zinc/80/16/92/606801692.db2.gz FLDGKCRLMMDQEI-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM Cc1ccncc1COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822378825 606801691 /nfs/dbraw/zinc/80/16/91/606801691.db2.gz FLDGKCRLMMDQEI-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)C1 ZINC000820727031 606843357 /nfs/dbraw/zinc/84/33/57/606843357.db2.gz FTFSYDBOSLTIQU-QMMMGPOBSA-N -1 1 311.342 1.036 20 0 DDADMM OC[C@H]1CC[C@@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000823727096 606878735 /nfs/dbraw/zinc/87/87/35/606878735.db2.gz NTIYNVHLMIDZFV-DTORHVGOSA-N -1 1 308.773 1.878 20 0 DDADMM OC[C@H]1CC[C@@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000823727096 606878736 /nfs/dbraw/zinc/87/87/36/606878736.db2.gz NTIYNVHLMIDZFV-DTORHVGOSA-N -1 1 308.773 1.878 20 0 DDADMM Cc1ccn(C)c(=O)c1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822369101 606953685 /nfs/dbraw/zinc/95/36/85/606953685.db2.gz AUJYEKCKJRQKEM-UHFFFAOYSA-N -1 1 316.346 1.188 20 0 DDADMM Cc1ccn(C)c(=O)c1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822369101 606953687 /nfs/dbraw/zinc/95/36/87/606953687.db2.gz AUJYEKCKJRQKEM-UHFFFAOYSA-N -1 1 316.346 1.188 20 0 DDADMM c1nnc(CNc2cccc(-c3nnn[n-]3)n2)n1-c1ccccc1 ZINC000826520044 607897005 /nfs/dbraw/zinc/89/70/05/607897005.db2.gz YUFOXMGMXMILFP-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1nnc(CNc2cccc(-c3nn[n-]n3)n2)n1-c1ccccc1 ZINC000826520044 607897006 /nfs/dbraw/zinc/89/70/06/607897006.db2.gz YUFOXMGMXMILFP-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM O=C(CCNc1cccc(-c2nnn[n-]2)n1)OCc1ccccc1 ZINC000826407783 607902446 /nfs/dbraw/zinc/90/24/46/607902446.db2.gz AXWPFKXAJQHMER-UHFFFAOYSA-N -1 1 324.344 1.807 20 0 DDADMM O=C(CCNc1cccc(-c2nn[n-]n2)n1)OCc1ccccc1 ZINC000826407783 607902447 /nfs/dbraw/zinc/90/24/47/607902447.db2.gz AXWPFKXAJQHMER-UHFFFAOYSA-N -1 1 324.344 1.807 20 0 DDADMM Cc1cccc(N2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)c1 ZINC000826298641 607988587 /nfs/dbraw/zinc/98/85/87/607988587.db2.gz BJMLTBVINVLIFO-UHFFFAOYSA-N -1 1 322.376 1.292 20 0 DDADMM Cc1cccc(N2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)c1 ZINC000826298641 607988588 /nfs/dbraw/zinc/98/85/88/607988588.db2.gz BJMLTBVINVLIFO-UHFFFAOYSA-N -1 1 322.376 1.292 20 0 DDADMM Cc1cc(=O)[nH]cc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826256501 607997319 /nfs/dbraw/zinc/99/73/19/607997319.db2.gz MICUDQOTUSOTFH-UHFFFAOYSA-N -1 1 312.289 1.234 20 0 DDADMM c1cn(Cc2ccccc2)c(Cn2ccnc2-c2nn[n-]n2)n1 ZINC000826521994 608014095 /nfs/dbraw/zinc/01/40/95/608014095.db2.gz HASNGINNQXZVOV-UHFFFAOYSA-N -1 1 306.333 1.356 20 0 DDADMM C[C@@H](Nc1snc(Cl)c1-c1nnn[n-]1)[C@@H]1CCCO1 ZINC000824669669 608173191 /nfs/dbraw/zinc/17/31/91/608173191.db2.gz FHONWQGNISQIKE-RITPCOANSA-N -1 1 300.775 1.956 20 0 DDADMM C[C@@H](Nc1snc(Cl)c1-c1nn[n-]n1)[C@@H]1CCCO1 ZINC000824669669 608173193 /nfs/dbraw/zinc/17/31/93/608173193.db2.gz FHONWQGNISQIKE-RITPCOANSA-N -1 1 300.775 1.956 20 0 DDADMM CC(C)(C)OC(=O)CCCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000824100815 608295559 /nfs/dbraw/zinc/29/55/59/608295559.db2.gz YEDUHXKRLZQJHC-UHFFFAOYSA-N -1 1 319.369 1.581 20 0 DDADMM CC(C)(C)OC(=O)CCCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000824100815 608295561 /nfs/dbraw/zinc/29/55/61/608295561.db2.gz YEDUHXKRLZQJHC-UHFFFAOYSA-N -1 1 319.369 1.581 20 0 DDADMM O=Cc1ccc(Oc2ccc(-c3nnn[n-]3)nn2)c(Cl)c1 ZINC000826484245 608405797 /nfs/dbraw/zinc/40/57/97/608405797.db2.gz UQDZNYQEABWPCK-UHFFFAOYSA-N -1 1 302.681 1.915 20 0 DDADMM O=Cc1ccc(Oc2ccc(-c3nn[n-]n3)nn2)c(Cl)c1 ZINC000826484245 608405798 /nfs/dbraw/zinc/40/57/98/608405798.db2.gz UQDZNYQEABWPCK-UHFFFAOYSA-N -1 1 302.681 1.915 20 0 DDADMM c1nc2ccccc2n1CCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826524430 608408113 /nfs/dbraw/zinc/40/81/13/608408113.db2.gz VCCJLZKCIJQTPE-UHFFFAOYSA-N -1 1 307.321 1.119 20 0 DDADMM c1nc2ccccc2n1CCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826524430 608408115 /nfs/dbraw/zinc/40/81/15/608408115.db2.gz VCCJLZKCIJQTPE-UHFFFAOYSA-N -1 1 307.321 1.119 20 0 DDADMM C[C@H](c1ccccc1)[C@@H](CO)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824771527 608416767 /nfs/dbraw/zinc/41/67/67/608416767.db2.gz QTESOYSCOZYSDF-ZWNOBZJWSA-N -1 1 311.349 1.233 20 0 DDADMM C[C@H](c1ccccc1)[C@@H](CO)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824771527 608416769 /nfs/dbraw/zinc/41/67/69/608416769.db2.gz QTESOYSCOZYSDF-ZWNOBZJWSA-N -1 1 311.349 1.233 20 0 DDADMM c1cc(-c2nn[n-]n2)sc1CN1CCC[C@@H]1c1ncon1 ZINC000826527057 608665513 /nfs/dbraw/zinc/66/55/13/608665513.db2.gz XNYPRRYKYOQVAJ-SECBINFHSA-N -1 1 303.351 1.648 20 0 DDADMM Cn1cnnc1[C@@H]1CCCN1Cc1ccc(-c2nn[n-]n2)s1 ZINC000826365362 608741092 /nfs/dbraw/zinc/74/10/92/608741092.db2.gz LFJUTLFUWBVSDI-JTQLQIEISA-N -1 1 316.394 1.394 20 0 DDADMM Cc1ccccc1-c1noc(Cn2ccnc2-c2nnn[n-]2)n1 ZINC000826305522 608888830 /nfs/dbraw/zinc/88/88/30/608888830.db2.gz ZYTXEIYVHJNIEN-UHFFFAOYSA-N -1 1 308.305 1.470 20 0 DDADMM Cc1ccccc1-c1noc(Cn2ccnc2-c2nn[n-]n2)n1 ZINC000826305522 608888834 /nfs/dbraw/zinc/88/88/34/608888834.db2.gz ZYTXEIYVHJNIEN-UHFFFAOYSA-N -1 1 308.305 1.470 20 0 DDADMM CCOc1ccc(C(C)=O)cc1Cn1ccnc1-c1nnn[n-]1 ZINC000825632047 608891669 /nfs/dbraw/zinc/89/16/69/608891669.db2.gz KDLQGEWTPKSHLX-UHFFFAOYSA-N -1 1 312.333 1.713 20 0 DDADMM CCOc1ccc(C(C)=O)cc1Cn1ccnc1-c1nn[n-]n1 ZINC000825632047 608891670 /nfs/dbraw/zinc/89/16/70/608891670.db2.gz KDLQGEWTPKSHLX-UHFFFAOYSA-N -1 1 312.333 1.713 20 0 DDADMM O=S(=O)([N-]CC(F)F)c1ccc(-c2nn[nH]n2)cc1Cl ZINC000826488968 609555035 /nfs/dbraw/zinc/55/50/35/609555035.db2.gz DRGJZLVDROLTSO-UHFFFAOYSA-N -1 1 323.712 1.064 20 0 DDADMM CC(C)(C)[C@@H](O)C(=O)Nc1nc(Br)ccc1[O-] ZINC000790043837 699436779 /nfs/dbraw/zinc/43/67/79/699436779.db2.gz SSTMOCPLFDNTGO-QMMMGPOBSA-N -1 1 303.156 1.895 20 0 DDADMM Cc1nn(C)cc1C(=O)Nc1nc(Br)ccc1[O-] ZINC000121501732 696710884 /nfs/dbraw/zinc/71/08/84/696710884.db2.gz IVQGQIJXXDPXKG-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM CCCC(=O)NCC(=O)Nc1nc(Br)ccc1[O-] ZINC000121542620 696712068 /nfs/dbraw/zinc/71/20/68/696712068.db2.gz DTKXBIDSTXKVLC-UHFFFAOYSA-N -1 1 316.155 1.405 20 0 DDADMM CCNC(=O)c1ccc(OC(=O)c2ccc(C(=O)OC)[n-]2)cc1 ZINC000796906584 699984808 /nfs/dbraw/zinc/98/48/08/699984808.db2.gz YPHYWXMUYYPQPS-UHFFFAOYSA-N -1 1 316.313 1.770 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973198076 695444080 /nfs/dbraw/zinc/44/40/80/695444080.db2.gz TVDAOQDHJWNEPK-USZNOCQGSA-N -1 1 303.362 1.210 20 0 DDADMM CC1=C(C)C[C@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)CC1 ZINC000974163006 695643383 /nfs/dbraw/zinc/64/33/83/695643383.db2.gz GZKOQKFRFGPLEA-UPJWGTAASA-N -1 1 317.393 1.318 20 0 DDADMM COc1ccccc1[C@@H](O)CNC(=O)C(=O)c1ccc([O-])cc1 ZINC000798161247 700045503 /nfs/dbraw/zinc/04/55/03/700045503.db2.gz OPVWYIWEKLYSMU-AWEZNQCLSA-N -1 1 315.325 1.433 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCOC[C@@H]1CCOC1 ZINC000747385869 700067233 /nfs/dbraw/zinc/06/72/33/700067233.db2.gz GEJKBPQFIVGABW-GFCCVEGCSA-N -1 1 323.393 1.397 20 0 DDADMM Cc1cc(C(=O)N[N-]C(=O)c2ccccn2)nn1C(C)(C)C ZINC000044819915 696168510 /nfs/dbraw/zinc/16/85/10/696168510.db2.gz UPTOCVSGFZMEOA-UHFFFAOYSA-N -1 1 301.350 1.416 20 0 DDADMM O=C([N-]S(=O)(=O)Cc1ccc(Cl)nc1)[C@@H]1CC1[N+](=O)[O-] ZINC000049515119 696225009 /nfs/dbraw/zinc/22/50/09/696225009.db2.gz DGSJZXOQFNHRAY-HTQZYQBOSA-N -1 1 319.726 1.187 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977600109 696229942 /nfs/dbraw/zinc/22/99/42/696229942.db2.gz APCJDCGMSKDKTM-DGCLKSJQSA-N -1 1 323.368 1.454 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)/C=C(/C)C2CC2)cc1 ZINC000052111162 696252173 /nfs/dbraw/zinc/25/21/73/696252173.db2.gz ZWQLRTNZDKDMNG-LUAWRHEFSA-N -1 1 317.341 1.851 20 0 DDADMM CCCCNC(CC)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000054503253 696276563 /nfs/dbraw/zinc/27/65/63/696276563.db2.gz XLCFPKVADFCCEJ-QXMHVHEDSA-N -1 1 311.451 1.940 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2ccc(C)c(F)c2)n1 ZINC000055585251 696284892 /nfs/dbraw/zinc/28/48/92/696284892.db2.gz ZDSXTHJSWCXFDM-UHFFFAOYSA-N -1 1 312.326 1.238 20 0 DDADMM CC(C)(C)C(=O)N1CCC[C@@H](C(=O)[N-]O[C@H]2CCCCO2)C1 ZINC000060336436 696309717 /nfs/dbraw/zinc/30/97/17/696309717.db2.gz GHUIAPPSDOIWIE-OLZOCXBDSA-N -1 1 312.410 1.846 20 0 DDADMM O=C(NCc1n[n-]c(=S)n1C1CC1)c1cccc2c1OCO2 ZINC000066637689 696354317 /nfs/dbraw/zinc/35/43/17/696354317.db2.gz AXXJNXGOKMTQEG-UHFFFAOYSA-N -1 1 318.358 1.934 20 0 DDADMM CCn1c(CNC(=O)c2cccnc2N(C)C)n[n-]c1=S ZINC000066636094 696354518 /nfs/dbraw/zinc/35/45/18/696354518.db2.gz LZCOFXQPFQGJOB-UHFFFAOYSA-N -1 1 306.395 1.352 20 0 DDADMM Cc1ccc(C(=O)NNC(=O)c2cc(Cl)ccc2[O-])c(=O)[nH]1 ZINC000069644189 696385290 /nfs/dbraw/zinc/38/52/90/696385290.db2.gz ZQIALOZLGFEUSK-UHFFFAOYSA-N -1 1 321.720 1.117 20 0 DDADMM CC1CC(C(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000978697981 696442094 /nfs/dbraw/zinc/44/20/94/696442094.db2.gz JWCVEABIPSWTMO-JSTMSRMQSA-N -1 1 317.389 1.458 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H]2CCc3cc(Cl)ccc32)s1 ZINC000079726821 696463202 /nfs/dbraw/zinc/46/32/02/696463202.db2.gz MAHBRNXXQNANGC-QWRGUYRKSA-N -1 1 323.805 1.790 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCCCCc2ccccc2)s1 ZINC000079959468 696465246 /nfs/dbraw/zinc/46/52/46/696465246.db2.gz LTDVSDKCQUZIGN-ZDUSSCGKSA-N -1 1 319.430 1.862 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)cc1 ZINC000798814689 700099160 /nfs/dbraw/zinc/09/91/60/700099160.db2.gz ZZLULYMQGCFGOM-ZDUSSCGKSA-N -1 1 314.407 1.086 20 0 DDADMM O=S(=O)(Cc1cc2ccccc2nc1Cl)c1ncn[n-]1 ZINC000086169805 696565659 /nfs/dbraw/zinc/56/56/59/696565659.db2.gz SBJPMRJYWOIKSM-UHFFFAOYSA-N -1 1 308.750 1.980 20 0 DDADMM O=S(=O)(Cc1cc2ccccc2nc1Cl)c1nc[n-]n1 ZINC000086169805 696565662 /nfs/dbraw/zinc/56/56/62/696565662.db2.gz SBJPMRJYWOIKSM-UHFFFAOYSA-N -1 1 308.750 1.980 20 0 DDADMM CCc1onc(C)c1NC(=O)NCCn1c(C)n[n-]c1=S ZINC000089413575 696576522 /nfs/dbraw/zinc/57/65/22/696576522.db2.gz YGBSWVSMPQMCNV-UHFFFAOYSA-N -1 1 310.383 1.930 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@]2(C)CCC(=O)NC2)c1 ZINC000798780992 700096243 /nfs/dbraw/zinc/09/62/43/700096243.db2.gz ZULBFNCKYPVYNO-KRWDZBQOSA-N -1 1 319.357 1.597 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)c1ccc(Cl)cc1)N(C)C ZINC000798813083 700098573 /nfs/dbraw/zinc/09/85/73/700098573.db2.gz VFOZGHRESLOQLW-GFCCVEGCSA-N -1 1 318.826 1.731 20 0 DDADMM CCC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]12 ZINC000979832007 696650824 /nfs/dbraw/zinc/65/08/24/696650824.db2.gz RUVOFGUKJJJRSZ-IJLUTSLNSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)c(F)c1 ZINC000798837548 700100567 /nfs/dbraw/zinc/10/05/67/700100567.db2.gz XMGGGPRMVUWCGP-CYBMUJFWSA-N -1 1 316.398 1.525 20 0 DDADMM CC(C)NC(=O)CN(C)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000117318998 696670745 /nfs/dbraw/zinc/67/07/45/696670745.db2.gz FGKOTFWURUXFMF-UHFFFAOYSA-N -1 1 320.422 1.417 20 0 DDADMM Cc1ccoc1C(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000119733613 696684442 /nfs/dbraw/zinc/68/44/42/696684442.db2.gz ZYQKOGQNFCKAOR-UHFFFAOYSA-N -1 1 302.290 1.027 20 0 DDADMM C[C@H](CCc1ccccc1)NC(=O)Cc1sc(N)nc1[O-] ZINC000120782298 696701110 /nfs/dbraw/zinc/70/11/10/696701110.db2.gz NARSYDGWDOOISQ-PWSUYJOCSA-N -1 1 305.403 1.471 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@H]1CCC[C@H](C)C1 ZINC000129294591 696787512 /nfs/dbraw/zinc/78/75/12/696787512.db2.gz HXBOMFRCEANLPM-JQWIXIFHSA-N -1 1 318.377 1.638 20 0 DDADMM CCO[C@H]1C[C@H]1C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799019877 700110125 /nfs/dbraw/zinc/11/01/25/700110125.db2.gz UXYJCTNRQVRSSV-MNOVXSKESA-N -1 1 322.327 1.329 20 0 DDADMM O=Cc1cccc(OCc2ccccc2C(=O)Nc2nnn[n-]2)c1 ZINC000135473277 696849529 /nfs/dbraw/zinc/84/95/29/696849529.db2.gz ZYTGNCRNNXBRQX-UHFFFAOYSA-N -1 1 323.312 1.844 20 0 DDADMM O=Cc1cccc(OCc2ccccc2C(=O)Nc2nn[n-]n2)c1 ZINC000135473277 696849535 /nfs/dbraw/zinc/84/95/35/696849535.db2.gz ZYTGNCRNNXBRQX-UHFFFAOYSA-N -1 1 323.312 1.844 20 0 DDADMM O=C(Cn1ccccc1=O)Nc1nc(Br)ccc1[O-] ZINC000156397856 696962398 /nfs/dbraw/zinc/96/23/98/696962398.db2.gz PCYCDDCHBNSJIL-UHFFFAOYSA-N -1 1 324.134 1.350 20 0 DDADMM O=C(CCC1CC1)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982558485 697150258 /nfs/dbraw/zinc/15/02/58/697150258.db2.gz RXWXOOIEECRSNX-ZDUSSCGKSA-N -1 1 317.389 1.556 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983123309 697214655 /nfs/dbraw/zinc/21/46/55/697214655.db2.gz SEMZNUQGYVXTSO-SRVKXCTJSA-N -1 1 303.362 1.022 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C(=O)O1 ZINC000748862167 700139398 /nfs/dbraw/zinc/13/93/98/700139398.db2.gz NCVRTPLUXJXCPO-CAHLUQPWSA-N -1 1 309.265 1.086 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)Cc1cc(C#N)ccc1F ZINC000162218699 697327260 /nfs/dbraw/zinc/32/72/60/697327260.db2.gz WEISQBJRGRIHKD-UHFFFAOYSA-N -1 1 314.338 1.068 20 0 DDADMM C[C@H](Cc1ccncc1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000749301115 700155664 /nfs/dbraw/zinc/15/56/64/700155664.db2.gz BODLEGVSWKVQEA-SECBINFHSA-N -1 1 314.798 1.378 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]CC1(CO)CCC1 ZINC000183300351 697486345 /nfs/dbraw/zinc/48/63/45/697486345.db2.gz BBZLQWPATJBNMW-UHFFFAOYSA-N -1 1 318.326 1.175 20 0 DDADMM O=C([N-]Cc1ccc(-n2cncn2)nc1)C(F)(F)C(F)F ZINC000749349914 700157893 /nfs/dbraw/zinc/15/78/93/700157893.db2.gz QICQJNLYOCTXPB-UHFFFAOYSA-N -1 1 303.219 1.179 20 0 DDADMM Cc1cocc1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985576261 697533424 /nfs/dbraw/zinc/53/34/24/697533424.db2.gz OKRLJYKENNFPRQ-UHFFFAOYSA-N -1 1 319.365 1.148 20 0 DDADMM Cn1cccc([N-]S(=O)(=O)C2=Cc3ccccc3OC2)c1=O ZINC000190292654 697588491 /nfs/dbraw/zinc/58/84/91/697588491.db2.gz WLOGUWFIHMWQPP-UHFFFAOYSA-N -1 1 318.354 1.561 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CCCCC1 ZINC000985890407 697596807 /nfs/dbraw/zinc/59/68/07/697596807.db2.gz NBPFCNFQMUTWET-JQWIXIFHSA-N -1 1 307.398 1.170 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)s1 ZINC000985894117 697597248 /nfs/dbraw/zinc/59/72/48/697597248.db2.gz UMPGMNVWANBEPY-ZJUUUORDSA-N -1 1 321.406 1.273 20 0 DDADMM Cc1cccc(OCC(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000773160976 697722088 /nfs/dbraw/zinc/72/20/88/697722088.db2.gz JDTLHUBJKZAIPE-GFCCVEGCSA-N -1 1 319.390 1.204 20 0 DDADMM COCc1cccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000773165601 697722777 /nfs/dbraw/zinc/72/27/77/697722777.db2.gz ZIQXNYALMXEDHH-LBPRGKRZSA-N -1 1 319.390 1.276 20 0 DDADMM Cc1coc(C)c1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986235618 697747788 /nfs/dbraw/zinc/74/77/88/697747788.db2.gz ZCOVANQOTZHYEN-KOLCDFICSA-N -1 1 319.365 1.113 20 0 DDADMM COc1ccncc1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773901464 697821286 /nfs/dbraw/zinc/82/12/86/697821286.db2.gz VPYFAYQXOAGTLB-UHFFFAOYSA-N -1 1 301.331 1.674 20 0 DDADMM COCCn1ccc(NC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC000773905862 697822131 /nfs/dbraw/zinc/82/21/31/697822131.db2.gz ADFCPHVZMBUUEL-UHFFFAOYSA-N -1 1 318.362 1.113 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)c2cnccc2C)o1 ZINC000773941723 697825339 /nfs/dbraw/zinc/82/53/39/697825339.db2.gz FQORGBOQCFFIMC-JTQLQIEISA-N -1 1 324.358 1.809 20 0 DDADMM O=C(NCc1cc(Cl)ccn1)c1ccc2n[n-]c(=S)n2c1 ZINC000774457385 697881648 /nfs/dbraw/zinc/88/16/48/697881648.db2.gz CJBZZWIKWFVOOH-UHFFFAOYSA-N -1 1 319.777 1.996 20 0 DDADMM O=C(N[C@H]1CCCCCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000987000304 697968309 /nfs/dbraw/zinc/96/83/09/697968309.db2.gz BFYCPBHXENMMTL-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM CC[S@](=O)CCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000776475296 698109027 /nfs/dbraw/zinc/10/90/27/698109027.db2.gz FXWXCNYZDXOOJG-FQEVSTJZSA-N -1 1 309.309 1.909 20 0 DDADMM O=S(=O)([N-]CCN1CCC1)c1c(Cl)ccnc1Cl ZINC000778030157 698238694 /nfs/dbraw/zinc/23/86/94/698238694.db2.gz ZYDSSIRZKCMHTH-UHFFFAOYSA-N -1 1 310.206 1.372 20 0 DDADMM Cc1ccccc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000987891954 698259278 /nfs/dbraw/zinc/25/92/78/698259278.db2.gz BOBFASBZFVPQAT-NEPJUHHUSA-N -1 1 315.377 1.212 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)c1ccncc1 ZINC000750477586 700223534 /nfs/dbraw/zinc/22/35/34/700223534.db2.gz UWQCUUKYZZLVSE-LBPRGKRZSA-N -1 1 316.361 1.472 20 0 DDADMM O=C([O-])[C@H]1CCCN1C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000780344481 698509131 /nfs/dbraw/zinc/50/91/31/698509131.db2.gz KRXQFBOJYHQVKS-OLZOCXBDSA-N -1 1 321.377 1.675 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000780478983 698522962 /nfs/dbraw/zinc/52/29/62/698522962.db2.gz AGYAMENHEKTARQ-ZDUSSCGKSA-N -1 1 319.405 1.549 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)C1CC1)c1cc(F)c(F)cc1F ZINC000305205276 698641115 /nfs/dbraw/zinc/64/11/15/698641115.db2.gz MRFZSIJPAUXZIS-NSHDSACASA-N -1 1 309.309 1.543 20 0 DDADMM CCO[C@H]1C[C@@](CO)([N-]C(=O)C(F)(F)C(F)F)C1(C)C ZINC000781872101 698658092 /nfs/dbraw/zinc/65/80/92/698658092.db2.gz GUXSEXAKORTUQH-CPCISQLKSA-N -1 1 301.280 1.569 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H](CCO)C1 ZINC000314149282 698686918 /nfs/dbraw/zinc/68/69/18/698686918.db2.gz QSPGPRMONYRKNU-GFCCVEGCSA-N -1 1 307.394 1.849 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H](O)c1ccccc1 ZINC000314531010 698689856 /nfs/dbraw/zinc/68/98/56/698689856.db2.gz SFPSPJNEKPIWSJ-LLVKDONJSA-N -1 1 319.386 1.676 20 0 DDADMM COC(=O)[C@H](C)CN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000314846767 698692069 /nfs/dbraw/zinc/69/20/69/698692069.db2.gz SVXASJXRVBYTAJ-SECBINFHSA-N -1 1 309.366 1.495 20 0 DDADMM CC[C@@H](NC(=O)NC[C@@H](c1cccc(OC)c1)N(C)C)C(=O)[O-] ZINC000320317434 698719038 /nfs/dbraw/zinc/71/90/38/698719038.db2.gz DTJPOBGLVKAYIO-KGLIPLIRSA-N -1 1 323.393 1.460 20 0 DDADMM COc1cccc([N-]S(=O)(=O)c2cnc3n2CCC3)c1F ZINC000783531339 698847954 /nfs/dbraw/zinc/84/79/54/698847954.db2.gz COZGGMCLSLHUHS-UHFFFAOYSA-N -1 1 311.338 1.778 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H](F)C(C)C)cc1 ZINC000784310157 698928291 /nfs/dbraw/zinc/92/82/91/698928291.db2.gz MOSOVTSYNJCYBU-CYBMUJFWSA-N -1 1 311.309 1.489 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@]1(C)CCO[C@H]1C ZINC000784398830 698935857 /nfs/dbraw/zinc/93/58/57/698935857.db2.gz ULDJMIKGSJBNPC-GZMMTYOYSA-N -1 1 307.803 1.228 20 0 DDADMM C[C@H]1CCC[C@H]1CC(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990978887 699197920 /nfs/dbraw/zinc/19/79/20/699197920.db2.gz QKQZYJRCEBMDOP-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1ccc(Br)cc1CN1CC[C@](O)(C(=O)[O-])C1 ZINC000706462991 699243475 /nfs/dbraw/zinc/24/34/75/699243475.db2.gz DAGWYTASDMUQTI-CYBMUJFWSA-N -1 1 314.179 1.779 20 0 DDADMM O=C(c1cccc2nc[nH]c21)N1CCSC[C@H]1c1nn[n-]n1 ZINC000787903685 699252848 /nfs/dbraw/zinc/25/28/48/699252848.db2.gz YNYCUZLWTOIESU-JTQLQIEISA-N -1 1 315.362 1.006 20 0 DDADMM O=C(NCC[C@H]1CCCS1(=O)=O)c1ccc(Cl)cc1[O-] ZINC000714381435 699271156 /nfs/dbraw/zinc/27/11/56/699271156.db2.gz JHDRVOCGXCWJKD-SNVBAGLBSA-N -1 1 317.794 1.743 20 0 DDADMM COC(=O)CCN(CC(=O)[O-])Cc1cc(Cl)ccc1F ZINC000715709376 699276270 /nfs/dbraw/zinc/27/62/70/699276270.db2.gz LGXWOFXCECVTCB-UHFFFAOYSA-N -1 1 303.717 1.929 20 0 DDADMM CC1(C)CO[C@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000717661360 699289878 /nfs/dbraw/zinc/28/98/78/699289878.db2.gz ONTVHSAASKPXCL-QMMMGPOBSA-N -1 1 322.789 1.968 20 0 DDADMM O=C(CCN1CCOCC1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000725884758 699337447 /nfs/dbraw/zinc/33/74/47/699337447.db2.gz PPRHIRIAARZPLO-UHFFFAOYSA-N -1 1 316.361 1.443 20 0 DDADMM CN(Cc1ccccc1Cl)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000726869294 699386899 /nfs/dbraw/zinc/38/68/99/699386899.db2.gz DFWJXUJRVATDHO-UHFFFAOYSA-N -1 1 321.764 1.239 20 0 DDADMM Cn1ncc(C(=O)CSc2nc(C(F)(F)F)cc(=O)[n-]2)n1 ZINC000789920661 699421542 /nfs/dbraw/zinc/42/15/42/699421542.db2.gz PRFHBMYOOWPCJF-UHFFFAOYSA-N -1 1 319.268 1.305 20 0 DDADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CCCCCCC1 ZINC000727756627 699424619 /nfs/dbraw/zinc/42/46/19/699424619.db2.gz RPIPXUBDXCCLOJ-UHFFFAOYSA-N -1 1 307.394 1.498 20 0 DDADMM COCCS(=O)(=O)[N-]c1c(F)cc(C(=O)OC)cc1F ZINC000790643128 699471404 /nfs/dbraw/zinc/47/14/04/699471404.db2.gz AKJCUVHFMBDRPH-UHFFFAOYSA-N -1 1 309.290 1.140 20 0 DDADMM CSCc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000732089252 699546183 /nfs/dbraw/zinc/54/61/83/699546183.db2.gz MHKDWLCENXHMBQ-LBPRGKRZSA-N -1 1 319.390 1.276 20 0 DDADMM Cc1c(Br)ccc(C(=O)[N-]N2CN=NC2=O)c1F ZINC000790912145 699602907 /nfs/dbraw/zinc/60/29/07/699602907.db2.gz NQFILMOCGFKWMF-UHFFFAOYSA-N -1 1 315.102 1.578 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc(-n4ccnn4)cc3)ccnc1-2 ZINC000791166663 699613730 /nfs/dbraw/zinc/61/37/30/699613730.db2.gz YHQSXYAVJNRMPS-UHFFFAOYSA-N -1 1 319.328 1.175 20 0 DDADMM CCc1c(C(=O)OCc2nc(=O)n(C)[n-]2)cnn1C(CC)CC ZINC000791592074 699642186 /nfs/dbraw/zinc/64/21/86/699642186.db2.gz VDABAJGZCQLNEG-UHFFFAOYSA-N -1 1 321.381 1.585 20 0 DDADMM O=C([N-]CCCOC(=O)c1cnc2n1CCCC2)C(F)(F)F ZINC000792244334 699685851 /nfs/dbraw/zinc/68/58/51/699685851.db2.gz OTOCMYNCOBOIEH-UHFFFAOYSA-N -1 1 319.283 1.445 20 0 DDADMM CCCC(=O)COC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000738636130 699764143 /nfs/dbraw/zinc/76/41/43/699764143.db2.gz MSMDPBPTDZGYCT-UHFFFAOYSA-N -1 1 319.361 1.897 20 0 DDADMM C[C@H](C(=O)NC1CCC(C)CC1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000739089019 699770791 /nfs/dbraw/zinc/77/07/91/699770791.db2.gz XGOKNVXULRDCBL-CEUNDQEZSA-N -1 1 311.426 1.659 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)NCc2cccs2)[n-]1 ZINC000796344446 699927929 /nfs/dbraw/zinc/92/79/29/699927929.db2.gz KKLMJCBABPZADV-UHFFFAOYSA-N -1 1 322.342 1.336 20 0 DDADMM CCCCCNC(=O)[C@H](C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796346823 699928462 /nfs/dbraw/zinc/92/84/62/699928462.db2.gz TWDWSLQXZRCRAF-JTQLQIEISA-N -1 1 310.350 1.653 20 0 DDADMM Cc1c(C(=O)OCCC[N-]C(=O)C(F)(F)F)nnn1C(C)C ZINC000796673807 699945787 /nfs/dbraw/zinc/94/57/87/699945787.db2.gz RVTHPUDLCPYMPC-UHFFFAOYSA-N -1 1 322.287 1.393 20 0 DDADMM CCNC(=O)COC(=O)c1nn(-c2cccc(Cl)c2)cc1[O-] ZINC000801361062 700301127 /nfs/dbraw/zinc/30/11/27/700301127.db2.gz CYAGRYVIGUNGSV-UHFFFAOYSA-N -1 1 323.736 1.524 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)OCC(=O)COC)n1 ZINC000801417273 700305886 /nfs/dbraw/zinc/30/58/86/700305886.db2.gz IGYJTDFDILAKIZ-UHFFFAOYSA-N -1 1 318.329 1.513 20 0 DDADMM CC(C)OCCCC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000751749661 700313052 /nfs/dbraw/zinc/31/30/52/700313052.db2.gz TUJIKHXCONUOMK-UHFFFAOYSA-N -1 1 307.346 1.691 20 0 DDADMM Cn1nc2c(c1C(=O)N=c1ccnc3n(C)[n-]cc1-3)CCCC2 ZINC000801702263 700332733 /nfs/dbraw/zinc/33/27/33/700332733.db2.gz YYSVYGBXLSAOTP-UHFFFAOYSA-N -1 1 310.361 1.206 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)CC1(C)CCCC1 ZINC000808998675 701635261 /nfs/dbraw/zinc/63/52/61/701635261.db2.gz PJTGGBJLYWGBGR-UHFFFAOYSA-N -1 1 318.377 1.782 20 0 DDADMM COCCNC(=O)[C@H](CC(C)C)[N-]C(=O)C(F)(F)C(F)F ZINC000756222358 700608798 /nfs/dbraw/zinc/60/87/98/700608798.db2.gz BOEIZZQYVVSYPD-QMMMGPOBSA-N -1 1 316.295 1.180 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2cnc(Cl)c(C)c2)o1 ZINC000759611209 700762291 /nfs/dbraw/zinc/76/22/91/700762291.db2.gz BEQOJTYANZUHCZ-ZETCQYMHSA-N -1 1 316.770 1.774 20 0 DDADMM COC(=O)[C@@]1(C)CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000760104269 700791290 /nfs/dbraw/zinc/79/12/90/700791290.db2.gz JSXIOYCXPQNRCA-INIZCTEOSA-N -1 1 321.377 1.639 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](N)c1cccc(C(F)(F)F)c1)C(=O)[O-] ZINC000763084906 700924891 /nfs/dbraw/zinc/92/48/91/700924891.db2.gz QOYCOFFNTJEBDO-GZMMTYOYSA-N -1 1 318.295 1.884 20 0 DDADMM Cc1cnc(C(=O)NCC[S@@](=O)Cc2ccccc2)c([O-])c1 ZINC000763251470 700931728 /nfs/dbraw/zinc/93/17/28/700931728.db2.gz XIILLLUUZVRBBQ-JOCHJYFZSA-N -1 1 318.398 1.774 20 0 DDADMM Cc1cc(Cl)cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000763364096 700935603 /nfs/dbraw/zinc/93/56/03/700935603.db2.gz KLDZSXNLGHHGKZ-LLVKDONJSA-N -1 1 307.741 1.375 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2cc(C(C)(C)C)n[nH]2)nc1Cl ZINC000764528147 700975455 /nfs/dbraw/zinc/97/54/55/700975455.db2.gz OATNXJINNUVCKO-UHFFFAOYSA-N -1 1 317.802 1.895 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)C(=O)OCC(C)C ZINC000765239148 701004185 /nfs/dbraw/zinc/00/41/85/701004185.db2.gz MDIOBZGVKDZTOJ-NSHDSACASA-N -1 1 323.393 1.932 20 0 DDADMM Cn1[n-]c(COC(=O)c2cnc(Cl)c(Cl)c2)nc1=O ZINC000765430741 701012373 /nfs/dbraw/zinc/01/23/73/701012373.db2.gz IGAITCOLAPMHML-UHFFFAOYSA-N -1 1 303.105 1.167 20 0 DDADMM Cc1c2cc(F)ccc2oc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765432551 701012532 /nfs/dbraw/zinc/01/25/32/701012532.db2.gz BAKWATXYBBCHFK-UHFFFAOYSA-N -1 1 305.265 1.659 20 0 DDADMM Cc1nc(C(F)(F)F)ccc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765434253 701012634 /nfs/dbraw/zinc/01/26/34/701012634.db2.gz ICJFPTXIPLCKDB-UHFFFAOYSA-N -1 1 316.239 1.188 20 0 DDADMM Cc1[nH]c2ccccc2c1CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765434204 701012692 /nfs/dbraw/zinc/01/26/92/701012692.db2.gz GCNNWOIKKAXCLK-UHFFFAOYSA-N -1 1 300.318 1.184 20 0 DDADMM Cn1[n-]c(COC(=O)c2[nH]c3ccccc3c2Cl)nc1=O ZINC000765440499 701012771 /nfs/dbraw/zinc/01/27/71/701012771.db2.gz OLIBMDDUAHFKMC-UHFFFAOYSA-N -1 1 306.709 1.600 20 0 DDADMM CC(C)c1ccc(OCCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765460703 701013802 /nfs/dbraw/zinc/01/38/02/701013802.db2.gz MXAHJBHOSHHOCU-UHFFFAOYSA-N -1 1 319.361 1.744 20 0 DDADMM Cn1[n-]c(COC(=O)[C@]2(C)CCCc3ccccc32)nc1=O ZINC000765465094 701014006 /nfs/dbraw/zinc/01/40/06/701014006.db2.gz OPNJPGZREYWYQJ-MRXNPFEDSA-N -1 1 301.346 1.446 20 0 DDADMM Cn1[n-]c(COC(=O)[C@]2(C)CCc3ccccc3C2)nc1=O ZINC000765486434 701015362 /nfs/dbraw/zinc/01/53/62/701015362.db2.gz HOFNGVSHYPEFGV-MRXNPFEDSA-N -1 1 301.346 1.347 20 0 DDADMM CC[C@@H](C)n1ncc(C(=O)OCc2nc(=O)n(C)[n-]2)c1C1CC1 ZINC000765498969 701016353 /nfs/dbraw/zinc/01/63/53/701016353.db2.gz YUDBDPJWRQXBII-SECBINFHSA-N -1 1 319.365 1.510 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)C1CC1)c1c(F)cccc1Cl ZINC000766509022 701054575 /nfs/dbraw/zinc/05/45/75/701054575.db2.gz WZHVVRRQAJNHDZ-NSHDSACASA-N -1 1 307.774 1.918 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(O)ccc2C(F)(F)F)nc1=O ZINC000803527887 701126223 /nfs/dbraw/zinc/12/62/23/701126223.db2.gz FQRBZQOHHWHHRL-UHFFFAOYSA-N -1 1 317.223 1.190 20 0 DDADMM CC[C@@H]1OCC[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000803897143 701153452 /nfs/dbraw/zinc/15/34/52/701153452.db2.gz QBRAAGTULRDPRN-OLZOCXBDSA-N -1 1 305.330 1.301 20 0 DDADMM O=C(NCCS(=O)(=O)CC1CC1)c1cc(F)ccc1[O-] ZINC000768288738 701167186 /nfs/dbraw/zinc/16/71/86/701167186.db2.gz IOGVYKXVGBSDDR-UHFFFAOYSA-N -1 1 301.339 1.086 20 0 DDADMM C[C@H]1COCC[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000769259235 701238422 /nfs/dbraw/zinc/23/84/22/701238422.db2.gz CWSXJTVUYQEGBX-WCQYABFASA-N -1 1 305.330 1.159 20 0 DDADMM C[C@@H]1COCC[C@@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000769259236 701238434 /nfs/dbraw/zinc/23/84/34/701238434.db2.gz CWSXJTVUYQEGBX-YPMHNXCESA-N -1 1 305.330 1.159 20 0 DDADMM NC(=O)NC[C@@H]1CCCCN1C(=O)c1c([O-])cccc1Cl ZINC000769750022 701254666 /nfs/dbraw/zinc/25/46/66/701254666.db2.gz WIFKPGJNKXTADI-VIFPVBQESA-N -1 1 311.769 1.709 20 0 DDADMM C[C@@H](CS(C)(=O)=O)c1nc(-c2ccc([O-])c(F)c2)no1 ZINC000771226838 701314222 /nfs/dbraw/zinc/31/42/22/701314222.db2.gz YLSMHSFGWAWZEG-ZETCQYMHSA-N -1 1 300.311 1.729 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ccc(C)nc2C)o1 ZINC000771987016 701339523 /nfs/dbraw/zinc/33/95/23/701339523.db2.gz CCBXULPUOHXWSQ-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)N[C@H](C)c1ccc(F)cc1 ZINC000805605384 701398409 /nfs/dbraw/zinc/39/84/09/701398409.db2.gz LGBXFJAZFXLJAT-RKDXNWHRSA-N -1 1 306.297 1.367 20 0 DDADMM CC(=O)Nc1ccc(C(=O)[C@@H](C)OC(=O)c2cn[n-]n2)cc1 ZINC000805606462 701398840 /nfs/dbraw/zinc/39/88/40/701398840.db2.gz XRNYKJNIEHIFGF-MRVPVSSYSA-N -1 1 302.290 1.191 20 0 DDADMM O=C(O[C@@H]1CCN(c2cccc(Cl)c2)C1=O)c1cn[n-]n1 ZINC000805608833 701399994 /nfs/dbraw/zinc/39/99/94/701399994.db2.gz JKBUDPVENKRMHL-LLVKDONJSA-N -1 1 306.709 1.420 20 0 DDADMM Cc1nnc([C@@H](C)NC(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000806483744 701437307 /nfs/dbraw/zinc/43/73/07/701437307.db2.gz OSPPNLYZQKTZEO-SSDOTTSWSA-N -1 1 317.378 1.384 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3CCC[C@@H](O)C3)ccnc1-2 ZINC000806488241 701437533 /nfs/dbraw/zinc/43/75/33/701437533.db2.gz KBQQIQYQBAQCNR-WDEREUQCSA-N -1 1 303.366 1.014 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NOCc3ccc(F)cc3)ccnc1-2 ZINC000806495681 701438127 /nfs/dbraw/zinc/43/81/27/701438127.db2.gz URTVQEDUSCHKMF-UHFFFAOYSA-N -1 1 315.308 1.734 20 0 DDADMM CC(=O)c1oc2cc(C)ccc2c1CC(=O)[N-]OCC(N)=O ZINC000807189515 701468767 /nfs/dbraw/zinc/46/87/67/701468767.db2.gz WKRZMQRGCVEZSY-UHFFFAOYSA-N -1 1 304.302 1.019 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807708361 701484359 /nfs/dbraw/zinc/48/43/59/701484359.db2.gz RNVMVNBZAPAWTM-QHZLYTNSSA-N -1 1 306.328 1.946 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807708362 701484389 /nfs/dbraw/zinc/48/43/89/701484389.db2.gz RNVMVNBZAPAWTM-SSRBZLIGSA-N -1 1 306.328 1.946 20 0 DDADMM Cc1noc([C@H](C)N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000810068280 701720204 /nfs/dbraw/zinc/72/02/04/701720204.db2.gz LYSPHGCKEJPNTB-WCBMZHEXSA-N -1 1 320.315 1.830 20 0 DDADMM COC(=O)c1nc(Cl)ccc1S(=O)(=O)[N-]CC[C@@H](C)F ZINC000815067977 701792492 /nfs/dbraw/zinc/79/24/92/701792492.db2.gz VSFGTEGDONCHBG-SSDOTTSWSA-N -1 1 324.761 1.548 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cc(F)cc1F)c1ccnn1C ZINC000867855317 701796079 /nfs/dbraw/zinc/79/60/79/701796079.db2.gz JRQHTIQAJWKDKK-ZETCQYMHSA-N -1 1 319.308 1.877 20 0 DDADMM CCn1nc(C)c(C(=O)[N-]c2nnc(-c3ccccn3)s2)n1 ZINC000815086969 701796361 /nfs/dbraw/zinc/79/63/61/701796361.db2.gz SWMIGJJGRIEXBY-UHFFFAOYSA-N -1 1 315.362 1.772 20 0 DDADMM CC(C)(C)[C@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO1 ZINC000830991473 706616427 /nfs/dbraw/zinc/61/64/27/706616427.db2.gz YTGDNFCTDDTAPP-WCBMZHEXSA-N -1 1 321.830 1.935 20 0 DDADMM COC(=O)CCCO[N-]C(=O)c1c(F)c(F)cc(F)c1F ZINC000811561675 702006203 /nfs/dbraw/zinc/00/62/03/702006203.db2.gz UBHIUSQFOWTWQB-UHFFFAOYSA-N -1 1 309.215 1.858 20 0 DDADMM CCN(C)[C@H](C(=O)[N-]OCCCC(=O)OC)c1ccccc1 ZINC000811562332 702007504 /nfs/dbraw/zinc/00/75/04/702007504.db2.gz NCGITIQZUUOLCK-HNNXBMFYSA-N -1 1 308.378 1.680 20 0 DDADMM CC1(C)C[C@@H]1CNC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868244160 702017458 /nfs/dbraw/zinc/01/74/58/702017458.db2.gz SYVWJEBUMDWDSI-NOZJJQNGSA-N -1 1 321.343 1.885 20 0 DDADMM Cn1nccc1CC[N-]S(=O)(=O)c1sccc1Cl ZINC000831104704 706635837 /nfs/dbraw/zinc/63/58/37/706635837.db2.gz CNGCMSGIKOPSES-UHFFFAOYSA-N -1 1 305.812 1.656 20 0 DDADMM CC(C)(C)C[C@H](O)CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831125846 706639716 /nfs/dbraw/zinc/63/97/16/706639716.db2.gz RIQLMOXXXXTHPV-SNVBAGLBSA-N -1 1 324.343 1.311 20 0 DDADMM O=S(=O)([N-][C@H](CCO)c1ccco1)c1c[nH]nc1Cl ZINC000831125931 706639887 /nfs/dbraw/zinc/63/98/87/706639887.db2.gz NENRIAUURFFDOX-SSDOTTSWSA-N -1 1 305.743 1.058 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C=C2CCCC2)c1 ZINC000812361755 702169275 /nfs/dbraw/zinc/16/92/75/702169275.db2.gz IGYAXAMFPCYION-UHFFFAOYSA-N -1 1 310.375 1.739 20 0 DDADMM C[C@H]1CCN(CC(=O)Nc2nc(Br)ccc2[O-])C1 ZINC000816952906 702236265 /nfs/dbraw/zinc/23/62/65/702236265.db2.gz VFJKOIPDWSLXQE-QMMMGPOBSA-N -1 1 314.183 1.830 20 0 DDADMM CC[C@@H](C)CO[N-]C(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000816977273 702253044 /nfs/dbraw/zinc/25/30/44/702253044.db2.gz WEMCVNLVKKWCPW-MNOVXSKESA-N -1 1 318.377 1.775 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-]CC1(C)OCCCO1 ZINC000817139306 702285944 /nfs/dbraw/zinc/28/59/44/702285944.db2.gz SSXZMNHICKVRII-LBPRGKRZSA-N -1 1 309.428 1.120 20 0 DDADMM O=C([N-]OCCC1CC1)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000817399409 702370602 /nfs/dbraw/zinc/37/06/02/702370602.db2.gz KMPQGMQMNKXXKX-OAHLLOKOSA-N -1 1 302.374 1.883 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)C[C@H]2CCCCC2(F)F)C(=O)O1 ZINC000841520168 702476964 /nfs/dbraw/zinc/47/69/64/702476964.db2.gz GAFVNWVJPVLMTN-BBBLOLIVSA-N -1 1 311.350 1.435 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3CCC[C@]34CCCO4)ccnc1-2 ZINC000879415251 706673889 /nfs/dbraw/zinc/67/38/89/706673889.db2.gz YKWOXMMNJKBIDN-CJNGLKHVSA-N -1 1 315.377 1.565 20 0 DDADMM CO[C@](C)([C@H](C)[N-]S(=O)(=O)c1ncn(C)c1Cl)C1CC1 ZINC000841557799 702497577 /nfs/dbraw/zinc/49/75/77/702497577.db2.gz GUBCBNZASAPZNT-QPUJVOFHSA-N -1 1 321.830 1.555 20 0 DDADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC[C@H]1F ZINC000841563789 702499862 /nfs/dbraw/zinc/49/98/62/702499862.db2.gz ULISGPHUTLKCHC-RKDXNWHRSA-N -1 1 319.358 1.064 20 0 DDADMM O=S(=O)([N-]C1(CCO)CC1)c1ccc(Cl)nc1Cl ZINC000814280614 702506536 /nfs/dbraw/zinc/50/65/36/702506536.db2.gz GWGRLIRHZRNYFJ-UHFFFAOYSA-N -1 1 311.190 1.582 20 0 DDADMM C[C@@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C[C@H]1C ZINC000869212951 702512762 /nfs/dbraw/zinc/51/27/62/702512762.db2.gz BPJCSGIOEHCHJW-GHMZBOCLSA-N -1 1 320.393 1.442 20 0 DDADMM C[C@H]1CC(C)(C)CN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869214400 702513379 /nfs/dbraw/zinc/51/33/79/702513379.db2.gz XLAILDOSAXPUHY-JTQLQIEISA-N -1 1 320.393 1.585 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@@H]1CCCS1 ZINC000869422763 702602829 /nfs/dbraw/zinc/60/28/29/702602829.db2.gz PZJIHZHFRGBVTB-QMMMGPOBSA-N -1 1 313.297 1.063 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869467082 702616110 /nfs/dbraw/zinc/61/61/10/702616110.db2.gz HBIWWTHAWXUDOZ-DTWKUNHWSA-N -1 1 309.284 1.604 20 0 DDADMM COCC1(c2noc(-c3ccc(N)cc3[O-])n2)CCOCC1 ZINC000843234595 702847262 /nfs/dbraw/zinc/84/72/62/702847262.db2.gz GRYHRJQKALUQLS-UHFFFAOYSA-N -1 1 305.334 1.719 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN(C)OCC(F)(F)F)cc1 ZINC000879515049 706703728 /nfs/dbraw/zinc/70/37/28/706703728.db2.gz YNFUZNKGXKIFLK-UHFFFAOYSA-N -1 1 320.267 1.377 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C2(C(F)F)CC2)c1 ZINC000843846542 702933036 /nfs/dbraw/zinc/93/30/36/702933036.db2.gz SVESAVRFKPBNTL-UHFFFAOYSA-N -1 1 306.290 1.023 20 0 DDADMM CCN(OC)C(=O)CNC(=O)c1ccc2ccccc2c1[O-] ZINC000844068530 702966641 /nfs/dbraw/zinc/96/66/41/702966641.db2.gz BYJKZUCGGKQBER-UHFFFAOYSA-N -1 1 302.330 1.685 20 0 DDADMM O=C([O-])C1(C(=O)NCc2n[nH]c(-c3ccccc3)n2)CCC1 ZINC000844157441 702979626 /nfs/dbraw/zinc/97/96/26/702979626.db2.gz YQHLUBGRJMVHGC-UHFFFAOYSA-N -1 1 300.318 1.343 20 0 DDADMM O=C([O-])C1(C(=O)NCc2nc(-c3ccccc3)n[nH]2)CCC1 ZINC000844157441 702979627 /nfs/dbraw/zinc/97/96/27/702979627.db2.gz YQHLUBGRJMVHGC-UHFFFAOYSA-N -1 1 300.318 1.343 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])C1(n2cnnn2)CCCCC1 ZINC000845997580 703225386 /nfs/dbraw/zinc/22/53/86/703225386.db2.gz DIRSCUMGOWRNGT-UHFFFAOYSA-N -1 1 322.756 1.725 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)[C@H](O)c1ccccc1 ZINC000831550732 706729791 /nfs/dbraw/zinc/72/97/91/706729791.db2.gz PVDOWUFPAHIAPA-KCJUWKMLSA-N -1 1 315.782 1.464 20 0 DDADMM CC[C@@H](C)N(CCS(=O)(=O)Cc1ccccc1)CC(=O)[O-] ZINC000846277473 703259706 /nfs/dbraw/zinc/25/97/06/703259706.db2.gz FYMAOHXWHZMJBA-CYBMUJFWSA-N -1 1 313.419 1.787 20 0 DDADMM CC(C)CCc1noc(CN2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)n1 ZINC000846282176 703261031 /nfs/dbraw/zinc/26/10/31/703261031.db2.gz NJGUYPFIJOVNTQ-BLLLJJGKSA-N -1 1 323.393 1.581 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@]1(C)C[C@@]1(F)Cl ZINC000846403995 703271611 /nfs/dbraw/zinc/27/16/11/703271611.db2.gz JBMFBQCYZIFOLS-NEPJUHHUSA-N -1 1 319.720 1.331 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@]2(CC2(F)F)C1 ZINC000846582745 703300036 /nfs/dbraw/zinc/30/00/36/703300036.db2.gz MOLUXRRLOYNAQC-GFCCVEGCSA-N -1 1 315.276 1.158 20 0 DDADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CC2CCC1CC2 ZINC000846620161 703304455 /nfs/dbraw/zinc/30/44/55/703304455.db2.gz GBECYCJWRLWIPW-GFJIZPEISA-N -1 1 319.405 1.258 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]Cc2ccoc2)c1C(F)(F)F ZINC000866595925 706740451 /nfs/dbraw/zinc/74/04/51/706740451.db2.gz VPBQVGJJAYHGDR-UHFFFAOYSA-N -1 1 309.269 1.510 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cn(C(C)(C)C)nn2)[n-]1 ZINC000847974455 703489378 /nfs/dbraw/zinc/48/93/78/703489378.db2.gz QKYBLTMJOTUROE-UHFFFAOYSA-N -1 1 306.322 1.505 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2nccn2C)c1 ZINC000851572922 703810254 /nfs/dbraw/zinc/81/02/54/703810254.db2.gz DOUBTOOEPKMSLS-SAHAZLINSA-N -1 1 322.386 1.841 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@H]1CCc2ccc(F)cc21 ZINC000866747062 706785549 /nfs/dbraw/zinc/78/55/49/706785549.db2.gz OBJDJTHYMQRHAJ-NSHDSACASA-N -1 1 306.384 1.375 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)Cn2cccn2)c1 ZINC000820220037 704242203 /nfs/dbraw/zinc/24/22/03/704242203.db2.gz XKWCCDMCFHXRMD-YTEVENLXSA-N -1 1 322.386 1.631 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(c2cc(F)ccc2F)=NO1 ZINC000820402079 704272577 /nfs/dbraw/zinc/27/25/77/704272577.db2.gz HJIWIWYGDXLVBB-NSHDSACASA-N -1 1 322.271 1.834 20 0 DDADMM NC(=O)[C@@H](C1CC1)N(CC1CC1)C(=O)c1ccc([O-])c(F)c1 ZINC000871394822 704285115 /nfs/dbraw/zinc/28/51/15/704285115.db2.gz ROERBDWYACQKEW-CQSZACIVSA-N -1 1 306.337 1.647 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC[S@](=O)C(C)(C)C)sn1 ZINC000866798085 706805018 /nfs/dbraw/zinc/80/50/18/706805018.db2.gz CFHJNSWVNVNPQX-KRWDZBQOSA-N -1 1 310.466 1.277 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC[S@@](=O)C(C)(C)C)sn1 ZINC000866798086 706805111 /nfs/dbraw/zinc/80/51/11/706805111.db2.gz CFHJNSWVNVNPQX-QGZVFWFLSA-N -1 1 310.466 1.277 20 0 DDADMM CN1CCC[C@@H]([N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)C1=O ZINC000820588193 704305672 /nfs/dbraw/zinc/30/56/72/704305672.db2.gz HFGAUHRVMOEOTD-LLVKDONJSA-N -1 1 318.270 1.794 20 0 DDADMM O=C([N-]CCCOC(=O)[C@H]1CCc2cccnc21)C(F)(F)F ZINC000820824775 704335638 /nfs/dbraw/zinc/33/56/38/704335638.db2.gz YJEJDTIGQCWHBE-JTQLQIEISA-N -1 1 316.279 1.723 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@H]2CCO[C@H]2C)c1 ZINC000821035780 704362435 /nfs/dbraw/zinc/36/24/35/704362435.db2.gz SARHRNZBSCFRJE-QLMNROTDSA-N -1 1 312.387 1.771 20 0 DDADMM CCCCNC(=O)[C@@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821065174 704366712 /nfs/dbraw/zinc/36/67/12/704366712.db2.gz GDNYDBWBKIVFJV-MRVPVSSYSA-N -1 1 323.378 1.390 20 0 DDADMM CCCCNC(=O)[C@@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821065174 704366715 /nfs/dbraw/zinc/36/67/15/704366715.db2.gz GDNYDBWBKIVFJV-MRVPVSSYSA-N -1 1 323.378 1.390 20 0 DDADMM O=C(NCC[C@H]1CNC(=O)C1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000831950687 706810953 /nfs/dbraw/zinc/81/09/53/706810953.db2.gz PVZRTJXJOOABBM-MRVPVSSYSA-N -1 1 316.279 1.667 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCO[C@@H](c2ccccc2)O1 ZINC000854634427 704430427 /nfs/dbraw/zinc/43/04/27/704430427.db2.gz DVKVGWQGUXBYBL-IAQYHMDHSA-N -1 1 303.318 1.867 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1C[C@@H](c2ccccc2)OC1=O ZINC000854635739 704430811 /nfs/dbraw/zinc/43/08/11/704430811.db2.gz WQJQHLPJBXGYNO-QWRGUYRKSA-N -1 1 301.302 1.667 20 0 DDADMM COC[C@H](CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O)OC ZINC000855222202 704473886 /nfs/dbraw/zinc/47/38/86/704473886.db2.gz OZYKHLUBMRCZQP-NSHDSACASA-N -1 1 311.382 1.395 20 0 DDADMM O=C(NCC[S@](=O)CC(F)(F)F)C(=O)c1ccc([O-])cc1 ZINC000855267573 704476120 /nfs/dbraw/zinc/47/61/20/704476120.db2.gz CJZNWEMXCRDSNK-NRFANRHFSA-N -1 1 323.292 1.002 20 0 DDADMM CC(C)(C)C[C@@H](O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866849755 706824769 /nfs/dbraw/zinc/82/47/69/706824769.db2.gz VXMOBVVXCPADQY-MRVPVSSYSA-N -1 1 308.350 1.435 20 0 DDADMM COC(=O)N(C)CCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000857327939 704579597 /nfs/dbraw/zinc/57/95/97/704579597.db2.gz ICQAQMQNYZUXFQ-UHFFFAOYSA-N -1 1 318.333 1.072 20 0 DDADMM COC(=O)N(C)CCNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000857327939 704579598 /nfs/dbraw/zinc/57/95/98/704579598.db2.gz ICQAQMQNYZUXFQ-UHFFFAOYSA-N -1 1 318.333 1.072 20 0 DDADMM C[C@H]1COCC[C@H]1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867263924 706941607 /nfs/dbraw/zinc/94/16/07/706941607.db2.gz WDPYGLXSCBHVHB-IUCAKERBSA-N -1 1 306.334 1.311 20 0 DDADMM O=S(=O)([N-]C1CC=CC1)c1ccc(Br)nc1F ZINC000859062754 704792530 /nfs/dbraw/zinc/79/25/30/704792530.db2.gz DKPPIKCKKCMLNU-UHFFFAOYSA-N -1 1 321.171 1.980 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(C)c(C)n1 ZINC000867384638 706983319 /nfs/dbraw/zinc/98/33/19/706983319.db2.gz HIUNJNUSMXIZAE-GOSISDBHSA-N -1 1 305.425 1.151 20 0 DDADMM CO[C@@H]1C[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C12CCC2 ZINC000867385944 706983716 /nfs/dbraw/zinc/98/37/16/706983716.db2.gz DJZHTTCNBPZBAF-GHMZBOCLSA-N -1 1 322.452 1.040 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1CS[C@@H](C)C1 ZINC000867401295 706988637 /nfs/dbraw/zinc/98/86/37/706988637.db2.gz HMEHFKDFGZTABY-DTWKUNHWSA-N -1 1 300.471 1.223 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)[C@H](O)C(C)C ZINC000867405044 706990376 /nfs/dbraw/zinc/99/03/76/706990376.db2.gz DLFLTVPBFFLQOC-GZMMTYOYSA-N -1 1 324.805 1.948 20 0 DDADMM COC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000867535337 707032247 /nfs/dbraw/zinc/03/22/47/707032247.db2.gz JDEHHFUZAIPBFT-LJGSYFOKSA-N -1 1 322.745 1.104 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1CCS[C@H]1C ZINC000867454142 707006375 /nfs/dbraw/zinc/00/63/75/707006375.db2.gz XUWQNGKUZBQNGW-IUCAKERBSA-N -1 1 300.471 1.223 20 0 DDADMM O=S(=O)([N-]C1(C2CCC2)CC1)c1nc[nH]c1Br ZINC000867463092 707009461 /nfs/dbraw/zinc/00/94/61/707009461.db2.gz FPJOOKJPIMGDTI-UHFFFAOYSA-N -1 1 320.212 1.783 20 0 DDADMM COC1CC(CC[N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000867496769 707019097 /nfs/dbraw/zinc/01/90/97/707019097.db2.gz LAMXVQZHNXHFIP-UHFFFAOYSA-N -1 1 306.334 1.453 20 0 DDADMM CC1(C)C[C@H]([N-]S(=O)(=O)c2nc[nH]c2Br)CO1 ZINC000867497684 707019317 /nfs/dbraw/zinc/01/93/17/707019317.db2.gz UYTRPUGYVDTRMX-LURJTMIESA-N -1 1 324.200 1.018 20 0 DDADMM C[C@H]1C[C@H]([N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)C(=O)O1 ZINC000822940017 705088630 /nfs/dbraw/zinc/08/86/30/705088630.db2.gz TZJVXRKRTXWCBD-WKEGUHRASA-N -1 1 305.227 1.877 20 0 DDADMM CON(C(=O)CCCc1nn[n-]n1)[C@H](C)c1ccccc1C ZINC000823019571 705113074 /nfs/dbraw/zinc/11/30/74/705113074.db2.gz XIZKDKADRFVPJU-GFCCVEGCSA-N -1 1 303.366 1.982 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC(O)(C3CC3)C3CC3)ccnc1-2 ZINC000823058959 705127090 /nfs/dbraw/zinc/12/70/90/705127090.db2.gz VJQMWIFDDMWAMD-UHFFFAOYSA-N -1 1 315.377 1.014 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCO[C@](C)(C4CC4)C3)ccnc1-2 ZINC000823064350 705129056 /nfs/dbraw/zinc/12/90/56/705129056.db2.gz YPVAOYDIUQWDKQ-INIZCTEOSA-N -1 1 315.377 1.375 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NOCC2CC2)C1 ZINC000875619353 705450733 /nfs/dbraw/zinc/45/07/33/705450733.db2.gz MOYLUZOSZQHVMF-LLVKDONJSA-N -1 1 309.288 1.181 20 0 DDADMM COC(=O)[C@@H]1CO[C@H](CCC(=O)Nc2cccc(F)c2[O-])C1 ZINC000824990604 705573111 /nfs/dbraw/zinc/57/31/11/705573111.db2.gz UHFDOMFCAPQRTJ-VHSXEESVSA-N -1 1 311.309 1.828 20 0 DDADMM C[C@@]1(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC=CCC1 ZINC000876301026 705683509 /nfs/dbraw/zinc/68/35/09/705683509.db2.gz POVHLMSXBMDKGQ-MRXNPFEDSA-N -1 1 318.377 1.553 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)/C(F)=C/C2CCCCC2)n1 ZINC000825637492 705707134 /nfs/dbraw/zinc/70/71/34/705707134.db2.gz WKSIWAHAFUKNPR-CLFYSBASSA-N -1 1 316.358 1.580 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C(F)=CC2CCCCC2)[n-]1 ZINC000825637492 705707141 /nfs/dbraw/zinc/70/71/41/705707141.db2.gz WKSIWAHAFUKNPR-CLFYSBASSA-N -1 1 316.358 1.580 20 0 DDADMM CNC(=O)[C@H]1CCN(C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000876398202 705708489 /nfs/dbraw/zinc/70/84/89/705708489.db2.gz DVYLONRNAZNYPI-JTQLQIEISA-N -1 1 311.769 1.323 20 0 DDADMM COC(=O)C1=CC[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000862665247 705769191 /nfs/dbraw/zinc/76/91/91/705769191.db2.gz XDEUGHSNEDGSAF-NSHDSACASA-N -1 1 319.361 1.606 20 0 DDADMM Cc1nc(CNc2cccc(-c3nnn[n-]3)n2)ccc1[C@H](C)O ZINC000826326530 705791869 /nfs/dbraw/zinc/79/18/69/705791869.db2.gz UDYGTXASXOINNC-JTQLQIEISA-N -1 1 311.349 1.631 20 0 DDADMM Cc1nc(CNc2cccc(-c3nn[n-]n3)n2)ccc1[C@H](C)O ZINC000826326530 705791872 /nfs/dbraw/zinc/79/18/72/705791872.db2.gz UDYGTXASXOINNC-JTQLQIEISA-N -1 1 311.349 1.631 20 0 DDADMM Cc1onc(CC(=O)N([C@H](C)C(C)C)C2CC2)c1-c1nnn[n-]1 ZINC000826342907 705792469 /nfs/dbraw/zinc/79/24/69/705792469.db2.gz DZTMCKFARHECDX-SECBINFHSA-N -1 1 318.381 1.741 20 0 DDADMM Cc1onc(CC(=O)N([C@H](C)C(C)C)C2CC2)c1-c1nn[n-]n1 ZINC000826342907 705792476 /nfs/dbraw/zinc/79/24/76/705792476.db2.gz DZTMCKFARHECDX-SECBINFHSA-N -1 1 318.381 1.741 20 0 DDADMM Cc1onc(CC(=O)N2[C@@H](C)CCC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826343685 705792914 /nfs/dbraw/zinc/79/29/14/705792914.db2.gz JXVDOOQHFKWJSV-IUCAKERBSA-N -1 1 304.354 1.495 20 0 DDADMM Cc1onc(CC(=O)N2[C@@H](C)CCC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826343685 705792915 /nfs/dbraw/zinc/79/29/15/705792915.db2.gz JXVDOOQHFKWJSV-IUCAKERBSA-N -1 1 304.354 1.495 20 0 DDADMM Cc1onc(CC(=O)N2Cc3ccccc3[C@H]2C)c1-c1nnn[n-]1 ZINC000826345317 705793624 /nfs/dbraw/zinc/79/36/24/705793624.db2.gz IVJHPHCNAQOYJR-SECBINFHSA-N -1 1 324.344 1.809 20 0 DDADMM Cc1onc(CC(=O)N2Cc3ccccc3[C@H]2C)c1-c1nn[n-]n1 ZINC000826345317 705793626 /nfs/dbraw/zinc/79/36/26/705793626.db2.gz IVJHPHCNAQOYJR-SECBINFHSA-N -1 1 324.344 1.809 20 0 DDADMM Cc1onc(CC(=O)NC[C@@H]2CCCC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826347226 705794675 /nfs/dbraw/zinc/79/46/75/705794675.db2.gz JDMSONSKFJLHBY-ONGXEEELSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)NC[C@@H]2CCCC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826347226 705794677 /nfs/dbraw/zinc/79/46/77/705794677.db2.gz JDMSONSKFJLHBY-ONGXEEELSA-N -1 1 318.381 1.648 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@H]1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000863002665 705848464 /nfs/dbraw/zinc/84/84/64/705848464.db2.gz OMEVOAIXTARAOX-APOZVJGGSA-N -1 1 306.391 1.560 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cc3ccsc3[nH]2)CC1 ZINC000827375135 705988968 /nfs/dbraw/zinc/98/89/68/705988968.db2.gz QZFCEMYMGRSNEX-JTQLQIEISA-N -1 1 321.402 1.850 20 0 DDADMM COC(=O)c1c(NC(=O)c2ccc([O-])c(F)c2)nc2n1CCC2 ZINC000863947635 706043392 /nfs/dbraw/zinc/04/33/92/706043392.db2.gz PFDVSUAQXXIIIT-UHFFFAOYSA-N -1 1 319.292 1.713 20 0 DDADMM CC1(C)CN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]2COC[C@H]2O1 ZINC000864065268 706057348 /nfs/dbraw/zinc/05/73/48/706057348.db2.gz CWRKFYUILXCGOY-VXGBXAGGSA-N -1 1 319.361 1.078 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)CO1 ZINC000828288255 706154741 /nfs/dbraw/zinc/15/47/41/706154741.db2.gz DXWUFFTYRMFWMW-RNFRBKRXSA-N -1 1 311.190 1.844 20 0 DDADMM N[C@@H](C(=O)N1CC[C@H](C(=O)[O-])C1)c1cccc(C(F)(F)F)c1 ZINC000864606808 706210139 /nfs/dbraw/zinc/21/01/39/706210139.db2.gz GMBAWYBHEPJKOG-GXSJLCMTSA-N -1 1 316.279 1.638 20 0 DDADMM CC(C)[C@H](CCO)[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872458234 707423406 /nfs/dbraw/zinc/42/34/06/707423406.db2.gz WFKFPVUZCAJEAL-YPMLDQLKSA-N -1 1 314.473 1.124 20 0 DDADMM COC(=O)N1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000864950453 706309507 /nfs/dbraw/zinc/30/95/07/706309507.db2.gz PRKYXYFJDYTBNH-UHFFFAOYSA-N -1 1 312.753 1.499 20 0 DDADMM Cc1nc(SCCS(=O)(=O)N(C)C)[n-]c(=O)c1C1CC1 ZINC000865373418 706415921 /nfs/dbraw/zinc/41/59/21/706415921.db2.gz UALJTWDWTUCKNW-UHFFFAOYSA-N -1 1 317.436 1.352 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1ccnn1C ZINC000872512053 707455703 /nfs/dbraw/zinc/45/57/03/707455703.db2.gz OZJQSAIXKJUIPI-ZRNGKTOUSA-N -1 1 322.456 1.212 20 0 DDADMM CCOC(=O)[C@H](C)[N-]S(=O)(=O)Cc1c(F)cccc1Cl ZINC000830160795 706466390 /nfs/dbraw/zinc/46/63/90/706466390.db2.gz IWPYAQRRCZRDCA-QMMMGPOBSA-N -1 1 323.773 1.850 20 0 DDADMM COC1CC(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000830790667 706580446 /nfs/dbraw/zinc/58/04/46/706580446.db2.gz ZIHOPFPHFUQYIM-KWPJZBAWSA-N -1 1 308.300 1.081 20 0 DDADMM CC[C@H](SC)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830817099 706585537 /nfs/dbraw/zinc/58/55/37/706585537.db2.gz XUYQJJFZQZZUFJ-GZMMTYOYSA-N -1 1 312.357 1.798 20 0 DDADMM CCC[C@](C)(O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816885 706585922 /nfs/dbraw/zinc/58/59/22/706585922.db2.gz VEGSLJPTNISYLB-NEPJUHHUSA-N -1 1 310.316 1.207 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2CCSCC2)C1 ZINC000830822621 706586894 /nfs/dbraw/zinc/58/68/94/706586894.db2.gz QZFLKDUCHYRVDX-LBPRGKRZSA-N -1 1 324.368 1.799 20 0 DDADMM COCCCCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827260 706587861 /nfs/dbraw/zinc/58/78/61/706587861.db2.gz KSNJEYXYXKRTHY-GFCCVEGCSA-N -1 1 310.316 1.473 20 0 DDADMM C[S@@](=N)(=O)N1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000832179065 706862679 /nfs/dbraw/zinc/86/26/79/706862679.db2.gz QUXVMVIZKQYBTO-FQEVSTJZSA-N -1 1 317.798 1.395 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@@H](CO)CC1)c1ccc(F)nc1F ZINC000867016918 706873270 /nfs/dbraw/zinc/87/32/70/706873270.db2.gz YNSYAZBXIVEBGQ-DTORHVGOSA-N -1 1 306.334 1.189 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H](C)c1cnccc1C ZINC000867049838 706882449 /nfs/dbraw/zinc/88/24/49/706882449.db2.gz ODQSYEWQYCHWGO-LLVKDONJSA-N -1 1 319.452 1.793 20 0 DDADMM CO[C@H]1CCC[C@H]1C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867057874 706884967 /nfs/dbraw/zinc/88/49/67/706884967.db2.gz DWKXAGFLEPVKDZ-IUCAKERBSA-N -1 1 322.789 1.968 20 0 DDADMM CCc1cnccc1C[N-]S(=O)(=O)N=[S@@](C)(=O)CC ZINC000867067658 706888093 /nfs/dbraw/zinc/88/80/93/706888093.db2.gz RGMNSUDWIGGNKI-SFHVURJKSA-N -1 1 305.425 1.096 20 0 DDADMM CCc1ncc(C[N-]S(=O)(=O)c2c(C)nn(C)c2Cl)o1 ZINC000832494019 706925737 /nfs/dbraw/zinc/92/57/37/706925737.db2.gz FSBHAMWFWBTUJY-UHFFFAOYSA-N -1 1 318.786 1.411 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C)[C@H](O)c2ccccc2)sn1 ZINC000867274056 706944485 /nfs/dbraw/zinc/94/44/85/706944485.db2.gz PFUBQJNLDRDJAX-MFKMUULPSA-N -1 1 312.416 1.852 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)[C@@H](C(=O)[O-])C1 ZINC000909021280 712919000 /nfs/dbraw/zinc/91/90/00/712919000.db2.gz WCFRXYDXHZMCFC-GIPNMCIBSA-N -1 1 306.366 1.162 20 0 DDADMM O=S(=O)([N-][C@@H]1CO[C@@H](C2CC2)C1)c1ccc(F)nc1F ZINC000867564053 707040781 /nfs/dbraw/zinc/04/07/81/707040781.db2.gz GCTFLAWXKLKSRS-DTWKUNHWSA-N -1 1 304.318 1.206 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)c2ccns2)c1 ZINC000867567322 707042376 /nfs/dbraw/zinc/04/23/76/707042376.db2.gz UGYISIGKBSLGJB-QMMMGPOBSA-N -1 1 314.388 1.897 20 0 DDADMM CCOC1CC2(C[C@H]2C(=O)NCc2cc(=O)[n-]c(SC)n2)C1 ZINC000880651320 707048512 /nfs/dbraw/zinc/04/85/12/707048512.db2.gz LYJKWIYYXARVDG-VTWZXRTESA-N -1 1 323.418 1.726 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2CSCCS2)cc(=O)[n-]1 ZINC000880652203 707048519 /nfs/dbraw/zinc/04/85/19/707048519.db2.gz GMAXIUFCROSRTC-MRVPVSSYSA-N -1 1 317.461 1.369 20 0 DDADMM CSc1nc(CNC(=O)Cc2csc(C)n2)cc(=O)[n-]1 ZINC000880651855 707048763 /nfs/dbraw/zinc/04/87/63/707048763.db2.gz CZAPYRPBVDFADR-UHFFFAOYSA-N -1 1 310.404 1.528 20 0 DDADMM Cc1cc(C[N-]C(=O)C(F)(F)F)cc(N[C@H]2CCNC2=O)c1 ZINC000834667984 707100774 /nfs/dbraw/zinc/10/07/74/707100774.db2.gz DWDBALGTCCOIDI-NSHDSACASA-N -1 1 315.295 1.474 20 0 DDADMM Cc1cc(C[N-]C(=O)C(F)(F)F)cc(N[C@H](C)CC(N)=O)c1 ZINC000834892136 707146566 /nfs/dbraw/zinc/14/65/66/707146566.db2.gz BMJSWUZJGXJHDW-SECBINFHSA-N -1 1 317.311 1.849 20 0 DDADMM CC[C@H](C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)[C@H](C)O ZINC000881446892 707260867 /nfs/dbraw/zinc/26/08/67/707260867.db2.gz QICOUOKKTZMZSQ-HOTUBEGUSA-N -1 1 310.316 1.063 20 0 DDADMM C=C/C=C/CCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000881563631 707295588 /nfs/dbraw/zinc/29/55/88/707295588.db2.gz IUIGJOUWYCBABN-AATRIKPKSA-N -1 1 300.362 1.843 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1cnn(C)c1 ZINC000872455147 707420726 /nfs/dbraw/zinc/42/07/26/707420726.db2.gz MLXAMGWMRAPBJO-ZRNGKTOUSA-N -1 1 322.456 1.212 20 0 DDADMM CCO[C@@H]1CCC[C@H]1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000836571470 707481635 /nfs/dbraw/zinc/48/16/35/707481635.db2.gz BFFKOEDRBKUWNW-CHWSQXEVSA-N -1 1 303.366 1.421 20 0 DDADMM COC(=O)NCCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000837090736 707576439 /nfs/dbraw/zinc/57/64/39/707576439.db2.gz QEYRLWYOXWBRSX-UHFFFAOYSA-N -1 1 306.240 1.497 20 0 DDADMM COCCOCCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872852645 707625897 /nfs/dbraw/zinc/62/58/97/707625897.db2.gz IUVGZBBSUQRGSI-UHFFFAOYSA-N -1 1 302.758 1.508 20 0 DDADMM COc1ccc(-c2nnc([N-][C@H](C)C(=O)N(C)OC)o2)cc1 ZINC000882669333 707740387 /nfs/dbraw/zinc/74/03/87/707740387.db2.gz CQIKQTMNXLYZBV-SECBINFHSA-N -1 1 306.322 1.565 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C12CCC2 ZINC000838120434 707848043 /nfs/dbraw/zinc/84/80/43/707848043.db2.gz DTSCONGAMCNNQT-WDEREUQCSA-N -1 1 318.402 1.705 20 0 DDADMM C/C(=C\C(=O)OCCC[N-]C(=O)C(F)(F)F)c1cnccn1 ZINC000838230014 707873236 /nfs/dbraw/zinc/87/32/36/707873236.db2.gz JGJLHXQRKZVFRC-VQHVLOKHSA-N -1 1 317.267 1.492 20 0 DDADMM O=c1nc(NC[C@H](O)C(F)(F)C(F)(F)F)cc(Cl)[n-]1 ZINC000882977173 707882028 /nfs/dbraw/zinc/88/20/28/707882028.db2.gz ZHBCCDWHTXAHDQ-VKHMYHEASA-N -1 1 307.606 1.806 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H]2C[C@H](OC)C2(C)C)o1 ZINC000839101323 708024219 /nfs/dbraw/zinc/02/42/19/708024219.db2.gz IMQJWTAZCBLEAR-VHSXEESVSA-N -1 1 317.363 1.158 20 0 DDADMM O=C(C[C@H]1CCC2(CCC2)O1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000897161690 708242038 /nfs/dbraw/zinc/24/20/38/708242038.db2.gz NYMMUGQBKVLDQF-NWDGAFQWSA-N -1 1 305.382 1.398 20 0 DDADMM COc1cc(C)ccc1CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909413268 713011670 /nfs/dbraw/zinc/01/16/70/713011670.db2.gz PAGHEXDMRDALRU-CQSZACIVSA-N -1 1 320.389 1.416 20 0 DDADMM CCN(C(=O)c1ccc2ccc(O)cc2c1[O-])[C@H]1CCNC1=O ZINC000897663593 708411161 /nfs/dbraw/zinc/41/11/61/708411161.db2.gz KMUQZODPSNRGPW-AWEZNQCLSA-N -1 1 314.341 1.602 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC(=O)CC[C@H]1C ZINC000885088155 708468031 /nfs/dbraw/zinc/46/80/31/708468031.db2.gz HOCNDVPKGMPOEX-SECBINFHSA-N -1 1 305.378 1.982 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC(=O)CC[C@H]2C)c(=O)[n-]1 ZINC000885099050 708471876 /nfs/dbraw/zinc/47/18/76/708471876.db2.gz DOZDVDXYQRWKBA-SECBINFHSA-N -1 1 323.418 1.725 20 0 DDADMM CC(C)(C)N1C[C@@H](C[N-]S(=O)(=O)c2ccns2)CC1=O ZINC000885308042 708516665 /nfs/dbraw/zinc/51/66/65/708516665.db2.gz JZFLGPCZHDFLIW-SECBINFHSA-N -1 1 317.436 1.068 20 0 DDADMM CC[C@H](C)NC(=O)CC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000885376507 708529801 /nfs/dbraw/zinc/52/98/01/708529801.db2.gz GKOWESRHCXXZDV-QMMMGPOBSA-N -1 1 319.452 1.343 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C(CF)CF)cc1F ZINC000885414245 708538869 /nfs/dbraw/zinc/53/88/69/708538869.db2.gz VRBQJRVMVGOSJI-UHFFFAOYSA-N -1 1 311.281 1.198 20 0 DDADMM CCC(CC)(C[N-]S(=O)(=O)c1cc(C)ns1)C(=O)OC ZINC000885463866 708551724 /nfs/dbraw/zinc/55/17/24/708551724.db2.gz WJMRKHYTVVXBAF-UHFFFAOYSA-N -1 1 320.436 1.709 20 0 DDADMM NC(=O)N1CCC[C@H](C(=O)Nc2cc(F)c([O-])cc2Cl)C1 ZINC000885673993 708594491 /nfs/dbraw/zinc/59/44/91/708594491.db2.gz BRVLUALGVXACSJ-ZETCQYMHSA-N -1 1 315.732 1.914 20 0 DDADMM CC(C)c1nc([C@@H](CO)NC(=O)c2ncccc2[O-])cs1 ZINC000898365666 708623893 /nfs/dbraw/zinc/62/38/93/708623893.db2.gz HORLPGYHSXQPJN-SECBINFHSA-N -1 1 307.375 1.831 20 0 DDADMM CC(C)c1nc([C@H](CO)NC(=O)c2ncccc2[O-])cs1 ZINC000898365667 708624168 /nfs/dbraw/zinc/62/41/68/708624168.db2.gz HORLPGYHSXQPJN-VIFPVBQESA-N -1 1 307.375 1.831 20 0 DDADMM O=C(CN1CCCCC1=O)NCCc1c(F)cc([O-])cc1F ZINC000886263313 708731491 /nfs/dbraw/zinc/73/14/91/708731491.db2.gz RXHPSHHYTMQIMU-UHFFFAOYSA-N -1 1 312.316 1.342 20 0 DDADMM C[C@@H]1COCCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927780402 713053963 /nfs/dbraw/zinc/05/39/63/713053963.db2.gz CWCWJEWRFSOMCB-SECBINFHSA-N -1 1 300.305 1.643 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CC2(C1)CCCO2 ZINC000927788705 713056585 /nfs/dbraw/zinc/05/65/85/713056585.db2.gz LIZUXZXWGYFPBV-UHFFFAOYSA-N -1 1 312.316 1.787 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]2CN(C(=O)C3CC3)C[C@H]21 ZINC000963730007 717892390 /nfs/dbraw/zinc/89/23/90/717892390.db2.gz CRBVTASVCAPOJD-QWHCGFSZSA-N -1 1 315.373 1.260 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1C[C@H]1c1ccc(F)cc1 ZINC000898753881 708855484 /nfs/dbraw/zinc/85/54/84/708855484.db2.gz SJHHVHVDCAEITJ-QWRGUYRKSA-N -1 1 303.293 1.447 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc([O-])c(F)c2)CCS1(=O)=O ZINC000887176735 708981170 /nfs/dbraw/zinc/98/11/70/708981170.db2.gz HIGWSYSWZKSFQR-WCBMZHEXSA-N -1 1 301.339 1.227 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@H]2C[C@@H]2CCCO2)c([O-])c1 ZINC000887843127 709140978 /nfs/dbraw/zinc/14/09/78/709140978.db2.gz DQWNUDBJKHNVLJ-OLZOCXBDSA-N -1 1 306.362 1.506 20 0 DDADMM CC(C)CN(CC(N)=O)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900119714 709297431 /nfs/dbraw/zinc/29/74/31/709297431.db2.gz OHFNSJSYNSAEOS-UHFFFAOYSA-N -1 1 319.336 1.663 20 0 DDADMM Cn1ncc2c1CCC[C@H]2NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900158774 709310282 /nfs/dbraw/zinc/31/02/82/709310282.db2.gz ZIMSUMICGFVVRH-GFCCVEGCSA-N -1 1 313.361 1.601 20 0 DDADMM COC(=O)[C@H]1C[C@@H]2C[C@H](NC(=O)c3ccc([O-])cc3F)[C@@H]2C1 ZINC000888465703 709318595 /nfs/dbraw/zinc/31/85/95/709318595.db2.gz KOKQUYMMODBBHB-UGIUPUBSSA-N -1 1 307.321 1.849 20 0 DDADMM C[C@@]1(NC(=O)c2ccc(Br)cc2[O-])CCNC1=O ZINC000889034139 709437036 /nfs/dbraw/zinc/43/70/36/709437036.db2.gz RKTCRFDNWFWVBM-GFCCVEGCSA-N -1 1 313.151 1.163 20 0 DDADMM O=C([N-]OCc1ccccn1)[C@@H]1CC(=O)N(C2CCCC2)C1 ZINC000909526874 709502119 /nfs/dbraw/zinc/50/21/19/709502119.db2.gz ULQATCAZULBGAT-GFCCVEGCSA-N -1 1 303.362 1.421 20 0 DDADMM CCCN1C[C@H](C(=O)[N-]OCCOc2ccccc2)CC1=O ZINC000889443826 709504678 /nfs/dbraw/zinc/50/46/78/709504678.db2.gz UNPMSMBIMIOCEE-CYBMUJFWSA-N -1 1 306.362 1.372 20 0 DDADMM CSCC[C@H](NC(=O)CCc1ccc(F)cc1)c1nn[n-]n1 ZINC000912860472 713109858 /nfs/dbraw/zinc/10/98/58/713109858.db2.gz VTGUGTPYBTURJA-LBPRGKRZSA-N -1 1 323.397 1.882 20 0 DDADMM COc1ccsc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912860562 713109913 /nfs/dbraw/zinc/10/99/13/713109913.db2.gz QLKDFHONOSPJHG-ZETCQYMHSA-N -1 1 313.408 1.494 20 0 DDADMM COC(=O)[C@@](C)(CO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000909593895 709533229 /nfs/dbraw/zinc/53/32/29/709533229.db2.gz JQLQIXYGUSMMAG-GFCCVEGCSA-N -1 1 321.251 1.065 20 0 DDADMM CCc1c(C(=O)N[C@@H](CCSC)c2nn[n-]n2)ccn1C ZINC000912862592 713111044 /nfs/dbraw/zinc/11/10/44/713111044.db2.gz RHTMBINAQKBHNQ-JTQLQIEISA-N -1 1 308.411 1.325 20 0 DDADMM COc1cccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c1C ZINC000912862791 713111100 /nfs/dbraw/zinc/11/11/00/713111100.db2.gz CQONHRWOMFWOLJ-NSHDSACASA-N -1 1 321.406 1.741 20 0 DDADMM COC(=O)C[C@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900343329 709547545 /nfs/dbraw/zinc/54/75/45/709547545.db2.gz XJWYOJCSLJYZQP-LLVKDONJSA-N -1 1 319.361 1.617 20 0 DDADMM O=C(NCc1ncc2c(n1)CCC2)c1c(F)ccc([O-])c1F ZINC000909677323 709571900 /nfs/dbraw/zinc/57/19/00/709571900.db2.gz LHRGQQDNDQMUIJ-UHFFFAOYSA-N -1 1 305.284 1.879 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N(CC(=O)[O-])CC1CC1 ZINC000900461998 709606405 /nfs/dbraw/zinc/60/64/05/709606405.db2.gz BSRBSJLNYKUPKZ-CQSZACIVSA-N -1 1 320.389 1.798 20 0 DDADMM Cc1cc([C@H](NC(=O)[C@@H]2CCCCN2C)C(=O)[O-])ccc1F ZINC000909794325 709624006 /nfs/dbraw/zinc/62/40/06/709624006.db2.gz FKLSLGJQOIQTJJ-KBPBESRZSA-N -1 1 308.353 1.860 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Cn2ccc(C)n2)[n-]c1=O ZINC000889786049 709635458 /nfs/dbraw/zinc/63/54/58/709635458.db2.gz KAMYYYKYGWWJBA-NSHDSACASA-N -1 1 317.349 1.059 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C2=CCCCO2)[n-]c1=O ZINC000889791888 709638233 /nfs/dbraw/zinc/63/82/33/709638233.db2.gz OUESSBCFKGIXGY-JTQLQIEISA-N -1 1 305.334 1.549 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cccn2C)[n-]c1=O ZINC000889794086 709639526 /nfs/dbraw/zinc/63/95/26/709639526.db2.gz GPXUYISEDSFTQI-SNVBAGLBSA-N -1 1 302.334 1.507 20 0 DDADMM Cc1cc(C)cc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000909955329 709707079 /nfs/dbraw/zinc/70/70/79/709707079.db2.gz RRZTUTHNVYOWMD-HNNXBMFYSA-N -1 1 304.390 1.716 20 0 DDADMM CC1(Cn2cc(C(=O)Nc3cc([O-])c(F)cc3F)nn2)COC1 ZINC000909984872 709720032 /nfs/dbraw/zinc/72/00/32/709720032.db2.gz ATEFGGAGIPWJMV-UHFFFAOYSA-N -1 1 324.287 1.551 20 0 DDADMM O=C(Cn1nc2n(c1=O)CCCC2)Nc1cc([O-])c(F)cc1F ZINC000909988773 709723113 /nfs/dbraw/zinc/72/31/13/709723113.db2.gz ZPKIDPJSKSXYRG-UHFFFAOYSA-N -1 1 324.287 1.004 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2nc(CC)cs2)n1 ZINC000909989434 709723781 /nfs/dbraw/zinc/72/37/81/709723781.db2.gz QWKCYKMAYYZJJP-UHFFFAOYSA-N -1 1 308.363 1.787 20 0 DDADMM O=C(Cc1n[nH]c2c1CCCC2)N[C@@H](C(=O)[O-])C1CCCCC1 ZINC000910090912 709767973 /nfs/dbraw/zinc/76/79/73/709767973.db2.gz OPLMAECXGCPDJW-MRXNPFEDSA-N -1 1 319.405 1.981 20 0 DDADMM O=C([O-])[C@H](F)C1CN(C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC000910134231 709785947 /nfs/dbraw/zinc/78/59/47/709785947.db2.gz RCZZSJUAWDBZNZ-GFCCVEGCSA-N -1 1 303.293 1.571 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cccnc2C2CC2)CC1 ZINC000910172401 709797211 /nfs/dbraw/zinc/79/72/11/709797211.db2.gz BGKVSHBAKNSSQW-LBPRGKRZSA-N -1 1 317.389 1.580 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2[nH]ccc2C2CC2)CC1 ZINC000910175288 709797793 /nfs/dbraw/zinc/79/77/93/709797793.db2.gz MJGQSBYCEAZSIP-NSHDSACASA-N -1 1 305.378 1.513 20 0 DDADMM CC(C)CC[C@](C)(O)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900900307 709818316 /nfs/dbraw/zinc/81/83/16/709818316.db2.gz LHEQTXPSLIVXPA-INIZCTEOSA-N -1 1 307.394 1.977 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)N[C@@H]1C[C@H]1c1ccsc1 ZINC000910238670 709832991 /nfs/dbraw/zinc/83/29/91/709832991.db2.gz AGNRHNBNVBVKAK-KGYLQXTDSA-N -1 1 308.403 1.517 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)o1 ZINC000910245717 709837359 /nfs/dbraw/zinc/83/73/59/709837359.db2.gz NCTIMJQKZFUESA-DYEKYZERSA-N -1 1 320.389 1.816 20 0 DDADMM COC(=O)C[C@H]1CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901149660 709947739 /nfs/dbraw/zinc/94/77/39/709947739.db2.gz MBZFLTIQTVCQTL-SNVBAGLBSA-N -1 1 305.334 1.227 20 0 DDADMM NC(=O)[C@@H]1CCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000913014954 713144933 /nfs/dbraw/zinc/14/49/33/713144933.db2.gz ISEDKZABUDPQBW-JTQLQIEISA-N -1 1 303.293 1.169 20 0 DDADMM O=C([O-])C[C@H](NC(=O)[C@@H]1CCc2nc[nH]c2C1)C1CCCC1 ZINC000910558070 709998818 /nfs/dbraw/zinc/99/88/18/709998818.db2.gz AWZXVMPOXNZOIW-YPMHNXCESA-N -1 1 305.378 1.664 20 0 DDADMM CC(C)[C@H]1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000901315387 710007078 /nfs/dbraw/zinc/00/70/78/710007078.db2.gz SGERSADSLYWARE-WCQYABFASA-N -1 1 305.378 1.993 20 0 DDADMM COC[C@@H](NCc1ccc(OC)cc1Br)C(=O)[O-] ZINC000901479634 710051193 /nfs/dbraw/zinc/05/11/93/710051193.db2.gz GROMVVFRCGKBDU-LLVKDONJSA-N -1 1 318.167 1.647 20 0 DDADMM Cn1c2ccccc2nc1NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000910695586 710051588 /nfs/dbraw/zinc/05/15/88/710051588.db2.gz UDOUHMDLGZONHW-NSHDSACASA-N -1 1 316.361 1.308 20 0 DDADMM CCc1ccc(CN2CCC(n3cc(C(=O)[O-])nn3)CC2)o1 ZINC000901526484 710066183 /nfs/dbraw/zinc/06/61/83/710066183.db2.gz XIBSDANDADDRSN-UHFFFAOYSA-N -1 1 304.350 1.969 20 0 DDADMM CCC(C)(C)C(=O)CSc1nc([O-])cc(=O)n1CCOC ZINC000901654405 710109918 /nfs/dbraw/zinc/10/99/18/710109918.db2.gz PPNYOJYJEXSUKM-UHFFFAOYSA-N -1 1 314.407 1.693 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2C[C@@H](C)C[C@H](C(=O)[O-])C2)C1 ZINC000901659813 710112065 /nfs/dbraw/zinc/11/20/65/710112065.db2.gz FDIMWJHFBLFWPJ-RSLMWUCJSA-N -1 1 305.378 1.645 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1cc(F)c(OC)cc1OC ZINC000901662571 710112978 /nfs/dbraw/zinc/11/29/78/710112978.db2.gz SCKCUXKPNMBEAG-UHFFFAOYSA-N -1 1 315.341 1.766 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC000901662799 710113201 /nfs/dbraw/zinc/11/32/01/710113201.db2.gz QLPNUYYAUGQNIW-NJBDSQKTSA-N -1 1 305.378 1.645 20 0 DDADMM O=C([O-])CC[C@@H]1C[C@H](C(=O)N2CCN(C3CCCC3)CC2)CO1 ZINC000901942622 710180794 /nfs/dbraw/zinc/18/07/94/710180794.db2.gz VBPLXPQHIZZJQU-DZGCQCFKSA-N -1 1 324.421 1.343 20 0 DDADMM COC(=O)c1cc(C)c(C(=O)[N-]c2nc3n(n2)CCCC3)o1 ZINC000891385818 710181211 /nfs/dbraw/zinc/18/12/11/710181211.db2.gz CZDWOQOLPREEPR-UHFFFAOYSA-N -1 1 304.306 1.555 20 0 DDADMM CN(C)c1ncc(CN2CCc3ccccc3[C@@H]2C(=O)[O-])n1C ZINC000901989958 710194889 /nfs/dbraw/zinc/19/48/89/710194889.db2.gz OCTBJRMTIOESTE-OAHLLOKOSA-N -1 1 314.389 1.670 20 0 DDADMM NC(=O)[C@H](F)C1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000913115609 713167051 /nfs/dbraw/zinc/16/70/51/713167051.db2.gz SIWIJQMXFXGEGU-GFCCVEGCSA-N -1 1 314.744 1.721 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1ncc2n1CCCC2 ZINC000891613429 710248738 /nfs/dbraw/zinc/24/87/38/710248738.db2.gz IWBODJAADPSRIX-UHFFFAOYSA-N -1 1 313.361 1.846 20 0 DDADMM CN(C(=O)Cc1cccc(OCC(F)(F)F)c1)c1nn[n-]n1 ZINC000892955040 710531613 /nfs/dbraw/zinc/53/16/13/710531613.db2.gz VQQFJGSUCQIHAU-UHFFFAOYSA-N -1 1 315.255 1.346 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000902100193 710615345 /nfs/dbraw/zinc/61/53/45/710615345.db2.gz XSCRWMRUFBHBIG-GJZGRUSLSA-N -1 1 302.374 1.681 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@@H]1C[C@@]12CCOC2 ZINC000902150916 710636147 /nfs/dbraw/zinc/63/61/47/710636147.db2.gz ZOOUPHYOZCAQQY-IINYFYTJSA-N -1 1 312.391 1.114 20 0 DDADMM C[C@@H]1CN(C(=O)CN(C)CCc2ccccc2)CC[C@@H]1C(=O)[O-] ZINC000902176372 710649641 /nfs/dbraw/zinc/64/96/41/710649641.db2.gz IDOSVPPHCFVJIL-ZBFHGGJFSA-N -1 1 318.417 1.730 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCNc2ccccc2)C1 ZINC000911153482 710657835 /nfs/dbraw/zinc/65/78/35/710657835.db2.gz FHZGMDLNAMYDGF-ZDUSSCGKSA-N -1 1 305.378 1.011 20 0 DDADMM Cc1cc(C2CCN(C(=O)CCSCC(=O)[O-])CC2)n[nH]1 ZINC000911652049 710906508 /nfs/dbraw/zinc/90/65/08/710906508.db2.gz YEIKOLLIKJQXSM-UHFFFAOYSA-N -1 1 311.407 1.632 20 0 DDADMM COCc1nc(N2CCC(c3ccncn3)CC2)cc(=O)[n-]1 ZINC000894178137 711001795 /nfs/dbraw/zinc/00/17/95/711001795.db2.gz GDZSGSRYDXNQEY-UHFFFAOYSA-N -1 1 301.350 1.503 20 0 DDADMM O=C([O-])c1cn(C2CCN(CCSC(F)(F)F)CC2)nn1 ZINC000903608162 711221946 /nfs/dbraw/zinc/22/19/46/711221946.db2.gz GGZCHPILKNTMMS-UHFFFAOYSA-N -1 1 324.328 1.866 20 0 DDADMM O=C(c1ccc(F)c(Cl)c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494446 713237265 /nfs/dbraw/zinc/23/72/65/713237265.db2.gz ALYWLDFRLARHQM-JTQLQIEISA-N -1 1 311.704 1.206 20 0 DDADMM Cc1nc([C@H]2CCN(CCOc3cccc(C(=O)[O-])c3)C2)no1 ZINC000903627445 711229118 /nfs/dbraw/zinc/22/91/18/711229118.db2.gz PTSKTEBHRMZFKF-ZDUSSCGKSA-N -1 1 317.345 1.945 20 0 DDADMM O=C(Cc1cc2ccccc2o1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494282 713237578 /nfs/dbraw/zinc/23/75/78/713237578.db2.gz DJUARSGONSYBQJ-GFCCVEGCSA-N -1 1 313.317 1.089 20 0 DDADMM Cc1ccc(CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)c(Cl)c1 ZINC000913496008 713238206 /nfs/dbraw/zinc/23/82/06/713238206.db2.gz QZNMXGOPXHCMTA-GFCCVEGCSA-N -1 1 321.768 1.304 20 0 DDADMM O=C(C/C=C/c1ccc(F)cc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496173 713238486 /nfs/dbraw/zinc/23/84/86/713238486.db2.gz UUHMXGQCLVIFIF-AORQRIRUSA-N -1 1 317.324 1.342 20 0 DDADMM O=C(Cc1cccc(C(F)F)c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496864 713238977 /nfs/dbraw/zinc/23/89/77/713238977.db2.gz FPMCLMGVGFBCDC-NSHDSACASA-N -1 1 323.303 1.280 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1OCC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496697 713239011 /nfs/dbraw/zinc/23/90/11/713239011.db2.gz AMFQTIWNCHNDCJ-AGIUHOORSA-N -1 1 323.397 1.085 20 0 DDADMM Cn1cc(CCN2CCOc3c(cccc3C(=O)[O-])C2)cn1 ZINC000903921493 711332608 /nfs/dbraw/zinc/33/26/08/711332608.db2.gz OUKFCNLZCZKOAE-UHFFFAOYSA-N -1 1 301.346 1.555 20 0 DDADMM COc1ccc(C)cc1CN[C@H](C(=O)[O-])c1cnn(C)c1C ZINC000904047409 711370181 /nfs/dbraw/zinc/37/01/81/711370181.db2.gz DXOQDNBHRAWBHJ-HNNXBMFYSA-N -1 1 303.362 1.961 20 0 DDADMM Cc1cc(Br)c(CN[C@H](CO)C(=O)[O-])cc1Cl ZINC000904053759 711371387 /nfs/dbraw/zinc/37/13/87/711371387.db2.gz VQFDDAILINSDPU-SNVBAGLBSA-N -1 1 322.586 1.946 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CCN(CCO)CC1 ZINC000895584603 711550233 /nfs/dbraw/zinc/55/02/33/711550233.db2.gz FRKJYWTYYZJVHX-UHFFFAOYSA-N -1 1 316.357 1.001 20 0 DDADMM CN1C[C@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])CC1=O ZINC000896055636 711669805 /nfs/dbraw/zinc/66/98/05/711669805.db2.gz CNQVJBYXFRJGAM-SNVBAGLBSA-N -1 1 300.314 1.212 20 0 DDADMM CSc1nc(C)c(CCC(=O)N=S(C)(C)=O)c(=O)[n-]1 ZINC000913646044 713274884 /nfs/dbraw/zinc/27/48/84/713274884.db2.gz FZQVQIHJKOPIED-UHFFFAOYSA-N -1 1 303.409 1.399 20 0 DDADMM O=c1nc(NC[C@]2(c3ccccc3)CCCO2)nc2[nH][n-]cc1-2 ZINC000896539026 711743801 /nfs/dbraw/zinc/74/38/01/711743801.db2.gz VVZOSIHYHKWJEO-INIZCTEOSA-N -1 1 311.345 1.550 20 0 DDADMM O=C([C@@H]1Cc2ccccc2S1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742521 713291834 /nfs/dbraw/zinc/29/18/34/713291834.db2.gz HCDMICTZEFBWBH-ZDUSSCGKSA-N -1 1 315.402 1.623 20 0 DDADMM O=C(c1ccc2ncccc2c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743310 713292255 /nfs/dbraw/zinc/29/22/55/713292255.db2.gz PKDKEBDBACTSJX-UHFFFAOYSA-N -1 1 308.345 1.768 20 0 DDADMM O=C(CCc1ccc(O)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744544 713293019 /nfs/dbraw/zinc/29/30/19/713293019.db2.gz SWILJQOZQSVYRF-UHFFFAOYSA-N -1 1 301.350 1.244 20 0 DDADMM Cc1ccc(F)c(C(=O)N2CCC(c3nn[n-]n3)CC2)c1F ZINC000913744586 713293318 /nfs/dbraw/zinc/29/33/18/713293318.db2.gz UYBUIDWPXIEABX-UHFFFAOYSA-N -1 1 307.304 1.806 20 0 DDADMM O=C(Cc1cnccc1Cl)N1CCC(c2nn[n-]n2)CC1 ZINC000913744956 713293339 /nfs/dbraw/zinc/29/33/39/713293339.db2.gz LZJOIUHGFWMWRX-UHFFFAOYSA-N -1 1 306.757 1.197 20 0 DDADMM O=C(N1CCC(c2nn[n-]n2)CC1)C1(Nc2ccccc2)CC1 ZINC000913746446 713294242 /nfs/dbraw/zinc/29/42/42/713294242.db2.gz UKEYHTZCMSQIDK-UHFFFAOYSA-N -1 1 312.377 1.550 20 0 DDADMM C[N@H+]1CCN(c2cc(C(=O)[O-])ncn2)C[C@@H]1Cc1ccccc1 ZINC000906145973 712253856 /nfs/dbraw/zinc/25/38/56/712253856.db2.gz YUOGCZAHTBTXDD-AWEZNQCLSA-N -1 1 312.373 1.538 20 0 DDADMM CN1CCN(c2cc(C(=O)[O-])ncn2)C[C@@H]1Cc1ccccc1 ZINC000906145973 712253858 /nfs/dbraw/zinc/25/38/58/712253858.db2.gz YUOGCZAHTBTXDD-AWEZNQCLSA-N -1 1 312.373 1.538 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CCC[C@@H]2C2CC2)c1 ZINC000906852938 712422483 /nfs/dbraw/zinc/42/24/83/712422483.db2.gz NDVCNTHAIRCOOV-LLVKDONJSA-N -1 1 311.359 1.654 20 0 DDADMM C[C@]1(NC(=O)c2cnc(C3CC3)[n-]c2=O)CCO[C@@H]1C1CC1 ZINC000907351333 712550348 /nfs/dbraw/zinc/55/03/48/712550348.db2.gz PURLWBCNDDDMNR-WBMJQRKESA-N -1 1 303.362 1.747 20 0 DDADMM C[C@@H](CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccncc1 ZINC000907472855 712581175 /nfs/dbraw/zinc/58/11/75/712581175.db2.gz DKDNXJIXBKLZBM-CMPLNLGQSA-N -1 1 318.406 1.405 20 0 DDADMM Cc1cc(C)nc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000907476889 712582541 /nfs/dbraw/zinc/58/25/41/712582541.db2.gz CPFSVDGCVWUENQ-NSHDSACASA-N -1 1 304.379 1.142 20 0 DDADMM O=C(C1Cc2ccccc2C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479678 712583275 /nfs/dbraw/zinc/58/32/75/712583275.db2.gz LTXBMYYATQVTMT-ZDUSSCGKSA-N -1 1 315.402 1.231 20 0 DDADMM CCC1=C(C(=O)N2CCSC[C@H]2c2nn[n-]n2)CCCO1 ZINC000907480323 712583475 /nfs/dbraw/zinc/58/34/75/712583475.db2.gz SFPMIPBBLJBLNF-JTQLQIEISA-N -1 1 309.395 1.291 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]1C ZINC000907653280 712609221 /nfs/dbraw/zinc/60/92/21/712609221.db2.gz MRXONXMLUFIUHW-SCZZXKLOSA-N -1 1 305.334 1.083 20 0 DDADMM CC1(C)CN(C(=O)c2c([O-])cnc3c(F)cccc32)C[C@@H]1O ZINC000907857907 712636884 /nfs/dbraw/zinc/63/68/84/712636884.db2.gz IFMJGOFJTATJMO-LBPRGKRZSA-N -1 1 304.321 1.922 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C2(c3nc(C)no3)CC2)sn1 ZINC000907861547 712637467 /nfs/dbraw/zinc/63/74/67/712637467.db2.gz XXKVUWAWGLSSCI-UHFFFAOYSA-N -1 1 300.365 1.111 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@@H]3CCC[C@@H]23)c(C(F)(F)F)n1 ZINC000907957517 712655062 /nfs/dbraw/zinc/65/50/62/712655062.db2.gz ISKVMVLAKUPNSP-DJLDLDEBSA-N -1 1 323.340 1.906 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)OC2CCOCC2)[n-]c1=O ZINC000908017205 712666859 /nfs/dbraw/zinc/66/68/59/712666859.db2.gz RFALZSOGLNIBBV-LLVKDONJSA-N -1 1 323.349 1.643 20 0 DDADMM CN(C(=O)CCCCc1cn[nH]n1)c1cccc(CC(=O)[O-])c1 ZINC000908088161 712683374 /nfs/dbraw/zinc/68/33/74/712683374.db2.gz GARSHIWKPPBXPM-UHFFFAOYSA-N -1 1 316.361 1.808 20 0 DDADMM O=C([O-])c1ccc2c(c1)OCCN(C(=O)CCc1cnc[nH]1)C2 ZINC000908149042 712698454 /nfs/dbraw/zinc/69/84/54/712698454.db2.gz UIRMZNPMTTUKKM-UHFFFAOYSA-N -1 1 315.329 1.462 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@@H]1C1CC1)c1cc(F)ccc1F ZINC000908418137 712766343 /nfs/dbraw/zinc/76/63/43/712766343.db2.gz ZSJYOFKTPUWZGC-ZYHUDNBSSA-N -1 1 303.330 1.668 20 0 DDADMM C[C@H](C(=O)[N-]OC1CCC1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC000908569840 712809558 /nfs/dbraw/zinc/80/95/58/712809558.db2.gz XIFWCXLCCFRULS-JQWIXIFHSA-N -1 1 316.398 1.451 20 0 DDADMM C[C@@H](C(=O)[N-]OC1CCC1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC000908569842 712809596 /nfs/dbraw/zinc/80/95/96/712809596.db2.gz XIFWCXLCCFRULS-ZYHUDNBSSA-N -1 1 316.398 1.451 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2C[C@@H]2c2ccccc2)C1 ZINC000908787824 712854816 /nfs/dbraw/zinc/85/48/16/712854816.db2.gz HXFUUJJUISOSNI-ZNMIVQPWSA-N -1 1 302.374 1.455 20 0 DDADMM CCc1cc(CNC(=O)c2ccccc2CCC(=O)[O-])[nH]n1 ZINC000908796919 712856595 /nfs/dbraw/zinc/85/65/95/712856595.db2.gz AKUBTWVERCMUMB-UHFFFAOYSA-N -1 1 301.346 1.919 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CCC3(CCOCC3)CO2)c([O-])c1 ZINC000914388524 713387765 /nfs/dbraw/zinc/38/77/65/713387765.db2.gz XBKABPBZPACZPT-ZDUSSCGKSA-N -1 1 320.389 1.801 20 0 DDADMM C[C@H]1CN(C(=O)CC2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966041670 717901919 /nfs/dbraw/zinc/90/19/19/717901919.db2.gz DLBLFDFTROTHJY-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2 ZINC000918060457 713527558 /nfs/dbraw/zinc/52/75/58/713527558.db2.gz COOYARFILSLGTA-QNWHQSFQSA-N -1 1 319.423 1.157 20 0 DDADMM C[C@@]1(CNC(=O)NCCc2c(F)cc([O-])cc2F)CCOC1 ZINC000918488327 713544848 /nfs/dbraw/zinc/54/48/48/713544848.db2.gz CYZTXLZRGQWFFM-HNNXBMFYSA-N -1 1 314.332 1.939 20 0 DDADMM C[C@H](CN1CC(=O)N[C@@H]2CCCC[C@@H]21)C(=O)c1ccc([O-])cc1 ZINC000929829800 713699580 /nfs/dbraw/zinc/69/95/80/713699580.db2.gz YIEMIVNQZSKGIG-WQVCFCJDSA-N -1 1 316.401 1.954 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC[C@@H]2CC[C@@H]3C[C@@H]32)o1 ZINC000920885689 713700373 /nfs/dbraw/zinc/70/03/73/713700373.db2.gz SAHLQAHKJLDKCY-HBNTYKKESA-N -1 1 313.375 1.781 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@@H](C(F)(F)F)O1)c1ccns1 ZINC000920926312 713702818 /nfs/dbraw/zinc/70/28/18/713702818.db2.gz XBOCXTGMWWROCU-BQBZGAKWSA-N -1 1 316.326 1.531 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccccc1Oc1ccccc1 ZINC000921245511 713724918 /nfs/dbraw/zinc/72/49/18/713724918.db2.gz CJYYYUYQOXFBML-UHFFFAOYSA-N -1 1 311.297 1.676 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCO[C@@H]2CCOC2)c(F)c1 ZINC000921880970 713895656 /nfs/dbraw/zinc/89/56/56/713895656.db2.gz OZEKPPLWPSZXRL-SNVBAGLBSA-N -1 1 321.345 1.357 20 0 DDADMM Cc1nocc1S(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921964880 713921835 /nfs/dbraw/zinc/92/18/35/713921835.db2.gz GYIDGMRQXVKTBR-UHFFFAOYSA-N -1 1 318.301 1.488 20 0 DDADMM COCC1(S(=O)(=O)NCCc2c(F)cc([O-])cc2F)CC1 ZINC000921966188 713922033 /nfs/dbraw/zinc/92/20/33/713922033.db2.gz XYUQZBNCIZGUNY-UHFFFAOYSA-N -1 1 321.345 1.311 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](CO)C1)c1ccc(Br)o1 ZINC000922064226 713950524 /nfs/dbraw/zinc/95/05/24/713950524.db2.gz KLDQHZKFOMEEBJ-LJGSYFOKSA-N -1 1 310.169 1.091 20 0 DDADMM Cc1nnc(CCN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)o1 ZINC000931077279 713997536 /nfs/dbraw/zinc/99/75/36/713997536.db2.gz SWYYVBSUNLLEFD-SCZZXKLOSA-N -1 1 320.315 1.309 20 0 DDADMM CON1CC[C@H](OC(=O)c2cc(-c3ccc(C)o3)n[nH]2)C1=O ZINC000922358095 714029316 /nfs/dbraw/zinc/02/93/16/714029316.db2.gz IZWXNDZUQJEGQG-LBPRGKRZSA-N -1 1 305.290 1.297 20 0 DDADMM CON1CC[C@@H](OC(=O)c2cc(F)cc(Cl)c2[O-])C1=O ZINC000922506468 714073428 /nfs/dbraw/zinc/07/34/28/714073428.db2.gz ZGGIMODGOPEUTO-SECBINFHSA-N -1 1 303.673 1.504 20 0 DDADMM COC(=O)/C=C(\C)CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000931569304 714131130 /nfs/dbraw/zinc/13/11/30/714131130.db2.gz VBDYUGBHKXYNHJ-UVMWJGKXSA-N -1 1 308.300 1.249 20 0 DDADMM C[C@@H](O)C[C@H](C)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932047071 714245814 /nfs/dbraw/zinc/24/58/14/714245814.db2.gz UOKDFZPWEGWCHC-WDEREUQCSA-N -1 1 304.350 1.859 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CC[C@@H](C(=O)[O-])C[C@H]1C)C2 ZINC000923155118 714257126 /nfs/dbraw/zinc/25/71/26/714257126.db2.gz IDVAFLYNSUEOHV-YUSALJHKSA-N -1 1 305.378 1.535 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)N[C@@H](C)C(F)F)[n-]c1=O ZINC000932434699 714329779 /nfs/dbraw/zinc/32/97/79/714329779.db2.gz ZZEGOZBCXGCIQC-JGVFFNPUSA-N -1 1 316.308 1.691 20 0 DDADMM CCc1ccc(Br)cc1C(=O)NCc1nn[n-]n1 ZINC000932532835 714356995 /nfs/dbraw/zinc/35/69/95/714356995.db2.gz JIZKUZSKIVDLNX-UHFFFAOYSA-N -1 1 310.155 1.455 20 0 DDADMM CCOC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)c1ncccc1[O-] ZINC000924881331 714686922 /nfs/dbraw/zinc/68/69/22/714686922.db2.gz XITAZZVGOPQBQV-QWRGUYRKSA-N -1 1 308.334 1.018 20 0 DDADMM CSC[C@H]1NC(=O)N(CCc2c(F)cc([O-])cc2F)C1=O ZINC000925465651 714865222 /nfs/dbraw/zinc/86/52/22/714865222.db2.gz ZAKCLEVAUXKXPP-LLVKDONJSA-N -1 1 316.329 1.496 20 0 DDADMM COc1ccc(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(C)c1 ZINC000935036865 714960986 /nfs/dbraw/zinc/96/09/86/714960986.db2.gz JSINNESLJMCWIL-CYBMUJFWSA-N -1 1 315.377 1.465 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(OC(F)F)nc1)c1nn[n-]n1 ZINC000935367009 715038335 /nfs/dbraw/zinc/03/83/35/715038335.db2.gz JADLOFKDBLADBF-ZETCQYMHSA-N -1 1 312.280 1.072 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1cccc(O)c1 ZINC000935457452 715060625 /nfs/dbraw/zinc/06/06/25/715060625.db2.gz SFPQZOGWYVKIGI-CABZTGNLSA-N -1 1 319.390 1.326 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@@H]1C ZINC000926615051 715099098 /nfs/dbraw/zinc/09/90/98/715099098.db2.gz OHDQEZWOPPMUDC-GXFFZTMASA-N -1 1 314.341 1.822 20 0 DDADMM CC(C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)=C1CCC1 ZINC000937861461 715617281 /nfs/dbraw/zinc/61/72/81/715617281.db2.gz SETMMBHUQNGWPR-ZDUSSCGKSA-N -1 1 315.373 1.618 20 0 DDADMM C[C@@]1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000937863919 715619117 /nfs/dbraw/zinc/61/91/17/715619117.db2.gz KKNDCUXSSULOCV-YVEFUNNKSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956850336 715637129 /nfs/dbraw/zinc/63/71/29/715637129.db2.gz KOKPZQRXYPQHGU-YVEFUNNKSA-N -1 1 319.405 1.800 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC000955636769 715887690 /nfs/dbraw/zinc/88/76/90/715887690.db2.gz QWHQPOAYQLYXNU-GKADRNHJSA-N -1 1 317.389 1.863 20 0 DDADMM CCC(CC)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940126067 716637741 /nfs/dbraw/zinc/63/77/41/716637741.db2.gz SEMZGEFUOBVMAI-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM CC(C)CC(=O)N1C[C@@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959355870 716980650 /nfs/dbraw/zinc/98/06/50/716980650.db2.gz VMCURJRDBPFEAN-OLZOCXBDSA-N -1 1 319.405 1.658 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)C1CCC1 ZINC000959443094 717019114 /nfs/dbraw/zinc/01/91/14/717019114.db2.gz FABUSCZLBZRWDD-DGCLKSJQSA-N -1 1 317.389 1.412 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])[C@H]1CC12CC2 ZINC000943978971 718236547 /nfs/dbraw/zinc/23/65/47/718236547.db2.gz JCVZFVUIBULITK-VXGBXAGGSA-N -1 1 315.373 1.308 20 0 DDADMM CCC(=O)N1CCC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000945544339 718482687 /nfs/dbraw/zinc/48/26/87/718482687.db2.gz PNIMUNJIXPCFQR-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C(C)(C)CC)C1 ZINC000967906443 719082688 /nfs/dbraw/zinc/08/26/88/719082688.db2.gz HFHGIVILZHGMHC-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000949455638 720099026 /nfs/dbraw/zinc/09/90/26/720099026.db2.gz FDSBEPYVHKPUCI-QWRGUYRKSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000949535039 720145397 /nfs/dbraw/zinc/14/53/97/720145397.db2.gz MNHQTNFMURKWOR-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])co1 ZINC000949535097 720145430 /nfs/dbraw/zinc/14/54/30/720145430.db2.gz NPSHSNPQVZMEOC-GFCCVEGCSA-N -1 1 315.329 1.333 20 0 DDADMM CCCC(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000953231458 721571106 /nfs/dbraw/zinc/57/11/06/721571106.db2.gz NRCQCVMTWSWQEZ-STQMWFEESA-N -1 1 317.389 1.650 20 0 DDADMM CN(C(=O)c1ccccc1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953572152 721627334 /nfs/dbraw/zinc/62/73/34/721627334.db2.gz AWXAQVSMLMVKAC-UHFFFAOYSA-N -1 1 311.341 1.384 20 0 DDADMM CN(C(=O)c1ccsc1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953677288 721640180 /nfs/dbraw/zinc/64/01/80/721640180.db2.gz BRGWMYOXXXRNOH-UHFFFAOYSA-N -1 1 317.370 1.445 20 0 DDADMM CN(C(=O)[C@H]1[C@@H]2CCC[C@@H]21)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953989810 721688230 /nfs/dbraw/zinc/68/82/30/721688230.db2.gz DMPVZKDVAUKGCS-IMRBUKKESA-N -1 1 315.373 1.116 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1CNC(=O)C1CC1 ZINC001020734850 732649225 /nfs/dbraw/zinc/64/92/25/732649225.db2.gz DTROBQLPRFHQQG-YPMHNXCESA-N -1 1 317.389 1.412 20 0 DDADMM O=C(NCc1c[nH]nn1)c1cc(Br)ccc1[S-] ZINC001189969645 745052048 /nfs/dbraw/zinc/05/20/48/745052048.db2.gz MKPHUZOMXONMAR-UHFFFAOYSA-N -1 1 313.180 1.786 20 0 DDADMM CC1(C)CCC[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000997890749 751342234 /nfs/dbraw/zinc/34/22/34/751342234.db2.gz ZDTJDBOZNGHWDT-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@H](F)CN1CCCC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001024396073 735802468 /nfs/dbraw/zinc/80/24/68/735802468.db2.gz MJQJINPHEHLLBS-NWDGAFQWSA-N -1 1 312.389 1.756 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@@H]3CCCN(CCF)[C@@H]3C2(C)C)c1[O-] ZINC001087282050 735927904 /nfs/dbraw/zinc/92/79/04/735927904.db2.gz QSUNYYLXDCHUKS-GDLCADMTSA-N -1 1 324.400 1.612 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CCCN(CCF)[C@H]3C2(C)C)c1[O-] ZINC001087282052 735928036 /nfs/dbraw/zinc/92/80/36/735928036.db2.gz QSUNYYLXDCHUKS-LERXQTSPSA-N -1 1 324.400 1.612 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@H]1O)c1ccc(Cl)nc1F ZINC000692895221 738965631 /nfs/dbraw/zinc/96/56/31/738965631.db2.gz SWZYRFCCBPCOAG-JGVFFNPUSA-N -1 1 308.762 1.313 20 0 DDADMM Nc1cnc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)c(F)c1 ZINC001167934987 739727526 /nfs/dbraw/zinc/72/75/26/739727526.db2.gz YZJAECQEHZWGAU-UHFFFAOYSA-N -1 1 323.264 1.888 20 0 DDADMM O=C(N[C@H]1C[C@H](Nc2nccnc2F)C1)c1ncccc1[O-] ZINC001059196874 740362723 /nfs/dbraw/zinc/36/27/23/740362723.db2.gz MAHJGMINLSEIEV-KYZUINATSA-N -1 1 303.297 1.089 20 0 DDADMM Cc1nc2[nH]ccc2cc1NC(=N)SCCS(=O)(=O)[O-] ZINC001168092830 741430830 /nfs/dbraw/zinc/43/08/30/741430830.db2.gz HLPYCXBTTUSXEW-UHFFFAOYSA-N -1 1 314.392 1.791 20 0 DDADMM CCC(C)(C)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088372768 741439483 /nfs/dbraw/zinc/43/94/83/741439483.db2.gz NCSADMBQKHNWMZ-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM CN(C(=O)c1cc(Oc2ccccc2)ccc1O)c1nn[n-]n1 ZINC001168360162 742319942 /nfs/dbraw/zinc/31/99/42/742319942.db2.gz WPKANEFMFGIZFO-UHFFFAOYSA-N -1 1 311.301 1.974 20 0 DDADMM CN(CC(=O)NCCCC[P@](=O)([O-])O)c1ccccc1 ZINC001180947700 742992729 /nfs/dbraw/zinc/99/27/29/742992729.db2.gz FRQHRHRXCAFFSR-UHFFFAOYSA-N -1 1 300.295 1.197 20 0 DDADMM C[C@]1(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)CC1(Cl)Cl ZINC001182138215 743496078 /nfs/dbraw/zinc/49/60/78/743496078.db2.gz IHYUJJZFOMDBPY-MRVPVSSYSA-N -1 1 307.093 1.808 20 0 DDADMM O=C(C[C@H](c1cccs1)n1cccc1)NN1CC(=O)[N-]C1=O ZINC001182303622 743559421 /nfs/dbraw/zinc/55/94/21/743559421.db2.gz WZJUTNQISBWCSF-SNVBAGLBSA-N -1 1 318.358 1.112 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)C12CCC(CO)(CC1)CC2 ZINC001182707562 743701783 /nfs/dbraw/zinc/70/17/83/743701783.db2.gz WTMSDGQVUYFANZ-UHFFFAOYSA-N -1 1 317.349 1.330 20 0 DDADMM COC(=O)c1ncoc1COCC(=O)Nc1ccc(F)cc1[O-] ZINC001183054196 743773256 /nfs/dbraw/zinc/77/32/56/743773256.db2.gz FJOCEPMICVIPDD-UHFFFAOYSA-N -1 1 324.264 1.461 20 0 DDADMM COc1cncc(NS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2)c1 ZINC001184662601 744079865 /nfs/dbraw/zinc/07/98/65/744079865.db2.gz APYKKHQYMJPNMH-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM COc1ccccc1C1(CC(=O)NCc2nn[n-]n2)CCC1 ZINC001184993892 744141589 /nfs/dbraw/zinc/14/15/89/744141589.db2.gz MXGZQQLBMDFXQZ-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2cncs2)cc1C(=O)[O-] ZINC001185270090 744194665 /nfs/dbraw/zinc/19/46/65/744194665.db2.gz XVCPEALSCKPWAR-UHFFFAOYSA-N -1 1 314.344 1.651 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NS(=O)(=O)c1ccsc1 ZINC001185352974 744205017 /nfs/dbraw/zinc/20/50/17/744205017.db2.gz ZRIYFTXWBGCLNR-UHFFFAOYSA-N -1 1 302.293 1.148 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(F)ccnc2OC)n1 ZINC001185540831 744252598 /nfs/dbraw/zinc/25/25/98/744252598.db2.gz KPYWGJSAXHRILW-UHFFFAOYSA-N -1 1 308.269 1.381 20 0 DDADMM Nc1cccnc1N1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC001186219161 744366640 /nfs/dbraw/zinc/36/66/40/744366640.db2.gz MVTICJGTJINERL-UHFFFAOYSA-N -1 1 316.336 1.471 20 0 DDADMM O=C(NCCc1ccc(F)cc1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001186297972 744377313 /nfs/dbraw/zinc/37/73/13/744377313.db2.gz SBXPBLOAVOWFRC-UHFFFAOYSA-N -1 1 316.296 1.562 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccc3c(c2)CC(=O)N3)c(C)n1 ZINC001186886334 744460206 /nfs/dbraw/zinc/46/02/06/744460206.db2.gz DGQOMAWDIJZHFM-UHFFFAOYSA-N -1 1 317.370 1.994 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1nccs1)NC(=O)OC(C)(C)C ZINC001187902805 744627466 /nfs/dbraw/zinc/62/74/66/744627466.db2.gz WQBQLHSWXLZWSP-QMMMGPOBSA-N -1 1 321.424 1.335 20 0 DDADMM C[S@](=O)c1ccccc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001187866533 744622915 /nfs/dbraw/zinc/62/29/15/744622915.db2.gz SCSWSWMVARDAJS-QFIPXVFZSA-N -1 1 317.330 1.048 20 0 DDADMM CSc1nc(NC(=O)c2nnsc2C2CC2)cc(=O)[n-]1 ZINC001188083267 744657756 /nfs/dbraw/zinc/65/77/56/744657756.db2.gz LBCAVKSVCDLXCH-UHFFFAOYSA-N -1 1 309.376 1.885 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nnsc2C2CC2)n1 ZINC001188082471 744657782 /nfs/dbraw/zinc/65/77/82/744657782.db2.gz BBFGXOVLKYPENI-UHFFFAOYSA-N -1 1 307.335 1.568 20 0 DDADMM COc1ncnc(NC(=O)c2ncc(C(F)(F)F)[n-]2)c1OC ZINC001188280244 744685852 /nfs/dbraw/zinc/68/58/52/744685852.db2.gz CNURQSHAPVFGPA-UHFFFAOYSA-N -1 1 317.227 1.488 20 0 DDADMM COc1ncnc(NC(=O)c2nc(C(F)(F)F)c[n-]2)c1OC ZINC001188280244 744685855 /nfs/dbraw/zinc/68/58/55/744685855.db2.gz CNURQSHAPVFGPA-UHFFFAOYSA-N -1 1 317.227 1.488 20 0 DDADMM Cc1noc(NC(=O)c2ncc(C(F)(F)F)[n-]2)c1C(N)=O ZINC001188278772 744686129 /nfs/dbraw/zinc/68/61/29/744686129.db2.gz WPUFUMWMBCFKQC-UHFFFAOYSA-N -1 1 303.200 1.076 20 0 DDADMM Cc1noc(NC(=O)c2nc(C(F)(F)F)c[n-]2)c1C(N)=O ZINC001188278772 744686131 /nfs/dbraw/zinc/68/61/31/744686131.db2.gz WPUFUMWMBCFKQC-UHFFFAOYSA-N -1 1 303.200 1.076 20 0 DDADMM CC(=O)NC1CC(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000992281314 744745754 /nfs/dbraw/zinc/74/57/54/744745754.db2.gz RIZBNEUOCGQCSZ-UHFFFAOYSA-N -1 1 320.393 1.259 20 0 DDADMM CC1(C)C[C@H](NC(=O)C2CC2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089293736 744947913 /nfs/dbraw/zinc/94/79/13/744947913.db2.gz SWLUHHJKWUODBB-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM CC(C)C(=O)N1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@]2(C)C1 ZINC000992604579 745028005 /nfs/dbraw/zinc/02/80/05/745028005.db2.gz MDYHPBRAXWJSMM-YVEFUNNKSA-N -1 1 317.389 1.364 20 0 DDADMM CC(C)C[C@H](CO)NC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190267091 745167639 /nfs/dbraw/zinc/16/76/39/745167639.db2.gz DRVIPQFTLKWXJT-CYBMUJFWSA-N -1 1 315.373 1.986 20 0 DDADMM C[C@H]1COCC[C@@H]1NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629691 745278493 /nfs/dbraw/zinc/27/84/93/745278493.db2.gz VOIOUFCERWGBRC-JQWIXIFHSA-N -1 1 314.345 1.399 20 0 DDADMM COCC[C@H]1CNCCN1C(=O)c1cc(F)c(F)c([O-])c1F ZINC001122402258 745456334 /nfs/dbraw/zinc/45/63/34/745456334.db2.gz MOWJWFVZAZIJQJ-QMMMGPOBSA-N -1 1 318.295 1.260 20 0 DDADMM CSc1ncc(C(=O)Nc2ncnc3[nH]ccc32)c(=O)[n-]1 ZINC001191429922 745510028 /nfs/dbraw/zinc/51/00/28/745510028.db2.gz ZLBSMRDPKGKZLJ-UHFFFAOYSA-N -1 1 302.319 1.428 20 0 DDADMM COc1ncnc(NC(=O)c2cc([O-])cc(F)c2F)c1OC ZINC001192651305 745845579 /nfs/dbraw/zinc/84/55/79/745845579.db2.gz FOYCPZKZZPCHMY-UHFFFAOYSA-N -1 1 311.244 1.730 20 0 DDADMM COC(=O)[C@@]1(F)CCN(C(=O)c2cc([O-])cc(F)c2F)C1 ZINC001192661136 745847820 /nfs/dbraw/zinc/84/78/20/745847820.db2.gz KMWGEBQWRUVPBP-CYBMUJFWSA-N -1 1 303.236 1.398 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NS(=O)(=O)CC1CCC1 ZINC001193479758 746116714 /nfs/dbraw/zinc/11/67/14/746116714.db2.gz ARVNPOOSOUHPED-UHFFFAOYSA-N -1 1 304.353 1.411 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)c2ccccc2N)cc1F ZINC001193931503 746225423 /nfs/dbraw/zinc/22/54/23/746225423.db2.gz AVBGQKKMXIHEOU-UHFFFAOYSA-N -1 1 323.349 1.568 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2c(Cl)cnnc2Cl)[n-]n1 ZINC001194283649 746339444 /nfs/dbraw/zinc/33/94/44/746339444.db2.gz PCMHYMRNPJHOKQ-UHFFFAOYSA-N -1 1 316.104 1.545 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccc(-n3ccnn3)cc2)[n-]n1 ZINC001194289091 746344721 /nfs/dbraw/zinc/34/47/21/746344721.db2.gz WSQCRSKLSZEJSJ-UHFFFAOYSA-N -1 1 312.289 1.029 20 0 DDADMM O=C1CCC(S(=O)(=O)[N-]c2cnn3cccc(F)c23)CC1 ZINC001194770116 746462024 /nfs/dbraw/zinc/46/20/24/746462024.db2.gz TXALHUHGVPQNGF-UHFFFAOYSA-N -1 1 311.338 1.727 20 0 DDADMM COc1nccc(C(=O)NCCCC[P@](=O)([O-])O)c1Cl ZINC001195199295 746547709 /nfs/dbraw/zinc/54/77/09/746547709.db2.gz JSYKHVHXBCYYJU-UHFFFAOYSA-N -1 1 322.685 1.431 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(N2CCC(O)CC2)nc1 ZINC001195455197 746605317 /nfs/dbraw/zinc/60/53/17/746605317.db2.gz TUCUBBJPCXPJRW-UHFFFAOYSA-N -1 1 324.406 1.486 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)c1cc(F)c(CO)c(F)c1 ZINC001195631371 746660602 /nfs/dbraw/zinc/66/06/02/746660602.db2.gz NNMLDRCEHBHMEZ-UHFFFAOYSA-N -1 1 323.232 1.145 20 0 DDADMM CCc1nnc2ccc([N-]S(=O)(=O)c3ccc(O)cc3)cn21 ZINC001195772882 746694573 /nfs/dbraw/zinc/69/45/73/746694573.db2.gz PGJMRTKUZZWXGL-UHFFFAOYSA-N -1 1 318.358 1.798 20 0 DDADMM O=C(Nc1ncnc2sccc21)c1c[nH]c(=S)[n-]c1=O ZINC001196008676 746754238 /nfs/dbraw/zinc/75/42/38/746754238.db2.gz FRVJBRCEYSUKDD-UHFFFAOYSA-N -1 1 305.344 1.728 20 0 DDADMM COc1ccc(CO)c(NC(=O)c2c[nH]c(=S)[n-]c2=O)c1 ZINC001196020846 746759064 /nfs/dbraw/zinc/75/90/64/746759064.db2.gz LBDOWCIGOCRAHD-UHFFFAOYSA-N -1 1 307.331 1.224 20 0 DDADMM O=C(NCc1ccc2n[nH]cc2c1)c1c[nH]c(=S)[n-]c1=O ZINC001196024447 746760858 /nfs/dbraw/zinc/76/08/58/746760858.db2.gz SOLFCGMMXYCBQR-UHFFFAOYSA-N -1 1 301.331 1.277 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc(=O)[nH]c(Cl)c1 ZINC001196187638 746786967 /nfs/dbraw/zinc/78/69/67/746786967.db2.gz VZXIMWSGKQUZAF-UHFFFAOYSA-N -1 1 308.658 1.128 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cccc(Cl)n1 ZINC001196914373 747008864 /nfs/dbraw/zinc/00/88/64/747008864.db2.gz FGHSXUDZOHTZBY-UHFFFAOYSA-N -1 1 306.771 1.820 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cc(OC)ccc1O ZINC001196952553 747018716 /nfs/dbraw/zinc/01/87/16/747018716.db2.gz QHQWLEJHJBKTAG-UHFFFAOYSA-N -1 1 317.363 1.486 20 0 DDADMM O=C1SCC[C@@H]1[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC001197616389 747207535 /nfs/dbraw/zinc/20/75/35/747207535.db2.gz RJUUBJMGQXYEBT-JTQLQIEISA-N -1 1 307.343 1.416 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(NC(=S)Nc3cccc(F)c3)c2[nH]1 ZINC001197661169 747225560 /nfs/dbraw/zinc/22/55/60/747225560.db2.gz VPQUHTQWJNALBN-UHFFFAOYSA-N -1 1 320.309 1.712 20 0 DDADMM Cc1cnc([C@H](C)N2CC(CNC(=O)c3ncccc3[O-])C2)o1 ZINC001031627462 747248002 /nfs/dbraw/zinc/24/80/02/747248002.db2.gz OBXBIZLBZBORJH-NSHDSACASA-N -1 1 316.361 1.506 20 0 DDADMM Cn1nnc2cc([N-]S(=O)(=O)Cc3ccccn3)ccc21 ZINC001197821735 747289470 /nfs/dbraw/zinc/28/94/70/747289470.db2.gz YERKAGYPSJPPAF-UHFFFAOYSA-N -1 1 303.347 1.305 20 0 DDADMM Nc1cn[n-]c(=NNC(=S)NCCc2ccccn2)c1Cl ZINC001116290917 752216489 /nfs/dbraw/zinc/21/64/89/752216489.db2.gz YFIKEXLGDPBXPY-UHFFFAOYSA-N -1 1 323.813 1.141 20 0 DDADMM CCCCC[C@](C)(CC)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001152741257 748189755 /nfs/dbraw/zinc/18/97/55/748189755.db2.gz WMTVCHAXLXFDHZ-HNNXBMFYSA-N -1 1 311.430 1.713 20 0 DDADMM CC(=O)N[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC[C@@H]1C ZINC001004294754 748267776 /nfs/dbraw/zinc/26/77/76/748267776.db2.gz WPXKNPXJNRKRJY-TVQRCGJNSA-N -1 1 318.377 1.046 20 0 DDADMM O=C(C1CC1)N1CCC([C@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001004319202 748305818 /nfs/dbraw/zinc/30/58/18/748305818.db2.gz OXSAIYUBKIEIBF-CYBMUJFWSA-N -1 1 319.409 1.123 20 0 DDADMM CCCC[C@@H](CO)[N-]S(=O)(=O)c1sc(Cl)nc1C ZINC001201766878 748598907 /nfs/dbraw/zinc/59/89/07/748598907.db2.gz LBMDUKJUXOAMMM-QMMMGPOBSA-N -1 1 312.844 1.934 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC2(CC1)OCCO2 ZINC000864763163 749140475 /nfs/dbraw/zinc/14/04/75/749140475.db2.gz DOEMKKUPGLBFHF-UHFFFAOYSA-N -1 1 311.765 1.954 20 0 DDADMM CCC(CC)C(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202522886 749168748 /nfs/dbraw/zinc/16/87/48/749168748.db2.gz RHZIHJSXHZUPQD-VXGBXAGGSA-N -1 1 321.425 1.464 20 0 DDADMM COc1cc(C)nc(NC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108323322 761933470 /nfs/dbraw/zinc/93/34/70/761933470.db2.gz LPEBPKSBILQVPN-JTQLQIEISA-N -1 1 317.349 1.125 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996141178 749392960 /nfs/dbraw/zinc/39/29/60/749392960.db2.gz DXPOUUVKFVUBGR-PPCPHDFISA-N -1 1 315.373 1.305 20 0 DDADMM CC1(C)CN(C(=O)[C@H]2CC23CCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996360875 749536772 /nfs/dbraw/zinc/53/67/72/749536772.db2.gz UACUQOKVOFKZDW-MNOVXSKESA-N -1 1 319.409 1.027 20 0 DDADMM CSc1ccnc(NC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001107692053 750373680 /nfs/dbraw/zinc/37/36/80/750373680.db2.gz SEAZPKLHDFNYPN-SECBINFHSA-N -1 1 319.390 1.530 20 0 DDADMM CCc1cc(C)nc(NC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001107689919 750383591 /nfs/dbraw/zinc/38/35/91/750383591.db2.gz BEICFUHUICLZNC-LLVKDONJSA-N -1 1 315.377 1.678 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])Nc1cnc(F)cn1 ZINC001115719885 750942563 /nfs/dbraw/zinc/94/25/63/750942563.db2.gz XKTJSQUDBGSHGM-SECBINFHSA-N -1 1 305.313 1.289 20 0 DDADMM O=C(C(F)=C1CCCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998905215 752354136 /nfs/dbraw/zinc/35/41/36/752354136.db2.gz VMOQAAUFPQEJNH-NSHDSACASA-N -1 1 323.372 1.389 20 0 DDADMM Cc1nnc(CCC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)o1 ZINC001168922654 762127177 /nfs/dbraw/zinc/12/71/77/762127177.db2.gz QUKFVHYLBZSUDN-UHFFFAOYSA-N -1 1 313.317 1.841 20 0 DDADMM CC[C@H](F)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008063194 752528128 /nfs/dbraw/zinc/52/81/28/752528128.db2.gz JTIRIVWBCDAAFC-CMPLNLGQSA-N -1 1 323.368 1.502 20 0 DDADMM CC1(C)CN(C(=O)C(F)F)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001008073824 752534127 /nfs/dbraw/zinc/53/41/27/752534127.db2.gz CJYDYPLLKDCWAA-SECBINFHSA-N -1 1 313.304 1.019 20 0 DDADMM CCC(=O)NCC1(NC(=O)c2cnc(C3CC3)[n-]c2=O)CCC1 ZINC001062366618 752911605 /nfs/dbraw/zinc/91/16/05/752911605.db2.gz DHIMXWCOIGBGSE-UHFFFAOYSA-N -1 1 318.377 1.238 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062434466 752987759 /nfs/dbraw/zinc/98/77/59/752987759.db2.gz ARQCRGQDNXZYEY-GHMZBOCLSA-N -1 1 303.362 1.212 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC000999963475 753280317 /nfs/dbraw/zinc/28/03/17/753280317.db2.gz FFYSELUJLHXJPS-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM CC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001009827180 753395156 /nfs/dbraw/zinc/39/51/56/753395156.db2.gz COEHHEADQUQXKN-YNEHKIRRSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C1CCC1 ZINC001009909763 753459608 /nfs/dbraw/zinc/45/96/08/753459608.db2.gz KXUBFEFPOGNSFR-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cnc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)s1 ZINC001010731600 754128827 /nfs/dbraw/zinc/12/88/27/754128827.db2.gz KLWTXHSHXBPEAH-LLVKDONJSA-N -1 1 318.402 1.556 20 0 DDADMM Cc1nsc(NC[C@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC001063474400 754170663 /nfs/dbraw/zinc/17/06/63/754170663.db2.gz DMZZGHGSGRLIFW-SNVBAGLBSA-N -1 1 319.390 1.664 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@@H]2CC[C@@H](F)C2)CC1 ZINC001011035283 754318335 /nfs/dbraw/zinc/31/83/35/754318335.db2.gz BPKDBZQWYKSUKE-VXGBXAGGSA-N -1 1 321.352 1.210 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036347 754319148 /nfs/dbraw/zinc/31/91/48/754319148.db2.gz JBBBRJRWXXXBPR-GFCCVEGCSA-N -1 1 309.341 1.066 20 0 DDADMM CC(=O)N1C[C@@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@@H]1C ZINC001012118091 754860065 /nfs/dbraw/zinc/86/00/65/754860065.db2.gz UUHTXCRIMKMECH-CABZTGNLSA-N -1 1 320.393 1.353 20 0 DDADMM CC[C@@H](C)CC(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC001079653186 755503709 /nfs/dbraw/zinc/50/37/09/755503709.db2.gz WOGLUFGMPLUWGS-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM CCC(=O)N1CC[C@@]2(NC(=O)c3ncccc3[O-])CCC[C@@H]12 ZINC001014261462 755665216 /nfs/dbraw/zinc/66/52/16/755665216.db2.gz WNDHFTJEULMRCS-WBMJQRKESA-N -1 1 303.362 1.451 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C1CCC1 ZINC001014896894 756005339 /nfs/dbraw/zinc/00/53/39/756005339.db2.gz YNEXZSXMTBABPU-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H](C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001016366852 756959651 /nfs/dbraw/zinc/95/96/51/756959651.db2.gz DEHCCQRPZDIRMV-PKSQDBQZSA-N -1 1 317.389 1.553 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])CC[C@H]1C ZINC001018097276 758544498 /nfs/dbraw/zinc/54/44/98/758544498.db2.gz DDDKPSWGDFRYRZ-ZYFYVMIWSA-N -1 1 317.389 1.863 20 0 DDADMM C[C@@H]1CN(C(=O)C2CCCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054345182 759520152 /nfs/dbraw/zinc/52/01/52/759520152.db2.gz LWBHESNTKKCRSD-ZYHUDNBSSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1csc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)c1 ZINC001054641448 759935514 /nfs/dbraw/zinc/93/55/14/759935514.db2.gz GILTZAWHXNLZJE-UWVGGRQHSA-N -1 1 321.406 1.131 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNc1ncccn1 ZINC001054677440 759974431 /nfs/dbraw/zinc/97/44/31/759974431.db2.gz MKWPPVIQNUHQGE-VXGBXAGGSA-N -1 1 313.361 1.397 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(C)c1 ZINC001019726940 760209093 /nfs/dbraw/zinc/20/90/93/760209093.db2.gz BIGYNIQWQJTDCA-LBPRGKRZSA-N -1 1 315.377 1.131 20 0 DDADMM C[C@@H]1CN(C(=O)CC2CC(C)(C)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001055001006 760278282 /nfs/dbraw/zinc/27/82/82/760278282.db2.gz BVYVKJRDLUMSQB-ZYHUDNBSSA-N -1 1 321.425 1.273 20 0 DDADMM CC1CCC(C)(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001019848514 760293944 /nfs/dbraw/zinc/29/39/44/760293944.db2.gz LWIMBJPCWURMMI-BGMSHATGSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H]1[C@@H](NC(=O)[C@H]2CC23CC3)CCN1C(=O)c1ncccc1[O-] ZINC001020206813 760680140 /nfs/dbraw/zinc/68/01/40/760680140.db2.gz VJTUFKUSDUPCPE-TUAOUCFPSA-N -1 1 315.373 1.307 20 0 DDADMM Cc1n[nH]c(C(=O)NC2(C3CCN(CCF)CC3)CC2)c1[O-] ZINC001000123331 761523516 /nfs/dbraw/zinc/52/35/16/761523516.db2.gz LQCCYDXBSKEPAI-UHFFFAOYSA-N -1 1 310.373 1.368 20 0 DDADMM O=C(N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)[C@@]12C[C@@H]1CCCC2 ZINC001000132263 761529738 /nfs/dbraw/zinc/52/97/38/761529738.db2.gz WTUKOOMDNDRYFA-MKBNYLNASA-N -1 1 319.409 1.171 20 0 DDADMM O=C(NCC1CN(C(=O)[C@@H]2CC=CCC2)C1)c1ncccc1[O-] ZINC001001536236 762943270 /nfs/dbraw/zinc/94/32/70/762943270.db2.gz HAVCVMMXUXDXCF-CYBMUJFWSA-N -1 1 315.373 1.332 20 0 DDADMM Cc1ccoc1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001535642 762943555 /nfs/dbraw/zinc/94/35/55/762943555.db2.gz ANJSEBCHVWFEQG-UHFFFAOYSA-N -1 1 315.329 1.191 20 0 DDADMM O=C(NCC1CN(C(=O)C2=CCCC2)C1)c1ncccc1[O-] ZINC001001537496 762944008 /nfs/dbraw/zinc/94/40/08/762944008.db2.gz PBKNECDRAYFIJG-UHFFFAOYSA-N -1 1 301.346 1.086 20 0 DDADMM Cc1nsc(N2C[C@@H](C)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001041875626 763434993 /nfs/dbraw/zinc/43/49/93/763434993.db2.gz LMXYCJGOFLFRBR-PSASIEDQSA-N -1 1 319.390 1.202 20 0 DDADMM Cc1cccnc1CN1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042643175 764305900 /nfs/dbraw/zinc/30/59/00/764305900.db2.gz WXMVSOBPQSYASQ-UHFFFAOYSA-N -1 1 312.373 1.447 20 0 DDADMM O=C(c1ccccc1F)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044902106 765895753 /nfs/dbraw/zinc/89/57/53/765895753.db2.gz DJLRKESLRKOHIK-JTQLQIEISA-N -1 1 319.340 1.044 20 0 DDADMM CC1(CC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001045025212 766043841 /nfs/dbraw/zinc/04/38/41/766043841.db2.gz YVYQQGVOEJFZFX-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM CCOCc1cncc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001170217320 766176297 /nfs/dbraw/zinc/17/62/97/766176297.db2.gz BTNIIFPGWCRFLY-UHFFFAOYSA-N -1 1 302.294 1.391 20 0 DDADMM COC(=O)c1ncc(Cl)nc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170222498 766196024 /nfs/dbraw/zinc/19/60/24/766196024.db2.gz FHIFVPLIHSFJGE-UHFFFAOYSA-N -1 1 321.684 1.032 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccc(=O)n(-c3ccncc3)c2)[n-]1 ZINC001170228863 766202799 /nfs/dbraw/zinc/20/27/99/766202799.db2.gz LVBOWZDOKBGWMB-UHFFFAOYSA-N -1 1 321.300 1.348 20 0 DDADMM CSc1nc(CNC(=O)c2cnc3[nH]ccc3c2)cc(=O)[n-]1 ZINC001143620447 766306370 /nfs/dbraw/zinc/30/63/70/766306370.db2.gz SUEDSYNVWJKEIO-UHFFFAOYSA-N -1 1 315.358 1.662 20 0 DDADMM Cc1nn(-c2ccccc2)c(Cl)c1C(=O)N(C)c1nn[n-]n1 ZINC001130968633 767822561 /nfs/dbraw/zinc/82/25/61/767822561.db2.gz BVORUOWMTWZWEM-UHFFFAOYSA-N -1 1 317.740 1.624 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CC[C@@H](NCc3ccon3)C2)c1[O-] ZINC001086674691 768403346 /nfs/dbraw/zinc/40/33/46/768403346.db2.gz QTTBSNVNFSJJFN-WDEREUQCSA-N -1 1 319.365 1.100 20 0 DDADMM O=C(CCCF)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001071099432 768946903 /nfs/dbraw/zinc/94/69/03/768946903.db2.gz MXIKRSFDKCBINE-LLVKDONJSA-N -1 1 309.341 1.258 20 0 DDADMM CC/C(C)=C/C(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001072031916 770452728 /nfs/dbraw/zinc/45/27/28/770452728.db2.gz MJRNGUCZSMVSSN-UAIPSJAGSA-N -1 1 317.389 1.720 20 0 DDADMM O=C([N-]c1nnc(-c2c(F)cccc2F)s1)c1nc[nH]n1 ZINC001170713346 770493400 /nfs/dbraw/zinc/49/34/00/770493400.db2.gz UFCZCBVRACOJCE-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM Cc1cnc(CN[C@H](C)C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001134094965 770648614 /nfs/dbraw/zinc/64/86/14/770648614.db2.gz NRNMBXBQVHFVCV-RKDXNWHRSA-N -1 1 321.381 1.407 20 0 DDADMM NC(=O)[C@H]1Cc2ccccc2N(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC001143765835 772302061 /nfs/dbraw/zinc/30/20/61/772302061.db2.gz YHILMWLUWNVHMK-ZDUSSCGKSA-N -1 1 324.336 1.266 20 0 DDADMM [O-]c1cc(F)c(CN2Cc3ccnn3CC[C@H]2CO)c(F)c1 ZINC001144561596 772565241 /nfs/dbraw/zinc/56/52/41/772565241.db2.gz JXYKWZISDOQIAO-NSHDSACASA-N -1 1 309.316 1.634 20 0 DDADMM C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@](C)(O)c1ccccc1 ZINC001144682986 772595756 /nfs/dbraw/zinc/59/57/56/772595756.db2.gz LPLLWTTWTLZQLP-XIKOKIGWSA-N -1 1 313.353 1.987 20 0 DDADMM Cc1ccc2nc(C(=O)Nc3c(N)[nH]c(=O)[n-]c3=O)sc2c1 ZINC001147553707 773166736 /nfs/dbraw/zinc/16/67/36/773166736.db2.gz NKUILECPJOWJQX-UHFFFAOYSA-N -1 1 317.330 1.640 20 0 DDADMM Cn1cc(C(=O)Nc2nnn[n-]2)c(-c2cc(F)ccc2F)n1 ZINC001147757450 773216852 /nfs/dbraw/zinc/21/68/52/773216852.db2.gz IOCRQRBHZWUWJJ-UHFFFAOYSA-N -1 1 305.248 1.131 20 0 DDADMM Cn1cc(C(=O)Nc2nn[n-]n2)c(-c2cc(F)ccc2F)n1 ZINC001147757450 773216859 /nfs/dbraw/zinc/21/68/59/773216859.db2.gz IOCRQRBHZWUWJJ-UHFFFAOYSA-N -1 1 305.248 1.131 20 0 DDADMM COc1ccc(F)c(C(=O)[N-]c2n[nH]c(-c3ccncc3)n2)n1 ZINC001147766108 773220902 /nfs/dbraw/zinc/22/09/02/773220902.db2.gz VVUWAKNYKYMRCS-UHFFFAOYSA-N -1 1 314.280 1.662 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc2ccccc2c1 ZINC001148881636 773637576 /nfs/dbraw/zinc/63/75/76/773637576.db2.gz UOWPTUGNOZCDNF-UHFFFAOYSA-N -1 1 311.345 1.183 20 0 DDADMM O=C(NC[C@@H]1CCOC1)c1cc([O-])c(Br)c(O)c1 ZINC001148990662 773666430 /nfs/dbraw/zinc/66/64/30/773666430.db2.gz XIUYYQJVTYLFKW-ZETCQYMHSA-N -1 1 316.151 1.627 20 0 DDADMM CC(C)CN1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001074219905 773744013 /nfs/dbraw/zinc/74/40/13/773744013.db2.gz YUZAFVJRVZYRLE-UKRRQHHQSA-N -1 1 319.405 1.359 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2cnc3[nH]ccc3c2)ccc1O ZINC001174397763 777464819 /nfs/dbraw/zinc/46/48/19/777464819.db2.gz HIQXWYVWTOSJBD-UHFFFAOYSA-N -1 1 304.331 1.603 20 0 DDADMM CCOC(=O)c1ncn(-c2c(F)cc(F)c([O-])c2F)c1N ZINC001176663649 778202240 /nfs/dbraw/zinc/20/22/40/778202240.db2.gz JUIMCIZDQOGTHC-UHFFFAOYSA-N -1 1 301.224 1.754 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)CCC1CC1 ZINC001102799918 778409865 /nfs/dbraw/zinc/40/98/65/778409865.db2.gz UUCDATAADUDGIT-DGCLKSJQSA-N -1 1 321.425 1.275 20 0 DDADMM C/C=C(C)/C=C/C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC001178511998 779074215 /nfs/dbraw/zinc/07/42/15/779074215.db2.gz CKMKKRHFEZXVOD-TVXUUACXSA-N -1 1 310.375 1.761 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNc1ncncc1Cl ZINC001103917666 779169835 /nfs/dbraw/zinc/16/98/35/779169835.db2.gz UBFSZSHJNYHEMG-VIFPVBQESA-N -1 1 321.768 1.709 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNc1cccc(F)n1 ZINC001103924565 779175859 /nfs/dbraw/zinc/17/58/59/779175859.db2.gz NVFBMYITRGHEEY-SNVBAGLBSA-N -1 1 304.325 1.799 20 0 DDADMM C[C@@H]1CN(C(=O)CCC2CC2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104015577 779246265 /nfs/dbraw/zinc/24/62/65/779246265.db2.gz XFWCJWOIYCNJKQ-DGCLKSJQSA-N -1 1 321.425 1.275 20 0 DDADMM O=C(CCc1nc2ccccc2[nH]1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001180592227 779855596 /nfs/dbraw/zinc/85/55/96/779855596.db2.gz WJHZTBCRDUXNAU-UHFFFAOYSA-N -1 1 323.316 1.506 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CCCCN1Cc1cccnc1 ZINC001116648310 780538370 /nfs/dbraw/zinc/53/83/70/780538370.db2.gz XDMTXFGSLWWZQK-OAHLLOKOSA-N -1 1 307.394 1.520 20 0 DDADMM O=C([O-])Cn1cc(CNCCc2nsc3ccccc32)nn1 ZINC001119538815 781500963 /nfs/dbraw/zinc/50/09/63/781500963.db2.gz DINCIVBZBNIVTC-UHFFFAOYSA-N -1 1 317.374 1.305 20 0 DDADMM O=C([O-])c1cn(CCNCc2ccc(F)c(F)c2F)nn1 ZINC001119550483 781507138 /nfs/dbraw/zinc/50/71/38/781507138.db2.gz HGYVHXZBSGJAMP-UHFFFAOYSA-N -1 1 300.240 1.183 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC[C@@H]2CCCOC2)sn1 ZINC001414307917 844158526 /nfs/dbraw/zinc/15/85/26/844158526.db2.gz HZWQVKQTSMADGO-VIFPVBQESA-N -1 1 306.409 1.247 20 0 DDADMM CCC(CC)CC(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001408554351 837243282 /nfs/dbraw/zinc/24/32/82/837243282.db2.gz LITCBIVCEWJJLH-UHFFFAOYSA-N -1 1 307.394 1.802 20 0 DDADMM C[C@@H](C(=O)NCCN(C)C(=O)c1ncccc1[O-])C(C)(F)F ZINC001408694357 838482562 /nfs/dbraw/zinc/48/25/62/838482562.db2.gz IVBUHBAVPMQSRL-VIFPVBQESA-N -1 1 315.320 1.267 20 0 DDADMM CN1CC2(CCC1=O)CCN(C(=O)c1ncccc1[O-])CC2 ZINC001268845359 840894173 /nfs/dbraw/zinc/89/41/73/840894173.db2.gz KYFCEHNRZHKTMB-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM CC(C)NC(=O)N1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1[O-])C2 ZINC001270258407 842433347 /nfs/dbraw/zinc/43/33/47/842433347.db2.gz RRYHEGGKLCZEAQ-NEPJUHHUSA-N -1 1 318.377 1.052 20 0 DDADMM CCOC(=O)C(C)(C)CC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363542223 842518885 /nfs/dbraw/zinc/51/88/85/842518885.db2.gz DZVJRYYKVUWNLH-UHFFFAOYSA-N -1 1 311.386 1.164 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C2CC2)C2CCC2)[n-]n1 ZINC001414277355 842797971 /nfs/dbraw/zinc/79/79/71/842797971.db2.gz YLYDLNJPHJYJIR-GFCCVEGCSA-N -1 1 313.379 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C2CC2)C2CCC2)n[n-]1 ZINC001414277355 842797977 /nfs/dbraw/zinc/79/79/77/842797977.db2.gz YLYDLNJPHJYJIR-GFCCVEGCSA-N -1 1 313.379 1.053 20 0 DDADMM CC[C@@H](C)[C@@H](C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001409044854 843308059 /nfs/dbraw/zinc/30/80/59/843308059.db2.gz NRKBWOKEJMBJOO-GHMZBOCLSA-N -1 1 305.378 1.410 20 0 DDADMM COC(=O)[C@H]1C[C@@H](Oc2[n-]c(=O)ncc2Br)C1 ZINC001227133843 843358305 /nfs/dbraw/zinc/35/83/05/843358305.db2.gz PIVSCLXPNCYLGY-OLQVQODUSA-N -1 1 303.112 1.275 20 0 DDADMM CN1C[C@]2(CC1=O)CCCCN2C(=O)c1cc([O-])cc(F)c1 ZINC001271563433 843700719 /nfs/dbraw/zinc/70/07/19/843700719.db2.gz XPBZIBGUXFDDCH-MRXNPFEDSA-N -1 1 306.337 1.758 20 0 DDADMM CN1CC[C@]2(CCCN2C(=O)c2c([O-])cccc2Cl)C1=O ZINC001271647072 843752357 /nfs/dbraw/zinc/75/23/57/843752357.db2.gz GNPGXANXUSQPBN-OAHLLOKOSA-N -1 1 308.765 1.883 20 0 DDADMM C=C/C(C)=C/CC(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001280461350 844767370 /nfs/dbraw/zinc/76/73/70/844767370.db2.gz YVQQLSVRSBEFGO-YQCJOKCJSA-N -1 1 317.389 1.886 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1OCC[P@](=O)([O-])O ZINC001224606266 844921745 /nfs/dbraw/zinc/92/17/45/844921745.db2.gz NLYZVNUHUQUQSA-NXEZZACHSA-N -1 1 309.299 1.436 20 0 DDADMM CC[C@H](CNC(=O)c1nocc1C)NC(=O)c1ncccc1[O-] ZINC001409410317 845058256 /nfs/dbraw/zinc/05/82/56/845058256.db2.gz NNWICBPNFCMVTE-SNVBAGLBSA-N -1 1 318.333 1.022 20 0 DDADMM CCC(=O)N1CC[C@H](NC(=O)c2ccc3cccnc3c2[O-])C1 ZINC001149328870 861550730 /nfs/dbraw/zinc/55/07/30/861550730.db2.gz MPKYTKNJLOWXTQ-LBPRGKRZSA-N -1 1 313.357 1.681 20 0 DDADMM CC(C)C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CCC1 ZINC001409758341 845670101 /nfs/dbraw/zinc/67/01/01/845670101.db2.gz AFMALTHBRVBGBY-ZDUSSCGKSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1cc2c(c(NCc3cnc4cccc(C)n34)n1)C(=O)[N-]C2=O ZINC001154948937 861594957 /nfs/dbraw/zinc/59/49/57/861594957.db2.gz NRUJTNKFSTUHCZ-UHFFFAOYSA-N -1 1 321.340 1.842 20 0 DDADMM CN(C(=O)c1cccs1)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001410278426 848999981 /nfs/dbraw/zinc/99/99/81/848999981.db2.gz GGESVYCTFZKRHQ-SNVBAGLBSA-N -1 1 321.406 1.212 20 0 DDADMM C[C@H](CN(C)C(=O)CC1CCCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001410456682 849308970 /nfs/dbraw/zinc/30/89/70/849308970.db2.gz VQTFHBNPHHJDQU-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM CC(C)N(C)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001434581705 849415366 /nfs/dbraw/zinc/41/53/66/849415366.db2.gz YNKLCAWPOPIOAF-UWVGGRQHSA-N -1 1 309.332 1.978 20 0 DDADMM O=C1COC2(CN(Cc3ncccc3[O-])C2)CN1C1CCCC1 ZINC001273325992 849536945 /nfs/dbraw/zinc/53/69/45/849536945.db2.gz UZCYSTISIOBNMN-UHFFFAOYSA-N -1 1 317.389 1.143 20 0 DDADMM CC(F)(F)CC(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001410640196 849581111 /nfs/dbraw/zinc/58/11/11/849581111.db2.gz NAYWZRFAHLQHMZ-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)CC(F)(F)F ZINC001411344875 850884641 /nfs/dbraw/zinc/88/46/41/850884641.db2.gz DNAAIJUFVUHTCZ-JGVFFNPUSA-N -1 1 319.283 1.363 20 0 DDADMM Cc1ccc2ccc(C(=O)NCCS(C)(=O)=O)c([O-])c2n1 ZINC001155652501 862346575 /nfs/dbraw/zinc/34/65/75/862346575.db2.gz WDIXPPROVVQPSW-UHFFFAOYSA-N -1 1 308.359 1.023 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)C1(C)CCC1 ZINC001411390327 853021277 /nfs/dbraw/zinc/02/12/77/853021277.db2.gz FYPYCJLJEGUAFB-QWRGUYRKSA-N -1 1 305.378 1.600 20 0 DDADMM CSc1ncc(C(=O)N(C)c2nn[n-]n2)n1-c1ccccc1 ZINC001279179629 853064366 /nfs/dbraw/zinc/06/43/66/853064366.db2.gz JMYKCFFVXHEVAP-UHFFFAOYSA-N -1 1 315.362 1.384 20 0 DDADMM CN(C)C(=O)c1n[nH]c2c1CN(C(=O)c1ccc(F)c([O-])c1)C2 ZINC001275407936 853078723 /nfs/dbraw/zinc/07/87/23/853078723.db2.gz KPBCCZTUSRIDCN-UHFFFAOYSA-N -1 1 318.308 1.112 20 0 DDADMM C[S@@](=O)C[C@@H](NC(=O)c1ccc([O-])cn1)c1ccccc1 ZINC001411793431 853746272 /nfs/dbraw/zinc/74/62/72/853746272.db2.gz IUSUKUWTBOQJRH-SPLOXXLWSA-N -1 1 304.371 1.637 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@@H](NC(=O)c2cccc([O-])c2F)C1 ZINC001411797676 853751763 /nfs/dbraw/zinc/75/17/63/853751763.db2.gz GMDSUWLFYCGSEE-NXEZZACHSA-N -1 1 315.366 1.617 20 0 DDADMM CCS(=O)(=O)C[C@H](C)N(C)C(=O)c1cccc([O-])c1F ZINC001411797368 853752008 /nfs/dbraw/zinc/75/20/08/853752008.db2.gz BIASMDWBNVNRMS-VIFPVBQESA-N -1 1 303.355 1.427 20 0 DDADMM O=C(NC[C@H](O)COc1ccc(F)cc1)c1ccc([O-])cn1 ZINC001411902940 853950779 /nfs/dbraw/zinc/95/07/79/853950779.db2.gz WNYOQQJSJTVSKG-LBPRGKRZSA-N -1 1 306.293 1.096 20 0 DDADMM NC(=O)c1[nH]nc2c1CCN(C(=O)c1ccc(Cl)cc1[O-])C2 ZINC001275934326 853979068 /nfs/dbraw/zinc/97/90/68/853979068.db2.gz LRQJRWHBEUXOBC-UHFFFAOYSA-N -1 1 320.736 1.066 20 0 DDADMM CC(C)(C)c1nnc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC001411941584 854005180 /nfs/dbraw/zinc/00/51/80/854005180.db2.gz ZMAYGTBAXXWGNK-QMMMGPOBSA-N -1 1 321.410 1.369 20 0 DDADMM CSc1ncc(C(=O)N2CCOCC23CCCC3)c(=O)[n-]1 ZINC001411992091 854083613 /nfs/dbraw/zinc/08/36/13/854083613.db2.gz DWPJQQIRVONHIX-UHFFFAOYSA-N -1 1 309.391 1.689 20 0 DDADMM CCCCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001412024483 854121311 /nfs/dbraw/zinc/12/13/11/854121311.db2.gz JBMSBAXKVVVXRH-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM CC(=O)N[C@H](C)CCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001280778782 854189585 /nfs/dbraw/zinc/18/95/85/854189585.db2.gz FVDHKQKLFWCWRJ-SECBINFHSA-N -1 1 306.366 1.094 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H]1C[C@H]1C(C)C ZINC001412584498 854755375 /nfs/dbraw/zinc/75/53/75/854755375.db2.gz HXJKRTANFQURTM-SRVKXCTJSA-N -1 1 320.393 1.937 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H]1C[C@H]1C(C)C ZINC001412584498 854755380 /nfs/dbraw/zinc/75/53/80/854755380.db2.gz HXJKRTANFQURTM-SRVKXCTJSA-N -1 1 320.393 1.937 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H]1C[C@H]1C(C)C ZINC001412584498 854755382 /nfs/dbraw/zinc/75/53/82/854755382.db2.gz HXJKRTANFQURTM-SRVKXCTJSA-N -1 1 320.393 1.937 20 0 DDADMM CN(C)C(=O)c1n[nH]c2c1CN(C(=O)c1cc([O-])cc(F)c1)C2 ZINC001276183870 854921477 /nfs/dbraw/zinc/92/14/77/854921477.db2.gz VMWGIRFRPUVPCH-UHFFFAOYSA-N -1 1 318.308 1.112 20 0 DDADMM CCOC(=O)c1n[nH]c(NC(=O)c2ccc(OC)cc2[O-])c1C ZINC001412691629 854967083 /nfs/dbraw/zinc/96/70/83/854967083.db2.gz SJNPBTSLFCQMKG-UHFFFAOYSA-N -1 1 319.317 1.861 20 0 DDADMM O=C(N[C@@H](CO)[C@H]1CCOC1)c1c([O-])cnc2c(F)cccc21 ZINC001412790080 855271094 /nfs/dbraw/zinc/27/10/94/855271094.db2.gz WDAXGTFRWJESTP-CABZTGNLSA-N -1 1 320.320 1.207 20 0 DDADMM NC(=O)[C@H]1CCC[C@@]12CCN(C(=O)c1ccc(F)c(=O)[n-]1)C2 ZINC001276302910 855498919 /nfs/dbraw/zinc/49/89/19/855498919.db2.gz KXEZOFUXFAWJBT-PSLIRLAXSA-N -1 1 307.325 1.044 20 0 DDADMM CCOC(=O)[C@H]1CCCN1C(=O)c1cnc(SC)[n-]c1=O ZINC001412847981 855722422 /nfs/dbraw/zinc/72/24/22/855722422.db2.gz GLYHDSWANAYUJH-SECBINFHSA-N -1 1 311.363 1.072 20 0 DDADMM Cc1ccc(F)cc1NC(=O)CCN(C)Cc1n[n-]c(=O)o1 ZINC001413008707 855921468 /nfs/dbraw/zinc/92/14/68/855921468.db2.gz DFGXBPDSCCAMPM-UHFFFAOYSA-N -1 1 308.313 1.683 20 0 DDADMM CC(C)(CO)[C@H](NC(=O)CCCc1nn[n-]n1)c1cccs1 ZINC001413178117 856517157 /nfs/dbraw/zinc/51/71/57/856517157.db2.gz FCLOFKQVFXFFGZ-CYBMUJFWSA-N -1 1 323.422 1.460 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C3CC3)no2)cc(=O)[n-]1 ZINC001413199185 856540828 /nfs/dbraw/zinc/54/08/28/856540828.db2.gz KTUASYMTJOGFEL-UHFFFAOYSA-N -1 1 306.347 1.700 20 0 DDADMM CC(C)[C@H](O)CC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC001413295608 856618462 /nfs/dbraw/zinc/61/84/62/856618462.db2.gz MIEQREFVOUVAPQ-SSDOTTSWSA-N -1 1 315.317 1.114 20 0 DDADMM CCOc1ccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)cn1 ZINC001413300386 856622290 /nfs/dbraw/zinc/62/22/90/856622290.db2.gz QHESYHKZYGTONP-JTQLQIEISA-N -1 1 322.394 1.218 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC[C@@H]1CCCCO1 ZINC001413321185 856649809 /nfs/dbraw/zinc/64/98/09/856649809.db2.gz MAZUUYWALWFMPI-VIFPVBQESA-N -1 1 306.409 1.389 20 0 DDADMM CCO[C@@H](C(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccccc1 ZINC001413342518 856690609 /nfs/dbraw/zinc/69/06/09/856690609.db2.gz NGXDYDWBZFSAEM-CQSZACIVSA-N -1 1 303.318 1.282 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](CO)CCC(C)(C)C)sn1 ZINC001413352501 856705940 /nfs/dbraw/zinc/70/59/40/856705940.db2.gz JSGYETVOTDQNBC-VIFPVBQESA-N -1 1 322.452 1.617 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001413467180 856851433 /nfs/dbraw/zinc/85/14/33/856851433.db2.gz CYRFQRKBFADZSS-GMXVVIOVSA-N -1 1 319.405 1.704 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1ccc(C)o1 ZINC001413546840 857045257 /nfs/dbraw/zinc/04/52/57/857045257.db2.gz VLZONUGIHLNXGZ-SNVBAGLBSA-N -1 1 318.333 1.860 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1ccc(C)o1 ZINC001413546840 857045267 /nfs/dbraw/zinc/04/52/67/857045267.db2.gz VLZONUGIHLNXGZ-SNVBAGLBSA-N -1 1 318.333 1.860 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1ccc(C)o1 ZINC001413546840 857045278 /nfs/dbraw/zinc/04/52/78/857045278.db2.gz VLZONUGIHLNXGZ-SNVBAGLBSA-N -1 1 318.333 1.860 20 0 DDADMM CC[C@H](CC(=O)N[C@@H](COC)c1nn[n-]n1)c1ccccc1 ZINC001413551137 857053408 /nfs/dbraw/zinc/05/34/08/857053408.db2.gz GUTFCWMOIQVGQI-YPMHNXCESA-N -1 1 303.366 1.587 20 0 DDADMM CNc1cc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)ccn1 ZINC001413564656 857078198 /nfs/dbraw/zinc/07/81/98/857078198.db2.gz JGEWQRAFBYITTJ-UHFFFAOYSA-N -1 1 311.349 1.100 20 0 DDADMM O=C(NCC1(C2(O)CCOCC2)CC1)c1ccc(F)c([O-])c1 ZINC001413609442 857229857 /nfs/dbraw/zinc/22/98/57/857229857.db2.gz NIIDKHDRHZICND-UHFFFAOYSA-N -1 1 309.337 1.583 20 0 DDADMM CCOC(=O)c1nc[nH]c1CNC(=O)c1cc(Cl)ccc1[O-] ZINC001413701403 857371997 /nfs/dbraw/zinc/37/19/97/857371997.db2.gz CXLXTQJBTONPEB-UHFFFAOYSA-N -1 1 323.736 1.875 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3ncsc3n2)n1 ZINC001156290485 862912320 /nfs/dbraw/zinc/91/23/20/862912320.db2.gz GDKJQPKESIWTKL-UHFFFAOYSA-N -1 1 317.330 1.843 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cc2ccccc2cn1 ZINC001151699051 862921898 /nfs/dbraw/zinc/92/18/98/862921898.db2.gz HRUPQSBLQAEOEF-UHFFFAOYSA-N -1 1 322.284 1.516 20 0 DDADMM C/C=C(\C)C(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072969276 857947586 /nfs/dbraw/zinc/94/75/86/857947586.db2.gz TZYUPJAZPULEHF-UUILKARUSA-N -1 1 317.389 1.864 20 0 DDADMM Cn1cnnc1[N-]C(=O)c1nc(Br)sc1Cl ZINC001138135578 860013868 /nfs/dbraw/zinc/01/38/68/860013868.db2.gz XKRNMUHKIGMVCN-UHFFFAOYSA-N -1 1 322.575 1.940 20 0 DDADMM CC(C)NC(=O)c1noc2c1CN(Cc1ncccc1[O-])CC2 ZINC001138392712 860088878 /nfs/dbraw/zinc/08/88/78/860088878.db2.gz DLZKFHBUYRTRDF-UHFFFAOYSA-N -1 1 316.361 1.472 20 0 DDADMM O=C([O-])COc1ccc(CN2CCC(F)(F)[C@H](CO)C2)cc1 ZINC001138923812 860229252 /nfs/dbraw/zinc/22/92/52/860229252.db2.gz ILWNPNHLADZRJR-LBPRGKRZSA-N -1 1 315.316 1.600 20 0 DDADMM COc1cccc(C[N@H+]2CCCO[C@@H](C)C2)c1OCC(=O)[O-] ZINC001139267982 860342492 /nfs/dbraw/zinc/34/24/92/860342492.db2.gz JMNWFSRQSPBMRI-LBPRGKRZSA-N -1 1 309.362 1.769 20 0 DDADMM COc1cccc(CN2CCCO[C@@H](C)C2)c1OCC(=O)[O-] ZINC001139267982 860342504 /nfs/dbraw/zinc/34/25/04/860342504.db2.gz JMNWFSRQSPBMRI-LBPRGKRZSA-N -1 1 309.362 1.769 20 0 DDADMM COc1cccc(C[N@H+]2CCOC[C@H](C)C2)c1OCC(=O)[O-] ZINC001139268641 860343061 /nfs/dbraw/zinc/34/30/61/860343061.db2.gz OYXLXVIAOKWFMZ-GFCCVEGCSA-N -1 1 309.362 1.627 20 0 DDADMM COc1cccc(CN2CCOC[C@H](C)C2)c1OCC(=O)[O-] ZINC001139268641 860343066 /nfs/dbraw/zinc/34/30/66/860343066.db2.gz OYXLXVIAOKWFMZ-GFCCVEGCSA-N -1 1 309.362 1.627 20 0 DDADMM COc1cccc(CN2CC[C@](C)(CO)C2)c1OCC(=O)[O-] ZINC001139268951 860345206 /nfs/dbraw/zinc/34/52/06/860345206.db2.gz VTCXPWGWBUPRSH-INIZCTEOSA-N -1 1 309.362 1.363 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C3CC3)n(CC)n2)n1 ZINC001361382451 881537553 /nfs/dbraw/zinc/53/75/53/881537553.db2.gz BCRWWHDGUSKJIT-UHFFFAOYSA-N -1 1 317.349 1.933 20 0 DDADMM CCC(CC)(NC(=O)c1cc(C)cc2c[nH]nc21)c1nn[n-]n1 ZINC001140064411 860567887 /nfs/dbraw/zinc/56/78/87/860567887.db2.gz PBBJRLYSWAGGCK-UHFFFAOYSA-N -1 1 313.365 1.830 20 0 DDADMM C[C@H](CC(N)=O)NC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC001140901711 860729366 /nfs/dbraw/zinc/72/93/66/860729366.db2.gz SZUNLMGKEVAQBH-SECBINFHSA-N -1 1 316.313 1.458 20 0 DDADMM O=C(Nc1ccc(Br)cc1O)c1cnncc1[O-] ZINC001141625375 860900226 /nfs/dbraw/zinc/90/02/26/860900226.db2.gz JFXAREWIGYXAHD-UHFFFAOYSA-N -1 1 310.107 1.903 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cn(-c2cc(C)cc(F)c2)nn1 ZINC001141578642 860892015 /nfs/dbraw/zinc/89/20/15/860892015.db2.gz VXFLFJBZVHXALS-UHFFFAOYSA-N -1 1 316.296 1.704 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)CCC(=O)c1cccs1 ZINC001142096616 861016332 /nfs/dbraw/zinc/01/63/32/861016332.db2.gz UMNHQWCSTURYBP-UHFFFAOYSA-N -1 1 308.319 1.133 20 0 DDADMM CCc1ncoc1C(=O)Nc1c(C(=O)OC)c[n-]c1C(=O)OC ZINC001361416371 881609699 /nfs/dbraw/zinc/60/96/99/881609699.db2.gz QYAMHJYOJMTYAG-UHFFFAOYSA-N -1 1 321.289 1.391 20 0 DDADMM CCS(=O)(=O)CCCNC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001142234407 861081808 /nfs/dbraw/zinc/08/18/08/861081808.db2.gz XTQCMMBDDWJNKF-UHFFFAOYSA-N -1 1 313.375 1.068 20 0 DDADMM Cc1cc(CCC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)ccn1 ZINC001142247869 861087971 /nfs/dbraw/zinc/08/79/71/861087971.db2.gz FBNVYHHXRTUHQU-UHFFFAOYSA-N -1 1 303.278 1.560 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(=O)c3cccc(O)c3[n-]2)CCCO1 ZINC001152668936 863483675 /nfs/dbraw/zinc/48/36/75/863483675.db2.gz BBAIVEDXQLJWKT-JTQLQIEISA-N -1 1 302.330 1.897 20 0 DDADMM CSc1nc(NC(=O)c2cc3cncnc3[nH]2)cc(=O)[n-]1 ZINC001152986238 863636174 /nfs/dbraw/zinc/63/61/74/863636174.db2.gz OMZSMCYHXIINCQ-UHFFFAOYSA-N -1 1 302.319 1.379 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc3ccncc3cn2)n1 ZINC001153063967 863687651 /nfs/dbraw/zinc/68/76/51/863687651.db2.gz JXPWFKUPTYNOSS-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM Cc1nc(NC(=N)SCCS(=O)(=O)[O-])cc2[nH]ccc21 ZINC001157326178 863741531 /nfs/dbraw/zinc/74/15/31/863741531.db2.gz JXPQIYMHKDWOHD-UHFFFAOYSA-N -1 1 314.392 1.839 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2ccoc2cn1 ZINC001153304036 863814451 /nfs/dbraw/zinc/81/44/51/863814451.db2.gz UEPHSSJCZDGCAG-UHFFFAOYSA-N -1 1 301.218 1.679 20 0 DDADMM O=S(=O)([N-]CCN1CC=CC1)c1cc(Cl)cnc1Cl ZINC001329763664 863836283 /nfs/dbraw/zinc/83/62/83/863836283.db2.gz XULJPTDYBLMPQL-UHFFFAOYSA-N -1 1 322.217 1.539 20 0 DDADMM Cc1n[nH]c(=O)c(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)c1C ZINC001361496057 881764344 /nfs/dbraw/zinc/76/43/44/881764344.db2.gz MPNUPPRQRGQZMS-UHFFFAOYSA-N -1 1 315.333 1.426 20 0 DDADMM CCOC(=O)c1nc(NC(=O)Cc2cncs2)[n-]c1Cl ZINC001361530098 881836015 /nfs/dbraw/zinc/83/60/15/881836015.db2.gz RRHDUNFJZZYJPD-UHFFFAOYSA-N -1 1 314.754 1.878 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc(Cl)nc3c2CCC3)[n-]1 ZINC001159192474 865157852 /nfs/dbraw/zinc/15/78/52/865157852.db2.gz PTXYUFALYJBHAC-UHFFFAOYSA-N -1 1 303.713 1.734 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@]2(C)CC(C)(C)OC2=O)c1 ZINC001159265923 865192229 /nfs/dbraw/zinc/19/22/29/865192229.db2.gz HFCYFNQBSTXVIE-INIZCTEOSA-N -1 1 305.330 1.727 20 0 DDADMM CC1(C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001381475619 881879266 /nfs/dbraw/zinc/87/92/66/881879266.db2.gz JMDHBLHTMUYSNR-XYPYZODXSA-N -1 1 303.362 1.212 20 0 DDADMM COc1cccc(-n2cc(C(=O)Nc3ccncc3[O-])nn2)c1 ZINC001160747305 866093379 /nfs/dbraw/zinc/09/33/79/866093379.db2.gz OCWMMEVNRKFGPF-UHFFFAOYSA-N -1 1 311.301 1.051 20 0 DDADMM Cc1ccc2ccnc(Nc3c(O)[nH]c(=O)[n-]c3=S)c2c1 ZINC001160849906 866150015 /nfs/dbraw/zinc/15/00/15/866150015.db2.gz UYLUNHYSEUZXNZ-JTQLQIEISA-N -1 1 300.343 1.491 20 0 DDADMM Cc1nc(-c2ccnc(NC(=O)C(=O)c3ccc([O-])cc3)c2)n[nH]1 ZINC001160970171 866233912 /nfs/dbraw/zinc/23/39/12/866233912.db2.gz CQACHCOZOCGHBT-UHFFFAOYSA-N -1 1 323.312 1.702 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCOC1)c1cnc(Cl)cc1Cl ZINC001319815395 866311422 /nfs/dbraw/zinc/31/14/22/866311422.db2.gz IDBIUSLCIUSPOR-ZETCQYMHSA-N -1 1 311.190 1.703 20 0 DDADMM O=C([O-])c1cc(CNCCn2ccc(C(F)(F)F)n2)on1 ZINC001333136617 866451259 /nfs/dbraw/zinc/45/12/59/866451259.db2.gz NOYNTQRRAYMZCG-UHFFFAOYSA-N -1 1 304.228 1.378 20 0 DDADMM C/C=C/C[C@@H](CO)[N-]S(=O)(=O)c1c(F)cc(F)cc1OC ZINC001320467342 866760547 /nfs/dbraw/zinc/76/05/47/866760547.db2.gz YAROWFLJBHSAOL-FSIBCCDJSA-N -1 1 321.345 1.579 20 0 DDADMM CCOC(=O)C[C@H](Oc1cc(=O)[n-]c(=S)[nH]1)C(F)(F)F ZINC001225764510 882018013 /nfs/dbraw/zinc/01/80/13/882018013.db2.gz FVSGAEJMICDMJZ-YFKPBYRVSA-N -1 1 312.269 1.734 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCNC(=O)C[C@@H]1c1ccccc1 ZINC001361663902 882111119 /nfs/dbraw/zinc/11/11/19/882111119.db2.gz UUMCPLZNSUIEGQ-OAHLLOKOSA-N -1 1 311.341 1.491 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC001335020399 868001896 /nfs/dbraw/zinc/00/18/96/868001896.db2.gz YULDTZYUBQLNFL-AWEZNQCLSA-N -1 1 305.378 1.488 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@@H]1C[C@H]2CCC[C@H]21 ZINC001335228563 868155517 /nfs/dbraw/zinc/15/55/17/868155517.db2.gz RJFKTBWYUQMCIU-NQBHXWOUSA-N -1 1 322.792 1.967 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1CCN(C(=O)c2cnncc2[O-])C1 ZINC001361717995 882222368 /nfs/dbraw/zinc/22/23/68/882222368.db2.gz NYUJBKQLZQGFCX-SNVBAGLBSA-N -1 1 322.365 1.169 20 0 DDADMM COC(=O)[C@H](C)c1ccc(NCC[N-]C(=O)C(F)(F)F)nc1 ZINC001164244007 869050198 /nfs/dbraw/zinc/05/01/98/869050198.db2.gz PRNZUCBKXPEJSX-MRVPVSSYSA-N -1 1 319.283 1.449 20 0 DDADMM O=C(CN1CCC(CO)CC1)Nc1cc(F)c([O-])cc1Cl ZINC001336660969 869079977 /nfs/dbraw/zinc/07/99/77/869079977.db2.gz RDTZEYJEULEHGJ-UHFFFAOYSA-N -1 1 316.760 1.828 20 0 DDADMM C[C@@H](CN(C)C(=O)/C=C\C(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001337081453 869313124 /nfs/dbraw/zinc/31/31/24/869313124.db2.gz SEEDDEUYLPLHEE-LAUAKBEESA-N -1 1 319.405 1.966 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@]1(C)C=CCC1 ZINC001337137003 869342788 /nfs/dbraw/zinc/34/27/88/869342788.db2.gz YRNUJMSGHVBXIS-SJKOYZFVSA-N -1 1 317.389 1.720 20 0 DDADMM CO[C@H](CNC(=O)c1cc(C)cc(C=O)c1[O-])[C@H]1CCOC1 ZINC001166210274 869904002 /nfs/dbraw/zinc/90/40/02/869904002.db2.gz UVMQLZQAWRBXEH-SMDDNHRTSA-N -1 1 307.346 1.294 20 0 DDADMM CCOCCC(=O)NC[C@H](NC(=O)c1ncccc1[O-])C(C)C ZINC001297953066 870213313 /nfs/dbraw/zinc/21/33/13/870213313.db2.gz XIYRLNTWVMXPGX-LBPRGKRZSA-N -1 1 323.393 1.084 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2ccccc2CC1 ZINC001339383369 870470062 /nfs/dbraw/zinc/47/00/62/870470062.db2.gz ZJGXJJZLAOIQDH-UHFFFAOYSA-N -1 1 310.365 1.083 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2ccccc2CC1 ZINC001339383369 870470074 /nfs/dbraw/zinc/47/00/74/870470074.db2.gz ZJGXJJZLAOIQDH-UHFFFAOYSA-N -1 1 310.365 1.083 20 0 DDADMM C[C@@H](C(=O)N=c1ccnc2n(C)[n-]cc1-2)c1ccc2c(c1)OCO2 ZINC001361809608 882413905 /nfs/dbraw/zinc/41/39/05/882413905.db2.gz MPPBUQQTTGXQGY-SNVBAGLBSA-N -1 1 324.340 1.813 20 0 DDADMM CN1C(=S)N=NC1CCCNC(=O)c1ccc(F)c([O-])c1 ZINC001298533880 870606845 /nfs/dbraw/zinc/60/68/45/870606845.db2.gz AJZATHRWWIYXHT-UHFFFAOYSA-N -1 1 310.354 1.311 20 0 DDADMM CC(=O)Nc1cc(NC(=O)CCCc2nn[n-]n2)ccc1C ZINC001361817249 882429074 /nfs/dbraw/zinc/42/90/74/882429074.db2.gz IRHBBMOYGRVFCH-UHFFFAOYSA-N -1 1 302.338 1.428 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1ccccc1Cl ZINC001340046887 870861078 /nfs/dbraw/zinc/86/10/78/870861078.db2.gz ALVKBNHXDHDFMS-UHFFFAOYSA-N -1 1 318.772 1.768 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1ccccc1Cl ZINC001340046887 870861092 /nfs/dbraw/zinc/86/10/92/870861092.db2.gz ALVKBNHXDHDFMS-UHFFFAOYSA-N -1 1 318.772 1.768 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1Cc2ccc(Cl)cc2C1 ZINC001340048086 870861811 /nfs/dbraw/zinc/86/18/11/870861811.db2.gz KLLDPDHGPWZMSS-UHFFFAOYSA-N -1 1 316.756 1.652 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1Cc2ccc(Cl)cc2C1 ZINC001340048086 870861827 /nfs/dbraw/zinc/86/18/27/870861827.db2.gz KLLDPDHGPWZMSS-UHFFFAOYSA-N -1 1 316.756 1.652 20 0 DDADMM CCc1ccc(CN(C)c2nnc(-c3noc(=O)[n-]3)n2C)cc1 ZINC001340069868 870876149 /nfs/dbraw/zinc/87/61/49/870876149.db2.gz NCCUJALUCAOQBS-UHFFFAOYSA-N -1 1 314.349 1.357 20 0 DDADMM COC(=O)c1cc([N-]C(=O)c2ncoc2[C@H]2CCCO2)on1 ZINC001361839637 882474368 /nfs/dbraw/zinc/47/43/68/882474368.db2.gz UPGZXABOGFDRQN-MRVPVSSYSA-N -1 1 307.262 1.553 20 0 DDADMM O=C(c1onc2ccccc21)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001303594040 871143867 /nfs/dbraw/zinc/14/38/67/871143867.db2.gz ORYHSEWCMDWOFL-SNVBAGLBSA-N -1 1 312.333 1.436 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2CC[C@H]3C[C@H]3C2)sn1 ZINC001364025819 887748797 /nfs/dbraw/zinc/74/87/97/887748797.db2.gz BDKMOYNODUVPSF-KXUCPTDWSA-N -1 1 302.421 1.866 20 0 DDADMM O=C(CCCCc1ccc(Cl)cc1)NN1CC(=O)[N-]C1=O ZINC001306328539 871337646 /nfs/dbraw/zinc/33/76/46/871337646.db2.gz PEIXYMCUVVGDRA-UHFFFAOYSA-N -1 1 309.753 1.636 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cc(C)[nH]n1 ZINC001361861976 882522407 /nfs/dbraw/zinc/52/24/07/882522407.db2.gz LEDODTDMEJHGQW-UHFFFAOYSA-N -1 1 308.363 1.732 20 0 DDADMM C/C=C/C[C@@H]([N-]S(=O)(=O)Cc1nocc1C)C(=O)OCC ZINC001309391560 871570169 /nfs/dbraw/zinc/57/01/69/871570169.db2.gz MNAJSGQHSMPMFI-DUMNWFOQSA-N -1 1 316.379 1.300 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-][C@H](c1nc[nH]n1)c1ccccc1 ZINC001309413090 871570592 /nfs/dbraw/zinc/57/05/92/871570592.db2.gz YYANEFWDCRXXRM-LBPRGKRZSA-N -1 1 319.346 1.002 20 0 DDADMM O=C(NCC1CC1)[C@@H]1CSCN1C(=O)c1ccc(F)c([O-])c1 ZINC001361878682 882559798 /nfs/dbraw/zinc/55/97/98/882559798.db2.gz UDNOABBLZHPJLE-LBPRGKRZSA-N -1 1 324.377 1.573 20 0 DDADMM Cc1ccc([C@@H](C)Oc2cc(=O)[n-]c(N3CCOCC3)n2)cn1 ZINC001226722549 882609620 /nfs/dbraw/zinc/60/96/20/882609620.db2.gz MZGXACLBDNEZMZ-GFCCVEGCSA-N -1 1 316.361 1.862 20 0 DDADMM COCCn1c(-c2cc(Cl)ncc2[O-])nnc1N(C)C1CC1 ZINC001342851593 872411783 /nfs/dbraw/zinc/41/17/83/872411783.db2.gz ZMGUBCVXQWYQLF-UHFFFAOYSA-N -1 1 323.784 1.944 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@]2(C)CC(C)=NO2)[n-]c1Cl ZINC001361936600 882671663 /nfs/dbraw/zinc/67/16/63/882671663.db2.gz ZRAFFXVYFDVTEH-GFCCVEGCSA-N -1 1 314.729 1.733 20 0 DDADMM CN(C)C(=O)Cc1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001361939284 882677151 /nfs/dbraw/zinc/67/71/51/882677151.db2.gz ATAZKABNNFWZHZ-UHFFFAOYSA-N -1 1 300.318 1.065 20 0 DDADMM CC1=C(C)CN(c2nnc(-c3noc(=O)[n-]3)n2CC2CC2)CC1 ZINC001346148440 873625995 /nfs/dbraw/zinc/62/59/95/873625995.db2.gz LJVGZOVXYNBEFW-UHFFFAOYSA-N -1 1 316.365 1.578 20 0 DDADMM CCN(Cc1ccncc1)C(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001346388224 873728239 /nfs/dbraw/zinc/72/82/39/873728239.db2.gz ZMZJNGLJSWPLPN-UHFFFAOYSA-N -1 1 304.375 1.837 20 0 DDADMM CCC(CC)[C@@H](C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208463701 874164554 /nfs/dbraw/zinc/16/45/54/874164554.db2.gz RIBXXDXCQRYSNM-DGCLKSJQSA-N -1 1 323.441 1.663 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H](C)Oc1ccccc1 ZINC001347660759 874231868 /nfs/dbraw/zinc/23/18/68/874231868.db2.gz NCTOSZAQJPXWQZ-SECBINFHSA-N -1 1 319.386 1.977 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@]1(C)C=CCC1 ZINC001347958545 874318012 /nfs/dbraw/zinc/31/80/12/874318012.db2.gz NKYZORRMAIIPLG-HNNXBMFYSA-N -1 1 302.334 1.168 20 0 DDADMM O=C([O-])[C@@]1(N2CCN(C(=O)C=C3CCCCC3)CC2)CCOC1 ZINC001348479383 874584829 /nfs/dbraw/zinc/58/48/29/874584829.db2.gz ATECVRPSHVGPFI-QGZVFWFLSA-N -1 1 322.405 1.265 20 0 DDADMM Cc1ccc(CCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)nc1 ZINC001348715891 874735841 /nfs/dbraw/zinc/73/58/41/874735841.db2.gz AOJFKHMHVRINLS-UHFFFAOYSA-N -1 1 304.375 1.455 20 0 DDADMM COC(C[C@H](C)Oc1[n-]c(=O)ncc1Br)OC ZINC001227138989 882870780 /nfs/dbraw/zinc/87/07/80/882870780.db2.gz XGFPELSHRWQWEB-LURJTMIESA-N -1 1 307.144 1.721 20 0 DDADMM O=S(=O)([N-]Cc1ccon1)c1cc(Cl)cnc1Cl ZINC001210401116 875363952 /nfs/dbraw/zinc/36/39/52/875363952.db2.gz IQCDATVCCDPOCV-UHFFFAOYSA-N -1 1 308.146 1.855 20 0 DDADMM NC(=O)C[C@H](NC(=O)c1ncccc1[O-])c1ccccc1Cl ZINC001362054374 882926387 /nfs/dbraw/zinc/92/63/87/882926387.db2.gz MLRHYQFZSWHJHX-NSHDSACASA-N -1 1 319.748 1.787 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2CC(=O)N(C(C)(C)C)C2)c(=O)[n-]1 ZINC001362057772 882930987 /nfs/dbraw/zinc/93/09/87/882930987.db2.gz HNIVYAYXJPCOSY-QMMMGPOBSA-N -1 1 324.406 1.033 20 0 DDADMM C[C@@H]1CCC[C@]1(C)C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001349939805 875465306 /nfs/dbraw/zinc/46/53/06/875465306.db2.gz GFYUKZVTEOYNJG-PXAZEXFGSA-N -1 1 319.405 1.850 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(C(C)(C)O)CC1 ZINC001350223897 875587184 /nfs/dbraw/zinc/58/71/84/875587184.db2.gz NGESKGMULCTXAS-UHFFFAOYSA-N -1 1 311.407 1.402 20 0 DDADMM CSc1cncc([N-]S(=O)(=O)c2ccc(N)c(N)c2)c1 ZINC001211073609 875649169 /nfs/dbraw/zinc/64/91/69/875649169.db2.gz WIOMMEKHRHPKBF-UHFFFAOYSA-N -1 1 310.404 1.769 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCOc2c(F)cccc21)c1nn[n-]n1 ZINC001362071518 882962588 /nfs/dbraw/zinc/96/25/88/882962588.db2.gz YQZWADXTKNMBJJ-CMPLNLGQSA-N -1 1 319.340 1.863 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ncoc3C(C)C)nc2n1 ZINC001351267392 876153873 /nfs/dbraw/zinc/15/38/73/876153873.db2.gz UOPWQIYOATVAMB-UHFFFAOYSA-N -1 1 302.294 1.090 20 0 DDADMM Cc1ccccc1OC[C@H](C)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227431575 883013693 /nfs/dbraw/zinc/01/36/93/883013693.db2.gz WJKVDOIKAGPEBI-VIFPVBQESA-N -1 1 316.317 1.919 20 0 DDADMM O=c1[nH]c2[n-]c(OC[C@H]3Cc4ccccc4O3)nc2c(=O)[nH]1 ZINC001227433291 883013983 /nfs/dbraw/zinc/01/39/83/883013983.db2.gz BHLDKYRFQZFPCF-MRVPVSSYSA-N -1 1 300.274 1.147 20 0 DDADMM COc1ncc(Nc2ccc(=O)[nH]c2)cc1[N-]S(C)(=O)=O ZINC001216151796 876878584 /nfs/dbraw/zinc/87/85/84/876878584.db2.gz IJGSDUKAFYNYNT-UHFFFAOYSA-N -1 1 310.335 1.306 20 0 DDADMM COCNC(=O)c1cccc(-n2[n-]c(=O)c3ccc(N)cc32)c1 ZINC001216467511 876951511 /nfs/dbraw/zinc/95/15/11/876951511.db2.gz DEUFBEKRNSFPAU-UHFFFAOYSA-N -1 1 312.329 1.647 20 0 DDADMM COc1cc(OC)c(C2OCCO2)cc1[N-]c1nonc1N ZINC001216540092 876972602 /nfs/dbraw/zinc/97/26/02/876972602.db2.gz YFVDNKNZMJJVSD-UHFFFAOYSA-N -1 1 308.294 1.458 20 0 DDADMM O=C(Nc1cc2ccccn2n1)N1CCC(c2nn[n-]n2)CC1 ZINC001217670583 877259377 /nfs/dbraw/zinc/25/93/77/877259377.db2.gz GJPHDQGQSPVJPL-UHFFFAOYSA-N -1 1 312.337 1.259 20 0 DDADMM Cc1ncc(CN(C)C[C@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001379654661 877662961 /nfs/dbraw/zinc/66/29/61/877662961.db2.gz HRKWXKSCSUYEEF-JTQLQIEISA-N -1 1 304.350 1.334 20 0 DDADMM O=C(NC1C(=O)N=CN=C1[O-])[C@H]1CCC[C@@H](c2ccccc2)N1 ZINC001218702459 877674829 /nfs/dbraw/zinc/67/48/29/877674829.db2.gz QGVSQCBFIZFLLH-NWDGAFQWSA-N -1 1 314.345 1.710 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)Nc2cc(OCC)nc(C)n2)n1 ZINC001472827829 878189772 /nfs/dbraw/zinc/18/97/72/878189772.db2.gz BRCUIUOKMOWKEV-MRVPVSSYSA-N -1 1 320.353 1.652 20 0 DDADMM C[C@H]1CN(c2nnc(-c3nnn[n-]3)n2C)CC[C@@H]1c1ccccc1 ZINC001355332499 878506295 /nfs/dbraw/zinc/50/62/95/878506295.db2.gz COJJMCCDTXLJJO-AAEUAGOBSA-N -1 1 324.392 1.625 20 0 DDADMM C[C@H]1CN(c2nnc(-c3nn[n-]n3)n2C)CC[C@@H]1c1ccccc1 ZINC001355332499 878506305 /nfs/dbraw/zinc/50/63/05/878506305.db2.gz COJJMCCDTXLJJO-AAEUAGOBSA-N -1 1 324.392 1.625 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@@H]1CCc2ccccc2N1 ZINC001220471593 879043168 /nfs/dbraw/zinc/04/31/68/879043168.db2.gz SXVVBIDFTZBJAX-JTQLQIEISA-N -1 1 310.317 1.424 20 0 DDADMM Cc1cnc(CN2CCN(C(=O)c3ncccc3[O-])CC2)s1 ZINC001374284508 912435001 /nfs/dbraw/zinc/43/50/01/912435001.db2.gz VTGJRTGPUFUTPY-UHFFFAOYSA-N -1 1 318.402 1.510 20 0 DDADMM CC/C=C(\C)C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001356990877 879663936 /nfs/dbraw/zinc/66/39/36/879663936.db2.gz RMWBZTPGZSBVIG-XEVNVYFWSA-N -1 1 317.389 1.864 20 0 DDADMM O=C(NC/C=C\CNC(=O)C1CCCCC1)c1ncccc1[O-] ZINC001357521330 880021911 /nfs/dbraw/zinc/02/19/11/880021911.db2.gz OQTBMWRAAOFUSW-PLNGDYQASA-N -1 1 317.389 1.770 20 0 DDADMM C[C@H](CNC(=O)c1ccn(C)c1)N(C)C(=O)c1ncccc1[O-] ZINC001380682420 880183038 /nfs/dbraw/zinc/18/30/38/880183038.db2.gz SOHWPRIIOLXHOZ-LLVKDONJSA-N -1 1 316.361 1.016 20 0 DDADMM O=C(CCCC1CC1)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001380878252 880589692 /nfs/dbraw/zinc/58/96/92/880589692.db2.gz SVWKCSWNONTJNZ-UHFFFAOYSA-N -1 1 307.398 1.219 20 0 DDADMM CC(C)CC(C)(C)C(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001381110726 881050302 /nfs/dbraw/zinc/05/03/02/881050302.db2.gz HGKNARRKQZLARF-NWDGAFQWSA-N -1 1 323.441 1.519 20 0 DDADMM O=C(CCc1cccc(F)c1Br)Nc1nnn[n-]1 ZINC001362139435 883135666 /nfs/dbraw/zinc/13/56/66/883135666.db2.gz LXVMEYROARQBAK-UHFFFAOYSA-N -1 1 314.118 1.673 20 0 DDADMM O=C(CCc1cccc(F)c1Br)Nc1nn[n-]n1 ZINC001362139435 883135671 /nfs/dbraw/zinc/13/56/71/883135671.db2.gz LXVMEYROARQBAK-UHFFFAOYSA-N -1 1 314.118 1.673 20 0 DDADMM CC(C)(C)NC(=O)N1CCC(NC(=O)c2ccc([O-])cn2)CC1 ZINC001362182692 883235495 /nfs/dbraw/zinc/23/54/95/883235495.db2.gz LUOCZBDGIWARLI-UHFFFAOYSA-N -1 1 320.393 1.490 20 0 DDADMM CCN(C(=O)c1cccc([O-])c1F)[C@@H](C)CS(C)(=O)=O ZINC001362284936 883482314 /nfs/dbraw/zinc/48/23/14/883482314.db2.gz PMVFPXVBBGDGRY-VIFPVBQESA-N -1 1 303.355 1.427 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccccn2)C1)c1cccc([O-])c1F ZINC001362260717 883428717 /nfs/dbraw/zinc/42/87/17/883428717.db2.gz JXWOROKQUUFGEB-LLVKDONJSA-N -1 1 301.321 1.935 20 0 DDADMM CC(F)(F)CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228436411 883497211 /nfs/dbraw/zinc/49/72/11/883497211.db2.gz ZVKJATSPERBHSD-RKDXNWHRSA-N -1 1 317.340 1.073 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@@H](C)C(=O)OCC ZINC001228551500 883546510 /nfs/dbraw/zinc/54/65/10/883546510.db2.gz SYCWBZBKQHWARV-ZETCQYMHSA-N -1 1 300.336 1.272 20 0 DDADMM CCC(=O)c1c(O)cc([O-])cc1O[C@H](C)CN1CCOCC1 ZINC001228560607 883551875 /nfs/dbraw/zinc/55/18/75/883551875.db2.gz QDKZHZXNOLKJIF-LLVKDONJSA-N -1 1 309.362 1.790 20 0 DDADMM C[C@](O)(CNC(=O)CCCc1nn[n-]n1)c1cccc(Cl)c1 ZINC001362321096 883559892 /nfs/dbraw/zinc/55/98/92/883559892.db2.gz BJEVKOVMOQRJLI-AWEZNQCLSA-N -1 1 323.784 1.200 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H](NC(=O)c1cnncc1[O-])C1CC1 ZINC001362332194 883584314 /nfs/dbraw/zinc/58/43/14/883584314.db2.gz OAMSNMQOEBTIEQ-LLVKDONJSA-N -1 1 322.365 1.215 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cnncc1[O-])c1cc(C)cc(C)c1 ZINC001362364270 883650560 /nfs/dbraw/zinc/65/05/60/883650560.db2.gz GMLBVLBAFWTEDJ-AWEZNQCLSA-N -1 1 315.329 1.443 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@H]1CCC=CO1 ZINC001362381037 883681248 /nfs/dbraw/zinc/68/12/48/883681248.db2.gz QFQJWHHFDDKDIO-GFCCVEGCSA-N -1 1 314.338 1.828 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2nc(CC)ncc2Cl)n[nH]1 ZINC001362454825 883850919 /nfs/dbraw/zinc/85/09/19/883850919.db2.gz WUBZMTYFCABXKS-UHFFFAOYSA-N -1 1 323.740 1.845 20 0 DDADMM CCc1csc(CC(=O)NC(CC)(CC)c2nn[n-]n2)n1 ZINC001362498075 883943757 /nfs/dbraw/zinc/94/37/57/883943757.db2.gz SLHFFAMTXBVVPB-UHFFFAOYSA-N -1 1 308.411 1.593 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](C(=O)N(C)C)C1 ZINC001362549364 884066802 /nfs/dbraw/zinc/06/68/02/884066802.db2.gz HFKNLYFOHDSEMB-LLVKDONJSA-N -1 1 320.393 1.164 20 0 DDADMM CCC(CC)(NC(=O)CCC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001362628204 884261201 /nfs/dbraw/zinc/26/12/01/884261201.db2.gz ZLVISFRDVGBKIA-UHFFFAOYSA-N -1 1 311.386 1.453 20 0 DDADMM CCc1nc(C)c(CNC(=O)c2cnc(SC)[n-]c2=O)o1 ZINC001362636257 884283395 /nfs/dbraw/zinc/28/33/95/884283395.db2.gz XQRHZBPQUPZYQQ-UHFFFAOYSA-N -1 1 308.363 1.693 20 0 DDADMM CSc1ncc(C(=O)NCC[C@H]2CCO[C@@H](C)C2)c(=O)[n-]1 ZINC001362649792 884321002 /nfs/dbraw/zinc/32/10/02/884321002.db2.gz LVRVPYYFMCVHQO-UWVGGRQHSA-N -1 1 311.407 1.839 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC[C@@H](N2CCOCC2)C1 ZINC001362695045 884431611 /nfs/dbraw/zinc/43/16/11/884431611.db2.gz JLAIHZDCCGROAP-CYBMUJFWSA-N -1 1 308.353 1.468 20 0 DDADMM NC(=O)Cc1cccc2c1CCN(C(=O)c1ccc([O-])cn1)C2 ZINC001362759888 884572536 /nfs/dbraw/zinc/57/25/36/884572536.db2.gz XAPVOPQEJVSXDQ-UHFFFAOYSA-N -1 1 311.341 1.014 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCC[C@@H]1c1ncccn1 ZINC001362849369 884789811 /nfs/dbraw/zinc/78/98/11/884789811.db2.gz RZCIEFHZICLGLZ-LLVKDONJSA-N -1 1 301.354 1.066 20 0 DDADMM CCC(CC)(NC(=O)c1ncc2n1CCCC2)c1nn[n-]n1 ZINC001362856673 884808665 /nfs/dbraw/zinc/80/86/65/884808665.db2.gz MRTTVIQMPGKAPG-UHFFFAOYSA-N -1 1 303.370 1.178 20 0 DDADMM CCC(CC)(NC(=O)c1cc(C)n(C(C)C)n1)c1nn[n-]n1 ZINC001362859923 884818271 /nfs/dbraw/zinc/81/82/71/884818271.db2.gz YQPXYDWIQYKNBV-UHFFFAOYSA-N -1 1 305.386 1.731 20 0 DDADMM COC[C@@H](NC(=O)CC[C@H]1CCc2ccccc21)c1nn[n-]n1 ZINC001362897853 884917200 /nfs/dbraw/zinc/91/72/00/884917200.db2.gz GVJWOZUXALZVSE-TZMCWYRMSA-N -1 1 315.377 1.514 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC001362932778 885018117 /nfs/dbraw/zinc/01/81/17/885018117.db2.gz BGUUPAQVWXAKJB-LLVKDONJSA-N -1 1 320.324 1.092 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](C)[C@H](C)COC)n[n-]1 ZINC001362934581 885022188 /nfs/dbraw/zinc/02/21/88/885022188.db2.gz KDYYADPIRVBEJR-BBBLOLIVSA-N -1 1 312.370 1.077 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](C)[C@H](C)COC)[n-]1 ZINC001362934581 885022204 /nfs/dbraw/zinc/02/22/04/885022204.db2.gz KDYYADPIRVBEJR-BBBLOLIVSA-N -1 1 312.370 1.077 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](C)[C@H](C)COC)n1 ZINC001362934581 885022221 /nfs/dbraw/zinc/02/22/21/885022221.db2.gz KDYYADPIRVBEJR-BBBLOLIVSA-N -1 1 312.370 1.077 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC001362952828 885068908 /nfs/dbraw/zinc/06/89/08/885068908.db2.gz XABSUKOINDDQSU-FRRDWIJNSA-N -1 1 319.405 1.847 20 0 DDADMM COc1cccc(CC(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC001362974129 885124682 /nfs/dbraw/zinc/12/46/82/885124682.db2.gz SOBGVGCEGPHZJJ-UHFFFAOYSA-N -1 1 322.311 1.975 20 0 DDADMM CCNc1cccnc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362995425 885179972 /nfs/dbraw/zinc/17/99/72/885179972.db2.gz SPDVCBDQTCCMHD-JTQLQIEISA-N -1 1 301.354 1.046 20 0 DDADMM CC1(C)[C@H](N2CCOCC2)C[C@@H]1NC(=O)c1ccc(F)c([O-])c1 ZINC001363005866 885208141 /nfs/dbraw/zinc/20/81/41/885208141.db2.gz RJMJBZCLFQFHGM-LSDHHAIUSA-N -1 1 322.380 1.760 20 0 DDADMM CSc1ncc(C(=O)NCC2(C3(O)CCC3)CC2)c(=O)[n-]1 ZINC001363010710 885222755 /nfs/dbraw/zinc/22/27/55/885222755.db2.gz TZMYDVJKHCJFAM-UHFFFAOYSA-N -1 1 309.391 1.329 20 0 DDADMM Cc1c(Br)cncc1NC(=O)c1cnncc1[O-] ZINC001363012992 885230222 /nfs/dbraw/zinc/23/02/22/885230222.db2.gz LXARPIOXDCXIFY-UHFFFAOYSA-N -1 1 309.123 1.900 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCOC[C@H]1C[C@H]1CCOC1 ZINC001363029212 885267296 /nfs/dbraw/zinc/26/72/96/885267296.db2.gz KPLONFHQHWDRQH-DGCLKSJQSA-N -1 1 309.337 1.799 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(C)(C)[C@H]1c1ccco1 ZINC001363140835 885564794 /nfs/dbraw/zinc/56/47/94/885564794.db2.gz XVBGBWCEBCQQBJ-LLVKDONJSA-N -1 1 319.317 1.713 20 0 DDADMM O=C(N[C@H](C1CC1)C1(CO)CCOCC1)c1ccc(F)c([O-])c1 ZINC001363156696 885600695 /nfs/dbraw/zinc/60/06/95/885600695.db2.gz JTWLNMWIRJNODN-OAHLLOKOSA-N -1 1 323.364 1.829 20 0 DDADMM COCc1cc(NC(=O)CCCc2nn[n-]n2)ccc1OC ZINC001363196640 885690238 /nfs/dbraw/zinc/69/02/38/885690238.db2.gz SIUPTWSDUJVNEW-UHFFFAOYSA-N -1 1 305.338 1.316 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H](C)[C@@H]2CCCO2)n[n-]1 ZINC001363229289 885767416 /nfs/dbraw/zinc/76/74/16/885767416.db2.gz AVPYPOTXVSZNLR-VWYCJHECSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H](C)[C@@H]2CCCO2)[n-]1 ZINC001363229289 885767425 /nfs/dbraw/zinc/76/74/25/885767425.db2.gz AVPYPOTXVSZNLR-VWYCJHECSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H](C)[C@@H]2CCCO2)n1 ZINC001363229289 885767438 /nfs/dbraw/zinc/76/74/38/885767438.db2.gz AVPYPOTXVSZNLR-VWYCJHECSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2scnc2CC)n[n-]1 ZINC001363230749 885770054 /nfs/dbraw/zinc/77/00/54/885770054.db2.gz JIHIJYIYDASKAS-SSDOTTSWSA-N -1 1 323.378 1.491 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2scnc2CC)[n-]1 ZINC001363230749 885770069 /nfs/dbraw/zinc/77/00/69/885770069.db2.gz JIHIJYIYDASKAS-SSDOTTSWSA-N -1 1 323.378 1.491 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2scnc2CC)n1 ZINC001363230749 885770084 /nfs/dbraw/zinc/77/00/84/885770084.db2.gz JIHIJYIYDASKAS-SSDOTTSWSA-N -1 1 323.378 1.491 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cnc(C)s2)n[n-]1 ZINC001363232411 885778175 /nfs/dbraw/zinc/77/81/75/885778175.db2.gz QRCSNPOYGYWXHW-ZCFIWIBFSA-N -1 1 309.351 1.237 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cnc(C)s2)[n-]1 ZINC001363232411 885778184 /nfs/dbraw/zinc/77/81/84/885778184.db2.gz QRCSNPOYGYWXHW-ZCFIWIBFSA-N -1 1 309.351 1.237 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cnc(C)s2)n1 ZINC001363232411 885778191 /nfs/dbraw/zinc/77/81/91/885778191.db2.gz QRCSNPOYGYWXHW-ZCFIWIBFSA-N -1 1 309.351 1.237 20 0 DDADMM COCC(=O)N[C@@H]1CCCN(Cc2ccc(Cl)c([O-])c2)C1 ZINC001231734864 885841021 /nfs/dbraw/zinc/84/10/21/885841021.db2.gz GWSPINBZMDIZGW-GFCCVEGCSA-N -1 1 312.797 1.773 20 0 DDADMM CN(C)C(=O)N[C@@H]1CCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001363282091 885904721 /nfs/dbraw/zinc/90/47/21/885904721.db2.gz NIQNUJMBTSYTDS-SNVBAGLBSA-N -1 1 309.341 1.407 20 0 DDADMM NC(=O)OC[C@H](Cc1ccccc1)NC(=O)c1ncccc1[O-] ZINC001363307881 885975826 /nfs/dbraw/zinc/97/58/26/885975826.db2.gz FZPFJGNOGZPSFL-LBPRGKRZSA-N -1 1 315.329 1.638 20 0 DDADMM NC(=O)[C@@H]1CC[C@H](C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC001363346849 886091697 /nfs/dbraw/zinc/09/16/97/886091697.db2.gz PCMUYFBBUBQNFP-MNOVXSKESA-N -1 1 314.345 1.418 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H](C)c1cccc(O)c1 ZINC001363355658 886116336 /nfs/dbraw/zinc/11/63/36/886116336.db2.gz LPCQBSNAHGKWRM-LLVKDONJSA-N -1 1 303.366 1.694 20 0 DDADMM CC(=O)Nc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)cc1F ZINC001363375889 886169710 /nfs/dbraw/zinc/16/97/10/886169710.db2.gz ICRMUSRKNOAVFM-UHFFFAOYSA-N -1 1 318.312 1.106 20 0 DDADMM CC(C)(C)CCC1(O)CC(C(=O)NC2(c3nn[n-]n3)CCC2)C1 ZINC001363375843 886171150 /nfs/dbraw/zinc/17/11/50/886171150.db2.gz GRZSYDFJTVNZKO-UHFFFAOYSA-N -1 1 321.425 1.663 20 0 DDADMM O=C(NC[C@H](O)c1cncs1)c1c(F)ccc([O-])c1F ZINC001363402298 886236246 /nfs/dbraw/zinc/23/62/46/886236246.db2.gz VUZZYPAJYCXQAM-QMMMGPOBSA-N -1 1 300.286 1.590 20 0 DDADMM Cc1cc(C2(C(=O)N3CCC[C@@H](Cc4nn[n-]n4)C3)CC2)on1 ZINC001363429875 886317996 /nfs/dbraw/zinc/31/79/96/886317996.db2.gz FEIJKVOBPDNAEJ-NSHDSACASA-N -1 1 316.365 1.009 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2nc(CC)oc2C)n[n-]1 ZINC001363476093 886442145 /nfs/dbraw/zinc/44/21/45/886442145.db2.gz PBMGYUCOOLZDAL-SSDOTTSWSA-N -1 1 321.337 1.331 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2nc(CC)oc2C)[n-]1 ZINC001363476093 886442160 /nfs/dbraw/zinc/44/21/60/886442160.db2.gz PBMGYUCOOLZDAL-SSDOTTSWSA-N -1 1 321.337 1.331 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2nc(CC)oc2C)n1 ZINC001363476093 886442178 /nfs/dbraw/zinc/44/21/78/886442178.db2.gz PBMGYUCOOLZDAL-SSDOTTSWSA-N -1 1 321.337 1.331 20 0 DDADMM COCc1ncc2c(n1)CCN(C(=O)c1cccc([O-])c1F)C2 ZINC001363515902 886528298 /nfs/dbraw/zinc/52/82/98/886528298.db2.gz RDDIDPYHQYYRBH-UHFFFAOYSA-N -1 1 317.320 1.666 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)CC(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363526355 886552245 /nfs/dbraw/zinc/55/22/45/886552245.db2.gz SMVIBXKONFRTGV-GZMMTYOYSA-N -1 1 303.370 1.049 20 0 DDADMM C[C@@H](Oc1cccc(Cl)c1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363546925 886603073 /nfs/dbraw/zinc/60/30/73/886603073.db2.gz FMEANGRBNIDMSI-SECBINFHSA-N -1 1 321.768 1.816 20 0 DDADMM O=C(N[C@@H]1CCO[C@H]1c1ccc(=O)[nH]c1)c1cccc([O-])c1F ZINC001363547570 886605785 /nfs/dbraw/zinc/60/57/85/886605785.db2.gz DJSRLSIPAVXRNG-ABAIWWIYSA-N -1 1 318.304 1.892 20 0 DDADMM COC(=O)[C@@]1(C)COCCN1Cc1ccc(F)c([O-])c1F ZINC001232938928 886685485 /nfs/dbraw/zinc/68/54/85/886685485.db2.gz JVVTXGJNTOIABK-CQSZACIVSA-N -1 1 301.289 1.434 20 0 DDADMM COc1cc(NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)n(C)n1 ZINC001363591452 886713312 /nfs/dbraw/zinc/71/33/12/886713312.db2.gz FJXCGBGJTDSBQJ-UHFFFAOYSA-N -1 1 319.365 1.783 20 0 DDADMM CCCCC(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001383964112 886773290 /nfs/dbraw/zinc/77/32/90/886773290.db2.gz VMGNPQVNCDZZEA-QWHCGFSZSA-N -1 1 317.389 1.650 20 0 DDADMM CCC1=C(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)CCCO1 ZINC001363648902 886876436 /nfs/dbraw/zinc/87/64/36/886876436.db2.gz FDTLYUHAVCIHFT-UHFFFAOYSA-N -1 1 321.377 1.672 20 0 DDADMM COc1cnc(C2(NC(=O)CCCC(C)=O)CCCC2)[n-]c1=O ZINC001363651310 886889479 /nfs/dbraw/zinc/88/94/79/886889479.db2.gz KIQZPTOJJPMCBT-UHFFFAOYSA-N -1 1 321.377 1.836 20 0 DDADMM COC(=O)[C@@]1(CNC(=O)c2cc(Cl)ccc2[O-])CCOC1 ZINC001363697279 887005539 /nfs/dbraw/zinc/00/55/39/887005539.db2.gz RHTWUCIPXWFPBV-CQSZACIVSA-N -1 1 313.737 1.355 20 0 DDADMM CCn1cc(NC(=O)c2cc(SC)ccc2[O-])c(C(N)=O)n1 ZINC001363698791 887007307 /nfs/dbraw/zinc/00/73/07/887007307.db2.gz MNENWNOOFJZMLS-UHFFFAOYSA-N -1 1 320.374 1.682 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2[C@H]3CCO[C@H]3C2(C)C)sn1 ZINC001363777132 887193007 /nfs/dbraw/zinc/19/30/07/887193007.db2.gz CHQJMTKNUGWCFQ-AVPPRXQKSA-N -1 1 318.420 1.244 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C1CCC(C(C)(C)O)CC1 ZINC001363809052 887280680 /nfs/dbraw/zinc/28/06/80/887280680.db2.gz UGERPCMXPXEQMF-UHFFFAOYSA-N -1 1 323.441 1.762 20 0 DDADMM Cc1cc(=O)[nH]c([C@H](C)[N@@H+]2CCC[C@H](c3cn(C)nn3)C2)n1 ZINC001363853936 887402582 /nfs/dbraw/zinc/40/25/82/887402582.db2.gz UHMYEZSIJCWIMH-RYUDHWBXSA-N -1 1 302.382 1.560 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](CO)CCC(C)(C)C ZINC001363860652 887414926 /nfs/dbraw/zinc/41/49/26/887414926.db2.gz MCTCFXYQAAGDND-VIFPVBQESA-N -1 1 322.452 1.617 20 0 DDADMM C[C@H](COc1ccc(F)cc1)[N-]S(=O)(=O)N=S(C)(C)=O ZINC001363937123 887574769 /nfs/dbraw/zinc/57/47/69/887574769.db2.gz PAXKYPYLOWDOGH-SECBINFHSA-N -1 1 324.399 1.155 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001364017082 887730157 /nfs/dbraw/zinc/73/01/57/887730157.db2.gz BPKYAAVZVKDPHN-ATZCPNFKSA-N -1 1 313.379 1.053 20 0 DDADMM CSCC[C@H](NC(=O)c1coc(C2CC2)n1)c1nn[n-]n1 ZINC001364085142 887878895 /nfs/dbraw/zinc/87/88/95/887878895.db2.gz BVLZNAVZTHLJJZ-QMMMGPOBSA-N -1 1 308.367 1.289 20 0 DDADMM O=C(CN1C(=O)C(F)(F)Oc2ccccc21)Nc1c[n-][nH]c1=O ZINC001364093320 887900836 /nfs/dbraw/zinc/90/08/36/887900836.db2.gz NPJWMRGCHFXICU-UHFFFAOYSA-N -1 1 324.243 1.072 20 0 DDADMM NC(=O)c1nc[n-]c(=O)c1OC1CCN(c2ccccc2)CC1 ZINC001234469435 888001412 /nfs/dbraw/zinc/00/14/12/888001412.db2.gz WRSBERYMOLNCMD-UHFFFAOYSA-N -1 1 314.345 1.329 20 0 DDADMM CC(C)(C)OC(=O)N1CSC[C@H]1C(=O)Nc1c[n-][nH]c1=O ZINC001364137596 888006614 /nfs/dbraw/zinc/00/66/14/888006614.db2.gz GMNMSZOLTRMEFO-QMMMGPOBSA-N -1 1 314.367 1.364 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1CC(=O)N(c2c(F)cccc2F)C1 ZINC001364144801 888020114 /nfs/dbraw/zinc/02/01/14/888020114.db2.gz MJZNTMPWTBUQKA-SSDOTTSWSA-N -1 1 322.271 1.385 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@@H]1CCOC[C@@H]1C ZINC001234557977 888091487 /nfs/dbraw/zinc/09/14/87/888091487.db2.gz PQERQSWGQCQTKN-VHSXEESVSA-N -1 1 310.350 1.896 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H](C(C)=O)c1ccccc1 ZINC001234564324 888102682 /nfs/dbraw/zinc/10/26/82/888102682.db2.gz JNTGGKBXKMTDRQ-ZDUSSCGKSA-N -1 1 316.313 1.986 20 0 DDADMM C[C@@H]1OC(=O)N[C@@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001364264046 888248556 /nfs/dbraw/zinc/24/85/56/888248556.db2.gz YUQIFOBGHZXKHF-UFBFGSQYSA-N -1 1 302.290 1.013 20 0 DDADMM O=C(N[C@@H](CO)[C@@H](O)C1CCCCC1)c1cccc([O-])c1F ZINC001364311021 888356044 /nfs/dbraw/zinc/35/60/44/888356044.db2.gz PMCBKCMVJXJRCU-WFASDCNBSA-N -1 1 311.353 1.563 20 0 DDADMM C[C@H]1[C@@H](C(=O)Nc2c[n-][nH]c2=O)CCN1C(=O)OC(C)(C)C ZINC001364514137 888816308 /nfs/dbraw/zinc/81/63/08/888816308.db2.gz ZMTRFCVJCLMKHF-IUCAKERBSA-N -1 1 310.354 1.699 20 0 DDADMM Cc1nn(C(C)C)cc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001364650907 889123294 /nfs/dbraw/zinc/12/32/94/889123294.db2.gz JGWVFHGLDRENTN-UHFFFAOYSA-N -1 1 305.386 1.584 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NCc2cn(C(C)C)nn2)n[n-]1 ZINC001364721506 889270606 /nfs/dbraw/zinc/27/06/06/889270606.db2.gz BHUSKGUINRCGLK-VIFPVBQESA-N -1 1 307.358 1.005 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2cn(C(C)C)nn2)n1 ZINC001364721506 889270617 /nfs/dbraw/zinc/27/06/17/889270617.db2.gz BHUSKGUINRCGLK-VIFPVBQESA-N -1 1 307.358 1.005 20 0 DDADMM CC(=O)N1CCC([N-]S(=O)(=O)c2sccc2Cl)CC1 ZINC001364752095 889339454 /nfs/dbraw/zinc/33/94/54/889339454.db2.gz YZODWQGUFKOSRF-UHFFFAOYSA-N -1 1 322.839 1.691 20 0 DDADMM O=S(=O)(C[C@H]1CCCC1(F)F)[N-]Cc1nc(C2CC2)no1 ZINC001364765701 889365687 /nfs/dbraw/zinc/36/56/87/889365687.db2.gz GABJFNCQMWVKPM-SECBINFHSA-N -1 1 321.349 1.802 20 0 DDADMM CCCn1cc(C[N-]S(=O)(=O)c2cc(F)ccc2F)nn1 ZINC001364914472 889658801 /nfs/dbraw/zinc/65/88/01/889658801.db2.gz AVSNZRBGUFLAFY-UHFFFAOYSA-N -1 1 316.333 1.445 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C(C)(C)c2ncon2)c(F)c1 ZINC001364980675 889811212 /nfs/dbraw/zinc/81/12/12/889811212.db2.gz RLJQNEWMQLIESM-UHFFFAOYSA-N -1 1 317.317 1.870 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cccc(NC(=O)[C@@H]2CCCO2)c1 ZINC001365215102 890370784 /nfs/dbraw/zinc/37/07/84/890370784.db2.gz ZNOFRYGXAWZFAK-LBPRGKRZSA-N -1 1 316.317 1.485 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)CC1(C)CC1 ZINC001396245767 913453855 /nfs/dbraw/zinc/45/38/55/913453855.db2.gz KAGCBWBQMGLYHC-NEPJUHHUSA-N -1 1 319.405 1.991 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(OC)ns1)[C@@H]1CCCO1 ZINC001365390969 890717413 /nfs/dbraw/zinc/71/74/13/890717413.db2.gz VJJARMIXHHMUTP-BDAKNGLRSA-N -1 1 306.409 1.388 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1CCCC[C@H]1C ZINC001365640837 891232687 /nfs/dbraw/zinc/23/26/87/891232687.db2.gz MPHWHYZACKFILN-NXEZZACHSA-N -1 1 315.395 1.301 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2[C@@H](C)[C@@H](OC)C2(C)C)sn1 ZINC001365683836 891311590 /nfs/dbraw/zinc/31/15/90/891311590.db2.gz FSBWYYOWGLACFZ-AVPPRXQKSA-N -1 1 320.436 1.490 20 0 DDADMM Cc1cc(C(=O)[O-])cc(-c2nccnc2N2CCN(C)CC2)c1 ZINC001243176416 891618329 /nfs/dbraw/zinc/61/83/29/891618329.db2.gz CXDYGNPSPARGQV-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM CC(=O)Nc1nc2nc[nH]c2c(-c2ccc(C)c(C(=O)[O-])c2)n1 ZINC001243196210 891620367 /nfs/dbraw/zinc/62/03/67/891620367.db2.gz RHILGCKYBWUHQV-UHFFFAOYSA-N -1 1 311.301 1.937 20 0 DDADMM CC(C)CC(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001365901566 891855318 /nfs/dbraw/zinc/85/53/18/891855318.db2.gz CNCZCLSMJMMDAV-LBPRGKRZSA-N -1 1 323.441 1.663 20 0 DDADMM COc1ncc(-c2ncc(N)cc2F)cc1[N-]S(C)(=O)=O ZINC001244790405 891924994 /nfs/dbraw/zinc/92/49/94/891924994.db2.gz MFDXCBDWUNDKNC-UHFFFAOYSA-N -1 1 312.326 1.245 20 0 DDADMM COCOc1ccc(-c2ccc(-c3nnn[n-]3)nc2)c(OC)c1 ZINC001244920821 891966100 /nfs/dbraw/zinc/96/61/00/891966100.db2.gz JGMPDRCGNJJPHK-UHFFFAOYSA-N -1 1 313.317 1.920 20 0 DDADMM COCOc1ccc(-c2ccc(-c3nn[n-]n3)nc2)c(OC)c1 ZINC001244920821 891966119 /nfs/dbraw/zinc/96/61/19/891966119.db2.gz JGMPDRCGNJJPHK-UHFFFAOYSA-N -1 1 313.317 1.920 20 0 DDADMM [NH3+][C@@H](Cc1ccc(-c2ccc([P@@](=O)([O-])O)cc2)cc1)C(=O)[O-] ZINC001245644320 892218443 /nfs/dbraw/zinc/21/84/43/892218443.db2.gz PHWZFZREIMSVNR-AWEZNQCLSA-N -1 1 321.269 1.111 20 0 DDADMM [NH3+][C@@H](Cc1ccc(-c2ccc([P@](=O)([O-])O)cc2)cc1)C(=O)[O-] ZINC001245644320 892218454 /nfs/dbraw/zinc/21/84/54/892218454.db2.gz PHWZFZREIMSVNR-AWEZNQCLSA-N -1 1 321.269 1.111 20 0 DDADMM CC(C)(C)CC(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001387222285 892648050 /nfs/dbraw/zinc/64/80/50/892648050.db2.gz KZIROZAJBSSAHK-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)CCC(=O)NCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001387389595 892978890 /nfs/dbraw/zinc/97/88/90/892978890.db2.gz FUPNMIZXEDNJAU-GFCCVEGCSA-N -1 1 307.394 1.848 20 0 DDADMM CS(=O)(=O)CCn1cc(Nc2c([O-])cc(F)cc2F)cn1 ZINC001249676008 894097124 /nfs/dbraw/zinc/09/71/24/894097124.db2.gz CYGFTCSTJIHXGV-UHFFFAOYSA-N -1 1 317.317 1.655 20 0 DDADMM Cc1cc(Nc2cc(S(=O)(=O)C(N)=O)ccc2O)c[nH+]c1N ZINC001249793766 894126358 /nfs/dbraw/zinc/12/63/58/894126358.db2.gz CTMMMYBJENCCGW-UHFFFAOYSA-N -1 1 322.346 1.688 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NC[C@@H](O)COc1ccccc1 ZINC001251159909 894651353 /nfs/dbraw/zinc/65/13/53/894651353.db2.gz SELMNVYZONDAJY-MRVPVSSYSA-N -1 1 322.346 1.721 20 0 DDADMM Cc1cc(C)c(S(=O)(=O)NCC[P@](=O)([O-])O)c(C)c1 ZINC001251509023 894717022 /nfs/dbraw/zinc/71/70/22/894717022.db2.gz WJFBJKOWWDFGOH-UHFFFAOYSA-N -1 1 307.308 1.068 20 0 DDADMM Cc1coc(-c2nc3cc(Cl)c(S(N)(=O)=O)cc3[n-]2)n1 ZINC001251638971 894758432 /nfs/dbraw/zinc/75/84/32/894758432.db2.gz UBLCILRSZQCWKG-UHFFFAOYSA-N -1 1 312.738 1.827 20 0 DDADMM Cc1coc(-c2nc3cc(S(N)(=O)=O)c(Cl)cc3[n-]2)n1 ZINC001251638971 894758442 /nfs/dbraw/zinc/75/84/42/894758442.db2.gz UBLCILRSZQCWKG-UHFFFAOYSA-N -1 1 312.738 1.827 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cc(OC)ccc2CO)cc1 ZINC001251933653 894897049 /nfs/dbraw/zinc/89/70/49/894897049.db2.gz BHOLGJWDBZYPOP-UHFFFAOYSA-N -1 1 323.370 1.997 20 0 DDADMM CC(C)=C(C)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001278660835 895085760 /nfs/dbraw/zinc/08/57/60/895085760.db2.gz AMODIVUCVSENDL-LBPRGKRZSA-N -1 1 321.425 1.583 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@H](Nc2[n-]c(=O)nc3nc[nH]c32)C1 ZINC001255341081 896849914 /nfs/dbraw/zinc/84/99/14/896849914.db2.gz AYHVLEHSQQKGCZ-IUCAKERBSA-N -1 1 305.338 1.592 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367770320 897728937 /nfs/dbraw/zinc/72/89/37/897728937.db2.gz GKLJIHVTWHGAEI-JGVFFNPUSA-N -1 1 323.319 1.083 20 0 DDADMM Cc1ncc(CN(C)C[C@@H](C)NC(=O)c2ncccc2[O-])s1 ZINC001367791620 897807023 /nfs/dbraw/zinc/80/70/23/897807023.db2.gz FEPCMAOTHLIDDT-SNVBAGLBSA-N -1 1 320.418 1.802 20 0 DDADMM NC(=O)CC[C@@H](NCc1c(F)ccc(F)c1Cl)C(=O)[O-] ZINC001331998891 897881482 /nfs/dbraw/zinc/88/14/82/897881482.db2.gz PUUYGAZTHNGNCJ-SECBINFHSA-N -1 1 306.696 1.427 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H]1CCCCC1=O ZINC001258466206 898200955 /nfs/dbraw/zinc/20/09/55/898200955.db2.gz UBFCLQBCVAVGBX-MRVPVSSYSA-N -1 1 305.787 1.172 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OCC ZINC001258949093 898374068 /nfs/dbraw/zinc/37/40/68/898374068.db2.gz LPXNMRWRZYCMAH-NSHDSACASA-N -1 1 321.345 1.975 20 0 DDADMM COC1CC(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC001259045213 898439794 /nfs/dbraw/zinc/43/97/94/898439794.db2.gz TXVFLXWFSORESS-UHFFFAOYSA-N -1 1 309.309 1.807 20 0 DDADMM O=S(=O)([N-]c1ccnc(CO)c1)c1ccc(F)c(F)c1 ZINC001259084691 898459308 /nfs/dbraw/zinc/45/93/08/898459308.db2.gz HPBKJSDHWFHLBW-UHFFFAOYSA-N -1 1 300.286 1.653 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)[C@@H]1Cc2ccccc2O1 ZINC000355835862 898548476 /nfs/dbraw/zinc/54/84/76/898548476.db2.gz DCYIAOYDYOKBQX-NSHDSACASA-N -1 1 301.302 1.529 20 0 DDADMM CCOc1cc(C(N)=O)ccc1[N-]S(=O)(=O)C1CCCC1 ZINC001259800246 898812571 /nfs/dbraw/zinc/81/25/71/898812571.db2.gz RQTAYGGHECAWIL-UHFFFAOYSA-N -1 1 312.391 1.869 20 0 DDADMM CC[C@H](CNC(=O)c1ccccn1)NC(=O)c1ncccc1[O-] ZINC001390164711 898833382 /nfs/dbraw/zinc/83/33/82/898833382.db2.gz LDDQKLKHQJDDNM-LLVKDONJSA-N -1 1 314.345 1.121 20 0 DDADMM Cn1cnc2ncnc-2c1[N-]S(=O)(=O)CCC(F)(F)F ZINC001259866915 898878391 /nfs/dbraw/zinc/87/83/91/898878391.db2.gz XYYRFCFRSMJYAK-UHFFFAOYSA-N -1 1 309.273 1.009 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CC(C)C)c1ccccn1 ZINC001259888642 898899769 /nfs/dbraw/zinc/89/97/69/898899769.db2.gz UNOFRERBZSBMCH-LBPRGKRZSA-N -1 1 300.380 1.261 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]1O)c1cc(Cl)c(F)cc1F ZINC001260204130 899086872 /nfs/dbraw/zinc/08/68/72/899086872.db2.gz RPTLINQGNWLFSH-NXEZZACHSA-N -1 1 311.737 1.810 20 0 DDADMM Cc1cc(CC(C)C)ncc1[N-]S(=O)(=O)CS(C)(=O)=O ZINC001260584916 899176175 /nfs/dbraw/zinc/17/61/75/899176175.db2.gz DXHGNPHQSCKXKH-UHFFFAOYSA-N -1 1 320.436 1.332 20 0 DDADMM CCc1noc([C@H](C)N(C)CCNC(=O)c2ncccc2[O-])n1 ZINC001390751527 900098667 /nfs/dbraw/zinc/09/86/67/900098667.db2.gz QKQCVGTZELEWST-JTQLQIEISA-N -1 1 319.365 1.155 20 0 DDADMM CC1=CCN(C(=O)C(=O)NCCc2c(F)cc([O-])cc2F)CC1 ZINC001262320393 900107812 /nfs/dbraw/zinc/10/78/12/900107812.db2.gz JMSWOWRBMLAGBX-UHFFFAOYSA-N -1 1 324.327 1.508 20 0 DDADMM COC1(C)CN(c2nnc(-c3cc(Cl)ncc3[O-])n2C)C1 ZINC001262878767 900406443 /nfs/dbraw/zinc/40/64/43/900406443.db2.gz GYDFFWSKHRVEFD-UHFFFAOYSA-N -1 1 309.757 1.461 20 0 DDADMM c1ccc(Cn2c(Cc3nnn[n-]3)nnc2N2CC=CCC2)cc1 ZINC001263152129 900516987 /nfs/dbraw/zinc/51/69/87/900516987.db2.gz YAWBUEAFLQHLDE-UHFFFAOYSA-N -1 1 322.376 1.197 20 0 DDADMM c1ccc(Cn2c(Cc3nn[n-]n3)nnc2N2CC=CCC2)cc1 ZINC001263152129 900517000 /nfs/dbraw/zinc/51/70/00/900517000.db2.gz YAWBUEAFLQHLDE-UHFFFAOYSA-N -1 1 322.376 1.197 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1cccs1)NCc1n[nH]c(=O)[n-]1 ZINC001369284903 900969247 /nfs/dbraw/zinc/96/92/47/900969247.db2.gz AASLMVNXOQCNGH-JTQLQIEISA-N -1 1 323.422 1.141 20 0 DDADMM CCCCCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1CC ZINC001264600390 901204666 /nfs/dbraw/zinc/20/46/66/901204666.db2.gz PCIJIJQHTZJCES-QWHCGFSZSA-N -1 1 323.441 1.158 20 0 DDADMM CN(C/C=C\Cl)CCCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001265057905 901499628 /nfs/dbraw/zinc/49/96/28/901499628.db2.gz AGIFTTTVWXMFGI-KXFIGUGUSA-N -1 1 324.768 1.733 20 0 DDADMM C=C/C(C)=C/CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001293557463 914548946 /nfs/dbraw/zinc/54/89/46/914548946.db2.gz QPEGDDDTHZONQM-XEVNVYFWSA-N -1 1 315.373 1.640 20 0 DDADMM O=C([O-])COCCNCc1ccc(Br)c(F)c1F ZINC000700987080 902050781 /nfs/dbraw/zinc/05/07/81/902050781.db2.gz LGUITCKMDLTBKH-UHFFFAOYSA-N -1 1 324.121 1.918 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])Cc1nccs1 ZINC001266035533 902807608 /nfs/dbraw/zinc/80/76/08/902807608.db2.gz NRKGUVOZHGHNLX-UHFFFAOYSA-N -1 1 320.418 1.886 20 0 DDADMM C[C@@H](NC[C@H](C)N(C)C(=O)c1ncccc1[O-])c1ncccn1 ZINC001392050187 903168918 /nfs/dbraw/zinc/16/89/18/903168918.db2.gz NIEURQWMQAGNTQ-NWDGAFQWSA-N -1 1 315.377 1.389 20 0 DDADMM CN(C(=O)Cc1ccccc1OCc1ccncc1)c1nn[n-]n1 ZINC001279188489 903189964 /nfs/dbraw/zinc/18/99/64/903189964.db2.gz WUSAHPCMLDIPEZ-UHFFFAOYSA-N -1 1 324.344 1.379 20 0 DDADMM Cc1occc1C(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001279950922 903459101 /nfs/dbraw/zinc/45/91/01/903459101.db2.gz NHYNJDHMVYKCMU-LLVKDONJSA-N -1 1 319.365 1.054 20 0 DDADMM O=C(Cc1ccc(OCc2ccccc2)cc1)Nc1nnn[n-]1 ZINC001293901036 914757598 /nfs/dbraw/zinc/75/75/98/914757598.db2.gz RWMOTDYTGMFSJY-UHFFFAOYSA-N -1 1 309.329 1.960 20 0 DDADMM O=C(Cc1ccc(OCc2ccccc2)cc1)Nc1nn[n-]n1 ZINC001293901036 914757614 /nfs/dbraw/zinc/75/76/14/914757614.db2.gz RWMOTDYTGMFSJY-UHFFFAOYSA-N -1 1 309.329 1.960 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cscc1Cl ZINC001392569779 904493800 /nfs/dbraw/zinc/49/38/00/904493800.db2.gz XXBOFBXWMKPINU-LURJTMIESA-N -1 1 315.786 1.133 20 0 DDADMM CC[C@H](F)CN(C)C[C@@H](C)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001392724428 905067230 /nfs/dbraw/zinc/06/72/30/905067230.db2.gz OBFAAVSUROMXEN-ZJUUUORDSA-N -1 1 324.356 1.727 20 0 DDADMM CC(C)[C@H](C)CC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001393304436 906692792 /nfs/dbraw/zinc/69/27/92/906692792.db2.gz JAUZLFRNMOKPNO-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM CSCC(=O)N(CCCNC(=O)c1ncccc1[O-])C1CC1 ZINC001283785956 907959881 /nfs/dbraw/zinc/95/98/81/907959881.db2.gz UZWMXWJXQUZGHF-UHFFFAOYSA-N -1 1 323.418 1.261 20 0 DDADMM C[C@H](CNC(=O)C1CC2(CC2)C1)NC(=O)c1ncccc1[O-] ZINC001373080122 908907812 /nfs/dbraw/zinc/90/78/12/908907812.db2.gz XNGQPTRUNZLLSF-SNVBAGLBSA-N -1 1 303.362 1.212 20 0 DDADMM CCCC(=O)N1CCC[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001394539784 909939791 /nfs/dbraw/zinc/93/97/91/909939791.db2.gz ZQFVAXITQCTIQL-KRWDZBQOSA-N -1 1 319.405 1.946 20 0 DDADMM C[C@H](CNC(=O)CCCC1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001395153372 911472687 /nfs/dbraw/zinc/47/26/87/911472687.db2.gz QKIIGRUDROBQET-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM COc1cc(CNC(=O)c2cnncc2[O-])c2ccccc2n1 ZINC001294072528 914898130 /nfs/dbraw/zinc/89/81/30/914898130.db2.gz CIHOOSWVBVEGAN-UHFFFAOYSA-N -1 1 310.313 1.669 20 0 DDADMM CCC[C@H](CC)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001397155995 915301075 /nfs/dbraw/zinc/30/10/75/915301075.db2.gz MMGMNDHFSSLMMI-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CC/C(C)=C/C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001294880851 915430956 /nfs/dbraw/zinc/43/09/56/915430956.db2.gz COHQUPNWVFIGQH-YGNAEDSMSA-N -1 1 317.389 1.768 20 0 DDADMM O=C(Nc1[nH]nc2c1cccc2Cl)c1n[nH]c(=O)[n-]c1=O ZINC001295332172 915720392 /nfs/dbraw/zinc/72/03/92/915720392.db2.gz WLJGBIIZXZSFIF-UHFFFAOYSA-N -1 1 306.669 1.065 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])Cc1nnc(C)s1 ZINC001377295283 920940688 /nfs/dbraw/zinc/94/06/88/920940688.db2.gz ALGHMRKNKIZHFZ-UHFFFAOYSA-N -1 1 321.406 1.199 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])Cc1cnns1 ZINC001377478316 922059475 /nfs/dbraw/zinc/05/94/75/922059475.db2.gz KGFMLYOLPNZSNW-UHFFFAOYSA-N -1 1 321.406 1.281 20 0 DDADMM CCC[C@H](C)CC(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001377682038 922688405 /nfs/dbraw/zinc/68/84/05/922688405.db2.gz ZHGDGXMNQIBEGW-STQMWFEESA-N -1 1 323.441 1.665 20 0 DDADMM NC(=O)c1[nH]nnc1NC(=O)[C@@H]1CCC[C@H]1Cc1ccccc1 ZINC000622868396 365548918 /nfs/dbraw/zinc/54/89/18/365548918.db2.gz LFDQQWUWAMHPEH-NWDGAFQWSA-N -1 1 313.361 1.501 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cccc(C4CC4)c3)nc2n1 ZINC000622869681 365549053 /nfs/dbraw/zinc/54/90/53/365549053.db2.gz CKJTXZIDJAEBRX-UHFFFAOYSA-N -1 1 309.329 1.856 20 0 DDADMM CCOC1(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)CCCC1 ZINC000622869799 365549159 /nfs/dbraw/zinc/54/91/59/365549159.db2.gz GVNUAISHOSGRJH-UHFFFAOYSA-N -1 1 305.338 1.014 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3CC(C)(C)C)nc2n1 ZINC000622993094 365585362 /nfs/dbraw/zinc/58/53/62/365585362.db2.gz AZVRAAQKDVUEKA-GXSJLCMTSA-N -1 1 317.393 1.991 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3(C4CCC4)CCC3)nc2n1 ZINC000622993533 365586838 /nfs/dbraw/zinc/58/68/38/365586838.db2.gz ROTMTLAKXSNFFG-UHFFFAOYSA-N -1 1 315.377 1.889 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CCc3ccc(C)o3)nc2n1 ZINC000622994511 365587015 /nfs/dbraw/zinc/58/70/15/365587015.db2.gz SAGJRNSKRMEGBY-UHFFFAOYSA-N -1 1 315.333 1.453 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@](C)(OC)C3CC3)nc2n1 ZINC000622997557 365590387 /nfs/dbraw/zinc/59/03/87/365590387.db2.gz BUVPURURFMCFRY-HNNXBMFYSA-N -1 1 319.365 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)Cc3cscn3)nc2n1 ZINC000622998649 365591463 /nfs/dbraw/zinc/59/14/63/365591463.db2.gz MYECRTNQZNZMRK-UHFFFAOYSA-N -1 1 318.362 1.008 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCSC3)nc2n1 ZINC000622997776 365592044 /nfs/dbraw/zinc/59/20/44/365592044.db2.gz LDOGEGUJIWFDBJ-SECBINFHSA-N -1 1 321.406 1.452 20 0 DDADMM CCc1c[nH]c(=O)c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000614389351 361833329 /nfs/dbraw/zinc/83/33/29/361833329.db2.gz MBSQXRWPQSKXNG-JTQLQIEISA-N -1 1 318.333 1.458 20 0 DDADMM COCC[C@@](C)(O)C[N-]S(=O)(=O)c1sccc1Cl ZINC000451227387 231039684 /nfs/dbraw/zinc/03/96/84/231039684.db2.gz LBYLEKNZQZFPOC-SNVBAGLBSA-N -1 1 313.828 1.467 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)c1ccc(C)c(F)c1 ZINC000451651343 231151929 /nfs/dbraw/zinc/15/19/29/231151929.db2.gz WISDFSPASNCSIS-MRVPVSSYSA-N -1 1 313.354 1.883 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](C)c1ccc(C)c(F)c1 ZINC000451651343 231151932 /nfs/dbraw/zinc/15/19/32/231151932.db2.gz WISDFSPASNCSIS-MRVPVSSYSA-N -1 1 313.354 1.883 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCCC1CCOCC1)c2=O ZINC000452207875 231330126 /nfs/dbraw/zinc/33/01/26/231330126.db2.gz OBEFJSITLFFCBY-UHFFFAOYSA-N -1 1 318.377 1.611 20 0 DDADMM CC[C@@]1(C(C)C)C[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000457305396 529520881 /nfs/dbraw/zinc/52/08/81/529520881.db2.gz VGDSUMSIJHTFLG-WBMJQRKESA-N -1 1 305.378 1.458 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H](c2ccccc2)[C@](F)(CO)C1 ZINC000452499287 231388230 /nfs/dbraw/zinc/38/82/30/231388230.db2.gz LBWZYYJNFJRLFD-SUMWQHHRSA-N -1 1 316.332 1.727 20 0 DDADMM Cc1nc(CNC(=O)c2ccc3[nH]nnc3c2)sc1C(=O)[O-] ZINC000315934077 539295595 /nfs/dbraw/zinc/29/55/95/539295595.db2.gz XSHACWIHIKQXAE-UHFFFAOYSA-N -1 1 317.330 1.351 20 0 DDADMM Cc1nn(CC(C)C)c(Cl)c1C=CC(=O)Nc1nnn[n-]1 ZINC000255367081 282251497 /nfs/dbraw/zinc/25/14/97/282251497.db2.gz MKVAEPNSMGSFAQ-PLNGDYQASA-N -1 1 309.761 1.666 20 0 DDADMM Cc1nn(CC(C)C)c(Cl)c1C=CC(=O)Nc1nn[n-]n1 ZINC000255367081 282251501 /nfs/dbraw/zinc/25/15/01/282251501.db2.gz MKVAEPNSMGSFAQ-PLNGDYQASA-N -1 1 309.761 1.666 20 0 DDADMM CN1CCN(c2ccc(NC(=O)c3ncccc3[O-])cn2)CC1 ZINC000332524394 529777437 /nfs/dbraw/zinc/77/74/37/529777437.db2.gz RBLYPGCBUIWSTJ-UHFFFAOYSA-N -1 1 313.361 1.186 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2coc3ccccc23)n[n-]1 ZINC000615917947 362485805 /nfs/dbraw/zinc/48/58/05/362485805.db2.gz QAWIBMXQVRLOJY-UHFFFAOYSA-N -1 1 314.301 1.658 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2coc3ccccc23)n1 ZINC000615917947 362485810 /nfs/dbraw/zinc/48/58/10/362485810.db2.gz QAWIBMXQVRLOJY-UHFFFAOYSA-N -1 1 314.301 1.658 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCc2ccc(C)o2)n[n-]1 ZINC000615920174 362485968 /nfs/dbraw/zinc/48/59/68/362485968.db2.gz NUEJEKUCNYAFBS-UHFFFAOYSA-N -1 1 306.322 1.132 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCc2ccc(C)o2)n1 ZINC000615920174 362485977 /nfs/dbraw/zinc/48/59/77/362485977.db2.gz NUEJEKUCNYAFBS-UHFFFAOYSA-N -1 1 306.322 1.132 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H](C)C2CCC2)c1 ZINC000457833107 530031871 /nfs/dbraw/zinc/03/18/71/530031871.db2.gz RWFJVHLRLVSPGW-SECBINFHSA-N -1 1 312.391 1.675 20 0 DDADMM COC[C@H](CCO)NC(=O)c1ccc(Br)cc1[O-] ZINC000225915013 201762597 /nfs/dbraw/zinc/76/25/97/201762597.db2.gz SUJJDFQLOLSGDP-VIFPVBQESA-N -1 1 318.167 1.282 20 0 DDADMM CCO[C@@H]1C[C@@](NC(=O)c2cncc([O-])c2)(C(=O)OC)C1(C)C ZINC000286749457 219146055 /nfs/dbraw/zinc/14/60/55/219146055.db2.gz JQAGKAARUXHEBY-MLGOLLRUSA-N -1 1 322.361 1.264 20 0 DDADMM O=c1[n-]c(-c2cnc(NCC(F)F)cn2)nc2ccc(O)cc12 ZINC000352207561 539428782 /nfs/dbraw/zinc/42/87/82/539428782.db2.gz ZJQWVETVSJXRHZ-UHFFFAOYSA-N -1 1 319.271 1.597 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cnn3c2CCCC3)c1Br ZINC000616006826 362518252 /nfs/dbraw/zinc/51/82/52/362518252.db2.gz LOWLNNOORKYVCW-UHFFFAOYSA-N -1 1 324.182 1.688 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCO[C@H](C)C2)c1Br ZINC000616009217 362519094 /nfs/dbraw/zinc/51/90/94/362519094.db2.gz SDPZAPGAPPKLAK-SVRRBLITSA-N -1 1 302.172 1.656 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H](C)OCC2CC2)c1Br ZINC000616010783 362520515 /nfs/dbraw/zinc/52/05/15/362520515.db2.gz RDOWXQZBUXNICD-ZETCQYMHSA-N -1 1 302.172 1.656 20 0 DDADMM CC[C@H](C)N1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)CC1 ZINC000615985094 362509634 /nfs/dbraw/zinc/50/96/34/362509634.db2.gz SPVIUGSITIXPKN-JTQLQIEISA-N -1 1 304.350 1.485 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@@H]3CCNC3=O)[nH][n-]2)c1 ZINC000616250970 362602177 /nfs/dbraw/zinc/60/21/77/362602177.db2.gz GUENSQHLRNJKMT-SECBINFHSA-N -1 1 302.309 1.021 20 0 DDADMM CO[C@H](C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1)[C@H]1CCOC1 ZINC000616811138 362829481 /nfs/dbraw/zinc/82/94/81/362829481.db2.gz KPQPQEITIGDZPL-HZMBPMFUSA-N -1 1 321.402 1.859 20 0 DDADMM C[C@@H](C(=O)Nc1nc(Cl)ccc1[O-])n1nnnc1C(C)(C)C ZINC000279810046 215166028 /nfs/dbraw/zinc/16/60/28/215166028.db2.gz UDRLRBFXIXYRBD-ZETCQYMHSA-N -1 1 324.772 1.924 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCC(CCCO)CC3)ccnc1-2 ZINC000287028974 219277630 /nfs/dbraw/zinc/27/76/30/219277630.db2.gz CQDBILAVSVRRAK-RGEXLXHISA-N -1 1 317.393 1.358 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCCCC1CCCCC1 ZINC000343093171 282635633 /nfs/dbraw/zinc/63/56/33/282635633.db2.gz FLOJNWJKPIQYHB-UHFFFAOYSA-N -1 1 319.405 1.994 20 0 DDADMM Cc1nc(NC(=O)CNC(=O)c2ncccc2[O-])sc1C ZINC000343184494 282638284 /nfs/dbraw/zinc/63/82/84/282638284.db2.gz UOVLZQDJRUCDLK-UHFFFAOYSA-N -1 1 306.347 1.229 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)C[C@H]3OCCc4ccccc43)ccnc1-2 ZINC000279969876 215279315 /nfs/dbraw/zinc/27/93/15/215279315.db2.gz YQUMMDPVSBFZER-KQRQPNSISA-N -1 1 322.368 1.984 20 0 DDADMM C[C@H]1CC[C@@H](CNC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000331386236 233259994 /nfs/dbraw/zinc/25/99/94/233259994.db2.gz HSJXMJLXZKUMOW-WDEREUQCSA-N -1 1 324.454 1.218 20 0 DDADMM COC[C@H](C[N-]S(=O)(=O)c1cc(F)c(F)cc1F)OC ZINC000358482800 299168022 /nfs/dbraw/zinc/16/80/22/299168022.db2.gz LBONYZRPDAFZIJ-ZETCQYMHSA-N -1 1 313.297 1.044 20 0 DDADMM Cc1c(C[N-]S(=O)(=O)c2c(F)cc(F)cc2F)cnn1C ZINC000152631007 186091897 /nfs/dbraw/zinc/09/18/97/186091897.db2.gz KXCDHOHWKXJZHA-UHFFFAOYSA-N -1 1 319.308 1.624 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1c(F)cccc1F)C(=O)OC ZINC000164357944 186157222 /nfs/dbraw/zinc/15/72/22/186157222.db2.gz WVHLOKJUCPDKCC-NSHDSACASA-N -1 1 307.318 1.336 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC(C1CC1)C1CC1 ZINC000171446666 186161467 /nfs/dbraw/zinc/16/14/67/186161467.db2.gz IDRMIOZFILBDHT-UHFFFAOYSA-N -1 1 314.389 1.487 20 0 DDADMM C[C@H](Cn1ccnc1)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000264248775 186326580 /nfs/dbraw/zinc/32/65/80/186326580.db2.gz ABWIEPSRPHZEOH-MRVPVSSYSA-N -1 1 319.308 1.667 20 0 DDADMM CCNC(=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)cc1 ZINC000172366170 198086388 /nfs/dbraw/zinc/08/63/88/198086388.db2.gz FMYYJZLYVUBQEJ-ZDUSSCGKSA-N -1 1 312.391 1.357 20 0 DDADMM COc1ccc(NC(=O)c2ccncc2)cc1[N-]S(C)(=O)=O ZINC000029817418 352245259 /nfs/dbraw/zinc/24/52/59/352245259.db2.gz BCPKNVSHCTVKAL-UHFFFAOYSA-N -1 1 321.358 1.714 20 0 DDADMM COc1ccc(NC(=O)CCSC)cc1[N-]S(C)(=O)=O ZINC000029818019 352245648 /nfs/dbraw/zinc/24/56/48/352245648.db2.gz SKJJNEHLJLFLND-UHFFFAOYSA-N -1 1 318.420 1.758 20 0 DDADMM COC[C@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)CCO1 ZINC000172878894 198162380 /nfs/dbraw/zinc/16/23/80/198162380.db2.gz LSCZIAYLVNRQMW-CYBMUJFWSA-N -1 1 305.330 1.346 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H](CO)C1CC1 ZINC000331813999 234152832 /nfs/dbraw/zinc/15/28/32/234152832.db2.gz HCFUDOVQNUOPLI-NSHDSACASA-N -1 1 307.394 1.536 20 0 DDADMM CCO[N-]C(=O)CN1CCC(NC(=O)OC(C)(C)C)CC1 ZINC000052909726 352632426 /nfs/dbraw/zinc/63/24/26/352632426.db2.gz YGCOXWAUOVCWBE-UHFFFAOYSA-N -1 1 301.387 1.043 20 0 DDADMM CCn1c(CNC(=O)CNC(=O)OC(C)(C)C)n[n-]c1=S ZINC000066637144 353009917 /nfs/dbraw/zinc/00/99/17/353009917.db2.gz JWWLIUVEXZRPJE-UHFFFAOYSA-N -1 1 315.399 1.101 20 0 DDADMM COC(C)(C)C[C@H](C)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000173519810 198223655 /nfs/dbraw/zinc/22/36/55/198223655.db2.gz QKRYCYPOQAVZPJ-QMMMGPOBSA-N -1 1 309.819 1.555 20 0 DDADMM CC[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CCOCC1 ZINC000072732856 353212989 /nfs/dbraw/zinc/21/29/89/353212989.db2.gz WFRTYRLEDWRUOE-CYBMUJFWSA-N -1 1 315.373 1.858 20 0 DDADMM O=S(=O)(C[C@@H]1CCCCO1)[N-]c1ccccc1-n1cncn1 ZINC000076935822 353443237 /nfs/dbraw/zinc/44/32/37/353443237.db2.gz UFUCLOPEZCOJOJ-LBPRGKRZSA-N -1 1 322.390 1.578 20 0 DDADMM Cc1nsc(N2CCCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000174731083 198377083 /nfs/dbraw/zinc/37/70/83/198377083.db2.gz YQBAHLGFDAGYBG-UHFFFAOYSA-N -1 1 319.390 1.300 20 0 DDADMM CC(C)n1c(CNC(=O)[C@H]2CCc3c[nH]nc3C2)n[n-]c1=S ZINC000080173294 353594389 /nfs/dbraw/zinc/59/43/89/353594389.db2.gz QPOGWKRRHYKXAF-VIFPVBQESA-N -1 1 320.422 1.666 20 0 DDADMM O=C(N[C@@H]1CC[S@@](=O)C1)c1ccc(Br)cc1[O-] ZINC000081788697 353692860 /nfs/dbraw/zinc/69/28/60/353692860.db2.gz SAVUYNHPBSEUHB-RBHXEPJQSA-N -1 1 318.192 1.406 20 0 DDADMM CCc1nn(C)cc1C[N-]S(=O)(=O)c1c(F)cccc1F ZINC000093023002 353882670 /nfs/dbraw/zinc/88/26/70/353882670.db2.gz WYOBQOXTUFMPNC-UHFFFAOYSA-N -1 1 315.345 1.739 20 0 DDADMM C[C@H]1CN(CCNC(=O)c2ccc(Cl)cc2[O-])[C@@H](C)CO1 ZINC000617738606 363276959 /nfs/dbraw/zinc/27/69/59/363276959.db2.gz QZVLDWAVFGKCCN-QWRGUYRKSA-N -1 1 312.797 1.885 20 0 DDADMM COc1cc(C(=O)NCCC[S@](C)=O)cc(Cl)c1[O-] ZINC000358640814 299210934 /nfs/dbraw/zinc/21/09/34/299210934.db2.gz WCWPDTMNYRMXLL-IBGZPJMESA-N -1 1 305.783 1.553 20 0 DDADMM O=C(Cc1cnc2ccccc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000132783182 354106412 /nfs/dbraw/zinc/10/64/12/354106412.db2.gz JOJWNARTPJOFMD-CQSZACIVSA-N -1 1 322.372 1.697 20 0 DDADMM CC(=O)c1nc(N2CCN(C(C)(C)C(=O)[O-])CC2)sc1C ZINC000565040707 304038964 /nfs/dbraw/zinc/03/89/64/304038964.db2.gz KONJOEMJELKIJY-UHFFFAOYSA-N -1 1 311.407 1.639 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CCC(=O)N=c1cc[nH][nH]1 ZINC000588098437 354901545 /nfs/dbraw/zinc/90/15/45/354901545.db2.gz DAYGOHYOXMNZTP-UHFFFAOYSA-N -1 1 324.344 1.269 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)c2ccc([O-])c(F)c2)n1C ZINC000588710028 354930107 /nfs/dbraw/zinc/93/01/07/354930107.db2.gz UTPNGRQJWFUGEK-UHFFFAOYSA-N -1 1 307.281 1.694 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc3n[nH]cc3c2)n1 ZINC000590356665 355077017 /nfs/dbraw/zinc/07/70/17/355077017.db2.gz JFWJMQRPWZYKFG-UHFFFAOYSA-N -1 1 313.317 1.644 20 0 DDADMM Cc1csc(NC(=O)CSc2nc(C)c(C)c(=O)[n-]2)n1 ZINC000005155224 181211112 /nfs/dbraw/zinc/21/11/12/181211112.db2.gz WBOMSEXGYXZPQF-UHFFFAOYSA-N -1 1 310.404 1.882 20 0 DDADMM CCN1C[C@H](C)N(C(=O)N=c2ccc(OC(C)C)n[n-]2)C[C@H]1C ZINC000346585767 283130728 /nfs/dbraw/zinc/13/07/28/283130728.db2.gz MCXKMOSXFPLAMQ-OLZOCXBDSA-N -1 1 321.425 1.632 20 0 DDADMM Cn1[n-]c(=O)c2c1nc(C1CC1)cc2C(=O)NCc1cocn1 ZINC000593983132 356079665 /nfs/dbraw/zinc/07/96/65/356079665.db2.gz JDQJQLHFHDPPHC-UHFFFAOYSA-N -1 1 313.317 1.469 20 0 DDADMM CCOC(=O)[C@H](NCc1nc(=O)n(C)[n-]1)C1CCCCCC1 ZINC000594047582 356098372 /nfs/dbraw/zinc/09/83/72/356098372.db2.gz NFSHNIXGMHGRNH-CYBMUJFWSA-N -1 1 310.398 1.100 20 0 DDADMM Cc1nc(S[C@H](C)C(=O)NC[C@H]2CCCO2)[n-]c(=O)c1C ZINC000346717518 283145847 /nfs/dbraw/zinc/14/58/47/283145847.db2.gz RJQIGAARSJDRGU-GHMZBOCLSA-N -1 1 311.407 1.575 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC[C@H](C)OC)cc1C ZINC000595311978 356444472 /nfs/dbraw/zinc/44/44/72/356444472.db2.gz QDUYURUIOUJYAM-VIFPVBQESA-N -1 1 305.352 1.078 20 0 DDADMM CCC[C@@](C)(O)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595315735 356446561 /nfs/dbraw/zinc/44/65/61/356446561.db2.gz SGVXJIKYLQUTQG-CYBMUJFWSA-N -1 1 319.379 1.204 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncc2ccccc2c1[O-])C1CC1 ZINC000597326059 357135533 /nfs/dbraw/zinc/13/55/33/357135533.db2.gz PMFATSPTGMPLFN-GFCCVEGCSA-N -1 1 300.314 1.622 20 0 DDADMM C[C@@H]1[C@H](C)[S@@](=O)CCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000597276699 357118345 /nfs/dbraw/zinc/11/83/45/357118345.db2.gz SFXPDRRRDBAEDN-AUWXTCHYSA-N -1 1 318.398 1.922 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cnc(C(=O)OC(C)(C)C)cn1 ZINC000597693731 357287418 /nfs/dbraw/zinc/28/74/18/357287418.db2.gz QRLBAOZBQYMSSH-UHFFFAOYSA-N -1 1 319.321 1.421 20 0 DDADMM COC(=O)CC1(NC(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000597811050 357340405 /nfs/dbraw/zinc/34/04/05/357340405.db2.gz AQMADWIUPNIPDQ-UHFFFAOYSA-N -1 1 300.314 1.766 20 0 DDADMM Cn1[n-]nnc1=NC[C@H]1CCCCN1Cc1cccc(Cl)c1 ZINC000597950354 357397035 /nfs/dbraw/zinc/39/70/35/357397035.db2.gz BJFGFJOTOBTHPV-CQSZACIVSA-N -1 1 320.828 1.752 20 0 DDADMM COC(=O)C[C@H](CNC(=O)c1c(F)ccc([O-])c1F)C1CC1 ZINC000598271854 357517692 /nfs/dbraw/zinc/51/76/92/357517692.db2.gz LBTWLOUBFXOPSY-SECBINFHSA-N -1 1 313.300 1.990 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC[C@H]2CC2(F)F)c1 ZINC000598621495 357657622 /nfs/dbraw/zinc/65/76/22/357657622.db2.gz GUIHVDCTYBQVSL-QMMMGPOBSA-N -1 1 309.290 1.390 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H](C)Cc1ccco1 ZINC000598825167 357736632 /nfs/dbraw/zinc/73/66/32/357736632.db2.gz SLGKKZMEFKIOJU-SNVBAGLBSA-N -1 1 305.334 1.429 20 0 DDADMM CCn1cc(S(=O)(=O)[N-][C@](C)(COC)C(F)(F)F)cn1 ZINC000358811042 299264692 /nfs/dbraw/zinc/26/46/92/299264692.db2.gz BAPGNJQMRGZUET-SECBINFHSA-N -1 1 315.317 1.149 20 0 DDADMM CSc1ncc(C(=O)NCc2nn[n-]n2)n1-c1ccccc1 ZINC000599334227 357897922 /nfs/dbraw/zinc/89/79/22/357897922.db2.gz HYOZMWIVMKISJM-UHFFFAOYSA-N -1 1 315.362 1.037 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)C23CC(C2)C3)n[n-]1 ZINC000599847701 358069451 /nfs/dbraw/zinc/06/94/51/358069451.db2.gz XXNUXIPLAGIHBG-CSJGVYOVSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)C23CC(C2)C3)[n-]1 ZINC000599847701 358069453 /nfs/dbraw/zinc/06/94/53/358069453.db2.gz XXNUXIPLAGIHBG-CSJGVYOVSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)C23CC(C2)C3)n1 ZINC000599847701 358069456 /nfs/dbraw/zinc/06/94/56/358069456.db2.gz XXNUXIPLAGIHBG-CSJGVYOVSA-N -1 1 320.393 1.985 20 0 DDADMM CC(C)Cc1nc(=NC(=O)[C@@H]2CCCc3[nH]ncc32)s[n-]1 ZINC000618348516 363598950 /nfs/dbraw/zinc/59/89/50/363598950.db2.gz QHBAKIMKWQJGDJ-SECBINFHSA-N -1 1 305.407 1.940 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2cncc([O-])c2)[C@@H]2CCC[C@@H]21 ZINC000600553149 358255442 /nfs/dbraw/zinc/25/54/42/358255442.db2.gz SHLBFSOQYTUPFI-MGPQQGTHSA-N -1 1 304.346 1.591 20 0 DDADMM CCCCSCCC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000600867979 358332804 /nfs/dbraw/zinc/33/28/04/358332804.db2.gz DVBPHNMVAHQSND-CYBMUJFWSA-N -1 1 316.467 1.917 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)[C@@H]2CCC(=O)N2)CC1 ZINC000600935854 358347916 /nfs/dbraw/zinc/34/79/16/358347916.db2.gz AUHCRLIZMJLTRF-AWEZNQCLSA-N -1 1 316.357 1.092 20 0 DDADMM CO[C@H](C)c1nc(=NC[C@@H]2CCCN2CC(F)F)s[n-]1 ZINC000624519285 366425237 /nfs/dbraw/zinc/42/52/37/366425237.db2.gz BTBZTRLWBWMRDC-BDAKNGLRSA-N -1 1 306.382 1.809 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C1CC1 ZINC000601361785 358515976 /nfs/dbraw/zinc/51/59/76/358515976.db2.gz OXYZYURKCOTMLM-VIFPVBQESA-N -1 1 309.290 1.447 20 0 DDADMM O=C(CNC(=O)c1cc2ccccc2cc1[O-])N1CCOCC1 ZINC000181070501 199247841 /nfs/dbraw/zinc/24/78/41/199247841.db2.gz OOSCBDRDKACLHD-UHFFFAOYSA-N -1 1 314.341 1.134 20 0 DDADMM COC(=O)[C@H](CCF)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601648847 358635811 /nfs/dbraw/zinc/63/58/11/358635811.db2.gz GXBBARGWRDLWDJ-JTQLQIEISA-N -1 1 303.717 1.606 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(SC)CC2)o1 ZINC000601693753 358656286 /nfs/dbraw/zinc/65/62/86/358656286.db2.gz UZNMBSVOHPGSPS-UHFFFAOYSA-N -1 1 318.420 1.155 20 0 DDADMM COC(=O)[C@]1(C)C[C@@H](OC)CN1C(=O)c1ccc([O-])c(F)c1 ZINC000601745525 358675270 /nfs/dbraw/zinc/67/52/70/358675270.db2.gz YUBSAMYKQYLQIX-BMIGLBTASA-N -1 1 311.309 1.324 20 0 DDADMM CN1CCC[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1=O ZINC000360459202 306982282 /nfs/dbraw/zinc/98/22/82/306982282.db2.gz JQVRXVXIYRUMHE-QMMMGPOBSA-N -1 1 322.308 1.003 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCCC(F)(F)C2)n[n-]1 ZINC000603018310 359360214 /nfs/dbraw/zinc/36/02/14/359360214.db2.gz QDLCHFDYGZSWSA-MRVPVSSYSA-N -1 1 316.308 1.423 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCCC(F)(F)C2)n1 ZINC000603018310 359360218 /nfs/dbraw/zinc/36/02/18/359360218.db2.gz QDLCHFDYGZSWSA-MRVPVSSYSA-N -1 1 316.308 1.423 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2=CCC(C)(C)CC2)n[n-]1 ZINC000603023373 359365903 /nfs/dbraw/zinc/36/59/03/359365903.db2.gz SALVAYCNGQMEHD-UHFFFAOYSA-N -1 1 306.366 1.734 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2=CCC(C)(C)CC2)n1 ZINC000603023373 359365905 /nfs/dbraw/zinc/36/59/05/359365905.db2.gz SALVAYCNGQMEHD-UHFFFAOYSA-N -1 1 306.366 1.734 20 0 DDADMM CSCC(C)(C)C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000603152883 359439480 /nfs/dbraw/zinc/43/94/80/359439480.db2.gz PXQCXVQPSVIYKX-UHFFFAOYSA-N -1 1 301.437 1.910 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCCC[C@H]2C)n[n-]1 ZINC000603157974 359443981 /nfs/dbraw/zinc/44/39/81/359443981.db2.gz XPWNMXXAPONRDD-OUAUKWLOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCCC[C@H]2C)[n-]1 ZINC000603157974 359443986 /nfs/dbraw/zinc/44/39/86/359443986.db2.gz XPWNMXXAPONRDD-OUAUKWLOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCCC[C@H]2C)n1 ZINC000603157974 359443990 /nfs/dbraw/zinc/44/39/90/359443990.db2.gz XPWNMXXAPONRDD-OUAUKWLOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(C(F)(F)F)CC2)n[n-]1 ZINC000603166673 359450844 /nfs/dbraw/zinc/45/08/44/359450844.db2.gz QSABORSBCDYWHD-ZCFIWIBFSA-N -1 1 320.271 1.501 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(C(F)(F)F)CC2)[n-]1 ZINC000603166673 359450849 /nfs/dbraw/zinc/45/08/49/359450849.db2.gz QSABORSBCDYWHD-ZCFIWIBFSA-N -1 1 320.271 1.501 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(C(F)(F)F)CC2)n1 ZINC000603166673 359450851 /nfs/dbraw/zinc/45/08/51/359450851.db2.gz QSABORSBCDYWHD-ZCFIWIBFSA-N -1 1 320.271 1.501 20 0 DDADMM COC(=O)c1c(C)oc(C)c1S(=O)(=O)[N-][C@H](C)C(F)F ZINC000603422150 359630157 /nfs/dbraw/zinc/63/01/57/359630157.db2.gz DJYZAUXSUPBFKC-RXMQYKEDSA-N -1 1 311.306 1.615 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCC[C@H]1CCO ZINC000187807523 200160284 /nfs/dbraw/zinc/16/02/84/200160284.db2.gz NLUOOBZDANINGW-NWDGAFQWSA-N -1 1 321.421 1.657 20 0 DDADMM CO[C@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CC[C@H]1C ZINC000187755176 200153803 /nfs/dbraw/zinc/15/38/03/200153803.db2.gz ODQJOPGPBGTPDG-PWSUYJOCSA-N -1 1 321.421 1.873 20 0 DDADMM C[C@@H](OC[C@@H]1CCCO1)C(=O)Nc1nc(Cl)ccc1[O-] ZINC000188349702 200232080 /nfs/dbraw/zinc/23/20/80/200232080.db2.gz UQNJUGSSGRYGQM-BDAKNGLRSA-N -1 1 300.742 1.963 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1ccc(Cl)cc1 ZINC000281125917 216096635 /nfs/dbraw/zinc/09/66/35/216096635.db2.gz IBRXDXPWOUEGLY-UHFFFAOYSA-N -1 1 315.782 1.740 20 0 DDADMM O=C(CNC(=O)COc1ccccc1)Nc1c([O-])cccc1F ZINC000605440099 359858071 /nfs/dbraw/zinc/85/80/71/359858071.db2.gz KJBTXWPPEIGION-UHFFFAOYSA-N -1 1 318.304 1.665 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H]1CCO)c1cc(F)ccc1F ZINC000188899995 200319128 /nfs/dbraw/zinc/31/91/28/200319128.db2.gz AYJJYAPXYKSGAB-CABZTGNLSA-N -1 1 305.346 1.794 20 0 DDADMM NC(=O)c1ccc(S(=O)(=O)[N-]c2c(O)cccc2F)cc1 ZINC000608004744 360099427 /nfs/dbraw/zinc/09/94/27/360099427.db2.gz RKYYPJZVWNIZPH-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1nc(C)c(C)s1 ZINC000618685776 363720704 /nfs/dbraw/zinc/72/07/04/363720704.db2.gz GOEDTKNQXJILPV-UHFFFAOYSA-N -1 1 308.363 1.344 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@]2(C)CCCNC2=O)c1 ZINC000374484784 307208821 /nfs/dbraw/zinc/20/88/21/307208821.db2.gz NYOWUTSCYNOFPW-OAHLLOKOSA-N -1 1 306.318 1.034 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CCO[C@@H](C)C1 ZINC000271929683 209331357 /nfs/dbraw/zinc/33/13/57/209331357.db2.gz KLVJUUGPYRDXEE-CABZTGNLSA-N -1 1 318.377 1.071 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)c1 ZINC000613205359 361322865 /nfs/dbraw/zinc/32/28/65/361322865.db2.gz CNCCHACTEOSTPH-IEBDPFPHSA-N -1 1 310.375 1.414 20 0 DDADMM O=C(CCCF)N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000613554146 361466214 /nfs/dbraw/zinc/46/62/14/361466214.db2.gz DUHVXCXWUBNVSH-NSHDSACASA-N -1 1 315.711 1.948 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H](C)OC)C1CCCCC1 ZINC000619680370 364113825 /nfs/dbraw/zinc/11/38/25/364113825.db2.gz ONYJTSGUXJBLHS-PWSUYJOCSA-N -1 1 307.412 1.063 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC(C)(C2CC2)C2CC2)co1 ZINC000620026258 364250764 /nfs/dbraw/zinc/25/07/64/364250764.db2.gz SYARKEXMDCXHND-UHFFFAOYSA-N -1 1 312.391 1.496 20 0 DDADMM CN(C)C(=O)N(C)[C@@H]1CCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000619980664 364228578 /nfs/dbraw/zinc/22/85/78/364228578.db2.gz QFJGCIRBUBCIGA-LLVKDONJSA-N -1 1 309.341 1.359 20 0 DDADMM CCC(=O)N[C@@H](C)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000620188904 364331630 /nfs/dbraw/zinc/33/16/30/364331630.db2.gz OLAHVPZLPNIEDB-VIFPVBQESA-N -1 1 302.334 1.636 20 0 DDADMM CCN1C[C@@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CC1=O ZINC000620206253 364335016 /nfs/dbraw/zinc/33/50/16/364335016.db2.gz PTIMYDSECLEAMJ-VIFPVBQESA-N -1 1 304.375 1.367 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)[C@@H]2CCc3[nH]cnc3C2)s[n-]1 ZINC000622018853 365191486 /nfs/dbraw/zinc/19/14/86/365191486.db2.gz CMVPWRHHJLLITD-JGVFFNPUSA-N -1 1 307.379 1.134 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)[C@H]2CCc3[nH]cnc3C2)s[n-]1 ZINC000622018855 365191619 /nfs/dbraw/zinc/19/16/19/365191619.db2.gz CMVPWRHHJLLITD-YUMQZZPRSA-N -1 1 307.379 1.134 20 0 DDADMM O=C(CCc1ccccn1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622609878 365451034 /nfs/dbraw/zinc/45/10/34/365451034.db2.gz KAIRKNYGNFPDSF-HNNXBMFYSA-N -1 1 308.345 1.433 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccc(Cl)o1 ZINC000622612042 365452687 /nfs/dbraw/zinc/45/26/87/365452687.db2.gz PNRAVBBNSACCOK-LLVKDONJSA-N -1 1 303.709 1.966 20 0 DDADMM COC1CC(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000625606600 367045600 /nfs/dbraw/zinc/04/56/00/367045600.db2.gz UCIWQTSKNHKLLE-UHFFFAOYSA-N -1 1 304.350 1.093 20 0 DDADMM C[C@@H]1CCC[C@H](C)N1C(=O)N1Cc2[nH]cnc2C[C@H]1C(=O)[O-] ZINC000275140140 212146635 /nfs/dbraw/zinc/14/66/35/212146635.db2.gz QDGDYTUNFADREK-CWSCBRNRSA-N -1 1 306.366 1.604 20 0 DDADMM O=C([O-])[C@H](CC(F)(F)F)NC(=O)c1n[nH]c2ccccc21 ZINC000261602305 203078441 /nfs/dbraw/zinc/07/84/41/203078441.db2.gz BYKABPLAFAGOLK-QMMMGPOBSA-N -1 1 301.224 1.698 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(C(=O)c2[nH]nc3ccccc32)C1 ZINC000262486934 203306523 /nfs/dbraw/zinc/30/65/23/203306523.db2.gz KMTXYVPBGZLXLB-OAHLLOKOSA-N -1 1 303.318 1.126 20 0 DDADMM CC[C@H](C)n1nc(C(=O)N=c2nc(C(F)(F)F)[n-][nH]2)cc1C ZINC000350565084 284218640 /nfs/dbraw/zinc/21/86/40/284218640.db2.gz WEAHGFNDCAXVPR-LURJTMIESA-N -1 1 316.287 1.974 20 0 DDADMM CC(C)[C@@H]1N(C(=O)CNC(=O)c2ncccc2[O-])CC1(C)C ZINC000350730648 284250717 /nfs/dbraw/zinc/25/07/17/284250717.db2.gz UDWSJPMYZAXRPD-AWEZNQCLSA-N -1 1 305.378 1.410 20 0 DDADMM COC[C@@H](C)S(=O)(=O)Nc1cc(C(=O)[O-])ccc1OC ZINC000274898421 212018115 /nfs/dbraw/zinc/01/81/15/212018115.db2.gz HPVNMKMZZIOQSF-MRVPVSSYSA-N -1 1 303.336 1.170 20 0 DDADMM CCOC(=O)NCCC(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000282692172 217181572 /nfs/dbraw/zinc/18/15/72/217181572.db2.gz DXLHIVOGFATLGY-UHFFFAOYSA-N -1 1 310.306 1.254 20 0 DDADMM C[C@@H]1OCC[C@]12CN(C(=O)C(=O)c1ccc([O-])cc1)C[C@@H](C)O2 ZINC000288352099 220102305 /nfs/dbraw/zinc/10/23/05/220102305.db2.gz VRQNSDBPXPXMNP-PEBVRCNWSA-N -1 1 319.357 1.370 20 0 DDADMM COC(=O)c1csc(S(=O)(=O)[N-]c2ccncc2F)c1 ZINC000351515003 284356580 /nfs/dbraw/zinc/35/65/80/284356580.db2.gz ZTOUFQKPUBAIFO-UHFFFAOYSA-N -1 1 316.335 1.292 20 0 DDADMM Cc1ccc(F)cc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000283011390 217385744 /nfs/dbraw/zinc/38/57/44/217385744.db2.gz WMJFZHSIPFPBRF-SNVBAGLBSA-N -1 1 304.325 1.565 20 0 DDADMM O=C1COc2ccc(S(=O)(=O)[N-]c3ccccc3)cc2N1 ZINC000024256147 182378239 /nfs/dbraw/zinc/37/82/39/182378239.db2.gz TUJAXIFLBNHYQE-UHFFFAOYSA-N -1 1 304.327 1.818 20 0 DDADMM Cc1[nH]c2ccc(C(=O)NCCCc3nc(=O)[n-][nH]3)cc2c1C ZINC000174580080 248371513 /nfs/dbraw/zinc/37/15/13/248371513.db2.gz MVTDRJRADXLGMR-UHFFFAOYSA-N -1 1 313.361 1.559 20 0 DDADMM O=C(c1ccc2ccncc2n1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000567229080 304205539 /nfs/dbraw/zinc/20/55/39/304205539.db2.gz RLRDBVGAMIYOHC-NSHDSACASA-N -1 1 309.333 1.163 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@@H]2CCCO2)c(=O)[n-]1 ZINC000041887770 183223350 /nfs/dbraw/zinc/22/33/50/183223350.db2.gz RTXQMHFNZNNXQI-JTQLQIEISA-N -1 1 311.407 1.028 20 0 DDADMM CCc1nc(C)c(C(=O)N=c2[nH][n-]c(C)c2C(=O)NC)s1 ZINC000337142253 249364543 /nfs/dbraw/zinc/36/45/43/249364543.db2.gz WXUOHKNIAFDCRB-UHFFFAOYSA-N -1 1 307.379 1.079 20 0 DDADMM COc1cncc(S(=O)(=O)[N-]c2c(F)cccc2CO)c1 ZINC000337177109 249377786 /nfs/dbraw/zinc/37/77/86/249377786.db2.gz JTWRKOQJFATCRH-UHFFFAOYSA-N -1 1 312.322 1.522 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@H](O)C1)c1cc(Cl)ccc1F ZINC000284373620 218000908 /nfs/dbraw/zinc/00/09/08/218000908.db2.gz RLCSDUWCKAIPTL-WPRPVWTQSA-N -1 1 307.774 1.918 20 0 DDADMM O=c1cc(/C=C/c2ccc(-n3cccn3)cc2)nc2nc[n-]n21 ZINC000352035450 284727492 /nfs/dbraw/zinc/72/74/92/284727492.db2.gz RGWASFNEPDTQMH-GORDUTHDSA-N -1 1 304.313 1.774 20 0 DDADMM CC[C@H](C)[C@@H](C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000104865051 194048805 /nfs/dbraw/zinc/04/88/05/194048805.db2.gz SUUAKXBUDKVSPC-VHSXEESVSA-N -1 1 304.394 1.733 20 0 DDADMM Brc1ccc2nnc(SCc3nn[n-]n3)n2c1 ZINC000338591207 250044803 /nfs/dbraw/zinc/04/48/03/250044803.db2.gz WRXFBDGYWDHYBR-UHFFFAOYSA-N -1 1 312.156 1.297 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@@H]1CCC[C@@H]1F ZINC000339119073 250302206 /nfs/dbraw/zinc/30/22/06/250302206.db2.gz JMQRPUWDJPQHIT-NKWVEPMBSA-N -1 1 308.356 1.099 20 0 DDADMM CN(C)c1ccnc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000339205898 250342475 /nfs/dbraw/zinc/34/24/75/250342475.db2.gz UVJMXIDONKNABA-JTQLQIEISA-N -1 1 317.349 1.256 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339187237 250334382 /nfs/dbraw/zinc/33/43/82/250334382.db2.gz ZDSWBVVIPVFWNZ-LLVKDONJSA-N -1 1 317.345 1.949 20 0 DDADMM C[C@@H](Oc1cccnc1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339188866 250336136 /nfs/dbraw/zinc/33/61/36/250336136.db2.gz MUWHTWPVJONFRX-SNVBAGLBSA-N -1 1 318.333 1.344 20 0 DDADMM Cc1noc(C)c1CCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339192509 250336288 /nfs/dbraw/zinc/33/62/88/250336288.db2.gz QZDPVRWWXQVQNK-UHFFFAOYSA-N -1 1 320.349 1.719 20 0 DDADMM C[C@@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1cccnc1 ZINC000339188777 250336335 /nfs/dbraw/zinc/33/63/35/250336335.db2.gz KSDQXTWPBRJCHM-SNVBAGLBSA-N -1 1 302.334 1.680 20 0 DDADMM COCCc1ccc(OCCCC(=O)Nc2nnn[n-]2)cc1 ZINC000106284210 194193671 /nfs/dbraw/zinc/19/36/71/194193671.db2.gz LVHSUMJJTVCNBA-UHFFFAOYSA-N -1 1 305.338 1.186 20 0 DDADMM COCCc1ccc(OCCCC(=O)Nc2nn[n-]n2)cc1 ZINC000106284210 194193674 /nfs/dbraw/zinc/19/36/74/194193674.db2.gz LVHSUMJJTVCNBA-UHFFFAOYSA-N -1 1 305.338 1.186 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-]c1csnc1C(=O)OC ZINC000268866574 207036046 /nfs/dbraw/zinc/03/60/46/207036046.db2.gz NVTOGNVNOJTWBW-UHFFFAOYSA-N -1 1 307.397 1.318 20 0 DDADMM CC(C)(C)OC(=O)N(CC(=O)Nc1nnn[n-]1)c1ccccc1 ZINC000269013144 207164499 /nfs/dbraw/zinc/16/44/99/207164499.db2.gz VWLYZQRFRHFZQQ-UHFFFAOYSA-N -1 1 318.337 1.580 20 0 DDADMM CC(C)(C)OC(=O)N(CC(=O)Nc1nn[n-]n1)c1ccccc1 ZINC000269013144 207164502 /nfs/dbraw/zinc/16/45/02/207164502.db2.gz VWLYZQRFRHFZQQ-UHFFFAOYSA-N -1 1 318.337 1.580 20 0 DDADMM Cc1n[nH]c(C(F)F)c1[N-]S(=O)(=O)c1cn(C)nc1C ZINC000340982404 251318331 /nfs/dbraw/zinc/31/83/31/251318331.db2.gz QJWBRFLJXKCPBN-UHFFFAOYSA-N -1 1 305.310 1.498 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1CCC[C@H]1C1CC1 ZINC000412475610 224036675 /nfs/dbraw/zinc/03/66/75/224036675.db2.gz MWFQKUXTLNVPLC-STQMWFEESA-N -1 1 317.389 1.460 20 0 DDADMM CCC(CC)N(CC(C)C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352405420 285029052 /nfs/dbraw/zinc/02/90/52/285029052.db2.gz ANJPUCLJJIVSQQ-UHFFFAOYSA-N -1 1 305.382 1.704 20 0 DDADMM CCCC[C@H]1CCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352441342 285052419 /nfs/dbraw/zinc/05/24/19/285052419.db2.gz WMTRPDHPDRPOQY-QWRGUYRKSA-N -1 1 303.366 1.506 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCCS2)c1 ZINC000272922622 210310171 /nfs/dbraw/zinc/31/01/71/210310171.db2.gz JYJXPJHLNJITHG-NSHDSACASA-N -1 1 316.404 1.264 20 0 DDADMM COCC(C)(C)N1CCN(c2nc(C(=O)[O-])c(C)s2)CC1 ZINC000567976964 304257769 /nfs/dbraw/zinc/25/77/69/304257769.db2.gz WQJUILFOQZTDRJ-UHFFFAOYSA-N -1 1 313.423 1.697 20 0 DDADMM Cc1ccccc1-c1nc(=N[C@@H]2C[C@@H](C(=O)[O-])C[C@H]2O)s[nH]1 ZINC000629766909 422805890 /nfs/dbraw/zinc/80/58/90/422805890.db2.gz BWKMNKUOZWUHRR-YUSALJHKSA-N -1 1 319.386 1.571 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cnc[nH]2)c2cccnc12 ZINC000579658407 422806241 /nfs/dbraw/zinc/80/62/41/422806241.db2.gz OWPBAIWCCFIJKO-UHFFFAOYSA-N -1 1 304.331 1.767 20 0 DDADMM CCC(C)(C)[C@H]1CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352678118 285219193 /nfs/dbraw/zinc/21/91/93/285219193.db2.gz XGKVIHZORYVUEC-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM Cn1cc(C(=O)[N-]N2CCCCC2=O)c(-c2cccs2)n1 ZINC000155278199 197039205 /nfs/dbraw/zinc/03/92/05/197039205.db2.gz PHWFFCSMGUHUCH-UHFFFAOYSA-N -1 1 304.375 1.806 20 0 DDADMM O=C(C(=O)N1C[C@]2(CCCO2)[C@H]1C1CC1)c1ccc([O-])cc1 ZINC000294781319 224217426 /nfs/dbraw/zinc/21/74/26/224217426.db2.gz KCGCQQWJSYGHRX-NVXWUHKLSA-N -1 1 301.342 1.745 20 0 DDADMM Cc1ccccc1NC(=O)CN1CCCC[C@@H]1c1nc(=O)[n-][nH]1 ZINC000289481038 221007029 /nfs/dbraw/zinc/00/70/29/221007029.db2.gz ZWDFYZKWXHJYKV-CYBMUJFWSA-N -1 1 315.377 1.572 20 0 DDADMM CCOC(=O)C[C@@H](C)CNC(=O)c1c(F)ccc([O-])c1F ZINC000289473812 221000145 /nfs/dbraw/zinc/00/01/45/221000145.db2.gz PTOPNHOXZDCSBM-MRVPVSSYSA-N -1 1 301.289 1.990 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCCc2sccc21 ZINC000289897543 221301715 /nfs/dbraw/zinc/30/17/15/221301715.db2.gz PNVSEDDRRBZHSJ-VIFPVBQESA-N -1 1 313.404 1.983 20 0 DDADMM O=S(=O)(CCCOCc1ccccc1)[N-]CC(F)(F)CO ZINC000290019456 221384030 /nfs/dbraw/zinc/38/40/30/221384030.db2.gz YENXTTOZWWBQRX-UHFFFAOYSA-N -1 1 323.361 1.140 20 0 DDADMM C[C@H](CO)[C@H](C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352875725 285353440 /nfs/dbraw/zinc/35/34/40/285353440.db2.gz YPTJCCRCYVZGLZ-RQJHMYQMSA-N -1 1 312.185 1.337 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1ccc(Br)o1)C1CC1 ZINC000352872926 285350954 /nfs/dbraw/zinc/35/09/54/285350954.db2.gz RRZIFIKAPUVXDY-JTQLQIEISA-N -1 1 324.196 1.481 20 0 DDADMM COc1cc(C(=O)N2C[C@@H](C)O[C@H](CO)C2)cc(Cl)c1[O-] ZINC000330986236 533526965 /nfs/dbraw/zinc/52/69/65/533526965.db2.gz LFIADOOCLIITOM-SCZZXKLOSA-N -1 1 315.753 1.276 20 0 DDADMM COC(=O)[C@H]1C[C@@H](NC(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000412759447 533536713 /nfs/dbraw/zinc/53/67/13/533536713.db2.gz QQCOPNQHEGSTAA-AOOOYVTPSA-N -1 1 315.329 1.325 20 0 DDADMM COC(=O)[C@H]1C[C@@H](NC(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000412759447 533536720 /nfs/dbraw/zinc/53/67/20/533536720.db2.gz QQCOPNQHEGSTAA-AOOOYVTPSA-N -1 1 315.329 1.325 20 0 DDADMM C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000128555426 195973515 /nfs/dbraw/zinc/97/35/15/195973515.db2.gz XADHISAEUYULBC-IUCAKERBSA-N -1 1 317.794 1.693 20 0 DDADMM CC(=O)N1CCc2ccc(S(=O)(=O)[N-]c3cnc[nH]3)cc21 ZINC000568703011 304306355 /nfs/dbraw/zinc/30/63/55/304306355.db2.gz HXFKPJAILWIVQY-UHFFFAOYSA-N -1 1 306.347 1.120 20 0 DDADMM CCc1nc(CNc2ncnc3sc(C(=O)[O-])c(C)c32)n[nH]1 ZINC000568752531 304310249 /nfs/dbraw/zinc/31/02/49/304310249.db2.gz FRFQZXQHZXODCT-UHFFFAOYSA-N -1 1 318.362 1.991 20 0 DDADMM Cn1[n-]c(CN2CCc3cc(Cl)cc(Cl)c3C2)nc1=O ZINC000353474055 285756118 /nfs/dbraw/zinc/75/61/18/285756118.db2.gz GSIBHEQZJBRTIS-UHFFFAOYSA-N -1 1 313.188 1.974 20 0 DDADMM Cc1cc(C)c(NC(=O)CN(C)Cc2nc(=O)n(C)[n-]2)c(C)c1 ZINC000353473406 285755571 /nfs/dbraw/zinc/75/55/71/285755571.db2.gz DBYXFJRCAHWPRT-UHFFFAOYSA-N -1 1 317.393 1.104 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CC(C)(C)OC)[C@H](C)CC ZINC000569171961 304339649 /nfs/dbraw/zinc/33/96/49/304339649.db2.gz FFTUMYOSPHVDAS-MNOVXSKESA-N -1 1 309.428 1.309 20 0 DDADMM CO[C@H]1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)[C@H](C)C1 ZINC000287511674 286046695 /nfs/dbraw/zinc/04/66/95/286046695.db2.gz HRTJCQOCAFCETA-IEVFTTQPSA-N -1 1 303.366 1.373 20 0 DDADMM C[C@@H]1C[C@H](C(C)(C)C)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000360703678 299725580 /nfs/dbraw/zinc/72/55/80/299725580.db2.gz AVLXISSWPPNICO-GHMZBOCLSA-N -1 1 317.393 1.704 20 0 DDADMM O=C(N[C@@H]1CCCn2nccc21)c1nc2ccccc2c(=O)[n-]1 ZINC000631551133 422827832 /nfs/dbraw/zinc/82/78/32/422827832.db2.gz VTXJNHZUNAOHRK-GFCCVEGCSA-N -1 1 309.329 1.385 20 0 DDADMM C[C@@H]1C[C@H]1N1C[C@H](NC(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000631555186 422830585 /nfs/dbraw/zinc/83/05/85/422830585.db2.gz NOEJVKFCHLZZDF-IRUJWGPZSA-N -1 1 322.792 1.714 20 0 DDADMM CN(CCCNC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC000635138728 422834957 /nfs/dbraw/zinc/83/49/57/422834957.db2.gz ZZTPWZBWXBIIRP-UHFFFAOYSA-N -1 1 302.382 1.165 20 0 DDADMM O=C(N[C@H]1CCCS(=O)(=O)C1)c1cc(Cl)ccc1[O-] ZINC000069144887 406685794 /nfs/dbraw/zinc/68/57/94/406685794.db2.gz ZFEMUFRUPYJUCJ-VIFPVBQESA-N -1 1 303.767 1.353 20 0 DDADMM CCCCOCCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000027939868 406914183 /nfs/dbraw/zinc/91/41/83/406914183.db2.gz ZEPNQYYQXZHGBH-UHFFFAOYSA-N -1 1 309.819 1.559 20 0 DDADMM C[C@@H](NC(=O)OC(C)(C)C)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000045571258 407045365 /nfs/dbraw/zinc/04/53/65/407045365.db2.gz GEGNJDMGNCBOPR-RXMQYKEDSA-N -1 1 323.275 1.675 20 0 DDADMM O=C(N[C@H]1CCCNC1=O)c1cc(Br)ccc1[O-] ZINC000049498790 407122099 /nfs/dbraw/zinc/12/20/99/407122099.db2.gz RXZUXFKJOPUAEP-VIFPVBQESA-N -1 1 313.151 1.163 20 0 DDADMM NS(=O)(=O)c1ccc(CNC(=O)c2cc(F)ccc2[O-])cc1 ZINC000080000598 407068993 /nfs/dbraw/zinc/06/89/93/407068993.db2.gz ZVBRMFUHNRJANK-UHFFFAOYSA-N -1 1 324.333 1.109 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC(O)(C2CC2)C1 ZINC000089665495 407143500 /nfs/dbraw/zinc/14/35/00/407143500.db2.gz DEUSSJZVVULMHP-UHFFFAOYSA-N -1 1 312.163 1.752 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2ccoc2)c(=O)[n-]1 ZINC000053038575 407161697 /nfs/dbraw/zinc/16/16/97/407161697.db2.gz CCJPDYWNTQHLAT-UHFFFAOYSA-N -1 1 307.375 1.642 20 0 DDADMM Cc1c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cnn1CCC(C)C ZINC000124348939 407355740 /nfs/dbraw/zinc/35/57/40/407355740.db2.gz BWNGGCSVHNSKSZ-LLVKDONJSA-N -1 1 319.413 1.626 20 0 DDADMM Cc1cccc(OCCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000124522384 407360978 /nfs/dbraw/zinc/36/09/78/407360978.db2.gz IKVDMONRLFEYJL-LBPRGKRZSA-N -1 1 303.366 1.539 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000124586377 407362591 /nfs/dbraw/zinc/36/25/91/407362591.db2.gz WNGZIFYDDLSGSM-BXKDBHETSA-N -1 1 323.784 1.539 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cccc(F)c1F)N1CCCCC1 ZINC000170662411 407471595 /nfs/dbraw/zinc/47/15/95/407471595.db2.gz BLQMSCLKXAOUPL-UHFFFAOYSA-N -1 1 318.345 1.256 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CC[C@@H](CO)CC1 ZINC000151785259 407499153 /nfs/dbraw/zinc/49/91/53/407499153.db2.gz CNJLFKIEMVLOGD-HAQNSBGRSA-N -1 1 321.421 1.657 20 0 DDADMM O=C(N[C@@H]1CCC(=O)NC1)c1cc(Br)ccc1[O-] ZINC000226568523 407621049 /nfs/dbraw/zinc/62/10/49/407621049.db2.gz KJEHCEBPFQCEBT-MRVPVSSYSA-N -1 1 313.151 1.163 20 0 DDADMM CC(C)OC(=O)C[C@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000186449770 407670711 /nfs/dbraw/zinc/67/07/11/407670711.db2.gz HAZKNBWFQVZDAU-GFCCVEGCSA-N -1 1 306.362 1.981 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2scnc2C)c1 ZINC000152743429 407682095 /nfs/dbraw/zinc/68/20/95/407682095.db2.gz NXMBDIMPPGVRSG-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CC(C)(C)OC(=O)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000115588213 407697573 /nfs/dbraw/zinc/69/75/73/407697573.db2.gz FJJQXXHJBSLJKM-UHFFFAOYSA-N -1 1 307.318 1.585 20 0 DDADMM CNC(=O)CCCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000266982290 407701965 /nfs/dbraw/zinc/70/19/65/407701965.db2.gz GEMBYBDWTNKXSC-UHFFFAOYSA-N -1 1 308.382 1.044 20 0 DDADMM CCCCOC(=O)CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000267012702 407716332 /nfs/dbraw/zinc/71/63/32/407716332.db2.gz NSISKMPIPLOZDB-UHFFFAOYSA-N -1 1 313.379 1.286 20 0 DDADMM O=C(CSc1n[nH]c(=S)s1)[N-]O[C@@H]1CCCCO1 ZINC000267041010 407724911 /nfs/dbraw/zinc/72/49/11/407724911.db2.gz UFKHYVDPCFMRBU-SSDOTTSWSA-N -1 1 307.422 1.493 20 0 DDADMM O=c1ccc([N-]S(=O)(=O)c2cccc(Cl)c2F)n[nH]1 ZINC000186698263 407746154 /nfs/dbraw/zinc/74/61/54/407746154.db2.gz FVZCVKJVENZBGT-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC[C@H]2[C@@H](CCC(=O)N2C2CC2)C1 ZINC000179228709 407757506 /nfs/dbraw/zinc/75/75/06/407757506.db2.gz KRRPLORMICCNOA-NHYWBVRUSA-N -1 1 315.373 1.403 20 0 DDADMM C[C@@H]1C(=O)N(C)CCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000186834271 407783332 /nfs/dbraw/zinc/78/33/32/407783332.db2.gz RPFWRUZNEGZGRH-MRVPVSSYSA-N -1 1 316.279 1.714 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@@H]2CCC[C@H]2C1 ZINC000153345132 407804599 /nfs/dbraw/zinc/80/45/99/407804599.db2.gz GRCKJLIUGDWNOT-STQMWFEESA-N -1 1 314.389 1.440 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1c3ccccc3C[C@@H]1O)c2=O ZINC000179708677 407825784 /nfs/dbraw/zinc/82/57/84/407825784.db2.gz XHCXDBHKSZCKPU-KBPBESRZSA-N -1 1 324.340 1.062 20 0 DDADMM O=C([O-])CN(C(=O)c1cccc2c[nH]nc21)C1CCOCC1 ZINC000238109899 407890526 /nfs/dbraw/zinc/89/05/26/407890526.db2.gz ARIFXUNKMCTXRB-UHFFFAOYSA-N -1 1 303.318 1.269 20 0 DDADMM C[C@H](C(=O)Nc1ccc2c(c1)OCCO2)N1CC[C@@H](C(=O)[O-])C1 ZINC000262685402 407901972 /nfs/dbraw/zinc/90/19/72/407901972.db2.gz XSGZWHICOQAWEU-GHMZBOCLSA-N -1 1 320.345 1.191 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C)S[C@H](C)C2)co1 ZINC000153888591 407925448 /nfs/dbraw/zinc/92/54/48/407925448.db2.gz XVSQFJPTHLJQFE-RKDXNWHRSA-N -1 1 318.420 1.154 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1[C@H]3CCO[C@H]3C1(C)C)c2=O ZINC000245633647 408033530 /nfs/dbraw/zinc/03/35/30/408033530.db2.gz WDMAPCFVAXTAAP-BZHVJNSISA-N -1 1 316.361 1.217 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000119073659 407990082 /nfs/dbraw/zinc/99/00/82/407990082.db2.gz MCGWCZBSKCYPLM-NSHDSACASA-N -1 1 306.362 1.981 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cscc2C)o1 ZINC000154653769 408065699 /nfs/dbraw/zinc/06/56/99/408065699.db2.gz DWFZYRCDQXGRSX-UHFFFAOYSA-N -1 1 300.361 1.810 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1cc(C2CC2)n[nH]1 ZINC000268519602 408078931 /nfs/dbraw/zinc/07/89/31/408078931.db2.gz ZOAGOQPSKBQKHW-UHFFFAOYSA-N -1 1 315.786 1.783 20 0 DDADMM Cc1nnc([C@@H]2CCCN(C(=O)c3cc(F)ccc3[O-])C2)[nH]1 ZINC000268560022 408099124 /nfs/dbraw/zinc/09/91/24/408099124.db2.gz JWFAZOKDEFCSKT-SNVBAGLBSA-N -1 1 304.325 1.978 20 0 DDADMM CCC[C@@H](NC(=O)CSc1ccc(OC)cc1)c1nn[n-]n1 ZINC000136625614 408113875 /nfs/dbraw/zinc/11/38/75/408113875.db2.gz SDFDVDLVQNAEGV-GFCCVEGCSA-N -1 1 321.406 1.958 20 0 DDADMM COc1c(C)cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1C ZINC000120315412 408123987 /nfs/dbraw/zinc/12/39/87/408123987.db2.gz KGWYCVZKEVWQLD-GFCCVEGCSA-N -1 1 315.377 1.845 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)C[C@@H]3CCOC3)cnc2n1 ZINC000175431301 408131312 /nfs/dbraw/zinc/13/13/12/408131312.db2.gz ZZFKXKHTKJKGIO-NSHDSACASA-N -1 1 301.346 1.752 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N(C)C[C@@H]1CCOC1)c2=O ZINC000175431301 408131317 /nfs/dbraw/zinc/13/13/17/408131317.db2.gz ZZFKXKHTKJKGIO-NSHDSACASA-N -1 1 301.346 1.752 20 0 DDADMM O=S(=O)(NC[C@@H]1CCC[N@H+]1Cc1ccccc1)c1c[nH]cn1 ZINC000122177242 408262138 /nfs/dbraw/zinc/26/21/38/408262138.db2.gz NKLPVBQQGGDPDA-AWEZNQCLSA-N -1 1 320.418 1.353 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000121523470 408228769 /nfs/dbraw/zinc/22/87/69/408228769.db2.gz TVSHAHGJJFXMGM-NTSWFWBYSA-N -1 1 315.123 1.297 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)nc1Cl ZINC000274206604 408324995 /nfs/dbraw/zinc/32/49/95/408324995.db2.gz OENVFCKSSCAYGA-UHFFFAOYSA-N -1 1 316.774 1.260 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@@H]1C[C@@H]1c1cc(F)ccc1F ZINC000176269895 408328902 /nfs/dbraw/zinc/32/89/02/408328902.db2.gz KASCVQAGEGCVDY-MWLCHTKSSA-N -1 1 322.315 1.229 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cccc2ccn(C)c21 ZINC000190849966 408331258 /nfs/dbraw/zinc/33/12/58/408331258.db2.gz DTXVMILNBJRXDP-UHFFFAOYSA-N -1 1 312.391 1.583 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC(Cn2cncn2)CC1 ZINC000190717706 408308568 /nfs/dbraw/zinc/30/85/68/408308568.db2.gz APKSVNLAWOGSPI-UHFFFAOYSA-N -1 1 304.325 1.675 20 0 DDADMM C[C@H](c1cccnc1)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132628693 162041182 /nfs/dbraw/zinc/04/11/82/162041182.db2.gz JRIRHGMYITVTPC-SNVBAGLBSA-N -1 1 311.345 1.902 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2ccc(C(=O)[O-])cc2O)cc1 ZINC000170551965 162186949 /nfs/dbraw/zinc/18/69/49/162186949.db2.gz QEMKEISPGOTNPO-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM CN(CCc1ccccn1)C(=O)C[N-]C(=O)C(F)(F)C(F)F ZINC000176419906 408363148 /nfs/dbraw/zinc/36/31/48/408363148.db2.gz MDBVJQUVAOKYSH-UHFFFAOYSA-N -1 1 321.274 1.099 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)O[C@H]1CC[C@@H](C)C1 ZINC000274352807 408374142 /nfs/dbraw/zinc/37/41/42/408374142.db2.gz STXRJLOMKOFXOR-SKDRFNHKSA-N -1 1 303.362 1.914 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1ccc(Cl)s1)C(F)(F)F ZINC000270565238 408581958 /nfs/dbraw/zinc/58/19/58/408581958.db2.gz RKNAQFMVWOISBM-ZETCQYMHSA-N -1 1 323.745 1.993 20 0 DDADMM CCC[C@H](NC(=O)c1cccc([C@@H]2CCOC2)c1)c1nn[n-]n1 ZINC000270635541 408612722 /nfs/dbraw/zinc/61/27/22/408612722.db2.gz JJKGTATWXCYQTG-KGLIPLIRSA-N -1 1 315.377 1.975 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(C3CCOCC3)no2)co1 ZINC000161606729 408620428 /nfs/dbraw/zinc/62/04/28/408620428.db2.gz WINVKINYHLVBRD-UHFFFAOYSA-N -1 1 313.335 1.132 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1ccc(C(=O)[O-])cc1)N(C)C1CC1 ZINC000184993178 408732185 /nfs/dbraw/zinc/73/21/85/408732185.db2.gz XZIKDFMEUDYJPF-JTQLQIEISA-N -1 1 312.391 1.146 20 0 DDADMM O=S(=O)([N-]CC1(CO)CCCC1)c1cccc(F)c1F ZINC000185255375 408787275 /nfs/dbraw/zinc/78/72/75/408787275.db2.gz AGZILSAPLLGBJM-UHFFFAOYSA-N -1 1 305.346 1.796 20 0 DDADMM O=C([O-])CCNC(=O)c1n[nH]c2ccc(Br)cc21 ZINC000178209430 408788116 /nfs/dbraw/zinc/78/81/16/408788116.db2.gz ATNSZLSSSBBBTC-UHFFFAOYSA-N -1 1 312.123 1.530 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2OCCC[C@@H]12)c1cc(F)c(F)cc1F ZINC000249777354 408765526 /nfs/dbraw/zinc/76/55/26/408765526.db2.gz KOJQXMNRNLUPMP-UPZJHPNMSA-N -1 1 321.320 1.950 20 0 DDADMM CCc1ccc(S(=O)(=O)N[C@@H](C)CN(C)C)cc1C(=O)[O-] ZINC000168650345 408818957 /nfs/dbraw/zinc/81/89/57/408818957.db2.gz DQYIHYJWGGHBTL-JTQLQIEISA-N -1 1 314.407 1.176 20 0 DDADMM COC[C@H](NC(=O)C(=O)c1ccc([O-])cc1)c1ccc(C)o1 ZINC000280945823 408863349 /nfs/dbraw/zinc/86/33/49/408863349.db2.gz LUACLWLQHGJBMH-ZDUSSCGKSA-N -1 1 303.314 1.980 20 0 DDADMM COC(=O)c1c[n-]c(SCc2cc(=O)n3ccccc3n2)n1 ZINC000187589535 163022617 /nfs/dbraw/zinc/02/26/17/163022617.db2.gz ITYDQBPSOYFMDB-UHFFFAOYSA-N -1 1 316.342 1.497 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H](O)C1)c1ccc(F)c(F)c1F ZINC000228596412 163341699 /nfs/dbraw/zinc/34/16/99/163341699.db2.gz COHXETMXJKRTKQ-DTWKUNHWSA-N -1 1 323.336 1.933 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@H](O)C1)c1cc(F)ccc1F ZINC000228596232 163341951 /nfs/dbraw/zinc/34/19/51/163341951.db2.gz PRBQEZNRGFSMCD-ONGXEEELSA-N -1 1 305.346 1.794 20 0 DDADMM Cc1cc(C)nc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000281947738 408959669 /nfs/dbraw/zinc/95/96/69/408959669.db2.gz RYGZJMVUMJZZER-UHFFFAOYSA-N -1 1 316.327 1.988 20 0 DDADMM CN(C[C@H]1CCCOC1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287643862 409069739 /nfs/dbraw/zinc/06/97/39/409069739.db2.gz OTRLLIVTPZXIPD-SHTJFRFBSA-N -1 1 303.366 1.232 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(Cc2ccc(C)cc2)C1 ZINC000287631110 409067770 /nfs/dbraw/zinc/06/77/70/409067770.db2.gz BHUIVPHQXIKPMR-HNNXBMFYSA-N -1 1 320.389 1.428 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@H]1c1cc[nH]n1 ZINC000287274766 409011262 /nfs/dbraw/zinc/01/12/62/409011262.db2.gz YMGQQSCQRLUHGZ-NSHDSACASA-N -1 1 314.374 1.718 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@@H](C)C3CC3)CC2)n1 ZINC000277787356 409011747 /nfs/dbraw/zinc/01/17/47/409011747.db2.gz SGZWVTIRFGYLAY-JTQLQIEISA-N -1 1 320.393 1.734 20 0 DDADMM CC[C@@]1(C)CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000287731711 409082903 /nfs/dbraw/zinc/08/29/03/409082903.db2.gz TWFNVOBUTDSENY-BRYHAGSVSA-N -1 1 303.366 1.375 20 0 DDADMM O=C([N-]c1n[nH]c(C2CCC2)n1)c1cnc(C2OCCO2)s1 ZINC000287957564 409121972 /nfs/dbraw/zinc/12/19/72/409121972.db2.gz VMXQTMLSZSGAAD-UHFFFAOYSA-N -1 1 321.362 1.826 20 0 DDADMM CN(CCN1CCOC(C)(C)C1)C(=O)c1c([O-])cccc1F ZINC000279418340 409211298 /nfs/dbraw/zinc/21/12/98/409211298.db2.gz XSFWKJUWPWDFIW-UHFFFAOYSA-N -1 1 310.369 1.714 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)n[nH]1 ZINC000293882417 409200204 /nfs/dbraw/zinc/20/02/04/409200204.db2.gz MEQIGPLILCCIJF-RKDXNWHRSA-N -1 1 318.299 1.390 20 0 DDADMM COC(=O)c1ccc(CN2CCC[C@@H](c3n[n-]c(=O)o3)C2)s1 ZINC000284278669 409310435 /nfs/dbraw/zinc/31/04/35/409310435.db2.gz NGXIPKSLNPPOEN-SECBINFHSA-N -1 1 323.374 1.591 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NCc1ccc(COC)cc1)C(=O)[O-] ZINC000263191724 163928695 /nfs/dbraw/zinc/92/86/95/163928695.db2.gz VVQBXKYRUKRSGD-LRDDRELGSA-N -1 1 322.405 1.538 20 0 DDADMM CC[C@@H](C)[C@@H](C[N-]S(=O)(=O)c1cc(C(=O)OC)co1)OC ZINC000295167391 409354701 /nfs/dbraw/zinc/35/47/01/409354701.db2.gz AAWDACXPUWWTEW-MWLCHTKSSA-N -1 1 319.379 1.406 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)NC(=O)c1c(F)ccc([O-])c1F ZINC000280702674 409416672 /nfs/dbraw/zinc/41/66/72/409416672.db2.gz FMXMRAFXRGHHSX-YUMQZZPRSA-N -1 1 300.305 1.703 20 0 DDADMM COc1cc(C(=O)N2CC(=O)N(C)[C@H](C)C2)cc(Cl)c1[O-] ZINC000407971890 164184657 /nfs/dbraw/zinc/18/46/57/164184657.db2.gz RHHHIROGIUBGDM-MRVPVSSYSA-N -1 1 312.753 1.357 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(C(=O)N2CCCC2)CC1 ZINC000407978488 164187037 /nfs/dbraw/zinc/18/70/37/164187037.db2.gz YVANGAHZELERMK-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCOC[C@@H]2C)c1 ZINC000285513650 409475644 /nfs/dbraw/zinc/47/56/44/409475644.db2.gz GDUCKADJNHWMQY-UWVGGRQHSA-N -1 1 317.363 1.017 20 0 DDADMM C[C@@H]1CCN(C(=O)CCc2nn[n-]n2)[C@H]1c1cccc(F)c1 ZINC000631592248 422844228 /nfs/dbraw/zinc/84/42/28/422844228.db2.gz OSBZPLMTHROAEG-MEBBXXQBSA-N -1 1 303.341 1.881 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@@H](O)C1)c1ccc(Br)o1 ZINC000408301968 164290538 /nfs/dbraw/zinc/29/05/38/164290538.db2.gz DLDTUGDXTPQEEL-HTQZYQBOSA-N -1 1 324.196 1.481 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1cccc(F)c1F ZINC000290703526 409492887 /nfs/dbraw/zinc/49/28/87/409492887.db2.gz IXJQKQYOJFNRFX-UHFFFAOYSA-N -1 1 317.317 1.364 20 0 DDADMM CC(C)(C)[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000296406432 409531896 /nfs/dbraw/zinc/53/18/96/409531896.db2.gz UEFLAKLOGPDNHH-LLVKDONJSA-N -1 1 320.418 1.905 20 0 DDADMM Cc1ccc(F)cc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337936430 409581334 /nfs/dbraw/zinc/58/13/34/409581334.db2.gz BRSPCZLFJDXICC-UHFFFAOYSA-N -1 1 303.293 1.603 20 0 DDADMM C[C@H](C1CC1)N(C(=O)CNC(=O)c1ncccc1[O-])C1CC1 ZINC000337942934 409587837 /nfs/dbraw/zinc/58/78/37/409587837.db2.gz BSXWEKBNRVFSKK-SNVBAGLBSA-N -1 1 303.362 1.307 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1ccc(F)cc1C(=O)[O-] ZINC000349111748 409701403 /nfs/dbraw/zinc/70/14/03/409701403.db2.gz YHLZBYMUOJFLGT-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM CS(=O)(=O)Cc1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC000338057380 409684293 /nfs/dbraw/zinc/68/42/93/409684293.db2.gz UTNZFBACNDDYFP-UHFFFAOYSA-N -1 1 320.370 1.262 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]CCC(C)(F)F)s1 ZINC000297033342 409771533 /nfs/dbraw/zinc/77/15/33/409771533.db2.gz LEDWONUBYPIGPV-UHFFFAOYSA-N -1 1 313.351 1.425 20 0 DDADMM CC[C@@](NC(=O)c1ncccc1[O-])(C(=O)OC)c1ccccc1 ZINC000342723828 409845818 /nfs/dbraw/zinc/84/58/18/409845818.db2.gz HLKCUETYZWPBLH-KRWDZBQOSA-N -1 1 314.341 1.996 20 0 DDADMM CCC(O)(CC)CCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000349495489 409850278 /nfs/dbraw/zinc/85/02/78/409850278.db2.gz USBINLOGTUCIRY-UHFFFAOYSA-N -1 1 303.362 1.594 20 0 DDADMM CCOc1cc(C(=O)N[C@H]2CCCNC2=O)cc(Cl)c1[O-] ZINC000297096694 409802298 /nfs/dbraw/zinc/80/22/98/409802298.db2.gz ZQWHQINCRIXDKV-JTQLQIEISA-N -1 1 312.753 1.453 20 0 DDADMM CCc1nc(CNS(=O)(=O)c2ccccc2Cl)n[nH]1 ZINC000297121174 409813701 /nfs/dbraw/zinc/81/37/01/409813701.db2.gz PTKZWZOOHMNQFT-UHFFFAOYSA-N -1 1 300.771 1.499 20 0 DDADMM O=C(CCc1ccncc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332133558 409912131 /nfs/dbraw/zinc/91/21/31/409912131.db2.gz FJMJABDNICGDPB-GFCCVEGCSA-N -1 1 302.334 1.509 20 0 DDADMM COC(=O)CCNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338340675 409922888 /nfs/dbraw/zinc/92/28/88/409922888.db2.gz XFFKWFSICUQIIB-UHFFFAOYSA-N -1 1 308.721 1.887 20 0 DDADMM CC1(CC(=O)Nc2nn[nH]c2C(=O)NC2CC2)CCCCC1 ZINC000297683115 409956196 /nfs/dbraw/zinc/95/61/96/409956196.db2.gz IBZXDGZFSQKWEB-UHFFFAOYSA-N -1 1 305.382 1.996 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC(C)(C)c1cccs1 ZINC000357340706 409980276 /nfs/dbraw/zinc/98/02/76/409980276.db2.gz AYRTVDTVDSAWFZ-UHFFFAOYSA-N -1 1 323.374 1.666 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)Cc1cc(C)no1)C(=O)OC ZINC000354685312 410017570 /nfs/dbraw/zinc/01/75/70/410017570.db2.gz FQSATVPYEXOHIF-NSHDSACASA-N -1 1 304.368 1.134 20 0 DDADMM CC(C)COc1ccc(CC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354747330 410055699 /nfs/dbraw/zinc/05/56/99/410055699.db2.gz AFENKYROQQMJND-UHFFFAOYSA-N -1 1 317.393 1.829 20 0 DDADMM CSCc1cc(C(=O)NC(C)(C)c2nn[n-]n2)ccc1F ZINC000354784070 410083748 /nfs/dbraw/zinc/08/37/48/410083748.db2.gz GUJPHERQBKOQAB-UHFFFAOYSA-N -1 1 309.370 1.867 20 0 DDADMM Cc1cc(NC(=O)CSc2nc(C)c(C)c(=O)[n-]2)n(C)n1 ZINC000346723377 410111519 /nfs/dbraw/zinc/11/15/19/410111519.db2.gz MUUIFHRNSJKWFI-UHFFFAOYSA-N -1 1 307.379 1.572 20 0 DDADMM Cc1ccc(NC(=O)CNC(=O)c2ncccc2[O-])c(O)c1 ZINC000343081873 410128124 /nfs/dbraw/zinc/12/81/24/410128124.db2.gz NTWUVHGJJNBDSN-UHFFFAOYSA-N -1 1 301.302 1.170 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(Br)c([O-])c1)C(N)=O ZINC000357849710 410293633 /nfs/dbraw/zinc/29/36/33/410293633.db2.gz PMPPERCRTABBHS-MRVPVSSYSA-N -1 1 301.140 1.148 20 0 DDADMM Cc1cc(N2CCC(NC(=O)c3ncc(C)cc3[O-])CC2)n[nH]1 ZINC000333012294 410309775 /nfs/dbraw/zinc/30/97/75/410309775.db2.gz BLCGFFFNUVCEED-UHFFFAOYSA-N -1 1 315.377 1.526 20 0 DDADMM CNS(=O)(=O)CCCSc1nc(C(F)F)cc(=O)[n-]1 ZINC000351881940 410313861 /nfs/dbraw/zinc/31/38/61/410313861.db2.gz KKPVQZPBPZDPNF-UHFFFAOYSA-N -1 1 313.351 1.151 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@H](Oc3ccccc3Cl)C2)nc1=O ZINC000329613314 410383702 /nfs/dbraw/zinc/38/37/02/410383702.db2.gz RUNBTGQIAVOXHT-LLVKDONJSA-N -1 1 322.796 1.805 20 0 DDADMM O=C(NCCCc1ccc2c(c1)OCCO2)c1cncc([O-])c1 ZINC000339914779 410549196 /nfs/dbraw/zinc/54/91/96/410549196.db2.gz UXDPLGZZDVPVCA-UHFFFAOYSA-N -1 1 314.341 1.921 20 0 DDADMM CC(C)CN(C(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1)C(C)C ZINC000343745323 410665238 /nfs/dbraw/zinc/66/52/38/410665238.db2.gz NLLCCADLXNTGNV-UHFFFAOYSA-N -1 1 323.441 1.583 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CSC[C@H]2C)o1 ZINC000352334129 410629498 /nfs/dbraw/zinc/62/94/98/410629498.db2.gz YFVUGJKRAOQUQE-HTQZYQBOSA-N -1 1 305.377 1.096 20 0 DDADMM O=S(=O)([N-]Cc1ccno1)c1ccc(Br)o1 ZINC000352947074 410694446 /nfs/dbraw/zinc/69/44/46/410694446.db2.gz COSONWUTBQOSSG-UHFFFAOYSA-N -1 1 307.125 1.509 20 0 DDADMM COC1CCC(CCN=c2[n-]cc(S(N)(=O)=O)s2)CC1 ZINC000347868900 410763672 /nfs/dbraw/zinc/76/36/72/410763672.db2.gz AKTDCQCSJPWIKG-UHFFFAOYSA-N -1 1 319.452 1.220 20 0 DDADMM CCSc1n[n-]c(=NC(=O)N[C@H](C)c2n[nH]c(C)n2)s1 ZINC000348060393 410830728 /nfs/dbraw/zinc/83/07/28/410830728.db2.gz GMRVNODHGUCDPM-RXMQYKEDSA-N -1 1 313.412 1.381 20 0 DDADMM CCc1[nH][nH]c(=NC(=O)c2[n-]cnc2C(=O)c2ccccc2)c1C ZINC000353357757 410938539 /nfs/dbraw/zinc/93/85/39/410938539.db2.gz WSMUHLKKJUPDAA-UHFFFAOYSA-N -1 1 323.356 1.909 20 0 DDADMM CC(C)c1nn(C)cc1[N-]S(=O)(=O)C[C@@H]1CCCCO1 ZINC000331090815 410941792 /nfs/dbraw/zinc/94/17/92/410941792.db2.gz VTIORAUCCJWHQQ-NSHDSACASA-N -1 1 301.412 1.854 20 0 DDADMM CC(C)(C)c1nc(=NCC2(S(C)(=O)=O)CCC2)s[n-]1 ZINC000359946425 410966160 /nfs/dbraw/zinc/96/61/60/410966160.db2.gz ZRMAUDDVTCBDAX-UHFFFAOYSA-N -1 1 303.453 1.637 20 0 DDADMM COc1cc(C(=O)N2CC[C@@H](NC(N)=O)C2)cc(Cl)c1[O-] ZINC000331264373 411047776 /nfs/dbraw/zinc/04/77/76/411047776.db2.gz GJJXYCKOEVIVEU-MRVPVSSYSA-N -1 1 313.741 1.351 20 0 DDADMM CCc1nnc(CNC(=O)c2cnc3nc(C)ccc3c2[O-])o1 ZINC000348688305 411056321 /nfs/dbraw/zinc/05/63/21/411056321.db2.gz PFOIILFXVAGYKK-UHFFFAOYSA-N -1 1 313.317 1.519 20 0 DDADMM CCc1nnc(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)o1 ZINC000348688305 411056326 /nfs/dbraw/zinc/05/63/26/411056326.db2.gz PFOIILFXVAGYKK-UHFFFAOYSA-N -1 1 313.317 1.519 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)CC(=O)N1 ZINC000348574781 411023851 /nfs/dbraw/zinc/02/38/51/411023851.db2.gz KYTGGUWFXXXAMD-NTSWFWBYSA-N -1 1 305.260 1.067 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc3ccccc3cc2[O-])CCS1(=O)=O ZINC000131844225 196203843 /nfs/dbraw/zinc/20/38/43/196203843.db2.gz LOKKPTIDLWHOSM-LLVKDONJSA-N -1 1 319.382 1.805 20 0 DDADMM CN1CC[C@H]([N-]S(=O)(=O)c2sccc2Cl)CC1=O ZINC000649955928 423004061 /nfs/dbraw/zinc/00/40/61/423004061.db2.gz DFLHVQLZZLYIEO-ZETCQYMHSA-N -1 1 308.812 1.301 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@H](C)S1(=O)=O ZINC000643003916 423020894 /nfs/dbraw/zinc/02/08/94/423020894.db2.gz IBDLLPDEXUEKGQ-IUCAKERBSA-N -1 1 301.339 1.179 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccccc1S(=O)(=O)C(C)C ZINC000647815819 423058607 /nfs/dbraw/zinc/05/86/07/423058607.db2.gz MSTWJDMXUXXIJV-UHFFFAOYSA-N -1 1 323.374 1.858 20 0 DDADMM O=C(NC[C@H]1CCCN(CC(F)(F)F)C1)c1ncccc1[O-] ZINC000647838262 423070590 /nfs/dbraw/zinc/07/05/90/423070590.db2.gz DGOKTMYHRCYAFQ-SNVBAGLBSA-N -1 1 317.311 1.791 20 0 DDADMM CC[C@@H](CCO)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000647903328 423108311 /nfs/dbraw/zinc/10/83/11/423108311.db2.gz XEXVMJWKRAEHFB-ZETCQYMHSA-N -1 1 315.317 1.115 20 0 DDADMM Cc1ccc([C@@H](CC(=O)[O-])NCc2ccc(C(N)=O)cc2)o1 ZINC000417576917 225370092 /nfs/dbraw/zinc/37/00/92/225370092.db2.gz VSJIRFJAWLXRKC-CYBMUJFWSA-N -1 1 302.330 1.993 20 0 DDADMM COC[C@@H](NCc1c(F)cccc1OC(F)(F)F)C(=O)[O-] ZINC000417624524 225378728 /nfs/dbraw/zinc/37/87/28/225378728.db2.gz KXWCUBAGQFDVPC-SECBINFHSA-N -1 1 311.231 1.914 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](O)CC1)c1ncccc1C(F)(F)F ZINC000645628660 423116629 /nfs/dbraw/zinc/11/66/29/423116629.db2.gz GBQQJRZXZGWOEC-KYZUINATSA-N -1 1 324.324 1.682 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cnn(C)c1-c1ccccc1 ZINC000290591948 221767811 /nfs/dbraw/zinc/76/78/11/221767811.db2.gz XPIFVRUMRNOPAE-UHFFFAOYSA-N -1 1 323.374 1.392 20 0 DDADMM O=C(CCc1cnc[nH]1)N=c1nc(-c2ccsc2)[n-]s1 ZINC000366368177 418466128 /nfs/dbraw/zinc/46/61/28/418466128.db2.gz FDUQESNBJFGAPW-UHFFFAOYSA-N -1 1 305.388 1.983 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CCCCO1)[C@H](C)CC ZINC000360747932 418507219 /nfs/dbraw/zinc/50/72/19/418507219.db2.gz URHBZQJHZNZISJ-FRRDWIJNSA-N -1 1 321.439 1.453 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCc3nccn3C2)c1 ZINC000367120096 418561573 /nfs/dbraw/zinc/56/15/73/418561573.db2.gz VXMIZMHFUDMXEC-LLVKDONJSA-N -1 1 315.329 1.576 20 0 DDADMM Cc1nc(-c2ccc(N3CC[C@H](C)[C@@H]3CO)nc2)[n-]c(=O)c1C ZINC000189869690 222059789 /nfs/dbraw/zinc/05/97/89/222059789.db2.gz RDENLNGDWDTQPH-HZMBPMFUSA-N -1 1 314.389 1.656 20 0 DDADMM CC(C)(C)[C@H](CCO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000194247848 222173739 /nfs/dbraw/zinc/17/37/39/222173739.db2.gz YWABDZOJJQWJCD-LBPRGKRZSA-N -1 1 303.362 1.450 20 0 DDADMM CCCCNC(=O)[C@H](C)S(=O)(=O)c1nnc(C(C)(C)C)[n-]1 ZINC000195175681 222192828 /nfs/dbraw/zinc/19/28/28/222192828.db2.gz WGPDDCJEPIIMNS-VIFPVBQESA-N -1 1 316.427 1.181 20 0 DDADMM CCCCNC(=O)[C@H](C)S(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000195175681 222192831 /nfs/dbraw/zinc/19/28/31/222192831.db2.gz WGPDDCJEPIIMNS-VIFPVBQESA-N -1 1 316.427 1.181 20 0 DDADMM CCCCNC(=O)[C@H](C)S(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195175681 222192834 /nfs/dbraw/zinc/19/28/34/222192834.db2.gz WGPDDCJEPIIMNS-VIFPVBQESA-N -1 1 316.427 1.181 20 0 DDADMM CC1(C(=O)[O-])CCN(CC(=O)NCc2ccccc2F)CC1 ZINC000315940217 418598724 /nfs/dbraw/zinc/59/87/24/418598724.db2.gz SSCVZQNEHOHIFU-UHFFFAOYSA-N -1 1 308.353 1.629 20 0 DDADMM COc1cccc(Cl)c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000361188417 418602702 /nfs/dbraw/zinc/60/27/02/418602702.db2.gz DCOFSZZVHVSBIZ-VIFPVBQESA-N -1 1 321.768 1.882 20 0 DDADMM Cc1cc([N-]S(=O)(=O)N2CCC2)nn1-c1cccc(F)c1 ZINC000375646866 418667749 /nfs/dbraw/zinc/66/77/49/418667749.db2.gz LSTPMVCVLDRJAD-UHFFFAOYSA-N -1 1 310.354 1.682 20 0 DDADMM CSCC[C@@H](CO)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000361693694 418698538 /nfs/dbraw/zinc/69/85/38/418698538.db2.gz UXDQRVKXYFIUFF-JTQLQIEISA-N -1 1 321.402 1.488 20 0 DDADMM CSCC[C@@H](CO)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000361693694 418698540 /nfs/dbraw/zinc/69/85/40/418698540.db2.gz UXDQRVKXYFIUFF-JTQLQIEISA-N -1 1 321.402 1.488 20 0 DDADMM Cc1ccc(N2CCN(C(=O)c3ccc([O-])cc3F)CC2=O)o1 ZINC000364871915 418819300 /nfs/dbraw/zinc/81/93/00/418819300.db2.gz LGAMQDBCFGIYFP-UHFFFAOYSA-N -1 1 318.304 1.922 20 0 DDADMM C[C@H]1CC[S@@](=O)CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000365445139 418861837 /nfs/dbraw/zinc/86/18/37/418861837.db2.gz BZMDMQYXYYUONU-KPWVOAKYSA-N -1 1 321.398 1.842 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1[C@@H]2CC[C@H]1CC(=O)C2 ZINC000365859780 418917015 /nfs/dbraw/zinc/91/70/15/418917015.db2.gz YDSJYPIOTVOGFA-AOOOYVTPSA-N -1 1 302.359 1.354 20 0 DDADMM CC[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@@H](CC)O1 ZINC000421223130 419528141 /nfs/dbraw/zinc/52/81/41/419528141.db2.gz UTPUWHCKCDQSMD-VXGBXAGGSA-N -1 1 315.373 1.953 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cc(NC(C)=O)ccc1F)OC ZINC000421150760 419504429 /nfs/dbraw/zinc/50/44/29/419504429.db2.gz IBHXLRCLSIETQP-LLVKDONJSA-N -1 1 318.370 1.951 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H](C)O[C@@H](C)C(=O)[O-])[nH]c2c1 ZINC000421808083 419739589 /nfs/dbraw/zinc/73/95/89/419739589.db2.gz QOAYTIRDUBXVJG-ZJUUUORDSA-N -1 1 305.334 1.366 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@@H](C2CCCC2)C1 ZINC000421951322 419783681 /nfs/dbraw/zinc/78/36/81/419783681.db2.gz PXLSQMZANXHFRY-CYBMUJFWSA-N -1 1 317.389 1.556 20 0 DDADMM O=C(NC[C@@]1(CO)C[C@H]1c1ccc(F)cc1)c1ncccc1[O-] ZINC000430167817 420087461 /nfs/dbraw/zinc/08/74/61/420087461.db2.gz WOKXDEOJTICKSP-SUMWQHHRSA-N -1 1 316.332 1.822 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC12CCCCC2 ZINC000416183114 420272198 /nfs/dbraw/zinc/27/21/98/420272198.db2.gz JPIPDNIMFAZKFN-UHFFFAOYSA-N -1 1 307.350 1.835 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1ccc(F)cc1C ZINC000416187809 420272999 /nfs/dbraw/zinc/27/29/99/420272999.db2.gz FXRQVJZJRDEKHJ-UHFFFAOYSA-N -1 1 321.308 1.316 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1ccc(F)cc1C ZINC000416222072 420283859 /nfs/dbraw/zinc/28/38/59/420283859.db2.gz CDQXAGZRPUJXTK-UHFFFAOYSA-N -1 1 321.308 1.616 20 0 DDADMM C[C@@H]1CCNC(=O)[C@@H]1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000195180202 287616618 /nfs/dbraw/zinc/61/66/18/287616618.db2.gz IDAXTTQUQSVXBZ-RDDDGLTNSA-N -1 1 316.279 1.665 20 0 DDADMM COc1cc(C)cnc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425296833 420343720 /nfs/dbraw/zinc/34/37/20/420343720.db2.gz HNCONLZSMMHCTR-SNVBAGLBSA-N -1 1 318.333 1.507 20 0 DDADMM CS(=O)(=O)Nc1cccc(NC(=O)c2ccc(O)cc2[O-])c1 ZINC000436619129 420345490 /nfs/dbraw/zinc/34/54/90/420345490.db2.gz VEAOSGZNZIQGFP-UHFFFAOYSA-N -1 1 322.342 1.722 20 0 DDADMM O=C(NCc1ccc(OC(F)F)cn1)C(=O)c1ccc([O-])cc1 ZINC000436628619 420346304 /nfs/dbraw/zinc/34/63/04/420346304.db2.gz GCHRIUIADJQZDI-UHFFFAOYSA-N -1 1 322.267 1.888 20 0 DDADMM COC(=O)C[C@H](C)CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425310463 420346395 /nfs/dbraw/zinc/34/63/95/420346395.db2.gz XFYPCYZNNVAKLX-NXEZZACHSA-N -1 1 311.338 1.071 20 0 DDADMM NS(=O)(=O)[C@H]1CCN(C(=O)c2ccc3ccccc3c2[O-])C1 ZINC000436666846 420352856 /nfs/dbraw/zinc/35/28/56/420352856.db2.gz VEGRMGJNBYFWLK-NSHDSACASA-N -1 1 320.370 1.048 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2c(=O)[nH]ccc2OC)c1 ZINC000436684740 420354487 /nfs/dbraw/zinc/35/44/87/420354487.db2.gz FTICKLITRKQUJA-UHFFFAOYSA-N -1 1 318.285 1.540 20 0 DDADMM C[C@H](c1ccccc1)[C@@H](NC(=O)c1c([O-])cccc1F)C(N)=O ZINC000436834486 420375503 /nfs/dbraw/zinc/37/55/03/420375503.db2.gz ZQFFGUGFFKVAQG-MEBBXXQBSA-N -1 1 316.332 1.919 20 0 DDADMM O=C(NCc1cnc[nH]1)c1cc(F)cc(Br)c1[O-] ZINC000436854780 420376628 /nfs/dbraw/zinc/37/66/28/420376628.db2.gz GIJOTFRXVYLLBB-UHFFFAOYSA-N -1 1 314.114 1.947 20 0 DDADMM O=C(NCc1nnnn1CC1CC1)c1cc(Cl)ccc1[O-] ZINC000436852816 420378267 /nfs/dbraw/zinc/37/82/67/420378267.db2.gz BNZOLGPWCQFPPU-UHFFFAOYSA-N -1 1 307.741 1.372 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1)c1cc[nH]n1 ZINC000436914871 420383816 /nfs/dbraw/zinc/38/38/16/420383816.db2.gz NJPAQJYIVXDEJW-UHFFFAOYSA-N -1 1 304.697 1.806 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](C)O2)o1 ZINC000416536021 420410699 /nfs/dbraw/zinc/41/06/99/420410699.db2.gz OJRZKJISQYWYTL-ZJUUUORDSA-N -1 1 317.363 1.302 20 0 DDADMM CC[C@](COC)([N-]S(=O)(=O)CC1CCCCC1)C(=O)OC ZINC000416516430 420402911 /nfs/dbraw/zinc/40/29/11/420402911.db2.gz PTUBWBAUJCHJSF-CQSZACIVSA-N -1 1 321.439 1.454 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2ccc(OC)cc2)cn1C ZINC000439570602 420525079 /nfs/dbraw/zinc/52/50/79/420525079.db2.gz MZKXQFFVSQAJFR-UHFFFAOYSA-N -1 1 324.358 1.621 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)CCCC2CCCC2)n1 ZINC000439156880 420483398 /nfs/dbraw/zinc/48/33/98/420483398.db2.gz XDSMYVZAOGEQQR-UHFFFAOYSA-N -1 1 300.384 1.507 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)CCCC2CCCC2)[n-]1 ZINC000439156880 420483401 /nfs/dbraw/zinc/48/34/01/420483401.db2.gz XDSMYVZAOGEQQR-UHFFFAOYSA-N -1 1 300.384 1.507 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCCC[C@@H]2CCOC2)[n-]n1 ZINC000450887349 420551954 /nfs/dbraw/zinc/55/19/54/420551954.db2.gz IBJGDEBRNILISK-CYBMUJFWSA-N -1 1 318.421 1.800 20 0 DDADMM COCc1nc2cc([N-]S(=O)(=O)C[C@H](C)OC)ccc2o1 ZINC000450971849 420572103 /nfs/dbraw/zinc/57/21/03/420572103.db2.gz HNYFEPQVIZPDNN-VIFPVBQESA-N -1 1 314.363 1.751 20 0 DDADMM CCc1cc(CCCN2CCC[C@H](c3n[nH]c(=O)[n-]3)C2)on1 ZINC000451043229 420586753 /nfs/dbraw/zinc/58/67/53/420586753.db2.gz XFDRCISQWKTXDY-NSHDSACASA-N -1 1 305.382 1.873 20 0 DDADMM O=C(CCC[N-]S(=O)(=O)c1sccc1Cl)NC1CC1 ZINC000451051508 420587620 /nfs/dbraw/zinc/58/76/20/420587620.db2.gz BUUYOACGVJVFOA-UHFFFAOYSA-N -1 1 322.839 1.739 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cc(Cl)c([O-])c(OC)c1)C(C)C ZINC000442647315 420693354 /nfs/dbraw/zinc/69/33/54/420693354.db2.gz LIYWKNSFQDIYHN-LLVKDONJSA-N -1 1 315.753 1.982 20 0 DDADMM COc1cc(C(=O)NC2(CC(N)=O)CCC2)cc(Cl)c1[O-] ZINC000442667770 420696600 /nfs/dbraw/zinc/69/66/00/420696600.db2.gz AHWWXQWBDLWFJK-UHFFFAOYSA-N -1 1 312.753 1.582 20 0 DDADMM CC(=O)Nc1ccc(CNC(=O)c2cc(F)ccc2[O-])cn1 ZINC000447608433 420785060 /nfs/dbraw/zinc/78/50/60/420785060.db2.gz DNFHMYWFWQISTR-UHFFFAOYSA-N -1 1 303.293 1.815 20 0 DDADMM C[C@H](CN1CCOCC1)N(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000447658528 420791587 /nfs/dbraw/zinc/79/15/87/420791587.db2.gz HDUXSJAEALSEFI-LLVKDONJSA-N -1 1 312.797 1.838 20 0 DDADMM CC[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H](O)C(F)F ZINC000454291879 420831634 /nfs/dbraw/zinc/83/16/34/420831634.db2.gz BMMLCZHQMDHSMP-PSASIEDQSA-N -1 1 311.288 1.058 20 0 DDADMM CC[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@H](O)C(F)F ZINC000454291885 420831753 /nfs/dbraw/zinc/83/17/53/420831753.db2.gz BMMLCZHQMDHSMP-WPRPVWTQSA-N -1 1 311.288 1.058 20 0 DDADMM CN(CC1CC1)S(=O)(=O)[N-]c1ccn(CC(F)(F)F)n1 ZINC000448131023 420837539 /nfs/dbraw/zinc/83/75/39/420837539.db2.gz VBWIHJCFWWGTHU-UHFFFAOYSA-N -1 1 312.317 1.444 20 0 DDADMM C[C@H](CO[N-]C(=O)[C@H]1CCCOC1)NC(=O)OC(C)(C)C ZINC000494101682 420991361 /nfs/dbraw/zinc/99/13/61/420991361.db2.gz AJQQJNBZSKTZNY-MNOVXSKESA-N -1 1 302.371 1.374 20 0 DDADMM CC[C@H]1CC[C@H](NC(=O)c2coc(S(=O)(=O)[N-]C)c2)CC1 ZINC000479679322 420984239 /nfs/dbraw/zinc/98/42/39/420984239.db2.gz UWFJBMCWLKJNRH-UMSPYCQHSA-N -1 1 314.407 1.886 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@H](NC(=O)OC(C)(C)C)C2)c([O-])c1 ZINC000495531401 421017215 /nfs/dbraw/zinc/01/72/15/421017215.db2.gz WDNJUYIMJWJGCA-NSHDSACASA-N -1 1 321.377 1.835 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccccc2)cc1NC(N)=O ZINC000487395535 421022830 /nfs/dbraw/zinc/02/28/30/421022830.db2.gz LDXKSXFHPVWNSB-UHFFFAOYSA-N -1 1 321.358 1.987 20 0 DDADMM Cc1cc(-c2nc(C(=O)NC(C)(C)c3nn[n-]n3)co2)ccn1 ZINC000450002034 421125998 /nfs/dbraw/zinc/12/59/98/421125998.db2.gz AYNNYXCPQOVTRL-UHFFFAOYSA-N -1 1 313.321 1.223 20 0 DDADMM O=C(C=Cc1nc2ccccc2o1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000492210770 421213892 /nfs/dbraw/zinc/21/38/92/421213892.db2.gz ZWGFKDNGXKURFS-AEZGRPFRSA-N -1 1 324.344 1.760 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]1c1ccccc1)c1cc(=O)n2[n-]cnc2n1 ZINC000544738578 421229703 /nfs/dbraw/zinc/22/97/03/421229703.db2.gz ZITPWAIGRHKIPN-CHWSQXEVSA-N -1 1 323.356 1.484 20 0 DDADMM COc1ccc(Cl)c(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000545392779 421256924 /nfs/dbraw/zinc/25/69/24/421256924.db2.gz AJZPKIDLPARHPE-UHFFFAOYSA-N -1 1 319.708 1.332 20 0 DDADMM CC(C)[C@@H](C(=O)Nc1nnn[n-]1)[C@H](C)NC(=O)OC(C)(C)C ZINC000496762828 421313225 /nfs/dbraw/zinc/31/32/25/421313225.db2.gz GZWQMULJPRHNSJ-DTWKUNHWSA-N -1 1 312.374 1.324 20 0 DDADMM CC(C)[C@@H](C(=O)Nc1nn[n-]n1)[C@H](C)NC(=O)OC(C)(C)C ZINC000496762828 421313227 /nfs/dbraw/zinc/31/32/27/421313227.db2.gz GZWQMULJPRHNSJ-DTWKUNHWSA-N -1 1 312.374 1.324 20 0 DDADMM O=C([C@@H]1CCCCCC(=O)C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000562346047 421354806 /nfs/dbraw/zinc/35/48/06/421354806.db2.gz YOPJRILZGIJSND-NEPJUHHUSA-N -1 1 305.382 1.445 20 0 DDADMM Cc1cnc(C(=O)N2CCC(OC[C@H]3CCOC3)CC2)c([O-])c1 ZINC000547980527 421383888 /nfs/dbraw/zinc/38/38/88/421383888.db2.gz RYNZUOIUOZRKDQ-ZDUSSCGKSA-N -1 1 320.389 1.753 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)c2ccn[nH]2)n1 ZINC000547738912 421362589 /nfs/dbraw/zinc/36/25/89/421362589.db2.gz HFVUDYJDBZRALE-SNVBAGLBSA-N -1 1 320.353 1.222 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(=O)[nH]c(C2CC2)c1)c1nn[n-]n1 ZINC000547779795 421365961 /nfs/dbraw/zinc/36/59/61/421365961.db2.gz RLMZPIRYOFSQAD-SNVBAGLBSA-N -1 1 302.338 1.449 20 0 DDADMM CCCNC(=O)[C@H](C)S(=O)(=O)c1nc(-c2ccccc2)n[n-]1 ZINC000562495205 421373535 /nfs/dbraw/zinc/37/35/35/421373535.db2.gz BTMBXBGRPOHLMW-JTQLQIEISA-N -1 1 322.390 1.160 20 0 DDADMM C[C@@H]1CCN2[C@@H](C1)C(=O)N[C@@H]2c1n[nH]c2cc(C(=O)[O-])ccc21 ZINC000548290859 421418610 /nfs/dbraw/zinc/41/86/10/421418610.db2.gz IZGXZSDJAXZTCV-XOZVTBISSA-N -1 1 314.345 1.490 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC(O)(C(F)F)CC1 ZINC000547986716 421385496 /nfs/dbraw/zinc/38/54/96/421385496.db2.gz IHWKGJQREDOJJU-UHFFFAOYSA-N -1 1 317.361 1.372 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3cc(F)ccc3[O-])[C@H]2C1 ZINC000563488185 421513276 /nfs/dbraw/zinc/51/32/76/421513276.db2.gz JBGTZULKMWZHOU-CQDKDKBSSA-N -1 1 320.364 1.908 20 0 DDADMM CC(C)(C)OC(=O)C1(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CC1 ZINC000563248709 421477729 /nfs/dbraw/zinc/47/77/29/421477729.db2.gz JMCNWMAGKRRBGU-JTQLQIEISA-N -1 1 321.381 1.028 20 0 DDADMM CC(C)c1n[n-]c(=NCc2n[nH]c(-c3ccncc3)n2)s1 ZINC000563341645 421487761 /nfs/dbraw/zinc/48/77/61/421487761.db2.gz GXFIWNZOLDSPBO-UHFFFAOYSA-N -1 1 301.379 1.876 20 0 DDADMM CC1(C)C[C@@H](C(=O)NC2(c3nn[n-]n3)CCCC2)C(C)(C)O1 ZINC000528598860 421500127 /nfs/dbraw/zinc/50/01/27/421500127.db2.gz OEUIIQZVVSOKIS-JTQLQIEISA-N -1 1 307.398 1.679 20 0 DDADMM COc1ccccc1S(=O)(=O)N=c1cc2ccccn2[n-]1 ZINC000551953493 421559139 /nfs/dbraw/zinc/55/91/39/421559139.db2.gz PJFMDAZDXMGNAQ-UHFFFAOYSA-N -1 1 303.343 1.566 20 0 DDADMM CN(CCCc1ccccc1)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000571096948 421688640 /nfs/dbraw/zinc/68/86/40/421688640.db2.gz LGFOFTDTKPEUJG-UHFFFAOYSA-N -1 1 318.417 1.874 20 0 DDADMM O=C([C@@H]1CCc2cccc(O)c21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000519815618 421731952 /nfs/dbraw/zinc/73/19/52/421731952.db2.gz TZOQAXBHJYGPBY-VXGBXAGGSA-N -1 1 313.361 1.341 20 0 DDADMM C[C@@H](Oc1ccccc1F)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000540426105 421763679 /nfs/dbraw/zinc/76/36/79/421763679.db2.gz CPJSOUZLBUSSKR-GHMZBOCLSA-N -1 1 319.340 1.512 20 0 DDADMM COC[C@@H](O)CN(CCC(=O)[O-])Cc1cccc(F)c1F ZINC000520823394 421764415 /nfs/dbraw/zinc/76/44/15/421764415.db2.gz CRRAREBLOYJCBJ-NSHDSACASA-N -1 1 303.305 1.249 20 0 DDADMM CCC[C@@H]1C[C@H](C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCO1 ZINC000540959600 421780505 /nfs/dbraw/zinc/78/05/05/421780505.db2.gz KZRYLZDPXMOFMT-JHJVBQTASA-N -1 1 307.398 1.501 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1Cc2cccnc2C1 ZINC000572394928 421783865 /nfs/dbraw/zinc/78/38/65/421783865.db2.gz GGJNFXSBDVJGTK-UHFFFAOYSA-N -1 1 323.356 1.532 20 0 DDADMM Cc1nc(C(=O)[N-]c2ncn(C)n2)c(Br)s1 ZINC000521461074 421789108 /nfs/dbraw/zinc/78/91/08/421789108.db2.gz UGFLFXYPQBWUEG-UHFFFAOYSA-N -1 1 302.157 1.595 20 0 DDADMM Cc1noc(C2CCN(C(=O)c3cccc4nn[nH]c43)CC2)n1 ZINC000543749502 421841026 /nfs/dbraw/zinc/84/10/26/421841026.db2.gz GOJAPBAGWBXGIK-UHFFFAOYSA-N -1 1 312.333 1.669 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(OC[C@H]2CCOC2)CC1 ZINC000544341417 421844541 /nfs/dbraw/zinc/84/45/41/421844541.db2.gz APMNVYAFNGJVTQ-LBPRGKRZSA-N -1 1 306.362 1.445 20 0 DDADMM CC(=O)N1CCC[C@H]1C(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000633444947 421906874 /nfs/dbraw/zinc/90/68/74/421906874.db2.gz NHBWUJPGQZTDHH-NSHDSACASA-N -1 1 304.375 1.510 20 0 DDADMM Cc1cc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c(C)s1 ZINC000630222555 421973122 /nfs/dbraw/zinc/97/31/22/421973122.db2.gz FXTFDTJTPNNYAN-SNVBAGLBSA-N -1 1 310.419 1.986 20 0 DDADMM COCc1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)cc1 ZINC000630222344 421973263 /nfs/dbraw/zinc/97/32/63/421973263.db2.gz LSGQQBJLHCMLGE-UHFFFAOYSA-N -1 1 320.389 1.454 20 0 DDADMM O=C([N-]c1nnc(CC(F)(F)F)s1)c1csc(CO)n1 ZINC000631900424 421923910 /nfs/dbraw/zinc/92/39/10/421923910.db2.gz SNBAZSFVQHYBEY-UHFFFAOYSA-N -1 1 324.309 1.844 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc(N2CCC2)nc1 ZINC000573293032 421944148 /nfs/dbraw/zinc/94/41/48/421944148.db2.gz DGMIQVNGUQYIJY-UHFFFAOYSA-N -1 1 313.365 1.004 20 0 DDADMM Cc1ncc(C(=O)N2CCC(n3cc(C(=O)[O-])cn3)CC2)[nH]1 ZINC000630190485 421948799 /nfs/dbraw/zinc/94/87/99/421948799.db2.gz CMGMYNJPJRSGHE-UHFFFAOYSA-N -1 1 303.322 1.090 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3c2CCC3)CC1 ZINC000630220975 421970140 /nfs/dbraw/zinc/97/01/40/421970140.db2.gz SQWGYDFQNRTCFT-CYBMUJFWSA-N -1 1 316.401 1.796 20 0 DDADMM CCO[C@@H](C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)C(C)C ZINC000630221270 421970919 /nfs/dbraw/zinc/97/09/19/421970919.db2.gz WTQCTWKWPQKFHG-TZMCWYRMSA-N -1 1 300.399 1.055 20 0 DDADMM Cc1ccccc1CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630223522 421972560 /nfs/dbraw/zinc/97/25/60/421972560.db2.gz JMVOMYUILXMBLI-CQSZACIVSA-N -1 1 304.390 1.545 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)c2cnn(C)c2)c1 ZINC000632024367 422030923 /nfs/dbraw/zinc/03/09/23/422030923.db2.gz KVIROWXMDWDMGW-VIFPVBQESA-N -1 1 311.363 1.174 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](N3CC=CC3)C2)c1 ZINC000632034623 422038436 /nfs/dbraw/zinc/03/84/36/422038436.db2.gz ZPIMIFAMSFHAGL-GFCCVEGCSA-N -1 1 324.402 1.036 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccc(Cl)c(Cl)c1 ZINC000633628080 422012281 /nfs/dbraw/zinc/01/22/81/422012281.db2.gz HRYNYCLIYDHABX-UHFFFAOYSA-N -1 1 300.149 1.756 20 0 DDADMM Cc1ccc(C[C@H](C)NC(=O)CCCc2nn[n-]n2)c(C)c1 ZINC000635540351 422087954 /nfs/dbraw/zinc/08/79/54/422087954.db2.gz FPEIRSSOJBHBCV-ZDUSSCGKSA-N -1 1 301.394 1.887 20 0 DDADMM O=C([O-])CN(C1CCC1)S(=O)(=O)c1cc(O)cc(F)c1 ZINC000630445956 422108584 /nfs/dbraw/zinc/10/85/84/422108584.db2.gz NCDNTKTVBNEUCD-UHFFFAOYSA-N -1 1 303.311 1.159 20 0 DDADMM O=C([O-])c1cnc(NCCN2CCc3ccccc3C2)s1 ZINC000630455792 422112662 /nfs/dbraw/zinc/11/26/62/422112662.db2.gz HESFLBZZCQUXLF-UHFFFAOYSA-N -1 1 303.387 1.733 20 0 DDADMM CC[C@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])CCS1 ZINC000632049970 422051634 /nfs/dbraw/zinc/05/16/34/422051634.db2.gz UPQLTXZEUNMKSA-NSHDSACASA-N -1 1 317.432 1.917 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ncsc1C(C)C ZINC000633691892 422053342 /nfs/dbraw/zinc/05/33/42/422053342.db2.gz UJLFSBIAMASWTH-UHFFFAOYSA-N -1 1 307.379 1.332 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2nccn2CC(C)C)sn1 ZINC000632180602 422143851 /nfs/dbraw/zinc/14/38/51/422143851.db2.gz FVCPRFRAPQIOGG-UHFFFAOYSA-N -1 1 314.436 1.783 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC12CCC2 ZINC000583446885 422168323 /nfs/dbraw/zinc/16/83/23/422168323.db2.gz YZEPTJZWZSGXHN-JTQLQIEISA-N -1 1 301.350 1.070 20 0 DDADMM CCC[C@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])CCO1 ZINC000632152292 422121949 /nfs/dbraw/zinc/12/19/49/422121949.db2.gz GLRRNUJCEVJFKN-LBPRGKRZSA-N -1 1 315.391 1.590 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccccc1OCC(F)F ZINC000635688332 422242395 /nfs/dbraw/zinc/24/23/95/422242395.db2.gz AZNPGJRILIZKOS-UHFFFAOYSA-N -1 1 311.292 1.805 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)[C@@H]2CCCOC2)c1 ZINC000632328959 422251361 /nfs/dbraw/zinc/25/13/61/422251361.db2.gz LYTUSTOCKATWKV-SNVBAGLBSA-N -1 1 301.364 1.200 20 0 DDADMM CCC[C@@H](CNS(=O)(=O)c1cc(OC)ccc1[O-])OC ZINC000632379888 422291243 /nfs/dbraw/zinc/29/12/43/422291243.db2.gz YXDILRMWFAPLIB-NSHDSACASA-N -1 1 303.380 1.494 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)[C@H](C)C(C)(C)O)c1 ZINC000632394357 422302702 /nfs/dbraw/zinc/30/27/02/422302702.db2.gz NZWSYOBABFHRML-SECBINFHSA-N -1 1 303.380 1.181 20 0 DDADMM CCN(C(=O)CCc1nn[n-]n1)[C@H](C)c1cccc(OC)c1 ZINC000630736038 422303407 /nfs/dbraw/zinc/30/34/07/422303407.db2.gz SWYQWUGMHIKFLA-LLVKDONJSA-N -1 1 303.366 1.751 20 0 DDADMM CC(C)(CNC(=O)CCc1nn[n-]n1)c1ccc(Cl)cc1 ZINC000630795332 422339596 /nfs/dbraw/zinc/33/95/96/422339596.db2.gz BNCXXJUXXQMDDJ-UHFFFAOYSA-N -1 1 307.785 1.880 20 0 DDADMM COc1ccc(CCCN(C)C(=O)CCc2nn[n-]n2)cc1 ZINC000630801012 422342534 /nfs/dbraw/zinc/34/25/34/422342534.db2.gz GUJWMTLFJSYKMY-UHFFFAOYSA-N -1 1 303.366 1.232 20 0 DDADMM CC[C@@H]1OCC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632442606 422349348 /nfs/dbraw/zinc/34/93/48/422349348.db2.gz IVYHEMMVPQSRNE-RQJHMYQMSA-N -1 1 313.301 1.274 20 0 DDADMM Cc1oc2ccccc2c1CCNC(=O)CCCc1nn[n-]n1 ZINC000635807121 422362033 /nfs/dbraw/zinc/36/20/33/422362033.db2.gz OWLWJBSROMTUMK-UHFFFAOYSA-N -1 1 313.361 1.936 20 0 DDADMM COc1ccccc1C[C@H]1CCCN1C(=O)CCc1nn[n-]n1 ZINC000630855009 422375204 /nfs/dbraw/zinc/37/52/04/422375204.db2.gz TWNPYDIAYLHLJU-CYBMUJFWSA-N -1 1 315.377 1.375 20 0 DDADMM CC1(C)CNC(=O)[C@@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632566812 422441984 /nfs/dbraw/zinc/44/19/84/422441984.db2.gz MYSJEIIPWDJLKL-QMMMGPOBSA-N -1 1 308.306 1.020 20 0 DDADMM COc1ccc2c(c1)CCC[C@@H]2CNC(=O)CCc1nn[n-]n1 ZINC000632486389 422382871 /nfs/dbraw/zinc/38/28/71/422382871.db2.gz DXWVSCQKCNUOAM-GFCCVEGCSA-N -1 1 315.377 1.377 20 0 DDADMM C[C@@H]1SCC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632504830 422397020 /nfs/dbraw/zinc/39/70/20/422397020.db2.gz NDMFYPCLVHBAHY-NTSWFWBYSA-N -1 1 315.342 1.601 20 0 DDADMM CC(C)[C@@H]1CCc2[n-]n(CCCN3CCOCC3)c(=O)c2C1 ZINC000634616246 422508492 /nfs/dbraw/zinc/50/84/92/422508492.db2.gz FSJPCYHCBAKWPO-HUUCEWRRSA-N -1 1 307.438 1.979 20 0 DDADMM O=C(C(=O)N1CC[C@H](O)[C@@H]2CCCC[C@H]21)c1ccc([O-])cc1 ZINC000634486610 422452962 /nfs/dbraw/zinc/45/29/62/422452962.db2.gz FFTAPZAGJTZHRK-KFWWJZLASA-N -1 1 303.358 1.727 20 0 DDADMM CCc1cc(=O)n(-c2ccc(S(=O)(=O)NC3CC3)cc2)[n-]1 ZINC000634601178 422499300 /nfs/dbraw/zinc/49/93/00/422499300.db2.gz FDTJNWNRVOTGEW-UHFFFAOYSA-N -1 1 307.375 1.630 20 0 DDADMM CN(C(=O)CCCc1nn[n-]n1)[C@H](CO)c1ccc(Cl)cc1 ZINC000635981583 422537697 /nfs/dbraw/zinc/53/76/97/422537697.db2.gz YXNLMBUQSCHZPC-GFCCVEGCSA-N -1 1 323.784 1.368 20 0 DDADMM O=C([O-])C12CCC(CC1)N2Cc1nc(CC(F)(F)F)no1 ZINC000634696535 422558673 /nfs/dbraw/zinc/55/86/73/422558673.db2.gz BKGPCZUQIZKBEX-UHFFFAOYSA-N -1 1 305.256 1.756 20 0 DDADMM C[C@@]1(C(=O)N=c2cc(-c3cccc(F)c3F)[n-][nH]2)CCNC1=O ZINC000636022161 422572164 /nfs/dbraw/zinc/57/21/64/422572164.db2.gz PUDVCMMZZZLYHX-OAHLLOKOSA-N -1 1 320.299 1.242 20 0 DDADMM Cc1cc(C)c2c(c1)N(C(=O)CCCc1nn[n-]n1)CCO2 ZINC000636122154 422658858 /nfs/dbraw/zinc/65/88/58/422658858.db2.gz CFSVVLGKOVQUOJ-UHFFFAOYSA-N -1 1 301.350 1.565 20 0 DDADMM O=C(N1CCC[C@H](c2n[n-]c(=O)o2)C1)C1(C(F)F)CCC1 ZINC000632843373 422620222 /nfs/dbraw/zinc/62/02/22/422620222.db2.gz PNFGYBPKXNUIOO-QMMMGPOBSA-N -1 1 301.293 1.917 20 0 DDADMM CO[C@H](Cc1ccc(F)cc1)CS(=O)(=O)[N-]c1cn[nH]c1 ZINC000629349098 422625664 /nfs/dbraw/zinc/62/56/64/422625664.db2.gz DFCFXTLCQDRQEZ-CYBMUJFWSA-N -1 1 313.354 1.548 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000629497699 422700485 /nfs/dbraw/zinc/70/04/85/422700485.db2.gz HEYKFCIMVFWSJS-MNOVXSKESA-N -1 1 324.343 1.830 20 0 DDADMM C[C@H](C(=O)NCc1cccs1)N1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000629501205 422703655 /nfs/dbraw/zinc/70/36/55/422703655.db2.gz LEGQTDSCUJOATR-WZRBSPASSA-N -1 1 310.419 1.795 20 0 DDADMM COCc1sccc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000631353491 422707593 /nfs/dbraw/zinc/70/75/93/422707593.db2.gz IMYYQHHSFUENDF-UHFFFAOYSA-N -1 1 307.379 1.607 20 0 DDADMM CC(C)N1CC[C@@H](NC(=O)Cc2ccc([O-])c(Cl)c2)C1=O ZINC000631308437 422675605 /nfs/dbraw/zinc/67/56/05/422675605.db2.gz BTYMNRLNHXTJGU-GFCCVEGCSA-N -1 1 310.781 1.714 20 0 DDADMM O=C([O-])[C@H]1CC(=O)N(C2CCN(Cc3ccccc3F)CC2)C1 ZINC000650497584 423177365 /nfs/dbraw/zinc/17/73/65/423177365.db2.gz OVPLTCDWSYVZIF-ZDUSSCGKSA-N -1 1 320.364 1.723 20 0 DDADMM O=C(NCCNC(=O)c1cc(Cl)ccc1[O-])c1cccnc1 ZINC000032745922 263252810 /nfs/dbraw/zinc/25/28/10/263252810.db2.gz QXVCTFIQVOHXIG-UHFFFAOYSA-N -1 1 319.748 1.600 20 0 DDADMM Cc1cc(F)ccc1-n1cc(C(=O)[N-]c2nncs2)nn1 ZINC000179336947 263357769 /nfs/dbraw/zinc/35/77/69/263357769.db2.gz YDWBMZFEPQPAAZ-UHFFFAOYSA-N -1 1 304.310 1.819 20 0 DDADMM O=C(c1cn2ccnc2s1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000646067568 423322353 /nfs/dbraw/zinc/32/23/53/423322353.db2.gz KPONEGRQZLBKQI-QMMMGPOBSA-N -1 1 319.346 1.504 20 0 DDADMM O=C(CCCc1ccccn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000646066234 423323289 /nfs/dbraw/zinc/32/32/89/423323289.db2.gz UVWHYNAUBXCKKU-LBPRGKRZSA-N -1 1 316.361 1.899 20 0 DDADMM O=C(c1cn2ccnc2s1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000646067567 423323390 /nfs/dbraw/zinc/32/33/90/423323390.db2.gz KPONEGRQZLBKQI-MRVPVSSYSA-N -1 1 319.346 1.504 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H](NC(=O)CCc2nc[nH]n2)c2ccccc21 ZINC000653329834 423441742 /nfs/dbraw/zinc/44/17/42/423441742.db2.gz ZUHKBKBVMGXCOJ-VXGBXAGGSA-N -1 1 300.318 1.167 20 0 DDADMM CC(=O)N[C@H](CC(=O)Nc1c(C)[n-][nH]c1=O)c1ccccc1 ZINC000646413205 423479380 /nfs/dbraw/zinc/47/93/80/423479380.db2.gz VAVQMWMMPQLALX-GFCCVEGCSA-N -1 1 302.334 1.630 20 0 DDADMM C[C@@H]1CCCc2ncc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)n21 ZINC000651561566 423595648 /nfs/dbraw/zinc/59/56/48/423595648.db2.gz QDASZTKVKUJENQ-MNOVXSKESA-N -1 1 315.381 1.313 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC[C@H]1C1CCC1 ZINC000649196370 423671984 /nfs/dbraw/zinc/67/19/84/423671984.db2.gz KVPGVYQUBHOLMC-JTQLQIEISA-N -1 1 307.350 1.691 20 0 DDADMM N=c1[n-]nc(C2CCN(C[C@H](O)COc3ccccc3)CC2)o1 ZINC000639728038 423694602 /nfs/dbraw/zinc/69/46/02/423694602.db2.gz YREYQNZDYLWHTC-ZDUSSCGKSA-N -1 1 318.377 1.101 20 0 DDADMM COCCOc1cccc(CN[C@H](C(=O)[O-])c2ccnn2C)c1 ZINC000644598231 423719223 /nfs/dbraw/zinc/71/92/23/423719223.db2.gz TUPXQEZRFPOHTN-HNNXBMFYSA-N -1 1 319.361 1.361 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2cn(C3CCC3)nn2)sn1 ZINC000641558003 423721228 /nfs/dbraw/zinc/72/12/28/423721228.db2.gz MBHVXWKEPKKOSH-UHFFFAOYSA-N -1 1 313.408 1.247 20 0 DDADMM O=C(c1c([O-])cnc2ccc(Cl)cc21)N1C[C@@H]2C[C@]2(O)C1 ZINC000641581362 423734511 /nfs/dbraw/zinc/73/45/11/423734511.db2.gz JJAQQXONDLHWRT-AYVTZFPOSA-N -1 1 304.733 1.801 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)cc1)C(C)C ZINC000647122671 423764096 /nfs/dbraw/zinc/76/40/96/423764096.db2.gz RHIJLXQBRKTXMX-LBPRGKRZSA-N -1 1 300.380 1.198 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@@H](C)[C@H](C(=O)[O-])C3)c[n-]c2[nH+]1 ZINC000647250650 423909330 /nfs/dbraw/zinc/90/93/30/423909330.db2.gz MZBGHTZTRXPEOJ-PRHODGIISA-N -1 1 315.329 1.437 20 0 DDADMM C[C@@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)n1cccn1 ZINC000644854378 423977510 /nfs/dbraw/zinc/97/75/10/423977510.db2.gz VRDJIELDZIFQJR-FZMZJTMJSA-N -1 1 311.349 1.253 20 0 DDADMM Cc1cccc(C)c1OC[C@@H](O)CN=c1[n-]c(C(N)=O)cs1 ZINC000644935280 424059179 /nfs/dbraw/zinc/05/91/79/424059179.db2.gz MREJPSSZOVEUGR-NSHDSACASA-N -1 1 321.402 1.133 20 0 DDADMM O=C([O-])c1cccnc1N1CCC[C@H](CN2CCOCC2)C1 ZINC000647454532 424031673 /nfs/dbraw/zinc/03/16/73/424031673.db2.gz TUWZALHHKRUSJC-CYBMUJFWSA-N -1 1 305.378 1.328 20 0 DDADMM CCN(C)c1ccc(CN[C@@H](C(=O)[O-])c2ccnn2C)cn1 ZINC000647467879 424049964 /nfs/dbraw/zinc/04/99/64/424049964.db2.gz YVZWHMBUIVIUHV-CQSZACIVSA-N -1 1 303.366 1.187 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@H]1OCc2ccccc21)c1nn[n-]n1 ZINC000654997845 424103720 /nfs/dbraw/zinc/10/37/20/424103720.db2.gz NXKXBOWTBTXCIQ-MFKMUULPSA-N -1 1 301.350 1.423 20 0 DDADMM O=S(=O)([N-]CCO[C@@H]1CC1(F)F)c1cccc(F)c1F ZINC000657117528 424124886 /nfs/dbraw/zinc/12/48/86/424124886.db2.gz KZWXAEXSWFHFCV-SECBINFHSA-N -1 1 313.272 1.667 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2cc(F)ccc2F)nn1 ZINC000657121349 424131611 /nfs/dbraw/zinc/13/16/11/424131611.db2.gz LWIXOGVRMAQYOC-UHFFFAOYSA-N -1 1 302.306 1.055 20 0 DDADMM COC[C@]1(C)CN(C(=O)N=c2[n-]sc3ccccc32)CCO1 ZINC000640343421 424361905 /nfs/dbraw/zinc/36/19/05/424361905.db2.gz PULSMMKAEHTABI-HNNXBMFYSA-N -1 1 321.402 1.987 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CC[C@@H](c3ccc(Cl)cc3)C2)C1=O ZINC000662202921 424470408 /nfs/dbraw/zinc/47/04/08/424470408.db2.gz DAOBFUNSYSWLEB-TZMCWYRMSA-N -1 1 322.792 1.815 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)NC[C@@H](C(=O)[O-])C2CCC2)CCN1C ZINC000665320807 424782760 /nfs/dbraw/zinc/78/27/60/424782760.db2.gz TUWNDUGVICZVEG-KGLIPLIRSA-N -1 1 311.426 1.469 20 0 DDADMM CCc1nc([C@H](C)NC(=O)NC[C@@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665322452 424783370 /nfs/dbraw/zinc/78/33/70/424783370.db2.gz DWOWSFRMJCBZIW-WCBMZHEXSA-N -1 1 309.370 1.228 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1nc(CC)oc1C ZINC000343588305 271811543 /nfs/dbraw/zinc/81/15/43/271811543.db2.gz FXDAJYXFTBBVQT-UHFFFAOYSA-N -1 1 306.322 1.130 20 0 DDADMM COc1cc(C(=O)NCCN2CCOCC2)cc(Cl)c1[O-] ZINC000344748202 272109121 /nfs/dbraw/zinc/10/91/21/272109121.db2.gz AKLVLGKSBLYLTI-UHFFFAOYSA-N -1 1 314.769 1.116 20 0 DDADMM CNC(=O)[C@H]1CCC[C@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000345511027 272270218 /nfs/dbraw/zinc/27/02/18/272270218.db2.gz UMJQEDDEOPCCTQ-WCBMZHEXSA-N -1 1 318.345 1.158 20 0 DDADMM O=C(CCc1cccc(F)c1F)NC1(c2nn[n-]n2)CCCC1 ZINC000345534272 272275797 /nfs/dbraw/zinc/27/57/97/272275797.db2.gz GGQWQIODGCGABN-UHFFFAOYSA-N -1 1 321.331 1.996 20 0 DDADMM CC(C)(C)OC(=O)NCCCCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000496485485 272317946 /nfs/dbraw/zinc/31/79/46/272317946.db2.gz GTWVRIHTHVNZNO-UHFFFAOYSA-N -1 1 324.385 1.000 20 0 DDADMM C[C@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C(F)(F)F ZINC000347722590 272744577 /nfs/dbraw/zinc/74/45/77/272744577.db2.gz QKACWQRBQQYUOP-RXMQYKEDSA-N -1 1 312.269 1.177 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn(C)nc2C(C)C)n1 ZINC000415631869 276301639 /nfs/dbraw/zinc/30/16/39/276301639.db2.gz FTRFLSIZKRTIAX-UHFFFAOYSA-N -1 1 305.338 1.696 20 0 DDADMM C[C@@H]1SCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@H]1C ZINC000118460592 279072253 /nfs/dbraw/zinc/07/22/53/279072253.db2.gz RVUDCUBEQFZYLF-UWVGGRQHSA-N -1 1 303.387 1.889 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H]3CCOC4(CCC4)C3)ccnc1-2 ZINC000287602107 279300018 /nfs/dbraw/zinc/30/00/18/279300018.db2.gz MMNQSKJFAOSNJB-PYXNCVKXSA-N -1 1 315.377 1.565 20 0 DDADMM O=C(CNC(=O)c1cc(F)ccc1[O-])NCCc1ccccc1 ZINC000080022341 281000042 /nfs/dbraw/zinc/00/00/42/281000042.db2.gz OHCYJUOLPLLZHK-UHFFFAOYSA-N -1 1 316.332 1.620 20 0 DDADMM C[C@H](NC(=O)c1cc(F)ccc1[O-])C(=O)NCc1ccco1 ZINC000081957913 281012766 /nfs/dbraw/zinc/01/27/66/281012766.db2.gz ZEOISFXZFBLVJO-VIFPVBQESA-N -1 1 306.293 1.559 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@H](O)C1)c1cc(F)c(F)cc1F ZINC000121823222 281144922 /nfs/dbraw/zinc/14/49/22/281144922.db2.gz ILJCCVUXOLOZRH-IUCAKERBSA-N -1 1 323.336 1.933 20 0 DDADMM CSCC[C@@H](CO)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000127064215 281261822 /nfs/dbraw/zinc/26/18/22/281261822.db2.gz HMEJHOPNQKRZKW-NSHDSACASA-N -1 1 315.416 1.825 20 0 DDADMM Cc1ccc2cc(C(=O)NCCCc3nc(=O)[n-][nH]3)ccc2n1 ZINC000127125455 281265005 /nfs/dbraw/zinc/26/50/05/281265005.db2.gz SNMFEDVQMAGKPD-UHFFFAOYSA-N -1 1 311.345 1.317 20 0 DDADMM C[C@@H]1c2cccn2CCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000181760325 289091574 /nfs/dbraw/zinc/09/15/74/289091574.db2.gz ZVJARSDMHSBIRR-SNVBAGLBSA-N -1 1 311.345 1.692 20 0 DDADMM NC(=O)[C@H]1CCCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180361374 295150596 /nfs/dbraw/zinc/15/05/96/295150596.db2.gz UAPGQJXWFMKUCI-SECBINFHSA-N -1 1 302.252 1.501 20 0 DDADMM CCN(C)C(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000354976224 298586605 /nfs/dbraw/zinc/58/66/05/298586605.db2.gz STGNKYGAVVJSJZ-UHFFFAOYSA-N -1 1 301.368 1.550 20 0 DDADMM CCc1ncc([N-]S(=O)(=O)CCOCC(F)(F)F)cn1 ZINC000357126659 298971437 /nfs/dbraw/zinc/97/14/37/298971437.db2.gz HMRLDZGISANGDV-UHFFFAOYSA-N -1 1 313.301 1.360 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc(-n2cccc2)ccn1 ZINC000362812948 300147472 /nfs/dbraw/zinc/14/74/72/300147472.db2.gz KERQWHACAMKDFN-UHFFFAOYSA-N -1 1 323.360 1.585 20 0 DDADMM O=C(N1CCO[C@H](c2nn[n-]n2)C1)C1(Cc2ccccc2)CC1 ZINC000363365229 300257686 /nfs/dbraw/zinc/25/76/86/300257686.db2.gz GVMXAERMAAULSE-ZDUSSCGKSA-N -1 1 313.361 1.123 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCN([C@H]2CCOC2)CC1 ZINC000363923218 300326351 /nfs/dbraw/zinc/32/63/51/300326351.db2.gz SFAHHWMQUZVBDT-LBPRGKRZSA-N -1 1 310.781 1.592 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN([C@@H]2CCOC2)CC1 ZINC000366475235 300702355 /nfs/dbraw/zinc/70/23/55/300702355.db2.gz RLVZAXRDWWVVRH-GFCCVEGCSA-N -1 1 310.781 1.592 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1C[C@H]2CCC[C@@H](C1)C2O ZINC000369446100 301187250 /nfs/dbraw/zinc/18/72/50/301187250.db2.gz BQBILIITVCXKIG-BVUQATHDSA-N -1 1 313.357 1.156 20 0 DDADMM CCCCC[C@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)C(C)C ZINC000370329348 301317654 /nfs/dbraw/zinc/31/76/54/301317654.db2.gz CRNMRNJKPWAFKB-QWHCGFSZSA-N -1 1 309.414 1.952 20 0 DDADMM Cc1ccccc1SCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370857425 301397988 /nfs/dbraw/zinc/39/79/88/301397988.db2.gz NVFWNLRTPADION-LLVKDONJSA-N -1 1 319.390 1.200 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCN2C(=O)OC[C@@H]2C1 ZINC000376202197 302050361 /nfs/dbraw/zinc/05/03/61/302050361.db2.gz YTJBGLPWDSYLKV-LBPRGKRZSA-N -1 1 312.325 1.822 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@@]13CCOC3)c2=O ZINC000377408475 302177616 /nfs/dbraw/zinc/17/76/16/302177616.db2.gz FYIKDSKTRPKULH-HNNXBMFYSA-N -1 1 302.334 1.069 20 0 DDADMM COCC(=O)N[C@@H](C(=O)Nc1ccc([O-])c(Cl)c1)C(C)C ZINC000355880217 306817712 /nfs/dbraw/zinc/81/77/12/306817712.db2.gz KSQLXELKNLBOAD-CYBMUJFWSA-N -1 1 314.769 1.771 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@@H]1C1CC1)c1cc(F)c(F)cc1F ZINC000378323727 302312394 /nfs/dbraw/zinc/31/23/94/302312394.db2.gz NQYCQRXNVQVMSX-DGCLKSJQSA-N -1 1 321.320 1.950 20 0 DDADMM COC(=O)N1CCC(CNC(=O)c2ccc([O-])cc2F)CC1 ZINC000495760857 302460906 /nfs/dbraw/zinc/46/09/06/302460906.db2.gz PVHZAHRDZXVMBM-UHFFFAOYSA-N -1 1 310.325 1.740 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)c1Br ZINC000529466103 303130756 /nfs/dbraw/zinc/13/07/56/303130756.db2.gz DTGNHCNGMJIWKP-WOPDXLMNSA-N -1 1 316.199 1.901 20 0 DDADMM CC(C)(C)C(=O)Cn1cc(C(=O)Nc2cccc(F)c2[O-])nn1 ZINC000530007130 303170248 /nfs/dbraw/zinc/17/02/48/303170248.db2.gz XTKHHBDBFWQRAP-UHFFFAOYSA-N -1 1 320.324 1.990 20 0 DDADMM C[C@H](Cc1ccncc1)NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000531772955 303255619 /nfs/dbraw/zinc/25/56/19/303255619.db2.gz YHIJQNYILDUDMG-SNVBAGLBSA-N -1 1 302.403 1.895 20 0 DDADMM CCC[C@@H](NC(=O)Cc1csc2nccn12)c1nn[n-]n1 ZINC000532507700 303288504 /nfs/dbraw/zinc/28/85/04/303288504.db2.gz YGHZYXCFLKOAPB-SECBINFHSA-N -1 1 305.367 1.109 20 0 DDADMM O=C(Cn1ccc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000533113960 303310054 /nfs/dbraw/zinc/31/00/54/303310054.db2.gz XKULDGRWWLVDJN-CYBMUJFWSA-N -1 1 310.361 1.561 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)c3cnc(C)nc3N)[nH][n-]2)s1 ZINC000533197116 303313205 /nfs/dbraw/zinc/31/32/05/303313205.db2.gz HLDTURSQYOMTOT-UHFFFAOYSA-N -1 1 314.374 1.632 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)nn1C(C)(C)C ZINC000535322816 303346432 /nfs/dbraw/zinc/34/64/32/303346432.db2.gz WPEMWBXKRGVKST-LLVKDONJSA-N -1 1 317.397 1.479 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)cn1 ZINC000536701426 303368470 /nfs/dbraw/zinc/36/84/70/303368470.db2.gz KPLUUDVFXIKZKL-NSHDSACASA-N -1 1 314.363 1.179 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc2ccccc2o1)NCC1CC1 ZINC000538696543 303388477 /nfs/dbraw/zinc/38/84/77/303388477.db2.gz ZPZGEVODMIOIAA-UHFFFAOYSA-N -1 1 308.359 1.237 20 0 DDADMM COC(=O)CCN(C[C@@H]1CCCO1)C(=O)c1ncc(C)cc1[O-] ZINC000547651918 303545237 /nfs/dbraw/zinc/54/52/37/303545237.db2.gz CEVXLXXZVMBNOI-LBPRGKRZSA-N -1 1 322.361 1.280 20 0 DDADMM O=C(NCC1(O)Cc2ccccc2C1)C(=O)c1ccc([O-])cc1 ZINC000554578461 303702693 /nfs/dbraw/zinc/70/26/93/303702693.db2.gz WKIHNHPXPRDELL-UHFFFAOYSA-N -1 1 311.337 1.221 20 0 DDADMM O=C([O-])CCOc1ccc(NS(=O)(=O)c2cccnc2)cc1 ZINC000560418522 303811027 /nfs/dbraw/zinc/81/10/27/303811027.db2.gz BPFSUCGKPGKQGW-UHFFFAOYSA-N -1 1 322.342 1.736 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1c(F)cccc1F ZINC000367052041 307083739 /nfs/dbraw/zinc/08/37/39/307083739.db2.gz HBPNDUCDJZYKHN-NXEZZACHSA-N -1 1 321.331 1.988 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)c1cncc([O-])c1 ZINC000371441477 307149780 /nfs/dbraw/zinc/14/97/80/307149780.db2.gz YWBRJYGYTGQBOC-AWEZNQCLSA-N -1 1 316.361 1.351 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2CCO[C@H](C3CC3)C2)cc1 ZINC000372261302 307166366 /nfs/dbraw/zinc/16/63/66/307166366.db2.gz MGKCOYWWZJUOJU-HNNXBMFYSA-N -1 1 318.373 1.062 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@]12CCOC2 ZINC000372519742 307169405 /nfs/dbraw/zinc/16/94/05/307169405.db2.gz BUICSNHGZKXJDI-LRDDRELGSA-N -1 1 316.361 1.095 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@@H](Nc2ccccc2)C1 ZINC000373940992 307198280 /nfs/dbraw/zinc/19/82/80/307198280.db2.gz YYWZVAOKEZLGLI-GFCCVEGCSA-N -1 1 322.390 1.271 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC2CCC1CC2 ZINC000378392055 307287646 /nfs/dbraw/zinc/28/76/46/307287646.db2.gz SMYBCQDGKYGPTN-UHFFFAOYSA-N -1 1 314.389 1.995 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H](C)C[C@H](C)O)c(F)c1 ZINC000425208363 307302094 /nfs/dbraw/zinc/30/20/94/307302094.db2.gz SMMGNCHQCJIIIH-ZJUUUORDSA-N -1 1 307.362 1.959 20 0 DDADMM CC(C)(O)CO[N-]C(=O)C1(CNC(=O)OC(C)(C)C)CC1 ZINC000496388477 307339106 /nfs/dbraw/zinc/33/91/06/307339106.db2.gz XKPPAVUVGFPGPD-UHFFFAOYSA-N -1 1 302.371 1.110 20 0 DDADMM O=C(C1CC1)N1CCC(N=c2nc(C(F)(F)F)[n-]s2)CC1 ZINC000566578546 308058304 /nfs/dbraw/zinc/05/83/04/308058304.db2.gz VDYMURGFBCTOCV-UHFFFAOYSA-N -1 1 320.340 1.792 20 0 DDADMM CNC(=O)[C@H](Cc1ccccc1)Sc1ncc(C)c(=O)[n-]1 ZINC000567292058 308076661 /nfs/dbraw/zinc/07/66/61/308076661.db2.gz DIGAGSRSMSLGOZ-LBPRGKRZSA-N -1 1 303.387 1.940 20 0 DDADMM CCSc1n[n-]c(=NC(=O)N2CCN(C)C[C@H]2CC)s1 ZINC000572111360 308211487 /nfs/dbraw/zinc/21/14/87/308211487.db2.gz MPSVVWNCXVLBPK-SECBINFHSA-N -1 1 315.468 1.630 20 0 DDADMM C[C@H](NS(=O)(=O)c1ccc(Cl)cc1)c1nc(C[NH3+])no1 ZINC000572959807 308229374 /nfs/dbraw/zinc/22/93/74/308229374.db2.gz CJYXJFBNWISRDE-ZETCQYMHSA-N -1 1 316.770 1.221 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)[O-])CN1CC(=O)Nc1ccc(Cl)cn1 ZINC000575922124 308282487 /nfs/dbraw/zinc/28/24/87/308282487.db2.gz GEVGPKVYVDKPAK-VHSXEESVSA-N -1 1 311.769 1.859 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1c(F)ccc([O-])c1F)CC1CC1 ZINC000576831281 308343114 /nfs/dbraw/zinc/34/31/14/308343114.db2.gz DNOXTHZYINQABV-VIFPVBQESA-N -1 1 313.300 1.990 20 0 DDADMM O=S(=O)(CC[C@H]1CCCO1)c1nc(-c2ccccc2)n[n-]1 ZINC000576933905 308350113 /nfs/dbraw/zinc/35/01/13/308350113.db2.gz UURBSCAHHIMJSG-GFCCVEGCSA-N -1 1 307.375 1.815 20 0 DDADMM O=S(=O)(CC[C@H]1CCCO1)c1n[n-]c(-c2ccccc2)n1 ZINC000576933905 308350115 /nfs/dbraw/zinc/35/01/15/308350115.db2.gz UURBSCAHHIMJSG-GFCCVEGCSA-N -1 1 307.375 1.815 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000577180795 308368450 /nfs/dbraw/zinc/36/84/50/308368450.db2.gz IZTYZUKPXFYMBZ-XJKSGUPXSA-N -1 1 318.417 1.906 20 0 DDADMM Cc1ccc2c(CCC(=O)NC3(c4nn[n-]n4)CC3)c[nH]c2c1 ZINC000577439951 308387944 /nfs/dbraw/zinc/38/79/44/308387944.db2.gz BROXYNOVSZZMOQ-UHFFFAOYSA-N -1 1 310.361 1.728 20 0 DDADMM CCOC(=O)CC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000579002168 308500444 /nfs/dbraw/zinc/50/04/44/308500444.db2.gz RRNQXKACKVNYND-UHFFFAOYSA-N -1 1 314.388 1.528 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CC1 ZINC000580334326 308597777 /nfs/dbraw/zinc/59/77/77/308597777.db2.gz MRZNYOVRWFFRQZ-XYPYZODXSA-N -1 1 309.439 1.426 20 0 DDADMM CC(C)Cc1n[n-]c(=NC(=O)N2C[C@H]3CCC[C@@H](C2)N3)s1 ZINC000582833113 337206918 /nfs/dbraw/zinc/20/69/18/337206918.db2.gz ODHOLGOFPOKEQF-PHIMTYICSA-N -1 1 309.439 1.517 20 0 DDADMM CC(C)CN1CCO[C@@H](CNC(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC000583083107 337237427 /nfs/dbraw/zinc/23/74/27/337237427.db2.gz ZDNGOKWYBFYDLC-HNNXBMFYSA-N -1 1 320.389 1.471 20 0 DDADMM O=C([O-])c1cc(N2CCC[C@@H](N3CCOCC3)CC2)ccn1 ZINC000656093570 483940217 /nfs/dbraw/zinc/94/02/17/483940217.db2.gz XCKRQCVVWDMWRB-CYBMUJFWSA-N -1 1 305.378 1.471 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)NC[C@@H](C(=O)[O-])C(C)C)n[nH]1 ZINC000655895127 483987118 /nfs/dbraw/zinc/98/71/18/483987118.db2.gz QJXGYUSLOJSMEC-VHSXEESVSA-N -1 1 311.386 1.645 20 0 DDADMM O=C(c1occ2c1CCC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425303916 484115613 /nfs/dbraw/zinc/11/56/13/484115613.db2.gz PJWDQJRITZNXNT-VIFPVBQESA-N -1 1 303.318 1.877 20 0 DDADMM O=S(=O)([N-]CC1CCC(O)CC1)c1ccc(F)c(F)c1F ZINC000227643162 484161590 /nfs/dbraw/zinc/16/15/90/484161590.db2.gz VCHJISVFURPHMV-UHFFFAOYSA-N -1 1 323.336 1.933 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1c(C)cccc1F)[C@H]1CCOC1 ZINC000656732660 484307319 /nfs/dbraw/zinc/30/73/19/484307319.db2.gz WRKYMNAXOCAJSD-WCQYABFASA-N -1 1 317.382 1.927 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2c(c1)CCCC2=O)c1nn[n-]n1 ZINC000293614955 484330642 /nfs/dbraw/zinc/33/06/42/484330642.db2.gz WUKZHROIAGRVQJ-SNVBAGLBSA-N -1 1 313.361 1.594 20 0 DDADMM CCOc1cc(C(=O)[N-]c2nnc3n(C)[nH]c(CC)c2-3)on1 ZINC000295359950 484354835 /nfs/dbraw/zinc/35/48/35/484354835.db2.gz VYRHZLDPPGOBSD-UHFFFAOYSA-N -1 1 304.310 1.498 20 0 DDADMM COc1cccc([C@@H](CNC(=O)C2(C(=O)[O-])CCC2)N(C)C)c1 ZINC000320194240 484401686 /nfs/dbraw/zinc/40/16/86/484401686.db2.gz BOIFPDJVFHDNAJ-CQSZACIVSA-N -1 1 320.389 1.669 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@]1(C(=O)[O-])CC1(C)C)c1ccsc1 ZINC000663112841 484679083 /nfs/dbraw/zinc/67/90/83/484679083.db2.gz BUMCIIYYMQHLII-IAQYHMDHSA-N -1 1 310.419 1.968 20 0 DDADMM CN(C)[C@H](CNC(=O)C1(C(=O)[O-])CC=CC1)c1ccc(F)cc1 ZINC000663114409 484679691 /nfs/dbraw/zinc/67/96/91/484679691.db2.gz OBMVDISMIVJHRM-CQSZACIVSA-N -1 1 320.364 1.966 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccnc(OCCO)c2)cc1C ZINC000663301893 484793148 /nfs/dbraw/zinc/79/31/48/484793148.db2.gz ROYZRKQSJYZLER-UHFFFAOYSA-N -1 1 322.386 1.870 20 0 DDADMM C[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@H](C)C1O ZINC000664370870 484857456 /nfs/dbraw/zinc/85/74/56/484857456.db2.gz RYXIXRALMSTITF-UWVGGRQHSA-N -1 1 301.346 1.012 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](CO)[C@@H](C)c2ccccc2)c([O-])c1 ZINC000667977058 484887007 /nfs/dbraw/zinc/88/70/07/484887007.db2.gz KUVWMDHHCSAQEG-JSGCOSHPSA-N -1 1 300.358 1.990 20 0 DDADMM C[C@@H]1CO[C@@H](C(F)(F)F)CN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000672176722 485247738 /nfs/dbraw/zinc/24/77/38/485247738.db2.gz HWZCFVFYEGTMLM-LDYMZIIASA-N -1 1 317.263 1.753 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(N)=O ZINC000672326327 485287460 /nfs/dbraw/zinc/28/74/60/485287460.db2.gz HOQGMYUXZQRXSE-VIFPVBQESA-N -1 1 304.268 1.795 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@@H](C)S1(=O)=O ZINC000672515387 485309854 /nfs/dbraw/zinc/30/98/54/485309854.db2.gz ADTZQFKWEXNMKB-MWLCHTKSSA-N -1 1 315.366 1.569 20 0 DDADMM CN1CCC[C@H](NC(=O)c2cnc3c(F)cc(F)cc3c2O)C1 ZINC000672770107 485345638 /nfs/dbraw/zinc/34/56/38/485345638.db2.gz WTWJHGDUNHPMIB-JTQLQIEISA-N -1 1 321.327 1.630 20 0 DDADMM CC[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@]2(CCOC2)O1 ZINC000673147565 485392386 /nfs/dbraw/zinc/39/23/86/485392386.db2.gz ZXPJRZQGEZLPIH-RHSMWYFYSA-N -1 1 319.357 1.371 20 0 DDADMM CCCc1nc2ccccc2n1CCC(=O)NCc1nn[n-]n1 ZINC000678312163 485537548 /nfs/dbraw/zinc/53/75/48/485537548.db2.gz DKLMJYSHXMMBII-UHFFFAOYSA-N -1 1 313.365 1.208 20 0 DDADMM COc1cc(C(=O)N2CCNC(=O)C[C@H]2C)cc(Cl)c1[O-] ZINC000682360503 485564613 /nfs/dbraw/zinc/56/46/13/485564613.db2.gz CJVGIWRWHIWYPF-MRVPVSSYSA-N -1 1 312.753 1.405 20 0 DDADMM O=C([O-])C1CC(C(=O)NCc2n[nH]c(-c3ccc(F)cc3)n2)C1 ZINC000682702371 485748612 /nfs/dbraw/zinc/74/86/12/485748612.db2.gz GONPDNQZILFJID-UHFFFAOYSA-N -1 1 318.308 1.338 20 0 DDADMM O=C([O-])C1CC(C(=O)NCc2nc(-c3ccc(F)cc3)n[nH]2)C1 ZINC000682702371 485748617 /nfs/dbraw/zinc/74/86/17/485748617.db2.gz GONPDNQZILFJID-UHFFFAOYSA-N -1 1 318.308 1.338 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CC[C@H](O)C2)c(F)c1 ZINC000682726329 485759318 /nfs/dbraw/zinc/75/93/18/485759318.db2.gz TYTOGRAFSUAVHY-BDAKNGLRSA-N -1 1 321.345 1.413 20 0 DDADMM COCCC[C@@H](C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000679267321 485827373 /nfs/dbraw/zinc/82/73/73/485827373.db2.gz AOMJPVIBRFWSMM-DGCLKSJQSA-N -1 1 303.366 1.468 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2nccn2C)c(F)c1 ZINC000679439846 485885231 /nfs/dbraw/zinc/88/52/31/485885231.db2.gz ZYXCUTFGTXLQLN-UHFFFAOYSA-N -1 1 317.317 1.185 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCC2CC(O)C2)c(F)c1 ZINC000683343003 485961085 /nfs/dbraw/zinc/96/10/85/485961085.db2.gz ZEJPNZMDIYFAHH-UHFFFAOYSA-N -1 1 321.345 1.413 20 0 DDADMM CCS(=O)(=O)C1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000683524190 486038410 /nfs/dbraw/zinc/03/84/10/486038410.db2.gz WCHONDLSIWUGLS-UHFFFAOYSA-N -1 1 315.366 1.571 20 0 DDADMM Cc1ccnc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)n1 ZINC000683687102 486080946 /nfs/dbraw/zinc/08/09/46/486080946.db2.gz PQFZEEYNUVWKCK-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM Cc1ccnc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)n1 ZINC000683687102 486080956 /nfs/dbraw/zinc/08/09/56/486080956.db2.gz PQFZEEYNUVWKCK-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM CCN(CC)[C@@H](C(=O)N1CCO[C@@H](C(=O)[O-])C1)c1ccccc1 ZINC000676216785 486147999 /nfs/dbraw/zinc/14/79/99/486147999.db2.gz WIGVGIUZRWTSCR-HUUCEWRRSA-N -1 1 320.389 1.382 20 0 DDADMM C[C@H](NC(=O)CNC1(C(=O)[O-])CCCC1)c1ccc(F)cc1 ZINC000676230913 486153669 /nfs/dbraw/zinc/15/36/69/486153669.db2.gz WUCRNEYVUNOQCF-NSHDSACASA-N -1 1 308.353 1.990 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@H]2c2noc(C3CC3)n2)nc1=O ZINC000680371603 486166294 /nfs/dbraw/zinc/16/62/94/486166294.db2.gz JCWIDVGHNDMRLH-JTQLQIEISA-N -1 1 304.354 1.096 20 0 DDADMM O=C(c1c[nH]nc1-c1ccoc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000684058411 486230902 /nfs/dbraw/zinc/23/09/02/486230902.db2.gz WRYKPXOQOGYEAL-SECBINFHSA-N -1 1 313.321 1.203 20 0 DDADMM O=C(NCc1n[nH]cc1Br)c1ccc([O-])c(F)c1 ZINC000681041354 486328876 /nfs/dbraw/zinc/32/88/76/486328876.db2.gz HMTRIZHXZMIEMU-UHFFFAOYSA-N -1 1 314.114 1.947 20 0 DDADMM O=C(NCCNC(=O)C1CC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684872163 486449862 /nfs/dbraw/zinc/44/98/62/486449862.db2.gz QTPPVXWLNATLGY-UHFFFAOYSA-N -1 1 316.279 1.667 20 0 DDADMM COc1cc(CCNC(=O)c2ncc(C)cc2[O-])ccc1O ZINC000681739490 486507778 /nfs/dbraw/zinc/50/77/78/486507778.db2.gz MFIXYOUFWJJRCG-UHFFFAOYSA-N -1 1 302.330 1.782 20 0 DDADMM CC(=O)N(C[C@H]1CCCN1C(=O)c1ncc(C)cc1[O-])C1CC1 ZINC000681930974 486600526 /nfs/dbraw/zinc/60/05/26/486600526.db2.gz HFNFMEOJTDTKGK-CQSZACIVSA-N -1 1 317.389 1.711 20 0 DDADMM O=C([O-])c1csc(CNC(=O)c2[nH]nc3c2CCCC3)n1 ZINC000124319951 490643164 /nfs/dbraw/zinc/64/31/64/490643164.db2.gz RBBLTCQGVPUSQZ-UHFFFAOYSA-N -1 1 306.347 1.373 20 0 DDADMM C[C@@H]1NC(=O)CC[C@@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451508949 534098496 /nfs/dbraw/zinc/09/84/96/534098496.db2.gz NJKIUICWNURUBN-XPUUQOCRSA-N -1 1 308.812 1.347 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2C[C@H](C)O[C@H]2C)o1 ZINC000416606606 534239821 /nfs/dbraw/zinc/23/98/21/534239821.db2.gz OFHVDRQUDSHUOG-GUBZILKMSA-N -1 1 317.363 1.158 20 0 DDADMM Cc1cnc(C(=O)N2CSC[C@@H]2C(=O)N(C)C(C)C)c([O-])c1 ZINC000330888967 534526009 /nfs/dbraw/zinc/52/60/09/534526009.db2.gz UZWWDCKNGUAUTH-LLVKDONJSA-N -1 1 323.418 1.478 20 0 DDADMM O=S(=O)([N-]CCC1CC(O)C1)c1ccc(Br)o1 ZINC000432295093 534866626 /nfs/dbraw/zinc/86/66/26/534866626.db2.gz GEBHAAUWVLYJLB-UHFFFAOYSA-N -1 1 324.196 1.481 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(CC(F)F)C1)c1sccc1F ZINC000416667578 534871467 /nfs/dbraw/zinc/87/14/67/534871467.db2.gz GPLLPEZAQOEPJP-ZETCQYMHSA-N -1 1 314.354 1.505 20 0 DDADMM CC(C)OCCCN(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295855865 527935595 /nfs/dbraw/zinc/93/55/95/527935595.db2.gz OADCMQFKNCELDN-UHFFFAOYSA-N -1 1 308.407 1.905 20 0 DDADMM CC1(CO)CN(C(=O)c2ccc(Br)cc2[O-])C1 ZINC000331991914 528003504 /nfs/dbraw/zinc/00/35/04/528003504.db2.gz PPJRANNMLDSNSF-UHFFFAOYSA-N -1 1 300.152 1.609 20 0 DDADMM CCNC(=O)c1ccc(=NCC2(c3cccc(F)c3)CC2)[n-]n1 ZINC000413161458 528228227 /nfs/dbraw/zinc/22/82/27/528228227.db2.gz YZABVQIEJONAIN-UHFFFAOYSA-N -1 1 314.364 1.931 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)c1ccccn1 ZINC000298130863 528286486 /nfs/dbraw/zinc/28/64/86/528286486.db2.gz YETSOOBVVNOZEX-SECBINFHSA-N -1 1 324.362 1.021 20 0 DDADMM CCC[C@H](O)[C@@H](CO)NC(=O)c1cc2ccccc2cc1[O-] ZINC000412511744 528289547 /nfs/dbraw/zinc/28/95/47/528289547.db2.gz HVTXHTCKADCVFQ-CABCVRRESA-N -1 1 303.358 1.797 20 0 DDADMM CCC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000451312917 528356096 /nfs/dbraw/zinc/35/60/96/528356096.db2.gz KMOVCDRMCUEWRX-MRVPVSSYSA-N -1 1 322.839 1.691 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCc1c(C)noc1Cl ZINC000295761235 528560460 /nfs/dbraw/zinc/56/04/60/528560460.db2.gz VVSSPPWFQBGYHR-UHFFFAOYSA-N -1 1 318.757 1.959 20 0 DDADMM CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)[C@@H](C)c1cccnc1 ZINC000433356973 529103918 /nfs/dbraw/zinc/10/39/18/529103918.db2.gz VXYRVXVFPAYDJW-VIFPVBQESA-N -1 1 318.333 1.515 20 0 DDADMM CCN1CCN(C(=O)c2ncc(C)cc2[O-])C[C@@H]1c1ncc[nH]1 ZINC000433470326 529136010 /nfs/dbraw/zinc/13/60/10/529136010.db2.gz JZXSGWOLZBXFSP-GFCCVEGCSA-N -1 1 315.377 1.338 20 0 DDADMM CC(C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000416615845 529144920 /nfs/dbraw/zinc/14/49/20/529144920.db2.gz BDMSBBMITIQNPP-SSDOTTSWSA-N -1 1 324.324 1.295 20 0 DDADMM Cc1cc2oc(=O)cc(Cn3cnnc3-c3nn[n-]n3)c2cc1C ZINC000737285740 598877323 /nfs/dbraw/zinc/87/73/23/598877323.db2.gz UFSLQTPXTKMEDO-UHFFFAOYSA-N -1 1 323.316 1.230 20 0 DDADMM C[C@@](O)(CNc1nccnc1-c1nnn[n-]1)c1ccsc1 ZINC000736142786 598976076 /nfs/dbraw/zinc/97/60/76/598976076.db2.gz OZJWXEJJFKIPMZ-GFCCVEGCSA-N -1 1 303.351 1.038 20 0 DDADMM C[C@@](O)(CNc1nccnc1-c1nn[n-]n1)c1ccsc1 ZINC000736142786 598976078 /nfs/dbraw/zinc/97/60/78/598976078.db2.gz OZJWXEJJFKIPMZ-GFCCVEGCSA-N -1 1 303.351 1.038 20 0 DDADMM Cc1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)ccc1N(C)C ZINC000737276162 598991491 /nfs/dbraw/zinc/99/14/91/598991491.db2.gz ZSOPFNNFZDXLRL-UHFFFAOYSA-N -1 1 323.360 1.888 20 0 DDADMM Cc1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)ccc1N(C)C ZINC000737276162 598991493 /nfs/dbraw/zinc/99/14/93/598991493.db2.gz ZSOPFNNFZDXLRL-UHFFFAOYSA-N -1 1 323.360 1.888 20 0 DDADMM O=C(NCC1CCSCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738051135 599006423 /nfs/dbraw/zinc/00/64/23/599006423.db2.gz JHCVNWZMHGCFBT-UHFFFAOYSA-N -1 1 304.379 1.135 20 0 DDADMM O=C(NCC1CCSCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738051135 599006425 /nfs/dbraw/zinc/00/64/25/599006425.db2.gz JHCVNWZMHGCFBT-UHFFFAOYSA-N -1 1 304.379 1.135 20 0 DDADMM CC(C)C[C@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735794870 599026594 /nfs/dbraw/zinc/02/65/94/599026594.db2.gz HBBQYFDJXOKVPX-GFCCVEGCSA-N -1 1 300.366 1.912 20 0 DDADMM CC(C)C[C@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735794870 599026596 /nfs/dbraw/zinc/02/65/96/599026596.db2.gz HBBQYFDJXOKVPX-GFCCVEGCSA-N -1 1 300.366 1.912 20 0 DDADMM OCC[C@H](Nc1snc(Cl)c1-c1nnn[n-]1)C1CC1 ZINC000738394075 599046224 /nfs/dbraw/zinc/04/62/24/599046224.db2.gz PWFBUYFIAQFMGM-LURJTMIESA-N -1 1 300.775 1.550 20 0 DDADMM OCC[C@H](Nc1snc(Cl)c1-c1nn[n-]n1)C1CC1 ZINC000738394075 599046228 /nfs/dbraw/zinc/04/62/28/599046228.db2.gz PWFBUYFIAQFMGM-LURJTMIESA-N -1 1 300.775 1.550 20 0 DDADMM CN(CC1CC1)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000821596169 599338172 /nfs/dbraw/zinc/33/81/72/599338172.db2.gz BOGBFFYYGRIFGI-UHFFFAOYSA-N -1 1 311.342 1.036 20 0 DDADMM CN(CC1CC1)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000821596169 599338176 /nfs/dbraw/zinc/33/81/76/599338176.db2.gz BOGBFFYYGRIFGI-UHFFFAOYSA-N -1 1 311.342 1.036 20 0 DDADMM CN(CCC(=O)[O-])C(=O)c1cccc(Br)c1O ZINC000737991536 597013264 /nfs/dbraw/zinc/01/32/64/597013264.db2.gz PNAAPBVHPOTGOY-UHFFFAOYSA-N -1 1 302.124 1.701 20 0 DDADMM Cc1cc(N2CC[C@@H](N3CCC[C@H](CC(=O)[O-])C3)C2=O)n(C)n1 ZINC000818829615 597076133 /nfs/dbraw/zinc/07/61/33/597076133.db2.gz BPWGEXDHYXDNCN-CHWSQXEVSA-N -1 1 320.393 1.021 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CC[C@@H](C(=O)[O-])C1)c1cccc(F)c1 ZINC000818375171 597506009 /nfs/dbraw/zinc/50/60/09/597506009.db2.gz WNDASJIZZSHIRT-OCCSQVGLSA-N -1 1 323.368 1.545 20 0 DDADMM CC(=O)NCCN(Cc1cc(Cl)ccc1F)[C@H](C)C(=O)[O-] ZINC000263382368 597703750 /nfs/dbraw/zinc/70/37/50/597703750.db2.gz CACZDFMGWWNHET-SECBINFHSA-N -1 1 316.760 1.890 20 0 DDADMM O=C([O-])[C@H]1CCN(CN2C[C@H](c3ccccc3F)CC2=O)C1 ZINC000821511890 597759433 /nfs/dbraw/zinc/75/94/33/597759433.db2.gz VHHLIUXNBXBLAV-NWDGAFQWSA-N -1 1 306.337 1.506 20 0 DDADMM CN(CC(=O)NCC(=O)[O-])C[C@@H]1CCCO[C@@H]1c1ccccc1 ZINC000820562911 597773167 /nfs/dbraw/zinc/77/31/67/597773167.db2.gz ZAHHNKLCJNGVCD-WMLDXEAASA-N -1 1 320.389 1.287 20 0 DDADMM Fc1ccc(Br)c(Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC000737648148 598278527 /nfs/dbraw/zinc/27/85/27/598278527.db2.gz HVSGTVNCLRKQHQ-UHFFFAOYSA-N -1 1 324.117 1.408 20 0 DDADMM CCNC(=O)c1cccc(Oc2nccnc2-c2nn[n-]n2)c1 ZINC000736577095 598351555 /nfs/dbraw/zinc/35/15/55/598351555.db2.gz AURGJOFDKWSXQX-UHFFFAOYSA-N -1 1 311.305 1.199 20 0 DDADMM COCCN(CCOC)c1snc(Cl)c1-c1nnn[n-]1 ZINC000736995531 598591002 /nfs/dbraw/zinc/59/10/02/598591002.db2.gz SPBLOQNNIBCKPB-UHFFFAOYSA-N -1 1 318.790 1.076 20 0 DDADMM COCCN(CCOC)c1snc(Cl)c1-c1nn[n-]n1 ZINC000736995531 598591003 /nfs/dbraw/zinc/59/10/03/598591003.db2.gz SPBLOQNNIBCKPB-UHFFFAOYSA-N -1 1 318.790 1.076 20 0 DDADMM CCn1cc(C(=O)n2ncc(-c3nn[n-]n3)c2N)c2ccccc21 ZINC000736719768 598719765 /nfs/dbraw/zinc/71/97/65/598719765.db2.gz SVSBYIYTPZPVJT-UHFFFAOYSA-N -1 1 322.332 1.309 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@@H]1C[C@@H]1C1CCCCC1 ZINC000822904310 599319240 /nfs/dbraw/zinc/31/92/40/599319240.db2.gz VSGYGQYUGBYJMX-NXEZZACHSA-N -1 1 301.354 1.502 20 0 DDADMM COc1ccc([C@@H](O)CNc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000737074569 599407303 /nfs/dbraw/zinc/40/73/03/599407303.db2.gz JAFOKVXRUUSFRJ-ZDUSSCGKSA-N -1 1 312.333 1.416 20 0 DDADMM COc1ccc([C@@H](O)CNc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000737074569 599407310 /nfs/dbraw/zinc/40/73/10/599407310.db2.gz JAFOKVXRUUSFRJ-ZDUSSCGKSA-N -1 1 312.333 1.416 20 0 DDADMM COc1cccc2c1OC[C@H](Nc1cccc(-c3nnn[n-]3)n1)C2 ZINC000737141364 599427380 /nfs/dbraw/zinc/42/73/80/599427380.db2.gz BSCODKFMBRISPI-LLVKDONJSA-N -1 1 324.344 1.686 20 0 DDADMM COc1cccc2c1OC[C@H](Nc1cccc(-c3nn[n-]n3)n1)C2 ZINC000737141364 599427383 /nfs/dbraw/zinc/42/73/83/599427383.db2.gz BSCODKFMBRISPI-LLVKDONJSA-N -1 1 324.344 1.686 20 0 DDADMM OC[C@@H](NCc1ccc(-c2nnn[n-]2)o1)c1c(F)cccc1F ZINC000823706785 599443449 /nfs/dbraw/zinc/44/34/49/599443449.db2.gz PCQIOLNMGAUGDD-LLVKDONJSA-N -1 1 321.287 1.561 20 0 DDADMM OC[C@@H](NCc1ccc(-c2nn[n-]n2)o1)c1c(F)cccc1F ZINC000823706785 599443452 /nfs/dbraw/zinc/44/34/52/599443452.db2.gz PCQIOLNMGAUGDD-LLVKDONJSA-N -1 1 321.287 1.561 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)C(C)(C)NC(=O)[O-])c1ccco1 ZINC000737085174 599741495 /nfs/dbraw/zinc/74/14/95/599741495.db2.gz HOBIUVKHIJVRJF-NSHDSACASA-N -1 1 311.382 1.825 20 0 DDADMM CC(C)Oc1ccc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000378701551 599778145 /nfs/dbraw/zinc/77/81/45/599778145.db2.gz KBBPVEXWTYPJLZ-AWEZNQCLSA-N -1 1 317.345 1.623 20 0 DDADMM CCc1c(NC(=O)[C@H]2C[C@@H]2C(=O)[O-])[nH]nc1-c1ccncc1 ZINC000737247261 599851180 /nfs/dbraw/zinc/85/11/80/599851180.db2.gz BGZLVKZKCYKQAT-QWRGUYRKSA-N -1 1 300.318 1.693 20 0 DDADMM O=C([O-])CSCCN[C@H](C(=O)NC1CC1)c1ccccc1 ZINC000739864604 599896583 /nfs/dbraw/zinc/89/65/83/599896583.db2.gz LKYMLSGRYIIFHE-AWEZNQCLSA-N -1 1 308.403 1.414 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]1C(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000739538666 600060824 /nfs/dbraw/zinc/06/08/24/600060824.db2.gz MOADWJBGEPNVBE-XQQFMLRXSA-N -1 1 306.362 1.644 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@H+](Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000386543704 600140047 /nfs/dbraw/zinc/14/00/47/600140047.db2.gz KBJVRYBMFKTDPA-SNVBAGLBSA-N -1 1 303.314 1.795 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000386543704 600140048 /nfs/dbraw/zinc/14/00/48/600140048.db2.gz KBJVRYBMFKTDPA-SNVBAGLBSA-N -1 1 303.314 1.795 20 0 DDADMM CCC[C@@](C)(NCC(=O)NCc1ccccc1OC)C(=O)[O-] ZINC000386472795 600154051 /nfs/dbraw/zinc/15/40/51/600154051.db2.gz KOLBHTWIYKUZMN-MRXNPFEDSA-N -1 1 308.378 1.544 20 0 DDADMM CCC[C@](C)(NCC(=O)N1CCc2ccccc2C1)C(=O)[O-] ZINC000314365400 600154062 /nfs/dbraw/zinc/15/40/62/600154062.db2.gz XQNLFVZRESMKQW-KRWDZBQOSA-N -1 1 304.390 1.804 20 0 DDADMM O=C(CN1CC[C@H](c2ccco2)C1)NC1(C(=O)[O-])CCCCC1 ZINC000739277653 600325245 /nfs/dbraw/zinc/32/52/45/600325245.db2.gz FEKRMYWSHMKBDE-ZDUSSCGKSA-N -1 1 320.389 1.973 20 0 DDADMM O=C([O-])c1ccc(CN2CCCC[C@H]2CN2CCCC2=O)o1 ZINC000740123256 600334044 /nfs/dbraw/zinc/33/40/44/600334044.db2.gz DJOVPSDDAAGAGD-LBPRGKRZSA-N -1 1 306.362 1.955 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2cc(C(=O)[O-])cs2)n[nH]1 ZINC000737252054 600444452 /nfs/dbraw/zinc/44/44/52/600444452.db2.gz CQSJDBLFULVUNG-UHFFFAOYSA-N -1 1 315.376 1.210 20 0 DDADMM CCC[C@](C)(NCC(=O)NCc1cccc(OC)c1)C(=O)[O-] ZINC000314292175 600461353 /nfs/dbraw/zinc/46/13/53/600461353.db2.gz SEGALVBTFGVSIG-INIZCTEOSA-N -1 1 308.378 1.544 20 0 DDADMM CCc1cc(CNC(=O)Nc2ccc(CC(=O)[O-])cc2)n[nH]1 ZINC000737253320 600512723 /nfs/dbraw/zinc/51/27/23/600512723.db2.gz HBKZJVJOZIEPAO-UHFFFAOYSA-N -1 1 302.334 1.921 20 0 DDADMM C[C@H](CC(=O)[O-])SCC1=Nc2ccccc2S(=O)(=O)N1 ZINC000736553507 600584494 /nfs/dbraw/zinc/58/44/94/600584494.db2.gz XZXLWNLSEMHGBO-MRVPVSSYSA-N -1 1 314.388 1.605 20 0 DDADMM C[C@H](c1ccc(C(=O)[O-])o1)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000736717679 600626635 /nfs/dbraw/zinc/62/66/35/600626635.db2.gz PKWMDGLUEKWXIC-UPJWGTAASA-N -1 1 308.378 1.570 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)C[C@H]1CCCCO1 ZINC000825977449 600820474 /nfs/dbraw/zinc/82/04/74/600820474.db2.gz HHIGMYVAAITZFR-GXTWGEPZSA-N -1 1 312.410 1.199 20 0 DDADMM Cc1nnc(SCC(=O)N[C@H](C(=O)[O-])c2ccccc2)[nH]1 ZINC000818981269 600837238 /nfs/dbraw/zinc/83/72/38/600837238.db2.gz DFTMJWCZJIYTME-NSHDSACASA-N -1 1 306.347 1.147 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@H](C(=O)[O-])c2ccccc2)n1 ZINC000818981269 600837241 /nfs/dbraw/zinc/83/72/41/600837241.db2.gz DFTMJWCZJIYTME-NSHDSACASA-N -1 1 306.347 1.147 20 0 DDADMM C[C@@H]1CN(CCC(=O)NCC(=O)[O-])[C@H](c2ccccc2)CO1 ZINC000828452232 600861314 /nfs/dbraw/zinc/86/13/14/600861314.db2.gz ZCQYSJUJOCXQKV-OCCSQVGLSA-N -1 1 306.362 1.039 20 0 DDADMM COCC[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000399484190 600936186 /nfs/dbraw/zinc/93/61/86/600936186.db2.gz DVWSONWEIDNSJL-MCIONIFRSA-N -1 1 312.410 1.104 20 0 DDADMM COCCN1CCC[C@H](NC(=O)[C@@H]2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000399484190 600936187 /nfs/dbraw/zinc/93/61/87/600936187.db2.gz DVWSONWEIDNSJL-MCIONIFRSA-N -1 1 312.410 1.104 20 0 DDADMM CCc1nc([C@@H]2CN(Cc3cc(C(=O)[O-])c(C)o3)CCO2)n[nH]1 ZINC000737275325 601105478 /nfs/dbraw/zinc/10/54/78/601105478.db2.gz RSCQNUBMEMESFH-LBPRGKRZSA-N -1 1 320.349 1.540 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2ccccc2)CC1 ZINC000827356858 601107326 /nfs/dbraw/zinc/10/73/26/601107326.db2.gz UEUFPUXOAJWVHY-HSBSLETESA-N -1 1 302.374 1.707 20 0 DDADMM CCOC[C@H]1CCN(CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC000829990401 601220309 /nfs/dbraw/zinc/22/03/09/601220309.db2.gz ZHOQFINNZOVSBW-ZDUSSCGKSA-N -1 1 320.389 1.706 20 0 DDADMM O=C([O-])c1cccc(C(=O)N2CCC(c3nc[nH]n3)CC2)c1 ZINC000821547215 601294571 /nfs/dbraw/zinc/29/45/71/601294571.db2.gz KYYHOENARIEPEX-UHFFFAOYSA-N -1 1 300.318 1.523 20 0 DDADMM CC(C)(CN[C@H](C(=O)[O-])c1ccccc1F)S(C)(=O)=O ZINC000826606969 601368843 /nfs/dbraw/zinc/36/88/43/601368843.db2.gz CORQZBXHDQSDLD-NSHDSACASA-N -1 1 303.355 1.364 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCc1ccn(-c2ccccc2)n1 ZINC000831586450 601491558 /nfs/dbraw/zinc/49/15/58/601491558.db2.gz XCRXYGPBAAJHIZ-MRXNPFEDSA-N -1 1 303.362 1.842 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccnn2C2CCCC2)C[C@H]1C(=O)[O-] ZINC000828441293 601528074 /nfs/dbraw/zinc/52/80/74/601528074.db2.gz OTYUGGKZTYTNDL-DGCLKSJQSA-N -1 1 320.393 1.589 20 0 DDADMM C[C@@H]1CN([C@H]2CCN(c3ccccc3F)C2=O)C[C@@H]1C(=O)[O-] ZINC000828431098 601542098 /nfs/dbraw/zinc/54/20/98/601542098.db2.gz FYJAOTJFUITCIG-SUNKGSAMSA-N -1 1 306.337 1.584 20 0 DDADMM C[C@@H]1CN([C@H]2CCN(c3ccccc3F)C2=O)C[C@H]1C(=O)[O-] ZINC000828431094 601542242 /nfs/dbraw/zinc/54/22/42/601542242.db2.gz FYJAOTJFUITCIG-GYSYKLTISA-N -1 1 306.337 1.584 20 0 DDADMM COCc1nc(CNC2(CC(=O)[O-])CCOCC2)cs1 ZINC000831827475 601561137 /nfs/dbraw/zinc/56/11/37/601561137.db2.gz YWGDIYVSOUSSTE-UHFFFAOYSA-N -1 1 300.380 1.403 20 0 DDADMM NC(=O)c1ccc(CN[C@@H](C(=O)[O-])c2cccc(Cl)c2)cn1 ZINC000833085293 601561285 /nfs/dbraw/zinc/56/12/85/601561285.db2.gz KYVDHUUCUWAMNS-CYBMUJFWSA-N -1 1 319.748 1.749 20 0 DDADMM C[C@H](C(=O)[O-])[C@H](NC(=O)CCc1nc[nH]n1)c1ccccc1 ZINC000826031667 601608708 /nfs/dbraw/zinc/60/87/08/601608708.db2.gz RFDYNVLTMYMBLC-HZMBPMFUSA-N -1 1 302.334 1.316 20 0 DDADMM COc1ccccc1N1CC[C@H](N2CC[C@@H](C(=O)[O-])C2)C1=O ZINC000246181063 601619613 /nfs/dbraw/zinc/61/96/13/601619613.db2.gz CDWUQTZJERGFRV-YPMHNXCESA-N -1 1 304.346 1.207 20 0 DDADMM C[C@H](C(=O)[O-])[C@H](NCC(=O)Nc1nncs1)c1ccccc1 ZINC000826051485 601656433 /nfs/dbraw/zinc/65/64/33/601656433.db2.gz CIQNFPUQOVAPGU-CABZTGNLSA-N -1 1 320.374 1.528 20 0 DDADMM CN(C)[C@@H](CNC(=O)NCC(C)(C)C(=O)[O-])c1cccs1 ZINC000830579674 601682550 /nfs/dbraw/zinc/68/25/50/601682550.db2.gz AQLQPNCJVMXQAG-JTQLQIEISA-N -1 1 313.423 1.761 20 0 DDADMM O=[S@](Cc1ccnc(-c2nn[n-]n2)c1)c1ccc(O)cc1 ZINC000826496285 607528604 /nfs/dbraw/zinc/52/86/04/607528604.db2.gz QVCLUQINYQCYAO-OAQYLSRUSA-N -1 1 301.331 1.275 20 0 DDADMM CC(C)[C@H](Sc1c[nH]nn1)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000826723394 601896583 /nfs/dbraw/zinc/89/65/83/601896583.db2.gz SWAWFEJYOAJEQX-NSHDSACASA-N -1 1 312.395 1.245 20 0 DDADMM CN(CC1CC1)C(=O)CN1CCCC[C@H]1[C@H]1CCCN1C(=O)[O-] ZINC000739634449 601923597 /nfs/dbraw/zinc/92/35/97/601923597.db2.gz GZXIBVRBWVFJLB-LSDHHAIUSA-N -1 1 323.437 1.852 20 0 DDADMM O=C([O-])c1ccc(CN2CCN([C@@H]3CCC[C@H]3O)CC2)s1 ZINC000833313687 601950864 /nfs/dbraw/zinc/95/08/64/601950864.db2.gz GJZOGCNLQXEPER-CHWSQXEVSA-N -1 1 310.419 1.477 20 0 DDADMM Cc1cccc(NC(=O)[C@@H](C)N2CC[C@H](CNC(=O)[O-])C2)c1 ZINC000740170884 601960254 /nfs/dbraw/zinc/96/02/54/601960254.db2.gz PZFJMHKIVZPKRU-CHWSQXEVSA-N -1 1 305.378 1.912 20 0 DDADMM Cc1ccc([C@H](CNC(=O)NCCNC(=O)[O-])N2CCCC2)o1 ZINC000740117679 602021190 /nfs/dbraw/zinc/02/11/90/602021190.db2.gz LJRXSNVDZGSQPX-LBPRGKRZSA-N -1 1 324.381 1.292 20 0 DDADMM Cc1nnc(SCCC(=O)NCc2cccc(C(=O)[O-])c2)[nH]1 ZINC000821224719 602057691 /nfs/dbraw/zinc/05/76/91/602057691.db2.gz IXKYENIRDHNJMF-UHFFFAOYSA-N -1 1 320.374 1.610 20 0 DDADMM COc1cccc([C@H](CNC(=O)CN(C)C(=O)[O-])N(C)C)c1 ZINC000739946061 602160595 /nfs/dbraw/zinc/16/05/95/602160595.db2.gz QVMOQSLXFYREQE-ZDUSSCGKSA-N -1 1 309.366 1.024 20 0 DDADMM FC(F)(F)Cn1ccc(NCc2ccnc(-c3nnn[n-]3)c2)n1 ZINC000826367742 607551925 /nfs/dbraw/zinc/55/19/25/607551925.db2.gz MIWCVMVGARMEIQ-UHFFFAOYSA-N -1 1 324.270 1.633 20 0 DDADMM FC(F)(F)Cn1ccc(NCc2ccnc(-c3nn[n-]n3)c2)n1 ZINC000826367742 607551928 /nfs/dbraw/zinc/55/19/28/607551928.db2.gz MIWCVMVGARMEIQ-UHFFFAOYSA-N -1 1 324.270 1.633 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000736383040 602326102 /nfs/dbraw/zinc/32/61/02/602326102.db2.gz TWEDGYHFWWXAOQ-GFCCVEGCSA-N -1 1 303.322 1.498 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)NC[C@@H](c1cccs1)N(C)C ZINC000736371102 602339427 /nfs/dbraw/zinc/33/94/27/602339427.db2.gz ZCOIMZMNNKUDAE-JQWIXIFHSA-N -1 1 313.423 1.759 20 0 DDADMM Cn1cc(NC(=O)N2CCN3CCCC[C@H]3C2)cc1C(=O)[O-] ZINC000833049963 602375582 /nfs/dbraw/zinc/37/55/82/602375582.db2.gz YOZUMXCKXSBEQM-LBPRGKRZSA-N -1 1 306.366 1.425 20 0 DDADMM CC[C@H](C)[C@@H](NCC(=O)NCc1ccc(OC)cc1)C(=O)[O-] ZINC000828735971 602381377 /nfs/dbraw/zinc/38/13/77/602381377.db2.gz DPDLBJXUYOVEMK-XHDPSFHLSA-N -1 1 308.378 1.400 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CC2CCSCC2)CC1 ZINC000827361706 602391449 /nfs/dbraw/zinc/39/14/49/602391449.db2.gz DKQDPSSIBIPPEJ-LBPRGKRZSA-N -1 1 314.451 1.527 20 0 DDADMM CN(C[C@@H]1CCN(C[C@H](O)c2c(F)cccc2F)C1)C(=O)[O-] ZINC000739661642 602528963 /nfs/dbraw/zinc/52/89/63/602528963.db2.gz RYCKEULIPPYHKO-GWCFXTLKSA-N -1 1 314.332 1.930 20 0 DDADMM CN(C[C@H]1CCN(CC(=O)NC(C2CC2)C2CC2)C1)C(=O)[O-] ZINC000739659561 602531876 /nfs/dbraw/zinc/53/18/76/602531876.db2.gz DTRZEIZQTKZUJE-LLVKDONJSA-N -1 1 309.410 1.223 20 0 DDADMM CN(C(=O)[O-])C1CCN(CC(=O)N(C2CC2)C2CCCC2)CC1 ZINC000737939078 602532808 /nfs/dbraw/zinc/53/28/08/602532808.db2.gz KCSRGTDFTWBSAM-UHFFFAOYSA-N -1 1 323.437 1.994 20 0 DDADMM CCN(C)[C@H](C(=O)NCCN(CC)C(=O)[O-])c1ccccc1 ZINC000739422512 602572993 /nfs/dbraw/zinc/57/29/93/602572993.db2.gz DROMAPHYJSSOCN-AWEZNQCLSA-N -1 1 307.394 1.796 20 0 DDADMM C[C@H]1CN(C(=O)[O-])CCN1C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000739152297 602730619 /nfs/dbraw/zinc/73/06/19/602730619.db2.gz JXYWSFMYHVAEJP-NSHDSACASA-N -1 1 314.345 1.901 20 0 DDADMM CC(C)[C@@H](CN[C@@H](C(=O)NC1CC1)c1ccccc1)NC(=O)[O-] ZINC000738791748 602790611 /nfs/dbraw/zinc/79/06/11/602790611.db2.gz FALXEYKBHUPMEV-HUUCEWRRSA-N -1 1 319.405 1.888 20 0 DDADMM Cc1ccc(OC[C@H](O)CN2C[C@@H](C)N(C(=O)[O-])[C@@H](C)C2)cc1 ZINC000740147513 602831585 /nfs/dbraw/zinc/83/15/85/602831585.db2.gz NQNIVEBVQGJWAF-QLFBSQMISA-N -1 1 322.405 1.807 20 0 DDADMM CCN(CC1CCN(C(=O)[O-])CC1)[C@H](C)CS(=O)(=O)CC ZINC000739412540 602840962 /nfs/dbraw/zinc/84/09/62/602840962.db2.gz AVUBYBRKYAEXSK-GFCCVEGCSA-N -1 1 320.455 1.522 20 0 DDADMM CN(C)C(=O)[C@@H](NC[C@H]1CCCCN1C(=O)[O-])c1ccccc1 ZINC000739593090 602929112 /nfs/dbraw/zinc/92/91/12/602929112.db2.gz AOSONTBEHPMTQZ-CABCVRRESA-N -1 1 319.405 1.938 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)C[C@H]1CCCN1C(=O)[O-] ZINC000826087395 602957328 /nfs/dbraw/zinc/95/73/28/602957328.db2.gz MBPFSUPEOSWDSC-MGPQQGTHSA-N -1 1 309.410 1.604 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)CN(CC1CC1)C(=O)[O-] ZINC000826087525 602957618 /nfs/dbraw/zinc/95/76/18/602957618.db2.gz ONTXVZLHNAVZKV-JSGCOSHPSA-N -1 1 309.410 1.462 20 0 DDADMM CC(C)CN1CCO[C@H](COC(=O)[C@H]2CCCN2C(=O)[O-])C1 ZINC000738824234 602963886 /nfs/dbraw/zinc/96/38/86/602963886.db2.gz JFDHNFOMURIJQJ-QWHCGFSZSA-N -1 1 314.382 1.029 20 0 DDADMM Cc1cc(CN2CCN(C[C@H]3CCN(C(=O)[O-])C3)CC2)no1 ZINC000740072339 602976084 /nfs/dbraw/zinc/97/60/84/602976084.db2.gz FZZSLQPHAYYAFE-CYBMUJFWSA-N -1 1 308.382 1.101 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)N[C@@H]1CCCN(C(=O)[O-])C1 ZINC000736821339 602995135 /nfs/dbraw/zinc/99/51/35/602995135.db2.gz KWSONPDWJGITLM-MCIONIFRSA-N -1 1 324.425 1.397 20 0 DDADMM COc1ccccc1NC(=O)[C@H](C)N(C)CCCNC(=O)[O-] ZINC000739976830 603249993 /nfs/dbraw/zinc/24/99/93/603249993.db2.gz FDBKSKNMTBVRSN-NSHDSACASA-N -1 1 309.366 1.612 20 0 DDADMM O=C([O-])N(CC(=O)Nc1nc(-c2ccccn2)n[nH]1)CC1CC1 ZINC000831468575 603390986 /nfs/dbraw/zinc/39/09/86/603390986.db2.gz MRXDJIQQFSJCJD-UHFFFAOYSA-N -1 1 316.321 1.195 20 0 DDADMM CN(C[C@H](O)c1ccc(F)cc1F)[C@H]1CCN(C(=O)[O-])C1 ZINC000828168216 603492330 /nfs/dbraw/zinc/49/23/30/603492330.db2.gz FXQHAEUWGZELDA-GWCFXTLKSA-N -1 1 300.305 1.682 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N1CCC[C@@H](NC(=O)[O-])C1 ZINC000828046523 603530662 /nfs/dbraw/zinc/53/06/62/603530662.db2.gz NXGRVBHBOJNMER-LLVKDONJSA-N -1 1 311.407 1.682 20 0 DDADMM CN(C)c1ccc(CNCc2ccc(NC(=O)[O-])nc2)cn1 ZINC000828067000 603536159 /nfs/dbraw/zinc/53/61/59/603536159.db2.gz CDSJRZIFDGITMQ-UHFFFAOYSA-N -1 1 301.350 1.922 20 0 DDADMM C[C@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)[C@H]1CNC(=O)[O-] ZINC000825919051 603689352 /nfs/dbraw/zinc/68/93/52/603689352.db2.gz JJAHCCLBDYSOGP-ZANVPECISA-N -1 1 317.349 1.466 20 0 DDADMM C[C@@H](N1CCN(CC2CCN(C(=O)[O-])CC2)CC1)C(F)(F)F ZINC000825055442 603781642 /nfs/dbraw/zinc/78/16/42/603781642.db2.gz KXTRKMYREBLYPD-LLVKDONJSA-N -1 1 323.359 1.945 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc(CNC(=O)[O-])o2)CN1C1CC1 ZINC000825852563 603933767 /nfs/dbraw/zinc/93/37/67/603933767.db2.gz OQBTUYFXGNACHZ-VHSXEESVSA-N -1 1 307.350 1.402 20 0 DDADMM O=C([O-])N1CC(CC(=O)NCCc2nc3ccc(F)cc3[nH]2)C1 ZINC000831360527 604058390 /nfs/dbraw/zinc/05/83/90/604058390.db2.gz IWXUFNZPZHFGBS-UHFFFAOYSA-N -1 1 320.324 1.361 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000825243217 604214192 /nfs/dbraw/zinc/21/41/92/604214192.db2.gz DAMXYDMAXYURIJ-KOLCDFICSA-N -1 1 317.349 1.466 20 0 DDADMM Cc1cc(CC(=O)NC[C@H](NC(=O)[O-])c2ccccc2)[nH]n1 ZINC000830028610 604224614 /nfs/dbraw/zinc/22/46/14/604224614.db2.gz AQJWNIQWMZPPQX-ZDUSSCGKSA-N -1 1 302.334 1.386 20 0 DDADMM C[C@@H]1CCCN(CCNC(=O)[O-])[C@@H]1CNC(=O)OC(C)(C)C ZINC000825926578 604369453 /nfs/dbraw/zinc/36/94/53/604369453.db2.gz WWQTUIRAYHJYOI-VXGBXAGGSA-N -1 1 315.414 1.879 20 0 DDADMM CC(C)Cc1nnc(NC(=O)CNC(C)(C)C(=O)[O-])s1 ZINC000826912886 604460286 /nfs/dbraw/zinc/46/02/86/604460286.db2.gz JXORIMRXIAWUNP-UHFFFAOYSA-N -1 1 300.384 1.128 20 0 DDADMM CN(C)c1ncc(CN2Cc3ccccc3C[C@@H]2C(=O)[O-])cn1 ZINC000830651646 604466279 /nfs/dbraw/zinc/46/62/79/604466279.db2.gz YNUXVLPECICNJR-OAHLLOKOSA-N -1 1 312.373 1.554 20 0 DDADMM O=C([O-])NC1(C(=O)Nc2ccc3[nH]nnc3c2)CCCCC1 ZINC000832181216 604526660 /nfs/dbraw/zinc/52/66/60/604526660.db2.gz ZNAGGQGBHGELFI-UHFFFAOYSA-N -1 1 303.322 1.867 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1CCCN(Cc2c[nH]c(C(=O)[O-])c2)C1 ZINC000833420137 604629873 /nfs/dbraw/zinc/62/98/73/604629873.db2.gz BATZGVOKENWPFM-GFCCVEGCSA-N -1 1 307.394 1.840 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)CN(CC3CC3)C(=O)[O-])C2)n[nH]1 ZINC000830738054 604645053 /nfs/dbraw/zinc/64/50/53/604645053.db2.gz NXECNAJOCDFDJD-GFCCVEGCSA-N -1 1 321.381 1.209 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@@H]3CCCCN3C(=O)[O-])C2)n[nH]1 ZINC000830735043 604646045 /nfs/dbraw/zinc/64/60/45/604646045.db2.gz PEDYMOXCRLZACX-RYUDHWBXSA-N -1 1 321.381 1.352 20 0 DDADMM O=C([O-])[C@H](c1ccccc1F)[N@H+]1CCOC2(CCOCC2)C1 ZINC000833174270 604683327 /nfs/dbraw/zinc/68/33/27/604683327.db2.gz OCRUFJMMQYIRRD-AWEZNQCLSA-N -1 1 309.337 1.833 20 0 DDADMM O=C([O-])[C@H](c1ccccc1F)N1CCOC2(CCOCC2)C1 ZINC000833174270 604683331 /nfs/dbraw/zinc/68/33/31/604683331.db2.gz OCRUFJMMQYIRRD-AWEZNQCLSA-N -1 1 309.337 1.833 20 0 DDADMM C[C@H]1[C@H](C)N(CC(=O)Nc2ccsc2C(=O)[O-])CCN1C ZINC000833586297 604851381 /nfs/dbraw/zinc/85/13/81/604851381.db2.gz FAXMROHFAJOVOY-UWVGGRQHSA-N -1 1 311.407 1.409 20 0 DDADMM CC(C)(CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1ccccc1 ZINC000833451604 604898830 /nfs/dbraw/zinc/89/88/30/604898830.db2.gz RCCOJTBNNGPBGD-AWEZNQCLSA-N -1 1 315.373 1.890 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)Nc2cc(C(=O)[O-])n(C)c2)n[nH]1 ZINC000833512865 605020941 /nfs/dbraw/zinc/02/09/41/605020941.db2.gz VQALPVDBWZPHQO-MRVPVSSYSA-N -1 1 320.353 1.848 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCC1CCCC1 ZINC000833627350 605044164 /nfs/dbraw/zinc/04/41/64/605044164.db2.gz WTDRWRFGBCUQLF-CYBMUJFWSA-N -1 1 311.426 1.757 20 0 DDADMM COc1ccc(C)cc1CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000320541801 605074741 /nfs/dbraw/zinc/07/47/41/605074741.db2.gz BVKYHVJXOIFFFQ-ZDUSSCGKSA-N -1 1 317.345 1.081 20 0 DDADMM CCc1[nH]c(C(=O)N2CCN(C)CC2(C)C)c(C)c1C(=O)[O-] ZINC000833669116 605180203 /nfs/dbraw/zinc/18/02/03/605180203.db2.gz XVTKKAKJLWQROE-UHFFFAOYSA-N -1 1 307.394 1.750 20 0 DDADMM Cc1nc(COC(=O)C[C@H](C)[C@@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000830761246 605263108 /nfs/dbraw/zinc/26/31/08/605263108.db2.gz PUKIULNREBBLJW-GXSJLCMTSA-N -1 1 310.354 1.573 20 0 DDADMM CCc1cnccc1[C@H](C)NCC(=O)N[C@@H](CC(C)C)C(=O)[O-] ZINC000833672345 605269981 /nfs/dbraw/zinc/26/99/81/605269981.db2.gz AJSONFYPVRWAIV-WFASDCNBSA-N -1 1 321.421 1.910 20 0 DDADMM C[C@H]1CN(C(=O)/C=C\c2ccccc2)CCN1CCC(=O)[O-] ZINC000833620337 605276890 /nfs/dbraw/zinc/27/68/90/605276890.db2.gz WXFHORXIXYMSGU-DANTVBBOSA-N -1 1 302.374 1.707 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NCCCN(C(=O)[O-])C2CC2)n[nH]1 ZINC000830035681 605295463 /nfs/dbraw/zinc/29/54/63/605295463.db2.gz DNCJTNNFTQXIKP-JTQLQIEISA-N -1 1 323.397 1.481 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccnc(C3CC3)c2)CCN1CCC(=O)[O-] ZINC000833625438 605314038 /nfs/dbraw/zinc/31/40/38/605314038.db2.gz UZNFILMQPUYVQL-GFCCVEGCSA-N -1 1 317.389 1.580 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCC[C@@H](NC(=O)C(C)(C)C)C2)O1 ZINC000833584275 605334649 /nfs/dbraw/zinc/33/46/49/605334649.db2.gz NHAXKPSXGFOSTL-CHWSQXEVSA-N -1 1 324.421 1.761 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)CC(C)(C)NC(=O)[O-])[nH]n1 ZINC000831031662 605337902 /nfs/dbraw/zinc/33/79/02/605337902.db2.gz SJBONMFKZGZUPI-UHFFFAOYSA-N -1 1 306.326 1.185 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN(C)C[C@@H]1CCCC[C@H]1O)C(=O)[O-] ZINC000833640544 605393826 /nfs/dbraw/zinc/39/38/26/605393826.db2.gz ZPAFTHDVEAMPAW-XPCVCDNBSA-N -1 1 314.426 1.085 20 0 DDADMM O=C([O-])N1CC[C@@H](CCC(=O)N2CCN(C3CCC3)CC2)C1 ZINC000834076506 605471775 /nfs/dbraw/zinc/47/17/75/605471775.db2.gz RDHSNLCCLIWAMC-CYBMUJFWSA-N -1 1 309.410 1.463 20 0 DDADMM C[C@@H](NC(=O)NCCc1ccc(C(=O)[O-])cc1)c1nnc[nH]1 ZINC000318689188 605500743 /nfs/dbraw/zinc/50/07/43/605500743.db2.gz NBASBHKBPUYFDM-SECBINFHSA-N -1 1 303.322 1.106 20 0 DDADMM CCCNC(=O)CN[C@@H](C)c1ccc(CNC(=O)[O-])nc1C ZINC000833855628 605567190 /nfs/dbraw/zinc/56/71/90/605567190.db2.gz JTODYFZEPZGLFI-JTQLQIEISA-N -1 1 308.382 1.334 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CN(Cc2[nH]nc3ccccc32)CCO1 ZINC000833818805 605678501 /nfs/dbraw/zinc/67/85/01/605678501.db2.gz MISPAKYVZDFHPQ-HZMBPMFUSA-N -1 1 304.350 1.420 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])CN1Cc1ccc(C(=O)N(C)C)[nH]1 ZINC000833835384 605684886 /nfs/dbraw/zinc/68/48/86/605684886.db2.gz YNNQPSGRSXDVNK-WDEREUQCSA-N -1 1 308.382 1.195 20 0 DDADMM COC(=O)c1ccc2c(c1)CN(C[C@@H]1CCCN1C(=O)[O-])CC2 ZINC000833879937 605728153 /nfs/dbraw/zinc/72/81/53/605728153.db2.gz APTYWGAGFFDFNC-HNNXBMFYSA-N -1 1 318.373 1.974 20 0 DDADMM O=C([O-])Nc1cccc(CN2CCN([C@H]3CCOC3)CC2)c1 ZINC000834269141 605887999 /nfs/dbraw/zinc/88/79/99/605887999.db2.gz QIPVPJSNCZAWHP-HNNXBMFYSA-N -1 1 305.378 1.683 20 0 DDADMM O=C([O-])N1CCC2(C[C@H]2C(=O)N2CCN(CC3CC3)CC2)CC1 ZINC000834090341 605893228 /nfs/dbraw/zinc/89/32/28/605893228.db2.gz YTFXACMRFYVIHU-AWEZNQCLSA-N -1 1 321.421 1.321 20 0 DDADMM Cc1ccc(OC[C@H](O)CN2CC[C@H](NC(=O)[O-])C2)c(C)c1 ZINC000740149371 605929226 /nfs/dbraw/zinc/92/92/26/605929226.db2.gz YMRJDKCTZFOJBY-UONOGXRCSA-N -1 1 308.378 1.385 20 0 DDADMM Cc1cnc(CNc2c3ccccc3nnc2-c2nnn[n-]2)o1 ZINC000822395164 606062709 /nfs/dbraw/zinc/06/27/09/606062709.db2.gz UJGMOPMQJISMQE-UHFFFAOYSA-N -1 1 308.305 1.718 20 0 DDADMM Cc1cnc(CNc2c3ccccc3nnc2-c2nn[n-]n2)o1 ZINC000822395164 606062714 /nfs/dbraw/zinc/06/27/14/606062714.db2.gz UJGMOPMQJISMQE-UHFFFAOYSA-N -1 1 308.305 1.718 20 0 DDADMM CC(C)CNC(=O)[C@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820093340 606136181 /nfs/dbraw/zinc/13/61/81/606136181.db2.gz WEKXHMKKJDGEMW-QMMMGPOBSA-N -1 1 323.378 1.246 20 0 DDADMM CC(C)CNC(=O)[C@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820093340 606136182 /nfs/dbraw/zinc/13/61/82/606136182.db2.gz WEKXHMKKJDGEMW-QMMMGPOBSA-N -1 1 323.378 1.246 20 0 DDADMM COC(=O)[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)C(C)C ZINC000821648270 606137890 /nfs/dbraw/zinc/13/78/90/606137890.db2.gz FWMXEPUCJKWOAG-VIFPVBQESA-N -1 1 310.335 1.283 20 0 DDADMM COC(=O)[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)C(C)C ZINC000821648270 606137891 /nfs/dbraw/zinc/13/78/91/606137891.db2.gz FWMXEPUCJKWOAG-VIFPVBQESA-N -1 1 310.335 1.283 20 0 DDADMM O=C(OCCOC[C@H]1CCCO1)c1ccc(-c2nnn[n-]2)s1 ZINC000823405394 606138589 /nfs/dbraw/zinc/13/85/89/606138589.db2.gz PKAHCIQKXXVJRV-SECBINFHSA-N -1 1 324.362 1.281 20 0 DDADMM O=C(OCCOC[C@H]1CCCO1)c1ccc(-c2nn[n-]n2)s1 ZINC000823405394 606138591 /nfs/dbraw/zinc/13/85/91/606138591.db2.gz PKAHCIQKXXVJRV-SECBINFHSA-N -1 1 324.362 1.281 20 0 DDADMM C[C@H](CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1)C(C)(C)C ZINC000820270044 606155174 /nfs/dbraw/zinc/15/51/74/606155174.db2.gz SZVNWFFMCQHMHM-MRVPVSSYSA-N -1 1 306.374 1.404 20 0 DDADMM C[C@H](CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1)C(C)(C)C ZINC000820270044 606155176 /nfs/dbraw/zinc/15/51/76/606155176.db2.gz SZVNWFFMCQHMHM-MRVPVSSYSA-N -1 1 306.374 1.404 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC[C@@H]2Cc3ccccc32)n1 ZINC000822614295 606160105 /nfs/dbraw/zinc/16/01/05/606160105.db2.gz LOBFLTWMNQTWMC-JTQLQIEISA-N -1 1 324.348 1.062 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC[C@@H]2Cc3ccccc32)n1 ZINC000822614295 606160107 /nfs/dbraw/zinc/16/01/07/606160107.db2.gz LOBFLTWMNQTWMC-JTQLQIEISA-N -1 1 324.348 1.062 20 0 DDADMM c1cnn(-c2ncccc2CNc2cccc(-c3nnn[n-]3)n2)c1 ZINC000823757491 606453162 /nfs/dbraw/zinc/45/31/62/606453162.db2.gz RANFRGMUZDVKGY-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1cnn(-c2ncccc2CNc2cccc(-c3nn[n-]n3)n2)c1 ZINC000823757491 606453163 /nfs/dbraw/zinc/45/31/63/606453163.db2.gz RANFRGMUZDVKGY-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM OC1C[C@H]2CC[C@@H](C1)N2c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000823699356 606460149 /nfs/dbraw/zinc/46/01/49/606460149.db2.gz UXRGZBJNMLCMGS-JVHMLUBASA-N -1 1 306.757 1.407 20 0 DDADMM OC1C[C@H]2CC[C@@H](C1)N2c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000823699356 606460150 /nfs/dbraw/zinc/46/01/50/606460150.db2.gz UXRGZBJNMLCMGS-JVHMLUBASA-N -1 1 306.757 1.407 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nnn[n-]1)c1ccc2ccccc2n1 ZINC000823289466 606531368 /nfs/dbraw/zinc/53/13/68/606531368.db2.gz JGPQCGGDPPEJSA-UHFFFAOYSA-N -1 1 306.289 1.390 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nn[n-]n1)c1ccc2ccccc2n1 ZINC000823289466 606531369 /nfs/dbraw/zinc/53/13/69/606531369.db2.gz JGPQCGGDPPEJSA-UHFFFAOYSA-N -1 1 306.289 1.390 20 0 DDADMM Cn1nc2c(c1NC(=O)c1ccc(-c3nnn[n-]3)s1)CCC2 ZINC000822704952 606533126 /nfs/dbraw/zinc/53/31/26/606533126.db2.gz SNDBMDQRFZQDTR-UHFFFAOYSA-N -1 1 315.362 1.403 20 0 DDADMM Cn1nc2c(c1NC(=O)c1ccc(-c3nn[n-]n3)s1)CCC2 ZINC000822704952 606533128 /nfs/dbraw/zinc/53/31/28/606533128.db2.gz SNDBMDQRFZQDTR-UHFFFAOYSA-N -1 1 315.362 1.403 20 0 DDADMM CS[C@@H]1CC[C@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821951337 606575783 /nfs/dbraw/zinc/57/57/83/606575783.db2.gz WZPSEYSVJWFHMT-JGVFFNPUSA-N -1 1 309.420 1.942 20 0 DDADMM CS[C@@H]1CC[C@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821951337 606575784 /nfs/dbraw/zinc/57/57/84/606575784.db2.gz WZPSEYSVJWFHMT-JGVFFNPUSA-N -1 1 309.420 1.942 20 0 DDADMM C[C@@H]([N-]C(=O)C(F)(F)C(F)F)c1cccc(-c2nn[nH]n2)c1 ZINC000820314786 606578591 /nfs/dbraw/zinc/57/85/91/606578591.db2.gz KAWJGMJVUVTGNL-ZCFIWIBFSA-N -1 1 317.246 1.944 20 0 DDADMM CC(C)c1cc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)on1 ZINC000820187668 606647095 /nfs/dbraw/zinc/64/70/95/606647095.db2.gz LZQXKFQEAANWIY-UHFFFAOYSA-N -1 1 314.305 1.730 20 0 DDADMM CC(C)c1cc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)on1 ZINC000820187668 606647094 /nfs/dbraw/zinc/64/70/94/606647094.db2.gz LZQXKFQEAANWIY-UHFFFAOYSA-N -1 1 314.305 1.730 20 0 DDADMM c1ccc(N[C@H]2CCCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000823787720 606725613 /nfs/dbraw/zinc/72/56/13/606725613.db2.gz PRALPFBBBPMTRT-ZDUSSCGKSA-N -1 1 322.376 1.738 20 0 DDADMM c1ccc(N[C@H]2CCCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000823787720 606725615 /nfs/dbraw/zinc/72/56/15/606725615.db2.gz PRALPFBBBPMTRT-ZDUSSCGKSA-N -1 1 322.376 1.738 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]2OCC[C@@H]21)c1ccc(-c2nnn[n-]2)s1 ZINC000823151312 606752361 /nfs/dbraw/zinc/75/23/61/606752361.db2.gz ZLUAARRAFNAXSK-OPRDCNLKSA-N -1 1 319.390 1.616 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]2OCC[C@@H]21)c1ccc(-c2nn[n-]n2)s1 ZINC000823151312 606752362 /nfs/dbraw/zinc/75/23/62/606752362.db2.gz ZLUAARRAFNAXSK-OPRDCNLKSA-N -1 1 319.390 1.616 20 0 DDADMM COC(=O)[C@@H](Nc1ccc(Cl)c(-c2nnn[n-]2)n1)C(C)(C)C ZINC000821644592 606835124 /nfs/dbraw/zinc/83/51/24/606835124.db2.gz DIIMVEWSNNKNMA-SNVBAGLBSA-N -1 1 324.772 1.915 20 0 DDADMM COC(=O)[C@@H](Nc1ccc(Cl)c(-c2nn[n-]n2)n1)C(C)(C)C ZINC000821644592 606835125 /nfs/dbraw/zinc/83/51/25/606835125.db2.gz DIIMVEWSNNKNMA-SNVBAGLBSA-N -1 1 324.772 1.915 20 0 DDADMM O=S(=O)(c1ccc(F)cc1-c1nn[n-]n1)N1CCCCC1 ZINC000823607017 606841336 /nfs/dbraw/zinc/84/13/36/606841336.db2.gz PDOVDDYJGZUJOF-UHFFFAOYSA-N -1 1 311.342 1.180 20 0 DDADMM Cc1cccc([C@H](O)CNC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000822288618 607162281 /nfs/dbraw/zinc/16/22/81/607162281.db2.gz DDJUIXLTHUUGJA-CQSZACIVSA-N -1 1 324.344 1.034 20 0 DDADMM Cc1cccc([C@H](O)CNC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000822288618 607162282 /nfs/dbraw/zinc/16/22/82/607162282.db2.gz DDJUIXLTHUUGJA-CQSZACIVSA-N -1 1 324.344 1.034 20 0 DDADMM O[C@H]1CC[C@H](Nc2snc(Cl)c2-c2nnn[n-]2)CC1 ZINC000826510943 607810719 /nfs/dbraw/zinc/81/07/19/607810719.db2.gz TWJWTCDEZFZHSC-IZLXSQMJSA-N -1 1 300.775 1.692 20 0 DDADMM O[C@H]1CC[C@H](Nc2snc(Cl)c2-c2nn[n-]n2)CC1 ZINC000826510943 607810720 /nfs/dbraw/zinc/81/07/20/607810720.db2.gz TWJWTCDEZFZHSC-IZLXSQMJSA-N -1 1 300.775 1.692 20 0 DDADMM c1ccc(C2CCN(c3nccnc3-c3nnn[n-]3)CC2)nc1 ZINC000826520194 607820588 /nfs/dbraw/zinc/82/05/88/607820588.db2.gz CGXLYRIWEXFXHG-UHFFFAOYSA-N -1 1 308.349 1.436 20 0 DDADMM c1ccc(C2CCN(c3nccnc3-c3nn[n-]n3)CC2)nc1 ZINC000826520194 607820589 /nfs/dbraw/zinc/82/05/89/607820589.db2.gz CGXLYRIWEXFXHG-UHFFFAOYSA-N -1 1 308.349 1.436 20 0 DDADMM CCc1nn(C)c(CC)c1CNc1nccnc1-c1nnn[n-]1 ZINC000825759412 607850151 /nfs/dbraw/zinc/85/01/51/607850151.db2.gz FWVITKXWZHAINO-UHFFFAOYSA-N -1 1 313.369 1.127 20 0 DDADMM CCc1nn(C)c(CC)c1CNc1nccnc1-c1nn[n-]n1 ZINC000825759412 607850152 /nfs/dbraw/zinc/85/01/52/607850152.db2.gz FWVITKXWZHAINO-UHFFFAOYSA-N -1 1 313.369 1.127 20 0 DDADMM Cc1noc(CCCOc2cccc(F)c2-c2nnn[n-]2)n1 ZINC000826339726 607866139 /nfs/dbraw/zinc/86/61/39/607866139.db2.gz QMWAJUMJJFPBAA-UHFFFAOYSA-N -1 1 304.285 1.709 20 0 DDADMM Cc1noc(CCCOc2cccc(F)c2-c2nn[n-]n2)n1 ZINC000826339726 607866140 /nfs/dbraw/zinc/86/61/40/607866140.db2.gz QMWAJUMJJFPBAA-UHFFFAOYSA-N -1 1 304.285 1.709 20 0 DDADMM FC(F)(F)Cn1ccnc1CNc1cccc(-c2nnn[n-]2)n1 ZINC000826367859 607898266 /nfs/dbraw/zinc/89/82/66/607898266.db2.gz RDLZYIMWYRDOGH-UHFFFAOYSA-N -1 1 324.270 1.633 20 0 DDADMM FC(F)(F)Cn1ccnc1CNc1cccc(-c2nn[n-]n2)n1 ZINC000826367859 607898267 /nfs/dbraw/zinc/89/82/67/607898267.db2.gz RDLZYIMWYRDOGH-UHFFFAOYSA-N -1 1 324.270 1.633 20 0 DDADMM C[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@@H](c2ccccc2)O1 ZINC000824992897 607989856 /nfs/dbraw/zinc/98/98/56/607989856.db2.gz OEURJTSIKOPNRF-RISCZKNCSA-N -1 1 323.360 1.623 20 0 DDADMM C[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@@H](c2ccccc2)O1 ZINC000824992897 607989857 /nfs/dbraw/zinc/98/98/57/607989857.db2.gz OEURJTSIKOPNRF-RISCZKNCSA-N -1 1 323.360 1.623 20 0 DDADMM Cc1cncc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)c1 ZINC000826319497 608038057 /nfs/dbraw/zinc/03/80/57/608038057.db2.gz GEVBSANBZATRDF-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM Cc1cncc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)c1 ZINC000826319497 608038058 /nfs/dbraw/zinc/03/80/58/608038058.db2.gz GEVBSANBZATRDF-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825485190 608139708 /nfs/dbraw/zinc/13/97/08/608139708.db2.gz DXHRBFZJDCWYNH-JGVFFNPUSA-N -1 1 323.378 1.246 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825485190 608139709 /nfs/dbraw/zinc/13/97/09/608139709.db2.gz DXHRBFZJDCWYNH-JGVFFNPUSA-N -1 1 323.378 1.246 20 0 DDADMM O=C(N[C@H](CO)C1CCCCC1)c1ccc(-c2nnn[n-]2)s1 ZINC000826426603 608186120 /nfs/dbraw/zinc/18/61/20/608186120.db2.gz RGPGMIJRZGSTLQ-SNVBAGLBSA-N -1 1 321.406 1.599 20 0 DDADMM O=C(N[C@H](CO)C1CCCCC1)c1ccc(-c2nn[n-]n2)s1 ZINC000826426603 608186121 /nfs/dbraw/zinc/18/61/21/608186121.db2.gz RGPGMIJRZGSTLQ-SNVBAGLBSA-N -1 1 321.406 1.599 20 0 DDADMM CCC(CC)(CO)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000825096047 608187424 /nfs/dbraw/zinc/18/74/24/608187424.db2.gz LVPVKVLOYYLHJF-UHFFFAOYSA-N -1 1 302.791 1.940 20 0 DDADMM CCC(CC)(CO)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000825096047 608187425 /nfs/dbraw/zinc/18/74/25/608187425.db2.gz LVPVKVLOYYLHJF-UHFFFAOYSA-N -1 1 302.791 1.940 20 0 DDADMM C[C@]1(O)CCCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000824843602 608304666 /nfs/dbraw/zinc/30/46/66/608304666.db2.gz HQIQDQXCTOFEDK-INIZCTEOSA-N -1 1 310.361 1.766 20 0 DDADMM C[C@]1(O)CCCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000824843602 608304668 /nfs/dbraw/zinc/30/46/68/608304668.db2.gz HQIQDQXCTOFEDK-INIZCTEOSA-N -1 1 310.361 1.766 20 0 DDADMM CCN(CC)c1ccc(Nc2ccc(-c3nnn[n-]3)nn2)cn1 ZINC000825417306 608400155 /nfs/dbraw/zinc/40/01/55/608400155.db2.gz PPCPTOJLXWTKMJ-UHFFFAOYSA-N -1 1 311.353 1.642 20 0 DDADMM CCN(CC)c1ccc(Nc2ccc(-c3nn[n-]n3)nn2)cn1 ZINC000825417306 608400156 /nfs/dbraw/zinc/40/01/56/608400156.db2.gz PPCPTOJLXWTKMJ-UHFFFAOYSA-N -1 1 311.353 1.642 20 0 DDADMM c1csc(C2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)n1 ZINC000826526324 608409068 /nfs/dbraw/zinc/40/90/68/608409068.db2.gz ULBSYXKJROHNFO-UHFFFAOYSA-N -1 1 314.378 1.497 20 0 DDADMM c1csc(C2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)n1 ZINC000826526324 608409070 /nfs/dbraw/zinc/40/90/70/608409070.db2.gz ULBSYXKJROHNFO-UHFFFAOYSA-N -1 1 314.378 1.497 20 0 DDADMM Cc1noc(C)c1C[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826339134 608421894 /nfs/dbraw/zinc/42/18/94/608421894.db2.gz SKUBJNSJRDQNQI-SSDOTTSWSA-N -1 1 300.326 1.305 20 0 DDADMM Cc1noc(C)c1C[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826339134 608421896 /nfs/dbraw/zinc/42/18/96/608421896.db2.gz SKUBJNSJRDQNQI-SSDOTTSWSA-N -1 1 300.326 1.305 20 0 DDADMM CCc1ccc([C@H](COC)Nc2ccc(-c3nnn[n-]3)nn2)o1 ZINC000825704956 608423131 /nfs/dbraw/zinc/42/31/31/608423131.db2.gz RMMJGVZILWSPBI-NSHDSACASA-N -1 1 315.337 1.612 20 0 DDADMM CCc1ccc([C@H](COC)Nc2ccc(-c3nn[n-]n3)nn2)o1 ZINC000825704956 608423132 /nfs/dbraw/zinc/42/31/32/608423132.db2.gz RMMJGVZILWSPBI-NSHDSACASA-N -1 1 315.337 1.612 20 0 DDADMM C[C@@H](Cc1ccc(O)cc1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC000824457401 608431021 /nfs/dbraw/zinc/43/10/21/608431021.db2.gz LGBOESQBYSZCOP-JTQLQIEISA-N -1 1 311.349 1.430 20 0 DDADMM C[C@@H](Cc1ccc(O)cc1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC000824457401 608431023 /nfs/dbraw/zinc/43/10/23/608431023.db2.gz LGBOESQBYSZCOP-JTQLQIEISA-N -1 1 311.349 1.430 20 0 DDADMM CC(C)(C)Oc1cccc(Cn2cnc(-c3nn[n-]n3)n2)n1 ZINC000824123635 608543202 /nfs/dbraw/zinc/54/32/02/608543202.db2.gz JAUDFWNRJMXZHO-UHFFFAOYSA-N -1 1 300.326 1.079 20 0 DDADMM Brc1cncc(Cn2ccnc2-c2nn[n-]n2)c1 ZINC000823831832 608891794 /nfs/dbraw/zinc/89/17/94/608891794.db2.gz FDFUTMXJHZXBIX-UHFFFAOYSA-N -1 1 306.127 1.269 20 0 DDADMM Brc1cncc(Cn2ccnc2-c2nnn[n-]2)c1 ZINC000823831832 608891793 /nfs/dbraw/zinc/89/17/93/608891793.db2.gz FDFUTMXJHZXBIX-UHFFFAOYSA-N -1 1 306.127 1.269 20 0 DDADMM Cc1ccccc1Cc1noc(Cn2ccnc2-c2nnn[n-]2)n1 ZINC000826307382 608893039 /nfs/dbraw/zinc/89/30/39/608893039.db2.gz GEYPTAVIIRFPKM-UHFFFAOYSA-N -1 1 322.332 1.394 20 0 DDADMM Cc1ccccc1Cc1noc(Cn2ccnc2-c2nn[n-]n2)n1 ZINC000826307382 608893041 /nfs/dbraw/zinc/89/30/41/608893041.db2.gz GEYPTAVIIRFPKM-UHFFFAOYSA-N -1 1 322.332 1.394 20 0 DDADMM Cc1cc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])cc(=O)[nH]1 ZINC000826260485 609261810 /nfs/dbraw/zinc/26/18/10/609261810.db2.gz QIHGMMDDEJGLSM-UHFFFAOYSA-N -1 1 312.289 1.234 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC[C@@H](OC(F)F)C1 ZINC000826472853 609293433 /nfs/dbraw/zinc/29/34/33/609293433.db2.gz YWERVKNZJSKEHF-SECBINFHSA-N -1 1 324.291 1.106 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@@H](OC(F)F)C1 ZINC000826472853 609293434 /nfs/dbraw/zinc/29/34/34/609293434.db2.gz YWERVKNZJSKEHF-SECBINFHSA-N -1 1 324.291 1.106 20 0 DDADMM CC(C)Cn1ncnc1COc1cccc(F)c1-c1nnn[n-]1 ZINC000824285101 609420746 /nfs/dbraw/zinc/42/07/46/609420746.db2.gz XIPZYWIWZIAIGU-UHFFFAOYSA-N -1 1 317.328 1.832 20 0 DDADMM CC(C)Cn1ncnc1COc1cccc(F)c1-c1nn[n-]n1 ZINC000824285101 609420750 /nfs/dbraw/zinc/42/07/50/609420750.db2.gz XIPZYWIWZIAIGU-UHFFFAOYSA-N -1 1 317.328 1.832 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCO1 ZINC000825184445 609623923 /nfs/dbraw/zinc/62/39/23/609623923.db2.gz UURNVECTDRGETN-VXGBXAGGSA-N -1 1 317.349 1.510 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCO1 ZINC000825184445 609623924 /nfs/dbraw/zinc/62/39/24/609623924.db2.gz UURNVECTDRGETN-VXGBXAGGSA-N -1 1 317.349 1.510 20 0 DDADMM CCNC(=O)NC(=O)[C@@H](C)OC(=O)c1c([O-])cc(F)cc1F ZINC000745522707 699984128 /nfs/dbraw/zinc/98/41/28/699984128.db2.gz KANYVCJSKPMEFV-ZCFIWIBFSA-N -1 1 316.260 1.061 20 0 DDADMM CC(=O)N1CCC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC000973681080 695510026 /nfs/dbraw/zinc/51/00/26/695510026.db2.gz BTRRLOGXLDMOGU-CHWSQXEVSA-N -1 1 303.362 1.260 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)cc1 ZINC000798148880 700044620 /nfs/dbraw/zinc/04/46/20/700044620.db2.gz VUPKALXPTBATAE-UHFFFAOYSA-N -1 1 315.377 1.447 20 0 DDADMM COc1ccc([C@H](O)CNC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000798159408 700045078 /nfs/dbraw/zinc/04/50/78/700045078.db2.gz QGSJBMCJQZOPJT-OAHLLOKOSA-N -1 1 315.325 1.433 20 0 DDADMM C=CC(=O)NCCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000798292116 700055175 /nfs/dbraw/zinc/05/51/75/700055175.db2.gz WEEPFYAYAGSBIA-UHFFFAOYSA-N -1 1 302.252 1.443 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCc2ccccc2OC)n1 ZINC000031049585 696117020 /nfs/dbraw/zinc/11/70/20/696117020.db2.gz HEENXGSEVGYTRJ-UHFFFAOYSA-N -1 1 303.322 1.320 20 0 DDADMM O=C(NC[C@@H]1CC1(Cl)Cl)c1cc([N+](=O)[O-])c[n-]c1=O ZINC000031939349 696122825 /nfs/dbraw/zinc/12/28/25/696122825.db2.gz WSAZCCOIAKDUKN-YFKPBYRVSA-N -1 1 306.105 1.207 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC2(C1)OCCO2 ZINC000747381375 700067070 /nfs/dbraw/zinc/06/70/70/700067070.db2.gz OUCURUHJTCAADH-UHFFFAOYSA-N -1 1 321.377 1.377 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(SC(F)(F)F)cc1 ZINC000044927623 696169743 /nfs/dbraw/zinc/16/97/43/696169743.db2.gz WRRDZFJLKHDZHV-UHFFFAOYSA-N -1 1 303.269 1.742 20 0 DDADMM COc1cc[n-]c(=NNC(=O)c2cc(-c3ccccc3)on2)n1 ZINC000046364485 696184932 /nfs/dbraw/zinc/18/49/32/696184932.db2.gz HDGNAHXOBVBUEJ-UHFFFAOYSA-N -1 1 311.301 1.897 20 0 DDADMM CCC(CC)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977618861 696237256 /nfs/dbraw/zinc/23/72/56/696237256.db2.gz PJDLNCKJYRKXTH-CYBMUJFWSA-N -1 1 319.405 1.896 20 0 DDADMM COc1cc[n-]c(=NNC(=O)C2=NO[C@@H](c3ccccc3)C2)n1 ZINC000053463533 696266660 /nfs/dbraw/zinc/26/66/60/696266660.db2.gz GAFNBXMISSFRQG-GFCCVEGCSA-N -1 1 313.317 1.446 20 0 DDADMM CO[C@@H](C)C(=O)Nc1nc(Cc2n[n-]c(=S)n2C)cs1 ZINC000057307517 696296015 /nfs/dbraw/zinc/29/60/15/696296015.db2.gz WWTOMIGGUUTCHW-LURJTMIESA-N -1 1 313.408 1.498 20 0 DDADMM Cn1c(CNC(=O)CCc2nc(C(C)(C)C)no2)n[n-]c1=S ZINC000066625921 696353894 /nfs/dbraw/zinc/35/38/94/696353894.db2.gz BYJSWGVKMQVQCE-UHFFFAOYSA-N -1 1 324.410 1.407 20 0 DDADMM Cn1c(CNC(=O)c2c[nH]nc2-c2cccnc2)n[n-]c1=S ZINC000066625842 696354083 /nfs/dbraw/zinc/35/40/83/696354083.db2.gz UVUXQKZJIGMGMQ-UHFFFAOYSA-N -1 1 315.362 1.193 20 0 DDADMM Cn1c(CCNC(=O)c2cccc3ncccc32)n[n-]c1=S ZINC000067051599 696358565 /nfs/dbraw/zinc/35/85/65/696358565.db2.gz CVLGZJGHJHMIRI-UHFFFAOYSA-N -1 1 313.386 1.998 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(Cl)cc1 ZINC000079293560 696459214 /nfs/dbraw/zinc/45/92/14/696459214.db2.gz VPLHVQPGIDRIAE-XCBNKYQSSA-N -1 1 311.794 1.864 20 0 DDADMM CC[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(C)cc1 ZINC000079304364 696459593 /nfs/dbraw/zinc/45/95/93/696459593.db2.gz DDWVEJCUCIVMGA-NEPJUHHUSA-N -1 1 305.403 1.909 20 0 DDADMM CN(Cc1ccc(F)cc1F)C(=O)Cc1sc(N)nc1[O-] ZINC000079430832 696460444 /nfs/dbraw/zinc/46/04/44/696460444.db2.gz KRZBUBSFLYBPGK-JTQLQIEISA-N -1 1 313.329 1.270 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cccc(N3CCCC3)c2)s1 ZINC000079634601 696462114 /nfs/dbraw/zinc/46/21/14/696462114.db2.gz ZNSCAIHSKARUQR-LBPRGKRZSA-N -1 1 318.402 1.572 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])C(C)(C)c1ccccc1 ZINC000079989699 696465758 /nfs/dbraw/zinc/46/57/58/696465758.db2.gz ZTLDJDNTURFFNK-PWSUYJOCSA-N -1 1 319.430 1.816 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC2CC(c3ccccc3)C2)s1 ZINC000079997851 696466137 /nfs/dbraw/zinc/46/61/37/696466137.db2.gz NOBRACOOYCFNSN-MCIGGMRASA-N -1 1 303.387 1.396 20 0 DDADMM O=C([N-]NC(=O)c1cc[n+]([O-])cc1)c1ccc(Cl)cc1F ZINC000081029398 696539878 /nfs/dbraw/zinc/53/98/78/696539878.db2.gz FSZLGKZJJBUBBS-UHFFFAOYSA-N -1 1 309.684 1.187 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCOC[C@H]1CCCO1 ZINC000081751515 696547534 /nfs/dbraw/zinc/54/75/34/696547534.db2.gz OXZAJMGLAFURFJ-GFCCVEGCSA-N -1 1 323.393 1.127 20 0 DDADMM Cc1cc(F)ccc1CCNC(=O)Cc1sc(N)nc1[O-] ZINC000087562513 696569285 /nfs/dbraw/zinc/56/92/85/696569285.db2.gz KGQNTZZEHKILJV-LLVKDONJSA-N -1 1 309.366 1.140 20 0 DDADMM COC(=O)C[C@@H]1CCCCN1CC(=O)[N-]OCc1ccccc1 ZINC000093170527 696599467 /nfs/dbraw/zinc/59/94/67/696599467.db2.gz FSSHHARDNWOTLU-HNNXBMFYSA-N -1 1 320.389 1.652 20 0 DDADMM Cc1ccc(C)c(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)c1 ZINC000798812596 700098740 /nfs/dbraw/zinc/09/87/40/700098740.db2.gz UWJBVOQIMKNNLS-AWEZNQCLSA-N -1 1 312.435 1.695 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)[C@@H](C)c1ccccc1)N(C)C ZINC000798831163 700100113 /nfs/dbraw/zinc/10/01/13/700100113.db2.gz BLPDXNHEKZMMRT-JSGCOSHPSA-N -1 1 312.435 1.780 20 0 DDADMM C/C(=C\C(=O)OCCc1c(C)nc2[n-]cnn2c1=O)C1CC1 ZINC000123543048 696732516 /nfs/dbraw/zinc/73/25/16/696732516.db2.gz FPUZPKGAGKCRJF-VQHVLOKHSA-N -1 1 302.334 1.168 20 0 DDADMM O=C(Cc1ccoc1)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799024202 700110484 /nfs/dbraw/zinc/11/04/84/700110484.db2.gz OGFHAEVPYQRFBQ-UHFFFAOYSA-N -1 1 318.295 1.739 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc2ccccc2o1)[C@H]1CN(C)CCO1 ZINC000125897510 696757068 /nfs/dbraw/zinc/75/70/68/696757068.db2.gz WZUVGJCOCLTXEW-SMDDNHRTSA-N -1 1 324.402 1.430 20 0 DDADMM Cc1cc(C)n(CC(=O)NNC(=O)c2c([O-])cccc2Cl)n1 ZINC000143696320 696869959 /nfs/dbraw/zinc/86/99/59/696869959.db2.gz JCIFVUABHXKANJ-UHFFFAOYSA-N -1 1 322.752 1.320 20 0 DDADMM Cc1cc(C)[nH]c(=N[N-]S(=O)(=O)c2ccc(C#N)cc2F)n1 ZINC000157981558 696984115 /nfs/dbraw/zinc/98/41/15/696984115.db2.gz YFMQQXYMIPOTJO-UHFFFAOYSA-N -1 1 321.337 1.410 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1cc[nH]c1 ZINC000982676767 697168075 /nfs/dbraw/zinc/16/80/75/697168075.db2.gz XFIGJHCINLISGW-LLVKDONJSA-N -1 1 314.345 1.007 20 0 DDADMM CC(C)(C)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983276368 697236941 /nfs/dbraw/zinc/23/69/41/697236941.db2.gz UVYUAKMPZWDHHN-NSHDSACASA-N -1 1 305.378 1.412 20 0 DDADMM CCC(=O)N1CC[C@@H](C)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000983386088 697251380 /nfs/dbraw/zinc/25/13/80/697251380.db2.gz TULCQMGJMCPIPR-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCc3c(F)cccc3C2)s1 ZINC000162846037 697330850 /nfs/dbraw/zinc/33/08/50/697330850.db2.gz YLZMMKPHKBDHGU-LLVKDONJSA-N -1 1 307.350 1.057 20 0 DDADMM CN(Cc1nccs1)C(=O)c1nnc2ccccc2c1O ZINC000173849538 697381634 /nfs/dbraw/zinc/38/16/34/697381634.db2.gz HEKYICGSXVDORK-UHFFFAOYSA-N -1 1 300.343 1.652 20 0 DDADMM C[C@@H](OC(=O)c1c([O-])cc(F)cc1F)C(=O)Nc1ncccn1 ZINC000749283212 700154918 /nfs/dbraw/zinc/15/49/18/700154918.db2.gz SHBACUYHPAQMEK-SSDOTTSWSA-N -1 1 323.255 1.644 20 0 DDADMM N#Cc1ccc(C(=O)NC2CCC3(CC2)OCCO3)cc1[O-] ZINC000188324223 697557079 /nfs/dbraw/zinc/55/70/79/697557079.db2.gz MNSUMCFHRFWBER-UHFFFAOYSA-N -1 1 302.330 1.679 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000772706312 697664116 /nfs/dbraw/zinc/66/41/16/697664116.db2.gz NIIOIEFVIPRSBJ-CQSZACIVSA-N -1 1 318.373 1.262 20 0 DDADMM CC(C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)=C1CCCC1 ZINC000986135715 697699575 /nfs/dbraw/zinc/69/95/75/697699575.db2.gz HWDMSWPDUUIPLO-WCQYABFASA-N -1 1 319.409 1.480 20 0 DDADMM COCc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1 ZINC000773159116 697721107 /nfs/dbraw/zinc/72/11/07/697721107.db2.gz RARVGTOSHWISDY-GFCCVEGCSA-N -1 1 319.390 1.276 20 0 DDADMM CON(C)CCNC(=O)c1ccc(Br)cc1[O-] ZINC000773168594 697723851 /nfs/dbraw/zinc/72/38/51/697723851.db2.gz JUOWNQICLRKGBO-UHFFFAOYSA-N -1 1 303.156 1.378 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCc1ccnn1C ZINC000773501137 697768974 /nfs/dbraw/zinc/76/89/74/697768974.db2.gz NUKZEQFEWJYJGQ-UHFFFAOYSA-N -1 1 323.374 1.539 20 0 DDADMM O=C(Nc1nc(-c2ccccn2)n[nH]1)c1s[n-]c(=O)c1Cl ZINC000774375569 697873544 /nfs/dbraw/zinc/87/35/44/697873544.db2.gz KGHSLPWQIGOJQG-UHFFFAOYSA-N -1 1 322.737 1.935 20 0 DDADMM O=C(NC[C@H]1CCC[C@H](O)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000774431047 697878466 /nfs/dbraw/zinc/87/84/66/697878466.db2.gz JITDPILCBWSCPU-ONGXEEELSA-N -1 1 306.391 1.299 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CC(C)(C)C1 ZINC000986702216 697880985 /nfs/dbraw/zinc/88/09/85/697880985.db2.gz JWIBIVHOVMTPFM-CMPLNLGQSA-N -1 1 321.425 1.416 20 0 DDADMM COc1ccccc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774885869 697938372 /nfs/dbraw/zinc/93/83/72/697938372.db2.gz LKSFZXIONANXAL-UHFFFAOYSA-N -1 1 305.252 1.921 20 0 DDADMM O=C(C=Cc1ccccc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000775191418 697970264 /nfs/dbraw/zinc/97/02/64/697970264.db2.gz NMPOPXPZGKEUSR-GUOLPTJISA-N -1 1 301.325 1.758 20 0 DDADMM C[C@@H](CN1CCN(C)CC1)NC(=O)c1cccc(Cl)c1[O-] ZINC000775724922 698025619 /nfs/dbraw/zinc/02/56/19/698025619.db2.gz JYEMRUBXQZETRP-NSHDSACASA-N -1 1 311.813 1.411 20 0 DDADMM Cc1cc(C)c2[nH]cc(C(=O)[N-]OC3CCOCC3)c(=O)c2c1 ZINC000776264412 698089686 /nfs/dbraw/zinc/08/96/86/698089686.db2.gz PZBZARMAYJHUMH-UHFFFAOYSA-N -1 1 316.357 1.985 20 0 DDADMM CCc1ccsc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776563581 698117186 /nfs/dbraw/zinc/11/71/86/698117186.db2.gz IYJZMVRJTPORMK-SECBINFHSA-N -1 1 309.420 1.754 20 0 DDADMM O=C(CSc1ccncc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776565288 698117945 /nfs/dbraw/zinc/11/79/45/698117945.db2.gz RLRSGCFJMWYPSI-SNVBAGLBSA-N -1 1 322.419 1.004 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)[C@H]1OC ZINC000777335535 698180830 /nfs/dbraw/zinc/18/08/30/698180830.db2.gz IEIVJZRXOZGMES-DMDPSCGWSA-N -1 1 323.393 1.536 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C)C1CC1 ZINC000987669836 698192037 /nfs/dbraw/zinc/19/20/37/698192037.db2.gz BBTHYXAXWIAWLH-WZRBSPASSA-N -1 1 317.389 1.553 20 0 DDADMM CCC(CC)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987671980 698193229 /nfs/dbraw/zinc/19/32/29/698193229.db2.gz MZMYINLEIZBCRH-YPMHNXCESA-N -1 1 319.405 1.943 20 0 DDADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)C1CCC1 ZINC000987934231 698268946 /nfs/dbraw/zinc/26/89/46/698268946.db2.gz YVPSFRBLVLJXEL-GFCCVEGCSA-N -1 1 317.389 1.508 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)NC(C)C)c1cc(F)ccc1F ZINC000778620478 698353480 /nfs/dbraw/zinc/35/34/80/698353480.db2.gz DSFZFXICFDUUGS-LLVKDONJSA-N -1 1 322.333 1.011 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1C(C)(C)C1(C)C ZINC000988554774 698404502 /nfs/dbraw/zinc/40/45/02/698404502.db2.gz QKMSCWNZQJWHCU-VHSXEESVSA-N -1 1 321.425 1.272 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C(F)=C1CCCC1 ZINC000988598761 698415746 /nfs/dbraw/zinc/41/57/46/698415746.db2.gz BFIKEYUWYSEIOF-ONGXEEELSA-N -1 1 323.372 1.387 20 0 DDADMM O=C([O-])[C@@]1(NCc2cnn(-c3ccccc3)n2)CCSC1 ZINC000779491680 698427489 /nfs/dbraw/zinc/42/74/89/698427489.db2.gz TYEVHUPLRDINID-CQSZACIVSA-N -1 1 304.375 1.317 20 0 DDADMM Cc1cnc(CN[C@@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)o1 ZINC000988682350 698435760 /nfs/dbraw/zinc/43/57/60/698435760.db2.gz BHDCDNOSUXXBNN-CMPLNLGQSA-N -1 1 316.361 1.476 20 0 DDADMM Cc1nc(CN[C@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)co1 ZINC000988692854 698439572 /nfs/dbraw/zinc/43/95/72/698439572.db2.gz XIFQMUHSKONWJI-PWSUYJOCSA-N -1 1 316.361 1.476 20 0 DDADMM CCCCO[C@H]1C[C@@H](N(C)CC(=O)NCC(=O)[O-])C1(C)C ZINC000262230642 698460196 /nfs/dbraw/zinc/46/01/96/698460196.db2.gz NAMIFTFNGKNLJQ-NEPJUHHUSA-N -1 1 300.399 1.103 20 0 DDADMM O=C([O-])C1(CC(=O)NCc2nc3ccc(F)cc3[nH]2)CCC1 ZINC000262517130 698472870 /nfs/dbraw/zinc/47/28/70/698472870.db2.gz NBALJAZZQQIUQT-UHFFFAOYSA-N -1 1 305.309 1.963 20 0 DDADMM CC1(C[N-]S(=O)(=O)Cc2ccc(F)cc2F)OCCO1 ZINC000779921227 698479050 /nfs/dbraw/zinc/47/90/50/698479050.db2.gz RJNAICLWHGZMBQ-UHFFFAOYSA-N -1 1 307.318 1.147 20 0 DDADMM C[C@H](NC(=O)NC[C@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000780343935 698509340 /nfs/dbraw/zinc/50/93/40/698509340.db2.gz NBDMFXKCEVKOJM-NWDGAFQWSA-N -1 1 309.366 1.579 20 0 DDADMM CCC[C@@H](NC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1)C(=O)[O-] ZINC000780365131 698511790 /nfs/dbraw/zinc/51/17/90/698511790.db2.gz RXFLPGUOMPXZOK-FRRDWIJNSA-N -1 1 315.414 1.038 20 0 DDADMM CCc1ccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)o1 ZINC000989050869 698526191 /nfs/dbraw/zinc/52/61/91/698526191.db2.gz QJOUUNHKUKZDEO-VHSXEESVSA-N -1 1 319.365 1.059 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]1O)c1c(Cl)ccnc1Cl ZINC000272285723 698528238 /nfs/dbraw/zinc/52/82/38/698528238.db2.gz ZACSSPXAWXNUIN-HTQZYQBOSA-N -1 1 311.190 1.580 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H]1C[C@H](C)O ZINC000319031477 698709091 /nfs/dbraw/zinc/70/90/91/698709091.db2.gz RGQQMXXIPREBSX-CMPLNLGQSA-N -1 1 307.394 1.990 20 0 DDADMM COc1cccc([C@@H](CNC(=O)N(C)[C@@H](C)C(=O)[O-])N(C)C)c1 ZINC000320604127 698721492 /nfs/dbraw/zinc/72/14/92/698721492.db2.gz BQVIUHZWQJRKPN-SMDDNHRTSA-N -1 1 323.393 1.412 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H](C2CC2)C2CCC2)c1Cl ZINC000324917806 698745548 /nfs/dbraw/zinc/74/55/48/698745548.db2.gz RGWFDHYQLCDQLP-SNVBAGLBSA-N -1 1 303.815 1.931 20 0 DDADMM O=C([N-]C1CN(C(=O)c2cc(F)c(F)c(F)c2)C1)C(F)F ZINC000990024923 698903420 /nfs/dbraw/zinc/90/34/20/698903420.db2.gz QGVFHKSMEJCXKR-UHFFFAOYSA-N -1 1 308.206 1.310 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H](CO)CC1 ZINC000378797158 699057107 /nfs/dbraw/zinc/05/71/07/699057107.db2.gz SNGLPEKDZMFREI-GFCCVEGCSA-N -1 1 307.394 1.849 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2CCCSC2)c1Cl ZINC000384089416 699070929 /nfs/dbraw/zinc/07/09/29/699070929.db2.gz BLYUSZLHCCOFQE-MRVPVSSYSA-N -1 1 309.844 1.495 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2cc(-c3ccccc3)on2)nc1=O ZINC000785973930 699114241 /nfs/dbraw/zinc/11/42/41/699114241.db2.gz MIQPBNFLAIJLRM-UHFFFAOYSA-N -1 1 314.301 1.049 20 0 DDADMM CC(=O)CCC(C)(C)C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000786214324 699134469 /nfs/dbraw/zinc/13/44/69/699134469.db2.gz MWAITNTXJGVEMI-UHFFFAOYSA-N -1 1 311.300 1.994 20 0 DDADMM CCOC(CCNC(=O)c1nc2ccccc2c(=O)[n-]1)OCC ZINC000786868830 699175753 /nfs/dbraw/zinc/17/57/53/699175753.db2.gz JHSLKLYVCURXPT-UHFFFAOYSA-N -1 1 319.361 1.442 20 0 DDADMM CC(=O)NC[C@H]1CCC(C)(C)CN1C(=O)c1ncccc1[O-] ZINC000990989665 699201041 /nfs/dbraw/zinc/20/10/41/699201041.db2.gz ZAOXPEAMJVGUTJ-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(O)cc(Br)c2)CC[N@@H+]1C ZINC000700786742 699222544 /nfs/dbraw/zinc/22/25/44/699222544.db2.gz GIVBPYPGDSPYSH-VIFPVBQESA-N -1 1 313.195 1.931 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCC[C@@H]1CCCO1 ZINC000787693517 699231586 /nfs/dbraw/zinc/23/15/86/699231586.db2.gz VWMGPHDRPQVALV-LBPRGKRZSA-N -1 1 319.361 1.707 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1CC[C@@H](C2CCCCC2)C1 ZINC000708871549 699250832 /nfs/dbraw/zinc/25/08/32/699250832.db2.gz ULMYYDCNBQJXBH-CYBMUJFWSA-N -1 1 303.424 1.388 20 0 DDADMM O=C([O-])[C@@H]1CC(F)(F)CN1C(=O)c1ccc(O)c(Cl)c1 ZINC000713512165 699266586 /nfs/dbraw/zinc/26/65/86/699266586.db2.gz UKYXQVRKHAKHGS-QMMMGPOBSA-N -1 1 305.664 1.980 20 0 DDADMM CC[C@@H](C[C@@H](C)O)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000716793919 699284826 /nfs/dbraw/zinc/28/48/26/699284826.db2.gz RHLVXGUHANPASZ-SFYZADRCSA-N -1 1 310.778 1.702 20 0 DDADMM CC(C)(C)S(=O)(=O)CCCN1CCCC[C@@H]1CC(=O)[O-] ZINC000718726116 699294927 /nfs/dbraw/zinc/29/49/27/699294927.db2.gz AGTNKKVRCATLQM-GFCCVEGCSA-N -1 1 305.440 1.919 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccnc(Cl)c3)nc2n1 ZINC000726377225 699361753 /nfs/dbraw/zinc/36/17/53/699361753.db2.gz QWESOTZZGOFWRN-UHFFFAOYSA-N -1 1 304.697 1.027 20 0 DDADMM COC(COCCNC(=O)c1ccc(Cl)cc1[O-])OC ZINC000789914234 699420349 /nfs/dbraw/zinc/42/03/49/699420349.db2.gz HOFHCAAYGVPSJH-UHFFFAOYSA-N -1 1 303.742 1.411 20 0 DDADMM NC(=O)COc1ccc(C(=O)Nc2nc(Cl)ccc2[O-])cc1 ZINC000789930148 699423046 /nfs/dbraw/zinc/42/30/46/699423046.db2.gz BPFLAVSRXNBBHY-UHFFFAOYSA-N -1 1 321.720 1.557 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCCCCF)co1 ZINC000810909867 701873756 /nfs/dbraw/zinc/87/37/56/701873756.db2.gz QVBDGXSOPSHHLT-UHFFFAOYSA-N -1 1 307.343 1.874 20 0 DDADMM CCCc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)sc1C ZINC000732089672 699546136 /nfs/dbraw/zinc/54/61/36/699546136.db2.gz AODYWGFIRLFJRS-LLVKDONJSA-N -1 1 321.406 1.736 20 0 DDADMM CC(=O)Nc1ccc(C)cc1OS(=O)(=O)c1c[n-]nc1C ZINC000732220442 699551238 /nfs/dbraw/zinc/55/12/38/699551238.db2.gz RQEAVPJSHSFYGG-UHFFFAOYSA-N -1 1 309.347 1.753 20 0 DDADMM Cc1ccc2nc([C@@H]3CCCN3C(=O)c3cc(=O)[nH][n-]3)[nH]c2c1 ZINC000732280610 699553725 /nfs/dbraw/zinc/55/37/25/699553725.db2.gz LVQKHZBIQQFMCC-ZDUSSCGKSA-N -1 1 311.345 1.411 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H](CO)c3ccccc3)ccnc1-2 ZINC000791092035 699611362 /nfs/dbraw/zinc/61/13/62/699611362.db2.gz ZSFXDCQLTDGDAU-AWEZNQCLSA-N -1 1 311.345 1.197 20 0 DDADMM COc1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c(F)c1 ZINC000791163917 699613691 /nfs/dbraw/zinc/61/36/91/699613691.db2.gz HJYUTUHDHQTVIJ-UHFFFAOYSA-N -1 1 300.293 1.742 20 0 DDADMM O=C(NCc1nnc2n1CCOC2)c1c([O-])cccc1Cl ZINC000791700936 699649886 /nfs/dbraw/zinc/64/98/86/699649886.db2.gz UYKWRYUOUQXVCR-UHFFFAOYSA-N -1 1 308.725 1.097 20 0 DDADMM CC(C)CC[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(C)(C)C ZINC000734463010 699652150 /nfs/dbraw/zinc/65/21/50/699652150.db2.gz NWCQEFRBDMRROF-CYBMUJFWSA-N -1 1 323.437 1.894 20 0 DDADMM CCn1nc(C)c(C(=O)N2CCC(c3nn[n-]n3)CC2)c1C ZINC000736729613 699730795 /nfs/dbraw/zinc/73/07/95/699730795.db2.gz YKHZYMPAPIOWGY-UHFFFAOYSA-N -1 1 303.370 1.053 20 0 DDADMM Cc1onc(-c2ccccc2C)c1C(=O)[N-]N1CC(=O)NC1=O ZINC000793083423 699732724 /nfs/dbraw/zinc/73/27/24/699732724.db2.gz DJFWDVSZRHYLOC-UHFFFAOYSA-N -1 1 314.301 1.155 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccccc1F)c1ccc2cncn2c1 ZINC000793116277 699733794 /nfs/dbraw/zinc/73/37/94/699733794.db2.gz IGUAXZMVQWFNPF-UHFFFAOYSA-N -1 1 319.317 1.592 20 0 DDADMM O=C([O-])CNC(=O)CCN(Cc1ccc(F)cc1F)C1CC1 ZINC000739803283 699779859 /nfs/dbraw/zinc/77/98/59/699779859.db2.gz FCKYNFUWDNZTTB-UHFFFAOYSA-N -1 1 312.316 1.520 20 0 DDADMM CN(C[C@H]1CCS(=O)(=O)C1)C(=O)c1c([O-])cccc1Cl ZINC000740939844 699806955 /nfs/dbraw/zinc/80/69/55/699806955.db2.gz LOVWJDXTAQVNPV-SECBINFHSA-N -1 1 317.794 1.552 20 0 DDADMM Cc1nnc(CN2CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)[nH]1 ZINC000794554488 699815724 /nfs/dbraw/zinc/81/57/24/699815724.db2.gz RNGOASCCPBIUDA-LAEOZQHASA-N -1 1 319.331 1.391 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2cccn2C)c(F)c1 ZINC000743342632 699907905 /nfs/dbraw/zinc/90/79/05/699907905.db2.gz NABGHRCWVCIHME-UHFFFAOYSA-N -1 1 316.329 1.790 20 0 DDADMM O=C(CCc1cncnc1)OCCC[N-]C(=O)C(F)(F)F ZINC000796175795 699917579 /nfs/dbraw/zinc/91/75/79/699917579.db2.gz SUTHUDKDLIYXHN-UHFFFAOYSA-N -1 1 305.256 1.021 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N(C)[C@H](C)CC(C)C)[n-]1 ZINC000796363615 699929546 /nfs/dbraw/zinc/92/95/46/699929546.db2.gz UZYVNLOYMOIPRI-LLVKDONJSA-N -1 1 324.377 1.851 20 0 DDADMM Cc1ccc([C@H](O)C[N-]S(=O)(=O)c2nc(C)c(C)s2)o1 ZINC000751444820 700289874 /nfs/dbraw/zinc/28/98/74/700289874.db2.gz AGCWOYVJYLAZQN-SNVBAGLBSA-N -1 1 316.404 1.673 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OCCC[C@H](C)O)n2)cc1 ZINC000801365193 700300686 /nfs/dbraw/zinc/30/06/86/700300686.db2.gz POYMZPVFHDDYRU-NSHDSACASA-N -1 1 320.345 1.904 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OC[C@@H]3CCCO3)n2)cc1 ZINC000801364697 700301001 /nfs/dbraw/zinc/30/10/01/700301001.db2.gz CAVFMRZITQUMKC-ZDUSSCGKSA-N -1 1 318.329 1.922 20 0 DDADMM COC[C@@H](C)OC(=O)c1nn(-c2ccc(OC)cc2)cc1[O-] ZINC000801365846 700301106 /nfs/dbraw/zinc/30/11/06/700301106.db2.gz XCRWFBNWKBBZAF-SNVBAGLBSA-N -1 1 306.318 1.778 20 0 DDADMM Cc1cccc(-n2cc([O-])c(C(=O)O[C@@H](C)C(N)=O)n2)c1C ZINC000801416701 700305729 /nfs/dbraw/zinc/30/57/29/700305729.db2.gz LMXLZMSDAFQZHL-JTQLQIEISA-N -1 1 303.318 1.225 20 0 DDADMM COc1ccccc1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801701970 700332382 /nfs/dbraw/zinc/33/23/82/700332382.db2.gz KNALCKQKHHOSMA-UHFFFAOYSA-N -1 1 310.313 1.172 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CC[C@H](SC)C2)c1 ZINC000754020638 700476735 /nfs/dbraw/zinc/47/67/35/700476735.db2.gz IFHZMRLGMUVSDK-ZJUUUORDSA-N -1 1 319.404 1.629 20 0 DDADMM Cc1ccc(/C=C\C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cn1 ZINC000755017969 700544877 /nfs/dbraw/zinc/54/48/77/700544877.db2.gz IAGVVSADARZZTM-KTKRTIGZSA-N -1 1 324.336 1.903 20 0 DDADMM Cc1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)nc(C)n1 ZINC000755167525 700553918 /nfs/dbraw/zinc/55/39/18/700553918.db2.gz IVWMTVTZYOARET-UHFFFAOYSA-N -1 1 305.256 1.319 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCOC2CCCC2)co1 ZINC000756521715 700625519 /nfs/dbraw/zinc/62/55/19/700625519.db2.gz DNPYZOVGCQKTLW-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2oncc2C)cc1 ZINC000758347576 700700317 /nfs/dbraw/zinc/70/03/17/700700317.db2.gz XLAIDXNFRQIMPK-UHFFFAOYSA-N -1 1 318.285 1.105 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCCO1)c1ccc(Br)o1 ZINC000758992749 700732547 /nfs/dbraw/zinc/73/25/47/700732547.db2.gz NUJVWTBKCDFZMQ-MRVPVSSYSA-N -1 1 324.196 1.890 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N(C)[C@@H](CC)C(=O)[O-] ZINC000763094335 700925323 /nfs/dbraw/zinc/92/53/23/700925323.db2.gz AFRSIKURSSTXKZ-STQMWFEESA-N -1 1 308.378 1.797 20 0 DDADMM COc1cc(C(=O)NC[C@H](O)c2ccncc2)cc(Cl)c1[O-] ZINC000763260538 700932434 /nfs/dbraw/zinc/93/24/34/700932434.db2.gz XASXLVYHVUSUGL-LBPRGKRZSA-N -1 1 322.748 1.913 20 0 DDADMM Cc1ccc(C)c(SCC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765391951 701009426 /nfs/dbraw/zinc/00/94/26/701009426.db2.gz JMFUNCFHZMYITE-UHFFFAOYSA-N -1 1 307.375 1.561 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccccc2Br)nc1=O ZINC000765394064 701009584 /nfs/dbraw/zinc/00/95/84/701009584.db2.gz WYIZKRYTPMLHOK-UHFFFAOYSA-N -1 1 312.123 1.228 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccnc2SC(F)F)nc1=O ZINC000765407997 701010269 /nfs/dbraw/zinc/01/02/69/701010269.db2.gz DHYRDOGDCGIOAQ-UHFFFAOYSA-N -1 1 316.289 1.175 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2ccc(-n3cccc3)cc2)nc1=O ZINC000765435174 701012542 /nfs/dbraw/zinc/01/25/42/701012542.db2.gz RHCKNQZRSBCKFH-UHFFFAOYSA-N -1 1 312.329 1.185 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(-c3cnco3)cc2)nc1=O ZINC000765460327 701013655 /nfs/dbraw/zinc/01/36/55/701013655.db2.gz HJDVEOYPDFDUFO-UHFFFAOYSA-N -1 1 300.274 1.120 20 0 DDADMM COc1ccc(S[C@H](C)C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765458160 701013717 /nfs/dbraw/zinc/01/37/17/701013717.db2.gz BFZGQLSTYCCVCJ-SECBINFHSA-N -1 1 323.374 1.341 20 0 DDADMM CC(C)Sc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765466430 701014019 /nfs/dbraw/zinc/01/40/19/701014019.db2.gz WSDWKYBBZXPHGS-UHFFFAOYSA-N -1 1 307.375 1.966 20 0 DDADMM C[C@H](CSc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765473235 701014356 /nfs/dbraw/zinc/01/43/56/701014356.db2.gz DAPCJJYYAXNTQX-SNVBAGLBSA-N -1 1 307.375 1.580 20 0 DDADMM Cc1ccc2[nH]c(C(=O)OCc3nc(=O)n(C)[n-]3)cc2c1C ZINC000765481790 701015277 /nfs/dbraw/zinc/01/52/77/701015277.db2.gz WJISQIAPEYEETN-UHFFFAOYSA-N -1 1 300.318 1.564 20 0 DDADMM Cn1[n-]c(COC(=O)C2CC(OCc3ccccc3)C2)nc1=O ZINC000765486762 701015339 /nfs/dbraw/zinc/01/53/39/701015339.db2.gz NLOSBAUAHLUXBQ-UHFFFAOYSA-N -1 1 317.345 1.147 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OCC(F)(F)F)N1C[C@@H](C)O[C@@H](C)C1 ZINC000766763407 701062085 /nfs/dbraw/zinc/06/20/85/701062085.db2.gz QXVNWXKERZYGIX-AXFHLTTASA-N -1 1 312.332 1.730 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)CC(C)(C)OC ZINC000809824360 701693414 /nfs/dbraw/zinc/69/34/14/701693414.db2.gz OYCDVBDIYMUXED-NSHDSACASA-N -1 1 307.412 1.063 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cc(Cl)ccn1 ZINC000809829804 701693753 /nfs/dbraw/zinc/69/37/53/701693753.db2.gz MZOZHKKILARXMG-UHFFFAOYSA-N -1 1 302.743 1.092 20 0 DDADMM CS(=O)(=O)[C@@H]1CSCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000768176239 701162223 /nfs/dbraw/zinc/16/22/23/701162223.db2.gz RUGARTNXDOXQPZ-LLVKDONJSA-N -1 1 319.379 1.091 20 0 DDADMM CCC[C@@H](C)[C@@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000768346206 701170512 /nfs/dbraw/zinc/17/05/12/701170512.db2.gz PYKYNTCZBPOAGO-OLZOCXBDSA-N -1 1 307.394 1.354 20 0 DDADMM Cn1cncc1C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000809850810 701695591 /nfs/dbraw/zinc/69/55/91/701695591.db2.gz IGOIIHPYOAMQPJ-UHFFFAOYSA-N -1 1 321.189 1.600 20 0 DDADMM CN(C)C(=O)[C@@H]1CSCN1C(=O)c1c([O-])cccc1Cl ZINC000769747460 701254663 /nfs/dbraw/zinc/25/46/63/701254663.db2.gz UEPKVFASLJWWGL-VIFPVBQESA-N -1 1 314.794 1.649 20 0 DDADMM COCCN(CC(=O)N(C)C)C(=O)c1c([O-])cccc1Cl ZINC000769842352 701258891 /nfs/dbraw/zinc/25/88/91/701258891.db2.gz MHDOIMMXYIBIHL-UHFFFAOYSA-N -1 1 314.769 1.222 20 0 DDADMM COC(=O)C[C@@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)C1CC1 ZINC000771945289 701337887 /nfs/dbraw/zinc/33/78/87/701337887.db2.gz IBKQDORQZDTZJA-SNVBAGLBSA-N -1 1 318.420 1.380 20 0 DDADMM NC(=O)CCCCC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000805566125 701394586 /nfs/dbraw/zinc/39/45/86/701394586.db2.gz FKJSVPLIMUGEPD-UHFFFAOYSA-N -1 1 319.313 1.588 20 0 DDADMM O=C(OCCOc1cccc(Br)c1)c1cn[n-]n1 ZINC000805604971 701397986 /nfs/dbraw/zinc/39/79/86/701397986.db2.gz DPIXLBRBIRXXQS-UHFFFAOYSA-N -1 1 312.123 1.803 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)C(=O)c2cccn2C)c1 ZINC000805650850 701402397 /nfs/dbraw/zinc/40/23/97/701402397.db2.gz CAAILCHQBOAHNJ-UHFFFAOYSA-N -1 1 315.325 1.902 20 0 DDADMM CC(C)(C(=O)OCCOCC[N-]C(=O)C(F)(F)F)C1CC1 ZINC000805911081 701416119 /nfs/dbraw/zinc/41/61/19/701416119.db2.gz BJQOXUJTGVCVAO-UHFFFAOYSA-N -1 1 311.300 1.661 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC2(CCCC2)C1)c1c[nH]nc1Cl ZINC000830904081 706600394 /nfs/dbraw/zinc/60/03/94/706600394.db2.gz ZFCHJKXOOMLPST-SECBINFHSA-N -1 1 319.814 1.833 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3ccc4c(c3)COC4)ccnc1-2 ZINC000806488281 701437464 /nfs/dbraw/zinc/43/74/64/701437464.db2.gz LBXPKYYBQYPOJX-UHFFFAOYSA-N -1 1 323.356 1.694 20 0 DDADMM CCOCC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807707505 701484458 /nfs/dbraw/zinc/48/44/58/701484458.db2.gz SXYVWSTYEHRXRG-QXEWZRGKSA-N -1 1 310.316 1.327 20 0 DDADMM O=S(=O)([N-]N=c1nccc[nH]1)c1cccnc1C(F)(F)F ZINC000808073937 701496517 /nfs/dbraw/zinc/49/65/17/701496517.db2.gz VSUHHWGVQRIOOF-UHFFFAOYSA-N -1 1 319.268 1.196 20 0 DDADMM C[C@@H]1CN(C(=O)CSc2nc(C(F)F)cc(=O)[n-]2)CCO1 ZINC000809986715 701709725 /nfs/dbraw/zinc/70/97/25/701709725.db2.gz LFJPNEZYEVUPDP-SSDOTTSWSA-N -1 1 319.333 1.459 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H](C)C(C)(F)F ZINC000816013754 701991550 /nfs/dbraw/zinc/99/15/50/701991550.db2.gz AADIDXXHUHBXJS-SSDOTTSWSA-N -1 1 314.292 1.103 20 0 DDADMM CCCn1nccc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831116888 706637636 /nfs/dbraw/zinc/63/76/36/706637636.db2.gz GNYPDTYDHNTVFP-UHFFFAOYSA-N -1 1 318.299 1.044 20 0 DDADMM O=C(/C=C/c1cccs1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831117961 706637949 /nfs/dbraw/zinc/63/79/49/706637949.db2.gz YAQLRVXYFPQWRW-ONEGZZNKSA-N -1 1 318.320 1.898 20 0 DDADMM C[C@H](C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)c1ccco1 ZINC000831145554 706644126 /nfs/dbraw/zinc/64/41/26/706644126.db2.gz XRTJWYZOJSNMQD-QMMMGPOBSA-N -1 1 304.268 1.520 20 0 DDADMM O=C(Nn1c(=O)[n-][nH]c1=O)c1cc(Cl)c2ccccc2c1O ZINC000816731831 702168431 /nfs/dbraw/zinc/16/84/31/702168431.db2.gz HGVCUVHKCBGZRR-UHFFFAOYSA-N -1 1 320.692 1.585 20 0 DDADMM O=C([N-]OC/C=C\Cl)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000812402016 702176222 /nfs/dbraw/zinc/17/62/22/702176222.db2.gz PRWFBMNEWIOFBF-IKHYVWBUSA-N -1 1 312.728 1.979 20 0 DDADMM CON(C[C@H]1CCC[C@@H](C)C1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000812425766 702180111 /nfs/dbraw/zinc/18/01/11/702180111.db2.gz SONPQFPWFPPBGA-OLZOCXBDSA-N -1 1 323.393 1.143 20 0 DDADMM CN1CCN(C)[C@H](CNC(=O)c2ccc3oc(=S)[n-]c3c2)C1 ZINC000816923384 702221368 /nfs/dbraw/zinc/22/13/68/702221368.db2.gz GQXNXPBYLSPYJS-LLVKDONJSA-N -1 1 320.418 1.092 20 0 DDADMM COc1ccc(N2C[C@H](C(=O)[N-]OC3CCC3)CC2=O)cc1 ZINC000812792699 702240237 /nfs/dbraw/zinc/24/02/37/702240237.db2.gz FHTNNDAKAHEQLN-LLVKDONJSA-N -1 1 304.346 1.648 20 0 DDADMM O=C([N-]OC1CCC1)[C@@H]1CC(=O)N(c2c(F)cccc2F)C1 ZINC000812793887 702241010 /nfs/dbraw/zinc/24/10/10/702241010.db2.gz IXVQNFFELSNAAH-SECBINFHSA-N -1 1 310.300 1.918 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CO1 ZINC000868741695 702296842 /nfs/dbraw/zinc/29/68/42/702296842.db2.gz RZNSNWXYIMUULX-VDDIYKPWSA-N -1 1 323.315 1.016 20 0 DDADMM Cc1cc(N(C)C)ccc1C[C@@H](C)NC(=O)CCc1nn[n-]n1 ZINC000868891014 702372351 /nfs/dbraw/zinc/37/23/51/702372351.db2.gz ZLHHRTSTGYHSRS-GFCCVEGCSA-N -1 1 316.409 1.254 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)C(=O)O1 ZINC000841522870 702478538 /nfs/dbraw/zinc/47/85/38/702478538.db2.gz XYACATCGHVRLRN-XCBNKYQSSA-N -1 1 313.306 1.554 20 0 DDADMM COCC1(C2CC2)CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000879416080 706674210 /nfs/dbraw/zinc/67/42/10/706674210.db2.gz MZFKWPMNRDNDQF-UHFFFAOYSA-N -1 1 315.377 1.232 20 0 DDADMM COC1([C@H](C)[N-]S(=O)(=O)c2ncn(C)c2Cl)CCC1 ZINC000841564517 702499881 /nfs/dbraw/zinc/49/98/81/702499881.db2.gz GJTAUDNFYVKENH-QMMMGPOBSA-N -1 1 307.803 1.309 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)CC(C)C ZINC000841570974 702501941 /nfs/dbraw/zinc/50/19/41/702501941.db2.gz NWRBUJFZZBHQAS-VIFPVBQESA-N -1 1 309.819 1.413 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCO[C@H](C4CCC4)C3)ccnc1-2 ZINC000841706588 702544651 /nfs/dbraw/zinc/54/46/51/702544651.db2.gz XFPBNQFNDXVSJP-AWEZNQCLSA-N -1 1 315.377 1.375 20 0 DDADMM CC[C@@H]1CCC[C@@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869528829 702636940 /nfs/dbraw/zinc/63/69/40/702636940.db2.gz NESUJOHXDPNKLG-KOLCDFICSA-N -1 1 323.311 1.994 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCCN(CC(F)F)C1)c1nn[n-]n1 ZINC000866420008 706694788 /nfs/dbraw/zinc/69/47/88/706694788.db2.gz LSUPMBUGBJSNNU-UWVGGRQHSA-N -1 1 316.356 1.134 20 0 DDADMM CCC[C@H](NC(=O)C[C@H]1Cc2ccccc2O1)c1nn[n-]n1 ZINC000866420034 706694559 /nfs/dbraw/zinc/69/45/59/706694559.db2.gz MLXUUOFTWUIMDE-NEPJUHHUSA-N -1 1 301.350 1.551 20 0 DDADMM CC(C)CCCC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843013215 702803537 /nfs/dbraw/zinc/80/35/37/702803537.db2.gz CNPQHNRHZUVDMZ-UHFFFAOYSA-N -1 1 304.456 1.743 20 0 DDADMM Cc1csc(CC[N-]S(=O)(=O)N=S2(=O)CCCC2)n1 ZINC000866467342 706706452 /nfs/dbraw/zinc/70/64/52/706706452.db2.gz FBCLALFCCHYMIZ-UHFFFAOYSA-N -1 1 323.465 1.090 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)N=S(C)(C)=O)c1ccccc1 ZINC000866475579 706708509 /nfs/dbraw/zinc/70/85/09/706708509.db2.gz ACEFTAQDMMPDGG-UHFFFAOYSA-N -1 1 304.437 1.526 20 0 DDADMM CCO[N-]C(=O)CN[C@@H](C(=O)N(CC)CC)c1ccccc1 ZINC000844633031 703049896 /nfs/dbraw/zinc/04/98/96/703049896.db2.gz XCCOFTMUJNZBID-OAHLLOKOSA-N -1 1 307.394 1.253 20 0 DDADMM CC[C@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)[C@@H](CC)CO1 ZINC000844649776 703051463 /nfs/dbraw/zinc/05/14/63/703051463.db2.gz CZBQDOYQEUUURH-RYUDHWBXSA-N -1 1 317.393 1.763 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)Cn1cccn1 ZINC000866512631 706717408 /nfs/dbraw/zinc/71/74/08/706717408.db2.gz MUHIIKFYJAQJMQ-VIFPVBQESA-N -1 1 316.333 1.171 20 0 DDADMM C[C@H](CC(=O)OCC(=O)[N-]C(=O)c1ccccc1)n1ccnc1 ZINC000845279045 703138371 /nfs/dbraw/zinc/13/83/71/703138371.db2.gz SPAWTYLPICTJGI-GFCCVEGCSA-N -1 1 315.329 1.334 20 0 DDADMM CCO[N-]C(=O)CNCc1cnn(Cc2ccccc2)c1Cl ZINC000846086311 703236039 /nfs/dbraw/zinc/23/60/39/703236039.db2.gz NVMMKEXDUICCAH-UHFFFAOYSA-N -1 1 322.796 1.742 20 0 DDADMM CCON(C)C(=O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000846507767 703286965 /nfs/dbraw/zinc/28/69/65/703286965.db2.gz UVRVEJQLQRCHSY-UHFFFAOYSA-N -1 1 320.267 1.551 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)C(=O)c1n[nH]c(C)c1Br ZINC000846713365 703323032 /nfs/dbraw/zinc/32/30/32/703323032.db2.gz FCIFSTQILYFFNA-ZCFIWIBFSA-N -1 1 304.144 1.416 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCc1ccc(=O)[nH]c1 ZINC000848067039 703502437 /nfs/dbraw/zinc/50/24/37/703502437.db2.gz SUXYLEXVCJQJGQ-UHFFFAOYSA-N -1 1 316.361 1.687 20 0 DDADMM COCOCCCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000848170707 703519978 /nfs/dbraw/zinc/51/99/78/703519978.db2.gz AOXJFEPHOMUQNU-UHFFFAOYSA-N -1 1 303.742 1.795 20 0 DDADMM CO[C@@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C1CCCC1 ZINC000850039372 703699105 /nfs/dbraw/zinc/69/91/05/703699105.db2.gz IOLPDCQQXFODFB-OAHLLOKOSA-N -1 1 319.357 1.691 20 0 DDADMM CON(C(=O)CCc1nn[n-]n1)[C@H]1CCCOc2ccccc21 ZINC000866669727 706759690 /nfs/dbraw/zinc/75/96/90/706759690.db2.gz LIXOOMANXYUGSM-LBPRGKRZSA-N -1 1 317.349 1.436 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)OCCN1C(=O)CCC1=O ZINC000869736721 703839471 /nfs/dbraw/zinc/83/94/71/703839471.db2.gz OBTKSYYVOGVTTC-UHFFFAOYSA-N -1 1 311.721 1.280 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ncc(Cl)n2C)sn1 ZINC000866719224 706774824 /nfs/dbraw/zinc/77/48/24/706774824.db2.gz QQFUATGEQWBLIK-UHFFFAOYSA-N -1 1 306.800 1.317 20 0 DDADMM COC(=O)[C@H](C1CC1)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764900 706784877 /nfs/dbraw/zinc/78/48/77/706784877.db2.gz ZXVOYEPRZAGIKX-JOYOIKCWSA-N -1 1 308.300 1.081 20 0 DDADMM CC(C)CC[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000852736743 704109676 /nfs/dbraw/zinc/10/96/76/704109676.db2.gz OIQIWQYWVNGZFF-ZJUUUORDSA-N -1 1 310.316 1.629 20 0 DDADMM C[C@@H]1CCN(Cc2ccc(N)nc2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000853248732 704224332 /nfs/dbraw/zinc/22/43/32/704224332.db2.gz JIMMRBGZTXFWQQ-KOLCDFICSA-N -1 1 316.327 1.553 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H]2CCCCC2=O)c1 ZINC000821365015 704397389 /nfs/dbraw/zinc/39/73/89/704397389.db2.gz JUGHMCIYXTUSHV-LHSJRXKWSA-N -1 1 310.371 1.858 20 0 DDADMM CCOc1ccccc1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000821431245 704409045 /nfs/dbraw/zinc/40/90/45/704409045.db2.gz NJZSEUZMFLLWHF-UHFFFAOYSA-N -1 1 316.346 1.974 20 0 DDADMM CCOc1ccccc1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000821431245 704409048 /nfs/dbraw/zinc/40/90/48/704409048.db2.gz NJZSEUZMFLLWHF-UHFFFAOYSA-N -1 1 316.346 1.974 20 0 DDADMM COc1cc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)ccc1C ZINC000821703175 704431095 /nfs/dbraw/zinc/43/10/95/704431095.db2.gz SDZSXHKWEOZSJL-UHFFFAOYSA-N -1 1 316.346 1.893 20 0 DDADMM COc1cc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)ccc1C ZINC000821703175 704431097 /nfs/dbraw/zinc/43/10/97/704431097.db2.gz SDZSXHKWEOZSJL-UHFFFAOYSA-N -1 1 316.346 1.893 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@@H]2CC(C)=NO2)c(=O)[n-]1 ZINC000854794348 704453261 /nfs/dbraw/zinc/45/32/61/704453261.db2.gz ZRBDKWQLSOVXRW-JTQLQIEISA-N -1 1 324.406 1.426 20 0 DDADMM CON1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CC1 ZINC000854856068 704459051 /nfs/dbraw/zinc/45/90/51/704459051.db2.gz QOJXCGXKGLAYFV-UHFFFAOYSA-N -1 1 304.268 1.730 20 0 DDADMM C[C@@]12COC[C@H]1CN(C(=O)c1ccc3n[n-]c(=S)n3c1)C2 ZINC000855835087 704502461 /nfs/dbraw/zinc/50/24/61/704502461.db2.gz GVZZMFYBVJEYHC-QMTHXVAHSA-N -1 1 304.375 1.127 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@H]2COC[C@]2(C)C1 ZINC000855840568 704502793 /nfs/dbraw/zinc/50/27/93/704502793.db2.gz ZEVAZYBKWQMPNX-ZBEGNZNMSA-N -1 1 305.378 1.723 20 0 DDADMM COC(=O)N(C)CCN(C)C(=O)c1c([O-])cccc1Cl ZINC000855885796 704504476 /nfs/dbraw/zinc/50/44/76/704504476.db2.gz ISCVNAZGYIFDPK-UHFFFAOYSA-N -1 1 300.742 1.816 20 0 DDADMM Cc1c[nH]nc1C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856415467 704527856 /nfs/dbraw/zinc/52/78/56/704527856.db2.gz MBTQHVFGHADJJE-APPZFPTMSA-N -1 1 318.299 1.247 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H](O)C(C)(C)C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418243 704528096 /nfs/dbraw/zinc/52/80/96/704528096.db2.gz VIQYSUWFPSDPNI-KXUCPTDWSA-N -1 1 324.343 1.309 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H](O)CC12CCC2 ZINC000857292079 704575562 /nfs/dbraw/zinc/57/55/62/704575562.db2.gz WBFJJBHQRRJQHY-NSHDSACASA-N -1 1 318.402 1.538 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(Cl)cnc1Cl)[C@H](C)CO ZINC000866856301 706826860 /nfs/dbraw/zinc/82/68/60/706826860.db2.gz XHIDJAQSQSOXGQ-RNFRBKRXSA-N -1 1 313.206 1.684 20 0 DDADMM COC(=O)CC(C)(C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866860384 706828207 /nfs/dbraw/zinc/82/82/07/706828207.db2.gz YCINNKPBMJMJIV-UHFFFAOYSA-N -1 1 324.761 1.494 20 0 DDADMM O=S(=O)([N-]CCCOC(F)F)c1ccc(F)nc1F ZINC000867238222 706934646 /nfs/dbraw/zinc/93/46/46/706934646.db2.gz MATYJBFLYLZJIB-UHFFFAOYSA-N -1 1 302.249 1.267 20 0 DDADMM CCCCS(=O)(=O)CC(=O)Nc1ccc([O-])c(F)c1F ZINC000821969825 704851152 /nfs/dbraw/zinc/85/11/52/704851152.db2.gz CYQAEVYTNFNYMH-UHFFFAOYSA-N -1 1 307.318 1.824 20 0 DDADMM CC1=NO[C@@H](C[N-]S(=O)(=O)c2c(Cl)ccnc2Cl)C1 ZINC000867347480 706969332 /nfs/dbraw/zinc/96/93/32/706969332.db2.gz DXPUJHLSVFDSAD-SSDOTTSWSA-N -1 1 324.189 1.832 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CCOCC2)c1ccc(F)nc1F ZINC000867355645 706972492 /nfs/dbraw/zinc/97/24/92/706972492.db2.gz ZFXUABNBOVLRBF-VIFPVBQESA-N -1 1 304.318 1.207 20 0 DDADMM CCOC(=O)C[C@@H](O)COC(=O)c1c([O-])cc(F)cc1F ZINC000859526425 704928036 /nfs/dbraw/zinc/92/80/36/704928036.db2.gz SYMKPWQWIIUUAI-MRVPVSSYSA-N -1 1 304.245 1.141 20 0 DDADMM COCC1([N-]S(=O)(=O)c2ccc(F)nc2F)CCCC1 ZINC000867497738 707019589 /nfs/dbraw/zinc/01/95/89/707019589.db2.gz RSHTUHNKJQANKU-UHFFFAOYSA-N -1 1 306.334 1.597 20 0 DDADMM C[C@@H]1CN(c2ccccc2CN2CC[C@@](F)(C(=O)[O-])C2)CCO1 ZINC000859809927 705010963 /nfs/dbraw/zinc/01/09/63/705010963.db2.gz AEXQXQXUAAGTPW-DYVFJYSZSA-N -1 1 322.380 1.910 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(Cc2ccnn2-c2ccc(F)cc2)C1 ZINC000859820406 705012932 /nfs/dbraw/zinc/01/29/32/705012932.db2.gz SYCDCWBIRFJQCF-INIZCTEOSA-N -1 1 319.336 1.687 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(Cc2ccnn2-c2ccccc2C)C1 ZINC000859820719 705013355 /nfs/dbraw/zinc/01/33/55/705013355.db2.gz XALAZIKODCWRHQ-QGZVFWFLSA-N -1 1 315.373 1.856 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC000859888833 705031209 /nfs/dbraw/zinc/03/12/09/705031209.db2.gz ZLDXKLCIBMMEHE-SECBINFHSA-N -1 1 314.288 1.012 20 0 DDADMM Cc1[nH]ncc1C1CCN(C(=O)N[C@H]2C[C@@H](C(=O)[O-])C2)CC1 ZINC000874418080 705052647 /nfs/dbraw/zinc/05/26/47/705052647.db2.gz XXMKEEWQGISTTG-TXEJJXNPSA-N -1 1 306.366 1.470 20 0 DDADMM COc1ccc(C[C@](C)(CO)NC(=O)c2cncc([O-])c2)cc1 ZINC000874738316 705157823 /nfs/dbraw/zinc/15/78/23/705157823.db2.gz DYNMAONUSUBCKQ-QGZVFWFLSA-N -1 1 316.357 1.519 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N[C@@H]3CCOC3)c2)o1 ZINC000823288177 705195382 /nfs/dbraw/zinc/19/53/82/705195382.db2.gz FZVBUJXDGCMBMB-LLVKDONJSA-N -1 1 301.298 1.983 20 0 DDADMM O=C([O-])C[C@]1(NC(=O)c2cc(F)cc3nc[nH]c32)CCCOC1 ZINC000823349713 705216049 /nfs/dbraw/zinc/21/60/49/705216049.db2.gz RKKOJGHAQSLBHY-OAHLLOKOSA-N -1 1 321.308 1.456 20 0 DDADMM O=C([N-]CC1CN(C(=O)N[C@H]2[C@@H]3CCC[C@@H]32)C1)C(F)(F)F ZINC000875543156 705424277 /nfs/dbraw/zinc/42/42/77/705424277.db2.gz JAEVOPSKDCWZMV-MYJAWHEDSA-N -1 1 305.300 1.105 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CN(C)CCc1ccccc1 ZINC000824355477 705434987 /nfs/dbraw/zinc/43/49/87/705434987.db2.gz RQOJUVNQDVVFJN-UHFFFAOYSA-N -1 1 322.405 1.550 20 0 DDADMM CSc1nc(CNC(=O)c2cnn(C3CCC3)c2)cc(=O)[n-]1 ZINC000824603454 705491741 /nfs/dbraw/zinc/49/17/41/705491741.db2.gz VNDGUYLHMVWKAY-UHFFFAOYSA-N -1 1 319.390 1.756 20 0 DDADMM CCn1ccc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c1C ZINC000875734308 705492851 /nfs/dbraw/zinc/49/28/51/705492851.db2.gz FMEAQGYNHYQOMP-UHFFFAOYSA-N -1 1 300.322 1.108 20 0 DDADMM CC[C@H]1CCCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825191618 705621177 /nfs/dbraw/zinc/62/11/77/705621177.db2.gz DGMPBWNMYGEAPO-JTQLQIEISA-N -1 1 304.354 1.354 20 0 DDADMM CC[C@H]1CCCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825191618 705621179 /nfs/dbraw/zinc/62/11/79/705621179.db2.gz DGMPBWNMYGEAPO-JTQLQIEISA-N -1 1 304.354 1.354 20 0 DDADMM CC[C@H]1CCC[C@H](NC(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825191588 705621348 /nfs/dbraw/zinc/62/13/48/705621348.db2.gz CTWNBPGIKWJIOC-QWRGUYRKSA-N -1 1 318.381 1.791 20 0 DDADMM CC[C@H]1CCC[C@H](NC(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825191588 705621351 /nfs/dbraw/zinc/62/13/51/705621351.db2.gz CTWNBPGIKWJIOC-QWRGUYRKSA-N -1 1 318.381 1.791 20 0 DDADMM C[C@H]1c2nncn2CCN1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876395331 705707545 /nfs/dbraw/zinc/70/75/45/705707545.db2.gz DYOVNKKEUQAFMM-VIFPVBQESA-N -1 1 321.768 1.924 20 0 DDADMM O=C([O-])C1=CC[C@@H](Nc2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000863478512 705937168 /nfs/dbraw/zinc/93/71/68/705937168.db2.gz LEKDYUXCZSVQDE-ZCFIWIBFSA-N -1 1 313.239 1.957 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]C[C@@H](OC)C(F)(F)F)C(C)C ZINC000827155592 705941554 /nfs/dbraw/zinc/94/15/54/705941554.db2.gz XLKZOPNLGGGGGT-DTWKUNHWSA-N -1 1 307.334 1.154 20 0 DDADMM C[C@@H]1C[C@@H]1C[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000863532957 705950275 /nfs/dbraw/zinc/95/02/75/705950275.db2.gz OADUHFYBVOSPRE-KYXWUPHJSA-N -1 1 308.300 1.239 20 0 DDADMM C[C@@]12COC[C@]1(C)CN(C(=O)c1cnc(C3CC3)[n-]c1=O)C2 ZINC000864060057 706055621 /nfs/dbraw/zinc/05/56/21/706055621.db2.gz WSJBZUFVYVLCKK-IYBDPMFKSA-N -1 1 303.362 1.558 20 0 DDADMM COC[C@H](C)[N-]S(=O)(=O)N=[S@](C)(=O)C1CCCCC1 ZINC000881735748 707343569 /nfs/dbraw/zinc/34/35/69/707343569.db2.gz QHDAQCAAPCYQJB-XTZNXHDOSA-N -1 1 312.457 1.286 20 0 DDADMM COc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(Cl)n1 ZINC000828417223 706175906 /nfs/dbraw/zinc/17/59/06/706175906.db2.gz UHBLFTXHCCGTHT-UHFFFAOYSA-N -1 1 322.756 1.277 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CC[C@H]1CCCO1 ZINC000872433624 707408485 /nfs/dbraw/zinc/40/84/85/707408485.db2.gz XFNHTCSZNCMSFL-MLCYQJTMSA-N -1 1 312.457 1.286 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@]2(C)CCCCO2)C1 ZINC000830795080 706581031 /nfs/dbraw/zinc/58/10/31/706581031.db2.gz GYROJQRMYHEPRU-OLZOCXBDSA-N -1 1 322.327 1.615 20 0 DDADMM CC[C@](C)(CC(=O)OC)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000866914254 706843411 /nfs/dbraw/zinc/84/34/11/706843411.db2.gz POYBWJVLYAKCLJ-LLVKDONJSA-N -1 1 306.409 1.462 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CC1(CCOC)CCC1 ZINC000866960225 706856738 /nfs/dbraw/zinc/85/67/38/706856738.db2.gz KSWAMGPTNXLKAN-GOSISDBHSA-N -1 1 312.457 1.145 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@]2(O)CCc3ccccc32)sn1 ZINC000867004448 706869875 /nfs/dbraw/zinc/86/98/75/706869875.db2.gz RHEGGTMAEDWEQF-CQSZACIVSA-N -1 1 324.427 1.564 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2ccc(F)nc2F)CCO[C@H]1C1CC1 ZINC000867096979 706894988 /nfs/dbraw/zinc/89/49/88/706894988.db2.gz STJMQSQOCDYNRJ-AAEUAGOBSA-N -1 1 318.345 1.596 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)c1ncnn1C ZINC000867174986 706915802 /nfs/dbraw/zinc/91/58/02/706915802.db2.gz WFMYYNCWJIQEFX-ZCFIWIBFSA-N -1 1 319.749 1.042 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCSC[C@@H]2CC(=O)[O-])C1 ZINC000909011577 712915993 /nfs/dbraw/zinc/91/59/93/712915993.db2.gz SIZPNYBNJRTTFA-USWWRNFRSA-N -1 1 323.418 1.494 20 0 DDADMM CCCO[N-]C(=O)[C@@H]1CO[C@H](CCC(=O)OC(C)(C)C)C1 ZINC000880418717 706968302 /nfs/dbraw/zinc/96/83/02/706968302.db2.gz DBTCLADDORFRDI-NWDGAFQWSA-N -1 1 301.383 1.971 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)n[n-]1 ZINC000880666366 707052188 /nfs/dbraw/zinc/05/21/88/707052188.db2.gz NLSUOLFIMGKUED-RCZSTQMZSA-N -1 1 306.366 1.451 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)[n-]1 ZINC000880666366 707052192 /nfs/dbraw/zinc/05/21/92/707052192.db2.gz NLSUOLFIMGKUED-RCZSTQMZSA-N -1 1 306.366 1.451 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)n1 ZINC000880666366 707052194 /nfs/dbraw/zinc/05/21/94/707052194.db2.gz NLSUOLFIMGKUED-RCZSTQMZSA-N -1 1 306.366 1.451 20 0 DDADMM CC(C)([N-]S(=O)(=O)N=S1(=O)CCCC1)[C@@H]1CCCCO1 ZINC000867684603 707074532 /nfs/dbraw/zinc/07/45/32/707074532.db2.gz WGUFHQADIBEGJO-NSHDSACASA-N -1 1 324.468 1.430 20 0 DDADMM C[C@@H](NC(=O)NCc1ccc([O-])c(Cl)c1)c1nncn1C ZINC000871626251 707143794 /nfs/dbraw/zinc/14/37/94/707143794.db2.gz CESAQBCSLMERQS-MRVPVSSYSA-N -1 1 309.757 1.735 20 0 DDADMM CCN1CC[C@@H](O[N-]C(=O)CCc2ccc(OC)cc2)C1=O ZINC000871798660 707208413 /nfs/dbraw/zinc/20/84/13/707208413.db2.gz OZBXUBLYHYYEGU-CQSZACIVSA-N -1 1 306.362 1.296 20 0 DDADMM CCC[C@H](C)CCNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000881530264 707286597 /nfs/dbraw/zinc/28/65/97/707286597.db2.gz QKABZHALLGQWIV-JTQLQIEISA-N -1 1 302.396 1.744 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(CCN1CCOCC1)CC2 ZINC000872166748 707311836 /nfs/dbraw/zinc/31/18/36/707311836.db2.gz PSOUWZQAWREPGW-UHFFFAOYSA-N -1 1 320.389 1.084 20 0 DDADMM C[C@H]1C[C@H](C)CN(S(=O)(=O)[N-]c2ccn(CCF)n2)C1 ZINC000872406837 707400194 /nfs/dbraw/zinc/40/01/94/707400194.db2.gz VQSOXDFUVRKSMV-QWRGUYRKSA-N -1 1 304.391 1.487 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@H]2CC23CCC3)c1C(F)(F)F ZINC000872649863 707532363 /nfs/dbraw/zinc/53/23/63/707532363.db2.gz NIIWWLZACOLXFP-QMMMGPOBSA-N -1 1 309.313 1.660 20 0 DDADMM CCOC1CC2(C[C@H]2[N-]S(=O)(=O)c2c[nH]nc2Cl)C1 ZINC000872656339 707537061 /nfs/dbraw/zinc/53/70/61/707537061.db2.gz LRDHPISQHKTXGB-PUDKOPFASA-N -1 1 305.787 1.299 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000836901887 707547216 /nfs/dbraw/zinc/54/72/16/707547216.db2.gz WDZWFAUGRSTXQK-TZMCWYRMSA-N -1 1 312.410 1.232 20 0 DDADMM CCO[C@@H]1CCC[C@H]1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000837137143 707583690 /nfs/dbraw/zinc/58/36/90/707583690.db2.gz ZVTIIBMGSDGCJH-GHMZBOCLSA-N -1 1 306.391 1.705 20 0 DDADMM COC[C@@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000837165906 707589230 /nfs/dbraw/zinc/58/92/30/707589230.db2.gz AWZWRLKKWAIKLS-LLVKDONJSA-N -1 1 320.418 1.907 20 0 DDADMM CC(=O)N1CC[C@@H](C[N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000882367849 707607166 /nfs/dbraw/zinc/60/71/66/707607166.db2.gz XIMJVDMJKSIYMC-LBPRGKRZSA-N -1 1 322.386 1.580 20 0 DDADMM Cc1cncnc1N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000882384085 707616473 /nfs/dbraw/zinc/61/64/73/707616473.db2.gz ZALBVUYNXSCQRA-JTQLQIEISA-N -1 1 302.300 1.680 20 0 DDADMM O=S(=O)([N-]CC1CSC1)c1ccc(Br)o1 ZINC000882405585 707625205 /nfs/dbraw/zinc/62/52/05/707625205.db2.gz VOIMJWZJVFBVIE-UHFFFAOYSA-N -1 1 312.210 1.683 20 0 DDADMM COC(=O)C1(CNC(=O)NCc2ccc([O-])c(Cl)c2)CC1 ZINC000872976816 707679600 /nfs/dbraw/zinc/67/96/00/707679600.db2.gz XZROUGXOLPIKAW-UHFFFAOYSA-N -1 1 312.753 1.798 20 0 DDADMM O=C(NC[C@@H](O)C(F)(F)C(F)(F)F)c1ccc([O-])c(F)c1 ZINC000882560116 707697041 /nfs/dbraw/zinc/69/70/41/707697041.db2.gz IRLWAJLKYWXXOB-MRVPVSSYSA-N -1 1 317.185 1.820 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)CC[C@H]2CCCCO2)[n-]1 ZINC000837740794 707734332 /nfs/dbraw/zinc/73/43/32/707734332.db2.gz QSLMELVALFTVJZ-GFCCVEGCSA-N -1 1 323.345 1.877 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@@]3(C(=O)[O-])CCSC3)c2C1 ZINC000909354727 712998825 /nfs/dbraw/zinc/99/88/25/712998825.db2.gz ZQNCGBCYWIVSGC-RTHLEPHNSA-N -1 1 309.391 1.225 20 0 DDADMM Cc1cccc2c1CCN2C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909407601 713010220 /nfs/dbraw/zinc/01/02/20/713010220.db2.gz UZGHHHWBKDFHNT-CYBMUJFWSA-N -1 1 302.374 1.681 20 0 DDADMM C[C@H]1CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)c2ccccc2O1 ZINC000909408394 713010487 /nfs/dbraw/zinc/01/04/87/713010487.db2.gz GTTXBJAGQLTEFZ-STQMWFEESA-N -1 1 318.373 1.597 20 0 DDADMM COc1cnc([C@H]2CCCN2c2cc(C)nc(OC)n2)[n-]c1=O ZINC000897224231 708261120 /nfs/dbraw/zinc/26/11/20/708261120.db2.gz KWMNUVSKQXMVFI-SNVBAGLBSA-N -1 1 317.349 1.639 20 0 DDADMM CN(C(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccc2c(c1)OCO2 ZINC000909414073 713011905 /nfs/dbraw/zinc/01/19/05/713011905.db2.gz VYSBKHJOAVWQDW-NSHDSACASA-N -1 1 320.345 1.175 20 0 DDADMM COC[C@H](CNC(=O)c1ccc2ccc(O)cc2c1[O-])OC ZINC000897352662 708302418 /nfs/dbraw/zinc/30/24/18/708302418.db2.gz QTIHGANCQZWSCC-LBPRGKRZSA-N -1 1 305.330 1.642 20 0 DDADMM CO[C@]1(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)CCSC1 ZINC000897640110 708403288 /nfs/dbraw/zinc/40/32/88/708403288.db2.gz IYAOVUPOVMOITB-NOZJJQNGSA-N -1 1 313.379 1.003 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC000885014836 708442982 /nfs/dbraw/zinc/44/29/82/708442982.db2.gz QDJVMSPQGZHAMQ-VHSXEESVSA-N -1 1 317.436 1.068 20 0 DDADMM COCC[C@@H]([N-]S(=O)(=O)c1ccns1)c1ccco1 ZINC000885093792 708469472 /nfs/dbraw/zinc/46/94/72/708469472.db2.gz GGPINTJGZFRHAW-SECBINFHSA-N -1 1 302.377 1.792 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1ccns1)NC(=O)OC(C)(C)C ZINC000885154492 708480658 /nfs/dbraw/zinc/48/06/58/708480658.db2.gz XRRBUFDKXYDGOF-QMMMGPOBSA-N -1 1 321.424 1.335 20 0 DDADMM O=C(NCCO[C@@H]1CCOC1)c1ccc2ccc(O)cc2c1[O-] ZINC000885710706 708604076 /nfs/dbraw/zinc/60/40/76/708604076.db2.gz SIKXDVLVEQIJLP-CYBMUJFWSA-N -1 1 317.341 1.786 20 0 DDADMM CN(C)[C@H](CC[N-]S(=O)(=O)c1ccco1)C(F)(F)F ZINC000921633327 713826962 /nfs/dbraw/zinc/82/69/62/713826962.db2.gz KLTPDULMDGEUKU-MRVPVSSYSA-N -1 1 300.302 1.441 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1C[C@H]1c1c[nH]cn1 ZINC000886270257 708733809 /nfs/dbraw/zinc/73/38/09/708733809.db2.gz XUOMBDFYNFDSCS-GHMZBOCLSA-N -1 1 307.300 1.856 20 0 DDADMM CC1(C)[C@H](O)C[C@@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782747 713054970 /nfs/dbraw/zinc/05/49/70/713054970.db2.gz JIEPIVZXWHPDIC-QWHCGFSZSA-N -1 1 314.332 1.672 20 0 DDADMM CN(C)C(=O)OCCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898719730 708839920 /nfs/dbraw/zinc/83/99/20/708839920.db2.gz FFKXKHZSMHOWND-UHFFFAOYSA-N -1 1 318.329 1.679 20 0 DDADMM C[C@H](C(=O)[O-])N(C(=O)[C@H](N)Cc1cc2ccccc2o1)C1CC1 ZINC000887398133 709036450 /nfs/dbraw/zinc/03/64/50/709036450.db2.gz PYZMVXCEJPPGKT-QMTHXVAHSA-N -1 1 316.357 1.767 20 0 DDADMM COC(=O)[C@@H]1CCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000888074142 709208333 /nfs/dbraw/zinc/20/83/33/709208333.db2.gz JPGOQSGUBHXFRJ-JTQLQIEISA-N -1 1 304.277 1.467 20 0 DDADMM COC(=O)[C@H]1CCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000888074144 709208416 /nfs/dbraw/zinc/20/84/16/709208416.db2.gz JPGOQSGUBHXFRJ-SNVBAGLBSA-N -1 1 304.277 1.467 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])C[C@H]1C(=O)NCc1cc(N(C)C)ccn1 ZINC000899836650 709211208 /nfs/dbraw/zinc/21/12/08/709211208.db2.gz XNHJVWKMYWJICR-BBRMVZONSA-N -1 1 305.378 1.511 20 0 DDADMM Cn1c(CNC(=O)c2cnc(C3CC3)[n-]c2=O)nc2ccccc21 ZINC000899988518 709259771 /nfs/dbraw/zinc/25/97/71/709259771.db2.gz PHOBOHLRFGISCY-UHFFFAOYSA-N -1 1 323.356 1.876 20 0 DDADMM CC(C)n1cc(CN[C@H]2CCCn3nc(C(=O)[O-])cc32)cn1 ZINC000900070891 709280970 /nfs/dbraw/zinc/28/09/70/709280970.db2.gz OWZIXVQZSOEYIX-LBPRGKRZSA-N -1 1 303.366 1.983 20 0 DDADMM COCCn1cc(CN[C@@H]2CCCn3nc(C(=O)[O-])cc32)cn1 ZINC000900073924 709281894 /nfs/dbraw/zinc/28/18/94/709281894.db2.gz OAEMUGHKOQGGJK-GFCCVEGCSA-N -1 1 319.365 1.049 20 0 DDADMM COCCOCCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000914205514 713358996 /nfs/dbraw/zinc/35/89/96/713358996.db2.gz SCVJDMRBAYSIAY-UHFFFAOYSA-N -1 1 318.320 1.175 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](C2OCCO2)C1 ZINC000927995667 713098770 /nfs/dbraw/zinc/09/87/70/713098770.db2.gz CPQHSIRPJPSPFZ-LLVKDONJSA-N -1 1 321.377 1.449 20 0 DDADMM CCOc1cc(C(=O)N[C@@]2(C)CCNC2=O)cc(Cl)c1[O-] ZINC000889047454 709441000 /nfs/dbraw/zinc/44/10/00/709441000.db2.gz XEEWLFZKKPNWCS-AWEZNQCLSA-N -1 1 312.753 1.453 20 0 DDADMM C[C@]1([C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)COC(=O)N1 ZINC000928024432 713105882 /nfs/dbraw/zinc/10/58/82/713105882.db2.gz SJSGQUVWCVASLW-MEBBXXQBSA-N -1 1 305.334 1.138 20 0 DDADMM Cc1noc(C2([N-]C(=O)C(F)(F)c3ncccc3C)CC2)n1 ZINC000889245518 709467303 /nfs/dbraw/zinc/46/73/03/709467303.db2.gz ZYVCZTZENIJBSB-UHFFFAOYSA-N -1 1 308.288 1.979 20 0 DDADMM O=C([O-])CC[C@@H]1CCCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000909483383 709483552 /nfs/dbraw/zinc/48/35/52/709483552.db2.gz KDVCJHVJZODMGS-JTQLQIEISA-N -1 1 302.334 1.675 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(C(F)(F)F)c(F)c1 ZINC000912837084 713103585 /nfs/dbraw/zinc/10/35/85/713103585.db2.gz NOSRTBWCHVVMLS-UHFFFAOYSA-N -1 1 303.219 1.929 20 0 DDADMM CCN(CC)C(=O)CCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900209707 709487690 /nfs/dbraw/zinc/48/76/90/709487690.db2.gz UTFNTKIEDNJNER-UHFFFAOYSA-N -1 1 320.393 1.438 20 0 DDADMM O=C(C[C@@H](O)C(Cl)(Cl)Cl)[N-]OCc1ccccn1 ZINC000909518415 709498742 /nfs/dbraw/zinc/49/87/42/709498742.db2.gz ZDBLFDFZXSDRCN-MRVPVSSYSA-N -1 1 313.568 1.751 20 0 DDADMM CSCC[C@H](NC(=O)CCc1ccccn1)c1nn[n-]n1 ZINC000912859992 713109441 /nfs/dbraw/zinc/10/94/41/713109441.db2.gz GTENQRGTGJDMJL-NSHDSACASA-N -1 1 306.395 1.138 20 0 DDADMM CSCC[C@H](NC(=O)c1ccoc1C1CC1)c1nn[n-]n1 ZINC000912862629 713110827 /nfs/dbraw/zinc/11/08/27/713110827.db2.gz SGBCRYWAVYIYJR-JTQLQIEISA-N -1 1 307.379 1.894 20 0 DDADMM CCN(CC)[C@H](C(=O)N[C@H](COC)C(=O)[O-])c1ccccc1 ZINC000909660705 709563689 /nfs/dbraw/zinc/56/36/89/709563689.db2.gz BYXAEHYQONRJRL-KGLIPLIRSA-N -1 1 308.378 1.285 20 0 DDADMM Cc1ccc([C@@H](NC(=O)CCc2cnc[nH]2)C(=O)[O-])cc1C ZINC000909692473 709580400 /nfs/dbraw/zinc/58/04/00/709580400.db2.gz CKYZZMUBSIPHRQ-OAHLLOKOSA-N -1 1 301.346 1.901 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC000909715773 709593998 /nfs/dbraw/zinc/59/39/98/709593998.db2.gz SLBLGPXBBATNNM-ZDUSSCGKSA-N -1 1 317.292 1.301 20 0 DDADMM CCc1ccc([C@H]2CNCCN2C(=O)[C@@H]2CC[C@@H]2C(=O)[O-])cc1 ZINC000900457762 709604166 /nfs/dbraw/zinc/60/41/66/709604166.db2.gz MLIPGPOVSAXFPD-OWCLPIDISA-N -1 1 316.401 1.833 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@H](C(=O)[O-])[C@@H]1C ZINC000909806201 709630634 /nfs/dbraw/zinc/63/06/34/709630634.db2.gz LYIBEBXPCLSJHV-BQBZGAKWSA-N -1 1 305.256 1.672 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ncccc2F)[n-]c1=O ZINC000889785460 709635006 /nfs/dbraw/zinc/63/50/06/709635006.db2.gz HAFMCTYXCBRPML-SNVBAGLBSA-N -1 1 318.308 1.702 20 0 DDADMM Cc1nn(C)cc1[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900542455 709644579 /nfs/dbraw/zinc/64/45/79/709644579.db2.gz VHWKZCSCDXDWJU-QMMMGPOBSA-N -1 1 301.350 1.593 20 0 DDADMM CC[C@](CNC(=O)[C@@H]1CCCN1C)(C(=O)[O-])c1ccccc1 ZINC000909844263 709648614 /nfs/dbraw/zinc/64/86/14/709648614.db2.gz ZWZAQQKVXPKJBR-WMLDXEAASA-N -1 1 304.390 1.629 20 0 DDADMM C[C@]1(C(=O)[O-])CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000909844775 709649128 /nfs/dbraw/zinc/64/91/28/709649128.db2.gz XPMBNHSTFHEJDT-INIZCTEOSA-N -1 1 314.345 1.799 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)c2cc(C(F)F)[nH]n2)CC12CCC2 ZINC000909939129 709696937 /nfs/dbraw/zinc/69/69/37/709696937.db2.gz XNSPNLYNYQJBNR-CYBMUJFWSA-N -1 1 317.267 1.766 20 0 DDADMM COc1cccc([C@H](NC(=O)CN2CCC(C)CC2)C(=O)[O-])c1 ZINC000909945976 709701454 /nfs/dbraw/zinc/70/14/54/709701454.db2.gz RNEVBVVXJZDCBR-INIZCTEOSA-N -1 1 320.389 1.669 20 0 DDADMM C[C@@H](C(=O)NC[C@H]1CCCc2ccc(C(=O)[O-])cc21)N(C)C ZINC000909950512 709704962 /nfs/dbraw/zinc/70/49/62/709704962.db2.gz HBTYPTYUQHBHLX-SMDDNHRTSA-N -1 1 304.390 1.871 20 0 DDADMM C[C@H]1CC[C@@](NC(=O)CN(C)[C@@H]2CCSC2)(C(=O)[O-])CC1 ZINC000909953876 709706667 /nfs/dbraw/zinc/70/66/67/709706667.db2.gz SOUANSNJTKDZQX-LALPHHSUSA-N -1 1 314.451 1.573 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)[C@H](C(=O)[O-])C1 ZINC000909983284 709718460 /nfs/dbraw/zinc/71/84/60/709718460.db2.gz TWDIOFMWLPWKIA-SVRRBLITSA-N -1 1 321.255 1.133 20 0 DDADMM CC1(C)[C@@H](CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)C1(F)F ZINC000909986275 709720915 /nfs/dbraw/zinc/72/09/15/709720915.db2.gz FOGXRTFJTVDZMD-VHSXEESVSA-N -1 1 304.337 1.191 20 0 DDADMM CN(CC(=O)N[C@@H]1CCC[C@@]1(C)C(=O)[O-])[C@H]1CCSC1 ZINC000909994026 709726840 /nfs/dbraw/zinc/72/68/40/709726840.db2.gz TVGJZTZGIFTNTD-MISXGVKJSA-N -1 1 300.424 1.183 20 0 DDADMM CC(C)OC(=O)[C@@H]1CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900766858 709749219 /nfs/dbraw/zinc/74/92/19/709749219.db2.gz WZNDOANQLFIFDH-LBPRGKRZSA-N -1 1 319.361 1.616 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000910073218 709763743 /nfs/dbraw/zinc/76/37/43/709763743.db2.gz YYQDYDMKBWQNMH-SSDOTTSWSA-N -1 1 316.390 1.849 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@]1(Cc1ccccc1)C(=O)[O-])N(C)C ZINC000910098904 709771966 /nfs/dbraw/zinc/77/19/66/709771966.db2.gz BMUYJAWYXUMVKP-SUMWQHHRSA-N -1 1 304.390 1.625 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](O)[C@H](F)C3)cnc2n1 ZINC000900839592 709785509 /nfs/dbraw/zinc/78/55/09/709785509.db2.gz VHVDPVIXBBMOHM-NEPJUHHUSA-N -1 1 305.309 1.189 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](O)[C@H](F)C3)c[n-]c2n1 ZINC000900839592 709785510 /nfs/dbraw/zinc/78/55/10/709785510.db2.gz VHVDPVIXBBMOHM-NEPJUHHUSA-N -1 1 305.309 1.189 20 0 DDADMM O=C([O-])[C@]12CN(C(=O)c3c[nH]cc4ncnc3-4)C[C@H]1CCCC2 ZINC000910165352 709796278 /nfs/dbraw/zinc/79/62/78/709796278.db2.gz GNJYCNZXSGMYSQ-QLJPJBMISA-N -1 1 314.345 1.675 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cc3cccnc3[nH]2)CC1 ZINC000910176448 709798707 /nfs/dbraw/zinc/79/87/07/709798707.db2.gz BIZPREVSXIYTPF-UHFFFAOYSA-N -1 1 316.361 1.184 20 0 DDADMM Cc1cccc2c1CC[C@@H]2NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000910241409 709834611 /nfs/dbraw/zinc/83/46/11/709834611.db2.gz ASBCHPLMOACZIR-CJNGLKHVSA-N -1 1 316.401 1.895 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cc(C(F)(F)F)[nH]n2)[C@@](C)(C(=O)[O-])C1 ZINC000910263252 709847670 /nfs/dbraw/zinc/84/76/70/709847670.db2.gz KJMYGNHLGUWGTK-UPONEAKYSA-N -1 1 321.255 1.133 20 0 DDADMM C[C@@](Cc1ccc(Cl)cc1)(NC(=O)Cc1cnc[nH]1)C(=O)[O-] ZINC000910312691 709884148 /nfs/dbraw/zinc/88/41/48/709884148.db2.gz QTXSHXJTSFLILT-HNNXBMFYSA-N -1 1 321.764 1.808 20 0 DDADMM CN(CCC1CCOCC1)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901026992 709890019 /nfs/dbraw/zinc/89/00/19/709890019.db2.gz ZGZWFWMPRMBDTL-UHFFFAOYSA-N -1 1 305.378 1.948 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC1([C@H]2CCCCO2)CCC1 ZINC000910363634 709909861 /nfs/dbraw/zinc/90/98/61/709909861.db2.gz GWEOQTIWRJEUKF-UONOGXRCSA-N -1 1 324.421 1.391 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N2CC[C@]3(C(=O)[O-])CCC[C@H]23)C1 ZINC000910397171 709923070 /nfs/dbraw/zinc/92/30/70/709923070.db2.gz YONHRVUPKAVUOS-YRZLHWLASA-N -1 1 317.389 1.931 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCC[C@H]2CCCC2(F)F)C1 ZINC000910408658 709926954 /nfs/dbraw/zinc/92/69/54/709926954.db2.gz SUKIQHWRPAGPPR-VXGBXAGGSA-N -1 1 318.364 1.725 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(C(=O)[C@@H]1CCc3nc[nH]c3C1)C2 ZINC000910420214 709932103 /nfs/dbraw/zinc/93/21/03/709932103.db2.gz WPFHBIVCDTUYON-GDLVEWKHSA-N -1 1 303.362 1.228 20 0 DDADMM CC[C@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C[C@@H]1O ZINC000901241739 709981134 /nfs/dbraw/zinc/98/11/34/709981134.db2.gz OWSGBZVBZFPJPG-ZANVPECISA-N -1 1 304.321 1.922 20 0 DDADMM CCC1(O)CCC(NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000901313381 710006205 /nfs/dbraw/zinc/00/62/05/710006205.db2.gz KYCMYDNWKUWAKL-UHFFFAOYSA-N -1 1 305.378 1.873 20 0 DDADMM CCc1ccc(CN2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)o1 ZINC000901525202 710065803 /nfs/dbraw/zinc/06/58/03/710065803.db2.gz OPGHOEULHAVQKY-LLVKDONJSA-N -1 1 304.350 1.969 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2cncc3ccccc32)C1 ZINC000910801052 710081215 /nfs/dbraw/zinc/08/12/15/710081215.db2.gz AZZPEWKFMWJMSE-ZDUSSCGKSA-N -1 1 313.357 1.970 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@H](Cc2nccs2)C1 ZINC000928268779 713160635 /nfs/dbraw/zinc/16/06/35/713160635.db2.gz FLUPTIAIMNEBDJ-SNVBAGLBSA-N -1 1 306.395 1.070 20 0 DDADMM CC[C@H](C)[C@@H](C[N@@H+](C)CC(=O)N1CCC[C@H](C(=O)[O-])C1)OC ZINC000901624581 710100186 /nfs/dbraw/zinc/10/01/86/710100186.db2.gz MGIKZXXSESUFOP-MELADBBJSA-N -1 1 314.426 1.303 20 0 DDADMM CC[C@H](C)[C@@H](CN(C)CC(=O)N1CCC[C@H](C(=O)[O-])C1)OC ZINC000901624581 710100189 /nfs/dbraw/zinc/10/01/89/710100189.db2.gz MGIKZXXSESUFOP-MELADBBJSA-N -1 1 314.426 1.303 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCC(=O)C(C)(C)C ZINC000901653797 710109603 /nfs/dbraw/zinc/10/96/03/710109603.db2.gz OIVYQDRDSLOPLL-UHFFFAOYSA-N -1 1 300.380 1.303 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCC(C)(C(=O)[O-])CC2)C1 ZINC000901704663 710126585 /nfs/dbraw/zinc/12/65/85/710126585.db2.gz NFEVXFOVBLCFBF-ZYHUDNBSSA-N -1 1 305.378 1.789 20 0 DDADMM CC(C)CN1CCN(C(=O)[C@@H]2CO[C@@H](CCC(=O)[O-])C2)CC1 ZINC000901739130 710135636 /nfs/dbraw/zinc/13/56/36/710135636.db2.gz OTXFJXMMGBICDD-KBPBESRZSA-N -1 1 312.410 1.057 20 0 DDADMM CC(C)CN1CCN(C(=O)C2(C(=O)[O-])C(C)(C)C2(C)C)CC1 ZINC000901739310 710136016 /nfs/dbraw/zinc/13/60/16/710136016.db2.gz RCHPLLYFIZYRJB-UHFFFAOYSA-N -1 1 310.438 1.924 20 0 DDADMM CC(C)Cc1ncc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])s1 ZINC000901746168 710139987 /nfs/dbraw/zinc/13/99/87/710139987.db2.gz WHIAZMCYDIUVEW-UWVGGRQHSA-N -1 1 313.423 1.409 20 0 DDADMM Cc1noc(C(C)C)c1[N-]S(=O)(=O)N1CCCOCC1 ZINC000901761026 710143189 /nfs/dbraw/zinc/14/31/89/710143189.db2.gz BGAJJZHHXHEUCK-UHFFFAOYSA-N -1 1 303.384 1.485 20 0 DDADMM CC1=C(C)C(=O)N(CCC(=O)Nc2cc(F)cc(F)c2[O-])C1=O ZINC000910993549 710145327 /nfs/dbraw/zinc/14/53/27/710145327.db2.gz QZOXEDMYYWQWNG-UHFFFAOYSA-N -1 1 324.283 1.704 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@H]1C[C@H](C(=O)[O-])c2ccccc21 ZINC000902016089 710202369 /nfs/dbraw/zinc/20/23/69/710202369.db2.gz KGYPMSJXKJGTIC-KKUMJFAQSA-N -1 1 302.374 1.900 20 0 DDADMM COCc1nc(N[C@@H]2CCCN(c3cnn(C)c3)C2)cc(=O)[n-]1 ZINC000892673616 710480147 /nfs/dbraw/zinc/48/01/47/710480147.db2.gz ICLWZQVOUZKMFY-LLVKDONJSA-N -1 1 318.381 1.143 20 0 DDADMM CN(C(=O)C1(CCOCc2ccccc2)CC1)c1nn[n-]n1 ZINC000892954410 710531691 /nfs/dbraw/zinc/53/16/91/710531691.db2.gz JNKXMVUAWVSGMQ-UHFFFAOYSA-N -1 1 301.350 1.550 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)[nH]1 ZINC000902059499 710598847 /nfs/dbraw/zinc/59/88/47/710598847.db2.gz DRDITSLYQMASIW-QLJPJBMISA-N -1 1 315.329 1.045 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCC[C@]1(C)C(=O)[O-] ZINC000902109232 710617966 /nfs/dbraw/zinc/61/79/66/710617966.db2.gz HWVYGFBIOSEISJ-QPUJVOFHSA-N -1 1 324.299 1.155 20 0 DDADMM CCO[C@H]1C[C@@](O)(CNc2cc(=O)[n-]c(COC)n2)C1(C)C ZINC000893436893 710651905 /nfs/dbraw/zinc/65/19/05/710651905.db2.gz MWIXJWDWKPSAIO-ZUZCIYMTSA-N -1 1 311.382 1.307 20 0 DDADMM Cc1ncc(C(=O)[O-])cc1C(=O)N1CCN(CC2CC2)CC1 ZINC000911221112 710693772 /nfs/dbraw/zinc/69/37/72/710693772.db2.gz MWCLISLPTLNOSA-UHFFFAOYSA-N -1 1 303.362 1.256 20 0 DDADMM Cc1ccc([C@@H]2CCN(Cc3cn(CC(=O)[O-])nn3)C2)cc1 ZINC000902324698 710717298 /nfs/dbraw/zinc/71/72/98/710717298.db2.gz HFRZITHTWIPKDU-CQSZACIVSA-N -1 1 300.362 1.661 20 0 DDADMM CN1CCCC[C@H]1C(=O)N(CCC(=O)[O-])Cc1cccnc1 ZINC000911274240 710721723 /nfs/dbraw/zinc/72/17/23/710721723.db2.gz VJIBMIWJCJVGQG-AWEZNQCLSA-N -1 1 305.378 1.369 20 0 DDADMM COCc1nc(N2C[C@]3(CCOC3)OC(C)(C)C2)cc(=O)[n-]1 ZINC000893633117 710756489 /nfs/dbraw/zinc/75/64/89/710756489.db2.gz KJKCJYKCWRUESI-HNNXBMFYSA-N -1 1 309.366 1.103 20 0 DDADMM CO[C@]1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCSC1 ZINC000928495764 713212623 /nfs/dbraw/zinc/21/26/23/713212623.db2.gz QSZATTXMWBDANO-ZDUSSCGKSA-N -1 1 324.431 1.270 20 0 DDADMM C[C@@]1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCCOC1 ZINC000913437549 713215634 /nfs/dbraw/zinc/21/56/34/713215634.db2.gz MSKRTFNDLSGSMG-IUODEOHRSA-N -1 1 301.350 1.222 20 0 DDADMM CCON(Cc1ccccc1)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911810124 711006756 /nfs/dbraw/zinc/00/67/56/711006756.db2.gz PYYMKEMLXVGIPB-HNNXBMFYSA-N -1 1 320.389 1.763 20 0 DDADMM CCOc1ccc(S(=O)(=O)[N-]c2nnc(C(F)F)[nH]2)cc1 ZINC000903150359 711048427 /nfs/dbraw/zinc/04/84/27/711048427.db2.gz RXEBNSVFXTZFSO-UHFFFAOYSA-N -1 1 318.305 1.942 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cncc2c1CCCC2 ZINC000903176433 711055512 /nfs/dbraw/zinc/05/55/12/711055512.db2.gz OZKDOGPSNORVTL-UHFFFAOYSA-N -1 1 303.409 1.345 20 0 DDADMM CC(C)n1nnc([N-]C(=O)c2scnc2C(F)(F)F)n1 ZINC000913486318 713235300 /nfs/dbraw/zinc/23/53/00/713235300.db2.gz VLYWEVLLCJVORT-UHFFFAOYSA-N -1 1 306.273 1.982 20 0 DDADMM CC(=O)c1cc(F)cc(C[N@@H+]2CC[C@H]3[C@@H](C2)[C@H]3C(=O)[O-])c1[O-] ZINC000903629527 711229890 /nfs/dbraw/zinc/22/98/90/711229890.db2.gz JTKRFURUZXIZQW-YUTCNCBUSA-N -1 1 307.321 1.886 20 0 DDADMM CC(=O)c1cc(F)cc(C[N@H+]2CC[C@H]3[C@@H](C2)[C@H]3C(=O)[O-])c1[O-] ZINC000903629527 711229892 /nfs/dbraw/zinc/22/98/92/711229892.db2.gz JTKRFURUZXIZQW-YUTCNCBUSA-N -1 1 307.321 1.886 20 0 DDADMM COc1ccc2nc(CN3C[C@@H](C)[C@H](CC(=O)[O-])C3)[nH]c2n1 ZINC000903629540 711229957 /nfs/dbraw/zinc/22/99/57/711229957.db2.gz KWJOZJKEUIDIHX-NXEZZACHSA-N -1 1 304.350 1.509 20 0 DDADMM O=C(C[C@H]1CCc2ccccc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495322 713237960 /nfs/dbraw/zinc/23/79/60/713237960.db2.gz VVPCBTRYOODAAL-TZMCWYRMSA-N -1 1 313.361 1.220 20 0 DDADMM Cc1cc(Cl)cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1 ZINC000913496237 713238418 /nfs/dbraw/zinc/23/84/18/713238418.db2.gz WDLTZTAPMSWVPT-LLVKDONJSA-N -1 1 307.741 1.375 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)[C@@H](C)N(CCOC)CCC(=O)[O-] ZINC000903711573 711250292 /nfs/dbraw/zinc/25/02/92/711250292.db2.gz CLUAFGOUFZKODK-ZIAGYGMSSA-N -1 1 314.426 1.589 20 0 DDADMM CC(C)COC[C@H](O)CN1CCOc2c(cccc2C(=O)[O-])C1 ZINC000903922466 711333514 /nfs/dbraw/zinc/33/35/14/711333514.db2.gz ZWKMDAKEDLRMJJ-CQSZACIVSA-N -1 1 323.389 1.613 20 0 DDADMM O=C([O-])c1ccc2c(c1)OCCN(CCOC1CCOCC1)C2 ZINC000903958512 711348177 /nfs/dbraw/zinc/34/81/77/711348177.db2.gz DHRZAUCGRAXLPH-UHFFFAOYSA-N -1 1 321.373 1.775 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(CC(F)(F)F)C1 ZINC000912488749 711350170 /nfs/dbraw/zinc/35/01/70/711350170.db2.gz KZLFVADGEDCCLU-JTQLQIEISA-N -1 1 317.311 1.886 20 0 DDADMM CCn1cc(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)c(C)n1 ZINC000895871855 711631254 /nfs/dbraw/zinc/63/12/54/711631254.db2.gz BZXPQGBKOGPLAC-CYBMUJFWSA-N -1 1 318.343 1.854 20 0 DDADMM CCOC(=O)CN(C)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895933226 711649827 /nfs/dbraw/zinc/64/98/27/711649827.db2.gz HXFLDKVXMHLSJM-UHFFFAOYSA-N -1 1 303.314 1.886 20 0 DDADMM COCc1nc(NCc2nc3c(s2)COCC3)cc(=O)[n-]1 ZINC000896538558 711743774 /nfs/dbraw/zinc/74/37/74/711743774.db2.gz RUPDQPIZIBHOKY-UHFFFAOYSA-N -1 1 308.363 1.470 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@H](O)[C@@H]2CCCC[C@H]21 ZINC000913726251 713289883 /nfs/dbraw/zinc/28/98/83/713289883.db2.gz KYULILKLBVXJDU-BNOWGMLFSA-N -1 1 317.389 1.825 20 0 DDADMM Cc1cc([N-]S(=O)(=O)N=S2(=O)CCCC2)cnc1Cl ZINC000905096111 711935138 /nfs/dbraw/zinc/93/51/38/711935138.db2.gz MMVYLYDEKHNJFZ-UHFFFAOYSA-N -1 1 323.827 1.962 20 0 DDADMM CN(CC(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccc1 ZINC000913743212 713292050 /nfs/dbraw/zinc/29/20/50/713292050.db2.gz KZGHKMPLUYGPOR-UHFFFAOYSA-N -1 1 300.366 1.042 20 0 DDADMM O=C(c1cncc2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913743135 713292074 /nfs/dbraw/zinc/29/20/74/713292074.db2.gz HJZWZABSBWPHCQ-UHFFFAOYSA-N -1 1 308.345 1.768 20 0 DDADMM Cc1cc(C)n([C@H](C)CC(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913743013 713292238 /nfs/dbraw/zinc/29/22/38/713292238.db2.gz ATCOSZGNSHBNDQ-GFCCVEGCSA-N -1 1 317.397 1.370 20 0 DDADMM COc1c(C)cc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1C ZINC000913744336 713293064 /nfs/dbraw/zinc/29/30/64/713293064.db2.gz KAIBFBOGGSMPKA-UHFFFAOYSA-N -1 1 315.377 1.845 20 0 DDADMM CC[C@@H](C)CC[C@@H](O)CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744717 713293381 /nfs/dbraw/zinc/29/33/81/713293381.db2.gz AVXJXOFAEIYVFK-DGCLKSJQSA-N -1 1 309.414 1.483 20 0 DDADMM O=C(/C=C/COc1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745240 713293684 /nfs/dbraw/zinc/29/36/84/713293684.db2.gz VPVZJDBMWZDBPS-QPJJXVBHSA-N -1 1 313.361 1.541 20 0 DDADMM CC1(C)CO[C@H](CCC(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC000913747116 713294617 /nfs/dbraw/zinc/29/46/17/713294617.db2.gz QUZBKSJCTTYPFP-GFCCVEGCSA-N -1 1 307.398 1.501 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1C[C@@H]2COC[C@@H]2C1 ZINC000913790335 713301061 /nfs/dbraw/zinc/30/10/61/713301061.db2.gz RCFWXLIVXLHXRA-AOOOYVTPSA-N -1 1 302.305 1.798 20 0 DDADMM O=C([O-])c1cc(CNC[C@H]2CCC[C@H](C(F)(F)F)O2)on1 ZINC000905731214 712133881 /nfs/dbraw/zinc/13/38/81/712133881.db2.gz SAXLRHQVYSQYMV-GMSGAONNSA-N -1 1 308.256 1.962 20 0 DDADMM O=C([O-])C(F)(F)CNS(=O)(=O)[C@H]1C[C@@H]1c1cccc(F)c1 ZINC000906000468 712216647 /nfs/dbraw/zinc/21/66/47/712216647.db2.gz ZHDUFVOZAGPYDB-ZJUUUORDSA-N -1 1 323.292 1.321 20 0 DDADMM Cc1ccncc1C=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477621 712582749 /nfs/dbraw/zinc/58/27/49/712582749.db2.gz GDIBGAIZSLHSOB-QAVQXKDTSA-N -1 1 316.390 1.233 20 0 DDADMM CCOC1CC(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)C1 ZINC000907480850 712583981 /nfs/dbraw/zinc/58/39/81/712583981.db2.gz WPEJBQLUIOABMC-VQXHTEKXSA-N -1 1 311.411 1.021 20 0 DDADMM CCc1cc(CNC(=O)[C@@]2(C(=O)[O-])C[C@H]2c2ccccc2)n[nH]1 ZINC000907566993 712596078 /nfs/dbraw/zinc/59/60/78/712596078.db2.gz BJCAPXBTPMHRAO-WMLDXEAASA-N -1 1 313.357 1.847 20 0 DDADMM O=S(=O)([N-]Cc1cnn(CCF)c1)c1ccc(F)nc1F ZINC000907654011 712609372 /nfs/dbraw/zinc/60/93/72/712609372.db2.gz ZEAWYUZCBHWADT-UHFFFAOYSA-N -1 1 320.296 1.004 20 0 DDADMM CCn1cccc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)c1=O ZINC000907834201 712632875 /nfs/dbraw/zinc/63/28/75/712632875.db2.gz RSFKAEDOSSCPNN-UHFFFAOYSA-N -1 1 314.345 1.171 20 0 DDADMM C[C@@H](Cc1cccnc1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000907977873 712659194 /nfs/dbraw/zinc/65/91/94/712659194.db2.gz VNOLCENGRHJTIG-VIFPVBQESA-N -1 1 314.798 1.378 20 0 DDADMM COCC[C@@H](CO)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907979784 712659390 /nfs/dbraw/zinc/65/93/90/712659390.db2.gz MSRVEMKSMLLAPA-VIFPVBQESA-N -1 1 308.309 1.207 20 0 DDADMM CCN1CC[C@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C1=O ZINC000908064273 712675105 /nfs/dbraw/zinc/67/51/05/712675105.db2.gz PDDMJYXAXMTBJC-NSHDSACASA-N -1 1 317.320 1.430 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(C(=O)c1cc(C(F)(F)F)[nH]n1)CC2 ZINC000908654186 712829998 /nfs/dbraw/zinc/82/99/98/712829998.db2.gz ZRRIUUATFMQWIT-ZETCQYMHSA-N -1 1 317.267 1.755 20 0 DDADMM C[C@@H](CCc1ccccc1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908693810 712836474 /nfs/dbraw/zinc/83/64/74/712836474.db2.gz JNGIQRVXSODCFL-GOEBONIOSA-N -1 1 318.417 1.921 20 0 DDADMM CN1CC[C@@H](C(=O)Nc2cccc([O-])c2Br)C1=O ZINC000908709455 712839047 /nfs/dbraw/zinc/83/90/47/712839047.db2.gz LBNXPJMAQBSZEY-ZETCQYMHSA-N -1 1 313.151 1.572 20 0 DDADMM CC(C)(C(N)=O)C(=O)Nc1cccc([O-])c1Br ZINC000908708062 712839112 /nfs/dbraw/zinc/83/91/12/712839112.db2.gz NXOFOEAYUIHBDM-UHFFFAOYSA-N -1 1 301.140 1.605 20 0 DDADMM NC(=O)[C@@H]1CC[C@H]1C(=O)Nc1cccc([O-])c1Br ZINC000908707998 712839123 /nfs/dbraw/zinc/83/91/23/712839123.db2.gz MLTXYJGJHZZAEY-RNFRBKRXSA-N -1 1 313.151 1.605 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@@H]1CCCc2occc21 ZINC000908728924 712842440 /nfs/dbraw/zinc/84/24/40/712842440.db2.gz FOCDNUOOUBBAGX-WCQYABFASA-N -1 1 306.362 1.570 20 0 DDADMM C[C@@H](CNC(=O)N[C@H](CF)C(=O)[O-])N1CCc2ccccc2C1 ZINC000908912434 712885010 /nfs/dbraw/zinc/88/50/10/712885010.db2.gz JPVFXTMVLTZKAM-SMDDNHRTSA-N -1 1 323.368 1.155 20 0 DDADMM COC(=O)c1c[n-]c(SCC[S@](=O)C(F)(F)F)n1 ZINC000917497438 713500921 /nfs/dbraw/zinc/50/09/21/713500921.db2.gz VSIQYSAPZOEYOX-SFHVURJKSA-N -1 1 302.299 1.557 20 0 DDADMM CCOC(=O)N1CCN(C[C@H](C)C(=O)c2ccc([O-])cc2)CC1 ZINC000929124629 713551405 /nfs/dbraw/zinc/55/14/05/713551405.db2.gz AEVAFJJHBVHMTN-ZDUSSCGKSA-N -1 1 320.389 1.985 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@]23C[C@@H]2CCCC3)c1 ZINC000920861628 713699021 /nfs/dbraw/zinc/69/90/21/713699021.db2.gz VVIIQLDFDIAPBB-SMDDNHRTSA-N -1 1 313.375 1.925 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-][C@H]1c2ccccc2OC[C@@H]1F ZINC000921317849 713731874 /nfs/dbraw/zinc/73/18/74/713731874.db2.gz JXKZBXYEVJIENO-GWCFXTLKSA-N -1 1 312.322 1.733 20 0 DDADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1C2 ZINC000921378421 713748969 /nfs/dbraw/zinc/74/89/69/713748969.db2.gz XTLSWJIICPGEOF-NOOOWODRSA-N -1 1 321.464 1.389 20 0 DDADMM CCOC(=O)[C@H](C[C@@H](C)CC)[N-]S(=O)(=O)c1ccns1 ZINC000921383359 713751417 /nfs/dbraw/zinc/75/14/17/713751417.db2.gz VFDREGYZWYONRY-UWVGGRQHSA-N -1 1 320.436 1.789 20 0 DDADMM COCCN1CCN(C[C@H](C)C(=O)c2ccc([O-])cc2)CC1=O ZINC000930162789 713770395 /nfs/dbraw/zinc/77/03/95/713770395.db2.gz NDVIVJBDJZMWQE-ZDUSSCGKSA-N -1 1 320.389 1.002 20 0 DDADMM Cn1nc(C2CC2)cc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000930469349 713842870 /nfs/dbraw/zinc/84/28/70/713842870.db2.gz GQJNAVQLUNLBBX-UHFFFAOYSA-N -1 1 300.244 1.687 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCS[C@@H](C)C2)o1 ZINC000921714955 713852082 /nfs/dbraw/zinc/85/20/82/713852082.db2.gz GLQAYBAOQHSDJU-IUCAKERBSA-N -1 1 319.404 1.629 20 0 DDADMM C[C@@H]1CC[C@H](C(N)=O)[C@@H](C)N1Cc1nc(=O)c2sccc2[n-]1 ZINC000930916183 713958082 /nfs/dbraw/zinc/95/80/82/713958082.db2.gz LPWNYRUABWVSOC-BBBLOLIVSA-N -1 1 320.418 1.871 20 0 DDADMM Cn1cncc1CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922516032 714075892 /nfs/dbraw/zinc/07/58/92/714075892.db2.gz PQDDVEUGGCWCMH-UHFFFAOYSA-N -1 1 310.304 1.446 20 0 DDADMM O=C([O-])c1ccccc1CCNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000923131055 714247490 /nfs/dbraw/zinc/24/74/90/714247490.db2.gz ANTCOMHHZSPOPA-GFCCVEGCSA-N -1 1 313.357 1.572 20 0 DDADMM O=C(NC[C@@H]1COCO1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932054698 714247945 /nfs/dbraw/zinc/24/79/45/714247945.db2.gz QQYVVEXGPVFENQ-GFCCVEGCSA-N -1 1 304.306 1.072 20 0 DDADMM CO[C@@H]1COC[C@H]1NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932056280 714248114 /nfs/dbraw/zinc/24/81/14/714248114.db2.gz FUFCLZOWYJLIPB-CHWSQXEVSA-N -1 1 318.333 1.113 20 0 DDADMM CN(C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1(CO)CCC1 ZINC000932058579 714248838 /nfs/dbraw/zinc/24/88/38/714248838.db2.gz AGNXKHDXFQERIT-UHFFFAOYSA-N -1 1 316.361 1.957 20 0 DDADMM C[C@@H]1COC[C@H]1NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932063028 714250082 /nfs/dbraw/zinc/25/00/82/714250082.db2.gz QERVSAJDQCATAH-ZWNOBZJWSA-N -1 1 302.334 1.734 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CC1(C)COC1 ZINC000932797417 714415872 /nfs/dbraw/zinc/41/58/72/714415872.db2.gz KKUDWEFZMAZZPZ-UHFFFAOYSA-N -1 1 304.350 1.095 20 0 DDADMM O=C(N[C@@H](CO)C[C@@H]1CCCO1)c1ncc2ccccc2c1[O-] ZINC000923739745 714461638 /nfs/dbraw/zinc/46/16/38/714461638.db2.gz LZLJLSIWSQXBKZ-OLZOCXBDSA-N -1 1 316.357 1.600 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2nnc(C(C)C)[nH]2)[n-]c1=O ZINC000934277427 714785015 /nfs/dbraw/zinc/78/50/15/714785015.db2.gz AFFKZKULJFKUHT-SNVBAGLBSA-N -1 1 318.381 1.769 20 0 DDADMM O=C([O-])[C@H]1Cc2nc[nH]c2CN1C(=O)OCC1CCCCC1 ZINC000926473861 715073802 /nfs/dbraw/zinc/07/38/02/715073802.db2.gz LXEVJXQPCGJKPI-CYBMUJFWSA-N -1 1 307.350 1.938 20 0 DDADMM CC(C)[C@H]1C[C@@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCO1 ZINC000935828884 715131337 /nfs/dbraw/zinc/13/13/37/715131337.db2.gz ANPWUSITHOTONK-QWHCGFSZSA-N -1 1 323.393 1.932 20 0 DDADMM CS[C@H](C)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000936722856 715235778 /nfs/dbraw/zinc/23/57/78/715235778.db2.gz GWOUKEHTROSYAR-GHMZBOCLSA-N -1 1 323.418 1.212 20 0 DDADMM CN(C(=O)C(C)(F)F)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937210282 715292751 /nfs/dbraw/zinc/29/27/51/715292751.db2.gz AZFVRVLJVRTSBE-SECBINFHSA-N -1 1 313.304 1.115 20 0 DDADMM CC(C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1)=C1CCC1 ZINC000954235851 715303472 /nfs/dbraw/zinc/30/34/72/715303472.db2.gz KANRODCANFABTA-UHFFFAOYSA-N -1 1 315.373 1.570 20 0 DDADMM O=C(C[C@H]1C=CCC1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937658046 715483526 /nfs/dbraw/zinc/48/35/26/715483526.db2.gz LJUPWPKVWFGZME-STQMWFEESA-N -1 1 315.373 1.474 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)/C=C/C2CC2)C1 ZINC000956848996 715635931 /nfs/dbraw/zinc/63/59/31/715635931.db2.gz IPPBFVFBZUACNA-LXXRFIIISA-N -1 1 315.373 1.474 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956851040 715637716 /nfs/dbraw/zinc/63/77/16/715637716.db2.gz AORWJJYZMUQJCR-GLKRBJQHSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(F)F ZINC000955457340 715788893 /nfs/dbraw/zinc/78/88/93/715788893.db2.gz FRTOBTMNFGDMAI-IUCAKERBSA-N -1 1 313.304 1.162 20 0 DDADMM CSCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC000955522421 715835608 /nfs/dbraw/zinc/83/56/08/715835608.db2.gz MIJDVZWYWLBWCR-MNOVXSKESA-N -1 1 323.418 1.260 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C(C)(C)F)CCN1C(=O)c1ncccc1[O-] ZINC000955652179 715893102 /nfs/dbraw/zinc/89/31/02/715893102.db2.gz PQGJEFUQODXXOD-GHMZBOCLSA-N -1 1 323.368 1.645 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000955949406 716031418 /nfs/dbraw/zinc/03/14/18/716031418.db2.gz MHKMZGMBKYKSNA-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)N1CCC(F)(F)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957764752 716033317 /nfs/dbraw/zinc/03/33/17/716033317.db2.gz RHFISTCROUFXIC-SNVBAGLBSA-N -1 1 313.304 1.021 20 0 DDADMM CC(F)(F)CC(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960119757 716422451 /nfs/dbraw/zinc/42/24/51/716422451.db2.gz PREQORQJDDXDMB-VIFPVBQESA-N -1 1 317.340 1.026 20 0 DDADMM CC1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CCCC1 ZINC000940135822 716644980 /nfs/dbraw/zinc/64/49/80/716644980.db2.gz IPOAORYGICGUEQ-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832724 716981747 /nfs/dbraw/zinc/98/17/47/716981747.db2.gz OFUODOPUIRLXCP-CHWSQXEVSA-N -1 1 317.389 1.508 20 0 DDADMM CCC(=O)N1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962947313 717636658 /nfs/dbraw/zinc/63/66/58/717636658.db2.gz SCVGQPDUUHOFQM-NWDGAFQWSA-N -1 1 303.362 1.403 20 0 DDADMM CC(C)C(=O)N[C@@H]1CC12CCN(C(=O)c1ncccc1[O-])CC2 ZINC000963231734 717695472 /nfs/dbraw/zinc/69/54/72/717695472.db2.gz DWTSWKRMUROKSO-CYBMUJFWSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000943608452 718159653 /nfs/dbraw/zinc/15/96/53/718159653.db2.gz GUSAJGHKCHNPMX-CYDGBPFRSA-N -1 1 317.389 1.600 20 0 DDADMM CC(C)(F)C(=O)N[C@H]1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946090557 718675699 /nfs/dbraw/zinc/67/56/99/718675699.db2.gz QCDSLUFXCIVOTM-QWRGUYRKSA-N -1 1 323.368 1.550 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C\C2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948121561 719364607 /nfs/dbraw/zinc/36/46/07/719364607.db2.gz AUYYSNXAGPIHFN-GGCNOCFKSA-N -1 1 315.373 1.330 20 0 DDADMM CC[C@@H](SC)C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949534802 720145187 /nfs/dbraw/zinc/14/51/87/720145187.db2.gz GMDPNLBGSGJFOD-ZYHUDNBSSA-N -1 1 323.418 1.260 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)[C@]12C[C@H]1CCC2)c1ncccc1[O-] ZINC000949537767 720146664 /nfs/dbraw/zinc/14/66/64/720146664.db2.gz BJTJYPAOWGXGCX-BWACUDIHSA-N -1 1 315.373 1.308 20 0 DDADMM Cc1cccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC000970620340 720850816 /nfs/dbraw/zinc/85/08/16/720850816.db2.gz GOJLUYGEZSUPAX-GFCCVEGCSA-N -1 1 315.377 1.131 20 0 DDADMM O=C([C@@H]1CC2CCC1CC2)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970816658 720946547 /nfs/dbraw/zinc/94/65/47/720946547.db2.gz UQMSSKGBTXQMNG-FIYWTHMPSA-N -1 1 319.409 1.027 20 0 DDADMM CC(C)CC(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC000953887945 721674602 /nfs/dbraw/zinc/67/46/02/721674602.db2.gz GAHIKXRJVKNPDL-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)C2(C)CC2)CC1 ZINC000953897586 721675990 /nfs/dbraw/zinc/67/59/90/721675990.db2.gz RJMAPOOVJRYDPG-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)CC(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001020971778 732820423 /nfs/dbraw/zinc/82/04/23/732820423.db2.gz YOJHEVDUDDPYLF-QWHCGFSZSA-N -1 1 317.389 1.506 20 0 DDADMM CC[C@]1([N-]S(=O)(=O)c2ccc(Cl)nc2F)CCOC1 ZINC000692872559 738709608 /nfs/dbraw/zinc/70/96/08/738709608.db2.gz KUYPRUSVBFDUFL-NSHDSACASA-N -1 1 308.762 1.722 20 0 DDADMM COc1cccc(C(C)=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000588451052 734508848 /nfs/dbraw/zinc/50/88/48/734508848.db2.gz IEEDVIMEQDGVMX-NXIHDVOMSA-N -1 1 315.377 1.874 20 0 DDADMM O=C(N[C@H](CO)c1ccc(F)cc1F)C(=O)c1ccc([O-])cc1 ZINC001167613534 734832813 /nfs/dbraw/zinc/83/28/13/734832813.db2.gz YJSOWSRNLKCLGX-CQSZACIVSA-N -1 1 321.279 1.703 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCCc2nc(C)no2)[n-]1 ZINC000585681441 738917101 /nfs/dbraw/zinc/91/71/01/738917101.db2.gz BINBPBYQZLEOAS-UHFFFAOYSA-N -1 1 307.310 1.239 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCCc2nc(C)no2)n1 ZINC000585681441 738917104 /nfs/dbraw/zinc/91/71/04/738917104.db2.gz BINBPBYQZLEOAS-UHFFFAOYSA-N -1 1 307.310 1.239 20 0 DDADMM CC(C)C1(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001025075433 736280969 /nfs/dbraw/zinc/28/09/69/736280969.db2.gz GMMUAEBYFFHBFO-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM O=C([O-])C1=CC[C@@H](NS(=O)(=O)c2c(F)cccc2F)C1 ZINC000694941956 736459064 /nfs/dbraw/zinc/45/90/64/736459064.db2.gz HRPFXFKNHXBYQZ-MRVPVSSYSA-N -1 1 303.286 1.417 20 0 DDADMM O=S(=O)([N-]CCN1CC=CCC1)c1ccc(Cl)nc1F ZINC000692805474 737946919 /nfs/dbraw/zinc/94/69/19/737946919.db2.gz DPGLNJPETXJRFM-UHFFFAOYSA-N -1 1 319.789 1.414 20 0 DDADMM Cc1cc(C[N@@H+]2CC[C@@H](CNC(=O)c3ncccc3O)C2)on1 ZINC001028500286 739592064 /nfs/dbraw/zinc/59/20/64/739592064.db2.gz NZWQRLSZPAFSFW-LBPRGKRZSA-N -1 1 316.361 1.336 20 0 DDADMM Cc1cnc(C)nc1N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001105376170 739805175 /nfs/dbraw/zinc/80/51/75/739805175.db2.gz IBZSKFBYLHDRPN-UHFFFAOYSA-N -1 1 315.377 1.402 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059519032 741091613 /nfs/dbraw/zinc/09/16/13/741091613.db2.gz YEONBFUVHPYRMW-XQQFMLRXSA-N -1 1 317.389 1.412 20 0 DDADMM CC1(C(=O)N2CC[C@H](CCNC(=O)c3ncccc3[O-])C2)CC1 ZINC001059766326 741719895 /nfs/dbraw/zinc/71/98/95/741719895.db2.gz NNOGITDURVKQOJ-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1(CCF)CC1 ZINC001076248234 742620268 /nfs/dbraw/zinc/62/02/68/742620268.db2.gz DWWCMHJXPXKYMP-NSHDSACASA-N -1 1 323.368 1.552 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1ccc[nH]1 ZINC001076244280 742623867 /nfs/dbraw/zinc/62/38/67/742623867.db2.gz OVKSNSAFRLJNBQ-JTQLQIEISA-N -1 1 302.334 1.054 20 0 DDADMM Cc1ccoc1C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244326 742624061 /nfs/dbraw/zinc/62/40/61/742624061.db2.gz PRLBPIWLJMMVLT-NSHDSACASA-N -1 1 317.345 1.627 20 0 DDADMM CC/C(C)=C/C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076245265 742624691 /nfs/dbraw/zinc/62/46/91/742624691.db2.gz VLXYOIUBMREGSB-IIANPFDCSA-N -1 1 305.378 1.768 20 0 DDADMM O=C(Cc1ccc(O)cc1F)NCCCC[P@](=O)([O-])O ZINC001180651454 742873974 /nfs/dbraw/zinc/87/39/74/742873974.db2.gz GFCKXTGANMZPTO-UHFFFAOYSA-N -1 1 305.242 1.148 20 0 DDADMM CC(C)(C(=O)NCc1nn[n-]n1)c1ccccc1C(F)(F)F ZINC001180886735 742955874 /nfs/dbraw/zinc/95/58/74/742955874.db2.gz QXABLWBNXSSKLQ-UHFFFAOYSA-N -1 1 313.283 1.813 20 0 DDADMM C[C@@H](CCNC(=O)C[C@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001076938767 743113372 /nfs/dbraw/zinc/11/33/72/743113372.db2.gz LQNAZZRUCURZOV-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C3CC(O)(c4ccccc4)C3)c1-2 ZINC001181607962 743270350 /nfs/dbraw/zinc/27/03/50/743270350.db2.gz PNPZGVSFJSWKAL-UHFFFAOYSA-N -1 1 323.356 1.271 20 0 DDADMM Cn1c(CC(=O)NCCCC[P@](=O)([O-])O)cc2ccccc21 ZINC001182267715 743544311 /nfs/dbraw/zinc/54/43/11/743544311.db2.gz BRUGVBKMXPNIJK-UHFFFAOYSA-N -1 1 324.317 1.795 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2c(C)ncnc2C)cc1C(=O)[O-] ZINC001184664680 744080385 /nfs/dbraw/zinc/08/03/85/744080385.db2.gz QYOLAHOBWFEOEX-UHFFFAOYSA-N -1 1 321.358 1.901 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cccnc2CO)cc1C(=O)[O-] ZINC001184668661 744080896 /nfs/dbraw/zinc/08/08/96/744080896.db2.gz WCBVUMPCBXIBLJ-UHFFFAOYSA-N -1 1 322.342 1.381 20 0 DDADMM CC(=O)c1c(C)c(C)[nH]c1C(=O)N=c1ncnc2[nH][n-]c(C)c1-2 ZINC001184731502 744093504 /nfs/dbraw/zinc/09/35/04/744093504.db2.gz VZBMBZPRYWOJPN-UHFFFAOYSA-N -1 1 312.333 1.435 20 0 DDADMM CCCC1(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001185007078 744136343 /nfs/dbraw/zinc/13/63/43/744136343.db2.gz KDJLIMLIBREOTB-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM C[C@@H]1C[C@@H]1c1ncc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cn1 ZINC001185143004 744160636 /nfs/dbraw/zinc/16/06/36/744160636.db2.gz DMCNSKPNHMYXHD-SVRRBLITSA-N -1 1 311.305 1.224 20 0 DDADMM O=C(Nc1cncc(N2CCOCC2)n1)c1ccc([O-])c(F)c1 ZINC001186209582 744364650 /nfs/dbraw/zinc/36/46/50/744364650.db2.gz YONYPEQUMVDDGQ-UHFFFAOYSA-N -1 1 318.308 1.410 20 0 DDADMM COC(=O)[C@@](C)([N-]S(=O)(=O)c1ccco1)c1ccccc1 ZINC001186874635 744457113 /nfs/dbraw/zinc/45/71/13/744457113.db2.gz FZUDKJFSILYVGW-AWEZNQCLSA-N -1 1 309.343 1.646 20 0 DDADMM O=c1c([N-]S(=O)(=O)c2ccco2)cccn1C1CCOCC1 ZINC001186884096 744459106 /nfs/dbraw/zinc/45/91/06/744459106.db2.gz URGQXWNQKXUMHQ-UHFFFAOYSA-N -1 1 324.358 1.594 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(C(=O)OC)cc1C ZINC001187279017 744533009 /nfs/dbraw/zinc/53/30/09/744533009.db2.gz DBVGHZGKZXMSFL-UHFFFAOYSA-N -1 1 301.364 1.560 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cc(Cl)cc(C(=O)OC)c1 ZINC001187287711 744534836 /nfs/dbraw/zinc/53/48/36/744534836.db2.gz QPPZIZDOKCRBAF-UHFFFAOYSA-N -1 1 321.782 1.905 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ncccc1N1CCCCC1 ZINC001187249884 744536249 /nfs/dbraw/zinc/53/62/49/744536249.db2.gz JQBOAGFYSZRPBD-UHFFFAOYSA-N -1 1 313.423 1.850 20 0 DDADMM COc1ccccc1C1([N-]S(=O)(=O)c2cnoc2C)CC1 ZINC001187409793 744557858 /nfs/dbraw/zinc/55/78/58/744557858.db2.gz MWPOCSNTSFBADR-UHFFFAOYSA-N -1 1 308.359 1.959 20 0 DDADMM O=C(Nc1cc(Cl)c[nH]c1=O)c1n[n-]nc1C(F)(F)F ZINC001187758911 744606231 /nfs/dbraw/zinc/60/62/31/744606231.db2.gz NLCAYROSZQEHOE-UHFFFAOYSA-N -1 1 307.619 1.830 20 0 DDADMM CCC(=O)NC1CC(CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000992113564 744607491 /nfs/dbraw/zinc/60/74/91/744607491.db2.gz ODBXNCSCQWNZSE-UHFFFAOYSA-N -1 1 318.377 1.094 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cc(Cl)ccc1CO ZINC001188393459 744697869 /nfs/dbraw/zinc/69/78/69/744697869.db2.gz HWXSOXGAUZTIRK-UHFFFAOYSA-N -1 1 307.755 1.137 20 0 DDADMM COc1ccc(NC(=O)Nc2c([O-])nc[nH]c2=O)c(OC)c1 ZINC001188511394 744715663 /nfs/dbraw/zinc/71/56/63/744715663.db2.gz BHCWRYWLUHJUCZ-UHFFFAOYSA-N -1 1 306.278 1.549 20 0 DDADMM CN(C)c1nc(NC(=O)Nc2nccs2)c(N=O)c(=O)[n-]1 ZINC001189345229 744877347 /nfs/dbraw/zinc/87/73/47/744877347.db2.gz DGZJSVFHRGNBMG-UHFFFAOYSA-N -1 1 309.311 1.747 20 0 DDADMM CC1(C)C[C@@H](NC(=O)C2CC2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089293738 744947660 /nfs/dbraw/zinc/94/76/60/744947660.db2.gz SWLUHHJKWUODBB-NSHDSACASA-N -1 1 307.398 1.027 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2c(N)ccnc2OC)c(OC)c1 ZINC001190110791 745118076 /nfs/dbraw/zinc/11/80/76/745118076.db2.gz XVOASGLZRLCTAC-UHFFFAOYSA-N -1 1 319.317 1.648 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2cnc3nccn3c2)c(OC)c1 ZINC001190121649 745122962 /nfs/dbraw/zinc/12/29/62/745122962.db2.gz NFAHSDPWUPQHBD-UHFFFAOYSA-N -1 1 314.301 1.704 20 0 DDADMM C[C@@H](CN1CCCC1)[N-]S(=O)(=O)c1ncc(F)cc1F ZINC001190192715 745130997 /nfs/dbraw/zinc/13/09/97/745130997.db2.gz RIFHYBNTMPJKSU-VIFPVBQESA-N -1 1 305.350 1.122 20 0 DDADMM Cc1nc(-c2cccnc2)sc1C(=O)[N-]c1nonc1N ZINC001190177457 745141357 /nfs/dbraw/zinc/14/13/57/745141357.db2.gz ZJECPCWOMSBBFO-UHFFFAOYSA-N -1 1 302.319 1.731 20 0 DDADMM COCCOc1cccc([N-]S(=O)(=O)c2ccncc2)c1 ZINC001190896505 745371101 /nfs/dbraw/zinc/37/11/01/745371101.db2.gz NJTYFXCJMWEEHX-UHFFFAOYSA-N -1 1 308.359 1.908 20 0 DDADMM COC(=O)[C@@](C)([N-]S(=O)(=O)c1ccncc1)c1ccccc1 ZINC001190917101 745384707 /nfs/dbraw/zinc/38/47/07/745384707.db2.gz LYVISYAEPRZTRQ-HNNXBMFYSA-N -1 1 320.370 1.448 20 0 DDADMM CSc1ncc(C(=O)N2CC(Oc3ccccc3)C2)c(=O)[n-]1 ZINC001191430293 745510568 /nfs/dbraw/zinc/51/05/68/745510568.db2.gz DSWKSESXDGZWHJ-UHFFFAOYSA-N -1 1 317.370 1.808 20 0 DDADMM CCc1cc(CN2CCC([N-]C(=O)C(F)(F)F)CC2)on1 ZINC001203225887 745633502 /nfs/dbraw/zinc/63/35/02/745633502.db2.gz YJLDNEPSVZBYPF-UHFFFAOYSA-N -1 1 305.300 1.880 20 0 DDADMM Cn1cc(CC[N-]S(=O)(=O)C(Cl)(Cl)Cl)cn1 ZINC001192042381 745680749 /nfs/dbraw/zinc/68/07/49/745680749.db2.gz CIQUAJSHCWUMHN-UHFFFAOYSA-N -1 1 306.602 1.210 20 0 DDADMM C[C@@H](O)c1ccc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001192372347 745769445 /nfs/dbraw/zinc/76/94/45/745769445.db2.gz CXYGVLOUGHFLBQ-ZCFIWIBFSA-N -1 1 315.289 1.021 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)c1c(F)ccc([O-])c1F)C(=O)N(C)C ZINC001192556235 745815163 /nfs/dbraw/zinc/81/51/63/745815163.db2.gz QOWPSCANPKMFQD-SDBXPKJASA-N -1 1 314.332 1.903 20 0 DDADMM Cn1ncc2c1ncnc2NC(=O)c1cc([O-])cc(F)c1F ZINC001192652364 745847149 /nfs/dbraw/zinc/84/71/49/745847149.db2.gz ZJDXUDIHWZTUPR-UHFFFAOYSA-N -1 1 305.244 1.599 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CCC[C@](O)(CF)CC1 ZINC001192661498 745868367 /nfs/dbraw/zinc/86/83/67/745868367.db2.gz ZMRGPGHWUXXVGV-CQSZACIVSA-N -1 1 303.280 1.997 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)N[C@@H]1CCc2ccccc21 ZINC001193012030 745959660 /nfs/dbraw/zinc/95/96/60/745959660.db2.gz VTOKLKOKWYJJIZ-CYBMUJFWSA-N -1 1 312.306 1.931 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccnn1C1CCC1 ZINC001193024891 745963059 /nfs/dbraw/zinc/96/30/59/745963059.db2.gz ZKBMAWUHLIPWCK-UHFFFAOYSA-N -1 1 315.395 1.692 20 0 DDADMM Cn1ncc(C(=O)[N-]c2noc3nccnc23)c1C(F)(F)F ZINC001193664586 746170529 /nfs/dbraw/zinc/17/05/29/746170529.db2.gz JDQOFPDLRTYHEK-UHFFFAOYSA-N -1 1 312.211 1.622 20 0 DDADMM Cc1ccc(C(N)=O)cc1[N-]S(=O)(=O)c1ccccc1N ZINC001193928632 746223894 /nfs/dbraw/zinc/22/38/94/746223894.db2.gz HXSZBBFJNNVJDB-UHFFFAOYSA-N -1 1 305.359 1.477 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1cc(F)ncc1F ZINC001194680591 746436363 /nfs/dbraw/zinc/43/63/63/746436363.db2.gz BNRCGBGJXFNAFM-UHFFFAOYSA-N -1 1 301.274 1.564 20 0 DDADMM Cc1cnn(CCO)c1NC(=O)c1c[n-]c(C(F)(F)F)n1 ZINC001194773837 746462310 /nfs/dbraw/zinc/46/23/10/746462310.db2.gz YPQWSORXJKAVCC-UHFFFAOYSA-N -1 1 303.244 1.178 20 0 DDADMM CCO[C@@H]1CN(C(=O)c2nc(C)ccc2[O-])[C@@H]2CCCO[C@H]12 ZINC001195323441 746565493 /nfs/dbraw/zinc/56/54/93/746565493.db2.gz VHMKVGNDSZJPQK-KYOSRNDESA-N -1 1 306.362 1.504 20 0 DDADMM CC(=O)N1CCCC[C@@]12CCN(C(=O)c1nc(C)ccc1[O-])C2 ZINC001195324629 746565832 /nfs/dbraw/zinc/56/58/32/746565832.db2.gz UEAQMAPKCHMXEQ-KRWDZBQOSA-N -1 1 317.389 1.713 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cc([O-])cnc2Cl)cn1C ZINC001195297759 746570663 /nfs/dbraw/zinc/57/06/63/746570663.db2.gz GSUKWNOSQGYSJJ-UHFFFAOYSA-N -1 1 324.724 1.603 20 0 DDADMM N=c1c(N)cnc(Cl)n1C(=O)c1cc([O-])cnc1Cl ZINC001195298424 746570820 /nfs/dbraw/zinc/57/08/20/746570820.db2.gz XJVYHVGRCUNGGX-UHFFFAOYSA-N -1 1 300.105 1.041 20 0 DDADMM COc1cnccc1CCNC(=O)c1cc([O-])cnc1Cl ZINC001195315677 746575339 /nfs/dbraw/zinc/57/53/39/746575339.db2.gz RIHVSIDMAICVPM-UHFFFAOYSA-N -1 1 307.737 1.817 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cc(S(C)(=O)=O)ccc1C ZINC001195483393 746622207 /nfs/dbraw/zinc/62/22/07/746622207.db2.gz DCYKLIGPTOMQAI-UHFFFAOYSA-N -1 1 316.404 1.841 20 0 DDADMM Cc1n[nH]c(C(=O)N2CCC[N@H+](CCCOC(C)C)CC2)c1[O-] ZINC001195489256 746623822 /nfs/dbraw/zinc/62/38/22/746623822.db2.gz ZSOIPKGRAZOMRB-UHFFFAOYSA-N -1 1 324.425 1.387 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cc(OC)cc(C(=O)OC)c1 ZINC001195491411 746624991 /nfs/dbraw/zinc/62/49/91/746624991.db2.gz VYKOWJJJDPAQOS-UHFFFAOYSA-N -1 1 312.347 1.925 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)[C@@H](O)CC3)cn1 ZINC001195731308 746678537 /nfs/dbraw/zinc/67/85/37/746678537.db2.gz GCALHDVTOLRMRC-AWEZNQCLSA-N -1 1 320.370 1.871 20 0 DDADMM COC(=O)c1cc(C)cnc1NC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196009029 746755118 /nfs/dbraw/zinc/75/51/18/746755118.db2.gz RPQXSDSWKNLMNH-UHFFFAOYSA-N -1 1 320.330 1.213 20 0 DDADMM Cc1cccc(C(C)(C)CNC(=O)c2c[nH]c(=S)[n-]c2=O)n1 ZINC001196027031 746765920 /nfs/dbraw/zinc/76/59/20/746765920.db2.gz QVQTVQMXBZNGLU-UHFFFAOYSA-N -1 1 318.402 1.882 20 0 DDADMM O=C([N-]c1ccnc(Cl)c1Cl)c1snnc1CO ZINC001196352055 746842212 /nfs/dbraw/zinc/84/22/12/746842212.db2.gz SCINKPXRHJXGJQ-UHFFFAOYSA-N -1 1 305.146 1.985 20 0 DDADMM CCOC(C[N-]S(=O)(=O)Cc1c(F)cccc1F)OCC ZINC001197613228 747205658 /nfs/dbraw/zinc/20/56/58/747205658.db2.gz MSGNZXQFMGYUOH-UHFFFAOYSA-N -1 1 323.361 1.783 20 0 DDADMM CCc1cc2ncc([N-]S(=O)(=O)Cc3ccccn3)cn2n1 ZINC001197789681 747266219 /nfs/dbraw/zinc/26/62/19/747266219.db2.gz DSICOLXCJWLSSO-UHFFFAOYSA-N -1 1 317.374 1.629 20 0 DDADMM Cc1ccc2c(c1)[C@H]([N-]S(=O)(=O)c1ccc(C)nc1)C(=O)N2 ZINC001198325642 747451755 /nfs/dbraw/zinc/45/17/55/747451755.db2.gz UYQFTUMEMNHPCX-AWEZNQCLSA-N -1 1 317.370 1.670 20 0 DDADMM C=CS(=O)(=O)[N-][C@@H]1CCN(Cc2ccccc2)CC1(F)F ZINC001198651837 747563527 /nfs/dbraw/zinc/56/35/27/747563527.db2.gz GMBVVVATYPISKB-CYBMUJFWSA-N -1 1 316.373 1.959 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2cc[nH]c(=O)c2)c1 ZINC001212281623 747699390 /nfs/dbraw/zinc/69/93/90/747699390.db2.gz IOUBZIWMLHBVIZ-UHFFFAOYSA-N -1 1 309.303 1.503 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](O)C1)c1cc(Cl)c(Cl)cc1F ZINC001201275599 748465241 /nfs/dbraw/zinc/46/52/41/748465241.db2.gz BLYMSQMTKVVTQW-IZLXSQMJSA-N -1 1 314.165 1.934 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cc(C)cc(Cl)n1 ZINC001201905356 748636034 /nfs/dbraw/zinc/63/60/34/748636034.db2.gz QZDAGADUSRGSTH-UHFFFAOYSA-N -1 1 306.771 1.738 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017226505 750628008 /nfs/dbraw/zinc/62/80/08/750628008.db2.gz KGIHSYIVBWCYCB-QWHCGFSZSA-N -1 1 323.441 1.473 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])Nc1cncc(Cl)n1 ZINC001115719170 750892113 /nfs/dbraw/zinc/89/21/13/750892113.db2.gz JIRVPNGWLHEGQV-VIFPVBQESA-N -1 1 321.768 1.803 20 0 DDADMM CC1(C)CCC[C@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035018254 751127744 /nfs/dbraw/zinc/12/77/44/751127744.db2.gz ICONCWAAFXVRNS-NEPJUHHUSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H]1CCCN(C(=O)C=C2CCC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036535576 752526696 /nfs/dbraw/zinc/52/66/96/752526696.db2.gz XJDFOZGZKJZFSO-DGCLKSJQSA-N -1 1 319.409 1.337 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC000999147073 752560023 /nfs/dbraw/zinc/56/00/23/752560023.db2.gz FDVDKFBRHUMVQX-KVSVUVNWSA-N -1 1 315.373 1.305 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999257560 752729910 /nfs/dbraw/zinc/72/99/10/752729910.db2.gz UFFBSWMUUYHUGH-NSHDSACASA-N -1 1 309.414 1.273 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1c1ncccn1 ZINC001039734003 762191573 /nfs/dbraw/zinc/19/15/73/762191573.db2.gz SHDRPYVJLNPBGN-RYUDHWBXSA-N -1 1 313.361 1.365 20 0 DDADMM CCC(C)(C)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005953676 753415801 /nfs/dbraw/zinc/41/58/01/753415801.db2.gz VXCIELJUNQRVPH-NSHDSACASA-N -1 1 319.405 1.800 20 0 DDADMM Cc1nc(CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)c(C)o1 ZINC001010735571 754132099 /nfs/dbraw/zinc/13/20/99/754132099.db2.gz YANGYRRZJSMZOJ-LBPRGKRZSA-N -1 1 316.361 1.396 20 0 DDADMM C[C@H](C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)C(C)(C)C ZINC001011036624 754319062 /nfs/dbraw/zinc/31/90/62/754319062.db2.gz QLJIGJUBNPHWPG-GFCCVEGCSA-N -1 1 319.405 1.754 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ccc[nH]2)CCN1C(=O)c1ncccc1[O-] ZINC001011671179 754668874 /nfs/dbraw/zinc/66/88/74/754668874.db2.gz FJVCCOZWTAHWRG-WDEREUQCSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@H]1[C@H](NC(=O)C=C2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011675056 754670864 /nfs/dbraw/zinc/67/08/64/754670864.db2.gz YIXCAWWPVQWZDC-WCQYABFASA-N -1 1 315.373 1.617 20 0 DDADMM CCC(=O)N1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1C ZINC001012107271 754848446 /nfs/dbraw/zinc/84/84/46/754848446.db2.gz HMIJPZVSUGOOBT-KOLCDFICSA-N -1 1 318.377 1.189 20 0 DDADMM Cc1cc(CN2C[C@@H](C)[C@H](NC(=O)c3ncccc3[O-])C2)on1 ZINC001079609828 755472485 /nfs/dbraw/zinc/47/24/85/755472485.db2.gz ZNIUTATUMJYSMR-ZWNOBZJWSA-N -1 1 316.361 1.334 20 0 DDADMM CC/C=C(\C)C(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC001079653152 755503904 /nfs/dbraw/zinc/50/39/04/755503904.db2.gz VGPCVWSLCROFMR-KPKJPENVSA-N -1 1 317.389 1.816 20 0 DDADMM Cc1ccnc(N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)n1 ZINC001067077075 755710464 /nfs/dbraw/zinc/71/04/64/755710464.db2.gz XFBYPSMTKFMRTN-JQWIXIFHSA-N -1 1 313.361 1.458 20 0 DDADMM CN1Cc2c(cccc2NCC[N-]C(=O)C(F)(F)F)C1=O ZINC001164243935 756242081 /nfs/dbraw/zinc/24/20/81/756242081.db2.gz MGOFCAGJPJJRGU-UHFFFAOYSA-N -1 1 301.268 1.363 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@]23C[C@@H]2CCCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082728598 756906719 /nfs/dbraw/zinc/90/67/19/756906719.db2.gz YSGGKRIYWJUABQ-JBBSTSQOSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CCC1 ZINC001018098111 758545470 /nfs/dbraw/zinc/54/54/70/758545470.db2.gz BHZWNJGGVGSJLI-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM Cc1ccc(C(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)s1 ZINC001054339017 759509339 /nfs/dbraw/zinc/50/93/39/759509339.db2.gz LCIIGNORHGPITF-WCBMZHEXSA-N -1 1 321.406 1.131 20 0 DDADMM C[C@H]1CN(C(=O)CC2CCCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054362975 759550835 /nfs/dbraw/zinc/55/08/35/759550835.db2.gz JVWVQZVRMGVPCS-WCQYABFASA-N -1 1 321.425 1.417 20 0 DDADMM CC(C)c1ccsc1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000828231556 759566069 /nfs/dbraw/zinc/56/60/69/759566069.db2.gz KIYOFFZXXXVISM-UHFFFAOYSA-N -1 1 321.406 1.508 20 0 DDADMM O=C(N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1(C2CCC2)CCC1 ZINC001019200643 759671387 /nfs/dbraw/zinc/67/13/87/759671387.db2.gz HWMRAGKHBCHRES-LBPRGKRZSA-N -1 1 319.409 1.171 20 0 DDADMM CC(C)C(C)(C)C(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054707049 760000951 /nfs/dbraw/zinc/00/09/51/760000951.db2.gz UMCRUJUTAUKMOP-MNOVXSKESA-N -1 1 309.414 1.129 20 0 DDADMM C[C@H]1CN(C(=O)C23CCC(CC2)C3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054802489 760097535 /nfs/dbraw/zinc/09/75/35/760097535.db2.gz OQMIAGBQTGIEKT-IRDPIATMSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@@H](C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001001544801 762948611 /nfs/dbraw/zinc/94/86/11/762948611.db2.gz KRUJCAIKCWBPGJ-LLVKDONJSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@H](CN(C)c1ncncc1Cl)NC(=O)c1ncccc1[O-] ZINC001108933962 763145235 /nfs/dbraw/zinc/14/52/35/763145235.db2.gz ZPFZNQPBRGWOAO-SECBINFHSA-N -1 1 321.768 1.485 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(Nc2cnc(F)cn2)C1 ZINC001069731710 768120386 /nfs/dbraw/zinc/12/03/86/768120386.db2.gz RNFMPYAVGQNVOI-UHFFFAOYSA-N -1 1 317.324 1.431 20 0 DDADMM O=C(NC[C@H]1COCCN1CC1CCCC1)c1ncccc1[O-] ZINC001050888380 764236420 /nfs/dbraw/zinc/23/64/20/764236420.db2.gz VVCDITFWLZEGKF-AWEZNQCLSA-N -1 1 319.405 1.408 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(CC(F)(F)C(F)F)C1 ZINC001042646169 764310350 /nfs/dbraw/zinc/31/03/50/764310350.db2.gz MIPSUPKNUMOABC-UHFFFAOYSA-N -1 1 321.274 1.444 20 0 DDADMM CCc1nsc(N[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983080 765099308 /nfs/dbraw/zinc/09/93/08/765099308.db2.gz FUNJSFAKWIJXHA-IUCAKERBSA-N -1 1 321.406 1.820 20 0 DDADMM CC1(C)C(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1(C)C ZINC001044978746 765990821 /nfs/dbraw/zinc/99/08/21/765990821.db2.gz OICYRIKQYHXMNT-JTQLQIEISA-N -1 1 321.425 1.273 20 0 DDADMM O=C(N[C@@H]1CCCN(c2ncc(F)cn2)C1)c1ncccc1[O-] ZINC001058147477 766273385 /nfs/dbraw/zinc/27/33/85/766273385.db2.gz KGPSRPFTHXTVDL-LLVKDONJSA-N -1 1 317.324 1.115 20 0 DDADMM CC(C)[C@@H](F)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648214 768643246 /nfs/dbraw/zinc/64/32/46/768643246.db2.gz AIQXYJDCOASQDK-DGCLKSJQSA-N -1 1 323.368 1.502 20 0 DDADMM CCC(C)(C)C(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001132252784 768707018 /nfs/dbraw/zinc/70/70/18/768707018.db2.gz KHKIMWRFMSNJQR-NEPJUHHUSA-N -1 1 323.441 1.014 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CCCF ZINC001071951878 770334584 /nfs/dbraw/zinc/33/45/84/770334584.db2.gz DYOKKNULLDVDSY-WDEREUQCSA-N -1 1 309.341 1.114 20 0 DDADMM Cc1cc(CN[C@@H](C)C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])no1 ZINC001134094271 770647469 /nfs/dbraw/zinc/64/74/69/770647469.db2.gz ACLFUPBDFFNEJT-DTWKUNHWSA-N -1 1 321.381 1.407 20 0 DDADMM O=C(NC[C@H](Nc1cc(F)ncn1)C1CC1)c1ncccc1[O-] ZINC001096640546 771364824 /nfs/dbraw/zinc/36/48/24/771364824.db2.gz RCSCRBPLLYMVDE-JTQLQIEISA-N -1 1 317.324 1.337 20 0 DDADMM CC(=O)NC[C@H]1CCCN(C(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001136456915 771973370 /nfs/dbraw/zinc/97/33/70/771973370.db2.gz UZHVNADWLATTGJ-CYBMUJFWSA-N -1 1 318.373 1.501 20 0 DDADMM NC(=O)[C@@H]1Cc2ccccc2N(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC001143765834 772301495 /nfs/dbraw/zinc/30/14/95/772301495.db2.gz YHILMWLUWNVHMK-CYBMUJFWSA-N -1 1 324.336 1.266 20 0 DDADMM Cc1ccccc1[C@H](O)C(=O)NCCCC[P@](=O)([O-])O ZINC001144542615 772562296 /nfs/dbraw/zinc/56/22/96/772562296.db2.gz CZVNJAVBTZKGBD-LBPRGKRZSA-N -1 1 301.279 1.103 20 0 DDADMM COC(=O)[C@H]1CN(Cc2cc(F)c([O-])cc2F)CCCO1 ZINC001144660209 772591918 /nfs/dbraw/zinc/59/19/18/772591918.db2.gz WLNQATZKTGBRQG-CYBMUJFWSA-N -1 1 301.289 1.434 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2c3c(nn2C)CCC3)c1[O-] ZINC001147833056 773259893 /nfs/dbraw/zinc/25/98/93/773259893.db2.gz CEZUKPYTGVXXTQ-UHFFFAOYSA-N -1 1 302.334 1.062 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cn(CC(C)C)cn2)c1[O-] ZINC001147837197 773264037 /nfs/dbraw/zinc/26/40/37/773264037.db2.gz XJCALDQGNTZUPX-UHFFFAOYSA-N -1 1 304.350 1.693 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N(C)CC3(C)COC3)cc2)[n-]1 ZINC001148330763 773438337 /nfs/dbraw/zinc/43/83/37/773438337.db2.gz XLHYJJODOMPQBT-UHFFFAOYSA-N -1 1 315.373 1.908 20 0 DDADMM Cc1cccc(N)c1NC(=O)c1cc(S(=O)(=O)[O-])ccc1O ZINC001148926656 773652768 /nfs/dbraw/zinc/65/27/68/773652768.db2.gz QUEXZQHZDNQSTC-UHFFFAOYSA-N -1 1 322.342 1.782 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C[C@H]1CC=CCC1 ZINC001074798196 774146925 /nfs/dbraw/zinc/14/69/25/774146925.db2.gz BSCBPVAKHZQVHA-AVGNSLFASA-N -1 1 319.409 1.336 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1ccsc1 ZINC001092453512 774150036 /nfs/dbraw/zinc/15/00/36/774150036.db2.gz RRGFLPVRIVDMOB-MWLCHTKSSA-N -1 1 321.406 1.070 20 0 DDADMM CC1(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001036793091 774641336 /nfs/dbraw/zinc/64/13/36/774641336.db2.gz YNUCKMFWBTYECB-NEPJUHHUSA-N -1 1 319.409 1.123 20 0 DDADMM CS(=O)(=O)c1ccccc1-c1noc(-c2ccncc2[O-])n1 ZINC001212722599 777112250 /nfs/dbraw/zinc/11/22/50/777112250.db2.gz PYZOHCJBGGMVQV-UHFFFAOYSA-N -1 1 317.326 1.908 20 0 DDADMM COc1nc(C)cc(NCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001101561398 777251259 /nfs/dbraw/zinc/25/12/59/777251259.db2.gz WCSYKMRNXNLMSB-UHFFFAOYSA-N -1 1 317.349 1.078 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2ccnc3ccccc23)ccc1O ZINC001174039868 777404013 /nfs/dbraw/zinc/40/40/13/777404013.db2.gz FVFINSYMHHZDQT-UHFFFAOYSA-N -1 1 315.354 1.745 20 0 DDADMM COC(=O)c1ccc(Nc2cc(=O)[n-]c(N(C)C)n2)cc1OC ZINC001174428562 777475738 /nfs/dbraw/zinc/47/57/38/777475738.db2.gz YXTRZMROFMNECI-UHFFFAOYSA-N -1 1 318.333 1.787 20 0 DDADMM COC(=O)c1nsnc1[N-]c1cnn([C@H]2CCCCO2)c1 ZINC001174600682 777537678 /nfs/dbraw/zinc/53/76/78/777537678.db2.gz YLFFIVMFYNWQKH-SECBINFHSA-N -1 1 309.351 1.964 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)/C=C\C(C)(C)C ZINC001101825374 777571472 /nfs/dbraw/zinc/57/14/72/777571472.db2.gz WQHCRTSQWXDUDT-GKKDLHFNSA-N -1 1 321.425 1.297 20 0 DDADMM Cc1ccc(CCC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)s1 ZINC001175629880 777851228 /nfs/dbraw/zinc/85/12/28/777851228.db2.gz OYOROPHTYXOAEV-UHFFFAOYSA-N -1 1 303.347 2.000 20 0 DDADMM O=C(NCC[C@H]1CCN(c2ncccn2)C1)c1ncccc1[O-] ZINC001102322986 778056644 /nfs/dbraw/zinc/05/66/44/778056644.db2.gz JCRZEVKLPZSNRU-LBPRGKRZSA-N -1 1 313.361 1.224 20 0 DDADMM Cc1cc(Cl)cc(Cl)c1CNC(=O)Cc1nn[n-]n1 ZINC001176844521 778272094 /nfs/dbraw/zinc/27/20/94/778272094.db2.gz BJWFNNWWASTJQA-UHFFFAOYSA-N -1 1 300.149 1.674 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(c3ncccc3C)CC2)n1 ZINC001178201403 778940925 /nfs/dbraw/zinc/94/09/25/778940925.db2.gz ZDIJDVJZIZJVAU-UHFFFAOYSA-N -1 1 314.345 1.960 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)/C=C/C(C)(C)C ZINC001178378764 779009835 /nfs/dbraw/zinc/00/98/35/779009835.db2.gz HZDVIAZZSLVCOC-BZCKNUJPSA-N -1 1 307.398 1.192 20 0 DDADMM O=C(NCC1(Nc2cc(F)ncn2)CCC1)c1ncccc1[O-] ZINC001111788530 779482480 /nfs/dbraw/zinc/48/24/80/779482480.db2.gz RHTACUGKPRKGKI-UHFFFAOYSA-N -1 1 317.324 1.481 20 0 DDADMM CN1CCC[C@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000692878894 779490771 /nfs/dbraw/zinc/49/07/71/779490771.db2.gz HMWDFFCVVCQZMJ-QMMMGPOBSA-N -1 1 307.778 1.247 20 0 DDADMM CC[C@H](CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)C(C)C ZINC001179963716 779581698 /nfs/dbraw/zinc/58/16/98/779581698.db2.gz TXLXBJFUULHNFW-XQQFMLRXSA-N -1 1 323.441 1.662 20 0 DDADMM Cc1nc(CNC(=O)c2nn(-c3ccccc3F)cc2[O-])n[nH]1 ZINC001180005340 779587431 /nfs/dbraw/zinc/58/74/31/779587431.db2.gz SZKPQICSHGTZJH-UHFFFAOYSA-N -1 1 316.296 1.074 20 0 DDADMM O=C([O-])C1(C(=O)N2CCN(C3CCOCC3)CC2)CCCCC1 ZINC001180436064 779760838 /nfs/dbraw/zinc/76/08/38/779760838.db2.gz VEOASQHPUFQBSM-UHFFFAOYSA-N -1 1 324.421 1.345 20 0 DDADMM CCn1c(C(=O)OCc2nc(=O)n(C)[n-]2)cc2ccccc21 ZINC001116628524 780534426 /nfs/dbraw/zinc/53/44/26/780534426.db2.gz BSRMNSZOXAPTMY-UHFFFAOYSA-N -1 1 300.318 1.440 20 0 DDADMM O=C(N[C@H]1[C@@H]2OC[C@@H]3C[C@H]1C[C@@H]32)c1ccc2n[n-]c(=S)n2c1 ZINC001118985246 781291201 /nfs/dbraw/zinc/29/12/01/781291201.db2.gz OTNQMYVPQXQJDB-CXWACVEQSA-N -1 1 316.386 1.171 20 0 DDADMM CC1(C)CN(C(=O)C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC001119934671 781686771 /nfs/dbraw/zinc/68/67/71/781686771.db2.gz PMNFYMLQQUCBDV-UHFFFAOYSA-N -1 1 312.316 1.198 20 0 DDADMM CCCC1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001409136744 844411567 /nfs/dbraw/zinc/41/15/67/844411567.db2.gz XPTVQFNMKGLVQB-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCCc3c[nH]nc32)sn1 ZINC001414227502 844427956 /nfs/dbraw/zinc/42/79/56/844427956.db2.gz HWSLVTUNAVCWCO-MRVPVSSYSA-N -1 1 314.392 1.231 20 0 DDADMM NC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1cc2cc(O)ccc2cc1[O-] ZINC001269106492 841214092 /nfs/dbraw/zinc/21/40/92/841214092.db2.gz ZKGCZVKBFALCGK-APPDUMDISA-N -1 1 312.325 1.341 20 0 DDADMM CC[C@@H](C)N1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1ccc([O-])cn1 ZINC001269354242 841556727 /nfs/dbraw/zinc/55/67/27/841556727.db2.gz DLRRQZJJMCIMLO-KCPJHIHWSA-N -1 1 317.389 1.791 20 0 DDADMM CCCCCC(=O)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001269697576 841943907 /nfs/dbraw/zinc/94/39/07/841943907.db2.gz LENBFJKQHGOCQD-CYBMUJFWSA-N -1 1 323.441 1.809 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CCC2(CCC2)O1)C(C)C ZINC001363925321 842855927 /nfs/dbraw/zinc/85/59/27/842855927.db2.gz CLJAYAQAEGEXNJ-NEPJUHHUSA-N -1 1 319.423 1.205 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CCC=CCCC1 ZINC001271014275 843134472 /nfs/dbraw/zinc/13/44/72/843134472.db2.gz WANMWZFWGNUYMT-STQMWFEESA-N -1 1 321.425 1.583 20 0 DDADMM CC(C)CN1CC[C@]2(CCN(C(=O)c3cncc([O-])c3)C2)C1=O ZINC001271387347 843545593 /nfs/dbraw/zinc/54/55/93/843545593.db2.gz VGCDZQXULCWZTG-QGZVFWFLSA-N -1 1 317.389 1.508 20 0 DDADMM CN1c2ccccc2[C@@]2(CCN(C(=O)c3cncc([O-])c3)C2)C1=O ZINC001271386627 843550119 /nfs/dbraw/zinc/55/01/19/843550119.db2.gz OEJQZJQNOYNUPY-SFHVURJKSA-N -1 1 323.352 1.548 20 0 DDADMM CNC(=O)[C@H]1CC12CCN(C(=O)c1cc([O-])cc(F)c1)CC2 ZINC001271560956 843698703 /nfs/dbraw/zinc/69/87/03/843698703.db2.gz DEXQVWNVCSZWTK-CYBMUJFWSA-N -1 1 306.337 1.520 20 0 DDADMM COc1cc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc2[nH]ccc21 ZINC001154815953 861479481 /nfs/dbraw/zinc/47/94/81/861479481.db2.gz HHEIXYNCUANMAG-UHFFFAOYSA-N -1 1 315.289 1.212 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC1(F)F ZINC001409261700 844727204 /nfs/dbraw/zinc/72/72/04/844727204.db2.gz QFHPSUMRDPRQAB-RKDXNWHRSA-N -1 1 313.304 1.067 20 0 DDADMM CCC(CC)(CC)C(=O)N[C@H](C)[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001409417350 845074807 /nfs/dbraw/zinc/07/48/07/845074807.db2.gz UKTRLKNZIJNKCE-GHMZBOCLSA-N -1 1 311.430 1.710 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc2c[nH]nc2c1 ZINC001149348203 861559000 /nfs/dbraw/zinc/55/90/00/861559000.db2.gz XCINFQVOJIDQAS-UHFFFAOYSA-N -1 1 300.234 1.414 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1csnc1OC)[C@H]1CCCOC1 ZINC001365457037 846254682 /nfs/dbraw/zinc/25/46/82/846254682.db2.gz CGKVEHJKEXUQNH-VHSXEESVSA-N -1 1 320.436 1.635 20 0 DDADMM COC[C@H](NC(=O)C=C(C)c1ccc(F)cc1)c1nn[n-]n1 ZINC001155070084 861700107 /nfs/dbraw/zinc/70/01/07/861700107.db2.gz TYPUWJRUJMCGOF-CRALRDPISA-N -1 1 305.313 1.246 20 0 DDADMM Cn1cnc(CCNC(=O)c2cc3cccc(O)c3cc2[O-])c1 ZINC001149654337 861785716 /nfs/dbraw/zinc/78/57/16/861785716.db2.gz YWNRQMZKBJJDLI-UHFFFAOYSA-N -1 1 311.341 1.957 20 0 DDADMM CCO[C@H](CC[N-]S(=O)(=O)c1csnc1OC)C(C)C ZINC001364812604 848801448 /nfs/dbraw/zinc/80/14/48/848801448.db2.gz FBHRDUZZFOQBHE-SNVBAGLBSA-N -1 1 322.452 1.881 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2cnccc2C)sn1 ZINC001364902218 849343128 /nfs/dbraw/zinc/34/31/28/849343128.db2.gz KKBDHKHXFBLHQC-UHFFFAOYSA-N -1 1 313.404 1.376 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCCC1CC1 ZINC001410876278 849899800 /nfs/dbraw/zinc/89/98/00/849899800.db2.gz VNKQTZBXXHJMBH-PWSUYJOCSA-N -1 1 307.398 1.170 20 0 DDADMM Cc1ccoc1C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001411209942 850404476 /nfs/dbraw/zinc/40/44/76/850404476.db2.gz XMNXNFUBTCVXEG-SNVBAGLBSA-N -1 1 321.381 1.346 20 0 DDADMM CCCCOC[C@@H](O)CNc1[nH]c(=S)[n-]c(=O)c1N=O ZINC001252504370 851606996 /nfs/dbraw/zinc/60/69/96/851606996.db2.gz NQWGKDMVUQTEEQ-ZETCQYMHSA-N -1 1 302.356 1.458 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CCn4nncc4C3)c([O-])c2n1 ZINC001155653147 862345717 /nfs/dbraw/zinc/34/57/17/862345717.db2.gz WGYNCVJGISREEO-UHFFFAOYSA-N -1 1 309.329 1.496 20 0 DDADMM CCOC(=O)CC(=N)NC(=O)c1ccc2ccc(C)nc2c1[O-] ZINC001155659251 862347667 /nfs/dbraw/zinc/34/76/67/862347667.db2.gz CRGNJDOWIQEIQF-UHFFFAOYSA-N -1 1 315.329 1.909 20 0 DDADMM C[C@H]1c2nc(C(N)=O)cn2CCN1C(=O)c1cc(F)ccc1[O-] ZINC001275361149 853016006 /nfs/dbraw/zinc/01/60/06/853016006.db2.gz OVCRTFFUXMHEKE-QMMMGPOBSA-N -1 1 318.308 1.044 20 0 DDADMM CC(C)C(=O)NCC(C)(C)CN(C)C(=O)c1ncccc1[O-] ZINC001411452766 853145169 /nfs/dbraw/zinc/14/51/69/853145169.db2.gz YCSNDTVMEUJACS-UHFFFAOYSA-N -1 1 307.394 1.658 20 0 DDADMM NC(=O)c1[nH]nc2c1CCN(C(=O)c1c([O-])cccc1Cl)C2 ZINC001275466832 853163051 /nfs/dbraw/zinc/16/30/51/853163051.db2.gz UARNPRQGGQWSHJ-UHFFFAOYSA-N -1 1 320.736 1.066 20 0 DDADMM CN1CCC12CN(C(=O)c1c([O-])cccc1Br)C2 ZINC001275752197 853686740 /nfs/dbraw/zinc/68/67/40/853686740.db2.gz AJKXOVQFIKVFKR-UHFFFAOYSA-N -1 1 311.179 1.685 20 0 DDADMM C[C@@H]1c2nc(C(N)=O)cn2CCN1C(=O)c1cccc([O-])c1F ZINC001275984399 854082435 /nfs/dbraw/zinc/08/24/35/854082435.db2.gz MWKGJRLTXHNTGE-MRVPVSSYSA-N -1 1 318.308 1.044 20 0 DDADMM CC(C)Cc1cc(C(=O)NCc2nc([O-])cc(=O)[nH]2)cc(=O)[nH]1 ZINC001412378364 854489347 /nfs/dbraw/zinc/48/93/47/854489347.db2.gz XHYMXTWFEWSEPS-UHFFFAOYSA-N -1 1 318.333 1.117 20 0 DDADMM O=C(NC1CC1)[C@@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC001412475098 854594930 /nfs/dbraw/zinc/59/49/30/854594930.db2.gz DCGAEHDGZCCSRE-SNVBAGLBSA-N -1 1 306.337 1.662 20 0 DDADMM Cc1ccc(NC(=O)CNC(=O)c2cnncc2[O-])c(Cl)c1 ZINC001412477106 854601898 /nfs/dbraw/zinc/60/18/98/854601898.db2.gz CVVLJFRDWBJAOM-UHFFFAOYSA-N -1 1 320.736 1.513 20 0 DDADMM CC(C)c1nnc(C(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC001412484932 854611286 /nfs/dbraw/zinc/61/12/86/854611286.db2.gz FUXUSRLKUZVVAT-UHFFFAOYSA-N -1 1 307.383 1.194 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1C[C@H](O)CC2(CCOCC2)C1 ZINC001412494372 854623275 /nfs/dbraw/zinc/62/32/75/854623275.db2.gz AMDZUKVDJSNCOZ-GFCCVEGCSA-N -1 1 309.337 1.535 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)COC(C)C ZINC001412598191 854790701 /nfs/dbraw/zinc/79/07/01/854790701.db2.gz XOWMMINDROXUHZ-JTQLQIEISA-N -1 1 310.354 1.070 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)COC(C)C ZINC001412598191 854790707 /nfs/dbraw/zinc/79/07/07/854790707.db2.gz XOWMMINDROXUHZ-JTQLQIEISA-N -1 1 310.354 1.070 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)COC(C)C ZINC001412598191 854790712 /nfs/dbraw/zinc/79/07/12/854790712.db2.gz XOWMMINDROXUHZ-JTQLQIEISA-N -1 1 310.354 1.070 20 0 DDADMM CC[C@H](Cc1ccccc1)C(=O)N[C@H](COC)c1nn[n-]n1 ZINC001412600439 854791575 /nfs/dbraw/zinc/79/15/75/854791575.db2.gz DJRKHWBHLZTYIK-CHWSQXEVSA-N -1 1 303.366 1.272 20 0 DDADMM CC1CCC(C)(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CC1 ZINC001412845457 855721542 /nfs/dbraw/zinc/72/15/42/855721542.db2.gz ZAGWVNNIMWXYLM-UHFFFAOYSA-N -1 1 300.384 1.363 20 0 DDADMM CC1CCC(C)(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CC1 ZINC001412845457 855721548 /nfs/dbraw/zinc/72/15/48/855721548.db2.gz ZAGWVNNIMWXYLM-UHFFFAOYSA-N -1 1 300.384 1.363 20 0 DDADMM O=C(NN1CCOC1=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC001412864551 855734397 /nfs/dbraw/zinc/73/43/97/855734397.db2.gz SNLOFOXEGNTKTF-UHFFFAOYSA-N -1 1 307.693 1.691 20 0 DDADMM O=C(N[C@H](CO)CC1CCCC1)c1cnc(C2CC2)[n-]c1=O ZINC001412880975 855749619 /nfs/dbraw/zinc/74/96/19/855749619.db2.gz PWQMJMLIVLQEFR-LBPRGKRZSA-N -1 1 305.378 1.731 20 0 DDADMM CC(C)(C)OC(=O)c1cncc(NC(=O)CCc2nn[n-]n2)c1 ZINC001413202075 856541917 /nfs/dbraw/zinc/54/19/17/856541917.db2.gz QYHCMOKQINWBCR-UHFFFAOYSA-N -1 1 318.337 1.121 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC[C@H]2CCCCO2)sn1 ZINC001413321692 856649996 /nfs/dbraw/zinc/64/99/96/856649996.db2.gz NVAIKBLYLSGAOH-SECBINFHSA-N -1 1 306.409 1.389 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc2c(cnn2C(F)F)c1 ZINC001151545254 862836156 /nfs/dbraw/zinc/83/61/56/862836156.db2.gz FAZVFBKVGNRNHW-UHFFFAOYSA-N -1 1 307.264 1.516 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])cn2)CC[C@H](C(F)(F)F)O1 ZINC001413518963 856923530 /nfs/dbraw/zinc/92/35/30/856923530.db2.gz IJKMZQJUBBYDHH-LDYMZIIASA-N -1 1 304.268 1.969 20 0 DDADMM C[C@]1([C@@H]2CCCN(C(=O)c3ccc([O-])cn3)C2)COC(=O)N1 ZINC001413601004 857218534 /nfs/dbraw/zinc/21/85/34/857218534.db2.gz SSQOIGDNBACXLA-MEBBXXQBSA-N -1 1 305.334 1.138 20 0 DDADMM Cc1cccc(CN(C)c2nnc(-c3nnn[n-]3)n2CC2CC2)c1 ZINC001123690180 859361732 /nfs/dbraw/zinc/36/17/32/859361732.db2.gz UASNEILBQPLYRD-UHFFFAOYSA-N -1 1 324.392 1.813 20 0 DDADMM Cc1cccc(CN(C)c2nnc(-c3nn[n-]n3)n2CC2CC2)c1 ZINC001123690180 859361737 /nfs/dbraw/zinc/36/17/37/859361737.db2.gz UASNEILBQPLYRD-UHFFFAOYSA-N -1 1 324.392 1.813 20 0 DDADMM Cc1cccc([C@H](O)CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c1 ZINC001123760107 859401343 /nfs/dbraw/zinc/40/13/43/859401343.db2.gz JRXHXUWQYRRKON-LLVKDONJSA-N -1 1 319.386 1.551 20 0 DDADMM Cc1ccc(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)nc1C ZINC001123898773 859461467 /nfs/dbraw/zinc/46/14/67/859461467.db2.gz NFNHQHOHMUEGLN-UHFFFAOYSA-N -1 1 304.375 1.721 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC2(CCCC2=O)CC1 ZINC001123928805 859479656 /nfs/dbraw/zinc/47/96/56/859479656.db2.gz UYTLCEJIAYZCAH-UHFFFAOYSA-N -1 1 321.402 1.755 20 0 DDADMM O=C(C(=O)N1CCc2ccccc2[C@H]1CO)c1ccc([O-])cc1 ZINC001137936736 859992250 /nfs/dbraw/zinc/99/22/50/859992250.db2.gz VBPURWCSXBMEBL-MRXNPFEDSA-N -1 1 311.337 1.693 20 0 DDADMM O=C([O-])Cn1cc(CN2CC[C@@](F)(CO)C2)c2ccccc21 ZINC001138188812 860037473 /nfs/dbraw/zinc/03/74/73/860037473.db2.gz MBSYVMTYPXUUMR-INIZCTEOSA-N -1 1 306.337 1.632 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)s1 ZINC001381345959 881538626 /nfs/dbraw/zinc/53/86/26/881538626.db2.gz FLSUAUYDQHZGEU-IUCAKERBSA-N -1 1 309.395 1.177 20 0 DDADMM Cc1[nH]c(CN2CCC[C@]3(CCNC3=O)C2)c(C)c1C(=O)[O-] ZINC001140494745 860645540 /nfs/dbraw/zinc/64/55/40/860645540.db2.gz LJEIGUKUJBRJPQ-INIZCTEOSA-N -1 1 305.378 1.432 20 0 DDADMM CCOC(=O)[C@@H]1CCN(Cc2ccccc2C(=O)[O-])CCC1=O ZINC001140506667 860647514 /nfs/dbraw/zinc/64/75/14/860647514.db2.gz ZANGOMNOVIACNH-CQSZACIVSA-N -1 1 319.357 1.729 20 0 DDADMM COC(=O)c1onc(C)c1NC(=O)c1ccc2[nH][n-]c(=O)c2c1 ZINC001154206482 860869914 /nfs/dbraw/zinc/86/99/14/860869914.db2.gz WBKXIVFAEDSKOC-UHFFFAOYSA-N -1 1 316.273 1.604 20 0 DDADMM COCCn1cc(C(=O)Nc2cc(F)cc(F)c2[O-])ccc1=O ZINC001142542533 861176077 /nfs/dbraw/zinc/17/60/77/861176077.db2.gz RBTZOTXJDXRUIY-UHFFFAOYSA-N -1 1 324.283 1.731 20 0 DDADMM Cc1nc2ccc(C(=O)N=c3ncnc4[nH][n-]c(C)c3-4)cc2o1 ZINC001152106311 863164395 /nfs/dbraw/zinc/16/43/95/863164395.db2.gz LBPGUGVZNSRDTJ-UHFFFAOYSA-N -1 1 308.301 1.737 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c2ccccc2ncc1C(F)(F)F ZINC001152325131 863276130 /nfs/dbraw/zinc/27/61/30/863276130.db2.gz VZZHBXSASKSDAH-UHFFFAOYSA-N -1 1 322.250 1.697 20 0 DDADMM CC(C)(O)[C@H](F)CNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152685109 863494291 /nfs/dbraw/zinc/49/42/91/863494291.db2.gz LTTWXWMQMJPFQN-GFCCVEGCSA-N -1 1 308.309 1.485 20 0 DDADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CC=CC1 ZINC001329877104 863915247 /nfs/dbraw/zinc/91/52/47/863915247.db2.gz UNDREASTYWBMMC-LBPRGKRZSA-N -1 1 307.398 1.241 20 0 DDADMM N=c1c(N)c(Cl)ccn1C(=O)c1cnc2cccnc2c1[O-] ZINC001153851366 864158037 /nfs/dbraw/zinc/15/80/37/864158037.db2.gz MPOJZDBRDOJSGG-UHFFFAOYSA-N -1 1 315.720 1.128 20 0 DDADMM COc1ccc(CCNC(=O)c2c[n-]c3cccnc3c2=O)nc1 ZINC001153862105 864172086 /nfs/dbraw/zinc/17/20/86/864172086.db2.gz PPOLKMFJVSFYBY-UHFFFAOYSA-N -1 1 324.340 1.299 20 0 DDADMM O=C(Nc1ncnc2n[nH]nc21)c1c(O)cc2ccccc2c1[O-] ZINC001154022836 864329831 /nfs/dbraw/zinc/32/98/31/864329831.db2.gz GDQNOUJORKSHAD-UHFFFAOYSA-N -1 1 322.284 1.565 20 0 DDADMM CC(C)(O)CO[N-]C(=O)[C@@H]1CCCCN1Cc1ccncc1 ZINC001331135286 864888746 /nfs/dbraw/zinc/88/87/46/864888746.db2.gz LYOCMTZMZMWZDG-AWEZNQCLSA-N -1 1 307.394 1.255 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C2(COC)CC2)[n-]c1Cl ZINC001361528697 881835746 /nfs/dbraw/zinc/83/57/46/881835746.db2.gz FWSPEANMGUHMBF-UHFFFAOYSA-N -1 1 301.730 1.605 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nc(Cl)nc4ccoc43)c2[nH]1 ZINC001159184957 865152078 /nfs/dbraw/zinc/15/20/78/865152078.db2.gz DHKQYVCVHZXSTR-UHFFFAOYSA-N -1 1 319.668 1.649 20 0 DDADMM Cc1ccc2nc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)ncc2c1 ZINC001159189628 865156303 /nfs/dbraw/zinc/15/63/03/865156303.db2.gz MXSCBIFIGXOYJE-UHFFFAOYSA-N -1 1 309.289 1.711 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nc4[nH]ccc4cc3Cl)c2[nH]1 ZINC001159189009 865156398 /nfs/dbraw/zinc/15/63/98/865156398.db2.gz GBMMANRRTXULKA-UHFFFAOYSA-N -1 1 317.696 1.941 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc3ncccc3nc2Cl)[n-]1 ZINC001159197868 865160411 /nfs/dbraw/zinc/16/04/11/865160411.db2.gz BXDYIHZINWEMAK-UHFFFAOYSA-N -1 1 314.696 1.794 20 0 DDADMM CC(C)OC(=O)c1cccnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159203847 865167104 /nfs/dbraw/zinc/16/71/04/865167104.db2.gz DYMYPFMGNJLJFB-UHFFFAOYSA-N -1 1 314.305 1.762 20 0 DDADMM CCC1(C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001381474331 881873859 /nfs/dbraw/zinc/87/38/59/881873859.db2.gz BSABSVHUGKBMRG-HAQNSBGRSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@]1(c2nc(-c3ccccc3/C=C/C(=O)[O-])no2)CNCCO1 ZINC001332279519 865722546 /nfs/dbraw/zinc/72/25/46/865722546.db2.gz WEERLAUQDCBGOU-MOEXGYKKSA-N -1 1 315.329 1.669 20 0 DDADMM O=C(Nc1cccc(Cc2nn[nH]n2)c1)c1cccc([O-])c1F ZINC001160955178 866215999 /nfs/dbraw/zinc/21/59/99/866215999.db2.gz OLIZURXDFJXPRM-UHFFFAOYSA-N -1 1 313.292 1.888 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCN(c2ncccn2)CC1 ZINC001361589494 881957074 /nfs/dbraw/zinc/95/70/74/881957074.db2.gz LCHVJPOSJWHHQC-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM CCC(=CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)CC ZINC001225656154 881960544 /nfs/dbraw/zinc/96/05/44/881960544.db2.gz ATLXDPGCUNBWPC-CHWSQXEVSA-N -1 1 321.425 1.774 20 0 DDADMM CC1(C)OC[C@H]2OC=C[C@@H](Oc3cc(=O)[n-]c(=S)[nH]3)[C@@H]2O1 ZINC001225762191 882017081 /nfs/dbraw/zinc/01/70/81/882017081.db2.gz IDFIHBWSGMDUGY-XLDPMVHQSA-N -1 1 312.347 1.282 20 0 DDADMM CC(=O)c1ccc(C(=O)[N-]c2ncn(Cc3cccnc3)n2)o1 ZINC001320835363 867063844 /nfs/dbraw/zinc/06/38/44/867063844.db2.gz MQRCIKAHLZUVKK-UHFFFAOYSA-N -1 1 311.301 1.769 20 0 DDADMM Cc1ncsc1CNCC=CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001321031043 867239155 /nfs/dbraw/zinc/23/91/55/867239155.db2.gz MMPQYWRJNZCYHJ-ONEGZZNKSA-N -1 1 321.406 1.264 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)[C@@H]1CC[C@H]1C(=O)OC(C)(C)C ZINC001361650516 882087355 /nfs/dbraw/zinc/08/73/55/882087355.db2.gz OSOHHNHMKDWJAB-RKDXNWHRSA-N -1 1 323.349 1.503 20 0 DDADMM Cc1c(Br)cc(F)cc1C(=O)N(C)c1nn[n-]n1 ZINC001361671831 882130664 /nfs/dbraw/zinc/13/06/64/882130664.db2.gz BIGZODJURVHMRI-UHFFFAOYSA-N -1 1 314.118 1.686 20 0 DDADMM CCOCC[C@H](NCc1cn(-c2ccccc2C)nn1)C(=O)[O-] ZINC001334853612 867868897 /nfs/dbraw/zinc/86/88/97/867868897.db2.gz RTJDTXZUEOMAJO-AWEZNQCLSA-N -1 1 318.377 1.545 20 0 DDADMM CN(C(=O)c1ncc(C(F)(F)F)cc1Cl)c1nn[n-]n1 ZINC001361674120 882133990 /nfs/dbraw/zinc/13/39/90/882133990.db2.gz RSZTUOKZFDXULS-UHFFFAOYSA-N -1 1 306.635 1.544 20 0 DDADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC=CCC1 ZINC001163390016 868412966 /nfs/dbraw/zinc/41/29/66/868412966.db2.gz ZVADBHOFXAUUGK-GFCCVEGCSA-N -1 1 319.409 1.243 20 0 DDADMM CC(C)OC[C@H](NCc1cccnc1Br)C(=O)[O-] ZINC001335818665 868558081 /nfs/dbraw/zinc/55/80/81/868558081.db2.gz RRHVIYVVWGRKDG-JTQLQIEISA-N -1 1 317.183 1.812 20 0 DDADMM C[C@@H](C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)C(C)(C)C ZINC001165766977 869705295 /nfs/dbraw/zinc/70/52/95/869705295.db2.gz CGJRLIYPBSCTME-NSHDSACASA-N -1 1 323.441 1.569 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccnc(F)c2)n[n-]1 ZINC001166126673 869850390 /nfs/dbraw/zinc/85/03/90/869850390.db2.gz MPZOGYBBCFNTSI-SSDOTTSWSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccnc(F)c2)[n-]1 ZINC001166126673 869850394 /nfs/dbraw/zinc/85/03/94/869850394.db2.gz MPZOGYBBCFNTSI-SSDOTTSWSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccnc(F)c2)n1 ZINC001166126673 869850405 /nfs/dbraw/zinc/85/04/05/869850405.db2.gz MPZOGYBBCFNTSI-SSDOTTSWSA-N -1 1 307.285 1.007 20 0 DDADMM Cc1cnc(N2CCC([N-]C(=O)C(F)(F)F)CC2)c(C)n1 ZINC001166896930 870351016 /nfs/dbraw/zinc/35/10/16/870351016.db2.gz OFAYYCCWAJXBLQ-UHFFFAOYSA-N -1 1 302.300 1.741 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2n[nH]c3cc(O)ccc32)c[nH]1 ZINC001298306866 870456995 /nfs/dbraw/zinc/45/69/95/870456995.db2.gz RMZYWUARRHXVHB-UHFFFAOYSA-N -1 1 300.274 1.636 20 0 DDADMM CCCCC[C@H](CC)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001317310113 870619533 /nfs/dbraw/zinc/61/95/33/870619533.db2.gz FWHIUHXIXMSLIZ-STQMWFEESA-N -1 1 323.441 1.807 20 0 DDADMM COC(=O)c1nsnc1[N-]c1cnn(C2CCOCC2)c1 ZINC001203872487 870809006 /nfs/dbraw/zinc/80/90/06/870809006.db2.gz FCVYNWHZONQEON-UHFFFAOYSA-N -1 1 309.351 1.616 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2ccc(C(=O)[O-])cc2)CCS1 ZINC001204158085 870969090 /nfs/dbraw/zinc/96/90/90/870969090.db2.gz JMZJDRPEZNVFDF-CYBMUJFWSA-N -1 1 309.387 1.865 20 0 DDADMM O=C([O-])[C@@H](O)CCNCc1c(F)cccc1OC(F)(F)F ZINC001339254148 871389707 /nfs/dbraw/zinc/38/97/07/871389707.db2.gz IZBIYGZHBPRAKW-VIFPVBQESA-N -1 1 311.231 1.650 20 0 DDADMM Cn1c(=O)cc(C(=O)[N-]O[C@H]2CCCCO2)c2ccccc21 ZINC001318100903 871746086 /nfs/dbraw/zinc/74/60/86/871746086.db2.gz QOHWGTNVNCTOKP-HNNXBMFYSA-N -1 1 302.330 1.727 20 0 DDADMM CCc1ccccc1N1C[C@@H](C(=O)Nc2c[n-][nH]c2=O)CC1=O ZINC001413843133 871755981 /nfs/dbraw/zinc/75/59/81/871755981.db2.gz GVDSIRCCGSRUQA-NSHDSACASA-N -1 1 314.345 1.669 20 0 DDADMM CC(=O)Nc1ccc(Cl)cc1NC(=O)CCCc1nn[n-]n1 ZINC001361872859 882544894 /nfs/dbraw/zinc/54/48/94/882544894.db2.gz WKPMPIVRTVOUKK-UHFFFAOYSA-N -1 1 322.756 1.773 20 0 DDADMM Cc1c(C(=O)[N-]S(=O)(=O)c2cccnc2)ccc2cncn21 ZINC001342145304 872034254 /nfs/dbraw/zinc/03/42/54/872034254.db2.gz GGMANVLZIDZTAX-UHFFFAOYSA-N -1 1 316.342 1.156 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2nn(C)cc2C)[n-]1 ZINC001361894418 882592085 /nfs/dbraw/zinc/59/20/85/882592085.db2.gz HPBYPRNZXWSBOW-UHFFFAOYSA-N -1 1 304.306 1.298 20 0 DDADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C=CC(C)(C)C ZINC001319606018 872714688 /nfs/dbraw/zinc/71/46/88/872714688.db2.gz UUBGTNPKSDZWJO-DGMVEKRQSA-N -1 1 323.441 1.733 20 0 DDADMM O=c1[n-]cncc1OC1CCN(c2ccnc(Cl)n2)CC1 ZINC001227049081 882793546 /nfs/dbraw/zinc/79/35/46/882793546.db2.gz QCZZYBRROGOSOB-UHFFFAOYSA-N -1 1 307.741 1.673 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(Oc2ccccc2)C1 ZINC001347581072 874201639 /nfs/dbraw/zinc/20/16/39/874201639.db2.gz SUYMPKJXSZAZCQ-UHFFFAOYSA-N -1 1 317.370 1.683 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H](C)Oc1ccccc1 ZINC001347660762 874232661 /nfs/dbraw/zinc/23/26/61/874232661.db2.gz NCTOSZAQJPXWQZ-VIFPVBQESA-N -1 1 319.386 1.977 20 0 DDADMM Cc1nc(Cl)ccc1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001347673315 874237719 /nfs/dbraw/zinc/23/77/19/874237719.db2.gz XTBFKACRCXHOCZ-JTQLQIEISA-N -1 1 320.784 1.651 20 0 DDADMM Cc1cnc(Cl)c(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)c1 ZINC001348164131 874391661 /nfs/dbraw/zinc/39/16/61/874391661.db2.gz AYSCGBISZJJOJE-JTQLQIEISA-N -1 1 320.784 1.651 20 0 DDADMM Cc1ccc([C@@H](O)CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cc1 ZINC001348536913 874621129 /nfs/dbraw/zinc/62/11/29/874621129.db2.gz LLAMNHYUJUZENV-NSHDSACASA-N -1 1 319.386 1.551 20 0 DDADMM COCC(=O)c1ccc([O-])cc1OC1CCS(=O)(=O)CC1 ZINC001227169776 882890884 /nfs/dbraw/zinc/89/08/84/882890884.db2.gz ZPFQIGJDERIAJX-UHFFFAOYSA-N -1 1 314.359 1.177 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cc(F)ccc2CO)cc1N ZINC001210184855 875261786 /nfs/dbraw/zinc/26/17/86/875261786.db2.gz DAYWXXRJRCLGGL-UHFFFAOYSA-N -1 1 311.338 1.283 20 0 DDADMM CCC[C@@H](NC(=O)c1cn2c(n1)C[C@@H](C)CC2)c1nn[n-]n1 ZINC001362068205 882956276 /nfs/dbraw/zinc/95/62/76/882956276.db2.gz CRUPPPYLHLIMAE-VHSXEESVSA-N -1 1 303.370 1.250 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CCC1CCCCC1 ZINC001378842398 875752621 /nfs/dbraw/zinc/75/26/21/875752621.db2.gz CWHHTRCSQWVHSZ-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM Cc1cc(C(=O)NCCCCN2CCOCC2)oc1C(=O)[O-] ZINC001350797733 875897307 /nfs/dbraw/zinc/89/73/07/875897307.db2.gz UNXNWPQTUUZEGK-UHFFFAOYSA-N -1 1 310.350 1.128 20 0 DDADMM CCCNC(=O)NC(=O)CSc1nc(C)c(C2CC2)c(=O)[n-]1 ZINC001413920681 876141030 /nfs/dbraw/zinc/14/10/30/876141030.db2.gz RDSGCICVKGBRQI-UHFFFAOYSA-N -1 1 324.406 1.696 20 0 DDADMM CC/C=C\CCOC(=O)[C@H](C)Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1 ZINC001227429369 883007545 /nfs/dbraw/zinc/00/75/45/883007545.db2.gz BLIRWOGVELCRBK-LGYSABEFSA-N -1 1 322.321 1.431 20 0 DDADMM CC/C=C\CCOC(=O)[C@H](C)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227429369 883007565 /nfs/dbraw/zinc/00/75/65/883007565.db2.gz BLIRWOGVELCRBK-LGYSABEFSA-N -1 1 322.321 1.431 20 0 DDADMM CN(CCCNC(=O)C1CC(C)(C)C1)C(=O)c1ncccc1[O-] ZINC001351443235 876252975 /nfs/dbraw/zinc/25/29/75/876252975.db2.gz KGHNFXLYUSDYSW-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM COc1ccccc1[C@H](C)Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1 ZINC001227433307 883014203 /nfs/dbraw/zinc/01/42/03/883014203.db2.gz BPQQKRAYMLCQMF-ZETCQYMHSA-N -1 1 302.290 1.913 20 0 DDADMM COc1ccccc1[C@H](C)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227433307 883014223 /nfs/dbraw/zinc/01/42/23/883014223.db2.gz BPQQKRAYMLCQMF-ZETCQYMHSA-N -1 1 302.290 1.913 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCc2ncsc2C1 ZINC001351846271 876462585 /nfs/dbraw/zinc/46/25/85/876462585.db2.gz FRYZWQYFAHLARY-UHFFFAOYSA-N -1 1 308.388 1.434 20 0 DDADMM O=C(C[C@H]1CCCCO1)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001362103893 883045152 /nfs/dbraw/zinc/04/51/52/883045152.db2.gz SKYKIHKDECBFBQ-CYBMUJFWSA-N -1 1 317.349 1.676 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2ccc(F)c3n[nH]cc32)ccc1O ZINC001215377599 876686741 /nfs/dbraw/zinc/68/67/41/876686741.db2.gz UJWNITQZXZFASW-UHFFFAOYSA-N -1 1 322.321 1.791 20 0 DDADMM C[C@H](CN(C)C(=O)C(C)(C)C(C)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001379308975 876788955 /nfs/dbraw/zinc/78/89/55/876788955.db2.gz FQXBMCCAWKAEGO-MRVPVSSYSA-N -1 1 319.356 1.128 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccc(N)c(N)c2)c2c[nH]nc21 ZINC001215758031 876801991 /nfs/dbraw/zinc/80/19/91/876801991.db2.gz FROSJDFHIDPLSQ-UHFFFAOYSA-N -1 1 317.374 1.837 20 0 DDADMM COc1ncc(Nc2cccc(N)c2O)cc1[N-]S(C)(=O)=O ZINC001216157655 876880459 /nfs/dbraw/zinc/88/04/59/876880459.db2.gz SMDDBEVFMXNUMS-UHFFFAOYSA-N -1 1 324.362 1.493 20 0 DDADMM CCc1nnc([C@H](C)NC[C@@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001379347932 876882176 /nfs/dbraw/zinc/88/21/76/876882176.db2.gz ISBFAZBTGUCMFN-ZJUUUORDSA-N -1 1 319.365 1.202 20 0 DDADMM Cn1c(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)cc2ccccc21 ZINC001352794034 876934877 /nfs/dbraw/zinc/93/48/77/876934877.db2.gz RVBZKSZTUCQVFJ-GFCCVEGCSA-N -1 1 324.388 1.786 20 0 DDADMM O=c1nc(O[C@H]2CC[C@@H](OCc3ccccc3)C2)[n-]c(=O)[nH]1 ZINC001227570803 883088065 /nfs/dbraw/zinc/08/80/65/883088065.db2.gz WQLNNKVGZPLDOK-NEPJUHHUSA-N -1 1 303.318 1.800 20 0 DDADMM C[C@H](CNC(=O)Cc1ccccc1)NC(=O)c1ncccc1[O-] ZINC001416804117 877316505 /nfs/dbraw/zinc/31/65/05/877316505.db2.gz WKFZYJHSWZDWAI-GFCCVEGCSA-N -1 1 313.357 1.264 20 0 DDADMM CCC[C@H]1CCCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001354842282 878264936 /nfs/dbraw/zinc/26/49/36/878264936.db2.gz JVPUXIQBRMUMHN-NSHDSACASA-N -1 1 315.377 1.071 20 0 DDADMM C[C@@H](C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-])C1CCC1 ZINC001395601340 912362420 /nfs/dbraw/zinc/36/24/20/912362420.db2.gz ZFFGOJCHTZABCI-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(NCCCCCO)c1cc([O-])c(Br)c(O)c1 ZINC001221288629 879656050 /nfs/dbraw/zinc/65/60/50/879656050.db2.gz HTZSHXSLHJMESN-UHFFFAOYSA-N -1 1 318.167 1.753 20 0 DDADMM CN(CC(C)(C)CNC(=O)c1ncccc1[O-])C(=O)C1CC1 ZINC001380519761 879844715 /nfs/dbraw/zinc/84/47/15/879844715.db2.gz UTJGSJVGOLXNLH-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM CC1(C)CCC[C@@H]1[C@H]1CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)C1 ZINC001357668931 880127132 /nfs/dbraw/zinc/12/71/32/880127132.db2.gz VKMIMCWVWDGESA-AHIWAGSCSA-N -1 1 324.421 1.104 20 0 DDADMM CC1(C)CCC[C@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001380875971 880584103 /nfs/dbraw/zinc/58/41/03/880584103.db2.gz FKINTMVEZGBCEM-NSHDSACASA-N -1 1 321.425 1.465 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2ccc([O-])cc2F)cn1 ZINC001362203292 883289220 /nfs/dbraw/zinc/28/92/20/883289220.db2.gz SWUWEIAGEXHEKB-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM COC(=O)[C@@H](c1ccccc1)N(C)C(=O)c1ccc([O-])cn1 ZINC001362218260 883326462 /nfs/dbraw/zinc/32/64/62/883326462.db2.gz NNSAXIXQMVXALJ-CQSZACIVSA-N -1 1 300.314 1.774 20 0 DDADMM COCc1nc2n(n1)C[C@@H](NC(=O)c1cccc([O-])c1F)CC2 ZINC001362229570 883356202 /nfs/dbraw/zinc/35/62/02/883356202.db2.gz IOKMWUWZDBGLBL-VIFPVBQESA-N -1 1 320.324 1.014 20 0 DDADMM C[C@@H](CN(C)C(=O)CC1(C)CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001382074796 883358251 /nfs/dbraw/zinc/35/82/51/883358251.db2.gz WNCNGCBHUKVSBR-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM CSc1ncc(C(=O)N2CCC(CCC(N)=O)CC2)c(=O)[n-]1 ZINC001362273770 883457094 /nfs/dbraw/zinc/45/70/94/883457094.db2.gz JFCNTVKXTURJQJ-UHFFFAOYSA-N -1 1 324.406 1.022 20 0 DDADMM O=C(N[C@@H](CO)[C@H]1CCOC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362284036 883480397 /nfs/dbraw/zinc/48/03/97/883480397.db2.gz NDEGARJZPKJCEI-ONGXEEELSA-N -1 1 319.279 1.538 20 0 DDADMM C[C@@H]1c2nc(C(=O)N(C)C)cn2CCN1Cc1ncccc1[O-] ZINC001277318756 883599223 /nfs/dbraw/zinc/59/92/23/883599223.db2.gz SULLMIXYQNLPPF-LLVKDONJSA-N -1 1 315.377 1.262 20 0 DDADMM COC(=O)C[C@@H]1CCCN1C(=O)c1cnc(SC)[n-]c1=O ZINC001362340930 883603778 /nfs/dbraw/zinc/60/37/78/883603778.db2.gz SSIGJHDPGXWLOG-QMMMGPOBSA-N -1 1 311.363 1.072 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC(N2CN=NC2=O)CC1 ZINC001362403854 883732850 /nfs/dbraw/zinc/73/28/50/883732850.db2.gz MEQVCBZVWSVZDG-UHFFFAOYSA-N -1 1 306.297 1.306 20 0 DDADMM CCC(CC)(NC(=O)c1cncc(OC)c1C)c1nn[n-]n1 ZINC001362421623 883773331 /nfs/dbraw/zinc/77/33/31/883773331.db2.gz FRLJYJOHSOLQIQ-UHFFFAOYSA-N -1 1 304.354 1.357 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](O)c1cccc(OC)c1)c1nn[n-]n1 ZINC001362500362 883950738 /nfs/dbraw/zinc/95/07/38/883950738.db2.gz YUOXMTOBIUNHCA-LBPRGKRZSA-N -1 1 319.365 1.073 20 0 DDADMM C[C@@H](OC1CCCCC1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362534947 884026806 /nfs/dbraw/zinc/02/68/06/884026806.db2.gz CNAIDHGXOVQBEQ-NEPJUHHUSA-N -1 1 307.398 1.644 20 0 DDADMM C[C@@H](CON)Oc1ccccc1S(=O)(=O)c1ccc([O-])cc1 ZINC001229586220 884060706 /nfs/dbraw/zinc/06/07/06/884060706.db2.gz YSPJPUJINCGBES-NSHDSACASA-N -1 1 323.370 1.883 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2CCCc3nn(C)cc32)c(=O)[n-]1 ZINC001362579132 884139558 /nfs/dbraw/zinc/13/95/58/884139558.db2.gz CXMBPOQKGZSRDK-JTQLQIEISA-N -1 1 319.390 1.445 20 0 DDADMM CC(C)(CCNC(=O)c1cccc([O-])c1F)S(C)(=O)=O ZINC001362588515 884161002 /nfs/dbraw/zinc/16/10/02/884161002.db2.gz KLKZXZRGWWWVRA-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM C[C@H](OCc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001362721363 884484471 /nfs/dbraw/zinc/48/44/71/884484471.db2.gz VANHUQKYRMGCSE-JTQLQIEISA-N -1 1 303.318 1.109 20 0 DDADMM O=C(NCc1cc(=O)[nH]c(C2CCC2)n1)c1ncccc1[O-] ZINC001362745373 884535257 /nfs/dbraw/zinc/53/52/57/884535257.db2.gz UZGGKZCVJSXHMF-UHFFFAOYSA-N -1 1 300.318 1.480 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H](CO)c1ccccn1 ZINC001362750426 884547176 /nfs/dbraw/zinc/54/71/76/884547176.db2.gz ZCAKNVNLXANTEI-ZDUSSCGKSA-N -1 1 306.749 1.833 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(=O)[nH]cc1Br ZINC001362796190 884662669 /nfs/dbraw/zinc/66/26/69/884662669.db2.gz PEAMXNFFOBQCHZ-UHFFFAOYSA-N -1 1 313.111 1.539 20 0 DDADMM CCSCc1cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)no1 ZINC001362830756 884744207 /nfs/dbraw/zinc/74/42/07/884744207.db2.gz MDUMJFXOVNJMHG-UHFFFAOYSA-N -1 1 324.410 1.739 20 0 DDADMM COC1CCC(NC(=O)c2c(C)[n-]c(=O)nc2SC)CC1 ZINC001362844653 884779029 /nfs/dbraw/zinc/77/90/29/884779029.db2.gz ZWGCJQNJFONDCE-UHFFFAOYSA-N -1 1 311.407 1.900 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC[C@H](C(=O)N2CCCC2)C1 ZINC001362963571 885099828 /nfs/dbraw/zinc/09/98/28/885099828.db2.gz UERYTMFVNXZWQV-NSHDSACASA-N -1 1 306.337 1.616 20 0 DDADMM CC[S@](C)(=O)=NC(=O)c1cc(C(=O)OC(C)(C)C)n[n-]1 ZINC001362976048 885126271 /nfs/dbraw/zinc/12/62/71/885126271.db2.gz YEFZQMZARDAKPI-FQEVSTJZSA-N -1 1 301.368 1.623 20 0 DDADMM C[C@@H](NC(=O)c1ccc([O-])cn1)C(=O)OCc1ccccc1 ZINC001362984580 885145461 /nfs/dbraw/zinc/14/54/61/885145461.db2.gz KVIWJJPMNUKUMY-LLVKDONJSA-N -1 1 300.314 1.649 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3cccc([O-])c3F)[C@H]2C1 ZINC001362985226 885148355 /nfs/dbraw/zinc/14/83/55/885148355.db2.gz WBXKTEBWCDUXPI-FPMFFAJLSA-N -1 1 320.364 1.908 20 0 DDADMM O=S1(=O)CCC(Oc2cccnc2-c2ncccc2[O-])CC1 ZINC001231199984 885370528 /nfs/dbraw/zinc/37/05/28/885370528.db2.gz ICIHSVYTIMFRLS-UHFFFAOYSA-N -1 1 320.370 1.805 20 0 DDADMM O=C(NCc1nc([C@@H]2CCOC2)n[nH]1)c1ccc([O-])c(F)c1 ZINC001363122794 885522356 /nfs/dbraw/zinc/52/23/56/885522356.db2.gz OIIICMNUFNFPKB-SECBINFHSA-N -1 1 306.297 1.083 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@](F)(C(=O)Nc2c[n-][nH]c2=O)C1 ZINC001363129981 885539877 /nfs/dbraw/zinc/53/98/77/885539877.db2.gz UICGICKCKGEFBC-ZDUSSCGKSA-N -1 1 314.317 1.403 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]c2sccc2C(=O)OC)on1 ZINC001363175083 885642096 /nfs/dbraw/zinc/64/20/96/885642096.db2.gz BCASBCGTHBRIBR-UHFFFAOYSA-N -1 1 324.314 1.952 20 0 DDADMM O=C(CNC(=O)c1cnncc1[O-])c1cc(Cl)ccc1F ZINC001363187884 885667511 /nfs/dbraw/zinc/66/75/11/885667511.db2.gz BZDIPGOVYPYICZ-UHFFFAOYSA-N -1 1 309.684 1.587 20 0 DDADMM O=C([C@H]1CC12CN(Cc1ccc([O-])c(F)c1F)C2)N1CC=CC1 ZINC001277543609 885769021 /nfs/dbraw/zinc/76/90/21/885769021.db2.gz STONKVGCBBGNMN-GFCCVEGCSA-N -1 1 320.339 1.891 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(C)cc(C)n2)n[n-]1 ZINC001363232673 885777548 /nfs/dbraw/zinc/77/75/48/885777548.db2.gz RWGTUGSWOAZVPL-JTQLQIEISA-N -1 1 317.349 1.484 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(C)cc(C)n2)[n-]1 ZINC001363232673 885777562 /nfs/dbraw/zinc/77/75/62/885777562.db2.gz RWGTUGSWOAZVPL-JTQLQIEISA-N -1 1 317.349 1.484 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(C)cc(C)n2)n1 ZINC001363232673 885777586 /nfs/dbraw/zinc/77/75/86/885777586.db2.gz RWGTUGSWOAZVPL-JTQLQIEISA-N -1 1 317.349 1.484 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363235198 885785658 /nfs/dbraw/zinc/78/56/58/885785658.db2.gz NCIHJJWMXJFBQP-PWSUYJOCSA-N -1 1 319.361 1.521 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cccc(NC(=O)N(C)C)c2)c1[O-] ZINC001363263293 885853892 /nfs/dbraw/zinc/85/38/92/885853892.db2.gz LSLRJHQXFIZSOU-UHFFFAOYSA-N -1 1 303.322 1.770 20 0 DDADMM O=C([O-])COc1ccccc1CN1CCC2(CCOC2=O)CC1 ZINC001231793948 885877005 /nfs/dbraw/zinc/87/70/05/885877005.db2.gz OTZOJRWYSHZWDB-UHFFFAOYSA-N -1 1 319.357 1.679 20 0 DDADMM O=C([O-])COc1ccccc1CN1CCC[C@H](n2cncn2)C1 ZINC001231792796 885877087 /nfs/dbraw/zinc/87/70/87/885877087.db2.gz JTDBRWWJLNNAGR-AWEZNQCLSA-N -1 1 316.361 1.579 20 0 DDADMM O=C(N[C@@H](CO)CC1OCCO1)c1cc2ccccc2cc1[O-] ZINC001363274460 885880723 /nfs/dbraw/zinc/88/07/23/885880723.db2.gz BRUWUYIUWNUNMJ-CYBMUJFWSA-N -1 1 317.341 1.399 20 0 DDADMM CCn1cc(Cl)c(C(=O)N(Cc2nn[n-]n2)CC(C)C)n1 ZINC001363276087 885882707 /nfs/dbraw/zinc/88/27/07/885882707.db2.gz JQRZUAURZUZPKD-UHFFFAOYSA-N -1 1 311.777 1.368 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@H]1CC[C@@H](C2CC2)O1 ZINC001363276750 885887580 /nfs/dbraw/zinc/88/75/80/885887580.db2.gz OWFRQGJRBHSACA-OLZOCXBDSA-N -1 1 307.398 1.532 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC[C@]23CCOC3)c(=O)[n-]1 ZINC001363392197 886207122 /nfs/dbraw/zinc/20/71/22/886207122.db2.gz JEXOMGJOAVLXEI-OAHLLOKOSA-N -1 1 323.418 1.537 20 0 DDADMM CCc1cc(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)nn1C ZINC001363421200 886292409 /nfs/dbraw/zinc/29/24/09/886292409.db2.gz QMGOKULOVCYFBP-UHFFFAOYSA-N -1 1 319.365 1.913 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(C(F)F)c(F)c1)c1nn[n-]n1 ZINC001363438031 886334545 /nfs/dbraw/zinc/33/45/45/886334545.db2.gz SXTBOYZJEDMPGW-SECBINFHSA-N -1 1 315.255 1.394 20 0 DDADMM C[C@@H]1CC[C@H](C(N)=O)[C@H](C)N1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363456514 886388771 /nfs/dbraw/zinc/38/87/71/886388771.db2.gz KAEVWLHMYKZTAB-YWVKMMECSA-N -1 1 318.377 1.174 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H]2CCC(=O)[C@@H]2C)n[n-]1 ZINC001363477065 886443793 /nfs/dbraw/zinc/44/37/93/886443793.db2.gz WGMZAFRFPAIRKC-KXUCPTDWSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H]2CCC(=O)[C@@H]2C)[n-]1 ZINC001363477065 886443809 /nfs/dbraw/zinc/44/38/09/886443809.db2.gz WGMZAFRFPAIRKC-KXUCPTDWSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H]2CCC(=O)[C@@H]2C)n1 ZINC001363477065 886443817 /nfs/dbraw/zinc/44/38/17/886443817.db2.gz WGMZAFRFPAIRKC-KXUCPTDWSA-N -1 1 322.365 1.164 20 0 DDADMM COC(=O)c1cc(NC(=O)c2cnncc2O)ccc1SC ZINC001363528845 886557330 /nfs/dbraw/zinc/55/73/30/886557330.db2.gz FIAVZMZVMZEPMD-UHFFFAOYSA-N -1 1 319.342 1.943 20 0 DDADMM COC(=O)c1cc(NC(=O)c2cnncc2[O-])ccc1SC ZINC001363528845 886557339 /nfs/dbraw/zinc/55/73/39/886557339.db2.gz FIAVZMZVMZEPMD-UHFFFAOYSA-N -1 1 319.342 1.943 20 0 DDADMM COCc1cncc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001363540949 886586725 /nfs/dbraw/zinc/58/67/25/886586725.db2.gz BOQORGAUOVJOMZ-UHFFFAOYSA-N -1 1 304.354 1.040 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)COc1ccc(F)cc1 ZINC001363542528 886592700 /nfs/dbraw/zinc/59/27/00/886592700.db2.gz GEZNPTLXOWNTRB-UHFFFAOYSA-N -1 1 307.329 1.402 20 0 DDADMM O=C1COCC2(CCN(Cc3ccc(F)c([O-])c3F)CC2)N1 ZINC001232933274 886682718 /nfs/dbraw/zinc/68/27/18/886682718.db2.gz HBHXBQVEZKLVND-UHFFFAOYSA-N -1 1 312.316 1.151 20 0 DDADMM COC[C@H](NC(=O)C[C@@H]1CCCc2ccccc21)c1nn[n-]n1 ZINC001363584415 886694466 /nfs/dbraw/zinc/69/44/66/886694466.db2.gz FESOAOLRBOWNGH-JSGCOSHPSA-N -1 1 315.377 1.514 20 0 DDADMM CN(Cc1cc([O-])c(F)c(F)c1)C1CCS(=O)(=O)CC1 ZINC001232976030 886706561 /nfs/dbraw/zinc/70/65/61/886706561.db2.gz UNFSCNNSPQQGLQ-UHFFFAOYSA-N -1 1 305.346 1.679 20 0 DDADMM COC[C@@H](NC(=O)Cc1ccc(F)c(Cl)c1)c1nn[n-]n1 ZINC001363589729 886706838 /nfs/dbraw/zinc/70/68/38/886706838.db2.gz PVYLWYCHARMTPO-SNVBAGLBSA-N -1 1 313.720 1.039 20 0 DDADMM C[C@H]1CN(C2CN(Cc3cc(Cl)ncc3[O-])C2)C[C@H](C)O1 ZINC001233041415 886750716 /nfs/dbraw/zinc/75/07/16/886750716.db2.gz WQJOZNZMBDBQQF-QWRGUYRKSA-N -1 1 311.813 1.734 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Cc2cnc(C)o2)[n-]c1=O ZINC001363629384 886814853 /nfs/dbraw/zinc/81/48/53/886814853.db2.gz DZPYVQKNXSVTSG-UHFFFAOYSA-N -1 1 306.322 1.081 20 0 DDADMM O=C(NCCOCCCO)c1ccc(Br)cc1[O-] ZINC000436728153 886882536 /nfs/dbraw/zinc/88/25/36/886882536.db2.gz IJKQLAUWAODDCK-UHFFFAOYSA-N -1 1 318.167 1.284 20 0 DDADMM COC(=O)c1cc(NC(=O)c2c(F)ccc([O-])c2F)n(C)n1 ZINC001363676777 886954150 /nfs/dbraw/zinc/95/41/50/886954150.db2.gz SAOWVQDVWCCADU-UHFFFAOYSA-N -1 1 311.244 1.443 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cnc(C)c(C)c2)o1 ZINC001363775067 887187766 /nfs/dbraw/zinc/18/77/66/887187766.db2.gz MLMFNDPGKGSDAW-UHFFFAOYSA-N -1 1 309.347 1.452 20 0 DDADMM O=C(N[C@H](CO)C(F)(F)F)c1c([O-])cnc2c(F)cccc21 ZINC001363824050 887321297 /nfs/dbraw/zinc/32/12/97/887321297.db2.gz ULIFADIUVVTKNL-SECBINFHSA-N -1 1 318.226 1.733 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1occc1Br)c1nc[nH]n1 ZINC001363832362 887341608 /nfs/dbraw/zinc/34/16/08/887341608.db2.gz LJPKGIMGTLMMQY-YFKPBYRVSA-N -1 1 321.156 1.200 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(Cl)o2)n[n-]1 ZINC001363889647 887481307 /nfs/dbraw/zinc/48/13/07/887481307.db2.gz VWFISRWJLFVWIJ-ZCFIWIBFSA-N -1 1 312.713 1.719 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(Cl)o2)[n-]1 ZINC001363889647 887481315 /nfs/dbraw/zinc/48/13/15/887481315.db2.gz VWFISRWJLFVWIJ-ZCFIWIBFSA-N -1 1 312.713 1.719 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(Cl)o2)n1 ZINC001363889647 887481323 /nfs/dbraw/zinc/48/13/23/887481323.db2.gz VWFISRWJLFVWIJ-ZCFIWIBFSA-N -1 1 312.713 1.719 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H]1CNC(=O)c1ccc([O-])cn1 ZINC001363895425 887492121 /nfs/dbraw/zinc/49/21/21/887492121.db2.gz RYSABBMPIWNARJ-SNVBAGLBSA-N -1 1 307.350 1.526 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1ccc(F)cc1)c1c[nH]nc1Cl ZINC001363922264 887546912 /nfs/dbraw/zinc/54/69/12/887546912.db2.gz ZSMHDVJOPQKGBB-VIFPVBQESA-N -1 1 319.745 1.214 20 0 DDADMM CCC[C@](C)([N-]S(=O)(=O)Cc1nocc1C)C(=O)OC ZINC001363924126 887549465 /nfs/dbraw/zinc/54/94/65/887549465.db2.gz ANMQJYWGVNCEGL-LBPRGKRZSA-N -1 1 304.368 1.134 20 0 DDADMM COC[C@@H](NC(=O)c1csc(C(F)(F)F)c1)c1nn[n-]n1 ZINC001364028371 887753549 /nfs/dbraw/zinc/75/35/49/887753549.db2.gz LBSQWBODHFYDOW-ZCFIWIBFSA-N -1 1 321.284 1.398 20 0 DDADMM O=C(CNC(=O)c1ccccc1Cl)Nc1ccncc1[O-] ZINC001364046722 887791915 /nfs/dbraw/zinc/79/19/15/887791915.db2.gz CUQNPLISCFHGJG-UHFFFAOYSA-N -1 1 305.721 1.231 20 0 DDADMM CCc1ccc(S(C)(=O)=O)cc1C(=O)Nc1c[n-][nH]c1=O ZINC001364092720 887900613 /nfs/dbraw/zinc/90/06/13/887900613.db2.gz MONBSBOVCVTMDE-UHFFFAOYSA-N -1 1 309.347 1.334 20 0 DDADMM CSc1nc(CNC(=O)Nc2ccnc(C)n2)cc(=O)[n-]1 ZINC001364112039 887946297 /nfs/dbraw/zinc/94/62/97/887946297.db2.gz FPAFTSUQZZMKAJ-UHFFFAOYSA-N -1 1 306.351 1.324 20 0 DDADMM COc1ccc(C[C@H](C)C(=O)Nc2c[n-][nH]c2=O)cc1OC ZINC001364130348 887987876 /nfs/dbraw/zinc/98/78/76/887987876.db2.gz APEXEOUCVMAJOS-VIFPVBQESA-N -1 1 305.334 1.950 20 0 DDADMM Cn1nc(C2CC2)cc1NC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001364134080 887996336 /nfs/dbraw/zinc/99/63/36/887996336.db2.gz KUAQTFQSWPZEJK-UHFFFAOYSA-N -1 1 316.369 1.222 20 0 DDADMM Cc1ccc(OC[C@H](C)Oc2c(C(N)=O)nc[n-]c2=O)cc1 ZINC001234472250 888005469 /nfs/dbraw/zinc/00/54/69/888005469.db2.gz NEFAKLDPBAFZTJ-JTQLQIEISA-N -1 1 303.318 1.436 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1OC1CCC(C(=O)OC)CC1 ZINC001234557382 888090733 /nfs/dbraw/zinc/09/07/33/888090733.db2.gz JQHVFKBNADZGGL-UHFFFAOYSA-N -1 1 324.333 1.388 20 0 DDADMM CC[C@@H](Oc1c(=O)[n-]c(C(C)C)nc1C(=O)OC)C(=O)OC ZINC001234558813 888093923 /nfs/dbraw/zinc/09/39/23/888093923.db2.gz XVQGMTQBTGUEOV-MRVPVSSYSA-N -1 1 312.322 1.423 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@@H]1COc2cnccc21 ZINC001234606645 888136511 /nfs/dbraw/zinc/13/65/11/888136511.db2.gz HZMMTFACBSYGCI-LLVKDONJSA-N -1 1 306.249 1.620 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)N[C@@H]1CCOC1 ZINC001364231013 888182041 /nfs/dbraw/zinc/18/20/41/888182041.db2.gz HFDCHPLEXABFNA-AEJSXWLSSA-N -1 1 323.315 1.016 20 0 DDADMM CSCC[C@H](NC(=O)Nc1cncc(F)c1)c1nn[n-]n1 ZINC001364330144 888394989 /nfs/dbraw/zinc/39/49/89/888394989.db2.gz FGFNFHLZYHIHPM-VIFPVBQESA-N -1 1 311.346 1.350 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(C)cc(O)c2)co1 ZINC001364361758 888459290 /nfs/dbraw/zinc/45/92/90/888459290.db2.gz ARDKSSYQXQVRJS-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1Cc1cncn1C ZINC001364437558 888634268 /nfs/dbraw/zinc/63/42/68/888634268.db2.gz HVDBEMZSYGTSAA-ONGXEEELSA-N -1 1 304.316 1.452 20 0 DDADMM CC[C@@H](C)C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385371415 888985656 /nfs/dbraw/zinc/98/56/56/888985656.db2.gz QIISMHUQOKLVNT-VXGBXAGGSA-N -1 1 305.378 1.506 20 0 DDADMM CCC[C@H]1C[C@@H](C(=O)N(Cc2nn[n-]n2)CC(C)C)CCO1 ZINC001364651004 889122592 /nfs/dbraw/zinc/12/25/92/889122592.db2.gz JZGNXBDAMONASG-STQMWFEESA-N -1 1 309.414 1.780 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CSCc1ccncc1 ZINC001364651939 889124126 /nfs/dbraw/zinc/12/41/26/889124126.db2.gz PXYDZHQFRGTWQH-UHFFFAOYSA-N -1 1 320.422 1.513 20 0 DDADMM C[C@@H](c1nnnn1C1CC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001364690869 889205045 /nfs/dbraw/zinc/20/50/45/889205045.db2.gz QBUSKURNJHYUFR-QMMMGPOBSA-N -1 1 305.342 1.037 20 0 DDADMM CC[C@@H](F)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001385493543 889211892 /nfs/dbraw/zinc/21/18/92/889211892.db2.gz UBNFXIQBUTUJGL-WDEREUQCSA-N -1 1 309.341 1.256 20 0 DDADMM CC(C)=CC(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001290030216 913348191 /nfs/dbraw/zinc/34/81/91/913348191.db2.gz GDRXXTJTFLFCBK-TXEJJXNPSA-N -1 1 303.362 1.378 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@H]2c2ccccc2)[n-]n1 ZINC001364852806 889549840 /nfs/dbraw/zinc/54/98/40/889549840.db2.gz BZKHBEGTTJPDSR-WDEREUQCSA-N -1 1 321.358 1.031 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@H]2c2ccccc2)n[n-]1 ZINC001364852806 889549853 /nfs/dbraw/zinc/54/98/53/889549853.db2.gz BZKHBEGTTJPDSR-WDEREUQCSA-N -1 1 321.358 1.031 20 0 DDADMM CC(C)(F)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001385707755 889610151 /nfs/dbraw/zinc/61/01/51/889610151.db2.gz XFAAGPDTMNSKHP-SNVBAGLBSA-N -1 1 309.341 1.160 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)c2ccc(N)cc2)c(N)n1 ZINC001237584451 889717962 /nfs/dbraw/zinc/71/79/62/889717962.db2.gz DHDWSXKYGAWWKG-UHFFFAOYSA-N -1 1 308.363 1.446 20 0 DDADMM CCC(C)(C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001385846157 889854841 /nfs/dbraw/zinc/85/48/41/889854841.db2.gz MWSYMBVOSJFJFU-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1c(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)ccn1C(C)C ZINC001365186833 890291446 /nfs/dbraw/zinc/29/14/46/890291446.db2.gz VQNUIFPXTACSGJ-UHFFFAOYSA-N -1 1 311.367 1.151 20 0 DDADMM Cc1c(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)ccn1C(C)C ZINC001365186833 890291459 /nfs/dbraw/zinc/29/14/59/890291459.db2.gz VQNUIFPXTACSGJ-UHFFFAOYSA-N -1 1 311.367 1.151 20 0 DDADMM Cc1cnc(C(=O)N[C@H](c2nccn2C)C(F)(F)F)c([O-])c1 ZINC001365189047 890300374 /nfs/dbraw/zinc/30/03/74/890300374.db2.gz XHHDSXUCHWILCJ-SNVBAGLBSA-N -1 1 314.267 1.863 20 0 DDADMM C[C@@H](C(=O)Nc1c[n-][nH]c1=O)c1ccc(S(C)(=O)=O)cc1 ZINC001365213839 890366189 /nfs/dbraw/zinc/36/61/89/890366189.db2.gz VFPYMUWSMIGKLJ-MRVPVSSYSA-N -1 1 309.347 1.261 20 0 DDADMM CC(C)C(C)(C)C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001386293583 890730655 /nfs/dbraw/zinc/73/06/55/890730655.db2.gz KDRUIBNKUXRJPH-NSHDSACASA-N -1 1 307.394 1.704 20 0 DDADMM CNC(=O)c1cc(-c2ccc3[n-]c(C(=O)OC)nc3c2)ccn1 ZINC001241432328 891166757 /nfs/dbraw/zinc/16/67/57/891166757.db2.gz FCXYCMZPIZFYJD-UHFFFAOYSA-N -1 1 310.313 1.771 20 0 DDADMM CNC(=O)c1cc(-c2ccc3nc(C(=O)OC)[n-]c3c2)ccn1 ZINC001241432328 891166758 /nfs/dbraw/zinc/16/67/58/891166758.db2.gz FCXYCMZPIZFYJD-UHFFFAOYSA-N -1 1 310.313 1.771 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CCCC[C@@H]2C)[n-]n1 ZINC001365634022 891218131 /nfs/dbraw/zinc/21/81/31/891218131.db2.gz RCEXSYQQCFBGMS-ONGXEEELSA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CCCC[C@@H]2C)n[n-]1 ZINC001365634022 891218149 /nfs/dbraw/zinc/21/81/49/891218149.db2.gz RCEXSYQQCFBGMS-ONGXEEELSA-N -1 1 315.395 1.396 20 0 DDADMM CCNC(=O)c1ccc(C[N-]S(=O)(=O)c2conc2C)cc1 ZINC001365634859 891218723 /nfs/dbraw/zinc/21/87/23/891218723.db2.gz UITVFCSDROBXQR-UHFFFAOYSA-N -1 1 323.374 1.211 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(C)(C)[C@@H]2C2CC2)[n-]n1 ZINC001365711264 891379197 /nfs/dbraw/zinc/37/91/97/891379197.db2.gz LJFRWRGZQLROMX-NSHDSACASA-N -1 1 313.379 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(C)(C)[C@@H]2C2CC2)n[n-]1 ZINC001365711264 891379202 /nfs/dbraw/zinc/37/92/02/891379202.db2.gz LJFRWRGZQLROMX-NSHDSACASA-N -1 1 313.379 1.005 20 0 DDADMM [S-]c1cccc(-c2nc3c(c(N4CCOCC4)n2)CNC3)c1 ZINC001242864673 891540584 /nfs/dbraw/zinc/54/05/84/891540584.db2.gz NXCADKSSHDPELX-UHFFFAOYSA-N -1 1 314.414 1.872 20 0 DDADMM NC(=O)c1c(F)cc(-c2ccc(-c3nnn[n-]3)nc2)cc1F ZINC001244677775 891890078 /nfs/dbraw/zinc/89/00/78/891890078.db2.gz CBOGESJYSNSEQM-UHFFFAOYSA-N -1 1 302.244 1.306 20 0 DDADMM NC(=O)c1c(F)cc(-c2ccc(-c3nn[n-]n3)nc2)cc1F ZINC001244677775 891890093 /nfs/dbraw/zinc/89/00/93/891890093.db2.gz CBOGESJYSNSEQM-UHFFFAOYSA-N -1 1 302.244 1.306 20 0 DDADMM COc1ncc(-c2ccc(N(C)C)cn2)cc1[N-]S(C)(=O)=O ZINC001244789306 891920674 /nfs/dbraw/zinc/92/06/74/891920674.db2.gz CPKZEYSQKYHHOF-UHFFFAOYSA-N -1 1 322.390 1.590 20 0 DDADMM COc1ccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nc1C ZINC001244795638 891930207 /nfs/dbraw/zinc/93/02/07/891930207.db2.gz FYDQITZPKRWKFJ-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM CCCCc1cc(=O)n(-c2ccc(CS(=O)(=O)NC)cc2)[n-]1 ZINC001245927102 892275294 /nfs/dbraw/zinc/27/52/94/892275294.db2.gz PVNIEWAYESKGPL-UHFFFAOYSA-N -1 1 323.418 1.970 20 0 DDADMM Cc1ccc(N)cc1S(=O)(=O)[N-]c1ccccc1CC(N)=O ZINC001249601096 894054068 /nfs/dbraw/zinc/05/40/68/894054068.db2.gz KOOKQLGECNEUJO-UHFFFAOYSA-N -1 1 319.386 1.406 20 0 DDADMM COC(=O)c1ccc(Nc2[nH]c(=S)[n-]c(=O)c2N=O)cc1N ZINC001250334569 894240149 /nfs/dbraw/zinc/24/01/49/894240149.db2.gz MPQCYTGWUWQFLF-UHFFFAOYSA-N -1 1 321.318 1.981 20 0 DDADMM COc1cc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)ccn1 ZINC001250652465 894347930 /nfs/dbraw/zinc/34/79/30/894347930.db2.gz DUSUJJIMAFSHEY-UHFFFAOYSA-N -1 1 309.347 1.532 20 0 DDADMM COC(=O)c1sc2ncccc2c1[N-]S(=O)(=O)N(C)C ZINC001251051175 894609547 /nfs/dbraw/zinc/60/95/47/894609547.db2.gz ATAQFMNUHRTWMB-UHFFFAOYSA-N -1 1 315.376 1.301 20 0 DDADMM CCC[C@@H](OC)C(=O)NC[C@@H](CC)NC(=O)c1ncccc1[O-] ZINC001388147843 894652526 /nfs/dbraw/zinc/65/25/26/894652526.db2.gz OYJXOEYPNWSAOQ-DGCLKSJQSA-N -1 1 323.393 1.227 20 0 DDADMM O=C(NCCNC(=O)c1ncccc1[O-])c1cc(F)ccc1F ZINC001292666276 913908637 /nfs/dbraw/zinc/90/86/37/913908637.db2.gz LNIZYOWZVJMHDW-UHFFFAOYSA-N -1 1 321.283 1.225 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3nnc(C)n3c2)cc1 ZINC001251889039 894870663 /nfs/dbraw/zinc/87/06/63/894870663.db2.gz BZNOWQZSMHVLMD-UHFFFAOYSA-N -1 1 318.358 1.847 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@H]2CN(Cc3ccccc3)C[C@H]21)C(F)(F)F ZINC001252385911 895112862 /nfs/dbraw/zinc/11/28/62/895112862.db2.gz GZHFXRUIFSJAGE-GDNZZTSVSA-N -1 1 320.336 1.556 20 0 DDADMM CC(C)(C)c1ccc(OC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)cc1 ZINC001252765692 895442261 /nfs/dbraw/zinc/44/22/61/895442261.db2.gz YEJNXVWAKUOZRU-LBPRGKRZSA-N -1 1 320.393 1.337 20 0 DDADMM O[C@H](CNC1=CC=C[CH]1)[C@H](c1ccc(F)cc1F)n1cncn1 ZINC001253902380 896154124 /nfs/dbraw/zinc/15/41/24/896154124.db2.gz RAOVOIMCAQGMSF-CVEARBPZSA-N -1 1 317.319 1.754 20 0 DDADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)[C@H]1CC12CCC2 ZINC001367325030 896446104 /nfs/dbraw/zinc/44/61/04/896446104.db2.gz FUZRDCUHSXWIMW-LLVKDONJSA-N -1 1 319.409 1.219 20 0 DDADMM Cc1cc(C(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)sc1C ZINC001367403856 896679483 /nfs/dbraw/zinc/67/94/83/896679483.db2.gz MFTHGJVEMYKVKR-SECBINFHSA-N -1 1 323.422 1.439 20 0 DDADMM CSc1nc(NS(=O)(=O)c2cccc(F)c2)cc(=O)[n-]1 ZINC001255575260 897023204 /nfs/dbraw/zinc/02/32/04/897023204.db2.gz NXWHLBNJXBOUFV-UHFFFAOYSA-N -1 1 315.351 1.844 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001389376475 897069351 /nfs/dbraw/zinc/06/93/51/897069351.db2.gz OBGWTFIGTQGZPH-WCFLWFBJSA-N -1 1 317.389 1.458 20 0 DDADMM CC1(CCC(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])CC1 ZINC001389710284 897838460 /nfs/dbraw/zinc/83/84/60/897838460.db2.gz GCKSCEDGIZUDHS-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1c(Cl)cccc1S(=O)(=O)NCC[P@](=O)([O-])O ZINC001257637107 897899879 /nfs/dbraw/zinc/89/98/79/897899879.db2.gz LSIQTBCQSLINCX-UHFFFAOYSA-N -1 1 313.699 1.104 20 0 DDADMM O=S(=O)([N-]CCc1nncs1)c1cc(F)ccc1F ZINC001258950554 898377426 /nfs/dbraw/zinc/37/74/26/898377426.db2.gz JCQHPFFVUHTRGS-UHFFFAOYSA-N -1 1 305.331 1.337 20 0 DDADMM O=c1[nH]cncc1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259453317 898677668 /nfs/dbraw/zinc/67/76/68/898677668.db2.gz MCNWZIFTLMWBMD-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM O=S(=O)([N-]CCc1ncccn1)c1cc(Cl)ccc1F ZINC001259460404 898680094 /nfs/dbraw/zinc/68/00/94/898680094.db2.gz CJCUPOHOHDOOCL-UHFFFAOYSA-N -1 1 315.757 1.790 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C1CCCCC1)c1ccccn1 ZINC001259816704 898826081 /nfs/dbraw/zinc/82/60/81/898826081.db2.gz YFNIUMLYUGNUFB-ZDUSSCGKSA-N -1 1 312.391 1.548 20 0 DDADMM O=C1CC[C@H]([N-]S(=O)(=O)C(F)F)[C@H](c2ccccc2)N1 ZINC001259962595 898983579 /nfs/dbraw/zinc/98/35/79/898983579.db2.gz NLSSHFXWOODPMO-ONGXEEELSA-N -1 1 304.318 1.148 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2cc(F)nc(F)c2)c1 ZINC001260183850 899076432 /nfs/dbraw/zinc/07/64/32/899076432.db2.gz DQIRRVNESDWERF-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM C[N@H+]1C[C@H]2CCCN(S(=O)(=O)c3cccc(C(=O)[O-])c3)[C@H]2C1 ZINC001260187508 899080114 /nfs/dbraw/zinc/08/01/14/899080114.db2.gz TXRDLOWDLAUHBT-OCCSQVGLSA-N -1 1 324.402 1.100 20 0 DDADMM CN1C[C@H]2CCCN(S(=O)(=O)c3cccc(C(=O)[O-])c3)[C@H]2C1 ZINC001260187508 899080119 /nfs/dbraw/zinc/08/01/19/899080119.db2.gz TXRDLOWDLAUHBT-OCCSQVGLSA-N -1 1 324.402 1.100 20 0 DDADMM O=S(=O)([N-]c1cnc2ccccn12)c1cccc2nonc21 ZINC001260435505 899138539 /nfs/dbraw/zinc/13/85/39/899138539.db2.gz CZDWDUOTMOBSQX-UHFFFAOYSA-N -1 1 315.314 1.671 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1c(F)cc(Cl)cc1F ZINC001260591700 899178190 /nfs/dbraw/zinc/17/81/90/899178190.db2.gz HMWPCKXORWOKOI-UHFFFAOYSA-N -1 1 319.738 1.362 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001390332941 899187465 /nfs/dbraw/zinc/18/74/65/899187465.db2.gz XJAZUNAKDMATOF-QFSBIZTOSA-N -1 1 317.389 1.412 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]1O)c1cnc(Cl)cc1Cl ZINC001261373483 899521972 /nfs/dbraw/zinc/52/19/72/899521972.db2.gz GVTJIYPTLXWZRJ-HTQZYQBOSA-N -1 1 311.190 1.580 20 0 DDADMM C[C@@H]1CCCC[C@H]1C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001293261726 914375406 /nfs/dbraw/zinc/37/54/06/914375406.db2.gz CKBGCFDTZIWIFY-CHWSQXEVSA-N -1 1 319.405 1.850 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N[C@H]1C=CCC1 ZINC001262293177 900081321 /nfs/dbraw/zinc/08/13/21/900081321.db2.gz QIKXOWCWKJRMAA-VIFPVBQESA-N -1 1 310.300 1.164 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1cccs1)NCc1n[nH]c(=O)[n-]1 ZINC001369284904 900969815 /nfs/dbraw/zinc/96/98/15/900969815.db2.gz AASLMVNXOQCNGH-SNVBAGLBSA-N -1 1 323.422 1.141 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])Cc1cc(C)on1 ZINC001391127725 900988913 /nfs/dbraw/zinc/98/89/13/900988913.db2.gz VPJYWPSOHMADLC-UHFFFAOYSA-N -1 1 318.377 1.726 20 0 DDADMM CCC[C@@H](C)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369449449 901319946 /nfs/dbraw/zinc/31/99/46/901319946.db2.gz SKTSBKDKEFWVRZ-MNOVXSKESA-N -1 1 309.414 1.273 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CCC1CCC1 ZINC001369538706 901484436 /nfs/dbraw/zinc/48/44/36/901484436.db2.gz NGPGJHRCADDJPV-LBPRGKRZSA-N -1 1 323.441 1.711 20 0 DDADMM CCCCC[C@H](O)C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001293574467 914561283 /nfs/dbraw/zinc/56/12/83/914561283.db2.gz LFULPJWRLUPTJG-ZDUSSCGKSA-N -1 1 319.365 1.658 20 0 DDADMM O=C([N-]c1nc2ccc(F)cn2n1)c1noc2c1COCC2 ZINC001265444985 902024687 /nfs/dbraw/zinc/02/46/87/902024687.db2.gz FNDLTSMPMKVYIX-UHFFFAOYSA-N -1 1 303.253 1.181 20 0 DDADMM CCCC1(C(=O)N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])CC1 ZINC001369831717 902058120 /nfs/dbraw/zinc/05/81/20/902058120.db2.gz WHVKGVJZEFLKEA-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H](CNC(=O)C1CC2(CCC2)C1)NCc1n[nH]c(=O)[n-]1 ZINC001391720154 902439852 /nfs/dbraw/zinc/43/98/52/902439852.db2.gz POJSSLCPJMQKPB-LLVKDONJSA-N -1 1 307.398 1.075 20 0 DDADMM Cn1nnc([N-]C(=O)c2cc(-c3cccc(Cl)c3F)no2)n1 ZINC001266011904 902750747 /nfs/dbraw/zinc/75/07/47/902750747.db2.gz JMIIJUJQNMOWPC-UHFFFAOYSA-N -1 1 322.687 1.910 20 0 DDADMM CC(C)CC(=O)N(C)CCOCCNC(=O)c1ncccc1[O-] ZINC001280904150 904173106 /nfs/dbraw/zinc/17/31/06/904173106.db2.gz MDMGJFXYAOFABW-UHFFFAOYSA-N -1 1 323.393 1.038 20 0 DDADMM CC(C)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001371171805 904407824 /nfs/dbraw/zinc/40/78/24/904407824.db2.gz MUSSBXHQNUSSPP-LBPRGKRZSA-N -1 1 307.394 1.704 20 0 DDADMM CCC[C@@H](CC)C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001392566125 904485470 /nfs/dbraw/zinc/48/54/70/904485470.db2.gz ZNNWOFIYIZNOLV-VXGBXAGGSA-N -1 1 307.394 1.848 20 0 DDADMM Cc1csc(CN(C)C[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001392652003 904750554 /nfs/dbraw/zinc/75/05/54/904750554.db2.gz SGNJKLTZPDWCKS-SNVBAGLBSA-N -1 1 320.418 1.802 20 0 DDADMM CC[C@H](C)CC(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001371588402 905278743 /nfs/dbraw/zinc/27/87/43/905278743.db2.gz JTAZMWRZQGEAFK-QWHCGFSZSA-N -1 1 319.405 1.896 20 0 DDADMM CCC[C@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001371785052 905723239 /nfs/dbraw/zinc/72/32/39/905723239.db2.gz HWZYKUHYDWZSOX-XQQFMLRXSA-N -1 1 319.405 1.943 20 0 DDADMM CCCSCC(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001283195559 906856763 /nfs/dbraw/zinc/85/67/63/906856763.db2.gz MCMDROAKXPPYFO-UHFFFAOYSA-N -1 1 311.407 1.167 20 0 DDADMM CC/C=C(/C)C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001283381433 907258972 /nfs/dbraw/zinc/25/89/72/907258972.db2.gz JJGSPRIZZLXKOL-MVXISAMASA-N -1 1 317.389 1.816 20 0 DDADMM CCN(CCCNC(=O)C(C)(C)F)C(=O)c1ncccc1[O-] ZINC001283684929 907788330 /nfs/dbraw/zinc/78/83/30/907788330.db2.gz SFICDXGEYDDUFC-UHFFFAOYSA-N -1 1 311.357 1.504 20 0 DDADMM CC(C)CC(=O)NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001372646351 907857070 /nfs/dbraw/zinc/85/70/70/907857070.db2.gz PCRVGPQMJKGRJX-ZDUSSCGKSA-N -1 1 319.405 1.802 20 0 DDADMM CC[C@@H](C)[C@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001393812216 907987504 /nfs/dbraw/zinc/98/75/04/907987504.db2.gz VKRSZHXPAKHLBC-NEPJUHHUSA-N -1 1 319.405 1.848 20 0 DDADMM C/C=C(\C)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C(C)C ZINC001285313648 910338683 /nfs/dbraw/zinc/33/86/83/910338683.db2.gz HHCRMPJWPKZJOE-JXDHDYMSSA-N -1 1 305.378 1.624 20 0 DDADMM C[C@H](NC(=O)CC(C)(F)F)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001394956653 911015510 /nfs/dbraw/zinc/01/55/10/911015510.db2.gz AYIZSSWNVILMMS-IUCAKERBSA-N -1 1 315.320 1.456 20 0 DDADMM C[C@H](NC(=O)C=C1CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001286190944 911800543 /nfs/dbraw/zinc/80/05/43/911800543.db2.gz YWAULKHQWUBROR-NSHDSACASA-N -1 1 315.373 1.474 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)sc1C=O ZINC001295409830 915762216 /nfs/dbraw/zinc/76/22/16/915762216.db2.gz AAADPDVXKVNVEV-VIFPVBQESA-N -1 1 305.363 1.402 20 0 DDADMM CCCSCC(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001295438095 915789172 /nfs/dbraw/zinc/78/91/72/915789172.db2.gz ZALOEWSPEXQXRY-JTQLQIEISA-N -1 1 311.407 1.165 20 0 DDADMM CC(C)C[C@@H](C)C(=O)NC1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC001397362504 915812931 /nfs/dbraw/zinc/81/29/31/915812931.db2.gz UJOVPQCADXLTRZ-PNESKVBLSA-N -1 1 321.425 1.644 20 0 DDADMM C[C@H](CNC(=O)CCC1CCCC1)NC(=O)c1ncccc1[O-] ZINC001397366796 915827001 /nfs/dbraw/zinc/82/70/01/915827001.db2.gz MORGBCBAETZUJW-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CC=CCC1 ZINC001296291122 916325616 /nfs/dbraw/zinc/32/56/16/916325616.db2.gz ZEHSZJRVFKVCPE-CYBMUJFWSA-N -1 1 317.389 1.722 20 0 DDADMM CC(=O)N[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)CC(C)C ZINC001375798090 916982427 /nfs/dbraw/zinc/98/24/27/916982427.db2.gz LEVNLHXWLFGZCE-LBPRGKRZSA-N -1 1 320.393 1.340 20 0 DDADMM Cc1ccc(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)s1 ZINC001376200935 917942501 /nfs/dbraw/zinc/94/25/01/917942501.db2.gz YLUYOWNXPXKZDW-JTQLQIEISA-N -1 1 321.406 1.178 20 0 DDADMM CC[C@H](F)CN(C)C[C@@H]1CCCN1C(=O)c1n[nH]c(C)c1[O-] ZINC001377637727 922537238 /nfs/dbraw/zinc/53/72/38/922537238.db2.gz WNMNXFSHUQBDCH-RYUDHWBXSA-N -1 1 312.389 1.708 20 0 DDADMM Cc1oc2ncnc([N-]C(=O)c3nnn(C(C)(C)C)n3)c2c1C ZINC000622775746 365525095 /nfs/dbraw/zinc/52/50/95/365525095.db2.gz DWRBMERRUWTGIN-UHFFFAOYSA-N -1 1 315.337 1.833 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)Cc3ccco3)nc2n1 ZINC000622992801 365585010 /nfs/dbraw/zinc/58/50/10/365585010.db2.gz ZSBIUTRIHUQZQS-SECBINFHSA-N -1 1 315.333 1.390 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CCC3CCOCC3)nc2n1 ZINC000622994102 365586767 /nfs/dbraw/zinc/58/67/67/365586767.db2.gz DMGUOPZFJVDJJW-UHFFFAOYSA-N -1 1 319.365 1.125 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ccc(C)c(C)c3)nc2n1 ZINC000622995467 365588540 /nfs/dbraw/zinc/58/85/40/365588540.db2.gz BVFVSLNMSHRVOA-UHFFFAOYSA-N -1 1 311.345 1.271 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3cc(C)[nH]c3C)nc2n1 ZINC000622995711 365589204 /nfs/dbraw/zinc/58/92/04/365589204.db2.gz MLHSHKAXRPWNAZ-UHFFFAOYSA-N -1 1 314.349 1.567 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@]34C[C@@H]3CCC4)nc2n1 ZINC000622998135 365592055 /nfs/dbraw/zinc/59/20/55/365592055.db2.gz YSHXKZMZGXYUPE-VFZGTOFNSA-N -1 1 301.350 1.499 20 0 DDADMM O=C(Cc1ccc(F)c(Br)c1)Nc1nnn[n-]1 ZINC000076963915 185061871 /nfs/dbraw/zinc/06/18/71/185061871.db2.gz VFNBFXJFYZCSBI-UHFFFAOYSA-N -1 1 300.091 1.283 20 0 DDADMM O=C(Cc1ccc(F)c(Br)c1)Nc1nn[n-]n1 ZINC000076963915 185061873 /nfs/dbraw/zinc/06/18/73/185061873.db2.gz VFNBFXJFYZCSBI-UHFFFAOYSA-N -1 1 300.091 1.283 20 0 DDADMM Cc1cc(CC[N-]S(=O)(=O)c2cc(F)ccc2F)on1 ZINC000077123243 185111167 /nfs/dbraw/zinc/11/11/67/185111167.db2.gz FUUBYTLKIUZUBO-UHFFFAOYSA-N -1 1 302.302 1.782 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000614397834 361836797 /nfs/dbraw/zinc/83/67/97/361836797.db2.gz ISZMBURCJBWENB-CHWSQXEVSA-N -1 1 311.341 1.291 20 0 DDADMM O=C(c1cnc2[nH]ccc2c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614389501 361832857 /nfs/dbraw/zinc/83/28/57/361832857.db2.gz NLTKHVDXSFZZKW-SNVBAGLBSA-N -1 1 313.317 1.623 20 0 DDADMM NC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000452054301 231283867 /nfs/dbraw/zinc/28/38/67/231283867.db2.gz FMBZHCKRZMCUDU-RQJHMYQMSA-N -1 1 322.308 1.036 20 0 DDADMM C[C@@H](OCc1ccccc1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155563421 539209701 /nfs/dbraw/zinc/20/97/01/539209701.db2.gz XHTSUSWSIRNPGA-OCCSQVGLSA-N -1 1 315.377 1.511 20 0 DDADMM COC[C@@](C)(O)CCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000452369993 231365108 /nfs/dbraw/zinc/36/51/08/231365108.db2.gz YYJSSBMWHMYAQE-INIZCTEOSA-N -1 1 319.361 1.161 20 0 DDADMM COC[C@@](C)(O)CCNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000452369993 231365110 /nfs/dbraw/zinc/36/51/10/231365110.db2.gz YYJSSBMWHMYAQE-INIZCTEOSA-N -1 1 319.361 1.161 20 0 DDADMM C[C@@H](NS(C)(=O)=O)c1nc(-c2ccc([O-])cc2Cl)no1 ZINC000278212893 214025571 /nfs/dbraw/zinc/02/55/71/214025571.db2.gz QJDQLNAYQASFDG-ZCFIWIBFSA-N -1 1 317.754 1.706 20 0 DDADMM Cc1noc([C@@H]2CCCN2C(=O)c2ccc3[nH][n-]c(=O)c3c2)n1 ZINC000614805070 362033672 /nfs/dbraw/zinc/03/36/72/362033672.db2.gz FKDQWNILMHZIDQ-LBPRGKRZSA-N -1 1 313.317 1.937 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1oc(C(C)C)nc1C ZINC000615152804 362175609 /nfs/dbraw/zinc/17/56/09/362175609.db2.gz CDJLWDBAUGUBSL-UHFFFAOYSA-N -1 1 322.321 1.246 20 0 DDADMM CN(C[C@H]1CCCN1C)S(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000092625325 185329876 /nfs/dbraw/zinc/32/98/76/185329876.db2.gz QXQGMLWZNZOZOT-GFCCVEGCSA-N -1 1 312.391 1.100 20 0 DDADMM CC[C@H](O)CS(=O)(=O)c1nnc(Cc2cccs2)[n-]1 ZINC000295888285 529709354 /nfs/dbraw/zinc/70/93/54/529709354.db2.gz KTPQATZOSBAAIV-QMMMGPOBSA-N -1 1 301.393 1.002 20 0 DDADMM CC[C@H](O)CS(=O)(=O)c1nc(Cc2cccs2)n[n-]1 ZINC000295888285 529709355 /nfs/dbraw/zinc/70/93/55/529709355.db2.gz KTPQATZOSBAAIV-QMMMGPOBSA-N -1 1 301.393 1.002 20 0 DDADMM CC[C@H](O)CS(=O)(=O)c1n[n-]c(Cc2cccs2)n1 ZINC000295888285 529709357 /nfs/dbraw/zinc/70/93/57/529709357.db2.gz KTPQATZOSBAAIV-QMMMGPOBSA-N -1 1 301.393 1.002 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccnc(N2CC[C@H](C)C2)c1 ZINC000615223127 362202173 /nfs/dbraw/zinc/20/21/73/362202173.db2.gz ZHEBZGZFBNBKMP-VIFPVBQESA-N -1 1 301.350 1.917 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H](O)C1)c1ccc(F)c(F)c1F ZINC000615263472 362217611 /nfs/dbraw/zinc/21/76/11/362217611.db2.gz ZCQMHEMFKOPKIO-JGVFFNPUSA-N -1 1 309.309 1.686 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-][C@@H](CC)[C@@H](O)C(F)F)s1 ZINC000451708250 529759397 /nfs/dbraw/zinc/75/93/97/529759397.db2.gz JCFCYCKOQNEEIP-IMTBSYHQSA-N -1 1 314.379 1.388 20 0 DDADMM CNC(=O)C(C)(C)C[N-]S(=O)(=O)c1sccc1Cl ZINC000451333249 529840122 /nfs/dbraw/zinc/84/01/22/529840122.db2.gz JYJNPTBQRVUNIE-UHFFFAOYSA-N -1 1 310.828 1.452 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCC[C@@H](C)C1 ZINC000457000105 231961348 /nfs/dbraw/zinc/96/13/48/231961348.db2.gz KWLGHTRHVAZLJS-OUAUKWLOSA-N -1 1 303.366 1.362 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(F)cc(F)c2)n[n-]1 ZINC000615919962 362487121 /nfs/dbraw/zinc/48/71/21/362487121.db2.gz KSEKRGJFRPKUNP-UHFFFAOYSA-N -1 1 310.260 1.190 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(F)cc(F)c2)n1 ZINC000615919962 362487125 /nfs/dbraw/zinc/48/71/25/362487125.db2.gz KSEKRGJFRPKUNP-UHFFFAOYSA-N -1 1 310.260 1.190 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2C[C@]2(C)C(C)C)o1 ZINC000458107357 530028787 /nfs/dbraw/zinc/02/87/87/530028787.db2.gz NGZPGBGNTVHYBJ-ZWNOBZJWSA-N -1 1 300.380 1.352 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@H]2C(C)C)c1 ZINC000292367237 530031753 /nfs/dbraw/zinc/03/17/53/530031753.db2.gz XPENDEPWEZPRBF-WDEREUQCSA-N -1 1 312.391 1.531 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2ccc(F)c(F)c2)n[n-]1 ZINC000616028696 362525434 /nfs/dbraw/zinc/52/54/34/362525434.db2.gz OOMPYAVOIIXPEW-UHFFFAOYSA-N -1 1 324.287 1.119 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2ccc(F)c(F)c2)n1 ZINC000616028696 362525443 /nfs/dbraw/zinc/52/54/43/362525443.db2.gz OOMPYAVOIIXPEW-UHFFFAOYSA-N -1 1 324.287 1.119 20 0 DDADMM C[C@@H](O)[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CCO1 ZINC000616323350 362637463 /nfs/dbraw/zinc/63/74/63/362637463.db2.gz ZXFGQUHBINOZIC-ZWNOBZJWSA-N -1 1 302.330 1.162 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)Cc1cc[nH]n1 ZINC000331038727 232397953 /nfs/dbraw/zinc/39/79/53/232397953.db2.gz PBVNIPUOGGKLAJ-UHFFFAOYSA-N -1 1 303.366 1.783 20 0 DDADMM COc1ccccc1SCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000358377417 299135857 /nfs/dbraw/zinc/13/58/57/299135857.db2.gz JNJCAMJLAWVLKB-JTQLQIEISA-N -1 1 321.406 1.563 20 0 DDADMM C[C@H](CN(C)C(=O)CSCc1cscn1)c1nn[n-]n1 ZINC000358385568 299138824 /nfs/dbraw/zinc/13/88/24/299138824.db2.gz VQGWKLSJCMQKJJ-MRVPVSSYSA-N -1 1 312.424 1.152 20 0 DDADMM CCC[C@H](C)S(=O)(=O)[N-][C@H](CC(F)(F)F)C(=O)OC ZINC000564574255 304003130 /nfs/dbraw/zinc/00/31/30/304003130.db2.gz CSBXYFSYPNTYPE-JGVFFNPUSA-N -1 1 305.318 1.588 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](O)C(C)(C)C1 ZINC000279969932 215279612 /nfs/dbraw/zinc/27/96/12/215279612.db2.gz YRVGKAJFZIQZQF-SNVBAGLBSA-N -1 1 307.394 1.631 20 0 DDADMM O=C([N-]OCc1cccnc1)[C@@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000155834130 186116355 /nfs/dbraw/zinc/11/63/55/186116355.db2.gz OJCPZSHUVFKSOI-SNVBAGLBSA-N -1 1 317.267 1.040 20 0 DDADMM CC(C)[C@H]1C[C@@H](NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000287196489 219374457 /nfs/dbraw/zinc/37/44/57/219374457.db2.gz OMIIRDDRVGNTLA-BCHMWYAZSA-N -1 1 317.393 1.667 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2(C)CCOCC2)o1 ZINC000267832444 186370322 /nfs/dbraw/zinc/37/03/22/186370322.db2.gz WSXMJAALNNOZPM-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@@H](Cn2ccnn2)C1 ZINC000617253328 363017045 /nfs/dbraw/zinc/01/70/45/363017045.db2.gz MXVROUZJODRTEW-GFCCVEGCSA-N -1 1 323.356 1.694 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCN2C(=O)NC(C)(C)C2=O)n1 ZINC000028008701 352226154 /nfs/dbraw/zinc/22/61/54/352226154.db2.gz SJNFGYJVEOLHEV-UHFFFAOYSA-N -1 1 324.406 1.557 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@H]2COc3ccccc3C2)n1 ZINC000047094140 352481381 /nfs/dbraw/zinc/48/13/81/352481381.db2.gz NZJSZYGDKGPNIS-LLVKDONJSA-N -1 1 315.333 1.115 20 0 DDADMM CN(C)c1cc(C(=O)NCc2n[n-]c(=S)n2C2CC2)ccn1 ZINC000066638050 353009567 /nfs/dbraw/zinc/00/95/67/353009567.db2.gz VWMNNQLZBGBNNH-UHFFFAOYSA-N -1 1 318.406 1.667 20 0 DDADMM COc1cc(O)cc([N-]S(=O)(=O)C[C@H](OC)C2CC2)c1 ZINC000564973053 304032096 /nfs/dbraw/zinc/03/20/96/304032096.db2.gz QRQKPYCMUNZLDX-ZDUSSCGKSA-N -1 1 301.364 1.568 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)[C@@H]2CCCOC2)o1 ZINC000355762547 290998524 /nfs/dbraw/zinc/99/85/24/290998524.db2.gz QEQIJXLNDXJKRG-NXEZZACHSA-N -1 1 317.363 1.160 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCNc3ncccn3)cnc2n1 ZINC000076968420 353445935 /nfs/dbraw/zinc/44/59/35/353445935.db2.gz IEZYQGNTELHVFO-UHFFFAOYSA-N -1 1 324.344 1.276 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCNc1ncccn1)c2=O ZINC000076968420 353445938 /nfs/dbraw/zinc/44/59/38/353445938.db2.gz IEZYQGNTELHVFO-UHFFFAOYSA-N -1 1 324.344 1.276 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc2ccccc2o1)C(=O)N1CCCC1 ZINC000084937203 353730739 /nfs/dbraw/zinc/73/07/39/353730739.db2.gz QNNSREWWWJCOLA-NSHDSACASA-N -1 1 322.386 1.722 20 0 DDADMM C[C@@H](CCC(=O)[O-])CNS(=O)(=O)c1c(F)cccc1F ZINC000123917459 354035452 /nfs/dbraw/zinc/03/54/52/354035452.db2.gz FTIZWUFNDVEPHU-QMMMGPOBSA-N -1 1 307.318 1.744 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601298478 358485094 /nfs/dbraw/zinc/48/50/94/358485094.db2.gz AATPOUDAAZZIGS-SECBINFHSA-N -1 1 306.409 1.318 20 0 DDADMM O=C(CCc1cscn1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000586754378 354857087 /nfs/dbraw/zinc/85/70/87/354857087.db2.gz QVLZGSKAKLVHBP-CYBMUJFWSA-N -1 1 314.374 1.495 20 0 DDADMM COC(=O)c1cc(NC(=O)c2n[nH]c(C)c2[O-])cc2[nH]ccc21 ZINC000589945253 355034397 /nfs/dbraw/zinc/03/43/97/355034397.db2.gz BSBLOJCDLUTLNC-UHFFFAOYSA-N -1 1 314.301 1.944 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2n[nH]c3c2CCCC3)n1 ZINC000590356873 355076998 /nfs/dbraw/zinc/07/69/98/355076998.db2.gz VXVZWEKPNSGHNV-UHFFFAOYSA-N -1 1 303.322 1.441 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C(C)C)C(C)(C)C ZINC000592028953 355469878 /nfs/dbraw/zinc/46/98/78/355469878.db2.gz AHHXTTUVTSJGQJ-GHMZBOCLSA-N -1 1 309.428 1.165 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@H](CC(C)(C)C)C(=O)OC)OC ZINC000592075337 355484930 /nfs/dbraw/zinc/48/49/30/355484930.db2.gz WFZKUMPLSCCHLU-GHMZBOCLSA-N -1 1 309.428 1.309 20 0 DDADMM CCSCc1ccc(C(=O)N=c2ncn(CC(=O)[O-])[nH]2)cc1 ZINC000592468513 355591816 /nfs/dbraw/zinc/59/18/16/355591816.db2.gz AYWXFXRZYKNQPE-UHFFFAOYSA-N -1 1 320.374 1.290 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@@H]2CC(=O)OC(C)(C)C)nc1=O ZINC000593094800 355794287 /nfs/dbraw/zinc/79/42/87/355794287.db2.gz IESVGBAXUFKWDZ-LLVKDONJSA-N -1 1 310.398 1.195 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3n2[C@H](C)CCC3)n1 ZINC000593149717 355811806 /nfs/dbraw/zinc/81/18/06/355811806.db2.gz CYGXTISXMLXOHM-SECBINFHSA-N -1 1 317.349 1.933 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1CC[S@](=O)c1ccccc1 ZINC000593745899 355983499 /nfs/dbraw/zinc/98/34/99/355983499.db2.gz CKGRIPPCIMPJOP-ODXCJYRJSA-N -1 1 313.444 1.686 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1C[C@@H]2C[C@H]1C[S@@]2=O ZINC000593875642 356035826 /nfs/dbraw/zinc/03/58/26/356035826.db2.gz XJOXJHCSHCTCKF-SYLNSOGPSA-N -1 1 319.304 1.756 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(O)c(OC)c2)n1 ZINC000593901875 356049080 /nfs/dbraw/zinc/04/90/80/356049080.db2.gz VXMOHKQSIPBMOZ-UHFFFAOYSA-N -1 1 319.317 1.482 20 0 DDADMM CC(C)[C@@H](CN=c1ccc(C(N)=O)n[n-]1)C(=O)OC(C)(C)C ZINC000594596320 356259850 /nfs/dbraw/zinc/25/98/50/356259850.db2.gz RFHNLKVUWJQWLB-SNVBAGLBSA-N -1 1 308.382 1.023 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2CCCCO2)cc1C ZINC000595307465 356442629 /nfs/dbraw/zinc/44/26/29/356442629.db2.gz XDESDXBPMQOARX-JTQLQIEISA-N -1 1 317.363 1.222 20 0 DDADMM CN(C[C@@H]1COCCO1)C(=O)c1ncc2ccccc2c1[O-] ZINC000618222579 363522611 /nfs/dbraw/zinc/52/26/11/363522611.db2.gz FAIMCVHDTXVYDG-GFCCVEGCSA-N -1 1 302.330 1.428 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)C(O)[C@H](C)C1 ZINC000618269690 363554513 /nfs/dbraw/zinc/55/45/13/363554513.db2.gz YDXUNENQEQSTML-NXEZZACHSA-N -1 1 321.421 1.877 20 0 DDADMM C[C@H](N=c1nc(C2CCOCC2)[n-]s1)C(=O)OC(C)(C)C ZINC000596182892 356805001 /nfs/dbraw/zinc/80/50/01/356805001.db2.gz SSLJAKKYEFBRHZ-VIFPVBQESA-N -1 1 313.423 1.996 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncc2ccccc2c1[O-])C(C)C ZINC000596712956 356958626 /nfs/dbraw/zinc/95/86/26/356958626.db2.gz GOFCTVYAMQBUES-LBPRGKRZSA-N -1 1 302.330 1.868 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)[C@@H]1CCCCO1 ZINC000347890367 283291649 /nfs/dbraw/zinc/29/16/49/283291649.db2.gz XECNSJFAOQMUSU-SCZZXKLOSA-N -1 1 311.407 1.900 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(-c2cccc(Cl)c2)on1 ZINC000600505790 358243813 /nfs/dbraw/zinc/24/38/13/358243813.db2.gz SENKWKPPAKZVLA-UHFFFAOYSA-N -1 1 304.697 1.438 20 0 DDADMM CO[C@H](C)c1nc(=NC[C@H]2CCCN2CC(F)F)s[n-]1 ZINC000624519288 366427725 /nfs/dbraw/zinc/42/77/25/366427725.db2.gz BTBZTRLWBWMRDC-RKDXNWHRSA-N -1 1 306.382 1.809 20 0 DDADMM COC(=O)C1(CS(=O)(=O)[N-]C2(C(F)F)CCCC2)CC1 ZINC000601417626 358543680 /nfs/dbraw/zinc/54/36/80/358543680.db2.gz XUXKXTPKMDQUCQ-UHFFFAOYSA-N -1 1 311.350 1.437 20 0 DDADMM C[C@@H]1CC[C@H](CC(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000181033879 199242999 /nfs/dbraw/zinc/24/29/99/199242999.db2.gz MZGKYDMJQADKOU-ZJUUUORDSA-N -1 1 312.391 1.804 20 0 DDADMM COC(=O)[C@@H]1OCC[C@@H]1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601665534 358645184 /nfs/dbraw/zinc/64/51/84/358645184.db2.gz DHGCOXLHOSFNFL-GXFFZTMASA-N -1 1 313.737 1.035 20 0 DDADMM CCOC(=O)[C@@H](CC)C([O-])=Nc1nc(C(C)(C)OC)ns1 ZINC000601798325 358699076 /nfs/dbraw/zinc/69/90/76/358699076.db2.gz XHJZJUYFDGCXIS-QMMMGPOBSA-N -1 1 315.395 1.369 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(C)cc(Cl)c2)n[n-]1 ZINC000603016948 359359150 /nfs/dbraw/zinc/35/91/50/359359150.db2.gz NFEJFKJQDPKYPO-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(C)cc(Cl)c2)n1 ZINC000603016948 359359151 /nfs/dbraw/zinc/35/91/51/359359151.db2.gz NFEJFKJQDPKYPO-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2Cc3ccc(F)cc32)n[n-]1 ZINC000603016949 359359182 /nfs/dbraw/zinc/35/91/82/359359182.db2.gz NGDKKBJNQWSDRP-LLVKDONJSA-N -1 1 318.308 1.077 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2Cc3ccc(F)cc32)n1 ZINC000603016949 359359185 /nfs/dbraw/zinc/35/91/85/359359185.db2.gz NGDKKBJNQWSDRP-LLVKDONJSA-N -1 1 318.308 1.077 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2cccc(CC)c2)n[n-]1 ZINC000603020232 359362293 /nfs/dbraw/zinc/36/22/93/359362293.db2.gz ZBMWAQLPCYKHED-UHFFFAOYSA-N -1 1 316.361 1.403 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2cccc(CC)c2)n1 ZINC000603020232 359362297 /nfs/dbraw/zinc/36/22/97/359362297.db2.gz ZBMWAQLPCYKHED-UHFFFAOYSA-N -1 1 316.361 1.403 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](CC)CC(F)(F)F)n[n-]1 ZINC000603024224 359365850 /nfs/dbraw/zinc/36/58/50/359365850.db2.gz WIZFMYZWEOQHAM-ZETCQYMHSA-N -1 1 322.287 1.576 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](CC)CC(F)(F)F)n1 ZINC000603024224 359365854 /nfs/dbraw/zinc/36/58/54/359365854.db2.gz WIZFMYZWEOQHAM-ZETCQYMHSA-N -1 1 322.287 1.576 20 0 DDADMM Cc1ccc(CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000618486713 363648769 /nfs/dbraw/zinc/64/87/69/363648769.db2.gz BVIIOJPKCQSVBW-MRXNPFEDSA-N -1 1 307.357 1.956 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H]2C=CCCC2)n[n-]1 ZINC000603152189 359439333 /nfs/dbraw/zinc/43/93/33/359439333.db2.gz AFQZESCYPUNCFZ-QWRGUYRKSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H]2C=CCCC2)[n-]1 ZINC000603152189 359439336 /nfs/dbraw/zinc/43/93/36/359439336.db2.gz AFQZESCYPUNCFZ-QWRGUYRKSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H]2C=CCCC2)n1 ZINC000603152189 359439338 /nfs/dbraw/zinc/43/93/38/359439338.db2.gz AFQZESCYPUNCFZ-QWRGUYRKSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(F)cc2C)n[n-]1 ZINC000603157228 359444178 /nfs/dbraw/zinc/44/41/78/359444178.db2.gz VACCZQYVLJOAHC-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(F)cc2C)[n-]1 ZINC000603157228 359444184 /nfs/dbraw/zinc/44/41/84/359444184.db2.gz VACCZQYVLJOAHC-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(F)cc2C)n1 ZINC000603157228 359444187 /nfs/dbraw/zinc/44/41/87/359444187.db2.gz VACCZQYVLJOAHC-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(C)s2)n[n-]1 ZINC000603159371 359445283 /nfs/dbraw/zinc/44/52/83/359445283.db2.gz BCIGDYDMZLGCGO-QMMMGPOBSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(C)s2)[n-]1 ZINC000603159371 359445285 /nfs/dbraw/zinc/44/52/85/359445285.db2.gz BCIGDYDMZLGCGO-QMMMGPOBSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(C)s2)n1 ZINC000603159371 359445287 /nfs/dbraw/zinc/44/52/87/359445287.db2.gz BCIGDYDMZLGCGO-QMMMGPOBSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@]2(C)CC2(F)F)n[n-]1 ZINC000603158513 359445968 /nfs/dbraw/zinc/44/59/68/359445968.db2.gz ZYSDZVJGSMITDR-KGFZYKRKSA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@]2(C)CC2(F)F)[n-]1 ZINC000603158513 359445971 /nfs/dbraw/zinc/44/59/71/359445971.db2.gz ZYSDZVJGSMITDR-KGFZYKRKSA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@]2(C)CC2(F)F)n1 ZINC000603158513 359445976 /nfs/dbraw/zinc/44/59/76/359445976.db2.gz ZYSDZVJGSMITDR-KGFZYKRKSA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCc2ccsc2)n[n-]1 ZINC000603164537 359448907 /nfs/dbraw/zinc/44/89/07/359448907.db2.gz KBNYZZQZPZGDFG-VIFPVBQESA-N -1 1 322.390 1.853 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCc2ccsc2)[n-]1 ZINC000603164537 359448912 /nfs/dbraw/zinc/44/89/12/359448912.db2.gz KBNYZZQZPZGDFG-VIFPVBQESA-N -1 1 322.390 1.853 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCc2ccsc2)n1 ZINC000603164537 359448917 /nfs/dbraw/zinc/44/89/17/359448917.db2.gz KBNYZZQZPZGDFG-VIFPVBQESA-N -1 1 322.390 1.853 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@]2(C)CCOC2)c(F)c1 ZINC000603505094 359651872 /nfs/dbraw/zinc/65/18/72/359651872.db2.gz QOAUJUVEVWLOGP-CYBMUJFWSA-N -1 1 321.345 1.678 20 0 DDADMM CC(C)n1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)n1 ZINC000603546199 359655826 /nfs/dbraw/zinc/65/58/26/359655826.db2.gz AXEMPVYDAOBCKF-CYBMUJFWSA-N -1 1 311.349 1.497 20 0 DDADMM COc1ccc(C(=O)Nc2ccc3c(c2)C(=O)NCC3)c([O-])c1 ZINC000604970286 359821012 /nfs/dbraw/zinc/82/10/12/359821012.db2.gz RXQSNXBRSYEKST-UHFFFAOYSA-N -1 1 312.325 1.939 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(C(C)(C)C)cn1)c1nn[n-]n1 ZINC000565768840 304090197 /nfs/dbraw/zinc/09/01/97/304090197.db2.gz IVRBBLPVLMQWAU-SNVBAGLBSA-N -1 1 302.382 1.768 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H]1CCO)c1ccc(F)c(F)c1F ZINC000188925333 200323000 /nfs/dbraw/zinc/32/30/00/200323000.db2.gz ADKQXKIXXKDKNT-SCZZXKLOSA-N -1 1 323.336 1.933 20 0 DDADMM CCn1nc(C)c(S(=O)(=O)[N-]c2ccc3nccn3c2)c1C ZINC000608258137 360151150 /nfs/dbraw/zinc/15/11/50/360151150.db2.gz BOHCBSCGUAYRKX-UHFFFAOYSA-N -1 1 319.390 1.968 20 0 DDADMM Cc1nc([N-]C(=O)c2nnn(C3CC3)c2C(F)(F)F)n[nH]1 ZINC000608586193 360201015 /nfs/dbraw/zinc/20/10/15/360201015.db2.gz SJZNVIANJKWXCI-UHFFFAOYSA-N -1 1 301.232 1.311 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H]2COC[C@]2(C)C1 ZINC000618710543 363729755 /nfs/dbraw/zinc/72/97/55/363729755.db2.gz KQFANITYQOUDRZ-GTNSWQLSSA-N -1 1 319.405 1.897 20 0 DDADMM CCOC(=O)CC[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000610576804 360474564 /nfs/dbraw/zinc/47/45/64/360474564.db2.gz KCCXITBOSLNCRB-UHFFFAOYSA-N -1 1 323.317 1.205 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)C[C@H]2CCOC2)c1 ZINC000611082994 360617603 /nfs/dbraw/zinc/61/76/03/360617603.db2.gz GBKOPKYHHWAFDU-VHSXEESVSA-N -1 1 317.363 1.160 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1[C@@H]2CC[C@H]1CNC(=O)C2 ZINC000611927958 360859781 /nfs/dbraw/zinc/85/97/81/360859781.db2.gz FVCMIWXPRMFIFL-NEPJUHHUSA-N -1 1 311.341 1.434 20 0 DDADMM O=C(c1ncnc2sccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612815619 361153755 /nfs/dbraw/zinc/15/37/55/361153755.db2.gz ITMXEFSEEASTMV-MRVPVSSYSA-N -1 1 315.362 1.224 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@@H]1CCS(=O)(=O)C1 ZINC000613667427 361511950 /nfs/dbraw/zinc/51/19/50/361511950.db2.gz TVIKGTGWVFAEHF-SNVBAGLBSA-N -1 1 321.358 1.156 20 0 DDADMM C/C=C/C[C@@H](CO)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000565949629 304106617 /nfs/dbraw/zinc/10/66/17/304106617.db2.gz UKDAPJLASLLOHQ-PBKGFPTLSA-N -1 1 312.416 1.902 20 0 DDADMM CCCS(=O)(=O)CCSc1nc(C2CC2)cc(=O)[n-]1 ZINC000195080530 201336766 /nfs/dbraw/zinc/33/67/66/201336766.db2.gz RGKSNBZKALJPED-UHFFFAOYSA-N -1 1 302.421 1.977 20 0 DDADMM CC(C)c1nc(CS(=O)(=O)c2nnc(C(C)(C)C)[n-]2)no1 ZINC000195174109 201352673 /nfs/dbraw/zinc/35/26/73/201352673.db2.gz IEKSYMSGSFXYIS-UHFFFAOYSA-N -1 1 313.383 1.583 20 0 DDADMM CC(C)c1nc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)no1 ZINC000195174109 201352675 /nfs/dbraw/zinc/35/26/75/201352675.db2.gz IEKSYMSGSFXYIS-UHFFFAOYSA-N -1 1 313.383 1.583 20 0 DDADMM CC(C)c1nc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)no1 ZINC000195174109 201352678 /nfs/dbraw/zinc/35/26/78/201352678.db2.gz IEKSYMSGSFXYIS-UHFFFAOYSA-N -1 1 313.383 1.583 20 0 DDADMM Cn1c(Cl)cnc1CS(=O)(=O)c1nnc(C(C)(C)C)[n-]1 ZINC000195171216 201351663 /nfs/dbraw/zinc/35/16/63/201351663.db2.gz IEORGVQIYPFIFV-UHFFFAOYSA-N -1 1 317.802 1.463 20 0 DDADMM Cn1c(Cl)cnc1CS(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000195171216 201351667 /nfs/dbraw/zinc/35/16/67/201351667.db2.gz IEORGVQIYPFIFV-UHFFFAOYSA-N -1 1 317.802 1.463 20 0 DDADMM Cn1c(Cl)cnc1CS(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195171216 201351671 /nfs/dbraw/zinc/35/16/71/201351671.db2.gz IEORGVQIYPFIFV-UHFFFAOYSA-N -1 1 317.802 1.463 20 0 DDADMM CC[C@](C)(NC(=O)c1coc(S(=O)(=O)[N-]C)c1)C1CC1 ZINC000618956650 363819780 /nfs/dbraw/zinc/81/97/80/363819780.db2.gz PTHSMJROOFAJQX-ZDUSSCGKSA-N -1 1 300.380 1.496 20 0 DDADMM CCc1ccc(C)nc1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000622141594 365287902 /nfs/dbraw/zinc/28/79/02/365287902.db2.gz QEBOYWRBPUVFTI-UHFFFAOYSA-N -1 1 323.374 1.706 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc(OC(C)(C)C)n1)c1nn[n-]n1 ZINC000626443540 367548791 /nfs/dbraw/zinc/54/87/91/367548791.db2.gz JSCMWSZSFCRHGI-SNVBAGLBSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1cc(C(=O)N2CCN([C@H](C(=O)[O-])C3CC3)CC2)c(C)o1 ZINC000635016745 422769638 /nfs/dbraw/zinc/76/96/38/422769638.db2.gz OQFAFSAAEVKRJG-AWEZNQCLSA-N -1 1 306.362 1.517 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@H](C(=O)[O-])[C@H](C)C2)n[nH]1 ZINC000626757617 367726496 /nfs/dbraw/zinc/72/64/96/367726496.db2.gz YMQHNWGMHHUVHY-NRUUGDAUSA-N -1 1 308.382 1.401 20 0 DDADMM Cc1cc(=NC(=O)c2cnc3c(F)cc(F)cc3c2[O-])[nH]o1 ZINC000349941980 284113452 /nfs/dbraw/zinc/11/34/52/284113452.db2.gz WWLUUHOXOFJDBW-UHFFFAOYSA-N -1 1 305.240 1.777 20 0 DDADMM COc1ccc2nc(CN3CCC4(C[C@@H]4C(=O)[O-])CC3)[nH]c2n1 ZINC000566357376 304148439 /nfs/dbraw/zinc/14/84/39/304148439.db2.gz RZZHMDKWASVUQV-SNVBAGLBSA-N -1 1 316.361 1.653 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)Nc2ccccc2C(=O)[O-])c[nH]1 ZINC000350730280 284250655 /nfs/dbraw/zinc/25/06/55/284250655.db2.gz RPOZCJORUBSQOM-UHFFFAOYSA-N -1 1 324.314 1.300 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)c1ccc2[nH]nnc2c1 ZINC000262513582 304162029 /nfs/dbraw/zinc/16/20/29/304162029.db2.gz NEUKGJIUNLPPNV-KWBADKCTSA-N -1 1 300.318 1.283 20 0 DDADMM Cc1ccc(N2CCCN(C(=O)c3cncc([O-])c3)CC2)nn1 ZINC000264959468 204305074 /nfs/dbraw/zinc/30/50/74/204305074.db2.gz WDJWYMJUZMNGLR-UHFFFAOYSA-N -1 1 313.361 1.238 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2CCSCC2)o1 ZINC000264971894 204314376 /nfs/dbraw/zinc/31/43/76/204314376.db2.gz ODEICWYGBPAZRZ-UHFFFAOYSA-N -1 1 318.420 1.061 20 0 DDADMM CC(C)(C)S(=O)(=O)CCNC(=O)c1cc(Cl)ccc1[O-] ZINC000265090425 204389080 /nfs/dbraw/zinc/38/90/80/204389080.db2.gz SXKRTXJKILMFIM-UHFFFAOYSA-N -1 1 319.810 1.989 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(C(=O)C(F)(F)F)CC1 ZINC000274893026 212014618 /nfs/dbraw/zinc/01/46/18/212014618.db2.gz IBONAUNVHSUAJX-UHFFFAOYSA-N -1 1 302.252 1.771 20 0 DDADMM O=S(=O)([N-]CCF)c1cccc(Br)c1F ZINC000282564131 217091181 /nfs/dbraw/zinc/09/11/81/217091181.db2.gz KJQCNXCZKGLCRO-UHFFFAOYSA-N -1 1 300.124 1.836 20 0 DDADMM Cc1nnc([N-]C(=O)c2cnc(C(=O)OC(C)(C)C)cn2)s1 ZINC000282654593 217155710 /nfs/dbraw/zinc/15/57/10/217155710.db2.gz MYCWMSUTCYKONT-UHFFFAOYSA-N -1 1 321.362 1.844 20 0 DDADMM CCOc1ccccc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282799327 217259225 /nfs/dbraw/zinc/25/92/25/217259225.db2.gz ABXULHCLPVYBMB-LLVKDONJSA-N -1 1 316.361 1.517 20 0 DDADMM COCc1ccccc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000283022258 217393618 /nfs/dbraw/zinc/39/36/18/217393618.db2.gz XOYOPXGRUWVUSV-LLVKDONJSA-N -1 1 316.361 1.264 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]CC(=O)OC2CCCCC2)no1 ZINC000267766558 206268468 /nfs/dbraw/zinc/26/84/68/206268468.db2.gz OJSPBZZAAJAXEY-UHFFFAOYSA-N -1 1 316.379 1.278 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C1CC1 ZINC000267828771 206299739 /nfs/dbraw/zinc/29/97/39/206299739.db2.gz ZJTUVCFUAOZCAO-LLVKDONJSA-N -1 1 305.302 1.195 20 0 DDADMM CC1(C)CN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]2CCC[C@@H]21 ZINC000567099605 304197596 /nfs/dbraw/zinc/19/75/96/304197596.db2.gz NHXVSLNXAKCTAE-GXSJLCMTSA-N -1 1 301.350 1.068 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1ccc(C(F)(F)F)cc1 ZINC000174583750 248370922 /nfs/dbraw/zinc/37/09/22/248370922.db2.gz FSOPHHDYTPGQSJ-UHFFFAOYSA-N -1 1 314.267 1.479 20 0 DDADMM O=S1(=O)CCC[C@H]1CN=c1nc(C2CCCC2)[n-]s1 ZINC000333729078 249084446 /nfs/dbraw/zinc/08/44/46/249084446.db2.gz VWAVITDVHOWLKQ-JTQLQIEISA-N -1 1 301.437 1.607 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(Cl)c(OC)c1 ZINC000337160556 249370860 /nfs/dbraw/zinc/37/08/60/249370860.db2.gz SXDGNUVKXWHGJR-UHFFFAOYSA-N -1 1 322.752 1.414 20 0 DDADMM CCOc1cc(C(=O)NC[C@@H](O)COC)cc(Cl)c1[O-] ZINC000273841939 211104841 /nfs/dbraw/zinc/10/48/41/211104841.db2.gz JRVXJRMNNWJGHT-SECBINFHSA-N -1 1 303.742 1.181 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2c(CC)noc2CC)n1 ZINC000339171445 250326776 /nfs/dbraw/zinc/32/67/76/250326776.db2.gz HOENACBNXNMHTN-UHFFFAOYSA-N -1 1 320.349 1.880 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](C(F)(F)F)[C@H](CO)C1 ZINC000286464827 296305930 /nfs/dbraw/zinc/30/59/30/296305930.db2.gz YCRYDKBFXJGGPE-IUCAKERBSA-N -1 1 304.268 1.420 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C)n1ccc(C(F)(F)F)n1 ZINC000633191015 422797925 /nfs/dbraw/zinc/79/79/25/422797925.db2.gz PMRSFHBFSQKGET-ZCFIWIBFSA-N -1 1 303.244 1.839 20 0 DDADMM CS(=O)(=O)Cc1cccc(C(=O)Nc2ccncc2[O-])c1 ZINC000109889661 194295310 /nfs/dbraw/zinc/29/53/10/194295310.db2.gz LDCBOBPLWPWMDP-UHFFFAOYSA-N -1 1 306.343 1.584 20 0 DDADMM O=C(CNC(=O)c1cncc([O-])c1)Nc1ccc(Cl)cc1 ZINC000109955882 194300853 /nfs/dbraw/zinc/30/08/53/194300853.db2.gz ZPNUSOSWPOLFIO-UHFFFAOYSA-N -1 1 305.721 1.809 20 0 DDADMM Cc1cnc(CCNC(=O)c2c[n-]c3[nH+]c(C)ccc3c2=O)nc1 ZINC000340703163 251168880 /nfs/dbraw/zinc/16/88/80/251168880.db2.gz HWMWLPJYQZRHQZ-UHFFFAOYSA-N -1 1 323.356 1.715 20 0 DDADMM Cc1cnc(CCNC(=O)c2c[n-]c3nc(C)ccc3c2=O)nc1 ZINC000340703163 251168884 /nfs/dbraw/zinc/16/88/84/251168884.db2.gz HWMWLPJYQZRHQZ-UHFFFAOYSA-N -1 1 323.356 1.715 20 0 DDADMM C[C@H]1CCC[C@]1(O)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000294565734 224092889 /nfs/dbraw/zinc/09/28/89/224092889.db2.gz LUVRFQVQQRZMIY-ZANVPECISA-N -1 1 305.346 1.794 20 0 DDADMM O=C(NCCc1nnc2n1CCCCC2)c1c([O-])cccc1F ZINC000119649425 195010113 /nfs/dbraw/zinc/01/01/13/195010113.db2.gz NNDFDTSSRRJORL-UHFFFAOYSA-N -1 1 318.352 1.822 20 0 DDADMM COC[C@H](C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1)OC ZINC000451380442 533037728 /nfs/dbraw/zinc/03/77/28/533037728.db2.gz BZIJCQMCCCEGIZ-NSHDSACASA-N -1 1 317.338 1.512 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)C1CC1)c1cc(Cl)ccc1F ZINC000352593974 285163960 /nfs/dbraw/zinc/16/39/60/285163960.db2.gz ZCLLMOLKSKTHPC-SECBINFHSA-N -1 1 307.774 1.776 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N(C)Cc1ccon1)c2=O ZINC000352607404 285171183 /nfs/dbraw/zinc/17/11/83/285171183.db2.gz TZUDABMNEORLLT-UHFFFAOYSA-N -1 1 313.313 1.797 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCC[C@@H]2CCCC[C@H]2O)[n-]n1 ZINC000413195765 224152670 /nfs/dbraw/zinc/15/26/70/224152670.db2.gz CYTALSQEOGGPTH-GXTWGEPZSA-N -1 1 306.410 1.344 20 0 DDADMM COc1cccc([C@@H](C)[N-]S(=O)(=O)c2c(C)onc2N)c1 ZINC000289531570 221045504 /nfs/dbraw/zinc/04/55/04/221045504.db2.gz QKWRTPZSEGPYEL-MRVPVSSYSA-N -1 1 311.363 1.613 20 0 DDADMM O=C([O-])CCCCCNC(=O)NCc1ccc2cncn2c1 ZINC000414607196 224359539 /nfs/dbraw/zinc/35/95/39/224359539.db2.gz GPUQKTTUMXCUDM-UHFFFAOYSA-N -1 1 304.350 1.779 20 0 DDADMM CC[N@@H+](CCOc1cccc(S(C)(=O)=O)c1)CCC(=O)[O-] ZINC000568281222 304283592 /nfs/dbraw/zinc/28/35/92/304283592.db2.gz CZVOTIOKDLAMBT-UHFFFAOYSA-N -1 1 315.391 1.266 20 0 DDADMM CCN(CCOc1cccc(S(C)(=O)=O)c1)CCC(=O)[O-] ZINC000568281222 304283595 /nfs/dbraw/zinc/28/35/95/304283595.db2.gz CZVOTIOKDLAMBT-UHFFFAOYSA-N -1 1 315.391 1.266 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC(C)(C)c1nccs1 ZINC000433340936 533446597 /nfs/dbraw/zinc/44/65/97/533446597.db2.gz GGTOMRVXASVVSJ-UHFFFAOYSA-N -1 1 310.335 1.018 20 0 DDADMM Cc1ccc([C@H](C)N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000353388088 285700825 /nfs/dbraw/zinc/70/08/25/285700825.db2.gz OUHHVFMWXQDYGE-NSHDSACASA-N -1 1 311.345 1.559 20 0 DDADMM C[C@H](CNc1ccc(C(=O)[O-])nn1)N1CCc2sccc2C1 ZINC000568887964 304320238 /nfs/dbraw/zinc/32/02/38/304320238.db2.gz SAKBMCGXONSIAJ-SNVBAGLBSA-N -1 1 318.402 1.517 20 0 DDADMM COc1ccc(CN(C)C(=O)CCCc2nn[n-]n2)c(OC)c1 ZINC000635108338 422817542 /nfs/dbraw/zinc/81/75/42/422817542.db2.gz WBEWHFOLYKBFBR-UHFFFAOYSA-N -1 1 319.365 1.198 20 0 DDADMM CC(C)c1nc([C@@H]2COCCN2Cc2ncccc2[O-])no1 ZINC000569291182 304345642 /nfs/dbraw/zinc/34/56/42/304345642.db2.gz VUPAULBZZJIHLY-LBPRGKRZSA-N -1 1 304.350 1.867 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCc1c(C)cc(C)cc1C ZINC000569626209 304370556 /nfs/dbraw/zinc/37/05/56/304370556.db2.gz AAMRHRKVZZSUEA-UHFFFAOYSA-N -1 1 323.418 1.842 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1c(C)cc(C)cc1C ZINC000569626209 304370557 /nfs/dbraw/zinc/37/05/57/304370557.db2.gz AAMRHRKVZZSUEA-UHFFFAOYSA-N -1 1 323.418 1.842 20 0 DDADMM COc1ccc([C@@H](C)NC(=O)CCCc2nn[n-]n2)cc1F ZINC000635127955 422828251 /nfs/dbraw/zinc/82/82/51/422828251.db2.gz SFUZFVHOEIZKSC-SECBINFHSA-N -1 1 307.329 1.548 20 0 DDADMM CC(C)[C@H](Cc1ccc(F)cc1)NC(=O)CCc1nn[n-]n1 ZINC000631560264 422831677 /nfs/dbraw/zinc/83/16/77/422831677.db2.gz VVHDTCJUYLEHOO-ZDUSSCGKSA-N -1 1 305.357 1.655 20 0 DDADMM Cn1ccnc1CNC(=O)c1ccc(Br)cc1[O-] ZINC000071140930 406833800 /nfs/dbraw/zinc/83/38/00/406833800.db2.gz VQQCXJHXEOKASS-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCC2CCCC2)o1 ZINC000029345612 406922905 /nfs/dbraw/zinc/92/29/05/406922905.db2.gz IMKWPWOIKYJCBU-UHFFFAOYSA-N -1 1 300.380 1.498 20 0 DDADMM Cc1ccc2nc(-c3cccc(S(N)(=O)=O)c3)[n-]c(=O)c2c1 ZINC000077257276 406982279 /nfs/dbraw/zinc/98/22/79/406982279.db2.gz FCYCKDKOJMQQKC-UHFFFAOYSA-N -1 1 315.354 1.546 20 0 DDADMM CCOc1ncccc1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000079096218 407050066 /nfs/dbraw/zinc/05/00/66/407050066.db2.gz JKUMSEQRLZXXKZ-UHFFFAOYSA-N -1 1 324.340 1.647 20 0 DDADMM O=C(CCS(=O)(=O)c1nc[n-]n1)Nc1cccc(Cl)c1 ZINC000086169800 407108179 /nfs/dbraw/zinc/10/81/79/407108179.db2.gz ZLBQOOKVTITBRL-UHFFFAOYSA-N -1 1 314.754 1.261 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2ccc(OC(F)F)cc2)n[n-]1 ZINC000086170726 407108491 /nfs/dbraw/zinc/10/84/91/407108491.db2.gz OSVHAFBRBKTUAM-UHFFFAOYSA-N -1 1 317.317 1.942 20 0 DDADMM C[C@H](CC(=O)NN1CC(=O)[N-]C1=O)Cc1ccc(Cl)cc1 ZINC000089434110 407134438 /nfs/dbraw/zinc/13/44/38/407134438.db2.gz OYTPTEHGPRBGRZ-VIFPVBQESA-N -1 1 309.753 1.492 20 0 DDADMM C[C@H]([C@H](C)N(C)C(=O)c1cc(F)ccc1[O-])S(C)(=O)=O ZINC000094138783 407203492 /nfs/dbraw/zinc/20/34/92/407203492.db2.gz OXZSKRJLXJHTER-DTWKUNHWSA-N -1 1 303.355 1.425 20 0 DDADMM Cc1noc(CC[N-]S(=O)(=O)c2cc(F)ccc2F)n1 ZINC000101575579 407312127 /nfs/dbraw/zinc/31/21/27/407312127.db2.gz CSGXFFWVNKGMLJ-UHFFFAOYSA-N -1 1 303.290 1.177 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CCc2ccoc2)c1 ZINC000181029166 306697279 /nfs/dbraw/zinc/69/72/79/306697279.db2.gz JSTZKEBJAJRHOP-UHFFFAOYSA-N -1 1 310.331 1.204 20 0 DDADMM O=C(c1ccc(F)cc1[O-])N1CC[C@@H](CN2CCOCC2)C1 ZINC000123925473 407343100 /nfs/dbraw/zinc/34/31/00/407343100.db2.gz ABKJGCWYGQUKEW-LBPRGKRZSA-N -1 1 308.353 1.326 20 0 DDADMM C[C@@H](CC[N-]S(=O)(=O)c1cccc(F)c1F)[S@](C)=O ZINC000124064333 407347039 /nfs/dbraw/zinc/34/70/39/407347039.db2.gz DQQBMBKWCKKBHZ-DCXZOGHSSA-N -1 1 311.375 1.400 20 0 DDADMM C[C@@H](CC[N-]S(=O)(=O)c1cc(F)ccc1F)[S@](C)=O ZINC000124167440 407349997 /nfs/dbraw/zinc/34/99/97/407349997.db2.gz SRMNXNDBZNWHOO-DCXZOGHSSA-N -1 1 311.375 1.400 20 0 DDADMM C[C@H]1CC[C@H](C(N)=O)CN1Cc1nc(=O)c2sccc2[n-]1 ZINC000111220418 407401517 /nfs/dbraw/zinc/40/15/17/407401517.db2.gz LOCWZJMCAZWILF-IUCAKERBSA-N -1 1 306.391 1.070 20 0 DDADMM CCCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)c2cncc([O-])c2)C1 ZINC000111580060 407412015 /nfs/dbraw/zinc/41/20/15/407412015.db2.gz IZNLYKQLMGLFAR-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(CCC(=O)N1CCOCC1)Nc1ccc([O-])c(Cl)c1 ZINC000111668205 407413941 /nfs/dbraw/zinc/41/39/41/407413941.db2.gz SYERQAPTACVVMB-UHFFFAOYSA-N -1 1 312.753 1.623 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)c1cnc2n(c1=O)CCS2 ZINC000111668543 407414378 /nfs/dbraw/zinc/41/43/78/407414378.db2.gz REPDQKFFSRLXCO-UHFFFAOYSA-N -1 1 323.761 1.960 20 0 DDADMM C[C@H](Cc1ccccc1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000112114839 407421912 /nfs/dbraw/zinc/42/19/12/407421912.db2.gz PPKKQBDPMGDTIX-SECBINFHSA-N -1 1 308.363 1.026 20 0 DDADMM C[C@H](Cc1ccccc1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000112114839 407421913 /nfs/dbraw/zinc/42/19/13/407421913.db2.gz PPKKQBDPMGDTIX-SECBINFHSA-N -1 1 308.363 1.026 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCC[C@H](C)C2)c1 ZINC000151783886 407498527 /nfs/dbraw/zinc/49/85/27/407498527.db2.gz DABXJIBOUAQRAO-ONGXEEELSA-N -1 1 301.364 1.923 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)NCCCc2ccccc2)C1 ZINC000261617324 407554834 /nfs/dbraw/zinc/55/48/34/407554834.db2.gz FZNNYEDWAOZQSR-OAHLLOKOSA-N -1 1 304.390 1.532 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCCc2ccccc2)C1 ZINC000261617324 407554840 /nfs/dbraw/zinc/55/48/40/407554840.db2.gz FZNNYEDWAOZQSR-OAHLLOKOSA-N -1 1 304.390 1.532 20 0 DDADMM CN1CC[C@@H](NC(=O)c2ccc(Br)c([O-])c2)C1=O ZINC000179148381 407737990 /nfs/dbraw/zinc/73/79/90/407737990.db2.gz MUIXUBDZQDIOQT-SECBINFHSA-N -1 1 313.151 1.115 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)c1ccco1 ZINC000267074151 407738328 /nfs/dbraw/zinc/73/83/28/407738328.db2.gz RHLPXVOBWPEFNX-NSHDSACASA-N -1 1 300.336 1.383 20 0 DDADMM COC[C@@H](C)C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272267854 407841199 /nfs/dbraw/zinc/84/11/99/407841199.db2.gz WBYXWZSYCDKWPN-ZETCQYMHSA-N -1 1 313.206 1.949 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)C(F)F)N1CCc2ccccc2C1 ZINC000267252396 407809086 /nfs/dbraw/zinc/80/90/86/407809086.db2.gz LLRHLKYKXXGBFV-UHFFFAOYSA-N -1 1 318.270 1.588 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc(C2CCCC2)no1 ZINC000179599659 407812707 /nfs/dbraw/zinc/81/27/07/407812707.db2.gz JCXWUZZNWJGIPT-UHFFFAOYSA-N -1 1 303.384 1.183 20 0 DDADMM COc1cccc(C(=O)c2ccc(C(=O)Nc3nnn[n-]3)cc2)c1 ZINC000187090408 407818008 /nfs/dbraw/zinc/81/80/08/407818008.db2.gz PNZDUYKIIRPHCF-UHFFFAOYSA-N -1 1 323.312 1.692 20 0 DDADMM COc1cccc(C(=O)c2ccc(C(=O)Nc3nn[n-]n3)cc2)c1 ZINC000187090408 407818014 /nfs/dbraw/zinc/81/80/14/407818014.db2.gz PNZDUYKIIRPHCF-UHFFFAOYSA-N -1 1 323.312 1.692 20 0 DDADMM O=C1CCCN1CCC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000133928992 407877866 /nfs/dbraw/zinc/87/78/66/407877866.db2.gz UJDMNTLACUFMQI-UHFFFAOYSA-N -1 1 322.386 1.724 20 0 DDADMM C[C@@H]1[C@@H](C)[S@](=O)CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000272361232 407884008 /nfs/dbraw/zinc/88/40/08/407884008.db2.gz YRQHVJUMLVWKPL-XJUBTRFSSA-N -1 1 321.398 1.840 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(-c2cccs2)on1)c1nn[n-]n1 ZINC000187327635 407844675 /nfs/dbraw/zinc/84/46/75/407844675.db2.gz AOZYBKQLOCSGGU-QMMMGPOBSA-N -1 1 318.362 1.792 20 0 DDADMM CNC(=O)Cn1ccc2ccc(NC(=O)c3cncc([O-])c3)cc21 ZINC000174089816 407913492 /nfs/dbraw/zinc/91/34/92/407913492.db2.gz DRKPLCTXHORZRN-UHFFFAOYSA-N -1 1 324.340 1.740 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC3(CCO)CCC3)cnc2n1 ZINC000154357687 408024746 /nfs/dbraw/zinc/02/47/46/408024746.db2.gz XIJRSBXMMCOOLW-UHFFFAOYSA-N -1 1 315.373 1.926 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCC3(CCO)CCC3)c[n-]c2n1 ZINC000154357687 408024756 /nfs/dbraw/zinc/02/47/56/408024756.db2.gz XIJRSBXMMCOOLW-UHFFFAOYSA-N -1 1 315.373 1.926 20 0 DDADMM CC(=O)NC[C@@H]1CCC[N@@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000119364183 408053688 /nfs/dbraw/zinc/05/36/88/408053688.db2.gz HLQHUJQZSQADOB-INIZCTEOSA-N -1 1 319.405 1.083 20 0 DDADMM CC(=O)NC[C@@H]1CCCN(CC(=O)[N-]OCc2ccccc2)C1 ZINC000119364183 408053698 /nfs/dbraw/zinc/05/36/98/408053698.db2.gz HLQHUJQZSQADOB-INIZCTEOSA-N -1 1 319.405 1.083 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NCc1ccccc1OC)C(=O)[O-] ZINC000263150422 408039475 /nfs/dbraw/zinc/03/94/75/408039475.db2.gz PJGBMJFKCANFEZ-NHYWBVRUSA-N -1 1 308.378 1.400 20 0 DDADMM C[N@@H+](CCNC(=O)c1ncccc1O)Cc1ccc(F)cc1 ZINC000174994671 408022949 /nfs/dbraw/zinc/02/29/49/408022949.db2.gz FIODCHYNYJDPCG-UHFFFAOYSA-N -1 1 303.337 1.788 20 0 DDADMM NC(=O)c1ccc(C(=O)[N-]c2ncn(C3CCCCC3)n2)o1 ZINC000273119263 408064339 /nfs/dbraw/zinc/06/43/39/408064339.db2.gz GDAZSVFOUAYLDP-UHFFFAOYSA-N -1 1 303.322 1.728 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)CNC(=O)c2ccccc2)[nH]n1 ZINC000119500927 408082662 /nfs/dbraw/zinc/08/26/62/408082662.db2.gz ICVOAVDHRBKLSK-UHFFFAOYSA-N -1 1 324.344 1.179 20 0 DDADMM O=C([N-]c1nc[nH]n1)c1ncoc1-c1ccc2c(c1)OCCO2 ZINC000175291729 408097572 /nfs/dbraw/zinc/09/75/72/408097572.db2.gz YPTVCMGJXZODNM-UHFFFAOYSA-N -1 1 313.273 1.483 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])C[N@@H+](CC(=O)NCCCc2ccccc2)C1 ZINC000263322046 408107033 /nfs/dbraw/zinc/10/70/33/408107033.db2.gz JUHNNJVISKNTNK-GOEBONIOSA-N -1 1 318.417 1.778 20 0 DDADMM CC[C@H]1CN(C(=O)NCCC(=O)[O-])CCN1Cc1ccccc1 ZINC000263336770 408111294 /nfs/dbraw/zinc/11/12/94/408111294.db2.gz DMWHXYYPPPVCIZ-HNNXBMFYSA-N -1 1 319.405 1.767 20 0 DDADMM CCC[C@@H](NC(=O)c1cnn(C(C)(C)C)c1C)c1nn[n-]n1 ZINC000136627548 408113186 /nfs/dbraw/zinc/11/31/86/408113186.db2.gz UNQICUFXRSKIFK-LLVKDONJSA-N -1 1 305.386 1.731 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)c1cccc(OC)c1)c1nn[n-]n1 ZINC000136732011 408122972 /nfs/dbraw/zinc/12/29/72/408122972.db2.gz NSVMZNFTMHMQLY-ZWNOBZJWSA-N -1 1 303.366 1.969 20 0 DDADMM C[C@H](Cn1cccn1)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000263685050 408203405 /nfs/dbraw/zinc/20/34/05/408203405.db2.gz JUGVNDZVWBZRHZ-SECBINFHSA-N -1 1 301.318 1.528 20 0 DDADMM CN1CC[C@@H](CNC(=O)C(=O)[O-])[C@@H]1c1ccc(Cl)c(F)c1 ZINC000274178462 408316827 /nfs/dbraw/zinc/31/68/27/408316827.db2.gz OHGOSAXVNLBYHG-CABZTGNLSA-N -1 1 314.744 1.673 20 0 DDADMM Cc1cc(Cl)ccc1OCC(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000176256798 408324998 /nfs/dbraw/zinc/32/49/98/408324998.db2.gz FECNJQHPOZRXNS-UHFFFAOYSA-N -1 1 324.768 1.188 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@@H]1C[C@@]12CCc1ccccc12 ZINC000176256546 408325345 /nfs/dbraw/zinc/32/53/45/408325345.db2.gz BLXLDJUOVPRTLW-SUMWQHHRSA-N -1 1 312.373 1.051 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)Cc2ccccc2)co1 ZINC000157250039 408295867 /nfs/dbraw/zinc/29/58/67/408295867.db2.gz RFLISXTVHHINJD-UHFFFAOYSA-N -1 1 308.359 1.460 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCc2ccc(Cl)s2)C1 ZINC000164676197 162153301 /nfs/dbraw/zinc/15/33/01/162153301.db2.gz LWROFDAZLXRYEO-VIFPVBQESA-N -1 1 316.810 1.814 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H](C)C(C)C ZINC000172450631 162276690 /nfs/dbraw/zinc/27/66/90/162276690.db2.gz DCSGZIACKYZPAA-SNVBAGLBSA-N -1 1 304.394 1.590 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000183328072 408382577 /nfs/dbraw/zinc/38/25/77/408382577.db2.gz WYIBNRBIJRTPIQ-BDAKNGLRSA-N -1 1 321.345 1.831 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(-n2ccnc2)nc1)c1nn[n-]n1 ZINC000176790883 408446515 /nfs/dbraw/zinc/44/65/15/408446515.db2.gz ZATVPAGNSCHQJZ-NSHDSACASA-N -1 1 312.337 1.052 20 0 DDADMM C[C@@H](CN(C)C(=O)CCCOc1cccc(F)c1)c1nn[n-]n1 ZINC000183443731 408413804 /nfs/dbraw/zinc/41/38/04/408413804.db2.gz DAQZONFAZLSHMZ-NSHDSACASA-N -1 1 321.356 1.760 20 0 DDADMM Cc1nnsc1C(=O)[N-]c1sccc1S(C)(=O)=O ZINC000191423256 408421804 /nfs/dbraw/zinc/42/18/04/408421804.db2.gz ZUQQYBJNXXDXQG-UHFFFAOYSA-N -1 1 303.390 1.564 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1c(C)n[nH]c1C(F)F ZINC000274505337 408432125 /nfs/dbraw/zinc/43/21/25/408432125.db2.gz LTGHXPRERSGCMT-UHFFFAOYSA-N -1 1 305.310 1.673 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CCCO1 ZINC000274683165 408494317 /nfs/dbraw/zinc/49/43/17/408494317.db2.gz KEOJWRLCMMQNGG-UHFFFAOYSA-N -1 1 300.318 1.259 20 0 DDADMM O=C([O-])c1ccccc1OCCN1CCC[C@H]1C(=O)NC1CC1 ZINC000183915391 408507727 /nfs/dbraw/zinc/50/77/27/408507727.db2.gz JAQUDYPYZWQAKE-AWEZNQCLSA-N -1 1 318.373 1.507 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)c2cc(C(=O)OC)co2)CC1 ZINC000269891472 408461216 /nfs/dbraw/zinc/46/12/16/408461216.db2.gz BSCYFKFQWZMRLC-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM O=C(NCC[N@H+]1CCCOCC1)c1cc(F)c([O-])c(Cl)c1 ZINC000192619660 408619914 /nfs/dbraw/zinc/61/99/14/408619914.db2.gz XIAAHEKPKUKLND-UHFFFAOYSA-N -1 1 316.760 1.637 20 0 DDADMM O=C(NCCN1CCCOCC1)c1cc(F)c([O-])c(Cl)c1 ZINC000192619660 408619918 /nfs/dbraw/zinc/61/99/18/408619918.db2.gz XIAAHEKPKUKLND-UHFFFAOYSA-N -1 1 316.760 1.637 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H]3CCCC[C@@H]3O)cnc2n1 ZINC000177552617 408654375 /nfs/dbraw/zinc/65/43/75/408654375.db2.gz LLMAMQGGWMYLFS-RISCZKNCSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@H]3CCCC[C@@H]3O)c[n-]c2n1 ZINC000177552617 408654377 /nfs/dbraw/zinc/65/43/77/408654377.db2.gz LLMAMQGGWMYLFS-RISCZKNCSA-N -1 1 315.373 1.925 20 0 DDADMM CCN(C)CCNS(=O)(=O)c1ccc(C(=O)[O-])c(Cl)c1 ZINC000184964430 408727311 /nfs/dbraw/zinc/72/73/11/408727311.db2.gz USMPXBRPVGZOGA-UHFFFAOYSA-N -1 1 320.798 1.268 20 0 DDADMM CSCc1nc2ccccc2n1CC(=O)Nc1nnn[n-]1 ZINC000265548699 408691105 /nfs/dbraw/zinc/69/11/05/408691105.db2.gz YMHRAQORCIHZIE-UHFFFAOYSA-N -1 1 303.351 1.051 20 0 DDADMM CSCc1nc2ccccc2n1CC(=O)Nc1nn[n-]n1 ZINC000265548699 408691111 /nfs/dbraw/zinc/69/11/11/408691111.db2.gz YMHRAQORCIHZIE-UHFFFAOYSA-N -1 1 303.351 1.051 20 0 DDADMM C[C@@H]1CCCN1S(=O)(=O)[N-]c1ccn(Cc2ccncc2)n1 ZINC000185226454 408782146 /nfs/dbraw/zinc/78/21/46/408782146.db2.gz ANTCCFPOOGVRCE-GFCCVEGCSA-N -1 1 321.406 1.467 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CC)CSC ZINC000163834345 408791583 /nfs/dbraw/zinc/79/15/83/408791583.db2.gz XZAULMYJWCEMTG-QMMMGPOBSA-N -1 1 321.424 1.006 20 0 DDADMM CC[C@H]1[C@@H](C)CCN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000185405040 408805893 /nfs/dbraw/zinc/80/58/93/408805893.db2.gz BXFJKHAKGCVXKQ-UWVGGRQHSA-N -1 1 300.380 1.448 20 0 DDADMM O=c1nc([C@H]2CCCN(c3ccc(C(F)(F)F)cn3)C2)[nH][n-]1 ZINC000285763080 408858074 /nfs/dbraw/zinc/85/80/74/408858074.db2.gz VPECSVJAWXQMJR-QMMMGPOBSA-N -1 1 313.283 1.896 20 0 DDADMM Cc1n[nH]cc1C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000281632467 408900708 /nfs/dbraw/zinc/90/07/08/408900708.db2.gz GQMQNXXXFIBSRZ-UHFFFAOYSA-N -1 1 318.299 1.249 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CCCN1Cc1ccc(F)cc1 ZINC000187144346 163005940 /nfs/dbraw/zinc/00/59/40/163005940.db2.gz LEYYHTCKSWUJRN-OAHLLOKOSA-N -1 1 310.369 1.874 20 0 DDADMM C[C@@H](CN(C)C(=O)COc1cc(F)cc(F)c1)c1nn[n-]n1 ZINC000291590081 408890685 /nfs/dbraw/zinc/89/06/85/408890685.db2.gz DIVDKFILDLIVCR-QMMMGPOBSA-N -1 1 311.292 1.119 20 0 DDADMM Cc1n[nH]c(C)c1C[N@@H+]1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000291604888 408893041 /nfs/dbraw/zinc/89/30/41/408893041.db2.gz QQXHZUZSMJKRIE-LLVKDONJSA-N -1 1 318.343 1.917 20 0 DDADMM CC[C@H](C)[C@H](NC(C)=O)C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000286098844 408923313 /nfs/dbraw/zinc/92/33/13/408923313.db2.gz WPCWOTFNJFRXPI-XPTSAGLGSA-N -1 1 322.361 1.668 20 0 DDADMM CCOC[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C(C)C ZINC000191461495 163187139 /nfs/dbraw/zinc/18/71/39/163187139.db2.gz HFTYNKSWLLTHDO-ZDUSSCGKSA-N -1 1 303.362 1.714 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000193196264 163250181 /nfs/dbraw/zinc/25/01/81/163250181.db2.gz SJILEWSDNLDJJJ-ZETCQYMHSA-N -1 1 321.724 1.155 20 0 DDADMM C[C@@H](CO)NC(=O)c1ccc(I)c([O-])c1 ZINC000230888464 163348566 /nfs/dbraw/zinc/34/85/66/163348566.db2.gz ZSWCUOOQCJHSQJ-LURJTMIESA-N -1 1 321.114 1.107 20 0 DDADMM C[C@H](C[NH+](C)C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000235475488 163355191 /nfs/dbraw/zinc/35/51/91/163355191.db2.gz SXAYCMMHMVAWSP-MRVPVSSYSA-N -1 1 301.184 1.835 20 0 DDADMM C[C@@H](C(=O)[O-])N1CCN(C(=O)C23CC4CC(CC(C4)C2)C3)CC1 ZINC000237533261 163381175 /nfs/dbraw/zinc/38/11/75/163381175.db2.gz LLDNOZYPQPDDER-IHWZXDPASA-N -1 1 320.433 1.820 20 0 DDADMM CS(=O)(=O)[C@H]1CCCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000277636613 408982453 /nfs/dbraw/zinc/98/24/53/408982453.db2.gz HGXHNDNYZDCFLW-NSHDSACASA-N -1 1 315.366 1.571 20 0 DDADMM CCOc1cc(-c2noc(Cn3cnnn3)n2)cc(Cl)c1[O-] ZINC000278127074 409069038 /nfs/dbraw/zinc/06/90/38/409069038.db2.gz RNBHIBXQOHOZNP-UHFFFAOYSA-N -1 1 322.712 1.529 20 0 DDADMM CCO[C@H](CCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C(C)C ZINC000287509181 409047018 /nfs/dbraw/zinc/04/70/18/409047018.db2.gz HOQYNYLZFUHGFN-KELUXRKGSA-N -1 1 319.409 1.915 20 0 DDADMM C[C@H](O)C[C@H]1CCCN1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287606584 409062747 /nfs/dbraw/zinc/06/27/47/409062747.db2.gz MTQSMRCRLMMTIW-AMDODJAPSA-N -1 1 303.366 1.109 20 0 DDADMM C[C@@H](O)C[C@@H]1CCCN1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287606590 409063627 /nfs/dbraw/zinc/06/36/27/409063627.db2.gz MTQSMRCRLMMTIW-IEVFTTQPSA-N -1 1 303.366 1.109 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@](O)(C(F)(F)F)CC1 ZINC000287822183 409098890 /nfs/dbraw/zinc/09/88/90/409098890.db2.gz KYVZORUGNHPWGY-LBPRGKRZSA-N -1 1 304.268 1.707 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnc2c(F)cccc2c1)c1nn[n-]n1 ZINC000293425369 409110938 /nfs/dbraw/zinc/11/09/38/409110938.db2.gz HHRYVAAWHGIYDU-SECBINFHSA-N -1 1 314.324 1.763 20 0 DDADMM CCCN(C(=O)c1cc(F)ccc1[O-])[C@@H]1CC(=O)N(C)C1=O ZINC000283618079 409185427 /nfs/dbraw/zinc/18/54/27/409185427.db2.gz RKPGNTYIMHZMGS-LLVKDONJSA-N -1 1 308.309 1.141 20 0 DDADMM O=C(N[C@@H]1CCO[C@H](C2CC2)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000283471705 409162687 /nfs/dbraw/zinc/16/26/87/409162687.db2.gz PFLWSGPESNORLI-NEPJUHHUSA-N -1 1 318.402 1.705 20 0 DDADMM O=C(CN1CCCC[C@H]1c1nc(=O)[n-][nH]1)Nc1cccc(F)c1 ZINC000289245034 409237804 /nfs/dbraw/zinc/23/78/04/409237804.db2.gz CAKVGLXJXMIGHS-LBPRGKRZSA-N -1 1 319.340 1.403 20 0 DDADMM CCc1nc(CC[N-]S(=O)(=O)c2c(C)onc2N)cs1 ZINC000289272301 409241574 /nfs/dbraw/zinc/24/15/74/409241574.db2.gz DFWYUCRGQLJLBE-UHFFFAOYSA-N -1 1 316.408 1.105 20 0 DDADMM COCC[C@@](C)(O)CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631585321 422840632 /nfs/dbraw/zinc/84/06/32/422840632.db2.gz OVQAAVGAVPYVHQ-CQSZACIVSA-N -1 1 301.770 1.492 20 0 DDADMM CO[C@]1(C)CCCN(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC000280254797 409278612 /nfs/dbraw/zinc/27/86/12/409278612.db2.gz YSAQFJVKEVPEJJ-CQSZACIVSA-N -1 1 311.407 1.854 20 0 DDADMM O=C(N[C@@H]1CCO[C@H]1c1ccc(=O)[nH]c1)c1cc(F)ccc1[O-] ZINC000280288462 409285224 /nfs/dbraw/zinc/28/52/24/409285224.db2.gz QZDFMYQRKNWQFX-DOMZBBRYSA-N -1 1 318.304 1.892 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@H]1O)c1cc(Cl)ccc1F ZINC000284172268 409289750 /nfs/dbraw/zinc/28/97/50/409289750.db2.gz GGLOAINKYXNXRP-LDYMZIIASA-N -1 1 307.774 1.918 20 0 DDADMM COc1ccc(CC2CCN(CC(=O)NCC(=O)[O-])CC2)cc1 ZINC000262273425 163797845 /nfs/dbraw/zinc/79/78/45/163797845.db2.gz VETIGRMOLXOQRT-UHFFFAOYSA-N -1 1 320.389 1.151 20 0 DDADMM CC(C)c1nnc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)[nH]1 ZINC000295515384 409324301 /nfs/dbraw/zinc/32/43/01/409324301.db2.gz UKEGGBVQTMAXNO-UHFFFAOYSA-N -1 1 317.378 1.190 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)cc1 ZINC000284953258 409376337 /nfs/dbraw/zinc/37/63/37/409376337.db2.gz BLGAXDSPBRAAFX-UHFFFAOYSA-N -1 1 308.363 1.882 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)c2ccccn2)C1)C(F)(F)F ZINC000290285819 409347810 /nfs/dbraw/zinc/34/78/10/409347810.db2.gz DQGFWGXLCVIQAE-JTQLQIEISA-N -1 1 315.295 1.612 20 0 DDADMM CCC[C@]1([N-]S(=O)(=O)c2c(C)nn(C)c2Cl)CCOC1 ZINC000285384682 409421226 /nfs/dbraw/zinc/42/12/26/409421226.db2.gz RWQYQOGDTOPRIK-LBPRGKRZSA-N -1 1 321.830 1.619 20 0 DDADMM O=C([C@@H]1CCC(F)(F)C1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000408068253 164216298 /nfs/dbraw/zinc/21/62/98/164216298.db2.gz SEHHNYMUKQZTJL-BDAKNGLRSA-N -1 1 301.293 1.917 20 0 DDADMM CN(C)C(=O)N1CCN(C(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000408373328 164310738 /nfs/dbraw/zinc/31/07/38/164310738.db2.gz KTUAXAUUXHUMEB-UHFFFAOYSA-N -1 1 306.366 1.005 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC[C@@H]1[C@H]1COCC[C@H]1O ZINC000408408416 164322008 /nfs/dbraw/zinc/32/20/08/164322008.db2.gz OUAWKWUPKFDUBB-BPLDGKMQSA-N -1 1 309.337 1.533 20 0 DDADMM C[C@@H]1COCC[C@H]1C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000285569673 409491525 /nfs/dbraw/zinc/49/15/25/409491525.db2.gz KENHAZKOPUNESS-ZJUUUORDSA-N -1 1 305.346 1.916 20 0 DDADMM COc1ccc(-n2ccnc2SCc2nn[n-]n2)c(C)c1 ZINC000342436337 409629212 /nfs/dbraw/zinc/62/92/12/409629212.db2.gz QESLWIGBNSBZTM-UHFFFAOYSA-N -1 1 302.363 1.995 20 0 DDADMM CN(C(=O)CNC(=O)c1ncccc1[O-])C1CCCCCC1 ZINC000337943514 409590188 /nfs/dbraw/zinc/59/01/88/409590188.db2.gz CGUFOPQWJFOCBK-UHFFFAOYSA-N -1 1 305.378 1.698 20 0 DDADMM C[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCC1(F)F ZINC000356773775 409591299 /nfs/dbraw/zinc/59/12/99/409591299.db2.gz MHZGWLFLHUFMIF-QMMMGPOBSA-N -1 1 310.304 1.791 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-]c1cc(CCC(C)C)n[nH]1 ZINC000342571229 409730796 /nfs/dbraw/zinc/73/07/96/409730796.db2.gz OPXZVSYWIJJDHV-UHFFFAOYSA-N -1 1 311.411 1.841 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1CCOc2ccc(Cl)cc21 ZINC000357062075 409828000 /nfs/dbraw/zinc/82/80/00/409828000.db2.gz WXBCBMCAPONSQG-VIFPVBQESA-N -1 1 319.752 1.525 20 0 DDADMM Cc1ccc2c(C[N@@H+](C)[C@H]3CCS(=O)(=O)C3)ccc(O)c2n1 ZINC000338263449 409846542 /nfs/dbraw/zinc/84/65/42/409846542.db2.gz NBYVCSBWIKTJMT-ZDUSSCGKSA-N -1 1 320.414 1.868 20 0 DDADMM COc1ccc(C[C@H](C)C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357044197 409816105 /nfs/dbraw/zinc/81/61/05/409816105.db2.gz IOCIQAVVWGWUTN-JTQLQIEISA-N -1 1 301.350 1.192 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCC[C@](O)(CO)C1 ZINC000313717082 409819058 /nfs/dbraw/zinc/81/90/58/409819058.db2.gz SDDZIIRUDORKBF-QGZVFWFLSA-N -1 1 301.342 1.505 20 0 DDADMM COc1ccccc1CNC(=O)CN1CCC[C@H](CC(=O)[O-])C1 ZINC000320471147 409903687 /nfs/dbraw/zinc/90/36/87/409903687.db2.gz MLXGVGKIVXTWQS-CYBMUJFWSA-N -1 1 320.389 1.498 20 0 DDADMM CCNC(=O)CNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338336491 409919559 /nfs/dbraw/zinc/91/95/59/409919559.db2.gz IWJZYYSONWGMPA-UHFFFAOYSA-N -1 1 307.737 1.460 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)[N-]OC(C)(C)CO)CC2=O)cc1 ZINC000297239139 409869674 /nfs/dbraw/zinc/86/96/74/409869674.db2.gz PGMAZKIYRQGJSH-GFCCVEGCSA-N -1 1 306.362 1.167 20 0 DDADMM CCc1nnc([N-]C(=O)c2csc(-c3nc[nH]n3)n2)s1 ZINC000357210113 409941239 /nfs/dbraw/zinc/94/12/39/409941239.db2.gz ZJOWPKKRRCAZTC-UHFFFAOYSA-N -1 1 307.364 1.594 20 0 DDADMM CC(C)(NC(=O)c1cnc(-c2ccco2)s1)c1nn[n-]n1 ZINC000354807282 410099545 /nfs/dbraw/zinc/09/95/45/410099545.db2.gz UNLQUVBJNQZNPP-UHFFFAOYSA-N -1 1 304.335 1.581 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCO[C@H](c3cncn3C)C2)c([O-])c1 ZINC000332378354 410109133 /nfs/dbraw/zinc/10/91/33/410109133.db2.gz AGCPOZWNDRUZIS-FZMZJTMJSA-N -1 1 316.361 1.479 20 0 DDADMM O=C(N[C@H]1CCO[C@H]1c1ccc(=O)[nH]c1)c1ncccc1[O-] ZINC000357642862 410156386 /nfs/dbraw/zinc/15/63/86/410156386.db2.gz KSTBQVMFEFMFJJ-HZMBPMFUSA-N -1 1 301.302 1.148 20 0 DDADMM CCCC[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(=O)OC ZINC000355133881 410335599 /nfs/dbraw/zinc/33/55/99/410335599.db2.gz OZKVPECJSBJMJB-LLVKDONJSA-N -1 1 320.349 1.136 20 0 DDADMM Cc1cnc([C@@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)o1 ZINC000358349804 410449968 /nfs/dbraw/zinc/44/99/68/410449968.db2.gz HVCIPNOBASKAJJ-MRVPVSSYSA-N -1 1 301.306 1.461 20 0 DDADMM Cc1cc(C)c2[nH]cc(C(=O)[N-]OCC(C)(C)O)c(=O)c2c1 ZINC000298938237 410458367 /nfs/dbraw/zinc/45/83/67/410458367.db2.gz NDNFUVAFAOAKGC-UHFFFAOYSA-N -1 1 304.346 1.990 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3coc(C)n3)no2)o1 ZINC000347268462 410432247 /nfs/dbraw/zinc/43/22/47/410432247.db2.gz XQUVUAICBUHQIN-UHFFFAOYSA-N -1 1 310.291 1.201 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2cc(C)n(C)n2)c1Br ZINC000358595090 410492251 /nfs/dbraw/zinc/49/22/51/410492251.db2.gz ULWXDQPDPDAQMS-UHFFFAOYSA-N -1 1 312.171 1.451 20 0 DDADMM COC(=O)[C@H](C)N(Cc1ccccc1)C(=O)c1cncc([O-])c1 ZINC000339942617 410566183 /nfs/dbraw/zinc/56/61/83/410566183.db2.gz UGPSDILYYWHQMJ-LBPRGKRZSA-N -1 1 314.341 1.991 20 0 DDADMM CC(C)n1cc2c(n1)C[C@@H](NC(=O)c1cncc([O-])c1)CC2 ZINC000339914201 410549410 /nfs/dbraw/zinc/54/94/10/410549410.db2.gz ZPYWXCJRXIPDCI-ZDUSSCGKSA-N -1 1 300.362 1.852 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccoc2C2CC2)c1 ZINC000343594776 410557779 /nfs/dbraw/zinc/55/77/79/410557779.db2.gz GZJVNFWQBQDMRT-UHFFFAOYSA-N -1 1 322.342 1.762 20 0 DDADMM Cc1nc(-c2ccc(N(C)[C@@H]3CCNC3=O)nc2)[n-]c(=O)c1C ZINC000340079445 410661238 /nfs/dbraw/zinc/66/12/38/410661238.db2.gz RVOHBVPYAHLVNG-GFCCVEGCSA-N -1 1 313.361 1.186 20 0 DDADMM C[C@@H](NC(=O)C(C)(C)C)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000330453046 410702260 /nfs/dbraw/zinc/70/22/60/410702260.db2.gz LAEQNMKGWTXDMK-NXEZZACHSA-N -1 1 324.381 1.032 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@@H]2CCC[C@H]2OC(F)F)s1 ZINC000337347841 410727110 /nfs/dbraw/zinc/72/71/10/410727110.db2.gz YACLYHWEMIXOPK-HTQZYQBOSA-N -1 1 312.363 1.890 20 0 DDADMM CCCN1CCN(C(=O)N=c2ccc(OC(C)C)n[n-]2)CC1 ZINC000330588291 410803316 /nfs/dbraw/zinc/80/33/16/410803316.db2.gz XRAJYZVTQXDSEZ-UHFFFAOYSA-N -1 1 307.398 1.245 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C23CCC(CC2)C3)c1 ZINC000359673001 410809351 /nfs/dbraw/zinc/80/93/51/410809351.db2.gz AKXXDLOQXCMVTK-UHFFFAOYSA-N -1 1 324.402 1.819 20 0 DDADMM Cc1nn(-c2ccccc2)c(C)c1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348272443 410885801 /nfs/dbraw/zinc/88/58/01/410885801.db2.gz BKCBIQQSHLJKSG-UHFFFAOYSA-N -1 1 323.360 1.421 20 0 DDADMM Cc1ccc(C)c(C(=O)CCC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000359794168 410886341 /nfs/dbraw/zinc/88/63/41/410886341.db2.gz LQTCEAGROUUPOR-UHFFFAOYSA-N -1 1 315.377 1.831 20 0 DDADMM CC(C)(NC(=O)c1ccc(OCC2CC2)cc1)c1nn[n-]n1 ZINC000359793777 410886603 /nfs/dbraw/zinc/88/66/03/410886603.db2.gz UCFKKMRUKRTBCL-UHFFFAOYSA-N -1 1 301.350 1.654 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(Cl)sc1Cl ZINC000348275458 410890495 /nfs/dbraw/zinc/89/04/95/410890495.db2.gz MKGONGYIUMAYNY-UHFFFAOYSA-N -1 1 304.162 1.987 20 0 DDADMM O=C(Cc1ccc(Cl)cc1Cl)NC1(c2nn[n-]n2)CC1 ZINC000348279627 410892636 /nfs/dbraw/zinc/89/26/36/410892636.db2.gz WPEFFDNRGGEMOM-UHFFFAOYSA-N -1 1 312.160 1.855 20 0 DDADMM COc1ccc(Cl)cc1CC(=O)NC1(c2nn[n-]n2)CC1 ZINC000348278922 410895267 /nfs/dbraw/zinc/89/52/67/410895267.db2.gz WIQBYHORXUYWMG-UHFFFAOYSA-N -1 1 307.741 1.210 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCOC1)c1ccc(Br)o1 ZINC000353387402 410957856 /nfs/dbraw/zinc/95/78/56/410957856.db2.gz OMTHYGYPFVWFJW-MRVPVSSYSA-N -1 1 324.196 1.747 20 0 DDADMM CCCC[C@H](CC)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331143804 410978749 /nfs/dbraw/zinc/97/87/49/410978749.db2.gz RUMRTKQREGYRHQ-NSHDSACASA-N -1 1 311.455 1.816 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]2[C@@H](O)C(F)(F)F)c([O-])c1 ZINC000331201581 411014418 /nfs/dbraw/zinc/01/44/18/411014418.db2.gz NEMCORKUVVCGBK-LDYMZIIASA-N -1 1 304.268 1.623 20 0 DDADMM CCC[C@H](NC(=O)c1ncc2cc(Cl)ccn21)c1nn[n-]n1 ZINC000579975016 422866990 /nfs/dbraw/zinc/86/69/90/422866990.db2.gz FTZHUITZCWNDFV-JTQLQIEISA-N -1 1 319.756 1.772 20 0 DDADMM CC[C@H]1CO[C@@H](C)CN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631728380 422897660 /nfs/dbraw/zinc/89/76/60/422897660.db2.gz ONNFZAGODBQYCD-QWRGUYRKSA-N -1 1 315.391 1.589 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H](C)c2cncnc2)c1Br ZINC000629966217 422899314 /nfs/dbraw/zinc/89/93/14/422899314.db2.gz RWFMLEYMCREJPR-ZETCQYMHSA-N -1 1 324.182 1.689 20 0 DDADMM Cc1ccc(S(=O)(=O)CCCN(C)C[C@@H](C)C(=O)[O-])cc1 ZINC000652358822 422976351 /nfs/dbraw/zinc/97/63/51/422976351.db2.gz IZKBYOUFEVANPN-CYBMUJFWSA-N -1 1 313.419 1.811 20 0 DDADMM CC(=O)N[C@H]1CCCN(CCOc2ccccc2C(=O)[O-])C1 ZINC000652389852 422996037 /nfs/dbraw/zinc/99/60/37/422996037.db2.gz JTCPETQBEAQDAF-ZDUSSCGKSA-N -1 1 306.362 1.364 20 0 DDADMM CO[C@H](CC(C)C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000642902466 422996667 /nfs/dbraw/zinc/99/66/67/422996667.db2.gz SUJCRBLCRGPPKJ-CHWSQXEVSA-N -1 1 303.366 1.466 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](CO)CC(C)C ZINC000131712265 196192890 /nfs/dbraw/zinc/19/28/90/196192890.db2.gz YECTUIGVOLBNDL-NSHDSACASA-N -1 1 309.410 1.513 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2ccncc2F)c(=O)[n-]1 ZINC000132115169 196227710 /nfs/dbraw/zinc/22/77/10/196227710.db2.gz GMJNMRZZNRMFQW-UHFFFAOYSA-N -1 1 322.365 1.906 20 0 DDADMM O=S(=O)([N-]CC[C@@H]1CCCO1)c1ncccc1C(F)(F)F ZINC000645454528 423040400 /nfs/dbraw/zinc/04/04/00/423040400.db2.gz UFIJQDHRPRLCJK-VIFPVBQESA-N -1 1 324.324 1.948 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1Cc2c[nH]nc2C1 ZINC000647796220 423052857 /nfs/dbraw/zinc/05/28/57/423052857.db2.gz OEVUJHXWOMUUHH-UHFFFAOYSA-N -1 1 301.350 1.667 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H](C)c2ncc[nH]2)c(=O)[n-]1 ZINC000647809167 423056565 /nfs/dbraw/zinc/05/65/65/423056565.db2.gz YIGRSXUWJWYNTP-SECBINFHSA-N -1 1 321.406 1.746 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC(C2CC2)C1 ZINC000643291887 423100330 /nfs/dbraw/zinc/10/03/30/423100330.db2.gz LOMLTVIXJSYKBI-UHFFFAOYSA-N -1 1 300.362 1.463 20 0 DDADMM C[C@H](CCCO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645596427 423100811 /nfs/dbraw/zinc/10/08/11/423100811.db2.gz UABVKVUQXQLFEY-MRVPVSSYSA-N -1 1 312.313 1.540 20 0 DDADMM O=S(=O)([N-][C@@H]1CCN(CC(F)F)C1)c1sccc1F ZINC000416667577 225026171 /nfs/dbraw/zinc/02/61/71/225026171.db2.gz GPLLPEZAQOEPJP-SSDOTTSWSA-N -1 1 314.354 1.505 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2C[C@H]2OC(C)(C)C)cc(=O)[n-]1 ZINC000640654989 423116508 /nfs/dbraw/zinc/11/65/08/423116508.db2.gz GZZQGBAXEJTTFY-NXEZZACHSA-N -1 1 311.407 1.724 20 0 DDADMM CSc1nc(CNC(=O)C[C@H](C)[C@@H]2CCCO2)cc(=O)[n-]1 ZINC000640654918 423115565 /nfs/dbraw/zinc/11/55/65/423115565.db2.gz FCXZOGAYFZNUBP-ONGXEEELSA-N -1 1 311.407 1.726 20 0 DDADMM CCc1cncc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c1 ZINC000640655657 423116272 /nfs/dbraw/zinc/11/62/72/423116272.db2.gz PKGHIVLJYHFRIQ-UHFFFAOYSA-N -1 1 304.375 1.792 20 0 DDADMM CSc1nc(CNC(=O)Cc2nc(C)sc2C)cc(=O)[n-]1 ZINC000640656647 423118029 /nfs/dbraw/zinc/11/80/29/423118029.db2.gz RWJVSZKUSIYRSY-UHFFFAOYSA-N -1 1 324.431 1.836 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C(C)C)n(C)n2)cc(=O)[n-]1 ZINC000640659514 423120032 /nfs/dbraw/zinc/12/00/32/423120032.db2.gz YEOOXPMALVQIJS-UHFFFAOYSA-N -1 1 321.406 1.691 20 0 DDADMM CNC(=O)c1ccc(=NCC[C@H]2CCc3ccccc3N2)[n-]n1 ZINC000650307525 423126272 /nfs/dbraw/zinc/12/62/72/423126272.db2.gz CELWWXNHXSNOBF-CYBMUJFWSA-N -1 1 311.389 1.487 20 0 DDADMM C[C@H]1CCC[C@H](C)N1C(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000373835442 418464678 /nfs/dbraw/zinc/46/46/78/418464678.db2.gz LGJGEYICDUAXNA-RYUDHWBXSA-N -1 1 321.425 1.479 20 0 DDADMM O=C(c1ccc2ncccc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366708143 418507386 /nfs/dbraw/zinc/50/73/86/418507386.db2.gz GBMDXMHHEKGSFW-ZDUSSCGKSA-N -1 1 308.345 1.768 20 0 DDADMM Cc1nn(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(C)c1Cl ZINC000366729978 418510423 /nfs/dbraw/zinc/51/04/23/418510423.db2.gz HUQLRNHQVWVKAD-SNVBAGLBSA-N -1 1 323.788 1.073 20 0 DDADMM C[C@]1(NC(=O)c2nc3ccccc3c(=O)[n-]2)CCO[C@@H]1C1CC1 ZINC000374633710 418549032 /nfs/dbraw/zinc/54/90/32/418549032.db2.gz ZDLDPHNOBKOECU-DYVFJYSZSA-N -1 1 313.357 1.611 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCc3ccc(F)cc32)o1 ZINC000195065414 418552720 /nfs/dbraw/zinc/55/27/20/418552720.db2.gz ZENMMCRRBHCOFH-UHFFFAOYSA-N -1 1 324.333 1.530 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(F)(F)[C@H](C)C2)o1 ZINC000290908964 222013692 /nfs/dbraw/zinc/01/36/92/222013692.db2.gz LMLOUXUYMDDSII-MRVPVSSYSA-N -1 1 322.333 1.305 20 0 DDADMM CCC1(C)CN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000290993624 222057462 /nfs/dbraw/zinc/05/74/62/222057462.db2.gz ASEDETSXNXZMOP-UHFFFAOYSA-N -1 1 302.378 1.440 20 0 DDADMM O=C([N-]c1cc2c(=O)[nH]cnc2cc1F)c1ncc(F)cc1F ZINC000192100871 222119620 /nfs/dbraw/zinc/11/96/20/222119620.db2.gz CAKJKNFAWBSWPR-UHFFFAOYSA-N -1 1 320.230 1.988 20 0 DDADMM O=C(N=c1cc2n([nH]1)CCCC2)c1cnc2ccccc2c1[O-] ZINC000367426512 418599912 /nfs/dbraw/zinc/59/99/12/418599912.db2.gz PGRIWYBANACSFJ-UHFFFAOYSA-N -1 1 308.341 1.735 20 0 DDADMM O=C([O-])[C@H]1CN(CC(=O)N2CCCCC2)Cc2ccccc21 ZINC000316181359 418600053 /nfs/dbraw/zinc/60/00/53/418600053.db2.gz XWIDFEHECRDXPF-HNNXBMFYSA-N -1 1 302.374 1.683 20 0 DDADMM Cc1cn(-c2cccnc2)nc1NC(=O)[N-]O[C@H]1CCCCO1 ZINC000372355877 418861556 /nfs/dbraw/zinc/86/15/56/418861556.db2.gz MSKQBWLYDSWTGR-ZDUSSCGKSA-N -1 1 317.349 1.577 20 0 DDADMM CCOc1cc(C(=O)[N-]c2nccc(OCCOC)n2)on1 ZINC000411113143 418870818 /nfs/dbraw/zinc/87/08/18/418870818.db2.gz WVXRTOGRYRVMNC-UHFFFAOYSA-N -1 1 308.294 1.141 20 0 DDADMM Cc1occc1-c1cc(=NC(=O)C(=O)N2C[C@H](C)[C@H](C)C2)[n-]o1 ZINC000411266672 418886909 /nfs/dbraw/zinc/88/69/09/418886909.db2.gz KGDTUCIBYNJUQY-AOOOYVTPSA-N -1 1 317.345 1.718 20 0 DDADMM Cn1[n-]c(CSc2nnc(-c3ccccc3F)n2C)nc1=O ZINC000354322818 306772003 /nfs/dbraw/zinc/77/20/03/306772003.db2.gz XSEHLEFNAXAARZ-UHFFFAOYSA-N -1 1 320.353 1.335 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCCCNC2=O)c(F)c1 ZINC000425145405 228373644 /nfs/dbraw/zinc/37/36/44/228373644.db2.gz UFIBHOVJBWVHII-LLVKDONJSA-N -1 1 318.345 1.220 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2Cc3ccc(C)cc3C2)o1 ZINC000361337310 299845809 /nfs/dbraw/zinc/84/58/09/299845809.db2.gz QXIFQIBRRYEYLV-UHFFFAOYSA-N -1 1 320.370 1.652 20 0 DDADMM COCCc1nc(=NC[C@H](Cc2ccccc2)N(C)C)s[n-]1 ZINC000426593007 419513997 /nfs/dbraw/zinc/51/39/97/419513997.db2.gz JIXDKVMCYLHXMY-AWEZNQCLSA-N -1 1 320.462 1.734 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1ncc(C)cc1[O-])C1CCOCC1 ZINC000426993454 419579489 /nfs/dbraw/zinc/57/94/89/419579489.db2.gz CRRDDCMUQSDEKA-LBPRGKRZSA-N -1 1 322.361 1.041 20 0 DDADMM Cn1nc2c(c1CNC1(c3nnn[n-]3)CCCC1)CCCC2 ZINC000638842845 423154005 /nfs/dbraw/zinc/15/40/05/423154005.db2.gz DQMFEVXYXLZXFK-UHFFFAOYSA-N -1 1 301.398 1.371 20 0 DDADMM Cn1nc2c(c1CNC1(c3nn[n-]n3)CCCC1)CCCC2 ZINC000638842845 423154010 /nfs/dbraw/zinc/15/40/10/423154010.db2.gz DQMFEVXYXLZXFK-UHFFFAOYSA-N -1 1 301.398 1.371 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](O)CCc2ccccc2)c([O-])c1 ZINC000427912695 419766854 /nfs/dbraw/zinc/76/68/54/419766854.db2.gz IYGXXAKZEPXTNR-AWEZNQCLSA-N -1 1 300.358 1.819 20 0 DDADMM CC[C@H]1C[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000421971896 419790769 /nfs/dbraw/zinc/79/07/69/419790769.db2.gz SBIVENTXXHYIQJ-UWVGGRQHSA-N -1 1 304.350 1.361 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCCC1(O)CCC1)c2=O ZINC000421988319 419796346 /nfs/dbraw/zinc/79/63/46/419796346.db2.gz BATNHEUHONVWBP-UHFFFAOYSA-N -1 1 304.350 1.099 20 0 DDADMM c1ccc2c(c1)OC[C@@H](c1nnc(SCc3nn[n-]n3)o1)O2 ZINC000435091380 229356362 /nfs/dbraw/zinc/35/63/62/229356362.db2.gz JSIIHOAXHWQOCH-VIFPVBQESA-N -1 1 318.318 1.388 20 0 DDADMM CCCc1c(C(=O)Nc2nnn[n-]2)cnn1-c1ccccc1C ZINC000429805113 420049147 /nfs/dbraw/zinc/04/91/47/420049147.db2.gz QNDCARPPUCMBSX-UHFFFAOYSA-N -1 1 311.349 1.899 20 0 DDADMM CCCc1c(C(=O)Nc2nn[n-]n2)cnn1-c1ccccc1C ZINC000429805113 420049151 /nfs/dbraw/zinc/04/91/51/420049151.db2.gz QNDCARPPUCMBSX-UHFFFAOYSA-N -1 1 311.349 1.899 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCCc2ncc(C)o2)[n-]1 ZINC000415625515 420128524 /nfs/dbraw/zinc/12/85/24/420128524.db2.gz DFZYVXWRIUVCIQ-UHFFFAOYSA-N -1 1 306.322 1.844 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCCc2ncc(C)o2)n1 ZINC000415625515 420128525 /nfs/dbraw/zinc/12/85/25/420128525.db2.gz DFZYVXWRIUVCIQ-UHFFFAOYSA-N -1 1 306.322 1.844 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@@H]2CCc3cnn(C)c3C2)[n-]1 ZINC000415635767 420131147 /nfs/dbraw/zinc/13/11/47/420131147.db2.gz DELTYOUUQSAZIY-SECBINFHSA-N -1 1 317.349 1.064 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCc3cnn(C)c3C2)n1 ZINC000415635767 420131152 /nfs/dbraw/zinc/13/11/52/420131152.db2.gz DELTYOUUQSAZIY-SECBINFHSA-N -1 1 317.349 1.064 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)CCCc1ccccc1 ZINC000416128795 420251742 /nfs/dbraw/zinc/25/17/42/420251742.db2.gz HANGZRCCBLRSFI-UHFFFAOYSA-N -1 1 317.345 1.601 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000416131807 420252173 /nfs/dbraw/zinc/25/21/73/420252173.db2.gz ZZDXVXGIFKIGQT-HBNTYKKESA-N -1 1 321.377 1.985 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCCc2cc(N)ccc21 ZINC000420410474 420268278 /nfs/dbraw/zinc/26/82/78/420268278.db2.gz AKOBFIIOPMSOGN-LBPRGKRZSA-N -1 1 322.390 1.334 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCCc2cc(N)ccc21 ZINC000420410474 420268281 /nfs/dbraw/zinc/26/82/81/420268281.db2.gz AKOBFIIOPMSOGN-LBPRGKRZSA-N -1 1 322.390 1.334 20 0 DDADMM C[C@@H](CN=c1nc(C2CCCC2)[n-]s1)N1CCN(C)CC1 ZINC000420584892 420322924 /nfs/dbraw/zinc/32/29/24/420322924.db2.gz NDAIOVFFGQDDEJ-LBPRGKRZSA-N -1 1 309.483 1.665 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)COCC(F)(F)F)c1 ZINC000436504848 420335800 /nfs/dbraw/zinc/33/58/00/420335800.db2.gz MBNGQUMSUHGQKP-UHFFFAOYSA-N -1 1 307.224 1.696 20 0 DDADMM O=C(c1cn2c(n1)CCCC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425298185 420343669 /nfs/dbraw/zinc/34/36/69/420343669.db2.gz JAVPKUHASNBIJP-SNVBAGLBSA-N -1 1 317.349 1.328 20 0 DDADMM COC(=O)C[C@@H](C)CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425310465 420344939 /nfs/dbraw/zinc/34/49/39/420344939.db2.gz XFYPCYZNNVAKLX-UWVGGRQHSA-N -1 1 311.338 1.071 20 0 DDADMM Cc1onc(C2CC2)c1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425320893 420347908 /nfs/dbraw/zinc/34/79/08/420347908.db2.gz LVIZVLVKHIPDHF-UHFFFAOYSA-N -1 1 318.333 1.969 20 0 DDADMM CCc1noc(C)c1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425323513 420349579 /nfs/dbraw/zinc/34/95/79/420349579.db2.gz OZBQSNQFMBSXGQ-UHFFFAOYSA-N -1 1 306.322 1.654 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C(C)C)[C@@H]1C(C)C ZINC000416247651 420296087 /nfs/dbraw/zinc/29/60/87/420296087.db2.gz PHPSRFSWJYLJPG-KOLCDFICSA-N -1 1 309.366 1.649 20 0 DDADMM CNC(=O)C[C@@H](NC(=O)c1ncc(C)cc1[O-])c1ccccc1 ZINC000435947150 420298181 /nfs/dbraw/zinc/29/81/81/420298181.db2.gz AQKGUBZYDOWNSA-CYBMUJFWSA-N -1 1 313.357 1.703 20 0 DDADMM Cn1c2ccc(NC(=O)c3ccc([O-])cc3F)cc2n(C)c1=O ZINC000436871008 420379252 /nfs/dbraw/zinc/37/92/52/420379252.db2.gz ORDCRDFDNJDFOB-UHFFFAOYSA-N -1 1 315.304 1.974 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)CCC(C)(C)S(C)(=O)=O)C(F)F ZINC000416486887 420389235 /nfs/dbraw/zinc/38/92/35/420389235.db2.gz HOOMHKVHMGVAAO-QMMMGPOBSA-N -1 1 321.411 1.163 20 0 DDADMM Cn1c(=O)oc2ccc(NC(=O)C(=O)c3ccc([O-])cc3)cc21 ZINC000438909430 420469463 /nfs/dbraw/zinc/46/94/63/420469463.db2.gz CXRKGQBDOFREOH-UHFFFAOYSA-N -1 1 312.281 1.659 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)c2cncs2)c1 ZINC000439198816 420499944 /nfs/dbraw/zinc/49/99/44/420499944.db2.gz MSUWREZZITZMEZ-ZETCQYMHSA-N -1 1 316.360 1.562 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1CN=c1[n-]cc(S(N)(=O)=O)s1 ZINC000450626553 420499995 /nfs/dbraw/zinc/49/99/95/420499995.db2.gz SBZGZXQVNVEJGE-NXEZZACHSA-N -1 1 303.453 1.841 20 0 DDADMM COCCN1C[C@@H](C(=O)Nc2ccc([O-])c(F)c2F)CC1=O ZINC000456795861 420546381 /nfs/dbraw/zinc/54/63/81/420546381.db2.gz VGPXVLKEQIPKGL-QMMMGPOBSA-N -1 1 314.288 1.104 20 0 DDADMM CC1(C)CCCC[C@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000448113067 420838006 /nfs/dbraw/zinc/83/80/06/420838006.db2.gz IDFYJFWQXHSHTL-QMMMGPOBSA-N -1 1 300.384 1.363 20 0 DDADMM CC1(C)CCCC[C@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000448113067 420838010 /nfs/dbraw/zinc/83/80/10/420838010.db2.gz IDFYJFWQXHSHTL-QMMMGPOBSA-N -1 1 300.384 1.363 20 0 DDADMM NC(=O)c1[nH]nnc1NC(=O)C=Cc1ccc(OC(F)F)cc1 ZINC000493401703 420839177 /nfs/dbraw/zinc/83/91/77/420839177.db2.gz MAOBCGDVDGKOBP-UTCJRWHESA-N -1 1 323.259 1.157 20 0 DDADMM COCc1nc(=N[C@@H](C)[C@H]2CCCN(C(=O)OC)C2)s[n-]1 ZINC000495534399 421019097 /nfs/dbraw/zinc/01/90/97/421019097.db2.gz RWNMJFBEUSSHGM-UWVGGRQHSA-N -1 1 314.411 1.385 20 0 DDADMM Cc1ccc(S(=O)(=O)N=c2cc(OC(F)F)n(C)[n-]2)cc1 ZINC000488371084 421087066 /nfs/dbraw/zinc/08/70/66/421087066.db2.gz WYTFFKZPXGAXNI-UHFFFAOYSA-N -1 1 317.317 1.553 20 0 DDADMM CC(C)(C)OC(=O)NC(C)(C)CN=c1[n-]c(C(N)=O)cs1 ZINC000495914332 421091879 /nfs/dbraw/zinc/09/18/79/421091879.db2.gz ZIGKHRNUBGYWAW-UHFFFAOYSA-N -1 1 314.411 1.379 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@@H]2C1 ZINC000495930027 421097175 /nfs/dbraw/zinc/09/71/75/421097175.db2.gz NYICSWDKLUPARB-GHMZBOCLSA-N -1 1 323.397 1.199 20 0 DDADMM COC[C@@H](C)n1ccc([N-]S(=O)(=O)N2CCC[C@@H]2C)n1 ZINC000489039778 421132958 /nfs/dbraw/zinc/13/29/58/421132958.db2.gz KNXUWCXCIIWSBS-WDEREUQCSA-N -1 1 302.400 1.232 20 0 DDADMM CC[C@@H](CC(C)C)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000450075833 421138128 /nfs/dbraw/zinc/13/81/28/421138128.db2.gz PBNCTAOJWZTTKU-NSHDSACASA-N -1 1 311.455 1.672 20 0 DDADMM CCS(=O)(=O)C1CN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000456300184 421138887 /nfs/dbraw/zinc/13/88/87/421138887.db2.gz YPYXCZKQXQTILM-UHFFFAOYSA-N -1 1 303.767 1.305 20 0 DDADMM CCOc1cc(C(=O)N2C[C@@H](O)[C@H](OC)C2)cc(Cl)c1[O-] ZINC000456427648 421165806 /nfs/dbraw/zinc/16/58/06/421165806.db2.gz WHPWQLYCETWNQB-ZYHUDNBSSA-N -1 1 315.753 1.276 20 0 DDADMM C[C@H](CN(C)C(=O)c1snnc1C(C)(C)C)c1nn[n-]n1 ZINC000559984103 421231285 /nfs/dbraw/zinc/23/12/85/421231285.db2.gz WSMFSJVSSRFPSM-SSDOTTSWSA-N -1 1 309.399 1.224 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1CC12CCSCC2 ZINC000560169725 421238380 /nfs/dbraw/zinc/23/83/80/421238380.db2.gz KCPYMJRZMFMFHA-JTQLQIEISA-N -1 1 307.423 1.619 20 0 DDADMM CC(C)[C@H](C(=O)Nc1nnn[n-]1)[C@@H](C)NC(=O)OC(C)(C)C ZINC000496762827 421312811 /nfs/dbraw/zinc/31/28/11/421312811.db2.gz GZWQMULJPRHNSJ-BDAKNGLRSA-N -1 1 312.374 1.324 20 0 DDADMM CC(C)[C@H](C(=O)Nc1nn[n-]n1)[C@@H](C)NC(=O)OC(C)(C)C ZINC000496762827 421312814 /nfs/dbraw/zinc/31/28/14/421312814.db2.gz GZWQMULJPRHNSJ-BDAKNGLRSA-N -1 1 312.374 1.324 20 0 DDADMM C[C@@H]1CCC[C@H](OCC(=O)NC2(c3nn[n-]n3)CCCC2)C1 ZINC000524577674 421263098 /nfs/dbraw/zinc/26/30/98/421263098.db2.gz SWUJHZHFPJTTPO-NEPJUHHUSA-N -1 1 307.398 1.681 20 0 DDADMM CC(C)(COCC(F)F)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000526059109 421326910 /nfs/dbraw/zinc/32/69/10/421326910.db2.gz UVHSXIBJGMWXGV-SECBINFHSA-N -1 1 317.340 1.214 20 0 DDADMM C[C@H]1C[C@H](O)CN1c1ccc(=NCc2ccccc2F)[n-]n1 ZINC000561229911 421316086 /nfs/dbraw/zinc/31/60/86/421316086.db2.gz LUBBPZHWBFHCRJ-AAEUAGOBSA-N -1 1 302.353 1.609 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]Cc1nc([C@H]2C[C@H]2C)no1 ZINC000547187352 421316740 /nfs/dbraw/zinc/31/67/40/421316740.db2.gz ZYUCXNHWRPIMBD-ZJUUUORDSA-N -1 1 303.384 1.037 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H](C)[C@@H]2CCCC[C@H]21 ZINC000516118163 421528522 /nfs/dbraw/zinc/52/85/22/421528522.db2.gz SMWCFEBAQJOCDH-ZMLRMANQSA-N -1 1 313.423 1.985 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2CCNC[C@@H]2C(C)C)[n-]n1 ZINC000528689304 421508553 /nfs/dbraw/zinc/50/85/53/421508553.db2.gz PHXGQTNFFOKQOV-GFCCVEGCSA-N -1 1 307.398 1.147 20 0 DDADMM Cc1oc(C2CC2)nc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000552122001 421574201 /nfs/dbraw/zinc/57/42/01/421574201.db2.gz CNAXYIWIQVWIQB-JTQLQIEISA-N -1 1 302.338 1.393 20 0 DDADMM CCOC(=O)c1ccccc1NC(=O)CN(C)C[C@H](C)C(=O)[O-] ZINC000517032835 421590684 /nfs/dbraw/zinc/59/06/84/421590684.db2.gz CHIDUUHBXOAOLJ-NSHDSACASA-N -1 1 322.361 1.454 20 0 DDADMM CCc1cccc(C(=O)NCCCN2CCC[C@@H]2C(N)=O)c1O ZINC000531432238 421634048 /nfs/dbraw/zinc/63/40/48/421634048.db2.gz WTKUPMWWQLJTDE-CQSZACIVSA-N -1 1 319.405 1.024 20 0 DDADMM CC(C)c1nnc(C[N-]S(=O)(=O)Cc2ccon2)s1 ZINC000532333656 421656281 /nfs/dbraw/zinc/65/62/81/421656281.db2.gz HWDFZUHTCUGAKR-UHFFFAOYSA-N -1 1 302.381 1.269 20 0 DDADMM Cn1[n-]c(CN2CC[C@H](Oc3ccc(Cl)cc3)C2)nc1=O ZINC000555687300 421687601 /nfs/dbraw/zinc/68/76/01/421687601.db2.gz VFTMWIBDBSEEPM-LBPRGKRZSA-N -1 1 308.769 1.415 20 0 DDADMM CC(=O)[C@H](C)CCCCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000537124031 421727873 /nfs/dbraw/zinc/72/78/73/421727873.db2.gz VYXFBEWNTWSDGC-DGCLKSJQSA-N -1 1 307.398 1.691 20 0 DDADMM CCNC(=O)CS(=O)(=O)c1ncc(-c2ccc(F)cc2)[n-]1 ZINC000518895910 421670664 /nfs/dbraw/zinc/67/06/64/421670664.db2.gz CGRPHVPTYOECFT-UHFFFAOYSA-N -1 1 311.338 1.126 20 0 DDADMM CCNC(=O)CS(=O)(=O)c1nc(-c2ccc(F)cc2)c[n-]1 ZINC000518895910 421670667 /nfs/dbraw/zinc/67/06/67/421670667.db2.gz CGRPHVPTYOECFT-UHFFFAOYSA-N -1 1 311.338 1.126 20 0 DDADMM O=C(CSc1ccncc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000533572892 421679914 /nfs/dbraw/zinc/67/99/14/421679914.db2.gz XBSWPMQHWSRADA-SNVBAGLBSA-N -1 1 304.379 1.093 20 0 DDADMM NC(=O)c1[nH]nnc1NC(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000556802661 421739617 /nfs/dbraw/zinc/73/96/17/421739617.db2.gz QPNWNBFXYPBMAS-RFZPGFLSSA-N -1 1 311.754 1.361 20 0 DDADMM CC[C@@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1c(C)noc1C ZINC000538226761 421737748 /nfs/dbraw/zinc/73/77/48/421737748.db2.gz XXYQWTAHNOTETJ-VXGBXAGGSA-N -1 1 318.381 1.704 20 0 DDADMM O=C([C@H]1OCCc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538225194 421737779 /nfs/dbraw/zinc/73/77/79/421737779.db2.gz GGAGMFAMQGPXSI-OCCSQVGLSA-N -1 1 313.361 1.220 20 0 DDADMM O=C([O-])CCCCC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000520280055 421744677 /nfs/dbraw/zinc/74/46/77/421744677.db2.gz JJFUANIOIZJFOX-UHFFFAOYSA-N -1 1 320.324 1.872 20 0 DDADMM O=C([O-])CCCCC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000520280055 421744681 /nfs/dbraw/zinc/74/46/81/421744681.db2.gz JJFUANIOIZJFOX-UHFFFAOYSA-N -1 1 320.324 1.872 20 0 DDADMM COC(=O)c1cc(F)ccc1S(=O)(=O)[N-]CC(C)(F)F ZINC000559131341 421830274 /nfs/dbraw/zinc/83/02/74/421830274.db2.gz KBAOKGCZEZJUHD-UHFFFAOYSA-N -1 1 311.281 1.546 20 0 DDADMM C[C@H]1c2ccccc2CCN1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000572414543 421784997 /nfs/dbraw/zinc/78/49/97/421784997.db2.gz BEPVXRHVNYZYFF-ZDUSSCGKSA-N -1 1 316.401 1.929 20 0 DDADMM C[C@H]1[C@H](C)N(C(=O)N=c2[n-]nc(C(F)F)s2)CCN1C ZINC000541705810 421801789 /nfs/dbraw/zinc/80/17/89/421801789.db2.gz HJBICEQSVNYZJF-BQBZGAKWSA-N -1 1 305.354 1.454 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@H](CC(=O)[O-])c1cccs1 ZINC000630103166 421891093 /nfs/dbraw/zinc/89/10/93/421891093.db2.gz YEMADIUSSYZDOL-GXSJLCMTSA-N -1 1 307.375 1.982 20 0 DDADMM Cc1ccc(Br)c(CNC(=O)CCc2nn[n-]n2)c1 ZINC000633422073 421892392 /nfs/dbraw/zinc/89/23/92/421892392.db2.gz IHFLJOSNKNNQES-UHFFFAOYSA-N -1 1 324.182 1.520 20 0 DDADMM COc1ccc(C2(NCc3cc(C(=O)[O-])nn3C)CC2)cc1 ZINC000635306410 421895815 /nfs/dbraw/zinc/89/58/15/421895815.db2.gz SHBYWAPHTHUCCI-UHFFFAOYSA-N -1 1 301.346 1.906 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@]1(CO)CCCc2ccccc21 ZINC000635312855 421899964 /nfs/dbraw/zinc/89/99/64/421899964.db2.gz GRUSSLIKCRNHHL-KRWDZBQOSA-N -1 1 315.373 1.432 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@]1(C(=O)[O-])CCc2ccccc21 ZINC000630131587 421908750 /nfs/dbraw/zinc/90/87/50/421908750.db2.gz RGFNTYFLNHUBRO-GTNSWQLSSA-N -1 1 313.357 1.631 20 0 DDADMM Cc1ccc(F)c(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000630222975 421973318 /nfs/dbraw/zinc/97/33/18/421973318.db2.gz IEOMSKSHAUDWRV-GFCCVEGCSA-N -1 1 308.353 1.755 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H](OCC(F)(F)F)C1 ZINC000635380512 421942400 /nfs/dbraw/zinc/94/24/00/421942400.db2.gz HNMXMNWTNPDOOT-VIFPVBQESA-N -1 1 321.303 1.092 20 0 DDADMM Cc1nc(C)c(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)s1 ZINC000630222368 421973923 /nfs/dbraw/zinc/97/39/23/421973923.db2.gz MKFGXMOFWWREQZ-UHFFFAOYSA-N -1 1 311.407 1.381 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)C[C@@H]2CCCOC2)c1 ZINC000631923362 421943273 /nfs/dbraw/zinc/94/32/73/421943273.db2.gz TZFYEIGPUJOZLN-NSHDSACASA-N -1 1 315.391 1.448 20 0 DDADMM Cc1cc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)ccc1F ZINC000630221489 421969840 /nfs/dbraw/zinc/96/98/40/421969840.db2.gz BSDOGFSVNAMQIC-GFCCVEGCSA-N -1 1 308.353 1.755 20 0 DDADMM CCN1CCc2ccc(NC(=O)CCCc3nn[n-]n3)cc21 ZINC000635488544 422033909 /nfs/dbraw/zinc/03/39/09/422033909.db2.gz DSICDYQCAUXRDF-UHFFFAOYSA-N -1 1 300.366 1.544 20 0 DDADMM COc1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)cc1F ZINC000630226911 421977747 /nfs/dbraw/zinc/97/77/47/421977747.db2.gz SZRXTWIEEZMJDY-UHFFFAOYSA-N -1 1 324.352 1.455 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(OC2CCCCC2)CC1 ZINC000635457342 422004944 /nfs/dbraw/zinc/00/49/44/422004944.db2.gz JZLIUVRIJRUZEE-UHFFFAOYSA-N -1 1 321.425 1.863 20 0 DDADMM CO[C@@H]1CN(C(=O)c2[nH]nc3ccccc32)[C@](C)(C(=O)[O-])C1 ZINC000630315113 422018187 /nfs/dbraw/zinc/01/81/87/422018187.db2.gz UYJRTVLMHRTTOC-VFZGTOFNSA-N -1 1 303.318 1.267 20 0 DDADMM O=C(c1ccc([O-])c(Cl)c1)N1CCC(N2CCOCC2)CC1 ZINC000628127661 422047226 /nfs/dbraw/zinc/04/72/26/422047226.db2.gz UWDNZLRRPJUSOJ-UHFFFAOYSA-N -1 1 324.808 1.982 20 0 DDADMM CCc1ccc(F)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000632054483 422054103 /nfs/dbraw/zinc/05/41/03/422054103.db2.gz YSNBCEWSUCOITC-NSHDSACASA-N -1 1 303.341 1.921 20 0 DDADMM COCc1sccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000632054121 422054127 /nfs/dbraw/zinc/05/41/27/422054127.db2.gz MDUHVZMRMTUVKT-SECBINFHSA-N -1 1 307.379 1.427 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H]1CCN(C2CCC2)C1=O ZINC000633697480 422057181 /nfs/dbraw/zinc/05/71/81/422057181.db2.gz FKGVCZKDXXJQCL-CYBMUJFWSA-N -1 1 322.792 1.858 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCC[C@H]2OC)c1 ZINC000632183527 422145468 /nfs/dbraw/zinc/14/54/68/422145468.db2.gz WHUQZPVEVBDCKW-GXFFZTMASA-N -1 1 315.391 1.494 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC1(c2ccccc2Cl)CC1 ZINC000632206927 422164189 /nfs/dbraw/zinc/16/41/89/422164189.db2.gz USDDZPJTVGPCKO-UHFFFAOYSA-N -1 1 305.769 1.634 20 0 DDADMM Cn1cc([C@@H]2C[C@H](NC(=O)c3c([O-])cccc3F)CCO2)cn1 ZINC000583450248 422168959 /nfs/dbraw/zinc/16/89/59/422168959.db2.gz JTPVFWOOCJJPJK-RISCZKNCSA-N -1 1 319.336 1.915 20 0 DDADMM C[C@@H](CNC(=O)CCCc1nn[n-]n1)Sc1ccccc1 ZINC000635620195 422172658 /nfs/dbraw/zinc/17/26/58/422172658.db2.gz VFGYLEVZPRPZBU-NSHDSACASA-N -1 1 305.407 1.819 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN2C(=O)CC[C@H]2C1 ZINC000632222649 422175358 /nfs/dbraw/zinc/17/53/58/422175358.db2.gz NNVMSTPMYCOEBI-NSHDSACASA-N -1 1 308.765 1.421 20 0 DDADMM CCO[C@H]1C[C@H](NC(=O)CCCc2nn[n-]n2)C12CCCC2 ZINC000635625775 422178130 /nfs/dbraw/zinc/17/81/30/422178130.db2.gz FDYCNTOMBQLBIT-RYUDHWBXSA-N -1 1 307.398 1.376 20 0 DDADMM CCc1nc(CN(CC(=O)[O-])Cc2ccc(F)c(F)c2)n[nH]1 ZINC000582187006 422129107 /nfs/dbraw/zinc/12/91/07/422129107.db2.gz ZVWVVRJZWDSMRT-UHFFFAOYSA-N -1 1 310.304 1.732 20 0 DDADMM CO[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632262812 422203939 /nfs/dbraw/zinc/20/39/39/422203939.db2.gz FSCFHRFFJNGMJB-WDSKDSINSA-N -1 1 301.290 1.130 20 0 DDADMM CCOC[C@@H](NS(=O)(=O)c1cc(OC)ccc1[O-])C(C)C ZINC000632266497 422205586 /nfs/dbraw/zinc/20/55/86/422205586.db2.gz FPQORFBGVMNRMV-GFCCVEGCSA-N -1 1 317.407 1.740 20 0 DDADMM Cc1nocc1S(=O)(=O)NCC[N@@H+]1CCCC(C)(C)C1 ZINC000632281505 422218998 /nfs/dbraw/zinc/21/89/98/422218998.db2.gz ATICDGRWEBTQCT-UHFFFAOYSA-N -1 1 301.412 1.383 20 0 DDADMM CCOCCOC1CN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000632321275 422246146 /nfs/dbraw/zinc/24/61/46/422246146.db2.gz GSWSRNYNTOERQI-UHFFFAOYSA-N -1 1 313.781 1.852 20 0 DDADMM C[C@H]1CSC[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632332186 422253086 /nfs/dbraw/zinc/25/30/86/422253086.db2.gz YXEZSGZYYRRXKZ-WDSKDSINSA-N -1 1 315.342 1.458 20 0 DDADMM Cn1cccc1[C@@H]1CCCCN1C(=O)CCCc1nn[n-]n1 ZINC000635637833 422192099 /nfs/dbraw/zinc/19/20/99/422192099.db2.gz IQJLSXPPVCSGBQ-ZDUSSCGKSA-N -1 1 302.382 1.615 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc(C[C@H](C)CC)no1 ZINC000632248044 422192340 /nfs/dbraw/zinc/19/23/40/422192340.db2.gz IARWUHMKFUUQOU-SNVBAGLBSA-N -1 1 305.400 1.114 20 0 DDADMM CC(C)C[C@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)n1ccnc1 ZINC000635724158 422283565 /nfs/dbraw/zinc/28/35/65/422283565.db2.gz GBFRFGOVEYRTPY-QWHCGFSZSA-N -1 1 317.397 1.390 20 0 DDADMM COC(=O)C[C@@H]1CSCCN1Cc1ccc(C(=O)[O-])cc1 ZINC000575311539 422286469 /nfs/dbraw/zinc/28/64/69/422286469.db2.gz LPQRGMWGTJKBHS-CYBMUJFWSA-N -1 1 309.387 1.865 20 0 DDADMM O=C1C[C@H](S(=O)(=O)[N-]C2(C(F)F)CCCC2)CN1C1CC1 ZINC000632340332 422260452 /nfs/dbraw/zinc/26/04/52/422260452.db2.gz CNLDPEVLIGGUFO-JTQLQIEISA-N -1 1 322.377 1.247 20 0 DDADMM CC(F)(F)CC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632378081 422291614 /nfs/dbraw/zinc/29/16/14/422291614.db2.gz UNQPVDMYASJYCQ-UHFFFAOYSA-N -1 1 307.244 1.752 20 0 DDADMM COC[C@@](C)(O)CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632380824 422294648 /nfs/dbraw/zinc/29/46/48/422294648.db2.gz NLLOPQPFTUVCHT-NSHDSACASA-N -1 1 313.322 1.283 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)[C@@H](OC)C2CC2)c1 ZINC000632420587 422329160 /nfs/dbraw/zinc/32/91/60/422329160.db2.gz KBJIXJKUWAPETP-LKFCYVNXSA-N -1 1 315.391 1.493 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN2CCOC[C@@H]2C1 ZINC000632438034 422344304 /nfs/dbraw/zinc/34/43/04/422344304.db2.gz OIBVFBCONSISBY-LBPRGKRZSA-N -1 1 310.781 1.131 20 0 DDADMM CCO[C@H]1COCC[C@@H]1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632451984 422355415 /nfs/dbraw/zinc/35/54/15/422355415.db2.gz IKXOERBLQFAITH-JSGCOSHPSA-N -1 1 313.781 1.898 20 0 DDADMM COCC[C@H](C)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632453969 422357441 /nfs/dbraw/zinc/35/74/41/422357441.db2.gz OKCIOLZBUYRQNS-JTQLQIEISA-N -1 1 303.380 1.352 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@H](CO)[C@H]2C)c1 ZINC000632461229 422362565 /nfs/dbraw/zinc/36/25/65/422362565.db2.gz OAWIYVKGQVTJCL-MNOVXSKESA-N -1 1 315.391 1.182 20 0 DDADMM CN(C)[C@@H]1CCOc2c(NC(=O)c3n[nH]c(=O)[n-]3)cccc21 ZINC000575792834 422327547 /nfs/dbraw/zinc/32/75/47/422327547.db2.gz CRGFXUXGWKUXDK-SNVBAGLBSA-N -1 1 303.322 1.148 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCO[C@@H](c2ccccc2)C1 ZINC000632485096 422382943 /nfs/dbraw/zinc/38/29/43/422382943.db2.gz VJKBMRJWXOIFQN-QWHCGFSZSA-N -1 1 301.350 1.169 20 0 DDADMM C/C=C\CNC(=O)c1c[n-]c2cc(OC)cc(OC)c2c1=O ZINC000629030830 422450228 /nfs/dbraw/zinc/45/02/28/422450228.db2.gz IRQBISMCXULFNJ-PLNGDYQASA-N -1 1 302.330 1.851 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@H](C)[C@H](O)C(F)(F)F)s1 ZINC000632673443 422510194 /nfs/dbraw/zinc/51/01/94/422510194.db2.gz KKAXYQNVTIZSRW-FBCQKBJTSA-N -1 1 304.315 1.042 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@H](CCF)C2)c1 ZINC000632599367 422462803 /nfs/dbraw/zinc/46/28/03/422462803.db2.gz QBZRPMWVGBQDGX-LLVKDONJSA-N -1 1 319.354 1.150 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)[C@H](C)O1 ZINC000632646860 422494616 /nfs/dbraw/zinc/49/46/16/422494616.db2.gz RIPCZFUTWHHNLV-DSYKOEDSSA-N -1 1 313.301 1.273 20 0 DDADMM CCn1cnnc1CCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631141714 422559369 /nfs/dbraw/zinc/55/93/69/422559369.db2.gz HOGHNDLNQVXIDR-UHFFFAOYSA-N -1 1 308.769 1.558 20 0 DDADMM COc1cc(CCNC(=O)CCCc2nn[n-]n2)ccc1Cl ZINC000635969214 422523434 /nfs/dbraw/zinc/52/34/34/422523434.db2.gz QQDVCAMXAYYUBL-UHFFFAOYSA-N -1 1 323.784 1.543 20 0 DDADMM OC[C@@H](CN1CCCCC1)N=c1nc(C2CCCC2)[n-]s1 ZINC000578635262 422614602 /nfs/dbraw/zinc/61/46/02/422614602.db2.gz KIFSAUJKVPAUAP-CYBMUJFWSA-N -1 1 310.467 1.876 20 0 DDADMM Cc1nc2c(s1)[C@@H](N(C)C(=O)CCc1nn[n-]n1)CCC2 ZINC000631238322 422623795 /nfs/dbraw/zinc/62/37/95/422623795.db2.gz HZLFRNAHSMEDFD-JTQLQIEISA-N -1 1 306.395 1.433 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2cc(C(F)(F)F)nn2C)c1C ZINC000629349476 422626205 /nfs/dbraw/zinc/62/62/05/422626205.db2.gz KDQPLFUXTTZWED-UHFFFAOYSA-N -1 1 323.300 1.580 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2cc(CCC(C)C)[nH]n2)n1C ZINC000629350202 422627223 /nfs/dbraw/zinc/62/72/23/422627223.db2.gz JIHBJNLESYGSST-UHFFFAOYSA-N -1 1 311.411 1.841 20 0 DDADMM CC(C)(C)n1nnc(CCN2CCc3occc3[C@@H]2C(=O)[O-])n1 ZINC000629366337 422632815 /nfs/dbraw/zinc/63/28/15/422632815.db2.gz SIAPGVKBXHJVGT-CYBMUJFWSA-N -1 1 319.365 1.248 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC000629497703 422700758 /nfs/dbraw/zinc/70/07/58/422700758.db2.gz HEYKFCIMVFWSJS-WDEREUQCSA-N -1 1 324.343 1.830 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1ccc(F)cc1)CC1CC1 ZINC000631320146 422686256 /nfs/dbraw/zinc/68/62/56/422686256.db2.gz RJDAAPZMZYIONF-UHFFFAOYSA-N -1 1 303.341 1.710 20 0 DDADMM O=C([O-])[C@H]1CCC[C@H]1C(=O)NCCN1CCc2ccccc2C1 ZINC000634860170 422686997 /nfs/dbraw/zinc/68/69/97/422686997.db2.gz LOZRIXMKUUUPKW-CVEARBPZSA-N -1 1 316.401 1.662 20 0 DDADMM Cc1ccc(CN2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)o1 ZINC000650790573 423260450 /nfs/dbraw/zinc/26/04/50/423260450.db2.gz KZTPVWZDNVWEPE-GFCCVEGCSA-N -1 1 306.362 1.486 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N(C)[C@@H](C)CCO)c2=O ZINC000653041507 423271805 /nfs/dbraw/zinc/27/18/05/423271805.db2.gz IHLMHSULQNFUTQ-JTQLQIEISA-N -1 1 304.346 1.380 20 0 DDADMM O=C(NCc1nccn1C(F)F)c1nc2ccccc2c(=O)[n-]1 ZINC000195221838 263537086 /nfs/dbraw/zinc/53/70/86/263537086.db2.gz NMUHLLPYGFJHNL-UHFFFAOYSA-N -1 1 319.271 1.445 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)NCCCC(=O)[O-])c1ccco1 ZINC000646145348 423366224 /nfs/dbraw/zinc/36/62/24/423366224.db2.gz HYZXLTIWVDKNQM-LBPRGKRZSA-N -1 1 311.382 1.827 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(S(=O)(=O)C(C)C)cc1 ZINC000646409207 423477291 /nfs/dbraw/zinc/47/72/91/423477291.db2.gz CTAQGMASALULKM-UHFFFAOYSA-N -1 1 323.374 1.858 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1C[C@H]1c1ccc2c(c1)OCCO2 ZINC000646409249 423478299 /nfs/dbraw/zinc/47/82/99/423478299.db2.gz LITLKWKRAZRBGK-QWRGUYRKSA-N -1 1 315.329 1.937 20 0 DDADMM O=C(N[C@H]1CC[C@](O)(C(F)(F)F)C1)C(=O)c1ccc([O-])cc1 ZINC000646724148 423588138 /nfs/dbraw/zinc/58/81/38/423588138.db2.gz OHWYNRGQEZBFJP-TVQRCGJNSA-N -1 1 317.263 1.537 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC[C@@]2(O)CCOC2)c(F)c1 ZINC000651840486 423705588 /nfs/dbraw/zinc/70/55/88/423705588.db2.gz OAMBQZSTYGDDBN-CYBMUJFWSA-N -1 1 321.345 1.093 20 0 DDADMM CNC(=O)Cn1cc([N-]c2nc(C3CCOCC3)ns2)cn1 ZINC000663405171 423786134 /nfs/dbraw/zinc/78/61/34/423786134.db2.gz ROOBWEXICHJUIY-UHFFFAOYSA-N -1 1 322.394 1.118 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncccc1Br)C(C)(C)O ZINC000656910955 423861940 /nfs/dbraw/zinc/86/19/40/423861940.db2.gz NAKALAZNGIVUAU-SSDOTTSWSA-N -1 1 323.212 1.282 20 0 DDADMM C[C@H](CCO)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656922905 423881186 /nfs/dbraw/zinc/88/11/86/423881186.db2.gz MPQHCFHHJDSENN-MRVPVSSYSA-N -1 1 323.212 1.141 20 0 DDADMM Cc1cccc(NC[C@@H]2CCN(c3ccc(C(=O)[O-])nn3)C2)n1 ZINC000649388108 423837847 /nfs/dbraw/zinc/83/78/47/423837847.db2.gz FZRAOYUGVCTSGE-LBPRGKRZSA-N -1 1 313.361 1.817 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2ncccc2Br)CC1 ZINC000656927430 423888061 /nfs/dbraw/zinc/88/80/61/423888061.db2.gz MHOFXYHMXLSNMX-UHFFFAOYSA-N -1 1 305.197 1.923 20 0 DDADMM O=C(c1ccc2n[nH]cc2c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644883499 424011910 /nfs/dbraw/zinc/01/19/10/424011910.db2.gz LYRWQQLMSFFXJO-JTQLQIEISA-N -1 1 313.317 1.671 20 0 DDADMM NC(=O)c1csc(=N[C@H]2CCN(C3CCCCC3)C2=O)[n-]1 ZINC000644937933 424058873 /nfs/dbraw/zinc/05/88/73/424058873.db2.gz OGASLUGLNMTFPB-JTQLQIEISA-N -1 1 308.407 1.009 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2c(F)cccc2F)c2ncnn21 ZINC000657065104 424067122 /nfs/dbraw/zinc/06/71/22/424067122.db2.gz ZUOFIIKYTIGLAH-GMSGAONNSA-N -1 1 314.317 1.541 20 0 DDADMM CC(C)(C)n1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000654942222 424042998 /nfs/dbraw/zinc/04/29/98/424042998.db2.gz CAGYPIQTHHBORH-SNVBAGLBSA-N -1 1 303.370 1.171 20 0 DDADMM COC[C@@H](CC[N-]S(=O)(=O)c1sccc1Cl)OC ZINC000657052274 424045173 /nfs/dbraw/zinc/04/51/73/424045173.db2.gz VRCFTDINXYGLGY-MRVPVSSYSA-N -1 1 313.828 1.731 20 0 DDADMM O=S(=O)([N-]CCO[C@@H]1CC1(F)F)c1cc(F)ccc1F ZINC000657116685 424122887 /nfs/dbraw/zinc/12/28/87/424122887.db2.gz BNHDPTOOVCUSFE-SNVBAGLBSA-N -1 1 313.272 1.667 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]CCC(C)(C)F)s1 ZINC000657141844 424151433 /nfs/dbraw/zinc/15/14/33/424151433.db2.gz SHFZZCNLIPBDSO-UHFFFAOYSA-N -1 1 309.388 1.518 20 0 DDADMM COCC[C@H](COC)NC(=O)N=c1[n-]sc2ccccc21 ZINC000640337153 424355561 /nfs/dbraw/zinc/35/55/61/424355561.db2.gz AVGOVXCFVUWILI-SNVBAGLBSA-N -1 1 309.391 1.891 20 0 DDADMM COC[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)C[C@@H](C)O1 ZINC000640339223 424359411 /nfs/dbraw/zinc/35/94/11/424359411.db2.gz NOLXUGLHVKBPRW-MNOVXSKESA-N -1 1 321.402 1.986 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCC1(O)CCOCC1 ZINC000640339302 424360029 /nfs/dbraw/zinc/36/00/29/424360029.db2.gz PZIKUIZLUSROBF-UHFFFAOYSA-N -1 1 307.375 1.381 20 0 DDADMM COCC[C@@H]1COCCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640338803 424360048 /nfs/dbraw/zinc/36/00/48/424360048.db2.gz HMTOTTLUZOUKCL-LLVKDONJSA-N -1 1 321.402 1.987 20 0 DDADMM NC(=O)[C@H]1CCCC[C@H]1NC(=O)N=c1[n-]sc2ccccc21 ZINC000640342203 424363044 /nfs/dbraw/zinc/36/30/44/424363044.db2.gz MWSYAWCSEILIRU-GXSJLCMTSA-N -1 1 318.402 1.884 20 0 DDADMM C[C@H](CNC(=O)N=c1[n-]sc2ccccc21)S(C)(=O)=O ZINC000640343930 424366115 /nfs/dbraw/zinc/36/61/15/424366115.db2.gz VTAOJPXPZYNEIN-MRVPVSSYSA-N -1 1 313.404 1.273 20 0 DDADMM CC(C)(C)n1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000655398833 424447748 /nfs/dbraw/zinc/44/77/48/424447748.db2.gz MQKULIVVSDTXML-UHFFFAOYSA-N -1 1 319.365 1.747 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]CC(F)(F)c2ccc(F)cc2)n1 ZINC000660056951 424490524 /nfs/dbraw/zinc/49/05/24/424490524.db2.gz QNGWTZARPSCRRZ-UHFFFAOYSA-N -1 1 319.308 1.630 20 0 DDADMM CCN(Cc1cccc(Cl)c1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662202023 424466107 /nfs/dbraw/zinc/46/61/07/424466107.db2.gz MSEZKAPTFQSZBI-ZDUSSCGKSA-N -1 1 310.781 1.847 20 0 DDADMM C[C@@H](CNC(=O)NC[C@H](c1ccc(F)cc1)N(C)C)C(=O)[O-] ZINC000655864257 424685530 /nfs/dbraw/zinc/68/55/30/424685530.db2.gz YVUSTFMPTKIXJQ-GXFFZTMASA-N -1 1 311.357 1.448 20 0 DDADMM Cc1noc2ncc(S(=O)(=O)[N-][C@@H](C)C(F)(F)F)cc12 ZINC000341633861 271148914 /nfs/dbraw/zinc/14/89/14/271148914.db2.gz AHZMNUHNRKCKLN-LURJTMIESA-N -1 1 309.269 1.760 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cnn(CC(F)F)c1)C(F)(F)F ZINC000341882580 271229986 /nfs/dbraw/zinc/22/99/86/271229986.db2.gz IVZYWLQFYLDLRI-YFKPBYRVSA-N -1 1 307.244 1.377 20 0 DDADMM CN(C[C@@H]1CC=CCC1)C(=O)CNC(=O)c1ncccc1[O-] ZINC000345034290 272159860 /nfs/dbraw/zinc/15/98/60/272159860.db2.gz NPVYCGCMDBNLGE-GFCCVEGCSA-N -1 1 303.362 1.332 20 0 DDADMM CCOC(=O)C[C@@H](C)N(C)C(=O)c1csc(=NC2CC2)[n-]1 ZINC000345276485 272207057 /nfs/dbraw/zinc/20/70/57/272207057.db2.gz CEGDVKTWECYEQR-SECBINFHSA-N -1 1 311.407 1.553 20 0 DDADMM O=C(CSc1n[nH]c(=O)[n-]1)Nc1cc(Cl)c(O)cc1F ZINC000345700381 272307939 /nfs/dbraw/zinc/30/79/39/272307939.db2.gz BOXOXVZCYXQXHW-UHFFFAOYSA-N -1 1 318.717 1.739 20 0 DDADMM COCc1nc(=NC(O)=Cc2c(C)noc2Cl)s[n-]1 ZINC000345781656 272333268 /nfs/dbraw/zinc/33/32/68/272333268.db2.gz VUCVIJCQDMVCGT-UHFFFAOYSA-N -1 1 302.743 1.237 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCC2(F)CCC2)o1 ZINC000345872322 272354351 /nfs/dbraw/zinc/35/43/51/272354351.db2.gz VXWTYUYEMFLSBI-UHFFFAOYSA-N -1 1 304.343 1.200 20 0 DDADMM CC(C)(C)c1nnc2ccc(C(=O)Nc3ccncc3[O-])cn21 ZINC000347516587 272712559 /nfs/dbraw/zinc/71/25/59/272712559.db2.gz ADBVKTCUOGUKHY-UHFFFAOYSA-N -1 1 311.345 1.802 20 0 DDADMM CC(C)[C@H](C)NC(=O)[C@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000284454809 280222078 /nfs/dbraw/zinc/22/20/78/280222078.db2.gz VZPAAZBGKDMDJJ-GXFFZTMASA-N -1 1 323.418 1.463 20 0 DDADMM COc1ccc(C(=O)Nc2ccnn2C[C@@H]2CCOC2)c([O-])c1 ZINC000123627572 281174020 /nfs/dbraw/zinc/17/40/20/281174020.db2.gz BBUYQEYASAWXJZ-NSHDSACASA-N -1 1 317.345 1.886 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)c1ccc(Cl)c(F)c1 ZINC000130406159 281379940 /nfs/dbraw/zinc/37/99/40/281379940.db2.gz TVPGHSHGPYEWIZ-UHFFFAOYSA-N -1 1 316.745 1.934 20 0 DDADMM CS[C@H](C)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000130491172 281383203 /nfs/dbraw/zinc/38/32/03/281383203.db2.gz WUXWHFVPWAYHBR-MRVPVSSYSA-N -1 1 308.407 1.050 20 0 DDADMM CCN(CC)CCS(=O)(=O)N[C@@]1(C)CCCC[C@@H]1C(=O)[O-] ZINC000572758474 304552952 /nfs/dbraw/zinc/55/29/52/304552952.db2.gz LYCCUPYLQUSEDG-OCCSQVGLSA-N -1 1 320.455 1.281 20 0 DDADMM C[C@@]1(NC(=O)c2ccc(Br)cc2[O-])CCOC1=O ZINC000292513318 297915801 /nfs/dbraw/zinc/91/58/01/297915801.db2.gz PZZJIKNRGOCGDN-GFCCVEGCSA-N -1 1 314.135 1.590 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H](C)C(F)(F)F)co1 ZINC000356385226 298776705 /nfs/dbraw/zinc/77/67/05/298776705.db2.gz FZVZKOWURRUOQX-ZCFIWIBFSA-N -1 1 314.285 1.116 20 0 DDADMM Cc1ccc2nc(CNC(=O)c3cccc(C(=O)[O-])n3)[nH]c2c1 ZINC000362528853 300087223 /nfs/dbraw/zinc/08/72/23/300087223.db2.gz OPVSXVXYWCAUER-UHFFFAOYSA-N -1 1 310.313 1.895 20 0 DDADMM Cn1ncc(C(=O)N=c2ccc([O-])n[nH]2)c1Cc1ccccc1 ZINC000362546275 300091780 /nfs/dbraw/zinc/09/17/80/300091780.db2.gz XAFNXPLGTDNXFM-UHFFFAOYSA-N -1 1 309.329 1.181 20 0 DDADMM Cn1ncc(C(=O)N=c2ccc(O)n[n-]2)c1Cc1ccccc1 ZINC000362546275 300091785 /nfs/dbraw/zinc/09/17/85/300091785.db2.gz XAFNXPLGTDNXFM-UHFFFAOYSA-N -1 1 309.329 1.181 20 0 DDADMM CCOC(=O)c1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000362817951 300149111 /nfs/dbraw/zinc/14/91/11/300149111.db2.gz PZNTUTFBDAGEJJ-UHFFFAOYSA-N -1 1 303.322 1.042 20 0 DDADMM CNC(=O)[C@H]1Cc2ccccc2CN1C(=O)c1ncccc1[O-] ZINC000363206005 300231303 /nfs/dbraw/zinc/23/13/03/300231303.db2.gz AAVCRAWOVHZIGW-CYBMUJFWSA-N -1 1 311.341 1.100 20 0 DDADMM C[C@@H](Cc1cccc(F)c1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363516835 300279527 /nfs/dbraw/zinc/27/95/27/300279527.db2.gz CRIWCDWTMLJOAG-GXFFZTMASA-N -1 1 319.340 1.118 20 0 DDADMM O=C(N[C@@H]1CC(=O)N(Cc2ccccc2)C1)c1ncccc1[O-] ZINC000363642796 300291853 /nfs/dbraw/zinc/29/18/53/300291853.db2.gz FQIWGIIVEMBBNC-CYBMUJFWSA-N -1 1 311.341 1.318 20 0 DDADMM Cc1ccc(N2CCN(C(=O)c3cc(F)ccc3[O-])CC2=O)o1 ZINC000368491220 301039828 /nfs/dbraw/zinc/03/98/28/301039828.db2.gz GRZRVHPFCRREIO-UHFFFAOYSA-N -1 1 318.304 1.922 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)c1ccc[nH]1 ZINC000368500536 301042442 /nfs/dbraw/zinc/04/24/42/301042442.db2.gz CJPPVLOYNOTHIU-NSHDSACASA-N -1 1 320.715 1.840 20 0 DDADMM Cn1ccc(N2CC[C@H](NC(=O)c3cc(F)ccc3[O-])C2)n1 ZINC000370264082 301304707 /nfs/dbraw/zinc/30/47/07/301304707.db2.gz FJXIZNBTLISVRW-NSHDSACASA-N -1 1 304.325 1.274 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@H]1CCCN1Cc1ccccn1 ZINC000370449656 301341187 /nfs/dbraw/zinc/34/11/87/301341187.db2.gz YJWGACYODNRPRD-DZGCQCFKSA-N -1 1 305.378 1.130 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC(O)(c2ccc(Cl)cc2)C1 ZINC000375007415 301875693 /nfs/dbraw/zinc/87/56/93/301875693.db2.gz BQHRNIGCBXDVQI-UHFFFAOYSA-N -1 1 304.733 1.784 20 0 DDADMM O=S(=O)(NCCc1nnc(-c2ccccc2)[nH]1)c1ccco1 ZINC000377024020 302132405 /nfs/dbraw/zinc/13/24/05/302132405.db2.gz WFGAEXKTALANPC-UHFFFAOYSA-N -1 1 318.358 1.586 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@H](C)O[C@@]3(CCCOC3)C2)c([O-])c1 ZINC000333105274 302334135 /nfs/dbraw/zinc/33/41/35/302334135.db2.gz DIZIWKYJVGFRFP-WBMJQRKESA-N -1 1 306.362 1.506 20 0 DDADMM C[C@@H]1[C@@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)[C@H]1c1ccccc1 ZINC000437390206 302388920 /nfs/dbraw/zinc/38/89/20/302388920.db2.gz VSNJBRULNRNYTD-JMJZKYOTSA-N -1 1 320.374 1.196 20 0 DDADMM C[C@@H]1[C@@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)[C@H]1c1ccccc1 ZINC000437390206 302388922 /nfs/dbraw/zinc/38/89/22/302388922.db2.gz VSNJBRULNRNYTD-JMJZKYOTSA-N -1 1 320.374 1.196 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@]23C[C@@H]2CCC3)c1 ZINC000521606793 302877126 /nfs/dbraw/zinc/87/71/26/302877126.db2.gz FXMFQRCLPDJMIM-XPTSAGLGSA-N -1 1 310.375 1.429 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@@H](OCC(F)(F)F)C1 ZINC000522067106 302883560 /nfs/dbraw/zinc/88/35/60/302883560.db2.gz JYYHJINVTITERX-LLVKDONJSA-N -1 1 304.268 1.971 20 0 DDADMM O=C(NCC1CC(O)C1)c1c[n-]c2c(F)ccc(F)c2c1=O ZINC000530087620 303174606 /nfs/dbraw/zinc/17/46/06/303174606.db2.gz SJODJPQAGGFHJI-UHFFFAOYSA-N -1 1 308.284 1.307 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)CCc1ccccn1 ZINC000531776988 303256064 /nfs/dbraw/zinc/25/60/64/303256064.db2.gz CHLNQTSTGTWQMX-UHFFFAOYSA-N -1 1 318.402 1.922 20 0 DDADMM COC[C@@](C)(O)C(=O)N=c1cc(-c2ccc(Cl)s2)[n-][nH]1 ZINC000535290659 303345772 /nfs/dbraw/zinc/34/57/72/303345772.db2.gz ZPPZXPHRBURYEN-GFCCVEGCSA-N -1 1 315.782 1.549 20 0 DDADMM COCC1([N-]S(=O)(=O)c2ccc(Br)o2)CC1 ZINC000546777625 303519037 /nfs/dbraw/zinc/51/90/37/303519037.db2.gz SLEKSPHNHJZSJW-UHFFFAOYSA-N -1 1 310.169 1.499 20 0 DDADMM O=C1CC[C@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)CN1 ZINC000362130137 307014749 /nfs/dbraw/zinc/01/47/49/307014749.db2.gz PNXIIMCOUXVFAC-ZETCQYMHSA-N -1 1 306.746 1.036 20 0 DDADMM COc1ccc(Cl)cc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363396700 307027091 /nfs/dbraw/zinc/02/70/91/307027091.db2.gz WUSWXMUZJPVZHD-LLVKDONJSA-N -1 1 323.740 1.075 20 0 DDADMM Cn1cc(N2CC[C@H](NC(=O)c3cc(F)ccc3[O-])C2)cn1 ZINC000364206372 307043816 /nfs/dbraw/zinc/04/38/16/307043816.db2.gz HNPXPCHLQHLXDG-NSHDSACASA-N -1 1 304.325 1.274 20 0 DDADMM O=C(NCc1noc(-c2ccccc2F)n1)c1ncccc1[O-] ZINC000366160657 307068761 /nfs/dbraw/zinc/06/87/61/307068761.db2.gz SPFROOXGRBHUBE-UHFFFAOYSA-N -1 1 314.276 1.906 20 0 DDADMM O=C(c1ccc(OC(F)F)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366652428 307078716 /nfs/dbraw/zinc/07/87/16/307078716.db2.gz JPNYVJZEPVCXKY-JTQLQIEISA-N -1 1 323.303 1.821 20 0 DDADMM O=c1cc(CN2CCOCC3(CCCC3)C2)nc2cc[n-]n21 ZINC000369016806 307114244 /nfs/dbraw/zinc/11/42/44/307114244.db2.gz LAZIGLUZEVPPIS-UHFFFAOYSA-N -1 1 302.378 1.415 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)C2=COCCO2)CC1 ZINC000372576446 307171257 /nfs/dbraw/zinc/17/12/57/307171257.db2.gz AIELVWGTTHMLKD-UHFFFAOYSA-N -1 1 317.341 1.702 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1CCCC2(CCCCC2)C1 ZINC000376674553 307252974 /nfs/dbraw/zinc/25/29/74/307252974.db2.gz SSXFYCITFJFHSV-UHFFFAOYSA-N -1 1 303.424 1.532 20 0 DDADMM N[C@H](C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1)C1CCC1 ZINC000517028657 307428629 /nfs/dbraw/zinc/42/86/29/307428629.db2.gz KXQAGLBOAJCARK-AWEZNQCLSA-N -1 1 306.316 1.843 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2ccc(F)c(F)c2)o1 ZINC000532349948 307629338 /nfs/dbraw/zinc/62/93/38/307629338.db2.gz VGKWEFBYVUPASB-LURJTMIESA-N -1 1 303.290 1.696 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1cccc(=O)[nH]1 ZINC000538936720 307678441 /nfs/dbraw/zinc/67/84/41/307678441.db2.gz NRBADESDESGCGC-UHFFFAOYSA-N -1 1 316.361 1.819 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cc(F)c(F)c(F)c2)[n-]n1C ZINC000547822227 307749630 /nfs/dbraw/zinc/74/96/30/307749630.db2.gz LESHZXREXLLFED-UHFFFAOYSA-N -1 1 321.280 1.069 20 0 DDADMM CC(F)(F)C[N-]S(=O)(=O)c1cc2oc(=O)[nH]c2cc1F ZINC000563526776 307973519 /nfs/dbraw/zinc/97/35/19/307973519.db2.gz RBSMBGGYKVJAKF-UHFFFAOYSA-N -1 1 310.253 1.194 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Br)o2)CO1 ZINC000566164045 308047128 /nfs/dbraw/zinc/04/71/28/308047128.db2.gz KIJPGBBRNKJPKQ-BQBZGAKWSA-N -1 1 310.169 1.498 20 0 DDADMM CCC[C@H](C)[C@H](CO)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000568032933 308104491 /nfs/dbraw/zinc/10/44/91/308104491.db2.gz WYRRPPGQTAERNO-ONGXEEELSA-N -1 1 319.379 1.142 20 0 DDADMM O=C([O-])CC[C@H](Cc1ccccc1)NC(=O)CCc1nc[nH]n1 ZINC000573445884 308240441 /nfs/dbraw/zinc/24/04/41/308240441.db2.gz YTLAUHSQVRUGLE-CYBMUJFWSA-N -1 1 316.361 1.330 20 0 DDADMM COCCC1([N-]S(=O)(=O)c2nc(C)c(C)s2)CCC1 ZINC000576813200 308341047 /nfs/dbraw/zinc/34/10/47/308341047.db2.gz RQOSZJSCWNXTFN-UHFFFAOYSA-N -1 1 304.437 1.997 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]Cc1nnc(SC)s1)C1CC1 ZINC000576983779 308354387 /nfs/dbraw/zinc/35/43/87/308354387.db2.gz YQCCBUMTATUIQM-MRVPVSSYSA-N -1 1 323.465 1.104 20 0 DDADMM Cc1c(S(=O)(=O)Nc2cc(C(=O)[O-])c(C)cc2C)cnn1C ZINC000577165716 308367201 /nfs/dbraw/zinc/36/72/01/308367201.db2.gz GBXAGLQCXMRMJB-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM Cn1cc([C@H]2CN(C(=O)c3ncccc3[O-])CC2(C)C)cn1 ZINC000579610049 308545349 /nfs/dbraw/zinc/54/53/49/308545349.db2.gz PZKNDYXZCHHRIN-GFCCVEGCSA-N -1 1 300.362 1.787 20 0 DDADMM C[C@H]1[C@H](CO)CCN1C(=O)c1cc(Br)ccc1[O-] ZINC000386214863 325758446 /nfs/dbraw/zinc/75/84/46/325758446.db2.gz DVRYWNAVUQJBGJ-IUCAKERBSA-N -1 1 314.179 1.998 20 0 DDADMM CC[C@@H](CNS(=O)(=O)c1c(C)o[n-]c1=N)c1ccccc1 ZINC000583661370 336035573 /nfs/dbraw/zinc/03/55/73/336035573.db2.gz LLDFMWQJZJTIPM-NSHDSACASA-N -1 1 309.391 1.868 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1c(C)onc1N)c1ccccc1 ZINC000583661370 336035574 /nfs/dbraw/zinc/03/55/74/336035574.db2.gz LLDFMWQJZJTIPM-NSHDSACASA-N -1 1 309.391 1.868 20 0 DDADMM N=c1nc(N2CCN(c3nc4c(cccc4F)o3)CC2)s[n-]1 ZINC000582479992 337096383 /nfs/dbraw/zinc/09/63/83/337096383.db2.gz JZSJNUJOCLPNFG-UHFFFAOYSA-N -1 1 320.353 1.558 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])[C@@H](C)NS(=O)(=O)c1c(F)cccc1F ZINC000399449684 337312577 /nfs/dbraw/zinc/31/25/77/337312577.db2.gz MTEXTQFQPMNZEX-LDYMZIIASA-N -1 1 321.345 1.988 20 0 DDADMM O=C([O-])[C@H](CCF)NS(=O)(=O)c1cc(F)c(F)cc1F ZINC000656163093 483987627 /nfs/dbraw/zinc/98/76/27/483987627.db2.gz NKCVTTXKEMCEMM-QMMMGPOBSA-N -1 1 315.244 1.195 20 0 DDADMM Cn1cccc1S(=O)(=O)[N-]c1ccc(O[C@@H]2CCOC2)cc1 ZINC000414373509 484059556 /nfs/dbraw/zinc/05/95/56/484059556.db2.gz MKJGBRQSCRLCSV-CQSZACIVSA-N -1 1 322.386 1.994 20 0 DDADMM COC(=O)[C@H](NC(=O)c1c([O-])cccc1F)c1ccc(O)cc1 ZINC000436939891 484129257 /nfs/dbraw/zinc/12/92/57/484129257.db2.gz RRWQOWOQRYODLJ-CQSZACIVSA-N -1 1 319.288 1.881 20 0 DDADMM O=C(NC[C@@H]1CCCCS1(=O)=O)c1c([O-])cccc1F ZINC000436989786 484133602 /nfs/dbraw/zinc/13/36/02/484133602.db2.gz PHWMQBAXNOEPIN-VIFPVBQESA-N -1 1 301.339 1.228 20 0 DDADMM Cc1cc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)nc(C)n1 ZINC000656555635 484208014 /nfs/dbraw/zinc/20/80/14/484208014.db2.gz GHPQUEQTNSXINE-UHFFFAOYSA-N -1 1 309.395 1.483 20 0 DDADMM Cc1cc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)nc(C)n1 ZINC000656555635 484208019 /nfs/dbraw/zinc/20/80/19/484208019.db2.gz GHPQUEQTNSXINE-UHFFFAOYSA-N -1 1 309.395 1.483 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc2c(c1)CCNC2=O)OC ZINC000656633158 484251055 /nfs/dbraw/zinc/25/10/55/484251055.db2.gz WQMAARXTUFNERP-GFCCVEGCSA-N -1 1 312.391 1.139 20 0 DDADMM CC[C@@H]1CN(C(=O)C2(C(=O)[O-])CC2)CCN1Cc1ccccc1 ZINC000572217969 484343687 /nfs/dbraw/zinc/34/36/87/484343687.db2.gz XRPFSTONDANZMD-OAHLLOKOSA-N -1 1 316.401 1.974 20 0 DDADMM O=C([O-])[C@H](CCF)NC(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000663272653 484778013 /nfs/dbraw/zinc/77/80/13/484778013.db2.gz ZCZHWTCRQFLJBH-KBPBESRZSA-N -1 1 323.368 1.373 20 0 DDADMM O=C(c1cc(C2CC2)n[nH]1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670384150 484802609 /nfs/dbraw/zinc/80/26/09/484802609.db2.gz FPPVJMAIDSETEH-SECBINFHSA-N -1 1 303.322 1.396 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2s[n-]c(=O)c2Cl)n[nH]1 ZINC000670474764 484852251 /nfs/dbraw/zinc/85/22/51/484852251.db2.gz ZTXXFYSDUPZTEB-YFKPBYRVSA-N -1 1 300.771 1.895 20 0 DDADMM CN1CC[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)CC1=O ZINC000671183417 484965263 /nfs/dbraw/zinc/96/52/63/484965263.db2.gz HKLFMIGKRAOUDH-VIFPVBQESA-N -1 1 320.773 1.378 20 0 DDADMM CC1N=NC(C(=O)Nc2cccc([C@@H]3CCC(=O)N3)c2)=C1[O-] ZINC000665661386 484993717 /nfs/dbraw/zinc/99/37/17/484993717.db2.gz YKFZFGHXIGQONW-NSHDSACASA-N -1 1 300.318 1.627 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](C3CCC3)C2)co1 ZINC000665682558 485002612 /nfs/dbraw/zinc/00/26/12/485002612.db2.gz DWRBSJVBDKJIHJ-NSHDSACASA-N -1 1 312.391 1.450 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC1(F)F)c1cnn2c1OCCC2 ZINC000668204093 485022231 /nfs/dbraw/zinc/02/22/31/485022231.db2.gz SGCSLXAZEHCYIZ-SECBINFHSA-N -1 1 307.322 1.132 20 0 DDADMM O=C(NC1(CO)Cc2ccccc2C1)c1ccc([O-])c(F)c1 ZINC000673115688 485389626 /nfs/dbraw/zinc/38/96/26/485389626.db2.gz XJOYXVHMWMGRHQ-UHFFFAOYSA-N -1 1 301.317 1.791 20 0 DDADMM NC(=O)Cc1ccc(CNC(=O)c2ccc([O-])cc2F)cc1 ZINC000685878420 485470532 /nfs/dbraw/zinc/47/05/32/485470532.db2.gz OTNGLWAYQULTFH-UHFFFAOYSA-N -1 1 302.305 1.489 20 0 DDADMM O=S(=O)([N-]c1ccc2nccn2c1)c1cnc2n1CCC2 ZINC000682157270 485505338 /nfs/dbraw/zinc/50/53/38/485505338.db2.gz YNTLRILLHVYWRC-UHFFFAOYSA-N -1 1 303.347 1.278 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCO[C@H](C)C2)c(F)c1 ZINC000678301690 485534238 /nfs/dbraw/zinc/53/42/38/485534238.db2.gz FNZVPKZLSMRYRZ-RKDXNWHRSA-N -1 1 321.345 1.819 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC000674351012 485611324 /nfs/dbraw/zinc/61/13/24/485611324.db2.gz ARWFEBHPLXITSW-ZIAGYGMSSA-N -1 1 317.389 1.869 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccc3ccccc3c2)n[nH]1 ZINC000679203209 485805853 /nfs/dbraw/zinc/80/58/53/485805853.db2.gz WXMFZBKAHSHECG-UHFFFAOYSA-N -1 1 316.342 1.463 20 0 DDADMM Cc1nnc([N-]C(=O)c2noc(-c3cccc(F)c3)n2)n1C ZINC000680273039 486132595 /nfs/dbraw/zinc/13/25/95/486132595.db2.gz AYMVUXANSMLNJJ-UHFFFAOYSA-N -1 1 302.269 1.565 20 0 DDADMM Cc1ccccc1CN(C)C(=O)CNC1(C(=O)[O-])CCCC1 ZINC000676230496 486152485 /nfs/dbraw/zinc/15/24/85/486152485.db2.gz LCDJCRPXIFPBKS-UHFFFAOYSA-N -1 1 304.390 1.940 20 0 DDADMM O=C1CCC[C@@H](C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)N1 ZINC000683952219 486180702 /nfs/dbraw/zinc/18/07/02/486180702.db2.gz QQTKPVBNEVZWCX-ZETCQYMHSA-N -1 1 322.308 1.051 20 0 DDADMM CC(=O)Nc1ccc(CNC(=O)c2ccc([O-])c(F)c2)cn1 ZINC000684064630 486233442 /nfs/dbraw/zinc/23/34/42/486233442.db2.gz PTEIAPIBTSMNNT-UHFFFAOYSA-N -1 1 303.293 1.815 20 0 DDADMM CC1(Cn2cc(C(=O)Nc3c([O-])cccc3F)nn2)COC1 ZINC000680594433 486235480 /nfs/dbraw/zinc/23/54/80/486235480.db2.gz OGBWUNSOWPFIIF-UHFFFAOYSA-N -1 1 306.297 1.412 20 0 DDADMM O=C(CSc1nc(C(F)(F)F)cc(=O)[n-]1)[C@H]1CCOC1 ZINC000680809632 486269293 /nfs/dbraw/zinc/26/92/93/486269293.db2.gz XTCSKMYWDDNKQP-LURJTMIESA-N -1 1 308.281 1.899 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)c1[nH]nc(C)c1[O-])c1ccco1 ZINC000676631931 486275124 /nfs/dbraw/zinc/27/51/24/486275124.db2.gz MAICYVHAIMUGDU-NSHDSACASA-N -1 1 306.366 1.830 20 0 DDADMM CNC(=O)c1cc2cc(NC(=O)C3=C([O-])C(C)N=N3)ccc2[nH]1 ZINC000684338448 486324682 /nfs/dbraw/zinc/32/46/82/486324682.db2.gz ZPJKNGYLHFORGB-UHFFFAOYSA-N -1 1 313.317 1.517 20 0 DDADMM Cc1cccc(C(=O)NCCNC(=O)c2ccc([O-])c(F)c2)c1 ZINC000681021650 486324801 /nfs/dbraw/zinc/32/48/01/486324801.db2.gz OOEWXVZGWXPSAH-UHFFFAOYSA-N -1 1 316.332 2.000 20 0 DDADMM C[C@@H](NC(=O)c1ccc([O-])c(F)c1)C(=O)NCc1ccco1 ZINC000681032635 486326984 /nfs/dbraw/zinc/32/69/84/486326984.db2.gz FYWCRPXNSGAQOK-SECBINFHSA-N -1 1 306.293 1.559 20 0 DDADMM CC(C)Nc1ncccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677048088 486386069 /nfs/dbraw/zinc/38/60/69/486386069.db2.gz SLSZXZPNTZQGQJ-LLVKDONJSA-N -1 1 315.381 1.435 20 0 DDADMM Cc1c(Br)scc1C(=O)NCc1nn[n-]n1 ZINC000681271102 486392694 /nfs/dbraw/zinc/39/26/94/486392694.db2.gz KAYLAOFCOADDNM-UHFFFAOYSA-N -1 1 302.157 1.262 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC(C(C)C)C(C)C)co1 ZINC000677318985 486439418 /nfs/dbraw/zinc/43/94/18/486439418.db2.gz PAULNRIAVONYIP-UHFFFAOYSA-N -1 1 302.396 1.598 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCn2c(nnc2C(F)F)C1 ZINC000684847954 486443174 /nfs/dbraw/zinc/44/31/74/486443174.db2.gz RYBDWPQUFRNAAQ-UHFFFAOYSA-N -1 1 312.251 1.716 20 0 DDADMM Cn1[n-]c(CN2CCCc3c4cccc(F)c4[nH]c3C2)nc1=O ZINC000685006845 486486381 /nfs/dbraw/zinc/48/63/81/486486381.db2.gz AVJKKTFUOYYWOW-UHFFFAOYSA-N -1 1 315.352 1.677 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2C[C@H](O)C2(C)C)c(F)c1 ZINC000681690731 486492527 /nfs/dbraw/zinc/49/25/27/486492527.db2.gz KZUWMAKMUGUUDL-QWRGUYRKSA-N -1 1 321.345 1.411 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CC[C@@H]2CCC(=O)N2)c1 ZINC000451465057 534231059 /nfs/dbraw/zinc/23/10/59/534231059.db2.gz ZLDZKORHIVXFGK-JTQLQIEISA-N -1 1 306.318 1.176 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@H](C)CC(=O)N2)c1 ZINC000294496358 534231591 /nfs/dbraw/zinc/23/15/91/534231591.db2.gz BIFDWISTJJHBIC-KWQFWETISA-N -1 1 306.318 1.032 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)Cn2ccnc2)sc1C ZINC000435221390 534520839 /nfs/dbraw/zinc/52/08/39/534520839.db2.gz BQGASUXTUHDRTJ-MRVPVSSYSA-N -1 1 300.409 1.323 20 0 DDADMM Cn1cc([C@@H](NCc2cccc3c2OCCCO3)C(=O)[O-])cn1 ZINC000417599904 534751883 /nfs/dbraw/zinc/75/18/83/534751883.db2.gz QCVKHMPZYWXDTM-CQSZACIVSA-N -1 1 317.345 1.497 20 0 DDADMM N=c1nc(N2CCN(C(=O)/C=C\C3CCCCC3)CC2)s[n-]1 ZINC000492143100 534840657 /nfs/dbraw/zinc/84/06/57/534840657.db2.gz GHOWSDBVGVZVIX-SREVYHEPSA-N -1 1 321.450 1.736 20 0 DDADMM N=c1nc(N2CCN(CC=Cc3ccncc3)CC2)s[n-]1 ZINC000494973395 534841833 /nfs/dbraw/zinc/84/18/33/534841833.db2.gz MDDVKQKVNMUMAG-OWOJBTEDSA-N -1 1 302.407 1.181 20 0 DDADMM NC(=O)CC(=O)Nc1ccc(C(=O)NC2CCCCC2)c([O-])c1 ZINC000444367800 534845344 /nfs/dbraw/zinc/84/53/44/534845344.db2.gz RFEVYLTUEBZYMZ-UHFFFAOYSA-N -1 1 319.361 1.269 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1cnccc1-n1cccn1 ZINC000424211670 534861122 /nfs/dbraw/zinc/86/11/22/534861122.db2.gz XXFUQAWNZBJWMI-UHFFFAOYSA-N -1 1 322.390 1.436 20 0 DDADMM CC(C)(C)OC(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC000495492541 526489859 /nfs/dbraw/zinc/48/98/59/526489859.db2.gz NBPSDBYUQBIDEL-LLVKDONJSA-N -1 1 321.377 1.820 20 0 DDADMM CCO[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000452027479 527788208 /nfs/dbraw/zinc/78/82/08/527788208.db2.gz ABNFTZHNAGGRJR-NXEZZACHSA-N -1 1 307.318 1.047 20 0 DDADMM CCC1(NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)CCCC1 ZINC000295929741 527796256 /nfs/dbraw/zinc/79/62/56/527796256.db2.gz HTIOPAWDEDOLTG-UHFFFAOYSA-N -1 1 300.380 1.640 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1cccc2c1ccn(C)c2=O ZINC000443528396 527994419 /nfs/dbraw/zinc/99/44/19/527994419.db2.gz JCBFZDNRXPJZEG-UHFFFAOYSA-N -1 1 324.402 1.705 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCOC[C@H]2C)o1 ZINC000432301182 528243044 /nfs/dbraw/zinc/24/30/44/528243044.db2.gz VQLLDRREVMCTKZ-NXEZZACHSA-N -1 1 317.363 1.160 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1cccc(OC)c1)c1nn[n-]n1 ZINC000492111388 528967311 /nfs/dbraw/zinc/96/73/11/528967311.db2.gz LKEGMLPYQUSJJV-LJTDUEICSA-N -1 1 301.350 1.879 20 0 DDADMM CCOC(=O)N[C@@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000495361321 529094831 /nfs/dbraw/zinc/09/48/31/529094831.db2.gz ANIBIBKOHJSAKQ-LLVKDONJSA-N -1 1 307.350 1.446 20 0 DDADMM CCSc1nccnc1C(=O)N=c1nc(C(F)(F)F)[n-][nH]1 ZINC000435579988 529261654 /nfs/dbraw/zinc/26/16/54/529261654.db2.gz RETZJGHXDULOMO-UHFFFAOYSA-N -1 1 318.284 1.400 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NCC[C@@H]1CCCO1 ZINC000738436867 598935316 /nfs/dbraw/zinc/93/53/16/598935316.db2.gz KHFUXOAIZCKJSK-JTQLQIEISA-N -1 1 311.349 1.791 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NCC[C@@H]1CCCO1 ZINC000738436867 598935318 /nfs/dbraw/zinc/93/53/18/598935318.db2.gz KHFUXOAIZCKJSK-JTQLQIEISA-N -1 1 311.349 1.791 20 0 DDADMM OC[C@@H]1CCCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000738395694 598935560 /nfs/dbraw/zinc/93/55/60/598935560.db2.gz YFJHTKOLDLTTFK-SNVBAGLBSA-N -1 1 311.349 1.019 20 0 DDADMM OC[C@@H]1CCCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000738395694 598935561 /nfs/dbraw/zinc/93/55/61/598935561.db2.gz YFJHTKOLDLTTFK-SNVBAGLBSA-N -1 1 311.349 1.019 20 0 DDADMM CCn1cc(Nc2c3ccccc3nnc2-c2nnn[n-]2)cn1 ZINC000736721472 598936515 /nfs/dbraw/zinc/93/65/15/598936515.db2.gz BMNCKOIZNUMEBW-UHFFFAOYSA-N -1 1 307.321 1.770 20 0 DDADMM CCn1cc(Nc2c3ccccc3nnc2-c2nn[n-]n2)cn1 ZINC000736721472 598936516 /nfs/dbraw/zinc/93/65/16/598936516.db2.gz BMNCKOIZNUMEBW-UHFFFAOYSA-N -1 1 307.321 1.770 20 0 DDADMM C[C@H](CCc1ccco1)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735993005 598983531 /nfs/dbraw/zinc/98/35/31/598983531.db2.gz ODXUSZSLDUXCHM-SNVBAGLBSA-N -1 1 312.333 1.606 20 0 DDADMM C[C@H](CCc1ccco1)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735993005 598983533 /nfs/dbraw/zinc/98/35/33/598983533.db2.gz ODXUSZSLDUXCHM-SNVBAGLBSA-N -1 1 312.333 1.606 20 0 DDADMM C[C@@H]1CCC[C@@H](N(C)C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736249894 598989224 /nfs/dbraw/zinc/98/92/24/598989224.db2.gz LNAMCTAYBNGQAK-ZYHUDNBSSA-N -1 1 300.366 1.912 20 0 DDADMM C[C@@H]1CCC[C@@H](N(C)C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736249894 598989225 /nfs/dbraw/zinc/98/92/25/598989225.db2.gz LNAMCTAYBNGQAK-ZYHUDNBSSA-N -1 1 300.366 1.912 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCCc2ccc(F)cc21 ZINC000738259243 598993422 /nfs/dbraw/zinc/99/34/22/598993422.db2.gz OZSDOVZFXCGXOF-UHFFFAOYSA-N -1 1 324.319 1.994 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCCc2ccc(F)cc21 ZINC000738259243 598993423 /nfs/dbraw/zinc/99/34/23/598993423.db2.gz OZSDOVZFXCGXOF-UHFFFAOYSA-N -1 1 324.319 1.994 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCO[C@@H]2CCCC[C@@H]21 ZINC000738258689 598995260 /nfs/dbraw/zinc/99/52/60/598995260.db2.gz LGVLIALVZLRTRP-QWHCGFSZSA-N -1 1 314.349 1.045 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCO[C@@H]2CCCC[C@@H]21 ZINC000738258689 598995261 /nfs/dbraw/zinc/99/52/61/598995261.db2.gz LGVLIALVZLRTRP-QWHCGFSZSA-N -1 1 314.349 1.045 20 0 DDADMM CCc1nn(CCCCCOC)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736705348 599192503 /nfs/dbraw/zinc/19/25/03/599192503.db2.gz GUEDDWRNBBSWRL-UHFFFAOYSA-N -1 1 320.397 1.365 20 0 DDADMM CC(C)[C@H](NCC(=O)NCC1(c2ccccc2)CC1)C(=O)[O-] ZINC000817977502 597108499 /nfs/dbraw/zinc/10/84/99/597108499.db2.gz DLTCOPVFVWYBHE-HNNXBMFYSA-N -1 1 304.390 1.533 20 0 DDADMM CCCN1CCN(C(=O)Nc2cccc(CC(=O)[O-])c2)CC1 ZINC000387772752 597192072 /nfs/dbraw/zinc/19/20/72/597192072.db2.gz CHOVLZICXHJIMV-UHFFFAOYSA-N -1 1 305.378 1.873 20 0 DDADMM COc1ccc(-c2nnc(CN3CC[C@H](C(=O)[O-])C3)o2)cc1 ZINC000818570794 597526837 /nfs/dbraw/zinc/52/68/37/597526837.db2.gz YWBNNBIPSFMOTM-NSHDSACASA-N -1 1 303.318 1.652 20 0 DDADMM O=C([O-])CCNC(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000821524896 597666013 /nfs/dbraw/zinc/66/60/13/597666013.db2.gz DYMZNRPRUIUZQB-JTQLQIEISA-N -1 1 307.354 1.046 20 0 DDADMM CS[C@@H](CO)[C@H](C)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000821950087 607326601 /nfs/dbraw/zinc/32/66/01/607326601.db2.gz KTRGOABAJIQCGE-WHFBIAKZSA-N -1 1 320.831 1.501 20 0 DDADMM CS[C@@H](CO)[C@H](C)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000821950087 607326602 /nfs/dbraw/zinc/32/66/02/607326602.db2.gz KTRGOABAJIQCGE-WHFBIAKZSA-N -1 1 320.831 1.501 20 0 DDADMM CC(C)[C@@H](NCC(=O)N(Cc1ccccc1)C1CC1)C(=O)[O-] ZINC000820041718 598189558 /nfs/dbraw/zinc/18/95/58/598189558.db2.gz OJGKSSLXNGVKDF-MRXNPFEDSA-N -1 1 304.390 1.876 20 0 DDADMM O[C@@H](CSc1nccnc1-c1nn[n-]n1)c1ccccc1 ZINC000738385930 598346236 /nfs/dbraw/zinc/34/62/36/598346236.db2.gz JIQIOJKBXSFTHI-JTQLQIEISA-N -1 1 300.347 1.482 20 0 DDADMM O[C@]1(CNc2nccnc2-c2nnn[n-]2)CCCc2ccccc21 ZINC000738388233 598557913 /nfs/dbraw/zinc/55/79/13/598557913.db2.gz DNSGPGPKLUZPLC-INIZCTEOSA-N -1 1 323.360 1.293 20 0 DDADMM O[C@]1(CNc2nccnc2-c2nn[n-]n2)CCCc2ccccc21 ZINC000738388233 598557914 /nfs/dbraw/zinc/55/79/14/598557914.db2.gz DNSGPGPKLUZPLC-INIZCTEOSA-N -1 1 323.360 1.293 20 0 DDADMM Cn1cc(CCNc2snc(Cl)c2-c2nnn[n-]2)cn1 ZINC000737603163 598744596 /nfs/dbraw/zinc/74/45/96/598744596.db2.gz VTHOQFVCEWCCJU-UHFFFAOYSA-N -1 1 310.774 1.365 20 0 DDADMM Cn1cc(CCNc2snc(Cl)c2-c2nn[n-]n2)cn1 ZINC000737603163 598744598 /nfs/dbraw/zinc/74/45/98/598744598.db2.gz VTHOQFVCEWCCJU-UHFFFAOYSA-N -1 1 310.774 1.365 20 0 DDADMM CNC(=O)c1ccc(CNc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000736878749 599404758 /nfs/dbraw/zinc/40/47/58/599404758.db2.gz YVLARFPXHGNNDR-UHFFFAOYSA-N -1 1 309.333 1.233 20 0 DDADMM CNC(=O)c1ccc(CNc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000736878749 599404760 /nfs/dbraw/zinc/40/47/60/599404760.db2.gz YVLARFPXHGNNDR-UHFFFAOYSA-N -1 1 309.333 1.233 20 0 DDADMM CCCCC(=O)N1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000736439573 599409463 /nfs/dbraw/zinc/40/94/63/599409463.db2.gz SIFMRIOEMNKCGX-UHFFFAOYSA-N -1 1 315.381 1.101 20 0 DDADMM CCCCC(=O)N1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000736439573 599409466 /nfs/dbraw/zinc/40/94/66/599409466.db2.gz SIFMRIOEMNKCGX-UHFFFAOYSA-N -1 1 315.381 1.101 20 0 DDADMM C[C@H](O)CN1CCN([C@@H](C(=O)[O-])c2ccc(F)cc2)C[C@H]1C ZINC000736678264 599915667 /nfs/dbraw/zinc/91/56/67/599915667.db2.gz UJBNOZCIJWODRM-TYNCELHUSA-N -1 1 310.369 1.338 20 0 DDADMM COCCN1CCN(CCOc2cccc(C(=O)[O-])c2)C[C@@H]1C ZINC000737812904 599916968 /nfs/dbraw/zinc/91/69/68/599916968.db2.gz PSRQGKYOUNBRDZ-AWEZNQCLSA-N -1 1 322.405 1.416 20 0 DDADMM COc1ccccc1[C@@H](C)N(C)C(=O)CNC(C)(C)C(=O)[O-] ZINC000738120585 599925238 /nfs/dbraw/zinc/92/52/38/599925238.db2.gz MZCQOEMGMIETTG-LLVKDONJSA-N -1 1 308.378 1.667 20 0 DDADMM COc1ccc(Cl)cc1CNC(=O)CNC(C)(C)C(=O)[O-] ZINC000738013893 599926999 /nfs/dbraw/zinc/92/69/99/599926999.db2.gz OSGMQEGSHNCXKB-UHFFFAOYSA-N -1 1 314.769 1.418 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)CN(C[C@H](C)C(=O)[O-])C1CC1 ZINC000738911436 599949354 /nfs/dbraw/zinc/94/93/54/599949354.db2.gz QHSIWHPULIQQRB-VIFPVBQESA-N -1 1 308.382 1.161 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)N1CC[C@H](N2CCCC2)C1 ZINC000315832054 600035833 /nfs/dbraw/zinc/03/58/33/600035833.db2.gz DMNYSQKOEPNQHO-LBPRGKRZSA-N -1 1 324.402 1.244 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC000738406390 600061380 /nfs/dbraw/zinc/06/13/80/600061380.db2.gz OHVBABRPXSKHGL-KGLIPLIRSA-N -1 1 317.389 1.406 20 0 DDADMM CN(C(=O)CN1CCCC[C@H]1CCO)c1ccccc1C(=O)[O-] ZINC000737321480 600094323 /nfs/dbraw/zinc/09/43/23/600094323.db2.gz FZODYXKDFAOPRR-ZDUSSCGKSA-N -1 1 320.389 1.585 20 0 DDADMM Cc1nn(C)c(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)c1C ZINC000738375845 600126921 /nfs/dbraw/zinc/12/69/21/600126921.db2.gz SCLFRFSFRPYVMY-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cn1cc(C(=O)[O-])nn1 ZINC000736763701 600259499 /nfs/dbraw/zinc/25/94/99/600259499.db2.gz UEISLTNXGBKSBU-JQWIXIFHSA-N -1 1 304.325 1.951 20 0 DDADMM O=C([O-])CN(CCN1CCOCC1)Cc1cc(F)ccc1F ZINC000739792569 600317073 /nfs/dbraw/zinc/31/70/73/600317073.db2.gz WDCKWBPIEOUDCD-UHFFFAOYSA-N -1 1 314.332 1.184 20 0 DDADMM CC(C)C[C@H](NC(=O)CN1CCC(n2ccnc2)CC1)C(=O)[O-] ZINC000736413833 600317449 /nfs/dbraw/zinc/31/74/49/600317449.db2.gz VLJSLIMIMNBSHA-AWEZNQCLSA-N -1 1 322.409 1.136 20 0 DDADMM CN(Cc1noc(CCCC(=O)[O-])n1)[C@H]1CC[N@H+](C2CC2)C1 ZINC000737500252 600337083 /nfs/dbraw/zinc/33/70/83/600337083.db2.gz IRPYQDLGCFSWKZ-LBPRGKRZSA-N -1 1 308.382 1.145 20 0 DDADMM C[C@@H](CN(C)CC(=O)Nc1nc2c(s1)CCCC2)C(=O)[O-] ZINC000736572776 600368892 /nfs/dbraw/zinc/36/88/92/600368892.db2.gz RBRRQLQCXKQQPL-VIFPVBQESA-N -1 1 311.407 1.613 20 0 DDADMM C[C@H](CN(C)CCOc1cccc(S(C)(=O)=O)c1)C(=O)[O-] ZINC000736576967 600432402 /nfs/dbraw/zinc/43/24/02/600432402.db2.gz URELETPDFRWBEC-LLVKDONJSA-N -1 1 315.391 1.121 20 0 DDADMM COC(=O)c1coc(CN(CCC(=O)[O-])C[C@@H]2CCCO2)c1 ZINC000737713813 600512391 /nfs/dbraw/zinc/51/23/91/600512391.db2.gz WSOWSDRQWOYYKS-LBPRGKRZSA-N -1 1 311.334 1.522 20 0 DDADMM CC[C@@](C)(NCC(=O)NCCOc1cccc(F)c1)C(=O)[O-] ZINC000736861579 600559928 /nfs/dbraw/zinc/55/99/28/600559928.db2.gz OIDRTTWFCBUQQW-OAHLLOKOSA-N -1 1 312.341 1.164 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)NC1CCC(C)CC1 ZINC000737794960 600641030 /nfs/dbraw/zinc/64/10/30/600641030.db2.gz UPCKTAYMUOSZOL-UHFFFAOYSA-N -1 1 300.399 1.104 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)NC1CCCCCC1 ZINC000737793690 600641075 /nfs/dbraw/zinc/64/10/75/600641075.db2.gz BPDZOTBXRIKYSK-UHFFFAOYSA-N -1 1 300.399 1.249 20 0 DDADMM O=C([O-])[C@H]1CCCN(CN2C(=O)OC[C@@H]2Cc2ccccc2)C1 ZINC000738538575 600715195 /nfs/dbraw/zinc/71/51/95/600715195.db2.gz UVISJWVEZSHZAE-GJZGRUSLSA-N -1 1 318.373 1.804 20 0 DDADMM CCCN(CC(=O)Nc1ccccc1C)C[C@](C)(O)C(=O)[O-] ZINC000737010919 600761541 /nfs/dbraw/zinc/76/15/41/600761541.db2.gz PMNNLGOXIBMUGX-INIZCTEOSA-N -1 1 308.378 1.481 20 0 DDADMM C[C@H]1C[C@@H](NS(=O)(=O)c2csc(C(=O)[O-])c2)CCN1C ZINC000157598967 600766208 /nfs/dbraw/zinc/76/62/08/600766208.db2.gz UHMJQRMZTIDAFC-IUCAKERBSA-N -1 1 318.420 1.207 20 0 DDADMM CC(C)c1nnc(CN(CCC(=O)[O-])C2CCOCC2)n1C ZINC000827168407 600859126 /nfs/dbraw/zinc/85/91/26/600859126.db2.gz DPSJYVPTAFRQHF-UHFFFAOYSA-N -1 1 310.398 1.394 20 0 DDADMM Cc1nnc(SCC(=O)Nc2cccc(C(=O)[O-])c2C)[nH]1 ZINC000832990687 600882247 /nfs/dbraw/zinc/88/22/47/600882247.db2.gz JYCAPCRHNYVIAW-UHFFFAOYSA-N -1 1 306.347 1.851 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2cccc(C(=O)[O-])c2C)n1 ZINC000832990687 600882249 /nfs/dbraw/zinc/88/22/49/600882249.db2.gz JYCAPCRHNYVIAW-UHFFFAOYSA-N -1 1 306.347 1.851 20 0 DDADMM CCN1CCN(CC(=O)Nc2ccc(CCC(=O)[O-])cc2)CC1 ZINC000829775669 600932997 /nfs/dbraw/zinc/93/29/97/600932997.db2.gz ABLBATJZUVNMBB-UHFFFAOYSA-N -1 1 319.405 1.280 20 0 DDADMM C[C@@](NCC(=O)NC12CC3CC(CC(C3)C1)C2)(C(=O)[O-])C1CC1 ZINC000827729008 601036576 /nfs/dbraw/zinc/03/65/76/601036576.db2.gz WXTBBZVECAAVDW-OKDIFOJXSA-N -1 1 320.433 1.914 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCC2CCOCC2)CC1 ZINC000827363014 601102452 /nfs/dbraw/zinc/10/24/52/601102452.db2.gz YAQWFMGORRQFDG-CYBMUJFWSA-N -1 1 312.410 1.201 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2cccs2)CC1 ZINC000827354686 601107262 /nfs/dbraw/zinc/10/72/62/601107262.db2.gz GFUBVIWROLNNCF-ITKZLYELSA-N -1 1 308.403 1.769 20 0 DDADMM Cc1csc(NC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)n1 ZINC000832989829 601123787 /nfs/dbraw/zinc/12/37/87/601123787.db2.gz PWFOUOJWKIEFJS-SNVBAGLBSA-N -1 1 312.395 1.464 20 0 DDADMM COc1ccc(OC)c(CN(C/C=C(\C)C(=O)[O-])CCO)c1 ZINC000832435703 601167158 /nfs/dbraw/zinc/16/71/58/601167158.db2.gz LFRUKVHRZJOZEA-WUXMJOGZSA-N -1 1 309.362 1.529 20 0 DDADMM Cc1cc(CNS(=O)(=O)c2ccc(C=CC(=O)[O-])cc2)n[nH]1 ZINC000832885729 601248160 /nfs/dbraw/zinc/24/81/60/601248160.db2.gz QRJQXFKVNPXBBI-QPJJXVBHSA-N -1 1 321.358 1.294 20 0 DDADMM Cn1cc(NC(=O)c2cc(F)cc3[nH]cnc32)cc1C(=O)[O-] ZINC000833048656 601314434 /nfs/dbraw/zinc/31/44/34/601314434.db2.gz ARSAORIISKNQMW-UHFFFAOYSA-N -1 1 302.265 1.991 20 0 DDADMM Cn1cc(NC(=O)c2cc(=O)c3ccccc3[nH]2)cc1C(=O)[O-] ZINC000833048733 601323136 /nfs/dbraw/zinc/32/31/36/601323136.db2.gz DXWGCZNMPPZDPC-UHFFFAOYSA-N -1 1 311.297 1.817 20 0 DDADMM CC(C)(CO)N1CCN([C@@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000826616450 601353893 /nfs/dbraw/zinc/35/38/93/601353893.db2.gz GYUXIIGKWNLQSS-CQSZACIVSA-N -1 1 310.369 1.340 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN(C)C[C@@H]1CCOC1 ZINC000832915830 601386099 /nfs/dbraw/zinc/38/60/99/601386099.db2.gz LEHPMKIQXMNJAU-LBPRGKRZSA-N -1 1 306.362 1.600 20 0 DDADMM C[C@@H](O)C1CCN(Cn2nc(C(=O)[O-])c3ccccc32)CC1 ZINC000827790520 601399821 /nfs/dbraw/zinc/39/98/21/601399821.db2.gz QLTSSFGTAYHUMQ-LLVKDONJSA-N -1 1 303.362 1.785 20 0 DDADMM COc1ccc([C@@H](CC(=O)[O-])NC(=O)[C@H](C)N(C)C)cc1OC ZINC000832235232 601409096 /nfs/dbraw/zinc/40/90/96/601409096.db2.gz CKZSGJCAVCDNQF-CMPLNLGQSA-N -1 1 324.377 1.286 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C[C@@H](O)COc2ccc(Cl)cc2)C1 ZINC000833174250 601444268 /nfs/dbraw/zinc/44/42/68/601444268.db2.gz CSIJIKPBEXDABK-BXUZGUMPSA-N -1 1 317.744 1.578 20 0 DDADMM O=C([O-])c1cccc(NC(=O)CN2CCCC[C@@H]2CCO)c1 ZINC000833104755 601546652 /nfs/dbraw/zinc/54/66/52/601546652.db2.gz DXPLNXZIZXPGSY-CQSZACIVSA-N -1 1 306.362 1.560 20 0 DDADMM COc1ccc(NC(=O)CCN2CCO[C@H](CC(=O)[O-])C2)cc1 ZINC000832393637 601573251 /nfs/dbraw/zinc/57/32/51/601573251.db2.gz DKBZVURUKYOEGR-CQSZACIVSA-N -1 1 322.361 1.199 20 0 DDADMM O=C([O-])C[C@@H](NS(=O)(=O)c1c(F)cc(F)cc1F)C1CC1 ZINC000137198685 601613440 /nfs/dbraw/zinc/61/34/40/601613440.db2.gz KYJRLFWACRGVEO-SNVBAGLBSA-N -1 1 323.292 1.636 20 0 DDADMM O=C([O-])CCC1CCN(CN2C[C@]3(CCOC3)CC2=O)CC1 ZINC000833225876 601632257 /nfs/dbraw/zinc/63/22/57/601632257.db2.gz RFNNCARLJVTDEA-INIZCTEOSA-N -1 1 310.394 1.160 20 0 DDADMM CCOC(=O)[C@@H](C)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000825486671 607521025 /nfs/dbraw/zinc/52/10/25/607521025.db2.gz VODJGSATBOKSMC-YFKPBYRVSA-N -1 1 316.774 1.588 20 0 DDADMM CCOC(=O)[C@@H](C)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000825486671 607521027 /nfs/dbraw/zinc/52/10/27/607521027.db2.gz VODJGSATBOKSMC-YFKPBYRVSA-N -1 1 316.774 1.588 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C(=O)c2cn[nH]c2-c2ccccc2F)C1 ZINC000833354094 601687874 /nfs/dbraw/zinc/68/78/74/601687874.db2.gz ICWPZBPSLVNEIL-HNNXBMFYSA-N -1 1 321.283 1.855 20 0 DDADMM C[C@H](C(=O)N1CC[C@](F)(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000825982319 601714812 /nfs/dbraw/zinc/71/48/12/601714812.db2.gz AMEQDVUIEJLCEH-MLGOLLRUSA-N -1 1 308.353 1.532 20 0 DDADMM C[C@H]1CN(Cn2c(=O)oc3cc(Cl)ccc32)C[C@H]1C(=O)[O-] ZINC000828465483 601813273 /nfs/dbraw/zinc/81/32/73/601813273.db2.gz YBENMTWKDOMCOY-WCBMZHEXSA-N -1 1 310.737 1.858 20 0 DDADMM Cc1sc(NC(=O)CN[C@H](C(=O)[O-])C(C)C)c(C#N)c1C ZINC000035391786 601823223 /nfs/dbraw/zinc/82/32/23/601823223.db2.gz ROFXKXWJEOHDCE-LBPRGKRZSA-N -1 1 309.391 1.874 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN([C@H]2CC(=O)N(c3ccccc3)C2=O)C1 ZINC000316693865 601849951 /nfs/dbraw/zinc/84/99/51/601849951.db2.gz OTHNZGZXOACBEG-BLLLJJGKSA-N -1 1 302.330 1.115 20 0 DDADMM Cc1nn(C)c2ncc(CN3CCSC[C@@H]3CC(=O)[O-])cc12 ZINC000833016206 601888954 /nfs/dbraw/zinc/88/89/54/601888954.db2.gz SXXBHBYLIMITAP-LBPRGKRZSA-N -1 1 320.418 1.669 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCC(C)(O)CC1 ZINC000826004538 601897093 /nfs/dbraw/zinc/89/70/93/601897093.db2.gz AYRPMUXHJPNNIX-GFCCVEGCSA-N -1 1 320.389 1.236 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000316282020 601910116 /nfs/dbraw/zinc/91/01/16/601910116.db2.gz SMNFQXLCOKUZBN-OAHLLOKOSA-N -1 1 321.764 1.947 20 0 DDADMM C[C@@H](C(=O)N1CCCCCC1)N1CCSC[C@H]1CC(=O)[O-] ZINC000251014856 601918005 /nfs/dbraw/zinc/91/80/05/601918005.db2.gz AESSHIIBVLGQRJ-QWHCGFSZSA-N -1 1 314.451 1.670 20 0 DDADMM C[C@@H](C(=O)NC1CCCC1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091130841 601921129 /nfs/dbraw/zinc/92/11/29/601921129.db2.gz MGTILTPGRDLZTH-JQWIXIFHSA-N -1 1 300.424 1.326 20 0 DDADMM O=C([O-])NC[C@H]1CCN(C[C@@H](O)c2ccc(F)cc2F)C1 ZINC000740642510 601939998 /nfs/dbraw/zinc/93/99/98/601939998.db2.gz KAIRPIYSNCGVPC-NOZJJQNGSA-N -1 1 300.305 1.588 20 0 DDADMM O=C([O-])CCOc1ccc(NC(=O)NCCc2nc[nH]n2)cc1 ZINC000833239868 601948125 /nfs/dbraw/zinc/94/81/25/601948125.db2.gz WYKQFIBXXSUGDO-UHFFFAOYSA-N -1 1 319.321 1.022 20 0 DDADMM O=C([O-])NC[C@@H]1CCN([C@H](C(=O)NC2CC2)c2ccccc2)C1 ZINC000740640929 601955890 /nfs/dbraw/zinc/95/58/90/601955890.db2.gz GCAIZHDCMWZCGN-WFASDCNBSA-N -1 1 317.389 1.596 20 0 DDADMM C[C@@H](C(=O)N(C)c1ccccc1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738601928 602043149 /nfs/dbraw/zinc/04/31/49/602043149.db2.gz LYBBTUNIQLTCKG-STQMWFEESA-N -1 1 305.378 1.627 20 0 DDADMM C[C@H](C(=O)NCCc1ccccc1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738628098 602043356 /nfs/dbraw/zinc/04/33/56/602043356.db2.gz IZAJVPVXGDVWEB-UKRRQHHQSA-N -1 1 319.405 1.323 20 0 DDADMM CC(C)[C@H](C(=O)Nc1ccc2[nH]nc(C(=O)[O-])c2c1)N(C)C ZINC000736349109 602111264 /nfs/dbraw/zinc/11/12/64/602111264.db2.gz FZHPVUKDEGJLIF-CYBMUJFWSA-N -1 1 304.350 1.786 20 0 DDADMM CCC[C@@](C)(NC(=O)[O-])C(=O)N1CCN(C2CCCC2)CC1 ZINC000739282966 602119045 /nfs/dbraw/zinc/11/90/45/602119045.db2.gz FKPQZGHGWVRQTM-MRXNPFEDSA-N -1 1 311.426 1.900 20 0 DDADMM CCN(C)[C@H](C(=O)N1CCN(C(=O)[O-])CC1)c1ccccc1 ZINC000737067599 602159813 /nfs/dbraw/zinc/15/98/13/602159813.db2.gz DNADDWWXRAZVOG-AWEZNQCLSA-N -1 1 305.378 1.502 20 0 DDADMM O=C([O-])N1CCC[C@@H](CNC(=O)N2CCN(CC3CC3)CC2)C1 ZINC000740514258 602167870 /nfs/dbraw/zinc/16/78/70/602167870.db2.gz DVSSANJFNLLONS-AWEZNQCLSA-N -1 1 324.425 1.114 20 0 DDADMM CCO[C@H](C)c1noc(CNC2(CC(=O)[O-])CCOCC2)n1 ZINC000829913057 602256412 /nfs/dbraw/zinc/25/64/12/602256412.db2.gz STKCMBLPENWXIT-SNVBAGLBSA-N -1 1 313.354 1.281 20 0 DDADMM CS(=O)(=O)CCCN1CCCC[C@@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000739982976 602315267 /nfs/dbraw/zinc/31/52/67/602315267.db2.gz MPQRPINOTAOOIU-OLZOCXBDSA-N -1 1 318.439 1.418 20 0 DDADMM CC(C)c1cccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)n1 ZINC000827129183 602391179 /nfs/dbraw/zinc/39/11/79/602391179.db2.gz IADBJCLHDNSDMH-CYBMUJFWSA-N -1 1 319.405 1.826 20 0 DDADMM CC1CCN(CC(=O)N(CCC(=O)[O-])Cc2ccncc2)CC1 ZINC000828286513 602392239 /nfs/dbraw/zinc/39/22/39/602392239.db2.gz VOLICIKMFCOOPR-UHFFFAOYSA-N -1 1 319.405 1.617 20 0 DDADMM CN(C)[C@@H](CNC(=O)NCCN(C)C(=O)[O-])c1ccsc1 ZINC000739692856 602438431 /nfs/dbraw/zinc/43/84/31/602438431.db2.gz BGBOXGAKHPQALL-NSHDSACASA-N -1 1 314.411 1.260 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000739013386 602446840 /nfs/dbraw/zinc/44/68/40/602446840.db2.gz ABDNNKMRHHKCBG-BXUZGUMPSA-N -1 1 305.378 1.581 20 0 DDADMM O=C([O-])NCC1(NC(=O)c2n[nH]c3ccccc32)CCCC1 ZINC000740612864 602519762 /nfs/dbraw/zinc/51/97/62/602519762.db2.gz OGYCUUGGRTWFJM-UHFFFAOYSA-N -1 1 302.334 1.873 20 0 DDADMM O=C([O-])N1CCCC[C@H]1CNC(=O)N1CCN2CCCC[C@H]2C1 ZINC000740416430 602624794 /nfs/dbraw/zinc/62/47/94/602624794.db2.gz UPVWFBHLTLRBJD-KBPBESRZSA-N -1 1 324.425 1.399 20 0 DDADMM CN(Cc1cccc(NS(=O)(=O)c2cccnc2)c1)C(=O)[O-] ZINC000739711873 602655341 /nfs/dbraw/zinc/65/53/41/602655341.db2.gz HBSFLCALQMDTDZ-UHFFFAOYSA-N -1 1 321.358 1.992 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CC(=O)Nc1cnn(-c2ccncc2)c1 ZINC000831346321 602824668 /nfs/dbraw/zinc/82/46/68/602824668.db2.gz TXFSRIVHWNTMHR-CYBMUJFWSA-N -1 1 315.333 1.738 20 0 DDADMM C[NH+]1CCC(CCNC(=O)c2ccc(NC(=O)[O-])cc2[O-])CC1 ZINC000739726705 602856791 /nfs/dbraw/zinc/85/67/91/602856791.db2.gz VYBJUUXFOYCJBH-UHFFFAOYSA-N -1 1 321.377 1.944 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)C[C@@H]1CCCN1C(=O)[O-] ZINC000826087393 602957443 /nfs/dbraw/zinc/95/74/43/602957443.db2.gz MBPFSUPEOSWDSC-HZSPNIEDSA-N -1 1 309.410 1.604 20 0 DDADMM CNC(=O)c1cccc(CN2CCC[C@@H](N(C)C(=O)[O-])C2)c1 ZINC000739745222 603036259 /nfs/dbraw/zinc/03/62/59/603036259.db2.gz CUBOCLTYPHTAGU-CQSZACIVSA-N -1 1 305.378 1.620 20 0 DDADMM Cc1cc(C(=O)N2CCN(C(=O)[O-])CC23CCCCC3)n[nH]1 ZINC000740056676 603063070 /nfs/dbraw/zinc/06/30/70/603063070.db2.gz YNFHWQRSROBQSG-UHFFFAOYSA-N -1 1 306.366 1.857 20 0 DDADMM C[C@@H](CC(=O)Nc1ccc(N2CCN(C)CC2)cc1)NC(=O)[O-] ZINC000824684726 603240101 /nfs/dbraw/zinc/24/01/01/603240101.db2.gz BICMXOZDVPKCBT-LBPRGKRZSA-N -1 1 320.393 1.423 20 0 DDADMM C[C@@H](C(=O)N1CCc2ccccc21)N(C)CCCNC(=O)[O-] ZINC000738620697 603253464 /nfs/dbraw/zinc/25/34/64/603253464.db2.gz WSVKEDDFAHNFID-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM CCN(CC(=O)NC1CCN(Cc2ccncc2)CC1)C(=O)[O-] ZINC000739392883 603424538 /nfs/dbraw/zinc/42/45/38/603424538.db2.gz MCGLJMJEZVHNFU-UHFFFAOYSA-N -1 1 320.393 1.162 20 0 DDADMM CC(C)N(C(=O)CN1CCC[C@H]1CNC(=O)[O-])C1CCCC1 ZINC000824323723 603571368 /nfs/dbraw/zinc/57/13/68/603571368.db2.gz KGVXPSBPSRCMTN-AWEZNQCLSA-N -1 1 311.426 1.898 20 0 DDADMM Cc1nnc(NC(=O)C[C@@H](Cc2ccccc2)NC(=O)[O-])[nH]1 ZINC000830769216 603660441 /nfs/dbraw/zinc/66/04/41/603660441.db2.gz GADHPIOHIXBKEV-LLVKDONJSA-N -1 1 303.322 1.321 20 0 DDADMM Cc1n[nH]c(NC(=O)C[C@@H](Cc2ccccc2)NC(=O)[O-])n1 ZINC000830769216 603660443 /nfs/dbraw/zinc/66/04/43/603660443.db2.gz GADHPIOHIXBKEV-LLVKDONJSA-N -1 1 303.322 1.321 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCOC[C@H]2Cc2ccccc2)C1 ZINC000831879198 603720329 /nfs/dbraw/zinc/72/03/29/603720329.db2.gz VAWVBLLMACLGDJ-JKSUJKDBSA-N -1 1 304.390 1.930 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCN(C[C@H]2CN(c3ccccc3)C(=O)O2)C1 ZINC000827883421 603819183 /nfs/dbraw/zinc/81/91/83/603819183.db2.gz XZZYVBTYMLWWKR-KGLIPLIRSA-N -1 1 319.361 1.696 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)CC1C[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000828426438 603904657 /nfs/dbraw/zinc/90/46/57/603904657.db2.gz FQGKJLMIVGDHQN-FGWVZKOKSA-N -1 1 307.354 1.074 20 0 DDADMM C[C@@H](CN1CCC([C@H](C)NC(=O)[O-])CC1)CS(C)(=O)=O ZINC000824902955 603904695 /nfs/dbraw/zinc/90/46/95/603904695.db2.gz RYUOHJDPLMOBIM-QWRGUYRKSA-N -1 1 306.428 1.035 20 0 DDADMM O=C([O-])NC[C@H]1CCCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000832522478 603947077 /nfs/dbraw/zinc/94/70/77/603947077.db2.gz WILZUMUIWSVUAT-GHMZBOCLSA-N -1 1 306.366 1.478 20 0 DDADMM CC[C@]1(C)CCN(CN2C[C@@H]3CN(C(=O)[O-])CCN3C2=O)C1 ZINC000826644280 604020170 /nfs/dbraw/zinc/02/01/70/604020170.db2.gz HLOAVTFVBUPHLT-SWLSCSKDSA-N -1 1 310.398 1.166 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1CCN1C(=O)c2ccccc2C1=O ZINC000832572805 604071815 /nfs/dbraw/zinc/07/18/15/604071815.db2.gz HNPQIDBCBYFFOG-NSHDSACASA-N -1 1 317.345 1.015 20 0 DDADMM O=C([O-])NC1(CC(=O)Nc2cnn(-c3ccncc3)c2)CCC1 ZINC000832216491 604143477 /nfs/dbraw/zinc/14/34/77/604143477.db2.gz WLBVHXPZRUMDHH-UHFFFAOYSA-N -1 1 315.333 1.786 20 0 DDADMM CC1(C)CN(C(=O)[O-])CCN1C[C@H](O)COCc1ccccc1 ZINC000825728985 604171257 /nfs/dbraw/zinc/17/12/57/604171257.db2.gz JNRNITSOUTYALT-HNNXBMFYSA-N -1 1 322.405 1.638 20 0 DDADMM CC(C)N1CCN(C(=O)N[C@H]2CCC[C@@H]2CNC(=O)[O-])CC1 ZINC000824362666 604183419 /nfs/dbraw/zinc/18/34/19/604183419.db2.gz FTPQLPFPDGCZIC-OLZOCXBDSA-N -1 1 312.414 1.158 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)N2CCCCCC2)C1 ZINC000825254059 604187230 /nfs/dbraw/zinc/18/72/30/604187230.db2.gz LDFRPZOSMFUEGR-KGLIPLIRSA-N -1 1 311.426 1.757 20 0 DDADMM Cc1cc(CC(=O)Nc2cccc3c2CCN(C(=O)[O-])C3)n[nH]1 ZINC000830031148 604217446 /nfs/dbraw/zinc/21/74/46/604217446.db2.gz SGCVOKJOFNLXLZ-UHFFFAOYSA-N -1 1 314.345 1.935 20 0 DDADMM CC(C)CN1CCO[C@H](COC(=O)[C@@H](C)CN(C)C(=O)[O-])C1 ZINC000824264205 604257257 /nfs/dbraw/zinc/25/72/57/604257257.db2.gz WFZNQBLGENSGSY-STQMWFEESA-N -1 1 316.398 1.132 20 0 DDADMM C[C@H](CC(=O)N[C@@H](C)c1nnc[nH]1)[C@H]1CCCN(C(=O)[O-])C1 ZINC000825100437 604386594 /nfs/dbraw/zinc/38/65/94/604386594.db2.gz FAUATYXZFZHJPW-VWYCJHECSA-N -1 1 309.370 1.398 20 0 DDADMM CCc1[nH]c(C(=O)N2[C@@H](C)CN(C)C[C@@H]2C)c(C)c1C(=O)[O-] ZINC000833666679 604581545 /nfs/dbraw/zinc/58/15/45/604581545.db2.gz CWZOREVRBSYUKT-UWVGGRQHSA-N -1 1 307.394 1.748 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)N1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000826742633 604645937 /nfs/dbraw/zinc/64/59/37/604645937.db2.gz DCKCJNWEYQBJNE-MNOVXSKESA-N -1 1 309.370 1.255 20 0 DDADMM CCOCCCn1cc(-c2nn[n-]n2)c(=O)c2nc(C)ccc21 ZINC000825593731 607717219 /nfs/dbraw/zinc/71/72/19/607717219.db2.gz BKPRPVIRQAXKJG-UHFFFAOYSA-N -1 1 314.349 1.312 20 0 DDADMM C[N@@H+](CC(=O)[N-]OCc1ccccc1)C1(C(=O)[O-])CCCC1 ZINC000833692861 604911206 /nfs/dbraw/zinc/91/12/06/604911206.db2.gz ZDLIQEFMDNPAIS-UHFFFAOYSA-N -1 1 306.362 1.564 20 0 DDADMM C[C@H](O)[C@@H]1CCCN(CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC000833528151 604925605 /nfs/dbraw/zinc/92/56/05/604925605.db2.gz NHAUXVMUXNTONK-QWHCGFSZSA-N -1 1 320.389 1.440 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)NC2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000833511955 605021906 /nfs/dbraw/zinc/02/19/06/605021906.db2.gz GFYNBFWFUUBWIJ-SECBINFHSA-N -1 1 324.385 1.431 20 0 DDADMM CCc1nc([C@H](C)NC(=O)CCc2ccccc2C(=O)[O-])n[nH]1 ZINC000833674106 605023918 /nfs/dbraw/zinc/02/39/18/605023918.db2.gz PYIWJKHGLQSSRP-JTQLQIEISA-N -1 1 316.361 1.875 20 0 DDADMM C[C@@H](NCC(C)(C)NC(=O)[O-])c1cn(-c2ccccc2)nn1 ZINC000825484572 605104774 /nfs/dbraw/zinc/10/47/74/605104774.db2.gz JFPRTDSDHBCLOU-LLVKDONJSA-N -1 1 303.366 1.964 20 0 DDADMM CCN1C[C@H](C)N(C(=O)Nc2cc(C)[nH]c2C(=O)[O-])C[C@H]1C ZINC000833658012 605160124 /nfs/dbraw/zinc/16/01/24/605160124.db2.gz PPCFUDBNJAFYBV-MNOVXSKESA-N -1 1 308.382 1.968 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])[NH2+]Cc1cc(=O)oc2cc([O-])ccc12 ZINC000833721385 605241026 /nfs/dbraw/zinc/24/10/26/605241026.db2.gz BBBQKLJWHNLNHJ-MRXNPFEDSA-N -1 1 321.329 1.468 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000833451932 605263004 /nfs/dbraw/zinc/26/30/04/605263004.db2.gz RNENOKAOSAPKMY-ZDUSSCGKSA-N -1 1 312.410 1.058 20 0 DDADMM Cc1nc(COC(=O)CC[C@@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830761236 605263101 /nfs/dbraw/zinc/26/31/01/605263101.db2.gz PIMCEMPWCMRFKV-GFCCVEGCSA-N -1 1 318.333 1.945 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C\c2cccnc2)CCN1CCC(=O)[O-] ZINC000833620980 605276756 /nfs/dbraw/zinc/27/67/56/605276756.db2.gz YXUSGTFCXUTTEI-DSYXLKISSA-N -1 1 303.362 1.102 20 0 DDADMM O=C(C[C@H]1CSCCN1C(=O)[O-])N[C@@H]1CCCc2cn[nH]c21 ZINC000831407973 605333027 /nfs/dbraw/zinc/33/30/27/605333027.db2.gz KDLKGIYKUJLXOM-WDEREUQCSA-N -1 1 324.406 1.389 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)[C@H]2CCC[C@H](NC(=O)[O-])C2)n[nH]1 ZINC000830031169 605436099 /nfs/dbraw/zinc/43/60/99/605436099.db2.gz SWXMWXCSSGWZKZ-DLOVCJGASA-N -1 1 308.382 1.592 20 0 DDADMM C[C@H](O)[C@@H]1CCN(CC(=O)NCc2ccc(NC(=O)[O-])cc2)C1 ZINC000833531274 605699563 /nfs/dbraw/zinc/69/95/63/605699563.db2.gz ZGEOKEKDEVBVMW-WCQYABFASA-N -1 1 321.377 1.095 20 0 DDADMM O=C([O-])N1CCCN1C(=O)c1cc(-c2ccc(F)cc2)[nH]n1 ZINC000834112105 605790298 /nfs/dbraw/zinc/79/02/98/605790298.db2.gz IVOLTZWABHGUFL-UHFFFAOYSA-N -1 1 304.281 1.957 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N1CC[C@@H](N(C)C(=O)[O-])C1)C2 ZINC000833915287 605856573 /nfs/dbraw/zinc/85/65/73/605856573.db2.gz FZCGSLODIGTASR-WDEREUQCSA-N -1 1 306.366 1.034 20 0 DDADMM O=C([O-])N(CC(=O)NCc1nc2ccc(Cl)cc2[nH]1)C1CC1 ZINC000833997048 605984333 /nfs/dbraw/zinc/98/43/33/605984333.db2.gz AAIJVAPESTWVMM-UHFFFAOYSA-N -1 1 322.752 1.975 20 0 DDADMM CN(Cc1ncc[nH]1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000821618276 606057851 /nfs/dbraw/zinc/05/78/51/606057851.db2.gz YOFNFTVIVJTCFZ-UHFFFAOYSA-N -1 1 307.321 1.169 20 0 DDADMM CN(Cc1ncc[nH]1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000821618276 606057856 /nfs/dbraw/zinc/05/78/56/606057856.db2.gz YOFNFTVIVJTCFZ-UHFFFAOYSA-N -1 1 307.321 1.169 20 0 DDADMM COc1ncc(C)cc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821910838 606105234 /nfs/dbraw/zinc/10/52/34/606105234.db2.gz HZJLQTIOJFPOOS-UHFFFAOYSA-N -1 1 311.305 1.226 20 0 DDADMM COc1ncc(C)cc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821910838 606105236 /nfs/dbraw/zinc/10/52/36/606105236.db2.gz HZJLQTIOJFPOOS-UHFFFAOYSA-N -1 1 311.305 1.226 20 0 DDADMM CCOC(=O)[C@H](C)CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821313474 606428512 /nfs/dbraw/zinc/42/85/12/606428512.db2.gz FXLYWNIABPMJTB-SSDOTTSWSA-N -1 1 310.745 1.526 20 0 DDADMM CCOC(=O)[C@H](C)CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821313474 606428513 /nfs/dbraw/zinc/42/85/13/606428513.db2.gz FXLYWNIABPMJTB-SSDOTTSWSA-N -1 1 310.745 1.526 20 0 DDADMM CC(C)n1nnnc1Sc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820220083 606443993 /nfs/dbraw/zinc/44/39/93/606443993.db2.gz MYVVNDJXPMXWOG-UHFFFAOYSA-N -1 1 323.773 1.634 20 0 DDADMM CC(C)n1nnnc1Sc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820220083 606443995 /nfs/dbraw/zinc/44/39/95/606443995.db2.gz MYVVNDJXPMXWOG-UHFFFAOYSA-N -1 1 323.773 1.634 20 0 DDADMM CC(C)N=c1ccccn1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820132430 606499436 /nfs/dbraw/zinc/49/94/36/606499436.db2.gz KFRSWJWITOMAOK-UHFFFAOYSA-N -1 1 314.374 1.727 20 0 DDADMM CC(C)N=c1ccccn1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820132430 606499437 /nfs/dbraw/zinc/49/94/37/606499437.db2.gz KFRSWJWITOMAOK-UHFFFAOYSA-N -1 1 314.374 1.727 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)OC ZINC000821462283 606538273 /nfs/dbraw/zinc/53/82/73/606538273.db2.gz YENMCQCQZBWOCC-XVKPBYJWSA-N -1 1 323.378 1.246 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)OC ZINC000821462283 606538275 /nfs/dbraw/zinc/53/82/75/606538275.db2.gz YENMCQCQZBWOCC-XVKPBYJWSA-N -1 1 323.378 1.246 20 0 DDADMM COc1cccc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000821868464 606541136 /nfs/dbraw/zinc/54/11/36/606541136.db2.gz RAZXBMUHVCGDPH-UHFFFAOYSA-N -1 1 316.346 1.262 20 0 DDADMM COc1cccc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000821868464 606541138 /nfs/dbraw/zinc/54/11/38/606541138.db2.gz RAZXBMUHVCGDPH-UHFFFAOYSA-N -1 1 316.346 1.262 20 0 DDADMM O=C(NCCc1nccs1)c1ccc(-c2nnn[n-]2)s1 ZINC000823229176 606541558 /nfs/dbraw/zinc/54/15/58/606541558.db2.gz FWRAHYSCRDWQBO-UHFFFAOYSA-N -1 1 306.376 1.357 20 0 DDADMM O=C(NCCc1nccs1)c1ccc(-c2nn[n-]n2)s1 ZINC000823229176 606541560 /nfs/dbraw/zinc/54/15/60/606541560.db2.gz FWRAHYSCRDWQBO-UHFFFAOYSA-N -1 1 306.376 1.357 20 0 DDADMM CC(C)c1cc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)on1 ZINC000820187602 606563315 /nfs/dbraw/zinc/56/33/15/606563315.db2.gz JHHVNZYSWOFMCP-UHFFFAOYSA-N -1 1 318.362 1.970 20 0 DDADMM CC(C)c1cc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)on1 ZINC000820187602 606563316 /nfs/dbraw/zinc/56/33/16/606563316.db2.gz JHHVNZYSWOFMCP-UHFFFAOYSA-N -1 1 318.362 1.970 20 0 DDADMM COc1ncc(C)cc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821911487 606581373 /nfs/dbraw/zinc/58/13/73/606581373.db2.gz USBVFWRXFGYRPO-UHFFFAOYSA-N -1 1 316.346 1.893 20 0 DDADMM COc1ncc(C)cc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821911487 606581374 /nfs/dbraw/zinc/58/13/74/606581374.db2.gz USBVFWRXFGYRPO-UHFFFAOYSA-N -1 1 316.346 1.893 20 0 DDADMM CO[C@H](C)c1nc(CNc2nccnc2-c2nnn[n-]2)cs1 ZINC000821676011 606594196 /nfs/dbraw/zinc/59/41/96/606594196.db2.gz YWXMUWPNZIIKKJ-SSDOTTSWSA-N -1 1 318.366 1.433 20 0 DDADMM CO[C@H](C)c1nc(CNc2nccnc2-c2nn[n-]n2)cs1 ZINC000821676011 606594197 /nfs/dbraw/zinc/59/41/97/606594197.db2.gz YWXMUWPNZIIKKJ-SSDOTTSWSA-N -1 1 318.366 1.433 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccc2c(c1)CCO2 ZINC000823436423 606652313 /nfs/dbraw/zinc/65/23/13/606652313.db2.gz VDBFPVFOVKBGIB-UHFFFAOYSA-N -1 1 323.312 1.554 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc2c(c1)CCO2 ZINC000823436423 606652314 /nfs/dbraw/zinc/65/23/14/606652314.db2.gz VDBFPVFOVKBGIB-UHFFFAOYSA-N -1 1 323.312 1.554 20 0 DDADMM C[C@H](CC[S@](C)=O)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820249632 606722676 /nfs/dbraw/zinc/72/26/76/606722676.db2.gz XWGOZUJOGFQKNF-GLEHDBDLSA-N -1 1 314.802 1.484 20 0 DDADMM C[C@H](CC[S@](C)=O)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820249632 606722677 /nfs/dbraw/zinc/72/26/77/606722677.db2.gz XWGOZUJOGFQKNF-GLEHDBDLSA-N -1 1 314.802 1.484 20 0 DDADMM C[C@H](CNc1ccccc1)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820280313 606816433 /nfs/dbraw/zinc/81/64/33/606816433.db2.gz DHPDTYOAFOZSQN-LLVKDONJSA-N -1 1 323.360 1.492 20 0 DDADMM C[C@H](CNc1ccccc1)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820280313 606816434 /nfs/dbraw/zinc/81/64/34/606816434.db2.gz DHPDTYOAFOZSQN-LLVKDONJSA-N -1 1 323.360 1.492 20 0 DDADMM CC[C@@H]1CCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820979126 606835534 /nfs/dbraw/zinc/83/55/34/606835534.db2.gz FOIRTXFDFWWPHQ-PSASIEDQSA-N -1 1 304.358 1.300 20 0 DDADMM CC[C@@H]1CCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820979126 606835536 /nfs/dbraw/zinc/83/55/36/606835536.db2.gz FOIRTXFDFWWPHQ-PSASIEDQSA-N -1 1 304.358 1.300 20 0 DDADMM C[C@H](C[C@H](O)c1ccco1)Nc1nccnc1-c1nnn[n-]1 ZINC000820231120 607045646 /nfs/dbraw/zinc/04/56/46/607045646.db2.gz ASDNYVNPTMXYDT-BDAKNGLRSA-N -1 1 301.310 1.174 20 0 DDADMM C[C@H](C[C@H](O)c1ccco1)Nc1nccnc1-c1nn[n-]n1 ZINC000820231120 607045647 /nfs/dbraw/zinc/04/56/47/607045647.db2.gz ASDNYVNPTMXYDT-BDAKNGLRSA-N -1 1 301.310 1.174 20 0 DDADMM CN(CC(=O)Nc1ncc(-c2nnn[n-]2)s1)c1ccccc1 ZINC000821594134 607104760 /nfs/dbraw/zinc/10/47/60/607104760.db2.gz ZXNWJHXLMKFKJK-UHFFFAOYSA-N -1 1 315.362 1.398 20 0 DDADMM CN(CC(=O)Nc1ncc(-c2nn[n-]n2)s1)c1ccccc1 ZINC000821594134 607104761 /nfs/dbraw/zinc/10/47/61/607104761.db2.gz ZXNWJHXLMKFKJK-UHFFFAOYSA-N -1 1 315.362 1.398 20 0 DDADMM CC1(O)CCN(c2c3ccccc3nnc2-c2nnn[n-]2)CC1 ZINC000820629522 607117007 /nfs/dbraw/zinc/11/70/07/607117007.db2.gz XRMUIQSQQXBIPY-UHFFFAOYSA-N -1 1 311.349 1.161 20 0 DDADMM CC1(O)CCN(c2c3ccccc3nnc2-c2nn[n-]n2)CC1 ZINC000820629522 607117009 /nfs/dbraw/zinc/11/70/09/607117009.db2.gz XRMUIQSQQXBIPY-UHFFFAOYSA-N -1 1 311.349 1.161 20 0 DDADMM CCOC(=O)CCN(CC1CC1)c1cccc(-c2nnn[n-]2)n1 ZINC000825512063 607902137 /nfs/dbraw/zinc/90/21/37/607902137.db2.gz RMECHQGGLKSUDK-UHFFFAOYSA-N -1 1 316.365 1.431 20 0 DDADMM CCOC(=O)CCN(CC1CC1)c1cccc(-c2nn[n-]n2)n1 ZINC000825512063 607902138 /nfs/dbraw/zinc/90/21/38/607902138.db2.gz RMECHQGGLKSUDK-UHFFFAOYSA-N -1 1 316.365 1.431 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)[C@@H]1CCCO1 ZINC000825134998 607912798 /nfs/dbraw/zinc/91/27/98/607912798.db2.gz YEOLKOWSUCUQAW-BDAKNGLRSA-N -1 1 307.379 1.616 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)[C@@H]1CCCO1 ZINC000825134998 607912799 /nfs/dbraw/zinc/91/27/99/607912799.db2.gz YEOLKOWSUCUQAW-BDAKNGLRSA-N -1 1 307.379 1.616 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@@H]1CC[C@H]2CCCC[C@@H]2C1 ZINC000826394878 607928746 /nfs/dbraw/zinc/92/87/46/607928746.db2.gz COPLBAXXEKVELV-GMTAPVOTSA-N -1 1 315.381 1.892 20 0 DDADMM COCCOC[C@H](C)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000826160992 608185455 /nfs/dbraw/zinc/18/54/55/608185455.db2.gz PBUHOEADBRFJNI-LURJTMIESA-N -1 1 318.790 1.440 20 0 DDADMM COCCOC[C@H](C)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000826160992 608185457 /nfs/dbraw/zinc/18/54/57/608185457.db2.gz PBUHOEADBRFJNI-LURJTMIESA-N -1 1 318.790 1.440 20 0 DDADMM CC1(CNc2snc(Cl)c2-c2nnn[n-]2)OCCO1 ZINC000824831551 608193887 /nfs/dbraw/zinc/19/38/87/608193887.db2.gz PJRQNAFOSAVGRV-UHFFFAOYSA-N -1 1 302.747 1.152 20 0 DDADMM CC1(CNc2snc(Cl)c2-c2nn[n-]n2)OCCO1 ZINC000824831551 608193893 /nfs/dbraw/zinc/19/38/93/608193893.db2.gz PJRQNAFOSAVGRV-UHFFFAOYSA-N -1 1 302.747 1.152 20 0 DDADMM FC(F)CN1CCCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000826369576 608302544 /nfs/dbraw/zinc/30/25/44/608302544.db2.gz INUQWLLWBPBXOU-UHFFFAOYSA-N -1 1 309.324 1.039 20 0 DDADMM FC(F)CN1CCCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000826369576 608302546 /nfs/dbraw/zinc/30/25/46/608302546.db2.gz INUQWLLWBPBXOU-UHFFFAOYSA-N -1 1 309.324 1.039 20 0 DDADMM COc1ccc([C@@H](C)N(C)c2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826188225 608395228 /nfs/dbraw/zinc/39/52/28/608395228.db2.gz QRXYWXSXRIYZOC-SNVBAGLBSA-N -1 1 311.349 1.863 20 0 DDADMM COc1ccc([C@@H](C)N(C)c2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826188225 608395230 /nfs/dbraw/zinc/39/52/30/608395230.db2.gz QRXYWXSXRIYZOC-SNVBAGLBSA-N -1 1 311.349 1.863 20 0 DDADMM Cc1cnn([C@@H]2CCCN(c3ccc(-c4nnn[n-]4)nn3)C2)c1 ZINC000826319653 608415329 /nfs/dbraw/zinc/41/53/29/608415329.db2.gz MBERETZDURGWIT-LLVKDONJSA-N -1 1 311.353 1.003 20 0 DDADMM Cc1cnn([C@@H]2CCCN(c3ccc(-c4nn[n-]n4)nn3)C2)c1 ZINC000826319653 608415330 /nfs/dbraw/zinc/41/53/30/608415330.db2.gz MBERETZDURGWIT-LLVKDONJSA-N -1 1 311.353 1.003 20 0 DDADMM Cc1noc(C)c1C[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826339135 608421450 /nfs/dbraw/zinc/42/14/50/608421450.db2.gz SKUBJNSJRDQNQI-ZETCQYMHSA-N -1 1 300.326 1.305 20 0 DDADMM Cc1noc(C)c1C[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826339135 608421451 /nfs/dbraw/zinc/42/14/51/608421451.db2.gz SKUBJNSJRDQNQI-ZETCQYMHSA-N -1 1 300.326 1.305 20 0 DDADMM Cc1ncc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c(C)n1 ZINC000826329439 609261891 /nfs/dbraw/zinc/26/18/91/609261891.db2.gz DEQLLHYKFUMAEL-UHFFFAOYSA-N -1 1 311.305 1.231 20 0 DDADMM COc1cc(O)cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000826181040 609262595 /nfs/dbraw/zinc/26/25/95/609262595.db2.gz IWEQBDCDKZVLLU-UHFFFAOYSA-N -1 1 312.289 1.228 20 0 DDADMM COc1cc(O)cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000826181040 609262596 /nfs/dbraw/zinc/26/25/96/609262596.db2.gz IWEQBDCDKZVLLU-UHFFFAOYSA-N -1 1 312.289 1.228 20 0 DDADMM O=S(=O)([N-]c1ncccc1F)c1cccc(-c2nn[nH]n2)c1 ZINC000826493611 609342170 /nfs/dbraw/zinc/34/21/70/609342170.db2.gz SWYKWHLGEDGXPI-UHFFFAOYSA-N -1 1 320.309 1.202 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@@H](C)[C@@H](C)C2)c1-c1nnn[n-]1 ZINC000826343990 609468633 /nfs/dbraw/zinc/46/86/33/609468633.db2.gz UFQUDRYVGXBXPN-BDAKNGLRSA-N -1 1 304.354 1.210 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@@H](C)[C@@H](C)C2)c1-c1nn[n-]n1 ZINC000826343990 609468635 /nfs/dbraw/zinc/46/86/35/609468635.db2.gz UFQUDRYVGXBXPN-BDAKNGLRSA-N -1 1 304.354 1.210 20 0 DDADMM O=C1CCCN1c1ccc(OS(=O)(=O)c2c[n-]cn2)cc1 ZINC000121569312 696712366 /nfs/dbraw/zinc/71/23/66/696712366.db2.gz ZRFGVGRAQONLKZ-UHFFFAOYSA-N -1 1 307.331 1.304 20 0 DDADMM C[C@H]1OCC[C@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000121566924 696712585 /nfs/dbraw/zinc/71/25/85/696712585.db2.gz APDSJRXGSOHHQZ-RNFRBKRXSA-N -1 1 301.140 1.913 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(F)(F)F)o1 ZINC000121586929 696712841 /nfs/dbraw/zinc/71/28/41/696712841.db2.gz VDDYTWMHKOWIDX-YFKPBYRVSA-N -1 1 301.242 1.295 20 0 DDADMM O=C([N-]S(=O)(=O)CCC(F)(F)F)c1cccc2cn[nH]c21 ZINC000797267344 700002070 /nfs/dbraw/zinc/00/20/70/700002070.db2.gz BSBKHCSZYZLZHV-UHFFFAOYSA-N -1 1 321.280 1.575 20 0 DDADMM CC(C)C[C@H](C(=O)[O-])N(C)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000797723464 700020766 /nfs/dbraw/zinc/02/07/66/700020766.db2.gz ATQDFMLMUBVJKU-NWDGAFQWSA-N -1 1 308.382 1.928 20 0 DDADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)c1ccccc1 ZINC000746874193 700043073 /nfs/dbraw/zinc/04/30/73/700043073.db2.gz WTNBQDSPQJDJOH-QWHCGFSZSA-N -1 1 315.373 1.235 20 0 DDADMM CC(Nc1cccnc1)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000009874375 696037737 /nfs/dbraw/zinc/03/77/37/696037737.db2.gz NJFWIHXFEVLKDF-BENRWUELSA-N -1 1 318.402 1.667 20 0 DDADMM NC(=S)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000798328311 700056599 /nfs/dbraw/zinc/05/65/99/700056599.db2.gz YWGXHBBVFDRXAC-UHFFFAOYSA-N -1 1 316.390 1.033 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)Cn1cncn1 ZINC000747211458 700060070 /nfs/dbraw/zinc/06/00/70/700060070.db2.gz BOGORRVGFLUDKI-SECBINFHSA-N -1 1 304.354 1.024 20 0 DDADMM Cc1cc(C(=O)N[N-]C(=O)c2cc(-c3ccco3)[nH]n2)c(C)o1 ZINC000030511765 696114943 /nfs/dbraw/zinc/11/49/43/696114943.db2.gz FBROEUWKPWLLHP-UHFFFAOYSA-N -1 1 314.301 1.954 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2Cc3ccccc32)c1Cl ZINC000038108739 696136133 /nfs/dbraw/zinc/13/61/33/696136133.db2.gz GJLPDIUYEJMYMQ-JTQLQIEISA-N -1 1 311.794 1.692 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCCCn2ccnc2)c1Cl ZINC000044353338 696164236 /nfs/dbraw/zinc/16/42/36/696164236.db2.gz KGSTZPCFWOFPSQ-UHFFFAOYSA-N -1 1 317.802 1.029 20 0 DDADMM O=S(=O)([N-]N=c1nccc[nH]1)c1cccc(Cl)c1F ZINC000048653838 696215783 /nfs/dbraw/zinc/21/57/83/696215783.db2.gz BFCXEKZXTXAONV-UHFFFAOYSA-N -1 1 302.718 1.575 20 0 DDADMM CCNC(=O)N[N-]C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC000065190407 696343954 /nfs/dbraw/zinc/34/39/54/696343954.db2.gz QNMGNFPWQBWCRJ-UHFFFAOYSA-N -1 1 307.741 1.694 20 0 DDADMM CCn1c(CCNC(=O)c2c[nH]c3ncccc23)n[n-]c1=S ZINC000067076193 696358833 /nfs/dbraw/zinc/35/88/33/696358833.db2.gz CPCGCPNCONFRMG-UHFFFAOYSA-N -1 1 316.390 1.809 20 0 DDADMM O=C(O[C@@H]1CCC(=O)NC1=O)c1ccc(Cl)c(Cl)c1[O-] ZINC000798612837 700080350 /nfs/dbraw/zinc/08/03/50/700080350.db2.gz CJLURRUDCRKHEM-SSDOTTSWSA-N -1 1 318.112 1.661 20 0 DDADMM O=C(NC[C@H]1C[C@@H](NC(=O)C2CC=CC2)C1)c1ncccc1[O-] ZINC000978740382 696450185 /nfs/dbraw/zinc/45/01/85/696450185.db2.gz BZLUKWMHOPQKCD-BJHJDKERSA-N -1 1 315.373 1.378 20 0 DDADMM Cc1ccc(N(CCC#N)C(=O)Cc2sc(N)nc2[O-])cc1 ZINC000079790280 696463528 /nfs/dbraw/zinc/46/35/28/696463528.db2.gz LUJNWGRGJLOABW-LBPRGKRZSA-N -1 1 316.386 1.589 20 0 DDADMM Cc1cc(C)cc(C[C@H](C)NC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080661085 696536422 /nfs/dbraw/zinc/53/64/22/696536422.db2.gz FPMWPLOXNZCBAG-WCQYABFASA-N -1 1 319.430 1.697 20 0 DDADMM O=C([N-]CCCSCCOC[C@@H]1CCCO1)C(F)(F)F ZINC000091618504 696589980 /nfs/dbraw/zinc/58/99/80/696589980.db2.gz DPVWQIUHXHSDMY-JTQLQIEISA-N -1 1 315.357 1.984 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@H](C(=O)[N-]O[C@@H]2CCCCO2)C1 ZINC000101517249 696607363 /nfs/dbraw/zinc/60/73/63/696607363.db2.gz XKTIDVGTZVBFLT-MELADBBJSA-N -1 1 312.410 1.846 20 0 DDADMM CC(C)C(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000979696008 696629259 /nfs/dbraw/zinc/62/92/59/696629259.db2.gz UCTHTFFHGUHIKV-YNEHKIRRSA-N -1 1 317.389 1.410 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cccc3cccnc32)s1 ZINC000120804618 696701417 /nfs/dbraw/zinc/70/14/17/696701417.db2.gz QLVNQHJRUMMTPY-SNVBAGLBSA-N -1 1 300.343 1.520 20 0 DDADMM CSCC[C@@H](C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000134299397 696835897 /nfs/dbraw/zinc/83/58/97/696835897.db2.gz AXJRCZQTABCDCJ-SECBINFHSA-N -1 1 322.434 1.440 20 0 DDADMM CN(C)C(=O)C1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000142385245 696868361 /nfs/dbraw/zinc/86/83/61/696868361.db2.gz NUCOQWSYAIJELD-UHFFFAOYSA-N -1 1 310.781 1.986 20 0 DDADMM CC(C)c1csc(CNC(=O)Cc2sc(N)nc2[O-])n1 ZINC000151371146 696884185 /nfs/dbraw/zinc/88/41/85/696884185.db2.gz GXJASKPDVHJIPH-MRVPVSSYSA-N -1 1 312.420 1.230 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCC2(Cc3ccccc3)CC2)s1 ZINC000154717198 696936864 /nfs/dbraw/zinc/93/68/64/696936864.db2.gz FUSCTAYBGWVJES-LBPRGKRZSA-N -1 1 317.414 1.472 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC2(C)CCCC2)o1 ZINC000158551838 696991653 /nfs/dbraw/zinc/99/16/53/696991653.db2.gz WRYCPYQROUFXOM-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccc[nH]1 ZINC000982672943 697167538 /nfs/dbraw/zinc/16/75/38/697167538.db2.gz ZRVJAXPUJKWLHV-LLVKDONJSA-N -1 1 314.345 1.007 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)c2cc[nH]c2)C1)c1ncccc1[O-] ZINC000982854481 697185725 /nfs/dbraw/zinc/18/57/25/697185725.db2.gz RIVTWRNACQFMJP-LLVKDONJSA-N -1 1 314.345 1.007 20 0 DDADMM CC(C)=C(F)C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985374157 697500555 /nfs/dbraw/zinc/50/05/55/697500555.db2.gz XSJOUQIFCRYEDL-UHFFFAOYSA-N -1 1 311.361 1.197 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]C[C@@H]1CC[C@H](O)C1 ZINC000188337680 697557285 /nfs/dbraw/zinc/55/72/85/697557285.db2.gz SCQCLHPXFVZOGG-BDAKNGLRSA-N -1 1 318.326 1.173 20 0 DDADMM C[C@](O)(CNC(=O)c1ccc(C#N)c([O-])c1)c1cccs1 ZINC000188541129 697560737 /nfs/dbraw/zinc/56/07/37/697560737.db2.gz MWNUHUUKUZIEAQ-HNNXBMFYSA-N -1 1 302.355 1.963 20 0 DDADMM Cc1nnsc1C(=O)Nc1nc(Br)ccc1[O-] ZINC000190574511 697593393 /nfs/dbraw/zinc/59/33/93/697593393.db2.gz TZZFXOSUXHHBRT-UHFFFAOYSA-N -1 1 315.152 1.962 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cccs2)o1 ZINC000193329071 697660181 /nfs/dbraw/zinc/66/01/81/697660181.db2.gz OSUFDAOCIQSROJ-UHFFFAOYSA-N -1 1 301.345 1.606 20 0 DDADMM CC(C)c1cccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000772686081 697660937 /nfs/dbraw/zinc/66/09/37/697660937.db2.gz OXEUOBSPOZSLEU-UHFFFAOYSA-N -1 1 315.373 1.367 20 0 DDADMM C[C@@H]1[C@@H](NCc2cnsn2)CCN1C(=O)c1ncccc1[O-] ZINC000986169697 697716532 /nfs/dbraw/zinc/71/65/32/697716532.db2.gz KOJNHESSLZAVNY-KOLCDFICSA-N -1 1 319.390 1.032 20 0 DDADMM O=C(c1n[nH]c2ccccc21)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773163153 697722158 /nfs/dbraw/zinc/72/21/58/697722158.db2.gz TUPIYZNCFMWXPX-JTQLQIEISA-N -1 1 315.362 1.006 20 0 DDADMM O=C([N-][C@@H]1CCCc2c1cnn2CCO)C(F)(F)C(F)F ZINC000230188015 697781954 /nfs/dbraw/zinc/78/19/54/697781954.db2.gz QVXWFOCWXHGSQA-MRVPVSSYSA-N -1 1 309.263 1.269 20 0 DDADMM CS(=O)(=O)CC1(COC(=O)c2ccc([O-])cc2F)CCC1 ZINC000773569066 697782253 /nfs/dbraw/zinc/78/22/53/697782253.db2.gz UFGIDHDGVKPJDT-UHFFFAOYSA-N -1 1 316.350 1.903 20 0 DDADMM CC(C)(C)OCc1ccccc1C(=O)NN1CC(=O)[N-]C1=O ZINC000774257456 697860033 /nfs/dbraw/zinc/86/00/33/697860033.db2.gz XHBIFJJPGQUDDI-UHFFFAOYSA-N -1 1 305.334 1.198 20 0 DDADMM O=C([N-]CCCOC(=O)[C@H]1CCc2n[nH]cc2C1)C(F)(F)F ZINC000774985703 697950479 /nfs/dbraw/zinc/95/04/79/697950479.db2.gz OECYQAAWRPMEBP-QMMMGPOBSA-N -1 1 319.283 1.126 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CC[C@@H](C)CC1 ZINC000987375090 698103028 /nfs/dbraw/zinc/10/30/28/698103028.db2.gz GEZDXTCGXKLREQ-ZDEQEGDKSA-N -1 1 321.425 1.416 20 0 DDADMM COc1cccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1F ZINC000776562464 698117104 /nfs/dbraw/zinc/11/71/04/698117104.db2.gz DPBPCJQPELYELZ-SECBINFHSA-N -1 1 323.353 1.278 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CCC=CCC1 ZINC000987543467 698145336 /nfs/dbraw/zinc/14/53/36/698145336.db2.gz LSQVBIVJMHWWEF-DGCLKSJQSA-N -1 1 319.409 1.336 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1CC[C@@H](C)C1 ZINC000777357104 698182259 /nfs/dbraw/zinc/18/22/59/698182259.db2.gz QQZTUMQVZDLYLZ-KOLCDFICSA-N -1 1 304.350 1.248 20 0 DDADMM CS[C@H](C)CCC(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000777360432 698182488 /nfs/dbraw/zinc/18/24/88/698182488.db2.gz FKFJMHXZMHWWDT-SECBINFHSA-N -1 1 324.406 1.343 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2cnn(CC3CC3)c2)n1 ZINC000777924273 698231773 /nfs/dbraw/zinc/23/17/73/698231773.db2.gz WMKNRWFCUONSBD-QMMMGPOBSA-N -1 1 311.367 1.024 20 0 DDADMM C[C@@H]1C[C@@H](C)[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)O1 ZINC000800305661 700214735 /nfs/dbraw/zinc/21/47/35/700214735.db2.gz KBKCTSGACNFCBH-JTNHKYCSSA-N -1 1 305.330 1.300 20 0 DDADMM O=C(COC(=O)c1ccc(Br)c([O-])c1)N1CCC1 ZINC000778826636 698372652 /nfs/dbraw/zinc/37/26/52/698372652.db2.gz DMRMQBMWQJWBEV-UHFFFAOYSA-N -1 1 314.135 1.544 20 0 DDADMM Cc1nc(CN[C@@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)co1 ZINC000988692852 698439615 /nfs/dbraw/zinc/43/96/15/698439615.db2.gz XIFQMUHSKONWJI-CMPLNLGQSA-N -1 1 316.361 1.476 20 0 DDADMM CC[C@H](NC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1)C(=O)[O-] ZINC000780560874 698531805 /nfs/dbraw/zinc/53/18/05/698531805.db2.gz SDAQNGRIYMLYEP-JTQLQIEISA-N -1 1 321.312 1.273 20 0 DDADMM CC[C@H](NC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1)C(=O)[O-] ZINC000780560874 698531806 /nfs/dbraw/zinc/53/18/06/698531806.db2.gz SDAQNGRIYMLYEP-JTQLQIEISA-N -1 1 321.312 1.273 20 0 DDADMM Cc1ccc2nc(CNC(=O)N[C@H](C(=O)[O-])C(C)C)[nH]c2c1 ZINC000780619597 698539200 /nfs/dbraw/zinc/53/92/00/698539200.db2.gz LBIMTRIJRKSXGE-ZDUSSCGKSA-N -1 1 304.350 1.780 20 0 DDADMM N#Cc1ccc(N2CCN(C(=O)c3ncccc3[O-])CC2)cn1 ZINC000283382952 698550958 /nfs/dbraw/zinc/55/09/58/698550958.db2.gz AABVWKNGOHXABF-UHFFFAOYSA-N -1 1 309.329 1.016 20 0 DDADMM CCc1cnccc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000781263687 698602721 /nfs/dbraw/zinc/60/27/21/698602721.db2.gz CXOPFECUMZZXKP-UHFFFAOYSA-N -1 1 312.325 1.757 20 0 DDADMM Cc1ccccc1[C@@H](C)NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000314555877 698690718 /nfs/dbraw/zinc/69/07/18/698690718.db2.gz ZTXYCXHXMYKFCH-KGLIPLIRSA-N -1 1 304.390 1.969 20 0 DDADMM Cc1ccccc1[C@@H](C)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000314555877 698690719 /nfs/dbraw/zinc/69/07/19/698690719.db2.gz ZTXYCXHXMYKFCH-KGLIPLIRSA-N -1 1 304.390 1.969 20 0 DDADMM C[C@H]1CN(C)C(=O)CN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000782594027 698745241 /nfs/dbraw/zinc/74/52/41/698745241.db2.gz QKUNAGBDSULZMZ-JTQLQIEISA-N -1 1 302.330 1.161 20 0 DDADMM C[C@@H](O)C[C@@H](C)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000782995191 698795839 /nfs/dbraw/zinc/79/58/39/698795839.db2.gz ACCPFVGNFALUKQ-RNFRBKRXSA-N -1 1 313.206 1.826 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC=CCC1 ZINC000990210714 698996577 /nfs/dbraw/zinc/99/65/77/698996577.db2.gz RMCCMFFYDZPOPT-LLVKDONJSA-N -1 1 301.346 1.084 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2(C)CC2)c(Br)n1C ZINC000384030943 699070693 /nfs/dbraw/zinc/07/06/93/699070693.db2.gz LMBLVADHMIDPRM-UHFFFAOYSA-N -1 1 322.228 1.569 20 0 DDADMM CO[C@H]([C@H](C)[N-]S(=O)(=O)c1ncn(C)c1Cl)C1CC1 ZINC000388217650 699084228 /nfs/dbraw/zinc/08/42/28/699084228.db2.gz HBLMWYIPJLXTKN-IONNQARKSA-N -1 1 307.803 1.165 20 0 DDADMM O=C(COC(=O)c1cccc2n[nH]cc21)[N-]C(=O)c1ccccc1 ZINC000785590468 699085880 /nfs/dbraw/zinc/08/58/80/699085880.db2.gz BTTNKSJSGUFSIF-UHFFFAOYSA-N -1 1 323.308 1.676 20 0 DDADMM O=C(NC1CN(C(=O)c2ccsc2)C1)c1ncccc1[O-] ZINC000990969088 699195661 /nfs/dbraw/zinc/19/56/61/699195661.db2.gz JWLHEVNJWZEQMH-UHFFFAOYSA-N -1 1 303.343 1.103 20 0 DDADMM CO[C@](C)(CO)CNC(=O)c1ccc(Br)c([O-])c1 ZINC000702473130 699230115 /nfs/dbraw/zinc/23/01/15/699230115.db2.gz OJTFWZMBCALPBF-LBPRGKRZSA-N -1 1 318.167 1.282 20 0 DDADMM C[C@H]1CSC[C@@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703133450 699235221 /nfs/dbraw/zinc/23/52/21/699235221.db2.gz YEQDGAJDKZUOAV-BQBZGAKWSA-N -1 1 310.803 1.904 20 0 DDADMM O=C(OCc1nc(-c2nc[nH]n2)no1)c1ccc([O-])cc1F ZINC000787768966 699240117 /nfs/dbraw/zinc/24/01/17/699240117.db2.gz QJWSLCZZZHKHRT-UHFFFAOYSA-N -1 1 305.225 1.056 20 0 DDADMM Cc1ccc(CN2CC[C@@](O)(C(=O)[O-])C2)cc1Br ZINC000706470812 699243500 /nfs/dbraw/zinc/24/35/00/699243500.db2.gz CODCVZHJPAKDCF-ZDUSSCGKSA-N -1 1 314.179 1.779 20 0 DDADMM C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1cc(Cl)ccc1[O-] ZINC000712275849 699260894 /nfs/dbraw/zinc/26/08/94/699260894.db2.gz DPVUSNXYIRYQGQ-XCBNKYQSSA-N -1 1 303.767 1.209 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])CN(Cc2cn(C)nc2Br)C1 ZINC000712429046 699262492 /nfs/dbraw/zinc/26/24/92/699262492.db2.gz DLSHUTWUGURPFY-DTWKUNHWSA-N -1 1 316.199 1.725 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000788119014 699280590 /nfs/dbraw/zinc/28/05/90/699280590.db2.gz BQSZUSHPGARKDF-RYUDHWBXSA-N -1 1 322.336 1.263 20 0 DDADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1Cl ZINC000726866279 699386024 /nfs/dbraw/zinc/38/60/24/699386024.db2.gz OSZKNOIIEGNOCK-SNVBAGLBSA-N -1 1 321.764 1.458 20 0 DDADMM Cc1ccc(C(C)C)cc1O[C@@H](C)C(=O)NN1CC(=O)[N-]C1=O ZINC000726979159 699391522 /nfs/dbraw/zinc/39/15/22/699391522.db2.gz CJBCQNXIUNQYEE-NSHDSACASA-N -1 1 319.361 1.469 20 0 DDADMM CC(=O)NCC1CC(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000991826345 699411194 /nfs/dbraw/zinc/41/11/94/699411194.db2.gz PMFJUOYOKRKZLI-UHFFFAOYSA-N -1 1 320.393 1.259 20 0 DDADMM CC1CCC(N(C(=O)CCn2cc[n-]c(=O)c2=O)C2CC2)CC1 ZINC000729262211 699475283 /nfs/dbraw/zinc/47/52/83/699475283.db2.gz MRIQISGVYFEGDV-UHFFFAOYSA-N -1 1 319.405 1.496 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@H]3C(C)(C)O)ccnc1-2 ZINC000791088204 699611056 /nfs/dbraw/zinc/61/10/56/699611056.db2.gz GUYKGRFFYAWYBB-LBPRGKRZSA-N -1 1 303.366 1.109 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccccc1C=O)c1nn[n-]n1 ZINC000736430535 699725460 /nfs/dbraw/zinc/72/54/60/699725460.db2.gz UQEUNDWAHBKUHF-LLVKDONJSA-N -1 1 303.322 1.049 20 0 DDADMM Cc1ccnc(S(=O)(=O)[N-]C(=O)c2ccc3cncn3c2)c1 ZINC000793150606 699735323 /nfs/dbraw/zinc/73/53/23/699735323.db2.gz YPLYOUPWEYQXLE-UHFFFAOYSA-N -1 1 316.342 1.156 20 0 DDADMM Cc1ccccc1SCC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737431412 699739875 /nfs/dbraw/zinc/73/98/75/699739875.db2.gz IVUQKPJOEPCXSY-LLVKDONJSA-N -1 1 319.390 1.200 20 0 DDADMM O=C([C@@H]1C[C@@H]1c1ccc(F)cc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737782455 699744911 /nfs/dbraw/zinc/74/49/11/699744911.db2.gz GFVHJBLLNYYUKK-JHJVBQTASA-N -1 1 317.324 1.042 20 0 DDADMM COCCN1CCN([C@@H](C(=O)[O-])c2ccc(F)cc2)C[C@@H]1C ZINC000737814668 699745176 /nfs/dbraw/zinc/74/51/76/699745176.db2.gz XSVBGYAVMZFPGE-SWLSCSKDSA-N -1 1 310.369 1.604 20 0 DDADMM COc1cccc([C@H](CC(=O)[O-])NC(=O)[C@@H]2CCCN2C)c1 ZINC000738115159 699752552 /nfs/dbraw/zinc/75/25/52/699752552.db2.gz OTVGVUSMXARQFI-KBPBESRZSA-N -1 1 306.362 1.421 20 0 DDADMM CC(C)C[C@H](CNC(=O)[O-])NC(=O)Cc1[nH]nc2ccccc21 ZINC000738809175 699766207 /nfs/dbraw/zinc/76/62/07/699766207.db2.gz XVVFQLJBNPJVOH-LLVKDONJSA-N -1 1 318.377 1.904 20 0 DDADMM CSCCO[N-]C(=O)[C@H](C(C)C)N1C[C@@H](C)O[C@@H](C)C1 ZINC000794191540 699796812 /nfs/dbraw/zinc/79/68/12/699796812.db2.gz VXADRGUAVFOZQK-XQQFMLRXSA-N -1 1 304.456 1.531 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](n2cccn2)C1 ZINC000794640471 699820966 /nfs/dbraw/zinc/82/09/66/699820966.db2.gz GMJZEUVWLDEIEL-GFCCVEGCSA-N -1 1 315.377 1.898 20 0 DDADMM Cc1[nH]nc(C(F)F)c1[N-]S(=O)(=O)C[C@@H]1CCCOC1 ZINC000795348506 699860624 /nfs/dbraw/zinc/86/06/24/699860624.db2.gz NAVPANVAUYEZLN-MRVPVSSYSA-N -1 1 309.338 1.824 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ccnc(Cl)c2)n1 ZINC000795466520 699870197 /nfs/dbraw/zinc/87/01/97/699870197.db2.gz DUJSZZUNACYXEY-UHFFFAOYSA-N -1 1 315.738 1.219 20 0 DDADMM Cn1cc([C@@H](O)CNC(=O)c2ccc3ccccc3c2[O-])cn1 ZINC000743647715 699922166 /nfs/dbraw/zinc/92/21/66/699922166.db2.gz SRVWDAXHTHMFKX-HNNXBMFYSA-N -1 1 311.341 1.742 20 0 DDADMM CCCCc1nc(COC(=O)c2ccc(C(=O)OC)[n-]2)no1 ZINC000796343774 699927900 /nfs/dbraw/zinc/92/79/00/699927900.db2.gz FGZXLUKPPNOAKZ-UHFFFAOYSA-N -1 1 307.306 1.884 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)COC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796346491 699928181 /nfs/dbraw/zinc/92/81/81/699928181.db2.gz SRCDRSGFZFWEHW-LLVKDONJSA-N -1 1 322.361 1.749 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2nccnc2N)c1 ZINC000744551200 699953752 /nfs/dbraw/zinc/95/37/52/699953752.db2.gz JQSWNQFWKNKWQP-UHFFFAOYSA-N -1 1 301.302 1.367 20 0 DDADMM CCNC(=O)c1cccc(OC(=O)c2ccc(C(=O)OC)[n-]2)c1 ZINC000796818052 699954242 /nfs/dbraw/zinc/95/42/42/699954242.db2.gz NBUSSHIQCDEZMD-UHFFFAOYSA-N -1 1 316.313 1.770 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCCn2ccccc2=O)[n-]1 ZINC000796841203 699955340 /nfs/dbraw/zinc/95/53/40/699955340.db2.gz FOOCVDVLEABRGG-UHFFFAOYSA-N -1 1 304.302 1.210 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CCN2CCCC2=O)c1 ZINC000744945321 699966465 /nfs/dbraw/zinc/96/64/65/699966465.db2.gz NEBLNNKTCYMJDU-UHFFFAOYSA-N -1 1 319.357 1.693 20 0 DDADMM CC(C)[C@@H](OC(=O)c1nn(-c2ccc(F)cc2)cc1[O-])C(N)=O ZINC000801324761 700296995 /nfs/dbraw/zinc/29/69/95/700296995.db2.gz BYQKGSFNXQMNAS-CYBMUJFWSA-N -1 1 321.308 1.384 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OC[C@@H](C)CO)n2)cc1 ZINC000801364103 700300697 /nfs/dbraw/zinc/30/06/97/700300697.db2.gz ZNSHIDHYSYANML-JTQLQIEISA-N -1 1 306.318 1.372 20 0 DDADMM CCOC(=O)COC(=O)c1nn(-c2ccc(OC)cc2)cc1[O-] ZINC000801363675 700300789 /nfs/dbraw/zinc/30/07/89/700300789.db2.gz SDQJOEUYNNPNCY-UHFFFAOYSA-N -1 1 320.301 1.306 20 0 DDADMM CC[C@@H](OC(=O)c1nn(-c2cccc(Cl)c2)cc1[O-])C(N)=O ZINC000801360914 700301065 /nfs/dbraw/zinc/30/10/65/700301065.db2.gz RMKKRCCEGJQWIM-LLVKDONJSA-N -1 1 323.736 1.652 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2C[C@H]2C2CC2)cc1 ZINC000751736994 700311587 /nfs/dbraw/zinc/31/15/87/700311587.db2.gz CHQHJJUJFNMQCL-KBPBESRZSA-N -1 1 317.341 1.541 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C[C@@H]3OC(=O)c4ccccc43)ccnc1-2 ZINC000801701632 700331884 /nfs/dbraw/zinc/33/18/84/700331884.db2.gz CULSDOSEIBTDNW-AWEZNQCLSA-N -1 1 322.324 1.582 20 0 DDADMM Cc1oc(-c2cnn(C)c2)nc1C(=O)[N-]c1nc(C2CC2)no1 ZINC000752803238 700388823 /nfs/dbraw/zinc/38/88/23/700388823.db2.gz CSVRZBBZPBKZQG-UHFFFAOYSA-N -1 1 314.305 1.896 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC2C[C@@H](C)O[C@H](C)C2)co1 ZINC000756035243 700600091 /nfs/dbraw/zinc/60/00/91/700600091.db2.gz CZPRUEPGBXTNJZ-RKDXNWHRSA-N -1 1 317.363 1.301 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CCCS2)co1 ZINC000756567520 700629525 /nfs/dbraw/zinc/62/95/25/700629525.db2.gz RQUZDIXTAINMKF-VIFPVBQESA-N -1 1 305.377 1.240 20 0 DDADMM C[C@@H](CCCC(F)(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000757646082 700667475 /nfs/dbraw/zinc/66/74/75/700667475.db2.gz LQEUOIJMAOMGHO-VIFPVBQESA-N -1 1 321.299 1.164 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=S)NC[C@@H]2CCCCO2)n1 ZINC000758238627 700693077 /nfs/dbraw/zinc/69/30/77/700693077.db2.gz HTYHFZOVPPUMRU-JTQLQIEISA-N -1 1 311.411 1.154 20 0 DDADMM CC(C)C[C@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000758346524 700700019 /nfs/dbraw/zinc/70/00/19/700700019.db2.gz KICKCMLMYVMJOQ-CYBMUJFWSA-N -1 1 307.394 1.354 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ccc(C)s2)o1 ZINC000761271889 700854001 /nfs/dbraw/zinc/85/40/01/700854001.db2.gz BJOQBKKURLBSBS-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2ccc(Cl)s2)[n-]1 ZINC000761836232 700870636 /nfs/dbraw/zinc/87/06/36/700870636.db2.gz PDTWHAFIJCHPDN-UHFFFAOYSA-N -1 1 307.784 1.640 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2ccc(Cl)s2)n[n-]1 ZINC000761836232 700870638 /nfs/dbraw/zinc/87/06/38/700870638.db2.gz PDTWHAFIJCHPDN-UHFFFAOYSA-N -1 1 307.784 1.640 20 0 DDADMM O=C([N-]S(=O)(=O)C[C@@H]1CCCOC1)c1cccc2cn[nH]c21 ZINC000803004466 701076026 /nfs/dbraw/zinc/07/60/26/701076026.db2.gz GTLNZPYJKVMHOJ-SNVBAGLBSA-N -1 1 323.374 1.049 20 0 DDADMM CS(=O)(=O)CC1(COC(=O)c2cc(-c3ccco3)n[nH]2)CC1 ZINC000767550675 701115287 /nfs/dbraw/zinc/11/52/87/701115287.db2.gz OYUOACFMWJIQRH-UHFFFAOYSA-N -1 1 324.358 1.651 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc([O-])c(F)c1)c1ccccn1 ZINC000768199302 701163239 /nfs/dbraw/zinc/16/32/39/701163239.db2.gz LJTQGSCHRBKKSW-ZDUSSCGKSA-N -1 1 304.277 1.570 20 0 DDADMM O=C(CN1CCN(Cc2ccc([O-])c(Cl)c2)CC1)NC1CC1 ZINC000768202086 701163577 /nfs/dbraw/zinc/16/35/77/701163577.db2.gz WRYNLLWLXOGCPC-UHFFFAOYSA-N -1 1 323.824 1.442 20 0 DDADMM O=C(NCCS(=O)(=O)CC1CC1)c1cc(Cl)ccc1[O-] ZINC000768288579 701167218 /nfs/dbraw/zinc/16/72/18/701167218.db2.gz AEQPVPCIDVBSPG-UHFFFAOYSA-N -1 1 317.794 1.600 20 0 DDADMM COC(COCCNC(=O)c1c(F)ccc([O-])c1F)OC ZINC000809792992 701690252 /nfs/dbraw/zinc/69/02/52/701690252.db2.gz NFIDQNSTXJZJNK-UHFFFAOYSA-N -1 1 305.277 1.036 20 0 DDADMM O=C(COC(=O)[C@@H](O)c1ccccc1)[N-]C(=O)c1ccccc1 ZINC000768640047 701193388 /nfs/dbraw/zinc/19/33/88/701193388.db2.gz OVBZWTCMCIMAOY-HNNXBMFYSA-N -1 1 313.309 1.220 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc(Br)nc1 ZINC000769915483 701260799 /nfs/dbraw/zinc/26/07/99/701260799.db2.gz AKFHVUWEKDTCRW-UHFFFAOYSA-N -1 1 318.152 1.643 20 0 DDADMM O=C([N-][C@H](CO)c1c(F)cccc1F)C(F)(F)C1(O)CCC1 ZINC000771068961 701307998 /nfs/dbraw/zinc/30/79/98/701307998.db2.gz JLXZWZOLYAFSSW-SNVBAGLBSA-N -1 1 321.270 1.665 20 0 DDADMM Cn1ncc(C[N-]C(=O)C(F)(F)c2c(F)cccc2F)n1 ZINC000805211775 701372426 /nfs/dbraw/zinc/37/24/26/701372426.db2.gz ZJKNKWMYWCKUCX-UHFFFAOYSA-N -1 1 302.231 1.502 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCOC1CCC1 ZINC000805443998 701382229 /nfs/dbraw/zinc/38/22/29/701382229.db2.gz PBAXTMOTPHAEQQ-UHFFFAOYSA-N -1 1 319.361 1.707 20 0 DDADMM COc1ccc2c(COC(=O)c3cn[n-]n3)cc(=O)oc2c1 ZINC000805604432 701397759 /nfs/dbraw/zinc/39/77/59/701397759.db2.gz IXYRERRSFYLKSJ-UHFFFAOYSA-N -1 1 301.258 1.277 20 0 DDADMM CCOC(=O)c1ccc(C(=O)COC(=O)c2cn[n-]n2)cc1 ZINC000805606789 701399363 /nfs/dbraw/zinc/39/93/63/701399363.db2.gz NEWXSVNRBRHZSU-UHFFFAOYSA-N -1 1 303.274 1.021 20 0 DDADMM C[C@@H](c1ccc(Cl)cc1)N(C)C(=O)COC(=O)c1cn[n-]n1 ZINC000805606987 701399644 /nfs/dbraw/zinc/39/96/44/701399644.db2.gz PTJHTJAQRIFNOF-VIFPVBQESA-N -1 1 322.752 1.835 20 0 DDADMM CCN(C[C@H]1CCOC1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806482589 701437010 /nfs/dbraw/zinc/43/70/10/701437010.db2.gz ZQDLEJODVYBBJZ-LLVKDONJSA-N -1 1 303.366 1.232 20 0 DDADMM C[C@H]1N(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCOC1(C)C ZINC000806487800 701437468 /nfs/dbraw/zinc/43/74/68/701437468.db2.gz DKROCWPXNDBGLN-SNVBAGLBSA-N -1 1 303.366 1.373 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCc4ccc(O)cc4C3)ccnc1-2 ZINC000806493000 701438046 /nfs/dbraw/zinc/43/80/46/701438046.db2.gz MHWNAQVTPARUFM-UHFFFAOYSA-N -1 1 323.356 1.638 20 0 DDADMM COc1ccc2cc(NC(=O)NN3CC(=O)[N-]C3=O)ccc2c1 ZINC000806615274 701443095 /nfs/dbraw/zinc/44/30/95/701443095.db2.gz JUZSJZASNBJHER-UHFFFAOYSA-N -1 1 314.301 1.437 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCCC2CC2)c1 ZINC000867784025 701747927 /nfs/dbraw/zinc/74/79/27/701747927.db2.gz XERJGKKYEYOFGH-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM CCn1ncc(C(=O)[N-]c2nnc(-c3ccccn3)s2)n1 ZINC000815086627 701796630 /nfs/dbraw/zinc/79/66/30/701796630.db2.gz LVYXUDPRAJEPNU-UHFFFAOYSA-N -1 1 301.335 1.464 20 0 DDADMM O=S(=O)([N-][C@]12CCC[C@H]1OCC2)c1cc(F)ccc1F ZINC000867886559 701811583 /nfs/dbraw/zinc/81/15/83/701811583.db2.gz CNVPYDLVABNNLB-OLZOCXBDSA-N -1 1 303.330 1.955 20 0 DDADMM C[C@H]1CCN(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000867891204 701813176 /nfs/dbraw/zinc/81/31/76/701813176.db2.gz UHRBEXSJCYVAEC-JOYOIKCWSA-N -1 1 307.316 1.591 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000815382861 701855501 /nfs/dbraw/zinc/85/55/01/701855501.db2.gz LFRQZNQSPPKRQQ-UONOGXRCSA-N -1 1 319.357 1.691 20 0 DDADMM CC(C)C[C@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO1 ZINC000831042764 706625984 /nfs/dbraw/zinc/62/59/84/706625984.db2.gz HRZXTGPGUMNWBO-ZJUUUORDSA-N -1 1 321.830 1.935 20 0 DDADMM O=C([N-]CC1CN(C(=O)c2ccc(CF)cc2)C1)C(F)(F)F ZINC000831117336 706638210 /nfs/dbraw/zinc/63/82/10/706638210.db2.gz PMHZAJNXVVEYSK-UHFFFAOYSA-N -1 1 318.270 1.907 20 0 DDADMM CSc1cc(C)ccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000840501428 702133781 /nfs/dbraw/zinc/13/37/81/702133781.db2.gz MFTDIBCUPAFSCC-UHFFFAOYSA-N -1 1 319.386 1.596 20 0 DDADMM COC(=O)[C@H]1C[C@@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000840531039 702144184 /nfs/dbraw/zinc/14/41/84/702144184.db2.gz VIKJJDYKTGBXQO-AOOOYVTPSA-N -1 1 307.350 1.295 20 0 DDADMM COc1ccccc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162616 706647589 /nfs/dbraw/zinc/64/75/89/706647589.db2.gz SJVIJYHTZZHFCJ-UHFFFAOYSA-N -1 1 316.279 1.446 20 0 DDADMM CN1CCN(C)[C@@H](CNC(=O)c2ccc3oc(=S)[n-]c3c2)C1 ZINC000816923385 702221402 /nfs/dbraw/zinc/22/14/02/702221402.db2.gz GQXNXPBYLSPYJS-NSHDSACASA-N -1 1 320.418 1.092 20 0 DDADMM CO[C@H](C(=O)Nc1ccc([O-])c(F)c1F)C(=O)OC(C)(C)C ZINC000868787933 702320603 /nfs/dbraw/zinc/32/06/03/702320603.db2.gz NLFIKIRITCAVNO-LLVKDONJSA-N -1 1 317.288 1.966 20 0 DDADMM CC(C)[C@@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000869214380 702513322 /nfs/dbraw/zinc/51/33/22/702513322.db2.gz WYIXIYMQXCVDRL-LLVKDONJSA-N -1 1 320.393 1.442 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H](CC(C)C)OC)o1 ZINC000842065122 702666120 /nfs/dbraw/zinc/66/61/20/702666120.db2.gz FHHOFCKAJIIWIN-SNVBAGLBSA-N -1 1 319.379 1.406 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCOC[C@H]1CCO ZINC000831352273 706691468 /nfs/dbraw/zinc/69/14/68/706691468.db2.gz VTLHERWMMDQWCN-GFCCVEGCSA-N -1 1 302.330 1.164 20 0 DDADMM C[C@@H]1C(=O)N(C)CN1Cn1[n-]c(-c2ccccn2)nc1=S ZINC000842643220 702748871 /nfs/dbraw/zinc/74/88/71/702748871.db2.gz YIHWDOCLZHPJLQ-SECBINFHSA-N -1 1 304.379 1.080 20 0 DDADMM C[C@H]1CCC[C@@]1(C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843013208 702803300 /nfs/dbraw/zinc/80/33/00/702803300.db2.gz CHBOCMJBFNQXAY-GXTWGEPZSA-N -1 1 316.467 1.743 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)/C=C/C(C)(C)C)CCC1 ZINC000843019075 702804615 /nfs/dbraw/zinc/80/46/15/702804615.db2.gz ZZYFUDCDAMVULB-JXMROGBWSA-N -1 1 302.440 1.519 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1CCCc2occc21 ZINC000866465463 706706016 /nfs/dbraw/zinc/70/60/16/706706016.db2.gz RKWZARYUKILRIH-LLVKDONJSA-N -1 1 318.420 1.753 20 0 DDADMM C[C@H](Cc1ccco1)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000866477515 706709445 /nfs/dbraw/zinc/70/94/45/706709445.db2.gz OOFOOMHVDRMBSG-SSDOTTSWSA-N -1 1 323.296 1.931 20 0 DDADMM C[C@@H](Cc1ccco1)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000866477519 706709598 /nfs/dbraw/zinc/70/95/98/706709598.db2.gz OOFOOMHVDRMBSG-ZETCQYMHSA-N -1 1 323.296 1.931 20 0 DDADMM CCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000844080112 702968989 /nfs/dbraw/zinc/96/89/89/702968989.db2.gz MQFMMBMVPTVKCG-NSHDSACASA-N -1 1 320.345 1.269 20 0 DDADMM CCC[C@@H](CC)S(=O)(=O)NN=c1nc(OC)cc(C)[n-]1 ZINC000844657186 703052055 /nfs/dbraw/zinc/05/20/55/703052055.db2.gz NNGCNAKLNMSUFW-SNVBAGLBSA-N -1 1 302.400 1.619 20 0 DDADMM CCCC(C)(C)C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000845511770 703166178 /nfs/dbraw/zinc/16/61/78/703166178.db2.gz SDFKULRLNYKALW-UHFFFAOYSA-N -1 1 306.366 1.638 20 0 DDADMM O=C(c1nccc2[nH]ccc21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847094295 703376962 /nfs/dbraw/zinc/37/69/62/703376962.db2.gz ZMCPXDHPDVWXEL-VIFPVBQESA-N -1 1 313.317 1.671 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1cccc2cccnc21 ZINC000866647048 706751015 /nfs/dbraw/zinc/75/10/15/706751015.db2.gz AMTQCCWPHIMBCZ-UHFFFAOYSA-N -1 1 313.404 1.297 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@H]1CCSC1 ZINC000848340860 703540891 /nfs/dbraw/zinc/54/08/91/703540891.db2.gz HKMKZMJAKAICMV-KXUCPTDWSA-N -1 1 324.368 1.798 20 0 DDADMM CC[C@H](OC)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341718 703541102 /nfs/dbraw/zinc/54/11/02/703541102.db2.gz SAYURPJIXUOKOF-BBBLOLIVSA-N -1 1 310.316 1.469 20 0 DDADMM CCN1CC[C@H](OC(=O)c2cc(F)cc(Cl)c2[O-])C1=O ZINC000849738034 703673355 /nfs/dbraw/zinc/67/33/55/703673355.db2.gz YZKFMPLCJRIOSI-JTQLQIEISA-N -1 1 301.701 1.962 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1CCC1(C)C ZINC000850940767 703766771 /nfs/dbraw/zinc/76/67/71/703766771.db2.gz RRXDMKJHULJYMQ-LLVKDONJSA-N -1 1 304.350 1.248 20 0 DDADMM COCC[C@@H](C)[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866690175 706766179 /nfs/dbraw/zinc/76/61/79/706766179.db2.gz VFGYCCOWWIFYPO-SSDOTTSWSA-N -1 1 315.317 1.142 20 0 DDADMM CCCc1cc(C(=O)N2CC3(CCC3)[C@](F)(C(=O)[O-])C2)n[nH]1 ZINC000851609913 703815345 /nfs/dbraw/zinc/81/53/45/703815345.db2.gz AGWCYMZXZUJBCF-OAHLLOKOSA-N -1 1 309.341 1.781 20 0 DDADMM CC[C@H](c1ccccc1)[N@@H+](CCS(=O)(=O)CC)CC(=O)[O-] ZINC000851706971 703833499 /nfs/dbraw/zinc/83/34/99/703833499.db2.gz OEALODQOSROJHS-CQSZACIVSA-N -1 1 313.419 1.959 20 0 DDADMM CC[C@H](c1ccccc1)N(CCS(=O)(=O)CC)CC(=O)[O-] ZINC000851706971 703833503 /nfs/dbraw/zinc/83/35/03/703833503.db2.gz OEALODQOSROJHS-CQSZACIVSA-N -1 1 313.419 1.959 20 0 DDADMM COc1cc(F)c(C(=O)OCc2nc(=O)n(C)[n-]2)c(Cl)c1 ZINC000869724066 703836758 /nfs/dbraw/zinc/83/67/58/703836758.db2.gz NRDKFRQCZKAGPP-UHFFFAOYSA-N -1 1 315.688 1.267 20 0 DDADMM O=S(=O)([N-]CCOCCF)c1c(Cl)ccnc1Cl ZINC000851892482 703879364 /nfs/dbraw/zinc/87/93/64/703879364.db2.gz JZTGFMXOERFKRG-UHFFFAOYSA-N -1 1 317.169 1.653 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C[C@@H](O)c2ccccn2)C1 ZINC000879766886 706786258 /nfs/dbraw/zinc/78/62/58/706786258.db2.gz CTLPVHNKIRIDMS-DGCLKSJQSA-N -1 1 317.311 1.258 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCc2ccnn2C)c(F)c1 ZINC000819878238 704179847 /nfs/dbraw/zinc/17/98/47/704179847.db2.gz AVRZMWFQKSACIH-UHFFFAOYSA-N -1 1 315.345 1.528 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@]2(C)CCOC2=O)c(F)c1 ZINC000819882115 704180234 /nfs/dbraw/zinc/18/02/34/704180234.db2.gz QITGQBGDMHGMIF-GFCCVEGCSA-N -1 1 305.302 1.257 20 0 DDADMM O=C(c1cc2occc2[nH]1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000819970837 704194754 /nfs/dbraw/zinc/19/47/54/704194754.db2.gz UQVGPNGARSOVSW-MRVPVSSYSA-N -1 1 302.290 1.869 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2nc(C)no2)c1 ZINC000820053571 704211481 /nfs/dbraw/zinc/21/14/81/704211481.db2.gz YJTVJGZPPVGRAP-AUADJRAKSA-N -1 1 324.358 1.799 20 0 DDADMM CCn1ncn([N-]C(=O)c2cc(C3CC3)oc2C2CC2)c1=O ZINC000820398854 704272015 /nfs/dbraw/zinc/27/20/15/704272015.db2.gz DJMPTADZPJTCNS-UHFFFAOYSA-N -1 1 302.334 1.796 20 0 DDADMM O=S(=O)([N-]CCc1cn[nH]c1)c1c(Cl)ccnc1Cl ZINC000820712202 704322487 /nfs/dbraw/zinc/32/24/87/704322487.db2.gz YZFKSIWGYWEIQT-UHFFFAOYSA-N -1 1 321.189 1.633 20 0 DDADMM Cc1cnccc1C=CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342374 704382440 /nfs/dbraw/zinc/38/24/40/704382440.db2.gz QZKGQLWNGSEHDX-NSCUHMNNSA-N -1 1 314.345 1.898 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2nnc(C)o2)c1 ZINC000821352497 704395596 /nfs/dbraw/zinc/39/55/96/704395596.db2.gz KSXDFPNFVPKAPU-NRFANRHFSA-N -1 1 310.331 1.238 20 0 DDADMM Cn1cnc(C[N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)n1 ZINC000821480857 704415826 /nfs/dbraw/zinc/41/58/26/704415826.db2.gz KVZOYLKZJAQNRX-UHFFFAOYSA-N -1 1 302.231 1.502 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC[C@H](N2CCOC2=O)C1 ZINC000855509817 704489518 /nfs/dbraw/zinc/48/95/18/704489518.db2.gz KXDNVGCOLFZIOQ-JTQLQIEISA-N -1 1 310.737 1.712 20 0 DDADMM CN(C)C(=O)OCCNC(=O)c1ccc2ccccc2c1[O-] ZINC000855652354 704495479 /nfs/dbraw/zinc/49/54/79/704495479.db2.gz LVQLVPACRYBYTH-UHFFFAOYSA-N -1 1 302.330 1.973 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H]2COC[C@H]2C1 ZINC000856146060 704516124 /nfs/dbraw/zinc/51/61/24/704516124.db2.gz BPMBOCDTLWEYCK-WDEREUQCSA-N -1 1 304.375 1.127 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC(N2CCOC2=O)CC1 ZINC000856292841 704521948 /nfs/dbraw/zinc/52/19/48/704521948.db2.gz MQRPTCMPTUBZFC-UHFFFAOYSA-N -1 1 308.309 1.588 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2CCCS2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418057 704528078 /nfs/dbraw/zinc/52/80/78/704528078.db2.gz BHDIUXJLMHAZRP-KXUCPTDWSA-N -1 1 324.368 1.798 20 0 DDADMM C[C@@H]1CCN(C(=O)C2CC=CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418106 704528180 /nfs/dbraw/zinc/52/81/80/704528180.db2.gz CNGKXEZTFVKCCF-KOLCDFICSA-N -1 1 304.312 1.868 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(C(F)F)ccc2F)nc1=O ZINC000856969305 704545412 /nfs/dbraw/zinc/54/54/12/704545412.db2.gz MACFCFWQQOYMMT-UHFFFAOYSA-N -1 1 301.224 1.542 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCn2cncc21 ZINC000857986477 704656269 /nfs/dbraw/zinc/65/62/69/704656269.db2.gz VRTQIUJPDOMVMN-SNVBAGLBSA-N -1 1 315.377 1.859 20 0 DDADMM COC(=O)CCN(C[C@@H]1CCCO1)c1cc(Cl)[n-]c(=O)n1 ZINC000858450212 704712680 /nfs/dbraw/zinc/71/26/80/704712680.db2.gz CPRDAENFOKPGTH-VIFPVBQESA-N -1 1 315.757 1.384 20 0 DDADMM CCOC(COCCNc1cc(Cl)[n-]c(=O)n1)OCC ZINC000858519551 704721670 /nfs/dbraw/zinc/72/16/70/704721670.db2.gz GCMNIEOHPIUWCF-UHFFFAOYSA-N -1 1 305.762 1.663 20 0 DDADMM CN(c1cc(Cl)[n-]c(=O)n1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000858550972 704725994 /nfs/dbraw/zinc/72/59/94/704725994.db2.gz XVCIPPWSJPIXPF-UHFFFAOYSA-N -1 1 314.773 1.891 20 0 DDADMM CN(C)C(=O)O[C@@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858558081 704727044 /nfs/dbraw/zinc/72/70/44/704727044.db2.gz HGOAHYWLWCGDCW-MRVPVSSYSA-N -1 1 300.746 1.503 20 0 DDADMM CC(=O)CCCCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000867355854 706972343 /nfs/dbraw/zinc/97/23/43/706972343.db2.gz IYWXAXJYIUERBG-UHFFFAOYSA-N -1 1 307.803 1.501 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@@H](O)C(C)C ZINC000867406605 706990642 /nfs/dbraw/zinc/99/06/42/706990642.db2.gz WRTTVZKPOMDPBI-KCJUWKMLSA-N -1 1 308.350 1.434 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC=C(Br)C1 ZINC000822689883 705008824 /nfs/dbraw/zinc/00/88/24/705008824.db2.gz ITASAXDCPHIGMT-UHFFFAOYSA-N -1 1 300.160 1.034 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1C[C@@H](O)c2ccccc21 ZINC000867513990 707024641 /nfs/dbraw/zinc/02/46/41/707024641.db2.gz MPGLYEYOIACQCG-CRTZDJKQSA-N -1 1 318.420 1.117 20 0 DDADMM Cc1nnc(CN(C)C(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000823058171 705126473 /nfs/dbraw/zinc/12/64/73/705126473.db2.gz LGEKEDHXYRADGJ-UHFFFAOYSA-N -1 1 317.378 1.166 20 0 DDADMM C[C@H]1COC2(CCC2)CN1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000823061773 705127940 /nfs/dbraw/zinc/12/79/40/705127940.db2.gz FPDDYSSCCVGMMV-NSHDSACASA-N -1 1 315.377 1.517 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(CF)cc2)n[n-]1 ZINC000880666114 707051951 /nfs/dbraw/zinc/05/19/51/707051951.db2.gz KIPTWSBIXUGZIC-VIFPVBQESA-N -1 1 320.324 1.942 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(CF)cc2)[n-]1 ZINC000880666114 707051954 /nfs/dbraw/zinc/05/19/54/707051954.db2.gz KIPTWSBIXUGZIC-VIFPVBQESA-N -1 1 320.324 1.942 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(CF)cc2)n1 ZINC000880666114 707051957 /nfs/dbraw/zinc/05/19/57/707051957.db2.gz KIPTWSBIXUGZIC-VIFPVBQESA-N -1 1 320.324 1.942 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=C(C2CC2)C2CC2)n[n-]1 ZINC000880667347 707052756 /nfs/dbraw/zinc/05/27/56/707052756.db2.gz WCIKUHOESSUZKD-VIFPVBQESA-N -1 1 318.377 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=C(C2CC2)C2CC2)[n-]1 ZINC000880667347 707052757 /nfs/dbraw/zinc/05/27/57/707052757.db2.gz WCIKUHOESSUZKD-VIFPVBQESA-N -1 1 318.377 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C=C(C2CC2)C2CC2)n1 ZINC000880667347 707052758 /nfs/dbraw/zinc/05/27/58/707052758.db2.gz WCIKUHOESSUZKD-VIFPVBQESA-N -1 1 318.377 1.905 20 0 DDADMM CC(C)CS(=O)(=O)CCC(=O)[N-]Oc1ccccc1F ZINC000874912160 705225176 /nfs/dbraw/zinc/22/51/76/705225176.db2.gz GZGOKUMXCYUOOQ-UHFFFAOYSA-N -1 1 303.355 1.697 20 0 DDADMM c1cc(-c2nn[nH]n2)ccc1OCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000823742634 705306979 /nfs/dbraw/zinc/30/69/79/705306979.db2.gz JNBXRYFDDDSARP-OKILXGFUSA-N -1 1 301.350 1.109 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H]1C[C@H](C(=O)[O-])C1)c1cccs1 ZINC000871597792 707134119 /nfs/dbraw/zinc/13/41/19/707134119.db2.gz ZYIMIAPGPAZUFE-GMTAPVOTSA-N -1 1 311.407 1.513 20 0 DDADMM CC(C)=CCCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875527874 705419697 /nfs/dbraw/zinc/41/96/97/705419697.db2.gz PXMPSFMZAHESPX-UHFFFAOYSA-N -1 1 307.316 1.663 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2C[C@H]3C[C@H]3C2)C1 ZINC000875684000 705476339 /nfs/dbraw/zinc/47/63/39/705476339.db2.gz PKOBCTXWMSRBHL-BSTOTGJRSA-N -1 1 319.327 1.637 20 0 DDADMM C[C@@]1(c2ccccc2)CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000824844328 705541142 /nfs/dbraw/zinc/54/11/42/705541142.db2.gz WCNXJVAXTXMDMK-MRXNPFEDSA-N -1 1 307.361 1.825 20 0 DDADMM C[C@@]1(c2ccccc2)CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000824844328 705541147 /nfs/dbraw/zinc/54/11/47/705541147.db2.gz WCNXJVAXTXMDMK-MRXNPFEDSA-N -1 1 307.361 1.825 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3CC4(CC4)C3)c(=O)c2c(OC)c1 ZINC000861853672 705586800 /nfs/dbraw/zinc/58/68/00/705586800.db2.gz GSJFBUGAGRWTCD-UHFFFAOYSA-N -1 1 314.341 1.781 20 0 DDADMM CC[C@H]1CCCC[C@@H]1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825193402 705621222 /nfs/dbraw/zinc/62/12/22/705621222.db2.gz LJHSSIBUTHIYSU-QWRGUYRKSA-N -1 1 318.381 1.791 20 0 DDADMM CC[C@H]1CCCC[C@@H]1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825193402 705621224 /nfs/dbraw/zinc/62/12/24/705621224.db2.gz LJHSSIBUTHIYSU-QWRGUYRKSA-N -1 1 318.381 1.791 20 0 DDADMM CS[C@H]1CC[C@H](NC(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000826226697 705783581 /nfs/dbraw/zinc/78/35/81/705783581.db2.gz DZXBABHFLUBQHW-IUCAKERBSA-N -1 1 322.394 1.106 20 0 DDADMM CS[C@H]1CC[C@H](NC(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000826226697 705783585 /nfs/dbraw/zinc/78/35/85/705783585.db2.gz DZXBABHFLUBQHW-IUCAKERBSA-N -1 1 322.394 1.106 20 0 DDADMM CSCC[C@H](NC(=O)c1c([O-])cccc1Cl)C(N)=O ZINC000862715560 705784037 /nfs/dbraw/zinc/78/40/37/705784037.db2.gz BEWKBMBALCTWSX-QMMMGPOBSA-N -1 1 302.783 1.382 20 0 DDADMM Cc1ccc(C2CCN(c3nccnc3-c3nnn[n-]3)CC2)cn1 ZINC000826286007 705787474 /nfs/dbraw/zinc/78/74/74/705787474.db2.gz PWDGVLGIRLDAII-UHFFFAOYSA-N -1 1 322.376 1.744 20 0 DDADMM Cc1ccc(C2CCN(c3nccnc3-c3nn[n-]n3)CC2)cn1 ZINC000826286007 705787475 /nfs/dbraw/zinc/78/74/75/705787475.db2.gz PWDGVLGIRLDAII-UHFFFAOYSA-N -1 1 322.376 1.744 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(F)cc(F)c2)c1-c1nnn[n-]1 ZINC000826348342 705795334 /nfs/dbraw/zinc/79/53/34/705795334.db2.gz HZYFVCNBZDKTLI-UHFFFAOYSA-N -1 1 320.259 1.623 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(F)cc(F)c2)c1-c1nn[n-]n1 ZINC000826348342 705795336 /nfs/dbraw/zinc/79/53/36/705795336.db2.gz HZYFVCNBZDKTLI-UHFFFAOYSA-N -1 1 320.259 1.623 20 0 DDADMM CC(C)Cc1noc(CN(CCC(=O)[O-])C2CCOCC2)n1 ZINC000826913487 705889878 /nfs/dbraw/zinc/88/98/78/705889878.db2.gz XCXNMSYCHPVDCG-UHFFFAOYSA-N -1 1 311.382 1.724 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@@H](OC)C(C)C ZINC000827320703 705975041 /nfs/dbraw/zinc/97/50/41/705975041.db2.gz NLQBVXKDRHWVFV-QWHCGFSZSA-N -1 1 321.439 1.309 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)OC(C)(C)C ZINC000863720639 705995033 /nfs/dbraw/zinc/99/50/33/705995033.db2.gz IXYITKNXFUUITD-MNOVXSKESA-N -1 1 321.377 1.915 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2n[n-]c3ccccc3c2=O)CCN1C ZINC000827499894 706014984 /nfs/dbraw/zinc/01/49/84/706014984.db2.gz PKWBYLWZERBMOZ-CQSZACIVSA-N -1 1 314.389 1.748 20 0 DDADMM Cc1noc(C(C)C)c1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000864158837 706084018 /nfs/dbraw/zinc/08/40/18/706084018.db2.gz HXUCYEJHTXOGLS-UHFFFAOYSA-N -1 1 323.393 1.727 20 0 DDADMM CC1(C)CC[C@@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)OC1 ZINC000881511880 707282457 /nfs/dbraw/zinc/28/24/57/707282457.db2.gz MCZDTMUPMGVVDD-NSHDSACASA-N -1 1 320.418 1.953 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000828011824 706103496 /nfs/dbraw/zinc/10/34/96/706103496.db2.gz WUEVFYJIXLEZJV-ZETCQYMHSA-N -1 1 323.802 1.319 20 0 DDADMM O=C(NC1CCC(CO)CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000828158114 706129682 /nfs/dbraw/zinc/12/96/82/706129682.db2.gz FSUNIXAUGJWAHV-UHFFFAOYSA-N -1 1 306.391 1.299 20 0 DDADMM C[C@@H]1CN(c2nc(CC[N-]C(=O)C(F)(F)F)cs2)CCO1 ZINC000864369488 706148578 /nfs/dbraw/zinc/14/85/78/706148578.db2.gz UMRBDSXSSZAIKA-MRVPVSSYSA-N -1 1 323.340 1.589 20 0 DDADMM COC[C@@H](NCc1cc(Br)cnc1OC)C(=O)[O-] ZINC000864513771 706185583 /nfs/dbraw/zinc/18/55/83/706185583.db2.gz ILUAAGRKXHNZNU-SECBINFHSA-N -1 1 319.155 1.042 20 0 DDADMM CCn1cc(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)cn1 ZINC000877776135 706206595 /nfs/dbraw/zinc/20/65/95/706206595.db2.gz YWCAGBVTSZMGOI-GFCCVEGCSA-N -1 1 304.316 1.546 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](Cc1cccnc1)C1CCCC1 ZINC000828604908 706206964 /nfs/dbraw/zinc/20/69/64/706206964.db2.gz DRNYFKCFUSYJCC-AWEZNQCLSA-N -1 1 314.393 1.445 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1(C)C ZINC000908971008 712905678 /nfs/dbraw/zinc/90/56/78/712905678.db2.gz PVKKGSDRBZCXRL-GFCCVEGCSA-N -1 1 305.378 1.947 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C12CCC2 ZINC000830687377 706561803 /nfs/dbraw/zinc/56/18/03/706561803.db2.gz CHRBHHPURYTDJP-NXEZZACHSA-N -1 1 319.814 1.689 20 0 DDADMM CN(C)c1cccc([C@@H]2CCCN2C(=O)CCc2nn[n-]n2)c1 ZINC000865938416 706570307 /nfs/dbraw/zinc/57/03/07/706570307.db2.gz GVVAZWLMCYWJSZ-AWEZNQCLSA-N -1 1 314.393 1.562 20 0 DDADMM CCC(C)(C)OCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830795640 706581460 /nfs/dbraw/zinc/58/14/60/706581460.db2.gz MRCGIIVFFQEEGA-CYBMUJFWSA-N -1 1 324.343 1.861 20 0 DDADMM CSCCCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830821624 706586670 /nfs/dbraw/zinc/58/66/70/706586670.db2.gz LBMTXRPPYNBHES-LLVKDONJSA-N -1 1 312.357 1.799 20 0 DDADMM CCOCCCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830832469 706588724 /nfs/dbraw/zinc/58/87/24/706588724.db2.gz FOHAQWVXKAZJTB-GFCCVEGCSA-N -1 1 310.316 1.473 20 0 DDADMM CCS[C@@H]1CCC[C@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866915772 706843846 /nfs/dbraw/zinc/84/38/46/706843846.db2.gz LDLWBOGFFGMEKR-RKDXNWHRSA-N -1 1 300.471 1.223 20 0 DDADMM CCS[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866929290 706847218 /nfs/dbraw/zinc/84/72/18/706847218.db2.gz VXBVBWAGJXFTCU-NXEZZACHSA-N -1 1 314.498 1.613 20 0 DDADMM C[S@@](=N)(=O)N1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000832167884 706859137 /nfs/dbraw/zinc/85/91/37/706859137.db2.gz VPKAOVNWHKOPSN-NRFANRHFSA-N -1 1 319.333 1.020 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1CCC(F)(F)C1 ZINC000866970229 706859987 /nfs/dbraw/zinc/85/99/87/706859987.db2.gz OIWABGXHZYDESD-QMMMGPOBSA-N -1 1 304.384 1.516 20 0 DDADMM O=C([O-])CCN(C[C@H]1CCCO1)C(=O)c1cccc2[nH]cnc21 ZINC000908984318 712908708 /nfs/dbraw/zinc/90/87/08/712908708.db2.gz RFAPNAYOTVLDCQ-LLVKDONJSA-N -1 1 317.345 1.659 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC(C)(C)C[C@H](C)O ZINC000867056266 706884190 /nfs/dbraw/zinc/88/41/90/706884190.db2.gz PEPOKRJJYJVVGD-JTQLQIEISA-N -1 1 314.473 1.126 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)c1cncc(F)c1 ZINC000867078467 706890903 /nfs/dbraw/zinc/89/09/03/706890903.db2.gz GXPKCUUWBKOAKI-SECBINFHSA-N -1 1 321.399 1.378 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H](C)c1cncc(F)c1 ZINC000867079477 706890944 /nfs/dbraw/zinc/89/09/44/706890944.db2.gz PARXLFYQKCABKB-CVJBHZAOSA-N -1 1 309.388 1.234 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)c1ccco1)c1ccc(F)nc1F ZINC000867116575 706901131 /nfs/dbraw/zinc/90/11/31/706901131.db2.gz BXYKWEWUULMPJL-QMMMGPOBSA-N -1 1 318.301 1.355 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC1CCC1)c1ccc(F)nc1F ZINC000867215417 706928044 /nfs/dbraw/zinc/92/80/44/706928044.db2.gz VXPAKPXOAJQFEH-SECBINFHSA-N -1 1 306.334 1.189 20 0 DDADMM O=C(NCCN1CCCOCC1)c1ccc2oc(=S)[n-]c2c1 ZINC000832709109 706967853 /nfs/dbraw/zinc/96/78/53/706967853.db2.gz VFQAEZLDBBPWSO-UHFFFAOYSA-N -1 1 321.402 1.569 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H]1Cc2ccccc2O1)c1nn[n-]n1 ZINC000867381780 706982577 /nfs/dbraw/zinc/98/25/77/706982577.db2.gz QTHMIHKWHNNTJE-ZYHUDNBSSA-N -1 1 301.350 1.155 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H](CO)C1)c1ccc(F)nc1F ZINC000867410378 706992067 /nfs/dbraw/zinc/99/20/67/706992067.db2.gz ZQEYCOYCNHZLMP-DTWKUNHWSA-N -1 1 306.334 1.189 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000832847417 706996542 /nfs/dbraw/zinc/99/65/42/706996542.db2.gz KJNCKYMRPUQEMC-SSDOTTSWSA-N -1 1 305.256 1.674 20 0 DDADMM O=C([O-])c1cccc(NC(=O)[C@@H]2CCCc3[nH]ncc32)c1O ZINC000833329624 707005854 /nfs/dbraw/zinc/00/58/54/707005854.db2.gz FQUNDDCHAKKYIV-MRVPVSSYSA-N -1 1 301.302 1.872 20 0 DDADMM CSc1nc(CNC(=O)C(F)(F)C2(O)CCC2)cc(=O)[n-]1 ZINC000880652848 707048475 /nfs/dbraw/zinc/04/84/75/707048475.db2.gz NPFJWSNZAGWNTD-UHFFFAOYSA-N -1 1 319.333 1.071 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(C)CC(F)(F)C2)n[n-]1 ZINC000880666286 707052251 /nfs/dbraw/zinc/05/22/51/707052251.db2.gz MSNJPKSBJMNHKL-SSDOTTSWSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(C)CC(F)(F)C2)[n-]1 ZINC000880666286 707052254 /nfs/dbraw/zinc/05/22/54/707052254.db2.gz MSNJPKSBJMNHKL-SSDOTTSWSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(C)CC(F)(F)C2)n1 ZINC000880666286 707052257 /nfs/dbraw/zinc/05/22/57/707052257.db2.gz MSNJPKSBJMNHKL-SSDOTTSWSA-N -1 1 316.308 1.594 20 0 DDADMM COC(=O)c1ccn(CCCC(=O)Nc2cccc(F)c2[O-])n1 ZINC000880853443 707085868 /nfs/dbraw/zinc/08/58/68/707085868.db2.gz DSKGXGISETUPOJ-UHFFFAOYSA-N -1 1 321.308 1.933 20 0 DDADMM COCCN(C)c1nc([O-])c(CC(=O)Nc2ccccc2)s1 ZINC000871597091 707133536 /nfs/dbraw/zinc/13/35/36/707133536.db2.gz CCPQPRFPYBKWMH-LBPRGKRZSA-N -1 1 321.402 1.591 20 0 DDADMM CCc1ccc([C@H]2CNCCN2C(=O)[C@@H]2CC[C@H]2C(=O)[O-])cc1 ZINC000871669383 707161983 /nfs/dbraw/zinc/16/19/83/707161983.db2.gz MLIPGPOVSAXFPD-BZUAXINKSA-N -1 1 316.401 1.833 20 0 DDADMM CCOC1CC2(C[C@H]2NC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000871762730 707192057 /nfs/dbraw/zinc/19/20/57/707192057.db2.gz RQICJNLWEYDJGD-OPEGHZNSSA-N -1 1 313.357 1.611 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C1=CC[C@H](C)CC1 ZINC000835292192 707215071 /nfs/dbraw/zinc/21/50/71/707215071.db2.gz FODNEJUTTGGEPH-JTQLQIEISA-N -1 1 316.361 1.558 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)C(C)(C)CN1CCOCC1 ZINC000909086109 712934632 /nfs/dbraw/zinc/93/46/32/712934632.db2.gz KLBIVCNNACDJCK-UHFFFAOYSA-N -1 1 320.389 1.990 20 0 DDADMM CO[C@H]1C[C@H](CNC(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000881573022 707297535 /nfs/dbraw/zinc/29/75/35/707297535.db2.gz NHDCCZLXLROMKD-XYPYZODXSA-N -1 1 301.346 1.799 20 0 DDADMM CO[C@H]1C[C@H](CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000881573022 707297539 /nfs/dbraw/zinc/29/75/39/707297539.db2.gz NHDCCZLXLROMKD-XYPYZODXSA-N -1 1 301.346 1.799 20 0 DDADMM Cc1ccc(CC[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)o1 ZINC000872436144 707409163 /nfs/dbraw/zinc/40/91/63/707409163.db2.gz SLFCJGMFGYHUDQ-IBGZPJMESA-N -1 1 322.452 1.861 20 0 DDADMM O=C([O-])[C@H](CNC(=O)CCc1cnc[nH]1)Cc1ccccc1 ZINC000909182968 712956642 /nfs/dbraw/zinc/95/66/42/712956642.db2.gz PJLXMSMLQWAGNP-ZDUSSCGKSA-N -1 1 301.346 1.402 20 0 DDADMM CC1(C)CN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@@H]2COC[C@@H]21 ZINC000837182838 707592880 /nfs/dbraw/zinc/59/28/80/707592880.db2.gz GWLIVEKEHMHWDD-WDEREUQCSA-N -1 1 318.402 1.515 20 0 DDADMM C[C@@H](O)C1([N-]S(=O)(=O)N=[S@](C)(=O)C2CCCCC2)CC1 ZINC000882415076 707629356 /nfs/dbraw/zinc/62/93/56/707629356.db2.gz ZANVVBVWYLXVRF-GIGQVBGESA-N -1 1 324.468 1.165 20 0 DDADMM O=C([O-])[C@H]1CCC[C@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000872930811 707659292 /nfs/dbraw/zinc/65/92/92/707659292.db2.gz PKGATFINXYFDQJ-ZJUUUORDSA-N -1 1 305.309 1.819 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC[C@@H]2C[C@H]2C2CC2)co1 ZINC000927411687 712982056 /nfs/dbraw/zinc/98/20/56/712982056.db2.gz XPEZTRJIDVURAU-PWSUYJOCSA-N -1 1 312.391 1.354 20 0 DDADMM CCOC(=O)[C@H](C[C@H](C)CC)[N-]S(=O)(=O)CC(C)(C)OC ZINC000921382605 713750532 /nfs/dbraw/zinc/75/05/32/713750532.db2.gz GNOKHQDHZVWKDC-NEPJUHHUSA-N -1 1 323.455 1.699 20 0 DDADMM CN(CC(=O)NC[C@@H](C(=O)[O-])c1ccccc1)[C@@H]1CCSC1 ZINC000909296520 712984882 /nfs/dbraw/zinc/98/48/82/712984882.db2.gz OOQLOSREBOISLH-ZIAGYGMSSA-N -1 1 322.430 1.408 20 0 DDADMM Cc1cc(CC(=O)N[C@H](CC(=O)[O-])c2ccc(F)cc2F)[nH]n1 ZINC000909343738 712996312 /nfs/dbraw/zinc/99/63/12/712996312.db2.gz VCRPTNWURSVAJS-CYBMUJFWSA-N -1 1 323.299 1.871 20 0 DDADMM Cc1nc2cccc(C(=O)N[C@@]3(C(=O)[O-])CCSC3)c2[nH]1 ZINC000909350740 712997834 /nfs/dbraw/zinc/99/78/34/712997834.db2.gz FSDXAXYZJGKPFI-AWEZNQCLSA-N -1 1 305.359 1.561 20 0 DDADMM C[N@@H+](CC(=O)NCCc1cccc(C(=O)[O-])c1)[C@H]1CCSC1 ZINC000909462694 713024140 /nfs/dbraw/zinc/02/41/40/713024140.db2.gz HKIBFXADGTWUEC-AWEZNQCLSA-N -1 1 322.430 1.481 20 0 DDADMM CN(CC(=O)NCCc1cccc(C(=O)[O-])c1)[C@H]1CCSC1 ZINC000909462694 713024145 /nfs/dbraw/zinc/02/41/45/713024145.db2.gz HKIBFXADGTWUEC-AWEZNQCLSA-N -1 1 322.430 1.481 20 0 DDADMM O=S1(=O)C[C@H]2CN(Cc3cccc([O-])c3Cl)C[C@H]2C1 ZINC000897617913 708394952 /nfs/dbraw/zinc/39/49/52/708394952.db2.gz HVJMJMJPHXUQQS-PHIMTYICSA-N -1 1 301.795 1.522 20 0 DDADMM CO[C@]1(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)CCSC1 ZINC000897640112 708403180 /nfs/dbraw/zinc/40/31/80/708403180.db2.gz IYAOVUPOVMOITB-TVQRCGJNSA-N -1 1 313.379 1.003 20 0 DDADMM CC(C)Cn1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000897641112 708403560 /nfs/dbraw/zinc/40/35/60/708403560.db2.gz WJWSWVZGZWBYBD-LLVKDONJSA-N -1 1 319.365 1.648 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC([C@@H]2COC(=O)N2)CC1 ZINC000884909635 708416269 /nfs/dbraw/zinc/41/62/69/708416269.db2.gz DRRPFKWPNCGYDN-LBPRGKRZSA-N -1 1 308.309 1.492 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1ccns1)N(C)c1ccccc1 ZINC000884975886 708433428 /nfs/dbraw/zinc/43/34/28/708433428.db2.gz IFUOFKYKEGXQEH-NSHDSACASA-N -1 1 311.432 1.946 20 0 DDADMM CCOC(=O)C1=CC[C@@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC000885321095 708519174 /nfs/dbraw/zinc/51/91/74/708519174.db2.gz YFZIUOZKNZJFMU-SECBINFHSA-N -1 1 302.377 1.073 20 0 DDADMM COC[C@@H]1C[C@@H](O)CN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898274648 708594870 /nfs/dbraw/zinc/59/48/70/708594870.db2.gz DNRWPAOMGODKJF-WCQYABFASA-N -1 1 317.341 1.473 20 0 DDADMM CN1CC[C@@](C)(C(=O)Nc2cc(F)c([O-])cc2Cl)C1=O ZINC000885679739 708596125 /nfs/dbraw/zinc/59/61/25/708596125.db2.gz GDYKPEATWQRNSL-ZDUSSCGKSA-N -1 1 300.717 1.992 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)C1(N2CCOCC2)CC1 ZINC000885680347 708596491 /nfs/dbraw/zinc/59/64/91/708596491.db2.gz PLGYLICIJCZITL-UHFFFAOYSA-N -1 1 314.744 1.988 20 0 DDADMM CSc1nc(CNC(=O)C(C)(C)n2cnc(C)c2)cc(=O)[n-]1 ZINC000898526627 708667973 /nfs/dbraw/zinc/66/79/73/708667973.db2.gz UADZNOHEBJIKDP-UHFFFAOYSA-N -1 1 321.406 1.461 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@]2(C)CCCCO2)sc1C ZINC000921674440 713838678 /nfs/dbraw/zinc/83/86/78/713838678.db2.gz COWGDNDEBZLCJB-GFCCVEGCSA-N -1 1 304.437 1.997 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC2(CC1)C[C@@H](O)CCO2 ZINC000886107914 708699572 /nfs/dbraw/zinc/69/95/72/708699572.db2.gz DGKKMWJZNCXCNH-LBPRGKRZSA-N -1 1 309.337 1.677 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1CCc2cncn2C1 ZINC000886268325 708732864 /nfs/dbraw/zinc/73/28/64/708732864.db2.gz LRAVVJPLORXOFV-SNVBAGLBSA-N -1 1 321.327 1.788 20 0 DDADMM CN1CCC[C@H](C(=O)NCCc2c(F)cc([O-])cc2F)C1=O ZINC000886268255 708732909 /nfs/dbraw/zinc/73/29/09/708732909.db2.gz JHANPGFHALVOSM-LLVKDONJSA-N -1 1 312.316 1.198 20 0 DDADMM Cn1nc2c(c1C(=O)NCCc1c(F)cc([O-])cc1F)CCC2 ZINC000886271360 708733622 /nfs/dbraw/zinc/73/36/22/708733622.db2.gz GNZBWSIDEILQCC-UHFFFAOYSA-N -1 1 321.327 1.865 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)NCCc1c(F)cc(O)cc1F ZINC000927790010 713056778 /nfs/dbraw/zinc/05/67/78/713056778.db2.gz TYZXLUJCYFEDBW-UHFFFAOYSA-N -1 1 311.292 1.173 20 0 DDADMM CN(Cc1nc[nH]n1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927790010 713056780 /nfs/dbraw/zinc/05/67/80/713056780.db2.gz TYZXLUJCYFEDBW-UHFFFAOYSA-N -1 1 311.292 1.173 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2ccns2)[nH]nc1C(F)(F)F ZINC000886399411 708753656 /nfs/dbraw/zinc/75/36/56/708753656.db2.gz UPHDJLSPLQFPTA-UHFFFAOYSA-N -1 1 312.298 1.994 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@H]1CCCC[C@@H]1O ZINC000927781268 713054681 /nfs/dbraw/zinc/05/46/81/713054681.db2.gz MUGNPTVUVCXTDZ-KBPBESRZSA-N -1 1 314.332 1.816 20 0 DDADMM C[C@H]1CN(C(=O)NCCc2c(F)cc([O-])cc2F)CCC1=O ZINC000927787560 713056239 /nfs/dbraw/zinc/05/62/39/713056239.db2.gz YDHSKFXFFHOZKB-VIFPVBQESA-N -1 1 312.316 1.833 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1C[C@@H]1c1ccc(F)cc1 ZINC000898753879 708855369 /nfs/dbraw/zinc/85/53/69/708855369.db2.gz SJHHVHVDCAEITJ-GHMZBOCLSA-N -1 1 303.293 1.447 20 0 DDADMM COC[C@H]1COCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000886858846 708867450 /nfs/dbraw/zinc/86/74/50/708867450.db2.gz JNDATASNHXRYFD-JTQLQIEISA-N -1 1 320.320 1.567 20 0 DDADMM COC(=O)CCN(CC(=O)[O-])c1ccnc2cc(F)ccc21 ZINC000886919238 708894805 /nfs/dbraw/zinc/89/48/05/708894805.db2.gz FFPGHNPXZVQVGM-UHFFFAOYSA-N -1 1 306.293 1.828 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)[N-]N2C(=O)[C@@H](C)N(C)C2=O)o1 ZINC000899028560 708958003 /nfs/dbraw/zinc/95/80/03/708958003.db2.gz FBSSLYLZQPDWLA-SNVBAGLBSA-N -1 1 322.365 1.049 20 0 DDADMM C[C@H]1C(=O)N([N-]C(=O)c2cc(C3CCCCC3)[nH]n2)C(=O)N1C ZINC000899032194 708959577 /nfs/dbraw/zinc/95/95/77/708959577.db2.gz FVAQMEVBYUGDIL-VIFPVBQESA-N -1 1 319.365 1.385 20 0 DDADMM CCCCCc1cc(C(=O)[N-]N2C(=O)[C@H](C)N(C)C2=O)n[nH]1 ZINC000899040211 708962854 /nfs/dbraw/zinc/96/28/54/708962854.db2.gz MBOJDBIVRNXLEW-VIFPVBQESA-N -1 1 307.354 1.070 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(C(=O)[C@H](N)Cc2cc3ccccc3o2)C1 ZINC000887396482 709035952 /nfs/dbraw/zinc/03/59/52/709035952.db2.gz BJNWIKVKEUYEIQ-DYVFJYSZSA-N -1 1 316.357 1.626 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@](CO)(C(F)(F)F)C1 ZINC000899612561 709126375 /nfs/dbraw/zinc/12/63/75/709126375.db2.gz VLAGVGCGZBLLEX-LBPRGKRZSA-N -1 1 307.243 1.918 20 0 DDADMM COC(=O)C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C1CCCC1 ZINC000899649959 709137413 /nfs/dbraw/zinc/13/74/13/709137413.db2.gz REIMGWSEAULLRD-AWEZNQCLSA-N -1 1 319.357 1.813 20 0 DDADMM O=C(C(=O)N1CCOC[C@@H]1C[C@@H]1CCCO1)c1ccc([O-])cc1 ZINC000887847732 709142877 /nfs/dbraw/zinc/14/28/77/709142877.db2.gz RBTNVCJBBWEKQY-ZFWWWQNUSA-N -1 1 319.357 1.371 20 0 DDADMM COC(=O)C1CC2(C1)C[C@@H](NC(=O)c1cncc([O-])c1)CCO2 ZINC000899677466 709145197 /nfs/dbraw/zinc/14/51/97/709145197.db2.gz GUGCMVYDZBCXIT-BGMSHATGSA-N -1 1 320.345 1.018 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)n[nH]1 ZINC000888120282 709218234 /nfs/dbraw/zinc/21/82/34/709218234.db2.gz IDQXUCWSBRPYKJ-DNJQJEMRSA-N -1 1 309.366 1.973 20 0 DDADMM O=C(N[C@H]1CCCC12OCCO2)c1cnc(C2CC2)[n-]c1=O ZINC000912818415 713099879 /nfs/dbraw/zinc/09/98/79/713099879.db2.gz MINFZXPIFLFSQX-NSHDSACASA-N -1 1 305.334 1.085 20 0 DDADMM O=C([O-])C1(CNC(=O)[C@@H]2CCc3nc[nH]c3C2)CCCCC1 ZINC000909526641 709501930 /nfs/dbraw/zinc/50/19/30/709501930.db2.gz SKSZALVPWOANAZ-LLVKDONJSA-N -1 1 305.378 1.666 20 0 DDADMM O=C([N-]OCCOc1ccccc1)[C@H]1CC(=O)N(C2CC2)C1 ZINC000889449380 709508817 /nfs/dbraw/zinc/50/88/17/709508817.db2.gz DZIWDZZVLNSFAQ-LBPRGKRZSA-N -1 1 304.346 1.124 20 0 DDADMM CCOCn1nc(C)c(CC(=O)[N-]OCc2ccccn2)c1C ZINC000909543540 709509206 /nfs/dbraw/zinc/50/92/06/709509206.db2.gz GFHYUZAZXWCCDP-UHFFFAOYSA-N -1 1 318.377 1.679 20 0 DDADMM CO[C@@H](C(=O)N[C@@H](CCSC)c1nn[n-]n1)c1ccccc1 ZINC000912860366 713109953 /nfs/dbraw/zinc/10/99/53/713109953.db2.gz NIZHNQYKRVWMQC-NWDGAFQWSA-N -1 1 321.406 1.498 20 0 DDADMM CSCC[C@H](NC(=O)c1ncc(C)cc1C)c1nn[n-]n1 ZINC000912862204 713110644 /nfs/dbraw/zinc/11/06/44/713110644.db2.gz LFRLZBUIRWPUFM-JTQLQIEISA-N -1 1 306.395 1.436 20 0 DDADMM CSCC[C@H](NC(=O)Cc1cc(C)ccc1F)c1nn[n-]n1 ZINC000912863282 713111460 /nfs/dbraw/zinc/11/14/60/713111460.db2.gz RUBMWOYZBVYRNQ-LBPRGKRZSA-N -1 1 323.397 1.800 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2C[C@@H]3CCC[C@@H]3[C@@H]2C(=O)[O-])C1 ZINC000909680284 709574204 /nfs/dbraw/zinc/57/42/04/709574204.db2.gz TVNDZMFUKCCWGR-YWDRAGGISA-N -1 1 317.389 1.787 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3CCO[C@H]3C3CC3)cnc2n1 ZINC000900495486 709623038 /nfs/dbraw/zinc/62/30/38/709623038.db2.gz GBXPYKVNNNWGOA-HIFRSBDPSA-N -1 1 313.357 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1CCO[C@H]1C1CC1)c2=O ZINC000900495486 709623041 /nfs/dbraw/zinc/62/30/41/709623041.db2.gz GBXPYKVNNNWGOA-HIFRSBDPSA-N -1 1 313.357 1.941 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H](O)C(C)(C)C)[n-]c1=O ZINC000889789405 709636722 /nfs/dbraw/zinc/63/67/22/709636722.db2.gz FAECOGAYLMWGMS-KOLCDFICSA-N -1 1 309.366 1.261 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cccnc2)[n-]c1=O ZINC000889795928 709639311 /nfs/dbraw/zinc/63/93/11/709639311.db2.gz VXNWIBPVKPZEEJ-LLVKDONJSA-N -1 1 300.318 1.563 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)N1CCC[C@](C)(C(=O)[O-])C1 ZINC000909844030 709648958 /nfs/dbraw/zinc/64/89/58/709648958.db2.gz RSLOKMCBRXZUJV-INIZCTEOSA-N -1 1 312.410 1.058 20 0 DDADMM CC(C)C[C@@H](C(=O)[O-])N(C)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000909854814 709653787 /nfs/dbraw/zinc/65/37/87/709653787.db2.gz MZYGCEBDSARMCX-QMMMGPOBSA-N -1 1 307.272 2.000 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cc(C(F)F)[nH]n2)[C@@H](C(=O)[O-])C1 ZINC000909982256 709717244 /nfs/dbraw/zinc/71/72/44/709717244.db2.gz FHLPFWHWAWBPGN-IMTBSYHQSA-N -1 1 303.265 1.052 20 0 DDADMM COc1cccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1C ZINC000909993633 709726903 /nfs/dbraw/zinc/72/69/03/709726903.db2.gz NFIQNMLYBRNZNK-CQSZACIVSA-N -1 1 320.389 1.416 20 0 DDADMM COc1ccc(F)c(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000910169314 709796113 /nfs/dbraw/zinc/79/61/13/709796113.db2.gz ATKKDHDBUQPALH-LLVKDONJSA-N -1 1 324.352 1.247 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)CC[S@@](C)=O ZINC000900887814 709811031 /nfs/dbraw/zinc/81/10/31/709811031.db2.gz GHEOFKKPRZVSTA-JLTPVHFHSA-N -1 1 313.423 1.501 20 0 DDADMM COCc1ccc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000910232093 709828509 /nfs/dbraw/zinc/82/85/09/709828509.db2.gz RXURUGPFYPBPNV-ZDUSSCGKSA-N -1 1 306.362 1.568 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C1CCCCC1 ZINC000910234283 709829617 /nfs/dbraw/zinc/82/96/17/709829617.db2.gz QRJVAJPSSWCHSV-UPJWGTAASA-N -1 1 305.378 1.911 20 0 DDADMM O=C([O-])C12CCC(CC1)N2C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000910297438 709874487 /nfs/dbraw/zinc/87/44/87/709874487.db2.gz DYOHLYBNLAPJPQ-UHFFFAOYSA-N -1 1 312.329 1.694 20 0 DDADMM CCC(CC)[C@@H](CNc1ncncc1C(=O)[O-])N1CCOCC1 ZINC000910339500 709901665 /nfs/dbraw/zinc/90/16/65/709901665.db2.gz GCHNGUCKQCPDLO-CQSZACIVSA-N -1 1 322.409 1.724 20 0 DDADMM COCCC1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCC1 ZINC000901057435 709904490 /nfs/dbraw/zinc/90/44/90/709904490.db2.gz IBJAJUCQSZIRKZ-UHFFFAOYSA-N -1 1 305.378 1.996 20 0 DDADMM COC[C@@H](CCO)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901094915 709922877 /nfs/dbraw/zinc/92/28/77/709922877.db2.gz GAXIWJIYVADTDD-SECBINFHSA-N -1 1 308.309 1.207 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2C[C@@H]3CCC[C@]3(C(=O)[O-])C2)C1 ZINC000910419611 709931774 /nfs/dbraw/zinc/93/17/74/709931774.db2.gz XGAZSUNWDXXEBK-HEKSYOIISA-N -1 1 317.389 1.789 20 0 DDADMM CC1(C)CO[C@H](CCNC(=O)CN2CCC[C@H](C(=O)[O-])C2)C1 ZINC000910541616 709990923 /nfs/dbraw/zinc/99/09/23/709990923.db2.gz JZQXLKYONVTEAR-QWHCGFSZSA-N -1 1 312.410 1.104 20 0 DDADMM O=C([O-])[C@@H](Cc1cc2ccccc2o1)NC(=O)CN1CCCC1 ZINC000910578951 710008041 /nfs/dbraw/zinc/00/80/41/710008041.db2.gz IGGGZJIXWHDZRI-CQSZACIVSA-N -1 1 316.357 1.641 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1(C)C ZINC000901332075 710012904 /nfs/dbraw/zinc/01/29/04/710012904.db2.gz UOQAZEIYXOTTIV-KPXOXKRLSA-N -1 1 305.378 1.849 20 0 DDADMM O=C([O-])[C@@H](C[C@H]1CCCO1)NC(=O)c1ccc2cncn2c1 ZINC000910589999 710012967 /nfs/dbraw/zinc/01/29/67/710012967.db2.gz DSKIDODYDSMMTM-CHWSQXEVSA-N -1 1 303.318 1.086 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCN(C(=O)c3cc(-c4ccccc4)[nH]n3)C[C@@H]21 ZINC000910843632 710092149 /nfs/dbraw/zinc/09/21/49/710092149.db2.gz VFTULTDBZAGYNA-TYNCELHUSA-N -1 1 311.341 1.869 20 0 DDADMM CCOC(=O)[C@@H](CC)Sc1nc([O-])cc(=O)n1CCOC ZINC000901653543 710109126 /nfs/dbraw/zinc/10/91/26/710109126.db2.gz JWECKOYXELSJLC-SECBINFHSA-N -1 1 316.379 1.029 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NC1(CC(=O)[O-])CCC1 ZINC000902008355 710200704 /nfs/dbraw/zinc/20/07/04/710200704.db2.gz YDMFCSWIOSTBRC-UHFFFAOYSA-N -1 1 304.390 1.675 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)[C@@H]1CSCCS1 ZINC000891627849 710252116 /nfs/dbraw/zinc/25/21/16/710252116.db2.gz OAAAFFKONCZHNO-JTQLQIEISA-N -1 1 311.432 1.848 20 0 DDADMM COCc1nc(N[C@H](C)C(=O)NCc2ccccc2)cc(=O)[n-]1 ZINC000891971499 710342824 /nfs/dbraw/zinc/34/28/24/710342824.db2.gz RCGJGQYFHYNIBO-LLVKDONJSA-N -1 1 316.361 1.445 20 0 DDADMM COCc1nc(N[C@@H]2CCc3nc(C)ncc3C2)cc(=O)[n-]1 ZINC000892208192 710395735 /nfs/dbraw/zinc/39/57/35/710395735.db2.gz VHMILLZNUVTSFF-LLVKDONJSA-N -1 1 301.350 1.396 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H](COC)C(C)C)co1 ZINC000893458342 710663748 /nfs/dbraw/zinc/66/37/48/710663748.db2.gz IHGHQDLKBWUGFJ-LLVKDONJSA-N -1 1 319.379 1.263 20 0 DDADMM CCO[C@@H](CCNCc1cn(CC(=O)[O-])nn1)C1CCCC1 ZINC000902391324 710740345 /nfs/dbraw/zinc/74/03/45/710740345.db2.gz UYMQRNHRYQJLOV-AWEZNQCLSA-N -1 1 310.398 1.438 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)C(C)(C)CCC(=O)[O-])C2)n[nH]1 ZINC000911324892 710741816 /nfs/dbraw/zinc/74/18/16/710741816.db2.gz BYDAXSVZSDKWPO-LLVKDONJSA-N -1 1 308.382 1.710 20 0 DDADMM CCN(CC(=O)NC[C@@H](Cc1ccc(O)cc1)C(=O)[O-])C1CC1 ZINC000911348575 710754584 /nfs/dbraw/zinc/75/45/84/710754584.db2.gz FUEFKUHXQXOUBP-CYBMUJFWSA-N -1 1 320.389 1.236 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2cccc(CC(=O)[O-])c2)C1 ZINC000911354335 710759628 /nfs/dbraw/zinc/75/96/28/710759628.db2.gz XMTBTGBMSWJYQI-OAHLLOKOSA-N -1 1 320.389 1.154 20 0 DDADMM O=C([O-])COc1ccc(C(=O)N2CCc3nc[nH]c3C2)cc1 ZINC000911384535 710772016 /nfs/dbraw/zinc/77/20/16/710772016.db2.gz CRYOSROGCYOBRA-UHFFFAOYSA-N -1 1 301.302 1.072 20 0 DDADMM COCc1nc(NCc2ccc(C[S@](C)=O)cc2)cc(=O)[n-]1 ZINC000893847278 710856056 /nfs/dbraw/zinc/85/60/56/710856056.db2.gz DVXOOVMUVXIJCS-QFIPXVFZSA-N -1 1 321.402 1.819 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)/C=C\c1cc(C(=O)[O-])co1 ZINC000911571170 710859993 /nfs/dbraw/zinc/85/99/93/710859993.db2.gz JOODJJUGTOUOQF-CFHLNLSMSA-N -1 1 306.362 1.934 20 0 DDADMM CC[C@H]1C[N@@H+](CC)CCN1C(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC000911573921 710861111 /nfs/dbraw/zinc/86/11/11/710861111.db2.gz SHCBRNRNIPARNK-MELADBBJSA-N -1 1 312.410 1.199 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC000911573921 710861116 /nfs/dbraw/zinc/86/11/16/710861116.db2.gz SHCBRNRNIPARNK-MELADBBJSA-N -1 1 312.410 1.199 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCOCC3(CCCC3)C2)C1 ZINC000911673517 710921590 /nfs/dbraw/zinc/92/15/90/710921590.db2.gz PWXJJOHZQYGAMW-CQSZACIVSA-N -1 1 324.421 1.202 20 0 DDADMM O=C(N[C@@H](CCO)C1CCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000903033237 711008633 /nfs/dbraw/zinc/00/86/33/711008633.db2.gz RWYDNWLATWYADH-ZDUSSCGKSA-N -1 1 315.373 1.594 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2C[C@@H](N3CCOCC3)C2(C)C)c([O-])c1 ZINC000911840267 711026034 /nfs/dbraw/zinc/02/60/34/711026034.db2.gz FJHBXMPZXIRFSF-UONOGXRCSA-N -1 1 319.405 1.325 20 0 DDADMM C[C@@H]1C(=O)N(C)[C@H](C)[C@H](C)N1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000912004338 711112648 /nfs/dbraw/zinc/11/26/48/711112648.db2.gz SXLPNQQFFKVMNU-KXUCPTDWSA-N -1 1 318.377 1.139 20 0 DDADMM Cn1cc(-c2ncccc2[N-]S(=O)(=O)CC2(F)CC2)cn1 ZINC000903642868 711233003 /nfs/dbraw/zinc/23/30/03/711233003.db2.gz VDVXKADOTRBFDC-UHFFFAOYSA-N -1 1 310.354 1.726 20 0 DDADMM Cc1cccc2cc(C(=O)N3CCOC[C@@H]3c3nn[n-]n3)oc21 ZINC000913495087 713237918 /nfs/dbraw/zinc/23/79/18/713237918.db2.gz QSPQEKUCQVAUGF-LLVKDONJSA-N -1 1 313.317 1.468 20 0 DDADMM C[C@@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000913496180 713238217 /nfs/dbraw/zinc/23/82/17/713238217.db2.gz UUQZYVIJMVEJRF-SKDRFNHKSA-N -1 1 305.313 1.042 20 0 DDADMM C[C@@]1(CC(=O)N2CCOC[C@H]2c2nn[n-]n2)CC1(Cl)Cl ZINC000913497528 713238690 /nfs/dbraw/zinc/23/86/90/713238690.db2.gz LHDYJYGHEGYJFD-OIBJUYFYSA-N -1 1 320.180 1.074 20 0 DDADMM CC(C)CC(CC(C)C)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913497188 713238950 /nfs/dbraw/zinc/23/89/50/713238950.db2.gz IECJZSYOUZVHQC-ZDUSSCGKSA-N -1 1 309.414 1.808 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N(C)c1ccccc1 ZINC000903711728 711250540 /nfs/dbraw/zinc/25/05/40/711250540.db2.gz IREAROPCGAPSGM-CYBMUJFWSA-N -1 1 308.378 1.461 20 0 DDADMM CCn1nc(C)c(CN(C)c2cc(=O)[n-]c(COC)n2)c1C ZINC000894860827 711299789 /nfs/dbraw/zinc/29/97/89/711299789.db2.gz IFVBEHSUEGLREL-UHFFFAOYSA-N -1 1 305.382 1.798 20 0 DDADMM Cc1nc([C@H](C)N2CCOc3cc(C(=O)[O-])ccc3C2)n[nH]1 ZINC000903959234 711348541 /nfs/dbraw/zinc/34/85/41/711348541.db2.gz ZPDXFBNBVGDIOU-VIFPVBQESA-N -1 1 302.334 1.767 20 0 DDADMM CC(C)(C)N1CC[C@@H]1C(=O)N[C@@H](c1nnn[n-]1)c1ccccc1 ZINC000895836905 711621673 /nfs/dbraw/zinc/62/16/73/711621673.db2.gz PTMLWQQRTICLPD-CHWSQXEVSA-N -1 1 314.393 1.278 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)NCCc2c(F)cc([O-])cc2F)C(=O)O1 ZINC000896383992 711715752 /nfs/dbraw/zinc/71/57/52/711715752.db2.gz XXZKMZKTWFFGFY-JVXZTZIISA-N -1 1 314.288 1.216 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]c1cccc2c1CCO2 ZINC000905103911 711937645 /nfs/dbraw/zinc/93/76/45/711937645.db2.gz MSKIOKLCGYPUHC-UHFFFAOYSA-N -1 1 316.404 1.540 20 0 DDADMM O=C([O-])[C@H]1CCC[C@@H](C(=O)NCc2ccc3cncn3c2)C1 ZINC000905115492 711940946 /nfs/dbraw/zinc/94/09/46/711940946.db2.gz MQRCOZGSSRHXDN-OLZOCXBDSA-N -1 1 301.346 1.842 20 0 DDADMM CCN1CC[C@H]1CNC(=O)[C@@H]1C[C@@]1(C(=O)[O-])c1ccccc1 ZINC000905125866 711943773 /nfs/dbraw/zinc/94/37/73/711943773.db2.gz LEUWQRALLNNYRO-GRDNDAEWSA-N -1 1 302.374 1.239 20 0 DDADMM COc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(F)c1 ZINC000913742241 713291631 /nfs/dbraw/zinc/29/16/31/713291631.db2.gz PRXQGLARJXGQGI-UHFFFAOYSA-N -1 1 305.313 1.367 20 0 DDADMM COCc1nnc(S(=O)(=O)CC[C@H]2CCCC2(F)F)[n-]1 ZINC000905249322 711979824 /nfs/dbraw/zinc/97/98/24/711979824.db2.gz MBTHINBXTLPCPM-MRVPVSSYSA-N -1 1 309.338 1.550 20 0 DDADMM COCc1nc(S(=O)(=O)CC[C@H]2CCCC2(F)F)n[n-]1 ZINC000905249322 711979825 /nfs/dbraw/zinc/97/98/25/711979825.db2.gz MBTHINBXTLPCPM-MRVPVSSYSA-N -1 1 309.338 1.550 20 0 DDADMM O=C(c1cc(F)c([O-])c(F)c1)N1CCC(c2nn[nH]n2)CC1 ZINC000913744691 713293259 /nfs/dbraw/zinc/29/32/59/713293259.db2.gz ZUBBADFDCGTWIG-UHFFFAOYSA-N -1 1 309.276 1.203 20 0 DDADMM CC(C)Cn1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913747322 713294580 /nfs/dbraw/zinc/29/45/80/713294580.db2.gz XMMMNNGDJTYUBQ-UHFFFAOYSA-N -1 1 303.370 1.072 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2C[C@@H]3CCCC[C@@H]32)c1 ZINC000907027873 712468714 /nfs/dbraw/zinc/46/87/14/712468714.db2.gz YNNSMSKTKFYIPF-QWRGUYRKSA-N -1 1 311.359 1.654 20 0 DDADMM C[C@H](CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)C(F)(F)F ZINC000907471734 712580621 /nfs/dbraw/zinc/58/06/21/712580621.db2.gz KDNQKKXQJKHRMZ-RNFRBKRXSA-N -1 1 309.317 1.405 20 0 DDADMM CC(C)(CC(=O)N1CCSC[C@H]1c1nn[n-]n1)CC(F)F ZINC000907473057 712581197 /nfs/dbraw/zinc/58/11/97/712581197.db2.gz BQWAXBUXIWXYHC-QMMMGPOBSA-N -1 1 319.381 1.888 20 0 DDADMM O=C([C@H]1Cc2ccccc21)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907476543 712582484 /nfs/dbraw/zinc/58/24/84/712582484.db2.gz QPHLMXKAJNVLTE-RYUDHWBXSA-N -1 1 301.375 1.156 20 0 DDADMM O=C(COCC1CCCC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479573 712583251 /nfs/dbraw/zinc/58/32/51/712583251.db2.gz FOTJQBFRELYPFX-NSHDSACASA-N -1 1 311.411 1.023 20 0 DDADMM Cc1cccnc1C=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479081 712583260 /nfs/dbraw/zinc/58/32/60/712583260.db2.gz IZGZZRWNMJOIJN-ZYOFXKKJSA-N -1 1 316.390 1.233 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)ccc1O ZINC000907479107 712583338 /nfs/dbraw/zinc/58/33/38/712583338.db2.gz JJQAAOMKRWDVKC-SNVBAGLBSA-N -1 1 305.363 1.144 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N2CCSC[C@H]2c2nn[n-]n2)C[C@H]1C ZINC000907480258 712583517 /nfs/dbraw/zinc/58/35/17/712583517.db2.gz NXJOXPGREDNYST-WYUUTHIRSA-N -1 1 309.439 1.889 20 0 DDADMM C[C@H](Cc1ccco1)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907482288 712584116 /nfs/dbraw/zinc/58/41/16/712584116.db2.gz OQKAQGVWXDWLJD-KOLCDFICSA-N -1 1 307.379 1.288 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2ocnc2C)c(F)c1 ZINC000907598519 712600621 /nfs/dbraw/zinc/60/06/21/712600621.db2.gz RGBGUGAKKUPDKX-UHFFFAOYSA-N -1 1 318.301 1.748 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]1C ZINC000907653282 712609173 /nfs/dbraw/zinc/60/91/73/712609173.db2.gz MRXONXMLUFIUHW-WCBMZHEXSA-N -1 1 305.334 1.083 20 0 DDADMM CC[N@H+]1CCCN(C(=O)[C@]2(C(=O)[O-])C[C@H]2c2ccccc2)CC1 ZINC000907801057 712628498 /nfs/dbraw/zinc/62/84/98/712628498.db2.gz QASUNMQKRQTQMR-YJBOKZPZSA-N -1 1 316.401 1.799 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H](CCO)C1CCCC1 ZINC000907825347 712631648 /nfs/dbraw/zinc/63/16/48/712631648.db2.gz ZQKYZEZDERJHRT-LBPRGKRZSA-N -1 1 324.468 1.024 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@]1(C(=O)[O-])C[C@H]1c1ccccc1 ZINC000907825147 712631656 /nfs/dbraw/zinc/63/16/56/712631656.db2.gz IMJPYGOGFZIETE-NHYWBVRUSA-N -1 1 300.318 1.022 20 0 DDADMM CO[C@@H]1CCOC[C@H]1[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000907841032 712634056 /nfs/dbraw/zinc/63/40/56/712634056.db2.gz HAQKFKOZORYLHL-VXGBXAGGSA-N -1 1 321.345 1.355 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3ccccc3Cl)CC2)n1 ZINC000907864691 712637948 /nfs/dbraw/zinc/63/79/48/712637948.db2.gz MZPNJKRDRBYCLK-UHFFFAOYSA-N -1 1 313.766 1.999 20 0 DDADMM CC1CCN(CC(=O)N[C@H]2CCCn3nc(C(=O)[O-])cc32)CC1 ZINC000908117463 712691736 /nfs/dbraw/zinc/69/17/36/712691736.db2.gz OZBNJUSICNUYSU-LBPRGKRZSA-N -1 1 320.393 1.264 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(c2ccc(C)cc2)=NO1 ZINC000908370824 712754751 /nfs/dbraw/zinc/75/47/51/712754751.db2.gz LGQZAKLRCWBPMS-LBPRGKRZSA-N -1 1 300.318 1.864 20 0 DDADMM O=C([O-])C[C@@H]1CC[C@H](NC(=O)Cc2[nH]nc3c2CCCC3)C1 ZINC000908383188 712757175 /nfs/dbraw/zinc/75/71/75/712757175.db2.gz KRNHAYRMDBDHFP-MNOVXSKESA-N -1 1 305.378 1.591 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@H]1C1CC1)c1cccc(F)c1F ZINC000908409920 712764188 /nfs/dbraw/zinc/76/41/88/712764188.db2.gz LKKYATCVXHHGEW-GXSJLCMTSA-N -1 1 303.330 1.668 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2COC[C@@H]2C2CC2)c1 ZINC000908411087 712764785 /nfs/dbraw/zinc/76/47/85/712764785.db2.gz YDYOTRXINQTKAD-NEPJUHHUSA-N -1 1 313.375 1.104 20 0 DDADMM COc1cc2[n-]cc(C(=O)NC3CC(=O)C3)c(=O)c2c(OC)c1 ZINC000908463194 712780187 /nfs/dbraw/zinc/78/01/87/712780187.db2.gz BGDQWGZYYBGGGG-UHFFFAOYSA-N -1 1 316.313 1.007 20 0 DDADMM O=C([O-])c1ccc2c(c1)CCN2C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000908650465 712829025 /nfs/dbraw/zinc/82/90/25/712829025.db2.gz CMGASRLLLCZAJI-NSHDSACASA-N -1 1 311.341 1.802 20 0 DDADMM O=C(C[C@H]1CCC(=O)N1)Nc1cccc([O-])c1Br ZINC000908717321 712840617 /nfs/dbraw/zinc/84/06/17/712840617.db2.gz XTXIFGOLPRZXFC-SSDOTTSWSA-N -1 1 313.151 1.762 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CC2CC1(C(=O)[O-])C2)c1cccs1 ZINC000908747121 712846669 /nfs/dbraw/zinc/84/66/69/712846669.db2.gz NJRZJKMXJKGEGZ-VTWZXRTESA-N -1 1 323.418 1.609 20 0 DDADMM Cc1ccc(C[C@H](C)NC(=O)CN2CCC[C@H](C(=O)[O-])C2)s1 ZINC000908817066 712860857 /nfs/dbraw/zinc/86/08/57/712860857.db2.gz PJVOVOWOIZEASN-AAEUAGOBSA-N -1 1 324.446 1.900 20 0 DDADMM Cc1c(NC(=O)[C@@H](C)CN2CCOCC2)cccc1C(=O)[O-] ZINC000908834475 712864551 /nfs/dbraw/zinc/86/45/51/712864551.db2.gz MGTDZDWSJYRPPD-NSHDSACASA-N -1 1 306.362 1.600 20 0 DDADMM CN(CC(=O)N[C@@H](C(=O)[O-])c1cc(F)ccc1F)C1CCC1 ZINC000908920046 712887351 /nfs/dbraw/zinc/88/73/51/712887351.db2.gz OWDKMUXWOOKTHB-CQSZACIVSA-N -1 1 312.316 1.691 20 0 DDADMM CO[C@H](C)CCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000916176033 713446162 /nfs/dbraw/zinc/44/61/62/713446162.db2.gz DOKCTEUYIOZTGX-SECBINFHSA-N -1 1 302.321 1.937 20 0 DDADMM CC(C)O[C@]1(CNC(=O)c2c(F)ccc([O-])c2F)CCOC1 ZINC000928759384 713479123 /nfs/dbraw/zinc/47/91/23/713479123.db2.gz WJLVLPDNKBGLMV-HNNXBMFYSA-N -1 1 315.316 1.984 20 0 DDADMM Cc1csc(NCC[N-]S(=O)(=O)c2ccns2)n1 ZINC000917597890 713506018 /nfs/dbraw/zinc/50/60/18/713506018.db2.gz AWCXVHMMIDXBNH-UHFFFAOYSA-N -1 1 304.422 1.298 20 0 DDADMM C[C@H](CS(C)(=O)=O)N(C)C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000929627604 713654220 /nfs/dbraw/zinc/65/42/20/713654220.db2.gz ZUCRFEFGGJSUHW-NWDGAFQWSA-N -1 1 313.419 1.576 20 0 DDADMM C[C@H](CN1CCOC[C@@]12CCOC2)C(=O)c1ccc([O-])cc1 ZINC000930322451 713803483 /nfs/dbraw/zinc/80/34/83/713803483.db2.gz ASVIQZFZAVCXFW-DYVFJYSZSA-N -1 1 305.374 1.702 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000921977232 713926449 /nfs/dbraw/zinc/92/64/49/713926449.db2.gz UEAQOMQHPDTING-SVRRBLITSA-N -1 1 323.292 1.334 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000921978034 713926996 /nfs/dbraw/zinc/92/69/96/713926996.db2.gz XTWZNFGYIWZSTP-SCZZXKLOSA-N -1 1 305.302 1.195 20 0 DDADMM Cc1ccc(-c2cc(C(=O)OCCO[C@@H]3CCOC3)[nH]n2)o1 ZINC000922357957 714029381 /nfs/dbraw/zinc/02/93/81/714029381.db2.gz FVWZKKDDRBOTFU-LLVKDONJSA-N -1 1 306.318 1.940 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@@H]2CCNC(=O)[C@@H]21 ZINC000922460623 714060901 /nfs/dbraw/zinc/06/09/01/714060901.db2.gz BXCHKMIQSKEVIZ-NOZJJQNGSA-N -1 1 308.765 1.786 20 0 DDADMM O=C(NC1CCC2(COC2)CC1)c1cnc(C2CC2)[n-]c1=O ZINC000922558699 714088368 /nfs/dbraw/zinc/08/83/68/714088368.db2.gz FCRLMYGQKYPICU-UHFFFAOYSA-N -1 1 303.362 1.749 20 0 DDADMM O=C(NC[C@H](O)C1CC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932043425 714244853 /nfs/dbraw/zinc/24/48/53/714244853.db2.gz YIECABFGBLTUCH-ZDUSSCGKSA-N -1 1 302.334 1.470 20 0 DDADMM CC[C@@H](CCO)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932043712 714245006 /nfs/dbraw/zinc/24/50/06/714245006.db2.gz QXKFHIGYXNMTHC-NSHDSACASA-N -1 1 304.350 1.860 20 0 DDADMM C[C@H](O)C[C@H](C)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932046971 714245809 /nfs/dbraw/zinc/24/58/09/714245809.db2.gz UOKDFZPWEGWCHC-QWRGUYRKSA-N -1 1 304.350 1.859 20 0 DDADMM C[C@H](C[S@](C)=O)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932047230 714245870 /nfs/dbraw/zinc/24/58/70/714245870.db2.gz XSKILMLUONFAIT-STFLBKPXSA-N -1 1 322.390 1.466 20 0 DDADMM C[C@@H]1[C@H](O)CCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932055822 714248271 /nfs/dbraw/zinc/24/82/71/714248271.db2.gz AJJLDCZDRMFJFC-ZWNOBZJWSA-N -1 1 302.334 1.565 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1CCC[C@](CC)(C(=O)[O-])C1 ZINC000923471894 714366806 /nfs/dbraw/zinc/36/68/06/714366806.db2.gz YTUIBNIUSWUUKG-ZDUSSCGKSA-N -1 1 324.343 1.974 20 0 DDADMM CC(=O)N1CCC(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)CC1 ZINC000943035207 717971372 /nfs/dbraw/zinc/97/13/72/717971372.db2.gz HCJFWMDZVKZMDW-UHFFFAOYSA-N -1 1 320.393 1.355 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]c2nn(CC)cc2Cl)on1 ZINC000924796598 714665897 /nfs/dbraw/zinc/66/58/97/714665897.db2.gz DYXHCWZXMDYOCF-UHFFFAOYSA-N -1 1 312.713 1.973 20 0 DDADMM CSC[C@@H]1NC(=O)N(Cc2ccc([O-])c(Cl)c2)C1=O ZINC000925057901 714738891 /nfs/dbraw/zinc/73/88/91/714738891.db2.gz NUSSROOZIKVHIH-VIFPVBQESA-N -1 1 300.767 1.829 20 0 DDADMM COC(=O)/C(C)=C\CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934271597 714782714 /nfs/dbraw/zinc/78/27/14/714782714.db2.gz CLPSDXKNWKQXNM-YAEJEKNGSA-N -1 1 307.350 1.447 20 0 DDADMM Cc1ccc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1Cl ZINC000935366131 715038134 /nfs/dbraw/zinc/03/81/34/715038134.db2.gz DVFROVPGTBAGMN-SNVBAGLBSA-N -1 1 307.785 1.966 20 0 DDADMM CSCCO[N-]C(=O)c1cc(=O)n(C)cc1Br ZINC000935755428 715126285 /nfs/dbraw/zinc/12/62/85/715126285.db2.gz ITJKNYSSYVVVHL-UHFFFAOYSA-N -1 1 321.196 1.172 20 0 DDADMM O=C(N1CCC(c2n[n-]c(=O)o2)CC1)[C@]12CCO[C@H]1CCCC2 ZINC000935830115 715131383 /nfs/dbraw/zinc/13/13/83/715131383.db2.gz UBCONLCNQWIXTM-BLLLJJGKSA-N -1 1 321.377 1.831 20 0 DDADMM CC[C@@](C)(O)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000935988610 715142328 /nfs/dbraw/zinc/14/23/28/715142328.db2.gz ASWFUPNXNLGQGQ-AVWBDOJWSA-N -1 1 324.343 1.451 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2CC2(C)C)C1 ZINC000937172685 715288605 /nfs/dbraw/zinc/28/86/05/715288605.db2.gz UEFAHWZKRQVSGB-NEPJUHHUSA-N -1 1 317.389 1.506 20 0 DDADMM CN(C(=O)CC1CC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937212463 715293043 /nfs/dbraw/zinc/29/30/43/715293043.db2.gz WJRDFBSZGGUUMA-LBPRGKRZSA-N -1 1 303.362 1.260 20 0 DDADMM O=C(N[C@H]1CCCCN(C(=O)C2CC2)C1)c1ncccc1[O-] ZINC000943203718 718046169 /nfs/dbraw/zinc/04/61/69/718046169.db2.gz MGEPAGQNOZSEMO-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1cccs1 ZINC000937631360 715463778 /nfs/dbraw/zinc/46/37/78/715463778.db2.gz IYFHTHHRADXSNU-JTQLQIEISA-N -1 1 317.370 1.493 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ccsc2)C1)c1ncccc1[O-] ZINC000937862138 715618122 /nfs/dbraw/zinc/61/81/22/715618122.db2.gz ZAXRMRVVWHKABJ-NSHDSACASA-N -1 1 317.370 1.493 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937863532 715618672 /nfs/dbraw/zinc/61/86/72/715618672.db2.gz IVPOAKVEWMHJMH-JQWIXIFHSA-N -1 1 309.341 1.112 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)C2=CCCC2)C1 ZINC000956849152 715636261 /nfs/dbraw/zinc/63/62/61/715636261.db2.gz MHCSCSWNRQWTOH-KRWDZBQOSA-N -1 1 315.373 1.618 20 0 DDADMM CC1CC(C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)C1 ZINC000956849676 715636575 /nfs/dbraw/zinc/63/65/75/715636575.db2.gz WVDRRCWXBPCUBX-MFGLSYLJSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C(F)F)CCN1C(=O)c1ncccc1[O-] ZINC000955649293 715892579 /nfs/dbraw/zinc/89/25/79/715892579.db2.gz IINJRIAAGGWYOP-RKDXNWHRSA-N -1 1 313.304 1.162 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])c1ccc[nH]1 ZINC000955979287 716047380 /nfs/dbraw/zinc/04/73/80/716047380.db2.gz DMCYHSJCTMOVMV-NSHDSACASA-N -1 1 314.345 1.150 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000940138657 716646408 /nfs/dbraw/zinc/64/64/08/716646408.db2.gz KNYKXFHELLLPOH-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)CC2CC2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959316412 716953986 /nfs/dbraw/zinc/95/39/86/716953986.db2.gz AGGMVNWTBWLUSF-DGCLKSJQSA-N -1 1 317.389 1.412 20 0 DDADMM CC(C)CC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000959355866 716980843 /nfs/dbraw/zinc/98/08/43/716980843.db2.gz VMCURJRDBPFEAN-CHWSQXEVSA-N -1 1 319.405 1.658 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CC(C)C)C1 ZINC000942759689 717859217 /nfs/dbraw/zinc/85/92/17/717859217.db2.gz ZVKZFOHSQVGNFQ-ZDUSSCGKSA-N -1 1 319.405 1.896 20 0 DDADMM CCC(=O)N1CCC2(CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000944331474 718289875 /nfs/dbraw/zinc/28/98/75/718289875.db2.gz ZOJSXPYANAPMDW-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM C[C@H]1CN(C(=O)C=C2CCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966314108 718511431 /nfs/dbraw/zinc/51/14/31/718511431.db2.gz UZUKGVLVULAAPV-AAEUAGOBSA-N -1 1 315.373 1.474 20 0 DDADMM CCC(=O)N1CCC[C@@H](C)[C@H]1CNC(=O)c1ncccc1[O-] ZINC000945684935 718526035 /nfs/dbraw/zinc/52/60/35/718526035.db2.gz KOAFLDXVTUACGE-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2ncccc2[O-])[C@H]1CNC(=O)C1CC1 ZINC000945752801 718553633 /nfs/dbraw/zinc/55/36/33/718553633.db2.gz BAGPOEVBWQGEIJ-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)NC[C@H]1CCC[C@@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000946206594 718711417 /nfs/dbraw/zinc/71/14/17/718711417.db2.gz ORKFCZXBORBWFP-YPMHNXCESA-N -1 1 318.377 1.094 20 0 DDADMM CCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])CC1(C)C ZINC000966917334 718712885 /nfs/dbraw/zinc/71/28/85/718712885.db2.gz YIAAKWGDDDAYTL-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM CCC(=O)N[C@@H]1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946328088 718746814 /nfs/dbraw/zinc/74/68/14/718746814.db2.gz CLXVDCJNCMBRMX-NWDGAFQWSA-N -1 1 305.378 1.602 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2(CC)CC2)C1 ZINC000967903402 719080988 /nfs/dbraw/zinc/08/09/88/719080988.db2.gz BCDZBSHYKSYSOC-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000948186961 719385985 /nfs/dbraw/zinc/38/59/85/719385985.db2.gz RZPMROKAKPXECB-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1(C)CC1 ZINC000948626418 719599529 /nfs/dbraw/zinc/59/95/29/719599529.db2.gz CYKAHELHRGYMJN-GHMZBOCLSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1cnc([C@@H](C)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC000968481470 719611222 /nfs/dbraw/zinc/61/12/22/719611222.db2.gz HXHSRKWYRAVYLK-VXGBXAGGSA-N -1 1 316.361 1.649 20 0 DDADMM Cc1occc1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949530707 720142452 /nfs/dbraw/zinc/14/24/52/720142452.db2.gz FCHGQQFKHRPECY-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ccsc1)c1ncccc1[O-] ZINC000949532183 720144137 /nfs/dbraw/zinc/14/41/37/720144137.db2.gz XXGBSBOVBRQGGM-LLVKDONJSA-N -1 1 317.370 1.493 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949539194 720147596 /nfs/dbraw/zinc/14/75/96/720147596.db2.gz ZPXDEYMMNAXJKN-RYUDHWBXSA-N -1 1 305.378 1.410 20 0 DDADMM CC(C)(F)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000949676563 720260163 /nfs/dbraw/zinc/26/01/63/720260163.db2.gz FDFJEBHJCXIWGU-JTQLQIEISA-N -1 1 309.341 1.256 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])[C@@H]1C[C@H]1C1CC1 ZINC000950196324 720521949 /nfs/dbraw/zinc/52/19/49/720521949.db2.gz HUNQVJMCQNMBSN-RWMBFGLXSA-N -1 1 315.373 1.164 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000951644019 721112342 /nfs/dbraw/zinc/11/23/42/721112342.db2.gz UXSMDOMSVUSFML-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H](NC(=O)C1CC1)[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000953596280 721629584 /nfs/dbraw/zinc/62/95/84/721629584.db2.gz ZJPMHIBVYWXZRL-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)=C(F)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954124366 721723538 /nfs/dbraw/zinc/72/35/38/721723538.db2.gz XIQALGLXFKSOPX-UHFFFAOYSA-N -1 1 307.325 1.333 20 0 DDADMM C[C@@H](C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000954128030 721724894 /nfs/dbraw/zinc/72/48/94/721724894.db2.gz PKFIOCSQWIXGTD-LLVKDONJSA-N -1 1 317.389 1.506 20 0 DDADMM C/C(=C/C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001010516973 732518301 /nfs/dbraw/zinc/51/83/01/732518301.db2.gz XICWTQFZKFJHDE-QXMHVHEDSA-N -1 1 315.373 1.428 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001020609043 732557710 /nfs/dbraw/zinc/55/77/10/732557710.db2.gz CXMUCGIVRIRZHB-AGIUHOORSA-N -1 1 319.405 1.799 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cnoc2C)c(Cl)n1 ZINC001187333451 744541616 /nfs/dbraw/zinc/54/16/16/744541616.db2.gz IZNNNASLIZQUEM-UHFFFAOYSA-N -1 1 303.727 1.841 20 0 DDADMM CC[C@H](F)C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021442726 733207834 /nfs/dbraw/zinc/20/78/34/733207834.db2.gz WOZUJPKBILMIPJ-SDDRHHMPSA-N -1 1 321.352 1.208 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@@H]3CN(CCF)CC[C@@H]32)c1[O-] ZINC001021565910 733317180 /nfs/dbraw/zinc/31/71/80/733317180.db2.gz FTEBAKFHHGDHBV-NEPJUHHUSA-N -1 1 310.373 1.320 20 0 DDADMM CCC[C@H](C[N-]S(=O)(=O)c1ccc(Cl)nc1F)OC ZINC000692872031 738709699 /nfs/dbraw/zinc/70/96/99/738709699.db2.gz IUQQWRJVXTXQIZ-MRVPVSSYSA-N -1 1 310.778 1.968 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@H]1/C=C\c1ccccc1 ZINC001121268345 782433076 /nfs/dbraw/zinc/43/30/76/782433076.db2.gz MSLOCBZUAJWBRE-XPSMFNQNSA-N -1 1 322.376 1.677 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@H]1/C=C\c1ccccc1 ZINC001121268345 782433078 /nfs/dbraw/zinc/43/30/78/782433078.db2.gz MSLOCBZUAJWBRE-XPSMFNQNSA-N -1 1 322.376 1.677 20 0 DDADMM O=C(N[C@@H](CO)c1ccc(F)cc1F)C(=O)c1ccc([O-])cc1 ZINC001167613533 734832746 /nfs/dbraw/zinc/83/27/46/734832746.db2.gz YJSOWSRNLKCLGX-AWEZNQCLSA-N -1 1 321.279 1.703 20 0 DDADMM O=C(N[C@H]1CCN(CCc2ccns2)C1)c1ccccc1O ZINC001010266903 738938645 /nfs/dbraw/zinc/93/86/45/738938645.db2.gz HQAHRUAFCDBDEW-LBPRGKRZSA-N -1 1 317.414 1.896 20 0 DDADMM O=S(=O)([N-]CC[C@@H]1CCOC1)c1ccc(Cl)nc1F ZINC000692888636 738959168 /nfs/dbraw/zinc/95/91/68/738959168.db2.gz LOHGOCSKONICSU-MRVPVSSYSA-N -1 1 308.762 1.579 20 0 DDADMM CC(=O)N1CCC[C@@H](N(C)Cc2nc(=O)c3sccc3[n-]2)C1 ZINC001027255174 738134183 /nfs/dbraw/zinc/13/41/83/738134183.db2.gz BMJYHNDLFBINQW-LLVKDONJSA-N -1 1 320.418 1.840 20 0 DDADMM CCCCc1nc(CNCCNC(=O)c2ncccc2[O-])no1 ZINC001126118861 738326867 /nfs/dbraw/zinc/32/68/67/738326867.db2.gz KEZDVIFVSOEMNQ-UHFFFAOYSA-N -1 1 319.365 1.032 20 0 DDADMM CC(C)[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692824669 738378353 /nfs/dbraw/zinc/37/83/53/738378353.db2.gz PUXDLZRTGTUDFY-NSHDSACASA-N -1 1 310.778 1.559 20 0 DDADMM CC(C)=CCN1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035345439 751427552 /nfs/dbraw/zinc/42/75/52/751427552.db2.gz ZBWMGBVSFCDHDA-CYBMUJFWSA-N -1 1 305.378 1.184 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001017088671 751459704 /nfs/dbraw/zinc/45/97/04/751459704.db2.gz UCTHIRWTISDKLY-VXGBXAGGSA-N -1 1 321.425 1.227 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(C)(F)F ZINC001012169969 740268446 /nfs/dbraw/zinc/26/84/46/740268446.db2.gz JGZYMKJOFSPTRX-DTWKUNHWSA-N -1 1 313.304 1.162 20 0 DDADMM O=C(C1CC=CC1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088285584 741328291 /nfs/dbraw/zinc/32/82/91/741328291.db2.gz HXHQIQIRVXXDBY-ZDUSSCGKSA-N -1 1 319.409 1.337 20 0 DDADMM CCC1(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001029811689 741339615 /nfs/dbraw/zinc/33/96/15/741339615.db2.gz KDGNYHRDHHXMCE-LBPRGKRZSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)F)c1ccc(NC2(CO)COC2)cc1 ZINC001168181998 741751110 /nfs/dbraw/zinc/75/11/10/741751110.db2.gz HYOMKIFUXIFYJE-VIFPVBQESA-N -1 1 318.295 1.599 20 0 DDADMM Cc1ccnc(NCCC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001114911946 751704416 /nfs/dbraw/zinc/70/44/16/751704416.db2.gz VFQAKQSDCDQAAJ-NSHDSACASA-N -1 1 315.377 1.896 20 0 DDADMM C[C@@H](C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-])C1CCC1 ZINC001076247266 742619669 /nfs/dbraw/zinc/61/96/69/742619669.db2.gz GHMOLVUHSQMLRX-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(CCCF)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001060122462 742767391 /nfs/dbraw/zinc/76/73/91/742767391.db2.gz DRSLVIFLGJJDON-LBPRGKRZSA-N -1 1 323.368 1.505 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002181361 742929418 /nfs/dbraw/zinc/92/94/18/742929418.db2.gz FSVRBUNDCDJPLI-STQMWFEESA-N -1 1 319.405 1.896 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C1(c2ccccc2)COC1 ZINC001181552652 743239511 /nfs/dbraw/zinc/23/95/11/743239511.db2.gz IAEGIVGFVHYXCO-UHFFFAOYSA-N -1 1 316.273 1.192 20 0 DDADMM CC1(C)OC[C@H](C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)O1 ZINC001181745730 743324175 /nfs/dbraw/zinc/32/41/75/743324175.db2.gz MLVXOAYRKJZCTG-GFCCVEGCSA-N -1 1 303.318 1.668 20 0 DDADMM CN(C1CN(C(=O)Cc2ccc([S-])cc2)C1)[C@H]1CCOC1 ZINC001183189877 743793065 /nfs/dbraw/zinc/79/30/65/743793065.db2.gz WPJBOZQQTYRJFU-ZDUSSCGKSA-N -1 1 306.431 1.449 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H](O)c1ccccc1C1CCCCC1 ZINC001183656926 743887857 /nfs/dbraw/zinc/88/78/57/743887857.db2.gz GAOVLOSVYVXTRK-HNNXBMFYSA-N -1 1 315.377 1.597 20 0 DDADMM CC(C)(C)C(=O)NCCCC(=O)NCCCC[P@](=O)([O-])O ZINC001183943315 743932898 /nfs/dbraw/zinc/93/28/98/743932898.db2.gz FCHWKUWXDJVFKR-UHFFFAOYSA-N -1 1 322.342 1.003 20 0 DDADMM CC(C)C[C@](C)(O)C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001183963829 743943636 /nfs/dbraw/zinc/94/36/36/743943636.db2.gz VNSNRUPENVQUDJ-ZDUSSCGKSA-N -1 1 311.342 1.382 20 0 DDADMM Cc1noc(C)c1CN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001030239922 743976388 /nfs/dbraw/zinc/97/63/88/743976388.db2.gz POEJMBGJCKHPRR-UHFFFAOYSA-N -1 1 302.334 1.006 20 0 DDADMM Cc1ccc(CN2CC(NC(=O)c3ncccc3[O-])C2)cc1F ZINC001030241545 743977549 /nfs/dbraw/zinc/97/75/49/743977549.db2.gz GZZQYDWNQVTBHG-UHFFFAOYSA-N -1 1 315.348 1.849 20 0 DDADMM CCc1nc(CN2CC(NC(=O)c3ncccc3[O-])C2)cs1 ZINC001030243539 743979416 /nfs/dbraw/zinc/97/94/16/743979416.db2.gz GKNMMGBYIYLIEE-UHFFFAOYSA-N -1 1 318.402 1.420 20 0 DDADMM CN(C)c1nc(NC(=O)[C@H]2CCCC[C@H]2CO)c(N=O)c(=O)[n-]1 ZINC001184160291 743987318 /nfs/dbraw/zinc/98/73/18/743987318.db2.gz RXSILKULDFDKRK-IUCAKERBSA-N -1 1 323.353 1.383 20 0 DDADMM CC1(C)OCC(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CO1 ZINC001184458658 744048235 /nfs/dbraw/zinc/04/82/35/744048235.db2.gz ZYKXFUZKDLCDGQ-UHFFFAOYSA-N -1 1 317.345 1.916 20 0 DDADMM O=S(=O)([N-]c1ccc2nccn2c1)c1cnc(Cl)nc1 ZINC001185005370 744135904 /nfs/dbraw/zinc/13/59/04/744135904.db2.gz FGOYTKZRNDYZMW-UHFFFAOYSA-N -1 1 309.738 1.579 20 0 DDADMM COc1ccc(CNC(=O)Nc2ccc(C(N)=O)c([O-])c2)cc1 ZINC001185554347 744246274 /nfs/dbraw/zinc/24/62/74/744246274.db2.gz NXEAIDDTKHDRHI-UHFFFAOYSA-N -1 1 315.329 1.821 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@H](O)c2ccccc2)[n-]1 ZINC001185789354 744286478 /nfs/dbraw/zinc/28/64/78/744286478.db2.gz DYDDDWNGTLZBPF-LBPRGKRZSA-N -1 1 303.318 1.649 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@H](O)c2ccccc2)n1 ZINC001185789354 744286480 /nfs/dbraw/zinc/28/64/80/744286480.db2.gz DYDDDWNGTLZBPF-LBPRGKRZSA-N -1 1 303.318 1.649 20 0 DDADMM CCOC(=O)[C@H]1CCN(C(=O)c2ccc([O-])c(F)c2)CCC1=O ZINC001186218187 744365938 /nfs/dbraw/zinc/36/59/38/744365938.db2.gz GUHHFWABDAZXJR-NSHDSACASA-N -1 1 323.320 1.516 20 0 DDADMM CCc1c(NC(=O)c2ccc([O-])cc2F)c(C(N)=O)nn1C ZINC001186318060 744373737 /nfs/dbraw/zinc/37/37/37/744373737.db2.gz DSBBORZYZBOKAN-UHFFFAOYSA-N -1 1 306.297 1.178 20 0 DDADMM CCOC(=O)c1nc[nH]c1CNC(=O)c1ccc([O-])cc1F ZINC001186337146 744388721 /nfs/dbraw/zinc/38/87/21/744388721.db2.gz AQNXXIXHIZGPOL-UHFFFAOYSA-N -1 1 307.281 1.361 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1c(O)cccc1Br ZINC001187283678 744534092 /nfs/dbraw/zinc/53/40/92/744534092.db2.gz OAZZQJANPJBXIX-UHFFFAOYSA-N -1 1 324.196 1.933 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(C)c(C(=O)OC)c1F ZINC001187295313 744543284 /nfs/dbraw/zinc/54/32/84/744543284.db2.gz ALDRTQDTDHJXBR-UHFFFAOYSA-N -1 1 319.354 1.699 20 0 DDADMM COc1ccc(C2([N-]S(=O)(=O)c3cnoc3C)CC2)cc1 ZINC001187410917 744557850 /nfs/dbraw/zinc/55/78/50/744557850.db2.gz YONSUZBZZJOUAY-UHFFFAOYSA-N -1 1 308.359 1.959 20 0 DDADMM CSc1nc(NC(=O)Cc2ccc3[nH]ccc3n2)cc(=O)[n-]1 ZINC001187413911 744559410 /nfs/dbraw/zinc/55/94/10/744559410.db2.gz KIQAIEBLBFKGMF-UHFFFAOYSA-N -1 1 315.358 1.962 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1cccc(F)c1)c1nccs1 ZINC001187913529 744629288 /nfs/dbraw/zinc/62/92/88/744629288.db2.gz ZBFYKIATBLIEQA-JTQLQIEISA-N -1 1 302.352 1.294 20 0 DDADMM N=C(NC(=O)c1n[n-]nc1C(F)(F)F)c1ccc(F)cn1 ZINC001187771135 744599246 /nfs/dbraw/zinc/59/92/46/744599246.db2.gz WLOUAQAKANWNCK-UHFFFAOYSA-N -1 1 302.191 1.113 20 0 DDADMM O=S(=O)([N-][C@H](CCO)c1ccc(F)cc1)c1nccs1 ZINC001187907347 744627972 /nfs/dbraw/zinc/62/79/72/744627972.db2.gz AVOAYLKEEISJJZ-LLVKDONJSA-N -1 1 316.379 1.684 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1nccs1)c1ccc(F)cn1 ZINC001187920904 744635337 /nfs/dbraw/zinc/63/53/37/744635337.db2.gz TYELNULEUBIPIC-UHFFFAOYSA-N -1 1 315.395 1.933 20 0 DDADMM Cc1ccnc(N[C@H](C)C[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001089272572 744846994 /nfs/dbraw/zinc/84/69/94/744846994.db2.gz PRBMQRKZZIJMCT-NWDGAFQWSA-N -1 1 315.377 1.895 20 0 DDADMM O=c1[nH]cncc1[N-]S(=O)(=O)Cc1cccc(F)c1F ZINC001189425107 744893846 /nfs/dbraw/zinc/89/38/46/744893846.db2.gz AUHCGULLTCRWNP-UHFFFAOYSA-N -1 1 301.274 1.402 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3c[nH]nc3-c3ccccc3)c1-2 ZINC001189685771 744945570 /nfs/dbraw/zinc/94/55/70/744945570.db2.gz VTUFNFIMMNIJTQ-UHFFFAOYSA-N -1 1 319.328 1.677 20 0 DDADMM O=S(=O)(CCCF)[N-]c1c(F)cccc1OC1COC1 ZINC001189920915 745037040 /nfs/dbraw/zinc/03/70/40/745037040.db2.gz AHYZTFVDIIDXJY-UHFFFAOYSA-N -1 1 307.318 1.705 20 0 DDADMM Cn1ccnc1CNC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190256964 745163423 /nfs/dbraw/zinc/16/34/23/745163423.db2.gz BCLAPWXMRIPDHP-UHFFFAOYSA-N -1 1 309.329 1.513 20 0 DDADMM Cn1cc(CCNC(=O)c2cnc(-c3ccccc3)[n-]c2=O)cn1 ZINC001190267437 745167484 /nfs/dbraw/zinc/16/74/84/745167484.db2.gz GZNZZDQZGLPTON-UHFFFAOYSA-N -1 1 323.356 1.555 20 0 DDADMM COc1cc(C(=O)N2CCNCC(F)(F)C2)cc(Cl)c1[O-] ZINC001191138544 745443252 /nfs/dbraw/zinc/44/32/52/745443252.db2.gz GISUNJPFYZQXIL-UHFFFAOYSA-N -1 1 320.723 1.735 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccccc1N1CCCC1 ZINC001191246996 745464534 /nfs/dbraw/zinc/46/45/34/745464534.db2.gz QDBSOTANVHKKOX-UHFFFAOYSA-N -1 1 324.344 1.911 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)NC1CCCCCC1 ZINC001191283792 745471077 /nfs/dbraw/zinc/47/10/77/745471077.db2.gz WDTOVIIPJKHFPR-UHFFFAOYSA-N -1 1 306.326 1.560 20 0 DDADMM CCN(C)c1ncc(NC(=O)c2cnc(SC)[n-]c2=O)cn1 ZINC001191429720 745509367 /nfs/dbraw/zinc/50/93/67/745509367.db2.gz OVIUFDJOKJHLGN-UHFFFAOYSA-N -1 1 320.378 1.403 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H](c3cccnc3)C2)c(=O)[n-]1 ZINC001191431622 745511405 /nfs/dbraw/zinc/51/14/05/745511405.db2.gz ZSVOWIAMDJGNNG-NSHDSACASA-N -1 1 316.386 1.929 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](O)c2ccc(O)cc2)c(=O)[n-]1 ZINC001191436697 745512063 /nfs/dbraw/zinc/51/20/63/745512063.db2.gz IMAWFHFSSYXIHW-NSHDSACASA-N -1 1 321.358 1.073 20 0 DDADMM COCc1ccc(CNC(=O)c2cnc(SC)[n-]c2=O)cc1 ZINC001191441319 745513779 /nfs/dbraw/zinc/51/37/79/745513779.db2.gz UKOPVAMBUBWCKZ-UHFFFAOYSA-N -1 1 319.386 1.981 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1nccnc1-c1ccccc1 ZINC001191463473 745528022 /nfs/dbraw/zinc/52/80/22/745528022.db2.gz LBVCHZXXCWDJSD-UHFFFAOYSA-N -1 1 324.300 1.179 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@H](O)C1)C(Cl)(Cl)Cl ZINC001192049962 745671899 /nfs/dbraw/zinc/67/18/99/745671899.db2.gz OIQDQVJAHWUTLM-RQJHMYQMSA-N -1 1 310.630 1.785 20 0 DDADMM CC(=O)Nc1cc(NC(=O)c2cc([O-])cc(F)c2F)ncn1 ZINC001192651345 745845306 /nfs/dbraw/zinc/84/53/06/745845306.db2.gz GRFLNSDSWBOFMY-UHFFFAOYSA-N -1 1 308.244 1.671 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)c2c(F)ccc(F)c2[O-])n1 ZINC001192694219 745864831 /nfs/dbraw/zinc/86/48/31/745864831.db2.gz DRYWZAMTUJSQGQ-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM O=S(=O)([N-]Cc1c[nH]cn1)c1cc(Cl)cnc1Cl ZINC001193082315 745970893 /nfs/dbraw/zinc/97/08/93/745970893.db2.gz OTSPRTUCDGZFMN-UHFFFAOYSA-N -1 1 307.162 1.590 20 0 DDADMM CC(C)(C)CCN1CC(NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001031251778 746025826 /nfs/dbraw/zinc/02/58/26/746025826.db2.gz YJPBOHBOJLOFTE-UHFFFAOYSA-N -1 1 318.377 1.779 20 0 DDADMM COC(=O)c1c(O)cccc1NC(=O)c1nccc(OC)c1[O-] ZINC001193531619 746121278 /nfs/dbraw/zinc/12/12/78/746121278.db2.gz MHLKHQQLAUJTGI-UHFFFAOYSA-N -1 1 318.285 1.540 20 0 DDADMM COC(=O)c1scnc1NC(=O)c1c[n-]c(C(F)(F)F)n1 ZINC001194770034 746461698 /nfs/dbraw/zinc/46/16/98/746461698.db2.gz CTFJEMQODXLTAV-UHFFFAOYSA-N -1 1 320.252 1.924 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC[C@@H](O)[C@@H]2Cc2ccccc2)n1 ZINC001195321117 746563690 /nfs/dbraw/zinc/56/36/90/746563690.db2.gz BTZQWEHHZGMQGH-LSDHHAIUSA-N -1 1 312.369 1.914 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc2c(c1)C(=O)NCCO2 ZINC001195449488 746603997 /nfs/dbraw/zinc/60/39/97/746603997.db2.gz XTDXGUPGBGMYGP-UHFFFAOYSA-N -1 1 309.347 1.252 20 0 DDADMM CC[C@H](C[C@@H](C)O)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000716955064 746691159 /nfs/dbraw/zinc/69/11/59/746691159.db2.gz SDQHGQOHAMWLMG-HTQZYQBOSA-N -1 1 311.325 1.932 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-][C@H](c1ncccc1F)C1CC1 ZINC001196004851 746753008 /nfs/dbraw/zinc/75/30/08/746753008.db2.gz QVEZJPAWYUWTNF-LBPRGKRZSA-N -1 1 316.354 1.154 20 0 DDADMM O=C(Nc1ccccc1-n1cncn1)c1c[nH]c(=S)[n-]c1=O ZINC001196022075 746759228 /nfs/dbraw/zinc/75/92/28/746759228.db2.gz WMWPTLUUTYLAGD-UHFFFAOYSA-N -1 1 314.330 1.304 20 0 DDADMM O=C(NCc1nccc2ccccc21)c1c[nH]c(=S)[n-]c1=O ZINC001196023054 746759845 /nfs/dbraw/zinc/75/98/45/746759845.db2.gz CSOFQYYEBUJCRG-UHFFFAOYSA-N -1 1 312.354 1.949 20 0 DDADMM O=C(NCCc1cn2ccccc2n1)c1c[nH]c(=S)[n-]c1=O ZINC001196024544 746761029 /nfs/dbraw/zinc/76/10/29/746761029.db2.gz UHWAMMYIYKMBCK-UHFFFAOYSA-N -1 1 315.358 1.091 20 0 DDADMM O=C(N[C@H]1CC[C@H]([N-]C(=O)C(F)(F)F)CC1)[C@@H]1CCCO1 ZINC001142771410 747336726 /nfs/dbraw/zinc/33/67/26/747336726.db2.gz YPXWYSKQFPINJH-GUBZILKMSA-N -1 1 308.300 1.271 20 0 DDADMM O=S(=O)([N-]CCOC(F)(F)F)c1cccc(Cl)n1 ZINC001198246240 747426555 /nfs/dbraw/zinc/42/65/55/747426555.db2.gz FUKCZSIJIPKMNH-UHFFFAOYSA-N -1 1 304.677 1.550 20 0 DDADMM CCn1ccc([N-]S(=O)(=O)c2cccc(Cl)n2)cc1=O ZINC001198246195 747426785 /nfs/dbraw/zinc/42/67/85/747426785.db2.gz DULGMCSVJXZZFF-UHFFFAOYSA-N -1 1 313.766 1.717 20 0 DDADMM C=CS(=O)(=O)[N-]c1cc(N2C[C@H](C)O[C@@H](C)C2)nc(C)n1 ZINC001198570297 747530023 /nfs/dbraw/zinc/53/00/23/747530023.db2.gz ZILQJNWQQFESEW-UWVGGRQHSA-N -1 1 312.395 1.284 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnoc3C(F)(F)F)c1-2 ZINC001199046468 747685053 /nfs/dbraw/zinc/68/50/53/747685053.db2.gz GHWJBFUNLFPREH-UHFFFAOYSA-N -1 1 312.211 1.294 20 0 DDADMM C[C@@]1(C(=O)N2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cnn[nH]2)C3)C=CCC1 ZINC001003935940 747775916 /nfs/dbraw/zinc/77/59/16/747775916.db2.gz JFOYWMHMLBRCSB-RLSLPTNOSA-N -1 1 315.377 1.023 20 0 DDADMM CC(C)CN1CCC[C@H]1C(=O)[N-]S(=O)(=O)c1cccs1 ZINC001201478216 748533689 /nfs/dbraw/zinc/53/36/89/748533689.db2.gz ALAKWNJJPNZDAA-NSHDSACASA-N -1 1 316.448 1.673 20 0 DDADMM COC(C)(C[N-]S(=O)(=O)c1sc(Cl)nc1C)OC ZINC001201763981 748597061 /nfs/dbraw/zinc/59/70/61/748597061.db2.gz IOZMGWLECXQQHA-UHFFFAOYSA-N -1 1 314.816 1.392 20 0 DDADMM CC(C)C(=O)N1CC[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001004750292 748715435 /nfs/dbraw/zinc/71/54/35/748715435.db2.gz JYFLPCHEMAKHPR-VXGBXAGGSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1(C)CC1 ZINC001004929631 748935838 /nfs/dbraw/zinc/93/58/38/748935838.db2.gz PTXIJGFAGKUYHB-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)N(C)[C@@H]1CC[N@H+](C/C=C\Cl)C1 ZINC001033345016 749235055 /nfs/dbraw/zinc/23/50/55/749235055.db2.gz UKMTWDLMAQXZOL-USEMIUTHSA-N -1 1 310.785 1.389 20 0 DDADMM C[C@@H](CNc1nc(C2CC2)ns1)NC(=O)c1ncccc1[O-] ZINC001108323608 761934524 /nfs/dbraw/zinc/93/45/24/761934524.db2.gz UDCGUWVNYQYTHG-QMMMGPOBSA-N -1 1 319.390 1.747 20 0 DDADMM CC(C)C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996141655 749393664 /nfs/dbraw/zinc/39/36/64/749393664.db2.gz HQFKWLCSEVOIKX-WOPDTQHZSA-N -1 1 303.362 1.305 20 0 DDADMM Cc1cocc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996156479 749405776 /nfs/dbraw/zinc/40/57/76/749405776.db2.gz XXGUXNSRJGLOJV-NSHDSACASA-N -1 1 319.365 1.052 20 0 DDADMM COC[C@H](C)[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC001095344373 749582707 /nfs/dbraw/zinc/58/27/07/749582707.db2.gz SZCIJNLEMXVRAE-RNJOBUHISA-N -1 1 305.378 1.157 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)C1(C2CC2)CCC1 ZINC000996556963 749670308 /nfs/dbraw/zinc/67/03/08/749670308.db2.gz KYAOYGSSRLNOGR-UHFFFAOYSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@@H]1C[C@@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)[C@H](C)O1 ZINC000686393461 750366444 /nfs/dbraw/zinc/36/64/44/750366444.db2.gz JIUPZVYHLQAKJW-VGMNWLOBSA-N -1 1 322.789 1.966 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034991809 751108287 /nfs/dbraw/zinc/10/82/87/751108287.db2.gz MCNTWKMSZDOCSA-IJLUTSLNSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@H]1CCCN(C(=O)c2ccco2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036490382 752481240 /nfs/dbraw/zinc/48/12/40/752481240.db2.gz GGZNRPSAOPOMAE-WDEREUQCSA-N -1 1 319.365 1.134 20 0 DDADMM CCC1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC000999942298 753263689 /nfs/dbraw/zinc/26/36/89/753263689.db2.gz AVPSVRNWBFDDOK-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM O=C(C1CC2(CCC2)C1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999944494 753265689 /nfs/dbraw/zinc/26/56/89/753265689.db2.gz QYUMFVOUZMUWPU-LBPRGKRZSA-N -1 1 319.409 1.171 20 0 DDADMM CC1(C)CCC[C@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999956591 753272282 /nfs/dbraw/zinc/27/22/82/753272282.db2.gz OYRRUHHSBSFLGK-NEPJUHHUSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)C2CCCC2)CC1 ZINC001009720730 753324822 /nfs/dbraw/zinc/32/48/22/753324822.db2.gz BAKBDEJPXJSZJM-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CCC2CC2)C1 ZINC001005949533 753409214 /nfs/dbraw/zinc/40/92/14/753409214.db2.gz GXMWROIOKNIJIJ-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM C/C=C(\C)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005950178 753410225 /nfs/dbraw/zinc/41/02/25/753410225.db2.gz IFAWBGABGPVTEG-INJWIWPUSA-N -1 1 303.362 1.330 20 0 DDADMM CC(C)CC(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005953609 753414881 /nfs/dbraw/zinc/41/48/81/753414881.db2.gz TZWPHUCCNDBCRQ-LLVKDONJSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C(F)F ZINC001009913261 753463825 /nfs/dbraw/zinc/46/38/25/753463825.db2.gz XHPNPGALPUXNAN-IUCAKERBSA-N -1 1 313.304 1.019 20 0 DDADMM O=C(CCCF)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839717 753760819 /nfs/dbraw/zinc/76/08/19/753760819.db2.gz BLPUVRISFTVSBP-UHFFFAOYSA-N -1 1 309.341 1.306 20 0 DDADMM Cc1cc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)sn1 ZINC001010734365 754130956 /nfs/dbraw/zinc/13/09/56/754130956.db2.gz SZFBRQMNMKWIEI-LLVKDONJSA-N -1 1 318.402 1.556 20 0 DDADMM C[C@H](c1ncccn1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010735181 754132231 /nfs/dbraw/zinc/13/22/31/754132231.db2.gz XBNCHVGXAJVJOE-NEPJUHHUSA-N -1 1 313.361 1.143 20 0 DDADMM O=C(N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)C1=CCCC1 ZINC001078597047 754216655 /nfs/dbraw/zinc/21/66/55/754216655.db2.gz QDUFPNGQRQQBRR-VXGBXAGGSA-N -1 1 317.393 1.138 20 0 DDADMM O=C(N[C@H]1CCC[C@H]1CNc1ncccn1)c1ncccc1[O-] ZINC001064093495 754494581 /nfs/dbraw/zinc/49/45/81/754494581.db2.gz KKPKCMBPBQXQJF-RYUDHWBXSA-N -1 1 313.361 1.588 20 0 DDADMM C[C@H]1[C@H](NC(=O)CC2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011671384 754669134 /nfs/dbraw/zinc/66/91/34/754669134.db2.gz GROCJOFYHUNBJB-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM CCN(C(=O)C=C(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079256327 755190324 /nfs/dbraw/zinc/19/03/24/755190324.db2.gz KXWKIRLFEVLIDW-UHFFFAOYSA-N -1 1 303.362 1.426 20 0 DDADMM CCN(C(=O)CC1(C)CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080116005 755715651 /nfs/dbraw/zinc/71/56/51/755715651.db2.gz JNOSNYXHTCJDDF-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM COCOc1cnc(NCc2cc(=O)[n-]o2)cc1C=CN(C)C ZINC001169483674 762445503 /nfs/dbraw/zinc/44/55/03/762445503.db2.gz CYTCXAFRUQGWLQ-SNAWJCMRSA-N -1 1 320.349 1.902 20 0 DDADMM O=C(NC[C@H]1CC[C@H](NC(=O)C2CC2)C1)c1ncccc1[O-] ZINC001015286751 756217636 /nfs/dbraw/zinc/21/76/36/756217636.db2.gz ISALWHKXRALKJM-JQWIXIFHSA-N -1 1 303.362 1.212 20 0 DDADMM CCC(=O)N(C)C1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001016134290 756777155 /nfs/dbraw/zinc/77/71/55/756777155.db2.gz UWYVTNUSYUNAPR-UHFFFAOYSA-N -1 1 318.377 1.189 20 0 DDADMM CC[C@H](F)CN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053231887 758287710 /nfs/dbraw/zinc/28/77/10/758287710.db2.gz DUSJHGAZMGPQLK-LBPRGKRZSA-N -1 1 323.368 1.062 20 0 DDADMM C[C@H](C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001085162043 758320726 /nfs/dbraw/zinc/32/07/26/758320726.db2.gz BIRFDLQIDFQKCS-MVWJERBFSA-N -1 1 307.398 1.073 20 0 DDADMM CCCCN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784503 758809599 /nfs/dbraw/zinc/80/95/99/758809599.db2.gz POQGISDFSWBXMY-GFCCVEGCSA-N -1 1 305.378 1.160 20 0 DDADMM COc1ccc(C(C)(C)C(=O)N(C)c2nn[nH]n2)cc1OC ZINC000824602550 759194725 /nfs/dbraw/zinc/19/47/25/759194725.db2.gz OTWHFQLXCXMCHW-UHFFFAOYSA-N -1 1 305.338 1.158 20 0 DDADMM COc1ccc(C(C)(C)C(=O)N(C)c2nn[n-]n2)cc1OC ZINC000824602550 759194730 /nfs/dbraw/zinc/19/47/30/759194730.db2.gz OTWHFQLXCXMCHW-UHFFFAOYSA-N -1 1 305.338 1.158 20 0 DDADMM CC1(C)CCC[C@@](O)(C(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC000827676376 759360723 /nfs/dbraw/zinc/36/07/23/759360723.db2.gz BREXMNAHAIKUKH-HNNXBMFYSA-N -1 1 307.398 1.237 20 0 DDADMM CC1(C)CCCC[C@@H]1CC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000827968054 759431631 /nfs/dbraw/zinc/43/16/31/759431631.db2.gz UNRZUNCEBSQSDQ-NEPJUHHUSA-N -1 1 307.398 1.706 20 0 DDADMM CC1(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCCCC1 ZINC001019323899 759800573 /nfs/dbraw/zinc/80/05/73/759800573.db2.gz GZCIUZKJRZKJEC-NSHDSACASA-N -1 1 307.398 1.171 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)C2CCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054935863 760202547 /nfs/dbraw/zinc/20/25/47/760202547.db2.gz ATAHYACYLXWTMW-JQWIXIFHSA-N -1 1 321.425 1.273 20 0 DDADMM O=C(NC[C@H]1C[C@H](Nc2cccc(F)n2)C1)c1ncccc1[O-] ZINC001046796339 767932101 /nfs/dbraw/zinc/93/21/01/767932101.db2.gz CYDZSEGHSXMLPT-XYPYZODXSA-N -1 1 316.336 1.942 20 0 DDADMM C[C@H]1CN(C(=O)CC2CC(C)(C)C2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001055001004 760278804 /nfs/dbraw/zinc/27/88/04/760278804.db2.gz BVYVKJRDLUMSQB-JQWIXIFHSA-N -1 1 321.425 1.273 20 0 DDADMM Cc1nc([C@H](C)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001020098205 760550513 /nfs/dbraw/zinc/55/05/13/760550513.db2.gz AYLKHBHBZHAVLK-LSJOCFKGSA-N -1 1 317.349 1.090 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](Nc2cc(F)ncn2)C1 ZINC001056612441 761288015 /nfs/dbraw/zinc/28/80/15/761288015.db2.gz JURZNPLMWBNEIL-SECBINFHSA-N -1 1 303.297 1.043 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001545291 762948917 /nfs/dbraw/zinc/94/89/17/762948917.db2.gz MQENEPSUQIEKMY-LLVKDONJSA-N -1 1 305.378 1.268 20 0 DDADMM CCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530470 763715579 /nfs/dbraw/zinc/71/55/79/763715579.db2.gz NLGSEJFSVFGCJG-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1ccc(N[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])nn1 ZINC001112983571 765082421 /nfs/dbraw/zinc/08/24/21/765082421.db2.gz NIMQEORGXJJNGL-MNOVXSKESA-N -1 1 301.350 1.505 20 0 DDADMM CN(C(=O)c1ccc2oc(=O)nc-2[n-]1)C1CN(CC2CC2)C1 ZINC001044181726 765371535 /nfs/dbraw/zinc/37/15/35/765371535.db2.gz HTYYBILTMPYCBA-UHFFFAOYSA-N -1 1 302.334 1.095 20 0 DDADMM CC(C)C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052422251 765809682 /nfs/dbraw/zinc/80/96/82/765809682.db2.gz QORCUVASKUUDAB-VXGBXAGGSA-N -1 1 305.378 1.268 20 0 DDADMM Cc1conc1CNC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001045008827 766025736 /nfs/dbraw/zinc/02/57/36/766025736.db2.gz UFHJKECRRPEVBD-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM Cc1cc2ncccc2nc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170216368 766175571 /nfs/dbraw/zinc/17/55/71/766175571.db2.gz KANUOCJDLZHRCZ-UHFFFAOYSA-N -1 1 309.289 1.711 20 0 DDADMM CCCOc1nccnc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170217740 766176164 /nfs/dbraw/zinc/17/61/64/766176164.db2.gz TVRULVLBHDFNHJ-UHFFFAOYSA-N -1 1 303.282 1.038 20 0 DDADMM Cc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cnc1OC(C)C ZINC001170220164 766178865 /nfs/dbraw/zinc/17/88/65/766178865.db2.gz UHYLLMOWQFYEJS-UHFFFAOYSA-N -1 1 316.321 1.950 20 0 DDADMM CC(C)COc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)ccn1 ZINC001170220924 766179245 /nfs/dbraw/zinc/17/92/45/766179245.db2.gz YWMWDGYVUPRJEL-UHFFFAOYSA-N -1 1 316.321 1.889 20 0 DDADMM CSc1ncc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(C)n1 ZINC001170223898 766197071 /nfs/dbraw/zinc/19/70/71/766197071.db2.gz SXKYMCHCUVKGES-UHFFFAOYSA-N -1 1 305.323 1.280 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ncnc3cc4c(cc32)OCO4)[n-]1 ZINC001170227126 766200982 /nfs/dbraw/zinc/20/09/82/766200982.db2.gz ZGBRUHXKRUNVDX-UHFFFAOYSA-N -1 1 323.272 1.474 20 0 DDADMM COC(=O)[C@H](C)c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)nc1 ZINC001170227244 766201113 /nfs/dbraw/zinc/20/11/13/766201113.db2.gz IGYGLNNDUOGKQB-SSDOTTSWSA-N -1 1 314.305 1.474 20 0 DDADMM O=c1nc2[nH]cnc2c(Nc2cc(Cl)cn3ncnc23)[nH]1 ZINC001170226952 766201530 /nfs/dbraw/zinc/20/15/30/766201530.db2.gz DGENCMMXIRRBSG-UHFFFAOYSA-N -1 1 302.685 1.498 20 0 DDADMM CC1(c2ccc(Nc3[n-]c(=O)nc4nc[nH]c43)cn2)OCCO1 ZINC001170230551 766205187 /nfs/dbraw/zinc/20/51/87/766205187.db2.gz VHZDRNRDBBGWGB-UHFFFAOYSA-N -1 1 314.305 1.417 20 0 DDADMM C[C@H]1C[C@@H](Nc2cc(F)ncn2)CN1C(=O)c1ncccc1[O-] ZINC001069065190 767813809 /nfs/dbraw/zinc/81/38/09/767813809.db2.gz HUCMPAGIQKQULU-VHSXEESVSA-N -1 1 317.324 1.431 20 0 DDADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C/C=C/Cl)c1ncccc1[O-] ZINC001096991130 771559614 /nfs/dbraw/zinc/55/96/14/771559614.db2.gz KGBLGDSOACCIKU-PSAXRQPBSA-N -1 1 307.781 1.875 20 0 DDADMM C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@@](C)(O)c1ccccc1 ZINC001144682983 772595773 /nfs/dbraw/zinc/59/57/73/772595773.db2.gz LPLLWTTWTLZQLP-KZULUSFZSA-N -1 1 313.353 1.987 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@H](O)c3cccc(Cl)c3)c1-2 ZINC001144816150 772628443 /nfs/dbraw/zinc/62/84/43/772628443.db2.gz VXGJTQQCUVVWRT-LLVKDONJSA-N -1 1 317.736 1.360 20 0 DDADMM Cc1cccc2ncc(NC(=O)c3c([O-])c(C)ncc3CO)n21 ZINC001147837145 773264280 /nfs/dbraw/zinc/26/42/80/773264280.db2.gz VCBLNFRXGCNLCF-UHFFFAOYSA-N -1 1 312.329 1.796 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1c(CO)cnc(C)c1[O-] ZINC001147844568 773268166 /nfs/dbraw/zinc/26/81/66/773268166.db2.gz UBZPJLPLHIHASV-NEPJUHHUSA-N -1 1 322.361 1.050 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCc3cccnn3)cc2)[n-]1 ZINC001148337035 773440759 /nfs/dbraw/zinc/44/07/59/773440759.db2.gz VLJPUYRTNVSJIY-UHFFFAOYSA-N -1 1 309.329 1.519 20 0 DDADMM CC(C)CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001074786665 774130907 /nfs/dbraw/zinc/13/09/07/774130907.db2.gz GVTFORJWZOYBML-QWHCGFSZSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CCCC1 ZINC001075030898 774309961 /nfs/dbraw/zinc/30/99/61/774309961.db2.gz UZWYNJSYEPYXCL-GVXVVHGQSA-N -1 1 321.425 1.416 20 0 DDADMM CC[C@H](F)CN1C[C@@H]2CCC[C@]2(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001098794773 774676178 /nfs/dbraw/zinc/67/61/78/774676178.db2.gz GROPDFXLNRBHOX-MKBNYLNASA-N -1 1 324.400 1.756 20 0 DDADMM Cc1cc(N[C@@H](C)CCNC(=O)c2ncccc2[O-])ncn1 ZINC001099104442 774834572 /nfs/dbraw/zinc/83/45/72/774834572.db2.gz GSOLVITYYHJRIM-JTQLQIEISA-N -1 1 301.350 1.506 20 0 DDADMM NCc1nccnc1[N-]c1nc(Cl)cc(Cl)c1C(N)=O ZINC001171449117 776074443 /nfs/dbraw/zinc/07/44/43/776074443.db2.gz FBBGTQOYUKZQLL-UHFFFAOYSA-N -1 1 313.148 1.480 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])c1ccc(C)nn1 ZINC001101125634 776926351 /nfs/dbraw/zinc/92/63/51/776926351.db2.gz HHQIIIFWQRKKQL-UHFFFAOYSA-N -1 1 315.377 1.532 20 0 DDADMM CN(CCNc1ncnc2c1CCC2)C(=O)c1ncccc1[O-] ZINC001101561197 777250559 /nfs/dbraw/zinc/25/05/59/777250559.db2.gz OKXYAOSTUPDRCG-UHFFFAOYSA-N -1 1 313.361 1.250 20 0 DDADMM Cc1cc(NCCN(C)C(=O)c2ncccc2[O-])nc(C)n1 ZINC001101560990 777250867 /nfs/dbraw/zinc/25/08/67/777250867.db2.gz BPNUZAUPFBJKGO-UHFFFAOYSA-N -1 1 301.350 1.378 20 0 DDADMM COc1ccc(Nc2cc(S(=O)(=O)C(N)=O)ccc2[O-])cn1 ZINC001173960789 777375448 /nfs/dbraw/zinc/37/54/48/777375448.db2.gz FTZJUYQKMOHLHR-UHFFFAOYSA-N -1 1 323.330 1.806 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2cnc(OC)nc2)c1 ZINC001174034941 777403001 /nfs/dbraw/zinc/40/30/01/777403001.db2.gz MLRFHKMWAWMDPY-UHFFFAOYSA-N -1 1 309.347 1.728 20 0 DDADMM CNC(=O)c1cc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)ccn1 ZINC001175411806 777778191 /nfs/dbraw/zinc/77/81/91/777778191.db2.gz HBIMPJJTDOYXIM-UHFFFAOYSA-N -1 1 317.309 1.144 20 0 DDADMM COc1ccc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)c(OC)n1 ZINC001175586306 777834228 /nfs/dbraw/zinc/83/42/28/777834228.db2.gz CJBCGSYCHHMPBO-UHFFFAOYSA-N -1 1 320.309 1.802 20 0 DDADMM CCC1(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CCC1 ZINC001102256459 777980193 /nfs/dbraw/zinc/98/01/93/777980193.db2.gz SRCDCUQTXUIIJR-VXGBXAGGSA-N -1 1 321.425 1.275 20 0 DDADMM Cc1noc(C)c1[N-]c1ncc(Br)nc1C(N)=O ZINC001176179625 778063034 /nfs/dbraw/zinc/06/30/34/778063034.db2.gz ZPMKVFAWHZDLKZ-UHFFFAOYSA-N -1 1 312.127 1.686 20 0 DDADMM Cc1cc(Oc2ccccc2)ncc1NC(=O)Cc1nn[n-]n1 ZINC001176838104 778269118 /nfs/dbraw/zinc/26/91/18/778269118.db2.gz PYCSPFKPMHPUCD-UHFFFAOYSA-N -1 1 310.317 1.877 20 0 DDADMM O=C(c1cccc2nc[nH]c21)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001177869558 778749600 /nfs/dbraw/zinc/74/96/00/778749600.db2.gz CFPXMCUUEQCDJO-JTQLQIEISA-N -1 1 311.349 1.171 20 0 DDADMM Cc1cc(C)nc(NC[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001103924596 779175774 /nfs/dbraw/zinc/17/57/74/779175774.db2.gz OOWPZESSYCWRPX-JTQLQIEISA-N -1 1 315.377 1.672 20 0 DDADMM Cc1ccc(CC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1F ZINC001179933914 779544417 /nfs/dbraw/zinc/54/44/17/779544417.db2.gz JNBVKGLRLXMUOC-UHFFFAOYSA-N -1 1 306.253 1.914 20 0 DDADMM NC(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC001269510436 841715278 /nfs/dbraw/zinc/71/52/78/841715278.db2.gz UXBLTEGNTMUHSR-KTJYFRGRSA-N -1 1 322.792 1.604 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1nccc2ccccc21 ZINC001149152552 861474785 /nfs/dbraw/zinc/47/47/85/861474785.db2.gz YTCDYGQZWAFRNZ-UHFFFAOYSA-N -1 1 308.274 1.923 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2ncc3c(n2)CCC3)c1 ZINC001299204066 844756501 /nfs/dbraw/zinc/75/65/01/844756501.db2.gz XSDWUQXRHSCVQS-UHFFFAOYSA-N -1 1 311.341 1.722 20 0 DDADMM CN1C[C@]2(CC1=O)CCCCN2C(=O)c1ccc([O-])cc1F ZINC001272223960 844769453 /nfs/dbraw/zinc/76/94/53/844769453.db2.gz FHEBWGUAPDYGEL-MRXNPFEDSA-N -1 1 306.337 1.758 20 0 DDADMM Cc1nocc1CN[C@@H](C)[C@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001409337271 844942439 /nfs/dbraw/zinc/94/24/39/844942439.db2.gz PDHNDHFUOJJAFX-YUMQZZPRSA-N -1 1 307.354 1.017 20 0 DDADMM COc1ccccc1C(C)=CC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001149283940 861516722 /nfs/dbraw/zinc/51/67/22/861516722.db2.gz JADKCWAMANAZOK-JXMROGBWSA-N -1 1 315.329 1.616 20 0 DDADMM Cc1ccc(CC(=O)N[C@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)s1 ZINC001409462796 845135698 /nfs/dbraw/zinc/13/56/98/845135698.db2.gz IKKICSHPKAZFMC-NXEZZACHSA-N -1 1 323.422 1.106 20 0 DDADMM CC(C)[C@@](C)(NC(=O)c1ccc2cccnc2c1[O-])C(N)=O ZINC001149326787 861547616 /nfs/dbraw/zinc/54/76/16/861547616.db2.gz NNCHRVPUDRKRAK-MRXNPFEDSA-N -1 1 301.346 1.570 20 0 DDADMM COC(=O)c1[n-]cnc1NC(=O)C(=O)c1cc(F)ccc1C ZINC001272349790 846058213 /nfs/dbraw/zinc/05/82/13/846058213.db2.gz GVOIUDINEJYTIQ-UHFFFAOYSA-N -1 1 305.265 1.465 20 0 DDADMM CNC(=O)[C@@H]1CC12CCN(C(=O)c1cc([O-])cnc1Cl)CC2 ZINC001272386469 846091644 /nfs/dbraw/zinc/09/16/44/846091644.db2.gz IMTHRFSUAHVVKW-NSHDSACASA-N -1 1 323.780 1.429 20 0 DDADMM CNC(=O)[C@H]1CC12CCN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC001272388477 846094503 /nfs/dbraw/zinc/09/45/03/846094503.db2.gz JVHNKCYUFDCEHG-GFCCVEGCSA-N -1 1 306.337 1.520 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001149500832 861661916 /nfs/dbraw/zinc/66/19/16/861661916.db2.gz PZCKICVOYFLLQR-CLOOOTJHSA-N -1 1 321.340 1.824 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CC(N2CC(F)C2)C1 ZINC001149645409 861776402 /nfs/dbraw/zinc/77/64/02/861776402.db2.gz QVFUMHGPWVVOTM-UHFFFAOYSA-N -1 1 316.332 1.729 20 0 DDADMM CC1(C)C(=O)NC[C@H]1NC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149659636 861788338 /nfs/dbraw/zinc/78/83/38/861788338.db2.gz IJRDOUCUAHZNEE-CQSZACIVSA-N -1 1 314.341 1.505 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CC(F)(F)CC[C@@H]1CO ZINC001155261174 861906390 /nfs/dbraw/zinc/90/63/90/861906390.db2.gz IAOYXEUXVKYDLA-MRVPVSSYSA-N -1 1 311.288 1.501 20 0 DDADMM COC(=O)[C@H](NC(=O)c1[n-][nH]c2cc(=O)ccc1-2)C(F)(F)F ZINC001155273025 861919920 /nfs/dbraw/zinc/91/99/20/861919920.db2.gz FGLDJLRLLFVZRK-VIFPVBQESA-N -1 1 317.223 1.102 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC001327208576 861965005 /nfs/dbraw/zinc/96/50/05/861965005.db2.gz LZYACASXFDBJSQ-OAHLLOKOSA-N -1 1 319.405 1.519 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cncc(C(F)(F)F)c1 ZINC001190939641 851148709 /nfs/dbraw/zinc/14/87/09/851148709.db2.gz CQJHYDSHAWAWFH-UHFFFAOYSA-N -1 1 324.222 1.725 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@H](CO)C(F)(F)F)c([O-])c2n1 ZINC001155660431 862352472 /nfs/dbraw/zinc/35/24/72/862352472.db2.gz SBFCPWXSUBLNIE-SNVBAGLBSA-N -1 1 314.263 1.902 20 0 DDADMM CN1CC2(C1)CCCN(C(=O)c1ccccc1S(=O)(=O)[O-])C2 ZINC001275211921 852894004 /nfs/dbraw/zinc/89/40/04/852894004.db2.gz JLVKCVCFSXUZEA-UHFFFAOYSA-N -1 1 324.402 1.101 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@H]2C[C@]21C(=O)N1CC=CC1 ZINC001275399259 853065445 /nfs/dbraw/zinc/06/54/45/853065445.db2.gz OOCXRPYTLYKRPH-APPDUMDISA-N -1 1 316.332 1.534 20 0 DDADMM NC(=O)c1ccc(C(=O)Nc2ccc(Br)c([O-])c2)[nH]1 ZINC001412015107 854109042 /nfs/dbraw/zinc/10/90/42/854109042.db2.gz DAZPEQGIPAKQFD-UHFFFAOYSA-N -1 1 324.134 1.834 20 0 DDADMM CC(=O)CCc1ccc(OCCC(=O)N(C)c2nn[n-]n2)cc1 ZINC001412225969 854346760 /nfs/dbraw/zinc/34/67/60/854346760.db2.gz GVHYDMKWMVZVJZ-UHFFFAOYSA-N -1 1 317.349 1.153 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCN[C@H](Cc3ccc(F)cc3)C2)c1[O-] ZINC001412399421 854507574 /nfs/dbraw/zinc/50/75/74/854507574.db2.gz MMUMTHFMZBEGCE-CYBMUJFWSA-N -1 1 318.352 1.220 20 0 DDADMM Cc1nc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c(C)s1 ZINC001412493669 854621706 /nfs/dbraw/zinc/62/17/06/854621706.db2.gz XSVVTPZPDGMZTL-UHFFFAOYSA-N -1 1 320.374 1.258 20 0 DDADMM COC(=O)CN(CCC(F)(F)F)C(=O)c1ncccc1[O-] ZINC001412641715 854866427 /nfs/dbraw/zinc/86/64/27/854866427.db2.gz RSGQOEVCVNSFIO-UHFFFAOYSA-N -1 1 306.240 1.355 20 0 DDADMM COc1c(Cl)ccc([O-])c1C(=O)NC12CC(C(N)=O)(C1)C2 ZINC001276166088 854867260 /nfs/dbraw/zinc/86/72/60/854867260.db2.gz FMCJZAIFANJETR-UHFFFAOYSA-N -1 1 310.737 1.192 20 0 DDADMM NC(=O)c1ccc2c(n1)CCN(C(=O)c1cc([O-])cc(F)c1)C2 ZINC001276184027 854915612 /nfs/dbraw/zinc/91/56/12/854915612.db2.gz ZXILNIVJMLGHLI-UHFFFAOYSA-N -1 1 315.304 1.224 20 0 DDADMM CCc1[nH]c(=O)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1C ZINC001412796811 855276803 /nfs/dbraw/zinc/27/68/03/855276803.db2.gz RLOLDKFECSOOKT-SECBINFHSA-N -1 1 304.354 1.047 20 0 DDADMM COC(=O)[C@]1(CNC(=O)c2c([O-])cccc2Cl)CCOC1 ZINC001412800613 855283682 /nfs/dbraw/zinc/28/36/82/855283682.db2.gz ANXWROAFITVEQA-AWEZNQCLSA-N -1 1 313.737 1.355 20 0 DDADMM CSc1ncc(C(=O)NC2([C@H]3CCCO3)CCC2)c(=O)[n-]1 ZINC001412815801 855297851 /nfs/dbraw/zinc/29/78/51/855297851.db2.gz YWLYSDMZPDCPCD-SNVBAGLBSA-N -1 1 309.391 1.736 20 0 DDADMM CC(=O)c1cncc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC001412875175 855746795 /nfs/dbraw/zinc/74/67/95/855746795.db2.gz PULAOJHMWRRSCE-JTQLQIEISA-N -1 1 316.317 1.393 20 0 DDADMM COc1cccc2c(NCC[N-]C(=O)C(F)(F)F)ncnc12 ZINC001156199803 862803369 /nfs/dbraw/zinc/80/33/69/862803369.db2.gz LDCRQDPAMXLPRQ-UHFFFAOYSA-N -1 1 314.267 1.729 20 0 DDADMM Cn1ccnc1[C@@H](NC(=O)c1ccc(F)c([O-])c1)C(C)(C)CO ZINC001413187623 856528312 /nfs/dbraw/zinc/52/83/12/856528312.db2.gz WNWCTFAKCCCRBR-CYBMUJFWSA-N -1 1 321.352 1.754 20 0 DDADMM O=C([N-]CCNc1nc(C2CC2)nc2[nH]ccc21)C(F)(F)F ZINC001156205193 862816395 /nfs/dbraw/zinc/81/63/95/862816395.db2.gz ODPXUPKARGNKKF-UHFFFAOYSA-N -1 1 313.283 1.926 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](O)C2CCCCC2)n[n-]1 ZINC001413273748 856599798 /nfs/dbraw/zinc/59/97/98/856599798.db2.gz RKBVXWUDOKQPGX-KOLCDFICSA-N -1 1 324.381 1.100 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](O)C2CCCCC2)[n-]1 ZINC001413273748 856599801 /nfs/dbraw/zinc/59/98/01/856599801.db2.gz RKBVXWUDOKQPGX-KOLCDFICSA-N -1 1 324.381 1.100 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](O)C2CCCCC2)n1 ZINC001413273748 856599805 /nfs/dbraw/zinc/59/98/05/856599805.db2.gz RKBVXWUDOKQPGX-KOLCDFICSA-N -1 1 324.381 1.100 20 0 DDADMM CCCCS(=O)(=O)[N-]c1ccc(OC)nc1C(=O)OC ZINC001253793460 856943811 /nfs/dbraw/zinc/94/38/11/856943811.db2.gz QTWZZKZEUJPEGI-UHFFFAOYSA-N -1 1 302.352 1.419 20 0 DDADMM CCOC(=O)[C@@](C)(NC(=O)c1cncc([O-])c1)c1ccccc1 ZINC001413601142 857218303 /nfs/dbraw/zinc/21/83/03/857218303.db2.gz VFLVMTAJMRPVOF-KRWDZBQOSA-N -1 1 314.341 1.996 20 0 DDADMM C[C@@H]1CS(=O)(=O)CCCN1C(=O)c1ccc(F)c([O-])c1 ZINC001413634047 857265535 /nfs/dbraw/zinc/26/55/35/857265535.db2.gz ZJYTWRKLLXBPED-SECBINFHSA-N -1 1 301.339 1.181 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc2ncncc2c1 ZINC001151768902 862957933 /nfs/dbraw/zinc/95/79/33/862957933.db2.gz MOOPRUIXCYRRJZ-UHFFFAOYSA-N -1 1 312.245 1.481 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])c1ccc(-n2cnnn2)cn1 ZINC001151779866 862962457 /nfs/dbraw/zinc/96/24/57/862962457.db2.gz PNLGUNKLCNIFIW-UHFFFAOYSA-N -1 1 300.253 1.154 20 0 DDADMM CC[C@@H](F)C(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072969427 857948582 /nfs/dbraw/zinc/94/85/82/857948582.db2.gz YPNQOFQGEOPKFQ-LLVKDONJSA-N -1 1 323.368 1.646 20 0 DDADMM Cn1[nH]cnc1=NC(=O)c1nc2cc(Br)ccc2[n-]1 ZINC001151818954 862986176 /nfs/dbraw/zinc/98/61/76/862986176.db2.gz CCNSUXQNWUVOGK-UHFFFAOYSA-N -1 1 321.138 1.128 20 0 DDADMM Cn1[nH]cnc1=NC(=O)c1nc2ccc(Br)cc2[n-]1 ZINC001151818954 862986192 /nfs/dbraw/zinc/98/61/92/862986192.db2.gz CCNSUXQNWUVOGK-UHFFFAOYSA-N -1 1 321.138 1.128 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cnn(C(C)(C)C)c1 ZINC001123533784 859287656 /nfs/dbraw/zinc/28/76/56/859287656.db2.gz CIFQMFAJRHNUIU-UHFFFAOYSA-N -1 1 307.379 1.983 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CCC[C@H]2OCC[C@@H]21 ZINC001123665918 859350841 /nfs/dbraw/zinc/35/08/41/859350841.db2.gz WNDSMRAERSCFER-OPRDCNLKSA-N -1 1 309.391 1.467 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2CC[C@@H]1[C@H]2OCC[P@](=O)([O-])O ZINC001224601272 881463655 /nfs/dbraw/zinc/46/36/55/881463655.db2.gz FNWKGPXMSRBEKZ-MXWKQRLJSA-N -1 1 321.310 1.579 20 0 DDADMM C[C@H](O)[C@@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC001137645552 859954940 /nfs/dbraw/zinc/95/49/40/859954940.db2.gz ZGEDRACNFURXSY-VHSXEESVSA-N -1 1 306.391 1.251 20 0 DDADMM Cc1noc(-c2ccc(CNC(=O)c3cnncc3[O-])cc2)n1 ZINC001138081843 860005967 /nfs/dbraw/zinc/00/59/67/860005967.db2.gz KZPRWRXZZKSRIC-UHFFFAOYSA-N -1 1 311.301 1.471 20 0 DDADMM [O-]c1cccnc1CN1CCn2c(COCC3CC3)nnc2C1 ZINC001138392749 860087938 /nfs/dbraw/zinc/08/79/38/860087938.db2.gz KYYRDEDDARJCQF-UHFFFAOYSA-N -1 1 315.377 1.321 20 0 DDADMM O=C(Oc1ccc2c(c1)CC(=O)N2)c1cnc(C2CC2)[n-]c1=O ZINC001139634070 860444727 /nfs/dbraw/zinc/44/47/27/860444727.db2.gz VRIMIKPGTAAZRE-UHFFFAOYSA-N -1 1 311.297 1.773 20 0 DDADMM COC(=O)C[C@H]1CN(Cc2ccc([O-])c(F)c2F)CCO1 ZINC001140893924 860726615 /nfs/dbraw/zinc/72/66/15/860726615.db2.gz LPMMOBGTYWHZLH-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM CCOC(=O)c1n[nH]cc1NC(=O)c1ccc2[nH][n-]c(=O)c2c1 ZINC001154204803 860866807 /nfs/dbraw/zinc/86/68/07/860866807.db2.gz PZIANUGEFYABFW-UHFFFAOYSA-N -1 1 315.289 1.421 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cn4cccc(F)c4n3)c1-2 ZINC001154538843 861189860 /nfs/dbraw/zinc/18/98/60/861189860.db2.gz DFRIHYUEMUTEBW-UHFFFAOYSA-N -1 1 311.280 1.074 20 0 DDADMM C[C@H]1CC[C@@H](CO)CN1C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152672260 863484608 /nfs/dbraw/zinc/48/46/08/863484608.db2.gz ZZXXKTBRFTUSLC-WDEREUQCSA-N -1 1 316.357 1.879 20 0 DDADMM CC(=O)NC[C@@H](C)CNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681013 863490443 /nfs/dbraw/zinc/49/04/43/863490443.db2.gz BCDSWLAZNJEYDB-SECBINFHSA-N -1 1 317.345 1.148 20 0 DDADMM COC(=O)[C@H]1C[C@@H]1CNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681211 863490955 /nfs/dbraw/zinc/49/09/55/863490955.db2.gz HFMTVJQADVHQTE-SCZZXKLOSA-N -1 1 316.313 1.185 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cccc3c2CC(=O)N3)n[nH]1 ZINC001153322338 863824205 /nfs/dbraw/zinc/82/42/05/863824205.db2.gz MRZRETQXFXLFDF-UHFFFAOYSA-N -1 1 314.301 1.333 20 0 DDADMM O=C(c1c([O-])ccc2ccccc21)N1CC[C@@H](CO)[C@H](O)C1 ZINC001153499220 863914954 /nfs/dbraw/zinc/91/49/54/863914954.db2.gz QLQFPUWUTAYYRP-SWLSCSKDSA-N -1 1 301.342 1.361 20 0 DDADMM CC(C)(C)N1CCN(C(=O)c2c[n-]c3cccnc3c2=O)CC1 ZINC001153856911 864165405 /nfs/dbraw/zinc/16/54/05/864165405.db2.gz ZQLRFFTWYYDMLT-UHFFFAOYSA-N -1 1 314.389 1.479 20 0 DDADMM CCOc1cc(C(=O)[N-]c2ccnc(S(C)(=O)=O)c2)on1 ZINC001361494543 881762312 /nfs/dbraw/zinc/76/23/12/881762312.db2.gz LLOARHPMCRVHIW-UHFFFAOYSA-N -1 1 311.319 1.124 20 0 DDADMM Cc1cnn(C[C@H](NCc2ccc(F)c(F)c2F)C(=O)[O-])c1 ZINC001330609257 864485045 /nfs/dbraw/zinc/48/50/45/864485045.db2.gz UBBYEMJKWQYNTG-NSHDSACASA-N -1 1 313.279 1.852 20 0 DDADMM Cc1nc(CNC(=O)c2nn(-c3cccc(F)c3)cc2[O-])n[nH]1 ZINC001158434002 864652437 /nfs/dbraw/zinc/65/24/37/864652437.db2.gz RYFNKVBGZFARRA-UHFFFAOYSA-N -1 1 316.296 1.074 20 0 DDADMM CN(CCN(C)C(=O)c1ncccc1[O-])C(=O)CC(C)(C)C ZINC001416639839 864881640 /nfs/dbraw/zinc/88/16/40/864881640.db2.gz BCSJGKDVYSPKTQ-UHFFFAOYSA-N -1 1 307.394 1.754 20 0 DDADMM Cc1cc2c(c(N[C@@H]3c4ccccc4CNC3=O)n1)C(=O)[N-]C2=O ZINC001158902122 864964653 /nfs/dbraw/zinc/96/46/53/864964653.db2.gz BBGJQIRIVGNTJK-CYBMUJFWSA-N -1 1 322.324 1.057 20 0 DDADMM C[C@H](CN(C)C(=O)C(F)=C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001331424191 865075946 /nfs/dbraw/zinc/07/59/46/865075946.db2.gz UZDYVLIKEFHRKN-SECBINFHSA-N -1 1 311.361 1.244 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc(Cl)nc3ncsc32)[n-]1 ZINC001159198371 865162287 /nfs/dbraw/zinc/16/22/87/865162287.db2.gz NKPRKCHELWFYKW-UHFFFAOYSA-N -1 1 320.725 1.855 20 0 DDADMM Cn1c(=O)ccc2ccc(Nc3[n-]c(=O)nc4nc[nH]c43)nc21 ZINC001159198152 865162460 /nfs/dbraw/zinc/16/24/60/865162460.db2.gz HLHAVCKVXJCLDR-UHFFFAOYSA-N -1 1 309.289 1.049 20 0 DDADMM COC(=O)c1nc(Nc2[n-]c(=O)nc3nc[nH]c32)ccc1Cl ZINC001159198331 865162539 /nfs/dbraw/zinc/16/25/39/865162539.db2.gz LOESEVQOLQLRKP-UHFFFAOYSA-N -1 1 320.696 1.637 20 0 DDADMM O=C([O-])/C=C\c1ccccc1-c1noc(C[C@@H]2COCCN2)n1 ZINC001332003821 865494710 /nfs/dbraw/zinc/49/47/10/865494710.db2.gz XJGJWGDEBYVZEP-FOQNGQEVSA-N -1 1 315.329 1.365 20 0 DDADMM CCc1nc2sccc2c(Nc2c(O)[nH]c(=O)[n-]c2=S)n1 ZINC001160858021 866153478 /nfs/dbraw/zinc/15/34/78/866153478.db2.gz LBIGJOGJXLKNKJ-SSDOTTSWSA-N -1 1 321.387 1.201 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nnc(-c3ccncc3)o2)o1 ZINC001323848874 866894277 /nfs/dbraw/zinc/89/42/77/866894277.db2.gz UAKFRSFNXHYKCF-UHFFFAOYSA-N -1 1 306.303 1.300 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cccc4c3OCCCO4)ccnc1-2 ZINC001361628093 882042523 /nfs/dbraw/zinc/04/25/23/882042523.db2.gz IUNLUYALSZGCJT-UHFFFAOYSA-N -1 1 324.340 1.755 20 0 DDADMM CC(=O)N(CC(=O)N=c1ccnc2n(C)[n-]cc1-2)c1ccccc1 ZINC001361628645 882042303 /nfs/dbraw/zinc/04/23/03/882042303.db2.gz OIFFHWFQFUIOQU-UHFFFAOYSA-N -1 1 323.356 1.333 20 0 DDADMM C[C@@H](NC(=O)C(=O)C(C)(C)C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001333867452 867095254 /nfs/dbraw/zinc/09/52/54/867095254.db2.gz PZTIGVSRHXWYIY-NXEZZACHSA-N -1 1 321.377 1.025 20 0 DDADMM Cc1cc(CNCC=CCNC(=O)c2[nH]nc(C)c2[O-])sn1 ZINC001321033294 867240963 /nfs/dbraw/zinc/24/09/63/867240963.db2.gz VUUBYXLHNCMEDQ-ARJAWSKDSA-N -1 1 321.406 1.264 20 0 DDADMM CCC(=CC(=O)N1CCN([C@@]2(C(=O)[O-])CCOC2)CC1)CC ZINC001334164328 867343654 /nfs/dbraw/zinc/34/36/54/867343654.db2.gz LMBUAPXBOIHHOK-INIZCTEOSA-N -1 1 310.394 1.121 20 0 DDADMM CCC(C)=CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001226086796 882196816 /nfs/dbraw/zinc/19/68/16/882196816.db2.gz HYSIABISYJBQBA-BXAYLQTHSA-N -1 1 307.398 1.384 20 0 DDADMM CCC(CC)N(C(=O)CNC(=O)c1ncccc1[O-])C1CC1 ZINC001361716451 882218204 /nfs/dbraw/zinc/21/82/04/882218204.db2.gz BQKAUOBICIYWAS-UHFFFAOYSA-N -1 1 305.378 1.697 20 0 DDADMM COC(=O)Nc1cc(NC(=O)CCCc2nn[n-]n2)ccc1F ZINC001361732846 882258251 /nfs/dbraw/zinc/25/82/51/882258251.db2.gz TXDOHVNXUSHDTE-UHFFFAOYSA-N -1 1 322.300 1.479 20 0 DDADMM CC(=O)c1c[n-]c(=O)nc1OC[C@@H]1CC[C@]2(CCCCO2)O1 ZINC001226238056 882298927 /nfs/dbraw/zinc/29/89/27/882298927.db2.gz PFRUSXRDHBSRPW-NHYWBVRUSA-N -1 1 308.334 1.839 20 0 DDADMM C[C@H](C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)C(C)(C)C ZINC001165766976 869705514 /nfs/dbraw/zinc/70/55/14/869705514.db2.gz CGJRLIYPBSCTME-LLVKDONJSA-N -1 1 323.441 1.569 20 0 DDADMM Cc1ccc(CN(C)c2nnc(-c3nc(Cl)n[n-]3)n2C)o1 ZINC001338059618 869773602 /nfs/dbraw/zinc/77/36/02/869773602.db2.gz NFDWWHVDDPMGKA-UHFFFAOYSA-N -1 1 307.745 1.791 20 0 DDADMM CCOC(=O)C[C@H](C)C[N-]S(=O)(=O)c1csnc1OC ZINC001363838505 887360987 /nfs/dbraw/zinc/36/09/87/887360987.db2.gz VTOQXLHFFHWSJG-QMMMGPOBSA-N -1 1 322.408 1.019 20 0 DDADMM C[C@@H](c1ccccc1F)N(C)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001338560161 870044563 /nfs/dbraw/zinc/04/45/63/870044563.db2.gz FHQRDMLWUCLQJD-QMMMGPOBSA-N -1 1 318.312 1.495 20 0 DDADMM CCC(CC)(NC(=O)C=C(C)c1cnccn1)c1nn[n-]n1 ZINC001297487300 870073267 /nfs/dbraw/zinc/07/32/67/870073267.db2.gz IFDCEMJTOZCBAZ-NTMALXAHSA-N -1 1 301.354 1.225 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CCC[C@]1(C)c1ccccc1 ZINC001338911171 870237794 /nfs/dbraw/zinc/23/77/94/870237794.db2.gz ZDRAQLHJWQLBDB-MRXNPFEDSA-N -1 1 324.392 1.435 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCC[C@]1(C)c1ccccc1 ZINC001338911171 870237804 /nfs/dbraw/zinc/23/78/04/870237804.db2.gz ZDRAQLHJWQLBDB-MRXNPFEDSA-N -1 1 324.392 1.435 20 0 DDADMM O=C(NC/C=C\CNC(=O)C1CCCC1)c1ncccc1[O-] ZINC001298208743 870348502 /nfs/dbraw/zinc/34/85/02/870348502.db2.gz FDNMEWPKTFWZJG-ARJAWSKDSA-N -1 1 303.362 1.380 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCC2CSC2)c1 ZINC001475801187 870560930 /nfs/dbraw/zinc/56/09/30/870560930.db2.gz OIMBXCKLNBSPBS-UHFFFAOYSA-N -1 1 303.405 1.432 20 0 DDADMM CCn1cccc1C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC001298863720 870759696 /nfs/dbraw/zinc/75/96/96/870759696.db2.gz CDBCJWMNCZJMHT-UHFFFAOYSA-N -1 1 323.374 1.374 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC001340047607 870861886 /nfs/dbraw/zinc/86/18/86/870861886.db2.gz GSRYRIPJCZWWMV-BLLLJJGKSA-N -1 1 322.376 1.256 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC001340047607 870861897 /nfs/dbraw/zinc/86/18/97/870861897.db2.gz GSRYRIPJCZWWMV-BLLLJJGKSA-N -1 1 322.376 1.256 20 0 DDADMM CS(=O)(=O)CCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001361832295 882456652 /nfs/dbraw/zinc/45/66/52/882456652.db2.gz CYTXVTFSHWDJCA-UHFFFAOYSA-N -1 1 309.343 1.025 20 0 DDADMM Cc1cc(=O)oc2cc([O-])cc(O[C@@H](C)CN3CCOCC3)c12 ZINC001226488268 882461579 /nfs/dbraw/zinc/46/15/79/882461579.db2.gz GITFNBBVRDMPOS-LBPRGKRZSA-N -1 1 319.357 1.907 20 0 DDADMM CCOC(=O)[C@H]1CCN(Cc2ccc(C(=O)[O-])cc2)CCC1=O ZINC001204154029 870967716 /nfs/dbraw/zinc/96/77/16/870967716.db2.gz BCRDVVWYMOKJSX-AWEZNQCLSA-N -1 1 319.357 1.729 20 0 DDADMM CCOC(=O)C1=C(O)CCN(Cc2ccc(C(=O)[O-])cc2)CC1 ZINC001204154029 870967723 /nfs/dbraw/zinc/96/77/23/870967723.db2.gz BCRDVVWYMOKJSX-AWEZNQCLSA-N -1 1 319.357 1.729 20 0 DDADMM Cn1c2cccc(Cl)c2nc1NC(=O)CCCc1nn[n-]n1 ZINC001306822607 871363452 /nfs/dbraw/zinc/36/34/52/871363452.db2.gz PKDLAQJBWSKWED-UHFFFAOYSA-N -1 1 319.756 1.701 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1COc2ccc(Br)cc21 ZINC001413844087 871816044 /nfs/dbraw/zinc/81/60/44/871816044.db2.gz IJIHNVNCTFEKCW-QMMMGPOBSA-N -1 1 324.134 1.993 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)c2c(F)ccc([O-])c2F)CCS1(=O)=O ZINC001361984421 882760537 /nfs/dbraw/zinc/76/05/37/882760537.db2.gz XCNZYNBTODBIAZ-JGVFFNPUSA-N -1 1 319.329 1.318 20 0 DDADMM O=S(=O)([N-]Cc1cnn(-c2ccccc2)c1)c1ccns1 ZINC001208229814 873923383 /nfs/dbraw/zinc/92/33/83/873923383.db2.gz ZEMLEIXGXBVGMY-UHFFFAOYSA-N -1 1 320.399 1.807 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCN(Cc2ccccn2)CC1 ZINC001362022290 882850391 /nfs/dbraw/zinc/85/03/91/882850391.db2.gz HDGIMZCVIWAKEA-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM O=C(c1cccc2cc(O)ccc21)N1CC[C@H](c2nn[n-]n2)C1 ZINC001349003129 874919982 /nfs/dbraw/zinc/91/99/82/874919982.db2.gz SJRSQSSMMAFQIR-NSHDSACASA-N -1 1 309.329 1.688 20 0 DDADMM Nc1n[nH]c2ccc([N-]S(=O)(=O)c3ccccc3N)cc12 ZINC001209861341 875148002 /nfs/dbraw/zinc/14/80/02/875148002.db2.gz CWQACOTUFYBPMB-UHFFFAOYSA-N -1 1 303.347 1.528 20 0 DDADMM CCC[C@H](NC(=O)c1ccn(CC(F)(F)F)n1)c1nn[n-]n1 ZINC001362052245 882919074 /nfs/dbraw/zinc/91/90/74/882919074.db2.gz NSNWEYWTQJTTEB-ZETCQYMHSA-N -1 1 317.275 1.230 20 0 DDADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)c1cccs1 ZINC001378678654 875399705 /nfs/dbraw/zinc/39/97/05/875399705.db2.gz OFAVOOLAWBUVAG-UHFFFAOYSA-N -1 1 321.406 1.404 20 0 DDADMM O=S(=O)([N-]CCOc1ncccc1Cl)c1ccns1 ZINC001210637480 875466171 /nfs/dbraw/zinc/46/61/71/875466171.db2.gz OSIRNOPIBHNSIH-UHFFFAOYSA-N -1 1 319.795 1.549 20 0 DDADMM C[C@@H]1CC[C@H](CC(=O)NCCCNC(=O)c2ncccc2[O-])C1 ZINC001349940743 875466616 /nfs/dbraw/zinc/46/66/16/875466616.db2.gz UPCQIVWYSRBUBX-OLZOCXBDSA-N -1 1 319.405 1.850 20 0 DDADMM C[C@H](Cn1ccc2ccccc21)C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001350222437 875585680 /nfs/dbraw/zinc/58/56/80/875585680.db2.gz FQZKORQXACABOJ-OCCSQVGLSA-N -1 1 324.388 1.807 20 0 DDADMM O=C(C=Cc1ccc2[nH]ccc2c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001350225352 875587389 /nfs/dbraw/zinc/58/73/89/875587389.db2.gz UGLIJIGVMAGKKA-CXLRFSCWSA-N -1 1 308.345 1.710 20 0 DDADMM O=C(CCSCc1ccccc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001350236491 875592626 /nfs/dbraw/zinc/59/26/26/875592626.db2.gz YHMBRPCRZIEBDG-ZDUSSCGKSA-N -1 1 317.418 1.839 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)/C=C\C2CCOCC2)[n-]c1=O ZINC001350678923 875828034 /nfs/dbraw/zinc/82/80/34/875828034.db2.gz GOOAIAWZJMVHHN-PLNGDYQASA-N -1 1 321.377 1.525 20 0 DDADMM C/C(=C/C(=O)N(C)CCCNC(=O)c1ncccc1[O-])C1CC1 ZINC001351406563 876233711 /nfs/dbraw/zinc/23/37/11/876233711.db2.gz KDSSKJCXQYAOMM-QXMHVHEDSA-N -1 1 317.389 1.722 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@H](OCC(C)C)C1 ZINC001351644984 876364018 /nfs/dbraw/zinc/36/40/18/876364018.db2.gz JIAFKCKLZDVQDI-JTQLQIEISA-N -1 1 311.407 1.666 20 0 DDADMM Cc1c(Br)cnn1CC(=O)Nc1c[n-][nH]c1=O ZINC001413850320 876896639 /nfs/dbraw/zinc/89/66/39/876896639.db2.gz YRQIGKLKCFDCNI-UHFFFAOYSA-N -1 1 300.116 1.021 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CCC(C)(C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001382126858 883473014 /nfs/dbraw/zinc/47/30/14/883473014.db2.gz QNACBWDRBXEONH-QWRGUYRKSA-N -1 1 309.414 1.273 20 0 DDADMM C[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001354160250 877783956 /nfs/dbraw/zinc/78/39/56/877783956.db2.gz MFLKAKWCEHABMX-HTRCEHHLSA-N -1 1 311.190 1.702 20 0 DDADMM CCC(=O)NCCC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001355323920 878498637 /nfs/dbraw/zinc/49/86/37/878498637.db2.gz BWWFHCGYKHZEBX-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM O=C(C[C@H]1C=CCC1)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001357551654 880043565 /nfs/dbraw/zinc/04/35/65/880043565.db2.gz FJHRWDNNWSBNFZ-OOPCZODUSA-N -1 1 315.373 1.546 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](F)[C@@H](OCc2nn[n-]n2)C1 ZINC001223029701 880791064 /nfs/dbraw/zinc/79/10/64/880791064.db2.gz OIMXAZGKBXONNE-ZJUUUORDSA-N -1 1 315.349 1.454 20 0 DDADMM CC[C@H](CNC(=O)c1cc(F)c[nH]1)NC(=O)c1ncccc1[O-] ZINC001416259145 881043148 /nfs/dbraw/zinc/04/31/48/881043148.db2.gz BMKQNJSEUGQHHR-SNVBAGLBSA-N -1 1 320.324 1.193 20 0 DDADMM O=c1nc2c(c(OC[C@@H]3COc4ccccc4O3)[n-]1)COC2 ZINC001227690053 883134215 /nfs/dbraw/zinc/13/42/15/883134215.db2.gz KACMCFNBQUXKOB-VIFPVBQESA-N -1 1 302.286 1.431 20 0 DDADMM C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)c1cccc([O-])c1F ZINC001362149764 883157129 /nfs/dbraw/zinc/15/71/29/883157129.db2.gz HTFVTKIUZICAQD-RKDXNWHRSA-N -1 1 303.355 1.425 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)c2cccc([O-])c2F)C1 ZINC001362193874 883260918 /nfs/dbraw/zinc/26/09/18/883260918.db2.gz ACLLGXJECYBRAN-MNOVXSKESA-N -1 1 308.353 1.908 20 0 DDADMM COC(=O)c1ccc(NC(=O)CCCc2nn[n-]n2)c(C)c1 ZINC001362195322 883263155 /nfs/dbraw/zinc/26/31/55/883263155.db2.gz IZOBIFZUTPXHQK-UHFFFAOYSA-N -1 1 303.322 1.256 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CCCC2)[C@@H]1C(=O)Nc1nnn[n-]1 ZINC001362256295 883421336 /nfs/dbraw/zinc/42/13/36/883421336.db2.gz KQTYFLUJJQJIGM-VIFPVBQESA-N -1 1 322.369 1.318 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CCCC2)[C@@H]1C(=O)Nc1nn[n-]n1 ZINC001362256295 883421343 /nfs/dbraw/zinc/42/13/43/883421343.db2.gz KQTYFLUJJQJIGM-VIFPVBQESA-N -1 1 322.369 1.318 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H](OCC(C)C)C2)c(=O)[n-]1 ZINC001362259759 883427293 /nfs/dbraw/zinc/42/72/93/883427293.db2.gz FHCPKZYPCGFJDE-SNVBAGLBSA-N -1 1 311.407 1.791 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H](C)c2ccc(OC)c(OC)c2)n1 ZINC001228309819 883438470 /nfs/dbraw/zinc/43/84/70/883438470.db2.gz AMYFBODHXPTLQZ-MRVPVSSYSA-N -1 1 307.306 1.749 20 0 DDADMM COC(=O)c1nc(O[C@H](C)c2ccc(OC)c(OC)c2)n[n-]1 ZINC001228309819 883438477 /nfs/dbraw/zinc/43/84/77/883438477.db2.gz AMYFBODHXPTLQZ-MRVPVSSYSA-N -1 1 307.306 1.749 20 0 DDADMM CCCc1cc(C(=O)Nc2cc(C(=O)OCC)[nH]n2)cc(=O)[nH]1 ZINC001362312968 883541474 /nfs/dbraw/zinc/54/14/74/883541474.db2.gz UIXPCZTZSPFRCC-UHFFFAOYSA-N -1 1 318.333 1.892 20 0 DDADMM O=C(NCc1nc(C(F)(F)F)no1)c1ccc(F)c([O-])c1 ZINC001362325958 883569067 /nfs/dbraw/zinc/56/90/67/883569067.db2.gz JNMHYYKELZVKST-UHFFFAOYSA-N -1 1 305.187 1.863 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC(C)(C)c1ccc(C)nc1 ZINC001362324986 883569895 /nfs/dbraw/zinc/56/98/95/883569895.db2.gz HKSQEGYKKNNPQV-UHFFFAOYSA-N -1 1 318.333 1.265 20 0 DDADMM CC[C@@](NC(=O)c1cnc(C2CC2)[n-]c1=O)(C(N)=O)C(C)C ZINC001362331865 883581989 /nfs/dbraw/zinc/58/19/89/883581989.db2.gz XLRVPRQNOUXKRQ-HNNXBMFYSA-N -1 1 306.366 1.080 20 0 DDADMM C[C@@H]1C[C@@H](Oc2cnnc(=S)[n-]2)CN1C(=O)OC(C)(C)C ZINC001228638713 883586711 /nfs/dbraw/zinc/58/67/11/883586711.db2.gz HCOWRCMALUZJFK-RKDXNWHRSA-N -1 1 312.395 1.937 20 0 DDADMM O=C(NC1CCN(c2cccnn2)CC1)c1cccc([O-])c1F ZINC001362358484 883640688 /nfs/dbraw/zinc/64/06/88/883640688.db2.gz RFKWVRFCPHRTEF-UHFFFAOYSA-N -1 1 316.336 1.720 20 0 DDADMM CC(C)[C@@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228900965 883720185 /nfs/dbraw/zinc/72/01/85/883720185.db2.gz JMIPGTHYYMRKJX-IJLUTSLNSA-N -1 1 309.414 1.319 20 0 DDADMM CCC(CC)(NC(=O)c1ccnc(C2CC2)n1)c1nn[n-]n1 ZINC001362421610 883772711 /nfs/dbraw/zinc/77/27/11/883772711.db2.gz FMXBCQMTPXFKSF-UHFFFAOYSA-N -1 1 301.354 1.312 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2C[C@@H]2c2cccnc2)c(=O)[n-]1 ZINC001362440306 883810435 /nfs/dbraw/zinc/81/04/35/883810435.db2.gz RSVZGJXHSHZCAZ-KOLCDFICSA-N -1 1 302.359 1.585 20 0 DDADMM CC(C)(C)[C@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C(N)=O ZINC001362438986 883811049 /nfs/dbraw/zinc/81/10/49/883811049.db2.gz ASWXJQNTZHUTBO-CYBMUJFWSA-N -1 1 319.336 1.709 20 0 DDADMM CC[C@@H](NC(=O)CCCc1nn[n-]n1)[C@H](O)c1ccccc1F ZINC001362445531 883825228 /nfs/dbraw/zinc/82/52/28/883825228.db2.gz JMKJQKJYTTXREP-IUODEOHRSA-N -1 1 321.356 1.290 20 0 DDADMM NC(=O)[C@H](NC(=O)c1cc(Cl)ccc1[O-])c1ccccn1 ZINC001362486003 883916593 /nfs/dbraw/zinc/91/65/93/883916593.db2.gz XUVBMXOGUNASAF-GFCCVEGCSA-N -1 1 305.721 1.397 20 0 DDADMM CC(C)[C@@H](CNC(=O)CCC1CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001374417159 912789336 /nfs/dbraw/zinc/78/93/36/912789336.db2.gz WIODFBXDLJKONO-GFCCVEGCSA-N -1 1 309.414 1.321 20 0 DDADMM Cc1csc(CCCC(=O)N(C)C[C@H](C)c2nn[n-]n2)n1 ZINC001362616943 884231385 /nfs/dbraw/zinc/23/13/85/884231385.db2.gz KYERYXYGCAQRAJ-VIFPVBQESA-N -1 1 308.411 1.549 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@H](Oc2nc(C)[n-]c(=O)c2OC)C1 ZINC001230026008 884285963 /nfs/dbraw/zinc/28/59/63/884285963.db2.gz NCZOAZOGLNVHMI-MNOVXSKESA-N -1 1 310.350 2.000 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H]1CCn2cncc21 ZINC001362711348 884466452 /nfs/dbraw/zinc/46/64/52/884466452.db2.gz UHJXHXKINCUSAT-QMMMGPOBSA-N -1 1 305.363 1.284 20 0 DDADMM C[C@@H](C(=O)Nc1cccc([O-])c1Br)n1cncn1 ZINC001362718866 884479343 /nfs/dbraw/zinc/47/93/43/884479343.db2.gz YCUPFDHZAMUPSS-ZETCQYMHSA-N -1 1 311.139 1.946 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)c1nc(C)cs1)c1nn[n-]n1 ZINC001362788064 884640146 /nfs/dbraw/zinc/64/01/46/884640146.db2.gz UCHYNWSEFNWPLZ-VIFPVBQESA-N -1 1 308.411 1.900 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H]3CSC[C@@H]3C2)c(=O)[n-]1 ZINC001362796701 884664273 /nfs/dbraw/zinc/66/42/73/884664273.db2.gz QFVKLZFSAVCDPJ-IUCAKERBSA-N -1 1 311.432 1.729 20 0 DDADMM Cc1ccc([C@@H]2C[C@H]2C(=O)N2CC[C@@H](c3nn[n-]n3)C2)cc1C ZINC001362805182 884684694 /nfs/dbraw/zinc/68/46/94/884684694.db2.gz GDYDMKFWJAKVJA-QLFBSQMISA-N -1 1 311.389 1.936 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@]2(O)CCCC(C)(C)C2)n1 ZINC001362818469 884712506 /nfs/dbraw/zinc/71/25/06/884712506.db2.gz NCMBEJPKKBMWEF-OAHLLOKOSA-N -1 1 309.366 1.856 20 0 DDADMM CCC(CC)(NC(=O)Cc1cc(F)ccc1F)c1nn[n-]n1 ZINC001362855060 884806663 /nfs/dbraw/zinc/80/66/63/884806663.db2.gz KBSCEKMHEWIFFL-UHFFFAOYSA-N -1 1 309.320 1.852 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)o1 ZINC001362906726 884949180 /nfs/dbraw/zinc/94/91/80/884949180.db2.gz PIKTZQLGNSQELX-SNVBAGLBSA-N -1 1 303.322 1.090 20 0 DDADMM Cc1cnc(C(=O)N[C@H](CO)CCc2ccccc2)c([O-])c1 ZINC001362930667 885014382 /nfs/dbraw/zinc/01/43/82/885014382.db2.gz LALLRJLFDITMQD-AWEZNQCLSA-N -1 1 300.358 1.819 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)CC(C)(C)CC(C)=O)[n-]c1=O ZINC001362972381 885119935 /nfs/dbraw/zinc/11/99/35/885119935.db2.gz VXXIDSSZMMXJCF-UHFFFAOYSA-N -1 1 323.393 1.938 20 0 DDADMM Nc1nnc(C2CCN(C(=O)c3ccc([O-])c(F)c3)CC2)o1 ZINC001363003449 885200539 /nfs/dbraw/zinc/20/05/39/885200539.db2.gz QVYYJBBQOPVROK-UHFFFAOYSA-N -1 1 306.297 1.516 20 0 DDADMM CCCC[C@@H](CNC(=O)[C@H]1C[C@@H]1C)NC(=O)c1ncccc1[O-] ZINC001383222061 885431711 /nfs/dbraw/zinc/43/17/11/885431711.db2.gz DOKNODZVWJAYCW-AVGNSLFASA-N -1 1 319.405 1.848 20 0 DDADMM CCCC[C@H](CNC(=O)C(C)C)NC(=O)c1ncccc1[O-] ZINC001383221773 885431769 /nfs/dbraw/zinc/43/17/69/885431769.db2.gz BJPYASYTEABSME-GFCCVEGCSA-N -1 1 307.394 1.848 20 0 DDADMM CC(=O)N(c1ccc(NC(=O)CCc2nn[n-]n2)cc1)C1CC1 ZINC001363111612 885489779 /nfs/dbraw/zinc/48/97/79/885489779.db2.gz RXOUIBYLNHNDGN-UHFFFAOYSA-N -1 1 314.349 1.286 20 0 DDADMM CCCc1nc(C)c(C(=O)NC(CC)(CC)c2nn[n-]n2)o1 ZINC001363133697 885549567 /nfs/dbraw/zinc/54/95/67/885549567.db2.gz BEOIVQMVCBXZST-UHFFFAOYSA-N -1 1 306.370 1.894 20 0 DDADMM CCC(CC)(NC(=O)c1csc(NC2CC2)n1)c1nn[n-]n1 ZINC001363135057 885551322 /nfs/dbraw/zinc/55/13/22/885551322.db2.gz HRFCBAWXMNAYMX-UHFFFAOYSA-N -1 1 321.410 1.676 20 0 DDADMM CS[C@H](C(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccccc1 ZINC001363149062 885584719 /nfs/dbraw/zinc/58/47/19/885584719.db2.gz GJHSOXFFBWLNBZ-ZDUSSCGKSA-N -1 1 305.359 1.608 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2scnc2CC)n[n-]1 ZINC001363230751 885771155 /nfs/dbraw/zinc/77/11/55/885771155.db2.gz JIHIJYIYDASKAS-ZETCQYMHSA-N -1 1 323.378 1.491 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2scnc2CC)[n-]1 ZINC001363230751 885771161 /nfs/dbraw/zinc/77/11/61/885771161.db2.gz JIHIJYIYDASKAS-ZETCQYMHSA-N -1 1 323.378 1.491 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2scnc2CC)n1 ZINC001363230751 885771170 /nfs/dbraw/zinc/77/11/70/885771170.db2.gz JIHIJYIYDASKAS-ZETCQYMHSA-N -1 1 323.378 1.491 20 0 DDADMM CN(Cc1cc(Cl)c([O-])c(Br)c1)C[C@@H](O)CO ZINC001231744169 885850670 /nfs/dbraw/zinc/85/06/70/885850670.db2.gz LKFDQJXLWITHQW-MRVPVSSYSA-N -1 1 324.602 1.593 20 0 DDADMM COc1cccc(CCC(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001363276847 885888565 /nfs/dbraw/zinc/88/85/65/885888565.db2.gz QFRYQNXXBHHUGZ-UHFFFAOYSA-N -1 1 317.393 1.826 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H](C)c1cccc(O)c1 ZINC001363355662 886116942 /nfs/dbraw/zinc/11/69/42/886116942.db2.gz LPCQBSNAHGKWRM-NSHDSACASA-N -1 1 303.366 1.694 20 0 DDADMM CCn1cc(Cl)c(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)n1 ZINC001363401615 886235933 /nfs/dbraw/zinc/23/59/33/886235933.db2.gz WFLNMXUPICPWIN-VIFPVBQESA-N -1 1 323.788 1.164 20 0 DDADMM CCn1cc(Cl)c(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)n1 ZINC001363401614 886236981 /nfs/dbraw/zinc/23/69/81/886236981.db2.gz WFLNMXUPICPWIN-SECBINFHSA-N -1 1 323.788 1.164 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(C)c(C)c1Cl)c1nn[n-]n1 ZINC001363428934 886315621 /nfs/dbraw/zinc/31/56/21/886315621.db2.gz BRLKULBFOSJASU-SNVBAGLBSA-N -1 1 309.757 1.587 20 0 DDADMM Cc1cc(CN(C)CCN(C)C(=O)c2ncccc2[O-])nn1C ZINC001374488442 913032659 /nfs/dbraw/zinc/03/26/59/913032659.db2.gz INAFATLMAASTFX-UHFFFAOYSA-N -1 1 317.393 1.033 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC001363478587 886446729 /nfs/dbraw/zinc/44/67/29/886446729.db2.gz JVXLSWUPKCZKFX-WOPDTQHZSA-N -1 1 303.362 1.069 20 0 DDADMM CCOc1ncccc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001363489197 886471640 /nfs/dbraw/zinc/47/16/40/886471640.db2.gz CONTVNCHHCWZDA-JTQLQIEISA-N -1 1 322.394 1.218 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363544346 886598391 /nfs/dbraw/zinc/59/83/91/886598391.db2.gz MWQYYIQLJBMXEN-VIFPVBQESA-N -1 1 306.370 1.593 20 0 DDADMM O=C(NCC1(CCNC(=O)C(F)F)CC1)c1ncccc1[O-] ZINC001383834699 886615999 /nfs/dbraw/zinc/61/59/99/886615999.db2.gz CAUBQCIFHHCATE-UHFFFAOYSA-N -1 1 313.304 1.069 20 0 DDADMM [O-]c1c(F)ccc(CN2CCN(c3cncnc3)CC2)c1F ZINC001232936915 886682829 /nfs/dbraw/zinc/68/28/29/886682829.db2.gz IJPNZOASZWFIBO-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM COC[C@@H]1CN(Cc2cc([O-])c(F)c(F)c2)Cc2nnn(C)c21 ZINC001232972713 886702847 /nfs/dbraw/zinc/70/28/47/886702847.db2.gz VIDGURZIXPUQCO-JTQLQIEISA-N -1 1 324.331 1.545 20 0 DDADMM [O-]c1cc(CN2CCN(c3ncccn3)CC2)cc(F)c1F ZINC001232968202 886703595 /nfs/dbraw/zinc/70/35/95/886703595.db2.gz FKFZECOFBWZUJL-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM CC1(C)CN(C2CN(Cc3cc(Cl)ncc3[O-])C2)CCO1 ZINC001233034427 886742490 /nfs/dbraw/zinc/74/24/90/886742490.db2.gz MNQFIFGVBDXVCP-UHFFFAOYSA-N -1 1 311.813 1.736 20 0 DDADMM COC[C@H]1CN(Cc2cc(Cl)ncc2[O-])Cc2ncn(C)c21 ZINC001233034174 886743744 /nfs/dbraw/zinc/74/37/44/886743744.db2.gz GHXUPTDOMTWHPK-LLVKDONJSA-N -1 1 322.796 1.920 20 0 DDADMM Cc1ccccc1CC(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001363658094 886904662 /nfs/dbraw/zinc/90/46/62/886904662.db2.gz WREMEFHDZPDMAD-UHFFFAOYSA-N -1 1 315.373 1.748 20 0 DDADMM CCC1(CC)CNC(=O)[C@@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363668713 886933974 /nfs/dbraw/zinc/93/39/74/886933974.db2.gz SILHTBRRIYJFIM-NSHDSACASA-N -1 1 318.377 1.094 20 0 DDADMM COC(=O)c1coc([C@H](C)Sc2ncc(C(=O)OC)[n-]2)n1 ZINC001363756661 887151239 /nfs/dbraw/zinc/15/12/39/887151239.db2.gz SCGFHEXRNBLYOM-LURJTMIESA-N -1 1 311.319 1.824 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H](C)c2nc(C(=O)OC)co2)n1 ZINC001363756661 887151244 /nfs/dbraw/zinc/15/12/44/887151244.db2.gz SCGFHEXRNBLYOM-LURJTMIESA-N -1 1 311.319 1.824 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2CCC(C)(C)CO2)c(=O)[n-]1 ZINC001363765517 887167183 /nfs/dbraw/zinc/16/71/83/887167183.db2.gz VIHDZDDZEMYDLG-VIFPVBQESA-N -1 1 311.407 1.839 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H](C(C)=O)c1ccccc1 ZINC001233760021 887287959 /nfs/dbraw/zinc/28/79/59/887287959.db2.gz UJSPHJFWDXZVQR-LBPRGKRZSA-N -1 1 302.286 1.678 20 0 DDADMM O=C(NC[C@H](O)Cc1cccc(Cl)c1)c1ccc([O-])cn1 ZINC001363895567 887492313 /nfs/dbraw/zinc/49/23/13/887492313.db2.gz NTQKRUBWOYIHNV-CYBMUJFWSA-N -1 1 306.749 1.774 20 0 DDADMM Cn1cc([C@H]2CCCN(C(=O)c3ccc([O-])cc3F)C2)nn1 ZINC001363903516 887509096 /nfs/dbraw/zinc/50/90/96/887509096.db2.gz GDAGGIABZJQIFY-JTQLQIEISA-N -1 1 304.325 1.680 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@]23CCC[C@H]2OCC3)n[n-]1 ZINC001363915360 887532696 /nfs/dbraw/zinc/53/26/96/887532696.db2.gz IJDOEAJWYDGLJH-FCHSOHFDSA-N -1 1 322.365 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@]23CCC[C@H]2OCC3)[n-]1 ZINC001363915360 887532700 /nfs/dbraw/zinc/53/27/00/887532700.db2.gz IJDOEAJWYDGLJH-FCHSOHFDSA-N -1 1 322.365 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@]23CCC[C@H]2OCC3)n1 ZINC001363915360 887532711 /nfs/dbraw/zinc/53/27/11/887532711.db2.gz IJDOEAJWYDGLJH-FCHSOHFDSA-N -1 1 322.365 1.118 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(C)(C)[C@@H]2C(C)C)[n-]n1 ZINC001363923655 887549889 /nfs/dbraw/zinc/54/98/89/887549889.db2.gz BIMWGQMKDSTTEJ-NSHDSACASA-N -1 1 315.395 1.251 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(C)(C)[C@@H]2C(C)C)n[n-]1 ZINC001363923655 887549904 /nfs/dbraw/zinc/54/99/04/887549904.db2.gz BIMWGQMKDSTTEJ-NSHDSACASA-N -1 1 315.395 1.251 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1ncc(Cl)n1C ZINC001363991810 887681011 /nfs/dbraw/zinc/68/10/11/887681011.db2.gz CQWNOGTWJAUNAB-UHFFFAOYSA-N -1 1 322.799 1.017 20 0 DDADMM CCOC(=O)C[C@@H](C)Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O ZINC001234560242 888095463 /nfs/dbraw/zinc/09/54/63/888095463.db2.gz CCGZIKFHXHXOQR-MRVPVSSYSA-N -1 1 311.338 1.125 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1OC[C@H](C)OC[C@@H](C)OC ZINC001234560667 888099038 /nfs/dbraw/zinc/09/90/38/888099038.db2.gz HEHDZSAYWSDURV-BDAKNGLRSA-N -1 1 314.338 1.096 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@@H]1[C@H]2C[C@@H]3C(=O)O[C@@H]1[C@H]3C2 ZINC001234611141 888140445 /nfs/dbraw/zinc/14/04/45/888140445.db2.gz ZDDIUYAIDJRBEV-GGZFAXOASA-N -1 1 323.276 1.042 20 0 DDADMM COCCC(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C(C)(C)C ZINC001396147339 913247297 /nfs/dbraw/zinc/24/72/97/913247297.db2.gz OYZAVJRICWYZDF-GFCCVEGCSA-N -1 1 323.393 1.084 20 0 DDADMM CC(C)[C@@H](F)C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385250938 888734858 /nfs/dbraw/zinc/73/48/58/888734858.db2.gz BCXROUXAGAHMPB-DGCLKSJQSA-N -1 1 323.368 1.454 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCO[C@@H](C(C)C)C2)n[n-]1 ZINC001364503094 888785779 /nfs/dbraw/zinc/78/57/79/888785779.db2.gz DBUSOHAXANTSSE-GHMZBOCLSA-N -1 1 324.381 1.049 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCO[C@@H](C(C)C)C2)n1 ZINC001364503094 888785795 /nfs/dbraw/zinc/78/57/95/888785795.db2.gz DBUSOHAXANTSSE-GHMZBOCLSA-N -1 1 324.381 1.049 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cncc(C)c1)c1ccc(C)o1 ZINC001364813367 889469326 /nfs/dbraw/zinc/46/93/26/889469326.db2.gz GSPAQSHMHFZMRV-CYBMUJFWSA-N -1 1 310.375 1.957 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCC1CC(OC)C1 ZINC001364907521 889648025 /nfs/dbraw/zinc/64/80/25/889648025.db2.gz IZBMTZDXGUMRFZ-UHFFFAOYSA-N -1 1 306.409 1.245 20 0 DDADMM CCOC(=O)[C@H](C[C@H](C)CC)[N-]S(=O)(=O)Cc1ccno1 ZINC001364922812 889673925 /nfs/dbraw/zinc/67/39/25/889673925.db2.gz IUVIOROJQBDMPN-PWSUYJOCSA-N -1 1 318.395 1.462 20 0 DDADMM CCC1(C(=O)NC[C@@H](NC(=O)c2ncccc2[O-])C2CC2)CC1 ZINC001385773319 889722924 /nfs/dbraw/zinc/72/29/24/889722924.db2.gz ABZBEWDKSZXCMZ-GFCCVEGCSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)C1CCOCC1 ZINC001364982601 889815654 /nfs/dbraw/zinc/81/56/54/889815654.db2.gz QCKZZPLOMWCWNU-UHFFFAOYSA-N -1 1 317.411 1.296 20 0 DDADMM CCc1cc(NC(=O)NCc2cc(=O)[n-]c(SC)n2)no1 ZINC001365032190 889940737 /nfs/dbraw/zinc/94/07/37/889940737.db2.gz QISIMBSYYQEJLY-UHFFFAOYSA-N -1 1 309.351 1.776 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H](C)C(C)(F)F ZINC001386244394 890634577 /nfs/dbraw/zinc/63/45/77/890634577.db2.gz VPIHDJWKEAHSEA-RKDXNWHRSA-N -1 1 315.320 1.313 20 0 DDADMM CN(C)C(=O)c1ccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)cc1 ZINC001239803059 890745919 /nfs/dbraw/zinc/74/59/19/890745919.db2.gz HXNVOMIAMAYSSC-UHFFFAOYSA-N -1 1 308.337 1.868 20 0 DDADMM Cc1[nH]nc2cc(-c3ccc4c(c3)C(=O)[N-]S4(=O)=O)ccc12 ZINC001241638491 891228487 /nfs/dbraw/zinc/22/84/87/891228487.db2.gz RYMAGCGLUMXRQB-UHFFFAOYSA-N -1 1 313.338 1.970 20 0 DDADMM COC(=O)c1cccc(C[N-]S(=O)(=O)c2conc2C)c1 ZINC001365681852 891308390 /nfs/dbraw/zinc/30/83/90/891308390.db2.gz YKZABNVCADDCSX-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(Cc2cncc(F)c2)CC1 ZINC001365693248 891343631 /nfs/dbraw/zinc/34/36/31/891343631.db2.gz YFAUIWKUYHITCU-UHFFFAOYSA-N -1 1 316.336 1.279 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccns1)c1c(F)cccc1F ZINC001365715078 891386947 /nfs/dbraw/zinc/38/69/47/891386947.db2.gz HLUAQWVHYNILHG-UHFFFAOYSA-N -1 1 318.326 1.583 20 0 DDADMM COC(=O)c1nc2ccc(-c3ccc4c(c3)CCNC4=O)nc2[n-]1 ZINC001242325721 891391148 /nfs/dbraw/zinc/39/11/48/891391148.db2.gz LYOBYMLQGFFVRC-UHFFFAOYSA-N -1 1 322.324 1.649 20 0 DDADMM CS[C@H](C)C(=O)NCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001386650323 891401968 /nfs/dbraw/zinc/40/19/68/891401968.db2.gz KKLJUQBJJSZUIP-NXEZZACHSA-N -1 1 311.407 1.163 20 0 DDADMM O=C([O-])c1ccccc1-c1ncccc1C(=O)N1CCNCC1 ZINC001242877110 891543760 /nfs/dbraw/zinc/54/37/60/891543760.db2.gz RZNNEEXZONBTFE-UHFFFAOYSA-N -1 1 311.341 1.492 20 0 DDADMM CC[C@H](C)[C@H](C)C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001386849038 891851690 /nfs/dbraw/zinc/85/16/90/891851690.db2.gz ADLPEIUBQZACPV-RYUDHWBXSA-N -1 1 307.394 1.658 20 0 DDADMM CCc1ccoc1C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001386849807 891853760 /nfs/dbraw/zinc/85/37/60/891853760.db2.gz GOLOFFVZVWUTPI-UHFFFAOYSA-N -1 1 317.345 1.445 20 0 DDADMM COc1cncc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c1 ZINC001244790821 891923654 /nfs/dbraw/zinc/92/36/54/891923654.db2.gz FIKZPGDMOXWKDS-UHFFFAOYSA-N -1 1 309.347 1.532 20 0 DDADMM COc1ncc(-c2cnc(C3CC3)nc2)cc1[N-]S(C)(=O)=O ZINC001244792419 891926865 /nfs/dbraw/zinc/92/68/65/891926865.db2.gz SYZLCVISFAQVMQ-UHFFFAOYSA-N -1 1 320.374 1.796 20 0 DDADMM CC(=O)Nc1nc2nc[nH]c2c(-c2cccc(C(=O)[O-])c2C)n1 ZINC001245295919 892069764 /nfs/dbraw/zinc/06/97/64/892069764.db2.gz APQPFIUUGSKFNG-UHFFFAOYSA-N -1 1 311.301 1.937 20 0 DDADMM CCC(=O)N(C)CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001387032112 892218796 /nfs/dbraw/zinc/21/87/96/892218796.db2.gz SBDGXENTPGIKBI-UHFFFAOYSA-N -1 1 308.382 1.212 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccncc1C(F)(F)F ZINC001249585590 894046989 /nfs/dbraw/zinc/04/69/89/894046989.db2.gz ARYAYAWWNZNRHJ-UHFFFAOYSA-N -1 1 303.269 1.632 20 0 DDADMM Cn1ncc2cc(Nc3[nH]c(=S)[n-]c(=O)c3N=O)cnc21 ZINC001249659305 894083769 /nfs/dbraw/zinc/08/37/69/894083769.db2.gz ISGHLNTYMPIYMM-UHFFFAOYSA-N -1 1 303.307 1.894 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2ccc3nncn3c2)ccc1N ZINC001250008354 894186788 /nfs/dbraw/zinc/18/67/88/894186788.db2.gz MSZMSAVDIQKOIR-UHFFFAOYSA-N -1 1 303.347 1.421 20 0 DDADMM CC[C@H](CNC(=O)[C@@H](C)SC)NC(=O)c1ncccc1[O-] ZINC001388020353 894377983 /nfs/dbraw/zinc/37/79/83/894377983.db2.gz HRNDCQFOWGXOFH-NXEZZACHSA-N -1 1 311.407 1.163 20 0 DDADMM Cc1nnc2ccc([N-]S(=O)(=O)c3ccc(F)cc3)cn12 ZINC001251615581 894748492 /nfs/dbraw/zinc/74/84/92/894748492.db2.gz OWWHANQKVBELFK-UHFFFAOYSA-N -1 1 306.322 1.978 20 0 DDADMM O=C(NCCN(Cc1ccon1)C1CC1)c1ncccc1[O-] ZINC001366734751 894759108 /nfs/dbraw/zinc/75/91/08/894759108.db2.gz NYZVPCOFDZAYJX-UHFFFAOYSA-N -1 1 302.334 1.170 20 0 DDADMM CC(C)CCC(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001366862356 895158709 /nfs/dbraw/zinc/15/87/09/895158709.db2.gz WCVFGYFNVUAYAP-GFCCVEGCSA-N -1 1 309.414 1.227 20 0 DDADMM CC[C@@H](OC)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C(C)C ZINC001388899898 896144169 /nfs/dbraw/zinc/14/41/69/896144169.db2.gz INSMUOXIDKRJIP-WCQYABFASA-N -1 1 323.393 1.083 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(-n2cccc2)ccc1Cl ZINC001292872579 914061107 /nfs/dbraw/zinc/06/11/07/914061107.db2.gz LJTUQQSJAYZQJS-UHFFFAOYSA-N -1 1 302.725 1.574 20 0 DDADMM C[C@H]1C[C@@H]([NH2+]CCP(=O)([O-])[O-])CCN1C(=O)OC(C)(C)C ZINC001256454799 897444191 /nfs/dbraw/zinc/44/41/91/897444191.db2.gz XCOFOXRXSCEEBT-QWRGUYRKSA-N -1 1 322.342 1.542 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2c(C)ncn2C)cc1OC ZINC001257021556 897674560 /nfs/dbraw/zinc/67/45/60/897674560.db2.gz FTZVLVAESCMNQG-UHFFFAOYSA-N -1 1 311.363 1.547 20 0 DDADMM O=S(=O)([N-]Cc1nccc(Cl)n1)c1cc(F)ccc1F ZINC001258948920 898374726 /nfs/dbraw/zinc/37/47/26/898374726.db2.gz APGLDCQBCCWORS-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM O=c1cc(C[N-]S(=O)(=O)c2cc(F)ccc2F)cc[nH]1 ZINC001258949609 898378906 /nfs/dbraw/zinc/37/89/06/898378906.db2.gz HLPVMUUGDQMPNV-UHFFFAOYSA-N -1 1 300.286 1.544 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1cnc2ccccc2c1Cl ZINC001259018831 898417472 /nfs/dbraw/zinc/41/74/72/898417472.db2.gz WBCIPYSBUVUORB-UHFFFAOYSA-N -1 1 314.750 1.803 20 0 DDADMM CCOC(=O)Nc1ccc([N-]S(=O)(=O)CC(=O)OC)cc1 ZINC001259026344 898424716 /nfs/dbraw/zinc/42/47/16/898424716.db2.gz PYUKUYHSLXIJNJ-UHFFFAOYSA-N -1 1 316.335 1.170 20 0 DDADMM CC(C)c1nc(C[N-]S(=O)(=O)c2ccc(F)c(F)c2)no1 ZINC001259092461 898463048 /nfs/dbraw/zinc/46/30/48/898463048.db2.gz VKBHXBDYENETNV-UHFFFAOYSA-N -1 1 317.317 1.950 20 0 DDADMM CC(C)(C)OC[C@H]([N-]S(=O)(=O)C1CC1)C(=O)OC(C)(C)C ZINC001259269868 898561273 /nfs/dbraw/zinc/56/12/73/898561273.db2.gz WTAWRKQAWXQZCJ-NSHDSACASA-N -1 1 321.439 1.594 20 0 DDADMM CC(C)N1CC([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC001259459787 898680058 /nfs/dbraw/zinc/68/00/58/898680058.db2.gz GYPIBAJNWLYQLB-UHFFFAOYSA-N -1 1 306.790 1.850 20 0 DDADMM O=S(=O)([N-]CCc1cnoc1)c1cc(Cl)ccc1F ZINC001259462791 898681601 /nfs/dbraw/zinc/68/16/01/898681601.db2.gz WGNIGWGPKUWMDW-UHFFFAOYSA-N -1 1 304.730 1.988 20 0 DDADMM COc1ccc([N-]S(=O)(=O)CCC(F)(F)F)c(OC)n1 ZINC001259865845 898878267 /nfs/dbraw/zinc/87/82/67/898878267.db2.gz IGGFXGZPIXCHGU-UHFFFAOYSA-N -1 1 314.285 1.793 20 0 DDADMM COc1ccc(CS(=O)(=O)[N-]c2cnn3c2OCCC3)cc1 ZINC001259893642 898905323 /nfs/dbraw/zinc/90/53/23/898905323.db2.gz HJXSIIVAAMGHMH-UHFFFAOYSA-N -1 1 323.374 1.616 20 0 DDADMM O=C1NCCCN1c1ccc(C[N-]S(=O)(=O)C(F)F)cc1 ZINC001259964121 898985817 /nfs/dbraw/zinc/98/58/17/898985817.db2.gz CVBKTHWBQJQZAD-UHFFFAOYSA-N -1 1 319.333 1.248 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2cnc3nccn3c2)c1 ZINC001260185083 899077925 /nfs/dbraw/zinc/07/79/25/899077925.db2.gz PUNRTRHAXHEXSK-UHFFFAOYSA-N -1 1 318.314 1.228 20 0 DDADMM COc1cncc(NS(=O)(=O)c2ccc(O)c(C(=O)[O-])c2)c1 ZINC001260393030 899135155 /nfs/dbraw/zinc/13/51/55/899135155.db2.gz ZNRSYADTPFRBJA-UHFFFAOYSA-N -1 1 324.314 1.295 20 0 DDADMM O=S(=O)([N-]c1cc2nsnc2cc1Cl)c1cn[nH]c1 ZINC001260961885 899302101 /nfs/dbraw/zinc/30/21/01/899302101.db2.gz XQGIJKJDDLYUFU-UHFFFAOYSA-N -1 1 315.767 1.869 20 0 DDADMM Cc1n[nH]c(C(=O)NCC[C@@H](C)NCc2cscn2)c1[O-] ZINC001390659884 899890888 /nfs/dbraw/zinc/89/08/88/899890888.db2.gz CPHBXGRYQSIOEG-MRVPVSSYSA-N -1 1 309.395 1.178 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)[C@H](C)c1ccccc1 ZINC001263015244 900468320 /nfs/dbraw/zinc/46/83/20/900468320.db2.gz HHVBWXYDOQWGKO-LLVKDONJSA-N -1 1 312.381 1.599 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)[C@H](C)c1ccccc1 ZINC001263015244 900468325 /nfs/dbraw/zinc/46/83/25/900468325.db2.gz HHVBWXYDOQWGKO-LLVKDONJSA-N -1 1 312.381 1.599 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CCc2sccc2C1 ZINC001263026092 900470734 /nfs/dbraw/zinc/47/07/34/900470734.db2.gz BGKUQPXXSKSYEI-UHFFFAOYSA-N -1 1 316.394 1.026 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CCc2sccc2C1 ZINC001263026092 900470740 /nfs/dbraw/zinc/47/07/40/900470740.db2.gz BGKUQPXXSKSYEI-UHFFFAOYSA-N -1 1 316.394 1.026 20 0 DDADMM O=C(c1c(F)c(F)cc(F)c1F)N1CC[C@H](c2nn[n-]n2)C1 ZINC001263886224 900800593 /nfs/dbraw/zinc/80/05/93/900800593.db2.gz CDGGYSAJYXAGFU-YFKPBYRVSA-N -1 1 315.230 1.386 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H]1CCC2(CCC2)O1 ZINC001263905856 900810436 /nfs/dbraw/zinc/81/04/36/900810436.db2.gz OHCROLRMIXETKX-SECBINFHSA-N -1 1 309.391 1.611 20 0 DDADMM CN(C)c1ccc([N-]S(=O)(=O)c2ccc(F)c(C#N)c2)cn1 ZINC000387087260 901028872 /nfs/dbraw/zinc/02/88/72/901028872.db2.gz GGNXKTBVZKIUTQ-UHFFFAOYSA-N -1 1 320.349 1.959 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCC1(C)CC1 ZINC001369397683 901185785 /nfs/dbraw/zinc/18/57/85/901185785.db2.gz HHPTXDFPWCFEMP-WDEREUQCSA-N -1 1 307.398 1.170 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@H]1CC12CC2 ZINC001369820774 902032972 /nfs/dbraw/zinc/03/29/72/902032972.db2.gz FURLBEGLKDXZTB-WDEREUQCSA-N -1 1 303.362 1.164 20 0 DDADMM CCN(CCCNC(=O)[C@@H]1C[C@H]1C(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001266098034 902936927 /nfs/dbraw/zinc/93/69/27/902936927.db2.gz LKRYQUJITLILBZ-NWDGAFQWSA-N -1 1 309.414 1.131 20 0 DDADMM CC(C)=C(C)CC(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001280152526 903529411 /nfs/dbraw/zinc/52/94/11/903529411.db2.gz LRGFGZOKKUQPOK-CYBMUJFWSA-N -1 1 321.425 1.585 20 0 DDADMM CCC1(C(=O)N[C@@H](C)C[C@@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001371285539 904633854 /nfs/dbraw/zinc/63/38/54/904633854.db2.gz XMKQSILBOWSWGY-NEPJUHHUSA-N -1 1 319.405 1.991 20 0 DDADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C1CCCCC1 ZINC001392757830 905159420 /nfs/dbraw/zinc/15/94/20/905159420.db2.gz BHHCPVPPRKVMSF-LBPRGKRZSA-N -1 1 307.398 1.075 20 0 DDADMM CC[C@H](C)C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372359186 907119874 /nfs/dbraw/zinc/11/98/74/907119874.db2.gz MHMMDQGEZPPAST-JQWIXIFHSA-N -1 1 305.378 1.458 20 0 DDADMM CC(=O)NCC1(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)CC1 ZINC001393498003 907149344 /nfs/dbraw/zinc/14/93/44/907149344.db2.gz XRXYRUKNNQBQRV-UHFFFAOYSA-N -1 1 306.366 1.013 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001372381707 907172607 /nfs/dbraw/zinc/17/26/07/907172607.db2.gz PZMFMUADHOPLIU-RAIGVLPGSA-N -1 1 317.389 1.458 20 0 DDADMM O=C(NCC1(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC1)c1ncccc1[O-] ZINC001393611199 907475381 /nfs/dbraw/zinc/47/53/81/907475381.db2.gz QXRIXSRKPJFIOK-PJXYFTJBSA-N -1 1 315.373 1.212 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001393611899 907477752 /nfs/dbraw/zinc/47/77/52/907477752.db2.gz XBVAUBQLVTZCEP-LLVKDONJSA-N -1 1 305.378 1.458 20 0 DDADMM C[C@@H]1CCN(C(=O)NCCc2c(F)cc([O-])cc2F)C[C@@H]1O ZINC001372727931 908072232 /nfs/dbraw/zinc/07/22/32/908072232.db2.gz DFRKLLUJNQAETC-OTYXRUKQSA-N -1 1 314.332 1.625 20 0 DDADMM CC[C@@H](C)OCC(=O)N[C@H](C)CCNC(=O)c1ncccc1[O-] ZINC001373262486 909341412 /nfs/dbraw/zinc/34/14/12/909341412.db2.gz WFYXMFQJIIQNJT-VXGBXAGGSA-N -1 1 323.393 1.227 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NCc1nnc(C)s1 ZINC001373747080 910839050 /nfs/dbraw/zinc/83/90/50/910839050.db2.gz FJUFRAPPECXZJD-SNVBAGLBSA-N -1 1 321.406 1.245 20 0 DDADMM CC(C)C(=O)N(C)[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001395489395 912094434 /nfs/dbraw/zinc/09/44/34/912094434.db2.gz PMNVHOLLMJWUOY-GFCCVEGCSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@@H](CN(C)C(=O)c1oc2ccccc2c1CO)c1nn[n-]n1 ZINC001296063949 916219243 /nfs/dbraw/zinc/21/92/43/916219243.db2.gz LHGNPCZVDJKQMZ-VIFPVBQESA-N -1 1 315.333 1.314 20 0 DDADMM CCC[C@H](CC)C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001375846152 917111920 /nfs/dbraw/zinc/11/19/20/917111920.db2.gz KMYDGRXAWAYBLU-LRDDRELGSA-N -1 1 323.441 1.015 20 0 DDADMM CC(C)C(=O)NC[C@H]1[C@H](C)CCCN1C(=O)c1ncccc1[O-] ZINC001375917587 917257158 /nfs/dbraw/zinc/25/71/58/917257158.db2.gz XPEIDZMFCOJOSV-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM CCc1[nH]ccc1C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001376869157 919616994 /nfs/dbraw/zinc/61/69/94/919616994.db2.gz AZQQFELUAYEZBL-SNVBAGLBSA-N -1 1 316.361 1.226 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCC(F)(F)C3)nc2n1 ZINC000622870168 365550765 /nfs/dbraw/zinc/55/07/65/365550765.db2.gz SRPKQWVBDFZENH-MRVPVSSYSA-N -1 1 311.292 1.490 20 0 DDADMM CCCCO[C@H](C)C(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1 ZINC000622994949 365588598 /nfs/dbraw/zinc/58/85/98/365588598.db2.gz JBLAMFQDHDRFFF-SECBINFHSA-N -1 1 307.354 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)CC(C)C)nc2n1 ZINC000622997275 365589985 /nfs/dbraw/zinc/58/99/85/365589985.db2.gz RXKLDEWDWSMUGI-JTQLQIEISA-N -1 1 305.382 1.991 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)Cc3ccc(C)o3)nc2n1 ZINC000622996831 365590219 /nfs/dbraw/zinc/59/02/19/365590219.db2.gz BUIKFISOXTWRFH-UHFFFAOYSA-N -1 1 315.333 1.453 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCO[C@@H](C)C3)nc2n1 ZINC000622997144 365590437 /nfs/dbraw/zinc/59/04/37/365590437.db2.gz MUQBBWQKXJIMGG-UWVGGRQHSA-N -1 1 319.365 1.124 20 0 DDADMM O=C(c1cccc(CO)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614390618 361833827 /nfs/dbraw/zinc/83/38/27/361833827.db2.gz VYRKCSYYCMTBOP-GFCCVEGCSA-N -1 1 303.318 1.287 20 0 DDADMM CC(C)c1nnc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)s1 ZINC000614474655 361875741 /nfs/dbraw/zinc/87/57/41/361875741.db2.gz WVCITCIZLBJJQM-UHFFFAOYSA-N -1 1 323.378 1.770 20 0 DDADMM COCCN1CCCN(C(=O)c2c([O-])cc(F)cc2F)CC1 ZINC000081714715 539167381 /nfs/dbraw/zinc/16/73/81/539167381.db2.gz UXRQWDFBEDNTDZ-UHFFFAOYSA-N -1 1 314.332 1.465 20 0 DDADMM CC[C@@](COC)(NC(=O)c1cc(Cl)ccc1[O-])C(=O)OC ZINC000457269968 529516498 /nfs/dbraw/zinc/51/64/98/529516498.db2.gz TVTNJZOUSVDUOQ-AWEZNQCLSA-N -1 1 315.753 1.744 20 0 DDADMM Cn1c(CCNC(=O)C=Cc2ccnc(Cl)c2)n[n-]c1=S ZINC000090552218 185302873 /nfs/dbraw/zinc/30/28/73/185302873.db2.gz PFIABSCPZPZUCR-NSCUHMNNSA-N -1 1 323.809 1.898 20 0 DDADMM O=C(C=Cc1ccc(OCc2ccccn2)cc1)Nc1nnn[n-]1 ZINC000255120349 282233956 /nfs/dbraw/zinc/23/39/56/282233956.db2.gz JYJKRMPASVGJKU-TWGQIWQCSA-N -1 1 322.328 1.826 20 0 DDADMM O=C(C=Cc1ccc(OCc2ccccn2)cc1)Nc1nn[n-]n1 ZINC000255120349 282233958 /nfs/dbraw/zinc/23/39/58/282233958.db2.gz JYJKRMPASVGJKU-TWGQIWQCSA-N -1 1 322.328 1.826 20 0 DDADMM CCc1ncc(C[N-]S(=O)(=O)c2cc(F)ccc2F)o1 ZINC000451955064 529756395 /nfs/dbraw/zinc/75/63/95/529756395.db2.gz CIIUQBVLDIZZTN-UHFFFAOYSA-N -1 1 302.302 1.994 20 0 DDADMM CCc1ncsc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000331798559 529762760 /nfs/dbraw/zinc/76/27/60/529762760.db2.gz JCNLTTJTQYLARB-MRVPVSSYSA-N -1 1 308.363 1.814 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2sc(C)cc2OC)n[n-]1 ZINC000615919989 362486710 /nfs/dbraw/zinc/48/67/10/362486710.db2.gz LCORZSAGRJPEQI-UHFFFAOYSA-N -1 1 324.362 1.290 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2sc(C)cc2OC)n1 ZINC000615919989 362486714 /nfs/dbraw/zinc/48/67/14/362486714.db2.gz LCORZSAGRJPEQI-UHFFFAOYSA-N -1 1 324.362 1.290 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)CC(C)(C)CNC(=O)OC(C)(C)C ZINC000494693942 530022530 /nfs/dbraw/zinc/02/25/30/530022530.db2.gz NGSMBZLKWKNUPO-UHFFFAOYSA-N -1 1 313.402 1.493 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@](C)(F)C2)co1 ZINC000295638900 530024938 /nfs/dbraw/zinc/02/49/38/530024938.db2.gz SXXZWUGRLLIHET-GFCCVEGCSA-N -1 1 304.343 1.152 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cc(C3CC3)n(C)n2)c1Br ZINC000616005844 362518207 /nfs/dbraw/zinc/51/82/07/362518207.db2.gz CUXPNCXQKNHVPY-UHFFFAOYSA-N -1 1 324.182 1.766 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(CC)CC1 ZINC000228623547 201819139 /nfs/dbraw/zinc/81/91/39/201819139.db2.gz VQHKWGWHZXTLKC-UHFFFAOYSA-N -1 1 301.368 1.055 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C1(C)C ZINC000358340946 299125369 /nfs/dbraw/zinc/12/53/69/299125369.db2.gz QPLIPQXZCQUTRX-ZEGGKSINSA-N -1 1 318.377 1.463 20 0 DDADMM O=C(NCC[C@]1(O)CCOC1)c1ncc2ccccc2c1[O-] ZINC000616535386 362741214 /nfs/dbraw/zinc/74/12/14/362741214.db2.gz HBRJBYXDZQOIGI-INIZCTEOSA-N -1 1 302.330 1.212 20 0 DDADMM C[C@@H](CN(C)C(=O)CSCc1cccnc1)c1nn[n-]n1 ZINC000358376081 299135242 /nfs/dbraw/zinc/13/52/42/299135242.db2.gz ARWFHHKYMXVEGN-JTQLQIEISA-N -1 1 306.395 1.090 20 0 DDADMM C[C@H](COc1ccccc1)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000358381112 299137331 /nfs/dbraw/zinc/13/73/31/299137331.db2.gz HHISXCGAKHDTRD-VXGBXAGGSA-N -1 1 303.366 1.477 20 0 DDADMM C[C@@H](CN(C)C(=O)CSCc1cscn1)c1nn[n-]n1 ZINC000358385570 299138754 /nfs/dbraw/zinc/13/87/54/299138754.db2.gz VQGWKLSJCMQKJJ-QMMMGPOBSA-N -1 1 312.424 1.152 20 0 DDADMM CCS(=O)(=O)C1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000331311279 233035397 /nfs/dbraw/zinc/03/53/97/233035397.db2.gz ZMHHZGVVCIIZDT-UHFFFAOYSA-N -1 1 312.391 1.135 20 0 DDADMM O=c1cc(C[N@@H+]2[C@@H]3CC[C@H]2CNC(=O)C3)nc(-c2ccccc2)[nH]1 ZINC000459357328 233129915 /nfs/dbraw/zinc/12/99/15/233129915.db2.gz MCUIOCXMDIPRPK-CABCVRRESA-N -1 1 324.384 1.702 20 0 DDADMM O=C1NC(=O)[C@@]2(CCCN(Cc3ccnc4ccccc34)C2)N1 ZINC000564772754 304012214 /nfs/dbraw/zinc/01/22/14/304012214.db2.gz RYCQYDYFDFYQIH-KRWDZBQOSA-N -1 1 310.357 1.409 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CC[C@H]2CCC[C@H]2C1 ZINC000343494772 282680882 /nfs/dbraw/zinc/68/08/82/282680882.db2.gz NHMUJDVBVZPWRE-FRRDWIJNSA-N -1 1 317.389 1.602 20 0 DDADMM CC(C)=CC[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000287205734 219378834 /nfs/dbraw/zinc/37/88/34/219378834.db2.gz FDDISZPJNLTSLU-UHFFFAOYSA-N -1 1 322.228 1.736 20 0 DDADMM CC[C@@H](C)c1ccccc1OCC(=O)NN1CC(=O)[N-]C1=O ZINC000029019885 352234204 /nfs/dbraw/zinc/23/42/04/352234204.db2.gz KJCRQQUDNATUBS-SNVBAGLBSA-N -1 1 305.334 1.162 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)C1CC1)c1nc2ccccc2s1 ZINC000564908435 304025938 /nfs/dbraw/zinc/02/59/38/304025938.db2.gz MZHWIQBYPIAQNK-SNVBAGLBSA-N -1 1 312.416 1.593 20 0 DDADMM Cc1nc(C[C@H]2CCCN(C(=O)c3ncc(C)cc3[O-])C2)no1 ZINC000331715568 234034570 /nfs/dbraw/zinc/03/45/70/234034570.db2.gz GANNZASJBXIMSU-GFCCVEGCSA-N -1 1 316.361 1.882 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](Cn3cncn3)C2)c([O-])c1 ZINC000331742591 234070468 /nfs/dbraw/zinc/07/04/68/234070468.db2.gz WOZHPTRRXXREOI-LBPRGKRZSA-N -1 1 301.350 1.240 20 0 DDADMM CCn1c(=S)[n-]nc1C1CCN(C(=O)c2cc[nH]n2)CC1 ZINC000068452494 353112753 /nfs/dbraw/zinc/11/27/53/353112753.db2.gz BHFVBIJVXWXWFQ-UHFFFAOYSA-N -1 1 306.395 1.703 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-]CCC1(F)CCC1 ZINC000344904695 282866724 /nfs/dbraw/zinc/86/67/24/282866724.db2.gz JZIYNVLBRCEAGI-UHFFFAOYSA-N -1 1 322.383 1.490 20 0 DDADMM CCOC(=O)CC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000081061988 353650004 /nfs/dbraw/zinc/65/00/04/353650004.db2.gz LDKOTKOJKVNRTM-UHFFFAOYSA-N -1 1 311.281 1.335 20 0 DDADMM O=C(N[C@@H]1c2ccccc2C[C@H]1O)c1nc2ccccc2c(=O)[n-]1 ZINC000083064867 353705813 /nfs/dbraw/zinc/70/58/13/353705813.db2.gz MMAHDZBROMBLLL-HUUCEWRRSA-N -1 1 321.336 1.311 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2csc(C)n2)c1 ZINC000151917204 354187469 /nfs/dbraw/zinc/18/74/69/354187469.db2.gz PFKGFRNEFPYMGX-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(C(=O)OC)s2)n1 ZINC000590356867 355076685 /nfs/dbraw/zinc/07/66/85/355076685.db2.gz VQCZOQMZUHXYQF-UHFFFAOYSA-N -1 1 323.330 1.687 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(OC)cn2)n1 ZINC000590356593 355076758 /nfs/dbraw/zinc/07/67/58/355076758.db2.gz BBSXHTSCJBKEGL-UHFFFAOYSA-N -1 1 304.306 1.171 20 0 DDADMM C[C@@H](SCC(=O)Nc1ccc(F)cc1)C(=O)Nc1nnn[n-]1 ZINC000346356838 283072790 /nfs/dbraw/zinc/07/27/90/283072790.db2.gz XGQWGMXKJUEHLE-SSDOTTSWSA-N -1 1 324.341 1.038 20 0 DDADMM C[C@@H](SCC(=O)Nc1ccc(F)cc1)C(=O)Nc1nn[n-]n1 ZINC000346356838 283072795 /nfs/dbraw/zinc/07/27/95/283072795.db2.gz XGQWGMXKJUEHLE-SSDOTTSWSA-N -1 1 324.341 1.038 20 0 DDADMM COc1cc(NC(=O)c2cc[nH]c2C)ccc1[N-]S(C)(=O)=O ZINC000495151720 235132188 /nfs/dbraw/zinc/13/21/88/235132188.db2.gz RMMOWPUJMMVPCD-UHFFFAOYSA-N -1 1 323.374 1.956 20 0 DDADMM O=C([O-])c1ccc(C(=O)Nc2cnn(-c3ccncc3)c2)cn1 ZINC000590437022 355093631 /nfs/dbraw/zinc/09/36/31/355093631.db2.gz GJTQJXGEUKTPSX-UHFFFAOYSA-N -1 1 309.285 1.613 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@@H](CCO)C1 ZINC000591402377 355305012 /nfs/dbraw/zinc/30/50/12/355305012.db2.gz ZIWMXYUVRMGFSQ-NSHDSACASA-N -1 1 301.346 1.158 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3n2CCCC3)n1 ZINC000591302332 355284707 /nfs/dbraw/zinc/28/47/07/355284707.db2.gz COZGQCQVXBJCMN-UHFFFAOYSA-N -1 1 303.322 1.372 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCOc2ccccc2)[n-]1 ZINC000591302943 355285065 /nfs/dbraw/zinc/28/50/65/355285065.db2.gz GTULVUOGXXCXAU-UHFFFAOYSA-N -1 1 303.318 1.994 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCOc2ccccc2)n1 ZINC000591302943 355285068 /nfs/dbraw/zinc/28/50/68/355285068.db2.gz GTULVUOGXXCXAU-UHFFFAOYSA-N -1 1 303.318 1.994 20 0 DDADMM COC(=O)[C@@](C)(O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000591319737 355288259 /nfs/dbraw/zinc/28/82/59/355288259.db2.gz LAOJFSXMXYOYGY-LBPRGKRZSA-N -1 1 321.251 1.065 20 0 DDADMM CSC(C)(C)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000075363625 191467256 /nfs/dbraw/zinc/46/72/56/191467256.db2.gz RMBPWVQFZRANLV-UHFFFAOYSA-N -1 1 322.434 1.440 20 0 DDADMM C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1cc(Cl)ccc1[O-] ZINC000287347435 291065171 /nfs/dbraw/zinc/06/51/71/291065171.db2.gz NFIJILHWKAXNQM-WCQYABFASA-N -1 1 324.808 1.981 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)CC(C)(C)OC ZINC000592076181 355485431 /nfs/dbraw/zinc/48/54/31/355485431.db2.gz WFCITVLWQGHRLC-JTQLQIEISA-N -1 1 309.428 1.309 20 0 DDADMM CC[C@H]1CN2CCCC[C@@H]2CN1C(=O)CSCC(=O)[O-] ZINC000592362723 355567747 /nfs/dbraw/zinc/56/77/47/355567747.db2.gz IJUPKNVKLRWOAZ-NWDGAFQWSA-N -1 1 300.424 1.280 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)N=c2ccc(OC(C)C)n[n-]2)C[C@@H]1C ZINC000346585769 283130785 /nfs/dbraw/zinc/13/07/85/283130785.db2.gz MCXKMOSXFPLAMQ-QWHCGFSZSA-N -1 1 321.425 1.632 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2scc3c2OCCO3)n1 ZINC000594019950 356091362 /nfs/dbraw/zinc/09/13/62/356091362.db2.gz WEIYPWQFJONUER-UHFFFAOYSA-N -1 1 323.330 1.671 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)C[C@H]2CCCCO2)c1 ZINC000346662198 283141067 /nfs/dbraw/zinc/14/10/67/283141067.db2.gz WWOJBCPOSAHFLE-CYBMUJFWSA-N -1 1 313.375 1.784 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)Cc2ccccn2)c1 ZINC000594480464 356231551 /nfs/dbraw/zinc/23/15/51/356231551.db2.gz RBVWBNXJSGVOQZ-SNVBAGLBSA-N -1 1 324.358 1.371 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1cc(F)ccc1Cl)C(=O)OC ZINC000594762538 356310395 /nfs/dbraw/zinc/31/03/95/356310395.db2.gz HEGRONVHPLLOCQ-NSHDSACASA-N -1 1 323.773 1.850 20 0 DDADMM CCOC(=O)C(C)(C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601334999 358503641 /nfs/dbraw/zinc/50/36/41/358503641.db2.gz QBFLOORFQDQPMV-UHFFFAOYSA-N -1 1 311.306 1.837 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC(=O)C(C)(C)C)cc1C ZINC000595329886 356451305 /nfs/dbraw/zinc/45/13/05/356451305.db2.gz YFWKFQAJLSKNKK-UHFFFAOYSA-N -1 1 317.363 1.268 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H](C)C[C@@H](C)O)cc1C ZINC000595313666 356445373 /nfs/dbraw/zinc/44/53/73/356445373.db2.gz BIFRGYFBLXAFOQ-PSASIEDQSA-N -1 1 319.379 1.060 20 0 DDADMM CC[C@H](C)[C@H](O)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595316044 356446304 /nfs/dbraw/zinc/44/63/04/356446304.db2.gz XZXDWNWLZFODET-WCBMZHEXSA-N -1 1 319.379 1.060 20 0 DDADMM CCOc1cc(C(=O)NCc2cn(CC)nn2)cc(Cl)c1[O-] ZINC000618156552 363482218 /nfs/dbraw/zinc/48/22/18/363482218.db2.gz BAHRSWQYIVXSNK-UHFFFAOYSA-N -1 1 324.768 1.986 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2csc(=NC3CC3)[n-]2)[C@H]1C ZINC000347191970 283203417 /nfs/dbraw/zinc/20/34/17/283203417.db2.gz ITCQEDIGLUAVHF-WCBMZHEXSA-N -1 1 309.391 1.163 20 0 DDADMM CC(C)COCCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000347729043 283266992 /nfs/dbraw/zinc/26/69/92/283266992.db2.gz ZGSNHWZEQJNVPK-UHFFFAOYSA-N -1 1 316.379 1.041 20 0 DDADMM COC(=O)c1scc(C)c1[N-]C(=O)c1nnn(C(C)(C)C)n1 ZINC000598835995 357740204 /nfs/dbraw/zinc/74/02/04/357740204.db2.gz XBSSLARXFWBLEM-UHFFFAOYSA-N -1 1 323.378 1.837 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)COCc1ccc(C)cc1 ZINC000598846641 357743777 /nfs/dbraw/zinc/74/37/77/357743777.db2.gz JDCKKTSRBHNESN-UHFFFAOYSA-N -1 1 303.318 1.660 20 0 DDADMM COC(=O)[C@@H](CF)[N-]S(=O)(=O)c1ccc(C)c(F)c1F ZINC000599306333 357885387 /nfs/dbraw/zinc/88/53/87/357885387.db2.gz GBJZLRKXDBAIPI-SSDOTTSWSA-N -1 1 311.281 1.063 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-][C@H](CC1CC1)C(=O)OC)C1CC1 ZINC000599325180 357893837 /nfs/dbraw/zinc/89/38/37/357893837.db2.gz AVNGTDNKSRZWEW-OLZOCXBDSA-N -1 1 319.423 1.063 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(c2nnc3ccccn32)CC1 ZINC000171761505 306684588 /nfs/dbraw/zinc/68/45/88/306684588.db2.gz LIKGQGCUNJNEHN-UHFFFAOYSA-N -1 1 323.356 1.850 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN(CC1CCCCC1)C1CC1 ZINC000262313545 304071389 /nfs/dbraw/zinc/07/13/89/304071389.db2.gz OMIZHYUZHVLMIX-UHFFFAOYSA-N -1 1 303.424 1.531 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cc(C)c(C(=O)OC)o2)c[n-]1 ZINC000599828270 358067464 /nfs/dbraw/zinc/06/74/64/358067464.db2.gz RERZOXJPVYSYOQ-UHFFFAOYSA-N -1 1 321.289 1.527 20 0 DDADMM O=C(N[C@@H]1CCS(=O)(=O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC000180340792 199148121 /nfs/dbraw/zinc/14/81/21/199148121.db2.gz CNOUNEICKRBAOQ-MRVPVSSYSA-N -1 1 323.292 1.328 20 0 DDADMM O=C(N[C@H]1CCS(=O)(=O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC000180340806 199148239 /nfs/dbraw/zinc/14/82/39/199148239.db2.gz CNOUNEICKRBAOQ-QMMMGPOBSA-N -1 1 323.292 1.328 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc2ccccc2c1[O-])C(N)=O ZINC000600260717 358173570 /nfs/dbraw/zinc/17/35/70/358173570.db2.gz QYAKUKQHFPQIFY-ZDUSSCGKSA-N -1 1 318.398 1.882 20 0 DDADMM COc1ccc(C2(C(=O)Nc3nnn[n-]3)CCCC2)cc1OC ZINC000180426982 199157510 /nfs/dbraw/zinc/15/75/10/199157510.db2.gz XMIGQUIOMIFUEI-UHFFFAOYSA-N -1 1 317.349 1.667 20 0 DDADMM COc1ccc(C2(C(=O)Nc3nn[n-]n3)CCCC2)cc1OC ZINC000180426982 199157512 /nfs/dbraw/zinc/15/75/12/199157512.db2.gz XMIGQUIOMIFUEI-UHFFFAOYSA-N -1 1 317.349 1.667 20 0 DDADMM O=C(CCc1nc2cc(Cl)ccc2s1)NCc1nn[n-]n1 ZINC000600497201 358240994 /nfs/dbraw/zinc/24/09/94/358240994.db2.gz YUJDEGXRDZXBRO-UHFFFAOYSA-N -1 1 322.781 1.712 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]2CCC[C@H]21 ZINC000600551665 358254595 /nfs/dbraw/zinc/25/45/95/358254595.db2.gz PETYXNGCPPVHJB-QJPTWQEYSA-N -1 1 304.346 1.591 20 0 DDADMM COC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1 ZINC000601438823 358553879 /nfs/dbraw/zinc/55/38/79/358553879.db2.gz CBFCQMUPXRDNHE-KNVOCYPGSA-N -1 1 309.290 1.447 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)[C@@H]1CCC[C@H]1OC ZINC000601451550 358559531 /nfs/dbraw/zinc/55/95/31/358559531.db2.gz FHWHESNRCNQDMT-IJLUTSLNSA-N -1 1 321.439 1.451 20 0 DDADMM CCOC(=O)[C@@]1(C)CN(C(=O)c2ccc([O-])cc2F)CCO1 ZINC000601905653 358735103 /nfs/dbraw/zinc/73/51/03/358735103.db2.gz KDGDJOOFXNJRBH-OAHLLOKOSA-N -1 1 311.309 1.326 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CC[C@H](CC)CC2)n[n-]1 ZINC000603015642 359358168 /nfs/dbraw/zinc/35/81/68/359358168.db2.gz KGTLRMCOTXQVAA-XYPYZODXSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CC[C@H](CC)CC2)n1 ZINC000603015642 359358170 /nfs/dbraw/zinc/35/81/70/359358170.db2.gz KGTLRMCOTXQVAA-XYPYZODXSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@@H]2C2CCCC2)n[n-]1 ZINC000603154316 359440841 /nfs/dbraw/zinc/44/08/41/359440841.db2.gz LBQOVVZBYPKZAK-MVWJERBFSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@@H]2C2CCCC2)[n-]1 ZINC000603154316 359440848 /nfs/dbraw/zinc/44/08/48/359440848.db2.gz LBQOVVZBYPKZAK-MVWJERBFSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@@H]2C2CCCC2)n1 ZINC000603154316 359440852 /nfs/dbraw/zinc/44/08/52/359440852.db2.gz LBQOVVZBYPKZAK-MVWJERBFSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(F)ccc2C)n[n-]1 ZINC000603166723 359451119 /nfs/dbraw/zinc/45/11/19/359451119.db2.gz RKXPEORBNSYHGA-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(F)ccc2C)[n-]1 ZINC000603166723 359451123 /nfs/dbraw/zinc/45/11/23/359451123.db2.gz RKXPEORBNSYHGA-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(F)ccc2C)n1 ZINC000603166723 359451125 /nfs/dbraw/zinc/45/11/25/359451125.db2.gz RKXPEORBNSYHGA-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@H]2C=CCCC2)n[n-]1 ZINC000603152188 359438780 /nfs/dbraw/zinc/43/87/80/359438780.db2.gz AFQZESCYPUNCFZ-MNOVXSKESA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@H]2C=CCCC2)[n-]1 ZINC000603152188 359438783 /nfs/dbraw/zinc/43/87/83/359438783.db2.gz AFQZESCYPUNCFZ-MNOVXSKESA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@H]2C=CCCC2)n1 ZINC000603152188 359438789 /nfs/dbraw/zinc/43/87/89/359438789.db2.gz AFQZESCYPUNCFZ-MNOVXSKESA-N -1 1 306.366 1.905 20 0 DDADMM C/C=C/C[C@@H]([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2)C(=O)OCC ZINC000603311097 359562485 /nfs/dbraw/zinc/56/24/85/359562485.db2.gz VRVYTMLOTYJSNJ-YRWUGMPZSA-N -1 1 317.407 1.124 20 0 DDADMM [O-]C(=NO[C@H]1CCCCO1)Nc1ccn(Cc2ccncc2)n1 ZINC000187253265 200084110 /nfs/dbraw/zinc/08/41/10/200084110.db2.gz KKFPABYPTXJCNW-AWEZNQCLSA-N -1 1 317.349 1.906 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1ccn(Cc2ccncc2)n1 ZINC000187253265 200084112 /nfs/dbraw/zinc/08/41/12/200084112.db2.gz KKFPABYPTXJCNW-AWEZNQCLSA-N -1 1 317.349 1.906 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3ccccc3c2[O-])CCN1CCO ZINC000187290333 200089222 /nfs/dbraw/zinc/08/92/22/200089222.db2.gz JKPDRVGTQKEIHM-CYBMUJFWSA-N -1 1 314.385 1.684 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(NC(C)=O)ccc1C)c1nn[n-]n1 ZINC000188220896 200211615 /nfs/dbraw/zinc/21/16/15/200211615.db2.gz MEHDHQKOHDSFTN-CYBMUJFWSA-N -1 1 316.365 1.738 20 0 DDADMM C[C@H](NC(=O)CC(C)(C)C)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000188554939 200266329 /nfs/dbraw/zinc/26/63/29/200266329.db2.gz WLKYNUCYOXOADY-LURJTMIESA-N -1 1 321.303 1.703 20 0 DDADMM O=C(NC[C@H](O)[C@H]1CCOC1)c1cc2ccccc2cc1[O-] ZINC000605891477 359890351 /nfs/dbraw/zinc/89/03/51/359890351.db2.gz JGDXHYSWATXRJV-BBRMVZONSA-N -1 1 301.342 1.673 20 0 DDADMM C[C@@H](C(=O)NCc1nn[n-]n1)c1ccc(Br)s1 ZINC000608132626 360129323 /nfs/dbraw/zinc/12/93/23/360129323.db2.gz MTCPWOGTAQDPOF-RXMQYKEDSA-N -1 1 316.184 1.444 20 0 DDADMM Cc1cc(C)n2nc(C(=O)Nc3c([O-])cccc3F)nc2n1 ZINC000608365169 360167295 /nfs/dbraw/zinc/16/72/95/360167295.db2.gz ADXMPAPZIITGRC-UHFFFAOYSA-N -1 1 301.281 1.838 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2cc(F)c(F)c(F)c2)C1=O ZINC000281225837 216166044 /nfs/dbraw/zinc/16/60/44/216166044.db2.gz RWXPVNOIENXIIV-ZDUSSCGKSA-N -1 1 315.251 1.469 20 0 DDADMM COC(=O)c1c[n-]c(C(=O)OC)c1NC(=O)c1nccs1 ZINC000618694653 363722706 /nfs/dbraw/zinc/72/27/06/363722706.db2.gz AJTBRRMVJRKQRG-UHFFFAOYSA-N -1 1 309.303 1.297 20 0 DDADMM CCCCS(=O)(=O)[N-][C@H](C(=O)OC)c1ccccc1F ZINC000610702967 360510154 /nfs/dbraw/zinc/51/01/54/360510154.db2.gz TWYAILNZANUZQM-LBPRGKRZSA-N -1 1 303.355 1.759 20 0 DDADMM O=C(NC[C@@H]1COC2(CCOCC2)O1)c1cc(F)ccc1[O-] ZINC000271956305 209338900 /nfs/dbraw/zinc/33/89/00/209338900.db2.gz DIEODGHXJUHPQK-LLVKDONJSA-N -1 1 311.309 1.183 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCSC[C@@H]1CCO ZINC000612641920 361089896 /nfs/dbraw/zinc/08/98/96/361089896.db2.gz ARVXEZHDRLGOID-LBPRGKRZSA-N -1 1 318.398 1.880 20 0 DDADMM C[C@@H](CNC(=O)c1ncc2ccccc2c1[O-])S(C)(=O)=O ZINC000612951850 361207288 /nfs/dbraw/zinc/20/72/88/361207288.db2.gz SPFRUDVZELRPRW-VIFPVBQESA-N -1 1 308.359 1.103 20 0 DDADMM C[C@@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)N(C)CC(F)(F)F ZINC000193208122 201006982 /nfs/dbraw/zinc/00/69/82/201006982.db2.gz YREIOPVIINBBAA-BYPYZUCNSA-N -1 1 319.209 1.645 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2coc3ccccc23)C1=O ZINC000193174862 201002725 /nfs/dbraw/zinc/00/27/25/201002725.db2.gz PUBPURUENMAECW-HNNXBMFYSA-N -1 1 301.302 1.798 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(Cl)cc2c1OCC2 ZINC000565926751 304103735 /nfs/dbraw/zinc/10/37/35/304103735.db2.gz NKLOJZWDMATIAW-UHFFFAOYSA-N -1 1 305.725 1.207 20 0 DDADMM O=C([O-])c1ccc(C(=O)N=c2ncn(Cc3ccccc3)[nH]2)nc1 ZINC000618820365 363769987 /nfs/dbraw/zinc/76/99/87/363769987.db2.gz JZUNVIKPDZOAGT-UHFFFAOYSA-N -1 1 323.312 1.094 20 0 DDADMM COC(=O)Cc1csc(NC(=O)c2ccc([O-])c(F)c2)n1 ZINC000613448675 361425447 /nfs/dbraw/zinc/42/54/47/361425447.db2.gz WYZMGJGRRLWURD-UHFFFAOYSA-N -1 1 310.306 1.956 20 0 DDADMM COC(=O)CCN1CCN(C(=O)c2ccc([O-])c(F)c2)C[C@@H]1C ZINC000613523968 361455352 /nfs/dbraw/zinc/45/53/52/361455352.db2.gz BYMAEVCISPJYKS-NSHDSACASA-N -1 1 324.352 1.241 20 0 DDADMM O=C(N=c1ccn(C2CCOCC2)[nH]1)c1c(F)ccc([O-])c1F ZINC000618907354 363793757 /nfs/dbraw/zinc/79/37/57/363793757.db2.gz PMZJSSBLCHFKJU-UHFFFAOYSA-N -1 1 323.299 1.893 20 0 DDADMM CCN(C)C(=O)[C@@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000613899111 361623681 /nfs/dbraw/zinc/62/36/81/361623681.db2.gz RWTBZANZURXPFW-SNVBAGLBSA-N -1 1 301.346 1.537 20 0 DDADMM O=C(NC[C@@H]1CCC(=O)N1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000619137950 363909558 /nfs/dbraw/zinc/90/95/58/363909558.db2.gz SWMZCLFULHNGAJ-VIFPVBQESA-N -1 1 319.748 1.602 20 0 DDADMM O=C(NCCOCCF)c1cc(Br)ccc1[O-] ZINC000621087573 364668146 /nfs/dbraw/zinc/66/81/46/364668146.db2.gz MNJOADYRZRYBHM-UHFFFAOYSA-N -1 1 306.131 1.871 20 0 DDADMM C[C@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@H]1CO ZINC000622034365 365199948 /nfs/dbraw/zinc/19/99/48/365199948.db2.gz FDZYGJPWTHHGJR-QWRGUYRKSA-N -1 1 301.346 1.014 20 0 DDADMM Cc1noc(C)c1CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622611795 365452759 /nfs/dbraw/zinc/45/27/59/365452759.db2.gz KWOSHYBQLGCRBD-AWEZNQCLSA-N -1 1 312.333 1.253 20 0 DDADMM O=C([O-])[C@@H]1C=C[C@@H](NS(=O)(=O)c2c(F)cc(F)cc2F)C1 ZINC000092181981 193171481 /nfs/dbraw/zinc/17/14/81/193171481.db2.gz LINBNEGENOJMON-HTRCEHHLSA-N -1 1 321.276 1.412 20 0 DDADMM CN1CCN(c2ncc(C(=O)[O-])cn2)C[C@H]1Cc1ccccc1 ZINC000566290695 304139354 /nfs/dbraw/zinc/13/93/54/304139354.db2.gz BFGRNIUHVJPWFA-OAHLLOKOSA-N -1 1 312.373 1.538 20 0 DDADMM CCN([C@@H]1CCOC(C)(C)C1)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349779623 284049795 /nfs/dbraw/zinc/04/97/95/284049795.db2.gz WALISVCVTVMFKX-SNVBAGLBSA-N -1 1 317.411 1.364 20 0 DDADMM CCOc1ncccc1CNC(=O)c1csc(=NC2CC2)[n-]1 ZINC000349804600 284059749 /nfs/dbraw/zinc/05/97/49/284059749.db2.gz VIXRYWXJGKKGRP-UHFFFAOYSA-N -1 1 318.402 1.863 20 0 DDADMM COc1ccc([C@@H]2CCCCCN2CC(=O)NCC(=O)[O-])cc1 ZINC000262288779 203252145 /nfs/dbraw/zinc/25/21/45/203252145.db2.gz YBNRHCDYJJPAIA-HNNXBMFYSA-N -1 1 320.389 1.813 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)Nc1cccc(C(=O)NC)c1)C(=O)[O-] ZINC000262296146 203252883 /nfs/dbraw/zinc/25/28/83/203252883.db2.gz OTSNYBAJGDRENM-GXFFZTMASA-N -1 1 321.377 1.216 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)C(=O)[O-] ZINC000262300946 203254598 /nfs/dbraw/zinc/25/45/98/203254598.db2.gz QDSOZPWOJKCZSW-LDYMZIIASA-N -1 1 320.349 1.026 20 0 DDADMM Cc1cccc(C)c1OCCNC(=O)CN(C)CCC(=O)[O-] ZINC000262658032 203369062 /nfs/dbraw/zinc/36/90/62/203369062.db2.gz MWXNGCCJNTYVMB-UHFFFAOYSA-N -1 1 308.378 1.205 20 0 DDADMM Cc1ccc(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])cc1C ZINC000275005516 212067883 /nfs/dbraw/zinc/06/78/83/212067883.db2.gz BEGNTGRDVOFAQG-CYBMUJFWSA-N -1 1 323.374 1.001 20 0 DDADMM C[C@@H](CS(C)(=O)=O)N(C(=O)c1cc(F)ccc1[O-])C1CC1 ZINC000094138905 193346062 /nfs/dbraw/zinc/34/60/62/193346062.db2.gz ILJJXZCSSKHIQI-VIFPVBQESA-N -1 1 315.366 1.569 20 0 DDADMM CC[C@H](CCO)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000094960410 193409630 /nfs/dbraw/zinc/40/96/30/193409630.db2.gz WTODQOJMEIFDHS-MRVPVSSYSA-N -1 1 311.325 1.791 20 0 DDADMM Cn1cnc(C[N-]S(=O)(=O)c2cc(F)cc(Cl)c2)n1 ZINC000350801829 284262696 /nfs/dbraw/zinc/26/26/96/284262696.db2.gz KZWAEWLVRZNRGW-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cn(C)nc1C(C)C ZINC000288198726 220012709 /nfs/dbraw/zinc/01/27/09/220012709.db2.gz KKUFMRFTMZKOPC-UHFFFAOYSA-N -1 1 317.411 1.484 20 0 DDADMM CCN(CCOCCO)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000282673743 217167821 /nfs/dbraw/zinc/16/78/21/217167821.db2.gz ZGHSDWGNGXLJFS-UHFFFAOYSA-N -1 1 321.295 1.882 20 0 DDADMM NC(=O)CO[N-]C(=O)C1(Cc2cccc(F)c2)CCCCC1 ZINC000288257814 220047846 /nfs/dbraw/zinc/04/78/46/220047846.db2.gz BSKXVRPQAFNKMJ-UHFFFAOYSA-N -1 1 308.353 1.852 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1cccc(C2CC2)c1 ZINC000566602746 304169975 /nfs/dbraw/zinc/16/99/75/304169975.db2.gz VOZITDJEHIBLEG-JTQLQIEISA-N -1 1 323.356 1.786 20 0 DDADMM Cc1cccc(C)c1NC(=O)C[N-]S(=O)(=O)Cc1ccon1 ZINC000266213922 205188879 /nfs/dbraw/zinc/18/88/79/205188879.db2.gz NZYIIQCGGPSWNC-UHFFFAOYSA-N -1 1 323.374 1.350 20 0 DDADMM O=C(c1cc(F)c([O-])c(F)c1)N1CCC[C@@H](c2nc(=O)[nH][nH]2)C1 ZINC000282919738 217326104 /nfs/dbraw/zinc/32/61/04/217326104.db2.gz NSXSUYKNZBFINQ-SSDOTTSWSA-N -1 1 324.287 1.102 20 0 DDADMM O=C(CSc1ccccc1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000283033645 217399012 /nfs/dbraw/zinc/39/90/12/217399012.db2.gz YTZAFGWLUCWXRE-LLVKDONJSA-N -1 1 318.402 1.596 20 0 DDADMM CCC[C@@H](NC(=O)Cn1ccc(C(F)(F)F)n1)c1nn[n-]n1 ZINC000267666512 206205502 /nfs/dbraw/zinc/20/55/02/206205502.db2.gz JHFOXOLRTVNKIO-SSDOTTSWSA-N -1 1 317.275 1.073 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2c([O-])cccc2F)[C@@H]1n1cccn1 ZINC000579518974 422783798 /nfs/dbraw/zinc/78/37/98/422783798.db2.gz WCTYBNYFCCMOJE-LNSITVRQSA-N -1 1 319.336 1.876 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@@H](c2nncn2C2CC2)C1 ZINC000267946387 206352509 /nfs/dbraw/zinc/35/25/09/206352509.db2.gz INJAONOIOSRBDH-LLVKDONJSA-N -1 1 313.361 1.733 20 0 DDADMM COC(=O)[C@@]1(NC(=O)c2cc3ccccc3cc2[O-])CCOC1 ZINC000267982981 206375552 /nfs/dbraw/zinc/37/55/52/206375552.db2.gz GNPQXNXLEFDJDP-QGZVFWFLSA-N -1 1 315.325 1.607 20 0 DDADMM O=C(Cc1ccc2ccccc2c1)NCCCc1nc(=O)[n-][nH]1 ZINC000174583992 248369489 /nfs/dbraw/zinc/36/94/89/248369489.db2.gz DKFBIIPSBCMCFQ-UHFFFAOYSA-N -1 1 310.357 1.543 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(C)c(O)c2C)o1 ZINC000177806805 248463828 /nfs/dbraw/zinc/46/38/28/248463828.db2.gz QGXVUTSIVXXQGN-UHFFFAOYSA-N -1 1 324.358 1.762 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@H](NC(=O)c2ncc(C)cc2[O-])C1 ZINC000334080079 249208741 /nfs/dbraw/zinc/20/87/41/249208741.db2.gz RQHMWOLQLCFNLD-OABGYEMISA-N -1 1 310.419 1.905 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCc2ccsc2)c1Cl ZINC000042240553 183246756 /nfs/dbraw/zinc/24/67/56/183246756.db2.gz HZUZENGHFNDURR-UHFFFAOYSA-N -1 1 305.812 1.656 20 0 DDADMM COC(=O)c1ccccc1CS(=O)(=O)[N-]C1(C(F)F)CC1 ZINC000337042642 249326166 /nfs/dbraw/zinc/32/61/66/249326166.db2.gz JNQOJRWFGRATJP-UHFFFAOYSA-N -1 1 319.329 1.690 20 0 DDADMM O=C(NC[C@H](O)COc1ccc(F)c(F)c1)c1ncccc1[O-] ZINC000104933003 194053604 /nfs/dbraw/zinc/05/36/04/194053604.db2.gz VTUSPRGFZVPFKK-VIFPVBQESA-N -1 1 324.283 1.235 20 0 DDADMM CNC(=O)C1CN(C(=O)c2c([O-])cnc3ccc(Cl)cc32)C1 ZINC000338868062 250172351 /nfs/dbraw/zinc/17/23/51/250172351.db2.gz SYXHDOXUCYDXPL-UHFFFAOYSA-N -1 1 319.748 1.412 20 0 DDADMM O=S(=O)([N-][C@H](CCO)c1ccco1)c1sccc1F ZINC000338954834 250215734 /nfs/dbraw/zinc/21/57/34/250215734.db2.gz MJBAVCBLGDCQHW-SECBINFHSA-N -1 1 305.352 1.882 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H](CO)C1)c1sccc1F ZINC000338989172 250236514 /nfs/dbraw/zinc/23/65/14/250236514.db2.gz HAUOUPJUUUOCOD-VHSXEESVSA-N -1 1 307.412 1.964 20 0 DDADMM O=S(=O)([N-]Cc1nc(CO)cs1)c1sccc1F ZINC000338973884 250227986 /nfs/dbraw/zinc/22/79/86/250227986.db2.gz UWJVNGOAKDAGDJ-UHFFFAOYSA-N -1 1 308.381 1.315 20 0 DDADMM CN(C)c1cc([N-]S(=O)(=O)c2cncc(Cl)c2)ccn1 ZINC000339036551 250261215 /nfs/dbraw/zinc/26/12/15/250261215.db2.gz VJAQBZWFWMPMKG-UHFFFAOYSA-N -1 1 312.782 1.997 20 0 DDADMM O=C(CSc1nccn1Cc1ccccc1)Nc1nnn[n-]1 ZINC000339120358 250303637 /nfs/dbraw/zinc/30/36/37/250303637.db2.gz PCDDGZRTMWELAT-UHFFFAOYSA-N -1 1 315.362 1.175 20 0 DDADMM O=C(CSc1nccn1Cc1ccccc1)Nc1nn[n-]n1 ZINC000339120358 250303639 /nfs/dbraw/zinc/30/36/39/250303639.db2.gz PCDDGZRTMWELAT-UHFFFAOYSA-N -1 1 315.362 1.175 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)C(C)(C)C(=O)OC)n1 ZINC000285057109 218273103 /nfs/dbraw/zinc/27/31/03/218273103.db2.gz GFHMVGBRCVQPQS-UHFFFAOYSA-N -1 1 300.336 1.057 20 0 DDADMM CCc1occc1C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000359676206 299511565 /nfs/dbraw/zinc/51/15/65/299511565.db2.gz FECUUSDAIUSVKM-UHFFFAOYSA-N -1 1 324.358 1.708 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cc(F)ccc1F ZINC000340770540 251202703 /nfs/dbraw/zinc/20/27/03/251202703.db2.gz CNSSTONHRAUUIK-UHFFFAOYSA-N -1 1 307.318 1.906 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)F)c1cc(Cl)ccc1F ZINC000340914942 251272804 /nfs/dbraw/zinc/27/28/04/251272804.db2.gz VEUXUKFHJQIERZ-ZETCQYMHSA-N -1 1 303.689 1.383 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCCc2cnccn2)[n-]n1 ZINC000340950423 251296991 /nfs/dbraw/zinc/29/69/91/251296991.db2.gz HOZOMPVOBBIARR-UHFFFAOYSA-N -1 1 312.377 1.016 20 0 DDADMM CO[C@@H]1C[C@H](C(=O)[O-])N(C[C@@H](O)COc2c(C)cccc2C)C1 ZINC000579603687 422800913 /nfs/dbraw/zinc/80/09/13/422800913.db2.gz IJSSRQDHIKDJIH-RBSFLKMASA-N -1 1 323.389 1.217 20 0 DDADMM CNC(=O)C(C)(C)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000269698970 207773040 /nfs/dbraw/zinc/77/30/40/207773040.db2.gz PMHTZPDHTIAFBU-UHFFFAOYSA-N -1 1 322.409 1.290 20 0 DDADMM CC(C)(C)OC(=O)NCC[N@@H+]1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000495802664 307318670 /nfs/dbraw/zinc/31/86/70/307318670.db2.gz HTSZRTFNIDOWBL-JTQLQIEISA-N -1 1 312.370 1.479 20 0 DDADMM Cc1nc(C(C)(C)[N-]S(=O)(=O)c2cnc(C)s2)no1 ZINC000269995603 208046851 /nfs/dbraw/zinc/04/68/51/208046851.db2.gz QDCPFFNKEZLEMC-UHFFFAOYSA-N -1 1 302.381 1.357 20 0 DDADMM CC(=O)Nc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccc1F ZINC000352473699 285076781 /nfs/dbraw/zinc/07/67/81/285076781.db2.gz XKMNLJMNCBHCLU-MRVPVSSYSA-N -1 1 320.328 1.173 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCC[C@@H]2C(N)=O)c(=O)[n-]1 ZINC000330718971 533070331 /nfs/dbraw/zinc/07/03/31/533070331.db2.gz AUITZWIOZCAYAZ-SNVBAGLBSA-N -1 1 324.406 1.671 20 0 DDADMM CO[C@H]1COCC[C@@H]1CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000294636618 224134915 /nfs/dbraw/zinc/13/49/15/224134915.db2.gz URYRNVGWTMWHRW-RQJHMYQMSA-N -1 1 308.260 1.204 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cnn([C@@H]2CCOC2)c1)C(F)(F)F ZINC000288711539 220364120 /nfs/dbraw/zinc/36/41/20/220364120.db2.gz XWANIUJZGGNETL-HTQZYQBOSA-N -1 1 313.301 1.074 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC[C@@H](C)[C@H]2C)co1 ZINC000158207765 197253478 /nfs/dbraw/zinc/25/34/78/197253478.db2.gz MKLBEPYRMYDFNR-CKYFFXLPSA-N -1 1 314.407 1.742 20 0 DDADMM CO[C@H](C)c1nc(=NCC(C)(C)C2(O)CCOCC2)s[n-]1 ZINC000419017112 533119454 /nfs/dbraw/zinc/11/94/54/533119454.db2.gz NPHRCPFDQDCHRP-SNVBAGLBSA-N -1 1 315.439 1.647 20 0 DDADMM COCCOC[C@H](C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425206569 533123528 /nfs/dbraw/zinc/12/35/28/533123528.db2.gz QGXRGYIHBDGMJS-JTQLQIEISA-N -1 1 323.361 1.603 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)CCC1CC1 ZINC000156171907 197091909 /nfs/dbraw/zinc/09/19/09/197091909.db2.gz HRQSPGWHCLCUBK-UHFFFAOYSA-N -1 1 302.378 1.440 20 0 DDADMM Cc1nc(CN(CCC(=O)[O-])Cc2cccc(F)c2F)n[nH]1 ZINC000568058961 304265220 /nfs/dbraw/zinc/26/52/20/304265220.db2.gz NJOFCBTWVCBIIG-UHFFFAOYSA-N -1 1 310.304 1.868 20 0 DDADMM C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000113086574 299590541 /nfs/dbraw/zinc/59/05/41/299590541.db2.gz VAGXIYODGCKJME-RKDXNWHRSA-N -1 1 319.810 1.939 20 0 DDADMM COCCC1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCC1 ZINC000295014895 533202828 /nfs/dbraw/zinc/20/28/28/533202828.db2.gz RDWGGYRZJWEZBX-UHFFFAOYSA-N -1 1 320.418 1.955 20 0 DDADMM CO[C@H]1CCCC[C@@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000332475934 533217167 /nfs/dbraw/zinc/21/71/67/533217167.db2.gz UXKPFVCCXRLKFJ-RYUDHWBXSA-N -1 1 309.366 1.686 20 0 DDADMM COC(=O)[C@@]1(F)CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000289768777 221212372 /nfs/dbraw/zinc/21/23/72/221212372.db2.gz RFQWTSZYKYACBY-CYBMUJFWSA-N -1 1 303.236 1.398 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Br)o1)[C@H](C)CO ZINC000352875724 285353215 /nfs/dbraw/zinc/35/32/15/285353215.db2.gz YPTJCCRCYVZGLZ-RNFRBKRXSA-N -1 1 312.185 1.337 20 0 DDADMM CO[C@H]1COC[C@@H]1[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000292724544 533280568 /nfs/dbraw/zinc/28/05/68/533280568.db2.gz YZUPBMKHUWCHAW-UWVGGRQHSA-N -1 1 307.243 1.587 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)c2ccc(Cl)cc2[O-])CCS1(=O)=O ZINC000128555877 195974024 /nfs/dbraw/zinc/97/40/24/195974024.db2.gz XADHISAEUYULBC-DTWKUNHWSA-N -1 1 317.794 1.693 20 0 DDADMM C[C@@H]1C[C@H]1N1C[C@@H](NC(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000631555187 422829393 /nfs/dbraw/zinc/82/93/93/422829393.db2.gz NOEJVKFCHLZZDF-SUZMYJTESA-N -1 1 322.792 1.714 20 0 DDADMM O=C(CCn1c(=S)[n-]nc1-c1cccs1)N1CCOCC1 ZINC000014683308 406876154 /nfs/dbraw/zinc/87/61/54/406876154.db2.gz YEHPIEWTAWPKRN-UHFFFAOYSA-N -1 1 324.431 1.918 20 0 DDADMM Cc1c([C@H](C)NC(=O)c2nc3ccccc3c(=O)[n-]2)cnn1C ZINC000073889883 406896259 /nfs/dbraw/zinc/89/62/59/406896259.db2.gz LAJRVNYCQOKDIT-VIFPVBQESA-N -1 1 311.345 1.456 20 0 DDADMM O=S(=O)([N-]CCOCC(F)(F)F)c1cc(F)ccc1F ZINC000074040539 406900531 /nfs/dbraw/zinc/90/05/31/406900531.db2.gz IJZZFQHOSMJVCM-UHFFFAOYSA-N -1 1 319.251 1.822 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CC1CCCC1 ZINC000074162330 406904293 /nfs/dbraw/zinc/90/42/93/406904293.db2.gz HMBUPAUTUOPNIK-UHFFFAOYSA-N -1 1 302.378 1.836 20 0 DDADMM O=C(CN1CCC(C(=O)c2ccc([O-])cc2)CC1)NC1CC1 ZINC000078657323 407040362 /nfs/dbraw/zinc/04/03/62/407040362.db2.gz BNSRUNIRWIFROF-UHFFFAOYSA-N -1 1 302.374 1.566 20 0 DDADMM COc1cc(C)ccc1NS(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000037789777 406992642 /nfs/dbraw/zinc/99/26/42/406992642.db2.gz KNOLKFNKBONOAX-UHFFFAOYSA-N -1 1 310.331 1.831 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C)C[C@H](C)C2)o1 ZINC000046698473 407062710 /nfs/dbraw/zinc/06/27/10/407062710.db2.gz VVKWOTZWSNFIQO-UWVGGRQHSA-N -1 1 300.380 1.306 20 0 DDADMM NC(=O)CO[N-]C(=O)CCc1cccc(OC2CCCC2)c1 ZINC000089463497 407136036 /nfs/dbraw/zinc/13/60/36/407136036.db2.gz LAPSFZKICGHLSS-UHFFFAOYSA-N -1 1 306.362 1.474 20 0 DDADMM CC(C)n1c(CNC(=O)c2ccc3nncn3c2)n[n-]c1=S ZINC000066639657 407256155 /nfs/dbraw/zinc/25/61/55/407256155.db2.gz MEHZNKIPTBFTPT-UHFFFAOYSA-N -1 1 317.378 1.494 20 0 DDADMM CC(=O)Nc1ccc([N-]S(=O)(=O)c2cn[nH]c2)c(Cl)c1 ZINC000103059669 407329947 /nfs/dbraw/zinc/32/99/47/407329947.db2.gz RCNHJUMINHDKKF-UHFFFAOYSA-N -1 1 314.754 1.822 20 0 DDADMM CC(=O)N(C)CCc1nc(-c2ccc([O-])c(C(N)=O)c2)cs1 ZINC000124704154 407367036 /nfs/dbraw/zinc/36/70/36/407367036.db2.gz DFYNFRVBGCUYJA-UHFFFAOYSA-N -1 1 319.386 1.635 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCC[C@@H](C)C2)o1 ZINC000107699128 407378826 /nfs/dbraw/zinc/37/88/26/407378826.db2.gz JUVSMHQYOKNETD-ZJUUUORDSA-N -1 1 301.364 1.923 20 0 DDADMM CCOCCS(=O)(=O)[N-][C@H](c1nc(C2CC2)no1)C(C)C ZINC000170787661 407504512 /nfs/dbraw/zinc/50/45/12/407504512.db2.gz SMXYOPSXZMTXKF-NSHDSACASA-N -1 1 317.411 1.600 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCC[C@H](CO)C1 ZINC000218109911 407512880 /nfs/dbraw/zinc/51/28/80/407512880.db2.gz OVNLIVJNFBMTBZ-VIFPVBQESA-N -1 1 314.179 1.999 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCS(=O)CC1 ZINC000170894710 407544638 /nfs/dbraw/zinc/54/46/38/407544638.db2.gz HDJIHURMFOSOJW-UHFFFAOYSA-N -1 1 318.192 1.359 20 0 DDADMM CCN(CC)c1ccc(CNC(=O)c2ncccc2[O-])cn1 ZINC000171256515 407639510 /nfs/dbraw/zinc/63/95/10/407639510.db2.gz XYGNPXKDGBIXOS-UHFFFAOYSA-N -1 1 300.362 1.958 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H](C)[C@H]2C)co1 ZINC000178954690 407671076 /nfs/dbraw/zinc/67/10/76/407671076.db2.gz ASQSYRHSINDJBD-VHSXEESVSA-N -1 1 300.380 1.448 20 0 DDADMM CN(C)S(=O)(=O)[N-]c1ccn(-c2ccccc2Cl)n1 ZINC000130396399 407684168 /nfs/dbraw/zinc/68/41/68/407684168.db2.gz LNEDBFAPCWUNAZ-UHFFFAOYSA-N -1 1 300.771 1.744 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@@H](C)OC(C)(C)C1 ZINC000266980382 407701403 /nfs/dbraw/zinc/70/14/03/407701403.db2.gz PVDBNPJSJWRSNX-MRVPVSSYSA-N -1 1 311.407 1.852 20 0 DDADMM COC[C@H](C)C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272267853 407841957 /nfs/dbraw/zinc/84/19/57/407841957.db2.gz WBYXWZSYCDKWPN-SSDOTTSWSA-N -1 1 313.206 1.949 20 0 DDADMM Cc1cc(C)c(CC(=O)Nc2nc(SCCO)n[nH]2)c(C)c1 ZINC000179887559 407838318 /nfs/dbraw/zinc/83/83/18/407838318.db2.gz FAEAOMIKWVBXDS-UHFFFAOYSA-N -1 1 320.418 1.996 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cnn([C@H](C)C2CC2)c1 ZINC000187718925 407886034 /nfs/dbraw/zinc/88/60/34/407886034.db2.gz GSNRYETVHUVJMC-LLVKDONJSA-N -1 1 317.411 1.259 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000262684076 407900108 /nfs/dbraw/zinc/90/01/08/407900108.db2.gz XCZUVLNVDSYOAN-AWEZNQCLSA-N -1 1 324.352 1.132 20 0 DDADMM CC(C)[C@@H](NC(=O)c1ccccc1Cl)C(=O)Nc1nnn[n-]1 ZINC000118685577 407935554 /nfs/dbraw/zinc/93/55/54/407935554.db2.gz YUTLNLPCKLTMPQ-SNVBAGLBSA-N -1 1 322.756 1.246 20 0 DDADMM CC(C)[C@@H](NC(=O)c1ccccc1Cl)C(=O)Nc1nn[n-]n1 ZINC000118685577 407935563 /nfs/dbraw/zinc/93/55/63/407935563.db2.gz YUTLNLPCKLTMPQ-SNVBAGLBSA-N -1 1 322.756 1.246 20 0 DDADMM CC[C@H]1CCCC[C@@H]1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000119342515 408044359 /nfs/dbraw/zinc/04/43/59/408044359.db2.gz ZZUWIRCNMMKRPW-JQWIXIFHSA-N -1 1 314.407 1.886 20 0 DDADMM C[C@@H](NC(=O)c1ccc([O-])cc1F)C(=O)NCc1ccccc1 ZINC000154632510 408063930 /nfs/dbraw/zinc/06/39/30/408063930.db2.gz SUGIEUMHHYIQAH-LLVKDONJSA-N -1 1 316.332 1.966 20 0 DDADMM COc1ccc2[nH]c(C(=O)N(C)C[C@H](C)c3nn[n-]n3)cc2c1 ZINC000189690706 408082481 /nfs/dbraw/zinc/08/24/81/408082481.db2.gz CFBOBBVMSLFKME-VIFPVBQESA-N -1 1 314.349 1.565 20 0 DDADMM CCC[C@H](NC(=O)CCNC(=O)c1cccs1)c1nn[n-]n1 ZINC000136680786 408119158 /nfs/dbraw/zinc/11/91/58/408119158.db2.gz PKOGGNKSAXKJIO-VIFPVBQESA-N -1 1 322.394 1.039 20 0 DDADMM CSC[C@H]1CCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119379580 408055067 /nfs/dbraw/zinc/05/50/67/408055067.db2.gz JEGXFLGWZAQWLO-SECBINFHSA-N -1 1 306.391 1.642 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)c2cc(F)c(F)c([O-])c2F)n[nH]1 ZINC000273285775 408137950 /nfs/dbraw/zinc/13/79/50/408137950.db2.gz BDZBAMDOLASMIZ-RXMQYKEDSA-N -1 1 314.267 1.981 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1C[C@@H]1c1ccc(F)cc1)c1nn[n-]n1 ZINC000273535348 408237237 /nfs/dbraw/zinc/23/72/37/408237237.db2.gz RWOAPBSHOSYPQQ-WQAKAFBOSA-N -1 1 303.341 1.704 20 0 DDADMM COc1ccc(C(=O)CC(C)(C)CC(=O)Nc2nnn[n-]2)cc1 ZINC000273597309 408259642 /nfs/dbraw/zinc/25/96/42/408259642.db2.gz KMJQXWQTMPTBLA-UHFFFAOYSA-N -1 1 317.349 1.836 20 0 DDADMM COc1ccc(C(=O)CC(C)(C)CC(=O)Nc2nn[n-]n2)cc1 ZINC000273597309 408259649 /nfs/dbraw/zinc/25/96/49/408259649.db2.gz KMJQXWQTMPTBLA-UHFFFAOYSA-N -1 1 317.349 1.836 20 0 DDADMM O=C(N[C@@H](CO)Cc1c[nH]c2ccccc12)c1ncccc1[O-] ZINC000155784343 408210235 /nfs/dbraw/zinc/21/02/35/408210235.db2.gz MWZALWAQYGBSOR-GFCCVEGCSA-N -1 1 311.341 1.602 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)C(F)F)NCCc1ccccc1 ZINC000176264920 408327168 /nfs/dbraw/zinc/32/71/68/408327168.db2.gz POVNDDDOMZGTTD-UHFFFAOYSA-N -1 1 306.259 1.362 20 0 DDADMM O=C(CCCOc1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000131225571 162009692 /nfs/dbraw/zinc/00/96/92/162009692.db2.gz WFWVUZMWGWFFQP-ZDUSSCGKSA-N -1 1 315.377 1.765 20 0 DDADMM Cc1cc(C)c(NS(=O)(=O)c2ccnn2C)c(C(=O)[O-])c1 ZINC000134279752 162074528 /nfs/dbraw/zinc/07/45/28/162074528.db2.gz FJQOGVZYJIKBDG-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1Cc2cc(F)ccc2O1)c1nn[n-]n1 ZINC000136661907 162120622 /nfs/dbraw/zinc/12/06/22/162120622.db2.gz APRBEHHATZCNGP-ZYHUDNBSSA-N -1 1 305.313 1.300 20 0 DDADMM C[C@@H](C[S@@](C)=O)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000183208745 408355684 /nfs/dbraw/zinc/35/56/84/408355684.db2.gz WTKJKZQMIVSIND-AHGSNSTDSA-N -1 1 315.338 1.149 20 0 DDADMM O=C(NCCc1ccc2c(c1)OCCO2)c1cncc([O-])c1 ZINC000171909534 162248174 /nfs/dbraw/zinc/24/81/74/162248174.db2.gz QQEVDSIEAYOGSM-UHFFFAOYSA-N -1 1 300.314 1.531 20 0 DDADMM CO[C@@H]1CC[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000191048256 408365388 /nfs/dbraw/zinc/36/53/88/408365388.db2.gz OXUJWQIOEQSOIH-HTQZYQBOSA-N -1 1 309.309 1.950 20 0 DDADMM CNC(=O)c1cccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC000173200680 162325815 /nfs/dbraw/zinc/32/58/15/162325815.db2.gz WSQWILNZZFHXAN-UHFFFAOYSA-N -1 1 313.239 1.435 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(-n2cccn2)cc1)c1nn[n-]n1 ZINC000183409535 408405890 /nfs/dbraw/zinc/40/58/90/408405890.db2.gz AVHRNEINKZIOOT-NSHDSACASA-N -1 1 311.349 1.261 20 0 DDADMM CCOCCC1(C(=O)N(C)C[C@H](C)c2nn[n-]n2)CCCC1 ZINC000183431331 408410374 /nfs/dbraw/zinc/41/03/74/408410374.db2.gz QQGMBHQIXLPEIJ-LBPRGKRZSA-N -1 1 309.414 1.749 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(OC)c1OC)c1nn[n-]n1 ZINC000176769344 408437795 /nfs/dbraw/zinc/43/77/95/408437795.db2.gz AHGGIPKRSFLGHZ-SNVBAGLBSA-N -1 1 305.338 1.488 20 0 DDADMM CCc1noc2ncc(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)cc12 ZINC000274720050 408506598 /nfs/dbraw/zinc/50/65/98/408506598.db2.gz AIBONRSHEXKNDU-MRVPVSSYSA-N -1 1 315.337 1.174 20 0 DDADMM CCc1nc(S(=O)(=O)CCCOCc2ccccc2)n[n-]1 ZINC000183973636 408519793 /nfs/dbraw/zinc/51/97/93/408519793.db2.gz SHZJYYILHDAUDR-UHFFFAOYSA-N -1 1 309.391 1.748 20 0 DDADMM O=C(NC1(c2ncon2)CCOCC1)c1cc(F)ccc1[O-] ZINC000184257096 408578945 /nfs/dbraw/zinc/57/89/45/408578945.db2.gz PIFQFDZLCAGTRI-UHFFFAOYSA-N -1 1 307.281 1.350 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@H]1OC(=O)c2ccccc21)c1nn[n-]n1 ZINC000274800759 408536614 /nfs/dbraw/zinc/53/66/14/408536614.db2.gz SMSWFFFPPWPMFG-SKDRFNHKSA-N -1 1 315.333 1.063 20 0 DDADMM CCOC(=O)CC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000162615615 408719612 /nfs/dbraw/zinc/71/96/12/408719612.db2.gz NDXOBJASINTGEV-LBPRGKRZSA-N -1 1 306.362 1.983 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCOc2cccc(F)c2)C1 ZINC000164597145 408799840 /nfs/dbraw/zinc/79/98/40/408799840.db2.gz CGSNMOZQUIGFKA-LBPRGKRZSA-N -1 1 324.352 1.117 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCc2cccc(Cl)c2)C1 ZINC000164628659 408801263 /nfs/dbraw/zinc/80/12/63/408801263.db2.gz GRYFEGROJMWMPG-LBPRGKRZSA-N -1 1 310.781 1.753 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)N1CCc2sccc2C1)C(=O)[O-] ZINC000185062923 408749813 /nfs/dbraw/zinc/74/98/13/408749813.db2.gz OBZWZMQXJKRWAS-JQWIXIFHSA-N -1 1 310.419 1.864 20 0 DDADMM Cn1nnc2c1C[C@H](C(=O)Nc1nc(Cl)ccc1[O-])CC2 ZINC000290790239 408833291 /nfs/dbraw/zinc/83/32/91/408833291.db2.gz RRVAWZLVZYHUCM-SSDOTTSWSA-N -1 1 307.741 1.313 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2ccc([O-])cc2F)CCC1 ZINC000280841858 408843860 /nfs/dbraw/zinc/84/38/60/408843860.db2.gz UFGRMLNRYKAVSJ-UHFFFAOYSA-N -1 1 301.339 1.228 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)c1cccs1 ZINC000290885327 408849948 /nfs/dbraw/zinc/84/99/48/408849948.db2.gz ZCUAULAGCMZRBZ-UHFFFAOYSA-N -1 1 315.420 1.883 20 0 DDADMM O=C([N-]CC1CCN(C(=O)c2ccnnc2)CC1)C(F)(F)F ZINC000281695708 408911467 /nfs/dbraw/zinc/91/14/67/408911467.db2.gz LQVTYKHLIWNYBQ-UHFFFAOYSA-N -1 1 316.283 1.007 20 0 DDADMM C[S@](=O)C1(C[N-]S(=O)(=O)c2cccc(F)c2F)CC1 ZINC000281719929 408916745 /nfs/dbraw/zinc/91/67/45/408916745.db2.gz BJLZRTNYPSFLKM-SFHVURJKSA-N -1 1 309.359 1.154 20 0 DDADMM CO[C@@H]1C[C@@H](c2nc(C)no2)N(C(=O)c2cc(F)ccc2[O-])C1 ZINC000190016400 163127064 /nfs/dbraw/zinc/12/70/64/163127064.db2.gz XJZNXDPFKRRRCT-PWSUYJOCSA-N -1 1 321.308 1.825 20 0 DDADMM O=C([O-])c1cc(C(=O)Nc2cccc(-c3nnc[nH]3)c2)ccn1 ZINC000238183893 163399378 /nfs/dbraw/zinc/39/93/78/163399378.db2.gz TZMJWQDPJZZYDI-UHFFFAOYSA-N -1 1 309.285 1.817 20 0 DDADMM COc1ccnc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000281909920 408952790 /nfs/dbraw/zinc/95/27/90/408952790.db2.gz PSFKDICRHMIMGA-UHFFFAOYSA-N -1 1 318.299 1.380 20 0 DDADMM CCCS(=O)(=O)Cc1noc(-c2cc(F)ccc2[O-])n1 ZINC000277883127 409028518 /nfs/dbraw/zinc/02/85/18/409028518.db2.gz CJBQNXJDLKDUGO-UHFFFAOYSA-N -1 1 300.311 1.906 20 0 DDADMM CN1CC[C@H](NC(=O)c2c([O-])cnc3ccc(Cl)cc32)C1=O ZINC000282375069 409043006 /nfs/dbraw/zinc/04/30/06/409043006.db2.gz CKCIOSXYYWJFMV-NSHDSACASA-N -1 1 319.748 1.554 20 0 DDADMM CO[C@]1(C)CCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000287764103 409088813 /nfs/dbraw/zinc/08/88/13/409088813.db2.gz VRZIOKHMDAEWJO-YZYPPFLQSA-N -1 1 317.393 1.765 20 0 DDADMM Cn1[n-]cc2/c(=N/C(=O)N3CCOC4(CCCC4)C3)ccnc1-2 ZINC000287770959 409089726 /nfs/dbraw/zinc/08/97/26/409089726.db2.gz WCBSXHJNAVXSTI-CPNJWEJPSA-N -1 1 315.377 1.519 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N(C[C@@H]3CCOC3)C3CC3)ccnc1-2 ZINC000287786618 409093509 /nfs/dbraw/zinc/09/35/09/409093509.db2.gz WXAIPSAVIJHBOF-UXNQKTKSSA-N -1 1 315.377 1.375 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2(SC)CC2)c1 ZINC000278863798 409101996 /nfs/dbraw/zinc/10/19/96/409101996.db2.gz XZGTYWHCGIGNQZ-UHFFFAOYSA-N -1 1 305.377 1.240 20 0 DDADMM CCS(=O)(=O)CCN(C)C(=O)c1c(F)ccc([O-])c1F ZINC000283204978 409110432 /nfs/dbraw/zinc/11/04/32/409110432.db2.gz ITCUMRRZJYUHBQ-UHFFFAOYSA-N -1 1 307.318 1.177 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])[C@@H]1CC12CCS(=O)(=O)CC2 ZINC000287894312 409110494 /nfs/dbraw/zinc/11/04/94/409110494.db2.gz CABFCMVGWYVVSZ-JTQLQIEISA-N -1 1 313.350 1.685 20 0 DDADMM COC(=O)[C@H]1CCC[C@H](C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000283238598 409119997 /nfs/dbraw/zinc/11/99/97/409119997.db2.gz PWHFUMNIWWLCNT-NGZWOASGSA-N -1 1 316.361 1.260 20 0 DDADMM O=C(C[C@H]1COCCN1C(=O)c1cncc([O-])c1)c1ccco1 ZINC000279318433 409191171 /nfs/dbraw/zinc/19/11/71/409191171.db2.gz FSNNNTYMBUQFQM-LBPRGKRZSA-N -1 1 316.313 1.494 20 0 DDADMM Cc1nn(C(C)C)c(C)c1CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000293597884 409145727 /nfs/dbraw/zinc/14/57/27/409145727.db2.gz VPUVZMLJTVIBFX-SNVBAGLBSA-N -1 1 319.413 1.399 20 0 DDADMM CN(CCOCC1CC1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279449927 409217708 /nfs/dbraw/zinc/21/77/08/409217708.db2.gz VNOUBPJZCMICMF-AQTBWJFISA-N -1 1 303.366 1.232 20 0 DDADMM O=C(NC1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1)C1CC1 ZINC000289324312 409249914 /nfs/dbraw/zinc/24/99/14/409249914.db2.gz UCBWXZKGIAHFAC-UHFFFAOYSA-N -1 1 324.327 1.801 20 0 DDADMM CCOCC(C)(C)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000288951214 409200684 /nfs/dbraw/zinc/20/06/84/409200684.db2.gz XCSSSOOTIBKQQC-UNOMPAQXSA-N -1 1 305.382 1.526 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@@H]3OC[C@H]4COCC[C@H]43)n2)o1 ZINC000290419674 409394153 /nfs/dbraw/zinc/39/41/53/409394153.db2.gz GEMMOAFNZCRWCZ-CKYFFXLPSA-N -1 1 318.333 1.363 20 0 DDADMM CO[C@@H]1COCC[C@H]1CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633286451 422842687 /nfs/dbraw/zinc/84/26/87/422842687.db2.gz HAAYZHXAWDBBKB-SMDDNHRTSA-N -1 1 313.781 1.756 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@H](C)O1 ZINC000295375019 409454581 /nfs/dbraw/zinc/45/45/81/409454581.db2.gz WYYDMPSYTYGNJU-ONGXEEELSA-N -1 1 306.391 1.658 20 0 DDADMM Cc1c(S(=O)(=O)Nc2cccc(C(=O)[O-])c2C)cnn1C ZINC000314199827 164013277 /nfs/dbraw/zinc/01/32/77/164013277.db2.gz SOSNMIODKMAZOA-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM O=C(c1ccc(Cl)c(F)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000362429911 164157297 /nfs/dbraw/zinc/15/72/97/164157297.db2.gz BFEXGKGJFGMBPX-JTQLQIEISA-N -1 1 311.704 1.206 20 0 DDADMM C[C@@H]1CCCN1S(=O)(=O)[N-]c1cn(C)nc1C(F)(F)F ZINC000408287202 164286067 /nfs/dbraw/zinc/28/60/67/164286067.db2.gz QQLMGCCKXBWWGD-SSDOTTSWSA-N -1 1 312.317 1.580 20 0 DDADMM O=C([C@H]1CCc2cccnc21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000408467935 164341032 /nfs/dbraw/zinc/34/10/32/164341032.db2.gz MPHVURLBKIIYRU-NEPJUHHUSA-N -1 1 314.345 1.606 20 0 DDADMM O=c1[n-]nc([C@@H]2CCCN(C[C@@H](O)c3cccc(Cl)c3)C2)o1 ZINC000295454604 409490507 /nfs/dbraw/zinc/49/05/07/409490507.db2.gz WRJBLQSHJWGIEM-DGCLKSJQSA-N -1 1 323.780 1.929 20 0 DDADMM CO[C@H](C)c1nc(=NC[C@@](C)(O)C(=O)OC(C)(C)C)s[n-]1 ZINC000337885479 409539246 /nfs/dbraw/zinc/53/92/46/409539246.db2.gz XOZFNJGMOMCWDJ-AMIZOPFISA-N -1 1 317.411 1.172 20 0 DDADMM NC(=O)c1ccc(CNC(=O)c2c(F)ccc([O-])c2F)cn1 ZINC000348847994 409550632 /nfs/dbraw/zinc/55/06/32/409550632.db2.gz LQIQORQIRGEDSZ-UHFFFAOYSA-N -1 1 307.256 1.094 20 0 DDADMM C[C@H](CN(C)C)[N-]S(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000344918837 409553579 /nfs/dbraw/zinc/55/35/79/409553579.db2.gz MQQUVCQYOAANTJ-SSDOTTSWSA-N -1 1 312.769 1.847 20 0 DDADMM CC1(C)CC[C@H](NC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000331687604 409770140 /nfs/dbraw/zinc/77/01/40/409770140.db2.gz VVHZPYUTHUEMRL-JTQLQIEISA-N -1 1 324.454 1.361 20 0 DDADMM C[C@@H](Cc1ccc(Cl)cc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357076093 409844976 /nfs/dbraw/zinc/84/49/76/409844976.db2.gz MBBMKRYHFWELTD-VIFPVBQESA-N -1 1 305.769 1.837 20 0 DDADMM CCO[C@H]1COCC[C@@H]1NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000354353233 409790660 /nfs/dbraw/zinc/79/06/60/409790660.db2.gz LDZXCAPKOVEIOD-JQWIXIFHSA-N -1 1 311.407 1.063 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CC2)nn1[C@H](C)C1CC1 ZINC000357044863 409813932 /nfs/dbraw/zinc/81/39/32/409813932.db2.gz IWQWNWZTIHKAQX-SECBINFHSA-N -1 1 301.354 1.095 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1c(C)oc2ccccc21 ZINC000349524891 409856948 /nfs/dbraw/zinc/85/69/48/409856948.db2.gz YLKQHZAWSLRVMC-UHFFFAOYSA-N -1 1 321.358 1.929 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1c(C)oc2ccccc21 ZINC000349524891 409856957 /nfs/dbraw/zinc/85/69/57/409856957.db2.gz YLKQHZAWSLRVMC-UHFFFAOYSA-N -1 1 321.358 1.929 20 0 DDADMM NC(=O)c1ccc(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)nc1 ZINC000354448429 409862400 /nfs/dbraw/zinc/86/24/00/409862400.db2.gz QYGUGVFFFLTJJK-UHFFFAOYSA-N -1 1 313.342 1.306 20 0 DDADMM O=C(CCCc1ccc(O)c(F)c1)NCC[N@H+]1CCCOCC1 ZINC000346306749 409900909 /nfs/dbraw/zinc/90/09/09/409900909.db2.gz HSTSOSRQTAMAHO-UHFFFAOYSA-N -1 1 324.396 1.693 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)CCOc1c(C)cccc1C ZINC000297835942 410017902 /nfs/dbraw/zinc/01/79/02/410017902.db2.gz WDWDZZFKDDILQF-UHFFFAOYSA-N -1 1 317.349 1.189 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCO[C@@H](COc2ccccc2)C1 ZINC000355205665 298672946 /nfs/dbraw/zinc/67/29/46/298672946.db2.gz ZKJSCIOHOJRPHZ-MRXNPFEDSA-N -1 1 314.341 1.707 20 0 DDADMM CC(C)(NC(=O)[C@@H]1C[C@@]12CCCc1ccccc12)c1nn[n-]n1 ZINC000354772376 410076279 /nfs/dbraw/zinc/07/62/79/410076279.db2.gz LJGPBCFIILQQHT-SUMWQHHRSA-N -1 1 311.389 1.845 20 0 DDADMM O=c1nc(NCc2ccn(Cc3ccccc3)n2)nc2[nH][n-]cc1-2 ZINC000343150874 410189376 /nfs/dbraw/zinc/18/93/76/410189376.db2.gz BAYZPKUFZXZSAG-UHFFFAOYSA-N -1 1 321.344 1.289 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)[C@@H]1CCCO1 ZINC000339448090 410192089 /nfs/dbraw/zinc/19/20/89/410192089.db2.gz NBQQSBVDCDUTIT-QWRGUYRKSA-N -1 1 317.363 1.302 20 0 DDADMM Cn1[n-]c(CN2CCC(c3nc4ccccc4[nH]3)CC2)nc1=O ZINC000329263172 410201643 /nfs/dbraw/zinc/20/16/43/410201643.db2.gz DJHWNTUFWOAAEO-UHFFFAOYSA-N -1 1 312.377 1.364 20 0 DDADMM COc1ccc(NC(=O)N2CCCC2)cc1[N-]S(C)(=O)=O ZINC000298393146 410209034 /nfs/dbraw/zinc/20/90/34/410209034.db2.gz UIAKLHJKIHLMSL-UHFFFAOYSA-N -1 1 313.379 1.694 20 0 DDADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])c1cccc(O)c1 ZINC000343316163 410347783 /nfs/dbraw/zinc/34/77/83/410347783.db2.gz FDRFIMMCCIJOJI-JTQLQIEISA-N -1 1 315.329 1.100 20 0 DDADMM CN1CCO[C@H]2CN(C(=O)c3ccc4ccccc4c3[O-])C[C@H]21 ZINC000333228184 410431447 /nfs/dbraw/zinc/43/14/47/410431447.db2.gz GDYRTCRQROWKGK-CVEARBPZSA-N -1 1 312.369 1.700 20 0 DDADMM Cn1[n-]cc2c(=O)c(C(=O)Nc3cnn(C(F)F)c3)cnc1-2 ZINC000343518248 410505638 /nfs/dbraw/zinc/50/56/38/410505638.db2.gz STYSVHKTDOXSMW-UHFFFAOYSA-N -1 1 308.248 1.518 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC[C@@H]1C[C@@H]1C ZINC000299082182 410513042 /nfs/dbraw/zinc/51/30/42/410513042.db2.gz XSSNTJFHAMTMRY-GWCFXTLKSA-N -1 1 312.373 1.867 20 0 DDADMM Cn1[n-]c(CN[C@@H](c2nccs2)c2ccccc2)nc1=O ZINC000347476108 410572673 /nfs/dbraw/zinc/57/26/73/410572673.db2.gz HTONCNZGIGDKNM-GFCCVEGCSA-N -1 1 301.375 1.444 20 0 DDADMM Cc1cc(C(=O)N[C@@H](Cc2nc[nH]n2)c2ccccc2)[nH]c(=O)n1 ZINC000352218854 410556330 /nfs/dbraw/zinc/55/63/30/410556330.db2.gz ZNVNPYJRYIACKC-LBPRGKRZSA-N -1 1 324.344 1.323 20 0 DDADMM CC[C@@H](NC(=O)c1cncc([O-])c1)c1nnc2n1CCCCC2 ZINC000339926688 410556799 /nfs/dbraw/zinc/55/67/99/410556799.db2.gz UBODVPLUVXVLNG-CYBMUJFWSA-N -1 1 315.377 1.986 20 0 DDADMM CCO[C@H]1COCC[C@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000353007354 410730547 /nfs/dbraw/zinc/73/05/47/410730547.db2.gz OMRQGLLQYXZJPO-MNOVXSKESA-N -1 1 321.345 1.437 20 0 DDADMM Cc1ccc(O)c(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1 ZINC000347712924 410682833 /nfs/dbraw/zinc/68/28/33/410682833.db2.gz FMBJJBKCUZGXBI-UHFFFAOYSA-N -1 1 322.342 1.306 20 0 DDADMM COCc1ncsc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000347906276 410785491 /nfs/dbraw/zinc/78/54/91/410785491.db2.gz JLHKKLQOXNRYAY-UHFFFAOYSA-N -1 1 324.362 1.398 20 0 DDADMM COc1ccc(CCC(=O)NC(C)(C)c2nn[n-]n2)cc1Cl ZINC000359787705 410882647 /nfs/dbraw/zinc/88/26/47/410882647.db2.gz MCVSPLMCOWAOJI-UHFFFAOYSA-N -1 1 323.784 1.846 20 0 DDADMM CC(C)(NC(=O)c1ncccc1Br)c1nn[n-]n1 ZINC000356188372 410884588 /nfs/dbraw/zinc/88/45/88/410884588.db2.gz GDSGXYPEJGGPSH-UHFFFAOYSA-N -1 1 311.143 1.022 20 0 DDADMM COc1cccc2c1OCC(C(=O)NC(C)(C)c1nn[n-]n1)=C2 ZINC000359805763 410891350 /nfs/dbraw/zinc/89/13/50/410891350.db2.gz ZBFLJZLBKVGZNH-UHFFFAOYSA-N -1 1 315.333 1.036 20 0 DDADMM Cc1c(C(=O)NC(C)(C)c2nn[n-]n2)oc2c1C(=O)CCC2 ZINC000359806267 410892289 /nfs/dbraw/zinc/89/22/89/410892289.db2.gz ZUGXZOPBCSOAFL-UHFFFAOYSA-N -1 1 303.322 1.285 20 0 DDADMM Cn1ncc2c1nc(Oc1cccc(-n3ccnn3)c1)[n-]c2=O ZINC000353282048 410900779 /nfs/dbraw/zinc/90/07/79/410900779.db2.gz MVXFLTNCOXFPII-UHFFFAOYSA-N -1 1 309.289 1.442 20 0 DDADMM COc1ccc(S[C@H](C)C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348297268 410905441 /nfs/dbraw/zinc/90/54/41/410905441.db2.gz KMQHRIJTNYXQEP-SECBINFHSA-N -1 1 319.390 1.494 20 0 DDADMM CC[C@@H](C)N[C@H](CNC(=O)N=c1[n-]ncs1)c1ccco1 ZINC000353350645 410934523 /nfs/dbraw/zinc/93/45/23/410934523.db2.gz IHXOZPQQSWVJEX-NXEZZACHSA-N -1 1 309.395 1.804 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](NC(=O)C(C)C)C2)c([O-])c1 ZINC000331200822 411012391 /nfs/dbraw/zinc/01/23/91/411012391.db2.gz NBEUFWYDJCFVDM-LBPRGKRZSA-N -1 1 305.378 1.472 20 0 DDADMM CN(CC(C)(C)C)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000337774647 410994142 /nfs/dbraw/zinc/99/41/42/410994142.db2.gz PNRBPEGOLHTSLP-UHFFFAOYSA-N -1 1 312.443 1.171 20 0 DDADMM O=S(=O)([N-]Cc1ncccc1O)c1cccc(F)c1F ZINC000360027992 411009052 /nfs/dbraw/zinc/00/90/52/411009052.db2.gz BMSPCAXSYNBRHJ-UHFFFAOYSA-N -1 1 300.286 1.544 20 0 DDADMM C[C@H]1OCC[C@]1(O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000331200473 411011478 /nfs/dbraw/zinc/01/14/78/411011478.db2.gz PPEYHDHNBMMVBT-PELKAZGASA-N -1 1 323.773 1.297 20 0 DDADMM CN(CCc1cccs1)C(=O)CNC(=O)c1ncccc1[O-] ZINC000344086479 410947309 /nfs/dbraw/zinc/94/73/09/410947309.db2.gz DPIXHTDQZKSBPE-UHFFFAOYSA-N -1 1 319.386 1.280 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CC12CCCCC2 ZINC000356520923 411070009 /nfs/dbraw/zinc/07/00/09/411070009.db2.gz IXIDAVPVKMPHHO-GFCCVEGCSA-N -1 1 303.362 1.356 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc(C(CC)CC)no1 ZINC000356547678 411084453 /nfs/dbraw/zinc/08/44/53/411084453.db2.gz XIPUBGXILZIPRG-UHFFFAOYSA-N -1 1 305.400 1.429 20 0 DDADMM CCn1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c(C)n1 ZINC000629951191 422889940 /nfs/dbraw/zinc/88/99/40/422889940.db2.gz AXPQXSAXYINHRS-CYBMUJFWSA-N -1 1 311.349 1.244 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCOC1)c1c[nH]nc1C(F)(F)F ZINC000631779495 422919005 /nfs/dbraw/zinc/91/90/05/422919005.db2.gz GUZYAXLFRXXJGS-SSDOTTSWSA-N -1 1 313.301 1.133 20 0 DDADMM CO[C@H]1C[C@@H](CNC(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000630028137 422930343 /nfs/dbraw/zinc/93/03/43/422930343.db2.gz NHDCCZLXLROMKD-PHIMTYICSA-N -1 1 301.346 1.799 20 0 DDADMM CO[C@H]1C[C@@H](CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000630028137 422930347 /nfs/dbraw/zinc/93/03/47/422930347.db2.gz NHDCCZLXLROMKD-PHIMTYICSA-N -1 1 301.346 1.799 20 0 DDADMM Cn1nnc2c1nccc2-c1nc(-c2ccc([O-])cc2F)no1 ZINC000350614866 306754115 /nfs/dbraw/zinc/75/41/15/306754115.db2.gz YGOBMGBMPLFUOC-UHFFFAOYSA-N -1 1 312.264 1.925 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc(C(=O)OC)nc2)n[nH]1 ZINC000541242391 416604735 /nfs/dbraw/zinc/60/47/35/416604735.db2.gz SJKBHKUYGQAHCL-UHFFFAOYSA-N -1 1 318.289 1.020 20 0 DDADMM Cc1cnc(C(=O)NC[C@]2(CO)CCc3ccccc32)c([O-])c1 ZINC000652703413 423101494 /nfs/dbraw/zinc/10/14/94/423101494.db2.gz RIDHSABALDEGSB-SFHVURJKSA-N -1 1 312.369 1.702 20 0 DDADMM O=S(=O)([N-][C@H](CO)[C@@H]1CCCOC1)c1cccc(F)c1F ZINC000416611502 225003628 /nfs/dbraw/zinc/00/36/28/225003628.db2.gz PJUWYIKEEMMFEM-MWLCHTKSSA-N -1 1 321.345 1.031 20 0 DDADMM CCSc1nccnc1C(=O)[N-]c1nn2cnnc2s1 ZINC000619563851 417249510 /nfs/dbraw/zinc/24/95/10/417249510.db2.gz AMDDWYXKWVBWQP-UHFFFAOYSA-N -1 1 307.364 1.340 20 0 DDADMM COc1ccsc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640658913 423117938 /nfs/dbraw/zinc/11/79/38/423117938.db2.gz TWCHZFQEQIDKIN-UHFFFAOYSA-N -1 1 311.388 1.904 20 0 DDADMM Cc1ocnc1C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645634619 423118732 /nfs/dbraw/zinc/11/87/32/423118732.db2.gz TYGMDOJXBVPNHF-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM COc1cnc(C(=O)[N-]c2nnc([C@H]3CCCO3)s2)nc1 ZINC000636355709 417939262 /nfs/dbraw/zinc/93/92/62/417939262.db2.gz ARRZKCWTGXNSJH-MRVPVSSYSA-N -1 1 307.335 1.441 20 0 DDADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])[C@@H]2CCCC[C@H]21 ZINC000360544132 418460471 /nfs/dbraw/zinc/46/04/71/418460471.db2.gz DYUUTELPVKYPKY-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NCCNC(=O)c1ccc([O-])cc1F)c1ccccc1 ZINC000181099851 221907095 /nfs/dbraw/zinc/90/70/95/221907095.db2.gz BRKWVNSACKCRPW-UHFFFAOYSA-N -1 1 302.305 1.691 20 0 DDADMM Cn1nnc2c1C[C@@H](C(=O)Nc1nc(Cl)ccc1[O-])CC2 ZINC000290790240 221922831 /nfs/dbraw/zinc/92/28/31/221922831.db2.gz RRVAWZLVZYHUCM-ZETCQYMHSA-N -1 1 307.741 1.313 20 0 DDADMM COC(=O)c1cc(CNC2(c3nnn[n-]3)CCCC2)n(C)c1C ZINC000360973325 418557632 /nfs/dbraw/zinc/55/76/32/418557632.db2.gz BQZHWINFWSWDPR-UHFFFAOYSA-N -1 1 318.381 1.192 20 0 DDADMM C[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645681149 423139756 /nfs/dbraw/zinc/13/97/56/423139756.db2.gz KEXFQMNJAKEFQE-SCZZXKLOSA-N -1 1 324.324 1.804 20 0 DDADMM CC[C@@H]1COC(C)(C)CN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000650362917 423140787 /nfs/dbraw/zinc/14/07/87/423140787.db2.gz XXGWZKRKGOEYFU-LLVKDONJSA-N -1 1 315.373 1.953 20 0 DDADMM C[C@H]1C[C@H](O)CN1C(=O)c1cc(Br)ccc1[O-] ZINC000384875112 418735549 /nfs/dbraw/zinc/73/55/49/418735549.db2.gz YXSBBFXJOVISPX-CBAPKCEASA-N -1 1 300.152 1.750 20 0 DDADMM Cc1ccccc1[C@@H]1C[C@H]1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000365447268 418862227 /nfs/dbraw/zinc/86/22/27/418862227.db2.gz KBNIVVWPNHDLNB-MJBXVCDLSA-N -1 1 313.361 1.212 20 0 DDADMM CSc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(O)c1 ZINC000365587652 418878784 /nfs/dbraw/zinc/87/87/84/418878784.db2.gz SPFZUMRJVSCNPP-VIFPVBQESA-N -1 1 319.390 1.647 20 0 DDADMM CCOC(=O)[C@H](Cc1ccccc1)NC(=O)c1ncccc1[O-] ZINC000426636922 419521153 /nfs/dbraw/zinc/52/11/53/419521153.db2.gz IZNULJGYBHCTML-ZDUSSCGKSA-N -1 1 314.341 1.691 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C)C(F)(F)C2)o1 ZINC000427656539 419712342 /nfs/dbraw/zinc/71/23/42/419712342.db2.gz LDXATDLXBOIAHG-QMMMGPOBSA-N -1 1 322.333 1.305 20 0 DDADMM COc1cccc(-c2nc(=NC(=O)N3CCNCC3)s[n-]2)c1 ZINC000415424931 420059019 /nfs/dbraw/zinc/05/90/19/420059019.db2.gz JNLVGYZLZLCHGA-UHFFFAOYSA-N -1 1 319.390 1.073 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@H](C)c1ccccc1 ZINC000416145047 420257061 /nfs/dbraw/zinc/25/70/61/420257061.db2.gz KKPBWRPYTZUQSQ-JTQLQIEISA-N -1 1 317.345 1.820 20 0 DDADMM COc1cc(OC)cc(C(=O)Nc2ccc(C(N)=O)c([O-])c2)c1 ZINC000436752126 420363272 /nfs/dbraw/zinc/36/32/72/420363272.db2.gz PYNGKBJGLWSKDR-UHFFFAOYSA-N -1 1 316.313 1.761 20 0 DDADMM CC(=O)NCC[C@@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000436739229 420363653 /nfs/dbraw/zinc/36/36/53/420363653.db2.gz NXVOMKOMXISLTN-LBPRGKRZSA-N -1 1 308.353 1.910 20 0 DDADMM O=C(c1cncnc1C1CC1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425296064 420341822 /nfs/dbraw/zinc/34/18/22/420341822.db2.gz GGBSEYIARXDBEE-JTQLQIEISA-N -1 1 315.333 1.462 20 0 DDADMM O=C(c1ccc2nc[nH]c2n1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425314888 420345732 /nfs/dbraw/zinc/34/57/32/420345732.db2.gz AOQJMBFTBOVGOL-UHFFFAOYSA-N -1 1 314.305 1.066 20 0 DDADMM COc1ccc(O)cc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425324186 420351927 /nfs/dbraw/zinc/35/19/27/420351927.db2.gz QJWWCKITUWWDOU-UHFFFAOYSA-N -1 1 319.317 1.509 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@H](CO)c1c(F)cccc1F)OC ZINC000420678927 420353656 /nfs/dbraw/zinc/35/36/56/420353656.db2.gz UFLHNDLAQPMLCX-BXKDBHETSA-N -1 1 323.361 1.343 20 0 DDADMM CC(C)NC(=O)CN(C)Cc1nc(-c2ccc([O-])cc2F)no1 ZINC000436875171 420378778 /nfs/dbraw/zinc/37/87/78/420378778.db2.gz SQTXXWWHSFIHMI-UHFFFAOYSA-N -1 1 322.340 1.538 20 0 DDADMM CCn1cc(CN(CCO)C(=O)c2cc(Cl)ccc2[O-])cn1 ZINC000436887887 420379551 /nfs/dbraw/zinc/37/95/51/420379551.db2.gz LUHWNPYKQUAQAY-UHFFFAOYSA-N -1 1 323.780 1.897 20 0 DDADMM O=C(C(=O)N(CCO)CC1CCCCC1)c1ccc([O-])cc1 ZINC000436930281 420384861 /nfs/dbraw/zinc/38/48/61/420384861.db2.gz XYGKLHWCXSSZGG-UHFFFAOYSA-N -1 1 305.374 1.976 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)C)N(C)C(=O)C(=O)c1ccc([O-])cc1 ZINC000436923627 420384944 /nfs/dbraw/zinc/38/49/44/420384944.db2.gz DAGSAYMPGXUABK-JTQLQIEISA-N -1 1 306.362 1.337 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCC2CC(F)(F)C2)o1 ZINC000416501898 420397570 /nfs/dbraw/zinc/39/75/70/420397570.db2.gz NIKLZJSXBNNBBZ-UHFFFAOYSA-N -1 1 323.317 1.780 20 0 DDADMM CCNC(=O)C(C)(C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000416518522 420404533 /nfs/dbraw/zinc/40/45/33/420404533.db2.gz KLLUXKVJEYJKJW-UHFFFAOYSA-N -1 1 322.789 1.672 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]c2cccc(F)c2-c2nc[nH]n2)n1 ZINC000447254525 230351110 /nfs/dbraw/zinc/35/11/10/230351110.db2.gz BYOURMQDFZBTQI-UHFFFAOYSA-N -1 1 322.325 1.145 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)cc1O ZINC000438773417 420464478 /nfs/dbraw/zinc/46/44/78/420464478.db2.gz MLMREPQJMBCVCC-UHFFFAOYSA-N -1 1 306.343 1.600 20 0 DDADMM Cc1cc(C(=O)Nc2ccc(O)cc2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000438971368 420473119 /nfs/dbraw/zinc/47/31/19/420473119.db2.gz GKKIZNIZOSBODW-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1cccc(F)c1F)c1nncn1C ZINC000439185448 420499684 /nfs/dbraw/zinc/49/96/84/420499684.db2.gz CHIPWERMAOXGGW-MRVPVSSYSA-N -1 1 316.333 1.175 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccc([S@@](C)=O)cc1 ZINC000439245996 420500844 /nfs/dbraw/zinc/50/08/44/420500844.db2.gz LVASNNXAIJUGQP-GOSISDBHSA-N -1 1 305.421 1.591 20 0 DDADMM COc1ccc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)nc1 ZINC000492477079 420570060 /nfs/dbraw/zinc/57/00/60/420570060.db2.gz UMORKSZPKYCGEW-JPVGGKMYSA-N -1 1 314.349 1.023 20 0 DDADMM CCC[C@@H](O)CS(=O)(=O)c1nc(-c2ccc(C)cc2)n[n-]1 ZINC000453031729 420703582 /nfs/dbraw/zinc/70/35/82/420703582.db2.gz STQFWMWQZNQIAC-GFCCVEGCSA-N -1 1 309.391 1.715 20 0 DDADMM CCC[C@@H](O)CS(=O)(=O)c1n[n-]c(-c2ccc(C)cc2)n1 ZINC000453031729 420703587 /nfs/dbraw/zinc/70/35/87/420703587.db2.gz STQFWMWQZNQIAC-GFCCVEGCSA-N -1 1 309.391 1.715 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/C2CCCC2)c1 ZINC000493269882 420805299 /nfs/dbraw/zinc/80/52/99/420805299.db2.gz RASIIAHQEGYLHG-VMPITWQZSA-N -1 1 310.375 1.725 20 0 DDADMM C[C@@H]1CCC[C@@]1(O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454275033 420829206 /nfs/dbraw/zinc/82/92/06/420829206.db2.gz VEGZCNRFNLOBNL-QLJPJBMISA-N -1 1 301.346 1.204 20 0 DDADMM CCN(C)C(=O)OC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000495708447 421050262 /nfs/dbraw/zinc/05/02/62/421050262.db2.gz VPJSZDMIZLRGAP-LBPRGKRZSA-N -1 1 321.377 1.870 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](CO)OC[C@@H]1C ZINC000494666671 421002575 /nfs/dbraw/zinc/00/25/75/421002575.db2.gz LWXDCWHUTNPDOR-GXSJLCMTSA-N -1 1 323.393 1.010 20 0 DDADMM C[C@@H](OCc1ccc(F)cc1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000450003553 421125667 /nfs/dbraw/zinc/12/56/67/421125667.db2.gz HSZRPGZWIGKEKX-SECBINFHSA-N -1 1 307.329 1.295 20 0 DDADMM O=C(C(=O)N1CC[C@@H]2C[C@@H](O)CC[C@@H]2C1)c1ccc([O-])cc1 ZINC000456326739 421142050 /nfs/dbraw/zinc/14/20/50/421142050.db2.gz OPZGUZIEAMETRP-NFAWXSAZSA-N -1 1 303.358 1.584 20 0 DDADMM CO[C@@H]1C[C@@H](N(C)C(=O)C(=O)c2ccc([O-])cc2)C12CCC2 ZINC000456559945 421183535 /nfs/dbraw/zinc/18/35/35/421183535.db2.gz GYXNKBXCEAEKKC-ZIAGYGMSSA-N -1 1 303.358 1.991 20 0 DDADMM CC(C)[C@@H]1CC[C@@H](C)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544684633 421227689 /nfs/dbraw/zinc/22/76/89/421227689.db2.gz MBMIKBNOJRHMBA-GRYCIOLGSA-N -1 1 317.393 1.608 20 0 DDADMM Cc1ccc(CC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1C ZINC000523514311 421239712 /nfs/dbraw/zinc/23/97/12/421239712.db2.gz QNHZBGQDYKOZGT-UHFFFAOYSA-N -1 1 308.363 1.006 20 0 DDADMM Cc1ccc(CC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cc1C ZINC000523514311 421239714 /nfs/dbraw/zinc/23/97/14/421239714.db2.gz QNHZBGQDYKOZGT-UHFFFAOYSA-N -1 1 308.363 1.006 20 0 DDADMM Cc1nc(=NC(=O)c2ccc(NC(=O)OC(C)(C)C)cn2)[n-][nH]1 ZINC000496753310 421310541 /nfs/dbraw/zinc/31/05/41/421310541.db2.gz BGPRRELRIYQSPV-UHFFFAOYSA-N -1 1 318.337 1.529 20 0 DDADMM C[C@@H]1CCC[C@@H](OCC(=O)NC2(c3nn[n-]n3)CCCC2)C1 ZINC000524577677 421261892 /nfs/dbraw/zinc/26/18/92/421261892.db2.gz SWUJHZHFPJTTPO-VXGBXAGGSA-N -1 1 307.398 1.681 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N(CC1CCC1)c1ccccc1 ZINC000546148223 421288069 /nfs/dbraw/zinc/28/80/69/421288069.db2.gz WUWNFALFZRCURA-UHFFFAOYSA-N -1 1 323.356 1.865 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnc(C(C)(C)C)nc1)c1nn[n-]n1 ZINC000562327757 421352285 /nfs/dbraw/zinc/35/22/85/421352285.db2.gz KQMADAZVXZDVQV-VIFPVBQESA-N -1 1 303.370 1.163 20 0 DDADMM OC[C@H]1CCCN1c1ccc(=NCc2ccccc2F)[n-]n1 ZINC000561223117 421315117 /nfs/dbraw/zinc/31/51/17/421315117.db2.gz WZFDTZJMGODRMN-CYBMUJFWSA-N -1 1 302.353 1.611 20 0 DDADMM O=C(Cc1ccc(Cl)c(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000548065335 421396423 /nfs/dbraw/zinc/39/64/23/421396423.db2.gz XOEUIVRFJKJGBG-JTQLQIEISA-N -1 1 323.759 1.941 20 0 DDADMM Cn1[n-]c(CN2CC[C@]3(C2)CCc2ccccc2O3)nc1=O ZINC000516118990 421528709 /nfs/dbraw/zinc/52/87/09/421528709.db2.gz IFOAPDPJWGTLEL-INIZCTEOSA-N -1 1 300.362 1.078 20 0 DDADMM CCc1nc(=NC[C@@H](c2nc[nH]n2)c2ccccc2)s[n-]1 ZINC000514993147 421470872 /nfs/dbraw/zinc/47/08/72/421470872.db2.gz ZYEOEBFFDNZCNW-LLVKDONJSA-N -1 1 300.391 1.885 20 0 DDADMM Cn1nc(C(=O)NC2(c3nn[n-]n3)CCCC2)c2ccccc21 ZINC000528601341 421500000 /nfs/dbraw/zinc/50/00/00/421500000.db2.gz YAWLBJPTKUYZDX-UHFFFAOYSA-N -1 1 311.349 1.286 20 0 DDADMM CCNc1ncc(C(=O)N=c2nc(C3(C)CC3)[n-]s2)s1 ZINC000529702327 421553893 /nfs/dbraw/zinc/55/38/93/421553893.db2.gz YZHDGQWSGCZWER-UHFFFAOYSA-N -1 1 309.420 1.574 20 0 DDADMM O=C(c1cc(F)cc2nn[nH]c21)N1CCOC[C@@H]1C1CCC1 ZINC000564216082 421575608 /nfs/dbraw/zinc/57/56/08/421575608.db2.gz ZKHXMFVCYVQVIO-CYBMUJFWSA-N -1 1 304.325 1.738 20 0 DDADMM CS(=O)(=O)c1nc2ncc(-c3cnc(C4CC4)o3)cc2[n-]1 ZINC000530250178 421589338 /nfs/dbraw/zinc/58/93/38/421589338.db2.gz HVAUDDWPSHZGNW-UHFFFAOYSA-N -1 1 304.331 1.894 20 0 DDADMM CS(=O)(=O)c1nc2cc(-c3cnc(C4CC4)o3)c[n-]c-2n1 ZINC000530250178 421589342 /nfs/dbraw/zinc/58/93/42/421589342.db2.gz HVAUDDWPSHZGNW-UHFFFAOYSA-N -1 1 304.331 1.894 20 0 DDADMM C[C@H]1CCN(CC(=O)N(C)Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000553247125 421608249 /nfs/dbraw/zinc/60/82/49/421608249.db2.gz IXVWHHCTMQYBDT-JTQLQIEISA-N -1 1 320.418 1.697 20 0 DDADMM O=C(Cn1cc2ccccc2n1)NC1(c2nn[n-]n2)CCCC1 ZINC000530646397 421610925 /nfs/dbraw/zinc/61/09/25/421610925.db2.gz KKBISQMRTASYRX-UHFFFAOYSA-N -1 1 311.349 1.135 20 0 DDADMM CCO[C@H](CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)C1=CCCC1 ZINC000537123873 421728214 /nfs/dbraw/zinc/72/82/14/421728214.db2.gz MIUKXGVYQRARAX-UONOGXRCSA-N -1 1 319.409 1.811 20 0 DDADMM O=C(NCC1(CO)CC2(CCC2)C1)c1csc(=NC2CC2)[n-]1 ZINC000570785309 421669427 /nfs/dbraw/zinc/66/94/27/421669427.db2.gz PSZXQASUOBFPJU-UHFFFAOYSA-N -1 1 321.446 1.812 20 0 DDADMM O=C1CCCc2cc(S(=O)(=O)[N-]c3cnc[nH]3)ccc2N1 ZINC000555140232 421670307 /nfs/dbraw/zinc/67/03/07/421670307.db2.gz SIWLAWQERYAICX-UHFFFAOYSA-N -1 1 306.347 1.485 20 0 DDADMM C[C@@H](CC(N)=O)C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000555622235 421685979 /nfs/dbraw/zinc/68/59/79/421685979.db2.gz OAHXGRVGGRYQCL-ZETCQYMHSA-N -1 1 308.288 1.227 20 0 DDADMM O=C(c1cc(F)cc2nc[nH]c21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538226926 421737346 /nfs/dbraw/zinc/73/73/46/421737346.db2.gz UTFBPAFEOSWNEZ-QMMMGPOBSA-N -1 1 315.312 1.235 20 0 DDADMM CO[C@H]1CCC[C@H]1C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000540322118 421761298 /nfs/dbraw/zinc/76/12/98/421761298.db2.gz HADOLGKDLPULCC-QWRGUYRKSA-N -1 1 304.437 1.853 20 0 DDADMM Cc1cc(C[N@@H+]2CCN3C(=O)NC(=O)[C@H]3C2)c2ccccc2n1 ZINC000558483021 421811241 /nfs/dbraw/zinc/81/12/41/421811241.db2.gz WUMILYQMELQYIV-OAHLLOKOSA-N -1 1 310.357 1.279 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1CCOCC(F)(F)C(F)F ZINC000522007839 421812206 /nfs/dbraw/zinc/81/22/06/421812206.db2.gz LRHDNDGLBVPDKL-QMMMGPOBSA-N -1 1 319.320 1.796 20 0 DDADMM Cc1cc(C(=O)N=c2nc(Cc3cccc(F)c3)[nH][n-]2)nn1C ZINC000540844394 421769683 /nfs/dbraw/zinc/76/96/83/421769683.db2.gz SIPXTNBPTJNVOS-UHFFFAOYSA-N -1 1 314.324 1.251 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1[C@H](C(=O)[O-])C[C@@H]2CCCC[C@@H]21 ZINC000630097610 421886854 /nfs/dbraw/zinc/88/68/54/421886854.db2.gz KHSXOXQPRODTKC-XWUBHJNHSA-N -1 1 305.378 1.833 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H](CO)[C@@H]1CCCOC1 ZINC000633427257 421897526 /nfs/dbraw/zinc/89/75/26/421897526.db2.gz KFWDRBHMKOSWEY-YPMHNXCESA-N -1 1 313.781 1.492 20 0 DDADMM CCS(=O)(=O)CCCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633437690 421900927 /nfs/dbraw/zinc/90/09/27/421900927.db2.gz RUPUDAURTGUAMA-UHFFFAOYSA-N -1 1 319.810 1.529 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)Cc2ccc(F)cc2F)n1 ZINC000543726456 421840560 /nfs/dbraw/zinc/84/05/60/421840560.db2.gz ZFADTJLAVPKLJJ-SSDOTTSWSA-N -1 1 317.317 1.837 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+](C)[C@H](Cc2ccccc2)C(=O)[O-])n1 ZINC000581434865 421972947 /nfs/dbraw/zinc/97/29/47/421972947.db2.gz PPAYGLDOGWKHDL-CQSZACIVSA-N -1 1 315.373 1.872 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@@H+](C)[C@H](Cc2ccccc2)C(=O)[O-])n1 ZINC000581434865 421972965 /nfs/dbraw/zinc/97/29/65/421972965.db2.gz PPAYGLDOGWKHDL-CQSZACIVSA-N -1 1 315.373 1.872 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NCCc1cccc(C(=O)[O-])c1 ZINC000630160231 421928727 /nfs/dbraw/zinc/92/87/27/421928727.db2.gz WIPCKSXAHPCMDP-NSHDSACASA-N -1 1 301.346 1.645 20 0 DDADMM COc1cccc([C@H](NC(=O)[C@@H](C)Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000630205483 421957937 /nfs/dbraw/zinc/95/79/37/421957937.db2.gz HEVNBGUMYRJNHM-HZMBPMFUSA-N -1 1 317.345 1.539 20 0 DDADMM CCC[C@H](C(=O)[O-])n1ccc(=NC(=O)[C@H](C)Cc2cnc[nH]2)[nH]1 ZINC000630208247 421960190 /nfs/dbraw/zinc/96/01/90/421960190.db2.gz VFDOWCOBUAUGLB-ZYHUDNBSSA-N -1 1 319.365 1.271 20 0 DDADMM COC1(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)CCCCC1 ZINC000630220120 421969911 /nfs/dbraw/zinc/96/99/11/421969911.db2.gz MITGDNKQVZHNOA-CYBMUJFWSA-N -1 1 312.410 1.343 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3[nH]ncc32)CC1 ZINC000630221271 421970116 /nfs/dbraw/zinc/97/01/16/421970116.db2.gz WUEMZDAARLKIGM-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM C[C@H]1CC(=O)NCCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000630348311 422036021 /nfs/dbraw/zinc/03/60/21/422036021.db2.gz JNTFLDOYTZEUPS-VIFPVBQESA-N -1 1 304.375 1.461 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCCOC[C@H]1CCOC1 ZINC000630349020 422037367 /nfs/dbraw/zinc/03/73/67/422037367.db2.gz QOVCGNPFOPSWNZ-NSHDSACASA-N -1 1 321.402 1.893 20 0 DDADMM CC(C)[C@@H](CCO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632036690 422041407 /nfs/dbraw/zinc/04/14/07/422041407.db2.gz ZRXCCLGHMFCCFZ-SSDOTTSWSA-N -1 1 315.317 1.114 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)Cc2ccccc2F)CC1 ZINC000630224875 421977038 /nfs/dbraw/zinc/97/70/38/421977038.db2.gz QJRDHZSNYONPNB-LBPRGKRZSA-N -1 1 308.353 1.376 20 0 DDADMM CC[C@H]1COCCN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631979431 421995325 /nfs/dbraw/zinc/99/53/25/421995325.db2.gz RVJPRGROLTYAOT-JTQLQIEISA-N -1 1 301.364 1.200 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)[C@@H]1CCC[C@H]1OC)c1ccco1 ZINC000632060548 422062322 /nfs/dbraw/zinc/06/23/22/422062322.db2.gz PKAPWHGPBCYTBU-RAIGVLPGSA-N -1 1 303.380 1.454 20 0 DDADMM CCC[C@H]1CN(c2ncc(C(=O)[O-])s2)CCN1CCOC ZINC000630461404 422116312 /nfs/dbraw/zinc/11/63/12/422116312.db2.gz XUTXARMCRITUAO-NSHDSACASA-N -1 1 313.423 1.778 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2C[C@H](OC)C2(C)C)c1 ZINC000632084934 422075245 /nfs/dbraw/zinc/07/52/45/422075245.db2.gz RKZYOQKHQFEIDE-STQMWFEESA-N -1 1 315.391 1.493 20 0 DDADMM CCN1CCN(c2ccccc2NC(=O)[C@H](C)C(=O)[O-])CC1 ZINC000574280595 422086791 /nfs/dbraw/zinc/08/67/91/422086791.db2.gz IVQZWVRKYLXPRV-LBPRGKRZSA-N -1 1 305.378 1.488 20 0 DDADMM C[C@H]1CCN(Cc2cnn(C)c2C(F)(F)F)C[C@@H]1C(=O)[O-] ZINC000582062352 422098697 /nfs/dbraw/zinc/09/86/97/422098697.db2.gz FYPQJZWTPUIDRE-WPRPVWTQSA-N -1 1 305.300 1.982 20 0 DDADMM CC[C@H](C(=O)N=c1[nH][n-]c(C)c1C(=O)NC)c1ccccc1 ZINC000633691290 422052567 /nfs/dbraw/zinc/05/25/67/422052567.db2.gz HZICOVJLAFOMKZ-LBPRGKRZSA-N -1 1 300.362 1.632 20 0 DDADMM O=C(c1ccc2cccnc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000632054264 422053645 /nfs/dbraw/zinc/05/36/45/422053645.db2.gz QVCKEMBWXOIOKX-ZDUSSCGKSA-N -1 1 308.345 1.768 20 0 DDADMM Cc1cnc(C(=O)NCc2c(C(F)(F)F)cnn2C)c([O-])c1 ZINC000628354904 422145348 /nfs/dbraw/zinc/14/53/48/422145348.db2.gz BAWZQHBAVAUIHK-UHFFFAOYSA-N -1 1 314.267 1.778 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H]([N-]S(=O)(=O)c2cc(C)ns2)C1(C)C ZINC000632184674 422146632 /nfs/dbraw/zinc/14/66/32/422146632.db2.gz WDMJBBDUQOQVNE-JMJZKYOTSA-N -1 1 304.437 1.789 20 0 DDADMM CN1CCCN(C(=O)CCCc2nn[n-]n2)c2cc(F)ccc21 ZINC000635594454 422147888 /nfs/dbraw/zinc/14/78/88/422147888.db2.gz AGEBGRWCSAWKLO-UHFFFAOYSA-N -1 1 318.356 1.535 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)[C@H]2CCSC2)c1 ZINC000632145988 422120043 /nfs/dbraw/zinc/12/00/43/422120043.db2.gz AFHJRPGWMQXZTQ-VIFPVBQESA-N -1 1 303.405 1.527 20 0 DDADMM CCC1(NS(=O)(=O)c2cc(OC)ccc2[O-])CCOCC1 ZINC000632155809 422124834 /nfs/dbraw/zinc/12/48/34/422124834.db2.gz BGJWKNKCVLHZFO-UHFFFAOYSA-N -1 1 315.391 1.638 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCCCC[C@H]2O)c1 ZINC000632339420 422258848 /nfs/dbraw/zinc/25/88/48/422258848.db2.gz SGIHEDQBZFZRSZ-VXGBXAGGSA-N -1 1 315.391 1.373 20 0 DDADMM Cn1cccc1[C@H]1CCCCCN1C(=O)CCc1nn[n-]n1 ZINC000630636800 422235933 /nfs/dbraw/zinc/23/59/33/422235933.db2.gz DJCSIZWTWAAGMZ-CYBMUJFWSA-N -1 1 302.382 1.615 20 0 DDADMM CNc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1F ZINC000635726419 422284999 /nfs/dbraw/zinc/28/49/99/422284999.db2.gz UWTLUWKEWDLPDI-JTQLQIEISA-N -1 1 304.329 1.400 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](CO)C2CCCCC2)sn1 ZINC000632371791 422286146 /nfs/dbraw/zinc/28/61/46/422286146.db2.gz ODVYOQRWHHZBDZ-GFCCVEGCSA-N -1 1 318.464 1.919 20 0 DDADMM COc1ncc(CN2CC[C@H](OC)C[C@H]2C(=O)[O-])cc1Cl ZINC000584243734 422294797 /nfs/dbraw/zinc/29/47/97/422294797.db2.gz WYRLPJRDDCPJSM-JQWIXIFHSA-N -1 1 314.769 1.808 20 0 DDADMM CC[C@H](C)[C@H](CNS(=O)(=O)c1cc(OC)ccc1[O-])OC ZINC000632389876 422298829 /nfs/dbraw/zinc/29/88/29/422298829.db2.gz JKPGMMNHLCWUNA-GWCFXTLKSA-N -1 1 317.407 1.740 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)[C@@H](O)C(F)F ZINC000632390851 422300766 /nfs/dbraw/zinc/30/07/66/422300766.db2.gz LQNWDCXODNWCAB-SVGQVSJJSA-N -1 1 319.276 1.900 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1(c2ccc(F)cc2F)CC1 ZINC000635745823 422305285 /nfs/dbraw/zinc/30/52/85/422305285.db2.gz ZVMHDVAWJQHJML-UHFFFAOYSA-N -1 1 321.331 1.649 20 0 DDADMM CC(C)Oc1cccc([C@H](C)NC(=O)CCc2nn[n-]n2)c1 ZINC000630749039 422313857 /nfs/dbraw/zinc/31/38/57/422313857.db2.gz MUDSNWAADJNIDE-NSHDSACASA-N -1 1 303.366 1.797 20 0 DDADMM Cn1cnnc1CCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632434897 422339638 /nfs/dbraw/zinc/33/96/38/422339638.db2.gz IYROFEOQLGLGCB-UHFFFAOYSA-N -1 1 320.321 1.257 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CC[C@H](C)O2)c1 ZINC000632433268 422339723 /nfs/dbraw/zinc/33/97/23/422339723.db2.gz OASAKQAKZKHGID-ONGXEEELSA-N -1 1 301.364 1.247 20 0 DDADMM C[C@H]1COc2ccccc2CN1C(=O)CCCc1nn[n-]n1 ZINC000635790782 422346528 /nfs/dbraw/zinc/34/65/28/422346528.db2.gz YZKLRNYWXKZODJ-NSHDSACASA-N -1 1 301.350 1.332 20 0 DDADMM CN(C[C@H]1CCCN1C)S(=O)(=O)c1cccc(Cl)c1[O-] ZINC000632476091 422374501 /nfs/dbraw/zinc/37/45/01/422374501.db2.gz SKCXVLBRYBWQOQ-SNVBAGLBSA-N -1 1 318.826 1.760 20 0 DDADMM CCc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n(C)n1 ZINC000635893620 422449467 /nfs/dbraw/zinc/44/94/67/422449467.db2.gz DHROTKBWLLPTJH-UHFFFAOYSA-N -1 1 305.338 1.091 20 0 DDADMM CNc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1F ZINC000635895437 422450448 /nfs/dbraw/zinc/45/04/48/422450448.db2.gz QUUQGHXFFWSBEP-UHFFFAOYSA-N -1 1 320.324 1.976 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2COC(C)(C)C2)c1 ZINC000632544948 422424518 /nfs/dbraw/zinc/42/45/18/422424518.db2.gz QLEGPAYMNNNSGX-SECBINFHSA-N -1 1 301.364 1.247 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@@H]1CCCO1)c1ccc(C(F)F)o1 ZINC000632592105 422458653 /nfs/dbraw/zinc/45/86/53/422458653.db2.gz BBPIWSLSFULFPM-YUMQZZPRSA-N -1 1 311.306 1.035 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCO[C@@H]3CC3(F)F)cnc2n1 ZINC000622161136 422537698 /nfs/dbraw/zinc/53/76/98/422537698.db2.gz KMDUKCSDTTZZGH-LLVKDONJSA-N -1 1 323.299 1.798 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCO[C@@H]1CC1(F)F)c2=O ZINC000622161136 422537702 /nfs/dbraw/zinc/53/77/02/422537702.db2.gz KMDUKCSDTTZZGH-LLVKDONJSA-N -1 1 323.299 1.798 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000635984681 422537772 /nfs/dbraw/zinc/53/77/72/422537772.db2.gz UGJNDMPQONKTFJ-YNEHKIRRSA-N -1 1 307.398 1.065 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2cc(CN(C)C)ccn2)sn1 ZINC000634655687 422539384 /nfs/dbraw/zinc/53/93/84/422539384.db2.gz BNPVNWODWNWEJZ-UHFFFAOYSA-N -1 1 312.420 1.709 20 0 DDADMM Cc1ccc(-c2[n-][nH]c(=NC(=O)C3(CN(C)C)CC3)c2C)o1 ZINC000636022693 422573873 /nfs/dbraw/zinc/57/38/73/422573873.db2.gz WNEQFWHOBYKPNK-UHFFFAOYSA-N -1 1 302.378 1.989 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(CCF)c1)C1CCCC1 ZINC000629319878 422606224 /nfs/dbraw/zinc/60/62/24/422606224.db2.gz ROQHHHPIVVCIND-ZDUSSCGKSA-N -1 1 319.402 1.800 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1C[C@H]1c1ccc2ccccc2c1 ZINC000632839637 422618978 /nfs/dbraw/zinc/61/89/78/422618978.db2.gz BCWGVIZMODOMLM-LSDHHAIUSA-N -1 1 307.357 1.958 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC000629490686 422698543 /nfs/dbraw/zinc/69/85/43/422698543.db2.gz WUCCHTMZYMOCNJ-MNOVXSKESA-N -1 1 324.343 1.830 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccccc1OC1CCC1 ZINC000631369807 422720411 /nfs/dbraw/zinc/72/04/11/422720411.db2.gz RZLRFUJHXIFBMN-UHFFFAOYSA-N -1 1 301.350 1.380 20 0 DDADMM C[C@H]1SCCN(C(=O)CCc2nn[n-]n2)[C@@H]1c1ccccc1 ZINC000631379491 422728321 /nfs/dbraw/zinc/72/83/21/422728321.db2.gz UPHDFNUQBKUOBV-ABAIWWIYSA-N -1 1 317.418 1.838 20 0 DDADMM CC(C)(C)c1nnc(CN=c2[n-]nc(C3CCOCC3)s2)[nH]1 ZINC000578974931 422681061 /nfs/dbraw/zinc/68/10/61/422681061.db2.gz NHBMAZVTZXBVBI-UHFFFAOYSA-N -1 1 322.438 1.882 20 0 DDADMM CC(C)(C)c1nc(CN=c2[n-]nc(C3CCOCC3)s2)n[nH]1 ZINC000578974931 422681063 /nfs/dbraw/zinc/68/10/63/422681063.db2.gz NHBMAZVTZXBVBI-UHFFFAOYSA-N -1 1 322.438 1.882 20 0 DDADMM CC1(C)[C@H](C(=O)[O-])[C@H]1C(=O)NCCN1CCc2ccccc2C1 ZINC000634860258 422688076 /nfs/dbraw/zinc/68/80/76/422688076.db2.gz PAOGBUCPLQHYNL-GJZGRUSLSA-N -1 1 316.401 1.518 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2c(c1)CCCN2 ZINC000648086255 423206993 /nfs/dbraw/zinc/20/69/93/423206993.db2.gz JCJKALAHNQOJLB-UHFFFAOYSA-N -1 1 312.377 1.757 20 0 DDADMM Cn1cc(CN2CCCN(c3ccnc(C(=O)[O-])c3)CC2)cn1 ZINC000650766395 423251485 /nfs/dbraw/zinc/25/14/85/423251485.db2.gz HNNBWEXFCIABMA-UHFFFAOYSA-N -1 1 315.377 1.226 20 0 DDADMM CCN(CC)CCS(=O)(=O)N[C@@H](C(=O)[O-])c1ccccc1 ZINC000645937015 423251686 /nfs/dbraw/zinc/25/16/86/423251686.db2.gz YDQRLLAQLHNDLJ-CYBMUJFWSA-N -1 1 314.407 1.074 20 0 DDADMM C[C@@H]1[C@@H](c2cccc(F)c2)OCCN1Cc1nc(=O)n(C)[n-]1 ZINC000646063594 423321892 /nfs/dbraw/zinc/32/18/92/423321892.db2.gz OICRDMPELJCUGY-YGRLFVJLSA-N -1 1 306.341 1.210 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@H]2CCc3[nH]cnc3C2)C[C@H]1C(=O)[O-] ZINC000646158170 423373423 /nfs/dbraw/zinc/37/34/23/423373423.db2.gz HTQYDSZDOYZTNA-OUAUKWLOSA-N -1 1 306.366 1.019 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)C(=O)c1cc(-c2c(C)nn(C)c2C)[nH]n1 ZINC000653341108 423447122 /nfs/dbraw/zinc/44/71/22/423447122.db2.gz ZOVGZHQJPIJPPN-GFCCVEGCSA-N -1 1 319.365 1.362 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1C[C@H]1c1ccc2c(c1)OCCO2 ZINC000646409250 423478379 /nfs/dbraw/zinc/47/83/79/423478379.db2.gz LITLKWKRAZRBGK-WDEREUQCSA-N -1 1 315.329 1.937 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000646410840 423479923 /nfs/dbraw/zinc/47/99/23/423479923.db2.gz ZRDMVDXQQBDBMW-NSHDSACASA-N -1 1 321.381 1.290 20 0 DDADMM O=C(CC1CC(F)(F)C1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000648894493 423526987 /nfs/dbraw/zinc/52/69/87/423526987.db2.gz QGNSCQUEWJLPIW-GFCCVEGCSA-N -1 1 307.304 1.841 20 0 DDADMM CC(C)(NC(=O)C[C@@H]1CSc2ccccc2O1)c1nn[n-]n1 ZINC000649025701 423589343 /nfs/dbraw/zinc/58/93/43/423589343.db2.gz GZNQNHQHVGHKKH-SECBINFHSA-N -1 1 319.390 1.494 20 0 DDADMM O=S(=O)([N-]CC[C@]1(O)CCOC1)c1c(F)cccc1Cl ZINC000651839710 423705825 /nfs/dbraw/zinc/70/58/25/423705825.db2.gz PPZGFVHAGCMXAX-LBPRGKRZSA-N -1 1 323.773 1.299 20 0 DDADMM C[C@@H](C(=O)N1CCC(C)CC1)N1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639824556 423762440 /nfs/dbraw/zinc/76/24/40/423762440.db2.gz JBYGBVQOOHOSRT-STQMWFEESA-N -1 1 321.425 1.309 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnc2onc(C)c2c1)C(C)C ZINC000647128222 423768850 /nfs/dbraw/zinc/76/88/50/423768850.db2.gz VQSAKUWWOHKTSW-GFCCVEGCSA-N -1 1 313.379 1.944 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@H](C)c1nc(C)no1)C1CCCC1 ZINC000656891937 423840627 /nfs/dbraw/zinc/84/06/27/423840627.db2.gz VSLAVCWZLMBPDS-BXKDBHETSA-N -1 1 317.411 1.564 20 0 DDADMM C[C@@H]1C[N@H+](C2CC2)C[C@@H]1NC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC000654887339 423998605 /nfs/dbraw/zinc/99/86/05/423998605.db2.gz FKZWBTQUGCOMEI-RNCFNFMXSA-N -1 1 302.378 1.272 20 0 DDADMM O=C(c1cnc2ccccn21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644883982 424012749 /nfs/dbraw/zinc/01/27/49/424012749.db2.gz KUEILGOMKQAPHP-JTQLQIEISA-N -1 1 313.317 1.443 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCC1)c1cc(F)c(F)cc1F ZINC000657057697 424051622 /nfs/dbraw/zinc/05/16/22/424051622.db2.gz WEAYSYOYJGVPOK-LLVKDONJSA-N -1 1 309.309 1.543 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCn2nncc2C1 ZINC000655227337 424315038 /nfs/dbraw/zinc/31/50/38/424315038.db2.gz LGJKLHOYGROSJS-UHFFFAOYSA-N -1 1 312.251 1.659 20 0 DDADMM C[C@H](CNC(=O)C(=O)c1ccc([O-])cc1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000655241126 424327394 /nfs/dbraw/zinc/32/73/94/424327394.db2.gz GFHBAZTURZBZLB-UPJWGTAASA-N -1 1 320.389 1.189 20 0 DDADMM COc1cc(OC)cc(-c2noc([N-][C@H]3COC[C@H]3OC)n2)c1 ZINC000664004345 424329324 /nfs/dbraw/zinc/32/93/24/424329324.db2.gz AXRFHQIALRQMGC-QWHCGFSZSA-N -1 1 321.333 1.580 20 0 DDADMM CCO[C@@H]1COC[C@H]1NC(=O)N=c1[n-]sc2ccccc21 ZINC000640337000 424355977 /nfs/dbraw/zinc/35/59/77/424355977.db2.gz DJYRWGZCUFEAQS-GHMZBOCLSA-N -1 1 307.375 1.644 20 0 DDADMM O=c1nc(NCc2cccnc2N2CCCC2)nc2[nH][n-]cc1-2 ZINC000664192258 424444514 /nfs/dbraw/zinc/44/45/14/424444514.db2.gz PDTZKISGIGASIM-UHFFFAOYSA-N -1 1 311.349 1.039 20 0 DDADMM COc1ccccc1[C@@H]1CCN([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662206720 424475036 /nfs/dbraw/zinc/47/50/36/424475036.db2.gz SBHSUVFOUHGDRU-TZMCWYRMSA-N -1 1 318.373 1.170 20 0 DDADMM COCn1nc(C)c(S(=O)(=O)[N-][C@H](C)C(F)(F)F)c1C ZINC000341897119 271238069 /nfs/dbraw/zinc/23/80/69/271238069.db2.gz KAXCKSJXSXLYGB-MRVPVSSYSA-N -1 1 315.317 1.333 20 0 DDADMM CC[N@@H+](C)[C@@H](C(=O)NCc1cnc(C)[nH]c1=O)c1ccccc1 ZINC000345103231 272172417 /nfs/dbraw/zinc/17/24/17/272172417.db2.gz HSISDLKBZJMUBT-OAHLLOKOSA-N -1 1 314.389 1.800 20 0 DDADMM CC(C)(CN=c1ccc(C(=O)NCCO)n[n-]1)C1CCCCC1 ZINC000345164145 272183648 /nfs/dbraw/zinc/18/36/48/272183648.db2.gz QXYWWPLAXFZHGW-UHFFFAOYSA-N -1 1 320.437 1.639 20 0 DDADMM O=C(Nc1ccncc1[O-])c1cn(Cc2cccs2)nn1 ZINC000345359584 272229521 /nfs/dbraw/zinc/22/95/21/272229521.db2.gz KRPLWPJAWSQDKV-UHFFFAOYSA-N -1 1 301.331 1.163 20 0 DDADMM CCCCN(CC)C(=O)CN1C[C@H](C(F)(F)F)[C@H](C(=O)[O-])C1 ZINC000345502425 272267384 /nfs/dbraw/zinc/26/73/84/272267384.db2.gz GLDNWYMSNJAVPY-MNOVXSKESA-N -1 1 324.343 1.830 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3CCOC4(CCC4)C3)ccnc1-2 ZINC000287602113 279300067 /nfs/dbraw/zinc/30/00/67/279300067.db2.gz MMNQSKJFAOSNJB-RGWKGUTDSA-N -1 1 315.377 1.565 20 0 DDADMM CC(C)[C@@H](C)NC(=O)[C@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000275313150 279823580 /nfs/dbraw/zinc/82/35/80/279823580.db2.gz NTROBRGZQZYMOD-GHMZBOCLSA-N -1 1 323.418 1.463 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2cc3ccccc3o2)CCC1 ZINC000119273514 281094934 /nfs/dbraw/zinc/09/49/34/281094934.db2.gz ISQWTSFNONEJDY-UHFFFAOYSA-N -1 1 309.343 1.807 20 0 DDADMM CN(CC(=O)Nc1cc(-c2nccn2C)n[nH]1)Cc1ccccc1 ZINC000119870692 281109073 /nfs/dbraw/zinc/10/90/73/281109073.db2.gz IVTBTDYUVYLZMJ-UHFFFAOYSA-N -1 1 324.388 1.881 20 0 DDADMM COc1ccc(C(=O)Nc2cccc(-n3cnnn3)c2)c([O-])c1 ZINC000121663574 281141811 /nfs/dbraw/zinc/14/18/11/281141811.db2.gz WFZJAYVFAKWPEY-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM O=C(NCCNC(=O)c1c([O-])cccc1F)c1ccccc1 ZINC000122160078 281151480 /nfs/dbraw/zinc/15/14/80/281151480.db2.gz IEDJOOGVJNPWFW-UHFFFAOYSA-N -1 1 302.305 1.691 20 0 DDADMM CSCC[C@@H](CO)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000127078472 281262384 /nfs/dbraw/zinc/26/23/84/281262384.db2.gz RDTVTTWERQXZRL-VIFPVBQESA-N -1 1 311.375 1.357 20 0 DDADMM CCc1nc(C(=O)[N-]c2nnc(CCCC(=O)OC)s2)co1 ZINC000153358333 281791178 /nfs/dbraw/zinc/79/11/78/281791178.db2.gz HSVCVDMRODASGD-UHFFFAOYSA-N -1 1 324.362 1.837 20 0 DDADMM NC(=O)[C@H]1CCC[C@@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000172611622 298252051 /nfs/dbraw/zinc/25/20/51/298252051.db2.gz GOADFXNMKNUTCQ-DTWKUNHWSA-N -1 1 318.345 1.287 20 0 DDADMM Cc1cccc(C)c1[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000355176494 298663439 /nfs/dbraw/zinc/66/34/39/298663439.db2.gz ULRICCVODIHQFW-NSHDSACASA-N -1 1 311.345 1.525 20 0 DDADMM CCN(CC(=O)N1CCC(c2n[n-]c(=S)o2)CC1)C1CC1 ZINC000363164009 300224207 /nfs/dbraw/zinc/22/42/07/300224207.db2.gz HCJZQJXRULMOSQ-UHFFFAOYSA-N -1 1 310.423 1.549 20 0 DDADMM CCc1nnsc1C(=O)[N-]c1ncn(-c2cccnc2)n1 ZINC000363797914 300309075 /nfs/dbraw/zinc/30/90/75/300309075.db2.gz WHPVEVYFUGUNOD-UHFFFAOYSA-N -1 1 301.335 1.329 20 0 DDADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)c1ccoc1 ZINC000368859990 301096984 /nfs/dbraw/zinc/09/69/84/301096984.db2.gz KXKGISPUVORBRP-UHFFFAOYSA-N -1 1 315.329 1.415 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@H]1CC(=O)N(c2ccccn2)C1 ZINC000370134349 301281063 /nfs/dbraw/zinc/28/10/63/301281063.db2.gz UYKPJNYZCSKBHF-QMMMGPOBSA-N -1 1 303.240 1.045 20 0 DDADMM O=C(c1ccnn1-c1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000376147775 302040014 /nfs/dbraw/zinc/04/00/14/302040014.db2.gz ISQUKXPGUBYEGI-LBPRGKRZSA-N -1 1 323.360 1.405 20 0 DDADMM O=C1C[C@H](N=c2nc(C(F)(F)F)[n-]s2)[C@@H]2CCCCN12 ZINC000376390417 302070857 /nfs/dbraw/zinc/07/08/57/302070857.db2.gz CJUJQPDYRCMSPI-BQBZGAKWSA-N -1 1 306.313 1.544 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H](O)[C@H]2CCCO2)c(F)c1 ZINC000528483510 303032690 /nfs/dbraw/zinc/03/26/90/303032690.db2.gz GKLXNFJPHFOLLB-NWDGAFQWSA-N -1 1 321.345 1.091 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCC23CC3)c1 ZINC000529409919 303125843 /nfs/dbraw/zinc/12/58/43/303125843.db2.gz VGLUVKWAQOVGRP-NSHDSACASA-N -1 1 324.402 1.819 20 0 DDADMM CC1(C)CCC[C@H]1CCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000529563889 303138091 /nfs/dbraw/zinc/13/80/91/303138091.db2.gz CNEUXFYNZRZPRU-JTQLQIEISA-N -1 1 303.366 1.364 20 0 DDADMM O=C(NC[C@H](O)[C@@H]1CCCO1)c1ccc2ccccc2c1[O-] ZINC000529771462 303153542 /nfs/dbraw/zinc/15/35/42/303153542.db2.gz UBVLMVUAZPEKMH-GJZGRUSLSA-N -1 1 301.342 1.815 20 0 DDADMM O=C(NC[C@H](O)[C@@H]1CCCO1)c1ncc2ccccc2c1[O-] ZINC000529771174 303153572 /nfs/dbraw/zinc/15/35/72/303153572.db2.gz PMTDMFNYERDTHT-STQMWFEESA-N -1 1 302.330 1.210 20 0 DDADMM C[C@@]1(C2CC2)NC(=O)N(CC(=O)Nc2cccc(F)c2[O-])C1=O ZINC000530006039 303170106 /nfs/dbraw/zinc/17/01/06/303170106.db2.gz MTVXGXXWLPRPRW-HNNXBMFYSA-N -1 1 321.308 1.190 20 0 DDADMM COc1cncc(/C=C\CCN2C[C@@H](C)O[C@H](C(=O)[O-])C2)c1 ZINC000530170727 303179299 /nfs/dbraw/zinc/17/92/99/303179299.db2.gz UHXISVNKAMNHMW-FWQWQBSGSA-N -1 1 306.362 1.667 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCCC[C@H]2C(N)=O)sc1C ZINC000532496267 303287862 /nfs/dbraw/zinc/28/78/62/303287862.db2.gz HRJDHDOIFKCTPG-ZJUUUORDSA-N -1 1 317.436 1.082 20 0 DDADMM CCCc1cnc(NC(=O)c2cc(=O)n3[n-]cnc3n2)s1 ZINC000545420092 303495704 /nfs/dbraw/zinc/49/57/04/303495704.db2.gz GDBQPIZQGFKOKH-UHFFFAOYSA-N -1 1 304.335 1.079 20 0 DDADMM COCCOCCOCCS(=O)(=O)[N-]c1ccccc1F ZINC000555530876 303717706 /nfs/dbraw/zinc/71/77/06/303717706.db2.gz PEBBKVKLSZLAFN-UHFFFAOYSA-N -1 1 321.370 1.247 20 0 DDADMM CCOc1cc(C(=O)N(C)[C@@H]2CCNC2=O)cc(Cl)c1[O-] ZINC000358011865 306926463 /nfs/dbraw/zinc/92/64/63/306926463.db2.gz SJRYTPKWZDVYLK-SNVBAGLBSA-N -1 1 312.753 1.405 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2ccc(C)cc2-c2nnc[nH]2)c[nH]1 ZINC000362667254 307024236 /nfs/dbraw/zinc/02/42/36/307024236.db2.gz OCSHWKBREMISTP-UHFFFAOYSA-N -1 1 318.362 1.612 20 0 DDADMM COC(=O)c1[n-]c(=NCc2ccc(C)n(C)c2=O)sc1C ZINC000362668393 307024331 /nfs/dbraw/zinc/02/43/31/307024331.db2.gz SHMRQBDSARZUTJ-UHFFFAOYSA-N -1 1 307.375 1.279 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)oc1CC ZINC000367108879 307086290 /nfs/dbraw/zinc/08/62/90/307086290.db2.gz GWODLBCFECVZDT-NSHDSACASA-N -1 1 303.366 1.937 20 0 DDADMM Cc1ccc(SCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000370861611 307138589 /nfs/dbraw/zinc/13/85/89/307138589.db2.gz YGJYNGCSBSBZMB-GFCCVEGCSA-N -1 1 319.390 1.200 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCCOC(C)(C)C3)ccnc1-2 ZINC000376665861 307252537 /nfs/dbraw/zinc/25/25/37/307252537.db2.gz HUIKNZRCOYVYJZ-PDGQHHTCSA-N -1 1 303.366 1.375 20 0 DDADMM O=C(NCCn1cncn1)c1cc(Br)ccc1[O-] ZINC000394514343 307295567 /nfs/dbraw/zinc/29/55/67/307295567.db2.gz BUTCDNJDVJODMQ-UHFFFAOYSA-N -1 1 311.139 1.176 20 0 DDADMM CCN(C)C(=O)OC[C@@H]1CCCCN1C(=O)c1cncc([O-])c1 ZINC000495772573 307317917 /nfs/dbraw/zinc/31/79/17/307317917.db2.gz YYHJAGACKAWAMB-ZDUSSCGKSA-N -1 1 321.377 1.870 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCc1ccc(C)nc1 ZINC000532288348 307627795 /nfs/dbraw/zinc/62/77/95/307627795.db2.gz VJZHYSFJSNZWNP-UHFFFAOYSA-N -1 1 318.402 1.888 20 0 DDADMM CCC(=O)c1ccc(C(=O)N(CCN(C)C)CC(=O)[O-])cc1 ZINC000533193398 307636054 /nfs/dbraw/zinc/63/60/54/307636054.db2.gz KVQZONLQQMVRHH-UHFFFAOYSA-N -1 1 306.362 1.368 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2(C)CCOCC2)sc1C ZINC000534308527 307646867 /nfs/dbraw/zinc/64/68/67/307646867.db2.gz GKACVRWCATYKQY-UHFFFAOYSA-N -1 1 304.437 1.855 20 0 DDADMM Cn1[n-]c(CN2CCC(OCC3CCCCC3)CC2)nc1=O ZINC000544395358 307715853 /nfs/dbraw/zinc/71/58/53/307715853.db2.gz YRXQSUCSROKMCG-UHFFFAOYSA-N -1 1 308.426 1.670 20 0 DDADMM Cc1ccccc1[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000544747717 307719136 /nfs/dbraw/zinc/71/91/36/307719136.db2.gz MOLHTZGSNLVAAN-OAHLLOKOSA-N -1 1 323.356 1.607 20 0 DDADMM C[C@H]1C[C@@H]1c1ccc(CNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC000544747947 307719160 /nfs/dbraw/zinc/71/91/60/307719160.db2.gz YXWBISJHVOBGNF-WPRPVWTQSA-N -1 1 313.317 1.064 20 0 DDADMM COC[C@H](C)n1ccc([N-]S(=O)(=O)N(C)CC2CC2)n1 ZINC000548618089 307779896 /nfs/dbraw/zinc/77/98/96/307779896.db2.gz QSEHDMNLYWHGHM-JTQLQIEISA-N -1 1 302.400 1.089 20 0 DDADMM CC(C)CN1CCO[C@@H](CNC(=O)c2cccc(C(=O)[O-])c2)C1 ZINC000564954693 308014786 /nfs/dbraw/zinc/01/47/86/308014786.db2.gz QHLZJPGOHLMZLW-HNNXBMFYSA-N -1 1 320.389 1.471 20 0 DDADMM Cn1[n-]c(CN2CCn3c(cc4cc(Cl)ccc43)C2)nc1=O ZINC000565281209 308026786 /nfs/dbraw/zinc/02/67/86/308026786.db2.gz URIWNAMDANWKFR-UHFFFAOYSA-N -1 1 317.780 1.732 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)Cc1[nH]nc2ccccc21)CC1CC1 ZINC000565657431 308035929 /nfs/dbraw/zinc/03/59/29/308035929.db2.gz NXDKMDNPENVCNW-LLVKDONJSA-N -1 1 301.346 1.723 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)F)c1cc2ccccc2o1 ZINC000566655429 308059934 /nfs/dbraw/zinc/05/99/34/308059934.db2.gz PQMMJIIAUCICEA-SECBINFHSA-N -1 1 305.302 1.727 20 0 DDADMM COCC(C)(C)N1CCN(Cc2nc(C(=O)[O-])cs2)CC1 ZINC000568521541 308121982 /nfs/dbraw/zinc/12/19/82/308121982.db2.gz XNDIXBFECXFUSI-UHFFFAOYSA-N -1 1 313.423 1.384 20 0 DDADMM CCSc1n[n-]c(=NC(=O)N2CCN(C)C[C@@H]2CC)s1 ZINC000572111361 308211277 /nfs/dbraw/zinc/21/12/77/308211277.db2.gz MPSVVWNCXVLBPK-VIFPVBQESA-N -1 1 315.468 1.630 20 0 DDADMM CN(C)c1cccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000573667026 308247654 /nfs/dbraw/zinc/24/76/54/308247654.db2.gz VKRICRDOJVJOMG-UHFFFAOYSA-N -1 1 300.366 1.465 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(C(C)(C)C)c1)C1CC1 ZINC000574039668 308260015 /nfs/dbraw/zinc/26/00/15/308260015.db2.gz NZMHJDOEIGWPGD-GFCCVEGCSA-N -1 1 301.412 1.805 20 0 DDADMM Cc1cn2[nH]c(=N[C@H](C)c3ncc(C(=O)[O-])s3)sc2n1 ZINC000576044233 308286222 /nfs/dbraw/zinc/28/62/22/308286222.db2.gz MKUZBDUFGMTPQD-ZCFIWIBFSA-N -1 1 309.376 1.849 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(CC(C)C)C1CCCC1 ZINC000576623622 308327585 /nfs/dbraw/zinc/32/75/85/308327585.db2.gz RGXQFPJCZLQNSX-UHFFFAOYSA-N -1 1 301.412 1.985 20 0 DDADMM Cc1nc2cccc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)c2[nH]1 ZINC000577194235 308369947 /nfs/dbraw/zinc/36/99/47/308369947.db2.gz GEVXXQMOBZWIRP-JTQLQIEISA-N -1 1 311.349 1.404 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCO[C@@H](c3cnn(C)c3)C2)c([O-])c1 ZINC000577885854 308422004 /nfs/dbraw/zinc/42/20/04/308422004.db2.gz WOWKIXKURGUSAZ-GXTWGEPZSA-N -1 1 316.361 1.479 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2c([O-])cccc2F)[C@H]1n1cccn1 ZINC000579518976 308537754 /nfs/dbraw/zinc/53/77/54/308537754.db2.gz WCTYBNYFCCMOJE-UXIGCNINSA-N -1 1 319.336 1.876 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])[C@H]1n1cccn1 ZINC000582538638 337106555 /nfs/dbraw/zinc/10/65/55/337106555.db2.gz VWLRGRSCIBMFFN-ZKYQVNSYSA-N -1 1 302.334 1.132 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)c1ccc(C)o1 ZINC000582663360 337117650 /nfs/dbraw/zinc/11/76/50/337117650.db2.gz OTUKFUMXUFXBGB-GXTWGEPZSA-N -1 1 317.407 1.620 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)NC[C@@H](C(=O)[O-])C(C)C)C2)n[nH]1 ZINC000655895211 483986809 /nfs/dbraw/zinc/98/68/09/483986809.db2.gz RUXKQSLINVTYNJ-NWDGAFQWSA-N -1 1 323.397 1.359 20 0 DDADMM C[C@@H](NC(=O)N(C)Cc1nnc[nH]1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000655899515 483990739 /nfs/dbraw/zinc/99/07/39/483990739.db2.gz GMDHIGYSIPAHDM-KXUCPTDWSA-N -1 1 309.370 1.082 20 0 DDADMM CCn1cc([N-]S(=O)(=O)C[C@H](OC)C2CCCC2)cn1 ZINC000656615943 484244780 /nfs/dbraw/zinc/24/47/80/484244780.db2.gz HQEGPKJWEIIZEE-ZDUSSCGKSA-N -1 1 301.412 1.850 20 0 DDADMM CC1(C)C[C@@]1(C(=O)[O-])C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000663112976 484678532 /nfs/dbraw/zinc/67/85/32/484678532.db2.gz QQSOXFJZZKIFIQ-KRWDZBQOSA-N -1 1 324.421 1.058 20 0 DDADMM CN(C)[C@@H](CNC(=O)C1(C(=O)[O-])CC=CC1)c1ccc(F)cc1 ZINC000663114408 484679811 /nfs/dbraw/zinc/67/98/11/484679811.db2.gz OBMVDISMIVJHRM-AWEZNQCLSA-N -1 1 320.364 1.966 20 0 DDADMM O=C([O-])[C@H](CCF)NC(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000663272655 484778476 /nfs/dbraw/zinc/77/84/76/484778476.db2.gz ZCZHWTCRQFLJBH-KGLIPLIRSA-N -1 1 323.368 1.373 20 0 DDADMM Cc1ccc(OCC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1 ZINC000670383489 484800947 /nfs/dbraw/zinc/80/09/47/484800947.db2.gz QLCQZNCAYCXBMZ-LBPRGKRZSA-N -1 1 317.345 1.869 20 0 DDADMM CC[C@H]1CN(C)c2ccccc2CN1Cc1nc(=O)n(C)[n-]1 ZINC000679367448 485862744 /nfs/dbraw/zinc/86/27/44/485862744.db2.gz BYADDSMNTBQMOT-ZDUSSCGKSA-N -1 1 301.394 1.339 20 0 DDADMM C[C@H](C[C@@H]1CCOC1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000675289900 485903698 /nfs/dbraw/zinc/90/36/98/485903698.db2.gz JCNPPBSTPAWAPF-MNOVXSKESA-N -1 1 301.346 1.468 20 0 DDADMM C[C@@H]1COCC[C@@H]1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000683526871 486039124 /nfs/dbraw/zinc/03/91/24/486039124.db2.gz KVYSHVDFAVGENG-KGYLQXTDSA-N -1 1 301.350 1.078 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)CNC1(C(=O)[O-])CCCC1 ZINC000676231984 486155020 /nfs/dbraw/zinc/15/50/20/486155020.db2.gz OQFDPUPUFZLTEQ-GFCCVEGCSA-N -1 1 320.389 1.859 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)Cc2csc(C)n2)n1 ZINC000684099108 486246549 /nfs/dbraw/zinc/24/65/49/486246549.db2.gz PZHVRNVBJDXAKZ-LURJTMIESA-N -1 1 302.381 1.324 20 0 DDADMM Cc1cnc(C(=O)Nc2cccc(-c3nc(=O)o[n-]3)c2)c(O)c1 ZINC000680847882 486281863 /nfs/dbraw/zinc/28/18/63/486281863.db2.gz GYHDWHHRZQEVJR-UHFFFAOYSA-N -1 1 312.285 1.691 20 0 DDADMM O=C(NC[C@@H](O)COc1ccccc1)c1ccc([O-])c(F)c1 ZINC000681055885 486333482 /nfs/dbraw/zinc/33/34/82/486333482.db2.gz HEKJTZPWXQGPRY-GFCCVEGCSA-N -1 1 305.305 1.701 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC[C@@H](Cn2ccnn2)C1 ZINC000681058278 486334359 /nfs/dbraw/zinc/33/43/59/486334359.db2.gz KCVLHYYFJVAVMR-LLVKDONJSA-N -1 1 304.325 1.675 20 0 DDADMM CC(=O)N1CCc2c1cccc2NC(=O)C1=C([O-])C(C)N=N1 ZINC000681122201 486354936 /nfs/dbraw/zinc/35/49/36/486354936.db2.gz ALMZCXNRLRSDKP-UHFFFAOYSA-N -1 1 300.318 1.585 20 0 DDADMM COc1cccc2c1OC[C@H](NC(=O)c1ncc(C)cc1[O-])C2 ZINC000681728612 486503367 /nfs/dbraw/zinc/50/33/67/486503367.db2.gz ZJNKGNOFFOMOIM-GFCCVEGCSA-N -1 1 314.341 1.838 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)[C@@H](C)CN1C ZINC000332488411 533874612 /nfs/dbraw/zinc/87/46/12/533874612.db2.gz YPYGYXMTBFBINI-QWRGUYRKSA-N -1 1 316.361 1.195 20 0 DDADMM C[C@@H](Oc1cccc2ccccc21)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000444382256 533953469 /nfs/dbraw/zinc/95/34/69/533953469.db2.gz NKDXSWQJZUVQFW-LLVKDONJSA-N -1 1 323.356 1.926 20 0 DDADMM Cc1ccc(-c2cc([N-]S(=O)(=O)c3cn(C)cn3)[nH]n2)o1 ZINC000446641508 534184196 /nfs/dbraw/zinc/18/41/96/534184196.db2.gz GEJUXIRCYJQGAW-UHFFFAOYSA-N -1 1 307.335 1.512 20 0 DDADMM COC(=O)[C@@H](CC(F)F)[N-]S(=O)(=O)CCC1CCCC1 ZINC000451350908 534245943 /nfs/dbraw/zinc/24/59/43/534245943.db2.gz DUZKFLCZXGEXQS-SNVBAGLBSA-N -1 1 313.366 1.683 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(NC(=O)c2cncc([O-])c2)CC1 ZINC000491321479 526395071 /nfs/dbraw/zinc/39/50/71/526395071.db2.gz XJQZKHFQYQKYEJ-UHFFFAOYSA-N -1 1 321.377 1.917 20 0 DDADMM CC(=O)N(C)CC(=O)N=c1cc(-c2cc(C)ccc2F)[n-][nH]1 ZINC000444809392 526464475 /nfs/dbraw/zinc/46/44/75/526464475.db2.gz BLORZXWHLMNBOJ-UHFFFAOYSA-N -1 1 304.325 1.363 20 0 DDADMM CC(=O)c1ncc(C(=O)NC2(c3nn[n-]n3)CCCC2)s1 ZINC000413472620 527432709 /nfs/dbraw/zinc/43/27/09/527432709.db2.gz SVVOXNWEYPWLGZ-UHFFFAOYSA-N -1 1 306.351 1.058 20 0 DDADMM CCCN(C)C(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000299339758 527804626 /nfs/dbraw/zinc/80/46/26/527804626.db2.gz DOZJNWMNZVUOHF-UHFFFAOYSA-N -1 1 300.362 1.964 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cccc(F)c2F)[C@@H]1OC ZINC000332497800 527841381 /nfs/dbraw/zinc/84/13/81/527841381.db2.gz YPQTYADMZJFWBE-BREBYQMCSA-N -1 1 321.345 1.436 20 0 DDADMM CCCN(CC(F)F)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000299497260 527937038 /nfs/dbraw/zinc/93/70/38/527937038.db2.gz PEDKFYQCKFSGGB-UHFFFAOYSA-N -1 1 310.322 1.305 20 0 DDADMM CCNC(=O)C(C)(C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000416520734 528037221 /nfs/dbraw/zinc/03/72/21/528037221.db2.gz QKYRKKNWEIXFNO-UHFFFAOYSA-N -1 1 306.334 1.158 20 0 DDADMM CC(C)O[C@H]1C[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000457865153 528085330 /nfs/dbraw/zinc/08/53/30/528085330.db2.gz AXPLQKPIRPEWIX-AOOOYVTPSA-N -1 1 304.350 1.360 20 0 DDADMM CCC[C@H](O)[C@H](CO)NC(=O)c1ccc2ccccc2c1[O-] ZINC000412515221 528324093 /nfs/dbraw/zinc/32/40/93/528324093.db2.gz JUGXEQLMLJCXMU-GJZGRUSLSA-N -1 1 303.358 1.797 20 0 DDADMM CCC[C@@H](O)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330810870 529036654 /nfs/dbraw/zinc/03/66/54/529036654.db2.gz JXZYNCHBQPOYMI-SSDOTTSWSA-N -1 1 312.185 1.481 20 0 DDADMM CC[C@](C)(C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1)OC ZINC000451797600 529363111 /nfs/dbraw/zinc/36/31/11/529363111.db2.gz QHHFIOMCYFEHBN-GFCCVEGCSA-N -1 1 305.352 1.160 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCC[C@@H]2C)o1 ZINC000337208284 535698515 /nfs/dbraw/zinc/69/85/15/535698515.db2.gz QGJGRSLUOQCAKU-UWVGGRQHSA-N -1 1 301.364 1.923 20 0 DDADMM CNc1ccc(Nc2c3ccccc3nnc2-c2nnn[n-]2)cn1 ZINC000736886797 598960207 /nfs/dbraw/zinc/96/02/07/598960207.db2.gz LJBPHIMVOCBMTO-UHFFFAOYSA-N -1 1 319.332 1.990 20 0 DDADMM CNc1ccc(Nc2c3ccccc3nnc2-c2nn[n-]n2)cn1 ZINC000736886797 598960209 /nfs/dbraw/zinc/96/02/09/598960209.db2.gz LJBPHIMVOCBMTO-UHFFFAOYSA-N -1 1 319.332 1.990 20 0 DDADMM O=C(Nc1ccc(Cl)cn1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738163278 598983759 /nfs/dbraw/zinc/98/37/59/598983759.db2.gz KJSQNBCXNZFZMP-UHFFFAOYSA-N -1 1 301.697 1.562 20 0 DDADMM O=C(Nc1ccc(Cl)cn1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738163278 598983760 /nfs/dbraw/zinc/98/37/60/598983760.db2.gz KJSQNBCXNZFZMP-UHFFFAOYSA-N -1 1 301.697 1.562 20 0 DDADMM CO[C@H](CNC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccccc1 ZINC000736963889 599020922 /nfs/dbraw/zinc/02/09/22/599020922.db2.gz VCBADFDFQKDQQD-CQSZACIVSA-N -1 1 324.344 1.379 20 0 DDADMM CO[C@H](CNC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccccc1 ZINC000736963889 599020924 /nfs/dbraw/zinc/02/09/24/599020924.db2.gz VCBADFDFQKDQQD-CQSZACIVSA-N -1 1 324.344 1.379 20 0 DDADMM O=C(OCCc1cnccn1)c1sccc1-c1nn[n-]n1 ZINC000738222172 599027468 /nfs/dbraw/zinc/02/74/68/599027468.db2.gz KZQVVQOGCGTTAU-UHFFFAOYSA-N -1 1 302.319 1.118 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCCC[C@H]1c1ncc[nH]1 ZINC000738259538 599027506 /nfs/dbraw/zinc/02/75/06/599027506.db2.gz RBEBDGZMRQARAH-LBPRGKRZSA-N -1 1 324.348 1.352 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCCC[C@H]1c1ncc[nH]1 ZINC000738259538 599027508 /nfs/dbraw/zinc/02/75/08/599027508.db2.gz RBEBDGZMRQARAH-LBPRGKRZSA-N -1 1 324.348 1.352 20 0 DDADMM CC(C)(C)C(=O)NCCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000819899090 599082787 /nfs/dbraw/zinc/08/27/87/599082787.db2.gz NCOUDKFAFUGDEL-UHFFFAOYSA-N -1 1 323.788 1.489 20 0 DDADMM CC(C)(C)C(=O)NCCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000819899090 599082792 /nfs/dbraw/zinc/08/27/92/599082792.db2.gz NCOUDKFAFUGDEL-UHFFFAOYSA-N -1 1 323.788 1.489 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C[C@H](C)S1 ZINC000820744575 599187953 /nfs/dbraw/zinc/18/79/53/599187953.db2.gz YTUOKVQEWUEICI-DTORHVGOSA-N -1 1 304.379 1.228 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C[C@H](C)S1 ZINC000820744575 599187954 /nfs/dbraw/zinc/18/79/54/599187954.db2.gz YTUOKVQEWUEICI-DTORHVGOSA-N -1 1 304.379 1.228 20 0 DDADMM O=C(NCC[C@@H](O)c1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823182501 599198427 /nfs/dbraw/zinc/19/84/27/599198427.db2.gz LGWKVTKALJTBBJ-CQSZACIVSA-N -1 1 324.344 1.115 20 0 DDADMM O=C(NCC[C@@H](O)c1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823182501 599198428 /nfs/dbraw/zinc/19/84/28/599198428.db2.gz LGWKVTKALJTBBJ-CQSZACIVSA-N -1 1 324.344 1.115 20 0 DDADMM O=C([O-])C[C@H]1CCCN(Cc2nc(-c3ccccn3)no2)C1 ZINC000314968084 597085608 /nfs/dbraw/zinc/08/56/08/597085608.db2.gz YMKUJVXAYRRYHI-LLVKDONJSA-N -1 1 302.334 1.818 20 0 DDADMM CC1(C)CN(C(=O)NCCC(=O)[O-])CCN1Cc1ccccc1 ZINC000263359314 597296128 /nfs/dbraw/zinc/29/61/28/597296128.db2.gz SVEYHDNRFSIXNB-UHFFFAOYSA-N -1 1 319.405 1.767 20 0 DDADMM CN1C(=O)CCc2cc(NCc3ccc(-c4nnn[n-]4)o3)ccc21 ZINC000821620667 607315736 /nfs/dbraw/zinc/31/57/36/607315736.db2.gz RNONKDQVYCHGPZ-UHFFFAOYSA-N -1 1 324.344 1.981 20 0 DDADMM CN1C(=O)CCc2cc(NCc3ccc(-c4nn[n-]n4)o3)ccc21 ZINC000821620667 607315737 /nfs/dbraw/zinc/31/57/37/607315737.db2.gz RNONKDQVYCHGPZ-UHFFFAOYSA-N -1 1 324.344 1.981 20 0 DDADMM c1cn(-c2ccc(CNc3nccnc3-c3nnn[n-]3)cc2)cn1 ZINC000738445590 598349181 /nfs/dbraw/zinc/34/91/81/598349181.db2.gz JDGVQTODZFQYNG-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1cn(-c2ccc(CNc3nccnc3-c3nn[n-]n3)cc2)cn1 ZINC000738445590 598349182 /nfs/dbraw/zinc/34/91/82/598349182.db2.gz JDGVQTODZFQYNG-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1nc(-c2nnn[n-]2)cnc1Sc1nnc(NC2CC2)s1 ZINC000738452287 598385933 /nfs/dbraw/zinc/38/59/33/598385933.db2.gz NXNLNQRVCXWXHR-UHFFFAOYSA-N -1 1 319.379 1.234 20 0 DDADMM c1nc(-c2nn[n-]n2)cnc1Sc1nnc(NC2CC2)s1 ZINC000738452287 598385934 /nfs/dbraw/zinc/38/59/34/598385934.db2.gz NXNLNQRVCXWXHR-UHFFFAOYSA-N -1 1 319.379 1.234 20 0 DDADMM O[C@@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)C(F)(F)F ZINC000738380511 598578475 /nfs/dbraw/zinc/57/84/75/598578475.db2.gz BXWRTJVEIHOYML-YFKPBYRVSA-N -1 1 308.651 1.250 20 0 DDADMM O[C@@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)C(F)(F)F ZINC000738380511 598578474 /nfs/dbraw/zinc/57/84/74/598578474.db2.gz BXWRTJVEIHOYML-YFKPBYRVSA-N -1 1 308.651 1.250 20 0 DDADMM CN(CCn1cccn1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000736815646 598678360 /nfs/dbraw/zinc/67/83/60/598678360.db2.gz VSCHDAVPRWLLRG-UHFFFAOYSA-N -1 1 310.774 1.310 20 0 DDADMM CN(CCn1cccn1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000736815646 598678363 /nfs/dbraw/zinc/67/83/63/598678363.db2.gz VSCHDAVPRWLLRG-UHFFFAOYSA-N -1 1 310.774 1.310 20 0 DDADMM CCc1nn(C)c(CC)c1CNc1cccc(-c2nn[n-]n2)n1 ZINC000825759442 607850059 /nfs/dbraw/zinc/85/00/59/607850059.db2.gz HEYHSUBOHXPFJQ-UHFFFAOYSA-N -1 1 312.381 1.732 20 0 DDADMM CC[C@@H](C)[C@@H](O)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000736335800 598774595 /nfs/dbraw/zinc/77/45/95/598774595.db2.gz ZWVQHFYXHZWXEA-RITPCOANSA-N -1 1 302.791 1.796 20 0 DDADMM CC[C@@H](C)[C@@H](O)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000736335800 598774596 /nfs/dbraw/zinc/77/45/96/598774596.db2.gz ZWVQHFYXHZWXEA-RITPCOANSA-N -1 1 302.791 1.796 20 0 DDADMM CC[C@H](CC(=O)n1ncc(-c2nn[n-]n2)c1N)c1ccccc1 ZINC000736335465 598777406 /nfs/dbraw/zinc/77/74/06/598777406.db2.gz SKNDUGRQVNCABC-SNVBAGLBSA-N -1 1 311.349 1.870 20 0 DDADMM C[C@H]1SCCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)[C@H]1C ZINC000736305055 599301565 /nfs/dbraw/zinc/30/15/65/599301565.db2.gz WUSUEUIIFTWRFD-DTWKUNHWSA-N -1 1 304.379 1.228 20 0 DDADMM C[C@H]1SCCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)[C@H]1C ZINC000736305055 599301564 /nfs/dbraw/zinc/30/15/64/599301564.db2.gz WUSUEUIIFTWRFD-DTWKUNHWSA-N -1 1 304.379 1.228 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@@H](Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC000736744837 599439424 /nfs/dbraw/zinc/43/94/24/599439424.db2.gz CSHOBGQBTSLCOS-GHMZBOCLSA-N -1 1 315.381 1.321 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@@H](Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC000736744837 599439426 /nfs/dbraw/zinc/43/94/26/599439426.db2.gz CSHOBGQBTSLCOS-GHMZBOCLSA-N -1 1 315.381 1.321 20 0 DDADMM CC1(C)[C@@H]2OCC[C@@H]2[C@@H]1OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736204340 599618930 /nfs/dbraw/zinc/61/89/30/599618930.db2.gz DYJZQWQMZKWEHW-WCQGTBRESA-N -1 1 315.333 1.232 20 0 DDADMM CC1(C)[C@@H]2OCC[C@@H]2[C@@H]1OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736204340 599618933 /nfs/dbraw/zinc/61/89/33/599618933.db2.gz DYJZQWQMZKWEHW-WCQGTBRESA-N -1 1 315.333 1.232 20 0 DDADMM CN(CCCC(=O)NC[C@@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000737422326 599724810 /nfs/dbraw/zinc/72/48/10/599724810.db2.gz KOIQPEDQNNREEY-ZDUSSCGKSA-N -1 1 323.393 1.923 20 0 DDADMM CC1(C)CN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CCN1Cc1ccccc1 ZINC000382602093 599776260 /nfs/dbraw/zinc/77/62/60/599776260.db2.gz SPSRBRNGHPLLNL-GJZGRUSLSA-N -1 1 316.401 1.830 20 0 DDADMM CC(C)(NCC(=O)NCc1ccc(OC(F)F)cc1)C(=O)[O-] ZINC000736339402 599925746 /nfs/dbraw/zinc/92/57/46/599925746.db2.gz IWVMFKQYYPREOK-UHFFFAOYSA-N -1 1 316.304 1.357 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCCC[C@H]1[C@H](O)c1ccccc1 ZINC000739733813 599994161 /nfs/dbraw/zinc/99/41/61/599994161.db2.gz FCKYPYCPRMNGMS-WMLDXEAASA-N -1 1 320.389 1.165 20 0 DDADMM Cn1ccc(S(=O)(=O)Nc2cc(C(=O)[O-])c(F)cc2F)n1 ZINC000739037825 600094809 /nfs/dbraw/zinc/09/48/09/600094809.db2.gz GHIHKYZWGIAABD-UHFFFAOYSA-N -1 1 317.273 1.197 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H](O)CN1CCOc1ccc(C(F)(F)F)cc1 ZINC000740057159 600142479 /nfs/dbraw/zinc/14/24/79/600142479.db2.gz RKSBWVTUAXZWTE-PWSUYJOCSA-N -1 1 319.279 1.604 20 0 DDADMM CCC[C@](C)(NCC(=O)NCCc1ccccc1C)C(=O)[O-] ZINC000736961582 600155002 /nfs/dbraw/zinc/15/50/02/600155002.db2.gz CAXKQXADZAGTQL-KRWDZBQOSA-N -1 1 306.406 1.887 20 0 DDADMM O=C([O-])c1sccc1NC(=O)CN1CCN(C2CCC2)CC1 ZINC000739290694 600224996 /nfs/dbraw/zinc/22/49/96/600224996.db2.gz XFHCQQPWFDHDNH-UHFFFAOYSA-N -1 1 323.418 1.555 20 0 DDADMM CCN(CC(=O)Nc1ccsc1C(=O)[O-])CC(C)(C)O ZINC000737069898 600396808 /nfs/dbraw/zinc/39/68/08/600396808.db2.gz YYZVLFPZXBYHFY-UHFFFAOYSA-N -1 1 300.380 1.478 20 0 DDADMM Cc1nc([C@@H]2CCCN2Cc2ccc(-c3nn[n-]n3)s2)no1 ZINC000822437152 607442944 /nfs/dbraw/zinc/44/29/44/607442944.db2.gz WZUSVFUZOUWMLO-JTQLQIEISA-N -1 1 317.378 1.957 20 0 DDADMM CN1CCC[C@@H]1C(=O)NCc1ccc(OCCCC(=O)[O-])cc1 ZINC000737524143 600499675 /nfs/dbraw/zinc/49/96/75/600499675.db2.gz WWSMFYTVUKMGKP-OAHLLOKOSA-N -1 1 320.389 1.641 20 0 DDADMM CCN(CCC(=O)[O-])CC(=O)Nc1nc2c(s1)CCCC2 ZINC000737097682 600540399 /nfs/dbraw/zinc/54/03/99/600540399.db2.gz XRPMIHMYXRNRMQ-UHFFFAOYSA-N -1 1 311.407 1.757 20 0 DDADMM CC[C@](C)(NCC(=O)Nc1cc(C(=O)OC)ccc1C)C(=O)[O-] ZINC000736863248 600562727 /nfs/dbraw/zinc/56/27/27/600562727.db2.gz KJZUDEZPSPEEPG-INIZCTEOSA-N -1 1 322.361 1.563 20 0 DDADMM CC(C)N(CC(=O)[O-])Cc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000737636101 600990955 /nfs/dbraw/zinc/99/09/55/600990955.db2.gz JKEPHIXDIWLOFC-UHFFFAOYSA-N -1 1 321.345 1.978 20 0 DDADMM CC(C)(O)CN1CCN([C@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000826636847 600997693 /nfs/dbraw/zinc/99/76/93/600997693.db2.gz PTEJBTHKLAWGIH-AWEZNQCLSA-N -1 1 310.369 1.340 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000826742256 601011613 /nfs/dbraw/zinc/01/16/13/601011613.db2.gz XRNLAJBKNOCXPN-OAHLLOKOSA-N -1 1 319.405 1.595 20 0 DDADMM C[C@@](NCC(=O)NCCc1ccc(F)cc1)(C(=O)[O-])C1CC1 ZINC000827727182 601033616 /nfs/dbraw/zinc/03/36/16/601033616.db2.gz GMFVTKLCARSIMY-INIZCTEOSA-N -1 1 308.353 1.327 20 0 DDADMM CC[C@@H](CO)N1CCN([C@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000828890544 601035283 /nfs/dbraw/zinc/03/52/83/601035283.db2.gz IORZSCWEBCURRO-WFASDCNBSA-N -1 1 310.369 1.340 20 0 DDADMM CC(C)N(CCC(=O)[O-])CC(=O)Nc1nnc(-c2ccco2)o1 ZINC000826947652 601055175 /nfs/dbraw/zinc/05/51/75/601055175.db2.gz YNXLGQOJIUOMQJ-UHFFFAOYSA-N -1 1 322.321 1.453 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)COC2CCCCC2)CC1 ZINC000827362428 601103784 /nfs/dbraw/zinc/10/37/84/601103784.db2.gz ODHDXMPGOSQOIV-CYBMUJFWSA-N -1 1 312.410 1.343 20 0 DDADMM CCCc1nnc([C@@H]2CN(Cc3ccoc3C(=O)[O-])CCO2)[nH]1 ZINC000737049867 601105845 /nfs/dbraw/zinc/10/58/45/601105845.db2.gz GKUNFINBQVULLN-NSHDSACASA-N -1 1 320.349 1.622 20 0 DDADMM CCCc1n[nH]c([C@@H]2CN(Cc3ccoc3C(=O)[O-])CCO2)n1 ZINC000737049867 601105848 /nfs/dbraw/zinc/10/58/48/601105848.db2.gz GKUNFINBQVULLN-NSHDSACASA-N -1 1 320.349 1.622 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NC(=O)c1cc(F)cc2nc[nH]c21 ZINC000237197557 601293880 /nfs/dbraw/zinc/29/38/80/601293880.db2.gz KBZMNZTYSNCLGN-CQSZACIVSA-N -1 1 309.297 1.312 20 0 DDADMM OC[C@H]1CN(Cc2ccnc(-c3nnn[n-]3)c2)c2ccccc2O1 ZINC000826508969 607515850 /nfs/dbraw/zinc/51/58/50/607515850.db2.gz HVBSEMCBDQNWBE-GFCCVEGCSA-N -1 1 324.344 1.022 20 0 DDADMM OC[C@H]1CN(Cc2ccnc(-c3nn[n-]n3)c2)c2ccccc2O1 ZINC000826508969 607515852 /nfs/dbraw/zinc/51/58/52/607515852.db2.gz HVBSEMCBDQNWBE-GFCCVEGCSA-N -1 1 324.344 1.022 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3C[C@@H](C)[C@H](C(=O)[O-])C3)[nH]c2c1 ZINC000832958853 601666449 /nfs/dbraw/zinc/66/64/49/601666449.db2.gz NINSLWLQADRSGI-GHMZBOCLSA-N -1 1 316.361 1.733 20 0 DDADMM Cc1[nH]ncc1CNC(=O)c1cc(C)c(OCC(=O)[O-])c(C)c1 ZINC000832862771 601678995 /nfs/dbraw/zinc/67/89/95/601678995.db2.gz ABVHIEZOPIPADI-UHFFFAOYSA-N -1 1 317.345 1.728 20 0 DDADMM CCc1cc(C(=O)N(C2CC2)C2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000739536352 601853820 /nfs/dbraw/zinc/85/38/20/601853820.db2.gz QPVJBTNGAJDQBG-UHFFFAOYSA-N -1 1 306.366 1.719 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CN2CC[C@](C)(C(=O)[O-])C2)c1 ZINC000825913584 601913762 /nfs/dbraw/zinc/91/37/62/601913762.db2.gz VBCPGWHGADNJLP-INIZCTEOSA-N -1 1 319.361 1.380 20 0 DDADMM CN(CC1CC1)C(=O)CN1CCCC[C@@H]1[C@H]1CCCN1C(=O)[O-] ZINC000739634443 601923713 /nfs/dbraw/zinc/92/37/13/601923713.db2.gz GZXIBVRBWVFJLB-HUUCEWRRSA-N -1 1 323.437 1.852 20 0 DDADMM CC(C)N(C(=O)[C@H](C)N1CCSC[C@@H]1CC(=O)[O-])C(C)C ZINC000825966555 601923917 /nfs/dbraw/zinc/92/39/17/601923917.db2.gz GWPNSOKGLGNXAQ-STQMWFEESA-N -1 1 316.467 1.912 20 0 DDADMM Cc1noc([C@H](C)SCc2ccnc(-c3nnn[n-]3)c2)n1 ZINC000826337839 607534488 /nfs/dbraw/zinc/53/44/88/607534488.db2.gz BZOSRZQHOLWVGP-ZETCQYMHSA-N -1 1 303.351 1.948 20 0 DDADMM Cc1noc([C@H](C)SCc2ccnc(-c3nn[n-]n3)c2)n1 ZINC000826337839 607534490 /nfs/dbraw/zinc/53/44/90/607534490.db2.gz BZOSRZQHOLWVGP-ZETCQYMHSA-N -1 1 303.351 1.948 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(F)cc1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738630962 601949134 /nfs/dbraw/zinc/94/91/34/601949134.db2.gz UNEHSZXDFBZGEY-AAEUAGOBSA-N -1 1 323.368 1.420 20 0 DDADMM C[C@H](C(=O)N1C[C@H](C)C[C@H](C)C1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000739078667 601960654 /nfs/dbraw/zinc/96/06/54/601960654.db2.gz XJLQZYFSMDPWAJ-XJFOESAGSA-N -1 1 311.426 1.469 20 0 DDADMM COCCOc1ncccc1CNC[C@@H]1CCCN1C(=O)[O-] ZINC000739838337 602049816 /nfs/dbraw/zinc/04/98/16/602049816.db2.gz QELWHUNNUJRQDU-ZDUSSCGKSA-N -1 1 309.366 1.339 20 0 DDADMM O=C([O-])c1nscc1NS(=O)(=O)c1cncc(F)c1 ZINC000833346236 602155762 /nfs/dbraw/zinc/15/57/62/602155762.db2.gz HDVBKRUYTXNHDT-UHFFFAOYSA-N -1 1 303.296 1.176 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000739316534 602340918 /nfs/dbraw/zinc/34/09/18/602340918.db2.gz SSVYNSULJANZBY-CHWSQXEVSA-N -1 1 323.393 1.969 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000736368949 602377518 /nfs/dbraw/zinc/37/75/18/602377518.db2.gz HCGIFJMDDXTMSQ-HNNXBMFYSA-N -1 1 319.405 1.453 20 0 DDADMM CC1CCN(CC(=O)NC[C@H](C(=O)[O-])c2ccccc2)CC1 ZINC000828286686 602385694 /nfs/dbraw/zinc/38/56/94/602385694.db2.gz YMLMUOCGWNKHFX-HNNXBMFYSA-N -1 1 304.390 1.703 20 0 DDADMM Cc1ccc(N2C(=O)C[C@H](N3CC[C@](C)(C(=O)[O-])C3)C2=O)cc1 ZINC000832934619 602390012 /nfs/dbraw/zinc/39/00/12/602390012.db2.gz GRVFKDSZPNCRGH-GUYCJALGSA-N -1 1 316.357 1.424 20 0 DDADMM C[C@H]1CN(C(=O)[O-])CCN1C(=O)c1c[nH]c2ccccc2c1=O ZINC000739156117 602424882 /nfs/dbraw/zinc/42/48/82/602424882.db2.gz WLLROPNDBSEUIT-JTQLQIEISA-N -1 1 315.329 1.352 20 0 DDADMM CCC[C@@H](C)NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825232265 607573522 /nfs/dbraw/zinc/57/35/22/607573522.db2.gz FSHYQNBGQPHGPW-MRVPVSSYSA-N -1 1 313.358 1.473 20 0 DDADMM CCC[C@@H](C)NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825232265 607573524 /nfs/dbraw/zinc/57/35/24/607573524.db2.gz FSHYQNBGQPHGPW-MRVPVSSYSA-N -1 1 313.358 1.473 20 0 DDADMM C[C@@H](CNC(=O)[O-])N(C)C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000738932111 602526129 /nfs/dbraw/zinc/52/61/29/602526129.db2.gz IPXPZHFQCXVDCC-QMMMGPOBSA-N -1 1 308.363 1.866 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000739384973 602535903 /nfs/dbraw/zinc/53/59/03/602535903.db2.gz NUAAAQPYJVOCQT-ZIAGYGMSSA-N -1 1 305.378 1.548 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000739384969 602536002 /nfs/dbraw/zinc/53/60/02/602536002.db2.gz NUAAAQPYJVOCQT-KGLIPLIRSA-N -1 1 305.378 1.548 20 0 DDADMM C[C@H](N[C@@H]1CCN(C(=O)[O-])C1)C(=O)N1c2ccccc2C[C@H]1C ZINC000739034429 602569554 /nfs/dbraw/zinc/56/95/54/602569554.db2.gz YABVPHAVDILMGL-MBNYWOFBSA-N -1 1 317.389 1.695 20 0 DDADMM O=C([O-])N1CC[C@H](N[C@@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC000740505048 602570929 /nfs/dbraw/zinc/57/09/29/602570929.db2.gz JGSSIBOEZZTPEA-CMPLNLGQSA-N -1 1 323.780 1.787 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])CCN1C(=O)c1nnc2ccccc2c1O ZINC000739162480 602593175 /nfs/dbraw/zinc/59/31/75/602593175.db2.gz VTFVQUKDFDGJEW-SECBINFHSA-N -1 1 316.317 1.160 20 0 DDADMM CCc1cc(CNC(=O)[C@@H]2C[C@@H]3CCCC[C@H]3N2C(=O)[O-])n[nH]1 ZINC000739536962 602717361 /nfs/dbraw/zinc/71/73/61/602717361.db2.gz XGEIDLLNPVRIGQ-GDLCADMTSA-N -1 1 320.393 1.899 20 0 DDADMM CN(C[C@@H]1CCN([C@@H]2CCN(c3ccccc3)C2=O)C1)C(=O)[O-] ZINC000739661098 602735455 /nfs/dbraw/zinc/73/54/55/602735455.db2.gz SIPLWHOZKFNCDG-DZGCQCFKSA-N -1 1 317.389 1.724 20 0 DDADMM Cc1cc(C)cc(OC[C@H](O)CN2CCCN(C(=O)[O-])CC2)c1 ZINC000740066944 602739634 /nfs/dbraw/zinc/73/96/34/602739634.db2.gz FUJRLJQLVZBYAJ-OAHLLOKOSA-N -1 1 322.405 1.729 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc3c[nH]nc32)C[C@H](C)N1C(=O)[O-] ZINC000739164543 602802461 /nfs/dbraw/zinc/80/24/61/602802461.db2.gz FBWUTPZIWJJTPG-UWVGGRQHSA-N -1 1 302.334 1.776 20 0 DDADMM CN(C(=O)CN1CCCC[C@H]1[C@@H]1CCCN1C(=O)[O-])C1CC1 ZINC000739573362 602818412 /nfs/dbraw/zinc/81/84/12/602818412.db2.gz WCIHNBLFLILMCB-KBPBESRZSA-N -1 1 309.410 1.604 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)N(C)C[C@H]1CCCN(C(=O)[O-])C1 ZINC000739518561 602840925 /nfs/dbraw/zinc/84/09/25/602840925.db2.gz ZXWBDONDBFIFIC-VXGBXAGGSA-N -1 1 306.428 1.131 20 0 DDADMM CC(C)[N@@H+]1CC[C@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000738852780 602854551 /nfs/dbraw/zinc/85/45/51/602854551.db2.gz OXFNBJFINHMCDQ-LLVKDONJSA-N -1 1 321.377 1.942 20 0 DDADMM CC(C)[N@H+]1CC[C@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000738852780 602854552 /nfs/dbraw/zinc/85/45/52/602854552.db2.gz OXFNBJFINHMCDQ-LLVKDONJSA-N -1 1 321.377 1.942 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N2CC[N@@H+]3CCC[C@H]3C2)c([O-])c1 ZINC000740697651 602855336 /nfs/dbraw/zinc/85/53/36/602855336.db2.gz GSLYUWISWDVUSN-NSHDSACASA-N -1 1 305.334 1.402 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N2CC[N@H+]3CCC[C@H]3C2)c([O-])c1 ZINC000740697651 602855338 /nfs/dbraw/zinc/85/53/38/602855338.db2.gz GSLYUWISWDVUSN-NSHDSACASA-N -1 1 305.334 1.402 20 0 DDADMM C[C@H]1CN(C(=O)c2c[nH]c3ccccc3c2=O)CCN1C(=O)[O-] ZINC000739167945 602941282 /nfs/dbraw/zinc/94/12/82/602941282.db2.gz SGCBAZVBQOPUPY-JTQLQIEISA-N -1 1 315.329 1.352 20 0 DDADMM O=C([O-])N1CCC(CNC(=O)N2CCN(C3CCC3)CC2)CC1 ZINC000740502068 602963801 /nfs/dbraw/zinc/96/38/01/602963801.db2.gz CWPPTRFBJYDFRN-UHFFFAOYSA-N -1 1 324.425 1.256 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)NC[C@@H]1CCCN1C(=O)[O-] ZINC000739194647 602995972 /nfs/dbraw/zinc/99/59/72/602995972.db2.gz BINWFTDPAIXEIN-HZSPNIEDSA-N -1 1 324.425 1.397 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)c1ccc2[nH]nnc2c1)C(=O)[O-] ZINC000828218754 603168230 /nfs/dbraw/zinc/16/82/30/603168230.db2.gz VFWZFUDRAODHSI-JTQLQIEISA-N -1 1 303.322 1.172 20 0 DDADMM COc1ccccc1NC(=O)[C@@H](C)N(C)CCCNC(=O)[O-] ZINC000739976827 603250001 /nfs/dbraw/zinc/25/00/01/603250001.db2.gz FDBKSKNMTBVRSN-LLVKDONJSA-N -1 1 309.366 1.612 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1F)N(C)CCCNC(=O)[O-] ZINC000738627879 603253309 /nfs/dbraw/zinc/25/33/09/603253309.db2.gz HHAGYYGWOXSXDN-NSHDSACASA-N -1 1 311.357 1.420 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@H]1CCN(C(=O)[O-])C1)c1ccsc1 ZINC000739604630 603274217 /nfs/dbraw/zinc/27/42/17/603274217.db2.gz ZHHRBEAYHYPZTH-JQWIXIFHSA-N -1 1 311.407 1.467 20 0 DDADMM O=C([O-])N1CCC[C@@H](NC(=O)N2CCN3CCCC[C@@H]3C2)C1 ZINC000739928350 603305897 /nfs/dbraw/zinc/30/58/97/603305897.db2.gz SYYPNIMPFWJSKR-CHWSQXEVSA-N -1 1 310.398 1.009 20 0 DDADMM CCc1cc(CNC(=O)N(C)CC(C)(C)CNC(=O)[O-])[nH]n1 ZINC000827556198 603531520 /nfs/dbraw/zinc/53/15/20/603531520.db2.gz FQMADSVBWBBQSC-UHFFFAOYSA-N -1 1 311.386 1.407 20 0 DDADMM CC(C)N1CC[C@@H](N2CCC([C@@H]3CCCN3C(=O)[O-])CC2)C1=O ZINC000824356871 603537640 /nfs/dbraw/zinc/53/76/40/603537640.db2.gz KFTHTSLMUHJIIA-LSDHHAIUSA-N -1 1 323.437 1.850 20 0 DDADMM C[C@@H]1C[C@H]1N1C[C@H](NCc2ccc(NC(=O)[O-])nc2)CC1=O ZINC000825861681 603559280 /nfs/dbraw/zinc/55/92/80/603559280.db2.gz WUQNZPKDGPEBSF-YUSALJHKSA-N -1 1 304.350 1.270 20 0 DDADMM O=C([O-])N1CSC[C@H]1C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000831285015 603560771 /nfs/dbraw/zinc/56/07/71/603560771.db2.gz YKEQHKRLJPUAMV-NSHDSACASA-N -1 1 310.379 1.169 20 0 DDADMM CC[C@@]1(C)COCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825164759 608167379 /nfs/dbraw/zinc/16/73/79/608167379.db2.gz IHQGMAIZVFSADW-ZDUSSCGKSA-N -1 1 307.379 1.569 20 0 DDADMM CN(CC(C)(C)CNC(=O)[O-])C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000828128590 603952977 /nfs/dbraw/zinc/95/29/77/603952977.db2.gz HBZDLVOIGSWSMN-SNVBAGLBSA-N -1 1 308.382 1.582 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CN2CCSC2=S)C1 ZINC000825266705 604022342 /nfs/dbraw/zinc/02/23/42/604022342.db2.gz WMJIMQSRTJCTGS-UWVGGRQHSA-N -1 1 303.453 1.646 20 0 DDADMM CC(C)(C)NC(=O)CN1CCC(OCCCNC(=O)[O-])CC1 ZINC000823774344 604104278 /nfs/dbraw/zinc/10/42/78/604104278.db2.gz ZPENQSFJNSFBHS-UHFFFAOYSA-N -1 1 315.414 1.040 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@@H]([C@@H](C)NC(=O)[O-])C2)n[nH]1 ZINC000826949364 604153287 /nfs/dbraw/zinc/15/32/87/604153287.db2.gz MIMIHAVAEGLNLT-GHMZBOCLSA-N -1 1 308.382 1.871 20 0 DDADMM C[C@H](N[C@@H](CNC(=O)[O-])C1CC1)c1cn(-c2ccccc2)nn1 ZINC000825473560 604361345 /nfs/dbraw/zinc/36/13/45/604361345.db2.gz RXCGIPMPXFQEOP-FZMZJTMJSA-N -1 1 315.377 1.964 20 0 DDADMM C[C@H]1CCCN(CCNC(=O)OC(C)(C)C)[C@H]1CNC(=O)[O-] ZINC000825926903 604369316 /nfs/dbraw/zinc/36/93/16/604369316.db2.gz ZCBMOISTSDBAKL-RYUDHWBXSA-N -1 1 315.414 1.879 20 0 DDADMM C[C@@H]1CCCN(CCNC(=O)OC(C)(C)C)[C@@H]1CNC(=O)[O-] ZINC000825926904 604370267 /nfs/dbraw/zinc/37/02/67/604370267.db2.gz ZCBMOISTSDBAKL-VXGBXAGGSA-N -1 1 315.414 1.879 20 0 DDADMM CN(C)[C@H](CNC(=O)NC1CN(C(=O)[O-])C1)c1cccs1 ZINC000827996622 604397631 /nfs/dbraw/zinc/39/76/31/604397631.db2.gz ZUCKTAXJDPWOED-SNVBAGLBSA-N -1 1 312.395 1.012 20 0 DDADMM CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000827005862 604431015 /nfs/dbraw/zinc/43/10/15/604431015.db2.gz GLYBOUJMKNSPPQ-ZDUSSCGKSA-N -1 1 321.333 1.620 20 0 DDADMM CN1CCO[C@@H]2CN([C@H](C(=O)[O-])c3cccc(Cl)c3)C[C@@H]21 ZINC000830966281 604446025 /nfs/dbraw/zinc/44/60/25/604446025.db2.gz ZEGFYIQXBUXVPL-MJBXVCDLSA-N -1 1 310.781 1.481 20 0 DDADMM C[C@@H]1CN(CC(=O)N(C)c2ccccc2C(=O)[O-])[C@H](C)CN1C ZINC000833620132 604574239 /nfs/dbraw/zinc/57/42/39/604574239.db2.gz CUHORGYIXKIYIH-CHWSQXEVSA-N -1 1 319.405 1.372 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000833213532 604633864 /nfs/dbraw/zinc/63/38/64/604633864.db2.gz IYQMYLIPWMJHIZ-ZDUSSCGKSA-N -1 1 315.333 1.738 20 0 DDADMM CC(C)(O)[C@H]1CCCN1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000833464223 604653809 /nfs/dbraw/zinc/65/38/09/604653809.db2.gz USCMLEYTCICLKT-CYBMUJFWSA-N -1 1 306.362 1.559 20 0 DDADMM O=C([O-])N1CCN(C(=O)CN[C@@H]2CCc3cc(F)ccc32)CC1 ZINC000832023897 604771801 /nfs/dbraw/zinc/77/18/01/604771801.db2.gz AEUVREDTVCJTDI-CQSZACIVSA-N -1 1 321.352 1.225 20 0 DDADMM CN(C(=O)CN(CCCC(=O)[O-])Cc1ccccc1)C1CC1 ZINC000830478524 604785648 /nfs/dbraw/zinc/78/56/48/604785648.db2.gz SQZXIVMIEMWJDZ-UHFFFAOYSA-N -1 1 304.390 1.974 20 0 DDADMM C[C@H]1CCCC[C@@H]1OCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833611348 604897781 /nfs/dbraw/zinc/89/77/81/604897781.db2.gz IZWBBCFUEYFUSS-DRZSPHRISA-N -1 1 309.366 1.117 20 0 DDADMM COc1ccccc1N1CC[C@@H](N2CCC[C@H]2CC(=O)[O-])C1=O ZINC000833736723 604966473 /nfs/dbraw/zinc/96/64/73/604966473.db2.gz VPCWDNULGPJQCP-GXTWGEPZSA-N -1 1 318.373 1.740 20 0 DDADMM CCc1nc([C@H](C)NS(=O)(=O)c2cccc(C(=O)[O-])c2)n[nH]1 ZINC000833674903 605004856 /nfs/dbraw/zinc/00/48/56/605004856.db2.gz XNCAJLSKAFOIIX-QMMMGPOBSA-N -1 1 324.362 1.105 20 0 DDADMM CCN1C[C@@H](C)[C@@H](NS(=O)(=O)c2ccsc2C(=O)[O-])C1 ZINC000833658007 605041571 /nfs/dbraw/zinc/04/15/71/605041571.db2.gz PMZUSFQNRMCKGY-BDAKNGLRSA-N -1 1 318.420 1.065 20 0 DDADMM C[C@@H](Oc1ccccc1F)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000378724279 605072879 /nfs/dbraw/zinc/07/28/79/605072879.db2.gz SMJHSWHEUOVEAA-SKDRFNHKSA-N -1 1 321.308 1.128 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C/c1ccccc1 ZINC000833621836 605096275 /nfs/dbraw/zinc/09/62/75/605096275.db2.gz OBKWNTATGGXLRB-NPQIQWPPSA-N -1 1 302.374 1.707 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2c(c1)OCO2 ZINC000833626151 605102496 /nfs/dbraw/zinc/10/24/96/605102496.db2.gz OREJCYLUWPJHQJ-LLVKDONJSA-N -1 1 320.345 1.036 20 0 DDADMM O=C(CN(C[C@H]1CCCO1)C(=O)[O-])N[C@@H]1CCCc2cn[nH]c21 ZINC000831473967 605126198 /nfs/dbraw/zinc/12/61/98/605126198.db2.gz IORWHMKEQFFIMW-VXGBXAGGSA-N -1 1 322.365 1.062 20 0 DDADMM CCN1C[C@H](C)N(C(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C[C@H]1C ZINC000833655892 605133665 /nfs/dbraw/zinc/13/36/65/605133665.db2.gz HCSMQXCWUWHSNR-ZJUUUORDSA-N -1 1 307.394 1.884 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2c1OCO2 ZINC000833622518 605158497 /nfs/dbraw/zinc/15/84/97/605158497.db2.gz BPEMMAJJFNEXNU-LLVKDONJSA-N -1 1 320.345 1.036 20 0 DDADMM C[C@H]1CN(C(=O)Cc2ccccc2Cl)CCN1CCC(=O)[O-] ZINC000833621637 605256727 /nfs/dbraw/zinc/25/67/27/605256727.db2.gz KGHHCMAGXGBUKY-LBPRGKRZSA-N -1 1 324.808 1.890 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C\c2cncc(F)c2)CCN1CCC(=O)[O-] ZINC000833618799 605278258 /nfs/dbraw/zinc/27/82/58/605278258.db2.gz QUGFZKRQLPRUQR-ZZKXABKFSA-N -1 1 321.352 1.241 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NC[C@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000830036339 605301717 /nfs/dbraw/zinc/30/17/17/605301717.db2.gz MYDPHUKPBGJTKD-ZYHUDNBSSA-N -1 1 323.397 1.338 20 0 DDADMM C[C@]1(NC(=O)[O-])CCCC[C@H]1C(=O)N[C@H]1CCc2[nH]cnc2C1 ZINC000833824614 605322939 /nfs/dbraw/zinc/32/29/39/605322939.db2.gz GGKFRNGNSAPCJQ-MMPTUQATSA-N -1 1 320.393 1.600 20 0 DDADMM Cc1nc2n(n1)CCC[C@@H]2N[C@H](C)[C@H]1CCCCN1C(=O)[O-] ZINC000833914868 605686844 /nfs/dbraw/zinc/68/68/44/605686844.db2.gz GJPLVBXRQSDCNA-KGYLQXTDSA-N -1 1 307.398 1.932 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CC[C@H](N(C)C(=O)[O-])C1)C2 ZINC000833915285 605856400 /nfs/dbraw/zinc/85/64/00/605856400.db2.gz FZCGSLODIGTASR-MNOVXSKESA-N -1 1 306.366 1.034 20 0 DDADMM Cc1nc(NC(=O)[O-])sc1C(=O)N(C)[C@H]1CCN(C2CC2)C1 ZINC000833913743 605944788 /nfs/dbraw/zinc/94/47/88/605944788.db2.gz KEZNOSFFPLCDFU-JTQLQIEISA-N -1 1 324.406 1.850 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]1NCc1nncn1-c1ccccc1 ZINC000834176240 605981279 /nfs/dbraw/zinc/98/12/79/605981279.db2.gz RKRTWVCHSAJUNP-CHWSQXEVSA-N -1 1 301.350 1.546 20 0 DDADMM O=C([O-])N1CCC(CCC(=O)N2CCN3CCCC[C@@H]3C2)CC1 ZINC000834078601 606024975 /nfs/dbraw/zinc/02/49/75/606024975.db2.gz MOEKWQOATUBLTA-OAHLLOKOSA-N -1 1 323.437 1.853 20 0 DDADMM CC(C)c1nc(COC(=O)c2ccc(-c3nnn[n-]3)s2)no1 ZINC000820202795 606137193 /nfs/dbraw/zinc/13/71/93/606137193.db2.gz LRHSSHJICKKRFJ-UHFFFAOYSA-N -1 1 320.334 1.792 20 0 DDADMM CC(C)c1nc(COC(=O)c2ccc(-c3nn[n-]n3)s2)no1 ZINC000820202795 606137194 /nfs/dbraw/zinc/13/71/94/606137194.db2.gz LRHSSHJICKKRFJ-UHFFFAOYSA-N -1 1 320.334 1.792 20 0 DDADMM Fc1ccc(F)c(CCNc2nccnc2-c2nnn[n-]2)c1 ZINC000822775584 606142580 /nfs/dbraw/zinc/14/25/80/606142580.db2.gz YBDRCLVKSZUKAL-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM Fc1ccc(F)c(CCNc2nccnc2-c2nn[n-]n2)c1 ZINC000822775584 606142581 /nfs/dbraw/zinc/14/25/81/606142581.db2.gz YBDRCLVKSZUKAL-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM CCC1(C)CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000820950698 606160880 /nfs/dbraw/zinc/16/08/80/606160880.db2.gz QWYMDWXRBKIHTF-UHFFFAOYSA-N -1 1 318.385 1.644 20 0 DDADMM CCC1(C)CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000820950698 606160882 /nfs/dbraw/zinc/16/08/82/606160882.db2.gz QWYMDWXRBKIHTF-UHFFFAOYSA-N -1 1 318.385 1.644 20 0 DDADMM CCC1CCC(NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000820972074 606166313 /nfs/dbraw/zinc/16/63/13/606166313.db2.gz KICVBIQZCKOTGG-UHFFFAOYSA-N -1 1 318.385 1.691 20 0 DDADMM CCC1CCC(NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000820972074 606166314 /nfs/dbraw/zinc/16/63/14/606166314.db2.gz KICVBIQZCKOTGG-UHFFFAOYSA-N -1 1 318.385 1.691 20 0 DDADMM CC(=O)c1c[nH]c(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c1 ZINC000819835312 606196499 /nfs/dbraw/zinc/19/64/99/606196499.db2.gz VRQXUDFAICARLR-UHFFFAOYSA-N -1 1 312.289 1.355 20 0 DDADMM CCC[C@@](C)(NC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)OC ZINC000821009221 606503505 /nfs/dbraw/zinc/50/35/05/606503505.db2.gz OWHCLTKFWMJZOQ-CYBMUJFWSA-N -1 1 323.378 1.390 20 0 DDADMM CCC[C@@](C)(NC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)OC ZINC000821009221 606503506 /nfs/dbraw/zinc/50/35/06/606503506.db2.gz OWHCLTKFWMJZOQ-CYBMUJFWSA-N -1 1 323.378 1.390 20 0 DDADMM CN(Cc1ccncc1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821615915 606521661 /nfs/dbraw/zinc/52/16/61/606521661.db2.gz ULZUVKOYQWYVLM-UHFFFAOYSA-N -1 1 300.347 1.595 20 0 DDADMM CN(Cc1ccncc1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821615915 606521663 /nfs/dbraw/zinc/52/16/63/606521663.db2.gz ULZUVKOYQWYVLM-UHFFFAOYSA-N -1 1 300.347 1.595 20 0 DDADMM Cc1ccc(O[C@H](C)C(=O)Nc2n[nH]cc2-c2nnn[n-]2)cc1 ZINC000822259118 606531874 /nfs/dbraw/zinc/53/18/74/606531874.db2.gz NBOZCOLXSSMPAH-SECBINFHSA-N -1 1 313.321 1.304 20 0 DDADMM Cc1ccc(O[C@H](C)C(=O)Nc2n[nH]cc2-c2nn[n-]n2)cc1 ZINC000822259118 606531876 /nfs/dbraw/zinc/53/18/76/606531876.db2.gz NBOZCOLXSSMPAH-SECBINFHSA-N -1 1 313.321 1.304 20 0 DDADMM CC(C)OC(=O)[C@@H](C)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820136782 606575330 /nfs/dbraw/zinc/57/53/30/606575330.db2.gz BTYMGMLMWUDFEA-QMMMGPOBSA-N -1 1 323.378 1.246 20 0 DDADMM CC(C)OC(=O)[C@@H](C)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820136782 606575332 /nfs/dbraw/zinc/57/53/32/606575332.db2.gz BTYMGMLMWUDFEA-QMMMGPOBSA-N -1 1 323.378 1.246 20 0 DDADMM CC(C)[C@@H]1OCC[C@@H]1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820046909 606584012 /nfs/dbraw/zinc/58/40/12/606584012.db2.gz VNABZDVIGAIJBU-SKDRFNHKSA-N -1 1 321.406 1.719 20 0 DDADMM CC(C)[C@@H]1OCC[C@@H]1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820046909 606584014 /nfs/dbraw/zinc/58/40/14/606584014.db2.gz VNABZDVIGAIJBU-SKDRFNHKSA-N -1 1 321.406 1.719 20 0 DDADMM CCc1noc(C)c1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000821553465 606650257 /nfs/dbraw/zinc/65/02/57/606650257.db2.gz JAHKKPOKQAXBKK-UHFFFAOYSA-N -1 1 314.305 1.478 20 0 DDADMM CCc1noc(C)c1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000821553465 606650258 /nfs/dbraw/zinc/65/02/58/606650258.db2.gz JAHKKPOKQAXBKK-UHFFFAOYSA-N -1 1 314.305 1.478 20 0 DDADMM C[C@H](OC1CCCCCC1)C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000820519313 606763778 /nfs/dbraw/zinc/76/37/78/606763778.db2.gz RGNJNQSGURTHNX-VIFPVBQESA-N -1 1 319.369 1.414 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1ccc(F)c(F)c1 ZINC000823379827 607024622 /nfs/dbraw/zinc/02/46/22/607024622.db2.gz KFOBDKAJRXKPFF-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1ccc(F)c(F)c1 ZINC000823379827 607024624 /nfs/dbraw/zinc/02/46/24/607024624.db2.gz KFOBDKAJRXKPFF-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM Cc1nn(C)cc1[C@@H]1CCCN1c1cccc(-c2nnn[n-]2)n1 ZINC000822496149 607048263 /nfs/dbraw/zinc/04/82/63/607048263.db2.gz CJIKGACPBOVNQG-ZDUSSCGKSA-N -1 1 310.365 1.645 20 0 DDADMM Cc1nn(C)cc1[C@@H]1CCCN1c1cccc(-c2nn[n-]n2)n1 ZINC000822496149 607048264 /nfs/dbraw/zinc/04/82/64/607048264.db2.gz CJIKGACPBOVNQG-ZDUSSCGKSA-N -1 1 310.365 1.645 20 0 DDADMM C[C@@]1(c2ccccc2)CN(c2nccnc2-c2nnn[n-]2)CCO1 ZINC000820635750 607115328 /nfs/dbraw/zinc/11/53/28/607115328.db2.gz MWETZHQFLYFKRB-INIZCTEOSA-N -1 1 323.360 1.409 20 0 DDADMM C[C@@]1(c2ccccc2)CN(c2nccnc2-c2nn[n-]n2)CCO1 ZINC000820635750 607115330 /nfs/dbraw/zinc/11/53/30/607115330.db2.gz MWETZHQFLYFKRB-INIZCTEOSA-N -1 1 323.360 1.409 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)[C@H]2CC2(C)C)n1 ZINC000824796949 607800710 /nfs/dbraw/zinc/80/07/10/607800710.db2.gz UZDONEZBVPFZPR-SECBINFHSA-N -1 1 303.370 1.803 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)[C@H]2CC2(C)C)n1 ZINC000824796949 607800711 /nfs/dbraw/zinc/80/07/11/607800711.db2.gz UZDONEZBVPFZPR-SECBINFHSA-N -1 1 303.370 1.803 20 0 DDADMM CCc1nn(C)c(CC)c1CNc1cccc(-c2nnn[n-]2)n1 ZINC000825759442 607850058 /nfs/dbraw/zinc/85/00/58/607850058.db2.gz HEYHSUBOHXPFJQ-UHFFFAOYSA-N -1 1 312.381 1.732 20 0 DDADMM CCn1ncc2c1CCC[C@@H]2Nc1cccc(-c2nnn[n-]2)n1 ZINC000825806835 607901829 /nfs/dbraw/zinc/90/18/29/607901829.db2.gz GYQHLUMGGZXACL-NSHDSACASA-N -1 1 310.365 1.968 20 0 DDADMM CCn1ncc2c1CCC[C@@H]2Nc1cccc(-c2nn[n-]n2)n1 ZINC000825806835 607901830 /nfs/dbraw/zinc/90/18/30/607901830.db2.gz GYQHLUMGGZXACL-NSHDSACASA-N -1 1 310.365 1.968 20 0 DDADMM CC(=O)Nc1ccc(Sc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000824004890 607987505 /nfs/dbraw/zinc/98/75/05/607987505.db2.gz FAHSKGIUQNSSSY-UHFFFAOYSA-N -1 1 313.346 1.766 20 0 DDADMM CC(=O)Nc1ccc(Sc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000824004890 607987506 /nfs/dbraw/zinc/98/75/06/607987506.db2.gz FAHSKGIUQNSSSY-UHFFFAOYSA-N -1 1 313.346 1.766 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1Sc1nnc(NC2CC2)s1 ZINC000826515250 607988720 /nfs/dbraw/zinc/98/87/20/607988720.db2.gz RTKXVVWSICMDQB-UHFFFAOYSA-N -1 1 319.379 1.234 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1Sc1nnc(NC2CC2)s1 ZINC000826515250 607988721 /nfs/dbraw/zinc/98/87/21/607988721.db2.gz RTKXVVWSICMDQB-UHFFFAOYSA-N -1 1 319.379 1.234 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)Cn2ccnc2-c2nnn[n-]2)[C@@H]1C ZINC000824925803 608013957 /nfs/dbraw/zinc/01/39/57/608013957.db2.gz HPNSKKXWGLMMMI-MXWKQRLJSA-N -1 1 303.370 1.004 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)Cn2ccnc2-c2nn[n-]n2)[C@@H]1C ZINC000824925803 608013958 /nfs/dbraw/zinc/01/39/58/608013958.db2.gz HPNSKKXWGLMMMI-MXWKQRLJSA-N -1 1 303.370 1.004 20 0 DDADMM CC[C@@]1(C)COCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825164759 608167378 /nfs/dbraw/zinc/16/73/78/608167378.db2.gz IHQGMAIZVFSADW-ZDUSSCGKSA-N -1 1 307.379 1.569 20 0 DDADMM COCC[C@@](C)(O)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000826154401 608183995 /nfs/dbraw/zinc/18/39/95/608183995.db2.gz OOJGHEVJPFBLBP-SNVBAGLBSA-N -1 1 318.790 1.176 20 0 DDADMM COCC[C@@](C)(O)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000826154401 608183997 /nfs/dbraw/zinc/18/39/97/608183997.db2.gz OOJGHEVJPFBLBP-SNVBAGLBSA-N -1 1 318.790 1.176 20 0 DDADMM Cc1cccc(OC[C@@H](C)Nc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826300189 608405370 /nfs/dbraw/zinc/40/53/70/608405370.db2.gz LJUJOORRIVWLHM-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM Cc1cccc(OC[C@@H](C)Nc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826300189 608405372 /nfs/dbraw/zinc/40/53/72/608405372.db2.gz LJUJOORRIVWLHM-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM O=C(CCNc1ccc(-c2nnn[n-]2)nn1)Nc1ccccc1 ZINC000826408151 608418767 /nfs/dbraw/zinc/41/87/67/608418767.db2.gz RODMPMXBIOBVKB-UHFFFAOYSA-N -1 1 310.321 1.097 20 0 DDADMM O=C(CCNc1ccc(-c2nn[n-]n2)nn1)Nc1ccccc1 ZINC000826408151 608418769 /nfs/dbraw/zinc/41/87/69/608418769.db2.gz RODMPMXBIOBVKB-UHFFFAOYSA-N -1 1 310.321 1.097 20 0 DDADMM CC(C)Oc1cc(CNc2ccc(-c3nnn[n-]3)nn2)ccn1 ZINC000824319132 608437007 /nfs/dbraw/zinc/43/70/07/608437007.db2.gz GXWVHLBDWLCKSI-UHFFFAOYSA-N -1 1 312.337 1.451 20 0 DDADMM CC(C)Oc1cc(CNc2ccc(-c3nn[n-]n3)nn2)ccn1 ZINC000824319132 608437008 /nfs/dbraw/zinc/43/70/08/608437008.db2.gz GXWVHLBDWLCKSI-UHFFFAOYSA-N -1 1 312.337 1.451 20 0 DDADMM CCOC(=O)CN(Cc1ccc(-c2nnn[n-]2)o1)CC(C)C ZINC000825517793 608584779 /nfs/dbraw/zinc/58/47/79/608584779.db2.gz MWILTKMKSBVBSJ-UHFFFAOYSA-N -1 1 307.354 1.481 20 0 DDADMM CCOC(=O)CN(Cc1ccc(-c2nn[n-]n2)o1)CC(C)C ZINC000825517793 608584780 /nfs/dbraw/zinc/58/47/80/608584780.db2.gz MWILTKMKSBVBSJ-UHFFFAOYSA-N -1 1 307.354 1.481 20 0 DDADMM CCOCCOC1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000825598979 609172712 /nfs/dbraw/zinc/17/27/12/609172712.db2.gz FHSHTYPFEMOFEM-UHFFFAOYSA-N -1 1 324.772 1.157 20 0 DDADMM CCOCCOC1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000825598979 609172716 /nfs/dbraw/zinc/17/27/16/609172716.db2.gz FHSHTYPFEMOFEM-UHFFFAOYSA-N -1 1 324.772 1.157 20 0 DDADMM CC(C)[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)C(=O)OC(C)(C)C ZINC000824337780 609587399 /nfs/dbraw/zinc/58/73/99/609587399.db2.gz GRCLALQKCFCBSM-LLVKDONJSA-N -1 1 319.369 1.435 20 0 DDADMM CC(C)[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)C(=O)OC(C)(C)C ZINC000824337780 609587402 /nfs/dbraw/zinc/58/74/02/609587402.db2.gz GRCLALQKCFCBSM-LLVKDONJSA-N -1 1 319.369 1.435 20 0 DDADMM Cc1ncc(COC(=O)c2sccc2-c2nn[n-]n2)c(C)n1 ZINC000826330195 609608799 /nfs/dbraw/zinc/60/87/99/609608799.db2.gz JEANVDQADBXECQ-UHFFFAOYSA-N -1 1 316.346 1.692 20 0 DDADMM Cc1c(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)nnn1C ZINC000745163809 699973261 /nfs/dbraw/zinc/97/32/61/699973261.db2.gz NEXKOVVSKDZYJZ-UHFFFAOYSA-N -1 1 315.285 1.293 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)c1ccsc1 ZINC000972944448 695374313 /nfs/dbraw/zinc/37/43/13/695374313.db2.gz DUVXMWFFAIYEIL-XYPYZODXSA-N -1 1 317.370 1.540 20 0 DDADMM Cc1cc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)ccc1Cl ZINC000798148954 700044636 /nfs/dbraw/zinc/04/46/36/700044636.db2.gz ZPFBPFVSSXUSCE-UHFFFAOYSA-N -1 1 321.768 1.285 20 0 DDADMM O=C(C(=O)N1CCC(C2OCCO2)CC1)c1ccc([O-])cc1 ZINC000798167852 700046019 /nfs/dbraw/zinc/04/60/19/700046019.db2.gz RPBLBLVMRXIVLK-UHFFFAOYSA-N -1 1 305.330 1.186 20 0 DDADMM CC1(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])CCC1 ZINC000976396527 695970810 /nfs/dbraw/zinc/97/08/10/695970810.db2.gz ZFNMVUGBBIQOTO-PJXYFTJBSA-N -1 1 315.373 1.164 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)N2CCO[C@H](C)C2)n1 ZINC000011220280 696044152 /nfs/dbraw/zinc/04/41/52/696044152.db2.gz ZNHNQUUGHNVEGK-SNVBAGLBSA-N -1 1 311.407 1.474 20 0 DDADMM CCN1CCC(NS(=O)(=O)c2cccc(C(=O)[O-])c2)CC1 ZINC000013250308 696053163 /nfs/dbraw/zinc/05/31/63/696053163.db2.gz YMUTVWWUVRNHGZ-UHFFFAOYSA-N -1 1 312.391 1.147 20 0 DDADMM CNC(=O)[C@@H]1CCCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000747224120 700060735 /nfs/dbraw/zinc/06/07/35/700060735.db2.gz UPBDUSMFZOEOSU-LLVKDONJSA-N -1 1 320.393 1.212 20 0 DDADMM CCc1ccc(S(=O)(=O)NN=c2nc(OC)cc[n-]2)cc1 ZINC000031721232 696122334 /nfs/dbraw/zinc/12/23/34/696122334.db2.gz VBPJTSFEUORIQH-UHFFFAOYSA-N -1 1 308.363 1.353 20 0 DDADMM CCCS(=O)(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000798462737 700067911 /nfs/dbraw/zinc/06/79/11/700067911.db2.gz NNOYPMZJUVCDGB-UHFFFAOYSA-N -1 1 316.345 1.117 20 0 DDADMM O=C(COC(=O)CSC(F)(F)F)[N-]C(=O)c1ccccc1 ZINC000058732905 696305988 /nfs/dbraw/zinc/30/59/88/696305988.db2.gz NBFBUKHPLOOPOE-UHFFFAOYSA-N -1 1 321.276 1.739 20 0 DDADMM CC[C@H]1CN(CC(=O)N[N-]C(=O)c2cccs2)CCS1 ZINC000072862404 696397925 /nfs/dbraw/zinc/39/79/25/696397925.db2.gz ZVMQNKHVGYRVOH-JTQLQIEISA-N -1 1 313.448 1.337 20 0 DDADMM CCCC[C@@H](COC)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000076299219 696428375 /nfs/dbraw/zinc/42/83/75/696428375.db2.gz QEBYSIOESZRPFR-VIFPVBQESA-N -1 1 309.819 1.557 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(Cc2ccc(F)cc2)C2CC2)s1 ZINC000079326922 696459710 /nfs/dbraw/zinc/45/97/10/696459710.db2.gz CSHJBDMKNGPOKF-GFCCVEGCSA-N -1 1 321.377 1.664 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccc3ncccc3c2)s1 ZINC000079585466 696461670 /nfs/dbraw/zinc/46/16/70/696461670.db2.gz RQHYUTOUQDYTAP-NSHDSACASA-N -1 1 300.343 1.520 20 0 DDADMM CCc1nc2cc(NC(=O)Cc3sc(N)nc3[O-])ccc2o1 ZINC000079642782 696462360 /nfs/dbraw/zinc/46/23/60/696462360.db2.gz CFHGESCRNMSDIH-JTQLQIEISA-N -1 1 318.358 1.676 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCc2ccccc2Cl)s1 ZINC000079778458 696463555 /nfs/dbraw/zinc/46/35/55/696463555.db2.gz RQCDPGTUSRESEP-JTQLQIEISA-N -1 1 311.794 1.346 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)c1cc(F)ccc1O ZINC000080030917 696466088 /nfs/dbraw/zinc/46/60/88/696466088.db2.gz XPZGYMPCDYYPIL-UHFFFAOYSA-N -1 1 310.354 1.778 20 0 DDADMM Cc1cc(N(C)C)ccc1CNC(=O)Cc1sc(N)nc1[O-] ZINC000080321286 696533336 /nfs/dbraw/zinc/53/33/36/696533336.db2.gz WAFJRPRCDZDDGW-GFCCVEGCSA-N -1 1 320.418 1.024 20 0 DDADMM Cc1nc(CCNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)cs1 ZINC000080508865 696535312 /nfs/dbraw/zinc/53/53/12/696535312.db2.gz QWLRRXMERQWEDC-UHFFFAOYSA-N -1 1 320.418 1.939 20 0 DDADMM C[C@@H](CNC(=O)Cc1sc(N)nc1[O-])Cc1cccs1 ZINC000080506213 696535440 /nfs/dbraw/zinc/53/54/40/696535440.db2.gz NTAWVRFIYIXOPI-SCZZXKLOSA-N -1 1 311.432 1.390 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2cc(C)c(C)s2)n1 ZINC000091013710 696584447 /nfs/dbraw/zinc/58/44/47/696584447.db2.gz YDAVNOXUYXGGQC-UHFFFAOYSA-N -1 1 314.392 1.469 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)N[N-]C(=O)c1ccc(Cl)cc1F ZINC000092442120 696595008 /nfs/dbraw/zinc/59/50/08/696595008.db2.gz RFWSPONCLBNDBO-IONNQARKSA-N -1 1 300.717 1.665 20 0 DDADMM Cc1[nH]c2ccnn2c(=O)c1CC(=O)[N-]OCc1ccccc1 ZINC000104141787 696618700 /nfs/dbraw/zinc/61/87/00/696618700.db2.gz AEDDHKIQOWHAGZ-UHFFFAOYSA-N -1 1 312.329 1.122 20 0 DDADMM CN(Cc1cccc(Cl)c1)C(=O)Cc1sc(N)nc1[O-] ZINC000120799241 696701171 /nfs/dbraw/zinc/70/11/71/696701171.db2.gz KEJPXSDJVHWSSN-JTQLQIEISA-N -1 1 311.794 1.645 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1cc2ccccc2o1 ZINC000120817100 696701467 /nfs/dbraw/zinc/70/14/67/696701467.db2.gz ZVVWFMORBRSMON-UFBFGSQYSA-N -1 1 317.370 1.957 20 0 DDADMM CCC1(C(=O)OCCc2c(C)nc3[n-]cnn3c2=O)CCC1 ZINC000130323615 696797028 /nfs/dbraw/zinc/79/70/28/696797028.db2.gz CKHXTHBPOQGPEE-UHFFFAOYSA-N -1 1 304.350 1.392 20 0 DDADMM CN(CC(C)(C)S(C)(=O)=O)C(=O)c1ccc(Cl)cc1[O-] ZINC000131145945 696804625 /nfs/dbraw/zinc/80/46/25/696804625.db2.gz FTUFFXBNHQUQHQ-UHFFFAOYSA-N -1 1 319.810 1.941 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCN(C[C@H]3CC[C@H](C)O3)CC2)c1[O-] ZINC000980982154 696969644 /nfs/dbraw/zinc/96/96/44/696969644.db2.gz YRLJKJYRKORJBM-WCQYABFASA-N -1 1 322.409 1.139 20 0 DDADMM COCCO[N-]C(=O)[C@]1(C)CCCN(C(=O)c2ccccc2)C1 ZINC000748766817 700133176 /nfs/dbraw/zinc/13/31/76/700133176.db2.gz BBTIUOOYPPRRKA-QGZVFWFLSA-N -1 1 320.389 1.623 20 0 DDADMM CC(=O)N[C@H]1CCC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000983399034 697254745 /nfs/dbraw/zinc/25/47/45/697254745.db2.gz WTMTVTRRDXILQD-RYUDHWBXSA-N -1 1 318.377 1.237 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCc3c(F)cccc3C2)s1 ZINC000162846153 697330903 /nfs/dbraw/zinc/33/09/03/697330903.db2.gz YLZMMKPHKBDHGU-NSHDSACASA-N -1 1 307.350 1.057 20 0 DDADMM CN(C(=O)C(C)(C)F)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984106082 697340943 /nfs/dbraw/zinc/34/09/43/697340943.db2.gz OEFXRHUVVPFZQP-LLVKDONJSA-N -1 1 323.368 1.598 20 0 DDADMM CCOCC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CC1 ZINC000799560526 700150056 /nfs/dbraw/zinc/15/00/56/700150056.db2.gz JQNGQJCLVOZXLP-UHFFFAOYSA-N -1 1 305.330 1.303 20 0 DDADMM CC1N=NC(C(=O)Nc2cccnc2N2CCC(O)CC2)=C1[O-] ZINC000749238195 700152815 /nfs/dbraw/zinc/15/28/15/700152815.db2.gz YJKMZNJDGUMDMS-UHFFFAOYSA-N -1 1 317.349 1.032 20 0 DDADMM O=C([N-]CCCn1c(=O)onc1-c1cccs1)C(F)(F)F ZINC000179812852 697441947 /nfs/dbraw/zinc/44/19/47/697441947.db2.gz KZTIQZAKIDCKJP-UHFFFAOYSA-N -1 1 321.280 1.633 20 0 DDADMM CCOCCONC(=O)c1cc(Br)ccc1[O-] ZINC000187154361 697541701 /nfs/dbraw/zinc/54/17/01/697541701.db2.gz JJTXXJXGSRBJEQ-UHFFFAOYSA-N -1 1 304.140 1.853 20 0 DDADMM N#Cc1ccc(C(=O)NNC(=O)c2ccc(Cl)nc2)cc1[O-] ZINC000188322054 697556852 /nfs/dbraw/zinc/55/68/52/697556852.db2.gz RZTVNNREKLPNML-UHFFFAOYSA-N -1 1 316.704 1.387 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CCCCC1 ZINC000985935611 697606588 /nfs/dbraw/zinc/60/65/88/697606588.db2.gz PXZPBTRCIKDNJL-DGCLKSJQSA-N -1 1 321.425 1.560 20 0 DDADMM CC(C)C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000985995967 697625540 /nfs/dbraw/zinc/62/55/40/697625540.db2.gz UWAMCUHKRMMUIT-OLZOCXBDSA-N -1 1 317.389 1.364 20 0 DDADMM CCOCCO[N-]C(=O)c1cc[nH]c(=O)c1Br ZINC000772583941 697650793 /nfs/dbraw/zinc/65/07/93/697650793.db2.gz IATBVMONSCTTBZ-UHFFFAOYSA-N -1 1 305.128 1.248 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1=CCCCC1 ZINC000986052726 697658504 /nfs/dbraw/zinc/65/85/04/697658504.db2.gz FWYIPZBXQOPORP-YPMHNXCESA-N -1 1 319.409 1.480 20 0 DDADMM C[C@H](O)c1ccc(CNC(=O)c2c[n-]c(=O)c([N+](=O)[O-])c2)cc1 ZINC000193453827 697667800 /nfs/dbraw/zinc/66/78/00/697667800.db2.gz CTBKGJVCHRBYEL-VIFPVBQESA-N -1 1 317.301 1.679 20 0 DDADMM COc1cccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000773163416 697721850 /nfs/dbraw/zinc/72/18/50/697721850.db2.gz QTKIGFJITIRLLN-NSHDSACASA-N -1 1 305.363 1.139 20 0 DDADMM COC(OC)[C@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000234589090 697791987 /nfs/dbraw/zinc/79/19/87/697791987.db2.gz PGXDZVDLZCJHHE-ZETCQYMHSA-N -1 1 318.167 1.892 20 0 DDADMM C[C@H](CN(C)C(=O)C(F)(F)c1ccccc1F)c1nn[n-]n1 ZINC000774002856 697832769 /nfs/dbraw/zinc/83/27/69/697832769.db2.gz RHVURFZOJPETAO-MRVPVSSYSA-N -1 1 313.283 1.693 20 0 DDADMM Cc1ccc([C@H](O)CNC(=O)c2ccc3n[n-]c(=S)n3c2)o1 ZINC000774441325 697879541 /nfs/dbraw/zinc/87/95/41/697879541.db2.gz YBCWWHMNIJMLJB-SNVBAGLBSA-N -1 1 318.358 1.383 20 0 DDADMM O=C([N-]CCCOC(=O)c1ccc2n[nH]cc2c1)C(F)(F)F ZINC000774873787 697936853 /nfs/dbraw/zinc/93/68/53/697936853.db2.gz BPBCFQZKCFDVGU-UHFFFAOYSA-N -1 1 315.251 1.788 20 0 DDADMM CC(C)(O)CC[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000774901132 697939957 /nfs/dbraw/zinc/93/99/57/697939957.db2.gz WNIRRLPABKCXNN-UHFFFAOYSA-N -1 1 313.206 1.828 20 0 DDADMM COCCC1(C(=O)OCCC[N-]C(=O)C(F)(F)F)CCC1 ZINC000774969246 697949106 /nfs/dbraw/zinc/94/91/06/697949106.db2.gz OFDPEYNBJJJJQP-UHFFFAOYSA-N -1 1 311.300 1.805 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(C)(C)SC)co1 ZINC000775331411 697983294 /nfs/dbraw/zinc/98/32/94/697983294.db2.gz XOANYEJSYAGVSE-UHFFFAOYSA-N -1 1 307.393 1.486 20 0 DDADMM CC(C)[C@@H](O)C1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000775735723 698026973 /nfs/dbraw/zinc/02/69/73/698026973.db2.gz CKIDZCNAVNTBQV-SECBINFHSA-N -1 1 321.830 1.149 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1ccc(-c2cncnc2)cc1F ZINC000775894378 698048735 /nfs/dbraw/zinc/04/87/35/698048735.db2.gz GBKGDIOHCYMKKA-UHFFFAOYSA-N -1 1 315.308 1.343 20 0 DDADMM CC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCCCCC1 ZINC000776493425 698110646 /nfs/dbraw/zinc/11/06/46/698110646.db2.gz DYUCIKCUVYZNTA-UHFFFAOYSA-N -1 1 307.394 1.403 20 0 DDADMM O=C(C=Cc1ccccc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776562068 698117267 /nfs/dbraw/zinc/11/72/67/698117267.db2.gz AMUZNMNHQDRGEV-NNNHXZLVSA-N -1 1 301.375 1.530 20 0 DDADMM CC(C)c1ncc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)s1 ZINC000776565054 698117893 /nfs/dbraw/zinc/11/78/93/698117893.db2.gz QYNRXWCZMNJALI-MRVPVSSYSA-N -1 1 324.435 1.710 20 0 DDADMM Cc1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)cc(=O)[nH]1 ZINC000777135887 698168235 /nfs/dbraw/zinc/16/82/35/698168235.db2.gz ABBIFSNXVQPEGT-UHFFFAOYSA-N -1 1 306.240 1.321 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H](C)CC(C)(C)C ZINC000777361067 698182183 /nfs/dbraw/zinc/18/21/83/698182183.db2.gz WQOGSTJPNQSUHJ-JTQLQIEISA-N -1 1 320.393 1.884 20 0 DDADMM CS[C@@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987671997 698193102 /nfs/dbraw/zinc/19/31/02/698193102.db2.gz NETQXIRQBUIJRK-VWYCJHECSA-N -1 1 323.418 1.258 20 0 DDADMM C[C@@H]1C[C@H](C)[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)O1 ZINC000800305667 700214654 /nfs/dbraw/zinc/21/46/54/700214654.db2.gz KBKCTSGACNFCBH-WDMOLILDSA-N -1 1 305.330 1.300 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CN2CCOCC2)c1 ZINC000778850147 698376518 /nfs/dbraw/zinc/37/65/18/698376518.db2.gz DWIPMXXAKDHAMO-UHFFFAOYSA-N -1 1 307.346 1.013 20 0 DDADMM Cc1cnc(C(=O)N2CCC(C3(C)OCCO3)CC2)c([O-])c1 ZINC000778970647 698387978 /nfs/dbraw/zinc/38/79/78/698387978.db2.gz FVXURKZYJLEVHF-UHFFFAOYSA-N -1 1 306.362 1.711 20 0 DDADMM CCc1occc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000988748639 698454060 /nfs/dbraw/zinc/45/40/60/698454060.db2.gz CTMOFFIUEMJIFE-UWVGGRQHSA-N -1 1 319.365 1.059 20 0 DDADMM C[N@H+]1CCCC(C)(C)[C@H]1CNC(=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000263372296 698502914 /nfs/dbraw/zinc/50/29/14/698502914.db2.gz NHZIIZHDZYDKRS-MLGOLLRUSA-N -1 1 311.426 1.613 20 0 DDADMM CC[C@@H](NC(=O)NC[C@@H](c1cccc(F)c1)N(C)C)C(=O)[O-] ZINC000780359447 698510591 /nfs/dbraw/zinc/51/05/91/698510591.db2.gz MMSKLOFECYBRCU-OLZOCXBDSA-N -1 1 311.357 1.591 20 0 DDADMM CC[C@H](NC(=O)NCCN(C)Cc1ccc(F)cc1)C(=O)[O-] ZINC000780632727 698541029 /nfs/dbraw/zinc/54/10/29/698541029.db2.gz PAFUFCOBAHEQMQ-ZDUSSCGKSA-N -1 1 311.357 1.420 20 0 DDADMM C[C@@H](C(=O)Nc1nc(Br)ccc1[O-])n1cccn1 ZINC000783905834 698890782 /nfs/dbraw/zinc/89/07/82/698890782.db2.gz ZXJWQAILQPMGPZ-ZETCQYMHSA-N -1 1 311.139 1.946 20 0 DDADMM C[C@]1(C(=O)Nc2nc(Br)ccc2[O-])CCOC1 ZINC000783902096 698890836 /nfs/dbraw/zinc/89/08/36/698890836.db2.gz SBUCRLQMNFHCIY-NSHDSACASA-N -1 1 301.140 1.915 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC000990345720 699028905 /nfs/dbraw/zinc/02/89/05/699028905.db2.gz DMALZPGHNXBOEX-LPWJVIDDSA-N -1 1 317.389 1.553 20 0 DDADMM CC[C@](C)(NC(=O)c1ccc(Br)cc1[O-])C(N)=O ZINC000385350303 699074876 /nfs/dbraw/zinc/07/48/76/699074876.db2.gz BYUYGPRADRVVAW-LBPRGKRZSA-N -1 1 315.167 1.539 20 0 DDADMM CCCN1CC[C@@H](NS(=O)(=O)c2cc(C(=O)[O-])oc2C)C1 ZINC000389129982 699087987 /nfs/dbraw/zinc/08/79/87/699087987.db2.gz KIYRBRCQPVNUHC-SNVBAGLBSA-N -1 1 316.379 1.049 20 0 DDADMM O=C([N-]CCCOC(=O)[C@@H]1COc2ccccc21)C(F)(F)F ZINC000785771917 699099753 /nfs/dbraw/zinc/09/97/53/699099753.db2.gz WZTWTDMXGVOXJL-SNVBAGLBSA-N -1 1 317.263 1.774 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1ccoc1Cl ZINC000991048625 699216210 /nfs/dbraw/zinc/21/62/10/699216210.db2.gz QSGCDRVAVVXYLD-UHFFFAOYSA-N -1 1 321.720 1.288 20 0 DDADMM O=C(NCCOC(F)(F)C(F)(F)F)c1cncc([O-])c1 ZINC000720307936 699305074 /nfs/dbraw/zinc/30/50/74/699305074.db2.gz GPQVFVZSDBMGSS-UHFFFAOYSA-N -1 1 300.183 1.689 20 0 DDADMM COc1nnc([N-]C(=O)c2cnn(-c3ccc(F)cc3)n2)s1 ZINC000788617378 699327879 /nfs/dbraw/zinc/32/78/79/699327879.db2.gz XCXQZBMEZNOSBK-UHFFFAOYSA-N -1 1 320.309 1.519 20 0 DDADMM Nc1nc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)cs1 ZINC000788960784 699350972 /nfs/dbraw/zinc/35/09/72/699350972.db2.gz LTLYPXRTJGTFOX-UHFFFAOYSA-N -1 1 318.310 1.894 20 0 DDADMM Cc1cc(CNC(=O)[C@@H]([N-]C(=O)C(F)(F)F)C(C)C)n[nH]1 ZINC000789950366 699424823 /nfs/dbraw/zinc/42/48/23/699424823.db2.gz IWBCBCGTIHZELM-VIFPVBQESA-N -1 1 306.288 1.037 20 0 DDADMM CCC[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000727847859 699427574 /nfs/dbraw/zinc/42/75/74/699427574.db2.gz CURFGCVWMOZKMO-AWEZNQCLSA-N -1 1 315.373 1.584 20 0 DDADMM CCCN(CC1CCCCC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727851936 699428219 /nfs/dbraw/zinc/42/82/19/699428219.db2.gz PLKXANXIMPRBFT-UHFFFAOYSA-N -1 1 321.421 1.746 20 0 DDADMM Cc1c(Br)cccc1CC(=O)NCc1nn[n-]n1 ZINC000790625577 699470118 /nfs/dbraw/zinc/47/01/18/699470118.db2.gz QDGQRANKXSYCQQ-UHFFFAOYSA-N -1 1 310.155 1.130 20 0 DDADMM CC(C)(C)[C@@H](O)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000732719199 699567878 /nfs/dbraw/zinc/56/78/78/699567878.db2.gz XMQLXXVGYJMMRC-AWEZNQCLSA-N -1 1 306.314 1.949 20 0 DDADMM CC(C)CCN(CCC(C)C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733132521 699579973 /nfs/dbraw/zinc/57/99/73/699579973.db2.gz GODZIVSEBJGGLE-UHFFFAOYSA-N -1 1 323.437 1.848 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N(Cc3ccncc3)C3CC3)ccnc1-2 ZINC000791089185 699611088 /nfs/dbraw/zinc/61/10/88/699611088.db2.gz MNQLYHUQRGXCRR-UHFFFAOYSA-N -1 1 322.372 1.933 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3CCOc4ccccc43)ccnc1-2 ZINC000791162001 699613305 /nfs/dbraw/zinc/61/33/05/699613305.db2.gz RVAOBIMNGTZAOZ-GFCCVEGCSA-N -1 1 308.341 1.847 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc(Br)o3)ccnc1-2 ZINC000791163911 699613667 /nfs/dbraw/zinc/61/36/67/699613667.db2.gz HAZRAKKOALUTPP-UHFFFAOYSA-N -1 1 321.134 1.950 20 0 DDADMM COc1ccccc1CCC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791165920 699613886 /nfs/dbraw/zinc/61/38/86/699613886.db2.gz HNGXIXOYOQUNLG-UHFFFAOYSA-N -1 1 310.357 1.922 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2cc(C)ccn2)co1 ZINC000791431583 699633960 /nfs/dbraw/zinc/63/39/60/699633960.db2.gz RUNGSBQQEKUSCQ-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM O=C(COC(=O)CC1(O)CCCCC1)[N-]C(=O)c1ccccc1 ZINC000734195104 699642058 /nfs/dbraw/zinc/64/20/58/699642058.db2.gz BLCLWUMIQOBWAA-UHFFFAOYSA-N -1 1 319.357 1.572 20 0 DDADMM C[C@H](CCC1CCCCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000734448511 699651502 /nfs/dbraw/zinc/65/15/02/699651502.db2.gz NRJWMOSKEOMIHN-CYBMUJFWSA-N -1 1 321.421 1.792 20 0 DDADMM O=C(c1cc2occc2s1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000736078194 699717482 /nfs/dbraw/zinc/71/74/82/699717482.db2.gz QXQXKIJXTHNMHL-MRVPVSSYSA-N -1 1 305.319 1.221 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000736271978 699722583 /nfs/dbraw/zinc/72/25/83/699722583.db2.gz PLRAEHWTRMBREM-YPMHNXCESA-N -1 1 307.398 1.501 20 0 DDADMM CN(CC1CC1)S(=O)(=O)[N-]c1ccn(Cc2ccccn2)n1 ZINC000737756159 699744571 /nfs/dbraw/zinc/74/45/71/699744571.db2.gz DHEAVDWNDOPBHT-UHFFFAOYSA-N -1 1 321.406 1.325 20 0 DDADMM O=C(c1cccc2ccccc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000738278325 699756648 /nfs/dbraw/zinc/75/66/48/699756648.db2.gz IVYJPYOYKJBMGF-CQSZACIVSA-N -1 1 309.329 1.567 20 0 DDADMM CC[C@H](C)CO[N-]C(=O)Cc1ccc(S(N)(=O)=O)s1 ZINC000794872826 699833690 /nfs/dbraw/zinc/83/36/90/699833690.db2.gz WROWJMKYNJLWMZ-QMMMGPOBSA-N -1 1 306.409 1.032 20 0 DDADMM Cc1nc(C(F)(F)F)ccc1OS(=O)(=O)c1c[n-]cn1 ZINC000796226048 699920671 /nfs/dbraw/zinc/92/06/71/699920671.db2.gz FVGGAZLDUJFZIT-UHFFFAOYSA-N -1 1 307.253 1.900 20 0 DDADMM CCCCCNC(=O)[C@@H](C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796346824 699928372 /nfs/dbraw/zinc/92/83/72/699928372.db2.gz TWDWSLQXZRCRAF-SNVBAGLBSA-N -1 1 310.350 1.653 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)c2ccccc2OC)[n-]1 ZINC000796363547 699929403 /nfs/dbraw/zinc/92/94/03/699929403.db2.gz UDNFFBYNYBEBAE-UHFFFAOYSA-N -1 1 317.297 1.850 20 0 DDADMM O=C(OCc1ncccn1)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801326274 700297754 /nfs/dbraw/zinc/29/77/54/700297754.db2.gz YYDIHXYFBKMOMC-UHFFFAOYSA-N -1 1 314.276 1.864 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2coc(C)n2)cc1 ZINC000801387940 700302680 /nfs/dbraw/zinc/30/26/80/700302680.db2.gz FTLICXKJZFVTIX-UHFFFAOYSA-N -1 1 318.285 1.105 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CCC(=O)OC(C)(C)C)ccnc1-2 ZINC000801701205 700332083 /nfs/dbraw/zinc/33/20/83/700332083.db2.gz CDQWYNQPKAOBMC-UHFFFAOYSA-N -1 1 304.350 1.402 20 0 DDADMM CC(=O)[C@@H]1C[C@H](C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1(C)C ZINC000801703264 700332449 /nfs/dbraw/zinc/33/24/49/700332449.db2.gz GFEZWRFQWOQLCU-NWDGAFQWSA-N -1 1 300.362 1.532 20 0 DDADMM Cc1ccn2cc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)nc2c1 ZINC000801702115 700332664 /nfs/dbraw/zinc/33/26/64/700332664.db2.gz RBROGQPKHZCPIZ-UHFFFAOYSA-N -1 1 306.329 1.550 20 0 DDADMM O=C(/C=C/c1ccncn1)OCCC[N-]C(=O)C(F)(F)F ZINC000754931773 700539023 /nfs/dbraw/zinc/53/90/23/700539023.db2.gz PXHZXYJMQANFEU-NSCUHMNNSA-N -1 1 303.240 1.102 20 0 DDADMM CC1(C)OCC([N-]S(=O)(=O)c2cc(F)ccc2F)CO1 ZINC000758628992 700716785 /nfs/dbraw/zinc/71/67/85/700716785.db2.gz BTNNFZUQSOSTLT-UHFFFAOYSA-N -1 1 307.318 1.395 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCCS2)o1 ZINC000761237240 700852325 /nfs/dbraw/zinc/85/23/25/700852325.db2.gz VTLFVJAFTRRECL-QMMMGPOBSA-N -1 1 305.377 1.240 20 0 DDADMM O=C([N-]N1C(=O)c2ccccc2C1=O)c1cccc2c[nH]nc21 ZINC000763230285 700930174 /nfs/dbraw/zinc/93/01/74/700930174.db2.gz ROVSDCCHDKGEHQ-UHFFFAOYSA-N -1 1 306.281 1.504 20 0 DDADMM CC(C)Cc1ccc(CC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765419743 701011107 /nfs/dbraw/zinc/01/11/07/701011107.db2.gz CZUTZHKKFKMCDD-UHFFFAOYSA-N -1 1 303.362 1.593 20 0 DDADMM Cn1[n-]c(COC(=O)CCc2ccc(Cl)s2)nc1=O ZINC000765465310 701014195 /nfs/dbraw/zinc/01/41/95/701014195.db2.gz WQJMATOFMPIGKI-UHFFFAOYSA-N -1 1 301.755 1.499 20 0 DDADMM Cc1cc(F)cc2[nH]c(C(=O)OCc3nc(=O)n(C)[n-]3)cc21 ZINC000765480892 701014834 /nfs/dbraw/zinc/01/48/34/701014834.db2.gz QWHRZWOJKFFSED-UHFFFAOYSA-N -1 1 304.281 1.394 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2CCSc3ccccc32)nc1=O ZINC000765489334 701015615 /nfs/dbraw/zinc/01/56/15/701015615.db2.gz RAKNTCDHSHMJPT-SNVBAGLBSA-N -1 1 305.359 1.431 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2CCc3c2cccc3Cl)nc1=O ZINC000765501306 701016459 /nfs/dbraw/zinc/01/64/59/701016459.db2.gz GBIRZVSXGGGNOM-SNVBAGLBSA-N -1 1 307.737 1.535 20 0 DDADMM CN(C)c1ccc(-n2ccnc2SCc2nn[n-]n2)cc1 ZINC000765631764 701021565 /nfs/dbraw/zinc/02/15/65/701021565.db2.gz LGJGKTMDZNAGRA-UHFFFAOYSA-N -1 1 301.379 1.744 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-][C@@H]2CCCCC23OCCO3)on1 ZINC000766836539 701065369 /nfs/dbraw/zinc/06/53/69/701065369.db2.gz OSTNFGALXKREON-GFCCVEGCSA-N -1 1 316.379 1.088 20 0 DDADMM O=C([N-]CCCOC(=O)c1occ2c1CCC2)C(F)(F)F ZINC000803883968 701152035 /nfs/dbraw/zinc/15/20/35/701152035.db2.gz QJXZWNONRAMETE-UHFFFAOYSA-N -1 1 305.252 1.994 20 0 DDADMM COC(=O)N1CCC(CNC(=O)c2ccc([O-])c(F)c2)CC1 ZINC000804685822 701207387 /nfs/dbraw/zinc/20/73/87/701207387.db2.gz LHIAJOHRHNKIMN-UHFFFAOYSA-N -1 1 310.325 1.740 20 0 DDADMM C[C@H]1CCN(C(=O)NC2CC2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000804857196 701217238 /nfs/dbraw/zinc/21/72/38/701217238.db2.gz BIMXYBUPPRMRFZ-NRPADANISA-N -1 1 307.316 1.636 20 0 DDADMM Cc1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)ns1 ZINC000769293592 701239817 /nfs/dbraw/zinc/23/98/17/701239817.db2.gz KVCGHCFVODTDLQ-UHFFFAOYSA-N -1 1 304.327 1.565 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2c[nH]c3cccc(F)c23)C1=O ZINC000769855657 701259230 /nfs/dbraw/zinc/25/92/30/701259230.db2.gz QVQXYJZFPJNLBK-OAHLLOKOSA-N -1 1 318.308 1.672 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2cc(F)c(F)cc2Cl)C1=O ZINC000770455921 701278933 /nfs/dbraw/zinc/27/89/33/701278933.db2.gz UARRFEISSJAFRF-UHFFFAOYSA-N -1 1 303.652 1.157 20 0 DDADMM CSc1nc(C)c(CCC(=O)O[C@H]2CCO[C@H]2C)c(=O)[n-]1 ZINC000771051524 701307086 /nfs/dbraw/zinc/30/70/86/701307086.db2.gz JWBDBHUUAUDHKE-ONGXEEELSA-N -1 1 312.391 1.866 20 0 DDADMM COc1cc(Cl)c(C)cc1NC(=O)COC(=O)c1cn[n-]n1 ZINC000805604256 701397742 /nfs/dbraw/zinc/39/77/42/701397742.db2.gz AZATWINVDAMOLV-UHFFFAOYSA-N -1 1 324.724 1.571 20 0 DDADMM O=C(OCc1nc(-c2ccc(F)c(F)c2)no1)c1cn[n-]n1 ZINC000805604999 701397906 /nfs/dbraw/zinc/39/79/06/701397906.db2.gz HJTHEIGFTVDFRB-UHFFFAOYSA-N -1 1 307.216 1.490 20 0 DDADMM CC(C)[C@H](OC(=O)c1cn[n-]n1)C(=O)N[C@H]1CCCC[C@H]1C ZINC000805606218 701399208 /nfs/dbraw/zinc/39/92/08/701399208.db2.gz UZKZKIBSAFDOQJ-MDZLAQPJSA-N -1 1 308.382 1.681 20 0 DDADMM CC(C)[C@@H](OC(=O)c1cn[n-]n1)C(=O)NCc1ccccc1F ZINC000805607249 701399550 /nfs/dbraw/zinc/39/95/50/701399550.db2.gz HZGKXAOFYOREEK-CYBMUJFWSA-N -1 1 320.324 1.442 20 0 DDADMM COc1ccccc1NC(=O)[C@@H](OC(=O)c1cn[n-]n1)C(C)C ZINC000805609833 701399798 /nfs/dbraw/zinc/39/97/98/701399798.db2.gz VFJNMRVQYKNYRJ-ZDUSSCGKSA-N -1 1 318.333 1.633 20 0 DDADMM O=C(COCc1ccccn1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000806395713 701433774 /nfs/dbraw/zinc/43/37/74/701433774.db2.gz YZQYWMZOUGCYSY-UHFFFAOYSA-N -1 1 301.228 1.374 20 0 DDADMM CC(C)n1ccc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC000806488717 701437500 /nfs/dbraw/zinc/43/75/00/701437500.db2.gz JGFRYMPMUCKEBT-UHFFFAOYSA-N -1 1 313.365 1.441 20 0 DDADMM CCn1cc(CN(C)C(=O)N=c2ccnc3n(C)[n-]cc2-3)cn1 ZINC000806493097 701438061 /nfs/dbraw/zinc/43/80/61/701438061.db2.gz SXGJVOLRWSVINM-UHFFFAOYSA-N -1 1 313.365 1.222 20 0 DDADMM CCc1ccc(NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000806992256 701457694 /nfs/dbraw/zinc/45/76/94/701457694.db2.gz DAIIFZLLLPNJLZ-UHFFFAOYSA-N -1 1 323.356 1.481 20 0 DDADMM Cc1nnc([C@H](C)N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)o1 ZINC000810067777 701720128 /nfs/dbraw/zinc/72/01/28/701720128.db2.gz BFVCKPVEUKEYIW-WCBMZHEXSA-N -1 1 320.315 1.830 20 0 DDADMM C[C@H]1CCC[C@]1(C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830953449 706609893 /nfs/dbraw/zinc/60/98/93/706609893.db2.gz VSCAEYRSTWYGNM-QFYYESIMSA-N -1 1 305.378 1.697 20 0 DDADMM C[C@@H]1CCCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000867895928 701815223 /nfs/dbraw/zinc/81/52/23/701815223.db2.gz BFBQDUAWUDWFSM-SNVBAGLBSA-N -1 1 306.366 1.196 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H]2CCCC[C@@H]2F)o1 ZINC000811050537 701903714 /nfs/dbraw/zinc/90/37/14/701903714.db2.gz KXXSWKSOKVSMNR-IUCAKERBSA-N -1 1 305.327 1.625 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC(C)(C)C(C)(C)C)ccnc1-2 ZINC000815782595 701931509 /nfs/dbraw/zinc/93/15/09/701931509.db2.gz RKLJZEOETMLUJZ-UHFFFAOYSA-N -1 1 317.393 1.221 20 0 DDADMM COC(=O)CCCO[N-]C(=O)[C@H]1CCCc2c(O)cccc21 ZINC000811560359 702005711 /nfs/dbraw/zinc/00/57/11/702005711.db2.gz NJSBKMZNBKTXAT-ZDUSSCGKSA-N -1 1 307.346 1.813 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3C[C@@H](C)OC3=O)cnc2n1 ZINC000816623734 702129683 /nfs/dbraw/zinc/12/96/83/702129683.db2.gz IVFZTFDJEXGPCP-LDYMZIIASA-N -1 1 301.302 1.078 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1C[C@@H](C)OC1=O)c2=O ZINC000816623734 702129686 /nfs/dbraw/zinc/12/96/86/702129686.db2.gz IVFZTFDJEXGPCP-LDYMZIIASA-N -1 1 301.302 1.078 20 0 DDADMM O=C(COC1CCCC1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831154389 706645985 /nfs/dbraw/zinc/64/59/85/706645985.db2.gz OKNIMOGJSBHRFC-UHFFFAOYSA-N -1 1 308.300 1.083 20 0 DDADMM CCCCO[C@@H](C)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831149043 706644573 /nfs/dbraw/zinc/64/45/73/706644573.db2.gz ILYXYVJAHRFGIX-VIFPVBQESA-N -1 1 310.316 1.329 20 0 DDADMM CCn1ncn([N-]C(=O)c2c(O)cc(Cl)cc2Cl)c1=O ZINC000816735535 702170784 /nfs/dbraw/zinc/17/07/84/702170784.db2.gz LZWMZLHAUYTSIC-UHFFFAOYSA-N -1 1 317.132 1.461 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CNC(=O)OCc1ccccc1 ZINC000816741582 702174456 /nfs/dbraw/zinc/17/44/56/702174456.db2.gz RGIOWBXGLFUFMH-UHFFFAOYSA-N -1 1 304.306 1.289 20 0 DDADMM CCC(CC)N1C[C@@H](C(=O)[N-]OCCOC(C)C)CC1=O ZINC000812804165 702243653 /nfs/dbraw/zinc/24/36/53/702243653.db2.gz CSBYJCAIXZXWPZ-LBPRGKRZSA-N -1 1 300.399 1.496 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@@](O)(C(F)F)C1 ZINC000868683788 702262760 /nfs/dbraw/zinc/26/27/60/702262760.db2.gz ADPUSSVTVIGYRX-ZDUSSCGKSA-N -1 1 305.708 1.817 20 0 DDADMM C[C@@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)c1ccnn1C ZINC000817263709 702318701 /nfs/dbraw/zinc/31/87/01/702318701.db2.gz USWYJUGNGADFJD-MRVPVSSYSA-N -1 1 307.272 1.135 20 0 DDADMM O=C([C@@H](O)c1ccc(Cl)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000813496647 702360595 /nfs/dbraw/zinc/36/05/95/702360595.db2.gz OAFOUBKMOMBMDR-PWSUYJOCSA-N -1 1 321.768 1.293 20 0 DDADMM CC(=O)CN(CC(C)C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000817619180 702426095 /nfs/dbraw/zinc/42/60/95/702426095.db2.gz MYRRKDUGKIRXIN-UHFFFAOYSA-N -1 1 306.391 1.705 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)Cc2cc(Cl)ccc2F)C(=O)O1 ZINC000841526498 702481068 /nfs/dbraw/zinc/48/10/68/702481068.db2.gz YYPPMEIKSQKTJI-HQJQHLMTSA-N -1 1 321.757 1.603 20 0 DDADMM CC1(C)CC[C@@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869469892 702617066 /nfs/dbraw/zinc/61/70/66/702617066.db2.gz GZMYYZQNYIULIT-SECBINFHSA-N -1 1 323.311 1.994 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C[C@@H]1CC[C@H]2C[C@H]21 ZINC000869531796 702637970 /nfs/dbraw/zinc/63/79/70/702637970.db2.gz HABDXELMWJVJSH-ATZCPNFKSA-N -1 1 321.295 1.604 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(COC)c(F)c1)c1nn[n-]n1 ZINC000866421358 706694872 /nfs/dbraw/zinc/69/48/72/706694872.db2.gz ZMOHPFPGLHLCFA-LBPRGKRZSA-N -1 1 307.329 1.756 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cc(C)ccc1OC ZINC000866471876 706707715 /nfs/dbraw/zinc/70/77/15/706707715.db2.gz XWSLUFQDTYBJKR-LJQANCHMSA-N -1 1 320.436 1.456 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@]4(CC4(F)F)C3)ccnc1-2 ZINC000844650223 703051459 /nfs/dbraw/zinc/05/14/59/703051459.db2.gz IQHPTDDRXDLCOK-ZDUSSCGKSA-N -1 1 307.304 1.605 20 0 DDADMM CCCc1nc(C)c(C[N-]S(=O)(=O)c2c[nH]nc2Cl)o1 ZINC000831612606 706739029 /nfs/dbraw/zinc/73/90/29/706739029.db2.gz IDOJZOXMRJRMKZ-UHFFFAOYSA-N -1 1 318.786 1.791 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H]4[C@@H](C3)C4(F)F)cnc2n1 ZINC000846664467 703310638 /nfs/dbraw/zinc/31/06/38/703310638.db2.gz HRQLCDYVZVYWAU-PHIMTYICSA-N -1 1 305.284 1.981 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H]4[C@@H](C3)C4(F)F)c[n-]c2n1 ZINC000846664467 703310640 /nfs/dbraw/zinc/31/06/40/703310640.db2.gz HRQLCDYVZVYWAU-PHIMTYICSA-N -1 1 305.284 1.981 20 0 DDADMM CC[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866591565 706738619 /nfs/dbraw/zinc/73/86/19/706738619.db2.gz HAVSZJPANNVTCJ-RQJHMYQMSA-N -1 1 310.217 1.885 20 0 DDADMM Cc1cnccc1C=CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847091180 703376614 /nfs/dbraw/zinc/37/66/14/703376614.db2.gz MQJPJFPLPNDVMT-ZFDPJTLLSA-N -1 1 314.345 1.898 20 0 DDADMM Cc1noc([C@@H](C)N(C)C(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC000847178500 703384823 /nfs/dbraw/zinc/38/48/23/703384823.db2.gz QWACKUSQSFYJDX-MRVPVSSYSA-N -1 1 315.337 1.258 20 0 DDADMM CC[C@@](C)(CO)C(=O)Nc1nc(Br)ccc1[O-] ZINC000847880433 703480143 /nfs/dbraw/zinc/48/01/43/703480143.db2.gz MHMANDAOZRNAHR-NSHDSACASA-N -1 1 303.156 1.897 20 0 DDADMM Cc1ccc(OC[C@@H](C)[N-]S(=O)(=O)N=S(C)(C)=O)cc1 ZINC000866641634 706748858 /nfs/dbraw/zinc/74/88/58/706748858.db2.gz TWDIBUYJAQBBRM-LLVKDONJSA-N -1 1 320.436 1.324 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]CCC(C)(F)F ZINC000831690794 706754104 /nfs/dbraw/zinc/75/41/04/706754104.db2.gz DFKUODQDNYOPHU-UHFFFAOYSA-N -1 1 301.746 1.706 20 0 DDADMM Cc1cnc(S(=O)(=O)CCC[N-]C(=O)C(F)(F)F)c(C)c1 ZINC000849229154 703626653 /nfs/dbraw/zinc/62/66/53/703626653.db2.gz VWALSMFDOISZSV-UHFFFAOYSA-N -1 1 324.324 1.541 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnnn1C)C1CCCCC1 ZINC000849336399 703636764 /nfs/dbraw/zinc/63/67/64/703636764.db2.gz AIXKEZCRYYNTOL-NSHDSACASA-N -1 1 302.400 1.152 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(C1)C[C@H]([N-]C(=O)C(F)(F)F)CO2 ZINC000849443647 703648520 /nfs/dbraw/zinc/64/85/20/703648520.db2.gz HSAHRYZUVKBDLH-QMMMGPOBSA-N -1 1 324.299 1.443 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]C[C@]23OCCC[C@H]2C3(F)F)s1 ZINC000849459317 703650719 /nfs/dbraw/zinc/65/07/19/703650719.db2.gz KZYMESGCKABRJN-SCZZXKLOSA-N -1 1 324.374 1.544 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@H]1[C@@H]2COC[C@@H]21 ZINC000850257591 703718645 /nfs/dbraw/zinc/71/86/45/703718645.db2.gz JRKCHDZUGWPCHF-JYAVWHMHSA-N -1 1 302.282 1.434 20 0 DDADMM COc1cccc(C(=O)OCc2nc(=O)n(C)[n-]2)c1OC(C)C ZINC000850324842 703723820 /nfs/dbraw/zinc/72/38/20/703723820.db2.gz YTRXCFXSJFXPKD-UHFFFAOYSA-N -1 1 321.333 1.261 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H]2CCCN2C(C)=O)c1 ZINC000869628155 703821732 /nfs/dbraw/zinc/82/17/32/703821732.db2.gz CWWKSJXBDARGMN-OAHLLOKOSA-N -1 1 319.357 1.691 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC(C)(C)SC)cc1C ZINC000851767666 703849839 /nfs/dbraw/zinc/84/98/39/703849839.db2.gz FDOVJUBHYHNPLY-UHFFFAOYSA-N -1 1 321.420 1.795 20 0 DDADMM Cc1nc(CS(=O)(=O)[N-][C@H]2CCCC23OCCO3)cs1 ZINC000851815833 703863289 /nfs/dbraw/zinc/86/32/89/703863289.db2.gz UORFDLRODGLYHY-NSHDSACASA-N -1 1 318.420 1.167 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C(C)(C)C2(F)F)nc1Cl ZINC000851820241 703864343 /nfs/dbraw/zinc/86/43/43/703864343.db2.gz USIMADPOJQDBFF-ZCFIWIBFSA-N -1 1 313.757 1.643 20 0 DDADMM CCc1nn(C)c(CC)c1CN1CCC[C@@]1(COC)C(=O)[O-] ZINC000818629740 703947150 /nfs/dbraw/zinc/94/71/50/703947150.db2.gz KXHNKKUIFJWAON-MRXNPFEDSA-N -1 1 309.410 1.611 20 0 DDADMM CC[C@H](C)NC(=O)CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879762153 706783655 /nfs/dbraw/zinc/78/36/55/706783655.db2.gz BXNZKHGCFNAIEC-JOYOIKCWSA-N -1 1 309.332 1.044 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@]23C[C@H]2CCCC3)CCC1 ZINC000870446486 704039228 /nfs/dbraw/zinc/03/92/28/704039228.db2.gz GZLGATMQFDFXDB-IUODEOHRSA-N -1 1 314.451 1.497 20 0 DDADMM C[C@@](O)(CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C(F)(F)F ZINC000879766296 706786766 /nfs/dbraw/zinc/78/67/66/706786766.db2.gz MPQADDUNVMABEU-DTWKUNHWSA-N -1 1 322.249 1.443 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1CCC[C@@H](C2CCCCC2)C1 ZINC000852740786 704111026 /nfs/dbraw/zinc/11/10/26/704111026.db2.gz DPMXJXKSCYKQIZ-CQSZACIVSA-N -1 1 317.451 1.778 20 0 DDADMM CC1(C)CCC[C@@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C1 ZINC000819613504 704150934 /nfs/dbraw/zinc/15/09/34/704150934.db2.gz BIJPMOPTBRNPIZ-LLVKDONJSA-N -1 1 307.398 1.226 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCOC(=O)C2CC2)c(F)c1 ZINC000819884101 704180601 /nfs/dbraw/zinc/18/06/01/704180601.db2.gz GYGAWVGMHJUREY-UHFFFAOYSA-N -1 1 319.329 1.505 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2noc(C)n2)c1 ZINC000819990042 704198502 /nfs/dbraw/zinc/19/85/02/704198502.db2.gz ZAHCUDFUGUOKIT-OAQYLSRUSA-N -1 1 310.331 1.238 20 0 DDADMM O=C(c1cnc(Cl)cn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000820068903 704215000 /nfs/dbraw/zinc/21/50/00/704215000.db2.gz UPGYCQWIAKJSOQ-UHFFFAOYSA-N -1 1 309.713 1.238 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2nc[nH]n2)c1 ZINC000853226283 704221257 /nfs/dbraw/zinc/22/12/57/704221257.db2.gz VYPYZCMUCKCNJW-BTKVJGODSA-N -1 1 309.347 1.225 20 0 DDADMM CS[C@H](C[N-]S(=O)(=O)N=S(C)(C)=O)C(C)(C)C ZINC000867091229 706893559 /nfs/dbraw/zinc/89/35/59/706893559.db2.gz FBRVCINMNOWYMB-MRVPVSSYSA-N -1 1 302.487 1.326 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C1(c2ccccc2F)CC1 ZINC000866813642 706811510 /nfs/dbraw/zinc/81/15/10/706811510.db2.gz XHJKHVLDOMZGFZ-LJQANCHMSA-N -1 1 320.411 1.767 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCCCCO)c1 ZINC000821354281 704395885 /nfs/dbraw/zinc/39/58/85/704395885.db2.gz XWPJSXTXLJQTEW-HXUWFJFHSA-N -1 1 300.376 1.509 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H](C)c2ccccn2)co1 ZINC000821501085 704417973 /nfs/dbraw/zinc/41/79/73/704417973.db2.gz SABQLFFMFVICEB-JTQLQIEISA-N -1 1 324.358 1.543 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC2=C(CCC(=O)N2)C1 ZINC000855151929 704470955 /nfs/dbraw/zinc/47/09/55/704470955.db2.gz ZNTPINWUEKXRKJ-UHFFFAOYSA-N -1 1 308.284 1.680 20 0 DDADMM CCO[C@@H]1C[C@@H]1C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418554 704528086 /nfs/dbraw/zinc/52/80/86/704528086.db2.gz FPKYBTUUVLZQCR-VPOLOUISSA-N -1 1 322.327 1.327 20 0 DDADMM Cc1cc(C)c2[nH]cc(C(=O)[N-]OC(C)(C)CO)c(=O)c2c1 ZINC000857284391 704574378 /nfs/dbraw/zinc/57/43/78/704574378.db2.gz JLOXRZVCFDPDJD-UHFFFAOYSA-N -1 1 304.346 1.577 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CC1)c1cc(Cl)cnc1Cl ZINC000866850506 706825267 /nfs/dbraw/zinc/82/52/67/706825267.db2.gz USOZVGYGNVRMCP-MRVPVSSYSA-N -1 1 311.190 1.438 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C=C3CCCC3)nc2n1 ZINC000857684883 704613612 /nfs/dbraw/zinc/61/36/12/704613612.db2.gz ROMDDWCHYYMJIO-UHFFFAOYSA-N -1 1 301.350 1.809 20 0 DDADMM CS(=O)(=O)N1CCCC[C@@H]1CNc1cc(Cl)[n-]c(=O)n1 ZINC000858542755 704724710 /nfs/dbraw/zinc/72/47/10/704724710.db2.gz BGWPJKNQXAYGCR-MRVPVSSYSA-N -1 1 320.802 1.062 20 0 DDADMM O=S(=O)([N-]C1CCC1)c1ccc(Br)nc1F ZINC000859185060 704814373 /nfs/dbraw/zinc/81/43/73/704814373.db2.gz JXFXOKYMXYWQRU-UHFFFAOYSA-N -1 1 309.160 1.814 20 0 DDADMM CC1(C)CC(=O)Oc2ccc(OS(=O)(=O)c3c[n-]cn3)cc21 ZINC000859239457 704831333 /nfs/dbraw/zinc/83/13/33/704831333.db2.gz SQPNNDZQWKPORA-UHFFFAOYSA-N -1 1 322.342 1.764 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H]2C[C@H]2C2CC2)n[n-]1 ZINC000880665867 707051630 /nfs/dbraw/zinc/05/16/30/707051630.db2.gz IDMNVNGBOZJEAU-LSJOCFKGSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H]2C[C@H]2C2CC2)[n-]1 ZINC000880665867 707051631 /nfs/dbraw/zinc/05/16/31/707051631.db2.gz IDMNVNGBOZJEAU-LSJOCFKGSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H]2C[C@H]2C2CC2)n1 ZINC000880665867 707051633 /nfs/dbraw/zinc/05/16/33/707051633.db2.gz IDMNVNGBOZJEAU-LSJOCFKGSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC1CC2(C[C@@H]2NC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000874804218 705176114 /nfs/dbraw/zinc/17/61/14/705176114.db2.gz HBDXIWMNYGNECH-VTWZXRTESA-N -1 1 318.402 1.705 20 0 DDADMM CO[C@@H]1COC[C@@H]1[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000823546544 705265093 /nfs/dbraw/zinc/26/50/93/705265093.db2.gz MPNGKQJHIVTOSG-WDEREUQCSA-N -1 1 307.243 1.587 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3C[C@@]3(C)Br)ccnc1-2 ZINC000875632310 705456720 /nfs/dbraw/zinc/45/67/20/705456720.db2.gz CAIOGLXNNKRDFP-QPUJVOFHSA-N -1 1 309.167 1.454 20 0 DDADMM Cn1nc(C2CCOCC2)cc1NC(=O)[N-]O[C@@H]1CCCCO1 ZINC000861516833 705490277 /nfs/dbraw/zinc/49/02/77/705490277.db2.gz SUKLYFWPHZOFIA-CQSZACIVSA-N -1 1 324.381 1.894 20 0 DDADMM Cc1nc2c(c(=O)[n-]1)CN(C(=O)CC(F)(F)C(F)(F)F)C2 ZINC000825040215 705584859 /nfs/dbraw/zinc/58/48/59/705584859.db2.gz HSITXGWAKQEKJW-UHFFFAOYSA-N -1 1 311.210 1.921 20 0 DDADMM CC[C@@H]1CCCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)CC1 ZINC000825194704 705622057 /nfs/dbraw/zinc/62/20/57/705622057.db2.gz RZMLWZYJCULOAD-LLVKDONJSA-N -1 1 318.381 1.744 20 0 DDADMM CC[C@@H]1CCCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)CC1 ZINC000825194704 705622059 /nfs/dbraw/zinc/62/20/59/705622059.db2.gz RZMLWZYJCULOAD-LLVKDONJSA-N -1 1 318.381 1.744 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)S[C@@H](C)C2)c1-c1nnn[n-]1 ZINC000826343977 705793809 /nfs/dbraw/zinc/79/38/09/705793809.db2.gz SXVWAOPRRNRVCE-YUMQZZPRSA-N -1 1 322.394 1.058 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)S[C@@H](C)C2)c1-c1nn[n-]n1 ZINC000826343977 705793812 /nfs/dbraw/zinc/79/38/12/705793812.db2.gz SXVWAOPRRNRVCE-YUMQZZPRSA-N -1 1 322.394 1.058 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCCC[C@H]2C)c1-c1nnn[n-]1 ZINC000826346827 705794689 /nfs/dbraw/zinc/79/46/89/705794689.db2.gz WNXCPODMJGHXIU-PSASIEDQSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCCC[C@H]2C)c1-c1nn[n-]n1 ZINC000826346827 705794690 /nfs/dbraw/zinc/79/46/90/705794690.db2.gz WNXCPODMJGHXIU-PSASIEDQSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)Nc2cnn(C(C)C)c2)c1-c1nnn[n-]1 ZINC000826349119 705795386 /nfs/dbraw/zinc/79/53/86/705795386.db2.gz UXSGTPGODBWVLZ-UHFFFAOYSA-N -1 1 316.325 1.122 20 0 DDADMM Cc1onc(CC(=O)Nc2cnn(C(C)C)c2)c1-c1nn[n-]n1 ZINC000826349119 705795389 /nfs/dbraw/zinc/79/53/89/705795389.db2.gz UXSGTPGODBWVLZ-UHFFFAOYSA-N -1 1 316.325 1.122 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)CCc1ccc2[nH]ccc2c1 ZINC000826394955 705798030 /nfs/dbraw/zinc/79/80/30/705798030.db2.gz GFISYXAUTFIKMO-UHFFFAOYSA-N -1 1 322.332 1.400 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1C[C@@H]2C[C@@H]2C[C@@H]1C)c1nn[n-]n1 ZINC000826929297 705893096 /nfs/dbraw/zinc/89/30/96/705893096.db2.gz WLUPAFXPOWTYPZ-NGDQXYMTSA-N -1 1 309.439 1.792 20 0 DDADMM CO[C@H]1CCC[C@H]1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000827820675 706072286 /nfs/dbraw/zinc/07/22/86/706072286.db2.gz FFWKTARUKVWESA-ONGXEEELSA-N -1 1 306.391 1.563 20 0 DDADMM O=C(c1ccc2scnc2c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000827961934 706094439 /nfs/dbraw/zinc/09/44/39/706094439.db2.gz WOMOKGTXARXOET-JTQLQIEISA-N -1 1 316.346 1.023 20 0 DDADMM Cc1ccc(CC[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)o1 ZINC000872436145 707409317 /nfs/dbraw/zinc/40/93/17/707409317.db2.gz SLFCJGMFGYHUDQ-LJQANCHMSA-N -1 1 322.452 1.861 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1cnn(C)c1 ZINC000872455146 707420894 /nfs/dbraw/zinc/42/08/94/707420894.db2.gz MLXAMGWMRAPBJO-UGZDLDLSSA-N -1 1 322.456 1.212 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N[C@]34C[C@H]3COC4)c2)o1 ZINC000829569734 706358701 /nfs/dbraw/zinc/35/87/01/706358701.db2.gz IKZOVQZZEVMEOW-GTNSWQLSSA-N -1 1 313.309 1.983 20 0 DDADMM CCO[C@H]1C[C@@H]([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)C1 ZINC000872494416 707445352 /nfs/dbraw/zinc/44/53/52/707445352.db2.gz CJUBRJLYLIRURC-AUSHCKSDSA-N -1 1 312.457 1.285 20 0 DDADMM Cc1nc(SCC(=O)CC[N-]C(=O)C(F)(F)F)[nH]c1C ZINC000865368979 706415038 /nfs/dbraw/zinc/41/50/38/706415038.db2.gz XWXRQZCQJVAURR-UHFFFAOYSA-N -1 1 309.313 1.756 20 0 DDADMM Cc1nc(SCCCOC(=O)[C@H](C)O)[n-]c(=O)c1C1CC1 ZINC000865373034 706416084 /nfs/dbraw/zinc/41/60/84/706416084.db2.gz CNDYUJKZMYREAJ-VIFPVBQESA-N -1 1 312.391 1.774 20 0 DDADMM CN(CC(=O)N[C@]1(C(=O)[O-])CCc2ccccc21)C1CCC1 ZINC000908977032 712907143 /nfs/dbraw/zinc/90/71/43/712907143.db2.gz HRWCZLBPUPFNEX-QGZVFWFLSA-N -1 1 302.374 1.513 20 0 DDADMM C[C@@H](Cc1cccc(O)c1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830614665 706545205 /nfs/dbraw/zinc/54/52/05/706545205.db2.gz MNDDWAVFGSWSQX-QMMMGPOBSA-N -1 1 315.782 1.678 20 0 DDADMM O=S(=O)([N-]Cc1nccn1C(F)F)c1c[nH]nc1Cl ZINC000830713529 706567247 /nfs/dbraw/zinc/56/72/47/706567247.db2.gz CPXAJVBCVGLRFD-UHFFFAOYSA-N -1 1 311.701 1.133 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCCCC1)c1c[nH]nc1Cl ZINC000830760355 706575154 /nfs/dbraw/zinc/57/51/54/706575154.db2.gz XHVFGRAWBDABSR-VIFPVBQESA-N -1 1 307.803 1.283 20 0 DDADMM CO[C@H](C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CCC1 ZINC000830788390 706579867 /nfs/dbraw/zinc/57/98/67/706579867.db2.gz CLUIMKHEMWKLCH-GWCFXTLKSA-N -1 1 322.327 1.471 20 0 DDADMM CCOC(C)(C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830790608 706580398 /nfs/dbraw/zinc/58/03/98/706580398.db2.gz YKLHEPFSKLVZPQ-LBPRGKRZSA-N -1 1 310.316 1.471 20 0 DDADMM COC1(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CCCC1 ZINC000830807658 706583159 /nfs/dbraw/zinc/58/31/59/706583159.db2.gz ODKQTHSTNCAXBL-GFCCVEGCSA-N -1 1 322.327 1.615 20 0 DDADMM C[C@@H]1COCC[C@@H]1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807081 706583249 /nfs/dbraw/zinc/58/32/49/706583249.db2.gz BOHUFOAFYJCUGG-GBIKHYSHSA-N -1 1 322.327 1.329 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1ccc(C)nn1)c1ccc(C)o1 ZINC000866906199 706841169 /nfs/dbraw/zinc/84/11/69/706841169.db2.gz STHOFJODASGFNW-NSHDSACASA-N -1 1 311.363 1.352 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCCC[C@@H]1O ZINC000866914497 706843771 /nfs/dbraw/zinc/84/37/71/706843771.db2.gz FLZUDEIIWXGFCZ-GXFFZTMASA-N -1 1 320.361 1.579 20 0 DDADMM CCOCCC1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000866997934 706868130 /nfs/dbraw/zinc/86/81/30/706868130.db2.gz BCPYPCXLCZRQRQ-UHFFFAOYSA-N -1 1 320.361 1.845 20 0 DDADMM CCOCCC1(C[N-]S(=O)(=O)N=[S@@](C)(=O)CC)CC1 ZINC000866998040 706868157 /nfs/dbraw/zinc/86/81/57/706868157.db2.gz FIFCIIBFCARPRW-SFHVURJKSA-N -1 1 312.457 1.145 20 0 DDADMM CC(C)(C)OC(=O)CC[C@H]1C[C@H](C(=O)[N-]OCC2CC2)CO1 ZINC000880111387 706881079 /nfs/dbraw/zinc/88/10/79/706881079.db2.gz SSUAKAKZLGGHHL-STQMWFEESA-N -1 1 313.394 1.971 20 0 DDADMM O=S(=O)([N-]CCCCn1ccnc1)c1ccc(F)nc1F ZINC000867055026 706883705 /nfs/dbraw/zinc/88/37/05/706883705.db2.gz WKQGXFLZPVYQGS-UHFFFAOYSA-N -1 1 316.333 1.315 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1Cl)[C@@H](C)O ZINC000832309646 706888826 /nfs/dbraw/zinc/88/88/26/706888826.db2.gz JFJJPXDECQTDTD-RNFRBKRXSA-N -1 1 313.206 1.826 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)c1cncc(F)c1 ZINC000867078468 706891031 /nfs/dbraw/zinc/89/10/31/706891031.db2.gz GXPKCUUWBKOAKI-VIFPVBQESA-N -1 1 321.399 1.378 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCC[C@H]1SC ZINC000867458719 707007623 /nfs/dbraw/zinc/00/76/23/707007623.db2.gz ZKSFGTATEUFJHY-YHTYYEMMSA-N -1 1 314.498 1.613 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000867380686 706981564 /nfs/dbraw/zinc/98/15/64/706981564.db2.gz JUIZESRVCYYHKJ-QMMMGPOBSA-N -1 1 309.290 1.447 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CS[C@@H](C)C1 ZINC000867401296 706988532 /nfs/dbraw/zinc/98/85/32/706988532.db2.gz HMEHFKDFGZTABY-IUCAKERBSA-N -1 1 300.471 1.223 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1CCn3ccnc31)c2=O ZINC000833298926 707004694 /nfs/dbraw/zinc/00/46/94/707004694.db2.gz FLUKSCBZAILANH-LBPRGKRZSA-N -1 1 309.329 1.715 20 0 DDADMM CC(C)C[C@H](C(=O)[O-])N(C)C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000909020885 712918808 /nfs/dbraw/zinc/91/88/08/712918808.db2.gz RMYSTFAREQHHRQ-ZWNOBZJWSA-N -1 1 308.382 1.408 20 0 DDADMM C=C=CC[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000867469999 707011896 /nfs/dbraw/zinc/01/18/96/707011896.db2.gz URWBKJWUYYKRLR-UHFFFAOYSA-N -1 1 306.185 1.110 20 0 DDADMM CCO[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000867509476 707023140 /nfs/dbraw/zinc/02/31/40/707023140.db2.gz VPRBBWQBIOVQMS-ZKCHVHJHSA-N -1 1 308.762 1.720 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)sc1C ZINC000867615130 707054764 /nfs/dbraw/zinc/05/47/64/707054764.db2.gz XIZJGXYHDBXDFZ-KKJSVHSVSA-N -1 1 314.432 1.462 20 0 DDADMM CC1(C)OCC(CO)(NC(=O)c2c([O-])cccc2Cl)CO1 ZINC000834496069 707071356 /nfs/dbraw/zinc/07/13/56/707071356.db2.gz SPRNFSBCVOWPRR-UHFFFAOYSA-N -1 1 315.753 1.289 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H]1CCCNC1=O ZINC000867713134 707082920 /nfs/dbraw/zinc/08/29/20/707082920.db2.gz MJCRTLYOGLSIBD-ZCFIWIBFSA-N -1 1 314.139 1.014 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)Nc1ccc(F)c(C(=O)[O-])c1 ZINC000909110678 712938251 /nfs/dbraw/zinc/93/82/51/712938251.db2.gz VSFDYQZOAPQLMD-JTQLQIEISA-N -1 1 310.325 1.431 20 0 DDADMM CCOC[C@@H](O)CN1CCc2c(C(=O)[O-])ccc(OC)c2C1 ZINC000872166772 707311465 /nfs/dbraw/zinc/31/14/65/707311465.db2.gz QXMUVWJLLPCSCW-NSHDSACASA-N -1 1 309.362 1.149 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H](CF)C1 ZINC000881666523 707316544 /nfs/dbraw/zinc/31/65/44/707316544.db2.gz CCAFZBBKORSPBV-LLVKDONJSA-N -1 1 306.341 1.412 20 0 DDADMM COC(=O)[C@@H]1[C@H](CNC(=O)c2ccc([O-])cc2F)C1(F)F ZINC000881823763 707381717 /nfs/dbraw/zinc/38/17/17/707381717.db2.gz JCOWZWSGEWIOFY-WPRPVWTQSA-N -1 1 303.236 1.315 20 0 DDADMM O=C(Cc1[nH]nc2c1CCCC2)NC1CCC(C(=O)[O-])CC1 ZINC000909157996 712949404 /nfs/dbraw/zinc/94/94/04/712949404.db2.gz UMZJEHHPLJDOPK-UHFFFAOYSA-N -1 1 305.378 1.591 20 0 DDADMM COC[C@](C)([N-]S(=O)(=O)CC1CC2(CCC2)C1)C(=O)OC ZINC000872460390 707423655 /nfs/dbraw/zinc/42/36/55/707423655.db2.gz FCNAPXXUURYHQH-ZDUSSCGKSA-N -1 1 319.423 1.064 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)CCO1 ZINC000872468475 707429891 /nfs/dbraw/zinc/42/98/91/707429891.db2.gz VLUPMLRGVLPPHW-MHNGPXOMSA-N -1 1 312.457 1.285 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@H](C)N1CCc2ccccc2C1 ZINC000836896036 707542654 /nfs/dbraw/zinc/54/26/54/707542654.db2.gz HCTSBYCWVHXXDS-BBRMVZONSA-N -1 1 306.406 1.498 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000836898508 707544335 /nfs/dbraw/zinc/54/43/35/707544335.db2.gz XKDAMKHVZFJIBY-BXUZGUMPSA-N -1 1 324.352 1.243 20 0 DDADMM CC1(C)C[C@](C)([N-]S(=O)(=O)C[C@@H]2CCC2(F)F)C(=O)O1 ZINC000882223921 707546373 /nfs/dbraw/zinc/54/63/73/707546373.db2.gz HHWNWDXRIPEFCM-KWQFWETISA-N -1 1 311.350 1.435 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@]2(CC(F)(F)C2(F)F)C1 ZINC000927266609 712966115 /nfs/dbraw/zinc/96/61/15/712966115.db2.gz LJGHYWXVRAYPBU-SNVBAGLBSA-N -1 1 321.278 1.415 20 0 DDADMM O=C(NC[C@@H](O)C(F)(F)C(F)(F)F)c1ncccc1[O-] ZINC000882566753 707701802 /nfs/dbraw/zinc/70/18/02/707701802.db2.gz YSUXGJRYZKNCIC-ZCFIWIBFSA-N -1 1 300.183 1.076 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2C[C@@H](COC)C2)o1 ZINC000882701318 707753054 /nfs/dbraw/zinc/75/30/54/707753054.db2.gz YFICQUUKLJALLZ-AOOOYVTPSA-N -1 1 317.363 1.160 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NCC1(C(=O)[O-])CCC1 ZINC000909275132 712980221 /nfs/dbraw/zinc/98/02/21/712980221.db2.gz HFOHVUOUHRMSJP-UHFFFAOYSA-N -1 1 305.256 1.722 20 0 DDADMM CCOc1cc(C(=O)NC[C@H](F)C(=O)OC)cc(Cl)c1[O-] ZINC000838063657 707824651 /nfs/dbraw/zinc/82/46/51/707824651.db2.gz UNTKKFUHDTZXNU-VIFPVBQESA-N -1 1 319.716 1.685 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C12CCC2 ZINC000838120426 707848157 /nfs/dbraw/zinc/84/81/57/707848157.db2.gz DTSCONGAMCNNQT-GHMZBOCLSA-N -1 1 318.402 1.705 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1C[C@H](O)CC2(CCOCC2)C1 ZINC000882960710 707873468 /nfs/dbraw/zinc/87/34/68/707873468.db2.gz SVRQVEOEGPQATC-GFCCVEGCSA-N -1 1 309.337 1.535 20 0 DDADMM C=C/C=C/CC[N@@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000883409340 707994072 /nfs/dbraw/zinc/99/40/72/707994072.db2.gz UXEPIGXQSSIODM-SEUFLZDSSA-N -1 1 320.311 1.715 20 0 DDADMM Cc1nc2cccc(C(=O)N[C@]3(C(=O)[O-])CCSC3)c2[nH]1 ZINC000909350741 712997937 /nfs/dbraw/zinc/99/79/37/712997937.db2.gz FSDXAXYZJGKPFI-CQSZACIVSA-N -1 1 305.359 1.561 20 0 DDADMM C[C@@H](C(=O)N[C@H](C(=O)[O-])c1ccc(Cl)c(F)c1)N(C)C ZINC000909391161 713006750 /nfs/dbraw/zinc/00/67/50/713006750.db2.gz QUOAQXMFCFMKMS-CPCISQLKSA-N -1 1 302.733 1.671 20 0 DDADMM CCc1nccc(N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)n1 ZINC000884560966 708329201 /nfs/dbraw/zinc/32/92/01/708329201.db2.gz MCVNCDQSRRLWQL-ZJUUUORDSA-N -1 1 316.327 1.932 20 0 DDADMM COCc1nc(N2CCCC[C@H]([C@@H]3CCOC3)C2)cc(=O)[n-]1 ZINC000897562587 708375607 /nfs/dbraw/zinc/37/56/07/708375607.db2.gz HKVCPBRHDANGQA-QWHCGFSZSA-N -1 1 307.394 1.972 20 0 DDADMM CC(C)(C)N1CC[C@H]1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000897677280 708416243 /nfs/dbraw/zinc/41/62/43/708416243.db2.gz LAJIDSKXQXYOFA-MNOVXSKESA-N -1 1 307.398 1.089 20 0 DDADMM C[C@@H](COCC(F)(F)F)[N-]S(=O)(=O)c1ccns1 ZINC000884982785 708435106 /nfs/dbraw/zinc/43/51/06/708435106.db2.gz KEAXPUUWVGCFTO-LURJTMIESA-N -1 1 304.315 1.389 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCC(C)(C)O1)C1CC1 ZINC000885038012 708451535 /nfs/dbraw/zinc/45/15/35/708451535.db2.gz CRZYXQDZRGSWOM-RYUDHWBXSA-N -1 1 319.423 1.205 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCCC(=O)[C@@H]1C ZINC000885044796 708453843 /nfs/dbraw/zinc/45/38/43/708453843.db2.gz KTPWTYNSDQOEOY-JTQLQIEISA-N -1 1 305.378 1.982 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC12CCSCC2)c1ccns1 ZINC000885249812 708502203 /nfs/dbraw/zinc/50/22/03/708502203.db2.gz ZOUBWONJWIRFNZ-VIFPVBQESA-N -1 1 304.462 1.955 20 0 DDADMM CC[C@@H](C)C[C@@H]([N-]S(=O)(=O)c1ccns1)C(=O)OC ZINC000885269092 708506521 /nfs/dbraw/zinc/50/65/21/708506521.db2.gz UDLIRZNDQJXPIY-RKDXNWHRSA-N -1 1 306.409 1.399 20 0 DDADMM CN1C[C@@H]([N-]S(=O)(=O)c2ccns2)Cc2ccccc21 ZINC000885323773 708519940 /nfs/dbraw/zinc/51/99/40/708519940.db2.gz YHUIQVBCKCRQJD-NSHDSACASA-N -1 1 309.416 1.483 20 0 DDADMM CCc1ccc(CC(=O)OCCC[N-]C(=O)C(F)(F)F)nc1 ZINC000885362698 708527424 /nfs/dbraw/zinc/52/74/24/708527424.db2.gz JHJTUFNPVHNENF-UHFFFAOYSA-N -1 1 318.295 1.798 20 0 DDADMM O=S(=O)([N-]C[C@H](O)Cc1ccc(F)cc1)c1ccns1 ZINC000885544858 708572378 /nfs/dbraw/zinc/57/23/78/708572378.db2.gz MPFBUAPSBIXDPE-LLVKDONJSA-N -1 1 316.379 1.164 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CC[C@H](c3cc(=O)[nH][nH]3)C2)c1 ZINC000898213661 708574614 /nfs/dbraw/zinc/57/46/14/708574614.db2.gz YOEQWNQMMDYCJB-JTQLQIEISA-N -1 1 311.345 1.930 20 0 DDADMM O=C(NCC[C@@]1(O)CCOC1)c1ccc2ccc(O)cc2c1[O-] ZINC000898275009 708595042 /nfs/dbraw/zinc/59/50/42/708595042.db2.gz KBDKYSJLZRJTEC-QGZVFWFLSA-N -1 1 317.341 1.522 20 0 DDADMM C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)c1cc2n(n1)CCCC2 ZINC000898395496 708631096 /nfs/dbraw/zinc/63/10/96/708631096.db2.gz WQPQWLWMNUFQLU-LLVKDONJSA-N -1 1 313.357 1.985 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(N)=O ZINC000885823157 708633433 /nfs/dbraw/zinc/63/34/33/708633433.db2.gz WKPDRNGWFINTQW-KWQFWETISA-N -1 1 320.361 1.452 20 0 DDADMM O=C(NCc1nc2c(s1)COCC2)c1ccc([O-])cc1F ZINC000885976441 708674807 /nfs/dbraw/zinc/67/48/07/708674807.db2.gz USGGBMSDPBOMQA-UHFFFAOYSA-N -1 1 308.334 1.991 20 0 DDADMM CC(C)(O)CONC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927789374 713056941 /nfs/dbraw/zinc/05/69/41/713056941.db2.gz RQIWUMCBQDPVDC-UHFFFAOYSA-N -1 1 304.293 1.215 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)c(C)n1[C@H]1CCCOC1 ZINC000912618434 713052681 /nfs/dbraw/zinc/05/26/81/713052681.db2.gz UDPXZXMSPPACNC-NSHDSACASA-N -1 1 304.354 1.246 20 0 DDADMM Cn1nnc2c1C[C@@H](NC(=O)Cc1ccc([O-])c(Cl)c1)CC2 ZINC000886738422 708830306 /nfs/dbraw/zinc/83/03/06/708830306.db2.gz UMAQIGVSVQVVHY-JTQLQIEISA-N -1 1 320.780 1.390 20 0 DDADMM Cc1cc2occ(C(=O)[N-]N3C(=O)[C@H](C)N(C)C3=O)c2cc1C ZINC000899035646 708961186 /nfs/dbraw/zinc/96/11/86/708961186.db2.gz MISPRIYYHKHSPO-JTQLQIEISA-N -1 1 315.329 1.977 20 0 DDADMM CCN1CC[C@H]1CNC(=O)C[C@@](C)(C(=O)[O-])c1ccccc1 ZINC000887315852 709015700 /nfs/dbraw/zinc/01/57/00/709015700.db2.gz CUICKDYUDMFBLL-WMLDXEAASA-N -1 1 304.390 1.629 20 0 DDADMM COC(=O)[C@H]1CCN1C(=O)c1ccc(Br)cc1[O-] ZINC000888061468 709204308 /nfs/dbraw/zinc/20/43/08/709204308.db2.gz FFJATBLDHISBCK-SECBINFHSA-N -1 1 314.135 1.542 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCNC(=O)C(C)C ZINC000888118140 709217211 /nfs/dbraw/zinc/21/72/11/709217211.db2.gz KICOCMGEFGNZSH-UHFFFAOYSA-N -1 1 308.382 1.116 20 0 DDADMM CCOc1cncc(CN[C@H]2CCCn3nc(C(=O)[O-])cc32)c1 ZINC000900074082 709281829 /nfs/dbraw/zinc/28/18/29/709281829.db2.gz PEVOTFHIICATDP-ZDUSSCGKSA-N -1 1 316.361 2.000 20 0 DDADMM CSCC[C@H](NC(=O)CCc1nccs1)c1nn[n-]n1 ZINC000912860187 713109671 /nfs/dbraw/zinc/10/96/71/713109671.db2.gz KKVHHFJYDFYXNL-QMMMGPOBSA-N -1 1 312.424 1.199 20 0 DDADMM CSCC[C@H](NC(=O)c1cccc2[nH]ccc21)c1nn[n-]n1 ZINC000912860179 713109677 /nfs/dbraw/zinc/10/96/77/713109677.db2.gz KEEBKQQMCZQZOY-LBPRGKRZSA-N -1 1 316.390 1.905 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1ccc(C)nc1)c1nn[n-]n1 ZINC000912862116 713110281 /nfs/dbraw/zinc/11/02/81/713110281.db2.gz IUNOCCIABOLSQW-FJOGCWAESA-N -1 1 318.406 1.527 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1CCCC(F)(F)C1)c1nn[n-]n1 ZINC000912862129 713110578 /nfs/dbraw/zinc/11/05/78/713110578.db2.gz JCDAERHBUBPSTB-BDAKNGLRSA-N -1 1 319.381 1.936 20 0 DDADMM CCO[C@H]1C[C@](NC(=O)CN(C)C2CCC2)(C(=O)[O-])C1(C)C ZINC000909602568 709536855 /nfs/dbraw/zinc/53/68/55/709536855.db2.gz LWYIBMFAJZJGMA-LRDDRELGSA-N -1 1 312.410 1.245 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1ncccc1C)c1nn[n-]n1 ZINC000912862310 713110974 /nfs/dbraw/zinc/11/09/74/713110974.db2.gz MBPIXFGWUYNXSY-FYJFLYSWSA-N -1 1 318.406 1.527 20 0 DDADMM CO[C@@H](C(=O)N[C@@H](CCSC)c1nn[n-]n1)C1CCCC1 ZINC000912863490 713111841 /nfs/dbraw/zinc/11/18/41/713111841.db2.gz ZNKRSTDINRGZHK-WDEREUQCSA-N -1 1 313.427 1.315 20 0 DDADMM O=C([O-])[C@H](CC(F)(F)F)NC(=O)c1ccc2cncn2c1 ZINC000909667844 709567094 /nfs/dbraw/zinc/56/70/94/709567094.db2.gz XYXHQIDUSWXYQD-VIFPVBQESA-N -1 1 301.224 1.470 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1C[C@@H]2[C@H](O)CC[C@@H]2C1 ZINC000889644696 709572260 /nfs/dbraw/zinc/57/22/60/709572260.db2.gz GVQVPNKASAPWIB-NTZNESFSSA-N -1 1 310.781 1.958 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)N2CCc3c2cccc3F)C1 ZINC000909725706 709597263 /nfs/dbraw/zinc/59/72/63/709597263.db2.gz PVUCCCSTEYXXRT-LLVKDONJSA-N -1 1 306.337 1.511 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCc3c2cccc3F)C1 ZINC000909725706 709597267 /nfs/dbraw/zinc/59/72/67/709597267.db2.gz PVUCCCSTEYXXRT-LLVKDONJSA-N -1 1 306.337 1.511 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2C[C@H]2c2ccccc2F)C1 ZINC000909726364 709597597 /nfs/dbraw/zinc/59/75/97/709597597.db2.gz RWXBNXSOHJPMIC-CORIIIEPSA-N -1 1 320.364 1.594 20 0 DDADMM COCCN(CCC(=O)[O-])C(=O)[C@H](N)c1cccc(Cl)c1 ZINC000900472627 709612231 /nfs/dbraw/zinc/61/22/31/709612231.db2.gz LZGZXOUBUDRZSK-CYBMUJFWSA-N -1 1 314.769 1.290 20 0 DDADMM C[C@@H](NC(=O)[C@@H]1CCCCN1C)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909819201 709639187 /nfs/dbraw/zinc/63/91/87/709639187.db2.gz ILUVHNNAZDPDJX-BMFZPTHFSA-N -1 1 318.417 1.919 20 0 DDADMM C[C@@H](NC(=O)CCc1cnc[nH]1)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909823895 709641542 /nfs/dbraw/zinc/64/15/42/709641542.db2.gz QBTZQIUXPUHIMS-DOMZBBRYSA-N -1 1 315.373 1.791 20 0 DDADMM CCO[C@]12CCC[C@@]1(NC(=O)c1ncc(C)cc1[O-])CCO2 ZINC000889804294 709642672 /nfs/dbraw/zinc/64/26/72/709642672.db2.gz JXXHEQZGZMOUAM-CVEARBPZSA-N -1 1 306.362 1.901 20 0 DDADMM Cc1ccc2c(c1)[C@@H](NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)CC2 ZINC000909900350 709678481 /nfs/dbraw/zinc/67/84/81/709678481.db2.gz GWHYVQKKDPOFDQ-ZBFHGGJFSA-N -1 1 316.401 1.895 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)c2cccc3nn[nH]c32)C[C@H]1C1CC1 ZINC000909906183 709681102 /nfs/dbraw/zinc/68/11/02/709681102.db2.gz ZRHKQLXPBIZDRX-WDEREUQCSA-N -1 1 300.318 1.141 20 0 DDADMM CSCC1(CCNC(=O)CN2CCC[C@H](C(=O)[O-])C2)CC1 ZINC000909944805 709700749 /nfs/dbraw/zinc/70/07/49/709700749.db2.gz WNEFFDZTYFMDPE-LBPRGKRZSA-N -1 1 314.451 1.433 20 0 DDADMM O=C([O-])C12CC(C1)CN2C(=O)CC1(N2CCOCC2)CCCC1 ZINC000909949146 709703997 /nfs/dbraw/zinc/70/39/97/709703997.db2.gz UIWKNSBDKOWVHN-UHFFFAOYSA-N -1 1 322.405 1.097 20 0 DDADMM C[C@@H]1CN(C(=O)c2n[nH]cc2C(F)(F)F)CC[C@@H]1C(=O)[O-] ZINC000909988360 709722167 /nfs/dbraw/zinc/72/21/67/709722167.db2.gz HFKMKCSQSOKXBI-RQJHMYQMSA-N -1 1 305.256 1.611 20 0 DDADMM CN(C)CC(=O)N[C@H](Cc1cccc2ccccc21)C(=O)[O-] ZINC000910069897 709763408 /nfs/dbraw/zinc/76/34/08/709763408.db2.gz ASRCMPZDLARXGI-OAHLLOKOSA-N -1 1 300.358 1.513 20 0 DDADMM Cc1ccc(-c2cc(C(=O)N3CC([C@H](F)C(=O)[O-])C3)n[nH]2)cc1 ZINC000910132322 709785220 /nfs/dbraw/zinc/78/52/20/709785220.db2.gz AMMHEIHLQNJUMB-AWEZNQCLSA-N -1 1 317.320 1.880 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C2(C(F)(F)F)CCC2)CC1 ZINC000910170805 709796890 /nfs/dbraw/zinc/79/68/90/709796890.db2.gz OHDHCTVFTPRYDP-JTQLQIEISA-N -1 1 322.327 1.726 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)COc2ccsc2)CC1 ZINC000910172975 709797317 /nfs/dbraw/zinc/79/73/17/709797317.db2.gz IBEVJILJYMWBEH-NSHDSACASA-N -1 1 312.391 1.134 20 0 DDADMM Cc1ccc(/C=C\C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cn1 ZINC000910173828 709797660 /nfs/dbraw/zinc/79/76/60/709797660.db2.gz AZRGLDDIRNBPSN-GXMNPTEKSA-N -1 1 317.389 1.411 20 0 DDADMM Cc1nccc(N2CCO[C@H](C(=O)[O-])C2)c1Br ZINC000900943709 709840070 /nfs/dbraw/zinc/84/00/70/709840070.db2.gz GEECQCIAAIXNJJ-VIFPVBQESA-N -1 1 301.140 1.442 20 0 DDADMM CO[C@@H]1CN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)[C@@](C)(C(=O)[O-])C1 ZINC000910262754 709846953 /nfs/dbraw/zinc/84/69/53/709846953.db2.gz DTFKXBIHDLGIHR-DFANHVJVSA-N -1 1 321.377 1.166 20 0 DDADMM C[C@@H]1CN(C[C@@H]2CCCN2c2cc(C(=O)[O-])ncn2)C[C@H](C)O1 ZINC000910352671 709906333 /nfs/dbraw/zinc/90/63/33/709906333.db2.gz ROFUYGXHYJDTAK-XQQFMLRXSA-N -1 1 320.393 1.253 20 0 DDADMM O=C(NC[C@H](O)C1CCCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000901066447 709910420 /nfs/dbraw/zinc/91/04/20/709910420.db2.gz HEPILDBITAHVJH-ZDUSSCGKSA-N -1 1 305.378 1.731 20 0 DDADMM Cc1ccc([C@@H](O)CNC(=O)c2cnc(C3CC3)[n-]c2=O)cc1 ZINC000901069178 709911582 /nfs/dbraw/zinc/91/15/82/709911582.db2.gz WMQVWAOHNJHKHU-AWEZNQCLSA-N -1 1 313.357 1.831 20 0 DDADMM C[C@]1(C2CCN(C(=O)c3cncc([O-])c3)CC2)COC(=O)N1 ZINC000928158619 713141377 /nfs/dbraw/zinc/14/13/77/713141377.db2.gz GOHKIAWPKGEEIL-OAHLLOKOSA-N -1 1 305.334 1.138 20 0 DDADMM Cc1ccccc1[C@@H](CO)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901298734 710001032 /nfs/dbraw/zinc/00/10/32/710001032.db2.gz MJAGPTNFNVCFIT-CQSZACIVSA-N -1 1 313.357 1.831 20 0 DDADMM O=C([O-])[C@H](C[C@H]1CCCO1)NC(=O)c1ccc2cncn2c1 ZINC000910590001 710013185 /nfs/dbraw/zinc/01/31/85/710013185.db2.gz DSKIDODYDSMMTM-OLZOCXBDSA-N -1 1 303.318 1.086 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)C2(C(=O)[O-])C(C)(C)C2(C)C)n[nH]1 ZINC000901611210 710095021 /nfs/dbraw/zinc/09/50/21/710095021.db2.gz VXERJNHSGPGILW-SECBINFHSA-N -1 1 307.394 1.902 20 0 DDADMM CO[C@H](CC(C)C)CN(C)CC(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000901623060 710099344 /nfs/dbraw/zinc/09/93/44/710099344.db2.gz GBYSPJSZTZTFBV-UONOGXRCSA-N -1 1 314.426 1.303 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1C[C@H](C(=O)[O-])CC[C@@H]1C ZINC000901649344 710107622 /nfs/dbraw/zinc/10/76/22/710107622.db2.gz GDXAGJPNPOHCBC-FBKDDSFISA-N -1 1 305.378 1.787 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)C[C@H]1C(=O)[O-] ZINC000901698531 710123557 /nfs/dbraw/zinc/12/35/57/710123557.db2.gz HEPSYSLJQOHECI-FTUHBYGFSA-N -1 1 305.378 1.645 20 0 DDADMM O=C(NCCOC1CCSCC1)c1cnc(C2CC2)[n-]c1=O ZINC000891216408 710126930 /nfs/dbraw/zinc/12/69/30/710126930.db2.gz DVWJEZWUNJUGEF-UHFFFAOYSA-N -1 1 323.418 1.702 20 0 DDADMM C[C@H](NCc1cnc(C(C)(C)C)s1)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901743796 710138515 /nfs/dbraw/zinc/13/85/15/710138515.db2.gz PWZIWABNDJNPBJ-IUCAKERBSA-N -1 1 313.423 1.508 20 0 DDADMM CC(C)(CCC(=O)[O-])C(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000910987046 710142284 /nfs/dbraw/zinc/14/22/84/710142284.db2.gz JEFNWWWHFCXNKG-RYUDHWBXSA-N -1 1 314.451 1.573 20 0 DDADMM O=C(CN1CCCCS1(=O)=O)Nc1cc(F)cc(F)c1[O-] ZINC000910991725 710144274 /nfs/dbraw/zinc/14/42/74/710144274.db2.gz REUOABJNNCSBBV-UHFFFAOYSA-N -1 1 320.317 1.035 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC000891618162 710249746 /nfs/dbraw/zinc/24/97/46/710249746.db2.gz YHQHJNFITOXKKJ-OUJBWJOFSA-N -1 1 303.362 1.815 20 0 DDADMM O=C(CCOC[C@@H]1CCCO1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891622109 710251017 /nfs/dbraw/zinc/25/10/17/710251017.db2.gz CIUBCAZCGHGBFA-NSHDSACASA-N -1 1 321.377 1.585 20 0 DDADMM Cn1ccc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cc1=O ZINC000891625012 710251558 /nfs/dbraw/zinc/25/15/58/710251558.db2.gz DHVLHSGGOCIDSQ-UHFFFAOYSA-N -1 1 300.318 1.012 20 0 DDADMM COCc1nc(NCCNC(=O)c2ccc(F)cc2)cc(=O)[n-]1 ZINC000891974856 710344011 /nfs/dbraw/zinc/34/40/11/710344011.db2.gz QVGOUTMUXXTVBZ-UHFFFAOYSA-N -1 1 320.324 1.310 20 0 DDADMM COCc1nc(N2CCC[C@@H](N3CCCCC3=O)C2)cc(=O)[n-]1 ZINC000892492848 710447091 /nfs/dbraw/zinc/44/70/91/710447091.db2.gz VHMXUJRWIZZTHC-GFCCVEGCSA-N -1 1 320.393 1.310 20 0 DDADMM CN(C(=O)CCc1cccc(OC(C)(C)C)n1)c1nn[n-]n1 ZINC000892954297 710531362 /nfs/dbraw/zinc/53/13/62/710531362.db2.gz IDZCZFLMAHYJKA-UHFFFAOYSA-N -1 1 304.354 1.368 20 0 DDADMM C[C@@H](Cc1ccc(Br)cc1)C(=O)N(C)c1nn[n-]n1 ZINC000892954664 710531794 /nfs/dbraw/zinc/53/17/94/710531794.db2.gz PHLBYXAASUBSDJ-QMMMGPOBSA-N -1 1 324.182 1.804 20 0 DDADMM C[C@H]1Cc2cc(NC(=O)CN3CCC[C@@H](C(=O)[O-])C3)ccc2O1 ZINC000911083740 710626717 /nfs/dbraw/zinc/62/67/17/710626717.db2.gz ZGKWDFXUGAKYMS-NWDGAFQWSA-N -1 1 318.373 1.745 20 0 DDADMM CC(C)CN1CCN(C(=O)[C@@H]2CC[C@H](CCC(=O)[O-])O2)CC1 ZINC000911108200 710637044 /nfs/dbraw/zinc/63/70/44/710637044.db2.gz XPDHIGJDLRWJGX-KGLIPLIRSA-N -1 1 312.410 1.199 20 0 DDADMM Cc1oc(C(=O)[O-])cc1C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000911118567 710643527 /nfs/dbraw/zinc/64/35/27/710643527.db2.gz OIRKMNWKLYSCSG-GFCCVEGCSA-N -1 1 324.377 1.373 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)c1[nH]nc2c1CCCCC2)C(F)(F)F ZINC000911158723 710661114 /nfs/dbraw/zinc/66/11/14/710661114.db2.gz QCBCWEWGUHEVDL-SECBINFHSA-N -1 1 319.283 1.814 20 0 DDADMM CC[C@@H](CNCc1cn(CC(=O)[O-])nn1)Oc1ccccc1C ZINC000902398608 710741646 /nfs/dbraw/zinc/74/16/46/710741646.db2.gz RERUOOIXCUKHHW-AWEZNQCLSA-N -1 1 318.377 1.618 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000911333264 710746567 /nfs/dbraw/zinc/74/65/67/710746567.db2.gz UHJMDBMIMYRWHJ-STQMWFEESA-N -1 1 308.378 1.952 20 0 DDADMM CO[C@@]1(C)C[C@H]1NCc1cn(-c2ccccc2)nc1C(=O)[O-] ZINC000902484488 710768351 /nfs/dbraw/zinc/76/83/51/710768351.db2.gz WAJOGHALEFSZBN-CJNGLKHVSA-N -1 1 301.346 1.838 20 0 DDADMM O=C([O-])CC[C@@H]1CC[C@H](C(=O)N2CCC(c3cnc[nH]3)CC2)O1 ZINC000911385686 710772562 /nfs/dbraw/zinc/77/25/62/710772562.db2.gz YFGHYEQXAMXUCS-GXTWGEPZSA-N -1 1 321.377 1.528 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)Cc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000911388946 710774257 /nfs/dbraw/zinc/77/42/57/710774257.db2.gz FPOVPEAMCSRHNR-JTQLQIEISA-N -1 1 301.346 1.706 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)Cc1ccc(C(=O)[O-])cc1 ZINC000911409895 710786357 /nfs/dbraw/zinc/78/63/57/710786357.db2.gz RBSRPZWLXPKXAK-OAHLLOKOSA-N -1 1 304.390 1.726 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCC[C@@H](O)c2ccccc2)C1 ZINC000911432560 710796581 /nfs/dbraw/zinc/79/65/81/710796581.db2.gz LCCQGHHIRKDGKR-HUUCEWRRSA-N -1 1 320.389 1.023 20 0 DDADMM C[C@@H]1C(=O)N[C@@H](C)[C@H](C)N1C(=O)c1ncc2ccccc2c1[O-] ZINC000911806314 711004026 /nfs/dbraw/zinc/00/40/26/711004026.db2.gz SLTCXXALQMHWSI-GARJFASQSA-N -1 1 313.357 1.678 20 0 DDADMM Cc1ccncc1S(=O)(=O)[N-]c1cc([C@H]2CCCOC2)n[nH]1 ZINC000903122999 711039590 /nfs/dbraw/zinc/03/95/90/711039590.db2.gz IOGFIJYCKVIRML-NSHDSACASA-N -1 1 322.390 1.808 20 0 DDADMM CON1CC[C@@H](N2CCC(c3ccccc3C(=O)[O-])CC2)C1=O ZINC000903404343 711116346 /nfs/dbraw/zinc/11/63/46/711116346.db2.gz FWUQOKUDBYDAQI-OAHLLOKOSA-N -1 1 318.373 1.727 20 0 DDADMM COCc1nc(N[C@H]2CCC[C@H]2Cc2cnn(C)c2)cc(=O)[n-]1 ZINC000894573374 711188624 /nfs/dbraw/zinc/18/86/24/711188624.db2.gz XWERZQGUYNKIGR-STQMWFEESA-N -1 1 317.393 1.885 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC(=O)C[C@H]1C1CC1 ZINC000912185388 711208051 /nfs/dbraw/zinc/20/80/51/711208051.db2.gz RIMJKMJDTHAICW-LBPRGKRZSA-N -1 1 316.386 1.602 20 0 DDADMM C[C@H](c1nnnn1-c1ccccc1)N1C[C@@H](C)[C@H](CC(=O)[O-])C1 ZINC000903630914 711230264 /nfs/dbraw/zinc/23/02/64/711230264.db2.gz GNDNWPKVBGKEBF-JHJVBQTASA-N -1 1 315.377 1.766 20 0 DDADMM COc1cc(OC)cc([C@@H](C(=O)[O-])[N@H+]2CCOC[C@@H](C)C2)c1 ZINC000903632980 711231171 /nfs/dbraw/zinc/23/11/71/711231171.db2.gz ABQHPRJVRUAWGQ-NHYWBVRUSA-N -1 1 309.362 1.798 20 0 DDADMM O=S(=O)(CCc1cccc(F)c1)[N-]c1nc(C(F)F)n[nH]1 ZINC000903637630 711232848 /nfs/dbraw/zinc/23/28/48/711232848.db2.gz YDKJCQOFOZCGHT-UHFFFAOYSA-N -1 1 320.296 1.866 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1[C@H](CO)CC[C@H]1CO ZINC000912228976 711233016 /nfs/dbraw/zinc/23/30/16/711233016.db2.gz HHMQJMXDVBXDOR-UWVGGRQHSA-N -1 1 319.279 1.369 20 0 DDADMM CC[C@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)C1CCC(C)CC1 ZINC000913496687 713238735 /nfs/dbraw/zinc/23/87/35/713238735.db2.gz AHUZDDCKHATESU-CLRIEMFWSA-N -1 1 321.425 1.952 20 0 DDADMM Cc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c2ccccc12 ZINC000913497381 713239002 /nfs/dbraw/zinc/23/90/02/713239002.db2.gz ALKCUNROLIKIBP-HNNXBMFYSA-N -1 1 323.356 1.875 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)Nc1ccccc1C ZINC000903711526 711250329 /nfs/dbraw/zinc/25/03/29/711250329.db2.gz BIPSXIGWAHLCIB-ZDUSSCGKSA-N -1 1 308.378 1.745 20 0 DDADMM CC1=C(C(=O)[N-]Oc2ccc(F)cc2)[C@@H](C)n2nnnc2N1C ZINC000912261450 711250483 /nfs/dbraw/zinc/25/04/83/711250483.db2.gz CONSTUUMBRMNIV-SECBINFHSA-N -1 1 318.312 1.207 20 0 DDADMM CCC[C@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccn1 ZINC000913498373 713239196 /nfs/dbraw/zinc/23/91/96/713239196.db2.gz PGLDYDLQUXSCOB-WCQYABFASA-N -1 1 316.365 1.079 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=CC2CCCC2)n[n-]1 ZINC000912362999 711292745 /nfs/dbraw/zinc/29/27/45/711292745.db2.gz IGFBZVQZAFFQIX-DDXVTDLHSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=CC2CCCC2)[n-]1 ZINC000912362999 711292747 /nfs/dbraw/zinc/29/27/47/711292747.db2.gz IGFBZVQZAFFQIX-DDXVTDLHSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)/C=C/C2CCCC2)n1 ZINC000912362999 711292749 /nfs/dbraw/zinc/29/27/49/711292749.db2.gz IGFBZVQZAFFQIX-DDXVTDLHSA-N -1 1 306.366 1.905 20 0 DDADMM O=C([O-])c1ccc(F)c2c1CN(C[C@@H]1C[C@H]3COC[C@@H]3O1)CC2 ZINC000903910331 711327094 /nfs/dbraw/zinc/32/70/94/711327094.db2.gz UDYHEXKZNHGLBF-MMPTUQATSA-N -1 1 321.348 1.686 20 0 DDADMM CCO[C@@H]1C[C@](NCc2nn(CC)nc2C)(C(=O)[O-])C1(C)C ZINC000904010747 711363522 /nfs/dbraw/zinc/36/35/22/711363522.db2.gz NXLAWOGMEBUMJN-DOMZBBRYSA-N -1 1 310.398 1.354 20 0 DDADMM COC(=O)[C@@H]1CSCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000895083341 711401264 /nfs/dbraw/zinc/40/12/64/711401264.db2.gz DDWXPPTVTGGVLA-JTQLQIEISA-N -1 1 315.778 1.776 20 0 DDADMM COCc1nc(NC[C@@H](O)C(F)(F)C(F)(F)F)cc(=O)[n-]1 ZINC000895163616 711438478 /nfs/dbraw/zinc/43/84/78/711438478.db2.gz SIHDZXBTHDSMJR-RXMQYKEDSA-N -1 1 317.214 1.299 20 0 DDADMM CN(C)C(=O)CCCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895861824 711628124 /nfs/dbraw/zinc/62/81/24/711628124.db2.gz CXNIRTRHALFGSP-UHFFFAOYSA-N -1 1 316.357 1.849 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CCCNC(=O)C1 ZINC000896091726 711674933 /nfs/dbraw/zinc/67/49/33/711674933.db2.gz JNVNWIRDVGCSPU-UHFFFAOYSA-N -1 1 300.314 1.213 20 0 DDADMM COCc1nc(NC[C@H]2CCN2C(=O)OC(C)(C)C)cc(=O)[n-]1 ZINC000896146657 711682451 /nfs/dbraw/zinc/68/24/51/711682451.db2.gz VCDFTWNDYSLFFT-SNVBAGLBSA-N -1 1 324.381 1.750 20 0 DDADMM COc1cc(-c2nc(-c3cn(C)nn3)no2)cc(Cl)c1[O-] ZINC000904810327 711894576 /nfs/dbraw/zinc/89/45/76/711894576.db2.gz SLPIXAIPZDIMPR-UHFFFAOYSA-N -1 1 307.697 1.900 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)[C@@H]1C[C@@]1(C(=O)[O-])c1ccccc1 ZINC000905125868 711943824 /nfs/dbraw/zinc/94/38/24/711943824.db2.gz LEUWQRALLNNYRO-JKIFEVAISA-N -1 1 302.374 1.239 20 0 DDADMM Cc1ccc(C)c(OCC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913742035 713291866 /nfs/dbraw/zinc/29/18/66/713291866.db2.gz CFLJMQZPMJYRHZ-UHFFFAOYSA-N -1 1 315.377 1.602 20 0 DDADMM O=C(N1CCC(c2nn[n-]n2)CC1)C1(C(F)(F)F)CCC1 ZINC000913746363 713294162 /nfs/dbraw/zinc/29/41/62/713294162.db2.gz QENOCDPRLRTFNZ-UHFFFAOYSA-N -1 1 303.288 1.638 20 0 DDADMM CC(C)(C)c1csc(C(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913746995 713294429 /nfs/dbraw/zinc/29/44/29/713294429.db2.gz NVXFYBVFXXQVNY-UHFFFAOYSA-N -1 1 320.422 1.974 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1ccnc(Cl)c1C ZINC000906066151 712235537 /nfs/dbraw/zinc/23/55/37/712235537.db2.gz HNFZFTIHSFXMCO-QGZVFWFLSA-N -1 1 311.816 1.818 20 0 DDADMM C[C@@H]1CN(C(C)(C)CNc2cc(C(=O)[O-])ncn2)C[C@H](C)O1 ZINC000906138834 712252326 /nfs/dbraw/zinc/25/23/26/712252326.db2.gz IAZJIXCWQHXHMI-PHIMTYICSA-N -1 1 308.382 1.475 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CCCSCC2)c1 ZINC000906820959 712417655 /nfs/dbraw/zinc/41/76/55/712417655.db2.gz RSGSHRDCHGFARP-UHFFFAOYSA-N -1 1 317.388 1.218 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2CC[C@H](C3CC3)O2)sn1 ZINC000907471158 712580308 /nfs/dbraw/zinc/58/03/08/712580308.db2.gz MIWRFTLEDZZZPU-GHMZBOCLSA-N -1 1 302.421 1.687 20 0 DDADMM O=C(c1csc(C2CC2)n1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907473337 712581299 /nfs/dbraw/zinc/58/12/99/712581299.db2.gz GNSXMZLAWKJIJY-SECBINFHSA-N -1 1 322.419 1.464 20 0 DDADMM O=C([C@@H]1Cc2ccccc21)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907476538 712582396 /nfs/dbraw/zinc/58/23/96/712582396.db2.gz QPHLMXKAJNVLTE-NEPJUHHUSA-N -1 1 301.375 1.156 20 0 DDADMM CC1(C)CO[C@H](CC(=O)N2CCSC[C@H]2c2nn[n-]n2)C1 ZINC000907476487 712582423 /nfs/dbraw/zinc/58/24/23/712582423.db2.gz NPUBPIJDTRZBPA-ZJUUUORDSA-N -1 1 311.411 1.021 20 0 DDADMM CC1(C)CO[C@@H](CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)C1 ZINC000907476484 712582462 /nfs/dbraw/zinc/58/24/62/712582462.db2.gz NPUBPIJDTRZBPA-VHSXEESVSA-N -1 1 311.411 1.021 20 0 DDADMM CCc1ccc(O)c(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000907477908 712582762 /nfs/dbraw/zinc/58/27/62/712582762.db2.gz VRONQQLIXPTVOW-NSHDSACASA-N -1 1 319.390 1.398 20 0 DDADMM CCOCCCCCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907478455 712583083 /nfs/dbraw/zinc/58/30/83/712583083.db2.gz VMFZKPGVPMCFLR-NSHDSACASA-N -1 1 313.427 1.413 20 0 DDADMM CCc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c(O)c1 ZINC000907480142 712583481 /nfs/dbraw/zinc/58/34/81/712583481.db2.gz QJYXYAVBXUEQKP-LLVKDONJSA-N -1 1 319.390 1.398 20 0 DDADMM C[C@H]1CN(C)C(=O)CN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907608353 712602248 /nfs/dbraw/zinc/60/22/48/712602248.db2.gz WZNSLVCHHCVMDM-VIFPVBQESA-N -1 1 317.320 1.382 20 0 DDADMM CC(=CC(=O)N1CCC(O)(c2nn[n-]n2)CC1)c1cccs1 ZINC000907941959 712652460 /nfs/dbraw/zinc/65/24/60/712652460.db2.gz UHFKONDQIPKCBN-KTKRTIGZSA-N -1 1 319.390 1.175 20 0 DDADMM COc1cnc([C@H]2CCCN2S(=O)(=O)CCCF)[n-]c1=O ZINC000908016529 712666584 /nfs/dbraw/zinc/66/65/84/712666584.db2.gz NGQVGGWBLLGBQM-SECBINFHSA-N -1 1 319.358 1.017 20 0 DDADMM CN(C(=O)[C@H]1CCCN1C1CC1)c1cccc(CC(=O)[O-])c1 ZINC000908084075 712682338 /nfs/dbraw/zinc/68/23/38/712682338.db2.gz DTOIZQZUCAOCSM-OAHLLOKOSA-N -1 1 302.374 1.903 20 0 DDADMM COCCc1ccnc(NC(=O)c2cnc(C3CC3)[n-]c2=O)c1 ZINC000908138726 712696219 /nfs/dbraw/zinc/69/62/19/712696219.db2.gz BGBAFADNDPKRKU-UHFFFAOYSA-N -1 1 314.345 1.896 20 0 DDADMM Cc1cc(C(=O)N2CCOc3cc(C(=O)[O-])ccc3C2)n[nH]1 ZINC000908141810 712696850 /nfs/dbraw/zinc/69/68/50/712696850.db2.gz WYYMQFNDWMMSTQ-UHFFFAOYSA-N -1 1 301.302 1.451 20 0 DDADMM O=C([O-])c1ccc2c(c1)OCCN(C(=O)CN1CCCC1)C2 ZINC000908146647 712697939 /nfs/dbraw/zinc/69/79/39/712697939.db2.gz BEIPWZUCNSRSCM-UHFFFAOYSA-N -1 1 304.346 1.202 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@H]1CC[C@H](CC(=O)[O-])C1 ZINC000908387655 712758128 /nfs/dbraw/zinc/75/81/28/712758128.db2.gz YQRKYMBVXNWCOY-JKSUJKDBSA-N -1 1 318.417 1.921 20 0 DDADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@H](CO)c1ccccc1 ZINC000908570052 712809511 /nfs/dbraw/zinc/80/95/11/712809511.db2.gz LBHNPHSIMGEWPJ-CQSZACIVSA-N -1 1 313.357 1.865 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CCC[C@H](C(=O)[O-])C3)n[nH]2)c1 ZINC000908598359 712817947 /nfs/dbraw/zinc/81/79/47/712817947.db2.gz NMDOCKRHQSGWPH-NSHDSACASA-N -1 1 302.334 1.352 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)CC1(F)CC1)C(=O)OC(C)(C)C ZINC000914257293 713366530 /nfs/dbraw/zinc/36/65/30/713366530.db2.gz XMDHFEIUUIKESN-SNVBAGLBSA-N -1 1 309.403 1.774 20 0 DDADMM C[N@H+]1CCC[C@@H](NC(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000914314711 713375875 /nfs/dbraw/zinc/37/58/75/713375875.db2.gz BMQYWMCFNKUAGB-SNVBAGLBSA-N -1 1 313.348 1.606 20 0 DDADMM CCOCc1nc([C@H](C)[N-]S(=O)(=O)CC2(F)CCC2)no1 ZINC000915741108 713430161 /nfs/dbraw/zinc/43/01/61/713430161.db2.gz CEPINVHNUVNOKK-VIFPVBQESA-N -1 1 321.374 1.479 20 0 DDADMM C[C@H]([N-]S(=O)(=O)CC1(F)CC1)c1nc(C(F)(F)F)no1 ZINC000916478017 713455457 /nfs/dbraw/zinc/45/54/57/713455457.db2.gz CIQSYUICIIFPSZ-YFKPBYRVSA-N -1 1 317.264 1.571 20 0 DDADMM CNc1ccccc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000916588061 713459323 /nfs/dbraw/zinc/45/93/23/713459323.db2.gz PVUDUJJJQMCIJZ-UHFFFAOYSA-N -1 1 312.325 1.842 20 0 DDADMM CCN(CCC(N)=O)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000928821124 713485528 /nfs/dbraw/zinc/48/55/28/713485528.db2.gz MRDWTLHAYHVSHR-UHFFFAOYSA-N -1 1 305.309 1.417 20 0 DDADMM CON(C)C(=O)c1cc(NC(=O)c2cnoc2C)ccc1[O-] ZINC000917494539 713500361 /nfs/dbraw/zinc/50/03/61/713500361.db2.gz PZQQVGHZNPGPSF-UHFFFAOYSA-N -1 1 305.290 1.574 20 0 DDADMM CCN(CC)C(=O)COC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000917944985 713520477 /nfs/dbraw/zinc/52/04/77/713520477.db2.gz JXDKUTBNOCZMPE-UHFFFAOYSA-N -1 1 317.345 1.603 20 0 DDADMM CCN(C(=O)[C@@H]1C[C@H]1C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942908677 717906928 /nfs/dbraw/zinc/90/69/28/717906928.db2.gz MTRBSGPDQVCRKD-FRRDWIJNSA-N -1 1 317.389 1.506 20 0 DDADMM COC(C)(C)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000920651850 713685303 /nfs/dbraw/zinc/68/53/03/713685303.db2.gz YHJPZFBWNCOTII-UHFFFAOYSA-N -1 1 302.321 1.937 20 0 DDADMM C[C@H](CN1CCN2C(=O)OC[C@H]2C1)C(=O)c1ccc([O-])cc1 ZINC000929842756 713704146 /nfs/dbraw/zinc/70/41/46/713704146.db2.gz IWQKWVVGUKRNIA-DGCLKSJQSA-N -1 1 304.346 1.347 20 0 DDADMM CN1C[C@H](CC[N-]S(=O)(=O)c2cc(F)ccc2F)CC1=O ZINC000921083859 713712915 /nfs/dbraw/zinc/71/29/15/713712915.db2.gz RZEZYRHKPHJBTR-SECBINFHSA-N -1 1 318.345 1.112 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-][C@@H]1c2ccccc2OC[C@H]1F ZINC000921318774 713732286 /nfs/dbraw/zinc/73/22/86/713732286.db2.gz CKLUWRDSNMTJRA-ZKYQVNSYSA-N -1 1 315.366 1.557 20 0 DDADMM O=S(=O)([N-][C@]12C[C@H]1CCC2)c1nc[nH]c1Br ZINC000922089647 713957305 /nfs/dbraw/zinc/95/73/05/713957305.db2.gz GOWLGZQCNYTBJW-HZGVNTEJSA-N -1 1 306.185 1.393 20 0 DDADMM Cc1cn(C)nc1CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000931568001 714130176 /nfs/dbraw/zinc/13/01/76/714130176.db2.gz NQCUULWBUWXKGI-LBPRGKRZSA-N -1 1 304.316 1.371 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)CC1 ZINC000923104481 714238328 /nfs/dbraw/zinc/23/83/28/714238328.db2.gz XUBSQMHMOSZTIF-XQLPTFJDSA-N -1 1 308.422 1.820 20 0 DDADMM C[C@H]1CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)[C@H]1CO ZINC000932048727 714246133 /nfs/dbraw/zinc/24/61/33/714246133.db2.gz MZECIZJGYCLUQM-FZMZJTMJSA-N -1 1 316.361 1.813 20 0 DDADMM C[C@H]1CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)[C@@H]1CO ZINC000932048729 714246291 /nfs/dbraw/zinc/24/62/91/714246291.db2.gz MZECIZJGYCLUQM-SMDDNHRTSA-N -1 1 316.361 1.813 20 0 DDADMM C[C@@H](O)CCCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932050968 714246577 /nfs/dbraw/zinc/24/65/77/714246577.db2.gz DNMGYEHPEXFIIK-LLVKDONJSA-N -1 1 304.350 1.860 20 0 DDADMM CO[C@@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCO1 ZINC000932059830 714249168 /nfs/dbraw/zinc/24/91/68/714249168.db2.gz XDHYZRADBZZIIE-AWEZNQCLSA-N -1 1 318.333 1.415 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)N[C@H](C)C(F)F)[n-]c1=O ZINC000932434700 714329909 /nfs/dbraw/zinc/32/99/09/714329909.db2.gz ZZEGOZBCXGCIQC-SFYZADRCSA-N -1 1 316.308 1.691 20 0 DDADMM Nc1c(C(=O)OCCC[N-]C(=O)C(F)(F)F)cnn1C1CC1 ZINC000923606052 714427337 /nfs/dbraw/zinc/42/73/37/714427337.db2.gz OUIJYFOJCCRIRS-UHFFFAOYSA-N -1 1 320.271 1.026 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2ccc(SC3CC3)cc2)nc1=O ZINC000923766707 714466448 /nfs/dbraw/zinc/46/64/48/714466448.db2.gz QZMPHMBWXDJWHL-UHFFFAOYSA-N -1 1 319.386 1.649 20 0 DDADMM CCCCN(C)C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933103747 714516394 /nfs/dbraw/zinc/51/63/94/714516394.db2.gz LYNRRUHXWKPQPL-UHFFFAOYSA-N -1 1 314.332 1.588 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CC[C@]3(CO[C@@H](C)[C@@H]3C)O2)c([O-])c1 ZINC000924276821 714554876 /nfs/dbraw/zinc/55/48/76/714554876.db2.gz HWPYANDYYYZSGT-NEULZYRMSA-N -1 1 320.389 1.798 20 0 DDADMM CCn1cc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)nn1 ZINC000934268316 714781140 /nfs/dbraw/zinc/78/11/40/714781140.db2.gz AFHPHUMNZACAMJ-LLVKDONJSA-N -1 1 304.354 1.139 20 0 DDADMM COc1cnc([C@H]2CCCN2CC(=O)OC(C)(C)C)[n-]c1=O ZINC000934278465 714785453 /nfs/dbraw/zinc/78/54/53/714785453.db2.gz XWFBFICUJGPFCW-SNVBAGLBSA-N -1 1 309.366 1.669 20 0 DDADMM C[C@]1(Cc2ccccc2)SCCN1C(=O)CCc1nn[n-]n1 ZINC000925461926 714864264 /nfs/dbraw/zinc/86/42/64/714864264.db2.gz SWHAPFVXDZNYDT-OAHLLOKOSA-N -1 1 317.418 1.667 20 0 DDADMM C[C@@H]1CC=CC[C@H]1[NH2+]CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000934622624 714864370 /nfs/dbraw/zinc/86/43/70/714864370.db2.gz PQGQPCXKVSEWMU-VXGBXAGGSA-N -1 1 305.403 1.953 20 0 DDADMM CC[C@@](C)(O)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000934706816 714884621 /nfs/dbraw/zinc/88/46/21/714884621.db2.gz AWACBZCEDUMONO-QGZVFWFLSA-N -1 1 305.374 1.975 20 0 DDADMM O=C(c1cnc(F)c(Cl)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000935037197 714961191 /nfs/dbraw/zinc/96/11/91/714961191.db2.gz PSNQPEVSEMJVOJ-ZETCQYMHSA-N -1 1 310.720 1.407 20 0 DDADMM C[C@H](CNS(=O)(=O)c1occc1Br)C(=O)[O-] ZINC000926454478 715069168 /nfs/dbraw/zinc/06/91/68/715069168.db2.gz ZEOKXHCZODWPPA-RXMQYKEDSA-N -1 1 312.141 1.041 20 0 DDADMM O=C(c1cccc2c1OCC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000935794052 715128891 /nfs/dbraw/zinc/12/88/91/715128891.db2.gz MMQFQIGDGYXMPU-NSHDSACASA-N -1 1 315.329 1.730 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216422 715293743 /nfs/dbraw/zinc/29/37/43/715293743.db2.gz BUERDIDMFPXLSX-TUAOUCFPSA-N -1 1 303.362 1.116 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943204158 718046095 /nfs/dbraw/zinc/04/60/95/718046095.db2.gz QMDUCNLHKWUNFA-AVGNSLFASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937860145 715616391 /nfs/dbraw/zinc/61/63/91/715616391.db2.gz FAXOZZLQWRHMJZ-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])[C@H]1CC12CC2 ZINC000955977050 716046739 /nfs/dbraw/zinc/04/67/39/716046739.db2.gz JCVZFVUIBULITK-NWDGAFQWSA-N -1 1 315.373 1.308 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C(C)(C)C)C1 ZINC000939589296 716341464 /nfs/dbraw/zinc/34/14/64/716341464.db2.gz FCQIWYCNERZYHO-NSHDSACASA-N -1 1 305.378 1.506 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1ccoc1 ZINC000966329532 718516957 /nfs/dbraw/zinc/51/69/57/718516957.db2.gz MDEJZKKHJKBDAK-PWSUYJOCSA-N -1 1 315.329 1.271 20 0 DDADMM O=C(NC[C@@H]1CCC[C@H]1NC(=O)C1CCC1)c1ncccc1[O-] ZINC000946082107 718669619 /nfs/dbraw/zinc/66/96/19/718669619.db2.gz RGWDPGJENVNRHB-QWHCGFSZSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])C1CCCC1 ZINC000948623582 719596835 /nfs/dbraw/zinc/59/68/35/719596835.db2.gz KVGHGDJZIFZVPU-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM Cc1nc(CN[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)c(C)o1 ZINC000968482581 719611888 /nfs/dbraw/zinc/61/18/88/719611888.db2.gz ZSBYKKUTTPZJMW-GFCCVEGCSA-N -1 1 316.361 1.396 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000949455636 720098944 /nfs/dbraw/zinc/09/89/44/720098944.db2.gz FDSBEPYVHKPUCI-GHMZBOCLSA-N -1 1 303.362 1.164 20 0 DDADMM C/C(=C\C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000949530576 720142230 /nfs/dbraw/zinc/14/22/30/720142230.db2.gz BEZPYIJXQBZXLB-YGNAEDSMSA-N -1 1 315.373 1.474 20 0 DDADMM Cc1c[nH]cc1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000950067260 720475178 /nfs/dbraw/zinc/47/51/78/720475178.db2.gz GTOIIBOXUQSKKH-LLVKDONJSA-N -1 1 314.345 1.068 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000950313050 720572830 /nfs/dbraw/zinc/57/28/30/720572830.db2.gz XKXPKGWZAYTDHX-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cc(CN(C)[C@H]2CCN(C(=O)c3ncccc3[O-])C2)on1 ZINC000971392977 721274464 /nfs/dbraw/zinc/27/44/64/721274464.db2.gz DCXZFILYADEYBF-LBPRGKRZSA-N -1 1 316.361 1.430 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC000953888125 721674529 /nfs/dbraw/zinc/67/45/29/721674529.db2.gz LBJMTMUXUGNDPX-DGCLKSJQSA-N -1 1 317.389 1.506 20 0 DDADMM CCC1(C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)CC1 ZINC000954125104 721723965 /nfs/dbraw/zinc/72/39/65/721723965.db2.gz BQTSPZKCHTYKJK-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@H](CCCCO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692848008 738528906 /nfs/dbraw/zinc/52/89/06/738528906.db2.gz CDMNQHHDBHSEJX-MRVPVSSYSA-N -1 1 310.778 1.704 20 0 DDADMM C[C@@H](CCCCO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692848009 738529293 /nfs/dbraw/zinc/52/92/93/738529293.db2.gz CDMNQHHDBHSEJX-QMMMGPOBSA-N -1 1 310.778 1.704 20 0 DDADMM CCC1(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001167056534 732364260 /nfs/dbraw/zinc/36/42/60/732364260.db2.gz JIUVCIAHFLYQLX-UHFFFAOYSA-N -1 1 307.398 1.077 20 0 DDADMM CCSCC[C@@H](C)N(C)c1nnc(-c2c[n-][nH]c2=O)n1C ZINC001121363504 782473361 /nfs/dbraw/zinc/47/33/61/782473361.db2.gz WMPRKNKZOFFTDZ-SECBINFHSA-N -1 1 310.427 1.879 20 0 DDADMM CCCC(=O)N1CCCC[C@@H]1[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001025192788 736349941 /nfs/dbraw/zinc/34/99/41/736349941.db2.gz RGVUBFBAEOCEDZ-QWHCGFSZSA-N -1 1 321.425 1.656 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCCOC(C)(C)C)c1Cl ZINC000694870438 736427780 /nfs/dbraw/zinc/42/77/80/736427780.db2.gz JRLSSSSXNOEATI-UHFFFAOYSA-N -1 1 309.819 1.557 20 0 DDADMM CCN(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692888559 738958205 /nfs/dbraw/zinc/95/82/05/738958205.db2.gz KMEOBANBNZTUOA-SSDOTTSWSA-N -1 1 323.777 1.019 20 0 DDADMM C[N@H+](Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cccs2)C1 ZINC001029633860 741160529 /nfs/dbraw/zinc/16/05/29/741160529.db2.gz KKERSOUPZZRDIP-JTQLQIEISA-N -1 1 321.406 1.166 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cccs2)C1 ZINC001029633860 741160531 /nfs/dbraw/zinc/16/05/31/741160531.db2.gz KKERSOUPZZRDIP-JTQLQIEISA-N -1 1 321.406 1.166 20 0 DDADMM CN(C)c1nc(NC(=O)Cc2cscn2)c(N=O)c(=O)[n-]1 ZINC001142030193 741187440 /nfs/dbraw/zinc/18/74/40/741187440.db2.gz RISMCGCNYJFNIT-UHFFFAOYSA-N -1 1 308.323 1.284 20 0 DDADMM CC[C@H](C)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088266758 741288738 /nfs/dbraw/zinc/28/87/38/741288738.db2.gz ILQXTGQCDZKZIK-NWDGAFQWSA-N -1 1 309.414 1.417 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088298129 741347774 /nfs/dbraw/zinc/34/77/74/741347774.db2.gz DNPMUQUQHPVRKZ-NEPJUHHUSA-N -1 1 321.425 1.417 20 0 DDADMM CCC1(C(=O)N2CCCCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001088376013 741442141 /nfs/dbraw/zinc/44/21/41/741442141.db2.gz WJQYPAIBITVUBQ-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM C[N@H+](Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2ccco2)CC1 ZINC001029927381 741604101 /nfs/dbraw/zinc/60/41/01/741604101.db2.gz VSSASYNNPCDBCS-UHFFFAOYSA-N -1 1 319.365 1.088 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2ccco2)CC1 ZINC001029927381 741604105 /nfs/dbraw/zinc/60/41/05/741604105.db2.gz VSSASYNNPCDBCS-UHFFFAOYSA-N -1 1 319.365 1.088 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CCC1CCC1 ZINC001076244644 742624068 /nfs/dbraw/zinc/62/40/68/742624068.db2.gz XQZZONRTUOWTTN-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)nc1C ZINC001168693704 743057422 /nfs/dbraw/zinc/05/74/22/743057422.db2.gz JRUWBEGSCXJTBI-CQSZACIVSA-N -1 1 308.345 1.731 20 0 DDADMM CC1(C)OC[C@H](C(=O)Nc2nc(Br)ccc2[O-])O1 ZINC001181737414 743336849 /nfs/dbraw/zinc/33/68/49/743336849.db2.gz QUNJFACRIIRILD-SSDOTTSWSA-N -1 1 317.139 1.640 20 0 DDADMM CC(=O)N[C@H](CCO)C(=O)Nc1cccc(C(F)(F)F)c1[O-] ZINC001182130925 743494629 /nfs/dbraw/zinc/49/46/29/743494629.db2.gz YYSPPRSPVCYFRX-SNVBAGLBSA-N -1 1 320.267 1.237 20 0 DDADMM O=C(CC1CCCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998432513 751835465 /nfs/dbraw/zinc/83/54/65/751835465.db2.gz VFJTYIURHIJBEM-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)C(=O)c1ccc(OC)cc1 ZINC001183348514 743828543 /nfs/dbraw/zinc/82/85/43/743828543.db2.gz QXMWNNDJQPCRJH-UHFFFAOYSA-N -1 1 317.301 1.416 20 0 DDADMM Cc1nc(C)c(CN2CC(NC(=O)c3ncccc3[O-])C2)s1 ZINC001030240322 743973941 /nfs/dbraw/zinc/97/39/41/743973941.db2.gz ZBKBOTRQICXMJX-UHFFFAOYSA-N -1 1 318.402 1.475 20 0 DDADMM CCc1cccc(CN2CC(NC(=O)c3ncccc3[O-])C2)c1 ZINC001030240124 743974019 /nfs/dbraw/zinc/97/40/19/743974019.db2.gz UQZXQATVRLVWQE-UHFFFAOYSA-N -1 1 311.385 1.964 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2cnc(Cl)nc2)c(F)n1 ZINC001184999918 744141823 /nfs/dbraw/zinc/14/18/23/744141823.db2.gz MNEHRFIHZBSNOF-UHFFFAOYSA-N -1 1 302.718 1.773 20 0 DDADMM Cc1cc(S(=O)(=O)NCC[P@](=O)([O-])O)ccc1Cl ZINC001185539331 744251822 /nfs/dbraw/zinc/25/18/22/744251822.db2.gz GERGIFHPMUABJY-UHFFFAOYSA-N -1 1 313.699 1.104 20 0 DDADMM CC[C@@H](C)CN1CC(NC(=O)Cc2cc(=O)n(CC(C)C)[n-]2)C1 ZINC001030624636 744342562 /nfs/dbraw/zinc/34/25/62/744342562.db2.gz PCUGGPYELCWPPV-CYBMUJFWSA-N -1 1 322.453 1.221 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(C(=O)NC)c(Cl)c1 ZINC001187287697 744534831 /nfs/dbraw/zinc/53/48/31/744534831.db2.gz PTMHOZWMYVXKEU-UHFFFAOYSA-N -1 1 320.798 1.478 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cnc2cc(C3CCC3)nn2c1 ZINC001187250944 744536825 /nfs/dbraw/zinc/53/68/25/744536825.db2.gz VKZJNWWWRPEYQG-UHFFFAOYSA-N -1 1 324.406 1.775 20 0 DDADMM COc1cc2c(cc1C)C[C@H]([N-]S(=O)(=O)c1nccs1)C2 ZINC001187913373 744629237 /nfs/dbraw/zinc/62/92/37/744629237.db2.gz WTTYVQBHEYDKAU-LBPRGKRZSA-N -1 1 324.427 1.906 20 0 DDADMM O=C(Nc1ccc2c(c1)OCO2)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001187886508 744633134 /nfs/dbraw/zinc/63/31/34/744633134.db2.gz AJJSCBXYAGNGKQ-UHFFFAOYSA-N -1 1 314.261 1.431 20 0 DDADMM Cc1cn(CC(=O)NCc2nc([O-])cc(=O)[nH]2)c2ccccc12 ZINC001168431768 744635047 /nfs/dbraw/zinc/63/50/47/744635047.db2.gz ZYKAXMVHYGSRAE-UHFFFAOYSA-N -1 1 312.329 1.467 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cncn1C ZINC001187987238 744643395 /nfs/dbraw/zinc/64/33/95/744643395.db2.gz XUAMOKLZNWVSIS-UHFFFAOYSA-N -1 1 308.363 1.434 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc(C(F)(F)F)n3C)c1-2 ZINC001188358462 744700666 /nfs/dbraw/zinc/70/06/66/744700666.db2.gz UAHKPRKIBJOGER-UHFFFAOYSA-N -1 1 324.266 1.644 20 0 DDADMM O=S(=O)([N-]c1cncnc1Br)c1ccoc1 ZINC001188570286 744719169 /nfs/dbraw/zinc/71/91/69/744719169.db2.gz CKZBZWOEOZAGCD-UHFFFAOYSA-N -1 1 304.125 1.633 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001189008480 744809699 /nfs/dbraw/zinc/80/96/99/744809699.db2.gz ZTHIAXVNUYTZNJ-UHFFFAOYSA-N -1 1 311.301 1.717 20 0 DDADMM Cn1nc(C(=O)NCc2nn[n-]n2)cc1-c1ccc(Cl)cc1 ZINC001189566473 744925240 /nfs/dbraw/zinc/92/52/40/744925240.db2.gz DKFPZISXAZAEFC-UHFFFAOYSA-N -1 1 317.740 1.184 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@H](N(C)CCC(F)(F)F)C2)c1[O-] ZINC001189664026 744951099 /nfs/dbraw/zinc/95/10/99/744951099.db2.gz KFKQOQNMUNIWOX-VIFPVBQESA-N -1 1 320.315 1.522 20 0 DDADMM CCOC(=O)[C@H](CC)[N-]S(=O)(=O)Cc1cc(F)ccc1F ZINC001189718784 744964305 /nfs/dbraw/zinc/96/43/05/744964305.db2.gz KOHHVQOEQMRZTR-LBPRGKRZSA-N -1 1 321.345 1.726 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2ncc(F)cc2F)c(C)o1 ZINC001190135233 745108623 /nfs/dbraw/zinc/10/86/23/745108623.db2.gz HLCRYWYWYNDKDM-UHFFFAOYSA-N -1 1 303.290 1.443 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2ncnc3[nH]ccc32)c(OC)c1 ZINC001190110508 745117997 /nfs/dbraw/zinc/11/79/97/745117997.db2.gz REVGHNLMAJJTEH-UHFFFAOYSA-N -1 1 314.301 1.933 20 0 DDADMM COc1cc([O-])c(C(=O)n2c(C)cc(=O)c(N)c2C)c(OC)c1 ZINC001190110776 745118172 /nfs/dbraw/zinc/11/81/72/745118172.db2.gz XJWAKSLKZWAPFW-UHFFFAOYSA-N -1 1 318.329 1.459 20 0 DDADMM CCc1n[nH]cc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001190131957 745127683 /nfs/dbraw/zinc/12/76/83/745127683.db2.gz LYHHPUDLQCBMIB-UHFFFAOYSA-N -1 1 305.298 1.184 20 0 DDADMM COc1ccc(C(=O)Nc2ccc3[nH][n-]c(=O)c3c2)c(OC)n1 ZINC001190248368 745161432 /nfs/dbraw/zinc/16/14/32/745161432.db2.gz AZHIEVVXBXVNPF-UHFFFAOYSA-N -1 1 314.301 1.933 20 0 DDADMM CC(C)N1CC[C@@H]([N-]S(=O)(=O)c2cc(F)cc(F)c2F)C1 ZINC001190403509 745201741 /nfs/dbraw/zinc/20/17/41/745201741.db2.gz JBVPQQODTBMHFR-SNVBAGLBSA-N -1 1 322.352 1.865 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc(C(C)=O)n2)n1 ZINC001190739609 745313085 /nfs/dbraw/zinc/31/30/85/745313085.db2.gz KKOWFILXBCLNOO-UHFFFAOYSA-N -1 1 302.290 1.436 20 0 DDADMM CC(=O)N1CCc2cc([N-]S(=O)(=O)c3ccncc3)ccc21 ZINC001190845738 745349722 /nfs/dbraw/zinc/34/97/22/745349722.db2.gz HLAVYCWNAFOICE-UHFFFAOYSA-N -1 1 317.370 1.791 20 0 DDADMM COc1cc(C(=O)NC[C@@H](F)C(C)(C)O)cc(Cl)c1[O-] ZINC001191158386 745438374 /nfs/dbraw/zinc/43/83/74/745438374.db2.gz PGISZQGVSHWPJQ-SNVBAGLBSA-N -1 1 305.733 1.893 20 0 DDADMM Nc1nonc1NC(=O)c1ccccc1C(=O)c1ccc([O-])cc1 ZINC001191487680 745520128 /nfs/dbraw/zinc/52/01/28/745520128.db2.gz IOIPRPXKKDYNCQ-UHFFFAOYSA-N -1 1 324.296 1.841 20 0 DDADMM O=S(=O)(Cc1ccc(Cl)cc1F)[N-]Cc1ncon1 ZINC001191864542 745621047 /nfs/dbraw/zinc/62/10/47/745621047.db2.gz PFJKPLHYPOFZEX-UHFFFAOYSA-N -1 1 305.718 1.482 20 0 DDADMM COCc1[nH]nc2c1CN(C(=O)c1cc(F)c([O-])cc1F)C2 ZINC001192859251 745918935 /nfs/dbraw/zinc/91/89/35/745918935.db2.gz FOFIXEDXGMEWOA-UHFFFAOYSA-N -1 1 309.272 1.696 20 0 DDADMM O=S(=O)([N-]CC1(F)CCOCC1)c1cnccc1Cl ZINC001192911103 745925934 /nfs/dbraw/zinc/92/59/34/745925934.db2.gz SQPCPCIFXIQORH-UHFFFAOYSA-N -1 1 308.762 1.532 20 0 DDADMM CCN(CCNc1cc(F)ncn1)C(=O)c1ncccc1[O-] ZINC001106727323 745971438 /nfs/dbraw/zinc/97/14/38/745971438.db2.gz OFJKWTQWXUHMOC-UHFFFAOYSA-N -1 1 305.313 1.291 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1cnn(C2CCOCC2)c1 ZINC001193205480 746012200 /nfs/dbraw/zinc/01/22/00/746012200.db2.gz JHOBVHJUODGEKN-CYBMUJFWSA-N -1 1 315.395 1.155 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)[C@@H](C)CC ZINC001193291390 746050643 /nfs/dbraw/zinc/05/06/43/746050643.db2.gz WDSANQBBIFSUBT-SRVKXCTJSA-N -1 1 307.412 1.063 20 0 DDADMM CN(C)C(=O)c1cccc([N-]S(=O)(=O)CC2CCC2)c1O ZINC001193523362 746133263 /nfs/dbraw/zinc/13/32/63/746133263.db2.gz BQAMGADZJAHDBB-UHFFFAOYSA-N -1 1 312.391 1.636 20 0 DDADMM COc1ccnc(C(=O)Nc2cnn3cc(F)ccc23)c1[O-] ZINC001193524721 746133480 /nfs/dbraw/zinc/13/34/80/746133480.db2.gz JBBRAWUKVGROIB-UHFFFAOYSA-N -1 1 302.265 1.835 20 0 DDADMM CN(C)c1cncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC001193802109 746203603 /nfs/dbraw/zinc/20/36/03/746203603.db2.gz WASXFWPGNNXXAL-UHFFFAOYSA-N -1 1 300.244 1.537 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(N2CCOCC2=O)cc1 ZINC001195483336 746622176 /nfs/dbraw/zinc/62/21/76/746622176.db2.gz APLFFWBFNAOEHZ-UHFFFAOYSA-N -1 1 323.374 1.493 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(OCC(=O)OC)cc1 ZINC001195490371 746624677 /nfs/dbraw/zinc/62/46/77/746624677.db2.gz GBNKBZLFMRHVEW-UHFFFAOYSA-N -1 1 312.347 1.681 20 0 DDADMM CC(C)C(=O)N1C[C@@H]2CCC[C@]2(NC(=O)c2ncccc2[O-])C1 ZINC001007355770 752108573 /nfs/dbraw/zinc/10/85/73/752108573.db2.gz BUYNBZOXMONKMI-SJCJKPOMSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1sc(NC(=O)c2c[nH]c(=S)[n-]c2=O)c(C(N)=O)c1C ZINC001196009003 746755142 /nfs/dbraw/zinc/75/51/42/746755142.db2.gz QKYSFCMLLCSCFB-UHFFFAOYSA-N -1 1 324.387 1.500 20 0 DDADMM Cc1ccc2c(c1)[C@@H](NC(=O)c1c[nH]c(=S)[n-]c1=O)C(=O)N2 ZINC001196019758 746759022 /nfs/dbraw/zinc/75/90/22/746759022.db2.gz BVGUNAREYZWHFI-SNVBAGLBSA-N -1 1 316.342 1.203 20 0 DDADMM O=C(N[C@H]1CCc2ccc(O)cc2C1)c1c[nH]c(=S)[n-]c1=O ZINC001196023055 746759896 /nfs/dbraw/zinc/75/98/96/746759896.db2.gz CTXRIPZWIYAYAC-JTQLQIEISA-N -1 1 317.370 1.464 20 0 DDADMM CCCCS[C@@H](C)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123911467 747135927 /nfs/dbraw/zinc/13/59/27/747135927.db2.gz DHLABJOPVZDURT-NWDGAFQWSA-N -1 1 311.455 1.903 20 0 DDADMM CC(=O)c1ccc([N-]S(=O)(=O)c2cnc(C)n2C)c(F)c1 ZINC001198400400 747479284 /nfs/dbraw/zinc/47/92/84/747479284.db2.gz OIYGLSHDZICSAW-UHFFFAOYSA-N -1 1 311.338 1.871 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccnc(CO)c2)c(C)c1 ZINC001198907932 747657928 /nfs/dbraw/zinc/65/79/28/747657928.db2.gz VIZKGKVGMVZUAP-UHFFFAOYSA-N -1 1 308.359 1.692 20 0 DDADMM CSc1nc(NC(=O)c2cnc(=O)n(C(C)C)c2)cc(=O)[n-]1 ZINC001199243710 747758876 /nfs/dbraw/zinc/75/88/76/747758876.db2.gz GGHHBDBLIYSPOD-UHFFFAOYSA-N -1 1 321.362 1.294 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(F)F ZINC001004303207 748276120 /nfs/dbraw/zinc/27/61/20/748276120.db2.gz MIMCTRVIPJSOCA-DTWKUNHWSA-N -1 1 313.304 1.019 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1CCOC[C@H]1F ZINC001201764460 748597990 /nfs/dbraw/zinc/59/79/90/748597990.db2.gz OCKIFAIWBPZBIK-RQJHMYQMSA-N -1 1 314.791 1.510 20 0 DDADMM COc1cccc(NC(=O)Nc2cc(=O)[n-]c(N(C)C)n2)c1 ZINC001202268373 748724876 /nfs/dbraw/zinc/72/48/76/748724876.db2.gz AFTBDULQKNBUIM-UHFFFAOYSA-N -1 1 303.322 1.901 20 0 DDADMM CCCCCC(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202472681 748799955 /nfs/dbraw/zinc/79/99/55/748799955.db2.gz YESKWQRINZLXOT-VXGBXAGGSA-N -1 1 321.425 1.608 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)CC1(C)CC1 ZINC001004902056 748904198 /nfs/dbraw/zinc/90/41/98/748904198.db2.gz OFIWKROGBLJUOY-NWDGAFQWSA-N -1 1 321.425 1.560 20 0 DDADMM CC(C)NC(=O)Nc1ccc(S(=O)(=O)N(C)C)cc1[O-] ZINC001202526808 749205796 /nfs/dbraw/zinc/20/57/96/749205796.db2.gz ZAVNDLMEFMNDRG-UHFFFAOYSA-N -1 1 301.368 1.172 20 0 DDADMM Cc1cc(C)n(-c2ccc(-c3noc(-c4cn[n-]n4)n3)cn2)n1 ZINC001212799890 749520014 /nfs/dbraw/zinc/52/00/14/749520014.db2.gz BHWQLZIHUUXXEV-UHFFFAOYSA-N -1 1 308.305 1.719 20 0 DDADMM Cc1cc(C)nc(N(C)CCCNC(=O)c2ncccc2[O-])n1 ZINC001095527053 750008799 /nfs/dbraw/zinc/00/87/99/750008799.db2.gz SJLBYBBZSHOPTB-UHFFFAOYSA-N -1 1 315.377 1.450 20 0 DDADMM COc1nc(C)cc(NC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001107689932 750383669 /nfs/dbraw/zinc/38/36/69/750383669.db2.gz BXPWUPRXRRXATA-SNVBAGLBSA-N -1 1 317.349 1.125 20 0 DDADMM C[C@@H](NC(=O)c1ccoc1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005692081 750821513 /nfs/dbraw/zinc/82/15/13/750821513.db2.gz HSGUCAFRYVNEKM-SNVBAGLBSA-N -1 1 315.329 1.271 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)o1 ZINC001034896595 751011450 /nfs/dbraw/zinc/01/14/50/751011450.db2.gz NEJJLNALROKBGX-LLVKDONJSA-N -1 1 319.365 1.115 20 0 DDADMM CC1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001035006289 751117111 /nfs/dbraw/zinc/11/71/11/751117111.db2.gz RARXVGJTZTXASX-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM COC(=O)N1CCCN(Cc2ccc(OCC(=O)[O-])cc2)CC1 ZINC001138923883 751170710 /nfs/dbraw/zinc/17/07/10/751170710.db2.gz KJGQCDVYNBTTPD-UHFFFAOYSA-N -1 1 322.361 1.424 20 0 DDADMM C[C@H]1CCCN(C(=O)C2CCCC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036494807 752484975 /nfs/dbraw/zinc/48/49/75/752484975.db2.gz MBVDQSHGURRQQM-AAEUAGOBSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H]1CCCN(C(=O)C2CCCC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036494809 752485588 /nfs/dbraw/zinc/48/55/88/752485588.db2.gz MBVDQSHGURRQQM-DGCLKSJQSA-N -1 1 321.425 1.417 20 0 DDADMM CCO[C@@H](C)C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066905302 752504584 /nfs/dbraw/zinc/50/45/84/752504584.db2.gz WBZIDYILTIUKCC-LBPRGKRZSA-N -1 1 323.393 1.133 20 0 DDADMM CCc1occc1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999068626 752525249 /nfs/dbraw/zinc/52/52/49/752525249.db2.gz YSJIJCRIXSCHDH-JTQLQIEISA-N -1 1 319.365 1.060 20 0 DDADMM CC(C)(C)CC(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036641849 752614450 /nfs/dbraw/zinc/61/44/50/752614450.db2.gz HMJPMSKDFVDGGQ-RYUDHWBXSA-N -1 1 321.425 1.369 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005956098 753416617 /nfs/dbraw/zinc/41/66/17/753416617.db2.gz IXNCMOOLWZQJNP-NEPJUHHUSA-N -1 1 319.405 1.656 20 0 DDADMM COc1nccc(-c2noc(-c3cc(C(C)=O)[n-]n3)n2)c1F ZINC001212431071 753479912 /nfs/dbraw/zinc/47/99/12/753479912.db2.gz FLCNWDWVODZRGB-UHFFFAOYSA-N -1 1 303.253 1.872 20 0 DDADMM COc1nccc(-c2noc(-c3cc(C(C)=O)n[n-]3)n2)c1F ZINC001212431071 753479914 /nfs/dbraw/zinc/47/99/14/753479914.db2.gz FLCNWDWVODZRGB-UHFFFAOYSA-N -1 1 303.253 1.872 20 0 DDADMM CC(C)CC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001009983413 753521006 /nfs/dbraw/zinc/52/10/06/753521006.db2.gz YRJFLHFDAZEJFC-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(/C=C/C1CC1)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062750712 753671172 /nfs/dbraw/zinc/67/11/72/753671172.db2.gz NZPAKWUVIMVDMC-VOTSOKGWSA-N -1 1 315.373 1.522 20 0 DDADMM C/C=C(/C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062775859 753698960 /nfs/dbraw/zinc/69/89/60/753698960.db2.gz WZBHNOKEZSUWJR-JYOAFUTRSA-N -1 1 303.362 1.522 20 0 DDADMM CC(C)CCC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839301 753760423 /nfs/dbraw/zinc/76/04/23/753760423.db2.gz KZCYKBRQDRHNDW-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1cc(N2CC[C@H](N(C)C(=O)c3ncccc3[O-])C2)ncn1 ZINC001062923635 753850403 /nfs/dbraw/zinc/85/04/03/753850403.db2.gz WIQBVVZNMXRWPQ-LBPRGKRZSA-N -1 1 313.361 1.237 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@@H]2C[C@H]2C2CC2)CC1 ZINC001011037810 754320058 /nfs/dbraw/zinc/32/00/58/754320058.db2.gz NJRYZTHEVHDLMS-QWHCGFSZSA-N -1 1 315.373 1.118 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1CCC1 ZINC001012433039 755069094 /nfs/dbraw/zinc/06/90/94/755069094.db2.gz QIQJPKNPIRKRBZ-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM Cc1ccoc1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001000945892 762395948 /nfs/dbraw/zinc/39/59/48/762395948.db2.gz KTHLEFKONSFXGE-UHFFFAOYSA-N -1 1 315.329 1.191 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001014894995 756005110 /nfs/dbraw/zinc/00/51/10/756005110.db2.gz RUYQJQNVOMCFLH-QWHCGFSZSA-N -1 1 317.389 1.863 20 0 DDADMM CC[C@@H](F)C(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082024368 756587327 /nfs/dbraw/zinc/58/73/27/756587327.db2.gz SYBCRVDLMUWFKA-NWDGAFQWSA-N -1 1 323.368 1.646 20 0 DDADMM CN(C(=O)C=C1CCC1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016381694 756976031 /nfs/dbraw/zinc/97/60/31/756976031.db2.gz DMVDEXPURMAVRF-UHFFFAOYSA-N -1 1 315.373 1.617 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])Nc1ncnc2ccccc21 ZINC001097764479 757508543 /nfs/dbraw/zinc/50/85/43/757508543.db2.gz HVMAPHRKGCFACT-LLVKDONJSA-N -1 1 323.356 1.961 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)C(C)(C)F)CN1C(=O)c1ncccc1[O-] ZINC001017915715 758387432 /nfs/dbraw/zinc/38/74/32/758387432.db2.gz KVEYIOKFAKEVCM-MNOVXSKESA-N -1 1 323.368 1.645 20 0 DDADMM Cc1nccc(N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)n1 ZINC001065245274 758414927 /nfs/dbraw/zinc/41/49/27/758414927.db2.gz PASJDLKHYYRMKR-INIZCTEOSA-N -1 1 313.361 1.284 20 0 DDADMM CC(C)CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001018101833 758548220 /nfs/dbraw/zinc/54/82/20/758548220.db2.gz VWKAGEWCAUPPCZ-STQMWFEESA-N -1 1 319.405 1.943 20 0 DDADMM CC(C)=CCN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053783944 758808128 /nfs/dbraw/zinc/80/81/28/758808128.db2.gz BWLNEBMDGNQFIL-ZDUSSCGKSA-N -1 1 317.389 1.327 20 0 DDADMM CCC(=O)N1CC[C@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001018453319 758846055 /nfs/dbraw/zinc/84/60/55/758846055.db2.gz VSEOTYXSVDRWFL-NWDGAFQWSA-N -1 1 305.378 1.412 20 0 DDADMM CCN1Cc2ccccc2C[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001054037464 759094872 /nfs/dbraw/zinc/09/48/72/759094872.db2.gz GKTZTJXGPCVLSV-CQSZACIVSA-N -1 1 314.389 1.600 20 0 DDADMM CC(C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)=C1CCCC1 ZINC001018700425 759155699 /nfs/dbraw/zinc/15/56/99/759155699.db2.gz CUHMGEFOCCHOPO-LBPRGKRZSA-N -1 1 305.382 1.091 20 0 DDADMM O=C([C@@H]1CCC=CCCC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019029313 759525176 /nfs/dbraw/zinc/52/51/76/759525176.db2.gz SPIWPIUAUFKJDJ-OLZOCXBDSA-N -1 1 319.409 1.337 20 0 DDADMM CC(C)(C)C(C)(C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019236775 759704950 /nfs/dbraw/zinc/70/49/50/759704950.db2.gz CAFVFNUSERKLKM-JTQLQIEISA-N -1 1 309.414 1.273 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NCc1ccon1 ZINC001054592518 759858105 /nfs/dbraw/zinc/85/81/05/759858105.db2.gz YYXVHKVFZSXQKA-ZYHUDNBSSA-N -1 1 302.334 1.026 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1ccccc1 ZINC001019683676 760164417 /nfs/dbraw/zinc/16/44/17/760164417.db2.gz RAYJQQNMRWQBJS-YPMHNXCESA-N -1 1 315.377 1.005 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](Nc2ncc(Cl)cn2)C1 ZINC001056612599 761288210 /nfs/dbraw/zinc/28/82/10/761288210.db2.gz RUSOVTSJBKGFTE-SNVBAGLBSA-N -1 1 319.752 1.557 20 0 DDADMM C[C@@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)C1CCCC1 ZINC001000219333 761580782 /nfs/dbraw/zinc/58/07/82/761580782.db2.gz YDBGOJXHWKHWMI-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1oncc1CN1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001007188402 761693283 /nfs/dbraw/zinc/69/32/83/761693283.db2.gz IUOQQOCMUHAERO-ZDUSSCGKSA-N -1 1 316.361 1.478 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001551669 762953350 /nfs/dbraw/zinc/95/33/50/762953350.db2.gz LWTVQCSUTVGIEN-LBPRGKRZSA-N -1 1 317.389 1.412 20 0 DDADMM Cc1ccc(N(C)C[C@@H](C)NC(=O)c2ncccc2[O-])nn1 ZINC001108946644 763158732 /nfs/dbraw/zinc/15/87/32/763158732.db2.gz PMDHBRMHSPNNLY-LLVKDONJSA-N -1 1 301.350 1.140 20 0 DDADMM CC(C)CN1CC(N(C)C(=O)Cc2cc(=O)n(CC(C)C)[n-]2)C1 ZINC001043243096 764788266 /nfs/dbraw/zinc/78/82/66/764788266.db2.gz AQQZXQDPESTKQS-UHFFFAOYSA-N -1 1 322.453 1.174 20 0 DDADMM CC(C)Oc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)ncn1 ZINC001170213650 766172588 /nfs/dbraw/zinc/17/25/88/766172588.db2.gz OPMAGKWBWBWJBL-UHFFFAOYSA-N -1 1 303.282 1.037 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncc4sccc4n3)c2[nH]1 ZINC001170213410 766173037 /nfs/dbraw/zinc/17/30/37/766173037.db2.gz HFXTTWUYUWUDLM-UHFFFAOYSA-N -1 1 301.291 1.464 20 0 DDADMM C[S@@](=N)(=O)c1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001170217377 766176656 /nfs/dbraw/zinc/17/66/56/766176656.db2.gz CLLQVMTYGHOQSR-JOCHJYFZSA-N -1 1 320.334 1.495 20 0 DDADMM COC(=N)c1cccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001170219950 766178076 /nfs/dbraw/zinc/17/80/76/766178076.db2.gz PXYKLXGWYXMZFW-UHFFFAOYSA-N -1 1 300.278 1.431 20 0 DDADMM O=C(C(C1CC1)C1CC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045269399 766195149 /nfs/dbraw/zinc/19/51/49/766195149.db2.gz PDXQDHLNJKPEPC-LBPRGKRZSA-N -1 1 319.409 1.027 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@H]3CC[C@@H](C2)N3C/C=C/Cl)c1[O-] ZINC001045755892 766559773 /nfs/dbraw/zinc/55/97/73/766559773.db2.gz JVSRQYOOYUZKNW-UNAJDXHTSA-N -1 1 324.812 1.855 20 0 DDADMM C[C@@H]1[C@H](Nc2cc(F)ncn2)CCN1C(=O)c1ncccc1[O-] ZINC001068823403 767641097 /nfs/dbraw/zinc/64/10/97/767641097.db2.gz UFVPGEQPZMETRB-NXEZZACHSA-N -1 1 317.324 1.431 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)CC(C)(C)C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131817644 768401632 /nfs/dbraw/zinc/40/16/32/768401632.db2.gz PCXCJDHKSCIELC-NEPJUHHUSA-N -1 1 323.441 1.014 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071951963 770334923 /nfs/dbraw/zinc/33/49/23/770334923.db2.gz GGQNGUSVLSFEPF-LGSVWZNTSA-N -1 1 303.362 1.330 20 0 DDADMM Cc1nc(CN[C@H](C)C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])co1 ZINC001134089363 770642599 /nfs/dbraw/zinc/64/25/99/770642599.db2.gz DWMXWFMQAXEOPG-RKDXNWHRSA-N -1 1 321.381 1.407 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1cccc(-n2cnnn2)c1 ZINC001136001452 771831444 /nfs/dbraw/zinc/83/14/44/771831444.db2.gz MIISSBUESMCPRL-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(-c2c[nH]c3ccccc23)n[nH]1 ZINC001143974334 772365174 /nfs/dbraw/zinc/36/51/74/772365174.db2.gz JPPSPYNZDBBYSW-UHFFFAOYSA-N -1 1 308.305 1.001 20 0 DDADMM CCOC(=O)[C@@H]1C(=O)CCCN1Cc1c(F)cc([O-])cc1F ZINC001144555197 772564099 /nfs/dbraw/zinc/56/40/99/772564099.db2.gz ZEBRVEIZHCZEHN-AWEZNQCLSA-N -1 1 313.300 1.767 20 0 DDADMM CCOC(=O)N1CCN(Cc2cc(F)c([O-])cc2F)CC1 ZINC001144657702 772588355 /nfs/dbraw/zinc/58/83/55/772588355.db2.gz DZYKASPJNCVILR-UHFFFAOYSA-N -1 1 300.305 1.945 20 0 DDADMM COc1ccc([C@H](O)C(=O)Nc2n[n-]c(C(F)(F)F)n2)cc1 ZINC001144772870 772617831 /nfs/dbraw/zinc/61/78/31/772617831.db2.gz IBAQYVYJKXXYGF-QMMMGPOBSA-N -1 1 316.239 1.504 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](c1nccs1)c1ccccc1 ZINC001144888249 772684670 /nfs/dbraw/zinc/68/46/70/772684670.db2.gz IZIYBOPMGUZIMR-ZDUSSCGKSA-N -1 1 314.374 1.495 20 0 DDADMM O=C(Cc1nc([N-]C(=O)c2csnn2)n[nH]1)c1ccccc1 ZINC001146810793 772943996 /nfs/dbraw/zinc/94/39/96/772943996.db2.gz JOWLMMLLQPSVBY-UHFFFAOYSA-N -1 1 314.330 1.334 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2nsc3ncccc23)c1[O-] ZINC001147834671 773262154 /nfs/dbraw/zinc/26/21/54/773262154.db2.gz WMWRFDIAIQIECL-UHFFFAOYSA-N -1 1 316.342 1.845 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)CCCF ZINC001074113001 773639432 /nfs/dbraw/zinc/63/94/32/773639432.db2.gz BNBDHXBELXSFFU-NEPJUHHUSA-N -1 1 323.368 1.504 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CCC1 ZINC001074706963 774076064 /nfs/dbraw/zinc/07/60/64/774076064.db2.gz SUYHJIXVWYYPKF-SCVCMEIPSA-N -1 1 307.398 1.026 20 0 DDADMM CCc1nc(C)cc(NCCCNC(=O)c2ncccc2[O-])n1 ZINC001094375550 775819792 /nfs/dbraw/zinc/81/97/92/775819792.db2.gz DOXKOCPILHDKJE-UHFFFAOYSA-N -1 1 315.377 1.680 20 0 DDADMM O=C(NCCCNc1ncc(Cl)cn1)c1ncccc1[O-] ZINC001094375786 775820729 /nfs/dbraw/zinc/82/07/29/775820729.db2.gz QIGIJIUTKZNJPU-UHFFFAOYSA-N -1 1 307.741 1.463 20 0 DDADMM O=c1nc2nc[nH]c2c(NC2CCN(CC(F)(F)F)CC2)[n-]1 ZINC001173660590 777303029 /nfs/dbraw/zinc/30/30/29/777303029.db2.gz YBTMEVYWTZUHEA-UHFFFAOYSA-N -1 1 316.287 1.497 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(N)cc2)cnc1OC ZINC001174548040 777516509 /nfs/dbraw/zinc/51/65/09/777516509.db2.gz GKFWZEDRSVJJSN-UHFFFAOYSA-N -1 1 309.347 1.482 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)/C=C/C(C)(C)C ZINC001101825375 777571464 /nfs/dbraw/zinc/57/14/64/777571464.db2.gz WQHCRTSQWXDUDT-IEVRTODYSA-N -1 1 321.425 1.297 20 0 DDADMM CNC(=O)c1cc(=Nc2cc3ccc([O-])cc3oc2=O)cc[nH]1 ZINC001175412529 777778576 /nfs/dbraw/zinc/77/85/76/777778576.db2.gz LDNJFBKWVWKQNG-UHFFFAOYSA-N -1 1 311.297 1.419 20 0 DDADMM CCOC(=O)c1cnc(N)n1-c1c(F)cc(F)c([O-])c1F ZINC001176663683 778202107 /nfs/dbraw/zinc/20/21/07/778202107.db2.gz KMVWKUXVPJCNPY-UHFFFAOYSA-N -1 1 301.224 1.754 20 0 DDADMM CN(CCO)c1cc(Nc2c(F)cc(F)c([O-])c2F)ncn1 ZINC001176664890 778202871 /nfs/dbraw/zinc/20/28/71/778202871.db2.gz XBKDJSMGUGHLOT-UHFFFAOYSA-N -1 1 314.267 1.772 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc2[nH]cnc2c1F ZINC001176726411 778221946 /nfs/dbraw/zinc/22/19/46/778221946.db2.gz PSJOZVFOCYLQNS-UHFFFAOYSA-N -1 1 301.285 1.024 20 0 DDADMM CCOC(=O)CCc1ccc(NC(=O)Cc2nn[n-]n2)cc1F ZINC001176844726 778299481 /nfs/dbraw/zinc/29/94/81/778299481.db2.gz HXFYQHSDYLVWCX-UHFFFAOYSA-N -1 1 321.312 1.016 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1nccnc1F ZINC001103069660 778617545 /nfs/dbraw/zinc/61/75/45/778617545.db2.gz ZJZGKJFISYSJTR-SECBINFHSA-N -1 1 305.313 1.337 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@@H]1C[C@@H]1c1cccs1 ZINC001177543891 778644144 /nfs/dbraw/zinc/64/41/44/778644144.db2.gz NHPXOHCGMIVWDP-NKWVEPMBSA-N -1 1 301.331 1.862 20 0 DDADMM O=C(COc1ccccn1)Nc1nc(Br)ccc1[O-] ZINC001177629984 778678734 /nfs/dbraw/zinc/67/87/34/778678734.db2.gz UGMGUEQRSRAVLV-UHFFFAOYSA-N -1 1 324.134 1.962 20 0 DDADMM COc1ccc(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC001177873893 778750988 /nfs/dbraw/zinc/75/09/88/778750988.db2.gz IBTKBGCTSHBRQV-UHFFFAOYSA-N -1 1 301.228 1.408 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C3(c4ccc(F)cn4)CC3)c1-2 ZINC001178076308 778886199 /nfs/dbraw/zinc/88/61/99/778886199.db2.gz SHUIAPAPLLQHER-UHFFFAOYSA-N -1 1 312.308 1.239 20 0 DDADMM CC(C)[C@H](C)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001178311813 778998188 /nfs/dbraw/zinc/99/81/88/778998188.db2.gz KMZZTHISWGUJJQ-WOPDTQHZSA-N -1 1 309.414 1.272 20 0 DDADMM CC(C)c1cccc(CC(=O)NCCCC[P@](=O)([O-])O)n1 ZINC001178452966 779055961 /nfs/dbraw/zinc/05/59/61/779055961.db2.gz TYEIGHCJMLLIQF-UHFFFAOYSA-N -1 1 314.322 1.822 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNc1cncc(Cl)n1 ZINC001103917607 779170007 /nfs/dbraw/zinc/17/00/07/779170007.db2.gz SDQFQBZNAUYKSH-SECBINFHSA-N -1 1 321.768 1.709 20 0 DDADMM C[C@@H]1CN(C(=O)C2CC=CC2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104024942 779251628 /nfs/dbraw/zinc/25/16/28/779251628.db2.gz YOVTTYVAUQPZPO-DGCLKSJQSA-N -1 1 319.409 1.051 20 0 DDADMM CN(C(=O)CC[N-]C(=O)C(F)(F)C(F)(F)Cl)C1CC1 ZINC001179779733 779476642 /nfs/dbraw/zinc/47/66/42/779476642.db2.gz IZKHEPLAKWJNPD-UHFFFAOYSA-N -1 1 304.671 1.580 20 0 DDADMM O=C(C=Cc1cccc(C(F)(F)F)c1F)NCc1nn[n-]n1 ZINC001179785291 779486277 /nfs/dbraw/zinc/48/62/77/779486277.db2.gz GPXVUPKLTWHWLU-SNAWJCMRSA-N -1 1 315.230 1.687 20 0 DDADMM C[C@@](O)(C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1)C(F)(F)F ZINC001180487536 779781897 /nfs/dbraw/zinc/78/18/97/779781897.db2.gz MDOHQALJBQGRKY-GFCCVEGCSA-N -1 1 315.251 1.830 20 0 DDADMM O=S(=O)([N-][C@@H]1C=CCCC1)c1nc[nH]c1Br ZINC001118996784 781293972 /nfs/dbraw/zinc/29/39/72/781293972.db2.gz RBERTHOLGXLPKL-SSDOTTSWSA-N -1 1 306.185 1.559 20 0 DDADMM COc1ccc(CCC(=O)[N-]O[C@@H]2CCN(C3CC3)C2=O)cc1 ZINC001119065997 781311377 /nfs/dbraw/zinc/31/13/77/781311377.db2.gz QRKIYFYGGHWLNJ-OAHLLOKOSA-N -1 1 318.373 1.439 20 0 DDADMM COCCCc1cn(Cc2cc(=O)oc3cc([O-])ccc23)nn1 ZINC001119277364 781390983 /nfs/dbraw/zinc/39/09/83/781390983.db2.gz BAIYINBNVFPDEA-UHFFFAOYSA-N -1 1 315.329 1.717 20 0 DDADMM CCC1(C(=O)NCCC[C@H]2CCCN2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001267174481 837468898 /nfs/dbraw/zinc/46/88/98/837468898.db2.gz IPPDFUOUFZGVIH-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM CCCCCC(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001268265210 839915709 /nfs/dbraw/zinc/91/57/09/839915709.db2.gz WLMJGKYLQLHBDY-STQMWFEESA-N -1 1 321.425 1.513 20 0 DDADMM CC[C@H](C)N1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC001268841952 840891307 /nfs/dbraw/zinc/89/13/07/840891307.db2.gz BPRJRDVHSVZTDD-YNEHKIRRSA-N -1 1 317.389 1.791 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(CCOCC3CCC3)C2)c1[O-] ZINC001269019288 841118287 /nfs/dbraw/zinc/11/82/87/841118287.db2.gz VKTYLZBNGVVVNF-ZDUSSCGKSA-N -1 1 322.409 1.045 20 0 DDADMM CC(C)N(CC(=O)OC(C)(C)C)C(=O)CCCc1nn[n-]n1 ZINC001362219576 841120983 /nfs/dbraw/zinc/12/09/83/841120983.db2.gz IKGKYMJSBXHDAP-UHFFFAOYSA-N -1 1 311.386 1.101 20 0 DDADMM O=C(C=C1CCCCC1)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001269050982 841149981 /nfs/dbraw/zinc/14/99/81/841149981.db2.gz KIHZYDUPTFBVIS-ZDUSSCGKSA-N -1 1 319.409 1.385 20 0 DDADMM CC[C@@H](C)N1CC[C@@]2(CCN(C(=O)c3ccc([O-])cn3)C2)C1=O ZINC001269354299 841556593 /nfs/dbraw/zinc/55/65/93/841556593.db2.gz FWNKSIXQQVNYEO-SJKOYZFVSA-N -1 1 317.389 1.650 20 0 DDADMM N=C([N-]C(=O)C(=O)c1ccccc1)c1cnc2ccc(F)cn12 ZINC001142694295 861232409 /nfs/dbraw/zinc/23/24/09/861232409.db2.gz QXJLSACMTWMHBJ-UHFFFAOYSA-N -1 1 310.288 1.798 20 0 DDADMM COC(=O)[C@H](Oc1cc(=O)[n-]c(=S)[nH]1)c1ccc(F)cc1 ZINC001225765211 842123155 /nfs/dbraw/zinc/12/31/55/842123155.db2.gz ITMLLSZPQUWYJD-LLVKDONJSA-N -1 1 310.306 1.903 20 0 DDADMM CC[C@@H](CNC(=O)c1coc(C)n1)NC(=O)c1ncccc1[O-] ZINC001409261685 844726597 /nfs/dbraw/zinc/72/65/97/844726597.db2.gz QBLSNZYMASDJJY-JTQLQIEISA-N -1 1 318.333 1.022 20 0 DDADMM CC[C@H](C)OCC(=O)N[C@@H](CC)CNC(=O)c1ncccc1[O-] ZINC001409261979 844728578 /nfs/dbraw/zinc/72/85/78/844728578.db2.gz WYTDTUDKWNDCIG-RYUDHWBXSA-N -1 1 323.393 1.227 20 0 DDADMM NC(=O)c1nccnc1NC(=O)c1ccc2cccnc2c1[O-] ZINC001149314789 861539361 /nfs/dbraw/zinc/53/93/61/861539361.db2.gz ORKYCGAEUMITDZ-UHFFFAOYSA-N -1 1 309.285 1.082 20 0 DDADMM CN1C[C@@H]2CN(C(=O)c3ccc4cccnc4c3[O-])C[C@@H]2C1=O ZINC001149320982 861544077 /nfs/dbraw/zinc/54/40/77/861544077.db2.gz SNBQSQXBDNMXEQ-YPMHNXCESA-N -1 1 311.341 1.101 20 0 DDADMM CC(C)(C)N(C[C@@H](O)CO)C(=O)c1ccc2cccnc2c1[O-] ZINC001149320281 861545390 /nfs/dbraw/zinc/54/53/90/861545390.db2.gz WSXIMUAGBDCMJF-GFCCVEGCSA-N -1 1 318.373 1.534 20 0 DDADMM CC(C)[C@@](C)(NC(=O)c1cc2cccc(O)c2cc1[O-])C(N)=O ZINC001149653003 861783006 /nfs/dbraw/zinc/78/30/06/861783006.db2.gz CPEIEFXICGXATG-QGZVFWFLSA-N -1 1 316.357 1.881 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)N[C@@H]1CCCC[N@@H+](C/C=C\Cl)C1 ZINC001034365356 848420411 /nfs/dbraw/zinc/42/04/11/848420411.db2.gz UWJMOAXCPCLWSZ-TXNVCOODSA-N -1 1 324.812 1.827 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1[n-][nH]c2cc(=O)ccc1-2)C(F)(F)F ZINC001155273024 861919840 /nfs/dbraw/zinc/91/98/40/861919840.db2.gz FGLDJLRLLFVZRK-SECBINFHSA-N -1 1 317.223 1.102 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001410345516 849117215 /nfs/dbraw/zinc/11/72/15/849117215.db2.gz ICRDDXJQDYHRKC-QJPTWQEYSA-N -1 1 305.378 1.458 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@H](C)C(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001410448117 849293825 /nfs/dbraw/zinc/29/38/25/849293825.db2.gz YKRRYPRHZVHOLZ-HTQZYQBOSA-N -1 1 323.319 1.035 20 0 DDADMM CCOc1cc(C(=O)OC)c([N-]S(=O)(=O)CC)cc1OC ZINC001253373671 851756897 /nfs/dbraw/zinc/75/68/97/851756897.db2.gz GFLRXACBNXERAH-UHFFFAOYSA-N -1 1 317.363 1.642 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CCN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC001275361059 853016332 /nfs/dbraw/zinc/01/63/32/853016332.db2.gz MNRFBBHDXHDJNB-ZDUSSCGKSA-N -1 1 320.364 1.862 20 0 DDADMM Cc1c(C(=O)Nc2cccc([O-])c2Br)nnn1C ZINC001362609018 884210075 /nfs/dbraw/zinc/21/00/75/884210075.db2.gz FDPPBOBLPGDRQH-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM O=C(NC[C@@H](O)COc1ccc(F)cc1)c1ccc([O-])cn1 ZINC001411902935 853950589 /nfs/dbraw/zinc/95/05/89/853950589.db2.gz WNYOQQJSJTVSKG-GFCCVEGCSA-N -1 1 306.293 1.096 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cncc4ccccc43)c1-2 ZINC001150914469 862528827 /nfs/dbraw/zinc/52/88/27/862528827.db2.gz CILYWXPMEVHSLY-UHFFFAOYSA-N -1 1 304.313 1.835 20 0 DDADMM CCCC(=O)N[C@@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001412139747 854257825 /nfs/dbraw/zinc/25/78/25/854257825.db2.gz HLKQWKPIUNOFJN-VXGBXAGGSA-N -1 1 305.378 1.745 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC[C@@H](N2CCOCC2)C1 ZINC001412151068 854270333 /nfs/dbraw/zinc/27/03/33/854270333.db2.gz RWTWXFSTYXGTKU-GFCCVEGCSA-N -1 1 308.353 1.468 20 0 DDADMM CC(C)(C)OC(=O)c1ccnc(NC(=O)c2cnncc2[O-])c1 ZINC001412354706 854467866 /nfs/dbraw/zinc/46/78/66/854467866.db2.gz RCGWACNGVQLQJA-UHFFFAOYSA-N -1 1 316.317 1.785 20 0 DDADMM CSCC[C@H](NC(=O)CCCC(=O)C1CC1)c1nn[n-]n1 ZINC001412368782 854482074 /nfs/dbraw/zinc/48/20/74/854482074.db2.gz MHHQBIMJFQTALH-JTQLQIEISA-N -1 1 311.411 1.260 20 0 DDADMM Cc1cnc(C(=O)NCCc2csc(N(C)C)n2)c([O-])c1 ZINC001412497504 854627119 /nfs/dbraw/zinc/62/71/19/854627119.db2.gz YJPPTSHGWIOSNK-UHFFFAOYSA-N -1 1 306.391 1.591 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@@H](O)C(C)(C)C ZINC001412580225 854749485 /nfs/dbraw/zinc/74/94/85/854749485.db2.gz AZNVVKREOTXGSX-BXKDBHETSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@@H](O)C(C)(C)C ZINC001412580225 854749489 /nfs/dbraw/zinc/74/94/89/854749489.db2.gz AZNVVKREOTXGSX-BXKDBHETSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@@H](O)C(C)(C)C ZINC001412580225 854749493 /nfs/dbraw/zinc/74/94/93/854749493.db2.gz AZNVVKREOTXGSX-BXKDBHETSA-N -1 1 324.381 1.052 20 0 DDADMM COC[C@@H](NC(=O)c1cc2c(s1)CCCC2)c1nn[n-]n1 ZINC001412597652 854789744 /nfs/dbraw/zinc/78/97/44/854789744.db2.gz STTAYZXFHKTNBW-SECBINFHSA-N -1 1 307.379 1.258 20 0 DDADMM COc1ccc(CNC(=O)c2cnc(SC)[n-]c2=O)c(F)c1 ZINC001412681423 854933275 /nfs/dbraw/zinc/93/32/75/854933275.db2.gz XOLAMHYSTMIEQX-UHFFFAOYSA-N -1 1 323.349 1.982 20 0 DDADMM COC(=O)C[C@H](Cc1ccccc1)NC(=O)c1ncccc1[O-] ZINC001412762813 855233574 /nfs/dbraw/zinc/23/35/74/855233574.db2.gz WGJNJGVKLSJABF-ZDUSSCGKSA-N -1 1 314.341 1.691 20 0 DDADMM O=C(NCC1CCN(CC(F)(F)F)CC1)c1ccc([O-])cn1 ZINC001412831457 855483443 /nfs/dbraw/zinc/48/34/43/855483443.db2.gz RDEMWGJGTQDAJR-UHFFFAOYSA-N -1 1 317.311 1.791 20 0 DDADMM O=C(N[C@@H]1CCCN(C2CCCCC2)C1=O)c1ccc([O-])cn1 ZINC001412834972 855544383 /nfs/dbraw/zinc/54/43/83/855544383.db2.gz FRPLRYONAALITI-OAHLLOKOSA-N -1 1 317.389 1.841 20 0 DDADMM CC[C@@H]1C(=O)NCCCN1C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001156503649 863107233 /nfs/dbraw/zinc/10/72/33/863107233.db2.gz CWDMUHNTZNBULV-CYBMUJFWSA-N -1 1 304.346 1.254 20 0 DDADMM CC[C@H]1CCCC[C@H]1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC001413029391 855992459 /nfs/dbraw/zinc/99/24/59/855992459.db2.gz ZIFPGMHDYUGETB-STQMWFEESA-N -1 1 319.405 1.850 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(C)nc1Br ZINC001259017982 856300878 /nfs/dbraw/zinc/30/08/78/856300878.db2.gz NPAJEJRPWPSODW-UHFFFAOYSA-N -1 1 323.168 1.067 20 0 DDADMM CSCC(=O)NC[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001413176948 856520574 /nfs/dbraw/zinc/52/05/74/856520574.db2.gz QLCNZSICGYPJQK-GHMZBOCLSA-N -1 1 323.418 1.165 20 0 DDADMM O=C(CCC1CC1)NC[C@@H]1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001413199479 856547120 /nfs/dbraw/zinc/54/71/20/856547120.db2.gz WNLLPHWCNBHKRM-VXGBXAGGSA-N -1 1 305.382 1.010 20 0 DDADMM COc1ccccc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001413200212 856547818 /nfs/dbraw/zinc/54/78/18/856547818.db2.gz RXHWGJQIDZOLRR-UHFFFAOYSA-N -1 1 305.359 1.843 20 0 DDADMM CC(C)n1cnc2cnc(NCC[N-]C(=O)C(F)(F)F)nc21 ZINC001156205073 862817273 /nfs/dbraw/zinc/81/72/73/862817273.db2.gz LRTLJIKXECKPSP-UHFFFAOYSA-N -1 1 316.287 1.498 20 0 DDADMM CC[C@@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)c1ccccc1 ZINC001413375300 856728082 /nfs/dbraw/zinc/72/80/82/856728082.db2.gz LWROSCTYJLZBIU-LLVKDONJSA-N -1 1 323.374 1.626 20 0 DDADMM CC[C@@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)c1ccccc1 ZINC001413375300 856728084 /nfs/dbraw/zinc/72/80/84/856728084.db2.gz LWROSCTYJLZBIU-LLVKDONJSA-N -1 1 323.374 1.626 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H](O)[C@H]3CCCC[C@H]32)c(=O)[n-]1 ZINC001413438737 856814927 /nfs/dbraw/zinc/81/49/27/856814927.db2.gz SPPMKXFVDIEADW-WCQGTBRESA-N -1 1 323.418 1.670 20 0 DDADMM CCc1ccc(NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)nc1 ZINC001413469290 856855162 /nfs/dbraw/zinc/85/51/62/856855162.db2.gz PVQVWTQYPARJFI-UHFFFAOYSA-N -1 1 309.347 1.397 20 0 DDADMM CNC(=O)N(C)c1ccc(NC(=O)C2=C([O-])C(C)N=N2)cc1 ZINC001413479754 856868939 /nfs/dbraw/zinc/86/89/39/856868939.db2.gz UXWMLBUMGSHGLD-UHFFFAOYSA-N -1 1 303.322 1.452 20 0 DDADMM COc1ccc(C)cc1CC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001413502437 856898108 /nfs/dbraw/zinc/89/81/08/856898108.db2.gz HTKWQMYQJKMRCO-UHFFFAOYSA-N -1 1 317.393 1.744 20 0 DDADMM CCCc1cc(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)n(C)n1 ZINC001123782931 859411740 /nfs/dbraw/zinc/41/17/40/859411740.db2.gz NKBSWNAVGNZMQF-UHFFFAOYSA-N -1 1 307.379 1.718 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](CO)Cc1ccccc1 ZINC001123786715 859414198 /nfs/dbraw/zinc/41/41/98/859414198.db2.gz SXTNNWFYZCLHTM-LLVKDONJSA-N -1 1 319.386 1.113 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)cc(Cl)n1 ZINC001123998937 859517669 /nfs/dbraw/zinc/51/76/69/859517669.db2.gz LIBKAEKCIMTLOA-SNVBAGLBSA-N -1 1 320.784 1.651 20 0 DDADMM O=Cc1ccc(OC(F)(F)C(=O)[N-]C2(c3ncon3)CC2)cc1 ZINC001124067159 859558813 /nfs/dbraw/zinc/55/88/13/859558813.db2.gz JRMCKILJGLCXDJ-UHFFFAOYSA-N -1 1 323.255 1.659 20 0 DDADMM O=C(c1c2nc[nH]c2ccc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001137730543 859967987 /nfs/dbraw/zinc/96/79/87/859967987.db2.gz NSNDGAZKPVGJAN-QMMMGPOBSA-N -1 1 315.312 1.235 20 0 DDADMM C/C=C/C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)OCC ZINC001138133585 860013258 /nfs/dbraw/zinc/01/32/58/860013258.db2.gz MPHBDPKALFINGQ-MASHWEEQSA-N -1 1 305.330 1.589 20 0 DDADMM CCOC(=O)Cc1nnc([N-]C(=O)c2ocnc2CC)s1 ZINC001361358897 881501163 /nfs/dbraw/zinc/50/11/63/881501163.db2.gz MGRCZZFHQSATBA-UHFFFAOYSA-N -1 1 310.335 1.446 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccnn3CC(F)(F)F)ccnc1-2 ZINC001361377172 881528043 /nfs/dbraw/zinc/52/80/43/881528043.db2.gz OXMGMGGHAPWVQX-UHFFFAOYSA-N -1 1 324.266 1.353 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)Nc1cccc(-c2n[nH]c(=O)[n-]2)c1 ZINC001140363962 860627531 /nfs/dbraw/zinc/62/75/31/860627531.db2.gz MQXQUGMKLFJZHF-SECBINFHSA-N -1 1 312.333 1.718 20 0 DDADMM O=C(N1CCN(Cc2ccc([O-])c(F)c2F)CC1)C(F)(F)F ZINC001140888891 860725501 /nfs/dbraw/zinc/72/55/01/860725501.db2.gz ZUMFKJUNNGPEAL-UHFFFAOYSA-N -1 1 324.249 1.877 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1ccc2c(N)n[nH]c2c1 ZINC001154168176 860831199 /nfs/dbraw/zinc/83/11/99/860831199.db2.gz IRSQVUBJNYZKMV-UHFFFAOYSA-N -1 1 314.305 1.297 20 0 DDADMM C=CC(=O)Nc1ccc([O-])c(C(=O)N(C)c2nnc(C)s2)c1 ZINC001141713467 860918866 /nfs/dbraw/zinc/91/88/66/860918866.db2.gz POOVZNXRHDJJTP-UHFFFAOYSA-N -1 1 318.358 1.953 20 0 DDADMM CCn1cnnc1CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001141738300 860924989 /nfs/dbraw/zinc/92/49/89/860924989.db2.gz CFBVPUZQIGJSOF-UHFFFAOYSA-N -1 1 315.308 1.621 20 0 DDADMM O=C(Nc1ccccc1[C@@H](O)c1ccccn1)c1cnncc1[O-] ZINC001156769567 863334352 /nfs/dbraw/zinc/33/43/52/863334352.db2.gz GGZRBNMJOPRTLS-MRXNPFEDSA-N -1 1 322.324 1.911 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1C[C@H](F)C[C@@H](CO)C1 ZINC001152670431 863482441 /nfs/dbraw/zinc/48/24/41/863482441.db2.gz CWCGEVSBGHJXFU-NXEZZACHSA-N -1 1 320.320 1.439 20 0 DDADMM CCN(Cc1nocc1C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152975534 863630385 /nfs/dbraw/zinc/63/03/85/863630385.db2.gz UQRJQLJAHICZNN-GFCCVEGCSA-N -1 1 318.377 1.724 20 0 DDADMM NC(=O)[C@H]([N-]C(=O)C(F)(F)c1ccccc1F)c1ccccn1 ZINC001361471422 881719478 /nfs/dbraw/zinc/71/94/78/881719478.db2.gz QEUNFRHTCKKGGE-GFCCVEGCSA-N -1 1 323.274 1.655 20 0 DDADMM Cc1cc2c(c(N(C)[C@H]3CCC4(C3)OCCO4)n1)C(=O)[N-]C2=O ZINC001157721741 864076507 /nfs/dbraw/zinc/07/65/07/864076507.db2.gz CIHVDTKKAAFSOG-JTQLQIEISA-N -1 1 317.345 1.005 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CCn2cc(C)cn2)[n-]1 ZINC001361517032 881814072 /nfs/dbraw/zinc/81/40/72/881814072.db2.gz VFGIOTGZGSEJQT-UHFFFAOYSA-N -1 1 318.333 1.538 20 0 DDADMM CSc1cnc(Cl)nc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159198183 865161543 /nfs/dbraw/zinc/16/15/43/865161543.db2.gz IUUKIWFXVGPJFF-UHFFFAOYSA-N -1 1 309.742 1.967 20 0 DDADMM O=C([O-])/C=C/c1ccccc1-c1noc(C[C@H]2COCCN2)n1 ZINC001332003822 865495296 /nfs/dbraw/zinc/49/52/96/865495296.db2.gz XJGJWGDEBYVZEP-FYJFLYSWSA-N -1 1 315.329 1.365 20 0 DDADMM NCc1nccnc1[N-]c1ncnc2c1ncn2-c1ccccc1 ZINC001160249994 865747542 /nfs/dbraw/zinc/74/75/42/865747542.db2.gz JLLTXDMRXQUANA-UHFFFAOYSA-N -1 1 318.344 1.808 20 0 DDADMM COc1ccc2c(ccnc2Nc2c(O)[nH]c(=O)[n-]c2=S)c1 ZINC001160848142 866148365 /nfs/dbraw/zinc/14/83/65/866148365.db2.gz IWHIAWGMIMYQLD-JTQLQIEISA-N -1 1 316.342 1.191 20 0 DDADMM CCCCCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001319837546 866327834 /nfs/dbraw/zinc/32/78/34/866327834.db2.gz PHTFHQTWQLDDEU-CHWSQXEVSA-N -1 1 323.441 1.807 20 0 DDADMM CCCc1cc(C(=O)[N-]c2ncn(Cc3cccnc3)n2)no1 ZINC001324411868 867265117 /nfs/dbraw/zinc/26/51/17/867265117.db2.gz MREJYSXCFLOFQR-UHFFFAOYSA-N -1 1 312.333 1.914 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H]2OCCC[C@@H]2C1 ZINC001324475143 867299790 /nfs/dbraw/zinc/29/97/90/867299790.db2.gz LUAMYYMGCLJQKI-PWSUYJOCSA-N -1 1 318.402 1.659 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)/C=C\C(C)(C)C ZINC001334234346 867408886 /nfs/dbraw/zinc/40/88/86/867408886.db2.gz HWYRNSNXSYXGDY-JLVNDZCYSA-N -1 1 319.405 1.966 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1OC1CCC(C(=O)OC)CC1 ZINC001225900065 882100627 /nfs/dbraw/zinc/10/06/27/882100627.db2.gz YEQDSTAWUQIGOL-UHFFFAOYSA-N -1 1 324.333 1.470 20 0 DDADMM O=C([N-]CCNc1nc(C2CC2)nc2c1CCC2)C(F)(F)F ZINC001164244201 869050858 /nfs/dbraw/zinc/05/08/58/869050858.db2.gz AMEJJTDKXGKBQY-UHFFFAOYSA-N -1 1 314.311 1.933 20 0 DDADMM CC[C@H](C)CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164284951 869086911 /nfs/dbraw/zinc/08/69/11/869086911.db2.gz JTJMHSYLDHBGJE-NSHDSACASA-N -1 1 309.414 1.323 20 0 DDADMM CCOCCOCc1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001337018302 869268436 /nfs/dbraw/zinc/26/84/36/869268436.db2.gz JMXRMODPEWFCKC-UHFFFAOYSA-N -1 1 317.345 1.988 20 0 DDADMM CC1(CC(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001166226652 869912461 /nfs/dbraw/zinc/91/24/61/869912461.db2.gz UMHOAKLEXMDXRQ-UHFFFAOYSA-N -1 1 307.398 1.077 20 0 DDADMM Cc1cnc(SCN2C(=O)c3ccccc3C2=O)[n-]c1=O ZINC001166227762 869912681 /nfs/dbraw/zinc/91/26/81/869912681.db2.gz UYYCGGUKAQJKAF-UHFFFAOYSA-N -1 1 301.327 1.836 20 0 DDADMM CC(C)(C)C=CC(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001317009539 870115855 /nfs/dbraw/zinc/11/58/55/870115855.db2.gz FICGCDGNIPUNEI-MLRMMBSGSA-N -1 1 307.398 1.097 20 0 DDADMM CCCN(Cc1ccccc1)c1nnc(-c2nnn[n-]2)n1CC ZINC001339260494 870424842 /nfs/dbraw/zinc/42/48/42/870424842.db2.gz MDRSMZQGULBCBG-UHFFFAOYSA-N -1 1 312.381 1.895 20 0 DDADMM CCCN(Cc1ccccc1)c1nnc(-c2nn[n-]n2)n1CC ZINC001339260494 870424849 /nfs/dbraw/zinc/42/48/49/870424849.db2.gz MDRSMZQGULBCBG-UHFFFAOYSA-N -1 1 312.381 1.895 20 0 DDADMM CSc1nc(Nc2cncc(S(C)(=O)=O)c2)cc(=O)[n-]1 ZINC001203532163 870621250 /nfs/dbraw/zinc/62/12/50/870621250.db2.gz FCQGWEOZDQHUKH-UHFFFAOYSA-N -1 1 312.376 1.446 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N(C)[C@@H]2CCC(=O)N(C)C2)c1 ZINC001298674364 870668312 /nfs/dbraw/zinc/66/83/12/870668312.db2.gz XYMWUGBYMAUQKL-GFCCVEGCSA-N -1 1 304.346 1.206 20 0 DDADMM O=C(Nc1cccc(Cc2nn[nH]n2)c1)C(=O)c1ccc([O-])cc1 ZINC001298992607 870811575 /nfs/dbraw/zinc/81/15/75/870811575.db2.gz DLUNMSJWSLNPEA-UHFFFAOYSA-N -1 1 323.312 1.318 20 0 DDADMM O=C(NCCC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1)[C@H]1CC12CC2 ZINC001317430792 870820590 /nfs/dbraw/zinc/82/05/90/870820590.db2.gz BFVQUFXFGZOIQB-NWDGAFQWSA-N -1 1 319.409 1.171 20 0 DDADMM O=C(NC[C@H](O)c1cncs1)c1ncc2ccccc2c1[O-] ZINC001303587127 871142605 /nfs/dbraw/zinc/14/26/05/871142605.db2.gz WPDBXBJVFBWQSF-NSHDSACASA-N -1 1 315.354 1.860 20 0 DDADMM Cc1c(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)ccn1C(C)C ZINC001317623881 871235619 /nfs/dbraw/zinc/23/56/19/871235619.db2.gz PSNLKKPOXZGELU-UHFFFAOYSA-N -1 1 320.397 1.063 20 0 DDADMM CC[C@H](C)C(=O)N(C)[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001381692113 882494162 /nfs/dbraw/zinc/49/41/62/882494162.db2.gz UCCWRMIKAQTJNJ-WCQYABFASA-N -1 1 319.405 1.800 20 0 DDADMM Cc1cc(C(=O)Nc2c[n-][nH]c2=O)c(C)n1-c1ccn(C)n1 ZINC001306848610 871365136 /nfs/dbraw/zinc/36/51/36/871365136.db2.gz VTCLIDDMTUIKHJ-UHFFFAOYSA-N -1 1 300.322 1.509 20 0 DDADMM Cc1cccc(N(CCC(N)=O)C(=O)c2cnncc2[O-])c1 ZINC001361861577 882520659 /nfs/dbraw/zinc/52/06/59/882520659.db2.gz BDJURJJYKIZRME-UHFFFAOYSA-N -1 1 300.318 1.013 20 0 DDADMM C[C@H]1CN(Cc2ccccc2)CC[C@H]1Oc1c([O-])c(=O)c1=O ZINC001226605640 882536050 /nfs/dbraw/zinc/53/60/50/882536050.db2.gz IVIZFELODUUOHU-WCQYABFASA-N -1 1 301.342 1.278 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CC[C@@H](c2ccco2)C1 ZINC001341610784 871734656 /nfs/dbraw/zinc/73/46/56/871734656.db2.gz GBHVMGPPGCHJGT-SECBINFHSA-N -1 1 300.322 1.498 20 0 DDADMM C[C@@H]1Oc2ccc(NC(=O)c3cnncc3[O-])cc2NC1=O ZINC001361876095 882554116 /nfs/dbraw/zinc/55/41/16/882554116.db2.gz FQPCHEMASNBXDE-ZETCQYMHSA-N -1 1 300.274 1.154 20 0 DDADMM C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1cc(Cl)ccc1[O-] ZINC001361909200 882623114 /nfs/dbraw/zinc/62/31/14/882623114.db2.gz ZZBHGLWSSILQDX-BDAKNGLRSA-N -1 1 317.794 1.693 20 0 DDADMM CCCCCC(C)(C)CNC(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC001343323425 872581637 /nfs/dbraw/zinc/58/16/37/872581637.db2.gz QIQVUECFANJVCN-OAHLLOKOSA-N -1 1 300.399 1.152 20 0 DDADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C=CC(C)(C)C ZINC001319606020 872713192 /nfs/dbraw/zinc/71/31/92/872713192.db2.gz UUBGTNPKSDZWJO-SYTKJHMZSA-N -1 1 323.441 1.733 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@]2(C)CC(C)=NO2)[n-]c1Cl ZINC001361936604 882671558 /nfs/dbraw/zinc/67/15/58/882671558.db2.gz ZRAFFXVYFDVTEH-LBPRGKRZSA-N -1 1 314.729 1.733 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@H](c2cc[nH]n2)C1 ZINC001346237172 873661216 /nfs/dbraw/zinc/66/12/16/873661216.db2.gz BASFWPLNCRHKFS-VIFPVBQESA-N -1 1 319.390 1.522 20 0 DDADMM O=C(c1cnc2ccccc2c1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001347661555 874231974 /nfs/dbraw/zinc/23/19/74/874231974.db2.gz QEBALFHEWFQAQS-GFCCVEGCSA-N -1 1 322.372 1.843 20 0 DDADMM CCC[C@@H](C)CC(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001378281114 874605929 /nfs/dbraw/zinc/60/59/29/874605929.db2.gz YGFMVRCBMGXVAL-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1)c1ccc[nH]1 ZINC001378394292 874802141 /nfs/dbraw/zinc/80/21/41/874802141.db2.gz JWHMYKHVXYMPOA-UHFFFAOYSA-N -1 1 318.381 1.061 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348839359 874821326 /nfs/dbraw/zinc/82/13/26/874821326.db2.gz WPEJDIRSJURVQY-LBPRGKRZSA-N -1 1 323.393 1.084 20 0 DDADMM CCCOC(=O)[C@H](C)Oc1[n-]c(=O)ncc1Br ZINC001227138300 882869019 /nfs/dbraw/zinc/86/90/19/882869019.db2.gz PWUIVUXTYHMNRY-LURJTMIESA-N -1 1 305.128 1.665 20 0 DDADMM COc1ncc([N-]S(=O)(=O)c2ccc(N)c(N)c2)cc1C ZINC001210317616 875330115 /nfs/dbraw/zinc/33/01/15/875330115.db2.gz VAQQUCGLGCSVQT-UHFFFAOYSA-N -1 1 308.363 1.364 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CCCCC1(C)C ZINC001378862304 875793836 /nfs/dbraw/zinc/79/38/36/875793836.db2.gz FBUPNGXNHLSTSF-NWDGAFQWSA-N -1 1 323.441 1.663 20 0 DDADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CCCCC1 ZINC001378876673 875827122 /nfs/dbraw/zinc/82/71/22/875827122.db2.gz YFAKNCPEJGCWPG-ZDUSSCGKSA-N -1 1 323.441 1.711 20 0 DDADMM Cc1ccc(N(C)C(=O)CNC(=O)c2ncccc2[O-])cc1C ZINC001362082139 882989132 /nfs/dbraw/zinc/98/91/32/882989132.db2.gz ACODVYPOHBMNDB-UHFFFAOYSA-N -1 1 313.357 1.797 20 0 DDADMM O=Cc1ccc(F)c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC001351534433 876310100 /nfs/dbraw/zinc/31/01/00/876310100.db2.gz UEIOJXUWPYYJAZ-JTQLQIEISA-N -1 1 319.292 1.747 20 0 DDADMM Nc1cncc(S(=O)(=O)[N-]c2ccc(CO)c(F)c2F)c1 ZINC001215032543 876545271 /nfs/dbraw/zinc/54/52/71/876545271.db2.gz BRDUAQFGHZEGIF-UHFFFAOYSA-N -1 1 315.301 1.235 20 0 DDADMM CCc1ccc2c(c1)CCN2c1nnc(-c2nnn[n-]2)n1CC ZINC001352345747 876700395 /nfs/dbraw/zinc/70/03/95/876700395.db2.gz KNXSEYWNEXCRIS-UHFFFAOYSA-N -1 1 310.365 1.735 20 0 DDADMM CCc1ccc2c(c1)CCN2c1nnc(-c2nn[n-]n2)n1CC ZINC001352345747 876700401 /nfs/dbraw/zinc/70/04/01/876700401.db2.gz KNXSEYWNEXCRIS-UHFFFAOYSA-N -1 1 310.365 1.735 20 0 DDADMM CO[C@H](C)CCC(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001381958540 883058690 /nfs/dbraw/zinc/05/86/90/883058690.db2.gz CIYMTBRMLUVPKX-VXGBXAGGSA-N -1 1 323.393 1.179 20 0 DDADMM COc1cc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)ccc1CO ZINC001216112420 876865204 /nfs/dbraw/zinc/86/52/04/876865204.db2.gz KNXUMINQBIJVKV-UHFFFAOYSA-N -1 1 319.321 1.891 20 0 DDADMM COc1ncc(Nc2cc(=O)[nH]cc2C)cc1[N-]S(C)(=O)=O ZINC001216152170 876877649 /nfs/dbraw/zinc/87/76/49/876877649.db2.gz PJEGVTYXVODJMH-UHFFFAOYSA-N -1 1 324.362 1.614 20 0 DDADMM COc1ncc(Nc2cncc(CO)c2)cc1[N-]S(C)(=O)=O ZINC001216153678 876879834 /nfs/dbraw/zinc/87/98/34/876879834.db2.gz VULSTSYMIURTMO-UHFFFAOYSA-N -1 1 324.362 1.093 20 0 DDADMM C[C@@H](C[NH+](C)C)Oc1[n-]c(=O)nc2nc(Br)[n-]c21 ZINC001227594885 883093973 /nfs/dbraw/zinc/09/39/73/883093973.db2.gz RIUHALVLIODUSR-YFKPBYRVSA-N -1 1 316.159 1.150 20 0 DDADMM O=C(NCCc1nc(Cc2ccccc2)no1)c1cncc([O-])c1 ZINC001353924264 877648325 /nfs/dbraw/zinc/64/83/25/877648325.db2.gz FWXBWPJXBHDFED-UHFFFAOYSA-N -1 1 324.340 1.734 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)[C@H]1CCNc2ccccc21 ZINC001218671274 877656543 /nfs/dbraw/zinc/65/65/43/877656543.db2.gz NUFBYJUBMHPRFA-QMMMGPOBSA-N -1 1 315.289 1.824 20 0 DDADMM O=C(NCCc1nc(-c2ccccc2)n[nH]1)c1ccncc1[O-] ZINC000189778704 878162522 /nfs/dbraw/zinc/16/25/22/878162522.db2.gz IWMPOWKFCSVQQZ-UHFFFAOYSA-N -1 1 309.329 1.545 20 0 DDADMM O=C(NCCc1nnc(-c2ccccc2)[nH]1)c1ccncc1[O-] ZINC000189778704 878162540 /nfs/dbraw/zinc/16/25/40/878162540.db2.gz IWMPOWKFCSVQQZ-UHFFFAOYSA-N -1 1 309.329 1.545 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)Cc1ccc(C)s1 ZINC001356315947 878989142 /nfs/dbraw/zinc/98/91/42/878989142.db2.gz VENTUXJONQBEIO-UHFFFAOYSA-N -1 1 318.410 1.408 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)Cc1ccc(C)s1 ZINC001356315947 878989152 /nfs/dbraw/zinc/98/91/52/878989152.db2.gz VENTUXJONQBEIO-UHFFFAOYSA-N -1 1 318.410 1.408 20 0 DDADMM C/C(=C/C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-])C1CC1 ZINC001358507648 880455469 /nfs/dbraw/zinc/45/54/69/880455469.db2.gz KNGOQTXNIWAIAN-IEHMKBBKSA-N -1 1 303.362 1.378 20 0 DDADMM CCCC(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCCC1 ZINC001374354220 912628029 /nfs/dbraw/zinc/62/80/29/912628029.db2.gz BHCWFHKMXNTDJX-LBPRGKRZSA-N -1 1 309.414 1.465 20 0 DDADMM C[C@@H]1[C@@H](Oc2[n-]c(=O)nc3c2COC3)CN1C(=O)OC(C)(C)C ZINC001227686044 883131649 /nfs/dbraw/zinc/13/16/49/883131649.db2.gz FANCQUXJYPXSQM-KCJUWKMLSA-N -1 1 323.349 1.599 20 0 DDADMM CC(C)n1cnnc1C1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001362140515 883137924 /nfs/dbraw/zinc/13/79/24/883137924.db2.gz ZFAKJQCDYBWDSH-UHFFFAOYSA-N -1 1 315.377 1.979 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCOC[C@H]1c1ncon1 ZINC001362164041 883188373 /nfs/dbraw/zinc/18/83/73/883188373.db2.gz VYKNVUJHJARPKS-JTQLQIEISA-N -1 1 309.709 1.642 20 0 DDADMM CCCOc1cc(C)ccc1CNC(=O)CCc1nn[n-]n1 ZINC001362225075 883345686 /nfs/dbraw/zinc/34/56/86/883345686.db2.gz YLKYZDVEWMRHGH-UHFFFAOYSA-N -1 1 303.366 1.546 20 0 DDADMM C[C@@H](CC(=O)c1ccccc1)NC(=O)CCCc1nn[n-]n1 ZINC001362229427 883356470 /nfs/dbraw/zinc/35/64/70/883356470.db2.gz BONCBUWZZFKARR-NSHDSACASA-N -1 1 301.350 1.300 20 0 DDADMM COCC1(CC(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CC1 ZINC001362300812 883516048 /nfs/dbraw/zinc/51/60/48/883516048.db2.gz YHKPNMRUYCGLGF-UHFFFAOYSA-N -1 1 317.349 1.534 20 0 DDADMM CCCOC(=O)[C@@H](C)Oc1[n-]c(=S)ncc1C(=O)OCC ZINC001228551428 883547868 /nfs/dbraw/zinc/54/78/68/883547868.db2.gz QRZGCBYZNDDQPZ-MRVPVSSYSA-N -1 1 314.363 1.663 20 0 DDADMM O=C(N[C@H](CO)C[C@@H](O)c1ccccc1)c1ccc(F)c([O-])c1 ZINC001362315083 883547957 /nfs/dbraw/zinc/54/79/57/883547957.db2.gz CJMVALYLTWWZEM-DZGCQCFKSA-N -1 1 319.332 1.746 20 0 DDADMM C[C@@H](C[S@](C)=O)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362332683 883585382 /nfs/dbraw/zinc/58/53/82/883585382.db2.gz JDKWACVKOHPBEL-JKNYTWMOSA-N -1 1 309.309 1.908 20 0 DDADMM CC[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)[C@@H]1C ZINC001362369081 883660058 /nfs/dbraw/zinc/66/00/58/883660058.db2.gz PTRSVFISOYTZFM-NXEZZACHSA-N -1 1 300.380 1.448 20 0 DDADMM CNc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(Cl)c1 ZINC001362372146 883664306 /nfs/dbraw/zinc/66/43/06/883664306.db2.gz CMTONAWIWFVKPP-SECBINFHSA-N -1 1 320.784 1.915 20 0 DDADMM CC(C)[C@@H](C)C(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001382262620 883707881 /nfs/dbraw/zinc/70/78/81/883707881.db2.gz UWJOBPZRSZSIBW-VXGBXAGGSA-N -1 1 307.394 1.656 20 0 DDADMM CCn1cc([C@H](C)NC(=O)c2cnc(SC)[n-]c2=O)cn1 ZINC001362444760 883826283 /nfs/dbraw/zinc/82/62/83/883826283.db2.gz QQEWSXKADAMXNP-QMMMGPOBSA-N -1 1 307.379 1.612 20 0 DDADMM Cn1cc(C(N)=O)c(NC(=O)Cc2ccc([O-])c(Cl)c2)n1 ZINC001362596123 884178920 /nfs/dbraw/zinc/17/89/20/884178920.db2.gz CQKPFQKJPAJIDD-UHFFFAOYSA-N -1 1 308.725 1.059 20 0 DDADMM CSc1nc(CNC(=O)c2cccc3c2OCO3)cc(=O)[n-]1 ZINC001362612613 884219424 /nfs/dbraw/zinc/21/94/24/884219424.db2.gz RLOSMWWZCSZKRW-UHFFFAOYSA-N -1 1 319.342 1.563 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)CC1CC1 ZINC001382618509 884356324 /nfs/dbraw/zinc/35/63/24/884356324.db2.gz ZIENYNLTLVANJI-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM Nc1ncc2c(n1)CN(C(=O)c1c(F)ccc([O-])c1F)CC2 ZINC001362683638 884407857 /nfs/dbraw/zinc/40/78/57/884407857.db2.gz NJTNHMLTZLEHMA-UHFFFAOYSA-N -1 1 306.272 1.241 20 0 DDADMM COC(=O)c1c[n-]c(O[C@H](C(=O)OC)c2ccccc2F)n1 ZINC001230282123 884407846 /nfs/dbraw/zinc/40/78/46/884407846.db2.gz PLJLFYNVSIKHMP-NSHDSACASA-N -1 1 308.265 1.629 20 0 DDADMM Cc1cnc(C(=O)NCc2cccc(S(C)(=O)=O)c2)c([O-])c1 ZINC001362687737 884416936 /nfs/dbraw/zinc/41/69/36/884416936.db2.gz JZIBGNVPGKBHKJ-UHFFFAOYSA-N -1 1 320.370 1.429 20 0 DDADMM CCC(CC)(NC(=O)COCc1cccc(C)c1)c1nn[n-]n1 ZINC001362785396 884632063 /nfs/dbraw/zinc/63/20/63/884632063.db2.gz LVOZAQSGSVGJEP-UHFFFAOYSA-N -1 1 317.393 1.856 20 0 DDADMM O=C(NC1CC1)OC[C@H]1CCCCN1C(=O)c1cnncc1O ZINC001362799783 884672612 /nfs/dbraw/zinc/67/26/12/884672612.db2.gz QSPXZQIUZYVUGD-LLVKDONJSA-N -1 1 320.349 1.066 20 0 DDADMM O=C(NC1CC1)OC[C@H]1CCCCN1C(=O)c1cnncc1[O-] ZINC001362799783 884672626 /nfs/dbraw/zinc/67/26/26/884672626.db2.gz QSPXZQIUZYVUGD-LLVKDONJSA-N -1 1 320.349 1.066 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)[C@@H](CC(C)C)C(N)=O ZINC001362812074 884700019 /nfs/dbraw/zinc/70/00/19/884700019.db2.gz PCFNWLSSXDTDQY-NSHDSACASA-N -1 1 322.409 1.586 20 0 DDADMM COC(=O)c1cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c(C)o1 ZINC001362832175 884749831 /nfs/dbraw/zinc/74/98/31/884749831.db2.gz QVWFZIIJRAMCQE-UHFFFAOYSA-N -1 1 321.337 1.186 20 0 DDADMM Cc1ccc(CC(=O)NC2(c3nn[n-]n3)CCC2)cc1Cl ZINC001362836699 884761669 /nfs/dbraw/zinc/76/16/69/884761669.db2.gz RPEUMHTWBUAZPY-UHFFFAOYSA-N -1 1 305.769 1.900 20 0 DDADMM COC(=O)C1(CNC(=O)c2ccc(F)c([O-])c2)CCOCC1 ZINC001362855736 884807310 /nfs/dbraw/zinc/80/73/10/884807310.db2.gz BJKFVAMONXYILH-UHFFFAOYSA-N -1 1 311.309 1.231 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C(C)C)no2)cc(=O)[n-]1 ZINC001362857444 884812068 /nfs/dbraw/zinc/81/20/68/884812068.db2.gz YKYUPPRYMYJFQU-UHFFFAOYSA-N -1 1 308.363 1.946 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(F)(F)[C@@H](C)C1 ZINC001362964464 885102666 /nfs/dbraw/zinc/10/26/66/885102666.db2.gz VGPGMZMPUCHMNW-LURJTMIESA-N -1 1 303.265 1.014 20 0 DDADMM CCNc1cccnc1C(=O)Nc1nc(C(=O)OCC)c[n-]1 ZINC001362980965 885137258 /nfs/dbraw/zinc/13/72/58/885137258.db2.gz XSDNEVOOIFBNJX-UHFFFAOYSA-N -1 1 303.322 1.666 20 0 DDADMM CC(C)N(CCN(C)C(=O)[C@@H]1C[C@@H]1C)C(=O)c1ncccc1[O-] ZINC001383157392 885327375 /nfs/dbraw/zinc/32/73/75/885327375.db2.gz OUEZVHFANBZCKD-QWHCGFSZSA-N -1 1 319.405 1.752 20 0 DDADMM CN1C(=O)Cc2cc(CNC(=O)c3ccc([O-])cc3F)ccc21 ZINC001363077380 885407448 /nfs/dbraw/zinc/40/74/48/885407448.db2.gz FDZPGGLDYHAEQJ-UHFFFAOYSA-N -1 1 314.316 1.980 20 0 DDADMM Cc1noc([C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C(C)C)n1 ZINC001363102842 885471399 /nfs/dbraw/zinc/47/13/99/885471399.db2.gz NJAALPBTFJYOPW-NSHDSACASA-N -1 1 317.349 1.878 20 0 DDADMM C[C@H]([C@H](C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C(C)(C)C ZINC001363107104 885480703 /nfs/dbraw/zinc/48/07/03/885480703.db2.gz IDYGZYXTDHTDKH-JGVFFNPUSA-N -1 1 302.400 1.465 20 0 DDADMM C[C@H]([C@H](C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C(C)(C)C ZINC001363107104 885480706 /nfs/dbraw/zinc/48/07/06/885480706.db2.gz IDYGZYXTDHTDKH-JGVFFNPUSA-N -1 1 302.400 1.465 20 0 DDADMM COC(=O)[C@]12CN(C(=O)c3ccc(F)c([O-])c3)C[C@H]1COCC2 ZINC001363128259 885536114 /nfs/dbraw/zinc/53/61/14/885536114.db2.gz ODYAOEVDTUKKQR-MEDUHNTESA-N -1 1 323.320 1.183 20 0 DDADMM CC(C)(C)C(F)(F)CNC(=O)CNC(=O)c1ncccc1[O-] ZINC001363132167 885543310 /nfs/dbraw/zinc/54/33/10/885543310.db2.gz XFNAOMZJVLODQF-UHFFFAOYSA-N -1 1 315.320 1.315 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](OC)C1CCCCC1)c1nn[n-]n1 ZINC001363136341 885554064 /nfs/dbraw/zinc/55/40/64/885554064.db2.gz NFCBYKWFJDFCTP-LBPRGKRZSA-N -1 1 309.414 1.927 20 0 DDADMM CSc1nc(CNC(=O)c2c([O-])cccc2F)cc(=O)[nH]1 ZINC001363143787 885571066 /nfs/dbraw/zinc/57/10/66/885571066.db2.gz VXCXHQDKZHKQMR-UHFFFAOYSA-N -1 1 309.322 1.679 20 0 DDADMM CN(C(=O)[C@@H]1CCCN(C(=O)OC(C)(C)C)CC1)c1nn[n-]n1 ZINC001363151213 885588646 /nfs/dbraw/zinc/58/86/46/885588646.db2.gz QAHPUULVFKQTNY-SNVBAGLBSA-N -1 1 324.385 1.200 20 0 DDADMM COCCCCN1CCN(Cc2ccc(C(=O)[O-])s2)CC1 ZINC001231465456 885686122 /nfs/dbraw/zinc/68/61/22/885686122.db2.gz BCGKVOCFEIQFBP-UHFFFAOYSA-N -1 1 312.435 1.991 20 0 DDADMM O=C(c1ccc(F)cc1O)N1CC[C@H]2[C@H]1CCC[N@@H+]2CCO ZINC001363224677 885755875 /nfs/dbraw/zinc/75/58/75/885755875.db2.gz VYOLTRDOWOUPND-UONOGXRCSA-N -1 1 308.353 1.203 20 0 DDADMM NC(=O)C[C@H]1C[C@@H]2CN(Cc3ccc([O-])c(F)c3F)C[C@@H]2O1 ZINC001277543548 885767842 /nfs/dbraw/zinc/76/78/42/885767842.db2.gz RGHYTHCVINBBEE-FOGDFJRCSA-N -1 1 312.316 1.135 20 0 DDADMM COc1cc(C)c(C(=O)NC2(c3nn[n-]n3)CCC2)cc1OC ZINC001363278197 885892347 /nfs/dbraw/zinc/89/23/47/885892347.db2.gz RGMATNGJKONXTO-UHFFFAOYSA-N -1 1 317.349 1.335 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CC1CCC1 ZINC001363350151 886099818 /nfs/dbraw/zinc/09/98/18/886099818.db2.gz KNTXNFLAIGKXSD-NSHDSACASA-N -1 1 306.366 1.835 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CC1CCC1 ZINC001363350151 886099837 /nfs/dbraw/zinc/09/98/37/886099837.db2.gz KNTXNFLAIGKXSD-NSHDSACASA-N -1 1 306.366 1.835 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CC1CCC1 ZINC001363350151 886099856 /nfs/dbraw/zinc/09/98/56/886099856.db2.gz KNTXNFLAIGKXSD-NSHDSACASA-N -1 1 306.366 1.835 20 0 DDADMM COC[C@@H](NC(=O)[C@@H](C)CSc1ccccc1)c1nn[n-]n1 ZINC001363428867 886313791 /nfs/dbraw/zinc/31/37/91/886313791.db2.gz BDYYPDATQWUWEX-CMPLNLGQSA-N -1 1 321.406 1.432 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2ccc(F)c([O-])c2)C[C@H]1C1CC1 ZINC001363467091 886416528 /nfs/dbraw/zinc/41/65/28/886416528.db2.gz BRUMFBAAPMOWJU-NWDGAFQWSA-N -1 1 307.321 1.803 20 0 DDADMM Cc1nc(C(=O)N(C)c2nn[n-]n2)sc1Br ZINC001363491751 886480099 /nfs/dbraw/zinc/48/00/99/886480099.db2.gz UVZRSMWJAKXTRC-UHFFFAOYSA-N -1 1 303.145 1.004 20 0 DDADMM CCC(=O)N[C@H](C)CN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001383783491 886508209 /nfs/dbraw/zinc/50/82/09/886508209.db2.gz HYGWUEGIMYVUJQ-SNVBAGLBSA-N -1 1 322.409 1.601 20 0 DDADMM CSc1ncc(C(=O)N2Cc3c[nH]nc3[C@H](C)C2)c(=O)[n-]1 ZINC001363517042 886534981 /nfs/dbraw/zinc/53/49/81/886534981.db2.gz XJPGPPDBWBKMOF-SSDOTTSWSA-N -1 1 305.363 1.387 20 0 DDADMM C[C@@H]1C[C@@]2(CC[C@@H](CNC(=O)c3cccc([O-])c3F)O2)CO1 ZINC001363531876 886566349 /nfs/dbraw/zinc/56/63/49/886566349.db2.gz PSGCXRLZRGOINV-GDLVEWKHSA-N -1 1 309.337 1.988 20 0 DDADMM COC(=O)CCc1cccc(NC(=O)CCCc2nn[n-]n2)c1 ZINC001363539535 886584137 /nfs/dbraw/zinc/58/41/37/886584137.db2.gz WQJSOFVDTDHXOK-UHFFFAOYSA-N -1 1 317.349 1.267 20 0 DDADMM Cc1ccccc1OCCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363546098 886601980 /nfs/dbraw/zinc/60/19/80/886601980.db2.gz CIAAZDYRMWAUJE-UHFFFAOYSA-N -1 1 301.350 1.473 20 0 DDADMM CCC(CC)n1nc(C)cc1C(=O)N[C@H](COC)c1nn[n-]n1 ZINC001363587348 886701409 /nfs/dbraw/zinc/70/14/09/886701409.db2.gz LEYUSNQKRDHJMX-LLVKDONJSA-N -1 1 321.385 1.183 20 0 DDADMM CC[C@H](F)C(=O)NCCC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001383913480 886719314 /nfs/dbraw/zinc/71/93/14/886719314.db2.gz WHKXXVGWRTUGPM-NSHDSACASA-N -1 1 323.368 1.552 20 0 DDADMM Cc1cc(N2CCN(Cc3cc(Cl)ncc3[O-])CC2)ncn1 ZINC001233037683 886746104 /nfs/dbraw/zinc/74/61/04/886746104.db2.gz GVETUABPESAFFP-UHFFFAOYSA-N -1 1 319.796 1.861 20 0 DDADMM COc1ccnc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)c1 ZINC001363634780 886830866 /nfs/dbraw/zinc/83/08/66/886830866.db2.gz RJIJWQXPLKNPTC-UHFFFAOYSA-N -1 1 318.333 1.260 20 0 DDADMM COc1ccc(CN(CCCO)C(=O)c2ccc([O-])cn2)cc1 ZINC001363656987 886902093 /nfs/dbraw/zinc/90/20/93/886902093.db2.gz FXKXAHGIWDOERN-UHFFFAOYSA-N -1 1 316.357 1.821 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)CC(C)(C)C)CN1C(=O)c1ncccc1[O-] ZINC001384104893 886971359 /nfs/dbraw/zinc/97/13/59/886971359.db2.gz XHLKUJYMRPALKQ-VXGBXAGGSA-N -1 1 319.405 1.943 20 0 DDADMM O=C(NC1(c2ccc3c(c2)OCCO3)CC1)c1ccc([O-])cn1 ZINC001363687608 886980057 /nfs/dbraw/zinc/98/00/57/886980057.db2.gz NBLBZZRTHZDSEA-UHFFFAOYSA-N -1 1 312.325 1.978 20 0 DDADMM Cc1[nH]c(=O)c(Br)cc1C(=O)Nc1c[n-][nH]c1=O ZINC001363702070 887018479 /nfs/dbraw/zinc/01/84/79/887018479.db2.gz CPADMMHBBSNVFJ-UHFFFAOYSA-N -1 1 313.111 1.539 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cc(N2CCOC2=O)ccc1F ZINC001363704275 887025032 /nfs/dbraw/zinc/02/50/32/887025032.db2.gz FPFGILLILHXSST-UHFFFAOYSA-N -1 1 306.253 1.463 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H]2c2ccccc2)[n-]n1 ZINC001363758849 887155157 /nfs/dbraw/zinc/15/51/57/887155157.db2.gz XEBOFUIONGXDRQ-GFCCVEGCSA-N -1 1 321.358 1.332 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H]2c2ccccc2)n[n-]1 ZINC001363758849 887155163 /nfs/dbraw/zinc/15/51/63/887155163.db2.gz XEBOFUIONGXDRQ-GFCCVEGCSA-N -1 1 321.358 1.332 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H]3CCC[C@H]32)[n-]n1 ZINC001363768794 887174758 /nfs/dbraw/zinc/17/47/58/887174758.db2.gz YOFGIVZRNJMGAM-GXSJLCMTSA-N -1 1 313.379 1.150 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H]3CCC[C@H]32)n[n-]1 ZINC001363768794 887174764 /nfs/dbraw/zinc/17/47/64/887174764.db2.gz YOFGIVZRNJMGAM-GXSJLCMTSA-N -1 1 313.379 1.150 20 0 DDADMM CO[C@@H](C)C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C(C)(C)C ZINC001384361917 887339849 /nfs/dbraw/zinc/33/98/49/887339849.db2.gz ZLEHKVLSMGIQDD-CMPLNLGQSA-N -1 1 323.393 1.083 20 0 DDADMM COC(=O)[C@H](O)C1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001363886734 887473507 /nfs/dbraw/zinc/47/35/07/887473507.db2.gz GDIHAPLUIQNDSV-CQSZACIVSA-N -1 1 315.316 1.416 20 0 DDADMM CC[C@@H](F)C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001384527048 887629649 /nfs/dbraw/zinc/62/96/49/887629649.db2.gz GLDJZZCQORJCLX-OUAUKWLOSA-N -1 1 309.341 1.160 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CCC(C)(C)C ZINC001363969131 887635404 /nfs/dbraw/zinc/63/54/04/887635404.db2.gz BPHNTGQELVDACQ-VIFPVBQESA-N -1 1 317.411 1.689 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1cccc(C)n1 ZINC001363977518 887653085 /nfs/dbraw/zinc/65/30/85/887653085.db2.gz AIXMZMPDIFAGEA-UHFFFAOYSA-N -1 1 313.404 1.376 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cc(Cl)c2c(c1)OCCO2 ZINC001363998397 887695773 /nfs/dbraw/zinc/69/57/73/887695773.db2.gz KUKRZWDUXSOZBV-UHFFFAOYSA-N -1 1 309.713 1.196 20 0 DDADMM COC[C@H](NC(=O)CCSc1ccccc1)c1nn[n-]n1 ZINC001364035758 887767090 /nfs/dbraw/zinc/76/70/90/887767090.db2.gz YVFVRHGYUGXURI-NSHDSACASA-N -1 1 307.379 1.186 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@@H](C)COc2ccccc2)c(=O)[n-]1 ZINC001234469611 888000812 /nfs/dbraw/zinc/00/08/12/888000812.db2.gz JCSDRAHUHCGEGM-VIFPVBQESA-N -1 1 303.318 1.436 20 0 DDADMM CCCCOC(=O)[C@H](C)Oc1c(=O)[n-]c(C)nc1C(=O)OC ZINC001234557443 888090421 /nfs/dbraw/zinc/09/04/21/888090421.db2.gz KMCYVECRTUODJH-QMMMGPOBSA-N -1 1 312.322 1.388 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H]1COc2cnccc21 ZINC001234563425 888103226 /nfs/dbraw/zinc/10/32/26/888103226.db2.gz XVLVTLMRYIVGAE-SNVBAGLBSA-N -1 1 303.274 1.185 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@@H](C)c2cnccn2)c(=O)[n-]1 ZINC001234565942 888105502 /nfs/dbraw/zinc/10/55/02/888105502.db2.gz RQOGDUDWDJHDGY-QMMMGPOBSA-N -1 1 303.322 1.335 20 0 DDADMM COC[C@H](C)OC[C@H](C)Oc1[n-]c(=O)c(F)cc1C(=O)OC ZINC001234606624 888138160 /nfs/dbraw/zinc/13/81/60/888138160.db2.gz HHQXCJKFYIGBLD-IUCAKERBSA-N -1 1 317.313 1.532 20 0 DDADMM C[C@@H](C(=O)NCc1nc([O-])cc(=O)[nH]1)c1cccc(Cl)c1 ZINC001364203239 888139298 /nfs/dbraw/zinc/13/92/98/888139298.db2.gz IAGBBVRUBHFCLS-MRVPVSSYSA-N -1 1 307.737 1.961 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)CCO1 ZINC001364245843 888212224 /nfs/dbraw/zinc/21/22/24/888212224.db2.gz MKAMRQPLKXCARV-NWDGAFQWSA-N -1 1 323.393 1.402 20 0 DDADMM NS(=O)(=O)c1cc(NC(=O)c2cccc([O-])c2F)cs1 ZINC001364500907 888780970 /nfs/dbraw/zinc/78/09/70/888780970.db2.gz XBBPZNWPZCGXAW-UHFFFAOYSA-N -1 1 316.335 1.493 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2scnc2C(C)C)n[n-]1 ZINC001364509962 888805902 /nfs/dbraw/zinc/80/59/02/888805902.db2.gz WEWGNQQDYCPATO-UHFFFAOYSA-N -1 1 323.378 1.491 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2scnc2C(C)C)n1 ZINC001364509962 888805918 /nfs/dbraw/zinc/80/59/18/888805918.db2.gz WEWGNQQDYCPATO-UHFFFAOYSA-N -1 1 323.378 1.491 20 0 DDADMM Cc1ncc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c(C2CC2)n1 ZINC001364651898 889121891 /nfs/dbraw/zinc/12/18/91/889121891.db2.gz PFEHBJAIRGPNBN-UHFFFAOYSA-N -1 1 315.381 1.474 20 0 DDADMM C[C@H](c1nnnn1C1CC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001364690862 889204456 /nfs/dbraw/zinc/20/44/56/889204456.db2.gz QBUSKURNJHYUFR-MRVPVSSYSA-N -1 1 305.342 1.037 20 0 DDADMM CCC[C@@H](O)[C@@H](CO)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC001364746122 889327012 /nfs/dbraw/zinc/32/70/12/889327012.db2.gz RVUQHEHRIKHBLH-DGCLKSJQSA-N -1 1 316.785 1.367 20 0 DDADMM CC(C)[C@@H](C)CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385839270 889838730 /nfs/dbraw/zinc/83/87/30/889838730.db2.gz UOCCSIJYPZRXCP-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM CCn1ncc(C)c1NC(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001365068289 890031400 /nfs/dbraw/zinc/03/14/00/890031400.db2.gz BDRKZMVSMKGOEE-UHFFFAOYSA-N -1 1 322.394 1.751 20 0 DDADMM CCC[C@](C)(CC)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001374625933 913458364 /nfs/dbraw/zinc/45/83/64/913458364.db2.gz USUHHRYHXPIHAT-KRWDZBQOSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1c[nH]nc1CN1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001365311195 890561592 /nfs/dbraw/zinc/56/15/92/890561592.db2.gz ZXKNDJPJYWLUKD-UWVGGRQHSA-N -1 1 304.316 1.750 20 0 DDADMM CN1CCN(c2cc(-c3ccc(C(=O)[O-])cc3F)ncn2)CC1 ZINC001239246889 890564283 /nfs/dbraw/zinc/56/42/83/890564283.db2.gz HRWOQEACZTXMQC-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM CN1CCN(c2nccnc2-c2ccc(C(=O)[O-])cc2F)CC1 ZINC001239250841 890565322 /nfs/dbraw/zinc/56/53/22/890565322.db2.gz SQNQETXVMNXFST-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM Cc1occc1CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365317301 890574047 /nfs/dbraw/zinc/57/40/47/890574047.db2.gz PJGSRHYRBHDLKC-UHFFFAOYSA-N -1 1 318.333 1.156 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc(F)ccc1F)c1cncs1 ZINC001365468490 890852132 /nfs/dbraw/zinc/85/21/32/890852132.db2.gz SRIYHOIRWUNTAK-UHFFFAOYSA-N -1 1 318.326 1.583 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCOC23CCCC3)sn1 ZINC001365470765 890857500 /nfs/dbraw/zinc/85/75/00/890857500.db2.gz PSDIJFUAROUOEJ-SECBINFHSA-N -1 1 318.420 1.532 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)Cc1noc(C)n1)c1ccc(C)o1 ZINC001365679329 891301080 /nfs/dbraw/zinc/30/10/80/891301080.db2.gz XZQFQNJZVDBAIH-SNVBAGLBSA-N -1 1 315.351 1.087 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H](OC)C1CCCC1 ZINC001365723311 891400167 /nfs/dbraw/zinc/40/01/67/891400167.db2.gz GLFOVILKHYKKRD-JTQLQIEISA-N -1 1 320.436 1.635 20 0 DDADMM COCC(C)(C)CC(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001386744570 891615144 /nfs/dbraw/zinc/61/51/44/891615144.db2.gz RJVVXYLKBUINSJ-LLVKDONJSA-N -1 1 323.393 1.084 20 0 DDADMM COc1ncc(-c2cc(C3CC3)ncn2)cc1[N-]S(C)(=O)=O ZINC001244791451 891923981 /nfs/dbraw/zinc/92/39/81/891923981.db2.gz RAIZSENGRIHLPD-UHFFFAOYSA-N -1 1 320.374 1.796 20 0 DDADMM COc1ncc(-c2ccn3ccnc3c2)cc1[N-]S(C)(=O)=O ZINC001244792921 891926548 /nfs/dbraw/zinc/92/65/48/891926548.db2.gz WIHWJHBMCQIDFT-UHFFFAOYSA-N -1 1 318.358 1.776 20 0 DDADMM COc1ncc(-c2ccc3nonc3c2)cc1[N-]S(C)(=O)=O ZINC001244795146 891930773 /nfs/dbraw/zinc/93/07/73/891930773.db2.gz YJULCVNKQJEPHY-UHFFFAOYSA-N -1 1 320.330 1.665 20 0 DDADMM Cn1ccc(-c2ccc(-c3ccc([P@@](=O)([O-])O)cc3)cn2)n1 ZINC001245203841 892023374 /nfs/dbraw/zinc/02/33/74/892023374.db2.gz AACPMZPXQZWMOC-UHFFFAOYSA-N -1 1 315.269 1.952 20 0 DDADMM Cn1ccc(-c2ccc(-c3ccc([P@](=O)([O-])O)cc3)cn2)n1 ZINC001245203841 892023384 /nfs/dbraw/zinc/02/33/84/892023384.db2.gz AACPMZPXQZWMOC-UHFFFAOYSA-N -1 1 315.269 1.952 20 0 DDADMM CC[C@H](C)[C@@H](C)C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001387076162 892322633 /nfs/dbraw/zinc/32/26/33/892322633.db2.gz ZDUBGHARUUPGJR-NWDGAFQWSA-N -1 1 307.394 1.658 20 0 DDADMM Cc1cnoc1C(=O)N[C@H](C)CCNC(=O)c1ncccc1[O-] ZINC001387444110 893115268 /nfs/dbraw/zinc/11/52/68/893115268.db2.gz WMCHDGRPTLQFJB-SNVBAGLBSA-N -1 1 318.333 1.022 20 0 DDADMM Cc1occc1C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001387696705 893661038 /nfs/dbraw/zinc/66/10/38/893661038.db2.gz PWGKGHYTOHBZDC-UHFFFAOYSA-N -1 1 303.318 1.191 20 0 DDADMM O=C([O-])c1c(F)ccc(CN2CCN(CCCO)CC2)c1F ZINC001249764104 894121224 /nfs/dbraw/zinc/12/12/24/894121224.db2.gz PQWYUTBBJGWWHV-UHFFFAOYSA-N -1 1 314.332 1.163 20 0 DDADMM COc1cc([N-]c2onc(C)c2C(N)=O)c(Cl)c(OC)n1 ZINC001250126002 894201216 /nfs/dbraw/zinc/20/12/16/894201216.db2.gz WQDIAJMIAUBKSL-UHFFFAOYSA-N -1 1 312.713 1.891 20 0 DDADMM O=C1Cc2cc([N-]S(=O)(=O)c3ccc(F)cc3)ccc2CN1 ZINC001251668019 894767745 /nfs/dbraw/zinc/76/77/45/894767745.db2.gz GCZAORMGGFICGQ-UHFFFAOYSA-N -1 1 320.345 1.799 20 0 DDADMM O=S(=O)([N-][C@@H]1CCN(C2CCCCC2)C1)C(F)(F)F ZINC001252395965 895117604 /nfs/dbraw/zinc/11/76/04/895117604.db2.gz NNNUKCSARBTRFS-SECBINFHSA-N -1 1 300.346 1.833 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC1(C)C ZINC001388902142 896148580 /nfs/dbraw/zinc/14/85/80/896148580.db2.gz OGGHBSHFSVQZEJ-RYUDHWBXSA-N -1 1 319.405 1.704 20 0 DDADMM CN(CCN(C)C(=O)c1ncccc1[O-])C(=O)CC(C)(F)F ZINC001388993609 896321242 /nfs/dbraw/zinc/32/12/42/896321242.db2.gz WUFKIELARGTSIX-UHFFFAOYSA-N -1 1 315.320 1.363 20 0 DDADMM C[C@H](CN[C@H](C)c1csnn1)N(C)C(=O)c1ncccc1[O-] ZINC001367352369 896527255 /nfs/dbraw/zinc/52/72/55/896527255.db2.gz VXYMENRSQFCLJW-NXEZZACHSA-N -1 1 321.406 1.450 20 0 DDADMM CCc1ccc(S(=O)(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001255107302 896737332 /nfs/dbraw/zinc/73/73/32/896737332.db2.gz LPBUMWDDUFLUPC-UHFFFAOYSA-N -1 1 321.335 1.485 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2ccccc2C(F)(F)F)o1 ZINC001256687383 897540203 /nfs/dbraw/zinc/54/02/03/897540203.db2.gz IZTDQUPXFWJCTR-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](O)C1)c1cc(Cl)c(Cl)s1 ZINC001257516207 897871402 /nfs/dbraw/zinc/87/14/02/897871402.db2.gz YEDSSMAPRSHRRY-URHBZAFASA-N -1 1 302.204 1.857 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)c(Cl)s2)CN1 ZINC001257520823 897873249 /nfs/dbraw/zinc/87/32/49/897873249.db2.gz NKZZNSVTVOHZSX-SCSAIBSYSA-N -1 1 315.203 1.222 20 0 DDADMM CC[C@@H](C)CC(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001389830704 898110160 /nfs/dbraw/zinc/11/01/60/898110160.db2.gz UQPUPSJSPSMQSA-LLVKDONJSA-N -1 1 305.378 1.602 20 0 DDADMM CC1(C)[C@@H]2CC[C@@]1(CS(=O)(=O)[N-]c1ccnnc1)C(=O)C2 ZINC001258979501 898392307 /nfs/dbraw/zinc/39/23/07/898392307.db2.gz WTCOYIZGLKRIQS-QMTHXVAHSA-N -1 1 309.391 1.614 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1cccc(COC(C)C)c1 ZINC001259026308 898425079 /nfs/dbraw/zinc/42/50/79/898425079.db2.gz NXXLPXBWFJTQFB-UHFFFAOYSA-N -1 1 301.364 1.526 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2c(F)cccc2F)nn1C ZINC001259070360 898447539 /nfs/dbraw/zinc/44/75/39/898447539.db2.gz AZWAONKIEDNXFI-UHFFFAOYSA-N -1 1 301.318 1.485 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])c1cnc2c(c1)NC(=O)CO2 ZINC001299580081 898646983 /nfs/dbraw/zinc/64/69/83/898646983.db2.gz BWXFCAVJGNSMJO-UHFFFAOYSA-N -1 1 321.239 1.649 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)c2cccc(Cl)c2F)CC1 ZINC001259471168 898683746 /nfs/dbraw/zinc/68/37/46/898683746.db2.gz GIPSZGCGWDDTMO-UHFFFAOYSA-N -1 1 321.757 1.853 20 0 DDADMM CCOC(=O)c1c([N-]S(=O)(=O)CCOC)ccn1CC ZINC001259966821 898990692 /nfs/dbraw/zinc/99/06/92/898990692.db2.gz YKDPBHWNBMONHF-UHFFFAOYSA-N -1 1 304.368 1.073 20 0 DDADMM O=S(=O)([N-]Cc1cccnn1)c1cc(Cl)c(F)cc1F ZINC001260206161 899086944 /nfs/dbraw/zinc/08/69/44/899086944.db2.gz DQJLMGZKHGYQBL-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM CC(=O)OCC[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260207967 899090795 /nfs/dbraw/zinc/09/07/95/899090795.db2.gz CFSDLUGOWSOTDU-UHFFFAOYSA-N -1 1 313.709 1.460 20 0 DDADMM CC(C)[C@H](CO)[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260277463 899107114 /nfs/dbraw/zinc/10/71/14/899107114.db2.gz KTQGLXRSAZPWRW-JTQLQIEISA-N -1 1 313.753 1.913 20 0 DDADMM O=C(Cc1ccc2cccnc2c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001263885005 900799285 /nfs/dbraw/zinc/79/92/85/900799285.db2.gz IVYSCMNPZGITBV-CYBMUJFWSA-N -1 1 308.345 1.307 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001265180713 901673023 /nfs/dbraw/zinc/67/30/23/901673023.db2.gz ZCQOJJOXKVFPAA-STQMWFEESA-N -1 1 321.425 1.273 20 0 DDADMM CCCC(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C(C)(C)C ZINC001371101524 904253759 /nfs/dbraw/zinc/25/37/59/904253759.db2.gz WKQCROQYVNUOJW-GFCCVEGCSA-N -1 1 307.394 1.848 20 0 DDADMM CC(=O)CCCC(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001294036810 914874031 /nfs/dbraw/zinc/87/40/31/914874031.db2.gz DKWRMOFSHJFCQV-UHFFFAOYSA-N -1 1 321.377 1.125 20 0 DDADMM Cc1sccc1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282980944 906393732 /nfs/dbraw/zinc/39/37/32/906393732.db2.gz AXKVVCVGOHAYHE-UHFFFAOYSA-N -1 1 305.359 1.317 20 0 DDADMM CC[C@H](C)C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372359180 907119826 /nfs/dbraw/zinc/11/98/26/907119826.db2.gz MHMMDQGEZPPAST-CMPLNLGQSA-N -1 1 305.378 1.458 20 0 DDADMM CC1(CC(=O)N[C@H](CNC(=O)c2ncccc2[O-])C2CC2)CC1 ZINC001372375525 907159482 /nfs/dbraw/zinc/15/94/82/907159482.db2.gz ACORPBOPWUHTAA-GFCCVEGCSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1cc(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)oc1C ZINC001393548243 907296941 /nfs/dbraw/zinc/29/69/41/907296941.db2.gz SCRPHRFAAZGYJF-UHFFFAOYSA-N -1 1 319.365 1.162 20 0 DDADMM CCC(=CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)CC ZINC001283861903 908089389 /nfs/dbraw/zinc/08/93/89/908089389.db2.gz WZPSMONXHDGYED-CMPLNLGQSA-N -1 1 307.398 1.336 20 0 DDADMM C[C@H](CNC(=O)C1(C)CC1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001372907495 908550073 /nfs/dbraw/zinc/55/00/73/908550073.db2.gz MFIBLNOEOXMSEF-SECBINFHSA-N -1 1 318.377 1.094 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)CCNC(=O)C1CC1 ZINC001373342877 909562178 /nfs/dbraw/zinc/56/21/78/909562178.db2.gz HZPMRTAQTOVRPW-UHFFFAOYSA-N -1 1 320.393 1.212 20 0 DDADMM CN(C(=O)c1ccsc1)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001394416264 909572336 /nfs/dbraw/zinc/57/23/36/909572336.db2.gz CMZAVMZWUUIXKF-LLVKDONJSA-N -1 1 321.406 1.212 20 0 DDADMM CCCC(C)(C)C(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001394440997 909632058 /nfs/dbraw/zinc/63/20/58/909632058.db2.gz UYMAMTABCJJPAN-LBPRGKRZSA-N -1 1 323.441 1.663 20 0 DDADMM CC(C)CCC(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001394811323 910628008 /nfs/dbraw/zinc/62/80/08/910628008.db2.gz FHXNQUJFUCKKHS-LLVKDONJSA-N -1 1 311.430 1.567 20 0 DDADMM C[C@H](C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1)C1CC1 ZINC001373840797 911162652 /nfs/dbraw/zinc/16/26/52/911162652.db2.gz BWJIIKSQMVWGKB-JTQLQIEISA-N -1 1 307.398 1.075 20 0 DDADMM C[C@H](CNC(=O)c1cccnc1)N(C)C(=O)c1ncccc1[O-] ZINC001395030991 911166746 /nfs/dbraw/zinc/16/67/46/911166746.db2.gz SRXKKKQZTSOJTH-LLVKDONJSA-N -1 1 314.345 1.073 20 0 DDADMM Cc1cocc1C(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001395061604 911269157 /nfs/dbraw/zinc/26/91/57/911269157.db2.gz AYUSRXQUPOJIGI-NSHDSACASA-N -1 1 317.345 1.579 20 0 DDADMM O=C(C[C@H]1C=CCC1)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001285922942 911447141 /nfs/dbraw/zinc/44/71/41/911447141.db2.gz YPQRTEKTYOKRPF-LBPRGKRZSA-N -1 1 315.373 1.522 20 0 DDADMM CO[C@H](C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-])C(C)C ZINC001395165715 911501021 /nfs/dbraw/zinc/50/10/21/911501021.db2.gz ANNKXRKTJKPZLY-FZMZJTMJSA-N -1 1 323.393 1.035 20 0 DDADMM CC(C)=C(C)CC(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001296043989 916205822 /nfs/dbraw/zinc/20/58/22/916205822.db2.gz ARVUFVNMBINYKP-UHFFFAOYSA-N -1 1 305.378 1.722 20 0 DDADMM Cc1n[nH]c(-c2ccccc2)c1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001296734535 916559852 /nfs/dbraw/zinc/55/98/52/916559852.db2.gz PUMYCHJMZZFEDT-UHFFFAOYSA-N -1 1 314.305 1.027 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)CC(C)(C)C)nc1=O ZINC001376570815 918783314 /nfs/dbraw/zinc/78/33/14/918783314.db2.gz VGJFPKHQMWVSMS-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM CCC(C)(C)CC(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001376679118 919015517 /nfs/dbraw/zinc/01/55/17/919015517.db2.gz VZBZPBFKHRUQSO-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001376853370 919575620 /nfs/dbraw/zinc/57/56/20/919575620.db2.gz GQYZQHVYNANMFV-SFYZADRCSA-N -1 1 323.319 1.083 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC[C@H]3CCCCO3)nc2n1 ZINC000622994028 365587696 /nfs/dbraw/zinc/58/76/96/365587696.db2.gz BJYMDDILCNWZQR-LLVKDONJSA-N -1 1 319.365 1.268 20 0 DDADMM CCc1ncc(C(=O)Nc2nc3nc(CC)cc(=O)n3[n-]2)s1 ZINC000622994765 365589178 /nfs/dbraw/zinc/58/91/78/365589178.db2.gz BANJFBKPXRUUIF-UHFFFAOYSA-N -1 1 318.362 1.251 20 0 DDADMM CCCCO[C@@H](C)C(=O)Nc1nc2nc(CCC)cc(=O)n2[n-]1 ZINC000622996572 365589471 /nfs/dbraw/zinc/58/94/71/365589471.db2.gz RSUOSGFCMMKJDX-JTQLQIEISA-N -1 1 321.381 1.514 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCc3ccoc3)nc2n1 ZINC000622997011 365590493 /nfs/dbraw/zinc/59/04/93/365590493.db2.gz IXFNTRMMYQQMTB-UHFFFAOYSA-N -1 1 315.333 1.534 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3ncoc3CC)nc2n1 ZINC000622996716 365590514 /nfs/dbraw/zinc/59/05/14/365590514.db2.gz YFXXMTKAZLBSRU-UHFFFAOYSA-N -1 1 316.321 1.173 20 0 DDADMM CC[C@]1(C(C)C)C[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000457307954 529391348 /nfs/dbraw/zinc/39/13/48/529391348.db2.gz WFMZJXNOVPKPCZ-BXUZGUMPSA-N -1 1 314.407 1.742 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)F)c1cc2cc(F)ccc2o1 ZINC000451455322 231101751 /nfs/dbraw/zinc/10/17/51/231101751.db2.gz ADPPMNFVDLIVPK-VIFPVBQESA-N -1 1 323.292 1.866 20 0 DDADMM CCOc1cc(C(=O)N[C@H]2CC[S@](=O)C2)cc(Cl)c1[O-] ZINC000358110947 299080217 /nfs/dbraw/zinc/08/02/17/299080217.db2.gz SZFHGVANXDLPDJ-LXGOIASLSA-N -1 1 317.794 1.695 20 0 DDADMM CO[C@@H]([C@@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1)C1CC1 ZINC000451988423 231260963 /nfs/dbraw/zinc/26/09/63/231260963.db2.gz KEHFJXRHATZFCE-KCJUWKMLSA-N -1 1 304.437 1.852 20 0 DDADMM Cc1ccccc1OCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155467360 539209574 /nfs/dbraw/zinc/20/95/74/539209574.db2.gz AVPIJLHJLLVMJA-LBPRGKRZSA-N -1 1 301.350 1.293 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1c(F)cccc1Cl)C(=O)OC ZINC000451304655 529641176 /nfs/dbraw/zinc/64/11/76/529641176.db2.gz PAPXBLDGXLCUCP-NSHDSACASA-N -1 1 323.773 1.850 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)[C@@H]2C[C@H](C)Cc3cn[nH]c32)s[n-]1 ZINC000623215639 365726571 /nfs/dbraw/zinc/72/65/71/365726571.db2.gz AECWMOQKWQRXPT-NQMVMOMDSA-N -1 1 321.406 1.695 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCCN(c2ccccc2)C1=O ZINC000615217958 362199734 /nfs/dbraw/zinc/19/97/34/362199734.db2.gz IYYWDZKPWIKOAK-LBPRGKRZSA-N -1 1 314.345 1.805 20 0 DDADMM CNC(=O)c1ccc(CS(=O)(=O)[N-][C@@H](C)C(C)(F)F)cc1 ZINC000451692252 529939739 /nfs/dbraw/zinc/93/97/39/529939739.db2.gz SLDTXCDIRARVOH-VIFPVBQESA-N -1 1 320.361 1.509 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2ccccc2Cl)n[n-]1 ZINC000615916877 362484589 /nfs/dbraw/zinc/48/45/89/362484589.db2.gz GYSAYPIDDXLIBT-UHFFFAOYSA-N -1 1 322.752 1.494 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2ccccc2Cl)n1 ZINC000615916877 362484593 /nfs/dbraw/zinc/48/45/93/362484593.db2.gz GYSAYPIDDXLIBT-UHFFFAOYSA-N -1 1 322.752 1.494 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCc2cccc(C)c2)o1 ZINC000299628012 530028262 /nfs/dbraw/zinc/02/82/62/530028262.db2.gz KQKPQXYPQOKAJF-UHFFFAOYSA-N -1 1 322.386 1.469 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cc3n(n2)CCC3)c1Br ZINC000616001605 362517045 /nfs/dbraw/zinc/51/70/45/362517045.db2.gz DMQUUZOJTLMXBW-UHFFFAOYSA-N -1 1 310.155 1.298 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCc3cccnc32)c1Br ZINC000616009153 362519037 /nfs/dbraw/zinc/51/90/37/362519037.db2.gz QCRZOZMRCWJWPM-VIFPVBQESA-N -1 1 321.178 1.966 20 0 DDADMM Cc1[nH][nH]c(=NC(=O)[C@@H](C)Cc2cnc[nH]2)c1Br ZINC000616012020 362520218 /nfs/dbraw/zinc/52/02/18/362520218.db2.gz WSYONWRTPQPRDN-LURJTMIESA-N -1 1 312.171 1.443 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCCC[C@@H]1c1ccccc1 ZINC000358202531 539480965 /nfs/dbraw/zinc/48/09/65/539480965.db2.gz WOIPSQHLVGBXLP-CQSZACIVSA-N -1 1 323.356 1.785 20 0 DDADMM Cc1nc(SCCCS(=O)(=O)N(C)C)[n-]c(=O)c1C ZINC000330675269 282413942 /nfs/dbraw/zinc/41/39/42/282413942.db2.gz JCTPQOZHUMDSFD-UHFFFAOYSA-N -1 1 305.425 1.173 20 0 DDADMM COc1cc(C(=O)N2C[C@H](C)O[C@H](CO)C2)cc(Cl)c1[O-] ZINC000330986238 232283172 /nfs/dbraw/zinc/28/31/72/232283172.db2.gz LFIADOOCLIITOM-WPRPVWTQSA-N -1 1 315.753 1.276 20 0 DDADMM O=S(=O)([N-]CCCCO)c1sccc1Br ZINC000236503158 201926360 /nfs/dbraw/zinc/92/63/60/201926360.db2.gz YOSADJFCYFMOKF-UHFFFAOYSA-N -1 1 314.226 1.561 20 0 DDADMM C[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1cncc(F)c1 ZINC000358360805 299130634 /nfs/dbraw/zinc/13/06/34/299130634.db2.gz VFVZXIOIXTVVMM-QMMMGPOBSA-N -1 1 315.308 1.699 20 0 DDADMM C[C@@H](COc1ccccc1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000358381111 299137678 /nfs/dbraw/zinc/13/76/78/299137678.db2.gz HHISXCGAKHDTRD-RYUDHWBXSA-N -1 1 303.366 1.477 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)Nc2nc(C)no2)n1 ZINC000277668287 213677101 /nfs/dbraw/zinc/67/71/01/213677101.db2.gz KHLPBAZESVTJSH-UHFFFAOYSA-N -1 1 309.351 1.557 20 0 DDADMM C[C@H]1CN(c2c(C(=O)[O-])cnc3ccccc32)C[C@H](CO)O1 ZINC000319860451 290818564 /nfs/dbraw/zinc/81/85/64/290818564.db2.gz CUJHJYUTNLJVLK-WDEREUQCSA-N -1 1 302.330 1.519 20 0 DDADMM Cc1ccc(S(=O)(=O)N[C@@H](C)CN(C)C)c(C)c1C(=O)[O-] ZINC000286954331 219243129 /nfs/dbraw/zinc/24/31/29/219243129.db2.gz RVFYUAXFUDPCBE-JTQLQIEISA-N -1 1 314.407 1.230 20 0 DDADMM CCOC(=O)c1ccc(NC(=O)C(=O)c2cccn2C)c([O-])c1 ZINC000353816606 290845744 /nfs/dbraw/zinc/84/57/44/290845744.db2.gz QZKBLRMANZIEKO-UHFFFAOYSA-N -1 1 316.313 1.729 20 0 DDADMM CCC[C@@H]1C[C@H](C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000279872962 215197478 /nfs/dbraw/zinc/19/74/78/215197478.db2.gz SZFBASRCXDJQNM-NVYDRDRZSA-N -1 1 302.378 1.876 20 0 DDADMM Cc1noc([N-]C(=O)c2sc(-c3ncccn3)nc2C)n1 ZINC000080357966 192151945 /nfs/dbraw/zinc/15/19/45/192151945.db2.gz SXLCSXZMTZNSNE-UHFFFAOYSA-N -1 1 302.319 1.852 20 0 DDADMM COC(=O)[C@@H](CF)[N-]S(=O)(=O)c1cc(F)ccc1Cl ZINC000287126892 219330727 /nfs/dbraw/zinc/33/07/27/219330727.db2.gz AZFWHWBPLQVRDH-MRVPVSSYSA-N -1 1 313.709 1.269 20 0 DDADMM CCCCc1cc([N-]S(=O)(=O)c2cn(C)c(Cl)n2)n[nH]1 ZINC000152566207 186085605 /nfs/dbraw/zinc/08/56/05/186085605.db2.gz CGNPALLDSHMRSD-UHFFFAOYSA-N -1 1 317.802 1.940 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1ccccc1-n1ccnn1 ZINC000172366245 198085996 /nfs/dbraw/zinc/08/59/96/198085996.db2.gz VVGCVVSIKMYCPG-NSHDSACASA-N -1 1 308.363 1.188 20 0 DDADMM CN(C)C(=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)cc1 ZINC000172365009 198086597 /nfs/dbraw/zinc/08/65/97/198086597.db2.gz AQMCOOYXEPVEQT-ZDUSSCGKSA-N -1 1 312.391 1.309 20 0 DDADMM C[C@H]1C[C@H]1CNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000032392694 352278959 /nfs/dbraw/zinc/27/89/59/352278959.db2.gz LMWDTRLHHNSVGE-UWVGGRQHSA-N -1 1 314.407 1.742 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](Cn3ccnn3)C2)c([O-])c1 ZINC000331706098 234022329 /nfs/dbraw/zinc/02/23/29/234022329.db2.gz AZKOYJZHPJXHAY-GFCCVEGCSA-N -1 1 301.350 1.240 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cccc1F)c1nncn1C ZINC000047331471 352490844 /nfs/dbraw/zinc/49/08/44/352490844.db2.gz RLKULJLWRNMWLA-ZETCQYMHSA-N -1 1 302.306 1.133 20 0 DDADMM COCCCC(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000052609722 352620527 /nfs/dbraw/zinc/62/05/27/352620527.db2.gz ZRBRYRUEFKPTMM-UHFFFAOYSA-N -1 1 316.379 1.432 20 0 DDADMM C[C@H](NC(=O)CN1CC[C@@H](C)[C@H](C(=O)[O-])C1)c1cccs1 ZINC000564962901 304030927 /nfs/dbraw/zinc/03/09/27/304030927.db2.gz FQCSDROFJFOSKD-GRYCIOLGSA-N -1 1 310.419 1.968 20 0 DDADMM CNC(=O)[C@H]1CCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000182078023 199382967 /nfs/dbraw/zinc/38/29/67/199382967.db2.gz NFODTVZMEWGDEP-CYBMUJFWSA-N -1 1 302.330 1.209 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)CCc2nc[nH]n2)C[C@H]1c1ccccc1 ZINC000564979750 304032655 /nfs/dbraw/zinc/03/26/55/304032655.db2.gz VQDIIXJJXRAKRD-QWHCGFSZSA-N -1 1 314.345 1.064 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@@H]1CC[C@H](F)C1 ZINC000344972483 282885789 /nfs/dbraw/zinc/88/57/89/282885789.db2.gz ZTOVUWHAHSPTPI-NKWVEPMBSA-N -1 1 308.356 1.099 20 0 DDADMM COC(=O)[C@]1(F)CCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000074829835 353333818 /nfs/dbraw/zinc/33/38/18/353333818.db2.gz VXDOISHADVWMPB-ZDUSSCGKSA-N -1 1 301.701 1.773 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN(C(=O)C2CC2)CC1 ZINC000078362569 353513564 /nfs/dbraw/zinc/51/35/64/353513564.db2.gz ADLUXQHLVNXKCY-UHFFFAOYSA-N -1 1 308.765 1.740 20 0 DDADMM C[C@H](N1CCN(C(=O)c2cncc([O-])c2)CC1)C(F)(F)F ZINC000175427880 198473443 /nfs/dbraw/zinc/47/34/43/198473443.db2.gz CBFDVPAVYNBMMT-VIFPVBQESA-N -1 1 303.284 1.496 20 0 DDADMM CCC[C@H](NC(=O)C[C@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000089307693 353765295 /nfs/dbraw/zinc/76/52/95/353765295.db2.gz AGLDDRXFWHGBSY-RYUDHWBXSA-N -1 1 323.784 1.934 20 0 DDADMM CCc1nn(C)cc1NS(=O)(=O)c1ccc(C(=O)[O-])cc1C ZINC000091021900 353804673 /nfs/dbraw/zinc/80/46/73/353804673.db2.gz XWIZCJQCQXEMAZ-UHFFFAOYSA-N -1 1 323.374 1.790 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)CCOc2ccccc2)n[n-]1 ZINC000195170320 354295326 /nfs/dbraw/zinc/29/53/26/354295326.db2.gz NWWWRHNZVRUVDJ-UHFFFAOYSA-N -1 1 309.391 1.955 20 0 DDADMM COC(=O)[C@@H]1CCC[C@H](C(=O)NC2(c3nn[n-]n3)CCCC2)C1 ZINC000346194090 283018480 /nfs/dbraw/zinc/01/84/80/283018480.db2.gz YLKMHXVQALEOHO-WDEREUQCSA-N -1 1 321.381 1.065 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1cc2ccccc2[nH]1 ZINC000584746091 354757830 /nfs/dbraw/zinc/75/78/30/354757830.db2.gz AMYJEZPTZSZXFY-UHFFFAOYSA-N -1 1 306.347 1.355 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cc2ccccc2[nH]1 ZINC000584746091 354757832 /nfs/dbraw/zinc/75/78/32/354757832.db2.gz AMYJEZPTZSZXFY-UHFFFAOYSA-N -1 1 306.347 1.355 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc(OC)c2O)n1 ZINC000585682345 354827361 /nfs/dbraw/zinc/82/73/61/354827361.db2.gz QGZVGYHQIBREFT-UHFFFAOYSA-N -1 1 305.290 1.553 20 0 DDADMM CC(C)=C[C@H]1[C@@H](C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)C1(C)C ZINC000588006254 354892773 /nfs/dbraw/zinc/89/27/73/354892773.db2.gz ZLVQRNQRBRSJKX-JQWIXIFHSA-N -1 1 315.377 1.903 20 0 DDADMM CNC(=O)[C@H](CCSC)NC(=O)c1ccc([O-])c(F)c1 ZINC000588799115 354937170 /nfs/dbraw/zinc/93/71/70/354937170.db2.gz AESMHVQETMMJGR-JTQLQIEISA-N -1 1 300.355 1.129 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-]c1cnn(-c2ccncc2)c1 ZINC000589150000 354964734 /nfs/dbraw/zinc/96/47/34/354964734.db2.gz PZNZMTZGLOZUJD-UHFFFAOYSA-N -1 1 318.362 1.408 20 0 DDADMM CCOC(=O)C[C@@H](CO)NC(=O)c1cc2ccccc2cc1[O-] ZINC000590388158 355082585 /nfs/dbraw/zinc/08/25/85/355082585.db2.gz VDSJGVORZGMFOG-ZDUSSCGKSA-N -1 1 317.341 1.589 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](c3nncn3C)C2)c([O-])c1 ZINC000495008316 235113460 /nfs/dbraw/zinc/11/34/60/235113460.db2.gz RFDUEBMAYHNZGY-LLVKDONJSA-N -1 1 301.350 1.244 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)C[C@@H](OC)C(C)C)C(=O)OCC ZINC000592046671 355476707 /nfs/dbraw/zinc/47/67/07/355476707.db2.gz FPDBAWVAKHYSEN-SVSNBYFCSA-N -1 1 321.439 1.475 20 0 DDADMM O=C([N-][C@H]1CN(c2ccc(Br)cc2)C1=O)C(F)F ZINC000293888493 295908701 /nfs/dbraw/zinc/90/87/01/295908701.db2.gz GEBNYRLMGZQZET-QMMMGPOBSA-N -1 1 319.105 1.546 20 0 DDADMM CCOC(=O)C[C@@H](CNc1nc2[nH][n-]cc-2c(=O)n1)CC(C)C ZINC000593492416 355902024 /nfs/dbraw/zinc/90/20/24/355902024.db2.gz BRQGQOZVPXLQAY-JTQLQIEISA-N -1 1 321.381 1.459 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)CC12CCC(CC1)C2 ZINC000593632502 355949189 /nfs/dbraw/zinc/94/91/89/355949189.db2.gz BECULIXIXZYRSV-QWKFWESOSA-N -1 1 307.387 1.387 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]CC(=O)OC(C)(C)C)C1CCCC1 ZINC000594754987 356308302 /nfs/dbraw/zinc/30/83/02/356308302.db2.gz UTMJPFQLXACRLA-LBPRGKRZSA-N -1 1 321.439 1.453 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc(C(=O)N(C)C)cc2)c([O-])c1 ZINC000358747695 299240822 /nfs/dbraw/zinc/24/08/22/299240822.db2.gz WSKMRTILGKWACV-UHFFFAOYSA-N -1 1 313.357 1.727 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC2(OC)CCC2)cc1C ZINC000595322222 356449009 /nfs/dbraw/zinc/44/90/09/356449009.db2.gz PZRUVOYIMBEAGO-UHFFFAOYSA-N -1 1 317.363 1.222 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2CCSC2)cc1C ZINC000595325368 356450003 /nfs/dbraw/zinc/45/00/03/356450003.db2.gz GPAXJFMJLKYRPC-VIFPVBQESA-N -1 1 319.404 1.406 20 0 DDADMM CCOC(=O)c1nccc(N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000596224223 356821740 /nfs/dbraw/zinc/82/17/40/356821740.db2.gz CLXXWNILDPNWGF-VIFPVBQESA-N -1 1 319.321 1.126 20 0 DDADMM COC(=O)C(C)(C)N(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000597126787 357067466 /nfs/dbraw/zinc/06/74/66/357067466.db2.gz IQAVTOWMKCNLNW-UHFFFAOYSA-N -1 1 302.330 1.964 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C(=O)OC(C)(C)C)C1CC1)c1nn[n-]n1 ZINC000597508490 357201610 /nfs/dbraw/zinc/20/16/10/357201610.db2.gz SVGOAISSKWNXIQ-MWLCHTKSSA-N -1 1 323.397 1.130 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C(C)(C)CSC)nc2n1 ZINC000598892925 357751785 /nfs/dbraw/zinc/75/17/85/357751785.db2.gz LHZGPHLBTSQDTK-UHFFFAOYSA-N -1 1 323.422 1.698 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCCSC)nc2n1 ZINC000598892423 357752012 /nfs/dbraw/zinc/75/20/12/357752012.db2.gz DJLBVVCEXAHXSC-UHFFFAOYSA-N -1 1 309.395 1.452 20 0 DDADMM O=C(CCC(=O)N1CCOCC1)Nc1nc(Cl)ccc1[O-] ZINC000276765952 213095502 /nfs/dbraw/zinc/09/55/02/213095502.db2.gz XSSMJGVNFKQZGD-UHFFFAOYSA-N -1 1 313.741 1.018 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)[C@@H]1COC(C)(C)C1 ZINC000599210643 357852061 /nfs/dbraw/zinc/85/20/61/357852061.db2.gz WNHLXHRALXUVBG-QWRGUYRKSA-N -1 1 307.412 1.061 20 0 DDADMM C[C@@H](NC(=O)[C@H](C)N1CCC(Cc2ccccc2)CC1)C(=O)[O-] ZINC000237405612 202256508 /nfs/dbraw/zinc/25/65/08/202256508.db2.gz ZUBJEZKLLFYPNW-KGLIPLIRSA-N -1 1 318.417 1.919 20 0 DDADMM O=C(CNC(=O)c1ccncc1)Nc1ccc([O-])c(Cl)c1 ZINC000601052615 358393042 /nfs/dbraw/zinc/39/30/42/358393042.db2.gz HGGOPJBTGSDIST-UHFFFAOYSA-N -1 1 305.721 1.809 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](SC)C2)c1 ZINC000601426131 358548021 /nfs/dbraw/zinc/54/80/21/358548021.db2.gz QRRKHJOCANYJHS-JTQLQIEISA-N -1 1 303.405 1.527 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601452415 358559946 /nfs/dbraw/zinc/55/99/46/358559946.db2.gz SFXDIEDMOGXIBD-SECBINFHSA-N -1 1 320.436 1.708 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](C)C(=O)OC(C)(C)C)sn1 ZINC000601459914 358562720 /nfs/dbraw/zinc/56/27/20/358562720.db2.gz LBVYAHMWALVUIJ-MRVPVSSYSA-N -1 1 320.436 1.708 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601389146 358530579 /nfs/dbraw/zinc/53/05/79/358530579.db2.gz FLTPRTUWBWAZNW-DTWKUNHWSA-N -1 1 306.409 1.318 20 0 DDADMM CSCCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000181080279 199249766 /nfs/dbraw/zinc/24/97/66/199249766.db2.gz NYNOGKDRXVXQGQ-UHFFFAOYSA-N -1 1 306.384 1.889 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccnc(C(F)(F)F)c2)c1=O ZINC000601943578 358746706 /nfs/dbraw/zinc/74/67/06/358746706.db2.gz GOOIGFRNGKIVJY-ZETCQYMHSA-N -1 1 301.224 1.612 20 0 DDADMM CCOC(=O)CC[C@H]1CCCN(Cc2cc(C(=O)[O-])nn2C)C1 ZINC000602065315 358798419 /nfs/dbraw/zinc/79/84/19/358798419.db2.gz IYTANGSTPAAWKU-GFCCVEGCSA-N -1 1 323.393 1.674 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C ZINC000602300879 358920628 /nfs/dbraw/zinc/92/06/28/358920628.db2.gz QBQDCQDHSQJDAQ-WDCWCFNPSA-N -1 1 309.366 1.017 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](SCC)C(C)C)n[n-]1 ZINC000603015612 359358405 /nfs/dbraw/zinc/35/84/05/359358405.db2.gz IXUVREOYFLMKFG-SNVBAGLBSA-N -1 1 314.411 1.375 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](SCC)C(C)C)n1 ZINC000603015612 359358408 /nfs/dbraw/zinc/35/84/08/359358408.db2.gz IXUVREOYFLMKFG-SNVBAGLBSA-N -1 1 314.411 1.375 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CC2CC(F)(F)C2)n[n-]1 ZINC000603021379 359364013 /nfs/dbraw/zinc/36/40/13/359364013.db2.gz LEMFKUNHNMHDBL-UHFFFAOYSA-N -1 1 302.281 1.033 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CC2CC(F)(F)C2)n1 ZINC000603021379 359364017 /nfs/dbraw/zinc/36/40/17/359364017.db2.gz LEMFKUNHNMHDBL-UHFFFAOYSA-N -1 1 302.281 1.033 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cscc2Cl)n[n-]1 ZINC000603024236 359365792 /nfs/dbraw/zinc/36/57/92/359365792.db2.gz WPRJZKJOXKKVAF-UHFFFAOYSA-N -1 1 314.754 1.626 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cscc2Cl)n1 ZINC000603024236 359365794 /nfs/dbraw/zinc/36/57/94/359365794.db2.gz WPRJZKJOXKKVAF-UHFFFAOYSA-N -1 1 314.754 1.626 20 0 DDADMM COC(=O)[C@H]1C[C@H](NC(=O)N=c2[n-]sc3ccccc32)C1 ZINC000603050242 359377018 /nfs/dbraw/zinc/37/70/18/359377018.db2.gz HOBORYRVRVYJOV-KYZUINATSA-N -1 1 305.359 1.791 20 0 DDADMM CSC[C@](C)(O)CNC(=O)N=c1[n-]sc2ccccc21 ZINC000603050626 359377332 /nfs/dbraw/zinc/37/73/32/359377332.db2.gz KDMXUAXNNNTKQR-CYBMUJFWSA-N -1 1 311.432 1.954 20 0 DDADMM COC(=O)C1(CNC(=O)N=c2[n-]sc3ccccc32)CC1 ZINC000603051885 359378291 /nfs/dbraw/zinc/37/82/91/359378291.db2.gz MUMOUEVHWUMPGJ-UHFFFAOYSA-N -1 1 305.359 1.793 20 0 DDADMM COc1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cn1 ZINC000618488499 363650220 /nfs/dbraw/zinc/65/02/20/363650220.db2.gz WDCOYUVNWYNMSJ-ZDUSSCGKSA-N -1 1 310.317 1.123 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C(C)(C)CSC)n[n-]1 ZINC000603152177 359439409 /nfs/dbraw/zinc/43/94/09/359439409.db2.gz ACHBAMWVDSMAJT-MRVPVSSYSA-N -1 1 314.411 1.548 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C(C)(C)CSC)[n-]1 ZINC000603152177 359439411 /nfs/dbraw/zinc/43/94/11/359439411.db2.gz ACHBAMWVDSMAJT-MRVPVSSYSA-N -1 1 314.411 1.548 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C(C)(C)CSC)n1 ZINC000603152177 359439414 /nfs/dbraw/zinc/43/94/14/359439414.db2.gz ACHBAMWVDSMAJT-MRVPVSSYSA-N -1 1 314.411 1.548 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N2C[C@@H](C)C[C@H](C)C2)n1 ZINC000187592305 200132330 /nfs/dbraw/zinc/13/23/30/200132330.db2.gz ZIMUDMCFUQUOPO-UWVGGRQHSA-N -1 1 311.407 1.793 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H]2CCN(CC(F)(F)F)C2=O)n1 ZINC000188107341 200194785 /nfs/dbraw/zinc/19/47/85/200194785.db2.gz BUPHRGONHUSGFG-QMMMGPOBSA-N -1 1 321.324 1.588 20 0 DDADMM O=C(CNc1cccc(Cl)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000605531079 359867514 /nfs/dbraw/zinc/86/75/14/359867514.db2.gz SYLOKFKQRVZBIA-UHFFFAOYSA-N -1 1 320.784 1.851 20 0 DDADMM CN(CC1(CO)CC1)C(=O)c1cc(Br)ccc1[O-] ZINC000189114411 200351173 /nfs/dbraw/zinc/35/11/73/200351173.db2.gz VDZSWYQJLRQLQD-UHFFFAOYSA-N -1 1 314.179 1.999 20 0 DDADMM C[C@H](C(=O)NCc1nn[n-]n1)c1ccc(Br)s1 ZINC000608132627 360129437 /nfs/dbraw/zinc/12/94/37/360129437.db2.gz MTCPWOGTAQDPOF-YFKPBYRVSA-N -1 1 316.184 1.444 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[S@](=O)[C@H](C)C3)cnc2n1 ZINC000610091571 360380818 /nfs/dbraw/zinc/38/08/18/360380818.db2.gz AIURSBUWJAOVSV-STFLBKPXSA-N -1 1 319.386 1.237 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC[S@](=O)[C@H](C)C1)c2=O ZINC000610091571 360380821 /nfs/dbraw/zinc/38/08/21/360380821.db2.gz AIURSBUWJAOVSV-STFLBKPXSA-N -1 1 319.386 1.237 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccc(F)cc1F)C(C)C ZINC000611538427 360752033 /nfs/dbraw/zinc/75/20/33/360752033.db2.gz WLRYHFURBARXBL-LBPRGKRZSA-N -1 1 321.345 1.582 20 0 DDADMM C[C@H](CO[C@@H]1CCOC1)NC(=O)c1ncc2ccccc2c1[O-] ZINC000612117023 360925122 /nfs/dbraw/zinc/92/51/22/360925122.db2.gz TWPRGEROCZWACC-DGCLKSJQSA-N -1 1 316.357 1.864 20 0 DDADMM O=S(=O)([N-]CC(F)(F)CO)c1ccc(Cl)cc1Cl ZINC000281362373 216248407 /nfs/dbraw/zinc/24/84/07/216248407.db2.gz FRJGSDOBJULNCC-UHFFFAOYSA-N -1 1 320.144 1.899 20 0 DDADMM C[C@H](CCS(C)(=O)=O)NC(=O)c1ncc2ccccc2c1[O-] ZINC000612442623 361018418 /nfs/dbraw/zinc/01/84/18/361018418.db2.gz UPAWKOYGZYZUTQ-SNVBAGLBSA-N -1 1 322.386 1.493 20 0 DDADMM C[C@@H]1CO[C@H](CO)CN1C(=O)c1ncc2ccccc2c1[O-] ZINC000612508020 361045965 /nfs/dbraw/zinc/04/59/65/361045965.db2.gz QJXFSAVEKAPQDZ-PWSUYJOCSA-N -1 1 302.330 1.162 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C2(CF)CCC2)c(F)c1 ZINC000565889464 304101322 /nfs/dbraw/zinc/10/13/22/304101322.db2.gz PCSPDJPCZMDSNC-UHFFFAOYSA-N -1 1 319.329 1.783 20 0 DDADMM CCC1(Cn2cc(C(=O)Nc3ccc(F)cc3[O-])nn2)COC1 ZINC000613028490 361245275 /nfs/dbraw/zinc/24/52/75/361245275.db2.gz PVRXBOPEKWYBGU-UHFFFAOYSA-N -1 1 320.324 1.802 20 0 DDADMM O=C(CC1(n2cnnn2)CCOCC1)Nc1ccc(F)cc1[O-] ZINC000613025873 361245454 /nfs/dbraw/zinc/24/54/54/361245454.db2.gz FFSCYUVTDDTFHC-UHFFFAOYSA-N -1 1 321.312 1.052 20 0 DDADMM COC1(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)CCC(F)(F)CC1 ZINC000613471592 361432960 /nfs/dbraw/zinc/43/29/60/361432960.db2.gz AKGQXATWKGNMBS-SECBINFHSA-N -1 1 317.340 1.356 20 0 DDADMM CCc1ccc(O)c(C(=O)N2CC[N@@H+](C[C@@H](C)O)C[C@H]2C)c1 ZINC000194020247 201163623 /nfs/dbraw/zinc/16/36/23/201163623.db2.gz ZJRPNVYKMFXNPJ-CHWSQXEVSA-N -1 1 306.406 1.482 20 0 DDADMM CC(C)(C)c1nnc(S(=O)(=O)Cc2noc(C3CC3)n2)[n-]1 ZINC000195176898 201352808 /nfs/dbraw/zinc/35/28/08/201352808.db2.gz ODESJKZTPJKCCQ-UHFFFAOYSA-N -1 1 311.367 1.337 20 0 DDADMM CC(C)(C)c1n[n-]c(S(=O)(=O)Cc2noc(C3CC3)n2)n1 ZINC000195176898 201352812 /nfs/dbraw/zinc/35/28/12/201352812.db2.gz ODESJKZTPJKCCQ-UHFFFAOYSA-N -1 1 311.367 1.337 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2noc(C3CC3)n2)n[n-]1 ZINC000195176898 201352816 /nfs/dbraw/zinc/35/28/16/201352816.db2.gz ODESJKZTPJKCCQ-UHFFFAOYSA-N -1 1 311.367 1.337 20 0 DDADMM COCCN(C(=O)c1ncc2ccccc2c1[O-])[C@@H]1CCOC1 ZINC000614155149 361726540 /nfs/dbraw/zinc/72/65/40/361726540.db2.gz KYAWLZKZMLSIOL-CYBMUJFWSA-N -1 1 316.357 1.818 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC(=O)N(C)CC1 ZINC000619060209 363877604 /nfs/dbraw/zinc/87/76/04/363877604.db2.gz SDXBRBPLUUYKTR-UHFFFAOYSA-N -1 1 320.393 1.092 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1CC[C@@H]2C[C@@H]2C1 ZINC000619346247 363984078 /nfs/dbraw/zinc/98/40/78/363984078.db2.gz FMSXMKLKICPIAW-TZMCWYRMSA-N -1 1 324.384 1.964 20 0 DDADMM C[C@@H]1CN(C(=O)c2cncc([O-])c2)C[C@H](CC(F)(F)F)O1 ZINC000620806298 364561324 /nfs/dbraw/zinc/56/13/24/364561324.db2.gz QGUPEOSUYQJEMM-KCJUWKMLSA-N -1 1 304.268 1.969 20 0 DDADMM CC[C@]1(CNC(=O)CCc2nn[n-]n2)OCCc2ccccc21 ZINC000633126291 422767169 /nfs/dbraw/zinc/76/71/69/422767169.db2.gz AMPGLKBCGOIMID-MRXNPFEDSA-N -1 1 315.377 1.127 20 0 DDADMM CC(C)(C)OC(=O)N1CCCCC[C@@H]1C(=O)Nc1nnn[n-]1 ZINC000375973205 307237084 /nfs/dbraw/zinc/23/70/84/307237084.db2.gz JASOOWUFFRMLML-SECBINFHSA-N -1 1 310.358 1.318 20 0 DDADMM CC(C)(C)OC(=O)N1CCCCC[C@@H]1C(=O)Nc1nn[n-]n1 ZINC000375973205 307237085 /nfs/dbraw/zinc/23/70/85/307237085.db2.gz JASOOWUFFRMLML-SECBINFHSA-N -1 1 310.358 1.318 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC000625489418 366960924 /nfs/dbraw/zinc/96/09/24/366960924.db2.gz NKWTXGQAOVMHFE-VIFPVBQESA-N -1 1 324.185 1.630 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@@H](O)C1)c1ncccc1C(F)(F)F ZINC000625568121 367020264 /nfs/dbraw/zinc/02/02/64/367020264.db2.gz DOGLEWMASNRLIR-OCAPTIKFSA-N -1 1 310.297 1.150 20 0 DDADMM O=C(Nc1c([O-])cccc1F)c1cn(C[C@@H]2CCOC2)nn1 ZINC000626277560 367458311 /nfs/dbraw/zinc/45/83/11/367458311.db2.gz DJYSEYHOZUJSDL-VIFPVBQESA-N -1 1 306.297 1.412 20 0 DDADMM C[C@H]1OCC[C@@H]1S(=O)(=O)Nc1cc(F)cc(C(=O)[O-])c1F ZINC000566313935 304143333 /nfs/dbraw/zinc/14/33/33/304143333.db2.gz VASORZRUISJWMK-LDWIPMOCSA-N -1 1 321.301 1.582 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000635017410 422771536 /nfs/dbraw/zinc/77/15/36/422771536.db2.gz HNQZBTUBDRHVCW-ZJUUUORDSA-N -1 1 310.316 1.440 20 0 DDADMM COCc1cccc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000275116421 212130840 /nfs/dbraw/zinc/13/08/40/212130840.db2.gz JBNDQHHLHDDEPE-NSHDSACASA-N -1 1 303.366 1.151 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)OCO3)cn1 ZINC000349950014 284116072 /nfs/dbraw/zinc/11/60/72/284116072.db2.gz UUUUBZZBCIPXNL-UHFFFAOYSA-N -1 1 308.315 1.620 20 0 DDADMM CCC[C@@H](NCC(=O)NC(=O)Nc1ccc(C)c(C)c1)C(=O)[O-] ZINC000262327333 203262766 /nfs/dbraw/zinc/26/27/66/203262766.db2.gz XFPLYPPVHSWMKD-CYBMUJFWSA-N -1 1 321.377 1.794 20 0 DDADMM CCCc1nc(=NC(=O)N2C[C@H](C)[N@@H+](CC)C[C@H]2C)s[n-]1 ZINC000350862781 284272011 /nfs/dbraw/zinc/27/20/11/284272011.db2.gz GSAIBFSXWQUNDD-WDEREUQCSA-N -1 1 311.455 1.859 20 0 DDADMM CCCc1nc(=NC(=O)N2C[C@H](C)N(CC)C[C@H]2C)s[n-]1 ZINC000350862781 284272012 /nfs/dbraw/zinc/27/20/12/284272012.db2.gz GSAIBFSXWQUNDD-WDEREUQCSA-N -1 1 311.455 1.859 20 0 DDADMM O=C(CSc1nc([O-])cc(=O)n1C1CCCC1)C(F)F ZINC000282569490 217095665 /nfs/dbraw/zinc/09/56/65/217095665.db2.gz OIZZEKXCKQUCNM-UHFFFAOYSA-N -1 1 304.318 1.990 20 0 DDADMM COCC[C@H](CO)NC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000282729873 217207949 /nfs/dbraw/zinc/20/79/49/217207949.db2.gz DLAUSRQYDVBPTJ-SNVBAGLBSA-N -1 1 324.764 1.721 20 0 DDADMM CC[C@H](CSC)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000271685819 209126092 /nfs/dbraw/zinc/12/60/92/209126092.db2.gz PUNRAGMTPAIDGG-SECBINFHSA-N -1 1 307.393 1.486 20 0 DDADMM COc1cc(OC)cc(N2CC[C@@H]([N-]c3nnc(C)o3)C2=O)c1 ZINC000288343296 220095691 /nfs/dbraw/zinc/09/56/91/220095691.db2.gz JWRKLRKJENNXHV-CYBMUJFWSA-N -1 1 318.333 1.613 20 0 DDADMM C[C@H](CO)SCC(=O)[N-]C(=O)c1ccc(OC(F)F)cc1 ZINC000266218758 205192232 /nfs/dbraw/zinc/19/22/32/205192232.db2.gz DEXQTSMLYSWQLD-MRVPVSSYSA-N -1 1 319.329 1.658 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC(C)(C)O1 ZINC000282877100 217295356 /nfs/dbraw/zinc/29/53/56/217295356.db2.gz AZSKHPSLINDANI-VIFPVBQESA-N -1 1 306.391 1.658 20 0 DDADMM COCCSCC(=O)[N-]C(=O)c1ccc(OC(F)F)cc1 ZINC000266492658 205385654 /nfs/dbraw/zinc/38/56/54/205385654.db2.gz XNUKCVGQMFUAMT-UHFFFAOYSA-N -1 1 319.329 1.924 20 0 DDADMM CN1C(=S)N=NC1CCNC(=O)c1c(F)ccc([O-])c1F ZINC000282940299 217340602 /nfs/dbraw/zinc/34/06/02/217340602.db2.gz DJOQRKCWGOAQLO-UHFFFAOYSA-N -1 1 314.317 1.060 20 0 DDADMM O=S(=O)([N-]CC(F)(F)Br)c1cccnc1 ZINC000288533906 220209707 /nfs/dbraw/zinc/20/97/07/220209707.db2.gz HJISAMLAROVLQT-UHFFFAOYSA-N -1 1 301.112 1.348 20 0 DDADMM Cc1cccc(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)c1 ZINC000051670760 248199199 /nfs/dbraw/zinc/19/91/99/248199199.db2.gz JEXUIDZPZIDAMP-UHFFFAOYSA-N -1 1 313.810 1.903 20 0 DDADMM CC(C)(C)c1n[n-]c(=NC(=O)N2CC[C@@H](c3nc[nH]n3)C2)s1 ZINC000333679616 249068323 /nfs/dbraw/zinc/06/83/23/249068323.db2.gz DZPWRPSBWXJSCH-MRVPVSSYSA-N -1 1 321.410 1.397 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@H](c2nccc(C)n2)C2CC2)s1 ZINC000351929979 284646725 /nfs/dbraw/zinc/64/67/25/284646725.db2.gz YIHVFQTXPQWOPE-LBPRGKRZSA-N -1 1 324.431 1.980 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc(F)ccc1F)N1CCCCC1 ZINC000042996212 183326206 /nfs/dbraw/zinc/32/62/06/183326206.db2.gz GBMXYSSUSIQNSC-UHFFFAOYSA-N -1 1 318.345 1.256 20 0 DDADMM CC(C)[C@@H](C)NC(=O)[C@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000284454816 218034470 /nfs/dbraw/zinc/03/44/70/218034470.db2.gz VZPAAZBGKDMDJJ-ZWNOBZJWSA-N -1 1 323.418 1.463 20 0 DDADMM CCOc1cc(C(=O)NCCn2cncn2)cc(Cl)c1[O-] ZINC000273982887 211239357 /nfs/dbraw/zinc/23/93/57/211239357.db2.gz UXOSOPCAGOGEII-UHFFFAOYSA-N -1 1 310.741 1.466 20 0 DDADMM Cc1conc1[N-]C(=O)c1cnc(C(=O)OC(C)(C)C)cn1 ZINC000338551390 250027306 /nfs/dbraw/zinc/02/73/06/250027306.db2.gz JMGMLIFWKAIQGX-UHFFFAOYSA-N -1 1 304.306 1.403 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)C1CCOCC1)c1sccc1F ZINC000338954276 250216126 /nfs/dbraw/zinc/21/61/26/250216126.db2.gz OJZGVCNXKMUJDF-NSHDSACASA-N -1 1 323.411 1.343 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)CCc2ccc(OC)cc2)n1 ZINC000285109433 218294535 /nfs/dbraw/zinc/29/45/35/218294535.db2.gz MMVRPHKWLOLFOY-UHFFFAOYSA-N -1 1 324.358 1.221 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)CCC(F)(F)F)C(F)(F)F ZINC000340948153 251294686 /nfs/dbraw/zinc/29/46/86/251294686.db2.gz DXKUQVZZNTZMTM-RXMQYKEDSA-N -1 1 303.224 1.172 20 0 DDADMM CN(C)C[C@@H]1CCCN1S(=O)(=O)c1ccsc1C(=O)[O-] ZINC000061643554 184180196 /nfs/dbraw/zinc/18/01/96/184180196.db2.gz AOOAWLLJHDIWCW-VIFPVBQESA-N -1 1 318.420 1.161 20 0 DDADMM O=C(Nc1nnc(C2CCOCC2)s1)c1cncc([O-])c1 ZINC000294536904 224076437 /nfs/dbraw/zinc/07/64/37/224076437.db2.gz ZORKUFMEQVIGGC-UHFFFAOYSA-N -1 1 306.347 1.785 20 0 DDADMM C[C@@H](OCCCNC(=O)CN(C)CCC(=O)[O-])c1ccccc1 ZINC000269245106 207379164 /nfs/dbraw/zinc/37/91/64/207379164.db2.gz DJBGBYLOLDYDCA-CQSZACIVSA-N -1 1 322.405 1.677 20 0 DDADMM Cc1ccccc1C1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000352429765 285044499 /nfs/dbraw/zinc/04/44/99/285044499.db2.gz BXBFHAAIUOXECV-UHFFFAOYSA-N -1 1 323.356 1.188 20 0 DDADMM Cc1cc(C)cc([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000352433445 285048023 /nfs/dbraw/zinc/04/80/23/285048023.db2.gz VKXGDGVUGRKFPP-LLVKDONJSA-N -1 1 311.345 1.525 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2sccc2C)co1 ZINC000270012202 208067557 /nfs/dbraw/zinc/06/75/57/208067557.db2.gz BZMWBWWWGIJGSJ-UHFFFAOYSA-N -1 1 314.388 1.488 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2CCSCC2)co1 ZINC000270039253 208092481 /nfs/dbraw/zinc/09/24/81/208092481.db2.gz JBSRJKDCNAASHI-UHFFFAOYSA-N -1 1 318.420 1.061 20 0 DDADMM Cc1cccc2c1N(C(=O)CNC(=O)c1ncccc1[O-])CC2 ZINC000352549724 285133261 /nfs/dbraw/zinc/13/32/61/285133261.db2.gz GFNXUJDGXZBCSG-UHFFFAOYSA-N -1 1 311.341 1.415 20 0 DDADMM Cc1ccccc1-c1nc(=N[C@@H]2C[C@@H](C(=O)[O-])C[C@@H]2O)s[nH]1 ZINC000629766899 422805579 /nfs/dbraw/zinc/80/55/79/422805579.db2.gz BWKMNKUOZWUHRR-JLLWLGSASA-N -1 1 319.386 1.571 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000157496098 197191951 /nfs/dbraw/zinc/19/19/51/197191951.db2.gz DGIIDHREDOWMJB-MXWKQRLJSA-N -1 1 305.378 1.426 20 0 DDADMM COCCOC[C@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000451249947 533123747 /nfs/dbraw/zinc/12/37/47/533123747.db2.gz WCLWNGLLNKQZSH-QMMMGPOBSA-N -1 1 313.828 1.731 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc2c(cc1F)NC(=O)CC2 ZINC000156954704 197152311 /nfs/dbraw/zinc/15/23/11/197152311.db2.gz NPWRSYLUPNQBAH-UHFFFAOYSA-N -1 1 302.327 1.099 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)n1cnc2ccccc21)c1nn[n-]n1 ZINC000156563671 197123805 /nfs/dbraw/zinc/12/38/05/197123805.db2.gz UEYZNMFMSWPQRN-GHMZBOCLSA-N -1 1 313.365 1.373 20 0 DDADMM COC[C@H](O)CN(CCC(=O)[O-])Cc1cccc(F)c1F ZINC000568098413 304268087 /nfs/dbraw/zinc/26/80/87/304268087.db2.gz CRRAREBLOYJCBJ-LLVKDONJSA-N -1 1 303.305 1.249 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC(N2CCCNC2=O)CC1 ZINC000120881030 195256965 /nfs/dbraw/zinc/25/69/65/195256965.db2.gz MMZGKPVXKAXTBZ-UHFFFAOYSA-N -1 1 321.352 1.551 20 0 DDADMM NC(=O)[C@H]1CC[C@@H]1C(=O)N=c1cc(-c2ccc(Cl)s2)[n-][nH]1 ZINC000568203589 304275617 /nfs/dbraw/zinc/27/56/17/304275617.db2.gz NVQWHUDFGTZGQS-BQBZGAKWSA-N -1 1 324.793 1.664 20 0 DDADMM COC(=O)C1=NO[C@H](CSc2nc(C(F)F)cc(=O)[n-]2)C1 ZINC000289494753 221016611 /nfs/dbraw/zinc/01/66/11/221016611.db2.gz JULFYXJRTQPGPM-YFKPBYRVSA-N -1 1 319.289 1.530 20 0 DDADMM O=C(CSc1nc(C(F)F)cc(=O)[n-]1)N1CCCC1=O ZINC000289528814 221043398 /nfs/dbraw/zinc/04/33/98/221043398.db2.gz NAUFTAAAACPRLS-UHFFFAOYSA-N -1 1 303.290 1.361 20 0 DDADMM Cc1nc(CN2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)no1 ZINC000289578402 221080526 /nfs/dbraw/zinc/08/05/26/221080526.db2.gz WGYZFRCDQBECGC-SECBINFHSA-N -1 1 306.288 1.269 20 0 DDADMM COC(=O)C(=O)CCCSc1nc(C(F)F)cc(=O)[n-]1 ZINC000289670498 221142816 /nfs/dbraw/zinc/14/28/16/221142816.db2.gz YSYLIWPBBZHOGQ-UHFFFAOYSA-N -1 1 306.290 1.734 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](C)C[C@H](O)C1 ZINC000413980602 224284619 /nfs/dbraw/zinc/28/46/19/224284619.db2.gz YOCNVKBGGXAPLK-KOLCDFICSA-N -1 1 307.394 1.631 20 0 DDADMM COC(C)(C)CS(=O)(=O)Nc1ccc(C(=O)[O-])cc1O ZINC000414367972 224327741 /nfs/dbraw/zinc/32/77/41/224327741.db2.gz GKCKEVBKKPEJPS-UHFFFAOYSA-N -1 1 303.336 1.257 20 0 DDADMM Cc1ccc([C@H]2CCCN2C(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000352834442 285328488 /nfs/dbraw/zinc/32/84/88/285328488.db2.gz IHKMSAKAOQHZOU-CQSZACIVSA-N -1 1 323.356 1.703 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1sccc1Cl)c1nncn1C ZINC000414461955 224341213 /nfs/dbraw/zinc/34/12/13/224341213.db2.gz GQGIECDYRQPZIZ-ZETCQYMHSA-N -1 1 320.827 1.612 20 0 DDADMM C[C@@H](CO)[C@@H](C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352875722 285353075 /nfs/dbraw/zinc/35/30/75/285353075.db2.gz YPTJCCRCYVZGLZ-NKWVEPMBSA-N -1 1 312.185 1.337 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@@H](COC(F)F)C1 ZINC000353285407 285643369 /nfs/dbraw/zinc/64/33/69/285643369.db2.gz HOJMMTSYJGDRFE-SECBINFHSA-N -1 1 323.299 1.624 20 0 DDADMM CC(C)n1ccc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)n1 ZINC000569051771 304332179 /nfs/dbraw/zinc/33/21/79/304332179.db2.gz ANLCQZQXIXZDSZ-UHFFFAOYSA-N -1 1 311.345 1.631 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@H]2CCC[C@H](F)C2)oc1C ZINC000569335863 304347757 /nfs/dbraw/zinc/34/77/57/304347757.db2.gz COYCZUZTXHLDJU-UWVGGRQHSA-N -1 1 319.354 1.934 20 0 DDADMM CN(Cc1nc2ccccc2s1)C(=O)CCCc1nn[n-]n1 ZINC000635127565 422829364 /nfs/dbraw/zinc/82/93/64/422829364.db2.gz SVGHAGUHWHDAKY-UHFFFAOYSA-N -1 1 316.390 1.791 20 0 DDADMM Cc1c([C@@H](C)NC(=O)c2nc3ccccc3c(=O)[n-]2)cnn1C ZINC000073889881 406896010 /nfs/dbraw/zinc/89/60/10/406896010.db2.gz LAJRVNYCQOKDIT-SECBINFHSA-N -1 1 311.345 1.456 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(Cl)c(C(=O)OC)c1 ZINC000036214558 406978593 /nfs/dbraw/zinc/97/85/93/406978593.db2.gz JMZNYRUSKLGKNC-UHFFFAOYSA-N -1 1 307.755 1.515 20 0 DDADMM COc1ccc(OCC(=O)Nc2n[n-]c(C(F)(F)F)n2)cc1 ZINC000029388771 406923921 /nfs/dbraw/zinc/92/39/21/406923921.db2.gz RDXCCYABWGDWNR-UHFFFAOYSA-N -1 1 316.239 1.850 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H](C)C[C@@H]1C ZINC000078006322 407016311 /nfs/dbraw/zinc/01/63/11/407016311.db2.gz VQNPFJBGZICKMP-QWRGUYRKSA-N -1 1 316.405 1.829 20 0 DDADMM Cc1ccc(CC(=O)NCCc2n[n-]c(=S)n2C)cc1O ZINC000089440092 407134636 /nfs/dbraw/zinc/13/46/36/407134636.db2.gz LKTJDCPNMFVDRY-UHFFFAOYSA-N -1 1 306.391 1.393 20 0 DDADMM CCOC(=O)C[C@@H](C)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000089688202 407144423 /nfs/dbraw/zinc/14/44/23/407144423.db2.gz UUFGPBJKFPXPPG-SNVBAGLBSA-N -1 1 317.345 1.242 20 0 DDADMM CCn1c(CNC(=O)[C@H](OC)c2ccccc2)n[n-]c1=S ZINC000066636352 407256615 /nfs/dbraw/zinc/25/66/15/407256615.db2.gz HJJTZPPPDHVULL-GFCCVEGCSA-N -1 1 306.391 1.964 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]2C2CCC2)o1 ZINC000102877539 407328403 /nfs/dbraw/zinc/32/84/03/407328403.db2.gz YUGSLDCIVLELEQ-LLVKDONJSA-N -1 1 312.391 1.592 20 0 DDADMM C[C@@H](Cc1ccc(O)cc1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000098022606 407304416 /nfs/dbraw/zinc/30/44/16/407304416.db2.gz DRJIJYICNYNIKV-NSHDSACASA-N -1 1 323.352 1.990 20 0 DDADMM CCC(CC)n1nc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1C ZINC000124252714 407352750 /nfs/dbraw/zinc/35/27/50/407352750.db2.gz FGCLQHRQJHOATI-JTQLQIEISA-N -1 1 319.413 1.941 20 0 DDADMM O=C(NC[C@H]1CCCC[C@@H]1O)c1nc2ccccc2c(=O)[n-]1 ZINC000124652026 407365934 /nfs/dbraw/zinc/36/59/34/407365934.db2.gz CVJZORUXZPCLNY-MFKMUULPSA-N -1 1 301.346 1.204 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H]2CC(C)C)co1 ZINC000125611109 407392923 /nfs/dbraw/zinc/39/29/23/407392923.db2.gz BXESQDHURJFEPX-GFCCVEGCSA-N -1 1 314.407 1.838 20 0 DDADMM COC(=O)c1cccc(S(=O)(=O)[N-]c2ccnc(C)c2)c1 ZINC000112496166 407431575 /nfs/dbraw/zinc/43/15/75/407431575.db2.gz MCFRPSVVCGHJCD-UHFFFAOYSA-N -1 1 306.343 1.977 20 0 DDADMM O=S(=O)([N-]CC1(O)CCOCC1)c1c(F)cccc1Cl ZINC000185707483 407482766 /nfs/dbraw/zinc/48/27/66/407482766.db2.gz SXZICCVXRDOGTN-UHFFFAOYSA-N -1 1 323.773 1.299 20 0 DDADMM CCC[C@H](NC(=O)CCC(=O)c1cccc(F)c1)c1nn[n-]n1 ZINC000271264105 407603715 /nfs/dbraw/zinc/60/37/15/407603715.db2.gz VIEDVCMWFCXGIW-LBPRGKRZSA-N -1 1 319.340 1.959 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCO[C@@H](C)C2)o1 ZINC000271286175 407615212 /nfs/dbraw/zinc/61/52/12/407615212.db2.gz RTVXDPJFWOFJIQ-VHSXEESVSA-N -1 1 317.363 1.160 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C1CC1 ZINC000178839818 407621777 /nfs/dbraw/zinc/62/17/77/407621777.db2.gz MXXCNBFJMULKLL-VIFPVBQESA-N -1 1 309.309 1.807 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C1CC1 ZINC000152382567 407626324 /nfs/dbraw/zinc/62/63/24/407626324.db2.gz HFPAVAPTMIYSID-LLVKDONJSA-N -1 1 309.309 1.807 20 0 DDADMM COCCCOc1cc(C)ccc1CNC(=O)c1cnn[nH]1 ZINC000130300171 407673475 /nfs/dbraw/zinc/67/34/75/407673475.db2.gz RYOYUZDZQVPBPQ-UHFFFAOYSA-N -1 1 304.350 1.458 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC[C@H]1[C@H]1CCCCC1=O ZINC000132800636 407804492 /nfs/dbraw/zinc/80/44/92/407804492.db2.gz SGMROKZLWAGKHC-NEPJUHHUSA-N -1 1 304.346 1.388 20 0 DDADMM O=C([O-])[C@H]1CCN(Cc2nc(-c3ccc4c(c3)OCO4)no2)C1 ZINC000262681167 407899248 /nfs/dbraw/zinc/89/92/48/407899248.db2.gz WCOWWFWJOHKIFQ-JTQLQIEISA-N -1 1 317.301 1.372 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)c2ccccn2)c(=O)[n-]1 ZINC000134542236 407906364 /nfs/dbraw/zinc/90/63/64/407906364.db2.gz WMKHWPAXPKOWQQ-UHFFFAOYSA-N -1 1 318.402 1.791 20 0 DDADMM COC[C@H](C)n1ccc([N-]S(=O)(=O)N2CCC[C@@H](C)C2)n1 ZINC000187950053 407907158 /nfs/dbraw/zinc/90/71/58/407907158.db2.gz VOXDADSYDAWCNX-NEPJUHHUSA-N -1 1 316.427 1.479 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc(C(C)=O)cc1)c1nn[n-]n1 ZINC000268143826 407911157 /nfs/dbraw/zinc/91/11/57/407911157.db2.gz DTPWUDVMEQQMJD-ZDUSSCGKSA-N -1 1 301.350 1.602 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCc2ccccc2[C@@H]1CO ZINC000188646668 407966285 /nfs/dbraw/zinc/96/62/85/407966285.db2.gz NAZVIOHDSUJFMO-HNNXBMFYSA-N -1 1 308.341 1.690 20 0 DDADMM C[C@@H]1CCc2c(C(=O)NCCCc3nc(=O)[n-][nH]3)csc2C1 ZINC000174583046 407966984 /nfs/dbraw/zinc/96/69/84/407966984.db2.gz AFIKARSRVZGRTB-SECBINFHSA-N -1 1 320.418 1.647 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1n[nH]c2ccccc21)[C@H]1CCCOC1 ZINC000262760830 407919417 /nfs/dbraw/zinc/91/94/17/407919417.db2.gz KRALIVCABFMXAD-CABZTGNLSA-N -1 1 303.318 1.173 20 0 DDADMM O=C(CSc1ccc(C(F)(F)F)cn1)Nc1nnn[n-]1 ZINC000118685555 407935963 /nfs/dbraw/zinc/93/59/63/407935963.db2.gz WFNSHSAWLSWWOV-UHFFFAOYSA-N -1 1 304.257 1.344 20 0 DDADMM O=C(CSc1ccc(C(F)(F)F)cn1)Nc1nn[n-]n1 ZINC000118685555 407935970 /nfs/dbraw/zinc/93/59/70/407935970.db2.gz WFNSHSAWLSWWOV-UHFFFAOYSA-N -1 1 304.257 1.344 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@@H]([C@@H](C)O)C3)c[n-]c2[nH+]1 ZINC000153933951 407936790 /nfs/dbraw/zinc/93/67/90/407936790.db2.gz JQQGZBUPLXTXAH-VXGBXAGGSA-N -1 1 315.373 1.877 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@@H]([C@@H](C)O)C3)cnc2n1 ZINC000153933951 407936793 /nfs/dbraw/zinc/93/67/93/407936793.db2.gz JQQGZBUPLXTXAH-VXGBXAGGSA-N -1 1 315.373 1.877 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(Cc2ccccc2F)CC1)c1nn[n-]n1 ZINC000188854125 407982608 /nfs/dbraw/zinc/98/26/08/407982608.db2.gz KJQPZEYLTADDEE-NSHDSACASA-N -1 1 317.368 1.924 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(C(=O)c2[nH]nc3ccccc32)C1 ZINC000262810164 407943012 /nfs/dbraw/zinc/94/30/12/407943012.db2.gz DJEYFZCXJZJQFA-INIZCTEOSA-N -1 1 317.345 1.516 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCc2ccco2)o1 ZINC000119293358 408032235 /nfs/dbraw/zinc/03/22/35/408032235.db2.gz UJLFKNDBHHAXLQ-UHFFFAOYSA-N -1 1 313.331 1.570 20 0 DDADMM CO[C@H]1CCCC[C@@H]1NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119289816 408032510 /nfs/dbraw/zinc/03/25/10/408032510.db2.gz QZSAARMWRTWYRU-RYUDHWBXSA-N -1 1 304.350 1.361 20 0 DDADMM CCOc1ccc(S(=O)(=O)[N-]c2cc(C3CC3)n[nH]2)cn1 ZINC000273053244 408036454 /nfs/dbraw/zinc/03/64/54/408036454.db2.gz BYTUFCDUFHSMLM-UHFFFAOYSA-N -1 1 308.363 1.882 20 0 DDADMM CCN1CCCN(C(=O)c2cc(F)c(F)c([O-])c2F)CC1 ZINC000272564767 407986875 /nfs/dbraw/zinc/98/68/75/407986875.db2.gz AQUOFTFDVGUEGW-UHFFFAOYSA-N -1 1 302.296 1.977 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCNC(=O)[C@H]1c1ccccc1 ZINC000181931148 408052568 /nfs/dbraw/zinc/05/25/68/408052568.db2.gz ZXWDJIDSKIYWOP-OAHLLOKOSA-N -1 1 314.316 1.845 20 0 DDADMM CNC(=O)[C@H](Cc1ccccc1)Sc1ncc(C(=O)OC)[n-]1 ZINC000189436567 408017910 /nfs/dbraw/zinc/01/79/10/408017910.db2.gz GGPFPELTGBIZRJ-LBPRGKRZSA-N -1 1 319.386 1.646 20 0 DDADMM CNC(=O)[C@H](Cc1ccccc1)Sc1nc(C(=O)OC)c[n-]1 ZINC000189436567 408017915 /nfs/dbraw/zinc/01/79/15/408017915.db2.gz GGPFPELTGBIZRJ-LBPRGKRZSA-N -1 1 319.386 1.646 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2nc3ccccc3s2)[n-]1 ZINC000268485431 408065035 /nfs/dbraw/zinc/06/50/35/408065035.db2.gz MNXCWNHQYCCNDE-UHFFFAOYSA-N -1 1 324.387 1.535 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2nc3ccccc3s2)n[n-]1 ZINC000268485431 408065040 /nfs/dbraw/zinc/06/50/40/408065040.db2.gz MNXCWNHQYCCNDE-UHFFFAOYSA-N -1 1 324.387 1.535 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@]2(C)CCO[C@H]2C2CC2)c1Cl ZINC000273133097 408072632 /nfs/dbraw/zinc/07/26/32/408072632.db2.gz UDGLFDDQSJRPBZ-JOYOIKCWSA-N -1 1 319.814 1.309 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000136669471 408119907 /nfs/dbraw/zinc/11/99/07/408119907.db2.gz OUQDSYWNCKCXRF-SNVBAGLBSA-N -1 1 303.322 1.129 20 0 DDADMM CCC[C@@H](NC(=O)CCOc1cccc(C)c1)c1nn[n-]n1 ZINC000136724631 408124210 /nfs/dbraw/zinc/12/42/10/408124210.db2.gz QUTNOBMHRGSTHI-CYBMUJFWSA-N -1 1 303.366 1.935 20 0 DDADMM O=C([O-])[C@@H]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000263462813 408145755 /nfs/dbraw/zinc/14/57/55/408145755.db2.gz WCEUETYGURRKAZ-GFCCVEGCSA-N -1 1 300.318 1.409 20 0 DDADMM Cc1cc(C(=O)NCC[N@H+]2CCc3ccccc3C2)[nH]c(=O)n1 ZINC000268678095 408151515 /nfs/dbraw/zinc/15/15/15/408151515.db2.gz TUDOWAOYYBMJQH-UHFFFAOYSA-N -1 1 312.373 1.279 20 0 DDADMM CO[C@H]1C[C@@H](c2nc(C)no2)N(C(=O)c2ccc([O-])cc2F)C1 ZINC000190040151 408154202 /nfs/dbraw/zinc/15/42/02/408154202.db2.gz GKHPIVSHVDRBEQ-GWCFXTLKSA-N -1 1 321.308 1.825 20 0 DDADMM C[C@@H](NS(=O)(=O)c1cc(C(F)(F)F)ccc1O)C(=O)[O-] ZINC000263549402 408170032 /nfs/dbraw/zinc/17/00/32/408170032.db2.gz JOVUKBYQZGGUNL-RXMQYKEDSA-N -1 1 313.253 1.162 20 0 DDADMM COC(=O)Cc1cccc(NC(=O)c2cc(F)ccc2[O-])n1 ZINC000190160565 408179988 /nfs/dbraw/zinc/17/99/88/408179988.db2.gz ZTHBRGXJPIRRKK-UHFFFAOYSA-N -1 1 304.277 1.894 20 0 DDADMM Cn1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c2ccccc21 ZINC000155595687 408185461 /nfs/dbraw/zinc/18/54/61/408185461.db2.gz DERKGNICQWFOQZ-NSHDSACASA-N -1 1 310.361 1.711 20 0 DDADMM O=C(CCOCc1ccccc1)Nc1nc(SCCO)n[nH]1 ZINC000268775086 408188401 /nfs/dbraw/zinc/18/84/01/408188401.db2.gz ZFDLELKYKJNMNG-UHFFFAOYSA-N -1 1 322.390 1.435 20 0 DDADMM O=C(c1cc(I)ccc1[O-])N1CCCO1 ZINC000156480549 408259561 /nfs/dbraw/zinc/25/95/61/408259561.db2.gz ZQIPCYCSGIYVKU-UHFFFAOYSA-N -1 1 319.098 1.774 20 0 DDADMM C[C@H](CO[C@H]1CCOC1)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000150926832 408227528 /nfs/dbraw/zinc/22/75/28/408227528.db2.gz FUFBPWXARGIFHQ-ZJUUUORDSA-N -1 1 321.345 1.437 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@@H]1C[C@H]1c1cccc(F)c1F ZINC000176264537 408326249 /nfs/dbraw/zinc/32/62/49/408326249.db2.gz TUAYTCJZPWWOHD-VHSXEESVSA-N -1 1 322.315 1.229 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCCOC1 ZINC000176081015 408281441 /nfs/dbraw/zinc/28/14/41/408281441.db2.gz VXUWDUNHPQONKK-NSHDSACASA-N -1 1 307.394 1.532 20 0 DDADMM COc1ccc([C@H](C)CC(=O)NCCCc2nc(=O)[n-][nH]2)cc1 ZINC000176177310 408300462 /nfs/dbraw/zinc/30/04/62/408300462.db2.gz OQUQVUBCQFAKSF-LLVKDONJSA-N -1 1 318.377 1.349 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCOc2ccc(F)cc2)C1 ZINC000164572478 162153513 /nfs/dbraw/zinc/15/35/13/162153513.db2.gz FKVFFELDELIPIT-LBPRGKRZSA-N -1 1 324.352 1.117 20 0 DDADMM COc1ccccc1S(=O)(=O)[N-]c1cc(CN(C)C)ccn1 ZINC000176423960 408363601 /nfs/dbraw/zinc/36/36/01/408363601.db2.gz CTOWHKBJTUYQFP-UHFFFAOYSA-N -1 1 321.402 1.953 20 0 DDADMM CO[C@@H]1CC[C@H]2OCCN(C(=O)c3cc(F)ccc3[O-])[C@H]2C1 ZINC000246902416 408365476 /nfs/dbraw/zinc/36/54/76/408365476.db2.gz UKJBJAXMDQSUNB-OSAQELSMSA-N -1 1 309.337 1.940 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N(C)CC(C)(C)O ZINC000176463018 408372269 /nfs/dbraw/zinc/37/22/69/408372269.db2.gz JJMMZOPWUCRGCI-UHFFFAOYSA-N -1 1 320.393 1.214 20 0 DDADMM O=S(=O)([N-][C@H]1CCCOCC1)c1cc(F)c(F)cc1F ZINC000183390244 408394979 /nfs/dbraw/zinc/39/49/79/408394979.db2.gz MGVOEKNXRKDTAP-QMMMGPOBSA-N -1 1 309.309 1.951 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]2C(C)(C)C)o1 ZINC000264202876 408401618 /nfs/dbraw/zinc/40/16/18/408401618.db2.gz HVBOGBRMBIGBET-NSHDSACASA-N -1 1 314.407 1.838 20 0 DDADMM COc1ccccc1C=CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183408860 408403756 /nfs/dbraw/zinc/40/37/56/408403756.db2.gz ULAXUDNIWHONDC-ANYFNZRUSA-N -1 1 301.350 1.484 20 0 DDADMM COc1ccc(Cl)cc1CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183433426 408410100 /nfs/dbraw/zinc/41/01/00/408410100.db2.gz FIIOZRDNUWRBLG-SECBINFHSA-N -1 1 323.784 1.666 20 0 DDADMM C[C@@H](O)C[C@H](C)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000269748849 408414758 /nfs/dbraw/zinc/41/47/58/408414758.db2.gz GZAUIHDMBIJSQX-JGVFFNPUSA-N -1 1 311.325 1.789 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc(-c2ccccc2)nc1)c1nn[n-]n1 ZINC000176781093 408444054 /nfs/dbraw/zinc/44/40/54/408444054.db2.gz DDUXLNOPWWNZBK-CYBMUJFWSA-N -1 1 323.360 1.928 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ccc(OC)cc1OC ZINC000264440358 408491264 /nfs/dbraw/zinc/49/12/64/408491264.db2.gz BPJHOXFCPSKFBP-UHFFFAOYSA-N -1 1 303.336 1.009 20 0 DDADMM CN(Cc1ccccc1)C(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000274935949 408566893 /nfs/dbraw/zinc/56/68/93/408566893.db2.gz PWUBACIJYNNYGL-CYBMUJFWSA-N -1 1 302.334 1.247 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CCO[C@@]2(CCOC2)C1 ZINC000184056639 408539737 /nfs/dbraw/zinc/53/97/37/408539737.db2.gz LWBMCUKNRVBOTB-KRWDZBQOSA-N -1 1 314.341 1.572 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)CC2CC2)o1 ZINC000177496275 408640305 /nfs/dbraw/zinc/64/03/05/408640305.db2.gz HRLCTLLRBHOTEK-VIFPVBQESA-N -1 1 301.364 1.923 20 0 DDADMM C[C@@H](C1CC1)N(C(=O)CS(=O)(=O)c1ccc([O-])cc1)C1CC1 ZINC000177526983 408647410 /nfs/dbraw/zinc/64/74/10/408647410.db2.gz TVFLDDREEUOBBR-NSHDSACASA-N -1 1 323.414 1.955 20 0 DDADMM CC(C)N1C[C@@H]([N-]S(=O)(=O)c2cccc(F)c2F)CC1=O ZINC000265676219 408743306 /nfs/dbraw/zinc/74/33/06/408743306.db2.gz YIARIAPIAFISFA-VIFPVBQESA-N -1 1 318.345 1.252 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccccc1-n1nc(C)nc1C ZINC000193335121 408712833 /nfs/dbraw/zinc/71/28/33/408712833.db2.gz BUAOIDLPQAQBJW-SNVBAGLBSA-N -1 1 324.406 1.661 20 0 DDADMM C[C@@H](NC(=O)c1n[nH]c2ccc(Br)cc21)C(=O)[O-] ZINC000178295351 408799805 /nfs/dbraw/zinc/79/98/05/408799805.db2.gz NFOLXVSWNXNZKA-RXMQYKEDSA-N -1 1 312.123 1.528 20 0 DDADMM Cc1nccnc1N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000290813705 408838130 /nfs/dbraw/zinc/83/81/30/408838130.db2.gz ZZMKYUGFJRZBHZ-SNVBAGLBSA-N -1 1 302.300 1.680 20 0 DDADMM C[C@@H](CO)[N-]S(=O)(=O)c1ccc(Cl)c(F)c1Cl ZINC000189474794 163103053 /nfs/dbraw/zinc/10/30/53/163103053.db2.gz WILLQUFIDFMPDG-YFKPBYRVSA-N -1 1 302.154 1.792 20 0 DDADMM C[C@@H](CO)[C@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000231351115 163350006 /nfs/dbraw/zinc/35/00/06/163350006.db2.gz IIROPZYGYHRYST-YUMQZZPRSA-N -1 1 302.168 1.901 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N=c2ccnc3n(C)[n-]cc2-3)[nH]n1 ZINC000287630716 409067937 /nfs/dbraw/zinc/06/79/37/409067937.db2.gz OBNFWSHBUFTUIX-IPMBLIMHSA-N -1 1 313.365 1.126 20 0 DDADMM CC[C@@H](C)CC(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000292255686 409010845 /nfs/dbraw/zinc/01/08/45/409010845.db2.gz PCBPUEYBWXXDRZ-SECBINFHSA-N -1 1 300.380 1.675 20 0 DDADMM COCCN1C[C@H](c2nc(-c3ccc([O-])cc3F)no2)CC1=O ZINC000277898848 409031986 /nfs/dbraw/zinc/03/19/86/409031986.db2.gz DLDGBNOBAPTPSS-SECBINFHSA-N -1 1 321.308 1.544 20 0 DDADMM CC(C)C[C@H](CO)N(C)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287557202 409055440 /nfs/dbraw/zinc/05/54/40/409055440.db2.gz KBRRCMGKWAOVSA-SHTJFRFBSA-N -1 1 305.382 1.213 20 0 DDADMM C[C@@H](c1ccncc1)N(C)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287574717 409059206 /nfs/dbraw/zinc/05/92/06/409059206.db2.gz LADWBYPOHCOWKV-CJJSWDBDSA-N -1 1 310.361 1.962 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H](CO)CC(C)(C)C)ccnc1-2 ZINC000287705446 409079931 /nfs/dbraw/zinc/07/99/31/409079931.db2.gz RUELDACQHVWCJD-IFYDOICBSA-N -1 1 305.382 1.260 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(NC(C)C)nc1)c1nn[n-]n1 ZINC000279024979 409133386 /nfs/dbraw/zinc/13/33/86/409133386.db2.gz IXDVLGIUNDTDCF-LLVKDONJSA-N -1 1 303.370 1.686 20 0 DDADMM CCN(CCC(=O)OC)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283199041 409109774 /nfs/dbraw/zinc/10/97/74/409109774.db2.gz ZHHBKPOKSQTVKR-UHFFFAOYSA-N -1 1 308.363 1.043 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])[C@H]1CC12CCS(=O)(=O)CC2 ZINC000287894322 409111141 /nfs/dbraw/zinc/11/11/41/409111141.db2.gz CABFCMVGWYVVSZ-SNVBAGLBSA-N -1 1 313.350 1.685 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCn2cccc2)c(C(F)(F)F)n1 ZINC000293464076 409117759 /nfs/dbraw/zinc/11/77/59/409117759.db2.gz LIBKLGIBTVKDIY-UHFFFAOYSA-N -1 1 322.312 1.219 20 0 DDADMM CC(C)C1([N-]S(=O)(=O)c2cn(C)nc2C(F)(F)F)CC1 ZINC000293693153 409162811 /nfs/dbraw/zinc/16/28/11/409162811.db2.gz CAGWHHCWSZOONS-UHFFFAOYSA-N -1 1 311.329 1.906 20 0 DDADMM O=C(NC[C@H]1CCCS(=O)(=O)C1)c1c([O-])cccc1F ZINC000288410277 409164773 /nfs/dbraw/zinc/16/47/73/409164773.db2.gz ZFJHJLBAPXNUMT-SECBINFHSA-N -1 1 301.339 1.086 20 0 DDADMM O=C(c1ccccc1O)N1CC[NH+](CC2CCC(=O)CC2)CC1 ZINC000290139499 409308422 /nfs/dbraw/zinc/30/84/22/409308422.db2.gz VRHYYFXBHNAGBD-UHFFFAOYSA-N -1 1 316.401 1.909 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCO[C@@H](C(C)C)C1 ZINC000290337465 409366971 /nfs/dbraw/zinc/36/69/71/409366971.db2.gz BEGSVUSGAUDLRL-VHSXEESVSA-N -1 1 303.384 1.047 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCC1(C)CC1 ZINC000280604477 409378019 /nfs/dbraw/zinc/37/80/19/409378019.db2.gz XVYYQRJPWMMCCK-UHFFFAOYSA-N -1 1 301.368 1.055 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCO[C@H]2CCC[C@H]21 ZINC000295163821 409351362 /nfs/dbraw/zinc/35/13/62/409351362.db2.gz PAKRULDMTAHGID-MNOVXSKESA-N -1 1 304.375 1.412 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1ccc(-n2cncn2)nc1 ZINC000316239049 164021931 /nfs/dbraw/zinc/02/19/31/164021931.db2.gz DQFSJPNFVVUELQ-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM CCCN(Cc1ccccc1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352423713 164119426 /nfs/dbraw/zinc/11/94/26/164119426.db2.gz ONUQYVBXTGDHPF-UHFFFAOYSA-N -1 1 311.345 1.470 20 0 DDADMM Cn1ncc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1C1CC1 ZINC000407995531 164192752 /nfs/dbraw/zinc/19/27/52/164192752.db2.gz HXUYSWUQQLUZED-SNVBAGLBSA-N -1 1 317.349 1.406 20 0 DDADMM CC(=O)c1ccc([O-])c(NS(=O)(=O)N2CCC[C@H](C)C2)c1 ZINC000408206665 164260551 /nfs/dbraw/zinc/26/05/51/164260551.db2.gz CVEQFGLUBKMBTB-JTQLQIEISA-N -1 1 312.391 1.983 20 0 DDADMM O=S(=O)([N-]CC1(O)CCCC1)c1ccc(Br)o1 ZINC000408214130 164263570 /nfs/dbraw/zinc/26/35/70/164263570.db2.gz LKNPMEVAJMDFHE-UHFFFAOYSA-N -1 1 324.196 1.626 20 0 DDADMM CO[C@@H]1CC[C@@H]([N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000408284982 164285298 /nfs/dbraw/zinc/28/52/98/164285298.db2.gz BWPPZEPSORDZEL-HTQZYQBOSA-N -1 1 324.196 1.888 20 0 DDADMM CC[C@H]1CN(CCN=c2[n-]c([C@@H](C)OC)ns2)CCO1 ZINC000337940181 409584481 /nfs/dbraw/zinc/58/44/81/409584481.db2.gz ACWFRBVYNMCQLB-MNOVXSKESA-N -1 1 300.428 1.190 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])c1ccc2c(c1)NC(=O)CO2 ZINC000338028290 409654276 /nfs/dbraw/zinc/65/42/76/409654276.db2.gz IVAKWJVYXUXOPG-SECBINFHSA-N -1 1 313.313 1.609 20 0 DDADMM C[C@]1(CO)CCC[C@@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000305100002 409681127 /nfs/dbraw/zinc/68/11/27/409681127.db2.gz AWJADDHXNDNKEN-WCQYABFASA-N -1 1 305.346 1.794 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)N(C)CC(C)C)c1cccs1 ZINC000349450292 409843711 /nfs/dbraw/zinc/84/37/11/409843711.db2.gz RWNDNXNASVZDOB-NSHDSACASA-N -1 1 320.436 1.384 20 0 DDADMM COC(=O)Cc1ccc(S(=O)(=O)[N-]c2cccc(O)c2)cc1 ZINC000315802932 409847592 /nfs/dbraw/zinc/84/75/92/409847592.db2.gz PYHIRTPQKGDDQJ-UHFFFAOYSA-N -1 1 321.354 1.909 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1CC12CCCCC2 ZINC000342670745 409803045 /nfs/dbraw/zinc/80/30/45/409803045.db2.gz TXNQVHXFPPOEAX-GFCCVEGCSA-N -1 1 305.378 1.928 20 0 DDADMM C[C@H]1[C@@H](C(=O)NC2(c3nn[n-]n3)CC2)CCN1c1ccccc1 ZINC000357045377 409817001 /nfs/dbraw/zinc/81/70/01/409817001.db2.gz BZPJGYWHBXHDRD-AAEUAGOBSA-N -1 1 312.377 1.220 20 0 DDADMM Cc1nc(COc2ccccc2C(=O)Nc2nnn[n-]2)cs1 ZINC000346323440 409914851 /nfs/dbraw/zinc/91/48/51/409914851.db2.gz IQSVJVOJQSQMNZ-UHFFFAOYSA-N -1 1 316.346 1.796 20 0 DDADMM Cc1nc(COc2ccccc2C(=O)Nc2nn[n-]n2)cs1 ZINC000346323440 409914854 /nfs/dbraw/zinc/91/48/54/409914854.db2.gz IQSVJVOJQSQMNZ-UHFFFAOYSA-N -1 1 316.346 1.796 20 0 DDADMM CCCn1c(C(=O)NC2(c3nn[n-]n3)CC2)cc2ccccc21 ZINC000357081817 409857276 /nfs/dbraw/zinc/85/72/76/409857276.db2.gz WEBCKTKBRDXEFX-UHFFFAOYSA-N -1 1 310.361 1.984 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C[C@H](C)OC)C(C)C)o1 ZINC000354454671 409866996 /nfs/dbraw/zinc/86/69/96/409866996.db2.gz ABFJDRZOWZIZQK-JTQLQIEISA-N -1 1 318.395 1.073 20 0 DDADMM Cn1cc(C(=O)NC2(c3nn[n-]n3)CC2)c(-c2ccccc2)n1 ZINC000357092269 409867403 /nfs/dbraw/zinc/86/74/03/409867403.db2.gz BXLFHOSKINPAOR-UHFFFAOYSA-N -1 1 309.333 1.019 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CCn2ncnc2C1 ZINC000354494573 409898172 /nfs/dbraw/zinc/89/81/72/409898172.db2.gz GIYWNYQKKJBHSL-UHFFFAOYSA-N -1 1 323.150 1.402 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)COc1c(C)cc(C)cc1C ZINC000297741552 409982445 /nfs/dbraw/zinc/98/24/45/409982445.db2.gz NGJUTCSVCSWMHT-UHFFFAOYSA-N -1 1 317.349 1.107 20 0 DDADMM CC[C@@H](Oc1ccccc1C)C(=O)Nc1nn[nH]c1C(N)=O ZINC000297663864 409948612 /nfs/dbraw/zinc/94/86/12/409948612.db2.gz FXCNQYSNFFGDEG-SECBINFHSA-N -1 1 303.322 1.008 20 0 DDADMM CC[C@H](O[C@H]1CCC[C@@H](C)C1)C(=O)Nc1nn[nH]c1C(=O)NC ZINC000297795613 410002484 /nfs/dbraw/zinc/00/24/84/410002484.db2.gz RVEXAJDOWNDASX-VWYCJHECSA-N -1 1 323.397 1.477 20 0 DDADMM Cc1ccc(-c2cc(C(=O)NC(C)(C)c3nn[n-]n3)[nH]n2)o1 ZINC000354787207 410086398 /nfs/dbraw/zinc/08/63/98/410086398.db2.gz OTALUYDQPZRVJT-UHFFFAOYSA-N -1 1 301.310 1.156 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC3CCC2CC3)o1 ZINC000351683026 410147440 /nfs/dbraw/zinc/14/74/40/410147440.db2.gz NHFFSQRPLTVZGX-VQXHTEKXSA-N -1 1 312.391 1.496 20 0 DDADMM C[C@H](CC(=O)NC(C)(C)c1nn[n-]n1)NC(=O)C1CCCCC1 ZINC000354789693 410088349 /nfs/dbraw/zinc/08/83/49/410088349.db2.gz SFLDKRLXYBDDEQ-SNVBAGLBSA-N -1 1 322.413 1.026 20 0 DDADMM Cc1cc(C)c(C)c(OCCC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000354791001 410090475 /nfs/dbraw/zinc/09/04/75/410090475.db2.gz NDGDYYZWTYTMKI-UHFFFAOYSA-N -1 1 317.393 1.945 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1cccnc1 ZINC000332409195 410135420 /nfs/dbraw/zinc/13/54/20/410135420.db2.gz QFJWHNYKUPTKBW-ZYHUDNBSSA-N -1 1 302.334 1.680 20 0 DDADMM CCc1nc([N-]C(=O)c2cc(OC)no2)sc1C(=O)OC ZINC000346763988 410138999 /nfs/dbraw/zinc/13/89/99/410138999.db2.gz LJQLHRQRRZDMHC-UHFFFAOYSA-N -1 1 311.319 1.741 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(Cc3ccccn3)no2)co1 ZINC000339417238 410169696 /nfs/dbraw/zinc/16/96/96/410169696.db2.gz IIUBSBYGUQEDBQ-UHFFFAOYSA-N -1 1 320.330 1.224 20 0 DDADMM CC(C)NC(=O)CCCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351848011 410286380 /nfs/dbraw/zinc/28/63/80/410286380.db2.gz ADQXNDIYYDDBLP-UHFFFAOYSA-N -1 1 324.381 1.176 20 0 DDADMM COC(=O)c1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(F)c1 ZINC000357990383 410335535 /nfs/dbraw/zinc/33/55/35/410335535.db2.gz LZIFILAICMHDBE-QMMMGPOBSA-N -1 1 321.312 1.001 20 0 DDADMM C[C@@H]1CC(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C[C@@H](C)O1 ZINC000351860376 410297380 /nfs/dbraw/zinc/29/73/80/410297380.db2.gz QBLZWPXLWZJBTB-NXEZZACHSA-N -1 1 309.366 1.685 20 0 DDADMM COCc1nc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cs1 ZINC000351863836 410302449 /nfs/dbraw/zinc/30/24/49/410302449.db2.gz XBJVNJIZCGDXBF-UHFFFAOYSA-N -1 1 324.362 1.398 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCC[C@@H]2CCOC2)[n-]n1 ZINC000329629670 410391950 /nfs/dbraw/zinc/39/19/50/410391950.db2.gz HOWHESVWYCIHIP-GFCCVEGCSA-N -1 1 304.394 1.409 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CC[C@@H](C(N)=O)O2)sc1C ZINC000333163125 410397647 /nfs/dbraw/zinc/39/76/47/410397647.db2.gz OAMKBQORQIWMKU-BDAKNGLRSA-N -1 1 319.408 1.121 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000358363387 410459166 /nfs/dbraw/zinc/45/91/66/410459166.db2.gz XXTSVEKNJMUALS-VHSXEESVSA-N -1 1 304.350 1.219 20 0 DDADMM Cc1cnc(C(=O)NC[C@H]2CCC3(CCOCC3)[C@@H]2O)c([O-])c1 ZINC000332729134 306730008 /nfs/dbraw/zinc/73/00/08/306730008.db2.gz SGSCKJAVEKBPBV-IUODEOHRSA-N -1 1 320.389 1.393 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1[C@H](C)C[C@H]2CCCC[C@H]21 ZINC000352052234 410443785 /nfs/dbraw/zinc/44/37/85/410443785.db2.gz JDRNBVZIDDCAFU-OPRDCNLKSA-N -1 1 307.350 1.690 20 0 DDADMM O=S(=O)([N-][C@H]1CCn2ccnc2C1)c1sccc1Cl ZINC000333419503 410537340 /nfs/dbraw/zinc/53/73/40/410537340.db2.gz LPYDZWMYAYCLJX-QMMMGPOBSA-N -1 1 317.823 1.891 20 0 DDADMM Cc1cc(F)cc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000299139868 410542483 /nfs/dbraw/zinc/54/24/83/410542483.db2.gz XVSIGTRXRZFTJE-SNVBAGLBSA-N -1 1 304.325 1.565 20 0 DDADMM O=C(NCc1nc(-c2cccc(F)c2)no1)c1cncc([O-])c1 ZINC000355491222 410542890 /nfs/dbraw/zinc/54/28/90/410542890.db2.gz NCFRSRGEVHWDPI-UHFFFAOYSA-N -1 1 314.276 1.906 20 0 DDADMM Cn1nccc1C[N-]S(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000340044849 410637424 /nfs/dbraw/zinc/63/74/24/410637424.db2.gz ZBDSORSKXPAZRA-UHFFFAOYSA-N -1 1 321.736 1.830 20 0 DDADMM Cc1nc(-c2ccc(N3CCC[C@@H](O)C3)nc2)[n-]c(=O)c1C ZINC000301328948 410700147 /nfs/dbraw/zinc/70/01/47/410700147.db2.gz AAUKWJQIVDEKBD-CYBMUJFWSA-N -1 1 300.362 1.822 20 0 DDADMM CS[C@H](CO)[C@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000330645435 410848213 /nfs/dbraw/zinc/84/82/13/410848213.db2.gz LEDBJQBLFLOCFV-IONNQARKSA-N -1 1 310.466 1.151 20 0 DDADMM CCOC(=O)C1(C(=O)Nc2cc(C(=O)OC)ccc2[O-])CCC1 ZINC000337578549 410871328 /nfs/dbraw/zinc/87/13/28/410871328.db2.gz LKVLBYGMYGXFMJ-UHFFFAOYSA-N -1 1 321.329 1.851 20 0 DDADMM CS(=O)(=O)C[C@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000344007070 410886892 /nfs/dbraw/zinc/88/68/92/410886892.db2.gz GZEHMHGOGPTUJC-QMMMGPOBSA-N -1 1 319.329 1.177 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CC2)nn1-c1ccc(C)cc1 ZINC000348298479 410907516 /nfs/dbraw/zinc/90/75/16/410907516.db2.gz QRJAXOCMPORLDE-UHFFFAOYSA-N -1 1 323.360 1.421 20 0 DDADMM CC1(C)CCCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000353361723 410942334 /nfs/dbraw/zinc/94/23/34/410942334.db2.gz CLAACESQURSURG-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2cn(CC(C)C)cn2)n1 ZINC000331108472 410952220 /nfs/dbraw/zinc/95/22/20/410952220.db2.gz JWZIDHVMUKJZKH-VIFPVBQESA-N -1 1 313.383 1.270 20 0 DDADMM CC[C@H](C)[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC ZINC000337813326 411014865 /nfs/dbraw/zinc/01/48/65/411014865.db2.gz PCRMGCUMIMTWGX-QPUJVOFHSA-N -1 1 321.345 1.831 20 0 DDADMM O=S(=O)([N-]CCc1nc[nH]n1)c1c(Cl)ccc(F)c1F ZINC000344192037 411019480 /nfs/dbraw/zinc/01/94/80/411019480.db2.gz VZZDTSCIDKRFHU-UHFFFAOYSA-N -1 1 322.724 1.257 20 0 DDADMM CC(=O)N[C@@H](C)C1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000331249592 411040020 /nfs/dbraw/zinc/04/00/20/411040020.db2.gz VVOJUMFFVNFPMJ-JTQLQIEISA-N -1 1 308.353 1.908 20 0 DDADMM CC1(C)CN(C(=O)CNC(=O)c2ncccc2[O-])[C@@H]2CCC[C@H]21 ZINC000360244243 411110509 /nfs/dbraw/zinc/11/05/09/411110509.db2.gz ZGTRWXIEQZZADS-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1C[C@@H](C)[C@@H]1C ZINC000580592857 422943445 /nfs/dbraw/zinc/94/34/45/422943445.db2.gz REJXNIMQKBEQEP-PWSUYJOCSA-N -1 1 312.373 1.550 20 0 DDADMM CC1(C)[C@@H](NC(=O)c2ccc(Cl)cc2[O-])CS1(=O)=O ZINC000640462585 422974289 /nfs/dbraw/zinc/97/42/89/422974289.db2.gz GWCTVRUECYTBIM-JTQLQIEISA-N -1 1 303.767 1.351 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(CC(F)F)C2CC2)co1 ZINC000132173576 196232423 /nfs/dbraw/zinc/23/24/23/196232423.db2.gz PJPOGUZOINRBQX-UHFFFAOYSA-N -1 1 308.306 1.057 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)C1(n2cnnn2)CCCCC1 ZINC000652422254 423011217 /nfs/dbraw/zinc/01/12/17/423011217.db2.gz VLBAMLDQWPWVNP-UHFFFAOYSA-N -1 1 323.303 1.955 20 0 DDADMM COc1ccccc1C1(C(=O)NCc2nn[n-]n2)CCCCC1 ZINC000645388571 423015610 /nfs/dbraw/zinc/01/56/10/423015610.db2.gz WPMJNQHNBLZGEG-UHFFFAOYSA-N -1 1 315.377 1.727 20 0 DDADMM Cc1cc(-n2ccnc2)ccc1CN1CCO[C@H](CC(=O)[O-])C1 ZINC000652479608 423037398 /nfs/dbraw/zinc/03/73/98/423037398.db2.gz LAGXPVDZJQCNCY-MRXNPFEDSA-N -1 1 315.373 1.856 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@@H](OC)C(C)C)c1ccco1 ZINC000645575545 423094631 /nfs/dbraw/zinc/09/46/31/423094631.db2.gz WMAMXBRRGSYVQB-WCQYABFASA-N -1 1 305.396 1.558 20 0 DDADMM COCC1(S(=O)(=O)[N-][C@@H](C(C)=O)c2ccccc2F)CC1 ZINC000416642126 225015560 /nfs/dbraw/zinc/01/55/60/225015560.db2.gz FMWRYWWUNQMQEI-ZDUSSCGKSA-N -1 1 315.366 1.554 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(C)cc(F)c2)o1 ZINC000155240289 221660174 /nfs/dbraw/zinc/66/01/74/221660174.db2.gz HJBDGOXCNYFFLV-UHFFFAOYSA-N -1 1 312.322 1.888 20 0 DDADMM COc1cccc(CCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000366661525 418501446 /nfs/dbraw/zinc/50/14/46/418501446.db2.gz FZTVDSSOJDAYOB-ZDUSSCGKSA-N -1 1 315.377 1.547 20 0 DDADMM O=C(CCC(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1cccs1 ZINC000366746207 418512740 /nfs/dbraw/zinc/51/27/40/418512740.db2.gz SLGGIGLPUACMCA-JTQLQIEISA-N -1 1 319.390 1.630 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)C1CCN(c2cccnn2)CC1 ZINC000366954335 418542352 /nfs/dbraw/zinc/54/23/52/418542352.db2.gz XAPRILJNQJGBTI-UHFFFAOYSA-N -1 1 313.361 1.318 20 0 DDADMM COc1ccc2c(c1)OCCN(C(=O)c1cncc([O-])c1)C2 ZINC000374992909 418586037 /nfs/dbraw/zinc/58/60/37/418586037.db2.gz BUSZTOSNGIXQSQ-UHFFFAOYSA-N -1 1 300.314 1.831 20 0 DDADMM COCC1(CN=c2nc([C@@H](C)OC)[n-]s2)CCOCC1 ZINC000368193634 418701671 /nfs/dbraw/zinc/70/16/71/418701671.db2.gz MWPBUWBISJCNOS-SNVBAGLBSA-N -1 1 301.412 1.523 20 0 DDADMM CCCS(=O)(=O)c1ccccc1C(=O)N=c1ccc([O-])n[nH]1 ZINC000361958517 418730871 /nfs/dbraw/zinc/73/08/71/418730871.db2.gz SCHRDJASEIRNBY-UHFFFAOYSA-N -1 1 321.358 1.040 20 0 DDADMM CCCS(=O)(=O)c1ccccc1C(=O)N=c1ccc(O)n[n-]1 ZINC000361958517 418730872 /nfs/dbraw/zinc/73/08/72/418730872.db2.gz SCHRDJASEIRNBY-UHFFFAOYSA-N -1 1 321.358 1.040 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)CC(F)(F)F)co1 ZINC000362002263 418737554 /nfs/dbraw/zinc/73/75/54/418737554.db2.gz HLEZRXTVNRQGTF-ZCFIWIBFSA-N -1 1 314.285 1.258 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC000388082610 418744998 /nfs/dbraw/zinc/74/49/98/418744998.db2.gz RZXRBJYYBMKCSB-UHFFFAOYSA-N -1 1 308.382 1.547 20 0 DDADMM O=C(N[C@H]1CCc2nc[nH]c2C1)c1nn(-c2ccccc2)cc1[O-] ZINC000371362849 418784346 /nfs/dbraw/zinc/78/43/46/418784346.db2.gz YEUQAJLQIKPAMR-NSHDSACASA-N -1 1 323.356 1.588 20 0 DDADMM C[C@H](CC(=O)Nc1nc(-c2ccco2)n[nH]1)c1cnn(C)c1 ZINC000411289223 418889345 /nfs/dbraw/zinc/88/93/45/418889345.db2.gz FWRFHHITTYRDPJ-SECBINFHSA-N -1 1 300.322 1.931 20 0 DDADMM O=C(c1n[nH]c2c1CCCCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000372761720 418899384 /nfs/dbraw/zinc/89/93/84/418899384.db2.gz DMGMZUQECHMQPV-SNVBAGLBSA-N -1 1 315.381 1.212 20 0 DDADMM CO[N-]C(=O)[C@@H]1CC(=O)N(C2CC2)[C@@H]1c1ccc(OC)cc1 ZINC000372142041 418838676 /nfs/dbraw/zinc/83/86/76/418838676.db2.gz AWAPOFAPFJTEBH-UKRRQHHQSA-N -1 1 304.346 1.425 20 0 DDADMM Cn1ncnc1CN1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000365887297 418919447 /nfs/dbraw/zinc/91/94/47/418919447.db2.gz VTKSSUZMRXJNEO-UHFFFAOYSA-N -1 1 300.362 1.616 20 0 DDADMM C[C@H]1CCCN(CCS(=O)(=O)c2ccccc2)[C@@H]1C(=O)[O-] ZINC000424260894 228252192 /nfs/dbraw/zinc/25/21/92/228252192.db2.gz DSXWONHCYLJFBU-JSGCOSHPSA-N -1 1 311.403 1.645 20 0 DDADMM Cc1oc(Br)cc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000424792404 228318338 /nfs/dbraw/zinc/31/83/38/228318338.db2.gz CRPBMONBKWOLBT-UHFFFAOYSA-N -1 1 312.127 1.283 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2CCCCNC2=O)c(F)c1 ZINC000425145408 228373719 /nfs/dbraw/zinc/37/37/19/228373719.db2.gz UFIBHOVJBWVHII-NSHDSACASA-N -1 1 318.345 1.220 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C1CC1 ZINC000425172138 228380112 /nfs/dbraw/zinc/38/01/12/228380112.db2.gz XUMBCUUQILIKQQ-GFCCVEGCSA-N -1 1 305.346 1.977 20 0 DDADMM CCNC(=O)c1ccc([N-]S(=O)(=O)C[C@@H](CC)OC)cc1 ZINC000421148986 419504227 /nfs/dbraw/zinc/50/42/27/419504227.db2.gz DZGGTXWSRBMMSY-CYBMUJFWSA-N -1 1 314.407 1.603 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1ncccc1[O-])c1ccccc1 ZINC000427246843 419624895 /nfs/dbraw/zinc/62/48/95/419624895.db2.gz UMSXOIXPIHVOQZ-GFCCVEGCSA-N -1 1 300.314 1.474 20 0 DDADMM O=C(N[C@@H](Cc1ccccc1)[C@@H](O)C(F)F)c1ncccc1[O-] ZINC000427752472 419728967 /nfs/dbraw/zinc/72/89/67/419728967.db2.gz BVFAVXGMFCQORG-SMDDNHRTSA-N -1 1 322.311 1.754 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)N(C)c2nnc(C)s2)c1 ZINC000427725942 419722405 /nfs/dbraw/zinc/72/24/05/419722405.db2.gz JOWCZMBUIQCSGB-UHFFFAOYSA-N -1 1 306.347 1.787 20 0 DDADMM NC(=O)c1csc(=NCc2ccnc(OC(F)F)c2)[n-]1 ZINC000432139772 229083282 /nfs/dbraw/zinc/08/32/82/229083282.db2.gz SCFNOUPMEURWAW-UHFFFAOYSA-N -1 1 300.290 1.272 20 0 DDADMM CS[C@H]1CN(Cc2nc(=O)c3sccc3[n-]2)C[C@@H]1N(C)C ZINC000299574976 229225165 /nfs/dbraw/zinc/22/51/65/229225165.db2.gz HKVMXTBZOBXAQM-QWRGUYRKSA-N -1 1 324.475 1.874 20 0 DDADMM O=C(N=c1[n-]nc(/C=C/c2ccco2)s1)N1CCNCC1 ZINC000415371315 420038810 /nfs/dbraw/zinc/03/88/10/420038810.db2.gz FETBIYIBYKTRDF-ONEGZZNKSA-N -1 1 305.363 1.161 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)Nc1nc2c(s1)CCC2)C(=O)[O-] ZINC000420264516 420173040 /nfs/dbraw/zinc/17/30/40/420173040.db2.gz AHEUURACFZFXHS-UFBFGSQYSA-N -1 1 311.407 1.659 20 0 DDADMM CCC[C@H](C)[C@@H]1CCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416181491 420271860 /nfs/dbraw/zinc/27/18/60/420271860.db2.gz WRDSSTUGXILENP-UWVGGRQHSA-N -1 1 309.366 1.937 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCC1=CCCCCC1 ZINC000416205943 420279533 /nfs/dbraw/zinc/27/95/33/420279533.db2.gz USWPTWSWMVASJA-UHFFFAOYSA-N -1 1 307.350 1.907 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-][C@@H]1C[NH2+]CCC1(F)F ZINC000420437448 420285593 /nfs/dbraw/zinc/28/55/93/420285593.db2.gz COGNZNXALARMHQ-MRVPVSSYSA-N -1 1 312.363 1.032 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H](CC(C)C)OC)C(C)C ZINC000420649707 420342719 /nfs/dbraw/zinc/34/27/19/420342719.db2.gz PGLKTOMNBQMBGQ-NEPJUHHUSA-N -1 1 309.428 1.165 20 0 DDADMM COc1ccc(CNC(=O)c2ccc([O-])cc2F)c(OC)n1 ZINC000436617717 420346329 /nfs/dbraw/zinc/34/63/29/420346329.db2.gz LNLBMEWRBRKTMF-UHFFFAOYSA-N -1 1 306.293 1.874 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@H](CO)c1c(F)cccc1F)OC ZINC000420678931 420353023 /nfs/dbraw/zinc/35/30/23/420353023.db2.gz UFLHNDLAQPMLCX-JOYOIKCWSA-N -1 1 323.361 1.343 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2ccc([O-])cc2F)CCCC1 ZINC000436673677 420353969 /nfs/dbraw/zinc/35/39/69/420353969.db2.gz FUZRETARNPNBSY-UHFFFAOYSA-N -1 1 315.366 1.619 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1coc(C(=O)N(C)C)c1C)C(F)F ZINC000645740701 423162885 /nfs/dbraw/zinc/16/28/85/423162885.db2.gz JDWYAFZDAUFWBS-QMMMGPOBSA-N -1 1 324.349 1.612 20 0 DDADMM CCn1nccc1CN(CCO)C(=O)c1cc(F)ccc1[O-] ZINC000436890219 420380360 /nfs/dbraw/zinc/38/03/60/420380360.db2.gz SLFCWMLINYNJPA-UHFFFAOYSA-N -1 1 307.325 1.382 20 0 DDADMM O=C(C(=O)N1CC(=O)N(Cc2ccccc2)C1)c1ccc([O-])cc1 ZINC000436976053 420389196 /nfs/dbraw/zinc/38/91/96/420389196.db2.gz AHNUBQGWSPLPPF-UHFFFAOYSA-N -1 1 324.336 1.403 20 0 DDADMM COCCN(CCO)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436799104 420367524 /nfs/dbraw/zinc/36/75/24/420367524.db2.gz WUAOZTOGCRASMU-UHFFFAOYSA-N -1 1 307.268 1.492 20 0 DDADMM CCNC(=O)C(C)(C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000416523634 420405320 /nfs/dbraw/zinc/40/53/20/420405320.db2.gz XZTZVIFYILILLW-UHFFFAOYSA-N -1 1 322.789 1.672 20 0 DDADMM CC(=O)c1cccc(C(F)(F)F)c1OCc1nc(=O)n(C)[n-]1 ZINC000450929945 420562914 /nfs/dbraw/zinc/56/29/14/420562914.db2.gz KPTJLSTXZGRVSH-UHFFFAOYSA-N -1 1 315.251 1.909 20 0 DDADMM COc1cc(C(=O)N[C@H](C)CS(C)(=O)=O)cc(Cl)c1[O-] ZINC000442651905 420693134 /nfs/dbraw/zinc/69/31/34/420693134.db2.gz NGQNAJBGXYTXTQ-SSDOTTSWSA-N -1 1 321.782 1.217 20 0 DDADMM CCC[C@H](NC(=O)CCNC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC000492881542 420698519 /nfs/dbraw/zinc/69/85/19/420698519.db2.gz CZSMOSWPIUUMIJ-VIFPVBQESA-N -1 1 312.374 1.072 20 0 DDADMM CCN(C(=O)CCc1c(C)nc(SC)[n-]c1=O)C1COC1 ZINC000453014734 420701686 /nfs/dbraw/zinc/70/16/86/420701686.db2.gz VBTGHAKJZKYHQR-UHFFFAOYSA-N -1 1 311.407 1.392 20 0 DDADMM CCO[C@@H]1CCC[C@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454345491 420842135 /nfs/dbraw/zinc/84/21/35/420842135.db2.gz POVHHRTZPQHPSC-CHWSQXEVSA-N -1 1 301.346 1.611 20 0 DDADMM CCCCNC(=O)CCS(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000447497268 420775667 /nfs/dbraw/zinc/77/56/67/420775667.db2.gz ITUYUYVIVUVXRH-UHFFFAOYSA-N -1 1 316.427 1.182 20 0 DDADMM CCC[C@@H](NC(=O)C=CCOCC(F)(F)F)c1nn[n-]n1 ZINC000493211388 420789197 /nfs/dbraw/zinc/78/91/97/420789197.db2.gz ZBCISGWFPBUEOU-QIUOEGRZSA-N -1 1 307.276 1.292 20 0 DDADMM CCC[C@@H](NC(=O)/C=C\COCC(F)(F)F)c1nn[n-]n1 ZINC000493211388 420789201 /nfs/dbraw/zinc/78/92/01/420789201.db2.gz ZBCISGWFPBUEOU-QIUOEGRZSA-N -1 1 307.276 1.292 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)Cc2ccncc2)o1 ZINC000487086560 421003081 /nfs/dbraw/zinc/00/30/81/421003081.db2.gz QXENYGQCOYDJID-JTQLQIEISA-N -1 1 324.358 1.371 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C1 ZINC000495930033 421096521 /nfs/dbraw/zinc/09/65/21/421096521.db2.gz NYICSWDKLUPARB-MNOVXSKESA-N -1 1 323.397 1.199 20 0 DDADMM CCOC(=O)N1CC[C@@H](N=c2nc(C(F)(F)F)[n-]s2)C1 ZINC000495993931 421115487 /nfs/dbraw/zinc/11/54/87/421115487.db2.gz ZEVMMNXQLRVVHU-ZCFIWIBFSA-N -1 1 310.301 1.622 20 0 DDADMM O=C(C=Cc1ccc(F)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000492239019 421217698 /nfs/dbraw/zinc/21/76/98/421217698.db2.gz LASKRIOIFGNVQS-VVEJJEBESA-N -1 1 301.325 1.758 20 0 DDADMM O=C(NC[C@@H](O)[C@H]1CCOC1)c1ccc2ccccc2c1[O-] ZINC000524244447 421256592 /nfs/dbraw/zinc/25/65/92/421256592.db2.gz AXAOYHXTQLKWCK-SWLSCSKDSA-N -1 1 301.342 1.673 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)N=c2nc[nH][n-]2)nc1 ZINC000496455098 421257081 /nfs/dbraw/zinc/25/70/81/421257081.db2.gz AFBACKJJYQBKNY-UHFFFAOYSA-N -1 1 304.310 1.221 20 0 DDADMM O=C(c1nc[n-]c1C(=O)N1CCn2nccc21)c1ccccc1 ZINC000560816700 421294444 /nfs/dbraw/zinc/29/44/44/421294444.db2.gz BEFKEDYPCWNJRC-UHFFFAOYSA-N -1 1 307.313 1.498 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2cnc(CC)s2)on1 ZINC000547304667 421321800 /nfs/dbraw/zinc/32/18/00/421321800.db2.gz BYXPRBMIMWLRTD-UHFFFAOYSA-N -1 1 301.393 1.734 20 0 DDADMM CC(C)S(=O)(=O)c1cccc(C(=O)Nc2ccncc2[O-])c1 ZINC000548437745 421438296 /nfs/dbraw/zinc/43/82/96/421438296.db2.gz YEBJNPFEQZNTLJ-UHFFFAOYSA-N -1 1 320.370 1.644 20 0 DDADMM CCCCCS(=O)(=O)N[C@H](CN1CCCCC1)C(=O)[O-] ZINC000548551917 421449137 /nfs/dbraw/zinc/44/91/37/421449137.db2.gz VTHMPTVOEXWYDJ-GFCCVEGCSA-N -1 1 306.428 1.035 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@H]1CCO[C@H]1C)C1CCCCC1 ZINC000527483265 421390020 /nfs/dbraw/zinc/39/00/20/421390020.db2.gz JMMRXHFIHXTNCQ-WCFLWFBJSA-N -1 1 319.423 1.205 20 0 DDADMM O=c1[n-]c(-c2cccc(OCCO)n2)nc2ccc(F)cc12 ZINC000527482930 421390281 /nfs/dbraw/zinc/39/02/81/421390281.db2.gz GJGPEGZCCQCHSE-UHFFFAOYSA-N -1 1 301.277 1.908 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2cnc[nH]2)cc1OC ZINC000550793103 421523942 /nfs/dbraw/zinc/52/39/42/421523942.db2.gz DFDYLIOGRHBWHE-UHFFFAOYSA-N -1 1 311.319 1.006 20 0 DDADMM CN1CC[C@H](C(=O)N=c2nc(Cc3ccccc3)[n-]s2)C1 ZINC000548863198 421479471 /nfs/dbraw/zinc/47/94/71/421479471.db2.gz YXXXREARDDHLCQ-LBPRGKRZSA-N -1 1 302.403 1.441 20 0 DDADMM C[C@]1(CO)CN(C(=O)c2c(F)ccc([O-])c2F)C[C@@]1(C)CO ZINC000529963095 421570049 /nfs/dbraw/zinc/57/00/49/421570049.db2.gz QTZSFYFBZRBOCG-GASCZTMLSA-N -1 1 315.316 1.123 20 0 DDADMM CN1CCN(Cc2cc(Br)ccc2C(=O)[O-])CC1 ZINC000517035482 421591015 /nfs/dbraw/zinc/59/10/15/421591015.db2.gz KGLUAXSRDINUMB-UHFFFAOYSA-N -1 1 313.195 1.895 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCc2cccc(O)c21)c1nn[n-]n1 ZINC000551486381 421534079 /nfs/dbraw/zinc/53/40/79/421534079.db2.gz AEAJNISGLKZZBQ-GHMZBOCLSA-N -1 1 301.350 1.593 20 0 DDADMM CCC[C@H](CC)S(=O)(=O)[N-]c1cc(C)n(CC(=O)NC)n1 ZINC000517376996 421611821 /nfs/dbraw/zinc/61/18/21/421611821.db2.gz PNNNFDJRQHLDFG-NSHDSACASA-N -1 1 316.427 1.258 20 0 DDADMM O=C([N-]c1ncn(C2CCCCC2)n1)c1ccnc2n[nH]nc21 ZINC000553555775 421621139 /nfs/dbraw/zinc/62/11/39/421621139.db2.gz BOFQXBBGFRNSBE-UHFFFAOYSA-N -1 1 312.337 1.702 20 0 DDADMM COC[C@]1(C(=O)[O-])CC[N@@H+](Cc2nc(CCC(C)C)no2)C1 ZINC000571649058 421735227 /nfs/dbraw/zinc/73/52/27/421735227.db2.gz LORGDGMZYVERRF-HNNXBMFYSA-N -1 1 311.382 1.581 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(Cc2nc(CCC(C)C)no2)C1 ZINC000571649058 421735228 /nfs/dbraw/zinc/73/52/28/421735228.db2.gz LORGDGMZYVERRF-HNNXBMFYSA-N -1 1 311.382 1.581 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2cc(F)ccc2[O-])[C@H]1n1cccn1 ZINC000572037381 421761053 /nfs/dbraw/zinc/76/10/53/421761053.db2.gz GHWDATGIPNBIQF-NWANDNLSSA-N -1 1 319.336 1.876 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1C[C@H]1c1cccc(O)c1)c1nn[n-]n1 ZINC000571980848 421757134 /nfs/dbraw/zinc/75/71/34/421757134.db2.gz XKWSPLZYJKUOPS-XQQFMLRXSA-N -1 1 301.350 1.666 20 0 DDADMM Cc1sc(NCc2nccc(C(F)(F)F)n2)nc1C(=O)[O-] ZINC000572486708 421793529 /nfs/dbraw/zinc/79/35/29/421793529.db2.gz GQZDTXSJJTZALW-UHFFFAOYSA-N -1 1 318.280 1.993 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2cncc([O-])c2)c2ccccc2O1 ZINC000559538686 421835376 /nfs/dbraw/zinc/83/53/76/421835376.db2.gz RLQRRYYCFQMZBJ-CQSZACIVSA-N -1 1 314.297 1.368 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cnn(CC3CC3)c2)c1Br ZINC000633346455 421855049 /nfs/dbraw/zinc/85/50/49/421855049.db2.gz GIYGOIQQYWBOOR-UHFFFAOYSA-N -1 1 324.182 1.761 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1nsc2ccccc12)c1nn[n-]n1 ZINC000573084918 421912542 /nfs/dbraw/zinc/91/25/42/421912542.db2.gz YASXPFPPUPYJOL-VIFPVBQESA-N -1 1 316.390 1.614 20 0 DDADMM Cc1nc([C@@H](C)NC(=O)[C@H](C)Cc2cnc[nH]2)sc1C(=O)[O-] ZINC000630157802 421925699 /nfs/dbraw/zinc/92/56/99/421925699.db2.gz AMIPSFDXWXWDDV-VXNVDRBHSA-N -1 1 322.390 1.929 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCCOc2ccc(F)cc21 ZINC000635410172 421965809 /nfs/dbraw/zinc/96/58/09/421965809.db2.gz FAARECDVEYRXFQ-GFCCVEGCSA-N -1 1 319.340 1.692 20 0 DDADMM Cc1cc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c(C)s1 ZINC000630222553 421972520 /nfs/dbraw/zinc/97/25/20/421972520.db2.gz FXTFDTJTPNNYAN-JTQLQIEISA-N -1 1 310.419 1.986 20 0 DDADMM CO[C@H]1C[C@H](CC(=O)[O-])N(Cc2ccn(-c3ccccc3)n2)C1 ZINC000581672518 422025975 /nfs/dbraw/zinc/02/59/75/422025975.db2.gz OQTOCUQGCRYUAU-CVEARBPZSA-N -1 1 315.373 1.936 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@](C)(O)CCC(C)C)sn1 ZINC000632021440 422026771 /nfs/dbraw/zinc/02/67/71/422026771.db2.gz IHRYMESVDUKOHV-LBPRGKRZSA-N -1 1 306.453 1.917 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)c2cnn(C)c2)c1 ZINC000632024366 422030797 /nfs/dbraw/zinc/03/07/97/422030797.db2.gz KVIROWXMDWDMGW-SECBINFHSA-N -1 1 311.363 1.174 20 0 DDADMM Cc1ccc2c(c1C)OC[C@@H]2NC(=O)CCCc1nn[n-]n1 ZINC000635493670 422041752 /nfs/dbraw/zinc/04/17/52/422041752.db2.gz BJNWARCRESXRGR-LBPRGKRZSA-N -1 1 301.350 1.389 20 0 DDADMM CCN1C[C@@H](CNC(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000633571791 421976076 /nfs/dbraw/zinc/97/60/76/421976076.db2.gz HBNADNRSLOIHIU-LLVKDONJSA-N -1 1 310.781 1.573 20 0 DDADMM CCOc1cccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1 ZINC000630225262 421976237 /nfs/dbraw/zinc/97/62/37/421976237.db2.gz YRZIQKFDJHLSSQ-UHFFFAOYSA-N -1 1 320.389 1.706 20 0 DDADMM CC[C@H](C)[C@H](OC)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630227161 421979094 /nfs/dbraw/zinc/97/90/94/421979094.db2.gz IBCUXSBFUDHIER-RYUDHWBXSA-N -1 1 300.399 1.055 20 0 DDADMM CCCCCc1cc(C(=O)N2CC[C@](OC)(C(=O)[O-])C2)n[nH]1 ZINC000630255371 421994177 /nfs/dbraw/zinc/99/41/77/421994177.db2.gz ISNSDELWKUTRTI-OAHLLOKOSA-N -1 1 309.366 1.458 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CC[C@H](C(=O)[O-])[C@@H]2CCC[C@@H]21 ZINC000630282010 422004541 /nfs/dbraw/zinc/00/45/41/422004541.db2.gz GWIXLLADRUINBP-SAXRGWBVSA-N -1 1 305.378 1.690 20 0 DDADMM O=C(Cn1nc(C(F)F)cc1C1CC1)N=c1ccc([O-])n[nH]1 ZINC000637127194 422016455 /nfs/dbraw/zinc/01/64/55/422016455.db2.gz JPLRLABWBOTZGL-UHFFFAOYSA-N -1 1 309.276 1.254 20 0 DDADMM CC[C@@H](C)[C@](C)(O)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632011582 422019989 /nfs/dbraw/zinc/01/99/89/422019989.db2.gz ZOBUBEOJOZQNSH-QMTHXVAHSA-N -1 1 317.407 1.476 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cccnc2C(F)(F)F)n[n-]1 ZINC000630417699 422088142 /nfs/dbraw/zinc/08/81/42/422088142.db2.gz WPDKWKRLNBUDLB-UHFFFAOYSA-N -1 1 320.296 1.755 20 0 DDADMM CC(C)(C)C(=O)CCCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000574602353 422142943 /nfs/dbraw/zinc/14/29/43/422142943.db2.gz BTMXSEMOBIGLBY-LLVKDONJSA-N -1 1 307.398 1.691 20 0 DDADMM CCCN(C(=O)CCCc1nn[n-]n1)[C@H]1C[C@@H](OCC)C1(C)C ZINC000635598670 422151804 /nfs/dbraw/zinc/15/18/04/422151804.db2.gz MBSXBRJNADQWND-QWHCGFSZSA-N -1 1 323.441 1.965 20 0 DDADMM Cc1ccc(O[C@H](C)CNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635615649 422165682 /nfs/dbraw/zinc/16/56/82/422165682.db2.gz LIXVVRKTZUOCRW-GFCCVEGCSA-N -1 1 303.366 1.415 20 0 DDADMM CNC(=O)[C@H]1CCC[C@H]1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632217455 422172082 /nfs/dbraw/zinc/17/20/82/422172082.db2.gz AIBFQXQJFJODIF-CMPLNLGQSA-N -1 1 310.781 1.619 20 0 DDADMM CCO[C@@H](CC)C(=O)N=c1[nH][n-]c(CC)c1Br ZINC000582167936 422121425 /nfs/dbraw/zinc/12/14/25/422121425.db2.gz JEIOZIWGPDXYOL-QMMMGPOBSA-N -1 1 304.188 1.910 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000630482678 422128453 /nfs/dbraw/zinc/12/84/53/422128453.db2.gz GKJBFDKXRJTLKK-GXTWGEPZSA-N -1 1 305.378 1.375 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCOC[C@@H]2C)c1 ZINC000632333145 422255304 /nfs/dbraw/zinc/25/53/04/422255304.db2.gz JPDPEDOJTUZIPQ-QWRGUYRKSA-N -1 1 315.391 1.352 20 0 DDADMM CN1CCC[C@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C1=O ZINC000628640415 422270737 /nfs/dbraw/zinc/27/07/37/422270737.db2.gz ADDPTXOTFATQAO-JTQLQIEISA-N -1 1 316.279 1.762 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)[C@@H](O)C(F)F ZINC000632390854 422300841 /nfs/dbraw/zinc/30/08/41/422300841.db2.gz LQNWDCXODNWCAB-YLWLKBPMSA-N -1 1 319.276 1.900 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2cncc(F)c2)CC1 ZINC000575862432 422339437 /nfs/dbraw/zinc/33/94/37/422339437.db2.gz IZGZFVCSOZAHAA-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCn2cccc2[C@H]1c1ccccc1 ZINC000630806801 422347314 /nfs/dbraw/zinc/34/73/14/422347314.db2.gz JLUCQTXIEICFHW-QGZVFWFLSA-N -1 1 322.372 1.566 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1COc2cc(F)cc(F)c21 ZINC000635795193 422351774 /nfs/dbraw/zinc/35/17/74/422351774.db2.gz QDZJBMRVJGJWTP-VIFPVBQESA-N -1 1 309.276 1.051 20 0 DDADMM C[C@@](CO)(Cc1ccccc1F)NC(=O)c1cncc([O-])c1 ZINC000634281061 422363951 /nfs/dbraw/zinc/36/39/51/422363951.db2.gz KQWLLSHTERNTRH-INIZCTEOSA-N -1 1 304.321 1.650 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)Cc2ccc([O-])c(Cl)c2)[C@@H]1OC ZINC000632462536 422364117 /nfs/dbraw/zinc/36/41/17/422364117.db2.gz RHBLVXIZQZPKRH-KYOSRNDESA-N -1 1 313.781 1.897 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCO[C@@]2(CCOC2)C1 ZINC000632511572 422400999 /nfs/dbraw/zinc/40/09/99/422400999.db2.gz OBFRGXITNJELOV-HNNXBMFYSA-N -1 1 311.765 1.606 20 0 DDADMM CN(Cc1ncnn1CC(F)F)C1(C(=O)[O-])CCCCC1 ZINC000577651244 422403043 /nfs/dbraw/zinc/40/30/43/422403043.db2.gz FDFDFWCGTMTAMA-UHFFFAOYSA-N -1 1 302.325 1.763 20 0 DDADMM CCCOc1ccc(CNC(=O)CCCc2nn[n-]n2)c(C)c1 ZINC000635853138 422408126 /nfs/dbraw/zinc/40/81/26/422408126.db2.gz KQCLBLJKFBTIOO-UHFFFAOYSA-N -1 1 317.393 1.936 20 0 DDADMM O=C(C(=O)N1CC[C@H](O)[C@@H]2CCCC[C@@H]21)c1ccc([O-])cc1 ZINC000634486609 422453773 /nfs/dbraw/zinc/45/37/73/422453773.db2.gz FFTAPZAGJTZHRK-ILXRZTDVSA-N -1 1 303.358 1.727 20 0 DDADMM CC(C)(C)CCN1CCN(C(=O)c2ccc(C(=O)[O-])cn2)CC1 ZINC000615206817 422497104 /nfs/dbraw/zinc/49/71/04/422497104.db2.gz YBARSKAYJJOWDB-UHFFFAOYSA-N -1 1 319.405 1.974 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H](C)C(O)[C@H](C)C3)cnc2n1 ZINC000622162232 422537979 /nfs/dbraw/zinc/53/79/79/422537979.db2.gz BEYCULCDNMEAOM-NXEZZACHSA-N -1 1 315.373 1.733 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@@H](C)C(O)[C@H](C)C3)c[n-]c2n1 ZINC000622162232 422537981 /nfs/dbraw/zinc/53/79/81/422537981.db2.gz BEYCULCDNMEAOM-NXEZZACHSA-N -1 1 315.373 1.733 20 0 DDADMM NC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632722390 422542388 /nfs/dbraw/zinc/54/23/88/422542388.db2.gz KKZBECPNZBMODK-ZETOZRRWSA-N -1 1 322.792 1.701 20 0 DDADMM O=S(=O)([N-][C@@H]1CCn2cncc2C1)c1sccc1Cl ZINC000634661222 422544244 /nfs/dbraw/zinc/54/42/44/422544244.db2.gz MKYRINRGQDFOIL-MRVPVSSYSA-N -1 1 317.823 1.891 20 0 DDADMM C[C@@H]1CN(c2ccccc2F)CCN1C(=O)CCc1nn[n-]n1 ZINC000632730649 422545950 /nfs/dbraw/zinc/54/59/50/422545950.db2.gz ORWJNZZZHHGCCN-LLVKDONJSA-N -1 1 318.356 1.009 20 0 DDADMM COc1cc(NCCCNC(=O)c2ccc([O-])c(F)c2)ncn1 ZINC000636031152 422582066 /nfs/dbraw/zinc/58/20/66/422582066.db2.gz KIMWLAMBJWMUKX-UHFFFAOYSA-N -1 1 320.324 1.562 20 0 DDADMM O=C(c1cnn(CC2CC2)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000632842978 422621847 /nfs/dbraw/zinc/62/18/47/422621847.db2.gz AQLFOHUOEYMTBK-NSHDSACASA-N -1 1 317.349 1.402 20 0 DDADMM COC(=O)c1cccc(CS(=O)(=O)c2ccc([O-])cc2)n1 ZINC000578721136 422629817 /nfs/dbraw/zinc/62/98/17/422629817.db2.gz WXDISFXWFSCBCK-UHFFFAOYSA-N -1 1 307.327 1.548 20 0 DDADMM O=C(c1cnn(CC2CC2)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000632877610 422639935 /nfs/dbraw/zinc/63/99/35/422639935.db2.gz WDAAVRVDHPTOEL-UHFFFAOYSA-N -1 1 317.349 1.402 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2c(C)ccn(C)c2=O)CCC1 ZINC000634789789 422649789 /nfs/dbraw/zinc/64/97/89/422649789.db2.gz DJRTZZPWBUZYGI-UHFFFAOYSA-N -1 1 300.380 1.005 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](n3ccnn3)CC2)c([O-])c1 ZINC000636191164 422702755 /nfs/dbraw/zinc/70/27/55/422702755.db2.gz XSGCOXOLFAYSIU-LBPRGKRZSA-N -1 1 301.350 1.555 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@H]3CCc4cncn4C3)n2)o1 ZINC000579112327 422707734 /nfs/dbraw/zinc/70/77/34/422707734.db2.gz CQDXQITXAJWMIH-JTQLQIEISA-N -1 1 312.333 1.771 20 0 DDADMM COc1ccccc1[C@@H]1C[C@H]1NC(=O)CCCc1nn[n-]n1 ZINC000636204586 422713662 /nfs/dbraw/zinc/71/36/62/422713662.db2.gz KVFJABIPBHMHDF-NWDGAFQWSA-N -1 1 301.350 1.203 20 0 DDADMM CN(c1ccccc1)[C@@H]1CCN(C(=O)CCCc2nn[n-]n2)C1 ZINC000636226731 422729999 /nfs/dbraw/zinc/72/99/99/422729999.db2.gz CDXKFZJXOGKLDB-CQSZACIVSA-N -1 1 314.393 1.260 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@]3(C)CCNC3=O)[nH][n-]2)s1 ZINC000636142972 422673736 /nfs/dbraw/zinc/67/37/36/422673736.db2.gz GJVUHHHHADXDSJ-AWEZNQCLSA-N -1 1 304.375 1.333 20 0 DDADMM O=C([O-])C[C@H]1CCCCN1[C@H]1CCN(CC(F)(F)F)C1=O ZINC000650505707 423178364 /nfs/dbraw/zinc/17/83/64/423178364.db2.gz NPXOVQBUDHETEA-ZJUUUORDSA-N -1 1 308.300 1.479 20 0 DDADMM CC[C@@H](C)C[C@H](CO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000645832107 423206537 /nfs/dbraw/zinc/20/65/37/423206537.db2.gz WMUMIXLTQIAKEW-LDYMZIIASA-N -1 1 306.453 1.835 20 0 DDADMM O=C(N[C@@H](CO)CCF)c1cc(Br)ccc1[O-] ZINC000652921088 423215979 /nfs/dbraw/zinc/21/59/79/423215979.db2.gz NOCKYYIKQUEELR-MRVPVSSYSA-N -1 1 306.131 1.605 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1ccccc1-n1cncn1 ZINC000643621166 423216573 /nfs/dbraw/zinc/21/65/73/423216573.db2.gz DKZPXOOXUWIQCT-UHFFFAOYSA-N -1 1 322.390 1.436 20 0 DDADMM O=C([O-])c1cc(N2CCC(CCN3CCOCC3)CC2)ccn1 ZINC000650772515 423254913 /nfs/dbraw/zinc/25/49/13/423254913.db2.gz ZMSUFUNCSQGQFU-UHFFFAOYSA-N -1 1 319.405 1.719 20 0 DDADMM Cc1cc(C(=O)Nc2ccncc2[O-])ccc1N1CCOCC1 ZINC000648842536 423496116 /nfs/dbraw/zinc/49/61/16/423496116.db2.gz BKNJXZDVSRHPCS-UHFFFAOYSA-N -1 1 313.357 1.606 20 0 DDADMM CC(=O)N[C@@H](CC(=O)Nc1c(C)[n-][nH]c1=O)c1ccc(C)cc1 ZINC000646413147 423479909 /nfs/dbraw/zinc/47/99/09/423479909.db2.gz QNLTUPDPZCQLAX-ZDUSSCGKSA-N -1 1 316.361 1.938 20 0 DDADMM CC(C)[C@@H](CNC(=O)Nc1cnn(-c2ccncc2)c1)C(=O)[O-] ZINC000653540158 423519957 /nfs/dbraw/zinc/51/99/57/423519957.db2.gz ZOSZBWPUAQIOTB-CYBMUJFWSA-N -1 1 317.349 1.746 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)C[C@H]2C(C)(C)C2(F)F)n1 ZINC000641311419 423543927 /nfs/dbraw/zinc/54/39/27/423543927.db2.gz OZKLUXOVFVSOEP-XPUUQOCRSA-N -1 1 309.338 1.650 20 0 DDADMM O=C(C(=O)N1CCN2CC(F)(F)C[C@H]2C1)c1ccc([O-])cc1 ZINC000648942142 423556737 /nfs/dbraw/zinc/55/67/37/423556737.db2.gz APKWVHGZFIDWHQ-NSHDSACASA-N -1 1 310.300 1.127 20 0 DDADMM Cc1nc(=NC(=O)[C@@H]2CCN(Cc3ccccc3)C2)s[n-]1 ZINC000646875745 423652898 /nfs/dbraw/zinc/65/28/98/423652898.db2.gz QQVPHZHTXGABTE-CYBMUJFWSA-N -1 1 302.403 1.729 20 0 DDADMM O=C(c1cn(C2CCC2)nn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000649219513 423684280 /nfs/dbraw/zinc/68/42/80/423684280.db2.gz AIMFDIRZXZXGTL-UHFFFAOYSA-N -1 1 318.337 1.112 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccccc1S(C)(=O)=O)C(F)F ZINC000641701717 423883733 /nfs/dbraw/zinc/88/37/33/423883733.db2.gz JKBSGEXJWHEGLX-ZETCQYMHSA-N -1 1 313.347 1.022 20 0 DDADMM Cc1cccc(CN2CCN(c3ncncc3C(=O)[O-])CC2)c1 ZINC000649383255 423832481 /nfs/dbraw/zinc/83/24/81/423832481.db2.gz KUEVKZGCEXKCAD-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM CSc1n[nH]c([N-]S(=O)(=O)CCCC2CCOCC2)n1 ZINC000647265822 423928280 /nfs/dbraw/zinc/92/82/80/423928280.db2.gz FGIVJOCXMWCKNE-UHFFFAOYSA-N -1 1 320.440 1.475 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc2c(c1)ncn2C)C(C)C ZINC000647267558 423930888 /nfs/dbraw/zinc/93/08/88/423930888.db2.gz NMMYKINKTNWPMU-CQSZACIVSA-N -1 1 311.407 1.986 20 0 DDADMM CC(=O)CCC(C)(C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644886896 424018806 /nfs/dbraw/zinc/01/88/06/424018806.db2.gz ZMEKTKZZVXJWNQ-UHFFFAOYSA-N -1 1 309.366 1.877 20 0 DDADMM COc1ccc(CN(Cc2n[nH]c(=O)[n-]2)[C@H](C)CCCO)cc1 ZINC000640016014 424072025 /nfs/dbraw/zinc/07/20/25/424072025.db2.gz HVILTZPPKVEDDU-GFCCVEGCSA-N -1 1 320.393 1.682 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CCC[C@@H](OCCO)C1 ZINC000640339924 424364748 /nfs/dbraw/zinc/36/47/48/424364748.db2.gz ZVRBBMVFLQMMCT-LLVKDONJSA-N -1 1 321.402 1.723 20 0 DDADMM CCN(CCOc1ccccc1OC)Cc1nc(=O)n(C)[n-]1 ZINC000662205582 424473454 /nfs/dbraw/zinc/47/34/54/424473454.db2.gz QNVMBCUSBFWJOU-UHFFFAOYSA-N -1 1 306.366 1.018 20 0 DDADMM C[C@]1(c2ccccc2)CCN([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662207513 424474070 /nfs/dbraw/zinc/47/40/70/424474070.db2.gz YRXOGPPEEGVVKO-YOEHRIQHSA-N -1 1 302.374 1.336 20 0 DDADMM C[C@@H]1C[C@H](c2ccccc2F)N([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662207318 424475339 /nfs/dbraw/zinc/47/53/39/424475339.db2.gz XAJAEQTXFMZZLJ-BYCMXARLSA-N -1 1 320.364 1.894 20 0 DDADMM CC[C@H]1Cc2ccccc2CN1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662211552 424478952 /nfs/dbraw/zinc/47/89/52/424478952.db2.gz QLTAYFPADQGVCR-LSDHHAIUSA-N -1 1 302.374 1.509 20 0 DDADMM COc1ccc2c(c1)ncc(C(=O)N=c1cc[nH]c(C)c1)c2O ZINC000341902560 271240673 /nfs/dbraw/zinc/24/06/73/271240673.db2.gz POJCKFKAQQZRSD-UHFFFAOYSA-N -1 1 309.325 1.914 20 0 DDADMM COc1ccc2c(c1)ncc(C(=O)N=c1cc[nH]c(C)c1)c2[O-] ZINC000341902560 271240676 /nfs/dbraw/zinc/24/06/76/271240676.db2.gz POJCKFKAQQZRSD-UHFFFAOYSA-N -1 1 309.325 1.914 20 0 DDADMM CC(C)(NC(=O)c1cc(F)c(Cl)cc1F)c1nn[n-]n1 ZINC000344558331 272058375 /nfs/dbraw/zinc/05/83/75/272058375.db2.gz YCOAAKIUJCLVTG-UHFFFAOYSA-N -1 1 301.684 1.796 20 0 DDADMM C[C@@H]1C[C@H](C)CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000344729953 272102995 /nfs/dbraw/zinc/10/29/95/272102995.db2.gz KTMSWWRWNXTGNG-VXGBXAGGSA-N -1 1 305.378 1.412 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000345512203 272269679 /nfs/dbraw/zinc/26/96/79/272269679.db2.gz PNSCDMZRQMWJFE-MWLCHTKSSA-N -1 1 318.345 1.158 20 0 DDADMM COc1cc(OC)cc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000345536311 272276149 /nfs/dbraw/zinc/27/61/49/272276149.db2.gz IHSDTKSKMLLBGV-UHFFFAOYSA-N -1 1 317.349 1.416 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCOC(C)C)c1ccsc1 ZINC000345578864 272283445 /nfs/dbraw/zinc/28/34/45/272283445.db2.gz YLKNUPNTIKBLMX-LLVKDONJSA-N -1 1 321.420 1.307 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC1CCC1)c1ccsc1 ZINC000345587979 272285785 /nfs/dbraw/zinc/28/57/85/272285785.db2.gz ONCPDECMCGSWAF-LLVKDONJSA-N -1 1 303.405 1.682 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H]3CC[C@@H](C(=O)[O-])O3)[nH]c2c1 ZINC000346097037 272411900 /nfs/dbraw/zinc/41/19/00/272411900.db2.gz RXSLQGYORSFPKI-NEPJUHHUSA-N -1 1 303.318 1.120 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3ncc(C)cc3[O-])[C@@H]2C1 ZINC000495179708 277017809 /nfs/dbraw/zinc/01/78/09/277017809.db2.gz FRGHKLXFGZGMRN-MJBXVCDLSA-N -1 1 317.389 1.472 20 0 DDADMM CC(C)CN(CC(N)=O)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180780275 277378397 /nfs/dbraw/zinc/37/83/97/277378397.db2.gz KJDQQUDTRQQWPJ-UHFFFAOYSA-N -1 1 318.295 1.995 20 0 DDADMM CC(C)c1[nH]nc(C(=O)Nc2nn[n-]n2)c1Br ZINC000106907727 278069416 /nfs/dbraw/zinc/06/94/16/278069416.db2.gz DSSFUXMVRRALGN-UHFFFAOYSA-N -1 1 300.120 1.061 20 0 DDADMM NC(=O)CN(CCC1CCCCC1)C(=O)c1ncccc1[O-] ZINC000125161898 281203075 /nfs/dbraw/zinc/20/30/75/281203075.db2.gz OLNDWMXOKQDACV-UHFFFAOYSA-N -1 1 305.378 1.685 20 0 DDADMM O=C(c1ccccc1-n1cccn1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129489128 281346532 /nfs/dbraw/zinc/34/65/32/281346532.db2.gz RHUGSVONBJBJAK-GFCCVEGCSA-N -1 1 323.360 1.405 20 0 DDADMM Cc1[nH]c2ccccc2c1CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129525087 281347996 /nfs/dbraw/zinc/34/79/96/281347996.db2.gz QSTKVRIZJALFID-LBPRGKRZSA-N -1 1 324.388 1.938 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1c2ccccc2CC[C@H]1C ZINC000331946633 294015949 /nfs/dbraw/zinc/01/59/49/294015949.db2.gz MUEPDNBCRCOBTB-NOZJJQNGSA-N -1 1 321.402 1.997 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1c2ccccc2CC[C@H]1C ZINC000331946633 294015956 /nfs/dbraw/zinc/01/59/56/294015956.db2.gz MUEPDNBCRCOBTB-NOZJJQNGSA-N -1 1 321.402 1.997 20 0 DDADMM C[C@@H]1C[C@@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)CN1C1CC1 ZINC000185102581 297284892 /nfs/dbraw/zinc/28/48/92/297284892.db2.gz QHCXFICGOAJPQC-ZYHUDNBSSA-N -1 1 324.402 1.288 20 0 DDADMM O=C(CNC(=O)c1cncc([O-])c1)N1CCCc2ccccc21 ZINC000171866321 298237674 /nfs/dbraw/zinc/23/76/74/298237674.db2.gz HGESNGCESPUGNB-UHFFFAOYSA-N -1 1 311.341 1.496 20 0 DDADMM CCn1cnc(S(=O)(=O)[N-]c2ccc3c(C)[nH]nc3c2)c1 ZINC000354215410 298367645 /nfs/dbraw/zinc/36/76/45/298367645.db2.gz DBLFFPRQLQCQGD-UHFFFAOYSA-N -1 1 305.363 1.889 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)c1ncnc2[nH]cnc21 ZINC000357325823 299008502 /nfs/dbraw/zinc/00/85/02/299008502.db2.gz CYMJJOODTMHYHM-UHFFFAOYSA-N -1 1 313.346 1.700 20 0 DDADMM CCOc1cc(C(=O)NCc2cncnc2N)cc(Cl)c1[O-] ZINC000357985357 299042621 /nfs/dbraw/zinc/04/26/21/299042621.db2.gz JTADAQPQQDJAPF-UHFFFAOYSA-N -1 1 322.752 1.577 20 0 DDADMM O=C(c1ccc2ccccc2c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363395002 300262199 /nfs/dbraw/zinc/26/21/99/300262199.db2.gz GYIFOMQQZQVVKR-CQSZACIVSA-N -1 1 309.329 1.567 20 0 DDADMM O=C([C@@H]1CCCc2ccccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363394998 300262256 /nfs/dbraw/zinc/26/22/56/300262256.db2.gz GTPRCMWPZQYYGV-ZIAGYGMSSA-N -1 1 313.361 1.220 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@@]3(C)CNC(=O)C3)[nH][n-]2)c1 ZINC000364620700 300421778 /nfs/dbraw/zinc/42/17/78/300421778.db2.gz FAGNDKHMOAXEDI-MRXNPFEDSA-N -1 1 316.336 1.411 20 0 DDADMM COc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)ccc1Cl ZINC000368580169 301057092 /nfs/dbraw/zinc/05/70/92/301057092.db2.gz LRWKZNBNUQNMIV-NSHDSACASA-N -1 1 323.740 1.075 20 0 DDADMM O=C([C@H](F)CC1CCCCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000369141527 301136305 /nfs/dbraw/zinc/13/63/05/301136305.db2.gz CDAJSTBABYXKLV-VXGBXAGGSA-N -1 1 311.361 1.408 20 0 DDADMM Cn1ccc(N2CC[C@@H](NC(=O)c3cc(F)ccc3[O-])C2)n1 ZINC000370264081 301304792 /nfs/dbraw/zinc/30/47/92/301304792.db2.gz FJXIZNBTLISVRW-LLVKDONJSA-N -1 1 304.325 1.274 20 0 DDADMM O=C(Nc1cc(-c2cccnc2)nn1CCO)c1ccccc1[O-] ZINC000373923886 301745595 /nfs/dbraw/zinc/74/55/95/301745595.db2.gz PUTIDBVEHIYZDU-UHFFFAOYSA-N -1 1 324.340 1.895 20 0 DDADMM O=C(COc1ccccc1)N1CC[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000374686514 301837343 /nfs/dbraw/zinc/83/73/43/301837343.db2.gz MYKDKEMQPDSMNT-SNVBAGLBSA-N -1 1 316.279 1.345 20 0 DDADMM O=C(c1cccc(-n2cccc2)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000377603069 302197087 /nfs/dbraw/zinc/19/70/87/302197087.db2.gz UMNRSNCLFBRVJB-CQSZACIVSA-N -1 1 324.344 1.204 20 0 DDADMM CCO[C@H]1C[C@H](NS(=O)(=O)c2c(C)o[n-]c2=N)C12CCC2 ZINC000377863677 302244034 /nfs/dbraw/zinc/24/40/34/302244034.db2.gz IQTWQDFBXZABJG-UWVGGRQHSA-N -1 1 315.395 1.022 20 0 DDADMM CCO[C@H]1C[C@H]([N-]S(=O)(=O)c2c(C)onc2N)C12CCC2 ZINC000377863677 302244036 /nfs/dbraw/zinc/24/40/36/302244036.db2.gz IQTWQDFBXZABJG-UWVGGRQHSA-N -1 1 315.395 1.022 20 0 DDADMM CCOc1cc(C(=O)NCCNC(=O)OC)cc(Cl)c1[O-] ZINC000495978243 302507530 /nfs/dbraw/zinc/50/75/30/302507530.db2.gz IXBIWFAIILUEBB-UHFFFAOYSA-N -1 1 316.741 1.530 20 0 DDADMM O=S(=O)([N-]C[C@H]1COCCO1)c1cc2cc(F)ccc2o1 ZINC000516148033 302784480 /nfs/dbraw/zinc/78/44/80/302784480.db2.gz MPPMZERBEMHOGM-NSHDSACASA-N -1 1 315.322 1.266 20 0 DDADMM CC[C@H](C)[C@H](OC)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000356628169 306858396 /nfs/dbraw/zinc/85/83/96/306858396.db2.gz TWXFATWOVUHOKM-UFBFGSQYSA-N -1 1 316.379 1.039 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1Cc2ccc(Cl)cc2C1 ZINC000416562993 302865685 /nfs/dbraw/zinc/86/56/85/302865685.db2.gz QEYJHTQCHAJCEO-UHFFFAOYSA-N -1 1 313.766 1.753 20 0 DDADMM Cn1cc(C[C@@H]2CCN(C(=O)C(=O)c3ccc([O-])cc3)C2)cn1 ZINC000520634264 302865756 /nfs/dbraw/zinc/86/57/56/302865756.db2.gz MPDBMSXBNRSGCR-LBPRGKRZSA-N -1 1 313.357 1.400 20 0 DDADMM O=S(=O)([N-]CC12CC(C1)CO2)c1c(F)cccc1Cl ZINC000528527494 303039619 /nfs/dbraw/zinc/03/96/19/303039619.db2.gz GIHOYJCTFDGKIU-UHFFFAOYSA-N -1 1 305.758 1.936 20 0 DDADMM CC(C)(CNC(=O)c1cc(F)ccc1[O-])C(=O)N1CCCC1 ZINC000530084792 303174131 /nfs/dbraw/zinc/17/41/31/303174131.db2.gz HZPPLNNISUVVII-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM O=C([O-])C1(NCC(=O)NCCOc2ccc(F)cc2)CCCC1 ZINC000532880918 303300642 /nfs/dbraw/zinc/30/06/42/303300642.db2.gz FGNVPFRZWRCHTC-UHFFFAOYSA-N -1 1 324.352 1.308 20 0 DDADMM O=c1cc(CN2CCC(Nc3ccccn3)CC2)nc2cc[n-]n21 ZINC000534863049 303337718 /nfs/dbraw/zinc/33/77/18/303337718.db2.gz VANLJPCCPLBFQU-UHFFFAOYSA-N -1 1 324.388 1.494 20 0 DDADMM O=C1CC[C@@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)CN1 ZINC000362130136 307014747 /nfs/dbraw/zinc/01/47/47/307014747.db2.gz PNXIIMCOUXVFAC-SSDOTTSWSA-N -1 1 306.746 1.036 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@H]1CC(=O)N(c2nccs2)C1 ZINC000363524912 307030184 /nfs/dbraw/zinc/03/01/84/307030184.db2.gz JDAJIKNRZVPESD-LURJTMIESA-N -1 1 309.269 1.106 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCc2cc[nH]c(=O)c2C1 ZINC000365195176 307061824 /nfs/dbraw/zinc/06/18/24/307061824.db2.gz ZPQDHVKFBWYJGD-UHFFFAOYSA-N -1 1 322.324 1.222 20 0 DDADMM C[C@@H]1C[C@H](c2cccs2)CN1CCS(=O)(=O)CC(=O)[O-] ZINC000370683062 307132378 /nfs/dbraw/zinc/13/23/78/307132378.db2.gz TZSJMVKPIAWBHP-MNOVXSKESA-N -1 1 317.432 1.425 20 0 DDADMM CC[C@@H]1CCCC[C@H]1OCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000373316258 307184477 /nfs/dbraw/zinc/18/44/77/307184477.db2.gz DQDLZTMFUIHKMG-MGPQQGTHSA-N -1 1 321.425 1.891 20 0 DDADMM CCc1nccn1C[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000375286250 307220280 /nfs/dbraw/zinc/22/02/80/307220280.db2.gz JEJAFNLTKSGPMQ-GFCCVEGCSA-N -1 1 300.362 1.851 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H]3c4ccccc4C[C@@H]3O)ccnc1-2 ZINC000376642824 307251112 /nfs/dbraw/zinc/25/11/12/307251112.db2.gz ORVRRJBRLOSVFV-YIGQXFKFSA-N -1 1 323.356 1.122 20 0 DDADMM C[C@H](CC(C)(C)O)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000395012926 307295732 /nfs/dbraw/zinc/29/57/32/307295732.db2.gz KGICKEBETMVFGF-SSDOTTSWSA-N -1 1 311.325 1.932 20 0 DDADMM CCC[C@@H]1CN(c2ncc(C(=O)[O-])cn2)CCN1CCOC ZINC000565035939 308017370 /nfs/dbraw/zinc/01/73/70/308017370.db2.gz ONUQUKIBXUHGCT-CYBMUJFWSA-N -1 1 308.382 1.112 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1n[nH]cc1Br)C1CC1 ZINC000565055308 308018201 /nfs/dbraw/zinc/01/82/01/308018201.db2.gz IOOSPHQISXKJIT-MRVPVSSYSA-N -1 1 324.200 1.339 20 0 DDADMM CCn1cnc(S(=O)(=O)[N-]c2cc(C)nc3c2CCCC3)c1 ZINC000568604588 308123771 /nfs/dbraw/zinc/12/37/71/308123771.db2.gz DJEZTLPGZRYTFZ-UHFFFAOYSA-N -1 1 320.418 1.708 20 0 DDADMM COc1cc(CN2CCC([C@@H]3OCC[C@@H]3C(=O)[O-])CC2)ccn1 ZINC000569130029 308134593 /nfs/dbraw/zinc/13/45/93/308134593.db2.gz SMKIXOHKYCZMMZ-HOCLYGCPSA-N -1 1 320.389 1.792 20 0 DDADMM O=C(CS(=O)(=O)C1CC1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000576757485 308337555 /nfs/dbraw/zinc/33/75/55/308337555.db2.gz MBRWTKMLZUUGRM-UHFFFAOYSA-N -1 1 321.358 1.298 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)C(=O)c1ccc2c(c1)CCC2 ZINC000576834608 308343422 /nfs/dbraw/zinc/34/34/22/308343422.db2.gz MIWMTAOBSRVSGE-UHFFFAOYSA-N -1 1 313.313 1.506 20 0 DDADMM COC(=O)c1[n-]cnc1NC(=O)C(=O)c1ccc2c(c1)CCC2 ZINC000576834608 308343424 /nfs/dbraw/zinc/34/34/24/308343424.db2.gz MIWMTAOBSRVSGE-UHFFFAOYSA-N -1 1 313.313 1.506 20 0 DDADMM COC(=O)CC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000578066901 308434186 /nfs/dbraw/zinc/43/41/86/308434186.db2.gz MSBNOQLIIXNLMK-UHFFFAOYSA-N -1 1 300.361 1.138 20 0 DDADMM CC[C@@H](C)C[C@@H](NS(=O)(=O)CCN(CC)CC)C(=O)[O-] ZINC000581605971 325883634 /nfs/dbraw/zinc/88/36/34/325883634.db2.gz JTELBBRPFFOKGT-VXGBXAGGSA-N -1 1 308.444 1.137 20 0 DDADMM C[C@@H](NCc1nc(-c2ccc3c(c2)OCO3)no1)[C@H](C)C(=O)[O-] ZINC000583569485 335734281 /nfs/dbraw/zinc/73/42/81/335734281.db2.gz BAPXUQRZEWUHOC-DTWKUNHWSA-N -1 1 319.317 1.664 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@H](N2CCCC2=O)C1 ZINC000582513334 337099724 /nfs/dbraw/zinc/09/97/24/337099724.db2.gz AKDLIJGXFLTICN-JTQLQIEISA-N -1 1 324.327 1.897 20 0 DDADMM C[C@@H]1CN(c2ncc(C(=O)[O-])cn2)CCN1Cc1ccccc1 ZINC000396396795 337243946 /nfs/dbraw/zinc/24/39/46/337243946.db2.gz WCKBXXCSLZJGQH-CYBMUJFWSA-N -1 1 312.373 1.886 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)[C@H]2NCCc3ccccc32)C1 ZINC000398665199 337312207 /nfs/dbraw/zinc/31/22/07/337312207.db2.gz FXEODJIHZHOHDW-ZLDLUXBVSA-N -1 1 302.374 1.443 20 0 DDADMM CC(C)[C@H](C(=O)[O-])[C@H](C)NS(=O)(=O)c1c(F)cccc1F ZINC000399449683 337312580 /nfs/dbraw/zinc/31/25/80/337312580.db2.gz MTEXTQFQPMNZEX-KWQFWETISA-N -1 1 321.345 1.988 20 0 DDADMM CCc1nc(CNC(=O)NCc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000392393094 483985846 /nfs/dbraw/zinc/98/58/46/483985846.db2.gz DTINHJSXZJKRBE-UHFFFAOYSA-N -1 1 303.322 1.065 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)NC[C@@H](C(=O)[O-])C(C)C)n[nH]1 ZINC000655895123 483987074 /nfs/dbraw/zinc/98/70/74/483987074.db2.gz QJXGYUSLOJSMEC-NXEZZACHSA-N -1 1 311.386 1.645 20 0 DDADMM C[C@@H](NC(=O)N[C@@H](C)[C@@H]1C[C@H](C(=O)[O-])C1(C)C)c1nnc[nH]1 ZINC000655901902 483994960 /nfs/dbraw/zinc/99/49/60/483994960.db2.gz MJPCIEALZPYOET-QCLAVDOMSA-N -1 1 309.370 1.300 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1CC[C@H](C(=O)[O-])C1)c1cccs1 ZINC000234232545 484174845 /nfs/dbraw/zinc/17/48/45/484174845.db2.gz GHMHKNANGDARSA-WOPDTQHZSA-N -1 1 310.419 1.968 20 0 DDADMM O=C([O-])[C@@]1(NCc2cnn3c2CCC3)CCc2c1cccc2F ZINC000656567027 484214133 /nfs/dbraw/zinc/21/41/33/484214133.db2.gz ZAOFXVLNOODFNM-QGZVFWFLSA-N -1 1 315.348 1.984 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(C(C)C)c1)[C@@H]1CCOC1 ZINC000656621859 484246323 /nfs/dbraw/zinc/24/63/23/484246323.db2.gz UJPZTPFTYYZJOK-YPMHNXCESA-N -1 1 317.411 1.257 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@]1(C(=O)[O-])CC1(C)C)c1cccs1 ZINC000663098009 484663028 /nfs/dbraw/zinc/66/30/28/484663028.db2.gz NFBDCQHBCCSTJJ-MEBBXXQBSA-N -1 1 310.419 1.968 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1ccc(-c2nnc[nH]2)cc1)C1CCC1 ZINC000663153879 484708192 /nfs/dbraw/zinc/70/81/92/484708192.db2.gz UPVTYUHJLKGFKC-ZDUSSCGKSA-N -1 1 314.345 1.702 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)c2csnn2)sc1C ZINC000668329210 485107660 /nfs/dbraw/zinc/10/76/60/485107660.db2.gz YQDGVTBYPOYCKR-ZCFIWIBFSA-N -1 1 304.422 1.651 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC[C@@H]2C[C@@H]21 ZINC000672128333 485230964 /nfs/dbraw/zinc/23/09/64/485230964.db2.gz JSWDRINPALCJKD-YPMHNXCESA-N -1 1 300.362 1.605 20 0 DDADMM O=C(NCCCn1cncn1)c1ccc(C(F)(F)F)cc1[O-] ZINC000678960022 485739801 /nfs/dbraw/zinc/73/98/01/485739801.db2.gz NBLLQCKPAPCXLG-UHFFFAOYSA-N -1 1 314.267 1.823 20 0 DDADMM Nc1ccnc(CNC(=O)c2ccc(C(F)(F)F)cc2[O-])n1 ZINC000678964535 485741584 /nfs/dbraw/zinc/74/15/84/485741584.db2.gz KUQQEAOKILTCTQ-UHFFFAOYSA-N -1 1 312.251 1.713 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(c2ccccc2Br)CC1 ZINC000682805504 485778509 /nfs/dbraw/zinc/77/85/09/485778509.db2.gz OASFDXSLAHONMF-UHFFFAOYSA-N -1 1 322.166 1.310 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccc(Cl)cc2F)[nH]n1 ZINC000679203242 485806060 /nfs/dbraw/zinc/80/60/60/485806060.db2.gz YOVSSNQIIZJJOD-UHFFFAOYSA-N -1 1 318.717 1.102 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@@H]2c2noc(C3CC3)n2)nc1=O ZINC000680371606 486165729 /nfs/dbraw/zinc/16/57/29/486165729.db2.gz JCWIDVGHNDMRLH-SNVBAGLBSA-N -1 1 304.354 1.096 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCC(C)(C)CO)c(=O)[n-]1 ZINC000676397877 486216085 /nfs/dbraw/zinc/21/60/85/486216085.db2.gz VRDMVNLFHZQLRI-UHFFFAOYSA-N -1 1 313.423 1.280 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCC[C@H](C(N)=O)C2)sc1C ZINC000676415327 486221396 /nfs/dbraw/zinc/22/13/96/486221396.db2.gz XWMCISNVKHEMCF-UWVGGRQHSA-N -1 1 317.436 1.082 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)Cc1csc(C)n1)c1ccco1 ZINC000684102442 486248921 /nfs/dbraw/zinc/24/89/21/486248921.db2.gz WNYQBDBVWGYPBV-LLVKDONJSA-N -1 1 316.404 1.852 20 0 DDADMM CO[C@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CCO1 ZINC000684170170 486270612 /nfs/dbraw/zinc/27/06/12/486270612.db2.gz DKNGJFCLAAATKJ-LLVKDONJSA-N -1 1 305.252 1.856 20 0 DDADMM O=C(N[C@@H]1CN(c2ccccc2)C1=O)c1ccc([O-])c(F)c1 ZINC000681067677 486336863 /nfs/dbraw/zinc/33/68/63/486336863.db2.gz PENFKPQMWWEURX-CYBMUJFWSA-N -1 1 300.289 1.677 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H]2CCC[C@@H]21 ZINC000681762635 486517910 /nfs/dbraw/zinc/51/79/10/486517910.db2.gz PTGAZIJNKLTDKQ-OCCSQVGLSA-N -1 1 314.389 1.995 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC(Cn2cncn2)CC1 ZINC000681770549 486520695 /nfs/dbraw/zinc/52/06/95/486520695.db2.gz ZPKTWPFWKUMRSH-UHFFFAOYSA-N -1 1 304.325 1.675 20 0 DDADMM Cn1cncc1C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000685417364 486535663 /nfs/dbraw/zinc/53/56/63/486535663.db2.gz CPLRYVNZPNHTHU-UHFFFAOYSA-N -1 1 313.357 1.861 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@@H](CCO)C3)cnc2n1 ZINC000677843264 486553628 /nfs/dbraw/zinc/55/36/28/486553628.db2.gz DCQYIVDBLLQHPR-LBPRGKRZSA-N -1 1 315.373 1.878 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@@H](CCO)C3)c[n-]c2n1 ZINC000677843264 486553630 /nfs/dbraw/zinc/55/36/30/486553630.db2.gz DCQYIVDBLLQHPR-LBPRGKRZSA-N -1 1 315.373 1.878 20 0 DDADMM CC(=O)N(C[C@@H]1CCCN1C(=O)c1ncc(C)cc1[O-])C1CC1 ZINC000681930973 486600906 /nfs/dbraw/zinc/60/09/06/486600906.db2.gz HFNFMEOJTDTKGK-AWEZNQCLSA-N -1 1 317.389 1.711 20 0 DDADMM C[C@@H]1CCCN1S(=O)(=O)[N-]c1cnn(C)c1C(F)(F)F ZINC000436156031 533720899 /nfs/dbraw/zinc/72/08/99/533720899.db2.gz RDMKLIPKNPNDNK-SSDOTTSWSA-N -1 1 312.317 1.580 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N2CCN(C)CC[C@H]2C)c1 ZINC000424663932 533816635 /nfs/dbraw/zinc/81/66/35/533816635.db2.gz IUTBCPINSXIFGH-MCMMXHMISA-N -1 1 324.446 1.355 20 0 DDADMM COC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451307980 534230375 /nfs/dbraw/zinc/23/03/75/534230375.db2.gz BUNJDTZMEOXQRU-SSDOTTSWSA-N -1 1 301.295 1.412 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cc3n(n2)CCCC3)c1 ZINC000451459359 534231838 /nfs/dbraw/zinc/23/18/38/534231838.db2.gz QAFNIPRKQGXJNN-UHFFFAOYSA-N -1 1 315.329 1.964 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCOC(C)(C)C2)o1 ZINC000330440112 534241518 /nfs/dbraw/zinc/24/15/18/534241518.db2.gz PCKGGRKJFAKOJZ-VIFPVBQESA-N -1 1 317.363 1.302 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)Nc2ccccc2OC)n1 ZINC000432652533 534307597 /nfs/dbraw/zinc/30/75/97/534307597.db2.gz BZBZOSAHSXFSRH-UHFFFAOYSA-N -1 1 321.358 1.936 20 0 DDADMM COC(=O)c1cnc([C@@H](C)[N-]S(=O)(=O)c2ccco2)s1 ZINC000425196661 534308504 /nfs/dbraw/zinc/30/85/04/534308504.db2.gz AEXVITIWJNRXIV-SSDOTTSWSA-N -1 1 316.360 1.562 20 0 DDADMM COC(=O)c1ncccc1S(=O)(=O)[N-][C@@H](C)C(C)(F)F ZINC000451689329 534361727 /nfs/dbraw/zinc/36/17/27/534361727.db2.gz LUXTUQBYAABLRZ-ZETCQYMHSA-N -1 1 308.306 1.190 20 0 DDADMM O=C(NC[C@@H]1CCCSC1)c1ccc2n[n-]c(=S)n2c1 ZINC000292423564 534587196 /nfs/dbraw/zinc/58/71/96/534587196.db2.gz VILHYERSNWBHLX-VIFPVBQESA-N -1 1 308.432 1.891 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccc(OCC(F)(F)F)cn1 ZINC000434359203 534772605 /nfs/dbraw/zinc/77/26/05/534772605.db2.gz KJWAYIVEDDLXMO-UHFFFAOYSA-N -1 1 313.235 1.798 20 0 DDADMM CC(C)(O)CN1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000330873210 527346504 /nfs/dbraw/zinc/34/65/04/527346504.db2.gz VEXCHARRMBPRQV-UHFFFAOYSA-N -1 1 314.332 1.199 20 0 DDADMM CC(C)[C@H]1C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000297492627 527882597 /nfs/dbraw/zinc/88/25/97/527882597.db2.gz MOOKTHWYROJAQS-VXGBXAGGSA-N -1 1 320.418 1.951 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1cccc2c1CN(C)C2=O ZINC000414397862 527995062 /nfs/dbraw/zinc/99/50/62/527995062.db2.gz SDRIOUYONSKZDB-UHFFFAOYSA-N -1 1 312.391 1.439 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(CNC(C)=O)s1)c1nn[n-]n1 ZINC000434762488 528203804 /nfs/dbraw/zinc/20/38/04/528203804.db2.gz HBFHJYDALXRUKG-JTQLQIEISA-N -1 1 322.394 1.169 20 0 DDADMM CCOC(=O)c1c[n-]nc1S(=O)(=O)Oc1c(C)cccc1C ZINC000298123889 528286319 /nfs/dbraw/zinc/28/63/19/528286319.db2.gz UTMDPZXAALSQSI-UHFFFAOYSA-N -1 1 324.358 1.971 20 0 DDADMM CCCCN(CCCO)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000297598369 528418652 /nfs/dbraw/zinc/41/86/52/528418652.db2.gz UQTTVEUNGURIHE-UHFFFAOYSA-N -1 1 308.407 1.643 20 0 DDADMM CCOc1ccc(CN[C@@H](C(=O)[O-])c2cnn(C)c2)cc1OC ZINC000417594556 528458887 /nfs/dbraw/zinc/45/88/87/528458887.db2.gz IXHPPLMZPARJKB-OAHLLOKOSA-N -1 1 319.361 1.743 20 0 DDADMM CCCOc1cccc(CC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000433181699 528739351 /nfs/dbraw/zinc/73/93/51/528739351.db2.gz KIWRJFMJTWRLJC-UHFFFAOYSA-N -1 1 303.366 1.583 20 0 DDADMM CC(C)NC(=O)CN(C)CC(=O)Nc1ccc([O-])c(F)c1F ZINC000456781502 529128351 /nfs/dbraw/zinc/12/83/51/529128351.db2.gz FEBDVWASEMTITP-UHFFFAOYSA-N -1 1 315.320 1.065 20 0 DDADMM CC[C@H]1CCC[C@@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000294911709 529225299 /nfs/dbraw/zinc/22/52/99/529225299.db2.gz JCZQESVKKURNQY-ONGXEEELSA-N -1 1 312.391 1.804 20 0 DDADMM CC[C@@H](Oc1ccccc1F)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000413517647 529249723 /nfs/dbraw/zinc/24/97/23/529249723.db2.gz PKLNSMTYGVJYFS-SNVBAGLBSA-N -1 1 307.329 1.548 20 0 DDADMM C[C@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C1CCCC1 ZINC000736132744 598875303 /nfs/dbraw/zinc/87/53/03/598875303.db2.gz WRWYSHRSFZYFFN-VIFPVBQESA-N -1 1 322.394 1.119 20 0 DDADMM C[C@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C1CCCC1 ZINC000736132744 598875304 /nfs/dbraw/zinc/87/53/04/598875304.db2.gz WRWYSHRSFZYFFN-VIFPVBQESA-N -1 1 322.394 1.119 20 0 DDADMM Cc1ccc(CN(C)C(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737343516 598982438 /nfs/dbraw/zinc/98/24/38/598982438.db2.gz ZPZWSHZRUUFOPE-UHFFFAOYSA-N -1 1 308.345 1.842 20 0 DDADMM Cc1ccc(CN(C)C(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737343516 598982440 /nfs/dbraw/zinc/98/24/40/598982440.db2.gz ZPZWSHZRUUFOPE-UHFFFAOYSA-N -1 1 308.345 1.842 20 0 DDADMM Cc1ccc2c(c1)[C@@H](NC(=O)c1ccc(-c3nnn[n-]3)nc1)CC2 ZINC000737371777 599005004 /nfs/dbraw/zinc/00/50/04/599005004.db2.gz LGHORJCUMOJAEV-AWEZNQCLSA-N -1 1 320.356 1.987 20 0 DDADMM Cc1ccc2c(c1)[C@@H](NC(=O)c1ccc(-c3nn[n-]n3)nc1)CC2 ZINC000737371777 599005006 /nfs/dbraw/zinc/00/50/06/599005006.db2.gz LGHORJCUMOJAEV-AWEZNQCLSA-N -1 1 320.356 1.987 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC[C@H]1c1ccncc1 ZINC000738259776 599022139 /nfs/dbraw/zinc/02/21/39/599022139.db2.gz WEEJYJWOQUWRQM-AWEZNQCLSA-N -1 1 321.344 1.634 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@H]1c1ccncc1 ZINC000738259776 599022141 /nfs/dbraw/zinc/02/21/41/599022141.db2.gz WEEJYJWOQUWRQM-AWEZNQCLSA-N -1 1 321.344 1.634 20 0 DDADMM O=C(Nc1cc(Cl)ccn1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738145496 599029066 /nfs/dbraw/zinc/02/90/66/599029066.db2.gz RKAJAMFXHYUVAW-UHFFFAOYSA-N -1 1 301.697 1.562 20 0 DDADMM O=C(Nc1cc(Cl)ccn1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738145496 599029068 /nfs/dbraw/zinc/02/90/68/599029068.db2.gz RKAJAMFXHYUVAW-UHFFFAOYSA-N -1 1 301.697 1.562 20 0 DDADMM C[C@H]1Cc2ccccc2CN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736299760 599093454 /nfs/dbraw/zinc/09/34/54/599093454.db2.gz UNZJABYSKVFLIX-NSHDSACASA-N -1 1 320.356 1.849 20 0 DDADMM C[C@H]1Cc2ccccc2CN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736299760 599093457 /nfs/dbraw/zinc/09/34/57/599093457.db2.gz UNZJABYSKVFLIX-NSHDSACASA-N -1 1 320.356 1.849 20 0 DDADMM COCCN1CCC[C@H]1CNC(=O)c1ccc(C(=O)[O-])s1 ZINC000737807509 599783280 /nfs/dbraw/zinc/78/32/80/599783280.db2.gz BZDWXOHZXAZMDR-JTQLQIEISA-N -1 1 312.391 1.287 20 0 DDADMM CCCCc1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n[nH]1 ZINC000736464170 599109170 /nfs/dbraw/zinc/10/91/70/599109170.db2.gz VSUHXSCOYSDXFI-UHFFFAOYSA-N -1 1 312.337 1.580 20 0 DDADMM CCCCc1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n[nH]1 ZINC000736464170 599109171 /nfs/dbraw/zinc/10/91/71/599109171.db2.gz VSUHXSCOYSDXFI-UHFFFAOYSA-N -1 1 312.337 1.580 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)Cc1ccccc1 ZINC000262152764 597358423 /nfs/dbraw/zinc/35/84/23/597358423.db2.gz AYHXNLWWJRMXDU-CYBMUJFWSA-N -1 1 304.390 1.830 20 0 DDADMM C[C@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)N1CC[C@@H](C(=O)[O-])C1 ZINC000817744168 597536062 /nfs/dbraw/zinc/53/60/62/597536062.db2.gz SXLNORIMENENML-RKDXNWHRSA-N -1 1 318.333 1.002 20 0 DDADMM CN(CC(=O)N[C@H](C(=O)[O-])c1ccccc1)[C@H]1CCSC1 ZINC000820562403 598089500 /nfs/dbraw/zinc/08/95/00/598089500.db2.gz ICGWQAQZESJTLL-JSGCOSHPSA-N -1 1 308.403 1.366 20 0 DDADMM CCN1CC[NH+](CCCNC(=O)c2cccc(C(=O)[O-])c2)CC1 ZINC000820353776 598136851 /nfs/dbraw/zinc/13/68/51/598136851.db2.gz BXBDEJVRJPCKIX-UHFFFAOYSA-N -1 1 319.405 1.142 20 0 DDADMM COCCOc1ccc(Nc2nccnc2-c2nnn[n-]2)cc1 ZINC000737014866 598344156 /nfs/dbraw/zinc/34/41/56/598344156.db2.gz KHKAURNALDGWKE-UHFFFAOYSA-N -1 1 313.321 1.426 20 0 DDADMM COCCOc1ccc(Nc2nccnc2-c2nn[n-]n2)cc1 ZINC000737014866 598344157 /nfs/dbraw/zinc/34/41/57/598344157.db2.gz KHKAURNALDGWKE-UHFFFAOYSA-N -1 1 313.321 1.426 20 0 DDADMM Fc1ccccc1OCCCNc1nccnc1-c1nnn[n-]1 ZINC000737669811 598344768 /nfs/dbraw/zinc/34/47/68/598344768.db2.gz PKAQYRLDTCUYRC-UHFFFAOYSA-N -1 1 315.312 1.677 20 0 DDADMM Fc1ccccc1OCCCNc1nccnc1-c1nn[n-]n1 ZINC000737669811 598344770 /nfs/dbraw/zinc/34/47/70/598344770.db2.gz PKAQYRLDTCUYRC-UHFFFAOYSA-N -1 1 315.312 1.677 20 0 DDADMM Cc1nn(C[C@@H]2CC2(Cl)Cl)c(=O)c(-c2nn[n-]n2)c1C ZINC000737513740 598473059 /nfs/dbraw/zinc/47/30/59/598473059.db2.gz SQTKTSFZBLFOGT-ZETCQYMHSA-N -1 1 315.164 1.234 20 0 DDADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)N[C@@H]1C[C@@H]1C ZINC000737202234 598501509 /nfs/dbraw/zinc/50/15/09/598501509.db2.gz XUCURKISCIBRQM-WRWORJQWSA-N -1 1 316.365 1.041 20 0 DDADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)N[C@@H]1C[C@H]1C ZINC000737202233 598501562 /nfs/dbraw/zinc/50/15/62/598501562.db2.gz XUCURKISCIBRQM-RDDDGLTNSA-N -1 1 316.365 1.041 20 0 DDADMM O=C(NCC1(CCO)CCC1)c1ccc(-c2nnn[n-]2)s1 ZINC000823167215 607361707 /nfs/dbraw/zinc/36/17/07/607361707.db2.gz ZCYXXWPTLNQSCL-UHFFFAOYSA-N -1 1 307.379 1.211 20 0 DDADMM O=C(NCC1(CCO)CCC1)c1ccc(-c2nn[n-]n2)s1 ZINC000823167215 607361709 /nfs/dbraw/zinc/36/17/09/607361709.db2.gz ZCYXXWPTLNQSCL-UHFFFAOYSA-N -1 1 307.379 1.211 20 0 DDADMM Cc1ccc(NC(=O)Cn2cccc(-c3nn[n-]n3)c2=O)c(C)c1 ZINC000737358150 598677252 /nfs/dbraw/zinc/67/72/52/598677252.db2.gz YFBPGSDVSSARDR-UHFFFAOYSA-N -1 1 324.344 1.284 20 0 DDADMM CN(Cc1ccncc1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821615802 599468726 /nfs/dbraw/zinc/46/87/26/599468726.db2.gz NYGQBWFQLCUZLD-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM CN(Cc1ccncc1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821615802 599468728 /nfs/dbraw/zinc/46/87/28/599468728.db2.gz NYGQBWFQLCUZLD-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM CSc1[nH]c(=O)c(C(=O)NCCC2CC2)cc1-c1nn[n-]n1 ZINC000821976824 599490164 /nfs/dbraw/zinc/49/01/64/599490164.db2.gz DUYNDXRHMMUZQA-UHFFFAOYSA-N -1 1 320.378 1.219 20 0 DDADMM C[C@@H](CNc1cccc(-c2nnn[n-]2)n1)CC1(C)OCCO1 ZINC000820280280 599685578 /nfs/dbraw/zinc/68/55/78/599685578.db2.gz CUIQCZVZCZPAEF-SNVBAGLBSA-N -1 1 304.354 1.463 20 0 DDADMM C[C@@H](CNc1cccc(-c2nn[n-]n2)n1)CC1(C)OCCO1 ZINC000820280280 599685579 /nfs/dbraw/zinc/68/55/79/599685579.db2.gz CUIQCZVZCZPAEF-SNVBAGLBSA-N -1 1 304.354 1.463 20 0 DDADMM O=C([O-])[C@H](c1ccc(F)cc1)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000739519076 599713529 /nfs/dbraw/zinc/71/35/29/599713529.db2.gz VLLGJPAGAITUCZ-XHSDSOJGSA-N -1 1 322.380 1.482 20 0 DDADMM Cc1ccc2nc(CN[C@H](C(=O)[O-])c3ccccc3)cc(=O)n2c1 ZINC000324397502 599758155 /nfs/dbraw/zinc/75/81/55/599758155.db2.gz GSEPHEFJSKHOPW-KRWDZBQOSA-N -1 1 323.352 1.918 20 0 DDADMM CCc1ccc(OCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000320550745 599776935 /nfs/dbraw/zinc/77/69/35/599776935.db2.gz CZLLHHUBJDUIEP-AWEZNQCLSA-N -1 1 317.345 1.163 20 0 DDADMM CC(C)NC(=O)c1ccccc1NC(=O)CNC(C)(C)C(=O)[O-] ZINC000736477863 599925780 /nfs/dbraw/zinc/92/57/80/599925780.db2.gz NXHCXUBSYMEVLC-UHFFFAOYSA-N -1 1 321.377 1.216 20 0 DDADMM CC[C@@H]1CN([C@H](C(=O)[O-])c2ccc(F)cc2)CC[N@H+]1CCOC ZINC000736943129 599960905 /nfs/dbraw/zinc/96/09/05/599960905.db2.gz IDRQVSRQMCPPMU-CVEARBPZSA-N -1 1 324.396 1.994 20 0 DDADMM CC[C@@H]1CN(Cc2cc(C(=O)[O-])c(C)o2)CC[N@H+]1CCOC ZINC000736943149 599961064 /nfs/dbraw/zinc/96/10/64/599961064.db2.gz IOLDTPZHSNSKCS-CYBMUJFWSA-N -1 1 310.394 1.829 20 0 DDADMM CC[C@@H]1CN(Cc2cc(C(=O)[O-])c(C)o2)CCN1CCOC ZINC000736943149 599961065 /nfs/dbraw/zinc/96/10/65/599961065.db2.gz IOLDTPZHSNSKCS-CYBMUJFWSA-N -1 1 310.394 1.829 20 0 DDADMM C[C@H](CN1CCN(C)CC1)NC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000736586981 600011280 /nfs/dbraw/zinc/01/12/80/600011280.db2.gz RPNKOHMAMBUYEP-GFCCVEGCSA-N -1 1 320.393 1.142 20 0 DDADMM CC(=O)N(C)C1CCN(Cc2ccc(F)cc2C(=O)[O-])CC1 ZINC000736124142 600090037 /nfs/dbraw/zinc/09/00/37/600090037.db2.gz UPWIKYUJBGVWDZ-UHFFFAOYSA-N -1 1 308.353 1.967 20 0 DDADMM CN([C@H]1CCN(C2CC2)C1)S(=O)(=O)c1ccccc1C(=O)[O-] ZINC000737386656 600349086 /nfs/dbraw/zinc/34/90/86/600349086.db2.gz MEBIRTNNTJJKFG-LBPRGKRZSA-N -1 1 324.402 1.242 20 0 DDADMM CCc1cc(CNC(=O)N2Cc3ccc(C(=O)[O-])cc3C2)n[nH]1 ZINC000737255115 600460075 /nfs/dbraw/zinc/46/00/75/600460075.db2.gz VCCXFBODEURENT-UHFFFAOYSA-N -1 1 314.345 1.896 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)CN(CCCCO)C1CC1 ZINC000739273226 600557200 /nfs/dbraw/zinc/55/72/00/600557200.db2.gz FWJOEGQDJOHMBH-UHFFFAOYSA-N -1 1 306.362 1.560 20 0 DDADMM COC[C@@H]1CCN([C@H](C)C(=O)Nc2cc(C(=O)[O-])ccc2C)C1 ZINC000737752731 600573510 /nfs/dbraw/zinc/57/35/10/600573510.db2.gz XHYGUGQVGSAMEO-CHWSQXEVSA-N -1 1 320.389 1.989 20 0 DDADMM COC[C@H]1CCCN(CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC000737754134 600614394 /nfs/dbraw/zinc/61/43/94/600614394.db2.gz POQURWDKVUAXAW-LBPRGKRZSA-N -1 1 306.362 1.682 20 0 DDADMM COC[C@@H]1CCCN(CC(=O)NC2(C(=O)[O-])CCCCC2)C1 ZINC000737753524 600614518 /nfs/dbraw/zinc/61/45/18/600614518.db2.gz MXAJAAVFHLBEBO-CYBMUJFWSA-N -1 1 312.410 1.249 20 0 DDADMM Brc1c[nH]nc1CNc1cccc(-c2nnn[n-]2)n1 ZINC000823832818 607898583 /nfs/dbraw/zinc/89/85/83/607898583.db2.gz VWPZSLQEEHKOPG-UHFFFAOYSA-N -1 1 321.142 1.359 20 0 DDADMM Brc1c[nH]nc1CNc1cccc(-c2nn[n-]n2)n1 ZINC000823832818 607898584 /nfs/dbraw/zinc/89/85/84/607898584.db2.gz VWPZSLQEEHKOPG-UHFFFAOYSA-N -1 1 321.142 1.359 20 0 DDADMM O=C([O-])[C@@H]1CCN(CN2C(=O)C[C@H]2c2ccc(Cl)cc2)C1 ZINC000819286612 600711454 /nfs/dbraw/zinc/71/14/54/600711454.db2.gz CQIAIPAYAFGPTN-YPMHNXCESA-N -1 1 308.765 1.977 20 0 DDADMM C[C@@H]1C[C@H](NS(=O)(=O)c2csc(C(=O)[O-])c2)CCN1C ZINC000157598542 600766195 /nfs/dbraw/zinc/76/61/95/600766195.db2.gz UHMJQRMZTIDAFC-RKDXNWHRSA-N -1 1 318.420 1.207 20 0 DDADMM CCNC(=O)C(C)(C)CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821276874 607469469 /nfs/dbraw/zinc/46/94/69/607469469.db2.gz OQHLXCUNGTVDEH-UHFFFAOYSA-N -1 1 323.788 1.489 20 0 DDADMM CCNC(=O)C(C)(C)CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821276874 607469470 /nfs/dbraw/zinc/46/94/70/607469470.db2.gz OQHLXCUNGTVDEH-UHFFFAOYSA-N -1 1 323.788 1.489 20 0 DDADMM Cc1ccccc1[C@@H]1CN(CCC(=O)NCC(=O)[O-])[C@H](C)CO1 ZINC000832977942 600835146 /nfs/dbraw/zinc/83/51/46/600835146.db2.gz ZHWIXKZZEIOPNC-HIFRSBDPSA-N -1 1 320.389 1.348 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H](C(=O)Nc2nc(-c3ccco3)n[nH]2)C1 ZINC000833190188 600927663 /nfs/dbraw/zinc/92/76/63/600927663.db2.gz WIUUMHDAUIGMSP-RKDXNWHRSA-N -1 1 304.306 1.894 20 0 DDADMM Cc1nnc(SCC(=O)N2C[C@@H](C(=O)[O-])c3ccccc32)[nH]1 ZINC000832990668 600947190 /nfs/dbraw/zinc/94/71/90/600947190.db2.gz JHZKSCJXNZOKRM-SNVBAGLBSA-N -1 1 318.358 1.420 20 0 DDADMM Cc1n[nH]c(SCC(=O)N2C[C@@H](C(=O)[O-])c3ccccc32)n1 ZINC000832990668 600947192 /nfs/dbraw/zinc/94/71/92/600947192.db2.gz JHZKSCJXNZOKRM-SNVBAGLBSA-N -1 1 318.358 1.420 20 0 DDADMM CCN(CCC(=O)[O-])CC(=O)Nc1cc(C(C)(C)C)nn1C ZINC000737097171 600951340 /nfs/dbraw/zinc/95/13/40/600951340.db2.gz JPKHIPPRCNGLEQ-UHFFFAOYSA-N -1 1 310.398 1.453 20 0 DDADMM Cc1ccc(C(C)(C)CN(C)[C@@H](C)C(=O)NCC(=O)[O-])cc1 ZINC000832922924 601023436 /nfs/dbraw/zinc/02/34/36/601023436.db2.gz CRCNRSVLTRGXBV-ZDUSSCGKSA-N -1 1 306.406 1.794 20 0 DDADMM C[C@@](NCC(=O)Nc1cccc2nsnc21)(C(=O)[O-])C1CC1 ZINC000827727468 601032129 /nfs/dbraw/zinc/03/21/29/601032129.db2.gz KAGIEXDUXWOUAG-AWEZNQCLSA-N -1 1 320.374 1.473 20 0 DDADMM Cc1ccc(CNC(=O)CN[C@](C)(C(=O)[O-])C2CC2)cc1F ZINC000832931736 601034925 /nfs/dbraw/zinc/03/49/25/601034925.db2.gz MACDKVVDPVOWFU-INIZCTEOSA-N -1 1 308.353 1.593 20 0 DDADMM CCN1CC[C@@H](NS(=O)(=O)c2cc(C(=O)[O-])ccc2C)C1 ZINC000389263054 601110512 /nfs/dbraw/zinc/11/05/12/601110512.db2.gz CXVDSRORYXCVPM-GFCCVEGCSA-N -1 1 312.391 1.066 20 0 DDADMM O=C([O-])CN(Cc1ccc(F)c(F)c1)C(=O)CCc1nc[nH]n1 ZINC000833249254 601137725 /nfs/dbraw/zinc/13/77/25/601137725.db2.gz PFVWRNPERRKYGV-UHFFFAOYSA-N -1 1 324.287 1.129 20 0 DDADMM O=C([O-])CCCN1CCN(C(=O)OCc2ccccc2)CC1 ZINC000197371864 601443298 /nfs/dbraw/zinc/44/32/98/601443298.db2.gz QBQQTZFOFZLJGS-UHFFFAOYSA-N -1 1 306.362 1.806 20 0 DDADMM CSc1ccc(NC(=O)CN2C[C@H](C)[C@@H](C(=O)[O-])C2)cc1 ZINC000832780740 601524478 /nfs/dbraw/zinc/52/44/78/601524478.db2.gz CJZBSDHDFZLFAJ-GWCFXTLKSA-N -1 1 308.403 2.000 20 0 DDADMM OC[C@@H]1CN(Cc2ccnc(-c3nnn[n-]3)c2)c2ccccc2O1 ZINC000826508970 607515572 /nfs/dbraw/zinc/51/55/72/607515572.db2.gz HVBSEMCBDQNWBE-LBPRGKRZSA-N -1 1 324.344 1.022 20 0 DDADMM OC[C@@H]1CN(Cc2ccnc(-c3nn[n-]n3)c2)c2ccccc2O1 ZINC000826508970 607515574 /nfs/dbraw/zinc/51/55/74/607515574.db2.gz HVBSEMCBDQNWBE-LBPRGKRZSA-N -1 1 324.344 1.022 20 0 DDADMM COc1cc(NC(=O)Cc2cc(C)[nH]n2)c(C(=O)[O-])cc1OC ZINC000832022258 601653403 /nfs/dbraw/zinc/65/34/03/601653403.db2.gz ODFADXLSANWNSR-UHFFFAOYSA-N -1 1 319.317 1.615 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nnn[n-]2)c1)c1cc(F)ccc1F ZINC000826496170 607528499 /nfs/dbraw/zinc/52/84/99/607528499.db2.gz NHLBLKPSBXLHSI-QFIPXVFZSA-N -1 1 321.312 1.848 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nn[n-]n2)c1)c1cc(F)ccc1F ZINC000826496170 607528500 /nfs/dbraw/zinc/52/85/00/607528500.db2.gz NHLBLKPSBXLHSI-QFIPXVFZSA-N -1 1 321.312 1.848 20 0 DDADMM CC(C)C[C@]1(C)CC(=O)N(CN2C[C@H](C)[C@H](C(=O)[O-])C2)C1=O ZINC000826827089 601815896 /nfs/dbraw/zinc/81/58/96/601815896.db2.gz YXXABKMCBXEBEW-HWWQOWPSSA-N -1 1 310.394 1.408 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)cc1 ZINC000825902973 601869090 /nfs/dbraw/zinc/86/90/90/601869090.db2.gz MRMCCEVFBLQDIC-AWEZNQCLSA-N -1 1 321.377 1.672 20 0 DDADMM C[C@H](NC(=O)c1ccc2[nH]nnc2c1)[C@H]1CCCN(C(=O)[O-])C1 ZINC000739022215 601871624 /nfs/dbraw/zinc/87/16/24/601871624.db2.gz DDMAKCKKAKZHFT-ONGXEEELSA-N -1 1 317.349 1.466 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000739530920 601876965 /nfs/dbraw/zinc/87/69/65/601876965.db2.gz PHWBCNXTTMDNTE-STQMWFEESA-N -1 1 311.357 1.591 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CN2C(=O)CC3(CCCCC3)C2=O)C1 ZINC000828029140 601895810 /nfs/dbraw/zinc/89/58/10/601895810.db2.gz RYTXPZGDIZNUOJ-OAHLLOKOSA-N -1 1 308.378 1.450 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN2CC[C@@](C)(C(=O)[O-])C2)cc1 ZINC000825902936 601909731 /nfs/dbraw/zinc/90/97/31/601909731.db2.gz KKUWBHVHFPETAK-MRXNPFEDSA-N -1 1 319.361 1.380 20 0 DDADMM O=C([O-])NC[C@H]1CCN(C[C@H](O)c2c(F)cccc2F)C1 ZINC000740643740 601940355 /nfs/dbraw/zinc/94/03/55/601940355.db2.gz QBPKBGZEDZMYQE-SKDRFNHKSA-N -1 1 300.305 1.588 20 0 DDADMM Cc1ccccc1CNC(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000740182252 601956067 /nfs/dbraw/zinc/95/60/67/601956067.db2.gz CVKYKXOKCIXXKB-CYBMUJFWSA-N -1 1 305.378 1.201 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000828012683 601997435 /nfs/dbraw/zinc/99/74/35/601997435.db2.gz KDQODWXIHGWSIG-OAHLLOKOSA-N -1 1 315.333 1.596 20 0 DDADMM CCn1c(C)nnc1SCc1ccnc(-c2nnn[n-]2)c1 ZINC000825782348 607538708 /nfs/dbraw/zinc/53/87/08/607538708.db2.gz DPPJPDXJTDUFAK-UHFFFAOYSA-N -1 1 302.367 1.474 20 0 DDADMM CCn1c(C)nnc1SCc1ccnc(-c2nn[n-]n2)c1 ZINC000825782348 607538709 /nfs/dbraw/zinc/53/87/09/607538709.db2.gz DPPJPDXJTDUFAK-UHFFFAOYSA-N -1 1 302.367 1.474 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)N[C@H](C)C1(N2CCOCC2)CCCC1 ZINC000827275112 602172071 /nfs/dbraw/zinc/17/20/71/602172071.db2.gz ZXRYCTNKQSBTNM-CHWSQXEVSA-N -1 1 312.410 1.247 20 0 DDADMM CCO[C@@H](CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)C(C)C ZINC000829913019 602191487 /nfs/dbraw/zinc/19/14/87/602191487.db2.gz SCDJSZZJXGDZNR-KBPBESRZSA-N -1 1 314.426 1.445 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000826472413 607551811 /nfs/dbraw/zinc/55/18/11/607551811.db2.gz KBSDPALWCLXMMZ-NXEZZACHSA-N -1 1 319.390 1.427 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000826472413 607551813 /nfs/dbraw/zinc/55/18/13/607551813.db2.gz KBSDPALWCLXMMZ-NXEZZACHSA-N -1 1 319.390 1.427 20 0 DDADMM Cn1cc(NC(=O)N2CCC(c3cnc[nH]3)CC2)cc1C(=O)[O-] ZINC000833047152 602360057 /nfs/dbraw/zinc/36/00/57/602360057.db2.gz IRZJZZGWMXVLEG-UHFFFAOYSA-N -1 1 317.349 1.858 20 0 DDADMM O=C([O-])NC[C@@H](NC(=O)c1[nH]nc2c1CCC2)C1CCCCC1 ZINC000740611955 602541434 /nfs/dbraw/zinc/54/14/34/602541434.db2.gz MSUVUXBGZBOZFC-CYBMUJFWSA-N -1 1 320.393 1.845 20 0 DDADMM CCn1c(C)nnc1CN[C@@]1(CNC(=O)[O-])CCCC[C@@H]1C ZINC000739565559 602554268 /nfs/dbraw/zinc/55/42/68/602554268.db2.gz XLQKBBNEBCTQSH-XHDPSFHLSA-N -1 1 309.414 1.913 20 0 DDADMM CCNS(=O)(=O)c1ccc(C(F)(F)F)cc1-c1nn[n-]n1 ZINC000825476168 607573257 /nfs/dbraw/zinc/57/32/57/607573257.db2.gz BIFUAVIPPCKTPB-UHFFFAOYSA-N -1 1 321.284 1.184 20 0 DDADMM CCCN(C(=O)N[C@H]1CCCN(C)C1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739352607 602622709 /nfs/dbraw/zinc/62/27/09/602622709.db2.gz XBPJUZNMDGJUQW-QWHCGFSZSA-N -1 1 312.414 1.255 20 0 DDADMM Cc1ccc([C@H](CNC(=O)C[C@H](C)NC(=O)[O-])N2CCCC2)o1 ZINC000830256549 602807367 /nfs/dbraw/zinc/80/73/67/602807367.db2.gz JFTAQGCNVRUGDA-AAEUAGOBSA-N -1 1 323.393 1.887 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@H](CNC(=O)[O-])C1CCCCC1 ZINC000739725840 602836503 /nfs/dbraw/zinc/83/65/03/602836503.db2.gz GDHRGFXKEJIFSE-KGLIPLIRSA-N -1 1 311.426 1.803 20 0 DDADMM C[NH+](C)C1CCN(C(=O)c2ccc(NC(=O)[O-])cc2[O-])CC1 ZINC000739603237 602847216 /nfs/dbraw/zinc/84/72/16/602847216.db2.gz JLSWJTFXBQSDFH-UHFFFAOYSA-N -1 1 307.350 1.648 20 0 DDADMM CC[NH+]1CCC(CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])CC1 ZINC000739452641 602852655 /nfs/dbraw/zinc/85/26/55/602852655.db2.gz ZFLDMMVIZGZRLV-UHFFFAOYSA-N -1 1 321.377 1.944 20 0 DDADMM C[C@@H](CC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1)NC(=O)[O-] ZINC000824671709 602865601 /nfs/dbraw/zinc/86/56/01/602865601.db2.gz KIBVHJYKQSPWRS-QMMMGPOBSA-N -1 1 321.312 1.273 20 0 DDADMM C[C@@H](CC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1)NC(=O)[O-] ZINC000824671709 602865603 /nfs/dbraw/zinc/86/56/03/602865603.db2.gz KIBVHJYKQSPWRS-QMMMGPOBSA-N -1 1 321.312 1.273 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCN(C(=O)[O-])[C@H](C)C2)c1 ZINC000740054595 602936256 /nfs/dbraw/zinc/93/62/56/602936256.db2.gz BXILMOCLBFWMPR-SNVBAGLBSA-N -1 1 302.334 1.696 20 0 DDADMM Cc1cccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1N ZINC000826296233 607598057 /nfs/dbraw/zinc/59/80/57/607598057.db2.gz OIYWDZGGMAGOQB-UHFFFAOYSA-N -1 1 310.317 1.509 20 0 DDADMM Cc1cccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1N ZINC000826296233 607598059 /nfs/dbraw/zinc/59/80/59/607598059.db2.gz OIYWDZGGMAGOQB-UHFFFAOYSA-N -1 1 310.317 1.509 20 0 DDADMM O=C(O[C@@H]1COc2ccccc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000826462617 607598430 /nfs/dbraw/zinc/59/84/30/607598430.db2.gz CNAIMPYHRJFYGE-CYBMUJFWSA-N -1 1 309.285 1.552 20 0 DDADMM O=C(O[C@@H]1COc2ccccc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000826462617 607598431 /nfs/dbraw/zinc/59/84/31/607598431.db2.gz CNAIMPYHRJFYGE-CYBMUJFWSA-N -1 1 309.285 1.552 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000739229843 603033031 /nfs/dbraw/zinc/03/30/31/603033031.db2.gz FPSGRNBSPFKIIK-GWCFXTLKSA-N -1 1 317.349 1.888 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)N[C@@H](CNC(=O)[O-])C1CC1 ZINC000738799993 603132195 /nfs/dbraw/zinc/13/21/95/603132195.db2.gz DDJBVZNQOXKMGE-QWHCGFSZSA-N -1 1 312.414 1.014 20 0 DDADMM C[C@@H](NC(=O)[C@H](C)N(C)CCCNC(=O)[O-])c1ccccc1 ZINC000738952173 603253530 /nfs/dbraw/zinc/25/35/30/603253530.db2.gz MAOVGFAFIGOSSV-OLZOCXBDSA-N -1 1 307.394 1.842 20 0 DDADMM C[C@H]1CN(CCC(=O)NCC2CCCCC2)CCN1C(=O)[O-] ZINC000739181628 603353692 /nfs/dbraw/zinc/35/36/92/603353692.db2.gz KJBQUAXWQWHONH-ZDUSSCGKSA-N -1 1 311.426 1.757 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)CC[C@H]1NC(=O)[O-] ZINC000739172589 603354741 /nfs/dbraw/zinc/35/47/41/603354741.db2.gz ZZWKAPLLBAYILI-MWLCHTKSSA-N -1 1 318.333 1.788 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000828001969 603510610 /nfs/dbraw/zinc/51/06/10/603510610.db2.gz YFINDZRZNVSBGQ-LSDHHAIUSA-N -1 1 319.405 1.370 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@@H]1C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000832341401 603560087 /nfs/dbraw/zinc/56/00/87/603560087.db2.gz SYQNKXDWQANTIQ-RYUDHWBXSA-N -1 1 306.366 1.552 20 0 DDADMM O=C([O-])N1CCN(C(=O)CN[C@H]2CCc3c2cccc3F)CC1 ZINC000832024374 603619519 /nfs/dbraw/zinc/61/95/19/603619519.db2.gz KASWPZWLMAYQSU-AWEZNQCLSA-N -1 1 321.352 1.225 20 0 DDADMM O=C([O-])NC[C@@H](NC(=O)N1CCC(c2cnc[nH]2)CC1)C1CC1 ZINC000832420346 603638754 /nfs/dbraw/zinc/63/87/54/603638754.db2.gz BORMMGVGRHWUAI-CYBMUJFWSA-N -1 1 321.381 1.345 20 0 DDADMM C[C@@H]1CCCN(Cc2cnc3ccnn3c2)[C@@H]1CNC(=O)[O-] ZINC000825924271 603717627 /nfs/dbraw/zinc/71/76/27/603717627.db2.gz GIIQPLORZKOYHB-DGCLKSJQSA-N -1 1 303.366 1.597 20 0 DDADMM CCCN1CCN(C(=O)[C@H]2[C@H](C)OC(C)(C)N2C(=O)[O-])CC1 ZINC000826920200 603746607 /nfs/dbraw/zinc/74/66/07/603746607.db2.gz GKISCYHQMSCNAT-NWDGAFQWSA-N -1 1 313.398 1.044 20 0 DDADMM CCc1cc(CNC(=O)N[C@H]2CCC[C@H]2CNC(=O)[O-])[nH]n1 ZINC000827563710 603808635 /nfs/dbraw/zinc/80/86/35/603808635.db2.gz ILJGXFLKNBVJIW-CABZTGNLSA-N -1 1 309.370 1.208 20 0 DDADMM CC(C)[C@@H](CCN(C)CC(=O)N1CCC(C)CC1)NC(=O)[O-] ZINC000825937546 603824253 /nfs/dbraw/zinc/82/42/53/603824253.db2.gz JODQACZVIHRIKC-CQSZACIVSA-N -1 1 313.442 1.859 20 0 DDADMM C[C@@H](NC(=O)CC[C@H](NC(=O)[O-])c1ccccc1)c1nnc[nH]1 ZINC000825114003 603939342 /nfs/dbraw/zinc/93/93/42/603939342.db2.gz BFMWHUBRXRYMSQ-PWSUYJOCSA-N -1 1 317.349 1.771 20 0 DDADMM C[C@@H](CN(C)C(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000824863316 604136262 /nfs/dbraw/zinc/13/62/62/604136262.db2.gz UMAHCHXWDLJPFC-JTQLQIEISA-N -1 1 317.349 1.334 20 0 DDADMM C[C@@H](CN(C)C(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000824863316 604136266 /nfs/dbraw/zinc/13/62/66/604136266.db2.gz UMAHCHXWDLJPFC-JTQLQIEISA-N -1 1 317.349 1.334 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)NCc2cccnc2)C1 ZINC000825264430 604161188 /nfs/dbraw/zinc/16/11/88/604161188.db2.gz KGOORXBEOFEXJW-TZMCWYRMSA-N -1 1 320.393 1.066 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN([C@H](C(N)=O)c2ccccc2)C1 ZINC000825254311 604198882 /nfs/dbraw/zinc/19/88/82/604198882.db2.gz LWBWOLBZMMUXNC-UBHSHLNASA-N -1 1 305.378 1.581 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000825243220 604214514 /nfs/dbraw/zinc/21/45/14/604214514.db2.gz DAMXYDMAXYURIJ-ONGXEEELSA-N -1 1 317.349 1.466 20 0 DDADMM Cc1ccc(OC[C@H](O)CN2CC[C@@H](NC(=O)[O-])C2)c(C)c1 ZINC000740149372 604375216 /nfs/dbraw/zinc/37/52/16/604375216.db2.gz YMRJDKCTZFOJBY-ZIAGYGMSSA-N -1 1 308.378 1.385 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)c1cccc(-c2nnc[nH]2)c1)C1CC1 ZINC000833773293 604487283 /nfs/dbraw/zinc/48/72/83/604487283.db2.gz TVFAXHLUGFIHSS-GFCCVEGCSA-N -1 1 300.318 1.455 20 0 DDADMM C[C@@H]1CN(C)[C@@H](C)CN1C(=O)c1cccc(OCC(=O)[O-])c1 ZINC000833623358 604579719 /nfs/dbraw/zinc/57/97/19/604579719.db2.gz PNRGDRXPWQBNAJ-NWDGAFQWSA-N -1 1 306.362 1.315 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CN(CCCCCn2cccn2)CCO1 ZINC000825350893 604620143 /nfs/dbraw/zinc/62/01/43/604620143.db2.gz LUAHKXZCIGJHGQ-KGLIPLIRSA-N -1 1 310.398 1.410 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)c2ccc(OCC(=O)[O-])cc2)CCN1C ZINC000833587840 604838779 /nfs/dbraw/zinc/83/87/79/604838779.db2.gz NJIVXDQVACAVFD-VXGBXAGGSA-N -1 1 306.362 1.315 20 0 DDADMM CCOc1ccc(CC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000320720404 604896897 /nfs/dbraw/zinc/89/68/97/604896897.db2.gz VTFJEXFXPJHKBI-AWEZNQCLSA-N -1 1 317.345 1.163 20 0 DDADMM CN1CCC[C@@H]1C(=O)N(CCCC(=O)[O-])Cc1ccccc1 ZINC000830934799 604933692 /nfs/dbraw/zinc/93/36/92/604933692.db2.gz LIMFAAZDIKAIOJ-OAHLLOKOSA-N -1 1 304.390 1.974 20 0 DDADMM O=C([O-])[C@H](O)C1CCN(CCOc2ccccc2Cl)CC1 ZINC000833770331 604981054 /nfs/dbraw/zinc/98/10/54/604981054.db2.gz DGTFXRXWWJEHSQ-CQSZACIVSA-N -1 1 313.781 1.876 20 0 DDADMM Cc1cc(CNC(=O)N2CCC[C@H](C(C)(C)C(=O)[O-])C2)n[nH]1 ZINC000833745551 604985328 /nfs/dbraw/zinc/98/53/28/604985328.db2.gz NQDJGWJDPJSDOW-NSHDSACASA-N -1 1 308.382 1.751 20 0 DDADMM C[C@@H](CNC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C)C(C)(C)C ZINC000833627311 605053109 /nfs/dbraw/zinc/05/31/09/605053109.db2.gz WABURCFAIRPXJW-STQMWFEESA-N -1 1 313.442 1.859 20 0 DDADMM CCC(CC)(CNc1nccnc1-c1nnn[n-]1)C(=O)OC ZINC000825089906 607733386 /nfs/dbraw/zinc/73/33/86/607733386.db2.gz OSUHYQDDVZWNTP-UHFFFAOYSA-N -1 1 305.342 1.048 20 0 DDADMM CCC(CC)(CNc1nccnc1-c1nn[n-]n1)C(=O)OC ZINC000825089906 607733387 /nfs/dbraw/zinc/73/33/87/607733387.db2.gz OSUHYQDDVZWNTP-UHFFFAOYSA-N -1 1 305.342 1.048 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)Nc2cncc(C(=O)[O-])c2)C[C@H]1C ZINC000833655627 605170121 /nfs/dbraw/zinc/17/01/21/605170121.db2.gz FQWMIVPGGXXSES-GHMZBOCLSA-N -1 1 306.366 1.726 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)CN2C[C@@H](C(=O)[O-])CC2=O)C12CCCC2 ZINC000833660175 605173965 /nfs/dbraw/zinc/17/39/65/605173965.db2.gz MOVHPPIKZYOXEL-BFHYXJOUSA-N -1 1 324.421 1.547 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NCC[C@@H]2CCN(C(=O)[O-])C2)n[nH]1 ZINC000830036169 605292175 /nfs/dbraw/zinc/29/21/75/605292175.db2.gz LCWJYQSWFSBCGN-CMPLNLGQSA-N -1 1 323.397 1.338 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC(NC(=O)[O-])CC2)n[nH]1 ZINC000830029458 605301227 /nfs/dbraw/zinc/30/12/27/605301227.db2.gz GWAAFYRDSQLMRJ-SECBINFHSA-N -1 1 309.370 1.091 20 0 DDADMM COCCC[C@H](C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000833723565 605403175 /nfs/dbraw/zinc/40/31/75/605403175.db2.gz PYVRTOWWBZAQAG-QWHCGFSZSA-N -1 1 300.399 1.057 20 0 DDADMM NS(=O)(=O)C[C@H]1CCCN1Cc1cccc(NC(=O)[O-])c1 ZINC000833987026 605605776 /nfs/dbraw/zinc/60/57/76/605605776.db2.gz WGCKHXYIUDNGHG-GFCCVEGCSA-N -1 1 313.379 1.029 20 0 DDADMM O=C([O-])N1CCC[C@H](NCc2cccnc2OCC(F)F)C1 ZINC000834102718 605651821 /nfs/dbraw/zinc/65/18/21/605651821.db2.gz DQKPEEHBDOCSFR-NSHDSACASA-N -1 1 315.320 1.958 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCN(c3ncccc3F)CC2)C1 ZINC000834079641 605668488 /nfs/dbraw/zinc/66/84/88/605668488.db2.gz POWUTZBYOAWBHL-LBPRGKRZSA-N -1 1 308.357 1.343 20 0 DDADMM CC(C)c1cc(C(=O)N(C2CC2)[C@@H]2CCN(C(=O)[O-])C2)n[nH]1 ZINC000824453792 605789731 /nfs/dbraw/zinc/78/97/31/605789731.db2.gz WPJPMHHMNGQANM-LLVKDONJSA-N -1 1 306.366 1.890 20 0 DDADMM COc1ccc(-n2ccc(CN[C@H]3CCN(C(=O)[O-])C3)n2)cc1 ZINC000829258533 605848988 /nfs/dbraw/zinc/84/89/88/605848988.db2.gz BZVNBIZGACGCLV-ZDUSSCGKSA-N -1 1 316.361 1.723 20 0 DDADMM O=C(NCc1cccc(F)c1)c1ccc(-c2nnn[n-]2)s1 ZINC000823257829 606503832 /nfs/dbraw/zinc/50/38/32/606503832.db2.gz USUTWYLLRQLCEV-UHFFFAOYSA-N -1 1 303.322 1.997 20 0 DDADMM O=C(NCc1cccc(F)c1)c1ccc(-c2nn[n-]n2)s1 ZINC000823257829 606503834 /nfs/dbraw/zinc/50/38/34/606503834.db2.gz USUTWYLLRQLCEV-UHFFFAOYSA-N -1 1 303.322 1.997 20 0 DDADMM Cn1c(Cl)cnc1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822596641 606546074 /nfs/dbraw/zinc/54/60/74/606546074.db2.gz OVKVVFHPDGAADM-UHFFFAOYSA-N -1 1 323.769 1.245 20 0 DDADMM Cn1c(Cl)cnc1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822596641 606546076 /nfs/dbraw/zinc/54/60/76/606546076.db2.gz OVKVVFHPDGAADM-UHFFFAOYSA-N -1 1 323.769 1.245 20 0 DDADMM O=C(NCCCc1nccs1)c1ccc(-c2nnn[n-]2)s1 ZINC000823197329 606547661 /nfs/dbraw/zinc/54/76/61/606547661.db2.gz QLZOBEOVTDOEHT-UHFFFAOYSA-N -1 1 320.403 1.747 20 0 DDADMM O=C(NCCCc1nccs1)c1ccc(-c2nn[n-]n2)s1 ZINC000823197329 606547663 /nfs/dbraw/zinc/54/76/63/606547663.db2.gz QLZOBEOVTDOEHT-UHFFFAOYSA-N -1 1 320.403 1.747 20 0 DDADMM c1cc(-c2nc(C3CCOCC3)no2)sc1-c1nnn[n-]1 ZINC000823738180 606620302 /nfs/dbraw/zinc/62/03/02/606620302.db2.gz PHMLKVCLPYWJRP-UHFFFAOYSA-N -1 1 304.335 1.872 20 0 DDADMM c1cc(-c2nc(C3CCOCC3)no2)sc1-c1nn[n-]n1 ZINC000823738180 606620304 /nfs/dbraw/zinc/62/03/04/606620304.db2.gz PHMLKVCLPYWJRP-UHFFFAOYSA-N -1 1 304.335 1.872 20 0 DDADMM Cc1nc(Cn2nc(C)c(C)c(-c3nn[n-]n3)c2=O)sc1C ZINC000822449512 606706541 /nfs/dbraw/zinc/70/65/41/606706541.db2.gz BXGKOOVLQNHDND-UHFFFAOYSA-N -1 1 317.378 1.162 20 0 DDADMM CC[C@@H]1CO[C@H](C)CN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821000573 606722870 /nfs/dbraw/zinc/72/28/70/606722870.db2.gz GQVBLOCPAWSTQP-RKDXNWHRSA-N -1 1 308.773 1.919 20 0 DDADMM CC[C@@H]1CO[C@H](C)CN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821000573 606722872 /nfs/dbraw/zinc/72/28/72/606722872.db2.gz GQVBLOCPAWSTQP-RKDXNWHRSA-N -1 1 308.773 1.919 20 0 DDADMM CN(CC1CC1)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000821596427 606841995 /nfs/dbraw/zinc/84/19/95/606841995.db2.gz JPKHRKVSCBBZSW-UHFFFAOYSA-N -1 1 311.342 1.036 20 0 DDADMM COC(=O)Cc1cccc(Nc2nccnc2-c2nnn[n-]2)c1 ZINC000821663798 606878478 /nfs/dbraw/zinc/87/84/78/606878478.db2.gz OHPDWOWEFPGVFO-UHFFFAOYSA-N -1 1 311.305 1.116 20 0 DDADMM COC(=O)Cc1cccc(Nc2nccnc2-c2nn[n-]n2)c1 ZINC000821663798 606878479 /nfs/dbraw/zinc/87/84/79/606878479.db2.gz OHPDWOWEFPGVFO-UHFFFAOYSA-N -1 1 311.305 1.116 20 0 DDADMM Cc1cc(C(=O)n2ncc(-c3nn[n-]n3)c2N)c(Cl)cc1F ZINC000822182861 607142512 /nfs/dbraw/zinc/14/25/12/607142512.db2.gz BFFVWIILMADJQF-UHFFFAOYSA-N -1 1 321.703 1.435 20 0 DDADMM Cc1nnc(COc2cccc(F)c2-c2nnn[n-]2)n1C1CC1 ZINC000826336161 607866225 /nfs/dbraw/zinc/86/62/25/607866225.db2.gz PNWSATANESRXST-UHFFFAOYSA-N -1 1 315.312 1.820 20 0 DDADMM Cc1nnc(COc2cccc(F)c2-c2nn[n-]n2)n1C1CC1 ZINC000826336161 607866226 /nfs/dbraw/zinc/86/62/26/607866226.db2.gz PNWSATANESRXST-UHFFFAOYSA-N -1 1 315.312 1.820 20 0 DDADMM O[C@@]1(CNc2cccc(-c3nnn[n-]3)n2)CCc2ccccc21 ZINC000826506517 607903181 /nfs/dbraw/zinc/90/31/81/607903181.db2.gz WCFXVQFIYLEUBD-MRXNPFEDSA-N -1 1 308.345 1.508 20 0 DDADMM O[C@@]1(CNc2cccc(-c3nn[n-]n3)n2)CCc2ccccc21 ZINC000826506517 607903182 /nfs/dbraw/zinc/90/31/82/607903182.db2.gz WCFXVQFIYLEUBD-MRXNPFEDSA-N -1 1 308.345 1.508 20 0 DDADMM Fc1ccc(-n2ccc(Cn3ccnc3-c3nnn[n-]3)n2)cc1 ZINC000826373191 608012667 /nfs/dbraw/zinc/01/26/67/608012667.db2.gz CSYIBWOCNBBURV-UHFFFAOYSA-N -1 1 310.296 1.436 20 0 DDADMM Fc1ccc(-n2ccc(Cn3ccnc3-c3nn[n-]n3)n2)cc1 ZINC000826373191 608012668 /nfs/dbraw/zinc/01/26/68/608012668.db2.gz CSYIBWOCNBBURV-UHFFFAOYSA-N -1 1 310.296 1.436 20 0 DDADMM C[C@@H]1CO[C@@H](C)CN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000824999395 608173336 /nfs/dbraw/zinc/17/33/36/608173336.db2.gz GONVKSQGNOQWME-RITPCOANSA-N -1 1 300.775 1.590 20 0 DDADMM C[C@@H]1CO[C@@H](C)CN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000824999395 608173337 /nfs/dbraw/zinc/17/33/37/608173337.db2.gz GONVKSQGNOQWME-RITPCOANSA-N -1 1 300.775 1.590 20 0 DDADMM Cc1ccc(NC(=O)CN(C)c2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826290189 608388805 /nfs/dbraw/zinc/38/88/05/608388805.db2.gz DXVSDMYAGQLVQZ-UHFFFAOYSA-N -1 1 324.348 1.040 20 0 DDADMM Cc1ccc(NC(=O)CN(C)c2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826290189 608388806 /nfs/dbraw/zinc/38/88/06/608388806.db2.gz DXVSDMYAGQLVQZ-UHFFFAOYSA-N -1 1 324.348 1.040 20 0 DDADMM CC(C)Oc1ncccc1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000824338078 608399627 /nfs/dbraw/zinc/39/96/27/608399627.db2.gz OSBDNDOSIFTBFL-UHFFFAOYSA-N -1 1 312.337 1.451 20 0 DDADMM CC(C)Oc1ncccc1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000824338078 608399629 /nfs/dbraw/zinc/39/96/29/608399629.db2.gz OSBDNDOSIFTBFL-UHFFFAOYSA-N -1 1 312.337 1.451 20 0 DDADMM CC(=O)N(C)c1ccc(Nc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000823967206 608405851 /nfs/dbraw/zinc/40/58/51/608405851.db2.gz KDPNPKGOSMYQIJ-UHFFFAOYSA-N -1 1 310.321 1.383 20 0 DDADMM CC(=O)N(C)c1ccc(Nc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000823967206 608405852 /nfs/dbraw/zinc/40/58/52/608405852.db2.gz KDPNPKGOSMYQIJ-UHFFFAOYSA-N -1 1 310.321 1.383 20 0 DDADMM CCN(Cc1c(F)cccc1F)c1ccc(-c2nnn[n-]2)nn1 ZINC000825428422 608409814 /nfs/dbraw/zinc/40/98/14/608409814.db2.gz MLEMVUPAQVNFJY-UHFFFAOYSA-N -1 1 317.303 1.961 20 0 DDADMM CCN(Cc1c(F)cccc1F)c1ccc(-c2nn[n-]n2)nn1 ZINC000825428422 608409816 /nfs/dbraw/zinc/40/98/16/608409816.db2.gz MLEMVUPAQVNFJY-UHFFFAOYSA-N -1 1 317.303 1.961 20 0 DDADMM c1ccc([C@@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@@H]2CCCO2)cc1 ZINC000826520859 608411359 /nfs/dbraw/zinc/41/13/59/608411359.db2.gz SUQRLDGECIVBGZ-DZGCQCFKSA-N -1 1 323.360 1.989 20 0 DDADMM c1ccc([C@@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@@H]2CCCO2)cc1 ZINC000826520859 608411361 /nfs/dbraw/zinc/41/13/61/608411361.db2.gz SUQRLDGECIVBGZ-DZGCQCFKSA-N -1 1 323.360 1.989 20 0 DDADMM CCN(CCc1cccs1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825428182 608428111 /nfs/dbraw/zinc/42/81/11/608428111.db2.gz DZJRKQKFDYVHGV-UHFFFAOYSA-N -1 1 301.379 1.787 20 0 DDADMM CCN(CCc1cccs1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825428182 608428112 /nfs/dbraw/zinc/42/81/12/608428112.db2.gz DZJRKQKFDYVHGV-UHFFFAOYSA-N -1 1 301.379 1.787 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCc2cccc(F)c2C1 ZINC000826472570 608452094 /nfs/dbraw/zinc/45/20/94/608452094.db2.gz OUQNYKUIRVUUAT-UHFFFAOYSA-N -1 1 324.319 1.599 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCc2cccc(F)c2C1 ZINC000826472570 608452095 /nfs/dbraw/zinc/45/20/95/608452095.db2.gz OUQNYKUIRVUUAT-UHFFFAOYSA-N -1 1 324.319 1.599 20 0 DDADMM OCCCN(Cc1ccc(-c2nnn[n-]2)o1)CC(F)(F)F ZINC000826510031 608678348 /nfs/dbraw/zinc/67/83/48/608678348.db2.gz VAKQCRSWXQFDRG-UHFFFAOYSA-N -1 1 305.260 1.206 20 0 DDADMM OCCCN(Cc1ccc(-c2nn[n-]n2)o1)CC(F)(F)F ZINC000826510031 608678350 /nfs/dbraw/zinc/67/83/50/608678350.db2.gz VAKQCRSWXQFDRG-UHFFFAOYSA-N -1 1 305.260 1.206 20 0 DDADMM FC(F)(F)CSCCNc1cccc(-c2nnn[n-]2)n1 ZINC000826367630 608799275 /nfs/dbraw/zinc/79/92/75/608799275.db2.gz HQGLGRJTINLLRC-UHFFFAOYSA-N -1 1 304.301 1.969 20 0 DDADMM FC(F)(F)CSCCNc1cccc(-c2nn[n-]n2)n1 ZINC000826367630 608799279 /nfs/dbraw/zinc/79/92/79/608799279.db2.gz HQGLGRJTINLLRC-UHFFFAOYSA-N -1 1 304.301 1.969 20 0 DDADMM COc1ccc(C(=O)Cn2ccnc2-c2nnn[n-]2)cc1Cl ZINC000826187579 608887927 /nfs/dbraw/zinc/88/79/27/608887927.db2.gz WXBYXBNQDDKZRA-UHFFFAOYSA-N -1 1 318.724 1.608 20 0 DDADMM COc1ccc(C(=O)Cn2ccnc2-c2nn[n-]n2)cc1Cl ZINC000826187579 608887928 /nfs/dbraw/zinc/88/79/28/608887928.db2.gz WXBYXBNQDDKZRA-UHFFFAOYSA-N -1 1 318.724 1.608 20 0 DDADMM Cc1nn(Cc2ccc(Cl)cn2)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334627 609307648 /nfs/dbraw/zinc/30/76/48/609307648.db2.gz CFZHNYTZGWFPIG-UHFFFAOYSA-N -1 1 317.740 1.137 20 0 DDADMM C[C@@H]1CC[C@@]2(CCN(C(=O)c3ccc(-c4nnn[n-]4)nc3)C2)C1 ZINC000824916471 609396990 /nfs/dbraw/zinc/39/69/90/609396990.db2.gz KFBFIWWDTQSKFM-BDJLRTHQSA-N -1 1 312.377 1.914 20 0 DDADMM C[C@@H]1CC[C@@]2(CCN(C(=O)c3ccc(-c4nn[n-]n4)nc3)C2)C1 ZINC000824916471 609396992 /nfs/dbraw/zinc/39/69/92/609396992.db2.gz KFBFIWWDTQSKFM-BDJLRTHQSA-N -1 1 312.377 1.914 20 0 DDADMM Cc1onc(CC(=O)NCC23CCC(CC2)C3)c1-c1nnn[n-]1 ZINC000826347346 609467584 /nfs/dbraw/zinc/46/75/84/609467584.db2.gz OBSFRVIPHQKPEN-UHFFFAOYSA-N -1 1 316.365 1.402 20 0 DDADMM Cc1onc(CC(=O)NCC23CCC(CC2)C3)c1-c1nn[n-]n1 ZINC000826347346 609467585 /nfs/dbraw/zinc/46/75/85/609467585.db2.gz OBSFRVIPHQKPEN-UHFFFAOYSA-N -1 1 316.365 1.402 20 0 DDADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-])C1CC1 ZINC000973560684 695497559 /nfs/dbraw/zinc/49/75/59/695497559.db2.gz SAODURDPPWLHHL-YHWZYXNKSA-N -1 1 315.373 1.449 20 0 DDADMM CC(C)(C)CNC(=O)C(C)(C)[N-]C(=O)C(F)(F)C(F)F ZINC000746279503 700015719 /nfs/dbraw/zinc/01/57/19/700015719.db2.gz OISIYGBXQZCIBC-UHFFFAOYSA-N -1 1 300.296 1.944 20 0 DDADMM CC1(C(=O)N2CCCC[C@@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000975847253 695871792 /nfs/dbraw/zinc/87/17/92/695871792.db2.gz PIXLROCWHLNVRH-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)c(C)c1 ZINC000798270223 700053991 /nfs/dbraw/zinc/05/39/91/700053991.db2.gz NTFFEXXHULRBJH-UHFFFAOYSA-N -1 1 315.377 1.249 20 0 DDADMM CCC(Nc1ccc(C)cc1F)=C1C(=O)[N-]C(=S)NC1=O ZINC000009949850 696038070 /nfs/dbraw/zinc/03/80/70/696038070.db2.gz XHQPVEAXWKPKGS-UHFFFAOYSA-N -1 1 307.350 1.741 20 0 DDADMM CC(Nc1ccc(Cl)cc1C)=C1C(=O)[N-]C(=S)NC1=O ZINC000009771902 696038098 /nfs/dbraw/zinc/03/80/98/696038098.db2.gz ZMZASUFTNDPFME-UHFFFAOYSA-N -1 1 309.778 1.865 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCc1nncn1C(C)C ZINC000747221553 700060563 /nfs/dbraw/zinc/06/05/63/700060563.db2.gz GVDQZRXSYQHDGY-UHFFFAOYSA-N -1 1 318.381 1.716 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCc1ccccn1 ZINC000747199614 700059788 /nfs/dbraw/zinc/05/97/88/700059788.db2.gz QQTLQFLSORFVRC-UHFFFAOYSA-N -1 1 300.362 1.982 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCC(=O)NC(C)(C)C ZINC000747380496 700067005 /nfs/dbraw/zinc/06/70/05/700067005.db2.gz RGFSLKYVMTXQIU-UHFFFAOYSA-N -1 1 322.409 1.649 20 0 DDADMM CN(C[C@H]1CCN1C(=O)C=C1CCC1)C(=O)c1ncccc1[O-] ZINC000977600252 696229842 /nfs/dbraw/zinc/22/98/42/696229842.db2.gz BGWCQARIIYJQDI-CYBMUJFWSA-N -1 1 315.373 1.570 20 0 DDADMM CS[C@H](C)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977620726 696237419 /nfs/dbraw/zinc/23/74/19/696237419.db2.gz SOKIJVHOLVXDAN-GHMZBOCLSA-N -1 1 323.418 1.212 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)OCCOC ZINC000052171909 696252952 /nfs/dbraw/zinc/25/29/52/696252952.db2.gz AWDCPOMLFXIOQD-UHFFFAOYSA-N -1 1 301.364 1.642 20 0 DDADMM Cn1c(CCNC(=O)C=Cc2ccccc2F)n[n-]c1=S ZINC000067051790 696358549 /nfs/dbraw/zinc/35/85/49/696358549.db2.gz GVSFSGQALGSPNH-VOTSOKGWSA-N -1 1 306.366 1.989 20 0 DDADMM COc1cccc(C(=O)N[N-]C(=O)c2cccnc2Cl)c1O ZINC000067466007 696361693 /nfs/dbraw/zinc/36/16/93/696361693.db2.gz LMGZQONOLDXVMB-UHFFFAOYSA-N -1 1 321.720 1.524 20 0 DDADMM C[C@@H]1CCN(C(=O)Cc2sc(N)nc2[O-])[C@@H](c2ccco2)C1 ZINC000075388585 696420324 /nfs/dbraw/zinc/42/03/24/696420324.db2.gz XIJFJAUAUDHYAZ-CKYFFXLPSA-N -1 1 321.402 1.926 20 0 DDADMM COc1ccc2oc(C(=O)[N-]NC(=O)[C@H]3CCCO3)c(C)c2c1 ZINC000079027472 696457351 /nfs/dbraw/zinc/45/73/51/696457351.db2.gz LYWWUOOQYKVPJL-CYBMUJFWSA-N -1 1 318.329 1.690 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(CC2CC2)C2CCCCC2)s1 ZINC000079975155 696465660 /nfs/dbraw/zinc/46/56/60/696465660.db2.gz NTTVCMRTMXKAEU-GFCCVEGCSA-N -1 1 309.435 1.905 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCC(=O)OC(C)(C)C ZINC000081829445 696548059 /nfs/dbraw/zinc/54/80/59/696548059.db2.gz JRBVBIKNJMWMES-UHFFFAOYSA-N -1 1 323.393 1.663 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccon1)C(C)(C)C ZINC000084675559 696559430 /nfs/dbraw/zinc/55/94/30/696559430.db2.gz GDSKWBLXJHFSPE-SNVBAGLBSA-N -1 1 304.368 1.072 20 0 DDADMM O=C(N[C@@H]1CC[C@@H]2CN(C(=O)C3CC3)C[C@@H]12)c1ncccc1[O-] ZINC000979544675 696590784 /nfs/dbraw/zinc/59/07/84/696590784.db2.gz FYPDAJDCPLLHJW-JHJVBQTASA-N -1 1 315.373 1.164 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)/C=C\c1ccccc1)N(C)C ZINC000798812390 700098792 /nfs/dbraw/zinc/09/87/92/700098792.db2.gz GERJNSSHKXCJRW-IWMPZKFUSA-N -1 1 310.419 1.690 20 0 DDADMM CC(=O)[C@@H]1CC(C(=O)Nc2ccncc2[O-])=NN1c1ccccc1 ZINC000109889741 696638628 /nfs/dbraw/zinc/63/86/28/696638628.db2.gz BMEFEINHFFMSDD-HNNXBMFYSA-N -1 1 324.340 1.950 20 0 DDADMM CCC[C@H](NC(=O)C[C@H]1CSCCS1)c1nn[n-]n1 ZINC000119619549 696682975 /nfs/dbraw/zinc/68/29/75/696682975.db2.gz CHEAPBQDCJITAP-IUCAKERBSA-N -1 1 301.441 1.396 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccc1Cl ZINC000120801660 696701148 /nfs/dbraw/zinc/70/11/48/696701148.db2.gz SOIUBWLQDDABIT-XCBNKYQSSA-N -1 1 311.794 1.864 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCc2cccc(Cl)c2)s1 ZINC000120810967 696701635 /nfs/dbraw/zinc/70/16/35/696701635.db2.gz UTNWAYJQQAESHN-JTQLQIEISA-N -1 1 311.794 1.346 20 0 DDADMM CCCN(Cc1ccccc1)C(=O)Cc1sc(N)nc1[O-] ZINC000120860687 696702519 /nfs/dbraw/zinc/70/25/19/696702519.db2.gz JVDYKESVULZJKI-GFCCVEGCSA-N -1 1 305.403 1.772 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000981212858 697036470 /nfs/dbraw/zinc/03/64/70/697036470.db2.gz OANFAUXNPWLLEI-YVECIDJPSA-N -1 1 317.389 1.458 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982675198 697167893 /nfs/dbraw/zinc/16/78/93/697167893.db2.gz JYYMNIRWWSSBPI-IJLUTSLNSA-N -1 1 303.362 1.022 20 0 DDADMM O=C(CCCn1cccn1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000748837470 700137892 /nfs/dbraw/zinc/13/78/92/700137892.db2.gz IVTINAVMRKTQRC-CQSZACIVSA-N -1 1 311.349 1.082 20 0 DDADMM Cc1cc(C(=O)[O-])cc(S(=O)(=O)Nc2cnn(C)c2)c1F ZINC000749140975 700148376 /nfs/dbraw/zinc/14/83/76/700148376.db2.gz GKCCWVFSMSKRMJ-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM Cc1c(C(=O)NN2CC(=O)[N-]C2=O)cccc1-c1ccccn1 ZINC000178977808 697432143 /nfs/dbraw/zinc/43/21/43/697432143.db2.gz HTAUGTMZUCTKHD-UHFFFAOYSA-N -1 1 310.313 1.254 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@H](C)CC(C)C ZINC000186121171 697529981 /nfs/dbraw/zinc/52/99/81/697529981.db2.gz FNZUPPTYQCUUEJ-SNVBAGLBSA-N -1 1 306.366 1.494 20 0 DDADMM O=C(Cc1cc[nH]n1)N[N-]C(=O)c1cc(F)c(Cl)cc1F ZINC000186148794 697530454 /nfs/dbraw/zinc/53/04/54/697530454.db2.gz SXUUYXUMQSUFGV-UHFFFAOYSA-N -1 1 314.679 1.345 20 0 DDADMM N#Cc1ccc(C(=O)N2CCC[C@H](C3OCCO3)C2)cc1[O-] ZINC000188418232 697558998 /nfs/dbraw/zinc/55/89/98/697558998.db2.gz DBYKIGFQPKVLFY-ZDUSSCGKSA-N -1 1 302.330 1.489 20 0 DDADMM C[C@H](NC(=O)c1ccc(Cl)cc1[O-])c1nnnn1C1CC1 ZINC000190260586 697587013 /nfs/dbraw/zinc/58/70/13/697587013.db2.gz PILGJTNUEPGXNB-ZETCQYMHSA-N -1 1 307.741 1.858 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(F)cc1 ZINC000985878822 697593368 /nfs/dbraw/zinc/59/33/68/697593368.db2.gz SBGBMONWEGSCAN-CABZTGNLSA-N -1 1 319.340 1.042 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1C(C)(C)C1(C)C ZINC000986127870 697694858 /nfs/dbraw/zinc/69/48/58/697694858.db2.gz XRUUKXDQVYJBDI-NXEZZACHSA-N -1 1 321.425 1.272 20 0 DDADMM C[C@H]1[C@@H](NCc2cscn2)CCN1C(=O)c1ncccc1[O-] ZINC000986169682 697716527 /nfs/dbraw/zinc/71/65/27/697716527.db2.gz KMXLNSPALWCMFZ-JQWIXIFHSA-N -1 1 318.402 1.637 20 0 DDADMM Cc1ccc(F)c(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000773155360 697719706 /nfs/dbraw/zinc/71/97/06/697719706.db2.gz URTYXVCULWEEBD-LLVKDONJSA-N -1 1 307.354 1.578 20 0 DDADMM Cc1nccc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC000773853516 697814100 /nfs/dbraw/zinc/81/41/00/697814100.db2.gz LBWPDORYGSSXGY-UHFFFAOYSA-N -1 1 300.347 1.046 20 0 DDADMM Cc1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c(=O)[nH]1 ZINC000774937592 697943937 /nfs/dbraw/zinc/94/39/37/697943937.db2.gz NVEDVTPXOCBGKB-UHFFFAOYSA-N -1 1 306.240 1.321 20 0 DDADMM COCCCOCC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000775923147 698052452 /nfs/dbraw/zinc/05/24/52/698052452.db2.gz JYLZORTYJFQKIA-UHFFFAOYSA-N -1 1 322.313 1.595 20 0 DDADMM C[C@@H]1C[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCO1 ZINC000776419083 698104989 /nfs/dbraw/zinc/10/49/89/698104989.db2.gz QPLDEWOOBSFPJR-DGCLKSJQSA-N -1 1 305.330 1.301 20 0 DDADMM COCC1([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)CC1 ZINC000778026218 698238280 /nfs/dbraw/zinc/23/82/80/698238280.db2.gz PYXZJQLGKDAAQS-UHFFFAOYSA-N -1 1 311.190 1.846 20 0 DDADMM CN1CC[C@H](OC(=O)c2ccc(Br)c([O-])c2)C1=O ZINC000778826974 698373033 /nfs/dbraw/zinc/37/30/33/698373033.db2.gz GEOZPHDPBYTSJB-JTQLQIEISA-N -1 1 314.135 1.542 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000780513595 698527389 /nfs/dbraw/zinc/52/73/89/698527389.db2.gz SWTWHZGOOALOTC-ZDUSSCGKSA-N -1 1 323.393 1.841 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CC(C)(C)C1 ZINC000989337820 698589758 /nfs/dbraw/zinc/58/97/58/698589758.db2.gz KGBKZYDHCOIZPZ-PWSUYJOCSA-N -1 1 321.425 1.416 20 0 DDADMM Cc1nc(C)c(C[N-]S(=O)(=O)c2cccnc2Cl)o1 ZINC000311814462 698675505 /nfs/dbraw/zinc/67/55/05/698675505.db2.gz XGHLFWAICPHILB-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM C[C@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccccc1 ZINC000314538112 698689692 /nfs/dbraw/zinc/68/96/92/698689692.db2.gz WYJXIUKQNGZPDB-UKRRQHHQSA-N -1 1 304.390 1.703 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc(-c2nnc(C)o2)cc1 ZINC000800836601 700247795 /nfs/dbraw/zinc/24/77/95/700247795.db2.gz NBQFWZYOMUKVHR-VIFPVBQESA-N -1 1 311.363 1.822 20 0 DDADMM CCC[C@@H](C)[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000383327313 699069373 /nfs/dbraw/zinc/06/93/73/699069373.db2.gz UOJDSAHEXOLVDP-SSDOTTSWSA-N -1 1 324.244 1.958 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CCCSC2)c1Cl ZINC000384089417 699071003 /nfs/dbraw/zinc/07/10/03/699071003.db2.gz BLYUSZLHCCOFQE-QMMMGPOBSA-N -1 1 309.844 1.495 20 0 DDADMM COc1cc(C(=O)NC[C@H](O)c2cccnc2)cc(Cl)c1[O-] ZINC000785416402 699075365 /nfs/dbraw/zinc/07/53/65/699075365.db2.gz RHFNSAZTCLPILU-LBPRGKRZSA-N -1 1 322.748 1.913 20 0 DDADMM O=S(=O)(NCC[NH+]1CCCC1)c1cnc(Cl)c(F)c1 ZINC000388867757 699086642 /nfs/dbraw/zinc/08/66/42/699086642.db2.gz BFMVPXGQAKTOIH-UHFFFAOYSA-N -1 1 307.778 1.248 20 0 DDADMM CCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])CC(C)(C)C1 ZINC000990621530 699110921 /nfs/dbraw/zinc/11/09/21/699110921.db2.gz IDODNUHLRUXOKT-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(Cc1ccc(Cl)cn1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000786438315 699146086 /nfs/dbraw/zinc/14/60/86/699146086.db2.gz YCUOCZCUDHBSJA-SECBINFHSA-N -1 1 306.757 1.197 20 0 DDADMM O=C(Cc1ccc(Cl)cn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425301551 699151324 /nfs/dbraw/zinc/15/13/24/699151324.db2.gz MMGYTVLSSQQWGD-SECBINFHSA-N -1 1 322.752 1.772 20 0 DDADMM C[C@H](O)C(=O)Nc1ccc(S(=O)(=O)[N-]c2ccccc2)cc1 ZINC000786712918 699163335 /nfs/dbraw/zinc/16/33/35/699163335.db2.gz STZXMKWLIGEQHW-NSHDSACASA-N -1 1 320.370 1.807 20 0 DDADMM CC(=O)NC[C@@H]1CCC(C)(C)CN1C(=O)c1ncccc1[O-] ZINC000990989667 699200959 /nfs/dbraw/zinc/20/09/59/699200959.db2.gz ZAOXPEAMJVGUTJ-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1[nH]c(SCC(=O)[N-]OCCO)nc1Cc1ccccc1 ZINC000787294729 699203790 /nfs/dbraw/zinc/20/37/90/699203790.db2.gz CPEMVGJSWREJMK-UHFFFAOYSA-N -1 1 321.402 1.441 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CCn2ccnn2)c1 ZINC000787621961 699224282 /nfs/dbraw/zinc/22/42/82/699224282.db2.gz QFABFDDAYMTJES-UHFFFAOYSA-N -1 1 303.318 1.362 20 0 DDADMM CC[C@@H](C[C@@H](C)O)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000867931386 701838354 /nfs/dbraw/zinc/83/83/54/701838354.db2.gz IWVXVODBSZSMKS-SFYZADRCSA-N -1 1 311.325 1.932 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(Cc2cn(C)nc2Br)C1 ZINC000712429051 699262295 /nfs/dbraw/zinc/26/22/95/699262295.db2.gz DLSHUTWUGURPFY-RKDXNWHRSA-N -1 1 316.199 1.725 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC1OCCCO1 ZINC000787966530 699262421 /nfs/dbraw/zinc/26/24/21/699262421.db2.gz XSZJNDGZXNCISE-UHFFFAOYSA-N -1 1 309.366 1.281 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000788117699 699280744 /nfs/dbraw/zinc/28/07/44/699280744.db2.gz KPAMLPIUYMORPX-QWHCGFSZSA-N -1 1 304.346 1.124 20 0 DDADMM Cc1sccc1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000991252705 699283098 /nfs/dbraw/zinc/28/30/98/699283098.db2.gz PCPNKZJDPWCGAK-UHFFFAOYSA-N -1 1 317.370 1.412 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1c[nH]nc1C1CC1 ZINC000789901368 699418618 /nfs/dbraw/zinc/41/86/18/699418618.db2.gz IOUKXAKAPURSMN-CYBMUJFWSA-N -1 1 309.333 1.320 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H]1C1CCCC1 ZINC000727852539 699427955 /nfs/dbraw/zinc/42/79/55/699427955.db2.gz YDRSLDNXALGZRF-ZDUSSCGKSA-N -1 1 305.378 1.108 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCc2ccc(Cl)cc21 ZINC000727852785 699428267 /nfs/dbraw/zinc/42/82/67/699428267.db2.gz CWFYESMEUUIDQW-UHFFFAOYSA-N -1 1 319.748 1.169 20 0 DDADMM Cc1nc(-c2ccc(OS(=O)(=O)c3c[n-]nc3C)cc2)no1 ZINC000730099431 699501003 /nfs/dbraw/zinc/50/10/03/699501003.db2.gz OTOKIRWAMJIATA-UHFFFAOYSA-N -1 1 320.330 1.844 20 0 DDADMM CS(=O)(=O)c1ccc(C(=O)[N-]c2ccc(F)nc2F)o1 ZINC000791737386 699651720 /nfs/dbraw/zinc/65/17/20/699651720.db2.gz AGEWKZNAMKTBKG-UHFFFAOYSA-N -1 1 302.258 1.609 20 0 DDADMM O=C(CSc1ccc(F)cc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737947540 699748703 /nfs/dbraw/zinc/74/87/03/699748703.db2.gz NPAVNGOTHRDUNI-LLVKDONJSA-N -1 1 323.353 1.031 20 0 DDADMM O=C(CSc1ccc(F)cc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737947544 699748728 /nfs/dbraw/zinc/74/87/28/699748728.db2.gz NPAVNGOTHRDUNI-NSHDSACASA-N -1 1 323.353 1.031 20 0 DDADMM O=C(c1ccc2ccccc2c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000738271941 699756196 /nfs/dbraw/zinc/75/61/96/699756196.db2.gz WYJSHUFTEXAGBW-CQSZACIVSA-N -1 1 309.329 1.567 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cccc(-n2cccn2)c1 ZINC000738390460 699758683 /nfs/dbraw/zinc/75/86/83/699758683.db2.gz ASXYQQJOJHTQOW-UHFFFAOYSA-N -1 1 304.331 1.672 20 0 DDADMM C[C@H](C(=O)NC1CCC(C)CC1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000739089020 699770832 /nfs/dbraw/zinc/77/08/32/699770832.db2.gz XGOKNVXULRDCBL-DKNRTOFZSA-N -1 1 311.426 1.659 20 0 DDADMM CCN1CCCC[C@@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000741149078 699818089 /nfs/dbraw/zinc/81/80/89/699818089.db2.gz VWHLPHATMSQONH-CQSZACIVSA-N -1 1 318.373 1.361 20 0 DDADMM CC(C)OCCO[N-]C(=O)CSc1n[nH]c(=S)s1 ZINC000795070127 699844747 /nfs/dbraw/zinc/84/47/47/699844747.db2.gz WGHWJMVDEVHANQ-UHFFFAOYSA-N -1 1 309.438 1.392 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2cccnc2F)sc1C ZINC000795266251 699854492 /nfs/dbraw/zinc/85/44/92/699854492.db2.gz XIOUDPUCIFSBRJ-UHFFFAOYSA-N -1 1 301.368 1.773 20 0 DDADMM COc1ncc(C)cc1[N-]S(=O)(=O)C[C@@H]1CCCOC1 ZINC000795326021 699858848 /nfs/dbraw/zinc/85/88/48/699858848.db2.gz HQOGQENBYFUXKU-LLVKDONJSA-N -1 1 300.380 1.567 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC2(C1)CCCCC2 ZINC000742113704 699860800 /nfs/dbraw/zinc/86/08/00/699860800.db2.gz XTPSEIDZCGTQEW-UHFFFAOYSA-N -1 1 305.378 1.110 20 0 DDADMM COCCCCCSc1nc([O-])cc(=O)n1CCOC ZINC000742351463 699874796 /nfs/dbraw/zinc/87/47/96/699874796.db2.gz XMZURTNLJGKIDL-UHFFFAOYSA-N -1 1 302.396 1.504 20 0 DDADMM Cc1ccc(CC(=O)N=c2ccnc3n(C)[n-]cc2-3)c(Cl)n1 ZINC000808783515 701620083 /nfs/dbraw/zinc/62/00/83/701620083.db2.gz FHFRKYZRRXPHMM-UHFFFAOYSA-N -1 1 315.764 1.880 20 0 DDADMM CC(C)[C@H](Sc1ccccc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000743289712 699905800 /nfs/dbraw/zinc/90/58/00/699905800.db2.gz BCDIVRSACVTGRG-LBPRGKRZSA-N -1 1 307.375 1.386 20 0 DDADMM CN1CCN(c2ccccc2NC(=O)CCCC(=O)[O-])CC1 ZINC000743411964 699911194 /nfs/dbraw/zinc/91/11/94/699911194.db2.gz PIBCNUXKFQSQME-UHFFFAOYSA-N -1 1 305.378 1.632 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cc(C(=O)OC)c(C)o2)[n-]1 ZINC000796343949 699927990 /nfs/dbraw/zinc/92/79/90/699927990.db2.gz IWRCPCWKZQOTSZ-UHFFFAOYSA-N -1 1 321.285 1.846 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)c2cc(C)n(C)c2C)[n-]1 ZINC000796345503 699928056 /nfs/dbraw/zinc/92/80/56/699928056.db2.gz NHDKDTZGFYFWAT-UHFFFAOYSA-N -1 1 318.329 1.796 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cccc(C(=O)OC)n2)[n-]1 ZINC000796350181 699928692 /nfs/dbraw/zinc/92/86/92/699928692.db2.gz KGUKKQNOZIACDU-UHFFFAOYSA-N -1 1 318.285 1.340 20 0 DDADMM COCc1noc([C@H](C)OC(=O)c2ccc(C(=O)OC)[n-]2)n1 ZINC000796364271 699929374 /nfs/dbraw/zinc/92/93/74/699929374.db2.gz CSERUZWZRBLNKA-ZETCQYMHSA-N -1 1 309.278 1.249 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)NC2CCCCC2)[n-]1 ZINC000796368603 699929491 /nfs/dbraw/zinc/92/94/91/699929491.db2.gz GENUJRAAEHFZET-UHFFFAOYSA-N -1 1 308.334 1.407 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N2C[C@H](C)C[C@H](C)C2)[n-]1 ZINC000796367408 699929656 /nfs/dbraw/zinc/92/96/56/699929656.db2.gz ZNNIXGHZOHRGNB-PHIMTYICSA-N -1 1 322.361 1.463 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OC[C@@H](C)OC)n2)cc1 ZINC000801363646 700300867 /nfs/dbraw/zinc/30/08/67/700300867.db2.gz ZXJDJXWUZUWXTD-SNVBAGLBSA-N -1 1 306.318 1.778 20 0 DDADMM CCc1nc(C)c(C(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000801701990 700332623 /nfs/dbraw/zinc/33/26/23/700332623.db2.gz LQFOMBIWQZVRIY-UHFFFAOYSA-N -1 1 301.375 1.921 20 0 DDADMM C[C@@H]1CCC[C@H](OCC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000801703870 700333112 /nfs/dbraw/zinc/33/31/12/700333112.db2.gz TZRWAPRMIQYPPC-NEPJUHHUSA-N -1 1 302.378 1.876 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCc2c1cccc2Cl ZINC000757074495 700655245 /nfs/dbraw/zinc/65/52/45/700655245.db2.gz BEFOESHLZGIOJV-UHFFFAOYSA-N -1 1 319.748 1.169 20 0 DDADMM Cn1[n-]c(COC(=O)CCCCC(=O)c2ccccc2)nc1=O ZINC000765464506 701014049 /nfs/dbraw/zinc/01/40/49/701014049.db2.gz SPGSLQKENDGYTG-UHFFFAOYSA-N -1 1 317.345 1.595 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2C[C@@H]2c2c(F)cccc2F)nc1=O ZINC000765481183 701015124 /nfs/dbraw/zinc/01/51/24/701015124.db2.gz TVLNCDQGMBZXAC-YUMQZZPRSA-N -1 1 309.272 1.234 20 0 DDADMM O=C(CCCn1c(=O)oc2ccccc21)[N-]OCC(F)(F)F ZINC000766766676 701062347 /nfs/dbraw/zinc/06/23/47/701062347.db2.gz AZCRDAPWBMKRAH-UHFFFAOYSA-N -1 1 318.251 1.985 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC000766821915 701064272 /nfs/dbraw/zinc/06/42/72/701064272.db2.gz UXQOESYFOGYABE-UHFFFAOYSA-N -1 1 303.290 1.276 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2cnn(C)n2)c(=O)[n-]1 ZINC000802977817 701071796 /nfs/dbraw/zinc/07/17/96/701071796.db2.gz JALYBSUHNDIPQH-UHFFFAOYSA-N -1 1 323.378 1.017 20 0 DDADMM CC(C)(C)OC(=O)NC/C=C/CNC(=O)c1cncc([O-])c1 ZINC000803100198 701080839 /nfs/dbraw/zinc/08/08/39/701080839.db2.gz FYZJUXZAYICAGO-SNAWJCMRSA-N -1 1 307.350 1.598 20 0 DDADMM COCCN(CC(=O)OC)C(=O)c1c([O-])cccc1Cl ZINC000769858676 701259574 /nfs/dbraw/zinc/25/95/74/701259574.db2.gz UGENGNOTNUXEEM-UHFFFAOYSA-N -1 1 301.726 1.307 20 0 DDADMM Cc1cnc(-c2cccc(NC(=O)NN3CC(=O)[N-]C3=O)c2)o1 ZINC000770440245 701278081 /nfs/dbraw/zinc/27/80/81/701278081.db2.gz GVQAPJTXDYARTK-UHFFFAOYSA-N -1 1 315.289 1.238 20 0 DDADMM CC(=O)Nc1ccc(C(=O)[C@H](C)OC(=O)c2cn[n-]n2)cc1 ZINC000805606463 701398853 /nfs/dbraw/zinc/39/88/53/701398853.db2.gz XRNYKJNIEHIFGF-QMMMGPOBSA-N -1 1 302.290 1.191 20 0 DDADMM CC(C)(C)[C@@H](NC(=O)COC(=O)c1cn[n-]n1)c1cccs1 ZINC000805606249 701399112 /nfs/dbraw/zinc/39/91/12/701399112.db2.gz VRVBFHSBYMEPDT-LBPRGKRZSA-N -1 1 322.390 1.927 20 0 DDADMM C[C@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccc2ccccc2c1 ZINC000805606253 701399138 /nfs/dbraw/zinc/39/91/38/701399138.db2.gz VWCGPYJKBQUUJW-NSHDSACASA-N -1 1 324.340 1.992 20 0 DDADMM O=C(CNC(=O)C(=O)c1ccc([O-])cc1)NCc1ccccc1 ZINC000806453211 701435861 /nfs/dbraw/zinc/43/58/61/701435861.db2.gz HWZZVDKUIDDGJW-UHFFFAOYSA-N -1 1 312.325 1.008 20 0 DDADMM CC[C@H](C)[C@](C)(O)CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806480934 701436942 /nfs/dbraw/zinc/43/69/42/701436942.db2.gz CPKSTLXATWEEFF-ZUZCIYMTSA-N -1 1 305.382 1.260 20 0 DDADMM C[C@@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)c1cccc(O)c1 ZINC000806483326 701437031 /nfs/dbraw/zinc/43/70/31/701437031.db2.gz ZNKMPBSLMUXIMC-SNVBAGLBSA-N -1 1 311.345 1.930 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@@H](c4ccco4)C3)ccnc1-2 ZINC000806484081 701437325 /nfs/dbraw/zinc/43/73/25/701437325.db2.gz BLSKMWDKQDRSRP-LLVKDONJSA-N -1 1 311.345 1.956 20 0 DDADMM CC[C@H](C[C@@H](C)CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806487802 701437459 /nfs/dbraw/zinc/43/74/59/701437459.db2.gz DOSATZHJLARRAA-GHMZBOCLSA-N -1 1 305.382 1.260 20 0 DDADMM CC[C@H](C[C@H](C)CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806487807 701437466 /nfs/dbraw/zinc/43/74/66/701437466.db2.gz DOSATZHJLARRAA-WDEREUQCSA-N -1 1 305.382 1.260 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC4(CCO4)CC3)ccnc1-2 ZINC000806490677 701437759 /nfs/dbraw/zinc/43/77/59/701437759.db2.gz ZIABGFPZGGXEGD-UHFFFAOYSA-N -1 1 301.350 1.129 20 0 DDADMM Cc1nn(C)cc1[C@@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806493318 701437993 /nfs/dbraw/zinc/43/79/93/701437993.db2.gz SWJOXWAEHFYWMM-SECBINFHSA-N -1 1 313.365 1.266 20 0 DDADMM CN(Cc1cccc(C(N)=O)c1)C(=O)C(=O)c1ccc([O-])cc1 ZINC000806543166 701440925 /nfs/dbraw/zinc/44/09/25/701440925.db2.gz LZRVFLDOGDBYKY-UHFFFAOYSA-N -1 1 312.325 1.332 20 0 DDADMM CO[C@H](C)CC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807706785 701484408 /nfs/dbraw/zinc/48/44/08/701484408.db2.gz ZREDMOGLUOWFNQ-WYFGTUCQSA-N -1 1 324.343 1.715 20 0 DDADMM O=C([C@H](O)c1ccccc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000807995658 701493631 /nfs/dbraw/zinc/49/36/31/701493631.db2.gz KBOFNLWCXRUXKC-NWDGAFQWSA-N -1 1 303.318 1.215 20 0 DDADMM Cc1cccnc1C=CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000808022169 701494579 /nfs/dbraw/zinc/49/45/79/701494579.db2.gz APPLKZXBJKCLQC-SNAWJCMRSA-N -1 1 314.345 1.898 20 0 DDADMM CCCc1occc1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952809 706609365 /nfs/dbraw/zinc/60/93/65/706609365.db2.gz MSCZSFYYCBYXNC-UHFFFAOYSA-N -1 1 317.345 1.730 20 0 DDADMM CCCCCCO[C@@H](C)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000839709559 701791849 /nfs/dbraw/zinc/79/18/49/701791849.db2.gz JMJKINFQSXJNHE-STQMWFEESA-N -1 1 309.414 1.891 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@@H]2CC=CC[C@H]2C(F)(F)F)nn1 ZINC000867849120 701791962 /nfs/dbraw/zinc/79/19/62/701791962.db2.gz VXTPWMVWUJMADD-NXEZZACHSA-N -1 1 321.324 1.960 20 0 DDADMM CC1(C[N-]S(=O)(=O)C[C@H]2CCCCC2(F)F)OCCO1 ZINC000831005946 706618886 /nfs/dbraw/zinc/61/88/86/706618886.db2.gz SIQPWXGYOVFJDA-SNVBAGLBSA-N -1 1 313.366 1.494 20 0 DDADMM CCC(C)(C)C(=O)COC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000811080340 701909618 /nfs/dbraw/zinc/90/96/18/701909618.db2.gz IICOZAUJWPMKMG-UHFFFAOYSA-N -1 1 317.363 1.350 20 0 DDADMM COC(=O)CCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000811558041 702003894 /nfs/dbraw/zinc/00/38/94/702003894.db2.gz GBZVUNSEOFUACD-LBPRGKRZSA-N -1 1 320.345 1.041 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@H]2CCCOC2)C1 ZINC000868282557 702039939 /nfs/dbraw/zinc/03/99/39/702039939.db2.gz CHQORBNULRVWLP-CABZTGNLSA-N -1 1 323.315 1.018 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2CCCOC2)C1 ZINC000868282556 702040367 /nfs/dbraw/zinc/04/03/67/702040367.db2.gz CHQORBNULRVWLP-BXKDBHETSA-N -1 1 323.315 1.018 20 0 DDADMM CCCCC[C@H](O)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831121886 706638876 /nfs/dbraw/zinc/63/88/76/706638876.db2.gz QCEBPUWBBZJHSM-JTQLQIEISA-N -1 1 310.316 1.065 20 0 DDADMM CCCc1cc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)[nH]n1 ZINC000831154525 706645746 /nfs/dbraw/zinc/64/57/46/706645746.db2.gz URZVFDDHGGTAQY-UHFFFAOYSA-N -1 1 318.299 1.113 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2C[C@H]2C2CC2)C1 ZINC000868569503 702203052 /nfs/dbraw/zinc/20/30/52/702203052.db2.gz LYPFFXUTICDNEH-CWSCBRNRSA-N -1 1 319.327 1.637 20 0 DDADMM O=C([N-]OC1CCC1)[C@@H]1CC(=O)N(c2ccc(F)cc2F)C1 ZINC000812788714 702239090 /nfs/dbraw/zinc/23/90/90/702239090.db2.gz WTIZYECIRNFHLT-SECBINFHSA-N -1 1 310.300 1.918 20 0 DDADMM CC[C@@H](C)CO[N-]C(=O)[C@@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000816978248 702253223 /nfs/dbraw/zinc/25/32/23/702253223.db2.gz PWKOWCDSZOJYCV-CHWSQXEVSA-N -1 1 305.378 1.524 20 0 DDADMM CC[C@H](C)CO[N-]C(=O)[C@@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000816978250 702253261 /nfs/dbraw/zinc/25/32/61/702253261.db2.gz PWKOWCDSZOJYCV-QWHCGFSZSA-N -1 1 305.378 1.524 20 0 DDADMM O=C(Nc1cn[nH]c1)[C@@H]1CCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000868693094 702269202 /nfs/dbraw/zinc/26/92/02/702269202.db2.gz IDJJCZCOTNOYNJ-SNVBAGLBSA-N -1 1 318.308 1.355 20 0 DDADMM CS(C)(=O)=NC(=O)CNC(=O)c1ccc(Cl)cc1[O-] ZINC000868953169 702401759 /nfs/dbraw/zinc/40/17/59/702401759.db2.gz HGBVXIGUHSZQOP-UHFFFAOYSA-N -1 1 304.755 1.030 20 0 DDADMM Cc1ccc(NC(=O)c2c(=O)[nH]c(=O)n(CC(C)C)c2[O-])cc1 ZINC000817572068 702414561 /nfs/dbraw/zinc/41/45/61/702414561.db2.gz ZHCDXYBWPBXSLZ-GFCCVEGCSA-N -1 1 317.345 1.284 20 0 DDADMM COCCOCCN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000813883887 702431061 /nfs/dbraw/zinc/43/10/61/702431061.db2.gz BZTLBYUNRHPRPF-UHFFFAOYSA-N -1 1 311.382 1.349 20 0 DDADMM COC(=O)N1c2ccccc2C[C@@H]1C[N-]C(=O)C(F)(F)F ZINC000817674646 702447401 /nfs/dbraw/zinc/44/74/01/702447401.db2.gz BYXDJWFAFNESQC-SECBINFHSA-N -1 1 302.252 1.863 20 0 DDADMM CCN(OC)C(=O)CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869084972 702452533 /nfs/dbraw/zinc/45/25/33/702452533.db2.gz OKTWVQSLGCZNST-UHFFFAOYSA-N -1 1 300.742 1.114 20 0 DDADMM O=C(N[C@H]1CCOC1=O)c1ccc(Br)cc1[O-] ZINC000869095680 702457354 /nfs/dbraw/zinc/45/73/54/702457354.db2.gz YDADNAOZQIOPPH-QMMMGPOBSA-N -1 1 300.108 1.200 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cccc2Cl)[C@@H](C)CN1CCO ZINC000841457102 702457372 /nfs/dbraw/zinc/45/73/72/702457372.db2.gz NUSZFBSMOSBLDT-MNOVXSKESA-N -1 1 312.797 1.573 20 0 DDADMM C[C@@H](CF)NC(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000814421301 702520788 /nfs/dbraw/zinc/52/07/88/702520788.db2.gz AITLFWQINRMWRE-DTWKUNHWSA-N -1 1 313.295 1.445 20 0 DDADMM COC1([C@@H](C)NC(=O)N=c2ccnc3n(C)[n-]cc2-3)CCC1 ZINC000841706262 702544841 /nfs/dbraw/zinc/54/48/41/702544841.db2.gz SZBPTRCDPHFHMO-SNVBAGLBSA-N -1 1 303.366 1.421 20 0 DDADMM C[C@@H]1CCC[C@@H]1CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869475637 702618654 /nfs/dbraw/zinc/61/86/54/702618654.db2.gz TWPATXHDUCBHPE-NXEZZACHSA-N -1 1 323.311 1.994 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C[C@@H]1C=CCCC1 ZINC000869480737 702620876 /nfs/dbraw/zinc/62/08/76/702620876.db2.gz ZTADOJMFPCQTAG-SNVBAGLBSA-N -1 1 321.295 1.914 20 0 DDADMM COCc1ccc(CNC(=O)CO[N-]C(=O)CC(C)(C)C)cc1 ZINC000842061001 702665643 /nfs/dbraw/zinc/66/56/43/702665643.db2.gz GJBKUNWZTDTVGA-UHFFFAOYSA-N -1 1 322.405 1.933 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CCCSC2)CCC1 ZINC000843013689 702803311 /nfs/dbraw/zinc/80/33/11/702803311.db2.gz JEHKRQOHFYYEIT-LLVKDONJSA-N -1 1 320.480 1.060 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C(C)(Cl)Cl)CCC1 ZINC000843015548 702804013 /nfs/dbraw/zinc/80/40/13/702804013.db2.gz MDBJNTHUOSEKKE-UHFFFAOYSA-N -1 1 317.238 1.111 20 0 DDADMM Cc1ccc(C[N-]S(=O)(=O)N=S2(=O)CCCC2)cc1F ZINC000866437350 706698979 /nfs/dbraw/zinc/69/89/79/706698979.db2.gz FTEPMNOLRAGECB-UHFFFAOYSA-N -1 1 320.411 1.730 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CC(C)(C)c1ccccc1 ZINC000866476295 706709119 /nfs/dbraw/zinc/70/91/19/706709119.db2.gz KPXZGRPEDMZMRM-LJQANCHMSA-N -1 1 318.464 1.916 20 0 DDADMM O=C(CCCc1ccc2c(c1)CCCC2)NN1CC(=O)[N-]C1=O ZINC000845220446 703130065 /nfs/dbraw/zinc/13/00/65/703130065.db2.gz WRRNWOXHEPPWQJ-UHFFFAOYSA-N -1 1 315.373 1.471 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]C(=O)C(F)(F)c1ccccc1F ZINC000846424627 703274304 /nfs/dbraw/zinc/27/43/04/703274304.db2.gz HTEZUEVZYWJOEA-SNVBAGLBSA-N -1 1 307.243 1.935 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(Cc3ccc4nonc4c3)C2)n[nH]1 ZINC000846904989 703349617 /nfs/dbraw/zinc/34/96/17/703349617.db2.gz MWODICHMKDFAMP-SNVBAGLBSA-N -1 1 300.322 1.426 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000847078401 703374937 /nfs/dbraw/zinc/37/49/37/703374937.db2.gz IJRNVSZRJTXZSS-FMFIFOJESA-N -1 1 314.345 1.898 20 0 DDADMM COCOCCCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000848169275 703519653 /nfs/dbraw/zinc/51/96/53/703519653.db2.gz NDOUACLCGRSXAS-UHFFFAOYSA-N -1 1 311.382 1.529 20 0 DDADMM CC[C@@H](C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C)[C@H](C)O ZINC000848391358 703545548 /nfs/dbraw/zinc/54/55/48/703545548.db2.gz GEXAJZREMBQSAY-LMLFDSFASA-N -1 1 324.343 1.451 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)C[C@H](OC)C1CCCC1)C(=O)OC ZINC000849384600 703641891 /nfs/dbraw/zinc/64/18/91/703641891.db2.gz PJNFXFSXECDKGO-STQMWFEESA-N -1 1 321.439 1.453 20 0 DDADMM Cc1nocc1COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000849699669 703669017 /nfs/dbraw/zinc/66/90/17/703669017.db2.gz LKFRUUACPFMPBR-UHFFFAOYSA-N -1 1 316.360 1.773 20 0 DDADMM C[C@@H](O)C1([N-]S(=O)(=O)c2ccc(Br)o2)CC1 ZINC000851830946 703866474 /nfs/dbraw/zinc/86/64/74/703866474.db2.gz HTZRQBHKSVTEIP-ZCFIWIBFSA-N -1 1 310.169 1.234 20 0 DDADMM CC(C)(C)OC(=O)CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879762708 706783802 /nfs/dbraw/zinc/78/38/02/706783802.db2.gz ILZZFVGTGQQAPB-LBPRGKRZSA-N -1 1 310.316 1.471 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCOC1)c1cc(Cl)cnc1Cl ZINC000866743956 706785182 /nfs/dbraw/zinc/78/51/82/706785182.db2.gz GEIMIRJSDQAUCV-MRVPVSSYSA-N -1 1 311.190 1.846 20 0 DDADMM CC(C)N1CC[C@@H](N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1=O ZINC000879764958 706785317 /nfs/dbraw/zinc/78/53/17/706785317.db2.gz KUJRLTUJBDWEPP-MFKMUULPSA-N -1 1 321.343 1.139 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)N[C@@H]1C=CCC1 ZINC000852505579 704065149 /nfs/dbraw/zinc/06/51/49/704065149.db2.gz XXZTXSHBSOUHGH-GMTAPVOTSA-N -1 1 319.327 1.946 20 0 DDADMM C[C@@H]1CCN(CC(=O)Nc2ccc(Cl)nc2)C[C@@H]1C(=O)[O-] ZINC000852731010 704108442 /nfs/dbraw/zinc/10/84/42/704108442.db2.gz XUEHONUNWHWTPO-KOLCDFICSA-N -1 1 311.769 1.716 20 0 DDADMM COC(=O)/C(C)=C/CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852758137 704117514 /nfs/dbraw/zinc/11/75/14/704117514.db2.gz YWVQMPHXLUDMQG-REHFQEBNSA-N -1 1 322.327 1.495 20 0 DDADMM CNC(=O)NC[C@@H]1CCCCN1C(=O)c1cc(F)ccc1[O-] ZINC000870759522 704123311 /nfs/dbraw/zinc/12/33/11/704123311.db2.gz DOPRFXOUTWAWKG-NSHDSACASA-N -1 1 309.341 1.455 20 0 DDADMM CC1(C)CCC[C@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C1 ZINC000819613505 704150992 /nfs/dbraw/zinc/15/09/92/704150992.db2.gz BIJPMOPTBRNPIZ-NSHDSACASA-N -1 1 307.398 1.226 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000819627361 704153154 /nfs/dbraw/zinc/15/31/54/704153154.db2.gz APGKAOZBCTXXQK-SYTKJHMZSA-N -1 1 315.329 1.900 20 0 DDADMM CCNC(=O)CCCC(=O)n1[n-]c(=O)c2ccc(OC)cc21 ZINC000819955723 704192568 /nfs/dbraw/zinc/19/25/68/704192568.db2.gz NWZXCEOMRUGZFL-UHFFFAOYSA-N -1 1 305.334 1.697 20 0 DDADMM Cc1cc(C)nc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000819969892 704194668 /nfs/dbraw/zinc/19/46/68/704194668.db2.gz ZUZVTSHUHSREDK-NSHDSACASA-N -1 1 302.334 1.807 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2cnccn2)c1 ZINC000819979646 704196794 /nfs/dbraw/zinc/19/67/94/704196794.db2.gz ICEXCBYTQJHHNV-KPWVOAKYSA-N -1 1 320.370 1.897 20 0 DDADMM CSc1nc(C)c(CCC(=O)O[C@H](C)c2nc[nH]n2)c(=O)[n-]1 ZINC000853216803 704218063 /nfs/dbraw/zinc/21/80/63/704218063.db2.gz OBDXNOQBLFJADT-MRVPVSSYSA-N -1 1 323.378 1.568 20 0 DDADMM O=C([N-]OCCCC(F)(F)F)C1CN(CC(F)(F)F)C1 ZINC000871215364 704244464 /nfs/dbraw/zinc/24/44/64/704244464.db2.gz ZJNRSBHAYYXMTR-UHFFFAOYSA-N -1 1 308.222 1.871 20 0 DDADMM O=C(NCCC1SCCS1)c1nc2ccccc2c(=O)[n-]1 ZINC000853865201 704327694 /nfs/dbraw/zinc/32/76/94/704327694.db2.gz QBSJLQINZHUDSQ-UHFFFAOYSA-N -1 1 321.427 1.849 20 0 DDADMM O=C(NCCOC(=O)C1CC1)c1ncc2ccccc2c1[O-] ZINC000854334765 704380684 /nfs/dbraw/zinc/38/06/84/704380684.db2.gz QPSLGFXWWUSSDF-UHFFFAOYSA-N -1 1 300.314 1.623 20 0 DDADMM CCOC[C@@H]1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000821373892 704398996 /nfs/dbraw/zinc/39/89/96/704398996.db2.gz NPYWFBVMGUURAN-SECBINFHSA-N -1 1 308.773 1.778 20 0 DDADMM CCOC[C@@H]1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000821373892 704398998 /nfs/dbraw/zinc/39/89/98/704398998.db2.gz NPYWFBVMGUURAN-SECBINFHSA-N -1 1 308.773 1.778 20 0 DDADMM O=C(NCCO[C@H]1CCCCO1)c1ccc2n[n-]c(=S)n2c1 ZINC000854552170 704416952 /nfs/dbraw/zinc/41/69/52/704416952.db2.gz QFDAAYWBTMWFPR-LBPRGKRZSA-N -1 1 322.390 1.291 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCCS1)c1ccc(F)nc1F ZINC000867089629 706892734 /nfs/dbraw/zinc/89/27/34/706892734.db2.gz JMPQCBLLILARNB-MRVPVSSYSA-N -1 1 308.375 1.924 20 0 DDADMM COc1ccc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])cc1 ZINC000821772750 704440928 /nfs/dbraw/zinc/44/09/28/704440928.db2.gz OHQXXPLTZFWLBC-UHFFFAOYSA-N -1 1 311.301 1.833 20 0 DDADMM Cn1c(NC(=O)c2ccc3n[n-]c(=S)n3c2)nnc1C1CC1 ZINC000855250865 704475307 /nfs/dbraw/zinc/47/53/07/704475307.db2.gz CCZYTQIOZATFOB-UHFFFAOYSA-N -1 1 315.362 1.276 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@H](N2CCOC2=O)C1 ZINC000855502804 704488906 /nfs/dbraw/zinc/48/89/06/704488906.db2.gz HEQPYENZLZDEJC-QMMMGPOBSA-N -1 1 312.272 1.337 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@H]2COC[C@@]2(C)C1 ZINC000855840567 704502815 /nfs/dbraw/zinc/50/28/15/704502815.db2.gz ZEVAZYBKWQMPNX-MEDUHNTESA-N -1 1 305.378 1.723 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)C2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856415259 704527913 /nfs/dbraw/zinc/52/79/13/704527913.db2.gz ZMFUYRPOAYMQBI-KKZNHRDASA-N -1 1 320.311 1.271 20 0 DDADMM C[C@@H]1CCN(C(=O)CC2(O)CCC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856416456 704527967 /nfs/dbraw/zinc/52/79/67/704527967.db2.gz ZTXBWGIUVITHHF-ZJUUUORDSA-N -1 1 322.327 1.207 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)c3ccc(C)o3)nc2n1 ZINC000857684869 704613722 /nfs/dbraw/zinc/61/37/22/704613722.db2.gz QVDBUISQKWJFKU-VIFPVBQESA-N -1 1 315.333 1.624 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)N=S(=O)(CC)CC)c1ccncc1 ZINC000866865187 706829571 /nfs/dbraw/zinc/82/95/71/706829571.db2.gz URARVTSKOUDLDZ-LBPRGKRZSA-N -1 1 319.452 1.875 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@H]1CCCSC1 ZINC000867269425 706943144 /nfs/dbraw/zinc/94/31/44/706943144.db2.gz DSLIIFKCGUIVQP-SNVBAGLBSA-N -1 1 312.482 1.226 20 0 DDADMM CS(=O)(=O)c1ccc(/C=C\C(=O)[N-]O[C@H]2CCCCO2)o1 ZINC000858987554 704780977 /nfs/dbraw/zinc/78/09/77/704780977.db2.gz SPJULXNHYIFFPX-HWPRWLFBSA-N -1 1 315.347 1.271 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1cc(C(F)(F)F)co1)C2 ZINC000873643568 704815286 /nfs/dbraw/zinc/81/52/86/704815286.db2.gz MAWIRYOMCHMFDC-BXKDBHETSA-N -1 1 305.252 1.831 20 0 DDADMM CC1=NO[C@H](C[N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000867347624 706969376 /nfs/dbraw/zinc/96/93/76/706969376.db2.gz HSRHNHUWIVVLFV-ZETCQYMHSA-N -1 1 323.168 1.485 20 0 DDADMM CC(C)[C@@H]1C[C@H]1[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867385562 706983410 /nfs/dbraw/zinc/98/34/10/706983410.db2.gz JXCGEFCJWCDSLV-JGVFFNPUSA-N -1 1 311.329 1.762 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3C[C@]4(C)COC[C@]4(C)C3)ccnc1-2 ZINC000823064279 705128786 /nfs/dbraw/zinc/12/87/86/705128786.db2.gz YDIAUIBJRXRYDN-IYBDPMFKSA-N -1 1 315.377 1.232 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=C2CC[C@@H](C)C2)n[n-]1 ZINC000880667613 707052600 /nfs/dbraw/zinc/05/26/00/707052600.db2.gz YBVNAZQOCLMRPA-PCYIOHOOSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=C2CC[C@@H](C)C2)[n-]1 ZINC000880667613 707052604 /nfs/dbraw/zinc/05/26/04/707052604.db2.gz YBVNAZQOCLMRPA-PCYIOHOOSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)/C=C2\CC[C@@H](C)C2)n1 ZINC000880667613 707052607 /nfs/dbraw/zinc/05/26/07/707052607.db2.gz YBVNAZQOCLMRPA-PCYIOHOOSA-N -1 1 306.366 1.905 20 0 DDADMM COC(=O)[C@H](C)Oc1ccc(C=C2SC(=O)[N-]C2=O)cc1 ZINC000874929299 705230758 /nfs/dbraw/zinc/23/07/58/705230758.db2.gz YKZGHRZIAXHYMP-CLUBXZQOSA-N -1 1 307.327 1.951 20 0 DDADMM CCC[C@@H](OCC)C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000860648222 705245152 /nfs/dbraw/zinc/24/51/52/705245152.db2.gz NMKNXOGPLKPJQK-GFCCVEGCSA-N -1 1 322.365 1.017 20 0 DDADMM O=C1CCc2ccc(NCc3ccc(-c4nnn[n-]4)o3)cc2N1 ZINC000823535920 705262710 /nfs/dbraw/zinc/26/27/10/705262710.db2.gz MFBHPFKTIAZZRN-UHFFFAOYSA-N -1 1 310.317 1.957 20 0 DDADMM O=C1CCc2ccc(NCc3ccc(-c4nn[n-]n4)o3)cc2N1 ZINC000823535920 705262715 /nfs/dbraw/zinc/26/27/15/705262715.db2.gz MFBHPFKTIAZZRN-UHFFFAOYSA-N -1 1 310.317 1.957 20 0 DDADMM Cc1cnc(C(=O)NCC2C[C@H]3CC[C@@H](C2)S3(=O)=O)c([O-])c1 ZINC000834623288 707095036 /nfs/dbraw/zinc/09/50/36/707095036.db2.gz CFBPXWVOPIEEQX-YOGCLGLASA-N -1 1 324.402 1.181 20 0 DDADMM COCCN(C)c1nc(O)c(CC(=O)NCCC(C)C)s1 ZINC000871597765 707134209 /nfs/dbraw/zinc/13/42/09/707134209.db2.gz WYUFFTKXCWJDRZ-NSHDSACASA-N -1 1 315.439 1.115 20 0 DDADMM CSc1nc(CNC(=O)c2ccnn2C2CCC2)cc(=O)[n-]1 ZINC000824603066 705491282 /nfs/dbraw/zinc/49/12/82/705491282.db2.gz OAIUJKSYQHFJOH-UHFFFAOYSA-N -1 1 319.390 1.756 20 0 DDADMM O=C([N-]CC1CN(C(=O)NOCC2CCC2)C1)C(F)(F)F ZINC000875752863 705499816 /nfs/dbraw/zinc/49/98/16/705499816.db2.gz HPLWKCGDLSKAEJ-UHFFFAOYSA-N -1 1 309.288 1.038 20 0 DDADMM CC[C@H]1CCCCCN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825193085 705621268 /nfs/dbraw/zinc/62/12/68/705621268.db2.gz JZNAWOXITZCKTA-NSHDSACASA-N -1 1 318.381 1.887 20 0 DDADMM CC[C@H]1CCCCCN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825193085 705621272 /nfs/dbraw/zinc/62/12/72/705621272.db2.gz JZNAWOXITZCKTA-NSHDSACASA-N -1 1 318.381 1.887 20 0 DDADMM C[C@@H](NC(=O)NCc1ccc([O-])c(Cl)c1)C(=O)NCCF ZINC000876396638 705708296 /nfs/dbraw/zinc/70/82/96/705708296.db2.gz LFKJHEVCFRTXMS-MRVPVSSYSA-N -1 1 317.748 1.319 20 0 DDADMM COC[C@H]1CCCN1[N-]C(=O)C(F)(F)C1(O)CCCCC1 ZINC000862590127 705741970 /nfs/dbraw/zinc/74/19/70/705741970.db2.gz FLNCVWRLHLYYOB-LLVKDONJSA-N -1 1 306.353 1.459 20 0 DDADMM Cc1onc(CC(=O)NC[C@H](C)C(C)(C)C)c1-c1nnn[n-]1 ZINC000826346280 705794066 /nfs/dbraw/zinc/79/40/66/705794066.db2.gz IGAKIVIAKFWMDQ-QMMMGPOBSA-N -1 1 306.370 1.504 20 0 DDADMM Cc1onc(CC(=O)NC[C@H](C)C(C)(C)C)c1-c1nn[n-]n1 ZINC000826346280 705794070 /nfs/dbraw/zinc/79/40/70/705794070.db2.gz IGAKIVIAKFWMDQ-QMMMGPOBSA-N -1 1 306.370 1.504 20 0 DDADMM Cc1onc(CC(=O)NCC2(C3CC3)CC2)c1-c1nnn[n-]1 ZINC000826347013 705794785 /nfs/dbraw/zinc/79/47/85/705794785.db2.gz BDNRZTJTCYTPHU-UHFFFAOYSA-N -1 1 302.338 1.012 20 0 DDADMM Cc1onc(CC(=O)NCC2(C3CC3)CC2)c1-c1nn[n-]n1 ZINC000826347013 705794787 /nfs/dbraw/zinc/79/47/87/705794787.db2.gz BDNRZTJTCYTPHU-UHFFFAOYSA-N -1 1 302.338 1.012 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCO[C@H](CCF)C1 ZINC000863072987 705859614 /nfs/dbraw/zinc/85/96/14/705859614.db2.gz DUFZGQYMLGTVHB-SNVBAGLBSA-N -1 1 310.354 1.219 20 0 DDADMM O=C(NCC1(CCO)CCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000827096681 705928346 /nfs/dbraw/zinc/92/83/46/705928346.db2.gz YTNVKXFVUZFCGM-UHFFFAOYSA-N -1 1 306.391 1.301 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1(O)CCSCC1 ZINC000863476385 705936791 /nfs/dbraw/zinc/93/67/91/705936791.db2.gz CJCFQEUWUGXURS-UHFFFAOYSA-N -1 1 319.386 1.775 20 0 DDADMM CC(C)[C@H]1C[C@H](C(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000828416406 706175146 /nfs/dbraw/zinc/17/51/46/706175146.db2.gz IYDMAFLTOKRNNG-CHWSQXEVSA-N -1 1 307.398 1.357 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1ccc(F)cc1O ZINC000872357937 707389486 /nfs/dbraw/zinc/38/94/86/707389486.db2.gz KOLINSQKYPOAQJ-UHFFFAOYSA-N -1 1 310.372 1.696 20 0 DDADMM CCn1ncc(C(=O)CSc2nc(C)c(C3CC3)c(=O)[n-]2)n1 ZINC000865373323 706415743 /nfs/dbraw/zinc/41/57/43/706415743.db2.gz PDKBVGNUXJUCBL-UHFFFAOYSA-N -1 1 319.390 1.954 20 0 DDADMM Cc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(F)c1F ZINC000865596258 706473114 /nfs/dbraw/zinc/47/31/14/706473114.db2.gz XBMSUFCARZRMIG-VIFPVBQESA-N -1 1 309.276 1.000 20 0 DDADMM O=C(C[N-]S(=O)(=O)C[C@H]1CCCC1(F)F)OCC1CC1 ZINC000830348814 706500097 /nfs/dbraw/zinc/50/00/97/706500097.db2.gz MSQHCWIDIDXGLH-SNVBAGLBSA-N -1 1 311.350 1.294 20 0 DDADMM Cc1cc(CCNC(=O)c2ccc3n[n-]c(=S)n3c2)nn1C ZINC000830627480 706548515 /nfs/dbraw/zinc/54/85/15/706548515.db2.gz DWRJQXYIVVUSRQ-UHFFFAOYSA-N -1 1 316.390 1.032 20 0 DDADMM CC1(C)CC[C@@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)O1 ZINC000830801781 706582214 /nfs/dbraw/zinc/58/22/14/706582214.db2.gz OBWVUVCPGCPOCV-ZANVPECISA-N -1 1 322.327 1.614 20 0 DDADMM Cc1ccn(C)c1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830808389 706583800 /nfs/dbraw/zinc/58/38/00/706583800.db2.gz ZZGKMUGITRRRLR-ZDUSSCGKSA-N -1 1 317.311 1.617 20 0 DDADMM COC[C@H](C)CC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830815376 706584949 /nfs/dbraw/zinc/58/49/49/706584949.db2.gz AAXBFBAPIDGUQN-SKDRFNHKSA-N -1 1 310.316 1.329 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCC=CO2)C1 ZINC000830816012 706585114 /nfs/dbraw/zinc/58/51/14/706585114.db2.gz IPDGJADJBQZOOZ-SKDRFNHKSA-N -1 1 306.284 1.349 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CC[C@H]2CCOC2)C1 ZINC000830816351 706585521 /nfs/dbraw/zinc/58/55/21/706585521.db2.gz OMYXHGQPIQLVOI-GXFFZTMASA-N -1 1 322.327 1.473 20 0 DDADMM O=Cc1ccc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000866901239 706839552 /nfs/dbraw/zinc/83/95/52/706839552.db2.gz AXYCHPANXWFZLL-DANTVBBOSA-N -1 1 311.345 1.432 20 0 DDADMM CCOC1CC2(C[C@H]2C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1 ZINC000866901650 706839768 /nfs/dbraw/zinc/83/97/68/706839768.db2.gz LBPFDFPFTZLXCY-AKUZOMFGSA-N -1 1 305.382 1.111 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCNc1ccccc1 ZINC000866954560 706855066 /nfs/dbraw/zinc/85/50/66/706855066.db2.gz HVXQWYKKOGCNII-UHFFFAOYSA-N -1 1 319.452 1.441 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@]1(C)CCCS1 ZINC000866954922 706855573 /nfs/dbraw/zinc/85/55/73/706855573.db2.gz MYUKWGAZCLZMBA-JTQLQIEISA-N -1 1 314.498 1.614 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCOC2(CCC2)C1 ZINC000866984326 706864496 /nfs/dbraw/zinc/86/44/96/706864496.db2.gz QCKHFXNUQAXPKP-MGNBDDOMSA-N -1 1 310.441 1.040 20 0 DDADMM CS[C@@H](C)C[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000867001653 706869025 /nfs/dbraw/zinc/86/90/25/706869025.db2.gz STSVIMSJZBINOB-YPMLDQLKSA-N -1 1 322.477 1.729 20 0 DDADMM C[C@H]1C[C@@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867046826 706881664 /nfs/dbraw/zinc/88/16/64/706881664.db2.gz DBPBVVJOFLPJFX-IUCAKERBSA-N -1 1 306.334 1.453 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](O)c2ccsc2)sn1 ZINC000867055449 706883785 /nfs/dbraw/zinc/88/37/85/706883785.db2.gz HTLISSPTGZETQQ-VIFPVBQESA-N -1 1 304.418 1.525 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2ccc(F)nc2F)s1 ZINC000867105001 706897550 /nfs/dbraw/zinc/89/75/50/706897550.db2.gz IXFTUGABVINABR-YFKPBYRVSA-N -1 1 320.346 1.559 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@H](C2CC2)C1)c1ccc(F)nc1F ZINC000867110860 706899280 /nfs/dbraw/zinc/89/92/80/706899280.db2.gz ABJURSWQORAACL-ZJUUUORDSA-N -1 1 318.345 1.596 20 0 DDADMM Cc1cc(C[C@@H](C)[N-]S(=O)(=O)c2ccc(F)nc2F)[nH]n1 ZINC000867337122 706965704 /nfs/dbraw/zinc/96/57/04/706965704.db2.gz ZLJMJRBCEUDWHI-MRVPVSSYSA-N -1 1 316.333 1.301 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)N=S1(=O)CCCC1)C1CCC1 ZINC000867350474 706971109 /nfs/dbraw/zinc/97/11/09/706971109.db2.gz GIUNSIVFECVWIL-UHFFFAOYSA-N -1 1 308.469 1.909 20 0 DDADMM CC(C)C[C@H](C(=O)[O-])N(C)C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000909020883 712918570 /nfs/dbraw/zinc/91/85/70/712918570.db2.gz RMYSTFAREQHHRQ-GXFFZTMASA-N -1 1 308.382 1.408 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCc1ccco1 ZINC000833627333 707011963 /nfs/dbraw/zinc/01/19/63/707011963.db2.gz WJZGJJFXGAKMLH-GFCCVEGCSA-N -1 1 309.366 1.013 20 0 DDADMM CSc1nc(CNC(=O)CC2(O)CCCCC2)cc(=O)[n-]1 ZINC000880652399 707048680 /nfs/dbraw/zinc/04/86/80/707048680.db2.gz IDHMAZUXOLTQHV-UHFFFAOYSA-N -1 1 311.407 1.606 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Br)o2)[C@@H](C)O1 ZINC000867609399 707052926 /nfs/dbraw/zinc/05/29/26/707052926.db2.gz RDVRSLYVKIHZKD-PRJMDXOYSA-N -1 1 324.196 1.886 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1ccc(F)nc1F)[C@@H]1CCCCO1 ZINC000867683476 707074054 /nfs/dbraw/zinc/07/40/54/707074054.db2.gz KXKRVCAVCAPYOD-JTQLQIEISA-N -1 1 320.361 1.986 20 0 DDADMM CC[C@@H](C)NC(=O)CO[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871799458 707208392 /nfs/dbraw/zinc/20/83/92/707208392.db2.gz MQKNDKZTVNALQQ-GFCCVEGCSA-N -1 1 308.378 1.590 20 0 DDADMM O=C1N[C@@H]([C@H]2CCCOC2)C(=O)N1Cc1ccc([O-])c(Cl)c1 ZINC000871911923 707242551 /nfs/dbraw/zinc/24/25/51/707242551.db2.gz NVURARXTUOORAA-GWCFXTLKSA-N -1 1 324.764 1.893 20 0 DDADMM CCOC(=O)[C@@H](CC(C)C)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000871931655 707248653 /nfs/dbraw/zinc/24/86/53/707248653.db2.gz JQQNPAWORZKRFO-CYBMUJFWSA-N -1 1 323.393 1.322 20 0 DDADMM COc1cncc([N-]S(=O)(=O)C[C@H](CC(C)C)OC)c1 ZINC000872006357 707278495 /nfs/dbraw/zinc/27/84/95/707278495.db2.gz WENNEJCSDLFSDH-ZDUSSCGKSA-N -1 1 302.396 1.893 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(C)(C)CC(F)F)co1 ZINC000881537189 707287283 /nfs/dbraw/zinc/28/72/83/707287283.db2.gz VFAHQBYZDNQNOP-UHFFFAOYSA-N -1 1 324.349 1.599 20 0 DDADMM CC[C@@H](C)[C@H](COC(=O)c1ccc(S(=O)(=O)[N-]C)o1)OC ZINC000835627643 707298726 /nfs/dbraw/zinc/29/87/26/707298726.db2.gz NYJXJYMFHQCFND-KOLCDFICSA-N -1 1 319.379 1.406 20 0 DDADMM CO[C@@H]1CN(C[C@H](O)c2cccc(Cl)c2)[C@](C)(C(=O)[O-])C1 ZINC000872161445 707310708 /nfs/dbraw/zinc/31/07/08/707310708.db2.gz SZAFGAPQONQNHV-YDHLFZDLSA-N -1 1 313.781 1.937 20 0 DDADMM CCCn1cc([N-]S(=O)(=O)CCOCC2CCC2)cn1 ZINC000872356015 707388832 /nfs/dbraw/zinc/38/88/32/707388832.db2.gz WPWHOVSQPWUMEL-UHFFFAOYSA-N -1 1 301.412 1.852 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CC12CCOCC2 ZINC000872496226 707446555 /nfs/dbraw/zinc/44/65/55/707446555.db2.gz SFXNUQHROHZKKZ-OVWNDWIMSA-N -1 1 324.468 1.286 20 0 DDADMM Cc1ncccc1C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872509882 707454408 /nfs/dbraw/zinc/45/44/08/707454408.db2.gz XHOQPIFNLOTIPM-IBGZPJMESA-N -1 1 319.452 1.621 20 0 DDADMM C[C@H](O)CN(CC(F)F)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000837010342 707564119 /nfs/dbraw/zinc/56/41/19/707564119.db2.gz ZAVDXZSXBSLMOC-ZETCQYMHSA-N -1 1 316.333 1.106 20 0 DDADMM CCO[C@@H]1COC[C@H]1[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000837092989 707577059 /nfs/dbraw/zinc/57/70/59/707577059.db2.gz VXDCKMFLUJSHCE-GHMZBOCLSA-N -1 1 321.270 1.977 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C(=O)c1cc(C)[nH]n1)CC2 ZINC000872756165 707586059 /nfs/dbraw/zinc/58/60/59/707586059.db2.gz CCTUUGGFHHAQAJ-UHFFFAOYSA-N -1 1 315.329 1.623 20 0 DDADMM C[C@@]1(CS(=O)(=O)[N-][C@@H]2CCCC23OCCO3)CC1(F)F ZINC000882412598 707628207 /nfs/dbraw/zinc/62/82/07/707628207.db2.gz OERNRBFTJMNCEL-ZJUUUORDSA-N -1 1 311.350 1.247 20 0 DDADMM C[C@]1(CNC(=O)NCc2ccc([O-])c(Cl)c2)CCC(=O)N1 ZINC000873037614 707703669 /nfs/dbraw/zinc/70/36/69/707703669.db2.gz DCRIZYYVDQDGEP-CQSZACIVSA-N -1 1 311.769 1.514 20 0 DDADMM CCON(C)C(=O)CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873056309 707712370 /nfs/dbraw/zinc/71/23/70/707712370.db2.gz YOFSQYFIMXHXHL-UHFFFAOYSA-N -1 1 315.757 1.255 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2CC23CCC3)C1 ZINC000873267236 707791705 /nfs/dbraw/zinc/79/17/05/707791705.db2.gz AEOCYQCOXDJLFP-BXKDBHETSA-N -1 1 319.327 1.782 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-]c1nn(C)cc1Cl ZINC000882796849 707800448 /nfs/dbraw/zinc/80/04/48/707800448.db2.gz ZBZQVPGCWKOHJB-VIFPVBQESA-N -1 1 309.819 1.876 20 0 DDADMM COC[C@]1(C(=O)OCCc2cc(C(C)=O)ccc2[O-])CCOC1 ZINC000838255201 707879127 /nfs/dbraw/zinc/87/91/27/707879127.db2.gz BJHJLPXKECXRGQ-QGZVFWFLSA-N -1 1 322.357 1.734 20 0 DDADMM COC(=O)CCN(CC(=O)[O-])Cc1cc(OC)ccc1Cl ZINC000883412420 707995037 /nfs/dbraw/zinc/99/50/37/707995037.db2.gz BFVJIGNXDBQWEZ-UHFFFAOYSA-N -1 1 315.753 1.798 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H]2C[C@@H](OC(C)C)C2)o1 ZINC000838984181 708010169 /nfs/dbraw/zinc/01/01/69/708010169.db2.gz FCNZXNAHEMYIFV-AOOOYVTPSA-N -1 1 317.363 1.301 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@H](C(=O)[O-])c1ccc(Cl)c(F)c1 ZINC000909391841 713006721 /nfs/dbraw/zinc/00/67/21/713006721.db2.gz XYKMGJYYBCDNDO-RYUDHWBXSA-N -1 1 314.744 1.815 20 0 DDADMM CCN(C)C(=O)[C@@H](C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897534041 708365492 /nfs/dbraw/zinc/36/54/92/708365492.db2.gz IMXLZQBNDHRXLW-SNVBAGLBSA-N -1 1 316.357 1.848 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccns1)[C@H](O)c1ccc(F)cc1 ZINC000885028070 708447366 /nfs/dbraw/zinc/44/73/66/708447366.db2.gz SXMCGTHGIZJREO-UFBFGSQYSA-N -1 1 316.379 1.683 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC2(CCOCC2)C1)c1ccns1 ZINC000885065757 708460805 /nfs/dbraw/zinc/46/08/05/708460805.db2.gz RARHYRBLLDFBTB-SNVBAGLBSA-N -1 1 318.420 1.150 20 0 DDADMM Cc1nc(N2CCN(C(=O)c3c([O-])cccc3Cl)CC2)n[nH]1 ZINC000898176326 708565255 /nfs/dbraw/zinc/56/52/55/708565255.db2.gz NQLPEDBPOVWFJT-UHFFFAOYSA-N -1 1 321.768 1.435 20 0 DDADMM CCOCCOCCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000885764678 708622118 /nfs/dbraw/zinc/62/21/18/708622118.db2.gz PVWQFOOWMCKAOC-UHFFFAOYSA-N -1 1 317.769 1.837 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(N)=O ZINC000885823121 708633656 /nfs/dbraw/zinc/63/36/56/708633656.db2.gz SQENTSHKYHXIPK-KGFZYKRKSA-N -1 1 324.324 1.282 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC2(CC1)C[C@H](O)CCO2 ZINC000886107913 708699772 /nfs/dbraw/zinc/69/97/72/708699772.db2.gz DGKKMWJZNCXCNH-GFCCVEGCSA-N -1 1 309.337 1.677 20 0 DDADMM CC(C)(C(=O)NCCc1c(F)cc([O-])cc1F)S(C)(=O)=O ZINC000886264940 708732092 /nfs/dbraw/zinc/73/20/92/708732092.db2.gz RBDNVAXDSPBJKL-UHFFFAOYSA-N -1 1 321.345 1.152 20 0 DDADMM CSCC[C@@H](O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266271 708732419 /nfs/dbraw/zinc/73/24/19/708732419.db2.gz FMSULLCOMPURRI-GFCCVEGCSA-N -1 1 305.346 1.443 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C1(CO)CCOCC1 ZINC000886268601 708732890 /nfs/dbraw/zinc/73/28/90/708732890.db2.gz WEKXUXFPMUVXNW-UHFFFAOYSA-N -1 1 315.316 1.118 20 0 DDADMM Cn1nnc2c1C[C@@H](NC(=O)c1c(F)ccc([O-])c1F)CC2 ZINC000886746555 708834054 /nfs/dbraw/zinc/83/40/54/708834054.db2.gz XEKSAXWGJYYBSV-ZETCQYMHSA-N -1 1 308.288 1.086 20 0 DDADMM C[C@@]1(CO)C[C@@H](O)CN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000912652724 713061055 /nfs/dbraw/zinc/06/10/55/713061055.db2.gz BZBDYEPDFTWTPO-ABKXIKBNSA-N -1 1 320.320 1.037 20 0 DDADMM C[C@@H]1C(=O)N([N-]C(=O)c2ccc(C(C)(C)C)s2)C(=O)N1C ZINC000899032489 708959539 /nfs/dbraw/zinc/95/95/39/708959539.db2.gz IIXLLXZWZGJDAD-MRVPVSSYSA-N -1 1 309.391 1.973 20 0 DDADMM C[C@@]1(CO)C[C@H](O)CN1C(=O)c1cc2ccccc2cc1[O-] ZINC000912671686 713066008 /nfs/dbraw/zinc/06/60/08/713066008.db2.gz QLQJBLXHMBLYSH-GUYCJALGSA-N -1 1 301.342 1.503 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2cc(Cl)ccc2[O-])CCS1(=O)=O ZINC000887190482 708986507 /nfs/dbraw/zinc/98/65/07/708986507.db2.gz LRKPTCDDGGLMIU-SCZZXKLOSA-N -1 1 317.794 1.741 20 0 DDADMM CC1(C)CO[C@@H](CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000899187286 709013753 /nfs/dbraw/zinc/01/37/53/709013753.db2.gz RUJBUSWNAKGDNM-JTQLQIEISA-N -1 1 322.327 1.329 20 0 DDADMM C[C@@H](NC(=O)CCCc1nn[n-]n1)c1ccc2c(c1)CCO2 ZINC000887328629 709018922 /nfs/dbraw/zinc/01/89/22/709018922.db2.gz FVBKWKWAYXYKLI-SNVBAGLBSA-N -1 1 301.350 1.335 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)C(=O)[C@@H](N)Cc1cc2ccccc2o1 ZINC000887398182 709036189 /nfs/dbraw/zinc/03/61/89/709036189.db2.gz RFIHYGVGSXHHQR-STQMWFEESA-N -1 1 304.346 1.624 20 0 DDADMM COC(=O)N[C@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000899632910 709132450 /nfs/dbraw/zinc/13/24/50/709132450.db2.gz XLCHDWGKPDQMHO-ZETCQYMHSA-N -1 1 300.261 1.241 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](C)OCCC(C)C)c1nn[n-]n1 ZINC000912860388 713109903 /nfs/dbraw/zinc/10/99/03/713109903.db2.gz NUTWDVSUXHZELC-MNOVXSKESA-N -1 1 315.443 1.561 20 0 DDADMM CSCC[C@H](NC(=O)c1occ2c1CCC2)c1nn[n-]n1 ZINC000912862150 713110289 /nfs/dbraw/zinc/11/02/89/713110289.db2.gz JRQAYNROZTTWBY-JTQLQIEISA-N -1 1 307.379 1.506 20 0 DDADMM CSCC[C@H](NC(=O)Cc1ccncc1C)c1nn[n-]n1 ZINC000912862875 713111161 /nfs/dbraw/zinc/11/11/61/713111161.db2.gz YEEVEKHOTXUVNF-NSHDSACASA-N -1 1 306.395 1.056 20 0 DDADMM CCN(CC)[C@H](C(=O)N[C@@H](CCOC)C(=O)[O-])c1ccccc1 ZINC000909626282 709546142 /nfs/dbraw/zinc/54/61/42/709546142.db2.gz RCDQVOKZGQBELN-GJZGRUSLSA-N -1 1 322.405 1.675 20 0 DDADMM O=C([O-])[C@@H](NC(=O)Cc1n[nH]c2c1CCCC2)c1cccs1 ZINC000909636413 709551179 /nfs/dbraw/zinc/55/11/79/709551179.db2.gz ANMNDNUGNKDNFV-AWEZNQCLSA-N -1 1 319.386 1.835 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCc2ccc(F)c(F)c2)C1 ZINC000909722583 709596060 /nfs/dbraw/zinc/59/60/60/709596060.db2.gz ZZYQXPKVFNBTGX-NSHDSACASA-N -1 1 312.316 1.378 20 0 DDADMM CCOC(=O)[C@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900443956 709598224 /nfs/dbraw/zinc/59/82/24/709598224.db2.gz XZJKMVIJJGERSR-GFCCVEGCSA-N -1 1 319.361 1.617 20 0 DDADMM CCN(CC(=O)N[C@H](C(=O)[O-])c1ccc(OC)c(F)c1)C1CC1 ZINC000909801401 709628199 /nfs/dbraw/zinc/62/81/99/709628199.db2.gz MOTAFVCEBCWYPK-HNNXBMFYSA-N -1 1 324.352 1.561 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cc(F)c[nH]2)[n-]c1=O ZINC000889785691 709634907 /nfs/dbraw/zinc/63/49/07/709634907.db2.gz HICXAGVXMMANLC-JTQLQIEISA-N -1 1 306.297 1.635 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Cc2ccoc2)[n-]c1=O ZINC000889791037 709637575 /nfs/dbraw/zinc/63/75/75/709637575.db2.gz XFUJESSNJPXPJD-LLVKDONJSA-N -1 1 303.318 1.690 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)COC2CCCC2)[n-]c1=O ZINC000889795209 709639279 /nfs/dbraw/zinc/63/92/79/709639279.db2.gz WDRDZISVLGXSIT-LBPRGKRZSA-N -1 1 321.377 1.814 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H](C)n2cccn2)[n-]c1=O ZINC000889794025 709639532 /nfs/dbraw/zinc/63/95/32/709639532.db2.gz FIMUVZIJUKOCDK-QWRGUYRKSA-N -1 1 317.349 1.312 20 0 DDADMM CC(C)[N@@H+](C)CC(=O)N[C@@H](C)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909821421 709640221 /nfs/dbraw/zinc/64/02/21/709640221.db2.gz VQVZHQHIIWUVPK-ZFWWWQNUSA-N -1 1 306.406 1.775 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCC[C@@](C)(C(=O)[O-])C2)C1 ZINC000909839465 709646449 /nfs/dbraw/zinc/64/64/49/709646449.db2.gz DWAHMNPZCFFJFW-RSAASHCRSA-N -1 1 305.378 1.789 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)[C@H]2CCCc3[nH]ncc32)s1 ZINC000909847764 709650491 /nfs/dbraw/zinc/65/04/91/709650491.db2.gz NLQNPEFRYQJYBI-VIFPVBQESA-N -1 1 305.359 1.906 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)NC[C@@H](CC3CC3)C(=O)[O-])c2C1 ZINC000909883440 709668606 /nfs/dbraw/zinc/66/86/06/709668606.db2.gz LJFJJWIYBLCURO-GXSJLCMTSA-N -1 1 305.378 1.765 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)NC[C@H](CC3CC3)C(=O)[O-])c2C1 ZINC000909883441 709668715 /nfs/dbraw/zinc/66/87/15/709668715.db2.gz LJFJJWIYBLCURO-KOLCDFICSA-N -1 1 305.378 1.765 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C12CCC2 ZINC000909908024 709682342 /nfs/dbraw/zinc/68/23/42/709682342.db2.gz BEKPZCMGFQLVDT-NEPJUHHUSA-N -1 1 303.362 1.747 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCO[C@@H](C)CC3)cnc2n1 ZINC000900666956 709702639 /nfs/dbraw/zinc/70/26/39/709702639.db2.gz RKBQKBKFBKMGBJ-NSHDSACASA-N -1 1 301.346 1.895 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CCO[C@@H](C)CC1)c2=O ZINC000900666956 709702640 /nfs/dbraw/zinc/70/26/40/709702640.db2.gz RKBQKBKFBKMGBJ-NSHDSACASA-N -1 1 301.346 1.895 20 0 DDADMM C[C@@]1(C(=O)[O-])CCC[C@H]1NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000909992862 709726175 /nfs/dbraw/zinc/72/61/75/709726175.db2.gz HQWGXSNGJVZSKK-CZUORRHYSA-N -1 1 305.378 1.591 20 0 DDADMM O=C(N[C@H]1C[C@H](C(=O)[O-])C1)c1ccc(CN2CCCCC2)o1 ZINC000909998465 709729031 /nfs/dbraw/zinc/72/90/31/709729031.db2.gz HKGAGDNHBSFTFE-HAQNSBGRSA-N -1 1 306.362 1.859 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CC([C@@H](F)C(=O)[O-])C1 ZINC000910124574 709781718 /nfs/dbraw/zinc/78/17/18/709781718.db2.gz PUWCIEPHJKOUJM-OAHLLOKOSA-N -1 1 308.353 1.042 20 0 DDADMM CN(C)C1(C(=O)N2CCc3c(F)ccc(C(=O)[O-])c3C2)CC1 ZINC000910207108 709815061 /nfs/dbraw/zinc/81/50/61/709815061.db2.gz FKFMPCFSEGYKFY-UHFFFAOYSA-N -1 1 306.337 1.503 20 0 DDADMM Cc1cc2c(cc1C)N(C(=O)CN1CCC[C@H](C(=O)[O-])C1)CC2 ZINC000910241752 709834755 /nfs/dbraw/zinc/83/47/55/709834755.db2.gz KXBRRPWXLCSVOZ-HNNXBMFYSA-N -1 1 316.401 1.989 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2C3CCC2(C(=O)[O-])CC3)C1 ZINC000910299262 709875650 /nfs/dbraw/zinc/87/56/50/709875650.db2.gz ZPACXQOQAFGJBE-ZGHKEBFJSA-N -1 1 303.362 1.684 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@@H]3C[C@H]4C[C@@]4(C(=O)[O-])C3)c2C1 ZINC000910346453 709904172 /nfs/dbraw/zinc/90/41/72/709904172.db2.gz JXLRBQFLWHUEFH-UASCKYALSA-N -1 1 303.362 1.518 20 0 DDADMM CC(C)[C@H]1CCc2nc(NC(=O)CCc3nn[n-]n3)sc2C1 ZINC000890594326 709924533 /nfs/dbraw/zinc/92/45/33/709924533.db2.gz SMALSGIGNLQIBO-VIFPVBQESA-N -1 1 320.422 1.988 20 0 DDADMM Cc1nc2ccccn2c1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000890850096 710009322 /nfs/dbraw/zinc/00/93/22/710009322.db2.gz OTDXMZBPBPOSMQ-UHFFFAOYSA-N -1 1 323.356 1.946 20 0 DDADMM Cn1nc2c(c1/C=C/c1cc(=O)n3[n-]cnc3n1)CSCC2 ZINC000901441506 710040903 /nfs/dbraw/zinc/04/09/03/710040903.db2.gz JCCNIQITQGYUIM-NSCUHMNNSA-N -1 1 314.374 1.111 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)/C=C/c1ccc(C(=O)[O-])o1 ZINC000901613846 710095736 /nfs/dbraw/zinc/09/57/36/710095736.db2.gz GVGRKLHEJCBDII-IYNGYCSASA-N -1 1 306.362 1.790 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CC[C@H]3[C@@H](C2)[C@H]3C(=O)[O-])C1 ZINC000910852197 710095841 /nfs/dbraw/zinc/09/58/41/710095841.db2.gz PCRVARVICFDQKO-QLDMKTHXSA-N -1 1 303.362 1.255 20 0 DDADMM CCN(CC)S(=O)(=O)CC(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910982387 710140255 /nfs/dbraw/zinc/14/02/55/710140255.db2.gz NQAXYCSELSMLKV-UHFFFAOYSA-N -1 1 322.333 1.281 20 0 DDADMM CC1=C(C(=O)Nc2cc(F)cc(F)c2[O-])S(=O)(=O)CCO1 ZINC000910982441 710140346 /nfs/dbraw/zinc/14/03/46/710140346.db2.gz OMPLAJUMNDSICJ-UHFFFAOYSA-N -1 1 319.285 1.285 20 0 DDADMM Cn1c(CN[C@@]2(CC(=O)[O-])CCOC2)nc2cc(Cl)ccc21 ZINC000901838275 710158280 /nfs/dbraw/zinc/15/82/80/710158280.db2.gz SQHKKQDJDNNKOT-OAHLLOKOSA-N -1 1 323.780 1.950 20 0 DDADMM COCCn1cc(CN[C@H](C(=O)[O-])c2ccc(F)c(C)c2)cn1 ZINC000901845329 710159205 /nfs/dbraw/zinc/15/92/05/710159205.db2.gz YRTLDBNLSILHCO-HNNXBMFYSA-N -1 1 321.352 1.893 20 0 DDADMM Cc1cc(Br)ccc1CN1CC[C@](O)(C(=O)[O-])C1 ZINC000901902428 710168978 /nfs/dbraw/zinc/16/89/78/710168978.db2.gz FHQFRAWUYQYUCA-CYBMUJFWSA-N -1 1 314.179 1.779 20 0 DDADMM Cc1nc2scc(C3CC3)n2c1CN1CC[C@@](O)(C(=O)[O-])C1 ZINC000901900972 710169211 /nfs/dbraw/zinc/16/92/11/710169211.db2.gz HXOMPAOTNLQRLF-HNNXBMFYSA-N -1 1 321.402 1.603 20 0 DDADMM Cc1cc(CN2CC[C@@](O)(C(=O)[O-])C2)c(OC(F)F)cc1C ZINC000901903110 710169633 /nfs/dbraw/zinc/16/96/33/710169633.db2.gz QGCZZVPVIOQOTF-HNNXBMFYSA-N -1 1 315.316 1.926 20 0 DDADMM CO[C@@H](CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)C(F)(F)F ZINC000891613473 710248681 /nfs/dbraw/zinc/24/86/81/710248681.db2.gz HHYFLEXXOQEBJU-VIFPVBQESA-N -1 1 319.283 1.967 20 0 DDADMM CCO[C@@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)[C@@H]1CCOC1 ZINC000891615682 710249503 /nfs/dbraw/zinc/24/95/03/710249503.db2.gz SAQDNDAZVKHDJI-ZWNOBZJWSA-N -1 1 321.377 1.441 20 0 DDADMM COCc1nc(N[C@H]2CCN(c3ccccn3)C2)cc(=O)[n-]1 ZINC000892961752 710532863 /nfs/dbraw/zinc/53/28/63/710532863.db2.gz GBCQWFCNTONHDP-NSHDSACASA-N -1 1 301.350 1.414 20 0 DDADMM COCc1nc(N[C@H]2COc3cc(OC)ccc3C2)cc(=O)[n-]1 ZINC000893067894 710550001 /nfs/dbraw/zinc/55/00/01/710550001.db2.gz ICFYHXJPORADLB-LLVKDONJSA-N -1 1 317.345 1.753 20 0 DDADMM CCN(CC(=O)N1CC[C@@H](c2ncc(C(=O)[O-])s2)C1)C1CC1 ZINC000911048474 710610497 /nfs/dbraw/zinc/61/04/97/710610497.db2.gz XYWAOBLJPSAJQL-SNVBAGLBSA-N -1 1 323.418 1.642 20 0 DDADMM C[C@@H](C(=O)N[C@@H](C(=O)[O-])c1ccc(C(F)(F)F)cc1)N(C)C ZINC000911060705 710616296 /nfs/dbraw/zinc/61/62/96/710616296.db2.gz GBZPNCFPILGYTQ-GZMMTYOYSA-N -1 1 318.295 1.897 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@@H](COC)C(C)C ZINC000902146900 710633924 /nfs/dbraw/zinc/63/39/24/710633924.db2.gz IRISRMDPBSNSFD-LLVKDONJSA-N -1 1 316.423 1.606 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC000911104538 710634954 /nfs/dbraw/zinc/63/49/54/710634954.db2.gz FFNQOIUFYJUABJ-KGLIPLIRSA-N -1 1 308.378 1.525 20 0 DDADMM Cc1nn(CCC(=O)[O-])c(C)c1CN(C)C[C@H]1CCCCO1 ZINC000902164446 710642560 /nfs/dbraw/zinc/64/25/60/710642560.db2.gz BBKRIGNCQTUUKZ-CQSZACIVSA-N -1 1 309.410 1.976 20 0 DDADMM Cc1cccc(O[C@H](C)CNCc2cn(CC(=O)[O-])nn2)c1 ZINC000902319055 710715297 /nfs/dbraw/zinc/71/52/97/710715297.db2.gz MNODNLMLKYFVEF-GFCCVEGCSA-N -1 1 304.350 1.228 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000911333262 710746698 /nfs/dbraw/zinc/74/66/98/710746698.db2.gz UHJMDBMIMYRWHJ-OLZOCXBDSA-N -1 1 308.378 1.952 20 0 DDADMM O=C([O-])CC1(CC(=O)N2CCC(c3nc[nH]n3)CC2)CCCC1 ZINC000911439060 710798840 /nfs/dbraw/zinc/79/88/40/710798840.db2.gz SIWZCTXZEYQFQY-UHFFFAOYSA-N -1 1 320.393 1.936 20 0 DDADMM C[C@]1(c2ccccc2)C[C@H]1NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911446862 710803166 /nfs/dbraw/zinc/80/31/66/710803166.db2.gz SRJOOVPUPJUCAC-JCKWVBRZSA-N -1 1 316.401 1.629 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)N[C@@H](C)C1(N2CCOCC2)CCCC1 ZINC000911450496 710804551 /nfs/dbraw/zinc/80/45/51/710804551.db2.gz DCNCFTIKQDEOCF-QWHCGFSZSA-N -1 1 312.410 1.247 20 0 DDADMM CCC(CC)(CCO)CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911569477 710859217 /nfs/dbraw/zinc/85/92/17/710859217.db2.gz OOPMQHKHCSEOKK-CYBMUJFWSA-N -1 1 314.426 1.088 20 0 DDADMM COCc1nc(N[C@@H]2CCO[C@@H](c3nccn3C)C2)cc(=O)[n-]1 ZINC000894129685 710979706 /nfs/dbraw/zinc/97/97/06/710979706.db2.gz UDRJXZFKNZSVQH-GHMZBOCLSA-N -1 1 319.365 1.394 20 0 DDADMM O=S(=O)(CCc1ccccc1)[N-]c1nc(C(F)F)n[nH]1 ZINC000903150322 711048451 /nfs/dbraw/zinc/04/84/51/711048451.db2.gz PYBFDIJBQWZQQO-UHFFFAOYSA-N -1 1 302.306 1.727 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(Cc1nnc3n1CCCCC3)C2 ZINC000903610508 711223411 /nfs/dbraw/zinc/22/34/11/711223411.db2.gz BTIFHHASSBAZRW-LRDDRELGSA-N -1 1 304.394 1.691 20 0 DDADMM CCCc1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)sc1C ZINC000913493485 713237039 /nfs/dbraw/zinc/23/70/39/713237039.db2.gz YEAJCUOFNNUXDO-NSHDSACASA-N -1 1 321.406 1.736 20 0 DDADMM CC(=CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000913494880 713237312 /nfs/dbraw/zinc/23/73/12/713237312.db2.gz MOMKGGJHBAALNQ-RSPDNQDQSA-N -1 1 317.324 1.342 20 0 DDADMM CC(C)(C)c1csc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)n1 ZINC000913494476 713237396 /nfs/dbraw/zinc/23/73/96/713237396.db2.gz ZXTHHMLNIZREHE-QMMMGPOBSA-N -1 1 322.394 1.167 20 0 DDADMM Cc1cccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c1Cl ZINC000913495920 713238321 /nfs/dbraw/zinc/23/83/21/713238321.db2.gz PPTLTIUDELNUEZ-JTQLQIEISA-N -1 1 307.741 1.375 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C(=O)N(C)C)c1ccccc1 ZINC000903711618 711250488 /nfs/dbraw/zinc/25/04/88/711250488.db2.gz DYWMOHIFAFQMBO-OAHLLOKOSA-N -1 1 308.378 1.239 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)NC1CCCCC1 ZINC000903712400 711250768 /nfs/dbraw/zinc/25/07/68/711250768.db2.gz WHKSAATXHNSDHI-GFCCVEGCSA-N -1 1 300.399 1.247 20 0 DDADMM O=C(c1cc2cccnc2s1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913498720 713239295 /nfs/dbraw/zinc/23/92/95/713239295.db2.gz ROCHXNOPBBAYEO-SECBINFHSA-N -1 1 316.346 1.023 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]1CCO ZINC000912403862 711314490 /nfs/dbraw/zinc/31/44/90/711314490.db2.gz MNGVWFTXPBJMII-ZWNOBZJWSA-N -1 1 305.378 1.683 20 0 DDADMM Cc1cccc(CN2CC[C@](O)(C(=O)[O-])C2)c1Br ZINC000903913052 711327868 /nfs/dbraw/zinc/32/78/68/711327868.db2.gz NLTBRYSJQHVVKD-CYBMUJFWSA-N -1 1 314.179 1.779 20 0 DDADMM O=C([O-])[C@H]1c2ccccc2OCCN1CCOCC(F)F ZINC000903930870 711337949 /nfs/dbraw/zinc/33/79/49/711337949.db2.gz JJRNRTIGIYOSSE-CYBMUJFWSA-N -1 1 301.289 1.788 20 0 DDADMM COCc1nc(NC[C@H]2CCC3(CCOCC3)CO2)cc(=O)[n-]1 ZINC000895131130 711428096 /nfs/dbraw/zinc/42/80/96/711428096.db2.gz GHDWZZAXSHCOIB-GFCCVEGCSA-N -1 1 323.393 1.716 20 0 DDADMM O=C(N[C@H]1CCCCNC1=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895695626 711579788 /nfs/dbraw/zinc/57/97/88/711579788.db2.gz ZYVAPPWIZREWSI-AWEZNQCLSA-N -1 1 314.341 1.650 20 0 DDADMM CC[C@H]1C(=O)NCCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896219478 711693457 /nfs/dbraw/zinc/69/34/57/711693457.db2.gz VVQJMUPXYCMOEE-AWEZNQCLSA-N -1 1 314.341 1.602 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N(c1ccccc1)C1CCC1 ZINC000913673179 713278877 /nfs/dbraw/zinc/27/88/77/713278877.db2.gz VOJUPLVRPDENBJ-UHFFFAOYSA-N -1 1 313.357 1.512 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C[C@H]3CC[C@H](C4CC4)O3)ccnc1-2 ZINC000904938673 711904963 /nfs/dbraw/zinc/90/49/63/711904963.db2.gz CSESBOWFPZZJBU-BXUZGUMPSA-N -1 1 300.362 1.628 20 0 DDADMM O=C(c1ccc(NC2CC2)nc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743038 713292185 /nfs/dbraw/zinc/29/21/85/713292185.db2.gz BTAYQVNCJYQBNF-UHFFFAOYSA-N -1 1 313.365 1.189 20 0 DDADMM CC(C)[C@H]1C[C@H](CC(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000913744452 713292979 /nfs/dbraw/zinc/29/29/79/713292979.db2.gz PBFSJBDEYRWOTF-TZMCWYRMSA-N -1 1 321.425 1.747 20 0 DDADMM Cc1noc(C[C@@H]2CCCN(Cc3cccc(C(=O)[O-])n3)C2)n1 ZINC000905774672 712143974 /nfs/dbraw/zinc/14/39/74/712143974.db2.gz KOWMDFIICGDYMB-LBPRGKRZSA-N -1 1 316.361 1.926 20 0 DDADMM C[C@@H]1CSCCN1S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906875696 712426130 /nfs/dbraw/zinc/42/61/30/712426130.db2.gz SNOWDEIIWKBFLY-MRVPVSSYSA-N -1 1 317.388 1.216 20 0 DDADMM COC(=O)[C@@H]1CCCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907273007 712530003 /nfs/dbraw/zinc/53/00/03/712530003.db2.gz DUQSMRJQFNUJPB-LBPRGKRZSA-N -1 1 319.361 1.617 20 0 DDADMM O=C(N[C@H]1CCCC2(C1)OCCO2)c1cnc(C2CC2)[n-]c1=O ZINC000907277371 712531129 /nfs/dbraw/zinc/53/11/29/712531129.db2.gz PFTONUWDRUPCMP-NSHDSACASA-N -1 1 319.361 1.475 20 0 DDADMM CC(=O)c1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1C ZINC000907350827 712550308 /nfs/dbraw/zinc/55/03/08/712550308.db2.gz WNSWTHMXOZMKQQ-JTQLQIEISA-N -1 1 301.350 1.586 20 0 DDADMM CC(=O)c1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1C ZINC000907350828 712550344 /nfs/dbraw/zinc/55/03/44/712550344.db2.gz WNSWTHMXOZMKQQ-SNVBAGLBSA-N -1 1 301.350 1.586 20 0 DDADMM O=C([C@H]1Cc2ccc(F)cc21)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477604 712582730 /nfs/dbraw/zinc/58/27/30/712582730.db2.gz FYTVMIQLOXIBGR-NWDGAFQWSA-N -1 1 319.365 1.295 20 0 DDADMM CC1(C)CC=C(C(=O)N2CCSC[C@H]2c2nn[n-]n2)CC1 ZINC000907480262 712583496 /nfs/dbraw/zinc/58/34/96/712583496.db2.gz OEKCLLIMPDNMKN-NSHDSACASA-N -1 1 307.423 1.953 20 0 DDADMM C[C@H](C(=O)NC(C)(C)C)N(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907513758 712588419 /nfs/dbraw/zinc/58/84/19/712588419.db2.gz YNCXKBFDZHTZEW-SECBINFHSA-N -1 1 320.393 1.435 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)C)N(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907513761 712588421 /nfs/dbraw/zinc/58/84/21/712588421.db2.gz YNCXKBFDZHTZEW-VIFPVBQESA-N -1 1 320.393 1.435 20 0 DDADMM C[C@@H](O)C[C@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907854365 712636079 /nfs/dbraw/zinc/63/60/79/712636079.db2.gz DYNMCJNKUWZFOF-ZYHUDNBSSA-N -1 1 305.378 1.825 20 0 DDADMM Cc1c(CN(C)C(=O)c2cnc(C3CC3)[n-]c2=O)cnn1C ZINC000907856109 712636422 /nfs/dbraw/zinc/63/64/22/712636422.db2.gz CFGKUIXZLHQXFO-UHFFFAOYSA-N -1 1 301.350 1.374 20 0 DDADMM O=C([C@@H]1CCC=CCCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907942818 712652687 /nfs/dbraw/zinc/65/26/87/712652687.db2.gz AYVIBSURKUUELA-GFCCVEGCSA-N -1 1 305.382 1.146 20 0 DDADMM CC[C@@H]1CCC[C@@]1(C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907944319 712652758 /nfs/dbraw/zinc/65/27/58/712652758.db2.gz UIDQQCLJDRQXJJ-BXUZGUMPSA-N -1 1 307.398 1.226 20 0 DDADMM O=C([O-])[C@H]1C[C@@H]2C[C@H](NC(=O)c3[nH]nc4c3CCCCC4)[C@@H]2C1 ZINC000907954520 712654686 /nfs/dbraw/zinc/65/46/86/712654686.db2.gz WOEPNVXLCLYIHD-JCRCQARHSA-N -1 1 317.389 1.908 20 0 DDADMM CN(CC(=O)N1CCc2c1cccc2C(=O)[O-])[C@H]1CCSC1 ZINC000907982522 712659816 /nfs/dbraw/zinc/65/98/16/712659816.db2.gz JXYXSQXOSDSGTH-NSHDSACASA-N -1 1 320.414 1.711 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)CC1CCCC1 ZINC000908292846 712730098 /nfs/dbraw/zinc/73/00/98/712730098.db2.gz RPNXHKCAJGTHIJ-JHJVBQTASA-N -1 1 305.378 1.911 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@@H]1C1CC1)c1ccc(Cl)nc1F ZINC000908405721 712762872 /nfs/dbraw/zinc/76/28/72/712762872.db2.gz KUTWZZQVEJPBHF-BDAKNGLRSA-N -1 1 320.773 1.577 20 0 DDADMM CN(C)CC(=O)N[C@@H](CC(=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC000908418503 712766897 /nfs/dbraw/zinc/76/68/97/712766897.db2.gz HBDKBMFLLHRGKB-NSHDSACASA-N -1 1 318.295 1.899 20 0 DDADMM CC1(C)CCC[C@]1(O)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000908421203 712767597 /nfs/dbraw/zinc/76/75/97/712767597.db2.gz BBSREQZDRQJFBQ-INIZCTEOSA-N -1 1 305.378 1.731 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(C(=O)c1c[nH]cc3ncnc1-3)CC2 ZINC000908656433 712830777 /nfs/dbraw/zinc/83/07/77/712830777.db2.gz YXBMUIFOGVWFGL-SNVBAGLBSA-N -1 1 300.318 1.285 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCOc3ccccc3C2)C1 ZINC000908748019 712847134 /nfs/dbraw/zinc/84/71/34/712847134.db2.gz TVSDRSHUPHRQSA-CQSZACIVSA-N -1 1 318.373 1.204 20 0 DDADMM CC[C@](C)(NC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1)C(=O)[O-] ZINC000908768159 712850690 /nfs/dbraw/zinc/85/06/90/712850690.db2.gz GMEKIDPDZQBWMO-HUBLWGQQSA-N -1 1 315.414 1.038 20 0 DDADMM Cc1nccc(CNC(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC000914283706 713370329 /nfs/dbraw/zinc/37/03/29/713370329.db2.gz ZEHLOJXRTVJRSR-UHFFFAOYSA-N -1 1 322.315 1.811 20 0 DDADMM COc1ncc(S(=O)(=O)[N-][C@@H](C)CC(F)(F)F)s1 ZINC000914380370 713386255 /nfs/dbraw/zinc/38/62/55/713386255.db2.gz SFDIKOZXDAPJFR-YFKPBYRVSA-N -1 1 304.315 1.771 20 0 DDADMM CC(C)O[C@@]1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCOC1 ZINC000928752655 713477442 /nfs/dbraw/zinc/47/74/42/713477442.db2.gz UWXZJZFJRWXGKO-MRXNPFEDSA-N -1 1 321.377 1.374 20 0 DDADMM CC(C)O[C@@]1(CNC(=O)C(=O)c2ccc([O-])cc2)CCOC1 ZINC000928758926 713478936 /nfs/dbraw/zinc/47/89/36/713478936.db2.gz UQSSNICRSBUMFB-MRXNPFEDSA-N -1 1 307.346 1.275 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC(C)(C)/C=C/Cl)o1 ZINC000928941222 713514175 /nfs/dbraw/zinc/51/41/75/713514175.db2.gz RIEZKNYDJGHDCH-VOTSOKGWSA-N -1 1 306.771 1.449 20 0 DDADMM CC(C)CNC(=O)COC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000917944972 713520532 /nfs/dbraw/zinc/52/05/32/713520532.db2.gz JJMHILJPHWZREL-UHFFFAOYSA-N -1 1 317.345 1.507 20 0 DDADMM CN1CCN(C(=O)c2cc(-c3ccc(C=O)o3)ccc2[O-])CC1 ZINC000929099647 713545639 /nfs/dbraw/zinc/54/56/39/713545639.db2.gz GZKYRLKEYITKMV-UHFFFAOYSA-N -1 1 314.341 1.852 20 0 DDADMM CSC1CCC(C[N-]S(=O)(=O)c2c(C)onc2N)CC1 ZINC000920816989 713696919 /nfs/dbraw/zinc/69/69/19/713696919.db2.gz YWPUOBFHWALAIY-UHFFFAOYSA-N -1 1 319.452 1.765 20 0 DDADMM COC[C@H]1C[C@@H](NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000921352012 713741545 /nfs/dbraw/zinc/74/15/45/713741545.db2.gz ANWBUTGZMAYJEQ-PHIMTYICSA-N -1 1 307.394 1.943 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]C2CSC2)c1F ZINC000921869352 713891107 /nfs/dbraw/zinc/89/11/07/713891107.db2.gz BNBYMXMXNWUBFI-UHFFFAOYSA-N -1 1 323.342 1.145 20 0 DDADMM O=S(=O)([N-]CCO[C@@H]1CCOC1)c1c(F)cccc1Cl ZINC000921882777 713896049 /nfs/dbraw/zinc/89/60/49/713896049.db2.gz HXIUDZLYYOEMTH-SECBINFHSA-N -1 1 323.773 1.563 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@]23C[C@H]2CCC3)c(C(F)(F)F)n1 ZINC000921920517 713908291 /nfs/dbraw/zinc/90/82/91/713908291.db2.gz QFYAFICPIRSHBC-KCJUWKMLSA-N -1 1 323.340 1.907 20 0 DDADMM CO[C@@H]1COCC[C@H]1N(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000921922540 713909213 /nfs/dbraw/zinc/90/92/13/713909213.db2.gz SDCNQYNXDUYOGL-ZIAGYGMSSA-N -1 1 316.357 1.816 20 0 DDADMM CCOCCS(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921967372 713922748 /nfs/dbraw/zinc/92/27/48/713922748.db2.gz RXJIFHJVCFFCOO-UHFFFAOYSA-N -1 1 309.334 1.169 20 0 DDADMM Cc1cnc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)c(C)c1 ZINC000931131352 714010641 /nfs/dbraw/zinc/01/06/41/714010641.db2.gz FQWPQQFVRQNBKN-UHFFFAOYSA-N -1 1 310.361 1.675 20 0 DDADMM CO[N-]C(=O)CNCc1cnn(Cc2ccccc2)c1Cl ZINC000922828619 714161115 /nfs/dbraw/zinc/16/11/15/714161115.db2.gz YOOFVTZGAJXZOD-UHFFFAOYSA-N -1 1 308.769 1.352 20 0 DDADMM CC(C)C[C@@H](C(N)=O)N(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000922921395 714187099 /nfs/dbraw/zinc/18/70/99/714187099.db2.gz NZPNZKPXJYICCN-ZDUSSCGKSA-N -1 1 315.373 1.912 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)NCCc2c(F)cc([O-])cc2F)CO1 ZINC000923050596 714223034 /nfs/dbraw/zinc/22/30/34/714223034.db2.gz ZTDOBHRZSMPLMY-DTWKUNHWSA-N -1 1 300.305 1.690 20 0 DDADMM CC1CCN(CC(=O)NCCc2ccccc2C(=O)[O-])CC1 ZINC000923126114 714245274 /nfs/dbraw/zinc/24/52/74/714245274.db2.gz HDASEZBNKIYUGN-UHFFFAOYSA-N -1 1 304.390 1.775 20 0 DDADMM O=C(c1ccc(F)c2occc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000932284125 714300199 /nfs/dbraw/zinc/30/01/99/714300199.db2.gz WALBULMVLKXIPO-NSHDSACASA-N -1 1 317.280 1.299 20 0 DDADMM Cc1ccccc1[C@@H](CO)N(C)C(=O)CCCc1nn[n-]n1 ZINC000923712711 714456893 /nfs/dbraw/zinc/45/68/93/714456893.db2.gz MYVALJRKTVVFSH-CYBMUJFWSA-N -1 1 303.366 1.023 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(C3CC3)oc2C2CC2)nc1=O ZINC000923897278 714486751 /nfs/dbraw/zinc/48/67/51/714486751.db2.gz IXAPENMFWMDMSK-UHFFFAOYSA-N -1 1 303.318 1.813 20 0 DDADMM CCC(C)(C)NC(=O)CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934282958 714786485 /nfs/dbraw/zinc/78/64/85/714786485.db2.gz RXBAGMNGCGTMMY-NSHDSACASA-N -1 1 322.409 1.633 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N=S1(=O)CCCC1 ZINC000925930708 714965430 /nfs/dbraw/zinc/96/54/30/714965430.db2.gz YMJRKWZCHDRYAE-UHFFFAOYSA-N -1 1 322.390 1.383 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N[C@H]3[C@@H]4COC[C@@H]43)c2)o1 ZINC000935761241 715126659 /nfs/dbraw/zinc/12/66/59/715126659.db2.gz IRBRXZYDKMEAKN-VIKVFOODSA-N -1 1 313.309 1.839 20 0 DDADMM CN(C(=O)[C@H]1CC12CC2)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216642 715293591 /nfs/dbraw/zinc/29/35/91/715293591.db2.gz ILPXGNZNMSMPBJ-VXGBXAGGSA-N -1 1 315.373 1.260 20 0 DDADMM CN(C(=O)C1(C)CC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216824 715294043 /nfs/dbraw/zinc/29/40/43/715294043.db2.gz MTNMCWPPMKXFOR-NSHDSACASA-N -1 1 303.362 1.260 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000954263695 715313609 /nfs/dbraw/zinc/31/36/09/715313609.db2.gz SAYAAXYVTYUDSM-RYUDHWBXSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)co1 ZINC000937861219 715617295 /nfs/dbraw/zinc/61/72/95/715617295.db2.gz QOFUKJLQLLNTGY-LBPRGKRZSA-N -1 1 315.329 1.333 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)c2ccco2)C1 ZINC000956849594 715636306 /nfs/dbraw/zinc/63/63/06/715636306.db2.gz VCFBUZDYKPSSJD-INIZCTEOSA-N -1 1 315.329 1.415 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849428 715636435 /nfs/dbraw/zinc/63/64/35/715636435.db2.gz RINNYYQNLDAGQR-PIGZYNQJSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)CCC1 ZINC000956852520 715638973 /nfs/dbraw/zinc/63/89/73/715638973.db2.gz YIPKJQWXYRKGJP-QGZVFWFLSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC000955656947 715895306 /nfs/dbraw/zinc/89/53/06/715895306.db2.gz ZBBWNUPSECAVHF-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2(C)CC2)C1 ZINC000938650650 715936578 /nfs/dbraw/zinc/93/65/78/715936578.db2.gz INOZGLBVWYPUNC-LLVKDONJSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000955949405 716031236 /nfs/dbraw/zinc/03/12/36/716031236.db2.gz MHKMZGMBKYKSNA-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955970430 716044057 /nfs/dbraw/zinc/04/40/57/716044057.db2.gz YYYBETOMWCUSEW-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132179 716642665 /nfs/dbraw/zinc/64/26/65/716642665.db2.gz PSBMMNCNPDJBPT-GHMZBOCLSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)C(=O)N1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000940495092 716808743 /nfs/dbraw/zinc/80/87/43/716808743.db2.gz PFYOHDCIRQLKOF-LLVKDONJSA-N -1 1 318.377 1.046 20 0 DDADMM O=C(C=C1CCC1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940714027 716926623 /nfs/dbraw/zinc/92/66/23/716926623.db2.gz JLVFXHSQAYOFEW-UHFFFAOYSA-N -1 1 315.373 1.572 20 0 DDADMM CCC(=O)N1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H](C)C1 ZINC000966031836 717891184 /nfs/dbraw/zinc/89/11/84/717891184.db2.gz YYTWUFPIWPAQCD-CABZTGNLSA-N -1 1 318.377 1.046 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943417000 718104990 /nfs/dbraw/zinc/10/49/90/718104990.db2.gz NDNCYQCBASYFLA-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944319511 718288027 /nfs/dbraw/zinc/28/80/27/718288027.db2.gz ZYZXKSRZNPDAPT-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000945187018 718417895 /nfs/dbraw/zinc/41/78/95/718417895.db2.gz PGGMKHNRNHWILC-NWDGAFQWSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H]1CN(C(=O)C2(C)CC2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945357077 718447357 /nfs/dbraw/zinc/44/73/57/718447357.db2.gz LSMGKNPWEWEPMH-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1ccc[nH]1 ZINC000966329482 718516907 /nfs/dbraw/zinc/51/69/07/718516907.db2.gz LQVUNJGSELODHX-JQWIXIFHSA-N -1 1 314.345 1.006 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1(C)CCC1 ZINC000966332325 718518426 /nfs/dbraw/zinc/51/84/26/718518426.db2.gz SNJDANYJDHIJQE-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2[C@@H](CCN2C(=O)C2CC2)C1 ZINC000945930213 718617690 /nfs/dbraw/zinc/61/76/90/718617690.db2.gz OGHNWNSUJUZSMN-STQMWFEESA-N -1 1 315.373 1.260 20 0 DDADMM CCC(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC000945933567 718617874 /nfs/dbraw/zinc/61/78/74/718617874.db2.gz XCKXTYRBSURIEN-NWDGAFQWSA-N -1 1 303.362 1.260 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)C1=CCCC1)c1ncccc1[O-] ZINC000949534750 720145175 /nfs/dbraw/zinc/14/51/75/720145175.db2.gz FITYMFAHMDTMPO-LBPRGKRZSA-N -1 1 301.346 1.228 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])C1CCC1 ZINC000949538743 720147330 /nfs/dbraw/zinc/14/73/30/720147330.db2.gz QAWQPVSHEKQWKG-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949541499 720149515 /nfs/dbraw/zinc/14/95/15/720149515.db2.gz ITXXAQMKTWUXAG-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(CCC1CC1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950245111 720540897 /nfs/dbraw/zinc/54/08/97/720540897.db2.gz RKNYQDJAENXDMG-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(CC1CC1)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950538916 720674729 /nfs/dbraw/zinc/67/47/29/720674729.db2.gz CPJDMADXTHVCGZ-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM CC1CC(C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000950539215 720675172 /nfs/dbraw/zinc/67/51/72/720675172.db2.gz KHKHWKUKGPGVGK-BPCQOVAHSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C)CCCC[C@@H]1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970830776 720950825 /nfs/dbraw/zinc/95/08/25/720950825.db2.gz MKKASSBTTPBXEQ-VXGBXAGGSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H]1CN(C(=O)C2CC2)CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001020653432 732601959 /nfs/dbraw/zinc/60/19/59/732601959.db2.gz DTQACNFLTOEZFE-DGCLKSJQSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccoc2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087120564 733211734 /nfs/dbraw/zinc/21/17/34/733211734.db2.gz FYJAGNLEWYRXTA-PWSUYJOCSA-N -1 1 319.365 1.134 20 0 DDADMM C[C@@H]1[C@@H](Cc2ccccc2)CCN1c1nnc(-c2nnn[n-]2)n1C ZINC001121268606 782433370 /nfs/dbraw/zinc/43/33/70/782433370.db2.gz SEARAHVLOHYOOT-DGCLKSJQSA-N -1 1 324.392 1.453 20 0 DDADMM C[C@@H]1[C@@H](Cc2ccccc2)CCN1c1nnc(-c2nn[n-]n2)n1C ZINC001121268606 782433374 /nfs/dbraw/zinc/43/33/74/782433374.db2.gz SEARAHVLOHYOOT-DGCLKSJQSA-N -1 1 324.392 1.453 20 0 DDADMM CC[C@H](C)[C@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167556908 734711758 /nfs/dbraw/zinc/71/17/58/734711758.db2.gz NRZJPBHZYUUXLY-RYUDHWBXSA-N -1 1 323.441 1.569 20 0 DDADMM Cc1ccc(CN(C)c2nnc(Cc3nnn[n-]3)n2C)cc1C ZINC001121317939 782456347 /nfs/dbraw/zinc/45/63/47/782456347.db2.gz WYIKEPYRTIHFQY-UHFFFAOYSA-N -1 1 312.381 1.172 20 0 DDADMM Cc1ccc(CN(C)c2nnc(Cc3nn[n-]n3)n2C)cc1C ZINC001121317939 782456352 /nfs/dbraw/zinc/45/63/52/782456352.db2.gz WYIKEPYRTIHFQY-UHFFFAOYSA-N -1 1 312.381 1.172 20 0 DDADMM CC(C)C1(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001025075434 736280932 /nfs/dbraw/zinc/28/09/32/736280932.db2.gz GMMUAEBYFFHBFO-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@@H]2C[C@H]2C2CC2)C1)c1ncccc1[O-] ZINC000974055296 737349247 /nfs/dbraw/zinc/34/92/47/737349247.db2.gz DIZQBSYPVDRPNF-QNWHQSFQSA-N -1 1 315.373 1.210 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974057925 737351896 /nfs/dbraw/zinc/35/18/96/737351896.db2.gz TVDAOQDHJWNEPK-KKOKHZNYSA-N -1 1 303.362 1.210 20 0 DDADMM Cc1c2cc[nH]c2ncc1NC(=N)SCCS(=O)(=O)[O-] ZINC001168089994 741400457 /nfs/dbraw/zinc/40/04/57/741400457.db2.gz BDZPDWXUPOZTCB-UHFFFAOYSA-N -1 1 314.392 1.791 20 0 DDADMM CC(C)c1nnc(CNCCNC(=O)c2ncccc2[O-])s1 ZINC001126124766 738343951 /nfs/dbraw/zinc/34/39/51/738343951.db2.gz FXYZMGMVDZOOHI-UHFFFAOYSA-N -1 1 321.406 1.282 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088385704 741454654 /nfs/dbraw/zinc/45/46/54/741454654.db2.gz QYPCVSWPNILTPS-JHJVBQTASA-N -1 1 321.425 1.417 20 0 DDADMM CC(C)(C)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088416957 741476083 /nfs/dbraw/zinc/47/60/83/741476083.db2.gz CSAUTJJSPYUVMQ-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CC(C)(F)F ZINC001076245233 742624588 /nfs/dbraw/zinc/62/45/88/742624588.db2.gz TZAFTDKKXPWBDD-VIFPVBQESA-N -1 1 315.320 1.457 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ncc(F)cc1F ZINC001168693740 743058926 /nfs/dbraw/zinc/05/89/26/743058926.db2.gz KEDZOKUVMSATHD-NSHDSACASA-N -1 1 316.271 1.392 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H](Nc2ncccn2)C1)c1ncccc1[O-] ZINC001061076190 743071896 /nfs/dbraw/zinc/07/18/96/743071896.db2.gz OOOHXFUOOVTDBO-VXGBXAGGSA-N -1 1 313.361 1.730 20 0 DDADMM C[C@@H](C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-])C1CCC1 ZINC001077095561 743238835 /nfs/dbraw/zinc/23/88/35/743238835.db2.gz YDDUALWLPNVKRM-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM COc1ccc(F)cc1CC(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001181694348 743315319 /nfs/dbraw/zinc/31/53/19/743315319.db2.gz PWBOCHUSTURPTD-UHFFFAOYSA-N -1 1 317.280 1.387 20 0 DDADMM O=C(CCC1OCCO1)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001182725855 743709909 /nfs/dbraw/zinc/70/99/09/743709909.db2.gz SNOWFHJKVWMNNA-UHFFFAOYSA-N -1 1 303.318 1.670 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)C(=O)c1ccc(Cl)cc1 ZINC001182898926 743749107 /nfs/dbraw/zinc/74/91/07/743749107.db2.gz YRJKPKMTFWRPMT-UHFFFAOYSA-N -1 1 319.681 1.597 20 0 DDADMM Cc1cc(NC[C@H](O)CNC(=O)c2ccccc2O)nc(C)[nH+]1 ZINC001105756609 743796680 /nfs/dbraw/zinc/79/66/80/743796680.db2.gz XCHFCLQFZWLYJA-LBPRGKRZSA-N -1 1 316.361 1.002 20 0 DDADMM CN(C)c1nc(NC(=O)COC(F)(F)F)c(N=O)c(=O)[n-]1 ZINC001183205495 743803080 /nfs/dbraw/zinc/80/30/80/743803080.db2.gz IMMVXNIMYUZBJK-UHFFFAOYSA-N -1 1 309.204 1.121 20 0 DDADMM CC[C@H]1CCN(CC(=O)Nc2cc(=O)[n-]c(SC)n2)C1=O ZINC001183667277 743878235 /nfs/dbraw/zinc/87/82/35/743878235.db2.gz PWKIUVGWQPTMFD-QMMMGPOBSA-N -1 1 310.379 1.101 20 0 DDADMM C[C@@H](NC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccccc1 ZINC001185041801 744149814 /nfs/dbraw/zinc/14/98/14/744149814.db2.gz DHMZVAITVDNDNJ-SSDOTTSWSA-N -1 1 314.305 1.598 20 0 DDADMM O=S(=O)([O-])c1cccc(NS(=O)(=O)c2ccsc2)c1 ZINC001185382638 744212741 /nfs/dbraw/zinc/21/27/41/744212741.db2.gz AUMYRDQDPFCZFP-UHFFFAOYSA-N -1 1 319.385 1.796 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C3CC(Oc4ccccc4)C3)c1-2 ZINC001186859927 744464789 /nfs/dbraw/zinc/46/47/89/744464789.db2.gz CLJWOFQHRUCXDY-UHFFFAOYSA-N -1 1 323.356 1.831 20 0 DDADMM O=S(=O)([N-][C@H]1c2ccccc2CC[C@@H]1O)c1nccs1 ZINC001187912537 744629308 /nfs/dbraw/zinc/62/93/08/744629308.db2.gz KOBVWKZPTFQUMC-RYUDHWBXSA-N -1 1 310.400 1.470 20 0 DDADMM CC(C)n1cnc(C(=O)[N-]c2nnc(Br)s2)n1 ZINC001188032113 744653433 /nfs/dbraw/zinc/65/34/33/744653433.db2.gz USASQBIHLCSBGR-UHFFFAOYSA-N -1 1 317.172 1.725 20 0 DDADMM CCCCOC(=O)CNC(=O)Nc1cc(=O)[n-]c(SC)n1 ZINC001188246237 744675411 /nfs/dbraw/zinc/67/54/11/744675411.db2.gz WZVNFSWYLQKHCK-UHFFFAOYSA-N -1 1 314.367 1.369 20 0 DDADMM Cc1cc(N(C(=N)N)C(=O)c2nc(C(F)(F)F)c[n-]2)n[nH]1 ZINC001188296695 744680696 /nfs/dbraw/zinc/68/06/96/744680696.db2.gz SQNMUNRKEZMEHD-UHFFFAOYSA-N -1 1 301.232 1.000 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCCF)c1ccccc1Cl ZINC001189931825 745055346 /nfs/dbraw/zinc/05/53/46/745055346.db2.gz LYDBUQAKWNIWDP-LLVKDONJSA-N -1 1 323.773 1.833 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(C(=O)OC)cn2)n1 ZINC001190311082 745174700 /nfs/dbraw/zinc/17/47/00/745174700.db2.gz RQYFPGRZAWAAMZ-UHFFFAOYSA-N -1 1 318.289 1.020 20 0 DDADMM O=C(Nc1ccccc1CO)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190628736 745278426 /nfs/dbraw/zinc/27/84/26/745278426.db2.gz XTQFFCDGVOKGPX-UHFFFAOYSA-N -1 1 322.324 1.989 20 0 DDADMM O=S(=O)(Nc1c(F)cccc1N1CCCC1)c1ncc[n-]1 ZINC001190730717 745309710 /nfs/dbraw/zinc/30/97/10/745309710.db2.gz XZBAFYHQJKXKLT-UHFFFAOYSA-N -1 1 310.354 1.950 20 0 DDADMM O=S(=O)([N-]Cc1cc(F)cnc1Cl)c1ccns1 ZINC001190838017 745347188 /nfs/dbraw/zinc/34/71/88/745347188.db2.gz DBNKSUNXNARNOB-UHFFFAOYSA-N -1 1 307.759 1.809 20 0 DDADMM COc1c(C)cc(C(=O)NCCCC[P@](=O)([O-])O)cc1C ZINC001191079445 745418963 /nfs/dbraw/zinc/41/89/63/745418963.db2.gz SMRKSGIFZHRPFM-UHFFFAOYSA-N -1 1 315.306 2.000 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1cncc(C(=O)OC)c1 ZINC001191209443 745448066 /nfs/dbraw/zinc/44/80/66/745448066.db2.gz QUOOWJUPNBDRMV-UHFFFAOYSA-N -1 1 318.289 1.020 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cnc(SC)[n-]c2=O)[nH]n1 ZINC001191420969 745507238 /nfs/dbraw/zinc/50/72/38/745507238.db2.gz XFVSPHZXWJDQQC-UHFFFAOYSA-N -1 1 323.334 1.056 20 0 DDADMM COc1cnccc1CCNC(=O)c1cnc(SC)[n-]c1=O ZINC001191440921 745513705 /nfs/dbraw/zinc/51/37/05/745513705.db2.gz PBURPXHMYTUYIS-UHFFFAOYSA-N -1 1 320.374 1.280 20 0 DDADMM C[C@@H](CCNc1ncncc1Cl)NC(=O)c1ncccc1[O-] ZINC001106296158 745562527 /nfs/dbraw/zinc/56/25/27/745562527.db2.gz IWMVUQKZNLYVQX-VIFPVBQESA-N -1 1 321.768 1.851 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)Cc2ccc(Cl)cc2F)no1 ZINC001191864614 745621179 /nfs/dbraw/zinc/62/11/79/745621179.db2.gz SCVJOFMAABRZEB-UHFFFAOYSA-N -1 1 319.745 1.790 20 0 DDADMM CC1(C[N-]S(=O)(=O)Cc2ccc(Cl)cc2F)OCCO1 ZINC001191914777 745657252 /nfs/dbraw/zinc/65/72/52/745657252.db2.gz KMUSOWJXZVMGQK-UHFFFAOYSA-N -1 1 323.773 1.662 20 0 DDADMM O=S(=O)([N-]CCc1ccncn1)C(Cl)(Cl)Cl ZINC001192065583 745689567 /nfs/dbraw/zinc/68/95/67/745689567.db2.gz RMOHGBQMLXZFGP-UHFFFAOYSA-N -1 1 304.586 1.266 20 0 DDADMM COc1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cc(OC)n1 ZINC001192405275 745786247 /nfs/dbraw/zinc/78/62/47/745786247.db2.gz XLJNVDYREUFXFV-UHFFFAOYSA-N -1 1 317.227 1.488 20 0 DDADMM CCOC(=O)c1n[nH]cc1NC(=O)c1cc([O-])cc(F)c1F ZINC001192652275 745846916 /nfs/dbraw/zinc/84/69/16/745846916.db2.gz YDHCJLNOTVUPNV-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)c1cc(O)cc(F)c1F ZINC001192678854 745859863 /nfs/dbraw/zinc/85/98/63/745859863.db2.gz MRJIYBGZSYGLCP-UHFFFAOYSA-N -1 1 309.205 1.358 20 0 DDADMM O=C(NCc1nc2cc[nH]cc-2n1)c1cc([O-])cc(F)c1F ZINC001192684024 745861688 /nfs/dbraw/zinc/86/16/88/745861688.db2.gz LPLVZOXBNDGPEU-UHFFFAOYSA-N -1 1 304.256 1.872 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1Cc1ccon1 ZINC000993225553 745919272 /nfs/dbraw/zinc/91/92/72/745919272.db2.gz ZUOGJRPEEQRYBZ-DGCLKSJQSA-N -1 1 316.361 1.558 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cc(C)c[nH]c1=O ZINC001193016821 745961863 /nfs/dbraw/zinc/96/18/63/745961863.db2.gz ITCOXKFMJLQHRA-UHFFFAOYSA-N -1 1 302.352 1.179 20 0 DDADMM CCN(CCNc1cccc(F)n1)C(=O)c1ncccc1[O-] ZINC001106727702 745970910 /nfs/dbraw/zinc/97/09/10/745970910.db2.gz XTPBUPWZSGDKAO-UHFFFAOYSA-N -1 1 304.325 1.896 20 0 DDADMM CC1CC(CN2CC(NC(=O)c3ccc4oc(=O)nc-4[n-]3)C2)C1 ZINC001031251690 746022627 /nfs/dbraw/zinc/02/26/27/746022627.db2.gz UZOLPNQXPGKUAZ-UHFFFAOYSA-N -1 1 316.361 1.389 20 0 DDADMM CCN1Cc2cc([N-]S(=O)(=O)C[C@@H]3CCCO3)ccc2C1=O ZINC001193263298 746035893 /nfs/dbraw/zinc/03/58/93/746035893.db2.gz BTAYCBMGAVFLMS-ZDUSSCGKSA-N -1 1 324.402 1.583 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(C)cc(O)cc2O)n1 ZINC001193912080 746234924 /nfs/dbraw/zinc/23/49/24/746234924.db2.gz PBXFQFZVQPJSRE-UHFFFAOYSA-N -1 1 305.290 1.558 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2c3c(ccc2C)C(=O)NC3)[n-]n1 ZINC001194288183 746343450 /nfs/dbraw/zinc/34/34/50/746343450.db2.gz IGFMNCAAALNJOT-UHFFFAOYSA-N -1 1 314.301 1.000 20 0 DDADMM Nc1nonc1[N-]C(=O)c1ccc(C(F)(F)F)c(Cl)n1 ZINC001194387377 746363283 /nfs/dbraw/zinc/36/32/83/746363283.db2.gz PTTOMULDLIGVMJ-UHFFFAOYSA-N -1 1 307.619 1.971 20 0 DDADMM O=C1CCC(S(=O)(=O)[N-]c2cccc3c2OCC(=O)N3)CC1 ZINC001194795202 746456802 /nfs/dbraw/zinc/45/68/02/746456802.db2.gz ZYLZYYNYMVTQEP-UHFFFAOYSA-N -1 1 324.358 1.271 20 0 DDADMM COc1nc(NC(=O)c2nc(C)ccc2[O-])c(C)c(OC)n1 ZINC001195321061 746564090 /nfs/dbraw/zinc/56/40/90/746564090.db2.gz AOKOTKJHFKPZKH-UHFFFAOYSA-N -1 1 304.306 1.464 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CC(=O)NC2(CCCC2)C1 ZINC001195309909 746573035 /nfs/dbraw/zinc/57/30/35/746573035.db2.gz WUNSFZBKDYGHLY-UHFFFAOYSA-N -1 1 309.753 1.325 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(N(CC)CCO)cc1 ZINC001195486920 746623227 /nfs/dbraw/zinc/62/32/27/746623227.db2.gz MYJWQGUEJRKTBH-UHFFFAOYSA-N -1 1 311.407 1.948 20 0 DDADMM COCCCCC[N@H+]1CCCN(C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001195488577 746623980 /nfs/dbraw/zinc/62/39/80/746623980.db2.gz RFLCTKIFBJLTRR-UHFFFAOYSA-N -1 1 324.425 1.388 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3csc(NC(C)C)n3)c1-2 ZINC001195674078 746668189 /nfs/dbraw/zinc/66/81/89/746668189.db2.gz ZJCUTCXGHZLJAV-UHFFFAOYSA-N -1 1 317.378 1.564 20 0 DDADMM COc1cnc(Cl)c([N-]S(=O)(=O)c2ccc(O)cc2)n1 ZINC001195767539 746691231 /nfs/dbraw/zinc/69/12/31/746691231.db2.gz NLVZMUNIZLLHRV-UHFFFAOYSA-N -1 1 315.738 1.645 20 0 DDADMM COC(=O)c1cc(O)cc([N-]S(=O)(=O)c2ccc(O)cc2)c1 ZINC001195805278 746710723 /nfs/dbraw/zinc/71/07/23/746710723.db2.gz DSKRCUPFCGPAQZ-UHFFFAOYSA-N -1 1 323.326 1.685 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NCc2csnn2)C1 ZINC000998672886 752118250 /nfs/dbraw/zinc/11/82/50/752118250.db2.gz LEUDQWXIEJLVJX-UHFFFAOYSA-N -1 1 319.390 1.032 20 0 DDADMM O=C(Nc1cnn(C2CCOCC2)c1)c1c[nH]c(=S)[n-]c1=O ZINC001196009030 746755078 /nfs/dbraw/zinc/75/50/78/746755078.db2.gz RPZJVTAUQXKNQD-UHFFFAOYSA-N -1 1 321.362 1.271 20 0 DDADMM O=C(N[C@@H]1CCc2ccc(O)cc2C1)c1c[nH]c(=S)[n-]c1=O ZINC001196023056 746760105 /nfs/dbraw/zinc/76/01/05/746760105.db2.gz CTXRIPZWIYAYAC-SNVBAGLBSA-N -1 1 317.370 1.464 20 0 DDADMM O=S(=O)([N-]C1(CF)CC1)c1cccc(Br)n1 ZINC001196275596 746824538 /nfs/dbraw/zinc/82/45/38/746824538.db2.gz RIJLUDPEEYZZER-UHFFFAOYSA-N -1 1 309.160 1.625 20 0 DDADMM O=C([N-]c1cc(-c2ccccn2)no1)c1snnc1CO ZINC001196352532 746841606 /nfs/dbraw/zinc/84/16/06/746841606.db2.gz YDNPAWXAABVYTN-UHFFFAOYSA-N -1 1 303.303 1.333 20 0 DDADMM Cc1cc(CNC(=O)c2sccc2[N-]S(C)(=O)=O)n[nH]1 ZINC001196738886 746953965 /nfs/dbraw/zinc/95/39/65/746953965.db2.gz FYGIPNMRYRHZKC-UHFFFAOYSA-N -1 1 314.392 1.081 20 0 DDADMM CCOC(=O)[C@@H](CC)[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC001197626337 747210065 /nfs/dbraw/zinc/21/00/65/747210065.db2.gz FVBWWIYEAHZLTP-GFCCVEGCSA-N -1 1 321.345 1.726 20 0 DDADMM CN1Cc2c(cccc2[N-]S(=O)(=O)Cc2ccccn2)C1=O ZINC001197829058 747292299 /nfs/dbraw/zinc/29/22/99/747292299.db2.gz FAXBHZCAJSWSCH-UHFFFAOYSA-N -1 1 317.370 1.609 20 0 DDADMM Cc1cc2cccc(C(=O)Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)c2[nH]1 ZINC001198572524 747530116 /nfs/dbraw/zinc/53/01/16/747530116.db2.gz BVZJARSJULQCHO-UHFFFAOYSA-N -1 1 324.300 1.758 20 0 DDADMM C=CS(=O)(=O)[N-]c1cc(N2CCOCC2)cc(Cl)n1 ZINC001198572655 747530446 /nfs/dbraw/zinc/53/04/46/747530446.db2.gz FRWMWMUSHAZSHO-UHFFFAOYSA-N -1 1 303.771 1.457 20 0 DDADMM CC(C)Cn1cnc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001198578127 747531824 /nfs/dbraw/zinc/53/18/24/747531824.db2.gz SQAQRSAXQOFEPW-UHFFFAOYSA-N -1 1 301.310 1.163 20 0 DDADMM CN1C[C@H]2[C@H](C1)CCCN2S(=O)(=O)c1ccccc1C(=O)[O-] ZINC001198762306 747601212 /nfs/dbraw/zinc/60/12/12/747601212.db2.gz DNEKMOPCARCGBD-AAEUAGOBSA-N -1 1 324.402 1.100 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(NC(=S)NC3CCCCCC3)c2[nH]1 ZINC001199975566 748071168 /nfs/dbraw/zinc/07/11/68/748071168.db2.gz ZNVSFLCAHPCVLV-UHFFFAOYSA-N -1 1 322.394 1.773 20 0 DDADMM CC(=O)N[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC[C@H]1C ZINC001004294753 748267620 /nfs/dbraw/zinc/26/76/20/748267620.db2.gz WPXKNPXJNRKRJY-RNCFNFMXSA-N -1 1 318.377 1.046 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)c2sc(Cl)nc2C)CC1 ZINC001201768955 748599733 /nfs/dbraw/zinc/59/97/33/748599733.db2.gz LMTSDVSBKXUUCG-UHFFFAOYSA-N -1 1 324.811 1.479 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)o1 ZINC000998851921 752289819 /nfs/dbraw/zinc/28/98/19/752289819.db2.gz JFJGQBZECOPHTD-LLVKDONJSA-N -1 1 319.365 1.115 20 0 DDADMM CC1(C)CN(C(=O)[C@@H]2CC=CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995446840 748753829 /nfs/dbraw/zinc/75/38/29/748753829.db2.gz IVSLXCIDWMPNGU-VXGBXAGGSA-N -1 1 319.409 1.193 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)C1=CCCC1 ZINC001004817624 748827957 /nfs/dbraw/zinc/82/79/57/748827957.db2.gz OXQAYJXKVWBMQC-YPMHNXCESA-N -1 1 319.409 1.480 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1cc[nH]c1 ZINC001004867161 748876703 /nfs/dbraw/zinc/87/67/03/748876703.db2.gz DISRTURYGWYTKN-PWSUYJOCSA-N -1 1 318.381 1.011 20 0 DDADMM C/C(=C/C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C1CC1 ZINC000995522919 748885170 /nfs/dbraw/zinc/88/51/70/748885170.db2.gz MMHXBKXEGGAQAP-XTOZHPGHSA-N -1 1 319.409 1.193 20 0 DDADMM Cc1nccc(N(C)[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001056900532 761993912 /nfs/dbraw/zinc/99/39/12/761993912.db2.gz IFSGOOWIHZXMSV-GFCCVEGCSA-N -1 1 313.361 1.237 20 0 DDADMM Cc1cc(NC[C@@H](C)NC(=O)c2ncccc2[O-])nc(C)n1 ZINC001107690079 750383702 /nfs/dbraw/zinc/38/37/02/750383702.db2.gz KDMHQTRJDUMGOT-SNVBAGLBSA-N -1 1 301.350 1.424 20 0 DDADMM CSCC(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062150320 752794054 /nfs/dbraw/zinc/79/40/54/752794054.db2.gz ZWGOVCZPSPZKSR-LLVKDONJSA-N -1 1 323.418 1.261 20 0 DDADMM O=C(C=C1CCC1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036686418 753353502 /nfs/dbraw/zinc/35/35/02/753353502.db2.gz ODCUGJFQYWSMQI-STQMWFEESA-N -1 1 317.393 1.043 20 0 DDADMM C[C@H](NC(=O)[C@@H]1CC1(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005957791 753419078 /nfs/dbraw/zinc/41/90/78/753419078.db2.gz ZLYYQKMIWNMBFH-JQWIXIFHSA-N -1 1 317.389 1.410 20 0 DDADMM CCC1(C(=O)NCC[C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001078230951 753866840 /nfs/dbraw/zinc/86/68/40/753866840.db2.gz AUPWZUQMXHXJLA-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM COC(=O)c1cn(-c2ccc(Cl)c([O-])c2)c(C(=O)OC)c1N ZINC001212589482 762379789 /nfs/dbraw/zinc/37/97/89/762379789.db2.gz OABUKHHRDSODGC-UHFFFAOYSA-N -1 1 324.720 1.992 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1Nc1cccc(F)n1 ZINC001067075452 755685582 /nfs/dbraw/zinc/68/55/82/755685582.db2.gz GTOGVYXZAQNLPI-WDEREUQCSA-N -1 1 316.336 1.894 20 0 DDADMM CC(C)(F)C(=O)N[C@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015298681 756227570 /nfs/dbraw/zinc/22/75/70/756227570.db2.gz CBCUJJKZBJJNBQ-MNOVXSKESA-N -1 1 323.368 1.550 20 0 DDADMM CCC(=O)NC1CC(N(C)C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001016519767 757124585 /nfs/dbraw/zinc/12/45/85/757124585.db2.gz DPXKDMGEQOLKTB-UHFFFAOYSA-N -1 1 318.377 1.189 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017092861 757630090 /nfs/dbraw/zinc/63/00/90/757630090.db2.gz KCQFFJZNXGXUPE-MCWZUMHDSA-N -1 1 307.398 1.147 20 0 DDADMM CC(C)C(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017399824 757898350 /nfs/dbraw/zinc/89/83/50/757898350.db2.gz DATUONKNWLHTDS-UHFFFAOYSA-N -1 1 303.362 1.332 20 0 DDADMM C[C@@H]1CN(c2ncccn2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC001052864651 757898746 /nfs/dbraw/zinc/89/87/46/757898746.db2.gz LWMAKXOJKWHVJZ-VXGBXAGGSA-N -1 1 313.361 1.080 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)C(F)F)CN1C(=O)c1ncccc1[O-] ZINC001017880029 758333061 /nfs/dbraw/zinc/33/30/61/758333061.db2.gz RVGYHRVQNIFORD-BDAKNGLRSA-N -1 1 313.304 1.162 20 0 DDADMM COc1cccc(-n2nccc(NCc3ncccc3[O-])c2=O)c1 ZINC001169732025 762639633 /nfs/dbraw/zinc/63/96/33/762639633.db2.gz KLBZIQURBLEXOQ-UHFFFAOYSA-N -1 1 324.340 1.954 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C[C@H]2CCN2C[C@H]2CCCCO2)c1[O-] ZINC001085544888 759666609 /nfs/dbraw/zinc/66/66/09/759666609.db2.gz ZMISNZPWANAFOS-CHWSQXEVSA-N -1 1 322.409 1.139 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCC[C@@H]1CO ZINC000828711041 759747066 /nfs/dbraw/zinc/74/70/66/759747066.db2.gz MRWNUSNZQYWUQD-CYBMUJFWSA-N -1 1 302.334 1.567 20 0 DDADMM O=C(CCCF)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055300010 760453836 /nfs/dbraw/zinc/45/38/36/760453836.db2.gz XVAADZZOTVNDBD-TXEJJXNPSA-N -1 1 321.352 1.067 20 0 DDADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2c1ncccn1)c1ncccc1[O-] ZINC001055318815 760464221 /nfs/dbraw/zinc/46/42/21/760464221.db2.gz PCBSFXXYPVAXPP-UTUOFQBUSA-N -1 1 311.345 1.117 20 0 DDADMM CCn1ccc(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001038193436 760908445 /nfs/dbraw/zinc/90/84/45/760908445.db2.gz JGHPDPZRJSBDHZ-CYBMUJFWSA-N -1 1 315.377 1.008 20 0 DDADMM O=C(NC[C@H]1CCN1Cc1ccc(F)cc1)c1ncccc1[O-] ZINC001038195199 760910421 /nfs/dbraw/zinc/91/04/21/760910421.db2.gz TUNPWBABZDLXNL-CQSZACIVSA-N -1 1 315.348 1.931 20 0 DDADMM O=C(NC[C@@H]1CCN1CCCF)c1ccc2oc(=O)nc-2[n-]1 ZINC001038920345 761545715 /nfs/dbraw/zinc/54/57/15/761545715.db2.gz IAVAOSNLKDFLIG-VIFPVBQESA-N -1 1 308.313 1.092 20 0 DDADMM C[C@@H](CN(C)c1ncc(Cl)cn1)NC(=O)c1ncccc1[O-] ZINC001108932733 763142406 /nfs/dbraw/zinc/14/24/06/763142406.db2.gz CZUJLJWCCLSANJ-VIFPVBQESA-N -1 1 321.768 1.485 20 0 DDADMM Cc1cnc(C)nc1N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001108946329 763158724 /nfs/dbraw/zinc/15/87/24/763158724.db2.gz GXNQIVPFEOHMSR-NSHDSACASA-N -1 1 315.377 1.449 20 0 DDADMM Cc1ccnc(NC2CC(N(C)C(=O)c3ncccc3[O-])C2)n1 ZINC001069731413 768119110 /nfs/dbraw/zinc/11/91/10/768119110.db2.gz BJRAZVGAOLIXAS-UHFFFAOYSA-N -1 1 313.361 1.601 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(Nc2nccnc2F)CC1 ZINC001057313797 763397407 /nfs/dbraw/zinc/39/74/07/763397407.db2.gz CSQHGFBOFNALOL-UHFFFAOYSA-N -1 1 317.324 1.433 20 0 DDADMM Cc1cc(N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)ncn1 ZINC001057622606 764154886 /nfs/dbraw/zinc/15/48/86/764154886.db2.gz AKCKCSMGAHDUGE-GFCCVEGCSA-N -1 1 313.361 1.602 20 0 DDADMM CC(C)=CCN1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001050887729 764236056 /nfs/dbraw/zinc/23/60/56/764236056.db2.gz KNSYRGPBASFNQC-CYBMUJFWSA-N -1 1 305.378 1.184 20 0 DDADMM CC(C)CCOCCN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112783876 764756769 /nfs/dbraw/zinc/75/67/69/764756769.db2.gz HALCJDZRAIDPFD-UHFFFAOYSA-N -1 1 321.421 1.608 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1cncc(Cl)n1 ZINC001112983719 765082470 /nfs/dbraw/zinc/08/24/70/765082470.db2.gz PMJYIXUQEZJUQE-DTWKUNHWSA-N -1 1 321.768 1.850 20 0 DDADMM Cc1cc(N[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001112982872 765097464 /nfs/dbraw/zinc/09/74/64/765097464.db2.gz CQQSVOSUBZNEFQ-QWRGUYRKSA-N -1 1 301.350 1.505 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)s1 ZINC001044902724 765895690 /nfs/dbraw/zinc/89/56/90/765895690.db2.gz RSJPASDCIWJDSW-JTQLQIEISA-N -1 1 321.406 1.275 20 0 DDADMM Cc1nsc(N2CCC(NC(=O)c3ncccc3[O-])CC2)n1 ZINC001057861572 766104583 /nfs/dbraw/zinc/10/45/83/766104583.db2.gz DJZXAHRUYDJJQX-UHFFFAOYSA-N -1 1 319.390 1.346 20 0 DDADMM CC(C)(C)C(C)(C)C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045151793 766117029 /nfs/dbraw/zinc/11/70/29/766117029.db2.gz QYFOPHYAGMAPDY-NSHDSACASA-N -1 1 323.441 1.663 20 0 DDADMM CCc1nnc2ccc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)cn21 ZINC001170220643 766179007 /nfs/dbraw/zinc/17/90/07/766179007.db2.gz ZWOYKGAXRFCAJR-UHFFFAOYSA-N -1 1 312.293 1.064 20 0 DDADMM CC(C)(C)Oc1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)nn1 ZINC001170224273 766197788 /nfs/dbraw/zinc/19/77/88/766197788.db2.gz WFJSSJXZPFCFMI-UHFFFAOYSA-N -1 1 301.310 1.769 20 0 DDADMM CN(C)c1cc(Cl)nc(Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001170226131 766199895 /nfs/dbraw/zinc/19/98/95/766199895.db2.gz CJIXJEAGWQHAHW-UHFFFAOYSA-N -1 1 305.729 1.917 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(Cc2cnns2)C1 ZINC001046261986 767319386 /nfs/dbraw/zinc/31/93/86/767319386.db2.gz JFKOMZGIPKOVNX-AWEZNQCLSA-N -1 1 319.390 1.033 20 0 DDADMM CC/C=C(\C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648686 768643165 /nfs/dbraw/zinc/64/31/65/768643165.db2.gz SWUPMGHAVHMTJY-XEVNVYFWSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1Cc1ccon1 ZINC001071455388 769461242 /nfs/dbraw/zinc/46/12/42/769461242.db2.gz ZVCCRPYANLYVAT-NWDGAFQWSA-N -1 1 316.361 1.558 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@@H]3[C@H]2CCN3C/C=C/Cl)c1[O-] ZINC001049422520 770809160 /nfs/dbraw/zinc/80/91/60/770809160.db2.gz JIVKCTAVFHQAFR-JKNNBXRXSA-N -1 1 324.812 1.855 20 0 DDADMM COc1nc(Cl)ncc1C(F)(F)[N-]c1nccnc1CN ZINC001160245952 772111049 /nfs/dbraw/zinc/11/10/49/772111049.db2.gz PISCOPXVSLLQEW-UHFFFAOYSA-N -1 1 316.699 1.549 20 0 DDADMM CC(=O)O[C@@H](C)C(=O)Nc1nc(Br)ccc1[O-] ZINC001144149183 772426887 /nfs/dbraw/zinc/42/68/87/772426887.db2.gz IMQJMXIVISTRFN-YFKPBYRVSA-N -1 1 303.112 1.440 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)Cc1ccc(O)c(F)c1 ZINC001144623878 772582487 /nfs/dbraw/zinc/58/24/87/772582487.db2.gz UPKLXRCMEGIGGW-UHFFFAOYSA-N -1 1 308.225 1.312 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CC[C@H](c3cccnc3)C2)c1[O-] ZINC001147837608 773263891 /nfs/dbraw/zinc/26/38/91/773263891.db2.gz AHQMDBMMYBRCTB-ZDUSSCGKSA-N -1 1 313.357 1.613 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCCc3cnccn3)cc2)[n-]1 ZINC001148337179 773440730 /nfs/dbraw/zinc/44/07/30/773440730.db2.gz WQFUPYJEUZWUDW-UHFFFAOYSA-N -1 1 323.356 1.562 20 0 DDADMM Cc1c(F)c(F)ccc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001148605878 773532624 /nfs/dbraw/zinc/53/26/24/773532624.db2.gz KRNDKQJBONZMME-UHFFFAOYSA-N -1 1 305.244 1.897 20 0 DDADMM CC(=O)c1cc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)ccc1O ZINC001148657621 773568773 /nfs/dbraw/zinc/56/87/73/773568773.db2.gz NINMFPUVKFMECP-UHFFFAOYSA-N -1 1 318.245 1.446 20 0 DDADMM CCCCN1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001074219293 773742301 /nfs/dbraw/zinc/74/23/01/773742301.db2.gz NOPZOAMOWUZKKB-UKRRQHHQSA-N -1 1 319.405 1.503 20 0 DDADMM Cc1cnc(C)nc1N(C)CCNC(=O)c1ncccc1[O-] ZINC001100071074 775644950 /nfs/dbraw/zinc/64/49/50/775644950.db2.gz RHCQKKZSAZDADY-UHFFFAOYSA-N -1 1 301.350 1.060 20 0 DDADMM COc1ccc([N-]C(=O)c2nc(-c3cnccn3)no2)c(F)c1 ZINC001171529390 776331683 /nfs/dbraw/zinc/33/16/83/776331683.db2.gz SZLWSUQKNSVOJS-UHFFFAOYSA-N -1 1 315.264 1.927 20 0 DDADMM CN(C)c1nc(Nc2cccc(S(C)(=O)=O)c2)cc(=O)[n-]1 ZINC001174026831 777401458 /nfs/dbraw/zinc/40/14/58/777401458.db2.gz GCEUGOLWHHJCOD-UHFFFAOYSA-N -1 1 308.363 1.395 20 0 DDADMM CCC[C@@H](C)CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101801758 777536039 /nfs/dbraw/zinc/53/60/39/777536039.db2.gz MIUJQHZUIMPADT-JHJVBQTASA-N -1 1 323.441 1.521 20 0 DDADMM Cn1cc2ccc([N-]S(=O)(=O)c3cc(N)ccc3N)cc2n1 ZINC001175615798 777859713 /nfs/dbraw/zinc/85/97/13/777859713.db2.gz CLQYIYDFLQZBTM-UHFFFAOYSA-N -1 1 317.374 1.539 20 0 DDADMM COCOc1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1F ZINC001176188572 778067931 /nfs/dbraw/zinc/06/79/31/778067931.db2.gz LTOPAPRISWNQTD-UHFFFAOYSA-N -1 1 312.326 1.919 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2csc(CO)c2)ccc1O ZINC001176369839 778137853 /nfs/dbraw/zinc/13/78/53/778137853.db2.gz HCFWDMOYFUPRCQ-UHFFFAOYSA-N -1 1 300.361 1.329 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc(F)c(F)c1F ZINC001176594871 778177884 /nfs/dbraw/zinc/17/78/84/778177884.db2.gz YBDXXAADABEILR-UHFFFAOYSA-N -1 1 313.283 1.915 20 0 DDADMM COC(=O)Cn1cc(Nc2c(F)cc(F)c([O-])c2F)cn1 ZINC001176664605 778203436 /nfs/dbraw/zinc/20/34/36/778203436.db2.gz RTZXLBSWLMOMHB-UHFFFAOYSA-N -1 1 301.224 1.923 20 0 DDADMM COC[C@H](NC(=O)c1cc2cccc(OC)c2o1)c1nn[n-]n1 ZINC001177823602 778730451 /nfs/dbraw/zinc/73/04/51/778730451.db2.gz QZHUCLXLQLEKEL-VIFPVBQESA-N -1 1 317.305 1.072 20 0 DDADMM O=CNc1nc(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)cs1 ZINC001178027424 778854183 /nfs/dbraw/zinc/85/41/83/778854183.db2.gz GIDPOQVODBGQPS-UHFFFAOYSA-N -1 1 320.256 1.030 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@H](c2cnccn2)C1 ZINC001178844292 779197006 /nfs/dbraw/zinc/19/70/06/779197006.db2.gz BSPSVXGBIPPEDQ-VIFPVBQESA-N -1 1 317.374 1.199 20 0 DDADMM C=C/C(C)=C\CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179351399 779340830 /nfs/dbraw/zinc/34/08/30/779340830.db2.gz PHFADVODSNXGBH-OUONCDGISA-N -1 1 305.382 1.112 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ccccc2)cc1C ZINC001414191858 839308566 /nfs/dbraw/zinc/30/85/66/839308566.db2.gz YDZGIXXVAOPGTL-UHFFFAOYSA-N -1 1 309.343 1.853 20 0 DDADMM CC[C@@H](C)N1CC[C@@]2(CCCN2C(=O)c2ccc([O-])cn2)C1=O ZINC001269354552 841556748 /nfs/dbraw/zinc/55/67/48/841556748.db2.gz MWEMOAHNYCTLGY-PXAZEXFGSA-N -1 1 317.389 1.793 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CCN2C(=O)Cc1ccc([O-])c(Cl)c1 ZINC001269510561 841708230 /nfs/dbraw/zinc/70/82/30/841708230.db2.gz XHTWJWLJIZNEGE-MEDUHNTESA-N -1 1 322.792 1.667 20 0 DDADMM CC(C)NC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc([O-])cn1 ZINC001269895875 842137804 /nfs/dbraw/zinc/13/78/04/842137804.db2.gz UDQVWFRETWVXLY-TXEJJXNPSA-N -1 1 318.377 1.194 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)NC[C@@H]3CNC(=O)C3)cc2c1 ZINC001154755816 861428613 /nfs/dbraw/zinc/42/86/13/861428613.db2.gz BCCKLOVAYMXSTP-JTQLQIEISA-N -1 1 314.341 1.420 20 0 DDADMM O=C([O-])[C@]1(C(F)(F)F)CC[N@@H+](CCOC[C@@H]2CCCO2)C1 ZINC001326575153 861455815 /nfs/dbraw/zinc/45/58/15/861455815.db2.gz AMFXFCDYTYXTME-JQWIXIFHSA-N -1 1 311.300 1.521 20 0 DDADMM O=C([O-])[C@@]1(C(=O)N2CCN(C3CCOCC3)CC2)CC=CCC1 ZINC001326605338 861474375 /nfs/dbraw/zinc/47/43/75/861474375.db2.gz AASFGKLXEOTPJC-KRWDZBQOSA-N -1 1 322.405 1.121 20 0 DDADMM CC[C@@H](CNC(=O)[C@H]1CC1(C)C)NC(=O)c1ncccc1[O-] ZINC001409258238 844722654 /nfs/dbraw/zinc/72/26/54/844722654.db2.gz VIROERKSGSMERN-WDEREUQCSA-N -1 1 305.378 1.458 20 0 DDADMM CN1C[C@]2(CC1=O)CCCCN2C(=O)c1ccc(F)c([O-])c1 ZINC001272227160 844780847 /nfs/dbraw/zinc/78/08/47/844780847.db2.gz MBDCSEPRZWOCKC-MRXNPFEDSA-N -1 1 306.337 1.758 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](OCC[P@](=O)([O-])O)CC1=O ZINC001224601479 844921401 /nfs/dbraw/zinc/92/14/01/844921401.db2.gz HRUVYNDRWDWMEL-SECBINFHSA-N -1 1 323.282 1.107 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2nc(C(F)(F)F)co2)no1 ZINC001361396349 844982534 /nfs/dbraw/zinc/98/25/34/844982534.db2.gz RWAGPPOHYNRSEG-UHFFFAOYSA-N -1 1 305.168 1.720 20 0 DDADMM COc1cccc2c(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)c[nH]c21 ZINC001154882938 861539224 /nfs/dbraw/zinc/53/92/24/861539224.db2.gz VBSRRGOBKPXLMQ-UHFFFAOYSA-N -1 1 324.300 1.801 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CCn2nncc2C1 ZINC001149645987 861777481 /nfs/dbraw/zinc/77/74/81/861777481.db2.gz ISUXXNSFTWHXDW-UHFFFAOYSA-N -1 1 310.313 1.499 20 0 DDADMM Nc1nc(=O)[nH]cc1NC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149654103 861785790 /nfs/dbraw/zinc/78/57/90/861785790.db2.gz UYBFXUWXEBQZPE-UHFFFAOYSA-N -1 1 312.285 1.581 20 0 DDADMM C=CC(=O)NCCNC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149655920 861789292 /nfs/dbraw/zinc/78/92/92/861789292.db2.gz AEJWHVXWCGIIQO-UHFFFAOYSA-N -1 1 300.314 1.283 20 0 DDADMM CN(C(=O)c1nc2ccc(Cl)nc2[n-]1)c1nccnc1N ZINC001155171278 861797503 /nfs/dbraw/zinc/79/75/03/861797503.db2.gz RZSNUYPJIWATDW-UHFFFAOYSA-N -1 1 303.713 1.260 20 0 DDADMM CCCCN1C(=O)COCC12CN(Cc1ccncc1[O-])C2 ZINC001273081231 847881687 /nfs/dbraw/zinc/88/16/87/847881687.db2.gz RNDCXJCCKMZVSR-UHFFFAOYSA-N -1 1 305.378 1.001 20 0 DDADMM O=S(=O)([N-]Cc1ccc(OC(F)F)nc1)c1nccs1 ZINC001187912125 851085500 /nfs/dbraw/zinc/08/55/00/851085500.db2.gz BIOHTRRNKIUBTO-UHFFFAOYSA-N -1 1 321.330 1.618 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC001327598410 862306809 /nfs/dbraw/zinc/30/68/09/862306809.db2.gz LRUUEQGNLSBHGE-KBPBESRZSA-N -1 1 312.410 1.057 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(F)cc(Br)c1 ZINC000387368872 901031144 /nfs/dbraw/zinc/03/11/44/901031144.db2.gz FOTGHWJHQLOTED-UHFFFAOYSA-N -1 1 312.160 1.976 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CC[C@H](O)[C@@H](CO)C3)c([O-])c2n1 ZINC001155653246 862343524 /nfs/dbraw/zinc/34/35/24/862343524.db2.gz BSEJXESWNOCQII-OCCSQVGLSA-N -1 1 316.357 1.064 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC3(C[C@H]3C(=O)NC(C)(C)C)C2)n1 ZINC001274973084 852722755 /nfs/dbraw/zinc/72/27/55/852722755.db2.gz ICAOYCQUXFMRIO-NSHDSACASA-N -1 1 317.389 1.472 20 0 DDADMM NC(=O)[C@@H]1CCC[C@]12CCN(C(=O)c1cc(F)ccc1[O-])C2 ZINC001275360675 853015468 /nfs/dbraw/zinc/01/54/68/853015468.db2.gz GSMCOPUVVJBEJE-BLLLJJGKSA-N -1 1 306.337 1.649 20 0 DDADMM NC(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1c(F)ccc([O-])c1F ZINC001275612340 853432271 /nfs/dbraw/zinc/43/22/71/853432271.db2.gz PZKBASGAIBEAFS-FVZATMBESA-N -1 1 310.300 1.300 20 0 DDADMM CN(C)C(=O)c1cc2c([nH]1)CN(C(=O)c1cncc([O-])c1)CC2 ZINC001275624234 853447650 /nfs/dbraw/zinc/44/76/50/853447650.db2.gz VRGYHEDNUONPJH-UHFFFAOYSA-N -1 1 314.345 1.016 20 0 DDADMM CSc1ncc(C(=O)NCc2cc(C(C)C)no2)c(=O)[n-]1 ZINC001411782562 853730922 /nfs/dbraw/zinc/73/09/22/853730922.db2.gz FPFGCLICGASZJQ-UHFFFAOYSA-N -1 1 308.363 1.946 20 0 DDADMM CCC(CC)(NC(=O)c1cc(C)cc(OC)c1O)c1nn[n-]n1 ZINC001412109925 854229184 /nfs/dbraw/zinc/22/91/84/854229184.db2.gz MZMDKPOITQSYTB-UHFFFAOYSA-N -1 1 319.365 1.668 20 0 DDADMM CS(=O)(=O)CC1CCC(NC(=O)c2ccc([O-])cn2)CC1 ZINC001412167553 854284308 /nfs/dbraw/zinc/28/43/08/854284308.db2.gz BAMMBKHLLMRUMD-UHFFFAOYSA-N -1 1 312.391 1.120 20 0 DDADMM CSc1nc(CNC(=O)C2=NC(=O)C(C)S2)cc(=O)[n-]1 ZINC001412283963 854406561 /nfs/dbraw/zinc/40/65/61/854406561.db2.gz HAKRWWGPWXYIDF-UHFFFAOYSA-N -1 1 312.376 1.305 20 0 DDADMM CSc1ncc(C(=O)N2CCO[C@@H]2C(F)(F)F)c(=O)[n-]1 ZINC001412296287 854412831 /nfs/dbraw/zinc/41/28/31/854412831.db2.gz JAPDMGQDTPRIIV-MRVPVSSYSA-N -1 1 309.269 1.265 20 0 DDADMM COc1ccc(CNC(=O)c2cnc(SC)[n-]c2=O)cc1F ZINC001412387789 854496737 /nfs/dbraw/zinc/49/67/37/854496737.db2.gz XPBOCLFBFDRHHB-UHFFFAOYSA-N -1 1 323.349 1.982 20 0 DDADMM O=C(N[C@@H]1Cc2cnccc2NC1=O)c1c(F)ccc([O-])c1F ZINC001412533976 854672301 /nfs/dbraw/zinc/67/23/01/854672301.db2.gz NCNQRMSKLYXWDI-SNVBAGLBSA-N -1 1 319.267 1.359 20 0 DDADMM CN(C)C(=O)c1c[nH]nc1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC001412565215 854729263 /nfs/dbraw/zinc/72/92/63/854729263.db2.gz NQWMTSQHSYNIDC-UHFFFAOYSA-N -1 1 322.752 1.652 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc([O-])cn2)C(=O)N1c1ccccc1 ZINC001412589614 854778500 /nfs/dbraw/zinc/77/85/00/854778500.db2.gz MNAYWPATTGKYKN-ABAIWWIYSA-N -1 1 311.341 1.711 20 0 DDADMM COC[C@H](NC(=O)C/C=C/c1ccc(C)cc1)c1nn[n-]n1 ZINC001412609972 854808391 /nfs/dbraw/zinc/80/83/91/854808391.db2.gz PSXPKVZRDZCKHL-OOPCZODUSA-N -1 1 301.350 1.415 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2nnc(C)s2)[n-]c1=O ZINC001412779677 855261990 /nfs/dbraw/zinc/26/19/90/855261990.db2.gz ZXNVAENMOYSQDN-MRVPVSSYSA-N -1 1 321.362 1.328 20 0 DDADMM COC(=O)C1CN(C(=O)c2c([O-])cnc3ccc(Cl)cc32)C1 ZINC001412871688 855741436 /nfs/dbraw/zinc/74/14/36/855741436.db2.gz ZXMHMXDHPUBEIL-UHFFFAOYSA-N -1 1 320.732 1.839 20 0 DDADMM O=S(=O)([N-]c1cnncc1Br)c1ccccn1 ZINC001259281732 856365495 /nfs/dbraw/zinc/36/54/95/856365495.db2.gz FCEJRSSLEYUFTK-UHFFFAOYSA-N -1 1 315.152 1.435 20 0 DDADMM O=S(=O)([N-]Cc1ccco1)c1ncccc1Br ZINC001413268724 856594510 /nfs/dbraw/zinc/59/45/10/856594510.db2.gz SXIKTPWVMCZPME-UHFFFAOYSA-N -1 1 317.164 1.916 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C)ccc1O)c1nn[n-]n1 ZINC001413294322 856616367 /nfs/dbraw/zinc/61/63/67/856616367.db2.gz AIUUDCTXWSDCLG-JTQLQIEISA-N -1 1 307.379 1.438 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ncnc3ccsc32)n1 ZINC001151522776 862817134 /nfs/dbraw/zinc/81/71/34/862817134.db2.gz PQDRUDJPPQTFLG-UHFFFAOYSA-N -1 1 317.330 1.843 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ncnc2ccsc21 ZINC001151525236 862825301 /nfs/dbraw/zinc/82/53/01/862825301.db2.gz ONGPDDDATRNHLQ-UHFFFAOYSA-N -1 1 318.274 1.543 20 0 DDADMM CCc1noc(C)c1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001413453235 856830874 /nfs/dbraw/zinc/83/08/74/856830874.db2.gz JQQHCHCEXHEZFP-UHFFFAOYSA-N -1 1 318.333 1.044 20 0 DDADMM Cc1noc([C@H]([N-]S(=O)(=O)CCC(F)(F)F)C(C)C)n1 ZINC001413489954 856883918 /nfs/dbraw/zinc/88/39/18/856883918.db2.gz ZSGXQLUZIGHFNW-MRVPVSSYSA-N -1 1 315.317 1.947 20 0 DDADMM O=C(NCc1cc(=O)[nH]c(C2CC2)n1)c1c(F)ccc([O-])c1F ZINC001413543878 857041464 /nfs/dbraw/zinc/04/14/64/857041464.db2.gz BGDCEYNRFRWMMJ-UHFFFAOYSA-N -1 1 321.283 1.973 20 0 DDADMM CC(C)CC(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072968905 857948077 /nfs/dbraw/zinc/94/80/77/857948077.db2.gz GKYKOOPBCXNPAD-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM C=CC(=O)Nc1ccc([O-])c(C(=O)Nc2cn(C)nc2OC)c1 ZINC001156406634 863017631 /nfs/dbraw/zinc/01/76/31/863017631.db2.gz PJFCUBLBDASNLD-UHFFFAOYSA-N -1 1 316.317 1.511 20 0 DDADMM COc1nccc(C(=O)[N-]c2nnc(C(F)(F)F)s2)n1 ZINC001361328288 881453934 /nfs/dbraw/zinc/45/39/34/881453934.db2.gz SDSDZWDTRYFDCP-UHFFFAOYSA-N -1 1 305.241 1.608 20 0 DDADMM COC(=O)CCSCCNC(=O)c1ccc(F)c([O-])c1 ZINC001139111134 860293557 /nfs/dbraw/zinc/29/35/57/860293557.db2.gz ADEJJBZWLRKKDD-UHFFFAOYSA-N -1 1 301.339 1.557 20 0 DDADMM COc1cccc(CN2CC([C@H](C)OC)C2)c1OCC(=O)[O-] ZINC001139271006 860345017 /nfs/dbraw/zinc/34/50/17/860345017.db2.gz PNVKCXJSWFOUPI-NSHDSACASA-N -1 1 309.362 1.625 20 0 DDADMM COc1cc(C(=O)N2CC3(C[C@@H]3C(N)=O)C2)cc(Cl)c1[O-] ZINC001276401597 860486518 /nfs/dbraw/zinc/48/65/18/860486518.db2.gz IJNFACNSVIIAPS-MRVPVSSYSA-N -1 1 310.737 1.002 20 0 DDADMM O=C(c1ccccc1F)N1CCN(Cc2ccncc2[O-])CC1 ZINC001140277503 860609089 /nfs/dbraw/zinc/60/90/89/860609089.db2.gz BSGBFHZTWDKLOB-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM Cc1ccc2nnc(CNC(=O)c3c(F)ccc([O-])c3F)n2c1 ZINC001142553898 861175785 /nfs/dbraw/zinc/17/57/85/861175785.db2.gz KIZFHMDMWKDBDY-UHFFFAOYSA-N -1 1 318.283 1.952 20 0 DDADMM Cc1nc2ccc(C(=O)Nc3[nH]c(=O)[n-]c(=O)c3N=O)cc2o1 ZINC001152112462 863170809 /nfs/dbraw/zinc/17/08/09/863170809.db2.gz MMHBNPVNNLMXMW-UHFFFAOYSA-N -1 1 315.245 1.988 20 0 DDADMM CC(C)c1ccsc1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001152126749 863176579 /nfs/dbraw/zinc/17/65/79/863176579.db2.gz PEIPUGATZBZRAQ-UHFFFAOYSA-N -1 1 309.395 1.215 20 0 DDADMM COc1ccc2onc(C(=O)[N-]c3ncnc4n[nH]nc43)c2c1 ZINC001156719293 863291692 /nfs/dbraw/zinc/29/16/92/863291692.db2.gz BDVQARXDWXCXSN-UHFFFAOYSA-N -1 1 311.261 1.150 20 0 DDADMM CSc1nc(NC(=O)c2cn3ccnc3s2)cc(=O)[n-]1 ZINC001156804355 863350560 /nfs/dbraw/zinc/35/05/60/863350560.db2.gz VRKMGFQSPZRRKA-UHFFFAOYSA-N -1 1 307.360 1.866 20 0 DDADMM CSc1nc(NC(=O)c2c[nH]c3ncncc23)cc(=O)[n-]1 ZINC001152895688 863591763 /nfs/dbraw/zinc/59/17/63/863591763.db2.gz ATSVNNKSGFXXDH-UHFFFAOYSA-N -1 1 302.319 1.379 20 0 DDADMM CCN(Cc1ccns1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152975120 863630074 /nfs/dbraw/zinc/63/00/74/863630074.db2.gz JXIBRBUPTQIBHA-LLVKDONJSA-N -1 1 320.418 1.884 20 0 DDADMM O=C([N-]c1ncnc2n[nH]nc21)c1cc2c(Cl)cc[nH]c-2n1 ZINC001153017566 863654656 /nfs/dbraw/zinc/65/46/56/863654656.db2.gz ALIUPQPNQRTZIM-UHFFFAOYSA-N -1 1 314.696 1.530 20 0 DDADMM Cc1cn2cccc(C(=O)Nc3nc([O-])[nH]c(=O)c3N=O)c2n1 ZINC001153588078 863963025 /nfs/dbraw/zinc/96/30/25/863963025.db2.gz AHOFKILVTIYTGI-UHFFFAOYSA-N -1 1 314.261 1.494 20 0 DDADMM Cc1cccc(C2(Nc3nc(C)cc4c3C(=O)[N-]C4=O)COC2)c1 ZINC001157677883 864039225 /nfs/dbraw/zinc/03/92/25/864039225.db2.gz SXMFBMOULBUBJJ-UHFFFAOYSA-N -1 1 323.352 1.920 20 0 DDADMM CC1(C)CCC(CC(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1 ZINC001153890207 864195344 /nfs/dbraw/zinc/19/53/44/864195344.db2.gz BVTYAFOMNYKGTL-UHFFFAOYSA-N -1 1 309.414 1.323 20 0 DDADMM CCC[C@@H](C)CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225283344 881758732 /nfs/dbraw/zinc/75/87/32/881758732.db2.gz JMRMXLFYRKGFTJ-JHJVBQTASA-N -1 1 323.441 1.854 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1[nH]nc2c1CCCC2 ZINC001331186219 864930193 /nfs/dbraw/zinc/93/01/93/864930193.db2.gz YUSGGVKLMNQNEK-UHFFFAOYSA-N -1 1 309.366 1.221 20 0 DDADMM CCOc1nc(Cl)cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159185398 865152810 /nfs/dbraw/zinc/15/28/10/865152810.db2.gz HAGNEZVQMRRIBS-UHFFFAOYSA-N -1 1 323.700 1.301 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc(Cl)nc3c2COC3)[n-]1 ZINC001159192549 865158551 /nfs/dbraw/zinc/15/85/51/865158551.db2.gz RETZMNKRQRZHDX-UHFFFAOYSA-N -1 1 305.685 1.276 20 0 DDADMM Cc1c(C(=O)[N-]S(=O)(=O)c2ccccn2)ccc2cncn21 ZINC001323672784 866762885 /nfs/dbraw/zinc/76/28/85/866762885.db2.gz QLIHXKMFYFIHMK-UHFFFAOYSA-N -1 1 316.342 1.156 20 0 DDADMM Cc1cc2c(c(NCC3(c4cnccn4)CC3)n1)C(=O)[N-]C2=O ZINC001161626390 866818228 /nfs/dbraw/zinc/81/82/28/866818228.db2.gz NNUFFXHQDYMFAT-UHFFFAOYSA-N -1 1 309.329 1.207 20 0 DDADMM O=C1C[C@H](Oc2cc(=O)[n-]c(=S)[nH]2)CN1Cc1ccccc1 ZINC001225762139 882016505 /nfs/dbraw/zinc/01/65/05/882016505.db2.gz GKRRECODNZFFHN-NSHDSACASA-N -1 1 317.370 1.651 20 0 DDADMM CCN(Cc1ccccc1NC(=O)CCc1nn[n-]n1)C(C)=O ZINC001361636790 882059484 /nfs/dbraw/zinc/05/94/84/882059484.db2.gz IQFSNCBFTPWXQP-UHFFFAOYSA-N -1 1 316.365 1.139 20 0 DDADMM C[C@@H]1COCCN1C1CCN(C(=O)C2(C(=O)[O-])CCCC2)CC1 ZINC001334229920 867406997 /nfs/dbraw/zinc/40/69/97/867406997.db2.gz LGSVSKCSNBVUGT-CYBMUJFWSA-N -1 1 324.421 1.343 20 0 DDADMM C[C@@H](Cn1cncn1)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001361707474 882199800 /nfs/dbraw/zinc/19/98/00/882199800.db2.gz MVNWOYNPMFSSIU-QMMMGPOBSA-N -1 1 314.267 1.821 20 0 DDADMM CN(CC1CC1)c1cncc(NCC[N-]C(=O)C(F)(F)F)n1 ZINC001164244226 869050599 /nfs/dbraw/zinc/05/05/99/869050599.db2.gz BQXMHBFCFPYJCG-UHFFFAOYSA-N -1 1 317.315 1.413 20 0 DDADMM O=C([N-]CCNc1ccc(N2CCCC2)nc1)C(F)(F)F ZINC001164244069 869051060 /nfs/dbraw/zinc/05/10/60/869051060.db2.gz SPDIAUBZLHLIKQ-UHFFFAOYSA-N -1 1 302.300 1.772 20 0 DDADMM CS(=O)(=O)Cc1cccc(NCC[N-]C(=O)C(F)(F)F)c1 ZINC001164251515 869060201 /nfs/dbraw/zinc/06/02/01/869060201.db2.gz VQXUHPJAZWVTIT-UHFFFAOYSA-N -1 1 324.324 1.322 20 0 DDADMM Cc1cc2c(c(N3CC(F)(F)C[C@@]3(C)CF)n1)C(=O)[N-]C2=O ZINC001164563894 869289461 /nfs/dbraw/zinc/28/94/61/869289461.db2.gz SZOKDEWNKYSODD-ZDUSSCGKSA-N -1 1 313.279 1.847 20 0 DDADMM C[C@H](CN(C)C(=O)[C@]1(C)C=CCC1)NC(=O)c1ncccc1[O-] ZINC001337385081 869462577 /nfs/dbraw/zinc/46/25/77/869462577.db2.gz WCNXNGNQTAUJHW-SJKOYZFVSA-N -1 1 317.389 1.720 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CCc2ccnn2C)[n-]1 ZINC001361756694 882305801 /nfs/dbraw/zinc/30/58/01/882305801.db2.gz FYQUODJPLLXEMD-UHFFFAOYSA-N -1 1 318.333 1.309 20 0 DDADMM Cc1cc2c(c(N3CC[C@@H]4CCCO[C@@H]4C3)n1)C(=O)[N-]C2=O ZINC001165335518 869524679 /nfs/dbraw/zinc/52/46/79/869524679.db2.gz LWSMSWHDQZFREP-CMPLNLGQSA-N -1 1 301.346 1.279 20 0 DDADMM CSc1ncc(C(=O)Nc2cnc3ccccn32)c(=O)[n-]1 ZINC001165618319 869655981 /nfs/dbraw/zinc/65/59/81/869655981.db2.gz RCSQSLQSSDZSSG-UHFFFAOYSA-N -1 1 301.331 1.804 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(C=O)oc2C)n[n-]1 ZINC001166125809 869849320 /nfs/dbraw/zinc/84/93/20/869849320.db2.gz DEVGOYLVBIDRAF-SSDOTTSWSA-N -1 1 320.305 1.186 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(C=O)oc2C)[n-]1 ZINC001166125809 869849334 /nfs/dbraw/zinc/84/93/34/869849334.db2.gz DEVGOYLVBIDRAF-SSDOTTSWSA-N -1 1 320.305 1.186 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(C=O)oc2C)n1 ZINC001166125809 869849349 /nfs/dbraw/zinc/84/93/49/869849349.db2.gz DEVGOYLVBIDRAF-SSDOTTSWSA-N -1 1 320.305 1.186 20 0 DDADMM C[C@H]1c2sccc2CCN1c1nnc(Cc2nnn[n-]2)n1C ZINC001338273458 869897619 /nfs/dbraw/zinc/89/76/19/869897619.db2.gz RUKQIJVPATYTCD-QMMMGPOBSA-N -1 1 316.394 1.104 20 0 DDADMM C[C@H]1c2sccc2CCN1c1nnc(Cc2nn[n-]n2)n1C ZINC001338273458 869897628 /nfs/dbraw/zinc/89/76/28/869897628.db2.gz RUKQIJVPATYTCD-QMMMGPOBSA-N -1 1 316.394 1.104 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CCC[C@@H]1c1cccs1 ZINC001338271619 869898470 /nfs/dbraw/zinc/89/84/70/869898470.db2.gz KBMLLEVECBHMNG-SECBINFHSA-N -1 1 316.394 1.322 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCC[C@@H]1c1cccs1 ZINC001338271619 869898481 /nfs/dbraw/zinc/89/84/81/869898481.db2.gz KBMLLEVECBHMNG-SECBINFHSA-N -1 1 316.394 1.322 20 0 DDADMM Cc1cc2c(c(N3CC[C@H](c4ccncc4)C3)n1)C(=O)[N-]C2=O ZINC001166567480 870067457 /nfs/dbraw/zinc/06/74/57/870067457.db2.gz MEVWWHHCGCXAEL-LBPRGKRZSA-N -1 1 308.341 1.663 20 0 DDADMM CNC(=O)Cc1ccc(NC(=O)c2c([O-])cccc2OC)cc1 ZINC001361796236 882389688 /nfs/dbraw/zinc/38/96/88/882389688.db2.gz VMKXBMQHCRBKQR-UHFFFAOYSA-N -1 1 314.341 1.942 20 0 DDADMM Cc1cc(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)ccc1OC(C)C ZINC001339973703 870815378 /nfs/dbraw/zinc/81/53/78/870815378.db2.gz OAAFPRPJNCTASN-MRXNPFEDSA-N -1 1 322.361 1.164 20 0 DDADMM O=C(NCCC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC12CC2 ZINC001317430793 870818953 /nfs/dbraw/zinc/81/89/53/870818953.db2.gz BFVQUFXFGZOIQB-RYUDHWBXSA-N -1 1 319.409 1.171 20 0 DDADMM O=C(N[C@@H](Cc1ccccc1)c1ncco1)c1cnncc1[O-] ZINC001299940353 870946491 /nfs/dbraw/zinc/94/64/91/870946491.db2.gz FNKODOHNZGKSOM-ZDUSSCGKSA-N -1 1 310.313 1.884 20 0 DDADMM COc1ccc2cc(C(=O)[N-]n3ccc(=O)[nH]c3=O)sc2c1 ZINC001301696497 871017830 /nfs/dbraw/zinc/01/78/30/871017830.db2.gz AHXAAPJOGFCPSF-UHFFFAOYSA-N -1 1 317.326 1.556 20 0 DDADMM COc1nnc([N-]C(=O)c2cc(F)cc3nccnc32)s1 ZINC001301962587 871037252 /nfs/dbraw/zinc/03/72/52/871037252.db2.gz QJEYGZLMEXLWSU-UHFFFAOYSA-N -1 1 305.294 1.881 20 0 DDADMM Cc1nnc(C2CN(C(=O)c3ccc4ccc(O)cc4c3[O-])C2)[nH]1 ZINC001303475632 871128041 /nfs/dbraw/zinc/12/80/41/871128041.db2.gz FUEKCONTBIJPPS-UHFFFAOYSA-N -1 1 324.340 1.917 20 0 DDADMM COC[C@@H](NC(=O)c1cc2cccc(Cl)c2o1)c1nn[n-]n1 ZINC001303580229 871140042 /nfs/dbraw/zinc/14/00/42/871140042.db2.gz STFCVRRQVSTGPC-SECBINFHSA-N -1 1 321.724 1.717 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)[C@@H]1CCc2ccccc2C1 ZINC001340792496 871355006 /nfs/dbraw/zinc/35/50/06/871355006.db2.gz FGBZWIQVMWBUPT-CYBMUJFWSA-N -1 1 324.392 1.472 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)[C@@H]1CCc2ccccc2C1 ZINC001340792496 871355023 /nfs/dbraw/zinc/35/50/23/871355023.db2.gz FGBZWIQVMWBUPT-CYBMUJFWSA-N -1 1 324.392 1.472 20 0 DDADMM Cc1ccccc1CN(C)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001341869000 871870997 /nfs/dbraw/zinc/87/09/97/871870997.db2.gz YAELVBBLTOWWAH-UHFFFAOYSA-N -1 1 300.322 1.103 20 0 DDADMM Cn1ccc(Nc2cc(S(=O)(=O)C(N)=O)ccc2[O-])cc1=O ZINC001206133863 872075775 /nfs/dbraw/zinc/07/57/75/872075775.db2.gz ICBLTGJQLWWSCX-UHFFFAOYSA-N -1 1 323.330 1.101 20 0 DDADMM CC(C)=C(C)CC(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001318644920 872183744 /nfs/dbraw/zinc/18/37/44/872183744.db2.gz OVQXSHXXPRFZRK-UHFFFAOYSA-N -1 1 321.425 1.775 20 0 DDADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CC(C)C1 ZINC001381751462 882594482 /nfs/dbraw/zinc/59/44/82/882594482.db2.gz VNUZNTUBLUBELF-MCIGGMRASA-N -1 1 309.414 1.321 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC(N2CCCC2=O)CC1 ZINC001361944648 882687491 /nfs/dbraw/zinc/68/74/91/882687491.db2.gz FEQRTGBAEDGHKD-UHFFFAOYSA-N -1 1 306.337 1.758 20 0 DDADMM O=C(Cc1nnc(C2CCOCC2)[nH]1)[N-]OC/C=C\Cl ZINC001346997566 873968260 /nfs/dbraw/zinc/96/82/60/873968260.db2.gz PEFFWJLHORWPAE-RJRFIUFISA-N -1 1 300.746 1.042 20 0 DDADMM O=C(/C=C\SCc1ccco1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347475015 874154766 /nfs/dbraw/zinc/15/47/66/874154766.db2.gz SBNUIMBYLUCRML-ISGFRBBESA-N -1 1 305.363 1.556 20 0 DDADMM O=C(c1cc(O)c2ccccc2c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347475607 874155271 /nfs/dbraw/zinc/15/52/71/874155271.db2.gz XQEVAEWMCWDWGY-NSHDSACASA-N -1 1 309.329 1.688 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC1(O)CCC(C)CC1 ZINC001347841594 874289083 /nfs/dbraw/zinc/28/90/83/874289083.db2.gz PNUVKZKUCPMJNC-UHFFFAOYSA-N -1 1 311.407 1.450 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](c2nn[n-]n2)C1)n1cnc2ccccc21 ZINC001347974805 874324118 /nfs/dbraw/zinc/32/41/18/874324118.db2.gz OGSAAFYXCIALHT-QWRGUYRKSA-N -1 1 311.349 1.127 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C12CCC2 ZINC001349013127 874923736 /nfs/dbraw/zinc/92/37/36/874923736.db2.gz CZMQCVOPTRHSAJ-NXEZZACHSA-N -1 1 323.418 1.857 20 0 DDADMM CCC[C@H](C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001209900435 875163889 /nfs/dbraw/zinc/16/38/89/875163889.db2.gz ULBMKWLJQNKZCV-STQMWFEESA-N -1 1 323.441 1.663 20 0 DDADMM CN1CCN(c2cncc(Nc3ccc(C(=O)[O-])nc3)c2)CC1 ZINC001210174333 875256280 /nfs/dbraw/zinc/25/62/80/875256280.db2.gz NDYSBBLVGAGSNK-UHFFFAOYSA-N -1 1 313.361 1.670 20 0 DDADMM CCOc1cc(NC(=O)CCCc2nn[n-]n2)ccc1OC ZINC001362061873 882940391 /nfs/dbraw/zinc/94/03/91/882940391.db2.gz JLCVNUHJFXOPHP-UHFFFAOYSA-N -1 1 305.338 1.568 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC001350289692 875614812 /nfs/dbraw/zinc/61/48/12/875614812.db2.gz ICSSGLOHTUMJDA-GUBZILKMSA-N -1 1 309.391 1.467 20 0 DDADMM CCOCCN(C)c1nnc(-c2cnc(C3CC3)[n-]c2=O)n1C ZINC001351195466 876112058 /nfs/dbraw/zinc/11/20/58/876112058.db2.gz XMAMNZYXNSUNMA-UHFFFAOYSA-N -1 1 318.381 1.328 20 0 DDADMM CC(=O)[C@@H](Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1)c1ccccc1 ZINC001227431237 883014409 /nfs/dbraw/zinc/01/44/09/883014409.db2.gz SSZMMJBYOPEPLX-SNVBAGLBSA-N -1 1 300.274 1.473 20 0 DDADMM CC(=O)[C@@H](Oc1nc2[nH]c(=O)[nH]c([O-])c-2n1)c1ccccc1 ZINC001227431237 883014421 /nfs/dbraw/zinc/01/44/21/883014421.db2.gz SSZMMJBYOPEPLX-SNVBAGLBSA-N -1 1 300.274 1.473 20 0 DDADMM CC(=O)[C@@H](Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)c1ccccc1 ZINC001227431237 883014436 /nfs/dbraw/zinc/01/44/36/883014436.db2.gz SSZMMJBYOPEPLX-SNVBAGLBSA-N -1 1 300.274 1.473 20 0 DDADMM Cc1cc(CO)ccc1[N-]S(=O)(=O)c1cc(N)ccc1N ZINC001214672905 876433928 /nfs/dbraw/zinc/43/39/28/876433928.db2.gz CQLQNTWBRXENAH-UHFFFAOYSA-N -1 1 307.375 1.453 20 0 DDADMM CN(CCCNC(=O)[C@]1(C)C=CCC1)C(=O)c1ncccc1[O-] ZINC001351841367 876458834 /nfs/dbraw/zinc/45/88/34/876458834.db2.gz ONCLSSRZKZLTKA-QGZVFWFLSA-N -1 1 317.389 1.722 20 0 DDADMM CC(C)c1[nH]ccc1C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001379209915 876620483 /nfs/dbraw/zinc/62/04/83/876620483.db2.gz AUYNPEXHLVVPJX-JTQLQIEISA-N -1 1 320.397 1.212 20 0 DDADMM CCOCc1cncc([N-]S(=O)(=O)c2ccc(N)c(N)c2)c1 ZINC001215790004 876805905 /nfs/dbraw/zinc/80/59/05/876805905.db2.gz KIETZMBFVYKGQJ-UHFFFAOYSA-N -1 1 322.390 1.583 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(O)c(N)c2)ccc1CO ZINC001216114731 876867931 /nfs/dbraw/zinc/86/79/31/876867931.db2.gz UWNXDVGGNUSWSP-UHFFFAOYSA-N -1 1 324.358 1.276 20 0 DDADMM Cc1nnc([C@H](C)NC[C@@H](C)NC(=O)c2ncccc2[O-])s1 ZINC001379349574 876888338 /nfs/dbraw/zinc/88/83/38/876888338.db2.gz STUYJNMTONZAAX-BDAKNGLRSA-N -1 1 321.406 1.416 20 0 DDADMM C/C(=C/C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001352759120 876913006 /nfs/dbraw/zinc/91/30/06/876913006.db2.gz WCFDNKAIWUREJP-PRWOLLLXSA-N -1 1 315.373 1.474 20 0 DDADMM Cn1ccc(-c2ccc(Nc3c(N)[nH]c(=S)[n-]c3=O)cn2)n1 ZINC001216555796 876974985 /nfs/dbraw/zinc/97/49/85/876974985.db2.gz CTVRDTKATNGUAZ-UHFFFAOYSA-N -1 1 315.362 1.592 20 0 DDADMM O=C1[N-]C(=O)[C@H](Cc2ccc(OC[C@H]3C[C@H](O)C3)cc2)S1 ZINC001218428746 877483227 /nfs/dbraw/zinc/48/32/27/877483227.db2.gz ZBMQZYPIEBWPMH-GVXVVHGQSA-N -1 1 307.371 1.730 20 0 DDADMM CCC(CC)C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001379626685 877567191 /nfs/dbraw/zinc/56/71/91/877567191.db2.gz DUFAPTXFRZVUFS-DGCLKSJQSA-N -1 1 323.441 1.014 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)NCc1ccccc1N1CCN(C)CC1 ZINC001354073573 877733483 /nfs/dbraw/zinc/73/34/83/877733483.db2.gz PMCZYSATHBNPNQ-AWEZNQCLSA-N -1 1 319.405 1.165 20 0 DDADMM C[C@H]1CC[C@@](C)(CNC(=O)[C@@]2(C(=O)[O-])CNCCO2)C1(C)C ZINC001354245836 877833667 /nfs/dbraw/zinc/83/36/67/877833667.db2.gz FYILYOZKORNALB-KNXALSJPSA-N -1 1 312.410 1.008 20 0 DDADMM CC(C)[C@@H](F)C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001379733839 877856557 /nfs/dbraw/zinc/85/65/57/877856557.db2.gz BNCSJSBNQFGHOW-WBMJQRKESA-N -1 1 323.368 1.502 20 0 DDADMM C/C=C\C[C@H]1CCCN(c2nnc(-c3nnn[n-]3)n2CC)C1 ZINC001356292273 878969154 /nfs/dbraw/zinc/96/91/54/878969154.db2.gz ZGIJQCUGLQTBSA-MZBZXASESA-N -1 1 302.386 1.661 20 0 DDADMM C/C=C\C[C@H]1CCCN(c2nnc(-c3nn[n-]n3)n2CC)C1 ZINC001356292273 878969157 /nfs/dbraw/zinc/96/91/57/878969157.db2.gz ZGIJQCUGLQTBSA-MZBZXASESA-N -1 1 302.386 1.661 20 0 DDADMM CC(C)C(=O)N(C)C[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001380221351 879086378 /nfs/dbraw/zinc/08/63/78/879086378.db2.gz UQOWVYQXNDZAAL-LBPRGKRZSA-N -1 1 305.378 1.506 20 0 DDADMM COc1cccc([C@H](CNC(=O)N[C@@H](C)C(=O)[O-])N(C)C)c1 ZINC000315770644 879174188 /nfs/dbraw/zinc/17/41/88/879174188.db2.gz QWEUESAIOBRGLQ-GWCFXTLKSA-N -1 1 309.366 1.070 20 0 DDADMM CC/C=C(\C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001287859250 912510592 /nfs/dbraw/zinc/51/05/92/912510592.db2.gz LUOMVZBFJYYALM-NHFJXKHHSA-N -1 1 317.389 1.863 20 0 DDADMM Cc1cc(O)ccc1CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362205950 883297173 /nfs/dbraw/zinc/29/71/73/883297173.db2.gz GQDUVCFEWCJITI-LBPRGKRZSA-N -1 1 301.350 1.162 20 0 DDADMM COC(=O)c1ccc(NC(=O)CCc2nn[n-]n2)cc1Cl ZINC001362291330 883493314 /nfs/dbraw/zinc/49/33/14/883493314.db2.gz GQDQLTHPDZJDAN-UHFFFAOYSA-N -1 1 309.713 1.211 20 0 DDADMM CC(C)OC(=O)C[C@@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001362330431 883579877 /nfs/dbraw/zinc/57/98/77/883579877.db2.gz XXGDGEASWOTHSL-LBPRGKRZSA-N -1 1 306.362 1.981 20 0 DDADMM Cc1cc(OCC(=O)Nc2nnn[n-]2)ccc1Br ZINC001362338795 883600561 /nfs/dbraw/zinc/60/05/61/883600561.db2.gz MYKMVRPMTHZULL-UHFFFAOYSA-N -1 1 312.127 1.288 20 0 DDADMM Cc1cc(OCC(=O)Nc2nn[n-]n2)ccc1Br ZINC001362338795 883600564 /nfs/dbraw/zinc/60/05/64/883600564.db2.gz MYKMVRPMTHZULL-UHFFFAOYSA-N -1 1 312.127 1.288 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362356178 883634397 /nfs/dbraw/zinc/63/43/97/883634397.db2.gz OWKPKZJTGWYJGD-RKDXNWHRSA-N -1 1 305.304 1.884 20 0 DDADMM CC[C@H](C)c1nnc([C@@H](C)NC(=O)CCCc2nn[n-]n2)[nH]1 ZINC001362422084 883772512 /nfs/dbraw/zinc/77/25/12/883772512.db2.gz DNBDYUWSWPVJFJ-DTWKUNHWSA-N -1 1 306.374 1.031 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1Cc2ccccc2O1)c1nn[n-]n1 ZINC001362496437 883941459 /nfs/dbraw/zinc/94/14/59/883941459.db2.gz MEWOVAJCWHIPSU-LBPRGKRZSA-N -1 1 301.350 1.335 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccc(F)c(F)c1)c1ccc([O-])cn1 ZINC001362611448 884216954 /nfs/dbraw/zinc/21/69/54/884216954.db2.gz MKLREAXPIXNEBM-NSHDSACASA-N -1 1 322.311 1.646 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2=C(CC)OCCC2)n[n-]1 ZINC001362663366 884357838 /nfs/dbraw/zinc/35/78/38/884357838.db2.gz ZKWFJAPWUFDHHZ-SECBINFHSA-N -1 1 322.365 1.633 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2=C(CC)OCCC2)[n-]1 ZINC001362663366 884357859 /nfs/dbraw/zinc/35/78/59/884357859.db2.gz ZKWFJAPWUFDHHZ-SECBINFHSA-N -1 1 322.365 1.633 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2=C(CC)OCCC2)n1 ZINC001362663366 884357880 /nfs/dbraw/zinc/35/78/80/884357880.db2.gz ZKWFJAPWUFDHHZ-SECBINFHSA-N -1 1 322.365 1.633 20 0 DDADMM CCC(CC)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001382644558 884396627 /nfs/dbraw/zinc/39/66/27/884396627.db2.gz DDZAHJPHMDDJLV-YPMHNXCESA-N -1 1 319.405 1.943 20 0 DDADMM CC(C)CCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001382645548 884397142 /nfs/dbraw/zinc/39/71/42/884397142.db2.gz DYCZJHIXYINSAI-OLZOCXBDSA-N -1 1 319.405 1.943 20 0 DDADMM COC(=O)c1c[n-]c(O[C@@H]2CCN(c3ccnc(Cl)n3)C2)n1 ZINC001230282393 884408886 /nfs/dbraw/zinc/40/88/86/884408886.db2.gz UPIDSCPXLKSOBK-MRVPVSSYSA-N -1 1 323.740 1.297 20 0 DDADMM CCCC[C@H](O)CCC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001362710963 884463692 /nfs/dbraw/zinc/46/36/92/884463692.db2.gz BWBDJBFGAULXHX-ZFWWWQNUSA-N -1 1 317.393 1.737 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@H]3CC34CC4)CC2)n1 ZINC001362759647 884571407 /nfs/dbraw/zinc/57/14/07/884571407.db2.gz LCVUFAJIDUSGCE-LLVKDONJSA-N -1 1 318.377 1.488 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC001362827020 884734744 /nfs/dbraw/zinc/73/47/44/884734744.db2.gz WWQJOIMYHAOIBB-VXNVDRBHSA-N -1 1 319.390 1.845 20 0 DDADMM COCc1ncc(C(=O)N(Cc2nn[n-]n2)CC(C)C)s1 ZINC001362832542 884750209 /nfs/dbraw/zinc/75/02/09/884750209.db2.gz TZSCGDVCDLUGTA-UHFFFAOYSA-N -1 1 310.383 1.101 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)NC(=O)CC(C)(C)C)c1nn[n-]n1 ZINC001362859396 884816156 /nfs/dbraw/zinc/81/61/56/884816156.db2.gz UXNJDEBSVDPOLV-SNVBAGLBSA-N -1 1 324.429 1.272 20 0 DDADMM Cc1cc(NC(=O)c2cnc(C3CC3)[n-]c2=O)cc(C(N)=O)c1 ZINC001362885773 884886376 /nfs/dbraw/zinc/88/63/76/884886376.db2.gz IAQKZXSEAKDKTO-UHFFFAOYSA-N -1 1 312.329 1.719 20 0 DDADMM O=C(c1cccc([O-])c1F)N1C[C@@]2(CO)CCCC[C@@]2(CO)C1 ZINC001363043779 885310779 /nfs/dbraw/zinc/31/07/79/885310779.db2.gz VWBFGRLEXYJMLX-CALCHBBNSA-N -1 1 323.364 1.519 20 0 DDADMM CO[C@H]1COCC[C@H]1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001363070256 885387829 /nfs/dbraw/zinc/38/78/29/885387829.db2.gz QVKILZZIKNEIEH-RYUDHWBXSA-N -1 1 323.393 1.395 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccc(OC2CCOCC2)cc1 ZINC001363126038 885528107 /nfs/dbraw/zinc/52/81/07/885528107.db2.gz FTOZPJOIDABNHB-UHFFFAOYSA-N -1 1 303.318 1.925 20 0 DDADMM COC(=O)c1coc(CNC(=O)c2c(F)ccc([O-])c2F)c1 ZINC001363162651 885616450 /nfs/dbraw/zinc/61/64/50/885616450.db2.gz QSPGMWLNIJQLGG-UHFFFAOYSA-N -1 1 311.240 1.980 20 0 DDADMM CSc1ncc(C(=O)NCc2nccnc2Cl)c(=O)[n-]1 ZINC001363181013 885654549 /nfs/dbraw/zinc/65/45/49/885654549.db2.gz PHRJOAWBGIDPBP-UHFFFAOYSA-N -1 1 311.754 1.278 20 0 DDADMM O=C([O-])c1ccc(CN2CC[C@H](Oc3ncccn3)C2)s1 ZINC001231465592 885684899 /nfs/dbraw/zinc/68/48/99/885684899.db2.gz MBZVYLBIFATUCA-JTQLQIEISA-N -1 1 305.359 1.890 20 0 DDADMM NC(=O)c1ccc2c(n1)CCN(Cc1ccc([O-])c(F)c1F)C2 ZINC001277543192 885765018 /nfs/dbraw/zinc/76/50/18/885765018.db2.gz JBMWKGHQPNGRTO-UHFFFAOYSA-N -1 1 319.311 1.723 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)C(F)(F)c1ccccc1F ZINC001363276557 885886336 /nfs/dbraw/zinc/88/63/36/885886336.db2.gz FQEUUEZVDAMAJL-UHFFFAOYSA-N -1 1 311.267 1.626 20 0 DDADMM Cn1ccnc1[C@H]1C[C@H](NC(=O)c2ccc([O-])cc2F)CCO1 ZINC001363287828 885917522 /nfs/dbraw/zinc/91/75/22/885917522.db2.gz WGLYQWQULJBCMY-QMTHXVAHSA-N -1 1 319.336 1.915 20 0 DDADMM C[C@@H](Sc1ccccn1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363376333 886170805 /nfs/dbraw/zinc/17/08/05/886170805.db2.gz RKUVREDNODTOQU-SECBINFHSA-N -1 1 304.379 1.271 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc([O-])c2F)C[C@H](C)S1(=O)=O ZINC001363379598 886177443 /nfs/dbraw/zinc/17/74/43/886177443.db2.gz NOVVMLDRJKHCIN-DTORHVGOSA-N -1 1 301.339 1.179 20 0 DDADMM Cc1nc(N)nc(C)c1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001363384375 886187133 /nfs/dbraw/zinc/18/71/33/886187133.db2.gz DFWFHZRWUHXTOL-UHFFFAOYSA-N -1 1 306.753 1.965 20 0 DDADMM COC[C@H](NC(=O)[C@H](C)CCCc1ccccc1)c1nn[n-]n1 ZINC001363413822 886269085 /nfs/dbraw/zinc/26/90/85/886269085.db2.gz KDNHAMIMQXBMDX-OCCSQVGLSA-N -1 1 317.393 1.662 20 0 DDADMM COC[C@H](NC(=O)CSc1cc(C)ccc1C)c1nn[n-]n1 ZINC001363419096 886285173 /nfs/dbraw/zinc/28/51/73/886285173.db2.gz VIODWYGFLFZCON-NSHDSACASA-N -1 1 321.406 1.413 20 0 DDADMM Cn1ccnc1[C@H]1C[C@@H](NC(=O)c2ccc(F)c([O-])c2)CCO1 ZINC001363444577 886353367 /nfs/dbraw/zinc/35/33/67/886353367.db2.gz PAZKUCUUIMPFSK-SMDDNHRTSA-N -1 1 319.336 1.915 20 0 DDADMM CO[C@@H](C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C)C1CC1 ZINC001363467233 886419508 /nfs/dbraw/zinc/41/95/08/886419508.db2.gz SDJOUIHVWACGRF-INTQDDNPSA-N -1 1 322.327 1.469 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(OC)CCCCC2)n[n-]1 ZINC001363472267 886432031 /nfs/dbraw/zinc/43/20/31/886432031.db2.gz CITZVFWOFRUDLP-JTQLQIEISA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(OC)CCCCC2)[n-]1 ZINC001363472267 886432035 /nfs/dbraw/zinc/43/20/35/886432035.db2.gz CITZVFWOFRUDLP-JTQLQIEISA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(OC)CCCCC2)n1 ZINC001363472267 886432041 /nfs/dbraw/zinc/43/20/41/886432041.db2.gz CITZVFWOFRUDLP-JTQLQIEISA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2ncc(C)s2)n[n-]1 ZINC001363475098 886439167 /nfs/dbraw/zinc/43/91/67/886439167.db2.gz LSKMNAVILOSLJQ-QMMMGPOBSA-N -1 1 323.378 1.166 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2ncc(C)s2)[n-]1 ZINC001363475098 886439179 /nfs/dbraw/zinc/43/91/79/886439179.db2.gz LSKMNAVILOSLJQ-QMMMGPOBSA-N -1 1 323.378 1.166 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2ncc(C)s2)n1 ZINC001363475098 886439188 /nfs/dbraw/zinc/43/91/88/886439188.db2.gz LSKMNAVILOSLJQ-QMMMGPOBSA-N -1 1 323.378 1.166 20 0 DDADMM Cn1nccc1[C@@H](O)C1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001363482669 886457489 /nfs/dbraw/zinc/45/74/89/886457489.db2.gz KSIBVTFLHQEFJH-HNNXBMFYSA-N -1 1 316.361 1.107 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)C(C)(F)F ZINC001363561151 886638493 /nfs/dbraw/zinc/63/84/93/886638493.db2.gz RZNQKYCMIFIADI-ZETCQYMHSA-N -1 1 302.281 1.300 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)C(C)(F)F ZINC001363561151 886638501 /nfs/dbraw/zinc/63/85/01/886638501.db2.gz RZNQKYCMIFIADI-ZETCQYMHSA-N -1 1 302.281 1.300 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)C(C)(F)F ZINC001363561151 886638509 /nfs/dbraw/zinc/63/85/09/886638509.db2.gz RZNQKYCMIFIADI-ZETCQYMHSA-N -1 1 302.281 1.300 20 0 DDADMM COC(=O)c1cn2c(n1)CN(Cc1cc([O-])c(F)c(F)c1)CC2 ZINC001232975210 886706102 /nfs/dbraw/zinc/70/61/02/886706102.db2.gz HCUIHEVHCDOVOE-UHFFFAOYSA-N -1 1 323.299 1.669 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CCN(C[C@@H]2CCCO2)CC1 ZINC001233035534 886742401 /nfs/dbraw/zinc/74/24/01/886742401.db2.gz FJYZALUCSXQLMU-ZDUSSCGKSA-N -1 1 311.813 1.737 20 0 DDADMM COC[C@H](C)[C@@H](C)C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001363647225 886876700 /nfs/dbraw/zinc/87/67/00/886876700.db2.gz DOCXYQRPQPRDDN-VHSXEESVSA-N -1 1 311.382 1.116 20 0 DDADMM O=C(NC1CC1)c1c[nH]nc1NC(=O)c1cc(F)ccc1[O-] ZINC001363697131 887004134 /nfs/dbraw/zinc/00/41/34/887004134.db2.gz PQHUAQDCFYKHEF-UHFFFAOYSA-N -1 1 304.281 1.399 20 0 DDADMM CSc1ncc(C(=O)NCc2cc3n(n2)CCCC3)c(=O)[n-]1 ZINC001363701345 887016031 /nfs/dbraw/zinc/01/60/31/887016031.db2.gz SGEPWUKZLYQNQT-UHFFFAOYSA-N -1 1 319.390 1.367 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC001363710961 887043352 /nfs/dbraw/zinc/04/33/52/887043352.db2.gz TZKKQAFNCOSIDY-SSDOTTSWSA-N -1 1 322.271 1.385 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CCc2cn[nH]c2)[n-]c1=O ZINC001363846803 887382385 /nfs/dbraw/zinc/38/23/85/887382385.db2.gz HZLOKXCRSYQGNR-LLVKDONJSA-N -1 1 317.349 1.210 20 0 DDADMM COC(=O)c1cccc2[n-]c(OC(=O)CCC[C@@H](C)O)nc21 ZINC001234044864 887586188 /nfs/dbraw/zinc/58/61/88/887586188.db2.gz OHPXWJDLWUNDJP-SECBINFHSA-N -1 1 306.318 1.806 20 0 DDADMM CC[C@@H](COCC1CC1)NC(=O)c1cnc(SC)[n-]c1=O ZINC001363942751 887586382 /nfs/dbraw/zinc/58/63/82/887586382.db2.gz WJDVLUXIGAQULP-JTQLQIEISA-N -1 1 311.407 1.839 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1[C@H](C)[C@H](OC)C1(C)C ZINC001363967431 887633911 /nfs/dbraw/zinc/63/39/11/887633911.db2.gz GOZLSFVKGHWJCT-SFGNSQDASA-N -1 1 320.436 1.490 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3cc(C4CC4)no3)ccnc1-2 ZINC001364115767 887957526 /nfs/dbraw/zinc/95/75/26/887957526.db2.gz OBOJXUAIJWZTJK-UHFFFAOYSA-N -1 1 312.333 1.529 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@@H]1C(=O)OCC1(C)C ZINC001234559074 888093955 /nfs/dbraw/zinc/09/39/55/888093955.db2.gz CPHOFTDAJOQILW-SNVBAGLBSA-N -1 1 324.333 1.423 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@@H](C)c2cncnc2)c(=O)[n-]1 ZINC001234564648 888104355 /nfs/dbraw/zinc/10/43/55/888104355.db2.gz GKCUTNHVETWKKJ-QMMMGPOBSA-N -1 1 303.322 1.335 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H](C)c1ccc(OC)nc1 ZINC001234565565 888105086 /nfs/dbraw/zinc/10/50/86/888105086.db2.gz WVMRFXOWTHXWPF-MRVPVSSYSA-N -1 1 319.317 1.821 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@H]1COc2cnccc21 ZINC001234606646 888136706 /nfs/dbraw/zinc/13/67/06/888136706.db2.gz HZMMTFACBSYGCI-NSHDSACASA-N -1 1 306.249 1.620 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@@H]2CCC(=O)C[C@H]2C)[n-]c1=O ZINC001364231536 888184452 /nfs/dbraw/zinc/18/44/52/888184452.db2.gz BNZJFXGMXSNNRT-MWLCHTKSSA-N -1 1 321.377 1.547 20 0 DDADMM NS(=O)(=O)c1cc([N-]C(=O)c2cnc(C3CC3)o2)cs1 ZINC001364500133 888780852 /nfs/dbraw/zinc/78/08/52/888780852.db2.gz SRUZSNOKNGEDKH-UHFFFAOYSA-N -1 1 313.360 1.513 20 0 DDADMM CCC[C@H](C)C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385368275 888979631 /nfs/dbraw/zinc/97/96/31/888979631.db2.gz HMKZCXMAGFWFNP-STQMWFEESA-N -1 1 319.405 1.896 20 0 DDADMM O=C(NCC(F)(F)c1cc(F)cc(F)c1)c1cnncc1[O-] ZINC001364620794 889053271 /nfs/dbraw/zinc/05/32/71/889053271.db2.gz HSWQMDZNTKVGMA-UHFFFAOYSA-N -1 1 315.226 1.982 20 0 DDADMM O=C(N[C@@H]1Cc2cccnc2NC1=O)c1ccc([O-])c(F)c1 ZINC001364657457 889137938 /nfs/dbraw/zinc/13/79/38/889137938.db2.gz SGRQPZDZIGSECF-LLVKDONJSA-N -1 1 301.277 1.220 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NCc2nn(C)cc2Cl)n[n-]1 ZINC001364694533 889212209 /nfs/dbraw/zinc/21/22/09/889212209.db2.gz GFQUZJNQYGFVJJ-SSDOTTSWSA-N -1 1 312.761 1.219 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NCc2nn(C)cc2Cl)[n-]1 ZINC001364694533 889212220 /nfs/dbraw/zinc/21/22/20/889212220.db2.gz GFQUZJNQYGFVJJ-SSDOTTSWSA-N -1 1 312.761 1.219 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2nn(C)cc2Cl)n1 ZINC001364694533 889212237 /nfs/dbraw/zinc/21/22/37/889212237.db2.gz GFQUZJNQYGFVJJ-SSDOTTSWSA-N -1 1 312.761 1.219 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCC2(C)C)[n-]n1 ZINC001364816137 889475467 /nfs/dbraw/zinc/47/54/67/889475467.db2.gz ULVHDYSWLBUNIS-VIFPVBQESA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCC2(C)C)n[n-]1 ZINC001364816137 889475477 /nfs/dbraw/zinc/47/54/77/889475477.db2.gz ULVHDYSWLBUNIS-VIFPVBQESA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(C)(C)C1CCC1 ZINC001364852401 889550052 /nfs/dbraw/zinc/55/00/52/889550052.db2.gz ZHECUQYFHKGGAW-UHFFFAOYSA-N -1 1 315.395 1.301 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2csnc2OC)CCCC1 ZINC001364873589 889586038 /nfs/dbraw/zinc/58/60/38/889586038.db2.gz XJJRWKDQTWGTCJ-UHFFFAOYSA-N -1 1 320.436 1.637 20 0 DDADMM CC[C@@H]1CC[C@@H](C)N(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001364906133 889646091 /nfs/dbraw/zinc/64/60/91/889646091.db2.gz HBIVGVLZMASNGV-NXEZZACHSA-N -1 1 315.395 1.396 20 0 DDADMM CC[C@@H]1CC[C@@H](C)N(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001364906133 889646096 /nfs/dbraw/zinc/64/60/96/889646096.db2.gz HBIVGVLZMASNGV-NXEZZACHSA-N -1 1 315.395 1.396 20 0 DDADMM CC[C@@H](C)CN1CCc2onc(C(=O)[N-]c3nnco3)c2C1 ZINC001278125277 889771977 /nfs/dbraw/zinc/77/19/77/889771977.db2.gz YMRHLQAMAMOSNT-SECBINFHSA-N -1 1 305.338 1.714 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2c(C)noc2C)[n-]c1=O ZINC001365021511 889911943 /nfs/dbraw/zinc/91/19/43/889911943.db2.gz CVTLWIVSOZXXJP-UHFFFAOYSA-N -1 1 321.337 1.852 20 0 DDADMM CC[C@@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1csnc1OC ZINC001365145558 890192799 /nfs/dbraw/zinc/19/27/99/890192799.db2.gz PVCHJDAKVPLENB-LDYMZIIASA-N -1 1 308.425 1.227 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)c2ncccc2C)co1 ZINC001365150392 890200059 /nfs/dbraw/zinc/20/00/59/890200059.db2.gz NZUNIKUFSLLJAJ-JTQLQIEISA-N -1 1 323.374 1.382 20 0 DDADMM CC(C)(C)C(=O)NC1CCC(C(=O)Nc2c[n-][nH]c2=O)CC1 ZINC001365211928 890360132 /nfs/dbraw/zinc/36/01/32/890360132.db2.gz QXKAWVQYKGYDRM-UHFFFAOYSA-N -1 1 308.382 1.775 20 0 DDADMM CN1CCN(c2cc(-c3cccc(/C=C/C(=O)[O-])c3)ncn2)CC1 ZINC001240547260 890979222 /nfs/dbraw/zinc/97/92/22/890979222.db2.gz MCONUKWZTJADAG-AATRIKPKSA-N -1 1 324.384 1.993 20 0 DDADMM C[C@H](CNC(=O)CCC1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001386469582 891037807 /nfs/dbraw/zinc/03/78/07/891037807.db2.gz PQPVULIZYANMSW-LLVKDONJSA-N -1 1 305.378 1.602 20 0 DDADMM COc1cc(-c2c(F)cc([O-])cc2F)nc([S@](C)=O)n1 ZINC001241118160 891121890 /nfs/dbraw/zinc/12/18/90/891121890.db2.gz KHARGLIENBITAQ-FQEVSTJZSA-N -1 1 300.286 1.873 20 0 DDADMM CC(C)(C)c1nc2nc[nH]c2c(-c2cnn(CC(=O)[O-])c2)n1 ZINC001242182183 891363186 /nfs/dbraw/zinc/36/31/86/891363186.db2.gz UZZPTIUYGBVDNQ-UHFFFAOYSA-N -1 1 300.322 1.550 20 0 DDADMM CCC[C@@H](C)C(=O)NCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001386654194 891411365 /nfs/dbraw/zinc/41/13/65/891411365.db2.gz VKQQIEYICKWGMF-VXGBXAGGSA-N -1 1 307.394 1.848 20 0 DDADMM CC(C)CCCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001365886226 891800719 /nfs/dbraw/zinc/80/07/19/891800719.db2.gz HWQJMHZRFZKKFA-OLZOCXBDSA-N -1 1 323.441 1.014 20 0 DDADMM COCc1cc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)ncn1 ZINC001244788630 891920359 /nfs/dbraw/zinc/92/03/59/891920359.db2.gz AUHXREWUISSQEQ-UHFFFAOYSA-N -1 1 324.362 1.065 20 0 DDADMM COc1nc(C)cc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)n1 ZINC001244789314 891920794 /nfs/dbraw/zinc/92/07/94/891920794.db2.gz CUZVMPQFHFLYPT-UHFFFAOYSA-N -1 1 324.362 1.236 20 0 DDADMM COc1ncc(-c2nc(C)cc(C)n2)cc1[N-]S(C)(=O)=O ZINC001244790795 891924219 /nfs/dbraw/zinc/92/42/19/891924219.db2.gz UIFFXSQWQYMITR-UHFFFAOYSA-N -1 1 308.363 1.536 20 0 DDADMM COc1ncc(-c2ncc3cc[nH]c3n2)cc1[N-]S(C)(=O)=O ZINC001244790646 891925403 /nfs/dbraw/zinc/92/54/03/891925403.db2.gz QVZHBCIVSNKENZ-UHFFFAOYSA-N -1 1 319.346 1.352 20 0 DDADMM COc1ncc(-c2cccc3c2OCO3)cc1[N-]S(C)(=O)=O ZINC001244792349 891927549 /nfs/dbraw/zinc/92/75/49/891927549.db2.gz RGBLFSNYGWLOKH-UHFFFAOYSA-N -1 1 322.342 1.857 20 0 DDADMM CCC(C)(CC)C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001386901080 891967581 /nfs/dbraw/zinc/96/75/81/891967581.db2.gz RTNSAUHDJWAFDD-UHFFFAOYSA-N -1 1 307.394 1.802 20 0 DDADMM COCCCNC(=S)Nc1nc(Br)ccc1[O-] ZINC001245576405 892181486 /nfs/dbraw/zinc/18/14/86/892181486.db2.gz TZYJIRAVZZIFIZ-UHFFFAOYSA-N -1 1 320.212 1.873 20 0 DDADMM CC(C)(C)Cc1cc(=O)n(-c2cccc(S(N)(=O)=O)c2)[n-]1 ZINC001245933107 892274025 /nfs/dbraw/zinc/27/40/25/892274025.db2.gz UERWXDMTFVZFEA-UHFFFAOYSA-N -1 1 309.391 1.814 20 0 DDADMM CC(=O)NCCN(C(=O)c1c(C)nc(C(C)C)[n-]c1=O)C1CC1 ZINC001387452591 893131322 /nfs/dbraw/zinc/13/13/22/893131322.db2.gz ACOGTBUGVQJXFF-UHFFFAOYSA-N -1 1 320.393 1.355 20 0 DDADMM COCCn1c(-c2c([O-])nc[nH]c2=O)nc2cccc(F)c21 ZINC001250874999 894481102 /nfs/dbraw/zinc/48/11/02/894481102.db2.gz UZBGZSVHMRLDHZ-UHFFFAOYSA-N -1 1 304.281 1.690 20 0 DDADMM O=[P@]([O-])(O)CCCCNS(=O)(=O)c1ccc(F)cc1 ZINC001251683098 894772870 /nfs/dbraw/zinc/77/28/70/894772870.db2.gz LBTLOIXYYUODRY-UHFFFAOYSA-N -1 1 311.271 1.062 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccc(C)cc1)c1ccncc1 ZINC001252231724 895042563 /nfs/dbraw/zinc/04/25/63/895042563.db2.gz ATLDDGPFLAOOPO-AWEZNQCLSA-N -1 1 320.370 1.583 20 0 DDADMM Brc1cccc(-c2nnc(Cc3nnn[n-]3)o2)c1 ZINC001253179139 895687343 /nfs/dbraw/zinc/68/73/43/895687343.db2.gz WCWUEQNBPURWPE-UHFFFAOYSA-N -1 1 307.111 1.603 20 0 DDADMM Brc1cccc(-c2nnc(Cc3nn[n-]n3)o2)c1 ZINC001253179139 895687348 /nfs/dbraw/zinc/68/73/48/895687348.db2.gz WCWUEQNBPURWPE-UHFFFAOYSA-N -1 1 307.111 1.603 20 0 DDADMM CC(C)[C@@H](CNC(=O)C1CCCC1)NC(=O)c1ncccc1[O-] ZINC001388856113 896059107 /nfs/dbraw/zinc/05/91/07/896059107.db2.gz JRGOEDHFBXIPOF-CYBMUJFWSA-N -1 1 319.405 1.848 20 0 DDADMM CCC[C@H](CC)C(=O)N1CCC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001388978742 896292098 /nfs/dbraw/zinc/29/20/98/896292098.db2.gz ADOUJPOWYAQSAD-RYUDHWBXSA-N -1 1 307.398 1.352 20 0 DDADMM CC[C@@H](C)C(=O)NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001389125765 896488913 /nfs/dbraw/zinc/48/89/13/896488913.db2.gz INDXOGQGHMFNDM-SECBINFHSA-N -1 1 318.377 1.046 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1cccc2c1CNC(=O)C2 ZINC001254618054 896536221 /nfs/dbraw/zinc/53/62/21/896536221.db2.gz CNPDGMFSUYVUEL-UHFFFAOYSA-N -1 1 316.382 1.968 20 0 DDADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)C1CCC1 ZINC001367421711 896731461 /nfs/dbraw/zinc/73/14/61/896731461.db2.gz WKUYYBCEGARDFO-DGCLKSJQSA-N -1 1 323.441 1.567 20 0 DDADMM C[C@@H](Cc1ccc(F)cc1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001255863339 897145211 /nfs/dbraw/zinc/14/52/11/897145211.db2.gz JBMGNKLEAVKJEB-ZETCQYMHSA-N -1 1 303.297 1.898 20 0 DDADMM COC(=O)C[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257508953 897868261 /nfs/dbraw/zinc/86/82/61/897868261.db2.gz XEWDIXAWCIJUMO-UHFFFAOYSA-N -1 1 304.176 1.506 20 0 DDADMM COC(=O)C1CC(C[N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC001258950057 898378467 /nfs/dbraw/zinc/37/84/67/898378467.db2.gz HOPMXFOXJLUKSU-UHFFFAOYSA-N -1 1 319.329 1.442 20 0 DDADMM CC[C@H](C)[C@@H](CO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259080378 898455662 /nfs/dbraw/zinc/45/56/62/898455662.db2.gz KOLZDGXEUBJDPB-IONNQARKSA-N -1 1 311.325 1.789 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccccn1)c1ccc(F)cc1 ZINC001259290967 898587530 /nfs/dbraw/zinc/58/75/30/898587530.db2.gz VCJANSRYOVOIAZ-ZDUSSCGKSA-N -1 1 324.333 1.413 20 0 DDADMM COc1ccccc1S(=O)(=O)[N-]c1cccc(C(N)=O)c1F ZINC001259301666 898599253 /nfs/dbraw/zinc/59/92/53/898599253.db2.gz VBXWAYQHTIKMOY-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM NS(=O)(=O)c1cc(C(=O)Nc2cc(F)cc(F)c2[O-])co1 ZINC001299581973 898655248 /nfs/dbraw/zinc/65/52/48/898655248.db2.gz WCRFFQTYRMQHDT-UHFFFAOYSA-N -1 1 318.257 1.163 20 0 DDADMM COCCOc1cnc([N-]S(=O)(=O)C2CCCCC2)cn1 ZINC001259808781 898819530 /nfs/dbraw/zinc/81/95/30/898819530.db2.gz DZJXBPSDWFVDQP-UHFFFAOYSA-N -1 1 315.395 1.576 20 0 DDADMM CCOC(=O)c1ncc([N-]S(=O)(=O)C2CCCCC2)cn1 ZINC001259810022 898820460 /nfs/dbraw/zinc/82/04/60/898820460.db2.gz QQCOKNLVIADPHN-UHFFFAOYSA-N -1 1 313.379 1.728 20 0 DDADMM O=S(=O)([N-]CC1(N2CCOCC2)CCCCC1)C(F)F ZINC001259961092 898984344 /nfs/dbraw/zinc/98/43/44/898984344.db2.gz VJXGSJAIASSMBI-UHFFFAOYSA-N -1 1 312.382 1.164 20 0 DDADMM NS(=O)(=O)[N-]c1cnc2c(Br)cccc2n1 ZINC001260075538 899037213 /nfs/dbraw/zinc/03/72/13/899037213.db2.gz FYNWCAKURRAZKT-UHFFFAOYSA-N -1 1 303.141 1.008 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)N2CCC(N3CCC3)CC2)c1 ZINC001260189422 899081581 /nfs/dbraw/zinc/08/15/81/899081581.db2.gz ZLHKDRKGFCNLRZ-UHFFFAOYSA-N -1 1 324.402 1.244 20 0 DDADMM O=S(=O)([N-]C[C@H](O)CF)c1cc(F)c(F)cc1Cl ZINC001260291197 899112459 /nfs/dbraw/zinc/11/24/59/899112459.db2.gz NENWBFWAHUMQND-RXMQYKEDSA-N -1 1 303.689 1.227 20 0 DDADMM O=S(=O)([N-]c1cncnc1Cl)c1cccc2nonc21 ZINC001260435193 899138176 /nfs/dbraw/zinc/13/81/76/899138176.db2.gz BUHMYBLXPWDQER-UHFFFAOYSA-N -1 1 311.710 1.467 20 0 DDADMM O=S(=O)([N-]c1cc(F)ncc1F)c1cccc2nonc21 ZINC001260436700 899140063 /nfs/dbraw/zinc/14/00/63/899140063.db2.gz RTHRIUQISZQZNU-UHFFFAOYSA-N -1 1 312.257 1.697 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cc(F)ccc1Cl ZINC001260590705 899178005 /nfs/dbraw/zinc/17/80/05/899178005.db2.gz MYBUFBKRJWPOCO-UHFFFAOYSA-N -1 1 301.748 1.223 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccc(C)s1)C(F)(F)F ZINC001260687686 899217733 /nfs/dbraw/zinc/21/77/33/899217733.db2.gz WVRPAXVIYZHAIK-ZETCQYMHSA-N -1 1 317.310 1.439 20 0 DDADMM O=S(=O)([N-][C@H](c1ccc(O)cc1)C(F)(F)F)c1cn[nH]c1 ZINC001260963848 899304631 /nfs/dbraw/zinc/30/46/31/899304631.db2.gz IWNUTNXAAZPSSV-SNVBAGLBSA-N -1 1 321.280 1.697 20 0 DDADMM Cc1cc(Cl)cc(C(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)c1 ZINC001390443410 899416376 /nfs/dbraw/zinc/41/63/76/899416376.db2.gz ZNOZIRPPRFORBC-VIFPVBQESA-N -1 1 323.784 1.380 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H]1C[C@H]1C1CC1)NC(=O)c1ncccc1[O-] ZINC001390476625 899493755 /nfs/dbraw/zinc/49/37/55/899493755.db2.gz STHCFMAJYBJCKH-RWMBFGLXSA-N -1 1 317.389 1.458 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cn2ccccc2n1 ZINC001261741157 899746863 /nfs/dbraw/zinc/74/68/63/899746863.db2.gz FCFNAKNEWUVXQY-UHFFFAOYSA-N -1 1 305.334 1.266 20 0 DDADMM CCOc1cc(CNCCOCC(=O)[O-])c(F)cc1OC ZINC001261845820 899786987 /nfs/dbraw/zinc/78/69/87/899786987.db2.gz PLTKIZBFBMDXNH-UHFFFAOYSA-N -1 1 301.314 1.424 20 0 DDADMM CCCC(=O)N(CC)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001374939015 914406564 /nfs/dbraw/zinc/40/65/64/914406564.db2.gz PIZBFYNKWPTPNW-LBPRGKRZSA-N -1 1 305.378 1.650 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])[C@@H](C)c1cnccn1 ZINC001390904527 900478825 /nfs/dbraw/zinc/47/88/25/900478825.db2.gz QFSBYCKMAQPFAO-LBPRGKRZSA-N -1 1 315.377 1.390 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])Cc1coc(C)n1 ZINC001390907145 900482897 /nfs/dbraw/zinc/48/28/97/900482897.db2.gz UHPGHVXJFCYDJD-UHFFFAOYSA-N -1 1 304.350 1.336 20 0 DDADMM CS[C@@H](C)C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396787496 914467446 /nfs/dbraw/zinc/46/74/46/914467446.db2.gz YLNPKTHSPABOMX-QWRGUYRKSA-N -1 1 323.418 1.212 20 0 DDADMM CCCn1ccc(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c1 ZINC001263789539 900707888 /nfs/dbraw/zinc/70/78/88/900707888.db2.gz OWAISPCEAMHETI-UHFFFAOYSA-N -1 1 306.391 1.921 20 0 DDADMM Cc1cccc(OCCCC(=O)N2CC[C@@H](c3nn[n-]n3)C2)c1 ZINC001263886789 900799905 /nfs/dbraw/zinc/79/99/05/900799905.db2.gz WUMLHEUJEFDWGC-CYBMUJFWSA-N -1 1 315.377 1.683 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H](C)NC(=O)C1(CF)CC1 ZINC001369686177 901773332 /nfs/dbraw/zinc/77/33/32/901773332.db2.gz IJIMTTVZTYEEJG-NXEZZACHSA-N -1 1 309.341 1.160 20 0 DDADMM CCCC(=O)NC[C@@H]1[C@@H](C)CCCN1C(=O)c1ncccc1[O-] ZINC001391528150 901920516 /nfs/dbraw/zinc/92/05/16/901920516.db2.gz XTYZBSWFSKXANX-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H](CNC(=O)c1ccoc1)N(C)C(=O)c1ncccc1[O-] ZINC001369790170 901953868 /nfs/dbraw/zinc/95/38/68/901953868.db2.gz VUKIDZDPRQMXLA-SNVBAGLBSA-N -1 1 303.318 1.271 20 0 DDADMM C[C@@H](CC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)C1CC1 ZINC001392026368 903116213 /nfs/dbraw/zinc/11/62/13/903116213.db2.gz WJZQGWWIFLIGAN-NSHDSACASA-N -1 1 321.425 1.465 20 0 DDADMM C/C(=C\C(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-])C1CC1 ZINC001280461252 903705456 /nfs/dbraw/zinc/70/54/56/903705456.db2.gz WVDBXWWEJXEFLM-ZKQHCESOSA-N -1 1 317.389 1.720 20 0 DDADMM CC(C)C(=O)NC[C@@H]1CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001393262963 906596982 /nfs/dbraw/zinc/59/69/82/906596982.db2.gz VMCCCMCEQWDKQX-NSHDSACASA-N -1 1 318.377 1.046 20 0 DDADMM CCC[C@H](C)CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001372485092 907416923 /nfs/dbraw/zinc/41/69/23/907416923.db2.gz NGAPNRVNEIGERL-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H](NC(=O)c1ccn(C)c1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001394964429 911033033 /nfs/dbraw/zinc/03/30/33/911033033.db2.gz YJQIXQBUZFVCTE-QWRGUYRKSA-N -1 1 316.361 1.063 20 0 DDADMM CC(C)CCC(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001395068763 911284259 /nfs/dbraw/zinc/28/42/59/911284259.db2.gz PBYMNMQBQLXSPI-LBPRGKRZSA-N -1 1 307.394 1.800 20 0 DDADMM CC(C)C(=O)N(C)[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001395489399 912095087 /nfs/dbraw/zinc/09/50/87/912095087.db2.gz PMNVHOLLMJWUOY-LBPRGKRZSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNC(=O)C1(F)CCCC1 ZINC001375695353 916727534 /nfs/dbraw/zinc/72/75/34/916727534.db2.gz LNGPKQJIEFDNCQ-LLVKDONJSA-N -1 1 323.368 1.552 20 0 DDADMM C[C@@H]1CC[C@@H](CC(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)C1 ZINC001376768315 919315595 /nfs/dbraw/zinc/31/55/95/919315595.db2.gz OYPLHICCIFYFJI-VXGBXAGGSA-N -1 1 321.425 1.465 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ccc(C)cc3C)nc2n1 ZINC000622995736 365588087 /nfs/dbraw/zinc/58/80/87/365588087.db2.gz NLTFFBDMXOOJSH-UHFFFAOYSA-N -1 1 311.345 1.849 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3cncs3)nc2n1 ZINC000622995991 365588743 /nfs/dbraw/zinc/58/87/43/365588743.db2.gz XXFQDHPCTNMQBK-UHFFFAOYSA-N -1 1 304.335 1.079 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)COC(C)(C)C)nc2n1 ZINC000622996868 365589347 /nfs/dbraw/zinc/58/93/47/365589347.db2.gz CWTHFXSIVRCFPK-UHFFFAOYSA-N -1 1 307.354 1.124 20 0 DDADMM CCc1nc(C(=O)Nc2nn[n-]n2)nn1-c1ccccc1Cl ZINC000076964134 185061569 /nfs/dbraw/zinc/06/15/69/185061569.db2.gz NISWZJQUZZNKAU-UHFFFAOYSA-N -1 1 318.728 1.249 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1cncnc1 ZINC000614389761 361832465 /nfs/dbraw/zinc/83/24/65/361832465.db2.gz PBWMZLMHCZVPHY-UWVGGRQHSA-N -1 1 303.322 1.075 20 0 DDADMM Cc1nc(C)n(C2CN(C(=O)c3ncc4ccccc4c3[O-])C2)n1 ZINC000614445351 361858546 /nfs/dbraw/zinc/85/85/46/361858546.db2.gz DVVMKMQQQOUKNT-UHFFFAOYSA-N -1 1 323.356 1.846 20 0 DDADMM CCCCOCCNC(=O)CSc1nc(C(=O)OC)c[n-]1 ZINC000278703823 214339683 /nfs/dbraw/zinc/33/96/83/214339683.db2.gz RANCZWBJJUJXPW-UHFFFAOYSA-N -1 1 315.395 1.221 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@H]1CCO[C@H](C(C)C)C1 ZINC000451812106 231201875 /nfs/dbraw/zinc/20/18/75/231201875.db2.gz BTYVSHZTXVSROL-QWRGUYRKSA-N -1 1 317.411 1.125 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCO[C@H](C(C)C)C1 ZINC000451812106 231201879 /nfs/dbraw/zinc/20/18/79/231201879.db2.gz BTYVSHZTXVSROL-QWRGUYRKSA-N -1 1 317.411 1.125 20 0 DDADMM CCc1cc(=O)[n-]c(SCC2(CS(C)(=O)=O)CC2)n1 ZINC000331977452 529566964 /nfs/dbraw/zinc/56/69/64/529566964.db2.gz OEZVXLPNROZDDE-UHFFFAOYSA-N -1 1 302.421 1.662 20 0 DDADMM CC[C@@H](NC(=O)c1cnc2nc(C)ccc2c1[O-])[C@@H](O)CC ZINC000412398167 529677153 /nfs/dbraw/zinc/67/71/53/529677153.db2.gz QKRIUAHNPAVXAB-OLZOCXBDSA-N -1 1 303.362 1.923 20 0 DDADMM CC[C@@H](NC(=O)c1c[n-]c2nc(C)ccc2c1=O)[C@@H](O)CC ZINC000412398167 529677155 /nfs/dbraw/zinc/67/71/55/529677155.db2.gz QKRIUAHNPAVXAB-OLZOCXBDSA-N -1 1 303.362 1.923 20 0 DDADMM O=C(C=Cc1ccc(OCc2cccnc2)cc1)Nc1nnn[n-]1 ZINC000255174386 282239197 /nfs/dbraw/zinc/23/91/97/282239197.db2.gz VJFOXIWXWOVRLJ-YVMONPNESA-N -1 1 322.328 1.826 20 0 DDADMM O=C(C=Cc1ccc(OCc2cccnc2)cc1)Nc1nn[n-]n1 ZINC000255174386 282239201 /nfs/dbraw/zinc/23/92/01/282239201.db2.gz VJFOXIWXWOVRLJ-YVMONPNESA-N -1 1 322.328 1.826 20 0 DDADMM Cc1nn(C)c2nc(C)cc(C(=O)Nc3c(C)[n-][nH]c3=O)c12 ZINC000615225819 362204341 /nfs/dbraw/zinc/20/43/41/362204341.db2.gz GUAOZBGYYDASBC-UHFFFAOYSA-N -1 1 300.322 1.575 20 0 DDADMM CCc1nc(C(C)(C)NC(=O)C(=O)c2ccc([O-])cc2)no1 ZINC000412421735 529711347 /nfs/dbraw/zinc/71/13/47/529711347.db2.gz VGURRKJBDFOVGO-UHFFFAOYSA-N -1 1 303.318 1.572 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-][C@@H](CC)[C@H](O)C(F)F)s1 ZINC000451708252 529759387 /nfs/dbraw/zinc/75/93/87/529759387.db2.gz JCFCYCKOQNEEIP-RCOVLWMOSA-N -1 1 314.379 1.388 20 0 DDADMM O=C(NCCn1cnnc1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000615636534 362376458 /nfs/dbraw/zinc/37/64/58/362376458.db2.gz XLIGYPCPLAZORA-UHFFFAOYSA-N -1 1 300.240 1.433 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(C)ccc2OC)co1 ZINC000157952050 290735742 /nfs/dbraw/zinc/73/57/42/290735742.db2.gz RFBMFKCCLYRKJS-UHFFFAOYSA-N -1 1 324.358 1.757 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2ccc(C)cc2)co1 ZINC000158385833 290741701 /nfs/dbraw/zinc/74/17/01/290741701.db2.gz WHYUISJVEYMJLE-UHFFFAOYSA-N -1 1 308.359 1.426 20 0 DDADMM CCOC(=O)[C@@H](Cc1ccncc1)NC(=O)c1cncc([O-])c1 ZINC000616173148 362573440 /nfs/dbraw/zinc/57/34/40/362573440.db2.gz HYXAPESWAGNNEE-CQSZACIVSA-N -1 1 315.329 1.086 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H]3CC(=O)N(C)C3)[nH][n-]2)s1 ZINC000623492474 365897206 /nfs/dbraw/zinc/89/72/06/365897206.db2.gz DKBVMYJBKYSCPD-VIFPVBQESA-N -1 1 304.375 1.285 20 0 DDADMM O=C(NC[C@H]1COc2ccccc21)c1nc2ccccc2c(=O)[n-]1 ZINC000342573614 282579988 /nfs/dbraw/zinc/57/99/88/282579988.db2.gz SAPXCMQUIGLOFM-NSHDSACASA-N -1 1 321.336 1.829 20 0 DDADMM CCSCC[C@@H](C)NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287020661 219272812 /nfs/dbraw/zinc/27/28/12/219272812.db2.gz CEMCZPSOYZXKES-RTEFUZQYSA-N -1 1 307.423 1.995 20 0 DDADMM CC1(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CCCCCC1 ZINC000358453583 299156790 /nfs/dbraw/zinc/15/67/90/299156790.db2.gz RHBNGXZQRXGCRJ-UHFFFAOYSA-N -1 1 300.384 1.507 20 0 DDADMM CC1(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CCCCCC1 ZINC000358453583 299156793 /nfs/dbraw/zinc/15/67/93/299156793.db2.gz RHBNGXZQRXGCRJ-UHFFFAOYSA-N -1 1 300.384 1.507 20 0 DDADMM CN(CCC(N)=O)C(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000343523956 282684953 /nfs/dbraw/zinc/68/49/53/282684953.db2.gz JGGQNXXPCKZNBI-UHFFFAOYSA-N -1 1 307.737 1.541 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@@H](CO)NC(=O)c2ccc([O-])cc2F)C1 ZINC000617533982 363162758 /nfs/dbraw/zinc/16/27/58/363162758.db2.gz ATNFPKSFWOHHEV-IXPVHAAZSA-N -1 1 311.353 1.827 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@H](C)CCc2ccco2)n1 ZINC000043725381 352372540 /nfs/dbraw/zinc/37/25/40/352372540.db2.gz MJFMCOGSTZPPPC-SNVBAGLBSA-N -1 1 305.338 1.726 20 0 DDADMM O=C(CSc1cc(Cl)ccc1Cl)NCc1nn[n-]n1 ZINC000044928267 352397622 /nfs/dbraw/zinc/39/76/22/352397622.db2.gz MMGNUZLRZGGPKR-UHFFFAOYSA-N -1 1 318.189 1.915 20 0 DDADMM CCCCNC(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000047327541 352490152 /nfs/dbraw/zinc/49/01/52/352490152.db2.gz XTPSZRDWDPOONO-UHFFFAOYSA-N -1 1 300.362 1.599 20 0 DDADMM Cc1ccccc1[N-]S(=O)(=O)c1cccc(-n2cnnn2)c1 ZINC000065832374 352964716 /nfs/dbraw/zinc/96/47/16/352964716.db2.gz NVSYDFBDZDSOJG-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM Cn1ncc2c1CCC[C@@H]2NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000078399650 353515416 /nfs/dbraw/zinc/51/54/16/353515416.db2.gz UKDBVKNAWJCTLR-ZDUSSCGKSA-N -1 1 323.356 1.464 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](C)[C@H](O)C1 ZINC000089432267 353771784 /nfs/dbraw/zinc/77/17/84/353771784.db2.gz UEZPGSCOMDYNJZ-GXSJLCMTSA-N -1 1 307.394 1.219 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C[C@H]2CCc3ccccc32)n1 ZINC000115711296 353988020 /nfs/dbraw/zinc/98/80/20/353988020.db2.gz TUWPGVADKUSFQI-SNVBAGLBSA-N -1 1 320.374 1.267 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C[C@H]2CCc3ccccc32)[n-]1 ZINC000115711296 353988023 /nfs/dbraw/zinc/98/80/23/353988023.db2.gz TUWPGVADKUSFQI-SNVBAGLBSA-N -1 1 320.374 1.267 20 0 DDADMM O=C(c1c(F)ccc(F)c1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000131346098 354099250 /nfs/dbraw/zinc/09/92/50/354099250.db2.gz JBXPXZIWRYBXGB-SSDOTTSWSA-N -1 1 311.267 1.637 20 0 DDADMM CC1(C)[C@H](C(=O)[O-])[C@@H]1C(=O)N1CCNC[C@@H]1c1ccccc1 ZINC000313361258 354479749 /nfs/dbraw/zinc/47/97/49/354479749.db2.gz SDHLLDABRMLBBH-MCIONIFRSA-N -1 1 302.374 1.516 20 0 DDADMM C[C@@H]1CN(CCNC(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000584687259 354745690 /nfs/dbraw/zinc/74/56/90/354745690.db2.gz PDLRQIDUZPEPFV-SECBINFHSA-N -1 1 300.305 1.121 20 0 DDADMM Cn1nccc1[C@@H]1C[C@H](NC(=O)c2c([O-])cccc2F)CCO1 ZINC000584764172 354760962 /nfs/dbraw/zinc/76/09/62/354760962.db2.gz UUMMOWCHIVCHRW-YGRLFVJLSA-N -1 1 319.336 1.915 20 0 DDADMM CCn1cc(S(=O)(=O)[N-][C@@](C)(C2CC2)C(F)(F)F)cn1 ZINC000358826588 291045933 /nfs/dbraw/zinc/04/59/33/291045933.db2.gz LOBDMXHNAYWQEO-JTQLQIEISA-N -1 1 311.329 1.912 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2ccccn2)c(F)c1 ZINC000588673483 354927161 /nfs/dbraw/zinc/92/71/61/354927161.db2.gz XIZKPDNLWAOCEG-UHFFFAOYSA-N -1 1 314.313 1.847 20 0 DDADMM COCc1nc(NC(=O)c2cncc([O-])c2)sc1C(=O)OC ZINC000588738624 354932854 /nfs/dbraw/zinc/93/28/54/354932854.db2.gz WZMRTSHRYVCLHE-UHFFFAOYSA-N -1 1 323.330 1.429 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cccc(O)c1F ZINC000588849297 354942122 /nfs/dbraw/zinc/94/21/22/354942122.db2.gz UTJPJAZGZVTORU-UHFFFAOYSA-N -1 1 305.327 1.472 20 0 DDADMM CC(C)n1c(CNC(=O)[C@@H](O)c2ccccc2)n[n-]c1=S ZINC000073497138 191321308 /nfs/dbraw/zinc/32/13/08/191321308.db2.gz OKTYCWGQYNPPPM-LBPRGKRZSA-N -1 1 306.391 1.871 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCCCOC)o1 ZINC000358852925 291055745 /nfs/dbraw/zinc/05/57/45/291055745.db2.gz HMYPFTCLLNRXAT-UHFFFAOYSA-N -1 1 305.352 1.161 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@@H](C(=O)OC)C1CCCCC1)OC ZINC000591465376 355317109 /nfs/dbraw/zinc/31/71/09/355317109.db2.gz VNGPLZXQLJOVLY-QWHCGFSZSA-N -1 1 321.439 1.453 20 0 DDADMM COCCCN1C(=O)N=NC1SCC(=O)c1ccc([O-])cc1 ZINC000591506425 355322208 /nfs/dbraw/zinc/32/22/08/355322208.db2.gz PSHQHLLHXOCQPJ-UHFFFAOYSA-N -1 1 323.374 1.701 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H](C)CC(F)F)c1 ZINC000592067062 355481493 /nfs/dbraw/zinc/48/14/93/355481493.db2.gz KYWDOWLCHVMDMW-SSDOTTSWSA-N -1 1 311.306 1.636 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCC[C@H](OC)C1 ZINC000593879765 356038040 /nfs/dbraw/zinc/03/80/40/356038040.db2.gz AKGRKQGONXJLKA-GMXVVIOVSA-N -1 1 322.361 1.264 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cncnc2C2CC2)n1 ZINC000593900836 356047910 /nfs/dbraw/zinc/04/79/10/356047910.db2.gz GEYXNVUCKACEFF-UHFFFAOYSA-N -1 1 301.306 1.506 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCc3cccc(O)c32)n1 ZINC000593900880 356048059 /nfs/dbraw/zinc/04/80/59/356048059.db2.gz ICYCEPKRHAFFJQ-SNVBAGLBSA-N -1 1 315.329 1.961 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H](C)C(=O)OC(C)(C)C)[n-]n1 ZINC000594594782 356259370 /nfs/dbraw/zinc/25/93/70/356259370.db2.gz BVNOEWWIQKQPAH-JTQLQIEISA-N -1 1 308.382 1.038 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](C)[C@H](C)OC)cc1C ZINC000595324400 356450457 /nfs/dbraw/zinc/45/04/57/356450457.db2.gz FBOHESDWIQUSNN-IUCAKERBSA-N -1 1 305.352 1.076 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C)[C@@H]2CCOC2)cc1C ZINC000595313686 356445876 /nfs/dbraw/zinc/44/58/76/356445876.db2.gz BNLQETASWMHVMT-NXEZZACHSA-N -1 1 317.363 1.078 20 0 DDADMM CC[C@@H](C)[C@@H](O)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595316043 356446839 /nfs/dbraw/zinc/44/68/39/356446839.db2.gz XZXDWNWLZFODET-SCZZXKLOSA-N -1 1 319.379 1.060 20 0 DDADMM Cc1cnc(S[C@@H]2CCCN(c3ccn(C)n3)C2=O)[n-]c1=O ZINC000565241875 304057033 /nfs/dbraw/zinc/05/70/33/304057033.db2.gz XYUXVKFLKSOFSV-SNVBAGLBSA-N -1 1 319.390 1.512 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)[C@@H]1COC(C)(C)C1 ZINC000599338246 357899856 /nfs/dbraw/zinc/89/98/56/357899856.db2.gz AHUDYBCAKIDRNN-QWRGUYRKSA-N -1 1 321.439 1.451 20 0 DDADMM CN(c1ccccc1)c1ccccc1C(=O)NCc1nn[n-]n1 ZINC000599377564 357915632 /nfs/dbraw/zinc/91/56/32/357915632.db2.gz WINOGRAQQTURCJ-UHFFFAOYSA-N -1 1 308.345 1.898 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC[C@H](C)[C@@H](C)C2)co1 ZINC000348071635 283354010 /nfs/dbraw/zinc/35/40/10/283354010.db2.gz IPSRPYLINZONKI-JBLDHEPKSA-N -1 1 314.407 1.742 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cc(C)ns2)[C@@H]1SC ZINC000601453210 358560326 /nfs/dbraw/zinc/56/03/26/358560326.db2.gz QAXDUWOKRKZZSK-KKZNHRDASA-N -1 1 322.477 1.639 20 0 DDADMM COC(=O)c1ccc(F)cc1S(=O)(=O)[N-]CC(C)(C)F ZINC000601475985 358569994 /nfs/dbraw/zinc/56/99/94/358569994.db2.gz CUNLZXGLSFVVMM-UHFFFAOYSA-N -1 1 307.318 1.639 20 0 DDADMM CCOC(=O)C[C@H](CO)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601589067 358614287 /nfs/dbraw/zinc/61/42/87/358614287.db2.gz PTQALRPGXUSUGY-SNVBAGLBSA-N -1 1 315.753 1.018 20 0 DDADMM CC(C)(C)OC(=O)[C@H](C1CC1)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000602823178 359217466 /nfs/dbraw/zinc/21/74/66/359217466.db2.gz ZKAQTZJKDGKIRX-LBPRGKRZSA-N -1 1 322.409 1.810 20 0 DDADMM C[C@@H](CC[S@@](C)=O)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000602911555 359289196 /nfs/dbraw/zinc/28/91/96/359289196.db2.gz DXZJLCNMPIAHOL-ZRNGKTOUSA-N -1 1 303.811 1.861 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2CCCC2(C)C)n[n-]1 ZINC000603154951 359441996 /nfs/dbraw/zinc/44/19/96/359441996.db2.gz NJRBLLRROBFEHH-NXEZZACHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2CCCC2(C)C)[n-]1 ZINC000603154951 359442000 /nfs/dbraw/zinc/44/20/00/359442000.db2.gz NJRBLLRROBFEHH-NXEZZACHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2CCCC2(C)C)n1 ZINC000603154951 359442005 /nfs/dbraw/zinc/44/20/05/359442005.db2.gz NJRBLLRROBFEHH-NXEZZACHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CC[C@H](C)SC)n[n-]1 ZINC000603156651 359442627 /nfs/dbraw/zinc/44/26/27/359442627.db2.gz RWJMNZJAUGQZMR-DTWKUNHWSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CC[C@H](C)SC)[n-]1 ZINC000603156651 359442634 /nfs/dbraw/zinc/44/26/34/359442634.db2.gz RWJMNZJAUGQZMR-DTWKUNHWSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CC[C@H](C)SC)n1 ZINC000603156651 359442639 /nfs/dbraw/zinc/44/26/39/359442639.db2.gz RWJMNZJAUGQZMR-DTWKUNHWSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2Cc3ccccc32)n[n-]1 ZINC000603160235 359446970 /nfs/dbraw/zinc/44/69/70/359446970.db2.gz DBAGWCHPOSDMQU-JOYOIKCWSA-N -1 1 314.345 1.499 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2Cc3ccccc32)[n-]1 ZINC000603160235 359446977 /nfs/dbraw/zinc/44/69/77/359446977.db2.gz DBAGWCHPOSDMQU-JOYOIKCWSA-N -1 1 314.345 1.499 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2Cc3ccccc32)n1 ZINC000603160235 359446982 /nfs/dbraw/zinc/44/69/82/359446982.db2.gz DBAGWCHPOSDMQU-JOYOIKCWSA-N -1 1 314.345 1.499 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(F)cc2F)n[n-]1 ZINC000603163313 359448262 /nfs/dbraw/zinc/44/82/62/359448262.db2.gz HSXSBLDXKOEQCQ-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(F)cc2F)[n-]1 ZINC000603163313 359448265 /nfs/dbraw/zinc/44/82/65/359448265.db2.gz HSXSBLDXKOEQCQ-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(F)cc2F)n1 ZINC000603163313 359448268 /nfs/dbraw/zinc/44/82/68/359448268.db2.gz HSXSBLDXKOEQCQ-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(F)c(C)c2)n[n-]1 ZINC000603167104 359451264 /nfs/dbraw/zinc/45/12/64/359451264.db2.gz UPCKOVLQUGBBFJ-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(F)c(C)c2)[n-]1 ZINC000603167104 359451266 /nfs/dbraw/zinc/45/12/66/359451266.db2.gz UPCKOVLQUGBBFJ-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(F)c(C)c2)n1 ZINC000603167104 359451268 /nfs/dbraw/zinc/45/12/68/359451268.db2.gz UPCKOVLQUGBBFJ-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM O=C(NCC1CCN(CC(F)(F)F)CC1)c1ncccc1[O-] ZINC000186999594 200044893 /nfs/dbraw/zinc/04/48/93/200044893.db2.gz WKFDZVWKVJJVEH-UHFFFAOYSA-N -1 1 317.311 1.791 20 0 DDADMM CO[C@@H](C)c1nc(CN2CCC(c3nc(=O)[n-][nH]3)CC2)cs1 ZINC000281001854 216014698 /nfs/dbraw/zinc/01/46/98/216014698.db2.gz MTDKUUCFFOXMCQ-VIFPVBQESA-N -1 1 323.422 1.642 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H]3CCCC[C@@]32C)o1 ZINC000629614219 422761829 /nfs/dbraw/zinc/76/18/29/422761829.db2.gz IEOCTILIKSPQJO-HZMBPMFUSA-N -1 1 312.391 1.592 20 0 DDADMM CC1=CC[C@](C)(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CC1 ZINC000612118334 360925025 /nfs/dbraw/zinc/92/50/25/360925025.db2.gz CJOSZTPGSXUVMS-HNNXBMFYSA-N -1 1 321.450 1.736 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@@H](CO)CC(F)F)c(F)c1F ZINC000625153728 366748312 /nfs/dbraw/zinc/74/83/12/366748312.db2.gz HWIJVJTUNYBOSC-SSDOTTSWSA-N -1 1 315.288 1.568 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCO[C@]2(CCOC2)C1 ZINC000613582654 361476528 /nfs/dbraw/zinc/47/65/28/361476528.db2.gz WQCAVPUGBKTIBB-QGZVFWFLSA-N -1 1 314.341 1.572 20 0 DDADMM CSc1ccc(O)c(C(=O)N2CC[N@@H+](C[C@@H](C)O)C[C@H]2C)c1 ZINC000613655027 361506222 /nfs/dbraw/zinc/50/62/22/361506222.db2.gz IHQNIDXOUOHOGH-VXGBXAGGSA-N -1 1 324.446 1.641 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1cccc(N(C)C)c1)c1nn[n-]n1 ZINC000194560266 201253267 /nfs/dbraw/zinc/25/32/67/201253267.db2.gz VMUKLIBPDXWVRW-GFCCVEGCSA-N -1 1 316.409 1.460 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)c2ccc3oc(=O)nc-3[n-]2)CCN1C ZINC000613969070 361644287 /nfs/dbraw/zinc/64/42/87/361644287.db2.gz XUPQIIRBXRAROL-NSHDSACASA-N -1 1 304.350 1.341 20 0 DDADMM O=C(C(=O)N1CC[C@H](N2CCC2)[C@H](F)C1)c1ccc([O-])cc1 ZINC000614096350 361701159 /nfs/dbraw/zinc/70/11/59/361701159.db2.gz BDVFDXKCQJSZJV-KGLIPLIRSA-N -1 1 306.337 1.220 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)c2ccc[nH]2)n[n-]1 ZINC000619253259 363947024 /nfs/dbraw/zinc/94/70/24/363947024.db2.gz ULJJPAJSNXFLAD-NSHDSACASA-N -1 1 319.365 1.827 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)c2ccc[nH]2)[n-]1 ZINC000619253259 363947026 /nfs/dbraw/zinc/94/70/26/363947026.db2.gz ULJJPAJSNXFLAD-NSHDSACASA-N -1 1 319.365 1.827 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)c2ccc[nH]2)n1 ZINC000619253259 363947029 /nfs/dbraw/zinc/94/70/29/363947029.db2.gz ULJJPAJSNXFLAD-NSHDSACASA-N -1 1 319.365 1.827 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H]2C[C@@H]2C1 ZINC000619356824 363986295 /nfs/dbraw/zinc/98/62/95/363986295.db2.gz QZMWVCDFLIDZOL-VXGBXAGGSA-N -1 1 300.362 1.463 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2ccc(C)c(F)c2F)on1 ZINC000625284968 366831860 /nfs/dbraw/zinc/83/18/60/366831860.db2.gz PKPKUWRLZKOSHS-UHFFFAOYSA-N -1 1 318.301 1.748 20 0 DDADMM O=C(NC[C@H]1CCN1Cc1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000620784507 364553513 /nfs/dbraw/zinc/55/35/13/364553513.db2.gz SCGDEOVHKDBUSH-MRXNPFEDSA-N -1 1 324.380 1.966 20 0 DDADMM CCO[C@@H](C)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091160954 193008468 /nfs/dbraw/zinc/00/84/68/193008468.db2.gz ZQVLCUWOEPOUQW-QMMMGPOBSA-N -1 1 304.343 1.561 20 0 DDADMM CCCCOCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091160770 193009616 /nfs/dbraw/zinc/00/96/16/193009616.db2.gz PTUJMBYFPZTJAT-UHFFFAOYSA-N -1 1 318.370 1.952 20 0 DDADMM CCO[C@H](C)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091160955 193009626 /nfs/dbraw/zinc/00/96/26/193009626.db2.gz ZQVLCUWOEPOUQW-MRVPVSSYSA-N -1 1 304.343 1.561 20 0 DDADMM CCCCNC(=O)[C@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000275306607 212261967 /nfs/dbraw/zinc/26/19/67/212261967.db2.gz NAZHJSLCKFTNAI-SNVBAGLBSA-N -1 1 309.391 1.219 20 0 DDADMM CCn1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(C)n1 ZINC000626847107 367797472 /nfs/dbraw/zinc/79/74/72/367797472.db2.gz YIBFITIMFJPLOB-SNVBAGLBSA-N -1 1 305.338 1.320 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC1CCC(F)(F)CC1 ZINC000349657735 284002503 /nfs/dbraw/zinc/00/25/03/284002503.db2.gz RRLOMKSMZSIUCE-UHFFFAOYSA-N -1 1 309.338 1.499 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1CCC(F)(F)CC1 ZINC000349657735 284002507 /nfs/dbraw/zinc/00/25/07/284002507.db2.gz RRLOMKSMZSIUCE-UHFFFAOYSA-N -1 1 309.338 1.499 20 0 DDADMM C[C@H]1CCn2ncc(C(=O)NC3(c4nn[n-]n4)CCCC3)c2C1 ZINC000566268019 304135861 /nfs/dbraw/zinc/13/58/61/304135861.db2.gz JRILIAMZZXDEHY-JTQLQIEISA-N -1 1 315.381 1.178 20 0 DDADMM CCN([C@H]1CCCC[C@@H]1C)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349721626 284029869 /nfs/dbraw/zinc/02/98/69/284029869.db2.gz MCXOYMHNPWKOHP-ONGXEEELSA-N -1 1 301.412 1.985 20 0 DDADMM C[C@@H](CCNC(=O)c1csc(=NC2CC2)[n-]1)[S@](C)=O ZINC000349775259 284048363 /nfs/dbraw/zinc/04/83/63/284048363.db2.gz ILTHIVXUEBUIGI-RLBGWGEZSA-N -1 1 301.437 1.026 20 0 DDADMM O=C([C@H]1CCOc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129386264 296126445 /nfs/dbraw/zinc/12/64/45/296126445.db2.gz PWDDEOOHOMWKDA-AAEUAGOBSA-N -1 1 313.361 1.472 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(-n3cnnn3)cc2)cn1 ZINC000349922710 284107438 /nfs/dbraw/zinc/10/74/38/284107438.db2.gz ZHNYEJCUWJNLFF-UHFFFAOYSA-N -1 1 316.346 1.167 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(CC(=O)Nc2cc(C)cc(C)c2)C1 ZINC000635018243 422772152 /nfs/dbraw/zinc/77/21/52/422772152.db2.gz FKHZWZLVIWMYRA-QGZVFWFLSA-N -1 1 320.389 1.665 20 0 DDADMM CC(C)N(CCS(C)(=O)=O)C(=O)c1cc(F)ccc1[O-] ZINC000093244149 193221302 /nfs/dbraw/zinc/22/13/02/193221302.db2.gz FFEZPFBYMCAJQW-UHFFFAOYSA-N -1 1 303.355 1.427 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)N(C)CCOc1ccccc1F ZINC000262658830 203369716 /nfs/dbraw/zinc/36/97/16/203369716.db2.gz NATDRMCSHMSJGT-UHFFFAOYSA-N -1 1 312.341 1.069 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCC1(C)C ZINC000094324651 193359333 /nfs/dbraw/zinc/35/93/33/193359333.db2.gz RPUKJNNPYBJMRC-JTQLQIEISA-N -1 1 315.395 1.443 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)N[C@@H](C)Cc2cc(C)[nH]n2)s[n-]1 ZINC000350639977 284231809 /nfs/dbraw/zinc/23/18/09/284231809.db2.gz QRSSISZJNLXJMT-CBAPKCEASA-N -1 1 324.410 1.452 20 0 DDADMM CCN(CC)C(=O)N1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000264841893 204200582 /nfs/dbraw/zinc/20/05/82/204200582.db2.gz LFVSAAYDPGADQA-UHFFFAOYSA-N -1 1 306.366 1.007 20 0 DDADMM Cn1cc(-c2nn(C)cc2[N-]S(=O)(=O)CCCCF)cn1 ZINC000288508957 220188014 /nfs/dbraw/zinc/18/80/14/220188014.db2.gz FOEHYLRVRHCANQ-UHFFFAOYSA-N -1 1 315.374 1.312 20 0 DDADMM O=C([O-])[C@H]1CCCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000263449894 304178475 /nfs/dbraw/zinc/17/84/75/304178475.db2.gz SBDGXQGCPBEKKF-GFCCVEGCSA-N -1 1 300.318 1.551 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cccc(Cl)c1F)C1CC1 ZINC000267754599 206262743 /nfs/dbraw/zinc/26/27/43/206262743.db2.gz MVTDPJPIOGJMCU-LLVKDONJSA-N -1 1 321.757 1.709 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2cc(Cl)ccc2F)CC1 ZINC000283798122 217774064 /nfs/dbraw/zinc/77/40/64/217774064.db2.gz AGTGXKLRIBRLFB-UHFFFAOYSA-N -1 1 307.730 1.463 20 0 DDADMM O=C(C(=O)N1CC[C@@]2(O)CCCC[C@H]2C1)c1ccc([O-])cc1 ZINC000283824637 217788959 /nfs/dbraw/zinc/78/89/59/217788959.db2.gz YYVUNHIKQGHQBO-GUYCJALGSA-N -1 1 303.358 1.729 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@@H]1C[C@@H]1c1ccccc1Cl ZINC000174580442 248371094 /nfs/dbraw/zinc/37/10/94/248371094.db2.gz BQXIDPHUYQAFRK-GHMZBOCLSA-N -1 1 320.780 1.604 20 0 DDADMM CC(C)(C)c1nc(=NC(=O)N2CC[C@H](c3nc[nH]n3)C2)s[n-]1 ZINC000333760752 249095688 /nfs/dbraw/zinc/09/56/88/249095688.db2.gz PENBEHMADVFNKN-QMMMGPOBSA-N -1 1 321.410 1.397 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC(OC2CCC2)CC1 ZINC000333922849 249151005 /nfs/dbraw/zinc/15/10/05/249151005.db2.gz RVDSFRAKVFNQPR-UHFFFAOYSA-N -1 1 315.395 1.118 20 0 DDADMM CCS(=O)(=O)Nc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000336852510 249257362 /nfs/dbraw/zinc/25/73/62/249257362.db2.gz RZCVZCPJMDLOOX-UHFFFAOYSA-N -1 1 321.358 1.801 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc(Cl)ccc1C ZINC000337155313 249368173 /nfs/dbraw/zinc/36/81/73/249368173.db2.gz OSGVCYYOOJRSNO-UHFFFAOYSA-N -1 1 306.753 1.714 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc2ccccc2n1 ZINC000337160472 249370369 /nfs/dbraw/zinc/37/03/69/249370369.db2.gz SPPXIBROYMVOJP-UHFFFAOYSA-N -1 1 309.329 1.300 20 0 DDADMM Cc1c(F)cccc1NS(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000043321378 183355201 /nfs/dbraw/zinc/35/52/01/183355201.db2.gz FYHTUWHHZLTODI-UHFFFAOYSA-N -1 1 312.322 1.972 20 0 DDADMM C[C@H]1CN(C(=O)CCc2nn[n-]n2)C[C@@H](c2ccc(F)cc2)O1 ZINC000631488093 422794409 /nfs/dbraw/zinc/79/44/09/422794409.db2.gz DFNZZKAFAXTGAO-GWCFXTLKSA-N -1 1 319.340 1.260 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@@H](O)C1)c1cc(Cl)ccc1F ZINC000284373614 218001693 /nfs/dbraw/zinc/00/16/93/218001693.db2.gz RLCSDUWCKAIPTL-PSASIEDQSA-N -1 1 307.774 1.918 20 0 DDADMM O=S(=O)([N-]CCC1(O)CCC1)c1cc(F)c(F)cc1F ZINC000284567070 218081925 /nfs/dbraw/zinc/08/19/25/218081925.db2.gz GDDJVPURHINYQU-UHFFFAOYSA-N -1 1 309.309 1.687 20 0 DDADMM CCOc1cc(C(=O)NN2CCCNC2=O)cc(Cl)c1[O-] ZINC000273887642 211145175 /nfs/dbraw/zinc/14/51/75/211145175.db2.gz URWORKFNTTWXPD-UHFFFAOYSA-N -1 1 313.741 1.504 20 0 DDADMM Cc1nn(C)c(C)c1CC[N-]S(=O)(=O)c1sccc1F ZINC000338865517 250170263 /nfs/dbraw/zinc/17/02/63/250170263.db2.gz SONJAOUATXVORE-UHFFFAOYSA-N -1 1 317.411 1.759 20 0 DDADMM O=S(=O)([N-]C[C@H](O)Cc1ccccc1)c1sccc1F ZINC000338902675 250190190 /nfs/dbraw/zinc/19/01/90/250190190.db2.gz HSRJTBUUKSJRRZ-LLVKDONJSA-N -1 1 315.391 1.769 20 0 DDADMM CC(C)(C)C(=O)NCC[N-]S(=O)(=O)c1sccc1F ZINC000338883096 250179650 /nfs/dbraw/zinc/17/96/50/250179650.db2.gz LPUYMVSLPDFWGU-UHFFFAOYSA-N -1 1 308.400 1.328 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)S(C)(=O)=O ZINC000339128466 250305407 /nfs/dbraw/zinc/30/54/07/250305407.db2.gz PJKUGDDGOYWITP-QMMMGPOBSA-N -1 1 309.347 1.154 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3c(c2)nnn3C)n1 ZINC000339164794 250324256 /nfs/dbraw/zinc/32/42/56/250324256.db2.gz KFNMRWAFZQFNEL-UHFFFAOYSA-N -1 1 314.305 1.120 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(OC)cc(OC)c2)n1 ZINC000339170411 250325748 /nfs/dbraw/zinc/32/57/48/250325748.db2.gz DOHMFPXJMCWBJG-UHFFFAOYSA-N -1 1 319.317 1.856 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)no1 ZINC000339186803 250334529 /nfs/dbraw/zinc/33/45/29/250334529.db2.gz VAZGXDJOPWUEPE-UHFFFAOYSA-N -1 1 306.322 1.906 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCC[C@H](C)CO)cnc2n1 ZINC000340745581 251190014 /nfs/dbraw/zinc/19/00/14/251190014.db2.gz ZDPRQSMNUZRYQU-JTQLQIEISA-N -1 1 303.362 1.782 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCC[C@H](C)CO)c2=O ZINC000340745581 251190015 /nfs/dbraw/zinc/19/00/15/251190015.db2.gz ZDPRQSMNUZRYQU-JTQLQIEISA-N -1 1 303.362 1.782 20 0 DDADMM CC(C)(C)C(=O)Cn1cc(C(=O)Nc2ccc(F)cc2[O-])nn1 ZINC000269035746 207184999 /nfs/dbraw/zinc/18/49/99/207184999.db2.gz NLWOXYUIKWKJBT-UHFFFAOYSA-N -1 1 320.324 1.990 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)cc1 ZINC000063156669 184275163 /nfs/dbraw/zinc/27/51/63/184275163.db2.gz BQFBRSQYRUCXRY-ZDUSSCGKSA-N -1 1 313.375 1.784 20 0 DDADMM O=C(COc1cccc2ccccc21)NC1(c2nn[n-]n2)CC1 ZINC000357055486 207381068 /nfs/dbraw/zinc/38/10/68/207381068.db2.gz NGJKDSMEXPRWAK-UHFFFAOYSA-N -1 1 309.329 1.537 20 0 DDADMM O=C(NCc1coc2ccccc12)c1cc(=O)n2[n-]cnc2n1 ZINC000352387023 285014266 /nfs/dbraw/zinc/01/42/66/285014266.db2.gz MSXTVAVXRKTQRP-UHFFFAOYSA-N -1 1 309.285 1.094 20 0 DDADMM CNC(=O)C(C)(C)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000119864743 195051080 /nfs/dbraw/zinc/05/10/80/195051080.db2.gz XZYYGDTXHZBHMF-UHFFFAOYSA-N -1 1 306.334 1.015 20 0 DDADMM O=C([N-][C@H]1CCCCNC1=O)C(F)(F)c1c(F)cccc1F ZINC000270147994 208205980 /nfs/dbraw/zinc/20/59/80/208205980.db2.gz QQBGYJGKORZJAD-JTQLQIEISA-N -1 1 318.270 1.842 20 0 DDADMM CN(C)CCNS(=O)(=O)c1cc(F)c(Cl)c(C(=O)[O-])c1 ZINC000156489017 197117191 /nfs/dbraw/zinc/11/71/91/197117191.db2.gz PEYXPJXQIQRNGX-UHFFFAOYSA-N -1 1 324.761 1.017 20 0 DDADMM COCCC(C)(C)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295041206 533175403 /nfs/dbraw/zinc/17/54/03/533175403.db2.gz WRVLBJDEBRZIFI-UHFFFAOYSA-N -1 1 308.407 1.811 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCc2ccc(F)c(F)c2F)[n-]n1 ZINC000413252365 224162157 /nfs/dbraw/zinc/16/21/57/224162157.db2.gz YYUZFSYDEUKPIP-UHFFFAOYSA-N -1 1 324.306 1.672 20 0 DDADMM Cc1noc(CN2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000289584451 221085831 /nfs/dbraw/zinc/08/58/31/221085831.db2.gz WSPVZEKOINDYBD-VIFPVBQESA-N -1 1 306.288 1.269 20 0 DDADMM O=c1cc(C(F)F)nc(SCCCN2CCOCC2)[n-]1 ZINC000289635950 221119655 /nfs/dbraw/zinc/11/96/55/221119655.db2.gz WHASTRDAOPSQKL-UHFFFAOYSA-N -1 1 305.350 1.934 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccn(-c2ccncc2)n1 ZINC000414374016 224329009 /nfs/dbraw/zinc/32/90/09/224329009.db2.gz NJSVNVKAAACCEN-UHFFFAOYSA-N -1 1 310.379 1.434 20 0 DDADMM O=C(CCc1nn[n-]n1)N1C[C@@H]2CCCCN2c2ccccc21 ZINC000633212949 422809517 /nfs/dbraw/zinc/80/95/17/422809517.db2.gz CHNLPOAZZUDRPP-LBPRGKRZSA-N -1 1 312.377 1.538 20 0 DDADMM O=S(=O)([N-]Cc1ccc[nH]1)c1ccc(Br)o1 ZINC000352860593 285342315 /nfs/dbraw/zinc/34/23/15/285342315.db2.gz BODNOZWZOSGLDV-UHFFFAOYSA-N -1 1 305.153 1.849 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCCC(C)(C)CO)c1Cl ZINC000121711480 195333092 /nfs/dbraw/zinc/33/30/92/195333092.db2.gz RGPYOZHRGIJTDJ-UHFFFAOYSA-N -1 1 309.819 1.151 20 0 DDADMM COC(=O)CCCC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000435229110 533377651 /nfs/dbraw/zinc/37/76/51/533377651.db2.gz ZFJRFADWSADCPO-UHFFFAOYSA-N -1 1 306.409 1.382 20 0 DDADMM COc1c(NC(=O)c2ccc(O)cc2[O-])cccc1C(N)=O ZINC000436730460 533441259 /nfs/dbraw/zinc/44/12/59/533441259.db2.gz WUTXVPLHEAEXFM-UHFFFAOYSA-N -1 1 302.286 1.458 20 0 DDADMM COC(C)(C)c1nc(C)c(C(=O)N=c2ccc([O-])n[nH]2)s1 ZINC000362170967 300021141 /nfs/dbraw/zinc/02/11/41/300021141.db2.gz IHICLVONCPOREK-UHFFFAOYSA-N -1 1 308.363 1.503 20 0 DDADMM COC(C)(C)c1nc(C)c(C(=O)N=c2ccc(O)n[n-]2)s1 ZINC000362170967 300021142 /nfs/dbraw/zinc/02/11/42/300021142.db2.gz IHICLVONCPOREK-UHFFFAOYSA-N -1 1 308.363 1.503 20 0 DDADMM Cc1ccc(-c2cc(C(=O)Nc3ccn(CC(=O)[O-])n3)[nH]n2)o1 ZINC000178221867 292029876 /nfs/dbraw/zinc/02/98/76/292029876.db2.gz YUEUZIPNNAEKHQ-UHFFFAOYSA-N -1 1 315.289 1.512 20 0 DDADMM C[C@H](CN1CCOCC1)[N@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC000569003416 304329523 /nfs/dbraw/zinc/32/95/23/304329523.db2.gz OTABHGZFOLMSKK-CYBMUJFWSA-N -1 1 304.390 1.464 20 0 DDADMM NC(=O)c1cc(C(=O)[N-]c2nnc(C(F)(F)F)s2)co1 ZINC000353753895 285908411 /nfs/dbraw/zinc/90/84/11/285908411.db2.gz MJQYYXZLMSURCF-UHFFFAOYSA-N -1 1 306.225 1.501 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCc2ccccc2C1 ZINC000416152315 286188102 /nfs/dbraw/zinc/18/81/02/286188102.db2.gz WFNDAMBATZIQSB-UHFFFAOYSA-N -1 1 315.329 1.485 20 0 DDADMM CC(C)C(=O)NCC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000170939430 407561361 /nfs/dbraw/zinc/56/13/61/407561361.db2.gz NDBKRKLCQRWVBC-UHFFFAOYSA-N -1 1 324.324 1.154 20 0 DDADMM O=C(NC[C@@H](O)C1CC1)c1ccc(Br)cc1[O-] ZINC000071304040 406837796 /nfs/dbraw/zinc/83/77/96/406837796.db2.gz XWJRSWKGBJCFDQ-LLVKDONJSA-N -1 1 300.152 1.655 20 0 DDADMM CCCNC(=O)CNC(=O)c1cc(Br)ccc1[O-] ZINC000028002449 406915244 /nfs/dbraw/zinc/91/52/44/406915244.db2.gz PTOYNPKIMYZZBI-UHFFFAOYSA-N -1 1 315.167 1.411 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2cc(C(N)=O)n(C)c2)c(C)c1 ZINC000028195820 406916142 /nfs/dbraw/zinc/91/61/42/406916142.db2.gz GDKQDDZMBRDWBU-UHFFFAOYSA-N -1 1 307.375 1.542 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2c(F)cccc2F)ccn1 ZINC000029392188 406923559 /nfs/dbraw/zinc/92/35/59/406923559.db2.gz FNIAHRWMYBIILJ-UHFFFAOYSA-N -1 1 314.313 1.847 20 0 DDADMM COC(=O)[C@](C)([N-]S(=O)(=O)c1cccc(F)c1F)C1CC1 ZINC000076299795 406961641 /nfs/dbraw/zinc/96/16/41/406961641.db2.gz ZZTFYNQTTGQWHO-CYBMUJFWSA-N -1 1 319.329 1.585 20 0 DDADMM CCOc1cccc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)c1 ZINC000077959481 407016533 /nfs/dbraw/zinc/01/65/33/407016533.db2.gz DDHRUHPAFFSCEK-UHFFFAOYSA-N -1 1 320.370 1.985 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(C)(C)C ZINC000078805849 407046934 /nfs/dbraw/zinc/04/69/34/407046934.db2.gz GBZGVDVHSGRWSV-LLVKDONJSA-N -1 1 321.345 1.831 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCC[C@@H]1O)c1ccc(F)c(F)c1F ZINC000085987514 407107686 /nfs/dbraw/zinc/10/76/86/407107686.db2.gz HVCXQCYGNLBZMZ-SCZZXKLOSA-N -1 1 323.336 1.933 20 0 DDADMM CCc1ccccc1NC(=O)[C@@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000086169839 407109068 /nfs/dbraw/zinc/10/90/68/407109068.db2.gz DCDPPGSFHOENPK-SECBINFHSA-N -1 1 308.363 1.168 20 0 DDADMM CCc1ccccc1NC(=O)[C@@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000086169839 407109072 /nfs/dbraw/zinc/10/90/72/407109072.db2.gz DCDPPGSFHOENPK-SECBINFHSA-N -1 1 308.363 1.168 20 0 DDADMM C[C@H](C(=O)Nc1cccc(Cl)c1)S(=O)(=O)c1ncn[n-]1 ZINC000086169597 407109626 /nfs/dbraw/zinc/10/96/26/407109626.db2.gz CYCNCCBAMAVNPA-SSDOTTSWSA-N -1 1 314.754 1.259 20 0 DDADMM C[C@H](C(=O)Nc1cccc(Cl)c1)S(=O)(=O)c1nc[n-]n1 ZINC000086169597 407109629 /nfs/dbraw/zinc/10/96/29/407109629.db2.gz CYCNCCBAMAVNPA-SSDOTTSWSA-N -1 1 314.754 1.259 20 0 DDADMM CC(C)(CC(=O)[O-])NS(=O)(=O)c1c(F)cc(F)cc1F ZINC000084147140 407083974 /nfs/dbraw/zinc/08/39/74/407083974.db2.gz UHISTCPCXZVLKO-UHFFFAOYSA-N -1 1 311.281 1.636 20 0 DDADMM CCOC(=O)CC[C@@H](C)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000089783032 407149081 /nfs/dbraw/zinc/14/90/81/407149081.db2.gz IWTLVXBWRMPGCJ-MRVPVSSYSA-N -1 1 323.802 1.084 20 0 DDADMM C[C@H](NC(=O)c1ccc(Br)c([O-])c1)c1nc[nH]n1 ZINC000124750676 407368729 /nfs/dbraw/zinc/36/87/29/407368729.db2.gz ROOPDEFXSNUBTF-LURJTMIESA-N -1 1 311.139 1.764 20 0 DDADMM O=C(NC[C@@H](O)COc1ccc(F)c(F)c1)c1cncc([O-])c1 ZINC000111610958 407412065 /nfs/dbraw/zinc/41/20/65/407412065.db2.gz QPSXIIGQNYOTGB-LLVKDONJSA-N -1 1 324.283 1.235 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(C)CCCC1 ZINC000111620639 407412736 /nfs/dbraw/zinc/41/27/36/407412736.db2.gz BOJYSJTXXNJSKW-UHFFFAOYSA-N -1 1 315.395 1.445 20 0 DDADMM O=C(c1ncccc1[O-])N1CCO[C@@H](c2cccc(O)c2)C1 ZINC000151497966 407445446 /nfs/dbraw/zinc/44/54/46/407445446.db2.gz HODQLQJSJVPOEL-CQSZACIVSA-N -1 1 300.314 1.707 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1CCCN1C(=O)c1cncc([O-])c1 ZINC000266543088 407552646 /nfs/dbraw/zinc/55/26/46/407552646.db2.gz JIGFQLMRHKWPAJ-GFCCVEGCSA-N -1 1 321.377 1.917 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC ZINC000186131702 407581753 /nfs/dbraw/zinc/58/17/53/407581753.db2.gz CJJWCDNFYGEUAY-NSHDSACASA-N -1 1 321.345 1.975 20 0 DDADMM Cc1ccc(C(=O)NC[C@H]2C[N@@H+]3CCCC[C@@H]3CO2)c(O)c1 ZINC000128521594 407544704 /nfs/dbraw/zinc/54/47/04/407544704.db2.gz UWHBJDGPQQPTTE-KGLIPLIRSA-N -1 1 304.390 1.684 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccc(F)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129259506 407598203 /nfs/dbraw/zinc/59/82/03/407598203.db2.gz ZHLKAFGHQMCXEO-FPMFFAJLSA-N -1 1 315.352 1.849 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC(C)(C)C ZINC000129308826 407602571 /nfs/dbraw/zinc/60/25/71/407602571.db2.gz RUZNFLPWTSESTG-QMMMGPOBSA-N -1 1 321.345 1.973 20 0 DDADMM C[C@H](CO)[C@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000225897689 407611952 /nfs/dbraw/zinc/61/19/52/407611952.db2.gz UXMGNQPRYPEMPP-SFYZADRCSA-N -1 1 302.168 1.901 20 0 DDADMM O=C(Cn1nc2ccccn2c1=O)Nc1ccc([O-])c(Cl)c1 ZINC000129539507 407614949 /nfs/dbraw/zinc/61/49/49/407614949.db2.gz SMDSGNDVHOHUGU-UHFFFAOYSA-N -1 1 318.720 1.494 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC1(O)CCCC1 ZINC000266971135 407697972 /nfs/dbraw/zinc/69/79/72/407697972.db2.gz BNPOEBWXIDNYHC-UHFFFAOYSA-N -1 1 307.394 1.823 20 0 DDADMM C[C@@H](CC(N)=O)NC(=O)c1cc(Br)ccc1[O-] ZINC000231676878 407699376 /nfs/dbraw/zinc/69/93/76/407699376.db2.gz DNMWMEPNXHKYRH-LURJTMIESA-N -1 1 301.140 1.148 20 0 DDADMM O=C(NC[C@]1(O)CCOC1)c1cc(Br)ccc1[O-] ZINC000179087816 407718415 /nfs/dbraw/zinc/71/84/15/407718415.db2.gz LXZKAYCCKDMQDD-GFCCVEGCSA-N -1 1 316.151 1.036 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC[C@@H]2[C@@H](CCC(=O)N2C2CC2)C1 ZINC000179228697 407758829 /nfs/dbraw/zinc/75/88/29/407758829.db2.gz KRRPLORMICCNOA-XHDPSFHLSA-N -1 1 315.373 1.403 20 0 DDADMM CCc1nc(S(=O)(=O)CCCOc2ccc(F)cc2)n[n-]1 ZINC000153143433 407763360 /nfs/dbraw/zinc/76/33/60/407763360.db2.gz DEMFNRJTJCAQCL-UHFFFAOYSA-N -1 1 313.354 1.749 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@@H]1CCCCO1 ZINC000272090392 407769423 /nfs/dbraw/zinc/76/94/23/407769423.db2.gz UVTXFJWHWOQEDF-BDAKNGLRSA-N -1 1 307.803 1.309 20 0 DDADMM O=C(Nc1cc(Br)c[nH]c1=O)c1cncc([O-])c1 ZINC000171866597 407786767 /nfs/dbraw/zinc/78/67/67/407786767.db2.gz VWPBRGGJLIZOBE-UHFFFAOYSA-N -1 1 310.107 1.490 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cccc1F)C(=O)NCc1ccccc1 ZINC000133000394 407813722 /nfs/dbraw/zinc/81/37/22/407813722.db2.gz ZCRLSXDCXAACEG-NSHDSACASA-N -1 1 316.332 1.966 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cc(C(C)C)nn2C)n[nH]1 ZINC000181751369 408035111 /nfs/dbraw/zinc/03/51/11/408035111.db2.gz SBSIQGOENIHESN-UHFFFAOYSA-N -1 1 305.338 1.696 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2cccc(F)c2F)CN1C1CC1 ZINC000175288374 408096854 /nfs/dbraw/zinc/09/68/54/408096854.db2.gz CUQJBBOPVPYBDP-MRVPVSSYSA-N -1 1 316.329 1.006 20 0 DDADMM O=C(Nc1ccnn1[C@@H]1CCS(=O)(=O)C1)c1ccccc1[O-] ZINC000175390122 408117923 /nfs/dbraw/zinc/11/79/23/408117923.db2.gz PIVYKNIBXJBCRY-SNVBAGLBSA-N -1 1 321.358 1.201 20 0 DDADMM C[C@@H](C(=O)[O-])N(C(=O)c1ccc(-c2nnc[nH]2)cc1)C1CC1 ZINC000263550169 408169359 /nfs/dbraw/zinc/16/93/59/408169359.db2.gz KFKRNLROIWOVAJ-VIFPVBQESA-N -1 1 300.318 1.549 20 0 DDADMM Cc1ccccc1C1(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CC1 ZINC000155516953 408173734 /nfs/dbraw/zinc/17/37/34/408173734.db2.gz LAXIFXZISXAFMT-CYBMUJFWSA-N -1 1 311.389 1.946 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1F ZINC000155577535 408183145 /nfs/dbraw/zinc/18/31/45/408183145.db2.gz SKDYMKWUKQHPOP-SECBINFHSA-N -1 1 305.313 1.367 20 0 DDADMM CC(C)COC(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000263641219 408186007 /nfs/dbraw/zinc/18/60/07/408186007.db2.gz AIFWWXMBCOFCQG-UHFFFAOYSA-N -1 1 321.377 1.728 20 0 DDADMM Cc1c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cnn1C(C)(C)C ZINC000273571624 408252023 /nfs/dbraw/zinc/25/20/23/408252023.db2.gz ZHOIAWBUCATILB-VIFPVBQESA-N -1 1 305.386 1.335 20 0 DDADMM COC[C@@](C)(CCO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000182837263 408260254 /nfs/dbraw/zinc/26/02/54/408260254.db2.gz IJFRKALMUXJKBI-CYBMUJFWSA-N -1 1 321.295 1.928 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1ccccc1OCC1CC1 ZINC000176260805 408326366 /nfs/dbraw/zinc/32/63/66/408326366.db2.gz XWWZQEXJBDBXRF-UHFFFAOYSA-N -1 1 316.361 1.249 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCCc2c(O)cccc21)c1nn[n-]n1 ZINC000190597935 408288500 /nfs/dbraw/zinc/28/85/00/408288500.db2.gz WFKOUGTUMRIBHY-STQMWFEESA-N -1 1 315.377 1.983 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]c1ccn(CC(F)(F)F)n1 ZINC000269443587 408310843 /nfs/dbraw/zinc/31/08/43/408310843.db2.gz UDECEEMXZZPLTL-UHFFFAOYSA-N -1 1 300.306 1.444 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cc(F)ccc2F)c[nH]c1=O ZINC000158326760 408336409 /nfs/dbraw/zinc/33/64/09/408336409.db2.gz RIJARRKLACVWRN-UHFFFAOYSA-N -1 1 300.286 1.762 20 0 DDADMM CC(=O)CCc1ccc(OCCC(=O)Nc2nnn[n-]2)cc1 ZINC000264018251 408345529 /nfs/dbraw/zinc/34/55/29/408345529.db2.gz NQNZMOWQSKTAQN-UHFFFAOYSA-N -1 1 303.322 1.129 20 0 DDADMM CC(=O)CCc1ccc(OCCC(=O)Nc2nn[n-]n2)cc1 ZINC000264018251 408345535 /nfs/dbraw/zinc/34/55/35/408345535.db2.gz NQNZMOWQSKTAQN-UHFFFAOYSA-N -1 1 303.322 1.129 20 0 DDADMM O=C([N-]C1CCN(C(=O)c2ccccc2)CC1)C(F)(F)F ZINC000169853043 162184275 /nfs/dbraw/zinc/18/42/75/162184275.db2.gz QADNBQBHCXHODZ-UHFFFAOYSA-N -1 1 300.280 1.970 20 0 DDADMM COC[C@@H](C)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000158956148 408372326 /nfs/dbraw/zinc/37/23/26/408372326.db2.gz GYAYVLKWFPAAIJ-SECBINFHSA-N -1 1 306.366 1.135 20 0 DDADMM CCC[C@H](NC(=O)Cn1nc(C)c(Cl)c1C)c1nn[n-]n1 ZINC000176791838 408445877 /nfs/dbraw/zinc/44/58/77/408445877.db2.gz SXISNWHAEFIMOV-VIFPVBQESA-N -1 1 311.777 1.324 20 0 DDADMM CCSc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cn1 ZINC000183421122 408408412 /nfs/dbraw/zinc/40/84/12/408408412.db2.gz VVDJXXVBIGGIFC-VIFPVBQESA-N -1 1 306.395 1.582 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc(-n2cccn2)c1)c1nn[n-]n1 ZINC000183422059 408408612 /nfs/dbraw/zinc/40/86/12/408408612.db2.gz ILVORDVWTBKQLY-LLVKDONJSA-N -1 1 311.349 1.261 20 0 DDADMM CC[C@@H](C)[C@@H](O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000269755209 408418083 /nfs/dbraw/zinc/41/80/83/408418083.db2.gz ILTKHRDKHFBGBU-APPZFPTMSA-N -1 1 311.325 1.789 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2(C)CCOCC2)c1 ZINC000269891332 408459992 /nfs/dbraw/zinc/45/99/92/408459992.db2.gz BQCZJDPAONTCHH-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM CCC[C@@H](NC(=O)COc1c(C)cccc1C)c1nn[n-]n1 ZINC000176773572 408439657 /nfs/dbraw/zinc/43/96/57/408439657.db2.gz JUSNTDYWNGADMI-GFCCVEGCSA-N -1 1 303.366 1.853 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@]12CCc1ccccc12)c1nn[n-]n1 ZINC000274756709 408520255 /nfs/dbraw/zinc/52/02/55/408520255.db2.gz ISDIUHKLWOJMJM-WHCBVINPSA-N -1 1 311.389 1.666 20 0 DDADMM COc1cc(OC)c(OC)cc1CNC(=O)c1cncc([O-])c1 ZINC000264705685 408545868 /nfs/dbraw/zinc/54/58/68/408545868.db2.gz CPADUIKHWNRWIP-UHFFFAOYSA-N -1 1 318.329 1.743 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCCc3occc32)co1 ZINC000162732826 408730618 /nfs/dbraw/zinc/73/06/18/408730618.db2.gz QCKQNSTWXYXCCL-NSHDSACASA-N -1 1 324.358 1.588 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1csc(C(=O)[O-])c1)N(C)C1CC1 ZINC000184993231 408732521 /nfs/dbraw/zinc/73/25/21/408732521.db2.gz NQMRRKXBQRNIKP-QMMMGPOBSA-N -1 1 318.420 1.207 20 0 DDADMM C[C@@H](CC(=O)NCCCc1nc(=O)[n-][nH]1)c1ccc(F)cc1F ZINC000162342423 408691440 /nfs/dbraw/zinc/69/14/40/408691440.db2.gz ZBDJUKOIXACLFW-VIFPVBQESA-N -1 1 324.331 1.619 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(F)c(C)c2)C1 ZINC000184804418 408693452 /nfs/dbraw/zinc/69/34/52/408693452.db2.gz CXYQECVWTOZSST-GFCCVEGCSA-N -1 1 324.352 1.571 20 0 DDADMM COc1ccc(NC(=O)NC2CCC2)cc1[N-]S(C)(=O)=O ZINC000265740332 408766970 /nfs/dbraw/zinc/76/69/70/408766970.db2.gz DKNYFKDGZKSECJ-UHFFFAOYSA-N -1 1 313.379 1.741 20 0 DDADMM O=c1nc(C2CCN(c3ccc(C(F)(F)F)nn3)CC2)[nH][n-]1 ZINC000280957214 408865968 /nfs/dbraw/zinc/86/59/68/408865968.db2.gz KKJWCXYQLSRPCF-UHFFFAOYSA-N -1 1 314.271 1.291 20 0 DDADMM COc1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1Cl ZINC000286098246 408923069 /nfs/dbraw/zinc/92/30/69/408923069.db2.gz OKYNTGFVXDFNMH-ZETCQYMHSA-N -1 1 310.745 1.132 20 0 DDADMM C[C@H](O)CN1CCN(C(=O)c2ccc3ccccc3c2[O-])CC1 ZINC000189736471 163113525 /nfs/dbraw/zinc/11/35/25/163113525.db2.gz NXLYEYIRIKLUJI-ZDUSSCGKSA-N -1 1 314.385 1.684 20 0 DDADMM CCc1nnc(C2CCN(C(=O)c3ncccc3[O-])CC2)[nH]1 ZINC000191597478 163194251 /nfs/dbraw/zinc/19/42/51/163194251.db2.gz CCJJWZRPRXNAOS-UHFFFAOYSA-N -1 1 301.350 1.488 20 0 DDADMM CCN(CC)CC(=O)Nc1ccc(F)c(NS(C)(=O)=O)c1 ZINC000194392189 163282100 /nfs/dbraw/zinc/28/21/00/163282100.db2.gz USIXCOJARDGDIU-UHFFFAOYSA-N -1 1 317.386 1.478 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC(C)(C)CCCO ZINC000221742259 163333205 /nfs/dbraw/zinc/33/32/05/163333205.db2.gz JOZORTSDEDFYTF-UHFFFAOYSA-N -1 1 313.423 1.329 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@H](O)C1)c1cc(F)ccc1F ZINC000228596224 163341357 /nfs/dbraw/zinc/34/13/57/163341357.db2.gz PRBQEZNRGFSMCD-KOLCDFICSA-N -1 1 305.346 1.794 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC(O)(C2CC2)C1 ZINC000230421659 163348331 /nfs/dbraw/zinc/34/83/31/163348331.db2.gz LMSOEOVFEAHAGS-UHFFFAOYSA-N -1 1 312.163 1.752 20 0 DDADMM CC(C)[C@@H](O)CNC(=O)c1ccc(Br)c([O-])c1 ZINC000231354967 163349641 /nfs/dbraw/zinc/34/96/41/163349641.db2.gz CELONLGHGKJWQJ-NSHDSACASA-N -1 1 302.168 1.901 20 0 DDADMM C[C@H](NC(=O)c1cc2ccccc2cc1[O-])C(=O)NCCF ZINC000281987082 408966399 /nfs/dbraw/zinc/96/63/99/408966399.db2.gz LCQGWNOBLGNLHS-JTQLQIEISA-N -1 1 304.321 1.749 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C=C3CCC3)CC2)n1 ZINC000277653653 408985935 /nfs/dbraw/zinc/98/59/35/408985935.db2.gz IKVYERKYPPJDGV-UHFFFAOYSA-N -1 1 318.377 1.798 20 0 DDADMM CCCCN(C)c1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000277664769 408988378 /nfs/dbraw/zinc/98/83/78/408988378.db2.gz GTYQPWBLUCSOSJ-UHFFFAOYSA-N -1 1 319.365 1.511 20 0 DDADMM C[C@H](Sc1nc(C2CC2)cc(=O)[n-]1)C(=O)NC[C@@H]1CCCO1 ZINC000277704934 408996030 /nfs/dbraw/zinc/99/60/30/408996030.db2.gz MYVUCCJEIAWVQU-ONGXEEELSA-N -1 1 323.418 1.835 20 0 DDADMM COCCCNC(=O)Cc1noc(-c2ccc([O-])cc2F)n1 ZINC000277761638 409006684 /nfs/dbraw/zinc/00/66/84/409006684.db2.gz BQMWDQFEXZODHD-UHFFFAOYSA-N -1 1 309.297 1.277 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1cn(C)nc1C)C(F)(F)F ZINC000287246714 409007977 /nfs/dbraw/zinc/00/79/77/409007977.db2.gz HGFAHGYMPXZSBF-XVKPBYJWSA-N -1 1 313.345 1.984 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000287642232 409068574 /nfs/dbraw/zinc/06/85/74/409068574.db2.gz BYJUKVIPBVNYBO-CQSZACIVSA-N -1 1 306.362 1.119 20 0 DDADMM CC[N@H+](Cc1cc(=O)oc2c(C)c(O)ccc12)[C@H]1CCNC1=O ZINC000282415212 409050753 /nfs/dbraw/zinc/05/07/53/409050753.db2.gz QLBJWJKMPIKSBH-ZDUSSCGKSA-N -1 1 316.357 1.517 20 0 DDADMM O=C(C(=O)N1CCC[C@@H]1[C@@H](O)C(F)(F)F)c1ccc([O-])cc1 ZINC000288155896 409155205 /nfs/dbraw/zinc/15/52/05/409155205.db2.gz LSQXJZVRBLKANF-ZYHUDNBSSA-N -1 1 317.263 1.489 20 0 DDADMM Cc1nocc1C[N@H+]1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000293804649 409184124 /nfs/dbraw/zinc/18/41/24/409184124.db2.gz DOJHEKQGMCOFRF-SNVBAGLBSA-N -1 1 305.300 1.874 20 0 DDADMM COC[C@H](NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)c1ccco1 ZINC000279481629 409222684 /nfs/dbraw/zinc/22/26/84/409222684.db2.gz XJHGNCHRXJTTKF-SJWFJVLYSA-N -1 1 315.333 1.444 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CCC1)c1cc(F)ccc1F ZINC000280338304 409294877 /nfs/dbraw/zinc/29/48/77/409294877.db2.gz VKKJJYBBYWEDRO-NSHDSACASA-N -1 1 305.346 1.794 20 0 DDADMM CCC[C@H](NC(=O)c1cccc2oc(C)nc21)c1nn[n-]n1 ZINC000280385400 409304505 /nfs/dbraw/zinc/30/45/05/409304505.db2.gz MYSYGIPIEDMNIQ-JTQLQIEISA-N -1 1 300.322 1.921 20 0 DDADMM O=C(N[C@H]1CCOC2(CCC2)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000295099163 409321079 /nfs/dbraw/zinc/32/10/79/409321079.db2.gz YRENMULVPBCQJH-NSHDSACASA-N -1 1 318.402 1.850 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)N2CCC(C(=O)[O-])CC2)cn1 ZINC000579837730 422841340 /nfs/dbraw/zinc/84/13/40/422841340.db2.gz OBQSSSLWMFCWEG-UHFFFAOYSA-N -1 1 317.349 1.230 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H](C)CCCO ZINC000296198350 409459151 /nfs/dbraw/zinc/45/91/51/409459151.db2.gz UFJSCAAMZNTBIV-SNVBAGLBSA-N -1 1 309.410 1.927 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2c(F)cccc2F)[nH]1 ZINC000285436076 409445880 /nfs/dbraw/zinc/44/58/80/409445880.db2.gz RMODABKWHYUISV-LURJTMIESA-N -1 1 302.306 1.431 20 0 DDADMM CC(C)S(=O)(=O)CCNC(=O)c1c(F)ccc([O-])c1F ZINC000290577303 409451433 /nfs/dbraw/zinc/45/14/33/409451433.db2.gz HDBRTXUMDACRCU-UHFFFAOYSA-N -1 1 307.318 1.223 20 0 DDADMM CCC[C@H](CCO)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295366734 409452185 /nfs/dbraw/zinc/45/21/85/409452185.db2.gz RLNDWMSRCLICEM-SNVBAGLBSA-N -1 1 308.407 1.547 20 0 DDADMM COc1ccc([C@H](C)CC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357053963 164137835 /nfs/dbraw/zinc/13/78/35/164137835.db2.gz KVOHLGLEKGAHLE-SNVBAGLBSA-N -1 1 301.350 1.507 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@H]([C@H](C)O)C1 ZINC000408165954 164249367 /nfs/dbraw/zinc/24/93/67/164249367.db2.gz OMQQNWJRHWNNCK-QWRGUYRKSA-N -1 1 307.394 1.631 20 0 DDADMM COC1(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)CCCCC1 ZINC000408199694 164258276 /nfs/dbraw/zinc/25/82/76/164258276.db2.gz VVBAWIZLKJCCKP-LLVKDONJSA-N -1 1 309.366 1.831 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H](CC)NC(C)=O)c1Br ZINC000631592168 422844466 /nfs/dbraw/zinc/84/44/66/422844466.db2.gz YPRGWEBYIYVIMA-MRVPVSSYSA-N -1 1 317.187 1.010 20 0 DDADMM Cc1nc(=NC(=O)c2cnc3ccc(C)cc3c2O)s[n-]1 ZINC000342083231 409557178 /nfs/dbraw/zinc/55/71/78/409557178.db2.gz ZGJSBJZGWUMVDM-UHFFFAOYSA-N -1 1 300.343 1.671 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)C1CN(C(=O)C2CCCC2)C1)C(C)(F)F ZINC000331452892 409524461 /nfs/dbraw/zinc/52/44/61/409524461.db2.gz FAMRXQMIYJBWJT-SECBINFHSA-N -1 1 324.393 1.351 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1ccc(CC(=O)[O-])cc1 ZINC000349106110 409697550 /nfs/dbraw/zinc/69/75/50/409697550.db2.gz JBNZRTQQTFUHPC-UHFFFAOYSA-N -1 1 309.347 1.156 20 0 DDADMM C[C@@H]1C(=O)CC[C@@H]1CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000331600643 409680148 /nfs/dbraw/zinc/68/01/48/409680148.db2.gz AUDJMEPBJSFPAP-GXSJLCMTSA-N -1 1 307.350 1.487 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2cnnc(C)c2)c1Br ZINC000346071172 409755905 /nfs/dbraw/zinc/75/59/05/409755905.db2.gz MLBWJIIOTBLRLA-UHFFFAOYSA-N -1 1 310.155 1.507 20 0 DDADMM C[C@H](Cc1cccc(Cl)c1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357062852 409828725 /nfs/dbraw/zinc/82/87/25/409828725.db2.gz YSQQYVYNYUIJKW-SECBINFHSA-N -1 1 305.769 1.837 20 0 DDADMM CCN(CC(=O)N1CCCCCC1)C(=O)c1ncccc1[O-] ZINC000332045381 409831590 /nfs/dbraw/zinc/83/15/90/409831590.db2.gz WIVRKGFZUQRTAS-UHFFFAOYSA-N -1 1 305.378 1.652 20 0 DDADMM CCSc1ccc(CC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357066482 409833593 /nfs/dbraw/zinc/83/35/93/409833593.db2.gz BZJOCMILRFCFGR-UHFFFAOYSA-N -1 1 303.391 1.660 20 0 DDADMM Cn1cc(C(=O)NC2(c3nn[n-]n3)CC2)c(-c2cccs2)n1 ZINC000357071217 409840974 /nfs/dbraw/zinc/84/09/74/409840974.db2.gz KPBCQDKWGZONBS-UHFFFAOYSA-N -1 1 315.362 1.081 20 0 DDADMM Cc1sc(-c2ccco2)nc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357080986 409851349 /nfs/dbraw/zinc/85/13/49/409851349.db2.gz UTOQNANEROAHFF-UHFFFAOYSA-N -1 1 316.346 1.644 20 0 DDADMM Cc1cc(COCC(=O)Nc2nc(-c3ccc(C)o3)n[nH]2)on1 ZINC000338414700 409968356 /nfs/dbraw/zinc/96/83/56/409968356.db2.gz FKVCWAZDSPPOHX-UHFFFAOYSA-N -1 1 317.305 1.825 20 0 DDADMM COCCn1cc2c(n1)[C@@H](NC(=O)c1cncc([O-])c1)CCC2 ZINC000354641778 409986200 /nfs/dbraw/zinc/98/62/00/409986200.db2.gz GENIJLMPRJXTDT-AWEZNQCLSA-N -1 1 316.361 1.438 20 0 DDADMM CC(C)NC(=O)CSc1ccccc1C(=O)Nc1nnn[n-]1 ZINC000346337834 409927643 /nfs/dbraw/zinc/92/76/43/409927643.db2.gz AULKIYXEDQLTKF-UHFFFAOYSA-N -1 1 320.378 1.069 20 0 DDADMM CC(C)NC(=O)CSc1ccccc1C(=O)Nc1nn[n-]n1 ZINC000346337834 409927650 /nfs/dbraw/zinc/92/76/50/409927650.db2.gz AULKIYXEDQLTKF-UHFFFAOYSA-N -1 1 320.378 1.069 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1C[C@@H]1c1ccc(Br)cc1 ZINC000342852950 409948369 /nfs/dbraw/zinc/94/83/69/409948369.db2.gz QLZWEUGKPQXUAX-RKDXNWHRSA-N -1 1 308.139 1.704 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1C[C@@H]1c1ccc(Br)cc1 ZINC000342852950 409948375 /nfs/dbraw/zinc/94/83/75/409948375.db2.gz QLZWEUGKPQXUAX-RKDXNWHRSA-N -1 1 308.139 1.704 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CC[S@@](=O)C1 ZINC000354705439 410029732 /nfs/dbraw/zinc/02/97/32/410029732.db2.gz JCCVUJLOBXCGGX-AOUSDQRYSA-N -1 1 311.407 1.039 20 0 DDADMM NC(=O)c1ccc(-c2nc(-c3ccc([O-])cc3F)no2)nc1 ZINC000350818018 410002171 /nfs/dbraw/zinc/00/21/71/410002171.db2.gz MPHITOBCKHRPFO-UHFFFAOYSA-N -1 1 300.249 1.742 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2ncc(C)cc2[O-])C[C@H]1C1CC1 ZINC000342982432 410051543 /nfs/dbraw/zinc/05/15/43/410051543.db2.gz GHABAXKJBASQNF-NWDGAFQWSA-N -1 1 304.346 1.367 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000332297660 410049687 /nfs/dbraw/zinc/04/96/87/410049687.db2.gz KIBYHDABBHRFKN-JTQLQIEISA-N -1 1 304.306 1.199 20 0 DDADMM C[C@@H]1C[C@H](C)[C@H](C)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000354735258 410050537 /nfs/dbraw/zinc/05/05/37/410050537.db2.gz PKZUYJHUJREIGJ-WOPDTQHZSA-N -1 1 305.378 1.410 20 0 DDADMM CC(C)(NC(=O)Cc1c[nH]c2cc(F)ccc12)c1nn[n-]n1 ZINC000354768150 410071699 /nfs/dbraw/zinc/07/16/99/410071699.db2.gz YYBIXPRNSFGDMB-UHFFFAOYSA-N -1 1 302.313 1.414 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N=c2ncn(C(C)(C)C)[n-]2)n[nH]1 ZINC000332333815 410074925 /nfs/dbraw/zinc/07/49/25/410074925.db2.gz QILSLFDCUCPLDA-VIFPVBQESA-N -1 1 305.386 1.239 20 0 DDADMM CO[C@@H]1CCC[C@@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000332424583 410146868 /nfs/dbraw/zinc/14/68/68/410146868.db2.gz BCPUPLDSXYVPAY-VXGBXAGGSA-N -1 1 309.366 1.686 20 0 DDADMM C/C=C/C[C@H](CO)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000351594587 410091388 /nfs/dbraw/zinc/09/13/88/410091388.db2.gz HKXPPXGQJJDARC-UFUPEUMYSA-N -1 1 324.196 1.648 20 0 DDADMM CCCC(=O)NCC(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000354803684 410098432 /nfs/dbraw/zinc/09/84/32/410098432.db2.gz WCWXFHLRKJVRIQ-UHFFFAOYSA-N -1 1 306.391 1.723 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1F ZINC000332390007 410119486 /nfs/dbraw/zinc/11/94/86/410119486.db2.gz ZDWCALSWYVLZGE-VIFPVBQESA-N -1 1 321.308 1.943 20 0 DDADMM Cc1ccc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1O ZINC000332396210 410124681 /nfs/dbraw/zinc/12/46/81/410124681.db2.gz FDNMCNOJWBYYPW-GFCCVEGCSA-N -1 1 317.345 1.738 20 0 DDADMM Cn1cncc1[C@H]1C[C@H](NC(=O)c2ccc([O-])cc2F)CCO1 ZINC000332422398 410146152 /nfs/dbraw/zinc/14/61/52/410146152.db2.gz OGEAAZHBLABCOQ-MEBBXXQBSA-N -1 1 319.336 1.915 20 0 DDADMM O=C(Cc1ccccc1O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332435255 410156327 /nfs/dbraw/zinc/15/63/27/410156327.db2.gz QSRIFCFOISZETN-LLVKDONJSA-N -1 1 303.318 1.430 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H](n2cccn2)C1 ZINC000298323666 410180654 /nfs/dbraw/zinc/18/06/54/410180654.db2.gz QZPJOGIKERCAOV-LLVKDONJSA-N -1 1 314.374 1.302 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(C)c(O)c2)co1 ZINC000339445731 410194545 /nfs/dbraw/zinc/19/45/45/410194545.db2.gz ZGDQEWPSOWNLJF-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccccc1N1CCCCC1 ZINC000355042301 410261632 /nfs/dbraw/zinc/26/16/32/410261632.db2.gz PBTLSNXQNMVWJZ-UHFFFAOYSA-N -1 1 312.377 1.609 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@H]2CC[C@H](C)C2)o1 ZINC000346972778 410285126 /nfs/dbraw/zinc/28/51/26/410285126.db2.gz IAWJDLNAVFKZDJ-UWVGGRQHSA-N -1 1 300.380 1.448 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)CC[S@](C)=O)cnc2n1 ZINC000358161418 410387291 /nfs/dbraw/zinc/38/72/91/410387291.db2.gz CQOAFCNOJXWNKZ-STFLBKPXSA-N -1 1 321.402 1.531 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)CC[S@](C)=O)c2=O ZINC000358161418 410387300 /nfs/dbraw/zinc/38/73/00/410387300.db2.gz CQOAFCNOJXWNKZ-STFLBKPXSA-N -1 1 321.402 1.531 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C)O[C@@]3(CCO[C@@H]3C)C2)c([O-])c1 ZINC000329848612 410461015 /nfs/dbraw/zinc/46/10/15/410461015.db2.gz NJRNGEHGCAMMFC-OZVIIMIRSA-N -1 1 306.362 1.504 20 0 DDADMM COc1cc(C)c(/C=C/c2cc(=O)n3[n-]cnc3n2)cc1OC ZINC000352029542 410428822 /nfs/dbraw/zinc/42/88/22/410428822.db2.gz ZZWFYWVBESQQQS-SNAWJCMRSA-N -1 1 312.329 1.914 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H]2C(F)F)co1 ZINC000343423774 410441063 /nfs/dbraw/zinc/44/10/63/410441063.db2.gz YAKOJEVFBWSGMA-QMMMGPOBSA-N -1 1 308.306 1.057 20 0 DDADMM CCO[C@@H](C)c1nc(CN(C)Cc2nc(=O)n(C)[n-]2)cs1 ZINC000347471726 410568851 /nfs/dbraw/zinc/56/88/51/410568851.db2.gz YGKAPMDNVLGOPW-VIFPVBQESA-N -1 1 311.411 1.294 20 0 DDADMM COC(=O)CCc1csc(NC(=O)c2cncc([O-])c2)n1 ZINC000339960314 410578154 /nfs/dbraw/zinc/57/81/54/410578154.db2.gz PIYZEJHYRANIAH-UHFFFAOYSA-N -1 1 307.331 1.602 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2nnc(C3CC3)o2)sc1C ZINC000330101385 410543670 /nfs/dbraw/zinc/54/36/70/410543670.db2.gz HZUQMTCUBFTEAE-UHFFFAOYSA-N -1 1 314.392 1.499 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CSC[C@H]2C)o1 ZINC000352320110 410620301 /nfs/dbraw/zinc/62/03/01/410620301.db2.gz NVVXMIZLVQXWJO-RKDXNWHRSA-N -1 1 319.404 1.486 20 0 DDADMM C[C@@H]1CC(NC(=O)CNC(=O)c2ncccc2[O-])C[C@@H](C)C1 ZINC000343722689 410646972 /nfs/dbraw/zinc/64/69/72/410646972.db2.gz MJALHADIGHFZOE-QWRGUYRKSA-N -1 1 305.378 1.458 20 0 DDADMM COc1ccc(C)nc1NC(=O)c1cnc2n(C)[n-]cc-2c1=O ZINC000355851731 410700395 /nfs/dbraw/zinc/70/03/95/410700395.db2.gz BGOWNBHJIRIOOS-UHFFFAOYSA-N -1 1 313.317 1.638 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@](C)(CO)c2ccccc2)[n-]n1 ZINC000343806919 410715939 /nfs/dbraw/zinc/71/59/39/410715939.db2.gz NIGLTJSYQZPPTB-QGZVFWFLSA-N -1 1 314.389 1.010 20 0 DDADMM Cc1ccn2cc(C(=O)Nc3nc(SCCO)n[nH]3)nc2c1 ZINC000343842359 410741350 /nfs/dbraw/zinc/74/13/50/410741350.db2.gz BBFNETHRDLKOBE-UHFFFAOYSA-N -1 1 318.362 1.098 20 0 DDADMM C[C@H](c1nc(-c2nc[nH]n2)no1)S(=O)(=O)c1ccc([O-])cc1 ZINC000356089727 410825186 /nfs/dbraw/zinc/82/51/86/410825186.db2.gz KCVLQFCBXLMRTQ-SSDOTTSWSA-N -1 1 321.318 1.095 20 0 DDADMM CCn1cc(CC(=O)NC(C)(C)c2nn[n-]n2)c2ccccc21 ZINC000359796776 410885622 /nfs/dbraw/zinc/88/56/22/410885622.db2.gz YBQDOJLNKMNLLT-UHFFFAOYSA-N -1 1 312.377 1.768 20 0 DDADMM COc1cc(C(=O)N2CCC[C@@](F)(CO)C2)cc(Cl)c1[O-] ZINC000330683029 410877180 /nfs/dbraw/zinc/87/71/80/410877180.db2.gz QUYBKIYSDSANOU-AWEZNQCLSA-N -1 1 317.744 1.991 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)c2cncs2)o1 ZINC000348249497 410877371 /nfs/dbraw/zinc/87/73/71/410877371.db2.gz RQDMLGKNOLAYHC-SSDOTTSWSA-N -1 1 315.376 1.135 20 0 DDADMM Cc1ccc(OCCCC(=O)NC2(c3nn[n-]n3)CC2)cc1C ZINC000348279765 410893373 /nfs/dbraw/zinc/89/33/73/410893373.db2.gz XVSBUOPVYKXVEP-UHFFFAOYSA-N -1 1 315.377 1.781 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCOCC2CC2)o1 ZINC000341143718 410894217 /nfs/dbraw/zinc/89/42/17/410894217.db2.gz MHBRRFAMXDSHKZ-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM Cc1ccc(-c2nc(C(=O)NC3(c4nn[n-]n4)CC3)cs2)o1 ZINC000348285260 410896860 /nfs/dbraw/zinc/89/68/60/410896860.db2.gz ZFOXECFFDVRXFB-UHFFFAOYSA-N -1 1 316.346 1.644 20 0 DDADMM O=C(N=c1nc(-c2c(F)cccc2F)[nH][n-]1)c1ccc(F)cn1 ZINC000337621580 410902255 /nfs/dbraw/zinc/90/22/55/410902255.db2.gz GPGYEOVFLHMFSA-UHFFFAOYSA-N -1 1 319.246 1.958 20 0 DDADMM CC(C)COc1ccc(CC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348297402 410906081 /nfs/dbraw/zinc/90/60/81/410906081.db2.gz KVHXJJFVKNLHNV-UHFFFAOYSA-N -1 1 315.377 1.583 20 0 DDADMM CS(=O)(=O)c1ccc(F)c(CNC(=O)c2cncc([O-])c2)c1 ZINC000337655384 410924061 /nfs/dbraw/zinc/92/40/61/410924061.db2.gz OMKCDKGFOJKPQF-UHFFFAOYSA-N -1 1 324.333 1.260 20 0 DDADMM COC(=O)C(C)(C)CCNC(=O)c1cn[nH]c1-c1ccccn1 ZINC000356506373 411062288 /nfs/dbraw/zinc/06/22/88/411062288.db2.gz YRNVRDUHXIPUFB-UHFFFAOYSA-N -1 1 316.361 1.791 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)Cc1ccccc1F)C(=O)OC ZINC000341867939 411078223 /nfs/dbraw/zinc/07/82/23/411078223.db2.gz VBKVAZKDTSHOPN-GWCFXTLKSA-N -1 1 317.382 1.833 20 0 DDADMM Cc1cnc(C(=O)N2CCN(CC(F)(F)F)[C@@H](C)C2)c([O-])c1 ZINC000331219264 411025806 /nfs/dbraw/zinc/02/58/06/411025806.db2.gz XORNUIQYQJMYSK-JTQLQIEISA-N -1 1 317.311 1.804 20 0 DDADMM C[N@@H+]1CC[C@H](NS(=O)(=O)c2cccnc2C(F)(F)F)C1 ZINC000344309769 411086140 /nfs/dbraw/zinc/08/61/40/411086140.db2.gz SDDSRCPSYIUAAU-QMMMGPOBSA-N -1 1 309.313 1.083 20 0 DDADMM CC(C)CC[C@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353849442 411135767 /nfs/dbraw/zinc/13/57/67/411135767.db2.gz JMWKRBGFKSYGLD-GFCCVEGCSA-N -1 1 317.393 1.849 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000635217418 422882163 /nfs/dbraw/zinc/88/21/63/422882163.db2.gz QDYGDCBAXYKFRF-CYBMUJFWSA-N -1 1 319.340 1.262 20 0 DDADMM COc1cccc(CC[N-]S(=O)(=O)c2cc(C)ns2)c1 ZINC000631759195 422909685 /nfs/dbraw/zinc/90/96/85/422909685.db2.gz TWVZAKVEXTVUKI-UHFFFAOYSA-N -1 1 312.416 1.981 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC000631778315 422918618 /nfs/dbraw/zinc/91/86/18/422918618.db2.gz KUTJWAUYFKOGOM-STQMWFEESA-N -1 1 322.792 1.858 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCN2Cc3ccccc3C2)sn1 ZINC000631795374 422925061 /nfs/dbraw/zinc/92/50/61/422925061.db2.gz FGCUIEYSSUZRPK-UHFFFAOYSA-N -1 1 323.443 1.746 20 0 DDADMM COc1ccc2nc(CN3CCCC[C@@H]3CC(=O)[O-])[nH]c2n1 ZINC000652463394 423027181 /nfs/dbraw/zinc/02/71/81/423027181.db2.gz CZSSEUOFOZSGMS-SNVBAGLBSA-N -1 1 304.350 1.796 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC[C@H](O)C(C)C ZINC000134248553 196374204 /nfs/dbraw/zinc/37/42/04/196374204.db2.gz VVFPWRXBUVWXKY-ZDUSSCGKSA-N -1 1 320.393 1.117 20 0 DDADMM Cc1c(NC(=O)c2ccccc2[O-])nnn1Cc1ccncc1 ZINC000647827071 423062975 /nfs/dbraw/zinc/06/29/75/423062975.db2.gz QKBBUCXZZJVBKC-UHFFFAOYSA-N -1 1 309.329 1.988 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnn(-c2ccc(C)cc2)c1C ZINC000650222457 423089384 /nfs/dbraw/zinc/08/93/84/423089384.db2.gz KLRNQZOEUQACOH-UHFFFAOYSA-N -1 1 309.391 1.877 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@H]2CCC[C@@H](C(=O)OC)C2)n[nH]1 ZINC000541904706 416618610 /nfs/dbraw/zinc/61/86/10/416618610.db2.gz WEQBZODNUFHHJV-VHSXEESVSA-N -1 1 323.349 1.504 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]Cc1noc(C2CC2)n1)C(C)C ZINC000645632872 423117289 /nfs/dbraw/zinc/11/72/89/423117289.db2.gz SKRGOIYLMDDFJB-SNVBAGLBSA-N -1 1 303.384 1.037 20 0 DDADMM FC(F)CN1CC[C@H](N=c2nc(C(F)(F)F)[n-]s2)C1 ZINC000419024619 226007552 /nfs/dbraw/zinc/00/75/52/226007552.db2.gz RBITXDDKWDUKMN-YFKPBYRVSA-N -1 1 302.272 1.730 20 0 DDADMM C[C@@H](c1nnnn1C)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000373734321 418453156 /nfs/dbraw/zinc/45/31/56/418453156.db2.gz RKSCFUPGFQFSGT-NSHDSACASA-N -1 1 315.377 1.572 20 0 DDADMM COCc1ncsc1C(=O)[N-]c1n[nH]c(-c2ccncc2)n1 ZINC000366599128 418494716 /nfs/dbraw/zinc/49/47/16/418494716.db2.gz UZVCUHRJVUIQEC-UHFFFAOYSA-N -1 1 316.346 1.722 20 0 DDADMM CCNC(=O)c1ccc(=NCC2(CCOCC)CCC2)[n-]n1 ZINC000360882335 418539593 /nfs/dbraw/zinc/53/95/93/418539593.db2.gz DEODLWZSLOEMLN-UHFFFAOYSA-N -1 1 306.410 1.657 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H]2CC(=O)N3CCCC[C@@H]23)sc1C ZINC000374582107 418543597 /nfs/dbraw/zinc/54/35/97/418543597.db2.gz COJNZQPIAKARBR-UWVGGRQHSA-N -1 1 309.391 1.225 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC3(CCC3)[C@H]2C2CC2)o1 ZINC000291025583 222081768 /nfs/dbraw/zinc/08/17/68/222081768.db2.gz DUCFNMHZBKHHKT-CYBMUJFWSA-N -1 1 324.402 1.592 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)NC(C2CC2)C2CC2)n1 ZINC000195059842 222190550 /nfs/dbraw/zinc/19/05/50/222190550.db2.gz WQWKMOHDJYKTKZ-UHFFFAOYSA-N -1 1 307.419 1.729 20 0 DDADMM CSC1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCC1 ZINC000193691298 222161320 /nfs/dbraw/zinc/16/13/20/222161320.db2.gz BNTJFOWKVNDSQD-UHFFFAOYSA-N -1 1 303.387 1.939 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(Cc2ccc(Br)o2)C1 ZINC000310932174 418591979 /nfs/dbraw/zinc/59/19/79/418591979.db2.gz JBAFMFPJOCWINT-GFCCVEGCSA-N -1 1 318.167 1.965 20 0 DDADMM CC(C)c1nn(C)cc1NC(=O)c1cnc2n(C)[n-]cc-2c1=O ZINC000361354917 418633385 /nfs/dbraw/zinc/63/33/85/418633385.db2.gz FPFNOROAOHEJET-UHFFFAOYSA-N -1 1 314.349 1.783 20 0 DDADMM Cc1cccc(NS(=O)(=O)c2cnc3n2CCC3)c1C(=O)[O-] ZINC000361985278 418734749 /nfs/dbraw/zinc/73/47/49/418734749.db2.gz XQTRFGXGFKQLLQ-UHFFFAOYSA-N -1 1 321.358 1.637 20 0 DDADMM Cc1ccc(C(C)(C)CC(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000410857763 418852868 /nfs/dbraw/zinc/85/28/68/418852868.db2.gz JBJMNEOPXHFLPW-UHFFFAOYSA-N -1 1 301.350 1.518 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H](C)CC(F)(F)F ZINC000410660841 418836533 /nfs/dbraw/zinc/83/65/33/418836533.db2.gz GYWFKKMMJHMNQQ-ZETCQYMHSA-N -1 1 307.272 1.546 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)C[S@](C)=O)c(F)c1 ZINC000425166210 228377457 /nfs/dbraw/zinc/37/74/57/228377457.db2.gz DQGDNMKBRPWZDR-ASCAZGACSA-N -1 1 311.375 1.319 20 0 DDADMM O=C(NCC[C@]1(O)CCOC1)c1cc2ccccc2cc1[O-] ZINC000652809524 423149673 /nfs/dbraw/zinc/14/96/73/423149673.db2.gz IAVJUFDZXZPCSQ-KRWDZBQOSA-N -1 1 301.342 1.817 20 0 DDADMM O=C(Nc1nn[n-]n1)c1c(F)ccc(Br)c1F ZINC000426876211 419559994 /nfs/dbraw/zinc/55/99/94/419559994.db2.gz RFSFAANZVKTCGR-UHFFFAOYSA-N -1 1 304.054 1.493 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2nn(C)cc2C)c1Br ZINC000412155517 419698950 /nfs/dbraw/zinc/69/89/50/419698950.db2.gz INNYPBHOWMAYQD-UHFFFAOYSA-N -1 1 312.171 1.451 20 0 DDADMM CCOC(=O)C[C@H]1C[C@H](OC)CN1C(=O)c1ncc(C)cc1[O-] ZINC000427814204 419741179 /nfs/dbraw/zinc/74/11/79/419741179.db2.gz WTRNPDAKQUATCT-NEPJUHHUSA-N -1 1 322.361 1.278 20 0 DDADMM Cc1cnc(C(=O)NCCCCCS(C)(=O)=O)c([O-])c1 ZINC000427813127 419741917 /nfs/dbraw/zinc/74/19/17/419741917.db2.gz UHIPIHHPOQBDGW-UHFFFAOYSA-N -1 1 300.380 1.040 20 0 DDADMM Cc1cc(=NC(=O)c2c[n-]c3c(cnn3C)c2=O)[nH]n1C(C)C ZINC000422079083 419833565 /nfs/dbraw/zinc/83/35/65/419833565.db2.gz NGKQWMFIHQYLHW-UHFFFAOYSA-N -1 1 314.349 1.434 20 0 DDADMM Cc1cc(=NC(=O)c2cnc3c(cnn3C)c2[O-])[nH]n1C(C)C ZINC000422079083 419833570 /nfs/dbraw/zinc/83/35/70/419833570.db2.gz NGKQWMFIHQYLHW-UHFFFAOYSA-N -1 1 314.349 1.434 20 0 DDADMM O=c1ccccn1CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000354508939 306777199 /nfs/dbraw/zinc/77/71/99/306777199.db2.gz JDFQCXSKPUAVTM-UHFFFAOYSA-N -1 1 314.313 1.105 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@@H](c2ccccc2)C1 ZINC000431613051 229045820 /nfs/dbraw/zinc/04/58/20/229045820.db2.gz CIPGNSUQSCYRER-CYBMUJFWSA-N -1 1 321.402 1.964 20 0 DDADMM C[C@@H](CNc1nc2[nH][n-]cc-2c(=O)n1)Oc1ccc(Cl)cc1 ZINC000428688304 419913568 /nfs/dbraw/zinc/91/35/68/419913568.db2.gz HEGRBUNRIRSIGJ-QMMMGPOBSA-N -1 1 319.752 1.965 20 0 DDADMM O=C([O-])COc1ccc(S(=O)(=O)Nc2ccccc2O)cc1 ZINC000433805176 229236160 /nfs/dbraw/zinc/23/61/60/229236160.db2.gz UUKLYNIVBVTWOZ-UHFFFAOYSA-N -1 1 323.326 1.656 20 0 DDADMM O=C(N=c1[n-]nc(Cc2ccccc2F)s1)N1CCNCC1 ZINC000415162072 419968772 /nfs/dbraw/zinc/96/87/72/419968772.db2.gz VVFAERCVOODXEF-UHFFFAOYSA-N -1 1 321.381 1.127 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2[n-]nc(/C=C/c3ccco3)s2)CCN1 ZINC000415382290 420043604 /nfs/dbraw/zinc/04/36/04/420043604.db2.gz RXVLGLYPFUFMNI-ORAHPGNNSA-N -1 1 319.390 1.549 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1c(Cl)cnn1C)c1ccco1 ZINC000429821123 420050627 /nfs/dbraw/zinc/05/06/27/420050627.db2.gz NDKZKBVTAWURJS-VIFPVBQESA-N -1 1 319.770 1.333 20 0 DDADMM Cc1ccccc1C[C@H](C)NC(=O)CN1CCC(C(=O)[O-])CC1 ZINC000430650272 420171461 /nfs/dbraw/zinc/17/14/61/420171461.db2.gz JUCNVXFADLQQHQ-AWEZNQCLSA-N -1 1 318.417 1.839 20 0 DDADMM C[C@@H]1CCCN(Cc2nc3ccccc3c(=O)n2C)[C@H]1C(=O)[O-] ZINC000424264184 420314343 /nfs/dbraw/zinc/31/43/43/420314343.db2.gz GRYNQKQDWBMGCJ-IAQYHMDHSA-N -1 1 315.373 1.619 20 0 DDADMM CNC(=O)[C@H](CCSC)NC(=O)c1ccc([O-])cc1F ZINC000436750950 420363404 /nfs/dbraw/zinc/36/34/04/420363404.db2.gz PTLMSCDRHJVZOE-NSHDSACASA-N -1 1 300.355 1.129 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCSC[C@H]1CCO ZINC000436594540 420343950 /nfs/dbraw/zinc/34/39/50/420343950.db2.gz JFIUSOLBPFINFK-SNVBAGLBSA-N -1 1 301.795 1.986 20 0 DDADMM C[C@H](Cc1cnn(C)c1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425301535 420343956 /nfs/dbraw/zinc/34/39/56/420343956.db2.gz MJCVHHDXWJQFTG-PWSUYJOCSA-N -1 1 319.365 1.094 20 0 DDADMM COCCO[C@@H]1COCC[C@@H]1NC(=O)c1ccc([O-])cc1F ZINC000436678707 420353012 /nfs/dbraw/zinc/35/30/12/420353012.db2.gz IPLLBBFAIWFKHI-UONOGXRCSA-N -1 1 313.325 1.082 20 0 DDADMM O=C(Nc1cccc(Cn2cncn2)c1)C(=O)c1ccc([O-])cc1 ZINC000436900723 420382226 /nfs/dbraw/zinc/38/22/26/420382226.db2.gz JOPOXPJBDRKEDT-UHFFFAOYSA-N -1 1 322.324 1.853 20 0 DDADMM CN(C(=O)c1ccc(C(F)(F)F)cc1[O-])[C@@H]1CCNC1=O ZINC000436765329 420364725 /nfs/dbraw/zinc/36/47/25/420364725.db2.gz AFZHYYZYBBUZOS-SECBINFHSA-N -1 1 302.252 1.372 20 0 DDADMM O=C(NCCc1cn2ccccc2n1)C(=O)c1ccc([O-])cc1 ZINC000436769692 420365486 /nfs/dbraw/zinc/36/54/86/420365486.db2.gz ZNMHLINNOQSIBS-UHFFFAOYSA-N -1 1 309.325 1.582 20 0 DDADMM CC[C@@](COC)([N-]S(=O)(=O)CCC1CCC1)C(=O)OC ZINC000416514270 420402348 /nfs/dbraw/zinc/40/23/48/420402348.db2.gz GAKWOUNITGKBEJ-ZDUSSCGKSA-N -1 1 307.412 1.064 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CCC[C@H](O)C1 ZINC000439260968 420501442 /nfs/dbraw/zinc/50/14/42/420501442.db2.gz RMKWRHAYXUTVFZ-RYUDHWBXSA-N -1 1 318.377 1.219 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)COC1 ZINC000645747635 423167712 /nfs/dbraw/zinc/16/77/12/423167712.db2.gz JKUHUAVOCZWJNW-UHFFFAOYSA-N -1 1 324.324 1.805 20 0 DDADMM CC1(/C=C/C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCOCC1 ZINC000493164371 420774829 /nfs/dbraw/zinc/77/48/29/420774829.db2.gz LKVCUIVMDXLPPV-ITKZLYELSA-N -1 1 305.382 1.279 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccnc(Oc2ccc(F)cc2F)c1 ZINC000447514222 420777803 /nfs/dbraw/zinc/77/78/03/420777803.db2.gz CDGIQNWYLWDNME-UHFFFAOYSA-N -1 1 318.243 1.918 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccnc(Oc2ccc(F)cc2F)c1 ZINC000447514222 420777805 /nfs/dbraw/zinc/77/78/05/420777805.db2.gz CDGIQNWYLWDNME-UHFFFAOYSA-N -1 1 318.243 1.918 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)c1csc(=NC2CC2)[n-]1)C(=O)OC ZINC000493309447 420815604 /nfs/dbraw/zinc/81/56/04/420815604.db2.gz GEDMLDXAJBTYIJ-GZMMTYOYSA-N -1 1 311.407 1.457 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)C2(OC)CCC2)c1Br ZINC000448317912 420849863 /nfs/dbraw/zinc/84/98/63/420849863.db2.gz KWECMMYCDOKCAN-UHFFFAOYSA-N -1 1 302.172 1.664 20 0 DDADMM CCO/C=C/C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000493577618 420885249 /nfs/dbraw/zinc/88/52/49/420885249.db2.gz DYCUFLFVMDPTMP-VMPITWQZSA-N -1 1 308.300 1.454 20 0 DDADMM CC(C)N1CCO[C@@H](C(=O)N[C@@H](CCC(C)(C)C)C(=O)[O-])C1 ZINC000455779222 421061093 /nfs/dbraw/zinc/06/10/93/421061093.db2.gz DYGVYRLWVUGFRO-QWHCGFSZSA-N -1 1 314.426 1.491 20 0 DDADMM C[C@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C(=O)Nc2nnn[n-]2)C1 ZINC000495574813 421026741 /nfs/dbraw/zinc/02/67/41/421026741.db2.gz NYKYQCTVYVXPPS-DTWKUNHWSA-N -1 1 310.358 1.174 20 0 DDADMM C[C@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C(=O)Nc2nn[n-]n2)C1 ZINC000495574813 421026744 /nfs/dbraw/zinc/02/67/44/421026744.db2.gz NYKYQCTVYVXPPS-DTWKUNHWSA-N -1 1 310.358 1.174 20 0 DDADMM COC[C@H](C)n1ccc([N-]S(=O)(=O)N2CCC[C@H]2C)n1 ZINC000489039776 421132695 /nfs/dbraw/zinc/13/26/95/421132695.db2.gz KNXUWCXCIIWSBS-MNOVXSKESA-N -1 1 302.400 1.232 20 0 DDADMM CC(C)(NC(=O)c1ccc2c(c1)OC(F)(F)O2)c1nn[n-]n1 ZINC000450005678 421126113 /nfs/dbraw/zinc/12/61/13/421126113.db2.gz PNJNWVOVIDZVSE-UHFFFAOYSA-N -1 1 311.248 1.186 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000495873213 421079272 /nfs/dbraw/zinc/07/92/72/421079272.db2.gz FXMZTTGYZLSQDI-UWVGGRQHSA-N -1 1 309.370 1.228 20 0 DDADMM CC(C)(CNC(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCC1 ZINC000544791356 421233062 /nfs/dbraw/zinc/23/30/62/421233062.db2.gz PEGZAWBTMPVBOL-UHFFFAOYSA-N -1 1 303.366 1.364 20 0 DDADMM CCc1cccc2c1N(C(=O)c1cc(=O)n3[n-]cnc3n1)CC2 ZINC000545382005 421256045 /nfs/dbraw/zinc/25/60/45/421256045.db2.gz KFSUUAKHEZKJBG-UHFFFAOYSA-N -1 1 309.329 1.183 20 0 DDADMM Cc1ccn2ncc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)c2c1 ZINC000560388081 421257574 /nfs/dbraw/zinc/25/75/74/421257574.db2.gz RROGBTBQIIGCBV-LLVKDONJSA-N -1 1 311.349 1.176 20 0 DDADMM COc1ccc(CC(=O)NC2(c3nn[n-]n3)CCCC2)cc1F ZINC000524577773 421263275 /nfs/dbraw/zinc/26/32/75/421263275.db2.gz WFAXWWQAGVENQH-UHFFFAOYSA-N -1 1 319.340 1.476 20 0 DDADMM C[C@@H](CN(C(=O)c1cc(-c2ccoc2)[nH]n1)C1CC1)C(=O)[O-] ZINC000561910425 421336636 /nfs/dbraw/zinc/33/66/36/421336636.db2.gz WQFPIOATLLYFEK-VIFPVBQESA-N -1 1 303.318 1.995 20 0 DDADMM C[C@H]1C[C@H](C)N1C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000526934196 421338606 /nfs/dbraw/zinc/33/86/06/421338606.db2.gz UAIVGBGHBHOJDM-UWVGGRQHSA-N -1 1 314.407 1.979 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC1CCC(C(C)C)CC1 ZINC000561994646 421339530 /nfs/dbraw/zinc/33/95/30/421339530.db2.gz NAOFJJMWVWLKON-UHFFFAOYSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1CCC(C(C)C)CC1 ZINC000561994646 421339531 /nfs/dbraw/zinc/33/95/31/421339531.db2.gz NAOFJJMWVWLKON-UHFFFAOYSA-N -1 1 301.412 1.889 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cnccc1C(F)(F)F)c1nc[nH]n1 ZINC000527192248 421363361 /nfs/dbraw/zinc/36/33/61/421363361.db2.gz FSYBFJDGVIPMRB-ZCFIWIBFSA-N -1 1 321.284 1.258 20 0 DDADMM O=C(Nc1ccn(-c2ccncc2)n1)c1cccc2n[nH]nc21 ZINC000527357837 421381129 /nfs/dbraw/zinc/38/11/29/421381129.db2.gz DWQWCGNWXHCDLC-UHFFFAOYSA-N -1 1 305.301 1.213 20 0 DDADMM O=S(=O)(CC1CC1)c1nnc(-c2ccc3c(c2)OCCO3)[n-]1 ZINC000562593564 421385318 /nfs/dbraw/zinc/38/53/18/421385318.db2.gz YKYJQOHMQUROLU-UHFFFAOYSA-N -1 1 321.358 1.427 20 0 DDADMM O=S(=O)(CC1CC1)c1n[n-]c(-c2ccc3c(c2)OCCO3)n1 ZINC000562593564 421385321 /nfs/dbraw/zinc/38/53/21/421385321.db2.gz YKYJQOHMQUROLU-UHFFFAOYSA-N -1 1 321.358 1.427 20 0 DDADMM O=C([O-])c1cccc2c1CCN(CCOC[C@@H]1CCCO1)C2 ZINC000563452067 421511092 /nfs/dbraw/zinc/51/10/92/421511092.db2.gz RBUNXUAYFUFGKF-AWEZNQCLSA-N -1 1 305.374 1.939 20 0 DDADMM COC(=O)c1nc[n-]c1NC(=O)c1cc2c(C)nn(C)c2s1 ZINC000549691415 421505062 /nfs/dbraw/zinc/50/50/62/421505062.db2.gz BZIFTROUEZEWAM-UHFFFAOYSA-N -1 1 319.346 1.705 20 0 DDADMM CC[C@@H](C)c1nc([C@H](C)NC(=O)N=c2ccc(OC)n[n-]2)n[nH]1 ZINC000551952142 421559271 /nfs/dbraw/zinc/55/92/71/421559271.db2.gz MGACOFGUNCSIDG-BDAKNGLRSA-N -1 1 319.369 1.421 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](C(=O)NCC3CC3)C2)c([O-])c1 ZINC000516694876 421563205 /nfs/dbraw/zinc/56/32/05/421563205.db2.gz XIVNLEWYJRZYBA-ZDUSSCGKSA-N -1 1 317.389 1.474 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(NC2CC2)nc1)c1nn[n-]n1 ZINC000530721787 421614142 /nfs/dbraw/zinc/61/41/42/421614142.db2.gz XJVQJVXHHULLSN-LLVKDONJSA-N -1 1 301.354 1.440 20 0 DDADMM CCN(CCNc1ncncc1C(=O)[O-])CCC(F)(F)F ZINC000566012409 421601192 /nfs/dbraw/zinc/60/11/92/421601192.db2.gz CHNZGPJBNFQQQZ-UHFFFAOYSA-N -1 1 306.288 1.861 20 0 DDADMM Cn1cnc2cc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)ccc21 ZINC000538228085 421737199 /nfs/dbraw/zinc/73/71/99/421737199.db2.gz OCQUZGJTDMMTRM-LLVKDONJSA-N -1 1 311.349 1.106 20 0 DDADMM CCc1nc(C)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000540423940 421763601 /nfs/dbraw/zinc/76/36/01/421763601.db2.gz ULUIKSHNVNYTON-VIFPVBQESA-N -1 1 306.395 1.547 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@H](OCC(F)(F)F)C1 ZINC000522067107 421815118 /nfs/dbraw/zinc/81/51/18/421815118.db2.gz JYYHJINVTITERX-NSHDSACASA-N -1 1 304.268 1.971 20 0 DDADMM O=C(NC[C@H]1CCCCS1)c1nc2ccccc2c(=O)[n-]1 ZINC000542189061 421818529 /nfs/dbraw/zinc/81/85/29/421818529.db2.gz BVEFWPLNZKKPNR-SNVBAGLBSA-N -1 1 303.387 1.939 20 0 DDADMM Cc1nn(C(C)(C)C)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000542383350 421821100 /nfs/dbraw/zinc/82/11/00/421821100.db2.gz JLQFESKBNPONSK-LLVKDONJSA-N -1 1 317.397 1.479 20 0 DDADMM CCN(CC(=O)Nc1cc(-c2n[nH]c(=O)[n-]2)ccc1C)C1CC1 ZINC000559245525 421831253 /nfs/dbraw/zinc/83/12/53/421831253.db2.gz JJRLWPLVJOPGSZ-UHFFFAOYSA-N -1 1 315.377 1.909 20 0 DDADMM CCC(=O)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000557374092 421768990 /nfs/dbraw/zinc/76/89/90/421768990.db2.gz VEDFIZPHVPAICO-ZDUSSCGKSA-N -1 1 313.361 1.812 20 0 DDADMM CS[C@@H](CNS(=O)(=O)c1c(C)o[n-]c1=N)C(C)(C)C ZINC000572409141 421785709 /nfs/dbraw/zinc/78/57/09/421785709.db2.gz AJZLZIFBRMFUOA-QMMMGPOBSA-N -1 1 307.441 1.452 20 0 DDADMM CS[C@@H](C[N-]S(=O)(=O)c1c(C)onc1N)C(C)(C)C ZINC000572409141 421785712 /nfs/dbraw/zinc/78/57/12/421785712.db2.gz AJZLZIFBRMFUOA-QMMMGPOBSA-N -1 1 307.441 1.452 20 0 DDADMM COCCOc1cccc(CNCc2cc(C(=O)[O-])nn2C)c1 ZINC000635305477 421895769 /nfs/dbraw/zinc/89/57/69/421895769.db2.gz PELYZQOSCQFXHM-UHFFFAOYSA-N -1 1 319.361 1.433 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)N=c2ncn(C(C)(C)C)[n-]2)C[C@@H]1C ZINC000544314058 421844032 /nfs/dbraw/zinc/84/40/32/421844032.db2.gz AHFHKDROBWBGKB-NWDGAFQWSA-N -1 1 308.430 1.401 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCc2nc(C)no2)sn1 ZINC000631891585 421916598 /nfs/dbraw/zinc/91/65/98/421916598.db2.gz FDEHLWOSEOYVJP-UHFFFAOYSA-N -1 1 302.381 1.054 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c(C)c1 ZINC000630223183 421973950 /nfs/dbraw/zinc/97/39/50/421973950.db2.gz IJUHSCZNBHLSCJ-AWEZNQCLSA-N -1 1 304.390 1.924 20 0 DDADMM O=S(=O)([N-]CCOCC1CC1)c1c[nH]nc1C(F)(F)F ZINC000631925432 421944660 /nfs/dbraw/zinc/94/46/60/421944660.db2.gz VOXKUHJBZNUEKB-UHFFFAOYSA-N -1 1 313.301 1.133 20 0 DDADMM C[C@@H]1NCCN(S(=O)(=O)c2cccc(Cl)c2[O-])[C@H]1C ZINC000631935128 421951123 /nfs/dbraw/zinc/95/11/23/421951123.db2.gz DNADRZCZWNGHLF-IUCAKERBSA-N -1 1 304.799 1.417 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCc3cc(N)ccc32)sn1 ZINC000631951498 421968662 /nfs/dbraw/zinc/96/86/62/421968662.db2.gz IYRPNQGZLVWDQT-GFCCVEGCSA-N -1 1 309.416 2.000 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3c2CCC3)CC1 ZINC000630220976 421970582 /nfs/dbraw/zinc/97/05/82/421970582.db2.gz SQWGYDFQNRTCFT-ZDUSSCGKSA-N -1 1 316.401 1.796 20 0 DDADMM Cc1ccccc1CCC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630221887 421972594 /nfs/dbraw/zinc/97/25/94/421972594.db2.gz DOCPKLNCULILAG-HNNXBMFYSA-N -1 1 318.417 1.935 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCC[C@@H](O)C2)c1 ZINC000632024748 422030384 /nfs/dbraw/zinc/03/03/84/422030384.db2.gz SXOSNCNOUCGWBM-WDEREUQCSA-N -1 1 315.391 1.230 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1ccn(C)n1)c1ccccc1 ZINC000581723420 422034695 /nfs/dbraw/zinc/03/46/95/422034695.db2.gz AIWHZMMODYSOHF-CYBMUJFWSA-N -1 1 323.374 1.003 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)[C@@H]2CCOC2)c1 ZINC000632030869 422035706 /nfs/dbraw/zinc/03/57/06/422035706.db2.gz ANZZMFKKKZBPIV-NXEZZACHSA-N -1 1 301.364 1.104 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCC1(CO)CCOCC1 ZINC000632038795 422042924 /nfs/dbraw/zinc/04/29/24/422042924.db2.gz KDOBTNMXAILHFH-UHFFFAOYSA-N -1 1 313.781 1.493 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc2c1CC(=O)CC2)c1nn[n-]n1 ZINC000581464126 421976358 /nfs/dbraw/zinc/97/63/58/421976358.db2.gz APHJZVQQBBLZBI-SNVBAGLBSA-N -1 1 313.361 1.133 20 0 DDADMM CO[C@@]1(CO)CCCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000633618371 422005889 /nfs/dbraw/zinc/00/58/89/422005889.db2.gz SOOJXEORBOVUDM-HNNXBMFYSA-N -1 1 313.781 1.588 20 0 DDADMM CC(C)(C)c1nnsc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000581643670 422017018 /nfs/dbraw/zinc/01/70/18/422017018.db2.gz KCENLBQBEHQOSP-MRVPVSSYSA-N -1 1 321.410 1.369 20 0 DDADMM CCOC[C@H]1CCN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000632065154 422064400 /nfs/dbraw/zinc/06/44/00/422064400.db2.gz VDZGDXQVXHAQCY-NSHDSACASA-N -1 1 315.391 1.448 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCOC(C)(C)[C@@H]2C)c1 ZINC000632106340 422092536 /nfs/dbraw/zinc/09/25/36/422092536.db2.gz GIHDDBGFMJRSKX-JTQLQIEISA-N -1 1 315.391 1.589 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(c2ccccc2)C1 ZINC000633810568 422094229 /nfs/dbraw/zinc/09/42/29/422094229.db2.gz GQAMHUATFIEMNO-UHFFFAOYSA-N -1 1 301.302 1.136 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCO[C@H](c2ccccc2)C1 ZINC000635507386 422054262 /nfs/dbraw/zinc/05/42/62/422054262.db2.gz KSIQIIUHQXPJOR-AWEZNQCLSA-N -1 1 315.377 1.513 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN(c2nccnn2)CC1 ZINC000628377675 422158607 /nfs/dbraw/zinc/15/86/07/422158607.db2.gz DIKIJNROTNFVHN-UHFFFAOYSA-N -1 1 319.752 1.193 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(F)cc1OC(F)F ZINC000635616041 422165359 /nfs/dbraw/zinc/16/53/59/422165359.db2.gz ZRNWTNHXTKAYPR-UHFFFAOYSA-N -1 1 315.255 1.902 20 0 DDADMM CN1CCN(C(=O)CCCc2nn[n-]n2)c2cc(F)ccc21 ZINC000635618184 422169856 /nfs/dbraw/zinc/16/98/56/422169856.db2.gz QLHHCNXQGHLNJK-UHFFFAOYSA-N -1 1 304.329 1.145 20 0 DDADMM CCC[C@@H]1C(=O)NCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632220211 422173333 /nfs/dbraw/zinc/17/33/33/422173333.db2.gz GDVJDCICUGFMHX-GFCCVEGCSA-N -1 1 310.781 1.715 20 0 DDADMM C/C=C/C[C@@H](CO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632227551 422178923 /nfs/dbraw/zinc/17/89/23/422178923.db2.gz ATPIEVBKUBVQFY-AIYRYJHASA-N -1 1 313.301 1.034 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)[C@@H](CO)CC(C)C)c1 ZINC000632231610 422182507 /nfs/dbraw/zinc/18/25/07/422182507.db2.gz WMAFMFJPGXWGDT-LLVKDONJSA-N -1 1 317.407 1.428 20 0 DDADMM CN(CCC(=O)[O-])C(=O)[C@@H](N)c1ccc(C(F)(F)F)cc1 ZINC000630571086 422186489 /nfs/dbraw/zinc/18/64/89/422186489.db2.gz JEHZQWHNQHOMMX-NSHDSACASA-N -1 1 304.268 1.638 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCSC1)c1c[nH]nc1C(F)(F)F ZINC000632288183 422224164 /nfs/dbraw/zinc/22/41/64/422224164.db2.gz GQNPFBAGKWDHFZ-ZCFIWIBFSA-N -1 1 315.342 1.460 20 0 DDADMM C[C@H]1OCC[C@]1(O)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632288682 422224895 /nfs/dbraw/zinc/22/48/95/422224895.db2.gz LCEFYHGFEXKRHJ-HQJQHLMTSA-N -1 1 311.306 1.035 20 0 DDADMM CCO[C@H]1C[C@H](N(C)C(=O)CCCc2nn[n-]n2)C12CCC2 ZINC000635701217 422255473 /nfs/dbraw/zinc/25/54/73/422255473.db2.gz YWEDNQIPYLWKCC-RYUDHWBXSA-N -1 1 307.398 1.329 20 0 DDADMM COc1ccc(Cl)cc1CN(C)C(=O)CCc1nn[n-]n1 ZINC000630580540 422196528 /nfs/dbraw/zinc/19/65/28/422196528.db2.gz GTWOYXVFHUQJMO-UHFFFAOYSA-N -1 1 309.757 1.453 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]2CC[C@@H](C1)S2 ZINC000628650882 422275200 /nfs/dbraw/zinc/27/52/00/422275200.db2.gz DWWYQXWUXWUMKS-SFYZADRCSA-N -1 1 311.363 1.007 20 0 DDADMM CC(C)Oc1ccc([C@H](C)NC(=O)CCc2nn[n-]n2)cc1 ZINC000630696943 422275866 /nfs/dbraw/zinc/27/58/66/422275866.db2.gz LJHXBAGTKUYRLY-NSHDSACASA-N -1 1 303.366 1.797 20 0 DDADMM COC(C)(C)c1nc(=NC(=O)c2cnc3ccc[nH]c2-3)s[n-]1 ZINC000634148589 422286484 /nfs/dbraw/zinc/28/64/84/422286484.db2.gz CXYKMGMWDVWIND-UHFFFAOYSA-N -1 1 317.374 1.970 20 0 DDADMM COC(C)(C)c1nc(=NC(=O)[C@@H]2CCc3[nH]cnc3C2)s[n-]1 ZINC000634148887 422288144 /nfs/dbraw/zinc/28/81/44/422288144.db2.gz RITIPMXRQTVTPK-MRVPVSSYSA-N -1 1 321.406 1.308 20 0 DDADMM COc1nc(NC[C@H](c2ccco2)N(C)C)ccc1C(=O)[O-] ZINC000584234580 422289581 /nfs/dbraw/zinc/28/95/81/422289581.db2.gz JWOQYCMAMSOZON-LLVKDONJSA-N -1 1 305.334 2.096 20 0 DDADMM COC(C)(C)c1nc(=NC(=O)C(C)(C)c2cnc[nH]2)s[n-]1 ZINC000634149990 422290643 /nfs/dbraw/zinc/29/06/43/422290643.db2.gz SKBNXIUFHQRSKQ-UHFFFAOYSA-N -1 1 309.395 1.481 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCC(C)(C)CO)c1 ZINC000632390047 422298094 /nfs/dbraw/zinc/29/80/94/422298094.db2.gz OOADWPUQVPJARP-UHFFFAOYSA-N -1 1 303.380 1.088 20 0 DDADMM CO[C@H](c1ccccc1F)[C@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635771078 422328225 /nfs/dbraw/zinc/32/82/25/422328225.db2.gz SFBAQTFNTXUQBP-BONVTDFDSA-N -1 1 321.356 1.554 20 0 DDADMM CCC(CC)[C@@H]1C[C@H](NC(=O)CCCc2nn[n-]n2)CCO1 ZINC000635775905 422333649 /nfs/dbraw/zinc/33/36/49/422333649.db2.gz GLZPQTDSZIJOOB-OLZOCXBDSA-N -1 1 309.414 1.622 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C)c2c(C)n[nH]c2C)sn1 ZINC000632447048 422351027 /nfs/dbraw/zinc/35/10/27/422351027.db2.gz HPZVGOPGRBAGSY-SECBINFHSA-N -1 1 300.409 1.831 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(CCOc3cccc(F)c3)C2)n[nH]1 ZINC000576019846 422359177 /nfs/dbraw/zinc/35/91/77/422359177.db2.gz OOFHDGSWJZRJHG-NSHDSACASA-N -1 1 306.341 1.908 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCO[C@@H](c2ccccc2)C1 ZINC000635802394 422359423 /nfs/dbraw/zinc/35/94/23/422359423.db2.gz OZVXLPUTJKDSQF-UONOGXRCSA-N -1 1 315.377 1.559 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)C2(OC)CCC2)c1 ZINC000632458294 422361413 /nfs/dbraw/zinc/36/14/13/422361413.db2.gz BXVUGNOIJFDWNL-JTQLQIEISA-N -1 1 315.391 1.637 20 0 DDADMM C[C@H]1[C@H](C(F)(F)F)CCCN1C(=O)CCCc1nn[n-]n1 ZINC000635817772 422372355 /nfs/dbraw/zinc/37/23/55/422372355.db2.gz PGCIHUCANICAEX-DTWKUNHWSA-N -1 1 305.304 1.712 20 0 DDADMM COC(=O)[C@]1([N-]S(=O)(=O)[C@H]2C[C@@H]3CC[C@H]2C3)CCSC1 ZINC000575776746 422325442 /nfs/dbraw/zinc/32/54/42/422325442.db2.gz VCMMYNQNWWBKQL-BLFANLJRSA-N -1 1 319.448 1.143 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCO[C@H](c2ccccc2)C1 ZINC000632485097 422382907 /nfs/dbraw/zinc/38/29/07/422382907.db2.gz VJKBMRJWXOIFQN-STQMWFEESA-N -1 1 301.350 1.169 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC000632485094 422383518 /nfs/dbraw/zinc/38/35/18/422383518.db2.gz VJKBMRJWXOIFQN-CHWSQXEVSA-N -1 1 301.350 1.169 20 0 DDADMM COc1ccc2c(c1NC(=O)CCCc1nn[n-]n1)CCC2 ZINC000635847053 422404146 /nfs/dbraw/zinc/40/41/46/422404146.db2.gz PLRRIGYTLXYBLM-UHFFFAOYSA-N -1 1 301.350 1.658 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncc3ccccc3c2[O-])CCS1(=O)=O ZINC000628938292 422409786 /nfs/dbraw/zinc/40/97/86/422409786.db2.gz WSKQUIWLDNFYPC-BXKDBHETSA-N -1 1 320.370 1.246 20 0 DDADMM COC[C@H](Cc1ccccc1)N(C)C(=O)CCCc1nn[n-]n1 ZINC000635857400 422413410 /nfs/dbraw/zinc/41/34/10/422413410.db2.gz XUVBKSOWGXVODI-AWEZNQCLSA-N -1 1 317.393 1.239 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H](C)c2ccccn2)c1 ZINC000632544511 422425809 /nfs/dbraw/zinc/42/58/09/422425809.db2.gz IGWHKPDYRUYBBI-LLVKDONJSA-N -1 1 322.386 1.878 20 0 DDADMM C[C@@H](NC(=O)CCCc1nn[n-]n1)[C@@H]1OCCc2sccc21 ZINC000635957145 422509928 /nfs/dbraw/zinc/50/99/28/422509928.db2.gz GABUVBAZAGSUQS-OTYXRUKQSA-N -1 1 321.406 1.403 20 0 DDADMM O=C([O-])Cc1ccc(-n2[nH]c(C[C@@H]3CCCO3)cc2=O)cc1 ZINC000634633040 422515951 /nfs/dbraw/zinc/51/59/51/422515951.db2.gz IEAPCFXXMZTWKG-AWEZNQCLSA-N -1 1 302.330 1.976 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H](c1nccs1)C(F)(F)F ZINC000635965403 422518457 /nfs/dbraw/zinc/51/84/57/422518457.db2.gz LVZQSGKMSZCQBO-MRVPVSSYSA-N -1 1 320.300 1.399 20 0 DDADMM O=c1c2c([n-]n1[C@@H]1CCCS(=O)(=O)C1)-c1ccccc1CC2 ZINC000634633848 422519056 /nfs/dbraw/zinc/51/90/56/422519056.db2.gz HWMNORIASWWQNS-OCCSQVGLSA-N -1 1 318.398 1.373 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccncc1Cl)c1cncc([O-])c1 ZINC000577885412 422452129 /nfs/dbraw/zinc/45/21/29/422452129.db2.gz IWLFXVFWYQNSSQ-JTQLQIEISA-N -1 1 321.764 1.417 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC2(CCC2)[C@@H]1c1ccco1 ZINC000635999494 422552852 /nfs/dbraw/zinc/55/28/52/422552852.db2.gz LDMGVYOGBUYVOQ-AWEZNQCLSA-N -1 1 301.350 1.869 20 0 DDADMM O=C(N[C@@H]1CCOC[C@H]1O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000629245607 422556814 /nfs/dbraw/zinc/55/68/14/422556814.db2.gz VSOROVKEXPLWNW-MWLCHTKSSA-N -1 1 305.252 1.291 20 0 DDADMM CC1(C)C[C@@H](S(=O)(=O)Nc2cccc(C(=O)[O-])c2O)CO1 ZINC000629297235 422590633 /nfs/dbraw/zinc/59/06/33/422590633.db2.gz URPUYBDBOOWWCB-MRVPVSSYSA-N -1 1 315.347 1.400 20 0 DDADMM CCOc1cccc([C@@H]2C[C@H]2NC(=O)CCc2nn[n-]n2)c1 ZINC000632853718 422626816 /nfs/dbraw/zinc/62/68/16/422626816.db2.gz QTWCDTWHPWLFBI-QWHCGFSZSA-N -1 1 301.350 1.203 20 0 DDADMM O=C(N1CCC(c2n[n-]c(=O)o2)CC1)C1(C(F)F)CCC1 ZINC000632877676 422638956 /nfs/dbraw/zinc/63/89/56/422638956.db2.gz XEBRYMLZOXOCBQ-UHFFFAOYSA-N -1 1 301.293 1.917 20 0 DDADMM CC(C)O[C@]1(CS(=O)(=O)[N-]c2cccc(O)c2)CCOC1 ZINC000634785144 422647675 /nfs/dbraw/zinc/64/76/75/422647675.db2.gz VPSANYALQFVMBR-CQSZACIVSA-N -1 1 315.391 1.718 20 0 DDADMM Cc1ccc([C@@H]2CSCCN2C(=O)CCc2nn[n-]n2)cc1 ZINC000631334765 422696017 /nfs/dbraw/zinc/69/60/17/422696017.db2.gz JDTWVHUKUDOTOL-ZDUSSCGKSA-N -1 1 317.418 1.757 20 0 DDADMM C[C@@H]1CN([C@H]2CCN(c3ccccc3F)C2=O)CC[C@H]1C(=O)[O-] ZINC000629495199 422701785 /nfs/dbraw/zinc/70/17/85/422701785.db2.gz HDZLUMJTURZFJZ-JMSVASOKSA-N -1 1 320.364 1.974 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1cnn(-c2ccccn2)c1 ZINC000579226149 422728105 /nfs/dbraw/zinc/72/81/05/422728105.db2.gz LMOBPFKXCDWFMI-UHFFFAOYSA-N -1 1 322.390 1.436 20 0 DDADMM Cc1nc(=N)[n-]c(N2CC[C@@]3(C2)CC(=O)c2ccccc2O3)n1 ZINC000631301449 422669576 /nfs/dbraw/zinc/66/95/76/422669576.db2.gz OFEPHEDOGIQPBY-INIZCTEOSA-N -1 1 311.345 1.207 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@]3(CC3(F)F)C2)co1 ZINC000653004308 423255482 /nfs/dbraw/zinc/25/54/82/423255482.db2.gz OGDPYUAAGCMLLH-LLVKDONJSA-N -1 1 320.317 1.059 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](C)c1ccc(Cl)cc1 ZINC000653028967 423265661 /nfs/dbraw/zinc/26/56/61/423265661.db2.gz YHZKDELRWNZCDZ-QMMMGPOBSA-N -1 1 320.780 1.895 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@@H](C)[C@@H](C(=O)[O-])C2)n[nH]1 ZINC000646152840 423368415 /nfs/dbraw/zinc/36/84/15/423368415.db2.gz DBVFFVZLGBSWOQ-NRUUGDAUSA-N -1 1 308.382 1.401 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3CCC(F)(F)CC3)nc2n1 ZINC000643909397 423402813 /nfs/dbraw/zinc/40/28/13/423402813.db2.gz WGAFPZVLCRHOLI-UHFFFAOYSA-N -1 1 311.292 1.490 20 0 DDADMM C[C@H]1C(=O)N(C)CN1C(=O)c1cc(Br)ccc1[O-] ZINC000648640583 423405173 /nfs/dbraw/zinc/40/51/73/423405173.db2.gz OMEPIFVVJHDJMU-ZETCQYMHSA-N -1 1 313.151 1.415 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000648668850 423413048 /nfs/dbraw/zinc/41/30/48/423413048.db2.gz HZQATTJMSCZOTD-JHJVBQTASA-N -1 1 314.389 1.899 20 0 DDADMM O=C([O-])[C@H]1C[C@@H](NC(=O)CCc2nc[nH]n2)c2ccccc21 ZINC000653329832 423442428 /nfs/dbraw/zinc/44/24/28/423442428.db2.gz ZUHKBKBVMGXCOJ-NWDGAFQWSA-N -1 1 300.318 1.167 20 0 DDADMM CCC[C@H](NC(=O)COC[C@@H](C)c1ccccc1)c1nn[n-]n1 ZINC000651462234 423552420 /nfs/dbraw/zinc/55/24/20/423552420.db2.gz UGYOOHBRQANIGB-OCCSQVGLSA-N -1 1 317.393 1.977 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN2CC(F)(F)C[C@H]2C1 ZINC000648941149 423556185 /nfs/dbraw/zinc/55/61/85/423556185.db2.gz RDQJRVAUTYHZTE-JTQLQIEISA-N -1 1 300.280 1.697 20 0 DDADMM CCCc1cc(C(=O)N2CC[C@@](C(=O)[O-])(C(F)(F)F)C2)n[nH]1 ZINC000653654094 423566671 /nfs/dbraw/zinc/56/66/71/423566671.db2.gz HICHAMPNGPNFCS-GFCCVEGCSA-N -1 1 319.283 1.841 20 0 DDADMM NC(=O)C[C@H]1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000644512155 423680490 /nfs/dbraw/zinc/68/04/90/423680490.db2.gz NBTUIHXWZWZTIJ-MRVPVSSYSA-N -1 1 316.279 1.749 20 0 DDADMM CC(C)N1CCC[C@H](N2CCC[C@H](c3n[n-]c(=N)o3)C2)C1=O ZINC000639822855 423758052 /nfs/dbraw/zinc/75/80/52/423758052.db2.gz XMKNABXCABHBPT-RYUDHWBXSA-N -1 1 307.398 1.061 20 0 DDADMM CC(C)(C)n1cc(CN2CCC[C@@H](c3n[n-]c(=N)o3)C2)cn1 ZINC000639818904 423756840 /nfs/dbraw/zinc/75/68/40/423756840.db2.gz CXYCUEWPLNSZCO-GFCCVEGCSA-N -1 1 304.398 1.813 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)CCc1noc2ccccc21)C(F)F ZINC000641703985 423886562 /nfs/dbraw/zinc/88/65/62/423886562.db2.gz AMFHBLHDSHQETN-MRVPVSSYSA-N -1 1 304.318 1.943 20 0 DDADMM Cn1nc(CN[C@H](CCO)c2ccc(Cl)c(F)c2)[n-]c1=O ZINC000639918618 423928179 /nfs/dbraw/zinc/92/81/79/423928179.db2.gz OYEXDFOQFUXDHR-LLVKDONJSA-N -1 1 314.748 1.114 20 0 DDADMM O=c1[n-]c([C@H]2CCCCN2CCCO[C@@H]2CCCCO2)n[nH]1 ZINC000649595084 424068450 /nfs/dbraw/zinc/06/84/50/424068450.db2.gz DCVGHJOTFVHHTL-CHWSQXEVSA-N -1 1 310.398 1.971 20 0 DDADMM CC1(C)C[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CO1 ZINC000657040671 424028864 /nfs/dbraw/zinc/02/88/64/424028864.db2.gz GBRKSDMBKSCXJN-SSDOTTSWSA-N -1 1 309.309 1.950 20 0 DDADMM CCN(CCNc1ccc(C(=O)[O-])cn1)CCC(F)(F)F ZINC000647453267 424035619 /nfs/dbraw/zinc/03/56/19/424035619.db2.gz ADVRXMMOHSRFPN-UHFFFAOYSA-N -1 1 305.300 1.888 20 0 DDADMM C[C@@H]1CCN(Cc2cnnn2Cc2ccccc2)C[C@@H]1C(=O)[O-] ZINC000647461754 424051352 /nfs/dbraw/zinc/05/13/52/424051352.db2.gz XDCFVXSDHYNPOC-CJNGLKHVSA-N -1 1 314.389 1.869 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2sccc2Cl)nn1 ZINC000657120063 424128429 /nfs/dbraw/zinc/12/84/29/424128429.db2.gz ZMGSVHIKFVBBNX-UHFFFAOYSA-N -1 1 306.800 1.491 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H](O)C12CCC2)c1ccc(F)c(F)c1F ZINC000657184604 424196831 /nfs/dbraw/zinc/19/68/31/424196831.db2.gz KFSYPEXWCYPLGG-NXEZZACHSA-N -1 1 321.320 1.686 20 0 DDADMM O=C([O-])C1(CNC(=O)c2ccc(-c3nnc[nH]3)cc2)CCC1 ZINC000659784614 424253461 /nfs/dbraw/zinc/25/34/61/424253461.db2.gz IZQIMXMRKONIFL-UHFFFAOYSA-N -1 1 300.318 1.456 20 0 DDADMM NC(=O)C1(CNC(=O)N=c2[n-]sc3ccccc32)CCCC1 ZINC000640339011 424359028 /nfs/dbraw/zinc/35/90/28/424359028.db2.gz KWBFEYJSPBAETO-UHFFFAOYSA-N -1 1 318.402 1.885 20 0 DDADMM CNC(=O)[C@H]1CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640337953 424361288 /nfs/dbraw/zinc/36/12/88/424361288.db2.gz PSNDNXXCCBKGBE-VIFPVBQESA-N -1 1 304.375 1.318 20 0 DDADMM CC1(C)CNC(=O)[C@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000660010912 424455765 /nfs/dbraw/zinc/45/57/65/424455765.db2.gz CWNVTYBZWORGPQ-SNVBAGLBSA-N -1 1 320.773 1.282 20 0 DDADMM C[C@H]1CCC[C@@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)O1 ZINC000664378208 424566530 /nfs/dbraw/zinc/56/65/30/424566530.db2.gz FKOPSIQTLAHTBO-QWRGUYRKSA-N -1 1 301.346 1.611 20 0 DDADMM CO[C@@](C)(CO)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000655624348 424601108 /nfs/dbraw/zinc/60/11/08/424601108.db2.gz FANUSKPTOSVVFQ-CYBMUJFWSA-N -1 1 317.338 1.248 20 0 DDADMM CCC[C@H](NC(=O)Cc1cccc(N)c1Cl)c1nn[n-]n1 ZINC000662642011 424720992 /nfs/dbraw/zinc/72/09/92/424720992.db2.gz KEWUALVKNNQUIK-JTQLQIEISA-N -1 1 308.773 1.635 20 0 DDADMM O=C(N[C@H]1CCCS(=O)(=O)C1)c1cc2ccccc2cc1[O-] ZINC000316026988 271014339 /nfs/dbraw/zinc/01/43/39/271014339.db2.gz LMSUQDCYQFATOO-ZDUSSCGKSA-N -1 1 319.382 1.852 20 0 DDADMM O=C(N[C@@H]1CCCS(=O)(=O)C1)c1ccc2ccccc2c1[O-] ZINC000316100298 271016860 /nfs/dbraw/zinc/01/68/60/271016860.db2.gz RYQSJGLIJGNUKW-GFCCVEGCSA-N -1 1 319.382 1.852 20 0 DDADMM CCc1n[n-]c(=NC(=O)N[C@H](C)c2n[nH]c(C(C)C)n2)s1 ZINC000342877109 271553673 /nfs/dbraw/zinc/55/36/73/271553673.db2.gz WEBAWNIXEWEVPF-SSDOTTSWSA-N -1 1 309.399 1.647 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1(C)C ZINC000342987977 271601342 /nfs/dbraw/zinc/60/13/42/271601342.db2.gz IEHYWRFSMXOHPM-TVYUQYBPSA-N -1 1 315.373 1.712 20 0 DDADMM COC(=O)[C@@H]([N-]S(C)(=O)=O)c1ccc(Cl)cc1Cl ZINC000343975612 271925351 /nfs/dbraw/zinc/92/53/51/271925351.db2.gz ITAQXEYKFVZFIR-VIFPVBQESA-N -1 1 312.174 1.757 20 0 DDADMM C[C@H](CC(F)(F)F)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000344822527 272125285 /nfs/dbraw/zinc/12/52/85/272125285.db2.gz KAFRLQUOUGAGPP-ZCFIWIBFSA-N -1 1 302.256 1.745 20 0 DDADMM COCCNC(=O)CCc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000345299631 272213148 /nfs/dbraw/zinc/21/31/48/272213148.db2.gz YCBQGDZPNHRRQC-UHFFFAOYSA-N -1 1 316.361 1.248 20 0 DDADMM CC(=O)Nc1cccc(-n2cnnc2SCc2nn[n-]n2)c1 ZINC000345673469 272301474 /nfs/dbraw/zinc/30/14/74/272301474.db2.gz HKTKZMPSCYIDKH-UHFFFAOYSA-N -1 1 316.350 1.031 20 0 DDADMM C[C@@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC(C)(C)O1 ZINC000279459685 287840395 /nfs/dbraw/zinc/84/03/95/287840395.db2.gz WAHLBNOAENHNIL-RTEFUZQYSA-N -1 1 303.366 1.373 20 0 DDADMM O=S(=O)([N-]CC1(O)CCCCC1)c1cc(F)ccc1F ZINC000087041793 281016450 /nfs/dbraw/zinc/01/64/50/281016450.db2.gz ISLQJNHPBJUPJT-UHFFFAOYSA-N -1 1 305.346 1.938 20 0 DDADMM O=C(CCNC(=O)c1c([O-])cccc1F)NCc1ccccn1 ZINC000123230676 281167940 /nfs/dbraw/zinc/16/79/40/281167940.db2.gz WGEBRYOHWRIAPU-UHFFFAOYSA-N -1 1 317.320 1.363 20 0 DDADMM COc1ccccc1C=CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129286675 281339114 /nfs/dbraw/zinc/33/91/14/281339114.db2.gz UIQOKHZWLGKXLN-XEHSLEBBSA-N -1 1 313.361 1.628 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)c2ccccc2)co1 ZINC000132249686 281446071 /nfs/dbraw/zinc/44/60/71/281446071.db2.gz SSMZAHFYKIPMMM-JTQLQIEISA-N -1 1 308.359 1.679 20 0 DDADMM O=C(NCC1CCS(=O)(=O)CC1)c1cc(Cl)ccc1[O-] ZINC000152619430 281752543 /nfs/dbraw/zinc/75/25/43/281752543.db2.gz ZLJAVZJUNMWFJH-UHFFFAOYSA-N -1 1 317.794 1.600 20 0 DDADMM CC[C@@H](C)N(C)C(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC000363597747 300284846 /nfs/dbraw/zinc/28/48/46/300284846.db2.gz QUOWBZDQJYXOKE-LLVKDONJSA-N -1 1 314.389 1.940 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN([C@H]2CCOC2)CC1 ZINC000366475236 300702212 /nfs/dbraw/zinc/70/22/12/300702212.db2.gz RLVZAXRDWWVVRH-LBPRGKRZSA-N -1 1 310.781 1.592 20 0 DDADMM CC(F)(F)C(=O)[N-]Cc1nnc2n1C[C@H](C(F)(F)F)CC2 ZINC000367966966 300922022 /nfs/dbraw/zinc/92/20/22/300922022.db2.gz AURNPSFZAOXGJM-ZCFIWIBFSA-N -1 1 312.242 1.674 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC([C@H]4CCOC4)C3)cnc2n1 ZINC000368440911 301028813 /nfs/dbraw/zinc/02/88/13/301028813.db2.gz IYXZANUYFQLCJT-NSHDSACASA-N -1 1 313.357 1.752 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC([C@H]3CCOC3)C1)c2=O ZINC000368440911 301028815 /nfs/dbraw/zinc/02/88/15/301028815.db2.gz IYXZANUYFQLCJT-NSHDSACASA-N -1 1 313.357 1.752 20 0 DDADMM FC(F)(F)c1csc(NC[C@H]2C[N@H+]3CCC[C@H]3CO2)n1 ZINC000370749689 301383959 /nfs/dbraw/zinc/38/39/59/301383959.db2.gz VZKBFYLTPOJDMM-IUCAKERBSA-N -1 1 307.341 1.859 20 0 DDADMM CCC[C@@H](NC(=O)c1cc2c(cn1)OCCC2)c1nn[n-]n1 ZINC000376282514 302059104 /nfs/dbraw/zinc/05/91/04/302059104.db2.gz AUPBQIZIEOCILT-SNVBAGLBSA-N -1 1 302.338 1.191 20 0 DDADMM NC(=O)[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)c1cc(F)ccc1[O-] ZINC000376824814 302108532 /nfs/dbraw/zinc/10/85/32/302108532.db2.gz XZIWWVXWCWKFDL-XDTLVQLUSA-N -1 1 306.337 1.790 20 0 DDADMM CSc1nc(=NC(=O)N[C@@H]2CCc3nc[nH]c3C2)s[n-]1 ZINC000377806427 302235864 /nfs/dbraw/zinc/23/58/64/302235864.db2.gz FPBHNHNOKMUJMI-ZCFIWIBFSA-N -1 1 310.408 1.084 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@@H](O)c2ccccc21 ZINC000377886692 302247424 /nfs/dbraw/zinc/24/74/24/302247424.db2.gz ABPLJUGXQDYGQT-GFCCVEGCSA-N -1 1 323.374 1.418 20 0 DDADMM COCCc1nsc(N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000377973714 302260037 /nfs/dbraw/zinc/26/00/37/302260037.db2.gz RHFSPUSLNGOLRO-UHFFFAOYSA-N -1 1 311.367 1.200 20 0 DDADMM Cc1cccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1Cl ZINC000378290251 302308959 /nfs/dbraw/zinc/30/89/59/302308959.db2.gz ZZIVQJCMFWXWKD-JTQLQIEISA-N -1 1 307.741 1.375 20 0 DDADMM Cc1nc([C@@H]2COCCN2C(=O)c2ccc(Cl)cc2[O-])no1 ZINC000378604697 302342370 /nfs/dbraw/zinc/34/23/70/302342370.db2.gz DFZBMIQYRRXBEP-NSHDSACASA-N -1 1 323.736 1.951 20 0 DDADMM O=C([N-]c1nc2ccc(F)cn2n1)c1snnc1C1CC1 ZINC000514979295 302758114 /nfs/dbraw/zinc/75/81/14/302758114.db2.gz YJUZPXRLWXPYMR-UHFFFAOYSA-N -1 1 304.310 1.850 20 0 DDADMM CO[C@@H](Cc1ccccc1)CS(=O)(=O)[N-]c1cnn(C)c1 ZINC000516308503 302788437 /nfs/dbraw/zinc/78/84/37/302788437.db2.gz CDLNLSPLOYJCOU-AWEZNQCLSA-N -1 1 309.391 1.420 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)CN3CCCNC3=O)[nH][n-]2)s1 ZINC000522168777 302885709 /nfs/dbraw/zinc/88/57/09/302885709.db2.gz SAHNAYOIGAQXDW-UHFFFAOYSA-N -1 1 319.390 1.222 20 0 DDADMM CCC(CC)N(C(=O)c1ccc(S(=O)(=O)[N-]C)o1)C1CC1 ZINC000523243764 302895075 /nfs/dbraw/zinc/89/50/75/302895075.db2.gz IEMYKIZDACMFBA-UHFFFAOYSA-N -1 1 314.407 1.981 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](c1ccccn1)C1CCC1 ZINC000528503894 303036084 /nfs/dbraw/zinc/03/60/84/303036084.db2.gz VLUPCZGTRUGNTE-GFCCVEGCSA-N -1 1 322.390 1.610 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](c1ccccn1)C1CCC1 ZINC000528503894 303036085 /nfs/dbraw/zinc/03/60/85/303036085.db2.gz VLUPCZGTRUGNTE-GFCCVEGCSA-N -1 1 322.390 1.610 20 0 DDADMM O=C(NC[C@H](O)[C@H]1CCCO1)c1cc2ccccc2cc1[O-] ZINC000529760628 303150947 /nfs/dbraw/zinc/15/09/47/303150947.db2.gz DKRMQNKQBHWDTR-JKSUJKDBSA-N -1 1 301.342 1.815 20 0 DDADMM COCc1nc(=NCc2n[nH]c(-c3ccc(F)cc3)n2)s[n-]1 ZINC000530833747 303215085 /nfs/dbraw/zinc/21/50/85/303215085.db2.gz FHKVFIHJKXXGFJ-UHFFFAOYSA-N -1 1 320.353 1.643 20 0 DDADMM CC[C@H]1CCN([C@@H]2CC(=O)N(c3ccc(C(=O)[O-])cc3)C2=O)C1 ZINC000531264862 303237488 /nfs/dbraw/zinc/23/74/88/303237488.db2.gz MRWYMHLPKQLYEH-SMDDNHRTSA-N -1 1 316.357 1.749 20 0 DDADMM O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)c1cccc2c[nH]nc21 ZINC000532257596 303280299 /nfs/dbraw/zinc/28/02/99/303280299.db2.gz ZORFJRTVKMZHCT-LBPRGKRZSA-N -1 1 317.345 1.659 20 0 DDADMM CC(C)CCOCCNC(=O)CN1CCC[C@@H](CC(=O)[O-])C1 ZINC000532880830 303300586 /nfs/dbraw/zinc/30/05/86/303300586.db2.gz ATMCGFGIUJLSFQ-AWEZNQCLSA-N -1 1 314.426 1.352 20 0 DDADMM C[C@@]1(CCC(=O)N=c2cc(-c3cccs3)[n-][nH]2)CCC(=O)N1 ZINC000537751805 303379237 /nfs/dbraw/zinc/37/92/37/303379237.db2.gz IWAAOSGQCUNLBR-OAHLLOKOSA-N -1 1 318.402 1.948 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]Cc1nc(CCC2CC2)no1 ZINC000357623478 306899840 /nfs/dbraw/zinc/89/98/40/306899840.db2.gz RGQVAVRGDLFQCE-UHFFFAOYSA-N -1 1 317.411 1.257 20 0 DDADMM O=C(c1cccc2cnccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000539324774 303394930 /nfs/dbraw/zinc/39/49/30/303394930.db2.gz CTJMSUBWRGHMGW-GFCCVEGCSA-N -1 1 308.345 1.768 20 0 DDADMM Cc1ccc([C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)cc1 ZINC000546864660 303521466 /nfs/dbraw/zinc/52/14/66/303521466.db2.gz PNIQDACXAASFRS-CYBMUJFWSA-N -1 1 323.356 1.356 20 0 DDADMM O=C(N[C@@H]1CCCC[C@@H]1N1CCOCC1)c1c([O-])cccc1F ZINC000547481874 303535082 /nfs/dbraw/zinc/53/50/82/303535082.db2.gz MOIYYGPMQKWHAC-KGLIPLIRSA-N -1 1 322.380 1.905 20 0 DDADMM Cc1ccc2cc(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)[nH]c2c1 ZINC000363396566 307027198 /nfs/dbraw/zinc/02/71/98/307027198.db2.gz UTVASZPEMKHNPB-ZDUSSCGKSA-N -1 1 312.333 1.203 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@@]2(CCCOC2)O1 ZINC000366481313 307074779 /nfs/dbraw/zinc/07/47/79/307074779.db2.gz FNQXYJMIZYWJFA-MEDUHNTESA-N -1 1 309.337 1.941 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2nc(-c3ccc(F)cc3)no2)n[n-]1 ZINC000367506213 307095700 /nfs/dbraw/zinc/09/57/00/307095700.db2.gz VAKBUMPUGAGWIK-UHFFFAOYSA-N -1 1 323.309 1.276 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1cc(-c2ccncc2)n[nH]1 ZINC000367543951 307096692 /nfs/dbraw/zinc/09/66/92/307096692.db2.gz HJDQLKNBROEWJU-UHFFFAOYSA-N -1 1 318.362 1.314 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC(n2ccnn2)C1 ZINC000372581057 307171457 /nfs/dbraw/zinc/17/14/57/307171457.db2.gz FDBHKAOLLBARRP-UHFFFAOYSA-N -1 1 316.365 1.077 20 0 DDADMM CC1(C)CN(C(=O)c2cncc([O-])c2)C[C@]2(CCCOC2)O1 ZINC000373603842 307191648 /nfs/dbraw/zinc/19/16/48/307191648.db2.gz MAOGYWPFCBYBBA-INIZCTEOSA-N -1 1 306.362 1.587 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)[C@@H]3Cc4cccc(F)c4O3)ccnc1-2 ZINC000374708415 307212321 /nfs/dbraw/zinc/21/23/21/307212321.db2.gz ZAOLMWZBAIVRGW-RQZLXBNYSA-N -1 1 312.304 1.423 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)c1ccn[nH]1 ZINC000375965739 307236495 /nfs/dbraw/zinc/23/64/95/307236495.db2.gz ZDUBSVZUINNNLE-SNVBAGLBSA-N -1 1 321.703 1.235 20 0 DDADMM CC(C)(O)c1cn(C2CN(C(=O)c3cc(F)ccc3[O-])C2)nn1 ZINC000378532985 307292171 /nfs/dbraw/zinc/29/21/71/307292171.db2.gz LBTQTABBIZALOQ-UHFFFAOYSA-N -1 1 320.324 1.047 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@@]2(C)C(C)C)o1 ZINC000416544929 307299593 /nfs/dbraw/zinc/29/95/93/307299593.db2.gz HHGPXUUSKIDERV-MFKMUULPSA-N -1 1 301.364 1.779 20 0 DDADMM Cc1cnc(SCc2ccc(S(C)(=O)=O)o2)[n-]c1=O ZINC000521900083 307470505 /nfs/dbraw/zinc/47/05/05/307470505.db2.gz AMSQJQHKGSZKSI-UHFFFAOYSA-N -1 1 300.361 1.779 20 0 DDADMM Cc1noc(C23CC(C2)CN3C(=O)c2cc(F)ccc2[O-])n1 ZINC000529745441 307568655 /nfs/dbraw/zinc/56/86/55/307568655.db2.gz MABLIFOBQOQFMC-UHFFFAOYSA-N -1 1 303.293 1.984 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]1c1ccccc1)c1cc(=O)n2[n-]cnc2n1 ZINC000544738580 307718839 /nfs/dbraw/zinc/71/88/39/307718839.db2.gz ZITPWAIGRHKIPN-QWHCGFSZSA-N -1 1 323.356 1.484 20 0 DDADMM COc1ccc(S(=O)(=O)N=c2cc3ccccn3[n-]2)c(F)c1 ZINC000552036995 307815911 /nfs/dbraw/zinc/81/59/11/307815911.db2.gz DZUCHRKIIDVNQM-UHFFFAOYSA-N -1 1 321.333 1.705 20 0 DDADMM C[N@@H+](CCNS(=O)(=O)c1nc2ccccc2s1)C1CC1 ZINC000567163377 308073106 /nfs/dbraw/zinc/07/31/06/308073106.db2.gz JFXKNXCLDXAONF-UHFFFAOYSA-N -1 1 311.432 1.669 20 0 DDADMM C[C@H](N=c1ccc(N2CC(C)(C(N)=O)C2)n[n-]1)c1ccccc1 ZINC000568387525 308116628 /nfs/dbraw/zinc/11/66/28/308116628.db2.gz HIUYOWYOKPTYRJ-LBPRGKRZSA-N -1 1 311.389 1.383 20 0 DDADMM Cn1cc([C@H]2C[C@@H](NC(=O)c3cncc([O-])c3)CCO2)cn1 ZINC000568778119 308130145 /nfs/dbraw/zinc/13/01/45/308130145.db2.gz BMMICKMSHMEELH-GXTWGEPZSA-N -1 1 302.334 1.171 20 0 DDADMM COC(=O)C[C@@H](C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000570688383 308177035 /nfs/dbraw/zinc/17/70/35/308177035.db2.gz XBPOXBBGRVCTMS-SSDOTTSWSA-N -1 1 309.746 1.709 20 0 DDADMM C[C@@H](NS(=O)(=O)c1ccc(Cl)cc1)c1nc(C[NH3+])no1 ZINC000572959806 308229311 /nfs/dbraw/zinc/22/93/11/308229311.db2.gz CJYXJFBNWISRDE-SSDOTTSWSA-N -1 1 316.770 1.221 20 0 DDADMM C[C@H](CNc1nc(C(=O)[O-])co1)[N@H+]1CCc2sccc2C1 ZINC000576351313 308308861 /nfs/dbraw/zinc/30/88/61/308308861.db2.gz UNBPUKHVRMRORV-SECBINFHSA-N -1 1 307.375 1.715 20 0 DDADMM C[C@H](CNc1nc(C(=O)[O-])co1)N1CCc2sccc2C1 ZINC000576351313 308308863 /nfs/dbraw/zinc/30/88/63/308308863.db2.gz UNBPUKHVRMRORV-SECBINFHSA-N -1 1 307.375 1.715 20 0 DDADMM CS(=O)(=O)[N-]c1ccc(Oc2ccc3nccnc3n2)cn1 ZINC000577343600 308380027 /nfs/dbraw/zinc/38/00/27/308380027.db2.gz RJSIGMSVZJTSEK-UHFFFAOYSA-N -1 1 317.330 1.584 20 0 DDADMM Cc1ccc(N2CCN(C(=O)c3cncc([O-])c3)CC2=O)o1 ZINC000372202184 325736659 /nfs/dbraw/zinc/73/66/59/325736659.db2.gz XNLNOCOCTVXGPG-UHFFFAOYSA-N -1 1 301.302 1.178 20 0 DDADMM CCc1nc(CN(C)S(=O)(=O)c2c(C)o[n-]c2=N)cs1 ZINC000584319223 332670559 /nfs/dbraw/zinc/67/05/59/332670559.db2.gz SOVLJEFGEJLCQP-UHFFFAOYSA-N -1 1 316.408 1.235 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)[C@@H]1CCc2nnnn2CC1 ZINC000583636323 336009800 /nfs/dbraw/zinc/00/98/00/336009800.db2.gz ROWJFYOJYQHMDJ-SSDOTTSWSA-N -1 1 309.276 1.248 20 0 DDADMM COc1cccc2c1[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)CC2 ZINC000582656994 337121635 /nfs/dbraw/zinc/12/16/35/337121635.db2.gz RRVBPWUCCHARBU-ZYHUDNBSSA-N -1 1 315.377 1.500 20 0 DDADMM COc1ccc(-c2noc(=NCCN3CCSCC3)[n-]2)cc1 ZINC000582706754 337127107 /nfs/dbraw/zinc/12/71/07/337127107.db2.gz KBNUKWXLTIVTON-UHFFFAOYSA-N -1 1 320.418 1.628 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC1CCc2ccccc2CC1 ZINC000583005967 337228043 /nfs/dbraw/zinc/22/80/43/337228043.db2.gz AROOBZSYIOXZBE-UHFFFAOYSA-N -1 1 321.402 1.621 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1CCc2ccccc2CC1 ZINC000583005967 337228044 /nfs/dbraw/zinc/22/80/44/337228044.db2.gz AROOBZSYIOXZBE-UHFFFAOYSA-N -1 1 321.402 1.621 20 0 DDADMM COc1ccc(NC(=O)CN2C[C@@H](C(=O)[O-])CC[C@@H]2C)cc1 ZINC000396999780 337243294 /nfs/dbraw/zinc/24/32/94/337243294.db2.gz DQXVYZOXPUGDME-RYUDHWBXSA-N -1 1 306.362 1.819 20 0 DDADMM Cc1ccc(CNC(=O)C[N@@H+]2C[C@@H](C(=O)[O-])CC[C@H]2C)cc1F ZINC000397503658 337266909 /nfs/dbraw/zinc/26/69/09/337266909.db2.gz UAKRLFRAZURCGM-OCCSQVGLSA-N -1 1 322.380 1.935 20 0 DDADMM O=C([O-])c1coc(=NC[C@]2(CO)C[C@H]2c2ccc(F)cc2)[nH]1 ZINC000584616137 337372939 /nfs/dbraw/zinc/37/29/39/337372939.db2.gz DMTDKQPXNZSMLI-NHYWBVRUSA-N -1 1 306.293 1.512 20 0 DDADMM NC(=O)[C@@H]1CCCCC[C@@H]1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436948798 484129893 /nfs/dbraw/zinc/12/98/93/484129893.db2.gz VRPZPDJJDFNQHI-OLZOCXBDSA-N -1 1 304.346 1.125 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@@H](CO)CC3)cnc2n1 ZINC000452167294 484154735 /nfs/dbraw/zinc/15/47/35/484154735.db2.gz KQXUCOHOYNVMBK-GFCCVEGCSA-N -1 1 315.373 1.878 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@@H](CO)CC3)c[n-]c2n1 ZINC000452167294 484154740 /nfs/dbraw/zinc/15/47/40/484154740.db2.gz KQXUCOHOYNVMBK-GFCCVEGCSA-N -1 1 315.373 1.878 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CC3(CC3)C2)o1 ZINC000452273272 484156604 /nfs/dbraw/zinc/15/66/04/484156604.db2.gz RERZBTRTQDHJDI-UHFFFAOYSA-N -1 1 312.391 1.592 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]C(C)(C)C(C)(F)F)[C@@H]1CCOC1 ZINC000656735657 484308534 /nfs/dbraw/zinc/30/85/34/484308534.db2.gz SYLPXUXZYHTMOC-NXEZZACHSA-N -1 1 315.382 1.391 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](C(F)(F)F)CN(CCOCC(F)F)C1 ZINC000656757453 484315242 /nfs/dbraw/zinc/31/52/42/484315242.db2.gz NYULFUKIETYXQW-SFYZADRCSA-N -1 1 305.243 1.853 20 0 DDADMM CC(C)[C@@H](C(=O)Nc1ccn(CC(=O)[O-])n1)N1CCCCC1 ZINC000663145409 484702867 /nfs/dbraw/zinc/70/28/67/484702867.db2.gz SVZYDHHDFAOMAH-AWEZNQCLSA-N -1 1 308.382 1.417 20 0 DDADMM O=C([O-])C1(NC(=O)NC[C@H]2CCN2Cc2ccccc2)CCC1 ZINC000663272618 484778701 /nfs/dbraw/zinc/77/87/01/484778701.db2.gz YSFCIWQVMRWTKM-CQSZACIVSA-N -1 1 317.389 1.567 20 0 DDADMM O=C(c1n[nH]c2ccccc21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670383710 484800806 /nfs/dbraw/zinc/80/08/06/484800806.db2.gz ILNKTUDLUJOAOY-SECBINFHSA-N -1 1 313.317 1.671 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@@]1(C)c1ccccc1 ZINC000667959941 484874679 /nfs/dbraw/zinc/87/46/79/484874679.db2.gz RSZKDCPTAPQBAC-HWPZZCPQSA-N -1 1 315.329 1.356 20 0 DDADMM CO[C@H](C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@H]1CCOC1 ZINC000666314192 485169957 /nfs/dbraw/zinc/16/99/57/485169957.db2.gz NTRINXWUJLRSMV-NHYWBVRUSA-N -1 1 317.345 1.772 20 0 DDADMM O=C([O-])c1cccc(CNC(=O)NCc2n[nH]c(C3CC3)n2)c1 ZINC000666548572 485267298 /nfs/dbraw/zinc/26/72/98/485267298.db2.gz AMINRRKIHPVVBE-UHFFFAOYSA-N -1 1 315.333 1.380 20 0 DDADMM C[C@@H](c1ccccc1)[C@H](CO)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000673056763 485383650 /nfs/dbraw/zinc/38/36/50/485383650.db2.gz HLPUUMHJKLCPCN-LRDDRELGSA-N -1 1 313.353 1.856 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCCN(C(=O)C2CC2)CC1 ZINC000673129553 485390613 /nfs/dbraw/zinc/39/06/13/485390613.db2.gz JHAMQDCZJWPMJH-UHFFFAOYSA-N -1 1 306.337 1.616 20 0 DDADMM Cc1c(N[C@H](C)C(C)C)cccc1C(=O)NCc1nn[n-]n1 ZINC000673837414 485454277 /nfs/dbraw/zinc/45/42/77/485454277.db2.gz HVMLDUNKOQJPMO-LLVKDONJSA-N -1 1 302.382 1.895 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(Oc2ccccc2)ccc1O ZINC000678313367 485537251 /nfs/dbraw/zinc/53/72/51/485537251.db2.gz OYJPUCNYRPJPBV-UHFFFAOYSA-N -1 1 311.301 1.628 20 0 DDADMM NS(=O)(=O)c1ccc(C(=O)Nc2c([O-])cccc2F)s1 ZINC000678374312 485556706 /nfs/dbraw/zinc/55/67/06/485556706.db2.gz DOBBUSIJGZBZBM-UHFFFAOYSA-N -1 1 316.335 1.493 20 0 DDADMM CCO[C@H]1C[C@](O)(CNC(=O)c2ccc([O-])c(F)c2)C1(C)C ZINC000682820668 485787034 /nfs/dbraw/zinc/78/70/34/485787034.db2.gz FUJHEZMIQQYGNK-BBRMVZONSA-N -1 1 311.353 1.827 20 0 DDADMM CCC[C@H](NC(=O)CNc1ccccc1OC)c1nn[n-]n1 ZINC000675143807 485864017 /nfs/dbraw/zinc/86/40/17/485864017.db2.gz DZELNKNHVMWWEO-NSHDSACASA-N -1 1 304.354 1.278 20 0 DDADMM Cc1ccc(F)cc1C(=O)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000679813162 486001951 /nfs/dbraw/zinc/00/19/51/486001951.db2.gz YJZHFVLXECSTJF-SNVBAGLBSA-N -1 1 317.324 1.236 20 0 DDADMM COc1ccccc1[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC000680373287 486167230 /nfs/dbraw/zinc/16/72/30/486167230.db2.gz AHQKKGLPEASGKQ-CYBMUJFWSA-N -1 1 302.378 1.844 20 0 DDADMM C[C@H](CC(=O)c1ccc(Cl)cc1)C(=O)NCc1nn[n-]n1 ZINC000680581417 486231181 /nfs/dbraw/zinc/23/11/81/486231181.db2.gz YBMRZHBBUWVGLO-MRVPVSSYSA-N -1 1 307.741 1.378 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2c(F)cccc2Cl)CCC1 ZINC000680976297 486315740 /nfs/dbraw/zinc/31/57/40/486315740.db2.gz FYSSUZCIXJROAM-UHFFFAOYSA-N -1 1 306.746 1.165 20 0 DDADMM O=C(NCC1CC1)[C@@H]1CSCN1C(=O)c1ccc([O-])c(F)c1 ZINC000681037466 486327501 /nfs/dbraw/zinc/32/75/01/486327501.db2.gz ITGMOWISDRLPBQ-LBPRGKRZSA-N -1 1 324.377 1.573 20 0 DDADMM C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)c1ccc([O-])c(F)c1 ZINC000681046263 486329949 /nfs/dbraw/zinc/32/99/49/486329949.db2.gz FCELQVSMXNKZJV-RKDXNWHRSA-N -1 1 303.355 1.425 20 0 DDADMM O=C([C@@H]1Cc2ccc(F)cc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000684466354 486361599 /nfs/dbraw/zinc/36/15/99/486361599.db2.gz UMKJZTZYKYDPMI-ZWNOBZJWSA-N -1 1 301.325 1.385 20 0 DDADMM CC(C)Oc1cncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000681353326 486410803 /nfs/dbraw/zinc/41/08/03/486410803.db2.gz KHNUAUFNPJPKBF-SNVBAGLBSA-N -1 1 304.354 1.258 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCO[C@@H](C(C)(C)C)C1 ZINC000685504992 486552972 /nfs/dbraw/zinc/55/29/72/486552972.db2.gz ZRUOENWDGIRKTL-VHSXEESVSA-N -1 1 317.411 1.437 20 0 DDADMM C[C@H]1CCC[C@@H](OCCCCCC(=O)NCc2nn[n-]n2)C1 ZINC000678041406 486614826 /nfs/dbraw/zinc/61/48/26/486614826.db2.gz JOAMYOHLGGOREY-QWHCGFSZSA-N -1 1 309.414 1.972 20 0 DDADMM CCn1cn[n-]c1=NC(=O)c1ccc(-c2nnc(C3CC3)[nH]2)cc1 ZINC000356181844 490757895 /nfs/dbraw/zinc/75/78/95/490757895.db2.gz UCYBDIDWDRVKBI-UHFFFAOYSA-N -1 1 323.360 1.635 20 0 DDADMM COc1ccc(F)c([N-]S(=O)(=O)c2cnc3n2CCC3)c1 ZINC000437656973 533919231 /nfs/dbraw/zinc/91/92/31/533919231.db2.gz SXCJVIKNFNNTJB-UHFFFAOYSA-N -1 1 311.338 1.778 20 0 DDADMM Cc1c(S(=O)(=O)[N-]c2cc(F)cc(F)c2F)cnn1C ZINC000431156200 533939490 /nfs/dbraw/zinc/93/94/90/533939490.db2.gz DZHNHSUIXVVTSP-UHFFFAOYSA-N -1 1 305.281 1.947 20 0 DDADMM C[C@H]1CO[C@@H](c2cccc(Cl)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC000328605829 534052270 /nfs/dbraw/zinc/05/22/70/534052270.db2.gz JWVOKALCDVPCJQ-GXFFZTMASA-N -1 1 322.796 1.724 20 0 DDADMM CSc1ccc(CCNc2nc3[nH][n-]cc-3c(=O)n2)cc1 ZINC000435604328 534196572 /nfs/dbraw/zinc/19/65/72/534196572.db2.gz OSRDGZIVFSLJLP-UHFFFAOYSA-N -1 1 301.375 1.809 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)c2cc(F)c[nH]2)C1)C(F)(F)F ZINC000294745440 534564061 /nfs/dbraw/zinc/56/40/61/534564061.db2.gz POHZTRRIHJRSCB-QMMMGPOBSA-N -1 1 321.274 1.685 20 0 DDADMM CC(C)(C)OC(=O)N1CC(NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000494399293 526387506 /nfs/dbraw/zinc/38/75/06/526387506.db2.gz LAPWFBKIVIMNHO-UHFFFAOYSA-N -1 1 320.345 1.310 20 0 DDADMM CC(=O)N1CC[C@H](CN(C)C(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000328768590 526661997 /nfs/dbraw/zinc/66/19/97/526661997.db2.gz IBSTXGUKFCUISE-LLVKDONJSA-N -1 1 322.434 1.080 20 0 DDADMM CC(=O)N[C@@H](C)C1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000331228956 526826116 /nfs/dbraw/zinc/82/61/16/526826116.db2.gz GXHRLKGQXFSNHB-NSHDSACASA-N -1 1 318.373 1.338 20 0 DDADMM CC(C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000416623062 527710648 /nfs/dbraw/zinc/71/06/48/527710648.db2.gz NPXOEYKCLZFCQN-QMMMGPOBSA-N -1 1 322.789 1.671 20 0 DDADMM CCC[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCC1 ZINC000458337892 528176408 /nfs/dbraw/zinc/17/64/08/528176408.db2.gz RJEICWBUYOPZPC-LBPRGKRZSA-N -1 1 305.378 1.602 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)c1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000295023074 528195455 /nfs/dbraw/zinc/19/54/55/528195455.db2.gz QONAIUOJNGMELW-ONGXEEELSA-N -1 1 317.349 1.690 20 0 DDADMM CCNC(=O)c1ccc(=NCCCC(=O)OC(C)(C)C)[n-]n1 ZINC000413182632 528228171 /nfs/dbraw/zinc/22/81/71/528228171.db2.gz LDRSJXXEARYYHZ-UHFFFAOYSA-N -1 1 308.382 1.182 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](CC)[C@@H](O)CC)o1 ZINC000451977323 528243159 /nfs/dbraw/zinc/24/31/59/528243159.db2.gz NZZVHCFJKWGYCP-ZJUUUORDSA-N -1 1 319.379 1.284 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccnc(C)c2)o1 ZINC000451679116 528243939 /nfs/dbraw/zinc/24/39/39/528243939.db2.gz YCYWNBFFLWEHSW-UHFFFAOYSA-N -1 1 324.358 1.638 20 0 DDADMM CCCn1ncc([N-]S(=O)(=O)C[C@H](C)OC)c1C1CC1 ZINC000451091610 528885109 /nfs/dbraw/zinc/88/51/09/528885109.db2.gz WEAFQONXHIKBIX-JTQLQIEISA-N -1 1 301.412 1.947 20 0 DDADMM CC[C@H](C)NC(=O)[C@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000330890328 529176991 /nfs/dbraw/zinc/17/69/91/529176991.db2.gz WBSPSPVZIFOMQI-VHSXEESVSA-N -1 1 309.391 1.217 20 0 DDADMM CC[C@H](CCO)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425164488 529327502 /nfs/dbraw/zinc/32/75/02/529327502.db2.gz FKXXXYPHAIKKJY-SNVBAGLBSA-N -1 1 307.362 1.960 20 0 DDADMM O[C@H](CNc1cccc(-c2nnn[n-]2)n1)c1c(F)cccc1F ZINC000738383908 598975732 /nfs/dbraw/zinc/97/57/32/598975732.db2.gz RHNBHCVAHBOBJR-LLVKDONJSA-N -1 1 318.287 1.685 20 0 DDADMM O[C@H](CNc1cccc(-c2nn[n-]n2)n1)c1c(F)cccc1F ZINC000738383908 598975734 /nfs/dbraw/zinc/97/57/34/598975734.db2.gz RHNBHCVAHBOBJR-LLVKDONJSA-N -1 1 318.287 1.685 20 0 DDADMM O[C@@H]1CCCC[C@H]1CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000738390536 598978918 /nfs/dbraw/zinc/97/89/18/598978918.db2.gz QXDLCQLUNVGANF-WCBMZHEXSA-N -1 1 308.773 1.878 20 0 DDADMM O[C@@H]1CCCC[C@H]1CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000738390536 598978920 /nfs/dbraw/zinc/97/89/20/598978920.db2.gz QXDLCQLUNVGANF-WCBMZHEXSA-N -1 1 308.773 1.878 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000736949226 598979581 /nfs/dbraw/zinc/97/95/81/598979581.db2.gz PEHSVMKYDRTBMG-UHFFFAOYSA-N -1 1 324.300 1.301 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000736949226 598979582 /nfs/dbraw/zinc/97/95/82/598979582.db2.gz PEHSVMKYDRTBMG-UHFFFAOYSA-N -1 1 324.300 1.301 20 0 DDADMM O=C(N[C@H]1CCc2c1cccc2F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738034038 598998099 /nfs/dbraw/zinc/99/80/99/598998099.db2.gz FEFPCRPDLFSWCI-ZDUSSCGKSA-N -1 1 324.319 1.818 20 0 DDADMM O=C(N[C@H]1CCc2c1cccc2F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738034038 598998101 /nfs/dbraw/zinc/99/81/01/598998101.db2.gz FEFPCRPDLFSWCI-ZDUSSCGKSA-N -1 1 324.319 1.818 20 0 DDADMM O=C(NCc1coc2ccccc12)c1ccc(-c2nnn[n-]2)nc1 ZINC000738124065 599008168 /nfs/dbraw/zinc/00/81/68/599008168.db2.gz ITLLORAMIXUZSY-UHFFFAOYSA-N -1 1 320.312 1.938 20 0 DDADMM O=C(NCc1coc2ccccc12)c1ccc(-c2nn[n-]n2)nc1 ZINC000738124065 599008170 /nfs/dbraw/zinc/00/81/70/599008170.db2.gz ITLLORAMIXUZSY-UHFFFAOYSA-N -1 1 320.312 1.938 20 0 DDADMM O=C(N[C@H]1C[C@H]1Cc1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738018492 599091730 /nfs/dbraw/zinc/09/17/30/599091730.db2.gz BOCSXVCFSPRUKU-HIFRSBDPSA-N -1 1 320.356 1.623 20 0 DDADMM O=C(N[C@H]1C[C@H]1Cc1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738018492 599091733 /nfs/dbraw/zinc/09/17/33/599091733.db2.gz BOCSXVCFSPRUKU-HIFRSBDPSA-N -1 1 320.356 1.623 20 0 DDADMM O=C(OCc1ccc2c(c1)CCO2)c1ccc(-c2nnn[n-]2)nc1 ZINC000738231489 599155275 /nfs/dbraw/zinc/15/52/75/599155275.db2.gz ANWXWUIIGXTWNE-UHFFFAOYSA-N -1 1 323.312 1.554 20 0 DDADMM O=C(OCc1ccc2c(c1)CCO2)c1ccc(-c2nn[n-]n2)nc1 ZINC000738231489 599155278 /nfs/dbraw/zinc/15/52/78/599155278.db2.gz ANWXWUIIGXTWNE-UHFFFAOYSA-N -1 1 323.312 1.554 20 0 DDADMM O=C([O-])C1CCN(CN2C[C@@H](c3cccc(F)c3)CC2=O)CC1 ZINC000740482146 596950183 /nfs/dbraw/zinc/95/01/83/596950183.db2.gz JTKBEDRTSUHOKT-AWEZNQCLSA-N -1 1 320.364 1.896 20 0 DDADMM CC(C)[C@]1(C)CC(=O)N(CN2CCC[C@H](CC(=O)[O-])C2)C1=O ZINC000817858626 597047273 /nfs/dbraw/zinc/04/72/73/597047273.db2.gz LVDSXXUMHIEYNQ-WBMJQRKESA-N -1 1 310.394 1.552 20 0 DDADMM O=C([O-])C[C@H]1CCC[N@@H+](Cc2c([O-])nnn2-c2ccccc2)C1 ZINC000819380810 597048366 /nfs/dbraw/zinc/04/83/66/597048366.db2.gz VKMRBZNABXXERD-GFCCVEGCSA-N -1 1 316.361 1.660 20 0 DDADMM OC[C@H]1CCCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000738395748 599254436 /nfs/dbraw/zinc/25/44/36/599254436.db2.gz YSUMPZUNOZPKTE-NSHDSACASA-N -1 1 310.361 1.624 20 0 DDADMM OC[C@H]1CCCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000738395748 599254438 /nfs/dbraw/zinc/25/44/38/599254438.db2.gz YSUMPZUNOZPKTE-NSHDSACASA-N -1 1 310.361 1.624 20 0 DDADMM CNC(=O)Cc1ccc(NCc2ccc(-c3nnn[n-]3)o2)cc1 ZINC000821633912 607308890 /nfs/dbraw/zinc/30/88/90/607308890.db2.gz JXZVEQQHILGFGR-UHFFFAOYSA-N -1 1 312.333 1.360 20 0 DDADMM CNC(=O)Cc1ccc(NCc2ccc(-c3nn[n-]n3)o2)cc1 ZINC000821633912 607308891 /nfs/dbraw/zinc/30/88/91/607308891.db2.gz JXZVEQQHILGFGR-UHFFFAOYSA-N -1 1 312.333 1.360 20 0 DDADMM CCCn1cnnc1COC(=O)c1sccc1-c1nn[n-]n1 ZINC000821147787 607323467 /nfs/dbraw/zinc/32/34/67/607323467.db2.gz JGPZVIIFOAKRGJ-UHFFFAOYSA-N -1 1 319.350 1.287 20 0 DDADMM CC(C)(C)c1nc(Sc2nccnc2-c2nn[n-]n2)n[nH]1 ZINC000735654140 598340135 /nfs/dbraw/zinc/34/01/35/598340135.db2.gz AOYIOFWTJBJVPW-UHFFFAOYSA-N -1 1 303.355 1.224 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(Sc2nnc(NC3CC3)s2)n1 ZINC000738446240 598340260 /nfs/dbraw/zinc/34/02/60/598340260.db2.gz WLAYOSFMEJWTRE-UHFFFAOYSA-N -1 1 319.379 1.234 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCCNc1nccnc1-c1nnn[n-]1 ZINC000736269350 598343989 /nfs/dbraw/zinc/34/39/89/598343989.db2.gz GPEUODHAUQCJEU-MNOVXSKESA-N -1 1 303.370 1.664 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCCNc1nccnc1-c1nn[n-]n1 ZINC000736269350 598343991 /nfs/dbraw/zinc/34/39/91/598343991.db2.gz GPEUODHAUQCJEU-MNOVXSKESA-N -1 1 303.370 1.664 20 0 DDADMM O[C@@]1(CNc2nccnc2-c2nnn[n-]2)CCCc2ccccc21 ZINC000738388234 598557508 /nfs/dbraw/zinc/55/75/08/598557508.db2.gz DNSGPGPKLUZPLC-MRXNPFEDSA-N -1 1 323.360 1.293 20 0 DDADMM O[C@@]1(CNc2nccnc2-c2nn[n-]n2)CCCc2ccccc21 ZINC000738388234 598557509 /nfs/dbraw/zinc/55/75/09/598557509.db2.gz DNSGPGPKLUZPLC-MRXNPFEDSA-N -1 1 323.360 1.293 20 0 DDADMM COc1c(C)cnc(CNc2cccc(-c3nnn[n-]3)n2)c1C ZINC000737024424 599421930 /nfs/dbraw/zinc/42/19/30/599421930.db2.gz HUPNJWIMLFWPCB-UHFFFAOYSA-N -1 1 311.349 1.894 20 0 DDADMM COc1c(C)cnc(CNc2cccc(-c3nn[n-]n3)n2)c1C ZINC000737024424 599421931 /nfs/dbraw/zinc/42/19/31/599421931.db2.gz HUPNJWIMLFWPCB-UHFFFAOYSA-N -1 1 311.349 1.894 20 0 DDADMM c1cc(NCCc2ccc3c(c2)CCO3)nc(-c2nnn[n-]2)c1 ZINC000738412788 599439696 /nfs/dbraw/zinc/43/96/96/599439696.db2.gz IQGGTLQWQTYNOY-UHFFFAOYSA-N -1 1 308.345 1.851 20 0 DDADMM c1cc(NCCc2ccc3c(c2)CCO3)nc(-c2nn[n-]n2)c1 ZINC000738412788 599439698 /nfs/dbraw/zinc/43/96/98/599439698.db2.gz IQGGTLQWQTYNOY-UHFFFAOYSA-N -1 1 308.345 1.851 20 0 DDADMM c1ccc2c(c1)CC[C@@H]1[C@@H](Nc3nccnc3-c3nnn[n-]3)[C@H]21 ZINC000823798153 607385296 /nfs/dbraw/zinc/38/52/96/607385296.db2.gz UQPBXTVNLNUBND-YNEHKIRRSA-N -1 1 305.345 1.797 20 0 DDADMM c1ccc2c(c1)CC[C@@H]1[C@@H](Nc3nccnc3-c3nn[n-]n3)[C@H]21 ZINC000823798153 607385298 /nfs/dbraw/zinc/38/52/98/607385298.db2.gz UQPBXTVNLNUBND-YNEHKIRRSA-N -1 1 305.345 1.797 20 0 DDADMM C[C@H]1CN(c2cccc(-c3nnn[n-]3)n2)C[C@H](C(F)(F)F)O1 ZINC000820774402 599687974 /nfs/dbraw/zinc/68/79/74/599687974.db2.gz CCHVVFGFRBKDJE-IONNQARKSA-N -1 1 314.271 1.418 20 0 DDADMM C[C@H]1CN(c2cccc(-c3nn[n-]n3)n2)C[C@H](C(F)(F)F)O1 ZINC000820774402 599687975 /nfs/dbraw/zinc/68/79/75/599687975.db2.gz CCHVVFGFRBKDJE-IONNQARKSA-N -1 1 314.271 1.418 20 0 DDADMM CC1(C)CN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CCN1Cc1ccccc1 ZINC000382602089 599776482 /nfs/dbraw/zinc/77/64/82/599776482.db2.gz SPSRBRNGHPLLNL-CABCVRRESA-N -1 1 316.401 1.830 20 0 DDADMM C[C@H](CN(CN1C(=O)CC2(CCCC2)C1=O)C1CC1)C(=O)[O-] ZINC000736577711 599934121 /nfs/dbraw/zinc/93/41/21/599934121.db2.gz FUTRWZGIIKYKSA-LLVKDONJSA-N -1 1 308.378 1.448 20 0 DDADMM C[C@@H](CN(CN1C(=O)CC2(CCCC2)C1=O)C1CC1)C(=O)[O-] ZINC000736577713 599934512 /nfs/dbraw/zinc/93/45/12/599934512.db2.gz FUTRWZGIIKYKSA-NSHDSACASA-N -1 1 308.378 1.448 20 0 DDADMM C[C@@H](O)[C@@H]1CCCCN1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000736678501 600090885 /nfs/dbraw/zinc/09/08/85/600090885.db2.gz UCJFVSDFOHVQDD-RISCZKNCSA-N -1 1 306.362 1.559 20 0 DDADMM CCC[C@](C)(NCC(=O)Nc1ccc(C(=O)OC)cc1)C(=O)[O-] ZINC000736966029 600153514 /nfs/dbraw/zinc/15/35/14/600153514.db2.gz YQFBOZYIBJWZMZ-INIZCTEOSA-N -1 1 322.361 1.645 20 0 DDADMM CN(CC(=O)[O-])C(=O)CCN(Cc1ccco1)C[C@H]1CCCO1 ZINC000737402113 600292754 /nfs/dbraw/zinc/29/27/54/600292754.db2.gz CNINSPXXGZKAAA-CQSZACIVSA-N -1 1 324.377 1.194 20 0 DDADMM CN(C)c1cc2c(nn1)CCN([C@@H](C(=O)[O-])c1ccccc1)C2 ZINC000737374404 600405638 /nfs/dbraw/zinc/40/56/38/600405638.db2.gz OARUQPLDZFUDAS-MRXNPFEDSA-N -1 1 312.373 1.727 20 0 DDADMM Cc1oc(NC(=O)CN(C)CC(F)F)c(-c2nn[n-]n2)c1C ZINC000822540986 607449889 /nfs/dbraw/zinc/44/98/89/607449889.db2.gz JOHKGOIDHLIZOF-UHFFFAOYSA-N -1 1 314.296 1.212 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](C(=O)[O-])c2ccc(C)cc2)c1C ZINC000738568958 600617319 /nfs/dbraw/zinc/61/73/19/600617319.db2.gz AUJQDIBAGJYKLM-CYBMUJFWSA-N -1 1 301.346 1.933 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)NC1CCCCCCC1 ZINC000737794007 600641445 /nfs/dbraw/zinc/64/14/45/600641445.db2.gz HFKKRUPVNKOANM-UHFFFAOYSA-N -1 1 314.426 1.639 20 0 DDADMM C[C@@H]1CCN(CCNS(=O)(=O)c2cc(C(=O)[O-])cs2)C1 ZINC000828290059 600792420 /nfs/dbraw/zinc/79/24/20/600792420.db2.gz RRASLCAUHPJPAD-SECBINFHSA-N -1 1 318.420 1.066 20 0 DDADMM CC(C)N(CCOc1ccc(C(=O)[O-])cc1Cl)CC(N)=O ZINC000826952002 600816272 /nfs/dbraw/zinc/81/62/72/600816272.db2.gz ZBFJRWGUTGVCFR-UHFFFAOYSA-N -1 1 314.769 1.613 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1cc(F)cc2nc[nH]c21)c1ccccn1 ZINC000833126972 600911225 /nfs/dbraw/zinc/91/12/25/600911225.db2.gz XDTYRHKYPJJZDR-CYBMUJFWSA-N -1 1 314.276 1.653 20 0 DDADMM COC(=O)c1cccc(CN2CCSC[C@@H]2CC(=O)[O-])c1 ZINC000831449803 601025617 /nfs/dbraw/zinc/02/56/17/601025617.db2.gz YTYSIVTUFNKVDD-ZDUSSCGKSA-N -1 1 309.387 1.865 20 0 DDADMM COc1cccc(CNC(=O)CN[C@@](C)(C(=O)[O-])C2CC2)c1 ZINC000832553627 601035863 /nfs/dbraw/zinc/03/58/63/601035863.db2.gz BPLUBRMOVPTKMG-MRXNPFEDSA-N -1 1 306.362 1.154 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CC2(Cl)Cl)CC1 ZINC000827357422 601089928 /nfs/dbraw/zinc/08/99/28/601089928.db2.gz XZWUEZMNYKAGMN-IUCAKERBSA-N -1 1 309.193 1.188 20 0 DDADMM CCCc1nc([C@H]2CN(Cc3cc(C(=O)[O-])co3)CCO2)n[nH]1 ZINC000737049725 601106347 /nfs/dbraw/zinc/10/63/47/601106347.db2.gz BWMCYODVNUZVKP-GFCCVEGCSA-N -1 1 320.349 1.622 20 0 DDADMM CCCc1n[nH]c([C@H]2CN(Cc3cc(C(=O)[O-])co3)CCO2)n1 ZINC000737049725 601106348 /nfs/dbraw/zinc/10/63/48/601106348.db2.gz BWMCYODVNUZVKP-GFCCVEGCSA-N -1 1 320.349 1.622 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2cccs2)CC1 ZINC000827354688 601107090 /nfs/dbraw/zinc/10/70/90/601107090.db2.gz GFUBVIWROLNNCF-RXNFCKPNSA-N -1 1 308.403 1.769 20 0 DDADMM COc1cccc(NC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000832561890 601123642 /nfs/dbraw/zinc/12/36/42/601123642.db2.gz FAUVAZBZPXHISC-LBPRGKRZSA-N -1 1 321.377 1.708 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCc1nccn1Cc1ccccc1 ZINC000831584471 601135900 /nfs/dbraw/zinc/13/59/00/601135900.db2.gz GDWOGUVKMYUHMJ-KRWDZBQOSA-N -1 1 317.389 1.901 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@@H]2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000830624058 601141242 /nfs/dbraw/zinc/14/12/42/601141242.db2.gz QOBHVGUCRRXEQD-CHWSQXEVSA-N -1 1 305.378 1.973 20 0 DDADMM O=C([O-])C[C@@H](O)CSc1nc(-c2ccc3c(c2)CCC3)n[nH]1 ZINC000833265398 601208706 /nfs/dbraw/zinc/20/87/06/601208706.db2.gz ZWPMKISASWHEAC-GFCCVEGCSA-N -1 1 319.386 1.888 20 0 DDADMM O=C([O-])[C@H]1C[C@@H]1C(=O)NCC1(CN2CCOCC2)CCCCC1 ZINC000833178674 601278742 /nfs/dbraw/zinc/27/87/42/601278742.db2.gz IMMOFCLBFYDSNO-KBPBESRZSA-N -1 1 324.421 1.106 20 0 DDADMM COCCC1(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CC1 ZINC000821686439 607498807 /nfs/dbraw/zinc/49/88/07/607498807.db2.gz QDUKTAGCMPGVCX-UHFFFAOYSA-N -1 1 303.322 1.122 20 0 DDADMM COCCC1(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CC1 ZINC000821686439 607498810 /nfs/dbraw/zinc/49/88/10/607498810.db2.gz QDUKTAGCMPGVCX-UHFFFAOYSA-N -1 1 303.322 1.122 20 0 DDADMM O=C([O-])[C@@H]1CCCN(C(=O)CSc2n[nH]c(=S)s2)C1 ZINC000833188800 601281255 /nfs/dbraw/zinc/28/12/55/601281255.db2.gz JOICUMHFVNXCOX-ZCFIWIBFSA-N -1 1 319.433 1.242 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1C[C@@H]2CCC[C@@H]21 ZINC000826005197 601339835 /nfs/dbraw/zinc/33/98/35/601339835.db2.gz TUOASRKUHQUYJE-UGFHNGPFSA-N -1 1 302.374 1.874 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN(C)C[C@H]1CCOC1 ZINC000832915816 601386226 /nfs/dbraw/zinc/38/62/26/601386226.db2.gz LEHPMKIQXMNJAU-GFCCVEGCSA-N -1 1 306.362 1.600 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCN(C[C@@H](C)O)[C@H](C)C1 ZINC000830375534 601417292 /nfs/dbraw/zinc/41/72/92/601417292.db2.gz OZWFTAWNLTXJNQ-VXGBXAGGSA-N -1 1 310.394 1.427 20 0 DDADMM CC[C@@](C)(CC(=O)[O-])NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000237040621 601456614 /nfs/dbraw/zinc/45/66/14/601456614.db2.gz RROCUTBSLURYIF-AWEZNQCLSA-N -1 1 320.305 1.844 20 0 DDADMM Cc1cc(COC(=O)c2sccc2-c2nn[n-]n2)ncn1 ZINC000826267415 607801526 /nfs/dbraw/zinc/80/15/26/607801526.db2.gz DWPYWNCLESMHMY-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM C[C@H](C(=O)N[C@@H](CC(=O)[O-])C1CC1)N(C)Cc1ccccc1 ZINC000825993934 601521904 /nfs/dbraw/zinc/52/19/04/601521904.db2.gz ARDLZIHZMWFXCB-DOMZBBRYSA-N -1 1 304.390 1.876 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1cccc(Br)c1O)C1CC1 ZINC000833124925 601758542 /nfs/dbraw/zinc/75/85/42/601758542.db2.gz DICXEKFMGKXFQL-SECBINFHSA-N -1 1 314.135 1.748 20 0 DDADMM O=C([O-])c1coc(CN2CCC(N3CCCCC3=O)CC2)c1 ZINC000833339727 602029851 /nfs/dbraw/zinc/02/98/51/602029851.db2.gz MVEGDFAUGKZVTL-UHFFFAOYSA-N -1 1 306.362 1.955 20 0 DDADMM CC(C)c1nn(C)c(N(C)C)c1CNCCN(C(=O)[O-])C1CC1 ZINC000738882956 602056343 /nfs/dbraw/zinc/05/63/43/602056343.db2.gz XEGGBOMHMVZLCZ-UHFFFAOYSA-N -1 1 323.441 1.842 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN([C@@H](C(N)=O)c2ccccc2)CC1 ZINC000739013389 602446834 /nfs/dbraw/zinc/44/68/34/602446834.db2.gz ABDNNKMRHHKCBG-SMDDNHRTSA-N -1 1 305.378 1.581 20 0 DDADMM CN(C[C@H]1CCN(C(=O)c2cccc3c[nH]nc32)C1)C(=O)[O-] ZINC000739659547 602484126 /nfs/dbraw/zinc/48/41/26/602484126.db2.gz ZXNZNNTWYSOFGX-SNVBAGLBSA-N -1 1 302.334 1.635 20 0 DDADMM CCCN(Cc1cn2cccnc2n1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739360378 602544519 /nfs/dbraw/zinc/54/45/19/602544519.db2.gz UMWMWQZOKISKLH-CYBMUJFWSA-N -1 1 303.366 1.694 20 0 DDADMM CCCN(Cc1cn2cccnc2n1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739360379 602544743 /nfs/dbraw/zinc/54/47/43/602544743.db2.gz UMWMWQZOKISKLH-ZDUSSCGKSA-N -1 1 303.366 1.694 20 0 DDADMM Cc1nn(C)c(Cl)c1CN1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000740244401 602579232 /nfs/dbraw/zinc/57/92/32/602579232.db2.gz BOYDIGZDCQXFRU-JTQLQIEISA-N -1 1 300.790 1.814 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CCC(NC(=O)[O-])CC1 ZINC000739609615 602684094 /nfs/dbraw/zinc/68/40/94/602684094.db2.gz WKJKDBNLHJSTBI-HNNXBMFYSA-N -1 1 319.405 1.418 20 0 DDADMM O=C([O-])NC[C@H]1CCN([C@@H]2CCCN(c3ccccc3)C2=O)C1 ZINC000740642726 602783039 /nfs/dbraw/zinc/78/30/39/602783039.db2.gz PGZOHCGOAZDARZ-UKRRQHHQSA-N -1 1 317.389 1.772 20 0 DDADMM Cc1nnc(NC(=O)[C@@H](C)[C@H](NC(=O)[O-])c2ccccc2)[nH]1 ZINC000830770240 602847488 /nfs/dbraw/zinc/84/74/88/602847488.db2.gz PLPVPNDZDWNWPJ-KWQFWETISA-N -1 1 303.322 1.697 20 0 DDADMM Cc1n[nH]c(NC(=O)[C@@H](C)[C@H](NC(=O)[O-])c2ccccc2)n1 ZINC000830770240 602847490 /nfs/dbraw/zinc/84/74/90/602847490.db2.gz PLPVPNDZDWNWPJ-KWQFWETISA-N -1 1 303.322 1.697 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@@H](NC(=O)[O-])[C@@H](C)C1 ZINC000740032542 602929097 /nfs/dbraw/zinc/92/90/97/602929097.db2.gz UDEUHENXBPDNFD-GXFFZTMASA-N -1 1 323.397 1.338 20 0 DDADMM CNC(=O)c1cccc(CN2CCCC[C@H]2CNC(=O)[O-])c1 ZINC000739745751 603034370 /nfs/dbraw/zinc/03/43/70/603034370.db2.gz GPFZBSFKDJHHSY-AWEZNQCLSA-N -1 1 305.378 1.668 20 0 DDADMM O=C([O-])NC[C@H](NC(=O)c1c[nH]c2ccccc2c1=O)C1CC1 ZINC000740610162 603040432 /nfs/dbraw/zinc/04/04/32/603040432.db2.gz DKLWUWRSHAHVQJ-ZDUSSCGKSA-N -1 1 315.329 1.304 20 0 DDADMM O=C([O-])NC[C@H](NS(=O)(=O)c1c(F)cccc1F)C1CC1 ZINC000740612457 603069846 /nfs/dbraw/zinc/06/98/46/603069846.db2.gz JYPQDVIJWNIDJO-JTQLQIEISA-N -1 1 320.317 1.289 20 0 DDADMM CC(C)[C@@](C)(CNC(=O)[O-])NC(=O)c1n[nH]c2ccccc21 ZINC000738769071 603129646 /nfs/dbraw/zinc/12/96/46/603129646.db2.gz VVSJGJHYVKJAHE-OAHLLOKOSA-N -1 1 304.350 1.975 20 0 DDADMM CC(C)N1CCN(C(=O)NC2CCC(NC(=O)[O-])CC2)CC1 ZINC000738854746 603153602 /nfs/dbraw/zinc/15/36/02/603153602.db2.gz OGMMZMWBLCIIOR-UHFFFAOYSA-N -1 1 312.414 1.301 20 0 DDADMM COc1ccccc1[C@@H](CNCc1ccc(CO)o1)NC(=O)[O-] ZINC000829599711 603160380 /nfs/dbraw/zinc/16/03/80/603160380.db2.gz WNDPRLITCKVEPN-CQSZACIVSA-N -1 1 320.345 1.879 20 0 DDADMM CCN(C)[C@H](C(=O)N(C)CCCNC(=O)[O-])c1ccccc1 ZINC000739385767 603236764 /nfs/dbraw/zinc/23/67/64/603236764.db2.gz VNIIRDJNOZPGSE-AWEZNQCLSA-N -1 1 307.394 1.796 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1CCN(C(=O)[O-])C1)c1ccc(F)cc1 ZINC000739603524 603283404 /nfs/dbraw/zinc/28/34/04/603283404.db2.gz VWNMQYVXAIKACB-OCCSQVGLSA-N -1 1 323.368 1.545 20 0 DDADMM O=C([O-])N1CC[C@@H](C(=O)NCc2nc3ccc(Cl)cc3[nH]2)C1 ZINC000740452679 603310087 /nfs/dbraw/zinc/31/00/87/603310087.db2.gz GFTIFNSVJCLJML-MRVPVSSYSA-N -1 1 322.752 1.832 20 0 DDADMM CN(Cc1ccco1)C[C@H](O)[C@H](Cc1ccccc1)NC(=O)[O-] ZINC000828406833 603396981 /nfs/dbraw/zinc/39/69/81/603396981.db2.gz HEOCRKBKUITZSD-HOTGVXAUSA-N -1 1 318.373 1.951 20 0 DDADMM CN(CC1CCN(CCNC(=O)OC(C)(C)C)CC1)C(=O)[O-] ZINC000828259197 603454214 /nfs/dbraw/zinc/45/42/14/603454214.db2.gz KROATTASFAHVKC-UHFFFAOYSA-N -1 1 315.414 1.833 20 0 DDADMM C[C@H]1CN(C(=O)c2sccc2CN(C)C)CCN1C(=O)[O-] ZINC000826041811 603523427 /nfs/dbraw/zinc/52/34/27/603523427.db2.gz KKUAWISTCAGQSP-JTQLQIEISA-N -1 1 311.407 1.634 20 0 DDADMM C[C@H](C(=O)N(C)[C@H]1CCN(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823654256 603527515 /nfs/dbraw/zinc/52/75/15/603527515.db2.gz IHFUFHIXMCHJRF-HIFRSBDPSA-N -1 1 319.405 1.718 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N(C)[C@H]1CCN(C(=O)[O-])C1 ZINC000828001587 603711515 /nfs/dbraw/zinc/71/15/15/603711515.db2.gz SZNXJJZHXDHTGB-GJZGRUSLSA-N -1 1 319.405 1.370 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H]3CC[C@H](NC(=O)[O-])C3)[nH]c2c1 ZINC000830433428 603764554 /nfs/dbraw/zinc/76/45/54/603764554.db2.gz UFJGDRCHZCBSDA-MNOVXSKESA-N -1 1 316.361 1.924 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCN(C[C@@H](O)c2ccc(F)c(F)c2)C1 ZINC000827882117 603800159 /nfs/dbraw/zinc/80/01/59/603800159.db2.gz LJDYVIDSFCBAJH-ZWNOBZJWSA-N -1 1 300.305 1.682 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc(CNC(=O)[O-])o2)CN1C1CC1 ZINC000825852564 603933741 /nfs/dbraw/zinc/93/37/41/603933741.db2.gz OQBTUYFXGNACHZ-ZJUUUORDSA-N -1 1 307.350 1.402 20 0 DDADMM O=C([O-])NCC1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000832583501 603944868 /nfs/dbraw/zinc/94/48/68/603944868.db2.gz OYZPERJUSDUKDB-LLVKDONJSA-N -1 1 306.366 1.336 20 0 DDADMM CCN(Cc1ccccc1NC(=O)CCc1nc[nH]n1)C(=O)[O-] ZINC000827182444 604054331 /nfs/dbraw/zinc/05/43/31/604054331.db2.gz MEDAEVWRPYHGIS-UHFFFAOYSA-N -1 1 317.349 1.876 20 0 DDADMM C[C@H](CN(C)C(=O)[O-])C(=O)NC[C@H](c1cccs1)N(C)C ZINC000824853759 604062445 /nfs/dbraw/zinc/06/24/45/604062445.db2.gz CVOBNSZCBHDPOJ-GHMZBOCLSA-N -1 1 313.423 1.713 20 0 DDADMM C[C@H](CN(C)C(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000824855974 604062713 /nfs/dbraw/zinc/06/27/13/604062713.db2.gz XKEIOPPCUKZWGE-CHWSQXEVSA-N -1 1 323.393 1.779 20 0 DDADMM CCC[C@](C)(NC(=O)[O-])C(=O)Nc1cc(CN(C)C)ccn1 ZINC000826720606 604067158 /nfs/dbraw/zinc/06/71/58/604067158.db2.gz KFHIVQFDWCISPQ-HNNXBMFYSA-N -1 1 308.382 1.908 20 0 DDADMM CN(Cc1ccccc1NC(=O)NCc1c[nH]nn1)C(=O)[O-] ZINC000828399570 604137817 /nfs/dbraw/zinc/13/78/17/604137817.db2.gz BGQAYPZYYVSZEO-UHFFFAOYSA-N -1 1 304.310 1.236 20 0 DDADMM O=C([O-])N1CCC[C@@H]1[C@H]1CCCCN1C(=O)CCc1nc[nH]n1 ZINC000831998054 604153288 /nfs/dbraw/zinc/15/32/88/604153288.db2.gz RHSINJYRDCNFLL-VXGBXAGGSA-N -1 1 321.381 1.261 20 0 DDADMM C[N@@H+](CCCn1ccnc1)CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000830781015 604380087 /nfs/dbraw/zinc/38/00/87/604380087.db2.gz BCEKJPMSQDAQNE-UHFFFAOYSA-N -1 1 316.361 1.542 20 0 DDADMM CCNC(=O)NC(=O)[C@H](C)N(CCC(=O)[O-])Cc1ccccc1 ZINC000692456164 604692618 /nfs/dbraw/zinc/69/26/18/604692618.db2.gz RFEMCTUZPWXSMO-LBPRGKRZSA-N -1 1 321.377 1.198 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCN(C(=O)[O-])[C@H](C)C2)n[nH]1 ZINC000830031764 605301713 /nfs/dbraw/zinc/30/17/13/605301713.db2.gz YIIPZMSHJYQVRW-GXSJLCMTSA-N -1 1 309.370 1.043 20 0 DDADMM O=C([O-])N1CC(OCCC(=O)Nc2cc(C(F)(F)F)[nH]n2)C1 ZINC000833993980 605334642 /nfs/dbraw/zinc/33/46/42/605334642.db2.gz XAGFDAHHHDIMIU-UHFFFAOYSA-N -1 1 322.243 1.136 20 0 DDADMM O=C([O-])N1CC[C@H](N(C(=O)c2ccc3[nH]nnc3c2)C2CC2)C1 ZINC000831934772 605467819 /nfs/dbraw/zinc/46/78/19/605467819.db2.gz MZDPSYPWAXPQQR-NSHDSACASA-N -1 1 315.333 1.315 20 0 DDADMM C[C@@H](Oc1ccc(CN(C)CCNC(=O)N(C)C)cc1)C(=O)[O-] ZINC000833537089 605521173 /nfs/dbraw/zinc/52/11/73/605521173.db2.gz HSECTVOYNXZZJG-GFCCVEGCSA-N -1 1 323.393 1.242 20 0 DDADMM Cn1cnnc1CN[C@@H]1CN(C(=O)[O-])CC[C@H]1c1ccccc1 ZINC000833958359 605576785 /nfs/dbraw/zinc/57/67/85/605576785.db2.gz YQAGDSZWCDFQDM-UONOGXRCSA-N -1 1 315.377 1.441 20 0 DDADMM CC(C)CNC(=O)[C@@H](C)N1CCC(CCN(C)C(=O)[O-])CC1 ZINC000833797172 605654179 /nfs/dbraw/zinc/65/41/79/605654179.db2.gz UFJGEXSDBQCQJR-CYBMUJFWSA-N -1 1 313.442 1.859 20 0 DDADMM O=C([O-])N1CCc2ccccc2[C@@H]1C(=O)NCc1cnc[nH]1 ZINC000831708003 605735656 /nfs/dbraw/zinc/73/56/56/605735656.db2.gz CFYNWCRADRKDLT-CYBMUJFWSA-N -1 1 300.318 1.303 20 0 DDADMM O=C([O-])N1CCC2(C[C@H]2C(=O)N[C@H]2CCc3nc[nH]c3C2)CC1 ZINC000834019128 605959703 /nfs/dbraw/zinc/95/97/03/605959703.db2.gz OVTIGERFVYUUAV-QWRGUYRKSA-N -1 1 318.377 1.163 20 0 DDADMM O=C([O-])N[C@@H](CN[C@@H]1CCCS(=O)(=O)C1)c1ccccc1 ZINC000834150456 605964125 /nfs/dbraw/zinc/96/41/25/605964125.db2.gz NADIJQCHMNOLMH-OLZOCXBDSA-N -1 1 312.391 1.162 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@@H]1NCc1nncn1-c1ccccc1 ZINC000834176247 605981398 /nfs/dbraw/zinc/98/13/98/605981398.db2.gz RKRTWVCHSAJUNP-STQMWFEESA-N -1 1 301.350 1.546 20 0 DDADMM O=C(CN(C(=O)[O-])C1CC1)Nc1nc(-c2cccs2)n[nH]1 ZINC000834003103 605983349 /nfs/dbraw/zinc/98/33/49/605983349.db2.gz SMGSTTRLWSBZIJ-UHFFFAOYSA-N -1 1 307.335 1.614 20 0 DDADMM C[C@H]1CCC[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820697888 606156568 /nfs/dbraw/zinc/15/65/68/606156568.db2.gz NPEQYQFELQITSF-IUCAKERBSA-N -1 1 304.358 1.300 20 0 DDADMM C[C@H]1CCC[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820697888 606156569 /nfs/dbraw/zinc/15/65/69/606156569.db2.gz NPEQYQFELQITSF-IUCAKERBSA-N -1 1 304.358 1.300 20 0 DDADMM O=C(OCCOc1cccnc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823410201 606179416 /nfs/dbraw/zinc/17/94/16/606179416.db2.gz RFJSHZMNVKDFNK-UHFFFAOYSA-N -1 1 317.330 1.559 20 0 DDADMM O=C(OCCOc1cccnc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823410201 606179417 /nfs/dbraw/zinc/17/94/17/606179417.db2.gz RFJSHZMNVKDFNK-UHFFFAOYSA-N -1 1 317.330 1.559 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccc2n[nH]nc2c1 ZINC000823294700 606294997 /nfs/dbraw/zinc/29/49/97/606294997.db2.gz NLKSGWJDKMXRCK-UHFFFAOYSA-N -1 1 322.288 1.096 20 0 DDADMM CC1(C)OC[C@H](COC(=O)c2sccc2-c2nn[n-]n2)O1 ZINC000820606774 606410429 /nfs/dbraw/zinc/41/04/29/606410429.db2.gz CNNQFOGUPOEBAQ-ZETCQYMHSA-N -1 1 310.335 1.237 20 0 DDADMM COC(=O)CCCCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821660193 606460788 /nfs/dbraw/zinc/46/07/88/606460788.db2.gz IZUVTOPDSPTTKT-UHFFFAOYSA-N -1 1 310.745 1.670 20 0 DDADMM COC(=O)CCCCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821660193 606460790 /nfs/dbraw/zinc/46/07/90/606460790.db2.gz IZUVTOPDSPTTKT-UHFFFAOYSA-N -1 1 310.745 1.670 20 0 DDADMM O=C(NCCc1cscn1)c1ccc(-c2nnn[n-]2)s1 ZINC000823223806 606556339 /nfs/dbraw/zinc/55/63/39/606556339.db2.gz KECSJFJLVWHZPZ-UHFFFAOYSA-N -1 1 306.376 1.357 20 0 DDADMM O=C(NCCc1cscn1)c1ccc(-c2nn[n-]n2)s1 ZINC000823223806 606556341 /nfs/dbraw/zinc/55/63/41/606556341.db2.gz KECSJFJLVWHZPZ-UHFFFAOYSA-N -1 1 306.376 1.357 20 0 DDADMM COCc1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)o1 ZINC000821695033 606651139 /nfs/dbraw/zinc/65/11/39/606651139.db2.gz FXSSOJFRCIFDGB-UHFFFAOYSA-N -1 1 315.289 1.358 20 0 DDADMM COCc1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)o1 ZINC000821695033 606651140 /nfs/dbraw/zinc/65/11/40/606651140.db2.gz FXSSOJFRCIFDGB-UHFFFAOYSA-N -1 1 315.289 1.358 20 0 DDADMM Cc1cccc(CC(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000822299458 606651211 /nfs/dbraw/zinc/65/12/11/606651211.db2.gz JKTWEAKFIAOLHD-UHFFFAOYSA-N -1 1 309.329 1.856 20 0 DDADMM Cc1cccc(CC(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000822299458 606651212 /nfs/dbraw/zinc/65/12/12/606651212.db2.gz JKTWEAKFIAOLHD-UHFFFAOYSA-N -1 1 309.329 1.856 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)[C@H]1COc2ccccc21 ZINC000823380432 607107326 /nfs/dbraw/zinc/10/73/26/607107326.db2.gz XSSIXBCHYRXELO-QMMMGPOBSA-N -1 1 314.330 1.438 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)[C@H]1COc2ccccc21 ZINC000823380432 607107327 /nfs/dbraw/zinc/10/73/27/607107327.db2.gz XSSIXBCHYRXELO-QMMMGPOBSA-N -1 1 314.330 1.438 20 0 DDADMM Cn1cc(-c2nn[nH]n2)cc1C(=O)Nc1ccc(F)cc1[O-] ZINC000822650671 607160144 /nfs/dbraw/zinc/16/01/44/607160144.db2.gz XVERGOYIVNUAGL-UHFFFAOYSA-N -1 1 302.269 1.302 20 0 DDADMM Cc1cnccc1CCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000826319688 607855879 /nfs/dbraw/zinc/85/58/79/607855879.db2.gz NTZHDYYGJHUCMW-UHFFFAOYSA-N -1 1 314.374 1.604 20 0 DDADMM Cc1cnccc1CCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000826319688 607855880 /nfs/dbraw/zinc/85/58/80/607855880.db2.gz NTZHDYYGJHUCMW-UHFFFAOYSA-N -1 1 314.374 1.604 20 0 DDADMM NC(=O)c1cccc(COc2cccc(F)c2-c2nnn[n-]2)c1 ZINC000826393605 607863723 /nfs/dbraw/zinc/86/37/23/607863723.db2.gz AMZDUWSCEBMQQH-UHFFFAOYSA-N -1 1 313.292 1.684 20 0 DDADMM NC(=O)c1cccc(COc2cccc(F)c2-c2nn[n-]n2)c1 ZINC000826393605 607863724 /nfs/dbraw/zinc/86/37/24/607863724.db2.gz AMZDUWSCEBMQQH-UHFFFAOYSA-N -1 1 313.292 1.684 20 0 DDADMM O=C1Nc2ccccc2[C@H]1CCNc1cccc(-c2nnn[n-]2)n1 ZINC000826483295 607895889 /nfs/dbraw/zinc/89/58/89/607895889.db2.gz IQSKPVDYPBYOQJ-LLVKDONJSA-N -1 1 321.344 1.800 20 0 DDADMM O=C1Nc2ccccc2[C@H]1CCNc1cccc(-c2nn[n-]n2)n1 ZINC000826483295 607895890 /nfs/dbraw/zinc/89/58/90/607895890.db2.gz IQSKPVDYPBYOQJ-LLVKDONJSA-N -1 1 321.344 1.800 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CC[C@@H](OCc3ccncc3)C2)c1 ZINC000826514054 607903284 /nfs/dbraw/zinc/90/32/84/607903284.db2.gz FWVVEZBAPJPLSN-CYBMUJFWSA-N -1 1 323.360 1.452 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CC[C@@H](OCc3ccncc3)C2)c1 ZINC000826514054 607903285 /nfs/dbraw/zinc/90/32/85/607903285.db2.gz FWVVEZBAPJPLSN-CYBMUJFWSA-N -1 1 323.360 1.452 20 0 DDADMM Cc1ccnc(COC(=O)c2sccc2-c2nn[n-]n2)n1 ZINC000826308778 607914491 /nfs/dbraw/zinc/91/44/91/607914491.db2.gz PHSRGWQMLVVKPT-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM CN(Cc1ccc(Cl)cc1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825966048 607987830 /nfs/dbraw/zinc/98/78/30/607987830.db2.gz LOQYJICEGOGBRH-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM CN(Cc1ccc(Cl)cc1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825966048 607987831 /nfs/dbraw/zinc/98/78/31/607987831.db2.gz LOQYJICEGOGBRH-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM CC(=O)CCC(C)(C)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000823967868 607996653 /nfs/dbraw/zinc/99/66/53/607996653.db2.gz RJLAKDWEIIOANB-UHFFFAOYSA-N -1 1 317.349 1.906 20 0 DDADMM Cn1ncc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1C(F)F ZINC000826365969 608004990 /nfs/dbraw/zinc/00/49/90/608004990.db2.gz MIYDVXQJEUEQMD-UHFFFAOYSA-N -1 1 320.263 1.185 20 0 DDADMM Cn1ncc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1C(F)F ZINC000826365969 608004991 /nfs/dbraw/zinc/00/49/91/608004991.db2.gz MIYDVXQJEUEQMD-UHFFFAOYSA-N -1 1 320.263 1.185 20 0 DDADMM Brc1ccccc1Cn1ccnc1-c1nnn[n-]1 ZINC000823831834 608013066 /nfs/dbraw/zinc/01/30/66/608013066.db2.gz FDVHXFTUCHCQIE-UHFFFAOYSA-N -1 1 305.139 1.874 20 0 DDADMM Brc1ccccc1Cn1ccnc1-c1nn[n-]n1 ZINC000823831834 608013067 /nfs/dbraw/zinc/01/30/67/608013067.db2.gz FDVHXFTUCHCQIE-UHFFFAOYSA-N -1 1 305.139 1.874 20 0 DDADMM c1cn(Cc2nc(-c3ccsc3)no2)c(-c2nnn[n-]2)n1 ZINC000826525150 608014695 /nfs/dbraw/zinc/01/46/95/608014695.db2.gz YPOHNPJIDYPXHI-UHFFFAOYSA-N -1 1 300.307 1.223 20 0 DDADMM c1cn(Cc2nc(-c3ccsc3)no2)c(-c2nn[n-]n2)n1 ZINC000826525150 608014696 /nfs/dbraw/zinc/01/46/96/608014696.db2.gz YPOHNPJIDYPXHI-UHFFFAOYSA-N -1 1 300.307 1.223 20 0 DDADMM c1ccc(CO[C@H]2CCN(c3cccc(-c4nnn[n-]4)n3)C2)nc1 ZINC000826521490 608016584 /nfs/dbraw/zinc/01/65/84/608016584.db2.gz OTXFDDOQRWGDAO-ZDUSSCGKSA-N -1 1 323.360 1.452 20 0 DDADMM c1ccc(CO[C@H]2CCN(c3cccc(-c4nn[n-]n4)n3)C2)nc1 ZINC000826521490 608016585 /nfs/dbraw/zinc/01/65/85/608016585.db2.gz OTXFDDOQRWGDAO-ZDUSSCGKSA-N -1 1 323.360 1.452 20 0 DDADMM O=C(N[C@H](CCO)c1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826426354 608073626 /nfs/dbraw/zinc/07/36/26/608073626.db2.gz LPTZFFXYOMJIEP-CYBMUJFWSA-N -1 1 324.344 1.115 20 0 DDADMM O=C(N[C@H](CCO)c1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826426354 608073627 /nfs/dbraw/zinc/07/36/27/608073627.db2.gz LPTZFFXYOMJIEP-CYBMUJFWSA-N -1 1 324.344 1.115 20 0 DDADMM CCCC[C@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)OC ZINC000825265856 608103886 /nfs/dbraw/zinc/10/38/86/608103886.db2.gz BTEQGNBSIAVMOP-QMMMGPOBSA-N -1 1 324.362 1.817 20 0 DDADMM CCCC[C@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)OC ZINC000825265856 608103887 /nfs/dbraw/zinc/10/38/87/608103887.db2.gz BTEQGNBSIAVMOP-QMMMGPOBSA-N -1 1 324.362 1.817 20 0 DDADMM CCCC[C@H](OC(=O)c1sccc1-c1nn[n-]n1)C(=O)OC ZINC000825267638 608103930 /nfs/dbraw/zinc/10/39/30/608103930.db2.gz LETJPUMXEMMZFZ-VIFPVBQESA-N -1 1 324.362 1.817 20 0 DDADMM O=C1CCCc2cc(Oc3nccnc3-c3nn[n-]n3)ccc2N1 ZINC000826480493 608151148 /nfs/dbraw/zinc/15/11/48/608151148.db2.gz DRCXJHHZCDVIBP-UHFFFAOYSA-N -1 1 323.316 1.724 20 0 DDADMM CC[C@@H]1CO[C@@H](C)CN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000825218820 608188905 /nfs/dbraw/zinc/18/89/05/608188905.db2.gz FRSUEBVDFSNUPB-NKWVEPMBSA-N -1 1 314.802 1.980 20 0 DDADMM CC[C@@H]1CO[C@@H](C)CN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000825218820 608188907 /nfs/dbraw/zinc/18/89/07/608188907.db2.gz FRSUEBVDFSNUPB-NKWVEPMBSA-N -1 1 314.802 1.980 20 0 DDADMM CN(Cc1nccn1C)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825999330 608391824 /nfs/dbraw/zinc/39/18/24/608391824.db2.gz WWGPPJYCCXLLLU-UHFFFAOYSA-N -1 1 304.745 1.285 20 0 DDADMM CN(Cc1nccn1C)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825999330 608391822 /nfs/dbraw/zinc/39/18/22/608391822.db2.gz WWGPPJYCCXLLLU-UHFFFAOYSA-N -1 1 304.745 1.285 20 0 DDADMM CC(=O)Nc1cccc(CNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000824005422 608398270 /nfs/dbraw/zinc/39/82/70/608398270.db2.gz RLMSFZPOXKMSKD-UHFFFAOYSA-N -1 1 310.321 1.227 20 0 DDADMM CC(=O)Nc1cccc(CNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000824005422 608398271 /nfs/dbraw/zinc/39/82/71/608398271.db2.gz RLMSFZPOXKMSKD-UHFFFAOYSA-N -1 1 310.321 1.227 20 0 DDADMM CC[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)c1c(C)nn(C)c1C ZINC000825147680 608426046 /nfs/dbraw/zinc/42/60/46/608426046.db2.gz LKYOSQNKHXPZNO-SNVBAGLBSA-N -1 1 313.369 1.570 20 0 DDADMM CC[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)c1c(C)nn(C)c1C ZINC000825147680 608426048 /nfs/dbraw/zinc/42/60/48/608426048.db2.gz LKYOSQNKHXPZNO-SNVBAGLBSA-N -1 1 313.369 1.570 20 0 DDADMM C[C@H](C[C@H](O)c1ccccc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824390866 608431064 /nfs/dbraw/zinc/43/10/64/608431064.db2.gz FBAFEBRPYUORBO-MFKMUULPSA-N -1 1 311.349 1.581 20 0 DDADMM C[C@H](C[C@H](O)c1ccccc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824390866 608431065 /nfs/dbraw/zinc/43/10/65/608431065.db2.gz FBAFEBRPYUORBO-MFKMUULPSA-N -1 1 311.349 1.581 20 0 DDADMM c1cn(CCCc2nc(-c3ccncc3)no2)c(-c2nnn[n-]2)n1 ZINC000826515198 608891790 /nfs/dbraw/zinc/89/17/90/608891790.db2.gz QEWHJUHPOFVSPB-UHFFFAOYSA-N -1 1 323.320 1.141 20 0 DDADMM c1cn(CCCc2nc(-c3ccncc3)no2)c(-c2nn[n-]n2)n1 ZINC000826515198 608891791 /nfs/dbraw/zinc/89/17/91/608891791.db2.gz QEWHJUHPOFVSPB-UHFFFAOYSA-N -1 1 323.320 1.141 20 0 DDADMM C[C@@H](CO)CNc1ccn(-c2cccc(F)c2-c2nn[n-]n2)n1 ZINC000824441777 609278440 /nfs/dbraw/zinc/27/84/40/609278440.db2.gz SFPUCMNBNCVVAO-SECBINFHSA-N -1 1 317.328 1.232 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CC23CCCCC3)c1-c1nnn[n-]1 ZINC000826346248 609470650 /nfs/dbraw/zinc/47/06/50/609470650.db2.gz HNEBYVIJXBGILQ-NSHDSACASA-N -1 1 316.365 1.545 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CC23CCCCC3)c1-c1nn[n-]n1 ZINC000826346248 609470651 /nfs/dbraw/zinc/47/06/51/609470651.db2.gz HNEBYVIJXBGILQ-NSHDSACASA-N -1 1 316.365 1.545 20 0 DDADMM COCC[C@@H](Cc1ccco1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826154536 609590012 /nfs/dbraw/zinc/59/00/12/609590012.db2.gz RENSKYYRVBJHAL-JTQLQIEISA-N -1 1 315.337 1.309 20 0 DDADMM COCC[C@@H](Cc1ccco1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826154536 609590014 /nfs/dbraw/zinc/59/00/14/609590014.db2.gz RENSKYYRVBJHAL-JTQLQIEISA-N -1 1 315.337 1.309 20 0 DDADMM O=C(c1ccc(OS(=O)(=O)c2c[n-]cn2)cc1)N1CCCC1 ZINC000121955501 696717565 /nfs/dbraw/zinc/71/75/65/696717565.db2.gz ZDZOJTAHOCRLQH-UHFFFAOYSA-N -1 1 321.358 1.413 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1)c1ncccc1[O-] ZINC000973995105 695615570 /nfs/dbraw/zinc/61/55/70/695615570.db2.gz AAMIJNMOAVAOTQ-UZPTXHBLSA-N -1 1 315.373 1.210 20 0 DDADMM CC[C@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)c1ccccc1 ZINC000798145458 700044152 /nfs/dbraw/zinc/04/41/52/700044152.db2.gz MATORWUIZGUWTC-ZDUSSCGKSA-N -1 1 315.377 1.204 20 0 DDADMM O=C(COc1ccccc1F)N[N-]C(=O)c1cc(F)ccc1F ZINC000022627631 696078571 /nfs/dbraw/zinc/07/85/71/696078571.db2.gz KTNZSYXCPUQLKQ-UHFFFAOYSA-N -1 1 324.258 1.944 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCOc1cccnc1 ZINC000747395602 700067734 /nfs/dbraw/zinc/06/77/34/700067734.db2.gz QKVARWYCCZOHEO-UHFFFAOYSA-N -1 1 320.374 1.416 20 0 DDADMM C[C@H](C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-])C1CC1 ZINC000977622251 696238018 /nfs/dbraw/zinc/23/80/18/696238018.db2.gz WDNWJCBDUDJTTQ-AAEUAGOBSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1ccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)n1C ZINC000053226327 696264569 /nfs/dbraw/zinc/26/45/69/696264569.db2.gz HCBQDBCTDSISFU-UHFFFAOYSA-N -1 1 300.314 1.447 20 0 DDADMM CCC(Nc1ccnn1C(C)C)=C1C(=O)[N-]C(=S)NC1=O ZINC000054502493 696276694 /nfs/dbraw/zinc/27/66/94/696276694.db2.gz IYXQGGHNWUZKNC-UHFFFAOYSA-N -1 1 307.379 1.071 20 0 DDADMM CN(CCCNC(=O)c1c([O-])cccc1Cl)S(C)(=O)=O ZINC000057308631 696296002 /nfs/dbraw/zinc/29/60/02/696296002.db2.gz AZJIRZYBZXAXLA-UHFFFAOYSA-N -1 1 320.798 1.057 20 0 DDADMM CCOCCOC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000057604111 696298326 /nfs/dbraw/zinc/29/83/26/696298326.db2.gz KPXLIPDQTIKXPI-UHFFFAOYSA-N -1 1 307.350 1.564 20 0 DDADMM CCNC(=O)NC(=O)[C@H](C)OC(=O)c1c([O-])cc(F)cc1F ZINC000063543536 696328991 /nfs/dbraw/zinc/32/89/91/696328991.db2.gz KANYVCJSKPMEFV-LURJTMIESA-N -1 1 316.260 1.061 20 0 DDADMM O=C(O[C@H]1CCC(=O)NC1=O)c1cc(F)cc(Cl)c1[O-] ZINC000798630407 700082031 /nfs/dbraw/zinc/08/20/31/700082031.db2.gz SRVMITKEWHLWSP-QMMMGPOBSA-N -1 1 301.657 1.147 20 0 DDADMM Cc1nnc([N-]C(=O)c2nc(C)n(-c3ccccc3)n2)s1 ZINC000068073100 696367437 /nfs/dbraw/zinc/36/74/37/696367437.db2.gz FIICKGNLFLXILN-UHFFFAOYSA-N -1 1 300.347 1.988 20 0 DDADMM CCCc1[nH]nc(C(=O)[N-]NC(=O)c2ccccc2)c1[N+](=O)[O-] ZINC000068396118 696372153 /nfs/dbraw/zinc/37/21/53/696372153.db2.gz ILVYYCKLAWJTAM-UHFFFAOYSA-N -1 1 317.305 1.345 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000978542419 696407297 /nfs/dbraw/zinc/40/72/97/696407297.db2.gz VDQWWEWJNOIAAJ-WYUUTHIRSA-N -1 1 303.362 1.068 20 0 DDADMM O=C(N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1)c1ccoc1 ZINC000978740336 696450176 /nfs/dbraw/zinc/45/01/76/696450176.db2.gz BEKHOJPNYKAATD-KLPPZKSPSA-N -1 1 315.329 1.319 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(Cc2ccccc2F)C2CC2)s1 ZINC000079382063 696459905 /nfs/dbraw/zinc/45/99/05/696459905.db2.gz XUKBSMLPNZUZDV-GFCCVEGCSA-N -1 1 321.377 1.664 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC(c3ccccc3)CC2)s1 ZINC000079430873 696460404 /nfs/dbraw/zinc/46/04/04/696460404.db2.gz BQDCASQMJWAVAI-ZDUSSCGKSA-N -1 1 317.414 1.739 20 0 DDADMM Cc1ccc([C@@H](C)N(C)C(=O)Cc2sc(N)nc2[O-])cc1 ZINC000079486615 696460883 /nfs/dbraw/zinc/46/08/83/696460883.db2.gz BUKWMGJFYFRSHU-PWSUYJOCSA-N -1 1 305.403 1.861 20 0 DDADMM CCCCN(CC(F)(F)F)C(=O)Cc1sc(N)nc1[O-] ZINC000079703772 696462587 /nfs/dbraw/zinc/46/25/87/696462587.db2.gz FMIIAFROZFHMCW-SSDOTTSWSA-N -1 1 311.329 1.524 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@@H](c3ccccc3)C2)s1 ZINC000079810755 696463747 /nfs/dbraw/zinc/46/37/47/696463747.db2.gz ZYUQMRFESWNPDT-NEPJUHHUSA-N -1 1 303.387 1.349 20 0 DDADMM COC[C@@H](C)NC(=O)N[N-]C(=O)c1ccc(Cl)cc1F ZINC000083019693 696549888 /nfs/dbraw/zinc/54/98/88/696549888.db2.gz LAZAHVVXWVZGRB-SSDOTTSWSA-N -1 1 303.721 1.458 20 0 DDADMM CC(C)C[C@@H](C)OCCC(=O)NCCc1n[n-]c(=S)n1C ZINC000087301905 696567994 /nfs/dbraw/zinc/56/79/94/696567994.db2.gz AURMTJRXKSQFAT-LLVKDONJSA-N -1 1 314.455 1.978 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979671309 696623528 /nfs/dbraw/zinc/62/35/28/696623528.db2.gz IFRROJBYWUEHEP-FDYHWXHSSA-N -1 1 317.389 1.458 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979671306 696623552 /nfs/dbraw/zinc/62/35/52/696623552.db2.gz IFRROJBYWUEHEP-CYDGBPFRSA-N -1 1 317.389 1.458 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2ccc3c(c2)C(=O)OC3)[nH]n1 ZINC000126452755 696762314 /nfs/dbraw/zinc/76/23/14/696762314.db2.gz ACLAETVFTCTDJZ-UHFFFAOYSA-N -1 1 321.358 1.833 20 0 DDADMM C[C@H](Sc1nc2ccccc2s1)C(=O)Nc1nnn[n-]1 ZINC000130616628 696799961 /nfs/dbraw/zinc/79/99/61/696799961.db2.gz NCNYWFUOBQCISL-LURJTMIESA-N -1 1 306.376 1.929 20 0 DDADMM C[C@H](Sc1nc2ccccc2s1)C(=O)Nc1nn[n-]n1 ZINC000130616628 696799963 /nfs/dbraw/zinc/79/99/63/696799963.db2.gz NCNYWFUOBQCISL-LURJTMIESA-N -1 1 306.376 1.929 20 0 DDADMM CN(CC(C)(C)S(C)(=O)=O)C(=O)c1cc(Cl)ccc1[O-] ZINC000131123343 696804288 /nfs/dbraw/zinc/80/42/88/696804288.db2.gz INQOWEXODDYWIT-UHFFFAOYSA-N -1 1 319.810 1.941 20 0 DDADMM CC[C@H](C)[C@H](C)C(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000131992091 696809965 /nfs/dbraw/zinc/80/99/65/696809965.db2.gz VGPZXCZBZQHICS-UWVGGRQHSA-N -1 1 306.366 1.494 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC=CC1 ZINC000982669205 697167081 /nfs/dbraw/zinc/16/70/81/697167081.db2.gz BCVUYVONKOCYRH-GFCCVEGCSA-N -1 1 315.373 1.332 20 0 DDADMM CC(=O)N[C@@H]1CCC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000983399035 697254741 /nfs/dbraw/zinc/25/47/41/697254741.db2.gz WTMTVTRRDXILQD-VXGBXAGGSA-N -1 1 318.377 1.237 20 0 DDADMM CCONC(=O)N[N-]C(=O)c1cc(F)ccc1Br ZINC000166423580 697341459 /nfs/dbraw/zinc/34/14/59/697341459.db2.gz RNAVVVDQEWFSOR-UHFFFAOYSA-N -1 1 320.118 1.484 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)C2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC000984392918 697378580 /nfs/dbraw/zinc/37/85/80/697378580.db2.gz SIKPGKRRTBQSAJ-QWHCGFSZSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000984499208 697393680 /nfs/dbraw/zinc/39/36/80/697393680.db2.gz ONBDASUOJNMHTM-XQQFMLRXSA-N -1 1 317.389 1.506 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C2CC(C)(C)C2)CC1 ZINC000985414379 697506585 /nfs/dbraw/zinc/50/65/85/697506585.db2.gz FLWHFEBNEHVOLU-UHFFFAOYSA-N -1 1 321.425 1.369 20 0 DDADMM CCC1(C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC000985630018 697546242 /nfs/dbraw/zinc/54/62/42/697546242.db2.gz CGOZZPWESYABKN-UHFFFAOYSA-N -1 1 307.398 1.123 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C[C@H]1C=CCCC1 ZINC000187822099 697550283 /nfs/dbraw/zinc/55/02/83/697550283.db2.gz IFIXSDOANVXTOM-LBPRGKRZSA-N -1 1 316.361 1.558 20 0 DDADMM O=C(Nc1ccccc1-c1ccccc1)NN1CC(=O)[N-]C1=O ZINC000190919349 697599195 /nfs/dbraw/zinc/59/91/95/697599195.db2.gz ZSEKKABHPCUFBA-UHFFFAOYSA-N -1 1 310.313 1.942 20 0 DDADMM COc1ccccc1CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773160125 697721394 /nfs/dbraw/zinc/72/13/94/697721394.db2.gz HYZUGKUMJOYCIW-NSHDSACASA-N -1 1 319.390 1.068 20 0 DDADMM O=C([C@@H]1CC1(Cl)Cl)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000773312679 697742495 /nfs/dbraw/zinc/74/24/95/697742495.db2.gz CUAVVYGYJGALFN-RQJHMYQMSA-N -1 1 306.149 1.675 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CCCNC(=O)C1 ZINC000231329336 697786401 /nfs/dbraw/zinc/78/64/01/697786401.db2.gz HLPVKQYRFZRALD-UHFFFAOYSA-N -1 1 313.151 1.117 20 0 DDADMM C[C@H](CN(C)C(=O)c1c[nH]c2cccc(F)c12)c1nn[n-]n1 ZINC000773998926 697832548 /nfs/dbraw/zinc/83/25/48/697832548.db2.gz CWFPVWVEYURMNY-MRVPVSSYSA-N -1 1 302.313 1.696 20 0 DDADMM CN(C)c1ccnc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000749736329 700181198 /nfs/dbraw/zinc/18/11/98/700181198.db2.gz AJVHKJROMLENMH-CQSZACIVSA-N -1 1 323.360 1.180 20 0 DDADMM O=C(c1cccc(Cl)c1[O-])N1CC[C@H](CN2CCOCC2)C1 ZINC000775756344 698030062 /nfs/dbraw/zinc/03/00/62/698030062.db2.gz GTCCBYXIHWSOGY-GFCCVEGCSA-N -1 1 324.808 1.840 20 0 DDADMM Cc1ccc(O)c(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000776563803 698117261 /nfs/dbraw/zinc/11/72/61/698117261.db2.gz KTZFHQODIVPXQD-JTQLQIEISA-N -1 1 305.363 1.144 20 0 DDADMM O=C(c1cc2ccccc2[nH]1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776562080 698117324 /nfs/dbraw/zinc/11/73/24/698117324.db2.gz AQFOMASPFURBLK-LBPRGKRZSA-N -1 1 314.374 1.611 20 0 DDADMM CC(C)(C)OC(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC000779085335 698394623 /nfs/dbraw/zinc/39/46/23/698394623.db2.gz QDZIRTVMGVBDRC-SNAWJCMRSA-N -1 1 307.350 1.598 20 0 DDADMM COC(=O)N1CCC[C@H]([C@H](C)NC(=O)c2ncc(C)cc2[O-])C1 ZINC000779101681 698395671 /nfs/dbraw/zinc/39/56/71/698395671.db2.gz QWEFCYPUARXWNO-RYUDHWBXSA-N -1 1 321.377 1.692 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCC1CCC1 ZINC000988516905 698395812 /nfs/dbraw/zinc/39/58/12/698395812.db2.gz DCEXEPDBMITSMA-JQWIXIFHSA-N -1 1 307.398 1.170 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2coc(C)c2)cc1 ZINC000781372468 698611898 /nfs/dbraw/zinc/61/18/98/698611898.db2.gz UOQUIYNOLVLBJW-UHFFFAOYSA-N -1 1 317.297 1.710 20 0 DDADMM Cc1ccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cc1 ZINC000989710216 698736534 /nfs/dbraw/zinc/73/65/34/698736534.db2.gz CEEUGWUUDCLDKZ-WCQYABFASA-N -1 1 315.377 1.212 20 0 DDADMM CC[S@](=O)CCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000785411735 699074562 /nfs/dbraw/zinc/07/45/62/699074562.db2.gz SDPWHSHYLJQUFX-IBGZPJMESA-N -1 1 305.783 1.553 20 0 DDADMM COc1cccc([C@@H](CNC(=O)N[C@H](C)C(=O)[O-])N(C)C)c1 ZINC000385805623 699075538 /nfs/dbraw/zinc/07/55/38/699075538.db2.gz QWEUESAIOBRGLQ-ZWNOBZJWSA-N -1 1 309.366 1.070 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H](CO)C2CCCCC2)c1Cl ZINC000386432425 699077612 /nfs/dbraw/zinc/07/76/12/699077612.db2.gz ZRSIUAOLDIKFBJ-SNVBAGLBSA-N -1 1 321.830 1.293 20 0 DDADMM C[C@@H](NS(=O)(=O)c1ccc(C(=O)[O-])cc1F)C(F)(F)F ZINC000389220624 699088587 /nfs/dbraw/zinc/08/85/87/699088587.db2.gz NCCVVCQQNHYFLS-RXMQYKEDSA-N -1 1 315.244 1.753 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2cccnc2Cl)s1 ZINC000392063270 699100184 /nfs/dbraw/zinc/10/01/84/699100184.db2.gz ONLAWTXDGZAZJG-ZCFIWIBFSA-N -1 1 318.811 1.934 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000990645891 699121093 /nfs/dbraw/zinc/12/10/93/699121093.db2.gz JARZSIKIRUAEJZ-IMRBUKKESA-N -1 1 315.373 1.164 20 0 DDADMM CN1C(=O)CC[C@H]1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000786189327 699133189 /nfs/dbraw/zinc/13/31/89/699133189.db2.gz GXKTZWZAAIZCOI-LBPRGKRZSA-N -1 1 317.297 1.163 20 0 DDADMM CCc1ccoc1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990972124 699196535 /nfs/dbraw/zinc/19/65/35/699196535.db2.gz LPVIBQRTGDQLEC-UHFFFAOYSA-N -1 1 315.329 1.197 20 0 DDADMM O=C(NC1CN(C(=O)[C@@H]2CCCC23CC3)C1)c1ncccc1[O-] ZINC000990974590 699197133 /nfs/dbraw/zinc/19/71/33/699197133.db2.gz IDVWCXGUGQAGQR-LBPRGKRZSA-N -1 1 315.373 1.308 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(CCOc2ccc(Cl)cc2Cl)C1 ZINC000706435874 699243563 /nfs/dbraw/zinc/24/35/63/699243563.db2.gz VJYUKDJVJSPGES-CYBMUJFWSA-N -1 1 320.172 1.894 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)c1ccccn1 ZINC000709556702 699252419 /nfs/dbraw/zinc/25/24/19/699252419.db2.gz VSHMEXZVJCSNGI-SECBINFHSA-N -1 1 314.798 1.551 20 0 DDADMM Cc1ccc(N2CCNC2=O)cc1NC(=O)c1cncc([O-])c1 ZINC000787928286 699255384 /nfs/dbraw/zinc/25/53/84/699255384.db2.gz FMNJRBOGHGWLSN-UHFFFAOYSA-N -1 1 312.329 1.878 20 0 DDADMM O=S(=O)([N-]C1CCC2(CC1)OCCO2)c1ccns1 ZINC000717665008 699289862 /nfs/dbraw/zinc/28/98/62/699289862.db2.gz YVSBKBRIDXMXPD-UHFFFAOYSA-N -1 1 304.393 1.107 20 0 DDADMM CCOC(=O)c1n[n-]c(-c2cccc(CNC(=S)NC)c2)n1 ZINC000788331082 699304295 /nfs/dbraw/zinc/30/42/95/699304295.db2.gz GAZSUTAAGDJUES-UHFFFAOYSA-N -1 1 319.390 1.242 20 0 DDADMM Cc1cccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1O ZINC000788601129 699326522 /nfs/dbraw/zinc/32/65/22/699326522.db2.gz FPYPMWQIQRDOQW-SNVBAGLBSA-N -1 1 305.363 1.144 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC3OCCCO3)cnc2n1 ZINC000788609221 699326773 /nfs/dbraw/zinc/32/67/73/699326773.db2.gz AEZFEBQMPNUTLK-UHFFFAOYSA-N -1 1 303.318 1.137 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC1OCCCO1)c2=O ZINC000788609221 699326777 /nfs/dbraw/zinc/32/67/77/699326777.db2.gz AEZFEBQMPNUTLK-UHFFFAOYSA-N -1 1 303.318 1.137 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)CCC(F)(F)F ZINC000790225641 699448150 /nfs/dbraw/zinc/44/81/50/699448150.db2.gz PNYMFJWQQMTMDG-SECBINFHSA-N -1 1 317.329 1.590 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc2c(c1)CCC(=O)N2 ZINC000730099260 699500924 /nfs/dbraw/zinc/50/09/24/699500924.db2.gz JCRIEIJGXJHKFN-UHFFFAOYSA-N -1 1 307.331 1.371 20 0 DDADMM COC(=O)Cc1ccc(OS(=O)(=O)c2c[n-]nc2C)cc1 ZINC000730099489 699501066 /nfs/dbraw/zinc/50/10/66/699501066.db2.gz PUSPGNHFJAXMCR-UHFFFAOYSA-N -1 1 310.331 1.201 20 0 DDADMM O=C(c1ccc(C(F)F)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732089644 699545991 /nfs/dbraw/zinc/54/59/91/699545991.db2.gz LFENSPQKGVPSQF-SNVBAGLBSA-N -1 1 309.276 1.351 20 0 DDADMM CCNC(=O)c1ccc(OS(=O)(=O)c2c[n-]nc2C)cc1 ZINC000734847008 699664144 /nfs/dbraw/zinc/66/41/44/699664144.db2.gz XCGFZGUFYDNPII-UHFFFAOYSA-N -1 1 309.347 1.236 20 0 DDADMM CC(=CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccc(F)cc1 ZINC000735450350 699694506 /nfs/dbraw/zinc/69/45/06/699694506.db2.gz YTSOUYRYSTWVFQ-FROQITRMSA-N -1 1 317.324 1.342 20 0 DDADMM C[C@H]1CCCN(CC(=O)N2Cc3ccccc3C[C@H]2C(=O)[O-])C1 ZINC000736795273 699732220 /nfs/dbraw/zinc/73/22/20/699732220.db2.gz URAHWUOOJRHVCM-BBRMVZONSA-N -1 1 316.401 1.756 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C=O)c(C)cc1C)c1nn[n-]n1 ZINC000793621840 699768098 /nfs/dbraw/zinc/76/80/98/699768098.db2.gz NPXBCHTUVNCZKP-CYBMUJFWSA-N -1 1 301.350 1.900 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CC[C@H](CNC(=O)[O-])C1 ZINC000739608925 699776663 /nfs/dbraw/zinc/77/66/63/699776663.db2.gz RNTHVMQEEPYCKJ-CABCVRRESA-N -1 1 319.405 1.275 20 0 DDADMM NS(=O)(=O)c1ccc(CC(=O)Nc2c([O-])cccc2F)cc1 ZINC000743415711 699911749 /nfs/dbraw/zinc/91/17/49/699911749.db2.gz JRLNFRVDGMWSSE-UHFFFAOYSA-N -1 1 324.333 1.360 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C)C(=O)NCC(F)(F)F)[n-]1 ZINC000796347621 699928365 /nfs/dbraw/zinc/92/83/65/699928365.db2.gz ZHSJSIBTFWRIDH-ZCFIWIBFSA-N -1 1 322.239 1.025 20 0 DDADMM O=C(COC(=O)[C@@H]1CCC(F)(F)C1)[N-]C(=O)c1ccccc1 ZINC000796371626 699930294 /nfs/dbraw/zinc/93/02/94/699930294.db2.gz SLAQXZDPVPWQNW-LLVKDONJSA-N -1 1 311.284 1.922 20 0 DDADMM O=C(COC(=O)Cc1ccccc1O)[N-]C(=O)c1ccccc1 ZINC000744908740 699965197 /nfs/dbraw/zinc/96/51/97/699965197.db2.gz AHNPARLJWAHXSN-UHFFFAOYSA-N -1 1 313.309 1.435 20 0 DDADMM CCn1ncnc1COC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801203503 700277287 /nfs/dbraw/zinc/27/72/87/700277287.db2.gz UCMQZJFVSKEUGV-UHFFFAOYSA-N -1 1 313.317 1.546 20 0 DDADMM COCC(=O)COC(=O)c1nn(-c2ccc(C)cc2)cc1[O-] ZINC000801367643 700301534 /nfs/dbraw/zinc/30/15/34/700301534.db2.gz VKSYUJLVPFWUOT-UHFFFAOYSA-N -1 1 304.302 1.259 20 0 DDADMM O=C(O[C@H]1CCNC1=O)c1nn(-c2ccc(Cl)cc2)cc1[O-] ZINC000801375975 700302267 /nfs/dbraw/zinc/30/22/67/700302267.db2.gz UYNGJRJAQZNCRM-NSHDSACASA-N -1 1 321.720 1.277 20 0 DDADMM Cn1ncc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1C(F)(F)F ZINC000801704608 700333225 /nfs/dbraw/zinc/33/32/25/700333225.db2.gz RMWWAYWAEGBALY-UHFFFAOYSA-N -1 1 324.266 1.346 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCNc2ccccc2)o1 ZINC000759100228 700737340 /nfs/dbraw/zinc/73/73/40/700737340.db2.gz JFKCDERHGPBGRO-UHFFFAOYSA-N -1 1 324.358 1.457 20 0 DDADMM O=C(OCCn1cncn1)c1ccc(Br)c([O-])c1 ZINC000759789093 700772818 /nfs/dbraw/zinc/77/28/18/700772818.db2.gz DMGIGWZGNDENSD-UHFFFAOYSA-N -1 1 312.123 1.603 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2CC23CCC3)cc1 ZINC000759987529 700786515 /nfs/dbraw/zinc/78/65/15/700786515.db2.gz VQTHZCYWCHZXDK-ZDUSSCGKSA-N -1 1 317.341 1.685 20 0 DDADMM O=C(C1CCC(F)(F)CC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000763008914 700919239 /nfs/dbraw/zinc/91/92/39/700919239.db2.gz UCVASGIKTUEQST-SECBINFHSA-N -1 1 317.365 1.642 20 0 DDADMM O=C(N[C@H](CCO)C1CCOCC1)c1c([O-])cccc1Cl ZINC000764399931 700969325 /nfs/dbraw/zinc/96/93/25/700969325.db2.gz BGNZLLVYRMTFCP-GFCCVEGCSA-N -1 1 313.781 1.953 20 0 DDADMM Cc1cccc(OCCCC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765387609 701009155 /nfs/dbraw/zinc/00/91/55/701009155.db2.gz WYAIOJWHDBJLTQ-UHFFFAOYSA-N -1 1 305.334 1.319 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2ccc(Cl)cc2Cl)nc1=O ZINC000765391315 701009383 /nfs/dbraw/zinc/00/93/83/701009383.db2.gz WXJRHNIZEMHNFY-UHFFFAOYSA-N -1 1 316.144 1.701 20 0 DDADMM Cn1[n-]c(COC(=O)CCCOc2ccc(F)cc2)nc1=O ZINC000765438093 701012851 /nfs/dbraw/zinc/01/28/51/701012851.db2.gz JJIROCUIVJLNTN-UHFFFAOYSA-N -1 1 309.297 1.150 20 0 DDADMM CCOc1ccc2cc(C(=O)OCc3nc(=O)n(C)[n-]3)[nH]c2c1 ZINC000765466628 701014023 /nfs/dbraw/zinc/01/40/23/701014023.db2.gz XSNWNSWLMFWHFN-UHFFFAOYSA-N -1 1 316.317 1.345 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc(C(F)(F)F)c2F)nc1=O ZINC000765492548 701015907 /nfs/dbraw/zinc/01/59/07/701015907.db2.gz PCHVLTQVQOFOPJ-UHFFFAOYSA-N -1 1 319.214 1.623 20 0 DDADMM CC(C)(C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C1CCCCC1 ZINC000767145429 701086210 /nfs/dbraw/zinc/08/62/10/701086210.db2.gz SKBWBGXWLIMWIR-UHFFFAOYSA-N -1 1 314.411 1.753 20 0 DDADMM CC(C)(C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C1CCCCC1 ZINC000767145429 701086211 /nfs/dbraw/zinc/08/62/11/701086211.db2.gz SKBWBGXWLIMWIR-UHFFFAOYSA-N -1 1 314.411 1.753 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)nc1Cl ZINC000768326459 701169281 /nfs/dbraw/zinc/16/92/81/701169281.db2.gz ZMZZDTDACMCUQW-MRVPVSSYSA-N -1 1 322.756 1.277 20 0 DDADMM COC(=O)C1(CS(=O)(=O)[N-]C(C)(C)C(F)F)CCCC1 ZINC000809840608 701694893 /nfs/dbraw/zinc/69/48/93/701694893.db2.gz SZIXSASZCRYHHV-UHFFFAOYSA-N -1 1 313.366 1.683 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2cc(F)c(Cl)cc2Cl)C1=O ZINC000770454994 701278659 /nfs/dbraw/zinc/27/86/59/701278659.db2.gz VYEBZIOQOXWVRR-UHFFFAOYSA-N -1 1 320.107 1.671 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)c2ncc(C)o2)co1 ZINC000771025118 701304791 /nfs/dbraw/zinc/30/47/91/701304791.db2.gz HFJDUOIZNXJCFJ-QMMMGPOBSA-N -1 1 314.319 1.402 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CSCCS2)sc1C ZINC000771946621 701337859 /nfs/dbraw/zinc/33/78/59/701337859.db2.gz NDENJLJTOOKFSS-VIFPVBQESA-N -1 1 324.518 1.887 20 0 DDADMM CC[C@@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccc(Cl)cc1 ZINC000805603250 701397555 /nfs/dbraw/zinc/39/75/55/701397555.db2.gz JOGOXABSYOQIDV-LLVKDONJSA-N -1 1 322.752 1.882 20 0 DDADMM CC(=O)[C@@H](Cc1ccc(Cl)cc1F)OC(=O)c1cn[n-]n1 ZINC000805604686 701397755 /nfs/dbraw/zinc/39/77/55/701397755.db2.gz DGKOALKMKTYUQZ-GFCCVEGCSA-N -1 1 311.700 1.954 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)NCc1ccc(Cl)cc1 ZINC000805604409 701397936 /nfs/dbraw/zinc/39/79/36/701397936.db2.gz IBHVKEQQADJZII-QMMMGPOBSA-N -1 1 308.725 1.320 20 0 DDADMM CCN(Cc1ccccc1)C(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805604663 701398090 /nfs/dbraw/zinc/39/80/90/701398090.db2.gz OJHWGDQPAFKSNQ-NSHDSACASA-N -1 1 302.334 1.399 20 0 DDADMM COC[C@@H](C)n1c(C)cc(C(=O)COC(=O)c2cn[n-]n2)c1C ZINC000805606149 701399066 /nfs/dbraw/zinc/39/90/66/701399066.db2.gz SSLYBSKYXFMHIV-SNVBAGLBSA-N -1 1 320.349 1.470 20 0 DDADMM CC(=O)N(c1nc(COC(=O)c2cn[n-]n2)cs1)C1CC1 ZINC000805608316 701399789 /nfs/dbraw/zinc/39/97/89/701399789.db2.gz CLAHKLCBQMMHBL-UHFFFAOYSA-N -1 1 307.335 1.134 20 0 DDADMM CC(C)[C@H]1CC[C@@H](C)C[C@H]1OC(=O)COC(=O)c1cn[n-]n1 ZINC000805609407 701399839 /nfs/dbraw/zinc/39/98/39/701399839.db2.gz QMVIWYJIYOLLFJ-NQBHXWOUSA-N -1 1 309.366 1.966 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)N(Cc1ccccc1)C1CC1 ZINC000805609854 701399854 /nfs/dbraw/zinc/39/98/54/701399854.db2.gz VSVVFPIFTRVJQY-UHFFFAOYSA-N -1 1 300.318 1.153 20 0 DDADMM O=C([N-]OC1CCCCC1)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000806428889 701434870 /nfs/dbraw/zinc/43/48/70/701434870.db2.gz YBTUAHZYNQVCFR-GFCCVEGCSA-N -1 1 306.366 1.284 20 0 DDADMM COCCN(C(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CCCC1 ZINC000806480499 701436708 /nfs/dbraw/zinc/43/67/08/701436708.db2.gz NYZJTTLATZQHSU-UHFFFAOYSA-N -1 1 317.393 1.765 20 0 DDADMM CCOC1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000806481079 701436953 /nfs/dbraw/zinc/43/69/53/701436953.db2.gz HVOUHDSPNKZIDF-UHFFFAOYSA-N -1 1 303.366 1.375 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC3(CO)CCCCC3)ccnc1-2 ZINC000806481239 701437088 /nfs/dbraw/zinc/43/70/88/701437088.db2.gz BLXYJYDETOFJFT-UHFFFAOYSA-N -1 1 317.393 1.406 20 0 DDADMM C[C@@H]1N(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCOC1(C)C ZINC000806487798 701437525 /nfs/dbraw/zinc/43/75/25/701437525.db2.gz DKROCWPXNDBGLN-JTQLQIEISA-N -1 1 303.366 1.373 20 0 DDADMM CCOC(=O)[C@@H]1CN(c2cc(Cl)[n-]c(=O)n2)CCC1(F)F ZINC000866084304 706613538 /nfs/dbraw/zinc/61/35/38/706613538.db2.gz BBMLIDUWOOAJMG-ZETCQYMHSA-N -1 1 321.711 1.860 20 0 DDADMM C[C@H]1CCC[C@@]1(C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830953446 706610087 /nfs/dbraw/zinc/61/00/87/706610087.db2.gz VSCAEYRSTWYGNM-MGPLVRAMSA-N -1 1 305.378 1.697 20 0 DDADMM CCCCS(=O)(=O)[N-]C(=O)[C@]1(F)CCN(C(C)(C)C)C1 ZINC000810518881 701782103 /nfs/dbraw/zinc/78/21/03/701782103.db2.gz WNAGOQCBXBMVTB-ZDUSSCGKSA-N -1 1 308.419 1.445 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)OC2CCOCC2)C1 ZINC000867890252 701812975 /nfs/dbraw/zinc/81/29/75/701812975.db2.gz LZXJUBOCLOGNBW-LBPRGKRZSA-N -1 1 324.299 1.445 20 0 DDADMM C[C@@H](O)[C@@H](CO)NC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000839797228 701830055 /nfs/dbraw/zinc/83/00/55/701830055.db2.gz HBCJCPAEVBIROG-RDDDGLTNSA-N -1 1 310.737 1.065 20 0 DDADMM COC(=O)c1ccc(Cl)c(C(=O)[N-]N2CCCNC2=O)c1 ZINC000810942182 701880696 /nfs/dbraw/zinc/88/06/96/701880696.db2.gz YMJPPRJIOAUHND-UHFFFAOYSA-N -1 1 311.725 1.187 20 0 DDADMM CCn1nncc1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000815529370 701891586 /nfs/dbraw/zinc/89/15/86/701891586.db2.gz URWCCXRSNWYXSE-UHFFFAOYSA-N -1 1 315.285 1.467 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC2CCOCC2)C1 ZINC000868109131 701945213 /nfs/dbraw/zinc/94/52/13/701945213.db2.gz UCZXYJFGXFXNSU-GFCCVEGCSA-N -1 1 323.315 1.018 20 0 DDADMM CC1(C)CO[C@@H](CCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1 ZINC000879263142 706634117 /nfs/dbraw/zinc/63/41/17/706634117.db2.gz MECLGHDXAPOLTL-NEPJUHHUSA-N -1 1 307.398 1.501 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H]2CCC(C)(C)C2=O)o1 ZINC000840203941 702027489 /nfs/dbraw/zinc/02/74/89/702027489.db2.gz GYHYICBJDILRCY-MRVPVSSYSA-N -1 1 315.347 1.102 20 0 DDADMM O=C(C1SCCS1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000816498086 702097431 /nfs/dbraw/zinc/09/74/31/702097431.db2.gz FZVGSGVLMGHQHL-ZETCQYMHSA-N -1 1 301.393 1.287 20 0 DDADMM O=C(CSC1CC1)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000831153515 706645494 /nfs/dbraw/zinc/64/54/94/706645494.db2.gz KESBIZKKOYQIAO-UHFFFAOYSA-N -1 1 324.368 1.799 20 0 DDADMM CCCNS(=O)(=O)[N-][C@H](C(=O)OC)c1cc(F)ccc1F ZINC000813662418 702394014 /nfs/dbraw/zinc/39/40/14/702394014.db2.gz FMCIONIKFKEACG-NSHDSACASA-N -1 1 322.333 1.013 20 0 DDADMM CNC(=O)[C@H](CCSC)NC(=O)c1c([O-])cccc1Cl ZINC000813832640 702424695 /nfs/dbraw/zinc/42/46/95/702424695.db2.gz BVMRPEZLVAIYAK-VIFPVBQESA-N -1 1 316.810 1.643 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H](C)C2CCC2)o1 ZINC000817752804 702469134 /nfs/dbraw/zinc/46/91/34/702469134.db2.gz KWZSPVHYIQPGCH-VIFPVBQESA-N -1 1 301.364 1.781 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)CC1Cc2ccccc2C1 ZINC000841535567 702485962 /nfs/dbraw/zinc/48/59/62/702485962.db2.gz SWFMFJOKLAEJQS-AWEZNQCLSA-N -1 1 323.414 1.272 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3C[C@H]4CCC[C@H]4O3)ccnc1-2 ZINC000879415962 706674174 /nfs/dbraw/zinc/67/41/74/706674174.db2.gz JKDCNGSQINITRP-UHIISALHSA-N -1 1 315.377 1.421 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC[C@@H]1CC=CCC1 ZINC000869212611 702512639 /nfs/dbraw/zinc/51/26/39/702512639.db2.gz GIONPCNOWTVSKP-LLVKDONJSA-N -1 1 318.377 1.410 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc(OC)c(O)c1 ZINC000869221796 702516753 /nfs/dbraw/zinc/51/67/53/702516753.db2.gz UICWMFJWJQYYIK-UHFFFAOYSA-N -1 1 311.334 1.333 20 0 DDADMM CC/C(C)=C(\C)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869469340 702617209 /nfs/dbraw/zinc/61/72/09/702617209.db2.gz ARMDCLOAFBIZLE-CMDGGOBGSA-N -1 1 309.284 1.914 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H](C)c1ccccc1OC ZINC000866404988 706690952 /nfs/dbraw/zinc/69/09/52/706690952.db2.gz RYOJOJNKYLWABQ-GIGQVBGESA-N -1 1 320.436 1.708 20 0 DDADMM CSCC[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000866411377 706692424 /nfs/dbraw/zinc/69/24/24/706692424.db2.gz KRLAGBAMJXWONS-QGZVFWFLSA-N -1 1 308.450 1.341 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CCSc1ccccc1 ZINC000866423836 706695737 /nfs/dbraw/zinc/69/57/37/706695737.db2.gz BNUNQYVVVWOZBM-SFHVURJKSA-N -1 1 322.477 1.731 20 0 DDADMM CC(C)OC(=O)CC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866429482 706697190 /nfs/dbraw/zinc/69/71/90/706697190.db2.gz HGMYYTQXCXQHOS-UHFFFAOYSA-N -1 1 324.761 1.494 20 0 DDADMM CC(C)COCCC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866429137 706697228 /nfs/dbraw/zinc/69/72/28/706697228.db2.gz JXNJZMASNOIXLE-UHFFFAOYSA-N -1 1 308.350 1.701 20 0 DDADMM Cc1ccc(C)c(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)c1 ZINC000843018773 702804277 /nfs/dbraw/zinc/80/42/77/702804277.db2.gz DFOOVLCYTBNAOI-UHFFFAOYSA-N -1 1 324.446 1.847 20 0 DDADMM COC(=O)N(Cc1noc(-c2ccc(N)cc2[O-])n1)C1CC1 ZINC000843185872 702836419 /nfs/dbraw/zinc/83/64/19/702836419.db2.gz YWKVSLUNDLSOOF-UHFFFAOYSA-N -1 1 304.306 1.755 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)C1(CC(=O)OC(C)(C)C)CCC1 ZINC000843903036 702940020 /nfs/dbraw/zinc/94/00/20/702940020.db2.gz CACIOXRPVIJELB-LBPRGKRZSA-N -1 1 315.410 1.953 20 0 DDADMM CCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(OCC)cc2)C1 ZINC000844080823 702968977 /nfs/dbraw/zinc/96/89/77/702968977.db2.gz ZPPQHBMMISIULS-LBPRGKRZSA-N -1 1 306.362 1.896 20 0 DDADMM C[C@@H](CO)C1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000844650693 703051368 /nfs/dbraw/zinc/05/13/68/703051368.db2.gz PIVBMXXYSCIXHY-NSHDSACASA-N -1 1 317.393 1.214 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CCSC(F)(F)F ZINC000866513848 706717925 /nfs/dbraw/zinc/71/79/25/706717925.db2.gz ZXVLACKEXYZCJN-MRXNPFEDSA-N -1 1 314.376 1.192 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@H]1C[C@@H]1c1ccccc1 ZINC000866596019 706740242 /nfs/dbraw/zinc/74/02/42/706740242.db2.gz AXNHWABGKHNXHG-OLZOCXBDSA-N -1 1 314.432 1.639 20 0 DDADMM O=C(Cc1ccccc1CO)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847080294 703375213 /nfs/dbraw/zinc/37/52/13/703375213.db2.gz NGAPCJRBUMVLNP-LBPRGKRZSA-N -1 1 317.345 1.216 20 0 DDADMM Cc1ccc(-c2n[nH]c(=O)[n-]2)cc1NC(=O)C1(N(C)C)CCC1 ZINC000848030907 703497379 /nfs/dbraw/zinc/49/73/79/703497379.db2.gz VMNRCOJSCYZVNM-UHFFFAOYSA-N -1 1 315.377 1.909 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@H]1CC12CC2 ZINC000848337322 703540371 /nfs/dbraw/zinc/54/03/71/703540371.db2.gz FLWXWZYLCJXOIN-KXUCPTDWSA-N -1 1 304.312 1.845 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1C[C@@H](C)OC1=O)C1CCCCC1 ZINC000849394652 703642999 /nfs/dbraw/zinc/64/29/99/703642999.db2.gz XTQWOQDZUHSCSO-RAIGVLPGSA-N -1 1 319.423 1.205 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@H]1C(=O)OCC1(C)C)C1CCCC1 ZINC000849398334 703643532 /nfs/dbraw/zinc/64/35/32/703643532.db2.gz RKZLELRXDMAJQA-RYUDHWBXSA-N -1 1 319.423 1.063 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(OC)OC ZINC000849407686 703644785 /nfs/dbraw/zinc/64/47/85/703644785.db2.gz VOEGUXZYDCNKAV-VIFPVBQESA-N -1 1 309.334 1.641 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@H](C)c1cscn1 ZINC000849432248 703647356 /nfs/dbraw/zinc/64/73/56/703647356.db2.gz ZCQBSUKCMYFCAU-ZCFIWIBFSA-N -1 1 320.827 1.878 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000869557329 703812681 /nfs/dbraw/zinc/81/26/81/703812681.db2.gz MMMRMOBXYBTFPR-BRPSZJMVSA-N -1 1 307.268 1.213 20 0 DDADMM O=C(COC(=O)[C@]12CCC[C@H]1OCC2)[N-]C(=O)c1ccccc1 ZINC000869717811 703835965 /nfs/dbraw/zinc/83/59/65/703835965.db2.gz IZTDBMPNRFNPCU-DYVFJYSZSA-N -1 1 317.341 1.445 20 0 DDADMM CCOC(C)(C)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000869765850 703845323 /nfs/dbraw/zinc/84/53/23/703845323.db2.gz RJDGQVFFBSNQJE-UHFFFAOYSA-N -1 1 323.345 1.310 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H](O)CC(C)C)cc1C ZINC000851766108 703849311 /nfs/dbraw/zinc/84/93/11/703849311.db2.gz TYNMYOPTJMKZGI-JTQLQIEISA-N -1 1 319.379 1.060 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@]12C[C@H]1CCCC2 ZINC000870278134 703983264 /nfs/dbraw/zinc/98/32/64/703983264.db2.gz GOZQERCSKDAMDZ-BDJLRTHQSA-N -1 1 316.361 1.392 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000870447363 704039848 /nfs/dbraw/zinc/03/98/48/704039848.db2.gz RHTFAJBUSJMVIM-MROQNXINSA-N -1 1 314.451 1.209 20 0 DDADMM CCCNC(=O)[C@@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764494 706784854 /nfs/dbraw/zinc/78/48/54/706784854.db2.gz YBKMVPBSANAUPV-BXKDBHETSA-N -1 1 309.332 1.044 20 0 DDADMM CC[C@H](C)NC(=O)CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852758785 704117393 /nfs/dbraw/zinc/11/73/93/704117393.db2.gz JDTIJNKPFQUREP-VWYCJHECSA-N -1 1 323.359 1.290 20 0 DDADMM O=C(COCc1ccccn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000819967413 704193834 /nfs/dbraw/zinc/19/38/34/704193834.db2.gz KIYLLTQIVAROPZ-LLVKDONJSA-N -1 1 318.333 1.093 20 0 DDADMM O=S(=O)([N-]CC1CCSCC1)c1ccc(F)nc1F ZINC000866783250 706799106 /nfs/dbraw/zinc/79/91/06/706799106.db2.gz JENCGJHYXGNVDU-UHFFFAOYSA-N -1 1 308.375 1.781 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@]1(C)Cc2ccccc2C(=O)O1 ZINC000820401705 704272540 /nfs/dbraw/zinc/27/25/40/704272540.db2.gz CUCRASKSRBTMIP-HNNXBMFYSA-N -1 1 301.302 1.534 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1CCCC[C@@H]1C ZINC000820587928 704305500 /nfs/dbraw/zinc/30/55/00/704305500.db2.gz LBYKKNVRZVUDLV-JQWIXIFHSA-N -1 1 318.377 1.638 20 0 DDADMM CC1(C)C[C@@](C)([N-]S(=O)(=O)Cc2ccccc2F)C(=O)O1 ZINC000820733981 704325250 /nfs/dbraw/zinc/32/52/50/704325250.db2.gz AWRYUWOYKOLDQF-CQSZACIVSA-N -1 1 315.366 1.729 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1CCOc2ccc(F)cc21 ZINC000820796617 704332181 /nfs/dbraw/zinc/33/21/81/704332181.db2.gz PAQOSBCDPCEAEC-SNVBAGLBSA-N -1 1 322.383 1.211 20 0 DDADMM Cc1cnc(C)c(N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)n1 ZINC000853989175 704344282 /nfs/dbraw/zinc/34/42/82/704344282.db2.gz JMGPROUVGMFKJR-KCJUWKMLSA-N -1 1 316.327 1.987 20 0 DDADMM O=C([O-])[C@@H](CNCc1cnn(-c2ccccc2)n1)C1CCC1 ZINC000854245052 704371560 /nfs/dbraw/zinc/37/15/60/704371560.db2.gz AZQDJSMSDFBNIL-HNNXBMFYSA-N -1 1 300.362 1.858 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCC2(O)CCC2)c1 ZINC000821351362 704395095 /nfs/dbraw/zinc/39/50/95/704395095.db2.gz ASXYVIGDTIKUPD-OAQYLSRUSA-N -1 1 312.387 1.651 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2ncc(C)cn2)c1 ZINC000821352734 704395577 /nfs/dbraw/zinc/39/55/77/704395577.db2.gz NFZKLIJNPGECSW-JOCHJYFZSA-N -1 1 320.370 1.645 20 0 DDADMM CCCN1C[C@H](C(=O)n2[n-]c(=O)c3ccc(OC)cc32)CC1=O ZINC000854506822 704409840 /nfs/dbraw/zinc/40/98/40/704409840.db2.gz JDBLCTUBVUUXKT-SNVBAGLBSA-N -1 1 317.345 1.649 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@@](O)(C(F)F)C1 ZINC000854780250 704451218 /nfs/dbraw/zinc/45/12/18/704451218.db2.gz BBQHXWYTTGUQCY-HNNXBMFYSA-N -1 1 308.284 1.783 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2C[C@@H]2C2CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856415736 704528011 /nfs/dbraw/zinc/52/80/11/704528011.db2.gz PFHQGRGHSREOIF-YJQGPUDQSA-N -1 1 318.339 1.948 20 0 DDADMM CC1(C(=O)[O-])CCN(CCS(=O)(=O)C2CCCCC2)CC1 ZINC000857084013 704550140 /nfs/dbraw/zinc/55/01/40/704550140.db2.gz LOKFIQCBWQKELF-UHFFFAOYSA-N -1 1 317.451 1.921 20 0 DDADMM CC[C@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866846032 706823537 /nfs/dbraw/zinc/82/35/37/706823537.db2.gz UWSSXIUCXXCIAR-UFBFGSQYSA-N -1 1 308.350 1.435 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866846029 706823555 /nfs/dbraw/zinc/82/35/55/706823555.db2.gz UWSSXIUCXXCIAR-PELKAZGASA-N -1 1 308.350 1.435 20 0 DDADMM CCC(O)(CC)CC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867170179 706914542 /nfs/dbraw/zinc/91/45/42/706914542.db2.gz FAIHVSBXBMGGMH-UHFFFAOYSA-N -1 1 308.350 1.579 20 0 DDADMM CCCC(=O)NC[C@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858384714 704703266 /nfs/dbraw/zinc/70/32/66/704703266.db2.gz UEVFFGCXTHLOPT-SNVBAGLBSA-N -1 1 312.801 1.968 20 0 DDADMM O=C1CCCN1[C@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858569715 704728597 /nfs/dbraw/zinc/72/85/97/704728597.db2.gz NKQQPEOKTBGVSN-JTQLQIEISA-N -1 1 310.785 1.817 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@H](CO)CC2)c1 ZINC000867313839 706956958 /nfs/dbraw/zinc/95/69/58/706956958.db2.gz RMOVCSHDJSRHKB-LLVKDONJSA-N -1 1 315.391 1.184 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@](C)(F)C2)c1 ZINC000867320296 706959534 /nfs/dbraw/zinc/95/95/34/706959534.db2.gz ZKGIEHCPISILMU-ZDUSSCGKSA-N -1 1 303.355 1.914 20 0 DDADMM COC[C@]1(C(=O)[O-])CC[N@@H+](CCOc2ccccc2C(=O)[O-])C1 ZINC000873644358 704815269 /nfs/dbraw/zinc/81/52/69/704815269.db2.gz WJGLEIZFLHEOJM-INIZCTEOSA-N -1 1 323.345 1.187 20 0 DDADMM CC[C@@H](NC(=O)CCCc1nn[n-]n1)c1ccccc1OC ZINC000873990140 704913510 /nfs/dbraw/zinc/91/35/10/704913510.db2.gz QWWLOKATSOYOOR-GFCCVEGCSA-N -1 1 303.366 1.799 20 0 DDADMM CCC(C)(C)CCS(=O)(=O)[N-][C@](CC)(COC)C(=O)OC ZINC000867377701 706980243 /nfs/dbraw/zinc/98/02/43/706980243.db2.gz MHROYWKYTLCFRF-CQSZACIVSA-N -1 1 323.455 1.700 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@H]2CCO[C@H](C(C)C)C2)[n-]1 ZINC000822546907 704972151 /nfs/dbraw/zinc/97/21/51/704972151.db2.gz CFKBOEHDVMWUQY-JQWIXIFHSA-N -1 1 309.366 1.976 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCO[C@H](C(C)C)C2)n1 ZINC000822546907 704972153 /nfs/dbraw/zinc/97/21/53/704972153.db2.gz CFKBOEHDVMWUQY-JQWIXIFHSA-N -1 1 309.366 1.976 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])C[N@@H+]1CCC[N-]C(=O)C(F)(F)F ZINC000833828803 707015550 /nfs/dbraw/zinc/01/55/50/707015550.db2.gz DYSKXOPXUXBPPC-DTWKUNHWSA-N -1 1 311.304 1.033 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])C[N@H+]1CCC[N-]C(=O)C(F)(F)F ZINC000833828803 707015553 /nfs/dbraw/zinc/01/55/53/707015553.db2.gz DYSKXOPXUXBPPC-DTWKUNHWSA-N -1 1 311.304 1.033 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)Cn2nccc2C)c1 ZINC000860063172 705085401 /nfs/dbraw/zinc/08/54/01/705085401.db2.gz HOORPDMQXMNUHR-UHFFFAOYSA-N -1 1 302.330 1.886 20 0 DDADMM O=C(Cc1ccccc1F)Nc1ncc(-c2nnn[n-]2)s1 ZINC000823114819 705144538 /nfs/dbraw/zinc/14/45/38/705144538.db2.gz GNIWTLBQDKEBNQ-UHFFFAOYSA-N -1 1 304.310 1.644 20 0 DDADMM O=C(Cc1ccccc1F)Nc1ncc(-c2nn[n-]n2)s1 ZINC000823114819 705144541 /nfs/dbraw/zinc/14/45/41/705144541.db2.gz GNIWTLBQDKEBNQ-UHFFFAOYSA-N -1 1 304.310 1.644 20 0 DDADMM O=Cc1ccc(F)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000860349579 705162484 /nfs/dbraw/zinc/16/24/84/705162484.db2.gz OQOGQMKMFZYTMB-JTQLQIEISA-N -1 1 303.297 1.171 20 0 DDADMM O=C([N-]Oc1ccccc1F)C1CCC2(CC1)NC(=O)NC2=O ZINC000874911581 705224764 /nfs/dbraw/zinc/22/47/64/705224764.db2.gz MFDUFXMUNVFOMY-UHFFFAOYSA-N -1 1 321.308 1.004 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)c1cc(-c2ccccc2O)[nH]n1 ZINC000874962876 705237985 /nfs/dbraw/zinc/23/79/85/705237985.db2.gz PQTPHVRZXXLSNJ-UHFFFAOYSA-N -1 1 303.318 1.719 20 0 DDADMM CC(C)N(CCC(=O)Nc1cc(CN(C)C)ccn1)C(=O)[O-] ZINC000824341680 705431913 /nfs/dbraw/zinc/43/19/13/705431913.db2.gz SMPXBEMGVZQLMY-UHFFFAOYSA-N -1 1 308.382 1.860 20 0 DDADMM CNC(=O)C1(NC(=O)c2ncc3ccccc3c2[O-])CCCC1 ZINC000825292082 705640545 /nfs/dbraw/zinc/64/05/45/705640545.db2.gz UEOGESZBNZFJNM-UHFFFAOYSA-N -1 1 313.357 1.729 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-]C2=NCC(=O)N2C)cnc1Cl ZINC000825295325 705641121 /nfs/dbraw/zinc/64/11/21/705641121.db2.gz FCEUXDFSIYRANG-UHFFFAOYSA-N -1 1 316.695 1.079 20 0 DDADMM CCc1csc(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)n1 ZINC000825740147 705724613 /nfs/dbraw/zinc/72/46/13/705724613.db2.gz OOTNQYCDDUUFHK-UHFFFAOYSA-N -1 1 319.350 1.363 20 0 DDADMM CCc1csc(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)n1 ZINC000825740147 705724618 /nfs/dbraw/zinc/72/46/18/705724618.db2.gz OOTNQYCDDUUFHK-UHFFFAOYSA-N -1 1 319.350 1.363 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@H](C(C)C)C2)c1-c1nnn[n-]1 ZINC000826343575 705792614 /nfs/dbraw/zinc/79/26/14/705792614.db2.gz GQYKKMRDNHIQLZ-JTQLQIEISA-N -1 1 304.354 1.210 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@H](C(C)C)C2)c1-c1nn[n-]n1 ZINC000826343575 705792619 /nfs/dbraw/zinc/79/26/19/705792619.db2.gz GQYKKMRDNHIQLZ-JTQLQIEISA-N -1 1 304.354 1.210 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@H]2CCCC[C@H]2C)c1-c1nnn[n-]1 ZINC000826343026 705792948 /nfs/dbraw/zinc/79/29/48/705792948.db2.gz JMVYAACDLSSLQT-SKDRFNHKSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@H]2CCCC[C@H]2C)c1-c1nn[n-]n1 ZINC000826343026 705792952 /nfs/dbraw/zinc/79/29/52/705792952.db2.gz JMVYAACDLSSLQT-SKDRFNHKSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N[C@@H](C)C2CCCCC2)c1-c1nnn[n-]1 ZINC000826345166 705793818 /nfs/dbraw/zinc/79/38/18/705793818.db2.gz DQXVUQCLEFYWHD-VIFPVBQESA-N -1 1 318.381 1.791 20 0 DDADMM Cc1onc(CC(=O)N[C@@H](C)C2CCCCC2)c1-c1nn[n-]n1 ZINC000826345166 705793822 /nfs/dbraw/zinc/79/38/22/705793822.db2.gz DQXVUQCLEFYWHD-VIFPVBQESA-N -1 1 318.381 1.791 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000826758358 705866382 /nfs/dbraw/zinc/86/63/82/705866382.db2.gz OCIBJYMZYHBPCM-MRVPVSSYSA-N -1 1 306.326 1.185 20 0 DDADMM CC(C)Cc1ccc(C(=O)[N-]N2C(=O)[C@H](C)N(C)C2=O)s1 ZINC000826839065 705882344 /nfs/dbraw/zinc/88/23/44/705882344.db2.gz OWZDFZLVSQFNQG-VIFPVBQESA-N -1 1 309.391 1.874 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12)c1nn[n-]n1 ZINC000826929725 705892824 /nfs/dbraw/zinc/89/28/24/705892824.db2.gz ZXLXYFPZXHPWJP-YFKTTZPYSA-N -1 1 309.439 1.936 20 0 DDADMM N[C@@](C(=O)[N-]N1CCOC1=O)(c1cccc(F)c1)C(F)(F)F ZINC000863938437 706041659 /nfs/dbraw/zinc/04/16/59/706041659.db2.gz SSPGKLHRAYTDSJ-LLVKDONJSA-N -1 1 321.230 1.025 20 0 DDADMM CC1(C)[C@H]2[C@H](CCCN2C(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]1O ZINC000864081653 706062978 /nfs/dbraw/zinc/06/29/78/706062978.db2.gz WLFZWYPKTXJYEJ-UHTWSYAYSA-N -1 1 317.389 1.681 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)CSC(F)(F)F)CC1 ZINC000864159139 706084183 /nfs/dbraw/zinc/08/41/83/706084183.db2.gz OLJVFRRCIUZBNA-UHFFFAOYSA-N -1 1 314.329 1.247 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCOCC2(CC2)C1 ZINC000828216311 706140821 /nfs/dbraw/zinc/14/08/21/706140821.db2.gz AWNUNESLHJXYEM-UHFFFAOYSA-N -1 1 304.375 1.271 20 0 DDADMM O=C([N-]CCc1csc(Cn2ccnc2)n1)C(F)(F)F ZINC000864368099 706147992 /nfs/dbraw/zinc/14/79/92/706147992.db2.gz FCGMPEJAEAZRPX-UHFFFAOYSA-N -1 1 304.297 1.609 20 0 DDADMM O=C([N-]OCC(F)F)[C@H]1CCc2nnc(-c3ccccc3)n2C1 ZINC000828461327 706184453 /nfs/dbraw/zinc/18/44/53/706184453.db2.gz SIHWXUGVKVZLJX-NSHDSACASA-N -1 1 322.315 1.820 20 0 DDADMM CON(Cc1ccccc1)Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878392246 706375853 /nfs/dbraw/zinc/37/58/53/706375853.db2.gz UGVMZLBZPRFQEB-UHFFFAOYSA-N -1 1 301.346 1.819 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccnc(F)c1 ZINC000872512003 707455728 /nfs/dbraw/zinc/45/57/28/707455728.db2.gz ZHWVAUASMMVTKL-LJQANCHMSA-N -1 1 323.415 1.451 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@H]2OCCNC(=O)[C@@H]21 ZINC000830056778 706446296 /nfs/dbraw/zinc/44/62/96/706446296.db2.gz JZJTVZNBQFAMHQ-CHWSQXEVSA-N -1 1 324.764 1.165 20 0 DDADMM C[C@@H]1CO[C@@H](c2ccccc2)[C@H](C)N1C(=O)CCc1nn[n-]n1 ZINC000865703941 706500547 /nfs/dbraw/zinc/50/05/47/706500547.db2.gz OTCSBNQVPAVIFI-BFQNTYOBSA-N -1 1 315.377 1.509 20 0 DDADMM CO[C@@]1(C)C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1(C)C ZINC000830759636 706575045 /nfs/dbraw/zinc/57/50/45/706575045.db2.gz FIBPHQWWQUUBDB-KCJUWKMLSA-N -1 1 307.803 1.545 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CCC2(O)CCC2)C1 ZINC000830796231 706581466 /nfs/dbraw/zinc/58/14/66/706581466.db2.gz YFDOTXSBJQAFHV-LBPRGKRZSA-N -1 1 322.327 1.351 20 0 DDADMM CCc1[nH]ccc1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830801071 706582195 /nfs/dbraw/zinc/58/21/95/706582195.db2.gz GMCYVOGLRQRVEF-CYBMUJFWSA-N -1 1 317.311 1.860 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CC1(C)C ZINC000879087299 706584930 /nfs/dbraw/zinc/58/49/30/706584930.db2.gz HXULWXRKWBPASC-NSHDSACASA-N -1 1 316.398 1.574 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CC(C1CC1)C1CC1 ZINC000866888327 706835714 /nfs/dbraw/zinc/83/57/14/706835714.db2.gz JTJVLAFMBGSANQ-UHFFFAOYSA-N -1 1 306.453 1.519 20 0 DDADMM CC(C)OC(=O)[C@@H](C)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866887835 706835861 /nfs/dbraw/zinc/83/58/61/706835861.db2.gz YBJLWYDVSMFNQV-QMMMGPOBSA-N -1 1 322.333 1.226 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCCC[C@H]1O ZINC000866914897 706843760 /nfs/dbraw/zinc/84/37/60/706843760.db2.gz FLZUDEIIWXGFCZ-MFKMUULPSA-N -1 1 320.361 1.579 20 0 DDADMM C[C@]1(C(F)(F)F)CCN(Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC000880002423 706850226 /nfs/dbraw/zinc/85/02/26/706850226.db2.gz JXIIKTGYPFCKML-LBPRGKRZSA-N -1 1 300.284 1.797 20 0 DDADMM O=C1CCCCN(Cc2nc(-c3ccc([O-])c(F)c3)no2)C1=O ZINC000866975721 706861217 /nfs/dbraw/zinc/86/12/17/706861217.db2.gz AQCDXIMLHHOYOA-UHFFFAOYSA-N -1 1 319.292 1.663 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1CCOC2(CCC2)C1 ZINC000866984386 706864698 /nfs/dbraw/zinc/86/46/98/706864698.db2.gz QNMUZHZCLGOTIL-NSHDSACASA-N -1 1 324.468 1.430 20 0 DDADMM CC1(C)C[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)CCO1 ZINC000867008041 706870686 /nfs/dbraw/zinc/87/06/86/706870686.db2.gz DESPZIVXAUZJQB-JTQLQIEISA-N -1 1 310.441 1.040 20 0 DDADMM CCC(C)(C)CCS(=O)(=O)[N-][C@]1(C(=O)OC)CCSC1 ZINC000867020353 706874316 /nfs/dbraw/zinc/87/43/16/706874316.db2.gz KPWSLWJVFWJZKI-CYBMUJFWSA-N -1 1 323.480 1.781 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H](C)c1nccs1 ZINC000867100054 706896326 /nfs/dbraw/zinc/89/63/26/706896326.db2.gz FZCNNRAZTVBJHX-QPFGOUBPSA-N -1 1 311.454 1.199 20 0 DDADMM CO[C@H](CC[N-]S(=O)(=O)c1ccc(C)nn1)C(F)(F)F ZINC000867164324 706913889 /nfs/dbraw/zinc/91/38/89/706913889.db2.gz RWGJZYITCHMDTK-MRVPVSSYSA-N -1 1 313.301 1.031 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2cccnc2Cl)co1 ZINC000832474101 706922126 /nfs/dbraw/zinc/92/21/26/706922126.db2.gz UVMSVLFIIBEXCW-UHFFFAOYSA-N -1 1 301.755 1.764 20 0 DDADMM CN(CC(=O)N(CC(=O)[O-])C1CCCCC1)[C@@H]1CCSC1 ZINC000909008161 712915090 /nfs/dbraw/zinc/91/50/90/712915090.db2.gz NVVYMZZIFWLAHU-CYBMUJFWSA-N -1 1 314.451 1.670 20 0 DDADMM CCC[C@@]1([N-]S(=O)(=O)c2ccc(F)nc2F)CCOC1 ZINC000867260860 706940489 /nfs/dbraw/zinc/94/04/89/706940489.db2.gz DNADVSZFKXKNMM-GFCCVEGCSA-N -1 1 306.334 1.597 20 0 DDADMM C[C@@H](C(=O)[O-])N(C(=O)N[C@@H]1CCc2nc[nH]c2C1)C1CCC1 ZINC000909021654 712918898 /nfs/dbraw/zinc/91/88/98/712918898.db2.gz YMIJHVAVSXIKAQ-VHSXEESVSA-N -1 1 306.366 1.304 20 0 DDADMM C[C@H](C(=O)[O-])N(C(=O)N[C@H]1CCc2nc[nH]c2C1)C1CCC1 ZINC000909021656 712918913 /nfs/dbraw/zinc/91/89/13/712918913.db2.gz YMIJHVAVSXIKAQ-ZJUUUORDSA-N -1 1 306.366 1.304 20 0 DDADMM O=S(=O)([N-]CCOCCF)c1cc(Cl)cnc1Cl ZINC000867539254 707033338 /nfs/dbraw/zinc/03/33/38/707033338.db2.gz HVCGFDDUZJECEK-UHFFFAOYSA-N -1 1 317.169 1.653 20 0 DDADMM CN(C)C(=O)c1ccc(NC([O-])=NO[C@@H]2CCCCO2)nc1 ZINC000880604779 707036653 /nfs/dbraw/zinc/03/66/53/707036653.db2.gz HWKBIQCZHMTNER-GFCCVEGCSA-N -1 1 308.338 1.363 20 0 DDADMM CN(C)C(=O)c1ccc(NC(=O)[N-]O[C@@H]2CCCCO2)nc1 ZINC000880604779 707036657 /nfs/dbraw/zinc/03/66/57/707036657.db2.gz HWKBIQCZHMTNER-GFCCVEGCSA-N -1 1 308.338 1.363 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)[C@H]1CC2CCC1CC2)N(C)C ZINC000835564921 707279634 /nfs/dbraw/zinc/27/96/34/707279634.db2.gz UQBFWASJTZRQEC-HOAMVYINSA-N -1 1 316.467 1.597 20 0 DDADMM C[C@H](Cc1ccco1)[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872435143 707409184 /nfs/dbraw/zinc/40/91/84/707409184.db2.gz OCJRUMANEWKQMY-DGIBIBHMSA-N -1 1 322.452 1.941 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-][C@H]1CC12CCC2)c1ccccc1 ZINC000872650307 707532392 /nfs/dbraw/zinc/53/23/92/707532392.db2.gz SLGNWKJCYYUPOA-BUXKBTBVSA-N -1 1 314.432 1.920 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)c2nccn21 ZINC000836957817 707554243 /nfs/dbraw/zinc/55/42/43/707554243.db2.gz GSOSBWUQKVLJPA-PSASIEDQSA-N -1 1 314.374 1.650 20 0 DDADMM CC[C@](COC)([N-]C(=O)C(F)(F)c1nccs1)C(=O)OC ZINC000837257934 707606210 /nfs/dbraw/zinc/60/62/10/707606210.db2.gz XLHRWCCHCFYUPQ-LLVKDONJSA-N -1 1 322.333 1.319 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1cccc(OC)c1F ZINC000882715206 707759449 /nfs/dbraw/zinc/75/94/49/707759449.db2.gz QDUJPXBVCHLZBI-UHFFFAOYSA-N -1 1 313.354 1.931 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2CCC[C@H]2c2ccccc2)nc1=O ZINC000837866854 707768585 /nfs/dbraw/zinc/76/85/85/707768585.db2.gz XXYWFEILEAXPTQ-STQMWFEESA-N -1 1 301.346 1.736 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC2(CCC2)O1)c1c[nH]nc1Cl ZINC000882776968 707790242 /nfs/dbraw/zinc/79/02/42/707790242.db2.gz QUFVJOVQMAYOEG-MRVPVSSYSA-N -1 1 305.787 1.443 20 0 DDADMM C[C@@H](C(=O)N[C@H](CC(=O)[O-])c1ccc(F)cc1F)N(C)C ZINC000909341028 712995655 /nfs/dbraw/zinc/99/56/55/712995655.db2.gz FMYQWGOILROJPB-QPUJVOFHSA-N -1 1 300.305 1.547 20 0 DDADMM Cc1ccccc1[C@@H](CC(=O)[O-])NC(=O)CCc1cnc[nH]1 ZINC000909373432 713002793 /nfs/dbraw/zinc/00/27/93/713002793.db2.gz YFVNFFDVTJVRHY-CQSZACIVSA-N -1 1 301.346 1.983 20 0 DDADMM COc1ccccc1C[C@H](CNC(=O)[C@@H]1CCCN1C)C(=O)[O-] ZINC000909384824 713005421 /nfs/dbraw/zinc/00/54/21/713005421.db2.gz NHNZEPGKMOXVFB-KGLIPLIRSA-N -1 1 320.389 1.149 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCc3ccc(F)cc32)C1 ZINC000909406885 713010446 /nfs/dbraw/zinc/01/04/46/713010446.db2.gz JBVJCNAVZNYZLF-LBPRGKRZSA-N -1 1 306.337 1.511 20 0 DDADMM C[C@H](CN(C(=O)CN(C)[C@@H]1CCSC1)C1CC1)C(=O)[O-] ZINC000909455729 713022719 /nfs/dbraw/zinc/02/27/19/713022719.db2.gz BCSOPVZMMZNUSW-ZYHUDNBSSA-N -1 1 300.424 1.135 20 0 DDADMM C[C@@]1(CNc2nc3[nH][n-]cc-3c(=O)n2)CCOC2(CCC2)C1 ZINC000884702443 708361792 /nfs/dbraw/zinc/36/17/92/708361792.db2.gz CWJBWPFSDAZNHR-CQSZACIVSA-N -1 1 303.366 1.583 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)OC[C@@H]1C[C@@H]2COC[C@H]2O1 ZINC000909464932 713025071 /nfs/dbraw/zinc/02/50/71/713025071.db2.gz APQRLTGTWNSRSK-UHIISALHSA-N -1 1 312.749 1.935 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccns1)C1CCCCC1 ZINC000885053709 708457238 /nfs/dbraw/zinc/45/72/38/708457238.db2.gz AEQRVCHRQGOCPB-LLVKDONJSA-N -1 1 318.420 1.543 20 0 DDADMM C[C@H](CNC(=O)OC(C)(C)C)[N-]S(=O)(=O)c1ccns1 ZINC000885111864 708475131 /nfs/dbraw/zinc/47/51/31/708475131.db2.gz WZGSRQXNUHODID-MRVPVSSYSA-N -1 1 321.424 1.335 20 0 DDADMM Cc1ccccc1C[C@@H](CO)[N-]S(=O)(=O)c1ccns1 ZINC000885129383 708477975 /nfs/dbraw/zinc/47/79/75/708477975.db2.gz RRYQXZOSCJRCMF-LBPRGKRZSA-N -1 1 312.416 1.333 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1ccns1)NC(=O)OC(C)(C)C ZINC000885154491 708480624 /nfs/dbraw/zinc/48/06/24/708480624.db2.gz XRRBUFDKXYDGOF-MRVPVSSYSA-N -1 1 321.424 1.335 20 0 DDADMM CCc1ccc([C@@H](O)C[N-]S(=O)(=O)c2ccns2)cc1 ZINC000885185352 708487929 /nfs/dbraw/zinc/48/79/29/708487929.db2.gz RBVGUSPHWQTPOB-LBPRGKRZSA-N -1 1 312.416 1.717 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@H]1c1ccccc1)c1ccns1 ZINC000885329913 708520794 /nfs/dbraw/zinc/52/07/94/708520794.db2.gz PWUDUTUHURLXQR-YPMHNXCESA-N -1 1 310.400 1.952 20 0 DDADMM CO[C@@H](Cc1ccccc1)CS(=O)(=O)[N-]C(CF)CF ZINC000885413789 708539039 /nfs/dbraw/zinc/53/90/39/708539039.db2.gz MCMNAMXRPRJUMY-ZDUSSCGKSA-N -1 1 307.362 1.471 20 0 DDADMM Cc1c(CC(=O)NCCc2c(F)cc([O-])cc2F)cnn1C ZINC000886268372 708732826 /nfs/dbraw/zinc/73/28/26/708732826.db2.gz NEBULJOWHQOABY-UHFFFAOYSA-N -1 1 309.316 1.614 20 0 DDADMM CC(C)[C@H](O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927783258 713054852 /nfs/dbraw/zinc/05/48/52/713054852.db2.gz XOHRGSGRNDDBME-CYBMUJFWSA-N -1 1 302.321 1.529 20 0 DDADMM O=C(CCNC(=O)OCC(F)(F)F)[N-]Oc1ccc(F)cc1 ZINC000898573907 708794361 /nfs/dbraw/zinc/79/43/61/708794361.db2.gz XGTMVMMRCCLDJB-UHFFFAOYSA-N -1 1 324.230 1.914 20 0 DDADMM CC(C)[C@H](C(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccccc1 ZINC000898754979 708855306 /nfs/dbraw/zinc/85/53/06/708855306.db2.gz DTCYNXIASAFICG-HNNXBMFYSA-N -1 1 301.346 1.944 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C1CCc2ccccc2CC1 ZINC000898754483 708855692 /nfs/dbraw/zinc/85/56/92/708855692.db2.gz IJOFGBMFASBKFY-UHFFFAOYSA-N -1 1 313.357 1.699 20 0 DDADMM Cc1cccc(CO[N-]C(=O)[C@H]2CC(=O)N(CC(C)C)C2)n1 ZINC000898935374 708928459 /nfs/dbraw/zinc/92/84/59/708928459.db2.gz ADUYLQMPBSGFPW-ZDUSSCGKSA-N -1 1 305.378 1.442 20 0 DDADMM N[C@@H](Cc1cc2ccccc2o1)C(=O)N1CCC[C@@H]1C(=O)[O-] ZINC000887395852 709036038 /nfs/dbraw/zinc/03/60/38/709036038.db2.gz ZBNAOTTUPFIGRZ-QWHCGFSZSA-N -1 1 302.330 1.378 20 0 DDADMM CC(C)[C@@H](Cc1ccccc1)NC(=O)CCCc1nn[n-]n1 ZINC000899268342 709039280 /nfs/dbraw/zinc/03/92/80/709039280.db2.gz BWKQMHAWROSFIT-CQSZACIVSA-N -1 1 301.394 1.906 20 0 DDADMM O=C(NC[C@H]1CC[C@H](C2CC2)O1)c1ccc2n[n-]c(=S)n2c1 ZINC000887479005 709052738 /nfs/dbraw/zinc/05/27/38/709052738.db2.gz GSCXIXMUQUZYGF-VXGBXAGGSA-N -1 1 318.402 1.705 20 0 DDADMM Cn1nccc1[C@H]1CN(C(=O)c2ccc([O-])cc2F)CCO1 ZINC000887596183 709078161 /nfs/dbraw/zinc/07/81/61/709078161.db2.gz QMUWFRUDZKKXOL-CQSZACIVSA-N -1 1 305.309 1.479 20 0 DDADMM CCn1cc(CN2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)nn1 ZINC000899868826 709222426 /nfs/dbraw/zinc/22/24/26/709222426.db2.gz BMQDXUDYQBEHNQ-JTQLQIEISA-N -1 1 319.331 1.189 20 0 DDADMM Cc1cccc(CNCCOCC(=O)[O-])c1Br ZINC000900037780 709274032 /nfs/dbraw/zinc/27/40/32/709274032.db2.gz LENHCCOEDZQGIL-UHFFFAOYSA-N -1 1 302.168 1.948 20 0 DDADMM COC(=O)[C@H]1C[C@@H]2C[C@H](NC(=O)c3cc(F)ccc3[O-])[C@@H]2C1 ZINC000888465453 709318733 /nfs/dbraw/zinc/31/87/33/709318733.db2.gz HRTBCOOOKCYNTA-PFWNZEGQSA-N -1 1 307.321 1.849 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]2C[C@H](NC(=O)c3cc(F)ccc3[O-])[C@@H]2C1 ZINC000888465452 709318778 /nfs/dbraw/zinc/31/87/78/709318778.db2.gz HRTBCOOOKCYNTA-MRGUHSIWSA-N -1 1 307.321 1.849 20 0 DDADMM CCC[C@H](C)N1C[C@@H](C(=O)[N-]OCc2ccccn2)CC1=O ZINC000909525542 709501605 /nfs/dbraw/zinc/50/16/05/709501605.db2.gz GFMNWEWIXVRVCE-STQMWFEESA-N -1 1 305.378 1.667 20 0 DDADMM CON(Cc1ccccc1)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909559812 709515749 /nfs/dbraw/zinc/51/57/49/709515749.db2.gz LEUDPQRFMYKTEM-CQSZACIVSA-N -1 1 306.362 1.373 20 0 DDADMM CSCC[C@H](NC(=O)c1sc(C)nc1C)c1nn[n-]n1 ZINC000912860486 713110195 /nfs/dbraw/zinc/11/01/95/713110195.db2.gz WFIVHQZGMUZNRK-QMMMGPOBSA-N -1 1 312.424 1.497 20 0 DDADMM CCc1ccc(CC(=O)N[C@@H](CCSC)c2nn[n-]n2)nc1 ZINC000912862802 713111017 /nfs/dbraw/zinc/11/10/17/713111017.db2.gz DAWYQHXKFBYOCH-LBPRGKRZSA-N -1 1 320.422 1.310 20 0 DDADMM CCC[C@@H](OCC)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912862960 713111109 /nfs/dbraw/zinc/11/11/09/713111109.db2.gz GSXKWGGJCLCYCR-VHSXEESVSA-N -1 1 301.416 1.315 20 0 DDADMM CSCC[C@H](NC(=O)CCCc1cccnc1)c1nn[n-]n1 ZINC000912863256 713111405 /nfs/dbraw/zinc/11/14/05/713111405.db2.gz QGTWNKKOEAHUCE-LBPRGKRZSA-N -1 1 320.422 1.528 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@@H](CCOC)C(=O)[O-])c1ccccc1 ZINC000909623883 709544747 /nfs/dbraw/zinc/54/47/47/709544747.db2.gz HLVXZLUCVCTWCW-KBPBESRZSA-N -1 1 308.378 1.285 20 0 DDADMM CCN(CC)[C@@H](C(=O)N[C@H](CCOC)C(=O)[O-])c1ccccc1 ZINC000909626283 709546220 /nfs/dbraw/zinc/54/62/20/709546220.db2.gz RCDQVOKZGQBELN-HUUCEWRRSA-N -1 1 322.405 1.675 20 0 DDADMM CN(C(=O)[C@H]1CCCCN1C)c1ccc(OCC(=O)[O-])cc1 ZINC000909779566 709615989 /nfs/dbraw/zinc/61/59/89/709615989.db2.gz HTFUWCWYLQTVNS-CQSZACIVSA-N -1 1 306.362 1.597 20 0 DDADMM CN1C[C@@H](NC(=O)c2c([O-])cnc3c(F)cccc32)CC1=O ZINC000900490651 709620479 /nfs/dbraw/zinc/62/04/79/709620479.db2.gz YRIMXHAGKOMCMU-QMMMGPOBSA-N -1 1 303.293 1.040 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H](O)C2CCC2)[n-]c1=O ZINC000889788214 709636263 /nfs/dbraw/zinc/63/62/63/709636263.db2.gz YGAWKFFYVRAJPL-PWSUYJOCSA-N -1 1 307.350 1.015 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2csnc2C)[n-]c1=O ZINC000889789284 709636994 /nfs/dbraw/zinc/63/69/94/709636994.db2.gz BUVWRCVTWGPCOM-SNVBAGLBSA-N -1 1 320.374 1.933 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C2=CCCCO2)[n-]c1=O ZINC000889791889 709638325 /nfs/dbraw/zinc/63/83/25/709638325.db2.gz OUESSBCFKGIXGY-SNVBAGLBSA-N -1 1 305.334 1.549 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccc(C)nc2)[n-]c1=O ZINC000889793823 709638691 /nfs/dbraw/zinc/63/86/91/709638691.db2.gz CMNGLKMIJUXIIV-GFCCVEGCSA-N -1 1 314.345 1.872 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cccn2C)[n-]c1=O ZINC000889794085 709639257 /nfs/dbraw/zinc/63/92/57/709639257.db2.gz GPXUYISEDSFTQI-JTQLQIEISA-N -1 1 302.334 1.507 20 0 DDADMM CCN(CC(=O)N[C@H](C)[C@@H](Cc1ccccc1)C(=O)[O-])C1CC1 ZINC000909823031 709640693 /nfs/dbraw/zinc/64/06/93/709640693.db2.gz NJSJBSQJAKQAKJ-CZUORRHYSA-N -1 1 318.417 1.919 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CCC[C@](C)(C(=O)[O-])C1 ZINC000909843588 709648557 /nfs/dbraw/zinc/64/85/57/709648557.db2.gz VHXFRKBZEPEUDQ-SFHVURJKSA-N -1 1 318.417 1.874 20 0 DDADMM CC[C@](CNC(=O)CN1CCCC1)(C(=O)[O-])c1ccccc1 ZINC000909845174 709649238 /nfs/dbraw/zinc/64/92/38/709649238.db2.gz JGELGMRORQFIFZ-QGZVFWFLSA-N -1 1 304.390 1.631 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)[C@@H]2CCc3[nH]cnc3C2)s1 ZINC000909848729 709651079 /nfs/dbraw/zinc/65/10/79/709651079.db2.gz WEBXKOVLWXSNEC-MRVPVSSYSA-N -1 1 305.359 1.591 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000909939365 709696875 /nfs/dbraw/zinc/69/68/75/709696875.db2.gz VWQJUVHMBPHYTN-NSHDSACASA-N -1 1 321.255 1.134 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)c1cn(C[C@H]2CCOC2)nn1 ZINC000909973344 709714370 /nfs/dbraw/zinc/71/43/70/709714370.db2.gz XDTSURQUUWIQFJ-MRVPVSSYSA-N -1 1 324.287 1.551 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCO[C@@H]3CCOC3)cnc2n1 ZINC000900717440 709726036 /nfs/dbraw/zinc/72/60/36/709726036.db2.gz NZVBMZNIEFMROS-LLVKDONJSA-N -1 1 317.345 1.179 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCO[C@@H]1CCOC1)c2=O ZINC000900717440 709726040 /nfs/dbraw/zinc/72/60/40/709726040.db2.gz NZVBMZNIEFMROS-LLVKDONJSA-N -1 1 317.345 1.179 20 0 DDADMM CN(C)Cc1ccc(C(=O)N[C@H](C(=O)[O-])C2CCCCC2)cn1 ZINC000910092211 709769117 /nfs/dbraw/zinc/76/91/17/709769117.db2.gz XDDGWMVEEGNOEA-HNNXBMFYSA-N -1 1 319.405 1.907 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C2Cc3ccccc3C2)CC1 ZINC000910170312 709796668 /nfs/dbraw/zinc/79/66/68/709796668.db2.gz JSOPTTQESTUDTI-ZDUSSCGKSA-N -1 1 316.401 1.409 20 0 DDADMM Cc1nc(C(C)C)ncc1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000890283121 709810908 /nfs/dbraw/zinc/81/09/08/709810908.db2.gz FLPLTIOFCXRMRB-UHFFFAOYSA-N -1 1 310.361 1.816 20 0 DDADMM CC1CCN(CC(=O)N2CCc3occc3[C@H]2C(=O)[O-])CC1 ZINC000910204352 709813091 /nfs/dbraw/zinc/81/30/91/709813091.db2.gz HGSABEXTWYPUNM-HNNXBMFYSA-N -1 1 306.362 1.522 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H]1CCC2(CCC2)CO1)c1nn[n-]n1 ZINC000900984810 709863315 /nfs/dbraw/zinc/86/33/15/709863315.db2.gz SDIHFCFPVBPLGB-VXGBXAGGSA-N -1 1 307.398 1.897 20 0 DDADMM O=C(N[C@H]1CCN(c2cc(=O)[nH]cn2)C1)c1cc(F)ccc1[O-] ZINC000890532181 709908112 /nfs/dbraw/zinc/90/81/12/709908112.db2.gz UZJAMIOCIRTTQV-JTQLQIEISA-N -1 1 318.308 1.036 20 0 DDADMM CC(C)Cc1nsc(N2CCN(CCC(=O)[O-])C[C@H]2C)n1 ZINC000910453477 709950916 /nfs/dbraw/zinc/95/09/16/709950916.db2.gz AINGKYZMWAPSFR-LLVKDONJSA-N -1 1 312.439 1.722 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]1CNC(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000910592682 710015034 /nfs/dbraw/zinc/01/50/34/710015034.db2.gz GSOYCRQNYYXBDU-KFWWJZLASA-N -1 1 302.374 1.488 20 0 DDADMM O=C([O-])CC1CCN(Cc2cnnn2-c2ccccc2)CC1 ZINC000901420271 710034164 /nfs/dbraw/zinc/03/41/64/710034164.db2.gz MWFPKVQTMOQNCE-UHFFFAOYSA-N -1 1 300.362 1.954 20 0 DDADMM O=C(N[C@H](CO)[C@H](O)C1CCCCC1)c1ccc([O-])cc1F ZINC000913050440 713155173 /nfs/dbraw/zinc/15/51/73/713155173.db2.gz ZCFJPIIPTJWWIZ-HUUCEWRRSA-N -1 1 311.353 1.563 20 0 DDADMM COc1cc(CN2CC[C@@](OC)(C(=O)[O-])C2)cc2c1OCO2 ZINC000901542041 710070153 /nfs/dbraw/zinc/07/01/53/710070153.db2.gz MCKGZXQKJKFMCQ-HNNXBMFYSA-N -1 1 309.318 1.099 20 0 DDADMM O=C(c1ncccc1[O-])N1CC2(CCN2Cc2ccccc2)C1 ZINC000910778801 710072856 /nfs/dbraw/zinc/07/28/56/710072856.db2.gz AOAYZUOYGNUECW-UHFFFAOYSA-N -1 1 309.369 1.888 20 0 DDADMM CO[N-]C(=O)CN[C@H](C(=O)N1CCCCC1)c1ccccc1 ZINC000901605559 710091916 /nfs/dbraw/zinc/09/19/16/710091916.db2.gz CHEIFONRYTWTOX-HNNXBMFYSA-N -1 1 305.378 1.007 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CC[C@H]4[C@@H](C3)[C@H]4C(=O)[O-])n[nH]2)c1 ZINC000910852179 710095673 /nfs/dbraw/zinc/09/56/73/710095673.db2.gz NTLXROYDLQPJBL-WDMOLILDSA-N -1 1 314.345 1.208 20 0 DDADMM CSC[C@@H](NC(=O)CN(C)CCc1ccccc1)C(=O)[O-] ZINC000910876235 710104477 /nfs/dbraw/zinc/10/44/77/710104477.db2.gz VKXSASDWADKYJT-CYBMUJFWSA-N -1 1 310.419 1.093 20 0 DDADMM COc1ncc(CN(CCC(=O)[O-])C[C@H]2CCCO2)s1 ZINC000901675944 710116279 /nfs/dbraw/zinc/11/62/79/710116279.db2.gz AGWFOTYEBCZKIJ-SNVBAGLBSA-N -1 1 300.380 1.607 20 0 DDADMM O=C([O-])[C@@H](N[C@H]1CCOC1)c1ccccc1Br ZINC000901749161 710140387 /nfs/dbraw/zinc/14/03/87/710140387.db2.gz QGQQWJFWMFDEFM-KWQFWETISA-N -1 1 300.152 1.953 20 0 DDADMM CCCCOC(=O)CSc1nc([O-])cc(=O)n1CCCC ZINC000901751021 710141416 /nfs/dbraw/zinc/14/14/16/710141416.db2.gz KFDZCFNWGPWXAW-UHFFFAOYSA-N -1 1 314.407 1.978 20 0 DDADMM O=S(=O)([N-]c1cccc(O)c1F)c1cnc2n1CCCC2 ZINC000901905621 710170547 /nfs/dbraw/zinc/17/05/47/710170547.db2.gz CFHBRHVEJHLFCH-UHFFFAOYSA-N -1 1 311.338 1.865 20 0 DDADMM COC1CCN(S(=O)(=O)[N-]c2nn(C)c3ccccc23)CC1 ZINC000901987150 710193428 /nfs/dbraw/zinc/19/34/28/710193428.db2.gz NFZJYCWKYRBISJ-UHFFFAOYSA-N -1 1 324.406 1.341 20 0 DDADMM COc1ncncc1CN(C)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000902003125 710199283 /nfs/dbraw/zinc/19/92/83/710199283.db2.gz FQJXPSYUHZHRSR-AWEZNQCLSA-N -1 1 301.346 1.613 20 0 DDADMM COCc1nc(N2CCC(NC(=O)C(C)C)CC2)cc(=O)[n-]1 ZINC000891831297 710304549 /nfs/dbraw/zinc/30/45/49/710304549.db2.gz RRCIZYCSXCHEHL-UHFFFAOYSA-N -1 1 308.382 1.070 20 0 DDADMM COc1ccc(SCCCC(=O)N(C)c2nn[n-]n2)cc1 ZINC000892954168 710531445 /nfs/dbraw/zinc/53/14/45/710531445.db2.gz DHBBLEMTVBOQKZ-UHFFFAOYSA-N -1 1 307.379 1.744 20 0 DDADMM CN(C(=O)CCCOc1ccc2c(c1)CCCC2)c1nn[n-]n1 ZINC000892955359 710532038 /nfs/dbraw/zinc/53/20/38/710532038.db2.gz ZRHJCWDMZQCWFM-UHFFFAOYSA-N -1 1 315.377 1.901 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC(F)(F)C(F)F)nc2n1 ZINC000893072735 710550401 /nfs/dbraw/zinc/55/04/01/710550401.db2.gz SOQXLBCJJBZXQX-UHFFFAOYSA-N -1 1 321.234 1.209 20 0 DDADMM CC[C@H](C(=O)Nc1ccc2cnn(CC(=O)[O-])c2c1)N(C)C ZINC000911074430 710622809 /nfs/dbraw/zinc/62/28/09/710622809.db2.gz GJFCMKICURTMQT-GFCCVEGCSA-N -1 1 304.350 1.400 20 0 DDADMM CC[N@@H+]1CCC[C@H]1CN(CCC(=O)[O-])C(=O)c1cncc([O-])c1 ZINC000911287859 710728356 /nfs/dbraw/zinc/72/83/56/710728356.db2.gz QGMXVYQAOOCAFR-ZDUSSCGKSA-N -1 1 321.377 1.188 20 0 DDADMM CC[N@H+]1CCC[C@H]1CN(CCC(=O)[O-])C(=O)c1cncc([O-])c1 ZINC000911287859 710728357 /nfs/dbraw/zinc/72/83/57/710728357.db2.gz QGMXVYQAOOCAFR-ZDUSSCGKSA-N -1 1 321.377 1.188 20 0 DDADMM Cn1ccc2c1cccc2NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911335469 710747953 /nfs/dbraw/zinc/74/79/53/710747953.db2.gz SZWJJTWGQFNMFF-LBPRGKRZSA-N -1 1 315.373 1.913 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2CCc3c2cccc3O)C1 ZINC000911335400 710748224 /nfs/dbraw/zinc/74/82/24/710748224.db2.gz QCYHQYGSXODGFE-FZMZJTMJSA-N -1 1 318.373 1.292 20 0 DDADMM O=C([O-])CC1(CC(=O)N[C@H]2CCc3[nH]cnc3C2)CCCC1 ZINC000911390823 710774762 /nfs/dbraw/zinc/77/47/62/710774762.db2.gz BAPRIJFZCSZIFZ-NSHDSACASA-N -1 1 305.378 1.808 20 0 DDADMM Cc1ncc(C(=O)[O-])cc1C(=O)N1CCN(C)C[C@@H]1C(C)C ZINC000911409897 710786089 /nfs/dbraw/zinc/78/60/89/710786089.db2.gz REEGRZVPCOKHRG-CQSZACIVSA-N -1 1 305.378 1.500 20 0 DDADMM CC1CCN(CC(=O)N[C@@H](c2nc(=O)o[n-]2)C(C)(C)C)CC1 ZINC000911586842 710869012 /nfs/dbraw/zinc/86/90/12/710869012.db2.gz XXAQSOUOMZRTMC-LBPRGKRZSA-N -1 1 310.398 1.298 20 0 DDADMM CC1(C)OC[C@@H]2C[C@@]21C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913437557 713215596 /nfs/dbraw/zinc/21/55/96/713215596.db2.gz MTVJQMMVWLXABX-MKBNYLNASA-N -1 1 313.361 1.220 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)C1(Br)CC1 ZINC000913439078 713216178 /nfs/dbraw/zinc/21/61/78/713216178.db2.gz XXWUNSZMGNKVIF-SECBINFHSA-N -1 1 322.166 1.333 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCO[C@H]1C1CC1 ZINC000913438950 713216185 /nfs/dbraw/zinc/21/61/85/713216185.db2.gz UZRCTRXOAJHUQN-MCIONIFRSA-N -1 1 313.361 1.220 20 0 DDADMM CC(Cl)(Cl)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913439127 713216277 /nfs/dbraw/zinc/21/62/77/713216277.db2.gz ZJOXQUQDZXNNHJ-QMMMGPOBSA-N -1 1 300.149 1.599 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)OC1COC1 ZINC000902951695 710972311 /nfs/dbraw/zinc/97/23/11/710972311.db2.gz ZULKOHSBPSVBKS-VXNVDRBHSA-N -1 1 310.272 1.053 20 0 DDADMM CC[C@H](O)[C@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000912107716 711176802 /nfs/dbraw/zinc/17/68/02/711176802.db2.gz WDEQEVIKFDMATE-OLZOCXBDSA-N -1 1 305.378 1.825 20 0 DDADMM C[C@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccc(Cl)cc1 ZINC000913500591 713240056 /nfs/dbraw/zinc/24/00/56/713240056.db2.gz ZXPOIDHFFMGXSY-JOYOIKCWSA-N -1 1 321.768 1.557 20 0 DDADMM Cc1nc2ccccc2cc1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913493110 713236483 /nfs/dbraw/zinc/23/64/83/713236483.db2.gz AVFLWGRRGWEULR-CQSZACIVSA-N -1 1 324.344 1.270 20 0 DDADMM Cc1cc(F)c(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1F ZINC000913494817 713237368 /nfs/dbraw/zinc/23/73/68/713237368.db2.gz DBXRPLNCTIIQQY-LLVKDONJSA-N -1 1 309.276 1.000 20 0 DDADMM Cc1nn(C)c([N-]S(=O)(=O)CC2(F)CC2)c1C(F)(F)F ZINC000903629178 711229745 /nfs/dbraw/zinc/22/97/45/711229745.db2.gz SCMVEXKXZYSIOO-UHFFFAOYSA-N -1 1 315.292 1.991 20 0 DDADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]c1cccc(C2CC2)n1 ZINC000903634107 711231430 /nfs/dbraw/zinc/23/14/30/711231430.db2.gz VVCDZDUFQKMRPO-LJQANCHMSA-N -1 1 318.424 1.190 20 0 DDADMM O=c1[nH]c2c(cc1[N-]S(=O)(=O)CC1(F)CC1)CCCC2 ZINC000903642767 711233380 /nfs/dbraw/zinc/23/33/80/711233380.db2.gz INWCNLRTBJECMQ-UHFFFAOYSA-N -1 1 300.355 1.910 20 0 DDADMM CCc1nccc(C)c1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000903675916 711242889 /nfs/dbraw/zinc/24/28/89/711242889.db2.gz VOINLWGSZUWHTC-UHFFFAOYSA-N -1 1 317.436 1.871 20 0 DDADMM O=C(c1cc(F)ccc1Cl)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913497500 713238991 /nfs/dbraw/zinc/23/89/91/713238991.db2.gz KSSLJDCQAOKJSB-SNVBAGLBSA-N -1 1 311.704 1.206 20 0 DDADMM CC(=CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1cccs1 ZINC000913499835 713239682 /nfs/dbraw/zinc/23/96/82/713239682.db2.gz RJJYGKIJMHZZNA-RNKPRXRFSA-N -1 1 305.363 1.265 20 0 DDADMM O=C([O-])c1cnc([C@@H]2CCN(CC(=O)N3CCCCC3)C2)s1 ZINC000903929011 711336660 /nfs/dbraw/zinc/33/66/60/711336660.db2.gz UVDGOGWMJKRDCH-LLVKDONJSA-N -1 1 323.418 1.643 20 0 DDADMM C[C@H](N[C@H]1C[C@@H](NC(=O)[O-])C12CCC2)c1nnc2ccccn21 ZINC000904203181 711408117 /nfs/dbraw/zinc/40/81/17/711408117.db2.gz GDMDKRIGITZBJS-SDDRHHMPSA-N -1 1 315.377 1.959 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)[O-])C(=O)N1CCc2sccc2C1 ZINC000904216527 711412301 /nfs/dbraw/zinc/41/23/01/711412301.db2.gz SSCCGWCDYFJBLF-JQWIXIFHSA-N -1 1 310.419 1.864 20 0 DDADMM Cn1nc(CN[C@@H]2C[C@H](NC(=O)[O-])C23CCC3)cc1C1CC1 ZINC000904261853 711419875 /nfs/dbraw/zinc/41/98/75/711419875.db2.gz PXPZSPCKTSGXKE-KGLIPLIRSA-N -1 1 304.394 1.966 20 0 DDADMM O=C([O-])COCCOCCNCc1cccc(Cl)c1F ZINC000904272344 711421972 /nfs/dbraw/zinc/42/19/72/711421972.db2.gz PGHWGFNJUCMVRO-UHFFFAOYSA-N -1 1 305.733 1.687 20 0 DDADMM CCn1nncc1C=Cc1cc(=O)[n-]c(-n2nc(C)cc2C)n1 ZINC000905081513 711929935 /nfs/dbraw/zinc/92/99/35/711929935.db2.gz RPQHAFYMQNWUGV-AATRIKPKSA-N -1 1 311.349 1.767 20 0 DDADMM CN1CC[C@@H]1CNC(=O)[C@@](C)(CC(=O)[O-])c1ccc(F)cc1 ZINC000905130416 711945771 /nfs/dbraw/zinc/94/57/71/711945771.db2.gz DUPZUCILKRLXRI-CJNGLKHVSA-N -1 1 308.353 1.378 20 0 DDADMM CC[C@@H]1CCCC[C@H]1OCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744548 713292929 /nfs/dbraw/zinc/29/29/29/713292929.db2.gz SWKBPMUTERQOAU-TZMCWYRMSA-N -1 1 321.425 1.891 20 0 DDADMM Cc1cccnc1C(F)(F)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744744 713293156 /nfs/dbraw/zinc/29/31/56/713293156.db2.gz BRGHVNKIRAHHQA-UHFFFAOYSA-N -1 1 322.319 1.401 20 0 DDADMM Cc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(C2CC2)n1 ZINC000913746409 713294248 /nfs/dbraw/zinc/29/42/48/713294248.db2.gz SASWURTZGHGZSZ-UHFFFAOYSA-N -1 1 312.377 1.800 20 0 DDADMM O=C(c1nsc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000907105650 712488708 /nfs/dbraw/zinc/48/87/08/712488708.db2.gz VIPBWBYIMBYHEK-VIFPVBQESA-N -1 1 314.374 1.829 20 0 DDADMM CCNc1ccc(C)cc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000907349325 712549739 /nfs/dbraw/zinc/54/97/39/712549739.db2.gz DYBLKTBYJMIQNV-NSHDSACASA-N -1 1 302.382 1.816 20 0 DDADMM O=C(N[C@H]1CCO[C@H](C2CC2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000907403110 712562215 /nfs/dbraw/zinc/56/22/15/712562215.db2.gz DNMSTVOWYCYQFO-AAEUAGOBSA-N -1 1 303.362 1.747 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc(Cl)n1 ZINC000907476890 712582507 /nfs/dbraw/zinc/58/25/07/712582507.db2.gz CPMUPLRUUXEHQF-SECBINFHSA-N -1 1 324.797 1.487 20 0 DDADMM CCOCCCCCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907478454 712583026 /nfs/dbraw/zinc/58/30/26/712583026.db2.gz VMFZKPGVPMCFLR-LLVKDONJSA-N -1 1 313.427 1.413 20 0 DDADMM O=C(c1ccnc(C2CC2)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479695 712583326 /nfs/dbraw/zinc/58/33/26/712583326.db2.gz LYDMTOUWMAUPDE-GFCCVEGCSA-N -1 1 316.390 1.402 20 0 DDADMM CNc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1F ZINC000907482610 712584607 /nfs/dbraw/zinc/58/46/07/712584607.db2.gz RDGPRNCWDGLPHC-NSHDSACASA-N -1 1 322.369 1.311 20 0 DDADMM CCOC(CCNC(=O)c1cnc(C2CC2)[n-]c1=O)OCC ZINC000907656714 712609675 /nfs/dbraw/zinc/60/96/75/712609675.db2.gz SAEVBUNKDOMZNI-UHFFFAOYSA-N -1 1 309.366 1.579 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCc2cc[nH]c(=O)c2C1 ZINC000907726497 712619030 /nfs/dbraw/zinc/61/90/30/712619030.db2.gz PLNZRZRUCFVXBT-UHFFFAOYSA-N -1 1 312.329 1.359 20 0 DDADMM CN1CCC[C@H](NC(=O)[C@@]2(C(=O)[O-])C[C@H]2c2ccccc2)C1 ZINC000907799560 712628240 /nfs/dbraw/zinc/62/82/40/712628240.db2.gz NIHDPMXAXFLSBE-GRDNDAEWSA-N -1 1 302.374 1.455 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3cccc(Cl)c3)CC2)n1 ZINC000907864185 712637884 /nfs/dbraw/zinc/63/78/84/712637884.db2.gz JELGUHOAABRGED-UHFFFAOYSA-N -1 1 313.766 1.999 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@@H]1CC[C@@H]2CCC[C@H]2C1 ZINC000907889161 712642514 /nfs/dbraw/zinc/64/25/14/712642514.db2.gz WWXRBTZPPDYGLW-ZQDZILKHSA-N -1 1 308.422 1.868 20 0 DDADMM CC(C)(C)c1coc(C[N-]S(=O)(=O)c2cncc(F)c2)n1 ZINC000907945741 712653069 /nfs/dbraw/zinc/65/30/69/712653069.db2.gz CRLBDJDPQCVUOD-UHFFFAOYSA-N -1 1 313.354 1.985 20 0 DDADMM Cn1cc2c(n1)CCC[C@@H]2NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000908036862 712670208 /nfs/dbraw/zinc/67/02/08/712670208.db2.gz RHRLKNWJSOEBIJ-LBPRGKRZSA-N -1 1 313.361 1.601 20 0 DDADMM CCOC(=O)[C@@H]1CCCN(CC(=O)[N-]OC/C=C/Cl)C1 ZINC000908309946 712736691 /nfs/dbraw/zinc/73/66/91/712736691.db2.gz BUZVIQPKFIQCDH-DUMNWFOQSA-N -1 1 304.774 1.062 20 0 DDADMM C[C@@H]1CC=CC[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000908334189 712744674 /nfs/dbraw/zinc/74/46/74/712744674.db2.gz YNPGVKMQVGMQJH-HTQZYQBOSA-N -1 1 320.212 1.805 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@H]1C1CC1)c1cc(F)ccc1F ZINC000908418135 712766478 /nfs/dbraw/zinc/76/64/78/712766478.db2.gz ZSJYOFKTPUWZGC-JQWIXIFHSA-N -1 1 303.330 1.668 20 0 DDADMM O=C([O-])c1ccc(CCNC(=O)[C@H]2CCc3[nH]cnc3C2)cc1 ZINC000908607105 712820670 /nfs/dbraw/zinc/82/06/70/712820670.db2.gz XRZBAUIFHVOZQW-ZDUSSCGKSA-N -1 1 313.357 1.572 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@@H](C(=O)[O-])C1CC1)c1cccs1 ZINC000908747340 712846662 /nfs/dbraw/zinc/84/66/62/712846662.db2.gz QPJFNUVXWRFCDT-CMPLNLGQSA-N -1 1 311.407 1.513 20 0 DDADMM COCCN(C(=O)CN1CCC[C@@H](C(=O)[O-])C1)C1CCCC1 ZINC000908773410 712852023 /nfs/dbraw/zinc/85/20/23/712852023.db2.gz YPFAIBQCOAVWGO-CYBMUJFWSA-N -1 1 312.410 1.201 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC2CC(c3ccccc3)C2)C1 ZINC000908782615 712853710 /nfs/dbraw/zinc/85/37/10/712853710.db2.gz FKKCKBACBMLMKM-FHERZECASA-N -1 1 316.401 1.845 20 0 DDADMM CCSCC[C@@H](C)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908808223 712858203 /nfs/dbraw/zinc/85/82/03/712858203.db2.gz BUYACIRAOLENIX-VXGBXAGGSA-N -1 1 302.440 1.431 20 0 DDADMM Cc1ccc(C[C@H](C)NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)s1 ZINC000908817070 712861088 /nfs/dbraw/zinc/86/10/88/712861088.db2.gz PJVOVOWOIZEASN-WCQYABFASA-N -1 1 324.446 1.900 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@@H](CF)C(=O)[O-])c1ccc(F)cc1 ZINC000908816948 712861136 /nfs/dbraw/zinc/86/11/36/712861136.db2.gz DRYUCFKPECFGOI-NWDGAFQWSA-N -1 1 315.320 1.150 20 0 DDADMM CC[C@](NC(=O)[C@@H]1CCCCN1C)(C(=O)[O-])c1ccccc1 ZINC000908941731 712892890 /nfs/dbraw/zinc/89/28/90/712892890.db2.gz VMBDWWWOODHJAV-WMLDXEAASA-N -1 1 304.390 1.977 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)CC1(F)CC1)C(=O)OC(C)(C)C ZINC000914375313 713385841 /nfs/dbraw/zinc/38/58/41/713385841.db2.gz ZWOJTKOVLQQSFD-JTQLQIEISA-N -1 1 309.403 1.918 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]CC[C@@]2(C)CC2(F)F)s1 ZINC000917395501 713496168 /nfs/dbraw/zinc/49/61/68/713496168.db2.gz OLPPCCSCVJDYEI-VIFPVBQESA-N -1 1 312.363 1.865 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2cc3n(n2)CCCC3)sn1 ZINC000919616403 713621741 /nfs/dbraw/zinc/62/17/41/713621741.db2.gz KGYVGXOIXQAYBG-UHFFFAOYSA-N -1 1 312.420 1.463 20 0 DDADMM COC1(OC)CC([N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000920070001 713648242 /nfs/dbraw/zinc/64/82/42/713648242.db2.gz CMLXJVDAXYTUAJ-UHFFFAOYSA-N -1 1 324.761 1.304 20 0 DDADMM CCN1CCC[C@@H]([N-]S(=O)(=O)c2sccc2Cl)C1=O ZINC000921490933 713786505 /nfs/dbraw/zinc/78/65/05/713786505.db2.gz WEQZEVJHLAIBLP-SECBINFHSA-N -1 1 322.839 1.691 20 0 DDADMM C[C@H]1COC[C@@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC000921494548 713787838 /nfs/dbraw/zinc/78/78/38/713787838.db2.gz JUWVYRCXLSOWFA-BQBZGAKWSA-N -1 1 311.190 1.702 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2ccsc2[C@H]1C ZINC000921508347 713793086 /nfs/dbraw/zinc/79/30/86/713793086.db2.gz LULUSCSZPGHREV-SSDOTTSWSA-N -1 1 321.358 1.717 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCSC[C@H]1c1ccccc1 ZINC000921639126 713828474 /nfs/dbraw/zinc/82/84/74/713828474.db2.gz GJLRLCIASOHSKA-ZDUSSCGKSA-N -1 1 317.418 1.839 20 0 DDADMM C[C@H](CN1C[C@@H](CO)OC(C)(C)C1)C(=O)c1ccc([O-])cc1 ZINC000930749236 713910267 /nfs/dbraw/zinc/91/02/67/713910267.db2.gz OQOHFJSUJCBMGQ-DOMZBBRYSA-N -1 1 307.390 1.683 20 0 DDADMM C[C@@H](C[S@](C)=O)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000921925658 713910672 /nfs/dbraw/zinc/91/06/72/713910672.db2.gz RMAOCRFTEWCTHW-PPRQPISWSA-N -1 1 320.361 1.279 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@]3(C)CCCCO3)ccnc1-2 ZINC000931131719 714010493 /nfs/dbraw/zinc/01/04/93/714010493.db2.gz MBUHIMNTZVWERH-HNNXBMFYSA-N -1 1 303.366 1.423 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC[C@@H]2CCNC(=O)[C@H]21 ZINC000922426937 714049661 /nfs/dbraw/zinc/04/96/61/714049661.db2.gz DFPJGSNOIQLMGC-ABAIWWIYSA-N -1 1 322.792 1.715 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCS(=O)CC1 ZINC000932041549 714244180 /nfs/dbraw/zinc/24/41/80/714244180.db2.gz AZOYOCHMGQWORN-UHFFFAOYSA-N -1 1 320.374 1.174 20 0 DDADMM CN(CC1(O)CCC1)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932045415 714245363 /nfs/dbraw/zinc/24/53/63/714245363.db2.gz DFKWMRHSDSSKOQ-UHFFFAOYSA-N -1 1 316.361 1.957 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1C[C@@H]2C[C@]2(O)C1 ZINC000932060584 714249456 /nfs/dbraw/zinc/24/94/56/714249456.db2.gz HXLFSQDJLPLDTE-BONVTDFDSA-N -1 1 300.318 1.176 20 0 DDADMM CO[C@H]1C[C@H](CNC(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000924004053 714505096 /nfs/dbraw/zinc/50/50/96/714505096.db2.gz SSIOFPHJMDTMAP-HOMQSWHASA-N -1 1 314.332 1.937 20 0 DDADMM CCOC(=O)/C=C\CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934270336 714781857 /nfs/dbraw/zinc/78/18/57/714781857.db2.gz QRWBMUDQGFJDMH-JPVGGKMYSA-N -1 1 307.350 1.447 20 0 DDADMM COc1cnc([C@H]2CCCN2CC(=O)NC(C)(C)C)[n-]c1=O ZINC000934278074 714785203 /nfs/dbraw/zinc/78/52/03/714785203.db2.gz SKVDBNAKPMKERQ-SNVBAGLBSA-N -1 1 308.382 1.242 20 0 DDADMM CCN(CC)C(=O)CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934280343 714785854 /nfs/dbraw/zinc/78/58/54/714785854.db2.gz AGNLINIGTSOBDK-LLVKDONJSA-N -1 1 308.382 1.196 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N=[S@@](C)(=O)C(C)C ZINC000926063316 714999361 /nfs/dbraw/zinc/99/93/61/714999361.db2.gz OEDSXVWOVYJLEE-QFIPXVFZSA-N -1 1 324.406 1.627 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(C=O)sc1Cl)c1nn[n-]n1 ZINC000935366594 715038182 /nfs/dbraw/zinc/03/81/82/715038182.db2.gz VRLGPGGKOAPARA-LURJTMIESA-N -1 1 313.770 1.603 20 0 DDADMM CC(C)(C)CC(C)(C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000935784397 715128294 /nfs/dbraw/zinc/12/82/94/715128294.db2.gz KLKLTKGKCFNQJD-UHFFFAOYSA-N -1 1 309.414 1.472 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C(C)(C)F)C1 ZINC000936350646 715186092 /nfs/dbraw/zinc/18/60/92/715186092.db2.gz YQPIKDSISPZRLD-SNVBAGLBSA-N -1 1 309.341 1.208 20 0 DDADMM Cc1coc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC000937863352 715618678 /nfs/dbraw/zinc/61/86/78/715618678.db2.gz GASWRCQFXHLWIN-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM CN(C(=O)[C@H]1CC[C@H](F)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955130781 715641540 /nfs/dbraw/zinc/64/15/40/715641540.db2.gz JKXZCMSSDRJZQJ-QWRGUYRKSA-N -1 1 321.352 1.208 20 0 DDADMM CN(C(=O)C1CC2(CC2)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955264416 715710758 /nfs/dbraw/zinc/71/07/58/715710758.db2.gz XJLALQNFCMGJQV-UHFFFAOYSA-N -1 1 315.373 1.260 20 0 DDADMM C[C@@H](C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000938159523 715740071 /nfs/dbraw/zinc/74/00/71/715740071.db2.gz MOISQRWKOMDADU-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)c2cc[nH]c2)C1 ZINC000938658341 715943299 /nfs/dbraw/zinc/94/32/99/715943299.db2.gz OPEXRWROZJHMOR-LBPRGKRZSA-N -1 1 314.345 1.102 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955900596 716004392 /nfs/dbraw/zinc/00/43/92/716004392.db2.gz KHMBQMYASAXPQV-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM CCC(CC)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959885640 716334652 /nfs/dbraw/zinc/33/46/52/716334652.db2.gz LRNUBIWUNCZWJN-LBPRGKRZSA-N -1 1 309.414 1.417 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)F)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959341299 716972829 /nfs/dbraw/zinc/97/28/29/716972829.db2.gz KJBXMRWYFBAUIC-QWRGUYRKSA-N -1 1 323.368 1.360 20 0 DDADMM C[C@H]1CCN(Cc2ccon2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000965941528 717826863 /nfs/dbraw/zinc/82/68/63/717826863.db2.gz RTKWPXCAPVSVKK-AAEUAGOBSA-N -1 1 316.361 1.416 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944204414 718270776 /nfs/dbraw/zinc/27/07/76/718270776.db2.gz HQBHEIUXADWMIJ-TUAOUCFPSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1CN(C(=O)C2(C)CCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966671694 718624352 /nfs/dbraw/zinc/62/43/52/718624352.db2.gz LQSAUVWXAARABS-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM CC(F)(F)C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946533488 718821019 /nfs/dbraw/zinc/82/10/19/718821019.db2.gz WAUYXAIEPCOROK-UHFFFAOYSA-N -1 1 313.304 1.163 20 0 DDADMM CC(C)C(=O)N(C)[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000967496680 718917116 /nfs/dbraw/zinc/91/71/16/718917116.db2.gz OQGTVRUIZNSEQB-ZDUSSCGKSA-N -1 1 319.405 1.896 20 0 DDADMM CCN(C(=O)c1c(C)nc(C(C)C)[n-]c1=O)C1CN(C(C)=O)C1 ZINC000968207567 719456965 /nfs/dbraw/zinc/45/69/65/719456965.db2.gz VWVIZBWGVHKQMF-UHFFFAOYSA-N -1 1 320.393 1.307 20 0 DDADMM CC(C)[N@@H+]1CCOC2(CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000949349156 720033317 /nfs/dbraw/zinc/03/33/17/720033317.db2.gz TUIVCHRHUOYQBZ-UHFFFAOYSA-N -1 1 319.405 1.503 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ncccc1[O-] ZINC000949541225 720148870 /nfs/dbraw/zinc/14/88/70/720148870.db2.gz CNSARWQUCNGHSB-MROQNXINSA-N -1 1 315.373 1.164 20 0 DDADMM CC(C)c1occc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970840113 720954203 /nfs/dbraw/zinc/95/42/03/720954203.db2.gz ZNSNZWAZQGVMNN-SNVBAGLBSA-N -1 1 319.365 1.231 20 0 DDADMM Cc1cocc1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000951597071 721091280 /nfs/dbraw/zinc/09/12/80/721091280.db2.gz FSAYOKGNEDSUCN-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)[C@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000952141909 721330595 /nfs/dbraw/zinc/33/05/95/721330595.db2.gz MRVJQGYSCFHOMQ-NWDGAFQWSA-N -1 1 315.373 1.308 20 0 DDADMM CC(=O)N1CCCC[C@H]1[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000952317009 721405288 /nfs/dbraw/zinc/40/52/88/721405288.db2.gz YPBWOVIPMXDNTA-KBPBESRZSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)C(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC000953328621 721594410 /nfs/dbraw/zinc/59/44/10/721594410.db2.gz RMGYKPHFWDIHRQ-OLZOCXBDSA-N -1 1 317.389 1.506 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2CCCCC2)C1 ZINC000954124236 721723579 /nfs/dbraw/zinc/72/35/79/721723579.db2.gz RUKMBHVPLKJART-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001020698366 732634187 /nfs/dbraw/zinc/63/41/87/732634187.db2.gz VMMIJASMADHKNM-CHWSQXEVSA-N -1 1 319.405 1.658 20 0 DDADMM C[C@@H](CCNC(=O)c1cccnc1)NC(=O)c1ncccc1[O-] ZINC001075402956 733357908 /nfs/dbraw/zinc/35/79/08/733357908.db2.gz DYUKOHJQHJMKCK-NSHDSACASA-N -1 1 314.345 1.121 20 0 DDADMM CCC(=O)N1C[C@]2(C)CN(C(=O)c3ncccc3[O-])C[C@]2(C)C1 ZINC001010899463 733472210 /nfs/dbraw/zinc/47/22/10/733472210.db2.gz OXTMZZRBPIYAON-CALCHBBNSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@@H]([NH2+][C@H]1C[C@@H](NC(=O)c2ccccc2O)C1)c1ncccn1 ZINC001021851537 733618849 /nfs/dbraw/zinc/61/88/49/733618849.db2.gz WYASJPWHWWPXRD-UPJWGTAASA-N -1 1 312.373 1.794 20 0 DDADMM O=C(NC[C@@H]1CCCCN1Cc1ccon1)c1ncccc1[O-] ZINC001024408036 735807867 /nfs/dbraw/zinc/80/78/67/735807867.db2.gz VRDYQNJZXNZQOJ-ZDUSSCGKSA-N -1 1 316.361 1.560 20 0 DDADMM CC1(CC(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001025021762 736235690 /nfs/dbraw/zinc/23/56/90/736235690.db2.gz SXYVASVDQVWTMN-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM CCOC(=O)[C@@H]1COCCN1Cc1cc(F)c([O-])c(F)c1 ZINC001140992074 736811868 /nfs/dbraw/zinc/81/18/68/736811868.db2.gz LRRNKCJHLSNZQT-LBPRGKRZSA-N -1 1 301.289 1.434 20 0 DDADMM CO[C@@](C)(C[N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CC1 ZINC000692888581 738958323 /nfs/dbraw/zinc/95/83/23/738958323.db2.gz KYLQVUBHAUUPFF-LBPRGKRZSA-N -1 1 322.789 1.968 20 0 DDADMM O=C(N[C@H]1CCCN(CCC(F)(F)F)C1)c1ncccc1[O-] ZINC001007190646 751411612 /nfs/dbraw/zinc/41/16/12/751411612.db2.gz PXYPEBPHRHVQLD-JTQLQIEISA-N -1 1 317.311 1.934 20 0 DDADMM CSCC(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059099849 739944339 /nfs/dbraw/zinc/94/43/39/739944339.db2.gz ATXGUQDLXRXQNG-NSHDSACASA-N -1 1 323.418 1.119 20 0 DDADMM CSCC(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514839 741088899 /nfs/dbraw/zinc/08/88/99/741088899.db2.gz LAAIHRUCBRUBAE-LLVKDONJSA-N -1 1 323.418 1.119 20 0 DDADMM Cc1occc1C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029640853 741169172 /nfs/dbraw/zinc/16/91/72/741169172.db2.gz VRIKDSVBLYKGBQ-LLVKDONJSA-N -1 1 319.365 1.006 20 0 DDADMM O=C(C1=CCCC1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088295259 741343384 /nfs/dbraw/zinc/34/33/84/741343384.db2.gz QNRAWSZDTFUMPS-CYBMUJFWSA-N -1 1 319.409 1.481 20 0 DDADMM CN(C(=O)c1csc(-c2ccc(O)cc2)n1)c1nn[n-]n1 ZINC001168358650 742288218 /nfs/dbraw/zinc/28/82/18/742288218.db2.gz KOVDPMXRAZRCLM-UHFFFAOYSA-N -1 1 302.319 1.305 20 0 DDADMM CC[C@@H](C)C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002188205 742935743 /nfs/dbraw/zinc/93/57/43/742935743.db2.gz FSHVRXPDLCJEOQ-LPWJVIDDSA-N -1 1 317.389 1.695 20 0 DDADMM CN1C(=O)Cc2cc(CNC(=O)C(=O)c3ccc([O-])cc3)ccc21 ZINC001180833887 742942501 /nfs/dbraw/zinc/94/25/01/742942501.db2.gz ZSSFJHQVJRSBDA-UHFFFAOYSA-N -1 1 324.336 1.410 20 0 DDADMM Nc1c(F)cccc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001168693207 743051774 /nfs/dbraw/zinc/05/17/74/743051774.db2.gz HJEHJOZWPZZKKF-ZDUSSCGKSA-N -1 1 312.308 1.440 20 0 DDADMM Cc1n[nH]c(C(=O)NC2CCN(Cc3cnoc3C)CC2)c1[O-] ZINC001002616069 743279178 /nfs/dbraw/zinc/27/91/78/743279178.db2.gz VYZYQMHFEAONMS-UHFFFAOYSA-N -1 1 319.365 1.115 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002781662 743423063 /nfs/dbraw/zinc/42/30/63/743423063.db2.gz OQQQJIFWDBYUFT-FRRDWIJNSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@@H]1C(=O)OC[C@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC001182081567 743474628 /nfs/dbraw/zinc/47/46/28/743474628.db2.gz VDZYQCQMKKRSKG-NTSWFWBYSA-N -1 1 315.123 1.297 20 0 DDADMM COc1cc(CC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)ccc1C ZINC001182301306 743555839 /nfs/dbraw/zinc/55/58/39/743555839.db2.gz IWUFPIUFZDOQLK-UHFFFAOYSA-N -1 1 318.289 1.784 20 0 DDADMM CCOC1CC(C[NH+]2CC(NC(=O)c3ccccc3O)C2)C1 ZINC001030112161 743849698 /nfs/dbraw/zinc/84/96/98/743849698.db2.gz HNUYGHNAALSDPG-UHFFFAOYSA-N -1 1 304.390 1.621 20 0 DDADMM O=C(NC1CN(Cc2cccc(F)c2)C1)c1ncccc1[O-] ZINC001030241265 743976904 /nfs/dbraw/zinc/97/69/04/743976904.db2.gz BQMWEDZNEJAEDI-UHFFFAOYSA-N -1 1 301.321 1.541 20 0 DDADMM O=S(=O)([N-]c1cnncc1Cl)c1cccnc1Cl ZINC001184836105 744111220 /nfs/dbraw/zinc/11/12/20/744111220.db2.gz NRXLLCBKCNPYQS-UHFFFAOYSA-N -1 1 305.146 1.979 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccsc1)C(F)(F)F ZINC001185406362 744224968 /nfs/dbraw/zinc/22/49/68/744224968.db2.gz OFMSVEUJCBZLHR-LURJTMIESA-N -1 1 303.283 1.130 20 0 DDADMM CN(C)c1nc(NC(=O)Nc2cccnc2)c(N=O)c(=O)[n-]1 ZINC001185656726 744264572 /nfs/dbraw/zinc/26/45/72/744264572.db2.gz SJNJGYSSPAVMGP-UHFFFAOYSA-N -1 1 303.282 1.685 20 0 DDADMM O=C(Nc1cccnc1OC1COC1)c1ccc([O-])c(F)c1 ZINC001186209085 744364511 /nfs/dbraw/zinc/36/45/11/744364511.db2.gz OWLUPGVRRAOYQC-UHFFFAOYSA-N -1 1 304.277 1.956 20 0 DDADMM CC1(C)CN(C2CN(C(=O)c3ccc([O-])c(F)c3)C2)CCO1 ZINC001186219585 744366537 /nfs/dbraw/zinc/36/65/37/744366537.db2.gz RFHONQXOSGNOAT-UHFFFAOYSA-N -1 1 308.353 1.467 20 0 DDADMM COC(=O)c1ccc(OC)nc1NC(=O)c1ccc([O-])cc1F ZINC001186318863 744373776 /nfs/dbraw/zinc/37/37/76/744373776.db2.gz RILLDHYJWQWZFQ-UHFFFAOYSA-N -1 1 320.276 1.974 20 0 DDADMM Cc1cccc2c(CC(=O)Nc3[n-]c(=O)nc4nc[nH]c43)noc21 ZINC001186547763 744419033 /nfs/dbraw/zinc/41/90/33/744419033.db2.gz ZSIIBLZNPZOBFC-UHFFFAOYSA-N -1 1 324.300 1.689 20 0 DDADMM CC[C@@H](CC(C)C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186894867 744462165 /nfs/dbraw/zinc/46/21/65/744462165.db2.gz GQSLMZMKHPPVLT-NWDGAFQWSA-N -1 1 309.414 1.273 20 0 DDADMM CC(=O)Nc1ccc([C@@H](C)[N-]S(=O)(=O)c2cnoc2C)cc1 ZINC001187421470 744560663 /nfs/dbraw/zinc/56/06/63/744560663.db2.gz VQIZQWXZDKWQPS-SECBINFHSA-N -1 1 323.374 1.981 20 0 DDADMM COc1cncc(CNC(=O)c2n[n-]nc2C(F)(F)F)c1 ZINC001187773469 744600112 /nfs/dbraw/zinc/60/01/12/744600112.db2.gz HHHXKOAPYLDORF-UHFFFAOYSA-N -1 1 301.228 1.157 20 0 DDADMM O=C(NCC1(c2cnccn2)CC1)c1n[n-]nc1C(F)(F)F ZINC001187775169 744600789 /nfs/dbraw/zinc/60/07/89/744600789.db2.gz ZUUFNJNJVGKSLO-UHFFFAOYSA-N -1 1 312.255 1.075 20 0 DDADMM Nc1ccc2c(c1)CCCN2C(=O)c1n[n-]nc1C(F)(F)F ZINC001187766005 744607986 /nfs/dbraw/zinc/60/79/86/744607986.db2.gz ATLDURHXINQDKF-UHFFFAOYSA-N -1 1 311.267 1.999 20 0 DDADMM COc1ccc2c(c1)CC[C@@H]([N-]S(=O)(=O)c1nccs1)C2 ZINC001187846401 744617583 /nfs/dbraw/zinc/61/75/83/744617583.db2.gz GFNAMMUYNUQOAB-GFCCVEGCSA-N -1 1 324.427 1.988 20 0 DDADMM O=S(=O)([N-][C@H]1c2ccccc2CC[C@H]1O)c1nccs1 ZINC001187912532 744629260 /nfs/dbraw/zinc/62/92/60/744629260.db2.gz KOBVWKZPTFQUMC-NEPJUHHUSA-N -1 1 310.400 1.470 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ccc(Cl)nc1OC ZINC001188355620 744700320 /nfs/dbraw/zinc/70/03/20/744700320.db2.gz QOYDTMDXUKJDHZ-UHFFFAOYSA-N -1 1 308.743 1.048 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc(C(F)(F)F)[nH]1 ZINC001188512138 744715613 /nfs/dbraw/zinc/71/56/13/744715613.db2.gz HJAXZXNSSHUWDO-UHFFFAOYSA-N -1 1 312.211 1.658 20 0 DDADMM O=C([N-]c1nonc1-c1nc2ccccc2[nH]1)c1cccnn1 ZINC001188662858 744738637 /nfs/dbraw/zinc/73/86/37/744738637.db2.gz WBPOLGKTLAIAJK-UHFFFAOYSA-N -1 1 307.273 1.655 20 0 DDADMM COC(=O)c1cnc(Cl)cc1[N-]S(=O)(=O)CC1CC1 ZINC001188936909 744794710 /nfs/dbraw/zinc/79/47/10/744794710.db2.gz AQNZPLJTJFXBMY-UHFFFAOYSA-N -1 1 304.755 1.673 20 0 DDADMM COCn1cc([N-]S(=O)(=O)Cc2cccc(F)c2F)cn1 ZINC001189425534 744893765 /nfs/dbraw/zinc/89/37/65/744893765.db2.gz HVDMVWDNFHXVIE-UHFFFAOYSA-N -1 1 317.317 1.707 20 0 DDADMM COc1nc(S(=O)(=O)[N-][C@@H]2CCCC[C@H]2F)ccc1F ZINC001190108462 745102816 /nfs/dbraw/zinc/10/28/16/745102816.db2.gz RINUSSXPYDHSLO-PSASIEDQSA-N -1 1 306.334 1.788 20 0 DDADMM COC(=O)[C@@H](NC(=O)Nc1cc(F)cc(F)c1[O-])C(C)C ZINC001190245089 745160595 /nfs/dbraw/zinc/16/05/95/745160595.db2.gz VXGZSCJKEZNJLR-JTQLQIEISA-N -1 1 302.277 1.990 20 0 DDADMM Cc1ncnc(C)c1NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190618249 745260786 /nfs/dbraw/zinc/26/07/86/745260786.db2.gz CUXUIDMHRYJEJL-UHFFFAOYSA-N -1 1 322.328 1.903 20 0 DDADMM CCOc1ccc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)nc1 ZINC001190780641 745328790 /nfs/dbraw/zinc/32/87/90/745328790.db2.gz NDSGHBLQJMKFNA-UHFFFAOYSA-N -1 1 305.250 1.332 20 0 DDADMM CCOc1ccc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)nc1 ZINC001190795121 745333675 /nfs/dbraw/zinc/33/36/75/745333675.db2.gz UYTAWUDCUWDDJZ-UHFFFAOYSA-N -1 1 300.278 1.105 20 0 DDADMM COc1cc(C(=O)NCc2ccn(C)c(=O)c2)cc(Cl)c1[O-] ZINC001191156515 745438354 /nfs/dbraw/zinc/43/83/54/745438354.db2.gz ZRWSMSXQTSSCKR-UHFFFAOYSA-N -1 1 322.748 1.683 20 0 DDADMM COc1ccc(CNC(=O)c2cc(Cl)c([O-])c(OC)c2)nn1 ZINC001191156407 745438432 /nfs/dbraw/zinc/43/84/32/745438432.db2.gz YCQFRQVBSMVZRU-UHFFFAOYSA-N -1 1 323.736 1.783 20 0 DDADMM CSc1ncc(C(=O)Nc2cnc3c(c2)COCC3)c(=O)[n-]1 ZINC001191419790 745506532 /nfs/dbraw/zinc/50/65/32/745506532.db2.gz CXTRRNRQTVIAHO-UHFFFAOYSA-N -1 1 318.358 1.624 20 0 DDADMM Cc1nc2nc[nH]c2c(NC(=O)c2cnc(Br)o2)n1 ZINC001191719620 745582239 /nfs/dbraw/zinc/58/22/39/745582239.db2.gz ORKVAHFWGHPNAQ-UHFFFAOYSA-N -1 1 323.110 1.664 20 0 DDADMM Cc1nc2[nH]cnc2c([N-]C(=O)c2cnc(Br)o2)n1 ZINC001191719620 745582242 /nfs/dbraw/zinc/58/22/42/745582242.db2.gz ORKVAHFWGHPNAQ-UHFFFAOYSA-N -1 1 323.110 1.664 20 0 DDADMM O=C1CCCCN1CC[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001191996637 745661351 /nfs/dbraw/zinc/66/13/51/745661351.db2.gz JCHGDJWOJBIYLN-UHFFFAOYSA-N -1 1 323.629 1.246 20 0 DDADMM COC(=O)[C@H]1C[C@H]1C[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192053648 745686408 /nfs/dbraw/zinc/68/64/08/745686408.db2.gz ADACKGJBCIFVTE-WHFBIAKZSA-N -1 1 310.586 1.043 20 0 DDADMM CC(C)(C)c1ccc(C(=O)n2cc(N)c(=O)nc2N)c([O-])c1 ZINC001192341622 745759410 /nfs/dbraw/zinc/75/94/10/745759410.db2.gz YKVIRVGTBRVAMZ-UHFFFAOYSA-N -1 1 302.334 1.342 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccnc(C2CC2)c1 ZINC001193000478 745947149 /nfs/dbraw/zinc/94/71/49/745947149.db2.gz BXZUXSRMZOWYMF-UHFFFAOYSA-N -1 1 301.262 1.810 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1ncc(Cl)nc1Cl ZINC001193205233 746012336 /nfs/dbraw/zinc/01/23/36/746012336.db2.gz FQAKBIWMSHWHCS-LURJTMIESA-N -1 1 312.178 1.704 20 0 DDADMM CSc1nc(NC(=O)c2cncc(N(C)C)c2)cc(=O)[n-]1 ZINC001193801680 746203503 /nfs/dbraw/zinc/20/35/03/746203503.db2.gz PCVGYZRPLBFTSM-UHFFFAOYSA-N -1 1 305.363 1.617 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1cccc2c1CCC(=O)N2 ZINC001193934755 746227092 /nfs/dbraw/zinc/22/70/92/746227092.db2.gz LUOFZMQATLJSRH-UHFFFAOYSA-N -1 1 317.370 1.954 20 0 DDADMM Cc1cnc(C(=O)Nc2nc(Br)ccc2[O-])nc1 ZINC001194065830 746269780 /nfs/dbraw/zinc/26/97/80/746269780.db2.gz XRQWIQSLZOQTNA-UHFFFAOYSA-N -1 1 309.123 1.900 20 0 DDADMM CC(C)N1CC([N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC001194578427 746413748 /nfs/dbraw/zinc/41/37/48/746413748.db2.gz OHAPTISNSDSLSY-UHFFFAOYSA-N -1 1 323.340 1.471 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1ccnnc1Cl ZINC001194679333 746435794 /nfs/dbraw/zinc/43/57/94/746435794.db2.gz OZSNESPOBODLQY-UHFFFAOYSA-N -1 1 300.727 1.334 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1cc(F)nc(F)c1F ZINC001194679267 746435564 /nfs/dbraw/zinc/43/55/64/746435564.db2.gz LKCPVJVTMDJNHN-UHFFFAOYSA-N -1 1 319.264 1.703 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cnc(C(F)(F)F)[nH]1 ZINC001194788963 746455679 /nfs/dbraw/zinc/45/56/79/746455679.db2.gz RYUXTQQTPSFPDE-UHFFFAOYSA-N -1 1 313.199 1.053 20 0 DDADMM Cc1cc(Cl)c(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cn1 ZINC001194901565 746483189 /nfs/dbraw/zinc/48/31/89/746483189.db2.gz IFLPQAXRBYXKSV-UHFFFAOYSA-N -1 1 320.696 1.325 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2cc(N)ccc2OCCO)n1 ZINC001195325373 746565784 /nfs/dbraw/zinc/56/57/84/746565784.db2.gz BUSKRXGOZIOQHW-UHFFFAOYSA-N -1 1 303.318 1.301 20 0 DDADMM CCCCOC(=O)[C@@H]1CCN(C(=O)c2nc(C)ccc2[O-])C1 ZINC001195326657 746566936 /nfs/dbraw/zinc/56/69/36/746566936.db2.gz SMRYWHDHZQDUGL-GFCCVEGCSA-N -1 1 306.362 1.901 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CC(F)(F)CC[C@@H]1CO ZINC001195299148 746570814 /nfs/dbraw/zinc/57/08/14/746570814.db2.gz IXFFVCLPJOEVME-SSDOTTSWSA-N -1 1 306.696 1.673 20 0 DDADMM O=C(NCc1cc2n(n1)CCCO2)c1cc([O-])cnc1Cl ZINC001195314567 746574236 /nfs/dbraw/zinc/57/42/36/746574236.db2.gz IFCYWCUIOQJOQT-UHFFFAOYSA-N -1 1 308.725 1.350 20 0 DDADMM O=C(NC(=S)NCCCC[P@@](=O)([O-])O)c1ccccc1 ZINC001195433387 746612958 /nfs/dbraw/zinc/61/29/58/746612958.db2.gz XMDRESBZKIQQJQ-UHFFFAOYSA-N -1 1 316.319 1.249 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(CO)c(C)c2)cn1 ZINC001195725819 746677924 /nfs/dbraw/zinc/67/79/24/746677924.db2.gz VDTIZQUYQVOJDJ-UHFFFAOYSA-N -1 1 308.359 1.692 20 0 DDADMM CN1Cc2cc([N-]S(=O)(=O)c3ccc(O)cc3)ccc2C1=O ZINC001195796392 746707828 /nfs/dbraw/zinc/70/78/28/746707828.db2.gz MUKIVPLZHKRMGA-UHFFFAOYSA-N -1 1 318.354 1.779 20 0 DDADMM O=S(=O)([N-]c1ccccc1-n1cncn1)c1ccc(O)cc1 ZINC001195802718 746709721 /nfs/dbraw/zinc/70/97/21/746709721.db2.gz KAMTUQIXETYZBR-UHFFFAOYSA-N -1 1 316.342 1.774 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1cc(-c2ccccc2)nn1C ZINC001195934535 746739657 /nfs/dbraw/zinc/73/96/57/746739657.db2.gz VBCWGNNSZZIONZ-UHFFFAOYSA-N -1 1 323.374 1.392 20 0 DDADMM Cc1cc(NC(=O)c2c[nH]c(=S)[n-]c2=O)c2cc[nH]c2n1 ZINC001196013727 746756665 /nfs/dbraw/zinc/75/66/65/746756665.db2.gz FSVBUSJEACGXBK-UHFFFAOYSA-N -1 1 301.331 1.860 20 0 DDADMM O=C(NCCCOc1cccc(F)c1)c1c[nH]c(=S)[n-]c1=O ZINC001196023232 746760353 /nfs/dbraw/zinc/76/03/53/746760353.db2.gz HBGIDVNAXYESBY-UHFFFAOYSA-N -1 1 323.349 1.809 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc(Cl)[nH]c(=O)c1 ZINC001196186723 746786669 /nfs/dbraw/zinc/78/66/69/746786669.db2.gz OZYYIKHXGLAAJE-UHFFFAOYSA-N -1 1 306.669 1.065 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1snnc1CO ZINC001196351671 746842287 /nfs/dbraw/zinc/84/22/87/746842287.db2.gz MIRJJFJVHKXRIM-UHFFFAOYSA-N -1 1 317.330 1.174 20 0 DDADMM O=C([N-]c1csc(-c2ccncc2)n1)c1snnc1CO ZINC001196354699 746842790 /nfs/dbraw/zinc/84/27/90/746842790.db2.gz NIVXWQYTTOSDEF-UHFFFAOYSA-N -1 1 319.371 1.801 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1ncc(C)nc1C ZINC001196922549 746997537 /nfs/dbraw/zinc/99/75/37/746997537.db2.gz NFXNPEZIQGYXPB-UHFFFAOYSA-N -1 1 301.368 1.178 20 0 DDADMM Cc1nc2[nH]cnc2c([N-]C(=O)c2noc(C(C)(C)C)n2)n1 ZINC001196981636 747023958 /nfs/dbraw/zinc/02/39/58/747023958.db2.gz SRRGVHTVNPMSLF-UHFFFAOYSA-N -1 1 301.310 1.594 20 0 DDADMM COc1ccnc(F)c1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001197135464 747062492 /nfs/dbraw/zinc/06/24/92/747062492.db2.gz CMUDOSDUFWTRDZ-UHFFFAOYSA-N -1 1 309.213 1.081 20 0 DDADMM O=S(=O)(Cc1cccnc1)[N-]c1cnn(C2CCOCC2)c1 ZINC001197421797 747159979 /nfs/dbraw/zinc/15/99/79/747159979.db2.gz LSLNAKBQLGYOTG-UHFFFAOYSA-N -1 1 322.390 1.572 20 0 DDADMM CCc1cc2ncc([N-]S(=O)(=O)Cc3cccnc3)cn2n1 ZINC001197430233 747162782 /nfs/dbraw/zinc/16/27/82/747162782.db2.gz KDEXOFYGSGNHCW-UHFFFAOYSA-N -1 1 317.374 1.629 20 0 DDADMM O=S(=O)(Cc1cccnc1)[N-]c1c(CO)ccc(F)c1F ZINC001197463898 747165666 /nfs/dbraw/zinc/16/56/66/747165666.db2.gz BLNNMTZGSVQYTK-UHFFFAOYSA-N -1 1 314.313 1.794 20 0 DDADMM Cc1n[nH]c(C(=O)NCC2CN(Cc3ccsc3)C2)c1[O-] ZINC001031615944 747197934 /nfs/dbraw/zinc/19/79/34/747197934.db2.gz JSUMXHFTIZMDTH-UHFFFAOYSA-N -1 1 306.391 1.347 20 0 DDADMM O=C(NCC1CN(C/C(Cl)=C\Cl)C1)c1ncccc1[O-] ZINC001031627259 747246852 /nfs/dbraw/zinc/24/68/52/747246852.db2.gz ICIDDLVXXXHVIU-ONNFQVAWSA-N -1 1 316.188 1.768 20 0 DDADMM O=S(=O)([N-]c1ccccc1CO)c1ccc2nccnc2c1 ZINC001198144808 747391203 /nfs/dbraw/zinc/39/12/03/747391203.db2.gz NZWNSXDMPJXCHV-UHFFFAOYSA-N -1 1 315.354 1.923 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnccc3OC3CCC3)c1-2 ZINC001198866821 747640638 /nfs/dbraw/zinc/64/06/38/747640638.db2.gz BJBSVCGSPZARJG-UHFFFAOYSA-N -1 1 324.344 1.613 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CC[N@@H+](C[C@H]2CCCCO2)C1 ZINC001033030794 747995397 /nfs/dbraw/zinc/99/53/97/747995397.db2.gz ABSJRCZUUHDYMK-ZIAGYGMSSA-N -1 1 319.405 1.503 20 0 DDADMM CCOC(=O)CCNC(=S)Nc1cc(F)c(F)cc1[O-] ZINC001200171482 748166170 /nfs/dbraw/zinc/16/61/70/748166170.db2.gz HUONMAUFLSOMIK-UHFFFAOYSA-N -1 1 304.318 1.910 20 0 DDADMM C[C@@H](CO)[N-]S(=O)(=O)c1cc(Cl)c(Cl)cc1F ZINC001201244351 748442041 /nfs/dbraw/zinc/44/20/41/748442041.db2.gz NFJLIZQMCMEDBS-YFKPBYRVSA-N -1 1 302.154 1.792 20 0 DDADMM CN(C)c1nc(NC(=O)c2n[nH]c3c2CCC3)c(N=O)c(=O)[n-]1 ZINC001201228554 748436522 /nfs/dbraw/zinc/43/65/22/748436522.db2.gz RFRIOASTZQRKLP-UHFFFAOYSA-N -1 1 317.309 1.110 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2cccn2cn1 ZINC001201374053 748493058 /nfs/dbraw/zinc/49/30/58/748493058.db2.gz AGYCIHXFVCQPIS-UHFFFAOYSA-N -1 1 300.234 1.186 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1sc(Cl)nc1C)C1CC1 ZINC001201768545 748599765 /nfs/dbraw/zinc/59/97/65/748599765.db2.gz FOFWIOWCUIFDEC-SSDOTTSWSA-N -1 1 324.811 1.335 20 0 DDADMM CN(C)c1nc(NC(=O)N[C@@H]2C[C@H]2c2ccccc2)cc(=O)[n-]1 ZINC001201927197 748628143 /nfs/dbraw/zinc/62/81/43/748628143.db2.gz NMBDGVQYMPWXLA-NWDGAFQWSA-N -1 1 313.361 1.926 20 0 DDADMM CC(C)C(=O)N1CC[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001004750289 748715848 /nfs/dbraw/zinc/71/58/48/748715848.db2.gz JYFLPCHEMAKHPR-NWDGAFQWSA-N -1 1 305.378 1.410 20 0 DDADMM Cc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)co1 ZINC000995751541 749221678 /nfs/dbraw/zinc/22/16/78/749221678.db2.gz YHJVLQUUNZHTTI-NSHDSACASA-N -1 1 319.365 1.052 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NC[C@H](C)C(=O)OC(C)C)c1 ZINC001137106226 749322107 /nfs/dbraw/zinc/32/21/07/749322107.db2.gz YLGNRDYUNSAATM-NSHDSACASA-N -1 1 307.346 1.831 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996367316 749528428 /nfs/dbraw/zinc/52/84/28/749528428.db2.gz FERNUTUCCDULGL-MNOVXSKESA-N -1 1 309.414 1.129 20 0 DDADMM C[C@H](NC(=O)C1CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005560330 750553073 /nfs/dbraw/zinc/55/30/73/750553073.db2.gz PYUAAINZYDFVOR-JTQLQIEISA-N -1 1 303.362 1.164 20 0 DDADMM Cc1nccc(NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001060856772 751020730 /nfs/dbraw/zinc/02/07/30/751020730.db2.gz RKPLRUDCMQUIOD-GFCCVEGCSA-N -1 1 313.361 1.460 20 0 DDADMM Cc1cc(NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)ncn1 ZINC001060857067 751028686 /nfs/dbraw/zinc/02/86/86/751028686.db2.gz ZDHSOQSZLHQWTC-LBPRGKRZSA-N -1 1 313.361 1.460 20 0 DDADMM O=C([C@@H]1CC12CCCC2)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035094543 751192824 /nfs/dbraw/zinc/19/28/24/751192824.db2.gz JXDMDVMRDQJXAR-NEPJUHHUSA-N -1 1 319.409 1.171 20 0 DDADMM Cc1[nH]nc(C(=O)N2C[C@H]3CCC[C@@H](C2)N3CC[C@H](C)F)c1[O-] ZINC001039545878 762111727 /nfs/dbraw/zinc/11/17/27/762111727.db2.gz PTUAVQJHQGNAEQ-WCFLWFBJSA-N -1 1 324.400 1.851 20 0 DDADMM Cn1ccnc1CCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001168923418 762128194 /nfs/dbraw/zinc/12/81/94/762128194.db2.gz XZMCZUUKQBGCSP-UHFFFAOYSA-N -1 1 311.345 1.883 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2ccco2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036490378 752481522 /nfs/dbraw/zinc/48/15/22/752481522.db2.gz GGZNRPSAOPOMAE-GHMZBOCLSA-N -1 1 319.365 1.134 20 0 DDADMM CC(C)=CC(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062150115 752794142 /nfs/dbraw/zinc/79/41/42/752794142.db2.gz VYBVSISKWMOOAZ-CYBMUJFWSA-N -1 1 317.389 1.864 20 0 DDADMM CCC(CC)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062583432 753149034 /nfs/dbraw/zinc/14/90/34/753149034.db2.gz NSHOHGYLPSRNPS-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM CC(C)(C)C(C)(C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999903918 753232838 /nfs/dbraw/zinc/23/28/38/753232838.db2.gz NWVVJUSDZDOYKR-NSHDSACASA-N -1 1 323.441 1.663 20 0 DDADMM CC1(CC(=O)NCC2(NC(=O)c3ncccc3[O-])CCC2)CC1 ZINC001063673341 754279243 /nfs/dbraw/zinc/27/92/43/754279243.db2.gz XDQRVPYDYHVOAK-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(C)(C)F ZINC001011383418 754488847 /nfs/dbraw/zinc/48/88/47/754488847.db2.gz NBWURQZLIHNNAD-VHSXEESVSA-N -1 1 309.341 1.255 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1=CCCC1 ZINC001011650239 754658041 /nfs/dbraw/zinc/65/80/41/754658041.db2.gz IJFWJNCRLCYJSI-WCQYABFASA-N -1 1 315.373 1.617 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC(C)(C)C ZINC001012300459 754985348 /nfs/dbraw/zinc/98/53/48/754985348.db2.gz CDLLYALEOPNGOY-NEPJUHHUSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C2CCC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014669504 755869824 /nfs/dbraw/zinc/86/98/24/755869824.db2.gz LJKCUQMUEAYNCC-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM CCC[C@H](C)NC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001119667430 757994060 /nfs/dbraw/zinc/99/40/60/757994060.db2.gz MTHASUZWNGUUFT-VIFPVBQESA-N -1 1 314.332 1.634 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001018097272 758544360 /nfs/dbraw/zinc/54/43/60/758544360.db2.gz DDDKPSWGDFRYRZ-FZXKYSEESA-N -1 1 317.389 1.863 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1CC1 ZINC001018099898 758546923 /nfs/dbraw/zinc/54/69/23/758546923.db2.gz NFQIJDOUIVXBDN-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1CN(C(=O)C=C2CCCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054454736 759667831 /nfs/dbraw/zinc/66/78/31/759667831.db2.gz YUICZAPJHIKFFY-YPMHNXCESA-N -1 1 319.409 1.337 20 0 DDADMM CN(C[C@@H]1CCN1Cc1cscn1)C(=O)c1ncccc1[O-] ZINC001085561013 759697154 /nfs/dbraw/zinc/69/71/54/759697154.db2.gz ANYSSDOGICARIS-LBPRGKRZSA-N -1 1 318.402 1.590 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NCc1ccon1 ZINC001054592516 759858328 /nfs/dbraw/zinc/85/83/28/759858328.db2.gz YYXVHKVFZSXQKA-JQWIXIFHSA-N -1 1 302.334 1.026 20 0 DDADMM CCC1(C(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001054987577 760263706 /nfs/dbraw/zinc/26/37/06/760263706.db2.gz XJGQFQDOLXMEBF-MNOVXSKESA-N -1 1 307.398 1.027 20 0 DDADMM CC1(C)CCC[C@@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001019843048 760290113 /nfs/dbraw/zinc/29/01/13/760290113.db2.gz UFGRFJBDDHYVGC-NEPJUHHUSA-N -1 1 321.425 1.417 20 0 DDADMM CC(C)C(=O)N1CCCC[C@H]1[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039190360 761816472 /nfs/dbraw/zinc/81/64/72/761816472.db2.gz LLANTHCNIXSZJN-STQMWFEESA-N -1 1 321.425 1.369 20 0 DDADMM O=C(CCC1CCC1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001538226 762945210 /nfs/dbraw/zinc/94/52/10/762945210.db2.gz RVSJOILLGKPONN-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM C[C@@H]1CN(c2ncccn2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC001067393189 763913728 /nfs/dbraw/zinc/91/37/28/763913728.db2.gz JDHYSVGBBLUVKZ-VXGBXAGGSA-N -1 1 313.361 1.222 20 0 DDADMM Cc1ccc(NC[C@H](NC(=O)c2ncccc2[O-])C2CC2)nn1 ZINC001109821898 764105537 /nfs/dbraw/zinc/10/55/37/764105537.db2.gz SZVLNIUTYWJWLY-LBPRGKRZSA-N -1 1 313.361 1.506 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](Nc2ncc(F)cn2)C1 ZINC001057623537 764157771 /nfs/dbraw/zinc/15/77/71/764157771.db2.gz MMBAEXVPUOPWIG-NSHDSACASA-N -1 1 317.324 1.433 20 0 DDADMM O=C(CCC1CCCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044905052 765898626 /nfs/dbraw/zinc/89/86/26/765898626.db2.gz CNKXMBOJXXZFST-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncc4ccc(F)cc4n3)c2[nH]1 ZINC001170213369 766172510 /nfs/dbraw/zinc/17/25/10/766172510.db2.gz FKMBQRXBFHKZFX-UHFFFAOYSA-N -1 1 313.252 1.542 20 0 DDADMM CCOc1nc(Cl)ncc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170220992 766179116 /nfs/dbraw/zinc/17/91/16/766179116.db2.gz ZVFQVWCMYVKVQH-UHFFFAOYSA-N -1 1 323.700 1.301 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc4c(c3)NC(=S)C4)c2[nH]1 ZINC001170223065 766196808 /nfs/dbraw/zinc/19/68/08/766196808.db2.gz KKMLYJUIGPYISP-UHFFFAOYSA-N -1 1 314.330 1.755 20 0 DDADMM CCOC(=O)Cc1ccncc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170228479 766203015 /nfs/dbraw/zinc/20/30/15/766203015.db2.gz GCZDMJZFYNDGLU-UHFFFAOYSA-N -1 1 314.305 1.303 20 0 DDADMM CS(=O)(=O)c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)c(F)c1 ZINC001170229342 766203673 /nfs/dbraw/zinc/20/36/73/766203673.db2.gz SIWKAGGBJWMFHO-UHFFFAOYSA-N -1 1 323.309 1.345 20 0 DDADMM O=S(=O)([N-]CC1(O)CCC1)c1cnc(Cl)cc1Cl ZINC001116461968 780511041 /nfs/dbraw/zinc/51/10/41/780511041.db2.gz DMPRXNJACPFVFH-UHFFFAOYSA-N -1 1 311.190 1.582 20 0 DDADMM Cc1nsc(N[C@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)n1 ZINC001068821307 767639113 /nfs/dbraw/zinc/63/91/13/767639113.db2.gz ATHLWVULALBTSB-SCZZXKLOSA-N -1 1 319.390 1.662 20 0 DDADMM Cc1nsc(N[C@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)n1 ZINC001069063350 767811343 /nfs/dbraw/zinc/81/13/43/767811343.db2.gz PTNVSTFPRTXQIA-WPRPVWTQSA-N -1 1 319.390 1.662 20 0 DDADMM CC(C)CC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071953041 770339221 /nfs/dbraw/zinc/33/92/21/770339221.db2.gz UNIGRFZROKKSBR-RYUDHWBXSA-N -1 1 305.378 1.410 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCNC(=O)[C@H]2C(C)C)c1 ZINC001136613627 772043831 /nfs/dbraw/zinc/04/38/31/772043831.db2.gz YUSDPLCOIOJXGL-CYBMUJFWSA-N -1 1 304.346 1.110 20 0 DDADMM CC=C(C)C(=O)Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001143943603 772343915 /nfs/dbraw/zinc/34/39/15/772343915.db2.gz ANGFNYWYYSUCJE-FPYGCLRLSA-N -1 1 311.301 1.878 20 0 DDADMM C/C=C(\C)C(=O)Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001143943603 772343921 /nfs/dbraw/zinc/34/39/21/772343921.db2.gz ANGFNYWYYSUCJE-FPYGCLRLSA-N -1 1 311.301 1.878 20 0 DDADMM COC(=O)CO[C@H]1CCN(Cc2c(F)cc([O-])cc2F)C1 ZINC001144567310 772567589 /nfs/dbraw/zinc/56/75/89/772567589.db2.gz IXHZDVGRVLHYKM-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nc(OC)ccc2F)n1 ZINC001147766019 773220570 /nfs/dbraw/zinc/22/05/70/773220570.db2.gz SUZBQCRAFSTNOC-UHFFFAOYSA-N -1 1 308.269 1.381 20 0 DDADMM CCOC(CCNC(=O)c1c(CO)cnc(C)c1[O-])OCC ZINC001147843231 773266668 /nfs/dbraw/zinc/26/66/68/773266668.db2.gz BUJUFQIASVITIO-UHFFFAOYSA-N -1 1 312.366 1.107 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCc2cn(CC3CC3)cn2)c1[O-] ZINC001147848176 773268935 /nfs/dbraw/zinc/26/89/35/773268935.db2.gz GQIXUYKGASQWJI-UHFFFAOYSA-N -1 1 316.361 1.124 20 0 DDADMM CCCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073738044 773358740 /nfs/dbraw/zinc/35/87/40/773358740.db2.gz RISOJANQXLUYMI-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)n3c(N)csc3=N)cc2)[n-]1 ZINC001148326835 773436678 /nfs/dbraw/zinc/43/66/78/773436678.db2.gz VMGGLMKQLZQNCQ-UHFFFAOYSA-N -1 1 315.358 1.412 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N[C@@H](CO)C(C)(C)C)cc2)[n-]1 ZINC001148335659 773440448 /nfs/dbraw/zinc/44/04/48/773440448.db2.gz DSINEJGJVURPBK-AWEZNQCLSA-N -1 1 317.389 1.936 20 0 DDADMM O=C(N[C@H]1C=CS(=O)(=O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC001148799621 773604050 /nfs/dbraw/zinc/60/40/50/773604050.db2.gz RKVCHJBRTIWVDP-QMMMGPOBSA-N -1 1 321.276 1.452 20 0 DDADMM CC[C@@](C)(CC(=O)OC)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001148923860 773649981 /nfs/dbraw/zinc/64/99/81/773649981.db2.gz OXDUHOBJCFSRAG-AWEZNQCLSA-N -1 1 322.390 1.480 20 0 DDADMM Cc1cc(N(C)CCNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001100070929 775645388 /nfs/dbraw/zinc/64/53/88/775645388.db2.gz JNKQNVGOUCDNGJ-UHFFFAOYSA-N -1 1 301.350 1.060 20 0 DDADMM CC(C)OC(=O)CC[C@@H](C)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001172762672 776883643 /nfs/dbraw/zinc/88/36/43/776883643.db2.gz YGWAWMHPBQLSSC-SSDOTTSWSA-N -1 1 309.326 1.248 20 0 DDADMM COc1ccc(N)cc1S(=O)(=O)[N-]c1ccc2nccn2c1 ZINC001174277944 777451391 /nfs/dbraw/zinc/45/13/91/777451391.db2.gz OPVDOWCDFABCKJ-UHFFFAOYSA-N -1 1 318.358 1.726 20 0 DDADMM CSc1nc(Nc2cnc(N3CCOCC3)nc2)cc(=O)[n-]1 ZINC001174449539 777491294 /nfs/dbraw/zinc/49/12/94/777491294.db2.gz XLHWVWJRRYAERK-UHFFFAOYSA-N -1 1 320.378 1.274 20 0 DDADMM COc1cccc(CCC(=O)Nc2cc(=O)[n-]c(SC)n2)n1 ZINC001175313653 777748030 /nfs/dbraw/zinc/74/80/30/777748030.db2.gz QYDDZTJYAWJHOI-UHFFFAOYSA-N -1 1 320.374 1.879 20 0 DDADMM COc1nonc1[N-]c1ccc(C(=O)N2CCOCC2)cc1 ZINC001175539214 777830309 /nfs/dbraw/zinc/83/03/09/777830309.db2.gz CHYJOHHDJVEVRZ-UHFFFAOYSA-N -1 1 304.306 1.294 20 0 DDADMM O=C(c1ccnc(-c2ccco2)c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001175564086 777841604 /nfs/dbraw/zinc/84/16/04/777841604.db2.gz ZDMDDBLEQCJJTB-NSHDSACASA-N -1 1 310.317 1.484 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccc(C(=O)NC2CC2)cc1 ZINC001175605367 777857674 /nfs/dbraw/zinc/85/76/74/777857674.db2.gz WAQUJFYNDDCGJX-UHFFFAOYSA-N -1 1 317.374 1.689 20 0 DDADMM CN(C)c1nc(Nc2cnn(Cc3cccnc3)c2)cc(=O)[n-]1 ZINC001176453893 778153149 /nfs/dbraw/zinc/15/31/49/778153149.db2.gz HCBXPONQFPDXEW-UHFFFAOYSA-N -1 1 311.349 1.632 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccnc(Cl)c1OC(F)(F)F ZINC001176837828 778269066 /nfs/dbraw/zinc/26/90/66/778269066.db2.gz IVAPIDCEWCUWDD-UHFFFAOYSA-N -1 1 322.634 1.328 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cc(-c2ccccc2)nn1C1CCC1 ZINC001176840629 778271043 /nfs/dbraw/zinc/27/10/43/778271043.db2.gz UYQHMBOXMAOIPF-UHFFFAOYSA-N -1 1 323.360 1.969 20 0 DDADMM O=C(Cc1nn[n-]n1)NCCc1cc(C(F)(F)F)ccc1F ZINC001176847071 778300821 /nfs/dbraw/zinc/30/08/21/778300821.db2.gz GQLGEMBCIOOIOV-UHFFFAOYSA-N -1 1 317.246 1.259 20 0 DDADMM COc1ccc(CC2(NC(=O)Cc3nn[n-]n3)CCC2)cc1 ZINC001176847145 778301009 /nfs/dbraw/zinc/30/10/09/778301009.db2.gz KCPSQQFDZOHFLA-UHFFFAOYSA-N -1 1 301.350 1.032 20 0 DDADMM NC(=O)[C@@H]1Cc2ccccc2CN1C(=O)C(=O)c1ccc([O-])cc1 ZINC001177146944 778460048 /nfs/dbraw/zinc/46/00/48/778460048.db2.gz NYARQIVYSITZTR-HNNXBMFYSA-N -1 1 324.336 1.014 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)[C@@H]1C[C@@H]1c1cccs1 ZINC001177544877 778643781 /nfs/dbraw/zinc/64/37/81/778643781.db2.gz ULJSAFDCCYNSAI-VHSXEESVSA-N -1 1 303.320 1.926 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)[C@H]1C[C@H]1c1cccs1 ZINC001177544472 778644134 /nfs/dbraw/zinc/64/41/34/778644134.db2.gz RAUYHSIGDBPSKZ-RITPCOANSA-N -1 1 317.330 1.519 20 0 DDADMM CCCCOc1ccc(C(=O)N[C@H](COC)c2nn[n-]n2)cc1 ZINC001177823879 778730437 /nfs/dbraw/zinc/73/04/37/778730437.db2.gz XWRDBKUARRXSDS-CYBMUJFWSA-N -1 1 319.365 1.496 20 0 DDADMM CCC[C@@H](CC)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179812999 779496841 /nfs/dbraw/zinc/49/68/41/779496841.db2.gz RYJVPMTWOXTHHG-TUAOUCFPSA-N -1 1 309.414 1.416 20 0 DDADMM O=C(C=Cc1cccc(OC(F)(F)F)c1)NCc1nn[n-]n1 ZINC001179947457 779549385 /nfs/dbraw/zinc/54/93/85/779549385.db2.gz VDRGKKZWQWADDZ-SNAWJCMRSA-N -1 1 313.239 1.428 20 0 DDADMM O=C([O-])C(F)(F)C(F)(F)C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC001180173142 779634348 /nfs/dbraw/zinc/63/43/48/779634348.db2.gz HNXRJRKSXVOKFF-UHFFFAOYSA-N -1 1 323.246 1.471 20 0 DDADMM Cc1ccc(N[C@H](C)CN(C)C(=O)c2ncccc2[O-])nn1 ZINC001115719319 780374365 /nfs/dbraw/zinc/37/43/65/780374365.db2.gz NCULLVGDXNSSLC-LLVKDONJSA-N -1 1 301.350 1.458 20 0 DDADMM O=C([O-])C1(C(=O)N2CCC[C@@H](N3CCOCC3)CC2)CC=CC1 ZINC001118575093 781163155 /nfs/dbraw/zinc/16/31/55/781163155.db2.gz QXKVXHMSGOXOLW-CQSZACIVSA-N -1 1 322.405 1.121 20 0 DDADMM CS[C@@H](C)C(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001408813760 838915706 /nfs/dbraw/zinc/91/57/06/838915706.db2.gz NKFBEWLSARFSOM-QWRGUYRKSA-N -1 1 323.418 1.260 20 0 DDADMM CC[C@H](CNC(=O)c1ccccc1)NC(=O)c1ncccc1[O-] ZINC001409225353 844538847 /nfs/dbraw/zinc/53/88/47/844538847.db2.gz XFKMLDUBDCSTTN-CYBMUJFWSA-N -1 1 313.357 1.726 20 0 DDADMM CC(C)CN1CC[C@]2(CCCN2C(=O)c2ncccc2[O-])C1=O ZINC001268845280 840894607 /nfs/dbraw/zinc/89/46/07/840894607.db2.gz JPRBMTYWOYIDPO-QGZVFWFLSA-N -1 1 317.389 1.650 20 0 DDADMM O=C([O-])CCN(Cc1cc(F)ncc1F)C1CCOCC1 ZINC001326223370 861207386 /nfs/dbraw/zinc/20/73/86/861207386.db2.gz ZRCMSPSYLLRCQA-UHFFFAOYSA-N -1 1 300.305 1.816 20 0 DDADMM COCCn1c(=O)ccc2c1CC[C@H]([N-]C(=O)C(F)(F)F)C2 ZINC001142767829 861254506 /nfs/dbraw/zinc/25/45/06/861254506.db2.gz DAQZWBGIIVLEFV-JTQLQIEISA-N -1 1 318.295 1.031 20 0 DDADMM NC(=O)[C@@H]1CCC2(C1)CCN(C(=O)c1ccc([O-])cn1)CC2 ZINC001270138774 842325341 /nfs/dbraw/zinc/32/53/41/842325341.db2.gz JSTALGNHOFHBMB-LLVKDONJSA-N -1 1 303.362 1.295 20 0 DDADMM CCCC(=O)N(C)C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001408991395 842781102 /nfs/dbraw/zinc/78/11/02/842781102.db2.gz RCMLFFNMDAHNMB-LBPRGKRZSA-N -1 1 305.378 1.508 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)N[C@H]3COCC[C@@H]3O)cc2c1 ZINC001154757869 861432103 /nfs/dbraw/zinc/43/21/03/861432103.db2.gz WOIDSPUDHVJVJB-GJZGRUSLSA-N -1 1 317.341 1.434 20 0 DDADMM CN1CCO[C@H](COc2cc(Cl)c([O-])cc2Cl)C1=O ZINC001227645516 843608243 /nfs/dbraw/zinc/60/82/43/843608243.db2.gz JMLJMHNKHURSAH-LLVKDONJSA-N -1 1 306.145 1.935 20 0 DDADMM CC[C@H](C)CC(=O)N[C@@H](CC)CNC(=O)c1ncccc1[O-] ZINC001409261077 844725403 /nfs/dbraw/zinc/72/54/03/844725403.db2.gz CLGUCDAGTZVODP-RYUDHWBXSA-N -1 1 307.394 1.848 20 0 DDADMM C=C/C(C)=C\CC(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001280461347 844767631 /nfs/dbraw/zinc/76/76/31/844767631.db2.gz YVQQLSVRSBEFGO-FSBNRTBOSA-N -1 1 317.389 1.886 20 0 DDADMM CCC[C@H](C)CC(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272377428 846084574 /nfs/dbraw/zinc/08/45/74/846084574.db2.gz WCWZESKPSPWGST-STQMWFEESA-N -1 1 323.441 1.665 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCCC[C@]23CC(=O)N(C)C3)n1 ZINC001272386499 846091174 /nfs/dbraw/zinc/09/11/74/846091174.db2.gz JNURUWFCRSMVFC-MRXNPFEDSA-N -1 1 303.362 1.323 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC(C)(C)n1cccn1 ZINC001365453686 846249763 /nfs/dbraw/zinc/24/97/63/846249763.db2.gz FDGKQSIGWXMWDN-UHFFFAOYSA-N -1 1 316.408 1.062 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc2cc(O)ccc2[nH]1 ZINC001149509161 861669926 /nfs/dbraw/zinc/66/99/26/861669926.db2.gz CPUAMWVKXDKXJB-UHFFFAOYSA-N -1 1 310.273 1.498 20 0 DDADMM COC[C@H](NC(=O)CCCCCc1cccs1)c1nn[n-]n1 ZINC001155070491 861703470 /nfs/dbraw/zinc/70/34/70/861703470.db2.gz XQRAWPCFVBZAIV-LBPRGKRZSA-N -1 1 323.422 1.868 20 0 DDADMM CN1CCN(C(=O)c2cc3cccc(O)c3cc2[O-])CC1=O ZINC001149645410 861777354 /nfs/dbraw/zinc/77/73/54/861777354.db2.gz RCJCCHMHXHOSJB-UHFFFAOYSA-N -1 1 300.314 1.165 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C(C)C ZINC001409953000 847697993 /nfs/dbraw/zinc/69/79/93/847697993.db2.gz WORLGBDWIOOAJU-JHJVBQTASA-N -1 1 319.405 1.704 20 0 DDADMM CC(C)=CCN1C(=O)COCC12CN(Cc1ccncc1[O-])C2 ZINC001273080173 847880035 /nfs/dbraw/zinc/88/00/35/847880035.db2.gz NBFRAOXOODKLJN-UHFFFAOYSA-N -1 1 317.389 1.167 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnc(F)c2OC)n1 ZINC001128945838 848431653 /nfs/dbraw/zinc/43/16/53/848431653.db2.gz CNUJPYFOVDGFMM-UHFFFAOYSA-N -1 1 308.269 1.381 20 0 DDADMM Cc1ccc(C(=O)N(C)[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001410279751 849002747 /nfs/dbraw/zinc/00/27/47/849002747.db2.gz JMEXJUZFCADWOO-NSHDSACASA-N -1 1 319.365 1.052 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)c1csnc1OC)C(=O)OC ZINC001364840377 849012773 /nfs/dbraw/zinc/01/27/73/849012773.db2.gz VIZDGJANUMNNGP-QMMMGPOBSA-N -1 1 322.408 1.162 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC2C(C)(C)C2(C)C)[n-]n1 ZINC001364856071 849185671 /nfs/dbraw/zinc/18/56/71/849185671.db2.gz WJMJWZPREUWMSJ-UHFFFAOYSA-N -1 1 315.395 1.157 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC2C(C)(C)C2(C)C)n[n-]1 ZINC001364856071 849185674 /nfs/dbraw/zinc/18/56/74/849185674.db2.gz WJMJWZPREUWMSJ-UHFFFAOYSA-N -1 1 315.395 1.157 20 0 DDADMM CN1C[C@]2(CCN(Cc3cc(F)c([O-])cc3F)C2)OCC1=O ZINC001273679487 851218561 /nfs/dbraw/zinc/21/85/61/851218561.db2.gz LQKNKUGEHAXPHF-HNNXBMFYSA-N -1 1 312.316 1.104 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CC(=O)N(C)C[C@@H]3C)c([O-])c2n1 ZINC001155653313 862343561 /nfs/dbraw/zinc/34/35/61/862343561.db2.gz YMOABVCYLZYHHE-NSHDSACASA-N -1 1 313.357 1.552 20 0 DDADMM COCCOCN1C[C@H]2C[C@@H](C1)N2C(=O)c1cc([O-])cc(F)c1 ZINC001275005712 852740462 /nfs/dbraw/zinc/74/04/62/852740462.db2.gz LQLZMSNFDPBUQZ-OKILXGFUSA-N -1 1 324.352 1.051 20 0 DDADMM CN(C)C(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)c1ccc(F)c([O-])c1)C2 ZINC001275409012 853081727 /nfs/dbraw/zinc/08/17/27/853081727.db2.gz WCUJGCRKZVJQRS-JJLQDPRZSA-N -1 1 320.364 1.718 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)C[C@@H]2CCCC(=O)N2)[n-]1 ZINC001411533606 853317466 /nfs/dbraw/zinc/31/74/66/853317466.db2.gz CMPSLTAGOPIHAK-VIFPVBQESA-N -1 1 321.333 1.001 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ccc(C)nn2)[n-]c1Cl ZINC001411545884 853339321 /nfs/dbraw/zinc/33/93/21/853339321.db2.gz GFZOMEBACXZNTM-UHFFFAOYSA-N -1 1 309.713 1.591 20 0 DDADMM CC(C)(C)NC(=O)[C@@H]1CC12CN(C(=O)c1cncc([O-])c1)C2 ZINC001275620675 853446377 /nfs/dbraw/zinc/44/63/77/853446377.db2.gz IGOFWWYJEFBZDM-LBPRGKRZSA-N -1 1 303.362 1.164 20 0 DDADMM COCCn1cc(NC(=O)c2cnc(C3CC3)[n-]c2=O)cn1 ZINC001411673659 853561135 /nfs/dbraw/zinc/56/11/35/853561135.db2.gz XPIAAAQFUZXVJG-UHFFFAOYSA-N -1 1 303.322 1.155 20 0 DDADMM CCOC(=O)CN(Cc1ccccc1)C(=O)c1ccc([O-])cn1 ZINC001411706258 853611748 /nfs/dbraw/zinc/61/17/48/853611748.db2.gz FEPAGKVFNWCTKK-UHFFFAOYSA-N -1 1 314.341 1.993 20 0 DDADMM CN(C)C(=O)c1n[nH]c2c1CN(C(=O)c1cccc([O-])c1F)C2 ZINC001275984105 854081359 /nfs/dbraw/zinc/08/13/59/854081359.db2.gz JBZHKMVIWHAVBS-UHFFFAOYSA-N -1 1 318.308 1.112 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC(N2CCCC2=O)CC1 ZINC001412533386 854674349 /nfs/dbraw/zinc/67/43/49/854674349.db2.gz YXUQOEHGSPZGIU-UHFFFAOYSA-N -1 1 306.337 1.758 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCC[C@H]3OCC[C@H]32)c(=O)[n-]1 ZINC001412640975 854862550 /nfs/dbraw/zinc/86/25/50/854862550.db2.gz OTRFRESLKHZNTI-JMJZKYOTSA-N -1 1 309.391 1.592 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)Cc2ccc(O)cc2)c(=O)[n-]1 ZINC001412665525 854905260 /nfs/dbraw/zinc/90/52/60/854905260.db2.gz MUKLTLAAUNIKMS-VIFPVBQESA-N -1 1 319.386 1.971 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc(C)nc2N(C)C)c(=O)[n-]1 ZINC001412725633 855029767 /nfs/dbraw/zinc/02/97/67/855029767.db2.gz JFTRZVCKFQIERI-UHFFFAOYSA-N -1 1 319.390 1.926 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2coc(C(C)(C)C)n2)n[n-]1 ZINC001412833836 855525459 /nfs/dbraw/zinc/52/54/59/855525459.db2.gz AWLCRTINEYRHHK-UHFFFAOYSA-N -1 1 321.337 1.197 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2coc(C(C)(C)C)n2)n1 ZINC001412833836 855525470 /nfs/dbraw/zinc/52/54/70/855525470.db2.gz AWLCRTINEYRHHK-UHFFFAOYSA-N -1 1 321.337 1.197 20 0 DDADMM CCOC(=O)CC[C@H](C)NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001151245807 862702218 /nfs/dbraw/zinc/70/22/18/862702218.db2.gz QLIQOLSWVCOKIH-NSHDSACASA-N -1 1 307.346 1.975 20 0 DDADMM CCO[C@H]1C[C@@](NC(=O)c2ccc([O-])cn2)(C(=O)OC)C1(C)C ZINC001412854040 855725717 /nfs/dbraw/zinc/72/57/17/855725717.db2.gz VFILMRDAFXYOAN-BLLLJJGKSA-N -1 1 322.361 1.264 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cnc2ccncc2c1 ZINC001151439500 862779378 /nfs/dbraw/zinc/77/93/78/862779378.db2.gz XXHBMYDYOAASKV-UHFFFAOYSA-N -1 1 312.245 1.481 20 0 DDADMM O=S(=O)([N-]c1cn2cc(Br)ncc2n1)C1CC1 ZINC001259270871 856363412 /nfs/dbraw/zinc/36/34/12/856363412.db2.gz PBSSSEWYABPJST-UHFFFAOYSA-N -1 1 317.168 1.396 20 0 DDADMM C[C@@H]1[C@H](NC(=O)CCCF)CCCN1C(=O)c1ncccc1[O-] ZINC001413157299 856494956 /nfs/dbraw/zinc/49/49/56/856494956.db2.gz IAHZMUMXMAYCOX-VXGBXAGGSA-N -1 1 323.368 1.646 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2c(C)noc2C)n[n-]1 ZINC001413274071 856600080 /nfs/dbraw/zinc/60/00/80/856600080.db2.gz UMCBGNFDWSGLRJ-MRVPVSSYSA-N -1 1 321.337 1.006 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2c(C)noc2C)[n-]1 ZINC001413274071 856600085 /nfs/dbraw/zinc/60/00/85/856600085.db2.gz UMCBGNFDWSGLRJ-MRVPVSSYSA-N -1 1 321.337 1.006 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2c(C)noc2C)n1 ZINC001413274071 856600089 /nfs/dbraw/zinc/60/00/89/856600089.db2.gz UMCBGNFDWSGLRJ-MRVPVSSYSA-N -1 1 321.337 1.006 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)c2ccccc2C)o1 ZINC001413317229 856638013 /nfs/dbraw/zinc/63/80/13/856638013.db2.gz ZNKSYULGTYKEGR-UHFFFAOYSA-N -1 1 308.359 1.773 20 0 DDADMM CCC(=O)c1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC001413387363 856741269 /nfs/dbraw/zinc/74/12/69/856741269.db2.gz MTHXBJSZPAKQEM-LBPRGKRZSA-N -1 1 315.333 1.006 20 0 DDADMM NC(=O)Cc1cccc2c1CCN(C(=O)c1ncccc1[O-])C2 ZINC001413413260 856780084 /nfs/dbraw/zinc/78/00/84/856780084.db2.gz GEOQBGRIXZFFKD-UHFFFAOYSA-N -1 1 311.341 1.014 20 0 DDADMM CSc1ccc(NC(=O)N2CCC(c3nn[n-]n3)CC2)nc1 ZINC001413551990 857055252 /nfs/dbraw/zinc/05/52/52/857055252.db2.gz KTRBYEDBMUIRNI-UHFFFAOYSA-N -1 1 319.394 1.728 20 0 DDADMM O=C(c1ccc([O-])cn1)N1C[C@H]2COC[C@@]2(c2ccccc2)C1 ZINC001413571954 857095524 /nfs/dbraw/zinc/09/55/24/857095524.db2.gz ANVIOKOZYLLUEG-KBXCAEBGSA-N -1 1 310.353 1.827 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H]2CCOC[C@H]2C)sn1 ZINC001463665575 857298896 /nfs/dbraw/zinc/29/88/96/857298896.db2.gz OFLVAEZATHHHKN-RKDXNWHRSA-N -1 1 306.409 1.103 20 0 DDADMM CCCCCCOCC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123913321 859470102 /nfs/dbraw/zinc/47/01/02/859470102.db2.gz KJNOBOCUYKKMTM-ZDUSSCGKSA-N -1 1 309.414 1.578 20 0 DDADMM Cn1ccc2c1cccc2C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123999572 859519432 /nfs/dbraw/zinc/51/94/32/859519432.db2.gz XOAKOALKRRACKJ-GFCCVEGCSA-N -1 1 324.388 1.786 20 0 DDADMM CCn1cnc2c1CCN(C(=O)c1c(C)[nH]c(=O)[n-]c1=S)C2 ZINC001124051241 859549820 /nfs/dbraw/zinc/54/98/20/859549820.db2.gz GPMOLIWSVYAQJY-UHFFFAOYSA-N -1 1 319.390 1.194 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@@H]1OCC[P@](=O)([O-])O ZINC001224601555 881463204 /nfs/dbraw/zinc/46/32/04/881463204.db2.gz IADXNBJWIVJJSF-MNOVXSKESA-N -1 1 323.326 1.826 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@H]2C(F)(F)F)c1 ZINC001137774677 859974960 /nfs/dbraw/zinc/97/49/60/859974960.db2.gz FFBDHCFRCNPFRZ-RNFRBKRXSA-N -1 1 324.280 1.177 20 0 DDADMM COc1ccc(F)cc1CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001138633383 860147963 /nfs/dbraw/zinc/14/79/63/860147963.db2.gz GHBSBVMCMRZUSE-UHFFFAOYSA-N -1 1 323.349 1.857 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@@H](Oc3cnccn3)C2)o1 ZINC001140948961 860744159 /nfs/dbraw/zinc/74/41/59/860744159.db2.gz HJWNITDTWPBKRX-LLVKDONJSA-N -1 1 303.318 1.811 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@@H](Oc3ncccn3)C2)o1 ZINC001140948857 860744206 /nfs/dbraw/zinc/74/42/06/860744206.db2.gz FEFBUTCDYQRPQY-LLVKDONJSA-N -1 1 303.318 1.811 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cnc2cccc(F)n21 ZINC001156636932 863215691 /nfs/dbraw/zinc/21/56/91/863215691.db2.gz XPIXQRAEBRXHJK-UHFFFAOYSA-N -1 1 313.252 1.098 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cnn2cc(F)ccc12 ZINC001156923659 863450251 /nfs/dbraw/zinc/45/02/51/863450251.db2.gz URCRHHKVSHXHLO-UHFFFAOYSA-N -1 1 314.202 1.863 20 0 DDADMM CC1(C)C[C@H](CNC(=O)c2cc(=O)c3cccc(O)c3[n-]2)O1 ZINC001152681523 863489702 /nfs/dbraw/zinc/48/97/02/863489702.db2.gz OARMTOKKGSXIEY-SECBINFHSA-N -1 1 302.330 1.943 20 0 DDADMM COC(=O)[C@@H]1C[C@H]1CNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681212 863489796 /nfs/dbraw/zinc/48/97/96/863489796.db2.gz HFMTVJQADVHQTE-WCBMZHEXSA-N -1 1 316.313 1.185 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-][C@@H]1CCC[C@H]1O ZINC001475368803 865015376 /nfs/dbraw/zinc/01/53/76/865015376.db2.gz KPPRPIBOQDKYRL-NXEZZACHSA-N -1 1 307.318 1.165 20 0 DDADMM COCc1cc(Nc2[n-]c(=O)nc3nc[nH]c32)nc(SC)n1 ZINC001159198627 865162432 /nfs/dbraw/zinc/16/24/32/865162432.db2.gz USUXFVLGUHTGLT-UHFFFAOYSA-N -1 1 319.350 1.460 20 0 DDADMM COc1nnc(Nc2[n-]c(=O)nc3nc[nH]c32)c2ccccc21 ZINC001159199937 865164563 /nfs/dbraw/zinc/16/45/63/865164563.db2.gz UQOAGAKCXLQNPO-UHFFFAOYSA-N -1 1 309.289 1.754 20 0 DDADMM CC(=O)CCc1ccc(O[C@H](C)C(=O)N(C)c2nn[n-]n2)cc1 ZINC001361554520 881882694 /nfs/dbraw/zinc/88/26/94/881882694.db2.gz IPGTUVDVMHSETL-LLVKDONJSA-N -1 1 317.349 1.152 20 0 DDADMM CN1CCCN(C(=O)c2[nH]c3ccccc3c2CC(=O)[O-])CC1 ZINC001332189869 865652841 /nfs/dbraw/zinc/65/28/41/865652841.db2.gz NYMVQEFFXSPXFW-UHFFFAOYSA-N -1 1 315.373 1.573 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CC(C)(C)CO3)nc2n1 ZINC001361571788 881918981 /nfs/dbraw/zinc/91/89/81/881918981.db2.gz GHOFKKNDOFJFEL-JTQLQIEISA-N -1 1 319.365 1.124 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC001332742522 866111554 /nfs/dbraw/zinc/11/15/54/866111554.db2.gz GZPBWBAFFFTHHF-CYBMUJFWSA-N -1 1 312.410 1.058 20 0 DDADMM Cc1cc(Nc2c(O)[nH]c(=O)[n-]c2=S)nc(C(C)(C)C)n1 ZINC001160857819 866153335 /nfs/dbraw/zinc/15/33/35/866153335.db2.gz IXASCDHWPWMIHB-MRVPVSSYSA-N -1 1 307.379 1.030 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CC1)c1cnc(Cl)cc1Cl ZINC001319782017 866290880 /nfs/dbraw/zinc/29/08/80/866290880.db2.gz OAPKLHLRVUTMKY-MRVPVSSYSA-N -1 1 311.190 1.438 20 0 DDADMM CC(C)c1nsc([N-]C(=O)c2coc(S(C)(=O)=O)c2)n1 ZINC001361619723 882021961 /nfs/dbraw/zinc/02/19/61/882021961.db2.gz WTKVWCSSVJGTCD-UHFFFAOYSA-N -1 1 315.376 1.910 20 0 DDADMM O=C(Nc1nncn1Cc1ccccc1)c1ccc(O)cc1[O-] ZINC001320790357 867029749 /nfs/dbraw/zinc/02/97/49/867029749.db2.gz UTFBTIXGPCHZEH-UHFFFAOYSA-N -1 1 310.313 1.990 20 0 DDADMM CC=C(C)C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001324463799 867292871 /nfs/dbraw/zinc/29/28/71/867292871.db2.gz FFJIBNIQRREMAI-IYMAKHKGSA-N -1 1 307.398 1.241 20 0 DDADMM COc1cc(OC)cc(N2C[C@H](C(=O)[N-]OC(C)C)CC2=O)c1 ZINC001324558756 867353279 /nfs/dbraw/zinc/35/32/79/867353279.db2.gz VLROJILWAQRVNG-LLVKDONJSA-N -1 1 322.361 1.513 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cccnc2C)[n-]1 ZINC001361648861 882083751 /nfs/dbraw/zinc/08/37/51/882083751.db2.gz MKIBHBAFTXHVLK-UHFFFAOYSA-N -1 1 301.302 1.960 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn3c(n2)C[C@@H](C)CC3)n1 ZINC001361649625 882084576 /nfs/dbraw/zinc/08/45/76/882084576.db2.gz KSKOWHFGLDRAQV-VIFPVBQESA-N -1 1 317.349 1.618 20 0 DDADMM O=C([N-]OCc1ccccc1)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC001322840814 868417510 /nfs/dbraw/zinc/41/75/10/868417510.db2.gz KHFDHLVSOAAESV-ZDUSSCGKSA-N -1 1 314.345 1.151 20 0 DDADMM COC(=O)c1cc2[nH]cnc2c(N[C@H]2SC(=O)[N-]C2=O)c1 ZINC001163666218 868609695 /nfs/dbraw/zinc/60/96/95/868609695.db2.gz JFVCCSKYHOAKED-JTQLQIEISA-N -1 1 306.303 1.071 20 0 DDADMM O=C(N[C@@H](Cc1ccccc1)c1ncon1)c1ncccc1[O-] ZINC001163869868 868766182 /nfs/dbraw/zinc/76/61/82/868766182.db2.gz JEIFQGHZGIHLSC-LBPRGKRZSA-N -1 1 310.313 1.884 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1ccn2ccnc2c1 ZINC001297440975 870051480 /nfs/dbraw/zinc/05/14/80/870051480.db2.gz YOVSAFPCYFOYLF-UHFFFAOYSA-N -1 1 301.331 1.679 20 0 DDADMM CCc1cnc(N2CCC([N-]C(=O)C(F)(F)F)CC2)nc1 ZINC001166897337 870350983 /nfs/dbraw/zinc/35/09/83/870350983.db2.gz SVVWFCQUMBMCSG-UHFFFAOYSA-N -1 1 302.300 1.686 20 0 DDADMM C/C(=C/C(=O)NC/C=C/CNC(=O)c1ncccc1[O-])C1CC1 ZINC001298285259 870433243 /nfs/dbraw/zinc/43/32/43/870433243.db2.gz AWHCKHFJTGMTGG-MXOBTGDISA-N -1 1 315.373 1.546 20 0 DDADMM Cc1ccccc1[C@H](C)N(C)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001339351931 870456533 /nfs/dbraw/zinc/45/65/33/870456533.db2.gz OQKMTFBJTPRJNY-JTQLQIEISA-N -1 1 314.349 1.664 20 0 DDADMM CCN(Cc1ccccc1C)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001339351273 870456809 /nfs/dbraw/zinc/45/68/09/870456809.db2.gz JCVOPJXCGVNADM-UHFFFAOYSA-N -1 1 314.349 1.493 20 0 DDADMM CCN1CCC[C@@H](Oc2ccc(O)cc2S(=O)(=O)[O-])C1 ZINC001226412143 882413105 /nfs/dbraw/zinc/41/31/05/882413105.db2.gz CWCVUXOZXJLXPQ-LLVKDONJSA-N -1 1 301.364 1.502 20 0 DDADMM CC1=C(C)C[C@H](C(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)CC1 ZINC001317241536 870524027 /nfs/dbraw/zinc/52/40/27/870524027.db2.gz MUFOXENOXKJNQQ-NWDGAFQWSA-N -1 1 307.398 1.241 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1C[C@@H]2CC[C@H]1c1ccccc12 ZINC001340047623 870861343 /nfs/dbraw/zinc/86/13/43/870861343.db2.gz HJEFRRVQZMZFMM-GWCFXTLKSA-N -1 1 322.376 1.917 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1C[C@@H]2CC[C@H]1c1ccccc12 ZINC001340047623 870861356 /nfs/dbraw/zinc/86/13/56/870861356.db2.gz HJEFRRVQZMZFMM-GWCFXTLKSA-N -1 1 322.376 1.917 20 0 DDADMM C/C=C(\C)C(=O)NCCC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001340515668 871167865 /nfs/dbraw/zinc/16/78/65/871167865.db2.gz VEBQXQQCUMJEID-KGVSQERTSA-N -1 1 317.389 1.770 20 0 DDADMM CN(C)c1nc(Nc2cnn([C@@H]3CCOC3)c2)c(N=O)c(=O)[n-]1 ZINC001205167673 871407817 /nfs/dbraw/zinc/40/78/17/871407817.db2.gz BYUWUNOWXUIMTQ-SECBINFHSA-N -1 1 319.325 1.548 20 0 DDADMM NC(=O)[C@H]1CC[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC001309576759 871581067 /nfs/dbraw/zinc/58/10/67/871581067.db2.gz FMBZHCKRZMCUDU-NKWVEPMBSA-N -1 1 322.308 1.036 20 0 DDADMM C[C@H](Cc1cn(C)nn1)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC001309816951 871601468 /nfs/dbraw/zinc/60/14/68/871601468.db2.gz OCAVYYCOTMGOIE-SNVBAGLBSA-N -1 1 320.374 1.471 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)C1=COCCC1 ZINC001361867595 882534517 /nfs/dbraw/zinc/53/45/17/882534517.db2.gz ICBGRLLIKNBLQK-UHFFFAOYSA-N -1 1 301.306 1.412 20 0 DDADMM CC(=O)N[C@H](CC(C)C)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001361868474 882537719 /nfs/dbraw/zinc/53/77/19/882537719.db2.gz AOPHYOSYWAKTSZ-CYBMUJFWSA-N -1 1 320.393 1.550 20 0 DDADMM CCCCCC[C@H](C)C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001318071928 871726731 /nfs/dbraw/zinc/72/67/31/871726731.db2.gz VESUQUGEMWMRHV-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nnc(-c3ccc(C)o3)o2)o1 ZINC001341684912 871775030 /nfs/dbraw/zinc/77/50/30/871775030.db2.gz FDDWIBYZRGAWLK-UHFFFAOYSA-N -1 1 309.303 1.806 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N(C)[C@@H](C)c1ccccc1 ZINC001341772546 871815722 /nfs/dbraw/zinc/81/57/22/871815722.db2.gz VCGKOPHKXBRJFK-JTQLQIEISA-N -1 1 314.349 1.839 20 0 DDADMM CC(C)(C)OC(=O)c1ccc(C(=O)Nc2c[n-][nH]c2=O)cn1 ZINC001413845132 871907647 /nfs/dbraw/zinc/90/76/47/871907647.db2.gz KWRXANXOKDVFCQ-UHFFFAOYSA-N -1 1 304.306 1.718 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001361878752 882560458 /nfs/dbraw/zinc/56/04/58/882560458.db2.gz CANHDKGCFFOTFI-DTWKUNHWSA-N -1 1 306.366 1.093 20 0 DDADMM CCCC[C@@H](CNCc1cnon1)NC(=O)c1ncccc1[O-] ZINC001381739290 882573541 /nfs/dbraw/zinc/57/35/41/882573541.db2.gz DNPBJWGGPGVJOJ-NSHDSACASA-N -1 1 319.365 1.249 20 0 DDADMM O=C([C@H]1CC12CC2)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318838551 872297802 /nfs/dbraw/zinc/29/78/02/872297802.db2.gz UFZIXFTUAVMSOK-VXGBXAGGSA-N -1 1 319.409 1.029 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318843707 872300510 /nfs/dbraw/zinc/30/05/10/872300510.db2.gz SDUYVACXGGQTEL-QWHCGFSZSA-N -1 1 323.441 1.521 20 0 DDADMM O=C(NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1)c1cccs1 ZINC001316909511 872325484 /nfs/dbraw/zinc/32/54/84/872325484.db2.gz JETSWPLBHWDPIV-UHFFFAOYSA-N -1 1 321.406 1.356 20 0 DDADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001381755600 882602303 /nfs/dbraw/zinc/60/23/03/882602303.db2.gz ZUBSSSUSBLDNIR-LOWDOPEQSA-N -1 1 321.425 1.321 20 0 DDADMM C[C@@H]1CCN(c2nnc(-c3nnn[n-]3)n2CCc2ccccc2)C1 ZINC001344410158 872998327 /nfs/dbraw/zinc/99/83/27/872998327.db2.gz DGKREZQUFCGJBX-GFCCVEGCSA-N -1 1 324.392 1.547 20 0 DDADMM C[C@@H]1CCN(c2nnc(-c3nn[n-]n3)n2CCc2ccccc2)C1 ZINC001344410158 872998333 /nfs/dbraw/zinc/99/83/33/872998333.db2.gz DGKREZQUFCGJBX-GFCCVEGCSA-N -1 1 324.392 1.547 20 0 DDADMM CN(c1nnc(-c2noc(=O)[n-]2)n1Cc1cccs1)C1CC1 ZINC001345006988 873218729 /nfs/dbraw/zinc/21/87/29/873218729.db2.gz NMMRGAQPNVRALN-UHFFFAOYSA-N -1 1 318.362 1.330 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1C[C@H](C)n2ccnc21 ZINC001347026238 873981537 /nfs/dbraw/zinc/98/15/37/873981537.db2.gz SPVULVRAGKYADO-XPUUQOCRSA-N -1 1 305.363 1.412 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCO[C@H](C(F)F)CC1 ZINC001347143505 874031035 /nfs/dbraw/zinc/03/10/35/874031035.db2.gz QNCUXTPERYXCMK-ZETCQYMHSA-N -1 1 319.333 1.276 20 0 DDADMM CSCC1CCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1 ZINC001347418986 874133899 /nfs/dbraw/zinc/13/38/99/874133899.db2.gz PBVITNFYUOFAGN-UHFFFAOYSA-N -1 1 313.448 1.995 20 0 DDADMM CCC[C@H](C)CC(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001378281124 874606494 /nfs/dbraw/zinc/60/64/94/874606494.db2.gz YGFMVRCBMGXVAL-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CCCC1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001209133623 874683315 /nfs/dbraw/zinc/68/33/15/874683315.db2.gz KYAHFHQBHNEVKF-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCCc2occc2C1 ZINC001348643347 874690234 /nfs/dbraw/zinc/69/02/34/874690234.db2.gz NNXQNTWXPWUHHW-UHFFFAOYSA-N -1 1 305.359 1.961 20 0 DDADMM CO[C@@H](C)c1nc(CNC(=O)CCCc2nn[n-]n2)cs1 ZINC001362025698 882856958 /nfs/dbraw/zinc/85/69/58/882856958.db2.gz DWBDDPIWLJTKLA-QMMMGPOBSA-N -1 1 310.383 1.003 20 0 DDADMM CC[C@H](CC(C)C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210561365 875438004 /nfs/dbraw/zinc/43/80/04/875438004.db2.gz YAQJSXFWUPHMFP-CHWSQXEVSA-N -1 1 323.441 1.663 20 0 DDADMM CC(C)CC(C)(C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210569239 875440665 /nfs/dbraw/zinc/44/06/65/875440665.db2.gz UYWSFEODMPOWIE-LBPRGKRZSA-N -1 1 323.441 1.663 20 0 DDADMM O=C(NCCCNC(=O)C1(F)CCCC1)c1ncccc1[O-] ZINC001349940741 875466214 /nfs/dbraw/zinc/46/62/14/875466214.db2.gz UOIDSMNQBBVQDK-UHFFFAOYSA-N -1 1 309.341 1.306 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001349940079 875467029 /nfs/dbraw/zinc/46/70/29/875467029.db2.gz JWCPTVUZENCBEA-CHWSQXEVSA-N -1 1 319.405 1.706 20 0 DDADMM CN(C)c1ccc(CCC(=O)N2CC[C@H](c3nn[n-]n3)C2)cc1 ZINC001350234532 875591256 /nfs/dbraw/zinc/59/12/56/875591256.db2.gz NYZQGEKTHDULOV-ZDUSSCGKSA-N -1 1 314.393 1.214 20 0 DDADMM CC(C)(C)OC(=O)N1CCCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001362066989 882953994 /nfs/dbraw/zinc/95/39/94/882953994.db2.gz VJQKEJDSZYMHBO-UHFFFAOYSA-N -1 1 321.377 1.870 20 0 DDADMM CC(C)N(Cc1cccc(F)c1)c1nnc(-c2nnn[n-]2)n1C ZINC001350430049 875684514 /nfs/dbraw/zinc/68/45/14/875684514.db2.gz BVWATAOASINWLB-UHFFFAOYSA-N -1 1 316.344 1.549 20 0 DDADMM CC(C)N(Cc1cccc(F)c1)c1nnc(-c2nn[n-]n2)n1C ZINC001350430049 875684516 /nfs/dbraw/zinc/68/45/16/875684516.db2.gz BVWATAOASINWLB-UHFFFAOYSA-N -1 1 316.344 1.549 20 0 DDADMM CCC[C@@H](NC(=O)c1cn2c(n1)C[C@H](C)CC2)c1nn[n-]n1 ZINC001362068200 882956597 /nfs/dbraw/zinc/95/65/97/882956597.db2.gz CRUPPPYLHLIMAE-NXEZZACHSA-N -1 1 303.370 1.250 20 0 DDADMM CCC[C@H](NC(=O)c1cn(CC)nc1C1CC1)c1nn[n-]n1 ZINC001362069177 882958878 /nfs/dbraw/zinc/95/88/78/882958878.db2.gz JGCJHCUCZGXTNI-NSHDSACASA-N -1 1 303.370 1.565 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3cccc4ccnn43)no2)[n-]n1 ZINC001213464195 875945957 /nfs/dbraw/zinc/94/59/57/875945957.db2.gz ZLCDDTHIPBETRX-UHFFFAOYSA-N -1 1 310.273 1.561 20 0 DDADMM CCOC(=O)[C@H](Oc1[n-]c(=S)ncc1F)C(F)(F)F ZINC001227386951 882991671 /nfs/dbraw/zinc/99/16/71/882991671.db2.gz RLXDIJARQRXFQB-YFKPBYRVSA-N -1 1 300.233 1.777 20 0 DDADMM CN(CCCNC(=O)c1ccncc1)C(=O)c1ncccc1[O-] ZINC001351170841 876095138 /nfs/dbraw/zinc/09/51/38/876095138.db2.gz JBKWFMDNDYHZHC-UHFFFAOYSA-N -1 1 314.345 1.074 20 0 DDADMM COC1CCC(N(C)C(=O)c2cnc(SC)[n-]c2=O)CC1 ZINC001362088513 883005525 /nfs/dbraw/zinc/00/55/25/883005525.db2.gz ILTAIIXOJVTGLO-UHFFFAOYSA-N -1 1 311.407 1.934 20 0 DDADMM COc1ccc([C@H](C)Oc2nc3[nH]c(=O)[nH]c(=O)c3[n-]2)cn1 ZINC001227429502 883010769 /nfs/dbraw/zinc/01/07/69/883010769.db2.gz DDWFIEVYGFHERP-LURJTMIESA-N -1 1 303.278 1.308 20 0 DDADMM COc1ccc([C@H](C)Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)cn1 ZINC001227429502 883010790 /nfs/dbraw/zinc/01/07/90/883010790.db2.gz DDWFIEVYGFHERP-LURJTMIESA-N -1 1 303.278 1.308 20 0 DDADMM Cc1nnc([C@@H](C)N[C@H](C)CN(C)C(=O)c2ncccc2[O-])o1 ZINC001379098906 876393477 /nfs/dbraw/zinc/39/34/77/876393477.db2.gz LZMHPEIKGUKVFF-NXEZZACHSA-N -1 1 319.365 1.290 20 0 DDADMM COc1cc(CO)ccc1[N-]S(=O)(=O)c1ccc(N)c(N)c1 ZINC001215210162 876610773 /nfs/dbraw/zinc/61/07/73/876610773.db2.gz NLAPDFYNUKKLOP-UHFFFAOYSA-N -1 1 323.374 1.153 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)C1CC2(C1)CS(=O)(=O)C2 ZINC001352274032 876671296 /nfs/dbraw/zinc/67/12/96/876671296.db2.gz WXQRYIKOEQFBSL-UHFFFAOYSA-N -1 1 317.313 1.434 20 0 DDADMM COc1ncc(Nc2ccccc2O)cc1[N-]S(C)(=O)=O ZINC001216156292 876880073 /nfs/dbraw/zinc/88/00/73/876880073.db2.gz LINRBUALFRUCRP-UHFFFAOYSA-N -1 1 309.347 1.911 20 0 DDADMM O=C([O-])COCCOCCNCc1nc2c(s1)CCCC2 ZINC001352788944 876932876 /nfs/dbraw/zinc/93/28/76/876932876.db2.gz SBPXZVZCFNDQQV-UHFFFAOYSA-N -1 1 314.407 1.229 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H]1CCC2(CCC2)CO1 ZINC001352829461 876959041 /nfs/dbraw/zinc/95/90/41/876959041.db2.gz DXPOZPJMPZAGRO-SNVBAGLBSA-N -1 1 323.418 1.858 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C23CCC(CC2)C3(C)C)n[n-]1 ZINC001413852476 876972215 /nfs/dbraw/zinc/97/22/15/876972215.db2.gz XIVQKUIZDBHIIT-UHFFFAOYSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C23CCC(CC2)C3(C)C)n1 ZINC001413852476 876972220 /nfs/dbraw/zinc/97/22/20/876972220.db2.gz XIVQKUIZDBHIIT-UHFFFAOYSA-N -1 1 320.393 1.814 20 0 DDADMM O=C(NCC[S@@](=O)Cc1ccccc1)c1ccc([O-])cn1 ZINC001362129034 883109198 /nfs/dbraw/zinc/10/91/98/883109198.db2.gz UTBGSBDVWUZTNP-OAQYLSRUSA-N -1 1 304.371 1.466 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H]1CCOC[C@H]1C ZINC000310682499 877908602 /nfs/dbraw/zinc/90/86/02/877908602.db2.gz YOFZXDATGJTMJA-HTRCEHHLSA-N -1 1 310.828 1.808 20 0 DDADMM O=S(=O)([N-]c1cccc(Cn2cc[nH+]c2)c1)c1ncc[n-]1 ZINC000314165340 878614415 /nfs/dbraw/zinc/61/44/15/878614415.db2.gz OFUXXAFBHXGJFS-UHFFFAOYSA-N -1 1 303.347 1.455 20 0 DDADMM COC(=O)c1c(NC([O-])=NO[C@@H]2CCCCO2)nc2n1CCC2 ZINC001220116530 878713739 /nfs/dbraw/zinc/71/37/39/878713739.db2.gz XKGORSVRPVBNBW-SNVBAGLBSA-N -1 1 324.337 1.196 20 0 DDADMM COC(=O)c1c(NC(=O)[N-]O[C@@H]2CCCCO2)nc2n1CCC2 ZINC001220116530 878713752 /nfs/dbraw/zinc/71/37/52/878713752.db2.gz XKGORSVRPVBNBW-SNVBAGLBSA-N -1 1 324.337 1.196 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)c2ccc(F)cc2N)cc1 ZINC000316690654 879442752 /nfs/dbraw/zinc/44/27/52/879442752.db2.gz WVIWFEISAKZYLP-UHFFFAOYSA-N -1 1 323.349 1.568 20 0 DDADMM C[C@@H](NC(=O)c1ccc[nH]1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001380378845 879505274 /nfs/dbraw/zinc/50/52/74/879505274.db2.gz HTIWSBIZFVUHSZ-ZJUUUORDSA-N -1 1 302.334 1.052 20 0 DDADMM CC[C@@H](C)OC(=O)N1CCCC[C@@H]1CCOCc1nn[n-]n1 ZINC001223027352 880787214 /nfs/dbraw/zinc/78/72/14/880787214.db2.gz OIFNSNZEKCEHQS-VXGBXAGGSA-N -1 1 311.386 1.896 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](OCc2nn[n-]n2)C(C)(C)C1 ZINC001223027433 880788579 /nfs/dbraw/zinc/78/85/79/880788579.db2.gz PBNHAUCDVGKHKD-SNVBAGLBSA-N -1 1 311.386 1.752 20 0 DDADMM CC(C)C[C@@H](CNCc1cnon1)NC(=O)c1ncccc1[O-] ZINC001374350518 912616202 /nfs/dbraw/zinc/61/62/02/912616202.db2.gz VFQBXRUWMALIEJ-NSHDSACASA-N -1 1 319.365 1.105 20 0 DDADMM Cc1ccc(NC(=O)CCCc2nn[n-]n2)cc1C(=O)N(C)C ZINC001362203263 883288254 /nfs/dbraw/zinc/28/82/54/883288254.db2.gz JDQHJSCJRXASMD-UHFFFAOYSA-N -1 1 316.365 1.171 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H](NC(=O)c2cnc(SC)[n-]c2=O)C1(C)C ZINC001362220059 883331273 /nfs/dbraw/zinc/33/12/73/883331273.db2.gz JHBWXUMPWRETNC-FXBDTBDDSA-N -1 1 311.407 1.693 20 0 DDADMM NC(=O)c1cc(NC(=O)c2cc(Br)ccc2[O-])c[nH]1 ZINC001362261096 883430790 /nfs/dbraw/zinc/43/07/90/883430790.db2.gz NHNJDIXNHJTVEB-UHFFFAOYSA-N -1 1 324.134 1.834 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H](C(=O)c2ccco2)c2ccco2)n1 ZINC001228309897 883438170 /nfs/dbraw/zinc/43/81/70/883438170.db2.gz AULCOMRSSWCYBL-LLVKDONJSA-N -1 1 317.257 1.780 20 0 DDADMM COC(=O)c1nc(O[C@@H](C(=O)c2ccco2)c2ccco2)n[n-]1 ZINC001228309897 883438174 /nfs/dbraw/zinc/43/81/74/883438174.db2.gz AULCOMRSSWCYBL-LLVKDONJSA-N -1 1 317.257 1.780 20 0 DDADMM CN(Cc1nc2c(s1)CCCC2)C(=O)c1cnncc1[O-] ZINC001362346481 883615037 /nfs/dbraw/zinc/61/50/37/883615037.db2.gz QQLXHHUFOWXWGC-UHFFFAOYSA-N -1 1 304.375 1.790 20 0 DDADMM O=C(Nc1ccc(Br)c([O-])c1)c1cc(CO)on1 ZINC001362351407 883625757 /nfs/dbraw/zinc/62/57/57/883625757.db2.gz FKBUJKNHTQPDTL-UHFFFAOYSA-N -1 1 313.107 1.887 20 0 DDADMM COC(=O)CCN1CCN(C(=O)c2ccc(F)c([O-])c2)[C@H](C)C1 ZINC001362379554 883679756 /nfs/dbraw/zinc/67/97/56/883679756.db2.gz JSQLJZLMYFJWMX-LLVKDONJSA-N -1 1 324.352 1.241 20 0 DDADMM Cn1cc(C[C@H]2CCN(C(=O)c3ccc(F)c([O-])c3)C2)cn1 ZINC001362425620 883781719 /nfs/dbraw/zinc/78/17/19/883781719.db2.gz HBRVCWDVBGKEQU-LLVKDONJSA-N -1 1 303.337 1.970 20 0 DDADMM O=C(N[C@H]1CCCC[C@@H]1N1CCOCC1)c1ccc([O-])cn1 ZINC001362442811 883821904 /nfs/dbraw/zinc/82/19/04/883821904.db2.gz TXDSJKUGOVGFCK-ZFWWWQNUSA-N -1 1 305.378 1.160 20 0 DDADMM COC(=O)c1nc(C(=O)[N-]c2n[nH]c(C3CCC3)n2)cs1 ZINC001362445861 883828287 /nfs/dbraw/zinc/82/82/87/883828287.db2.gz PTZZEHOJDRGYRM-UHFFFAOYSA-N -1 1 307.335 1.568 20 0 DDADMM CSc1ncc(C(=O)NC2CCC(NC(C)=O)CC2)c(=O)[n-]1 ZINC001362511879 883976086 /nfs/dbraw/zinc/97/60/86/883976086.db2.gz YUPFUQANBRIMPH-UHFFFAOYSA-N -1 1 324.406 1.081 20 0 DDADMM CNC(=O)C1CCC(NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001362527903 884012406 /nfs/dbraw/zinc/01/24/06/884012406.db2.gz VYLPXNOLUOGWJT-UHFFFAOYSA-N -1 1 318.377 1.094 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1ccsn1 ZINC001362557470 884090003 /nfs/dbraw/zinc/09/00/03/884090003.db2.gz RWGFAQCCWJCIRV-UHFFFAOYSA-N -1 1 315.351 1.906 20 0 DDADMM COC(=O)c1c[n-]c(=O)nc1OC[C@H]1CC[C@]2(CCCCO2)O1 ZINC001229873799 884206910 /nfs/dbraw/zinc/20/69/10/884206910.db2.gz YERDAHRDZODPLI-BMIGLBTASA-N -1 1 324.333 1.423 20 0 DDADMM Cc1csc(CCCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)n1 ZINC001362616941 884232562 /nfs/dbraw/zinc/23/25/62/884232562.db2.gz KYERYXYGCAQRAJ-SECBINFHSA-N -1 1 308.411 1.549 20 0 DDADMM CC(C)CN1CCN(C(=O)c2ccc(F)c([O-])c2)[C@H](C)C1=O ZINC001362677449 884394002 /nfs/dbraw/zinc/39/40/02/884394002.db2.gz LASCEWVKXOKQLJ-LLVKDONJSA-N -1 1 308.353 1.860 20 0 DDADMM COc1cccc([C@@H](C)C(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC001362720544 884482609 /nfs/dbraw/zinc/48/26/09/884482609.db2.gz RCPPRUPIBDWFNW-SECBINFHSA-N -1 1 303.318 1.316 20 0 DDADMM COc1cc(NC(=O)c2cnncc2[O-])c(OC)c(C)c1OC ZINC001362721988 884486853 /nfs/dbraw/zinc/48/68/53/884486853.db2.gz DFPOXTQRLCDHJJ-UHFFFAOYSA-N -1 1 319.317 1.769 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCCCC1(F)F ZINC001362750340 884547981 /nfs/dbraw/zinc/54/79/81/884547981.db2.gz UDGLZADTKAFUQW-ZETCQYMHSA-N -1 1 317.292 1.452 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccc(F)cc1F)c1nn[n-]n1 ZINC001362785001 884632578 /nfs/dbraw/zinc/63/25/78/884632578.db2.gz KFXMSUOLGYZNSF-UHFFFAOYSA-N -1 1 309.320 1.852 20 0 DDADMM CC(=O)NCC[C@H]1CCCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001362801716 884677241 /nfs/dbraw/zinc/67/72/41/884677241.db2.gz WVFBRRVEODELCB-GFCCVEGCSA-N -1 1 308.353 1.910 20 0 DDADMM Cc1ncsc1CCC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001362832006 884747307 /nfs/dbraw/zinc/74/73/07/884747307.db2.gz PKUJLOAFOHOLNN-UHFFFAOYSA-N -1 1 308.411 1.582 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2cccnc2C)n[n-]1 ZINC001362941164 885041399 /nfs/dbraw/zinc/04/13/99/885041399.db2.gz ZDZVQFKGAIEXBE-SNVBAGLBSA-N -1 1 317.349 1.105 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2cccnc2C)n1 ZINC001362941164 885041408 /nfs/dbraw/zinc/04/14/08/885041408.db2.gz ZDZVQFKGAIEXBE-SNVBAGLBSA-N -1 1 317.349 1.105 20 0 DDADMM Cc1cccnc1NC(=O)CCNC(=O)c1cncc([O-])c1 ZINC001362975756 885126223 /nfs/dbraw/zinc/12/62/23/885126223.db2.gz FTJDWNABQOHZAV-UHFFFAOYSA-N -1 1 300.318 1.249 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2C[C@H](OC(C)(C)C)C2)c(=O)[n-]1 ZINC001362982406 885141318 /nfs/dbraw/zinc/14/13/18/885141318.db2.gz DFSJFXCABVGERT-KYZUINATSA-N -1 1 311.407 1.980 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H]1CC[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001288471282 912919352 /nfs/dbraw/zinc/91/93/52/912919352.db2.gz KUAAZKBYRKNTIJ-ZYFYVMIWSA-N -1 1 317.389 1.863 20 0 DDADMM Cn1cc([C@@]2(CNC(=O)c3cccc([O-])c3F)CCCO2)cn1 ZINC001363042156 885305262 /nfs/dbraw/zinc/30/52/62/885305262.db2.gz JCGXCDLBEWDZRV-INIZCTEOSA-N -1 1 319.336 1.701 20 0 DDADMM CCCC[C@@H](CNC(=O)[C@@H](C)OC)NC(=O)c1ncccc1[O-] ZINC001383223727 885433861 /nfs/dbraw/zinc/43/38/61/885433861.db2.gz MZHPDSSIDNFGNN-NEPJUHHUSA-N -1 1 323.393 1.227 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1C[C@@H]1c1ccc2c(c1)OCCO2 ZINC001363127719 885533983 /nfs/dbraw/zinc/53/39/83/885533983.db2.gz NDBYMDPPEKFBMY-ZJUUUORDSA-N -1 1 301.302 1.629 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCOC2(CCCCC2)C1 ZINC001363135241 885550362 /nfs/dbraw/zinc/55/03/62/885550362.db2.gz DISYTEQIZWKGOR-UHFFFAOYSA-N -1 1 323.349 1.072 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(C)(C)[C@@H]1c1ccco1 ZINC001363140838 885563608 /nfs/dbraw/zinc/56/36/08/885563608.db2.gz XVBGBWCEBCQQBJ-NSHDSACASA-N -1 1 319.317 1.713 20 0 DDADMM C[C@@H](O)CNC(=O)c1cccc(Oc2cc(Cl)nc(=O)[n-]2)c1 ZINC001363147704 885580166 /nfs/dbraw/zinc/58/01/66/885580166.db2.gz GINQJXKWRQMPOH-MRVPVSSYSA-N -1 1 323.736 1.739 20 0 DDADMM CN(C(=O)[C@H]1CCCN(C(=O)OC(C)(C)C)CC1)c1nn[n-]n1 ZINC001363151206 885587179 /nfs/dbraw/zinc/58/71/79/885587179.db2.gz QAHPUULVFKQTNY-JTQLQIEISA-N -1 1 324.385 1.200 20 0 DDADMM CCCOc1ccnc(N[C@@H](C)c2n[n-]c(C(=O)OCC)n2)n1 ZINC001363152205 885592083 /nfs/dbraw/zinc/59/20/83/885592083.db2.gz FJALAYSIMKSYGV-VIFPVBQESA-N -1 1 320.353 1.733 20 0 DDADMM CCCOc1ccnc(N[C@@H](C)c2nnc(C(=O)OCC)[n-]2)n1 ZINC001363152205 885592094 /nfs/dbraw/zinc/59/20/94/885592094.db2.gz FJALAYSIMKSYGV-VIFPVBQESA-N -1 1 320.353 1.733 20 0 DDADMM CCCOc1ccnc(N[C@@H](C)c2nc(C(=O)OCC)n[n-]2)n1 ZINC001363152205 885592109 /nfs/dbraw/zinc/59/21/09/885592109.db2.gz FJALAYSIMKSYGV-VIFPVBQESA-N -1 1 320.353 1.733 20 0 DDADMM CCCOc1ccnc(N[C@H](C)c2n[n-]c(C(=O)OCC)n2)n1 ZINC001363152201 885592392 /nfs/dbraw/zinc/59/23/92/885592392.db2.gz FJALAYSIMKSYGV-SECBINFHSA-N -1 1 320.353 1.733 20 0 DDADMM CCCOc1ccnc(N[C@H](C)c2nnc(C(=O)OCC)[n-]2)n1 ZINC001363152201 885592409 /nfs/dbraw/zinc/59/24/09/885592409.db2.gz FJALAYSIMKSYGV-SECBINFHSA-N -1 1 320.353 1.733 20 0 DDADMM CCCOc1ccnc(N[C@H](C)c2nc(C(=O)OCC)n[n-]2)n1 ZINC001363152201 885592426 /nfs/dbraw/zinc/59/24/26/885592426.db2.gz FJALAYSIMKSYGV-SECBINFHSA-N -1 1 320.353 1.733 20 0 DDADMM CSCC[C@H](NC(N)=O)C(=O)Nc1cc([O-])c(F)cc1F ZINC001363186504 885665300 /nfs/dbraw/zinc/66/53/00/885665300.db2.gz XELCGZXCHUKHRH-QMMMGPOBSA-N -1 1 319.333 1.399 20 0 DDADMM COC(=O)c1ccccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363196029 885684266 /nfs/dbraw/zinc/68/42/66/885684266.db2.gz NBWRGQHYLKHXEU-UHFFFAOYSA-N -1 1 315.333 1.006 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCC[C@]23CCCO3)c(=O)[n-]1 ZINC001363238109 885791929 /nfs/dbraw/zinc/79/19/29/885791929.db2.gz JHOARYWIRUPZHE-YGRLFVJLSA-N -1 1 309.391 1.736 20 0 DDADMM O=C(CCn1cnccc1=O)NCc1ccc([O-])c(Cl)c1 ZINC001363315026 885996142 /nfs/dbraw/zinc/99/61/42/885996142.db2.gz WZOAEYPEYWUWCM-UHFFFAOYSA-N -1 1 307.737 1.309 20 0 DDADMM COCc1nc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)no1 ZINC001363332246 886048901 /nfs/dbraw/zinc/04/89/01/886048901.db2.gz VEXQKKOARUBJFK-SECBINFHSA-N -1 1 321.337 1.057 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)C1=CCCC1)NC(=O)c1ncccc1[O-] ZINC001383587328 886086861 /nfs/dbraw/zinc/08/68/61/886086861.db2.gz VTCXHHQHHBLOOE-NWDGAFQWSA-N -1 1 317.389 1.911 20 0 DDADMM CCC[C@@H](OC)C(=O)N1CCC[C@@H]1c1nn[n-]c1C(=O)OCC ZINC001363349673 886100702 /nfs/dbraw/zinc/10/07/02/886100702.db2.gz JDPICCQFWKDGGL-GHMZBOCLSA-N -1 1 324.381 1.460 20 0 DDADMM CCC[C@@H](OC)C(=O)N1CCC[C@@H]1c1[n-]nnc1C(=O)OCC ZINC001363349673 886100710 /nfs/dbraw/zinc/10/07/10/886100710.db2.gz JDPICCQFWKDGGL-GHMZBOCLSA-N -1 1 324.381 1.460 20 0 DDADMM CCC[C@@H](OC)C(=O)N1CCC[C@@H]1c1n[n-]nc1C(=O)OCC ZINC001363349673 886100714 /nfs/dbraw/zinc/10/07/14/886100714.db2.gz JDPICCQFWKDGGL-GHMZBOCLSA-N -1 1 324.381 1.460 20 0 DDADMM CCNc1nc(C(=O)N(Cc2nn[n-]n2)CC(C)C)cs1 ZINC001363356913 886118909 /nfs/dbraw/zinc/11/89/09/886118909.db2.gz PTDZVPSZLLHJDH-UHFFFAOYSA-N -1 1 309.399 1.386 20 0 DDADMM CCOC(=O)Cc1ccccc1NC(=O)c1cnncc1[O-] ZINC001363401888 886235542 /nfs/dbraw/zinc/23/55/42/886235542.db2.gz YRTGJYOEGBAYTK-UHFFFAOYSA-N -1 1 301.302 1.540 20 0 DDADMM CC[C@H](Cc1ccccc1C)C(=O)N[C@H](COC)c1nn[n-]n1 ZINC001363438030 886334482 /nfs/dbraw/zinc/33/44/82/886334482.db2.gz SWJJSFPYGRWWKS-TZMCWYRMSA-N -1 1 317.393 1.581 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2CCc3c[nH]nc3C2)cc(=O)[n-]1 ZINC001363455756 886386266 /nfs/dbraw/zinc/38/62/66/886386266.db2.gz FWOIGLOAMUSZAC-QMMMGPOBSA-N -1 1 319.390 1.049 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2C[C@@H](C(=O)OC(C)(C)C)C2)c([O-])c1 ZINC001363512939 886526201 /nfs/dbraw/zinc/52/62/01/886526201.db2.gz PIGFIOGGJKZOJW-PHIMTYICSA-N -1 1 306.362 1.946 20 0 DDADMM COCc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)cc1F ZINC001363553279 886620202 /nfs/dbraw/zinc/62/02/02/886620202.db2.gz TXXHJYIFDRBZFG-UHFFFAOYSA-N -1 1 305.313 1.294 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1C[C@@]1(F)c1ccccc1 ZINC001363554469 886623562 /nfs/dbraw/zinc/62/35/62/886623562.db2.gz WQVORKHOTMYESO-XHDPSFHLSA-N -1 1 301.325 1.580 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc(F)c([O-])c2)CCS1(=O)=O ZINC001363609739 886756613 /nfs/dbraw/zinc/75/66/13/886756613.db2.gz KRHZGQLBLPUSQO-PSASIEDQSA-N -1 1 301.339 1.227 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cccc(C)c2O)[n-]c1=O ZINC001363612259 886765288 /nfs/dbraw/zinc/76/52/88/886765288.db2.gz BEKAGSZSGWFQSR-UHFFFAOYSA-N -1 1 317.345 1.870 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Cc2cc(C)no2)[n-]c1=O ZINC001363617853 886778228 /nfs/dbraw/zinc/77/82/28/886778228.db2.gz SJAROGGJYMHPPZ-UHFFFAOYSA-N -1 1 306.322 1.081 20 0 DDADMM CSc1nc(CNC(=O)c2cnoc2C(C)C)cc(=O)[n-]1 ZINC001363627430 886808127 /nfs/dbraw/zinc/80/81/27/886808127.db2.gz AKCVDIFNBIUTQG-UHFFFAOYSA-N -1 1 308.363 1.946 20 0 DDADMM NC(=O)[C@H](C1CC1)N(CC1CC1)C(=O)c1ccc(F)c([O-])c1 ZINC001363632281 886823823 /nfs/dbraw/zinc/82/38/23/886823823.db2.gz VHJSZYKBSKLODA-AWEZNQCLSA-N -1 1 306.337 1.647 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@H]1CCCS1 ZINC001363641853 886855785 /nfs/dbraw/zinc/85/57/85/886855785.db2.gz MOIFJIIZNNNDML-LPEHRKFASA-N -1 1 324.368 1.940 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C2=CCOCC2)[n-]c1=O ZINC001363670140 886936926 /nfs/dbraw/zinc/93/69/26/886936926.db2.gz AOMVPEUQVBVODQ-NSHDSACASA-N -1 1 305.334 1.201 20 0 DDADMM C[C@H](NC(=O)Cc1ccc([O-])c(Cl)c1)C1N=NC(=O)N1C ZINC001363754377 887147313 /nfs/dbraw/zinc/14/73/13/887147313.db2.gz XPZKNNUMEANXJT-ZETCQYMHSA-N -1 1 310.741 1.300 20 0 DDADMM CCn1cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c(C(C)C)n1 ZINC001363805649 887266386 /nfs/dbraw/zinc/26/63/86/887266386.db2.gz QVAMZGQGYWFDSJ-UHFFFAOYSA-N -1 1 319.413 1.838 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H](C)COc1ccccc1 ZINC001233755924 887281261 /nfs/dbraw/zinc/28/12/61/887281261.db2.gz HSEPYNGWDDKIFV-JTQLQIEISA-N -1 1 304.302 1.815 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc(C2CCCCC2)n[nH]1 ZINC001363822655 887320264 /nfs/dbraw/zinc/32/02/64/887320264.db2.gz SCSSGYTXHUVWRM-UHFFFAOYSA-N -1 1 315.381 1.780 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCCC2(C)C)[n-]n1 ZINC001363918742 887540084 /nfs/dbraw/zinc/54/00/84/887540084.db2.gz IKKKPHXKMZPJSU-VIFPVBQESA-N -1 1 315.395 1.301 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCCC2(C)C)n[n-]1 ZINC001363918742 887540105 /nfs/dbraw/zinc/54/01/05/887540105.db2.gz IKKKPHXKMZPJSU-VIFPVBQESA-N -1 1 315.395 1.301 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1csnc1OC)[C@@H]1CCCO1 ZINC001363965589 887628640 /nfs/dbraw/zinc/62/86/40/887628640.db2.gz FZLMEQHEPFYICU-IUCAKERBSA-N -1 1 306.409 1.388 20 0 DDADMM COC[C@@H](NC(=O)C(F)(F)c1ccc(C)cc1)c1nn[n-]n1 ZINC001364035653 887768685 /nfs/dbraw/zinc/76/86/85/887768685.db2.gz QVWMRNTXUHGIHZ-SNVBAGLBSA-N -1 1 311.292 1.104 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H](C2CCC2)C1 ZINC001364063691 887833593 /nfs/dbraw/zinc/83/35/93/887833593.db2.gz BGRIVWCJBAFVJB-CYBMUJFWSA-N -1 1 317.389 1.556 20 0 DDADMM CCO[C@]12CCC[C@@]1([N-]S(=O)(=O)Cc1ccno1)CCO2 ZINC001364144804 888021249 /nfs/dbraw/zinc/02/12/49/888021249.db2.gz KCSZJXWYOWPCLD-OLZOCXBDSA-N -1 1 316.379 1.170 20 0 DDADMM CC[C@@H](CC(F)F)C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001364242810 888206634 /nfs/dbraw/zinc/20/66/34/888206634.db2.gz AUUMXKWLPDQEBT-VIFPVBQESA-N -1 1 317.336 1.879 20 0 DDADMM Cc1ccc(NC(=O)N2CCC(c3nn[n-]n3)CC2)c(C)n1 ZINC001364313609 888361090 /nfs/dbraw/zinc/36/10/90/888361090.db2.gz BDZVJFGMMQLDAR-UHFFFAOYSA-N -1 1 301.354 1.623 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@H]1CCC2(CCC2)CO1 ZINC001364651410 889123252 /nfs/dbraw/zinc/12/32/52/889123252.db2.gz MYEKPNPSBPWQSZ-CYBMUJFWSA-N -1 1 321.425 1.924 20 0 DDADMM CCOC(=O)c1n[nH]c(CNCc2c(C)cc(OC)nc2C)n1 ZINC001364748057 889329180 /nfs/dbraw/zinc/32/91/80/889329180.db2.gz SAMFXHHPXZEWOC-UHFFFAOYSA-N -1 1 319.365 1.292 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-][C@@H](CO)c1cc(F)ccc1F ZINC001364802694 889446205 /nfs/dbraw/zinc/44/62/05/889446205.db2.gz COAOZBCGSHCXRY-LBPRGKRZSA-N -1 1 318.301 1.106 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@@]12CCC[C@]1(OC)OCC2 ZINC001364919918 889667111 /nfs/dbraw/zinc/66/71/11/889667111.db2.gz JJXGUGRKLTZTRN-OLZOCXBDSA-N -1 1 307.412 1.017 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]Cc1nocc1C ZINC001364978828 889803351 /nfs/dbraw/zinc/80/33/51/889803351.db2.gz ULIGKNJDUIYVEH-UHFFFAOYSA-N -1 1 318.301 1.748 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)CCC[C@H]2C)[n-]n1 ZINC001365036077 889947935 /nfs/dbraw/zinc/94/79/35/889947935.db2.gz XLPNSWBFSUQGJQ-VHSXEESVSA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)CCC[C@H]2C)n[n-]1 ZINC001365036077 889947948 /nfs/dbraw/zinc/94/79/48/889947948.db2.gz XLPNSWBFSUQGJQ-VHSXEESVSA-N -1 1 315.395 1.396 20 0 DDADMM COc1cccc(F)c1CNC(=O)c1cnc(SC)[n-]c1=O ZINC001365042771 889962392 /nfs/dbraw/zinc/96/23/92/889962392.db2.gz NGLKLLCSRYEKKU-UHFFFAOYSA-N -1 1 323.349 1.982 20 0 DDADMM COCCN1CCN(Cc2cc(F)c([O-])c(Cl)c2)CC1 ZINC001237990176 889965079 /nfs/dbraw/zinc/96/50/79/889965079.db2.gz RIMCRMQVWQRETO-UHFFFAOYSA-N -1 1 302.777 1.949 20 0 DDADMM CCC[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001385994399 890124656 /nfs/dbraw/zinc/12/46/56/890124656.db2.gz WWGYFRLPSYGDPQ-UTUOFQBUSA-N -1 1 305.378 1.600 20 0 DDADMM NC(=O)[C@@H]1CCCN1Cc1c(Br)ccc([O-])c1F ZINC001238304684 890163740 /nfs/dbraw/zinc/16/37/40/890163740.db2.gz HJIMYHYWHJVBDY-VIFPVBQESA-N -1 1 317.158 1.744 20 0 DDADMM CCCCNC(=O)[C@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001290423596 913430918 /nfs/dbraw/zinc/43/09/18/913430918.db2.gz OTIZJRHTLZSMBP-GFCCVEGCSA-N -1 1 309.391 1.219 20 0 DDADMM CSCC[C@H](NC(=O)Nc1c(C)nn(C)c1C)c1nn[n-]n1 ZINC001365171135 890251019 /nfs/dbraw/zinc/25/10/19/890251019.db2.gz NWPSZHBRNOCLRI-VIFPVBQESA-N -1 1 324.414 1.166 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CC2(C)COC2)[n-]c1=O ZINC001365215679 890369437 /nfs/dbraw/zinc/36/94/37/890369437.db2.gz LXFVYSDUKJKINH-JTQLQIEISA-N -1 1 307.350 1.281 20 0 DDADMM CCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1CC ZINC001416986371 890588151 /nfs/dbraw/zinc/58/81/51/890588151.db2.gz KNWYLKDMMMDZRK-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CC1=C(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])CCCO1 ZINC001386235849 890616601 /nfs/dbraw/zinc/61/66/01/890616601.db2.gz GAYOWBZBYOLYHP-SNVBAGLBSA-N -1 1 319.361 1.106 20 0 DDADMM Cc1cc2c(c(-c3cccc(S(C)(=O)=O)c3)n1)C(=O)[N-]C2=O ZINC001239835658 890753271 /nfs/dbraw/zinc/75/32/71/890753271.db2.gz YAQUTMOJNVRPNH-UHFFFAOYSA-N -1 1 316.338 1.344 20 0 DDADMM C[C@]1(c2cccnc2-c2cc(F)ccc2[O-])NC(=O)NC1=O ZINC001240696184 891022013 /nfs/dbraw/zinc/02/20/13/891022013.db2.gz FZUWFFJKMKSWKX-OAHLLOKOSA-N -1 1 301.277 1.648 20 0 DDADMM c1cc(N2CCOCC2)ccc1-c1ccc(-c2nnn[n-]2)nc1 ZINC001240763043 891036939 /nfs/dbraw/zinc/03/69/39/891036939.db2.gz DRSASZMRFDVNBS-UHFFFAOYSA-N -1 1 308.345 1.765 20 0 DDADMM c1cc(N2CCOCC2)ccc1-c1ccc(-c2nn[n-]n2)nc1 ZINC001240763043 891036950 /nfs/dbraw/zinc/03/69/50/891036950.db2.gz DRSASZMRFDVNBS-UHFFFAOYSA-N -1 1 308.345 1.765 20 0 DDADMM O=C(NC1CCOCC1)c1ccc(-c2ccc([O-])c(F)c2)nn1 ZINC001240786039 891050524 /nfs/dbraw/zinc/05/05/24/891050524.db2.gz IPNRKJQTVGXCID-UHFFFAOYSA-N -1 1 317.320 1.897 20 0 DDADMM C[C@H](Cc1ccco1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001290803307 913524970 /nfs/dbraw/zinc/52/49/70/913524970.db2.gz KQEAFWVIABKQET-SECBINFHSA-N -1 1 302.359 1.972 20 0 DDADMM CN1CCN(c2nccnc2-c2ccc(C(=O)[O-])c(F)c2)CC1 ZINC001241185768 891130455 /nfs/dbraw/zinc/13/04/55/891130455.db2.gz ZBCMBLWBUABCPA-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM C[C@H]1CC[C@@H](CN2CCN(C(=O)c3ncccc3[O-])CC2)O1 ZINC001365628663 891199823 /nfs/dbraw/zinc/19/98/23/891199823.db2.gz OZRCWPHUKPANSN-STQMWFEESA-N -1 1 305.378 1.113 20 0 DDADMM CN1CCN(c2cc(-c3cc(F)cc(C(=O)[O-])c3)ncn2)CC1 ZINC001241823884 891279972 /nfs/dbraw/zinc/27/99/72/891279972.db2.gz PAMUIGSFCFAZIA-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)Cc1noc(C)n1)c1ccc(C)o1 ZINC001365679328 891300972 /nfs/dbraw/zinc/30/09/72/891300972.db2.gz XZQFQNJZVDBAIH-JTQLQIEISA-N -1 1 315.351 1.087 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2CC(C)(C)CO2)sn1 ZINC001365734077 891420519 /nfs/dbraw/zinc/42/05/19/891420519.db2.gz NZPOTAIIMJDLAR-QMMMGPOBSA-N -1 1 306.409 1.245 20 0 DDADMM CSc1nc(CNC(=O)Nc2c(C)noc2C)cc(=O)[n-]1 ZINC001365764324 891495143 /nfs/dbraw/zinc/49/51/43/891495143.db2.gz NWFVWRKFJNWSFL-UHFFFAOYSA-N -1 1 309.351 1.831 20 0 DDADMM Cc1cccc(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])c1 ZINC001386745750 891616992 /nfs/dbraw/zinc/61/69/92/891616992.db2.gz WEAGLSPWBNLDOM-GFCCVEGCSA-N -1 1 313.357 1.644 20 0 DDADMM COc1ncc(-c2nc(C)ncc2C)cc1[N-]S(C)(=O)=O ZINC001244789273 891921495 /nfs/dbraw/zinc/92/14/95/891921495.db2.gz GQKFHWCVRSOTBJ-UHFFFAOYSA-N -1 1 308.363 1.536 20 0 DDADMM COc1ncc(-c2ccc(C)nc2OC)cc1[N-]S(C)(=O)=O ZINC001244792547 891926620 /nfs/dbraw/zinc/92/66/20/891926620.db2.gz DPMDNOAGXJLQDB-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM CCc1cc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nc(C)n1 ZINC001244793201 891927133 /nfs/dbraw/zinc/92/71/33/891927133.db2.gz OEGJTNSVSHGVNC-UHFFFAOYSA-N -1 1 322.390 1.790 20 0 DDADMM COc1ncc(-c2nccn3ccnc23)cc1[N-]S(C)(=O)=O ZINC001244793326 891927286 /nfs/dbraw/zinc/92/72/86/891927286.db2.gz FUEXCZMOEJWJMD-UHFFFAOYSA-N -1 1 319.346 1.171 20 0 DDADMM COc1ncc(-c2cc3nccc-3[n-][nH+]2)cc1[N-]S(C)(=O)=O ZINC001244792121 891928020 /nfs/dbraw/zinc/92/80/20/891928020.db2.gz ZVWGCRNTFPZQTK-UHFFFAOYSA-N -1 1 319.346 1.400 20 0 DDADMM COc1ccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c(N)c1 ZINC001244795850 891932179 /nfs/dbraw/zinc/93/21/79/891932179.db2.gz MLPCSZMIIBRNKS-UHFFFAOYSA-N -1 1 323.374 1.720 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)NCCC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001396331176 913627442 /nfs/dbraw/zinc/62/74/42/913627442.db2.gz QPTNOQSALXLINI-NEPJUHHUSA-N -1 1 317.389 1.460 20 0 DDADMM Cc1ccsc1C(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366018464 892225258 /nfs/dbraw/zinc/22/52/58/892225258.db2.gz HBMXBMYZRLMHCP-SNVBAGLBSA-N -1 1 321.406 1.178 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)CCSC ZINC001387180616 892559450 /nfs/dbraw/zinc/55/94/50/892559450.db2.gz NVIANZLUSQNNPV-UHFFFAOYSA-N -1 1 311.407 1.119 20 0 DDADMM CCC(=O)NCCN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC001387455445 893140296 /nfs/dbraw/zinc/14/02/96/893140296.db2.gz XZULSMGYVUTHSR-UHFFFAOYSA-N -1 1 318.377 1.190 20 0 DDADMM CC(C)[C@H]1CC[C@H](C(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)CC1 ZINC001366346217 893250538 /nfs/dbraw/zinc/25/05/38/893250538.db2.gz RLERYVNIWNZEDU-JHJVBQTASA-N -1 1 323.441 1.567 20 0 DDADMM O=C([O-])c1c(F)ccc(CN2CC[C@H]3CNC(=O)[C@H]3C2)c1F ZINC001249775641 894124080 /nfs/dbraw/zinc/12/40/80/894124080.db2.gz DCMNUJSDQTUGBQ-WPRPVWTQSA-N -1 1 310.300 1.231 20 0 DDADMM COc1cc(OC)c(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cn1 ZINC001249907187 894162400 /nfs/dbraw/zinc/16/24/00/894162400.db2.gz RWJSCGNYIODGRL-UHFFFAOYSA-N -1 1 320.309 1.802 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccccc2)cc(C(N)=O)c1 ZINC001251155140 894649917 /nfs/dbraw/zinc/64/99/17/894649917.db2.gz TUHRJHCORJXKQE-UHFFFAOYSA-N -1 1 306.343 1.595 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)C(C)(C)COC ZINC001388239454 894846119 /nfs/dbraw/zinc/84/61/19/894846119.db2.gz IBPQOGNDSUKLFT-UHFFFAOYSA-N -1 1 323.393 1.038 20 0 DDADMM COC(=O)c1cc(-c2nnc(-c3ccc(OC)cc3)o2)[n-]n1 ZINC001252006757 894943680 /nfs/dbraw/zinc/94/36/80/894943680.db2.gz FRXWCCIWZLLNEL-UHFFFAOYSA-N -1 1 300.274 1.922 20 0 DDADMM O=c1nc2nc[nH]c2c(NS(=O)(=O)C=Cc2ccccc2)[n-]1 ZINC001253078068 895629773 /nfs/dbraw/zinc/62/97/73/895629773.db2.gz FAPSZEZNWNOWQJ-VOTSOKGWSA-N -1 1 317.330 1.471 20 0 DDADMM CC(F)(F)CC(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367155252 896025311 /nfs/dbraw/zinc/02/53/11/896025311.db2.gz JEKJDJAINUEJDB-UHFFFAOYSA-N -1 1 317.340 1.074 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1ccc(OC2COC2)nc1 ZINC001254520821 896478273 /nfs/dbraw/zinc/47/82/73/896478273.db2.gz VUDNIYSLMYDKKT-UHFFFAOYSA-N -1 1 320.370 1.968 20 0 DDADMM Cc1cnc(CN(C)C[C@H](C)NC(=O)c2ncccc2[O-])s1 ZINC001367794290 897822130 /nfs/dbraw/zinc/82/21/30/897822130.db2.gz LXVKDYMWNOXNRW-JTQLQIEISA-N -1 1 320.418 1.802 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC001259081327 898455539 /nfs/dbraw/zinc/45/55/39/898455539.db2.gz NGRBCBJBNVSFHM-UHFFFAOYSA-N -1 1 323.292 1.478 20 0 DDADMM O=S(=O)([N-]CCC1OCCO1)c1ccc(F)c(F)c1F ZINC001259081580 898455759 /nfs/dbraw/zinc/45/57/59/898455759.db2.gz FCUBUYDXQBTHCZ-UHFFFAOYSA-N -1 1 311.281 1.145 20 0 DDADMM CC(C)c1nc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2)no1 ZINC001259312956 898604824 /nfs/dbraw/zinc/60/48/24/898604824.db2.gz IUKZRWXCASVMAM-UHFFFAOYSA-N -1 1 317.317 1.950 20 0 DDADMM Cc1cc(C)cc(S(=O)(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001259527402 898716931 /nfs/dbraw/zinc/71/69/31/898716931.db2.gz FGANBBBUEGEIIH-UHFFFAOYSA-N -1 1 319.346 1.476 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H](c2cccnc2)C(F)(F)F)cn1 ZINC001259828922 898838973 /nfs/dbraw/zinc/83/89/73/898838973.db2.gz FJGKQZBQIYSPPP-JTQLQIEISA-N -1 1 320.296 1.397 20 0 DDADMM O=S(=O)([N-]c1cncnc1Cl)c1ccc2c(c1)OCO2 ZINC001259843850 898862679 /nfs/dbraw/zinc/86/26/79/898862679.db2.gz IQRGEBDGBSFWEW-UHFFFAOYSA-N -1 1 313.722 1.660 20 0 DDADMM COc1ccc(-n2nccc2[N-]S(=O)(=O)C(F)F)cc1 ZINC001259951227 898972250 /nfs/dbraw/zinc/97/22/50/898972250.db2.gz UALBYSWWPBPCTK-UHFFFAOYSA-N -1 1 303.290 1.845 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)N[C@H]2CCN(C3CCC3)C2)c1 ZINC001260194730 899085544 /nfs/dbraw/zinc/08/55/44/899085544.db2.gz QSOBOXWOIWJOIR-LBPRGKRZSA-N -1 1 324.402 1.290 20 0 DDADMM O=S(=O)([N-]CCn1cccn1)c1cc(Cl)c(F)cc1F ZINC001260205718 899089026 /nfs/dbraw/zinc/08/90/26/899089026.db2.gz PTBUWCFNEFAXLK-UHFFFAOYSA-N -1 1 321.736 1.793 20 0 DDADMM O=C1CC[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)CN1 ZINC001260288104 899111869 /nfs/dbraw/zinc/11/18/69/899111869.db2.gz FUFNEZVQBMSFRN-ZCFIWIBFSA-N -1 1 324.736 1.175 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc(Cl)cc1Cl ZINC001260592004 899179735 /nfs/dbraw/zinc/17/97/35/899179735.db2.gz XIUSBGLCQOGSRA-UHFFFAOYSA-N -1 1 318.203 1.737 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2ccccc2CN(C)C)cn1C ZINC001260548034 899164008 /nfs/dbraw/zinc/16/40/08/899164008.db2.gz LQMSBYJEASWXQH-UHFFFAOYSA-N -1 1 308.407 1.591 20 0 DDADMM CCOc1cc(C(N)=O)ccc1[N-]S(=O)(=O)[C@@H](C)CC ZINC001260738344 899240646 /nfs/dbraw/zinc/24/06/46/899240646.db2.gz AIKVVYFFTGZNQF-VIFPVBQESA-N -1 1 300.380 1.724 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-]c1cc2c(cc1OC)nc[nH]c2=O ZINC001260742454 899245178 /nfs/dbraw/zinc/24/51/78/899245178.db2.gz BZWUROJICNMAMG-QMMMGPOBSA-N -1 1 311.363 1.884 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cc(C)no1 ZINC001390384594 899306139 /nfs/dbraw/zinc/30/61/39/899306139.db2.gz SHAAKSPMTCFLIG-SNVBAGLBSA-N -1 1 318.333 1.022 20 0 DDADMM O=C(NCCCNC(=O)[C@]12C[C@H]1CCCC2)c1ncccc1[O-] ZINC001293261678 914376796 /nfs/dbraw/zinc/37/67/96/914376796.db2.gz ATPTUUFELRKASN-SJKOYZFVSA-N -1 1 317.389 1.604 20 0 DDADMM CCOC[C@H]1CCCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001263731987 900688712 /nfs/dbraw/zinc/68/87/12/900688712.db2.gz VBBDWFCCPKIDTD-JTQLQIEISA-N -1 1 311.407 1.668 20 0 DDADMM Cc1ccc(N)cc1S(=O)(=O)[N-]c1ccc(N(C)C)nc1 ZINC000387109612 901029959 /nfs/dbraw/zinc/02/99/59/901029959.db2.gz LTESUTPMNGFVNX-UHFFFAOYSA-N -1 1 306.391 1.839 20 0 DDADMM CC[C@H](CNC(=O)C1(C2CCC2)CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001391713452 902421746 /nfs/dbraw/zinc/42/17/46/902421746.db2.gz XSUBVMOXDJEETE-GFCCVEGCSA-N -1 1 321.425 1.465 20 0 DDADMM CCCc1[nH]ccc1C(=O)NC[C@H](CC)NCc1n[nH]c(=O)[n-]1 ZINC001391721627 902445275 /nfs/dbraw/zinc/44/52/75/902445275.db2.gz IGPJJKLHPWNVNR-JTQLQIEISA-N -1 1 320.397 1.089 20 0 DDADMM C[C@@H](CN[C@@H](C)c1ncccn1)N(C)C(=O)c1ncccc1[O-] ZINC001392050191 903169700 /nfs/dbraw/zinc/16/97/00/903169700.db2.gz NIEURQWMQAGNTQ-RYUDHWBXSA-N -1 1 315.377 1.389 20 0 DDADMM CN(C(=O)c1c(Cl)nc2cc(Cl)ccn21)c1nn[n-]n1 ZINC001279187581 903190415 /nfs/dbraw/zinc/19/04/15/903190415.db2.gz JMZBCYTYIUWUBB-UHFFFAOYSA-N -1 1 312.120 1.431 20 0 DDADMM Cc1nnc(CN(C)C[C@@H](C)NC(=O)c2ncccc2[O-])s1 ZINC001375034207 914724869 /nfs/dbraw/zinc/72/48/69/914724869.db2.gz WGEYMTJQPBZSIW-SECBINFHSA-N -1 1 321.406 1.197 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@@H](C)C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001392245608 903635835 /nfs/dbraw/zinc/63/58/35/903635835.db2.gz ROZNQSBRLQBWSO-WCQYABFASA-N -1 1 323.441 1.567 20 0 DDADMM CC(C)C(=O)NC[C@H]1CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001393262961 906595903 /nfs/dbraw/zinc/59/59/03/906595903.db2.gz VMCCCMCEQWDKQX-LLVKDONJSA-N -1 1 318.377 1.046 20 0 DDADMM CC/C=C(/C)C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001283381434 907259861 /nfs/dbraw/zinc/25/98/61/907259861.db2.gz JJGSPRIZZLXKOL-TZQNSNDFSA-N -1 1 317.389 1.816 20 0 DDADMM CC[C@H](C)C(=O)NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001372640167 907843580 /nfs/dbraw/zinc/84/35/80/907843580.db2.gz PNYJTDJDACDHTK-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM Cc1ccsc1C(=O)N[C@H](C)[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001393919914 908248622 /nfs/dbraw/zinc/24/86/22/908248622.db2.gz VMRYRFPVFAJQPL-RKDXNWHRSA-N -1 1 309.395 1.177 20 0 DDADMM CC[C@@H](C)C(=O)N[C@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001372878892 908484650 /nfs/dbraw/zinc/48/46/50/908484650.db2.gz UODDXXZYFVIVLJ-NXEZZACHSA-N -1 1 320.393 1.340 20 0 DDADMM CC1(CC(=O)NC/C=C\CNC(=O)c2ncccc2[O-])CC1 ZINC001285528914 910741716 /nfs/dbraw/zinc/74/17/16/910741716.db2.gz BDZFLEFBBZUTOG-IHWYPQMZSA-N -1 1 303.362 1.380 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001285531104 910745627 /nfs/dbraw/zinc/74/56/27/910745627.db2.gz MWKMVOYHGAHTAG-QMAVJUDZSA-N -1 1 305.378 1.482 20 0 DDADMM O=C(CC1CCC1)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001373842374 911169504 /nfs/dbraw/zinc/16/95/04/911169504.db2.gz KNYPWNJRQJAQKE-UHFFFAOYSA-N -1 1 307.398 1.219 20 0 DDADMM CN(CCCNC(=O)C1(C)CCCC1)C(=O)c1ncccc1[O-] ZINC001294208101 914983729 /nfs/dbraw/zinc/98/37/29/914983729.db2.gz VFWOCAGUFLIVQZ-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM C=C/C(C)=C\CC(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001295610772 915925821 /nfs/dbraw/zinc/92/58/21/915925821.db2.gz DRGOGSUVHCQWGG-RDQDRAATSA-N -1 1 303.362 1.544 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1ccc(CO)nc1 ZINC001296613199 916503885 /nfs/dbraw/zinc/50/38/85/916503885.db2.gz KYAANIHNOSHMJK-UHFFFAOYSA-N -1 1 324.134 1.689 20 0 DDADMM Cc1n[nH]c(C(=O)NCC2(NCc3ccccn3)CCC2)c1[O-] ZINC001376734850 919209325 /nfs/dbraw/zinc/20/93/25/919209325.db2.gz BDWRJUDNGMKGDV-UHFFFAOYSA-N -1 1 315.377 1.261 20 0 DDADMM C[C@H](C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)C1CCC1 ZINC001376745570 919242965 /nfs/dbraw/zinc/24/29/65/919242965.db2.gz IESSFNNBXRKTBL-JTQLQIEISA-N -1 1 307.398 1.075 20 0 DDADMM C[C@H](CNC(=O)[C@]1(C)CC[C@H](C)C1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001376818215 919481866 /nfs/dbraw/zinc/48/18/66/919481866.db2.gz DDGPPTPZAWZIAJ-USBNGQNGSA-N -1 1 323.441 1.567 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3coc(C4CCC4)n3)nc2n1 ZINC000622870067 365549861 /nfs/dbraw/zinc/54/98/61/365549861.db2.gz QKFXDOGQUQJHSV-UHFFFAOYSA-N -1 1 314.305 1.234 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3[C@@H](C)[C@@H]3c3ccccc3)nc2n1 ZINC000622870321 365550635 /nfs/dbraw/zinc/55/06/35/365550635.db2.gz XVVOQBLVISTMGT-ZLKJLUDKSA-N -1 1 323.356 1.714 20 0 DDADMM CCc1cccc(CC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c1 ZINC000622871103 365551922 /nfs/dbraw/zinc/55/19/22/365551922.db2.gz QXRSNEWGJQXBOH-UHFFFAOYSA-N -1 1 311.345 1.470 20 0 DDADMM CCCCO[C@@H](C)C(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1 ZINC000622994950 365588699 /nfs/dbraw/zinc/58/86/99/365588699.db2.gz JBLAMFQDHDRFFF-VIFPVBQESA-N -1 1 307.354 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCCS3)nc2n1 ZINC000622996826 365589774 /nfs/dbraw/zinc/58/97/74/365589774.db2.gz BNLCOQXJYAYHGC-JTQLQIEISA-N -1 1 321.406 1.594 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3nc(C)oc3C)nc2n1 ZINC000622997736 365591311 /nfs/dbraw/zinc/59/13/11/365591311.db2.gz JGHBXNDKSWUZAL-UHFFFAOYSA-N -1 1 316.321 1.227 20 0 DDADMM Cc1nc(COc2cccc(C(=O)Nc3nnn[n-]3)c2)cs1 ZINC000076963526 185061658 /nfs/dbraw/zinc/06/16/58/185061658.db2.gz HZVHAHIBBVKQRI-UHFFFAOYSA-N -1 1 316.346 1.796 20 0 DDADMM Cc1nc(COc2cccc(C(=O)Nc3nn[n-]n3)c2)cs1 ZINC000076963526 185061660 /nfs/dbraw/zinc/06/16/60/185061660.db2.gz HZVHAHIBBVKQRI-UHFFFAOYSA-N -1 1 316.346 1.796 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](C)[C@@H](CO)C1 ZINC000623037329 365616350 /nfs/dbraw/zinc/61/63/50/365616350.db2.gz LXYAWLNHRCAMLU-ZYHUDNBSSA-N -1 1 321.421 1.879 20 0 DDADMM CC(C)(O)CO[N-]C(=O)[C@@H]1CCCCN1Cc1ccc(F)cc1 ZINC000278518428 214206223 /nfs/dbraw/zinc/20/62/23/214206223.db2.gz CGCKEBKLTJGVKG-HNNXBMFYSA-N -1 1 324.396 1.999 20 0 DDADMM Cc1ccn([C@@H](C)CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000614474648 361875929 /nfs/dbraw/zinc/87/59/29/361875929.db2.gz BVQHQGQPFUOMEJ-NSHDSACASA-N -1 1 319.365 1.637 20 0 DDADMM O=S(=O)([N-][C@H](CO)[C@H]1CCCO1)c1sccc1Cl ZINC000451476112 231106875 /nfs/dbraw/zinc/10/68/75/231106875.db2.gz DXWGROJBXXNTGX-RKDXNWHRSA-N -1 1 311.812 1.220 20 0 DDADMM C[C@H]1OCC[C@@]1(O)CNC(=O)c1ccc2ccccc2c1[O-] ZINC000318493188 231171455 /nfs/dbraw/zinc/17/14/55/231171455.db2.gz CHIPGNIUQOQPMU-PIGZYNQJSA-N -1 1 301.342 1.815 20 0 DDADMM CO[C@H]1COCC[C@H]1C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000451776820 231190107 /nfs/dbraw/zinc/19/01/07/231190107.db2.gz QJJFCAPEIUZARN-ONGXEEELSA-N -1 1 321.345 1.295 20 0 DDADMM CC[C@H](C[C@H](C)CO)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000443317645 529423186 /nfs/dbraw/zinc/42/31/86/529423186.db2.gz HKJNZZUTJISNOE-VHSXEESVSA-N -1 1 319.379 1.142 20 0 DDADMM CC[C@H](NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C(F)F ZINC000452246375 529481679 /nfs/dbraw/zinc/48/16/79/529481679.db2.gz NXVAZXAHKBBOFJ-JTQLQIEISA-N -1 1 312.320 1.754 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)C[C@H](O)C3CC3)cnc2n1 ZINC000089880317 539173456 /nfs/dbraw/zinc/17/34/56/539173456.db2.gz KFZRTIAOVUBKHJ-ZDUSSCGKSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)C[C@H](O)C3CC3)c[n-]c2n1 ZINC000089880317 539173458 /nfs/dbraw/zinc/17/34/58/539173458.db2.gz KFZRTIAOVUBKHJ-ZDUSSCGKSA-N -1 1 301.346 1.487 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1c[nH]c2ccc(F)cc12)c1nn[n-]n1 ZINC000183421856 539242015 /nfs/dbraw/zinc/24/20/15/539242015.db2.gz YTLAYEOQWGXFGI-SECBINFHSA-N -1 1 316.340 1.625 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)[C@H](O)C(F)F ZINC000295722123 529644752 /nfs/dbraw/zinc/64/47/52/529644752.db2.gz LTDNTANEFXGRFM-WPRPVWTQSA-N -1 1 315.288 1.648 20 0 DDADMM Cn1ncc(Cl)c1S(=O)(=O)[N-]c1cc(C(C)(C)C)n[nH]1 ZINC000228004568 539266374 /nfs/dbraw/zinc/26/63/74/539266374.db2.gz KAIIRIXXVBBKAX-UHFFFAOYSA-N -1 1 317.802 1.895 20 0 DDADMM COCCOCCN(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000614944222 362089129 /nfs/dbraw/zinc/08/91/29/362089129.db2.gz BTIYEYXVJFALOF-UHFFFAOYSA-N -1 1 304.346 1.675 20 0 DDADMM Cc1oc(C(=O)[O-])cc1S(=O)(=O)N(C)C[C@H]1CCCN1C ZINC000092625476 185329822 /nfs/dbraw/zinc/32/98/22/185329822.db2.gz ZEKVDUJNAOSDOL-SNVBAGLBSA-N -1 1 316.379 1.001 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C[C@@H]1COCCO1)C(F)(F)F ZINC000615226645 362204240 /nfs/dbraw/zinc/20/42/40/362204240.db2.gz BESFICQQUOADIZ-SFYZADRCSA-N -1 1 323.271 1.346 20 0 DDADMM O=C(N[C@@H]1Cc2cncn2C1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000623260143 365757101 /nfs/dbraw/zinc/75/71/01/365757101.db2.gz WGWHLTLUEGUUHE-SECBINFHSA-N -1 1 311.263 1.962 20 0 DDADMM CCc1nc(C)c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)o1 ZINC000331765922 529718098 /nfs/dbraw/zinc/71/80/98/529718098.db2.gz CCDYNEAVNWXAIK-SECBINFHSA-N -1 1 306.322 1.654 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(=O)[nH]c(C(C)C)c1)c1nn[n-]n1 ZINC000181525164 199310667 /nfs/dbraw/zinc/31/06/67/199310667.db2.gz CCQQOZYIFWXMAL-SNVBAGLBSA-N -1 1 304.354 1.695 20 0 DDADMM CN=c1[n-]nc(CC(=O)N(C)Cc2cc(C(C)(C)C)[nH]n2)s1 ZINC000447067381 529834482 /nfs/dbraw/zinc/83/44/82/529834482.db2.gz NRMJKRPLXBBKKW-UHFFFAOYSA-N -1 1 322.438 1.223 20 0 DDADMM CCc1[nH]ccc1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000285527651 282344310 /nfs/dbraw/zinc/34/43/10/282344310.db2.gz OXCSAMVWIKBDTK-UHFFFAOYSA-N -1 1 313.361 1.460 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCc3cccc(F)c32)o1 ZINC000491080501 530027775 /nfs/dbraw/zinc/02/77/75/530027775.db2.gz TWPXBZPCHIQZGT-UHFFFAOYSA-N -1 1 324.333 1.530 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@]2(C)CCCC[C@@H]2C)o1 ZINC000457263184 530028616 /nfs/dbraw/zinc/02/86/16/530028616.db2.gz PKOMSZPKAMUXFY-IINYFYTJSA-N -1 1 314.407 1.886 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2cc(C)cc(C(=O)[O-])c2)c1 ZINC000350472289 539409818 /nfs/dbraw/zinc/40/98/18/539409818.db2.gz CPEUTHDLEPQAFO-UHFFFAOYSA-N -1 1 321.358 1.780 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(Cc2cscn2)CC1 ZINC000330779887 232061827 /nfs/dbraw/zinc/06/18/27/232061827.db2.gz MZNARLCBOKJHIJ-UHFFFAOYSA-N -1 1 304.375 1.202 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cnn(CCF)c2)c1Br ZINC000616001415 362515610 /nfs/dbraw/zinc/51/56/10/362515610.db2.gz BVITZHDKOWBHMT-UHFFFAOYSA-N -1 1 316.134 1.321 20 0 DDADMM COC1CCC(NC(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000458063179 232205247 /nfs/dbraw/zinc/20/52/47/232205247.db2.gz MPSDCTUBDBYOCX-UHFFFAOYSA-N -1 1 304.350 1.361 20 0 DDADMM COC1CCC(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000358405421 299143947 /nfs/dbraw/zinc/14/39/47/299143947.db2.gz ZSXYGDJNKJTHAN-UHFFFAOYSA-N -1 1 318.377 1.609 20 0 DDADMM CCO[C@H](CC(=O)N(C)C[C@@H](C)c1nn[n-]n1)C1=CCCC1 ZINC000358417422 299147523 /nfs/dbraw/zinc/14/75/23/299147523.db2.gz UXHPXVVFFBFCHT-DGCLKSJQSA-N -1 1 307.398 1.667 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)[C@@H]1CCCCS1(=O)=O ZINC000168656169 304003405 /nfs/dbraw/zinc/00/34/05/304003405.db2.gz WRGSJYRVOPOUDS-NSHDSACASA-N -1 1 303.767 1.951 20 0 DDADMM C[C@H](C(=O)Nc1nc(Cl)ccc1[O-])n1nnnc1C(C)(C)C ZINC000279810043 215166035 /nfs/dbraw/zinc/16/60/35/215166035.db2.gz UDRLRBFXIXYRBD-SSDOTTSWSA-N -1 1 324.772 1.924 20 0 DDADMM O=C(Nc1cccc2ccsc21)c1cc(=O)n2[n-]cnc2n1 ZINC000353875203 290865594 /nfs/dbraw/zinc/86/55/94/290865594.db2.gz NCBPVKUDWFZUKK-UHFFFAOYSA-N -1 1 311.326 1.885 20 0 DDADMM CN(Cc1nncn1C)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000287062510 219292611 /nfs/dbraw/zinc/29/26/11/219292611.db2.gz VCDWASGYNDNPJV-UHFFFAOYSA-N -1 1 300.318 1.259 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(Br)ccc2[O-])C[C@H]1CO ZINC000191830766 186260522 /nfs/dbraw/zinc/26/05/22/186260522.db2.gz NMOFSLKKVLFZHW-BDAKNGLRSA-N -1 1 314.179 1.855 20 0 DDADMM C[C@@H](Oc1ccc(C(F)(F)F)cc1)C(=O)Nc1nnn[n-]1 ZINC000188049439 186249907 /nfs/dbraw/zinc/24/99/07/186249907.db2.gz ODFSVBFKQGQSJU-ZCFIWIBFSA-N -1 1 301.228 1.625 20 0 DDADMM C[C@@H](Oc1ccc(C(F)(F)F)cc1)C(=O)Nc1nn[n-]n1 ZINC000188049439 186249909 /nfs/dbraw/zinc/24/99/09/186249909.db2.gz ODFSVBFKQGQSJU-ZCFIWIBFSA-N -1 1 301.228 1.625 20 0 DDADMM CCCCCc1nnc([N-]C(=O)c2nccnc2C(N)=O)s1 ZINC000266850605 186359546 /nfs/dbraw/zinc/35/95/46/186359546.db2.gz PEDSMSIQLPWAFY-UHFFFAOYSA-N -1 1 320.378 1.412 20 0 DDADMM CCOc1ccc(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)cn1 ZINC000284965731 186556996 /nfs/dbraw/zinc/55/69/96/186556996.db2.gz CLQWQLMIYVYCJJ-UHFFFAOYSA-N -1 1 323.378 1.667 20 0 DDADMM O=c1[nH]c2ccc(S(=O)(=O)[N-]c3cccc(O)c3)cc2o1 ZINC000002200204 352110447 /nfs/dbraw/zinc/11/04/47/352110447.db2.gz ZSKHSLBXPDRUST-UHFFFAOYSA-N -1 1 306.299 1.628 20 0 DDADMM O=C(NCc1cccc(N2CCOC2=O)c1)c1cncc([O-])c1 ZINC000491418161 234163102 /nfs/dbraw/zinc/16/31/02/234163102.db2.gz NJYSPDKEJYMAJF-UHFFFAOYSA-N -1 1 313.313 1.674 20 0 DDADMM O=C(COc1ccc(F)cc1Br)Nc1nnn[n-]1 ZINC000060850236 352880836 /nfs/dbraw/zinc/88/08/36/352880836.db2.gz HYLPNWTYNKDBJO-UHFFFAOYSA-N -1 1 316.090 1.119 20 0 DDADMM O=C(COc1ccc(F)cc1Br)Nc1nn[n-]n1 ZINC000060850236 352880838 /nfs/dbraw/zinc/88/08/38/352880838.db2.gz HYLPNWTYNKDBJO-UHFFFAOYSA-N -1 1 316.090 1.119 20 0 DDADMM CCn1c(CNC(=O)[C@@](C)(O)Cc2ccccc2)n[n-]c1=S ZINC000074351754 353308205 /nfs/dbraw/zinc/30/82/05/353308205.db2.gz KPALAJVBWPVMDR-HNNXBMFYSA-N -1 1 320.418 1.570 20 0 DDADMM Cc1nc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)cs1 ZINC000079403810 353561094 /nfs/dbraw/zinc/56/10/94/353561094.db2.gz CIKLXHZHCJGBEE-UHFFFAOYSA-N -1 1 300.343 1.618 20 0 DDADMM COc1ccc(CN(Cc2n[nH]c(=O)[n-]2)[C@@H](C)C2CC2)cc1 ZINC000091541797 353829803 /nfs/dbraw/zinc/82/98/03/353829803.db2.gz WTTXLUQDCPQWCT-NSHDSACASA-N -1 1 302.378 1.907 20 0 DDADMM COC(=O)c1c(C)cccc1S(=O)(=O)[N-]c1c[nH]nc1C ZINC000123599224 354033117 /nfs/dbraw/zinc/03/31/17/354033117.db2.gz LHBWBWBKTAFUBH-UHFFFAOYSA-N -1 1 309.347 1.614 20 0 DDADMM COC(=O)[C@]1(NC(=O)Cc2ccc([O-])c(Cl)c2)CCOC1 ZINC000601304445 358487631 /nfs/dbraw/zinc/48/76/31/358487631.db2.gz NIGKHLNGIAKZGK-AWEZNQCLSA-N -1 1 313.737 1.036 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3ccc(C)o3)no2)o1 ZINC000350791412 354609381 /nfs/dbraw/zinc/60/93/81/354609381.db2.gz AUYZHGVENGLWKE-UHFFFAOYSA-N -1 1 309.303 1.806 20 0 DDADMM Cc1cccc(C)c1OC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC000586755430 354858221 /nfs/dbraw/zinc/85/82/21/354858221.db2.gz TXXSLYQARHFZHG-CYBMUJFWSA-N -1 1 316.357 1.574 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCCCF)c1ccccc1F ZINC000588119551 354903259 /nfs/dbraw/zinc/90/32/59/354903259.db2.gz OQZLSIZAFMXXPK-GFCCVEGCSA-N -1 1 321.345 1.709 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3scnc3C)nc2n1 ZINC000588745877 354932483 /nfs/dbraw/zinc/93/24/83/354932483.db2.gz SCNRXBFIOXEOJG-UHFFFAOYSA-N -1 1 318.362 1.387 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2ccc3c(c2)C(=O)NC3)c1 ZINC000589434402 354989959 /nfs/dbraw/zinc/98/99/59/354989959.db2.gz AEWHTEQUFXYIIX-UHFFFAOYSA-N -1 1 318.354 1.739 20 0 DDADMM C[C@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)n1cccc1 ZINC000591441260 355311999 /nfs/dbraw/zinc/31/19/99/355311999.db2.gz DJKAAHQOUKBYLI-IUODEOHRSA-N -1 1 310.361 1.858 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000593633407 355949233 /nfs/dbraw/zinc/94/92/33/355949233.db2.gz OXGGDXWZDXGDDG-MRVPVSSYSA-N -1 1 311.281 1.144 20 0 DDADMM CCO[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc(C)c(C(=O)OC)o2)C1 ZINC000595338284 356453867 /nfs/dbraw/zinc/45/38/67/356453867.db2.gz PXJHECNPOYUZBO-AOOOYVTPSA-N -1 1 317.363 1.220 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H](C)CCCO)cc1C ZINC000595336150 356453997 /nfs/dbraw/zinc/45/39/97/356453997.db2.gz YUZBVLBVVQDEMT-VIFPVBQESA-N -1 1 319.379 1.062 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ccc(C)nc2)cc1C ZINC000595301871 356440131 /nfs/dbraw/zinc/44/01/31/356440131.db2.gz IIJROBBDHIYTFM-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ccsc2)cc1C ZINC000595309047 356443247 /nfs/dbraw/zinc/44/32/47/356443247.db2.gz JOJGYRCYXDTMFC-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCCSC2)cc1C ZINC000595314300 356445716 /nfs/dbraw/zinc/44/57/16/356445716.db2.gz XWSKRLDTNRTILZ-SECBINFHSA-N -1 1 319.404 1.549 20 0 DDADMM CN(C[C@@H]1COCCO1)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000618224613 363524597 /nfs/dbraw/zinc/52/45/97/363524597.db2.gz PGINFIVICTXVFX-SNVBAGLBSA-N -1 1 319.279 1.898 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C)n([C@H](C)C2CC2)n1)c1nn[n-]n1 ZINC000347594841 283236377 /nfs/dbraw/zinc/23/63/77/283236377.db2.gz HKKAPMHJZCWNMR-PWSUYJOCSA-N -1 1 317.397 1.947 20 0 DDADMM CCOC(=O)C1(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCC1 ZINC000597622539 357259477 /nfs/dbraw/zinc/25/94/77/357259477.db2.gz KNAAULSKYLESPB-UHFFFAOYSA-N -1 1 323.349 1.215 20 0 DDADMM C[C@H](NCc1nc(=O)n(C)[n-]1)c1cccc(N2CCCC2=O)c1 ZINC000347675421 283253882 /nfs/dbraw/zinc/25/38/82/283253882.db2.gz MLSXXMYYHINNJV-NSHDSACASA-N -1 1 315.377 1.086 20 0 DDADMM C[C@](CO)(NC(=O)c1nc2ccccc2c(=O)[n-]1)c1ccccc1 ZINC000599221985 357854814 /nfs/dbraw/zinc/85/48/14/357854814.db2.gz TVJJCSOJZYOKFZ-GOSISDBHSA-N -1 1 323.352 1.561 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCn3cccc3[C@H]2C)co1 ZINC000179267844 199007072 /nfs/dbraw/zinc/00/70/72/199007072.db2.gz GMPRJCVKFSQEJL-SNVBAGLBSA-N -1 1 323.374 1.206 20 0 DDADMM CC[C@H](C)[N-]S(=O)(=O)c1cc(F)cc(C(=O)OC)c1F ZINC000599204084 357849793 /nfs/dbraw/zinc/84/97/93/357849793.db2.gz RCUKFZYDUIZUSV-ZETCQYMHSA-N -1 1 307.318 1.828 20 0 DDADMM CS(=O)(=O)c1cccc(CN2C[C@@H]3CCC[C@@H]3[C@@H]2C(=O)[O-])c1 ZINC000565487413 304071263 /nfs/dbraw/zinc/07/12/63/304071263.db2.gz VCZRQLQIDGPJSF-AEGPPILISA-N -1 1 323.414 1.775 20 0 DDADMM COC(=O)c1cnc(CSc2nc(C3CC3)cc(=O)[n-]2)cn1 ZINC000600530834 358249566 /nfs/dbraw/zinc/24/95/66/358249566.db2.gz KCBNHUHGOQPGMW-UHFFFAOYSA-N -1 1 318.358 1.929 20 0 DDADMM CCOC(=O)C[C@@H](O)CSc1nc(CC)c(C)c(=O)[n-]1 ZINC000600559084 358257683 /nfs/dbraw/zinc/25/76/83/358257683.db2.gz YCHVGQHTSRRSDR-SECBINFHSA-N -1 1 300.380 1.459 20 0 DDADMM COC(=O)[C@H]1CC[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1 ZINC000601406791 358539647 /nfs/dbraw/zinc/53/96/47/358539647.db2.gz RYIUIFOQXBUIQJ-JGVFFNPUSA-N -1 1 323.317 1.837 20 0 DDADMM C[C@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC[S@]1=O ZINC000601534439 358591359 /nfs/dbraw/zinc/59/13/59/358591359.db2.gz UPCYUUKKAZNYBL-WVDJIFEKSA-N -1 1 315.822 1.958 20 0 DDADMM COC(=O)Cc1c(C)[nH]n(-c2cccc(C(=O)[O-])c2C)c1=O ZINC000601949050 358749259 /nfs/dbraw/zinc/74/92/59/358749259.db2.gz JLZPHFONEVXILX-LLVKDONJSA-N -1 1 304.302 1.595 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCc2ccccc2F)n[n-]1 ZINC000603014639 359357204 /nfs/dbraw/zinc/35/72/04/359357204.db2.gz FZNWZLYKBJSAKI-UHFFFAOYSA-N -1 1 320.324 1.370 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCc2ccccc2F)n1 ZINC000603014639 359357207 /nfs/dbraw/zinc/35/72/07/359357207.db2.gz FZNWZLYKBJSAKI-UHFFFAOYSA-N -1 1 320.324 1.370 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)c2ccccc2F)n[n-]1 ZINC000603017019 359358849 /nfs/dbraw/zinc/35/88/49/359358849.db2.gz OOGJCFKLYORPSI-VIFPVBQESA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)c2ccccc2F)n1 ZINC000603017019 359358854 /nfs/dbraw/zinc/35/88/54/359358854.db2.gz OOGJCFKLYORPSI-VIFPVBQESA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCC[C@@H]2CC)n[n-]1 ZINC000603153138 359440885 /nfs/dbraw/zinc/44/08/85/359440885.db2.gz GWINBDDCOIEJTF-GARJFASQSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCC[C@@H]2CC)[n-]1 ZINC000603153138 359440891 /nfs/dbraw/zinc/44/08/91/359440891.db2.gz GWINBDDCOIEJTF-GARJFASQSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCC[C@@H]2CC)n1 ZINC000603153138 359440896 /nfs/dbraw/zinc/44/08/96/359440896.db2.gz GWINBDDCOIEJTF-GARJFASQSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2C(C)(C)C2(C)C)n[n-]1 ZINC000603157266 359443859 /nfs/dbraw/zinc/44/38/59/359443859.db2.gz VPIZJQZMFACOOG-QMMMGPOBSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2C(C)(C)C2(C)C)[n-]1 ZINC000603157266 359443864 /nfs/dbraw/zinc/44/38/64/359443864.db2.gz VPIZJQZMFACOOG-QMMMGPOBSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2C(C)(C)C2(C)C)n1 ZINC000603157266 359443868 /nfs/dbraw/zinc/44/38/68/359443868.db2.gz VPIZJQZMFACOOG-QMMMGPOBSA-N -1 1 308.382 1.841 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cc(Cl)ccc2OC)n1 ZINC000358960624 299292572 /nfs/dbraw/zinc/29/25/72/299292572.db2.gz SOJGYIUPFPEZST-UHFFFAOYSA-N -1 1 316.770 1.830 20 0 DDADMM CC[C@@H](C)C[C@H]([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2)C(=O)OC ZINC000603365833 359596033 /nfs/dbraw/zinc/59/60/33/359596033.db2.gz HZYUQDKRGQPNER-KSSYENDESA-N -1 1 319.423 1.204 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N[C@@H]2CCCC[C@H]2C)n1 ZINC000187586203 200130936 /nfs/dbraw/zinc/13/09/36/200130936.db2.gz ONFIOEIEMRKBCQ-NXEZZACHSA-N -1 1 311.407 1.983 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H]3CC[C@H](O)C3)cnc2n1 ZINC000188253129 200216857 /nfs/dbraw/zinc/21/68/57/200216857.db2.gz GQTWTAOFANJPBN-QWRGUYRKSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@H]3CC[C@H](O)C3)c[n-]c2n1 ZINC000188253129 200216859 /nfs/dbraw/zinc/21/68/59/200216859.db2.gz GQTWTAOFANJPBN-QWRGUYRKSA-N -1 1 301.346 1.535 20 0 DDADMM O=C(Cn1ncc2ccccc21)NC1(c2nn[n-]n2)CCCC1 ZINC000605530727 359867859 /nfs/dbraw/zinc/86/78/59/359867859.db2.gz PURLBBCQXZYLDQ-UHFFFAOYSA-N -1 1 311.349 1.135 20 0 DDADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccccc1-c1ccccc1 ZINC000606116910 359901050 /nfs/dbraw/zinc/90/10/50/359901050.db2.gz HPSLOOFVUSGPDF-UHFFFAOYSA-N -1 1 322.328 1.122 20 0 DDADMM O=c1[nH]c2ccc(S(=O)(=O)[N-]c3c(O)cccc3F)cc2[nH]1 ZINC000608342077 360161202 /nfs/dbraw/zinc/16/12/02/360161202.db2.gz FBWVOCVSYQLEFN-UHFFFAOYSA-N -1 1 323.305 1.914 20 0 DDADMM C[C@@H](SCC(=O)NCc1nn[n-]n1)c1nc2ccccc2[nH]1 ZINC000610436794 360435784 /nfs/dbraw/zinc/43/57/84/360435784.db2.gz ABLYRDUPFDFWOE-MRVPVSSYSA-N -1 1 317.378 1.187 20 0 DDADMM CN(CCNC(=O)c1cc(=O)n(C)[n-]1)Cc1ccc(F)cc1 ZINC000611972135 360877426 /nfs/dbraw/zinc/87/74/26/360877426.db2.gz UUEYLXHKADWWQV-UHFFFAOYSA-N -1 1 306.341 1.127 20 0 DDADMM C[C@@H](NC(=O)c1ncc2ccccc2c1[O-])[C@@H]1CN(C)CCO1 ZINC000612668717 361100797 /nfs/dbraw/zinc/10/07/97/361100797.db2.gz CFCZVVPTFSVNAF-RISCZKNCSA-N -1 1 315.373 1.389 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000613150371 361293986 /nfs/dbraw/zinc/29/39/86/361293986.db2.gz AZXRVFKCKKIQTR-OLZOCXBDSA-N -1 1 313.357 1.585 20 0 DDADMM CN(CC1(O)CCOCC1)C(=O)c1ncc2ccccc2c1[O-] ZINC000613776866 361563248 /nfs/dbraw/zinc/56/32/48/361563248.db2.gz MQDPALBAZDVWFJ-UHFFFAOYSA-N -1 1 316.357 1.554 20 0 DDADMM O=C(C(=O)N1CC[C@@H](N2CCC2)[C@H](F)C1)c1ccc([O-])cc1 ZINC000614096352 361701255 /nfs/dbraw/zinc/70/12/55/361701255.db2.gz BDVFDXKCQJSZJV-ZIAGYGMSSA-N -1 1 306.337 1.220 20 0 DDADMM CCN(CC)C1=NC(=O)C(CC(=O)NCC(F)(F)F)S1 ZINC000195216386 201360184 /nfs/dbraw/zinc/36/01/84/201360184.db2.gz OJVYJESYDLDCAE-SSDOTTSWSA-N -1 1 311.329 1.395 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000566009325 304110089 /nfs/dbraw/zinc/11/00/89/304110089.db2.gz NNRFNIUQUBULIU-MNOVXSKESA-N -1 1 324.343 1.828 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCC3(COC3)C2)c(=O)[n-]1 ZINC000620298900 364367822 /nfs/dbraw/zinc/36/78/22/364367822.db2.gz ZLDLMFUZHDZRGZ-UHFFFAOYSA-N -1 1 323.418 1.394 20 0 DDADMM CCOCCn1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC000621443703 364857887 /nfs/dbraw/zinc/85/78/87/364857887.db2.gz QNZMIIHQNAJVDD-UHFFFAOYSA-N -1 1 318.259 1.309 20 0 DDADMM CCOc1cncc(CN2CCN(c3nc(=N)[n-]s3)CC2)c1 ZINC000621719402 364999868 /nfs/dbraw/zinc/99/98/68/364999868.db2.gz LOFCWKSPLMMHKJ-UHFFFAOYSA-N -1 1 320.422 1.067 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@H](C(=O)[O-])C3CC3)CC2)s1 ZINC000635015577 422769237 /nfs/dbraw/zinc/76/92/37/422769237.db2.gz GOLYVPZWZWZFRW-ZDUSSCGKSA-N -1 1 308.403 1.678 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@@H]1C(=O)[O-] ZINC000566235097 304131391 /nfs/dbraw/zinc/13/13/91/304131391.db2.gz NDCIZBBUVZUHNL-MFKMUULPSA-N -1 1 314.345 1.655 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCCC[C@H]1C(C)C ZINC000349724455 284030824 /nfs/dbraw/zinc/03/08/24/284030824.db2.gz UKGYUFCYTACNIN-WDEREUQCSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCCC[C@H]1C(C)C ZINC000349724455 284030826 /nfs/dbraw/zinc/03/08/26/284030826.db2.gz UKGYUFCYTACNIN-WDEREUQCSA-N -1 1 301.412 1.889 20 0 DDADMM C[C@H](C(=O)[O-])N1CCN(C(=O)N(C)Cc2ccccc2)CC1 ZINC000261548361 203076289 /nfs/dbraw/zinc/07/62/89/203076289.db2.gz NOUPVKWSDNYFJH-CYBMUJFWSA-N -1 1 305.378 1.329 20 0 DDADMM CCCN(C(=O)c1cc(F)ccc1[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000093920060 193328584 /nfs/dbraw/zinc/32/85/84/193328584.db2.gz LYEVOBIYZHWSBT-LLVKDONJSA-N -1 1 315.366 1.571 20 0 DDADMM COc1cc([N-]S(=O)(=O)C[C@H]2CCCO2)cc(OC)c1 ZINC000264625679 204037201 /nfs/dbraw/zinc/03/72/01/204037201.db2.gz JJNKBOMUPXGRBE-LLVKDONJSA-N -1 1 301.364 1.625 20 0 DDADMM O=C(c1ccsc1)N1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000264840472 204199469 /nfs/dbraw/zinc/19/94/69/204199469.db2.gz LAYDEVROHBFGBF-UHFFFAOYSA-N -1 1 317.370 1.447 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCc3n[nH]cc32)c1 ZINC000282696089 217182401 /nfs/dbraw/zinc/18/24/01/217182401.db2.gz FFXDXYGUSCSHSG-SNVBAGLBSA-N -1 1 315.329 1.961 20 0 DDADMM CCN(C)CCNS(=O)(=O)c1cc(C)cc(C(=O)[O-])c1F ZINC000184965104 284339553 /nfs/dbraw/zinc/33/95/53/284339553.db2.gz RYYRGQYXGCDWDN-UHFFFAOYSA-N -1 1 318.370 1.062 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282799444 217258751 /nfs/dbraw/zinc/25/87/51/217258751.db2.gz ADTGYMDAJIIZMV-LLVKDONJSA-N -1 1 302.334 1.126 20 0 DDADMM CCc1ccc([C@H](COC)[N-]S(=O)(=O)c2cn(C)cn2)o1 ZINC000266223304 205193957 /nfs/dbraw/zinc/19/39/57/205193957.db2.gz SJOXMQOQAKNZHQ-NSHDSACASA-N -1 1 313.379 1.242 20 0 DDADMM CN(C)c1cccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282923071 217326543 /nfs/dbraw/zinc/32/65/43/217326543.db2.gz NYPWCBNEIDDEKF-GFCCVEGCSA-N -1 1 315.377 1.184 20 0 DDADMM CN1CC[C@@](C)(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1=O ZINC000636281813 422777368 /nfs/dbraw/zinc/77/73/68/422777368.db2.gz AXJUKOCFNZDPRC-INIZCTEOSA-N -1 1 314.345 1.385 20 0 DDADMM CC(=O)N(C)CC(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000567008156 304192533 /nfs/dbraw/zinc/19/25/33/304192533.db2.gz PPHRHNDFJAFHNT-UHFFFAOYSA-N -1 1 308.288 1.194 20 0 DDADMM C[C@H]1C[C@H](O)CN1C(=O)c1ccc(Br)c([O-])c1 ZINC000351816679 284554234 /nfs/dbraw/zinc/55/42/34/284554234.db2.gz UGHMTQMEAISFBV-CBAPKCEASA-N -1 1 300.152 1.750 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Br)c([O-])c2)C[C@@H]1O ZINC000351859892 284591400 /nfs/dbraw/zinc/59/14/00/284591400.db2.gz PGXNPXOUUCTTIY-CPCISQLKSA-N -1 1 300.152 1.608 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCNC(=O)[C@H]1CC1CCCCC1 ZINC000333777597 249102208 /nfs/dbraw/zinc/10/22/08/249102208.db2.gz SYHNYZIUPQNRCW-OAHLLOKOSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1n[nH]cc1S(=O)(=O)[N-]c1cc(C(N)=O)ccc1Cl ZINC000040825153 183137429 /nfs/dbraw/zinc/13/74/29/183137429.db2.gz JXAZIISXKZRRAA-UHFFFAOYSA-N -1 1 314.754 1.271 20 0 DDADMM C[C@@H](c1nc(C2CC2)no1)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000336857956 249265267 /nfs/dbraw/zinc/26/52/67/249265267.db2.gz WFLGEYFYEXBBGG-QMMMGPOBSA-N -1 1 321.410 1.094 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](C)Cc1cccc(F)c1 ZINC000337137626 249361243 /nfs/dbraw/zinc/36/12/43/249361243.db2.gz FKFHRDHZGOFCDS-SECBINFHSA-N -1 1 318.352 1.456 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(Cl)cc1F ZINC000337137502 249361892 /nfs/dbraw/zinc/36/18/92/249361892.db2.gz PRRFRFAGLOJKLQ-UHFFFAOYSA-N -1 1 310.716 1.544 20 0 DDADMM O=c1cc(/C=C\c2cn3cc(Cl)ccc3n2)nc2nc[n-]n21 ZINC000352027902 284722438 /nfs/dbraw/zinc/72/24/38/284722438.db2.gz RJADYFZDRWUNID-IHWYPQMZSA-N -1 1 312.720 1.889 20 0 DDADMM Cc1ccccc1[C@H]1CN(C(=O)CCc2nn[n-]n2)CCO1 ZINC000631490648 422794942 /nfs/dbraw/zinc/79/49/42/422794942.db2.gz SMPMOPLPBOSOJT-CYBMUJFWSA-N -1 1 301.350 1.041 20 0 DDADMM CC[C@H](C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)C(=O)OC ZINC000338768911 250128723 /nfs/dbraw/zinc/12/87/23/250128723.db2.gz TZYGHRFQJAPKSN-LLVKDONJSA-N -1 1 303.318 1.920 20 0 DDADMM CN(C)c1cccc(CCC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000338845101 250160918 /nfs/dbraw/zinc/16/09/18/250160918.db2.gz WGSFRQJBBXIGPG-UHFFFAOYSA-N -1 1 300.366 1.004 20 0 DDADMM CCc1nc(CC[N-]S(=O)(=O)c2sccc2F)no1 ZINC000338890193 250183596 /nfs/dbraw/zinc/18/35/96/250183596.db2.gz NICWUTWHJIZZHY-UHFFFAOYSA-N -1 1 305.356 1.354 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@H]1C[C@@H]1c1ccccc1 ZINC000339045582 250266969 /nfs/dbraw/zinc/26/69/69/250266969.db2.gz WJGMPRZFOGZVBR-VXGBXAGGSA-N -1 1 307.375 1.478 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1C[C@@H]1c1ccccc1 ZINC000339045582 250266973 /nfs/dbraw/zinc/26/69/73/250266973.db2.gz WJGMPRZFOGZVBR-VXGBXAGGSA-N -1 1 307.375 1.478 20 0 DDADMM CCc1ccc(S(=O)(=O)N[C@@H](C)c2nnc[nH]2)cc1C(=O)[O-] ZINC000273809986 211078620 /nfs/dbraw/zinc/07/86/20/211078620.db2.gz UGNPTSLJZGUMCC-QMMMGPOBSA-N -1 1 324.362 1.105 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2cc(C(N)=O)n(C)c2)c1 ZINC000339163030 250321326 /nfs/dbraw/zinc/32/13/26/250321326.db2.gz CODHKOLTMMHVPH-UHFFFAOYSA-N -1 1 316.317 1.040 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2COc3ccccc3C2)n1 ZINC000339170388 250325895 /nfs/dbraw/zinc/32/58/95/250325895.db2.gz NKSSEZXVPIVJGD-NSHDSACASA-N -1 1 315.329 1.776 20 0 DDADMM O=S(=O)(CC[C@@H](O)c1ccccc1)c1n[n-]c(C2CC2)n1 ZINC000285066668 218277801 /nfs/dbraw/zinc/27/78/01/218277801.db2.gz LJQQWCUYGUJIQO-GFCCVEGCSA-N -1 1 307.375 1.580 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC1(CCO)CCCC1)c2=O ZINC000340753365 251194887 /nfs/dbraw/zinc/19/48/87/251194887.db2.gz PMNWEMMJPQEUBU-UHFFFAOYSA-N -1 1 304.350 1.099 20 0 DDADMM C[C@@H]1[C@H](C(=O)[O-])CCCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000567638063 304230820 /nfs/dbraw/zinc/23/08/20/304230820.db2.gz GAIOYUDLXMQZBD-MWLCHTKSSA-N -1 1 303.318 1.995 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCc1ccc(O)cc1 ZINC000269128626 207263518 /nfs/dbraw/zinc/26/35/18/207263518.db2.gz HTVLQWABTGUYCZ-UHFFFAOYSA-N -1 1 319.386 1.891 20 0 DDADMM Cc1nc2ccc(NC(=O)c3cc(=O)n4[n-]cnc4n3)cc2o1 ZINC000352421960 285039991 /nfs/dbraw/zinc/03/99/91/285039991.db2.gz GIRATAQBXKSRJS-UHFFFAOYSA-N -1 1 310.273 1.120 20 0 DDADMM O=C(NCc1ccc2ccccc2c1)c1cc(=O)n2[n-]cnc2n1 ZINC000352424575 285041244 /nfs/dbraw/zinc/04/12/44/285041244.db2.gz UCJXHTNGBSQUEN-UHFFFAOYSA-N -1 1 319.324 1.501 20 0 DDADMM CC[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1cccs1 ZINC000352431575 285045858 /nfs/dbraw/zinc/04/58/58/285045858.db2.gz LULXYSUMTKMOGD-MRVPVSSYSA-N -1 1 303.347 1.360 20 0 DDADMM C[C@H]1CN(C)c2ccccc2N(C(=O)CCc2nn[n-]n2)C1 ZINC000631504846 422802972 /nfs/dbraw/zinc/80/29/72/422802972.db2.gz HFIPEBDIZCINLL-NSHDSACASA-N -1 1 300.366 1.251 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(-c2nc[nH]n2)cc1)C1CC1 ZINC000567870439 304249426 /nfs/dbraw/zinc/24/94/26/304249426.db2.gz AGPYVISNSVOFPI-ZDUSSCGKSA-N -1 1 322.390 1.638 20 0 DDADMM COC(=O)[C@@H]1CSCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000412961315 533032402 /nfs/dbraw/zinc/03/24/02/533032402.db2.gz GBRHGXDYTQQXKB-VIFPVBQESA-N -1 1 313.350 1.510 20 0 DDADMM CO[C@@H](CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O)C1CC1 ZINC000294635011 224133191 /nfs/dbraw/zinc/13/31/91/224133191.db2.gz QRIPTQZIXALHIJ-NSHDSACASA-N -1 1 307.394 1.943 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)c2ccccc2F)s1 ZINC000445697275 533088680 /nfs/dbraw/zinc/08/86/80/533088680.db2.gz OMTBCWWVRUCHHM-UHFFFAOYSA-N -1 1 319.408 1.878 20 0 DDADMM CCc1cnccc1CNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000352581323 285155479 /nfs/dbraw/zinc/15/54/79/285155479.db2.gz UDEDVLQWOODMQA-UHFFFAOYSA-N -1 1 323.374 1.075 20 0 DDADMM O=C([O-])c1ccc(N2CCN(CCc3ccccc3)CC2)nn1 ZINC000567980662 304258335 /nfs/dbraw/zinc/25/83/35/304258335.db2.gz IVKYCUDSKQEDGN-UHFFFAOYSA-N -1 1 312.373 1.540 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCc3ccccc3C2)co1 ZINC000157205287 197169856 /nfs/dbraw/zinc/16/98/56/197169856.db2.gz WAMDVRMXUKNJCT-UHFFFAOYSA-N -1 1 320.370 1.386 20 0 DDADMM CCOCCC1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000156801237 197141639 /nfs/dbraw/zinc/14/16/39/197141639.db2.gz RWBJJBMCBWHJAS-UHFFFAOYSA-N -1 1 321.830 1.559 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cc(O)c(F)cc1F ZINC000414390580 533145497 /nfs/dbraw/zinc/14/54/97/533145497.db2.gz ACMUBIHSHOXSMW-UHFFFAOYSA-N -1 1 323.317 1.611 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)c2cc(F)c[nH]2)C1)C(F)(F)F ZINC000294745428 224208082 /nfs/dbraw/zinc/20/80/82/224208082.db2.gz POHZTRRIHJRSCB-MRVPVSSYSA-N -1 1 321.274 1.685 20 0 DDADMM C[C@]1([N-]S(=O)(=O)Cc2c(F)cccc2F)CCOC1=O ZINC000289465617 220993836 /nfs/dbraw/zinc/99/38/36/220993836.db2.gz GXTNQNDNCJFJGR-LBPRGKRZSA-N -1 1 305.302 1.090 20 0 DDADMM O=C(c1cccc2cccnc21)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000289548561 221059233 /nfs/dbraw/zinc/05/92/33/221059233.db2.gz GATXNZGVUXESDU-GFCCVEGCSA-N -1 1 323.356 1.666 20 0 DDADMM O=C(NC1(CO)C2CC3CC(C2)CC1C3)c1cncc([O-])c1 ZINC000413955044 224281138 /nfs/dbraw/zinc/28/11/38/224281138.db2.gz POVJVOYVZRNSNF-UHFFFAOYSA-N -1 1 302.374 1.704 20 0 DDADMM COC1CCC(NC(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000414404913 224334099 /nfs/dbraw/zinc/33/40/99/224334099.db2.gz TWZXACISRVFNPA-UHFFFAOYSA-N -1 1 301.346 1.611 20 0 DDADMM COc1ccc(CNC(=O)CSc2ncc(C)c(=O)[n-]2)cc1 ZINC000568271777 304282911 /nfs/dbraw/zinc/28/29/11/304282911.db2.gz IVVMXOUHJVGHTH-UHFFFAOYSA-N -1 1 319.386 1.908 20 0 DDADMM CS(=O)(=O)C1CN(C(=O)c2ccc3ccccc3c2[O-])C1 ZINC000456952692 533320030 /nfs/dbraw/zinc/32/00/30/533320030.db2.gz LKKCCSRYRNSGEE-UHFFFAOYSA-N -1 1 305.355 1.414 20 0 DDADMM CNC(=O)[C@@H]([N-]S(=O)(=O)c1cc2ccccc2o1)C(C)C ZINC000568344905 304286277 /nfs/dbraw/zinc/28/62/77/304286277.db2.gz ZKLAOHITKAAVMP-ZDUSSCGKSA-N -1 1 310.375 1.482 20 0 DDADMM C[C@@H](CC[N-]S(=O)(=O)c1sccc1Cl)[S@](C)=O ZINC000451320394 533609835 /nfs/dbraw/zinc/60/98/35/533609835.db2.gz ADIHPVGIFREURR-GYKQLYQFSA-N -1 1 315.869 1.837 20 0 DDADMM CN1CCC[C@@H](Nc2nc3[nH][n-]cc-3c(=O)n2)c2ccccc21 ZINC000568474393 304294894 /nfs/dbraw/zinc/29/48/94/304294894.db2.gz DAJWKRMFUOLBMH-GFCCVEGCSA-N -1 1 310.361 1.815 20 0 DDADMM O=C(NCC1(C(F)(F)F)CC1)c1nc2ccccc2c(=O)[n-]1 ZINC000353318998 285659914 /nfs/dbraw/zinc/65/99/14/285659914.db2.gz ATSZEXPMHHSPAG-UHFFFAOYSA-N -1 1 311.263 1.995 20 0 DDADMM CN(C)C(=O)CC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000569115238 304337030 /nfs/dbraw/zinc/33/70/30/304337030.db2.gz WBRZLWJDFCVRFF-UHFFFAOYSA-N -1 1 313.404 1.053 20 0 DDADMM COC(=O)c1c(F)cccc1S(=O)(=O)[N-]C1(CF)CCC1 ZINC000569841003 304381906 /nfs/dbraw/zinc/38/19/06/304381906.db2.gz MNSWARMYPREGOK-UHFFFAOYSA-N -1 1 319.329 1.783 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCCC23CC3)co1 ZINC000629833345 422829905 /nfs/dbraw/zinc/82/99/05/422829905.db2.gz SKKUZVKCOHUWBZ-NSHDSACASA-N -1 1 312.391 1.498 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1ccncc1 ZINC000078776144 407046053 /nfs/dbraw/zinc/04/60/53/407046053.db2.gz HPMNJZVHUCHFCW-UHFFFAOYSA-N -1 1 300.362 1.701 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@@H](C)C1 ZINC000037500709 406990477 /nfs/dbraw/zinc/99/04/77/406990477.db2.gz FHGWGXAWXHQYQV-NXEZZACHSA-N -1 1 315.395 1.443 20 0 DDADMM NC(=O)c1ccc(F)c([N-]S(=O)(=O)c2ccccc2F)c1 ZINC000037991011 406996289 /nfs/dbraw/zinc/99/62/89/406996289.db2.gz LQLXGJKHUACICO-UHFFFAOYSA-N -1 1 312.297 1.865 20 0 DDADMM CC(C)N(Cc1ccccc1)C(=O)CS(=O)(=O)c1nc[n-]n1 ZINC000086169625 407109556 /nfs/dbraw/zinc/10/95/56/407109556.db2.gz DNDYZCYUSTXWBR-UHFFFAOYSA-N -1 1 322.390 1.016 20 0 DDADMM O=c1[n-]c(CSc2nc(-c3cc(F)cc(F)c3)n[nH]2)n[nH]1 ZINC000092870356 407193148 /nfs/dbraw/zinc/19/31/48/407193148.db2.gz QGMFCUTWOSUAQA-UHFFFAOYSA-N -1 1 310.289 1.454 20 0 DDADMM Cc1ccc(C(=O)NCc2n[n-]c(=S)n2C(C)C)c(=O)[nH]1 ZINC000066640004 407256422 /nfs/dbraw/zinc/25/64/22/407256422.db2.gz JOPRSZFATBABIN-UHFFFAOYSA-N -1 1 307.379 1.448 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(CC(N)=O)cc2)cc1F ZINC000055586167 407204797 /nfs/dbraw/zinc/20/47/97/407204797.db2.gz NIHFFIUTVVLMKF-UHFFFAOYSA-N -1 1 322.361 1.963 20 0 DDADMM CCCN(C)S(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000102932789 407328875 /nfs/dbraw/zinc/32/88/75/407328875.db2.gz UAZVFWXTXPESQC-UHFFFAOYSA-N -1 1 324.399 1.228 20 0 DDADMM Cn1cc(CC[N-]S(=O)(=O)c2cccc(F)c2F)cn1 ZINC000101572675 407311921 /nfs/dbraw/zinc/31/19/21/407311921.db2.gz DDYPPZIATGCYRZ-UHFFFAOYSA-N -1 1 301.318 1.219 20 0 DDADMM CS(=O)(=O)Nc1cccc(NC(=O)c2cncc([O-])c2)c1 ZINC000111776020 407416403 /nfs/dbraw/zinc/41/64/03/407416403.db2.gz KIIUWCCFAMTTJF-UHFFFAOYSA-N -1 1 307.331 1.411 20 0 DDADMM CC[C@H](C)[C@@](C)(O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000112385810 407428115 /nfs/dbraw/zinc/42/81/15/407428115.db2.gz GEKBNWJDZBXOCV-QFYYESIMSA-N -1 1 303.362 1.450 20 0 DDADMM CC(C)(C)C(=O)NC[C@@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000112727138 407437577 /nfs/dbraw/zinc/43/75/77/407437577.db2.gz KFJGBFGVNWCEGG-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000178745104 407578483 /nfs/dbraw/zinc/57/84/83/407578483.db2.gz MZKINMOPYZDFPS-LBPRGKRZSA-N -1 1 311.325 1.789 20 0 DDADMM O=C(N[C@@H]1CCCN(c2ccccc2)C1=O)c1cncc([O-])c1 ZINC000114558668 407588080 /nfs/dbraw/zinc/58/80/80/407588080.db2.gz NVGBFLFYEHYTGN-OAHLLOKOSA-N -1 1 311.341 1.713 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cn(-c2ccccc2C(F)(F)F)nn1 ZINC000170852282 407530908 /nfs/dbraw/zinc/53/09/08/407530908.db2.gz UMUVPBQMPDGYAM-UHFFFAOYSA-N -1 1 324.226 1.052 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](OC)C(C)C)o1 ZINC000266703157 407605610 /nfs/dbraw/zinc/60/56/10/407605610.db2.gz FTLVKGBQKUKKEK-JTQLQIEISA-N -1 1 305.352 1.016 20 0 DDADMM O=C(NC[C@@H]1CCC(=O)N1)c1cc(Br)ccc1[O-] ZINC000227111013 407627299 /nfs/dbraw/zinc/62/72/99/407627299.db2.gz GIEWGGNFNZVHST-QMMMGPOBSA-N -1 1 313.151 1.163 20 0 DDADMM COCCOc1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC000171252287 407640385 /nfs/dbraw/zinc/64/03/85/407640385.db2.gz HPGRZJRRGXHBAM-UHFFFAOYSA-N -1 1 302.330 1.742 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1cnn(C)c1 ZINC000266951172 407688994 /nfs/dbraw/zinc/68/89/94/407688994.db2.gz FDXCXTUTRKOLGN-UHFFFAOYSA-N -1 1 303.366 1.452 20 0 DDADMM CCOc1cc(C(=O)NCCCC(N)=O)cc(Cl)c1[O-] ZINC000186613557 407718072 /nfs/dbraw/zinc/71/80/72/407718072.db2.gz PSLCTZTWPNZVIE-UHFFFAOYSA-N -1 1 300.742 1.440 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2nc(-c3ccccc3)no2)n[n-]1 ZINC000153117535 407755467 /nfs/dbraw/zinc/75/54/67/407755467.db2.gz LFZSZHGGUKBOMW-UHFFFAOYSA-N -1 1 319.346 1.391 20 0 DDADMM O=C(NCc1cccnn1)c1cc(Br)ccc1[O-] ZINC000236609860 407732687 /nfs/dbraw/zinc/73/26/87/407732687.db2.gz FFVMSJHFRXLXML-UHFFFAOYSA-N -1 1 308.135 1.875 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]c2ccc(=O)[nH]n2)cc1F ZINC000186696608 407746721 /nfs/dbraw/zinc/74/67/21/407746721.db2.gz GNHOMFYMQNKEAQ-UHFFFAOYSA-N -1 1 301.274 1.570 20 0 DDADMM Cn1nc(C(C)(C)C)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000132683821 407797794 /nfs/dbraw/zinc/79/77/94/407797794.db2.gz UADCVHFFWMAOQT-JTQLQIEISA-N -1 1 317.397 1.251 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCCSC2)o1 ZINC000267226407 407799304 /nfs/dbraw/zinc/79/93/04/407799304.db2.gz USSNTVHOGFYEMV-MRVPVSSYSA-N -1 1 305.377 1.240 20 0 DDADMM COC(=O)[C@@H]1COCCN1C(=O)c1cc2ccccc2cc1[O-] ZINC000267794440 407853021 /nfs/dbraw/zinc/85/30/21/407853021.db2.gz WYPOWBGWJJQQJN-AWEZNQCLSA-N -1 1 315.325 1.559 20 0 DDADMM O=C(NN1CCCNC1=O)c1cc(Br)ccc1[O-] ZINC000272538683 407972960 /nfs/dbraw/zinc/97/29/60/407972960.db2.gz GOXOUFJNFFSNRU-UHFFFAOYSA-N -1 1 314.139 1.215 20 0 DDADMM CC(=O)NC[C@H]1CCC[N@@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000119364321 408052046 /nfs/dbraw/zinc/05/20/46/408052046.db2.gz HLQHUJQZSQADOB-MRXNPFEDSA-N -1 1 319.405 1.083 20 0 DDADMM CC(=O)NC[C@H]1CCCN(CC(=O)[N-]OCc2ccccc2)C1 ZINC000119364321 408052051 /nfs/dbraw/zinc/05/20/51/408052051.db2.gz HLQHUJQZSQADOB-MRXNPFEDSA-N -1 1 319.405 1.083 20 0 DDADMM COc1cc(C2(C(=O)Nc3nnn[n-]3)CCCC2)ccc1C ZINC000135468845 408018167 /nfs/dbraw/zinc/01/81/67/408018167.db2.gz NRBNEUNDWDBECY-UHFFFAOYSA-N -1 1 301.350 1.967 20 0 DDADMM COc1cc(C2(C(=O)Nc3nn[n-]n3)CCCC2)ccc1C ZINC000135468845 408018173 /nfs/dbraw/zinc/01/81/73/408018173.db2.gz NRBNEUNDWDBECY-UHFFFAOYSA-N -1 1 301.350 1.967 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cccc(F)c2C)co1 ZINC000154578638 408054871 /nfs/dbraw/zinc/05/48/71/408054871.db2.gz LGAVOQJKGGOHFZ-UHFFFAOYSA-N -1 1 312.322 1.888 20 0 DDADMM CN(C[C@@H]1CCCC[C@H]1O)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000182361131 408136240 /nfs/dbraw/zinc/13/62/40/408136240.db2.gz AOSPOCQHAOCPSP-GXFFZTMASA-N -1 1 318.377 1.297 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCCNC(=O)CN1CC[C@@H](C(=O)[O-])C1 ZINC000246162987 408160524 /nfs/dbraw/zinc/16/05/24/408160524.db2.gz AKQLJLRPYFKAQR-MGPQQGTHSA-N -1 1 312.410 1.104 20 0 DDADMM O=C(Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)c1ccccc1[O-] ZINC000156087346 408237906 /nfs/dbraw/zinc/23/79/06/408237906.db2.gz UBYXJIGUSWEHBA-LBPRGKRZSA-N -1 1 324.336 1.850 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(C(C)(C)C)nn1C)c1nn[n-]n1 ZINC000273553002 408244387 /nfs/dbraw/zinc/24/43/87/408244387.db2.gz VYBLLGYCUQSKDX-VIFPVBQESA-N -1 1 305.386 1.106 20 0 DDADMM Cc1cc(C(=O)[O-])c(F)c(S(=O)(=O)Nc2cnn(C)c2)c1 ZINC000156294520 408249236 /nfs/dbraw/zinc/24/92/36/408249236.db2.gz IXSQYEPDIJURML-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM Cc1cc(=O)n(C)cc1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000175966110 408251949 /nfs/dbraw/zinc/25/19/49/408251949.db2.gz SPPIDRZBOXKACQ-UHFFFAOYSA-N -1 1 314.313 1.773 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1CC[C@@H](C)C1 ZINC000263682051 408203520 /nfs/dbraw/zinc/20/35/20/408203520.db2.gz HEAXMOULUXPLER-LLVKDONJSA-N -1 1 312.373 1.964 20 0 DDADMM CCC1(CNC(=O)c2ccc(S(=O)(=O)[N-]C)o2)CCC1 ZINC000175808139 408211340 /nfs/dbraw/zinc/21/13/40/408211340.db2.gz NRSRTMGTZQKOCS-UHFFFAOYSA-N -1 1 300.380 1.498 20 0 DDADMM C[C@H](CO[C@H]1CCOC1)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000150923478 408226333 /nfs/dbraw/zinc/22/63/33/408226333.db2.gz BXXCAKONXDCJGK-KOLCDFICSA-N -1 1 321.345 1.437 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)o1 ZINC000151419650 408307850 /nfs/dbraw/zinc/30/78/50/408307850.db2.gz RRGAUHIXKILMQQ-UHFFFAOYSA-N -1 1 313.335 1.597 20 0 DDADMM COc1ccc(OC)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183432769 408411572 /nfs/dbraw/zinc/41/15/72/408411572.db2.gz ONXKUMJVCHBRCA-SECBINFHSA-N -1 1 305.338 1.093 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(=O)OC)cc1)c1nn[n-]n1 ZINC000176777723 408441581 /nfs/dbraw/zinc/44/15/81/408441581.db2.gz IXBCZHVPOZTZGG-NSHDSACASA-N -1 1 303.322 1.258 20 0 DDADMM O=C(Nc1nc(-c2ccccn2)n[nH]1)[C@@H]1CSCCS1 ZINC000177018864 408497869 /nfs/dbraw/zinc/49/78/69/408497869.db2.gz CSAXWMFUGDDDLX-VIFPVBQESA-N -1 1 307.404 1.654 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1ccc2[nH]ccc2c1)c1nn[n-]n1 ZINC000274727545 408512371 /nfs/dbraw/zinc/51/23/71/408512371.db2.gz BVWJZTNADSYRSN-DUMNWFOQSA-N -1 1 310.361 1.956 20 0 DDADMM CCCNC(=O)[C@@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000177129235 408527549 /nfs/dbraw/zinc/52/75/49/408527549.db2.gz FKCWTYZQORYQDB-LLVKDONJSA-N -1 1 308.353 1.910 20 0 DDADMM C[C@H]1CN(C(=O)c2cc3ccccc3cc2[O-])C[C@H](CO)O1 ZINC000191722020 408477491 /nfs/dbraw/zinc/47/74/91/408477491.db2.gz KSZAFJAAPWBMBT-SMDDNHRTSA-N -1 1 301.342 1.767 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000264828622 408547036 /nfs/dbraw/zinc/54/70/36/408547036.db2.gz IRNDJCRNZFOMMK-LBPRGKRZSA-N -1 1 321.377 1.917 20 0 DDADMM COc1ccc(C(=O)[O-])cc1NS(=O)(=O)[C@H]1CCCOC1 ZINC000274933932 408567776 /nfs/dbraw/zinc/56/77/76/408567776.db2.gz POKTVUDWQSOXGS-JTQLQIEISA-N -1 1 315.347 1.314 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@H](O)c1cccc(F)c1)c1nn[n-]n1 ZINC000274812125 408539532 /nfs/dbraw/zinc/53/95/32/408539532.db2.gz VOPOSPKMPUHVLR-CABZTGNLSA-N -1 1 307.329 1.024 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(C)noc1C)[C@@H](O)C(F)(F)F ZINC000270861278 408713755 /nfs/dbraw/zinc/71/37/55/408713755.db2.gz QZHSQYUSKDABNY-VXNVDRBHSA-N -1 1 316.301 1.272 20 0 DDADMM Cn1nc2c(cc1=O)CN(C(=O)c1ccc(Cl)cc1[O-])CC2 ZINC000177829170 408724266 /nfs/dbraw/zinc/72/42/66/408724266.db2.gz GROCWEPYHLYLBW-UHFFFAOYSA-N -1 1 319.748 1.338 20 0 DDADMM CCC[C@@H](NCC(=O)NCc1cccc(COC)c1)C(=O)[O-] ZINC000185037893 408742959 /nfs/dbraw/zinc/74/29/59/408742959.db2.gz XIIUKYLJGTXFRQ-CQSZACIVSA-N -1 1 308.378 1.292 20 0 DDADMM CCc1nn(C)c(CC)c1CC(=O)Nc1nc(SC)n[nH]1 ZINC000276566348 408884361 /nfs/dbraw/zinc/88/43/61/408884361.db2.gz DKZKCADBYJZTHR-UHFFFAOYSA-N -1 1 308.411 1.566 20 0 DDADMM CCCc1nsc([N-]c2cnn(CC(=O)NC3CC3)c2)n1 ZINC000290889508 408849816 /nfs/dbraw/zinc/84/98/16/408849816.db2.gz CUFMYFIHXQSJNV-UHFFFAOYSA-N -1 1 306.395 1.709 20 0 DDADMM CN(C)c1noc(C[N-]S(=O)(=O)c2cccc(Cl)c2)n1 ZINC000188058935 163039053 /nfs/dbraw/zinc/03/90/53/163039053.db2.gz FPQIXLBWIKFVFJ-UHFFFAOYSA-N -1 1 316.770 1.268 20 0 DDADMM C[C@@H]1CCNC(=O)[C@H]1[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000281754500 408925081 /nfs/dbraw/zinc/92/50/81/408925081.db2.gz JEPLTDKVJUZWIG-HQJQHLMTSA-N -1 1 318.270 1.697 20 0 DDADMM CCOC(=O)CCNC(=O)c1ccc(Br)c([O-])c1 ZINC000228316856 163342069 /nfs/dbraw/zinc/34/20/69/163342069.db2.gz KTXYHCVHMLWAFF-UHFFFAOYSA-N -1 1 316.151 1.838 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(=O)OCC)cc1)c1nn[n-]n1 ZINC000292057979 408971079 /nfs/dbraw/zinc/97/10/79/408971079.db2.gz ZBOKBNQVKCAUHJ-LBPRGKRZSA-N -1 1 317.349 1.648 20 0 DDADMM CCCCOCCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000282851842 409067343 /nfs/dbraw/zinc/06/73/43/409067343.db2.gz BXMADTUVHQRFJQ-UHFFFAOYSA-N -1 1 308.407 1.955 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C2/CC[C@@H](C)C2)c1 ZINC000292244974 409008632 /nfs/dbraw/zinc/00/86/32/409008632.db2.gz OGAOYLZSYCXLMI-UBYNWHESSA-N -1 1 324.402 1.985 20 0 DDADMM COc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1OC(C)C ZINC000277929673 409036430 /nfs/dbraw/zinc/03/64/30/409036430.db2.gz AVJPHYHQHRSFHO-UHFFFAOYSA-N -1 1 322.321 1.071 20 0 DDADMM COc1ccc2c(c1)[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)CC2 ZINC000278129927 409070440 /nfs/dbraw/zinc/07/04/40/409070440.db2.gz OANPYMQMQLHJSG-GXFFZTMASA-N -1 1 315.377 1.500 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H]3CCC[C@H]3CCO)ccnc1-2 ZINC000287662286 409072129 /nfs/dbraw/zinc/07/21/29/409072129.db2.gz PSOMRUVZMGUVET-XUEKJZSSSA-N -1 1 303.366 1.014 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283042840 409075382 /nfs/dbraw/zinc/07/53/82/409075382.db2.gz NPABTVYXYGQPKF-DTWKUNHWSA-N -1 1 321.406 1.051 20 0 DDADMM O=C(C(=O)N1CCC[C@H](Cn2ccnn2)C1)c1ccc([O-])cc1 ZINC000287880502 409108679 /nfs/dbraw/zinc/10/86/79/409108679.db2.gz ZZQXGPSGQOUHEN-LBPRGKRZSA-N -1 1 314.345 1.105 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(NC(C)C)nc1)c1nn[n-]n1 ZINC000279024985 409133818 /nfs/dbraw/zinc/13/38/18/409133818.db2.gz IXDVLGIUNDTDCF-NSHDSACASA-N -1 1 303.370 1.686 20 0 DDADMM CC[C@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@@H](O)C(F)(F)F ZINC000288426032 409166235 /nfs/dbraw/zinc/16/62/35/409166235.db2.gz KINMGSMRNWPYPD-GXSJLCMTSA-N -1 1 305.252 1.393 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3[C@H]4CCO[C@@H]4C3(C)C)ccnc1-2 ZINC000279266975 409182141 /nfs/dbraw/zinc/18/21/41/409182141.db2.gz JDAFQJKSDOSLHL-MDCHHTTRSA-N -1 1 315.377 1.277 20 0 DDADMM CN(CCF)C(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000293985561 409220292 /nfs/dbraw/zinc/22/02/92/409220292.db2.gz VXZRWRBMUIXGGI-UHFFFAOYSA-N -1 1 305.309 1.098 20 0 DDADMM O=S(=O)([N-]CCCCCO)c1c(Cl)ccnc1Cl ZINC000289158985 409226717 /nfs/dbraw/zinc/22/67/17/409226717.db2.gz LLUMHNMHEPQEQH-UHFFFAOYSA-N -1 1 313.206 1.829 20 0 DDADMM CC(C)Cn1ncnc1CN1CCCC[C@@H]1c1nc(=O)[n-][nH]1 ZINC000289370425 409256232 /nfs/dbraw/zinc/25/62/32/409256232.db2.gz PALRILZWCFVPJQ-LLVKDONJSA-N -1 1 305.386 1.073 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](CO)COC)cc(Cl)c1[O-] ZINC000280193401 409267366 /nfs/dbraw/zinc/26/73/66/409267366.db2.gz JIAAANPPDWTEQS-VIFPVBQESA-N -1 1 303.742 1.181 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncsc1C(F)(F)F)c1nn[n-]n1 ZINC000280195323 409267558 /nfs/dbraw/zinc/26/75/58/409267558.db2.gz DZMFOJQJQHEKNU-RXMQYKEDSA-N -1 1 320.300 1.551 20 0 DDADMM CC[C@H](C)[C@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)OC ZINC000294294868 409273868 /nfs/dbraw/zinc/27/38/68/409273868.db2.gz ULZAXYLUKJWRNC-IUCAKERBSA-N -1 1 309.819 1.413 20 0 DDADMM O=S(=O)([N-]Cc1nnc(C2CC2)[nH]1)c1cc(F)ccc1F ZINC000295238472 409392279 /nfs/dbraw/zinc/39/22/79/409392279.db2.gz JEKWEJVQYNVZFF-UHFFFAOYSA-N -1 1 314.317 1.439 20 0 DDADMM C[C@@H](CC(C)(C)O)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000295554276 409344312 /nfs/dbraw/zinc/34/43/12/409344312.db2.gz SNWSJVQMIPLXQJ-ZETCQYMHSA-N -1 1 311.325 1.932 20 0 DDADMM CCOC(=O)CC[C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295568327 409351725 /nfs/dbraw/zinc/35/17/25/409351725.db2.gz QWPXUOFYWSDPGZ-VIFPVBQESA-N -1 1 322.390 1.480 20 0 DDADMM COC(=O)CCCO[N-]C(=O)c1c[nH]c2ccc(C)cc2c1=O ZINC000290487229 409419016 /nfs/dbraw/zinc/41/90/16/409419016.db2.gz DMDGTTIAMKFTEC-UHFFFAOYSA-N -1 1 318.329 1.863 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])CN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000263395874 163961322 /nfs/dbraw/zinc/96/13/22/163961322.db2.gz ZIDYWHKWIMABJU-GWCFXTLKSA-N -1 1 314.345 1.655 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCOC[C@@H]1[C@@H]1CCCCC1=O ZINC000285398171 409430149 /nfs/dbraw/zinc/43/01/49/409430149.db2.gz VMIJBTPYTBBYHF-UONOGXRCSA-N -1 1 304.346 1.388 20 0 DDADMM COC(=O)c1coc(CN2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000295344282 409444023 /nfs/dbraw/zinc/44/40/23/409444023.db2.gz NICJZSZMBWFAQL-VIFPVBQESA-N -1 1 307.306 1.122 20 0 DDADMM CN1C[C@H](NC(=O)c2ccc(Br)c([O-])c2)CC1=O ZINC000311119142 164007531 /nfs/dbraw/zinc/00/75/31/164007531.db2.gz GSSRCOSYGMZDLP-MRVPVSSYSA-N -1 1 313.151 1.115 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C[C@@H]1O ZINC000408021541 164201181 /nfs/dbraw/zinc/20/11/81/164201181.db2.gz QSSHBXXUXKLLMD-MNOVXSKESA-N -1 1 307.394 1.631 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2[C@@H]2CCC[C@@H]2O)c([O-])c1 ZINC000408061933 164213331 /nfs/dbraw/zinc/21/33/31/164213331.db2.gz GKOMWEGVWZWOPH-XQQFMLRXSA-N -1 1 306.362 1.098 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCCC[C@@H]2C)o1 ZINC000408166455 164249381 /nfs/dbraw/zinc/24/93/81/164249381.db2.gz YPJXMYSGWJHGOM-JTQLQIEISA-N -1 1 300.380 1.592 20 0 DDADMM CCc1nsc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000290675986 409483927 /nfs/dbraw/zinc/48/39/27/409483927.db2.gz KXIFGGZDECUREY-QMMMGPOBSA-N -1 1 322.356 1.995 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Br)o1)[C@@H]1CCCO1 ZINC000408215981 164263244 /nfs/dbraw/zinc/26/32/44/164263244.db2.gz RYLXLFDHZOUCMS-SFYZADRCSA-N -1 1 324.196 1.888 20 0 DDADMM CCO[C@H]1C[C@H](O)C12CCN(C(=O)c1ncc(C)cc1[O-])CC2 ZINC000408228893 164268279 /nfs/dbraw/zinc/26/82/79/164268279.db2.gz KNXSHMOPLJHNHD-KBPBESRZSA-N -1 1 320.389 1.488 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(O)c1 ZINC000408405678 164321286 /nfs/dbraw/zinc/32/12/86/164321286.db2.gz FBASWSANZOEFSU-SNVBAGLBSA-N -1 1 303.318 1.809 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Br)cc2[O-])CC(=O)N1 ZINC000296372424 409514213 /nfs/dbraw/zinc/51/42/13/409514213.db2.gz VWIZTUMAZSQUEF-ZETCQYMHSA-N -1 1 313.151 1.115 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCc1cc(F)ccc1F ZINC000337920549 409569270 /nfs/dbraw/zinc/56/92/70/409569270.db2.gz YPCZWFBIIRYRAA-UHFFFAOYSA-N -1 1 321.283 1.112 20 0 DDADMM C[C@@H]1CCC[C@@H](C)C1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337897353 409550423 /nfs/dbraw/zinc/55/04/23/409550423.db2.gz FEYJFNRWILYQMG-GHMZBOCLSA-N -1 1 305.378 1.458 20 0 DDADMM CSc1n[nH]c(NC(=O)c2cccc(-c3n[nH]c(C)n3)c2)n1 ZINC000354012694 409602242 /nfs/dbraw/zinc/60/22/42/409602242.db2.gz ANJPORIWZPBERF-UHFFFAOYSA-N -1 1 315.362 1.872 20 0 DDADMM CCNC(=O)NC(=O)[C@@H](C)Sc1nc(C2CC2)cc(=O)[n-]1 ZINC000331537977 409615536 /nfs/dbraw/zinc/61/55/36/409615536.db2.gz VCOSDRSMXQIGMI-SSDOTTSWSA-N -1 1 310.379 1.386 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc([C@H]2CCCOC2)cc1 ZINC000357064458 409832767 /nfs/dbraw/zinc/83/27/67/409832767.db2.gz BSODDPIVZIFZJL-ZDUSSCGKSA-N -1 1 313.361 1.513 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1CC12CCCCC2 ZINC000342670746 409802487 /nfs/dbraw/zinc/80/24/87/409802487.db2.gz TXNQVHXFPPOEAX-LBPRGKRZSA-N -1 1 305.378 1.928 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CC2)oc2c1C(=O)CCC2 ZINC000357097002 409870808 /nfs/dbraw/zinc/87/08/08/409870808.db2.gz OOTRRSVBXAFXOP-UHFFFAOYSA-N -1 1 301.306 1.039 20 0 DDADMM Cc1cncc(CCC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332196124 409967793 /nfs/dbraw/zinc/96/77/93/409967793.db2.gz VERCKJPZCNCPHT-CYBMUJFWSA-N -1 1 316.361 1.817 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)C1CCC(C(F)(F)F)CC1 ZINC000297870276 410031464 /nfs/dbraw/zinc/03/14/64/410031464.db2.gz ZLAUYOMZBBKNCH-UHFFFAOYSA-N -1 1 319.287 1.472 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2sccc2C2CC2)n1 ZINC000354730729 410047608 /nfs/dbraw/zinc/04/76/08/410047608.db2.gz QCJBNYJJCWBUHC-UHFFFAOYSA-N -1 1 312.376 1.399 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2sccc2C2CC2)[n-]1 ZINC000354730729 410047614 /nfs/dbraw/zinc/04/76/14/410047614.db2.gz QCJBNYJJCWBUHC-UHFFFAOYSA-N -1 1 312.376 1.399 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)CCCOc1ccc(F)cc1 ZINC000297786366 409999665 /nfs/dbraw/zinc/99/96/65/409999665.db2.gz RBLYFRXOZJAODS-UHFFFAOYSA-N -1 1 321.312 1.101 20 0 DDADMM COc1ccc(=NC(=O)N2CCCC[C@@H]2c2n[nH]c(C)n2)[n-]n1 ZINC000346646157 410054320 /nfs/dbraw/zinc/05/43/20/410054320.db2.gz BKABCEATKVXGQW-SNVBAGLBSA-N -1 1 317.353 1.093 20 0 DDADMM CN(C)c1cccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332311164 410058523 /nfs/dbraw/zinc/05/85/23/410058523.db2.gz LXXLSOMXJGMUOD-LBPRGKRZSA-N -1 1 316.361 1.861 20 0 DDADMM CC[C@H](C)[C@](C)(O)CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000354774119 410076359 /nfs/dbraw/zinc/07/63/59/410076359.db2.gz OUOGAXNJWYXDKQ-RMLUDKJBSA-N -1 1 313.423 1.739 20 0 DDADMM CC(C)[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1cccnc1 ZINC000579912181 422853230 /nfs/dbraw/zinc/85/32/30/422853230.db2.gz URXXRFPEQSITJA-YPMHNXCESA-N -1 1 302.382 1.596 20 0 DDADMM COc1cccc([C@@H]2C[C@H]2C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000354777269 410079948 /nfs/dbraw/zinc/07/99/48/410079948.db2.gz FDSLEDNEQXRLLL-NWDGAFQWSA-N -1 1 301.350 1.363 20 0 DDADMM COc1ccc(C)cc1CN1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332429999 410153108 /nfs/dbraw/zinc/15/31/08/410153108.db2.gz DPPYQCKRISZPJH-UHFFFAOYSA-N -1 1 319.434 1.590 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1ccccc1OC(F)F ZINC000635167585 422854485 /nfs/dbraw/zinc/85/44/85/422854485.db2.gz LNOBWFZRTAKFQL-UHFFFAOYSA-N -1 1 311.292 1.440 20 0 DDADMM CC(C)(NC(=O)[C@@H]1SCCc2sccc21)c1nn[n-]n1 ZINC000354793506 410092590 /nfs/dbraw/zinc/09/25/90/410092590.db2.gz QUTKPKLRATVAQP-SECBINFHSA-N -1 1 309.420 1.643 20 0 DDADMM CCOc1ncccc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332362732 410098319 /nfs/dbraw/zinc/09/83/19/410098319.db2.gz STWDYZKMUTWTJJ-JTQLQIEISA-N -1 1 318.333 1.589 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCCN(c3cnn(C)c3)C2)c([O-])c1 ZINC000332389546 410119708 /nfs/dbraw/zinc/11/97/08/410119708.db2.gz HQMGJJZTXXTCHI-GFCCVEGCSA-N -1 1 315.377 1.228 20 0 DDADMM CCN(C)C(=O)[C@@H](NCc1nc(=O)c2sccc2[n-]1)C(C)C ZINC000298115939 410121324 /nfs/dbraw/zinc/12/13/24/410121324.db2.gz JWKREKJOLWXZCE-LBPRGKRZSA-N -1 1 322.434 1.989 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1cccnc1 ZINC000332409192 410134181 /nfs/dbraw/zinc/13/41/81/410134181.db2.gz QFJWHNYKUPTKBW-CMPLNLGQSA-N -1 1 302.334 1.680 20 0 DDADMM COc1cccc(C(F)(F)CNC(=O)c2cncc([O-])c2)n1 ZINC000343163954 410200201 /nfs/dbraw/zinc/20/02/01/410200201.db2.gz GWRVDPMHEAWQAH-UHFFFAOYSA-N -1 1 309.272 1.713 20 0 DDADMM CCCCCN1CC(=O)N[C@H]1c1n[nH]c2cc(C(=O)[O-])ccc21 ZINC000355399546 410494125 /nfs/dbraw/zinc/49/41/25/410494125.db2.gz QDQHUSPLDIIWIL-OAHLLOKOSA-N -1 1 316.361 1.882 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCC(=O)OC(C)(C)C)[n-]1 ZINC000352164315 410519270 /nfs/dbraw/zinc/51/92/70/410519270.db2.gz PLOHUUFLCUZHDW-UHFFFAOYSA-N -1 1 311.338 1.647 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCC(=O)OC(C)(C)C)n1 ZINC000352164315 410519275 /nfs/dbraw/zinc/51/92/75/410519275.db2.gz PLOHUUFLCUZHDW-UHFFFAOYSA-N -1 1 311.338 1.647 20 0 DDADMM CCc1nc(NC(=O)CNC(=O)c2ncccc2[O-])sc1C ZINC000339970757 410585447 /nfs/dbraw/zinc/58/54/47/410585447.db2.gz QIHMIKPEUKZPJA-UHFFFAOYSA-N -1 1 320.374 1.483 20 0 DDADMM CC[C@@]([N-]S(=O)(=O)CCOC)(C(=O)OC)c1ccccc1 ZINC000352338555 410632938 /nfs/dbraw/zinc/63/29/38/410632938.db2.gz SWYUKPSJQJQQJE-AWEZNQCLSA-N -1 1 315.391 1.031 20 0 DDADMM CCN(C(=O)CNC(=O)c1ncccc1[O-])[C@@H]1CCCC[C@H]1C ZINC000352363811 410650585 /nfs/dbraw/zinc/65/05/85/410650585.db2.gz UXGOQVVPEOZGDZ-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CS(=O)(=O)CC[C@H]1CCCCN1C(=O)c1cncc([O-])c1 ZINC000359511352 410701768 /nfs/dbraw/zinc/70/17/68/410701768.db2.gz WPCMVFGMUKDFKU-GFCCVEGCSA-N -1 1 312.391 1.217 20 0 DDADMM CC1(C)CCCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343825028 410727978 /nfs/dbraw/zinc/72/79/78/410727978.db2.gz HXALJRZRPRVUDG-GFCCVEGCSA-N -1 1 305.378 1.602 20 0 DDADMM CC[C@H](SC)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000359684180 410816315 /nfs/dbraw/zinc/81/63/15/410816315.db2.gz WOYXGQUFMLFRGH-NSHDSACASA-N -1 1 318.420 1.380 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)CSc1nc(C)c(C)c(=O)[n-]1 ZINC000330674471 410870004 /nfs/dbraw/zinc/87/00/04/410870004.db2.gz IGKDESMJUYJCIX-UHFFFAOYSA-N -1 1 321.406 1.880 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1c(C)cccc1OC ZINC000340981661 410874737 /nfs/dbraw/zinc/87/47/37/410874737.db2.gz QSHMKRONOQISIM-UHFFFAOYSA-N -1 1 315.391 1.945 20 0 DDADMM C[C@H](SCc1ccccc1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359784891 410880752 /nfs/dbraw/zinc/88/07/52/410880752.db2.gz IMDXAEDXWDSRQJ-JTQLQIEISA-N -1 1 305.407 1.873 20 0 DDADMM CCCCNC(=O)C1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000331150837 410980040 /nfs/dbraw/zinc/98/00/40/410980040.db2.gz NYBPQFQBWNSDLZ-UHFFFAOYSA-N -1 1 319.405 1.864 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC1(C(F)F)CC1 ZINC000348771957 411085347 /nfs/dbraw/zinc/08/53/47/411085347.db2.gz SQLDJHFLUAKNMY-UHFFFAOYSA-N -1 1 324.331 1.756 20 0 DDADMM Cc1ccc([C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000353479172 411015296 /nfs/dbraw/zinc/01/52/96/411015296.db2.gz GZHOKWVZBQMOHT-NSHDSACASA-N -1 1 311.345 1.260 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)C(C)C ZINC000331255339 411042628 /nfs/dbraw/zinc/04/26/28/411042628.db2.gz YKRBXIOQXLJQSE-VIFPVBQESA-N -1 1 306.409 1.236 20 0 DDADMM CSc1n[nH]c(NC(=O)Cn2nc(C(F)(F)F)cc2C)n1 ZINC000353776338 411128036 /nfs/dbraw/zinc/12/80/36/411128036.db2.gz ACPKCCQQAKJUPV-UHFFFAOYSA-N -1 1 320.300 1.689 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](C(C)C)C2)o1 ZINC000353825238 411133429 /nfs/dbraw/zinc/13/34/29/411133429.db2.gz COKXZFXHUPWVHB-LLVKDONJSA-N -1 1 314.407 1.696 20 0 DDADMM CCCOc1cccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000353655392 411099751 /nfs/dbraw/zinc/09/97/51/411099751.db2.gz GTPCMMFYXOWZIZ-UHFFFAOYSA-N -1 1 313.317 1.459 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCCC[C@H]2C)o1 ZINC000130522463 196087551 /nfs/dbraw/zinc/08/75/51/196087551.db2.gz IBPLGANFCUHYPS-GHMZBOCLSA-N -1 1 314.407 1.744 20 0 DDADMM Cc1c[nH]c(NC(=O)CCc2c(C)nc3cc(=O)[n-]n3c2C)n1 ZINC000131119016 196142092 /nfs/dbraw/zinc/14/20/92/196142092.db2.gz NPLFGSCAMPLAQW-UHFFFAOYSA-N -1 1 314.349 1.655 20 0 DDADMM Cc1cccc(C(=O)N[C@@H]2C[C@H]([NH+]3CCOCC3)C2(C)C)c1O ZINC000640528058 423013241 /nfs/dbraw/zinc/01/32/41/423013241.db2.gz WDIRBZAKDPATEE-CABCVRRESA-N -1 1 318.417 1.930 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H](O)C1)c1ncccc1C(F)(F)F ZINC000645477296 423049341 /nfs/dbraw/zinc/04/93/41/423049341.db2.gz CZDOQEIVXBNWKI-DTWKUNHWSA-N -1 1 324.324 1.682 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2ccc(F)cc2F)CCOCC1 ZINC000650150142 423064258 /nfs/dbraw/zinc/06/42/58/423064258.db2.gz PVNCRIBJCYOTAN-UHFFFAOYSA-N -1 1 321.345 1.902 20 0 DDADMM O=C(NC[C@@H]1CCCN(CC(F)(F)F)C1)c1ncccc1[O-] ZINC000647838261 423070393 /nfs/dbraw/zinc/07/03/93/423070393.db2.gz DGOKTMYHRCYAFQ-JTQLQIEISA-N -1 1 317.311 1.791 20 0 DDADMM O=S(=O)([N-][C@H](CO)[C@@H]1CCCOC1)c1cc(F)ccc1F ZINC000416613717 225004656 /nfs/dbraw/zinc/00/46/56/225004656.db2.gz RKMZIJAXPWHUMW-BXKDBHETSA-N -1 1 321.345 1.031 20 0 DDADMM CCc1cccc(C(=O)NCc2cc(=O)[n-]c(SC)n2)n1 ZINC000640654875 423115503 /nfs/dbraw/zinc/11/55/03/423115503.db2.gz CQPIWHDGPQBLIS-UHFFFAOYSA-N -1 1 304.375 1.792 20 0 DDADMM O=S(=O)(C[C@H]1Cc2ccccc2O1)c1n[n-]c(C2CC2)n1 ZINC000366028358 418420368 /nfs/dbraw/zinc/42/03/68/418420368.db2.gz HAYLPSSJNCUBIC-LLVKDONJSA-N -1 1 305.359 1.460 20 0 DDADMM CC(C)[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(C)(C)O ZINC000360417556 418429390 /nfs/dbraw/zinc/42/93/90/418429390.db2.gz UASLOORYIXLLRJ-LBPRGKRZSA-N -1 1 306.366 1.199 20 0 DDADMM O=C([N-]OCc1cccnc1)[C@@H]1CCCN1Cc1ccccn1 ZINC000366496677 418481863 /nfs/dbraw/zinc/48/18/63/418481863.db2.gz PZOHFNYJDZGSDC-INIZCTEOSA-N -1 1 312.373 1.689 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@@H]2c2cnn(C)c2)c1 ZINC000374474006 418534174 /nfs/dbraw/zinc/53/41/74/418534174.db2.gz BQNSYZGURVOCGT-NEPJUHHUSA-N -1 1 315.329 1.655 20 0 DDADMM COC(=O)c1ccc(CSc2nc3[nH]ncc3c(=O)[nH]2)cc1 ZINC000194344389 418542887 /nfs/dbraw/zinc/54/28/87/418542887.db2.gz SAQLBWPYSQMGBL-UHFFFAOYSA-N -1 1 316.342 1.725 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC(F)(F)C(F)F ZINC000360941906 418551510 /nfs/dbraw/zinc/55/15/10/418551510.db2.gz NLJRJXIWTHVPFB-UHFFFAOYSA-N -1 1 313.276 1.843 20 0 DDADMM O=C(Nc1ccc(-n2nn[n-]c2=O)cc1)c1c(O)cccc1F ZINC000194313415 222174896 /nfs/dbraw/zinc/17/48/96/222174896.db2.gz CMFVZRTYODIFOF-UHFFFAOYSA-N -1 1 315.264 1.053 20 0 DDADMM COc1cccc(NC(=O)CN2CCCC[C@H]2CC(=O)[O-])c1 ZINC000314679819 418594581 /nfs/dbraw/zinc/59/45/81/418594581.db2.gz HHZFCIRYBGYNRT-ZDUSSCGKSA-N -1 1 306.362 1.963 20 0 DDADMM Cc1nn(-c2ccc(F)cc2)c(C)c1CC(=O)Nc1nnn[n-]1 ZINC000342851147 418629533 /nfs/dbraw/zinc/62/95/33/418629533.db2.gz CRKRXXNKBFTJAH-UHFFFAOYSA-N -1 1 315.312 1.323 20 0 DDADMM Cc1nn(-c2ccc(F)cc2)c(C)c1CC(=O)Nc1nn[n-]n1 ZINC000342851147 418629536 /nfs/dbraw/zinc/62/95/36/418629536.db2.gz CRKRXXNKBFTJAH-UHFFFAOYSA-N -1 1 315.312 1.323 20 0 DDADMM CCc1cccc(N2C[C@@H](C(=O)[N-]OCCCOC)CC2=O)c1 ZINC000367814283 418639084 /nfs/dbraw/zinc/63/90/84/418639084.db2.gz KQTOMPBMPJLWFM-AWEZNQCLSA-N -1 1 320.389 1.686 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2OC[C@H]3CCC[C@H]23)c1 ZINC000368109849 418691166 /nfs/dbraw/zinc/69/11/66/418691166.db2.gz CGKOUHOLOXTIKS-UHIISALHSA-N -1 1 305.330 1.932 20 0 DDADMM O=c1cc(CN2CCC(c3ccccn3)CC2)nc2cc[n-]n21 ZINC000371319854 418778350 /nfs/dbraw/zinc/77/83/50/418778350.db2.gz WDTSRWXWGJKYBI-UHFFFAOYSA-N -1 1 309.373 1.797 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)[C@@H]1CCCN(c2ccccn2)C1 ZINC000373076275 418927010 /nfs/dbraw/zinc/92/70/10/418927010.db2.gz KZYUQFJMPMTNKE-HIFRSBDPSA-N -1 1 305.378 1.872 20 0 DDADMM Cc1cnc(S[C@H](C)c2nc(-c3nc[nH]n3)no2)[n-]c1=O ZINC000571940501 304497382 /nfs/dbraw/zinc/49/73/82/304497382.db2.gz VXMUSOHAFGTZMV-ZCFIWIBFSA-N -1 1 305.323 1.512 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)NCCC(C)(C)C(=O)[O-])cn1 ZINC000424236876 228249598 /nfs/dbraw/zinc/24/95/98/228249598.db2.gz UCRIKTPPLHYHOF-UHFFFAOYSA-N -1 1 319.365 1.524 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)C[S@@](C)=O)c(F)c1 ZINC000425166215 228377287 /nfs/dbraw/zinc/37/72/87/228377287.db2.gz DQGDNMKBRPWZDR-DCXZOGHSSA-N -1 1 311.375 1.319 20 0 DDADMM O=S(=O)([N-][C@@H]1CC[C@H]1O)c1cc(Cl)sc1Cl ZINC000425211114 228392165 /nfs/dbraw/zinc/39/21/65/228392165.db2.gz WULZQVCGBVWUIG-RFZPGFLSSA-N -1 1 302.204 1.857 20 0 DDADMM Cn1[n-]c(CN2CCc3c2cccc3Br)nc1=O ZINC000420973552 419394787 /nfs/dbraw/zinc/39/47/87/419394787.db2.gz MPAKGTIEFFNWQO-UHFFFAOYSA-N -1 1 309.167 1.434 20 0 DDADMM CC[C@H]1C[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000421225707 419528335 /nfs/dbraw/zinc/52/83/35/419528335.db2.gz ZNDSDSRSTCOGFS-MNOVXSKESA-N -1 1 301.346 1.611 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)NC(=O)c1ncc(C)cc1[O-] ZINC000427809077 419738703 /nfs/dbraw/zinc/73/87/03/419738703.db2.gz SVVFFIYMLMTAGV-ZETCQYMHSA-N -1 1 306.240 1.319 20 0 DDADMM NC(=O)CC1(CNC(=O)c2ccc(C(F)(F)F)cc2[O-])CC1 ZINC000421916725 419769532 /nfs/dbraw/zinc/76/95/32/419769532.db2.gz LMDWIBAMOPKOQH-UHFFFAOYSA-N -1 1 316.279 1.796 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cccc(C)c2Cl)n1 ZINC000428099504 419808888 /nfs/dbraw/zinc/80/88/88/419808888.db2.gz MQYCLTSLFSBXPY-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)F)c1ccc(Cl)s1 ZINC000428402477 419861089 /nfs/dbraw/zinc/86/10/89/419861089.db2.gz NMYFVWDJRCRZSI-YFKPBYRVSA-N -1 1 305.755 1.696 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(Cc1ccccn1)C1CC1 ZINC000433145322 229161713 /nfs/dbraw/zinc/16/17/13/229161713.db2.gz PRGRPKBGUMENDE-UHFFFAOYSA-N -1 1 316.317 1.096 20 0 DDADMM COCCN(Cc1ccnn1C)C(=O)c1ncc(C)cc1[O-] ZINC000428698839 419917680 /nfs/dbraw/zinc/91/76/80/419917680.db2.gz FPLBLNNUVXNNOX-UHFFFAOYSA-N -1 1 304.350 1.118 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2ccnc(C)n2)[n-]1 ZINC000415646075 420133785 /nfs/dbraw/zinc/13/37/85/420133785.db2.gz JTRRQRBLWHMQDA-UHFFFAOYSA-N -1 1 303.322 1.256 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2ccnc(C)n2)n1 ZINC000415646075 420133792 /nfs/dbraw/zinc/13/37/92/420133792.db2.gz JTRRQRBLWHMQDA-UHFFFAOYSA-N -1 1 303.322 1.256 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCCc3nn(C)cc32)n1 ZINC000415652222 420135982 /nfs/dbraw/zinc/13/59/82/420135982.db2.gz VHAZFTLJURFTDP-VIFPVBQESA-N -1 1 317.349 1.379 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCc2ccccc21 ZINC000416121037 420248993 /nfs/dbraw/zinc/24/89/93/420248993.db2.gz FQUBYJJAUGLPDX-SNVBAGLBSA-N -1 1 301.302 1.314 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]1CC(C)(C)C ZINC000416143231 420254157 /nfs/dbraw/zinc/25/41/57/420254157.db2.gz JJKKWTLOCLVCMW-VIFPVBQESA-N -1 1 309.366 1.937 20 0 DDADMM CC[C@H](Cc1ccccc1)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416149878 420259498 /nfs/dbraw/zinc/25/94/98/420259498.db2.gz IJTHTBMGXBQXPV-LLVKDONJSA-N -1 1 317.345 1.648 20 0 DDADMM O=S(=O)(Cc1ccc(F)cc1)N[C@H]1C[NH2+]CCC1(F)F ZINC000420437314 420285239 /nfs/dbraw/zinc/28/52/39/420285239.db2.gz CFZFDXRSNFDXTG-NSHDSACASA-N -1 1 308.325 1.242 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C(F)(F)F ZINC000416226154 420286209 /nfs/dbraw/zinc/28/62/09/420286209.db2.gz USEGQFGOSZIENL-YLWLKBPMSA-N -1 1 323.271 1.603 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2cnn(CC)c2)CC1 ZINC000436474991 420328930 /nfs/dbraw/zinc/32/89/30/420328930.db2.gz AZVHZLVZTOHPSU-UHFFFAOYSA-N -1 1 301.368 1.131 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc(-c2nc[nH]n2)cc1F ZINC000436478166 420331201 /nfs/dbraw/zinc/33/12/01/420331201.db2.gz NXWQYACFEONXHN-MRVPVSSYSA-N -1 1 314.342 1.387 20 0 DDADMM COc1ccc(C(=O)Nc2cccc(OCC(N)=O)c2)c([O-])c1 ZINC000436752615 420363821 /nfs/dbraw/zinc/36/38/21/420363821.db2.gz BOFGQPCHZPJWPK-UHFFFAOYSA-N -1 1 316.313 1.517 20 0 DDADMM C[C@@H](Oc1ccccn1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425292686 420341694 /nfs/dbraw/zinc/34/16/94/420341694.db2.gz AQWRKQDTEQZVAV-MNOVXSKESA-N -1 1 318.333 1.344 20 0 DDADMM O=C(c1ocnc1C1CC1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425313029 420346080 /nfs/dbraw/zinc/34/60/80/420346080.db2.gz ZXEWTKPXCDUXIE-SECBINFHSA-N -1 1 304.306 1.660 20 0 DDADMM CC(C)c1nc(S(=O)(=O)N[C@H]2CC[N@@H+](C)[C@H](C)C2)cn1C ZINC000416408170 420360762 /nfs/dbraw/zinc/36/07/62/420360762.db2.gz OLLULWHHPGMEMJ-NEPJUHHUSA-N -1 1 314.455 1.305 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc(C(C)=O)[nH]2)c1 ZINC000436721147 420361495 /nfs/dbraw/zinc/36/14/95/420361495.db2.gz GUEPZDPDXOVICP-UHFFFAOYSA-N -1 1 302.286 1.962 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCC[C@H]1C(C)(C)C ZINC000416242671 420293584 /nfs/dbraw/zinc/29/35/84/420293584.db2.gz AAMQQKSGCBMHGU-RKDXNWHRSA-N -1 1 309.366 1.841 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@H]([C@H](O)C(F)(F)F)C2)c([O-])c1 ZINC000456676215 420527138 /nfs/dbraw/zinc/52/71/38/420527138.db2.gz FSQQCSHIIBTAMP-KWQFWETISA-N -1 1 304.268 1.481 20 0 DDADMM CS(=O)(=O)C1(C(=O)Nc2ccc([O-])c(F)c2F)CCCC1 ZINC000456795162 420546360 /nfs/dbraw/zinc/54/63/60/420546360.db2.gz UDNRHTLVRDPSLZ-UHFFFAOYSA-N -1 1 319.329 1.966 20 0 DDADMM O=c1[n-]nc(C2CCN(Cc3nnc(C4CC4)s3)CC2)o1 ZINC000450930674 420562437 /nfs/dbraw/zinc/56/24/37/420562437.db2.gz XDOLMUKICMGUBW-UHFFFAOYSA-N -1 1 307.379 1.884 20 0 DDADMM CN(C)C(=O)N[C@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000456940798 420573583 /nfs/dbraw/zinc/57/35/83/420573583.db2.gz WJGJADPLNNBEJF-NSHDSACASA-N -1 1 309.341 1.407 20 0 DDADMM C[C@H](CN1CCOCC1)[N-]S(=O)(=O)c1sccc1Cl ZINC000451057357 420589532 /nfs/dbraw/zinc/58/95/32/420589532.db2.gz QFFMUJKBMUQVIV-SECBINFHSA-N -1 1 324.855 1.401 20 0 DDADMM Cc1c(C(=O)NC(C)(C)c2nn[n-]n2)cnn1CCC(C)C ZINC000441437739 420650015 /nfs/dbraw/zinc/65/00/15/420650015.db2.gz QHMSMEJXVRWNQX-UHFFFAOYSA-N -1 1 305.386 1.416 20 0 DDADMM O=C(NCc1ccncc1OCC(F)F)c1ncccc1[O-] ZINC000442980121 420734325 /nfs/dbraw/zinc/73/43/25/420734325.db2.gz HGYPUKCXRUUXRK-UHFFFAOYSA-N -1 1 309.272 1.756 20 0 DDADMM CCO/C=C\C(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000493432575 420848607 /nfs/dbraw/zinc/84/86/07/420848607.db2.gz MNGRFOWIYDHZKK-FPLPWBNLSA-N -1 1 314.363 1.555 20 0 DDADMM CC[C@@H](C)N(CC(=O)OC)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000456065213 421094825 /nfs/dbraw/zinc/09/48/25/421094825.db2.gz KBBUXQAOFHAARW-SECBINFHSA-N -1 1 320.349 1.088 20 0 DDADMM Cc1cc(F)c(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1F ZINC000560201159 421239179 /nfs/dbraw/zinc/23/91/79/421239179.db2.gz HPTWHTDJGWVFJR-SNVBAGLBSA-N -1 1 321.331 1.735 20 0 DDADMM C[C@@H]1CCC[C@@]1(C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000450553762 421209544 /nfs/dbraw/zinc/20/95/44/421209544.db2.gz CJJXVUFLAXFXQT-YMTOWFKASA-N -1 1 312.391 1.804 20 0 DDADMM CO[C@@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000560319027 421245456 /nfs/dbraw/zinc/24/54/56/421245456.db2.gz ABACEXIXMDCOOW-GHMZBOCLSA-N -1 1 320.436 1.090 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)c2ccccc21 ZINC000545416184 421259160 /nfs/dbraw/zinc/25/91/60/421259160.db2.gz FFBVWSVWFCJQSG-ZDUSSCGKSA-N -1 1 323.356 1.570 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CCOC[C@H]2C)sc1C ZINC000560472668 421269759 /nfs/dbraw/zinc/26/97/59/421269759.db2.gz BKBQYCHYOSCFEB-LDYMZIIASA-N -1 1 304.437 1.711 20 0 DDADMM Cc1cc(C)n2c(CNC3(c4nnn[n-]4)CCCC3)cnc2n1 ZINC000547449169 421332041 /nfs/dbraw/zinc/33/20/41/421332041.db2.gz HSHYYDCECANVQE-UHFFFAOYSA-N -1 1 312.381 1.418 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3CC34CCOCC4)cnc2n1 ZINC000562715274 421403937 /nfs/dbraw/zinc/40/39/37/421403937.db2.gz QPUMJZOXCAVQSG-ZDUSSCGKSA-N -1 1 313.357 1.943 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1CC13CCOCC3)c2=O ZINC000562715274 421403939 /nfs/dbraw/zinc/40/39/39/421403939.db2.gz QPUMJZOXCAVQSG-ZDUSSCGKSA-N -1 1 313.357 1.943 20 0 DDADMM O=C(NCCc1ccc(O)cc1)c1nc2ccccc2c(=O)[n-]1 ZINC000507215460 421426007 /nfs/dbraw/zinc/42/60/07/421426007.db2.gz RZIKHMKMQSMISW-UHFFFAOYSA-N -1 1 309.325 1.601 20 0 DDADMM COc1cc(C(=O)NCCCCC(N)=O)cc(Cl)c1[O-] ZINC000527485406 421389708 /nfs/dbraw/zinc/38/97/08/421389708.db2.gz CWSVBIAJJPFNMK-UHFFFAOYSA-N -1 1 300.742 1.440 20 0 DDADMM C[C@@H](C(=O)NCc1cccs1)N1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000563122840 421460033 /nfs/dbraw/zinc/46/00/33/421460033.db2.gz FCSZFFGORMJMDP-MDZLAQPJSA-N -1 1 310.419 1.795 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)N2C[C@H]3CCC[C@@H](C2)N3)s[n-]1 ZINC000515482162 421504575 /nfs/dbraw/zinc/50/45/75/421504575.db2.gz QHNCHMUXTIALKW-LPEHRKFASA-N -1 1 311.411 1.026 20 0 DDADMM CC1(c2nc(=NC(=O)[C@H]3CCc4[nH]cnc4C3)s[n-]2)CC1 ZINC000529697451 421551755 /nfs/dbraw/zinc/55/17/55/421551755.db2.gz OLPWVRIXEQUFDP-QMMMGPOBSA-N -1 1 303.391 1.478 20 0 DDADMM CN1CCO[C@@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)C1 ZINC000531491199 421634859 /nfs/dbraw/zinc/63/48/59/421634859.db2.gz AFAQICZXNABYPF-CYBMUJFWSA-N -1 1 302.334 1.051 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCCO1)C(=O)OC ZINC000570567130 421655228 /nfs/dbraw/zinc/65/52/28/421655228.db2.gz SITNOTXZLXBZQQ-RWMBFGLXSA-N -1 1 321.439 1.453 20 0 DDADMM CC[C@H](C)NC(=O)CS(=O)(=O)c1nc(-c2ccccc2)n[n-]1 ZINC000556063416 421699183 /nfs/dbraw/zinc/69/91/83/421699183.db2.gz BPYCKTBWTVDESL-JTQLQIEISA-N -1 1 322.390 1.160 20 0 DDADMM CC[C@H](C)NC(=O)CS(=O)(=O)c1n[n-]c(-c2ccccc2)n1 ZINC000556063416 421699186 /nfs/dbraw/zinc/69/91/86/421699186.db2.gz BPYCKTBWTVDESL-JTQLQIEISA-N -1 1 322.390 1.160 20 0 DDADMM COc1ccc2c(c1)OC[C@H]2CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000535598245 421707659 /nfs/dbraw/zinc/70/76/59/421707659.db2.gz LOLQYYWERMZOJM-SECBINFHSA-N -1 1 317.349 1.126 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1OC ZINC000533573236 421679114 /nfs/dbraw/zinc/67/91/14/421679114.db2.gz FOVBJYWJSQDBJK-SNVBAGLBSA-N -1 1 317.349 1.237 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1cccnc1 ZINC000538223706 421737744 /nfs/dbraw/zinc/73/77/44/421737744.db2.gz BPCACGDBDMQMSK-DGCLKSJQSA-N -1 1 300.366 1.495 20 0 DDADMM CCC(CC)[C@H](CC[N-]S(=O)(=O)c1c(C)onc1N)OC ZINC000558432049 421806718 /nfs/dbraw/zinc/80/67/18/421806718.db2.gz KVBALYMSYALHFM-NSHDSACASA-N -1 1 319.427 1.685 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CNCc1ccc(OCC2CC2)nc1 ZINC000635306081 421894699 /nfs/dbraw/zinc/89/46/99/421894699.db2.gz OIBIROHWGZFNTH-UHFFFAOYSA-N -1 1 316.361 1.592 20 0 DDADMM CCN1C[C@H](C)N(C(=O)N=c2ncn(C(C)(C)C)[n-]2)C[C@@H]1C ZINC000544314059 421844167 /nfs/dbraw/zinc/84/41/67/421844167.db2.gz AHFHKDROBWBGKB-RYUDHWBXSA-N -1 1 308.430 1.401 20 0 DDADMM CC(=O)N1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)[C@H](C)C1 ZINC000631832787 421868353 /nfs/dbraw/zinc/86/83/53/421868353.db2.gz NJMYFZKVWGUUEW-SNVBAGLBSA-N -1 1 310.781 1.667 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1F ZINC000630222238 421972713 /nfs/dbraw/zinc/97/27/13/421972713.db2.gz FEXCOLTYDYBDGF-GFCCVEGCSA-N -1 1 308.353 1.755 20 0 DDADMM COc1ccc2nc(CN(C[C@@H](C)C(=O)[O-])C3CC3)[nH]c2n1 ZINC000581136960 421907948 /nfs/dbraw/zinc/90/79/48/421907948.db2.gz IWYVIGJTEZODSG-SECBINFHSA-N -1 1 304.350 1.652 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCOC1 ZINC000631959847 421972884 /nfs/dbraw/zinc/97/28/84/421972884.db2.gz CMVIIGOFLXGQOK-VIFPVBQESA-N -1 1 313.301 1.133 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1C ZINC000630223622 421972949 /nfs/dbraw/zinc/97/29/49/421972949.db2.gz KCOCDLKDIGUUPW-AWEZNQCLSA-N -1 1 304.390 1.924 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@@H]1CCCN1C1CC1)Cc1ccccc1 ZINC000630137903 421912570 /nfs/dbraw/zinc/91/25/70/421912570.db2.gz ZOTHTURGZPOCLM-ZBFHGGJFSA-N -1 1 316.401 1.673 20 0 DDADMM COc1ccc(-c2noc(CN[C@@H](C)[C@H](C)C(=O)[O-])n2)cc1 ZINC000581434929 421973197 /nfs/dbraw/zinc/97/31/97/421973197.db2.gz SGWMJCRTPDRJTO-UWVGGRQHSA-N -1 1 305.334 1.944 20 0 DDADMM CC(C)CO[C@H](C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630223177 421973560 /nfs/dbraw/zinc/97/35/60/421973560.db2.gz IIKFLJWKUUGLEW-QWHCGFSZSA-N -1 1 300.399 1.055 20 0 DDADMM C[C@@H](C(=O)NC1(c2nn[n-]n2)CC1)[C@@H]1OCCc2sccc21 ZINC000573228401 421933486 /nfs/dbraw/zinc/93/34/86/421933486.db2.gz QDYCGRPBAFOLAE-KCJUWKMLSA-N -1 1 319.390 1.317 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCN2CCCCC2=O)sn1 ZINC000631912716 421936240 /nfs/dbraw/zinc/93/62/40/421936240.db2.gz UOIOTVIQBXHIJG-UHFFFAOYSA-N -1 1 317.436 1.132 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)Nc1ccc(CC(=O)[O-])c(F)c1 ZINC000630195149 421951544 /nfs/dbraw/zinc/95/15/44/421951544.db2.gz GYTMUFUGRSQHCF-VIFPVBQESA-N -1 1 305.309 1.993 20 0 DDADMM CCOc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1 ZINC000630222608 421974193 /nfs/dbraw/zinc/97/41/93/421974193.db2.gz GMIRIRHXVYPYEM-ZDUSSCGKSA-N -1 1 320.389 1.706 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C2(C(F)F)CCC2)CC1 ZINC000630218167 421969176 /nfs/dbraw/zinc/96/91/76/421969176.db2.gz CUCWADYFLVZPCZ-SNVBAGLBSA-N -1 1 304.337 1.429 20 0 DDADMM C[C@@H](C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1ccsc1 ZINC000630224393 421976200 /nfs/dbraw/zinc/97/62/00/421976200.db2.gz NXKMEZFQWALZMX-NWDGAFQWSA-N -1 1 310.419 1.859 20 0 DDADMM CCCc1occc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630227702 421977864 /nfs/dbraw/zinc/97/78/64/421977864.db2.gz PQRFOUHDZBFXMH-UHFFFAOYSA-N -1 1 308.378 1.853 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](CO)c2cccc(F)c2)sn1 ZINC000632014603 422021189 /nfs/dbraw/zinc/02/11/89/422021189.db2.gz NGMRJEMYDLHZRL-LLVKDONJSA-N -1 1 316.379 1.603 20 0 DDADMM CO[C@H]1CN(C(=O)c2cccc3n[nH]cc32)[C@@](C)(C(=O)[O-])C1 ZINC000630318931 422022194 /nfs/dbraw/zinc/02/21/94/422022194.db2.gz ZVTGZQUIZVZDSA-RFAUZJTJSA-N -1 1 303.318 1.267 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000581944134 422073990 /nfs/dbraw/zinc/07/39/90/422073990.db2.gz SODAXAUYRCLOPN-NWDGAFQWSA-N -1 1 320.393 1.745 20 0 DDADMM COc1cc(CN[C@H](C(=O)[O-])c2cnn(C)c2)ccc1Cl ZINC000630458382 422116914 /nfs/dbraw/zinc/11/69/14/422116914.db2.gz ZSHSNYGENDIYBS-ZDUSSCGKSA-N -1 1 309.753 1.998 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cccc3c2CCOC3)n[n-]1 ZINC000630417154 422088228 /nfs/dbraw/zinc/08/82/28/422088228.db2.gz OXQUIHMCJOSRPZ-UHFFFAOYSA-N -1 1 307.375 1.414 20 0 DDADMM O=C(N[C@H]1C[C@@H](CO)C1)c1ccc(Br)cc1[O-] ZINC000633838141 422106053 /nfs/dbraw/zinc/10/60/53/422106053.db2.gz ZNBLIGVYGAORNG-OTSSQURYSA-N -1 1 300.152 1.655 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(S(=O)(=O)c2cc(O)cc(F)c2)C1 ZINC000630442332 422106479 /nfs/dbraw/zinc/10/64/79/422106479.db2.gz AJEQHPMZBWYOPV-VIFPVBQESA-N -1 1 317.338 1.407 20 0 DDADMM C[C@@H]1CCCN(S(=O)(=O)c2cc(O)cc(F)c2)[C@H]1C(=O)[O-] ZINC000630445675 422108703 /nfs/dbraw/zinc/10/87/03/422108703.db2.gz ZKACIKJXBFBMGN-PRHODGIISA-N -1 1 317.338 1.405 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C(C)(C)Cc1ccccc1 ZINC000633692819 422055820 /nfs/dbraw/zinc/05/58/20/422055820.db2.gz URNZAQYVVTZUKT-UHFFFAOYSA-N -1 1 314.389 1.707 20 0 DDADMM O=C([O-])Cn1cc(CCN2CCc3cc(Cl)ccc3C2)nn1 ZINC000583446163 422167588 /nfs/dbraw/zinc/16/75/88/422167588.db2.gz RCHFYBIIIANUKG-UHFFFAOYSA-N -1 1 320.780 1.617 20 0 DDADMM C[C@H](CNC(=O)CCCc1nn[n-]n1)Oc1cccc(Cl)c1 ZINC000635616855 422170198 /nfs/dbraw/zinc/17/01/98/422170198.db2.gz VARHGHLHLGAWTM-SNVBAGLBSA-N -1 1 323.784 1.760 20 0 DDADMM C[C@@H]1CCN(Cc2nc(N)c3ccccc3n2)C[C@H]1C(=O)[O-] ZINC000574518420 422124835 /nfs/dbraw/zinc/12/48/35/422124835.db2.gz GRHIAWBPVUGPDU-ZYHUDNBSSA-N -1 1 300.362 1.585 20 0 DDADMM CCN(Cc1cn(CC(=O)[O-])nn1)[C@H](C)Cc1ccsc1 ZINC000582186863 422127101 /nfs/dbraw/zinc/12/71/01/422127101.db2.gz VFJCJPPABTUNND-LLVKDONJSA-N -1 1 308.407 1.877 20 0 DDADMM CC[NH+]1C[C@H](C)N(C(=O)c2csc(=NC3CC3)[nH]2)[C@@H](C)C1 ZINC000574926495 422219004 /nfs/dbraw/zinc/21/90/04/422219004.db2.gz FDKYAHKDKILIMX-QWRGUYRKSA-N -1 1 308.451 1.694 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000583937546 422221666 /nfs/dbraw/zinc/22/16/66/422221666.db2.gz QPJMVCYHVFIXEW-LURJTMIESA-N -1 1 315.255 1.815 20 0 DDADMM C[C@@H]1OCC[C@@]1(O)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632288684 422223182 /nfs/dbraw/zinc/22/31/82/422223182.db2.gz LCEFYHGFEXKRHJ-WRWORJQWSA-N -1 1 311.306 1.035 20 0 DDADMM COc1cccc([C@H](N)C(=O)N2CC[C@@H](C)[C@@H](C(=O)[O-])C2)c1 ZINC000630573197 422190140 /nfs/dbraw/zinc/19/01/40/422190140.db2.gz FJLQKHPDCOSFPI-SWHYSGLUSA-N -1 1 306.362 1.264 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCOC2(CCCCC2)C1 ZINC000635706791 422263464 /nfs/dbraw/zinc/26/34/64/422263464.db2.gz MOXCEFFPVLDBOW-GFCCVEGCSA-N -1 1 307.398 1.521 20 0 DDADMM CO[C@@H](Cc1ccccc1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000584213065 422283497 /nfs/dbraw/zinc/28/34/97/422283497.db2.gz KSAWXGMTTYGPAN-KBPBESRZSA-N -1 1 315.377 1.163 20 0 DDADMM CCN(Cc1cn(C)nn1)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632377698 422291526 /nfs/dbraw/zinc/29/15/26/422291526.db2.gz DJYMOFDJTCBWDJ-UHFFFAOYSA-N -1 1 308.769 1.765 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC2CCC(OC)CC2)c1 ZINC000632440577 422345927 /nfs/dbraw/zinc/34/59/27/422345927.db2.gz FZRKYPAXBWGFPC-UHFFFAOYSA-N -1 1 315.391 1.637 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCO[C@H](C2CCCCC2)C1 ZINC000635799257 422355571 /nfs/dbraw/zinc/35/55/71/422355571.db2.gz VJWXYFIWGMINBN-ZDUSSCGKSA-N -1 1 307.398 1.330 20 0 DDADMM CC[C@@H](NS(=O)(=O)c1cc(OC)ccc1[O-])[C@H](O)C(C)C ZINC000632457641 422360750 /nfs/dbraw/zinc/36/07/50/422360750.db2.gz KTXXAYVQFQIOAU-BXUZGUMPSA-N -1 1 317.407 1.475 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@H](CO)[C@@H]2C)c1 ZINC000632461231 422363375 /nfs/dbraw/zinc/36/33/75/422363375.db2.gz OAWIYVKGQVTJCL-WDEREUQCSA-N -1 1 315.391 1.182 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@]2(C1)OCc1ccccc12 ZINC000635811519 422368294 /nfs/dbraw/zinc/36/82/94/422368294.db2.gz OFHJEIMHNJRADO-INIZCTEOSA-N -1 1 313.361 1.180 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](C)C[C@H](CO)C2)c1 ZINC000632473920 422372070 /nfs/dbraw/zinc/37/20/70/422372070.db2.gz PQVKXSCHTDBAKX-MNOVXSKESA-N -1 1 315.391 1.040 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(c2cccnc2)CC1 ZINC000635757552 422317932 /nfs/dbraw/zinc/31/79/32/422317932.db2.gz SQCNDFZDKDAXIR-UHFFFAOYSA-N -1 1 300.366 1.324 20 0 DDADMM Cc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(C(C)C)n1 ZINC000575750777 422318724 /nfs/dbraw/zinc/31/87/24/422318724.db2.gz RDMUYSRJTHOSBM-JTQLQIEISA-N -1 1 302.382 1.902 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H]3COC[C@]3(C)C2)c1 ZINC000632560276 422437557 /nfs/dbraw/zinc/43/75/57/422437557.db2.gz SWBBKPQMUHWLLL-HZMBPMFUSA-N -1 1 313.375 1.058 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H]3OCC[C@@H]3C2)c1 ZINC000632498406 422392870 /nfs/dbraw/zinc/39/28/70/422392870.db2.gz KYZDOROYTRMPDL-MFKMUULPSA-N -1 1 313.375 1.200 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H](C)CC(F)F)c1 ZINC000632497379 422393036 /nfs/dbraw/zinc/39/30/36/422393036.db2.gz GAQPTCJVHQTPKT-QMMMGPOBSA-N -1 1 309.334 1.970 20 0 DDADMM Cc1ccc(SC[C@H](C)NC(=O)CCc2nn[n-]n2)cc1 ZINC000632520922 422408134 /nfs/dbraw/zinc/40/81/34/422408134.db2.gz LBUBPSOTDSKBFX-NSHDSACASA-N -1 1 305.407 1.738 20 0 DDADMM CCCN(C(=O)CCc1nn[n-]n1)[C@H]1CCCc2cccnc21 ZINC000632544657 422424391 /nfs/dbraw/zinc/42/43/91/422424391.db2.gz KWHAAJMHBUHVCY-ZDUSSCGKSA-N -1 1 314.393 1.844 20 0 DDADMM C[C@H]1CCc2[n-]n(-c3ccccc3S(=O)(=O)N(C)C)c(=O)c21 ZINC000634613570 422507419 /nfs/dbraw/zinc/50/74/19/422507419.db2.gz BQVIITZIFGRKJX-IINYFYTJSA-N -1 1 321.402 1.686 20 0 DDADMM COCC(COC)n1[n-]c2c(c1=O)CCCc1ccccc1-2 ZINC000634636293 422518134 /nfs/dbraw/zinc/51/81/34/422518134.db2.gz ZYLSFIHBOFBTRQ-OAHLLOKOSA-N -1 1 302.374 1.847 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCO[C@H](c2ccc(F)cc2F)C1 ZINC000632582454 422452410 /nfs/dbraw/zinc/45/24/10/422452410.db2.gz UAOJCEWAFUFGNY-LBPRGKRZSA-N -1 1 323.303 1.011 20 0 DDADMM C[C@@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)[C@@H](C(N)=O)C1 ZINC000632583514 422453917 /nfs/dbraw/zinc/45/39/17/422453917.db2.gz FWDYGDDRSIGINM-BXKDBHETSA-N -1 1 310.781 1.701 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@]23C[C@@H]2COC3(C)C)c1 ZINC000632592242 422459242 /nfs/dbraw/zinc/45/92/42/422459242.db2.gz CAKBWWNPHSTREN-OTYXRUKQSA-N -1 1 313.375 1.247 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2C[C@H](C)O[C@@H]2C)c(F)c1 ZINC000632647509 422493836 /nfs/dbraw/zinc/49/38/36/422493836.db2.gz DFIQAOFZBXMZIY-JOAULVNJSA-N -1 1 321.345 1.818 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-][C@@H]2C[C@H]2C(F)(F)F)s1 ZINC000632712104 422538340 /nfs/dbraw/zinc/53/83/40/422538340.db2.gz HIANRDFUZQPRFQ-PHDIDXHHSA-N -1 1 300.327 1.935 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](c1cc(F)ccc1F)C(F)F ZINC000632794861 422584847 /nfs/dbraw/zinc/58/48/47/422584847.db2.gz WQCUTVLRZZPNLK-NSHDSACASA-N -1 1 317.246 1.533 20 0 DDADMM CN1CCCC[C@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)C1=O ZINC000636033353 422585426 /nfs/dbraw/zinc/58/54/26/422585426.db2.gz PQTTUHCFGRSLJO-SNVBAGLBSA-N -1 1 318.402 1.757 20 0 DDADMM CN1CCCC[C@@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)C1=O ZINC000636033346 422586613 /nfs/dbraw/zinc/58/66/13/422586613.db2.gz PQTTUHCFGRSLJO-JTQLQIEISA-N -1 1 318.402 1.757 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)Cc1c[nH]nc1C ZINC000636066806 422614447 /nfs/dbraw/zinc/61/44/47/422614447.db2.gz HNSVXNPAMQBRBA-UHFFFAOYSA-N -1 1 307.379 1.516 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1C[C@@H]1c1ccccc1OC(F)F ZINC000632842342 422620332 /nfs/dbraw/zinc/62/03/32/422620332.db2.gz QDUREXCYLANEAX-ZJUUUORDSA-N -1 1 323.303 1.406 20 0 DDADMM CCN1C[C@@H](C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC1=O ZINC000629375197 422636855 /nfs/dbraw/zinc/63/68/55/422636855.db2.gz YDBCXEBMQUELKD-NSHDSACASA-N -1 1 314.345 1.385 20 0 DDADMM C[C@H](C(=O)NCc1cccs1)N1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000629501203 422703484 /nfs/dbraw/zinc/70/34/84/422703484.db2.gz LEGQTDSCUJOATR-NQBHXWOUSA-N -1 1 310.419 1.795 20 0 DDADMM CCc1ccc(C2CCN(CC(=O)NCC(=O)[O-])CC2)cc1 ZINC000629506117 422706188 /nfs/dbraw/zinc/70/61/88/422706188.db2.gz UYUUANZGCKFQTG-UHFFFAOYSA-N -1 1 304.390 1.629 20 0 DDADMM CN(c1ccccc1)[C@H]1CCN(C(=O)CCCc2nn[n-]n2)C1 ZINC000636226730 422729313 /nfs/dbraw/zinc/72/93/13/422729313.db2.gz CDXKFZJXOGKLDB-AWEZNQCLSA-N -1 1 314.393 1.260 20 0 DDADMM CCS(=O)(=O)C[C@H](C)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631293674 422666132 /nfs/dbraw/zinc/66/61/32/422666132.db2.gz VXCYTSJMRRDDSQ-VIFPVBQESA-N -1 1 319.810 1.528 20 0 DDADMM C[C@H]1CN(C(=O)c2csc(=NC3CC3)[n-]2)C2(CCC2)CO1 ZINC000652881380 423185579 /nfs/dbraw/zinc/18/55/79/423185579.db2.gz VQKBOFINIGODAU-JTQLQIEISA-N -1 1 307.419 1.923 20 0 DDADMM COC[C@H](C)n1ccc([N-]S(=O)(=O)N2CCC[C@H](C)C2)n1 ZINC000187950080 263416499 /nfs/dbraw/zinc/41/64/99/263416499.db2.gz VOXDADSYDAWCNX-RYUDHWBXSA-N -1 1 316.427 1.479 20 0 DDADMM C[C@@H]1CN(C(C)(C)CNc2ccnc(C(=O)[O-])c2)C[C@@H](C)O1 ZINC000650764974 423250977 /nfs/dbraw/zinc/25/09/77/423250977.db2.gz VFKBOFCAZWJVNQ-VXGBXAGGSA-N -1 1 307.394 1.501 20 0 DDADMM COc1ccc(CN(CCNC(C)=O)[C@@H](C)C(=O)[O-])c(F)c1 ZINC000650790870 423260503 /nfs/dbraw/zinc/26/05/03/423260503.db2.gz TUWRREABHWUOMA-JTQLQIEISA-N -1 1 312.341 1.246 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C(C)C)N1C[C@H](C)O[C@@H](C)C1 ZINC000646409794 423477955 /nfs/dbraw/zinc/47/79/55/423477955.db2.gz YHCZBPUHNULQSG-KWBADKCTSA-N -1 1 310.398 1.496 20 0 DDADMM CCC[C@H](NC(=O)C1CCC(C(C)(C)O)CC1)c1nn[n-]n1 ZINC000651462066 423551951 /nfs/dbraw/zinc/55/19/51/423551951.db2.gz PNXRUMCUNDQTKL-MCIGGMRASA-N -1 1 309.414 1.734 20 0 DDADMM O=C([O-])CCC1CCN(C(=O)N[C@H]2CCc3nc[nH]c3C2)CC1 ZINC000659404103 423799713 /nfs/dbraw/zinc/79/97/13/423799713.db2.gz AHRZVXDCQVVYMF-LBPRGKRZSA-N -1 1 320.393 1.553 20 0 DDADMM O=C([O-])c1cncc(N2CCN(CCc3ccccc3)CC2)n1 ZINC000649383964 423832696 /nfs/dbraw/zinc/83/26/96/423832696.db2.gz LTPSGQVIIQVMJM-UHFFFAOYSA-N -1 1 312.373 1.540 20 0 DDADMM O=S(=O)([N-]C1CC=CC1)c1ncccc1Br ZINC000656935014 423895524 /nfs/dbraw/zinc/89/55/24/423895524.db2.gz OQABUJXNJUJLJB-UHFFFAOYSA-N -1 1 303.181 1.841 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)C(C)(C)C(=O)[O-])N2CCCC2)o1 ZINC000649462548 423929432 /nfs/dbraw/zinc/92/94/32/423929432.db2.gz FQOBETXNGUNXSA-GFCCVEGCSA-N -1 1 308.378 1.952 20 0 DDADMM O=C([O-])c1cccnc1N1CCC[C@@H](CN2CCOCC2)C1 ZINC000647454533 424032141 /nfs/dbraw/zinc/03/21/41/424032141.db2.gz TUWZALHHKRUSJC-ZDUSSCGKSA-N -1 1 305.378 1.328 20 0 DDADMM O=C(c1ccc(C(F)F)nc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000654942738 424044201 /nfs/dbraw/zinc/04/42/01/424044201.db2.gz GRWLUSBVEUUMMA-VIFPVBQESA-N -1 1 308.292 1.552 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C1(CO)CC1 ZINC000657101109 424105937 /nfs/dbraw/zinc/10/59/37/424105937.db2.gz XPEFTDYVVVQEMK-ZETCQYMHSA-N -1 1 309.309 1.543 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H](O)C12CCC2)c1cc(F)c(F)cc1F ZINC000657186049 424199142 /nfs/dbraw/zinc/19/91/42/424199142.db2.gz IPXUKRBMEHIZKR-NEPJUHHUSA-N -1 1 321.320 1.686 20 0 DDADMM Cn1cc([C@H]2C[C@H](NC(=O)c3ccc([O-])c(F)c3)CCO2)cn1 ZINC000655111054 424222546 /nfs/dbraw/zinc/22/25/46/424222546.db2.gz FMJDJYUIFQFFBL-IUODEOHRSA-N -1 1 319.336 1.915 20 0 DDADMM O=S(=O)([N-]C1(CO)CC1)c1c(Cl)cc(F)cc1Cl ZINC000659935255 424385546 /nfs/dbraw/zinc/38/55/46/424385546.db2.gz JQCYSZICLWRHBY-UHFFFAOYSA-N -1 1 314.165 1.936 20 0 DDADMM CC[N@H+](CCO)CCNC(=O)N=c1[n-]sc2ccccc21 ZINC000640338774 424360730 /nfs/dbraw/zinc/36/07/30/424360730.db2.gz GUFMOWTWPDVWFU-UHFFFAOYSA-N -1 1 308.407 1.154 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCC[C@]1(O)CCOC1 ZINC000640337983 424360770 /nfs/dbraw/zinc/36/07/70/424360770.db2.gz QZTPCQQMOWQKTN-AWEZNQCLSA-N -1 1 307.375 1.381 20 0 DDADMM COCCOC1CN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640341155 424363786 /nfs/dbraw/zinc/36/37/86/424363786.db2.gz DTVNQDOYDHHWLK-UHFFFAOYSA-N -1 1 307.375 1.597 20 0 DDADMM Cc1ccccc1C(F)(F)C[N-]S(=O)(=O)c1ccn(C)n1 ZINC000660058318 424493221 /nfs/dbraw/zinc/49/32/21/424493221.db2.gz YXLVMIQLDIHLDG-UHFFFAOYSA-N -1 1 315.345 1.799 20 0 DDADMM CC(=O)N(CC(=O)[O-])C[C@@H]1CN(C[C@@H]2CC[C@H]3C[C@H]3C2)CCO1 ZINC000662206955 424472771 /nfs/dbraw/zinc/47/27/71/424472771.db2.gz XUKFFMQBZQWYDH-QXSJWSMHSA-N -1 1 324.421 1.057 20 0 DDADMM CSc1ccc([O-])c(-c2nc(CS(C)(=O)=O)no2)c1 ZINC000664733527 424694383 /nfs/dbraw/zinc/69/43/83/424694383.db2.gz HBQHGTKXZDAPIR-UHFFFAOYSA-N -1 1 300.361 1.709 20 0 DDADMM CC[C@@H](C)N1CCN(C(=O)NC[C@H](C(=O)[O-])C2CCC2)CC1 ZINC000665318219 424781281 /nfs/dbraw/zinc/78/12/81/424781281.db2.gz RQTZSQWSAYYEBV-OCCSQVGLSA-N -1 1 311.426 1.613 20 0 DDADMM COc1ccc(NC(=O)CCN(C)Cc2n[n-]c(=O)o2)cc1 ZINC000660845292 424787667 /nfs/dbraw/zinc/78/76/67/424787667.db2.gz OBUDZLPMHBWFGJ-UHFFFAOYSA-N -1 1 306.322 1.244 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1ccc(Br)o1)C2 ZINC000665345217 424788617 /nfs/dbraw/zinc/78/86/17/424788617.db2.gz JAGAWEGDZGVEAH-PRHODGIISA-N -1 1 316.151 1.575 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc([C@H](CC)OCC)no1 ZINC000665038643 424734243 /nfs/dbraw/zinc/73/42/43/424734243.db2.gz JYVRZAVOSUEWNW-JTQLQIEISA-N -1 1 321.399 1.013 20 0 DDADMM COc1ccc(-c2noc(CN(C)C[C@@H](C)C(=O)[O-])n2)cc1 ZINC000314607902 271013210 /nfs/dbraw/zinc/01/32/10/271013210.db2.gz DRZFVLJWHJKQNQ-SNVBAGLBSA-N -1 1 305.334 1.898 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)Cc2ccoc2C)o1 ZINC000055474832 280898471 /nfs/dbraw/zinc/89/84/71/280898471.db2.gz HKWQZGHSFZYSFE-UHFFFAOYSA-N -1 1 312.347 1.361 20 0 DDADMM C[S@](=O)CCC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084938313 281014701 /nfs/dbraw/zinc/01/47/01/281014701.db2.gz AVYCBLYXYQMLNH-GOSISDBHSA-N -1 1 301.389 1.480 20 0 DDADMM Cc1ccc(C(C)(C)C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1 ZINC000128467458 281311626 /nfs/dbraw/zinc/31/16/26/281311626.db2.gz HUPYYZBAEPRLKA-UHFFFAOYSA-N -1 1 322.390 1.433 20 0 DDADMM Cc1ccc(C(C)(C)C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cc1 ZINC000128467458 281311628 /nfs/dbraw/zinc/31/16/28/281311628.db2.gz HUPYYZBAEPRLKA-UHFFFAOYSA-N -1 1 322.390 1.433 20 0 DDADMM CN(C)C(=O)[C@H](Cc1ccccc1)NC(=O)c1cncc([O-])c1 ZINC000129567627 281349048 /nfs/dbraw/zinc/34/90/48/281349048.db2.gz LSQSKNYKHJKXDC-HNNXBMFYSA-N -1 1 313.357 1.217 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(C)cc(C)n2)o1 ZINC000152992966 281771388 /nfs/dbraw/zinc/77/13/88/281771388.db2.gz FHGHIJWIZGZAHL-UHFFFAOYSA-N -1 1 309.347 1.452 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCc4ccsc4C3)ccnc1-2 ZINC000287626698 294130377 /nfs/dbraw/zinc/13/03/77/294130377.db2.gz NVMJPLDCGNCGJG-PDGQHHTCSA-N -1 1 313.386 1.994 20 0 DDADMM O=C(N[C@@H]1CCc2nnnn2CC1)c1ccc2ccccc2c1[O-] ZINC000572867655 304560672 /nfs/dbraw/zinc/56/06/72/304560672.db2.gz FFEVEFUAYXDSSR-GFCCVEGCSA-N -1 1 323.356 1.667 20 0 DDADMM Cc1ccc2nc(CNC(=O)N=c3nc4ccccn4[n-]3)[nH]c2c1 ZINC000353936998 298320017 /nfs/dbraw/zinc/32/00/17/298320017.db2.gz FFLOWPCCFPSDFX-UHFFFAOYSA-N -1 1 321.344 1.658 20 0 DDADMM CCOc1cccc(CN2CCN(c3nc(=N)[n-]s3)CC2)n1 ZINC000355085806 298630971 /nfs/dbraw/zinc/63/09/71/298630971.db2.gz YWWOSWNZTSDUBI-UHFFFAOYSA-N -1 1 320.422 1.067 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2cn[nH]c2)c(-c2nnc[nH]2)c1 ZINC000362662522 300112261 /nfs/dbraw/zinc/11/22/61/300112261.db2.gz DTOVDFICROWXSO-UHFFFAOYSA-N -1 1 304.335 1.304 20 0 DDADMM O=C(COc1ccc(Cl)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000363166737 300224952 /nfs/dbraw/zinc/22/49/52/300224952.db2.gz WRMCFAWQKRLCNH-JTQLQIEISA-N -1 1 321.768 1.638 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(C)cc2)C1 ZINC000367756360 300880261 /nfs/dbraw/zinc/88/02/61/300880261.db2.gz SFMCWHWGWBFYDF-ZDUSSCGKSA-N -1 1 306.362 1.432 20 0 DDADMM O=C(NC[C@@H]1[C@H]2COC[C@H]21)c1ccc(Br)cc1[O-] ZINC000367806555 300887862 /nfs/dbraw/zinc/88/78/62/300887862.db2.gz VGXFZLRUTPDLDT-RTCCRHLQSA-N -1 1 312.163 1.777 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@]2(CCCOC2)O1 ZINC000370191038 301291822 /nfs/dbraw/zinc/29/18/22/301291822.db2.gz BSILNRBDEZMFRN-MRXNPFEDSA-N -1 1 306.362 1.587 20 0 DDADMM CC[C@H](OC1CCCCC1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370513483 301354981 /nfs/dbraw/zinc/35/49/81/301354981.db2.gz TXPMFJUGWAKEHO-QWHCGFSZSA-N -1 1 323.397 1.228 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@](F)(CO)C3)cnc2n1 ZINC000377089703 302142496 /nfs/dbraw/zinc/14/24/96/302142496.db2.gz OFXQSSHHUZTNOX-MRXNPFEDSA-N -1 1 319.336 1.580 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@](F)(CO)C3)c[n-]c2n1 ZINC000377089703 302142499 /nfs/dbraw/zinc/14/24/99/302142499.db2.gz OFXQSSHHUZTNOX-MRXNPFEDSA-N -1 1 319.336 1.580 20 0 DDADMM Cc1ccccc1[C@H]1C(=O)NCCN1C(=O)c1cncc([O-])c1 ZINC000122038151 302213341 /nfs/dbraw/zinc/21/33/41/302213341.db2.gz JQWWUAJTKGTEIO-HNNXBMFYSA-N -1 1 311.341 1.409 20 0 DDADMM Cc1cnc(C(=O)N2CCCC[C@H]2[C@@H]2CNC(=O)C2)c([O-])c1 ZINC000427527335 302331912 /nfs/dbraw/zinc/33/19/12/302331912.db2.gz IECOQSHAQRDNSO-RYUDHWBXSA-N -1 1 303.362 1.226 20 0 DDADMM CN1C(=O)CSC12CCN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC000378626059 302346118 /nfs/dbraw/zinc/34/61/18/302346118.db2.gz YBGOUDWVROIVFM-UHFFFAOYSA-N -1 1 324.377 1.669 20 0 DDADMM C[C@@H](CCNC(=O)OC(C)(C)C)NC(=O)c1cncc([O-])c1 ZINC000495799613 302468269 /nfs/dbraw/zinc/46/82/69/302468269.db2.gz QTMMIYOGCPELGW-JTQLQIEISA-N -1 1 309.366 1.820 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2sccc2Cl)n1 ZINC000528449738 303028266 /nfs/dbraw/zinc/02/82/66/303028266.db2.gz KDWLZMMRTZRWSJ-UHFFFAOYSA-N -1 1 306.800 1.491 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2[C@@H](C)[C@@H]2C2CC2)c1 ZINC000529407448 303125631 /nfs/dbraw/zinc/12/56/31/303125631.db2.gz KBWKAQSQEJQCKC-MCCGJVOXSA-N -1 1 324.402 1.531 20 0 DDADMM Cc1cnc(C(=O)NCC(C)(C)C(=O)N2CCCC2)c([O-])c1 ZINC000530085205 303174169 /nfs/dbraw/zinc/17/41/69/303174169.db2.gz NBVQEHQHDWOSLB-UHFFFAOYSA-N -1 1 305.378 1.474 20 0 DDADMM CNC(=O)c1ccc(CN2CCc3occc3[C@@H]2C(=O)[O-])cc1 ZINC000530166064 303177905 /nfs/dbraw/zinc/17/79/05/303177905.db2.gz WZKNVXXMRWIOKK-OAHLLOKOSA-N -1 1 314.341 1.823 20 0 DDADMM CC(C)(NC(=O)C1CC(c2cccc(F)c2)C1)c1nn[n-]n1 ZINC000530859268 303217506 /nfs/dbraw/zinc/21/75/06/303217506.db2.gz VMUPLWSRAKWAIM-UHFFFAOYSA-N -1 1 303.341 1.884 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](COC)C(C)C)o1 ZINC000531356690 303241733 /nfs/dbraw/zinc/24/17/33/303241733.db2.gz BIBRFWOFWRKWHM-SNVBAGLBSA-N -1 1 319.379 1.406 20 0 DDADMM CCC[C@@H](NC(=O)COc1cccc(CC)c1)c1nn[n-]n1 ZINC000531570309 303249953 /nfs/dbraw/zinc/24/99/53/303249953.db2.gz MOMLEAIRVOUQHX-CYBMUJFWSA-N -1 1 303.366 1.799 20 0 DDADMM Cc1nc(-c2ccc(N[C@H]3CC[S@](=O)C3)nc2)[n-]c(=O)c1C ZINC000533228997 303314102 /nfs/dbraw/zinc/31/41/02/303314102.db2.gz ITZNRUQVZARCIS-YTEVENLXSA-N -1 1 318.402 1.794 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H](OC1CCOCC1)c1ccccc1 ZINC000536200929 303357586 /nfs/dbraw/zinc/35/75/86/303357586.db2.gz VDXMQUCMMAPXKH-LBPRGKRZSA-N -1 1 303.322 1.075 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H](OC1CCOCC1)c1ccccc1 ZINC000536200929 303357587 /nfs/dbraw/zinc/35/75/87/303357587.db2.gz VDXMQUCMMAPXKH-LBPRGKRZSA-N -1 1 303.322 1.075 20 0 DDADMM CC[C@H](C)[C@H](C)N(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CC1 ZINC000357606187 306898091 /nfs/dbraw/zinc/89/80/91/306898091.db2.gz LNPXXTHGEBJRPP-IUCAKERBSA-N -1 1 309.366 1.936 20 0 DDADMM CCn1c([N-]S(=O)(=O)C[C@H](OC)C2CC2)nc2cccnc21 ZINC000563135281 303925697 /nfs/dbraw/zinc/92/56/97/303925697.db2.gz KKDCPMNCTCLIIA-LBPRGKRZSA-N -1 1 324.406 1.618 20 0 DDADMM CCN(CC)[C@@H](C(=O)NCc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC000358349750 306940106 /nfs/dbraw/zinc/94/01/06/306940106.db2.gz DBVDNEJOZRLDRV-CYBMUJFWSA-N -1 1 303.366 1.210 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC1(c2ncccc2C)CC1 ZINC000366112684 307067853 /nfs/dbraw/zinc/06/78/53/307067853.db2.gz HYEMIHMWQVLBLZ-UHFFFAOYSA-N -1 1 322.390 1.109 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(c2ncccc2C)CC1 ZINC000366112684 307067854 /nfs/dbraw/zinc/06/78/54/307067854.db2.gz HYEMIHMWQVLBLZ-UHFFFAOYSA-N -1 1 322.390 1.109 20 0 DDADMM CC(C)C[C@@H](NC(=O)C1(N(C)C)Cc2ccccc2C1)C(=O)[O-] ZINC000370723157 307133930 /nfs/dbraw/zinc/13/39/30/307133930.db2.gz RYUWYHOIUDQJTJ-OAHLLOKOSA-N -1 1 318.417 1.701 20 0 DDADMM C[C@]1(C2CCN(Cc3cc(O)cc(F)c3)CC2)NC(=O)NC1=O ZINC000372222462 307165435 /nfs/dbraw/zinc/16/54/35/307165435.db2.gz NUJGCAHOCRJZIL-MRXNPFEDSA-N -1 1 321.352 1.341 20 0 DDADMM CCC(=O)N1CCC[C@@H]1[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000373515683 307189716 /nfs/dbraw/zinc/18/97/16/307189716.db2.gz ZQMXXHWXMHHLGT-CHWSQXEVSA-N -1 1 317.389 1.793 20 0 DDADMM O=C(N[C@@H]1CCC(=O)N[C@H]1C1CC1)c1c(F)ccc([O-])c1F ZINC000375941994 307234682 /nfs/dbraw/zinc/23/46/82/307234682.db2.gz RBAVGPKKHZVXEN-OTYXRUKQSA-N -1 1 310.300 1.457 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3C[C@H]4OCCC[C@H]34)ccnc1-2 ZINC000376598626 307248932 /nfs/dbraw/zinc/24/89/32/307248932.db2.gz TZJBTSSPHFATCT-FPYQBYGPSA-N -1 1 301.350 1.031 20 0 DDADMM Cn1[n-]cc2/c(=N/C(=O)N3CCC[C@]34CCOC4)ccnc1-2 ZINC000376624440 307250166 /nfs/dbraw/zinc/25/01/66/307250166.db2.gz YTAJZWJVUWMXPM-GYZOOYGHSA-N -1 1 301.350 1.129 20 0 DDADMM COCC[C@H]1CCCCN(S(=O)(=O)c2c(C)o[n-]c2=N)C1 ZINC000377868755 307278090 /nfs/dbraw/zinc/27/80/90/307278090.db2.gz LATFYIFFDSPQJA-LLVKDONJSA-N -1 1 317.411 1.223 20 0 DDADMM O=C([O-])CCCNC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000520806258 307462649 /nfs/dbraw/zinc/46/26/49/307462649.db2.gz LKVYXGYSIAPSPJ-CYBMUJFWSA-N -1 1 323.393 1.971 20 0 DDADMM COC(=O)c1ccc(OCCNC(=O)c2cncc([O-])c2)cc1 ZINC000524696838 307478035 /nfs/dbraw/zinc/47/80/35/307478035.db2.gz IVTIVQLKXIIRBB-UHFFFAOYSA-N -1 1 316.313 1.383 20 0 DDADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCCC[C@@H]1C ZINC000544738625 307718919 /nfs/dbraw/zinc/71/89/19/307718919.db2.gz YEZBQMDGIHOGSW-CMPLNLGQSA-N -1 1 303.366 1.458 20 0 DDADMM COC[C@@H](C)n1ccc([N-]S(=O)(=O)N(C)CC2CC2)n1 ZINC000548618097 307779902 /nfs/dbraw/zinc/77/99/02/307779902.db2.gz QSEHDMNLYWHGHM-SNVBAGLBSA-N -1 1 302.400 1.089 20 0 DDADMM CCN(C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)[C@@H]1CCOC1 ZINC000564663446 308002509 /nfs/dbraw/zinc/00/25/09/308002509.db2.gz XSOLGOTVMINGHM-GFCCVEGCSA-N -1 1 318.377 1.232 20 0 DDADMM C[C@H](CNS(=O)(=O)c1cscn1)N1CCCC[C@H]1C ZINC000567163392 308073172 /nfs/dbraw/zinc/07/31/72/308073172.db2.gz KACYIXYMIAJBTM-GHMZBOCLSA-N -1 1 303.453 1.684 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)N2CCN(C)C[C@@H]2CC)s1 ZINC000567443349 308080774 /nfs/dbraw/zinc/08/07/74/308080774.db2.gz HYDRNSCFMWQJEM-NSHDSACASA-N -1 1 311.455 1.861 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cccc3c[nH]nc32)[C@H](C(=O)[O-])C1 ZINC000568284784 308114962 /nfs/dbraw/zinc/11/49/62/308114962.db2.gz OPECUJNWHOYNQA-JQWIXIFHSA-N -1 1 303.318 1.267 20 0 DDADMM O=C([O-])c1cn([C@H]2CCCN(Cc3ccc(F)cc3)C2)nn1 ZINC000570521429 308172354 /nfs/dbraw/zinc/17/23/54/308172354.db2.gz DDWPJRQOEGPKLQ-ZDUSSCGKSA-N -1 1 304.325 1.953 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@H](O)C[C@@H]3C)nc2)[n-]c(=O)c1C ZINC000573268294 308235432 /nfs/dbraw/zinc/23/54/32/308235432.db2.gz GHZPRZFDWYZTLW-TVQRCGJNSA-N -1 1 300.362 1.821 20 0 DDADMM COc1ccccc1CN(CCOCC(=O)[O-])C[C@@H]1CCCO1 ZINC000574331581 308268277 /nfs/dbraw/zinc/26/82/77/308268277.db2.gz GCDGUQAZAHJXPR-HNNXBMFYSA-N -1 1 323.389 1.777 20 0 DDADMM CCc1nc(SCC(=O)NC[C@H]2CCCO2)[n-]c(=O)c1C ZINC000575936127 308282656 /nfs/dbraw/zinc/28/26/56/308282656.db2.gz MFOJLLVELCJZMP-SNVBAGLBSA-N -1 1 311.407 1.440 20 0 DDADMM CO[C@@H]1C[C@@H](C(=O)[O-])N(C[C@H](O)COc2cc(C)ccc2C)C1 ZINC000576046180 308286569 /nfs/dbraw/zinc/28/65/69/308286569.db2.gz BSKMDWJCDKNBNP-ZNMIVQPWSA-N -1 1 323.389 1.217 20 0 DDADMM Cc1cc(N2CCOCC2)ccc1NC(=O)c1cnncc1[O-] ZINC001120664254 782082409 /nfs/dbraw/zinc/08/24/09/782082409.db2.gz HJSWYNPVFBABNQ-UHFFFAOYSA-N -1 1 314.345 1.580 20 0 DDADMM O=C(c1ccc2oc(=O)[nH]c2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000583652210 336024781 /nfs/dbraw/zinc/02/47/81/336024781.db2.gz WSZCPBXITZFKTC-VIFPVBQESA-N -1 1 314.305 1.066 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C2CC2)C(C)(C)CO)sc1C ZINC000582777497 337147542 /nfs/dbraw/zinc/14/75/42/337147542.db2.gz PIOPOUVJTLJZPP-NSHDSACASA-N -1 1 318.464 1.835 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)c2cccc(C)n2)o1 ZINC000584494623 337350013 /nfs/dbraw/zinc/35/00/13/337350013.db2.gz RQASOTKYAKEYTO-UHFFFAOYSA-N -1 1 309.347 1.168 20 0 DDADMM O=C([O-])c1cccnc1N1CCC[C@H](N2CCOCC2)CC1 ZINC000656092761 483940286 /nfs/dbraw/zinc/94/02/86/483940286.db2.gz QJSNPGXBFGZBNF-ZDUSSCGKSA-N -1 1 305.378 1.471 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCCc2n[nH]cc2C1 ZINC000404631398 484016356 /nfs/dbraw/zinc/01/63/56/484016356.db2.gz WAXNRRMCFIYTTN-UHFFFAOYSA-N -1 1 319.390 1.524 20 0 DDADMM NC(=O)[C@H]1CCCCC[C@@H]1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436948800 484128776 /nfs/dbraw/zinc/12/87/76/484128776.db2.gz VRPZPDJJDFNQHI-STQMWFEESA-N -1 1 304.346 1.125 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(F)cc1F)[C@@H]1CCOC1 ZINC000656614473 484244782 /nfs/dbraw/zinc/24/47/82/484244782.db2.gz ZPPZWWRAEKCBSS-NOZJJQNGSA-N -1 1 321.345 1.758 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccc(F)c1F)[C@H]1CCOC1 ZINC000656619483 484246054 /nfs/dbraw/zinc/24/60/54/484246054.db2.gz OMOXXXZACHCGGO-JOYOIKCWSA-N -1 1 321.345 1.758 20 0 DDADMM Cc1ccnc(-c2noc(CN3CC4CC3(C(=O)[O-])C4)n2)c1 ZINC000656759773 484317974 /nfs/dbraw/zinc/31/79/74/484317974.db2.gz FZTAUAIMMZAYQZ-UHFFFAOYSA-N -1 1 300.318 1.489 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@H](C(=O)[O-])C(C)(C)C)C2)n[nH]1 ZINC000663039258 484618083 /nfs/dbraw/zinc/61/80/83/484618083.db2.gz CERIFFXEZLGRGM-WDEREUQCSA-N -1 1 308.382 1.566 20 0 DDADMM C[C@@H]1C[C@H](C)[C@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000669755646 484636122 /nfs/dbraw/zinc/63/61/22/484636122.db2.gz UNFQWINVGBRBCI-JULQROHOSA-N -1 1 301.350 1.219 20 0 DDADMM CN(C)C(=O)CN(C)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000670412616 484817523 /nfs/dbraw/zinc/81/75/23/484817523.db2.gz ZTEKKXKMIWQOAR-UHFFFAOYSA-N -1 1 304.268 1.571 20 0 DDADMM O=C([O-])[C@@H]1CCCN1c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000668156801 484991879 /nfs/dbraw/zinc/99/18/79/484991879.db2.gz RKLXIJKPUWBJGW-YFKPBYRVSA-N -1 1 301.228 1.425 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C(F)(F)F)OC[C@@H]2C)c([O-])c1 ZINC000672171638 485247086 /nfs/dbraw/zinc/24/70/86/485247086.db2.gz AMGFVCABQXEMKK-WCBMZHEXSA-N -1 1 304.268 1.887 20 0 DDADMM O=C([O-])c1cccc(CNC(=O)N[C@H]2CCCc3cn[nH]c32)c1 ZINC000666537154 485263302 /nfs/dbraw/zinc/26/33/02/485263302.db2.gz TVOOAQWYFOTBBT-ZDUSSCGKSA-N -1 1 314.345 1.985 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1CC2(CC2)C1 ZINC000668745130 485306664 /nfs/dbraw/zinc/30/66/64/485306664.db2.gz VZFRBWJCXTYOAH-UHFFFAOYSA-N -1 1 300.362 1.858 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)[N-]c2cc(C(C)(C)C)n[nH]2)c1 ZINC000678614018 485648607 /nfs/dbraw/zinc/64/86/07/485648607.db2.gz VFRWAVBEMHJGDE-UHFFFAOYSA-N -1 1 309.395 1.794 20 0 DDADMM CCc1ccnc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000678802992 485692411 /nfs/dbraw/zinc/69/24/11/485692411.db2.gz CXZMREIJOXKVFU-CQSZACIVSA-N -1 1 308.345 1.677 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCN(C)C(=O)C1 ZINC000682601626 485700745 /nfs/dbraw/zinc/70/07/45/485700745.db2.gz BJMKONAAFQOFSX-JTQLQIEISA-N -1 1 320.393 1.139 20 0 DDADMM COCC1(NC(=O)CCc2c(C)nc3cc(=O)[n-]n3c2C)CC1 ZINC000675896857 486062255 /nfs/dbraw/zinc/06/22/55/486062255.db2.gz VROMWOHFCJYEQP-UHFFFAOYSA-N -1 1 318.377 1.280 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccc(OCC(F)(F)F)c1 ZINC000676335776 486198400 /nfs/dbraw/zinc/19/84/00/486198400.db2.gz IZLYVYJFIYNRQE-UHFFFAOYSA-N -1 1 301.228 1.071 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCCOC[C@@H]1C ZINC000676509730 486242004 /nfs/dbraw/zinc/24/20/04/486242004.db2.gz FJJMWUZXCBJDIH-JTQLQIEISA-N -1 1 318.377 1.232 20 0 DDADMM C[C@@H]1OCC[C@]12CN(C(=O)c1ccc([O-])c(F)c1)C[C@@H](C)O2 ZINC000684158473 486266824 /nfs/dbraw/zinc/26/68/24/486266824.db2.gz BIHCMKLZAAOPAN-GDLVEWKHSA-N -1 1 309.337 1.940 20 0 DDADMM CCC[C@H](NC(=O)c1cc(OC)c(O)c(OC)c1)c1nn[n-]n1 ZINC000680817567 486272733 /nfs/dbraw/zinc/27/27/33/486272733.db2.gz CZHBNFOZUGUUDS-VIFPVBQESA-N -1 1 321.337 1.194 20 0 DDADMM O=C(NCCOC[C@@H]1CCOC1)c1c(F)ccc([O-])c1F ZINC000685433962 486538178 /nfs/dbraw/zinc/53/81/78/486538178.db2.gz DWPNYDREYWEHFJ-SECBINFHSA-N -1 1 301.289 1.453 20 0 DDADMM O=C(c1ncoc1-c1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677928265 486581000 /nfs/dbraw/zinc/58/10/00/486581000.db2.gz VSBWIDIZDHAGRJ-GFCCVEGCSA-N -1 1 324.344 1.875 20 0 DDADMM CSC[C@H](CCO)[N-]S(=O)(=O)c1sccc1Cl ZINC000451237574 533789168 /nfs/dbraw/zinc/78/91/68/533789168.db2.gz RNRCJULINRNKSG-ZETCQYMHSA-N -1 1 315.869 1.794 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000457486528 534264525 /nfs/dbraw/zinc/26/45/25/534264525.db2.gz OZKDMQFAFNVOHB-LURJTMIESA-N -1 1 302.260 1.374 20 0 DDADMM Cc1ccn(C2CCN(Cc3cc(=O)n4[n-]ccc4n3)CC2)n1 ZINC000434513638 534320101 /nfs/dbraw/zinc/32/01/01/534320101.db2.gz LVDRCQUUQIOPGU-UHFFFAOYSA-N -1 1 312.377 1.365 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CCO[C@@H](CF)C1 ZINC000412557665 534692468 /nfs/dbraw/zinc/69/24/68/534692468.db2.gz VTKGSYFEHBDRIM-VIFPVBQESA-N -1 1 318.142 1.965 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NC2CCC2)o1 ZINC000331762091 526334858 /nfs/dbraw/zinc/33/48/58/526334858.db2.gz JLAAIJBXXUZMFE-UHFFFAOYSA-N -1 1 300.380 1.639 20 0 DDADMM CC(C)(C)n1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cn1 ZINC000331984279 526914172 /nfs/dbraw/zinc/91/41/72/526914172.db2.gz WWLJNSAEINJCPF-UHFFFAOYSA-N -1 1 319.365 1.747 20 0 DDADMM CC(C)(C)C(=O)NCC[N-]S(=O)(=O)c1sccc1Cl ZINC000451153478 527596772 /nfs/dbraw/zinc/59/67/72/527596772.db2.gz ZWVNGESFBXGNDJ-UHFFFAOYSA-N -1 1 324.855 1.842 20 0 DDADMM CCOC(=O)[C@@H](CC)C([O-])=Nc1nc([C@H](C)OC)ns1 ZINC000412324187 527755350 /nfs/dbraw/zinc/75/53/50/527755350.db2.gz MSFNKNFDTMRGNJ-YUMQZZPRSA-N -1 1 301.368 1.195 20 0 DDADMM CCC1(NC(=O)c2coc(S(=O)(=O)[N-]C)c2)CCCC1 ZINC000295813142 527798018 /nfs/dbraw/zinc/79/80/18/527798018.db2.gz BSPNBRMUIYVYEF-UHFFFAOYSA-N -1 1 300.380 1.640 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCCO1 ZINC000294567461 527842129 /nfs/dbraw/zinc/84/21/29/527842129.db2.gz VSJWQOJJKRCNOX-GFCCVEGCSA-N -1 1 320.418 1.905 20 0 DDADMM CCNC(=O)c1ccc(=NCC2(c3ccc(F)cc3)CC2)[n-]n1 ZINC000413067106 528228941 /nfs/dbraw/zinc/22/89/41/528228941.db2.gz WFNMJMLKYBPBCX-UHFFFAOYSA-N -1 1 314.364 1.931 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2cccc(F)c2F)[n-]n1 ZINC000413144065 528230537 /nfs/dbraw/zinc/23/05/37/528230537.db2.gz SVCGBBSEAGFLDJ-UHFFFAOYSA-N -1 1 306.316 1.581 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@@]3(C)C[C@H]3F)CC2)n1 ZINC000424804993 528368644 /nfs/dbraw/zinc/36/86/44/528368644.db2.gz GGONLRPVUCJWOM-BMIGLBTASA-N -1 1 324.356 1.436 20 0 DDADMM CCOC(=O)c1noc(CSc2nc(C)c(C)c(=O)[n-]2)n1 ZINC000413003062 528390602 /nfs/dbraw/zinc/39/06/02/528390602.db2.gz SVQVCSUDDSOXDG-UHFFFAOYSA-N -1 1 310.335 1.651 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1sccc1Cl)C(N)=O ZINC000451147886 528715785 /nfs/dbraw/zinc/71/57/85/528715785.db2.gz PJAXZWMCZVZJDB-UHFFFAOYSA-N -1 1 310.828 1.724 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)[C@H](O)C(C)C ZINC000416622441 529195217 /nfs/dbraw/zinc/19/52/17/529195217.db2.gz MLEBZZRGUBYSEG-ZYHUDNBSSA-N -1 1 319.379 1.140 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332481952 529275190 /nfs/dbraw/zinc/27/51/90/529275190.db2.gz WWYZKXWNMABJBY-NEPJUHHUSA-N -1 1 323.466 1.816 20 0 DDADMM CC[C@@H]1CCC[C@@H]1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000452527281 529308020 /nfs/dbraw/zinc/30/80/20/529308020.db2.gz LVDIJRROCJXRKU-VXGBXAGGSA-N -1 1 305.378 1.460 20 0 DDADMM COc1ccccc1CCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737149390 598984116 /nfs/dbraw/zinc/98/41/16/598984116.db2.gz IAJQQTFSYLBQKZ-UHFFFAOYSA-N -1 1 324.344 1.243 20 0 DDADMM COc1ccccc1CCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737149390 598984118 /nfs/dbraw/zinc/98/41/18/598984118.db2.gz IAJQQTFSYLBQKZ-UHFFFAOYSA-N -1 1 324.344 1.243 20 0 DDADMM CC(C)c1nnc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000735952009 598984795 /nfs/dbraw/zinc/98/47/95/598984795.db2.gz BQFSUMNICWSCDD-UHFFFAOYSA-N -1 1 316.350 1.489 20 0 DDADMM CC(C)c1nnc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000735952009 598984796 /nfs/dbraw/zinc/98/47/96/598984796.db2.gz BQFSUMNICWSCDD-UHFFFAOYSA-N -1 1 316.350 1.489 20 0 DDADMM CCOc1ncccc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736655734 598991419 /nfs/dbraw/zinc/99/14/19/598991419.db2.gz FNWGPVNGPCXLLZ-UHFFFAOYSA-N -1 1 311.305 1.308 20 0 DDADMM CCOc1ncccc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736655734 598991421 /nfs/dbraw/zinc/99/14/21/598991421.db2.gz FNWGPVNGPCXLLZ-UHFFFAOYSA-N -1 1 311.305 1.308 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCCC[C@@H]1c1cn[nH]c1 ZINC000738258157 599033937 /nfs/dbraw/zinc/03/39/37/599033937.db2.gz GGSMNFDRFAWEHK-CYBMUJFWSA-N -1 1 324.348 1.352 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCCC[C@@H]1c1cn[nH]c1 ZINC000738258157 599033939 /nfs/dbraw/zinc/03/39/39/599033939.db2.gz GGSMNFDRFAWEHK-CYBMUJFWSA-N -1 1 324.348 1.352 20 0 DDADMM CC[C@@H](NC(=O)Nc1ccccc1N1CCN(C)CC1)C(=O)[O-] ZINC000818293829 597143308 /nfs/dbraw/zinc/14/33/08/597143308.db2.gz YHUBBKDMSMDGDH-GFCCVEGCSA-N -1 1 320.393 1.423 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CC[C@H](C(=O)[O-])C1)c1ccc(F)cc1 ZINC000818374916 597509443 /nfs/dbraw/zinc/50/94/43/597509443.db2.gz UKDHSHUYNUVLHK-JSGCOSHPSA-N -1 1 323.368 1.545 20 0 DDADMM C[C@@H](NCC(=O)NCC(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC000820142218 597807178 /nfs/dbraw/zinc/80/71/78/597807178.db2.gz PYZGSLBCIIVJBX-SSDOTTSWSA-N -1 1 305.161 1.845 20 0 DDADMM C[C@H](NCC(=O)NCC(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC000820142259 597807239 /nfs/dbraw/zinc/80/72/39/597807239.db2.gz RTCRGQQDECNRHL-QMMMGPOBSA-N -1 1 304.268 1.557 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC[C@H](c2ccc(F)cc2)CC1 ZINC000821530246 597811064 /nfs/dbraw/zinc/81/10/64/597811064.db2.gz BYTWIJAEVIQVLU-LBPRGKRZSA-N -1 1 308.353 1.596 20 0 DDADMM C[C@@H](O)CN1CCN(C(=O)Nc2ccccc2C(=O)[O-])C[C@@H]1C ZINC000820161948 598178808 /nfs/dbraw/zinc/17/88/08/598178808.db2.gz UZXRBVAVAPKWOC-NWDGAFQWSA-N -1 1 321.377 1.304 20 0 DDADMM Cc1ccn(CCCOc2ccccc2)c(=O)c1-c1nn[n-]n1 ZINC000822373942 607349734 /nfs/dbraw/zinc/34/97/34/607349734.db2.gz GJCQNYZLQMCDBB-UHFFFAOYSA-N -1 1 311.345 1.806 20 0 DDADMM CCCOc1ccc(CNc2nccnc2-c2nnn[n-]2)cn1 ZINC000736490465 598468569 /nfs/dbraw/zinc/46/85/69/598468569.db2.gz MKLLCXZESJERNR-UHFFFAOYSA-N -1 1 312.337 1.453 20 0 DDADMM CCCOc1ccc(CNc2nccnc2-c2nn[n-]n2)cn1 ZINC000736490465 598468570 /nfs/dbraw/zinc/46/85/70/598468570.db2.gz MKLLCXZESJERNR-UHFFFAOYSA-N -1 1 312.337 1.453 20 0 DDADMM CN(C[C@H]1CCCOC1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000736792534 598468693 /nfs/dbraw/zinc/46/86/93/598468693.db2.gz RVWPHBSSFKKAJE-SSDOTTSWSA-N -1 1 314.802 1.839 20 0 DDADMM CN(C[C@H]1CCCOC1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000736792534 598468695 /nfs/dbraw/zinc/46/86/95/598468695.db2.gz RVWPHBSSFKKAJE-SSDOTTSWSA-N -1 1 314.802 1.839 20 0 DDADMM Clc1ccc(NCCOC[C@H]2CCOC2)nc1-c1nnn[n-]1 ZINC000737572980 598593606 /nfs/dbraw/zinc/59/36/06/598593606.db2.gz CDSXXPCKVHIYDT-VIFPVBQESA-N -1 1 324.772 1.380 20 0 DDADMM Clc1ccc(NCCOC[C@H]2CCOC2)nc1-c1nn[n-]n1 ZINC000737572980 598593608 /nfs/dbraw/zinc/59/36/08/598593608.db2.gz CDSXXPCKVHIYDT-VIFPVBQESA-N -1 1 324.772 1.380 20 0 DDADMM C[C@H](C(=O)n1ncc(-c2nn[n-]n2)c1N)c1cccc(Cl)c1 ZINC000819884761 599318575 /nfs/dbraw/zinc/31/85/75/599318575.db2.gz ZEJFSBGIFZZZGJ-ZETCQYMHSA-N -1 1 317.740 1.743 20 0 DDADMM C[C@](O)(CNc1cc(-c2nnn[n-]2)nc2ccccc21)C1CC1 ZINC000820467674 599552282 /nfs/dbraw/zinc/55/22/82/599552282.db2.gz LJMQLQHSNBEMBN-INIZCTEOSA-N -1 1 310.361 1.988 20 0 DDADMM C[C@](O)(CNc1cc(-c2nn[n-]n2)nc2ccccc21)C1CC1 ZINC000820467674 599552284 /nfs/dbraw/zinc/55/22/84/599552284.db2.gz LJMQLQHSNBEMBN-INIZCTEOSA-N -1 1 310.361 1.988 20 0 DDADMM CCc1ccccc1OCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000737275120 599778042 /nfs/dbraw/zinc/77/80/42/599778042.db2.gz SYWZEUCZSDCKPB-ZDUSSCGKSA-N -1 1 317.345 1.163 20 0 DDADMM COc1ccc(CCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000378716825 599778175 /nfs/dbraw/zinc/77/81/75/599778175.db2.gz NKGSLIWFWGLNKG-AWEZNQCLSA-N -1 1 317.345 1.163 20 0 DDADMM Cc1nn(C)c(C)c1-c1cc(C(=O)N(C)C(C)(C)C(=O)[O-])n[nH]1 ZINC000738905983 599848240 /nfs/dbraw/zinc/84/82/40/599848240.db2.gz PMWWWXQFTMDLPA-UHFFFAOYSA-N -1 1 319.365 1.362 20 0 DDADMM Cc1cc(NC(=O)CN(C)C[C@@H](C)C(=O)[O-])n(C(C)(C)C)n1 ZINC000738427068 600217960 /nfs/dbraw/zinc/21/79/60/600217960.db2.gz AQQSLUBKCFLDLS-SNVBAGLBSA-N -1 1 310.398 1.538 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1C[C@H](c2ccc(F)cc2)C[C@H]1C ZINC000736763619 600264090 /nfs/dbraw/zinc/26/40/90/600264090.db2.gz TWSHKYNKCJDIJE-NQBHXWOUSA-N -1 1 308.353 1.593 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)CCSCC(=O)[O-] ZINC000736825191 600298113 /nfs/dbraw/zinc/29/81/13/600298113.db2.gz LBEGOAYCIGNILI-VXGBXAGGSA-N -1 1 300.424 1.280 20 0 DDADMM C[C@H](CN(Cc1ccccc1)C(=O)[C@H]1CCCN1C)C(=O)[O-] ZINC000736582396 600377598 /nfs/dbraw/zinc/37/75/98/600377598.db2.gz GZXBCJKAGYYRNZ-UKRRQHHQSA-N -1 1 304.390 1.830 20 0 DDADMM COc1ccccc1[C@H]1CCN(CC(=O)NCCC(=O)[O-])C1 ZINC000738128367 600442216 /nfs/dbraw/zinc/44/22/16/600442216.db2.gz NPKMHVPOFGZBGN-LBPRGKRZSA-N -1 1 306.362 1.075 20 0 DDADMM COc1ccccc1[C@H]1CCN([C@H](C)C(=O)NCC(=O)[O-])C1 ZINC000738129409 600442382 /nfs/dbraw/zinc/44/23/82/600442382.db2.gz PSKTVWGDXONZTD-NEPJUHHUSA-N -1 1 306.362 1.074 20 0 DDADMM Cc1cc(CNS(=O)(=O)c2cc(C(=O)[O-])ccc2F)n[nH]1 ZINC000738392101 600445128 /nfs/dbraw/zinc/44/51/28/600445128.db2.gz IAFVWQOZCWPEEZ-UHFFFAOYSA-N -1 1 313.310 1.034 20 0 DDADMM Cc1cncc(C=CC(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000822400122 607446935 /nfs/dbraw/zinc/44/69/35/607446935.db2.gz XCFJTURDNHEKTD-NSCUHMNNSA-N -1 1 322.328 1.722 20 0 DDADMM Cc1cncc(C=CC(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000822400122 607446937 /nfs/dbraw/zinc/44/69/37/607446937.db2.gz XCFJTURDNHEKTD-NSCUHMNNSA-N -1 1 322.328 1.722 20 0 DDADMM O=C([O-])c1ccc(C(=O)NC2(c3nn[nH]n3)CCCC2)s1 ZINC000740109714 600539200 /nfs/dbraw/zinc/53/92/00/600539200.db2.gz FJRYMBZMDLELEJ-UHFFFAOYSA-N -1 1 307.335 1.159 20 0 DDADMM Cc1cc(CNC(=O)Nc2nnc(CCCC(=O)[O-])s2)n[nH]1 ZINC000738390294 600635416 /nfs/dbraw/zinc/63/54/16/600635416.db2.gz XRDUIEZCLDHAQD-UHFFFAOYSA-N -1 1 324.366 1.299 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)CN1CCN2CCCC[C@@H]2C1 ZINC000833107382 600827138 /nfs/dbraw/zinc/82/71/38/600827138.db2.gz CYHWHCOXKFGMTO-CYBMUJFWSA-N -1 1 317.389 1.493 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)CN1CCC2(C1)CCOCC2)C(=O)[O-] ZINC000828717798 600861441 /nfs/dbraw/zinc/86/14/41/600861441.db2.gz KMKXYEGHUMIISR-OCCSQVGLSA-N -1 1 312.410 1.104 20 0 DDADMM C[C@@H](C(=O)N[C@@H](C(=O)[O-])c1ccccn1)N1CCCCCC1 ZINC000825995109 600976133 /nfs/dbraw/zinc/97/61/33/600976133.db2.gz MXDVOYQZAKYMMW-GXTWGEPZSA-N -1 1 305.378 1.588 20 0 DDADMM C[C@@](NCC(=O)N[C@H]1CCOc2ccccc21)(C(=O)[O-])C1CC1 ZINC000827729300 601032465 /nfs/dbraw/zinc/03/24/65/601032465.db2.gz ZSKKDYVRHLSWDQ-GUYCJALGSA-N -1 1 318.373 1.469 20 0 DDADMM CNC(=O)Cc1nc(CN2CCCC[C@H]2CC(=O)[O-])cs1 ZINC000830997199 601052436 /nfs/dbraw/zinc/05/24/36/601052436.db2.gz SAKMBXVCQCQRPH-NSHDSACASA-N -1 1 311.407 1.261 20 0 DDADMM C[C@H](Sc1ccccc1C(=O)NCCc1nc[nH]n1)C(=O)[O-] ZINC000736715509 601096384 /nfs/dbraw/zinc/09/63/84/601096384.db2.gz FYJVFWCXCVVQTQ-VIFPVBQESA-N -1 1 320.374 1.342 20 0 DDADMM Cc1nc([C@@H]2CN(C(=O)c3ccc(C(=O)[O-])s3)CCO2)n[nH]1 ZINC000738824434 601100725 /nfs/dbraw/zinc/10/07/25/601100725.db2.gz WBTPSJWUMXCHKT-QMMMGPOBSA-N -1 1 322.346 1.087 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H](C2CCCCC2)CN1C(=O)CCc1nc[nH]n1 ZINC000833177209 601138433 /nfs/dbraw/zinc/13/84/33/601138433.db2.gz LBFJMKUEONGOLX-OLZOCXBDSA-N -1 1 320.393 1.619 20 0 DDADMM C/C(=C/CN1CCN(Cc2cn3ccccc3n2)CC1)C(=O)[O-] ZINC000825746438 601154954 /nfs/dbraw/zinc/15/49/54/601154954.db2.gz ILWBWCIVNQZFIK-RZNTYIFUSA-N -1 1 314.389 1.483 20 0 DDADMM COc1cccc(CN(C)C(=O)CN[C@](C)(C(=O)[O-])C2CC2)c1 ZINC000832549696 601174854 /nfs/dbraw/zinc/17/48/54/601174854.db2.gz RBUQZTAHCNYSFD-KRWDZBQOSA-N -1 1 320.389 1.497 20 0 DDADMM CN1CCC(NS(=O)(=O)c2ccc(/C=C/C(=O)[O-])cc2)CC1 ZINC000013198120 601208436 /nfs/dbraw/zinc/20/84/36/601208436.db2.gz SDQCSJVYMQKLLE-QPJJXVBHSA-N -1 1 324.402 1.157 20 0 DDADMM CC[C@](C)(CC(=O)[O-])NS(=O)(=O)c1c(F)cccc1F ZINC000828672327 601479675 /nfs/dbraw/zinc/47/96/75/601479675.db2.gz PYKOIYIDXOGMCI-GFCCVEGCSA-N -1 1 307.318 1.887 20 0 DDADMM CCCNC(=O)NC(=O)CNC1(C(=O)[O-])CCC(CC)CC1 ZINC000829512960 601496460 /nfs/dbraw/zinc/49/64/60/601496460.db2.gz CPQMMISCKPMENQ-UHFFFAOYSA-N -1 1 313.398 1.235 20 0 DDADMM Cc1nc(NC(=O)[C@H](C)N2C[C@H](C(=O)[O-])[C@H](C)C2)sc1C ZINC000833003291 601513690 /nfs/dbraw/zinc/51/36/90/601513690.db2.gz JHHUIVMBRWRIDV-HDBBIHSSSA-N -1 1 311.407 1.739 20 0 DDADMM Cc1ccccc1NC(=O)CCN1CCO[C@@H](CC(=O)[O-])C1 ZINC000832978380 601570230 /nfs/dbraw/zinc/57/02/30/601570230.db2.gz CNADQLHFINMXJZ-ZDUSSCGKSA-N -1 1 306.362 1.499 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@](F)(C(=O)[O-])C1 ZINC000832847170 601711324 /nfs/dbraw/zinc/71/13/24/601711324.db2.gz ATBOKHSYXNPFRW-SNVBAGLBSA-N -1 1 309.219 1.376 20 0 DDADMM Cc1[nH]nc(C(=O)N2C[C@H](C)[C@@H](C(=O)[O-])C2)c1Br ZINC000832989583 601717342 /nfs/dbraw/zinc/71/73/42/601717342.db2.gz CQPUDGHPGLUPNT-FSPLSTOPSA-N -1 1 316.155 1.273 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CCO[C@H](CC(=O)[O-])C1)c1ccccc1 ZINC000829608833 601742245 /nfs/dbraw/zinc/74/22/45/601742245.db2.gz RGYPHTWGTKTIDJ-GDBMZVCRSA-N -1 1 320.389 1.382 20 0 DDADMM CC[C@@H](NC(=O)c1cccc(Br)c1O)C(=O)[O-] ZINC000818308199 601761558 /nfs/dbraw/zinc/76/15/58/601761558.db2.gz YFCVXBHMURGEIL-MRVPVSSYSA-N -1 1 302.124 1.748 20 0 DDADMM COC(=O)[C@@H]1CN(Cn2ncc3cc(C(=O)[O-])ccc32)C[C@H]1C ZINC000831119931 601814578 /nfs/dbraw/zinc/81/45/78/601814578.db2.gz WEFQAVOCXUUAEL-ZWNOBZJWSA-N -1 1 317.345 1.433 20 0 DDADMM C[C@@H]1CN(CN2C(=O)CC3(CCCCC3)C2=O)C[C@H]1C(=O)[O-] ZINC000828452252 601815061 /nfs/dbraw/zinc/81/50/61/601815061.db2.gz ZFWVEFNWWAHMGM-VXGBXAGGSA-N -1 1 308.378 1.306 20 0 DDADMM O=C([O-])NC[C@H]1CCN(C[C@@H](O)c2c(F)cccc2F)C1 ZINC000740643735 601940135 /nfs/dbraw/zinc/94/01/35/601940135.db2.gz QBPKBGZEDZMYQE-BXKDBHETSA-N -1 1 300.305 1.588 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CC(=O)N2CCSc3ccccc32)C1 ZINC000387802756 601949241 /nfs/dbraw/zinc/94/92/41/601949241.db2.gz OVDQFJXOVYEEMP-INIZCTEOSA-N -1 1 320.414 1.922 20 0 DDADMM CC[C@H]1CN(Cc2ccc(C(=O)[O-])s2)CCN1CCOC ZINC000829148979 601952344 /nfs/dbraw/zinc/95/23/44/601952344.db2.gz BTZMAAQMQIXHTN-LBPRGKRZSA-N -1 1 312.435 1.989 20 0 DDADMM Cc1ccccc1CN(C)C(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000740182685 601958699 /nfs/dbraw/zinc/95/86/99/601958699.db2.gz IFXXPMFWWICRDL-AWEZNQCLSA-N -1 1 319.405 1.543 20 0 DDADMM C[C@H](C(=O)NCC1CCCCC1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738624056 601959371 /nfs/dbraw/zinc/95/93/71/601959371.db2.gz KGZGDZRNQDOONB-OCCSQVGLSA-N -1 1 311.426 1.661 20 0 DDADMM Clc1ccc(N2CC[C@H]([C@H]3CCOC3)C2)nc1-c1nnn[n-]1 ZINC000826352277 607544424 /nfs/dbraw/zinc/54/44/24/607544424.db2.gz VPXSRGPMYBDWGM-UWVGGRQHSA-N -1 1 320.784 1.778 20 0 DDADMM Clc1ccc(N2CC[C@H]([C@H]3CCOC3)C2)nc1-c1nn[n-]n1 ZINC000826352277 607544425 /nfs/dbraw/zinc/54/44/25/607544425.db2.gz VPXSRGPMYBDWGM-UWVGGRQHSA-N -1 1 320.784 1.778 20 0 DDADMM CCOCCN1CCN(C(=O)[C@H](C)CC(=O)[O-])C[C@H]1CC ZINC000830011277 602165530 /nfs/dbraw/zinc/16/55/30/602165530.db2.gz BVVKSLLCJMJFQM-CHWSQXEVSA-N -1 1 300.399 1.057 20 0 DDADMM COC(C)(C)CN(C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000831483869 602246523 /nfs/dbraw/zinc/24/65/23/602246523.db2.gz FEXUJTGYWKCEOI-UHFFFAOYSA-N -1 1 300.399 1.247 20 0 DDADMM O=C([O-])N1CSC[C@@H]1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000740476568 602326464 /nfs/dbraw/zinc/32/64/64/602326464.db2.gz VFFJVMYYQHNZOS-LLVKDONJSA-N -1 1 319.346 1.259 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000739316540 602340968 /nfs/dbraw/zinc/34/09/68/602340968.db2.gz SSVYNSULJANZBY-STQMWFEESA-N -1 1 323.393 1.969 20 0 DDADMM C[C@H](O)[C@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000824691019 607562966 /nfs/dbraw/zinc/56/29/66/607562966.db2.gz XENOULFBYPHIBF-IUCAKERBSA-N -1 1 308.773 1.512 20 0 DDADMM C[C@H](O)[C@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000824691019 607562968 /nfs/dbraw/zinc/56/29/68/607562968.db2.gz XENOULFBYPHIBF-IUCAKERBSA-N -1 1 308.773 1.512 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCCNC(=O)[O-])cnc2n1 ZINC000740152064 602406493 /nfs/dbraw/zinc/40/64/93/602406493.db2.gz BJCNYWOSWOHPCP-UHFFFAOYSA-N -1 1 304.306 1.031 20 0 DDADMM C[C@@H]1CCCC[C@]1(CNC(=O)[O-])NCc1nnc(C2CC2)n1C ZINC000739103149 602553834 /nfs/dbraw/zinc/55/38/34/602553834.db2.gz TUMKZJVZVKNNNU-BDJLRTHQSA-N -1 1 321.425 1.999 20 0 DDADMM CN(C)c1cc2c(nn1)CCN(CC1CCN(C(=O)[O-])CC1)C2 ZINC000739612802 602677325 /nfs/dbraw/zinc/67/73/25/602677325.db2.gz CIAOWVZKYKUXDR-UHFFFAOYSA-N -1 1 319.409 1.291 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)C[C@H](C)N1C(=O)[O-] ZINC000739166633 602806878 /nfs/dbraw/zinc/80/68/78/602806878.db2.gz OPRGPJLSHSSDGG-UWVGGRQHSA-N -1 1 318.333 1.883 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)NC2CC[NH+](C3CC3)CC2)c([O-])c1 ZINC000740696750 602845350 /nfs/dbraw/zinc/84/53/50/602845350.db2.gz ALOWHZUVCGUAAI-UHFFFAOYSA-N -1 1 319.361 1.839 20 0 DDADMM Cc1nnc(NC(=O)[C@@H](C)[C@@H](NC(=O)[O-])c2ccccc2)[nH]1 ZINC000830770236 602847852 /nfs/dbraw/zinc/84/78/52/602847852.db2.gz PLPVPNDZDWNWPJ-GZMMTYOYSA-N -1 1 303.322 1.697 20 0 DDADMM Cc1n[nH]c(NC(=O)[C@@H](C)[C@@H](NC(=O)[O-])c2ccccc2)n1 ZINC000830770236 602847855 /nfs/dbraw/zinc/84/78/55/602847855.db2.gz PLPVPNDZDWNWPJ-GZMMTYOYSA-N -1 1 303.322 1.697 20 0 DDADMM CC(C)[N@H+](C)CCCNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000738833562 602847972 /nfs/dbraw/zinc/84/79/72/602847972.db2.gz HQADMORKHCONKL-UHFFFAOYSA-N -1 1 309.366 1.942 20 0 DDADMM CC(C)[N@@H+](C)CCCNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000738833562 602847974 /nfs/dbraw/zinc/84/79/74/602847974.db2.gz HQADMORKHCONKL-UHFFFAOYSA-N -1 1 309.366 1.942 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@H](NC(=O)[O-])[C@@H](C)C1 ZINC000740032534 602929134 /nfs/dbraw/zinc/92/91/34/602929134.db2.gz UDEUHENXBPDNFD-GWCFXTLKSA-N -1 1 323.397 1.338 20 0 DDADMM CN1CCC[C@H]1C(=O)N1CCN(C(=O)[O-])Cc2ccccc21 ZINC000739728598 603047626 /nfs/dbraw/zinc/04/76/26/603047626.db2.gz KIXNYDLNXAODGA-AWEZNQCLSA-N -1 1 303.362 1.607 20 0 DDADMM O=C([O-])N1CC[C@@H](N[C@@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000740504980 603088962 /nfs/dbraw/zinc/08/89/62/603088962.db2.gz HMDXWGYQKBVEAF-DGCLKSJQSA-N -1 1 323.780 1.787 20 0 DDADMM C[C@@H](C(=O)N[C@@H]1CCN(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000738621807 603178289 /nfs/dbraw/zinc/17/82/89/603178289.db2.gz AJTPMNCKSWHSNF-GXTWGEPZSA-N -1 1 305.378 1.375 20 0 DDADMM C[C@@H](CC(=O)NC[C@H](c1ccco1)N1CCCC1)NC(=O)[O-] ZINC000824627990 603232854 /nfs/dbraw/zinc/23/28/54/603232854.db2.gz BWYLFYXYTNCAQW-NWDGAFQWSA-N -1 1 309.366 1.579 20 0 DDADMM O=C([O-])N1CCC(N(Cc2cc(=O)c(O)co2)C2CC2)CC1 ZINC000740501179 603248100 /nfs/dbraw/zinc/24/81/00/603248100.db2.gz WLNVAZYNBJUQRY-UHFFFAOYSA-N -1 1 308.334 1.452 20 0 DDADMM CN(CCCNC(=O)[O-])[C@H]1CCCN(c2ccccc2)C1=O ZINC000739677006 603254255 /nfs/dbraw/zinc/25/42/55/603254255.db2.gz RHYFKRWCQSOAKP-AWEZNQCLSA-N -1 1 305.378 1.772 20 0 DDADMM CC(C)c1ncc2c(n1)CN(C[C@@H]1CCN(C(=O)[O-])C1)CC2 ZINC000738880744 603338613 /nfs/dbraw/zinc/33/86/13/603338613.db2.gz RMTPBFMMPSAYJD-LBPRGKRZSA-N -1 1 304.394 1.958 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc3n[nH]cc32)CC[C@@H]1NC(=O)[O-] ZINC000739165879 603371378 /nfs/dbraw/zinc/37/13/78/603371378.db2.gz IVTDIIQVEDTRNC-CABZTGNLSA-N -1 1 302.334 1.681 20 0 DDADMM O=C([O-])N1CC[C@H](CSc2nc(-c3ccccn3)n[nH]2)C1 ZINC000740501307 603374736 /nfs/dbraw/zinc/37/47/36/603374736.db2.gz MFBMBVIXFAZSRR-VIFPVBQESA-N -1 1 305.363 1.959 20 0 DDADMM C[C@H](C(=O)N[C@@H](CNC(=O)[O-])C1CC1)N(C)Cc1ccccc1 ZINC000738620617 603429585 /nfs/dbraw/zinc/42/95/85/603429585.db2.gz VZBUHJWSVQYPSG-DOMZBBRYSA-N -1 1 319.405 1.669 20 0 DDADMM O=C([O-])N1CCC[C@@H]1C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000831704016 603552265 /nfs/dbraw/zinc/55/22/65/603552265.db2.gz FEDMZEDQDGOBNZ-GFCCVEGCSA-N -1 1 320.324 1.503 20 0 DDADMM CN(C)[C@@H](CNC(=O)CC1CN(C(=O)[O-])C1)c1cccc(F)c1 ZINC000827993127 603555633 /nfs/dbraw/zinc/55/56/33/603555633.db2.gz AAJXRHPPQGJKPK-AWEZNQCLSA-N -1 1 323.368 1.545 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CC(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000831320727 603561718 /nfs/dbraw/zinc/56/17/18/603561718.db2.gz QZUDPKKGEWDBFA-LLVKDONJSA-N -1 1 307.354 1.043 20 0 DDADMM C[C@H](C1CC1)N(C(=O)CN1CCC[C@@H]1CNC(=O)[O-])C1CC1 ZINC000824545490 603573673 /nfs/dbraw/zinc/57/36/73/603573673.db2.gz XZPNEGLEBSBPGO-BXUZGUMPSA-N -1 1 309.410 1.508 20 0 DDADMM CN(C[C@@H]1CCC[N@@H+]1Cc1nc(=O)c2sccc2[n-]1)C(=O)[O-] ZINC000828229915 603578061 /nfs/dbraw/zinc/57/80/61/603578061.db2.gz JKSJFGXUZDZPKF-VIFPVBQESA-N -1 1 322.390 1.971 20 0 DDADMM CN(C[C@@H]1CCC[N@H+]1Cc1nc(=O)c2sccc2[n-]1)C(=O)[O-] ZINC000828229915 603578065 /nfs/dbraw/zinc/57/80/65/603578065.db2.gz JKSJFGXUZDZPKF-VIFPVBQESA-N -1 1 322.390 1.971 20 0 DDADMM CC1CCN(C(=O)CN2CCC[C@@H](C)[C@@H]2CNC(=O)[O-])CC1 ZINC000825937130 603711958 /nfs/dbraw/zinc/71/19/58/603711958.db2.gz HFSMPCKWARKENO-KGLIPLIRSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2CCCc3[nH]ncc32)C[C@@H](C)N1C(=O)[O-] ZINC000825975434 603950037 /nfs/dbraw/zinc/95/00/37/603950037.db2.gz OUBJVIPNWIEBFJ-MXWKQRLJSA-N -1 1 306.366 1.429 20 0 DDADMM C[C@@H](NC(=O)[O-])C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000825176966 603969257 /nfs/dbraw/zinc/96/92/57/603969257.db2.gz DLOMCAFXZBHJIB-BXKDBHETSA-N -1 1 313.785 1.715 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@]2(F)CCN(C(=O)[O-])C2)c1 ZINC000828046126 604066958 /nfs/dbraw/zinc/06/69/58/604066958.db2.gz GCJYFSZCAUDVNJ-AWEZNQCLSA-N -1 1 310.329 1.174 20 0 DDADMM CN(Cc1ccccc1)C(=O)CN1CCC[C@@H]1CN(C)C(=O)[O-] ZINC000828218074 604103889 /nfs/dbraw/zinc/10/38/89/604103889.db2.gz FLRDGTJGOFBSDQ-OAHLLOKOSA-N -1 1 319.405 1.719 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@H]1NC(=O)N1CCN(CC2CC2)CC1 ZINC000832468772 604185056 /nfs/dbraw/zinc/18/50/56/604185056.db2.gz UKTKKLFLAKJNBK-ZIAGYGMSSA-N -1 1 324.425 1.160 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC(CC(=O)[O-])CC2)n[nH]1 ZINC000832884454 604306923 /nfs/dbraw/zinc/30/69/23/604306923.db2.gz ZSAGGRVKBFAJJR-SNVBAGLBSA-N -1 1 308.382 1.545 20 0 DDADMM CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)c1[nH]nc2ccccc21 ZINC000827015813 604449172 /nfs/dbraw/zinc/44/91/72/604449172.db2.gz IKXRERRFCHPGSB-LBPRGKRZSA-N -1 1 305.334 1.513 20 0 DDADMM Cc1cc(CC(=O)NCc2ccc([C@H](C)NC(=O)[O-])cc2)[nH]n1 ZINC000830030738 604454342 /nfs/dbraw/zinc/45/43/42/604454342.db2.gz QAYMFHXCWILSLW-NSHDSACASA-N -1 1 316.361 1.906 20 0 DDADMM Cc1oc(S(=O)(=O)N2CCC[C@H]2CN(C)C)cc1C(=O)[O-] ZINC000833028458 604490126 /nfs/dbraw/zinc/49/01/26/604490126.db2.gz PHIBEVQLASDZFB-JTQLQIEISA-N -1 1 316.379 1.001 20 0 DDADMM O=C([O-])NC1CCC(C(=O)Nc2ccc3[nH]nnc3c2)CC1 ZINC000832286892 604527122 /nfs/dbraw/zinc/52/71/22/604527122.db2.gz LLLPKUVKTZXFTM-UHFFFAOYSA-N -1 1 303.322 1.723 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2cccc(C(=O)[O-])c2)[C@@H](C)CN1C ZINC000833627824 604573745 /nfs/dbraw/zinc/57/37/45/604573745.db2.gz VKXUPZGXYREDIZ-NEPJUHHUSA-N -1 1 305.378 1.348 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN1CCC[C@@H]1CSC)C(=O)[O-] ZINC000828719900 604677313 /nfs/dbraw/zinc/67/73/13/604677313.db2.gz SSRNWJDNNKEFSW-DMDPSCGWSA-N -1 1 302.440 1.429 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCCN([C@H](C(=O)[O-])c2cccnc2)C1 ZINC000833463519 604865835 /nfs/dbraw/zinc/86/58/35/604865835.db2.gz NRVPMSNVTOMJGE-KGLIPLIRSA-N -1 1 305.378 1.444 20 0 DDADMM CC(C)C(=O)N1CCCN([C@H](C(=O)[O-])c2cccnc2)CC1 ZINC000833463981 604869030 /nfs/dbraw/zinc/86/90/30/604869030.db2.gz VPFRWSIVRNGEAN-AWEZNQCLSA-N -1 1 305.378 1.398 20 0 DDADMM CC(=CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1ccc(F)cc1 ZINC000833381610 604899149 /nfs/dbraw/zinc/89/91/49/604899149.db2.gz HXWNOQTYWMSJNC-APFBBLRHSA-N -1 1 317.320 1.764 20 0 DDADMM CCc1nc([C@H](C)NC(=O)c2cccc(NC(=O)[O-])c2)n[nH]1 ZINC000833673392 605023495 /nfs/dbraw/zinc/02/34/95/605023495.db2.gz KLUAMDHSABBFFP-QMMMGPOBSA-N -1 1 303.322 1.948 20 0 DDADMM CCc1nc([C@H](C)NC(=O)c2cccc(OCC(=O)[O-])c2)n[nH]1 ZINC000833673355 605023657 /nfs/dbraw/zinc/02/36/57/605023657.db2.gz JSLCPPCKWDXCKU-VIFPVBQESA-N -1 1 318.333 1.322 20 0 DDADMM CCc1nc([C@H](C)NC(=O)c2ccc(NC(=O)[O-])cc2)n[nH]1 ZINC000833674112 605023844 /nfs/dbraw/zinc/02/38/44/605023844.db2.gz QCBZYWXIDWKNDD-QMMMGPOBSA-N -1 1 303.322 1.948 20 0 DDADMM C[C@@H](C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1)c1cnn(C)c1 ZINC000833410482 605057549 /nfs/dbraw/zinc/05/75/49/605057549.db2.gz CFAKCEBGHPULMB-MRVPVSSYSA-N -1 1 313.317 1.737 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C\c1ccsc1 ZINC000833622490 605095770 /nfs/dbraw/zinc/09/57/70/605095770.db2.gz PPYLVKDRYOPXPM-ZZKXABKFSA-N -1 1 308.403 1.769 20 0 DDADMM CCN1C[C@H](C)N(C(=O)N[C@@H]2CCCN(C(=O)[O-])C2)C[C@H]1C ZINC000833658577 605121281 /nfs/dbraw/zinc/12/12/81/605121281.db2.gz TZIYPHARVZWZNU-FRRDWIJNSA-N -1 1 312.414 1.253 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)c2ccccc2)CCN1CCC(=O)[O-] ZINC000833617707 605278491 /nfs/dbraw/zinc/27/84/91/605278491.db2.gz GIAAGBJPAHLIEC-AWEZNQCLSA-N -1 1 318.417 1.972 20 0 DDADMM CCCN(C[C@@H]1CC(C(=O)[O-])=C(C)O1)[C@@H]1CCS(=O)(=O)C1 ZINC000833651083 605321199 /nfs/dbraw/zinc/32/11/99/605321199.db2.gz MJVGOPOFYSEZAL-NEPJUHHUSA-N -1 1 317.407 1.033 20 0 DDADMM Cc1cccc([C@H]2CC(=O)N(CN3CC[C@H](C(=O)[O-])C3)C2)c1 ZINC000821111946 605344891 /nfs/dbraw/zinc/34/48/91/605344891.db2.gz CGZVFXOVGUPKBK-GJZGRUSLSA-N -1 1 302.374 1.675 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CN2C[C@@H](c3ccccc3F)CC2=O)C1 ZINC000833553617 605346481 /nfs/dbraw/zinc/34/64/81/605346481.db2.gz GHDHXLDWHCULLE-SJCJKPOMSA-N -1 1 320.364 1.896 20 0 DDADMM O=C([O-])N1CCC[C@H]1CCC(=O)N1CCN(CC2CC2)CC1 ZINC000833995901 605419006 /nfs/dbraw/zinc/41/90/06/605419006.db2.gz WAXJKIMOSXAXQM-AWEZNQCLSA-N -1 1 309.410 1.463 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)C2(CNC(=O)[O-])CCCC2)n[nH]1 ZINC000830030031 605434664 /nfs/dbraw/zinc/43/46/64/605434664.db2.gz LSDNARUURKYVMJ-JTQLQIEISA-N -1 1 308.382 1.593 20 0 DDADMM Cn1cc(CN2CCC[C@@H](NC(=O)[O-])C2)c(-c2cccnc2)n1 ZINC000833948174 605490424 /nfs/dbraw/zinc/49/04/24/605490424.db2.gz GUOUAABPKRNOFT-CQSZACIVSA-N -1 1 315.377 1.714 20 0 DDADMM C[C@H](O)[C@H]1CCN([C@@H]2CCN(c3sccc3C(=O)[O-])C2=O)C1 ZINC000833526278 605698717 /nfs/dbraw/zinc/69/87/17/605698717.db2.gz HTBPUIKMHXJXRZ-JBLDHEPKSA-N -1 1 324.402 1.254 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)c1cc(-c2ccco2)[nH]n1 ZINC000825831899 605729359 /nfs/dbraw/zinc/72/93/59/605729359.db2.gz ONYWCZICSBKGES-UWVGGRQHSA-N -1 1 318.333 1.930 20 0 DDADMM O=C([O-])NCCN1CC[C@@H](Oc2ccc(C(F)(F)F)cn2)C1 ZINC000834240130 605818140 /nfs/dbraw/zinc/81/81/40/605818140.db2.gz RJKTUCUOAZTMDC-SNVBAGLBSA-N -1 1 319.283 1.821 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)N(C)c1ccccc1 ZINC000833829198 605895505 /nfs/dbraw/zinc/89/55/05/605895505.db2.gz GRQGNYZHSWASPP-OLZOCXBDSA-N -1 1 305.378 1.627 20 0 DDADMM Cn1c(CN[C@H]2CCC[C@@H]2NC(=O)[O-])nc2cc(F)ccc21 ZINC000833941557 605987044 /nfs/dbraw/zinc/98/70/44/605987044.db2.gz BPNUARQBQPDHNX-QWRGUYRKSA-N -1 1 306.341 1.991 20 0 DDADMM O=C([O-])N1CC[C@@H](C(=O)OC[C@@H]2CCCN2Cc2ccco2)C1 ZINC000834284873 606092692 /nfs/dbraw/zinc/09/26/92/606092692.db2.gz ITGLQELJFZETAX-OLZOCXBDSA-N -1 1 322.361 1.787 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)CNc1ccccc1Cl ZINC000822903458 606129130 /nfs/dbraw/zinc/12/91/30/606129130.db2.gz LMMKMAXKLDJYPX-UHFFFAOYSA-N -1 1 318.728 1.051 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)s1)c1ccccc1 ZINC000823026923 606134973 /nfs/dbraw/zinc/13/49/73/606134973.db2.gz ITHDTNBDRREXCL-UHFFFAOYSA-N -1 1 314.326 1.968 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)s1)c1ccccc1 ZINC000823026923 606134974 /nfs/dbraw/zinc/13/49/74/606134974.db2.gz ITHDTNBDRREXCL-UHFFFAOYSA-N -1 1 314.326 1.968 20 0 DDADMM CCc1nnc([C@H](C)OC(=O)c2ccc(-c3nnn[n-]3)s2)o1 ZINC000821518485 606139353 /nfs/dbraw/zinc/13/93/53/606139353.db2.gz WMLLCHYYVARONP-LURJTMIESA-N -1 1 320.334 1.792 20 0 DDADMM CCc1nnc([C@H](C)OC(=O)c2ccc(-c3nn[n-]n3)s2)o1 ZINC000821518485 606139354 /nfs/dbraw/zinc/13/93/54/606139354.db2.gz WMLLCHYYVARONP-LURJTMIESA-N -1 1 320.334 1.792 20 0 DDADMM C[C@H]1CCCC[C@H]1CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820699195 606162002 /nfs/dbraw/zinc/16/20/02/606162002.db2.gz YFZXPVMOZHOLAZ-UWVGGRQHSA-N -1 1 318.385 1.548 20 0 DDADMM C[C@H]1CCCC[C@H]1CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820699195 606162003 /nfs/dbraw/zinc/16/20/03/606162003.db2.gz YFZXPVMOZHOLAZ-UWVGGRQHSA-N -1 1 318.385 1.548 20 0 DDADMM CC1(C)[C@H](OC(=O)c2ccc(-c3nnn[n-]3)s2)[C@@H]2CCO[C@H]21 ZINC000820583256 606179907 /nfs/dbraw/zinc/17/99/07/606179907.db2.gz BOTGDWCOJWTTJK-AVPPRXQKSA-N -1 1 320.374 1.899 20 0 DDADMM CC1(C)[C@H](OC(=O)c2ccc(-c3nn[n-]n3)s2)[C@@H]2CCO[C@H]21 ZINC000820583256 606179908 /nfs/dbraw/zinc/17/99/08/606179908.db2.gz BOTGDWCOJWTTJK-AVPPRXQKSA-N -1 1 320.374 1.899 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820875743 606461776 /nfs/dbraw/zinc/46/17/76/606461776.db2.gz DUVHOXWWVQPRNE-HTQZYQBOSA-N -1 1 323.788 1.630 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820875743 606461777 /nfs/dbraw/zinc/46/17/77/606461777.db2.gz DUVHOXWWVQPRNE-HTQZYQBOSA-N -1 1 323.788 1.630 20 0 DDADMM Cc1noc(C)c1CN(C)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822523856 606524451 /nfs/dbraw/zinc/52/44/51/606524451.db2.gz WDBDIOVHBIFNQF-UHFFFAOYSA-N -1 1 318.362 1.805 20 0 DDADMM Cc1noc(C)c1CN(C)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822523856 606524453 /nfs/dbraw/zinc/52/44/53/606524453.db2.gz WDBDIOVHBIFNQF-UHFFFAOYSA-N -1 1 318.362 1.805 20 0 DDADMM O=C(Cc1c[nH]c2ccccc12)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000823073785 606531380 /nfs/dbraw/zinc/53/13/80/606531380.db2.gz CGKOPEQYBRCFRO-UHFFFAOYSA-N -1 1 308.305 1.252 20 0 DDADMM O=C(Cc1c[nH]c2ccccc12)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000823073785 606531382 /nfs/dbraw/zinc/53/13/82/606531382.db2.gz CGKOPEQYBRCFRO-UHFFFAOYSA-N -1 1 308.305 1.252 20 0 DDADMM CCOc1ccc(CC(=O)Nc2n[nH]cc2-c2nnn[n-]2)cc1 ZINC000821416740 606531849 /nfs/dbraw/zinc/53/18/49/606531849.db2.gz CVOLJJAZWWIKIV-UHFFFAOYSA-N -1 1 313.321 1.170 20 0 DDADMM CCOc1ccc(CC(=O)Nc2n[nH]cc2-c2nn[n-]n2)cc1 ZINC000821416740 606531851 /nfs/dbraw/zinc/53/18/51/606531851.db2.gz CVOLJJAZWWIKIV-UHFFFAOYSA-N -1 1 313.321 1.170 20 0 DDADMM CC(C)(C)C[C@@H](O)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000819906362 606566171 /nfs/dbraw/zinc/56/61/71/606566171.db2.gz FMJQPXCHEOTNJK-MRVPVSSYSA-N -1 1 309.395 1.455 20 0 DDADMM CC(C)(C)C[C@@H](O)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000819906362 606566172 /nfs/dbraw/zinc/56/61/72/606566172.db2.gz FMJQPXCHEOTNJK-MRVPVSSYSA-N -1 1 309.395 1.455 20 0 DDADMM C[C@H](Cc1ccncc1)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820301054 606587821 /nfs/dbraw/zinc/58/78/21/606587821.db2.gz UHJDJXVTOPHLPU-SNVBAGLBSA-N -1 1 309.333 1.018 20 0 DDADMM C[C@H](Cc1ccncc1)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820301054 606587822 /nfs/dbraw/zinc/58/78/22/606587822.db2.gz UHJDJXVTOPHLPU-SNVBAGLBSA-N -1 1 309.333 1.018 20 0 DDADMM CC[C@H]1CO[C@H](C)CN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821000570 606723258 /nfs/dbraw/zinc/72/32/58/606723258.db2.gz GQVBLOCPAWSTQP-BDAKNGLRSA-N -1 1 308.773 1.919 20 0 DDADMM CC[C@H]1CO[C@H](C)CN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821000570 606723260 /nfs/dbraw/zinc/72/32/60/606723260.db2.gz GQVBLOCPAWSTQP-BDAKNGLRSA-N -1 1 308.773 1.919 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)[C@@H]2CC23CCC3)n1 ZINC000819971100 607143636 /nfs/dbraw/zinc/14/36/36/607143636.db2.gz CZUVAAQZQPHTHT-JTQLQIEISA-N -1 1 315.381 1.947 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)[C@@H]2CC23CCC3)n1 ZINC000819971100 607143638 /nfs/dbraw/zinc/14/36/38/607143638.db2.gz CZUVAAQZQPHTHT-JTQLQIEISA-N -1 1 315.381 1.947 20 0 DDADMM CCN1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C[C@H]1C ZINC000825459053 607824977 /nfs/dbraw/zinc/82/49/77/607824977.db2.gz HMVZQZIWIRCLTE-SECBINFHSA-N -1 1 306.395 1.094 20 0 DDADMM Fc1cccc(OCc2nnc(C3CC3)o2)c1-c1nnn[n-]1 ZINC000826378061 607866154 /nfs/dbraw/zinc/86/61/54/607866154.db2.gz SQKRNWGRBRMCII-UHFFFAOYSA-N -1 1 302.269 1.845 20 0 DDADMM Fc1cccc(OCc2nnc(C3CC3)o2)c1-c1nn[n-]n1 ZINC000826378061 607866155 /nfs/dbraw/zinc/86/61/55/607866155.db2.gz SQKRNWGRBRMCII-UHFFFAOYSA-N -1 1 302.269 1.845 20 0 DDADMM Cn1cncc1[C@H]1CCCCN1c1cccc(-c2nn[n-]n2)n1 ZINC000826365347 607898369 /nfs/dbraw/zinc/89/83/69/607898369.db2.gz KQOLZOVIBHKDLW-GFCCVEGCSA-N -1 1 310.365 1.727 20 0 DDADMM C[C@H](c1ccccc1)[C@H](CO)Nc1cccc(-c2nnn[n-]2)n1 ZINC000824772076 607898698 /nfs/dbraw/zinc/89/86/98/607898698.db2.gz TVOWGXQOPLQGPX-RISCZKNCSA-N -1 1 310.361 1.838 20 0 DDADMM C[C@H](c1ccccc1)[C@H](CO)Nc1cccc(-c2nn[n-]n2)n1 ZINC000824772076 607898699 /nfs/dbraw/zinc/89/86/99/607898699.db2.gz TVOWGXQOPLQGPX-RISCZKNCSA-N -1 1 310.361 1.838 20 0 DDADMM c1csc(-c2nc(Cn3ccnc3-c3nnn[n-]3)no2)c1 ZINC000826526363 608147409 /nfs/dbraw/zinc/14/74/09/608147409.db2.gz VSLZKFATCNVWAT-UHFFFAOYSA-N -1 1 300.307 1.223 20 0 DDADMM c1csc(-c2nc(Cn3ccnc3-c3nn[n-]n3)no2)c1 ZINC000826526363 608147410 /nfs/dbraw/zinc/14/74/10/608147410.db2.gz VSLZKFATCNVWAT-UHFFFAOYSA-N -1 1 300.307 1.223 20 0 DDADMM c1cn(Cc2noc(Cc3ccccc3)n2)c(-c2nnn[n-]2)n1 ZINC000826522365 608147450 /nfs/dbraw/zinc/14/74/50/608147450.db2.gz XLSFZZHOCIIFMC-UHFFFAOYSA-N -1 1 308.305 1.085 20 0 DDADMM c1cn(Cc2noc(Cc3ccccc3)n2)c(-c2nn[n-]n2)n1 ZINC000826522365 608147451 /nfs/dbraw/zinc/14/74/51/608147451.db2.gz XLSFZZHOCIIFMC-UHFFFAOYSA-N -1 1 308.305 1.085 20 0 DDADMM c1cnc(N2CCC[C@H](C[C@@H]3CCOC3)C2)c(-c2nnn[n-]2)n1 ZINC000826525298 608296948 /nfs/dbraw/zinc/29/69/48/608296948.db2.gz DTXVLLOFRAUULE-NEPJUHHUSA-N -1 1 315.381 1.300 20 0 DDADMM c1cnc(N2CCC[C@H](C[C@@H]3CCOC3)C2)c(-c2nn[n-]n2)n1 ZINC000826525298 608296950 /nfs/dbraw/zinc/29/69/50/608296950.db2.gz DTXVLLOFRAUULE-NEPJUHHUSA-N -1 1 315.381 1.300 20 0 DDADMM C[C@@H]1Oc2ccc(Nc3ccc(-c4nnn[n-]4)nn3)cc2NC1=O ZINC000825022353 608393383 /nfs/dbraw/zinc/39/33/83/608393383.db2.gz XPXACEOMUKCBNU-ZETCQYMHSA-N -1 1 324.304 1.120 20 0 DDADMM C[C@@H]1Oc2ccc(Nc3ccc(-c4nn[n-]n4)nn3)cc2NC1=O ZINC000825022353 608393385 /nfs/dbraw/zinc/39/33/85/608393385.db2.gz XPXACEOMUKCBNU-ZETCQYMHSA-N -1 1 324.304 1.120 20 0 DDADMM Cc1nccc([C@@H]2CCCN(c3ccc(-c4nnn[n-]4)nn3)C2)n1 ZINC000826329988 608404903 /nfs/dbraw/zinc/40/49/03/608404903.db2.gz ALFFFSUHOWPYAT-LLVKDONJSA-N -1 1 323.364 1.139 20 0 DDADMM Cc1nccc([C@@H]2CCCN(c3ccc(-c4nn[n-]n4)nn3)C2)n1 ZINC000826329988 608404905 /nfs/dbraw/zinc/40/49/05/608404905.db2.gz ALFFFSUHOWPYAT-LLVKDONJSA-N -1 1 323.364 1.139 20 0 DDADMM Cc1ncc2c(n1)CCC[C@@H]2Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826330039 608420064 /nfs/dbraw/zinc/42/00/64/608420064.db2.gz COMKZRQPDZUIAI-NSHDSACASA-N -1 1 309.337 1.245 20 0 DDADMM Cc1ncc2c(n1)CCC[C@@H]2Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826330039 608420066 /nfs/dbraw/zinc/42/00/66/608420066.db2.gz COMKZRQPDZUIAI-NSHDSACASA-N -1 1 309.337 1.245 20 0 DDADMM COC(=O)[C@H]1CCCCN1Cc1ccc(-c2nn[n-]n2)s1 ZINC000826133763 608507111 /nfs/dbraw/zinc/50/71/11/608507111.db2.gz PFVQUXBWGQTDDU-SNVBAGLBSA-N -1 1 307.379 1.456 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NCCNC(=O)C(C)(C)C ZINC000826280821 608546331 /nfs/dbraw/zinc/54/63/31/608546331.db2.gz RFBBGAJBAKTKQN-UHFFFAOYSA-N -1 1 303.370 1.144 20 0 DDADMM CC(C)OC(=O)[C@@H]1CCCN1Cc1ccc(-c2nnn[n-]2)o1 ZINC000824309001 608714443 /nfs/dbraw/zinc/71/44/43/608714443.db2.gz DIPFPVKREPHYAB-NSHDSACASA-N -1 1 305.338 1.376 20 0 DDADMM CC(C)OC(=O)[C@@H]1CCCN1Cc1ccc(-c2nn[n-]n2)o1 ZINC000824309001 608714445 /nfs/dbraw/zinc/71/44/45/608714445.db2.gz DIPFPVKREPHYAB-NSHDSACASA-N -1 1 305.338 1.376 20 0 DDADMM C[C@@H]1CN(Cc2ccc(-c3nnn[n-]3)o2)C[C@H](C(F)(F)F)O1 ZINC000824985526 608727740 /nfs/dbraw/zinc/72/77/40/608727740.db2.gz WXJQSJGTHZDSEM-GMSGAONNSA-N -1 1 317.271 1.611 20 0 DDADMM C[C@@H]1CN(Cc2ccc(-c3nn[n-]n3)o2)C[C@H](C(F)(F)F)O1 ZINC000824985526 608727742 /nfs/dbraw/zinc/72/77/42/608727742.db2.gz WXJQSJGTHZDSEM-GMSGAONNSA-N -1 1 317.271 1.611 20 0 DDADMM COC(=O)[C@@H](NCc1ccc(-c2nn[n-]n2)s1)C(C)(C)C ZINC000826111667 609201462 /nfs/dbraw/zinc/20/14/62/609201462.db2.gz JOKLLHMJBDCVDW-SNVBAGLBSA-N -1 1 309.395 1.606 20 0 DDADMM Cc1nn(C[C@H]2CCC[C@@H](C)C2)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334360 609379110 /nfs/dbraw/zinc/37/91/10/609379110.db2.gz RRRZXLONSVPODZ-SKDRFNHKSA-N -1 1 302.382 1.867 20 0 DDADMM CCc1nnc(COc2cccc(F)c2-c2nnn[n-]2)s1 ZINC000825767823 609489822 /nfs/dbraw/zinc/48/98/22/609489822.db2.gz PWRGZMMEXUWLJV-UHFFFAOYSA-N -1 1 306.326 1.999 20 0 DDADMM CCc1nnc(COc2cccc(F)c2-c2nn[n-]n2)s1 ZINC000825767823 609489825 /nfs/dbraw/zinc/48/98/25/609489825.db2.gz PWRGZMMEXUWLJV-UHFFFAOYSA-N -1 1 306.326 1.999 20 0 DDADMM C[C@H](O)[C@H]1CCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000824690529 609569512 /nfs/dbraw/zinc/56/95/12/609569512.db2.gz SUDHDUWZDRUHGM-WDSKDSINSA-N -1 1 300.775 1.184 20 0 DDADMM C[C@H](O)[C@H]1CCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000824690529 609569514 /nfs/dbraw/zinc/56/95/14/609569514.db2.gz SUDHDUWZDRUHGM-WDSKDSINSA-N -1 1 300.775 1.184 20 0 DDADMM C[C@@H]1CC(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C[C@@H](C)C1 ZINC000824875512 609590635 /nfs/dbraw/zinc/59/06/35/609590635.db2.gz MOJZUTNZHHEXGO-IUCAKERBSA-N -1 1 303.370 1.611 20 0 DDADMM C[C@@H]1CC(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C[C@@H](C)C1 ZINC000824875512 609590637 /nfs/dbraw/zinc/59/06/37/609590637.db2.gz MOJZUTNZHHEXGO-IUCAKERBSA-N -1 1 303.370 1.611 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cccc2nonc21)c1ccco1 ZINC000121187978 696707148 /nfs/dbraw/zinc/70/71/48/696707148.db2.gz NJFDYZKDEUPIPH-JTQLQIEISA-N -1 1 323.330 1.482 20 0 DDADMM CNC(=O)C1(C(=O)OCCc2cc(C(C)=O)ccc2[O-])CC1 ZINC000744967321 699967273 /nfs/dbraw/zinc/96/72/73/699967273.db2.gz WNHHMBRBEURGMJ-UHFFFAOYSA-N -1 1 305.330 1.207 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H](O)C2CCOCC2)c1 ZINC000745051151 699969669 /nfs/dbraw/zinc/96/96/69/699969669.db2.gz XBVXEBREFLUMSR-INIZCTEOSA-N -1 1 322.357 1.468 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)[C@H](C)SC)o1 ZINC000797195754 699998504 /nfs/dbraw/zinc/99/85/04/699998504.db2.gz RHEXQZSWAYJCAR-SFYZADRCSA-N -1 1 307.393 1.485 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)C1=CCCC1 ZINC000973160977 695435901 /nfs/dbraw/zinc/43/59/01/695435901.db2.gz QJUQQFPVWYJULD-HAQNSBGRSA-N -1 1 301.346 1.275 20 0 DDADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)C1CCCC1 ZINC000976037976 695902354 /nfs/dbraw/zinc/90/23/54/695902354.db2.gz VWAUPHBMMAHUEG-IMRBUKKESA-N -1 1 315.373 1.164 20 0 DDADMM O=C(N[C@@H]1[C@H]2CN(C(=O)C3=CCCC3)C[C@H]21)c1ncccc1[O-] ZINC000976395284 695970800 /nfs/dbraw/zinc/97/08/00/695970800.db2.gz AUHWRGAAAUXBTQ-IMRBUKKESA-N -1 1 313.357 1.084 20 0 DDADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ccccc3)C[C@H]21)c1ncccc1[O-] ZINC000976407490 695972002 /nfs/dbraw/zinc/97/20/02/695972002.db2.gz YHJMJAROYMBENT-NHAGDIPZSA-N -1 1 323.352 1.288 20 0 DDADMM C[C@H](CC1CCCC1)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798270526 700053957 /nfs/dbraw/zinc/05/39/57/700053957.db2.gz PNDPEHGOLMBJPU-LLVKDONJSA-N -1 1 307.398 1.226 20 0 DDADMM COC[C@@H](C)NC(=S)NN=c1c(F)c(F)[n-]c(F)c1F ZINC000016306766 696062161 /nfs/dbraw/zinc/06/21/61/696062161.db2.gz BPTZOOGKXJSFRD-SCSAIBSYSA-N -1 1 312.292 1.464 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CC1(C)C ZINC000977570095 696216416 /nfs/dbraw/zinc/21/64/16/696216416.db2.gz LONGNXTZLFLVBP-NWDGAFQWSA-N -1 1 317.389 1.506 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2ccc(F)cc2F)c1Cl ZINC000050197921 696229372 /nfs/dbraw/zinc/22/93/72/696229372.db2.gz UHQMPHXUPKRALK-UHFFFAOYSA-N -1 1 321.736 1.830 20 0 DDADMM COCCN1C(=O)C(=CNc2ccccc2F)C(=O)[N-]C1=S ZINC000060861277 696314341 /nfs/dbraw/zinc/31/43/41/696314341.db2.gz UPFNKGUQZMDBLN-HJWRWDBZSA-N -1 1 323.349 1.011 20 0 DDADMM Cc1cc(C(=O)N[N-]C(=O)c2c(O)cc(F)cc2F)c(C)o1 ZINC000066041826 696349473 /nfs/dbraw/zinc/34/94/73/696349473.db2.gz VTYPEHNMLDACAY-UHFFFAOYSA-N -1 1 310.256 1.955 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)[C@@H](O)c1ccccc1 ZINC000073997858 696409623 /nfs/dbraw/zinc/40/96/23/696409623.db2.gz XYPZBZUREAJLLH-LBPRGKRZSA-N -1 1 306.391 1.353 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccc(-c3cc[nH]n3)cc2)s1 ZINC000079478627 696460778 /nfs/dbraw/zinc/46/07/78/696460778.db2.gz WYCLFCVBWRPLAL-LLVKDONJSA-N -1 1 315.358 1.362 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2ccc(F)c(Cl)c2)s1 ZINC000079907255 696465062 /nfs/dbraw/zinc/46/50/62/696465062.db2.gz AZDQZWHGVUDLGJ-SECBINFHSA-N -1 1 315.757 1.442 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])C(C)(C)c1ccccc1 ZINC000079989687 696465766 /nfs/dbraw/zinc/46/57/66/696465766.db2.gz ZTLDJDNTURFFNK-CMPLNLGQSA-N -1 1 319.430 1.816 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC2(c3ccccc3F)CC2)s1 ZINC000080378875 696533958 /nfs/dbraw/zinc/53/39/58/696533958.db2.gz WAAVZSKTVNWFHJ-JTQLQIEISA-N -1 1 307.350 1.278 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000080620779 696536066 /nfs/dbraw/zinc/53/60/66/696536066.db2.gz NFPCNZPNMXZYQR-MWLCHTKSSA-N -1 1 322.409 1.235 20 0 DDADMM O=C(CCCn1cccn1)N[N-]C(=O)c1ccc(Cl)cc1F ZINC000081029536 696539926 /nfs/dbraw/zinc/53/99/26/696539926.db2.gz SMKVBUJVMXDRNJ-UHFFFAOYSA-N -1 1 324.743 1.917 20 0 DDADMM O=C([N-]NC(=O)c1ccc(Cl)cc1F)c1ccc[n+]([O-])c1 ZINC000082991565 696549488 /nfs/dbraw/zinc/54/94/88/696549488.db2.gz ARJBXRLISWASHW-UHFFFAOYSA-N -1 1 309.684 1.187 20 0 DDADMM CCc1ccc(C(C)(C)NC(=O)Cc2sc(N)nc2[O-])cc1 ZINC000083009601 696549812 /nfs/dbraw/zinc/54/98/12/696549812.db2.gz GJJPHGYYLYQCBD-LBPRGKRZSA-N -1 1 319.430 1.947 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)c1 ZINC000798820983 700099289 /nfs/dbraw/zinc/09/92/89/700099289.db2.gz ADEJTVVPYJUSPR-ZDUSSCGKSA-N -1 1 314.407 1.086 20 0 DDADMM CCOC(=O)c1sc([N-]C(=O)c2cccc[n+]2[O-])nc1C ZINC000088287098 696569735 /nfs/dbraw/zinc/56/97/35/696569735.db2.gz VAWWYTYDWNBNNL-UHFFFAOYSA-N -1 1 307.331 1.514 20 0 DDADMM CCOC(=O)C[C@@H](C)[S@](=O)CCC[N-]C(=O)C(F)(F)F ZINC000091537987 696589277 /nfs/dbraw/zinc/58/92/77/696589277.db2.gz WGQRVXNQVPAGOY-SQFXPLBJSA-N -1 1 317.329 1.145 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979671315 696623484 /nfs/dbraw/zinc/62/34/84/696623484.db2.gz IFRROJBYWUEHEP-RVMXOQNASA-N -1 1 317.389 1.458 20 0 DDADMM CCOC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000108399062 696633790 /nfs/dbraw/zinc/63/37/90/696633790.db2.gz VEFKCGYINLYRNC-LURJTMIESA-N -1 1 311.281 1.334 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H](c2ccccc2)C2CC2)s1 ZINC000120877826 696702804 /nfs/dbraw/zinc/70/28/04/696702804.db2.gz CRJFVVJBGHFEJU-AAEUAGOBSA-N -1 1 303.387 1.601 20 0 DDADMM CC[C@@H]1c2ccsc2CCN1C(=O)Cc1sc(N)nc1[O-] ZINC000120914859 696703496 /nfs/dbraw/zinc/70/34/96/696703496.db2.gz XUYAITRQXNGCNS-MWLCHTKSSA-N -1 1 323.443 1.931 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)/C=C/C(C)(C)C ZINC000126874762 696766065 /nfs/dbraw/zinc/76/60/65/696766065.db2.gz VRLZPXSRCWWNOS-FNORWQNLSA-N -1 1 304.350 1.414 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccc2c(c1)CCC2)c1nn[n-]n1 ZINC000136636538 696855189 /nfs/dbraw/zinc/85/51/89/696855189.db2.gz ZRWDLYWLHIWIGN-CQSZACIVSA-N -1 1 315.377 1.725 20 0 DDADMM C[C@@H]1CCc2ccccc2[C@H]1NC(=O)Cc1sc(N)nc1[O-] ZINC000155502365 696949219 /nfs/dbraw/zinc/94/92/19/696949219.db2.gz HYUCXNRYFHTZDQ-IUPBHXKESA-N -1 1 317.414 1.773 20 0 DDADMM Nc1nccnc1C(=O)Nc1nc(Br)ccc1[O-] ZINC000156373947 696962558 /nfs/dbraw/zinc/96/25/58/696962558.db2.gz ZNZMZWZZCCRZNZ-UHFFFAOYSA-N -1 1 310.111 1.174 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)C(C)(C)F)C1 ZINC000983769010 697297729 /nfs/dbraw/zinc/29/77/29/697297729.db2.gz RETMICAPPGVXLV-NSHDSACASA-N -1 1 323.368 1.598 20 0 DDADMM O=S(=O)(Cc1cccc2c1OCCO2)c1n[n-]c(C2CC2)n1 ZINC000160917788 697320817 /nfs/dbraw/zinc/32/08/17/697320817.db2.gz XPLWNOMMFJYAFV-UHFFFAOYSA-N -1 1 321.358 1.427 20 0 DDADMM CCOC(=O)[C@@H](C)[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC000161118633 697321599 /nfs/dbraw/zinc/32/15/99/697321599.db2.gz PEEFXEUXLOOCPU-MRVPVSSYSA-N -1 1 307.318 1.336 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2ccsc2)co1 ZINC000166706201 697341613 /nfs/dbraw/zinc/34/16/13/697341613.db2.gz HUQGPFBWANYFIH-UHFFFAOYSA-N -1 1 301.345 1.606 20 0 DDADMM Nc1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cc(Cl)n1 ZINC000171201113 697355475 /nfs/dbraw/zinc/35/54/75/697355475.db2.gz AANFUBFKQJCGCU-UHFFFAOYSA-N -1 1 306.635 1.706 20 0 DDADMM COc1ccc2occ(C(=O)OCc3nc(=O)n(C)[n-]3)c2c1 ZINC000799566070 700150385 /nfs/dbraw/zinc/15/03/85/700150385.db2.gz WYLUESXIURKAKQ-UHFFFAOYSA-N -1 1 303.274 1.220 20 0 DDADMM Cn1ccc(NC(=O)N[N-]C(=O)c2ccc(Cl)cc2F)n1 ZINC000184696526 697506975 /nfs/dbraw/zinc/50/69/75/697506975.db2.gz VFILUILWZIZBIY-UHFFFAOYSA-N -1 1 311.704 1.679 20 0 DDADMM CCC[C@@H](NC(=O)Cc1[nH]nc2c1CCCC2)c1nn[n-]n1 ZINC000188965603 697567900 /nfs/dbraw/zinc/56/79/00/697567900.db2.gz WVLATNWMCVUIMJ-LLVKDONJSA-N -1 1 303.370 1.002 20 0 DDADMM Cc1c[nH]nc1C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799733837 700163610 /nfs/dbraw/zinc/16/36/10/700163610.db2.gz RNVGSOOXRGCUBZ-UHFFFAOYSA-N -1 1 318.299 1.249 20 0 DDADMM CN(C)C(=O)N[N-]C(=O)c1cc(Br)c(F)cc1F ZINC000192097149 697619521 /nfs/dbraw/zinc/61/95/21/697619521.db2.gz WXGLFELJVHSESF-UHFFFAOYSA-N -1 1 322.109 1.643 20 0 DDADMM COc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c(F)c1 ZINC000773147265 697718351 /nfs/dbraw/zinc/71/83/51/697718351.db2.gz AOCUCUJYTNXDAJ-NSHDSACASA-N -1 1 323.353 1.278 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c(C)c1 ZINC000773157502 697720486 /nfs/dbraw/zinc/72/04/86/697720486.db2.gz KOUWZJXPBNWYAI-LBPRGKRZSA-N -1 1 303.391 1.747 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)sc1C ZINC000773161696 697722262 /nfs/dbraw/zinc/72/22/62/697722262.db2.gz PYRZZLLULCHFAH-VIFPVBQESA-N -1 1 309.420 1.808 20 0 DDADMM O=C(c1ccnc(Cl)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000773316429 697743499 /nfs/dbraw/zinc/74/34/99/697743499.db2.gz ZUTSRRZLNZHPRK-SECBINFHSA-N -1 1 308.725 1.843 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)[C@H]1CCCN(CC(F)(F)F)C1 ZINC000195458354 697743921 /nfs/dbraw/zinc/74/39/21/697743921.db2.gz KWLHNECBTPTPEM-QWRGUYRKSA-N -1 1 310.316 1.835 20 0 DDADMM O=C(CSC(F)(F)F)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000773498591 697768484 /nfs/dbraw/zinc/76/84/84/697768484.db2.gz QCAMOCXUHJDPNC-UHFFFAOYSA-N -1 1 311.285 1.734 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@@H](C(=O)[N-]O[C@H]2CCCCO2)C1 ZINC000245199032 697821280 /nfs/dbraw/zinc/82/12/80/697821280.db2.gz XKTIDVGTZVBFLT-MJBXVCDLSA-N -1 1 312.410 1.846 20 0 DDADMM C[C@H](O)C[C@H]1CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774069282 697840101 /nfs/dbraw/zinc/84/01/01/697840101.db2.gz OVGBRNTVGHKFCY-GXSJLCMTSA-N -1 1 306.391 1.394 20 0 DDADMM COc1ccc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)cc1 ZINC000774324094 697868919 /nfs/dbraw/zinc/86/89/19/697868919.db2.gz QTDLEMTXLGWLND-UHFFFAOYSA-N -1 1 314.370 1.957 20 0 DDADMM CCC(=O)N1CCCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000986803630 697913533 /nfs/dbraw/zinc/91/35/33/697913533.db2.gz PLEXMPZUKFFNCC-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM Cc1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)c(C)c(C)c1O ZINC000774817766 697931563 /nfs/dbraw/zinc/93/15/63/697931563.db2.gz IQLNKLYTANRIEI-UHFFFAOYSA-N -1 1 317.345 1.196 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCCCCCO)o1 ZINC000775735437 698027082 /nfs/dbraw/zinc/02/70/82/698027082.db2.gz UKGFBZWOZWKALI-UHFFFAOYSA-N -1 1 319.379 1.287 20 0 DDADMM CO[C@H](C)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807706855 701484335 /nfs/dbraw/zinc/48/43/35/701484335.db2.gz GNQCYVPDCUNDPC-QEYWKRMJSA-N -1 1 310.316 1.325 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1CC[C@@H](C)C1 ZINC000777357105 698182393 /nfs/dbraw/zinc/18/23/93/698182393.db2.gz QQZTUMQVZDLYLZ-MWLCHTKSSA-N -1 1 304.350 1.248 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)N2CCC[C@H](C(=O)[O-])C2)cn1 ZINC000263403538 698505304 /nfs/dbraw/zinc/50/53/04/698505304.db2.gz HEYVUTROYJBCAU-JTQLQIEISA-N -1 1 317.349 1.230 20 0 DDADMM CCC[C@H](NC(=O)NC[C@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000780344498 698509322 /nfs/dbraw/zinc/50/93/22/698509322.db2.gz KXABHDPNWIWSNU-QWHCGFSZSA-N -1 1 323.393 1.969 20 0 DDADMM CC(C)[C@@H](NC(=O)NC[C@H](c1ccsc1)N(C)C)C(=O)[O-] ZINC000780384432 698514353 /nfs/dbraw/zinc/51/43/53/698514353.db2.gz IJZYWUVDUHXTGG-VXGBXAGGSA-N -1 1 313.423 1.759 20 0 DDADMM CC[C@@H](NC(=O)NC[C@H](c1ccco1)N(CC)CC)C(=O)[O-] ZINC000780486122 698523472 /nfs/dbraw/zinc/52/34/72/698523472.db2.gz PLOAWXQIUGDZHU-VXGBXAGGSA-N -1 1 311.382 1.825 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@H](c1ccco1)N(CC)CC ZINC000780486073 698523557 /nfs/dbraw/zinc/52/35/57/698523557.db2.gz PCGKIYJEYDUBNQ-GFCCVEGCSA-N -1 1 311.382 1.779 20 0 DDADMM CC(C)(NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CCOCC1 ZINC000783722284 698870992 /nfs/dbraw/zinc/87/09/92/698870992.db2.gz FKNVMPHXJXFQCO-UHFFFAOYSA-N -1 1 315.373 1.858 20 0 DDADMM Cc1ccc(N(C)[C@@H]2CCCN(Cc3n[nH]c(=O)[n-]3)C2)cc1 ZINC000784382427 698934306 /nfs/dbraw/zinc/93/43/06/698934306.db2.gz XHJMZKUFVSXQLX-CQSZACIVSA-N -1 1 301.394 1.920 20 0 DDADMM Cn1cc([N-]S(=O)(=O)c2cnc(Cl)c(F)c2)ccc1=O ZINC000394242400 699106129 /nfs/dbraw/zinc/10/61/29/699106129.db2.gz PIGPZUPFEVJPGE-UHFFFAOYSA-N -1 1 317.729 1.374 20 0 DDADMM CCN1CC[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1ccccc1[O-] ZINC000394344009 699106542 /nfs/dbraw/zinc/10/65/42/699106542.db2.gz BCTVGCZWNIRDPE-OLZOCXBDSA-N -1 1 310.419 1.640 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc3sccc3c(O)c2)nc1=O ZINC000786138086 699129057 /nfs/dbraw/zinc/12/90/57/699129057.db2.gz QZISVSQNSGQQFC-UHFFFAOYSA-N -1 1 305.315 1.386 20 0 DDADMM C[C@@]1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC=CCC1 ZINC000990971132 699195875 /nfs/dbraw/zinc/19/58/75/699195875.db2.gz GXWCLXZSFHJRDT-QGZVFWFLSA-N -1 1 315.373 1.474 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCCO1)c1ccc(Cl)nc1F ZINC000703041876 699235351 /nfs/dbraw/zinc/23/53/51/699235351.db2.gz ZMNNXYSHYKUZFR-MRVPVSSYSA-N -1 1 308.762 1.722 20 0 DDADMM O=C(NCc1cnc(N2CCOCC2)s1)c1ncccc1[O-] ZINC000787753257 699238678 /nfs/dbraw/zinc/23/86/78/699238678.db2.gz FHRXJXHGPOEPLW-UHFFFAOYSA-N -1 1 320.374 1.010 20 0 DDADMM O=c1nc(CN2CC[C@H](C3OCCO3)C2)[n-]c2ccsc21 ZINC000718972537 699296197 /nfs/dbraw/zinc/29/61/97/699296197.db2.gz DVVODKBUVRRDAA-VIFPVBQESA-N -1 1 307.375 1.592 20 0 DDADMM CCc1c(C(=O)OCc2nc(=O)n(C)[n-]2)cnn1C1CCCC1 ZINC000789259763 699373853 /nfs/dbraw/zinc/37/38/53/699373853.db2.gz MKXQYFQXEOQJAN-UHFFFAOYSA-N -1 1 319.365 1.339 20 0 DDADMM CC[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1CCCCC1 ZINC000727853998 699428757 /nfs/dbraw/zinc/42/87/57/699428757.db2.gz OSTXZADBKKBSIZ-CYBMUJFWSA-N -1 1 307.394 1.402 20 0 DDADMM CCOC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000790164307 699446356 /nfs/dbraw/zinc/44/63/56/699446356.db2.gz LFIZYPYJVXGFCR-ZETCQYMHSA-N -1 1 309.746 1.709 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC(=O)N(CC2CC2)C1 ZINC000790271804 699451007 /nfs/dbraw/zinc/45/10/07/699451007.db2.gz MWLFZLXCGRYQPQ-UHFFFAOYSA-N -1 1 318.377 1.266 20 0 DDADMM CSCc1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000731838181 699537676 /nfs/dbraw/zinc/53/76/76/699537676.db2.gz HRJCWPOIQRTFNF-UHFFFAOYSA-N -1 1 319.386 1.428 20 0 DDADMM O=C(COC(=O)CC[C@@H]1CCCCO1)[N-]C(=O)c1ccccc1 ZINC000732381422 699558304 /nfs/dbraw/zinc/55/83/04/699558304.db2.gz SOBRWSNFXMEUED-AWEZNQCLSA-N -1 1 319.357 1.836 20 0 DDADMM CCc1cc(CNC(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)n[nH]1 ZINC000732836230 699572786 /nfs/dbraw/zinc/57/27/86/699572786.db2.gz SWGSTMHINZQJOA-UHFFFAOYSA-N -1 1 313.317 1.245 20 0 DDADMM CCOC(=O)[C@@H](C)OC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000733452921 699596779 /nfs/dbraw/zinc/59/67/79/699596779.db2.gz GZSAAULRZBFXRQ-SSDOTTSWSA-N -1 1 321.376 1.228 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3nccn3C(F)F)ccnc1-2 ZINC000791088326 699611025 /nfs/dbraw/zinc/61/10/25/699611025.db2.gz IAMWDOMFEYFPKQ-UHFFFAOYSA-N -1 1 321.291 1.255 20 0 DDADMM CCCC1CCC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000791851605 699658938 /nfs/dbraw/zinc/65/89/38/699658938.db2.gz FTQNQVPKFZIGMH-UHFFFAOYSA-N -1 1 321.421 1.744 20 0 DDADMM Cc1ccc2c(CC(=O)N3CCC(c4nn[n-]n4)CC3)c[nH]c2c1 ZINC000737374201 699739270 /nfs/dbraw/zinc/73/92/70/699739270.db2.gz YXOLDSORUGHTBJ-UHFFFAOYSA-N -1 1 324.388 1.938 20 0 DDADMM O=C(C=Cc1ccc(Cl)cc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737795097 699745233 /nfs/dbraw/zinc/74/52/33/699745233.db2.gz IKJFHKYZRRFWCH-RYBZSIHZSA-N -1 1 319.752 1.466 20 0 DDADMM O=C(CCSc1ccccc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737849225 699746388 /nfs/dbraw/zinc/74/63/88/699746388.db2.gz AHDLZLCXFBVZTA-LBPRGKRZSA-N -1 1 319.390 1.282 20 0 DDADMM O=C(Cc1ccc2ccccc2c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737967077 699749050 /nfs/dbraw/zinc/74/90/50/699749050.db2.gz UROFIDZBJNURRA-OAHLLOKOSA-N -1 1 323.356 1.496 20 0 DDADMM O=C([O-])[C@]1(NC(=O)c2[nH]nc3c2CCC3)CCc2ccccc21 ZINC000738480984 699760809 /nfs/dbraw/zinc/76/08/09/699760809.db2.gz FDOICKHHTOSHAI-KRWDZBQOSA-N -1 1 311.341 1.555 20 0 DDADMM CC[C@H](C)CC[C@@H](O)CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000793711869 699773821 /nfs/dbraw/zinc/77/38/21/699773821.db2.gz DTVKJUQKQLFVIH-RWMBFGLXSA-N -1 1 309.414 1.483 20 0 DDADMM CCc1nc(C(=O)[N-]c2nonc2C)nn1-c1ccccc1F ZINC000741550404 699844552 /nfs/dbraw/zinc/84/45/52/699844552.db2.gz QILLBUAPKALQRR-UHFFFAOYSA-N -1 1 316.296 1.913 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)CCC2CCCCC2)n1 ZINC000795470657 699870478 /nfs/dbraw/zinc/87/04/78/699870478.db2.gz ULOPPXHAYQHGFR-UHFFFAOYSA-N -1 1 300.380 1.940 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1ncn(C)c1Cl)C(=O)OC ZINC000742338819 699874579 /nfs/dbraw/zinc/87/45/79/699874579.db2.gz SBTKUDQVNHWDRG-UHFFFAOYSA-N -1 1 323.802 1.084 20 0 DDADMM COC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1ccc(C)cc1C ZINC000750973121 700260686 /nfs/dbraw/zinc/26/06/86/700260686.db2.gz QEZRPQNJOQZQBP-UHFFFAOYSA-N -1 1 324.358 1.889 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H](O)c2ccco2)sc1C ZINC000751205393 700274003 /nfs/dbraw/zinc/27/40/03/700274003.db2.gz PHXICBZQJGZWRW-SECBINFHSA-N -1 1 302.377 1.365 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C3=Cc4cc(F)ccc4OC3)ccnc1-2 ZINC000801701322 700331865 /nfs/dbraw/zinc/33/18/65/700331865.db2.gz JKJWXCVFXCLUHD-UHFFFAOYSA-N -1 1 324.315 1.895 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cn3ccc4ccccc43)ccnc1-2 ZINC000801703336 700332729 /nfs/dbraw/zinc/33/27/29/700332729.db2.gz HTDKGUXEHVZALI-UHFFFAOYSA-N -1 1 305.341 1.935 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)Cc1cc(C)on1 ZINC000751982830 700333383 /nfs/dbraw/zinc/33/33/83/700333383.db2.gz QXIAYTIBBWMVDH-LBPRGKRZSA-N -1 1 316.379 1.134 20 0 DDADMM Cn1cc2c(n1)CCC[C@@H]2C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801705397 700333647 /nfs/dbraw/zinc/33/36/47/700333647.db2.gz OGXHEFWITABTAP-JTQLQIEISA-N -1 1 310.361 1.134 20 0 DDADMM CCN1CC[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1=O ZINC000801713085 700334017 /nfs/dbraw/zinc/33/40/17/700334017.db2.gz CDLWSARULAGQTM-LLVKDONJSA-N -1 1 308.359 1.332 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000752725802 700382375 /nfs/dbraw/zinc/38/23/75/700382375.db2.gz HQHOWHZWUZTUBC-SNVBAGLBSA-N -1 1 318.377 1.107 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCc1ccn(C)n1 ZINC000754799060 700528953 /nfs/dbraw/zinc/52/89/53/700528953.db2.gz HWSAXRZJEGCTHN-UHFFFAOYSA-N -1 1 323.374 1.539 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCOCCC(C)C)co1 ZINC000756399394 700619430 /nfs/dbraw/zinc/61/94/30/700619430.db2.gz BLBSYHQLQKGUOZ-UHFFFAOYSA-N -1 1 319.379 1.407 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cncc(Cl)n1 ZINC000760813607 700824500 /nfs/dbraw/zinc/82/45/00/700824500.db2.gz WKQLUIALUBCUDY-NSHDSACASA-N -1 1 315.724 1.163 20 0 DDADMM O=C(NC1CC1)N[C@@H]1CCN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000761024196 700835596 /nfs/dbraw/zinc/83/55/96/700835596.db2.gz GBQQDPRYRMZANZ-SNVBAGLBSA-N -1 1 323.780 1.722 20 0 DDADMM O=C(Nn1cc(Br)cn1)c1cc(F)ccc1[O-] ZINC000763234310 700930429 /nfs/dbraw/zinc/93/04/29/700930429.db2.gz FDGPENVZGWUVKL-UHFFFAOYSA-N -1 1 300.087 1.874 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@@H]1C[C@]12CCOC2 ZINC000764658846 700981238 /nfs/dbraw/zinc/98/12/38/700981238.db2.gz UOSMDDHUXYPULB-GUYCJALGSA-N -1 1 316.309 1.968 20 0 DDADMM COc1ccc(Cl)cc1CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765410309 701010400 /nfs/dbraw/zinc/01/04/00/701010400.db2.gz UPTUGSIVFJBUFQ-UHFFFAOYSA-N -1 1 311.725 1.056 20 0 DDADMM CC(C)c1ccc(CCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765463678 701013854 /nfs/dbraw/zinc/01/38/54/701013854.db2.gz SQLOOICKCRWBFY-UHFFFAOYSA-N -1 1 303.362 1.908 20 0 DDADMM CC(C)Oc1ccccc1CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765464923 701014034 /nfs/dbraw/zinc/01/40/34/701014034.db2.gz ZHYFUMDCKXGSFO-UHFFFAOYSA-N -1 1 305.334 1.182 20 0 DDADMM CC(C)c1cccc(O[C@H](C)C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765465196 701014180 /nfs/dbraw/zinc/01/41/80/701014180.db2.gz WCGHQYCNMKUKEY-LLVKDONJSA-N -1 1 319.361 1.743 20 0 DDADMM COc1cccc([C@H](C)CC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765494482 701015823 /nfs/dbraw/zinc/01/58/23/701015823.db2.gz FLRMZPDLSOWRMA-SNVBAGLBSA-N -1 1 305.334 1.354 20 0 DDADMM C[C@H](CC(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(F)cc1F ZINC000765500050 701016304 /nfs/dbraw/zinc/01/63/04/701016304.db2.gz ASIUKUBDEFGARO-MRVPVSSYSA-N -1 1 311.288 1.624 20 0 DDADMM CCc1[nH]ccc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000803777009 701143112 /nfs/dbraw/zinc/14/31/12/701143112.db2.gz MQAMAKMPGZSJSR-UHFFFAOYSA-N -1 1 300.314 1.691 20 0 DDADMM CN(C)c1ccc(NC(=O)c2ccc(O)cc2[O-])c(C(N)=O)c1 ZINC000769300006 701240007 /nfs/dbraw/zinc/24/00/07/701240007.db2.gz STDBUQAJNWQGRI-UHFFFAOYSA-N -1 1 315.329 1.515 20 0 DDADMM CCN(C(=O)c1c([O-])cccc1Cl)[C@@H]1CCS(=O)(=O)C1 ZINC000769648697 701251130 /nfs/dbraw/zinc/25/11/30/701251130.db2.gz GVRKZYSXHGOSND-SECBINFHSA-N -1 1 317.794 1.695 20 0 DDADMM CCOC(=O)[C@@H](CC=C(C)C)[N-]S(=O)(=O)C[C@H](CC)OC ZINC000867758019 701733904 /nfs/dbraw/zinc/73/39/04/701733904.db2.gz FDZXSTJGGPRHOO-QWHCGFSZSA-N -1 1 321.439 1.619 20 0 DDADMM O=Cc1ccc(OC(F)(F)C(=O)[N-]Cc2ncccn2)cc1 ZINC000770057193 701266231 /nfs/dbraw/zinc/26/62/31/701266231.db2.gz UZXUPCARCBKQCW-UHFFFAOYSA-N -1 1 307.256 1.577 20 0 DDADMM O=C([N-][C@@H](CO)c1c(F)cccc1F)C(F)(F)C1(O)CCC1 ZINC000771068956 701307945 /nfs/dbraw/zinc/30/79/45/701307945.db2.gz JLXZWZOLYAFSSW-JTQLQIEISA-N -1 1 321.270 1.665 20 0 DDADMM CC(=O)[C@H](Cc1ccc(Cl)cc1F)OC(=O)c1cn[n-]n1 ZINC000805604688 701397775 /nfs/dbraw/zinc/39/77/75/701397775.db2.gz DGKOALKMKTYUQZ-LBPRGKRZSA-N -1 1 311.700 1.954 20 0 DDADMM O=C(O[C@H]1CCN(c2c(F)cccc2F)C1=O)c1cn[n-]n1 ZINC000805605295 701398481 /nfs/dbraw/zinc/39/84/81/701398481.db2.gz XVPBZOFUVFZAMY-JTQLQIEISA-N -1 1 308.244 1.045 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)N1CCSc2ccccc21 ZINC000805606424 701398967 /nfs/dbraw/zinc/39/89/67/701398967.db2.gz WYKHBMWWIOMHAM-VIFPVBQESA-N -1 1 318.358 1.489 20 0 DDADMM CCOC1CC(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)C1 ZINC000805643172 701401562 /nfs/dbraw/zinc/40/15/62/701401562.db2.gz UWDGFAITVBRUHQ-UHFFFAOYSA-N -1 1 305.330 1.301 20 0 DDADMM O=C([N-]OC1CCCCC1)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000806428891 701434948 /nfs/dbraw/zinc/43/49/48/701434948.db2.gz YBTUAHZYNQVCFR-LBPRGKRZSA-N -1 1 306.366 1.284 20 0 DDADMM CC[C@@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CCCO1 ZINC000806481477 701436960 /nfs/dbraw/zinc/43/69/60/701436960.db2.gz DAAAKQLTYASTEV-DGCLKSJQSA-N -1 1 303.366 1.421 20 0 DDADMM CC[C@@H](C[C@H](C)CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806487805 701437446 /nfs/dbraw/zinc/43/74/46/701437446.db2.gz DOSATZHJLARRAA-QWRGUYRKSA-N -1 1 305.382 1.260 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCOCC3CCC3)ccnc1-2 ZINC000806493405 701438168 /nfs/dbraw/zinc/43/81/68/701438168.db2.gz VKKDOEFIMRHNOL-UHFFFAOYSA-N -1 1 303.366 1.280 20 0 DDADMM C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@@H](O)c1cccc(F)c1 ZINC000807199090 701469250 /nfs/dbraw/zinc/46/92/50/701469250.db2.gz RRLMYTYDGNSUBS-ZUZCIYMTSA-N -1 1 317.316 1.952 20 0 DDADMM CCc1nc(CN2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)no1 ZINC000810069332 701721682 /nfs/dbraw/zinc/72/16/82/701721682.db2.gz YYZNYWGXRQBECI-VIFPVBQESA-N -1 1 320.315 1.523 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)c2ccnn2C)sc1C ZINC000867855579 701795901 /nfs/dbraw/zinc/79/59/01/701795901.db2.gz KRSNZDSWGIAATK-QMMMGPOBSA-N -1 1 300.409 1.533 20 0 DDADMM CCN(C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C(C)C ZINC000867889896 701813382 /nfs/dbraw/zinc/81/33/82/701813382.db2.gz IHDHCZLMWQADMH-LBPRGKRZSA-N -1 1 309.332 1.980 20 0 DDADMM COC(=O)[C@@H](CC1CC1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000839814768 701835929 /nfs/dbraw/zinc/83/59/29/701835929.db2.gz RZXKYJDZLNQYMF-SNVBAGLBSA-N -1 1 320.374 1.090 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cccnc2C)o1 ZINC000810882312 701868404 /nfs/dbraw/zinc/86/84/04/701868404.db2.gz OGWHHSGXBXIMPT-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM O=C(Cc1ccoc1)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000810906245 701872295 /nfs/dbraw/zinc/87/22/95/701872295.db2.gz OJXYMILIFOLMRC-LLVKDONJSA-N -1 1 318.295 1.739 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@@H]1CCc2nnc(C3CC3)n2C1 ZINC000815829366 701944513 /nfs/dbraw/zinc/94/45/13/701944513.db2.gz RKAPGIAEMILLEF-MRVPVSSYSA-N -1 1 304.272 1.328 20 0 DDADMM C[C@H](C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)c1ccccn1 ZINC000831125299 706639132 /nfs/dbraw/zinc/63/91/32/706639132.db2.gz JWGFLBBLIZXLRA-VIFPVBQESA-N -1 1 315.295 1.322 20 0 DDADMM CC[C@H]1C[C@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)CCO1 ZINC000831135381 706641605 /nfs/dbraw/zinc/64/16/05/706641605.db2.gz WWCPUFMLKYHWFF-MNOVXSKESA-N -1 1 322.327 1.329 20 0 DDADMM Cc1cccnc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831139424 706642038 /nfs/dbraw/zinc/64/20/38/706642038.db2.gz IBUGVOZJPCJCBE-UHFFFAOYSA-N -1 1 301.268 1.141 20 0 DDADMM O=C(N[C@@H]1COC(=O)C1)c1cc(Br)ccc1[O-] ZINC000816677488 702145256 /nfs/dbraw/zinc/14/52/56/702145256.db2.gz SOYYNFAYBOWDRN-ZETCQYMHSA-N -1 1 300.108 1.200 20 0 DDADMM CON(C)C(=O)CNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000817125290 702278676 /nfs/dbraw/zinc/27/86/76/702278676.db2.gz OKIRVZBSQNYZGH-UHFFFAOYSA-N -1 1 317.349 1.293 20 0 DDADMM COc1cc(-c2nc(-c3nccc(N)n3)no2)cc(Cl)c1[O-] ZINC000813350577 702334826 /nfs/dbraw/zinc/33/48/26/702334826.db2.gz IBMDGMDAEJTRNY-UHFFFAOYSA-N -1 1 319.708 1.974 20 0 DDADMM CC(=O)CCC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000813635634 702388206 /nfs/dbraw/zinc/38/82/06/702388206.db2.gz ACWAKSMFAICYFS-PEXQALLHSA-N -1 1 322.327 1.660 20 0 DDADMM Cc1ccc(NC(=O)c2c(=O)[nH]c(=O)n(CC(C)C)c2[O-])s1 ZINC000817571162 702414519 /nfs/dbraw/zinc/41/45/19/702414519.db2.gz OBWCHIAENVGVCL-SNVBAGLBSA-N -1 1 323.374 1.346 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(C)(C)COC)o1 ZINC000813997484 702457029 /nfs/dbraw/zinc/45/70/29/702457029.db2.gz PCRZVWOEWGPICL-UHFFFAOYSA-N -1 1 305.352 1.017 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)[C@H](C)N2CC[C@@H](C(=O)[O-])C2)c1 ZINC000817715938 702460237 /nfs/dbraw/zinc/46/02/37/702460237.db2.gz PKVJJZBVPHYQBZ-CMPLNLGQSA-N -1 1 319.361 1.379 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC000841533324 702483414 /nfs/dbraw/zinc/48/34/14/702483414.db2.gz CKALEWQURJHGHT-SNVBAGLBSA-N -1 1 321.757 1.709 20 0 DDADMM CC[C@@H]1CCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869214368 702513296 /nfs/dbraw/zinc/51/32/96/702513296.db2.gz WPKJKYFJDBGDNM-SNVBAGLBSA-N -1 1 306.366 1.339 20 0 DDADMM Cc1nc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c(C)o1 ZINC000814503355 702535129 /nfs/dbraw/zinc/53/51/29/702535129.db2.gz CYMSHUALUGSLQM-UHFFFAOYSA-N -1 1 302.286 1.405 20 0 DDADMM CC[C@@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H](O)C(C)C ZINC000841705242 702544141 /nfs/dbraw/zinc/54/41/41/702544141.db2.gz KAZOPIUHNKUWCD-DGCLKSJQSA-N -1 1 305.382 1.259 20 0 DDADMM Cn1[n-]c(CN2CCC[C@]2(C)Cc2ccc(F)c(F)c2)nc1=O ZINC000879453758 706684471 /nfs/dbraw/zinc/68/44/71/706684471.db2.gz PGOOIEGZQSVEBP-MRXNPFEDSA-N -1 1 322.359 1.984 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869467084 702616131 /nfs/dbraw/zinc/61/61/31/702616131.db2.gz HBIWWTHAWXUDOZ-RKDXNWHRSA-N -1 1 309.284 1.604 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCOCC2CCC2)co1 ZINC000842086177 702669409 /nfs/dbraw/zinc/66/94/09/702669409.db2.gz SJVLAPXGODTFKL-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCCC[C@@H]1CCOC1 ZINC000842648764 702749837 /nfs/dbraw/zinc/74/98/37/702749837.db2.gz MBUKVNFNRCYKSR-LLVKDONJSA-N -1 1 314.407 1.504 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C=C2CCCC2)CCC1 ZINC000843019553 702804563 /nfs/dbraw/zinc/80/45/63/702804563.db2.gz RZNGKGQJTMVGCK-UHFFFAOYSA-N -1 1 300.424 1.417 20 0 DDADMM CCCC[C@](C)(CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000844017594 702955782 /nfs/dbraw/zinc/95/57/82/702955782.db2.gz SKWXNALXQBJHID-CQSZACIVSA-N -1 1 308.407 1.689 20 0 DDADMM CCN(OC)C(=O)CNC(=O)c1cc2ccccc2cc1[O-] ZINC000844068966 702967006 /nfs/dbraw/zinc/96/70/06/702967006.db2.gz HFUWWYOGRZZHCY-UHFFFAOYSA-N -1 1 302.330 1.685 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CC[C@H]3C[C@H]3C2)o1 ZINC000845766679 703198202 /nfs/dbraw/zinc/19/82/02/703198202.db2.gz SDZSBMOXDITMSX-OUAUKWLOSA-N -1 1 313.375 1.781 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-]C1CCOCC1)c1ccccc1 ZINC000866549555 706727276 /nfs/dbraw/zinc/72/72/76/706727276.db2.gz VBSLZFMYQZURDG-LJQANCHMSA-N -1 1 318.420 1.157 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N(C)[C@H](C)CCO ZINC000846600380 703302035 /nfs/dbraw/zinc/30/20/35/703302035.db2.gz JUQOTEVGRIFMOL-SNVBAGLBSA-N -1 1 320.393 1.214 20 0 DDADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CC2CCC1CC2 ZINC000846620159 703304569 /nfs/dbraw/zinc/30/45/69/703304569.db2.gz GBECYCJWRLWIPW-BLYZHGLHSA-N -1 1 319.405 1.258 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@H]1CCc2c1cccc2F ZINC000866588883 706737680 /nfs/dbraw/zinc/73/76/80/706737680.db2.gz ALWMUYZBWBBDPR-NSHDSACASA-N -1 1 306.384 1.375 20 0 DDADMM CC(=Cc1cccnc1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847090193 703376328 /nfs/dbraw/zinc/37/63/28/703376328.db2.gz HWNQWPAGEWJSDC-BIRHUZIMSA-N -1 1 314.345 1.980 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1C[C@H](OC)C1(C)C ZINC000866938536 706850291 /nfs/dbraw/zinc/85/02/91/706850291.db2.gz VDQZULKHVLFKKE-UWVGGRQHSA-N -1 1 312.457 1.142 20 0 DDADMM O=C(NCc1n[nH]c([C@@H]2CCCO2)n1)c1cccc(Cl)c1[O-] ZINC000848277692 703535226 /nfs/dbraw/zinc/53/52/26/703535226.db2.gz YLNBTIBFSVTHRU-JTQLQIEISA-N -1 1 322.752 1.945 20 0 DDADMM COC[C@@H](CC(C)(C)C)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000848491987 703557203 /nfs/dbraw/zinc/55/72/03/703557203.db2.gz RCSLDTSNMQXXEB-SECBINFHSA-N -1 1 323.846 1.803 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)C[C@H](OC)C1CCCC1 ZINC000849378299 703641242 /nfs/dbraw/zinc/64/12/42/703641242.db2.gz VGQDRDOVLHYOFK-ZDUSSCGKSA-N -1 1 321.439 1.454 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCC[C@H](C)O ZINC000849720057 703671662 /nfs/dbraw/zinc/67/16/62/703671662.db2.gz APQVOPBBHKHLND-VIFPVBQESA-N -1 1 307.350 1.298 20 0 DDADMM O=C(COC(=O)[C@@H]1CCC[C@@H]2C[C@@H]21)[N-]C(=O)c1ccccc1 ZINC000849858345 703684409 /nfs/dbraw/zinc/68/44/09/703684409.db2.gz GSUNTQCFGREFNG-MCIONIFRSA-N -1 1 301.342 1.922 20 0 DDADMM Cc1cnc(SCCn2cnc(Br)n2)[n-]c1=O ZINC000849903095 703688315 /nfs/dbraw/zinc/68/83/15/703688315.db2.gz JNFAXYCUUSFSSN-UHFFFAOYSA-N -1 1 316.184 1.637 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2CCC2(C)C)CCC1 ZINC000851103693 703780525 /nfs/dbraw/zinc/78/05/25/703780525.db2.gz GQBXQSSCJCGJNV-LLVKDONJSA-N -1 1 302.440 1.353 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C)c2cn(C(C)C)nn2)[n-]1 ZINC000851232181 703787446 /nfs/dbraw/zinc/78/74/46/703787446.db2.gz IRKONRSETIJCIG-SECBINFHSA-N -1 1 306.322 1.892 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)[C@@H](C)O1 ZINC000869657293 703826354 /nfs/dbraw/zinc/82/63/54/703826354.db2.gz KGRDYRHXIGEWHT-NQBHXWOUSA-N -1 1 305.330 1.300 20 0 DDADMM CO[C@@H](C)CC[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000866707275 706771099 /nfs/dbraw/zinc/77/10/99/706771099.db2.gz GOZMLRYBWXLVRU-JEOXALJRSA-N -1 1 320.436 1.403 20 0 DDADMM CO[C@@H](C)C(=O)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870160549 703938609 /nfs/dbraw/zinc/93/86/09/703938609.db2.gz PUVFZUGCLUTDJQ-NSHDSACASA-N -1 1 312.797 1.725 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@]23C[C@@H]2CCCC3)CCC1 ZINC000870446488 704039605 /nfs/dbraw/zinc/03/96/05/704039605.db2.gz GZLGATMQFDFXDB-WFASDCNBSA-N -1 1 314.451 1.497 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000870447364 704039946 /nfs/dbraw/zinc/03/99/46/704039946.db2.gz RHTFAJBUSJMVIM-VOAKCMCISA-N -1 1 314.451 1.209 20 0 DDADMM COC(=O)/C(C)=C\CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879765520 706785725 /nfs/dbraw/zinc/78/57/25/706785725.db2.gz OSNYPNFXVLQEGY-TWLAMCEISA-N -1 1 308.300 1.249 20 0 DDADMM O=C([O-])[C@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCC1CCC1 ZINC000852733125 704108532 /nfs/dbraw/zinc/10/85/32/704108532.db2.gz MPDRMTJFCMGLSD-GHMZBOCLSA-N -1 1 322.327 1.773 20 0 DDADMM O=C(c1ccc2[n-]c(=S)oc2c1)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000819725190 704165656 /nfs/dbraw/zinc/16/56/56/704165656.db2.gz TYJVVGQMPYQLPT-SECBINFHSA-N -1 1 315.358 1.864 20 0 DDADMM CCCOC(=O)CC[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000819876171 704179523 /nfs/dbraw/zinc/17/95/23/704179523.db2.gz NGZQTYCYCDBJKK-UHFFFAOYSA-N -1 1 321.345 1.895 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2nc[nH]n2)c1 ZINC000853226285 704221134 /nfs/dbraw/zinc/22/11/34/704221134.db2.gz VYPYZCMUCKCNJW-JLTPVHFHSA-N -1 1 309.347 1.225 20 0 DDADMM C[C@H]1CC[C@@](C)(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1(C)C ZINC000855392574 704482065 /nfs/dbraw/zinc/48/20/65/704482065.db2.gz DHAZAUQGHXADSS-SJCJKPOMSA-N -1 1 321.421 1.505 20 0 DDADMM COCCN(CCF)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000855591203 704492779 /nfs/dbraw/zinc/49/27/79/704492779.db2.gz JXKQNMCOZAJHGH-UHFFFAOYSA-N -1 1 324.356 1.039 20 0 DDADMM CC1(C)OCC[C@H]1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000856263736 704520718 /nfs/dbraw/zinc/52/07/18/704520718.db2.gz PEWSWZATQHMYLR-JTQLQIEISA-N -1 1 306.391 1.563 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](C)CC2(C)OCCO2)sn1 ZINC000866852788 706825590 /nfs/dbraw/zinc/82/55/90/706825590.db2.gz JZNISGYYBBUSGE-VIFPVBQESA-N -1 1 320.436 1.519 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC3(Cl)Cl)nc2n1 ZINC000857683312 704613289 /nfs/dbraw/zinc/61/32/89/704613289.db2.gz ANJQPZPLPCJTDB-ZCFIWIBFSA-N -1 1 316.148 1.112 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@H](CO)Nc2cc(Cl)[n-]c(=O)n2)C1 ZINC000858621827 704736147 /nfs/dbraw/zinc/73/61/47/704736147.db2.gz COQQTEREMULREP-BBBLOLIVSA-N -1 1 301.774 1.814 20 0 DDADMM COC(=O)C1=CC[C@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC000859289639 704851155 /nfs/dbraw/zinc/85/11/55/704851155.db2.gz HYBPVXITWQIJFI-JTQLQIEISA-N -1 1 317.313 1.505 20 0 DDADMM CN1CCOC[C@H]1C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000859337293 704869772 /nfs/dbraw/zinc/86/97/72/704869772.db2.gz YMSVWOKZBBMREB-GFCCVEGCSA-N -1 1 310.375 1.042 20 0 DDADMM COCOCCC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000859385395 704886431 /nfs/dbraw/zinc/88/64/31/704886431.db2.gz OYSBWBUAWBXTPS-UHFFFAOYSA-N -1 1 317.338 1.861 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN(C)Cc2cnc(N(C)C)nc2)O1 ZINC000833582177 707011417 /nfs/dbraw/zinc/01/14/17/707011417.db2.gz DKVCSLGIFZCUIK-GFCCVEGCSA-N -1 1 306.366 1.122 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1Cc2ccc(Cl)cc2C1 ZINC000822702748 705013065 /nfs/dbraw/zinc/01/30/65/705013065.db2.gz BXNURQIJEALUFC-GFCCVEGCSA-N -1 1 305.769 1.459 20 0 DDADMM C[C@@H](O)C1([N-]S(=O)(=O)c2cc(Cl)cnc2Cl)CC1 ZINC000867528562 707029777 /nfs/dbraw/zinc/02/97/77/707029777.db2.gz ASBVQMMAOZYRPX-ZCFIWIBFSA-N -1 1 311.190 1.580 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(C(F)F)CC2)n[n-]1 ZINC000880665751 707051781 /nfs/dbraw/zinc/05/17/81/707051781.db2.gz GVXKIWVBUDKWFI-LURJTMIESA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(C(F)F)CC2)[n-]1 ZINC000880665751 707051784 /nfs/dbraw/zinc/05/17/84/707051784.db2.gz GVXKIWVBUDKWFI-LURJTMIESA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(C(F)F)CC2)n1 ZINC000880665751 707051786 /nfs/dbraw/zinc/05/17/86/707051786.db2.gz GVXKIWVBUDKWFI-LURJTMIESA-N -1 1 302.281 1.204 20 0 DDADMM Cc1nc2sccn2c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000860349431 705161615 /nfs/dbraw/zinc/16/16/15/705161615.db2.gz MXDCPNRDICHYJQ-SECBINFHSA-N -1 1 317.378 1.237 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2ccsc2C(F)(F)F)C1=O ZINC000860527942 705212182 /nfs/dbraw/zinc/21/21/82/705212182.db2.gz XRWXMNDLLSSDFI-UHFFFAOYSA-N -1 1 307.253 1.306 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1CCCC12CC2 ZINC000860648572 705245633 /nfs/dbraw/zinc/24/56/33/705245633.db2.gz SGDWZLRFZVYNDK-LBPRGKRZSA-N -1 1 316.361 1.392 20 0 DDADMM CCC(CC)CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875307563 705347339 /nfs/dbraw/zinc/34/73/39/705347339.db2.gz NGVLNTLTPYMEQP-UHFFFAOYSA-N -1 1 309.332 1.743 20 0 DDADMM O=C(CCCN1C(=O)CCC1=O)NCc1ccc([O-])c(Cl)c1 ZINC000834833987 707134190 /nfs/dbraw/zinc/13/41/90/707134190.db2.gz RXJSSGPYWQZIKR-UHFFFAOYSA-N -1 1 324.764 1.591 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2nocc2C)c1 ZINC000861200717 705398126 /nfs/dbraw/zinc/39/81/26/705398126.db2.gz VKPGTEQKABYIQZ-OAQYLSRUSA-N -1 1 309.343 1.843 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2nocc2C)c1 ZINC000861200716 705398189 /nfs/dbraw/zinc/39/81/89/705398189.db2.gz VKPGTEQKABYIQZ-NRFANRHFSA-N -1 1 309.343 1.843 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3[C@@H]4Cc5ccccc5[C@@H]43)ccnc1-2 ZINC000875633360 705456966 /nfs/dbraw/zinc/45/69/66/705456966.db2.gz OJRKAIRUOWMCSA-UHOFOFEASA-N -1 1 304.353 1.866 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@](O)(c2ccccc2)C1 ZINC000824911395 705556559 /nfs/dbraw/zinc/55/65/59/705556559.db2.gz RESPHGKHSFVSPM-INIZCTEOSA-N -1 1 315.377 1.033 20 0 DDADMM O=C([N-]OCC1CC1)[C@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC000861822879 705577590 /nfs/dbraw/zinc/57/75/90/705577590.db2.gz AZJZFMZOBCFTGS-JTQLQIEISA-N -1 1 310.300 1.776 20 0 DDADMM O=C([N-]OC1CCC1)[C@@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC000861880607 705593887 /nfs/dbraw/zinc/59/38/87/705593887.db2.gz FRODILXKPSJWIR-SECBINFHSA-N -1 1 310.300 1.918 20 0 DDADMM O=C(Nc1ccc2c(c1)C=CCCC2)NN1CC(=O)[N-]C1=O ZINC000825260299 705635475 /nfs/dbraw/zinc/63/54/75/705635475.db2.gz OYSVVYLNTYBCPA-UHFFFAOYSA-N -1 1 300.318 1.624 20 0 DDADMM Cc1cccc(CO[N-]C(=O)[C@H]2C[C@@]23c2ccccc2NC3=O)n1 ZINC000825565499 705693874 /nfs/dbraw/zinc/69/38/74/705693874.db2.gz FVHOHZPIUYEHSV-RDTXWAMCSA-N -1 1 323.352 1.848 20 0 DDADMM CCOC(=O)c1cnn(C/C=C/c2ccccc2)c1-c1nn[n-]n1 ZINC000825571278 705695208 /nfs/dbraw/zinc/69/52/08/705695208.db2.gz WZJLSBLNKPGUPY-RMKNXTFCSA-N -1 1 324.344 1.953 20 0 DDADMM COC(=O)C1=CC[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000862661000 705767123 /nfs/dbraw/zinc/76/71/23/705767123.db2.gz QXBGFEBSZLTOAH-SNVBAGLBSA-N -1 1 318.358 1.010 20 0 DDADMM CSC[C@@H]1CCCN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826228706 705782958 /nfs/dbraw/zinc/78/29/58/705782958.db2.gz XWSCARRORSWOBG-VIFPVBQESA-N -1 1 322.394 1.060 20 0 DDADMM CSC[C@@H]1CCCN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826228706 705782962 /nfs/dbraw/zinc/78/29/62/705782962.db2.gz XWSCARRORSWOBG-VIFPVBQESA-N -1 1 322.394 1.060 20 0 DDADMM Cc1cc(Nc2nccnc2-c2nnn[n-]2)ccc1OCCO ZINC000826271458 705786823 /nfs/dbraw/zinc/78/68/23/705786823.db2.gz SFAZRELXLNCXPZ-UHFFFAOYSA-N -1 1 313.321 1.080 20 0 DDADMM Cc1cc(Nc2nccnc2-c2nn[n-]n2)ccc1OCCO ZINC000826271458 705786825 /nfs/dbraw/zinc/78/68/25/705786825.db2.gz SFAZRELXLNCXPZ-UHFFFAOYSA-N -1 1 313.321 1.080 20 0 DDADMM Cc1cccc([C@@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)c1 ZINC000826297935 705787667 /nfs/dbraw/zinc/78/76/67/705787667.db2.gz XOPAOEUTMVOTQS-CYBMUJFWSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1cccc([C@@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)c1 ZINC000826297935 705787672 /nfs/dbraw/zinc/78/76/72/705787672.db2.gz XOPAOEUTMVOTQS-CYBMUJFWSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@H](C)C2(C)CC2)c1-c1nnn[n-]1 ZINC000826342913 705793013 /nfs/dbraw/zinc/79/30/13/705793013.db2.gz FFAFTAFBJHUVCH-SECBINFHSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@H](C)C2(C)CC2)c1-c1nn[n-]n1 ZINC000826342913 705793016 /nfs/dbraw/zinc/79/30/16/705793016.db2.gz FFAFTAFBJHUVCH-SECBINFHSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)NCCC2CCCC2)c1-c1nnn[n-]1 ZINC000826347079 705794296 /nfs/dbraw/zinc/79/42/96/705794296.db2.gz DOQQGERWUGEWGI-UHFFFAOYSA-N -1 1 304.354 1.402 20 0 DDADMM Cc1onc(CC(=O)NCCC2CCCC2)c1-c1nn[n-]n1 ZINC000826347079 705794298 /nfs/dbraw/zinc/79/42/98/705794298.db2.gz DOQQGERWUGEWGI-UHFFFAOYSA-N -1 1 304.354 1.402 20 0 DDADMM Cc1onc(CC(=O)NCC2(C)CCCC2)c1-c1nnn[n-]1 ZINC000826347061 705794579 /nfs/dbraw/zinc/79/45/79/705794579.db2.gz CXWBTKQNXHWRJX-UHFFFAOYSA-N -1 1 304.354 1.402 20 0 DDADMM Cc1onc(CC(=O)NCC2(C)CCCC2)c1-c1nn[n-]n1 ZINC000826347061 705794584 /nfs/dbraw/zinc/79/45/84/705794584.db2.gz CXWBTKQNXHWRJX-UHFFFAOYSA-N -1 1 304.354 1.402 20 0 DDADMM Cc1onc(CC(=O)NC[C@]2(C)CCCS2)c1-c1nnn[n-]1 ZINC000826347032 705794604 /nfs/dbraw/zinc/79/46/04/705794604.db2.gz BZHKIOOFOATHNF-ZDUSSCGKSA-N -1 1 322.394 1.108 20 0 DDADMM Cc1onc(CC(=O)NC[C@]2(C)CCCS2)c1-c1nn[n-]n1 ZINC000826347032 705794607 /nfs/dbraw/zinc/79/46/07/705794607.db2.gz BZHKIOOFOATHNF-ZDUSSCGKSA-N -1 1 322.394 1.108 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc3c(c2)CCC3)c1-c1nnn[n-]1 ZINC000826349049 705795152 /nfs/dbraw/zinc/79/51/52/705795152.db2.gz RBLXKBFLOOHREK-UHFFFAOYSA-N -1 1 324.344 1.833 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc3c(c2)CCC3)c1-c1nn[n-]n1 ZINC000826349049 705795160 /nfs/dbraw/zinc/79/51/60/705795160.db2.gz RBLXKBFLOOHREK-UHFFFAOYSA-N -1 1 324.344 1.833 20 0 DDADMM c1ccc(C[C@@H]2CN(c3nccnc3-c3nnn[n-]3)CCO2)cc1 ZINC000826521009 705808155 /nfs/dbraw/zinc/80/81/55/705808155.db2.gz XKLVHHBLZUHDQH-CYBMUJFWSA-N -1 1 323.360 1.105 20 0 DDADMM c1ccc(C[C@@H]2CN(c3nccnc3-c3nn[n-]n3)CCO2)cc1 ZINC000826521009 705808157 /nfs/dbraw/zinc/80/81/57/705808157.db2.gz XKLVHHBLZUHDQH-CYBMUJFWSA-N -1 1 323.360 1.105 20 0 DDADMM COCc1nc(NCc2ccccc2CC(=O)OC)cc(=O)[n-]1 ZINC000894441444 711122812 /nfs/dbraw/zinc/12/28/12/711122812.db2.gz UPUXOYPIUBNWEQ-UHFFFAOYSA-N -1 1 317.345 1.656 20 0 DDADMM Cn1cc(Br)c(C(=O)[N-]OCC2CCC2)cc1=O ZINC000826627142 705828420 /nfs/dbraw/zinc/82/84/20/705828420.db2.gz DWLOCOOFYOKYBX-UHFFFAOYSA-N -1 1 315.167 1.609 20 0 DDADMM COC(=O)c1c[n-]c(SCc2cnc(C(=O)OC)cn2)n1 ZINC000863323992 705901832 /nfs/dbraw/zinc/90/18/32/705901832.db2.gz XBKDARBUGQGCRK-UHFFFAOYSA-N -1 1 308.319 1.065 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCCCCC(=O)OC ZINC000863453323 705933420 /nfs/dbraw/zinc/93/34/20/705933420.db2.gz HCPQPUZUDCICKS-UHFFFAOYSA-N -1 1 316.379 1.031 20 0 DDADMM CC[C@H]1CCC[C@@H]1C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000835294588 707215999 /nfs/dbraw/zinc/21/59/99/707215999.db2.gz YLYGRWRTOXNWCF-AAEUAGOBSA-N -1 1 318.377 1.638 20 0 DDADMM CC[C@@H](C)C[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC ZINC000827814226 706071460 /nfs/dbraw/zinc/07/14/60/706071460.db2.gz AQRPUKGJLTVVOC-SFYZADRCSA-N -1 1 323.802 1.319 20 0 DDADMM C/C(=C\c1ccccn1)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000864159963 706084534 /nfs/dbraw/zinc/08/45/34/706084534.db2.gz PKOLDRCURUZSOS-CMPYXILNSA-N -1 1 317.389 1.492 20 0 DDADMM COC[C@@](C)(NCc1cccnc1Br)C(=O)[O-] ZINC000864511133 706185328 /nfs/dbraw/zinc/18/53/28/706185328.db2.gz PBUMPGWRCAKOBI-LLVKDONJSA-N -1 1 303.156 1.423 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC000828558435 706199583 /nfs/dbraw/zinc/19/95/83/706199583.db2.gz UJCDSSXOZJSEQE-RKDXNWHRSA-N -1 1 303.288 1.536 20 0 DDADMM CCn1cc(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)cn1 ZINC000877776136 706206809 /nfs/dbraw/zinc/20/68/09/706206809.db2.gz YWCAGBVTSZMGOI-LBPRGKRZSA-N -1 1 304.316 1.546 20 0 DDADMM CC(C)N(C)S(=O)(=O)[N-]c1ccn(C2CCSCC2)n1 ZINC000872383233 707395598 /nfs/dbraw/zinc/39/55/98/707395598.db2.gz PJCSFVWAPOAGLZ-UHFFFAOYSA-N -1 1 318.468 1.948 20 0 DDADMM CSC(C)(C)C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872455845 707421390 /nfs/dbraw/zinc/42/13/90/707421390.db2.gz DCELOECVYDSFNW-QGZVFWFLSA-N -1 1 316.514 1.859 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CC(C)(C)CCO ZINC000872456003 707421776 /nfs/dbraw/zinc/42/17/76/707421776.db2.gz IFHIJDSWTNJXLJ-SFHVURJKSA-N -1 1 314.473 1.126 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OC1CCCC1 ZINC000881961766 707446128 /nfs/dbraw/zinc/44/61/28/707446128.db2.gz GGJLNBWTTRUGAV-VIFPVBQESA-N -1 1 316.354 1.373 20 0 DDADMM O=C(C[C@@H](n1cccn1)C(F)(F)F)[N-]OCC(F)(F)F ZINC000830442932 706518630 /nfs/dbraw/zinc/51/86/30/706518630.db2.gz ANSYXEDAMDETEQ-ZCFIWIBFSA-N -1 1 305.178 1.987 20 0 DDADMM O=S(=O)([N-][C@H](CCO)c1cccs1)c1c[nH]nc1Cl ZINC000830657383 706555376 /nfs/dbraw/zinc/55/53/76/706555376.db2.gz BYZAGWZMNHCQGW-SSDOTTSWSA-N -1 1 321.811 1.527 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)[C@H]2CCCO[C@@H]21 ZINC000830690746 706562993 /nfs/dbraw/zinc/56/29/93/706562993.db2.gz OQTQCNOSNFVFNC-QNSHHTMESA-N -1 1 319.814 1.545 20 0 DDADMM CCO[C@H](C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830788048 706579293 /nfs/dbraw/zinc/57/92/93/706579293.db2.gz ACQVCONQWKVBIB-GWCFXTLKSA-N -1 1 322.327 1.471 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)/C=C\[C@H]2CCOC2)C1 ZINC000830796094 706581391 /nfs/dbraw/zinc/58/13/91/706581391.db2.gz VZPAVFMEVDLKSW-YGQALIRUSA-N -1 1 320.311 1.249 20 0 DDADMM C[C@@H]1C[C@H](C)[C@@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)O1 ZINC000830801159 706582044 /nfs/dbraw/zinc/58/20/44/706582044.db2.gz IPKZCGCGBUVYOD-WJZNIJOASA-N -1 1 322.327 1.469 20 0 DDADMM CC(C)C[C@H](O)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000866837716 706820717 /nfs/dbraw/zinc/82/07/17/706820717.db2.gz CSSPAWUCGVOCPK-ZETCQYMHSA-N -1 1 315.317 1.114 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000879980943 706842688 /nfs/dbraw/zinc/84/26/88/706842688.db2.gz LVUJNVPPIOQSPH-CYBMUJFWSA-N -1 1 321.377 1.076 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1C[C@@H](OC)C1(C)C ZINC000866938537 706850317 /nfs/dbraw/zinc/85/03/17/706850317.db2.gz VDQZULKHVLFKKE-VHSXEESVSA-N -1 1 312.457 1.142 20 0 DDADMM COC(=O)C[C@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C1CC1 ZINC000866942728 706851729 /nfs/dbraw/zinc/85/17/29/706851729.db2.gz XCCNYJFNGJMNTJ-QMMMGPOBSA-N -1 1 323.317 1.837 20 0 DDADMM COCC1([N-]S(=O)(=O)N=[S@@](C)(=O)c2ccccc2)CC1 ZINC000866971498 706860542 /nfs/dbraw/zinc/86/05/42/706860542.db2.gz DUSKTGCFGYUHNX-IBGZPJMESA-N -1 1 318.420 1.157 20 0 DDADMM CCC(CC)(CO)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867005204 706869810 /nfs/dbraw/zinc/86/98/10/706869810.db2.gz QABMQAHCCZHTTP-UHFFFAOYSA-N -1 1 308.350 1.437 20 0 DDADMM CCOC(=O)C[C@H](C)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867024476 706875181 /nfs/dbraw/zinc/87/51/81/706875181.db2.gz BNVWBEASIQQVPF-QMMMGPOBSA-N -1 1 322.333 1.227 20 0 DDADMM CC1(C)C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C(C)(C)O1 ZINC000867065119 706887395 /nfs/dbraw/zinc/88/73/95/706887395.db2.gz SFDMHXAYHSFKJJ-SECBINFHSA-N -1 1 320.361 1.984 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H](SC)C(C)(C)C ZINC000867091382 706893198 /nfs/dbraw/zinc/89/31/98/706893198.db2.gz JVFYRYWQMKFYJD-XYZCENFISA-N -1 1 316.514 1.716 20 0 DDADMM CCC[C@]1([N-]S(=O)(=O)c2ccc(F)nc2F)CCOC1 ZINC000867260861 706940582 /nfs/dbraw/zinc/94/05/82/706940582.db2.gz DNADVSZFKXKNMM-LBPRGKRZSA-N -1 1 306.334 1.597 20 0 DDADMM Cn1[n-]c(CN2CCCSC[C@H]2c2ccccc2)nc1=O ZINC000880387725 706960215 /nfs/dbraw/zinc/96/02/15/706960215.db2.gz MEMQXKLVJUCCFY-ZDUSSCGKSA-N -1 1 304.419 1.789 20 0 DDADMM COc1cc(C(=O)NCC2OCCCO2)cc(Cl)c1[O-] ZINC000832788801 706984628 /nfs/dbraw/zinc/98/46/28/706984628.db2.gz FQVTZTLQTDQCJG-UHFFFAOYSA-N -1 1 301.726 1.547 20 0 DDADMM CC[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867421745 706996677 /nfs/dbraw/zinc/99/66/77/706996677.db2.gz RAKOGZPOYYTTRJ-BDAKNGLRSA-N -1 1 306.334 1.596 20 0 DDADMM C[C@H](Oc1ccc(CN2C[C@H]3OCCN(C)[C@H]3C2)cc1)C(=O)[O-] ZINC000833542779 707009266 /nfs/dbraw/zinc/00/92/66/707009266.db2.gz SOTCUJVRBKNDAC-VBNZEHGJSA-N -1 1 320.389 1.053 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CC2CC=CC2)c1C(F)(F)F ZINC000867555248 707038307 /nfs/dbraw/zinc/03/83/07/707038307.db2.gz JUBUCMMSHXBPGT-UHFFFAOYSA-N -1 1 309.313 1.683 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C(C)(Cl)Cl)n[n-]1 ZINC000880667036 707052293 /nfs/dbraw/zinc/05/22/93/707052293.db2.gz SGXHHDLTBCYKLD-RXMQYKEDSA-N -1 1 309.153 1.352 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C(C)(Cl)Cl)n1 ZINC000880667036 707052296 /nfs/dbraw/zinc/05/22/96/707052296.db2.gz SGXHHDLTBCYKLD-RXMQYKEDSA-N -1 1 309.153 1.352 20 0 DDADMM COC(=O)c1c[n-]c(SCc2cn(C[C@@H]3CCOC3)nn2)n1 ZINC000871667305 707160628 /nfs/dbraw/zinc/16/06/28/707160628.db2.gz HIMYSCBESZRSGH-VIFPVBQESA-N -1 1 323.378 1.117 20 0 DDADMM CCOC[C@@H]1C(=O)N(Cc2ccc([O-])c(Cl)c2)C(=O)N1C ZINC000871912618 707242960 /nfs/dbraw/zinc/24/29/60/707242960.db2.gz WLORNOBOVWOFBU-LLVKDONJSA-N -1 1 312.753 1.845 20 0 DDADMM CC[C@H](CCO)C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872461022 707424437 /nfs/dbraw/zinc/42/44/37/707424437.db2.gz NIMCASPKBKAJTE-MGNBDDOMSA-N -1 1 314.473 1.126 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC000836892848 707540499 /nfs/dbraw/zinc/54/04/99/707540499.db2.gz SNHKBPNLZJMILM-HOTGVXAUSA-N -1 1 324.396 1.855 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)C[C@@H](O)c1ccc(Cl)cc1 ZINC000836893332 707541023 /nfs/dbraw/zinc/54/10/23/707541023.db2.gz XPBXWPSRZDSVAO-CHWSQXEVSA-N -1 1 301.770 1.828 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000836901778 707546550 /nfs/dbraw/zinc/54/65/50/707546550.db2.gz USSUBWNAOLFZRI-TZMCWYRMSA-N -1 1 306.362 1.104 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(Cn2cc[nH]c2=S)C1 ZINC000872752504 707585098 /nfs/dbraw/zinc/58/50/98/707585098.db2.gz FIRMVJOHOGTEOL-SNVBAGLBSA-N -1 1 308.329 1.272 20 0 DDADMM O=S(=O)(N[C@H]1CCCN2CCCC[C@H]12)c1cccnc1F ZINC000882336709 707590168 /nfs/dbraw/zinc/59/01/68/707590168.db2.gz QTYUGKJRAKGXQQ-NWDGAFQWSA-N -1 1 313.398 1.516 20 0 DDADMM O=S(=O)(N[C@H]1CCCN2CCCC[C@@H]12)c1cccnc1F ZINC000882336711 707590208 /nfs/dbraw/zinc/59/02/08/707590208.db2.gz QTYUGKJRAKGXQQ-RYUDHWBXSA-N -1 1 313.398 1.516 20 0 DDADMM O=Cc1cnc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)nc1 ZINC000882382584 707615421 /nfs/dbraw/zinc/61/54/21/707615421.db2.gz GQQHRSZFYOKSKY-SECBINFHSA-N -1 1 316.283 1.184 20 0 DDADMM O=C(NC[C@H](O)C(F)(F)C(F)(F)F)c1ncccc1[O-] ZINC000882566751 707701837 /nfs/dbraw/zinc/70/18/37/707701837.db2.gz YSUXGJRYZKNCIC-LURJTMIESA-N -1 1 300.183 1.076 20 0 DDADMM COc1ccc(-c2nnc([N-][C@@H](C)C(=O)N(C)OC)o2)cc1 ZINC000882668429 707739501 /nfs/dbraw/zinc/73/95/01/707739501.db2.gz CQIKQTMNXLYZBV-VIFPVBQESA-N -1 1 306.322 1.565 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)[C@@H]1CCCOC1 ZINC000882760860 707776340 /nfs/dbraw/zinc/77/63/40/707776340.db2.gz NURBNOAWPFMITE-BDAKNGLRSA-N -1 1 307.803 1.547 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2nn(C)cc2Cl)CCOCC1 ZINC000882796746 707800636 /nfs/dbraw/zinc/80/06/36/707800636.db2.gz SQODJZXVVKLDAX-UHFFFAOYSA-N -1 1 323.802 1.011 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H](C)C2CCC2)cc1 ZINC000838391129 707912509 /nfs/dbraw/zinc/91/25/09/707912509.db2.gz FMHPTUUDRQONEB-LLVKDONJSA-N -1 1 319.357 1.931 20 0 DDADMM COC(=O)CCN(CC(=O)[O-])[C@H](C)c1c(F)cccc1F ZINC000883413893 707995848 /nfs/dbraw/zinc/99/58/48/707995848.db2.gz FOWIHSIAIWUNMO-SECBINFHSA-N -1 1 301.289 1.976 20 0 DDADMM CCn1ncc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000839072681 708022267 /nfs/dbraw/zinc/02/22/67/708022267.db2.gz FBAMUGBCBVLYHI-UHFFFAOYSA-N -1 1 319.331 1.189 20 0 DDADMM CO[C@@H]1CN(C(=O)NCCc2c(F)cc([O-])cc2F)CCO1 ZINC000896756183 708143054 /nfs/dbraw/zinc/14/30/54/708143054.db2.gz WKPSTIMPNDMLMT-ZDUSSCGKSA-N -1 1 316.304 1.227 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CC[C@@H](C(F)(F)F)O2)c([O-])c1 ZINC000927566914 713010784 /nfs/dbraw/zinc/01/07/84/713010784.db2.gz BBDJXELXQJRILY-WPRPVWTQSA-N -1 1 304.268 1.935 20 0 DDADMM COC[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000909426535 713015185 /nfs/dbraw/zinc/01/51/85/713015185.db2.gz HTQFYJVPTBFBOV-LLVKDONJSA-N -1 1 305.378 1.948 20 0 DDADMM COc1cnc([C@H]2CCCN2c2cncc(Cl)n2)[n-]c1=O ZINC000897224144 708261231 /nfs/dbraw/zinc/26/12/31/708261231.db2.gz IOKKYTKWXFMJTR-MRVPVSSYSA-N -1 1 307.741 1.976 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1ccns1)N1CCc2ccccc21 ZINC000884926253 708422159 /nfs/dbraw/zinc/42/21/59/708422159.db2.gz RQVYICCNIJUVEV-LLVKDONJSA-N -1 1 323.443 1.873 20 0 DDADMM O=S(=O)([N-]C[C@H](O)CCc1ccccc1)c1ccns1 ZINC000885101832 708472775 /nfs/dbraw/zinc/47/27/75/708472775.db2.gz GCZIGHLJDHTDLD-GFCCVEGCSA-N -1 1 312.416 1.415 20 0 DDADMM C[C@@H](CNC(=O)OC(C)(C)C)[N-]S(=O)(=O)c1ccns1 ZINC000885140703 708478990 /nfs/dbraw/zinc/47/89/90/708478990.db2.gz WZGSRQXNUHODID-QMMMGPOBSA-N -1 1 321.424 1.335 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)c1ccc(F)cc1)c1ccns1 ZINC000885243290 708501381 /nfs/dbraw/zinc/50/13/81/708501381.db2.gz BHMMDVSGRVTKQX-SNVBAGLBSA-N -1 1 316.379 1.337 20 0 DDADMM C[C@]1(Cc2cccs2)CCCN1C(=O)CCc1nn[n-]n1 ZINC000885250506 708502077 /nfs/dbraw/zinc/50/20/77/708502077.db2.gz JMPDCIQCZBVKGP-CQSZACIVSA-N -1 1 305.407 1.818 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)c1ccccc1F)c1ccns1 ZINC000885268640 708506082 /nfs/dbraw/zinc/50/60/82/708506082.db2.gz VWHVPIUDEUWSGY-NSHDSACASA-N -1 1 316.379 1.684 20 0 DDADMM O=C(N[C@@H]1CCNC(=O)CC1)c1ccc2ccc(O)cc2c1[O-] ZINC000897976266 708508527 /nfs/dbraw/zinc/50/85/27/708508527.db2.gz LSLZLWFHMAKTOS-NSHDSACASA-N -1 1 314.341 1.650 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000885383832 708532291 /nfs/dbraw/zinc/53/22/91/708532291.db2.gz VDCJRZSWJVOPMB-APPZFPTMSA-N -1 1 305.425 1.033 20 0 DDADMM CC(=O)Nc1ccc(S(=O)(=O)[N-]C(CF)CF)c(F)c1 ZINC000885420752 708541053 /nfs/dbraw/zinc/54/10/53/708541053.db2.gz LTWUZKHOELNTAT-UHFFFAOYSA-N -1 1 310.297 1.370 20 0 DDADMM CN1CC[C@H](C(=O)Nc2cc(F)c([O-])cc2Cl)CC1=O ZINC000885674573 708594652 /nfs/dbraw/zinc/59/46/52/708594652.db2.gz QSDMQWUQKNBEAS-ZETCQYMHSA-N -1 1 300.717 1.992 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)C(F)(F)F)c1ccccc1Cl ZINC000885828328 708634490 /nfs/dbraw/zinc/63/44/90/708634490.db2.gz GOVAUHNDHLGPNC-VIFPVBQESA-N -1 1 317.716 1.932 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cc2n(n1)CCCO2 ZINC000886268436 708732801 /nfs/dbraw/zinc/73/28/01/708732801.db2.gz PDSKNBOBISJGDU-UHFFFAOYSA-N -1 1 323.299 1.622 20 0 DDADMM CN1CCC[C@@H](C(=O)NCCc2c(F)cc([O-])cc2F)C1=O ZINC000886268256 708732885 /nfs/dbraw/zinc/73/28/85/708732885.db2.gz JHANPGFHALVOSM-NSHDSACASA-N -1 1 312.316 1.198 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-][C@@](C)(CC)C(F)(F)F ZINC000886402746 708754228 /nfs/dbraw/zinc/75/42/28/708754228.db2.gz YCZISHIMDKZFNZ-JTQLQIEISA-N -1 1 313.345 1.992 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H](CO)CC(F)F ZINC000927784786 713055155 /nfs/dbraw/zinc/05/51/55/713055155.db2.gz SEUWVJLHFYDQFF-SSDOTTSWSA-N -1 1 324.274 1.528 20 0 DDADMM CN(CC(C)(C)O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927785585 713055645 /nfs/dbraw/zinc/05/56/45/713055645.db2.gz MAUTVAXVIJJMOE-UHFFFAOYSA-N -1 1 302.321 1.625 20 0 DDADMM CCC(CC)N1C[C@H](C(=O)[N-]OCc2cccc(C)n2)CC1=O ZINC000898936215 708929074 /nfs/dbraw/zinc/92/90/74/708929074.db2.gz QHYOJEHARHIRTF-CYBMUJFWSA-N -1 1 319.405 1.975 20 0 DDADMM Cn1[n-]c(CN2Cc3ccccc3OC3(CCC3)C2)nc1=O ZINC000887149839 708971353 /nfs/dbraw/zinc/97/13/53/708971353.db2.gz MKICWDFOLDHIFV-UHFFFAOYSA-N -1 1 300.362 1.426 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)co1 ZINC000899402167 709075488 /nfs/dbraw/zinc/07/54/88/709075488.db2.gz BGNKFJPZPVAJJM-XPXLGCRWSA-N -1 1 312.391 1.210 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@@H]1C[C@H]1CCOC1 ZINC000887811421 709130194 /nfs/dbraw/zinc/13/01/94/709130194.db2.gz STJLWHBSELLBQD-NEPJUHHUSA-N -1 1 309.337 1.799 20 0 DDADMM CC(=O)N1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)CC1 ZINC000899915995 709236571 /nfs/dbraw/zinc/23/65/71/709236571.db2.gz YLYIPSJQQVMUOB-UHFFFAOYSA-N -1 1 317.320 1.384 20 0 DDADMM COC1(OC)CCOC[C@@H]1NC(=O)c1ccc(Cl)cc1[O-] ZINC000912853302 713107619 /nfs/dbraw/zinc/10/76/19/713107619.db2.gz IQAPKDFULMBBKA-LBPRGKRZSA-N -1 1 315.753 1.553 20 0 DDADMM CCC[C@@H](NC(=O)N[C@H]1CCCN2CCSC[C@@H]12)C(=O)[O-] ZINC000900295748 709526115 /nfs/dbraw/zinc/52/61/15/709526115.db2.gz NCYMHRMATWZQSQ-TUAOUCFPSA-N -1 1 315.439 1.119 20 0 DDADMM CCC[C@H](NC(=O)N[C@@H]1CCCN2CCSC[C@@H]12)C(=O)[O-] ZINC000900295750 709526162 /nfs/dbraw/zinc/52/61/62/709526162.db2.gz NCYMHRMATWZQSQ-WOPDTQHZSA-N -1 1 315.439 1.119 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1CCN1C1CCCC1)c1nnn[n-]1 ZINC000912863448 713111372 /nfs/dbraw/zinc/11/13/72/713111372.db2.gz YNYDSOPJOBWEHI-RYUDHWBXSA-N -1 1 324.454 1.127 20 0 DDADMM CCC(C)(C)OCC(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912863377 713111622 /nfs/dbraw/zinc/11/16/22/713111622.db2.gz VXRMKQCXENJOEN-VIFPVBQESA-N -1 1 301.416 1.315 20 0 DDADMM CC(C)[C@](C)(CC(=O)[O-])NC(=O)CN(C)[C@H]1CCSC1 ZINC000909688633 709578876 /nfs/dbraw/zinc/57/88/76/709578876.db2.gz PMFGOWFFMXQNEQ-FZMZJTMJSA-N -1 1 302.440 1.429 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000909709746 709590402 /nfs/dbraw/zinc/59/04/02/709590402.db2.gz AGRHFYTWZFBJKR-BZNIZROVSA-N -1 1 321.377 1.169 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C[C@H]2CCCO2)[n-]c1=O ZINC000889786434 709635284 /nfs/dbraw/zinc/63/52/84/709635284.db2.gz MKYAHVAGGUQUOD-GHMZBOCLSA-N -1 1 307.350 1.423 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C[C@@H]2CCCO2)[n-]c1=O ZINC000889794600 709639675 /nfs/dbraw/zinc/63/96/75/709639675.db2.gz MKYAHVAGGUQUOD-QWRGUYRKSA-N -1 1 307.350 1.423 20 0 DDADMM O=C([O-])c1cccc2c1CN(C(=O)CCc1c[nH]nn1)CC2 ZINC000909866747 709660426 /nfs/dbraw/zinc/66/04/26/709660426.db2.gz WHWPYOVDQZXBOK-UHFFFAOYSA-N -1 1 300.318 1.020 20 0 DDADMM O=C([O-])c1cccc2c1CN(C(=O)CCc1cnn[nH]1)CC2 ZINC000909866747 709660430 /nfs/dbraw/zinc/66/04/30/709660430.db2.gz WHWPYOVDQZXBOK-UHFFFAOYSA-N -1 1 300.318 1.020 20 0 DDADMM COCCO[C@]12CCC[C@@]1(NC(=O)c1ncccc1[O-])CCO2 ZINC000889938509 709680155 /nfs/dbraw/zinc/68/01/55/709680155.db2.gz KDEFGAFEOHTRAQ-HZPDHXFCSA-N -1 1 322.361 1.219 20 0 DDADMM CC[C@@H](COCC1CC1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909944591 709700250 /nfs/dbraw/zinc/70/02/50/709700250.db2.gz LLANMBVHZIULKR-KGLIPLIRSA-N -1 1 312.410 1.104 20 0 DDADMM O=C(CCCN1C(=O)CCC1=O)Nc1cc([O-])c(F)cc1F ZINC000909976114 709715312 /nfs/dbraw/zinc/71/53/12/709715312.db2.gz XUBDABZBVVVMKT-UHFFFAOYSA-N -1 1 312.272 1.538 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCCc2cccs2)C1 ZINC000910011367 709734684 /nfs/dbraw/zinc/73/46/84/709734684.db2.gz FMEFEZCPWFPEBX-LBPRGKRZSA-N -1 1 310.419 1.594 20 0 DDADMM O=C([O-])[C@@H]1CCCCCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000910045104 709750027 /nfs/dbraw/zinc/75/00/27/709750027.db2.gz WAALEUSZHNYGMB-QMMMGPOBSA-N -1 1 305.256 1.898 20 0 DDADMM O=C([O-])CN(C(=O)c1ccc(-c2nnc[nH]2)cc1)C1CCCC1 ZINC000910063083 709761746 /nfs/dbraw/zinc/76/17/46/709761746.db2.gz XNJVOJJSURWVKR-UHFFFAOYSA-N -1 1 314.345 1.941 20 0 DDADMM O=C([O-])[C@H]1CSCCN(C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC000910149908 709792135 /nfs/dbraw/zinc/79/21/35/709792135.db2.gz NQIZFNQZPDWSII-ZCFIWIBFSA-N -1 1 323.296 1.318 20 0 DDADMM CC[C@H](C(=O)Nc1ccc(O[C@@H](C)C(=O)[O-])c(F)c1)N(C)C ZINC000910232773 709829298 /nfs/dbraw/zinc/82/92/98/709829298.db2.gz KHVYJAYSOWJOAR-JOYOIKCWSA-N -1 1 312.341 1.956 20 0 DDADMM COc1ccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c(C)c1 ZINC000910240613 709833977 /nfs/dbraw/zinc/83/39/77/709833977.db2.gz BTKVQUTZWDEMEN-CQSZACIVSA-N -1 1 320.389 1.416 20 0 DDADMM CCC(C)(C)OCCNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000910245690 709837167 /nfs/dbraw/zinc/83/71/67/709837167.db2.gz MRFJRDBKKADBIE-GFCCVEGCSA-N -1 1 300.399 1.104 20 0 DDADMM Cc1nc(NC[C@@H]2CN(CC(C)C)CCO2)ncc1C(=O)[O-] ZINC000910276678 709860163 /nfs/dbraw/zinc/86/01/63/709860163.db2.gz HBMXLLDBBFDUNA-GFCCVEGCSA-N -1 1 308.382 1.252 20 0 DDADMM CNC(=O)CCCN(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901191761 709962052 /nfs/dbraw/zinc/96/20/52/709962052.db2.gz ZBIPCKOHMZYLPB-UHFFFAOYSA-N -1 1 319.336 1.678 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NC[C@@H](Oc1ccccc1)C(=O)[O-] ZINC000910487699 709969190 /nfs/dbraw/zinc/96/91/90/709969190.db2.gz YOBUSMHZFXHNTJ-ZIAGYGMSSA-N -1 1 306.362 1.119 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)NC1CCC(C(=O)[O-])CC1 ZINC000901482809 710052259 /nfs/dbraw/zinc/05/22/59/710052259.db2.gz MHBGVZSGKGMYCE-ZIOCXDFPSA-N -1 1 305.378 1.835 20 0 DDADMM Cc1sc([C@H]2CCCN2C(=O)Cc2c[nH]cn2)nc1C(=O)[O-] ZINC000910719573 710056521 /nfs/dbraw/zinc/05/65/21/710056521.db2.gz AYEZHDFPSREPGF-SNVBAGLBSA-N -1 1 320.374 1.779 20 0 DDADMM COCCCn1nccc1CNCc1ccc(CC(=O)[O-])cc1 ZINC000901537770 710068566 /nfs/dbraw/zinc/06/85/66/710068566.db2.gz CANXCTNNWQINIE-UHFFFAOYSA-N -1 1 317.389 1.837 20 0 DDADMM CC[C@@](C)(CN(C)CC(=O)N1CCC[C@H](C(=O)[O-])C1)OC ZINC000901623400 710099235 /nfs/dbraw/zinc/09/92/35/710099235.db2.gz HFXRNCXFJBHHKM-WFASDCNBSA-N -1 1 300.399 1.057 20 0 DDADMM C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC000901704201 710125977 /nfs/dbraw/zinc/12/59/77/710125977.db2.gz GTIGMUPOICCQAB-GWCFXTLKSA-N -1 1 305.378 1.789 20 0 DDADMM O=C([O-])CC1(NCc2cn(-c3ccc(F)cc3)nn2)CCC1 ZINC000901707993 710127832 /nfs/dbraw/zinc/12/78/32/710127832.db2.gz JKRVKZYGNUUTER-UHFFFAOYSA-N -1 1 304.325 1.893 20 0 DDADMM CC(C)CN1CCN(C(=O)c2coc(/C=C/C(=O)[O-])c2)CC1 ZINC000901740243 710135927 /nfs/dbraw/zinc/13/59/27/710135927.db2.gz JEAZYACPJPXBSF-ONEGZZNKSA-N -1 1 306.362 1.791 20 0 DDADMM COc1cc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])ccc1Cl ZINC000901743709 710138228 /nfs/dbraw/zinc/13/82/28/710138228.db2.gz NGOMDMLUYAFQDU-IUCAKERBSA-N -1 1 314.769 1.416 20 0 DDADMM C[C@H](Sc1nncn1C)C(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910981026 710139687 /nfs/dbraw/zinc/13/96/87/710139687.db2.gz JCIJCCUSTFZEMD-LURJTMIESA-N -1 1 314.317 1.918 20 0 DDADMM O=C(CN1CCN(C2CC2)C1=O)Nc1cc(F)cc(F)c1[O-] ZINC000910994277 710145340 /nfs/dbraw/zinc/14/53/40/710145340.db2.gz VCGIZQQFHQRLOF-UHFFFAOYSA-N -1 1 311.288 1.509 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCc1cn(-c2ccccc2C)nn1 ZINC000901796553 710149538 /nfs/dbraw/zinc/14/95/38/710149538.db2.gz XMJSDIFKRMFIJH-MRXNPFEDSA-N -1 1 318.377 1.545 20 0 DDADMM C[C@@](CCF)(NCc1cn(Cc2cccnc2)nn1)C(=O)[O-] ZINC000901920574 710175235 /nfs/dbraw/zinc/17/52/35/710175235.db2.gz YOAQQIYOWKOXHG-AWEZNQCLSA-N -1 1 307.329 1.014 20 0 DDADMM Cn1nc2c(c1CN1CCSC[C@H]1CC(=O)[O-])CCCC2 ZINC000901981370 710191684 /nfs/dbraw/zinc/19/16/84/710191684.db2.gz BBPGKDSEVQOOCL-LLVKDONJSA-N -1 1 309.435 1.691 20 0 DDADMM COC[C@@]1(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)CCOC1 ZINC000891612772 710248409 /nfs/dbraw/zinc/24/84/09/710248409.db2.gz BTLBZJDZWZXSQZ-HNNXBMFYSA-N -1 1 307.350 1.053 20 0 DDADMM COCCOc1ccc(CNc2cc(=O)[n-]c(COC)n2)cc1 ZINC000891809444 710299898 /nfs/dbraw/zinc/29/98/98/710299898.db2.gz LPZGUGSVQGBUDG-UHFFFAOYSA-N -1 1 319.361 1.966 20 0 DDADMM CCCCNC(=O)[C@@H]1CCCN(c2cc(=O)[n-]c(COC)n2)C1 ZINC000892151868 710381634 /nfs/dbraw/zinc/38/16/34/710381634.db2.gz CEFRAJFACVHYDY-GFCCVEGCSA-N -1 1 322.409 1.461 20 0 DDADMM COCc1nc(N[C@@H](CO)c2ccccc2OC)cc(=O)[n-]1 ZINC000892563997 710459540 /nfs/dbraw/zinc/45/95/40/710459540.db2.gz ZFQNXFIYDNWZJN-NSHDSACASA-N -1 1 305.334 1.483 20 0 DDADMM COCc1nc(N2CCC(OC[C@H]3CCCO3)CC2)cc(=O)[n-]1 ZINC000892858780 710514170 /nfs/dbraw/zinc/51/41/70/710514170.db2.gz YAHKVYLLPGNZCA-CYBMUJFWSA-N -1 1 323.393 1.493 20 0 DDADMM Cc1c(Br)cccc1CC(=O)N(C)c1nn[n-]n1 ZINC000892954880 710531740 /nfs/dbraw/zinc/53/17/40/710531740.db2.gz UKVUKBKHTFRDMS-UHFFFAOYSA-N -1 1 310.155 1.476 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)c(C)cc1Br ZINC000892955183 710531803 /nfs/dbraw/zinc/53/18/03/710531803.db2.gz XXIYLHXJPBTGHA-UHFFFAOYSA-N -1 1 310.155 1.856 20 0 DDADMM COCc1nc(NC[C@H](O)CCc2ccccc2)cc(=O)[n-]1 ZINC000893152221 710563246 /nfs/dbraw/zinc/56/32/46/710563246.db2.gz UGORAKZJLBJVIB-CYBMUJFWSA-N -1 1 303.362 1.734 20 0 DDADMM [O-]c1cc(Br)cnc1CNc1cnn(CCO)c1 ZINC000893246592 710579001 /nfs/dbraw/zinc/57/90/01/710579001.db2.gz ZASCSCJJZANVIK-UHFFFAOYSA-N -1 1 313.155 1.351 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCc2ccoc2)co1 ZINC000893336371 710604293 /nfs/dbraw/zinc/60/42/93/710604293.db2.gz DRSBTBAJYYTHHM-UHFFFAOYSA-N -1 1 313.331 1.570 20 0 DDADMM CNC(=O)c1cccc(CNCc2cc(C(=O)[O-])oc2C)c1 ZINC000902110175 710618547 /nfs/dbraw/zinc/61/85/47/710618547.db2.gz BINCRTAVHBDBED-UHFFFAOYSA-N -1 1 302.330 1.936 20 0 DDADMM CCN(CC(=O)Nc1ccc2cnn(CC(=O)[O-])c2c1)C1CC1 ZINC000911075432 710623556 /nfs/dbraw/zinc/62/35/56/710623556.db2.gz SVAQUCPKZXSENT-UHFFFAOYSA-N -1 1 316.361 1.544 20 0 DDADMM CC(C)CN1CCN(C(=O)c2cccc(CC(=O)[O-])c2)CC1 ZINC000911106954 710636424 /nfs/dbraw/zinc/63/64/24/710636424.db2.gz ONRYJIDVQWMAAL-UHFFFAOYSA-N -1 1 304.390 1.728 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@@H]2CC[C@@H]2C(=O)[O-])N2CCCC2)o1 ZINC000911332076 710746105 /nfs/dbraw/zinc/74/61/05/710746105.db2.gz IUKFAGFWRJXGKQ-RDBSUJKOSA-N -1 1 320.389 1.952 20 0 DDADMM Cc1n[nH]c2cc(NC(=O)CN3CCC[C@@H](C(=O)[O-])C3)ccc12 ZINC000911395422 710778245 /nfs/dbraw/zinc/77/82/45/710778245.db2.gz OLIQUSMIZVBPDX-LLVKDONJSA-N -1 1 316.361 1.606 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(C(=O)[O-])cc2)CCN1CC(C)(C)O ZINC000911501477 710826159 /nfs/dbraw/zinc/82/61/59/710826159.db2.gz VCQCELQPLROXIG-LBPRGKRZSA-N -1 1 320.389 1.302 20 0 DDADMM Cc1ccc(C(=O)NC[C@@H]2CCCC[N@H+]2CCO)cc1C(=O)[O-] ZINC000911557354 710851775 /nfs/dbraw/zinc/85/17/75/710851775.db2.gz ZXRITBWIQANLIX-AWEZNQCLSA-N -1 1 320.389 1.270 20 0 DDADMM COCc1nc(NCc2ccc(OC)nc2OC)cc(=O)[n-]1 ZINC000893919726 710886513 /nfs/dbraw/zinc/88/65/13/710886513.db2.gz JHUGPMSNGCMWAJ-UHFFFAOYSA-N -1 1 306.322 1.353 20 0 DDADMM O=C([O-])[C@]1(C(=O)N[C@H]2CCC[N@H+]3CCSC[C@@H]23)CC=CCC1 ZINC000902820014 710916014 /nfs/dbraw/zinc/91/60/14/710916014.db2.gz ZWTNPRGQNXEDCZ-HEHGZKQESA-N -1 1 324.446 1.494 20 0 DDADMM O=C([O-])[C@]1(C(=O)N[C@H]2CCCN3CCSC[C@@H]23)CC=CCC1 ZINC000902820014 710916021 /nfs/dbraw/zinc/91/60/21/710916021.db2.gz ZWTNPRGQNXEDCZ-HEHGZKQESA-N -1 1 324.446 1.494 20 0 DDADMM CO[C@@H]1CCCC[C@H]1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913439137 713216508 /nfs/dbraw/zinc/21/65/08/713216508.db2.gz ZOLWNAVFCAEUPG-MGPQQGTHSA-N -1 1 315.377 1.611 20 0 DDADMM COCc1nc(N(C)CCNC(=O)OC(C)(C)C)cc(=O)[n-]1 ZINC000894147357 710986019 /nfs/dbraw/zinc/98/60/19/710986019.db2.gz HVKFCCWQPJDHLW-UHFFFAOYSA-N -1 1 312.370 1.290 20 0 DDADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]c1ccc(C2CC2)cn1 ZINC000903661529 711238721 /nfs/dbraw/zinc/23/87/21/711238721.db2.gz PJWPSLCCMYLXBF-IBGZPJMESA-N -1 1 318.424 1.190 20 0 DDADMM COCc1nc(NC[C@@H]2Cc3ccccc3CO2)cc(=O)[n-]1 ZINC000894708188 711244363 /nfs/dbraw/zinc/24/43/63/711244363.db2.gz USPMHEJXFGSYJL-ZDUSSCGKSA-N -1 1 301.346 1.882 20 0 DDADMM CC(C)(C)c1nc(CN2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)co1 ZINC000903903636 711323923 /nfs/dbraw/zinc/32/39/23/711323923.db2.gz DNCXPCZDHZOCEF-MEDUHNTESA-N -1 1 308.378 1.895 20 0 DDADMM O=C([O-])Cc1ccccc1CN1CCOC[C@@H]1C[C@H]1CCOC1 ZINC000903945178 711344805 /nfs/dbraw/zinc/34/48/05/711344805.db2.gz RGAGYJFAFGMKMT-PBHICJAKSA-N -1 1 319.401 1.941 20 0 DDADMM O=c1nc(N2CCC(CO)(C(F)(F)F)CC2)cc(Cl)[n-]1 ZINC000896330626 711707268 /nfs/dbraw/zinc/70/72/68/711707268.db2.gz AWXQRMKEMDSJFZ-UHFFFAOYSA-N -1 1 311.691 1.977 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]c1ccc2c(c1)COC2 ZINC000905099652 711936484 /nfs/dbraw/zinc/93/64/84/711936484.db2.gz FFKARVAOSKWVDZ-UHFFFAOYSA-N -1 1 316.404 1.635 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H](C)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743172 713292204 /nfs/dbraw/zinc/29/22/04/713292204.db2.gz JIFUTTPNEVCDDV-SECBINFHSA-N -1 1 317.397 1.060 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2c(F)ccc(C)c2F)n[n-]1 ZINC000905249534 711980090 /nfs/dbraw/zinc/98/00/90/711980090.db2.gz JXWQXUDTOWIWDU-UHFFFAOYSA-N -1 1 301.318 1.928 20 0 DDADMM O=C(c1ccc2nsnc2c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744606 713293441 /nfs/dbraw/zinc/29/34/41/713293441.db2.gz WDZCNBNWAHNCAP-UHFFFAOYSA-N -1 1 315.362 1.224 20 0 DDADMM CCn1nc(C2CC2)cc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745469 713293594 /nfs/dbraw/zinc/29/35/94/713293594.db2.gz GIKPRWBJQYZDQU-UHFFFAOYSA-N -1 1 315.381 1.313 20 0 DDADMM CO[C@@H](C(=O)N1CCC(c2nn[n-]n2)CC1)C1CCCCC1 ZINC000913745644 713293735 /nfs/dbraw/zinc/29/37/35/713293735.db2.gz MRAPXYHHBNYQDF-CYBMUJFWSA-N -1 1 307.398 1.501 20 0 DDADMM O=C([C@@H]1CCc2cccc(O)c21)N1CCC(c2nn[n-]n2)CC1 ZINC000913745960 713293824 /nfs/dbraw/zinc/29/38/24/713293824.db2.gz IIYRLBZNISFULL-GFCCVEGCSA-N -1 1 313.361 1.341 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CCCC3(F)F)nc2n1 ZINC000905631876 712101196 /nfs/dbraw/zinc/10/11/96/712101196.db2.gz BYTXURWOWWGMQZ-MRVPVSSYSA-N -1 1 311.292 1.490 20 0 DDADMM CCO[C@@H]1C[C@H](NCc2ccc(C(=O)[O-])cn2)[C@@]12CCCO2 ZINC000905726935 712132948 /nfs/dbraw/zinc/13/29/48/712132948.db2.gz AXPCPWXYDYEUGA-LZWOXQAQSA-N -1 1 306.362 1.596 20 0 DDADMM CC(C)(Nc1ccccc1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000907102936 712487720 /nfs/dbraw/zinc/48/77/20/712487720.db2.gz CLKQATQJSJUKPR-GFCCVEGCSA-N -1 1 314.393 1.796 20 0 DDADMM C[C@@H](CN(C)C(=O)CCCC(=O)c1ccccc1)c1nn[n-]n1 ZINC000907350377 712549953 /nfs/dbraw/zinc/54/99/53/712549953.db2.gz PEXYAZLRJIDSFM-LBPRGKRZSA-N -1 1 315.377 1.815 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H]1CCC2(CCC2)CO1)c1nn[n-]n1 ZINC000907350625 712550320 /nfs/dbraw/zinc/55/03/20/712550320.db2.gz SXMAFJIWKGQBNQ-VXGBXAGGSA-N -1 1 307.398 1.501 20 0 DDADMM C[C@H](CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)[C@H]1CCCO1 ZINC000907481176 712583925 /nfs/dbraw/zinc/58/39/25/712583925.db2.gz FXZHERRPFGJJMG-GMTAPVOTSA-N -1 1 311.411 1.021 20 0 DDADMM COC(=O)[C@@H]1C[C@H](C)CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907494985 712585532 /nfs/dbraw/zinc/58/55/32/712585532.db2.gz QFYZRPKGDUUVQA-SKDRFNHKSA-N -1 1 319.361 1.473 20 0 DDADMM O=C(NCC1(CO)CC2(CCC2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000907829418 712632313 /nfs/dbraw/zinc/63/23/13/712632313.db2.gz GMTCJQJHFVSJKB-UHFFFAOYSA-N -1 1 317.389 1.732 20 0 DDADMM C[Si](C)(C)CCCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907939324 712652091 /nfs/dbraw/zinc/65/20/91/712652091.db2.gz CKCBQFPZTJCTLJ-UHFFFAOYSA-N -1 1 311.462 1.128 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)OC[C@H]2CCCO2)[n-]c1=O ZINC000908017569 712666795 /nfs/dbraw/zinc/66/67/95/712666795.db2.gz VTYIDKKAKDLEKB-MNOVXSKESA-N -1 1 323.349 1.643 20 0 DDADMM CC1(C)COC(=O)[C@H]1NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000908156705 712700412 /nfs/dbraw/zinc/70/04/12/712700412.db2.gz IZKYPOHFWDLXFJ-CYBMUJFWSA-N -1 1 318.304 1.761 20 0 DDADMM O=C(CN1CSCCSC1)Nc1n[nH]c2cc(O)ccc21 ZINC000908335142 712745250 /nfs/dbraw/zinc/74/52/50/712745250.db2.gz HRZWDFDQOWKDEX-UHFFFAOYSA-N -1 1 324.431 1.904 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@@H]1C1CC1)c1cccc(F)c1F ZINC000908409922 712764100 /nfs/dbraw/zinc/76/41/00/712764100.db2.gz LKKYATCVXHHGEW-MWLCHTKSSA-N -1 1 303.330 1.668 20 0 DDADMM CC(C)[C@@]1(CO)CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000908448214 712775953 /nfs/dbraw/zinc/77/59/53/712775953.db2.gz XJWAFUHFVKDFMH-KRWDZBQOSA-N -1 1 319.405 1.930 20 0 DDADMM C[C@@H]1OC(=O)N[C@@H]1C(=O)Nc1cccc([O-])c1Br ZINC000908711176 712839495 /nfs/dbraw/zinc/83/94/95/712839495.db2.gz YWVUGOJQANFXBS-CDUCUWFYSA-N -1 1 315.123 1.590 20 0 DDADMM CCc1cccc2c1N(C(=O)CN1CCC[C@@H](C(=O)[O-])C1)CC2 ZINC000908763646 712850308 /nfs/dbraw/zinc/85/03/08/712850308.db2.gz OCEJLLRGIIQKFD-OAHLLOKOSA-N -1 1 316.401 1.935 20 0 DDADMM COc1cccc([C@@H](CC(=O)[O-])NC(=O)CN2CCCC2)c1 ZINC000908858972 712871233 /nfs/dbraw/zinc/87/12/33/712871233.db2.gz YDRFCPVOYIBVRC-CQSZACIVSA-N -1 1 306.362 1.423 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1Cc2ccccc2C[C@@H]1C(=O)[O-] ZINC000908895711 712882000 /nfs/dbraw/zinc/88/20/00/712882000.db2.gz MLPMFNNDSDWKQD-LSDHHAIUSA-N -1 1 302.374 1.509 20 0 DDADMM C[C@@H](CNC(=O)N(C)[C@@H](C)C(=O)[O-])N1CCc2ccccc2C1 ZINC000908912187 712885080 /nfs/dbraw/zinc/88/50/80/712885080.db2.gz GLLYURUQTVLABQ-STQMWFEESA-N -1 1 319.405 1.548 20 0 DDADMM CCc1ccc([C@H](COC)[N-]S(=O)(=O)CC2(F)CC2)o1 ZINC000914182947 713354818 /nfs/dbraw/zinc/35/48/18/713354818.db2.gz GLPPZAGUYFNAJZ-NSHDSACASA-N -1 1 305.371 1.951 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)c1ccns1 ZINC000918061174 713527780 /nfs/dbraw/zinc/52/77/80/713527780.db2.gz NGDONNLPWPWYCG-VIFPVBQESA-N -1 1 306.409 1.353 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2 ZINC000918060454 713527799 /nfs/dbraw/zinc/52/77/99/713527799.db2.gz COOYARFILSLGTA-LOWDOPEQSA-N -1 1 319.423 1.157 20 0 DDADMM COC1(OC)CC([N-]S(=O)(=O)c2nc(C)c(C)s2)C1 ZINC000920077939 713649343 /nfs/dbraw/zinc/64/93/43/713649343.db2.gz RYTAAXIQILSNGF-UHFFFAOYSA-N -1 1 306.409 1.190 20 0 DDADMM C[C@@H](NC(=O)NCCc1c(F)cc([O-])cc1F)[C@@H]1CCOC1 ZINC000920480310 713673644 /nfs/dbraw/zinc/67/36/44/713673644.db2.gz CTOSAAPDMXIYIN-NXEZZACHSA-N -1 1 314.332 1.937 20 0 DDADMM C[C@H](CN1CCN2C(=O)OC[C@@H]2C1)C(=O)c1ccc([O-])cc1 ZINC000929842759 713704083 /nfs/dbraw/zinc/70/40/83/713704083.db2.gz IWQKWVVGUKRNIA-YPMHNXCESA-N -1 1 304.346 1.347 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)C(C)(C)OC)o1 ZINC000921421922 713765497 /nfs/dbraw/zinc/76/54/97/713765497.db2.gz NKWAMTJXVJUUIF-VIFPVBQESA-N -1 1 319.379 1.548 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2C[C@H]3OC(C)(C)O[C@H]3C2)sn1 ZINC000921800318 713872816 /nfs/dbraw/zinc/87/28/16/713872816.db2.gz WMFQKLNPDAXVGV-OWUUHHOZSA-N -1 1 318.420 1.412 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](O)C(F)(F)C(F)(F)F)c([O-])c1 ZINC000922242659 713999681 /nfs/dbraw/zinc/99/96/81/713999681.db2.gz MPNRPVGXUWAQQO-ZETCQYMHSA-N -1 1 314.210 1.384 20 0 DDADMM C[C@H]1C[C@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)CCS1 ZINC000931132285 714010810 /nfs/dbraw/zinc/01/08/10/714010810.db2.gz VCIFLAKPAQTWEK-VHSXEESVSA-N -1 1 305.407 1.747 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N[C@@H]1CC12CCC2 ZINC000931211115 714038110 /nfs/dbraw/zinc/03/81/10/714038110.db2.gz CABKFZLMRMCGAS-CYBMUJFWSA-N -1 1 324.327 1.388 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@@H]2CCNC(=O)[C@H]21 ZINC000922460626 714060873 /nfs/dbraw/zinc/06/08/73/714060873.db2.gz BXCHKMIQSKEVIZ-RNCFNFMXSA-N -1 1 308.765 1.786 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCc2ncncc2C1 ZINC000923031064 714218325 /nfs/dbraw/zinc/21/83/25/714218325.db2.gz MLFHZKNKMFAKNH-UHFFFAOYSA-N -1 1 313.361 1.603 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCC(O)CC1 ZINC000932039748 714243838 /nfs/dbraw/zinc/24/38/38/714243838.db2.gz VLIRFHWOXZYYDZ-UHFFFAOYSA-N -1 1 302.334 1.567 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@H]1CCCOC1 ZINC000932042373 714244995 /nfs/dbraw/zinc/24/49/95/714244995.db2.gz NXMVBLJKFDUDRB-LBPRGKRZSA-N -1 1 302.334 1.878 20 0 DDADMM CN(C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1CC(O)C1 ZINC000932046228 714245831 /nfs/dbraw/zinc/24/58/31/714245831.db2.gz LJBZPPTVALFIOP-UHFFFAOYSA-N -1 1 302.334 1.565 20 0 DDADMM COC[C@H]1CCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932053650 714247286 /nfs/dbraw/zinc/24/72/86/714247286.db2.gz MVPADZODSIOQLH-CYBMUJFWSA-N -1 1 302.334 1.831 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CC[C@H](O)[C@H](F)C1 ZINC000932060943 714249508 /nfs/dbraw/zinc/24/95/08/714249508.db2.gz AEPMFBKUGRCFIT-OLZOCXBDSA-N -1 1 320.324 1.515 20 0 DDADMM CCOC(=O)CCCN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934273239 714783035 /nfs/dbraw/zinc/78/30/35/714783035.db2.gz MYSMAALOCUELOJ-LLVKDONJSA-N -1 1 309.366 1.671 20 0 DDADMM CC[S@](C)(=O)=NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000925850198 714950812 /nfs/dbraw/zinc/95/08/12/714950812.db2.gz GTSIZIQIPUAYPT-FQEVSTJZSA-N -1 1 317.436 1.789 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](O)C1CCCCCCC1)c1nn[n-]n1 ZINC000935365126 715038036 /nfs/dbraw/zinc/03/80/36/715038036.db2.gz CNGALQITHQCZEU-YPMHNXCESA-N -1 1 309.414 1.483 20 0 DDADMM Cn1cc(O[C@@H]2CCC[C@@H]2NC(=O)c2ccc([O-])cc2F)cn1 ZINC000926280231 715041528 /nfs/dbraw/zinc/04/15/28/715041528.db2.gz AIWFUEUPDRQQRG-LSDHHAIUSA-N -1 1 319.336 1.995 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2cc(F)cc(F)c2O)C1=O ZINC000935751614 715126187 /nfs/dbraw/zinc/12/61/87/715126187.db2.gz UXBBBLONNLMEKR-ZDUSSCGKSA-N -1 1 313.260 1.036 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CC2CC2)C1 ZINC000936348904 715185311 /nfs/dbraw/zinc/18/53/11/715185311.db2.gz KTIXQSQPKNQJCZ-GFCCVEGCSA-N -1 1 303.362 1.260 20 0 DDADMM CC1(NC(=O)c2ncccc2[O-])CCN(C(=O)CC2CC2)CC1 ZINC000956343000 715412043 /nfs/dbraw/zinc/41/20/43/715412043.db2.gz CJUHDFLOFZXMPL-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)CCN1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)CC1 ZINC000957314384 715830623 /nfs/dbraw/zinc/83/06/23/715830623.db2.gz DGZQGASUNDBPLV-UHFFFAOYSA-N -1 1 318.377 1.732 20 0 DDADMM CC(C)(C)CC(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955893588 715999309 /nfs/dbraw/zinc/99/93/09/715999309.db2.gz IAKBIIICFBBXQZ-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)[C@H](C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000959705854 716250909 /nfs/dbraw/zinc/25/09/09/716250909.db2.gz JJABFPDEBGEWCZ-FRRDWIJNSA-N -1 1 319.405 1.656 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960152817 716433928 /nfs/dbraw/zinc/43/39/28/716433928.db2.gz JVJAZSWCMZCMSW-RYUDHWBXSA-N -1 1 309.414 1.273 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C(F)F)C1 ZINC000958986176 716796543 /nfs/dbraw/zinc/79/65/43/716796543.db2.gz AFYIAOFVTOJZOL-DTWKUNHWSA-N -1 1 313.304 1.019 20 0 DDADMM C[C@@H]1CN(C(=O)C2(C)CC2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959494010 717034115 /nfs/dbraw/zinc/03/41/15/717034115.db2.gz JIGCQDZGSWXIST-NEPJUHHUSA-N -1 1 317.389 1.412 20 0 DDADMM C/C=C(/C)C(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964474029 717280050 /nfs/dbraw/zinc/28/00/50/717280050.db2.gz SIAASNJNWADCKU-RYHWZFLVSA-N -1 1 317.389 1.864 20 0 DDADMM CCN(C(=O)C1CCC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942625576 717792666 /nfs/dbraw/zinc/79/26/66/717792666.db2.gz RFQLZWSIUJWLFM-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@H]1CN(C(=O)CC2(C)CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966629854 718609931 /nfs/dbraw/zinc/60/99/31/718609931.db2.gz RRCHQKXUPZAQRJ-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000966750191 718649492 /nfs/dbraw/zinc/64/94/92/718649492.db2.gz PCLZTKVJVBOSQF-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM CCN(C(=O)C1CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967715634 718982803 /nfs/dbraw/zinc/98/28/03/718982803.db2.gz DNZDBWCDUKOHHB-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM CCN(C(=O)[C@@H]1CC1(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967890512 719074149 /nfs/dbraw/zinc/07/41/49/719074149.db2.gz IALAFNYAAZAAMR-LBPRGKRZSA-N -1 1 317.389 1.506 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2ccc[nH]2)C1 ZINC000967898450 719078501 /nfs/dbraw/zinc/07/85/01/719078501.db2.gz IPBOAYUZAILFNB-UHFFFAOYSA-N -1 1 314.345 1.102 20 0 DDADMM O=C([C@H]1CCC=CCCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969066342 719946226 /nfs/dbraw/zinc/94/62/26/719946226.db2.gz SPIWPIUAUFKJDJ-QWHCGFSZSA-N -1 1 319.409 1.337 20 0 DDADMM O=C(CC(F)(F)F)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949530997 720143003 /nfs/dbraw/zinc/14/30/03/720143003.db2.gz KOVIVHJJLWBFAX-MRVPVSSYSA-N -1 1 317.267 1.070 20 0 DDADMM CC[C@H](SC)C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949534800 720145409 /nfs/dbraw/zinc/14/54/09/720145409.db2.gz GMDPNLBGSGJFOD-JQWIXIFHSA-N -1 1 323.418 1.260 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950463862 720644758 /nfs/dbraw/zinc/64/47/58/720644758.db2.gz LURVLOSUSRDHDL-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@@H](C)C(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000971384299 721268920 /nfs/dbraw/zinc/26/89/20/721268920.db2.gz QYYHCYIIQYEQSL-JHJVBQTASA-N -1 1 317.389 1.506 20 0 DDADMM CC(=O)N1CCC([C@@H]2CCCN2C(=O)c2ncccc2[O-])CC1 ZINC000953168251 721559348 /nfs/dbraw/zinc/55/93/48/721559348.db2.gz CQQQTZWNKUFUKT-AWEZNQCLSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)C(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000953271436 721583615 /nfs/dbraw/zinc/58/36/15/721583615.db2.gz ADBJVKDVVAKOPA-OLZOCXBDSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@@H](NC(=O)C1CC1)[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000953596279 721629612 /nfs/dbraw/zinc/62/96/12/721629612.db2.gz ZJPMHIBVYWXZRL-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CC(C)(C)C)C1 ZINC000954124242 721723669 /nfs/dbraw/zinc/72/36/69/721723669.db2.gz SBUFRORDDCIRLK-UHFFFAOYSA-N -1 1 305.378 1.506 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC23CCC3)C1 ZINC000954127654 721724838 /nfs/dbraw/zinc/72/48/38/721724838.db2.gz CLJUDYZEOPHZQR-LBPRGKRZSA-N -1 1 315.373 1.260 20 0 DDADMM CSCC(=O)N1C[C@H](CNC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001009989473 738688884 /nfs/dbraw/zinc/68/88/84/738688884.db2.gz XYZMOHHTPIFEMB-QWRGUYRKSA-N -1 1 323.418 1.117 20 0 DDADMM CCc1nocc1CN1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038195452 733215314 /nfs/dbraw/zinc/21/53/14/733215314.db2.gz UBPYHCGCSIPJEY-LBPRGKRZSA-N -1 1 316.361 1.342 20 0 DDADMM Cn1c(-c2cc(Cl)ncc2[O-])nnc1N1CCOC(C)(C)C1 ZINC001121338615 782462870 /nfs/dbraw/zinc/46/28/70/782462870.db2.gz YJAREIODVHCISG-UHFFFAOYSA-N -1 1 323.784 1.851 20 0 DDADMM CO[C@@H](C)C[N@H+]1CCCC[C@H]1CNC(=O)c1ncccc1O ZINC001024406941 735807026 /nfs/dbraw/zinc/80/70/26/735807026.db2.gz ITBVKQYRPAKGRH-STQMWFEESA-N -1 1 307.394 1.406 20 0 DDADMM Cn1ccc(C[N@H+]2CC[C@H](CNC(=O)c3ccccc3O)C2)n1 ZINC001028415043 739466183 /nfs/dbraw/zinc/46/61/83/739466183.db2.gz GVSUVPPEJZSMTE-CYBMUJFWSA-N -1 1 314.389 1.378 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001011434365 736092845 /nfs/dbraw/zinc/09/28/45/736092845.db2.gz CDTMXDVAMQAZKR-NWDGAFQWSA-N -1 1 303.362 1.473 20 0 DDADMM O=C(N[C@@H]1CCN(c2nc3c(cccc3F)o2)C1)c1cnn[nH]1 ZINC001058599165 736150510 /nfs/dbraw/zinc/15/05/10/736150510.db2.gz JALVXJNAVANQQS-MRVPVSSYSA-N -1 1 316.296 1.094 20 0 DDADMM Cc1nccc(NC[C@H](C)N(C)C(=O)c2ncccc2[O-])n1 ZINC001104536204 736198353 /nfs/dbraw/zinc/19/83/53/736198353.db2.gz JRMAQJGXISJBOR-JTQLQIEISA-N -1 1 301.350 1.458 20 0 DDADMM [O-]c1ccc(CN2CCN(c3cccnn3)CC2)c(F)c1F ZINC001140893912 736472148 /nfs/dbraw/zinc/47/21/48/736472148.db2.gz KXZLDEGJDQGPFE-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM O=C(N[C@H]1COc2ccccc2C1=O)C(=O)c1ccc([O-])cc1 ZINC001137562862 739110035 /nfs/dbraw/zinc/11/00/35/739110035.db2.gz NADVEGAAMYAESS-ZDUSSCGKSA-N -1 1 311.293 1.335 20 0 DDADMM CC(C)CC(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088253202 741223331 /nfs/dbraw/zinc/22/33/31/741223331.db2.gz KQQJRWCQAGUAQU-LBPRGKRZSA-N -1 1 309.414 1.417 20 0 DDADMM Cc1cocc1C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029807185 741329598 /nfs/dbraw/zinc/32/95/98/741329598.db2.gz AMBZGJHWIGWTEZ-NSHDSACASA-N -1 1 319.365 1.006 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029857039 741431362 /nfs/dbraw/zinc/43/13/62/741431362.db2.gz GAVQYPBYECCCAG-RYUDHWBXSA-N -1 1 309.414 1.083 20 0 DDADMM CC[C@H](C)OCC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076245291 742624491 /nfs/dbraw/zinc/62/44/91/742624491.db2.gz WFYXMFQJIIQNJT-RYUDHWBXSA-N -1 1 323.393 1.227 20 0 DDADMM O=C(CCNC(=O)C1CC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001180853066 742963546 /nfs/dbraw/zinc/96/35/46/742963546.db2.gz UERINOJYENONPI-UHFFFAOYSA-N -1 1 314.345 1.433 20 0 DDADMM COc1cc(Br)c([O-])c(NC(=O)C2(O)CC2)c1 ZINC001181528764 743227034 /nfs/dbraw/zinc/22/70/34/743227034.db2.gz VJTXZKPGOGLONJ-UHFFFAOYSA-N -1 1 302.124 1.627 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@]2(F)Cl)c1 ZINC001181664276 743293714 /nfs/dbraw/zinc/29/37/14/743293714.db2.gz HJBJKPRTHJBTGF-WRWORJQWSA-N -1 1 322.745 1.163 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@]3(C)CC3(Cl)Cl)c1-2 ZINC001182139763 743496414 /nfs/dbraw/zinc/49/64/14/743496414.db2.gz VJLOMONSUGTRDP-JTQLQIEISA-N -1 1 300.149 1.557 20 0 DDADMM COCc1ccc(CC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001182197264 743522208 /nfs/dbraw/zinc/52/22/08/743522208.db2.gz JROTWDQNXYGQSU-UHFFFAOYSA-N -1 1 318.289 1.613 20 0 DDADMM O=C(NC1CN(Cc2ccccc2F)C1)c1ncccc1[O-] ZINC001030240133 743973983 /nfs/dbraw/zinc/97/39/83/743973983.db2.gz UWQKPHKLAGBMST-UHFFFAOYSA-N -1 1 301.321 1.541 20 0 DDADMM Cc1ncsc1CN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001030243695 743981715 /nfs/dbraw/zinc/98/17/15/743981715.db2.gz MQJIRWPQCCYJKN-UHFFFAOYSA-N -1 1 304.375 1.166 20 0 DDADMM O=S(=O)([N-]c1cc(F)ncc1F)c1cnc(Cl)nc1 ZINC001185008628 744136793 /nfs/dbraw/zinc/13/67/93/744136793.db2.gz RLYKSRLCBUJYFA-UHFFFAOYSA-N -1 1 306.681 1.604 20 0 DDADMM Cc1c([N-]S(=O)(=O)Cc2cc(F)cc(F)c2)ncn1C ZINC001185096742 744153419 /nfs/dbraw/zinc/15/34/19/744153419.db2.gz OBDQUKHPWQTCNJ-UHFFFAOYSA-N -1 1 301.318 1.949 20 0 DDADMM O=C1NCCOc2ccc([N-]S(=O)(=O)c3ccsc3)cc21 ZINC001185341267 744210081 /nfs/dbraw/zinc/21/00/81/744210081.db2.gz PCKSYUMIFMJURF-UHFFFAOYSA-N -1 1 324.383 1.671 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccsc2)cc1C(N)=O ZINC001185383674 744212885 /nfs/dbraw/zinc/21/28/85/744212885.db2.gz FCQNRSRWVRXZDJ-UHFFFAOYSA-N -1 1 312.372 1.656 20 0 DDADMM COC(=O)CC[C@@H]1COCCN1C(=O)c1ccc([O-])cc1F ZINC001186327879 744375442 /nfs/dbraw/zinc/37/54/42/744375442.db2.gz VCQFWHWOMJHBTN-SNVBAGLBSA-N -1 1 311.309 1.326 20 0 DDADMM CCN1CC[C@@]2(CN(C(=O)c3ccc([O-])cc3F)C[C@@H]2C)C1=O ZINC001186327547 744375697 /nfs/dbraw/zinc/37/56/97/744375697.db2.gz QLSKZMGDKHNJNL-GTNSWQLSSA-N -1 1 320.364 1.862 20 0 DDADMM CCOC(=O)c1c(C)[nH]nc1NC(=O)c1ccc([O-])cc1F ZINC001186311501 744380545 /nfs/dbraw/zinc/38/05/45/744380545.db2.gz TUWVFHGRPWWWIL-UHFFFAOYSA-N -1 1 307.281 1.992 20 0 DDADMM C[C@@H](NC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)C1CCCCC1 ZINC001186355171 744383401 /nfs/dbraw/zinc/38/34/01/744383401.db2.gz RUNKLBDALBTGHP-SSDOTTSWSA-N -1 1 320.353 1.806 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2cccnc2Cl)s1 ZINC000230620965 744397611 /nfs/dbraw/zinc/39/76/11/744397611.db2.gz HJCRMJBTTYPACB-UHFFFAOYSA-N -1 1 303.796 1.978 20 0 DDADMM C[C@H](Cc1cccc(Br)c1)C(=O)NCc1nn[n-]n1 ZINC001186528345 744409544 /nfs/dbraw/zinc/40/95/44/744409544.db2.gz PHIQMOQHMNTZRO-MRVPVSSYSA-N -1 1 324.182 1.457 20 0 DDADMM CCC[C@](C)(CC)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186616109 744421130 /nfs/dbraw/zinc/42/11/30/744421130.db2.gz NZYNROJBZPCKDT-ABAIWWIYSA-N -1 1 309.414 1.417 20 0 DDADMM CN(C)c1cc(NC(=O)c2cc(F)c(F)c([O-])c2F)n[nH]1 ZINC001186770221 744447165 /nfs/dbraw/zinc/44/71/65/744447165.db2.gz AWCGHUCVSPJWEX-UHFFFAOYSA-N -1 1 300.240 1.851 20 0 DDADMM CCCCC[C@H]([N-]S(=O)(=O)c1nccs1)C(=O)OC ZINC001187925801 744636165 /nfs/dbraw/zinc/63/61/65/744636165.db2.gz YJZCKMQDWCAFLX-VIFPVBQESA-N -1 1 306.409 1.543 20 0 DDADMM C[C@@H](NC(=O)c1n[n-]nc1C(F)(F)F)c1ncc(F)cn1 ZINC001187773689 744599967 /nfs/dbraw/zinc/59/99/67/744599967.db2.gz IZGKZVBBJWXHFO-SCSAIBSYSA-N -1 1 304.207 1.244 20 0 DDADMM Nc1cnc(Cl)c(NC(=O)c2n[n-]nc2C(F)(F)F)n1 ZINC001187758801 744605735 /nfs/dbraw/zinc/60/57/35/744605735.db2.gz IJJCTROSBUNFLL-UHFFFAOYSA-N -1 1 307.623 1.101 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]([N-]S(=O)(=O)c2nccs2)C1 ZINC001187921075 744635960 /nfs/dbraw/zinc/63/59/60/744635960.db2.gz WTOLSILVGJWIKW-NXEZZACHSA-N -1 1 318.420 1.543 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)NC1C[NH+]([C@@H](C)c2ccccc2)C1 ZINC001030822871 744678794 /nfs/dbraw/zinc/67/87/94/744678794.db2.gz RCFKFZLXKKJICW-LBPRGKRZSA-N -1 1 312.373 1.666 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1csc2ncccc12 ZINC001188350594 744699174 /nfs/dbraw/zinc/69/91/74/744699174.db2.gz VWBXASPHSJPUND-UHFFFAOYSA-N -1 1 300.361 1.601 20 0 DDADMM CC(C)(C)[C@@H](CN1CCOCC1)NC(=O)c1ccncc1[O-] ZINC001188644539 744735370 /nfs/dbraw/zinc/73/53/70/744735370.db2.gz GSZVNJXRDSJFOJ-CQSZACIVSA-N -1 1 307.394 1.264 20 0 DDADMM O=C(NCc1nn[n-]n1)c1nc(Cl)ccc1C(F)(F)F ZINC001188774634 744765803 /nfs/dbraw/zinc/76/58/03/744765803.db2.gz VSFAOMHWXRXART-UHFFFAOYSA-N -1 1 306.635 1.197 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cccc(-c2cc[nH]n2)c1 ZINC001189028280 744820223 /nfs/dbraw/zinc/82/02/23/744820223.db2.gz HMRMRUNNZMIMJX-UHFFFAOYSA-N -1 1 321.300 1.701 20 0 DDADMM O=S(=O)(Cc1cc(F)ccc1F)[N-]c1cnc2ccnn2c1 ZINC001189650884 744947595 /nfs/dbraw/zinc/94/75/95/744947595.db2.gz IHENPCJRSGSXRM-UHFFFAOYSA-N -1 1 324.312 1.949 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)Cc2cc(F)ccc2F)CC1 ZINC001189705578 744958483 /nfs/dbraw/zinc/95/84/83/744958483.db2.gz CYQBPBKKVNVJKZ-UHFFFAOYSA-N -1 1 319.329 1.480 20 0 DDADMM CCOC(=O)[C@@H](CC)[N-]S(=O)(=O)Cc1cc(F)ccc1F ZINC001189718783 744964750 /nfs/dbraw/zinc/96/47/50/744964750.db2.gz KOHHVQOEQMRZTR-GFCCVEGCSA-N -1 1 321.345 1.726 20 0 DDADMM Cc1nc(C(=O)[N-]c2nonc2-c2nc3ccccc3[nH]2)n[nH]1 ZINC001189858402 745003085 /nfs/dbraw/zinc/00/30/85/745003085.db2.gz AVVCVCSLGRADGX-UHFFFAOYSA-N -1 1 310.277 1.292 20 0 DDADMM Cc1ccc(F)c(C[N-]S(=O)(=O)c2ncc(F)cc2F)n1 ZINC001190207110 745153378 /nfs/dbraw/zinc/15/33/78/745153378.db2.gz FNYKEJJRJRRWPY-UHFFFAOYSA-N -1 1 317.292 1.681 20 0 DDADMM C[C@H]1OCC[C@H]1CNC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190631643 745279593 /nfs/dbraw/zinc/27/95/93/745279593.db2.gz BTWHXMSVSCNARC-MNOVXSKESA-N -1 1 314.345 1.399 20 0 DDADMM COc1nc(C)ccc1C(=O)NCCCC[P@](=O)([O-])O ZINC001190812958 745353896 /nfs/dbraw/zinc/35/38/96/745353896.db2.gz LFVWSYGHVASQGD-UHFFFAOYSA-N -1 1 302.267 1.086 20 0 DDADMM CSc1ncc(C(=O)Nc2nc(C)nc3[nH]cnc32)c(=O)[n-]1 ZINC001191429773 745509113 /nfs/dbraw/zinc/50/91/13/745509113.db2.gz RHHQFRDSLADYIJ-UHFFFAOYSA-N -1 1 317.334 1.131 20 0 DDADMM Cc1ccnc(NCC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001106296360 745563386 /nfs/dbraw/zinc/56/33/86/745563386.db2.gz OXPMDSKJMVKHGH-SNVBAGLBSA-N -1 1 301.350 1.506 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@]12CCCOC2)C(Cl)(Cl)Cl ZINC001192054303 745686197 /nfs/dbraw/zinc/68/61/97/745686197.db2.gz RPSPDVGDYHTICD-RQJHMYQMSA-N -1 1 308.614 1.803 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1ccc([C@@H](C)O)cc1 ZINC001192349885 745763673 /nfs/dbraw/zinc/76/36/73/745763673.db2.gz ANNDSYXWKINARP-SECBINFHSA-N -1 1 303.318 1.892 20 0 DDADMM Cc1ccnc(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)n1 ZINC001192502711 745798282 /nfs/dbraw/zinc/79/82/82/745798282.db2.gz PSMPOKREACPAJT-UHFFFAOYSA-N -1 1 316.745 1.451 20 0 DDADMM CCOc1cc(N)n(C(=O)c2c(F)ccc([O-])c2F)c(=N)n1 ZINC001192524434 745800780 /nfs/dbraw/zinc/80/07/80/745800780.db2.gz NBXMEYDWXFONSX-UHFFFAOYSA-N -1 1 310.260 1.016 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc(C(F)F)cn3)c1-2 ZINC001192554506 745814144 /nfs/dbraw/zinc/81/41/44/745814144.db2.gz XSVGCDWOVOQCGT-UHFFFAOYSA-N -1 1 305.248 1.015 20 0 DDADMM O=C(NCc1nc2cc[nH]cc-2n1)c1c(F)ccc([O-])c1F ZINC001192555760 745815041 /nfs/dbraw/zinc/81/50/41/745815041.db2.gz BNJCYGMZUINOPM-UHFFFAOYSA-N -1 1 304.256 1.872 20 0 DDADMM O=C(NCCO[C@H]1CCCCO1)c1cc([O-])cc(F)c1F ZINC001192685064 745862038 /nfs/dbraw/zinc/86/20/38/745862038.db2.gz XVFAZEXKDICBJC-LBPRGKRZSA-N -1 1 301.289 1.943 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CCC(n2cnnc2)CC1 ZINC001192665467 745868394 /nfs/dbraw/zinc/86/83/94/745868394.db2.gz XRGHHWGBLKFBTF-UHFFFAOYSA-N -1 1 308.288 1.739 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc([O-])c(F)c2F)n[nH]1 ZINC001192827499 745906344 /nfs/dbraw/zinc/90/63/44/745906344.db2.gz KXRPVJVMXFFIHB-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1cnc(OCC2CC2)nc1 ZINC001193214373 746016544 /nfs/dbraw/zinc/01/65/44/746016544.db2.gz ZBJZVABMJZFXCU-LBPRGKRZSA-N -1 1 313.379 1.186 20 0 DDADMM CC(C)c1cc2ncc([N-]S(=O)(=O)C[C@H]3CCCO3)cn2n1 ZINC001193213814 746016727 /nfs/dbraw/zinc/01/67/27/746016727.db2.gz PCUGYBALPORCMR-GFCCVEGCSA-N -1 1 324.406 1.773 20 0 DDADMM COC[C@H](C)Oc1cc(NC(=O)c2nccc(OC)c2[O-])[nH]n1 ZINC001193524705 746133340 /nfs/dbraw/zinc/13/33/40/746133340.db2.gz ICAODMZCCSKSJV-QMMMGPOBSA-N -1 1 322.321 1.185 20 0 DDADMM O=S(=O)([N-]CCn1cccn1)c1ncccc1C(F)(F)F ZINC001194582277 746414037 /nfs/dbraw/zinc/41/40/37/746414037.db2.gz QFKPESZOPWFZAS-UHFFFAOYSA-N -1 1 320.296 1.275 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc(Cl)[nH]c1=O ZINC001195141439 746536759 /nfs/dbraw/zinc/53/67/59/746536759.db2.gz HFGCMDRTDOERQG-UHFFFAOYSA-N -1 1 308.658 1.128 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)N[C@H]2CC2(F)F)c(F)cc1F ZINC001195245411 746555037 /nfs/dbraw/zinc/55/50/37/746555037.db2.gz RCUTZASMYLWVAJ-QMMMGPOBSA-N -1 1 313.228 1.349 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1C[C@@H]2COC[C@H](C1)C2(F)F ZINC001195304989 746572081 /nfs/dbraw/zinc/57/20/81/746572081.db2.gz DPVJUCIBLPWENB-OCAPTIKFSA-N -1 1 318.707 1.794 20 0 DDADMM Cc1ncc(CO)c(CNC(=O)c2cc([O-])cnc2Cl)c1O ZINC001195313020 746574631 /nfs/dbraw/zinc/57/46/31/746574631.db2.gz OBMYBIMYYRXMEY-UHFFFAOYSA-N -1 1 323.736 1.272 20 0 DDADMM CCOC(=O)c1ncncc1NC(=O)c1nc(C)ccc1[O-] ZINC001195318143 746575852 /nfs/dbraw/zinc/57/58/52/746575852.db2.gz IUTFUTJOTAQMEC-UHFFFAOYSA-N -1 1 302.290 1.315 20 0 DDADMM CC(=O)Nc1ccc([N-]S(=O)(=O)c2ccc(O)cc2)cn1 ZINC001195763933 746689844 /nfs/dbraw/zinc/68/98/44/746689844.db2.gz XMPPLJDMCIHLMH-UHFFFAOYSA-N -1 1 307.331 1.546 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC[C@@H]1Cc1ccccn1 ZINC001196014345 746756545 /nfs/dbraw/zinc/75/65/45/746756545.db2.gz UEEDLSQIQUMGFT-LLVKDONJSA-N -1 1 316.386 1.713 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC(n2cccn2)CC1 ZINC001196016552 746757517 /nfs/dbraw/zinc/75/75/17/746757517.db2.gz UMFLKNBJDZUPTE-UHFFFAOYSA-N -1 1 305.363 1.145 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)Nc1cncc(O)c1 ZINC001196721588 746944551 /nfs/dbraw/zinc/94/45/51/746944551.db2.gz OYAHHMRAUVZJPE-UHFFFAOYSA-N -1 1 313.360 1.473 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(F)nccc2OC)n1 ZINC001197135440 747062509 /nfs/dbraw/zinc/06/25/09/747062509.db2.gz CGJILWPNRUTTPV-UHFFFAOYSA-N -1 1 308.269 1.381 20 0 DDADMM CCOc1cncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC001197605939 747219259 /nfs/dbraw/zinc/21/92/59/747219259.db2.gz ANAQMBOKGHDTOC-UHFFFAOYSA-N -1 1 301.228 1.870 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc(-c2nnco2)cc1 ZINC001197690617 747238538 /nfs/dbraw/zinc/23/85/38/747238538.db2.gz KORYKFFZYDVPIZ-UHFFFAOYSA-N -1 1 323.272 1.361 20 0 DDADMM O=C1OCc2cc([N-]S(=O)(=O)Cc3ccccn3)ccc21 ZINC001197821722 747289216 /nfs/dbraw/zinc/28/92/16/747289216.db2.gz XRJZLLOIHRGULV-UHFFFAOYSA-N -1 1 304.327 1.694 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cccc(C2CCC2)n1 ZINC001197865726 747304466 /nfs/dbraw/zinc/30/44/66/747304466.db2.gz LQBIFRUDIQCKDX-UHFFFAOYSA-N -1 1 301.306 1.385 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)N[C@H]1CCN(CC2CC2)C1 ZINC001198807390 747618278 /nfs/dbraw/zinc/61/82/78/747618278.db2.gz GQKHIYJHGBRLRD-LBPRGKRZSA-N -1 1 324.402 1.147 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(Cc2cccnc2)C1 ZINC001033024421 747962031 /nfs/dbraw/zinc/96/20/31/747962031.db2.gz DOMMHVQYFLEGAZ-CQSZACIVSA-N -1 1 312.373 1.529 20 0 DDADMM COC(=O)CCNC(=S)Nc1cc2ccc([O-])cc2oc1=O ZINC001199984180 748075357 /nfs/dbraw/zinc/07/53/57/748075357.db2.gz WWVXGFRZDXSJGC-UHFFFAOYSA-N -1 1 322.342 1.348 20 0 DDADMM CC1(C)CN(C(=O)C2CCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995317360 748543750 /nfs/dbraw/zinc/54/37/50/748543750.db2.gz YTMXXIUYAYCFAH-NSHDSACASA-N -1 1 307.398 1.027 20 0 DDADMM C[C@H]1[C@H](NC(=O)C(C)(C)F)CCCN1C(=O)c1ncccc1[O-] ZINC001014690191 748732924 /nfs/dbraw/zinc/73/29/24/748732924.db2.gz YMIIFQJJBIESPK-WDEREUQCSA-N -1 1 323.368 1.645 20 0 DDADMM CCCCC(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202488825 748881014 /nfs/dbraw/zinc/88/10/14/748881014.db2.gz HXICAKGAEYQXRN-GHMZBOCLSA-N -1 1 307.398 1.218 20 0 DDADMM COC(=O)c1ccccc1NC(=O)Nc1c([O-])nc[nH]c1=O ZINC001202670454 749491836 /nfs/dbraw/zinc/49/18/36/749491836.db2.gz WAYRPAHNDOKCMZ-UHFFFAOYSA-N -1 1 304.262 1.318 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](CNc2nccnc2F)C1 ZINC001060856566 751020525 /nfs/dbraw/zinc/02/05/25/751020525.db2.gz LVAGPVRVUIINMG-JTQLQIEISA-N -1 1 317.324 1.291 20 0 DDADMM CC(C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)=C1CCC1 ZINC001034932492 751043762 /nfs/dbraw/zinc/04/37/62/751043762.db2.gz FYOBKODAIMJFKF-GFCCVEGCSA-N -1 1 305.382 1.091 20 0 DDADMM CSc1nc(Nc2cccnc2N2CCOCC2)cc(=O)[n-]1 ZINC001212352293 751072678 /nfs/dbraw/zinc/07/26/78/751072678.db2.gz TVUHUMMLJPFJKG-UHFFFAOYSA-N -1 1 319.390 1.879 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]([NH2+]Cc2cnns2)C1 ZINC000998977498 752414757 /nfs/dbraw/zinc/41/47/57/752414757.db2.gz OWJWVZFWUUUBFO-SNVBAGLBSA-N -1 1 319.390 1.033 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CC(C)(C)C)C1 ZINC001005949577 753409026 /nfs/dbraw/zinc/40/90/26/753409026.db2.gz HEPVZBDZJSCDFM-NSHDSACASA-N -1 1 319.405 1.800 20 0 DDADMM CCC(C)(C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064279868 754599101 /nfs/dbraw/zinc/59/91/01/754599101.db2.gz IYMGFBCFTLIXEW-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM CCC(CC)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001012319395 754997501 /nfs/dbraw/zinc/99/75/01/754997501.db2.gz FKCUBSIBOQSVGN-AAEUAGOBSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CC=CC1 ZINC001012413256 755056077 /nfs/dbraw/zinc/05/60/77/755056077.db2.gz QAURNZOXPRZMCN-AAEUAGOBSA-N -1 1 315.373 1.473 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C2CCCC2)CN1C(=O)c1ncccc1[O-] ZINC001012509175 755114587 /nfs/dbraw/zinc/11/45/87/755114587.db2.gz HFJLHJBLHBAITG-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)C(=O)N1CC[C@@]2(NC(=O)c3ncccc3[O-])CCC[C@@H]12 ZINC001014253535 755663719 /nfs/dbraw/zinc/66/37/19/755663719.db2.gz QBAXWTABHSEVIU-DYVFJYSZSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C2(C)CC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014992915 756058791 /nfs/dbraw/zinc/05/87/91/756058791.db2.gz IQMSWEJGCDMJIE-VXGBXAGGSA-N -1 1 317.389 1.697 20 0 DDADMM O=C(NCc1ccnc(Br)c1)c1cncc([O-])c1 ZINC001169495127 762461324 /nfs/dbraw/zinc/46/13/24/762461324.db2.gz CPPYFIUFIGMWCZ-UHFFFAOYSA-N -1 1 308.135 1.875 20 0 DDADMM O=C(NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-])C(F)F ZINC001082022465 756586973 /nfs/dbraw/zinc/58/69/73/756586973.db2.gz DAAWEQAPWAVYRT-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM C[C@@H](C(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001016431439 757039441 /nfs/dbraw/zinc/03/94/41/757039441.db2.gz PTOYYUHXVGATNF-QFWMXSHPSA-N -1 1 317.389 1.553 20 0 DDADMM Cc1nc(C)c(F)c(N[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097764446 757508984 /nfs/dbraw/zinc/50/89/84/757508984.db2.gz GXSUMJJREJSMSI-QMMMGPOBSA-N -1 1 319.340 1.564 20 0 DDADMM CCCc1nsc(N[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097764150 757509394 /nfs/dbraw/zinc/50/93/94/757509394.db2.gz BKCOIURBPIVEFD-VIFPVBQESA-N -1 1 321.406 1.822 20 0 DDADMM O=C(NCC1=CCN(C(=O)C2CC2)CC1)c1ncccc1[O-] ZINC001017400734 757899388 /nfs/dbraw/zinc/89/93/88/757899388.db2.gz UDCUGIYVCUHXIA-UHFFFAOYSA-N -1 1 301.346 1.086 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)c1cccs1 ZINC001085123286 758264934 /nfs/dbraw/zinc/26/49/34/758264934.db2.gz UCXZDQDXDMCOMZ-NXEZZACHSA-N -1 1 321.406 1.403 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNc1ncccn1 ZINC001065838883 758849566 /nfs/dbraw/zinc/84/95/66/758849566.db2.gz MKWPPVIQNUHQGE-NEPJUHHUSA-N -1 1 313.361 1.397 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085337673 759274033 /nfs/dbraw/zinc/27/40/33/759274033.db2.gz NJQMUPFLYKWSCZ-DDHJBXDOSA-N -1 1 307.398 1.073 20 0 DDADMM CC(C)(C)C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962334 759454420 /nfs/dbraw/zinc/45/44/20/759454420.db2.gz PCBZNFJQQNAYGK-UHFFFAOYSA-N -1 1 317.389 1.508 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C[C@@H]2CCN2C[C@@H]2CCCCO2)c1[O-] ZINC001085544891 759665962 /nfs/dbraw/zinc/66/59/62/759665962.db2.gz ZMISNZPWANAFOS-STQMWFEESA-N -1 1 322.409 1.139 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2C[C@H](NCc3nccs3)C2)c1[O-] ZINC001086342053 761450483 /nfs/dbraw/zinc/45/04/83/761450483.db2.gz VQSOOXDDXVQNJI-MGCOHNPYSA-N -1 1 321.406 1.178 20 0 DDADMM O=C(N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)[C@]12C[C@H]1CCCC2 ZINC001000132256 761530074 /nfs/dbraw/zinc/53/00/74/761530074.db2.gz WTUKOOMDNDRYFA-BFQNTYOBSA-N -1 1 319.409 1.171 20 0 DDADMM CCC1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CCC1 ZINC001001552346 762954060 /nfs/dbraw/zinc/95/40/60/762954060.db2.gz OLQYEWWFYQJVFR-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM C[C@@H](CN(C)c1ncncc1Cl)NC(=O)c1ncccc1[O-] ZINC001108933963 763144823 /nfs/dbraw/zinc/14/48/23/763144823.db2.gz ZPFZNQPBRGWOAO-VIFPVBQESA-N -1 1 321.768 1.485 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@@H]3CCN(C/C=C\Cl)[C@@H]3C2)c1[O-] ZINC001042016023 763567122 /nfs/dbraw/zinc/56/71/22/763567122.db2.gz NEAUJLJOMBYVGC-OXYQRJNWSA-N -1 1 324.812 1.713 20 0 DDADMM C/C=C(/C)C(=O)N[C@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050524116 763705516 /nfs/dbraw/zinc/70/55/16/763705516.db2.gz UNVPOWUSEUXZOU-GKADRNHJSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H](NC(=O)C(F)F)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532005 763718529 /nfs/dbraw/zinc/71/85/29/763718529.db2.gz VWFRAMRAVCWTLQ-IUCAKERBSA-N -1 1 313.304 1.019 20 0 DDADMM O=C(NCC1=CCN(c2ncccn2)CC1)c1ncccc1[O-] ZINC001069819056 768161824 /nfs/dbraw/zinc/16/18/24/768161824.db2.gz QYZGXSDVOZZKHX-UHFFFAOYSA-N -1 1 311.345 1.144 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C2CN(CC3CC4(CCC4)C3)C2)c1[O-] ZINC001042619763 764279244 /nfs/dbraw/zinc/27/92/44/764279244.db2.gz KQHCKQKDZTXTFZ-UHFFFAOYSA-N -1 1 318.421 1.760 20 0 DDADMM C/C=C(/C)C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052423283 765811108 /nfs/dbraw/zinc/81/11/08/765811108.db2.gz VQNGJAFVTBEKBZ-ZYFYVMIWSA-N -1 1 317.389 1.578 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc4c(c3)OCCCO4)c2[nH]1 ZINC001170216798 766174847 /nfs/dbraw/zinc/17/48/47/766174847.db2.gz ATOHCLVSEFTOBV-UHFFFAOYSA-N -1 1 315.289 1.621 20 0 DDADMM Cc1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c2nsnc21 ZINC001170219214 766177724 /nfs/dbraw/zinc/17/77/24/766177724.db2.gz LIGAEUXHZDHDGT-UHFFFAOYSA-N -1 1 315.318 1.772 20 0 DDADMM O=C1NCCN1c1cccc(Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001170234727 766190142 /nfs/dbraw/zinc/19/01/42/766190142.db2.gz XSCSSMMCTGAMOH-UHFFFAOYSA-N -1 1 311.305 1.332 20 0 DDADMM Cc1cc(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cn(C)c1=O ZINC001137452465 766242367 /nfs/dbraw/zinc/24/23/67/766242367.db2.gz IDKCDPXDSTUYIA-UHFFFAOYSA-N -1 1 306.347 1.039 20 0 DDADMM O=C(N[C@@H]1CCN(c2ncncc2Cl)C1)c1ncccc1[O-] ZINC001058343969 766437316 /nfs/dbraw/zinc/43/73/16/766437316.db2.gz NJCLGALSEPGVPF-SECBINFHSA-N -1 1 319.752 1.239 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(Cc2cncs2)C1 ZINC001046261979 767319418 /nfs/dbraw/zinc/31/94/18/767319418.db2.gz JECOJYRVCBVXOU-HNNXBMFYSA-N -1 1 318.402 1.638 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CC(n2cncn2)C1 ZINC001136618991 772044592 /nfs/dbraw/zinc/04/45/92/772044592.db2.gz HJDSRDPYNXONRK-UHFFFAOYSA-N -1 1 313.292 1.368 20 0 DDADMM Cc1cc(Cl)nc(C(F)(F)[N-]c2nccnc2CN)n1 ZINC001160245163 772112244 /nfs/dbraw/zinc/11/22/44/772112244.db2.gz YEGHONHYJWIWJD-UHFFFAOYSA-N -1 1 300.700 1.849 20 0 DDADMM CC(C)C[C@H](O)C(=O)Nc1nc(Br)ccc1[O-] ZINC001144164944 772426266 /nfs/dbraw/zinc/42/62/66/772426266.db2.gz DGSNUOHJTPFZOS-QMMMGPOBSA-N -1 1 303.156 1.895 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)NCCCC[P@](=O)([O-])O ZINC001144503016 772553011 /nfs/dbraw/zinc/55/30/11/772553011.db2.gz VEXACBBMRQNAPD-NSHDSACASA-N -1 1 301.279 1.528 20 0 DDADMM O=C1COC2(CCN(Cc3c(F)cc([O-])cc3F)CC2)CN1 ZINC001144559526 772564863 /nfs/dbraw/zinc/56/48/63/772564863.db2.gz NGYGWRKJEPPFBE-UHFFFAOYSA-N -1 1 312.316 1.151 20 0 DDADMM COC(=O)C1(O)CCN(Cc2cc(F)c([O-])cc2F)CC1 ZINC001144663782 772590093 /nfs/dbraw/zinc/59/00/93/772590093.db2.gz IVCZHFWAPBCZJS-UHFFFAOYSA-N -1 1 301.289 1.170 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)[C@@H](O)c1cccc(Cl)c1 ZINC001144822424 772631822 /nfs/dbraw/zinc/63/18/22/772631822.db2.gz ZWDPPHQVIUYHHD-QMMMGPOBSA-N -1 1 324.680 1.611 20 0 DDADMM CN(CC(F)(F)C(F)(F)F)C(=O)CCCc1nn[n-]n1 ZINC001144921932 772710045 /nfs/dbraw/zinc/71/00/45/772710045.db2.gz OJEVXCOQEFCCHI-UHFFFAOYSA-N -1 1 301.219 1.178 20 0 DDADMM CN(C)c1nc(NC(=O)c2ccc[nH]c2=S)c(N=O)c(=O)[n-]1 ZINC001147672384 773196301 /nfs/dbraw/zinc/19/63/01/773196301.db2.gz PZRIEADLBYZXMU-UHFFFAOYSA-N -1 1 320.334 1.582 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc2c(c1)OCO2 ZINC001149157193 773316838 /nfs/dbraw/zinc/31/68/38/773316838.db2.gz NTEUGQCQSIHXIA-UHFFFAOYSA-N -1 1 304.218 1.267 20 0 DDADMM CN(CC1COC1)C(=O)c1cc([O-])c(Br)c(O)c1 ZINC001148990091 773666784 /nfs/dbraw/zinc/66/67/84/773666784.db2.gz XBYJHMANYUYRST-UHFFFAOYSA-N -1 1 316.151 1.579 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CCCC12CC2 ZINC001074709704 774077579 /nfs/dbraw/zinc/07/75/79/774077579.db2.gz NIQPBTRVHQIZDV-SRVKXCTJSA-N -1 1 319.409 1.170 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)CCCF)CCN1C(=O)c1ncccc1[O-] ZINC001075107775 774357896 /nfs/dbraw/zinc/35/78/96/774357896.db2.gz OKWVCEVBTLNLCO-VXGBXAGGSA-N -1 1 323.368 1.646 20 0 DDADMM CCc1cc(NCCCNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001094375602 775819076 /nfs/dbraw/zinc/81/90/76/775819076.db2.gz HAQSMFGWIYQXIE-UHFFFAOYSA-N -1 1 315.377 1.680 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])c1ncc(F)cn1 ZINC001101126022 776927500 /nfs/dbraw/zinc/92/75/00/776927500.db2.gz ZIDLSZGQRITPTG-UHFFFAOYSA-N -1 1 319.340 1.363 20 0 DDADMM COc1cc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc(OC)c1OC ZINC001173904808 777370774 /nfs/dbraw/zinc/37/07/74/777370774.db2.gz OELBIEZBBNKPSC-UHFFFAOYSA-N -1 1 324.362 1.823 20 0 DDADMM CCOC(=O)c1nc[n-]c1-c1nc(-c2cccc3ccnn32)no1 ZINC001212768144 777713034 /nfs/dbraw/zinc/71/30/34/777713034.db2.gz QBNWSUAAQNGQIO-UHFFFAOYSA-N -1 1 324.300 1.951 20 0 DDADMM CCC(C)(C)C(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203351326 778074665 /nfs/dbraw/zinc/07/46/65/778074665.db2.gz UUFOROHTEZEYHJ-GHMZBOCLSA-N -1 1 321.425 1.464 20 0 DDADMM CCOC(=O)Cc1ccc(Cl)c(NC(=O)Cc2nn[n-]n2)c1 ZINC001176844402 778266101 /nfs/dbraw/zinc/26/61/01/778266101.db2.gz BCNRHGSBSCAMSQ-UHFFFAOYSA-N -1 1 323.740 1.140 20 0 DDADMM COc1cccc([C@@H]2CSCCN2C(=O)Cc2nn[n-]n2)c1 ZINC001176842995 778271932 /nfs/dbraw/zinc/27/19/32/778271932.db2.gz YAZUGWYFMAWCQU-LBPRGKRZSA-N -1 1 319.390 1.068 20 0 DDADMM O=C(Cc1ncccn1)Nc1nc(Br)ccc1[O-] ZINC001177370972 778552527 /nfs/dbraw/zinc/55/25/27/778552527.db2.gz KTQPVBBYJCDXRY-UHFFFAOYSA-N -1 1 309.123 1.521 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1ncncc1Cl ZINC001103068535 778615733 /nfs/dbraw/zinc/61/57/33/778615733.db2.gz GUGZOOZAKHGVSA-VIFPVBQESA-N -1 1 321.768 1.851 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1nccc(C)n1 ZINC001103068227 778615941 /nfs/dbraw/zinc/61/59/41/778615941.db2.gz AMGJIQNAUCSGPR-NSHDSACASA-N -1 1 301.350 1.506 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1nc(C)ncc1C ZINC001103068712 778616609 /nfs/dbraw/zinc/61/66/09/778616609.db2.gz IVQWDKWTMXMEJV-GFCCVEGCSA-N -1 1 315.377 1.815 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2=Cc3cccn3C2=O)n1 ZINC001177817969 778727436 /nfs/dbraw/zinc/72/74/36/778727436.db2.gz YGROQBMLXCLMNN-UHFFFAOYSA-N -1 1 300.274 1.064 20 0 DDADMM Cc1nccc(NC[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001103917125 779169326 /nfs/dbraw/zinc/16/93/26/779169326.db2.gz FGTFQQKQJFVUFM-JTQLQIEISA-N -1 1 301.350 1.364 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)C(=O)[N-]OCc2ccccc2)n[nH]1 ZINC001117343806 780729251 /nfs/dbraw/zinc/72/92/51/780729251.db2.gz DDLXEFRHEQFDFR-NSHDSACASA-N -1 1 316.361 1.013 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)[C@H]1CCCN(C(=O)CC)C1 ZINC001117772627 780898352 /nfs/dbraw/zinc/89/83/52/780898352.db2.gz CYYVIFXBBJBJRS-NSHDSACASA-N -1 1 314.382 1.025 20 0 DDADMM CCCCC(=O)NCCC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001267160717 837437601 /nfs/dbraw/zinc/43/76/01/837437601.db2.gz HYXXBHGYKOWDBH-LBPRGKRZSA-N -1 1 309.414 1.561 20 0 DDADMM C[C@H](C(=O)N(C)CCNC(=O)c1ncccc1[O-])C1CCCC1 ZINC001408554224 837238723 /nfs/dbraw/zinc/23/87/23/837238723.db2.gz GOWYZWLCOXTBRB-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM CN(CCNC(=O)[C@H]1CC[C@H](F)C1)C(=O)c1ncccc1[O-] ZINC001408669927 838408704 /nfs/dbraw/zinc/40/87/04/838408704.db2.gz WEQGIVAJZZMNKW-QWRGUYRKSA-N -1 1 309.341 1.114 20 0 DDADMM CC[C@@H](C)N1CC[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC001268842759 840892304 /nfs/dbraw/zinc/89/23/04/840892304.db2.gz PLCMUVFNFNEREB-SJKOYZFVSA-N -1 1 317.389 1.650 20 0 DDADMM Nc1noc2cc(CNC(=O)C(=O)c3ccc([O-])cc3)ccc12 ZINC001142651631 861215491 /nfs/dbraw/zinc/21/54/91/861215491.db2.gz FGAMHJHWVKDYEH-UHFFFAOYSA-N -1 1 311.297 1.615 20 0 DDADMM CN1CCO[C@H]2CN(C(=O)c3ccc4cccnc4c3[O-])C[C@@H]21 ZINC001269620643 841835861 /nfs/dbraw/zinc/83/58/61/841835861.db2.gz CRLVNYFXDNQIJA-KBPBESRZSA-N -1 1 313.357 1.095 20 0 DDADMM CC[C@H](CNC(=O)c1ccn(C)c1)NC(=O)c1ncccc1[O-] ZINC001409256077 844715825 /nfs/dbraw/zinc/71/58/25/844715825.db2.gz JJKXXMRNSZEXFH-GFCCVEGCSA-N -1 1 316.361 1.064 20 0 DDADMM Cc1cc(NC(=O)C(C)(C)C(=O)[O-])ccc1N1CCN(C)CC1 ZINC001326692351 861546627 /nfs/dbraw/zinc/54/66/27/861546627.db2.gz IRHLAZFXHXHOIO-UHFFFAOYSA-N -1 1 319.405 1.796 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1sccc1F ZINC001149502061 861662347 /nfs/dbraw/zinc/66/23/47/861662347.db2.gz YWWHRGKXVWGBHK-SNVBAGLBSA-N -1 1 303.322 1.920 20 0 DDADMM CC[C@H](F)CN1CCCC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001034169087 848065223 /nfs/dbraw/zinc/06/52/23/848065223.db2.gz JFIMXXVFDVFPRE-RYUDHWBXSA-N -1 1 312.389 1.756 20 0 DDADMM CCCN(C)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001364894514 849328545 /nfs/dbraw/zinc/32/85/45/849328545.db2.gz XSVSTQIGOGACEZ-UWVGGRQHSA-N -1 1 309.332 1.980 20 0 DDADMM C[C@@H](C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001411026752 850124511 /nfs/dbraw/zinc/12/45/11/850124511.db2.gz VFNDFMFQZQSGNR-DIFFPNOSSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1ccc2ccc(C(=O)NC[C@@H]3CC(=O)N(C)C3)c([O-])c2n1 ZINC001155661014 862350426 /nfs/dbraw/zinc/35/04/26/862350426.db2.gz YCIXLDGQUUENGY-NSHDSACASA-N -1 1 313.357 1.457 20 0 DDADMM CC(C)NC(=O)N1CC2(C1)CN(C(=O)c1cc([O-])cc(F)c1)C2 ZINC001275006019 852739628 /nfs/dbraw/zinc/73/96/28/852739628.db2.gz URDRAKUVOCRUMF-UHFFFAOYSA-N -1 1 321.352 1.407 20 0 DDADMM COCCOCN1CCC12CN(C(=O)c1cc([O-])cc(F)c1)C2 ZINC001275005616 852740311 /nfs/dbraw/zinc/74/03/11/852740311.db2.gz HIVHQXYSEIHSLS-UHFFFAOYSA-N -1 1 324.352 1.052 20 0 DDADMM NC(=O)C1=CC2(CC1)CCN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC001275373086 853033328 /nfs/dbraw/zinc/03/33/28/853033328.db2.gz BVZWYHUSZUZFOB-UHFFFAOYSA-N -1 1 318.348 1.959 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)Cn2cc(C)cn2)[n-]1 ZINC001411534638 853320226 /nfs/dbraw/zinc/32/02/26/853320226.db2.gz FLPOBKQBIMXERS-UHFFFAOYSA-N -1 1 304.306 1.148 20 0 DDADMM O=C(NC1CCN(C(=O)c2ccoc2)CC1)c1ccc([O-])cn1 ZINC001411618674 853468098 /nfs/dbraw/zinc/46/80/98/853468098.db2.gz NFBWERFJNCBQBT-UHFFFAOYSA-N -1 1 315.329 1.415 20 0 DDADMM NC(=O)c1[nH]nc2c1CN(C(=O)c1ccc(Cl)cc1[O-])CC2 ZINC001275934319 853978699 /nfs/dbraw/zinc/97/86/99/853978699.db2.gz LOTMSAMCPFUIDF-UHFFFAOYSA-N -1 1 320.736 1.066 20 0 DDADMM COCCC(=O)NCC[C@@H](NC(=O)c1ncccc1[O-])C(C)C ZINC001412283667 854406136 /nfs/dbraw/zinc/40/61/36/854406136.db2.gz IUPXCWLFBJDQNO-GFCCVEGCSA-N -1 1 323.393 1.084 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCC[C@H](OC)C2)n[n-]1 ZINC001412326436 854443990 /nfs/dbraw/zinc/44/39/90/854443990.db2.gz JJMRJHLXOMLSCV-AXFHLTTASA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCC[C@H](OC)C2)[n-]1 ZINC001412326436 854443994 /nfs/dbraw/zinc/44/39/94/854443994.db2.gz JJMRJHLXOMLSCV-AXFHLTTASA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCC[C@H](OC)C2)n1 ZINC001412326436 854444000 /nfs/dbraw/zinc/44/40/00/854444000.db2.gz JJMRJHLXOMLSCV-AXFHLTTASA-N -1 1 324.381 1.364 20 0 DDADMM CC(=O)NC[C@H](C1CC1)N(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001412368321 854481037 /nfs/dbraw/zinc/48/10/37/854481037.db2.gz VLRUZAAAVMLATJ-CYBMUJFWSA-N -1 1 318.377 1.046 20 0 DDADMM CN(C(=O)c1ccc([O-])cn1)[C@@H]1CCN(c2ccccc2)C1=O ZINC001412525025 854657430 /nfs/dbraw/zinc/65/74/30/854657430.db2.gz ICOBBCHRJWDGSF-OAHLLOKOSA-N -1 1 311.341 1.665 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CN(C(=O)c1cccc([O-])c1Cl)C2 ZINC001276049376 854667750 /nfs/dbraw/zinc/66/77/50/854667750.db2.gz ADEVKNARCGZLCA-SNVBAGLBSA-N -1 1 308.765 1.596 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]c2ncc(C(C)=O)s2)on1 ZINC001412580537 854750813 /nfs/dbraw/zinc/75/08/13/854750813.db2.gz SQANJKXLYAPCLS-UHFFFAOYSA-N -1 1 309.303 1.763 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@@H]2CN(C)CC2(C)C)c1 ZINC001412671665 854912798 /nfs/dbraw/zinc/91/27/98/854912798.db2.gz CKTDTDSZDNLGPU-JLCFBVMHSA-N -1 1 324.446 1.259 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1n[nH]cc1C(=O)NC1CC1 ZINC001412783849 855261688 /nfs/dbraw/zinc/26/16/88/855261688.db2.gz BIVSSFHQPCNGJP-UHFFFAOYSA-N -1 1 316.317 1.268 20 0 DDADMM CSc1ncc(C(=O)NC2([C@@H]3CCCO3)CCC2)c(=O)[n-]1 ZINC001412815795 855297868 /nfs/dbraw/zinc/29/78/68/855297868.db2.gz YWLYSDMZPDCPCD-JTQLQIEISA-N -1 1 309.391 1.736 20 0 DDADMM COc1c(F)cc(NC(=O)CCc2nn[n-]n2)c(OC)c1F ZINC001412970240 855827418 /nfs/dbraw/zinc/82/74/18/855827418.db2.gz ULXDBVFNVAKJGX-UHFFFAOYSA-N -1 1 313.264 1.066 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)Cc2ccccn2)c(=O)[n-]1 ZINC001413203176 856543286 /nfs/dbraw/zinc/54/32/86/856543286.db2.gz RMDRULNVUIHDNH-SECBINFHSA-N -1 1 304.375 1.660 20 0 DDADMM CCOC(=O)[C@@H](CC1(OC)CCC1)NC(=O)c1ccc([O-])cn1 ZINC001413235554 856572017 /nfs/dbraw/zinc/57/20/17/856572017.db2.gz VSYJQVKAQRTNLR-CYBMUJFWSA-N -1 1 322.361 1.408 20 0 DDADMM CSc1ncc(C(=O)N2CCCC[C@@H]2[C@@H](C)CO)c(=O)[n-]1 ZINC001413263730 856585175 /nfs/dbraw/zinc/58/51/75/856585175.db2.gz IYQPUGUBQYSZIZ-GXSJLCMTSA-N -1 1 311.407 1.527 20 0 DDADMM COC(=O)CCn1nc(C)c(NC(=O)C2=C([O-])C(C)N=N2)c1C ZINC001413354752 856708191 /nfs/dbraw/zinc/70/81/91/856708191.db2.gz IJXYETMWUGDCKN-UHFFFAOYSA-N -1 1 321.337 1.052 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCSc1ccccn1 ZINC001413507332 856905012 /nfs/dbraw/zinc/90/50/12/856905012.db2.gz YAUUEPNQZQDXAC-UHFFFAOYSA-N -1 1 320.422 1.762 20 0 DDADMM CCCc1nc(C)c(C(=O)NC2(c3nn[n-]n3)CCC2)s1 ZINC001413511271 856911184 /nfs/dbraw/zinc/91/11/84/856911184.db2.gz RLJSIBNJBCHCJT-UHFFFAOYSA-N -1 1 306.395 1.726 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc2cc[nH]c2n1 ZINC001151592320 862861683 /nfs/dbraw/zinc/86/16/83/862861683.db2.gz MOVXOESWKNQEOF-UHFFFAOYSA-N -1 1 300.234 1.414 20 0 DDADMM CC[C@@H](C)S(=O)(=O)Nc1ncc(Br)[n-]c1=O ZINC001260751244 857086654 /nfs/dbraw/zinc/08/66/54/857086654.db2.gz YAIWFPNFPSGMOU-RXMQYKEDSA-N -1 1 310.173 1.073 20 0 DDADMM CC[C@@H](NC(=O)c1c(C)[n-]c(=O)nc1SC)C(OC)OC ZINC001328290760 862872350 /nfs/dbraw/zinc/87/23/50/862872350.db2.gz YEJZHFUZVQQUER-MRVPVSSYSA-N -1 1 315.395 1.340 20 0 DDADMM CCn1ccc(CC(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)n1 ZINC001413627741 857257028 /nfs/dbraw/zinc/25/70/28/857257028.db2.gz WHMGGKIDZOXJTJ-UHFFFAOYSA-N -1 1 319.365 1.001 20 0 DDADMM C[C@@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)c1cccc(Cl)c1 ZINC001151641464 862894574 /nfs/dbraw/zinc/89/45/74/862894574.db2.gz NXKGBACFWYIPFX-SECBINFHSA-N -1 1 323.784 1.173 20 0 DDADMM O=C([O-])[C@@H]1CC(=O)N(CCNCc2ccc(F)c(F)c2F)C1 ZINC001328487051 863002921 /nfs/dbraw/zinc/00/29/21/863002921.db2.gz DTXMVMZYJLQZNF-SECBINFHSA-N -1 1 316.279 1.127 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccnn1C1CCC1 ZINC001413760153 858494740 /nfs/dbraw/zinc/49/47/40/858494740.db2.gz BDHRVNOZPLJXSX-UHFFFAOYSA-N -1 1 315.333 1.077 20 0 DDADMM CCOC(=O)[C@@H](CCc1ccccc1)OCC[P@](=O)([O-])O ZINC001224604549 881465347 /nfs/dbraw/zinc/46/53/47/881465347.db2.gz DGXGCBPYKHFDGN-CYBMUJFWSA-N -1 1 316.290 1.745 20 0 DDADMM O=C(c1c2nc[nH]c2ccc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001137730542 859967864 /nfs/dbraw/zinc/96/78/64/859967864.db2.gz NSNDGAZKPVGJAN-MRVPVSSYSA-N -1 1 315.312 1.235 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H]2CCO[C@@]3(CCOC3)C2)c1 ZINC001137888623 859988978 /nfs/dbraw/zinc/98/89/78/859988978.db2.gz BTTRJODPGGUEIB-DYVFJYSZSA-N -1 1 319.357 1.581 20 0 DDADMM COc1cccc(CN2CC[C@@H](O)C[C@@H]2C)c1OCC(=O)[O-] ZINC001139272175 860346336 /nfs/dbraw/zinc/34/63/36/860346336.db2.gz ZVTJQRDJACVMMA-WCQYABFASA-N -1 1 309.362 1.504 20 0 DDADMM [O-]c1cnccc1CN1CCn2c(COCC3CC3)nnc2C1 ZINC001140275664 860606275 /nfs/dbraw/zinc/60/62/75/860606275.db2.gz ZUOSRSQJRHLHKK-UHFFFAOYSA-N -1 1 315.377 1.321 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)N(C)C(C)C ZINC001325874087 860906209 /nfs/dbraw/zinc/90/62/09/860906209.db2.gz IXDVZZQSBYZDDP-UHFFFAOYSA-N -1 1 307.460 1.200 20 0 DDADMM Cc1ccc2ncc([O-])c(C(=O)N(C)c3nccnc3N)c2c1 ZINC001154528211 861176915 /nfs/dbraw/zinc/17/69/15/861176915.db2.gz RBZFMUOLWZNYKU-UHFFFAOYSA-N -1 1 309.329 1.898 20 0 DDADMM O=C(NC[C@H]1CCCCO1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152680269 863488606 /nfs/dbraw/zinc/48/86/06/863488606.db2.gz MGZIZXSHMROIBI-SNVBAGLBSA-N -1 1 302.330 1.945 20 0 DDADMM C[C@H]1COCC[C@H]1NC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681782 863490844 /nfs/dbraw/zinc/49/08/44/863490844.db2.gz QEHYXTADTJMJKK-GXSJLCMTSA-N -1 1 302.330 1.801 20 0 DDADMM C[C@]1(NC(=O)c2cc(=O)c3cccc(O)c3[n-]2)CCCOC1 ZINC001152686012 863494901 /nfs/dbraw/zinc/49/49/01/863494901.db2.gz ZMVFHIPFDDCAGL-INIZCTEOSA-N -1 1 302.330 1.945 20 0 DDADMM COc1cc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)ncn1 ZINC001157156253 863619174 /nfs/dbraw/zinc/61/91/74/863619174.db2.gz KAVHVDYROSHLRB-UHFFFAOYSA-N -1 1 321.273 1.570 20 0 DDADMM O=C(Cc1cncc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001329848103 863894503 /nfs/dbraw/zinc/89/45/03/863894503.db2.gz PMZNRGIPSYIKFH-CYBMUJFWSA-N -1 1 322.372 1.697 20 0 DDADMM COc1ccc(C=CC(=O)N(Cc2nn[n-]n2)CC(C)C)cc1 ZINC001153811377 864125934 /nfs/dbraw/zinc/12/59/34/864125934.db2.gz JUKOZQGVKKALEU-TWGQIWQCSA-N -1 1 315.377 1.906 20 0 DDADMM Nc1cc(Cl)cnc1NC(=O)c1cnc2cccnc2c1[O-] ZINC001153851879 864158006 /nfs/dbraw/zinc/15/80/06/864158006.db2.gz RZVPYRPXMWFSQJ-UHFFFAOYSA-N -1 1 315.720 1.806 20 0 DDADMM O=C(N[C@@H]1CN(c2ccc(F)cc2)C1=O)c1ccc(F)c([O-])c1 ZINC001154032818 864344712 /nfs/dbraw/zinc/34/47/12/864344712.db2.gz QOJBVTIBNCHSTE-CYBMUJFWSA-N -1 1 318.279 1.816 20 0 DDADMM CC/C=C(/C)C(=O)N1CSC[C@@H]1C(=O)Nc1c(C)[n-][nH]c1=O ZINC001330817307 864629114 /nfs/dbraw/zinc/62/91/14/864629114.db2.gz MDEJAMLJTLMHPY-DAGBOUIGSA-N -1 1 324.406 1.620 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cnn(CC)c2)[n-]c1Cl ZINC001361531236 881839590 /nfs/dbraw/zinc/83/95/90/881839590.db2.gz XOPGODMCGMOPNC-UHFFFAOYSA-N -1 1 311.729 1.709 20 0 DDADMM CN(C(=O)c1sc(Cl)nc1C(F)(F)F)c1nn[n-]n1 ZINC001331921711 865434257 /nfs/dbraw/zinc/43/42/57/865434257.db2.gz DDZGPMQEZFHNFY-UHFFFAOYSA-N -1 1 312.664 1.605 20 0 DDADMM Cc1cc2c(c(NC3(CO)Cc4ccccc4C3)n1)C(=O)[N-]C2=O ZINC001160044516 865652386 /nfs/dbraw/zinc/65/23/86/865652386.db2.gz PXMVPINRHXHYAE-UHFFFAOYSA-N -1 1 323.352 1.215 20 0 DDADMM CSc1cc(Cl)ncc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160848531 866148470 /nfs/dbraw/zinc/14/84/70/866148470.db2.gz KFJIUDIWWBAFIY-SSDOTTSWSA-N -1 1 316.795 1.404 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])c1ccc2c(c1)C(=O)NCCO2 ZINC001161046732 866318992 /nfs/dbraw/zinc/31/89/92/866318992.db2.gz RYAUVBWLBUGBAG-UHFFFAOYSA-N -1 1 316.288 1.906 20 0 DDADMM CC(=O)N(C)C1CCN(Cc2ccc(/C=C/C(=O)[O-])o2)CC1 ZINC001333123187 866441500 /nfs/dbraw/zinc/44/15/00/866441500.db2.gz YYSUGDUUMVHBBR-AATRIKPKSA-N -1 1 306.362 1.820 20 0 DDADMM CCN(C(=O)c1ccc(F)c([O-])c1)[C@H]1CCS(=O)(=O)C1 ZINC001361626480 882036776 /nfs/dbraw/zinc/03/67/76/882036776.db2.gz QGAHCEUOESVTAC-JTQLQIEISA-N -1 1 301.339 1.181 20 0 DDADMM O=C([O-])Cn1cc(CNCCc2c(F)cccc2Cl)nn1 ZINC001334026543 867246960 /nfs/dbraw/zinc/24/69/60/867246960.db2.gz NCGUZUHRPBRNJW-UHFFFAOYSA-N -1 1 312.732 1.488 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cnn(CC)n2)[n-]c1Cl ZINC001361641913 882070177 /nfs/dbraw/zinc/07/01/77/882070177.db2.gz MAIOUSVYHFTEBQ-UHFFFAOYSA-N -1 1 312.717 1.104 20 0 DDADMM O=C(NCCOCCCO)c1c([O-])cnc2c(F)cccc21 ZINC001334155174 867335481 /nfs/dbraw/zinc/33/54/81/867335481.db2.gz MSUUNGPOYRRMDH-UHFFFAOYSA-N -1 1 308.309 1.208 20 0 DDADMM COCCCCC(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001334177777 867358056 /nfs/dbraw/zinc/35/80/56/867358056.db2.gz ILSXFCCHGSMEOJ-LBPRGKRZSA-N -1 1 323.393 1.181 20 0 DDADMM CCc1nnsc1C(=O)Nc1[n-]c(C(=O)OC)cc1C(C)=O ZINC001361648848 882081551 /nfs/dbraw/zinc/08/15/51/882081551.db2.gz LULHJLBBRUFSKW-UHFFFAOYSA-N -1 1 322.346 1.670 20 0 DDADMM O=C(CC1CCCC1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163222499 868228319 /nfs/dbraw/zinc/22/83/19/868228319.db2.gz MGBWWNSOBKDMLC-UHFFFAOYSA-N -1 1 321.425 1.467 20 0 DDADMM CCC(CC)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163510278 868496584 /nfs/dbraw/zinc/49/65/84/868496584.db2.gz GMRXQGBOQIRKSI-UHFFFAOYSA-N -1 1 309.414 1.323 20 0 DDADMM C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2nncc(=O)[n-]2)C1 ZINC001226168751 882244693 /nfs/dbraw/zinc/24/46/93/882244693.db2.gz AUEPOEXYDCQANQ-NWDGAFQWSA-N -1 1 301.350 1.577 20 0 DDADMM O=C([N-]CCNc1ccc(=O)n(C2CCC2)c1)C(F)(F)F ZINC001164250899 869058719 /nfs/dbraw/zinc/05/87/19/869058719.db2.gz FRMCRRTXGSPCCK-UHFFFAOYSA-N -1 1 303.284 1.664 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H](Oc2[n-]c(=S)ncc2OC)CO1 ZINC001226241918 882301850 /nfs/dbraw/zinc/30/18/50/882301850.db2.gz DJSKPLJBOZZJHN-DTWKUNHWSA-N -1 1 314.363 1.263 20 0 DDADMM CC(C)CC(=O)Nc1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001361766438 882326177 /nfs/dbraw/zinc/32/61/77/882326177.db2.gz ZKFMEFLHRACXES-UHFFFAOYSA-N -1 1 316.365 1.756 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCc2c(Cl)cccc2C1 ZINC001337931783 869698535 /nfs/dbraw/zinc/69/85/35/869698535.db2.gz CCRUBYCLOWOVER-UHFFFAOYSA-N -1 1 316.756 1.211 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCc2c(Cl)cccc2C1 ZINC001337931783 869698541 /nfs/dbraw/zinc/69/85/41/869698541.db2.gz CCRUBYCLOWOVER-UHFFFAOYSA-N -1 1 316.756 1.211 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001337947751 869710158 /nfs/dbraw/zinc/71/01/58/869710158.db2.gz RDSZCISBVKPVRQ-QJJZASRKSA-N -1 1 303.362 1.473 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CC(O)C2)c1cnc(Cl)cc1Cl ZINC001337954668 869712978 /nfs/dbraw/zinc/71/29/78/869712978.db2.gz BRNUPYPCIAZWFP-CJPHDETBSA-N -1 1 323.201 1.580 20 0 DDADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)[C@]12C[C@H]1CCC2 ZINC001166288085 869941724 /nfs/dbraw/zinc/94/17/24/869941724.db2.gz WCLFZOLVONSLCL-BDJLRTHQSA-N -1 1 319.409 1.077 20 0 DDADMM O=C(Nc1c([O-])cc(Br)cc1Cl)[C@@H]1CC(=O)N1 ZINC001297573847 870107982 /nfs/dbraw/zinc/10/79/82/870107982.db2.gz DVFVCHJSWBGOIC-LURJTMIESA-N -1 1 319.542 1.635 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2cc(C)cc(C)c2C1 ZINC001339383302 870469206 /nfs/dbraw/zinc/46/92/06/870469206.db2.gz XHWPJFZOKMLIDY-UHFFFAOYSA-N -1 1 324.392 1.658 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2cc(C)cc(C)c2C1 ZINC001339383302 870469217 /nfs/dbraw/zinc/46/92/17/870469217.db2.gz XHWPJFZOKMLIDY-UHFFFAOYSA-N -1 1 324.392 1.658 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C=Cc1ccc2c(c1)NC(=O)CO2 ZINC001298676255 870670467 /nfs/dbraw/zinc/67/04/67/870670467.db2.gz HZPREMJOLXEXRW-HYXAFXHYSA-N -1 1 314.301 1.407 20 0 DDADMM CC[C@@H](C)CC(=O)NCCC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001317421494 870798709 /nfs/dbraw/zinc/79/87/09/870798709.db2.gz OAYDSURAUAXLFF-OLZOCXBDSA-N -1 1 323.441 1.807 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1nn(C)cc1Cl ZINC001363982598 887663580 /nfs/dbraw/zinc/66/35/80/887663580.db2.gz SAKPLIZAGUKWMS-UHFFFAOYSA-N -1 1 322.799 1.017 20 0 DDADMM C/C=C/C[C@H]1CCCN(c2nnc(-c3noc(=O)[n-]3)n2C)C1 ZINC001340189804 870948364 /nfs/dbraw/zinc/94/83/64/870948364.db2.gz GJZUGAZGBWBFHY-FSIBCCDJSA-N -1 1 304.354 1.341 20 0 DDADMM CSc1nc(CNC(=O)C=Cc2ncccc2C)cc(=O)[n-]1 ZINC001299998426 870951886 /nfs/dbraw/zinc/95/18/86/870951886.db2.gz LNMMLHGEBALZFZ-WAYWQWQTSA-N -1 1 316.386 1.937 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ncc2n1CCCC2 ZINC001301655819 871017231 /nfs/dbraw/zinc/01/72/31/871017231.db2.gz VAAKXSODCMATEB-CYBMUJFWSA-N -1 1 323.360 1.252 20 0 DDADMM C/C=C(/C)C(=O)NCCC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001340515667 871167230 /nfs/dbraw/zinc/16/72/30/871167230.db2.gz VEBQXQQCUMJEID-BASWHVEKSA-N -1 1 317.389 1.770 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H]2CCOC23CCOCC3)c1 ZINC001304829352 871245027 /nfs/dbraw/zinc/24/50/27/871245027.db2.gz KWCYHKGKVOQSOD-AWEZNQCLSA-N -1 1 319.357 1.581 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)C1(c2ccccc2)CC2(CCC2)C1 ZINC001306327691 871337603 /nfs/dbraw/zinc/33/76/03/871337603.db2.gz GZODZEPCUQABBO-UHFFFAOYSA-N -1 1 313.357 1.472 20 0 DDADMM CC[C@H](O)CCC[N-]S(=O)(=O)c1c(F)cc(F)cc1OC ZINC001340987507 871452786 /nfs/dbraw/zinc/45/27/86/871452786.db2.gz LGVPODUXPJIJQJ-JTQLQIEISA-N -1 1 323.361 1.803 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N(C)Cc1cccc(C)c1 ZINC001341771021 871816168 /nfs/dbraw/zinc/81/61/68/871816168.db2.gz GKDMXXUUSKQMKD-UHFFFAOYSA-N -1 1 314.349 1.586 20 0 DDADMM CCC(CC)C(=O)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318774048 872259293 /nfs/dbraw/zinc/25/92/93/872259293.db2.gz RPDOVYXIXLWNRM-LBPRGKRZSA-N -1 1 323.441 1.665 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)[C@@]2(C)CC(C)=NO2)[n-]1 ZINC001361895228 882594952 /nfs/dbraw/zinc/59/49/52/882594952.db2.gz LEDZUZYFNFRDRJ-CQSZACIVSA-N -1 1 307.306 1.497 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CCCN1C(=O)c1ccccc1 ZINC001413848896 873373355 /nfs/dbraw/zinc/37/33/55/873373355.db2.gz UASGEOHMQOXUMH-GFCCVEGCSA-N -1 1 300.318 1.359 20 0 DDADMM CC(C)(C)/C=C\C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207710641 873495703 /nfs/dbraw/zinc/49/57/03/873495703.db2.gz OSENRCPQIOEFSX-ZADCQDASSA-N -1 1 307.398 1.193 20 0 DDADMM CCc1nc(CCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cs1 ZINC001345857502 873536617 /nfs/dbraw/zinc/53/66/17/873536617.db2.gz JVOHGTUBFQOWTG-UHFFFAOYSA-N -1 1 324.431 1.771 20 0 DDADMM CC(C)(C)OC(=O)N1CCOC[C@@H](Oc2cnc[n-]c2=O)C1 ZINC001227049861 882796558 /nfs/dbraw/zinc/79/65/58/882796558.db2.gz XISUGTSMWYUKMQ-JTQLQIEISA-N -1 1 311.338 1.197 20 0 DDADMM COC[C@H](C)OC[C@H](C)OC[C@H](C)Oc1cnc[n-]c1=O ZINC001227049767 882797086 /nfs/dbraw/zinc/79/70/86/882797086.db2.gz XCDFUZVAVRRBSO-SRVKXCTJSA-N -1 1 300.355 1.406 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(Cc2ccco2)C1 ZINC001348898666 874862719 /nfs/dbraw/zinc/86/27/19/874862719.db2.gz FFWAMQQIKQUYKN-UHFFFAOYSA-N -1 1 305.359 1.687 20 0 DDADMM O=C(c1cccc(-n2cccc2)c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001349002730 874917561 /nfs/dbraw/zinc/91/75/61/874917561.db2.gz QKXDOEARSDOTJW-ZDUSSCGKSA-N -1 1 308.345 1.620 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)s1 ZINC001349214446 875040043 /nfs/dbraw/zinc/04/00/43/875040043.db2.gz UPIKRYQMPXLHOZ-DOGVGXBMSA-N -1 1 318.406 1.459 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C=C2CCSCC2)[n-]c1=O ZINC001349447881 875179141 /nfs/dbraw/zinc/17/91/41/875179141.db2.gz WDUKHDNWOSYYQX-UHFFFAOYSA-N -1 1 323.418 1.996 20 0 DDADMM Cc1nc(CN2CCN(C(=O)c3cccc([O-])c3F)CC2)no1 ZINC001362043968 882905539 /nfs/dbraw/zinc/90/55/39/882905539.db2.gz QSMWLDPIMVSIIZ-UHFFFAOYSA-N -1 1 320.324 1.181 20 0 DDADMM COc1cc(CNC(=O)c2cnc(SC)[n-]c2=O)ccn1 ZINC001362045449 882906795 /nfs/dbraw/zinc/90/67/95/882906795.db2.gz OXVIFTJZUWXDHC-UHFFFAOYSA-N -1 1 306.347 1.238 20 0 DDADMM CSc1ncc(C(=O)NC[C@@]2(C)CCCC[C@@H]2O)c(=O)[n-]1 ZINC001362069645 882958477 /nfs/dbraw/zinc/95/84/77/882958477.db2.gz MLSHASCLUNOPNK-IINYFYTJSA-N -1 1 311.407 1.575 20 0 DDADMM COc1nccc(C)c1-c1noc(-c2c[nH]c(=S)[n-]c2=O)n1 ZINC001213868458 876085817 /nfs/dbraw/zinc/08/58/17/876085817.db2.gz JCYHRCDFNBZYJW-UHFFFAOYSA-N -1 1 317.330 1.900 20 0 DDADMM CCOC(=O)c1c(N)nn(-c2cc(F)c([O-])c(Cl)c2)c1N ZINC001214482543 876360325 /nfs/dbraw/zinc/36/03/25/876360325.db2.gz ANTLMUSABFVMCR-UHFFFAOYSA-N -1 1 314.704 1.712 20 0 DDADMM CCNC(=O)c1ccc([N-]c2nsnc2C(=O)OC)c(F)c1 ZINC001214890186 876495947 /nfs/dbraw/zinc/49/59/47/876495947.db2.gz PJUANSMNSMZVSA-UHFFFAOYSA-N -1 1 324.337 1.957 20 0 DDADMM C[C@@H]1CN(C(=O)CCCC2CC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001215368042 876682538 /nfs/dbraw/zinc/68/25/38/876682538.db2.gz YNPOUEDTXGFRGB-ZYHUDNBSSA-N -1 1 307.398 1.027 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)c2ccc(N)c(N)c2)c(C)n1 ZINC001215579297 876753783 /nfs/dbraw/zinc/75/37/83/876753783.db2.gz LXLNNEDYGDQXJF-UHFFFAOYSA-N -1 1 322.390 1.754 20 0 DDADMM COc1cncc([N-]S(=O)(=O)c2ccc(N)c(N)c2)c1C ZINC001215734674 876795092 /nfs/dbraw/zinc/79/50/92/876795092.db2.gz SKFVUMMWRKEVBY-UHFFFAOYSA-N -1 1 308.363 1.364 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1CCC12CCOCC2 ZINC001352576322 876811660 /nfs/dbraw/zinc/81/16/60/876811660.db2.gz QYCGVTLKYBYKTO-JTQLQIEISA-N -1 1 323.418 1.716 20 0 DDADMM Cn1nc(-c2ccccc2)cc1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001352585281 876816935 /nfs/dbraw/zinc/81/69/35/876816935.db2.gz OVSLYMJLKDOSAX-LBPRGKRZSA-N -1 1 323.360 1.230 20 0 DDADMM CCOc1cccc(C=CC(=O)N2CC[C@H](c3nn[n-]n3)C2)c1 ZINC001352585039 876817407 /nfs/dbraw/zinc/81/74/07/876817407.db2.gz MYTLCLXKCMOTND-YBJDMEARSA-N -1 1 313.361 1.628 20 0 DDADMM O=C(CCCOCc1ccccc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001352595663 876823594 /nfs/dbraw/zinc/82/35/94/876823594.db2.gz CGPNKCKMQVLWET-AWEZNQCLSA-N -1 1 315.377 1.513 20 0 DDADMM CSc1ncc(C(=O)N2CCCC[C@H]2c2ncon2)c(=O)[n-]1 ZINC001362116608 883080582 /nfs/dbraw/zinc/08/05/82/883080582.db2.gz CKBRPGFDSWPVTI-VIFPVBQESA-N -1 1 321.362 1.655 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](CCO)C1CCCC1 ZINC001353162190 877155388 /nfs/dbraw/zinc/15/53/88/877155388.db2.gz OXJXJCJJTHTWPT-SNVBAGLBSA-N -1 1 311.407 1.450 20 0 DDADMM CCC(=O)N[C@@]1(C)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001379589787 877459174 /nfs/dbraw/zinc/45/91/74/877459174.db2.gz GCSIKBCAUZSHDC-INIZCTEOSA-N -1 1 318.377 1.190 20 0 DDADMM C[C@H](C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C(C)(C)C ZINC001379868446 878193102 /nfs/dbraw/zinc/19/31/02/878193102.db2.gz FIJXEUHOZJTQAR-KOLCDFICSA-N -1 1 309.414 1.177 20 0 DDADMM CCCC[C@@H](CCC)CNC(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC001357309889 879905274 /nfs/dbraw/zinc/90/52/74/879905274.db2.gz QESBIZSKOQWIIL-DOMZBBRYSA-N -1 1 300.399 1.152 20 0 DDADMM CC(C)OCCC(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001380628196 880076985 /nfs/dbraw/zinc/07/69/85/880076985.db2.gz FYKOCJQPKWAMOS-LBPRGKRZSA-N -1 1 323.393 1.179 20 0 DDADMM CN(C)C(=O)[C@]12C[C@H]1CCN2C(=O)c1cc([O-])cc(F)c1F ZINC001276826703 880185552 /nfs/dbraw/zinc/18/55/52/880185552.db2.gz BZFLACAUIHIUJB-GLEZIHRCSA-N -1 1 310.300 1.363 20 0 DDADMM CCCSCC(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358588605 880570590 /nfs/dbraw/zinc/57/05/90/880570590.db2.gz PSQVPZGTJBPNEI-LLVKDONJSA-N -1 1 323.418 1.261 20 0 DDADMM C[C@H]1C(=O)Nc2ncnc(-c3ccc(C4(C(=O)[O-])CC4)cc3)c21 ZINC001222662587 880626493 /nfs/dbraw/zinc/62/64/93/880626493.db2.gz PAATYQPJQHCNQI-SECBINFHSA-N -1 1 309.325 1.720 20 0 DDADMM O=C(c1cccnc1Cl)N1CCC(OCc2nn[n-]n2)CC1 ZINC001223030502 880790354 /nfs/dbraw/zinc/79/03/54/880790354.db2.gz XZFGVSAAWAKXEA-UHFFFAOYSA-N -1 1 322.756 1.070 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(Cc2ccc(F)cn2)CC1 ZINC001381075921 880968720 /nfs/dbraw/zinc/96/87/20/880968720.db2.gz BQLAYYOZZYAZBU-UHFFFAOYSA-N -1 1 316.336 1.279 20 0 DDADMM CC(C)C[C@H](Oc1[n-]c(=O)nc2c1COC2)C(=O)OC(C)C ZINC001227691523 883134405 /nfs/dbraw/zinc/13/44/05/883134405.db2.gz VOVWZXUJVVFYLX-LBPRGKRZSA-N -1 1 310.350 1.958 20 0 DDADMM CCc1nsc(N2CCN(C(=O)c3ccc([O-])cn3)CC2)n1 ZINC001362178098 883218258 /nfs/dbraw/zinc/21/82/58/883218258.db2.gz SVLKDRQXFJNBPJ-UHFFFAOYSA-N -1 1 319.390 1.164 20 0 DDADMM C[C@H](CN(C)C(=O)C1(C2CC2)CC1)NC(=O)c1ncccc1[O-] ZINC001382041014 883229811 /nfs/dbraw/zinc/22/98/11/883229811.db2.gz KTECRSFQSFYTJD-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2CCCc3c[nH]nc32)c(=O)[n-]1 ZINC001362216361 883322950 /nfs/dbraw/zinc/32/29/50/883322950.db2.gz QIUMDWIBQQTKDU-VIFPVBQESA-N -1 1 305.363 1.435 20 0 DDADMM COc1ccc2c(c1)[C@@H](NC(=O)CCc1nn[n-]n1)CCC2 ZINC001362267720 883444808 /nfs/dbraw/zinc/44/48/08/883444808.db2.gz JUFNYOXTJCJATA-ZDUSSCGKSA-N -1 1 301.350 1.335 20 0 DDADMM CNC(=O)Cn1nc(NC(=O)c2ccc(F)c([O-])c2)cc1C ZINC001362272474 883454908 /nfs/dbraw/zinc/45/49/08/883454908.db2.gz DVHTURYZRSXHJE-UHFFFAOYSA-N -1 1 306.297 1.035 20 0 DDADMM COC(=O)C[C@@H]1CSCCN1C(=O)c1ccc([O-])cc1F ZINC001362334210 883589231 /nfs/dbraw/zinc/58/92/31/883589231.db2.gz GCTWBYQNZYTQCS-SECBINFHSA-N -1 1 313.350 1.652 20 0 DDADMM COC(=O)c1n[nH]cc1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC001362364974 883650376 /nfs/dbraw/zinc/65/03/76/883650376.db2.gz HFNHFFMSVWIHGR-UHFFFAOYSA-N -1 1 309.709 1.737 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC[C@@H](c2nnc(C3CC3)[nH]2)C1 ZINC001362392990 883706136 /nfs/dbraw/zinc/70/61/36/883706136.db2.gz KKHYLPHJFLKXLO-LLVKDONJSA-N -1 1 313.361 1.803 20 0 DDADMM CC[C@@H](C)CC[C@H](O)CC(=O)NC(CC)(CC)c1nn[n-]n1 ZINC001362428039 883785867 /nfs/dbraw/zinc/78/58/67/883785867.db2.gz VDTKBXFDQXJZFW-NEPJUHHUSA-N -1 1 311.430 1.909 20 0 DDADMM COc1ccc2c(c1)OC[C@H](NC(=O)c1ccc([O-])cn1)C2 ZINC001362428331 883788214 /nfs/dbraw/zinc/78/82/14/883788214.db2.gz CQAQDYIFHSEZJK-LLVKDONJSA-N -1 1 300.314 1.529 20 0 DDADMM Cc1c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)[nH]nc1C(F)(F)F ZINC001362450794 883840037 /nfs/dbraw/zinc/84/00/37/883840037.db2.gz FIOWPBWAMDHLFN-YFKPBYRVSA-N -1 1 317.275 1.126 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)CCCC(F)(F)F ZINC001362498125 883943803 /nfs/dbraw/zinc/94/38/03/883943803.db2.gz STQICPGMEMJJKJ-LURJTMIESA-N -1 1 323.271 1.747 20 0 DDADMM O=C([O-])CC[C@@H](O)C(=O)Oc1cc(O)c(Cl)cc1Cl ZINC001229411820 883968119 /nfs/dbraw/zinc/96/81/19/883968119.db2.gz VGHZOWUFPRWGEG-SSDOTTSWSA-N -1 1 309.101 1.830 20 0 DDADMM CC(C)Cn1ncc(NC(=O)CCCc2nn[n-]n2)c1C1CC1 ZINC001362508190 883969706 /nfs/dbraw/zinc/96/97/06/883969706.db2.gz FXWQOIFNMQIZLV-UHFFFAOYSA-N -1 1 317.397 1.891 20 0 DDADMM C[C@@H](OC1CCCCC1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362534959 884028890 /nfs/dbraw/zinc/02/88/90/884028890.db2.gz CNAIDHGXOVQBEQ-VXGBXAGGSA-N -1 1 307.398 1.644 20 0 DDADMM O=C(c1ccc(Cl)c(O)c1)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC001362588033 884162041 /nfs/dbraw/zinc/16/20/41/884162041.db2.gz WKPZQODBEQJARJ-VIFPVBQESA-N -1 1 322.752 1.889 20 0 DDADMM C[C@H]1OCC[C@@]12CN(C(=O)c1ccc(F)c([O-])c1)C[C@@H](C)O2 ZINC001362621455 884242869 /nfs/dbraw/zinc/24/28/69/884242869.db2.gz PRIXDTAZINMJPR-GLKRBJQHSA-N -1 1 309.337 1.940 20 0 DDADMM CC(C)OCc1nc([C@H](C)NC(=O)c2ccc([O-])cn2)no1 ZINC001362673094 884379379 /nfs/dbraw/zinc/37/93/79/884379379.db2.gz PKFDJRKMTKTPMH-VIFPVBQESA-N -1 1 306.322 1.586 20 0 DDADMM O=C(CCOc1ccc(F)cc1)NCc1nc([O-])cc(=O)[nH]1 ZINC001362716935 884474830 /nfs/dbraw/zinc/47/48/30/884474830.db2.gz FXUANHMDTJODAK-UHFFFAOYSA-N -1 1 307.281 1.112 20 0 DDADMM CCN1C[C@@H](NC(=O)c2c([O-])cnc3c(F)cccc32)CC1=O ZINC001362734178 884507904 /nfs/dbraw/zinc/50/79/04/884507904.db2.gz BLYXKAGONZZNCL-VIFPVBQESA-N -1 1 317.320 1.430 20 0 DDADMM Cc1ccc(O)cc1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001362807554 884689346 /nfs/dbraw/zinc/68/93/46/884689346.db2.gz ICYVMJHHBXNBKK-UHFFFAOYSA-N -1 1 315.329 1.199 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1C[C@H](C)n2ccnc21 ZINC001362827017 884735225 /nfs/dbraw/zinc/73/52/25/884735225.db2.gz WWQJOIMYHAOIBB-IONNQARKSA-N -1 1 319.390 1.845 20 0 DDADMM CCCn1cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c(C)n1 ZINC001362829396 884741800 /nfs/dbraw/zinc/74/18/00/884741800.db2.gz CYFUJQQHDKTNAK-UHFFFAOYSA-N -1 1 305.386 1.413 20 0 DDADMM CC(=O)c1ccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)cc1 ZINC001362830752 884743865 /nfs/dbraw/zinc/74/38/65/884743865.db2.gz LYRGXVIFCZPZLQ-UHFFFAOYSA-N -1 1 301.350 1.701 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cccc([O-])c1F)c1ccc(O)cc1 ZINC001362843036 884777485 /nfs/dbraw/zinc/77/74/85/884777485.db2.gz ABWUBLISBGKCBS-CQSZACIVSA-N -1 1 319.288 1.881 20 0 DDADMM COC[C@@H](NC(=O)c1cc2c(s1)CCCCC2)c1nn[n-]n1 ZINC001362904425 884942566 /nfs/dbraw/zinc/94/25/66/884942566.db2.gz CLXQKSLVXYYCGY-SNVBAGLBSA-N -1 1 321.406 1.648 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1CCCN1C(=O)c1cnncc1[O-] ZINC001362933610 885020217 /nfs/dbraw/zinc/02/02/17/885020217.db2.gz SIMUNAJIDVUMQV-SNVBAGLBSA-N -1 1 322.365 1.312 20 0 DDADMM CSc1ncc(C(=O)N2C[C@]3(CCCO3)[C@@H]2C2CC2)c(=O)[n-]1 ZINC001363058751 885356718 /nfs/dbraw/zinc/35/67/18/885356718.db2.gz OIJOIRBGCYWDOL-XHDPSFHLSA-N -1 1 321.402 1.688 20 0 DDADMM CCCC[C@H](CNC(=O)[C@H](C)OC)NC(=O)c1ncccc1[O-] ZINC001383223731 885433655 /nfs/dbraw/zinc/43/36/55/885433655.db2.gz MZHPDSSIDNFGNN-NWDGAFQWSA-N -1 1 323.393 1.227 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2cnc(SC)[n-]c2=O)o1 ZINC001363106301 885479236 /nfs/dbraw/zinc/47/92/36/885479236.db2.gz CKNYHWVDSOEUFC-UHFFFAOYSA-N -1 1 323.330 1.214 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(NC(N)=O)cc1)c1nn[n-]n1 ZINC001363135018 885551613 /nfs/dbraw/zinc/55/16/13/885551613.db2.gz GRTBLKUZYGIYQG-UHFFFAOYSA-N -1 1 317.353 1.136 20 0 DDADMM CCCOCC(=O)N[C@H](C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001288516626 912949462 /nfs/dbraw/zinc/94/94/62/912949462.db2.gz YZTWOCMTZFWBLT-NEPJUHHUSA-N -1 1 323.393 1.227 20 0 DDADMM COC(=O)c1c(C)c(NC(=O)c2cnncc2[O-])ccc1F ZINC001363183887 885661683 /nfs/dbraw/zinc/66/16/83/885661683.db2.gz JSBJTEOGEGXVKE-UHFFFAOYSA-N -1 1 305.265 1.669 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ocnc2C(F)(F)F)c[n-]1 ZINC001363189149 885671898 /nfs/dbraw/zinc/67/18/98/885671898.db2.gz DIQYKBWYUHQEEY-UHFFFAOYSA-N -1 1 318.211 1.846 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@H](CNC(=O)c2cncc([O-])c2)C1 ZINC001363260737 885846044 /nfs/dbraw/zinc/84/60/44/885846044.db2.gz VDLHYMQFNHNMJZ-UMSPYCQHSA-N -1 1 321.377 1.820 20 0 DDADMM COc1ccc(CN2CCC3(CCOC3=O)CC2)cc1C(=O)[O-] ZINC001231755149 885857716 /nfs/dbraw/zinc/85/77/16/885857716.db2.gz JFGSOAXBRWWKSP-UHFFFAOYSA-N -1 1 319.357 1.923 20 0 DDADMM COc1ccc(CN2CCC[C@H]2C(=O)N(C)C)cc1C(=O)[O-] ZINC001231753434 885857844 /nfs/dbraw/zinc/85/78/44/885857844.db2.gz GUUYBBNMWVEWER-ZDUSSCGKSA-N -1 1 306.362 1.446 20 0 DDADMM COc1ccc(CN2CCC[C@@H](n3ccnn3)C2)cc1C(=O)[O-] ZINC001231755961 885859796 /nfs/dbraw/zinc/85/97/96/885859796.db2.gz FXKPTOIMYQJNHP-CYBMUJFWSA-N -1 1 316.361 1.822 20 0 DDADMM CC(=O)N1CCC[C@@H](N(C)Cc2ccccc2OCC(=O)[O-])C1 ZINC001231794262 885882399 /nfs/dbraw/zinc/88/23/99/885882399.db2.gz ZDIQFRIIHQHMDM-OAHLLOKOSA-N -1 1 320.389 1.593 20 0 DDADMM COc1ccc(OC)c(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001363275409 885883023 /nfs/dbraw/zinc/88/30/23/885883023.db2.gz GOQINUYIALPUFS-UHFFFAOYSA-N -1 1 319.365 1.515 20 0 DDADMM COc1ccc(C[C@@](C)(CO)NC(=O)c2ccc([O-])cn2)cc1 ZINC001363386795 886195130 /nfs/dbraw/zinc/19/51/30/886195130.db2.gz OWCUOURVXGRELT-KRWDZBQOSA-N -1 1 316.357 1.519 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2occc2C)n[n-]1 ZINC001363476609 886441369 /nfs/dbraw/zinc/44/13/69/886441369.db2.gz QDRQXLJMKYZVIA-SECBINFHSA-N -1 1 306.322 1.303 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2occc2C)[n-]1 ZINC001363476609 886441381 /nfs/dbraw/zinc/44/13/81/886441381.db2.gz QDRQXLJMKYZVIA-SECBINFHSA-N -1 1 306.322 1.303 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2occc2C)n1 ZINC001363476609 886441392 /nfs/dbraw/zinc/44/13/92/886441392.db2.gz QDRQXLJMKYZVIA-SECBINFHSA-N -1 1 306.322 1.303 20 0 DDADMM Nc1nnc([C@@H]2CCCN(C(=O)c3ccc([O-])c(F)c3)C2)o1 ZINC001363483898 886458735 /nfs/dbraw/zinc/45/87/35/886458735.db2.gz GKYQJLCYEAZVKA-SECBINFHSA-N -1 1 306.297 1.516 20 0 DDADMM CC[C@H](Oc1ccccc1C)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363492044 886480320 /nfs/dbraw/zinc/48/03/20/886480320.db2.gz WGQMOSRDSLIRKR-NSHDSACASA-N -1 1 317.345 1.670 20 0 DDADMM COC(=O)c1cnc(CNC(=O)c2ccc(F)c([O-])c2)s1 ZINC001363495412 886486772 /nfs/dbraw/zinc/48/67/72/886486772.db2.gz CORYAZCQCRXKHW-UHFFFAOYSA-N -1 1 310.306 1.704 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)C(C)(F)F ZINC001363561149 886638373 /nfs/dbraw/zinc/63/83/73/886638373.db2.gz RZNQKYCMIFIADI-SSDOTTSWSA-N -1 1 302.281 1.300 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)C(C)(F)F ZINC001363561149 886638380 /nfs/dbraw/zinc/63/83/80/886638380.db2.gz RZNQKYCMIFIADI-SSDOTTSWSA-N -1 1 302.281 1.300 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)C(C)(F)F ZINC001363561149 886638390 /nfs/dbraw/zinc/63/83/90/886638390.db2.gz RZNQKYCMIFIADI-SSDOTTSWSA-N -1 1 302.281 1.300 20 0 DDADMM CC[C@@H]1OCC[C@H]1C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001363599829 886734339 /nfs/dbraw/zinc/73/43/39/886734339.db2.gz CUZUIFQLICPWMW-ZJUUUORDSA-N -1 1 309.366 1.357 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2cc(Cl)ncc2[O-])CCO1 ZINC001233035029 886745240 /nfs/dbraw/zinc/74/52/40/886745240.db2.gz WFDVMZNULDWTED-LLVKDONJSA-N -1 1 300.742 1.205 20 0 DDADMM COC(=O)[C@@H]1CN(Cc2cc(Cl)ncc2[O-])CCCO1 ZINC001233038161 886746807 /nfs/dbraw/zinc/74/68/07/886746807.db2.gz OXOFYNGBZKJVBV-NSHDSACASA-N -1 1 300.742 1.205 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H](O)c1ccccn1 ZINC001363606079 886748980 /nfs/dbraw/zinc/74/89/80/886748980.db2.gz HGIDBUWNTIOYDH-AWEZNQCLSA-N -1 1 308.284 1.458 20 0 DDADMM COC(=O)c1c(NC(=O)CCC2(C)CC2)n[n-]c1OCCO ZINC001363733748 887100105 /nfs/dbraw/zinc/10/01/05/887100105.db2.gz LPZVMPRBDMVHSH-UHFFFAOYSA-N -1 1 311.338 1.086 20 0 DDADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)CCC1(C)CC1 ZINC001363733748 887100114 /nfs/dbraw/zinc/10/01/14/887100114.db2.gz LPZVMPRBDMVHSH-UHFFFAOYSA-N -1 1 311.338 1.086 20 0 DDADMM Cn1nccc1[C@H]1CN(C(=O)c2ccc(F)c([O-])c2)CCO1 ZINC001363759303 887156330 /nfs/dbraw/zinc/15/63/30/887156330.db2.gz JOUZEQMTDUOWBP-CQSZACIVSA-N -1 1 305.309 1.479 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)C1C[C@H]2CCCC[C@@H](C1)C2=O ZINC001363821733 887317403 /nfs/dbraw/zinc/31/74/03/887317403.db2.gz ONLDIZYLJSQZHJ-FOSCPWQOSA-N -1 1 317.393 1.481 20 0 DDADMM CCOCC(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001384351359 887317918 /nfs/dbraw/zinc/31/79/18/887317918.db2.gz YDTLBRNUDAFLDG-LBPRGKRZSA-N -1 1 323.393 1.084 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCCCCCCC2)[n-]n1 ZINC001363861800 887417784 /nfs/dbraw/zinc/41/77/84/887417784.db2.gz NCATZSVZSXPUGQ-UHFFFAOYSA-N -1 1 315.395 1.541 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCCCCCCC2)n[n-]1 ZINC001363861800 887417787 /nfs/dbraw/zinc/41/77/87/887417787.db2.gz NCATZSVZSXPUGQ-UHFFFAOYSA-N -1 1 315.395 1.541 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1csnc1OC)[C@@H]1CCCO1 ZINC001363965586 887629062 /nfs/dbraw/zinc/62/90/62/887629062.db2.gz FZLMEQHEPFYICU-BDAKNGLRSA-N -1 1 306.409 1.388 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C1CC1)C(C)(C)CO ZINC001364001445 887700537 /nfs/dbraw/zinc/70/05/37/887700537.db2.gz GJUYKCUPJGQLTR-SNVBAGLBSA-N -1 1 320.436 1.227 20 0 DDADMM COc1cc(CC[N-]S(=O)(=O)c2cc(C)ns2)ccn1 ZINC001364029430 887756559 /nfs/dbraw/zinc/75/65/59/887756559.db2.gz ZHDPDVFCYYIDGT-UHFFFAOYSA-N -1 1 313.404 1.376 20 0 DDADMM Cc1cc(=O)[nH]c(N2CCC([N-]C(=O)C(F)(F)F)CC2)n1 ZINC001364055394 887811513 /nfs/dbraw/zinc/81/15/13/887811513.db2.gz RGEPBMUECVTLAV-UHFFFAOYSA-N -1 1 304.272 1.138 20 0 DDADMM NC(=O)c1nc[n-]c(=O)c1O[C@H]1C[C@@H](OCc2ccccc2)C1 ZINC001234472564 888003457 /nfs/dbraw/zinc/00/34/57/888003457.db2.gz USSCMWRWDPHYAO-TXEJJXNPSA-N -1 1 315.329 1.408 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@H](Oc2c(C(N)=O)nc(C)[n-]c2=O)C1 ZINC001234477574 888010098 /nfs/dbraw/zinc/01/00/98/888010098.db2.gz NQIJHYMNIAJTAJ-ZJUUUORDSA-N -1 1 323.349 1.090 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)CCC1CC1 ZINC001384862948 888122168 /nfs/dbraw/zinc/12/21/68/888122168.db2.gz FSMMFDCTKMWGJV-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM CCOC(=O)c1nc([C@H](C)Nc2cc(C)nc(OC)n2)n[n-]1 ZINC001364209877 888149239 /nfs/dbraw/zinc/14/92/39/888149239.db2.gz DSEPDVDGOAMJNC-QMMMGPOBSA-N -1 1 306.326 1.262 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)Nc2cc(C)nc(OC)n2)n1 ZINC001364209877 888149248 /nfs/dbraw/zinc/14/92/48/888149248.db2.gz DSEPDVDGOAMJNC-QMMMGPOBSA-N -1 1 306.326 1.262 20 0 DDADMM CC[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001384964945 888281654 /nfs/dbraw/zinc/28/16/54/888281654.db2.gz YWXWYRLGGSSHIC-RNJOBUHISA-N -1 1 317.389 1.695 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC(OC[C@H]2CCCO2)CC1 ZINC001364475289 888720974 /nfs/dbraw/zinc/72/09/74/888720974.db2.gz AXPTZBNBZLMWTO-CQSZACIVSA-N -1 1 306.362 1.587 20 0 DDADMM CC(C)c1ccc(OCC(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001364663441 889151938 /nfs/dbraw/zinc/15/19/38/889151938.db2.gz PLKGEMQJIUOFAP-UHFFFAOYSA-N -1 1 315.377 1.898 20 0 DDADMM CN(C)C(=O)[C@]12C[C@H]1CCN2Cc1ccc(OCC(=O)[O-])cc1 ZINC001278022461 889393175 /nfs/dbraw/zinc/39/31/75/889393175.db2.gz UZTDVVVGYKYJPK-DYVFJYSZSA-N -1 1 318.373 1.203 20 0 DDADMM CC(C)[C@@H](F)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001385701981 889600354 /nfs/dbraw/zinc/60/03/54/889600354.db2.gz IGFHBIXZAKPKIF-DGCLKSJQSA-N -1 1 323.368 1.406 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1CC(N(C)[C@H]2CCOC2)C1 ZINC001238144636 890069163 /nfs/dbraw/zinc/06/91/63/890069163.db2.gz XVHOEUGVJPKAAH-HNNXBMFYSA-N -1 1 304.390 1.598 20 0 DDADMM N#CN1CCN(Cc2c(Br)ccc([O-])c2F)CC1 ZINC001238308597 890164335 /nfs/dbraw/zinc/16/43/35/890164335.db2.gz GKSXHQBDDQYHBQ-UHFFFAOYSA-N -1 1 314.158 1.892 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H]2[C@@H](C1)[C@@H]2C(=O)Nc1c[n-][nH]c1=O ZINC001365206474 890347033 /nfs/dbraw/zinc/34/70/33/890347033.db2.gz GEYGLXDKJQGAFV-IQJOONFLSA-N -1 1 322.365 1.557 20 0 DDADMM CCCC[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001386164338 890456128 /nfs/dbraw/zinc/45/61/28/890456128.db2.gz JASHVQPXLVQMCI-JHJVBQTASA-N -1 1 319.405 1.991 20 0 DDADMM CCC[C@@](C)(CC)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001374625935 913458844 /nfs/dbraw/zinc/45/88/44/913458844.db2.gz USUHHRYHXPIHAT-QGZVFWFLSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H](C)C(C)(F)F ZINC001386244393 890634325 /nfs/dbraw/zinc/63/43/25/890634325.db2.gz VPIHDJWKEAHSEA-IUCAKERBSA-N -1 1 315.320 1.313 20 0 DDADMM Cc1cc2c(c(-c3ccc(C(=O)N(C)C)cc3)n1)C(=O)[N-]C2=O ZINC001239796343 890742416 /nfs/dbraw/zinc/74/24/16/890742416.db2.gz GAWQVMYDCTXQDY-UHFFFAOYSA-N -1 1 309.325 1.642 20 0 DDADMM Cc1cc2c(c(-c3cnn(C4CCOCC4)c3)n1)C(=O)[N-]C2=O ZINC001240073688 890794641 /nfs/dbraw/zinc/79/46/41/890794641.db2.gz QZXXIPXYMHZRKP-UHFFFAOYSA-N -1 1 312.329 1.489 20 0 DDADMM CC(C)(CNC(N)=O)[N-]S(=O)(=O)c1sccc1Cl ZINC001365467904 890849653 /nfs/dbraw/zinc/84/96/53/890849653.db2.gz NKSMOPNCHSOMFL-UHFFFAOYSA-N -1 1 311.816 1.127 20 0 DDADMM NS(=O)(=O)c1ccc(-c2cc(C(F)(F)F)ccc2[O-])nn1 ZINC001242134054 891348705 /nfs/dbraw/zinc/34/87/05/891348705.db2.gz QBHKTCYKABQSMG-UHFFFAOYSA-N -1 1 319.264 1.515 20 0 DDADMM CCCCC(=O)NC[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001386653435 891411387 /nfs/dbraw/zinc/41/13/87/891411387.db2.gz XBUMCVOHMKGWOQ-JTQLQIEISA-N -1 1 320.393 1.484 20 0 DDADMM CC(=O)c1ccc(NC(=O)N2CCC(c3nn[n-]n3)CC2)nc1 ZINC001365777804 891528418 /nfs/dbraw/zinc/52/84/18/891528418.db2.gz BPEJFWYQGUQYHW-UHFFFAOYSA-N -1 1 315.337 1.209 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CCc1ccco1 ZINC001386744601 891614525 /nfs/dbraw/zinc/61/45/25/891614525.db2.gz SCOVVJSGPVCIPF-LLVKDONJSA-N -1 1 317.345 1.248 20 0 DDADMM CCC(=O)N1CCCN(C(=O)c2cc(C)cc(C=O)c2[O-])CC1 ZINC001291877737 913590983 /nfs/dbraw/zinc/59/09/83/913590983.db2.gz RJAYBRUDWDQBOC-UHFFFAOYSA-N -1 1 318.373 1.598 20 0 DDADMM COc1ncnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c1C ZINC001244791647 891923269 /nfs/dbraw/zinc/92/32/69/891923269.db2.gz ZATFGGQIJAQSGP-UHFFFAOYSA-N -1 1 324.362 1.236 20 0 DDADMM COc1ncc(-c2ccnc3c2CCN3)cc1[N-]S(C)(=O)=O ZINC001244795949 891932196 /nfs/dbraw/zinc/93/21/96/891932196.db2.gz NJJJZSFGGIACGH-UHFFFAOYSA-N -1 1 320.374 1.492 20 0 DDADMM NC(=O)[C@@H]1CCCN1c1cc(-c2cc(Cl)ccc2[O-])ncn1 ZINC001245049809 891980810 /nfs/dbraw/zinc/98/08/10/891980810.db2.gz NFANOMRYXHMAGN-LBPRGKRZSA-N -1 1 318.764 1.957 20 0 DDADMM CC1(C(=O)N(CCNC(=O)c2ncccc2[O-])C2CC2)CCC1 ZINC001387481311 893199282 /nfs/dbraw/zinc/19/92/82/893199282.db2.gz KBPLSAWNDAESJA-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)[C@H]1CC[C@H](C(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)CC1 ZINC001366346216 893249091 /nfs/dbraw/zinc/24/90/91/893249091.db2.gz RLERYVNIWNZEDU-AVGNSLFASA-N -1 1 323.441 1.567 20 0 DDADMM COc1c(C)cccc1[N-]S(=O)(=O)c1ccc(N)c(N)c1 ZINC001248529326 893648023 /nfs/dbraw/zinc/64/80/23/893648023.db2.gz MVTVLWMSRPSSEN-UHFFFAOYSA-N -1 1 307.375 1.969 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cnn(CC(F)(F)F)c1 ZINC001248652502 893668114 /nfs/dbraw/zinc/66/81/14/893668114.db2.gz FJHUSELITHFBBS-UHFFFAOYSA-N -1 1 306.273 1.556 20 0 DDADMM O=C(CNC(=O)c1cnncc1[O-])Nc1cccc2cccnc21 ZINC001292575031 913818217 /nfs/dbraw/zinc/81/82/17/913818217.db2.gz YHDVFPLYFYZBNN-UHFFFAOYSA-N -1 1 323.312 1.099 20 0 DDADMM CC[C@@H](CNC(=O)c1cc[nH]c1C)NC(=O)c1ncccc1[O-] ZINC001388043086 894435775 /nfs/dbraw/zinc/43/57/75/894435775.db2.gz NHSAHGHLBAXJAO-NSHDSACASA-N -1 1 316.361 1.362 20 0 DDADMM O=C([N-]C1CCN(C[C@H](O)C(F)(F)F)CC1)C(F)(F)F ZINC001250972927 894533411 /nfs/dbraw/zinc/53/34/11/894533411.db2.gz SXKYPCFIKBBZNT-ZETCQYMHSA-N -1 1 308.222 1.053 20 0 DDADMM CCc1cccnc1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC000347509705 894689085 /nfs/dbraw/zinc/68/90/85/894689085.db2.gz APAIHKZSTSLSPX-UHFFFAOYSA-N -1 1 324.344 1.988 20 0 DDADMM Cn1cnc2cc([N-]S(=O)(=O)c3ccc(F)cc3)cnc21 ZINC001251608960 894749408 /nfs/dbraw/zinc/74/94/08/894749408.db2.gz JSTWYWBJMJFARP-UHFFFAOYSA-N -1 1 306.322 1.908 20 0 DDADMM NS(=O)(=O)c1cc2nc(-c3c[nH]ccc3=O)[n-]c2cc1Cl ZINC001251666660 894765701 /nfs/dbraw/zinc/76/57/01/894765701.db2.gz UNPSJZGUNVMZCW-UHFFFAOYSA-N -1 1 324.749 1.219 20 0 DDADMM CC(=O)NC[C@H](CC(C)C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001388526890 895390712 /nfs/dbraw/zinc/39/07/12/895390712.db2.gz IDWMNPJMVRUMPI-LBPRGKRZSA-N -1 1 320.393 1.340 20 0 DDADMM O=[P@]([O-])(O)CCCCNS(=O)(=O)/C=C/c1ccccc1 ZINC001253071337 895627512 /nfs/dbraw/zinc/62/75/12/895627512.db2.gz DCILNZMVGDHQTB-DHZHZOJOSA-N -1 1 319.319 1.535 20 0 DDADMM CCCS(=O)(=O)[N-][C@@H](COC)C(=O)OCc1ccccc1 ZINC001253739535 896051524 /nfs/dbraw/zinc/05/15/24/896051524.db2.gz IYWGLLWFJDKUTA-ZDUSSCGKSA-N -1 1 315.391 1.074 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H]([NH2+]CCP(=O)([O-])[O-])C1 ZINC001255897519 897152963 /nfs/dbraw/zinc/15/29/63/897152963.db2.gz CZWKSNWITQLZHI-JTQLQIEISA-N -1 1 308.315 1.153 20 0 DDADMM CC[C@@H](C)[C@H](C)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001389647365 897716169 /nfs/dbraw/zinc/71/61/69/897716169.db2.gz CEVNITVCFOAUOD-NEPJUHHUSA-N -1 1 319.405 1.658 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](O)C1)c1cc(Cl)c(Cl)s1 ZINC001257509011 897868849 /nfs/dbraw/zinc/86/88/49/897868849.db2.gz YEDSSMAPRSHRRY-SYDPRGILSA-N -1 1 302.204 1.857 20 0 DDADMM O=S(=O)([N-]C1(CO)COC1)c1cc(Cl)c(Cl)s1 ZINC001257515182 897870496 /nfs/dbraw/zinc/87/04/96/897870496.db2.gz FUEFHVBGVDDLJR-UHFFFAOYSA-N -1 1 318.203 1.095 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1c[nH]c(Cl)cc1=O ZINC001258530524 898239723 /nfs/dbraw/zinc/23/97/23/898239723.db2.gz GTAHFIIOUUUVTM-UHFFFAOYSA-N -1 1 303.727 1.846 20 0 DDADMM O=S(=O)([N-]C1(CO)CCSCC1)c1cc(F)ccc1F ZINC001258949960 898376824 /nfs/dbraw/zinc/37/68/24/898376824.db2.gz CVVLRFAHYIWEBG-UHFFFAOYSA-N -1 1 323.386 1.501 20 0 DDADMM O=C1CCC[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CN1 ZINC001259042974 898437942 /nfs/dbraw/zinc/43/79/42/898437942.db2.gz BEHFTRZJWHBJSP-ZETCQYMHSA-N -1 1 322.308 1.051 20 0 DDADMM COC(C)(C[N-]S(=O)(=O)c1ccc(F)c(F)c1F)OC ZINC001259082063 898458983 /nfs/dbraw/zinc/45/89/83/898458983.db2.gz IXCUKMBYDHEQIT-UHFFFAOYSA-N -1 1 313.297 1.391 20 0 DDADMM CCCC1(C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)CC1 ZINC001390049451 898572102 /nfs/dbraw/zinc/57/21/02/898572102.db2.gz BYVFGCLIXXEKNV-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM O=C1CCC[C@@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)CN1 ZINC001259460884 898679932 /nfs/dbraw/zinc/67/99/32/898679932.db2.gz WCMMTZVHWMLERT-SECBINFHSA-N -1 1 320.773 1.426 20 0 DDADMM Cc1cnc([N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)c(=O)[nH]1 ZINC001259505723 898707144 /nfs/dbraw/zinc/70/71/44/898707144.db2.gz XXLBAKYHOVURFY-UHFFFAOYSA-N -1 1 319.264 1.296 20 0 DDADMM COCCS(=O)(=O)[N-]c1cncc(OC(F)(F)F)c1 ZINC001259967112 898992115 /nfs/dbraw/zinc/99/21/15/898992115.db2.gz CYTRALYWPOREAA-UHFFFAOYSA-N -1 1 300.258 1.368 20 0 DDADMM CNC(=O)CC[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260204745 899087850 /nfs/dbraw/zinc/08/78/50/899087850.db2.gz JJKOWABEUMPPFY-UHFFFAOYSA-N -1 1 312.725 1.033 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cccc3nccn32)cc1 ZINC001260220762 899092568 /nfs/dbraw/zinc/09/25/68/899092568.db2.gz MBRJIARTBRONLJ-UHFFFAOYSA-N -1 1 317.326 1.833 20 0 DDADMM CC[C@@H](CNC(=O)CCC1CC1)NC(=O)c1ncccc1[O-] ZINC001390316159 899149382 /nfs/dbraw/zinc/14/93/82/899149382.db2.gz MIXYGILWIMLDCC-LBPRGKRZSA-N -1 1 305.378 1.602 20 0 DDADMM CCC(=O)c1ccc([N-]S(=O)(=O)CS(C)(=O)=O)cc1 ZINC001260593053 899179708 /nfs/dbraw/zinc/17/97/08/899179708.db2.gz VLHNCAREPYABIV-UHFFFAOYSA-N -1 1 305.377 1.023 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-]c1ccccc1C(=O)OCC(C)=O ZINC001260741268 899242116 /nfs/dbraw/zinc/24/21/16/899242116.db2.gz DTQHFAHCLOTLIH-NSHDSACASA-N -1 1 313.375 1.973 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2ccnc(C(N)=O)c2)c1C ZINC001260992573 899316424 /nfs/dbraw/zinc/31/64/24/899316424.db2.gz XUMWNYVECNAJGY-UHFFFAOYSA-N -1 1 305.359 1.020 20 0 DDADMM Cc1ncccc1CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001262183278 900004118 /nfs/dbraw/zinc/00/41/18/900004118.db2.gz QAXPRPZMZTVDRQ-HNNXBMFYSA-N -1 1 308.345 1.351 20 0 DDADMM COC1(OC)CC(NC(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC001263745148 900691682 /nfs/dbraw/zinc/69/16/82/900691682.db2.gz ZUQOKPMOIGMOJU-UHFFFAOYSA-N -1 1 314.769 1.996 20 0 DDADMM O=C(c1ccc2scnc2c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001263885241 900799570 /nfs/dbraw/zinc/79/95/70/900799570.db2.gz QFEYGNUQUDNTTE-SECBINFHSA-N -1 1 300.347 1.439 20 0 DDADMM CC[C@H](C)CC(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001396814229 914523511 /nfs/dbraw/zinc/52/35/11/914523511.db2.gz SCLNFYDKQIUTMF-ZDUSSCGKSA-N -1 1 319.405 1.898 20 0 DDADMM C[C@H](CNC(=O)c1ccncc1)N(C)C(=O)c1ncccc1[O-] ZINC001369774655 901918218 /nfs/dbraw/zinc/91/82/18/901918218.db2.gz UZBMXLCLGWVNPT-LLVKDONJSA-N -1 1 314.345 1.073 20 0 DDADMM CC[C@@H](CNC(=O)CC1CC(C)(C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001391720547 902442906 /nfs/dbraw/zinc/44/29/06/902442906.db2.gz GKGGNFDQIIFTFZ-NSHDSACASA-N -1 1 309.414 1.321 20 0 DDADMM CC[C@H](CNC(=O)c1cc(C)cc(F)c1)NCc1n[nH]c(=O)[n-]1 ZINC001391731322 902474823 /nfs/dbraw/zinc/47/48/23/902474823.db2.gz YZQBGJDENGQEAE-GFCCVEGCSA-N -1 1 321.356 1.256 20 0 DDADMM CCN(CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1cc(C)no1 ZINC001266032781 902802272 /nfs/dbraw/zinc/80/22/72/902802272.db2.gz KHDRVHUSJRIAGR-UHFFFAOYSA-N -1 1 321.381 1.362 20 0 DDADMM CC/C(C)=C\C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001266135180 903002619 /nfs/dbraw/zinc/00/26/19/903002619.db2.gz AOOMPPJVTABYAH-LUAWRHEFSA-N -1 1 307.398 1.337 20 0 DDADMM CC(C)[C@@H](CCNC(=O)C1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001370362797 903009044 /nfs/dbraw/zinc/00/90/44/903009044.db2.gz MDTAILDAKDVFLZ-GFCCVEGCSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001392020985 903106809 /nfs/dbraw/zinc/10/68/09/903106809.db2.gz JKBRIUGOVNGJRR-NEPJUHHUSA-N -1 1 321.425 1.321 20 0 DDADMM CCC1(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001280163687 903532959 /nfs/dbraw/zinc/53/29/59/903532959.db2.gz JUTBAISBJWGINP-GFCCVEGCSA-N -1 1 321.425 1.419 20 0 DDADMM O=C(N[C@H]1CC[C@@H](CNC(=O)C(F)F)C1)c1ncccc1[O-] ZINC001370960008 904056964 /nfs/dbraw/zinc/05/69/64/904056964.db2.gz QIEXEVXLAWSPFN-BDAKNGLRSA-N -1 1 313.304 1.067 20 0 DDADMM C[C@H](C[C@@H](C)NC(=O)C1(CF)CC1)NC(=O)c1ncccc1[O-] ZINC001371274251 904620058 /nfs/dbraw/zinc/62/00/58/904620058.db2.gz KSPBSXXYHQMJAJ-GHMZBOCLSA-N -1 1 323.368 1.550 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CCCC1CCCCC1 ZINC001392618677 904628812 /nfs/dbraw/zinc/62/88/12/904628812.db2.gz VJROIFLOUSERNY-LBPRGKRZSA-N -1 1 323.441 1.855 20 0 DDADMM Cc1cccc(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)c1 ZINC001392772317 905209704 /nfs/dbraw/zinc/20/97/04/905209704.db2.gz WZNFIMBFRMMTSB-ZDUSSCGKSA-N -1 1 315.377 1.117 20 0 DDADMM CC(C)(C)CCC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001393302384 906687864 /nfs/dbraw/zinc/68/78/64/906687864.db2.gz GLKYSSCOAWSPSY-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NCCCNC(=O)C1CC(F)(F)C1)c1ncccc1[O-] ZINC001283220570 906922877 /nfs/dbraw/zinc/92/28/77/906922877.db2.gz MFRNZQUOKISJDW-UHFFFAOYSA-N -1 1 313.304 1.069 20 0 DDADMM Cc1coc(C(=O)NCCCNC(=O)c2ncccc2[O-])c1 ZINC001283232446 906950804 /nfs/dbraw/zinc/95/08/04/906950804.db2.gz HPKRPDVPAYJGQQ-UHFFFAOYSA-N -1 1 303.318 1.239 20 0 DDADMM O=C(C[C@@H]1CC[C@H]2C[C@H]21)NCCCNC(=O)c1ncccc1[O-] ZINC001283232167 906951038 /nfs/dbraw/zinc/95/10/38/906951038.db2.gz DAKJQBNUJUOMIX-RWMBFGLXSA-N -1 1 317.389 1.460 20 0 DDADMM CCC(CC)CC(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001393440789 907003746 /nfs/dbraw/zinc/00/37/46/907003746.db2.gz MLHCTYHEANCKCJ-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(N[C@H](CNC(=O)c1ncccc1[O-])C1CC1)c1ccco1 ZINC001372381662 907173183 /nfs/dbraw/zinc/17/31/83/907173183.db2.gz PVTHYTLPKSPUOR-LLVKDONJSA-N -1 1 315.329 1.319 20 0 DDADMM C[C@H](C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)C(C)(C)C ZINC001393543192 907279998 /nfs/dbraw/zinc/27/99/98/907279998.db2.gz BOPWGVASZNCOBQ-SNVBAGLBSA-N -1 1 309.414 1.321 20 0 DDADMM CCC[C@@H](CC)C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001372550266 907605582 /nfs/dbraw/zinc/60/55/82/907605582.db2.gz GXYVRQJPGRNMGZ-CHWSQXEVSA-N -1 1 323.441 1.015 20 0 DDADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@]12C[C@H]1CCC2 ZINC001394203766 909072357 /nfs/dbraw/zinc/07/23/57/909072357.db2.gz JKTIAPPULIORBT-XHBSWPGZSA-N -1 1 321.425 1.465 20 0 DDADMM Cc1nc(C(=O)NCC[C@@H](C)NC(=O)c2ncccc2[O-])co1 ZINC001373266173 909347727 /nfs/dbraw/zinc/34/77/27/909347727.db2.gz NAEZIWICNIPXNT-SECBINFHSA-N -1 1 318.333 1.022 20 0 DDADMM O=C(CCCC1CC1)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001373854214 911196787 /nfs/dbraw/zinc/19/67/87/911196787.db2.gz LTPAFRPUXJCNBS-UHFFFAOYSA-N -1 1 321.425 1.609 20 0 DDADMM CCCCCC(=O)N[C@@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001286182545 911776949 /nfs/dbraw/zinc/77/69/49/911776949.db2.gz QKZZTBPTVVRXHI-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(CCCC1CC1)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001374177534 912085042 /nfs/dbraw/zinc/08/50/42/912085042.db2.gz ZLOHXDVBFGQOKB-UHFFFAOYSA-N -1 1 321.425 1.609 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@@H](C)COC ZINC001294433560 915140600 /nfs/dbraw/zinc/14/06/00/915140600.db2.gz PHUAHKUPAFIYPG-LBPRGKRZSA-N -1 1 323.393 1.038 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCOC[C@@H]2c2ncon2)c1 ZINC001295078589 915551726 /nfs/dbraw/zinc/55/17/26/915551726.db2.gz QPBSMUDVGAIKBB-GFCCVEGCSA-N -1 1 317.301 1.110 20 0 DDADMM CC(C)C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C(C)C ZINC001375803589 916995520 /nfs/dbraw/zinc/99/55/20/916995520.db2.gz AMTHMOKOPWFBGL-GFCCVEGCSA-N -1 1 307.394 1.704 20 0 DDADMM CCC(CC)(CC)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001376154323 917829550 /nfs/dbraw/zinc/82/95/50/917829550.db2.gz OJLUNRRRVQSTTH-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM O=C(NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)C1(C2CC2)CC1 ZINC001377697646 922733309 /nfs/dbraw/zinc/73/33/09/922733309.db2.gz LCZULUZECIUMPU-NSHDSACASA-N -1 1 319.409 1.029 20 0 DDADMM CCCC[C@H](COC)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000076777407 185040523 /nfs/dbraw/zinc/04/05/23/185040523.db2.gz ZPWPCXLVRPUZNU-LLVKDONJSA-N -1 1 303.362 1.858 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)ccc1O ZINC000614389233 361833071 /nfs/dbraw/zinc/83/30/71/361833071.db2.gz KGTYMFVJLBGFCF-LLVKDONJSA-N -1 1 303.318 1.809 20 0 DDADMM O=C(Cc1ncccc1F)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614390668 361834376 /nfs/dbraw/zinc/83/43/76/361834376.db2.gz WZNMZDIZTUCOIY-SECBINFHSA-N -1 1 306.297 1.258 20 0 DDADMM CC(=O)c1ccccc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614390372 361834555 /nfs/dbraw/zinc/83/45/55/361834555.db2.gz UNTLWGFKDWGWDL-NSHDSACASA-N -1 1 315.329 1.998 20 0 DDADMM O=C(c1cccc2[nH]ncc21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614387307 361831858 /nfs/dbraw/zinc/83/18/58/361831858.db2.gz NCTJUBVLFJCGDK-VIFPVBQESA-N -1 1 313.317 1.671 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)[C@H]1CCCO1 ZINC000278655760 214305953 /nfs/dbraw/zinc/30/59/53/214305953.db2.gz GVDMNCFGCKNPHP-NWDGAFQWSA-N -1 1 321.345 1.437 20 0 DDADMM COC[C@@]1(C(=O)Nc2cc(C(=O)OC)ccc2[O-])CCOC1 ZINC000451456353 231102350 /nfs/dbraw/zinc/10/23/50/231102350.db2.gz LRNOFBTYJBCEOO-HNNXBMFYSA-N -1 1 309.318 1.170 20 0 DDADMM CO[C@H]1C[C@@H](CO)N(C(=O)c2ccc3ccccc3c2[O-])C1 ZINC000319299635 231181806 /nfs/dbraw/zinc/18/18/06/231181806.db2.gz JTJPRGKTRYFODC-STQMWFEESA-N -1 1 301.342 1.767 20 0 DDADMM COCC(COC)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000451887411 231223950 /nfs/dbraw/zinc/22/39/50/231223950.db2.gz GRRADEFQTPHLHP-UHFFFAOYSA-N -1 1 313.297 1.044 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C)c(Br)n1C ZINC000286583238 219066429 /nfs/dbraw/zinc/06/64/29/219066429.db2.gz JZKVEPXGMJRZOK-SVRRBLITSA-N -1 1 322.228 1.425 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2ccc[nH]c2=O)c(=O)[n-]1 ZINC000623183345 365704873 /nfs/dbraw/zinc/70/48/73/365704873.db2.gz VLPVRKRBCVFJDI-UHFFFAOYSA-N -1 1 320.374 1.884 20 0 DDADMM Cc1nc(COC(C)(C)C)oc1C(=O)[N-]c1nnc2[nH]cnn12 ZINC000614997638 362110861 /nfs/dbraw/zinc/11/08/61/362110861.db2.gz ZCPWYUIUFZUAOK-UHFFFAOYSA-N -1 1 319.325 1.316 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCn1cc(Br)cn1 ZINC000615225498 362202525 /nfs/dbraw/zinc/20/25/25/362202525.db2.gz CYDSWMKGBPMOAJ-UHFFFAOYSA-N -1 1 314.143 1.412 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2(c3ccccc3)CC2)n[n-]1 ZINC000615919358 362486774 /nfs/dbraw/zinc/48/67/74/362486774.db2.gz GEKJUXVOGFLUQH-UHFFFAOYSA-N -1 1 314.345 1.329 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2(c3ccccc3)CC2)n1 ZINC000615919358 362486782 /nfs/dbraw/zinc/48/67/82/362486782.db2.gz GEKJUXVOGFLUQH-UHFFFAOYSA-N -1 1 314.345 1.329 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2C[C@@]2(C)C(C)C)co1 ZINC000458104046 530026098 /nfs/dbraw/zinc/02/60/98/530026098.db2.gz CTIMSNVQKIUDRH-GWCFXTLKSA-N -1 1 300.380 1.352 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@@H](C)C(C)C)c1 ZINC000292369437 530031824 /nfs/dbraw/zinc/03/18/24/530031824.db2.gz XRFGSWFYFBTSQP-SNVBAGLBSA-N -1 1 314.407 1.921 20 0 DDADMM COc1cc(O)cc([N-]S(=O)(=O)C[C@@H]2CCCCO2)c1 ZINC000330751351 232041172 /nfs/dbraw/zinc/04/11/72/232041172.db2.gz BQCLYJQFTNKVNP-LBPRGKRZSA-N -1 1 301.364 1.712 20 0 DDADMM C[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000277942342 213871737 /nfs/dbraw/zinc/87/17/37/213871737.db2.gz RAKFJSDLDGBGSB-HQJQHLMTSA-N -1 1 309.309 1.807 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCOC[C@@H]2C)c1Br ZINC000616009690 362518989 /nfs/dbraw/zinc/51/89/89/362518989.db2.gz ZFXRJNRFGUEUIO-POYBYMJQSA-N -1 1 302.172 1.514 20 0 DDADMM O=C(N[C@H](CO)Cc1ccncc1)c1ccc(Cl)cc1[O-] ZINC000436998588 229552607 /nfs/dbraw/zinc/55/26/07/229552607.db2.gz KSNGGZYBZIOCIB-LBPRGKRZSA-N -1 1 306.749 1.774 20 0 DDADMM COCCC[C@@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000181833665 199359572 /nfs/dbraw/zinc/35/95/72/199359572.db2.gz USJWFMYONFRJOP-SNVBAGLBSA-N -1 1 320.393 1.318 20 0 DDADMM CN1CC[C@H](CNC(=O)c2ncc3ccccc3c2[O-])CC1=O ZINC000616410172 362681597 /nfs/dbraw/zinc/68/15/97/362681597.db2.gz BKMRVYKVKDDCMQ-NSHDSACASA-N -1 1 313.357 1.539 20 0 DDADMM CC[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1c(C)noc1C ZINC000358374951 299134507 /nfs/dbraw/zinc/13/45/07/299134507.db2.gz GKEGJRPZNLLTIK-KCJUWKMLSA-N -1 1 306.370 1.560 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(O[C@@H]2CC2(F)F)cc1 ZINC000636241064 422738288 /nfs/dbraw/zinc/73/82/88/422738288.db2.gz IQLQFGUGJMJWJF-LLVKDONJSA-N -1 1 323.303 1.948 20 0 DDADMM COc1ccccc1C1(C(=O)N(C)C[C@H](C)c2nn[n-]n2)CC1 ZINC000358379395 299136752 /nfs/dbraw/zinc/13/67/52/299136752.db2.gz LNHIPMSZMSQGMW-NSHDSACASA-N -1 1 315.377 1.502 20 0 DDADMM CC(C)(CO)[C@H](NC(=O)c1ncccc1[O-])c1cccnc1 ZINC000616840576 362841841 /nfs/dbraw/zinc/84/18/41/362841841.db2.gz BJQHVSYJJFLCFK-CQSZACIVSA-N -1 1 301.346 1.672 20 0 DDADMM O=C(NCCC1CCOCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000358411297 299145589 /nfs/dbraw/zinc/14/55/89/299145589.db2.gz PPLNENSUJUCUII-UHFFFAOYSA-N -1 1 301.346 1.470 20 0 DDADMM Cn1ccnc1[C@@H](NC(=O)c1ccc([O-])c(F)c1)C(C)(C)CO ZINC000616864901 362852025 /nfs/dbraw/zinc/85/20/25/362852025.db2.gz NBAMTQOAOCYHJQ-CYBMUJFWSA-N -1 1 321.352 1.754 20 0 DDADMM O=c1cc(C[N@@H+]2CCC[C@@H]2[C@@H](O)C(F)(F)F)nc(C2CC2)[nH]1 ZINC000459358979 233131824 /nfs/dbraw/zinc/13/18/24/233131824.db2.gz XQSHVXJXKNJCJZ-ZYHUDNBSSA-N -1 1 317.311 1.947 20 0 DDADMM Cc1nc(SCC(=O)N2C[C@H](C)C[C@H](C)C2)[n-]c(=O)c1C ZINC000019974377 352169711 /nfs/dbraw/zinc/16/97/11/352169711.db2.gz HTNMKHYISYOUJT-AOOOYVTPSA-N -1 1 309.435 1.983 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cccc(OCC(N)=O)c2)cc1 ZINC000024430952 352189375 /nfs/dbraw/zinc/18/93/75/352189375.db2.gz OPDJUHOVDCSTFF-UHFFFAOYSA-N -1 1 320.370 1.660 20 0 DDADMM CC(=O)c1ccc(NC(=O)Cc2sc(N(C)C)nc2[O-])cc1 ZINC000028757134 352231091 /nfs/dbraw/zinc/23/10/91/352231091.db2.gz FRHUULSOEOQUPN-GFCCVEGCSA-N -1 1 319.386 1.777 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@@H](CO)NC(=O)c2cc(F)ccc2[O-])C1 ZINC000617535173 363162590 /nfs/dbraw/zinc/16/25/90/363162590.db2.gz OYYNIBOFIUQZLW-MPKXVKKWSA-N -1 1 311.353 1.827 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)C[C@@H]2CCCO2)sc1C ZINC000532932160 303301916 /nfs/dbraw/zinc/30/19/16/303301916.db2.gz DFVCYVYMWNGZBK-KWQFWETISA-N -1 1 304.437 1.996 20 0 DDADMM CCn1c(CCNC(=O)c2cc(C3CC3)n[nH]2)n[n-]c1=S ZINC000067075479 353033163 /nfs/dbraw/zinc/03/31/63/353033163.db2.gz FWDMWOBCJVWQNY-UHFFFAOYSA-N -1 1 306.395 1.534 20 0 DDADMM O=C(CN1CCC(C(=O)c2ccc([O-])cc2)CC1)NCC1CC1 ZINC000078658014 353527035 /nfs/dbraw/zinc/52/70/35/353527035.db2.gz JNXWQOGKMXJJJY-UHFFFAOYSA-N -1 1 316.401 1.813 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@H](NC(=O)c2cc(F)ccc2[O-])C1 ZINC000080030036 353588042 /nfs/dbraw/zinc/58/80/42/353588042.db2.gz CFVAFFLWHBRXAB-WDEREUQCSA-N -1 1 315.366 1.617 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@@H](NC(=O)c2cc(F)ccc2[O-])C1 ZINC000080030048 353588275 /nfs/dbraw/zinc/58/82/75/353588275.db2.gz CFVAFFLWHBRXAB-MNOVXSKESA-N -1 1 315.366 1.617 20 0 DDADMM O=C(N[C@H]1CCN(C2CCOCC2)C1=O)c1cc(F)ccc1[O-] ZINC000080035595 353588290 /nfs/dbraw/zinc/58/82/90/353588290.db2.gz DLQHDQGRTDEYTG-ZDUSSCGKSA-N -1 1 322.336 1.041 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(C2CCC2)CC1 ZINC000617770508 363305270 /nfs/dbraw/zinc/30/52/70/363305270.db2.gz KSHROJKACJYZSI-UHFFFAOYSA-N -1 1 307.350 1.549 20 0 DDADMM CCCc1n[n-]c(=S)n1CC(=O)NCc1c(C)noc1C ZINC000143740337 354151323 /nfs/dbraw/zinc/15/13/23/354151323.db2.gz YJZSDZOJLJBJCZ-UHFFFAOYSA-N -1 1 309.395 1.814 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2cccc(C)n2)o1 ZINC000280597654 354422511 /nfs/dbraw/zinc/42/25/11/354422511.db2.gz XLGQTPYRDGTBQN-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM CN1CCO[C@H]2CCN(C(=O)c3ccc(Cl)cc3[O-])C[C@H]21 ZINC000284602469 283027709 /nfs/dbraw/zinc/02/77/09/283027709.db2.gz SVPTWUCSMGJAKK-OCCSQVGLSA-N -1 1 310.781 1.591 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1ccc2[nH]nnc2c1)C1CCCCC1 ZINC000314443813 354485336 /nfs/dbraw/zinc/48/53/36/354485336.db2.gz CEJGCNUXCBBVMV-CYBMUJFWSA-N -1 1 302.334 1.721 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2cc(C(=O)[O-])cc(C)c2C)cn1 ZINC000384129285 354629519 /nfs/dbraw/zinc/62/95/19/354629519.db2.gz BUELEEANLPAWIJ-UHFFFAOYSA-N -1 1 321.358 1.901 20 0 DDADMM COCc1nc(CNC(=O)c2cc(F)c([O-])c(Cl)c2)n[nH]1 ZINC000617935448 363388971 /nfs/dbraw/zinc/38/89/71/363388971.db2.gz BGTFGUWSFJFKLT-UHFFFAOYSA-N -1 1 314.704 1.379 20 0 DDADMM COC(=O)[C@@H](CF)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000589908079 355031317 /nfs/dbraw/zinc/03/13/17/355031317.db2.gz MYSULKYUYZTADU-MRVPVSSYSA-N -1 1 309.215 1.652 20 0 DDADMM C[C@H](O)[C@H]1CN(C(=O)c2cc3ccccc3cc2[O-])CCO1 ZINC000332538142 235071851 /nfs/dbraw/zinc/07/18/51/235071851.db2.gz WFOLODASNBCTJN-MEDUHNTESA-N -1 1 301.342 1.767 20 0 DDADMM Cc1cc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c(C)c(C)c1O ZINC000358710124 299226956 /nfs/dbraw/zinc/22/69/56/299226956.db2.gz FOIAEMNWISDGRG-UHFFFAOYSA-N -1 1 313.317 1.301 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2C[C@H](C)N(CC)C[C@@H]2C)s1 ZINC000346392914 283082435 /nfs/dbraw/zinc/08/24/35/283082435.db2.gz NIHIABQXUPZCRC-QWRGUYRKSA-N -1 1 311.455 1.859 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cncc(COC)c2)n1 ZINC000591302343 355284615 /nfs/dbraw/zinc/28/46/15/355284615.db2.gz GFAFJFBSUQRTSL-UHFFFAOYSA-N -1 1 304.306 1.380 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000263447803 283108140 /nfs/dbraw/zinc/10/81/40/283108140.db2.gz RLFSPMNGCYIKRJ-VIFPVBQESA-N -1 1 312.288 1.013 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2csc(=NC3CC3)[n-]2)C[C@H]1C ZINC000592808550 355699893 /nfs/dbraw/zinc/69/98/93/355699893.db2.gz BQKJALPAKVDNES-PSASIEDQSA-N -1 1 309.391 1.020 20 0 DDADMM CCNC(=O)COc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000346593688 283133357 /nfs/dbraw/zinc/13/33/57/283133357.db2.gz OXKKJOKYGAKTBL-UHFFFAOYSA-N -1 1 315.329 1.554 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(NC3CC3)nc2)n1 ZINC000593901883 356049228 /nfs/dbraw/zinc/04/92/28/356049228.db2.gz WIKONQFBBLNUJN-UHFFFAOYSA-N -1 1 315.333 1.808 20 0 DDADMM Cc1n[nH]c(C)c1NC(=O)CSc1nc(C)c(C)c(=O)[n-]1 ZINC000346717854 283146105 /nfs/dbraw/zinc/14/61/05/283146105.db2.gz STQQOPMWGHFKOF-UHFFFAOYSA-N -1 1 307.379 1.870 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C2CC2)cc1C ZINC000595331398 356451803 /nfs/dbraw/zinc/45/18/03/356451803.db2.gz JPCASSGQJBDMSR-QWRGUYRKSA-N -1 1 313.375 1.699 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@@H]2NC(=O)CC[C@@H]2C1 ZINC000618205478 363508985 /nfs/dbraw/zinc/50/89/85/363508985.db2.gz RSVCAQCVUWXLTO-SCZZXKLOSA-N -1 1 310.300 1.411 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2ccc([O-])cc2F)CS1(=O)=O ZINC000618324222 363587519 /nfs/dbraw/zinc/58/75/19/363587519.db2.gz RZNJFIBOUYDTEH-DTWKUNHWSA-N -1 1 301.339 1.227 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)C1CCC2(CC2)CC1 ZINC000598824826 357736461 /nfs/dbraw/zinc/73/64/61/357736461.db2.gz PXLIIBNDSWHUCN-UHFFFAOYSA-N -1 1 305.378 1.928 20 0 DDADMM COC(=O)[C@H](C[C@H]1CCCOC1)N=c1nc(C(C)C)[n-]s1 ZINC000599166046 357836281 /nfs/dbraw/zinc/83/62/81/357836281.db2.gz RAYMWKKVXGUQRT-MNOVXSKESA-N -1 1 313.423 1.854 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]CC(C)C)c1F ZINC000599203184 357849041 /nfs/dbraw/zinc/84/90/41/357849041.db2.gz JJWUXFRWLCKFNU-UHFFFAOYSA-N -1 1 307.318 1.686 20 0 DDADMM C[C@@H](Oc1ccc(C(C)(C)C)cc1)C(=O)NCc1nn[n-]n1 ZINC000599334050 357898165 /nfs/dbraw/zinc/89/81/65/357898165.db2.gz APNWXKISDOETPZ-SNVBAGLBSA-N -1 1 303.366 1.581 20 0 DDADMM O=C(NCc1nn[n-]n1)c1[nH]c2ccc(Cl)cc2c1Cl ZINC000599377315 357914871 /nfs/dbraw/zinc/91/48/71/357914871.db2.gz ORUMTOIACHTHNW-UHFFFAOYSA-N -1 1 311.132 1.918 20 0 DDADMM C/C=C\C[N-]S(=O)(=O)c1cc(F)cc(C(=O)OC)c1F ZINC000599475792 357950714 /nfs/dbraw/zinc/95/07/14/357950714.db2.gz WQSFAAKWPVRJIC-ARJAWSKDSA-N -1 1 305.302 1.606 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2ccc(OCCO)cc2)c1 ZINC000599932404 358084849 /nfs/dbraw/zinc/08/48/49/358084849.db2.gz NVGVNVRPQBDOTG-UHFFFAOYSA-N -1 1 323.370 1.867 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCCn2cccn2)sc1C ZINC000348029104 283344056 /nfs/dbraw/zinc/34/40/56/283344056.db2.gz HSPLEYXIGMVMHR-UHFFFAOYSA-N -1 1 300.409 1.325 20 0 DDADMM CC(C)(C)c1ccc(OCCCC(=O)NCc2nn[n-]n2)cc1 ZINC000600503222 358242913 /nfs/dbraw/zinc/24/29/13/358242913.db2.gz CVKOXKFGGALIEO-UHFFFAOYSA-N -1 1 317.393 1.973 20 0 DDADMM COC(=O)[C@]1(C)C[C@H](OC)CN1C(=O)c1ccc([O-])cc1F ZINC000601737479 358672070 /nfs/dbraw/zinc/67/20/70/358672070.db2.gz HLMLXKUHFQBQRR-BONVTDFDSA-N -1 1 311.309 1.324 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1CC(C)(C)OC1(C)C ZINC000602299221 358919925 /nfs/dbraw/zinc/91/99/25/358919925.db2.gz HYMDYOPLRWIIJL-NSHDSACASA-N -1 1 323.393 1.551 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(Cl)ccc2C)n[n-]1 ZINC000603017021 359359259 /nfs/dbraw/zinc/35/92/59/359359259.db2.gz OOQFDPUPBFRQEM-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(Cl)ccc2C)n1 ZINC000603017021 359359260 /nfs/dbraw/zinc/35/92/60/359359260.db2.gz OOQFDPUPBFRQEM-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM O=C(Cc1cccc(F)c1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000618488624 363649918 /nfs/dbraw/zinc/64/99/18/363649918.db2.gz YRYWISKJWZIRIJ-HNNXBMFYSA-N -1 1 311.320 1.787 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cccc(F)c2C)n[n-]1 ZINC000603155600 359441678 /nfs/dbraw/zinc/44/16/78/359441678.db2.gz OOGMJLPXSFZQNM-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cccc(F)c2C)[n-]1 ZINC000603155600 359441684 /nfs/dbraw/zinc/44/16/84/359441684.db2.gz OOGMJLPXSFZQNM-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cccc(F)c2C)n1 ZINC000603155600 359441688 /nfs/dbraw/zinc/44/16/88/359441688.db2.gz OOGMJLPXSFZQNM-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)C[C@@]1(C)CC1(F)F)C(=O)OC ZINC000603308965 359559578 /nfs/dbraw/zinc/55/95/78/359559578.db2.gz MKIJMSQVWVZXNE-MWLCHTKSSA-N -1 1 313.366 1.683 20 0 DDADMM CCCS(=O)(=O)[N-][C@@]1(C(=O)OC)C[C@@H](OCC)C1(C)C ZINC000444282084 283452889 /nfs/dbraw/zinc/45/28/89/283452889.db2.gz XFHJBHCTDMDKCZ-ZWNOBZJWSA-N -1 1 307.412 1.063 20 0 DDADMM CCN(C)C(=O)CNC(=O)c1cc(Br)ccc1[O-] ZINC000187281241 200088676 /nfs/dbraw/zinc/08/86/76/200088676.db2.gz XMESIIYXTSEHLI-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N[C@H]2CCCC[C@@H]2C)n1 ZINC000187586187 200130970 /nfs/dbraw/zinc/13/09/70/200130970.db2.gz ONFIOEIEMRKBCQ-UWVGGRQHSA-N -1 1 311.407 1.983 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)C[C@@H]2CCOC2)c1 ZINC000611082993 360617775 /nfs/dbraw/zinc/61/77/75/360617775.db2.gz GBKOPKYHHWAFDU-UWVGGRQHSA-N -1 1 317.363 1.160 20 0 DDADMM CC(C)(C)OC(=O)c1cccc(C(=O)NCc2nn[n-]n2)c1 ZINC000611280633 360688223 /nfs/dbraw/zinc/68/82/23/360688223.db2.gz YHCPBJNUSPMWKV-UHFFFAOYSA-N -1 1 303.322 1.085 20 0 DDADMM O=C([C@@H]1CCc2cc(F)ccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612814973 361154040 /nfs/dbraw/zinc/15/40/40/361154040.db2.gz GRHRFODBCQVSSB-BXUZGUMPSA-N -1 1 315.352 1.775 20 0 DDADMM O=C(c1ccc2c(c1)CCOC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612818793 361156049 /nfs/dbraw/zinc/15/60/49/361156049.db2.gz XRSSWKMEKNYSHB-ZDUSSCGKSA-N -1 1 313.361 1.292 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)c2ccc3oc(=O)nc-3[n-]2)C[C@@H]1C ZINC000613148652 361292467 /nfs/dbraw/zinc/29/24/67/361292467.db2.gz MXVFCFTYXIXRFG-VHSXEESVSA-N -1 1 304.350 1.483 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])[C@@H](C(N)=O)C1 ZINC000613690266 361521620 /nfs/dbraw/zinc/52/16/20/361521620.db2.gz GABNIOZQZHJPKP-ZWNOBZJWSA-N -1 1 313.357 1.666 20 0 DDADMM C[S@@](=O)c1cccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC000613784103 361566573 /nfs/dbraw/zinc/56/65/73/361566573.db2.gz ZYYZZFAEXGXKEQ-OAQYLSRUSA-N -1 1 318.280 1.813 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(=O)c2ccc(C)cc2)c[n-]1 ZINC000618919282 363800496 /nfs/dbraw/zinc/80/04/96/363800496.db2.gz FWJWYSXGHZAISE-UHFFFAOYSA-N -1 1 301.302 1.716 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CC(=O)N(C(C)(C)C)C2)c([O-])c1 ZINC000620016470 364245767 /nfs/dbraw/zinc/24/57/67/364245767.db2.gz UJRFVLHAIXQMNN-NSHDSACASA-N -1 1 305.378 1.472 20 0 DDADMM COc1ccccc1OCCS(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000621994240 365175765 /nfs/dbraw/zinc/17/57/65/365175765.db2.gz MBXUGJBBDLQNQS-UHFFFAOYSA-N -1 1 323.374 1.543 20 0 DDADMM CCOCCCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091159888 193007798 /nfs/dbraw/zinc/00/77/98/193007798.db2.gz HOWOPOQVLZLWMG-UHFFFAOYSA-N -1 1 318.370 1.952 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1COc2cc(F)ccc2C1)c1nn[n-]n1 ZINC000626024653 367281647 /nfs/dbraw/zinc/28/16/47/367281647.db2.gz RAGBELMQBPUDLP-ZYHUDNBSSA-N -1 1 319.340 1.548 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC3(CC3)CC2)co1 ZINC000629644701 422770045 /nfs/dbraw/zinc/77/00/45/422770045.db2.gz JPQYLWJGQWDCQR-UHFFFAOYSA-N -1 1 312.391 1.594 20 0 DDADMM O=C([O-])[C@@H]1C=C[C@H](NS(=O)(=O)c2c(F)cccc2F)C1 ZINC000092181581 193171157 /nfs/dbraw/zinc/17/11/57/193171157.db2.gz GDDIXXGJULPMHQ-SFYZADRCSA-N -1 1 303.286 1.272 20 0 DDADMM Cn1cc(C(=O)NCCc2n[n-]c(=S)n2C)c(C(C)(C)C)n1 ZINC000092243339 193178516 /nfs/dbraw/zinc/17/85/16/193178516.db2.gz XJGJICNASRFFST-UHFFFAOYSA-N -1 1 322.438 1.481 20 0 DDADMM CCn1c(CNC(=O)c2cn(C)nc2C(C)(C)C)n[n-]c1=S ZINC000092243303 193178608 /nfs/dbraw/zinc/17/86/08/193178608.db2.gz RFQAZYXAOZJFJC-UHFFFAOYSA-N -1 1 322.438 1.922 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCc3cncn3C2)c1 ZINC000566291630 304139714 /nfs/dbraw/zinc/13/97/14/304139714.db2.gz JHANYKDALJCEOU-NSHDSACASA-N -1 1 315.329 1.576 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)COc2ccccc2)n1 ZINC000349817944 284064818 /nfs/dbraw/zinc/06/48/18/284064818.db2.gz DQEPXOJCHUPKSC-UHFFFAOYSA-N -1 1 306.343 1.937 20 0 DDADMM CN(C)C[C@@H](NC(=O)N=c1cccn[n-]1)c1ccc(F)cc1 ZINC000349867512 284084442 /nfs/dbraw/zinc/08/44/42/284084442.db2.gz JFGRNJIQJGOMCA-CYBMUJFWSA-N -1 1 303.341 1.462 20 0 DDADMM COCC[C@@H](NS(=O)(=O)c1c(C)o[n-]c1=N)C(C)(C)C ZINC000349882377 284092983 /nfs/dbraw/zinc/09/29/83/284092983.db2.gz YVTUFJXKQCFZBN-SECBINFHSA-N -1 1 305.400 1.125 20 0 DDADMM COCC[C@@H]([N-]S(=O)(=O)c1c(C)onc1N)C(C)(C)C ZINC000349882377 284092986 /nfs/dbraw/zinc/09/29/86/284092986.db2.gz YVTUFJXKQCFZBN-SECBINFHSA-N -1 1 305.400 1.125 20 0 DDADMM CCOC(=O)c1cn(C)nc1NC([O-])=NO[C@H]1CCCCO1 ZINC000274884764 212008592 /nfs/dbraw/zinc/00/85/92/212008592.db2.gz OKOJRELHPSQGFN-JTQLQIEISA-N -1 1 312.326 1.177 20 0 DDADMM CCOC(=O)c1cn(C)nc1NC(=O)[N-]O[C@H]1CCCCO1 ZINC000274884764 212008593 /nfs/dbraw/zinc/00/85/93/212008593.db2.gz OKOJRELHPSQGFN-JTQLQIEISA-N -1 1 312.326 1.177 20 0 DDADMM CCOC(=O)c1cn(C)nc1NC([O-])=NO[C@@H]1CCCCO1 ZINC000274884766 212008108 /nfs/dbraw/zinc/00/81/08/212008108.db2.gz OKOJRELHPSQGFN-SNVBAGLBSA-N -1 1 312.326 1.177 20 0 DDADMM CCOC(=O)c1cn(C)nc1NC(=O)[N-]O[C@@H]1CCCCO1 ZINC000274884766 212008111 /nfs/dbraw/zinc/00/81/11/212008111.db2.gz OKOJRELHPSQGFN-SNVBAGLBSA-N -1 1 312.326 1.177 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000633138142 422774694 /nfs/dbraw/zinc/77/46/94/422774694.db2.gz BRGBELSJFRGPCJ-OLZOCXBDSA-N -1 1 301.350 1.169 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H](C)OC[C@H]2CCCO2)c1 ZINC000282817293 217271602 /nfs/dbraw/zinc/27/16/02/217271602.db2.gz PFQHRCRUXQQYIQ-CMPLNLGQSA-N -1 1 323.345 1.701 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)[C@H](C)CC ZINC000266279866 205235549 /nfs/dbraw/zinc/23/55/49/205235549.db2.gz IYTXINOIGRAKGY-SKDRFNHKSA-N -1 1 318.395 1.380 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)cc1 ZINC000282834587 217281081 /nfs/dbraw/zinc/28/10/81/217281081.db2.gz DTKJUJABNQYVID-CYBMUJFWSA-N -1 1 314.345 1.320 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1(Nc2ccccc2)CC1 ZINC000636282190 422776014 /nfs/dbraw/zinc/77/60/14/422776014.db2.gz GTHWYVXKXUHLCR-UHFFFAOYSA-N -1 1 313.361 1.083 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000288445094 220146495 /nfs/dbraw/zinc/14/64/95/220146495.db2.gz VTHGNPRYTNADJU-LLVKDONJSA-N -1 1 306.337 1.616 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2(C(C)C)CC2)o1 ZINC000267833988 206299343 /nfs/dbraw/zinc/29/93/43/206299343.db2.gz XGYIDACPLMCXQV-UHFFFAOYSA-N -1 1 301.364 1.781 20 0 DDADMM CN(C)C(=O)CC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000283926940 217812318 /nfs/dbraw/zinc/81/23/18/217812318.db2.gz QBZRZIFUPDUBLD-UHFFFAOYSA-N -1 1 308.762 1.236 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1CCC(C(C)C)CC1 ZINC000337136532 249361524 /nfs/dbraw/zinc/36/15/24/249361524.db2.gz DWUOWYKMGIXMAZ-UHFFFAOYSA-N -1 1 306.410 1.901 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCS(=O)(=O)c2ccccc2C1 ZINC000186434980 296289924 /nfs/dbraw/zinc/28/99/24/296289924.db2.gz JIHSRXKIKBCJMC-UHFFFAOYSA-N -1 1 318.354 1.217 20 0 DDADMM C[C@@H](NC(=O)c1cncc([O-])c1)c1ncc(Br)cn1 ZINC000352068185 284752999 /nfs/dbraw/zinc/75/29/99/284752999.db2.gz GZMDKWSFIULAPI-SSDOTTSWSA-N -1 1 323.150 1.831 20 0 DDADMM NC(=O)c1ccc(C[N-]S(=O)(=O)c2sccc2F)o1 ZINC000338919284 250196029 /nfs/dbraw/zinc/19/60/29/250196029.db2.gz FUFHAGFGZCOKON-UHFFFAOYSA-N -1 1 304.324 1.058 20 0 DDADMM Cn1nnc(CNC(=O)c2c([O-])cnc3ccc(Cl)cc32)n1 ZINC000338918798 250196166 /nfs/dbraw/zinc/19/61/66/250196166.db2.gz KFMRXCOUAXCVTQ-UHFFFAOYSA-N -1 1 318.724 1.047 20 0 DDADMM CC(C)[C@@H](O)C1(C[N-]S(=O)(=O)c2sccc2F)CC1 ZINC000338945479 250212196 /nfs/dbraw/zinc/21/21/96/250212196.db2.gz NHJJDBGUCAAORA-SNVBAGLBSA-N -1 1 307.412 1.963 20 0 DDADMM C[C@H](F)CC[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000339033684 250257839 /nfs/dbraw/zinc/25/78/39/250257839.db2.gz GBWVPGBMCRCMGU-LURJTMIESA-N -1 1 303.281 1.465 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]2F)oc1C ZINC000339119535 250302635 /nfs/dbraw/zinc/30/26/35/250302635.db2.gz LIIIGNJJNQDOCO-VHSXEESVSA-N -1 1 305.327 1.544 20 0 DDADMM CC(C)[C@](C)([N-]S(=O)(=O)c1cccc(F)c1F)C(N)=O ZINC000285347169 218391039 /nfs/dbraw/zinc/39/10/39/218391039.db2.gz PGWPSNQNQNWUKG-LBPRGKRZSA-N -1 1 306.334 1.143 20 0 DDADMM COC(=O)CN(C(=O)c1cc(Cl)c([O-])c(OC)c1)C1CC1 ZINC000352205042 284863792 /nfs/dbraw/zinc/86/37/92/284863792.db2.gz JNBZVXPSFSVONT-UHFFFAOYSA-N -1 1 313.737 1.832 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCC[C@@H](C)CO)cnc2n1 ZINC000340745589 251189469 /nfs/dbraw/zinc/18/94/69/251189469.db2.gz ZDPRQSMNUZRYQU-SNVBAGLBSA-N -1 1 303.362 1.782 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCC[C@@H](C)CO)c2=O ZINC000340745589 251189472 /nfs/dbraw/zinc/18/94/72/251189472.db2.gz ZDPRQSMNUZRYQU-SNVBAGLBSA-N -1 1 303.362 1.782 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCCS2)o1 ZINC000340885099 251260059 /nfs/dbraw/zinc/26/00/59/251260059.db2.gz OTTRAPYEKSSTHA-VIFPVBQESA-N -1 1 319.404 1.630 20 0 DDADMM CO[C@@H]1CCC[C@H]1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000340879455 251257270 /nfs/dbraw/zinc/25/72/70/251257270.db2.gz KGKLMTFFMZJVHN-GXFFZTMASA-N -1 1 301.346 1.468 20 0 DDADMM O=C([N-]S(=O)(=O)C1CCCCC1)[C@@H](C1CC1)N1CCCC1 ZINC000124223397 207358215 /nfs/dbraw/zinc/35/82/15/207358215.db2.gz AZFHGVIGOWFHKL-CQSZACIVSA-N -1 1 314.451 1.640 20 0 DDADMM CC(C)[C@H](O)CC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000567770738 304241712 /nfs/dbraw/zinc/24/17/12/304241712.db2.gz FKCBDWAQHJARJU-LLVKDONJSA-N -1 1 314.432 1.982 20 0 DDADMM C[C@H](c1ccc(F)cc1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352422350 285039942 /nfs/dbraw/zinc/03/99/42/285039942.db2.gz IQDBTCNPIDTUMM-SECBINFHSA-N -1 1 315.308 1.390 20 0 DDADMM COc1cc(NCCN=c2[n-]c(C(N)=O)cs2)cc(OC)c1 ZINC000432343398 533028447 /nfs/dbraw/zinc/02/84/47/533028447.db2.gz VNYHTFMNFVGHTC-UHFFFAOYSA-N -1 1 322.390 1.205 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2ccc(Cl)nc2Cl)CCOC1 ZINC000270225380 208290969 /nfs/dbraw/zinc/29/09/69/208290969.db2.gz NUWGRUFUJWLJKG-SNVBAGLBSA-N -1 1 311.190 1.846 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(C)nc2)cc1C(N)=O ZINC000431325079 533070275 /nfs/dbraw/zinc/07/02/75/533070275.db2.gz DQCAZLBAPHSEFV-UHFFFAOYSA-N -1 1 321.358 1.298 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCc2cccc(F)c2F)[n-]n1 ZINC000413128522 224140356 /nfs/dbraw/zinc/14/03/56/224140356.db2.gz AMAXGHNGEUHELF-UHFFFAOYSA-N -1 1 306.316 1.533 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)c2cccnc2)s1 ZINC000445728870 533088245 /nfs/dbraw/zinc/08/82/45/533088245.db2.gz ZPFCPTWUJBCLHZ-UHFFFAOYSA-N -1 1 302.406 1.134 20 0 DDADMM COC(=O)[C@@]1(C)CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000412927001 533102135 /nfs/dbraw/zinc/10/21/35/533102135.db2.gz DYTAKCGQWQRSSR-INIZCTEOSA-N -1 1 305.330 1.377 20 0 DDADMM CN(Cc1cn(CC(=O)[O-])nn1)[C@@H]1CCc2ccccc2C1 ZINC000568061739 304265941 /nfs/dbraw/zinc/26/59/41/304265941.db2.gz PXMHZKFDVPKVCI-OAHLLOKOSA-N -1 1 300.362 1.352 20 0 DDADMM CCOC(=O)C[C@H](C)CNC(=O)c1c(F)ccc([O-])c1F ZINC000289473816 221000410 /nfs/dbraw/zinc/00/04/10/221000410.db2.gz PTOPNHOXZDCSBM-QMMMGPOBSA-N -1 1 301.289 1.990 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Br)o1)[C@H]1CCOC1 ZINC000352884818 285359987 /nfs/dbraw/zinc/35/99/87/285359987.db2.gz RPECOJSEOCBVDV-SFYZADRCSA-N -1 1 324.196 1.745 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]c2cccn(C)c2=O)cc1F ZINC000121642155 195322460 /nfs/dbraw/zinc/32/24/60/195322460.db2.gz JPKMHYZLFLYGAN-UHFFFAOYSA-N -1 1 314.313 1.773 20 0 DDADMM COC(=O)[C@H](CC(F)F)NC(=O)c1ccc(Cl)cc1[O-] ZINC000436599601 533245423 /nfs/dbraw/zinc/24/54/23/533245423.db2.gz DWDQXNVPVXOOFN-QMMMGPOBSA-N -1 1 307.680 1.972 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@@H](C)C1 ZINC000332559703 533410095 /nfs/dbraw/zinc/41/00/95/533410095.db2.gz VRHICDGHKCBDFF-WDEREUQCSA-N -1 1 301.346 1.563 20 0 DDADMM CC(C)CC[C@H]1CCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353739391 285901038 /nfs/dbraw/zinc/90/10/38/285901038.db2.gz PITYZCPUFICUKI-VXGBXAGGSA-N -1 1 317.393 1.752 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(c1ccccc1)[C@@H]1C[C@@H]1C ZINC000569557274 304362718 /nfs/dbraw/zinc/36/27/18/304362718.db2.gz FBDWIXQUGRCYQI-JOYOIKCWSA-N -1 1 307.375 1.999 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(-c2nc[nH]n2)cc1)c1nn[n-]n1 ZINC000186046367 407565087 /nfs/dbraw/zinc/56/50/87/407565087.db2.gz DDEODWQKDNRQRZ-LLVKDONJSA-N -1 1 312.337 1.256 20 0 DDADMM CS(=O)(=O)CCCCCNC(=O)c1cc(F)ccc1[O-] ZINC000187445395 286593384 /nfs/dbraw/zinc/59/33/84/286593384.db2.gz POQSNOILUSLLNX-UHFFFAOYSA-N -1 1 303.355 1.476 20 0 DDADMM CC(=O)c1cccc(NS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)c1 ZINC000037464059 406989535 /nfs/dbraw/zinc/98/95/35/406989535.db2.gz SOIXXJKBLXFCSB-UHFFFAOYSA-N -1 1 308.315 1.716 20 0 DDADMM NC(=O)c1cccc(S(=O)(=O)[N-]c2ccc(F)c(F)c2)c1 ZINC000077688196 407001916 /nfs/dbraw/zinc/00/19/16/407001916.db2.gz QRVDYFXDRRBSBX-UHFFFAOYSA-N -1 1 312.297 1.865 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC2(O)CCCCC2)c1Cl ZINC000087017986 407114899 /nfs/dbraw/zinc/11/48/99/407114899.db2.gz ZYPSRVPQIFXVDP-UHFFFAOYSA-N -1 1 307.803 1.047 20 0 DDADMM O=c1[n-]c(CN(CCCO)[C@@H]2CCCc3ccccc32)n[nH]1 ZINC000092619017 407191917 /nfs/dbraw/zinc/19/19/17/407191917.db2.gz JPBUYUIQHRFHNL-CQSZACIVSA-N -1 1 302.378 1.360 20 0 DDADMM C[C@@H](OC[C@@H]1CCCCO1)C(=O)Nc1nc(-c2ccco2)n[n-]1 ZINC000066913825 407262593 /nfs/dbraw/zinc/26/25/93/407262593.db2.gz OJLUOTPXWQYMLJ-MNOVXSKESA-N -1 1 320.349 1.399 20 0 DDADMM CCc1c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cnn1CC(C)C ZINC000124162748 407350007 /nfs/dbraw/zinc/35/00/07/407350007.db2.gz CRYCNJHAIBECDS-LLVKDONJSA-N -1 1 319.413 1.490 20 0 DDADMM COc1ccc([C@H](C)CC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000124584634 407362908 /nfs/dbraw/zinc/36/29/08/407362908.db2.gz GEKHKEWXSVCXOH-NEPJUHHUSA-N -1 1 317.393 1.964 20 0 DDADMM O=C(CCc1cc(Br)cs1)Nc1nnn[n-]1 ZINC000107346507 407372818 /nfs/dbraw/zinc/37/28/18/407372818.db2.gz JCTCJDQNBCOHOT-UHFFFAOYSA-N -1 1 302.157 1.595 20 0 DDADMM O=C(CCc1cc(Br)cs1)Nc1nn[n-]n1 ZINC000107346507 407372819 /nfs/dbraw/zinc/37/28/19/407372819.db2.gz JCTCJDQNBCOHOT-UHFFFAOYSA-N -1 1 302.157 1.595 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccc(F)cc2)o1 ZINC000107697961 407378178 /nfs/dbraw/zinc/37/81/78/407378178.db2.gz RMUDQZJGPPVOLZ-UHFFFAOYSA-N -1 1 313.306 1.684 20 0 DDADMM Cn1cnc2cc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)ccc21 ZINC000124845838 407371354 /nfs/dbraw/zinc/37/13/54/407371354.db2.gz AQRBQNZNVIOWQJ-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM Cc1c(NC(=O)c2cncc([O-])c2)c(=O)n(-c2ccccc2)n1C ZINC000109042872 407394380 /nfs/dbraw/zinc/39/43/80/407394380.db2.gz BTSUUTXKZYFMQM-UHFFFAOYSA-N -1 1 324.340 1.837 20 0 DDADMM CCCCNC(=O)[C@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000111588809 407412333 /nfs/dbraw/zinc/41/23/33/407412333.db2.gz GVEWYBONTHZNIN-LBPRGKRZSA-N -1 1 305.378 1.556 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)NC(=O)c1cc(Cl)ccc1[O-] ZINC000113131723 407465805 /nfs/dbraw/zinc/46/58/05/407465805.db2.gz QACKGDQDGRMQRX-MRVPVSSYSA-N -1 1 305.783 1.599 20 0 DDADMM CCS(=O)(=O)C[C@H](C)N(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000112850345 407440767 /nfs/dbraw/zinc/44/07/67/407440767.db2.gz WKMMCQKSOQLXQW-VIFPVBQESA-N -1 1 319.810 1.941 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC[C@@H](C)C[C@H]2C)o1 ZINC000112955373 407451039 /nfs/dbraw/zinc/45/10/39/407451039.db2.gz DBACJNQMTZJBHK-GMTAPVOTSA-N -1 1 314.407 1.742 20 0 DDADMM Cc1ccn2ncc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)c2n1 ZINC000170849638 407530617 /nfs/dbraw/zinc/53/06/17/407530617.db2.gz VYAUZPFNIGCXJH-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM CCN(CC[N-]S(=O)(=O)c1c(F)cc(F)cc1F)C1CC1 ZINC000170873321 407540181 /nfs/dbraw/zinc/54/01/81/407540181.db2.gz JSZBQXVTZKXVOM-UHFFFAOYSA-N -1 1 322.352 1.867 20 0 DDADMM O=C(N[C@@H]1CCCN(CC(F)(F)F)C1=O)c1cncc([O-])c1 ZINC000178678731 407543923 /nfs/dbraw/zinc/54/39/23/407543923.db2.gz LZDWMPWMYIUODN-SNVBAGLBSA-N -1 1 317.267 1.070 20 0 DDADMM CCCCO[C@@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000114155689 407547515 /nfs/dbraw/zinc/54/75/15/407547515.db2.gz CXMGARMVSVUXRN-LBPRGKRZSA-N -1 1 320.393 1.461 20 0 DDADMM CC(C)(C)C(=O)NCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000129500608 407612532 /nfs/dbraw/zinc/61/25/32/407612532.db2.gz JXDZFNPRLVUBLF-UHFFFAOYSA-N -1 1 320.361 1.405 20 0 DDADMM COC(=O)C[C@H]1CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000178827313 407617486 /nfs/dbraw/zinc/61/74/86/407617486.db2.gz RBEMYMLHSPYATM-SNVBAGLBSA-N -1 1 315.329 1.091 20 0 DDADMM CC(C)[C@H](O)CNC(=O)c1cc(Br)ccc1[O-] ZINC000226654544 407619712 /nfs/dbraw/zinc/61/97/12/407619712.db2.gz NCIFMCIOVDOFQL-LLVKDONJSA-N -1 1 302.168 1.901 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000271319296 407632841 /nfs/dbraw/zinc/63/28/41/407632841.db2.gz UEKXZQWXMCSDTI-AWEZNQCLSA-N -1 1 324.336 1.565 20 0 DDADMM CCC(CC)[C@H](O)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000228558912 407648412 /nfs/dbraw/zinc/64/84/12/407648412.db2.gz ORJRMGZCFILTQQ-SECBINFHSA-N -1 1 309.819 1.149 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(C)CCC1 ZINC000228623573 407651420 /nfs/dbraw/zinc/65/14/20/407651420.db2.gz SYNNHILLZPOEAT-UHFFFAOYSA-N -1 1 301.368 1.055 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@H]2OCCC[C@@H]2C1 ZINC000130372710 407680153 /nfs/dbraw/zinc/68/01/53/407680153.db2.gz AMRXOLQMESCJIN-BXUZGUMPSA-N -1 1 313.357 1.564 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)[C@H]2CCCCO2)c1 ZINC000272095244 407772867 /nfs/dbraw/zinc/77/28/67/407772867.db2.gz VWBQJKNQEOUOHE-GXSJLCMTSA-N -1 1 317.363 1.302 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccc(Cl)o2)c1 ZINC000179537465 407804678 /nfs/dbraw/zinc/80/46/78/407804678.db2.gz SKIMXJAXHRFXKH-UHFFFAOYSA-N -1 1 316.722 1.538 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)[nH]n1 ZINC000179719644 407826195 /nfs/dbraw/zinc/82/61/95/407826195.db2.gz IRADVEOEKOGREE-QMMMGPOBSA-N -1 1 314.349 1.066 20 0 DDADMM COc1ccc(CN(C)C(=O)C[N@H+]2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000262628862 407885593 /nfs/dbraw/zinc/88/55/93/407885593.db2.gz GLLJTLKSFFQADL-CYBMUJFWSA-N -1 1 306.362 1.060 20 0 DDADMM CC(=O)NCCCNC(=O)c1ccc(Br)c([O-])c1 ZINC000134991266 407961950 /nfs/dbraw/zinc/96/19/50/407961950.db2.gz RMAVFIHEZLCJIL-UHFFFAOYSA-N -1 1 315.167 1.411 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1Cc2cc(Cl)ccc2O1)c1nn[n-]n1 ZINC000268245178 407968323 /nfs/dbraw/zinc/96/83/23/407968323.db2.gz VXAMOHQIGBWJNX-ZYHUDNBSSA-N -1 1 321.768 1.814 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(C(=O)c2cccc3c[nH]nc32)C1 ZINC000262922014 407971363 /nfs/dbraw/zinc/97/13/63/407971363.db2.gz OCWGLIUOCUBHMS-INIZCTEOSA-N -1 1 317.345 1.516 20 0 DDADMM COc1cc(C(=O)NC(C)(C)C(=O)N(C)C)cc(Cl)c1[O-] ZINC000135083566 407972439 /nfs/dbraw/zinc/97/24/39/407972439.db2.gz OEJPYGFHLBTCQO-UHFFFAOYSA-N -1 1 314.769 1.651 20 0 DDADMM O=C(CN(Cc1cccnc1)c1ccccc1)Nc1nnn[n-]1 ZINC000118494069 407921539 /nfs/dbraw/zinc/92/15/39/407921539.db2.gz CPZAQJPCRFRUNY-UHFFFAOYSA-N -1 1 309.333 1.240 20 0 DDADMM O=C(CN(Cc1cccnc1)c1ccccc1)Nc1nn[n-]n1 ZINC000118494069 407921545 /nfs/dbraw/zinc/92/15/45/407921545.db2.gz CPZAQJPCRFRUNY-UHFFFAOYSA-N -1 1 309.333 1.240 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1cc(F)cc2nc[nH]c21)[C@H]1CCCOC1 ZINC000262788756 407930989 /nfs/dbraw/zinc/93/09/89/407930989.db2.gz MWEPGPGZMPOSBX-UFBFGSQYSA-N -1 1 321.308 1.312 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](CO)CC1)c1ccc(F)c(F)c1F ZINC000245709176 408048443 /nfs/dbraw/zinc/04/84/43/408048443.db2.gz ZCRTWTGHTRXVMS-KYZUINATSA-N -1 1 323.336 1.933 20 0 DDADMM C[S@@](=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)cc1 ZINC000174741658 407986560 /nfs/dbraw/zinc/98/65/60/407986560.db2.gz ZWOGAKVUZHYCEP-BBATYDOGSA-N -1 1 303.405 1.345 20 0 DDADMM CC[C@@]([N-]S(=O)(=O)c1cccc(F)c1F)(C(N)=O)C(C)C ZINC000181534073 408009146 /nfs/dbraw/zinc/00/91/46/408009146.db2.gz WTAMOAJYMMOBFZ-ZDUSSCGKSA-N -1 1 320.361 1.533 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCc1ccccc1O)c2=O ZINC000119381092 408056688 /nfs/dbraw/zinc/05/66/88/408056688.db2.gz YZCMRWLYNXXGSZ-UHFFFAOYSA-N -1 1 312.329 1.352 20 0 DDADMM NS(=O)(=O)c1cccc(CNC(=O)c2ccc([O-])cc2F)c1 ZINC000154625892 408062582 /nfs/dbraw/zinc/06/25/82/408062582.db2.gz FBNQUBFHEROHPM-UHFFFAOYSA-N -1 1 324.333 1.109 20 0 DDADMM CCC[C@@H](NC(=O)c1cc2c([nH]c1=O)CCCC2)c1nn[n-]n1 ZINC000136660046 408117291 /nfs/dbraw/zinc/11/72/91/408117291.db2.gz XVQLNURRPRRUNZ-GFCCVEGCSA-N -1 1 316.365 1.038 20 0 DDADMM CC1(C)C(=O)NCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000182306453 408123165 /nfs/dbraw/zinc/12/31/65/408123165.db2.gz TXLCCKJQZQJGEF-UHFFFAOYSA-N -1 1 302.330 1.209 20 0 DDADMM COc1c(F)ccc(F)c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155643168 408193436 /nfs/dbraw/zinc/19/34/36/408193436.db2.gz HCYQVWCFJLWENT-MRVPVSSYSA-N -1 1 323.303 1.506 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)OC[C@H]1CCCCO1)c1nn[n-]n1 ZINC000246080318 408136631 /nfs/dbraw/zinc/13/66/31/408136631.db2.gz GPLPLUUGQBXIBW-UTUOFQBUSA-N -1 1 311.386 1.131 20 0 DDADMM O=C([O-])[C@@H]1CCN(CC(=O)N[C@@H](c2ccccc2)C2CC2)C1 ZINC000246176701 408166308 /nfs/dbraw/zinc/16/63/08/408166308.db2.gz BSLCREWLFDZMIT-ZBFHGGJFSA-N -1 1 302.374 1.660 20 0 DDADMM COc1cccc(-c2cc(C(=O)N(C)[C@@H](C)C(=O)[O-])n[nH]2)c1 ZINC000263558281 408172809 /nfs/dbraw/zinc/17/28/09/408172809.db2.gz AEICEHUBOLYLTE-VIFPVBQESA-N -1 1 303.318 1.630 20 0 DDADMM COc1cccc(-c2cc(C(=O)N3CC[C@@H](C(=O)[O-])C3)n[nH]2)c1 ZINC000263571891 408178045 /nfs/dbraw/zinc/17/80/45/408178045.db2.gz KBBUXVLUJKBMDC-LLVKDONJSA-N -1 1 315.329 1.632 20 0 DDADMM COCCOCCSc1nc(=O)cc([O-])n1C1CCCC1 ZINC000273414445 408186893 /nfs/dbraw/zinc/18/68/93/408186893.db2.gz FCSFPIIACYCQKV-UHFFFAOYSA-N -1 1 314.407 1.819 20 0 DDADMM COCCOCCSc1nc([O-])cc(=O)n1C1CCCC1 ZINC000273414445 408186899 /nfs/dbraw/zinc/18/68/99/408186899.db2.gz FCSFPIIACYCQKV-UHFFFAOYSA-N -1 1 314.407 1.819 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@@H]1c1ccc(F)cc1)c1nn[n-]n1 ZINC000273535347 408237166 /nfs/dbraw/zinc/23/71/66/408237166.db2.gz RWOAPBSHOSYPQQ-OASPWFOLSA-N -1 1 303.341 1.704 20 0 DDADMM NC(=O)[C@H]1CC[C@H](CNC(=O)c2cc3ccccc3cc2[O-])O1 ZINC000150893600 408221182 /nfs/dbraw/zinc/22/11/82/408221182.db2.gz KZJRTWBWUCUUDA-IUODEOHRSA-N -1 1 314.341 1.308 20 0 DDADMM O=S(=O)([N-]CCn1ccnc1)c1c(F)cccc1Cl ZINC000131002287 162002582 /nfs/dbraw/zinc/00/25/82/162002582.db2.gz UCNWHKRSKKOSGV-UHFFFAOYSA-N -1 1 303.746 1.654 20 0 DDADMM CN(C)C(=O)CCC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131322020 162011011 /nfs/dbraw/zinc/01/10/11/162011011.db2.gz NIAHILMSHAXORM-UHFFFAOYSA-N -1 1 322.789 1.626 20 0 DDADMM CCCC(O)(CCC)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132746255 162043629 /nfs/dbraw/zinc/04/36/29/162043629.db2.gz LEEHMADTIXTPJD-UHFFFAOYSA-N -1 1 320.393 1.735 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccccc2)cc1-n1cnnn1 ZINC000132838246 162046004 /nfs/dbraw/zinc/04/60/04/162046004.db2.gz YGSIZYDXXIYRCY-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM CCC(C)(C)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000190871877 408336997 /nfs/dbraw/zinc/33/69/97/408336997.db2.gz PBHOHSPUUFSOFZ-UHFFFAOYSA-N -1 1 304.394 1.734 20 0 DDADMM O=C(NCC1(CCO)CCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000134365377 162078158 /nfs/dbraw/zinc/07/81/58/162078158.db2.gz KYVBFNWEAIEFPP-UHFFFAOYSA-N -1 1 301.346 1.206 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1Cc2cccc(F)c2O1)c1nn[n-]n1 ZINC000136705935 162120497 /nfs/dbraw/zinc/12/04/97/162120497.db2.gz LGELHWMSGZNUSW-QWRGUYRKSA-N -1 1 305.313 1.300 20 0 DDADMM Cc1cc2ccccc2n1CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183396657 408398155 /nfs/dbraw/zinc/39/81/55/408398155.db2.gz SCJPDWPEFWKIJI-LLVKDONJSA-N -1 1 312.377 1.725 20 0 DDADMM CC(C)(C)[C@@H](O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000269747002 408415021 /nfs/dbraw/zinc/41/50/21/408415021.db2.gz GLRUAYYDUJEBCE-VIFPVBQESA-N -1 1 311.325 1.789 20 0 DDADMM CCC[C@@H](NC(=O)c1cn(C(C)(C)C)nc1C)c1nn[n-]n1 ZINC000183482881 408422809 /nfs/dbraw/zinc/42/28/09/408422809.db2.gz CGMFESBDEUWFOA-LLVKDONJSA-N -1 1 305.386 1.731 20 0 DDADMM CC[C@H](C(=O)[O-])N1CCN(C(=O)c2c(F)cccc2F)CC1 ZINC000191413350 408422975 /nfs/dbraw/zinc/42/29/75/408422975.db2.gz WLSKKDIJLXXAJP-GFCCVEGCSA-N -1 1 312.316 1.586 20 0 DDADMM CCC[C@H](NC(=O)CSCc1ccccn1)c1nn[n-]n1 ZINC000176756719 408433989 /nfs/dbraw/zinc/43/39/89/408433989.db2.gz YJPGUKUXFXGDOW-NSHDSACASA-N -1 1 306.395 1.486 20 0 DDADMM CCC[C@@H](NC(=O)CCNC(=O)c1ccsc1)c1nn[n-]n1 ZINC000176773244 408439939 /nfs/dbraw/zinc/43/99/39/408439939.db2.gz JLXGOJCDKYVEAS-SNVBAGLBSA-N -1 1 322.394 1.039 20 0 DDADMM CCC[C@H](NC(=O)CCc1ccc(OC)c(F)c1)c1nn[n-]n1 ZINC000176784435 408443403 /nfs/dbraw/zinc/44/34/03/408443403.db2.gz FUDWGHYJKHVLJQ-LBPRGKRZSA-N -1 1 321.356 1.938 20 0 DDADMM Cc1ccn(C)c(=O)c1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000176933997 408480531 /nfs/dbraw/zinc/48/05/31/408480531.db2.gz AADIJUMWMFYZRO-UHFFFAOYSA-N -1 1 314.313 1.773 20 0 DDADMM CC(C)Oc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)ccn1 ZINC000274723621 408509349 /nfs/dbraw/zinc/50/93/49/408509349.db2.gz BEGDBTRZWNAWRK-JTQLQIEISA-N -1 1 304.354 1.258 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1Cc2cc(Cl)ccc2O1)c1nn[n-]n1 ZINC000274727363 408511077 /nfs/dbraw/zinc/51/10/77/408511077.db2.gz BQEJKWRPXZPCFI-QPUJVOFHSA-N -1 1 321.768 1.419 20 0 DDADMM NC(=O)C1(C[N-]S(=O)(=O)c2cccc(F)c2F)CCCC1 ZINC000265242432 408589190 /nfs/dbraw/zinc/58/91/90/408589190.db2.gz UHJYTPOTJIBXRL-UHFFFAOYSA-N -1 1 318.345 1.289 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC(C)(O)CC3)cnc2n1 ZINC000177380709 408594604 /nfs/dbraw/zinc/59/46/04/408594604.db2.gz NZYJKCBIRWCMQG-UHFFFAOYSA-N -1 1 301.346 1.631 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC(C)(O)CC3)c[n-]c2n1 ZINC000177380709 408594610 /nfs/dbraw/zinc/59/46/10/408594610.db2.gz NZYJKCBIRWCMQG-UHFFFAOYSA-N -1 1 301.346 1.631 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(c2cccc(C)c2C)C1 ZINC000184760563 408686092 /nfs/dbraw/zinc/68/60/92/408686092.db2.gz AGESPHYGQHIBID-AWEZNQCLSA-N -1 1 320.389 1.741 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccccc2CC)C1 ZINC000184782168 408687700 /nfs/dbraw/zinc/68/77/00/408687700.db2.gz PDPFZBYFDYJQFM-CQSZACIVSA-N -1 1 320.389 1.686 20 0 DDADMM O=C(NCc1ccc(O)c(F)c1)N1CCN(CC2CC2)CC1 ZINC000163735657 408789154 /nfs/dbraw/zinc/78/91/54/408789154.db2.gz URUIUHCSIUZOHH-UHFFFAOYSA-N -1 1 307.369 1.769 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCO1)c1c(Cl)ccnc1Cl ZINC000270949942 408756722 /nfs/dbraw/zinc/75/67/22/408756722.db2.gz LFEXNAZKEXKHKJ-SSDOTTSWSA-N -1 1 311.190 1.846 20 0 DDADMM Cn1cncc1[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCO1 ZINC000276164113 408823591 /nfs/dbraw/zinc/82/35/91/408823591.db2.gz GEAPFYCMCOYWNS-ZWNOBZJWSA-N -1 1 302.334 1.171 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1nc(C(C)C)cs1 ZINC000290815711 408838074 /nfs/dbraw/zinc/83/80/74/408838074.db2.gz SPBKOFNZBZWMLA-UHFFFAOYSA-N -1 1 316.408 1.624 20 0 DDADMM Cc1cccc2ncnc(N3CCC[C@H](c4nc(=O)[n-][nH]4)C3)c12 ZINC000285724894 408851016 /nfs/dbraw/zinc/85/10/16/408851016.db2.gz SJRZTXFGQCOKNL-NSHDSACASA-N -1 1 310.361 1.734 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H]1CCO)c1cccc(F)c1F ZINC000188918833 163077471 /nfs/dbraw/zinc/07/74/71/163077471.db2.gz GMOZOKBVADIPMD-KOLCDFICSA-N -1 1 305.346 1.794 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CC(C)(C)OC)c1 ZINC000291858785 408934575 /nfs/dbraw/zinc/93/45/75/408934575.db2.gz FFBVGQIEGQZABZ-UHFFFAOYSA-N -1 1 316.379 1.054 20 0 DDADMM CCN(C)C(=O)CNC(=O)c1c[nH]c2ccc(C)cc2c1=O ZINC000286246757 408948672 /nfs/dbraw/zinc/94/86/72/408948672.db2.gz OXAGTQLNRFERJF-UHFFFAOYSA-N -1 1 301.346 1.457 20 0 DDADMM Cc1cc(C)c(S(=O)(=O)Nc2cncnc2)cc1C(=O)[O-] ZINC000231773384 163351353 /nfs/dbraw/zinc/35/13/53/163351353.db2.gz DRXODHUSTAHPQI-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C=C(C)C)CC2)n1 ZINC000277735097 409001151 /nfs/dbraw/zinc/00/11/51/409001151.db2.gz OJFGPZOHOFVUJD-UHFFFAOYSA-N -1 1 306.366 1.654 20 0 DDADMM O=C(Cc1[nH]nc2ccccc21)N[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000237991424 163393827 /nfs/dbraw/zinc/39/38/27/163393827.db2.gz BKNCPFRIPRYBMG-INIZCTEOSA-N -1 1 323.352 1.918 20 0 DDADMM O=C1NC[C@H](CSc2nc([O-])cc(=O)n2C2CCCC2)O1 ZINC000277510111 408954662 /nfs/dbraw/zinc/95/46/62/408954662.db2.gz FLPOPHZMRIPLJO-SECBINFHSA-N -1 1 311.363 1.265 20 0 DDADMM CS(=O)(=O)[C@H]1CCN(Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000282197084 409009077 /nfs/dbraw/zinc/00/90/77/409009077.db2.gz NRNHYSYAJZKNRK-LBPRGKRZSA-N -1 1 323.370 1.118 20 0 DDADMM COCCC(C)(C)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287262426 409010755 /nfs/dbraw/zinc/01/07/55/409010755.db2.gz SGRZPPYFHFEIQV-UNOMPAQXSA-N -1 1 305.382 1.526 20 0 DDADMM CCS(=O)(=O)NCc1nc(-c2ccc([O-])cc2Cl)no1 ZINC000277880567 409028767 /nfs/dbraw/zinc/02/87/67/409028767.db2.gz CFMGPSCNPGJFIS-UHFFFAOYSA-N -1 1 317.754 1.535 20 0 DDADMM CO[C@@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC[C@@H]1C ZINC000287764425 409088681 /nfs/dbraw/zinc/08/86/81/409088681.db2.gz VVINOTSAEQBZBO-ZYHJDFSESA-N -1 1 303.366 1.231 20 0 DDADMM CCSCc1cc(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)no1 ZINC000283339152 409140320 /nfs/dbraw/zinc/14/03/20/409140320.db2.gz YHNHVQJKCREKSD-BOPFTXTBSA-N -1 1 317.374 1.835 20 0 DDADMM CCc1sc(C(=O)Nc2nnn[n-]2)cc1Br ZINC000293649697 409154762 /nfs/dbraw/zinc/15/47/62/409154762.db2.gz HURHYYJVBGJJMF-UHFFFAOYSA-N -1 1 302.157 1.838 20 0 DDADMM CCc1sc(C(=O)Nc2nn[n-]n2)cc1Br ZINC000293649697 409154766 /nfs/dbraw/zinc/15/47/66/409154766.db2.gz HURHYYJVBGJJMF-UHFFFAOYSA-N -1 1 302.157 1.838 20 0 DDADMM O=C(N[C@H]1CCO[C@H](C2CC2)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000283471709 409162353 /nfs/dbraw/zinc/16/23/53/409162353.db2.gz PFLWSGPESNORLI-RYUDHWBXSA-N -1 1 318.402 1.705 20 0 DDADMM CC(C)C[C@H](CCO)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283613940 409185019 /nfs/dbraw/zinc/18/50/19/409185019.db2.gz ZEURYKPKIQNZGD-NSHDSACASA-N -1 1 322.434 1.793 20 0 DDADMM Cc1cccnc1C(F)(F)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000280254475 409278763 /nfs/dbraw/zinc/27/87/63/409278763.db2.gz NITDKZAVHCOCRU-VIFPVBQESA-N -1 1 310.308 1.257 20 0 DDADMM CS(=O)(=O)[N-]c1ccccc1C(=O)NCCN1CC=CCC1 ZINC000280331994 409293401 /nfs/dbraw/zinc/29/34/01/409293401.db2.gz VLMUSQZZOJJBBO-UHFFFAOYSA-N -1 1 323.418 1.050 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCC2)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000295099159 409321049 /nfs/dbraw/zinc/32/10/49/409321049.db2.gz YRENMULVPBCQJH-LLVKDONJSA-N -1 1 318.402 1.850 20 0 DDADMM CC[C@H](C)[C@H](C[N-]S(=O)(=O)c1cc(C(=O)OC)co1)OC ZINC000295167392 409354011 /nfs/dbraw/zinc/35/40/11/409354011.db2.gz AAWDACXPUWWTEW-ONGXEEELSA-N -1 1 319.379 1.406 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2ccc(C3CC3)cc2)n1 ZINC000295303170 409423154 /nfs/dbraw/zinc/42/31/54/409423154.db2.gz MMDQLFFASZTUPC-UHFFFAOYSA-N -1 1 320.374 1.668 20 0 DDADMM CC(C)[C@@H](CNC(=O)C(=O)c1ccc([O-])cc1)N1CCOCC1 ZINC000280754201 409439688 /nfs/dbraw/zinc/43/96/88/409439688.db2.gz KVBKEGFSOIBUGK-OAHLLOKOSA-N -1 1 320.389 1.048 20 0 DDADMM COCCn1ccc([N-]S(=O)(=O)N2C[C@H](C)C[C@H](C)C2)n1 ZINC000352201736 164119452 /nfs/dbraw/zinc/11/94/52/164119452.db2.gz VARYFTDRHIEGPR-TXEJJXNPSA-N -1 1 316.427 1.164 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)[N-]c2ccn(CC(F)(F)F)n2)C1 ZINC000408138301 164239526 /nfs/dbraw/zinc/23/95/26/164239526.db2.gz JLAXLWYHNJENJM-MRVPVSSYSA-N -1 1 312.317 1.444 20 0 DDADMM O=S(=O)([N-]CC1CCOCC1)c1ccc(Br)o1 ZINC000408216531 164263478 /nfs/dbraw/zinc/26/34/78/164263478.db2.gz WOCHLQXGBWFMKC-UHFFFAOYSA-N -1 1 324.196 1.747 20 0 DDADMM Cc1cnc(C(=O)N2CSC[C@@H]2C(=O)N2CCCC2)c([O-])c1 ZINC000408224781 164266427 /nfs/dbraw/zinc/26/64/27/164266427.db2.gz QTUIOQLACCAGIE-LLVKDONJSA-N -1 1 321.402 1.233 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(O)c1 ZINC000408405677 164320734 /nfs/dbraw/zinc/32/07/34/164320734.db2.gz FBASWSANZOEFSU-JTQLQIEISA-N -1 1 303.318 1.809 20 0 DDADMM CO[C@@H](C)c1nc(=NCCCOC[C@H]2CCCO2)s[n-]1 ZINC000337916732 409565901 /nfs/dbraw/zinc/56/59/01/409565901.db2.gz RJDBRMMGEJCVAJ-WDEREUQCSA-N -1 1 301.412 1.665 20 0 DDADMM COC(=O)c1cccc(C[N@H+]2CCC[C@H](c3n[nH]c(=O)o3)C2)c1 ZINC000295475761 409499238 /nfs/dbraw/zinc/49/92/38/409499238.db2.gz YJVXAXXRPZGCQA-ZDUSSCGKSA-N -1 1 317.345 1.529 20 0 DDADMM CO[C@@H](C)c1nc(=NCCCc2nnc3n2CCCC3)s[n-]1 ZINC000337863944 409521232 /nfs/dbraw/zinc/52/12/32/409521232.db2.gz JVYAJVYWQYHBRC-JTQLQIEISA-N -1 1 322.438 1.640 20 0 DDADMM COc1cccc2c(C(=O)N=c3[n-]nc4cc(C)ccn43)n[nH]c21 ZINC000348850001 409552222 /nfs/dbraw/zinc/55/22/22/409552222.db2.gz DDWACWPHRJSLQC-UHFFFAOYSA-N -1 1 322.328 1.597 20 0 DDADMM CCc1ccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1CC ZINC000354157479 409707580 /nfs/dbraw/zinc/70/75/80/409707580.db2.gz GPEXZWHRPTYFNI-UHFFFAOYSA-N -1 1 311.345 1.795 20 0 DDADMM C[C@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@@H]1CO ZINC000305168563 409709052 /nfs/dbraw/zinc/70/90/52/409709052.db2.gz IQRBNPIWZINIGR-GXFFZTMASA-N -1 1 301.346 1.568 20 0 DDADMM CCOc1cc(C(=O)N(C)[C@@H]2COC[C@H]2O)cc(Cl)c1[O-] ZINC000342457203 409645983 /nfs/dbraw/zinc/64/59/83/409645983.db2.gz YVZUSEQBMFQXGL-GHMZBOCLSA-N -1 1 315.753 1.276 20 0 DDADMM O=C(NCc1ccccc1Cn1ccnc1)c1ncccc1[O-] ZINC000338042307 409671096 /nfs/dbraw/zinc/67/10/96/409671096.db2.gz QRDIUGSDFGGOQD-UHFFFAOYSA-N -1 1 308.341 1.962 20 0 DDADMM CCN(c1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cn1)C(C)C ZINC000357078891 409851057 /nfs/dbraw/zinc/85/10/57/409851057.db2.gz YSXNAMYZZUBSBL-UHFFFAOYSA-N -1 1 315.381 1.249 20 0 DDADMM COCCN([C@@H]1CC[C@H](C)C1)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349506937 409855632 /nfs/dbraw/zinc/85/56/32/409855632.db2.gz WDUUCANNVFNFAS-GXSJLCMTSA-N -1 1 317.411 1.221 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ccc(Cl)cc2[O-])CCS1(=O)=O ZINC000312087442 409796306 /nfs/dbraw/zinc/79/63/06/409796306.db2.gz ODUHOPSDAFEKJT-GMSGAONNSA-N -1 1 303.767 1.351 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NC(C)(C)CF)o1 ZINC000354367762 409802343 /nfs/dbraw/zinc/80/23/43/409802343.db2.gz SKJFSAYDGMABGQ-UHFFFAOYSA-N -1 1 320.386 1.834 20 0 DDADMM O=C([O-])c1cccc(CNC(=O)N2CCN3CCCC[C@H]3C2)c1 ZINC000321718120 409913300 /nfs/dbraw/zinc/91/33/00/409913300.db2.gz NAVOGKZXSWKREA-HNNXBMFYSA-N -1 1 317.389 1.765 20 0 DDADMM Cn1nncc1CS(=O)(=O)c1ncc(-c2ccc(F)cc2)[n-]1 ZINC000357117755 409884538 /nfs/dbraw/zinc/88/45/38/409884538.db2.gz CVBAHJXBVVOUKP-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM Cn1nncc1CS(=O)(=O)c1nc(-c2ccc(F)cc2)c[n-]1 ZINC000357117755 409884545 /nfs/dbraw/zinc/88/45/45/409884545.db2.gz CVBAHJXBVVOUKP-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](C)Cc1cccc(O)c1 ZINC000349625003 409888715 /nfs/dbraw/zinc/88/87/15/409888715.db2.gz HCZGGOXUAYKFTR-QMMMGPOBSA-N -1 1 311.363 1.011 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)Cc1cccc(O)c1 ZINC000349625003 409888721 /nfs/dbraw/zinc/88/87/21/409888721.db2.gz HCZGGOXUAYKFTR-QMMMGPOBSA-N -1 1 311.363 1.011 20 0 DDADMM COc1cccc(NC(=O)CN2CC[C@H](C(=O)[O-])[C@H](C)C2)c1 ZINC000319356572 409895081 /nfs/dbraw/zinc/89/50/81/409895081.db2.gz NVDIJYHBXPWTEB-RISCZKNCSA-N -1 1 306.362 1.676 20 0 DDADMM COc1cc(-c2nc([C@H](C)NC(N)=O)no2)cc(Cl)c1[O-] ZINC000350583501 409967594 /nfs/dbraw/zinc/96/75/94/409967594.db2.gz NRGSPYWHXXUEQM-YFKPBYRVSA-N -1 1 312.713 1.834 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(CC(=O)Nc2cc(C)ccc2C)C1 ZINC000324182787 409926727 /nfs/dbraw/zinc/92/67/27/409926727.db2.gz XPSNTGUCDRXMFQ-KRWDZBQOSA-N -1 1 320.389 1.665 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1 ZINC000332292251 410047240 /nfs/dbraw/zinc/04/72/40/410047240.db2.gz GLHNWMXKEFUTNH-ZDUSSCGKSA-N -1 1 315.329 1.998 20 0 DDADMM Cc1ccc2c(c1)nc(CNc1nc3[nH][n-]cc-3c(=O)n1)n2C ZINC000357451544 410049383 /nfs/dbraw/zinc/04/93/83/410049383.db2.gz JREUTBXUECMNBX-UHFFFAOYSA-N -1 1 309.333 1.239 20 0 DDADMM CNc1ccccc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332302641 410054703 /nfs/dbraw/zinc/05/47/03/410054703.db2.gz KYIGIKMSEGMFHR-SNVBAGLBSA-N -1 1 302.334 1.837 20 0 DDADMM CCOc1ccc(OCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354774929 410079195 /nfs/dbraw/zinc/07/91/95/410079195.db2.gz ADNZGJRMDPLRCY-UHFFFAOYSA-N -1 1 305.338 1.029 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)C[C@@H](C)C(C)(C)C)o1 ZINC000636432702 422853657 /nfs/dbraw/zinc/85/36/57/422853657.db2.gz DEKFYCGECCPZAY-SNVBAGLBSA-N -1 1 316.423 1.942 20 0 DDADMM CCOc1cc(CNCCS(C)(=O)=O)cc(Cl)c1O ZINC000298246647 410152148 /nfs/dbraw/zinc/15/21/48/410152148.db2.gz CZLDRHZHNOZKQK-UHFFFAOYSA-N -1 1 307.799 1.579 20 0 DDADMM CCCC[C@H]1CCC[C@@H]1NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000357586185 410121336 /nfs/dbraw/zinc/12/13/36/410121336.db2.gz RIHYTKCRBUZRIR-UWVGGRQHSA-N -1 1 309.366 1.985 20 0 DDADMM COc1ccc(OC)c([C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC000329285747 410215149 /nfs/dbraw/zinc/21/51/49/410215149.db2.gz OKQNFHGFTVJVIO-ZDUSSCGKSA-N -1 1 318.377 1.463 20 0 DDADMM CCc1nc2ccccc2n1CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000355053468 410269711 /nfs/dbraw/zinc/26/97/11/410269711.db2.gz FMBNMEQRZOXJLQ-UHFFFAOYSA-N -1 1 313.365 1.163 20 0 DDADMM CCOC(=O)C[C@@H](C)NC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000351941382 410353296 /nfs/dbraw/zinc/35/32/96/410353296.db2.gz QLUUAACJBZWTOX-SSDOTTSWSA-N -1 1 313.379 1.284 20 0 DDADMM COc1ccc(CNC(=O)c2cncc([O-])c2)cc1NC(C)=O ZINC000339824615 410482186 /nfs/dbraw/zinc/48/21/86/410482186.db2.gz XAZRFJLQNWATCW-UHFFFAOYSA-N -1 1 315.329 1.684 20 0 DDADMM O=c1cc(/C=C\c2ccnn2-c2ccc(F)cc2)nc2nc[n-]n21 ZINC000352034591 410431051 /nfs/dbraw/zinc/43/10/51/410431051.db2.gz DYJRZCFYFIBZQY-UTCJRWHESA-N -1 1 322.303 1.913 20 0 DDADMM COc1cc(C(=O)NC[C@](C)(O)CSC)cc(Cl)c1[O-] ZINC000358617802 410498071 /nfs/dbraw/zinc/49/80/71/410498071.db2.gz RJKFNIPSTUYQOW-ZDUSSCGKSA-N -1 1 319.810 1.898 20 0 DDADMM CCOc1ccc([C@H](C)NCc2nc(=O)n(C)[n-]2)cc1OC ZINC000347536175 410604329 /nfs/dbraw/zinc/60/43/29/410604329.db2.gz QCYBSBHAECDGSM-JTQLQIEISA-N -1 1 306.366 1.367 20 0 DDADMM COC(=O)[C@H](c1ccccc1)N(C)C(=O)c1cncc([O-])c1 ZINC000339916965 410552745 /nfs/dbraw/zinc/55/27/45/410552745.db2.gz ZHKVCMAWQZLEAJ-AWEZNQCLSA-N -1 1 300.314 1.774 20 0 DDADMM C[C@H](NCc1nc(=O)n(C)[n-]1)c1ccccc1C(F)(F)F ZINC000347665113 410662831 /nfs/dbraw/zinc/66/28/31/410662831.db2.gz BEGAGXOTEJMXRY-QMMMGPOBSA-N -1 1 300.284 1.978 20 0 DDADMM O=C(N[C@H](CO)Cc1c[nH]c2ccccc12)c1cncc([O-])c1 ZINC000340006326 410611296 /nfs/dbraw/zinc/61/12/96/410611296.db2.gz ROYDQEUYAPOFIC-ZDUSSCGKSA-N -1 1 311.341 1.602 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(N2CCCC2)nc1 ZINC000635174282 422859065 /nfs/dbraw/zinc/85/90/65/422859065.db2.gz LJRVHJSFKXRYSO-UHFFFAOYSA-N -1 1 301.354 1.156 20 0 DDADMM CCO[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000353007356 410731696 /nfs/dbraw/zinc/73/16/96/410731696.db2.gz OMRQGLLQYXZJPO-WDEREUQCSA-N -1 1 321.345 1.437 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)[C@H]3CCC[C@H]3O)cnc2n1 ZINC000359596641 410760187 /nfs/dbraw/zinc/76/01/87/410760187.db2.gz MXFBAJKASZTFQU-QWHCGFSZSA-N -1 1 301.346 1.629 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)[C@H]3CCC[C@H]3O)c[n-]c2n1 ZINC000359596641 410760192 /nfs/dbraw/zinc/76/01/92/410760192.db2.gz MXFBAJKASZTFQU-QWHCGFSZSA-N -1 1 301.346 1.629 20 0 DDADMM O=C(C[C@@H]1CCCC(=O)N1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000347906310 410786218 /nfs/dbraw/zinc/78/62/18/410786218.db2.gz ORVSEMGWELZLNS-LBPRGKRZSA-N -1 1 314.345 1.780 20 0 DDADMM CCc1ccc(CN2CCN(c3nc(=N)[n-]s3)CC2)cn1 ZINC000347933340 410796927 /nfs/dbraw/zinc/79/69/27/410796927.db2.gz XPMCLPSQSCXXFY-UHFFFAOYSA-N -1 1 304.423 1.230 20 0 DDADMM CC(C)(NC(=O)c1csc(C(F)(F)F)n1)c1nn[n-]n1 ZINC000356186723 410885055 /nfs/dbraw/zinc/88/50/55/410885055.db2.gz BWQMTKJNCAMAID-UHFFFAOYSA-N -1 1 306.273 1.340 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)c2cncs2)o1 ZINC000348249499 410876179 /nfs/dbraw/zinc/87/61/79/410876179.db2.gz RQDMLGKNOLAYHC-ZETCQYMHSA-N -1 1 315.376 1.135 20 0 DDADMM Cc1cc(OCC(=O)NC2(c3nn[n-]n3)CC2)ccc1Cl ZINC000348285233 410897130 /nfs/dbraw/zinc/89/71/30/410897130.db2.gz YWZXRHBFMPTPCO-UHFFFAOYSA-N -1 1 307.741 1.346 20 0 DDADMM CC(C)C[C@@H](C)NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000337680751 410940118 /nfs/dbraw/zinc/94/01/18/410940118.db2.gz FXVDJBJJQAMXKH-SNVBAGLBSA-N -1 1 312.443 1.217 20 0 DDADMM Cn1cc(C(=O)Nc2nnn[n-]2)c(-c2ccc(Cl)s2)n1 ZINC000356486008 411051981 /nfs/dbraw/zinc/05/19/81/411051981.db2.gz KGTGKBWMWJGIHJ-UHFFFAOYSA-N -1 1 309.742 1.567 20 0 DDADMM Cn1cc(C(=O)Nc2nn[n-]n2)c(-c2ccc(Cl)s2)n1 ZINC000356486008 411051986 /nfs/dbraw/zinc/05/19/86/411051986.db2.gz KGTGKBWMWJGIHJ-UHFFFAOYSA-N -1 1 309.742 1.567 20 0 DDADMM COCCn1nc(C)c([N-]S(=O)(=O)C[C@@H]2CCCO2)c1C ZINC000331207891 411018491 /nfs/dbraw/zinc/01/84/91/411018491.db2.gz VKKRZVMBWFHJCY-LBPRGKRZSA-N -1 1 317.411 1.067 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCOCC(F)(F)F)sc1C ZINC000331251737 411043293 /nfs/dbraw/zinc/04/32/93/411043293.db2.gz TVWVNAYYXOBGHI-UHFFFAOYSA-N -1 1 318.342 1.617 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)C(=O)CCc2nn[n-]n2)C1(CC)CC ZINC000631678196 422877464 /nfs/dbraw/zinc/87/74/64/422877464.db2.gz AXRRIUPKVLALFY-NEPJUHHUSA-N -1 1 309.414 1.575 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C)c2nnc3ccccn32)sn1 ZINC000631685493 422880707 /nfs/dbraw/zinc/88/07/07/422880707.db2.gz VILOAZNFRBEQST-SECBINFHSA-N -1 1 323.403 1.534 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](C)OC[C@@H]2C)c1 ZINC000631721418 422892749 /nfs/dbraw/zinc/89/27/49/422892749.db2.gz RLOVPJYEPFSWCT-VHSXEESVSA-N -1 1 301.364 1.199 20 0 DDADMM CCO[C@@H](C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C1CC1 ZINC000629952071 422892821 /nfs/dbraw/zinc/89/28/21/422892821.db2.gz QFXYYHRITULIQE-CHWSQXEVSA-N -1 1 301.350 1.220 20 0 DDADMM C[C@@H](CO[C@H]1CCOC1)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631712224 422890098 /nfs/dbraw/zinc/89/00/98/422890098.db2.gz IQMKYZOAWXSBIO-JQWIXIFHSA-N -1 1 313.781 1.898 20 0 DDADMM CCc1ccc(CN2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)nc1 ZINC000580440300 422933273 /nfs/dbraw/zinc/93/32/73/422933273.db2.gz AUCHJCVWDAQCBG-AWEZNQCLSA-N -1 1 315.377 1.771 20 0 DDADMM Cc1nc(-c2ccc(N3CC(C)(C(N)=O)C3)nc2)[n-]c(=O)c1C ZINC000645293689 422983027 /nfs/dbraw/zinc/98/30/27/422983027.db2.gz RGWSXORBIRQMEC-UHFFFAOYSA-N -1 1 313.361 1.173 20 0 DDADMM CC(=O)N[C@@H]1CCCN(CCOc2ccccc2C(=O)[O-])C1 ZINC000652389851 422996370 /nfs/dbraw/zinc/99/63/70/422996370.db2.gz JTCPETQBEAQDAF-CYBMUJFWSA-N -1 1 306.362 1.364 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2cccc(Cl)c2F)CC1 ZINC000131780587 196198298 /nfs/dbraw/zinc/19/82/98/196198298.db2.gz HOZWKNATOSXULZ-UHFFFAOYSA-N -1 1 307.730 1.463 20 0 DDADMM C[C@@H](Cc1cnccn1)[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192042749 745680403 /nfs/dbraw/zinc/68/04/03/745680403.db2.gz JDCCDZDUMNZQRV-LURJTMIESA-N -1 1 318.613 1.655 20 0 DDADMM CC1(C)[C@H](NC(=O)c2c([O-])cccc2F)C[C@H]1N1CCOCC1 ZINC000640517951 423006418 /nfs/dbraw/zinc/00/64/18/423006418.db2.gz HJBYULFDMSZAPQ-ZIAGYGMSSA-N -1 1 322.380 1.760 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C1CCOCC1 ZINC000132944737 196298446 /nfs/dbraw/zinc/29/84/46/196298446.db2.gz QIVFLTOGKQGGPB-MRVPVSSYSA-N -1 1 307.803 1.167 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCC(C)(C)CO)c(F)c1 ZINC000650082933 423040698 /nfs/dbraw/zinc/04/06/98/423040698.db2.gz ADTBRPINNIMQOM-UHFFFAOYSA-N -1 1 323.361 1.660 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(Cc2cc3n(n2)CCCC3)C1 ZINC000652504792 423046791 /nfs/dbraw/zinc/04/67/91/423046791.db2.gz HIEZXXLZQFPVSG-INIZCTEOSA-N -1 1 307.394 1.533 20 0 DDADMM CC(C)(C)c1n[n-]c(S(=O)(=O)Cc2cc3n(n2)CCC3)n1 ZINC000650193629 423080955 /nfs/dbraw/zinc/08/09/55/423080955.db2.gz HMNMBGNBXMUWHV-UHFFFAOYSA-N -1 1 309.395 1.219 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2cc3n(n2)CCC3)n[n-]1 ZINC000650193629 423080958 /nfs/dbraw/zinc/08/09/58/423080958.db2.gz HMNMBGNBXMUWHV-UHFFFAOYSA-N -1 1 309.395 1.219 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2cc(F)ccc2OC)on1 ZINC000645560682 423085339 /nfs/dbraw/zinc/08/53/39/423085339.db2.gz BMVKHOHNFVVLEI-UHFFFAOYSA-N -1 1 314.338 1.863 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnccc1-n1cccn1)C1CC1 ZINC000571643113 304477192 /nfs/dbraw/zinc/47/71/92/304477192.db2.gz ZMMBPXPPPDHIPK-AWEZNQCLSA-N -1 1 322.390 1.434 20 0 DDADMM CO[C@H]1CCC[C@@H](C(=O)NCc2cc(=O)[n-]c(SC)n2)C1 ZINC000640655332 423115158 /nfs/dbraw/zinc/11/51/58/423115158.db2.gz LIEUVHMUFLZOES-KOLCDFICSA-N -1 1 311.407 1.726 20 0 DDADMM CCc1ncsc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640657013 423118270 /nfs/dbraw/zinc/11/82/70/423118270.db2.gz XSBJDQBPYQNIEO-UHFFFAOYSA-N -1 1 310.404 1.853 20 0 DDADMM CSc1nc(CNC(=O)[C@H](C)Oc2cccnc2)cc(=O)[n-]1 ZINC000640657759 423118088 /nfs/dbraw/zinc/11/80/88/423118088.db2.gz ILDHDLFXCXTSFG-VIFPVBQESA-N -1 1 320.374 1.383 20 0 DDADMM CC[C@@](COC)([N-]S(=O)(=O)CCCC(C)(C)C)C(=O)OC ZINC000416520165 287400747 /nfs/dbraw/zinc/40/07/47/287400747.db2.gz WUBODQIOSRKWQB-AWEZNQCLSA-N -1 1 323.455 1.700 20 0 DDADMM CCc1nsc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000290675985 221835635 /nfs/dbraw/zinc/83/56/35/221835635.db2.gz KXIFGGZDECUREY-MRVPVSSYSA-N -1 1 322.356 1.995 20 0 DDADMM C[C@@H](OC1CCCCCC1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000366182158 418439434 /nfs/dbraw/zinc/43/94/34/418439434.db2.gz KXUVMGWAKRONGA-YPMHNXCESA-N -1 1 323.397 1.228 20 0 DDADMM C[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@@H](C2CC2)O1 ZINC000366247751 418448295 /nfs/dbraw/zinc/44/82/95/418448295.db2.gz MEWOIOIDYQAIEW-RNCFNFMXSA-N -1 1 316.361 1.313 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CCCO1)[C@H](C)CC ZINC000360748345 418507053 /nfs/dbraw/zinc/50/70/53/418507053.db2.gz WDSANQBBIFSUBT-IJLUTSLNSA-N -1 1 307.412 1.063 20 0 DDADMM COc1cccc([C@H]2[C@@H](NC(=O)C(=O)[O-])CCN2C2CC2)c1 ZINC000366731990 418509919 /nfs/dbraw/zinc/50/99/19/418509919.db2.gz HNQMMHOLLMSXEX-KBPBESRZSA-N -1 1 304.346 1.174 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN[C@@H](c2cccc(F)c2)C1 ZINC000374312363 418516165 /nfs/dbraw/zinc/51/61/65/418516165.db2.gz DYILSOYQSXFRQW-CYBMUJFWSA-N -1 1 301.321 1.713 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC3(CCO)CCCC3)ccnc1-2 ZINC000287059351 418574123 /nfs/dbraw/zinc/57/41/23/418574123.db2.gz FCBHVWZIRXIDIH-PDGQHHTCSA-N -1 1 303.366 1.159 20 0 DDADMM CN(C)c1noc(C2CCN(C(=O)c3cncc([O-])c3)CC2)n1 ZINC000374892511 418574146 /nfs/dbraw/zinc/57/41/46/418574146.db2.gz GGPJAFCPBPQQEH-UHFFFAOYSA-N -1 1 317.349 1.256 20 0 DDADMM CC1(C)CCC[C@H]1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000360862691 418536817 /nfs/dbraw/zinc/53/68/17/418536817.db2.gz ZOXJVXHFXQJQAN-NSHDSACASA-N -1 1 305.378 1.460 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCCc2nn(C)cc21)c1nn[n-]n1 ZINC000366972457 418546438 /nfs/dbraw/zinc/54/64/38/418546438.db2.gz FRJNUIBWQWEREW-CABZTGNLSA-N -1 1 303.370 1.011 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)[C@H]3Cc4cc(F)ccc4O3)ccnc1-2 ZINC000374729953 418558132 /nfs/dbraw/zinc/55/81/32/418558132.db2.gz GYRYYZCKLLVGTJ-FAPYRAKZSA-N -1 1 312.304 1.423 20 0 DDADMM CCCC[C@H](C)N(C)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000291043204 222092862 /nfs/dbraw/zinc/09/28/62/222092862.db2.gz UVWHOVKDTWEQKJ-JTQLQIEISA-N -1 1 302.396 1.838 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCn3nccc32)c(=O)[n-]1 ZINC000375589929 418658571 /nfs/dbraw/zinc/65/85/71/418658571.db2.gz DUBQPEUTMSQYMA-UHFFFAOYSA-N -1 1 319.390 1.388 20 0 DDADMM Cc1cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c(F)cc1F ZINC000361519391 418668376 /nfs/dbraw/zinc/66/83/76/418668376.db2.gz NQUAZUIXGOJMSM-UHFFFAOYSA-N -1 1 316.289 1.047 20 0 DDADMM Cc1cc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c(F)cc1F ZINC000361519391 418668377 /nfs/dbraw/zinc/66/83/77/418668377.db2.gz NQUAZUIXGOJMSM-UHFFFAOYSA-N -1 1 316.289 1.047 20 0 DDADMM COc1ccc(C(=O)N=c2ccc([O-])n[nH]2)cc1OC(F)F ZINC000361970367 418732191 /nfs/dbraw/zinc/73/21/91/418732191.db2.gz WOGFSWOPZJYLLR-UHFFFAOYSA-N -1 1 311.244 1.466 20 0 DDADMM COc1ccc(C(=O)N=c2ccc(O)n[n-]2)cc1OC(F)F ZINC000361970367 418732192 /nfs/dbraw/zinc/73/21/92/418732192.db2.gz WOGFSWOPZJYLLR-UHFFFAOYSA-N -1 1 311.244 1.466 20 0 DDADMM C[C@@H]1[C@H](C(=O)[O-])CCCN1C(=O)[C@H]1NCCc2ccccc21 ZINC000384360074 418734258 /nfs/dbraw/zinc/73/42/58/418734258.db2.gz FVYQDFFTMSJGDH-KYOSRNDESA-N -1 1 302.374 1.585 20 0 DDADMM CC(C)C[C@@H](CNC(=O)[C@@H]1NCCc2ccccc21)C(=O)[O-] ZINC000388659430 418747212 /nfs/dbraw/zinc/74/72/12/418747212.db2.gz SQUALNLBQQHZBQ-DZGCQCFKSA-N -1 1 304.390 1.737 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N2CCO[C@H](c3nn[n-]n3)C2)CC(C)(C)C1 ZINC000365009449 418832052 /nfs/dbraw/zinc/83/20/52/418832052.db2.gz FNJPRSIEYQFEAR-WOPDTQHZSA-N -1 1 307.398 1.562 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1CC2CCC1CC2 ZINC000410663202 418836331 /nfs/dbraw/zinc/83/63/31/418836331.db2.gz NFIIRYVMGFFHAO-HTAVTVPLSA-N -1 1 305.378 1.784 20 0 DDADMM O=C(c1cc(-c2ccccc2)on1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000372839870 418907382 /nfs/dbraw/zinc/90/73/82/418907382.db2.gz SMOFFQXFGDBGTG-GFCCVEGCSA-N -1 1 324.344 1.875 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@]2(O)CCSC2)c(F)c1 ZINC000425166515 228377671 /nfs/dbraw/zinc/37/76/71/228377671.db2.gz IFOSWLMCQPVRDY-LBPRGKRZSA-N -1 1 323.386 1.420 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCC[C@@H]2C(N)=O)c(F)c1 ZINC000425186194 228384737 /nfs/dbraw/zinc/38/47/37/228384737.db2.gz NDUDQFRSMOIXON-GZMMTYOYSA-N -1 1 318.345 1.206 20 0 DDADMM O=c1cc(/C=C\c2cnnn2Cc2ccccc2)nc2nc[n-]n21 ZINC000426904932 419568077 /nfs/dbraw/zinc/56/80/77/419568077.db2.gz LTAIFGWMZYLLBM-SREVYHEPSA-N -1 1 319.328 1.228 20 0 DDADMM C[C@H](CC(=O)[O-])NC(=O)c1ccc(CN2CCSCC2)cc1 ZINC000427753540 419728469 /nfs/dbraw/zinc/72/84/69/419728469.db2.gz BJZPEMREQIVUDB-GFCCVEGCSA-N -1 1 322.430 1.828 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H](C)c2ccnn2C)c1 ZINC000421886343 419757793 /nfs/dbraw/zinc/75/77/93/419757793.db2.gz MAFCRVYMDHTEBJ-VIFPVBQESA-N -1 1 303.318 1.655 20 0 DDADMM NC(=O)c1csc(=NCC(=O)Nc2ccc(Cl)cc2)[n-]1 ZINC000432339045 229096420 /nfs/dbraw/zinc/09/64/20/229096420.db2.gz XYWJYOMHLNOIPA-UHFFFAOYSA-N -1 1 310.766 1.368 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(N(C)C)nc2)n1 ZINC000415637462 420132392 /nfs/dbraw/zinc/13/23/92/420132392.db2.gz MKFTVEIIRZOHNE-UHFFFAOYSA-N -1 1 303.322 1.300 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCc2cc(C)ccc21 ZINC000416137277 420254666 /nfs/dbraw/zinc/25/46/66/420254666.db2.gz VOMXLCYRPXJVPH-LLVKDONJSA-N -1 1 315.329 1.622 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCSCC(C)C ZINC000416176928 420269472 /nfs/dbraw/zinc/26/94/72/420269472.db2.gz HHWPDVLMENKNLB-UHFFFAOYSA-N -1 1 301.368 1.015 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H](O)C(F)F)cc1F ZINC000435649828 420272635 /nfs/dbraw/zinc/27/26/35/420272635.db2.gz ZPIFSZNHVMGUNZ-MRVPVSSYSA-N -1 1 301.261 1.178 20 0 DDADMM C[C@@H](CO)N(CC1CCC1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000435844389 420287913 /nfs/dbraw/zinc/28/79/13/420287913.db2.gz KQZFXYRCSVAGMG-JTQLQIEISA-N -1 1 318.377 1.297 20 0 DDADMM CC(C)(CNC(=O)c1ccc([O-])cc1F)C1(O)CCOCC1 ZINC000416316374 420327342 /nfs/dbraw/zinc/32/73/42/420327342.db2.gz HAKGMTPWUWKBOV-UHFFFAOYSA-N -1 1 311.353 1.829 20 0 DDADMM COc1ccc(C)cc1[N-]S(=O)(=O)c1c[nH]c(=O)s1 ZINC000436478605 420331549 /nfs/dbraw/zinc/33/15/49/420331549.db2.gz MYOYYCLTVRNIRD-UHFFFAOYSA-N -1 1 300.361 1.967 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cc(C)[nH]c(=O)c2)c1 ZINC000436501565 420333149 /nfs/dbraw/zinc/33/31/49/420333149.db2.gz APLQFHAMTNMYSM-UHFFFAOYSA-N -1 1 302.286 1.840 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cc(N(C)C)ccn2)c1 ZINC000436504062 420335781 /nfs/dbraw/zinc/33/57/81/420335781.db2.gz IPPLTTDXWLSQCY-UHFFFAOYSA-N -1 1 315.329 1.892 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N(CCO)CC1CC1 ZINC000436604828 420343301 /nfs/dbraw/zinc/34/33/01/420343301.db2.gz OVRJPTJJRBVYHZ-UHFFFAOYSA-N -1 1 314.179 1.999 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cccc2c1OCC2)c1ccco1 ZINC000420676538 420351441 /nfs/dbraw/zinc/35/14/41/420351441.db2.gz QOMPYVKVALTMNA-GFCCVEGCSA-N -1 1 323.370 1.881 20 0 DDADMM CC(C)c1cc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])n[nH]1 ZINC000436728017 420361690 /nfs/dbraw/zinc/36/16/90/420361690.db2.gz GPIWLKZXKAQDQD-UHFFFAOYSA-N -1 1 324.362 1.138 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCn2ncnc2C1 ZINC000436924140 420385274 /nfs/dbraw/zinc/38/52/74/420385274.db2.gz FKALZFMWAHXYEH-UHFFFAOYSA-N -1 1 323.150 1.402 20 0 DDADMM COCC[C@@H](CO)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436782606 420366815 /nfs/dbraw/zinc/36/68/15/420366815.db2.gz NWCKJHLAWFPGRU-VIFPVBQESA-N -1 1 303.742 1.181 20 0 DDADMM CC[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CCO1 ZINC000420717876 420368059 /nfs/dbraw/zinc/36/80/59/420368059.db2.gz DPKRAOFHUROSCJ-UWVGGRQHSA-N -1 1 317.363 1.302 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CS[C@H](C)C2)o1 ZINC000416598528 420429894 /nfs/dbraw/zinc/42/98/94/420429894.db2.gz TXOGHJVXAMXQFL-HTQZYQBOSA-N -1 1 305.377 1.239 20 0 DDADMM Cc1n[nH]cc1S(=O)(=O)[N-]c1cccc(F)c1-c1nc[nH]n1 ZINC000447270329 230356065 /nfs/dbraw/zinc/35/60/65/230356065.db2.gz GZNJOZAMDZILNJ-UHFFFAOYSA-N -1 1 322.325 1.443 20 0 DDADMM COCCOc1ncccc1[N-]S(=O)(=O)CCCCF ZINC000451000028 420578563 /nfs/dbraw/zinc/57/85/63/420578563.db2.gz GDFVSSKOKXHQBS-UHFFFAOYSA-N -1 1 306.359 1.598 20 0 DDADMM O=c1[nH]cc(S(=O)(=O)[N-]c2cccc3c2OCCC3)s1 ZINC000451026902 420582890 /nfs/dbraw/zinc/58/28/90/420582890.db2.gz UZTKKHARLCHAKZ-UHFFFAOYSA-N -1 1 312.372 1.975 20 0 DDADMM CC[C@H](NC(=O)c1c([O-])cnc2ccc(Cl)cc21)C(=O)NC ZINC000457039304 420593776 /nfs/dbraw/zinc/59/37/76/420593776.db2.gz FCIGNKUDYCINRJ-JTQLQIEISA-N -1 1 321.764 1.848 20 0 DDADMM CC(C)[C@H](CO)[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C(C)C ZINC000452904945 420677186 /nfs/dbraw/zinc/67/71/86/420677186.db2.gz BBWJWQXFOJAKMV-GJZGRUSLSA-N -1 1 307.390 1.980 20 0 DDADMM Cc1cnc(C(=O)Nc2c(C(C)C)n[nH]c2C(N)=O)c([O-])c1 ZINC000457114015 420619685 /nfs/dbraw/zinc/61/96/85/420619685.db2.gz IBGQOIZMYAGDJA-UHFFFAOYSA-N -1 1 303.322 1.293 20 0 DDADMM COc1ccc(C=CC(=O)N=c2ccc(=O)[n-][nH]2)c(OC)c1 ZINC000492673635 420624962 /nfs/dbraw/zinc/62/49/62/420624962.db2.gz FVLAYFQGIFJELV-QPJJXVBHSA-N -1 1 301.302 1.273 20 0 DDADMM COc1ccc(C=CC(=O)N=c2ccc([O-])n[nH]2)c(OC)c1 ZINC000492673635 420624966 /nfs/dbraw/zinc/62/49/66/420624966.db2.gz FVLAYFQGIFJELV-QPJJXVBHSA-N -1 1 301.302 1.273 20 0 DDADMM O=C(N[C@H]([C@@H](CO)C1CC1)C1CC1)c1csc(=NC2CC2)[n-]1 ZINC000452937028 420684013 /nfs/dbraw/zinc/68/40/13/420684013.db2.gz OWDVYSSNVXHROH-JSGCOSHPSA-N -1 1 321.446 1.666 20 0 DDADMM CN1CCCC(C)(C)[C@H]1CNS(=O)(=O)[N-]CC(F)(F)F ZINC000443212650 420750197 /nfs/dbraw/zinc/75/01/97/420750197.db2.gz MPZBJFCQWDQXMQ-SECBINFHSA-N -1 1 317.377 1.093 20 0 DDADMM COc1cc(C(=O)NCc2cnc(C)[nH]c2=O)cc(Cl)c1[O-] ZINC000442797362 420717254 /nfs/dbraw/zinc/71/72/54/420717254.db2.gz HOXUZZQYBNXIOI-UHFFFAOYSA-N -1 1 323.736 1.788 20 0 DDADMM COC[C@H](O)CCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442801968 420717888 /nfs/dbraw/zinc/71/78/88/420717888.db2.gz OLQQOQFYSPTIRH-SECBINFHSA-N -1 1 303.742 1.181 20 0 DDADMM COc1cc(C(=O)N[C@@H]2C(=O)NCC[C@H]2C)cc(Cl)c1[O-] ZINC000443041130 420737583 /nfs/dbraw/zinc/73/75/83/420737583.db2.gz XBTBOVIKNVTUJX-HQJQHLMTSA-N -1 1 312.753 1.309 20 0 DDADMM CC(=O)c1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(C)nc1C ZINC000487083798 421001806 /nfs/dbraw/zinc/00/18/06/421001806.db2.gz LTEXBAFLCVMFHO-MRVPVSSYSA-N -1 1 316.365 1.290 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCN1C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC000495557482 421022263 /nfs/dbraw/zinc/02/22/63/421022263.db2.gz WAYYOGRGWSSHSA-NXEZZACHSA-N -1 1 324.385 1.167 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@](C)(C(=O)[N-]OCCCO)C1 ZINC000495995428 421115041 /nfs/dbraw/zinc/11/50/41/421115041.db2.gz YQBPHLSQDDYNQE-AWEZNQCLSA-N -1 1 302.371 1.064 20 0 DDADMM Cc1cccc2c1O[C@@H](C(=O)Nc1nc(SCCO)n[nH]1)C2 ZINC000450123742 421145381 /nfs/dbraw/zinc/14/53/81/421145381.db2.gz AFYXGGUYFXPILW-SNVBAGLBSA-N -1 1 320.374 1.140 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](Cc1ccccc1)OC)c1nn[n-]n1 ZINC000450121736 421145448 /nfs/dbraw/zinc/14/54/48/421145448.db2.gz KUEGTNRZWGLOHP-OLZOCXBDSA-N -1 1 303.366 1.415 20 0 DDADMM CO[C@H]1C[C@H](N(C)C(=O)C(=O)c2ccc([O-])cc2)C12CCC2 ZINC000456559937 421183343 /nfs/dbraw/zinc/18/33/43/421183343.db2.gz GYXNKBXCEAEKKC-KBPBESRZSA-N -1 1 303.358 1.991 20 0 DDADMM CCc1nc(CC(=O)NC2(c3nn[n-]n3)CCCC2)cs1 ZINC000522854959 421227171 /nfs/dbraw/zinc/22/71/71/421227171.db2.gz HBDDDXCCFJDENB-UHFFFAOYSA-N -1 1 306.395 1.347 20 0 DDADMM O=C(NC12CC3CC(CC(C3)C1)C2)c1cc(=O)n2[n-]cnc2n1 ZINC000544684685 421228081 /nfs/dbraw/zinc/22/80/81/421228081.db2.gz OGEZOLXEFMMPIX-UHFFFAOYSA-N -1 1 313.361 1.116 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H]1C[C@@H](C(C)=O)C1(C)C)c1nn[n-]n1 ZINC000544806714 421231734 /nfs/dbraw/zinc/23/17/34/421231734.db2.gz GKNQSXVAAJUURC-GRYCIOLGSA-N -1 1 307.398 1.799 20 0 DDADMM Cc1cc(C)n([C@H](C)CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000560347372 421251892 /nfs/dbraw/zinc/25/18/92/421251892.db2.gz HNJAMTFZSVQYOK-OLZOCXBDSA-N -1 1 317.397 1.370 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)N=c1[n-]nc(C(F)(F)F)s1 ZINC000560379831 421256529 /nfs/dbraw/zinc/25/65/29/421256529.db2.gz XWQDNZPLDPLUDV-SSDOTTSWSA-N -1 1 323.344 1.537 20 0 DDADMM Cc1nc2ccc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)cc2o1 ZINC000526918847 421337676 /nfs/dbraw/zinc/33/76/76/421337676.db2.gz VDBBNQPCYSIWHG-LLVKDONJSA-N -1 1 312.333 1.669 20 0 DDADMM FC(F)(F)c1cc(CNC2(c3nnn[n-]3)CCCC2)[nH]n1 ZINC000562463411 421369581 /nfs/dbraw/zinc/36/95/81/421369581.db2.gz ILWSYIVLNIXRII-UHFFFAOYSA-N -1 1 301.276 1.501 20 0 DDADMM O=C(Nc1cc(F)cc(Cl)c1[O-])[C@@H]1C[N@H+]2CCN1CCC2 ZINC000548442452 421439429 /nfs/dbraw/zinc/43/94/29/421439429.db2.gz QSLQNCBSDQSOOC-LBPRGKRZSA-N -1 1 313.760 1.513 20 0 DDADMM C[C@]1(c2ccccc2)C[C@@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000562658919 421392985 /nfs/dbraw/zinc/39/29/85/421392985.db2.gz IVSXSGVDUCFXDL-QMTHXVAHSA-N -1 1 320.374 1.125 20 0 DDADMM C[C@]1(c2ccccc2)C[C@@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000562658919 421392987 /nfs/dbraw/zinc/39/29/87/421392987.db2.gz IVSXSGVDUCFXDL-QMTHXVAHSA-N -1 1 320.374 1.125 20 0 DDADMM CCNC(=O)c1ccc(=NCC2(c3ccccn3)CCC2)[n-]n1 ZINC000515240317 421486891 /nfs/dbraw/zinc/48/68/91/421486891.db2.gz ARZQJLHYHIZTSD-UHFFFAOYSA-N -1 1 311.389 1.577 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cc([C@@H]3CCCOC3)n[nH]2)cn1 ZINC000548986002 421488242 /nfs/dbraw/zinc/48/82/42/421488242.db2.gz BYDSJHYTFYHQRB-LLVKDONJSA-N -1 1 322.390 1.808 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cc([C@H]3CCCOC3)n[nH]2)cn1 ZINC000548986003 421489755 /nfs/dbraw/zinc/48/97/55/421489755.db2.gz BYDSJHYTFYHQRB-NSHDSACASA-N -1 1 322.390 1.808 20 0 DDADMM O=C(Cc1ccc2c[nH]nc2c1)NC1(c2nn[n-]n2)CCCC1 ZINC000530285981 421592124 /nfs/dbraw/zinc/59/21/24/421592124.db2.gz YBVYJHNGLKSHME-UHFFFAOYSA-N -1 1 311.349 1.204 20 0 DDADMM CCC[C@H](NC(=O)c1cc(-c2ccn(C)c2)n[nH]1)c1nn[n-]n1 ZINC000563633196 421530833 /nfs/dbraw/zinc/53/08/33/421530833.db2.gz ADZMLZUJQROLQU-JTQLQIEISA-N -1 1 314.353 1.200 20 0 DDADMM Cn1ncc2cc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)cnc21 ZINC000530627926 421610334 /nfs/dbraw/zinc/61/03/34/421610334.db2.gz JKWUOKMWXKWUNK-UHFFFAOYSA-N -1 1 311.227 1.358 20 0 DDADMM CCSc1nccnc1C(=O)[N-]c1nnc(C2CC2)s1 ZINC000568944598 421623005 /nfs/dbraw/zinc/62/30/05/421623005.db2.gz AAXUJJXLGNZSNF-UHFFFAOYSA-N -1 1 307.404 2.570 20 0 DDADMM CN(Cc1nnc2n1CCCCC2)C(=O)c1cncc([O-])c1 ZINC000517704628 421624697 /nfs/dbraw/zinc/62/46/97/421624697.db2.gz DHTGRAJYJQJLPZ-UHFFFAOYSA-N -1 1 301.350 1.377 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC(OCC(F)F)C3)cnc2n1 ZINC000531355116 421631489 /nfs/dbraw/zinc/63/14/89/421631489.db2.gz SYKDXWGOZFVKRI-UHFFFAOYSA-N -1 1 323.299 1.750 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC(OCC(F)F)C3)c[n-]c2n1 ZINC000531355116 421631491 /nfs/dbraw/zinc/63/14/91/421631491.db2.gz SYKDXWGOZFVKRI-UHFFFAOYSA-N -1 1 323.299 1.750 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccn(C)n1)c1nc(C(C)(C)C)no1 ZINC000571162412 421692401 /nfs/dbraw/zinc/69/24/01/421692401.db2.gz WIQIOOHREIGDJU-QMMMGPOBSA-N -1 1 313.383 1.140 20 0 DDADMM O=C(C(=O)N(CCO)C1CCSCC1)c1ccc([O-])cc1 ZINC000519613071 421707018 /nfs/dbraw/zinc/70/70/18/421707018.db2.gz MUGMODFMZKZKNC-UHFFFAOYSA-N -1 1 309.387 1.291 20 0 DDADMM CCCCOCCNC(=O)CN1CCC[C@@H](CC(=O)[O-])C1 ZINC000533203300 421668677 /nfs/dbraw/zinc/66/86/77/421668677.db2.gz WEYNLZZSSOSYLX-ZDUSSCGKSA-N -1 1 300.399 1.106 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2cncc(Cl)c2)on1 ZINC000533633073 421680468 /nfs/dbraw/zinc/68/04/68/421680468.db2.gz PJZKBTLHVYHWSG-UHFFFAOYSA-N -1 1 301.755 1.764 20 0 DDADMM C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1cn(CC(=O)[O-])nn1 ZINC000571053430 421684945 /nfs/dbraw/zinc/68/49/45/421684945.db2.gz KOHWDVMXXWMUSE-DGCLKSJQSA-N -1 1 318.352 1.880 20 0 DDADMM O=C([C@H]1OCCc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538225193 421737833 /nfs/dbraw/zinc/73/78/33/421737833.db2.gz GGAGMFAMQGPXSI-JSGCOSHPSA-N -1 1 313.361 1.220 20 0 DDADMM Cc1nc([C@H]2CCCN(CC(=O)Nc3nnn[n-]3)C2)sc1C ZINC000572002221 421758294 /nfs/dbraw/zinc/75/82/94/421758294.db2.gz IXZMUJPROWATCG-JTQLQIEISA-N -1 1 321.410 1.091 20 0 DDADMM Cc1nc([C@H]2CCCN(CC(=O)Nc3nn[n-]n3)C2)sc1C ZINC000572002221 421758297 /nfs/dbraw/zinc/75/82/97/421758297.db2.gz IXZMUJPROWATCG-JTQLQIEISA-N -1 1 321.410 1.091 20 0 DDADMM COc1cc(CNC(=O)C(=O)c2ccc([O-])cc2)ccc1O ZINC000558408230 421805018 /nfs/dbraw/zinc/80/50/18/421805018.db2.gz BTYMCBXQTSHOEW-UHFFFAOYSA-N -1 1 301.298 1.606 20 0 DDADMM CC(C)(C)n1cnc(=NC(=O)N2CCN(C3CCC3)CC2)[n-]1 ZINC000543364071 421836356 /nfs/dbraw/zinc/83/63/56/421836356.db2.gz KWVFBAIADDXLGZ-UHFFFAOYSA-N -1 1 306.414 1.157 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630223326 421972817 /nfs/dbraw/zinc/97/28/17/421972817.db2.gz SIWFMUMQWANVDZ-LBPRGKRZSA-N -1 1 300.399 1.055 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@](C)(C(=O)[O-])c1ccc(F)cc1 ZINC000630190480 421948867 /nfs/dbraw/zinc/94/88/67/421948867.db2.gz CFAJGFYZGWZLKX-HWPZZCPQSA-N -1 1 319.336 1.844 20 0 DDADMM CN(CCCNC(=O)CCCc1nn[n-]n1)c1ccccc1F ZINC000635396146 421949268 /nfs/dbraw/zinc/94/92/68/421949268.db2.gz GMXWPOMHGURECD-UHFFFAOYSA-N -1 1 320.372 1.304 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cccc3n[nH]cc32)[C@@H](C(=O)[O-])C1 ZINC000630209623 421962731 /nfs/dbraw/zinc/96/27/31/421962731.db2.gz GOMWJNZSLMARMB-TVQRCGJNSA-N -1 1 303.318 1.267 20 0 DDADMM Cc1n[nH]c(C(F)F)c1[N-]S(=O)(=O)c1c(C)onc1N ZINC000581407855 421966746 /nfs/dbraw/zinc/96/67/46/421966746.db2.gz LRKXPIBPMSXCTC-UHFFFAOYSA-N -1 1 307.282 1.166 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2C[C@H]2c2ccsc2)CC1 ZINC000630218107 421968328 /nfs/dbraw/zinc/96/83/28/421968328.db2.gz CIZPRBIPXKYVNJ-FPMFFAJLSA-N -1 1 322.430 1.859 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)[C@@H]1CCOC1 ZINC000632032256 422037863 /nfs/dbraw/zinc/03/78/63/422037863.db2.gz USUQNMBXFRKJLM-RNFRBKRXSA-N -1 1 313.301 1.132 20 0 DDADMM Cc1ccc(F)cc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630224161 421975121 /nfs/dbraw/zinc/97/51/21/421975121.db2.gz ODFJULIJUHSBQG-LBPRGKRZSA-N -1 1 308.353 1.755 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C2(c3ccccc3)CC2)CC1 ZINC000630225437 421975478 /nfs/dbraw/zinc/97/54/78/421975478.db2.gz TXBJILHBKKTCDS-CQSZACIVSA-N -1 1 316.401 1.726 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C(C)(C)c2ccccc2)CC1 ZINC000630224146 421975849 /nfs/dbraw/zinc/97/58/49/421975849.db2.gz MIMLLSRJFYRNQD-AWEZNQCLSA-N -1 1 318.417 1.972 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCC[C@@]1(O)CCCOC1 ZINC000633581020 421979969 /nfs/dbraw/zinc/97/99/69/421979969.db2.gz KGVNAUWRTGCECN-HNNXBMFYSA-N -1 1 313.781 1.636 20 0 DDADMM Cc1nnc([C@@H](C)N2CC[C@](C(=O)[O-])(c3ccccc3)C2)[nH]1 ZINC000573618997 421989747 /nfs/dbraw/zinc/98/97/47/421989747.db2.gz NWIQMPVLMXJQGR-BDJLRTHQSA-N -1 1 300.362 1.902 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCOc1ccc(F)cc1 ZINC000635449106 421995880 /nfs/dbraw/zinc/99/58/80/421995880.db2.gz QHWNRBCFJMLMLP-UHFFFAOYSA-N -1 1 307.329 1.247 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)[C@@H]2CCC[C@@H]21 ZINC000630271169 421999655 /nfs/dbraw/zinc/99/96/55/421999655.db2.gz FDVZTQYBCNHWMX-JTNHKYCSSA-N -1 1 314.345 1.673 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC[C@@H](O)C(F)(F)F)sn1 ZINC000632012857 422020176 /nfs/dbraw/zinc/02/01/76/422020176.db2.gz YQYXNDKQMDACJA-ZCFIWIBFSA-N -1 1 304.315 1.043 20 0 DDADMM CCN1CC[C@@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C1=O ZINC000633739626 422074038 /nfs/dbraw/zinc/07/40/38/422074038.db2.gz LCDGQKRZZONCRS-SNVBAGLBSA-N -1 1 316.279 1.762 20 0 DDADMM CCOC[C@@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)CCO1 ZINC000633684656 422048028 /nfs/dbraw/zinc/04/80/28/422048028.db2.gz WOQHWBBHEKWYKG-LBPRGKRZSA-N -1 1 313.781 1.852 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1(c2cccc(F)c2)CC1 ZINC000633690739 422052443 /nfs/dbraw/zinc/05/24/43/422052443.db2.gz BKZWGUUGZKVPMK-UHFFFAOYSA-N -1 1 316.336 1.309 20 0 DDADMM O=C(c1ccc2cccnc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000632054263 422053489 /nfs/dbraw/zinc/05/34/89/422053489.db2.gz QVCKEMBWXOIOKX-CYBMUJFWSA-N -1 1 308.345 1.768 20 0 DDADMM CNC(=O)c1ccc(CS(=O)(=O)[N-]CC(C)(F)F)cc1 ZINC000582401962 422146452 /nfs/dbraw/zinc/14/64/52/422146452.db2.gz VRMMNMSTPGDJLC-UHFFFAOYSA-N -1 1 306.334 1.121 20 0 DDADMM O=S(=O)([N-]CCCn1ccnn1)c1ccc(C(F)F)o1 ZINC000632203025 422160193 /nfs/dbraw/zinc/16/01/93/422160193.db2.gz KNNVECRXBMCXNE-UHFFFAOYSA-N -1 1 306.294 1.177 20 0 DDADMM C[C@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632203098 422160245 /nfs/dbraw/zinc/16/02/45/422160245.db2.gz MEWVNHDXRKWXRU-MUWHJKNJSA-N -1 1 313.301 1.274 20 0 DDADMM Cc1cc(C)cc(C[C@@H](C)NC(=O)CCCc2nn[n-]n2)c1 ZINC000635615843 422164960 /nfs/dbraw/zinc/16/49/60/422164960.db2.gz PVYQQVRQLDXMJW-CYBMUJFWSA-N -1 1 301.394 1.887 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C)C(F)(F)[C@@H](C)C1 ZINC000628398043 422165678 /nfs/dbraw/zinc/16/56/78/422165678.db2.gz KBYQLUHRPKDHTE-KNVOCYPGSA-N -1 1 317.292 1.260 20 0 DDADMM C[C@@H](CNC(=O)CCCc1nn[n-]n1)Oc1ccc(F)cc1 ZINC000635619624 422170946 /nfs/dbraw/zinc/17/09/46/422170946.db2.gz VDKIMSCJKRFOFU-JTQLQIEISA-N -1 1 307.329 1.245 20 0 DDADMM CO[C@H](CC[N-]S(=O)(=O)c1cc(C)ns1)C(F)(F)F ZINC000632263109 422204623 /nfs/dbraw/zinc/20/46/23/422204623.db2.gz MZBLIMGETSZZTO-SSDOTTSWSA-N -1 1 318.342 1.697 20 0 DDADMM COC(=O)c1cncc(S(=O)(=O)[N-]c2c[nH]nc2C2CC2)c1 ZINC000583575861 422189115 /nfs/dbraw/zinc/18/91/15/422189115.db2.gz USVHUSIEUHNIFE-UHFFFAOYSA-N -1 1 322.346 1.270 20 0 DDADMM CCOc1cc(Cl)ccc1CNC(=O)CCCc1nn[n-]n1 ZINC000635727989 422289073 /nfs/dbraw/zinc/28/90/73/422289073.db2.gz OVXNPQFEHADUDG-UHFFFAOYSA-N -1 1 323.784 1.891 20 0 DDADMM CC[N@H+]1C[C@H](C)N(C(=O)c2cc(=O)[nH]c(C3CC3)n2)C[C@@H]1C ZINC000584240840 422292310 /nfs/dbraw/zinc/29/23/10/422292310.db2.gz SZVAXIFQNCKFKY-QWRGUYRKSA-N -1 1 304.394 1.614 20 0 DDADMM CN(Cc1cc(F)c(F)c(F)c1)C(=O)CCCc1nn[n-]n1 ZINC000635773958 422331862 /nfs/dbraw/zinc/33/18/62/422331862.db2.gz WGQFEAJXOHGFKX-UHFFFAOYSA-N -1 1 313.283 1.598 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCO[C@H](c2ccccc2)C1 ZINC000635802390 422356629 /nfs/dbraw/zinc/35/66/29/422356629.db2.gz OZVXLPUTJKDSQF-KBPBESRZSA-N -1 1 315.377 1.559 20 0 DDADMM Cc1[nH]c2c(cc(C)cc2CNC(=O)CCc2nn[n-]n2)c1C ZINC000630831840 422361374 /nfs/dbraw/zinc/36/13/74/422361374.db2.gz DHWNEYQCXCAVTO-UHFFFAOYSA-N -1 1 312.377 1.855 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H](c1ccccc1)[C@@H]1CCOC1 ZINC000635765616 422326017 /nfs/dbraw/zinc/32/60/17/422326017.db2.gz SBEPHBBFRGEOMF-CJNGLKHVSA-N -1 1 315.377 1.416 20 0 DDADMM NC(=O)N1CCCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000630892978 422400277 /nfs/dbraw/zinc/40/02/77/422400277.db2.gz JGVUYJMZHSBIMF-UHFFFAOYSA-N -1 1 311.769 1.201 20 0 DDADMM CC(C)CS(=O)(=O)CCC(=O)Nc1cccc(F)c1[O-] ZINC000628960495 422418135 /nfs/dbraw/zinc/41/81/35/422418135.db2.gz DWBGGUZOQKGJSV-UHFFFAOYSA-N -1 1 303.355 1.931 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1cc(F)c(Cl)cc1F ZINC000635871401 422428224 /nfs/dbraw/zinc/42/82/24/422428224.db2.gz CGWLXSTZXXBBLB-UHFFFAOYSA-N -1 1 315.711 1.770 20 0 DDADMM Cc1c(=O)n(CCCN2CCOCC2)[n-]c1-c1ccccc1 ZINC000634616431 422508877 /nfs/dbraw/zinc/50/88/77/422508877.db2.gz MHNAALDPTSQUJH-CQSZACIVSA-N -1 1 301.390 1.591 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-]C[C@@H](n1cccn1)C(F)(F)F ZINC000632589654 422457334 /nfs/dbraw/zinc/45/73/34/422457334.db2.gz USNJDDLKSMOOFI-SECBINFHSA-N -1 1 324.284 1.261 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)[C@@H](C)O1 ZINC000632648814 422494363 /nfs/dbraw/zinc/49/43/63/422494363.db2.gz VATYWIIBOROEEL-OPVBNTEQSA-N -1 1 309.309 1.948 20 0 DDADMM CN(C)c1ccccc1CN(C)C(=O)CCCc1nn[n-]n1 ZINC000635940425 422494889 /nfs/dbraw/zinc/49/48/89/422494889.db2.gz ZMXJKKXMUQLHQP-UHFFFAOYSA-N -1 1 302.382 1.247 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC000635944672 422497340 /nfs/dbraw/zinc/49/73/40/422497340.db2.gz ARTFWFBDFCBPGM-RISCZKNCSA-N -1 1 305.382 1.083 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1Cc2cnn(-c3ccccc3)c2C1 ZINC000635989485 422543329 /nfs/dbraw/zinc/54/33/29/422543329.db2.gz JYRBMVOSDADQJV-UHFFFAOYSA-N -1 1 323.360 1.251 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1ccc2c(c1)nnn2C)C1CC1 ZINC000629301477 422593313 /nfs/dbraw/zinc/59/33/13/422593313.db2.gz IPFHPQWBCRFRPT-CQSZACIVSA-N -1 1 324.406 1.525 20 0 DDADMM CNC(=O)[C@@H]1CCCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000631226529 422614477 /nfs/dbraw/zinc/61/44/77/422614477.db2.gz GNOLCROACLNGRC-LLVKDONJSA-N -1 1 310.781 1.573 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-]c1cc(C2C(C)(C)C2(C)C)n[nH]1 ZINC000629351110 422626631 /nfs/dbraw/zinc/62/66/31/422626631.db2.gz UEOKYDBLPAXZHW-UHFFFAOYSA-N -1 1 324.410 1.489 20 0 DDADMM CCc1nc(CN2CCC(c3ncc(C(=O)[O-])s3)CC2)n[nH]1 ZINC000629370924 422637146 /nfs/dbraw/zinc/63/71/46/422637146.db2.gz BXFXHTAEILBKKO-UHFFFAOYSA-N -1 1 321.406 1.901 20 0 DDADMM Cc1ccccc1[C@@]1(F)CCN(C(=O)CCc2nn[n-]n2)C1 ZINC000632903108 422651979 /nfs/dbraw/zinc/65/19/79/422651979.db2.gz VYAOGNIAIRYRDL-OAHLLOKOSA-N -1 1 303.341 1.538 20 0 DDADMM C[C@H](NC(=O)CCCc1nn[n-]n1)C1CCC(F)(F)CC1 ZINC000636142892 422673201 /nfs/dbraw/zinc/67/32/01/422673201.db2.gz PNJGQRYWRMWQJN-VIFPVBQESA-N -1 1 301.341 1.853 20 0 DDADMM Nc1nc(CN2CCCC[C@H]2CC(=O)[O-])nc2ccccc12 ZINC000650507299 423181175 /nfs/dbraw/zinc/18/11/75/423181175.db2.gz PXJKUCUPSNHCOF-NSHDSACASA-N -1 1 300.362 1.872 20 0 DDADMM COCc1ccnc(NC(=O)c2csc(=NC3CC3)[n-]2)c1 ZINC000653007677 423256742 /nfs/dbraw/zinc/25/67/42/423256742.db2.gz LIUHFUJLUYMLQN-UHFFFAOYSA-N -1 1 304.375 1.933 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1CC[C@H]1c1ccc(Cl)cc1F ZINC000648307627 423311022 /nfs/dbraw/zinc/31/10/22/423311022.db2.gz FZZWSWLTHNBNGN-WCBMZHEXSA-N -1 1 309.732 1.802 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2NCC2(C)C)c1Br ZINC000646137233 423363234 /nfs/dbraw/zinc/36/32/34/423363234.db2.gz NMFFEWHJZFCSRR-MRVPVSSYSA-N -1 1 301.188 1.093 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000653307176 423434047 /nfs/dbraw/zinc/43/40/47/423434047.db2.gz DZJYLQCUXSIOAB-BETUJISGSA-N -1 1 314.426 1.493 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C)NC(=O)CC1CCCCC1 ZINC000646412125 423481034 /nfs/dbraw/zinc/48/10/34/423481034.db2.gz COHRTAOASDDWMT-JTQLQIEISA-N -1 1 308.382 1.837 20 0 DDADMM O=C(Cn1nc2n(c1=O)CCCC2)Nc1ccc([O-])c(Cl)c1 ZINC000651554796 423590813 /nfs/dbraw/zinc/59/08/13/423590813.db2.gz WPPHOGGBHINNFF-UHFFFAOYSA-N -1 1 322.752 1.379 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C)OC2(CCCC2)C1 ZINC000649179796 423663144 /nfs/dbraw/zinc/66/31/44/423663144.db2.gz UFHVSWHLFFKOFC-SECBINFHSA-N -1 1 323.349 1.070 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2ccc3oc(=O)nc-3[n-]2)n[nH]1 ZINC000646911679 423665127 /nfs/dbraw/zinc/66/51/27/423665127.db2.gz DPTFCCWTECCKEE-ZETCQYMHSA-N -1 1 301.306 1.321 20 0 DDADMM CCOc1cccc(CN2CCC[C@@H](c3n[n-]c(=N)o3)C2)n1 ZINC000639822453 423760557 /nfs/dbraw/zinc/76/05/57/423760557.db2.gz OZXCYAIEIUZHJY-LLVKDONJSA-N -1 1 303.366 1.655 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2cnc3n2CCC3)[nH]nc1C(C)C ZINC000647278908 423947137 /nfs/dbraw/zinc/94/71/37/423947137.db2.gz UTXZYDWSYZOGDW-UHFFFAOYSA-N -1 1 309.395 1.785 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)C[C@H]1C1CC1 ZINC000652131959 423893502 /nfs/dbraw/zinc/89/35/02/423893502.db2.gz WAUGXHJJGUXGKS-WDEREUQCSA-N -1 1 300.318 1.141 20 0 DDADMM COCC(C)(C)N1CCN(C(=O)CC(C)(C)CC(=O)[O-])CC1 ZINC000644875470 424002115 /nfs/dbraw/zinc/00/21/15/424002115.db2.gz YWNJYKCKBYTTLG-UHFFFAOYSA-N -1 1 314.426 1.447 20 0 DDADMM Cn1cc([C@@H]2C[C@H]2C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000644884343 424012623 /nfs/dbraw/zinc/01/26/23/424012623.db2.gz XEYXIUHTBGTLPX-ADEWGFFLSA-N -1 1 317.349 1.018 20 0 DDADMM CC1(C)C[C@@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CO1 ZINC000657037862 424023658 /nfs/dbraw/zinc/02/36/58/424023658.db2.gz LVLTXUYWPQAULL-MRVPVSSYSA-N -1 1 324.324 1.946 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H]1OCc2ccccc21)c1nn[n-]n1 ZINC000654869792 423989075 /nfs/dbraw/zinc/98/90/75/423989075.db2.gz AASLDDOVRBYDNZ-CHWSQXEVSA-N -1 1 301.350 1.819 20 0 DDADMM Cc1nn2c(CN3CC[C@@H](C)[C@H](C(=O)[O-])C3)c(C)nc2s1 ZINC000647461113 424048851 /nfs/dbraw/zinc/04/88/51/424048851.db2.gz FXEZRBHDGDHKRA-LDYMZIIASA-N -1 1 308.407 1.950 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@@H](O)C1CCOCC1 ZINC000640340582 424364733 /nfs/dbraw/zinc/36/47/33/424364733.db2.gz KNVVCBFLFWDURP-GFCCVEGCSA-N -1 1 321.402 1.627 20 0 DDADMM Cc1nc2n(n1)CCN(C(=O)N=c1[n-]sc3ccccc31)C2 ZINC000640343487 424367799 /nfs/dbraw/zinc/36/77/99/424367799.db2.gz VTEOKDRIALHACU-UHFFFAOYSA-N -1 1 314.374 1.666 20 0 DDADMM CC1(C)CNC(=O)[C@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000660012234 424454978 /nfs/dbraw/zinc/45/49/78/424454978.db2.gz AVMGHCMSWVCUDQ-SSDOTTSWSA-N -1 1 308.812 1.204 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)Cc2c(F)cccc2F)on1 ZINC000660012675 424456169 /nfs/dbraw/zinc/45/61/69/424456169.db2.gz NRWIDQZGLPEQAF-UHFFFAOYSA-N -1 1 318.301 1.581 20 0 DDADMM CC(=O)N(CC(=O)[O-])C[C@@H]1CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)CCO1 ZINC000662206960 424474875 /nfs/dbraw/zinc/47/48/75/424474875.db2.gz XUKFFMQBZQWYDH-WCVJEAGWSA-N -1 1 324.421 1.057 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NC[C@@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665307523 424778551 /nfs/dbraw/zinc/77/85/51/424778551.db2.gz JBBKRZBBBXJFIF-TVQRCGJNSA-N -1 1 308.382 1.449 20 0 DDADMM O=C([O-])CC[C@H]1CCCN(C(=O)[C@H]2NCCc3ccccc32)C1 ZINC000321596416 271027506 /nfs/dbraw/zinc/02/75/06/271027506.db2.gz QPXABVHLLCCYSO-DYVFJYSZSA-N -1 1 316.401 1.977 20 0 DDADMM O=C(N=c1ccc(=O)[n-][nH]1)C1(Oc2ccc(Cl)cc2)CC1 ZINC000341689942 271170826 /nfs/dbraw/zinc/17/08/26/271170826.db2.gz ZMTGYCUFRSURQA-UHFFFAOYSA-N -1 1 305.721 1.808 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cnc3ccc(F)cc3c2)n1 ZINC000344759068 272111349 /nfs/dbraw/zinc/11/13/49/272111349.db2.gz KYFHTDCVINNMKL-UHFFFAOYSA-N -1 1 322.321 1.544 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]CCC2(F)CCC2)s1 ZINC000344941865 272142248 /nfs/dbraw/zinc/14/22/48/272142248.db2.gz NKXUPTCVROHEON-UHFFFAOYSA-N -1 1 321.399 1.662 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccccc1-n1nccc1C ZINC000193341273 277324992 /nfs/dbraw/zinc/32/49/92/277324992.db2.gz CUADUYZMKYBIAL-GFCCVEGCSA-N -1 1 309.391 1.957 20 0 DDADMM CC(C)(C)c1ccccc1NC(=O)CS(=O)(=O)c1ncn[n-]1 ZINC000086169881 281015639 /nfs/dbraw/zinc/01/56/39/281015639.db2.gz RAIICZJHTMDIBV-UHFFFAOYSA-N -1 1 322.390 1.515 20 0 DDADMM CC(C)(C)c1ccccc1NC(=O)CS(=O)(=O)c1nc[n-]n1 ZINC000086169881 281015641 /nfs/dbraw/zinc/01/56/41/281015641.db2.gz RAIICZJHTMDIBV-UHFFFAOYSA-N -1 1 322.390 1.515 20 0 DDADMM O=C(NC[C@H]1CCCS1(=O)=O)c1cc(Cl)ccc1[O-] ZINC000127029930 281260617 /nfs/dbraw/zinc/26/06/17/281260617.db2.gz SJHFKULYQBPWHH-SECBINFHSA-N -1 1 303.767 1.353 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(OC)cc2C)co1 ZINC000128503981 281313102 /nfs/dbraw/zinc/31/31/02/281313102.db2.gz BXYZEABEKINJHA-UHFFFAOYSA-N -1 1 324.358 1.757 20 0 DDADMM CC[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H]1CCCO1 ZINC000128731081 281320410 /nfs/dbraw/zinc/32/04/10/281320410.db2.gz RXKXAWWTGKJYGD-AAEUAGOBSA-N -1 1 301.346 1.611 20 0 DDADMM COc1ccc(NS(=O)(=O)c2cccnc2)c(C(=O)[O-])c1 ZINC000148545169 281648136 /nfs/dbraw/zinc/64/81/36/281648136.db2.gz DCPIKGYURCJFQV-UHFFFAOYSA-N -1 1 308.315 1.589 20 0 DDADMM C[C@@H]1[C@H](N2CCOCC2)CCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000290797232 289047587 /nfs/dbraw/zinc/04/75/87/289047587.db2.gz DLDVODGUKKQIOY-BXUZGUMPSA-N -1 1 324.808 1.981 20 0 DDADMM O=C(c1ccccc1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000171235065 298230115 /nfs/dbraw/zinc/23/01/15/298230115.db2.gz ICOJVZZNJABOQO-UHFFFAOYSA-N -1 1 311.341 1.385 20 0 DDADMM CCOC(=O)c1ccc(CNC(=O)c2cncc([O-])c2)cc1 ZINC000171866115 298237566 /nfs/dbraw/zinc/23/75/66/298237566.db2.gz JBMRLYWBKAQSCT-UHFFFAOYSA-N -1 1 300.314 1.894 20 0 DDADMM O=S(=O)([N-][C@@H]1CCN(C2CC2)C1)c1ccc(F)c(F)c1F ZINC000354289713 298393275 /nfs/dbraw/zinc/39/32/75/298393275.db2.gz MILLLYNQXFLVDW-MRVPVSSYSA-N -1 1 320.336 1.619 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)c2ccc3[nH]nnc3c2)C(=O)[O-])cc1 ZINC000362805487 300145547 /nfs/dbraw/zinc/14/55/47/300145547.db2.gz AFBPIHUKDDVEKQ-CYBMUJFWSA-N -1 1 324.340 1.865 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc[nH]c1C1CCC1 ZINC000362816327 300148632 /nfs/dbraw/zinc/14/86/32/300148632.db2.gz ZPCFRADMQNGGMB-UHFFFAOYSA-N -1 1 300.366 1.995 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](O)C2(CC2)C1 ZINC000368727058 301081770 /nfs/dbraw/zinc/08/17/70/301081770.db2.gz HDVXYKOGBNERMT-SNVBAGLBSA-N -1 1 305.378 1.385 20 0 DDADMM C[C@@H]1OCC[C@H]1S(=O)(=O)Nc1cccc(C(=O)[O-])c1O ZINC000575992668 304745159 /nfs/dbraw/zinc/74/51/59/304745159.db2.gz FTVVWIOICZRSIS-OIBJUYFYSA-N -1 1 301.320 1.010 20 0 DDADMM Cc1cn(-c2cccnc2)nc1[N-]S(=O)(=O)N(C)CC1CC1 ZINC000371684309 301487623 /nfs/dbraw/zinc/48/76/23/301487623.db2.gz CSUZKHRBWDFQJD-UHFFFAOYSA-N -1 1 321.406 1.574 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CCC[C@](F)(CO)C1 ZINC000377083445 302141382 /nfs/dbraw/zinc/14/13/82/302141382.db2.gz QTPDCPNRDALMBE-MRXNPFEDSA-N -1 1 304.321 1.877 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C)O[C@]3(CCCOC3)C2)c([O-])c1 ZINC000333105271 302334146 /nfs/dbraw/zinc/33/41/46/302334146.db2.gz DIZIWKYJVGFRFP-BLLLJJGKSA-N -1 1 306.362 1.506 20 0 DDADMM O=c1nc(N[C@@H]2[C@H]3Cc4cc(Cl)ccc4[C@@H]23)nc2[nH][n-]cc1-2 ZINC000528104664 303003797 /nfs/dbraw/zinc/00/37/97/303003797.db2.gz OSQYLVOXKVZIOR-MVWJERBFSA-N -1 1 313.748 1.836 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@H]1CCCO1)c1c(F)cccc1Cl ZINC000528484874 303033269 /nfs/dbraw/zinc/03/32/69/303033269.db2.gz IUWMVQWOYUWZOW-GHMZBOCLSA-N -1 1 323.773 1.297 20 0 DDADMM O=C1Nc2ccccc2C[C@@H]1[N-]S(=O)(=O)CCC(F)(F)F ZINC000528541852 303041713 /nfs/dbraw/zinc/04/17/13/303041713.db2.gz JHFRSKFNSFBOGS-JTQLQIEISA-N -1 1 322.308 1.422 20 0 DDADMM O=S(=O)([N-]CC1CC(O)C1)c1ncccc1C(F)(F)F ZINC000528560792 303044743 /nfs/dbraw/zinc/04/47/43/303044743.db2.gz DOGLEWMASNRLIR-UHFFFAOYSA-N -1 1 310.297 1.150 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1[C@H](C)[C@@H]1C1CC1 ZINC000528565856 303045609 /nfs/dbraw/zinc/04/56/09/303045609.db2.gz BUBIUXUYIAYEEY-ZRKRTKQZSA-N -1 1 314.389 1.960 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1Cc2c1cccc2Cl)c1nn[n-]n1 ZINC000529019756 303081386 /nfs/dbraw/zinc/08/13/86/303081386.db2.gz IVYIRUGTRFLQHV-LDYMZIIASA-N -1 1 305.769 1.755 20 0 DDADMM O=C([O-])CCN(Cc1cc(CO)ccc1F)C[C@H]1CCCO1 ZINC000530163165 303177649 /nfs/dbraw/zinc/17/76/49/303177649.db2.gz MTQAATMDDWIEGX-CQSZACIVSA-N -1 1 311.353 1.774 20 0 DDADMM O=S(=O)([N-]CCOCC(F)F)c1cc2ccccc2o1 ZINC000530514921 303201205 /nfs/dbraw/zinc/20/12/05/303201205.db2.gz USHNSYVBTFDYSD-UHFFFAOYSA-N -1 1 305.302 1.993 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccn(C2CCCC2)n1 ZINC000531912086 303265744 /nfs/dbraw/zinc/26/57/44/303265744.db2.gz GQEMIWNFTZDWNY-UHFFFAOYSA-N -1 1 315.381 1.711 20 0 DDADMM CC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCCCCC1 ZINC000544803706 303478212 /nfs/dbraw/zinc/47/82/12/303478212.db2.gz QCQJVOXLWXQTHC-UHFFFAOYSA-N -1 1 303.366 1.508 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CCOC[C@@H]2C)sc1C ZINC000560472665 303816085 /nfs/dbraw/zinc/81/60/85/303816085.db2.gz BKBQYCHYOSCFEB-GZMMTYOYSA-N -1 1 304.437 1.711 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N(CC1CC1)CC1CCC1 ZINC000358423926 306941790 /nfs/dbraw/zinc/94/17/90/306941790.db2.gz JGKRSYNRHDGTEG-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM COc1ccc(CN[C@H](C(=O)[O-])c2ccnn2C)c(C)c1OC ZINC000424616537 533696087 /nfs/dbraw/zinc/69/60/87/533696087.db2.gz GEXAPSWHMQYIDA-AWEZNQCLSA-N -1 1 319.361 1.661 20 0 DDADMM CN(C(=O)c1[n-]cnc1C(=O)c1ccccc1)c1cnn(C)c1 ZINC000362375935 307019591 /nfs/dbraw/zinc/01/95/91/307019591.db2.gz NHQHGAPDHPGCHL-UHFFFAOYSA-N -1 1 309.329 1.651 20 0 DDADMM CNC(=O)c1ccc(=NC[C@H]2C[C@@]23CCCc2ccccc23)[n-]n1 ZINC000366115883 307068183 /nfs/dbraw/zinc/06/81/83/307068183.db2.gz VRNJWWFAZVYMAK-KUHUBIRLSA-N -1 1 322.412 1.964 20 0 DDADMM O=C([O-])NCC(=O)N1CCC[C@H]1c1n[nH]c(-c2ccccc2)n1 ZINC000368609411 307106145 /nfs/dbraw/zinc/10/61/45/307106145.db2.gz YCFAISIFSGPUJV-NSHDSACASA-N -1 1 315.333 1.403 20 0 DDADMM Cc1ccc([C@@H]2C[C@H]2C(=O)N(C)C[C@H](C)c2nn[n-]n2)s1 ZINC000368633892 307106800 /nfs/dbraw/zinc/10/68/00/307106800.db2.gz MIKRYRAGVQTMIQ-JMJZKYOTSA-N -1 1 305.407 1.935 20 0 DDADMM C[C@H]1C[C@@H](c2cccs2)CN1CCS(=O)(=O)CC(=O)[O-] ZINC000370683064 307132530 /nfs/dbraw/zinc/13/25/30/307132530.db2.gz TZSJMVKPIAWBHP-WDEREUQCSA-N -1 1 317.432 1.425 20 0 DDADMM CCn1c(=O)[nH]nc1SCc1nc(-c2ccccn2)no1 ZINC000377418407 307269951 /nfs/dbraw/zinc/26/99/51/307269951.db2.gz FFAZGYNGNWZWMJ-UHFFFAOYSA-N -1 1 304.335 1.741 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1[C@H]2Cc3ccccc3[C@@H]12 ZINC000377861633 307277731 /nfs/dbraw/zinc/27/77/31/307277731.db2.gz RWIKXEJRIPTXOO-QJPTWQEYSA-N -1 1 305.359 1.012 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1[C@H]2Cc3ccccc3[C@@H]12 ZINC000377861633 307277732 /nfs/dbraw/zinc/27/77/32/307277732.db2.gz RWIKXEJRIPTXOO-QJPTWQEYSA-N -1 1 305.359 1.012 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc(C)c1F ZINC000378305301 307285210 /nfs/dbraw/zinc/28/52/10/307285210.db2.gz LTXVWCHDSIHQCE-LLVKDONJSA-N -1 1 303.341 1.975 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OC[C@@H]3CNC(=O)O3)n2)cc1 ZINC000496812398 307362377 /nfs/dbraw/zinc/36/23/77/307362377.db2.gz SSZARMDJKZFCMW-NSHDSACASA-N -1 1 317.301 1.152 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCC[C@H](F)C1 ZINC000517032299 307429155 /nfs/dbraw/zinc/42/91/55/307429155.db2.gz TUBWJTQPODWZDJ-RYUDHWBXSA-N -1 1 320.368 1.991 20 0 DDADMM O=C(N[C@@H](c1ccccn1)C1CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000529828763 307571569 /nfs/dbraw/zinc/57/15/69/307571569.db2.gz FQYCTXXSXCGOJQ-CQSZACIVSA-N -1 1 324.344 1.084 20 0 DDADMM CCCN(CCC)S(=O)(=O)[N-][C@H](CC(F)F)C(=O)OC ZINC000534901240 307650426 /nfs/dbraw/zinc/65/04/26/307650426.db2.gz NJFKTLVOQCLTJQ-SECBINFHSA-N -1 1 316.370 1.140 20 0 DDADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCCC[C@H]1C ZINC000544738628 307718896 /nfs/dbraw/zinc/71/88/96/307718896.db2.gz YEZBQMDGIHOGSW-ZYHUDNBSSA-N -1 1 303.366 1.458 20 0 DDADMM C[C@H](C(F)(F)F)S(=O)(=O)N[C@@H](C)CCCCC(=O)[O-] ZINC000548220240 307760368 /nfs/dbraw/zinc/76/03/68/307760368.db2.gz ZGZIIAHTUXVAPG-JGVFFNPUSA-N -1 1 305.318 1.890 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@H](n3ccnn3)C2)c(F)c1 ZINC000565103438 308020403 /nfs/dbraw/zinc/02/04/03/308020403.db2.gz GTAJFSIWCAAFOJ-ZDUSSCGKSA-N -1 1 304.325 1.953 20 0 DDADMM CO[C@H](CC(C)C)CN(C)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000565107213 308021011 /nfs/dbraw/zinc/02/10/11/308021011.db2.gz ORYZKOVFYYVRJQ-CQSZACIVSA-N -1 1 314.426 1.303 20 0 DDADMM Cc1cccc2c1C[C@H](C(=O)N1CCC[C@H](c3nn[n-]n3)C1)O2 ZINC000566837415 308064503 /nfs/dbraw/zinc/06/45/03/308064503.db2.gz XXHBKPLWPKZYDI-SMDDNHRTSA-N -1 1 313.361 1.218 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H]2CO[C@H](C)C2)c(=O)[n-]1 ZINC000567942644 308101194 /nfs/dbraw/zinc/10/11/94/308101194.db2.gz QYFOVQKHXFWFQW-SCZZXKLOSA-N -1 1 311.407 1.439 20 0 DDADMM O=C([O-])c1coc(N2CCN(CCc3cccs3)CC2)n1 ZINC000570634620 308174322 /nfs/dbraw/zinc/17/43/22/308174322.db2.gz AXYVWRFHAYHXPR-UHFFFAOYSA-N -1 1 307.375 1.799 20 0 DDADMM C[C@@H](NC(=O)[C@](C)(N)c1ccc(Br)cc1)C(=O)[O-] ZINC000571288767 308193817 /nfs/dbraw/zinc/19/38/17/308193817.db2.gz HMGBVKRUPXMESO-JMCQJSRRSA-N -1 1 315.167 1.212 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)CSC)n[n-]1 ZINC000573189097 308232345 /nfs/dbraw/zinc/23/23/45/308232345.db2.gz HITZZEKOHFHHOQ-SECBINFHSA-N -1 1 314.411 1.548 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)CSC)[n-]1 ZINC000573189097 308232347 /nfs/dbraw/zinc/23/23/47/308232347.db2.gz HITZZEKOHFHHOQ-SECBINFHSA-N -1 1 314.411 1.548 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)CSC)n1 ZINC000573189097 308232349 /nfs/dbraw/zinc/23/23/49/308232349.db2.gz HITZZEKOHFHHOQ-SECBINFHSA-N -1 1 314.411 1.548 20 0 DDADMM C[NH+](C)C1(CN=c2ccc(C(=O)NC3CCCC3)n[nH]2)CC1 ZINC000573465874 308242272 /nfs/dbraw/zinc/24/22/72/308242272.db2.gz QRQFLLMWODFTNP-UHFFFAOYSA-N -1 1 303.410 1.077 20 0 DDADMM Cn1ccnc1[C@H]1C[C@@H](NC(=O)c2cc(F)ccc2[O-])CCO1 ZINC000574134175 308262437 /nfs/dbraw/zinc/26/24/37/308262437.db2.gz RODXQOPFDZVFLI-SMDDNHRTSA-N -1 1 319.336 1.915 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1CC[C@H](C(=O)[O-])O1)c1cccc(F)c1 ZINC000575179823 308272278 /nfs/dbraw/zinc/27/22/78/308272278.db2.gz UDGVEHOZHWPGQM-HZSPNIEDSA-N -1 1 324.352 1.177 20 0 DDADMM COC1(CCNC(=O)c2c(C)[n-]c(=O)nc2SC)CCC1 ZINC000580231406 308589800 /nfs/dbraw/zinc/58/98/00/308589800.db2.gz FRRIONSEWNVOSL-UHFFFAOYSA-N -1 1 311.407 1.902 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc2c1O[C@H](C)C2)c1nn[n-]n1 ZINC000583422161 332399218 /nfs/dbraw/zinc/39/92/18/332399218.db2.gz GKXQNNBQNAZXTP-VHSXEESVSA-N -1 1 301.350 1.399 20 0 DDADMM Cc1nn(C(C)C)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000582495189 337100390 /nfs/dbraw/zinc/10/03/90/337100390.db2.gz AFCMOZZJJRRXKC-NSHDSACASA-N -1 1 303.370 1.305 20 0 DDADMM CO[C@@H]1COC[C@H]1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000583266294 337295645 /nfs/dbraw/zinc/29/56/45/337295645.db2.gz ZGUNGCHXKVVGJH-MWLCHTKSSA-N -1 1 305.252 1.555 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)[C@H]2Cc3ccccc3CN2)C1 ZINC000398726981 337310036 /nfs/dbraw/zinc/31/00/36/337310036.db2.gz UNEUMSJZIJZFKB-BYCMXARLSA-N -1 1 302.374 1.270 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)[C@H]2NCCc3ccccc32)C1 ZINC000398665183 337312081 /nfs/dbraw/zinc/31/20/81/337312081.db2.gz FXEODJIHZHOHDW-KYOSRNDESA-N -1 1 302.374 1.443 20 0 DDADMM Cc1cc2ccccc2n1CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000372367374 483933506 /nfs/dbraw/zinc/93/35/06/483933506.db2.gz QCKHKCJMEUPORP-AWEZNQCLSA-N -1 1 324.388 1.869 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1csc(C(N)=O)c1)C1CC1 ZINC000606445899 483935300 /nfs/dbraw/zinc/93/53/00/483935300.db2.gz JGIKJSLFQQMYHZ-VIFPVBQESA-N -1 1 304.393 1.014 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)NC[C@H](C(=O)[O-])C(C)C)n[nH]1 ZINC000655895125 483986598 /nfs/dbraw/zinc/98/65/98/483986598.db2.gz QJXGYUSLOJSMEC-UWVGGRQHSA-N -1 1 311.386 1.645 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@]3(C(=O)[O-])CC3(C)C)C2)n[nH]1 ZINC000663041952 484620137 /nfs/dbraw/zinc/62/01/37/484620137.db2.gz QGZWHNLHIRDERE-BONVTDFDSA-N -1 1 306.366 1.320 20 0 DDADMM CCc1nc(C2CCN(C(=O)C3(C(=O)[O-])CC=CC3)CC2)n[nH]1 ZINC000663049361 484627483 /nfs/dbraw/zinc/62/74/83/484627483.db2.gz OOUZSJFBVMLMQC-UHFFFAOYSA-N -1 1 318.377 1.494 20 0 DDADMM O=S(=O)([N-]c1ccc2nccn2c1)c1cccc2c1OCO2 ZINC000669840588 484663214 /nfs/dbraw/zinc/66/32/14/484663214.db2.gz PFWMQJWANWFSNB-UHFFFAOYSA-N -1 1 317.326 1.864 20 0 DDADMM CC(C)(C)[C@@H](C(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000663116083 484680710 /nfs/dbraw/zinc/68/07/10/484680710.db2.gz YWOVVXXYEZAGLP-OAHLLOKOSA-N -1 1 318.417 1.908 20 0 DDADMM CC(C)(C(=O)[O-])n1ccc(NC(=O)[C@@H]2CCCc3[nH]ncc32)n1 ZINC000663138104 484697043 /nfs/dbraw/zinc/69/70/43/484697043.db2.gz FGYKOEKHYPULCG-SECBINFHSA-N -1 1 317.349 1.485 20 0 DDADMM O=C1CC[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCN1 ZINC000668323613 485103742 /nfs/dbraw/zinc/10/37/42/485103742.db2.gz JQFDEFJNGNBIQG-ZETCQYMHSA-N -1 1 322.308 1.051 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H](C)c2ccncc2)o1 ZINC000674124838 485542703 /nfs/dbraw/zinc/54/27/03/485542703.db2.gz CJJHQKRSTXVKLP-JTQLQIEISA-N -1 1 323.374 1.116 20 0 DDADMM O=c1nc(NCc2ccccc2N2CCCC2)nc2[nH][n-]cc1-2 ZINC000682391695 485577021 /nfs/dbraw/zinc/57/70/21/485577021.db2.gz JZOWUUABGFGCCQ-UHFFFAOYSA-N -1 1 310.361 1.644 20 0 DDADMM CCC[C@H](NC(=O)C(=O)c1cc(F)ccc1C)c1nn[n-]n1 ZINC000679807260 486000878 /nfs/dbraw/zinc/00/08/78/486000878.db2.gz QJOXOUPZBJXAJP-NSHDSACASA-N -1 1 305.313 1.488 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1coc(C2CCC2)n1 ZINC000683526952 486040119 /nfs/dbraw/zinc/04/01/19/486040119.db2.gz TVCAHDMNHHTVNX-ZDUSSCGKSA-N -1 1 324.344 1.975 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc2ccccc2n1CC(F)(F)F ZINC000683850014 486130377 /nfs/dbraw/zinc/13/03/77/486130377.db2.gz NHJWZVCZRVJHJY-UHFFFAOYSA-N -1 1 324.266 1.647 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)C[C@@H]2CCOC2)sc1C ZINC000676415913 486221179 /nfs/dbraw/zinc/22/11/79/486221179.db2.gz JNVGGWOZGDMLDY-KWQFWETISA-N -1 1 304.437 1.853 20 0 DDADMM O=C(CSc1nc(C(F)(F)F)cc(=O)[n-]1)[C@@H]1CCOC1 ZINC000680809633 486269287 /nfs/dbraw/zinc/26/92/87/486269287.db2.gz XTCSKMYWDDNKQP-ZCFIWIBFSA-N -1 1 308.281 1.899 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cc(C(F)(F)F)c[nH]c2=O)c1[O-] ZINC000676631688 486274653 /nfs/dbraw/zinc/27/46/53/486274653.db2.gz BDBJRBPGHANSCG-UHFFFAOYSA-N -1 1 302.212 1.795 20 0 DDADMM O=C(N[C@H]1CCN(C2CCOCC2)C1=O)c1ccc([O-])c(F)c1 ZINC000681052374 486332521 /nfs/dbraw/zinc/33/25/21/486332521.db2.gz WKXQGAIWZLEHER-ZDUSSCGKSA-N -1 1 322.336 1.041 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cnn2c1CCCC2 ZINC000676829238 486338375 /nfs/dbraw/zinc/33/83/75/486338375.db2.gz HNMYJHRFYSLADX-AWEZNQCLSA-N -1 1 323.360 1.252 20 0 DDADMM O=C(c1cc2cc(F)ccc2[nH]1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677048104 486385583 /nfs/dbraw/zinc/38/55/83/486385583.db2.gz UTZMFWUSLSFZJJ-SECBINFHSA-N -1 1 314.324 1.840 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@@]1(C)c1ccccc1 ZINC000685499448 486550694 /nfs/dbraw/zinc/55/06/94/486550694.db2.gz XOVMDQHTBCJTMZ-RISCZKNCSA-N -1 1 307.375 1.574 20 0 DDADMM C[C@@H]1C[C@H]1c1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)o1 ZINC000491945611 534048476 /nfs/dbraw/zinc/04/84/76/534048476.db2.gz OPKXLZBCKDYLTM-PHHYPKRSSA-N -1 1 301.350 1.981 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCC2(F)CCC2)o1 ZINC000344881028 534239179 /nfs/dbraw/zinc/23/91/79/534239179.db2.gz KUFKSZUJEJEAGL-UHFFFAOYSA-N -1 1 305.327 1.627 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)Nc2c(C)nn(C)c2C)n1 ZINC000432654128 534307375 /nfs/dbraw/zinc/30/73/75/534307375.db2.gz GMGHZXFJAACVHK-UHFFFAOYSA-N -1 1 323.378 1.277 20 0 DDADMM O=C(NCCO)c1ccc(=NCC2(C3CCC3)CCC2)[n-]n1 ZINC000413340680 534366890 /nfs/dbraw/zinc/36/68/90/534366890.db2.gz PQRLPMXPIFWJSW-UHFFFAOYSA-N -1 1 304.394 1.003 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC[C@H]1C[C@@H]1C ZINC000299650209 534645357 /nfs/dbraw/zinc/64/53/57/534645357.db2.gz KKCXAPIHEWEGLS-JOYOIKCWSA-N -1 1 302.378 1.757 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCCO1 ZINC000156136083 525850045 /nfs/dbraw/zinc/85/00/45/525850045.db2.gz NSLMOAVVESBMAB-LBPRGKRZSA-N -1 1 309.309 1.951 20 0 DDADMM CC(=O)NC1CCC(N(C)C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000436897880 526696606 /nfs/dbraw/zinc/69/66/06/526696606.db2.gz VAPZSHKAQPSCKF-UHFFFAOYSA-N -1 1 318.373 1.481 20 0 DDADMM O=C(N[C@@H]1CCC(=O)NC1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338388913 526883856 /nfs/dbraw/zinc/88/38/56/526883856.db2.gz VRWUVCWTWFVTQK-SECBINFHSA-N -1 1 319.748 1.602 20 0 DDADMM O=S(=O)([N-]CCc1cscn1)c1cccc(F)c1F ZINC000158026979 527220807 /nfs/dbraw/zinc/22/08/07/527220807.db2.gz ROZABQWQONBKBS-UHFFFAOYSA-N -1 1 304.343 1.942 20 0 DDADMM CCN(CC)S(=O)(=O)CC(=O)Nc1ccc([O-])c(F)c1F ZINC000456777635 528205986 /nfs/dbraw/zinc/20/59/86/528205986.db2.gz GDSSKXAJVZJTEC-UHFFFAOYSA-N -1 1 322.333 1.281 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2occc2C)o1 ZINC000490303190 528243142 /nfs/dbraw/zinc/24/31/42/528243142.db2.gz UXDJIYODNSNKLV-UHFFFAOYSA-N -1 1 313.331 1.836 20 0 DDADMM CCN(CCN=c1[n-]nc(COC)s1)CCC(F)(F)F ZINC000491650996 528478650 /nfs/dbraw/zinc/47/86/50/528478650.db2.gz BVMYFMISZSEOQW-UHFFFAOYSA-N -1 1 312.361 1.793 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(N)=O ZINC000425154174 528715616 /nfs/dbraw/zinc/71/56/16/528715616.db2.gz HQNZYWQXDOENFC-UHFFFAOYSA-N -1 1 320.361 1.596 20 0 DDADMM CC1(C)CN(C(=O)c2c(F)ccc([O-])c2F)C[C@@H](CO)O1 ZINC000421932628 529100678 /nfs/dbraw/zinc/10/06/78/529100678.db2.gz HFIYIJABFXLQJL-QMMMGPOBSA-N -1 1 301.289 1.282 20 0 DDADMM CC[C@H]1CCC[C@H]1CNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000452537033 529233281 /nfs/dbraw/zinc/23/32/81/529233281.db2.gz ZKWVQFJPDLIYAC-QWRGUYRKSA-N -1 1 314.407 1.744 20 0 DDADMM CC[C@@H](C(=O)N1CCC[C@H]1C(=O)[O-])N(C)Cc1ccccc1 ZINC000455816968 529276961 /nfs/dbraw/zinc/27/69/61/529276961.db2.gz XHZROLVQSRAEIL-GJZGRUSLSA-N -1 1 304.390 1.973 20 0 DDADMM CC[C@@H]1CCC[C@@H]1CNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000452536309 529308767 /nfs/dbraw/zinc/30/87/67/529308767.db2.gz YJQIKKWRFDBAKR-GHMZBOCLSA-N -1 1 314.407 1.744 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H]1CSCN1C(=O)c1ncc(C)cc1[O-] ZINC000330894035 529371894 /nfs/dbraw/zinc/37/18/94/529371894.db2.gz ZIIWMQFUGFHDBS-GHMZBOCLSA-N -1 1 323.418 1.525 20 0 DDADMM CC(C)c1ccc(NC(=O)Cn2cnnc2-c2nn[n-]n2)cc1 ZINC000735928700 598874908 /nfs/dbraw/zinc/87/49/08/598874908.db2.gz QWAHQYVKHGSZLQ-UHFFFAOYSA-N -1 1 312.337 1.220 20 0 DDADMM CC[C@H](CC1CCCC1)C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000736348523 598924002 /nfs/dbraw/zinc/92/40/02/598924002.db2.gz BTACQCYEQYSJRR-SNVBAGLBSA-N -1 1 303.370 1.892 20 0 DDADMM CCn1cnnc1CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736722224 598960082 /nfs/dbraw/zinc/96/00/82/598960082.db2.gz NCYFLIJUNWFAML-UHFFFAOYSA-N -1 1 322.336 1.033 20 0 DDADMM CCn1cnnc1CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736722224 598960083 /nfs/dbraw/zinc/96/00/83/598960083.db2.gz NCYFLIJUNWFAML-UHFFFAOYSA-N -1 1 322.336 1.033 20 0 DDADMM O[C@H]1CCC[C@H](Nc2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000738390209 598960588 /nfs/dbraw/zinc/96/05/88/598960588.db2.gz QJTKCWCHWZGHFB-UWVGGRQHSA-N -1 1 311.349 1.525 20 0 DDADMM O[C@H]1CCC[C@H](Nc2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000738390209 598960589 /nfs/dbraw/zinc/96/05/89/598960589.db2.gz QJTKCWCHWZGHFB-UWVGGRQHSA-N -1 1 311.349 1.525 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000735488381 598977355 /nfs/dbraw/zinc/97/73/55/598977355.db2.gz LGQGJBMUDAAPDP-UHFFFAOYSA-N -1 1 323.316 1.472 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000735488381 598977358 /nfs/dbraw/zinc/97/73/58/598977358.db2.gz LGQGJBMUDAAPDP-UHFFFAOYSA-N -1 1 323.316 1.472 20 0 DDADMM O=C(N[C@@H]1CCc2ccccc2C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738034533 598984283 /nfs/dbraw/zinc/98/42/83/598984283.db2.gz HBWKTXFYELISOG-CQSZACIVSA-N -1 1 320.356 1.549 20 0 DDADMM O=C(N[C@@H]1CCc2ccccc2C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738034533 598984284 /nfs/dbraw/zinc/98/42/84/598984284.db2.gz HBWKTXFYELISOG-CQSZACIVSA-N -1 1 320.356 1.549 20 0 DDADMM CCN(C(=O)c1ccc(-c2nnn[n-]2)nc1)[C@@H](C)c1cccnc1 ZINC000736505471 599015747 /nfs/dbraw/zinc/01/57/47/599015747.db2.gz JHPZOYFIZURVIW-NSHDSACASA-N -1 1 323.360 1.880 20 0 DDADMM CCN(C(=O)c1ccc(-c2nn[n-]n2)nc1)[C@@H](C)c1cccnc1 ZINC000736505471 599015749 /nfs/dbraw/zinc/01/57/49/599015749.db2.gz JHPZOYFIZURVIW-NSHDSACASA-N -1 1 323.360 1.880 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1C[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000828430805 601508663 /nfs/dbraw/zinc/50/86/63/601508663.db2.gz DREJTJFXVBQJBI-UWVGGRQHSA-N -1 1 310.316 1.438 20 0 DDADMM Cc1cccc2oc(CCNc3nccnc3-c3nnn[n-]3)nc21 ZINC000822341004 599111805 /nfs/dbraw/zinc/11/18/05/599111805.db2.gz QEULREDJADMOSI-UHFFFAOYSA-N -1 1 322.332 1.761 20 0 DDADMM Cc1cccc2oc(CCNc3nccnc3-c3nn[n-]n3)nc21 ZINC000822341004 599111807 /nfs/dbraw/zinc/11/18/07/599111807.db2.gz QEULREDJADMOSI-UHFFFAOYSA-N -1 1 322.332 1.761 20 0 DDADMM CC(C)Cn1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cn1 ZINC000820108038 599198551 /nfs/dbraw/zinc/19/85/51/599198551.db2.gz GBBLDTGZSJGEFC-UHFFFAOYSA-N -1 1 312.337 1.367 20 0 DDADMM CC(C)Cn1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cn1 ZINC000820108038 599198553 /nfs/dbraw/zinc/19/85/53/599198553.db2.gz GBBLDTGZSJGEFC-UHFFFAOYSA-N -1 1 312.337 1.367 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC[C@H]1c1cccc(F)c1 ZINC000823478827 599205370 /nfs/dbraw/zinc/20/53/70/599205370.db2.gz UQOKFSWJBZSBNG-AWEZNQCLSA-N -1 1 324.319 1.988 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC[C@H]1c1cccc(F)c1 ZINC000823478827 599205372 /nfs/dbraw/zinc/20/53/72/599205372.db2.gz UQOKFSWJBZSBNG-AWEZNQCLSA-N -1 1 324.319 1.988 20 0 DDADMM COC(=O)c1ccc2c(cnn2CN2CCC(C(=O)[O-])CC2)c1 ZINC000739792432 596914420 /nfs/dbraw/zinc/91/44/20/596914420.db2.gz NNYYKAFSLNDXSC-UHFFFAOYSA-N -1 1 317.345 1.577 20 0 DDADMM O=C([O-])C1CC[NH+](Cc2cc(=O)oc3cc([O-])ccc23)CC1 ZINC000314288129 596923555 /nfs/dbraw/zinc/92/35/55/596923555.db2.gz LWXZSNGZGBXJQM-UHFFFAOYSA-N -1 1 303.314 1.795 20 0 DDADMM CCN1CCN(C(=O)Nc2ccccc2CC(=O)[O-])C[C@@H]1C ZINC000315948225 597387781 /nfs/dbraw/zinc/38/77/81/597387781.db2.gz UUMSHBQWIRCPMA-LBPRGKRZSA-N -1 1 305.378 1.872 20 0 DDADMM CN(Cc1cnn(C)c1)c1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000736830854 599259481 /nfs/dbraw/zinc/25/94/81/599259481.db2.gz ASAYUUVAALDWMS-UHFFFAOYSA-N -1 1 320.360 1.785 20 0 DDADMM CN(Cc1cnn(C)c1)c1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000736830854 599259484 /nfs/dbraw/zinc/25/94/84/599259484.db2.gz ASAYUUVAALDWMS-UHFFFAOYSA-N -1 1 320.360 1.785 20 0 DDADMM CCOc1ccc(NCc2ccc(-c3nnn[n-]3)o2)cc1CO ZINC000821422345 607313211 /nfs/dbraw/zinc/31/32/11/607313211.db2.gz UWASBHJDNNFOLB-UHFFFAOYSA-N -1 1 315.333 1.963 20 0 DDADMM CCOc1ccc(NCc2ccc(-c3nn[n-]n3)o2)cc1CO ZINC000821422345 607313212 /nfs/dbraw/zinc/31/32/12/607313212.db2.gz UWASBHJDNNFOLB-UHFFFAOYSA-N -1 1 315.333 1.963 20 0 DDADMM O=C([O-])[C@H]1CCN(C[C@@H](O)c2cccc(Br)c2)C1 ZINC000819280969 597530646 /nfs/dbraw/zinc/53/06/46/597530646.db2.gz JNBWVBXNGVPLJJ-CMPLNLGQSA-N -1 1 314.179 1.889 20 0 DDADMM c1ccc2[nH]c(C3CN(c4cccc(-c5nnn[n-]5)n4)C3)nc2c1 ZINC000823742592 607329006 /nfs/dbraw/zinc/32/90/06/607329006.db2.gz IIRSJPJNNDPXAV-UHFFFAOYSA-N -1 1 318.344 1.742 20 0 DDADMM c1ccc2[nH]c(C3CN(c4cccc(-c5nn[n-]n5)n4)C3)nc2c1 ZINC000823742592 607329007 /nfs/dbraw/zinc/32/90/07/607329007.db2.gz IIRSJPJNNDPXAV-UHFFFAOYSA-N -1 1 318.344 1.742 20 0 DDADMM CC(C)[C@@H](NCC(=O)NC(=O)N[C@@H]1CCCC[C@@H]1C)C(=O)[O-] ZINC000820236015 598188973 /nfs/dbraw/zinc/18/89/73/598188973.db2.gz ZTRZUPQYOZMCOH-DMDPSCGWSA-N -1 1 313.398 1.090 20 0 DDADMM O=C([O-])c1cccc(CN2CCN(C[C@H]3CCCO3)CC2)c1 ZINC000821551769 598210393 /nfs/dbraw/zinc/21/03/93/598210393.db2.gz AFRPDYNKPKRYDH-MRXNPFEDSA-N -1 1 304.390 1.681 20 0 DDADMM C[C@@H]1CCC[C@H](NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736249077 598263659 /nfs/dbraw/zinc/26/36/59/598263659.db2.gz DNDBPAJTBBNRQJ-ZJUUUORDSA-N -1 1 322.394 1.119 20 0 DDADMM C[C@@H]1CCC[C@H](NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736249077 598263661 /nfs/dbraw/zinc/26/36/61/598263661.db2.gz DNDBPAJTBBNRQJ-ZJUUUORDSA-N -1 1 322.394 1.119 20 0 DDADMM C[C@@H]1CCCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736279467 598269937 /nfs/dbraw/zinc/26/99/37/598269937.db2.gz XXRISNMNDUOXFP-SNVBAGLBSA-N -1 1 322.394 1.072 20 0 DDADMM C[C@@H]1CCCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736279467 598269939 /nfs/dbraw/zinc/26/99/39/598269939.db2.gz XXRISNMNDUOXFP-SNVBAGLBSA-N -1 1 322.394 1.072 20 0 DDADMM CN(C)C(=O)c1cccc(Oc2nccnc2-c2nn[n-]n2)c1 ZINC000736752832 598341814 /nfs/dbraw/zinc/34/18/14/598341814.db2.gz DLBVFCPODKPPEP-UHFFFAOYSA-N -1 1 311.305 1.151 20 0 DDADMM C[C@H]1c2ccccc2OCCN1c1nccnc1-c1nnn[n-]1 ZINC000736304112 598351468 /nfs/dbraw/zinc/35/14/68/598351468.db2.gz OIQQTOANOWINQO-JTQLQIEISA-N -1 1 309.333 1.617 20 0 DDADMM C[C@H]1c2ccccc2OCCN1c1nccnc1-c1nn[n-]n1 ZINC000736304112 598351469 /nfs/dbraw/zinc/35/14/69/598351469.db2.gz OIQQTOANOWINQO-JTQLQIEISA-N -1 1 309.333 1.617 20 0 DDADMM C[C@H](Cn1cccn1)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736082348 598574673 /nfs/dbraw/zinc/57/46/73/598574673.db2.gz UKMZGZNPKHAVPA-MRVPVSSYSA-N -1 1 304.745 1.612 20 0 DDADMM C[C@H](Cn1cccn1)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736082348 598574674 /nfs/dbraw/zinc/57/46/74/598574674.db2.gz UKMZGZNPKHAVPA-MRVPVSSYSA-N -1 1 304.745 1.612 20 0 DDADMM OCCC1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000738393458 598581532 /nfs/dbraw/zinc/58/15/32/598581532.db2.gz MFBRWIZWKWQVAE-UHFFFAOYSA-N -1 1 308.773 1.514 20 0 DDADMM OCCC1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000738393458 598581534 /nfs/dbraw/zinc/58/15/34/598581534.db2.gz MFBRWIZWKWQVAE-UHFFFAOYSA-N -1 1 308.773 1.514 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1nc(-c2ccccc2)no1 ZINC000738371847 598677779 /nfs/dbraw/zinc/67/77/79/598677779.db2.gz KPMGZLKLQXLHRM-UHFFFAOYSA-N -1 1 321.300 1.127 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@@H]1CSc2ccccc21 ZINC000737778056 599335837 /nfs/dbraw/zinc/33/58/37/599335837.db2.gz WFHCBTNJUSFYCI-SECBINFHSA-N -1 1 313.346 1.175 20 0 DDADMM O[C@@H](CNc1cccc(-c2nnn[n-]2)n1)c1ccc(F)cc1 ZINC000738380754 599408360 /nfs/dbraw/zinc/40/83/60/599408360.db2.gz CKQPNPQJIOSYOB-LBPRGKRZSA-N -1 1 300.297 1.546 20 0 DDADMM O[C@@H](CNc1cccc(-c2nn[n-]n2)n1)c1ccc(F)cc1 ZINC000738380754 599408365 /nfs/dbraw/zinc/40/83/65/599408365.db2.gz CKQPNPQJIOSYOB-LBPRGKRZSA-N -1 1 300.297 1.546 20 0 DDADMM CCNC(=O)c1ccc(CNc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000736576310 599409944 /nfs/dbraw/zinc/40/99/44/599409944.db2.gz PNCQBAHJTMKUOG-UHFFFAOYSA-N -1 1 323.360 1.624 20 0 DDADMM CCNC(=O)c1ccc(CNc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000736576310 599409945 /nfs/dbraw/zinc/40/99/45/599409945.db2.gz PNCQBAHJTMKUOG-UHFFFAOYSA-N -1 1 323.360 1.624 20 0 DDADMM CC(C)Cn1ccnc1CNCc1ccc(-c2nnn[n-]2)o1 ZINC000820108534 599447541 /nfs/dbraw/zinc/44/75/41/599447541.db2.gz MDUWOQVBCMTIAJ-UHFFFAOYSA-N -1 1 301.354 1.602 20 0 DDADMM CC(C)Cn1ccnc1CNCc1ccc(-c2nn[n-]n2)o1 ZINC000820108534 599447542 /nfs/dbraw/zinc/44/75/42/599447542.db2.gz MDUWOQVBCMTIAJ-UHFFFAOYSA-N -1 1 301.354 1.602 20 0 DDADMM CN(C(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccc(Cl)cn1 ZINC000736741224 599465828 /nfs/dbraw/zinc/46/58/28/599465828.db2.gz CPYOKHZUYWZHGH-UHFFFAOYSA-N -1 1 315.724 1.587 20 0 DDADMM CN(C(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccc(Cl)cn1 ZINC000736741224 599465830 /nfs/dbraw/zinc/46/58/30/599465830.db2.gz CPYOKHZUYWZHGH-UHFFFAOYSA-N -1 1 315.724 1.587 20 0 DDADMM CCN(CC)CC(=O)Nc1ccc(C(=O)NCCC(=O)[O-])cc1 ZINC000737084550 599766496 /nfs/dbraw/zinc/76/64/96/599766496.db2.gz AULYRZAGJXJTSD-UHFFFAOYSA-N -1 1 321.377 1.171 20 0 DDADMM C[C@@H](CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1ccccc1 ZINC000736548613 599778532 /nfs/dbraw/zinc/77/85/32/599778532.db2.gz MIXCJMWSJVKQMI-FZMZJTMJSA-N -1 1 301.346 1.715 20 0 DDADMM Cc1ccc(NC(=O)NC(=O)CNC(C)(C)C(=O)[O-])cc1C ZINC000738291262 599928105 /nfs/dbraw/zinc/92/81/05/599928105.db2.gz FGTIEXVPBFSQGH-UHFFFAOYSA-N -1 1 307.350 1.404 20 0 DDADMM CCn1nccc1NS(=O)(=O)c1c(C)oc(C)c1C(=O)[O-] ZINC000737312189 600054440 /nfs/dbraw/zinc/05/44/40/600054440.db2.gz MKCRPPUEHFPNJK-UHFFFAOYSA-N -1 1 313.335 1.612 20 0 DDADMM C[C@@H]1CN(CCC(=O)N(C)CC(=O)[O-])C[C@H](c2ccccc2)O1 ZINC000736806651 600160222 /nfs/dbraw/zinc/16/02/22/600160222.db2.gz DEMJJMFKLXMBAR-UKRRQHHQSA-N -1 1 320.389 1.382 20 0 DDADMM Cc1cc(CNC(=O)Nc2ccc(OCCC(=O)[O-])cc2)n[nH]1 ZINC000738390292 600232688 /nfs/dbraw/zinc/23/26/88/600232688.db2.gz XNYWPBJKEKQQOJ-UHFFFAOYSA-N -1 1 318.333 1.893 20 0 DDADMM C[C@@H]1CN(Cc2ccccc2)CCN1Cn1cc(C(=O)[O-])nn1 ZINC000738217275 600281065 /nfs/dbraw/zinc/28/10/65/600281065.db2.gz SRAAITVFYZFIHA-CYBMUJFWSA-N -1 1 315.377 1.140 20 0 DDADMM C[C@@H](C(=O)NCc1cccs1)N1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000736215159 600295564 /nfs/dbraw/zinc/29/55/64/600295564.db2.gz MPJHAEMRPBBJOW-AAEUAGOBSA-N -1 1 322.430 1.940 20 0 DDADMM Cc1cc(CN2CCC[C@H](N3CCCC3=O)C2)oc1C(=O)[O-] ZINC000738383458 600334529 /nfs/dbraw/zinc/33/45/29/600334529.db2.gz TUEUAXLIVCZTJS-LBPRGKRZSA-N -1 1 306.362 1.873 20 0 DDADMM C[C@H](CN(C)CC(=O)Nc1ccc(N2CCCC2)cc1)C(=O)[O-] ZINC000736573442 600361422 /nfs/dbraw/zinc/36/14/22/600361422.db2.gz VSTLWPSAEXHDFM-CYBMUJFWSA-N -1 1 319.405 1.878 20 0 DDADMM CN1CCCC[C@H]1C(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)[O-] ZINC000737516383 600363290 /nfs/dbraw/zinc/36/32/90/600363290.db2.gz AIQIEKMTYBXSIN-HIFRSBDPSA-N -1 1 322.380 1.670 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN(CCC(=O)[O-])C(C)C)cc1 ZINC000737696405 600395525 /nfs/dbraw/zinc/39/55/25/600395525.db2.gz WMDQYTLYMUOPDG-UHFFFAOYSA-N -1 1 322.361 1.597 20 0 DDADMM CC(C)C[C@@H](NC(=O)CN[C@](C)(CO)c1ccccc1)C(=O)[O-] ZINC000736416812 600459171 /nfs/dbraw/zinc/45/91/71/600459171.db2.gz SWJKDAWTEDMXJA-RHSMWYFYSA-N -1 1 322.405 1.099 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2ccccc2NC(=O)[O-])C1 ZINC000737810243 600588868 /nfs/dbraw/zinc/58/88/68/600588868.db2.gz INNKFAVDZOCZAW-LBPRGKRZSA-N -1 1 321.377 1.617 20 0 DDADMM COCCN(CCC(=O)[O-])C(=O)c1cc(C)cc2c[nH]nc21 ZINC000737800824 600633817 /nfs/dbraw/zinc/63/38/17/600633817.db2.gz OYRPUORNIOPERI-UHFFFAOYSA-N -1 1 305.334 1.435 20 0 DDADMM C[C@@H](Sc1ccccc1C(=O)N(C)Cc1nnc[nH]1)C(=O)[O-] ZINC000736712491 600680603 /nfs/dbraw/zinc/68/06/03/600680603.db2.gz MUOGWINONMLKEZ-SECBINFHSA-N -1 1 320.374 1.642 20 0 DDADMM COC[C@H]1CCN([C@@H]2CCN(c3sccc3C(=O)[O-])C2=O)C1 ZINC000831666727 600797949 /nfs/dbraw/zinc/79/79/49/600797949.db2.gz UEGLYJPNOIBJLK-CMPLNLGQSA-N -1 1 324.402 1.520 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2ccc(C#N)cn2)cc1C(=O)[O-] ZINC000314934136 600828982 /nfs/dbraw/zinc/82/89/82/600828982.db2.gz SDLAJOOFEZJFMC-UHFFFAOYSA-N -1 1 317.326 1.761 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN(C)CCc1cccs1)C(=O)[O-] ZINC000828707634 600847498 /nfs/dbraw/zinc/84/74/98/600847498.db2.gz HLVRBVJJBCESHR-SMDDNHRTSA-N -1 1 312.435 1.838 20 0 DDADMM CC(C)CN(C(=O)CN1CCSC[C@H]1CC(=O)[O-])C1CC1 ZINC000826865111 601015625 /nfs/dbraw/zinc/01/56/25/601015625.db2.gz HAEFOLCSTPNEKP-CYBMUJFWSA-N -1 1 314.451 1.526 20 0 DDADMM C[C@@](NCC(=O)Nc1nc2c(s1)CCC2)(C(=O)[O-])C1CC1 ZINC000827727453 601030685 /nfs/dbraw/zinc/03/06/85/601030685.db2.gz JYIYSCQPZMANOB-AWEZNQCLSA-N -1 1 309.391 1.413 20 0 DDADMM C[C@](NCC(=O)Nc1nc2c(s1)CCC2)(C(=O)[O-])C1CC1 ZINC000827727454 601030841 /nfs/dbraw/zinc/03/08/41/601030841.db2.gz JYIYSCQPZMANOB-CQSZACIVSA-N -1 1 309.391 1.413 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CN[C@@](C)(C(=O)[O-])C2CC2)c1 ZINC000825917256 601033847 /nfs/dbraw/zinc/03/38/47/601033847.db2.gz HDDZWZNNGSMUBL-MRXNPFEDSA-N -1 1 319.361 1.426 20 0 DDADMM CC(=O)c1cccc(NC(=O)CN[C@](C)(C(=O)[O-])C2CC2)c1 ZINC000825960938 601034813 /nfs/dbraw/zinc/03/48/13/601034813.db2.gz TYWVWKLNLZIHRL-INIZCTEOSA-N -1 1 304.346 1.671 20 0 DDADMM COC(=O)/C(C)=C\CN1CCN(C/C=C(\C)C(=O)[O-])[C@@H](C)C1 ZINC000831052907 601056057 /nfs/dbraw/zinc/05/60/57/601056057.db2.gz FDPYTYJRFIVODW-VONHEOBUSA-N -1 1 310.394 1.143 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CC2(Cl)Cl)CC1 ZINC000827357424 601089673 /nfs/dbraw/zinc/08/96/73/601089673.db2.gz XZWUEZMNYKAGMN-RKDXNWHRSA-N -1 1 309.193 1.188 20 0 DDADMM Cc1cc(CN2CCO[C@@H](c3n[nH]c(C)n3)C2)oc1C(=O)[O-] ZINC000738823974 601105943 /nfs/dbraw/zinc/10/59/43/601105943.db2.gz SOFGGLVSKHTLEJ-LLVKDONJSA-N -1 1 306.322 1.286 20 0 DDADMM CCCC[C@H](C(=O)[O-])N1CCO[C@H](c2nc(CCC)n[nH]2)C1 ZINC000736978202 601106673 /nfs/dbraw/zinc/10/66/73/601106673.db2.gz FLYFSZHPVIQWLX-NEPJUHHUSA-N -1 1 310.398 1.774 20 0 DDADMM CCCC[C@H](C(=O)[O-])N1CCO[C@H](c2nnc(CCC)[nH]2)C1 ZINC000736978202 601106676 /nfs/dbraw/zinc/10/66/76/601106676.db2.gz FLYFSZHPVIQWLX-NEPJUHHUSA-N -1 1 310.398 1.774 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCSC2(CCCCC2)C1 ZINC000833237362 601158476 /nfs/dbraw/zinc/15/84/76/601158476.db2.gz SGPNDXXHRHOWRA-UHFFFAOYSA-N -1 1 300.424 1.329 20 0 DDADMM C[C@H]1Cc2ccccc2N1C(=O)CN[C@](C)(C(=O)[O-])C1CC1 ZINC000828516436 601173661 /nfs/dbraw/zinc/17/36/61/601173661.db2.gz MEHHEQKGPQQSFR-GTNSWQLSSA-N -1 1 302.374 1.807 20 0 DDADMM CCC1CCC(NCC(=O)NCc2ccco2)(C(=O)[O-])CC1 ZINC000829101239 601495162 /nfs/dbraw/zinc/49/51/62/601495162.db2.gz KPOWEFSTSJSFAG-UHFFFAOYSA-N -1 1 308.378 1.909 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828430808 601509047 /nfs/dbraw/zinc/50/90/47/601509047.db2.gz DREJTJFXVBQJBI-ZJUUUORDSA-N -1 1 310.316 1.438 20 0 DDADMM O=C(Nc1cc(C(F)(F)F)n[nH]1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826451760 607514231 /nfs/dbraw/zinc/51/42/31/607514231.db2.gz VQWAQTRDFDPHMR-UHFFFAOYSA-N -1 1 324.226 1.256 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@](F)(C(=O)[O-])C2)c1Br ZINC000832990367 601652430 /nfs/dbraw/zinc/65/24/30/601652430.db2.gz YPINAIZFUCOULG-SNVBAGLBSA-N -1 1 320.118 1.119 20 0 DDADMM O=C([O-])[C@H]1CCCCN1C(=O)CSc1n[nH]c(=S)s1 ZINC000821509370 601743693 /nfs/dbraw/zinc/74/36/93/601743693.db2.gz CKVUDKOZYYGOGE-ZCFIWIBFSA-N -1 1 319.433 1.385 20 0 DDADMM C[C@@H]1CN(Cn2c(=O)oc3cc(Cl)ccc32)C[C@H]1C(=O)[O-] ZINC000828465478 601813238 /nfs/dbraw/zinc/81/32/38/601813238.db2.gz YBENMTWKDOMCOY-PSASIEDQSA-N -1 1 310.737 1.858 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(Cn2nccc2-c2cccnc2)C1 ZINC000740646959 601913346 /nfs/dbraw/zinc/91/33/46/601913346.db2.gz UUXWOECVAAUODQ-LBPRGKRZSA-N -1 1 301.350 1.492 20 0 DDADMM CC(C)CCNC(=O)[C@@H](C)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091131367 601921627 /nfs/dbraw/zinc/92/16/27/601921627.db2.gz PDLKTCLEHSSOJB-NEPJUHHUSA-N -1 1 302.440 1.429 20 0 DDADMM C[C@H](C(=O)NCCC1=CCCCC1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738629229 601951816 /nfs/dbraw/zinc/95/18/16/601951816.db2.gz NPXBKCSGDPTZPT-UKRRQHHQSA-N -1 1 323.437 1.971 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000739326159 601967438 /nfs/dbraw/zinc/96/74/38/601967438.db2.gz MZBJLGRHZFASLC-GFCCVEGCSA-N -1 1 303.322 1.642 20 0 DDADMM O=C([O-])CSc1ccc(OC(=O)CCc2nc[nH]n2)cc1 ZINC000833264458 601979364 /nfs/dbraw/zinc/97/93/64/601979364.db2.gz SCSNBVPJTVINSS-UHFFFAOYSA-N -1 1 307.331 1.520 20 0 DDADMM CCOCCN1CCN(C(=O)[C@@H](C)CC(=O)[O-])C[C@H]1CC ZINC000830011281 602165542 /nfs/dbraw/zinc/16/55/42/602165542.db2.gz BVVKSLLCJMJFQM-QWHCGFSZSA-N -1 1 300.399 1.057 20 0 DDADMM C[C@H](CNC(=O)CN(C)C(=O)[O-])N1CCc2ccccc2C1 ZINC000738913902 602190507 /nfs/dbraw/zinc/19/05/07/602190507.db2.gz IRHIWWGOLHFLFP-GFCCVEGCSA-N -1 1 305.378 1.159 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)CN(C)C(=O)[O-])N2CCCC2)o1 ZINC000738562368 602197189 /nfs/dbraw/zinc/19/71/89/602197189.db2.gz WAQBYIISIIXXJW-GFCCVEGCSA-N -1 1 309.366 1.451 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCCN1CC(=O)N1CCCC[C@H]1C ZINC000739008305 602274275 /nfs/dbraw/zinc/27/42/75/602274275.db2.gz JALWOPVBCLHTGD-RDBSUJKOSA-N -1 1 311.426 1.898 20 0 DDADMM O=C([O-])N1CCC[C@H]1C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000740452719 602291960 /nfs/dbraw/zinc/29/19/60/602291960.db2.gz HXOQZUAKFRGMTR-NSHDSACASA-N -1 1 315.333 1.230 20 0 DDADMM O=C([O-])N1CCC[C@H]1C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000740452719 602291962 /nfs/dbraw/zinc/29/19/62/602291962.db2.gz HXOQZUAKFRGMTR-NSHDSACASA-N -1 1 315.333 1.230 20 0 DDADMM CC(C)N(CCCNC(=O)c1ccc2[nH]nnc2c1)C(=O)[O-] ZINC000738847700 602356150 /nfs/dbraw/zinc/35/61/50/602356150.db2.gz YMCAVMGBYRECFA-UHFFFAOYSA-N -1 1 305.338 1.466 20 0 DDADMM O=C([O-])N1CC[C@@H](CCNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000740426342 602411000 /nfs/dbraw/zinc/41/10/00/602411000.db2.gz DIZCWQBAUKMJFB-SECBINFHSA-N -1 1 303.322 1.078 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CCC[C@H](NC(=O)[O-])C1 ZINC000737951678 602457929 /nfs/dbraw/zinc/45/79/29/602457929.db2.gz WCEVIDJBMPEBNZ-LSDHHAIUSA-N -1 1 319.405 1.418 20 0 DDADMM O=C([O-])NCC1(NC(=O)c2ccc3[nH]nnc3c2)CCCC1 ZINC000740611509 602521392 /nfs/dbraw/zinc/52/13/92/602521392.db2.gz CPWZLSCWVHTGLK-UHFFFAOYSA-N -1 1 303.322 1.268 20 0 DDADMM C[C@H](C(=O)N1CCC(C)CC1)N1CCC(N(C)C(=O)[O-])CC1 ZINC000737750070 602535247 /nfs/dbraw/zinc/53/52/47/602535247.db2.gz SSDYOGHNQJPPSM-CYBMUJFWSA-N -1 1 311.426 1.708 20 0 DDADMM O=C([O-])N1CCC[C@H]1CN[C@H](C(=O)NC1CC1)c1ccccc1 ZINC000740392203 602594021 /nfs/dbraw/zinc/59/40/21/602594021.db2.gz GFXZXRAKHBODHB-GJZGRUSLSA-N -1 1 317.389 1.738 20 0 DDADMM Cc1ccc(CNC(=O)CN2CC[C@@H](NC(=O)[O-])[C@H](C)C2)cc1 ZINC000740126666 602789107 /nfs/dbraw/zinc/78/91/07/602789107.db2.gz OHQJMYWXJCZKBS-UKRRQHHQSA-N -1 1 319.405 1.589 20 0 DDADMM CCc1cc(CNC(=O)N2CC[C@H](NC(=O)[O-])[C@H](C)C2)[nH]n1 ZINC000739535781 602838581 /nfs/dbraw/zinc/83/85/81/602838581.db2.gz GLJHNVIVJSJBFJ-SKDRFNHKSA-N -1 1 309.370 1.160 20 0 DDADMM CN(C(=O)c1ccc(CNC(=O)[O-])o1)[C@H]1CN2CCC1CC2 ZINC000827931887 602913027 /nfs/dbraw/zinc/91/30/27/602913027.db2.gz KEMOEDXRJVBIIQ-LBPRGKRZSA-N -1 1 307.350 1.213 20 0 DDADMM Cc1cc(CNC(=O)N2CCC(CN(C)C(=O)[O-])CC2)n[nH]1 ZINC000740072804 602916495 /nfs/dbraw/zinc/91/64/95/602916495.db2.gz KWMQUWSPUARUCL-UHFFFAOYSA-N -1 1 309.370 1.250 20 0 DDADMM CC(C)[C@@H](CN[C@H](C(=O)N(C)C)c1ccccc1)NC(=O)[O-] ZINC000738795973 602929818 /nfs/dbraw/zinc/92/98/18/602929818.db2.gz ZRDSQSBWJCVTET-KGLIPLIRSA-N -1 1 307.394 1.698 20 0 DDADMM C[C@H]1CN(C(=O)[C@@H](Cc2ccccc2)N(C)C)CCN1C(=O)[O-] ZINC000739124119 602943538 /nfs/dbraw/zinc/94/35/38/602943538.db2.gz OVBNOIPJKVPUBW-DZGCQCFKSA-N -1 1 319.405 1.370 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)CN(CC1CC1)C(=O)[O-] ZINC000826087526 602957427 /nfs/dbraw/zinc/95/74/27/602957427.db2.gz ONTXVZLHNAVZKV-OCCSQVGLSA-N -1 1 309.410 1.462 20 0 DDADMM O=C([O-])NC[C@H]1CCCC[C@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000740625779 602988545 /nfs/dbraw/zinc/98/85/45/602988545.db2.gz BMBIDOOPBRRJTL-GHMZBOCLSA-N -1 1 317.349 1.514 20 0 DDADMM O=C([O-])NC[C@@H]1CCCC[C@@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000740625783 602989021 /nfs/dbraw/zinc/98/90/21/602989021.db2.gz BMBIDOOPBRRJTL-QWRGUYRKSA-N -1 1 317.349 1.514 20 0 DDADMM CC(C)N1CCN(C(=O)[C@@H]2[C@H](C)OC(C)(C)N2C(=O)[O-])CC1 ZINC000739196755 603113335 /nfs/dbraw/zinc/11/33/35/603113335.db2.gz HIIJACRUPYLTPA-RYUDHWBXSA-N -1 1 313.398 1.042 20 0 DDADMM O=C(CCCc1ccccn1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826406849 607609832 /nfs/dbraw/zinc/60/98/32/607609832.db2.gz PWMXOGMFLGVFNC-UHFFFAOYSA-N -1 1 324.344 1.723 20 0 DDADMM O=C(CCCc1ccccn1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826406849 607609833 /nfs/dbraw/zinc/60/98/33/607609833.db2.gz PWMXOGMFLGVFNC-UHFFFAOYSA-N -1 1 324.344 1.723 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1CCN(C(=O)[O-])C1)c1cccs1 ZINC000739603044 603265367 /nfs/dbraw/zinc/26/53/67/603265367.db2.gz RWOUGUBSWMRGIC-MNOVXSKESA-N -1 1 311.407 1.467 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCN(Cc3cscn3)CC2)C1 ZINC000740502172 603330092 /nfs/dbraw/zinc/33/00/92/603330092.db2.gz FDYOCKPVUJZECS-LBPRGKRZSA-N -1 1 310.423 1.261 20 0 DDADMM Cc1ccc(N2CC[C@H](N3CC[C@H](CNC(=O)[O-])C3)C2=O)cc1 ZINC000740131616 603334641 /nfs/dbraw/zinc/33/46/41/603334641.db2.gz YAFRPVWWMLBAPP-HIFRSBDPSA-N -1 1 317.389 1.690 20 0 DDADMM CCN(C[C@H]1CCN(C(=O)[O-])C1)[C@H](C)CS(=O)(=O)CC ZINC000739414409 603344854 /nfs/dbraw/zinc/34/48/54/603344854.db2.gz LZDKCISXEVQWDF-VXGBXAGGSA-N -1 1 306.428 1.131 20 0 DDADMM C[C@H](O)[C@@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000824679774 607629036 /nfs/dbraw/zinc/62/90/36/607629036.db2.gz VUVASQMPMNXJIT-DTWKUNHWSA-N -1 1 307.379 1.161 20 0 DDADMM C[C@H](O)[C@@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000824679774 607629037 /nfs/dbraw/zinc/62/90/37/607629037.db2.gz VUVASQMPMNXJIT-DTWKUNHWSA-N -1 1 307.379 1.161 20 0 DDADMM CN1CCCC[C@H]1C(=O)NCCc1ccc(NC(=O)[O-])cc1 ZINC000737518526 603455066 /nfs/dbraw/zinc/45/50/66/603455066.db2.gz QEIIREZAGOJAQG-AWEZNQCLSA-N -1 1 305.378 1.920 20 0 DDADMM O=C([O-])N[C@H]1CC[C@@H](C(=O)N2CCN(C3CCCC3)CC2)C1 ZINC000832254875 603458782 /nfs/dbraw/zinc/45/87/82/603458782.db2.gz NHIRIJYSXLEICE-OLZOCXBDSA-N -1 1 309.410 1.510 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCN(C(=O)Cc2n[nH]c3ccccc32)C1 ZINC000827846880 603547322 /nfs/dbraw/zinc/54/73/22/603547322.db2.gz SKUSMSUOSYKUFD-JTQLQIEISA-N -1 1 302.334 1.316 20 0 DDADMM CC(C)(CCC(=O)N1CCC(c2cnc[nH]2)CC1)NC(=O)[O-] ZINC000823885242 603547476 /nfs/dbraw/zinc/54/74/76/603547476.db2.gz BKRLFBXTDJSTFF-UHFFFAOYSA-N -1 1 308.382 1.942 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H](C(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000832318314 603550102 /nfs/dbraw/zinc/55/01/02/603550102.db2.gz LYDVBBOUGRDNAK-CHWSQXEVSA-N -1 1 320.393 1.942 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CNC(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000831648556 603633785 /nfs/dbraw/zinc/63/37/85/603633785.db2.gz XXDJDUNJMCDMEE-GFCCVEGCSA-N -1 1 321.381 1.441 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NCC[C@H](C)NC(=O)[O-] ZINC000829833390 603937001 /nfs/dbraw/zinc/93/70/01/603937001.db2.gz IWOFXUABKRWARC-YFKPBYRVSA-N -1 1 308.260 1.513 20 0 DDADMM O=C([O-])N1CCC[C@H](CCNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000831659084 603949060 /nfs/dbraw/zinc/94/90/60/603949060.db2.gz COOCOAFUZYSSBC-VXGBXAGGSA-N -1 1 320.393 1.726 20 0 DDADMM C[C@@](CNC(=O)[O-])(NC(=O)[C@H]1CCCc2[nH]ncc21)C1CC1 ZINC000824946404 603984008 /nfs/dbraw/zinc/98/40/08/603984008.db2.gz QMGAFCVBNCJZFX-BONVTDFDSA-N -1 1 306.366 1.382 20 0 DDADMM CN(CC(=O)Nc1ccccc1F)C1CCN(C(=O)[O-])CC1 ZINC000828120137 603984189 /nfs/dbraw/zinc/98/41/89/603984189.db2.gz CNAZJBZDFDGRPD-UHFFFAOYSA-N -1 1 309.341 1.838 20 0 DDADMM O=C([O-])N[C@@H](C(=O)NCc1cnc[nH]1)c1cccc(Cl)c1 ZINC000832091310 604051697 /nfs/dbraw/zinc/05/16/97/604051697.db2.gz DHYKZZZPIQWGHB-LLVKDONJSA-N -1 1 308.725 1.688 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@@H]2CC[C@@H](NC(=O)[O-])C2)c1 ZINC000828046689 604066706 /nfs/dbraw/zinc/06/67/06/604066706.db2.gz RCPRJUYXUQMDMY-VXGBXAGGSA-N -1 1 306.366 1.518 20 0 DDADMM CN(Cc1cccc(NC(=O)NCc2c[nH]nn2)c1)C(=O)[O-] ZINC000828375844 604137730 /nfs/dbraw/zinc/13/77/30/604137730.db2.gz VLCAKAPLHFLOSZ-UHFFFAOYSA-N -1 1 304.310 1.236 20 0 DDADMM C[C@@H](CCNC(=O)c1cn[nH]c1-c1ccccc1F)NC(=O)[O-] ZINC000824804022 604187346 /nfs/dbraw/zinc/18/73/46/604187346.db2.gz VZJBQIDPGAIWPH-VIFPVBQESA-N -1 1 320.324 1.992 20 0 DDADMM O=C([O-])N1CCC(N[C@@H](CO)c2c(F)cccc2F)CC1 ZINC000831948598 604195980 /nfs/dbraw/zinc/19/59/80/604195980.db2.gz YIVISUNFMNAZAX-LBPRGKRZSA-N -1 1 300.305 1.730 20 0 DDADMM CC(C)(C)Oc1cccc(CN2CCC(O)(C(=O)[O-])CC2)n1 ZINC000833447101 604728067 /nfs/dbraw/zinc/72/80/67/604728067.db2.gz ALWGPGQVQQSSNO-UHFFFAOYSA-N -1 1 308.378 1.670 20 0 DDADMM CCOC[C@@H](C(=O)[O-])N(C)C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000829960333 604732920 /nfs/dbraw/zinc/73/29/20/604732920.db2.gz FZJFMDSYSXEFBJ-NSHDSACASA-N -1 1 323.374 1.700 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CCC[C@H](Nc2ccccc2)C1 ZINC000826001765 604798166 /nfs/dbraw/zinc/79/81/66/604798166.db2.gz ZKSHKOAKQXQTTR-JSGCOSHPSA-N -1 1 305.378 1.152 20 0 DDADMM CN1CCN(c2ccc(NCc3c[nH]c(C(=O)[O-])c3)cn2)CC1 ZINC000830960532 604806303 /nfs/dbraw/zinc/80/63/03/604806303.db2.gz QGMFLGTYOWXGRJ-UHFFFAOYSA-N -1 1 315.377 1.472 20 0 DDADMM C[C@H]1[C@H](C)N(C(=O)CCc2ccc(C(=O)[O-])cc2)CCN1C ZINC000833585007 604838762 /nfs/dbraw/zinc/83/87/62/604838762.db2.gz YLRDDPKHTGMKMB-STQMWFEESA-N -1 1 304.390 1.868 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C/c1ccsc1 ZINC000833622483 605095610 /nfs/dbraw/zinc/09/56/10/605095610.db2.gz PPYLVKDRYOPXPM-JDGPPOGSSA-N -1 1 308.403 1.769 20 0 DDADMM C[C@@H]1[C@H](Cc2ccccc2)CCN1CN1C[C@H](C(=O)[O-])CC1=O ZINC000833588767 605175981 /nfs/dbraw/zinc/17/59/81/605175981.db2.gz RJJISKLRIMYFQX-VNQPRFMTSA-N -1 1 316.401 1.830 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCCN(C(=O)C(C)C)CC2)O1 ZINC000833586727 605329816 /nfs/dbraw/zinc/32/98/16/605329816.db2.gz ZUVOWFJLSWERMQ-ZDUSSCGKSA-N -1 1 310.394 1.324 20 0 DDADMM C[C@@H](CC(=O)N1CCc2[nH]nnc2C1)C1CCN(C(=O)[O-])CC1 ZINC000824607085 605366794 /nfs/dbraw/zinc/36/67/94/605366794.db2.gz UZLRGFGACCZJTG-JTQLQIEISA-N -1 1 321.381 1.106 20 0 DDADMM CC(C)OCCCC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833503532 605366991 /nfs/dbraw/zinc/36/69/91/605366991.db2.gz FCHJZAWWJSMBMS-CYBMUJFWSA-N -1 1 300.399 1.199 20 0 DDADMM COc1cc(N[C@@H]2CCCN(CCNC(=O)[O-])C2)cc(OC)c1 ZINC000833887500 605769210 /nfs/dbraw/zinc/76/92/10/605769210.db2.gz HBQYNAHXFRWEQP-GFCCVEGCSA-N -1 1 323.393 1.848 20 0 DDADMM O=C([O-])N1CCC[C@H]1CNCc1cccnc1OCC(F)F ZINC000834114692 605823829 /nfs/dbraw/zinc/82/38/29/605823829.db2.gz FRMDDOPMHZUZOZ-NSHDSACASA-N -1 1 315.320 1.958 20 0 DDADMM Cc1ccc2c(CN3CC[C@H](NC(=O)[O-])C3)cc(=O)oc2c1 ZINC000740152082 605934814 /nfs/dbraw/zinc/93/48/14/605934814.db2.gz CAEYWDGNOTVCOT-LBPRGKRZSA-N -1 1 302.330 1.943 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820876017 606138762 /nfs/dbraw/zinc/13/87/62/606138762.db2.gz KHAAYXWJXWABGQ-HTQZYQBOSA-N -1 1 323.378 1.388 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820876017 606138764 /nfs/dbraw/zinc/13/87/64/606138764.db2.gz KHAAYXWJXWABGQ-HTQZYQBOSA-N -1 1 323.378 1.388 20 0 DDADMM CCS[C@@H]1CCC[C@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821457126 606325637 /nfs/dbraw/zinc/32/56/37/606325637.db2.gz URPNVSHAZLQPER-ZYHUDNBSSA-N -1 1 318.406 1.666 20 0 DDADMM CCS[C@@H]1CCC[C@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821457126 606325639 /nfs/dbraw/zinc/32/56/39/606325639.db2.gz URPNVSHAZLQPER-ZYHUDNBSSA-N -1 1 318.406 1.666 20 0 DDADMM OC1(CNc2snc(Cl)c2-c2nnn[n-]2)CCCC1 ZINC000823696438 606460003 /nfs/dbraw/zinc/46/00/03/606460003.db2.gz DPVNVZRCBGJPSS-UHFFFAOYSA-N -1 1 300.775 1.694 20 0 DDADMM OC1(CNc2snc(Cl)c2-c2nn[n-]n2)CCCC1 ZINC000823696438 606460004 /nfs/dbraw/zinc/46/00/04/606460004.db2.gz DPVNVZRCBGJPSS-UHFFFAOYSA-N -1 1 300.775 1.694 20 0 DDADMM O=C(Nc1cnc(C2CC2)nc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823375908 606551520 /nfs/dbraw/zinc/55/15/20/606551520.db2.gz OVQQNEWWQSKNRF-UHFFFAOYSA-N -1 1 313.346 1.848 20 0 DDADMM O=C(Nc1cnc(C2CC2)nc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823375908 606551521 /nfs/dbraw/zinc/55/15/21/606551521.db2.gz OVQQNEWWQSKNRF-UHFFFAOYSA-N -1 1 313.346 1.848 20 0 DDADMM CCCN(CC(=O)OCC)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821088865 606560050 /nfs/dbraw/zinc/56/00/50/606560050.db2.gz YTPGXLIVMAXNSG-UHFFFAOYSA-N -1 1 323.378 1.344 20 0 DDADMM CCCN(CC(=O)OCC)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821088865 606560052 /nfs/dbraw/zinc/56/00/52/606560052.db2.gz YTPGXLIVMAXNSG-UHFFFAOYSA-N -1 1 323.378 1.344 20 0 DDADMM CCCN(CC(C)(C)O)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821094376 606582113 /nfs/dbraw/zinc/58/21/13/606582113.db2.gz HRJJEXUSRUKCSA-UHFFFAOYSA-N -1 1 309.395 1.551 20 0 DDADMM CCCN(CC(C)(C)O)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821094376 606582115 /nfs/dbraw/zinc/58/21/15/606582115.db2.gz HRJJEXUSRUKCSA-UHFFFAOYSA-N -1 1 309.395 1.551 20 0 DDADMM O=C(NCc1ccc(O)cc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823254456 606592076 /nfs/dbraw/zinc/59/20/76/606592076.db2.gz VHXAYIYBCDGADJ-UHFFFAOYSA-N -1 1 301.331 1.564 20 0 DDADMM O=C(NCc1ccc(O)cc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823254456 606592078 /nfs/dbraw/zinc/59/20/78/606592078.db2.gz VHXAYIYBCDGADJ-UHFFFAOYSA-N -1 1 301.331 1.564 20 0 DDADMM Brc1cccc(Cn2ccnc2-c2nnn[n-]2)c1 ZINC000819688828 606654715 /nfs/dbraw/zinc/65/47/15/606654715.db2.gz NTYRMEPSWKUDJY-UHFFFAOYSA-N -1 1 305.139 1.874 20 0 DDADMM Brc1cccc(Cn2ccnc2-c2nn[n-]n2)c1 ZINC000819688828 606654716 /nfs/dbraw/zinc/65/47/16/606654716.db2.gz NTYRMEPSWKUDJY-UHFFFAOYSA-N -1 1 305.139 1.874 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@H]1C[C@H]1Cc1ccccc1 ZINC000822903395 606695190 /nfs/dbraw/zinc/69/51/90/606695190.db2.gz KWGMMGDKUVRGBZ-MNOVXSKESA-N -1 1 309.333 1.164 20 0 DDADMM c1cncc(O[C@H]2CCN(c3cccc(-c4nnn[n-]4)n3)C2)c1 ZINC000823816390 606791180 /nfs/dbraw/zinc/79/11/80/606791180.db2.gz UPPROEWQABREJE-LBPRGKRZSA-N -1 1 309.333 1.314 20 0 DDADMM c1cncc(O[C@H]2CCN(c3cccc(-c4nn[n-]n4)n3)C2)c1 ZINC000823816390 606791182 /nfs/dbraw/zinc/79/11/82/606791182.db2.gz UPPROEWQABREJE-LBPRGKRZSA-N -1 1 309.333 1.314 20 0 DDADMM CCc1nnc(Oc2cccc[n+]2[O-])c(-c2nnn[n-]2)c1CC ZINC000821548064 606917747 /nfs/dbraw/zinc/91/77/47/606917747.db2.gz HQSOFYYMSDVZDY-UHFFFAOYSA-N -1 1 313.321 1.207 20 0 DDADMM CCc1nnc(Oc2cccc[n+]2[O-])c(-c2nn[n-]n2)c1CC ZINC000821548064 606917748 /nfs/dbraw/zinc/91/77/48/606917748.db2.gz HQSOFYYMSDVZDY-UHFFFAOYSA-N -1 1 313.321 1.207 20 0 DDADMM C[C@@H](C[C@H](O)c1ccccc1)Nc1nccnc1-c1nnn[n-]1 ZINC000820233872 606946343 /nfs/dbraw/zinc/94/63/43/606946343.db2.gz MBGHRIPRDKQVLC-JQWIXIFHSA-N -1 1 311.349 1.581 20 0 DDADMM C[C@@H](C[C@H](O)c1ccccc1)Nc1nccnc1-c1nn[n-]n1 ZINC000820233872 606946344 /nfs/dbraw/zinc/94/63/44/606946344.db2.gz MBGHRIPRDKQVLC-JQWIXIFHSA-N -1 1 311.349 1.581 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1cccc2c1OCO2 ZINC000823383000 607024495 /nfs/dbraw/zinc/02/44/95/607024495.db2.gz JLPBHKSDKHKXQI-UHFFFAOYSA-N -1 1 316.302 1.304 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1cccc2c1OCO2 ZINC000823383000 607024496 /nfs/dbraw/zinc/02/44/96/607024496.db2.gz JLPBHKSDKHKXQI-UHFFFAOYSA-N -1 1 316.302 1.304 20 0 DDADMM COCc1ccccc1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000821696079 607109403 /nfs/dbraw/zinc/10/94/03/607109403.db2.gz VNJQKBQCGLOOGZ-UHFFFAOYSA-N -1 1 316.346 1.722 20 0 DDADMM COCc1ccccc1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000821696079 607109404 /nfs/dbraw/zinc/10/94/04/607109404.db2.gz VNJQKBQCGLOOGZ-UHFFFAOYSA-N -1 1 316.346 1.722 20 0 DDADMM COc1cccc2c1CC[C@H]2Nc1nccnc1-c1nnn[n-]1 ZINC000821877583 607119445 /nfs/dbraw/zinc/11/94/45/607119445.db2.gz MSGDZHFGGWVBMV-LLVKDONJSA-N -1 1 309.333 1.765 20 0 DDADMM COc1cccc2c1CC[C@H]2Nc1nccnc1-c1nn[n-]n1 ZINC000821877583 607119447 /nfs/dbraw/zinc/11/94/47/607119447.db2.gz MSGDZHFGGWVBMV-LLVKDONJSA-N -1 1 309.333 1.765 20 0 DDADMM c1cc(N[C@H]2CCO[C@]3(CCSC3)C2)nc(-c2nnn[n-]2)c1 ZINC000823756964 607177014 /nfs/dbraw/zinc/17/70/14/607177014.db2.gz IBPJGPJWIYAQEE-IINYFYTJSA-N -1 1 318.406 1.728 20 0 DDADMM c1cc(N[C@H]2CCO[C@]3(CCSC3)C2)nc(-c2nn[n-]n2)c1 ZINC000823756964 607177015 /nfs/dbraw/zinc/17/70/15/607177015.db2.gz IBPJGPJWIYAQEE-IINYFYTJSA-N -1 1 318.406 1.728 20 0 DDADMM CC(C)[C@H](O)C(C)(C)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000824201215 607824221 /nfs/dbraw/zinc/82/42/21/607824221.db2.gz YVPHLOBNLHITKU-NSHDSACASA-N -1 1 323.422 1.701 20 0 DDADMM CC(C)[C@H](O)C(C)(C)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000824201215 607824222 /nfs/dbraw/zinc/82/42/22/607824222.db2.gz YVPHLOBNLHITKU-NSHDSACASA-N -1 1 323.422 1.701 20 0 DDADMM Cc1noc([C@H]2CCCN(c3cccc(-c4nnn[n-]4)n3)C2)n1 ZINC000826339762 607897207 /nfs/dbraw/zinc/89/72/07/607897207.db2.gz RWPODOSECRNIGW-JTQLQIEISA-N -1 1 312.337 1.337 20 0 DDADMM Cc1noc([C@H]2CCCN(c3cccc(-c4nn[n-]n4)n3)C2)n1 ZINC000826339762 607897208 /nfs/dbraw/zinc/89/72/08/607897208.db2.gz RWPODOSECRNIGW-JTQLQIEISA-N -1 1 312.337 1.337 20 0 DDADMM c1cn(Cc2ccccn2)nc1Nc1cccc(-c2nnn[n-]2)n1 ZINC000826522243 607898628 /nfs/dbraw/zinc/89/86/28/607898628.db2.gz SLLKUEOCRYFGRS-UHFFFAOYSA-N -1 1 319.332 1.645 20 0 DDADMM c1cn(Cc2ccccn2)nc1Nc1cccc(-c2nn[n-]n2)n1 ZINC000826522243 607898629 /nfs/dbraw/zinc/89/86/29/607898629.db2.gz SLLKUEOCRYFGRS-UHFFFAOYSA-N -1 1 319.332 1.645 20 0 DDADMM c1nn(Cc2ccccn2)cc1Nc1cccc(-c2nnn[n-]2)n1 ZINC000826522135 607898803 /nfs/dbraw/zinc/89/88/03/607898803.db2.gz NERPAFXCRDZWHZ-UHFFFAOYSA-N -1 1 319.332 1.645 20 0 DDADMM c1nn(Cc2ccccn2)cc1Nc1cccc(-c2nn[n-]n2)n1 ZINC000826522135 607898804 /nfs/dbraw/zinc/89/88/04/607898804.db2.gz NERPAFXCRDZWHZ-UHFFFAOYSA-N -1 1 319.332 1.645 20 0 DDADMM CCO[C@H]1C[C@H](N(C)c2cccc(-c3nnn[n-]3)n2)C1(C)C ZINC000825582785 607899754 /nfs/dbraw/zinc/89/97/54/607899754.db2.gz NKYSSBVUGADSHZ-RYUDHWBXSA-N -1 1 302.382 1.902 20 0 DDADMM CCO[C@H]1C[C@H](N(C)c2cccc(-c3nn[n-]n3)n2)C1(C)C ZINC000825582785 607899755 /nfs/dbraw/zinc/89/97/55/607899755.db2.gz NKYSSBVUGADSHZ-RYUDHWBXSA-N -1 1 302.382 1.902 20 0 DDADMM C[C@@](O)(CNc1nccnc1-c1nnn[n-]1)c1ccc(F)cc1 ZINC000824678008 607967762 /nfs/dbraw/zinc/96/77/62/607967762.db2.gz KAIIIJYDDNETDJ-CQSZACIVSA-N -1 1 315.312 1.115 20 0 DDADMM C[C@@](O)(CNc1nccnc1-c1nn[n-]n1)c1ccc(F)cc1 ZINC000824678008 607967763 /nfs/dbraw/zinc/96/77/63/607967763.db2.gz KAIIIJYDDNETDJ-CQSZACIVSA-N -1 1 315.312 1.115 20 0 DDADMM c1cn(-c2cccc(Oc3ccc(-c4nnn[n-]4)nn3)c2)cn1 ZINC000826517592 607991030 /nfs/dbraw/zinc/99/10/30/607991030.db2.gz IRLWUVJLNRZMTQ-UHFFFAOYSA-N -1 1 306.289 1.635 20 0 DDADMM c1cn(-c2cccc(Oc3ccc(-c4nn[n-]n4)nn3)c2)cn1 ZINC000826517592 607991031 /nfs/dbraw/zinc/99/10/31/607991031.db2.gz IRLWUVJLNRZMTQ-UHFFFAOYSA-N -1 1 306.289 1.635 20 0 DDADMM CC(C)CCC[C@H](C)NC(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000824244851 608013218 /nfs/dbraw/zinc/01/32/18/608013218.db2.gz VFAQFAWLQCTXMA-NSHDSACASA-N -1 1 305.386 1.394 20 0 DDADMM CC(C)CCC[C@H](C)NC(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000824244851 608013219 /nfs/dbraw/zinc/01/32/19/608013219.db2.gz VFAQFAWLQCTXMA-NSHDSACASA-N -1 1 305.386 1.394 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824885622 608166265 /nfs/dbraw/zinc/16/62/65/608166265.db2.gz TWDZCVJRAIROTO-RKDXNWHRSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824885622 608166266 /nfs/dbraw/zinc/16/62/66/608166266.db2.gz TWDZCVJRAIROTO-RKDXNWHRSA-N -1 1 307.379 1.473 20 0 DDADMM Cc1cnc([C@@H](C)OC(=O)c2ccc(-c3nnn[n-]3)nc2)o1 ZINC000826317636 608172164 /nfs/dbraw/zinc/17/21/64/608172164.db2.gz KAZMZIJILOSMCY-MRVPVSSYSA-N -1 1 300.278 1.476 20 0 DDADMM Cc1cnc([C@@H](C)OC(=O)c2ccc(-c3nn[n-]n3)nc2)o1 ZINC000826317636 608172166 /nfs/dbraw/zinc/17/21/66/608172166.db2.gz KAZMZIJILOSMCY-MRVPVSSYSA-N -1 1 300.278 1.476 20 0 DDADMM CSc1cc(CNc2nccnc2-c2nnn[n-]2)ccn1 ZINC000826230501 608241651 /nfs/dbraw/zinc/24/16/51/608241651.db2.gz WVCDAOZPHZBSPU-UHFFFAOYSA-N -1 1 300.351 1.386 20 0 DDADMM CSc1cc(CNc2nccnc2-c2nn[n-]n2)ccn1 ZINC000826230501 608241652 /nfs/dbraw/zinc/24/16/52/608241652.db2.gz WVCDAOZPHZBSPU-UHFFFAOYSA-N -1 1 300.351 1.386 20 0 DDADMM Fc1ccc(C2(CNc3ccc(-c4nnn[n-]4)nn3)CC2)cc1 ZINC000826374234 608400736 /nfs/dbraw/zinc/40/07/36/608400736.db2.gz QVWNWVMHYPUFGM-UHFFFAOYSA-N -1 1 311.324 1.940 20 0 DDADMM Fc1ccc(C2(CNc3ccc(-c4nn[n-]n4)nn3)CC2)cc1 ZINC000826374234 608400738 /nfs/dbraw/zinc/40/07/38/608400738.db2.gz QVWNWVMHYPUFGM-UHFFFAOYSA-N -1 1 311.324 1.940 20 0 DDADMM Cc1cccc(OC[C@H](C)Nc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826300190 608404812 /nfs/dbraw/zinc/40/48/12/608404812.db2.gz LJUJOORRIVWLHM-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM Cc1cccc(OC[C@H](C)Nc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826300190 608404814 /nfs/dbraw/zinc/40/48/14/608404814.db2.gz LJUJOORRIVWLHM-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM COc1ccc([C@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826190549 608408469 /nfs/dbraw/zinc/40/84/69/608408469.db2.gz FNCCJOMFXYAIOZ-LBPRGKRZSA-N -1 1 323.360 1.659 20 0 DDADMM COc1ccc([C@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826190549 608408470 /nfs/dbraw/zinc/40/84/70/608408470.db2.gz FNCCJOMFXYAIOZ-LBPRGKRZSA-N -1 1 323.360 1.659 20 0 DDADMM COc1cc(CCNc2ccc(-c3nnn[n-]3)nn2)ccc1C ZINC000826178947 608422871 /nfs/dbraw/zinc/42/28/71/608422871.db2.gz RUYHKKRARUNEOH-UHFFFAOYSA-N -1 1 311.349 1.628 20 0 DDADMM COc1cc(CCNc2ccc(-c3nn[n-]n3)nn2)ccc1C ZINC000826178947 608422873 /nfs/dbraw/zinc/42/28/73/608422873.db2.gz RUYHKKRARUNEOH-UHFFFAOYSA-N -1 1 311.349 1.628 20 0 DDADMM Cc1noc(C)c1CCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826339098 608430205 /nfs/dbraw/zinc/43/02/05/608430205.db2.gz QZYAFFBHGNCMRN-UHFFFAOYSA-N -1 1 300.326 1.306 20 0 DDADMM Cc1noc(C)c1CCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826339098 608430206 /nfs/dbraw/zinc/43/02/06/608430206.db2.gz QZYAFFBHGNCMRN-UHFFFAOYSA-N -1 1 300.326 1.306 20 0 DDADMM CCOC(=O)CN(Cc1ccc(-c2nn[n-]n2)s1)C1CC1 ZINC000825517971 608582293 /nfs/dbraw/zinc/58/22/93/608582293.db2.gz QVFGWBNIACIOBD-UHFFFAOYSA-N -1 1 307.379 1.456 20 0 DDADMM CCCC(=O)Nc1ccn(-c2cccc(F)c2-c2nn[n-]n2)n1 ZINC000825225062 609286997 /nfs/dbraw/zinc/28/69/97/609286997.db2.gz KKLLNRPXZAYMFY-UHFFFAOYSA-N -1 1 315.312 1.930 20 0 DDADMM Cn1c(Sc2cccc(-c3nnn[n-]3)n2)nnc1C1CCC1 ZINC000826356273 609390850 /nfs/dbraw/zinc/39/08/50/609390850.db2.gz JMJDYQRZJUNGMY-UHFFFAOYSA-N -1 1 314.378 1.809 20 0 DDADMM Cn1c(Sc2cccc(-c3nn[n-]n3)n2)nnc1C1CCC1 ZINC000826356273 609390851 /nfs/dbraw/zinc/39/08/51/609390851.db2.gz JMJDYQRZJUNGMY-UHFFFAOYSA-N -1 1 314.378 1.809 20 0 DDADMM Clc1nsc(NCC[C@H]2CCOC2)c1-c1nnn[n-]1 ZINC000826353778 609603645 /nfs/dbraw/zinc/60/36/45/609603645.db2.gz DBCSHYFGZHZYJS-LURJTMIESA-N -1 1 300.775 1.815 20 0 DDADMM Clc1nsc(NCC[C@H]2CCOC2)c1-c1nn[n-]n1 ZINC000826353778 609603647 /nfs/dbraw/zinc/60/36/47/609603647.db2.gz DBCSHYFGZHZYJS-LURJTMIESA-N -1 1 300.775 1.815 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973197286 695443716 /nfs/dbraw/zinc/44/37/16/695443716.db2.gz AZSQWLMFFJCQSV-LPWJVIDDSA-N -1 1 317.389 1.456 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973198075 695444002 /nfs/dbraw/zinc/44/40/02/695444002.db2.gz TVDAOQDHJWNEPK-DDHJBXDOSA-N -1 1 303.362 1.210 20 0 DDADMM CC(=O)N1CC[C@H]2[C@@H](CCCN2C(=O)c2ncccc2[O-])C1 ZINC000973716384 695518707 /nfs/dbraw/zinc/51/87/07/695518707.db2.gz CRNXKABCEIFTIK-STQMWFEESA-N -1 1 303.362 1.260 20 0 DDADMM CN(C(=O)N[C@H]1CCCc2cn[nH]c21)C1(C(=O)[O-])CCCC1 ZINC000797723651 700021121 /nfs/dbraw/zinc/02/11/21/700021121.db2.gz DHGDZXWKEWENQH-NSHDSACASA-N -1 1 306.366 1.826 20 0 DDADMM CC1(C)CN(C/C=C/Cl)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000974491939 695679327 /nfs/dbraw/zinc/67/93/27/695679327.db2.gz QLRCCSBFBPQDOO-FUEXJSSKSA-N -1 1 309.797 1.980 20 0 DDADMM CCC(CC)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976403885 695971716 /nfs/dbraw/zinc/97/17/16/695971716.db2.gz PZBXEACGRBMJRI-IMRBUKKESA-N -1 1 317.389 1.410 20 0 DDADMM CC(C)NC(=O)CN(C)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000007923661 696032514 /nfs/dbraw/zinc/03/25/14/696032514.db2.gz GUKPKPAXALDCOB-UHFFFAOYSA-N -1 1 304.346 1.455 20 0 DDADMM CCCNC(=O)CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747212819 700060140 /nfs/dbraw/zinc/06/01/40/700060140.db2.gz GGUKIVHXWGBPFI-UHFFFAOYSA-N -1 1 308.382 1.260 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCO[C@H]2CCCC[C@H]2C)n1 ZINC000046831019 696191394 /nfs/dbraw/zinc/19/13/94/696191394.db2.gz RLGJYCIVDSEJPG-NEPJUHHUSA-N -1 1 323.397 1.707 20 0 DDADMM CCn1c(CNC(=O)c2ccc3[nH]c(=O)[nH]c3c2)n[n-]c1=S ZINC000066636169 696354465 /nfs/dbraw/zinc/35/44/65/696354465.db2.gz VLOSMGYJZBCESI-UHFFFAOYSA-N -1 1 318.362 1.060 20 0 DDADMM CC(C)n1c(CNC(=O)c2cccnc2N(C)C)n[n-]c1=S ZINC000066639301 696354751 /nfs/dbraw/zinc/35/47/51/696354751.db2.gz MSAMJSMCKISGGQ-UHFFFAOYSA-N -1 1 320.422 1.913 20 0 DDADMM CCOCCO[C@H](C)C(=O)NCc1n[n-]c(=S)n1C(C)C ZINC000066639065 696354876 /nfs/dbraw/zinc/35/48/76/696354876.db2.gz XYLQRXWREBPQBZ-SNVBAGLBSA-N -1 1 316.427 1.579 20 0 DDADMM CC(=O)c1c[nH]c(C(=O)NCc2n[n-]c(=S)n2C(C)C)c1 ZINC000066639505 696354917 /nfs/dbraw/zinc/35/49/17/696354917.db2.gz RAHIGKUALYMJJX-UHFFFAOYSA-N -1 1 307.379 1.982 20 0 DDADMM Cc1nccc(C(=O)NCCc2n[n-]c(=S)n2C(C)C)n1 ZINC000067073366 696358872 /nfs/dbraw/zinc/35/88/72/696358872.db2.gz NOTNTSACXOMMJG-UHFFFAOYSA-N -1 1 306.395 1.593 20 0 DDADMM Cn1c(CCNC(=O)CCOc2ccc(F)cc2)n[n-]c1=S ZINC000067070053 696359035 /nfs/dbraw/zinc/35/90/35/696359035.db2.gz XMMALQOFLLYUSX-UHFFFAOYSA-N -1 1 324.381 1.745 20 0 DDADMM Cc1[nH]nc(C(=O)[N-]NC(=O)CCC2CCCCC2)c1[N+](=O)[O-] ZINC000067181285 696359657 /nfs/dbraw/zinc/35/96/57/696359657.db2.gz DTJAQZMCJQTVFY-UHFFFAOYSA-N -1 1 323.353 1.748 20 0 DDADMM CCC(NCc1ccccc1F)=C1C(=O)[N-]C(=S)NC1=O ZINC000073139069 696401257 /nfs/dbraw/zinc/40/12/57/696401257.db2.gz LALHHRXHEXDKBR-UHFFFAOYSA-N -1 1 307.350 1.110 20 0 DDADMM CN1CCN(C)[C@H](CNC(=O)c2ccc3[n-]c(=S)oc3c2)C1 ZINC000073563956 696405639 /nfs/dbraw/zinc/40/56/39/696405639.db2.gz PLVARJZNOCORCJ-LLVKDONJSA-N -1 1 320.418 1.466 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2cc3ccccc3o2)s1 ZINC000079582524 696461651 /nfs/dbraw/zinc/46/16/51/696461651.db2.gz OJZWLXPKGQDXIN-LLVKDONJSA-N -1 1 303.343 1.396 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2csc3ccccc23)s1 ZINC000079588337 696461789 /nfs/dbraw/zinc/46/17/89/696461789.db2.gz DCLWRQGHEUCYQO-NSHDSACASA-N -1 1 319.411 1.864 20 0 DDADMM CC(C)(CNC(=O)Cc1sc(N)nc1[O-])c1ccc(F)cc1 ZINC000080005370 696466185 /nfs/dbraw/zinc/46/61/85/696466185.db2.gz PFMMLNJBHXVVBD-LLVKDONJSA-N -1 1 323.393 1.566 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)Cc2sc(N)nc2[O-])cs1 ZINC000080207437 696531551 /nfs/dbraw/zinc/53/15/51/696531551.db2.gz IVVKSOLOEJZFFE-SVRRBLITSA-N -1 1 312.420 1.230 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)c1ccc2c(c1)CCC2)N(C)C ZINC000798814715 700099128 /nfs/dbraw/zinc/09/91/28/700099128.db2.gz SELAVRKOZJCERG-HNNXBMFYSA-N -1 1 324.446 1.566 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979671162 696623546 /nfs/dbraw/zinc/62/35/46/696623546.db2.gz FWONDVTUSXSHHP-FDYHWXHSSA-N -1 1 317.389 1.458 20 0 DDADMM CC(C)C(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]12 ZINC000979817967 696648651 /nfs/dbraw/zinc/64/86/51/696648651.db2.gz IUSQQPBUXFIAIC-JHJVBQTASA-N -1 1 317.389 1.410 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(F)cc1F ZINC000120804959 696701669 /nfs/dbraw/zinc/70/16/69/696701669.db2.gz OEWNJXPNLFAHSA-QUBYGPBYSA-N -1 1 313.329 1.489 20 0 DDADMM CCCN(Cc1ccccc1)C(=O)Cc1sc(N)nc1[O-] ZINC000120860841 696702315 /nfs/dbraw/zinc/70/23/15/696702315.db2.gz JVDYKESVULZJKI-LBPRGKRZSA-N -1 1 305.403 1.772 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@@H]2Cc2ccccc2)s1 ZINC000120853561 696702431 /nfs/dbraw/zinc/70/24/31/696702431.db2.gz LJIVKKJSWBENFA-CHWSQXEVSA-N -1 1 317.414 1.567 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1cccc(F)c1F ZINC000153192563 696910864 /nfs/dbraw/zinc/91/08/64/696910864.db2.gz CGZHCILAWDVPDN-IMTBSYHQSA-N -1 1 313.329 1.489 20 0 DDADMM CS[C@H](C)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982483511 697137573 /nfs/dbraw/zinc/13/75/73/697137573.db2.gz IGGLDMIHSURWJZ-GHMZBOCLSA-N -1 1 323.418 1.117 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2cc(C)ccc2OC)C1 ZINC000748776849 700134885 /nfs/dbraw/zinc/13/48/85/700134885.db2.gz OPIYNEMFSUAJBH-LBPRGKRZSA-N -1 1 322.361 1.051 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C(=O)O1 ZINC000748862172 700139315 /nfs/dbraw/zinc/13/93/15/700139315.db2.gz NCVRTPLUXJXCPO-VDTYLAMSSA-N -1 1 309.265 1.086 20 0 DDADMM CC[C@H](C(=O)N[N-]C(=O)C(F)(F)Oc1ccccc1)N(C)C ZINC000158807026 697304498 /nfs/dbraw/zinc/30/44/98/697304498.db2.gz RVPNQKJHJFHXCK-LLVKDONJSA-N -1 1 315.320 1.146 20 0 DDADMM CC[N@H+]1CCC[C@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC000171865640 697362950 /nfs/dbraw/zinc/36/29/50/697362950.db2.gz IJBKDNPREYOJIE-NSHDSACASA-N -1 1 300.362 1.137 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2cccs2)CC1 ZINC000985230249 697471371 /nfs/dbraw/zinc/47/13/71/697471371.db2.gz CTSUVRMHGWOLEN-UHFFFAOYSA-N -1 1 321.406 1.308 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@H]2CC=CCC2)CC1 ZINC000985262647 697476612 /nfs/dbraw/zinc/47/66/12/697476612.db2.gz GQKAGUVHCRUJPM-LBPRGKRZSA-N -1 1 319.409 1.289 20 0 DDADMM CC(=O)N[C@H](CC(=O)[N-]O[C@@H]1CCCCO1)c1cccs1 ZINC000749375282 700159466 /nfs/dbraw/zinc/15/94/66/700159466.db2.gz OFYCYDXMQDIWBD-BXUZGUMPSA-N -1 1 312.391 1.890 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccccc1F ZINC000985898683 697597963 /nfs/dbraw/zinc/59/79/63/697597963.db2.gz MIKIAMCSWLWBNB-JOYOIKCWSA-N -1 1 319.340 1.042 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OC1CCOCC1)N1C[C@@H](C)O[C@H](C)C1 ZINC000772707916 697663968 /nfs/dbraw/zinc/66/39/68/697663968.db2.gz PFLXGHNUIAEUBO-NFAWXSAZSA-N -1 1 314.426 1.347 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C(F)=C1CCCC1 ZINC000986153161 697709016 /nfs/dbraw/zinc/70/90/16/697709016.db2.gz VTLPIUIVKBNDGN-ONGXEEELSA-N -1 1 323.372 1.387 20 0 DDADMM O=C(c1cccc(Cl)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773155373 697719977 /nfs/dbraw/zinc/71/99/77/697719977.db2.gz UVUDIYYOESLEIR-SNVBAGLBSA-N -1 1 309.782 1.783 20 0 DDADMM CCNS(=O)(=O)c1ccc(F)c(C(=O)[N-]c2cnoc2)c1 ZINC000749641468 700174535 /nfs/dbraw/zinc/17/45/35/700174535.db2.gz OFBIFYFJOMRCSO-UHFFFAOYSA-N -1 1 313.310 1.364 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000773498605 697768633 /nfs/dbraw/zinc/76/86/33/697768633.db2.gz QGHNGSNEYPREMR-ZZXKWVIFSA-N -1 1 315.329 1.900 20 0 DDADMM COc1cc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)ccn1 ZINC000773750612 697800200 /nfs/dbraw/zinc/80/02/00/697800200.db2.gz VWNFSNVAESPHSQ-UHFFFAOYSA-N -1 1 315.358 1.352 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(=O)c2ccccc2o1)c1nn[n-]n1 ZINC000773998050 697832266 /nfs/dbraw/zinc/83/22/66/697832266.db2.gz JENSXMAVWFBBDL-SECBINFHSA-N -1 1 313.317 1.182 20 0 DDADMM CCC(=O)N[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]1C ZINC000987175888 698017490 /nfs/dbraw/zinc/01/74/90/698017490.db2.gz BAABINMMQFKCRQ-SKDRFNHKSA-N -1 1 318.377 1.189 20 0 DDADMM Cc1cncc(C=CC(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000776566126 698117960 /nfs/dbraw/zinc/11/79/60/698117960.db2.gz XGIZFVVPDNTZRU-DLGQBQFBSA-N -1 1 316.390 1.233 20 0 DDADMM CSc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)ccn1 ZINC000776567050 698118309 /nfs/dbraw/zinc/11/83/09/698118309.db2.gz ZVSRBCOGCDHSRF-SECBINFHSA-N -1 1 322.419 1.247 20 0 DDADMM Cc1nc(SCC(=O)N[C@@H]2CCSC2=O)[n-]c(=O)c1C ZINC000776869363 698144734 /nfs/dbraw/zinc/14/47/34/698144734.db2.gz KVWOSEKXZAXVHP-MRVPVSSYSA-N -1 1 313.404 1.039 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CC1)c1c(Cl)ccnc1Cl ZINC000778006088 698236873 /nfs/dbraw/zinc/23/68/73/698236873.db2.gz RERPRPXGHOVZFX-QMMMGPOBSA-N -1 1 311.190 1.438 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987885902 698257419 /nfs/dbraw/zinc/25/74/19/698257419.db2.gz IEXONZGADRBWGA-TWEXNZEWSA-N -1 1 317.389 1.674 20 0 DDADMM Cc1nccn1-c1ncccc1CNC(=O)c1ncc(C)cc1[O-] ZINC000778560603 698347865 /nfs/dbraw/zinc/34/78/65/698347865.db2.gz BMCGTQJCIFEEQE-UHFFFAOYSA-N -1 1 323.356 1.915 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)N1CCCCC1 ZINC000778616558 698353041 /nfs/dbraw/zinc/35/30/41/698353041.db2.gz WOKDBCXNXTWKSS-UHFFFAOYSA-N -1 1 319.471 1.345 20 0 DDADMM Cc1cnc(C(=O)NC2CCN(C(=O)OC(C)C)CC2)c([O-])c1 ZINC000778816575 698371701 /nfs/dbraw/zinc/37/17/01/698371701.db2.gz WDKZSOPYBWUXGV-UHFFFAOYSA-N -1 1 321.377 1.835 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](CC(=O)[N-]OCC(F)F)C1 ZINC000779094621 698394688 /nfs/dbraw/zinc/39/46/88/698394688.db2.gz DIHDACGEGNWXTQ-SECBINFHSA-N -1 1 308.325 1.946 20 0 DDADMM C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)N1Cc1nc(=O)n(C)[n-]1 ZINC000779221892 698403189 /nfs/dbraw/zinc/40/31/89/698403189.db2.gz DBQQUNKRRMUJOL-XUJVJEKNSA-N -1 1 302.378 1.459 20 0 DDADMM NC(=O)c1cccc(CSCCC[N-]C(=O)C(F)(F)F)n1 ZINC000779832988 698473674 /nfs/dbraw/zinc/47/36/74/698473674.db2.gz WBZYAFSHLFSLGL-UHFFFAOYSA-N -1 1 321.324 1.482 20 0 DDADMM Cc1ccc2nc(CNC(=O)N[C@@H](C(=O)[O-])C(C)C)[nH]c2c1 ZINC000780619595 698539414 /nfs/dbraw/zinc/53/94/14/698539414.db2.gz LBIMTRIJRKSXGE-CYBMUJFWSA-N -1 1 304.350 1.780 20 0 DDADMM O=C(CN1CCC[C@H](OC(F)F)C1)[N-]OCc1ccccc1 ZINC000781356981 698611451 /nfs/dbraw/zinc/61/14/51/698611451.db2.gz JASWHLPJFXLVGX-ZDUSSCGKSA-N -1 1 314.332 1.938 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCOCC2CCCC2)c1Cl ZINC000313360255 698682005 /nfs/dbraw/zinc/68/20/05/698682005.db2.gz WNSYQHGVSFSBLO-UHFFFAOYSA-N -1 1 321.830 1.559 20 0 DDADMM O=C(COC1CCCCC1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000339199663 698785496 /nfs/dbraw/zinc/78/54/96/698785496.db2.gz BVIFNYFRFRGBAF-NSHDSACASA-N -1 1 309.366 1.831 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C(C)(C)C)CCN1C(=O)c1ncccc1[O-] ZINC000989833333 698786972 /nfs/dbraw/zinc/78/69/72/698786972.db2.gz DWZGVEPTEVVIEW-MNOVXSKESA-N -1 1 305.378 1.553 20 0 DDADMM COCCCN(CCO)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000783754013 698873825 /nfs/dbraw/zinc/87/38/25/698873825.db2.gz OLGAJDWQJQLFAA-UHFFFAOYSA-N -1 1 311.382 1.085 20 0 DDADMM O=C([O-])C(F)(F)CNS(=O)(=O)c1ccc(Cl)s1 ZINC000383770946 699070347 /nfs/dbraw/zinc/07/03/47/699070347.db2.gz GPKFOUFZABBXEQ-UHFFFAOYSA-N -1 1 305.711 1.400 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@H]1CCCOC1 ZINC000389190925 699087937 /nfs/dbraw/zinc/08/79/37/699087937.db2.gz MUHNXMZAJWIISR-BDAKNGLRSA-N -1 1 307.803 1.167 20 0 DDADMM Cn1[n-]c(COC(=O)C2(Cc3ccccc3F)CC2)nc1=O ZINC000785812889 699103115 /nfs/dbraw/zinc/10/31/15/699103115.db2.gz HWZLKQKZMMSOAN-UHFFFAOYSA-N -1 1 305.309 1.314 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2CCC=CO2)cc1 ZINC000787051714 699187346 /nfs/dbraw/zinc/18/73/46/699187346.db2.gz IIVOOXJCXUNJHA-CYBMUJFWSA-N -1 1 319.313 1.188 20 0 DDADMM Cc1cccc(CS(=O)(=O)CCC[N-]C(=O)C(F)(F)F)c1 ZINC000787992774 699265149 /nfs/dbraw/zinc/26/51/49/699265149.db2.gz LURKCENWXADOBY-UHFFFAOYSA-N -1 1 323.336 1.978 20 0 DDADMM COc1nnc([N-]C(=O)c2cnn(-c3ccccc3)n2)s1 ZINC000788501130 699319545 /nfs/dbraw/zinc/31/95/45/699319545.db2.gz QEFFSAIPJRAFHP-UHFFFAOYSA-N -1 1 302.319 1.380 20 0 DDADMM CC(C)CCS(=O)(=O)[N-]C(=O)c1[nH]nc2c1CCCCC2 ZINC000789367508 699381928 /nfs/dbraw/zinc/38/19/28/699381928.db2.gz GZQFXZHGWBHMJB-UHFFFAOYSA-N -1 1 313.423 1.784 20 0 DDADMM CC(C)(C)[C@@H]1CCCC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727850249 699428288 /nfs/dbraw/zinc/42/82/88/699428288.db2.gz VHKIAQZQSJVFHL-OLZOCXBDSA-N -1 1 321.421 1.648 20 0 DDADMM Cc1ccc(CN(C(=O)CCn2cc[n-]c(=O)c2=O)C2CC2)o1 ZINC000727852487 699428342 /nfs/dbraw/zinc/42/83/42/699428342.db2.gz WUFGZXQGRMODBN-UHFFFAOYSA-N -1 1 317.345 1.019 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1cc2c(=O)[nH]cnc2cn1 ZINC000790051237 699437833 /nfs/dbraw/zinc/43/78/33/699437833.db2.gz OLXGMCXZUDIWPO-UHFFFAOYSA-N -1 1 317.692 1.737 20 0 DDADMM CSc1ccccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000729629061 699483966 /nfs/dbraw/zinc/48/39/66/699483966.db2.gz KWGVJZTYHLNYPI-UHFFFAOYSA-N -1 1 305.359 1.287 20 0 DDADMM CC(C)=C[C@@H]1[C@H](C(=O)N2CCO[C@H](c3nn[n-]n3)C2)C1(C)C ZINC000732090352 699545964 /nfs/dbraw/zinc/54/59/64/699545964.db2.gz HYVJYNSXQRMLHY-GRYCIOLGSA-N -1 1 305.382 1.338 20 0 DDADMM CC(C)(C)c1nc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cs1 ZINC000732091570 699546152 /nfs/dbraw/zinc/54/61/52/699546152.db2.gz JELHHSRIOINZPV-VIFPVBQESA-N -1 1 322.394 1.167 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cnn2ccccc12 ZINC000732276827 699553279 /nfs/dbraw/zinc/55/32/79/699553279.db2.gz SKEUIUSTWKOSSN-CQSZACIVSA-N -1 1 319.328 1.367 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)OCCCCO ZINC000733459677 699597671 /nfs/dbraw/zinc/59/76/71/699597671.db2.gz XROUXWUTHRASQL-UHFFFAOYSA-N -1 1 315.391 1.768 20 0 DDADMM Cc1ccc(C)c(OCC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000791168027 699614011 /nfs/dbraw/zinc/61/40/11/699614011.db2.gz UHAMKBSDDRQSNY-UHFFFAOYSA-N -1 1 310.357 1.976 20 0 DDADMM CN1CC2(C1)CCN(C(=O)c1ccc3[n-]c(=S)oc3c1)C2 ZINC000791247093 699617324 /nfs/dbraw/zinc/61/73/24/699617324.db2.gz BPBZFPXEVKQRTG-UHFFFAOYSA-N -1 1 303.387 1.894 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)[C@@H]1CCOC1)c1nc(C2CC2)no1 ZINC000735674482 699699905 /nfs/dbraw/zinc/69/99/05/699699905.db2.gz OMTPOTUNHGSVJB-MNOVXSKESA-N -1 1 315.395 1.352 20 0 DDADMM COC1(C(=O)OCCc2cc(C(C)=O)ccc2[O-])CCOCC1 ZINC000792718773 699708815 /nfs/dbraw/zinc/70/88/15/699708815.db2.gz MIDCRTVLCBSCIX-UHFFFAOYSA-N -1 1 322.357 1.876 20 0 DDADMM CCC[C@@H](NC(=O)C1=NO[C@@H](c2ccccc2)C1)c1nn[n-]n1 ZINC000736426595 699725248 /nfs/dbraw/zinc/72/52/48/699725248.db2.gz QYANLMWFKFZOKY-DGCLKSJQSA-N -1 1 314.349 1.675 20 0 DDADMM O=C(CCc1ccccc1Cl)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737857493 699746695 /nfs/dbraw/zinc/74/66/95/699746695.db2.gz RPWOXVIQYIXIJI-GFCCVEGCSA-N -1 1 321.768 1.386 20 0 DDADMM Cc1ccc([C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000737942868 699748299 /nfs/dbraw/zinc/74/82/99/699748299.db2.gz NKLGGLKGYXJFOG-ZDUSSCGKSA-N -1 1 315.373 1.155 20 0 DDADMM O=C([N-]c1nc(C2CC2)no1)c1cnn(-c2ccc(F)cc2)n1 ZINC000738542239 699762134 /nfs/dbraw/zinc/76/21/34/699762134.db2.gz GQEWDFNXLZDEGV-UHFFFAOYSA-N -1 1 314.280 1.919 20 0 DDADMM O=C([O-])N1CC[C@@H](CCNC(=O)Cc2[nH]nc3ccccc32)C1 ZINC000740388587 699792348 /nfs/dbraw/zinc/79/23/48/699792348.db2.gz SZSOKWBHGOHJAQ-LLVKDONJSA-N -1 1 316.361 1.612 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)c1ccc2c(c1)[n-]c(=S)[nH]c2=O ZINC000740748081 699799654 /nfs/dbraw/zinc/79/96/54/699799654.db2.gz SXPHTLWGVFKXBP-UHFFFAOYSA-N -1 1 316.346 1.014 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2c(C)nn(C)c2Cl)on1 ZINC000741249989 699824744 /nfs/dbraw/zinc/82/47/44/699824744.db2.gz LRLFDTWPMCBYTE-UHFFFAOYSA-N -1 1 318.786 1.411 20 0 DDADMM CCC[C@H](C)c1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)n[nH]1 ZINC000743628715 699920739 /nfs/dbraw/zinc/92/07/39/699920739.db2.gz UAAFRBFNWZTCJG-JTQLQIEISA-N -1 1 319.365 1.192 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C)c2nc(C3CC3)no2)[n-]1 ZINC000796370049 699929851 /nfs/dbraw/zinc/92/98/51/699929851.db2.gz UUEWIIZIZSEYKE-SSDOTTSWSA-N -1 1 305.290 1.980 20 0 DDADMM CNC(=O)c1cccc(OC(=O)c2ccc(C(=O)OC)[n-]2)c1 ZINC000796765735 699951410 /nfs/dbraw/zinc/95/14/10/699951410.db2.gz ZUCOWEOJPKBPLJ-UHFFFAOYSA-N -1 1 302.286 1.380 20 0 DDADMM O=C(O[C@@H]1CCOC1=O)c1nn(-c2ccc(Cl)cc2)cc1[O-] ZINC000801373187 700301443 /nfs/dbraw/zinc/30/14/43/700301443.db2.gz SOEICQASRHSCPH-LLVKDONJSA-N -1 1 322.704 1.704 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cn(-c4ccccc4)nn3)ccnc1-2 ZINC000801701487 700331811 /nfs/dbraw/zinc/33/18/11/700331811.db2.gz PTZMAOTWHZGQJD-UHFFFAOYSA-N -1 1 319.328 1.175 20 0 DDADMM COCc1cccc(CC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000801702119 700332274 /nfs/dbraw/zinc/33/22/74/700332274.db2.gz RESDEXKDUCETPN-UHFFFAOYSA-N -1 1 310.357 1.669 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3Cc4cc(F)ccc4O3)ccnc1-2 ZINC000801702451 700332341 /nfs/dbraw/zinc/33/23/41/700332341.db2.gz GYRYYZCKLLVGTJ-AWEZNQCLSA-N -1 1 312.304 1.423 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC2C[C@H](C)O[C@@H](C)C2)o1 ZINC000756049468 700600715 /nfs/dbraw/zinc/60/07/15/700600715.db2.gz APFPYMADPCNPLT-IUCAKERBSA-N -1 1 317.363 1.301 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2nccs2)co1 ZINC000756389018 700619014 /nfs/dbraw/zinc/61/90/14/700619014.db2.gz MECGSKWBLJGDTG-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM Nc1ncncc1C[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000757905151 700675714 /nfs/dbraw/zinc/67/57/14/700675714.db2.gz QZGHEHGGLOEQDB-UHFFFAOYSA-N -1 1 314.242 1.745 20 0 DDADMM C[C@H]1CCS(=O)(=O)CCN1C(=O)c1ccc([O-])c(F)c1 ZINC000762511715 700896142 /nfs/dbraw/zinc/89/61/42/700896142.db2.gz IBGIZDQDAHJJAE-VIFPVBQESA-N -1 1 301.339 1.181 20 0 DDADMM CNc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc(Cl)n1 ZINC000765108291 700999369 /nfs/dbraw/zinc/99/93/69/700999369.db2.gz BHPKZPXKEXDFRM-QMMMGPOBSA-N -1 1 321.772 1.310 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccn2Cc2ccccc2)nc1=O ZINC000765408007 701010353 /nfs/dbraw/zinc/01/03/53/701010353.db2.gz FRMAYHPTGGGGIT-UHFFFAOYSA-N -1 1 312.329 1.315 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000803102372 701081277 /nfs/dbraw/zinc/08/12/77/701081277.db2.gz PHRDFFXWQMJSBK-UWVGGRQHSA-N -1 1 323.393 1.932 20 0 DDADMM O=C(NCCc1nnc2ccccn21)c1ccc([O-])c(F)c1 ZINC000767293043 701096003 /nfs/dbraw/zinc/09/60/03/701096003.db2.gz IDFKSQQMDFKGIW-UHFFFAOYSA-N -1 1 300.293 1.547 20 0 DDADMM C[C@H](NC(=O)c1c(F)ccc([O-])c1F)c1nnnn1C1CC1 ZINC000809796562 701690585 /nfs/dbraw/zinc/69/05/85/701690585.db2.gz OZRRNNBNIAPYET-LURJTMIESA-N -1 1 309.276 1.483 20 0 DDADMM O=S(=O)([N-]Cc1cccnn1)c1ccc(Cl)nc1Cl ZINC000767577954 701117654 /nfs/dbraw/zinc/11/76/54/701117654.db2.gz CUVTYIISARCGGV-UHFFFAOYSA-N -1 1 319.173 1.657 20 0 DDADMM CC[S@@](=O)CC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000803478012 701120439 /nfs/dbraw/zinc/12/04/39/701120439.db2.gz QEJRDLZTWDVZEL-OAQYLSRUSA-N -1 1 310.327 1.310 20 0 DDADMM CCCNC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000804871501 701217641 /nfs/dbraw/zinc/21/76/41/701217641.db2.gz KBVPTOYBWSSXOS-GUBZILKMSA-N -1 1 309.332 1.883 20 0 DDADMM CCNC(=O)N1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000769639746 701251040 /nfs/dbraw/zinc/25/10/40/701251040.db2.gz UMIRYVWCUNJVBV-UHFFFAOYSA-N -1 1 311.769 1.533 20 0 DDADMM C[C@@H](NC(=O)[C@H](C)OC(=O)c1cn[n-]n1)c1ccc(Cl)cc1 ZINC000805607615 701399619 /nfs/dbraw/zinc/39/96/19/701399619.db2.gz MVBHAJMOYIOFDK-BDAKNGLRSA-N -1 1 322.752 1.881 20 0 DDADMM CO[C@@H]1CCC[C@H]1CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806486919 701437442 /nfs/dbraw/zinc/43/74/42/701437442.db2.gz KCYSZMKYTAQDIV-GXFFZTMASA-N -1 1 303.366 1.278 20 0 DDADMM CCc1cc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)on1 ZINC000806489100 701437756 /nfs/dbraw/zinc/43/77/56/701437756.db2.gz NCOGFIBFAGBLAC-UHFFFAOYSA-N -1 1 300.322 1.214 20 0 DDADMM CN(Cc1ncc(Cl)n1C)C(=O)C(=O)c1ccc([O-])cc1 ZINC000807208127 701469901 /nfs/dbraw/zinc/46/99/01/701469901.db2.gz ICALGTNZTVFZEB-UHFFFAOYSA-N -1 1 307.737 1.620 20 0 DDADMM CC(=CC(C)(C)C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952491 706609427 /nfs/dbraw/zinc/60/94/27/706609427.db2.gz IQSZMXCLJBMSHD-JXMROGBWSA-N -1 1 305.378 1.864 20 0 DDADMM COC[C@@H](C)OC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000867889945 701812854 /nfs/dbraw/zinc/81/28/54/701812854.db2.gz DSNAWQVDYQJAAP-LDYMZIIASA-N -1 1 312.288 1.301 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCO[C@H](C2CCC2)C1 ZINC000839806840 701833491 /nfs/dbraw/zinc/83/34/91/701833491.db2.gz IYLGOBRMBGFSQN-LBPRGKRZSA-N -1 1 318.402 1.659 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(c2nnc(C3CC3)o2)C1)C(F)(F)F ZINC000811856051 702072957 /nfs/dbraw/zinc/07/29/57/702072957.db2.gz JKVCSYFBCPRLGP-QMMMGPOBSA-N -1 1 318.299 1.842 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)CCO1 ZINC000831135386 706641708 /nfs/dbraw/zinc/64/17/08/706641708.db2.gz WWCPUFMLKYHWFF-WDEREUQCSA-N -1 1 322.327 1.329 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OC/C=C/Cl)N1C[C@@H](C)O[C@@H](C)C1 ZINC000812398543 702174393 /nfs/dbraw/zinc/17/43/93/702174393.db2.gz SRZUGCQYEQZAHK-OBEQCATISA-N -1 1 304.818 1.921 20 0 DDADMM O=C([N-]OC/C=C\Cl)[C@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000812405096 702176845 /nfs/dbraw/zinc/17/68/45/702176845.db2.gz QDKUZFLIHDADAW-MZBZXASESA-N -1 1 309.753 1.230 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000812806263 702244287 /nfs/dbraw/zinc/24/42/87/702244287.db2.gz VNGKJVWFSOBYPJ-ZDUSSCGKSA-N -1 1 312.410 1.640 20 0 DDADMM Cc1ccc2[nH]cc(C(=O)[N-]OCCOC(C)C)c(=O)c2c1 ZINC000812808295 702245114 /nfs/dbraw/zinc/24/51/14/702245114.db2.gz BMXNKHKOGFDOEF-UHFFFAOYSA-N -1 1 304.346 1.923 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NOC2CCC2)C1 ZINC000868666921 702253717 /nfs/dbraw/zinc/25/37/17/702253717.db2.gz VNGLNRZNMKISFV-LLVKDONJSA-N -1 1 309.288 1.323 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@H]2CC23CC3)C1 ZINC000868724489 702287947 /nfs/dbraw/zinc/28/79/47/702287947.db2.gz JVIMZNRRTINSBK-KWQFWETISA-N -1 1 305.300 1.391 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@@H]1C[C@H]1C1CCC1 ZINC000868725045 702288718 /nfs/dbraw/zinc/28/87/18/702288718.db2.gz APJNCORKOGURQL-GXFFZTMASA-N -1 1 318.377 1.243 20 0 DDADMM CC(C)(C)n1cnc(-n2c([O-])c(CC(F)(F)F)[nH]c2=O)n1 ZINC000813362444 702338870 /nfs/dbraw/zinc/33/88/70/702338870.db2.gz BYGNFTAFANFJNT-LURJTMIESA-N -1 1 305.260 1.410 20 0 DDADMM Cc1ccc(CC(=O)NC2(c3nn[n-]n3)CCCC2)c(Cl)n1 ZINC000813457647 702352642 /nfs/dbraw/zinc/35/26/42/702352642.db2.gz WWJJDZAQJOKSNY-UHFFFAOYSA-N -1 1 320.784 1.685 20 0 DDADMM Cc1cc(N2CC(C[N-]C(=O)C(F)(F)F)C2)nc(C2CC2)n1 ZINC000866294125 706667113 /nfs/dbraw/zinc/66/71/13/706667113.db2.gz LPGTXDOKRLEVMW-UHFFFAOYSA-N -1 1 314.311 1.777 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-][C@@H]2C(=O)OCC2(C)C)c(F)c1 ZINC000841533082 702482692 /nfs/dbraw/zinc/48/26/92/702482692.db2.gz CGNVQDBRPQBFQT-GFCCVEGCSA-N -1 1 315.366 1.505 20 0 DDADMM CO[C@H]1CCCC[C@@H]1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000831269701 706674731 /nfs/dbraw/zinc/67/47/31/706674731.db2.gz JPZJHTOYAJQYIG-QWRGUYRKSA-N -1 1 306.391 1.705 20 0 DDADMM CC[C@@H]1[C@@H](C)CCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869213441 702513438 /nfs/dbraw/zinc/51/34/38/702513438.db2.gz JMCZBYVBINCZGJ-GXFFZTMASA-N -1 1 320.393 1.585 20 0 DDADMM NCC(F)(F)C[N-]S(=O)(=O)/C=C/c1ccccc1Cl ZINC000842768192 702770402 /nfs/dbraw/zinc/77/04/02/702770402.db2.gz PNADDXLQHSKPSA-AATRIKPKSA-N -1 1 310.753 1.824 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CCSc1ccccc1 ZINC000866423835 706695741 /nfs/dbraw/zinc/69/57/41/706695741.db2.gz BNUNQYVVVWOZBM-GOSISDBHSA-N -1 1 322.477 1.731 20 0 DDADMM C[C@@H]1CC=C(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)CC1 ZINC000843015801 702803986 /nfs/dbraw/zinc/80/39/86/702803986.db2.gz XKIFNLHBAQRRCI-GFCCVEGCSA-N -1 1 314.451 1.663 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CCC(C)(C)C)CCC1 ZINC000843018999 702804666 /nfs/dbraw/zinc/80/46/66/702804666.db2.gz XWQNYDOSIDIHDS-UHFFFAOYSA-N -1 1 304.456 1.743 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(C)c(F)c1 ZINC000866438034 706698954 /nfs/dbraw/zinc/69/89/54/706698954.db2.gz TWSXMRPOEOFIBN-GOSISDBHSA-N -1 1 308.400 1.586 20 0 DDADMM CS(=O)(=O)C1(c2noc(-c3ccc(N)cc3[O-])n2)CCCC1 ZINC000843234636 702847106 /nfs/dbraw/zinc/84/71/06/702847106.db2.gz IFSQMKRJXMYVPZ-UHFFFAOYSA-N -1 1 323.374 1.838 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCc2occc21 ZINC000866464784 706705378 /nfs/dbraw/zinc/70/53/78/706705378.db2.gz LPSWXDDRFQVLGN-MLCYQJTMSA-N -1 1 306.409 1.609 20 0 DDADMM O=C([C@@H]1CCCC[C@H]1C1CC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000843792348 702924843 /nfs/dbraw/zinc/92/48/43/702924843.db2.gz QZEBZGINTWPVHJ-YNEHKIRRSA-N -1 1 305.382 1.316 20 0 DDADMM CCN(OC)C(=O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000844068975 702966959 /nfs/dbraw/zinc/96/69/59/702966959.db2.gz QMFPDYWVDBNKBY-UHFFFAOYSA-N -1 1 320.267 1.551 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-]c1c[nH]c(=O)c(C)c1 ZINC000844659983 703052316 /nfs/dbraw/zinc/05/23/16/703052316.db2.gz ZTOGRTKXWLUZSH-NSHDSACASA-N -1 1 316.379 1.569 20 0 DDADMM O=C(OC[C@@H]1CCC(=O)N1)c1nn(-c2ccccc2)cc1[O-] ZINC000845075895 703105162 /nfs/dbraw/zinc/10/51/62/703105162.db2.gz DNHKBHWAJHRTQO-JTQLQIEISA-N -1 1 301.302 1.013 20 0 DDADMM CC(=O)NCC(C)(C)C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000845254796 703134634 /nfs/dbraw/zinc/13/46/34/703134634.db2.gz ITVKLTZLUOPCIW-UHFFFAOYSA-N -1 1 321.373 1.843 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(Cl)nc1 ZINC000866546027 706726149 /nfs/dbraw/zinc/72/61/49/706726149.db2.gz LJMLJPGLIKZNSV-QGZVFWFLSA-N -1 1 311.816 1.187 20 0 DDADMM O=Cc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cs1 ZINC000847076987 703375012 /nfs/dbraw/zinc/37/50/12/703375012.db2.gz DYSOTADELXZARI-QMMMGPOBSA-N -1 1 307.331 1.669 20 0 DDADMM COCC1(C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)CC1 ZINC000848341052 703540738 /nfs/dbraw/zinc/54/07/38/703540738.db2.gz MUHWHTFWPZKASG-NXEZZACHSA-N -1 1 322.327 1.471 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)[C@H]1CCCCO1 ZINC000848508747 703559240 /nfs/dbraw/zinc/55/92/40/703559240.db2.gz GXXDJZPCMKIADA-DTWKUNHWSA-N -1 1 307.803 1.689 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)c1cc2ccccc2o1)N(C)C ZINC000851248500 703788068 /nfs/dbraw/zinc/78/80/68/703788068.db2.gz YEVHTQGMSKIGDB-AWEZNQCLSA-N -1 1 324.402 1.824 20 0 DDADMM O=C([O-])C[N@@H+](CCS(=O)(=O)Cc1ccccc1)C1CCC1 ZINC000851707000 703833480 /nfs/dbraw/zinc/83/34/80/703833480.db2.gz PGTIMDVMUKPMHB-UHFFFAOYSA-N -1 1 311.403 1.541 20 0 DDADMM O=C([O-])CN(CCS(=O)(=O)Cc1ccccc1)C1CCC1 ZINC000851707000 703833483 /nfs/dbraw/zinc/83/34/83/703833483.db2.gz PGTIMDVMUKPMHB-UHFFFAOYSA-N -1 1 311.403 1.541 20 0 DDADMM CCOC(=O)C[C@H](O)COC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869734684 703838942 /nfs/dbraw/zinc/83/89/42/703838942.db2.gz JWPIKNOGEHDNRW-JTQLQIEISA-N -1 1 316.737 1.445 20 0 DDADMM Cc1nc(CN2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)co1 ZINC000852060066 703914494 /nfs/dbraw/zinc/91/44/94/703914494.db2.gz BJRUDSLMNXOKTR-JTQLQIEISA-N -1 1 305.300 1.874 20 0 DDADMM CCOC(=O)C(C)(C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866719329 706774866 /nfs/dbraw/zinc/77/48/66/706774866.db2.gz RFDREZJDOZEDRN-UHFFFAOYSA-N -1 1 324.761 1.494 20 0 DDADMM Cc1ccc(C(=O)NCCC(=O)[N-]Oc2ccccc2)c(O)c1 ZINC000820049270 704210672 /nfs/dbraw/zinc/21/06/72/704210672.db2.gz SBQKFWOUVUDKIE-UHFFFAOYSA-N -1 1 314.341 1.931 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc2cccnc2c1)c1nn[n-]n1 ZINC000820673698 704318317 /nfs/dbraw/zinc/31/83/17/704318317.db2.gz ITOVUNWVCJNCEQ-CYBMUJFWSA-N -1 1 310.361 1.948 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]CCSC(F)(F)F)s1 ZINC000820786868 704330874 /nfs/dbraw/zinc/33/08/74/704330874.db2.gz YSRVCGGIRYZZEG-UHFFFAOYSA-N -1 1 322.355 1.683 20 0 DDADMM COc1cccc(CN(C)C(=O)CN[C@@H](C(=O)[O-])C(C)C)c1 ZINC000820865133 704340001 /nfs/dbraw/zinc/34/00/01/704340001.db2.gz AEKGWOIHRHPNJP-OAHLLOKOSA-N -1 1 308.378 1.352 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2ccc(F)nc2F)n[nH]1 ZINC000866816538 706812688 /nfs/dbraw/zinc/81/26/88/706812688.db2.gz FVXAZPPNACVZMM-UHFFFAOYSA-N -1 1 302.306 1.124 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2nncn2C)c1 ZINC000821351975 704395282 /nfs/dbraw/zinc/39/52/82/704395282.db2.gz FWCWWVUFKPGEST-ZQJOYCHOSA-N -1 1 323.374 1.236 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](C2CCCCC2)C1 ZINC000855636284 704494026 /nfs/dbraw/zinc/49/40/26/704494026.db2.gz QOCFHZHHNKTQEF-CQSZACIVSA-N -1 1 319.405 1.356 20 0 DDADMM CCC1(CC)CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000855821928 704502295 /nfs/dbraw/zinc/50/22/95/704502295.db2.gz UQUUAMLNGPQCJV-UHFFFAOYSA-N -1 1 307.394 1.356 20 0 DDADMM O=C(NC[C@H](CO)CC1CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000855913794 704506388 /nfs/dbraw/zinc/50/63/88/704506388.db2.gz MFPJQKDRTWYWMX-SNVBAGLBSA-N -1 1 306.391 1.156 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC(N2CCOC2=O)CC1 ZINC000856294138 704522043 /nfs/dbraw/zinc/52/20/43/704522043.db2.gz BUGMSAZXIGDFHI-UHFFFAOYSA-N -1 1 308.309 1.588 20 0 DDADMM C[C@@H]1CCN(C(=O)C[C@H]2CCOC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856417017 704527942 /nfs/dbraw/zinc/52/79/42/704527942.db2.gz DAWOZUMBEBYFFP-MXWKQRLJSA-N -1 1 322.327 1.329 20 0 DDADMM C[C@@H]1CCN(C(=O)C2=COCC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418368 704528100 /nfs/dbraw/zinc/52/81/00/704528100.db2.gz HLWVXLFVRZMKNH-SCZZXKLOSA-N -1 1 306.284 1.206 20 0 DDADMM CC[C@@H](C)OCC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417911 704528116 /nfs/dbraw/zinc/52/81/16/704528116.db2.gz NJDCXLQOYYNZBY-MXWKQRLJSA-N -1 1 324.343 1.717 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@@H]1CO ZINC000857359941 704583778 /nfs/dbraw/zinc/58/37/78/704583778.db2.gz GGBUUOHFWTXJFK-GXSJLCMTSA-N -1 1 306.391 1.109 20 0 DDADMM CCOC(CC[N-]S(=O)(=O)c1ccc(F)nc1F)OCC ZINC000867166583 706914301 /nfs/dbraw/zinc/91/43/01/706914301.db2.gz UXXILMKIOQSDCA-UHFFFAOYSA-N -1 1 324.349 1.427 20 0 DDADMM COC1(CS(=O)(=O)[N-]CC2(C)OCCCO2)CCCC1 ZINC000867187103 706918806 /nfs/dbraw/zinc/91/88/06/706918806.db2.gz LLKRLRACPPENJZ-UHFFFAOYSA-N -1 1 307.412 1.018 20 0 DDADMM CO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C12CCC2 ZINC000867386345 706983753 /nfs/dbraw/zinc/98/37/53/706983753.db2.gz JOESRVKQHKDDKJ-NXEZZACHSA-N -1 1 318.345 1.596 20 0 DDADMM CCn1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1C ZINC000822656860 704998324 /nfs/dbraw/zinc/99/83/24/704998324.db2.gz BPLYNDJCFLKNPF-LLVKDONJSA-N -1 1 304.350 1.925 20 0 DDADMM C[C@H]1CN(c2ccccc2CN2CC[C@](F)(C(=O)[O-])C2)CCO1 ZINC000859809929 705010784 /nfs/dbraw/zinc/01/07/84/705010784.db2.gz AEXQXQXUAAGTPW-SUMWQHHRSA-N -1 1 322.380 1.910 20 0 DDADMM Cn1c(Cl)ncc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000822703428 705012881 /nfs/dbraw/zinc/01/28/81/705012881.db2.gz NTFRMZYFVWAETI-UHFFFAOYSA-N -1 1 311.729 1.182 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1ccc2cnccc2c1)c1nn[n-]n1 ZINC000860786016 705282333 /nfs/dbraw/zinc/28/23/33/705282333.db2.gz RDQJKWPPXLSKRL-LLVKDONJSA-N -1 1 310.361 1.553 20 0 DDADMM Cc1cocc1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000860847456 705299287 /nfs/dbraw/zinc/29/92/87/705299287.db2.gz LPIVVLWBJJUXDN-UHFFFAOYSA-N -1 1 300.380 1.132 20 0 DDADMM CC(=O)N1CCC[C@H](C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000834833703 707133738 /nfs/dbraw/zinc/13/37/38/707133738.db2.gz IUSKTLAXCZOACR-LBPRGKRZSA-N -1 1 310.781 1.920 20 0 DDADMM COCC[C@@H]([N-]C(=O)C(F)(F)c1cc(F)cc(F)c1)C(N)=O ZINC000875529406 705420427 /nfs/dbraw/zinc/42/04/27/705420427.db2.gz KANVPPYTVYFTCF-SNVBAGLBSA-N -1 1 322.258 1.063 20 0 DDADMM CCC1(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCOCC1 ZINC000825165226 705614079 /nfs/dbraw/zinc/61/40/79/705614079.db2.gz WCPDNCWBTZAHMU-UHFFFAOYSA-N -1 1 317.349 1.512 20 0 DDADMM CCC1(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCOCC1 ZINC000825165226 705614081 /nfs/dbraw/zinc/61/40/81/705614081.db2.gz WCPDNCWBTZAHMU-UHFFFAOYSA-N -1 1 317.349 1.512 20 0 DDADMM CSC[C@@](C)(O)CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876394412 705707968 /nfs/dbraw/zinc/70/79/68/705707968.db2.gz VLSOAGOBJIRLSK-ZDUSSCGKSA-N -1 1 318.826 1.959 20 0 DDADMM COC[C@H]1CCCN1NC(=O)c1ncc2ccccc2c1[O-] ZINC000862591382 705742851 /nfs/dbraw/zinc/74/28/51/705742851.db2.gz LCVJQLNHCVGWLY-GFCCVEGCSA-N -1 1 301.346 1.696 20 0 DDADMM CCOC[C@@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)CCO1 ZINC000862957577 705835960 /nfs/dbraw/zinc/83/59/60/705835960.db2.gz BLJNNZQRHOEJMD-LBPRGKRZSA-N -1 1 323.393 1.492 20 0 DDADMM CCC1(S(=O)(=O)[N-]C(=O)c2cnn(-c3ccncc3)c2)CC1 ZINC000835536010 707274132 /nfs/dbraw/zinc/27/41/32/707274132.db2.gz NIDDVQSALQGYHG-UHFFFAOYSA-N -1 1 320.374 1.270 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1c(C)ccc(C)c1C ZINC000881735634 707343577 /nfs/dbraw/zinc/34/35/77/707343577.db2.gz OJQDXYNMAYQXEC-UHFFFAOYSA-N -1 1 324.358 1.889 20 0 DDADMM O=C([N-]CC1CN(Cc2cnc(C3CC3)nc2)C1)C(F)(F)F ZINC000877776537 706206491 /nfs/dbraw/zinc/20/64/91/706206491.db2.gz OOVZKAJRXHWINI-UHFFFAOYSA-N -1 1 314.311 1.464 20 0 DDADMM O=S1(=O)C[C@H]2CCN(Cc3cccc([O-])c3Cl)C[C@H]2C1 ZINC000877790530 706214659 /nfs/dbraw/zinc/21/46/59/706214659.db2.gz NFZAPJMUTJUFHJ-NEPJUHHUSA-N -1 1 315.822 1.912 20 0 DDADMM CCC(C)(C)c1cn(Cc2nc3c(c(=O)[n-]2)COCC3)nn1 ZINC000864654844 706226349 /nfs/dbraw/zinc/22/63/49/706226349.db2.gz VYXIYWFTPFIJHE-UHFFFAOYSA-N -1 1 303.366 1.582 20 0 DDADMM COc1cccc2c1n[nH]c2C(=O)OCCNC(=O)NC(C)C ZINC000829191046 706300520 /nfs/dbraw/zinc/30/05/20/706300520.db2.gz CGTZMENLGXEMCR-UHFFFAOYSA-N -1 1 320.349 1.436 20 0 DDADMM CCn1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1C ZINC000878379275 706372588 /nfs/dbraw/zinc/37/25/88/706372588.db2.gz UIAJPHXQEGHVHQ-AWEZNQCLSA-N -1 1 310.361 1.849 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C1CCC1)c1ccccc1F ZINC000881983869 707454226 /nfs/dbraw/zinc/45/42/26/707454226.db2.gz FEVQSNYEQBFZTC-GFCCVEGCSA-N -1 1 301.339 1.512 20 0 DDADMM CC(C)(F)C[N-]S(=O)(=O)c1cccc(Br)n1 ZINC000872510215 707454581 /nfs/dbraw/zinc/45/45/81/707454581.db2.gz LZTUYYGXHFOHQO-UHFFFAOYSA-N -1 1 311.176 1.871 20 0 DDADMM CC1(C)OC[C@@H](CNC(=O)c2ncc3ccccc3c2[O-])O1 ZINC000830116351 706458595 /nfs/dbraw/zinc/45/85/95/706458595.db2.gz XWQFDKYKJQUXQG-LLVKDONJSA-N -1 1 302.330 1.822 20 0 DDADMM CCOC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000830161075 706466666 /nfs/dbraw/zinc/46/66/66/706466666.db2.gz LKJGVTMIZMYYDH-MRVPVSSYSA-N -1 1 315.322 1.802 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-]C1(CO)CCC1)C1CCCCC1 ZINC000882041519 707476420 /nfs/dbraw/zinc/47/64/20/707476420.db2.gz VYMVDSIUCBTNOR-LJQANCHMSA-N -1 1 324.468 1.166 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C1CCOCC1 ZINC000830763521 706575261 /nfs/dbraw/zinc/57/52/61/706575261.db2.gz GLFIYWAWIWDYOR-SECBINFHSA-N -1 1 307.803 1.547 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CSC2CC2)C1 ZINC000830795978 706581171 /nfs/dbraw/zinc/58/11/71/706581171.db2.gz TXIUWVQWCHMQIM-LLVKDONJSA-N -1 1 310.341 1.552 20 0 DDADMM CS[C@H](C)CC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816482 706585523 /nfs/dbraw/zinc/58/55/23/706585523.db2.gz PRDINFYGLIGOES-KCJUWKMLSA-N -1 1 312.357 1.798 20 0 DDADMM O=S(=O)([N-]CC1(O)CCCCC1)c1ccc(F)nc1F ZINC000866836582 706820189 /nfs/dbraw/zinc/82/01/89/706820189.db2.gz SBWWTQSYLSUNDM-UHFFFAOYSA-N -1 1 306.334 1.333 20 0 DDADMM C[C@@H](CN(C)C(=O)CCC[C@H](O)c1ccccc1)c1nn[n-]n1 ZINC000832126373 706848148 /nfs/dbraw/zinc/84/81/48/706848148.db2.gz MKEILALNWZFAQJ-JSGCOSHPSA-N -1 1 317.393 1.666 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H](c1cccnc1)C1CC1 ZINC000867021885 706874554 /nfs/dbraw/zinc/87/45/54/706874554.db2.gz HGPOQUFJAMTOJD-LLVKDONJSA-N -1 1 303.409 1.095 20 0 DDADMM CC(C)OCCO[N-]C(=O)Cc1cc2ccccc2c(=O)[nH]1 ZINC000832337014 706893619 /nfs/dbraw/zinc/89/36/19/706893619.db2.gz FKZNESOYMQCXCZ-UHFFFAOYSA-N -1 1 304.346 1.956 20 0 DDADMM O=S(=O)(Cc1cc(F)ccc1Cl)[N-]CC1OCCCO1 ZINC000867161916 706913264 /nfs/dbraw/zinc/91/32/64/706913264.db2.gz VNHUNUFLVWHAMM-UHFFFAOYSA-N -1 1 323.773 1.662 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CCC1)c1ccc(F)nc1F ZINC000867215418 706927936 /nfs/dbraw/zinc/92/79/36/706927936.db2.gz VXPAKPXOAJQFEH-VIFPVBQESA-N -1 1 306.334 1.189 20 0 DDADMM CCOCC(C)(C)[N-]S(=O)(=O)N=S(=O)(CC)CC ZINC000867307738 706954930 /nfs/dbraw/zinc/95/49/30/706954930.db2.gz YKCFAVLJOMHGRI-UHFFFAOYSA-N -1 1 300.446 1.144 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)N=S(C)(C)=O)CC1(Cl)Cl ZINC000867350928 706970966 /nfs/dbraw/zinc/97/09/66/706970966.db2.gz VGUDZXIZBPQCMS-LURJTMIESA-N -1 1 309.240 1.132 20 0 DDADMM COCC[C@@H](C)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867400447 706988213 /nfs/dbraw/zinc/98/82/13/706988213.db2.gz BJTZCNSLIQMBHX-MRVPVSSYSA-N -1 1 310.778 1.825 20 0 DDADMM CC1=NO[C@H](C(=O)Nc2nc(Br)ccc2[O-])C1 ZINC000834298650 707024773 /nfs/dbraw/zinc/02/47/73/707024773.db2.gz VEHZGCMGFMHAEG-ZETCQYMHSA-N -1 1 300.112 1.653 20 0 DDADMM CC(C)(C)c1cc2n(n1)CCN(C(=O)CCCc1nn[n-]n1)C2 ZINC000834478016 707063435 /nfs/dbraw/zinc/06/34/35/707063435.db2.gz TUNSJKBGEPQCIT-UHFFFAOYSA-N -1 1 317.397 1.059 20 0 DDADMM CC(C)[C@H](CO)ONC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000909054032 712926878 /nfs/dbraw/zinc/92/68/78/712926878.db2.gz LVXMIJSWOCHHAV-LBPRGKRZSA-N -1 1 308.309 1.758 20 0 DDADMM COCc1nn(C)cc1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834823508 707130916 /nfs/dbraw/zinc/13/09/16/707130916.db2.gz YJPKHOBMGWQIBY-UHFFFAOYSA-N -1 1 309.753 1.856 20 0 DDADMM Cc1cc(S(=O)(=O)NC[C@H](C)N(C)C)cc(C(=O)[O-])c1C ZINC000871970300 707260681 /nfs/dbraw/zinc/26/06/81/707260681.db2.gz MOODXPQYPHFBNF-JTQLQIEISA-N -1 1 314.407 1.230 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@]2(C)CC2(C)C)co1 ZINC000881541199 707288750 /nfs/dbraw/zinc/28/87/50/707288750.db2.gz BAGNOVVNLANNTO-ZDUSSCGKSA-N -1 1 300.380 1.354 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1cccc2c1CCO2 ZINC000872369753 707392424 /nfs/dbraw/zinc/39/24/24/707392424.db2.gz OQZHMWMUEWYCGN-UHFFFAOYSA-N -1 1 318.420 1.786 20 0 DDADMM O=C([O-])[C@@H]1CCCCN1C(=O)NCc1ccc2cncn2c1 ZINC000909166954 712951925 /nfs/dbraw/zinc/95/19/25/712951925.db2.gz CYKKPESYDMIKHO-ZDUSSCGKSA-N -1 1 302.334 1.483 20 0 DDADMM COC[C@H]1C[C@@H](NC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000882101255 707498123 /nfs/dbraw/zinc/49/81/23/707498123.db2.gz UVIZUBLNEWUIJX-TXEJJXNPSA-N -1 1 318.377 1.135 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000836901886 707547176 /nfs/dbraw/zinc/54/71/76/707547176.db2.gz WDZWFAUGRSTXQK-OCCSQVGLSA-N -1 1 312.410 1.232 20 0 DDADMM O=C(N[C@H]1C[C@H]2CCCCN2C1=O)c1c([O-])cccc1Cl ZINC000837254340 707605303 /nfs/dbraw/zinc/60/53/03/707605303.db2.gz PGUNOPMQKHYGJH-KOLCDFICSA-N -1 1 308.765 1.929 20 0 DDADMM O=C([O-])[C@H]1CCC[C@@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000872930809 707659192 /nfs/dbraw/zinc/65/91/92/707659192.db2.gz PKGATFINXYFDQJ-UWVGGRQHSA-N -1 1 305.309 1.819 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)c1nn(-c2cccc(F)c2)cc1[O-] ZINC000882492541 707663884 /nfs/dbraw/zinc/66/38/84/707663884.db2.gz QSAHDWWEKZWIJS-CYBMUJFWSA-N -1 1 318.352 1.541 20 0 DDADMM CCN1CC[C@@H](NC(=O)NCc2ccc([O-])c(Cl)c2)C1=O ZINC000873006677 707691817 /nfs/dbraw/zinc/69/18/17/707691817.db2.gz OSRYHNMGMQADHE-LLVKDONJSA-N -1 1 311.769 1.466 20 0 DDADMM CO[C@H]1C[C@H](C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)C1 ZINC000882587478 707708377 /nfs/dbraw/zinc/70/83/77/707708377.db2.gz GNDOKDHYXLXYQK-RAALSFIWSA-N -1 1 312.457 1.142 20 0 DDADMM CO[C@H]1C[C@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000882590725 707709602 /nfs/dbraw/zinc/70/96/02/707709602.db2.gz TXVFLXWFSORESS-ZKCHVHJHSA-N -1 1 309.309 1.807 20 0 DDADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]c1cc(F)ccc1F ZINC000882660269 707736587 /nfs/dbraw/zinc/73/65/87/707736587.db2.gz PVIFMKPVXUFNLH-SFHVURJKSA-N -1 1 313.351 1.196 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1c(F)cccc1CO ZINC000882709963 707756875 /nfs/dbraw/zinc/75/68/75/707756875.db2.gz PJUCFXLQYIGUJM-UHFFFAOYSA-N -1 1 313.354 1.415 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)[C@@H]1CCCOC1 ZINC000882760671 707776435 /nfs/dbraw/zinc/77/64/35/707776435.db2.gz JLIWYIUYAOSOAN-VXGBXAGGSA-N -1 1 324.468 1.288 20 0 DDADMM CCOC(=O)[C@H](CCc1ccccc1)OC(=O)c1cn[n-]n1 ZINC000909310879 712988474 /nfs/dbraw/zinc/98/84/74/712988474.db2.gz FWKIWVKCURJOJG-ZDUSSCGKSA-N -1 1 303.318 1.526 20 0 DDADMM CO[C@]1(C[N-]S(=O)(=O)c2cc(C)ns2)CCSC1 ZINC000921401867 713757103 /nfs/dbraw/zinc/75/71/03/713757103.db2.gz KHTBPPBCFRVEIM-JTQLQIEISA-N -1 1 308.450 1.252 20 0 DDADMM O=C(C[C@H]1CCC2(CCC2)O1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000897161692 708241677 /nfs/dbraw/zinc/24/16/77/708241677.db2.gz NYMMUGQBKVLDQF-VXGBXAGGSA-N -1 1 305.382 1.398 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C=CC=C(Cl)Cl)n[n-]1 ZINC000897492700 708350599 /nfs/dbraw/zinc/35/05/99/708350599.db2.gz FIEZBJNNVYREPP-HYXAFXHYSA-N -1 1 319.148 1.473 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C=CC=C(Cl)Cl)n1 ZINC000897492700 708350602 /nfs/dbraw/zinc/35/06/02/708350602.db2.gz FIEZBJNNVYREPP-HYXAFXHYSA-N -1 1 319.148 1.473 20 0 DDADMM C[C@@H]1COC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000921498170 713789771 /nfs/dbraw/zinc/78/97/71/713789771.db2.gz ATZGIORGVMICEB-SVRRBLITSA-N -1 1 311.190 1.702 20 0 DDADMM O=S(=O)([N-][C@H]1CCc2cc(O)ccc2C1)c1ccns1 ZINC000885144661 708479796 /nfs/dbraw/zinc/47/97/96/708479796.db2.gz JUWWCYGZDXLLHR-NSHDSACASA-N -1 1 310.400 1.685 20 0 DDADMM O=S(=O)([N-]Cc1cccc2c1CCOC2)c1ccns1 ZINC000885336444 708522241 /nfs/dbraw/zinc/52/22/41/708522241.db2.gz SDUYXEUFIACRAE-UHFFFAOYSA-N -1 1 310.400 1.694 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC000885350276 708524876 /nfs/dbraw/zinc/52/48/76/708524876.db2.gz GZCUDQKCVKDJIT-KYZUINATSA-N -1 1 318.420 1.542 20 0 DDADMM CCOC(=O)c1ccc(F)c(S(=O)(=O)[N-][C@@H](C)CF)c1 ZINC000885408360 708537591 /nfs/dbraw/zinc/53/75/91/708537591.db2.gz CGNHWFKDJZAWQP-QMMMGPOBSA-N -1 1 307.318 1.639 20 0 DDADMM COC(=O)c1scc(C)c1S(=O)(=O)[N-]C(CF)CF ZINC000885416312 708539716 /nfs/dbraw/zinc/53/97/16/708539716.db2.gz DIJSZXHLNGWEML-UHFFFAOYSA-N -1 1 313.347 1.429 20 0 DDADMM O=C(N[C@@H](C1CC1)[C@@H]1CCCOC1)C(=O)c1ccc([O-])cc1 ZINC000885484270 708556740 /nfs/dbraw/zinc/55/67/40/708556740.db2.gz RPXOCLCUXRNUBN-HIFRSBDPSA-N -1 1 303.358 1.896 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC=C(Cl)C2)co1 ZINC000898196471 708570835 /nfs/dbraw/zinc/57/08/35/708570835.db2.gz PXDYHRYQQCPGNQ-UHFFFAOYSA-N -1 1 304.755 1.156 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000885774469 708624967 /nfs/dbraw/zinc/62/49/67/708624967.db2.gz QGELMJPZUKYHMQ-RNFRBKRXSA-N -1 1 323.292 1.191 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2CCC=CCC2)co1 ZINC000886020100 708682711 /nfs/dbraw/zinc/68/27/11/708682711.db2.gz PSUCXWLYOHQKCA-UHFFFAOYSA-N -1 1 312.391 1.664 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC1(CO)CCCC1 ZINC000927782668 713054795 /nfs/dbraw/zinc/05/47/95/713054795.db2.gz BCLBERAIEZIFAU-UHFFFAOYSA-N -1 1 314.332 1.817 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@H]1CCC[C@@H]1O ZINC000927783715 713055368 /nfs/dbraw/zinc/05/53/68/713055368.db2.gz CTGYVIGPNNBVHS-STQMWFEESA-N -1 1 300.305 1.426 20 0 DDADMM CN1CCN(C(=O)NCc2ccccc2C(=O)[O-])CC1(C)C ZINC000898854259 708890577 /nfs/dbraw/zinc/89/05/77/708890577.db2.gz ZGJLNLROHXEASN-UHFFFAOYSA-N -1 1 305.378 1.620 20 0 DDADMM O=C(NC1([C@@H]2CCCCO2)CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000887089096 708952148 /nfs/dbraw/zinc/95/21/48/708952148.db2.gz RULOATOXLFAXFI-NSHDSACASA-N -1 1 318.402 1.850 20 0 DDADMM O=C(N[C@]12C[C@H]1COC21CCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000887126631 708964563 /nfs/dbraw/zinc/96/45/63/708964563.db2.gz RARWGPDSPHTMBA-ZUZCIYMTSA-N -1 1 316.386 1.459 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2cc(Cl)ccc2[O-])CCS1(=O)=O ZINC000887190481 708986299 /nfs/dbraw/zinc/98/62/99/708986299.db2.gz LRKPTCDDGGLMIU-PSASIEDQSA-N -1 1 317.794 1.741 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2(C3CC3)CC2)C1 ZINC000899183592 709012952 /nfs/dbraw/zinc/01/29/52/709012952.db2.gz DWTXCZAHWGHPAI-GFCCVEGCSA-N -1 1 304.312 1.846 20 0 DDADMM O=C(C(=O)N1CC[C@@](CO)(C(F)(F)F)C1)c1ccc([O-])cc1 ZINC000899606970 709124570 /nfs/dbraw/zinc/12/45/70/709124570.db2.gz TVGSQHSHSMZMNK-CYBMUJFWSA-N -1 1 317.263 1.348 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1C[C@H](c2ccc(F)cc2F)C1 ZINC000889084364 709446278 /nfs/dbraw/zinc/44/62/78/709446278.db2.gz AQCHDPZUNGKEFS-CZMCAQCFSA-N -1 1 307.304 1.473 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)Nc1cccc(CCC(=O)[O-])c1 ZINC000909511492 709496727 /nfs/dbraw/zinc/49/67/27/709496727.db2.gz VFMBJLGURUTMCD-ZDUSSCGKSA-N -1 1 320.389 1.611 20 0 DDADMM CN(OCc1ccccc1)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909560891 709516289 /nfs/dbraw/zinc/51/62/89/709516289.db2.gz TUIVKUXOSJEHTL-AWEZNQCLSA-N -1 1 306.362 1.373 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000909564462 709518154 /nfs/dbraw/zinc/51/81/54/709518154.db2.gz FIVDGLNBESWGJQ-VHDGCEQUSA-N -1 1 320.364 1.594 20 0 DDADMM CC[C@@H](C)[C@H](OC)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912862373 713111122 /nfs/dbraw/zinc/11/11/22/713111122.db2.gz NZTSQVFTMJFJHC-UTLUCORTSA-N -1 1 301.416 1.171 20 0 DDADMM CSCC[C@H](NC(=O)c1sccc1F)c1nn[n-]n1 ZINC000912863249 713111259 /nfs/dbraw/zinc/11/12/59/713111259.db2.gz QAMIVKLKAPTTEG-ZETCQYMHSA-N -1 1 301.372 1.625 20 0 DDADMM CCN(CC)[C@@H](C(=O)N[C@@H](CCOC)C(=O)[O-])c1ccccc1 ZINC000909626284 709546357 /nfs/dbraw/zinc/54/63/57/709546357.db2.gz RCDQVOKZGQBELN-LSDHHAIUSA-N -1 1 322.405 1.675 20 0 DDADMM CSCC[C@H](NC(=O)C[C@H](C)[C@H]1CCCO1)c1nn[n-]n1 ZINC000912863497 713111828 /nfs/dbraw/zinc/11/18/28/713111828.db2.gz ZSRQCEULRQKASB-GARJFASQSA-N -1 1 313.427 1.315 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1[nH]nc2c1CCC2)c1ccc(F)cc1 ZINC000909703415 709587874 /nfs/dbraw/zinc/58/78/74/709587874.db2.gz OZDOFXRNTWCVCF-GFCCVEGCSA-N -1 1 317.320 1.636 20 0 DDADMM CC(C)(NC(=O)c1cc(F)c(F)c([O-])c1F)c1c[nH]nn1 ZINC000909721531 709595906 /nfs/dbraw/zinc/59/59/06/709595906.db2.gz MAOFVYMJDJAUEV-UHFFFAOYSA-N -1 1 300.240 1.593 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000909732501 709600077 /nfs/dbraw/zinc/60/00/77/709600077.db2.gz BWFBLFMIUQYOOM-LBPRGKRZSA-N -1 1 300.318 1.551 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2CCC(C)(C)O2)[n-]c1=O ZINC000889790512 709637530 /nfs/dbraw/zinc/63/75/30/709637530.db2.gz RQEZSVAGQDLDMS-QWRGUYRKSA-N -1 1 321.377 1.812 20 0 DDADMM CCC[C@H](O)CC(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889789968 709637667 /nfs/dbraw/zinc/63/76/67/709637667.db2.gz LNZNRIYZNQBHIB-QWRGUYRKSA-N -1 1 309.366 1.405 20 0 DDADMM COc1cccc([C@](C)(CC(=O)[O-])NC(=O)[C@H](C)N(C)C)c1 ZINC000909819738 709638866 /nfs/dbraw/zinc/63/88/66/709638866.db2.gz PODXCHYOVMXOEW-ZBEGNZNMSA-N -1 1 308.378 1.451 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CCOC(C)C)[n-]c1=O ZINC000889795583 709639252 /nfs/dbraw/zinc/63/92/52/709639252.db2.gz ZHPHXRPZIJVGMU-LLVKDONJSA-N -1 1 309.366 1.669 20 0 DDADMM C[C@@]1(C(=O)[O-])CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000909844778 709649391 /nfs/dbraw/zinc/64/93/91/709649391.db2.gz XPMBNHSTFHEJDT-MRXNPFEDSA-N -1 1 314.345 1.799 20 0 DDADMM COC[C@H](CC(C)(C)C)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909916218 709687676 /nfs/dbraw/zinc/68/76/76/709687676.db2.gz GSNBBBYBCRBECV-STQMWFEESA-N -1 1 314.426 1.350 20 0 DDADMM CC1(C)[C@@H](CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C1(F)F ZINC000909986273 709721153 /nfs/dbraw/zinc/72/11/53/709721153.db2.gz FOGXRTFJTVDZMD-NXEZZACHSA-N -1 1 304.337 1.191 20 0 DDADMM CN1C[C@H](NC(=O)c2c([O-])cnc3c(F)cccc32)CCC1=O ZINC000900780926 709754616 /nfs/dbraw/zinc/75/46/16/709754616.db2.gz BRYVSRFHDDVNFJ-SECBINFHSA-N -1 1 317.320 1.430 20 0 DDADMM CC1(C(=O)[O-])CN(C(=O)[C@@H]2CCCN2Cc2ccccc2)C1 ZINC000910057559 709758335 /nfs/dbraw/zinc/75/83/35/709758335.db2.gz XYFZTTUYHGYCFI-AWEZNQCLSA-N -1 1 302.374 1.584 20 0 DDADMM O=C([O-])[C@@H]1CSCCN(C(=O)c2ccc(O)c(Cl)c2)C1 ZINC000910145378 709790324 /nfs/dbraw/zinc/79/03/24/709790324.db2.gz APCWKNVWSCFEFI-VIFPVBQESA-N -1 1 315.778 1.935 20 0 DDADMM CCc1c(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)ccn1C ZINC000910175298 709797669 /nfs/dbraw/zinc/79/76/69/709797669.db2.gz MNJUDFKEOHMMNI-LBPRGKRZSA-N -1 1 307.394 1.209 20 0 DDADMM Cc1ccncc1/C=C/C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910174933 709797852 /nfs/dbraw/zinc/79/78/52/709797852.db2.gz IOBVNDCMOMTMLO-RDFMZFSFSA-N -1 1 317.389 1.411 20 0 DDADMM CCc1cccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)n1 ZINC000910178975 709799960 /nfs/dbraw/zinc/79/99/60/709799960.db2.gz STBTYLPDTIVXPH-UHFFFAOYSA-N -1 1 305.378 1.265 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(C(F)(F)F)[nH]n2)C[C@@]1(C)C(=O)[O-] ZINC000910256731 709843050 /nfs/dbraw/zinc/84/30/50/709843050.db2.gz RQUKPBSLHZZBLA-KSBSHMNSSA-N -1 1 305.256 1.611 20 0 DDADMM C[C@@H]1CN(C(=O)c2n[nH]cc2C(F)(F)F)C[C@@]1(C)C(=O)[O-] ZINC000910260620 709844772 /nfs/dbraw/zinc/84/47/72/709844772.db2.gz NMGXGULYPJQQLJ-KSBSHMNSSA-N -1 1 305.256 1.611 20 0 DDADMM COCCN1CCCN(c2ncc(C(=O)[O-])cc2Cl)CC1 ZINC000900985677 709863471 /nfs/dbraw/zinc/86/34/71/709863471.db2.gz PBFWDRWKKLAJKE-UHFFFAOYSA-N -1 1 313.785 1.592 20 0 DDADMM C[C@@H]1CN(C[C@H]2CCCN2c2cccc(C(=O)[O-])n2)C[C@@H](C)O1 ZINC000900991560 709867244 /nfs/dbraw/zinc/86/72/44/709867244.db2.gz XBWNRHHBGMQQCK-MGPQQGTHSA-N -1 1 319.405 1.858 20 0 DDADMM O=C([O-])C12CCC(CC1)N2C(=O)c1n[nH]cc1C(F)(F)F ZINC000910295334 709873157 /nfs/dbraw/zinc/87/31/57/709873157.db2.gz LYVSKYSVDUBMRI-UHFFFAOYSA-N -1 1 303.240 1.650 20 0 DDADMM Cc1nc(NC[C@@H](C)N2C[C@@H](C)O[C@@H](C)C2)ccc1C(=O)[O-] ZINC000910317037 709886781 /nfs/dbraw/zinc/88/67/81/709886781.db2.gz IEWORBYSJMFZLX-UTUOFQBUSA-N -1 1 307.394 1.998 20 0 DDADMM CN(CC(=O)N[C@@](C)(CC(=O)[O-])C1CC1)[C@@H]1CCSC1 ZINC000910319618 709888068 /nfs/dbraw/zinc/88/80/68/709888068.db2.gz UJDJBKXZTORROC-RISCZKNCSA-N -1 1 300.424 1.183 20 0 DDADMM C[C@@H]1CN(C[C@@H]2CCCN2c2ncc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC000910351439 709906292 /nfs/dbraw/zinc/90/62/92/709906292.db2.gz GDCDCKCFZOUSQF-SCRDCRAPSA-N -1 1 320.393 1.253 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C(=O)[C@H]1CCCN1C)CC2 ZINC000910382618 709917082 /nfs/dbraw/zinc/91/70/82/709917082.db2.gz FQEUJIGHQDVRJQ-CQSZACIVSA-N -1 1 318.373 1.372 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C1 ZINC000910391924 709920498 /nfs/dbraw/zinc/92/04/98/709920498.db2.gz JSCVRGURTMMQLD-GUBZILKMSA-N -1 1 308.300 1.192 20 0 DDADMM O=C([O-])[C@@H](CC1CCOCC1)NC(=O)c1ccc2cncn2c1 ZINC000910453964 709950861 /nfs/dbraw/zinc/95/08/61/709950861.db2.gz SJQBQFWCZBMULM-CQSZACIVSA-N -1 1 317.345 1.334 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CC[C@H](O)[C@@H](F)C1 ZINC000890693165 709956477 /nfs/dbraw/zinc/95/64/77/709956477.db2.gz UKVWWNYASRYJJU-UWVGGRQHSA-N -1 1 318.142 1.700 20 0 DDADMM COC[C@H](O)CCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901265599 709989284 /nfs/dbraw/zinc/98/92/84/709989284.db2.gz CGZIDNGJSMWMKB-SECBINFHSA-N -1 1 308.309 1.207 20 0 DDADMM O=C(NCc1cnc2n1CCOC2)c1ccc(Cl)cc1[O-] ZINC000890842997 710003988 /nfs/dbraw/zinc/00/39/88/710003988.db2.gz UWDBRBFZLGXDJU-UHFFFAOYSA-N -1 1 307.737 1.702 20 0 DDADMM CC(C)(C)[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1O ZINC000901335397 710014147 /nfs/dbraw/zinc/01/41/47/710014147.db2.gz KBDJHNWIXFKTOH-CHWSQXEVSA-N -1 1 319.405 1.929 20 0 DDADMM CC[C@H](C(=O)Nc1nc2c(s1)CC[C@H](C(=O)[O-])C2)N(C)C ZINC000910678109 710044321 /nfs/dbraw/zinc/04/43/21/710044321.db2.gz VCQBKDJVURUTOD-WCBMZHEXSA-N -1 1 311.407 1.611 20 0 DDADMM CCCC[C@H](NC(=O)CN(C)CCc1ccccc1)C(=O)[O-] ZINC000901488463 710053836 /nfs/dbraw/zinc/05/38/36/710053836.db2.gz GWPRBRFKKZPOFE-HNNXBMFYSA-N -1 1 306.406 1.921 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N(CC(=O)[O-])C2CCOCC2)C1 ZINC000901573891 710080343 /nfs/dbraw/zinc/08/03/43/710080343.db2.gz UOFAEJQBIKMPEZ-ZWNOBZJWSA-N -1 1 321.377 1.168 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCN(C(=O)c3ccc(-c4nnc[nH]4)cc3)C[C@@H]21 ZINC000910849388 710094603 /nfs/dbraw/zinc/09/46/03/710094603.db2.gz WBBDPYSEBGYDCB-FRRDWIJNSA-N -1 1 312.329 1.264 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccn(C)c3)[nH]n2)C[C@H]1CC(=O)[O-] ZINC000910858066 710097202 /nfs/dbraw/zinc/09/72/02/710097202.db2.gz NIEZMHDDNTVIEU-ZYHUDNBSSA-N -1 1 316.361 1.598 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@H]1CC(C)(C)CO1 ZINC000901651891 710108823 /nfs/dbraw/zinc/10/88/23/710108823.db2.gz CSWCECQTEQICOX-SNVBAGLBSA-N -1 1 314.407 1.503 20 0 DDADMM O=S(=O)(CC1Cc2ccccc2C1)[N-]c1ccc[n+]([O-])c1 ZINC000901678718 710116974 /nfs/dbraw/zinc/11/69/74/710116974.db2.gz SLNJOVNNUNRRPX-UHFFFAOYSA-N -1 1 304.371 1.477 20 0 DDADMM C[C@@H](Sc1nncn1C)C(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910981027 710139945 /nfs/dbraw/zinc/13/99/45/710139945.db2.gz JCIJCCUSTFZEMD-ZCFIWIBFSA-N -1 1 314.317 1.918 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@]12CC(=O)N[C@H]1CCCC2 ZINC000891280079 710150365 /nfs/dbraw/zinc/15/03/65/710150365.db2.gz JGUQLUNMQRIFGK-XJKSGUPXSA-N -1 1 322.792 1.906 20 0 DDADMM Cc1ccc2nc(CN3CCSC[C@H](C(=O)[O-])C3)cn2c1 ZINC000901891861 710166470 /nfs/dbraw/zinc/16/64/70/710166470.db2.gz RBJPASPQGLSMDG-GFCCVEGCSA-N -1 1 305.403 1.892 20 0 DDADMM O=C([O-])c1ccc(/C=C\C(=O)N2CCN(CC3CC3)CC2)o1 ZINC000901958980 710185103 /nfs/dbraw/zinc/18/51/03/710185103.db2.gz RAUXQVAKXMSNII-XQRVVYSFSA-N -1 1 304.346 1.545 20 0 DDADMM COCc1nc(NCc2nnc3n2CCCCC3)cc(=O)[n-]1 ZINC000891553843 710234146 /nfs/dbraw/zinc/23/41/46/710234146.db2.gz QMONKICCZNBXFY-UHFFFAOYSA-N -1 1 304.354 1.259 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)[C@]12CCC[C@H]1OCC2 ZINC000891614134 710249194 /nfs/dbraw/zinc/24/91/94/710249194.db2.gz KYTRXJITQAFILK-WBMJQRKESA-N -1 1 303.362 1.959 20 0 DDADMM COCc1nc(NCCc2ccc(C(=O)OC)cc2)cc(=O)[n-]1 ZINC000891677886 710264077 /nfs/dbraw/zinc/26/40/77/710264077.db2.gz NPDOLQFEFYVNGC-UHFFFAOYSA-N -1 1 317.345 1.770 20 0 DDADMM COCc1nc(N[C@H]2CCCN(c3cnn(C)c3)C2)cc(=O)[n-]1 ZINC000892673621 710480107 /nfs/dbraw/zinc/48/01/07/710480107.db2.gz ICLWZQVOUZKMFY-NSHDSACASA-N -1 1 318.381 1.143 20 0 DDADMM CN(C(=O)[C@@H](OC1CCOCC1)c1ccccc1)c1nn[n-]n1 ZINC000892954559 710531814 /nfs/dbraw/zinc/53/18/14/710531814.db2.gz LPQIXHRRTXRBBC-ZDUSSCGKSA-N -1 1 317.349 1.099 20 0 DDADMM O=C([O-])c1ccc(CNCC(=O)NCC(F)(F)F)cc1Cl ZINC000902148355 710634815 /nfs/dbraw/zinc/63/48/15/710634815.db2.gz OAYOFNZVNZGUMW-UHFFFAOYSA-N -1 1 324.686 1.806 20 0 DDADMM O=C([O-])c1nn(-c2ccccc2)cc1CN1CCCOCC1 ZINC000902183261 710652663 /nfs/dbraw/zinc/65/26/63/710652663.db2.gz VWKOPZNXVNDEPK-UHFFFAOYSA-N -1 1 301.346 1.793 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@H](CCO)c2ccccc2)C1 ZINC000911164857 710664238 /nfs/dbraw/zinc/66/42/38/710664238.db2.gz CFSDNFKCXWKGNA-HUUCEWRRSA-N -1 1 320.389 1.023 20 0 DDADMM CC[C@H](CNCc1cn(CC(=O)[O-])nn1)Oc1cccc(F)c1 ZINC000902359416 710728512 /nfs/dbraw/zinc/72/85/12/710728512.db2.gz NUTIVVULUKBFSO-CYBMUJFWSA-N -1 1 322.340 1.449 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)N[C@@H]1CCN(Cc2ccc(C)cc2)C1 ZINC000902384908 710737668 /nfs/dbraw/zinc/73/76/68/710737668.db2.gz AGEYUONCVFSLMC-PBHICJAKSA-N -1 1 320.389 1.175 20 0 DDADMM COc1ccnc(N2CCN(C(=O)C[C@H](C)CC(=O)[O-])CC2)c1 ZINC000911342451 710751410 /nfs/dbraw/zinc/75/14/10/710751410.db2.gz ABWDCJKWZWFIQP-LBPRGKRZSA-N -1 1 321.377 1.240 20 0 DDADMM COCc1nc(N2CCN(c3cnccc3C)CC2)cc(=O)[n-]1 ZINC000893677705 710774269 /nfs/dbraw/zinc/77/42/69/710774269.db2.gz DNJYYANRYSZGFI-UHFFFAOYSA-N -1 1 315.377 1.359 20 0 DDADMM CN(C)c1ccnc(NC(=O)CC[C@@H]2C[C@H](C(=O)[O-])CO2)c1 ZINC000911400816 710781713 /nfs/dbraw/zinc/78/17/13/710781713.db2.gz HNOPUTPAAMBNGU-CMPLNLGQSA-N -1 1 307.350 1.356 20 0 DDADMM Cn1cnc2cc(NC(=O)CN3CCC[C@@H](C(=O)[O-])C3)ccc21 ZINC000911416328 710788742 /nfs/dbraw/zinc/78/87/42/710788742.db2.gz IXYXNSOHBDHLBR-LLVKDONJSA-N -1 1 316.361 1.308 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)Nc1ccc(O)c(C(=O)[O-])c1 ZINC000911464837 710810400 /nfs/dbraw/zinc/81/04/00/710810400.db2.gz SVTGGBQTPGRRPT-UHFFFAOYSA-N -1 1 322.361 1.387 20 0 DDADMM CCOC(=O)Cn1nc(C)cc1NC(=O)c1ccc([O-])cc1F ZINC000911749556 710964355 /nfs/dbraw/zinc/96/43/55/710964355.db2.gz CCFLSJANUYZWQV-UHFFFAOYSA-N -1 1 321.308 1.852 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1ccnc(Cl)c1F ZINC000903159899 711051415 /nfs/dbraw/zinc/05/14/15/711051415.db2.gz LRSUSTUWBYFLJL-UHFFFAOYSA-N -1 1 318.761 1.971 20 0 DDADMM COCc1nc(N(C)[C@@H](CO)Cc2ccccc2)cc(=O)[n-]1 ZINC000894471651 711139019 /nfs/dbraw/zinc/13/90/19/711139019.db2.gz NATNYHOIFZOXRL-CYBMUJFWSA-N -1 1 303.362 1.368 20 0 DDADMM Cn1cc([C@H]2CN(C(=O)c3ccc(Cl)cc3[O-])CCN2)cn1 ZINC000913473302 713231158 /nfs/dbraw/zinc/23/11/58/713231158.db2.gz QHNDWASLYRNPIM-CYBMUJFWSA-N -1 1 320.780 1.566 20 0 DDADMM CC(C)(CCS(=O)(=O)N[C@H]1C[NH2+]CCC1(F)F)C1CC1 ZINC000903521592 711166090 /nfs/dbraw/zinc/16/60/90/711166090.db2.gz DEXDMQWHSDLGTR-NSHDSACASA-N -1 1 310.410 1.729 20 0 DDADMM O=C(/C=C\Sc1ccccc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913493257 713236719 /nfs/dbraw/zinc/23/67/19/713236719.db2.gz KULHICBOKFHSAL-PWWFUAJNSA-N -1 1 317.374 1.406 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCC(F)CC1 ZINC000903608105 711221826 /nfs/dbraw/zinc/22/18/26/711221826.db2.gz RVESLBDLDYPBSU-LLVKDONJSA-N -1 1 308.353 1.823 20 0 DDADMM CC(C)c1cccnc1[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC000903615142 711224951 /nfs/dbraw/zinc/22/49/51/711224951.db2.gz TUJBYRPAWYBLCT-LJQANCHMSA-N -1 1 320.440 1.436 20 0 DDADMM O=C(/C=C/CCc1ccccc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913493546 713236964 /nfs/dbraw/zinc/23/69/64/713236964.db2.gz BAUAVDYJEGPYPK-KVEVGEHQSA-N -1 1 313.361 1.289 20 0 DDADMM COC(C)(C)[C@H](C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000928594165 713237744 /nfs/dbraw/zinc/23/77/44/713237744.db2.gz FEDGQUYBFYTHJA-NSHDSACASA-N -1 1 320.393 1.524 20 0 DDADMM CCc1ccc(C)nc1[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC000903641659 711233098 /nfs/dbraw/zinc/23/30/98/711233098.db2.gz CEEZBCXKJJBRRF-IBGZPJMESA-N -1 1 320.440 1.184 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495670 713237927 /nfs/dbraw/zinc/23/79/27/713237927.db2.gz NNAXKLXHWMBCBR-BRADTZPFSA-N -1 1 313.361 1.512 20 0 DDADMM C[C@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000913496179 713238261 /nfs/dbraw/zinc/23/82/61/713238261.db2.gz UUQZYVIJMVEJRF-JOYOIKCWSA-N -1 1 305.313 1.042 20 0 DDADMM Cc1c(C(=O)N2CCOC[C@H]2c2nn[n-]n2)ccn1C(C)C ZINC000913497064 713238713 /nfs/dbraw/zinc/23/87/13/713238713.db2.gz HSOYZAIBXYRUIO-LBPRGKRZSA-N -1 1 304.354 1.104 20 0 DDADMM COCc1nc(N2CCC[C@@](O)(c3ccccc3)C2)cc(=O)[n-]1 ZINC000894730309 711250238 /nfs/dbraw/zinc/25/02/38/711250238.db2.gz VVIUINQLFYWVGY-KRWDZBQOSA-N -1 1 315.373 1.817 20 0 DDADMM O=c1nc(N[C@@H](CO)[C@H](O)C2CCCCC2)cc(Cl)[n-]1 ZINC000894745437 711256061 /nfs/dbraw/zinc/25/60/61/711256061.db2.gz MTXVBZHPGRJEPI-JOYOIKCWSA-N -1 1 301.774 1.550 20 0 DDADMM Cc1ccccc1C[C@H](C)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499652 713239716 /nfs/dbraw/zinc/23/97/16/713239716.db2.gz QBUORGBLQMTYHI-JSGCOSHPSA-N -1 1 315.377 1.287 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=Cc2cccs2)n[n-]1 ZINC000912362432 711291736 /nfs/dbraw/zinc/29/17/36/711291736.db2.gz FXUCESUCLGVISQ-UCUJLANTSA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=Cc2cccs2)[n-]1 ZINC000912362432 711291740 /nfs/dbraw/zinc/29/17/40/711291740.db2.gz FXUCESUCLGVISQ-UCUJLANTSA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C=Cc2cccs2)n1 ZINC000912362432 711291741 /nfs/dbraw/zinc/29/17/41/711291741.db2.gz FXUCESUCLGVISQ-UCUJLANTSA-N -1 1 320.374 1.934 20 0 DDADMM O=C([O-])[C@H]1c2ccccc2OCCN1C[C@H](O)CC(F)(F)F ZINC000903927273 711335500 /nfs/dbraw/zinc/33/55/00/711335500.db2.gz KBSCMPDBVOVKQP-BXKDBHETSA-N -1 1 319.279 1.820 20 0 DDADMM C[C@H](N[C@@H]1C[C@@H](NC(=O)[O-])C12CCC2)c1nnc2ccccn21 ZINC000904203180 711408161 /nfs/dbraw/zinc/40/81/61/711408161.db2.gz GDMDKRIGITZBJS-QJPTWQEYSA-N -1 1 315.377 1.959 20 0 DDADMM CC(=O)NCCCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895625573 711563422 /nfs/dbraw/zinc/56/34/22/711563422.db2.gz CPZSHLGFSZNZAV-UHFFFAOYSA-N -1 1 302.330 1.507 20 0 DDADMM CN(C)c1cccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913742011 713291513 /nfs/dbraw/zinc/29/15/13/713291513.db2.gz BAFHVYDEZUVNGE-UHFFFAOYSA-N -1 1 300.366 1.286 20 0 DDADMM O=C(Cc1ccc(F)cc1Cl)N1CCC(c2nn[n-]n2)CC1 ZINC000913743560 713292538 /nfs/dbraw/zinc/29/25/38/713292538.db2.gz AUNWEKAOKFTKTD-UHFFFAOYSA-N -1 1 323.759 1.941 20 0 DDADMM O=C(C=Cc1ccc(Cl)nc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743862 713292598 /nfs/dbraw/zinc/29/25/98/713292598.db2.gz OFCVWEVUJKEPLS-RQOWECAXSA-N -1 1 318.768 1.668 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCC3(C)CC3)nc2n1 ZINC000906057583 712232767 /nfs/dbraw/zinc/23/27/67/712232767.db2.gz FKRKEPSIWJYWFP-UHFFFAOYSA-N -1 1 303.366 1.889 20 0 DDADMM CC(C)[C@@H]1CN(S(=O)(=O)c2cc(C(=O)[O-])cs2)CCN1 ZINC000907055378 712476064 /nfs/dbraw/zinc/47/60/64/712476064.db2.gz KKZSVCMGMLXBQA-JTQLQIEISA-N -1 1 318.420 1.065 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CCOC2(CCCC2)C1)c1nn[n-]n1 ZINC000907348922 712549908 /nfs/dbraw/zinc/54/99/08/712549908.db2.gz CFNLSEJPHPMLJY-RYUDHWBXSA-N -1 1 307.398 1.501 20 0 DDADMM C[C@]1(NC(=O)c2cnc(C3CC3)[n-]c2=O)CCO[C@H]1C1CC1 ZINC000907351331 712550396 /nfs/dbraw/zinc/55/03/96/712550396.db2.gz PURLWBCNDDDMNR-LRDDRELGSA-N -1 1 303.362 1.747 20 0 DDADMM CC(=CC(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC000907477156 712582453 /nfs/dbraw/zinc/58/24/53/712582453.db2.gz RNJFTAKZWOFREV-FUWURINLSA-N -1 1 315.402 1.920 20 0 DDADMM O=C([C@@H]1CCCC(F)(F)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477540 712582690 /nfs/dbraw/zinc/58/26/90/712582690.db2.gz FHHPCMZDQPCCLG-RKDXNWHRSA-N -1 1 317.365 1.642 20 0 DDADMM O=C(Cc1cccnc1Cl)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480191 712583520 /nfs/dbraw/zinc/58/35/20/712583520.db2.gz MGVYJNZOHIZACM-SECBINFHSA-N -1 1 324.797 1.107 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480408 712583544 /nfs/dbraw/zinc/58/35/44/712583544.db2.gz OYYILQAILABFFD-JGVFFNPUSA-N -1 1 323.344 1.795 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC[C@@H]2CCCC[C@@H]2O)sc1C ZINC000907482688 712584616 /nfs/dbraw/zinc/58/46/16/712584616.db2.gz KOHUHQLGEWRQLE-RYUDHWBXSA-N -1 1 318.464 1.979 20 0 DDADMM CN1CCCN(C(=O)[C@]2(C(=O)[O-])C[C@H]2c2ccccc2)CC1 ZINC000907768048 712624161 /nfs/dbraw/zinc/62/41/61/712624161.db2.gz FJCJTSTUMNXSLU-YOEHRIQHSA-N -1 1 302.374 1.409 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@H](CCO)C1CCCC1 ZINC000907825346 712631598 /nfs/dbraw/zinc/63/15/98/712631598.db2.gz ZQKYZEZDERJHRT-GFCCVEGCSA-N -1 1 324.468 1.024 20 0 DDADMM CN(C(=O)[C@@H]1CCc2nc[nH]c2C1)c1cccc(CC(=O)[O-])c1 ZINC000908088775 712683642 /nfs/dbraw/zinc/68/36/42/712683642.db2.gz ZCNHRVNZWTXWRN-GFCCVEGCSA-N -1 1 313.357 1.805 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@H]1CCOC12CCCC2 ZINC000908123300 712693507 /nfs/dbraw/zinc/69/35/07/712693507.db2.gz FDYOJBXRPNFYIW-STQMWFEESA-N -1 1 310.394 1.001 20 0 DDADMM CCC[C@]1(CO)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000908247779 712719150 /nfs/dbraw/zinc/71/91/50/712719150.db2.gz ANKBKMCFIOELMN-INIZCTEOSA-N -1 1 305.378 1.684 20 0 DDADMM C[C@H]1OC(=O)N[C@H]1C(=O)Nc1cccc([O-])c1Br ZINC000908711177 712839456 /nfs/dbraw/zinc/83/94/56/712839456.db2.gz YWVUGOJQANFXBS-MLUIRONXSA-N -1 1 315.123 1.590 20 0 DDADMM CS[C@@H]1CCC[C@H](NC(=O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000908812013 712859567 /nfs/dbraw/zinc/85/95/67/712859567.db2.gz DJMLCDMXBWGPJZ-FRRDWIJNSA-N -1 1 314.451 1.573 20 0 DDADMM CS[C@@H]1CCC[C@H](NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000908812013 712859570 /nfs/dbraw/zinc/85/95/70/712859570.db2.gz DJMLCDMXBWGPJZ-FRRDWIJNSA-N -1 1 314.451 1.573 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H](C(=O)[O-])C1CC1)c1ccc(F)cc1 ZINC000908817392 712861050 /nfs/dbraw/zinc/86/10/50/712861050.db2.gz FFMCCKXTXXZKFK-KGLIPLIRSA-N -1 1 323.368 1.591 20 0 DDADMM CN1CCC[C@H](NC(=O)N(CCc2ccccc2)CC(=O)[O-])C1 ZINC000908871699 712875488 /nfs/dbraw/zinc/87/54/88/712875488.db2.gz UMAWGOPTGIMEFK-HNNXBMFYSA-N -1 1 319.405 1.420 20 0 DDADMM CCN(CC(=O)N1Cc2ccccc2C[C@H]1C(=O)[O-])C1CC1 ZINC000908897249 712882317 /nfs/dbraw/zinc/88/23/17/712882317.db2.gz YQHLCFYOYJMEGM-HNNXBMFYSA-N -1 1 302.374 1.509 20 0 DDADMM CC1(C)COc2c(S(=O)(=O)[N-]C3(C(F)F)CC3)cnn2C1 ZINC000915278721 713415236 /nfs/dbraw/zinc/41/52/36/713415236.db2.gz QEWSFYJXCDKJJQ-UHFFFAOYSA-N -1 1 321.349 1.378 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]CC1(Br)CC1 ZINC000920070034 713648063 /nfs/dbraw/zinc/64/80/63/713648063.db2.gz FDBJQCPLGHMDPF-UHFFFAOYSA-N -1 1 309.185 1.497 20 0 DDADMM CC(C)C(=O)[C@H]([N-]S(=O)(=O)c1nncn1C)c1ccccc1 ZINC000920544659 713678732 /nfs/dbraw/zinc/67/87/32/713678732.db2.gz CPMRWOUWPOYDEB-GFCCVEGCSA-N -1 1 322.390 1.060 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@]23C[C@H]2CCCC3)c1 ZINC000920861627 713698920 /nfs/dbraw/zinc/69/89/20/713698920.db2.gz VVIIQLDFDIAPBB-RISCZKNCSA-N -1 1 313.375 1.925 20 0 DDADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)CCC(F)(F)F ZINC000921377915 713748900 /nfs/dbraw/zinc/74/89/00/713748900.db2.gz JOUAKQYZFBXPMO-ZETCQYMHSA-N -1 1 323.358 1.153 20 0 DDADMM Cc1cc(CC[N-]S(=O)(=O)c2cc3ccccc3o2)nn1C ZINC000921583306 713812946 /nfs/dbraw/zinc/81/29/46/713812946.db2.gz NQBILEWVTDWBTH-UHFFFAOYSA-N -1 1 319.386 1.996 20 0 DDADMM C[C@H](CO)CCCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000921675762 713838928 /nfs/dbraw/zinc/83/89/28/713838928.db2.gz WSYHNDZEGXWMGS-JTQLQIEISA-N -1 1 316.348 1.921 20 0 DDADMM CCOC(=O)C1(C[N-]S(=O)(=O)c2ccns2)CCCC1 ZINC000921695429 713846612 /nfs/dbraw/zinc/84/66/12/713846612.db2.gz SCWRAGJLBIEIHT-UHFFFAOYSA-N -1 1 318.420 1.545 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-]C1CSC1)C1CCCCC1 ZINC000921870683 713891468 /nfs/dbraw/zinc/89/14/68/713891468.db2.gz QZLWZUHIOFKNFL-QGZVFWFLSA-N -1 1 312.482 1.367 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CCCC1(F)F)C(C)C ZINC000921974872 713925258 /nfs/dbraw/zinc/92/52/58/713925258.db2.gz JMZMYVYFRALVBX-NXEZZACHSA-N -1 1 313.366 1.539 20 0 DDADMM C[C@]1([N-]S(=O)(=O)N=S(C)(C)=O)CCOc2ccccc21 ZINC000922094233 713958123 /nfs/dbraw/zinc/95/81/23/713958123.db2.gz JLIOEURKPGTWSV-LBPRGKRZSA-N -1 1 318.420 1.246 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC[C@@H]2CCNC(=O)[C@@H]21 ZINC000922449077 714057505 /nfs/dbraw/zinc/05/75/05/714057505.db2.gz TYDDMSQRPPRJEQ-NOZJJQNGSA-N -1 1 308.765 1.786 20 0 DDADMM C[C@H](CN1CCC[C@@H]2CCNC(=O)[C@H]21)C(=O)c1ccc(O)cc1 ZINC000931702856 714164570 /nfs/dbraw/zinc/16/45/70/714164570.db2.gz FNUMLWJKDYXERH-IOASZLSFSA-N -1 1 316.401 1.812 20 0 DDADMM C[C@]1(NC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCOC1 ZINC000932046225 714245787 /nfs/dbraw/zinc/24/57/87/714245787.db2.gz KWSIYTLELSKROK-HNNXBMFYSA-N -1 1 302.334 1.878 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N(CCO)C1CCC1 ZINC000932049552 714246280 /nfs/dbraw/zinc/24/62/80/714246280.db2.gz JOHJMGUAURCJNX-UHFFFAOYSA-N -1 1 316.361 1.957 20 0 DDADMM C[C@H](O)CCCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932050969 714246587 /nfs/dbraw/zinc/24/65/87/714246587.db2.gz DNMGYEHPEXFIIK-NSHDSACASA-N -1 1 304.350 1.860 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCCn2nncc2C1 ZINC000923796072 714472403 /nfs/dbraw/zinc/47/24/03/714472403.db2.gz WZRJGUOGEWVLTE-UHFFFAOYSA-N -1 1 309.329 1.578 20 0 DDADMM CN(C(=O)c1ccc(C(F)(F)F)c([O-])c1)[C@@H]1COC[C@H]1O ZINC000923840427 714478214 /nfs/dbraw/zinc/47/82/14/714478214.db2.gz PVFYWRUREONNSW-MWLCHTKSSA-N -1 1 305.252 1.243 20 0 DDADMM O=C([N-]CCCOC(=O)c1ccnn1C1CCC1)C(F)(F)F ZINC000923876952 714483710 /nfs/dbraw/zinc/48/37/10/714483710.db2.gz XFACAJMUAXADCL-UHFFFAOYSA-N -1 1 319.283 1.834 20 0 DDADMM CCOC(=O)[C@@H](C[C@H]1CCCO1)NC(=O)c1ncc(C)cc1[O-] ZINC000924878342 714686046 /nfs/dbraw/zinc/68/60/46/714686046.db2.gz IRDYWTARJNZOHZ-VXGBXAGGSA-N -1 1 322.361 1.326 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2cnc(Cl)cn2)[n-]c1=O ZINC000934269915 714781818 /nfs/dbraw/zinc/78/18/18/714781818.db2.gz CJDWDYLDYICFHE-SNVBAGLBSA-N -1 1 321.768 1.971 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2cc(C)nnc2C)[n-]c1=O ZINC000934271497 714782401 /nfs/dbraw/zinc/78/24/01/714782401.db2.gz GDSJUVBLEOQYCK-CYBMUJFWSA-N -1 1 315.377 1.935 20 0 DDADMM COc1cnc([C@@H]2CCCN2CCO[C@@H]2CCCCO2)[n-]c1=O ZINC000934274511 714783753 /nfs/dbraw/zinc/78/37/53/714783753.db2.gz UPOKMLOHNKBNAB-GXTWGEPZSA-N -1 1 323.393 1.871 20 0 DDADMM CCN(CC)C(=O)CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934280344 714785866 /nfs/dbraw/zinc/78/58/66/714785866.db2.gz AGNLINIGTSOBDK-NSHDSACASA-N -1 1 308.382 1.196 20 0 DDADMM COc1cnc([C@@H]2CCCN2CC(=O)N[C@H](C)C(C)C)[n-]c1=O ZINC000934281143 714785905 /nfs/dbraw/zinc/78/59/05/714785905.db2.gz XJYHOXOCKRZDHB-NEPJUHHUSA-N -1 1 322.409 1.488 20 0 DDADMM CC(C)Cc1ncc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000935037350 714961202 /nfs/dbraw/zinc/96/12/02/714961202.db2.gz SJVXWTSMDKZGGS-SNVBAGLBSA-N -1 1 320.422 1.875 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@H]2CCO[C@H](C(C)C)C2)n[nH]1 ZINC000935260062 715014260 /nfs/dbraw/zinc/01/42/60/715014260.db2.gz FWXVAAXAXLVJBF-JQWIXIFHSA-N -1 1 309.366 1.976 20 0 DDADMM COc1ccc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(C)c1 ZINC000935365220 715037962 /nfs/dbraw/zinc/03/79/62/715037962.db2.gz GDFDIEKDWJOBRB-LLVKDONJSA-N -1 1 303.366 1.321 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000936198310 715156770 /nfs/dbraw/zinc/15/67/70/715156770.db2.gz DBTYTVBAQHPAGO-IJLUTSLNSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)C1(CF)CC1)c1ncccc1[O-] ZINC000956210651 715358426 /nfs/dbraw/zinc/35/84/26/715358426.db2.gz QNOKBORWJNRBOG-NSHDSACASA-N -1 1 321.352 1.258 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)C2CC=CC2)C1)c1ncccc1[O-] ZINC000937861211 715617538 /nfs/dbraw/zinc/61/75/38/715617538.db2.gz QHEICXKCPJYTPV-LBPRGKRZSA-N -1 1 301.346 1.084 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957273493 715809508 /nfs/dbraw/zinc/80/95/08/715809508.db2.gz CTRTXVIIOWODSF-UVWXRNBGSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(C1CCCC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959840771 716312462 /nfs/dbraw/zinc/31/24/62/716312462.db2.gz YMFZSSATZVUYOM-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM CC(C)(C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940126026 716638005 /nfs/dbraw/zinc/63/80/05/716638005.db2.gz QJYOTMBAIDGIBY-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940130191 716641237 /nfs/dbraw/zinc/64/12/37/716641237.db2.gz IVPOAKVEWMHJMH-ZYHUDNBSSA-N -1 1 309.341 1.112 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C(F)F)C1 ZINC000958986178 716796556 /nfs/dbraw/zinc/79/65/56/716796556.db2.gz AFYIAOFVTOJZOL-RKDXNWHRSA-N -1 1 313.304 1.019 20 0 DDADMM CC1CC(C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000940697105 716919855 /nfs/dbraw/zinc/91/98/55/716919855.db2.gz KKHMOQAKZUFBRW-UHFFFAOYSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@H]1CN(C(=O)C2CCC2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959315800 716953301 /nfs/dbraw/zinc/95/33/01/716953301.db2.gz RSVDEFQSGFMIDF-WCQYABFASA-N -1 1 317.389 1.412 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H]2[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962775031 717583900 /nfs/dbraw/zinc/58/39/00/717583900.db2.gz JFBAQQIKLZNMLP-OLZOCXBDSA-N -1 1 317.389 1.649 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC000942758694 717858559 /nfs/dbraw/zinc/85/85/59/717858559.db2.gz QHCXCTDDKGXIAK-TWEXNZEWSA-N -1 1 317.389 1.816 20 0 DDADMM CC(=O)N1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H](C)C1 ZINC000945082339 718388730 /nfs/dbraw/zinc/38/87/30/718388730.db2.gz QHPZIUODRUOOBK-TVQRCGJNSA-N -1 1 318.377 1.046 20 0 DDADMM CC(=O)N[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1C ZINC000945327662 718441784 /nfs/dbraw/zinc/44/17/84/718441784.db2.gz MKBYHQQCPUBJLP-ZANVPECISA-N -1 1 318.377 1.046 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000945597160 718504954 /nfs/dbraw/zinc/50/49/54/718504954.db2.gz MMRZHDQSLNXTSW-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(C)(C)F ZINC000966324495 718515324 /nfs/dbraw/zinc/51/53/24/718515324.db2.gz AESYRKASZGYWBH-VHSXEESVSA-N -1 1 309.341 1.112 20 0 DDADMM O=C(CC1CC1)N[C@@H]1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946092181 718676810 /nfs/dbraw/zinc/67/68/10/718676810.db2.gz VNYYLSLBOZGHGG-CHWSQXEVSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(CC1CCCCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000966834696 718677762 /nfs/dbraw/zinc/67/77/62/718677762.db2.gz KTOMBPILGCEGJT-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM CC(=O)NC[C@@H]1CCC[C@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000946206591 718711492 /nfs/dbraw/zinc/71/14/92/718711492.db2.gz ORKFCZXBORBWFP-WCQYABFASA-N -1 1 318.377 1.094 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC23CC3)C1 ZINC000967904316 719081344 /nfs/dbraw/zinc/08/13/44/719081344.db2.gz JJYDWGPUCHVWEM-GFCCVEGCSA-N -1 1 315.373 1.260 20 0 DDADMM CS[C@H](C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000947637392 719232475 /nfs/dbraw/zinc/23/24/75/719232475.db2.gz QMRHRUBVAXCDEX-GMTAPVOTSA-N -1 1 323.418 1.115 20 0 DDADMM Cc1[nH]ccc1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949534721 720145405 /nfs/dbraw/zinc/14/54/05/720145405.db2.gz DSUDMNWCDDHYLC-LLVKDONJSA-N -1 1 314.345 1.068 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)C1(F)CCCC1)c1ncccc1[O-] ZINC000949537977 720146770 /nfs/dbraw/zinc/14/67/70/720146770.db2.gz DMRDYBKDEYFASO-LLVKDONJSA-N -1 1 321.352 1.400 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(Cc2cncs2)C1 ZINC000969541317 720180232 /nfs/dbraw/zinc/18/02/32/720180232.db2.gz BJYWSKBSSIIBPX-JTQLQIEISA-N -1 1 318.402 1.494 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2ccccn2)C1 ZINC000949948000 720426809 /nfs/dbraw/zinc/42/68/09/720426809.db2.gz GKLGXHATOJXAIA-UHFFFAOYSA-N -1 1 312.373 1.529 20 0 DDADMM CCCC(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC000953887736 721674446 /nfs/dbraw/zinc/67/44/46/721674446.db2.gz CORUBEVUFGGSII-UHFFFAOYSA-N -1 1 305.378 1.650 20 0 DDADMM O=C(NC[C@@H]1CC[N@H+]1CC[C@H]1CCCO1)c1ncccc1[O-] ZINC001038193351 733194622 /nfs/dbraw/zinc/19/46/22/733194622.db2.gz IDXWDALKEJKVTI-QWHCGFSZSA-N -1 1 305.378 1.160 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001167382433 733347538 /nfs/dbraw/zinc/34/75/38/733347538.db2.gz VJAILKDIZSZDKU-NEPJUHHUSA-N -1 1 321.425 1.323 20 0 DDADMM O=C(NC[C@H]1CCCN1Cc1csnn1)c1ncccc1[O-] ZINC001027844134 738726477 /nfs/dbraw/zinc/72/64/77/738726477.db2.gz MQDQGUIXQGCRAI-LLVKDONJSA-N -1 1 319.390 1.033 20 0 DDADMM O=C(NC[C@H]1CCCN1Cc1nccs1)c1ncccc1[O-] ZINC001027843909 738726877 /nfs/dbraw/zinc/72/68/77/738726877.db2.gz JAWQFIRVEDWBBU-LLVKDONJSA-N -1 1 318.402 1.638 20 0 DDADMM O=C1O[C@H](c2ccccc2)CC1=C([O-])N=S1(=O)CCOCC1 ZINC000891368260 733578315 /nfs/dbraw/zinc/57/83/15/733578315.db2.gz CUTQZIDRDKXFKH-OLZOCXBDSA-N -1 1 323.370 1.316 20 0 DDADMM O=C(CN1CCCCCC1=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891626433 734435120 /nfs/dbraw/zinc/43/51/20/734435120.db2.gz MSIUZXMPXYQKBF-UHFFFAOYSA-N -1 1 318.377 1.402 20 0 DDADMM Cc1cc(C)nc(NC[C@@H](C)N(C)C(=O)c2ncccc2[O-])n1 ZINC001104535923 736198952 /nfs/dbraw/zinc/19/89/52/736198952.db2.gz CVNDUUTZKQMKMQ-GFCCVEGCSA-N -1 1 315.377 1.767 20 0 DDADMM CC(C)(C)[C@H](CNc1ncccn1)NC(=O)c1ncccc1[O-] ZINC001125857945 736790899 /nfs/dbraw/zinc/79/08/99/736790899.db2.gz QSRAYCSYTLNPAO-LBPRGKRZSA-N -1 1 315.377 1.834 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000974055136 737349311 /nfs/dbraw/zinc/34/93/11/737349311.db2.gz BPKSZIVWZRZSBL-LPWJVIDDSA-N -1 1 317.389 1.600 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C(C)C ZINC000692807875 737970823 /nfs/dbraw/zinc/97/08/23/737970823.db2.gz VWMCFSNXVKGEQP-QMMMGPOBSA-N -1 1 310.778 1.823 20 0 DDADMM Cc1nnc([C@@H](C)NCCNC(=O)c2ncccc2[O-])s1 ZINC001126125328 738346232 /nfs/dbraw/zinc/34/62/32/738346232.db2.gz SEXHROSJWOANCA-MRVPVSSYSA-N -1 1 307.379 1.028 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2CCc2ccccc2)c1[O-] ZINC001038160284 739146542 /nfs/dbraw/zinc/14/65/42/739146542.db2.gz DJDATKSMHWBJBE-CQSZACIVSA-N -1 1 314.389 1.471 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2C[C@H]2CC(C)(C)CO2)c1[O-] ZINC001038164304 739248554 /nfs/dbraw/zinc/24/85/54/739248554.db2.gz IPDOYBLPHBEVMC-NWDGAFQWSA-N -1 1 322.409 1.043 20 0 DDADMM CC(C)(C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000997985665 751440248 /nfs/dbraw/zinc/44/02/48/751440248.db2.gz GVJIBWKFDPZENW-UHFFFAOYSA-N -1 1 303.362 1.164 20 0 DDADMM O=[P@]([O-])(O)C1(Nc2ccncc2F)Cc2ccccc2C1 ZINC001167935019 739727202 /nfs/dbraw/zinc/72/72/02/739727202.db2.gz NHZSBRKJGKCUJJ-UHFFFAOYSA-N -1 1 308.249 1.727 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059059857 739812259 /nfs/dbraw/zinc/81/22/59/739812259.db2.gz GZDCXVFWOINSOH-CYBMUJFWSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(C1CCCC1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088248685 741194760 /nfs/dbraw/zinc/19/47/60/741194760.db2.gz YCLQPXDZAFPMKJ-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)C2CC(C)(C)C2)C1 ZINC001029727602 741257428 /nfs/dbraw/zinc/25/74/28/741257428.db2.gz VWJMVEBEJGZVSC-LLVKDONJSA-N -1 1 321.425 1.227 20 0 DDADMM CCC(CC)C(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001029936690 741609829 /nfs/dbraw/zinc/60/98/29/741609829.db2.gz VNGQNUISPNWGBL-UHFFFAOYSA-N -1 1 323.441 1.617 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]CCc1cnn(C)c1 ZINC000093249185 741853751 /nfs/dbraw/zinc/85/37/51/741853751.db2.gz NHLQYGIUCODTBP-UHFFFAOYSA-N -1 1 320.827 1.359 20 0 DDADMM C[C@@H](CCNC(=O)CC1CCC1)NC(=O)c1ncccc1[O-] ZINC001076042904 742504761 /nfs/dbraw/zinc/50/47/61/742504761.db2.gz ABPRKUZMIIVHHJ-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM Cc1ocnc1C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244252 742623142 /nfs/dbraw/zinc/62/31/42/742623142.db2.gz ODXKKIGQWHJFTD-VIFPVBQESA-N -1 1 318.333 1.022 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)CC1CCN(C(C)=O)CC1 ZINC001180653349 742876360 /nfs/dbraw/zinc/87/63/60/742876360.db2.gz DJNMVJOGYKOVNN-UHFFFAOYSA-N -1 1 322.365 1.174 20 0 DDADMM Cc1ccncc1C=CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001168693119 743050847 /nfs/dbraw/zinc/05/08/47/743050847.db2.gz GGZBMWNDYCARSF-PTZVLDCSSA-N -1 1 320.356 1.822 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002346082 743064604 /nfs/dbraw/zinc/06/46/04/743064604.db2.gz QBAWBKZCQLFWJN-VEIWZVCTSA-N -1 1 317.389 1.816 20 0 DDADMM CSc1nc(NC(=O)[C@@H]2COc3ccccc3O2)cc(=O)[n-]1 ZINC001181895586 743382334 /nfs/dbraw/zinc/38/23/34/743382334.db2.gz BBXYKDNKHHZFIX-JTQLQIEISA-N -1 1 319.342 1.683 20 0 DDADMM CCc1ccc(C(C)(C)C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001183505605 743849146 /nfs/dbraw/zinc/84/91/46/743849146.db2.gz OKNQVTSTDCATDE-UHFFFAOYSA-N -1 1 316.361 1.949 20 0 DDADMM O=C(NC1CN(Cc2ccc(F)c(F)c2)C1)c1ncccc1[O-] ZINC001030239687 743976206 /nfs/dbraw/zinc/97/62/06/743976206.db2.gz LUZUHLGHJLARMS-UHFFFAOYSA-N -1 1 319.311 1.680 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2[nH]c(=O)ccc2Cl)n1 ZINC001184798198 744102579 /nfs/dbraw/zinc/10/25/79/744102579.db2.gz HLIDJJZDRGZHIQ-UHFFFAOYSA-N -1 1 310.697 1.019 20 0 DDADMM O=S(=O)([N-]c1cccnc1F)c1ccc(-c2nn[nH]n2)cc1 ZINC001185566659 744248564 /nfs/dbraw/zinc/24/85/64/744248564.db2.gz QILMAUIJOHNPQM-UHFFFAOYSA-N -1 1 320.309 1.202 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1scnc1C1CC1 ZINC001185699175 744269519 /nfs/dbraw/zinc/26/95/19/744269519.db2.gz MKNGTSAMZIITBU-UHFFFAOYSA-N -1 1 318.318 1.302 20 0 DDADMM CC(C)(C)C(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001060380228 744270531 /nfs/dbraw/zinc/27/05/31/744270531.db2.gz HYSZHAQOEAFVMJ-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM CN(C)S(=O)(=O)c1ccc([O-])c(NC(=O)NCC2CC2)c1 ZINC001186622864 744422643 /nfs/dbraw/zinc/42/26/43/744422643.db2.gz NLLJNSAHEGWINL-UHFFFAOYSA-N -1 1 313.379 1.174 20 0 DDADMM O=C(NCc1cc2ccccn2n1)c1n[n-]nc1C(F)(F)F ZINC001187773407 744600292 /nfs/dbraw/zinc/60/02/92/744600292.db2.gz FTHOHJWYCAZHJQ-UHFFFAOYSA-N -1 1 310.239 1.401 20 0 DDADMM COC(=O)c1c(Cl)nccc1[N-]S(=O)(=O)C1CCC1 ZINC001188104912 744660347 /nfs/dbraw/zinc/66/03/47/744660347.db2.gz NNEXNKPQAQOGNR-UHFFFAOYSA-N -1 1 304.755 1.816 20 0 DDADMM CCN(C)c1ncc(NC(=O)c2ncc(C(F)(F)F)[n-]2)cn1 ZINC001188280760 744686529 /nfs/dbraw/zinc/68/65/29/744686529.db2.gz LHHFEWHHFPFJHI-UHFFFAOYSA-N -1 1 314.271 1.927 20 0 DDADMM CCN(C)c1ncc(NC(=O)c2nc(C(F)(F)F)c[n-]2)cn1 ZINC001188280760 744686530 /nfs/dbraw/zinc/68/65/30/744686530.db2.gz LHHFEWHHFPFJHI-UHFFFAOYSA-N -1 1 314.271 1.927 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1c(Cl)cccc1OC ZINC001188388395 744697250 /nfs/dbraw/zinc/69/72/50/744697250.db2.gz GXHVUFNWYJBNAK-UHFFFAOYSA-N -1 1 307.755 1.653 20 0 DDADMM Cc1cc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)c(C)[nH]1 ZINC001188990944 744804739 /nfs/dbraw/zinc/80/47/39/744804739.db2.gz LPXGKDOAKCSUKF-UHFFFAOYSA-N -1 1 304.310 1.843 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)Cc2cc(F)ccc2F)no1 ZINC001189645220 744932962 /nfs/dbraw/zinc/93/29/62/744932962.db2.gz SLZQLWVTIVOSHB-UHFFFAOYSA-N -1 1 303.290 1.276 20 0 DDADMM CCOC(=O)CNC(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001189875733 745012354 /nfs/dbraw/zinc/01/23/54/745012354.db2.gz FIYAGJMOKJVOPW-UHFFFAOYSA-N -1 1 304.306 1.263 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCCF)c1cccc(F)c1 ZINC001189934235 745057015 /nfs/dbraw/zinc/05/70/15/745057015.db2.gz XXXMJXZVNMYRMP-LLVKDONJSA-N -1 1 307.318 1.319 20 0 DDADMM COc1ccc(-c2cccc(C(=O)NCc3nn[n-]n3)c2)cc1 ZINC001190333849 745184671 /nfs/dbraw/zinc/18/46/71/745184671.db2.gz ZEHYNIZLWLZNJD-UHFFFAOYSA-N -1 1 309.329 1.805 20 0 DDADMM Cc1c(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cnn1C(C)(C)C ZINC001190838962 745347174 /nfs/dbraw/zinc/34/71/74/745347174.db2.gz JEDNMVAIBAUQAS-UHFFFAOYSA-N -1 1 315.337 1.571 20 0 DDADMM CSc1ncc(C(=O)Nc2[nH]nc3cccnc32)c(=O)[n-]1 ZINC001191420297 745506649 /nfs/dbraw/zinc/50/66/49/745506649.db2.gz LKSMBLYSJLIMAD-UHFFFAOYSA-N -1 1 302.319 1.428 20 0 DDADMM CSc1ncc(C(=O)Nc2ncccc2CCO)c(=O)[n-]1 ZINC001191429509 745509629 /nfs/dbraw/zinc/50/96/29/745509629.db2.gz NSRHSZUJJVQHHR-UHFFFAOYSA-N -1 1 306.347 1.086 20 0 DDADMM COC(CCCNC(=O)c1cnc(SC)[n-]c1=O)OC ZINC001191439911 745512512 /nfs/dbraw/zinc/51/25/12/745512512.db2.gz HGOMEFHOTKILRX-UHFFFAOYSA-N -1 1 301.368 1.033 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCCc3nccnc32)c(=O)[n-]1 ZINC001191439932 745512933 /nfs/dbraw/zinc/51/29/33/745512933.db2.gz IIQLUZALWLYIFF-SNVBAGLBSA-N -1 1 317.374 1.502 20 0 DDADMM O=C(c1nc(Br)ccc1[O-])N1CCc2nc[nH]c2C1 ZINC001191737457 745599218 /nfs/dbraw/zinc/59/92/18/745599218.db2.gz QZYCNIXLIPHEGB-UHFFFAOYSA-N -1 1 323.150 1.471 20 0 DDADMM O=C(NC[C@@H](O)COc1ccccc1)c1cc([O-])cc(F)c1F ZINC001192670937 745856324 /nfs/dbraw/zinc/85/63/24/745856324.db2.gz RCPQZTKTDBJYDP-LLVKDONJSA-N -1 1 323.295 1.840 20 0 DDADMM COCC1(CNC(=O)c2cc([O-])cc(F)c2F)CCOCC1 ZINC001192683528 745861976 /nfs/dbraw/zinc/86/19/76/745861976.db2.gz FNVDFSFIXBAPSW-UHFFFAOYSA-N -1 1 315.316 1.843 20 0 DDADMM CC(C)(C)c1cnc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cn1 ZINC001192783671 745891787 /nfs/dbraw/zinc/89/17/87/745891787.db2.gz XFCBKUCXFGMOKO-UHFFFAOYSA-N -1 1 318.293 1.626 20 0 DDADMM Cc1nc(Cl)c([N-]S(=O)(=O)C[C@H]2CCCO2)nc1C ZINC001193208354 746013882 /nfs/dbraw/zinc/01/38/82/746013882.db2.gz VVVBDZKWHXPRKY-SECBINFHSA-N -1 1 305.787 1.668 20 0 DDADMM COc1ccnc(C(=O)Nc2cnc(C(F)(F)F)nc2)c1[O-] ZINC001193524657 746133469 /nfs/dbraw/zinc/13/34/69/746133469.db2.gz HDGZKSXOSSSCDO-UHFFFAOYSA-N -1 1 314.223 1.857 20 0 DDADMM COc1ccnc(C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)c1[O-] ZINC001193527528 746134001 /nfs/dbraw/zinc/13/40/01/746134001.db2.gz DBKUIKCFRNVGPQ-JTQLQIEISA-N -1 1 302.334 1.539 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccc(C3=NCCO3)cc2)[n-]n1 ZINC001194289116 746345027 /nfs/dbraw/zinc/34/50/27/746345027.db2.gz XQVLWQWBOUDJIP-UHFFFAOYSA-N -1 1 314.301 1.225 20 0 DDADMM O=S(=O)([N-]Cc1cccnc1)c1ncccc1C(F)(F)F ZINC001194529007 746403000 /nfs/dbraw/zinc/40/30/00/746403000.db2.gz FEZVYKJKTVIYRN-UHFFFAOYSA-N -1 1 317.292 1.974 20 0 DDADMM O=S(=O)([N-]Cc1cccnn1)c1ncccc1C(F)(F)F ZINC001194578850 746413452 /nfs/dbraw/zinc/41/34/52/746413452.db2.gz VHAPJKDTCHPLKT-UHFFFAOYSA-N -1 1 318.280 1.369 20 0 DDADMM CN1CCC[C@H](NC(=O)c2c([O-])c(F)c(F)c(F)c2F)C1 ZINC001195310672 746572941 /nfs/dbraw/zinc/57/29/41/746572941.db2.gz FASGNJJXHCMAFH-LURJTMIESA-N -1 1 306.259 1.773 20 0 DDADMM NC(=O)c1cc(F)cc([N-]S(=O)(=O)c2ccc(O)cc2)c1 ZINC001195802446 746709996 /nfs/dbraw/zinc/70/99/96/746709996.db2.gz CZCCHWUDFHQTJE-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM O=C(CNS(=O)(=O)c1ccc(O)cc1)c1ccc([O-])cc1 ZINC001195834574 746720892 /nfs/dbraw/zinc/72/08/92/746720892.db2.gz CXRBNLOJFBDKTN-UHFFFAOYSA-N -1 1 307.327 1.259 20 0 DDADMM CCOc1ncc(NC(=O)c2c[nH]c(=S)[n-]c2=O)cc1F ZINC001196008700 746753923 /nfs/dbraw/zinc/75/39/23/746753923.db2.gz GXLMIAZOIBHYNO-UHFFFAOYSA-N -1 1 310.310 1.656 20 0 DDADMM O=C(Nc1nc(Cl)cc2nc[nH]c21)c1c[nH]c(=S)[n-]c1=O ZINC001196014482 746756412 /nfs/dbraw/zinc/75/64/12/746756412.db2.gz QOLIKEFTCFNTMN-UHFFFAOYSA-N -1 1 322.737 1.648 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC(c2ncccn2)CC1 ZINC001196015025 746757784 /nfs/dbraw/zinc/75/77/84/746757784.db2.gz YFWOUPBMYPLDDM-UHFFFAOYSA-N -1 1 317.374 1.281 20 0 DDADMM O=C(Nc1cccc2c1NC(=O)NC2)c1c[nH]c(=S)[n-]c1=O ZINC001196020571 746759268 /nfs/dbraw/zinc/75/92/68/746759268.db2.gz KEPWFGHKRHPULB-UHFFFAOYSA-N -1 1 317.330 1.358 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccccc1)c1c[nH]c(=S)[n-]c1=O ZINC001196023224 746760343 /nfs/dbraw/zinc/76/03/43/746760343.db2.gz GTCIGKPYUPTVQM-LLVKDONJSA-N -1 1 319.386 1.052 20 0 DDADMM O=C(N[C@@H](c1ccnc(F)c1)C1CC1)c1c[nH]c(=S)[n-]c1=O ZINC001196026754 746766059 /nfs/dbraw/zinc/76/60/59/746766059.db2.gz GQVARTJCNIALME-LLVKDONJSA-N -1 1 320.349 1.886 20 0 DDADMM COc1ncncc1C(=O)[N-]c1nnc(SC)nc1SC ZINC001196528738 746887226 /nfs/dbraw/zinc/88/72/26/746887226.db2.gz OMWLUGJLDPWMLK-UHFFFAOYSA-N -1 1 324.391 1.366 20 0 DDADMM COC(=O)c1oc(C(=O)[N-]c2nc3ccccn3n2)cc1C ZINC001127441996 746932400 /nfs/dbraw/zinc/93/24/00/746932400.db2.gz ZEWKBVUTEHOLKR-UHFFFAOYSA-N -1 1 300.274 1.670 20 0 DDADMM O=S(=O)(Cc1c(F)cccc1F)[N-]c1cnc2ccnn2c1 ZINC001197566326 747201664 /nfs/dbraw/zinc/20/16/64/747201664.db2.gz FFWCVIKROOPRBN-UHFFFAOYSA-N -1 1 324.312 1.949 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)Cc2ccccn2)cs1 ZINC001197783088 747262990 /nfs/dbraw/zinc/26/29/90/747262990.db2.gz POGXLGPZIXHGNW-UHFFFAOYSA-N -1 1 312.372 1.872 20 0 DDADMM NC(=O)c1csc(C(=O)[N-]c2nnc(-c3ccco3)o2)c1 ZINC001197953899 747322084 /nfs/dbraw/zinc/32/20/84/747322084.db2.gz IEYFLHADZRFMOO-UHFFFAOYSA-N -1 1 304.287 1.742 20 0 DDADMM CSc1nc(NS(=O)(=O)c2cc(C)ccn2)cc(=O)[n-]1 ZINC001197963935 747324721 /nfs/dbraw/zinc/32/47/21/747324721.db2.gz XCPYTYSKVCQPJJ-UHFFFAOYSA-N -1 1 312.376 1.408 20 0 DDADMM CC(C)Oc1nccnc1C(=O)[N-]c1ncn(C)c2ncnc1-2 ZINC001198048244 747347553 /nfs/dbraw/zinc/34/75/53/747347553.db2.gz OVSWOBXMHIPQQF-UHFFFAOYSA-N -1 1 313.321 1.144 20 0 DDADMM Cc1ncn(C)c1[N-]S(=O)(=O)c1ccc2nccnc2c1 ZINC001198116013 747386935 /nfs/dbraw/zinc/38/69/35/747386935.db2.gz GOINZKQNPRATOB-UHFFFAOYSA-N -1 1 303.347 1.473 20 0 DDADMM CC(C)Oc1cccc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)n1 ZINC001199035277 747683525 /nfs/dbraw/zinc/68/35/25/747683525.db2.gz UCQJQTDOCZSPRN-UHFFFAOYSA-N -1 1 319.277 1.720 20 0 DDADMM O=C(NC[C@H]1CCCN1c1cnc(F)cn1)c1ncccc1[O-] ZINC001061342982 747690536 /nfs/dbraw/zinc/69/05/36/747690536.db2.gz FBGLATKVBJEGJF-SNVBAGLBSA-N -1 1 317.324 1.115 20 0 DDADMM Cc1cnc(CN2CC[C@H](N(C)C(=O)c3ncccc3[O-])C2)o1 ZINC001033025073 747959695 /nfs/dbraw/zinc/95/96/95/747959695.db2.gz IWZCGXNOJDYURH-LBPRGKRZSA-N -1 1 316.361 1.430 20 0 DDADMM O=C(NCC[P@@](=O)([O-])O)Nc1ccc(Br)cc1 ZINC001202338484 748744623 /nfs/dbraw/zinc/74/46/23/748744623.db2.gz BWFOVGDVNDIXPF-UHFFFAOYSA-N -1 1 323.083 1.748 20 0 DDADMM CC(C)c1nsc(NC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108322846 761931989 /nfs/dbraw/zinc/93/19/89/761931989.db2.gz DHLROESLKMQYID-VIFPVBQESA-N -1 1 321.406 1.993 20 0 DDADMM C[C@@H](C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C1CCC1 ZINC000995965248 749304193 /nfs/dbraw/zinc/30/41/93/749304193.db2.gz IIWIFTCKDBMWER-ZYHUDNBSSA-N -1 1 321.425 1.273 20 0 DDADMM O=C(NC/C=C/CNc1nccnc1F)c1ncccc1[O-] ZINC001107141348 749370871 /nfs/dbraw/zinc/37/08/71/749370871.db2.gz BLQGNVIIHFTIJB-OWOJBTEDSA-N -1 1 303.297 1.114 20 0 DDADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)C1CC1)c1ncccc1[O-] ZINC000996144799 749394972 /nfs/dbraw/zinc/39/49/72/749394972.db2.gz OZLQAHKBKBBWFJ-WOPDTQHZSA-N -1 1 301.346 1.059 20 0 DDADMM Cc1ccnc(N(C)[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001056901078 761996312 /nfs/dbraw/zinc/99/63/12/761996312.db2.gz WGPPVULLZOYKKU-GFCCVEGCSA-N -1 1 313.361 1.237 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])c1ncc(F)cn1 ZINC001095863129 750602491 /nfs/dbraw/zinc/60/24/91/750602491.db2.gz XCQNESPVPLNWMJ-UHFFFAOYSA-N -1 1 319.340 1.363 20 0 DDADMM O=C(C[C@@H]1CC=CCC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000997458696 750937858 /nfs/dbraw/zinc/93/78/58/750937858.db2.gz JNBXIXPUGHJJRA-GFCCVEGCSA-N -1 1 315.373 1.474 20 0 DDADMM O=P([O-])([O-])OCCNc1[nH+]cnc2[nH]c3c(c21)CCCC3 ZINC001168586290 751176984 /nfs/dbraw/zinc/17/69/84/751176984.db2.gz IIEFDMAACWZKIM-UHFFFAOYSA-N -1 1 312.266 1.358 20 0 DDADMM CC(C)CC(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005953610 753414912 /nfs/dbraw/zinc/41/49/12/753414912.db2.gz TZWPHUCCNDBCRQ-NSHDSACASA-N -1 1 305.378 1.410 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@H](CNC(=O)c2ncccc2[O-])C[C@H]1C ZINC001010099947 753612540 /nfs/dbraw/zinc/61/25/40/753612540.db2.gz WCGBYWQAOGRILE-GKADRNHJSA-N -1 1 317.389 1.720 20 0 DDADMM CC1CC(C(=O)NC2(CNC(=O)c3ncccc3[O-])CCC2)C1 ZINC001062839562 753760238 /nfs/dbraw/zinc/76/02/38/753760238.db2.gz VPWDBPQBXXNWGZ-UHFFFAOYSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C2=CCCC2)CN1C(=O)c1ncccc1[O-] ZINC001012510385 755115979 /nfs/dbraw/zinc/11/59/79/755115979.db2.gz KUFCANBFQWVBFR-WCQYABFASA-N -1 1 315.373 1.617 20 0 DDADMM C/C=C(\C)C(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079578535 755452889 /nfs/dbraw/zinc/45/28/89/755452889.db2.gz KNXMJDPPEGJCAP-NYYWCZLTSA-N -1 1 303.362 1.426 20 0 DDADMM CCCC(=O)N1CC[C@@]2(NC(=O)c3ncccc3[O-])CCC[C@H]12 ZINC001014252127 755663236 /nfs/dbraw/zinc/66/32/36/755663236.db2.gz FKURNUVZFJYXLK-GUYCJALGSA-N -1 1 317.389 1.841 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C2CC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014664448 755866914 /nfs/dbraw/zinc/86/69/14/755866914.db2.gz KKFYQENGMYZKDU-PWSUYJOCSA-N -1 1 303.362 1.307 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)/C=C\C1CC1 ZINC001067085776 755873170 /nfs/dbraw/zinc/87/31/70/755873170.db2.gz CZGMAXHDVLVFOO-HJWRWDBZSA-N -1 1 317.389 1.674 20 0 DDADMM C[C@@H](Nc1ncccn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001065696890 758713194 /nfs/dbraw/zinc/71/31/94/758713194.db2.gz ASAZLDQEYBWFDI-NEPJUHHUSA-N -1 1 313.361 1.540 20 0 DDADMM O=C(CC1=CCCCC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018626617 759044270 /nfs/dbraw/zinc/04/42/70/759044270.db2.gz ARANKYMIEXVAGZ-LBPRGKRZSA-N -1 1 305.382 1.091 20 0 DDADMM O=C(CC1CC1)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962803 759453885 /nfs/dbraw/zinc/45/38/85/759453885.db2.gz ZZRWYEGXDOAHPL-UHFFFAOYSA-N -1 1 315.373 1.262 20 0 DDADMM CN(C[C@@H]1CCN1Cc1cncs1)C(=O)c1ncccc1[O-] ZINC001085561297 759697381 /nfs/dbraw/zinc/69/73/81/759697381.db2.gz IKJQYVYRWJUZLS-NSHDSACASA-N -1 1 318.402 1.590 20 0 DDADMM Cc1[nH]nc(C(=O)N2C[C@@H](C)[C@@H](NCc3nccs3)C2)c1[O-] ZINC001054570975 759829872 /nfs/dbraw/zinc/82/98/72/759829872.db2.gz JWQMNIARPYZDTR-SCZZXKLOSA-N -1 1 321.406 1.131 20 0 DDADMM CN(C(=O)c1cnn(-c2ccccc2Cl)c1)c1nn[n-]n1 ZINC001131169327 767916824 /nfs/dbraw/zinc/91/68/24/767916824.db2.gz FQQGEVGAAAITTH-UHFFFAOYSA-N -1 1 303.713 1.315 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCCC1 ZINC001019632550 760121985 /nfs/dbraw/zinc/12/19/85/760121985.db2.gz QWRYKRZMRWPGAU-JQWIXIFHSA-N -1 1 307.398 1.027 20 0 DDADMM O=C(NC[C@H]1C[C@H](Nc2nccnc2F)C1)c1ncccc1[O-] ZINC001046796388 767932283 /nfs/dbraw/zinc/93/22/83/767932283.db2.gz GEZWIOPKNRKQQI-MGCOHNPYSA-N -1 1 317.324 1.337 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1CCCc2c[nH]nc21 ZINC001137327233 760307955 /nfs/dbraw/zinc/30/79/55/760307955.db2.gz KMHQXFNOIJZPNU-QMMMGPOBSA-N -1 1 305.363 1.310 20 0 DDADMM CCC(CC)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001538258 762945320 /nfs/dbraw/zinc/94/53/20/762945320.db2.gz SLBDFULEFATSLN-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM O=C(NCC1CN(C(=O)C2(F)CCCC2)C1)c1ncccc1[O-] ZINC001001544768 762948733 /nfs/dbraw/zinc/94/87/33/762948733.db2.gz JSSSSGLVOMPNRP-UHFFFAOYSA-N -1 1 321.352 1.258 20 0 DDADMM C[C@@H](NC(=O)CC1CC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530272 763715795 /nfs/dbraw/zinc/71/57/95/763715795.db2.gz MDZAPISITALPKE-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](NC(=O)CC1CC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530276 763715820 /nfs/dbraw/zinc/71/58/20/763715820.db2.gz MDZAPISITALPKE-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CCCF)C1 ZINC001051346504 764766522 /nfs/dbraw/zinc/76/65/22/764766522.db2.gz DCEDILFFULAEMR-NEPJUHHUSA-N -1 1 323.368 1.504 20 0 DDADMM Cc1ccsc1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044900948 765893026 /nfs/dbraw/zinc/89/30/26/765893026.db2.gz GAPVSQLLCZLIMK-JTQLQIEISA-N -1 1 321.406 1.275 20 0 DDADMM Cc1ccnc(N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001113574711 765895359 /nfs/dbraw/zinc/89/53/59/765895359.db2.gz DKCLCAMUWNSJMY-NSHDSACASA-N -1 1 301.350 1.140 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)c1ncncc1Cl ZINC001113575609 765897049 /nfs/dbraw/zinc/89/70/49/765897049.db2.gz ZJPQYPSNAWFHSO-VIFPVBQESA-N -1 1 321.768 1.485 20 0 DDADMM O=C(N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C12CCC(CC1)C2 ZINC001045094558 766092332 /nfs/dbraw/zinc/09/23/32/766092332.db2.gz GUZOVVICSHEAPA-BGMSHATGSA-N -1 1 319.409 1.171 20 0 DDADMM O=C(NC1CCN(c2cc(F)ncn2)CC1)c1ncccc1[O-] ZINC001057861743 766105201 /nfs/dbraw/zinc/10/52/01/766105201.db2.gz OQCGBCLPSNMXLU-UHFFFAOYSA-N -1 1 317.324 1.115 20 0 DDADMM Cc1c(Cl)nc(N(C)C)nc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170227665 766201717 /nfs/dbraw/zinc/20/17/17/766201717.db2.gz RYRQHWWIULEQNW-UHFFFAOYSA-N -1 1 320.744 1.620 20 0 DDADMM O=C(N[C@@H]1CCN(c2ncc(Cl)cn2)C1)c1ncccc1[O-] ZINC001058344112 766437055 /nfs/dbraw/zinc/43/70/55/766437055.db2.gz RESNOKJLSRHXRJ-SNVBAGLBSA-N -1 1 319.752 1.239 20 0 DDADMM Cc1nocc1CN1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001046259448 767314013 /nfs/dbraw/zinc/31/40/13/767314013.db2.gz MFRTUYDRASTBGN-INIZCTEOSA-N -1 1 316.361 1.478 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC[C@H](Cc2ccccc2)C1 ZINC001121673466 782619585 /nfs/dbraw/zinc/61/95/85/782619585.db2.gz AHRUQRUDMWMBDZ-CYBMUJFWSA-N -1 1 324.392 1.547 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC[C@H](Cc2ccccc2)C1 ZINC001121673466 782619588 /nfs/dbraw/zinc/61/95/88/782619588.db2.gz AHRUQRUDMWMBDZ-CYBMUJFWSA-N -1 1 324.392 1.547 20 0 DDADMM CCCCCC[C@@H](CC)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001132328314 768757131 /nfs/dbraw/zinc/75/71/31/768757131.db2.gz ITURNSUERDLFIU-GFCCVEGCSA-N -1 1 311.430 1.713 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCC[C@H]2c2nncn2C)c1 ZINC001137988232 770061455 /nfs/dbraw/zinc/06/14/55/770061455.db2.gz HFZTWLQFGJLJJD-ZDUSSCGKSA-N -1 1 314.345 1.619 20 0 DDADMM CCC[C@](C)(NC(=O)c1cc(C)cc(C=O)c1[O-])C(=O)OC ZINC001136354775 771944679 /nfs/dbraw/zinc/94/46/79/771944679.db2.gz YAVYRKMJDGLCAG-INIZCTEOSA-N -1 1 307.346 1.975 20 0 DDADMM O=C(Nc1cc(CCc2ccccc2)[nH]n1)c1cnncc1[O-] ZINC001136942968 772222241 /nfs/dbraw/zinc/22/22/41/772222241.db2.gz UOYWDHMMNMTZSW-UHFFFAOYSA-N -1 1 309.329 1.943 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C)cnc2F)cc(=O)[n-]1 ZINC001143621056 772280996 /nfs/dbraw/zinc/28/09/96/772280996.db2.gz ZWLDKWYEBBBFPQ-UHFFFAOYSA-N -1 1 308.338 1.677 20 0 DDADMM Cc1c[nH]c(-c2ccc(NC(=O)CCCc3nn[n-]n3)cc2)n1 ZINC001143956932 772346847 /nfs/dbraw/zinc/34/68/47/772346847.db2.gz CTTLAQWFRRHUMD-UHFFFAOYSA-N -1 1 311.349 1.860 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cccc2ccsc21 ZINC001146649186 772913140 /nfs/dbraw/zinc/91/31/40/772913140.db2.gz INBYQZNFATZGBH-UHFFFAOYSA-N -1 1 317.374 1.245 20 0 DDADMM COc1cnc(C(=O)[N-]c2nnc(Br)s2)nc1 ZINC001147028659 773021867 /nfs/dbraw/zinc/02/18/67/773021867.db2.gz AOKRTOUHOFBVDZ-UHFFFAOYSA-N -1 1 316.140 1.352 20 0 DDADMM Cc1nnc2cc(NC(=O)c3c(CO)cnc(C)c3[O-])ccn12 ZINC001147832906 773260207 /nfs/dbraw/zinc/26/02/07/773260207.db2.gz AGBHNJYZKHJMHB-UHFFFAOYSA-N -1 1 313.317 1.191 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CC(C)(C)OC(C)(C)C2)c1[O-] ZINC001147838720 773264384 /nfs/dbraw/zinc/26/43/84/773264384.db2.gz XZMCPGHGYADHHA-UHFFFAOYSA-N -1 1 308.378 1.618 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCc3cc[nH]c(=O)c3)cc2)[n-]1 ZINC001148336657 773440460 /nfs/dbraw/zinc/44/04/60/773440460.db2.gz QOPIAUIEJZRMLN-UHFFFAOYSA-N -1 1 324.340 1.830 20 0 DDADMM Cc1cc(C)c(NC(=O)c2cc(S(=O)(=O)[O-])ccc2O)cn1 ZINC001148914883 773633173 /nfs/dbraw/zinc/63/31/73/773633173.db2.gz FZKSPCQLRUWDFY-UHFFFAOYSA-N -1 1 322.342 1.903 20 0 DDADMM CC(C)=CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001074796476 774142679 /nfs/dbraw/zinc/14/26/79/774142679.db2.gz DEPQQZNKAMLUDH-CHWSQXEVSA-N -1 1 317.389 1.863 20 0 DDADMM O=C(NCCCNc1cc(Cl)c(=O)[nH]n1)c1ncccc1[O-] ZINC001094376003 775822044 /nfs/dbraw/zinc/82/20/44/775822044.db2.gz ZTOGFTYHBQTVHB-UHFFFAOYSA-N -1 1 323.740 1.168 20 0 DDADMM Nc1ccc(F)cc1S(=O)(=O)[N-]c1ccc2nccn2c1 ZINC001174279739 777439300 /nfs/dbraw/zinc/43/93/00/777439300.db2.gz JOPODQAKLIFYSM-UHFFFAOYSA-N -1 1 306.322 1.856 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccc(O)c(N)c2)cc2c[nH]nc21 ZINC001175519554 777821765 /nfs/dbraw/zinc/82/17/65/777821765.db2.gz OZPQUFFVEKQVDD-UHFFFAOYSA-N -1 1 318.358 1.960 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CCCC1CC1 ZINC001102254231 777978700 /nfs/dbraw/zinc/97/87/00/777978700.db2.gz SYWRDSOOKXDDFA-DGCLKSJQSA-N -1 1 321.425 1.275 20 0 DDADMM Cc1nn(C)c2sc(C(=O)NC3(c4nn[n-]n4)CCC3)cc12 ZINC001176724344 778221381 /nfs/dbraw/zinc/22/13/81/778221381.db2.gz DJVVXNGLFREDCG-UHFFFAOYSA-N -1 1 317.378 1.266 20 0 DDADMM COc1cccnc1C1(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)CC1 ZINC001178172973 778922801 /nfs/dbraw/zinc/92/28/01/778922801.db2.gz NMQBDQXJTWRIDV-UHFFFAOYSA-N -1 1 324.344 1.109 20 0 DDADMM Cc1ccnc(NC2(CNC(=O)c3ncccc3[O-])CCC2)n1 ZINC001111788220 779482496 /nfs/dbraw/zinc/48/24/96/779482496.db2.gz GXVJUPKEIGQKOJ-UHFFFAOYSA-N -1 1 313.361 1.650 20 0 DDADMM O=C(CN1CCc2ccccc21)NCCCC[P@@](=O)([O-])O ZINC001180290899 779707499 /nfs/dbraw/zinc/70/74/99/779707499.db2.gz UWZLHMDYNKFSPT-UHFFFAOYSA-N -1 1 312.306 1.123 20 0 DDADMM C[C@@H](O)C1([N-]S(=O)(=O)c2cnc(Cl)cc2Cl)CC1 ZINC001118496104 781139319 /nfs/dbraw/zinc/13/93/19/781139319.db2.gz NPPLWKVTDAIREH-ZCFIWIBFSA-N -1 1 311.190 1.580 20 0 DDADMM O=C([O-])/C=C/c1ccc(CN2CCC(N3CCCC3=O)CC2)o1 ZINC001119598203 781532254 /nfs/dbraw/zinc/53/22/54/781532254.db2.gz XPGUJVHPMADHTJ-AATRIKPKSA-N -1 1 318.373 1.964 20 0 DDADMM CCOC1(C)CCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1 ZINC001346997910 836419764 /nfs/dbraw/zinc/41/97/64/836419764.db2.gz SPDVKLTXJLBSGB-UHFFFAOYSA-N -1 1 311.407 1.811 20 0 DDADMM O=C(CCn1ccnn1)Nc1cccc([O-])c1Br ZINC001417873515 836722891 /nfs/dbraw/zinc/72/28/91/836722891.db2.gz AAUCBBYGBGFRFN-UHFFFAOYSA-N -1 1 311.139 1.775 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@H](CF)c2ccc(F)cc2)nn1 ZINC001414323272 844418719 /nfs/dbraw/zinc/41/87/19/844418719.db2.gz FFUYFKUCTMOIFW-GFCCVEGCSA-N -1 1 313.329 1.913 20 0 DDADMM CC1(C(=O)N(CCNC(=O)c2ncccc2[O-])C2CC2)CC1 ZINC001408911089 839778138 /nfs/dbraw/zinc/77/81/38/839778138.db2.gz WGZASBGKHHKNDG-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM Cc1n[nH]c(C(=O)NCC=CCNCc2cncc(F)c2)c1[O-] ZINC001268529468 840390287 /nfs/dbraw/zinc/39/02/87/840390287.db2.gz SHEHYXUEHDQTBS-NSCUHMNNSA-N -1 1 319.340 1.034 20 0 DDADMM CC(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1ccc([O-])cn1 ZINC001269355102 841558365 /nfs/dbraw/zinc/55/83/65/841558365.db2.gz VWIHVXDTRCBZKO-HUUCEWRRSA-N -1 1 317.389 1.649 20 0 DDADMM CN1C[C@@H]2CN(C(=O)c3ccc4cccnc4c3[O-])C[C@H](C1)O2 ZINC001269620956 841836878 /nfs/dbraw/zinc/83/68/78/841836878.db2.gz KXOVIAPWAWPOHE-BETUJISGSA-N -1 1 313.357 1.095 20 0 DDADMM CC(C)=C(C)CC(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001280152528 842262899 /nfs/dbraw/zinc/26/28/99/842262899.db2.gz LRGFGZOKKUQPOK-ZDUSSCGKSA-N -1 1 321.425 1.585 20 0 DDADMM Cc1n[nH]cc1CN(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001142913967 861307454 /nfs/dbraw/zinc/30/74/54/861307454.db2.gz UMDZNCMTIVCYIS-UHFFFAOYSA-N -1 1 302.363 1.322 20 0 DDADMM CN1CC2(C1)CN(C(=O)CC(O)(C(F)(F)F)C(F)(F)F)C2 ZINC001270501913 842625598 /nfs/dbraw/zinc/62/55/98/842625598.db2.gz WIMAHMUPEGBXTM-UHFFFAOYSA-N -1 1 320.233 1.006 20 0 DDADMM O=C(NCCCc1c[nH][nH]c1=O)c1ncc2ccccc2c1[O-] ZINC001149263686 861505960 /nfs/dbraw/zinc/50/59/60/861505960.db2.gz WKYLTZSZLNMJFK-NSHDSACASA-N -1 1 312.329 1.182 20 0 DDADMM O=C(NCc1nn[n-]n1)c1noc2cc(Br)ccc21 ZINC001154903682 861554210 /nfs/dbraw/zinc/55/42/10/861554210.db2.gz BVLALYMUKGJIHF-UHFFFAOYSA-N -1 1 323.110 1.033 20 0 DDADMM C[C@H](CNC(=O)CCC1(C)CC1)CNC(=O)c1ncccc1[O-] ZINC001409666357 845532055 /nfs/dbraw/zinc/53/20/55/845532055.db2.gz CVQCUOGTTQPKKU-GFCCVEGCSA-N -1 1 319.405 1.850 20 0 DDADMM CC(C)CC(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001409775655 845722135 /nfs/dbraw/zinc/72/21/35/845722135.db2.gz VYIZDTLJHRUQEV-UHFFFAOYSA-N -1 1 309.414 1.465 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F)C1(C)CC1 ZINC001364989091 845978675 /nfs/dbraw/zinc/97/86/75/845978675.db2.gz ITMWBCUAUJGUSZ-ZETCQYMHSA-N -1 1 311.329 1.906 20 0 DDADMM C[C@@H](CN(C)C(=O)C1CC2(CCC2)C1)NCc1n[nH]c(=O)[n-]1 ZINC001410433623 849259766 /nfs/dbraw/zinc/25/97/66/849259766.db2.gz ZVRUHNRFIHLBSQ-JTQLQIEISA-N -1 1 307.398 1.027 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCCC1CC1 ZINC001410876272 849899373 /nfs/dbraw/zinc/89/93/73/849899373.db2.gz VNKQTZBXXHJMBH-JQWIXIFHSA-N -1 1 307.398 1.170 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ncnc4sccc43)ccnc1-2 ZINC001411350879 850900288 /nfs/dbraw/zinc/90/02/88/850900288.db2.gz FWLCBCOFADOJQP-UHFFFAOYSA-N -1 1 310.342 1.599 20 0 DDADMM COc1cccc2c1CC[C@H]([N-]S(=O)(=O)c1nccs1)C2 ZINC001187911065 851086012 /nfs/dbraw/zinc/08/60/12/851086012.db2.gz NOZGGSITNXPOJG-NSHDSACASA-N -1 1 324.427 1.988 20 0 DDADMM CC(C)(CC(=O)N1CCCC1)[N-]S(=O)(=O)c1nccs1 ZINC001187918144 851086205 /nfs/dbraw/zinc/08/62/05/851086205.db2.gz SXHCCRPCZMUQRQ-UHFFFAOYSA-N -1 1 317.436 1.213 20 0 DDADMM CC(C)(O)[C@H]1CC[C@H]([N-]S(=O)(=O)c2nccs2)CC1 ZINC001187911270 851086589 /nfs/dbraw/zinc/08/65/89/851086589.db2.gz QVMWLMFGGCMPEX-MGCOHNPYSA-N -1 1 304.437 1.751 20 0 DDADMM Cc1ccc2ccc(C(=O)NC3CN(C4COC4)C3)c([O-])c2n1 ZINC001155660926 862352243 /nfs/dbraw/zinc/35/22/43/862352243.db2.gz JKZLTRIWZYHIDP-UHFFFAOYSA-N -1 1 313.357 1.062 20 0 DDADMM [O-]c1cc(F)c(CN2CC3(CCN3Cc3cn[nH]c3)C2)c(F)c1 ZINC001275125728 852819231 /nfs/dbraw/zinc/81/92/31/852819231.db2.gz SBVMTWYBAUTKSU-UHFFFAOYSA-N -1 1 320.343 1.854 20 0 DDADMM CN1CCN(c2ccc(NC(=O)CCCCC(=O)[O-])nc2)CC1 ZINC001327721507 862413765 /nfs/dbraw/zinc/41/37/65/862413765.db2.gz KTHLCQPSJWCRRA-UHFFFAOYSA-N -1 1 320.393 1.417 20 0 DDADMM NC(=O)[C@H]1CCC[C@]12CCN(C(=O)c1ccc([O-])cc1F)C2 ZINC001275398579 853063856 /nfs/dbraw/zinc/06/38/56/853063856.db2.gz CBSZOODBQIMWNF-MLGOLLRUSA-N -1 1 306.337 1.649 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1(C(F)(F)F)CCC1 ZINC001411851459 853835376 /nfs/dbraw/zinc/83/53/76/853835376.db2.gz SVVAIZCEMHCFOJ-UHFFFAOYSA-N -1 1 307.228 1.111 20 0 DDADMM CCCC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CCC1 ZINC001411866939 853859908 /nfs/dbraw/zinc/85/99/08/853859908.db2.gz IQICAVYERPOBAI-ZDUSSCGKSA-N -1 1 319.405 1.992 20 0 DDADMM CCCC[C@H](O)CCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001412050332 854151783 /nfs/dbraw/zinc/15/17/83/854151783.db2.gz YHVCFKIHRGAFSS-WDEREUQCSA-N -1 1 313.427 1.148 20 0 DDADMM CCC(CC)(NC(=O)C[C@H]1Cc2ccccc2O1)c1nn[n-]n1 ZINC001412108248 854227434 /nfs/dbraw/zinc/22/74/34/854227434.db2.gz JWWDWJYOZHTTRG-GFCCVEGCSA-N -1 1 315.377 1.725 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H]2c2ncon2)c(=O)[n-]1 ZINC001412168378 854288393 /nfs/dbraw/zinc/28/83/93/854288393.db2.gz QVZRJHJEZUISJO-QMMMGPOBSA-N -1 1 307.335 1.264 20 0 DDADMM CN(C(=O)CCC(C)(C)NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001412229848 854350595 /nfs/dbraw/zinc/35/05/95/854350595.db2.gz YQMPEYUTPONPIF-UHFFFAOYSA-N -1 1 312.374 1.246 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC(C)(C)[C@H]2COC[C@H]21 ZINC001412225562 854358497 /nfs/dbraw/zinc/35/84/97/854358497.db2.gz WFMFSJAQACXOGW-VHSXEESVSA-N -1 1 323.418 1.710 20 0 DDADMM CCN(Cc1c(CO)cnc(C)c1O)C(=O)c1ccc([O-])cn1 ZINC001412242593 854366313 /nfs/dbraw/zinc/36/63/13/854366313.db2.gz BBFQKJZXROXNBI-UHFFFAOYSA-N -1 1 317.345 1.351 20 0 DDADMM CCO[C@H](CC)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001412294685 854416055 /nfs/dbraw/zinc/41/60/55/854416055.db2.gz VDOLAGNILKKSQN-GARJFASQSA-N -1 1 324.343 1.860 20 0 DDADMM CCOC(=O)c1nc(NC(=O)CC[C@H]2CCOC2)[n-]c1Cl ZINC001412301291 854422751 /nfs/dbraw/zinc/42/27/51/854422751.db2.gz NDNIPNUSSNLESY-QMMMGPOBSA-N -1 1 315.757 1.995 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2ccc(O)cc2)n[n-]1 ZINC001412327621 854444543 /nfs/dbraw/zinc/44/45/43/854444543.db2.gz PECSLOZFHYCMJW-SECBINFHSA-N -1 1 318.333 1.107 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2ccc(O)cc2)[n-]1 ZINC001412327621 854444550 /nfs/dbraw/zinc/44/45/50/854444550.db2.gz PECSLOZFHYCMJW-SECBINFHSA-N -1 1 318.333 1.107 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2ccc(O)cc2)n1 ZINC001412327621 854444558 /nfs/dbraw/zinc/44/45/58/854444558.db2.gz PECSLOZFHYCMJW-SECBINFHSA-N -1 1 318.333 1.107 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)Nn1cccn1 ZINC001412337672 854453313 /nfs/dbraw/zinc/45/33/13/854453313.db2.gz RZUFEXJPIKNDEC-UHFFFAOYSA-N -1 1 308.363 1.419 20 0 DDADMM CSc1ncc(C(=O)N2CCOC[C@@H]2CC(C)C)c(=O)[n-]1 ZINC001412385628 854496076 /nfs/dbraw/zinc/49/60/76/854496076.db2.gz NSRHINGPYAVOTB-JTQLQIEISA-N -1 1 311.407 1.791 20 0 DDADMM CCOc1ncccc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001412533697 854670896 /nfs/dbraw/zinc/67/08/96/854670896.db2.gz ZGTJTGJHDGPQRF-UHFFFAOYSA-N -1 1 304.354 1.292 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H](CO)c1ccc(Cl)cc1 ZINC001412534928 854675551 /nfs/dbraw/zinc/67/55/51/854675551.db2.gz AMJGDHVAWQNURV-NSHDSACASA-N -1 1 321.768 1.125 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2cccc([O-])c2F)cn1 ZINC001412598075 854790921 /nfs/dbraw/zinc/79/09/21/854790921.db2.gz YVIWJCYKUSKWLE-UHFFFAOYSA-N -1 1 324.333 1.260 20 0 DDADMM C[C@@H]1c2nc(C(N)=O)cn2CCN1C(=O)c1cc([O-])cc(F)c1 ZINC001276182867 854918681 /nfs/dbraw/zinc/91/86/81/854918681.db2.gz AIHJDSCRYJYYIT-MRVPVSSYSA-N -1 1 318.308 1.044 20 0 DDADMM O=C(c1cc([O-])cc(F)c1)N1CC[C@H]2C[C@]21C(=O)N1CC=CC1 ZINC001276187685 854930907 /nfs/dbraw/zinc/93/09/07/854930907.db2.gz JQRIKBXDIUAOFG-YVEFUNNKSA-N -1 1 316.332 1.534 20 0 DDADMM O=C(c1cc([O-])cc(F)c1)N1CC2(C[C@@H]2C(=O)N2CC=CC2)C1 ZINC001276187847 854931780 /nfs/dbraw/zinc/93/17/80/854931780.db2.gz NIOWMGZHOCPSCQ-CQSZACIVSA-N -1 1 316.332 1.392 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)Nc1ccc(C(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001412842804 855718414 /nfs/dbraw/zinc/71/84/14/855718414.db2.gz AHCRGDXGIQHQDJ-LDYMZIIASA-N -1 1 300.318 1.962 20 0 DDADMM CC[C@@]1(CO)CCCN(C(=O)c2cnc(SC)[n-]c2=O)C1 ZINC001412851803 855726987 /nfs/dbraw/zinc/72/69/87/855726987.db2.gz XNPAOCBLGBXXGA-CQSZACIVSA-N -1 1 311.407 1.529 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc2cc(C(F)(F)F)cnc2[nH]1 ZINC001151459929 862790417 /nfs/dbraw/zinc/79/04/17/862790417.db2.gz ACUUJRLJKDYEMI-UHFFFAOYSA-N -1 1 311.227 1.025 20 0 DDADMM CC(C)CC(=O)N[C@@H]1C[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001413086284 856391440 /nfs/dbraw/zinc/39/14/40/856391440.db2.gz PABIESUUBSIPEP-VXGBXAGGSA-N -1 1 305.378 1.553 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2(C)CCCCC2)[n-]n1 ZINC001413268993 856596094 /nfs/dbraw/zinc/59/60/94/856596094.db2.gz LJACOAYDVQTDDT-UHFFFAOYSA-N -1 1 301.368 1.197 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2(C)CCCCC2)n[n-]1 ZINC001413268993 856596099 /nfs/dbraw/zinc/59/60/99/856596099.db2.gz LJACOAYDVQTDDT-UHFFFAOYSA-N -1 1 301.368 1.197 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccn(C)c2C)n[n-]1 ZINC001413273788 856600052 /nfs/dbraw/zinc/60/00/52/856600052.db2.gz RNDUOVAZTONJJQ-QMMMGPOBSA-N -1 1 305.338 1.119 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccn(C)c2C)[n-]1 ZINC001413273788 856600054 /nfs/dbraw/zinc/60/00/54/856600054.db2.gz RNDUOVAZTONJJQ-QMMMGPOBSA-N -1 1 305.338 1.119 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccn(C)c2C)n1 ZINC001413273788 856600059 /nfs/dbraw/zinc/60/00/59/856600059.db2.gz RNDUOVAZTONJJQ-QMMMGPOBSA-N -1 1 305.338 1.119 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-][C@@H]1CCC[C@@H]1CO ZINC001413351402 856701812 /nfs/dbraw/zinc/70/18/12/856701812.db2.gz XWNXWWXUSUTKKT-LDYMZIIASA-N -1 1 321.345 1.413 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cccc(C2OCCO2)c1 ZINC001413508696 856908446 /nfs/dbraw/zinc/90/84/46/856908446.db2.gz KBDHYQFRHZWFGW-UHFFFAOYSA-N -1 1 315.333 1.054 20 0 DDADMM O=C(NC1(c2ncccn2)CCOCC1)c1ccc([O-])cn1 ZINC001413623266 857249715 /nfs/dbraw/zinc/24/97/15/857249715.db2.gz QAVMTEHSROSXJG-UHFFFAOYSA-N -1 1 300.318 1.013 20 0 DDADMM Cc1cnccc1-c1noc(-c2nnc(Br)[n-]2)n1 ZINC001213002077 862929303 /nfs/dbraw/zinc/92/93/03/862929303.db2.gz MTKZBYHCJKJOKY-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM Cc1cnccc1-c1noc(-c2n[n-]c(Br)n2)n1 ZINC001213002077 862929313 /nfs/dbraw/zinc/92/93/13/862929313.db2.gz MTKZBYHCJKJOKY-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM CCCC(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072969065 857948627 /nfs/dbraw/zinc/94/86/27/857948627.db2.gz LODXDHPYMSEFMD-UHFFFAOYSA-N -1 1 305.378 1.698 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnc3c2ccn3C)n1 ZINC001151783233 862969205 /nfs/dbraw/zinc/96/92/05/862969205.db2.gz JFMSGNZNCNWQIF-UHFFFAOYSA-N -1 1 313.317 1.725 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1nc2ccccc2[nH]c1=O ZINC001151847829 863007704 /nfs/dbraw/zinc/00/77/04/863007704.db2.gz YILIVVDTKMKIHG-UHFFFAOYSA-N -1 1 324.222 1.312 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ocnc2C)[n-]c1=O ZINC001413759980 858494434 /nfs/dbraw/zinc/49/44/34/858494434.db2.gz APAFOWUMTHDJNH-SECBINFHSA-N -1 1 304.306 1.465 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(C)(C)OC(C)(C)C1 ZINC001123710351 859373017 /nfs/dbraw/zinc/37/30/17/859373017.db2.gz ZFEOUXUIHPWYEZ-UHFFFAOYSA-N -1 1 311.407 1.809 20 0 DDADMM O=C(C=Cc1ccc(Cl)nc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123962771 859498892 /nfs/dbraw/zinc/49/88/92/859498892.db2.gz WMASBVTUHYPWKU-GQPNGRKGSA-N -1 1 304.741 1.277 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@H](OCC[P@](=O)([O-])O)[C@H]2C1 ZINC001224601131 881464113 /nfs/dbraw/zinc/46/41/13/881464113.db2.gz DOYHTBUSPZEHPX-DCAQKATOSA-N -1 1 321.310 1.436 20 0 DDADMM C[C@@H](OCC[P@](=O)([O-])O)c1cc(Br)no1 ZINC001224600860 881463727 /nfs/dbraw/zinc/46/37/27/881463727.db2.gz ASIPROJHVNDSNN-RXMQYKEDSA-N -1 1 300.045 1.692 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N(C)C2(C(N)=O)CCCC2)c1 ZINC001137618434 859950532 /nfs/dbraw/zinc/95/05/32/859950532.db2.gz BMVWNJMVMHBJQQ-UHFFFAOYSA-N -1 1 304.346 1.383 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC001137775388 859975617 /nfs/dbraw/zinc/97/56/17/859975617.db2.gz WZNVVABTCSWDJO-NXEZZACHSA-N -1 1 312.391 1.660 20 0 DDADMM O=C([O-])Cn1cc(CN2C[C@H](F)C[C@H]2CO)c2ccccc21 ZINC001138189143 860039034 /nfs/dbraw/zinc/03/90/34/860039034.db2.gz XPMIRVRYEBHTHY-OLZOCXBDSA-N -1 1 306.337 1.631 20 0 DDADMM COC1C[C@@H]2COC[C@H](C1)[N@H+]2Cc1ccc(-c2nn[nH]n2)cc1 ZINC001139092888 860278313 /nfs/dbraw/zinc/27/83/13/860278313.db2.gz WQLGCBHTNVPIDD-YIONKMFJSA-N -1 1 315.377 1.245 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc(C4OCCO4)cc3)ccnc1-2 ZINC001361377111 881527625 /nfs/dbraw/zinc/52/76/25/881527625.db2.gz MEHAQPYODMKNDG-UHFFFAOYSA-N -1 1 324.340 1.640 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnn2C2CCC2)n1 ZINC001361382485 881537462 /nfs/dbraw/zinc/53/74/62/881537462.db2.gz BLCSRLQIZSDVDB-UHFFFAOYSA-N -1 1 303.322 1.760 20 0 DDADMM CCn1nnc2c1[C@@H](COC)CN(Cc1ccncc1[O-])C2 ZINC001140274538 860604709 /nfs/dbraw/zinc/60/47/09/860604709.db2.gz ISWTXLXTOAIDMZ-GFCCVEGCSA-N -1 1 303.366 1.144 20 0 DDADMM CCOC(=O)CN1CCN(Cc2ccccc2C(=O)[O-])CC1 ZINC001140497984 860646204 /nfs/dbraw/zinc/64/62/04/860646204.db2.gz BULBNKIPYVEYQN-UHFFFAOYSA-N -1 1 306.362 1.066 20 0 DDADMM Nc1ncc(Cl)nc1NC(=O)c1ccc2[nH][n-]c(=O)c2c1 ZINC001154206255 860869787 /nfs/dbraw/zinc/86/97/87/860869787.db2.gz LZIUQRKWASIQBI-UHFFFAOYSA-N -1 1 304.697 1.546 20 0 DDADMM COCCOc1ccc(CNC(=O)c2cccc([O-])c2F)nn1 ZINC001141596118 860895237 /nfs/dbraw/zinc/89/52/37/860895237.db2.gz SWQFPKYOQFXYHC-UHFFFAOYSA-N -1 1 321.308 1.277 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC1(C(F)(F)F)CCC1 ZINC001362157460 883173634 /nfs/dbraw/zinc/17/36/34/883173634.db2.gz ZGORWHGUMRURNF-UHFFFAOYSA-N -1 1 317.267 1.118 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cnc2sccn12 ZINC001152227221 863228292 /nfs/dbraw/zinc/22/82/92/863228292.db2.gz LVILBKWJJAPEAS-UHFFFAOYSA-N -1 1 303.280 1.084 20 0 DDADMM CCOC(=O)[C@H](OCCCC[P@](=O)([O-])O)C(F)(F)F ZINC001225018766 881641529 /nfs/dbraw/zinc/64/15/29/881641529.db2.gz HHMTZBHGLFQXTK-ZETCQYMHSA-N -1 1 308.189 1.455 20 0 DDADMM Cn1ncc2c1CN(C(=O)c1cc(=O)c3cccc(O)c3[n-]1)C2 ZINC001152671177 863486046 /nfs/dbraw/zinc/48/60/46/863486046.db2.gz BNOJZNSBUGQBEY-UHFFFAOYSA-N -1 1 310.313 1.536 20 0 DDADMM O=c1[n-]c(CNc2ncc3ccccc3n2)nc2c1COCC2 ZINC001329308086 863591202 /nfs/dbraw/zinc/59/12/02/863591202.db2.gz XNDHWSLJNOEQDU-UHFFFAOYSA-N -1 1 309.329 1.810 20 0 DDADMM Cc1nc(Cl)ncc1NC(=O)c1cnc2cccnc2c1[O-] ZINC001153851775 864158617 /nfs/dbraw/zinc/15/86/17/864158617.db2.gz PXTPWCORSSSMIX-UHFFFAOYSA-N -1 1 315.720 1.927 20 0 DDADMM Cc1ncsc1CCNC(=O)c1c[n-]c2cccnc2c1=O ZINC001153862971 864173518 /nfs/dbraw/zinc/17/35/18/864173518.db2.gz GTKNQLIFHZJHSC-UHFFFAOYSA-N -1 1 314.370 1.661 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCOCC1 ZINC001330370514 864282390 /nfs/dbraw/zinc/28/23/90/864282390.db2.gz GUSSLNOMEQTUQC-UHFFFAOYSA-N -1 1 320.361 1.845 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1coc(C2CCOCC2)n1 ZINC001330594408 864475935 /nfs/dbraw/zinc/47/59/35/864475935.db2.gz GQQTUNIDLUKHGO-UHFFFAOYSA-N -1 1 320.334 1.320 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nc(Cl)cc4[nH]ccc43)c2[nH]1 ZINC001159184916 865152642 /nfs/dbraw/zinc/15/26/42/865152642.db2.gz CCDHVLIYXXOMRY-UHFFFAOYSA-N -1 1 317.696 1.989 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nc(Cl)nc4cc[nH]c43)c2[nH]1 ZINC001159185727 865154774 /nfs/dbraw/zinc/15/47/74/865154774.db2.gz VQPCCYHSGJHONW-UHFFFAOYSA-N -1 1 318.684 1.384 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nc(C4CC4)nc4[nH]ccc43)c2[nH]1 ZINC001159196701 865160508 /nfs/dbraw/zinc/16/05/08/865160508.db2.gz YJCGUZZWKPIOKB-UHFFFAOYSA-N -1 1 324.304 1.608 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@]2(C)CC(C)(C)OC2=O)c1 ZINC001159265924 865192053 /nfs/dbraw/zinc/19/20/53/865192053.db2.gz HFCYFNQBSTXVIE-MRXNPFEDSA-N -1 1 305.330 1.727 20 0 DDADMM CC(C)(C)N1CCN(C(=O)/C=C/c2ccc(C(=O)[O-])o2)CC1 ZINC001331934188 865442202 /nfs/dbraw/zinc/44/22/02/865442202.db2.gz LCNJMIQPUYZROY-FNORWQNLSA-N -1 1 306.362 1.934 20 0 DDADMM C[C@H](O)[C@@H](NCc1cc(Br)cnc1Cl)C(=O)[O-] ZINC001331995785 865489802 /nfs/dbraw/zinc/48/98/02/865489802.db2.gz OVWIICXFJIYUMJ-YLWLKBPMSA-N -1 1 323.574 1.421 20 0 DDADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@@]12C[C@@H]1CCCC2 ZINC001332206254 865664244 /nfs/dbraw/zinc/66/42/44/865664244.db2.gz CGDNVCAJCQLPLY-MQIPJXDCSA-N -1 1 305.378 1.012 20 0 DDADMM C[C@@H]1CCC[C@@H]1NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001332808595 866159264 /nfs/dbraw/zinc/15/92/64/866159264.db2.gz RDICYYIDWIUWCI-SKDRFNHKSA-N -1 1 310.781 1.967 20 0 DDADMM CC(=O)c1c(O)cc([O-])cc1O[C@@H]1[C@H]2C[C@H]3C(=O)O[C@H]1[C@H]3C2 ZINC001225678299 881971619 /nfs/dbraw/zinc/97/16/19/881971619.db2.gz GENHUZILFLEVIA-VISJIXOTSA-N -1 1 304.298 1.629 20 0 DDADMM Cc1c(C(=O)[N-]S(=O)(=O)c2cccs2)ccc2cncn21 ZINC001320116803 866487269 /nfs/dbraw/zinc/48/72/69/866487269.db2.gz QZVUVUGYUHFTNO-UHFFFAOYSA-N -1 1 321.383 1.823 20 0 DDADMM CC(=O)c1csc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c1 ZINC001324070044 867050617 /nfs/dbraw/zinc/05/06/17/867050617.db2.gz LTPDRMYFODJRFH-UHFFFAOYSA-N -1 1 317.330 1.242 20 0 DDADMM CCCn1ncnc1CSCCC[N-]C(=O)C(F)(F)F ZINC001321023712 867226940 /nfs/dbraw/zinc/22/69/40/867226940.db2.gz HGCFOAMJTJIMJV-UHFFFAOYSA-N -1 1 310.345 1.990 20 0 DDADMM O=C(Nc1ccccc1CN1CCOCC1)c1ccc([O-])cn1 ZINC001361668275 882122277 /nfs/dbraw/zinc/12/22/77/882122277.db2.gz XZHHZGLAZHTDFG-UHFFFAOYSA-N -1 1 313.357 1.872 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NCCC[C@@H]1CCCCO1 ZINC001334981085 867967078 /nfs/dbraw/zinc/96/70/78/867967078.db2.gz PVIHVVITQNBFKX-KBPBESRZSA-N -1 1 312.410 1.249 20 0 DDADMM O=C(C[C@H]1C=CCC1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163368744 868399731 /nfs/dbraw/zinc/39/97/31/868399731.db2.gz BNCXNSQJWSHHHW-LBPRGKRZSA-N -1 1 319.409 1.243 20 0 DDADMM Cc1cc2c(c(N3CC[C@H](F)C(F)(F)CC3)n1)C(=O)[N-]C2=O ZINC001163784853 868703557 /nfs/dbraw/zinc/70/35/57/868703557.db2.gz PTPHWUUCEHZYJM-VIFPVBQESA-N -1 1 313.279 1.847 20 0 DDADMM Cc1noc2ncnc(NCc3nc4c(c(=O)[n-]3)COCC4)c12 ZINC001163974952 868837895 /nfs/dbraw/zinc/83/78/95/868837895.db2.gz XQIFOACTEHDKTG-UHFFFAOYSA-N -1 1 314.305 1.107 20 0 DDADMM CC(C)(C)OC(=O)N1CC(O)(CC(=O)[N-]OC/C=C\Cl)C1 ZINC001336334063 868877925 /nfs/dbraw/zinc/87/79/25/868877925.db2.gz PYAVKQYSGFTPDJ-PLNGDYQASA-N -1 1 320.773 1.159 20 0 DDADMM CCOC(=O)Cc1ccncc1NCC[N-]C(=O)C(F)(F)F ZINC001164246165 869054592 /nfs/dbraw/zinc/05/45/92/869054592.db2.gz RGVNDBFAEXKTMN-UHFFFAOYSA-N -1 1 319.283 1.278 20 0 DDADMM C=C/C=C/CCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001336948072 869229791 /nfs/dbraw/zinc/22/97/91/869229791.db2.gz OEFRNXGLBSNRQL-ONEGZZNKSA-N -1 1 304.350 1.186 20 0 DDADMM C[C@@]12CCN(C(=O)c3cnncc3[O-])C[C@@H]1C2(Cl)Cl ZINC001337273970 869411152 /nfs/dbraw/zinc/41/11/52/869411152.db2.gz GEXBFEKOPMNZIG-GXSJLCMTSA-N -1 1 302.161 1.838 20 0 DDADMM CC[C@H]1c2ccsc2CCN1c1nnc(-c2nnn[n-]2)n1C ZINC001338074321 869786986 /nfs/dbraw/zinc/78/69/86/869786986.db2.gz ZXYYWFFVQXKHQM-VIFPVBQESA-N -1 1 316.394 1.571 20 0 DDADMM CC[C@H]1c2ccsc2CCN1c1nnc(-c2nn[n-]n2)n1C ZINC001338074321 869786996 /nfs/dbraw/zinc/78/69/96/869786996.db2.gz ZXYYWFFVQXKHQM-VIFPVBQESA-N -1 1 316.394 1.571 20 0 DDADMM CCCCC[C@@H](C)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001317306532 870614375 /nfs/dbraw/zinc/61/43/75/870614375.db2.gz AUUGWXJEMDPCMF-OLZOCXBDSA-N -1 1 323.441 1.807 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1csc(-c2cnn(C)c2)n1 ZINC001298673884 870668498 /nfs/dbraw/zinc/66/84/98/870668498.db2.gz QRGRKMKHJBEGLD-UHFFFAOYSA-N -1 1 304.335 1.533 20 0 DDADMM CC1(CC(=O)NCCC[C@H]2CCCN2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001317427388 870812214 /nfs/dbraw/zinc/81/22/14/870812214.db2.gz NSJCXPLJTOJMGW-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM C/C(=C/C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001299121063 870908194 /nfs/dbraw/zinc/90/81/94/870908194.db2.gz AZZGTAKEBGPWDC-LUAWRHEFSA-N -1 1 315.373 1.522 20 0 DDADMM COC(=O)c1cc(C)ccc1NC(=O)CCCc1nn[n-]n1 ZINC001361834618 882461408 /nfs/dbraw/zinc/46/14/08/882461408.db2.gz UAPKFHHFAXVCEO-UHFFFAOYSA-N -1 1 303.322 1.256 20 0 DDADMM Cc1oc(C=O)cc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001301653008 871014199 /nfs/dbraw/zinc/01/41/99/871014199.db2.gz BHUSNKCDBPLFNY-CYBMUJFWSA-N -1 1 311.301 1.433 20 0 DDADMM COC(=O)C[C@@H]1CN(C(=O)c2cc(C)cc(C=O)c2[O-])C[C@H]1C ZINC001303733749 871153730 /nfs/dbraw/zinc/15/37/30/871153730.db2.gz LBAROZIDTFCCOP-VXGBXAGGSA-N -1 1 319.357 1.784 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1ccc2ncn(C)c2c1 ZINC001304031035 871179282 /nfs/dbraw/zinc/17/92/82/871179282.db2.gz XXMPIJQGDXLUJA-UHFFFAOYSA-N -1 1 315.358 1.918 20 0 DDADMM Cc1cnc(SCCN2C(=O)c3ccccc3C2=O)[n-]c1=O ZINC001307347819 871424332 /nfs/dbraw/zinc/42/43/32/871424332.db2.gz WWGGBDZKNRRBHC-UHFFFAOYSA-N -1 1 315.354 1.879 20 0 DDADMM O=c1ccc(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)n[nH]1 ZINC001309520821 871579026 /nfs/dbraw/zinc/57/90/26/871579026.db2.gz LGFJLYLUUJIUQD-UHFFFAOYSA-N -1 1 319.264 1.078 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CCc2sccc2C1 ZINC001341563251 871716925 /nfs/dbraw/zinc/71/69/25/871716925.db2.gz VVEWWICAFDTTEE-UHFFFAOYSA-N -1 1 302.363 1.535 20 0 DDADMM Cc1cc(=O)c(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)c[nH]1 ZINC001361870383 882540880 /nfs/dbraw/zinc/54/08/80/882540880.db2.gz FLKNKUSFCYFKBB-UHFFFAOYSA-N -1 1 300.318 1.310 20 0 DDADMM CCOCCCn1c(-c2c[n-][nH]c2=O)nnc1N(C)CC1CC1 ZINC001343914686 872802415 /nfs/dbraw/zinc/80/24/15/872802415.db2.gz DZFFQHFKMLGYQV-UHFFFAOYSA-N -1 1 320.397 1.647 20 0 DDADMM O=C(CNC(=O)c1cnc(C2CC2)[n-]c1=O)Nc1ccccc1 ZINC001361945086 882687116 /nfs/dbraw/zinc/68/71/16/882687116.db2.gz BIIQHJBUJLPDHI-UHFFFAOYSA-N -1 1 312.329 1.428 20 0 DDADMM CNC(=O)c1cccc(CNC(=O)c2ccc(F)c([O-])c2)c1 ZINC001361973344 882739905 /nfs/dbraw/zinc/73/99/05/882739905.db2.gz NAAKVAUXMMWVAW-UHFFFAOYSA-N -1 1 302.305 1.821 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)C1CC2(C1)CS(=O)(=O)C2 ZINC001346701984 873854160 /nfs/dbraw/zinc/85/41/60/873854160.db2.gz YFPZNMICPGZAHZ-UHFFFAOYSA-N -1 1 315.778 1.809 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1C[C@@H](C)n2ccnc21 ZINC001347026234 873981514 /nfs/dbraw/zinc/98/15/14/873981514.db2.gz SPVULVRAGKYADO-HTRCEHHLSA-N -1 1 305.363 1.412 20 0 DDADMM O=C(c1ccc(Cn2ccnc2)cc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347472353 874155077 /nfs/dbraw/zinc/15/50/77/874155077.db2.gz AZPRXWKVUAVREB-CQSZACIVSA-N -1 1 323.360 1.074 20 0 DDADMM O=C(/C=C\SCc1ccco1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347475021 874155301 /nfs/dbraw/zinc/15/53/01/874155301.db2.gz SBNUIMBYLUCRML-VAWSOJLPSA-N -1 1 305.363 1.556 20 0 DDADMM O=c1nc2nc[n-]c2c(O[C@H]2CC[N@H+](Cc3ccccc3)C2)[n-]1 ZINC001227064563 882805708 /nfs/dbraw/zinc/80/57/08/882805708.db2.gz OCCOLUVVJZHMRC-LBPRGKRZSA-N -1 1 311.345 1.712 20 0 DDADMM O=c1nc2nc[n-]c2c(O[C@H]2CC[N@@H+](Cc3ccccc3)C2)[n-]1 ZINC001227064563 882805725 /nfs/dbraw/zinc/80/57/25/882805725.db2.gz OCCOLUVVJZHMRC-LBPRGKRZSA-N -1 1 311.345 1.712 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@]2(CO)CCC[C@@H]12 ZINC001347700587 874251852 /nfs/dbraw/zinc/25/18/52/874251852.db2.gz WYIDLGCJYVBGHC-YMTOWFKASA-N -1 1 309.391 1.156 20 0 DDADMM Cc1ccncc1C=CC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001348167735 874394459 /nfs/dbraw/zinc/39/44/59/874394459.db2.gz XEJJHUNTLBPVDU-IHVVCDCBSA-N -1 1 312.377 1.398 20 0 DDADMM O=C(Cn1ccc2ccccc21)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001348167723 874395352 /nfs/dbraw/zinc/39/53/52/874395352.db2.gz XCUZNBYMQWEFFW-ZDUSSCGKSA-N -1 1 324.388 1.636 20 0 DDADMM CC(=O)N1CCC[C@@H](Oc2[n-]c(=O)ncc2Br)C1 ZINC001227133030 882865265 /nfs/dbraw/zinc/86/52/65/882865265.db2.gz DJKKEEVOQIUOGL-MRVPVSSYSA-N -1 1 316.155 1.334 20 0 DDADMM Cc1cc(N)ncc1Nc1ccc(S(=O)(=O)[N-]C(N)=O)cc1 ZINC001209969050 875180235 /nfs/dbraw/zinc/18/02/35/875180235.db2.gz JHEMICKQWKMABP-UHFFFAOYSA-N -1 1 321.362 1.073 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccc2c(c1)CCNC2=O ZINC001210160692 875253515 /nfs/dbraw/zinc/25/35/15/875253515.db2.gz MIMJKGYUULSVSU-UHFFFAOYSA-N -1 1 303.347 1.083 20 0 DDADMM COc1ncccc1CNC(=O)c1cnc(SC)[n-]c1=O ZINC001362049162 882913671 /nfs/dbraw/zinc/91/36/71/882913671.db2.gz VDZOTHDVIWLUIB-UHFFFAOYSA-N -1 1 306.347 1.238 20 0 DDADMM CC(C)C[C@H](C)CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210615898 875458917 /nfs/dbraw/zinc/45/89/17/875458917.db2.gz WJEHFLIPMRDIMC-STQMWFEESA-N -1 1 323.441 1.663 20 0 DDADMM CS(=O)(=O)CC1(CNC(=O)c2ccc(F)c([O-])c2)CC1 ZINC001362058537 882935758 /nfs/dbraw/zinc/93/57/58/882935758.db2.gz LTEDRMNPEFDCGY-UHFFFAOYSA-N -1 1 301.339 1.086 20 0 DDADMM O=C(C=Cc1ccc2[nH]ccc2c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001350225366 875586842 /nfs/dbraw/zinc/58/68/42/875586842.db2.gz UGLIJIGVMAGKKA-QDOCKXBDSA-N -1 1 308.345 1.710 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NCCCCCCNC(=O)c1ncccc1[O-] ZINC001350413925 875676846 /nfs/dbraw/zinc/67/68/46/875676846.db2.gz MVNKDWPYLOZMSV-CHWSQXEVSA-N -1 1 319.405 1.850 20 0 DDADMM C/C=C(/C)C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001350672608 875824778 /nfs/dbraw/zinc/82/47/78/875824778.db2.gz LVEGCDZVHGBWJZ-MCWZUMHDSA-N -1 1 303.362 1.426 20 0 DDADMM COc1ccnc(-c2noc(-c3nccc(OC)c3[O-])n2)c1 ZINC001213326394 875898084 /nfs/dbraw/zinc/89/80/84/875898084.db2.gz MNENMYVQSPDFRF-UHFFFAOYSA-N -1 1 300.274 1.916 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@@H]1CO ZINC001350848937 875925345 /nfs/dbraw/zinc/92/53/45/875925345.db2.gz IGRQZASMQYHSFG-MWLCHTKSSA-N -1 1 306.391 1.251 20 0 DDADMM C[C@H](Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1)c1cccnc1Cl ZINC001227433626 883017004 /nfs/dbraw/zinc/01/70/04/883017004.db2.gz GBYJKBWVCKBWCX-YFKPBYRVSA-N -1 1 307.697 1.953 20 0 DDADMM C[C@H](Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)c1cccnc1Cl ZINC001227433626 883017028 /nfs/dbraw/zinc/01/70/28/883017028.db2.gz GBYJKBWVCKBWCX-YFKPBYRVSA-N -1 1 307.697 1.953 20 0 DDADMM CCOc1ncc([N-]S(=O)(=O)c2ccc(O)c(N)c2)cc1C ZINC001214935348 876512778 /nfs/dbraw/zinc/51/27/78/876512778.db2.gz UDTGMOMBYRPVEA-UHFFFAOYSA-N -1 1 323.374 1.877 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1nccs1)c1nn[n-]n1 ZINC001352388654 876721381 /nfs/dbraw/zinc/72/13/81/876721381.db2.gz SOQOCZKMDVJUGE-KZUAUGPASA-N -1 1 310.408 1.280 20 0 DDADMM CCCCOC[C@H](C)OC[C@H](C)Oc1nc(=O)[nH]c(=O)[n-]1 ZINC001227570869 883089005 /nfs/dbraw/zinc/08/90/05/883089005.db2.gz OLVUGJGLIQOGRC-UWVGGRQHSA-N -1 1 301.343 1.272 20 0 DDADMM COC(=O)[C@@H](Oc1nc(=O)[nH]c(=O)[n-]1)c1cccc(Cl)c1 ZINC001227571513 883088857 /nfs/dbraw/zinc/08/88/57/883088857.db2.gz VPIHDNRCHTYCDJ-QMMMGPOBSA-N -1 1 311.681 1.229 20 0 DDADMM CC(C)(C)OC(=O)n1ccc([N-]c2scnc2C(N)=O)n1 ZINC001216672113 877016230 /nfs/dbraw/zinc/01/62/30/877016230.db2.gz RZILCUCFTSSPNP-UHFFFAOYSA-N -1 1 309.351 1.965 20 0 DDADMM O=C(N[C@@H]1CN2CCC1CC2)c1cc(F)c(F)c([O-])c1F ZINC001353791072 877566536 /nfs/dbraw/zinc/56/65/36/877566536.db2.gz YFVXNXCICPRCLQ-SNVBAGLBSA-N -1 1 300.280 1.634 20 0 DDADMM CC(C)(C)C(=O)N1CC[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001379938990 878363433 /nfs/dbraw/zinc/36/34/33/878363433.db2.gz HLBUNJACCTWEJD-QGZVFWFLSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@H](c1cccs1)N(C)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001355763414 878706090 /nfs/dbraw/zinc/70/60/90/878706090.db2.gz BZGUNBGGWUQVAG-SSDOTTSWSA-N -1 1 306.351 1.417 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1ccsc1 ZINC001380691733 880200647 /nfs/dbraw/zinc/20/06/47/880200647.db2.gz LSEUJOZLECJEQR-SNVBAGLBSA-N -1 1 319.386 1.739 20 0 DDADMM Clc1ncc(CN2CCC[C@H](OCc3nn[n-]n3)C2)s1 ZINC001223027339 880788609 /nfs/dbraw/zinc/78/86/09/880788609.db2.gz ODXVHLNNRIJKNM-QMMMGPOBSA-N -1 1 314.802 1.491 20 0 DDADMM COC[C@@](C)(NC(=O)c1cc(Cl)ccc1[O-])C(=O)OC ZINC001362134555 883124312 /nfs/dbraw/zinc/12/43/12/883124312.db2.gz FZABOGYCIASGIS-CYBMUJFWSA-N -1 1 301.726 1.354 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2[C@@H]3CCCO[C@@H]3C2(C)C)c(=O)[n-]1 ZINC001362172889 883208955 /nfs/dbraw/zinc/20/89/55/883208955.db2.gz OABOXLIUQMXAFT-GDPRMGEGSA-N -1 1 323.418 1.838 20 0 DDADMM CS(=O)(=O)c1cc(C(=O)[N-]c2cnoc2)c(Cl)cn1 ZINC001362206635 883295342 /nfs/dbraw/zinc/29/53/42/883295342.db2.gz PHRFFARLDXDFDD-UHFFFAOYSA-N -1 1 301.711 1.379 20 0 DDADMM COC(=O)[C@H](C)[C@@H](NC(=O)c1cnncc1[O-])c1ccccc1 ZINC001362215464 883322634 /nfs/dbraw/zinc/32/26/34/883322634.db2.gz GLAYSCWIGSAXQK-QMTHXVAHSA-N -1 1 315.329 1.462 20 0 DDADMM CSc1ncc(C(=O)NCC2(c3ccccn3)CC2)c(=O)[n-]1 ZINC001362217510 883327159 /nfs/dbraw/zinc/32/71/59/883327159.db2.gz BVZJKJWRKSAHSV-UHFFFAOYSA-N -1 1 316.386 1.761 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H](OCC(C)C)C2)c(=O)[n-]1 ZINC001362259757 883427078 /nfs/dbraw/zinc/42/70/78/883427078.db2.gz FHCPKZYPCGFJDE-JTQLQIEISA-N -1 1 311.407 1.791 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H]2CCOc3cccc(OC)c32)n1 ZINC001228311150 883438300 /nfs/dbraw/zinc/43/83/00/883438300.db2.gz JSHMPQZKNWGHBE-SNVBAGLBSA-N -1 1 305.290 1.503 20 0 DDADMM COC(=O)c1nc(O[C@@H]2CCOc3cccc(OC)c32)n[n-]1 ZINC001228311150 883438304 /nfs/dbraw/zinc/43/83/04/883438304.db2.gz JSHMPQZKNWGHBE-SNVBAGLBSA-N -1 1 305.290 1.503 20 0 DDADMM CCN(C(=O)c1ccc(F)c([O-])c1)[C@@H](C)CS(=O)(=O)CC ZINC001362289295 883489276 /nfs/dbraw/zinc/48/92/76/883489276.db2.gz AZGSEQDUQPARBQ-JTQLQIEISA-N -1 1 317.382 1.817 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cc(F)cc(F)c2[O-])n[nH]1 ZINC001362422379 883774647 /nfs/dbraw/zinc/77/46/47/883774647.db2.gz QGPIPOIPEKYLFD-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM CCC(CC)(NC(=O)c1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC001362495652 883940009 /nfs/dbraw/zinc/94/00/09/883940009.db2.gz JUZJFHUIJNKYSU-UHFFFAOYSA-N -1 1 303.322 1.374 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCN(c2ncc(F)cn2)CC1 ZINC001362536760 884034997 /nfs/dbraw/zinc/03/49/97/884034997.db2.gz ZSMSIANAIGRQHF-UHFFFAOYSA-N -1 1 320.299 1.423 20 0 DDADMM COC(=O)c1c[n-]c(=O)nc1OC1CCC2(CC1)OCCO2 ZINC001229876014 884209806 /nfs/dbraw/zinc/20/98/06/884209806.db2.gz QXEXMQRLSISBEW-UHFFFAOYSA-N -1 1 310.306 1.033 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@H]1c1ncon1 ZINC001362617978 884235287 /nfs/dbraw/zinc/23/52/87/884235287.db2.gz GEYRMYYXTGTNAM-JTQLQIEISA-N -1 1 301.306 1.420 20 0 DDADMM CSc1ncc(C(=O)NCC[C@@H]2CCO[C@H](C)C2)c(=O)[n-]1 ZINC001362649791 884319167 /nfs/dbraw/zinc/31/91/67/884319167.db2.gz LVRVPYYFMCVHQO-NXEZZACHSA-N -1 1 311.407 1.839 20 0 DDADMM CC(C)(O)c1cnc(NC(=O)c2cnc(C3CC3)[n-]c2=O)s1 ZINC001362663961 884357262 /nfs/dbraw/zinc/35/72/62/884357262.db2.gz HIBIDYZYCBCMQP-UHFFFAOYSA-N -1 1 320.374 1.996 20 0 DDADMM COC[C@@H](C)n1ccc(NC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC001362685830 884411880 /nfs/dbraw/zinc/41/18/80/884411880.db2.gz HCIAFCGTTVSFGQ-SECBINFHSA-N -1 1 317.349 1.716 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2COc3cc(F)ccc3C2)c([O-])c1 ZINC001362690851 884422247 /nfs/dbraw/zinc/42/22/47/884422247.db2.gz QJKZUHWINVCQTI-LBPRGKRZSA-N -1 1 302.305 1.968 20 0 DDADMM CCC(CC)(NC(=O)c1csc(C2CC2)n1)c1nn[n-]n1 ZINC001362782106 884625182 /nfs/dbraw/zinc/62/51/82/884625182.db2.gz BQTZBNRNRQVDPS-UHFFFAOYSA-N -1 1 306.395 1.979 20 0 DDADMM CC(C)[C@H](NC(=O)CCCc1nn[n-]n1)[C@H](O)c1ccccc1 ZINC001362793545 884652992 /nfs/dbraw/zinc/65/29/92/884652992.db2.gz OUXAOPTYPGROEW-JKSUJKDBSA-N -1 1 317.393 1.397 20 0 DDADMM Cc1cc[nH]c1C(=O)N[C@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001382775231 884669546 /nfs/dbraw/zinc/66/95/46/884669546.db2.gz ZUORECJTNCMKRT-GHMZBOCLSA-N -1 1 316.361 1.361 20 0 DDADMM CC(C)n1cc(CNC(=O)c2c([O-])cccc2F)c(=O)[nH]c1=O ZINC001362805264 884685354 /nfs/dbraw/zinc/68/53/54/884685354.db2.gz HBJAQEGAMKGTCO-UHFFFAOYSA-N -1 1 321.308 1.305 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cnn(C)c1C1CC1 ZINC001362832155 884748750 /nfs/dbraw/zinc/74/87/50/884748750.db2.gz QNRGPAIYSLNCMM-UHFFFAOYSA-N -1 1 303.370 1.109 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2scnc2C)n[n-]1 ZINC001362930183 885014758 /nfs/dbraw/zinc/01/47/58/885014758.db2.gz BGTJGOAGQDKVNM-ZETCQYMHSA-N -1 1 309.351 1.237 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2scnc2C)[n-]1 ZINC001362930183 885014766 /nfs/dbraw/zinc/01/47/66/885014766.db2.gz BGTJGOAGQDKVNM-ZETCQYMHSA-N -1 1 309.351 1.237 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2scnc2C)n1 ZINC001362930183 885014773 /nfs/dbraw/zinc/01/47/73/885014773.db2.gz BGTJGOAGQDKVNM-ZETCQYMHSA-N -1 1 309.351 1.237 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)Cc2cn3c(n2)CCCC3)n1 ZINC001362975036 885124514 /nfs/dbraw/zinc/12/45/14/885124514.db2.gz BKZDWFTVBMJLMQ-UHFFFAOYSA-N -1 1 317.349 1.300 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)c2cncnc2C2CC2)n[nH]1 ZINC001362997863 885187022 /nfs/dbraw/zinc/18/70/22/885187022.db2.gz YFYZVXBHTVPKIQ-UHFFFAOYSA-N -1 1 315.333 1.895 20 0 DDADMM CC(C)(C)C(=O)NC[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001395952962 912946328 /nfs/dbraw/zinc/94/63/28/912946328.db2.gz PTNNURPPJWOFRQ-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(N[C@@H]1Cc2ccccc2NC1=O)c1cnc(C2CC2)[n-]c1=O ZINC001363132571 885547443 /nfs/dbraw/zinc/54/74/43/885547443.db2.gz DKCPAUHBMMPWAJ-CYBMUJFWSA-N -1 1 324.340 1.353 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cccc(N2CCNC2=O)c1 ZINC001363134510 885551603 /nfs/dbraw/zinc/55/16/03/885551603.db2.gz CIQMFVWULKWXQK-UHFFFAOYSA-N -1 1 301.306 1.206 20 0 DDADMM CC(C)(C)CCC1(O)CC(C(=O)NCc2nc([O-])cc(=O)[nH]2)C1 ZINC001363149764 885584997 /nfs/dbraw/zinc/58/49/97/885584997.db2.gz JKMQXWNBBUCJGL-UHFFFAOYSA-N -1 1 323.393 1.471 20 0 DDADMM O=C([O-])c1ccc(F)c(CN2CCC(=O)N3CCC[C@@H]3C2)c1 ZINC001231461176 885682791 /nfs/dbraw/zinc/68/27/91/885682791.db2.gz UZPFYVYVSOBZQR-CYBMUJFWSA-N -1 1 306.337 1.721 20 0 DDADMM CC1(N2CCOCC2)CCN(C(=O)c2ccc(O)cn2)CC1 ZINC001363224514 885755326 /nfs/dbraw/zinc/75/53/26/885755326.db2.gz IPFWVCJDXSIRJD-UHFFFAOYSA-N -1 1 305.378 1.114 20 0 DDADMM O=C([O-])COc1ccccc1CN1CCC[C@@]2(CCC(=O)O2)C1 ZINC001231794006 885878312 /nfs/dbraw/zinc/87/83/12/885878312.db2.gz QNJKKEHRISVUSK-QGZVFWFLSA-N -1 1 319.357 1.822 20 0 DDADMM Cn1cnnc1[C@H]1CCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC001363276528 885887413 /nfs/dbraw/zinc/88/74/13/885887413.db2.gz IXYXZJWJTKLSCT-VIFPVBQESA-N -1 1 306.753 1.804 20 0 DDADMM CC(C)c1nsc([N-]C(=O)c2coc(S(N)(=O)=O)c2)n1 ZINC001363330165 886042181 /nfs/dbraw/zinc/04/21/81/886042181.db2.gz BEEVTLAMOKNAPO-UHFFFAOYSA-N -1 1 316.364 1.154 20 0 DDADMM CC(=O)Nc1ccc2c(c1)CN(C(=O)c1cncc([O-])c1)CC2 ZINC001363387192 886194968 /nfs/dbraw/zinc/19/49/68/886194968.db2.gz FCBDTPQBLIYCBO-UHFFFAOYSA-N -1 1 311.341 1.944 20 0 DDADMM COC[C@H](NC(=O)c1ccc(C(F)(F)F)cc1)c1nn[n-]n1 ZINC001363419288 886285237 /nfs/dbraw/zinc/28/52/37/886285237.db2.gz VVQPZFHHNPQGSM-VIFPVBQESA-N -1 1 315.255 1.336 20 0 DDADMM COC[C@H](NC(=O)[C@H](C)CSc1ccccc1)c1nn[n-]n1 ZINC001363428870 886314553 /nfs/dbraw/zinc/31/45/53/886314553.db2.gz BDYYPDATQWUWEX-PWSUYJOCSA-N -1 1 321.406 1.432 20 0 DDADMM COC[C@@H](NC(=O)c1cc(C2CC2)[nH]c1C1CC1)c1nn[n-]n1 ZINC001363440313 886344286 /nfs/dbraw/zinc/34/42/86/886344286.db2.gz YTVGKJUPMLSDBF-GFCCVEGCSA-N -1 1 316.365 1.400 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1nccs1 ZINC001383700832 886355026 /nfs/dbraw/zinc/35/50/26/886355026.db2.gz JUZOSHTTZSYLND-VIFPVBQESA-N -1 1 320.374 1.134 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)[C@H](C)C1CC1 ZINC001383714353 886386103 /nfs/dbraw/zinc/38/61/03/886386103.db2.gz JGXYPJWBORTCHS-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2ncc(C)s2)n[n-]1 ZINC001363475092 886438986 /nfs/dbraw/zinc/43/89/86/886438986.db2.gz LSKMNAVILOSLJQ-MRVPVSSYSA-N -1 1 323.378 1.166 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2ncc(C)s2)[n-]1 ZINC001363475092 886439000 /nfs/dbraw/zinc/43/90/00/886439000.db2.gz LSKMNAVILOSLJQ-MRVPVSSYSA-N -1 1 323.378 1.166 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2ncc(C)s2)n1 ZINC001363475092 886439017 /nfs/dbraw/zinc/43/90/17/886439017.db2.gz LSKMNAVILOSLJQ-MRVPVSSYSA-N -1 1 323.378 1.166 20 0 DDADMM CC[C@@](C)(CNC(=O)OC(C)(C)C)C(=O)N(C)c1nn[n-]n1 ZINC001363490905 886474889 /nfs/dbraw/zinc/47/48/89/886474889.db2.gz QSNZXEYCMPOXHI-ZDUSSCGKSA-N -1 1 312.374 1.104 20 0 DDADMM C[C@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C(=O)N(C)c2nn[n-]n2)C1 ZINC001363491876 886480135 /nfs/dbraw/zinc/48/01/35/886480135.db2.gz VRKFBLAPCBTUHV-VHSXEESVSA-N -1 1 324.385 1.198 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCCc1ccccn1 ZINC001363544283 886598780 /nfs/dbraw/zinc/59/87/80/886598780.db2.gz MOQMAVNPXCUHPG-UHFFFAOYSA-N -1 1 302.382 1.602 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H]1CC12CC2 ZINC001363555797 886627981 /nfs/dbraw/zinc/62/79/81/886627981.db2.gz GAZYTEJXMCUTQA-ZJUUUORDSA-N -1 1 304.350 1.445 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H]1CC12CC2 ZINC001363555797 886627996 /nfs/dbraw/zinc/62/79/96/886627996.db2.gz GAZYTEJXMCUTQA-ZJUUUORDSA-N -1 1 304.350 1.445 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H]1CC12CC2 ZINC001363555797 886628012 /nfs/dbraw/zinc/62/80/12/886628012.db2.gz GAZYTEJXMCUTQA-ZJUUUORDSA-N -1 1 304.350 1.445 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cccc(CO)c2)[n-]c1=O ZINC001363612487 886765472 /nfs/dbraw/zinc/76/54/72/886765472.db2.gz CGCGADKYPNCGKR-UHFFFAOYSA-N -1 1 317.345 1.348 20 0 DDADMM CC(=O)CC1(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)CCC1 ZINC001363625036 886801051 /nfs/dbraw/zinc/80/10/51/886801051.db2.gz OLIZOGLUJNODDX-UHFFFAOYSA-N -1 1 321.377 1.347 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)c1ccn[nH]1 ZINC001363641651 886856393 /nfs/dbraw/zinc/85/63/93/886856393.db2.gz LINLUQLGYGBHFN-YUMQZZPRSA-N -1 1 304.272 1.081 20 0 DDADMM COCC1(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)CCC1 ZINC001363649153 886883916 /nfs/dbraw/zinc/88/39/16/886883916.db2.gz FJKTXXRQPAVYKD-UHFFFAOYSA-N -1 1 309.366 1.014 20 0 DDADMM COC1CCC(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)CC1 ZINC001363655698 886898763 /nfs/dbraw/zinc/89/87/63/886898763.db2.gz CGQJJUBZENPRHL-UHFFFAOYSA-N -1 1 323.393 1.402 20 0 DDADMM CC[C@@H](C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001384103648 886968891 /nfs/dbraw/zinc/96/88/91/886968891.db2.gz ZRWHBRPDJSCLMB-IJLUTSLNSA-N -1 1 305.378 1.410 20 0 DDADMM CC[C@H](C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001384103650 886969303 /nfs/dbraw/zinc/96/93/03/886969303.db2.gz ZRWHBRPDJSCLMB-QJPTWQEYSA-N -1 1 305.378 1.410 20 0 DDADMM CCCc1nc(C)c(C(=O)NCc2n[n-]c(C(=O)OCC)n2)o1 ZINC001363701654 887018142 /nfs/dbraw/zinc/01/81/42/887018142.db2.gz BQNHPFCRFSAYGQ-UHFFFAOYSA-N -1 1 321.337 1.160 20 0 DDADMM CCCc1nc(C)c(C(=O)NCc2nc(C(=O)OCC)n[n-]2)o1 ZINC001363701654 887018152 /nfs/dbraw/zinc/01/81/52/887018152.db2.gz BQNHPFCRFSAYGQ-UHFFFAOYSA-N -1 1 321.337 1.160 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(CC(C)C)C(C)C)[n-]n1 ZINC001363749571 887135126 /nfs/dbraw/zinc/13/51/26/887135126.db2.gz FIOYBQCRLFOEIH-UHFFFAOYSA-N -1 1 303.384 1.251 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(CC(C)C)C(C)C)n[n-]1 ZINC001363749571 887135129 /nfs/dbraw/zinc/13/51/29/887135129.db2.gz FIOYBQCRLFOEIH-UHFFFAOYSA-N -1 1 303.384 1.251 20 0 DDADMM O=C(NCc1cn(C2CC2)c(=O)[nH]c1=O)c1ccc(F)c([O-])c1 ZINC001363766056 887170066 /nfs/dbraw/zinc/17/00/66/887170066.db2.gz XYILKRDUOLGYCD-UHFFFAOYSA-N -1 1 319.292 1.059 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCCc1nccs1 ZINC001363798720 887248611 /nfs/dbraw/zinc/24/86/11/887248611.db2.gz DOCIZRIQYIHNSQ-UHFFFAOYSA-N -1 1 308.411 1.664 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@H]1Oc1c(=O)[n-]cnc1C(=O)OC ZINC001233756959 887280282 /nfs/dbraw/zinc/28/02/82/887280282.db2.gz VFTUKYDLDADHTE-DTWKUNHWSA-N -1 1 310.306 1.079 20 0 DDADMM CC/C=C\CCOC(=O)[C@@H](C)Oc1c(=O)[n-]cnc1C(=O)OC ZINC001233757573 887283268 /nfs/dbraw/zinc/28/32/68/887283268.db2.gz FAESTFRFCFXLOZ-WWQCOOJYSA-N -1 1 324.333 1.636 20 0 DDADMM O=C(c1nc[n-]c(=O)c1Br)N1CCC[C@@H](F)C1 ZINC001363834375 887347466 /nfs/dbraw/zinc/34/74/66/887347466.db2.gz UDRRXNYLAXIGFM-ZCFIWIBFSA-N -1 1 304.119 1.519 20 0 DDADMM CCN(C1CCCCC1)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001363928858 887558654 /nfs/dbraw/zinc/55/86/54/887558654.db2.gz HWOXDSRCONDJOH-UHFFFAOYSA-N -1 1 315.395 1.540 20 0 DDADMM CCN(C1CCCCC1)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001363928858 887558667 /nfs/dbraw/zinc/55/86/67/887558667.db2.gz HWOXDSRCONDJOH-UHFFFAOYSA-N -1 1 315.395 1.540 20 0 DDADMM CC[C@H](F)C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001384527046 887628937 /nfs/dbraw/zinc/62/89/37/887628937.db2.gz GLDJZZCQORJCLX-AXFHLTTASA-N -1 1 309.341 1.160 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1[C@@H](C)[C@H](OC)C1(C)C ZINC001363967426 887633770 /nfs/dbraw/zinc/63/37/70/887633770.db2.gz GOZLSFVKGHWJCT-QNSHHTMESA-N -1 1 320.436 1.490 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@@H]1CC12CCC2 ZINC001363973403 887644397 /nfs/dbraw/zinc/64/43/97/887644397.db2.gz YFIKSBUGVDSUEK-QWRGUYRKSA-N -1 1 318.377 1.835 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@@H]1CC12CCC2 ZINC001363973403 887644412 /nfs/dbraw/zinc/64/44/12/887644412.db2.gz YFIKSBUGVDSUEK-QWRGUYRKSA-N -1 1 318.377 1.835 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@@H]1CC12CCC2 ZINC001363973403 887644427 /nfs/dbraw/zinc/64/44/27/887644427.db2.gz YFIKSBUGVDSUEK-QWRGUYRKSA-N -1 1 318.377 1.835 20 0 DDADMM COC[C@@H](NC(=O)[C@@H]1SCCc2ccccc21)c1nn[n-]n1 ZINC001364040565 887778333 /nfs/dbraw/zinc/77/83/33/887778333.db2.gz ZIHOXVSWEMENRY-VXGBXAGGSA-N -1 1 319.390 1.034 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2CCS[C@@H](C)C2)sn1 ZINC001364134322 887998249 /nfs/dbraw/zinc/99/82/49/887998249.db2.gz UBOCMQKIVUCQMB-YUMQZZPRSA-N -1 1 308.450 1.714 20 0 DDADMM CC1(C)CC(Oc2c(=O)[n-]cnc2C(N)=O)CC(C)(C)N1O ZINC001234469106 888000914 /nfs/dbraw/zinc/00/09/14/888000914.db2.gz VLPBVXANOIOGEX-UHFFFAOYSA-N -1 1 310.354 1.071 20 0 DDADMM CCCCC(=O)[C@@H](CCCC)Oc1c(C(N)=O)nc[n-]c1=O ZINC001234472500 888003437 /nfs/dbraw/zinc/00/34/37/888003437.db2.gz RWNGCEMXVCDNAN-LLVKDONJSA-N -1 1 309.366 1.978 20 0 DDADMM COc1ccc([C@H](C)Oc2c(C(N)=O)nc(C)[n-]c2=O)cc1 ZINC001234470792 888005154 /nfs/dbraw/zinc/00/51/54/888005154.db2.gz XWJGEHJDTGSAMB-QMMMGPOBSA-N -1 1 303.318 1.738 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H]1CCCC2(C1)OCCO2 ZINC001234561340 888098763 /nfs/dbraw/zinc/09/87/63/888098763.db2.gz LFIUBTYPYXUAGN-JTQLQIEISA-N -1 1 324.333 1.342 20 0 DDADMM CCC[C@@H](CC(=O)OC)Oc1c(=O)[n-]c(C)nc1C(=O)OC ZINC001234564649 888104337 /nfs/dbraw/zinc/10/43/37/888104337.db2.gz MZVZJEQGPKGONF-VIFPVBQESA-N -1 1 312.322 1.388 20 0 DDADMM CC1=C(Oc2c(C(N)=O)nc(C(C)C)[n-]c2=O)C(=O)O[C@@H]1C ZINC001234566355 888105886 /nfs/dbraw/zinc/10/58/86/888105886.db2.gz WBZAFFPGLGOWCF-SSDOTTSWSA-N -1 1 307.306 1.003 20 0 DDADMM COc1cnc([C@H]2CCCN2c2cc(Cl)nc(=O)[nH]2)[n-]c1=O ZINC001364251267 888223042 /nfs/dbraw/zinc/22/30/42/888223042.db2.gz QRPWJFQXMLVCRN-SSDOTTSWSA-N -1 1 323.740 1.681 20 0 DDADMM CCN(Cc1nc(=O)n(C)[n-]1)Cc1nc2c(s1)C[C@H](C)CC2 ZINC001364528183 888855801 /nfs/dbraw/zinc/85/58/01/888855801.db2.gz IAOMYUBFDDSXNG-SNVBAGLBSA-N -1 1 321.450 1.712 20 0 DDADMM CCC(=O)N(C)c1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001364620609 889052111 /nfs/dbraw/zinc/05/21/11/889052111.db2.gz RXLGFAJTAORLDM-UHFFFAOYSA-N -1 1 302.338 1.144 20 0 DDADMM CCC[C@@H]1C[C@H](C(=O)N(Cc2nn[n-]n2)CC(C)C)CCO1 ZINC001364650996 889122977 /nfs/dbraw/zinc/12/29/77/889122977.db2.gz JZGNXBDAMONASG-CHWSQXEVSA-N -1 1 309.414 1.780 20 0 DDADMM Cc1ccc(F)c(-c2cc(N3CCN(C)C(=O)C3)ncn2)c1[O-] ZINC001236392697 889224788 /nfs/dbraw/zinc/22/47/88/889224788.db2.gz RKUQNYVIQZJLJO-UHFFFAOYSA-N -1 1 316.336 1.575 20 0 DDADMM CCNc1ccccc1CNCc1nc(C(=O)OCC)n[nH]1 ZINC001364744368 889324785 /nfs/dbraw/zinc/32/47/85/889324785.db2.gz AYOFQPMHMVBWFV-UHFFFAOYSA-N -1 1 303.366 1.703 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1CC(=O)NCC1CC1 ZINC001364746101 889327969 /nfs/dbraw/zinc/32/79/69/889327969.db2.gz VNEOTJZZRWQZNF-ONGXEEELSA-N -1 1 321.343 1.044 20 0 DDADMM CS[C@H](C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001385566421 889334970 /nfs/dbraw/zinc/33/49/70/889334970.db2.gz FWSYOAGTHWKBRL-GHMZBOCLSA-N -1 1 323.418 1.260 20 0 DDADMM CC[C@H](F)C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001396197348 913346403 /nfs/dbraw/zinc/34/64/03/913346403.db2.gz IVUSRVHCIPMVFN-ILDUYXDCSA-N -1 1 309.341 1.255 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C1Cc2ccccc2C1 ZINC001364838916 889518176 /nfs/dbraw/zinc/51/81/76/889518176.db2.gz SBFWVJNQYFZQMD-UHFFFAOYSA-N -1 1 310.400 1.597 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1[C@H](C)O[C@@H](C)[C@H]1C ZINC001364901689 889636976 /nfs/dbraw/zinc/63/69/76/889636976.db2.gz SJTPFLZRTLDQEF-VEVYYDQMSA-N -1 1 306.409 1.242 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1[C@@H](C)[C@@H](C)O[C@H]1C ZINC001364901687 889637170 /nfs/dbraw/zinc/63/71/70/889637170.db2.gz SJTPFLZRTLDQEF-ODHVRURNSA-N -1 1 306.409 1.242 20 0 DDADMM Cn1nc2c(cc1=O)CN(Cc1ccc([O-])c(F)c1F)CC2 ZINC001364929216 889688384 /nfs/dbraw/zinc/68/83/84/889688384.db2.gz VIMYNDSHANDVFQ-UHFFFAOYSA-N -1 1 307.300 1.322 20 0 DDADMM O=C(Cc1nnc(C2CC2)[nH]1)NCCc1c(F)cc([O-])cc1F ZINC001364947833 889736102 /nfs/dbraw/zinc/73/61/02/889736102.db2.gz NCTHATMPMJHXDL-UHFFFAOYSA-N -1 1 322.315 1.567 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](C)C(C)(C)C)[n-]n1 ZINC001364966819 889777344 /nfs/dbraw/zinc/77/73/44/889777344.db2.gz LJRNBKKUNOXBBX-QMMMGPOBSA-N -1 1 303.384 1.157 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](C)C(C)(C)C)n[n-]1 ZINC001364966819 889777350 /nfs/dbraw/zinc/77/73/50/889777350.db2.gz LJRNBKKUNOXBBX-QMMMGPOBSA-N -1 1 303.384 1.157 20 0 DDADMM CCCC[C@@H](C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385838920 889839720 /nfs/dbraw/zinc/83/97/20/889839720.db2.gz RCOYJHSDKQBYLA-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(Nc1cnn(C2CCC2)c1)N1CCC(c2nn[n-]n2)CC1 ZINC001365085634 890071948 /nfs/dbraw/zinc/07/19/48/890071948.db2.gz KMWLJCHSTXKYJS-UHFFFAOYSA-N -1 1 316.369 1.533 20 0 DDADMM CCN(CC)C(=O)N1CCC(C(=O)Nc2c[n-][nH]c2=O)CC1 ZINC001365205023 890339264 /nfs/dbraw/zinc/33/92/64/890339264.db2.gz BWUNCYREBKXHLF-UHFFFAOYSA-N -1 1 309.370 1.228 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CCC1CC1 ZINC001365385270 890702327 /nfs/dbraw/zinc/70/23/27/890702327.db2.gz MCKHAYSJUPYGKJ-MRVPVSSYSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCc2cc(C)cs2)[n-]n1 ZINC001365401932 890737455 /nfs/dbraw/zinc/73/74/55/890737455.db2.gz ALCCLNDBRKAJDN-UHFFFAOYSA-N -1 1 315.376 1.045 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCc2cc(C)cs2)n[n-]1 ZINC001365401932 890737463 /nfs/dbraw/zinc/73/74/63/890737463.db2.gz ALCCLNDBRKAJDN-UHFFFAOYSA-N -1 1 315.376 1.045 20 0 DDADMM CNS(=O)(=O)c1ccc(-c2c(F)cc([O-])cc2F)nc1 ZINC001241120148 891122175 /nfs/dbraw/zinc/12/21/75/891122175.db2.gz LSCMBZBKBAUDMI-UHFFFAOYSA-N -1 1 300.286 1.641 20 0 DDADMM CS(=O)(=O)N[C@@H]1CCCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001365610797 891155749 /nfs/dbraw/zinc/15/57/49/891155749.db2.gz PYGXNWGQPCDILY-SNVBAGLBSA-N -1 1 320.361 1.184 20 0 DDADMM NS(=O)(=O)c1cc(NCc2ccc([O-])c(F)c2F)cs1 ZINC001365612750 891160979 /nfs/dbraw/zinc/16/09/79/891160979.db2.gz PTRFFKQZKHLICB-UHFFFAOYSA-N -1 1 320.342 1.991 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2cc(C(C)C)no2)sn1 ZINC001365649856 891250329 /nfs/dbraw/zinc/25/03/29/891250329.db2.gz MJNNPMUDVSZKHP-UHFFFAOYSA-N -1 1 317.392 1.742 20 0 DDADMM O=S(=O)([N-]CC(F)(F)c1cc(F)cc(F)c1)c1c[nH]cn1 ZINC001365730135 891411614 /nfs/dbraw/zinc/41/16/14/891411614.db2.gz ILKHQBAITANTHC-UHFFFAOYSA-N -1 1 323.271 1.758 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CCC(C)(F)F ZINC001386739677 891605139 /nfs/dbraw/zinc/60/51/39/891605139.db2.gz GXTAKQLCHOKWGY-SECBINFHSA-N -1 1 315.320 1.457 20 0 DDADMM Cc1cc2c(c(-c3cccnc3N3CCOCC3)n1)C(=O)[N-]C2=O ZINC001243457913 891657587 /nfs/dbraw/zinc/65/75/87/891657587.db2.gz CYGACQGUEFEAKY-UHFFFAOYSA-N -1 1 324.340 1.172 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)C(C)(C)C1CC1 ZINC001386849738 891854684 /nfs/dbraw/zinc/85/46/84/891854684.db2.gz FUNZYSUVIUEHSV-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM NC(=O)Cc1ccc(-c2cc(OC(F)(F)F)ccc2[O-])nn1 ZINC001244457184 891856886 /nfs/dbraw/zinc/85/68/86/891856886.db2.gz XGBJRTGEYCLFFS-UHFFFAOYSA-N -1 1 313.235 1.776 20 0 DDADMM COc1ncc(-c2ncc(C)c(C)n2)cc1[N-]S(C)(=O)=O ZINC001244790985 891924935 /nfs/dbraw/zinc/92/49/35/891924935.db2.gz PGBDXACPJFGLFU-UHFFFAOYSA-N -1 1 308.363 1.536 20 0 DDADMM CCc1nccnc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244789850 891925657 /nfs/dbraw/zinc/92/56/57/891925657.db2.gz HMZKDQZHPWEDSF-UHFFFAOYSA-N -1 1 308.363 1.481 20 0 DDADMM [O-]c1cccc(F)c1-c1nc2[nH]cnc2c(N2CCOCC2)n1 ZINC001245653414 892224606 /nfs/dbraw/zinc/22/46/06/892224606.db2.gz LCTYLYTUZXIAAG-UHFFFAOYSA-N -1 1 315.308 1.701 20 0 DDADMM O=c1cc(C2CCOCC2)[nH]n1-c1cccc(S(=O)(=O)[O-])c1 ZINC001245944012 892280268 /nfs/dbraw/zinc/28/02/68/892280268.db2.gz ZKOOHZKRINGACW-UHFFFAOYSA-N -1 1 324.358 1.719 20 0 DDADMM CCCCCc1cc(=O)n(-c2ccc(S(N)(=O)=O)cc2)[n-]1 ZINC001245945394 892283484 /nfs/dbraw/zinc/28/34/84/892283484.db2.gz WBAWZERBTFHNSP-UHFFFAOYSA-N -1 1 309.391 1.958 20 0 DDADMM O=c1cc(CC(F)(F)F)[nH]n1-c1ccc(S(=O)(=O)[O-])cc1 ZINC001245951449 892285011 /nfs/dbraw/zinc/28/50/11/892285011.db2.gz CXTNMGMTOSYNSB-UHFFFAOYSA-N -1 1 322.264 1.929 20 0 DDADMM Cc1[nH]ccc1C(=O)NCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001387431849 893085765 /nfs/dbraw/zinc/08/57/65/893085765.db2.gz TTXFQLPZEWHWRL-SNVBAGLBSA-N -1 1 316.361 1.362 20 0 DDADMM O=C(NCCN(C(=O)c1ncccc1[O-])C1CC1)C1CCCC1 ZINC001387456559 893142859 /nfs/dbraw/zinc/14/28/59/893142859.db2.gz UPKRIMUFSGUKCG-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CCCC12CC2 ZINC001387696771 893661226 /nfs/dbraw/zinc/66/12/26/893661226.db2.gz QUZQBFNTMZJCGT-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cc3cccnc3[nH]2)cc1N ZINC001248719879 893678517 /nfs/dbraw/zinc/67/85/17/893678517.db2.gz NSSHQSAFPHZJCJ-UHFFFAOYSA-N -1 1 303.347 1.528 20 0 DDADMM NC(=O)Cc1ccccc1[N-]S(=O)(=O)c1ccccc1N ZINC001249611194 894061490 /nfs/dbraw/zinc/06/14/90/894061490.db2.gz UJGPKPSETNCBKE-UHFFFAOYSA-N -1 1 305.359 1.097 20 0 DDADMM C[C@H](C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001387893583 894105869 /nfs/dbraw/zinc/10/58/69/894105869.db2.gz KUZPBJVOKOFERU-NSHDSACASA-N -1 1 317.389 1.412 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1c(F)ccc(CO)c1F ZINC001250253233 894220669 /nfs/dbraw/zinc/22/06/69/894220669.db2.gz WSJDZLGFYQXGCK-UHFFFAOYSA-N -1 1 300.290 1.567 20 0 DDADMM O=C([O-])CCC(=O)CNC[C@H](O)COc1ccc(Cl)cc1 ZINC001250689951 894363583 /nfs/dbraw/zinc/36/35/83/894363583.db2.gz DQUYJTOJVFOXTI-LBPRGKRZSA-N -1 1 315.753 1.103 20 0 DDADMM CCOC(=O)c1cc([N-]S(=O)(=O)c2ccccc2)ccn1 ZINC001251116697 894641052 /nfs/dbraw/zinc/64/10/52/894641052.db2.gz UHDPENGZEFAFEO-UHFFFAOYSA-N -1 1 306.343 1.481 20 0 DDADMM O=C(/C=C/C1CCOCC1)OCC(=O)c1ccc([O-])cc1O ZINC001251798704 894815234 /nfs/dbraw/zinc/81/52/34/894815234.db2.gz NFGOTBAJTBOQIP-DAFODLJHSA-N -1 1 306.314 1.807 20 0 DDADMM CCCc1ccccc1C(=O)N[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001374784597 913908389 /nfs/dbraw/zinc/90/83/89/913908389.db2.gz OAQIAFYSALUIHC-LLVKDONJSA-N -1 1 317.393 1.371 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H](C)SC ZINC001388240924 894850143 /nfs/dbraw/zinc/85/01/43/894850143.db2.gz WAKYMRCRCDOCKE-JTQLQIEISA-N -1 1 311.407 1.117 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cc(O)cc(C(N)=O)c2)cc1 ZINC001251943870 894903947 /nfs/dbraw/zinc/90/39/47/894903947.db2.gz ADJYKBCKVNCHMM-UHFFFAOYSA-N -1 1 322.342 1.301 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)NC(=O)C3=O)cc1 ZINC001252198541 895033854 /nfs/dbraw/zinc/03/38/54/895033854.db2.gz OAUKCZPTXMYGJY-UHFFFAOYSA-N -1 1 316.338 1.931 20 0 DDADMM Cc1occc1C(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001388385908 895120578 /nfs/dbraw/zinc/12/05/78/895120578.db2.gz FRMKHQGUXHOTOE-JTQLQIEISA-N -1 1 317.345 1.485 20 0 DDADMM CCC(C)(C)C(=O)N(C)C[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001396508135 913943339 /nfs/dbraw/zinc/94/33/39/913943339.db2.gz WNXHEYDFHSEHFM-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM CC[C@H](CNC(=O)[C@H]1CC12CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001367142287 895989903 /nfs/dbraw/zinc/98/99/03/895989903.db2.gz MYDZHNIJJCHWFQ-GHMZBOCLSA-N -1 1 307.398 1.075 20 0 DDADMM O=C(CNS(=O)(=O)c1ccccc1F)c1ccc([O-])cc1 ZINC001255551944 897000776 /nfs/dbraw/zinc/00/07/76/897000776.db2.gz XIQVLJAOJYPVTR-UHFFFAOYSA-N -1 1 309.318 1.693 20 0 DDADMM CC(C)COc1ccc(-c2nnc(Cc3nnn[n-]3)o2)cc1 ZINC001256179592 897303009 /nfs/dbraw/zinc/30/30/09/897303009.db2.gz VLLLILQSKMGKMW-UHFFFAOYSA-N -1 1 300.322 1.875 20 0 DDADMM CC(C)COc1ccc(-c2nnc(Cc3nn[n-]n3)o2)cc1 ZINC001256179592 897303023 /nfs/dbraw/zinc/30/30/23/897303023.db2.gz VLLLILQSKMGKMW-UHFFFAOYSA-N -1 1 300.322 1.875 20 0 DDADMM O=S(=O)([N-][C@H](CO)C(F)(F)F)c1ccccc1Cl ZINC001256352591 897397558 /nfs/dbraw/zinc/39/75/58/897397558.db2.gz CAIFTFHPJMSKAV-MRVPVSSYSA-N -1 1 303.689 1.542 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1cc(C)c[nH]c1=O ZINC001258352921 898148448 /nfs/dbraw/zinc/14/84/48/898148448.db2.gz VYTVLMGKYKNBNV-UHFFFAOYSA-N -1 1 316.770 1.592 20 0 DDADMM O=S(=O)([N-]C1CCN(CCF)CC1)c1cc(F)ccc1F ZINC001258949193 898375354 /nfs/dbraw/zinc/37/53/54/898375354.db2.gz RKUIEBGHUQLDLR-UHFFFAOYSA-N -1 1 322.352 1.677 20 0 DDADMM COC(=O)[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258950840 898377915 /nfs/dbraw/zinc/37/79/15/898377915.db2.gz ZIRBRUGFUSUULS-IONNQARKSA-N -1 1 305.302 1.052 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)C[C@@]23CC[C@@H](CC2=O)C3(C)C)cn1 ZINC001258967137 898388901 /nfs/dbraw/zinc/38/89/01/898388901.db2.gz YALRPPZDAYEJHS-NHYWBVRUSA-N -1 1 323.418 1.922 20 0 DDADMM O=S(=O)([N-]Cc1ccnnc1)c1cc(F)c(F)cc1F ZINC001259042352 898437553 /nfs/dbraw/zinc/43/75/53/898437553.db2.gz JISWBWOSLAZRFV-UHFFFAOYSA-N -1 1 303.265 1.372 20 0 DDADMM CS[C@H](C)CC(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001390085191 898652960 /nfs/dbraw/zinc/65/29/60/898652960.db2.gz YOEBIJIPHINFIA-SNVBAGLBSA-N -1 1 323.418 1.212 20 0 DDADMM COC(=O)c1cccc(F)c1[N-]S(=O)(=O)c1cccnc1 ZINC001259607991 898737383 /nfs/dbraw/zinc/73/73/83/898737383.db2.gz OXPDBQBSNKVQSJ-UHFFFAOYSA-N -1 1 310.306 1.808 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CC(C)C)c1cccnc1 ZINC001259889734 898901323 /nfs/dbraw/zinc/90/13/23/898901323.db2.gz TVEOQLXOCRZPLR-LBPRGKRZSA-N -1 1 300.380 1.261 20 0 DDADMM O=S(=O)([N-]Cc1ccc(OCC(F)(F)F)nc1)C(F)F ZINC001259949835 898970309 /nfs/dbraw/zinc/97/03/09/898970309.db2.gz MQQGQSHHUXZSLL-UHFFFAOYSA-N -1 1 320.239 1.665 20 0 DDADMM O=S(=O)([N-]CC1(c2ccccn2)CCOCC1)C(F)F ZINC001259963193 898986168 /nfs/dbraw/zinc/98/61/68/898986168.db2.gz BQOCWROHDADRJH-UHFFFAOYSA-N -1 1 306.334 1.272 20 0 DDADMM O=S(=O)([N-]C1(CO)CCC1)c1cc(Cl)c(F)cc1F ZINC001260206921 899087543 /nfs/dbraw/zinc/08/75/43/899087543.db2.gz FTFKJUMDVWXRLX-UHFFFAOYSA-N -1 1 311.737 1.812 20 0 DDADMM C[C@H](C[NH+](C)C)[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260205202 899087702 /nfs/dbraw/zinc/08/77/02/899087702.db2.gz AAHOGADTYICKOZ-SSDOTTSWSA-N -1 1 312.769 1.847 20 0 DDADMM CN(C1CC1)[C@@H]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC001260226801 899100080 /nfs/dbraw/zinc/10/00/80/899100080.db2.gz WIXVXSGUOSIQBS-CYBMUJFWSA-N -1 1 324.402 1.242 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cc(F)c(F)cc1Cl ZINC001260591933 899180574 /nfs/dbraw/zinc/18/05/74/899180574.db2.gz UBUGMCMQVYFICM-UHFFFAOYSA-N -1 1 319.738 1.362 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001390332936 899187392 /nfs/dbraw/zinc/18/73/92/899187392.db2.gz XJAZUNAKDMATOF-PSTGCABASA-N -1 1 317.389 1.412 20 0 DDADMM O=C1COc2ccc(S(=O)(=O)[N-]c3ccnc(F)c3)cc2N1 ZINC001260667705 899212426 /nfs/dbraw/zinc/21/24/26/899212426.db2.gz FYGHXRKFVWRGEN-UHFFFAOYSA-N -1 1 323.305 1.352 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2ccc(F)c(C)c2)ccn1 ZINC001260754840 899251391 /nfs/dbraw/zinc/25/13/91/899251391.db2.gz UUVKQYGJFHGYNC-UHFFFAOYSA-N -1 1 324.333 1.538 20 0 DDADMM C[C@]1(C(=O)NCCCNC(=O)c2ncccc2[O-])CC=CCC1 ZINC001293261705 914375974 /nfs/dbraw/zinc/37/59/74/914375974.db2.gz BQAZKWMKCIGAFQ-KRWDZBQOSA-N -1 1 317.389 1.770 20 0 DDADMM N[C@@H](C(=O)NCCCC(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC001261845334 899787221 /nfs/dbraw/zinc/78/72/21/899787221.db2.gz YDNFMZPHFIALRI-LLVKDONJSA-N -1 1 304.268 1.686 20 0 DDADMM CC(C)CC(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396772886 914437196 /nfs/dbraw/zinc/43/71/96/914437196.db2.gz AAMNZIOVIHSDIB-LBPRGKRZSA-N -1 1 305.378 1.506 20 0 DDADMM CS(=O)(=O)C1CCN(Cc2cc(F)c([O-])c(F)c2)CC1 ZINC000380767275 900623737 /nfs/dbraw/zinc/62/37/37/900623737.db2.gz PODAHDSZEURNKK-UHFFFAOYSA-N -1 1 305.346 1.679 20 0 DDADMM O=C([N-]CCNC(=S)Nc1ccc(F)cc1)C(F)(F)F ZINC000586422293 900635450 /nfs/dbraw/zinc/63/54/50/900635450.db2.gz HMSVMBNVFPVMJH-UHFFFAOYSA-N -1 1 309.288 1.791 20 0 DDADMM CC1(C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C2CC2)CCCC1 ZINC001266149803 903028403 /nfs/dbraw/zinc/02/84/03/903028403.db2.gz HFXMNDDQOZUMLU-UHFFFAOYSA-N -1 1 321.425 1.561 20 0 DDADMM CCOCCC(=O)N[C@@H](C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001281440424 904852046 /nfs/dbraw/zinc/85/20/46/904852046.db2.gz WZAVHSOZQRMSTR-NWDGAFQWSA-N -1 1 323.393 1.227 20 0 DDADMM CC1(C)CC(CC(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001392791744 905272642 /nfs/dbraw/zinc/27/26/42/905272642.db2.gz IBCGCXUILJZRPV-GFCCVEGCSA-N -1 1 321.425 1.321 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001282113957 905555411 /nfs/dbraw/zinc/55/54/11/905555411.db2.gz QXDWRQMEDGETTK-CJULTGEBSA-N -1 1 317.389 1.768 20 0 DDADMM CC(C)c1cnc(CN(C)CCNC(=O)c2ncccc2[O-])o1 ZINC001371952424 906088638 /nfs/dbraw/zinc/08/86/38/906088638.db2.gz PKBOUKRKSICAGI-UHFFFAOYSA-N -1 1 318.377 1.760 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372370827 907148415 /nfs/dbraw/zinc/14/84/15/907148415.db2.gz IAENXRLFJPAJLI-RYUDHWBXSA-N -1 1 317.389 1.458 20 0 DDADMM CC(C)=CC(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001283382476 907261443 /nfs/dbraw/zinc/26/14/43/907261443.db2.gz PGQPAEGXVSQJQB-GFCCVEGCSA-N -1 1 303.362 1.426 20 0 DDADMM CC1CC(C(=O)NC2(CNC(=O)c3ncccc3[O-])CC2)C1 ZINC001393611798 907476918 /nfs/dbraw/zinc/47/69/18/907476918.db2.gz VGDYYEITUHOZFJ-UHFFFAOYSA-N -1 1 303.362 1.212 20 0 DDADMM C[C@H](CNC(=O)c1sccc1F)NC(=O)c1ncccc1[O-] ZINC001372851940 908430157 /nfs/dbraw/zinc/43/01/57/908430157.db2.gz RHNAMTDHKKJWDL-MRVPVSSYSA-N -1 1 323.349 1.536 20 0 DDADMM C[C@@H](CNC(=O)C1CC2(CC2)C1)NC(=O)c1ncccc1[O-] ZINC001373080114 908907628 /nfs/dbraw/zinc/90/76/28/908907628.db2.gz XNGQPTRUNZLLSF-JTQLQIEISA-N -1 1 303.362 1.212 20 0 DDADMM CCC[C@@H](C)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001373159715 909113066 /nfs/dbraw/zinc/11/30/66/909113066.db2.gz ROMJWOCGBPENGX-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCCC12CC2)NCc1n[nH]c(=O)[n-]1 ZINC001394493928 909809781 /nfs/dbraw/zinc/80/97/81/909809781.db2.gz GKHNAADFGNAWJJ-GHMZBOCLSA-N -1 1 307.398 1.027 20 0 DDADMM CC[C@H](CNC(=O)C(C)=C1CCC1)NC(=O)c1ncccc1[O-] ZINC001285051709 910003881 /nfs/dbraw/zinc/00/38/81/910003881.db2.gz RCHLAGCNBNZOSI-CYBMUJFWSA-N -1 1 317.389 1.912 20 0 DDADMM Cc1c[nH]cc1C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001395089565 911337587 /nfs/dbraw/zinc/33/75/87/911337587.db2.gz KQDQKQWAUQXIJC-LLVKDONJSA-N -1 1 316.361 1.314 20 0 DDADMM CC1(C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])CC1 ZINC001374017614 911653653 /nfs/dbraw/zinc/65/36/53/911653653.db2.gz KAKBBYFWCIBPIS-NSHDSACASA-N -1 1 303.362 1.308 20 0 DDADMM Cc1ccccc1C(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001374192768 912126012 /nfs/dbraw/zinc/12/60/12/912126012.db2.gz FLMIVBABJJOAFX-LLVKDONJSA-N -1 1 303.366 1.069 20 0 DDADMM C=CC(=O)Nc1cccc(C(=O)N[C@H](CCC)c2nn[n-]n2)c1 ZINC001294422527 915129381 /nfs/dbraw/zinc/12/93/81/915129381.db2.gz RXWBDCYBKUOWBF-GFCCVEGCSA-N -1 1 314.349 1.595 20 0 DDADMM CSC[C@H](C)C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001397397239 915923042 /nfs/dbraw/zinc/92/30/42/915923042.db2.gz SIVLNDWHHKHQNP-VHSXEESVSA-N -1 1 311.407 1.021 20 0 DDADMM CCC(C)(C)C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001397649003 916601280 /nfs/dbraw/zinc/60/12/80/916601280.db2.gz OIWIJOPNPJDJSN-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)[C@@H](F)C(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001375691973 916720072 /nfs/dbraw/zinc/72/00/72/916720072.db2.gz AEZCAGYSKPBKEG-CMPLNLGQSA-N -1 1 311.357 1.263 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(CF)CC1 ZINC001375977899 917402326 /nfs/dbraw/zinc/40/23/26/917402326.db2.gz PEMPAQPORKVFMP-LLVKDONJSA-N -1 1 323.368 1.408 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CC[C@H]4C[C@H]43)nc2n1 ZINC000622993295 365585143 /nfs/dbraw/zinc/58/51/43/365585143.db2.gz IIHLOMLZDYUJBB-ATZCPNFKSA-N -1 1 301.350 1.355 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3(c4ccccc4)CC3)nc2n1 ZINC000622995684 365588057 /nfs/dbraw/zinc/58/80/57/365588057.db2.gz LDSCXTZFEMELKB-UHFFFAOYSA-N -1 1 323.356 1.650 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)[C@@H](C)COC)nc2n1 ZINC000622997606 365591518 /nfs/dbraw/zinc/59/15/18/365591518.db2.gz DUDKOUUZSODKPG-VHSXEESVSA-N -1 1 321.381 1.227 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC1(O)CCCCC1 ZINC000081795361 192324814 /nfs/dbraw/zinc/32/48/14/192324814.db2.gz NGDNIKUOYPTBBI-UHFFFAOYSA-N -1 1 321.421 1.801 20 0 DDADMM CCc1n[nH]c(=O)c(CNC(=O)c2ccc([O-])cc2F)c1CC ZINC000181265145 199273172 /nfs/dbraw/zinc/27/31/72/199273172.db2.gz LVTJZBDXYGUTLF-UHFFFAOYSA-N -1 1 319.336 1.669 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)C[C@@H](C)OC)c(F)cc1F ZINC000278618459 214279787 /nfs/dbraw/zinc/27/97/87/214279787.db2.gz MIBWTDFIMCVKCH-SSDOTTSWSA-N -1 1 323.317 1.528 20 0 DDADMM O=S(=O)([N-]CCN1CCCOCC1)c1sccc1Cl ZINC000451433385 231093994 /nfs/dbraw/zinc/09/39/94/231093994.db2.gz FHHISFGINAMBGV-UHFFFAOYSA-N -1 1 324.855 1.402 20 0 DDADMM Cc1ccc(S(N)(=O)=O)c(C(=O)Nc2c([O-])cccc2F)c1 ZINC000092551452 539176478 /nfs/dbraw/zinc/17/64/78/539176478.db2.gz BCTNYWDAFIROHC-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM NC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC000452057026 231284058 /nfs/dbraw/zinc/28/40/58/231284058.db2.gz KMVWJZDJHDTSIV-APPZFPTMSA-N -1 1 320.773 1.411 20 0 DDADMM O=C(NCC1CC1)[C@@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000081942970 539167843 /nfs/dbraw/zinc/16/78/43/539167843.db2.gz IDEMMMXJFUWLRB-GFCCVEGCSA-N -1 1 320.364 1.910 20 0 DDADMM CCC[C@@H](NC(=O)C(=O)Nc1c(C)cccc1C)c1nn[n-]n1 ZINC000177843867 539231278 /nfs/dbraw/zinc/23/12/78/539231278.db2.gz DNJQGQUNBSIUCO-LLVKDONJSA-N -1 1 316.365 1.413 20 0 DDADMM CCn1ccnc1CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000452577146 529578687 /nfs/dbraw/zinc/57/86/87/529578687.db2.gz VSJFNJHCNSQSII-UHFFFAOYSA-N -1 1 311.345 1.790 20 0 DDADMM CN1CC[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CC1=O ZINC000192860577 539260660 /nfs/dbraw/zinc/26/06/60/539260660.db2.gz LZNFZNIXUNYOFQ-ZETCQYMHSA-N -1 1 322.308 1.003 20 0 DDADMM CN(C[C@H](O)C1CC1)C(=O)c1ccc(Br)c([O-])c1 ZINC000305726366 539292819 /nfs/dbraw/zinc/29/28/19/539292819.db2.gz RNYYRMVPZARGIJ-LBPRGKRZSA-N -1 1 314.179 1.998 20 0 DDADMM COCC[C@@H]1COCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000615114864 362160277 /nfs/dbraw/zinc/16/02/77/362160277.db2.gz NHXSYHGESCNBED-CYBMUJFWSA-N -1 1 316.357 1.818 20 0 DDADMM CC(C)(NC(=O)CSc1nc2ccccc2[nH]1)c1nn[n-]n1 ZINC000340213177 539335807 /nfs/dbraw/zinc/33/58/07/539335807.db2.gz UIKXPIYVMZPWIP-UHFFFAOYSA-N -1 1 317.378 1.220 20 0 DDADMM CN1CCO[C@H](C[N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000451137404 529790242 /nfs/dbraw/zinc/79/02/42/529790242.db2.gz UBIHXXPRAGMYFT-MRVPVSSYSA-N -1 1 310.828 1.010 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(CC(=O)NC(C)C)no2)c1 ZINC000278179466 214007575 /nfs/dbraw/zinc/00/75/75/214007575.db2.gz WYBFYJVYPCKYEM-UHFFFAOYSA-N -1 1 318.333 1.468 20 0 DDADMM CNC(=O)C(C)(C)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425170328 529840104 /nfs/dbraw/zinc/84/01/04/529840104.db2.gz RRHOSOFSUHGAGW-UHFFFAOYSA-N -1 1 320.361 1.324 20 0 DDADMM CNC(=O)C[C@H](C)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451961462 529872742 /nfs/dbraw/zinc/87/27/42/529872742.db2.gz XATJXSJWMPBKHG-QMMMGPOBSA-N -1 1 314.338 1.375 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1cnccc1C(F)(F)F ZINC000636237841 422736307 /nfs/dbraw/zinc/73/63/07/422736307.db2.gz BDVMAMOZRJUHKA-UHFFFAOYSA-N -1 1 314.271 1.253 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(OC)c(F)c2)n[n-]1 ZINC000615918909 362487006 /nfs/dbraw/zinc/48/70/06/362487006.db2.gz GKGPLNDOTFHVFN-UHFFFAOYSA-N -1 1 322.296 1.059 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(OC)c(F)c2)n1 ZINC000615918909 362487012 /nfs/dbraw/zinc/48/70/12/362487012.db2.gz GKGPLNDOTFHVFN-UHFFFAOYSA-N -1 1 322.296 1.059 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2[C@@H](C=C(C)C)C2(C)C)n[n-]1 ZINC000615920412 362487849 /nfs/dbraw/zinc/48/78/49/362487849.db2.gz SOISKGNETAQPSO-PWSUYJOCSA-N -1 1 320.393 1.836 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2[C@@H](C=C(C)C)C2(C)C)n1 ZINC000615920412 362487856 /nfs/dbraw/zinc/48/78/56/362487856.db2.gz SOISKGNETAQPSO-PWSUYJOCSA-N -1 1 320.393 1.836 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H](C1CC1)C1CCC1 ZINC000457257054 232024575 /nfs/dbraw/zinc/02/45/75/232024575.db2.gz SYVMVKNIKZXMMV-CQSZACIVSA-N -1 1 303.362 1.212 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2C[C@H]2CC(C)C)o1 ZINC000457997210 530028458 /nfs/dbraw/zinc/02/84/58/530028458.db2.gz SVVWYVIZGDCGIQ-NXEZZACHSA-N -1 1 300.380 1.352 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCOC1CCCCC1)c2=O ZINC000358278581 299112480 /nfs/dbraw/zinc/11/24/80/299112480.db2.gz RCUQHXCMQHOWSD-UHFFFAOYSA-N -1 1 318.377 1.753 20 0 DDADMM [O-]c1ccc(-c2noc(-c3cn([C@@H]4CCOC4)nn3)n2)c(F)c1 ZINC000350586747 539411784 /nfs/dbraw/zinc/41/17/84/539411784.db2.gz BCQIHXAMYQWBGF-MRVPVSSYSA-N -1 1 317.280 1.801 20 0 DDADMM COCC(C)(C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330799972 232077564 /nfs/dbraw/zinc/07/75/64/232077564.db2.gz CGWRFGWEVHLTAO-UHFFFAOYSA-N -1 1 312.185 1.745 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2O[C@@H](C)C[C@@H]2C)c1Br ZINC000616009642 362519553 /nfs/dbraw/zinc/51/95/53/362519553.db2.gz YDUZLFJSXXFEQA-ATVXKPNKSA-N -1 1 302.172 1.655 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)c2ccc3oc(=O)nc-3[n-]2)n[nH]1 ZINC000616222723 362591550 /nfs/dbraw/zinc/59/15/50/362591550.db2.gz WVVPZYLAGCZVGR-UHFFFAOYSA-N -1 1 316.321 1.274 20 0 DDADMM Cn1cc(CNC(=O)c2ccc(Br)cc2[O-])nn1 ZINC000389932063 539510678 /nfs/dbraw/zinc/51/06/78/539510678.db2.gz LKDHMASVWGRYJE-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM CC[N@@H+](CC(=O)N1CCC(C(=O)[O-])CC1)Cc1ccccc1 ZINC000390798707 539511284 /nfs/dbraw/zinc/51/12/84/539511284.db2.gz VMUPNLNWJXFHBW-UHFFFAOYSA-N -1 1 304.390 1.832 20 0 DDADMM CCN(CC(=O)N1CCC(C(=O)[O-])CC1)Cc1ccccc1 ZINC000390798707 539511289 /nfs/dbraw/zinc/51/12/89/539511289.db2.gz VMUPNLNWJXFHBW-UHFFFAOYSA-N -1 1 304.390 1.832 20 0 DDADMM C[C@H]1C(=O)Nc2cc(C(=O)Nc3ccncc3[O-])ccc2N1C ZINC000358346204 299126918 /nfs/dbraw/zinc/12/69/18/299126918.db2.gz CXRAANRIOIGPQG-VIFPVBQESA-N -1 1 312.329 1.238 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H](O)C3CCOCC3)[nH][n-]2)s1 ZINC000616811900 362829870 /nfs/dbraw/zinc/82/98/70/362829870.db2.gz YRXRZKRDOZGJKY-CQSZACIVSA-N -1 1 321.402 1.595 20 0 DDADMM CCc1nc(CC(=O)N=c2ccnc3n(C)[n-]cc2-3)cs1 ZINC000279892206 215211124 /nfs/dbraw/zinc/21/11/24/215211124.db2.gz ULYDJRVLWLTMDW-WQRHYEAKSA-N -1 1 301.375 1.542 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)[C@H]1CCCO1 ZINC000080559349 192167062 /nfs/dbraw/zinc/16/70/62/192167062.db2.gz LMELLCRJKARLAF-GXSJLCMTSA-N -1 1 307.394 1.673 20 0 DDADMM CC1(CNC(=O)c2ccc(Br)cc2[O-])COC1 ZINC000083523310 192372827 /nfs/dbraw/zinc/37/28/27/192372827.db2.gz NMYCRFCDBRTYGL-UHFFFAOYSA-N -1 1 300.152 1.921 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cnc3onc(C)c3c2)o1 ZINC000354103491 290905869 /nfs/dbraw/zinc/90/58/69/290905869.db2.gz FJPNLJUOIIMGRO-UHFFFAOYSA-N -1 1 322.346 1.560 20 0 DDADMM Cc1nc(-c2ccc([N-]S(=O)(=O)C[C@H]3CCCO3)cc2)n[nH]1 ZINC000172367746 198086602 /nfs/dbraw/zinc/08/66/02/198086602.db2.gz JVVGOMNEDCJUPU-CYBMUJFWSA-N -1 1 322.390 1.701 20 0 DDADMM COCC1(N(C)C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CC1 ZINC000617472455 363123976 /nfs/dbraw/zinc/12/39/76/363123976.db2.gz QCBKZJAFJMTFOB-UHFFFAOYSA-N -1 1 318.377 1.232 20 0 DDADMM C[C@@H]1C[C@H]1CNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000032392693 352278902 /nfs/dbraw/zinc/27/89/02/352278902.db2.gz LMWDTRLHHNSVGE-ZJUUUORDSA-N -1 1 314.407 1.742 20 0 DDADMM COCc1cc(NC(=O)c2cncc([O-])c2)cc(C(=O)OC)c1 ZINC000344403416 282816286 /nfs/dbraw/zinc/81/62/86/282816286.db2.gz CKBCVTMPRLAEGC-UHFFFAOYSA-N -1 1 316.313 1.973 20 0 DDADMM Cc1cc(O[C@H](C)C(=O)NN2CC(=O)[N-]C2=O)ccc1C(C)C ZINC000044946354 352397902 /nfs/dbraw/zinc/39/79/02/352397902.db2.gz DIFRKWVIINGTGB-LLVKDONJSA-N -1 1 319.361 1.469 20 0 DDADMM CC(C)(C)c1n[n-]c(S(=O)(=O)Cc2nnc(C3CC3)o2)n1 ZINC000579313260 422742773 /nfs/dbraw/zinc/74/27/73/422742773.db2.gz GFWXVUXLGCQNFW-UHFFFAOYSA-N -1 1 311.367 1.337 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2nnc(C3CC3)o2)n[n-]1 ZINC000579313260 422742781 /nfs/dbraw/zinc/74/27/81/422742781.db2.gz GFWXVUXLGCQNFW-UHFFFAOYSA-N -1 1 311.367 1.337 20 0 DDADMM COc1cc(O)cc([N-]S(=O)(=O)C[C@@H](OC)C2CC2)c1 ZINC000564973052 304032051 /nfs/dbraw/zinc/03/20/51/304032051.db2.gz QRQKPYCMUNZLDX-CYBMUJFWSA-N -1 1 301.364 1.568 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)CCOc1ccccc1F ZINC000073942253 353280341 /nfs/dbraw/zinc/28/03/41/353280341.db2.gz GKWLZOFPLJYEHF-UHFFFAOYSA-N -1 1 324.381 1.974 20 0 DDADMM CCn1cc(Br)c(=O)c([N-]S(=O)(=O)C2CC2)c1 ZINC000545121069 303481230 /nfs/dbraw/zinc/48/12/30/303481230.db2.gz FFDZJTXXJCKTJS-UHFFFAOYSA-N -1 1 321.196 1.535 20 0 DDADMM CNC(=O)c1cccc(CCNC(=O)c2ncc(C)cc2[O-])c1 ZINC000358614584 299207989 /nfs/dbraw/zinc/20/79/89/299207989.db2.gz JBTBFXWXPQNRJM-UHFFFAOYSA-N -1 1 313.357 1.428 20 0 DDADMM Cn1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(C(C)(C)C)n1 ZINC000092283132 353850514 /nfs/dbraw/zinc/85/05/14/353850514.db2.gz GBCOWXMMVFGEBY-JTQLQIEISA-N -1 1 317.397 1.251 20 0 DDADMM C[C@H](NS(=O)(=O)c1c(F)cc(F)cc1F)[C@H](C)C(=O)[O-] ZINC000133154325 354107500 /nfs/dbraw/zinc/10/75/00/354107500.db2.gz RVHDCHLFNOJIKW-WDSKDSINSA-N -1 1 311.281 1.491 20 0 DDADMM O=C([O-])CC1(CNS(=O)(=O)c2c(F)cccc2F)CCC1 ZINC000164937110 354247598 /nfs/dbraw/zinc/24/75/98/354247598.db2.gz DIAJZUDPUCRVBL-UHFFFAOYSA-N -1 1 319.329 1.888 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC[C@@H](C)[C@H]2C)c(=O)[n-]1 ZINC000177096334 198665298 /nfs/dbraw/zinc/66/52/98/198665298.db2.gz LYNBPOQYZVPBQQ-MWLCHTKSSA-N -1 1 309.435 1.990 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ncccc1SC ZINC000593115802 355804405 /nfs/dbraw/zinc/80/44/05/355804405.db2.gz ISLSGNIWZJDMEA-UHFFFAOYSA-N -1 1 320.374 1.388 20 0 DDADMM CCOC(=O)[C@H](CC1(OC)CCC1)NC(=O)c1cncc([O-])c1 ZINC000593859301 356026146 /nfs/dbraw/zinc/02/61/46/356026146.db2.gz ZAIWGYCNTVRBED-ZDUSSCGKSA-N -1 1 322.361 1.408 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H](NC(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000631416446 422750443 /nfs/dbraw/zinc/75/04/43/422750443.db2.gz WHCCOKUJZFRSHL-GHMZBOCLSA-N -1 1 310.781 1.748 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]CC(=O)OC(C)(C)C)C1CCCC1 ZINC000594754986 356308617 /nfs/dbraw/zinc/30/86/17/356308617.db2.gz UTMJPFQLXACRLA-GFCCVEGCSA-N -1 1 321.439 1.453 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CC[C@@H](C)C2)c1 ZINC000360347010 306980719 /nfs/dbraw/zinc/98/07/19/306980719.db2.gz RBZYXSIQXRNHIL-ZJUUUORDSA-N -1 1 301.364 1.781 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)C(C)C ZINC000595314121 356445853 /nfs/dbraw/zinc/44/58/53/356445853.db2.gz GANLNVPVNNMCBK-JTQLQIEISA-N -1 1 319.379 1.324 20 0 DDADMM CCC(O)(CC)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595315540 356446976 /nfs/dbraw/zinc/44/69/76/356446976.db2.gz RIISNNSBDUSYCL-UHFFFAOYSA-N -1 1 319.379 1.204 20 0 DDADMM CSC[C@@H](CCO)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601355501 358513185 /nfs/dbraw/zinc/51/31/85/358513185.db2.gz SQDOEGIOTBJWIH-SSDOTTSWSA-N -1 1 315.363 1.610 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C1=Cc2ccccc2OC1)C1CC1 ZINC000276859112 213138096 /nfs/dbraw/zinc/13/80/96/213138096.db2.gz ICGJMHNXZRNWJA-CQSZACIVSA-N -1 1 323.370 1.291 20 0 DDADMM C[C@@H](CCNC(=O)c1ncc2ccccc2c1[O-])[S@@](C)=O ZINC000597397096 357163898 /nfs/dbraw/zinc/16/38/98/357163898.db2.gz PBVLPCVSEBPEPC-CHNSCGDPSA-N -1 1 306.387 1.827 20 0 DDADMM COC(=O)[C@@](C)(CCF)NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000598284742 357524250 /nfs/dbraw/zinc/52/42/50/357524250.db2.gz JSMFREWUSFUXRL-CYBMUJFWSA-N -1 1 315.370 1.160 20 0 DDADMM O=C(Nc1ccccc1OC1CCCC1)NN1CC(=O)[N-]C1=O ZINC000276808702 213107704 /nfs/dbraw/zinc/10/77/04/213107704.db2.gz BUFHZSAIJXHBKK-UHFFFAOYSA-N -1 1 318.333 1.596 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]C(C)(C)C)c1F ZINC000599202734 357849328 /nfs/dbraw/zinc/84/93/28/357849328.db2.gz GGLPKXNEPQIOGU-UHFFFAOYSA-N -1 1 307.318 1.828 20 0 DDADMM CC(C)n1cc(S(=O)(=O)[N-]CC(F)(F)C(F)F)cn1 ZINC000276747366 213083874 /nfs/dbraw/zinc/08/38/74/213083874.db2.gz BUYRGBDBHWDCOE-UHFFFAOYSA-N -1 1 303.281 1.643 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc2cc(Br)ccc2[nH]1 ZINC000600493633 358239432 /nfs/dbraw/zinc/23/94/32/358239432.db2.gz CJNZSWZGDBVDSI-UHFFFAOYSA-N -1 1 321.138 1.374 20 0 DDADMM Cc1noc2nc(C(C)C)cc(C(=O)NCc3nn[n-]n3)c12 ZINC000600505091 358242498 /nfs/dbraw/zinc/24/24/98/358242498.db2.gz MFCOOXPVEQGZGG-UHFFFAOYSA-N -1 1 301.310 1.098 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(CC[S@@](=O)c2ccccc2)CC1 ZINC000600675511 358279960 /nfs/dbraw/zinc/27/99/60/358279960.db2.gz TWRRSMUUWIAWPL-JOCHJYFZSA-N -1 1 324.446 1.275 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCC(C)C)c1cccs1 ZINC000348107134 283371501 /nfs/dbraw/zinc/37/15/01/283371501.db2.gz IVXSRBIHGPFCQX-NSHDSACASA-N -1 1 305.421 1.928 20 0 DDADMM CCSCCCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000601411046 358540866 /nfs/dbraw/zinc/54/08/66/358540866.db2.gz YFIJCFIPBOFQBR-UHFFFAOYSA-N -1 1 305.421 1.822 20 0 DDADMM CCOC(=O)[C@](C)(O)CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601440790 358555340 /nfs/dbraw/zinc/55/53/40/358555340.db2.gz XZOMZHAICCSLER-CQSZACIVSA-N -1 1 315.753 1.018 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)C[C@H]1C ZINC000601657097 358640604 /nfs/dbraw/zinc/64/06/04/358640604.db2.gz LYYSPQLFNUOBNM-MWLCHTKSSA-N -1 1 311.765 1.856 20 0 DDADMM CCOC(=O)[C@]1(C)CN(C(=O)c2cc(F)ccc2[O-])CCO1 ZINC000601907466 358735848 /nfs/dbraw/zinc/73/58/48/358735848.db2.gz QTOBQYPKXBFYEW-HNNXBMFYSA-N -1 1 311.309 1.326 20 0 DDADMM C[C@@H](C[S@@](C)=O)N(C)C(=O)N=c1[n-]sc2ccccc21 ZINC000603052922 359378466 /nfs/dbraw/zinc/37/84/66/359378466.db2.gz YEHMFMBMPSUTAL-GWNMQOMSSA-N -1 1 311.432 1.949 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C(C2CC2)C2CC2)n[n-]1 ZINC000603154973 359441738 /nfs/dbraw/zinc/44/17/38/359441738.db2.gz NSXGTWNIUJAADK-QMMMGPOBSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C(C2CC2)C2CC2)[n-]1 ZINC000603154973 359441743 /nfs/dbraw/zinc/44/17/43/359441743.db2.gz NSXGTWNIUJAADK-QMMMGPOBSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C(C2CC2)C2CC2)n1 ZINC000603154973 359441749 /nfs/dbraw/zinc/44/17/49/359441749.db2.gz NSXGTWNIUJAADK-QMMMGPOBSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)n[n-]1 ZINC000603155583 359442124 /nfs/dbraw/zinc/44/21/24/359442124.db2.gz OGQGLINAAGKIPM-VLEAKVRGSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)[n-]1 ZINC000603155583 359442125 /nfs/dbraw/zinc/44/21/25/359442125.db2.gz OGQGLINAAGKIPM-VLEAKVRGSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)n1 ZINC000603155583 359442126 /nfs/dbraw/zinc/44/21/26/359442126.db2.gz OGQGLINAAGKIPM-VLEAKVRGSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](C)CC(F)(F)F)n[n-]1 ZINC000603152757 359438885 /nfs/dbraw/zinc/43/88/85/359438885.db2.gz FNKSDFJMTVHWOI-RQJHMYQMSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](C)CC(F)(F)F)[n-]1 ZINC000603152757 359438889 /nfs/dbraw/zinc/43/88/89/359438889.db2.gz FNKSDFJMTVHWOI-RQJHMYQMSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](C)CC(F)(F)F)n1 ZINC000603152757 359438893 /nfs/dbraw/zinc/43/88/93/359438893.db2.gz FNKSDFJMTVHWOI-RQJHMYQMSA-N -1 1 322.287 1.747 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)CNCC(F)(F)F)[nH][n-]2)s1 ZINC000603547320 359657188 /nfs/dbraw/zinc/65/71/88/359657188.db2.gz MDXFAGPLMXVOJD-UHFFFAOYSA-N -1 1 318.324 1.959 20 0 DDADMM COC(=O)c1cccc(NC(=O)CN2C[C@@H](C)[C@H](C(=O)[O-])C2)c1 ZINC000565718839 304085980 /nfs/dbraw/zinc/08/59/80/304085980.db2.gz FQMBMULHYOIVQW-ZWNOBZJWSA-N -1 1 320.345 1.064 20 0 DDADMM O=C(NCCCO[C@H]1CCOC1)c1c(F)ccc([O-])c1F ZINC000281079756 216066271 /nfs/dbraw/zinc/06/62/71/216066271.db2.gz UKIFCLANKSETSC-VIFPVBQESA-N -1 1 301.289 1.596 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc2c(s1)CCOC2 ZINC000605531063 359867295 /nfs/dbraw/zinc/86/72/95/359867295.db2.gz ITLDCGNJTPRNFY-UHFFFAOYSA-N -1 1 319.390 1.533 20 0 DDADMM COc1ccnc(CNC(=O)c2csc(=NC3CC3)[n-]2)c1F ZINC000618639048 363698604 /nfs/dbraw/zinc/69/86/04/363698604.db2.gz WDKWRHDMRJDTLU-UHFFFAOYSA-N -1 1 322.365 1.612 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H]1CCO)c1ccc(F)c(F)c1F ZINC000188925377 200322633 /nfs/dbraw/zinc/32/26/33/200322633.db2.gz ADKQXKIXXKDKNT-WPRPVWTQSA-N -1 1 323.336 1.933 20 0 DDADMM O=C(Nc1c([O-])cccc1F)C1CCC2(CC1)NC(=O)NC2=O ZINC000608366311 360166856 /nfs/dbraw/zinc/16/68/56/360166856.db2.gz RCENLEAHIWZZEM-UHFFFAOYSA-N -1 1 321.308 1.238 20 0 DDADMM COCCCO[N-]C(=O)[C@H](c1ccccc1)N1CCC(O)CC1 ZINC000287818103 219744881 /nfs/dbraw/zinc/74/48/81/219744881.db2.gz MTGUYWZIIQRXGP-INIZCTEOSA-N -1 1 322.405 1.269 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2cccc(C(=O)OC)c2O)on1 ZINC000611591572 360772308 /nfs/dbraw/zinc/77/23/08/360772308.db2.gz LBOJEOAAYHMYHZ-UHFFFAOYSA-N -1 1 320.257 1.206 20 0 DDADMM O=C(NC[C@@H](O)C1CCOCC1)c1ncc2ccccc2c1[O-] ZINC000612425258 361008909 /nfs/dbraw/zinc/00/89/09/361008909.db2.gz FRUBAGUKBZRSKR-CQSZACIVSA-N -1 1 316.357 1.458 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CC[C@@]2(O)C[C@H]2C1 ZINC000618756322 363750037 /nfs/dbraw/zinc/75/00/37/363750037.db2.gz VADYORHNOCGYCT-TVQRCGJNSA-N -1 1 312.163 1.752 20 0 DDADMM C[C@H]1[C@H](C)N(C(=O)c2cccc(-c3nc(=O)o[n-]3)c2)CCN1C ZINC000613227034 361337579 /nfs/dbraw/zinc/33/75/79/361337579.db2.gz PUCGVCONLOREEF-QWRGUYRKSA-N -1 1 316.361 1.195 20 0 DDADMM O=C(NC1(CO)CCOCC1)c1ncc2ccccc2c1[O-] ZINC000613317418 361378629 /nfs/dbraw/zinc/37/86/29/361378629.db2.gz XQWUBVZSRATWEH-UHFFFAOYSA-N -1 1 302.330 1.212 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCO[C@@]2(CCOC2)C1 ZINC000613582653 361476619 /nfs/dbraw/zinc/47/66/19/361476619.db2.gz WQCAVPUGBKTIBB-KRWDZBQOSA-N -1 1 314.341 1.572 20 0 DDADMM CO[C@@H](C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C1CCC1 ZINC000621709474 364995033 /nfs/dbraw/zinc/99/50/33/364995033.db2.gz USAFEXUBEWXLJE-QWHCGFSZSA-N -1 1 301.350 1.220 20 0 DDADMM O=C([O-])Cn1cnc(=NC(=O)c2ccc(C3CCC3)cc2)[nH]1 ZINC000622043775 365208681 /nfs/dbraw/zinc/20/86/81/365208681.db2.gz LHZKBKILGYXBLE-UHFFFAOYSA-N -1 1 300.318 1.304 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1COc2cc(F)ccc2C1)c1nn[n-]n1 ZINC000626024650 367281463 /nfs/dbraw/zinc/28/14/63/367281463.db2.gz RAGBELMQBPUDLP-CMPLNLGQSA-N -1 1 319.340 1.548 20 0 DDADMM C[C@@H]1CN(C(=O)c2nn(-c3ccccc3F)cc2[O-])C[C@@H](C)N1 ZINC000566246905 304133092 /nfs/dbraw/zinc/13/30/92/304133092.db2.gz RAAXTAADKVZZHJ-GHMZBOCLSA-N -1 1 318.352 1.539 20 0 DDADMM CCC(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000349655812 284000791 /nfs/dbraw/zinc/00/07/91/284000791.db2.gz NHOGAJRLTGZQMJ-UHFFFAOYSA-N -1 1 313.361 1.992 20 0 DDADMM Cn1cnc(C[N-]S(=O)(=O)c2cc(Cl)ccc2Cl)n1 ZINC000092265146 193183131 /nfs/dbraw/zinc/18/31/31/193183131.db2.gz YIRJMHHDVLYUTB-UHFFFAOYSA-N -1 1 321.189 1.600 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)Nc1cccc(C(=O)NC)c1)C(=O)[O-] ZINC000262296152 203253109 /nfs/dbraw/zinc/25/31/09/203253109.db2.gz OTSNYBAJGDRENM-ZWNOBZJWSA-N -1 1 321.377 1.216 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1[C@@H]2Cc3c(cccc3Cl)[C@@H]21 ZINC000636280531 422773545 /nfs/dbraw/zinc/77/35/45/422773545.db2.gz CJZRKDRMOYHLDA-WKPIXPDZSA-N -1 1 317.780 1.630 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc(C)cc2F)C1 ZINC000635019395 422773901 /nfs/dbraw/zinc/77/39/01/422773901.db2.gz VUCUPINYMUCNIL-MRXNPFEDSA-N -1 1 324.352 1.496 20 0 DDADMM CSCC[C@H](NC(N)=O)C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000264927009 204276162 /nfs/dbraw/zinc/27/61/62/204276162.db2.gz MCNXFNMXWDZNNE-VIFPVBQESA-N -1 1 317.798 1.774 20 0 DDADMM COCCN(CCC(=O)OC)C(=O)c1ccc(Cl)cc1[O-] ZINC000096537223 193676517 /nfs/dbraw/zinc/67/65/17/193676517.db2.gz CJAAOXZPKGEATF-UHFFFAOYSA-N -1 1 315.753 1.697 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)N1CCC[C@H]1C)c1cccs1 ZINC000351471898 284328667 /nfs/dbraw/zinc/32/86/67/284328667.db2.gz MUFUZZFUVXNLLN-MWLCHTKSSA-N -1 1 318.420 1.281 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H](OC)c2cnn(C)c2)c1 ZINC000282745587 217220855 /nfs/dbraw/zinc/22/08/55/217220855.db2.gz JGEFMEIESGGWLN-ZDUSSCGKSA-N -1 1 319.317 1.239 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CNC(=O)OC(C)(C)C)c1 ZINC000282892412 217305233 /nfs/dbraw/zinc/30/52/33/217305233.db2.gz WGPSFNZIYJRUHO-UHFFFAOYSA-N -1 1 324.333 1.642 20 0 DDADMM O=C(CSc1ccccc1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000283033647 217399638 /nfs/dbraw/zinc/39/96/38/217399638.db2.gz YTZAFGWLUCWXRE-NSHDSACASA-N -1 1 318.402 1.596 20 0 DDADMM CC(C)(C)c1n[n-]c(=NCc2nc(C3CCOCC3)n[nH]2)s1 ZINC000631460430 422778655 /nfs/dbraw/zinc/77/86/55/422778655.db2.gz SAEXQIINJUCLHX-UHFFFAOYSA-N -1 1 322.438 1.882 20 0 DDADMM CC(C)(C)c1n[n-]c(=NCc2n[nH]c(C3CCOCC3)n2)s1 ZINC000631460430 422778659 /nfs/dbraw/zinc/77/86/59/422778659.db2.gz SAEXQIINJUCLHX-UHFFFAOYSA-N -1 1 322.438 1.882 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)c2cc(F)ccc2[O-])C1 ZINC000267537043 206133229 /nfs/dbraw/zinc/13/32/29/206133229.db2.gz YYRDEJBRWVLQOY-CMPLNLGQSA-N -1 1 308.353 1.908 20 0 DDADMM CCC[C@H](NC(=O)c1cn(-c2ccccc2)nn1)c1nn[n-]n1 ZINC000267706078 206231377 /nfs/dbraw/zinc/23/13/77/206231377.db2.gz RFXDCONAPIZFRI-NSHDSACASA-N -1 1 312.337 1.052 20 0 DDADMM CC(C)C[C@@H](CO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000040794977 183135487 /nfs/dbraw/zinc/13/54/87/183135487.db2.gz HPNQUELFHBCXRY-QMMMGPOBSA-N -1 1 311.325 1.789 20 0 DDADMM C[C@H](CN(C)CC(=O)Nc1nc2ccccc2s1)C(=O)[O-] ZINC000042706550 183299300 /nfs/dbraw/zinc/29/93/00/183299300.db2.gz CBKPFMRKUMVCRD-SECBINFHSA-N -1 1 307.375 1.887 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(Cc2nc(Cc3ccccc3)no2)C1 ZINC000567281318 304210494 /nfs/dbraw/zinc/21/04/94/304210494.db2.gz SDYZTTNJZJMTML-MRXNPFEDSA-N -1 1 301.346 1.957 20 0 DDADMM C[C@@H](CN(C)C(=O)c1csc(NC2CC2)n1)c1nn[n-]n1 ZINC000274130534 211381420 /nfs/dbraw/zinc/38/14/20/211381420.db2.gz BOKRNLYQACYZQU-ZETCQYMHSA-N -1 1 307.383 1.106 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCCO1)c1cc(F)c(F)cc1F ZINC000105619165 194115593 /nfs/dbraw/zinc/11/55/93/194115593.db2.gz DAZZXEKZLGFLAK-QMMMGPOBSA-N -1 1 309.309 1.951 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1sccc1F)C(=O)NC(C)(C)C ZINC000338875024 250177097 /nfs/dbraw/zinc/17/70/97/250177097.db2.gz UIBWNYBASLPONU-SSDOTTSWSA-N -1 1 308.400 1.469 20 0 DDADMM COc1cccc([C@H]2C[C@@H](O)CN2C(=O)c2cncc([O-])c2)c1 ZINC000284908729 218231032 /nfs/dbraw/zinc/23/10/32/218231032.db2.gz GYNGCZBGUUGKAN-GDBMZVCRSA-N -1 1 314.341 1.744 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCCCF)c(C(F)(F)F)n1 ZINC000338994536 250238161 /nfs/dbraw/zinc/23/81/61/250238161.db2.gz XMLIYMISYMWZNM-UHFFFAOYSA-N -1 1 303.281 1.467 20 0 DDADMM O=S(=O)([N-]Cc1nnc2n1CCCC2)c1sccc1F ZINC000338969486 250224263 /nfs/dbraw/zinc/22/42/63/250224263.db2.gz XDVOQTVBRNKWAA-UHFFFAOYSA-N -1 1 316.383 1.294 20 0 DDADMM C[C@H]1C(=O)NCCN1C(=O)c1ccc(Br)cc1[O-] ZINC000106359773 194200091 /nfs/dbraw/zinc/20/00/91/194200091.db2.gz DLEGCIRALGZOCH-ZETCQYMHSA-N -1 1 313.151 1.115 20 0 DDADMM O=S(=O)(CCCCF)[N-]c1cc(C2CCOCC2)[nH]n1 ZINC000288592184 220270055 /nfs/dbraw/zinc/27/00/55/220270055.db2.gz NCGHRDDTTNEIKD-UHFFFAOYSA-N -1 1 305.375 1.795 20 0 DDADMM O=C(Cn1cnc2ccccc2c1=O)Nc1ccc(F)cc1[O-] ZINC000340328589 251010603 /nfs/dbraw/zinc/01/06/03/251010603.db2.gz ILISELXPVXSLFR-UHFFFAOYSA-N -1 1 313.288 1.880 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)[C@@H](C)N2CC[C@@H](C(=O)[O-])C2)c1C ZINC000567593030 304227931 /nfs/dbraw/zinc/22/79/31/304227931.db2.gz ORODAVMJXCESKR-GHMZBOCLSA-N -1 1 322.361 1.396 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@@H]1CCOC1)c1cc(Cl)ccc1F ZINC000340841901 251238245 /nfs/dbraw/zinc/23/82/45/251238245.db2.gz GMRTWGJZISYQET-KCJUWKMLSA-N -1 1 323.773 1.155 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)CCC(F)(F)F ZINC000340938323 251290504 /nfs/dbraw/zinc/29/05/04/251290504.db2.gz VDBRLHFBHSEHLE-MRVPVSSYSA-N -1 1 305.318 1.446 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-]c1cc(F)c(F)cc1F ZINC000340944218 251293885 /nfs/dbraw/zinc/29/38/85/251293885.db2.gz VSLZTLAZNFALIV-UHFFFAOYSA-N -1 1 305.281 1.947 20 0 DDADMM CO[C@@H](C)c1cccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000352421132 285039134 /nfs/dbraw/zinc/03/91/34/285039134.db2.gz ATGYMYQRDQCMSX-VIFPVBQESA-N -1 1 313.317 1.377 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCCC[C@H]2OC)c1 ZINC000270016798 208068211 /nfs/dbraw/zinc/06/82/11/208068211.db2.gz NJBCCEOMLDQOMD-WDEREUQCSA-N -1 1 317.363 1.302 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CCCCS1 ZINC000272937262 210324467 /nfs/dbraw/zinc/32/44/67/210324467.db2.gz LGUWGTMWPNHYKT-LBPRGKRZSA-N -1 1 320.418 1.541 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cccnc2C(F)(F)F)on1 ZINC000286515940 219031072 /nfs/dbraw/zinc/03/10/72/219031072.db2.gz FJUGLNFSSYDVDD-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM CN(C)C(=O)c1ccc(=NC[C@H]2CSc3ccccc32)[n-]n1 ZINC000413322688 224176628 /nfs/dbraw/zinc/17/66/28/224176628.db2.gz HFDKLUKJNMUEME-NSHDSACASA-N -1 1 314.414 1.902 20 0 DDADMM COC(=O)C1(C)CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000425167696 533180471 /nfs/dbraw/zinc/18/04/71/533180471.db2.gz XPLJSWNLMDDLJT-UHFFFAOYSA-N -1 1 321.377 1.423 20 0 DDADMM COCC(C)(C)CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000413668018 224235242 /nfs/dbraw/zinc/23/52/42/224235242.db2.gz NGXXFODXZYCVFO-UHFFFAOYSA-N -1 1 316.379 1.041 20 0 DDADMM O=C(N[C@H]1CCC(=O)NC12CCC2)c1c(F)ccc([O-])c1F ZINC000289585011 221085424 /nfs/dbraw/zinc/08/54/24/221085424.db2.gz VIWAQMUHVOHFNX-JTQLQIEISA-N -1 1 310.300 1.602 20 0 DDADMM O=C1CNC(=O)N1CCCSc1nc(C(F)F)cc(=O)[n-]1 ZINC000289665701 221140265 /nfs/dbraw/zinc/14/02/65/221140265.db2.gz YHRFRNKJSPQTGI-UHFFFAOYSA-N -1 1 318.305 1.154 20 0 DDADMM COCc1noc(CSc2nc(C(F)F)cc(=O)[n-]2)n1 ZINC000289670463 221143971 /nfs/dbraw/zinc/14/39/71/221143971.db2.gz YSHNDMBWJXNIIA-UHFFFAOYSA-N -1 1 304.278 1.942 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC(Cn2cncn2)CC1 ZINC000289688635 221154939 /nfs/dbraw/zinc/15/49/39/221154939.db2.gz BNJCRCHIQXAORL-UHFFFAOYSA-N -1 1 322.315 1.814 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2c(C)onc2N)CCCCC1 ZINC000290027140 221389125 /nfs/dbraw/zinc/38/91/25/221389125.db2.gz YTCMQCZFKNBDFE-UHFFFAOYSA-N -1 1 303.384 1.193 20 0 DDADMM Cc1cc(CN[C@H]2CCN([C@@H](C(=O)[O-])C(C)C)C2=O)ccc1F ZINC000414664772 224369930 /nfs/dbraw/zinc/36/99/30/224369930.db2.gz TXTNIKYCCYIGJL-LSDHHAIUSA-N -1 1 322.380 1.934 20 0 DDADMM COC(=O)[C@H](Cc1ccccc1)NC(=O)c1ncc(C)cc1[O-] ZINC000494920110 533300437 /nfs/dbraw/zinc/30/04/37/533300437.db2.gz DCTUFODJNZSWDS-ZDUSSCGKSA-N -1 1 314.341 1.610 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CCc3ncnn3C2)cc(Cl)c1[O-] ZINC000332650398 533548964 /nfs/dbraw/zinc/54/89/64/533548964.db2.gz IFIFFTPZBBSPTB-SECBINFHSA-N -1 1 322.752 1.391 20 0 DDADMM COc1cc(C(=O)N[C@]2(CCO)CCOC2)cc(Cl)c1[O-] ZINC000447064726 533556739 /nfs/dbraw/zinc/55/67/39/533556739.db2.gz AFTNJTXLCVWESV-CQSZACIVSA-N -1 1 315.753 1.326 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@H](COCc2ccccc2)C1 ZINC000631530617 422814149 /nfs/dbraw/zinc/81/41/49/422814149.db2.gz XTVJXGIJSGUOFJ-AWEZNQCLSA-N -1 1 315.377 1.198 20 0 DDADMM COc1cncc(C(=O)[N-]c2nnc([C@@H]3CCCO3)s2)n1 ZINC000636359318 422818220 /nfs/dbraw/zinc/81/82/20/422818220.db2.gz QGBGGBWVFGGGEK-QMMMGPOBSA-N -1 1 307.335 1.441 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CSC(C)C)c1 ZINC000568983170 304326467 /nfs/dbraw/zinc/32/64/67/304326467.db2.gz XCIDILPKIPPGJX-UHFFFAOYSA-N -1 1 318.420 1.380 20 0 DDADMM O=S(=O)([N-]c1cccc(O)c1)c1ccc2c(c1)OCCO2 ZINC000035684230 406974679 /nfs/dbraw/zinc/97/46/79/406974679.db2.gz OAEIUYAOUSFBOR-UHFFFAOYSA-N -1 1 307.327 1.964 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2ccc(C(C)C)cc2)n1 ZINC000031721276 406941778 /nfs/dbraw/zinc/94/17/78/406941778.db2.gz ZWKUEDWKSXOMJW-UHFFFAOYSA-N -1 1 322.390 1.914 20 0 DDADMM CSc1ccccc1[N-]C(=O)c1ccc(S(N)(=O)=O)o1 ZINC000048685219 407106078 /nfs/dbraw/zinc/10/60/78/407106078.db2.gz RMZANGMUCDMWCP-UHFFFAOYSA-N -1 1 312.372 1.901 20 0 DDADMM Cn1cc([N-]S(=O)(=O)c2ccc(F)cc2F)ccc1=O ZINC000048961784 407112001 /nfs/dbraw/zinc/11/20/01/407112001.db2.gz QXPVFVREOJHFQW-UHFFFAOYSA-N -1 1 300.286 1.464 20 0 DDADMM CC[N@@H+](C)[C@H](C(=O)NCc1n[nH]c(=S)n1C)c1ccccc1 ZINC000066625117 407256461 /nfs/dbraw/zinc/25/64/61/407256461.db2.gz MJJFVCFGVWMUGN-ZDUSSCGKSA-N -1 1 319.434 1.787 20 0 DDADMM C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1cc(F)ccc1[O-] ZINC000094138786 407203846 /nfs/dbraw/zinc/20/38/46/407203846.db2.gz OXZSKRJLXJHTER-BDAKNGLRSA-N -1 1 303.355 1.425 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(C(N)=O)cc2)c(C)c1 ZINC000055549400 407205367 /nfs/dbraw/zinc/20/53/67/407205367.db2.gz CXHQFSDROBTXBI-UHFFFAOYSA-N -1 1 320.370 1.903 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(OC(C)C)n1)c1nn[n-]n1 ZINC000123132024 407320665 /nfs/dbraw/zinc/32/06/65/407320665.db2.gz DSTXNLIKDHDDOL-SNVBAGLBSA-N -1 1 304.354 1.653 20 0 DDADMM O=C([N-]N1CCCCC1=O)c1cc(-c2ccc(F)cc2)[nH]n1 ZINC000102641964 407325158 /nfs/dbraw/zinc/32/51/58/407325158.db2.gz ZIPSKLKPRARYLL-UHFFFAOYSA-N -1 1 302.309 1.873 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)c2ccccn2)o1 ZINC000107698223 407378712 /nfs/dbraw/zinc/37/87/12/407378712.db2.gz UKJIKHQYHVTVTE-VIFPVBQESA-N -1 1 310.331 1.501 20 0 DDADMM CCOCCS(=O)(=O)c1n[n-]c(CCC2CCCC2)n1 ZINC000108593031 407389219 /nfs/dbraw/zinc/38/92/19/407389219.db2.gz ZWFJIQKVCBRNCM-UHFFFAOYSA-N -1 1 301.412 1.738 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cccc(F)c1F)OCC1CC1 ZINC000111337130 407408713 /nfs/dbraw/zinc/40/87/13/407408713.db2.gz PKAOQGCWURWGOV-UHFFFAOYSA-N -1 1 305.302 1.196 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2ccsc2)c1 ZINC000151770586 407495830 /nfs/dbraw/zinc/49/58/30/407495830.db2.gz ULKXMOCXZQLMCM-UHFFFAOYSA-N -1 1 315.372 1.649 20 0 DDADMM CC(C)S(=O)(=O)CCCS(=O)(=O)c1ccc([O-])cc1 ZINC000128180320 407506697 /nfs/dbraw/zinc/50/66/97/407506697.db2.gz BBFSELIJIYBIEK-UHFFFAOYSA-N -1 1 306.405 1.379 20 0 DDADMM CC(C)C(=O)N(C)Cc1ccc(C(=O)Nc2nnn[n-]2)cc1 ZINC000265944007 407519688 /nfs/dbraw/zinc/51/96/88/407519688.db2.gz KGKUYEXALJYNCO-UHFFFAOYSA-N -1 1 302.338 1.066 20 0 DDADMM CC(C)C(=O)N(C)Cc1ccc(C(=O)Nc2nn[n-]n2)cc1 ZINC000265944007 407519693 /nfs/dbraw/zinc/51/96/93/407519693.db2.gz KGKUYEXALJYNCO-UHFFFAOYSA-N -1 1 302.338 1.066 20 0 DDADMM COc1ccccc1CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129281488 407601482 /nfs/dbraw/zinc/60/14/82/407601482.db2.gz WKTKAOWPWUGMRH-LBPRGKRZSA-N -1 1 301.350 1.157 20 0 DDADMM C[C@H](O)[C@H]1CCN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000227523238 407632544 /nfs/dbraw/zinc/63/25/44/407632544.db2.gz ITKCNHCIFABSCZ-IUCAKERBSA-N -1 1 314.179 1.998 20 0 DDADMM CCCNC(=O)c1cccc(CNC(=O)c2ncccc2[O-])c1 ZINC000171257232 407640300 /nfs/dbraw/zinc/64/03/00/407640300.db2.gz GDYMPIWGDTUEOP-UHFFFAOYSA-N -1 1 313.357 1.857 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)(F)F)c1ccc(Cl)cc1F ZINC000228545127 407646872 /nfs/dbraw/zinc/64/68/72/407646872.db2.gz UTMYIMPCHUIBEH-MRVPVSSYSA-N -1 1 321.679 1.681 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)(F)F)c1cc(F)cc(F)c1 ZINC000228544677 407647640 /nfs/dbraw/zinc/64/76/40/407647640.db2.gz GXOSYLIATJDJEQ-QMMMGPOBSA-N -1 1 305.224 1.166 20 0 DDADMM CCC[C@@](C)([N-]S(=O)(=O)c1cn(C)c(Cl)n1)C(=O)OC ZINC000130375311 407684671 /nfs/dbraw/zinc/68/46/71/407684671.db2.gz ILUSTHQLEDSWMP-LLVKDONJSA-N -1 1 323.802 1.084 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000152788208 407691149 /nfs/dbraw/zinc/69/11/49/407691149.db2.gz DVRGCCBVBULDCB-UHFFFAOYSA-N -1 1 307.803 1.169 20 0 DDADMM COCCOCCNC(=O)c1ccc(Br)c([O-])c1 ZINC000179147341 407737914 /nfs/dbraw/zinc/73/79/14/407737914.db2.gz HQPNHPKKIZBMKM-UHFFFAOYSA-N -1 1 318.167 1.548 20 0 DDADMM CC(C)CN1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000179395753 407783099 /nfs/dbraw/zinc/78/30/99/407783099.db2.gz AFILENCFVOOANB-UHFFFAOYSA-N -1 1 317.393 1.088 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1COc3ccccc31)c2=O ZINC000179462377 407792604 /nfs/dbraw/zinc/79/26/04/407792604.db2.gz HAIGTLWCLXZHKC-GFCCVEGCSA-N -1 1 310.313 1.537 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)NCCCOC1CCCCC1 ZINC000262676266 407899333 /nfs/dbraw/zinc/89/93/33/407899333.db2.gz UFCQNSASAWZZRN-UHFFFAOYSA-N -1 1 300.399 1.249 20 0 DDADMM CCC[C@@H](NC(=O)CN1CCc2ccccc21)c1nn[n-]n1 ZINC000268136008 407907844 /nfs/dbraw/zinc/90/78/44/407907844.db2.gz CKFWCSANOMBGND-GFCCVEGCSA-N -1 1 300.366 1.220 20 0 DDADMM COc1cc(C(=O)NCCCC(=O)N(C)C)cc(Cl)c1[O-] ZINC000134985943 407962512 /nfs/dbraw/zinc/96/25/12/407962512.db2.gz XSPMRFNQSOISAJ-UHFFFAOYSA-N -1 1 314.769 1.652 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc(-c2ccccc2)[nH]1)c1nn[n-]n1 ZINC000268254322 407973289 /nfs/dbraw/zinc/97/32/89/407973289.db2.gz XQIVUESFBBRCEW-LLVKDONJSA-N -1 1 311.349 1.861 20 0 DDADMM C[C@@H](Cn1ncc2ccccc2c1=O)NC(=O)c1ncccc1[O-] ZINC000135225267 407991214 /nfs/dbraw/zinc/99/12/14/407991214.db2.gz VKEGHZZEAADJPW-NSHDSACASA-N -1 1 324.340 1.316 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCCC(C)(C)C2)co1 ZINC000135244541 407995728 /nfs/dbraw/zinc/99/57/28/407995728.db2.gz BPRBCTQQANPOLX-NSHDSACASA-N -1 1 314.407 1.886 20 0 DDADMM CCC[C@@H](NC(=O)CCCOc1cccnc1)c1nn[n-]n1 ZINC000136633757 408116259 /nfs/dbraw/zinc/11/62/59/408116259.db2.gz PBSMZSUYTPWMOF-GFCCVEGCSA-N -1 1 304.354 1.411 20 0 DDADMM CC[C@@H](C)[C@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000182445464 408162894 /nfs/dbraw/zinc/16/28/94/408162894.db2.gz GTNRKWTZFJZTOW-ZJUUUORDSA-N -1 1 304.394 1.938 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(F)c(F)c([O-])c1F)c1nn[nH]n1 ZINC000183068725 408318262 /nfs/dbraw/zinc/31/82/62/408318262.db2.gz GQCLNYPABFOWFR-SSDOTTSWSA-N -1 1 315.255 1.594 20 0 DDADMM CC[C@H](Oc1ccccc1F)C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000176259513 408324470 /nfs/dbraw/zinc/32/44/70/408324470.db2.gz DECIPAUGNCDAKK-NSHDSACASA-N -1 1 322.340 1.144 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1csc2ccccc12 ZINC000176252455 408324505 /nfs/dbraw/zinc/32/45/05/408324505.db2.gz FNTCHGAXOTUBTK-UHFFFAOYSA-N -1 1 302.359 1.675 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](C)C(C)(C)C2)co1 ZINC000273683836 408287258 /nfs/dbraw/zinc/28/72/58/408287258.db2.gz MRXJTTCWMOMRIZ-JTQLQIEISA-N -1 1 314.407 1.696 20 0 DDADMM C[C@@H](CN(C)C(=O)CCn1cnc2ccccc21)c1nn[n-]n1 ZINC000183396732 408398442 /nfs/dbraw/zinc/39/84/42/408398442.db2.gz FFHUJDDLFSKONX-NSHDSACASA-N -1 1 313.365 1.202 20 0 DDADMM O=C(NCCN1CCc2ccccc2C1=O)c1ncccc1[O-] ZINC000191293083 408400998 /nfs/dbraw/zinc/40/09/98/408400998.db2.gz HERVMHNQTJZVSO-UHFFFAOYSA-N -1 1 311.341 1.216 20 0 DDADMM C[C@@H](CN(C)C(=O)CCC(=O)c1ccc(F)cc1)c1nn[n-]n1 ZINC000183424147 408408537 /nfs/dbraw/zinc/40/85/37/408408537.db2.gz BXJAEJPBDLLBAD-JTQLQIEISA-N -1 1 319.340 1.564 20 0 DDADMM Cc1ccc(C)c(OCCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183447160 408414545 /nfs/dbraw/zinc/41/45/45/408414545.db2.gz BVHVTMNUYXWECB-CYBMUJFWSA-N -1 1 317.393 1.848 20 0 DDADMM CCC[C@@H](NC(=O)CCOc1ccccc1OC)c1nn[n-]n1 ZINC000176763919 408436996 /nfs/dbraw/zinc/43/69/96/408436996.db2.gz SDFLMMMIGXFRKF-LLVKDONJSA-N -1 1 319.365 1.635 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccccc1CC)c1nn[n-]n1 ZINC000176769918 408438859 /nfs/dbraw/zinc/43/88/59/408438859.db2.gz XISXYWJVXCFKJB-GFCCVEGCSA-N -1 1 303.366 1.799 20 0 DDADMM Cc1c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)oc2c1C(=O)CCC2 ZINC000274751552 408519654 /nfs/dbraw/zinc/51/96/54/408519654.db2.gz HMJPTHDAOPSNHS-MRVPVSSYSA-N -1 1 317.349 1.496 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)c2cccnc2)o1 ZINC000177331013 408582619 /nfs/dbraw/zinc/58/26/19/408582619.db2.gz WAKAYGOARIJRGE-JTQLQIEISA-N -1 1 324.358 1.891 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccsc2)o1 ZINC000177344747 408585374 /nfs/dbraw/zinc/58/53/74/408585374.db2.gz KOVBJUFVXKQVGR-UHFFFAOYSA-N -1 1 315.372 1.996 20 0 DDADMM COCCC1(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)CC1 ZINC000162300841 408687994 /nfs/dbraw/zinc/68/79/94/408687994.db2.gz HENDIFMGOQOITI-UHFFFAOYSA-N -1 1 318.377 1.072 20 0 DDADMM CC[C@H](C)NC(=O)c1cccc(CNC(=O)c2cnn[nH]2)c1 ZINC000194194455 408771572 /nfs/dbraw/zinc/77/15/72/408771572.db2.gz WGAROHKFXUGSKQ-JTQLQIEISA-N -1 1 301.350 1.263 20 0 DDADMM CC(C)(C)c1ccc([C@@H](O)CCC(=O)[N-]OCC(N)=O)cc1 ZINC000281319434 408880823 /nfs/dbraw/zinc/88/08/23/408880823.db2.gz SQXDZFNDNWMDSE-ZDUSSCGKSA-N -1 1 308.378 1.331 20 0 DDADMM CN(CCOc1ccc(C(F)(F)F)cc1)Cc1nc(=O)[n-][nH]1 ZINC000276251033 408827440 /nfs/dbraw/zinc/82/74/40/408827440.db2.gz NHBKJICMQMAHEP-UHFFFAOYSA-N -1 1 316.283 1.628 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC(C2OCCO2)CC1 ZINC000280841742 408843941 /nfs/dbraw/zinc/84/39/41/408843941.db2.gz VAYAFNXNVSDURG-UHFFFAOYSA-N -1 1 313.300 1.896 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)[N-]OCCSC)c2=O ZINC000280880922 408852867 /nfs/dbraw/zinc/85/28/67/408852867.db2.gz OIUNBTOGGMWFFJ-UHFFFAOYSA-N -1 1 308.359 1.973 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2OC[C@H]3COCC[C@H]32)c1 ZINC000291693758 408908787 /nfs/dbraw/zinc/90/87/87/408908787.db2.gz YHZGIGJFWZRNQF-JTNHKYCSSA-N -1 1 321.329 1.169 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C2(CCF)CC2)c1 ZINC000291590139 408891298 /nfs/dbraw/zinc/89/12/98/408891298.db2.gz DKMPSMZRYZHHHR-UHFFFAOYSA-N -1 1 302.327 1.118 20 0 DDADMM COC(=O)C1(CS(=O)(=O)[N-]c2cnn(C)c2)CCCCC1 ZINC000291823361 408929213 /nfs/dbraw/zinc/92/92/13/408929213.db2.gz CNTTZRCHDUKFMZ-UHFFFAOYSA-N -1 1 315.395 1.285 20 0 DDADMM CN1CCCN(Cc2cc(=O)oc3cc([O-])ccc23)CC1=O ZINC000191091841 163172828 /nfs/dbraw/zinc/17/28/28/163172828.db2.gz GLQHISRJRFJBDE-UHFFFAOYSA-N -1 1 302.330 1.163 20 0 DDADMM O=C(NC1CC1)[C@@H]1CCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000192792793 163238375 /nfs/dbraw/zinc/23/83/75/163238375.db2.gz NLCKWSNBXNNLHJ-LBPRGKRZSA-N -1 1 308.765 1.929 20 0 DDADMM C[C@@H](O)[C@H]1CCN(C(=O)c2ccc(Br)c([O-])c2)C1 ZINC000227522137 163338804 /nfs/dbraw/zinc/33/88/04/163338804.db2.gz HBPGJFQILNUMFQ-SCZZXKLOSA-N -1 1 314.179 1.998 20 0 DDADMM CC[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@H](C)[S@]1=O ZINC000286329838 408964879 /nfs/dbraw/zinc/96/48/79/408964879.db2.gz BHROINQHVHOUGW-BHIGOGECSA-N -1 1 309.387 1.333 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]([C@@H](O)C(F)(F)F)C1 ZINC000277604980 408975344 /nfs/dbraw/zinc/97/53/44/408975344.db2.gz PBQQSNVBPAFAHT-LDYMZIIASA-N -1 1 304.268 1.563 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1Cc1cnn(C)c1 ZINC000292126447 408986190 /nfs/dbraw/zinc/98/61/90/408986190.db2.gz KTPDLTPFABWESU-MWLCHTKSSA-N -1 1 304.316 1.452 20 0 DDADMM C[C@H]1CCS(=O)(=O)CCN1C(=O)c1ccc([O-])cc1F ZINC000277659896 408986976 /nfs/dbraw/zinc/98/69/76/408986976.db2.gz FGSKTOXLWZWYAN-VIFPVBQESA-N -1 1 301.339 1.181 20 0 DDADMM Cc1c(CN2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)cnn1C ZINC000292135248 408987484 /nfs/dbraw/zinc/98/74/84/408987484.db2.gz LSYBEDQZPCDSJR-ZYHUDNBSSA-N -1 1 318.343 1.760 20 0 DDADMM CCCc1cc(=O)[n-]c(SC[C@H]2CC(C(=O)OC)=NO2)n1 ZINC000277725026 409000118 /nfs/dbraw/zinc/00/01/18/409000118.db2.gz OUSQJXNLIMGVAG-SECBINFHSA-N -1 1 311.363 1.545 20 0 DDADMM C[C@H]1CCCN(C(=O)C(=O)Nc2nc(-c3ccco3)n[nH]2)C1 ZINC000278113323 409066735 /nfs/dbraw/zinc/06/67/35/409066735.db2.gz ZTXXQZKLDFEHJF-VIFPVBQESA-N -1 1 303.322 1.262 20 0 DDADMM COCC1=CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000287559396 409055604 /nfs/dbraw/zinc/05/56/04/409055604.db2.gz KEGPRFKZVYZIHS-AQTBWJFISA-N -1 1 301.350 1.152 20 0 DDADMM CCCCC[C@@H](C)NC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287566549 409056813 /nfs/dbraw/zinc/05/68/13/409056813.db2.gz VLHKYSPNCDKNLS-RGWKGUTDSA-N -1 1 317.393 1.365 20 0 DDADMM C[C@@H](O)C[C@H]1CCCN1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287606593 409063076 /nfs/dbraw/zinc/06/30/76/409063076.db2.gz MTQSMRCRLMMTIW-YODIJAISSA-N -1 1 303.366 1.109 20 0 DDADMM CCc1ccccc1N1C[C@@H](C(=O)[N-]OCCCOC)CC1=O ZINC000288051985 409137580 /nfs/dbraw/zinc/13/75/80/409137580.db2.gz IPKLLFGXSWXEMB-AWEZNQCLSA-N -1 1 320.389 1.686 20 0 DDADMM CCC[C@H](NC(=O)c1nc2cccnc2s1)c1nn[n-]n1 ZINC000283336409 409138928 /nfs/dbraw/zinc/13/89/28/409138928.db2.gz WXEVEKKHZRJSLB-ZETCQYMHSA-N -1 1 303.351 1.476 20 0 DDADMM COCCOCC[N@H+](C)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000289134136 409222683 /nfs/dbraw/zinc/22/26/83/409222683.db2.gz YAFTUFYNYYPONJ-UHFFFAOYSA-N -1 1 307.346 1.593 20 0 DDADMM CCS(=O)(=O)NCCSc1nc(C(F)F)cc(=O)[n-]1 ZINC000289301870 409246125 /nfs/dbraw/zinc/24/61/25/409246125.db2.gz BPLRFJSHMHTUPE-UHFFFAOYSA-N -1 1 313.351 1.151 20 0 DDADMM COC[C@]1(C(=O)OC)CCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000295534487 409337087 /nfs/dbraw/zinc/33/70/87/409337087.db2.gz KAIMFKUZXDVUGA-HNNXBMFYSA-N -1 1 311.309 1.183 20 0 DDADMM COc1ccsc1S(=O)(=O)NCc1n[nH]c(C2CC2)n1 ZINC000295319264 409433751 /nfs/dbraw/zinc/43/37/51/409433751.db2.gz PMDVVPXVPAEVMH-UHFFFAOYSA-N -1 1 314.392 1.231 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCO[C@]3(CCSC3)C2)c([O-])c1 ZINC000408371722 164310684 /nfs/dbraw/zinc/31/06/84/164310684.db2.gz DDTTXMIKLHGHRF-XHDPSFHLSA-N -1 1 308.403 1.880 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)c2ccc(F)cc2)o1 ZINC000341925100 409516422 /nfs/dbraw/zinc/51/64/22/409516422.db2.gz LXVCCLUVZLBZCR-UHFFFAOYSA-N -1 1 312.322 1.603 20 0 DDADMM CCCC[C@@H]1CCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337901898 409554436 /nfs/dbraw/zinc/55/44/36/409554436.db2.gz JPPQVYVCSNIHEF-CHWSQXEVSA-N -1 1 319.405 1.992 20 0 DDADMM Cn1[n-]c(CN2CCO[C@H](c3cccc(Cl)c3)C2)nc1=O ZINC000331580890 409660079 /nfs/dbraw/zinc/66/00/79/409660079.db2.gz LVGOCHYPEXEDCY-LBPRGKRZSA-N -1 1 308.769 1.335 20 0 DDADMM CS(=O)(=O)[N-]c1ccccc1C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000331596197 409674756 /nfs/dbraw/zinc/67/47/56/409674756.db2.gz YNAXHFSDGFYOBE-LLVKDONJSA-N -1 1 323.418 1.025 20 0 DDADMM CC[C@H](C)N(CC(=O)OC)C(=O)c1cc(F)cc2nn[nH]c21 ZINC000296933322 409712185 /nfs/dbraw/zinc/71/21/85/409712185.db2.gz NTTKTSSAIKIMPU-QMMMGPOBSA-N -1 1 308.313 1.511 20 0 DDADMM COCC[C@H](C)S(=O)(=O)[N-][C@H](C(=O)OC)c1ccsc1 ZINC000345641441 409729445 /nfs/dbraw/zinc/72/94/45/409729445.db2.gz GSMFBZVPMQKTMZ-ONGXEEELSA-N -1 1 321.420 1.307 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(Cc3cc(C)on3)no2)co1 ZINC000356919375 409719520 /nfs/dbraw/zinc/71/95/20/409719520.db2.gz SREZTLZEONXFHB-UHFFFAOYSA-N -1 1 324.318 1.125 20 0 DDADMM CCO[C@H](C)c1nc(CC(=O)NC2(c3nn[n-]n3)CC2)cs1 ZINC000357056471 409822262 /nfs/dbraw/zinc/82/22/62/409822262.db2.gz PCMGLUHRULQFLW-MRVPVSSYSA-N -1 1 322.394 1.102 20 0 DDADMM O=C([O-])[C@H]1CCCN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000314240008 409822355 /nfs/dbraw/zinc/82/23/55/409822355.db2.gz DNDNWXJNLVXYTF-JTQLQIEISA-N -1 1 300.314 1.877 20 0 DDADMM CCCn1ncc(C(=O)NC2(c3nn[n-]n3)CC2)c1C1CC1 ZINC000357066882 409831702 /nfs/dbraw/zinc/83/17/02/409831702.db2.gz ICDWUTHEGJQPII-UHFFFAOYSA-N -1 1 301.354 1.103 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)C[C@@H]2CCCO2)c1 ZINC000338212805 409805175 /nfs/dbraw/zinc/80/51/75/409805175.db2.gz QROXNEPTJMFWLU-KOLCDFICSA-N -1 1 317.363 1.302 20 0 DDADMM Cc1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])cc1O ZINC000354513492 409911443 /nfs/dbraw/zinc/91/14/43/409911443.db2.gz RFMOWLJULYOHCS-UHFFFAOYSA-N -1 1 322.342 1.306 20 0 DDADMM O=C(N[C@@H]1CC[S@](=O)C1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338387158 409952774 /nfs/dbraw/zinc/95/27/74/409952774.db2.gz JREJUAWIMPUSQC-BTKVJGODSA-N -1 1 324.789 1.845 20 0 DDADMM CCc1ccc(NC(=O)CN2CC[C@](COC)(C(=O)[O-])C2)cc1 ZINC000324564375 409925850 /nfs/dbraw/zinc/92/58/50/409925850.db2.gz TUWMYGPDPSRSKW-KRWDZBQOSA-N -1 1 320.389 1.611 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc(-c2ccco2)n[nH]1 ZINC000346337832 409926444 /nfs/dbraw/zinc/92/64/44/409926444.db2.gz AUEFRYDHZJEWPX-UHFFFAOYSA-N -1 1 313.321 1.382 20 0 DDADMM O=C(Cc1cc(F)ccc1F)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297821396 410015185 /nfs/dbraw/zinc/01/51/85/410015185.db2.gz UYBKTJBGABQBSW-UHFFFAOYSA-N -1 1 321.287 1.156 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(c2cccc(F)c2)C(=O)C1 ZINC000354689180 410021238 /nfs/dbraw/zinc/02/12/38/410021238.db2.gz HLASGMGDNFDLOG-UHFFFAOYSA-N -1 1 315.304 1.415 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@H]1C[C@@H]1c1ccc(F)cc1 ZINC000297866354 410030278 /nfs/dbraw/zinc/03/02/78/410030278.db2.gz ZEKXJXTYIURDHB-ZJUUUORDSA-N -1 1 303.297 1.046 20 0 DDADMM COc1ccc(=NC(=O)NCCN(C)Cc2ccccc2)[n-]n1 ZINC000346625255 410044995 /nfs/dbraw/zinc/04/49/95/410044995.db2.gz RXKCZJWQVMVYMG-UHFFFAOYSA-N -1 1 315.377 1.161 20 0 DDADMM CC(C)(NC(=O)COc1ccc(F)cc1Cl)c1nn[n-]n1 ZINC000354787827 410085426 /nfs/dbraw/zinc/08/54/26/410085426.db2.gz LMLBERTZFPBNPT-UHFFFAOYSA-N -1 1 313.720 1.423 20 0 DDADMM O=C(c1cccc2c[nH]nc21)N1CCCC[C@@H]1c1n[nH]c(=O)[n-]1 ZINC000329164531 410137945 /nfs/dbraw/zinc/13/79/45/410137945.db2.gz HAIWGOOTZSQGMT-LLVKDONJSA-N -1 1 312.333 1.754 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(-c2noc(C(F)F)n2)cc1 ZINC000351674167 410144904 /nfs/dbraw/zinc/14/49/04/410144904.db2.gz NNQDZLSHHNLCOE-UHFFFAOYSA-N -1 1 307.220 1.440 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(-c2noc(C(F)F)n2)cc1 ZINC000351674167 410144909 /nfs/dbraw/zinc/14/49/09/410144909.db2.gz NNQDZLSHHNLCOE-UHFFFAOYSA-N -1 1 307.220 1.440 20 0 DDADMM CC[C@H]1C[C@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCO1 ZINC000332446563 410164122 /nfs/dbraw/zinc/16/41/22/410164122.db2.gz KGVPLFPBECSXOI-NEPJUHHUSA-N -1 1 309.366 1.686 20 0 DDADMM CCCn1c(=O)c2ccccc2nc1SCc1nn[n-]n1 ZINC000298288896 410167625 /nfs/dbraw/zinc/16/76/25/410167625.db2.gz DRHDSMOWEHHLAF-UHFFFAOYSA-N -1 1 302.363 1.612 20 0 DDADMM Cc1ccc(C)c([C@@H]2CN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)CO2)c1 ZINC000329299989 410220817 /nfs/dbraw/zinc/22/08/17/410220817.db2.gz VIBWUVNHXGPADQ-ZFWWWQNUSA-N -1 1 316.405 1.687 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2cncc([O-])c2)c(OC)c1 ZINC000355109154 410318904 /nfs/dbraw/zinc/31/89/04/410318904.db2.gz LUGLIHJGSBSEPY-UHFFFAOYSA-N -1 1 302.286 1.835 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)CC[S@@](C)=O)cnc2n1 ZINC000358161420 410389566 /nfs/dbraw/zinc/38/95/66/410389566.db2.gz CQOAFCNOJXWNKZ-ZQJOYCHOSA-N -1 1 321.402 1.531 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)CC[S@@](C)=O)c2=O ZINC000358161420 410389570 /nfs/dbraw/zinc/38/95/70/410389570.db2.gz CQOAFCNOJXWNKZ-ZQJOYCHOSA-N -1 1 321.402 1.531 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000329825242 410454661 /nfs/dbraw/zinc/45/46/61/410454661.db2.gz BURSACBFIOTOOL-OAHLLOKOSA-N -1 1 320.418 1.722 20 0 DDADMM O=C(NC[C@@H](CO)Cc1cccnc1)c1c([O-])cccc1F ZINC000299003415 410479667 /nfs/dbraw/zinc/47/96/67/410479667.db2.gz QJQOWHFGLYNUFB-LBPRGKRZSA-N -1 1 304.321 1.507 20 0 DDADMM COc1cc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)ccc1F ZINC000298889458 410437647 /nfs/dbraw/zinc/43/76/47/410437647.db2.gz ITOWFDUNWBLKBX-JTQLQIEISA-N -1 1 320.324 1.266 20 0 DDADMM CCN(Cc1ccncc1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358321277 410447066 /nfs/dbraw/zinc/44/70/66/410447066.db2.gz AZYGTZKWJWIFRV-UHFFFAOYSA-N -1 1 311.345 1.731 20 0 DDADMM Cc1cccc(C(=O)NC(C)(C)c2nn[n-]n2)c1Br ZINC000339841554 410493783 /nfs/dbraw/zinc/49/37/83/410493783.db2.gz HXIRLBNTYHHVIZ-UHFFFAOYSA-N -1 1 324.182 1.936 20 0 DDADMM CC1(C)CCC[N@H+](CCNC(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000333418329 410538060 /nfs/dbraw/zinc/53/80/60/410538060.db2.gz FNLGCWNTXMDVBG-UHFFFAOYSA-N -1 1 322.478 1.991 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCNC(=O)[C@@H]1c1cccs1 ZINC000339903077 410544314 /nfs/dbraw/zinc/54/43/14/410544314.db2.gz OJQXAKIXQITBHF-LBPRGKRZSA-N -1 1 303.343 1.162 20 0 DDADMM CCCC(=O)NCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000340055749 410644733 /nfs/dbraw/zinc/64/47/33/410644733.db2.gz ZOHOGHJWXBOGRG-UHFFFAOYSA-N -1 1 302.334 1.637 20 0 DDADMM COc1ccc([C@@H](NCc2nc(=O)n(C)[n-]2)C(F)(F)F)cc1 ZINC000347656947 410657261 /nfs/dbraw/zinc/65/72/61/410657261.db2.gz UGPSLHUBXUKYJP-LLVKDONJSA-N -1 1 316.283 1.510 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)[C@H]2CCCOC2)o1 ZINC000355762554 410682780 /nfs/dbraw/zinc/68/27/80/410682780.db2.gz QEQIJXLNDXJKRG-ZJUUUORDSA-N -1 1 317.363 1.160 20 0 DDADMM COc1ccc(C(=O)Nc2nnn[n-]2)c(OC2CCCC2)c1 ZINC000359485422 410684715 /nfs/dbraw/zinc/68/47/15/410684715.db2.gz RQBMPZSLPNJPLP-UHFFFAOYSA-N -1 1 303.322 1.782 20 0 DDADMM COc1ccc(C(=O)Nc2nn[n-]n2)c(OC2CCCC2)c1 ZINC000359485422 410684719 /nfs/dbraw/zinc/68/47/19/410684719.db2.gz RQBMPZSLPNJPLP-UHFFFAOYSA-N -1 1 303.322 1.782 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(C)(C)CCCO)sc1C ZINC000330631168 410839806 /nfs/dbraw/zinc/83/98/06/410839806.db2.gz PGEKHODBVMCRMM-UHFFFAOYSA-N -1 1 306.453 1.837 20 0 DDADMM Cc1nc(SCCN2C(=O)NC(C)(C)C2=O)[n-]c(=O)c1C ZINC000330674912 410869432 /nfs/dbraw/zinc/86/94/32/410869432.db2.gz IQULNTHIUKYPSI-UHFFFAOYSA-N -1 1 310.379 1.426 20 0 DDADMM CCCOc1ccc(OCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000359785579 410878940 /nfs/dbraw/zinc/87/89/40/410878940.db2.gz DUNUXUQXJCPVGU-UHFFFAOYSA-N -1 1 319.365 1.419 20 0 DDADMM COCCOCCCCNC(=O)c1c(F)ccc([O-])c1F ZINC000348255063 410880791 /nfs/dbraw/zinc/88/07/91/410880791.db2.gz MJBLPWAOIDOUOY-UHFFFAOYSA-N -1 1 303.305 1.843 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@H]1c1cccc(F)c1F ZINC000348297046 410903706 /nfs/dbraw/zinc/90/37/06/410903706.db2.gz JEBURPVRGCHNMV-IUCAKERBSA-N -1 1 305.288 1.387 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H](CO)C(C)C)cnc2n1 ZINC000360169346 411085661 /nfs/dbraw/zinc/08/56/61/411085661.db2.gz DNBJDCGIIPJPOA-NSHDSACASA-N -1 1 303.362 1.638 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@H](CO)C(C)C)c2=O ZINC000360169346 411085665 /nfs/dbraw/zinc/08/56/65/411085665.db2.gz DNBJDCGIIPJPOA-NSHDSACASA-N -1 1 303.362 1.638 20 0 DDADMM CC[C@@H](C)[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC ZINC000337813324 411016076 /nfs/dbraw/zinc/01/60/76/411016076.db2.gz PCRMGCUMIMTWGX-PRHODGIISA-N -1 1 321.345 1.831 20 0 DDADMM CCCCNC(=O)[C@H]1CSCN1C(=O)c1ncc(C)cc1[O-] ZINC000331216052 411022652 /nfs/dbraw/zinc/02/26/52/411022652.db2.gz VSRKAEMSZFPCMB-LLVKDONJSA-N -1 1 323.418 1.527 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C[C@H]1C ZINC000331254948 411043431 /nfs/dbraw/zinc/04/34/31/411043431.db2.gz UQSZZERVOUTBEL-RKDXNWHRSA-N -1 1 313.300 1.942 20 0 DDADMM C[C@@H]1CCC[C@H](CN=c2ccc(C(=O)NCCO)n[n-]2)[C@@H]1C ZINC000360272400 411118252 /nfs/dbraw/zinc/11/82/52/411118252.db2.gz BZWLUBDDVCHFHT-JHJVBQTASA-N -1 1 306.410 1.105 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)C(=O)CCc2nn[n-]n2)C1(CC)CC ZINC000631678197 422877289 /nfs/dbraw/zinc/87/72/89/422877289.db2.gz AXRRIUPKVLALFY-NWDGAFQWSA-N -1 1 309.414 1.575 20 0 DDADMM CN1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C(C)(C)C1=O ZINC000631739665 422899257 /nfs/dbraw/zinc/89/92/57/422899257.db2.gz ZAMZUBMVKJOSQL-UHFFFAOYSA-N -1 1 310.781 1.667 20 0 DDADMM O=S(=O)([N-]CCCn1cncn1)c1ccc(C(F)F)o1 ZINC000631739950 422900245 /nfs/dbraw/zinc/90/02/45/422900245.db2.gz IFWUIYWSQKCEFD-UHFFFAOYSA-N -1 1 306.294 1.177 20 0 DDADMM COC[C@@H](O)CCNC(=O)c1cc(Br)ccc1[O-] ZINC000130786661 196110385 /nfs/dbraw/zinc/11/03/85/196110385.db2.gz AEAFSMCHQKBCCI-VIFPVBQESA-N -1 1 318.167 1.282 20 0 DDADMM O=C(Nc1ncc(CCO)s1)c1c(F)ccc([O-])c1F ZINC000647716302 423012501 /nfs/dbraw/zinc/01/25/01/423012501.db2.gz HSULJELOZFVNOV-UHFFFAOYSA-N -1 1 300.286 1.914 20 0 DDADMM Cc1nc(-c2ccc(N3CN(C)C(=O)[C@@H]3C)nc2)[n-]c(=O)c1C ZINC000645397533 423018666 /nfs/dbraw/zinc/01/86/66/423018666.db2.gz RBYKXVNXDLYLLK-NSHDSACASA-N -1 1 313.361 1.485 20 0 DDADMM Cc1nc(C)c(CCC(=O)N2[C@H](C)C[N@@H+](C)[C@@H](C)[C@H]2C)c(=O)[nH]1 ZINC000650147603 423062484 /nfs/dbraw/zinc/06/24/84/423062484.db2.gz ZAVFFUFRIHRPAW-KGYLQXTDSA-N -1 1 320.437 1.671 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cccc2c1O[C@H](C)C(=O)N2 ZINC000647816775 423061359 /nfs/dbraw/zinc/06/13/59/423061359.db2.gz VFLMUKDMMWGUTE-SSDOTTSWSA-N -1 1 302.290 1.395 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2cccc(F)c2)CCOCC1 ZINC000650146910 423062252 /nfs/dbraw/zinc/06/22/52/423062252.db2.gz KQHWRSJQWCGUFI-UHFFFAOYSA-N -1 1 303.355 1.763 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1c(C)nc(C(C)(C)C)[nH]c1=O ZINC000647816424 423058765 /nfs/dbraw/zinc/05/87/65/423058765.db2.gz IGVMPGIYBYKPLW-UHFFFAOYSA-N -1 1 305.338 1.778 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)cc(=O)[n-]1 ZINC000640654568 423115802 /nfs/dbraw/zinc/11/58/02/423115802.db2.gz UWTLLEVCWHDVTC-NHRVJRKFSA-N -1 1 311.407 1.580 20 0 DDADMM CO[C@H]1CCC[C@H](C(=O)NCc2cc(=O)[n-]c(SC)n2)C1 ZINC000640655337 423116027 /nfs/dbraw/zinc/11/60/27/423116027.db2.gz LIEUVHMUFLZOES-ONGXEEELSA-N -1 1 311.407 1.726 20 0 DDADMM O=C(Cc1noc(-c2ccc([O-])cc2F)n1)Nc1ncccn1 ZINC000351022113 306760921 /nfs/dbraw/zinc/76/09/21/306760921.db2.gz FZLCLNMVNUBHSK-UHFFFAOYSA-N -1 1 315.264 1.553 20 0 DDADMM C[C@@H]1CCC[C@H](CNC(=O)CNC(=O)c2ncccc2[O-])[C@H]1C ZINC000361248577 299827800 /nfs/dbraw/zinc/82/78/00/299827800.db2.gz PJAOLKZCQAGEOG-FRRDWIJNSA-N -1 1 319.405 1.706 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)Cc1nc(=O)c2c(C)c(C)sc2[nH]1 ZINC000044621634 418429443 /nfs/dbraw/zinc/42/94/43/418429443.db2.gz SMYZJYDUIIRPFM-VIFPVBQESA-N -1 1 309.391 1.896 20 0 DDADMM COc1cccc(NS(=O)(=O)c2cc(C(=O)[O-])ccc2[O-])c1 ZINC000360513921 418453816 /nfs/dbraw/zinc/45/38/16/418453816.db2.gz ZXSWRLNGVRRICB-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@H]1CCC[C@@H](CO)C1)c2=O ZINC000360626803 418479761 /nfs/dbraw/zinc/47/97/61/418479761.db2.gz QNGMUHSFZGVHCL-WDEREUQCSA-N -1 1 318.377 1.202 20 0 DDADMM CO[C@](C)(CNC(=O)c1c[n-]c2c(cnn2C)c1=O)C1CC1 ZINC000360744585 418505075 /nfs/dbraw/zinc/50/50/75/418505075.db2.gz JQOBPBUJRIFQOM-OAHLLOKOSA-N -1 1 304.350 1.219 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2cnccn2)c(=O)[n-]1 ZINC000192839334 418526389 /nfs/dbraw/zinc/52/63/89/418526389.db2.gz DDCYNVQKKZRLLU-UHFFFAOYSA-N -1 1 305.363 1.162 20 0 DDADMM CCOCCCNC(=O)[C@@H](C)Sc1nc(CC)cc(=O)[n-]1 ZINC000195060102 222189811 /nfs/dbraw/zinc/18/98/11/222189811.db2.gz MKPOHMQULUCIRS-SNVBAGLBSA-N -1 1 313.423 1.356 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2ncc(-c3ccccc3F)o2)n[n-]1 ZINC000375641000 418667808 /nfs/dbraw/zinc/66/78/08/418667808.db2.gz JFLNQJKLTSANLC-UHFFFAOYSA-N -1 1 322.321 1.881 20 0 DDADMM O=S(=O)([N-]C[C@@H]1[C@H]2COC[C@H]21)c1cc(F)c(F)cc1F ZINC000375661932 418669468 /nfs/dbraw/zinc/66/94/68/418669468.db2.gz BOPNBQBEMKKRGQ-WHUPJOBBSA-N -1 1 307.293 1.275 20 0 DDADMM COc1cc(C(=O)N=c2ccc([O-])n[nH]2)ccc1OC(F)F ZINC000361952971 418729766 /nfs/dbraw/zinc/72/97/66/418729766.db2.gz VFIGNQBFAFKZBT-UHFFFAOYSA-N -1 1 311.244 1.466 20 0 DDADMM COc1cc(C(=O)N=c2ccc(O)n[n-]2)ccc1OC(F)F ZINC000361952971 418729768 /nfs/dbraw/zinc/72/97/68/418729768.db2.gz VFIGNQBFAFKZBT-UHFFFAOYSA-N -1 1 311.244 1.466 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Br)c([O-])c2)CC(=O)N1 ZINC000386972978 418741596 /nfs/dbraw/zinc/74/15/96/418741596.db2.gz BYSNJOQERHWFBP-SSDOTTSWSA-N -1 1 313.151 1.115 20 0 DDADMM O=C(N[C@H]1CCc2[nH]cnc2C1)c1cc(F)c(F)c([O-])c1F ZINC000371822026 418818940 /nfs/dbraw/zinc/81/89/40/418818940.db2.gz GJOJHSHLELPLRP-LURJTMIESA-N -1 1 311.263 1.820 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)N(CC)CC)CC2)n1 ZINC000365535388 418872359 /nfs/dbraw/zinc/87/23/59/418872359.db2.gz QBZHUBHTXUDUNI-UHFFFAOYSA-N -1 1 323.397 1.623 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2C(=O)NCC[C@H]2C)c(F)c1 ZINC000425193343 228387348 /nfs/dbraw/zinc/38/73/48/228387348.db2.gz LZRXDDUFJYLCFC-LDYMZIIASA-N -1 1 318.345 1.076 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCC[S@](C)=O)c(F)c1 ZINC000425217982 228393659 /nfs/dbraw/zinc/39/36/59/228393659.db2.gz OZVAANPJAUAJKQ-SFHVURJKSA-N -1 1 311.375 1.320 20 0 DDADMM COC(=O)[C@H]1CCc2sc(NC([O-])=Nc3cc[nH]n3)nc2C1 ZINC000421122356 419493333 /nfs/dbraw/zinc/49/33/33/419493333.db2.gz DRXKNJKPCANNGH-ZETCQYMHSA-N -1 1 321.362 1.210 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(OC)cc1OC)OC ZINC000421141076 419499310 /nfs/dbraw/zinc/49/93/10/419499310.db2.gz OCRYLCGDSFMTLA-SNVBAGLBSA-N -1 1 303.380 1.871 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(Cl)c(C(N)=O)c1)OC ZINC000421149118 419503480 /nfs/dbraw/zinc/50/34/80/419503480.db2.gz FQWZMEQZDUCIQH-SECBINFHSA-N -1 1 320.798 1.606 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncccc1[O-])c1ccc(O)cc1 ZINC000427810169 419738360 /nfs/dbraw/zinc/73/83/60/419738360.db2.gz YGYJZBKPYLWQIK-LBPRGKRZSA-N -1 1 302.286 1.137 20 0 DDADMM O=c1c(CNC2(c3nnn[n-]3)CCCC2)cccn1C(F)F ZINC000638843441 423154301 /nfs/dbraw/zinc/15/43/01/423154301.db2.gz QUVYFPPEXZLNJC-UHFFFAOYSA-N -1 1 310.308 1.316 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)Cc2ccc(F)c(Cl)c2)n1 ZINC000428088373 419810317 /nfs/dbraw/zinc/81/03/17/419810317.db2.gz FXUQMSRORQYXQK-UHFFFAOYSA-N -1 1 319.745 1.790 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H](C)C[C@H](CO)C3)cnc2n1 ZINC000422104701 419843681 /nfs/dbraw/zinc/84/36/81/419843681.db2.gz IUWPCQHBIQUIHG-JQWIXIFHSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@@H](C)C[C@H](CO)C3)c[n-]c2n1 ZINC000422104701 419843687 /nfs/dbraw/zinc/84/36/87/419843687.db2.gz IUWPCQHBIQUIHG-JQWIXIFHSA-N -1 1 315.373 1.734 20 0 DDADMM COC(=O)[C@H]1CCCC[C@@]1(C)NC(=O)c1ncc(C)cc1[O-] ZINC000428030291 419794457 /nfs/dbraw/zinc/79/44/57/419794457.db2.gz HRSARJBDOCOLEN-BDJLRTHQSA-N -1 1 306.362 1.947 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@](CO)(c3ccccc3)C2)c([O-])c1 ZINC000428567462 419893199 /nfs/dbraw/zinc/89/31/99/419893199.db2.gz OMMBOHKKASMKDS-SFHVURJKSA-N -1 1 312.369 1.872 20 0 DDADMM O=C(NCc1ccc2c(c1)COC2)c1nc2ccccc2c(=O)[n-]1 ZINC000431365910 229025620 /nfs/dbraw/zinc/02/56/20/229025620.db2.gz DCSAKNSWPFDEQZ-UHFFFAOYSA-N -1 1 321.336 1.883 20 0 DDADMM CCCCn1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1CC ZINC000430075053 420077093 /nfs/dbraw/zinc/07/70/93/420077093.db2.gz AOEVVOQAAALMIS-NSHDSACASA-N -1 1 319.413 1.634 20 0 DDADMM CCOc1ccc(CN(C)C(=O)CN(C)CCC(=O)[O-])cc1 ZINC000430656211 420170990 /nfs/dbraw/zinc/17/09/90/420170990.db2.gz WFRPZFOWIGELCM-UHFFFAOYSA-N -1 1 308.378 1.450 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)c1ccc(C)c(F)c1 ZINC000416198398 420277331 /nfs/dbraw/zinc/27/73/31/420277331.db2.gz XHYQDYOMRMWAQN-MRVPVSSYSA-N -1 1 321.308 1.835 20 0 DDADMM CCc1ccnc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)c1 ZINC000435729218 420281267 /nfs/dbraw/zinc/28/12/67/420281267.db2.gz BOCXXEZWJPKWMZ-UHFFFAOYSA-N -1 1 308.341 1.811 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)c2ccc(O)cc2)c1 ZINC000435737749 420281606 /nfs/dbraw/zinc/28/16/06/420281606.db2.gz LLFULFPOZPTVGM-UHFFFAOYSA-N -1 1 309.343 1.932 20 0 DDADMM O=S(=O)(CCC1CCCCC1)N[C@H]1C[NH2+]CCC1(F)F ZINC000420437285 420285827 /nfs/dbraw/zinc/28/58/27/420285827.db2.gz CDSQOCRWIYFUKM-LBPRGKRZSA-N -1 1 310.410 1.873 20 0 DDADMM Cn1c2cc(O)c(NC(=O)c3ccccc3[O-])cc2n(C)c1=O ZINC000436622191 420345164 /nfs/dbraw/zinc/34/51/64/420345164.db2.gz XBKAZMQFJSJYIZ-UHFFFAOYSA-N -1 1 313.313 1.541 20 0 DDADMM COCCOc1ccc(NC(=O)c2ccc(O)cc2[O-])cn1 ZINC000436675016 420353498 /nfs/dbraw/zinc/35/34/98/420353498.db2.gz TZULIHRAGNCZGU-UHFFFAOYSA-N -1 1 304.302 1.770 20 0 DDADMM NS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc3ccccc3c2[O-])C1 ZINC000436666845 420353956 /nfs/dbraw/zinc/35/39/56/420353956.db2.gz VEGRMGJNBYFWLK-LLVKDONJSA-N -1 1 320.370 1.048 20 0 DDADMM CNC(=O)CC[C@@H]1CCCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000436696174 420354325 /nfs/dbraw/zinc/35/43/25/420354325.db2.gz HFUOWJBEZTZCQJ-ZDUSSCGKSA-N -1 1 318.373 1.482 20 0 DDADMM O=C(N[C@H]1CN(c2ccccc2)C1=O)c1cc(F)ccc1[O-] ZINC000436728641 420360487 /nfs/dbraw/zinc/36/04/87/420360487.db2.gz MXAGATJWUFFXBD-ZDUSSCGKSA-N -1 1 300.289 1.677 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCC[C@@H]1C(C)(C)C ZINC000416242669 420293955 /nfs/dbraw/zinc/29/39/55/420293955.db2.gz AAMQQKSGCBMHGU-IUCAKERBSA-N -1 1 309.366 1.841 20 0 DDADMM CC[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc(C(=O)OC)co2)CCO1 ZINC000420721223 420369542 /nfs/dbraw/zinc/36/95/42/420369542.db2.gz HVPSWKWYZJZDPQ-QWRGUYRKSA-N -1 1 317.363 1.302 20 0 DDADMM Cn1cc([C@@H](CO)NC(=O)c2cc3ccccc3cc2[O-])cn1 ZINC000436885427 420378654 /nfs/dbraw/zinc/37/86/54/420378654.db2.gz DFTDCVJPYCOUHU-OAHLLOKOSA-N -1 1 311.341 1.742 20 0 DDADMM CON1CCC([N-]S(=O)(=O)c2cc(Cl)ccc2F)CC1 ZINC000420755193 420378850 /nfs/dbraw/zinc/37/88/50/420378850.db2.gz XQOBCTRKISUPQN-UHFFFAOYSA-N -1 1 322.789 1.783 20 0 DDADMM CCn1cc(CN(CCO)C(=O)c2ccc([O-])cc2F)cn1 ZINC000436888235 420378868 /nfs/dbraw/zinc/37/88/68/420378868.db2.gz MGBHSPYDDXJHDI-UHFFFAOYSA-N -1 1 307.325 1.382 20 0 DDADMM CC(=O)N[C@@H](C)C1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000436926057 420384425 /nfs/dbraw/zinc/38/44/25/420384425.db2.gz LNLXCNYENPWZPF-JTQLQIEISA-N -1 1 308.353 1.908 20 0 DDADMM Cc1ccc2nc(CNC(=O)C(=O)c3ccc([O-])cc3)cn2c1 ZINC000436921963 420384959 /nfs/dbraw/zinc/38/49/59/420384959.db2.gz LHNOEUNLVYALJH-UHFFFAOYSA-N -1 1 309.325 1.847 20 0 DDADMM O=C(C(=O)N(CCCO)Cc1ccccc1)c1ccc([O-])cc1 ZINC000436961865 420386264 /nfs/dbraw/zinc/38/62/64/420386264.db2.gz KRWPIUJYZGOKNK-UHFFFAOYSA-N -1 1 313.353 1.986 20 0 DDADMM COCC[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[O-])C(N)=O ZINC000436770681 420365909 /nfs/dbraw/zinc/36/59/09/420365909.db2.gz SPRRSMYPCAYTIN-SECBINFHSA-N -1 1 320.267 1.031 20 0 DDADMM CN(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@H]1CC[C@H](O)CC1 ZINC000438915059 420470533 /nfs/dbraw/zinc/47/05/33/420470533.db2.gz WPWVUHZRLVSESX-XYPYZODXSA-N -1 1 301.346 1.299 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CO[C@@H](C)C1 ZINC000439253770 420503015 /nfs/dbraw/zinc/50/30/15/420503015.db2.gz BKRBWJNHGIYAEP-GZMMTYOYSA-N -1 1 304.350 1.093 20 0 DDADMM COc1ccc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000492366871 420535644 /nfs/dbraw/zinc/53/56/44/420535644.db2.gz ODHUPRLAFNAAJY-XURPKSDJSA-N -1 1 313.361 1.628 20 0 DDADMM COC(=O)c1cnccc1[N-]S(=O)(=O)CCC1CCCC1 ZINC000451012113 420581294 /nfs/dbraw/zinc/58/12/94/420581294.db2.gz VTLXXBCQMQZLQB-UHFFFAOYSA-N -1 1 312.391 1.612 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1ccnc(Cl)c1)c1nn[n-]n1 ZINC000492655281 420618678 /nfs/dbraw/zinc/61/86/78/420618678.db2.gz WSGCIOUOFGSFCZ-TYRPZCRBSA-N -1 1 306.757 1.523 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CC[S@](=O)C2)cc(Cl)c1[O-] ZINC000442669795 420697805 /nfs/dbraw/zinc/69/78/05/420697805.db2.gz HSRMSMDRJTYXIS-YLVJLNSGSA-N -1 1 303.767 1.305 20 0 DDADMM CC[C@H](O)CS(=O)(=O)c1nc(-c2ccc(OC)cc2)n[n-]1 ZINC000453030299 420704833 /nfs/dbraw/zinc/70/48/33/420704833.db2.gz QOILKEFPXSITAH-JTQLQIEISA-N -1 1 311.363 1.025 20 0 DDADMM CC[C@H](O)CS(=O)(=O)c1n[n-]c(-c2ccc(OC)cc2)n1 ZINC000453030299 420704836 /nfs/dbraw/zinc/70/48/36/420704836.db2.gz QOILKEFPXSITAH-JTQLQIEISA-N -1 1 311.363 1.025 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@H]1CCO[C@H]1C)c1ccsc1 ZINC000442741786 420706309 /nfs/dbraw/zinc/70/63/09/420706309.db2.gz NRKZVHAXUNWGRM-INTQDDNPSA-N -1 1 319.404 1.059 20 0 DDADMM Cn1cnc(=NC(=O)NC[C@H](c2ccco2)N2CCCCC2)[n-]1 ZINC000455578653 421038684 /nfs/dbraw/zinc/03/86/84/421038684.db2.gz RJMUNXDARIISMD-GFCCVEGCSA-N -1 1 318.381 1.179 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2=CC[C@@H](C)CC2)c1 ZINC000456077513 421095807 /nfs/dbraw/zinc/09/58/07/421095807.db2.gz ZRSYOJUUSOHEGV-SNVBAGLBSA-N -1 1 324.402 1.985 20 0 DDADMM Cc1ccsc1S(=O)(=O)N=c1cc(OC(F)F)n(C)[n-]1 ZINC000488315731 421081459 /nfs/dbraw/zinc/08/14/59/421081459.db2.gz QZWAKNRFURQZJA-UHFFFAOYSA-N -1 1 323.346 1.614 20 0 DDADMM CCS(=O)(=O)C1CN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000456300831 421138842 /nfs/dbraw/zinc/13/88/42/421138842.db2.gz ZDABSSGVGBQADD-UHFFFAOYSA-N -1 1 303.767 1.305 20 0 DDADMM C[C@@H](NCc1nc(=O)n(C)[n-]1)c1nc(C(F)(F)F)cs1 ZINC000490059854 421188448 /nfs/dbraw/zinc/18/84/48/421188448.db2.gz MHMITYFDCHBIGM-RXMQYKEDSA-N -1 1 307.301 1.435 20 0 DDADMM O=C(NCc1cc2ccccc2o1)c1cc(=O)n2[n-]cnc2n1 ZINC000544684174 421227087 /nfs/dbraw/zinc/22/70/87/421227087.db2.gz HRRWFADPXGJHBY-UHFFFAOYSA-N -1 1 309.285 1.094 20 0 DDADMM NC(=O)c1ccc(-c2noc(-c3cc(F)ccc3[O-])n2)cn1 ZINC000544757900 421228962 /nfs/dbraw/zinc/22/89/62/421228962.db2.gz QEIBTLNCYJUCDR-UHFFFAOYSA-N -1 1 300.249 1.742 20 0 DDADMM C[C@@H](CCC1CCCCC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544745938 421228979 /nfs/dbraw/zinc/22/89/79/421228979.db2.gz JVUMPNVGDVKSJY-NSHDSACASA-N -1 1 317.393 1.896 20 0 DDADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCCC[C@H]1C ZINC000544738627 421229526 /nfs/dbraw/zinc/22/95/26/421229526.db2.gz YEZBQMDGIHOGSW-PWSUYJOCSA-N -1 1 303.366 1.458 20 0 DDADMM CCc1nn(C)c(OC)c1CN=c1[n-]c(C(C)=O)c(C)s1 ZINC000450418858 421195749 /nfs/dbraw/zinc/19/57/49/421195749.db2.gz NEMZFROBZLGFNA-UHFFFAOYSA-N -1 1 308.407 1.993 20 0 DDADMM CCOC(=O)c1csc(=NCCN2CCO[C@@H](CC)C2)[n-]1 ZINC000450483584 421202666 /nfs/dbraw/zinc/20/26/66/421202666.db2.gz JREQXHIXOJHURN-NSHDSACASA-N -1 1 313.423 1.264 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]2CCCC[C@H]12 ZINC000546789063 421310744 /nfs/dbraw/zinc/31/07/44/421310744.db2.gz BXWRUSSBVUHONV-NQBHXWOUSA-N -1 1 315.377 1.458 20 0 DDADMM Fc1ccc(-c2nc(CN=c3[n-]nc(C4CC4)o3)n[nH]2)cc1 ZINC000561184799 421311097 /nfs/dbraw/zinc/31/10/97/421311097.db2.gz XLIWASKYYUVYKM-UHFFFAOYSA-N -1 1 300.297 1.905 20 0 DDADMM O=C([O-])C1(C(=O)NCc2nc(-c3ccc(F)cc3)n[nH]2)CC1 ZINC000545245047 421246906 /nfs/dbraw/zinc/24/69/06/421246906.db2.gz GGBIIRJBGCKWQO-UHFFFAOYSA-N -1 1 304.281 1.092 20 0 DDADMM O=C([O-])C1(C(=O)NCc2n[nH]c(-c3ccc(F)cc3)n2)CC1 ZINC000545245047 421246909 /nfs/dbraw/zinc/24/69/09/421246909.db2.gz GGBIIRJBGCKWQO-UHFFFAOYSA-N -1 1 304.281 1.092 20 0 DDADMM Cc1nc(C2CC2)oc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000546832819 421312834 /nfs/dbraw/zinc/31/28/34/421312834.db2.gz QTINFWYXWBWYHL-SNVBAGLBSA-N -1 1 302.338 1.393 20 0 DDADMM O=C(CSCc1ccccn1)NC1(c2nn[n-]n2)CCCC1 ZINC000524040204 421252360 /nfs/dbraw/zinc/25/23/60/421252360.db2.gz NAAYKVDWBAZQTG-UHFFFAOYSA-N -1 1 318.406 1.414 20 0 DDADMM Cc1nc2cc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)ccc2o1 ZINC000525926457 421312994 /nfs/dbraw/zinc/31/29/94/421312994.db2.gz BNOKNOKAIVVDLL-NSHDSACASA-N -1 1 312.333 1.669 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc(-n2ccnc2)cc1 ZINC000524576382 421262322 /nfs/dbraw/zinc/26/23/22/421262322.db2.gz JZUBMKIEMHKHHR-UHFFFAOYSA-N -1 1 323.360 1.585 20 0 DDADMM O=C(Nc1cccc2c1CCCC2)c1cc(=O)n2[n-]cnc2n1 ZINC000545885475 421267037 /nfs/dbraw/zinc/26/70/37/421267037.db2.gz WYWJAODKTXUEET-UHFFFAOYSA-N -1 1 309.329 1.549 20 0 DDADMM CNc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1[N+](=O)[O-] ZINC000547488463 421337124 /nfs/dbraw/zinc/33/71/24/421337124.db2.gz PPQFRLYOWXFOIJ-QMMMGPOBSA-N -1 1 319.325 1.025 20 0 DDADMM CNc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1[N+](=O)[O-] ZINC000547488462 421337776 /nfs/dbraw/zinc/33/77/76/421337776.db2.gz PPQFRLYOWXFOIJ-MRVPVSSYSA-N -1 1 319.325 1.025 20 0 DDADMM O=C(Cc1cccc(Cl)c1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000562175980 421342191 /nfs/dbraw/zinc/34/21/91/421342191.db2.gz JRDQKLDSARBEEO-JTQLQIEISA-N -1 1 323.759 1.941 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccnn1C1CCCC1)c1nn[n-]n1 ZINC000547810412 421370018 /nfs/dbraw/zinc/37/00/18/421370018.db2.gz GNXWKHYMOKVWPS-SNVBAGLBSA-N -1 1 303.370 1.387 20 0 DDADMM O=C(OCCCF)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000497173598 421377864 /nfs/dbraw/zinc/37/78/64/421377864.db2.gz VTKJHFRDVUDMSD-UHFFFAOYSA-N -1 1 314.279 1.873 20 0 DDADMM CCCS(=O)(=O)CC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000563464501 421511993 /nfs/dbraw/zinc/51/19/93/421511993.db2.gz QKCYMYKSKHRCOR-UHFFFAOYSA-N -1 1 323.374 1.546 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC[C@@H](OC(C)C)C1 ZINC000563183091 421468469 /nfs/dbraw/zinc/46/84/69/421468469.db2.gz BGCLUJGLQMMWCZ-SNVBAGLBSA-N -1 1 311.407 1.852 20 0 DDADMM COC[C@H]1CN(c2c(C(=O)[O-])cnc3ccccc32)CCO1 ZINC000563205885 421471570 /nfs/dbraw/zinc/47/15/70/421471570.db2.gz WMZMEUIGJXQDMW-LLVKDONJSA-N -1 1 302.330 1.785 20 0 DDADMM CO[C@@H](C)c1nc(=NCCCC[NH+]2CCN(C)CC2)s[n-]1 ZINC000549001841 421489708 /nfs/dbraw/zinc/48/97/08/421489708.db2.gz CMLWIWDBVFKESK-LBPRGKRZSA-N -1 1 313.471 1.107 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@H]1CCCO1)c1sccc1Cl ZINC000528494510 421494409 /nfs/dbraw/zinc/49/44/09/421494409.db2.gz ZCJXETNDESJKAH-DTWKUNHWSA-N -1 1 311.812 1.220 20 0 DDADMM Cn1nncc1C(=O)CSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000551922691 421553985 /nfs/dbraw/zinc/55/39/85/421553985.db2.gz GHNFLBKZYJXNMD-UHFFFAOYSA-N -1 1 319.268 1.305 20 0 DDADMM COc1ccccc1O[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000552216428 421580212 /nfs/dbraw/zinc/58/02/12/421580212.db2.gz GBPLEUFZJGRLRJ-LBPRGKRZSA-N -1 1 318.377 1.160 20 0 DDADMM CCc1cc(=O)[n-]c(SC[C@H]2CCCS(=O)(=O)C2)n1 ZINC000551462182 421533289 /nfs/dbraw/zinc/53/32/89/421533289.db2.gz VCOZXKAFDVFYJB-SECBINFHSA-N -1 1 302.421 1.662 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCc2cccc(O)c21)c1nn[n-]n1 ZINC000551486385 421533700 /nfs/dbraw/zinc/53/37/00/421533700.db2.gz AEAJNISGLKZZBQ-QWRGUYRKSA-N -1 1 301.350 1.593 20 0 DDADMM O=C(NCCCOCC(F)(F)F)c1csc(=NC2CC2)[n-]1 ZINC000531766620 421645614 /nfs/dbraw/zinc/64/56/14/421645614.db2.gz VRVXXXLNXRUXHQ-UHFFFAOYSA-N -1 1 323.340 1.838 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000519707097 421720358 /nfs/dbraw/zinc/72/03/58/421720358.db2.gz BRFOKVHANQIOPQ-LLVKDONJSA-N -1 1 308.353 1.627 20 0 DDADMM Cc1nc2ccc(C(=O)NC3(c4nn[n-]n4)CC3)cc2nc1C ZINC000519856336 421735045 /nfs/dbraw/zinc/73/50/45/421735045.db2.gz GJIKPVLFFMIGQZ-UHFFFAOYSA-N -1 1 309.333 1.179 20 0 DDADMM O=C(c1scnc1C1CC1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000540423720 421763510 /nfs/dbraw/zinc/76/35/10/421763510.db2.gz KEGHRBLFSQWAOL-VIFPVBQESA-N -1 1 304.379 1.553 20 0 DDADMM CC(C)[C@@H]1C[C@H](CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCO1 ZINC000541957837 421815381 /nfs/dbraw/zinc/81/53/81/421815381.db2.gz OQQMYZNWOFVNCM-RDBSUJKOSA-N -1 1 321.425 1.747 20 0 DDADMM CC(C)Oc1cncc(=NC(=O)C(=O)N[C@]23C[C@H]2CCCC3)[n-]1 ZINC000635296171 421887759 /nfs/dbraw/zinc/88/77/59/421887759.db2.gz RVDLTWORDWDJPJ-BDJLRTHQSA-N -1 1 318.377 1.073 20 0 DDADMM CC1CCC(OC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)CC1 ZINC000543438972 421838033 /nfs/dbraw/zinc/83/80/33/421838033.db2.gz XZQZAPYNVSSSJS-UHFFFAOYSA-N -1 1 308.426 1.668 20 0 DDADMM CC(C)(C)[C@@H]1CCCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000543701297 421839975 /nfs/dbraw/zinc/83/99/75/421839975.db2.gz KFIYSJVEIGKMRM-GHMZBOCLSA-N -1 1 317.393 1.752 20 0 DDADMM Cc1nc(CNC(=O)[C@H](C)Cc2cnc[nH]2)sc1C(=O)[O-] ZINC000630158013 421924970 /nfs/dbraw/zinc/92/49/70/421924970.db2.gz BKTNDXNZZLGYAP-SSDOTTSWSA-N -1 1 308.363 1.368 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)Nc1ccc(OCC(=O)[O-])cc1 ZINC000630159498 421928363 /nfs/dbraw/zinc/92/83/63/421928363.db2.gz YJNSNSOOOGRAFR-SNVBAGLBSA-N -1 1 303.318 1.690 20 0 DDADMM CCOC(=O)c1cc(S(=O)(=O)[N-]c2cnc[nH]2)ccc1C ZINC000573260686 421940469 /nfs/dbraw/zinc/94/04/69/421940469.db2.gz FSRCEIWDIKAALC-UHFFFAOYSA-N -1 1 309.347 1.696 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@](C)(C(=O)[O-])c1ccc(F)cc1 ZINC000630190482 421949863 /nfs/dbraw/zinc/94/98/63/421949863.db2.gz CFAJGFYZGWZLKX-QFYYESIMSA-N -1 1 319.336 1.844 20 0 DDADMM COCC1CCN(S(=O)(=O)c2cc(OC)ccc2[O-])CC1 ZINC000631952792 421968458 /nfs/dbraw/zinc/96/84/58/421968458.db2.gz SXVNMLDGIQHUAB-UHFFFAOYSA-N -1 1 315.391 1.448 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1CCC([C@H]2CCCCO2)CC1 ZINC000635314062 421903781 /nfs/dbraw/zinc/90/37/81/421903781.db2.gz APBNECXNGVBHRR-OAHLLOKOSA-N -1 1 307.394 1.899 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@@H](c2ccc(F)c(F)c2)C1 ZINC000633670155 422038413 /nfs/dbraw/zinc/03/84/13/422038413.db2.gz RGJZIQAYFVSSSC-LLVKDONJSA-N -1 1 321.331 1.817 20 0 DDADMM COC(C)(C)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632039201 422042465 /nfs/dbraw/zinc/04/24/65/422042465.db2.gz WSHCVHLOHQNUEG-UHFFFAOYSA-N -1 1 301.290 1.132 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC2CCSCC2)c1 ZINC000631961927 421976441 /nfs/dbraw/zinc/97/64/41/421976441.db2.gz AHUIMJHODXLIMZ-UHFFFAOYSA-N -1 1 317.432 1.822 20 0 DDADMM CC(C)(CC(F)(F)F)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630228159 421978995 /nfs/dbraw/zinc/97/89/95/421978995.db2.gz XMIXRXVJFKMHBX-UHFFFAOYSA-N -1 1 324.343 1.972 20 0 DDADMM COc1ccc(N(C)C(=O)CCCc2nn[n-]n2)cc1OC ZINC000635426411 421981333 /nfs/dbraw/zinc/98/13/33/421981333.db2.gz ZZWYDMYGLRZHIB-UHFFFAOYSA-N -1 1 305.338 1.203 20 0 DDADMM CO[C@@H]1CN(C(=O)c2[nH]nc3ccccc32)[C@@](C)(C(=O)[O-])C1 ZINC000630315110 422018320 /nfs/dbraw/zinc/01/83/20/422018320.db2.gz UYJRTVLMHRTTOC-BJOHPYRUSA-N -1 1 303.318 1.267 20 0 DDADMM COc1c(C)cc(CN(C)C(=O)CCCc2nn[n-]n2)cc1C ZINC000635471348 422020603 /nfs/dbraw/zinc/02/06/03/422020603.db2.gz UXNITXHTEKOUDV-UHFFFAOYSA-N -1 1 317.393 1.806 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCOCc2ccccc2)sn1 ZINC000632061487 422061186 /nfs/dbraw/zinc/06/11/86/422061186.db2.gz RTUCQNODCSHPNX-UHFFFAOYSA-N -1 1 312.416 1.947 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000632082493 422075339 /nfs/dbraw/zinc/07/53/39/422075339.db2.gz ANQSQXIHTBUDCY-JSGCOSHPSA-N -1 1 315.377 1.416 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC2(C)CCOCC2)c1 ZINC000632085100 422075491 /nfs/dbraw/zinc/07/54/91/422075491.db2.gz SUFCDXPOBWOARP-UHFFFAOYSA-N -1 1 315.391 1.496 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2ccc(C(F)F)o2)o1 ZINC000632099504 422085910 /nfs/dbraw/zinc/08/59/10/422085910.db2.gz VMZIKAKAPPVXEG-RXMQYKEDSA-N -1 1 307.278 1.948 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C(C)(C)c1ccc(C)cc1 ZINC000633692736 422052188 /nfs/dbraw/zinc/05/21/88/422052188.db2.gz RCLVCSSUPSIYAN-UHFFFAOYSA-N -1 1 314.389 1.724 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc(C)ccc1C1CC1 ZINC000633691384 422052236 /nfs/dbraw/zinc/05/22/36/422052236.db2.gz PAUNVAZWMQHVRX-UHFFFAOYSA-N -1 1 312.373 1.938 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCN(C)[C@@H](C)[C@H]2C)c1 ZINC000632174134 422139751 /nfs/dbraw/zinc/13/97/51/422139751.db2.gz SDEGLEOPXXGJNE-WDEREUQCSA-N -1 1 314.407 1.114 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(C[C@H]1CCCO1)C1CCCC1 ZINC000635612119 422164296 /nfs/dbraw/zinc/16/42/96/422164296.db2.gz QBDFCGRAMXZHAI-CYBMUJFWSA-N -1 1 307.398 1.473 20 0 DDADMM CC(C)(C)C(=O)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632221152 422173346 /nfs/dbraw/zinc/17/33/46/422173346.db2.gz QOTKILWCFQXARX-UHFFFAOYSA-N -1 1 313.301 1.322 20 0 DDADMM CC(C)[C@@H](CNC(=O)CCCc1nn[n-]n1)c1cccnc1 ZINC000635658798 422210659 /nfs/dbraw/zinc/21/06/59/422210659.db2.gz JMFNWHMVZYMCSU-CYBMUJFWSA-N -1 1 302.382 1.473 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCCC[C@@H]2OC)c1 ZINC000632306791 422238467 /nfs/dbraw/zinc/23/84/67/422238467.db2.gz WRZPCLIBVLXHQW-AAEUAGOBSA-N -1 1 315.391 1.637 20 0 DDADMM O=C(NC[C@@H](O)[C@@H]1CCCO1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000628595771 422249712 /nfs/dbraw/zinc/24/97/12/422249712.db2.gz WEPNGHPRJUCTKX-NEPJUHHUSA-N -1 1 319.279 1.681 20 0 DDADMM CC[C@H](NC(=O)[C@H](N)c1ccc(C(F)(F)F)cc1)C(=O)[O-] ZINC000630573003 422190202 /nfs/dbraw/zinc/19/02/02/422190202.db2.gz BGLMBBYAPGCMNO-VHSXEESVSA-N -1 1 304.268 1.685 20 0 DDADMM CCN1CCN(C(=O)[C@@H](C)Sc2ncc(C)c(=O)[n-]2)CC1 ZINC000574822726 422190739 /nfs/dbraw/zinc/19/07/39/422190739.db2.gz DIEUFFKKUUARRJ-LLVKDONJSA-N -1 1 310.423 1.135 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2COc3ccccc32)sn1 ZINC000632341683 422261791 /nfs/dbraw/zinc/26/17/91/422261791.db2.gz RHIHJQIPKHKOHX-JTQLQIEISA-N -1 1 310.400 1.906 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@H]1CC(=O)N(C2CC2)C1 ZINC000632356379 422272130 /nfs/dbraw/zinc/27/21/30/422272130.db2.gz PBOXNBRZXBJEQM-LLVKDONJSA-N -1 1 322.792 1.715 20 0 DDADMM N=c1nc(N2CCN(C(=O)N[C@H]3CCCC34CC4)CC2)s[n-]1 ZINC000637599089 422288579 /nfs/dbraw/zinc/28/85/79/422288579.db2.gz CXGMFUOMSJQANN-JTQLQIEISA-N -1 1 322.438 1.115 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](CO)CCC(C)C ZINC000628708647 422292401 /nfs/dbraw/zinc/29/24/01/422292401.db2.gz OYZAXLPLGRHXSH-JTQLQIEISA-N -1 1 313.423 1.739 20 0 DDADMM COc1ncc(CN2CC[C@@H](OC)C[C@H]2C(=O)[O-])cc1Cl ZINC000584243735 422294930 /nfs/dbraw/zinc/29/49/30/422294930.db2.gz WYRLPJRDDCPJSM-PWSUYJOCSA-N -1 1 314.769 1.808 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)CC(C)(C)CO)c1 ZINC000632391359 422300215 /nfs/dbraw/zinc/30/02/15/422300215.db2.gz XQDBSENGSYOCOR-UHFFFAOYSA-N -1 1 303.380 1.040 20 0 DDADMM CC1(C)OCC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632390893 422301355 /nfs/dbraw/zinc/30/13/55/422301355.db2.gz MVTBPOQOLBYIPI-SSDOTTSWSA-N -1 1 313.301 1.274 20 0 DDADMM O=C(Nc1cnn2c1CCCC2)c1csc(=NC2CC2)[n-]1 ZINC000634220706 422332515 /nfs/dbraw/zinc/33/25/15/422332515.db2.gz NJJWDWDKLWMGKC-UHFFFAOYSA-N -1 1 303.391 1.924 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@H]1Cc1ccc(F)cc1 ZINC000630797986 422342670 /nfs/dbraw/zinc/34/26/70/422342670.db2.gz XUIVDYZECGXSLG-ZDUSSCGKSA-N -1 1 303.341 1.505 20 0 DDADMM Cc1cn2cc(CC(=O)N(C)C[C@@H](C)c3nn[n-]n3)nc2s1 ZINC000632437360 422344930 /nfs/dbraw/zinc/34/49/30/422344930.db2.gz YCSBRUYDISHTQK-MRVPVSSYSA-N -1 1 319.394 1.022 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CS1 ZINC000632456191 422359978 /nfs/dbraw/zinc/35/99/78/422359978.db2.gz WZAPZKQZEQYWRC-RITPCOANSA-N -1 1 315.342 1.601 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1c[nH]c2cc(Cl)ccc12 ZINC000630849055 422373920 /nfs/dbraw/zinc/37/39/20/422373920.db2.gz QNTCXVRIBPVRPH-UHFFFAOYSA-N -1 1 318.768 1.626 20 0 DDADMM CCSc1cc(CNC(=O)CCCc2nn[n-]n2)ccn1 ZINC000635823622 422378004 /nfs/dbraw/zinc/37/80/04/422378004.db2.gz QZBMLQRPBIOHCM-UHFFFAOYSA-N -1 1 306.395 1.346 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000635767294 422327040 /nfs/dbraw/zinc/32/70/40/422327040.db2.gz YCYCFQLMTFRSHP-QWHCGFSZSA-N -1 1 307.398 1.188 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H](O)C12CCC2)c1ccc(C(F)F)o1 ZINC000632562506 422439314 /nfs/dbraw/zinc/43/93/14/422439314.db2.gz QVXWKUHCMPWLFC-RKDXNWHRSA-N -1 1 307.318 1.799 20 0 DDADMM CC[C@@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])[C@@H](C)CO1 ZINC000632509994 422400486 /nfs/dbraw/zinc/40/04/86/422400486.db2.gz UOUAXTDKXZYBHX-WDEREUQCSA-N -1 1 315.391 1.589 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1[C@H](C)O[C@@H](C)[C@H]1C ZINC000628949087 422414832 /nfs/dbraw/zinc/41/48/32/422414832.db2.gz UNOYCHBNOBLYMX-PBCZWWQYSA-N -1 1 311.407 1.754 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)Cc2ccccn2)c1 ZINC000632538191 422419957 /nfs/dbraw/zinc/41/99/57/422419957.db2.gz HIZHICKXCWQPES-LLVKDONJSA-N -1 1 322.386 1.705 20 0 DDADMM C[C@H](NC(=O)CCCc1nn[n-]n1)[C@H]1OCCc2sccc21 ZINC000635957144 422510758 /nfs/dbraw/zinc/51/07/58/422510758.db2.gz GABUVBAZAGSUQS-LKFCYVNXSA-N -1 1 321.406 1.403 20 0 DDADMM COCCO[C@@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000632675289 422512485 /nfs/dbraw/zinc/51/24/85/422512485.db2.gz FQOVFXDWSFKSKR-GFCCVEGCSA-N -1 1 313.781 1.852 20 0 DDADMM COCCCn1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634625319 422513628 /nfs/dbraw/zinc/51/36/28/422513628.db2.gz DCEREWDTNGQHTM-OAHLLOKOSA-N -1 1 301.390 1.743 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCc2cccc(OC)c21)c1nn[n-]n1 ZINC000577969206 422466919 /nfs/dbraw/zinc/46/69/19/422466919.db2.gz ZNFVPMNZTZIBBF-NEPJUHHUSA-N -1 1 315.377 1.896 20 0 DDADMM CCN(CC)CCS(=O)(=O)NCc1cc(C)c(C(=O)[O-])o1 ZINC000634654211 422537869 /nfs/dbraw/zinc/53/78/69/422537869.db2.gz ALSMNEAVTCDCBT-UHFFFAOYSA-N -1 1 318.395 1.047 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2nncn2C(C)C)sn1 ZINC000634658711 422541725 /nfs/dbraw/zinc/54/17/25/422541725.db2.gz UWLGHLMTTMWDJZ-UHFFFAOYSA-N -1 1 301.397 1.102 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)Cc2ccns2)c1 ZINC000632724146 422542236 /nfs/dbraw/zinc/54/22/36/422542236.db2.gz YFMYPDDGCUHISM-UHFFFAOYSA-N -1 1 314.388 1.678 20 0 DDADMM CCOC1CC(O)(CNC(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000632773616 422569490 /nfs/dbraw/zinc/56/94/90/422569490.db2.gz ZAMLOPRMQWSIEA-UHFFFAOYSA-N -1 1 313.781 1.634 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1cc(F)c(Cl)cc1F ZINC000632798226 422587067 /nfs/dbraw/zinc/58/70/67/422587067.db2.gz XZBRBNKJAQRLID-UHFFFAOYSA-N -1 1 301.684 1.380 20 0 DDADMM CC1(C)CN(C(=O)CCCc2nn[n-]n2)c2cc(F)ccc21 ZINC000636121491 422659474 /nfs/dbraw/zinc/65/94/74/422659474.db2.gz LCNJOAFNMJAXGO-UHFFFAOYSA-N -1 1 303.341 1.986 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-]c1cnn(CCF)c1 ZINC000629318798 422606583 /nfs/dbraw/zinc/60/65/83/422606583.db2.gz GCPPYFOGKVUZCX-GFCCVEGCSA-N -1 1 307.391 1.655 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ccncc1 ZINC000632843327 422620518 /nfs/dbraw/zinc/62/05/18/422620518.db2.gz OCUAWOWPJDJTNJ-CMPLNLGQSA-N -1 1 302.334 1.680 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2ccc3nccnc3c2)CCC1 ZINC000634787162 422646624 /nfs/dbraw/zinc/64/66/24/422646624.db2.gz MMZHFPQAZRNNCQ-UHFFFAOYSA-N -1 1 307.375 1.941 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2c(C)n[nH]c2C(F)F)CCC1 ZINC000634790905 422651042 /nfs/dbraw/zinc/65/10/42/422651042.db2.gz CEUXGXPJXIQSMF-UHFFFAOYSA-N -1 1 309.338 1.967 20 0 DDADMM Cn1nnnc1-c1ccccc1[N-]S(=O)(=O)CCCCF ZINC000634797722 422653117 /nfs/dbraw/zinc/65/31/17/422653117.db2.gz SRPAHLJSQSDKFW-UHFFFAOYSA-N -1 1 313.358 1.369 20 0 DDADMM COc1ccc2c(c1)CCN(C(=O)CCCc1nn[n-]n1)C2 ZINC000636199449 422708158 /nfs/dbraw/zinc/70/81/58/422708158.db2.gz GKDNJFGTLLWWSQ-UHFFFAOYSA-N -1 1 301.350 1.116 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC2(CC=CC2)[C@H]1C(C)C ZINC000645857467 423217111 /nfs/dbraw/zinc/21/71/11/423217111.db2.gz HADVXOOXDLAJGI-GFCCVEGCSA-N -1 1 311.407 1.761 20 0 DDADMM Cc1noc([N-]C(=O)c2cn(-c3ccc(F)cc3C)nn2)n1 ZINC000179345563 263357979 /nfs/dbraw/zinc/35/79/79/263357979.db2.gz ZCQMDXRAAAHHEL-UHFFFAOYSA-N -1 1 302.269 1.659 20 0 DDADMM CC1CCN(CC(=O)NC[C@](C)(C(=O)[O-])c2ccccc2)CC1 ZINC000653323243 423440687 /nfs/dbraw/zinc/44/06/87/423440687.db2.gz PBJHOBSWPOKHFU-SFHVURJKSA-N -1 1 318.417 1.877 20 0 DDADMM CCc1c(C(=O)Nc2c(C)[n-][nH]c2=O)cnn1-c1ccccn1 ZINC000646411955 423480830 /nfs/dbraw/zinc/48/08/30/423480830.db2.gz ZMFKYMPIFGWJSA-UHFFFAOYSA-N -1 1 312.333 1.819 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(=O)Nc2cc(F)ccc21 ZINC000646412741 423481190 /nfs/dbraw/zinc/48/11/90/423481190.db2.gz LVABREXHVWQQFW-VIFPVBQESA-N -1 1 304.281 1.627 20 0 DDADMM CCCc1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)no1 ZINC000648894465 423525502 /nfs/dbraw/zinc/52/55/02/423525502.db2.gz LMKKTEVDXAWUQW-CYBMUJFWSA-N -1 1 312.333 1.660 20 0 DDADMM COc1cc(CS(=O)(=O)[N-]Cc2cc(C)no2)sn1 ZINC000641297589 423535058 /nfs/dbraw/zinc/53/50/58/423535058.db2.gz FGRQZFBQOITNMW-UHFFFAOYSA-N -1 1 303.365 1.068 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000646648430 423559757 /nfs/dbraw/zinc/55/97/57/423559757.db2.gz UWMWSKRMOBEPFP-MYJAWHEDSA-N -1 1 301.264 1.721 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)[C@@H](O)C2CCC2)CC1 ZINC000649194395 423672155 /nfs/dbraw/zinc/67/21/55/423672155.db2.gz OVTNICJKZQHDEF-KRWDZBQOSA-N -1 1 317.385 1.975 20 0 DDADMM CC(C)N1CCC[C@H](N2CCC(c3n[n-]c(=N)o3)CC2)C1=O ZINC000639724264 423690789 /nfs/dbraw/zinc/69/07/89/423690789.db2.gz AGVSWGCSUAFAAQ-LBPRGKRZSA-N -1 1 307.398 1.061 20 0 DDADMM CC(C)CCNC(=O)[C@H](C)N1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639826209 423763020 /nfs/dbraw/zinc/76/30/20/423763020.db2.gz RVOUCUVJZAJSQX-RYUDHWBXSA-N -1 1 309.414 1.212 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)c(C)c1)C(C)C ZINC000647127137 423763476 /nfs/dbraw/zinc/76/34/76/423763476.db2.gz WZBHQDHFZPKQRR-ZDUSSCGKSA-N -1 1 314.407 1.507 20 0 DDADMM CS(=O)(=O)N1CCC[C@@H]1C(=O)Nc1ccc([O-])c(F)c1F ZINC000654308871 423758911 /nfs/dbraw/zinc/75/89/11/423758911.db2.gz ITGVXJVCRSUWPM-MRVPVSSYSA-N -1 1 320.317 1.033 20 0 DDADMM CO[C@@H](Cc1ccccc1)CS(=O)(=O)[N-][C@@H](C)C(F)F ZINC000641705442 423887391 /nfs/dbraw/zinc/88/73/91/423887391.db2.gz MMIIEFQTKSZTMX-JQWIXIFHSA-N -1 1 307.362 1.817 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)NCC2(C(=O)[O-])CCC2)n[nH]1 ZINC000659421189 423825507 /nfs/dbraw/zinc/82/55/07/423825507.db2.gz MUSLVCACAXKFSP-VIFPVBQESA-N -1 1 309.370 1.543 20 0 DDADMM CCOCC[N-]S(=O)(=O)c1ncccc1Br ZINC000656878785 423830069 /nfs/dbraw/zinc/83/00/69/423830069.db2.gz PVBJSOCZIDEDAZ-UHFFFAOYSA-N -1 1 309.185 1.159 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCOC1)c1ncccc1Br ZINC000656900482 423851657 /nfs/dbraw/zinc/85/16/57/423851657.db2.gz ZLFYRULXNFHFNB-MRVPVSSYSA-N -1 1 321.196 1.301 20 0 DDADMM Cc1c(F)cccc1N(Cc1nn(C)c(=O)[n-]1)[C@@H]1CCO[C@@H]1C ZINC000639933043 423945619 /nfs/dbraw/zinc/94/56/19/423945619.db2.gz VZQZDEQWZJUTEK-BXUZGUMPSA-N -1 1 320.368 1.740 20 0 DDADMM C[C@@H](O)CCC[N-]S(=O)(=O)c1ncccc1Br ZINC000656974978 423949246 /nfs/dbraw/zinc/94/92/46/423949246.db2.gz KMXPWHXHNOSECD-MRVPVSSYSA-N -1 1 323.212 1.283 20 0 DDADMM C[C@@H]1[C@@H](c2cccc(Cl)c2)OCCN1Cc1nc(=O)n(C)[n-]1 ZINC000661799002 424178256 /nfs/dbraw/zinc/17/82/56/424178256.db2.gz UDWJZWYSWQYUJW-YGRLFVJLSA-N -1 1 322.796 1.724 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CC[C@@H](O)C(F)(F)C1 ZINC000640338475 424359398 /nfs/dbraw/zinc/35/93/98/424359398.db2.gz YBRGYJVCGDYYFP-SNVBAGLBSA-N -1 1 313.329 1.952 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@H](O)[C@@H]1CCCO1 ZINC000640343820 424368015 /nfs/dbraw/zinc/36/80/15/424368015.db2.gz SYHYQHNRDZCKPL-QWRGUYRKSA-N -1 1 307.375 1.380 20 0 DDADMM CCN(C(=O)c1cc(NC(C)=O)ccc1[O-])c1cnn(C)c1 ZINC000655332129 424399588 /nfs/dbraw/zinc/39/95/88/424399588.db2.gz AAVHVUIGQUVMHZ-UHFFFAOYSA-N -1 1 302.334 1.751 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC(c3ccccc3)CC2)C1=O ZINC000662201056 424465538 /nfs/dbraw/zinc/46/55/38/424465538.db2.gz FUNGOZHYYJERJN-HNNXBMFYSA-N -1 1 302.374 1.552 20 0 DDADMM CC(C)c1ccc(CN(C)[C@@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662200823 424467672 /nfs/dbraw/zinc/46/76/72/424467672.db2.gz LRFPZVDHUAUCDR-OAHLLOKOSA-N -1 1 304.390 1.927 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc(Cl)c(C)c2)n1 ZINC000655569373 424574087 /nfs/dbraw/zinc/57/40/87/424574087.db2.gz BJJNMDIVOUKNEW-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM C[C@H](CNC(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000655862096 424684911 /nfs/dbraw/zinc/68/49/11/424684911.db2.gz XNGKCSRITFCIKY-GFCCVEGCSA-N -1 1 305.378 1.065 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NC[C@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665307524 424778777 /nfs/dbraw/zinc/77/87/77/424778777.db2.gz JBBKRZBBBXJFIF-ZANVPECISA-N -1 1 308.382 1.449 20 0 DDADMM Nc1nc(NC[C@H](C(=O)[O-])C2CCC2)cc(-n2cccn2)n1 ZINC000662903263 424799722 /nfs/dbraw/zinc/79/97/22/424799722.db2.gz YAYBOMVVTPMNGC-JTQLQIEISA-N -1 1 302.338 1.157 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@@H]2CCCC[C@H]2C1 ZINC000343773576 271865531 /nfs/dbraw/zinc/86/55/31/271865531.db2.gz YUQDIQWTGOLCMZ-RYUDHWBXSA-N -1 1 303.362 1.166 20 0 DDADMM CCOc1cc(C(=O)[N-]c2ccc3c(c2F)CCNC3=O)on1 ZINC000410839741 276369097 /nfs/dbraw/zinc/36/90/97/276369097.db2.gz DIZOEUGFNPTAHF-UHFFFAOYSA-N -1 1 319.292 1.751 20 0 DDADMM CSC[C@H](CCO)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000121176339 281133709 /nfs/dbraw/zinc/13/37/09/281133709.db2.gz UIMXZTDHSWXSOP-QMMMGPOBSA-N -1 1 311.375 1.357 20 0 DDADMM O=S(=O)([N-]C[C@@]1(O)CCSC1)c1cc2ccccc2o1 ZINC000124497084 281190734 /nfs/dbraw/zinc/19/07/34/281190734.db2.gz JNKYADNPDADGSK-ZDUSSCGKSA-N -1 1 313.400 1.579 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(F)ccc2O)co1 ZINC000132578364 281457849 /nfs/dbraw/zinc/45/78/49/281457849.db2.gz BMCDKNAJXSJWAW-UHFFFAOYSA-N -1 1 314.294 1.285 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2ccccc2)c1 ZINC000133746464 281511682 /nfs/dbraw/zinc/51/16/82/281511682.db2.gz SKHBDSPCCZMEKT-UHFFFAOYSA-N -1 1 309.343 1.587 20 0 DDADMM O=C(NCc1cccc(N2CCCC2=O)c1)c1cncc([O-])c1 ZINC000171863563 298237804 /nfs/dbraw/zinc/23/78/04/298237804.db2.gz JISYHVJHIIUEIU-UHFFFAOYSA-N -1 1 311.341 1.844 20 0 DDADMM CSc1ccc(C)c(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000353937697 298320748 /nfs/dbraw/zinc/32/07/48/298320748.db2.gz NQDVJKABYIEYRD-UHFFFAOYSA-N -1 1 315.358 1.700 20 0 DDADMM C/C=C\C[C@@H](CO)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000354191163 298356857 /nfs/dbraw/zinc/35/68/57/298356857.db2.gz PRFQQZALXYKLFJ-ZADCQDASSA-N -1 1 307.394 1.845 20 0 DDADMM NC(=O)c1cc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)co1 ZINC000354382498 298417711 /nfs/dbraw/zinc/41/77/11/298417711.db2.gz DSVWRNDEJKVPMJ-UHFFFAOYSA-N -1 1 312.285 1.727 20 0 DDADMM Cc1c(NS(=O)(=O)c2cc(C(=O)[O-])c(C)cc2C)cnn1C ZINC000362434340 300062001 /nfs/dbraw/zinc/06/20/01/300062001.db2.gz MCOWMQCOSSGWKV-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCc3c[nH]nc3C2)c1 ZINC000367120544 300802824 /nfs/dbraw/zinc/80/28/24/300802824.db2.gz ZBXSOIGMFFLQFV-VIFPVBQESA-N -1 1 315.329 1.646 20 0 DDADMM Cc1nc([C@@H]2CCCCN2C(=O)N=c2[n-]nc(C)s2)n[nH]1 ZINC000369217021 301146800 /nfs/dbraw/zinc/14/68/00/301146800.db2.gz YVINLPTWOAJXQU-VIFPVBQESA-N -1 1 307.383 1.454 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1COc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000370516188 301355716 /nfs/dbraw/zinc/35/57/16/301355716.db2.gz BEZMWFKRIPZBLN-WDEREUQCSA-N -1 1 321.768 1.987 20 0 DDADMM Cc1ccccc1C1(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)CC1 ZINC000370858173 301398296 /nfs/dbraw/zinc/39/82/96/301398296.db2.gz BPLFFSRBKQIBTA-ZDUSSCGKSA-N -1 1 313.361 1.140 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCc2ncccc2C1 ZINC000371245141 301439740 /nfs/dbraw/zinc/43/97/40/301439740.db2.gz SUKLFQHFFFICQU-UHFFFAOYSA-N -1 1 306.325 1.517 20 0 DDADMM O=C([N-]OCc1cccnc1)[C@H]1CC(=O)N(c2ccccn2)C1 ZINC000372760276 301608886 /nfs/dbraw/zinc/60/88/86/301608886.db2.gz JDACCAKTTBFKDJ-ZDUSSCGKSA-N -1 1 312.329 1.078 20 0 DDADMM O=C(N[C@H]1CCC(=O)N[C@@H]1C1CC1)c1ccc(Cl)cc1[O-] ZINC000375396284 301931245 /nfs/dbraw/zinc/93/12/45/301931245.db2.gz CTCXJQGIGCRQTM-SMDDNHRTSA-N -1 1 308.765 1.833 20 0 DDADMM CC(=O)NCCN(C(=O)c1cncc([O-])c1)C1CCSCC1 ZINC000355859122 306816275 /nfs/dbraw/zinc/81/62/75/306816275.db2.gz OUADQFZSUBVJMA-UHFFFAOYSA-N -1 1 323.418 1.261 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1C[C@@H]1C(=O)[N-]OC1CCOCC1 ZINC000497229539 302694868 /nfs/dbraw/zinc/69/48/68/302694868.db2.gz QGHNSBMKKCILCD-WDEREUQCSA-N -1 1 300.355 1.126 20 0 DDADMM CN1CC[C@H](C[N-]S(=O)(=O)c2cc3ccccc3o2)CC1=O ZINC000518521532 302827015 /nfs/dbraw/zinc/82/70/15/302827015.db2.gz KZCUVDGWHWJLFU-NSHDSACASA-N -1 1 322.386 1.580 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)[C@@H]1CCCO1 ZINC000529771029 303153353 /nfs/dbraw/zinc/15/33/53/303153353.db2.gz QVTCTHIABHFBGS-QWRGUYRKSA-N -1 1 323.393 1.058 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC1(C2CC2)CC1 ZINC000531867061 303262260 /nfs/dbraw/zinc/26/22/60/303262260.db2.gz YCNWIKGUVKXIQR-UHFFFAOYSA-N -1 1 324.384 1.742 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000532602264 303292162 /nfs/dbraw/zinc/29/21/62/303292162.db2.gz OEPYSSVWMMIINI-JTQLQIEISA-N -1 1 321.358 1.702 20 0 DDADMM Cc1cc(NC(=O)c2csc(=NC3CC3)[n-]2)c(=O)n(C)c1 ZINC000532865267 303299545 /nfs/dbraw/zinc/29/95/45/303299545.db2.gz LGIBRYJNUJCMAL-UHFFFAOYSA-N -1 1 304.375 1.399 20 0 DDADMM C[C@H](C(=O)NCc1cccs1)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000532881388 303300501 /nfs/dbraw/zinc/30/05/01/303300501.db2.gz WECLZARTFPKRPD-NEPJUHHUSA-N -1 1 310.419 1.940 20 0 DDADMM CC(C)c1nc([C@@H](C)NS(=O)(=O)c2cncc(F)c2)n[nH]1 ZINC000534978329 303338788 /nfs/dbraw/zinc/33/87/88/303338788.db2.gz QOQVJLKHCCJKOR-MRVPVSSYSA-N -1 1 313.358 1.502 20 0 DDADMM COC(=O)[C@H](CN=c1nc(C(C)C)[n-]s1)C1CCOCC1 ZINC000540035506 303403295 /nfs/dbraw/zinc/40/32/95/303403295.db2.gz OPAWOEFAVYAIQN-LLVKDONJSA-N -1 1 313.423 1.711 20 0 DDADMM COC(=O)CCCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000357663617 306904328 /nfs/dbraw/zinc/90/43/28/306904328.db2.gz KXWSIXWZOROWMA-UHFFFAOYSA-N -1 1 301.726 1.737 20 0 DDADMM CCOC(=O)c1cn(CCNC(=O)c2cc(F)ccc2[O-])cn1 ZINC000559682699 303793714 /nfs/dbraw/zinc/79/37/14/303793714.db2.gz GGIMUDSMWREUDP-UHFFFAOYSA-N -1 1 321.308 1.335 20 0 DDADMM COc1cccc2c1OC[C@H](NC(=O)c1cncc([O-])c1)C2 ZINC000367259744 307090234 /nfs/dbraw/zinc/09/02/34/307090234.db2.gz JUJXDEVQGKZVFX-GFCCVEGCSA-N -1 1 300.314 1.529 20 0 DDADMM COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)c1ccc([O-])cc1F ZINC000370699021 307133298 /nfs/dbraw/zinc/13/32/98/307133298.db2.gz KTSCODROXGUDQA-MFKMUULPSA-N -1 1 311.309 1.324 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(C)n1C(C)C ZINC000377596234 307274203 /nfs/dbraw/zinc/27/42/03/307274203.db2.gz XDZFVHIUSMWJFD-CYBMUJFWSA-N -1 1 318.381 1.413 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2c(C)nn(C(C)C)c2C)no1 ZINC000525698015 307485044 /nfs/dbraw/zinc/48/50/44/307485044.db2.gz LBUZFLMLOSRBOK-UHFFFAOYSA-N -1 1 313.383 1.251 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@@H]1CCCO1 ZINC000535898279 307661824 /nfs/dbraw/zinc/66/18/24/307661824.db2.gz IFSTWVDXDVPXTG-QWRGUYRKSA-N -1 1 304.437 1.996 20 0 DDADMM CCN(C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)[C@H]1CCOC1 ZINC000564663449 308002816 /nfs/dbraw/zinc/00/28/16/308002816.db2.gz XSOLGOTVMINGHM-LBPRGKRZSA-N -1 1 318.377 1.232 20 0 DDADMM CCc1nc(C2CCN(C(=O)[C@H](C(=O)[O-])C(C)C)CC2)n[nH]1 ZINC000564978326 308015625 /nfs/dbraw/zinc/01/56/25/308015625.db2.gz MXQDENGRIHTEON-GFCCVEGCSA-N -1 1 308.382 1.430 20 0 DDADMM O=C([O-])CCC(=O)NCCc1nnc(-c2ccc(Cl)cc2)[nH]1 ZINC000565422604 308030572 /nfs/dbraw/zinc/03/05/72/308030572.db2.gz QIYXBFPHSUKARL-UHFFFAOYSA-N -1 1 322.752 1.649 20 0 DDADMM O=C([O-])CN(C(=O)c1ccc2cncn2c1)C1CCOCC1 ZINC000565660436 308036117 /nfs/dbraw/zinc/03/61/17/308036117.db2.gz ZFYWBRBCUYXRLC-UHFFFAOYSA-N -1 1 303.318 1.040 20 0 DDADMM O=C([O-])c1cccc2c1CCN(CCN1C[C@H]3CC[C@@H](C1)O3)C2 ZINC000566508864 308056434 /nfs/dbraw/zinc/05/64/34/308056434.db2.gz MQFIOHRIJKLMPQ-GASCZTMLSA-N -1 1 316.401 1.606 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)COC[C@H]2CCCO2)c1 ZINC000571265649 308193127 /nfs/dbraw/zinc/19/31/27/308193127.db2.gz QNWDBOXQEYJBTA-LLVKDONJSA-N -1 1 309.318 1.313 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2[nH]nc3ccccc32)[C@@H](C(=O)[O-])C1 ZINC000576123364 308291007 /nfs/dbraw/zinc/29/10/07/308291007.db2.gz FRKIHXRSOZVAKZ-BXKDBHETSA-N -1 1 303.318 1.267 20 0 DDADMM CC(C)(O)CC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000578439626 308461849 /nfs/dbraw/zinc/46/18/49/308461849.db2.gz NQAABNHAAZCUPA-UHFFFAOYSA-N -1 1 300.405 1.736 20 0 DDADMM Cc1cc([N-]S(C)(=O)=O)ncc1I ZINC000582282466 326003098 /nfs/dbraw/zinc/00/30/98/326003098.db2.gz YWSWSGFWNBVSBN-UHFFFAOYSA-N -1 1 312.132 1.366 20 0 DDADMM CC(C)Cc1n[n-]c(=NC(=O)NCc2n[nH]c(C3CC3)n2)s1 ZINC000583423636 332399198 /nfs/dbraw/zinc/39/91/98/332399198.db2.gz WKMGALJLTNKLLW-UHFFFAOYSA-N -1 1 321.410 1.476 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@H]2c2nc3ccccc3[nH]2)nc1=O ZINC000582886766 337215139 /nfs/dbraw/zinc/21/51/39/337215139.db2.gz LFMFGLIDMDLNID-ZDUSSCGKSA-N -1 1 312.377 1.712 20 0 DDADMM CC(C)[C@H](C(=O)[O-])[C@@H](C)NS(=O)(=O)c1c(F)cccc1F ZINC000399449682 337312596 /nfs/dbraw/zinc/31/25/96/337312596.db2.gz MTEXTQFQPMNZEX-KCJUWKMLSA-N -1 1 321.345 1.988 20 0 DDADMM Cc1ccc2c([n-]cc(C(=O)NC[C@H](C(=O)[O-])C(C)C)c2=O)[nH+]1 ZINC000656231748 484036944 /nfs/dbraw/zinc/03/69/44/484036944.db2.gz OAOIOHFFDOECBN-NSHDSACASA-N -1 1 317.345 1.730 20 0 DDADMM O=c1[nH]ccc2cc([N-]S(=O)(=O)C[C@@H]3CCCCO3)ccc21 ZINC000656634174 484252702 /nfs/dbraw/zinc/25/27/02/484252702.db2.gz PUZOOVFVZFBABP-ZDUSSCGKSA-N -1 1 322.386 1.839 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1C[C@H]1C1CCCCC1 ZINC000343528916 484455689 /nfs/dbraw/zinc/45/56/89/484455689.db2.gz AOMATQKFQDNEGV-STQMWFEESA-N -1 1 317.389 1.602 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000663039509 484618228 /nfs/dbraw/zinc/61/82/28/484618228.db2.gz OGCWCCWQWWBSJS-MRXNPFEDSA-N -1 1 319.336 1.862 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@H](C(=O)[O-])C1CC1)c1cccc(F)c1 ZINC000663075220 484646587 /nfs/dbraw/zinc/64/65/87/484646587.db2.gz HBYAOCZUQOQUIE-UONOGXRCSA-N -1 1 308.353 1.655 20 0 DDADMM O=C(CSc1ccncc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670383416 484800427 /nfs/dbraw/zinc/80/04/27/484800427.db2.gz LIWBWWCYGLAYQB-JTQLQIEISA-N -1 1 320.374 1.669 20 0 DDADMM O=S(=O)([N-]CCn1ccnn1)c1cc(Cl)ccc1F ZINC000671180284 484965411 /nfs/dbraw/zinc/96/54/11/484965411.db2.gz PSNGDYAAAHHKSX-UHFFFAOYSA-N -1 1 304.734 1.049 20 0 DDADMM O=C([O-])CCCCNc1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000668161602 484996987 /nfs/dbraw/zinc/99/69/87/484996987.db2.gz TVVBLFLLUNXSHO-UHFFFAOYSA-N -1 1 303.244 1.990 20 0 DDADMM O=C(C(=O)N(CCO)CCc1ccccc1)c1ccc([O-])cc1 ZINC000672891083 485363748 /nfs/dbraw/zinc/36/37/48/485363748.db2.gz CASMELIDDDICLQ-UHFFFAOYSA-N -1 1 313.353 1.639 20 0 DDADMM CC(C)c1ncncc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000673445289 485413183 /nfs/dbraw/zinc/41/31/83/485413183.db2.gz QLSYZQQPNDYFGT-UHFFFAOYSA-N -1 1 317.349 1.708 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC(C(F)(F)F)C1 ZINC000669328306 485430517 /nfs/dbraw/zinc/43/05/17/485430517.db2.gz ARWJOYCCQLIXDF-UHFFFAOYSA-N -1 1 307.297 1.847 20 0 DDADMM C[C@H](C(=O)NCc1nn[n-]n1)c1ccc(Cl)c(Cl)c1 ZINC000673741548 485447816 /nfs/dbraw/zinc/44/78/16/485447816.db2.gz ZSIZAPZDHSVFHD-LURJTMIESA-N -1 1 300.149 1.926 20 0 DDADMM CO[C@H]1CN(C(=O)c2ccc(Br)cc2[O-])CCO1 ZINC000682689668 485743562 /nfs/dbraw/zinc/74/35/62/485743562.db2.gz DQESAGBIMQTCMK-LLVKDONJSA-N -1 1 316.151 1.600 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@@H](OC(F)F)C1 ZINC000679658681 485964538 /nfs/dbraw/zinc/96/45/38/485964538.db2.gz UXYUGSHNIVDIIG-SECBINFHSA-N -1 1 323.299 1.767 20 0 DDADMM O=C(NC[C@@H](CO)Cc1cccnc1)c1ccc([O-])cc1F ZINC000683370052 485970886 /nfs/dbraw/zinc/97/08/86/485970886.db2.gz WPNWRKWPUWKYJY-LBPRGKRZSA-N -1 1 304.321 1.507 20 0 DDADMM CS(=O)(=O)CC1(CSc2nc(C3CC3)cc(=O)[n-]2)CC1 ZINC000683455602 486004050 /nfs/dbraw/zinc/00/40/50/486004050.db2.gz WSNDZGSYVDLXSQ-UHFFFAOYSA-N -1 1 314.432 1.977 20 0 DDADMM Cc1cc(C)n(-c2ccc(NC(=O)c3n[nH]c(C)c3[O-])cn2)n1 ZINC000676630999 486273528 /nfs/dbraw/zinc/27/35/28/486273528.db2.gz IRAGYNZHWMDTTB-UHFFFAOYSA-N -1 1 312.333 1.874 20 0 DDADMM Cc1ncc2c(n1)CC[C@@H](NC(=O)c1ccc([O-])c(F)c1)C2 ZINC000681017331 486323471 /nfs/dbraw/zinc/32/34/71/486323471.db2.gz RSPAGBPGJMQVGF-GFCCVEGCSA-N -1 1 301.321 1.917 20 0 DDADMM C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)c1ccc([O-])c(F)c1 ZINC000681047789 486331035 /nfs/dbraw/zinc/33/10/35/486331035.db2.gz HTDBGNICILVFLE-RKDXNWHRSA-N -1 1 301.339 1.179 20 0 DDADMM CO[C@@H]1CC[C@@H]2OCCN(C(=O)c3ccc([O-])c(F)c3)[C@H]2C1 ZINC000681059385 486334463 /nfs/dbraw/zinc/33/44/63/486334463.db2.gz PIIYTVOHYSFXOC-ZLDLUXBVSA-N -1 1 309.337 1.940 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N(C)[C@H]2CCCN(C)C2)c1 ZINC000424694789 533814372 /nfs/dbraw/zinc/81/43/72/533814372.db2.gz WQTVTWVYLHVGOO-WHEQGISXSA-N -1 1 324.446 1.355 20 0 DDADMM C[C@H](CCO)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330834672 533971160 /nfs/dbraw/zinc/97/11/60/533971160.db2.gz WJPQPOVIVPGLRO-SSDOTTSWSA-N -1 1 312.185 1.339 20 0 DDADMM COC(=O)[C@@H](C)[N-]S(=O)(=O)Cc1c(F)cccc1Cl ZINC000451314997 534230264 /nfs/dbraw/zinc/23/02/64/534230264.db2.gz QLDUMNAEXNNTRO-SSDOTTSWSA-N -1 1 309.746 1.460 20 0 DDADMM N=c1nc(N2CCN(C(=O)CCC3CCCC3)CC2)s[n-]1 ZINC000331387952 534841420 /nfs/dbraw/zinc/84/14/20/534841420.db2.gz INIDXUKWGIIEAN-UHFFFAOYSA-N -1 1 309.439 1.570 20 0 DDADMM CC(=O)N1CC[C@H]([N-]S(=O)(=O)c2c(F)cc(C)cc2F)C1 ZINC000425165495 526667536 /nfs/dbraw/zinc/66/75/36/526667536.db2.gz GQCYETSAZBUANL-JTQLQIEISA-N -1 1 318.345 1.172 20 0 DDADMM CC(=O)[C@H]([N-]S(=O)(=O)CCOC(C)C)c1ccccc1F ZINC000416642038 527148682 /nfs/dbraw/zinc/14/86/82/527148682.db2.gz FCQPDYKLXGHUCV-AWEZNQCLSA-N -1 1 317.382 1.800 20 0 DDADMM CC(C)[C@H](O)C1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000297629566 527716524 /nfs/dbraw/zinc/71/65/24/527716524.db2.gz WXXHKIRDDXUVLI-LBPRGKRZSA-N -1 1 320.418 1.545 20 0 DDADMM CC(C)[C@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000297492620 527871280 /nfs/dbraw/zinc/87/12/80/527871280.db2.gz MOOKTHWYROJAQS-NWDGAFQWSA-N -1 1 320.418 1.951 20 0 DDADMM CCNC(=O)[C@@H]([N-]S(=O)(=O)c1sccc1Cl)C(C)C ZINC000451587176 528213112 /nfs/dbraw/zinc/21/31/12/528213112.db2.gz ZKWOINSGWWHVNE-VIFPVBQESA-N -1 1 324.855 1.841 20 0 DDADMM CC(C)n1nccc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000331757198 528671427 /nfs/dbraw/zinc/67/14/27/528671427.db2.gz ABCHTJNJEFOMSQ-SNVBAGLBSA-N -1 1 305.338 1.572 20 0 DDADMM CC[C@H](Oc1ccccc1F)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000413517642 529168359 /nfs/dbraw/zinc/16/83/59/529168359.db2.gz PKLNSMTYGVJYFS-JTQLQIEISA-N -1 1 307.329 1.548 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1ccc(C(=O)OC)cc1)C(F)F ZINC000451086895 529193108 /nfs/dbraw/zinc/19/31/08/529193108.db2.gz UAEWZTVBODBPOH-LLVKDONJSA-N -1 1 321.345 1.936 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C(F)(F)F ZINC000444561405 529218139 /nfs/dbraw/zinc/21/81/39/529218139.db2.gz PDFJYVYGFJHRJJ-IMTBSYHQSA-N -1 1 317.271 1.124 20 0 DDADMM CC[C@@H](C(=O)[O-])N1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000331085073 529295094 /nfs/dbraw/zinc/29/50/94/529295094.db2.gz QELFZYAIFNHCLS-ZDUSSCGKSA-N -1 1 312.316 1.586 20 0 DDADMM CO[C@@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)C(F)(F)F ZINC000736962807 598830271 /nfs/dbraw/zinc/83/02/71/598830271.db2.gz QLAZZKTYIHGSCB-LURJTMIESA-N -1 1 322.678 1.904 20 0 DDADMM CO[C@@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)C(F)(F)F ZINC000736962807 598830273 /nfs/dbraw/zinc/83/02/73/598830273.db2.gz QLAZZKTYIHGSCB-LURJTMIESA-N -1 1 322.678 1.904 20 0 DDADMM C[C@](O)(CNc1nccnc1-c1nnn[n-]1)c1cccs1 ZINC000736140913 598857830 /nfs/dbraw/zinc/85/78/30/598857830.db2.gz FAHLPPPXWCKJTK-LBPRGKRZSA-N -1 1 303.351 1.038 20 0 DDADMM C[C@](O)(CNc1nccnc1-c1nn[n-]n1)c1cccs1 ZINC000736140913 598857831 /nfs/dbraw/zinc/85/78/31/598857831.db2.gz FAHLPPPXWCKJTK-LBPRGKRZSA-N -1 1 303.351 1.038 20 0 DDADMM CN(Cc1ccncc1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736829598 598934812 /nfs/dbraw/zinc/93/48/12/598934812.db2.gz HNSLUVABDVAIEO-UHFFFAOYSA-N -1 1 318.344 1.841 20 0 DDADMM CN(Cc1ccncc1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736829598 598934814 /nfs/dbraw/zinc/93/48/14/598934814.db2.gz HNSLUVABDVAIEO-UHFFFAOYSA-N -1 1 318.344 1.841 20 0 DDADMM COC(=O)CSc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736931583 598954924 /nfs/dbraw/zinc/95/49/24/598954924.db2.gz ZHTCMNHXROIVDB-UHFFFAOYSA-N -1 1 302.319 1.075 20 0 DDADMM O=C(NCc1ccc(F)cc1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738103554 598988618 /nfs/dbraw/zinc/98/86/18/598988618.db2.gz LROHCOOJRYJVRZ-UHFFFAOYSA-N -1 1 316.271 1.470 20 0 DDADMM O=C(NCc1ccc(F)cc1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738103554 598988620 /nfs/dbraw/zinc/98/86/20/598988620.db2.gz LROHCOOJRYJVRZ-UHFFFAOYSA-N -1 1 316.271 1.470 20 0 DDADMM CN(C)c1ccccc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736780323 598991247 /nfs/dbraw/zinc/99/12/47/598991247.db2.gz URWWRQZCAWAFNV-UHFFFAOYSA-N -1 1 309.333 1.580 20 0 DDADMM CN(C)c1ccccc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736780323 598991249 /nfs/dbraw/zinc/99/12/49/598991249.db2.gz URWWRQZCAWAFNV-UHFFFAOYSA-N -1 1 309.333 1.580 20 0 DDADMM O=C(N[C@H]1C[C@@H]1c1cccc(F)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738024761 598998839 /nfs/dbraw/zinc/99/88/39/598998839.db2.gz YIAOMSGMEDWRGT-OCCSQVGLSA-N -1 1 324.319 1.687 20 0 DDADMM O=C(N[C@H]1C[C@@H]1c1cccc(F)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738024761 598998840 /nfs/dbraw/zinc/99/88/40/598998840.db2.gz YIAOMSGMEDWRGT-OCCSQVGLSA-N -1 1 324.319 1.687 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC[C@H](c2ccccc2)C1 ZINC000738257729 598999849 /nfs/dbraw/zinc/99/98/49/598999849.db2.gz CNCFTCCOFBYNCQ-AWEZNQCLSA-N -1 1 320.356 1.891 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC[C@H](c2ccccc2)C1 ZINC000738257729 598999852 /nfs/dbraw/zinc/99/98/52/598999852.db2.gz CNCFTCCOFBYNCQ-AWEZNQCLSA-N -1 1 320.356 1.891 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCc2ccc(F)cc21 ZINC000738258204 598999856 /nfs/dbraw/zinc/99/98/56/598999856.db2.gz HYGHLCGHTMNINW-UHFFFAOYSA-N -1 1 310.292 1.604 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCc2ccc(F)cc21 ZINC000738258204 598999858 /nfs/dbraw/zinc/99/98/58/598999858.db2.gz HYGHLCGHTMNINW-UHFFFAOYSA-N -1 1 310.292 1.604 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccccn1 ZINC000736431280 599002649 /nfs/dbraw/zinc/00/26/49/599002649.db2.gz FAAAMEFRBUVFLR-ZDUSSCGKSA-N -1 1 323.360 1.928 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccccn1 ZINC000736431280 599002652 /nfs/dbraw/zinc/00/26/52/599002652.db2.gz FAAAMEFRBUVFLR-ZDUSSCGKSA-N -1 1 323.360 1.928 20 0 DDADMM Cc1ccc([C@H](O)CNc2ccc(Cl)c(-c3nnn[n-]3)n2)o1 ZINC000737320066 599046849 /nfs/dbraw/zinc/04/68/49/599046849.db2.gz MKTRCEVXQJBAOF-SECBINFHSA-N -1 1 320.740 1.962 20 0 DDADMM Cc1ccc([C@H](O)CNc2ccc(Cl)c(-c3nn[n-]n3)n2)o1 ZINC000737320066 599046852 /nfs/dbraw/zinc/04/68/52/599046852.db2.gz MKTRCEVXQJBAOF-SECBINFHSA-N -1 1 320.740 1.962 20 0 DDADMM CC(C)(C)SCCOC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735642976 599153327 /nfs/dbraw/zinc/15/33/27/599153327.db2.gz ILDNTZYYDVSLEA-UHFFFAOYSA-N -1 1 307.379 1.950 20 0 DDADMM CC(C)(C)SCCOC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735642976 599153330 /nfs/dbraw/zinc/15/33/30/599153330.db2.gz ILDNTZYYDVSLEA-UHFFFAOYSA-N -1 1 307.379 1.950 20 0 DDADMM COC(C)(C)C[C@@H](C)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736958503 599212265 /nfs/dbraw/zinc/21/22/65/599212265.db2.gz STGGKAUSMRIBKS-SECBINFHSA-N -1 1 304.354 1.195 20 0 DDADMM COC(C)(C)C[C@@H](C)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736958503 599212267 /nfs/dbraw/zinc/21/22/67/599212267.db2.gz STGGKAUSMRIBKS-SECBINFHSA-N -1 1 304.354 1.195 20 0 DDADMM O=C([O-])C1CCN(CN2C[C@H](c3ccccc3F)CC2=O)CC1 ZINC000821511992 597760165 /nfs/dbraw/zinc/76/01/65/597760165.db2.gz XHFLWIMOISZTAG-CYBMUJFWSA-N -1 1 320.364 1.896 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)NC[C@H]1C[N@H+]2CCC[C@@H]2CO1 ZINC000321663138 598178154 /nfs/dbraw/zinc/17/81/54/598178154.db2.gz REMXODPYOPRMDH-NEPJUHHUSA-N -1 1 319.361 1.370 20 0 DDADMM C[C@H]1CCCC[C@@H]1NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736273815 598258688 /nfs/dbraw/zinc/25/86/88/598258688.db2.gz RWWJKNFPOFYRAY-ONGXEEELSA-N -1 1 322.394 1.119 20 0 DDADMM C[C@H]1CCCC[C@@H]1NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736273815 598258690 /nfs/dbraw/zinc/25/86/90/598258690.db2.gz RWWJKNFPOFYRAY-ONGXEEELSA-N -1 1 322.394 1.119 20 0 DDADMM CCCCC[C@@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736441298 598263448 /nfs/dbraw/zinc/26/34/48/598263448.db2.gz PFPITRWTBBFKJW-SNVBAGLBSA-N -1 1 324.410 1.509 20 0 DDADMM CCCCC[C@@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736441298 598263449 /nfs/dbraw/zinc/26/34/49/598263449.db2.gz PFPITRWTBBFKJW-SNVBAGLBSA-N -1 1 324.410 1.509 20 0 DDADMM c1nn(-c2ccccc2)cc1CNc1nccnc1-c1nnn[n-]1 ZINC000738424610 598341554 /nfs/dbraw/zinc/34/15/54/598341554.db2.gz HEAYPYHFUXPBEQ-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1nn(-c2ccccc2)cc1CNc1nccnc1-c1nn[n-]n1 ZINC000738424610 598341556 /nfs/dbraw/zinc/34/15/56/598341556.db2.gz HEAYPYHFUXPBEQ-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM CC(=O)Nc1cccc(CNc2nccnc2-c2nnn[n-]2)c1 ZINC000735488627 598343740 /nfs/dbraw/zinc/34/37/40/598343740.db2.gz LOHIDRIEULYSDW-UHFFFAOYSA-N -1 1 310.321 1.227 20 0 DDADMM CC(=O)Nc1cccc(CNc2nccnc2-c2nn[n-]n2)c1 ZINC000735488627 598343742 /nfs/dbraw/zinc/34/37/42/598343742.db2.gz LOHIDRIEULYSDW-UHFFFAOYSA-N -1 1 310.321 1.227 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nnn[n-]2)c1C(=O)N[C@H]1C[C@@H]1C ZINC000737548383 598501692 /nfs/dbraw/zinc/50/16/92/598501692.db2.gz PHGKAUXYIZVHOG-WPRPVWTQSA-N -1 1 312.333 1.697 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nn[n-]n2)c1C(=O)N[C@H]1C[C@@H]1C ZINC000737548383 598501694 /nfs/dbraw/zinc/50/16/94/598501694.db2.gz PHGKAUXYIZVHOG-WPRPVWTQSA-N -1 1 312.333 1.697 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@@H]1C[C@]12CCc1ccccc12 ZINC000822903732 599319489 /nfs/dbraw/zinc/31/94/89/599319489.db2.gz ODHWNHZWALHFNT-LRDDRELGSA-N -1 1 321.344 1.190 20 0 DDADMM CNC(=O)c1ccc(CN(C)c2cccc(-c3nnn[n-]3)n2)cc1 ZINC000736876707 599399841 /nfs/dbraw/zinc/39/98/41/599399841.db2.gz CPSPJZWSFLWPNL-UHFFFAOYSA-N -1 1 323.360 1.258 20 0 DDADMM CNC(=O)c1ccc(CN(C)c2cccc(-c3nn[n-]n3)n2)cc1 ZINC000736876707 599399844 /nfs/dbraw/zinc/39/98/44/599399844.db2.gz CPSPJZWSFLWPNL-UHFFFAOYSA-N -1 1 323.360 1.258 20 0 DDADMM CN(C[C@H]1CCC[C@H]1O)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825909427 607851972 /nfs/dbraw/zinc/85/19/72/607851972.db2.gz YINLAPFWNOEGSN-PSASIEDQSA-N -1 1 308.773 1.512 20 0 DDADMM CN(C[C@H]1CCC[C@H]1O)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825909427 607851973 /nfs/dbraw/zinc/85/19/73/607851973.db2.gz YINLAPFWNOEGSN-PSASIEDQSA-N -1 1 308.773 1.512 20 0 DDADMM C[C@H]1CN(c2cccc(-c3nnn[n-]3)n2)C[C@@H](C(F)(F)F)O1 ZINC000820774401 599688105 /nfs/dbraw/zinc/68/81/05/599688105.db2.gz CCHVVFGFRBKDJE-CBAPKCEASA-N -1 1 314.271 1.418 20 0 DDADMM C[C@H]1CN(c2cccc(-c3nn[n-]n3)n2)C[C@@H](C(F)(F)F)O1 ZINC000820774401 599688106 /nfs/dbraw/zinc/68/81/06/599688106.db2.gz CCHVVFGFRBKDJE-CBAPKCEASA-N -1 1 314.271 1.418 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)C=Cc1ccccc1F ZINC000314132586 599777455 /nfs/dbraw/zinc/77/74/55/599777455.db2.gz NWULDUNHALDQKK-GFUIURDCSA-N -1 1 303.293 1.374 20 0 DDADMM CCOc1ccccc1C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000314029209 599777705 /nfs/dbraw/zinc/77/77/05/599777705.db2.gz KSIHATABMXUTLB-LBPRGKRZSA-N -1 1 303.318 1.234 20 0 DDADMM CN(CCOc1cccc(C(=O)[O-])c1)[C@H]1CCCCNC1=O ZINC000737448590 599894284 /nfs/dbraw/zinc/89/42/84/599894284.db2.gz DWQKFLONKQDMMF-AWEZNQCLSA-N -1 1 306.362 1.364 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC000399550619 599953868 /nfs/dbraw/zinc/95/38/68/599953868.db2.gz BWVVSUVZTXPJBJ-AWEZNQCLSA-N -1 1 306.362 1.225 20 0 DDADMM CSc1n[nH]c(NC(=O)c2cccc(OCC(=O)[O-])c2)n1 ZINC000738215314 600161150 /nfs/dbraw/zinc/16/11/50/600161150.db2.gz LPWVCTLRWVNSMV-UHFFFAOYSA-N -1 1 308.319 1.242 20 0 DDADMM CCOC[C@@H]1CCCN(CC(=O)N[C@@H](CC(C)C)C(=O)[O-])C1 ZINC000737161253 600286643 /nfs/dbraw/zinc/28/66/43/600286643.db2.gz FFLJIGRHAJMICS-KGLIPLIRSA-N -1 1 314.426 1.350 20 0 DDADMM COCC[N@@H+](CC(=O)[O-])Cc1cc(=O)oc2c(C)c(O)ccc12 ZINC000737778073 600396137 /nfs/dbraw/zinc/39/61/37/600396137.db2.gz WNOOFJBBBYVKIH-UHFFFAOYSA-N -1 1 321.329 1.340 20 0 DDADMM C[C@@H](c1ccc(C(=O)[O-])o1)N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000736718802 600442072 /nfs/dbraw/zinc/44/20/72/600442072.db2.gz UFXWNSVDFLNUIP-VHSXEESVSA-N -1 1 316.379 1.039 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000737253322 600446084 /nfs/dbraw/zinc/44/60/84/600446084.db2.gz HCCDDNWLTRXGEE-UHFFFAOYSA-N -1 1 309.347 1.149 20 0 DDADMM COCCN(CCC(=O)[O-])C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000737790922 600632450 /nfs/dbraw/zinc/63/24/50/600632450.db2.gz VUNJFBFCMAMMBJ-UHFFFAOYSA-N -1 1 323.374 1.702 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCC[C@@H]1C[C@H](O)c1ccccc1 ZINC000833236339 600853178 /nfs/dbraw/zinc/85/31/78/600853178.db2.gz FXGFCXFANZWPLQ-CABCVRRESA-N -1 1 320.389 1.165 20 0 DDADMM Cc1nnc(SCCC(=O)Nc2ccc(CC(=O)[O-])cc2)[nH]1 ZINC000833021615 600878207 /nfs/dbraw/zinc/87/82/07/600878207.db2.gz QNZNGBUGLVPLGP-UHFFFAOYSA-N -1 1 320.374 1.861 20 0 DDADMM C[C@@H]1CC[C@H](C)N1CC(=O)N1C[C@@H](C(=O)[O-])Oc2ccccc21 ZINC000828214510 600881562 /nfs/dbraw/zinc/88/15/62/600881562.db2.gz OVMYQXLYSIJTON-ZOWXZIJZSA-N -1 1 318.373 1.738 20 0 DDADMM Cc1nnc(SCC(=O)N(CC(=O)[O-])c2ccccc2)[nH]1 ZINC000818981347 600962133 /nfs/dbraw/zinc/96/21/33/600962133.db2.gz NMNIYCDUADEBDG-UHFFFAOYSA-N -1 1 306.347 1.323 20 0 DDADMM Cc1n[nH]c(SCC(=O)N(CC(=O)[O-])c2ccccc2)n1 ZINC000818981347 600962134 /nfs/dbraw/zinc/96/21/34/600962134.db2.gz NMNIYCDUADEBDG-UHFFFAOYSA-N -1 1 306.347 1.323 20 0 DDADMM CCOc1ccc(NC(=O)CN[C@@](C)(C(=O)[O-])C2CC2)cc1 ZINC000830080509 601174943 /nfs/dbraw/zinc/17/49/43/601174943.db2.gz OKMCRSNPTGZPKK-MRXNPFEDSA-N -1 1 306.362 1.867 20 0 DDADMM O=C([O-])c1cc(C(=O)Nc2ccn(-c3ccncc3)n2)ccn1 ZINC000833161338 601287765 /nfs/dbraw/zinc/28/77/65/601287765.db2.gz IORVJCAPYRRSCW-UHFFFAOYSA-N -1 1 309.285 1.613 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCC[C@H](N2CCNC2=O)C1 ZINC000830369612 601414975 /nfs/dbraw/zinc/41/49/75/601414975.db2.gz PMWATEPTWGCNFT-LBPRGKRZSA-N -1 1 321.377 1.530 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C[C@H](O)COCc2cccs2)C1 ZINC000833176124 601448147 /nfs/dbraw/zinc/44/81/47/601448147.db2.gz WSBSDVGTTNZTFO-GXFFZTMASA-N -1 1 303.355 1.124 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccc3c(c2)OCO3)C[C@@H]1C(=O)[O-] ZINC000315595309 601504749 /nfs/dbraw/zinc/50/47/49/601504749.db2.gz OXINYYAUBNKDGS-ONGXEEELSA-N -1 1 306.318 1.006 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@@H](C)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828420114 601511785 /nfs/dbraw/zinc/51/17/85/601511785.db2.gz AASGTUMTAVWJDT-UTUOFQBUSA-N -1 1 308.382 1.444 20 0 DDADMM COCCN1CCN(Cc2ccc(C(=O)[O-])c(F)c2)C[C@H]1C ZINC000831745531 601631138 /nfs/dbraw/zinc/63/11/38/601631138.db2.gz RQHKOBLXSQUPOQ-GFCCVEGCSA-N -1 1 310.369 1.676 20 0 DDADMM CN1CCN(Cc2ccnc(-c3nnn[n-]3)c2)c2ccccc21 ZINC000826038508 607519258 /nfs/dbraw/zinc/51/92/58/607519258.db2.gz QDIMWYMLLHNQOO-UHFFFAOYSA-N -1 1 307.361 1.718 20 0 DDADMM CN1CCN(Cc2ccnc(-c3nn[n-]n3)c2)c2ccccc21 ZINC000826038508 607519259 /nfs/dbraw/zinc/51/92/59/607519259.db2.gz QDIMWYMLLHNQOO-UHFFFAOYSA-N -1 1 307.361 1.718 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CCC[C@H]3COCC[C@H]32)cc1 ZINC000833104679 601662695 /nfs/dbraw/zinc/66/26/95/601662695.db2.gz DBGMFCDTBSFFQA-DZGCQCFKSA-N -1 1 318.373 1.824 20 0 DDADMM COc1ccc([S@@](=O)Cc2ccnc(-c3nnn[n-]3)c2)cc1 ZINC000826211388 607527903 /nfs/dbraw/zinc/52/79/03/607527903.db2.gz AITWPXUPNFCKNP-QFIPXVFZSA-N -1 1 315.358 1.578 20 0 DDADMM COc1ccc([S@@](=O)Cc2ccnc(-c3nn[n-]n3)c2)cc1 ZINC000826211388 607527905 /nfs/dbraw/zinc/52/79/05/607527905.db2.gz AITWPXUPNFCKNP-QFIPXVFZSA-N -1 1 315.358 1.578 20 0 DDADMM CC(C)CCNC(=O)[C@@H](C)N1CCSC[C@H]1CC(=O)[O-] ZINC000250597848 601921332 /nfs/dbraw/zinc/92/13/32/601921332.db2.gz PDLKTCLEHSSOJB-VXGBXAGGSA-N -1 1 302.440 1.429 20 0 DDADMM C[C@@H](C(=O)N1C[C@H](C)C[C@H](C)C1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000739078665 601960021 /nfs/dbraw/zinc/96/00/21/601960021.db2.gz XJLQZYFSMDPWAJ-RFQIPJPRSA-N -1 1 311.426 1.469 20 0 DDADMM O=C([O-])NC[C@@H]1CC[N@H+](Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000740647029 601961348 /nfs/dbraw/zinc/96/13/48/601961348.db2.gz WGQADZVQDDETOQ-QMMMGPOBSA-N -1 1 308.363 1.486 20 0 DDADMM O=C([O-])NC[C@@H]1CC[N@@H+](Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000740647029 601961350 /nfs/dbraw/zinc/96/13/50/601961350.db2.gz WGQADZVQDDETOQ-QMMMGPOBSA-N -1 1 308.363 1.486 20 0 DDADMM C[C@H](C(=O)N(C)c1ccccc1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738601904 602043259 /nfs/dbraw/zinc/04/32/59/602043259.db2.gz LYBBTUNIQLTCKG-CHWSQXEVSA-N -1 1 305.378 1.627 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)Nc1cccc(CN2CCN(C)CC2)c1 ZINC000827318526 602121738 /nfs/dbraw/zinc/12/17/38/602121738.db2.gz XOMAFOICSRPWGH-CYBMUJFWSA-N -1 1 319.405 1.483 20 0 DDADMM COc1cc(OC)cc([C@@H](NCc2cnn(C)c2)C(=O)[O-])c1 ZINC000832057348 602170172 /nfs/dbraw/zinc/17/01/72/602170172.db2.gz ONGFAROJDAHDHQ-CQSZACIVSA-N -1 1 305.334 1.353 20 0 DDADMM c1cc(CNc2ccc3c(c2)OCCO3)cc(-c2nnn[n-]2)n1 ZINC000826516547 607548531 /nfs/dbraw/zinc/54/85/31/607548531.db2.gz OXXMZJREFCVGIC-UHFFFAOYSA-N -1 1 310.317 1.645 20 0 DDADMM c1cc(CNc2ccc3c(c2)OCCO3)cc(-c2nn[n-]n2)n1 ZINC000826516547 607548533 /nfs/dbraw/zinc/54/85/33/607548533.db2.gz OXXMZJREFCVGIC-UHFFFAOYSA-N -1 1 310.317 1.645 20 0 DDADMM CCNC(=O)c1ccccc1NCc1ccnc(-c2nnn[n-]2)c1 ZINC000825476610 607548719 /nfs/dbraw/zinc/54/87/19/607548719.db2.gz PFMNIWNQHQFMAJ-UHFFFAOYSA-N -1 1 323.360 1.624 20 0 DDADMM CCNC(=O)c1ccccc1NCc1ccnc(-c2nn[n-]n2)c1 ZINC000825476610 607548721 /nfs/dbraw/zinc/54/87/21/607548721.db2.gz PFMNIWNQHQFMAJ-UHFFFAOYSA-N -1 1 323.360 1.624 20 0 DDADMM CCC(CC)(CNC(=O)[O-])NC(=O)c1[nH]nc2c1CCCC2 ZINC000739251942 602521787 /nfs/dbraw/zinc/52/17/87/602521787.db2.gz HAPLGBOXLSPJEO-UHFFFAOYSA-N -1 1 308.382 1.845 20 0 DDADMM CCCN(CC(=O)N1CCCCCC1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739350111 602546137 /nfs/dbraw/zinc/54/61/37/602546137.db2.gz MJDJFVJFEDQMNU-CQSZACIVSA-N -1 1 311.426 1.853 20 0 DDADMM CC(C)n1nnnc1CN[C@]1(CNC(=O)[O-])CCCC[C@H]1C ZINC000738891211 602553450 /nfs/dbraw/zinc/55/34/50/602553450.db2.gz QVPWSPMUNZXHGK-RISCZKNCSA-N -1 1 310.402 1.560 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)NC[C@@H]2CCCN2C(=O)[O-])o1 ZINC000739410643 602581847 /nfs/dbraw/zinc/58/18/47/602581847.db2.gz KNPVUDHNFDZHAV-LBPRGKRZSA-N -1 1 323.393 1.994 20 0 DDADMM CCCN(C[C@@H]1CCCN(C(=O)[O-])C1)[C@H]1CCS(=O)(=O)C1 ZINC000739359534 602702769 /nfs/dbraw/zinc/70/27/69/602702769.db2.gz YGSLZWYJFJCUCA-STQMWFEESA-N -1 1 318.439 1.276 20 0 DDADMM O=C([O-])N[C@@H](C(=O)N1CCN(C2CCC2)CC1)c1ccccc1 ZINC000740684523 602853844 /nfs/dbraw/zinc/85/38/44/602853844.db2.gz NABPJDCSMMPKHM-OAHLLOKOSA-N -1 1 317.389 1.692 20 0 DDADMM CC(C)c1nn(C)c(N(C)C)c1CN[C@H]1CCN(C(=O)[O-])C1 ZINC000738881038 602877526 /nfs/dbraw/zinc/87/75/26/602877526.db2.gz IPLTYGPNXITWSX-NSHDSACASA-N -1 1 309.414 1.451 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@@H]1NC(=O)c1[nH]nc2c1CCCC2 ZINC000740629397 602974462 /nfs/dbraw/zinc/97/44/62/602974462.db2.gz QLGHSRRNFJNBGW-KOLCDFICSA-N -1 1 306.366 1.455 20 0 DDADMM Cc1noc(C)c1CN1CCN(C[C@H]2CCN(C(=O)[O-])C2)CC1 ZINC000740264333 602981464 /nfs/dbraw/zinc/98/14/64/602981464.db2.gz RRHDXGFOGBNRIN-CQSZACIVSA-N -1 1 322.409 1.409 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)C1CCN(C(=O)[O-])CC1 ZINC000737757502 602989957 /nfs/dbraw/zinc/98/99/57/602989957.db2.gz DBYLCVAKRQQIHC-JSGCOSHPSA-N -1 1 309.410 1.462 20 0 DDADMM CCCN(C)CC(=O)N1CCN(C(=O)[O-])Cc2ccccc21 ZINC000739351478 603024769 /nfs/dbraw/zinc/02/47/69/603024769.db2.gz QNBVIXBFLYFOIU-UHFFFAOYSA-N -1 1 305.378 1.855 20 0 DDADMM O=C([O-])N[C@@H]1CCCN(C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC000738655045 603193305 /nfs/dbraw/zinc/19/33/05/603193305.db2.gz LLQNHROWPSHYOY-GFCCVEGCSA-N -1 1 314.345 1.949 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1CCN(C(=O)[O-])C1)c1ccsc1 ZINC000739604634 603274152 /nfs/dbraw/zinc/27/41/52/603274152.db2.gz ZHHRBEAYHYPZTH-ZYHUDNBSSA-N -1 1 311.407 1.467 20 0 DDADMM CCN(CC)[C@H](CNC(=O)[C@H]1CCN(C(=O)[O-])C1)c1ccco1 ZINC000739405856 603290690 /nfs/dbraw/zinc/29/06/90/603290690.db2.gz DYEXIXDSEITZMR-QWHCGFSZSA-N -1 1 323.393 1.779 20 0 DDADMM CN1CCN(C)[C@H](CNC(=O)Nc2ccc(NC(=O)[O-])cc2)C1 ZINC000737526721 603318572 /nfs/dbraw/zinc/31/85/72/603318572.db2.gz XGYPQVMDWIJJAN-CYBMUJFWSA-N -1 1 321.381 1.144 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc3c[nH]nc32)CC[C@H]1NC(=O)[O-] ZINC000739163545 603332003 /nfs/dbraw/zinc/33/20/03/603332003.db2.gz ARUBKUWZUCNFCI-JOYOIKCWSA-N -1 1 302.334 1.681 20 0 DDADMM CC(C)[C@H](CNC(=O)c1sccc1CN(C)C)NC(=O)[O-] ZINC000824122197 603535320 /nfs/dbraw/zinc/53/53/20/603535320.db2.gz DBGCLPLTEZZWES-NSHDSACASA-N -1 1 313.423 1.832 20 0 DDADMM CC[C@@H]1CN2CCCC[C@H]2CN1C(=O)C1CCN(C(=O)[O-])CC1 ZINC000826690469 603556454 /nfs/dbraw/zinc/55/64/54/603556454.db2.gz LDECETYHMXKLBL-CABCVRRESA-N -1 1 323.437 1.852 20 0 DDADMM CN(C)[C@H](CNC(=O)C(C)(C)CNC(=O)[O-])c1ccsc1 ZINC000827994151 603627200 /nfs/dbraw/zinc/62/72/00/603627200.db2.gz ICGAUOVSKDUHQV-LLVKDONJSA-N -1 1 313.423 1.761 20 0 DDADMM Cc1ccc2nc(CNC(=O)CC3CN(C(=O)[O-])C3)[nH]c2c1 ZINC000830433190 603875109 /nfs/dbraw/zinc/87/51/09/603875109.db2.gz RGMMDAUXIJPENO-UHFFFAOYSA-N -1 1 302.334 1.487 20 0 DDADMM C[C@@H](C(=O)N[C@@H](C)c1nnc[nH]1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000825074428 603939365 /nfs/dbraw/zinc/93/93/65/603939365.db2.gz HOTRSRJTJAYHQU-JFGNBEQYSA-N -1 1 317.349 1.627 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000827816474 603943804 /nfs/dbraw/zinc/94/38/04/603943804.db2.gz VJZNVJYYHLPHGD-WDEREUQCSA-N -1 1 306.366 1.430 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)C[C@@H]2CCCN2C(=O)[O-])c1 ZINC000828046871 604066918 /nfs/dbraw/zinc/06/69/18/604066918.db2.gz UHUMBGHWJSXDSK-LBPRGKRZSA-N -1 1 306.366 1.614 20 0 DDADMM CN(Cc1ccccc1NC(=O)NCCc1nc[nH]n1)C(=O)[O-] ZINC000828400385 604280373 /nfs/dbraw/zinc/28/03/73/604280373.db2.gz ZEMBOBUPIXBLJR-UHFFFAOYSA-N -1 1 318.337 1.279 20 0 DDADMM C[C@@H]1CN(C)[C@@H](C)CN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000833627825 604574161 /nfs/dbraw/zinc/57/41/61/604574161.db2.gz VKXUPZGXYREDIZ-NWDGAFQWSA-N -1 1 305.378 1.348 20 0 DDADMM CCc1[nH]c(C(=O)N2C[C@H](C)N(C)C[C@@H]2C)c(C)c1C(=O)[O-] ZINC000833666605 604579913 /nfs/dbraw/zinc/57/99/13/604579913.db2.gz AVBZETDZYJYPTN-UWVGGRQHSA-N -1 1 307.394 1.748 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)CCN(C(=O)[O-])C(C)C)C2)n[nH]1 ZINC000830738440 604645479 /nfs/dbraw/zinc/64/54/79/604645479.db2.gz QXVLOXCCGCBSRE-LBPRGKRZSA-N -1 1 323.397 1.598 20 0 DDADMM Cc1cc(Cn2cc(-c3nn[n-]n3)c(=O)c3nc(C)ccc32)no1 ZINC000826295092 607718115 /nfs/dbraw/zinc/71/81/15/607718115.db2.gz QSPUEKBOXLXGQK-UHFFFAOYSA-N -1 1 323.316 1.230 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)NC2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000833511956 605021848 /nfs/dbraw/zinc/02/18/48/605021848.db2.gz GFYNBFWFUUBWIJ-VIFPVBQESA-N -1 1 324.385 1.431 20 0 DDADMM COCc1ccc(S(=O)(=O)Nc2cncc(C(=O)[O-])c2)cc1 ZINC000833725821 605052659 /nfs/dbraw/zinc/05/26/59/605052659.db2.gz HRPZHPSDCPKJJL-UHFFFAOYSA-N -1 1 322.342 1.727 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1Cc2ccccc21 ZINC000833622534 605109829 /nfs/dbraw/zinc/10/98/29/605109829.db2.gz QBNYWWIRPIDYMV-WFASDCNBSA-N -1 1 302.374 1.334 20 0 DDADMM Cc1cc(C)cc(OC[C@@H](O)CN2CC[C@H](NC(=O)[O-])C2)c1 ZINC000740069093 605161800 /nfs/dbraw/zinc/16/18/00/605161800.db2.gz USEXJGFGYIHTEA-KBPBESRZSA-N -1 1 308.378 1.385 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1CC(=O)Nc1cccc(F)c1 ZINC000833628113 605193152 /nfs/dbraw/zinc/19/31/52/605193152.db2.gz BIOPGIOTQRPLPG-LBPRGKRZSA-N -1 1 323.368 1.245 20 0 DDADMM C[C@]1(O)CCCN(CC(=O)NCc2ccc(NC(=O)[O-])cc2)C1 ZINC000833571714 605214950 /nfs/dbraw/zinc/21/49/50/605214950.db2.gz KKCOTURQJMBJRM-INIZCTEOSA-N -1 1 321.377 1.240 20 0 DDADMM Cc1nc(COC(=O)[C@H](C)[C@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830758312 605263457 /nfs/dbraw/zinc/26/34/57/605263457.db2.gz ZLEWZWJKRPXXAZ-RNCFNFMXSA-N -1 1 318.333 1.801 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NC[C@H](NC(=O)[O-])C(C)C)n[nH]1 ZINC000830036637 605301688 /nfs/dbraw/zinc/30/16/88/605301688.db2.gz WWUOIFCREDVAHO-SKDRFNHKSA-N -1 1 311.386 1.241 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCCN(CC(F)F)CC2)O1 ZINC000833580371 605376854 /nfs/dbraw/zinc/37/68/54/605376854.db2.gz QCVYKHPIKKQAEO-LLVKDONJSA-N -1 1 304.337 1.407 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2ccc(CNC(=O)[O-])o2)n[nH]1 ZINC000830036490 605436347 /nfs/dbraw/zinc/43/63/47/605436347.db2.gz RJSIJPVQUTZYJI-QMMMGPOBSA-N -1 1 306.322 1.440 20 0 DDADMM O=C([O-])N1CCC[C@H]1CN1CCN(c2ccccc2O)CC1 ZINC000834111626 605462915 /nfs/dbraw/zinc/46/29/15/605462915.db2.gz RDYHXGSKTSDINE-ZDUSSCGKSA-N -1 1 305.378 1.657 20 0 DDADMM C[C@@H](C(=O)NC(=O)Nc1ccccc1)N(C)CCCNC(=O)[O-] ZINC000823678808 605467479 /nfs/dbraw/zinc/46/74/79/605467479.db2.gz VPSVGDJHDBYCHX-NSHDSACASA-N -1 1 322.365 1.313 20 0 DDADMM Cn1cc(CN2CCCN(C(=O)[O-])CC2)c(-c2ccncc2)n1 ZINC000833949499 605476029 /nfs/dbraw/zinc/47/60/29/605476029.db2.gz RKFMZHYQKHNDTF-UHFFFAOYSA-N -1 1 315.377 1.668 20 0 DDADMM O=C([O-])N1CC(C(=O)NC[C@H](c2ccco2)N2CCCCC2)C1 ZINC000834023033 605508609 /nfs/dbraw/zinc/50/86/09/605508609.db2.gz UCGCYXXNELMSSE-CYBMUJFWSA-N -1 1 321.377 1.533 20 0 DDADMM O=C([O-])N1CCOC[C@H]1C(=O)Nc1cccc(Cl)c1O ZINC000834053908 605540212 /nfs/dbraw/zinc/54/02/12/605540212.db2.gz OHVBYZMUJZHCLR-VIFPVBQESA-N -1 1 300.698 1.363 20 0 DDADMM COc1cc(CN(C)[C@@H](C)CNC(=O)[O-])cc(OC)c1OC ZINC000833886970 605592926 /nfs/dbraw/zinc/59/29/26/605592926.db2.gz ILOPUEMVFPYCAU-JTQLQIEISA-N -1 1 312.366 1.800 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCC(O[C@@H]3CCOC3)CC2)cn1 ZINC000834260855 605621359 /nfs/dbraw/zinc/62/13/59/605621359.db2.gz ZSVDMIFFWOAYNV-CQSZACIVSA-N -1 1 321.377 1.941 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CC(C)(C)C1 ZINC000833588285 605720428 /nfs/dbraw/zinc/72/04/28/605720428.db2.gz DBVIKAKRPIFIKO-MDZLAQPJSA-N -1 1 307.394 1.984 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@H]1c2ccccc2CCN1C(=O)[O-] ZINC000828426480 605735514 /nfs/dbraw/zinc/73/55/14/605735514.db2.gz HEOHMBDOGKVSFE-CYBMUJFWSA-N -1 1 315.333 1.041 20 0 DDADMM O=C([O-])N1CC[C@@H](NC[C@](O)(c2ccccc2)C(F)(F)F)C1 ZINC000831952936 605847133 /nfs/dbraw/zinc/84/71/33/605847133.db2.gz CWQJTBGELQCCRB-YPMHNXCESA-N -1 1 318.295 1.778 20 0 DDADMM O=C([O-])N1CCC2(CCN(c3ncnc4[nH]cnc43)C2)CC1 ZINC000834095178 605864714 /nfs/dbraw/zinc/86/47/14/605864714.db2.gz YJKCEJJNBDQISE-UHFFFAOYSA-N -1 1 302.338 1.323 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NCc1ccccc1F ZINC000833832361 605885071 /nfs/dbraw/zinc/88/50/71/605885071.db2.gz SPXBJAPALRWDJS-RYUDHWBXSA-N -1 1 323.368 1.420 20 0 DDADMM O=C([O-])N[C@H]1CCN(CCCN2C(=O)c3ccccc3C2=O)C1 ZINC000740597093 605932682 /nfs/dbraw/zinc/93/26/82/605932682.db2.gz UKQGLXGUHDDGMI-NSHDSACASA-N -1 1 317.345 1.015 20 0 DDADMM Cc1nc(NC(=O)[O-])sc1C(=O)N(C)[C@@H]1CCN(C2CC2)C1 ZINC000833913744 605944843 /nfs/dbraw/zinc/94/48/43/605944843.db2.gz KEZNOSFFPLCDFU-SNVBAGLBSA-N -1 1 324.406 1.850 20 0 DDADMM O=C([O-])N(CC(=O)NCCN1CCc2ccccc2C1)C1CC1 ZINC000833998393 605961683 /nfs/dbraw/zinc/96/16/83/605961683.db2.gz PYBKFTRPHQXJFA-UHFFFAOYSA-N -1 1 317.389 1.303 20 0 DDADMM O=C([O-])N1CCC[C@@H](CN2CCN(c3ccc(F)cn3)CC2)C1 ZINC000834101309 605975704 /nfs/dbraw/zinc/97/57/04/605975704.db2.gz XKQAINXJPONBKH-ZDUSSCGKSA-N -1 1 322.384 1.733 20 0 DDADMM O=C([O-])NC1(C(=O)O[C@H]2CCCN(Cc3ccccc3)C2)CC1 ZINC000834154516 606019423 /nfs/dbraw/zinc/01/94/23/606019423.db2.gz SAAPTWAJKURYSH-AWEZNQCLSA-N -1 1 318.373 1.994 20 0 DDADMM C[C@@H](CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1)C(C)(C)C ZINC000820270045 606154610 /nfs/dbraw/zinc/15/46/10/606154610.db2.gz SZVNWFFMCQHMHM-QMMMGPOBSA-N -1 1 306.374 1.404 20 0 DDADMM C[C@@H](CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1)C(C)(C)C ZINC000820270045 606154613 /nfs/dbraw/zinc/15/46/13/606154613.db2.gz SZVNWFFMCQHMHM-QMMMGPOBSA-N -1 1 306.374 1.404 20 0 DDADMM Cc1ncc(C=CC(=O)Nc2nn(C)cc2-c2nnn[n-]2)s1 ZINC000822468276 606155164 /nfs/dbraw/zinc/15/51/64/606155164.db2.gz ZLCWKNSGRJFTIO-ONEGZZNKSA-N -1 1 316.350 1.017 20 0 DDADMM Cc1ncc(C=CC(=O)Nc2nn(C)cc2-c2nn[n-]n2)s1 ZINC000822468276 606155166 /nfs/dbraw/zinc/15/51/66/606155166.db2.gz ZLCWKNSGRJFTIO-ONEGZZNKSA-N -1 1 316.350 1.017 20 0 DDADMM CC[C@H]1CCCCN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820978434 606167987 /nfs/dbraw/zinc/16/79/87/606167987.db2.gz BDXHWLHTGMHOMD-VIFPVBQESA-N -1 1 304.358 1.397 20 0 DDADMM CC[C@H]1CCCCN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820978434 606167985 /nfs/dbraw/zinc/16/79/85/606167985.db2.gz BDXHWLHTGMHOMD-VIFPVBQESA-N -1 1 304.358 1.397 20 0 DDADMM O=C(CCC(F)(F)F)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822950259 606294343 /nfs/dbraw/zinc/29/43/43/606294343.db2.gz HLWUJHPEPZXNOQ-UHFFFAOYSA-N -1 1 301.228 1.853 20 0 DDADMM C[C@@H]1CCCN1S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000820716985 606431664 /nfs/dbraw/zinc/43/16/64/606431664.db2.gz NTHJSRSSRCEWFQ-MRVPVSSYSA-N -1 1 311.342 1.179 20 0 DDADMM C[C@@H]1CCCN1S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000820716985 606431666 /nfs/dbraw/zinc/43/16/66/606431666.db2.gz NTHJSRSSRCEWFQ-MRVPVSSYSA-N -1 1 311.342 1.179 20 0 DDADMM C[C@H]1CCCN1S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000820716256 606431873 /nfs/dbraw/zinc/43/18/73/606431873.db2.gz IAJFTJBHKXSYPN-QMMMGPOBSA-N -1 1 311.342 1.179 20 0 DDADMM CN(Cc1cnccn1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821616923 606466470 /nfs/dbraw/zinc/46/64/70/606466470.db2.gz OHRXVYSNPZGIMB-UHFFFAOYSA-N -1 1 302.729 1.342 20 0 DDADMM CN(Cc1cnccn1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821616923 606466471 /nfs/dbraw/zinc/46/64/71/606466471.db2.gz OHRXVYSNPZGIMB-UHFFFAOYSA-N -1 1 302.729 1.342 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccc(F)cc1F ZINC000823436226 606519525 /nfs/dbraw/zinc/51/95/25/606519525.db2.gz MWQLSVGGIBGXCO-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc(F)cc1F ZINC000823436226 606519527 /nfs/dbraw/zinc/51/95/27/606519527.db2.gz MWQLSVGGIBGXCO-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM C[C@@H]1CC[C@@H](CCC(=O)OCc2ccnc(-c3nnn[n-]3)c2)O1 ZINC000820691100 606647010 /nfs/dbraw/zinc/64/70/10/606647010.db2.gz VFKJIIIVWKLYCF-PWSUYJOCSA-N -1 1 317.349 1.653 20 0 DDADMM C[C@@H]1CC[C@@H](CCC(=O)OCc2ccnc(-c3nn[n-]n3)c2)O1 ZINC000820691100 606647011 /nfs/dbraw/zinc/64/70/11/606647011.db2.gz VFKJIIIVWKLYCF-PWSUYJOCSA-N -1 1 317.349 1.653 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)[C@H]1CC1(Cl)Cl ZINC000823436183 606648551 /nfs/dbraw/zinc/64/85/51/606648551.db2.gz LJKWTJQIZMCTOI-SSDOTTSWSA-N -1 1 314.132 1.499 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)[C@H]1CC1(Cl)Cl ZINC000823436183 606648552 /nfs/dbraw/zinc/64/85/52/606648552.db2.gz LJKWTJQIZMCTOI-SSDOTTSWSA-N -1 1 314.132 1.499 20 0 DDADMM Nc1n[nH]c(CCCNc2ncccc2Cl)c1-c1nn[n-]n1 ZINC000822899403 606721928 /nfs/dbraw/zinc/72/19/28/606721928.db2.gz IYWVJYKSXYTDNN-UHFFFAOYSA-N -1 1 319.760 1.265 20 0 DDADMM C[C@]1(CNc2snc(Cl)c2-c2nnn[n-]2)CCCO1 ZINC000820623825 606723989 /nfs/dbraw/zinc/72/39/89/606723989.db2.gz ZUNAGOYIMIOVKC-SNVBAGLBSA-N -1 1 300.775 1.958 20 0 DDADMM C[C@]1(CNc2snc(Cl)c2-c2nn[n-]n2)CCCO1 ZINC000820623825 606723991 /nfs/dbraw/zinc/72/39/91/606723991.db2.gz ZUNAGOYIMIOVKC-SNVBAGLBSA-N -1 1 300.775 1.958 20 0 DDADMM O=C(OC[C@@H]1Cc2ccccc2O1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823400546 606760518 /nfs/dbraw/zinc/76/05/18/606760518.db2.gz GGSSUSLCKDTIFB-LBPRGKRZSA-N -1 1 323.312 1.422 20 0 DDADMM O=C(OC[C@@H]1Cc2ccccc2O1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823400546 606760520 /nfs/dbraw/zinc/76/05/20/606760520.db2.gz GGSSUSLCKDTIFB-LBPRGKRZSA-N -1 1 323.312 1.422 20 0 DDADMM Clc1ccc(NCCCO[C@@H]2CCOC2)nc1-c1nnn[n-]1 ZINC000822578282 606801309 /nfs/dbraw/zinc/80/13/09/606801309.db2.gz GECSPAHSWSPYON-SECBINFHSA-N -1 1 324.772 1.523 20 0 DDADMM Clc1ccc(NCCCO[C@@H]2CCOC2)nc1-c1nn[n-]n1 ZINC000822578282 606801310 /nfs/dbraw/zinc/80/13/10/606801310.db2.gz GECSPAHSWSPYON-SECBINFHSA-N -1 1 324.772 1.523 20 0 DDADMM O=C(COC1CCCCC1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000823026737 607024295 /nfs/dbraw/zinc/02/42/95/607024295.db2.gz DHNRNUYZKSXOCY-UHFFFAOYSA-N -1 1 308.367 1.611 20 0 DDADMM O=C(COC1CCCCC1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000823026737 607024297 /nfs/dbraw/zinc/02/42/97/607024297.db2.gz DHNRNUYZKSXOCY-UHFFFAOYSA-N -1 1 308.367 1.611 20 0 DDADMM CC(C)c1cc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)on1 ZINC000820187477 607105517 /nfs/dbraw/zinc/10/55/17/607105517.db2.gz CNUXYWYGERLAIV-UHFFFAOYSA-N -1 1 305.323 1.687 20 0 DDADMM CC(C)c1cc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)on1 ZINC000820187477 607105519 /nfs/dbraw/zinc/10/55/19/607105519.db2.gz CNUXYWYGERLAIV-UHFFFAOYSA-N -1 1 305.323 1.687 20 0 DDADMM CNc1snc(C)c1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000821637922 607108349 /nfs/dbraw/zinc/10/83/49/607108349.db2.gz ZNBODXXLRXGTJS-UHFFFAOYSA-N -1 1 322.379 1.382 20 0 DDADMM CNc1snc(C)c1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000821637922 607108351 /nfs/dbraw/zinc/10/83/51/607108351.db2.gz ZNBODXXLRXGTJS-UHFFFAOYSA-N -1 1 322.379 1.382 20 0 DDADMM Cc1cc(COC(=O)c2ccc(-c3nnn[n-]3)s2)nn1C ZINC000822201035 607155051 /nfs/dbraw/zinc/15/50/51/607155051.db2.gz NURLIPATHIQHEF-UHFFFAOYSA-N -1 1 304.335 1.327 20 0 DDADMM Cc1cc(COC(=O)c2ccc(-c3nn[n-]n3)s2)nn1C ZINC000822201035 607155053 /nfs/dbraw/zinc/15/50/53/607155053.db2.gz NURLIPATHIQHEF-UHFFFAOYSA-N -1 1 304.335 1.327 20 0 DDADMM CN(C[C@H]1CCC[C@@H]1O)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825909341 607862846 /nfs/dbraw/zinc/86/28/46/607862846.db2.gz WTHQSYIVDJXYFG-BDAKNGLRSA-N -1 1 307.379 1.161 20 0 DDADMM CN(C[C@H]1CCC[C@@H]1O)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825909341 607862847 /nfs/dbraw/zinc/86/28/47/607862847.db2.gz WTHQSYIVDJXYFG-BDAKNGLRSA-N -1 1 307.379 1.161 20 0 DDADMM CC(=O)N(C)c1cccc(Nc2cccc(-c3nnn[n-]3)n2)c1 ZINC000823967882 607900581 /nfs/dbraw/zinc/90/05/81/607900581.db2.gz RQNZFNGMSKJJSP-UHFFFAOYSA-N -1 1 309.333 1.988 20 0 DDADMM CC(=O)N(C)c1cccc(Nc2cccc(-c3nn[n-]n3)n2)c1 ZINC000823967882 607900582 /nfs/dbraw/zinc/90/05/82/607900582.db2.gz RQNZFNGMSKJJSP-UHFFFAOYSA-N -1 1 309.333 1.988 20 0 DDADMM Cc1ccc2c(Cn3ccnc3-c3nnn[n-]3)cc(=O)oc2c1C ZINC000826293168 608012845 /nfs/dbraw/zinc/01/28/45/608012845.db2.gz DHGNJWSNGVZRHO-UHFFFAOYSA-N -1 1 322.328 1.835 20 0 DDADMM Cc1ccc2c(Cn3ccnc3-c3nn[n-]n3)cc(=O)oc2c1C ZINC000826293168 608012846 /nfs/dbraw/zinc/01/28/46/608012846.db2.gz DHGNJWSNGVZRHO-UHFFFAOYSA-N -1 1 322.328 1.835 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)Nc1cccc2ccccc21 ZINC000826424881 608014546 /nfs/dbraw/zinc/01/45/46/608014546.db2.gz HGZMNRSOEKGGEE-UHFFFAOYSA-N -1 1 319.328 1.855 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)Nc1cccc2ccccc21 ZINC000826424881 608014547 /nfs/dbraw/zinc/01/45/47/608014547.db2.gz HGZMNRSOEKGGEE-UHFFFAOYSA-N -1 1 319.328 1.855 20 0 DDADMM Cc1nn(Cc2cccc(F)c2F)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334710 608079431 /nfs/dbraw/zinc/07/94/31/608079431.db2.gz GNIBYNXKDBVIDJ-UHFFFAOYSA-N -1 1 318.287 1.367 20 0 DDADMM CC(C)(C)[C@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000824080634 608131194 /nfs/dbraw/zinc/13/11/94/608131194.db2.gz YSFVOQNTMXOYHK-NSHDSACASA-N -1 1 300.366 1.770 20 0 DDADMM CC(C)(C)[C@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000824080634 608131195 /nfs/dbraw/zinc/13/11/95/608131195.db2.gz YSFVOQNTMXOYHK-NSHDSACASA-N -1 1 300.366 1.770 20 0 DDADMM COC(=O)[C@@H](C)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000826103274 608182189 /nfs/dbraw/zinc/18/21/89/608182189.db2.gz FJAWMGXMBCCFQO-BYPYZUCNSA-N -1 1 302.747 1.198 20 0 DDADMM COC(=O)[C@@H](C)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000826103274 608182191 /nfs/dbraw/zinc/18/21/91/608182191.db2.gz FJAWMGXMBCCFQO-BYPYZUCNSA-N -1 1 302.747 1.198 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1cccc(F)c1Cl ZINC000826501514 608226054 /nfs/dbraw/zinc/22/60/54/608226054.db2.gz UGGRQPBDAHPGJW-UHFFFAOYSA-N -1 1 305.700 1.869 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)c2ccc(-c3nnn[n-]3)nn2)C1(C)C ZINC000825581901 608422739 /nfs/dbraw/zinc/42/27/39/608422739.db2.gz FXBTZUNCSLUCFD-GHMZBOCLSA-N -1 1 303.370 1.297 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)c2ccc(-c3nn[n-]n3)nn2)C1(C)C ZINC000825581901 608422740 /nfs/dbraw/zinc/42/27/40/608422740.db2.gz FXBTZUNCSLUCFD-GHMZBOCLSA-N -1 1 303.370 1.297 20 0 DDADMM Clc1ccc2c(c1)[C@@H](Nc1ccc(-c3nnn[n-]3)nn1)CO2 ZINC000826352549 608433056 /nfs/dbraw/zinc/43/30/56/608433056.db2.gz FSYFPPOPWHSRBC-JTQLQIEISA-N -1 1 315.724 1.856 20 0 DDADMM Clc1ccc2c(c1)[C@@H](Nc1ccc(-c3nn[n-]n3)nn1)CO2 ZINC000826352549 608433058 /nfs/dbraw/zinc/43/30/58/608433058.db2.gz FSYFPPOPWHSRBC-JTQLQIEISA-N -1 1 315.724 1.856 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1N1CCC(c2cnn(C)c2)CC1 ZINC000826280562 608544108 /nfs/dbraw/zinc/54/41/08/608544108.db2.gz GZECCNDXVLUIKA-UHFFFAOYSA-N -1 1 324.392 1.688 20 0 DDADMM FC(F)(F)[C@H]1CN(Cc2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000826367892 608716512 /nfs/dbraw/zinc/71/65/12/608716512.db2.gz SDSGLHDRZSCUOO-SECBINFHSA-N -1 1 319.312 1.691 20 0 DDADMM Fc1cccc(-n2ccc(Cn3ccnc3-c3nnn[n-]3)n2)c1 ZINC000826376758 608892316 /nfs/dbraw/zinc/89/23/16/608892316.db2.gz QOMKRNSFTYSDQT-UHFFFAOYSA-N -1 1 310.296 1.436 20 0 DDADMM Fc1cccc(-n2ccc(Cn3ccnc3-c3nn[n-]n3)n2)c1 ZINC000826376758 608892317 /nfs/dbraw/zinc/89/23/17/608892317.db2.gz QOMKRNSFTYSDQT-UHFFFAOYSA-N -1 1 310.296 1.436 20 0 DDADMM Clc1ccc(N2CCC[C@H]2c2ncc[nH]2)nc1-c1nnn[n-]1 ZINC000826351987 609385389 /nfs/dbraw/zinc/38/53/89/609385389.db2.gz IWOXZBSGWCXFCT-VIFPVBQESA-N -1 1 316.756 1.980 20 0 DDADMM Clc1ccc(N2CCC[C@H]2c2ncc[nH]2)nc1-c1nn[n-]n1 ZINC000826351987 609385392 /nfs/dbraw/zinc/38/53/92/609385392.db2.gz IWOXZBSGWCXFCT-VIFPVBQESA-N -1 1 316.756 1.980 20 0 DDADMM NC(=O)c1cncc(Oc2snc(Cl)c2-c2nn[n-]n2)c1 ZINC000826393629 609428537 /nfs/dbraw/zinc/42/85/37/609428537.db2.gz BIAZAVUQWXJTFX-UHFFFAOYSA-N -1 1 323.725 1.263 20 0 DDADMM Cc1nc2c(s1)[C@H](Nc1nccnc1-c1nnn[n-]1)CCC2 ZINC000826328487 609566356 /nfs/dbraw/zinc/56/63/56/609566356.db2.gz SJUPFWHFKOFDHQ-SECBINFHSA-N -1 1 314.378 1.911 20 0 DDADMM Cc1nc2c(s1)[C@H](Nc1nccnc1-c1nn[n-]n1)CCC2 ZINC000826328487 609566358 /nfs/dbraw/zinc/56/63/58/609566358.db2.gz SJUPFWHFKOFDHQ-SECBINFHSA-N -1 1 314.378 1.911 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)[C@H]1CCCOC1 ZINC000824513360 609597326 /nfs/dbraw/zinc/59/73/26/609597326.db2.gz BSNQQQQYKIIEAP-BDAKNGLRSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)[C@H]1CCCOC1 ZINC000824513360 609597329 /nfs/dbraw/zinc/59/73/29/609597329.db2.gz BSNQQQQYKIIEAP-BDAKNGLRSA-N -1 1 307.379 1.473 20 0 DDADMM CCN(C)C(=O)c1cccc(OS(=O)(=O)c2c[n-]cn2)c1 ZINC000122154832 696719289 /nfs/dbraw/zinc/71/92/89/696719289.db2.gz BEUPNNXRPSXQLW-UHFFFAOYSA-N -1 1 309.347 1.269 20 0 DDADMM CCCCN1CCO[C@]2(CCN(C(=O)c3n[nH]c(C)c3[O-])C2)C1 ZINC000972341666 695221208 /nfs/dbraw/zinc/22/12/08/695221208.db2.gz GXLPBAAPFJHVIV-MRXNPFEDSA-N -1 1 322.409 1.141 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@]2(C1)CN(CCCF)CCO2 ZINC000972355966 695226356 /nfs/dbraw/zinc/22/63/56/695226356.db2.gz RTXXRVCNHZGYJF-MRXNPFEDSA-N -1 1 323.368 1.064 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2ccc3c(c2)C(=O)NC3)[n-]1 ZINC000797154100 699996671 /nfs/dbraw/zinc/99/66/71/699996671.db2.gz DUDYIXAWWBDEBQ-UHFFFAOYSA-N -1 1 300.270 1.264 20 0 DDADMM CCOC(=O)C1(COC(=O)c2ccc(C(=O)OC)[n-]2)CCC1 ZINC000797283305 700003049 /nfs/dbraw/zinc/00/30/49/700003049.db2.gz VPOYHJNQYKZEBG-UHFFFAOYSA-N -1 1 309.318 1.692 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N[N-]C(=O)c2ccc[n+]([O-])c2)cc1 ZINC000023158435 696081612 /nfs/dbraw/zinc/08/16/12/696081612.db2.gz NYCKEZDOLGFADZ-UHFFFAOYSA-N -1 1 313.357 1.692 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC(n2cncn2)C1 ZINC000747380072 700067194 /nfs/dbraw/zinc/06/71/94/700067194.db2.gz BEIYPFJRIVDDHC-UHFFFAOYSA-N -1 1 316.365 1.077 20 0 DDADMM COC(=O)CCCCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000045797532 696177089 /nfs/dbraw/zinc/17/70/89/696177089.db2.gz CZTLIYRIEVBVGS-UHFFFAOYSA-N -1 1 323.802 1.085 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)Cc1cnccn1 ZINC000747395687 700067800 /nfs/dbraw/zinc/06/78/00/700067800.db2.gz IAZLLSOSSGUCTQ-UHFFFAOYSA-N -1 1 301.350 1.676 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)O[C@@H](C)C(C)=O ZINC000052171224 696252993 /nfs/dbraw/zinc/25/29/93/696252993.db2.gz GPRPIBZFAYAKHU-NSHDSACASA-N -1 1 313.375 1.973 20 0 DDADMM O=S(=O)([N-]Cc1nc(C2CC2)no1)c1cc(F)ccc1F ZINC000056121122 696288057 /nfs/dbraw/zinc/28/80/57/696288057.db2.gz DKLKBOFVDAHUJG-UHFFFAOYSA-N -1 1 315.301 1.704 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Oc2ccccc2OC)o1 ZINC000056231908 696288608 /nfs/dbraw/zinc/28/86/08/696288608.db2.gz UGUQPUKTSHCBBJ-UHFFFAOYSA-N -1 1 311.315 1.416 20 0 DDADMM CC(=O)[C@@H](C)OC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000057604399 696298519 /nfs/dbraw/zinc/29/85/19/696298519.db2.gz PXSVBWSDOSRJCW-LLVKDONJSA-N -1 1 305.334 1.505 20 0 DDADMM Cn1c(CNC(=O)CCOc2ccc(F)cc2)n[n-]c1=S ZINC000067050281 696358400 /nfs/dbraw/zinc/35/84/00/696358400.db2.gz LETNXDIVTGYXNT-UHFFFAOYSA-N -1 1 310.354 1.702 20 0 DDADMM CCn1c(CCNC(=O)c2cccc3c2OCO3)n[n-]c1=S ZINC000067076985 696358850 /nfs/dbraw/zinc/35/88/50/696358850.db2.gz FKASLDPMOBYLQU-UHFFFAOYSA-N -1 1 320.374 1.662 20 0 DDADMM CS[C@@H]1CC[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000075505677 696421380 /nfs/dbraw/zinc/42/13/80/696421380.db2.gz KBGFZSQOPQZDAY-NXEZZACHSA-N -1 1 303.387 1.937 20 0 DDADMM Cc1ccc(CCNC(=O)Cc2sc(N)nc2[O-])c(C)c1 ZINC000079764171 696463503 /nfs/dbraw/zinc/46/35/03/696463503.db2.gz QKYIVDLDOSLCKJ-LBPRGKRZSA-N -1 1 305.403 1.309 20 0 DDADMM Cc1cc(C)cc([C@H](C)NC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080107879 696530739 /nfs/dbraw/zinc/53/07/39/696530739.db2.gz DLXOPLRZIDQMOB-JQWIXIFHSA-N -1 1 305.403 1.827 20 0 DDADMM CCc1nc([C@H](C)NC(=O)Cc2sc(N)nc2[O-])cs1 ZINC000080207435 696531595 /nfs/dbraw/zinc/53/15/95/696531595.db2.gz IVVKSOLOEJZFFE-POYBYMJQSA-N -1 1 312.420 1.230 20 0 DDADMM O=C(CC1CCC1)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979666615 696622317 /nfs/dbraw/zinc/62/23/17/696622317.db2.gz XNYGVNDFKGAMCL-JOCQHMNTSA-N -1 1 317.389 1.602 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)Cc2ccccc2)o1 ZINC000118997954 696676543 /nfs/dbraw/zinc/67/65/43/696676543.db2.gz BQQKIRWALNGVHE-LLVKDONJSA-N -1 1 323.370 1.976 20 0 DDADMM CCO[C@@H]1C[C@H]1C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799019876 700110267 /nfs/dbraw/zinc/11/02/67/700110267.db2.gz UXYJCTNRQVRSSV-GHMZBOCLSA-N -1 1 322.327 1.329 20 0 DDADMM O=C([N-]CC1CCN(Cn2cc(Cl)cn2)CC1)C(F)(F)F ZINC000799138207 700121936 /nfs/dbraw/zinc/12/19/36/700121936.db2.gz CZACGKSTBLVDRA-UHFFFAOYSA-N -1 1 324.734 1.885 20 0 DDADMM COc1ccccc1CO[N-]C(=O)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000799096011 700117656 /nfs/dbraw/zinc/11/76/56/700117656.db2.gz BWLAXGFJCRKFBI-GFCCVEGCSA-N -1 1 304.346 1.254 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=O)[C@H]2C[C@@H](C(C)=O)C2(C)C)n1 ZINC000155266371 696945394 /nfs/dbraw/zinc/94/53/94/696945394.db2.gz VBGJSQVGVANMCN-WDEREUQCSA-N -1 1 306.366 1.488 20 0 DDADMM CC(=O)N1CCC[C@@H]2[C@@H](NC(=O)c3ncccc3[O-])C(C)(C)[C@H]21 ZINC000984170010 697350028 /nfs/dbraw/zinc/35/00/28/697350028.db2.gz MQTGPLWLVNZPHC-DFBGVHRSSA-N -1 1 317.389 1.553 20 0 DDADMM COC[C@@H]1CN(Cn2[n-]c(-c3ccccn3)nc2=S)CCO1 ZINC000172921336 697372842 /nfs/dbraw/zinc/37/28/42/697372842.db2.gz KYIASAZARLNGOQ-NSHDSACASA-N -1 1 321.406 1.307 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2ncccc2C)co1 ZINC000180369805 697448203 /nfs/dbraw/zinc/44/82/03/697448203.db2.gz LWNYOZVDGQUODK-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM CO[C@H](C)CCC(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000180777067 697451810 /nfs/dbraw/zinc/45/18/10/697451810.db2.gz HPVAUAOTBVTKQF-MRVPVSSYSA-N -1 1 300.742 1.622 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)c1ccsc1 ZINC000181999310 697468899 /nfs/dbraw/zinc/46/88/99/697468899.db2.gz CFPMYJFQSDDUJE-UHFFFAOYSA-N -1 1 304.331 1.187 20 0 DDADMM O=C([N-]NC(=O)Nc1cccnn1)c1ccc(Cl)cc1F ZINC000186550299 697534950 /nfs/dbraw/zinc/53/49/50/697534950.db2.gz XKPSDNZWQHXWIW-UHFFFAOYSA-N -1 1 309.688 1.736 20 0 DDADMM CC(C)(CC(=O)NN1CC(=O)[N-]C1=O)Cc1ccc(Cl)cc1 ZINC000187191104 697542192 /nfs/dbraw/zinc/54/21/92/697542192.db2.gz IMSZLJWFQOYMIM-UHFFFAOYSA-N -1 1 323.780 1.882 20 0 DDADMM Cc1nc([N+](=O)[O-])cn1CC(=O)Nc1nc(Cl)ccc1[O-] ZINC000188377782 697558083 /nfs/dbraw/zinc/55/80/83/697558083.db2.gz WCRBWBZSCVVZKZ-UHFFFAOYSA-N -1 1 311.685 1.492 20 0 DDADMM O=C(Cn1c(=O)[nH]c2ccccc21)Nc1nc(Cl)ccc1[O-] ZINC000188374595 697558121 /nfs/dbraw/zinc/55/81/21/697558121.db2.gz RANKYWUYQIEZBJ-UHFFFAOYSA-N -1 1 318.720 1.722 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985735392 697565109 /nfs/dbraw/zinc/56/51/09/697565109.db2.gz MPJAGTFJPXGISW-QWHCGFSZSA-N -1 1 321.425 1.225 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]N=c2nccc[nH]2)sc1Cl ZINC000190223873 697585830 /nfs/dbraw/zinc/58/58/30/697585830.db2.gz RMWYGUVQAUBVRA-UHFFFAOYSA-N -1 1 304.784 1.805 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCC1CCCC1 ZINC000985928219 697604802 /nfs/dbraw/zinc/60/48/02/697604802.db2.gz SVFFRQACZGGMBZ-YPMHNXCESA-N -1 1 321.425 1.560 20 0 DDADMM O=C(NCc1ccc(Cl)nc1)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000193437046 697667310 /nfs/dbraw/zinc/66/73/10/697667310.db2.gz AEQNMBUPEFTYSO-UHFFFAOYSA-N -1 1 308.681 1.674 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C(F)=C1CCCC1 ZINC000986153159 697708999 /nfs/dbraw/zinc/70/89/99/697708999.db2.gz VTLPIUIVKBNDGN-KOLCDFICSA-N -1 1 323.372 1.387 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cn2c(n1)CCCC2 ZINC000799833437 700171436 /nfs/dbraw/zinc/17/14/36/700171436.db2.gz TZBYPBDLHFIERG-CQSZACIVSA-N -1 1 323.360 1.252 20 0 DDADMM O=C(c1ccccc1Cl)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773158452 697720324 /nfs/dbraw/zinc/72/03/24/697720324.db2.gz OZUCPSUPTUOWFA-SNVBAGLBSA-N -1 1 309.782 1.783 20 0 DDADMM CCCCS[C@@H](C)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773160155 697721437 /nfs/dbraw/zinc/72/14/37/697721437.db2.gz IKOHLFMSLIHIEP-VHSXEESVSA-N -1 1 315.468 1.738 20 0 DDADMM O=C(CCN1C(=O)NC2(CCCC2)C1=O)[N-]Oc1ccccc1 ZINC000773470610 697764610 /nfs/dbraw/zinc/76/46/10/697764610.db2.gz CKHCWGCAGCIHFU-UHFFFAOYSA-N -1 1 317.345 1.351 20 0 DDADMM O=C(COC(=O)c1ccc([O-])cc1F)NCCCn1cccn1 ZINC000773571147 697783086 /nfs/dbraw/zinc/78/30/86/697783086.db2.gz PNINDHMQJVXJGT-UHFFFAOYSA-N -1 1 321.308 1.091 20 0 DDADMM Cc1cc(N)ccc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774945323 697945554 /nfs/dbraw/zinc/94/55/54/697945554.db2.gz UPDRULKHEWVVSU-UHFFFAOYSA-N -1 1 304.268 1.803 20 0 DDADMM COc1ccc2oc(C(=O)[N-]N3CC(=O)N(C)C3=O)c(C)c2c1 ZINC000776246789 698087855 /nfs/dbraw/zinc/08/78/55/698087855.db2.gz SKONSSPPEYXREL-UHFFFAOYSA-N -1 1 317.301 1.289 20 0 DDADMM O=C(c1ccc(F)c(F)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776565814 698117662 /nfs/dbraw/zinc/11/76/62/698117662.db2.gz TXNYPMWNYPXUQF-SNVBAGLBSA-N -1 1 311.317 1.408 20 0 DDADMM C[C@@H](C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C)C1CC1 ZINC000987532564 698141419 /nfs/dbraw/zinc/14/14/19/698141419.db2.gz LQOOKJQKSCVJGN-WZRBSPASSA-N -1 1 317.389 1.553 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)CC(F)(F)F ZINC000778653991 698356645 /nfs/dbraw/zinc/35/66/45/698356645.db2.gz HQLMUDACKPITPZ-UHFFFAOYSA-N -1 1 318.361 1.507 20 0 DDADMM CC(=O)c1cc(Cl)cc(CN2C[C@H](C)O[C@H](CO)C2)c1[O-] ZINC000248814031 698381126 /nfs/dbraw/zinc/38/11/26/698381126.db2.gz ICHPKTONXGGDEO-ZANVPECISA-N -1 1 313.781 1.830 20 0 DDADMM CC(C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C)=C1CCCC1 ZINC000988550360 698403192 /nfs/dbraw/zinc/40/31/92/698403192.db2.gz CRGYNLJEFDVABD-MFKMUULPSA-N -1 1 319.409 1.480 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1=CCCCCC1 ZINC000988701724 698443459 /nfs/dbraw/zinc/44/34/59/698443459.db2.gz VOAGNBXURKWOFL-DGCLKSJQSA-N -1 1 319.409 1.480 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000263424266 698506546 /nfs/dbraw/zinc/50/65/46/698506546.db2.gz LWORCZSQALOHSA-BDAKNGLRSA-N -1 1 324.299 1.013 20 0 DDADMM CN(C)[C@H](CNC(=O)N(CC(=O)[O-])C1CC1)c1ccsc1 ZINC000780385759 698514328 /nfs/dbraw/zinc/51/43/28/698514328.db2.gz RCVUOYMPVAUFEX-GFCCVEGCSA-N -1 1 311.407 1.609 20 0 DDADMM CCN(CC)[C@H](CNC(=O)NC(C)(C)C(=O)[O-])c1ccco1 ZINC000780478557 698523099 /nfs/dbraw/zinc/52/30/99/698523099.db2.gz CUFWRNQLDKSZCF-LLVKDONJSA-N -1 1 311.382 1.825 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@@H](CO)CC1 ZINC000294361507 698581493 /nfs/dbraw/zinc/58/14/93/698581493.db2.gz BMEYLGCIYSCFFC-SNVBAGLBSA-N -1 1 306.391 1.253 20 0 DDADMM COc1ccc(CSCCC[N-]C(=O)C(F)(F)F)nn1 ZINC000800798866 700244778 /nfs/dbraw/zinc/24/47/78/700244778.db2.gz YXMWYDRPTPPYQR-UHFFFAOYSA-N -1 1 309.313 1.787 20 0 DDADMM NCC(F)(F)C[N-]S(=O)(=O)/C=C\c1ccc(Cl)cc1 ZINC000311263289 698673222 /nfs/dbraw/zinc/67/32/22/698673222.db2.gz OCLVPPJEEKTKKB-WAYWQWQTSA-N -1 1 310.753 1.824 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CC[C@H](C)OC)cc1 ZINC000782435529 698725156 /nfs/dbraw/zinc/72/51/56/698725156.db2.gz VTLYURIWDBFAJM-NSHDSACASA-N -1 1 323.345 1.310 20 0 DDADMM C[C@H]1CN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC[N@@H+](Cc2ccccc2)C1 ZINC000323511087 698740250 /nfs/dbraw/zinc/74/02/50/698740250.db2.gz YPNJKKPUPXRBOQ-BMFZPTHFSA-N -1 1 316.401 1.688 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)N(C)C(C)C)c1cccs1 ZINC000348114721 698830080 /nfs/dbraw/zinc/83/00/80/698830080.db2.gz UHDCKHQXTVQPOY-JTQLQIEISA-N -1 1 306.409 1.137 20 0 DDADMM O=C([N-]C1CN(C(=O)c2cccc(C(F)(F)F)n2)C1)C(F)F ZINC000990023107 698902000 /nfs/dbraw/zinc/90/20/00/698902000.db2.gz PQJFVOGCSTTXLG-UHFFFAOYSA-N -1 1 323.221 1.306 20 0 DDADMM O=C([N-]C1CN(C(=O)c2cc(F)c(F)cc2F)C1)C(F)F ZINC000990026656 698904491 /nfs/dbraw/zinc/90/44/91/698904491.db2.gz SBGIITWOXWYLRI-UHFFFAOYSA-N -1 1 308.206 1.310 20 0 DDADMM O=C([N-]C1CN(C(=O)c2c(F)ccc(F)c2F)C1)C(F)F ZINC000990026720 698904575 /nfs/dbraw/zinc/90/45/75/698904575.db2.gz VLKPRYGAPNFVHP-UHFFFAOYSA-N -1 1 308.206 1.310 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]C[C@H]1CCC=CO1 ZINC000380076161 699060916 /nfs/dbraw/zinc/06/09/16/699060916.db2.gz RZZGZQSGMZNMSR-SECBINFHSA-N -1 1 316.310 1.705 20 0 DDADMM CC[C@@](C)(NC(=O)c1ccc(Br)cc1[O-])C(N)=O ZINC000385350297 699074778 /nfs/dbraw/zinc/07/47/78/699074778.db2.gz BYUYGPRADRVVAW-GFCCVEGCSA-N -1 1 315.167 1.539 20 0 DDADMM CCCN1CC[C@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)C1 ZINC000389041830 699087479 /nfs/dbraw/zinc/08/74/79/699087479.db2.gz BAKXUAVHIOCWPC-LBPRGKRZSA-N -1 1 312.391 1.147 20 0 DDADMM Cc1nc(Cl)ccc1S(=O)(=O)[N-]c1ccncc1F ZINC000394012426 699105654 /nfs/dbraw/zinc/10/56/54/699105654.db2.gz AINGPPHDXCGYPQ-UHFFFAOYSA-N -1 1 301.730 1.800 20 0 DDADMM Cc1csc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)c1 ZINC000990968310 699195243 /nfs/dbraw/zinc/19/52/43/699195243.db2.gz FJABYUBYCFEQDS-UHFFFAOYSA-N -1 1 317.370 1.412 20 0 DDADMM CO[C@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC000787901332 699252693 /nfs/dbraw/zinc/25/26/93/699252693.db2.gz CQQCQNPQPVHPKS-RYUDHWBXSA-N -1 1 319.390 1.204 20 0 DDADMM Cc1ccc(CCC(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1 ZINC000788147866 699284288 /nfs/dbraw/zinc/28/42/88/699284288.db2.gz JVHZCPHWVMVHPP-CYBMUJFWSA-N -1 1 317.418 1.757 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)F)c1cnc(Cl)c(F)c1 ZINC000717841482 699291641 /nfs/dbraw/zinc/29/16/41/699291641.db2.gz WCQXRRRHCYCHNV-RXMQYKEDSA-N -1 1 318.704 1.169 20 0 DDADMM CC(=CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000725894171 699339411 /nfs/dbraw/zinc/33/94/11/699339411.db2.gz SLWCMTDKASFRKA-CMDGGOBGSA-N -1 1 306.347 1.250 20 0 DDADMM CC(=CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000725894171 699339415 /nfs/dbraw/zinc/33/94/15/699339415.db2.gz SLWCMTDKASFRKA-CMDGGOBGSA-N -1 1 306.347 1.250 20 0 DDADMM COc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)c(OC(C)C)c1 ZINC000789211820 699370165 /nfs/dbraw/zinc/37/01/65/699370165.db2.gz CLBSLTMQUBLGQY-UHFFFAOYSA-N -1 1 321.333 1.261 20 0 DDADMM Fc1[n-]c(F)c(F)c(=NNC(=S)NC2CCOCC2)c1F ZINC000727780173 699425598 /nfs/dbraw/zinc/42/55/98/699425598.db2.gz BTRVUUHZDOCSDC-UHFFFAOYSA-N -1 1 324.303 1.608 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cccc(C(F)F)c2)n1 ZINC000790447095 699460638 /nfs/dbraw/zinc/46/06/38/699460638.db2.gz XDPMUJHKDNUYBK-UHFFFAOYSA-N -1 1 303.290 1.794 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCOC(C)C ZINC000731447799 699528852 /nfs/dbraw/zinc/52/88/52/699528852.db2.gz LMCCJTJJDCWOJK-UHFFFAOYSA-N -1 1 307.350 1.562 20 0 DDADMM CC(=CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC000732089056 699545920 /nfs/dbraw/zinc/54/59/20/699545920.db2.gz AGMFTHPIBFTVPY-SZNGSJQDSA-N -1 1 317.324 1.342 20 0 DDADMM C[C@H](NC(=O)c1n[nH]c(=O)[n-]1)c1nc2ccc(Cl)cc2[nH]1 ZINC000732579217 699563064 /nfs/dbraw/zinc/56/30/64/699563064.db2.gz CCZHQIOMSRAZMS-YFKPBYRVSA-N -1 1 306.713 1.531 20 0 DDADMM O=C(NCCN1CCSCC1)c1c([O-])cccc1Cl ZINC000732833595 699572460 /nfs/dbraw/zinc/57/24/60/699572460.db2.gz CDPQQXUXBYVYMB-UHFFFAOYSA-N -1 1 300.811 1.824 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCc2cc(F)ccc21 ZINC000733131408 699579939 /nfs/dbraw/zinc/57/99/39/699579939.db2.gz HBFVNYUXOKVOKR-UHFFFAOYSA-N -1 1 317.320 1.045 20 0 DDADMM CC(C)[C@H]1CCCC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000733148225 699580736 /nfs/dbraw/zinc/58/07/36/699580736.db2.gz ZRKQVUDLGRXNIU-OLZOCXBDSA-N -1 1 307.394 1.258 20 0 DDADMM S=c1nc(-c2ccccn2)[n-]n1CN1CCn2cnnc2C1 ZINC000735298242 699689350 /nfs/dbraw/zinc/68/93/50/699689350.db2.gz MMZCNXIBGUCTKS-UHFFFAOYSA-N -1 1 314.378 1.067 20 0 DDADMM CCNC(=O)CN(C)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000735296875 699689437 /nfs/dbraw/zinc/68/94/37/699689437.db2.gz CNBOKDHYDQUTKJ-UHFFFAOYSA-N -1 1 306.395 1.028 20 0 DDADMM CCCc1ncc(C(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC000736494772 699726653 /nfs/dbraw/zinc/72/66/53/699726653.db2.gz GKAHTUSQFWOJLH-UHFFFAOYSA-N -1 1 306.395 1.629 20 0 DDADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)Nc1cccc(Cl)c1O ZINC000736683711 699730550 /nfs/dbraw/zinc/73/05/50/699730550.db2.gz XLEKLSZHHWCELC-UHFFFAOYSA-N -1 1 319.708 1.069 20 0 DDADMM O=C(CCc1ccccc1Cl)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737857494 699746615 /nfs/dbraw/zinc/74/66/15/699746615.db2.gz RPWOXVIQYIXIJI-LBPRGKRZSA-N -1 1 321.768 1.386 20 0 DDADMM O=C(c1cc2c(s1)CCCC2)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000738248583 699755918 /nfs/dbraw/zinc/75/59/18/699755918.db2.gz OPNFKNIPEOGNIZ-SNVBAGLBSA-N -1 1 319.390 1.354 20 0 DDADMM COC/C=C/C(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000793466996 699759229 /nfs/dbraw/zinc/75/92/29/699759229.db2.gz HCABFPXRDJMJBP-RXNUUUNCSA-N -1 1 308.300 1.106 20 0 DDADMM CC[C@H](C)CC[C@H](O)CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000793711866 699773642 /nfs/dbraw/zinc/77/36/42/699773642.db2.gz DTVKJUQKQLFVIH-AVGNSLFASA-N -1 1 309.414 1.483 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCc1nnc(C2CC2)o1 ZINC000793870741 699784300 /nfs/dbraw/zinc/78/43/00/699784300.db2.gz SUYKLGBCHZNOOK-UHFFFAOYSA-N -1 1 317.349 1.804 20 0 DDADMM C[C@@]1(NC(=O)c2ccc(C(F)(F)F)c([O-])c2)CCOC1=O ZINC000794097084 699793625 /nfs/dbraw/zinc/79/36/25/699793625.db2.gz DURHEOQCTYHKNG-GFCCVEGCSA-N -1 1 303.236 1.846 20 0 DDADMM O=C(COC(=O)c1cc(O)ccc1O)[N-]C(=O)c1ccccc1 ZINC000740753670 699800231 /nfs/dbraw/zinc/80/02/31/699800231.db2.gz DJTHRSDGVSSOKF-UHFFFAOYSA-N -1 1 315.281 1.211 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)[nH]1 ZINC000796346750 699928475 /nfs/dbraw/zinc/92/84/75/699928475.db2.gz RPCHSAVMRBXECX-UHFFFAOYSA-N -1 1 322.239 1.027 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCCCC2)[n-]1 ZINC000796369030 699929970 /nfs/dbraw/zinc/92/99/70/699929970.db2.gz KMISANQUKBQMHV-JTQLQIEISA-N -1 1 308.334 1.359 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2ccc3c(c2)CCC(=O)N3)[n-]1 ZINC000796757338 699950871 /nfs/dbraw/zinc/95/08/71/699950871.db2.gz WLXZJYVFBIVORF-UHFFFAOYSA-N -1 1 314.297 1.905 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H](C)S(C)(=O)=O)c1 ZINC000744790990 699961113 /nfs/dbraw/zinc/96/11/13/699961113.db2.gz PZTCDBJFYDVVQQ-SNVBAGLBSA-N -1 1 314.359 1.114 20 0 DDADMM Cc1cc(COC(=O)c2nn(-c3ccccc3)cc2[O-])nn1C ZINC000801203405 700277404 /nfs/dbraw/zinc/27/74/04/700277404.db2.gz KXWATJAXXXSHAL-UHFFFAOYSA-N -1 1 312.329 1.977 20 0 DDADMM COc1ccc(NC(=O)/C=C/SC)cc1[N-]S(C)(=O)=O ZINC000751532912 700297858 /nfs/dbraw/zinc/29/78/58/700297858.db2.gz GBLUHVLCXQEOJR-VOTSOKGWSA-N -1 1 316.404 1.882 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCC(=O)N3CCC3)n2)cc1 ZINC000801366297 700300759 /nfs/dbraw/zinc/30/07/59/700300759.db2.gz DYVWHUCYBPMRLP-UHFFFAOYSA-N -1 1 315.329 1.275 20 0 DDADMM COC(=O)COC(=O)c1nn(-c2ccc(Cl)cc2)cc1[O-] ZINC000801374189 700301501 /nfs/dbraw/zinc/30/15/01/700301501.db2.gz ZZIZYZJARPLHQR-UHFFFAOYSA-N -1 1 310.693 1.561 20 0 DDADMM Cc1cccc(-n2cc([O-])c(C(=O)O[C@H]3CCNC3=O)n2)c1C ZINC000801418621 700305764 /nfs/dbraw/zinc/30/57/64/700305764.db2.gz PDHMIRLKSYIFPC-ZDUSSCGKSA-N -1 1 315.329 1.240 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H]2CCC(=O)N2C)c1 ZINC000752015434 700335934 /nfs/dbraw/zinc/33/59/34/700335934.db2.gz KZCMBHJDPYAGKM-ZDUSSCGKSA-N -1 1 305.330 1.301 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@H]2C[C@H](O)c2cccs2)nc1=O ZINC000754052133 700478754 /nfs/dbraw/zinc/47/87/54/700478754.db2.gz TWANFNCTGXWHGP-MNOVXSKESA-N -1 1 308.407 1.258 20 0 DDADMM CCn1cc(-c2ccc(OS(=O)(=O)c3c[n-]cn3)cc2)nn1 ZINC000758206898 700689621 /nfs/dbraw/zinc/68/96/21/700689621.db2.gz XVZYSZOGZFACCC-UHFFFAOYSA-N -1 1 319.346 1.456 20 0 DDADMM CCOC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccc(C)nc1 ZINC000758544422 700711570 /nfs/dbraw/zinc/71/15/70/700711570.db2.gz JKWYUUJWELRKPW-UHFFFAOYSA-N -1 1 311.319 1.058 20 0 DDADMM CC(=O)CCCCOC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000762881030 700913319 /nfs/dbraw/zinc/91/33/19/700913319.db2.gz UCSAGZNLUOBBHJ-UHFFFAOYSA-N -1 1 319.361 1.897 20 0 DDADMM Cc1nc(CCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cs1 ZINC000765109192 700999481 /nfs/dbraw/zinc/99/94/81/700999481.db2.gz JJAKNPYFWSGJCR-SNVBAGLBSA-N -1 1 306.395 1.303 20 0 DDADMM Cc1cc(OCC(=O)OCc2nc(=O)n(C)[n-]2)ccc1C(C)C ZINC000765386119 701009327 /nfs/dbraw/zinc/00/93/27/701009327.db2.gz JEBCLGWXSDKEEE-UHFFFAOYSA-N -1 1 319.361 1.662 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2cccc(C(F)(F)F)c2)nc1=O ZINC000765398306 701009729 /nfs/dbraw/zinc/00/97/29/701009729.db2.gz GAIPAPWNCOYGNT-UHFFFAOYSA-N -1 1 315.251 1.413 20 0 DDADMM CCn1cc(C(=O)OCc2nc(=O)n(C)[n-]2)c2ccccc21 ZINC000765422367 701011231 /nfs/dbraw/zinc/01/12/31/701011231.db2.gz VXJSJVDXNKGNLR-UHFFFAOYSA-N -1 1 300.318 1.440 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2C[C@@H]2c2cc(F)ccc2F)nc1=O ZINC000765481018 701015108 /nfs/dbraw/zinc/01/51/08/701015108.db2.gz MBRHHLBEKPCVOT-SCZZXKLOSA-N -1 1 309.272 1.234 20 0 DDADMM C/C(=C\c1ccc(Cl)cc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765494628 701016172 /nfs/dbraw/zinc/01/61/72/701016172.db2.gz CQXJIVCEMRIBOK-VQHVLOKHSA-N -1 1 307.737 1.909 20 0 DDADMM O=C(NC[C@H](O)COc1cccc(F)c1)c1ccc([O-])c(F)c1 ZINC000768263907 701165929 /nfs/dbraw/zinc/16/59/29/701165929.db2.gz JGOAIEALPBZLFV-LBPRGKRZSA-N -1 1 323.295 1.840 20 0 DDADMM O=C(COC(=O)[C@H](O)c1ccccc1)[N-]C(=O)c1ccccc1 ZINC000768647119 701193987 /nfs/dbraw/zinc/19/39/87/701193987.db2.gz OVBZWTCMCIMAOY-OAHLLOKOSA-N -1 1 313.309 1.220 20 0 DDADMM CC(C)(C)C(=O)c1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000770544216 701282983 /nfs/dbraw/zinc/28/29/83/701282983.db2.gz GBDNAXPSHHBWFL-UHFFFAOYSA-N -1 1 318.333 1.504 20 0 DDADMM CCC1(CC)[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C[C@@H]1OC ZINC000830884850 706596842 /nfs/dbraw/zinc/59/68/42/706596842.db2.gz SABAVVWITAAHIQ-ZJUUUORDSA-N -1 1 321.830 1.935 20 0 DDADMM Cc1sc2nc(COC(=O)c3cn[n-]n3)nc(N)c2c1C ZINC000805607746 701399359 /nfs/dbraw/zinc/39/93/59/701399359.db2.gz ZTYGUMMXEOVMMX-UHFFFAOYSA-N -1 1 304.335 1.365 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)NC12CC3CC(CC(C3)C1)C2 ZINC000805607880 701399451 /nfs/dbraw/zinc/39/94/51/701399451.db2.gz TVVUXFBRYLIHEJ-HMHCLHNZSA-N -1 1 318.377 1.435 20 0 DDADMM C[C@@H]1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCS1 ZINC000806494232 701438161 /nfs/dbraw/zinc/43/81/61/701438161.db2.gz DLYXLVQTVWSENQ-SNVBAGLBSA-N -1 1 305.407 1.701 20 0 DDADMM C[C@H]1CCN(C(=O)C2CC(=O)C2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000807707690 701484362 /nfs/dbraw/zinc/48/43/62/701484362.db2.gz XLOMUTZIUIUGPA-LAEOZQHASA-N -1 1 320.311 1.270 20 0 DDADMM C[C@H]1C[C@@H](C(C)(C)C)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000807886250 701489697 /nfs/dbraw/zinc/48/96/97/701489697.db2.gz OHDOCUYODVYBCI-STQMWFEESA-N -1 1 321.421 1.600 20 0 DDADMM C[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H](O)c1ccccc1 ZINC000808492449 701519764 /nfs/dbraw/zinc/51/97/64/701519764.db2.gz VEODOLAXBDJCCW-XHDPSFHLSA-N -1 1 323.352 1.775 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H]1C[C@H]1C1CCC1 ZINC000830952793 706609569 /nfs/dbraw/zinc/60/95/69/706609569.db2.gz MJDKMRUDUKUVHB-WDEREUQCSA-N -1 1 303.362 1.307 20 0 DDADMM O=S(=O)([N-]CC[C@@H](O)C1CCCC1)c1ccc(F)nc1F ZINC000867932762 701839827 /nfs/dbraw/zinc/83/98/27/701839827.db2.gz ZFUNTEQXSWQRJH-SNVBAGLBSA-N -1 1 320.361 1.579 20 0 DDADMM COCCc1nc(COC(=O)c2c([O-])cc(F)cc2F)no1 ZINC000811109826 701914699 /nfs/dbraw/zinc/91/46/99/701914699.db2.gz VLFXZMAZCZAFQV-UHFFFAOYSA-N -1 1 314.244 1.599 20 0 DDADMM CCC[C@@H](C)N1C[C@H](C(=O)[N-]OCCCC(=O)OC)CC1=O ZINC000811560105 702005616 /nfs/dbraw/zinc/00/56/16/702005616.db2.gz HGAGOUCFLTXWTM-VXGBXAGGSA-N -1 1 314.382 1.025 20 0 DDADMM CC1(C)CC[C@H](CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)OC1 ZINC000879263560 706634080 /nfs/dbraw/zinc/63/40/80/706634080.db2.gz QBXSSWDMPFXZNF-VXGBXAGGSA-N -1 1 307.398 1.501 20 0 DDADMM O=C(/C=C/c1cccnc1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831154043 706645315 /nfs/dbraw/zinc/64/53/15/706645315.db2.gz HNVVRRVBZWOUKV-ONEGZZNKSA-N -1 1 313.279 1.232 20 0 DDADMM O=C([N-]CC1CN(C(=O)c2cc(C3CC3)n[nH]2)C1)C(F)(F)F ZINC000831153897 706645362 /nfs/dbraw/zinc/64/53/62/706645362.db2.gz FFQWJMNRQVDZNQ-UHFFFAOYSA-N -1 1 316.283 1.038 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(=O)N(c2ccc(C)cc2)C1 ZINC000816741579 702174600 /nfs/dbraw/zinc/17/46/00/702174600.db2.gz REUJAXFNIBBVMR-LLVKDONJSA-N -1 1 314.345 1.724 20 0 DDADMM CCOC(=O)[C@H](CC)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000840683623 702203701 /nfs/dbraw/zinc/20/37/01/702203701.db2.gz APDXBCKIFODALD-LBPRGKRZSA-N -1 1 321.329 1.076 20 0 DDADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)[N-]OC1CCC1 ZINC000812796296 702240905 /nfs/dbraw/zinc/24/09/05/702240905.db2.gz WJOUKOIMGLODHE-VIFPVBQESA-N -1 1 302.334 1.282 20 0 DDADMM O=C([N-]OC1CCC1)[C@H]1CC(=O)N(c2c(F)cccc2F)C1 ZINC000812793888 702241047 /nfs/dbraw/zinc/24/10/47/702241047.db2.gz IXVQNFFELSNAAH-VIFPVBQESA-N -1 1 310.300 1.918 20 0 DDADMM CC(C)[C@@H]1C[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868697535 702272007 /nfs/dbraw/zinc/27/20/07/702272007.db2.gz BWJFRBHNZWSGHT-JOYOIKCWSA-N -1 1 306.366 1.099 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@H]2CC23CC3)C1 ZINC000868724487 702287615 /nfs/dbraw/zinc/28/76/15/702287615.db2.gz JVIMZNRRTINSBK-GZMMTYOYSA-N -1 1 305.300 1.391 20 0 DDADMM CC1CC(CNC(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000868796168 702323579 /nfs/dbraw/zinc/32/35/79/702323579.db2.gz WZIUZRAHNGJADL-SRHKJQAYSA-N -1 1 321.343 1.885 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)/C=C\c3cccc(F)c3)nc2n1 ZINC000813379321 702341130 /nfs/dbraw/zinc/34/11/30/702341130.db2.gz ZYZPEDYNWGFYLX-WAYWQWQTSA-N -1 1 313.292 1.517 20 0 DDADMM O=C([C@@H](O)c1ccc(Cl)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000813496646 702360519 /nfs/dbraw/zinc/36/05/19/702360519.db2.gz OAFOUBKMOMBMDR-JQWIXIFHSA-N -1 1 321.768 1.293 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C(=O)O1 ZINC000841523358 702478654 /nfs/dbraw/zinc/47/86/54/702478654.db2.gz LPABPPKFFQXVJH-HZGVNTEJSA-N -1 1 307.730 1.461 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCO[C@@H]3CCCCO3)ccnc1-2 ZINC000879416050 706674132 /nfs/dbraw/zinc/67/41/32/706674132.db2.gz MBIRZHPVMXJFLU-CYBMUJFWSA-N -1 1 319.365 1.007 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCCOC1CCOCC1 ZINC000869221410 702516589 /nfs/dbraw/zinc/51/65/89/702516589.db2.gz PPZFKCUEIUELDT-UHFFFAOYSA-N -1 1 317.382 1.352 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C1CCCCC1 ZINC000869315379 702565742 /nfs/dbraw/zinc/56/57/42/702565742.db2.gz YSHHCBDZGVWQMW-UHFFFAOYSA-N -1 1 309.284 1.748 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H](C)c1ccccn1 ZINC000866405947 706690981 /nfs/dbraw/zinc/69/09/81/706690981.db2.gz NQVHFRVXDZMUJI-JTQLQIEISA-N -1 1 305.425 1.485 20 0 DDADMM C[C@H](CC(C)(C)C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014937 702803647 /nfs/dbraw/zinc/80/36/47/702803647.db2.gz IROZVCLMNARTMV-GFCCVEGCSA-N -1 1 318.483 1.989 20 0 DDADMM O=S(=O)([N-]C(C1CC1)C1CC1)c1nc[nH]c1Br ZINC000866448717 706701144 /nfs/dbraw/zinc/70/11/44/706701144.db2.gz KGXACCADYWEJLR-UHFFFAOYSA-N -1 1 320.212 1.639 20 0 DDADMM O=C([O-])CCCC(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000843328881 702860547 /nfs/dbraw/zinc/86/05/47/702860547.db2.gz QQPSGAKLSCXCBD-LLVKDONJSA-N -1 1 306.366 1.643 20 0 DDADMM CC(C)[C@@H](C[N-]S(=O)(=O)N=S(C)(C)=O)c1ccccc1 ZINC000866485897 706710872 /nfs/dbraw/zinc/71/08/72/706710872.db2.gz ZMEOYGSHXBFFIL-CYBMUJFWSA-N -1 1 318.464 1.988 20 0 DDADMM Cc1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c(C)n1C ZINC000844179825 702984933 /nfs/dbraw/zinc/98/49/33/702984933.db2.gz LXNODWDXDODNAM-UHFFFAOYSA-N -1 1 314.341 1.755 20 0 DDADMM C=C/C=C\CC[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000882586818 707707627 /nfs/dbraw/zinc/70/76/27/707707627.db2.gz SAPHWWRCRYFNIV-PLNGDYQASA-N -1 1 309.313 1.850 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@]4(CC4(F)F)C3)ccnc1-2 ZINC000844650918 703051482 /nfs/dbraw/zinc/05/14/82/703051482.db2.gz RIIBOEAUDAKZDW-AWEZNQCLSA-N -1 1 321.331 1.995 20 0 DDADMM CC(C)(C)OC(=O)N1[C@H](C(=O)Nc2nnn[n-]2)CC[C@H]1C1CC1 ZINC000845554975 703173702 /nfs/dbraw/zinc/17/37/02/703173702.db2.gz NDIVXZZQIHXJHI-UWVGGRQHSA-N -1 1 322.369 1.316 20 0 DDADMM CC(C)(C)OC(=O)N1[C@H](C(=O)Nc2nn[n-]n2)CC[C@H]1C1CC1 ZINC000845554975 703173705 /nfs/dbraw/zinc/17/37/05/703173705.db2.gz NDIVXZZQIHXJHI-UWVGGRQHSA-N -1 1 322.369 1.316 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@]1(C)C[C@@]1(F)Cl ZINC000845973699 703221791 /nfs/dbraw/zinc/22/17/91/703221791.db2.gz BYDRRZGVMVAQIS-ZJUUUORDSA-N -1 1 311.766 1.548 20 0 DDADMM Cn1[nH]c([C@H]2CCCN2C(=O)c2c([O-])cccc2Cl)nc1=N ZINC000846068317 703233815 /nfs/dbraw/zinc/23/38/15/703233815.db2.gz QQBVEJCXLPAXIK-SECBINFHSA-N -1 1 321.768 1.564 20 0 DDADMM O=C(NCc1ncc2c(n1)CCOC2)c1c([O-])cccc1Cl ZINC000846366576 703269957 /nfs/dbraw/zinc/26/99/57/703269957.db2.gz KVYKGIIMRSHCPF-UHFFFAOYSA-N -1 1 319.748 1.838 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cccc(OCC)n2)n1 ZINC000846650998 703308220 /nfs/dbraw/zinc/30/82/20/703308220.db2.gz OIZYHIACTJURSQ-UHFFFAOYSA-N -1 1 318.333 1.561 20 0 DDADMM CCCCOCC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848340059 703540777 /nfs/dbraw/zinc/54/07/77/703540777.db2.gz ALWLPUQZYZZBJO-GHMZBOCLSA-N -1 1 324.343 1.861 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC2(CCCC2)O1)c1c[nH]nc1Cl ZINC000848513105 703559767 /nfs/dbraw/zinc/55/97/67/703559767.db2.gz NXVOUUOTRFGMBL-VIFPVBQESA-N -1 1 319.814 1.833 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CC[C@]2(C)CC2(F)F)nc1Cl ZINC000849460943 703650877 /nfs/dbraw/zinc/65/08/77/703650877.db2.gz KKYULORTMOWGDB-SECBINFHSA-N -1 1 313.757 1.787 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(F)(F)Br)[n-]1 ZINC000851279078 703789591 /nfs/dbraw/zinc/78/95/91/703789591.db2.gz IHBKXRVZRHERHS-UHFFFAOYSA-N -1 1 312.066 1.946 20 0 DDADMM CCOC1(COC(=O)c2coc(S(=O)(=O)[N-]C)c2)CCC1 ZINC000851554013 703808647 /nfs/dbraw/zinc/80/86/47/703808647.db2.gz KIAYRASSQOBBDQ-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM Cn1nnnc1C1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000831734874 706766771 /nfs/dbraw/zinc/76/67/71/706766771.db2.gz ZIRLEAAYIFFDNX-UHFFFAOYSA-N -1 1 305.313 1.075 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-][C@@H]1CCCC12OCCO2 ZINC000851818207 703863799 /nfs/dbraw/zinc/86/37/99/703863799.db2.gz PDKMZINWQQETDN-QWHCGFSZSA-N -1 1 321.439 1.263 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2SCCS2)sc1C ZINC000851832779 703866784 /nfs/dbraw/zinc/86/67/84/703866784.db2.gz PDXPJZIOUBXJOJ-UHFFFAOYSA-N -1 1 310.491 1.844 20 0 DDADMM COc1ccc([C@@H]2CN(C(=O)c3ncccc3[O-])CCN2)cc1 ZINC000870141620 703927114 /nfs/dbraw/zinc/92/71/14/703927114.db2.gz AJYIKOPGWOUPJT-AWEZNQCLSA-N -1 1 313.357 1.583 20 0 DDADMM C[C@@H]1CCN(C(=O)NC2CC=CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852203866 703966478 /nfs/dbraw/zinc/96/64/78/703966478.db2.gz JNKHGXXZULJVSE-KOLCDFICSA-N -1 1 319.327 1.804 20 0 DDADMM CC(C)[C@@H](C)NC(=O)CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763083 706783662 /nfs/dbraw/zinc/78/36/62/706783662.db2.gz MSTKXFGKSYNZCG-ZWNOBZJWSA-N -1 1 323.359 1.290 20 0 DDADMM CC(C)[C@@H](C)NC(=O)CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763082 706784024 /nfs/dbraw/zinc/78/40/24/706784024.db2.gz MSTKXFGKSYNZCG-MFKMUULPSA-N -1 1 323.359 1.290 20 0 DDADMM CC(C)COC(=O)CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764661 706785071 /nfs/dbraw/zinc/78/50/71/706785071.db2.gz YITOIMKCHYIISS-LBPRGKRZSA-N -1 1 310.316 1.329 20 0 DDADMM CO[C@H]1CC[C@@H](C)N(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC000870715880 704111535 /nfs/dbraw/zinc/11/15/35/704111535.db2.gz OBQQKQUGNLDASW-SCZZXKLOSA-N -1 1 311.407 1.852 20 0 DDADMM C[C@@H]([N-]C(=O)C(F)(F)c1nccs1)[C@H](O)C(F)(F)F ZINC000819568492 704143184 /nfs/dbraw/zinc/14/31/84/704143184.db2.gz URGAJLGLPAJVNO-UHNVWZDZSA-N -1 1 304.240 1.663 20 0 DDADMM C[C@@H]1CCN(C(=S)NC2(C)CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000853051993 704189489 /nfs/dbraw/zinc/18/94/89/704189489.db2.gz LKWNHMLJZBXQSW-BDAKNGLRSA-N -1 1 323.384 1.802 20 0 DDADMM O=C(c1csc(Cl)n1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000820068077 704215110 /nfs/dbraw/zinc/21/51/10/704215110.db2.gz NQVJRLYSRWVJNN-UHFFFAOYSA-N -1 1 314.754 1.905 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2nonc2C)c1 ZINC000820078583 704217774 /nfs/dbraw/zinc/21/77/74/704217774.db2.gz GLGFVXNYOGSXQW-OAQYLSRUSA-N -1 1 310.331 1.238 20 0 DDADMM O=C(c1cccn2nccc12)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000879872591 706805129 /nfs/dbraw/zinc/80/51/29/706805129.db2.gz LDPCBFDZGKRNIY-UHFFFAOYSA-N -1 1 313.317 1.443 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)CC(C)(C)O)c1 ZINC000821058568 704365796 /nfs/dbraw/zinc/36/57/96/704365796.db2.gz FLPREUJDCIDCPW-WIUDPPPLSA-N -1 1 314.403 1.896 20 0 DDADMM CSC[C@@H](C)[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866814042 706811893 /nfs/dbraw/zinc/81/18/93/706811893.db2.gz JLXFYAGVHLOUOM-ZCFIWIBFSA-N -1 1 317.358 1.469 20 0 DDADMM CC(=CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1cnccn1 ZINC000854341966 704381992 /nfs/dbraw/zinc/38/19/92/704381992.db2.gz BXCJCYHHPJUCFG-NTMALXAHSA-N -1 1 315.333 1.375 20 0 DDADMM O=C([N-][C@H](CCO)C(F)(F)F)C(F)(F)C1CCOCC1 ZINC000854617309 704427160 /nfs/dbraw/zinc/42/71/60/704427160.db2.gz ZRTVCKPLDBEJEC-MRVPVSSYSA-N -1 1 305.243 1.478 20 0 DDADMM CC1(c2cccc(CNC(=O)c3cncc([O-])c3)c2)OCCO1 ZINC000854623888 704428772 /nfs/dbraw/zinc/42/87/72/704428772.db2.gz JKQNAFUXRRUBBW-UHFFFAOYSA-N -1 1 314.341 1.937 20 0 DDADMM C[C@@H]1CN(CCNC(=O)c2c([O-])cccc2Cl)[C@H](C)CO1 ZINC000855412348 704483849 /nfs/dbraw/zinc/48/38/49/704483849.db2.gz TUSHFIRTJPTEPQ-GHMZBOCLSA-N -1 1 312.797 1.885 20 0 DDADMM C[C@@H]1CN(CCNC(=O)c2c([O-])cccc2Cl)[C@@H](C)CO1 ZINC000855412351 704483872 /nfs/dbraw/zinc/48/38/72/704483872.db2.gz TUSHFIRTJPTEPQ-WDEREUQCSA-N -1 1 312.797 1.885 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@H](O)C12CCC2 ZINC000855925660 704507213 /nfs/dbraw/zinc/50/72/13/704507213.db2.gz RFYKVJIXSFOYCP-MNOVXSKESA-N -1 1 305.378 1.647 20 0 DDADMM COC(=O)N(C)CCNC(=O)c1cc2ccccc2cc1[O-] ZINC000855937844 704507787 /nfs/dbraw/zinc/50/77/87/704507787.db2.gz YOGIEGDFNAEBEZ-UHFFFAOYSA-N -1 1 302.330 1.973 20 0 DDADMM C[C@H]1CCCN(S(=O)(=O)NN=c2ncc(Cl)c[n-]2)C1 ZINC000857224625 704566008 /nfs/dbraw/zinc/56/60/08/704566008.db2.gz VFICXPXWJVTPDT-QMMMGPOBSA-N -1 1 305.791 1.023 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](C)CC2(C)OCCO2)sn1 ZINC000866852787 706825659 /nfs/dbraw/zinc/82/56/59/706825659.db2.gz JZNISGYYBBUSGE-SECBINFHSA-N -1 1 320.436 1.519 20 0 DDADMM C[C@@H](CO)[C@H](C)[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866856298 706826902 /nfs/dbraw/zinc/82/69/02/706826902.db2.gz XHIDJAQSQSOXGQ-BQBZGAKWSA-N -1 1 313.206 1.684 20 0 DDADMM CCCCNC(=O)[C@@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858375007 704701958 /nfs/dbraw/zinc/70/19/58/704701958.db2.gz DTRHSMSTRJONDN-SNVBAGLBSA-N -1 1 312.801 1.968 20 0 DDADMM CCO[C@H]1C[C@H](O)C12CCN(c1cc(Cl)[n-]c(=O)n1)CC2 ZINC000858421122 704709102 /nfs/dbraw/zinc/70/91/02/704709102.db2.gz NYKGYDUKGPVFBE-UWVGGRQHSA-N -1 1 313.785 1.592 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)C[C@@]1(C)CC1(Cl)Cl ZINC000867385577 706983189 /nfs/dbraw/zinc/98/31/89/706983189.db2.gz KFVJACBUOPJQOC-POYBYMJQSA-N -1 1 322.185 1.001 20 0 DDADMM C[C@@]1(CC(=O)Nc2cc([O-])c(F)cc2F)CCS(=O)(=O)N1 ZINC000822542902 704971584 /nfs/dbraw/zinc/97/15/84/704971584.db2.gz KQAHTFGSYFYSHE-LBPRGKRZSA-N -1 1 320.317 1.081 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc3c2OCC3)n1 ZINC000822547087 704972273 /nfs/dbraw/zinc/97/22/73/704972273.db2.gz HANYMCIMGQZMEV-UHFFFAOYSA-N -1 1 301.302 1.774 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC[C@@H]2C2CCC2)n1 ZINC000822609250 704987311 /nfs/dbraw/zinc/98/73/11/704987311.db2.gz LEHLWQJZSQHLSB-LLVKDONJSA-N -1 1 316.369 1.397 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC[C@@H]2C2CCC2)n1 ZINC000822609250 704987312 /nfs/dbraw/zinc/98/73/12/704987312.db2.gz LEHLWQJZSQHLSB-LLVKDONJSA-N -1 1 316.369 1.397 20 0 DDADMM O=C(c1c(F)cncc1F)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000822703649 705012926 /nfs/dbraw/zinc/01/29/26/705012926.db2.gz QZGKRVRGZAACFY-UHFFFAOYSA-N -1 1 310.260 1.468 20 0 DDADMM O=C(C/C=C/Cc1ccccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000874443846 705067736 /nfs/dbraw/zinc/06/77/36/705067736.db2.gz DMPVRYCTJOVYPB-NNTXTVRGSA-N -1 1 313.361 1.289 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2cn(C3CC3)nn2)c1 ZINC000860031551 705077556 /nfs/dbraw/zinc/07/75/56/705077556.db2.gz JQVBVGVOIMZVJA-UHFFFAOYSA-N -1 1 315.329 1.921 20 0 DDADMM CC[C@H]1COCCN1CCNC(=O)c1c([O-])cccc1Cl ZINC000874707256 705141153 /nfs/dbraw/zinc/14/11/53/705141153.db2.gz IJIRRPNSPPLHIK-NSHDSACASA-N -1 1 312.797 1.886 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CC=C(C(=O)Nc2ccncc2[O-])C1 ZINC000860463018 705195875 /nfs/dbraw/zinc/19/58/75/705195875.db2.gz KHNCVEMYQNAVON-LLVKDONJSA-N -1 1 319.361 1.761 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1cnc2ccccc2n1 ZINC000823383662 705227249 /nfs/dbraw/zinc/22/72/49/705227249.db2.gz WZMPTFPGDGHFGB-UHFFFAOYSA-N -1 1 324.329 1.519 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1cnc2ccccc2n1 ZINC000823383662 705227253 /nfs/dbraw/zinc/22/72/53/705227253.db2.gz WZMPTFPGDGHFGB-UHFFFAOYSA-N -1 1 324.329 1.519 20 0 DDADMM COc1ccc2c(c1)CC[C@H]2C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000860786234 705282245 /nfs/dbraw/zinc/28/22/45/705282245.db2.gz ZNRPLLKYCPPDOG-IINYFYTJSA-N -1 1 315.377 1.500 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@]34C[C@H]3COC4(C)C)ccnc1-2 ZINC000861025198 705352071 /nfs/dbraw/zinc/35/20/71/705352071.db2.gz DWFVVLCCPOGQHF-BJOHPYRUSA-N -1 1 301.350 1.031 20 0 DDADMM O=C([N-]CC1CN(C(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)C1)C(F)(F)F ZINC000875543155 705424246 /nfs/dbraw/zinc/42/42/46/705424246.db2.gz JAEVOPSKDCWZMV-ILWJIGKKSA-N -1 1 305.300 1.105 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@@H]2CC=CCC2)n[n-]1 ZINC000824887421 705552135 /nfs/dbraw/zinc/55/21/35/705552135.db2.gz MVQPIUQUKXACEV-GHMZBOCLSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@@H]2CC=CCC2)[n-]1 ZINC000824887421 705552137 /nfs/dbraw/zinc/55/21/37/705552137.db2.gz MVQPIUQUKXACEV-GHMZBOCLSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@@H]2CC=CCC2)n1 ZINC000824887421 705552140 /nfs/dbraw/zinc/55/21/40/705552140.db2.gz MVQPIUQUKXACEV-GHMZBOCLSA-N -1 1 306.366 1.905 20 0 DDADMM Cc1ccc(CNC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)o1 ZINC000876045488 705603446 /nfs/dbraw/zinc/60/34/46/705603446.db2.gz DGXBMYIDBUJMHJ-UHFFFAOYSA-N -1 1 319.283 1.408 20 0 DDADMM CCC[C@@H]1SCCN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825258813 705634931 /nfs/dbraw/zinc/63/49/31/705634931.db2.gz DTZIOYUWYXCBKB-NSHDSACASA-N -1 1 322.394 1.407 20 0 DDADMM CCC[C@@H]1SCCN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825258813 705634933 /nfs/dbraw/zinc/63/49/33/705634933.db2.gz DTZIOYUWYXCBKB-NSHDSACASA-N -1 1 322.394 1.407 20 0 DDADMM COC[C@@H]1CCCN1[N-]C(=O)C(F)(F)C1(O)CCCCC1 ZINC000862590132 705741878 /nfs/dbraw/zinc/74/18/78/705741878.db2.gz FLNCVWRLHLYYOB-NSHDSACASA-N -1 1 306.353 1.459 20 0 DDADMM Cc1onc(CC(=O)N2[C@H](C)CCC[C@H]2C)c1-c1nnn[n-]1 ZINC000826343686 705792740 /nfs/dbraw/zinc/79/27/40/705792740.db2.gz JXVDOOQHFKWJSV-RKDXNWHRSA-N -1 1 304.354 1.495 20 0 DDADMM Cc1onc(CC(=O)N2[C@H](C)CCC[C@H]2C)c1-c1nn[n-]n1 ZINC000826343686 705792741 /nfs/dbraw/zinc/79/27/41/705792741.db2.gz JXVDOOQHFKWJSV-RKDXNWHRSA-N -1 1 304.354 1.495 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H]2C2CC2)c1-c1nnn[n-]1 ZINC000826344626 705794093 /nfs/dbraw/zinc/79/40/93/705794093.db2.gz MYHLFSGNVLDCPD-LLVKDONJSA-N -1 1 302.338 1.107 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H]2C2CC2)c1-c1nn[n-]n1 ZINC000826344626 705794095 /nfs/dbraw/zinc/79/40/95/705794095.db2.gz MYHLFSGNVLDCPD-LLVKDONJSA-N -1 1 302.338 1.107 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CC[C@@H](C)C[C@@H]2C)c1-c1nnn[n-]1 ZINC000826346490 705794685 /nfs/dbraw/zinc/79/46/85/705794685.db2.gz NUYKEKTYDSOVGW-WCABBAIRSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CC[C@@H](C)C[C@@H]2C)c1-c1nn[n-]n1 ZINC000826346490 705794687 /nfs/dbraw/zinc/79/46/87/705794687.db2.gz NUYKEKTYDSOVGW-WCABBAIRSA-N -1 1 318.381 1.647 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC(Cc2ccccc2)C1 ZINC000826472659 705803925 /nfs/dbraw/zinc/80/39/25/705803925.db2.gz SAYVHNHPPNNZGJ-UHFFFAOYSA-N -1 1 320.356 1.576 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC(Cc2ccccc2)C1 ZINC000826472659 705803928 /nfs/dbraw/zinc/80/39/28/705803928.db2.gz SAYVHNHPPNNZGJ-UHFFFAOYSA-N -1 1 320.356 1.576 20 0 DDADMM O=C(C1CCC(C2CC2)CC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826833331 705881281 /nfs/dbraw/zinc/88/12/81/705881281.db2.gz BGVZVSXTTGUMGA-BPCQOVAHSA-N -1 1 305.382 1.316 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@@](O)(C2CC2)C1 ZINC000864069075 706059320 /nfs/dbraw/zinc/05/93/20/706059320.db2.gz XREBVQRVTWUNJQ-INIZCTEOSA-N -1 1 303.362 1.437 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@](C)(C(=O)[O-])c1ccccc1 ZINC000864115805 706071338 /nfs/dbraw/zinc/07/13/38/706071338.db2.gz YUACTROULAHTCM-MEDUHNTESA-N -1 1 301.346 1.705 20 0 DDADMM CCn1nccc1CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000827849641 706076482 /nfs/dbraw/zinc/07/64/82/706076482.db2.gz GNDBDMOCLANKAV-PWSUYJOCSA-N -1 1 318.343 1.792 20 0 DDADMM C/C(=C\c1cccnc1)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000864160499 706084953 /nfs/dbraw/zinc/08/49/53/706084953.db2.gz YAAXVOIGYARJFV-UELRPHRMSA-N -1 1 317.389 1.492 20 0 DDADMM CC(C)c1cc(C(=O)N2CCc3occc3[C@H]2C(=O)[O-])n[nH]1 ZINC000864167951 706087752 /nfs/dbraw/zinc/08/77/52/706087752.db2.gz GNYNGWTUVINVHZ-ZDUSSCGKSA-N -1 1 303.318 1.950 20 0 DDADMM C[C@H]1[C@@H](Cc2ccccc2)CCN1Cn1cnc(C(=O)[O-])n1 ZINC000828150884 706128617 /nfs/dbraw/zinc/12/86/17/706128617.db2.gz RTKNQYIFBMEOJY-GXTWGEPZSA-N -1 1 300.362 1.887 20 0 DDADMM COc1ccc(CN2CC(C[N-]C(=O)C(F)(F)F)C2)cn1 ZINC000877777393 706207860 /nfs/dbraw/zinc/20/78/60/706207860.db2.gz ZAAJAHYWGOLAAQ-UHFFFAOYSA-N -1 1 303.284 1.201 20 0 DDADMM COc1ccc(F)cc1CS(=O)(=O)[N-]CC(=O)OC(C)C ZINC000881861951 707397064 /nfs/dbraw/zinc/39/70/64/707397064.db2.gz GZYVLXNCKUFHLZ-UHFFFAOYSA-N -1 1 319.354 1.205 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCC(F)(F)C1 ZINC000872462572 707425715 /nfs/dbraw/zinc/42/57/15/707425715.db2.gz HWCMZLYFLYVXOL-DCXZOGHSSA-N -1 1 318.411 1.905 20 0 DDADMM O=C(NCCC[N@H+]1CCC[C@@H](c2n[n-]c(=O)o2)C1)C(F)(F)F ZINC000829438062 706333469 /nfs/dbraw/zinc/33/34/69/706333469.db2.gz AEDVFWUUDJIBAA-MRVPVSSYSA-N -1 1 322.287 1.023 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)c2nn(CC)nc2C)n1 ZINC000829464123 706338241 /nfs/dbraw/zinc/33/82/41/706338241.db2.gz WIKQJLWBSYYMEW-UHFFFAOYSA-N -1 1 307.379 1.639 20 0 DDADMM CO[C@H]1COCC[C@H]1CC(=O)Nc1nc(Cl)ccc1[O-] ZINC000830185216 706471184 /nfs/dbraw/zinc/47/11/84/706471184.db2.gz WWTUMVKDIKHVDG-WPRPVWTQSA-N -1 1 300.742 1.821 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CC(C)(C)CO2)sc1C ZINC000872548466 707472567 /nfs/dbraw/zinc/47/25/67/707472567.db2.gz JTAKCNKOQMEFTP-JTQLQIEISA-N -1 1 304.437 1.853 20 0 DDADMM C[S@@](=O)CC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000830540764 706533571 /nfs/dbraw/zinc/53/35/71/706533571.db2.gz XRLAAUFCIKMUCN-GOSISDBHSA-N -1 1 305.352 1.229 20 0 DDADMM CCO[C@H]1C[C@]([N-]S(=O)(=O)C2CCC2)(C(=O)OC)C1(C)C ZINC000882107803 707501243 /nfs/dbraw/zinc/50/12/43/707501243.db2.gz OXPOUTQAWWSCIC-FZMZJTMJSA-N -1 1 319.423 1.205 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1ccnn1-c1ccccn1 ZINC000836696739 707504574 /nfs/dbraw/zinc/50/45/74/707504574.db2.gz MFBKRVSCOFPKNI-CYBMUJFWSA-N -1 1 303.322 1.847 20 0 DDADMM O=S(=O)([N-]CC1(CO)CC1)c1cc(Cl)cnc1Cl ZINC000866907712 706841594 /nfs/dbraw/zinc/84/15/94/706841594.db2.gz BJTBGDIZIYWJPC-UHFFFAOYSA-N -1 1 311.190 1.439 20 0 DDADMM CCS[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866929292 706847136 /nfs/dbraw/zinc/84/71/36/706847136.db2.gz VXBVBWAGJXFTCU-VHSXEESVSA-N -1 1 314.498 1.613 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2nc[nH]c2Br)CCO1 ZINC000867045152 706881063 /nfs/dbraw/zinc/88/10/63/706881063.db2.gz OGDBICZYDOXMMV-NKWVEPMBSA-N -1 1 324.200 1.018 20 0 DDADMM CC(C)O[C@@H]1C[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)C1(C)C ZINC000867111796 706899722 /nfs/dbraw/zinc/89/97/22/706899722.db2.gz IZBHSXAOKGCJBQ-NXEZZACHSA-N -1 1 312.457 1.141 20 0 DDADMM O=S(=O)([N-]CC1(CO)CCCC1)c1ccc(F)nc1F ZINC000867120929 706902316 /nfs/dbraw/zinc/90/23/16/706902316.db2.gz YRQYFGQUZNBMGD-UHFFFAOYSA-N -1 1 306.334 1.191 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1cccc(C)n1 ZINC000867211979 706926711 /nfs/dbraw/zinc/92/67/11/706926711.db2.gz VFMOMLSTGNSCND-UHFFFAOYSA-N -1 1 319.452 1.275 20 0 DDADMM O=C(OCC[N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CC1 ZINC000867382262 706982600 /nfs/dbraw/zinc/98/26/00/706982600.db2.gz UEVXQQRYZRGMMA-UHFFFAOYSA-N -1 1 322.745 1.106 20 0 DDADMM CC1(C)CO[C@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000832803357 706987716 /nfs/dbraw/zinc/98/77/16/706987716.db2.gz OPTUHMLNGNICNE-JTQLQIEISA-N -1 1 306.391 1.563 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)n[n-]1 ZINC000880666365 707052406 /nfs/dbraw/zinc/05/24/06/707052406.db2.gz NLSUOLFIMGKUED-LADJIXMOSA-N -1 1 306.366 1.451 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)[n-]1 ZINC000880666365 707052408 /nfs/dbraw/zinc/05/24/08/707052408.db2.gz NLSUOLFIMGKUED-LADJIXMOSA-N -1 1 306.366 1.451 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)n1 ZINC000880666365 707052409 /nfs/dbraw/zinc/05/24/09/707052409.db2.gz NLSUOLFIMGKUED-LADJIXMOSA-N -1 1 306.366 1.451 20 0 DDADMM O=S(=O)([N-][C@H]1[C@@H]2OC[C@@H]3C[C@H]1C[C@@H]32)c1ccc(C(F)F)o1 ZINC000867610155 707053190 /nfs/dbraw/zinc/05/31/90/707053190.db2.gz DNRPDIBVNLCAHO-JFIPXVDISA-N -1 1 319.329 1.919 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]1C(F)(F)F)c1cccnc1Cl ZINC000867692535 707075995 /nfs/dbraw/zinc/07/59/95/707075995.db2.gz KPIPIRFAFNAYST-PHDIDXHHSA-N -1 1 300.689 1.964 20 0 DDADMM CCO[C@H](C(=O)Nc1nc(Cl)ccc1[O-])[C@@H]1CCOC1 ZINC000867713077 707082747 /nfs/dbraw/zinc/08/27/47/707082747.db2.gz METIQSIEHNDZKV-KCJUWKMLSA-N -1 1 300.742 1.821 20 0 DDADMM CO[C@H](C(=O)NCc1ccc([O-])c(Cl)c1)c1cnn(C)c1 ZINC000834824934 707131317 /nfs/dbraw/zinc/13/13/17/707131317.db2.gz CKFDQDWHXARXMG-ZDUSSCGKSA-N -1 1 309.753 1.783 20 0 DDADMM Cc1nn(C)c(=O)c(C(=O)NCc2ccc([O-])c(Cl)c2)c1C ZINC000834828832 707132726 /nfs/dbraw/zinc/13/27/26/707132726.db2.gz ATWGZWMXUGYBHR-UHFFFAOYSA-N -1 1 321.764 1.686 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCSC1 ZINC000872458020 707422452 /nfs/dbraw/zinc/42/24/52/707422452.db2.gz HQZBLPFUYLXNHH-VVVCHXIZSA-N -1 1 314.498 1.613 20 0 DDADMM C[C@@]1(CS(=O)(=O)[N-][C@@]2(C)CC(C)(C)OC2=O)CC1(F)F ZINC000882224458 707546658 /nfs/dbraw/zinc/54/66/58/707546658.db2.gz XTFGADOFGDNWSY-QWRGUYRKSA-N -1 1 311.350 1.435 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)CC=C(Cl)Cl ZINC000882248967 707557846 /nfs/dbraw/zinc/55/78/46/707557846.db2.gz WFQFLQCJVOUHMB-SSDOTTSWSA-N -1 1 302.179 1.176 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2COC[C@]2(c2ccccc2)C1 ZINC000927237791 712961863 /nfs/dbraw/zinc/96/18/63/712961863.db2.gz XCCDLCWQQVJJJD-KDOFPFPSSA-N -1 1 310.353 1.827 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)c1cccc(Br)c1[O-] ZINC000882486272 707657424 /nfs/dbraw/zinc/65/74/24/707657424.db2.gz JHBRYQMRVIDKFV-SECBINFHSA-N -1 1 313.195 1.979 20 0 DDADMM C[C@@H](CNC(=O)NCc1ccc([O-])c(Cl)c1)S(C)(=O)=O ZINC000872950682 707668819 /nfs/dbraw/zinc/66/88/19/707668819.db2.gz ZGQWVYFURWJSSN-QMMMGPOBSA-N -1 1 320.798 1.278 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CC1(CC2CC2)CC1 ZINC000882570489 707703580 /nfs/dbraw/zinc/70/35/80/707703580.db2.gz DLAIKPSLDPCHDF-UHFFFAOYSA-N -1 1 306.453 1.663 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1nn(C)cc1Cl ZINC000882796471 707800058 /nfs/dbraw/zinc/80/00/58/707800058.db2.gz AHUBINQDENMACF-UHFFFAOYSA-N -1 1 314.820 1.238 20 0 DDADMM CCOC(=O)CC(=O)[C@H](C)OC(=O)c1c([O-])cc(F)cc1F ZINC000873352124 707821537 /nfs/dbraw/zinc/82/15/37/707821537.db2.gz KEYOFXUWNNWQCR-ZETCQYMHSA-N -1 1 316.256 1.738 20 0 DDADMM C[N@H+]1CCC[C@H]1C(=O)N[C@@H](CC(=O)[O-])c1ccc(F)cc1F ZINC000909344381 712996179 /nfs/dbraw/zinc/99/61/79/712996179.db2.gz YTNOLAQZOKDVDR-STQMWFEESA-N -1 1 312.316 1.691 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@@H](CC(=O)[O-])c1ccc(F)cc1F ZINC000909344381 712996182 /nfs/dbraw/zinc/99/61/82/712996182.db2.gz YTNOLAQZOKDVDR-STQMWFEESA-N -1 1 312.316 1.691 20 0 DDADMM O=C([O-])[C@@]1(NC(=O)c2[nH]nc3c2CCCCC3)CCSC1 ZINC000909349084 712997463 /nfs/dbraw/zinc/99/74/63/712997463.db2.gz XDHFTHQQNWZFSY-CQSZACIVSA-N -1 1 309.391 1.369 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)N[C@@H](C(=O)[O-])c1ccccc1F ZINC000909358795 712999843 /nfs/dbraw/zinc/99/98/43/712999843.db2.gz SNSPHIHMGLACPX-SMDDNHRTSA-N -1 1 324.352 1.036 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CC[C@@H](O)[C@H](F)C1 ZINC000896754622 708142205 /nfs/dbraw/zinc/14/22/05/708142205.db2.gz KTQBAAMWNZGKOV-CHWSQXEVSA-N -1 1 318.295 1.327 20 0 DDADMM CCN(CC(=O)NC[C@@H](Oc1ccc(F)cc1)C(=O)[O-])C1CC1 ZINC000909388178 713006139 /nfs/dbraw/zinc/00/61/39/713006139.db2.gz MGACSJWZRVAAHY-CQSZACIVSA-N -1 1 324.352 1.258 20 0 DDADMM COCc1nc(N2CCC[C@@H](Cc3nc(C)no3)C2)cc(=O)[n-]1 ZINC000896846713 708162724 /nfs/dbraw/zinc/16/27/24/708162724.db2.gz QGTURTCRQXPVFH-NSHDSACASA-N -1 1 319.365 1.479 20 0 DDADMM CCNc1ccc(C)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000897161612 708242021 /nfs/dbraw/zinc/24/20/21/708242021.db2.gz LFLMIQFACXPMGW-GFCCVEGCSA-N -1 1 314.393 1.960 20 0 DDADMM Cc1cccc2c1N(C(=O)CN1CCC[C@@H](C(=O)[O-])C1)CC2 ZINC000909414548 713011917 /nfs/dbraw/zinc/01/19/17/713011917.db2.gz YMPJBRFRQHHHFQ-CQSZACIVSA-N -1 1 302.374 1.681 20 0 DDADMM C[C@@H]1CN(C)C(=O)CN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897503037 708354274 /nfs/dbraw/zinc/35/42/74/708354274.db2.gz SFCNVUIPHKGNHM-SNVBAGLBSA-N -1 1 314.341 1.554 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])CCO1 ZINC000897504011 708354827 /nfs/dbraw/zinc/35/48/27/708354827.db2.gz FCRPOHYZEWMETB-AWEZNQCLSA-N -1 1 303.314 1.696 20 0 DDADMM O=C(NCC1OCCCO1)c1ccc2ccc(O)cc2c1[O-] ZINC000897516760 708359915 /nfs/dbraw/zinc/35/99/15/708359915.db2.gz PSCMMRHAKHFIMT-UHFFFAOYSA-N -1 1 303.314 1.744 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1ccns1)N1CCc2ccccc21 ZINC000884926254 708422318 /nfs/dbraw/zinc/42/23/18/708422318.db2.gz RQVYICCNIJUVEV-NSHDSACASA-N -1 1 323.443 1.873 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@@H](C)[C@H]1C ZINC000912528610 713030721 /nfs/dbraw/zinc/03/07/21/713030721.db2.gz YWHXSJOLNPKMHX-FXPVBKGRSA-N -1 1 315.395 1.299 20 0 DDADMM O=S(=O)([N-]C[C@]1(O)CCc2ccccc21)c1ccns1 ZINC000885075266 708463383 /nfs/dbraw/zinc/46/33/83/708463383.db2.gz XNQKJUVTKBBYDN-CYBMUJFWSA-N -1 1 310.400 1.255 20 0 DDADMM O=S(=O)([N-]C[C@H]1COC2(CCCCC2)O1)c1ccns1 ZINC000885086903 708467547 /nfs/dbraw/zinc/46/75/47/708467547.db2.gz HFZIHFKWGJGNQM-JTQLQIEISA-N -1 1 318.420 1.497 20 0 DDADMM Cc1ccc(C[C@H](CO)[N-]S(=O)(=O)c2ccns2)cc1 ZINC000885129156 708477485 /nfs/dbraw/zinc/47/74/85/708477485.db2.gz MBAXCZAUVLHETB-GFCCVEGCSA-N -1 1 312.416 1.333 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccns1)C1CCC(F)(F)CC1 ZINC000885251875 708502746 /nfs/dbraw/zinc/50/27/46/708502746.db2.gz OXTSIJPTALCNDN-UHFFFAOYSA-N -1 1 324.374 1.816 20 0 DDADMM CCOC(=O)C1(C[N-]S(=O)(=O)c2cc(C)ns2)CC1 ZINC000885426483 708542586 /nfs/dbraw/zinc/54/25/86/708542586.db2.gz BDYSFIYAXGZOTB-UHFFFAOYSA-N -1 1 304.393 1.073 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]([C@H]2CCOC2)C(F)(F)F)c([O-])c1 ZINC000885533503 708569678 /nfs/dbraw/zinc/56/96/78/708569678.db2.gz OUMYPUDZSNBXKC-KWQFWETISA-N -1 1 304.268 1.793 20 0 DDADMM O=C(NCCO[C@H]1CCOC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000885722227 708608177 /nfs/dbraw/zinc/60/81/77/708608177.db2.gz GXNFZQDUYBQACF-JTQLQIEISA-N -1 1 319.279 1.946 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C2(C3CC3)CCC2)cc1C ZINC000885860759 708641682 /nfs/dbraw/zinc/64/16/82/708641682.db2.gz QVKOXPSWYBTRNM-UHFFFAOYSA-N -1 1 313.375 1.986 20 0 DDADMM CO[C@@]1(C(=O)NCc2cc(=O)[n-]c(SC)n2)CCSC1 ZINC000898526626 708668117 /nfs/dbraw/zinc/66/81/17/708668117.db2.gz TYWWQYMYLJVAOL-LBPRGKRZSA-N -1 1 315.420 1.042 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2CCC=CCC2)o1 ZINC000886022981 708683195 /nfs/dbraw/zinc/68/31/95/708683195.db2.gz JHADHVSTXAKDCM-UHFFFAOYSA-N -1 1 312.391 1.664 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H](C2CCOCC2)C1 ZINC000886161646 708708231 /nfs/dbraw/zinc/70/82/31/708708231.db2.gz VHEOPJODVPEOOJ-CYBMUJFWSA-N -1 1 307.398 1.188 20 0 DDADMM C=CC(=O)N(CC)CC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886268336 708733072 /nfs/dbraw/zinc/73/30/72/708733072.db2.gz MAYAXEQWRXUIAZ-UHFFFAOYSA-N -1 1 312.316 1.364 20 0 DDADMM COC[C@@]1(C(=O)NCCc2c(F)cc([O-])cc2F)CCOC1 ZINC000886268551 708733095 /nfs/dbraw/zinc/73/30/95/708733095.db2.gz ULIJLRYFXLIVSL-HNNXBMFYSA-N -1 1 315.316 1.382 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000886271458 708733685 /nfs/dbraw/zinc/73/36/85/708733685.db2.gz JYLIMZGMYKMHFA-QPTZUFNXSA-N -1 1 309.312 1.753 20 0 DDADMM CN(Cc1cnn(C)c1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927780036 713054010 /nfs/dbraw/zinc/05/40/10/713054010.db2.gz PLSHYNAWEQSJSS-UHFFFAOYSA-N -1 1 324.331 1.788 20 0 DDADMM C[C@H](CCO)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782419 713054950 /nfs/dbraw/zinc/05/49/50/713054950.db2.gz NVAQJNSCBRSFGO-SECBINFHSA-N -1 1 302.321 1.531 20 0 DDADMM C[C@H](CCc1ccccc1F)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898756393 708856615 /nfs/dbraw/zinc/85/66/15/708856615.db2.gz IHXWFTMKTWNWLA-SNVBAGLBSA-N -1 1 319.336 1.912 20 0 DDADMM C[C@@]1(CO)C[C@@H](O)CN1C(=O)c1ccc2ccccc2c1[O-] ZINC000912672040 713066274 /nfs/dbraw/zinc/06/62/74/713066274.db2.gz WRHBCIWKSZLEOP-PXAZEXFGSA-N -1 1 301.342 1.503 20 0 DDADMM CCC[C@@H](C)N1C[C@@H](C(=O)[N-]OCc2cccc(C)n2)CC1=O ZINC000898936184 708928809 /nfs/dbraw/zinc/92/88/09/708928809.db2.gz PCMXJVWYTAKTPZ-KGLIPLIRSA-N -1 1 319.405 1.975 20 0 DDADMM Cc1cccc2c(C(=O)[N-]N3C(=O)[C@@H](C)N(C)C3=O)coc21 ZINC000899039412 708962414 /nfs/dbraw/zinc/96/24/14/708962414.db2.gz WNSMSMGRKFYROJ-SECBINFHSA-N -1 1 301.302 1.669 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1c2ccccc2CC[C@H]1F ZINC000899229521 709027924 /nfs/dbraw/zinc/02/79/24/709027924.db2.gz XQFQLHOUMDYWIJ-DOMZBBRYSA-N -1 1 303.341 1.664 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCC[C@H](C2CCOCC2)C1 ZINC000899234423 709029357 /nfs/dbraw/zinc/02/93/57/709029357.db2.gz KJOUUWUPEQIBKB-AWEZNQCLSA-N -1 1 321.425 1.578 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H](CO)C[C@@H]1CCCO1 ZINC000899274599 709040746 /nfs/dbraw/zinc/04/07/46/709040746.db2.gz FNYAZAOYPBKTLU-NEPJUHHUSA-N -1 1 313.781 1.634 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)o1 ZINC000899414421 709077015 /nfs/dbraw/zinc/07/70/15/709077015.db2.gz RIIPFLGUFZJQEJ-MMWGEVLESA-N -1 1 312.391 1.210 20 0 DDADMM Cn1nccc1[C@H]1CN(C(=O)c2ccc([O-])c(F)c2)CCO1 ZINC000887594692 709077757 /nfs/dbraw/zinc/07/77/57/709077757.db2.gz XFZTVSOJMAMEEE-CQSZACIVSA-N -1 1 305.309 1.479 20 0 DDADMM COc1ccc(CN[C@@H]2CCCn3nc(C(=O)[O-])cc32)cn1 ZINC000900072287 709281621 /nfs/dbraw/zinc/28/16/21/709281621.db2.gz WXRQLBXXTZVJER-LLVKDONJSA-N -1 1 302.334 1.610 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC(N2CCCC2=O)C1 ZINC000888762041 709375288 /nfs/dbraw/zinc/37/52/88/709375288.db2.gz AXSTYGJMJHUSPZ-UHFFFAOYSA-N -1 1 310.353 1.992 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H]([C@@]3(C)COC(=O)N3)C2)c([O-])c1 ZINC000928019797 713104232 /nfs/dbraw/zinc/10/42/32/713104232.db2.gz MNHZTMITYXOXKD-MEDUHNTESA-N -1 1 319.361 1.446 20 0 DDADMM C[C@]1([C@H]2CCCN(C(=O)c3ccc([O-])c(F)c3)C2)COC(=O)N1 ZINC000928023783 713105404 /nfs/dbraw/zinc/10/54/04/713105404.db2.gz QOVUEWALINORRU-MEDUHNTESA-N -1 1 322.336 1.882 20 0 DDADMM CC(C)(C(=O)[O-])[C@@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC000909486624 709484763 /nfs/dbraw/zinc/48/47/63/709484763.db2.gz HTVQEZPZKADQCX-IJLUTSLNSA-N -1 1 305.378 1.863 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC2Cc3ccccc3C2)C1 ZINC000909570821 709520598 /nfs/dbraw/zinc/52/05/98/709520598.db2.gz HTYWGBBDGZDBJN-AWEZNQCLSA-N -1 1 302.374 1.067 20 0 DDADMM CSCC[C@H](NC(=O)c1cccc(Cl)n1)c1nn[n-]n1 ZINC000912860645 713109870 /nfs/dbraw/zinc/10/98/70/713109870.db2.gz SFQUZVBZAFQZMZ-ZETCQYMHSA-N -1 1 312.786 1.472 20 0 DDADMM CCC[C@@H](NC(=O)N[C@@H]1CCCN2CCSC[C@@H]12)C(=O)[O-] ZINC000900295749 709526121 /nfs/dbraw/zinc/52/61/21/709526121.db2.gz NCYMHRMATWZQSQ-UTUOFQBUSA-N -1 1 315.439 1.119 20 0 DDADMM COC(=O)[C@](C)(CO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000909593896 709533223 /nfs/dbraw/zinc/53/32/23/709533223.db2.gz JQLQIXYGUSMMAG-LBPRGKRZSA-N -1 1 321.251 1.065 20 0 DDADMM CSCC[C@H](NC(=O)CC[C@H](C)SC)c1nn[n-]n1 ZINC000912862594 713110901 /nfs/dbraw/zinc/11/09/01/713110901.db2.gz RICOVWOAKNBJGQ-IUCAKERBSA-N -1 1 303.457 1.642 20 0 DDADMM O=C([O-])C[C@H]1COCCN1C(=O)c1cc(C2CCCCC2)[nH]n1 ZINC000909615861 709541034 /nfs/dbraw/zinc/54/10/34/709541034.db2.gz AWTBYALPBNNVAJ-LBPRGKRZSA-N -1 1 321.377 1.773 20 0 DDADMM C[C@@](CC(=O)[O-])(NC(=O)CCc1cnc[nH]1)c1cccc(F)c1 ZINC000909682928 709575653 /nfs/dbraw/zinc/57/56/53/709575653.db2.gz QOXMCCZTNVHGAB-INIZCTEOSA-N -1 1 319.336 1.988 20 0 DDADMM CN(CC(=O)NC[C@@H](C(=O)[O-])c1ccc(F)cc1)C1CCC1 ZINC000909703185 709587581 /nfs/dbraw/zinc/58/75/81/709587581.db2.gz NEJHSQNOVGIDMZ-CQSZACIVSA-N -1 1 308.353 1.594 20 0 DDADMM Cc1ccc2c(c1)CCN2C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909727981 709598119 /nfs/dbraw/zinc/59/81/19/709598119.db2.gz MWRQRNRBWJQAHK-AWEZNQCLSA-N -1 1 302.374 1.681 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CC[C@](C(=O)[O-])(C(F)(F)F)C1 ZINC000909767629 709608969 /nfs/dbraw/zinc/60/89/69/709608969.db2.gz QENONWFPRLVZFB-CABZTGNLSA-N -1 1 308.300 1.336 20 0 DDADMM CN(C)CC(=O)N[C@@H](C[C@@H]1CCCc2ccccc21)C(=O)[O-] ZINC000909785685 709619736 /nfs/dbraw/zinc/61/97/36/709619736.db2.gz CZDWOMNWNWAPGJ-ZFWWWQNUSA-N -1 1 304.390 1.628 20 0 DDADMM Cc1cc([C@@H](NC(=O)CN(C)C2CCC2)C(=O)[O-])ccc1F ZINC000909794836 709624466 /nfs/dbraw/zinc/62/44/66/709624466.db2.gz HTGYBZPOXMZGRS-OAHLLOKOSA-N -1 1 308.353 1.860 20 0 DDADMM C[C@@H]1[C@@H](C(=O)[O-])CCN1C(=O)c1cn[nH]c1-c1ccccn1 ZINC000909809452 709632178 /nfs/dbraw/zinc/63/21/78/709632178.db2.gz NDOBSZMEPPVSJH-ZJUUUORDSA-N -1 1 300.318 1.407 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cscn2)[n-]c1=O ZINC000889791045 709637328 /nfs/dbraw/zinc/63/73/28/709637328.db2.gz XHDCNTCCOYNQDZ-SECBINFHSA-N -1 1 306.347 1.625 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cccc(C)n2)[n-]c1=O ZINC000889794720 709639356 /nfs/dbraw/zinc/63/93/56/709639356.db2.gz OTSNBRUQLJVKGI-GFCCVEGCSA-N -1 1 314.345 1.872 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CC[C@](C(=O)[O-])(c2ccccc2)C1 ZINC000909854820 709653876 /nfs/dbraw/zinc/65/38/76/709653876.db2.gz NFVGTFDJODLFIJ-CRAIPNDOSA-N -1 1 316.401 1.726 20 0 DDADMM COC(=O)C(=O)[C@@H](C)OC(=O)c1cc(Cl)cc(Cl)c1[O-] ZINC000909858865 709656248 /nfs/dbraw/zinc/65/62/48/709656248.db2.gz HPVRRGPHGFGGAV-RXMQYKEDSA-N -1 1 321.112 1.986 20 0 DDADMM C[S@](=O)CCCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900648627 709694787 /nfs/dbraw/zinc/69/47/87/709694787.db2.gz IMGFEIMPFYVCFN-NRFANRHFSA-N -1 1 310.350 1.578 20 0 DDADMM O=C([O-])C[C@@H](CNC(=O)c1[nH]nc2c1CCCCC2)C1CC1 ZINC000909964984 709710994 /nfs/dbraw/zinc/71/09/94/709710994.db2.gz BORNFTGLLICRKX-NSHDSACASA-N -1 1 305.378 1.909 20 0 DDADMM CC(C)NC(=O)CN(C)CC(=O)Nc1cc([O-])c(F)cc1F ZINC000909976121 709715284 /nfs/dbraw/zinc/71/52/84/709715284.db2.gz XYTHJAPKPHKIJO-UHFFFAOYSA-N -1 1 315.320 1.065 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)[C@@H](C(=O)[O-])C1 ZINC000909983282 709718293 /nfs/dbraw/zinc/71/82/93/709718293.db2.gz TWDIOFMWLPWKIA-HTRCEHHLSA-N -1 1 321.255 1.133 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N(CC(=O)[O-])C2CCCC2)C1 ZINC000910062401 709761145 /nfs/dbraw/zinc/76/11/45/709761145.db2.gz KKNQALHZPOWQGJ-MFKMUULPSA-N -1 1 305.378 1.931 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCSC[C@@H](C(=O)[O-])C2)C1 ZINC000910147580 709791226 /nfs/dbraw/zinc/79/12/26/709791226.db2.gz NSLMRDSUWACMFZ-USWWRNFRSA-N -1 1 323.418 1.352 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCSC[C@H](C(=O)[O-])C2)C1 ZINC000910147581 709791300 /nfs/dbraw/zinc/79/13/00/709791300.db2.gz NSLMRDSUWACMFZ-YUSALJHKSA-N -1 1 323.418 1.352 20 0 DDADMM Cc1ccn([C@@H](C)CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)n1 ZINC000910169663 709796065 /nfs/dbraw/zinc/79/60/65/709796065.db2.gz GDIIWFGMCRSZEG-KBPBESRZSA-N -1 1 322.409 1.150 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3COC[C@@H]3C3CC3)cnc2n1 ZINC000900865046 709797098 /nfs/dbraw/zinc/79/70/98/709797098.db2.gz YOKDPMJFHQWUKR-KGLIPLIRSA-N -1 1 313.357 1.799 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1COC[C@@H]1C1CC1)c2=O ZINC000900865046 709797102 /nfs/dbraw/zinc/79/71/02/709797102.db2.gz YOKDPMJFHQWUKR-KGLIPLIRSA-N -1 1 313.357 1.799 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CC[C@@H]3CC(C)(C)CO3)ccnc1-2 ZINC000890283615 709810744 /nfs/dbraw/zinc/81/07/44/709810744.db2.gz LRLWGRUQLRRQLB-LLVKDONJSA-N -1 1 302.378 1.876 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2c[nH]cc3ncnc2-3)[C@H]2CCC[C@@H]21 ZINC000910246924 709838337 /nfs/dbraw/zinc/83/83/37/709838337.db2.gz PEYXSKBKUHYUBJ-BREBYQMCSA-N -1 1 314.345 1.673 20 0 DDADMM C[C@](CC(=O)[O-])(NC(=O)Cc1n[nH]c2c1CCCC2)C1CC1 ZINC000910320255 709888927 /nfs/dbraw/zinc/88/89/27/709888927.db2.gz WZBDNKGKUAKBFZ-MRXNPFEDSA-N -1 1 305.378 1.591 20 0 DDADMM CC1(C)CC[C@H](CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)OC1 ZINC000910409596 709927058 /nfs/dbraw/zinc/92/70/58/709927058.db2.gz VBDJRYVKEYCWPP-CHWSQXEVSA-N -1 1 312.410 1.104 20 0 DDADMM COc1ccc(CN(C)C(=O)c2cnc(C3CC3)[n-]c2=O)cn1 ZINC000901170775 709953782 /nfs/dbraw/zinc/95/37/82/709953782.db2.gz BFEZZYDFIIBMBD-UHFFFAOYSA-N -1 1 314.345 1.735 20 0 DDADMM O=C(c1cnc(NC2CC2)s1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000901177014 709956186 /nfs/dbraw/zinc/95/61/86/709956186.db2.gz QIUBZKGJFLWMDA-MRVPVSSYSA-N -1 1 319.394 1.250 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC[C@@H](O)[C@@H](F)C1 ZINC000890704577 709964168 /nfs/dbraw/zinc/96/41/68/709964168.db2.gz INRUZBVAKCSGSN-GXSJLCMTSA-N -1 1 318.142 1.700 20 0 DDADMM CC(C)[C@@H](O)C(C)(C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901200827 709965618 /nfs/dbraw/zinc/96/56/18/709965618.db2.gz YNBGIOPZKOKWGE-GFCCVEGCSA-N -1 1 307.394 1.833 20 0 DDADMM CC(C)CCC[C@H](NC(=O)CN(C)[C@@H]1CCSC1)C(=O)[O-] ZINC000910578178 710007545 /nfs/dbraw/zinc/00/75/45/710007545.db2.gz VMGKQVLXMFMMNN-OLZOCXBDSA-N -1 1 316.467 1.819 20 0 DDADMM CN(C)C1(C(=O)Nc2nc3c(s2)CC[C@H](C(=O)[O-])C3)CCC1 ZINC000910678748 710044869 /nfs/dbraw/zinc/04/48/69/710044869.db2.gz BLUKNNNIMJLBSQ-VIFPVBQESA-N -1 1 323.418 1.755 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCC[C@H](CC(=O)[O-])C2)C1 ZINC000901453371 710045043 /nfs/dbraw/zinc/04/50/43/710045043.db2.gz NKMULVZAMKHOAE-WZRBSPASSA-N -1 1 305.378 1.789 20 0 DDADMM COc1cccc([C@@H](C(=O)[O-])N(C)C(=O)CN2CCCC2)c1 ZINC000910770061 710070010 /nfs/dbraw/zinc/07/00/10/710070010.db2.gz CPRGQQWDBWZWEM-HNNXBMFYSA-N -1 1 306.362 1.375 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@H](OC)C(=O)[O-])CCN1Cc1ccccc1 ZINC000901617869 710096927 /nfs/dbraw/zinc/09/69/27/710096927.db2.gz PYJSJOJMFRGBNB-CABCVRRESA-N -1 1 320.389 1.209 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1ccnn1-c1ccc(F)cc1 ZINC000901662966 710113367 /nfs/dbraw/zinc/11/33/67/710113367.db2.gz HJGCXNDYFWPTSY-UHFFFAOYSA-N -1 1 321.352 1.935 20 0 DDADMM O=C(CCC(=O)N1CCOCC1)Nc1cc(F)cc(F)c1[O-] ZINC000910979902 710139186 /nfs/dbraw/zinc/13/91/86/710139186.db2.gz NUCFWKYWMNAYOR-UHFFFAOYSA-N -1 1 314.288 1.248 20 0 DDADMM CCn1nc(C)c(CN[C@@H](Cc2cccc(OC)c2)C(=O)[O-])n1 ZINC000901755293 710142779 /nfs/dbraw/zinc/14/27/79/710142779.db2.gz JAWSGPSQLPAATQ-AWEZNQCLSA-N -1 1 318.377 1.401 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1CC[C@H]1C(=O)[O-])c1cccc(F)c1 ZINC000901796744 710149953 /nfs/dbraw/zinc/14/99/53/710149953.db2.gz RQOPMSBGRCAVJW-MGPQQGTHSA-N -1 1 308.353 1.655 20 0 DDADMM COc1cccc(CN2CC[C@](O)(C(=O)[O-])C2)c1OC(C)C ZINC000901902198 710169173 /nfs/dbraw/zinc/16/91/73/710169173.db2.gz NQVHRBUQVIRNFW-MRXNPFEDSA-N -1 1 309.362 1.504 20 0 DDADMM COCc1nc(N(C)CC(=O)NCc2ccccc2)cc(=O)[n-]1 ZINC000892622994 710471530 /nfs/dbraw/zinc/47/15/30/710471530.db2.gz NFGATOHPUHBSSK-UHFFFAOYSA-N -1 1 316.361 1.081 20 0 DDADMM CCc1c(C(=O)N(C)c2nn[n-]n2)cnn1-c1ccccc1C ZINC000892954627 710531712 /nfs/dbraw/zinc/53/17/12/710531712.db2.gz NXIDDKJUXJSKNF-UHFFFAOYSA-N -1 1 311.349 1.533 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3(C4CC4)CC3)nc2n1 ZINC000893071341 710550279 /nfs/dbraw/zinc/55/02/79/710550279.db2.gz ALZSWKYZGIWEAJ-UHFFFAOYSA-N -1 1 301.350 1.499 20 0 DDADMM Cc1oc(CNCCNC(=O)c2cccs2)cc1C(=O)[O-] ZINC000902098082 710613638 /nfs/dbraw/zinc/61/36/38/710613638.db2.gz JDYYRAKWYMYBEC-UHFFFAOYSA-N -1 1 308.359 1.867 20 0 DDADMM CCC[N@@H+](C)CC(=O)Nc1ccc2cnn(CC(=O)[O-])c2c1 ZINC000911071941 710621600 /nfs/dbraw/zinc/62/16/00/710621600.db2.gz GXCOUGXNRULLNJ-UHFFFAOYSA-N -1 1 304.350 1.401 20 0 DDADMM CCCN(C)CC(=O)Nc1ccc2cnn(CC(=O)[O-])c2c1 ZINC000911071941 710621604 /nfs/dbraw/zinc/62/16/04/710621604.db2.gz GXCOUGXNRULLNJ-UHFFFAOYSA-N -1 1 304.350 1.401 20 0 DDADMM CN(C)c1ccccc1NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911167036 710665757 /nfs/dbraw/zinc/66/57/57/710665757.db2.gz OPLQTFIINCAECH-LBPRGKRZSA-N -1 1 305.378 1.488 20 0 DDADMM Cc1c(CNCc2ccc(O[C@@H](C)C(=O)[O-])cc2)cnn1C ZINC000902238165 710675985 /nfs/dbraw/zinc/67/59/85/710675985.db2.gz LJCPXDCIQUZZGX-LBPRGKRZSA-N -1 1 303.362 1.870 20 0 DDADMM CN(C)[C@H](CNC(=O)Cn1cc(C(=O)[O-])cn1)c1ccsc1 ZINC000911194622 710678018 /nfs/dbraw/zinc/67/80/18/710678018.db2.gz UFCIEOUOGXSLHF-GFCCVEGCSA-N -1 1 322.390 1.062 20 0 DDADMM O=C([O-])/C=C\c1ccc(CN[C@@H]2CC(=O)N(CC3CC3)C2)o1 ZINC000902341996 710722681 /nfs/dbraw/zinc/72/26/81/710722681.db2.gz DZKBGNRNLMMYQZ-FOQNGQEVSA-N -1 1 304.346 1.478 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)N[C@@H]1CCN(Cc2ccc(C)cc2)C1 ZINC000902384909 710737493 /nfs/dbraw/zinc/73/74/93/710737493.db2.gz AGEYUONCVFSLMC-RHSMWYFYSA-N -1 1 320.389 1.175 20 0 DDADMM CS(=O)(=O)C1(CNCc2ccc(C(=O)[O-])o2)CCCCC1 ZINC000902482066 710767348 /nfs/dbraw/zinc/76/73/48/710767348.db2.gz VMVNFKBAIASDLC-UHFFFAOYSA-N -1 1 315.391 1.815 20 0 DDADMM C[C@H]1CN(C(=O)C23CCC(C(=O)[O-])(CC2)CC3)[C@@H](C)CN1C ZINC000911440549 710800519 /nfs/dbraw/zinc/80/05/19/710800519.db2.gz MMMNEYNRYDYEAR-SCQRFTTHSA-N -1 1 308.422 1.963 20 0 DDADMM CN(CC(=O)Nc1ccc(O)c(C(=O)[O-])c1)[C@@H]1CCSC1 ZINC000911462649 710809731 /nfs/dbraw/zinc/80/97/31/710809731.db2.gz CMHQQIMBIHZAGQ-SNVBAGLBSA-N -1 1 310.375 1.466 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)N(C)CCCN(C)Cc1ccco1 ZINC000911543091 710844391 /nfs/dbraw/zinc/84/43/91/710844391.db2.gz YWLFIWGXSQKZSZ-AWEZNQCLSA-N -1 1 310.394 1.917 20 0 DDADMM Cc1cc(C2CCN(C(=O)c3csc(C(=O)[O-])n3)CC2)n[nH]1 ZINC000911650764 710905974 /nfs/dbraw/zinc/90/59/74/710905974.db2.gz GJIVSMUSYYPJHZ-UHFFFAOYSA-N -1 1 320.374 1.893 20 0 DDADMM O=C([O-])CC[C@@H]1Cc2ccccc2N(C(=O)Cc2nnc[nH]2)C1 ZINC000902819548 710915871 /nfs/dbraw/zinc/91/58/71/710915871.db2.gz HNKJWXJGQJCUHZ-LLVKDONJSA-N -1 1 314.345 1.418 20 0 DDADMM C[C@@H]1CC2(CC(NC(=O)c3nc4ccccc4c(=O)[n-]3)C2)CO1 ZINC000903027532 711003303 /nfs/dbraw/zinc/00/33/03/711003303.db2.gz CVECHPQXQZEROC-LJRHVDQYSA-N -1 1 313.357 1.611 20 0 DDADMM COc1cc([N-]S(=O)(=O)N=S(C)(C)=O)cc(Cl)n1 ZINC000903159582 711051143 /nfs/dbraw/zinc/05/11/43/711051143.db2.gz JEBCNWXUPMVUDM-UHFFFAOYSA-N -1 1 313.788 1.128 20 0 DDADMM COCc1nc(N[C@H](CO)[C@@H]2CCC[C@H](OC)C2)cc(=O)[n-]1 ZINC000894500470 711150623 /nfs/dbraw/zinc/15/06/23/711150623.db2.gz UJLQDFPOWLEKCY-GRYCIOLGSA-N -1 1 311.382 1.307 20 0 DDADMM C[C@]1(c2ccccc2)C[C@H]1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494025 713236895 /nfs/dbraw/zinc/23/68/95/713236895.db2.gz PNGSPFJLILADLE-WOSRLPQWSA-N -1 1 313.361 1.077 20 0 DDADMM O=C(c1cscc1C(F)F)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494086 713236988 /nfs/dbraw/zinc/23/69/88/713236988.db2.gz RENNHUNXWPJZDH-MRVPVSSYSA-N -1 1 315.305 1.413 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1cncc(C(C)C)c1 ZINC000903661517 711238811 /nfs/dbraw/zinc/23/88/11/711238811.db2.gz PFFCRELHZDDMPF-GOSISDBHSA-N -1 1 305.425 1.979 20 0 DDADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]c1ccc(C2CC2)cn1 ZINC000903661531 711238826 /nfs/dbraw/zinc/23/88/26/711238826.db2.gz PJWPSLCCMYLXBF-LJQANCHMSA-N -1 1 318.424 1.190 20 0 DDADMM CSc1nc(CNC(=O)CCOc2ccccc2)cc(=O)[n-]1 ZINC000912247437 711243719 /nfs/dbraw/zinc/24/37/19/711243719.db2.gz JKHMKAAKROHQQB-UHFFFAOYSA-N -1 1 319.386 1.989 20 0 DDADMM O=C(c1ccc2c(c1)CCC=C2)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913497562 713238898 /nfs/dbraw/zinc/23/88/98/713238898.db2.gz LQSVQUJUIXBZIN-CQSZACIVSA-N -1 1 311.345 1.373 20 0 DDADMM Cc1cccc2c(C(=O)N3CCOC[C@@H]3c3nn[n-]n3)coc21 ZINC000913498593 713239369 /nfs/dbraw/zinc/23/93/69/713239369.db2.gz IOWAGZUJIYFPJF-GFCCVEGCSA-N -1 1 313.317 1.468 20 0 DDADMM O=C(C1[C@@H]2CCCCCC[C@@H]12)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913500447 713239535 /nfs/dbraw/zinc/23/95/35/713239535.db2.gz XREXCPUKTVMQOZ-IJLUTSLNSA-N -1 1 305.382 1.316 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)CCOCc2ccccc2)C[C@H](C(=O)[O-])O1 ZINC000903904355 711323901 /nfs/dbraw/zinc/32/39/01/711323901.db2.gz KIVPYMCVGFJSSW-VNQPRFMTSA-N -1 1 323.389 1.128 20 0 DDADMM CCO[C@H]1C[C@@](NCc2nn(CC)nc2C)(C(=O)[O-])C1(C)C ZINC000904010749 711363120 /nfs/dbraw/zinc/36/31/20/711363120.db2.gz NXLAWOGMEBUMJN-SWLSCSKDSA-N -1 1 310.398 1.354 20 0 DDADMM Cc1c([C@H](NCc2cccc3c2OCO3)C(=O)[O-])cnn1C ZINC000904049573 711370840 /nfs/dbraw/zinc/37/08/40/711370840.db2.gz YYTRKCSQKMAABA-ZDUSSCGKSA-N -1 1 303.318 1.373 20 0 DDADMM COCc1nc(NCCn2ccc(C(F)(F)F)n2)cc(=O)[n-]1 ZINC000895069649 711396471 /nfs/dbraw/zinc/39/64/71/711396471.db2.gz ONKCFUYVGQDVOA-UHFFFAOYSA-N -1 1 317.271 1.656 20 0 DDADMM Cc1csc(C[C@H]2CCN(Cc3cc(C(=O)[O-])nn3C)C2)n1 ZINC000904261622 711419622 /nfs/dbraw/zinc/41/96/22/711419622.db2.gz HXNSGVUINDABTD-LLVKDONJSA-N -1 1 320.418 1.948 20 0 DDADMM COCc1nc(NC[C@@H](O)Cc2ccc(F)cc2)cc(=O)[n-]1 ZINC000895199361 711451593 /nfs/dbraw/zinc/45/15/93/711451593.db2.gz HXXLCJGZDMSQAJ-LBPRGKRZSA-N -1 1 307.325 1.483 20 0 DDADMM COCc1nc(NC[C@@H]2CC[C@]3(CO[C@@H](C)[C@@H]3C)O2)cc(=O)[n-]1 ZINC000895226729 711457694 /nfs/dbraw/zinc/45/76/94/711457694.db2.gz TZRYPWVRAKCQRH-CENBSLRLSA-N -1 1 323.393 1.713 20 0 DDADMM C[C@@H](S[C@H](C)C(=O)NCc1cc(N(C)C)ccn1)C(=O)[O-] ZINC000905128738 711944999 /nfs/dbraw/zinc/94/49/99/711944999.db2.gz OBTZMZNIACRAQK-NXEZZACHSA-N -1 1 311.407 1.359 20 0 DDADMM CC(C)Oc1cncc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913743703 713292607 /nfs/dbraw/zinc/29/26/07/713292607.db2.gz HRJRTDKCMDJBAR-UHFFFAOYSA-N -1 1 316.365 1.402 20 0 DDADMM CC(C)(CC(F)(F)F)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745791 713293621 /nfs/dbraw/zinc/29/36/21/713293621.db2.gz OIANEBUCAZMPFE-UHFFFAOYSA-N -1 1 305.304 1.884 20 0 DDADMM O=C([C@@H]1CCc2ccccc2O1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745667 713293747 /nfs/dbraw/zinc/29/37/47/713293747.db2.gz ANVFWRKMPKWGBW-AWEZNQCLSA-N -1 1 313.361 1.300 20 0 DDADMM Cc1cc(C)cc([C@H](NCCS(=O)(=O)C(C)C)C(=O)[O-])c1 ZINC000905391293 712025520 /nfs/dbraw/zinc/02/55/20/712025520.db2.gz MSSJHTIVAMROCJ-AWEZNQCLSA-N -1 1 313.419 1.842 20 0 DDADMM C/C(=C(\F)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000913781756 713299717 /nfs/dbraw/zinc/29/97/17/713299717.db2.gz YMXZEPACMYQTMA-JXMROGBWSA-N -1 1 308.275 1.777 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CCC[C@H](F)C2)c1 ZINC000907026786 712468347 /nfs/dbraw/zinc/46/83/47/712468347.db2.gz OORQJINTEHGBDY-VIFPVBQESA-N -1 1 303.311 1.213 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)N2CCSC[C@H]2c2nn[n-]n2)CCO1 ZINC000907475861 712582157 /nfs/dbraw/zinc/58/21/57/712582157.db2.gz ANGOEAPGAHQKHG-MXWKQRLJSA-N -1 1 311.411 1.021 20 0 DDADMM O=S(=O)([N-]CC1(C2(O)CCC2)CC1)c1cccc(F)c1F ZINC000907478036 712582693 /nfs/dbraw/zinc/58/26/93/712582693.db2.gz LGDFCZLDIMHEIE-UHFFFAOYSA-N -1 1 317.357 1.938 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)nn1C(C)C ZINC000907479280 712583254 /nfs/dbraw/zinc/58/32/54/712583254.db2.gz AYNURPGSYNRGEI-LLVKDONJSA-N -1 1 321.410 1.216 20 0 DDADMM CC(C)C[C@@H]1C(=O)NCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907607078 712601949 /nfs/dbraw/zinc/60/19/49/712601949.db2.gz QMJOUANAMZDEGP-GFCCVEGCSA-N -1 1 318.377 1.046 20 0 DDADMM C[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)N1CCCCC1=O ZINC000907648770 712608457 /nfs/dbraw/zinc/60/84/57/712608457.db2.gz SWNPYKDQNPGKQU-JTQLQIEISA-N -1 1 318.377 1.190 20 0 DDADMM CN1CCCN(C(=O)[C@]2(C(=O)[O-])C[C@@H]2c2ccccc2)CC1 ZINC000907768045 712624088 /nfs/dbraw/zinc/62/40/88/712624088.db2.gz FJCJTSTUMNXSLU-PBHICJAKSA-N -1 1 302.374 1.409 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CC(O)C2)c1cc2cc(F)ccc2o1 ZINC000907785779 712626083 /nfs/dbraw/zinc/62/60/83/712626083.db2.gz PNULBMHYLGQZKE-KSGYAGIASA-N -1 1 311.334 1.764 20 0 DDADMM COC(=O)C[C@@H]([N-]S(=O)(=O)c1ccns1)C(C)(C)C ZINC000907838077 712633590 /nfs/dbraw/zinc/63/35/90/712633590.db2.gz NMCSQPCGJZUSOX-MRVPVSSYSA-N -1 1 306.409 1.399 20 0 DDADMM CO[C@@H]1CCOC[C@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000907841405 712634249 /nfs/dbraw/zinc/63/42/49/712634249.db2.gz KNCGNILRGHPKAM-HTQZYQBOSA-N -1 1 311.306 1.299 20 0 DDADMM CCO[C@]12CCC[C@@]1([N-]S(=O)(=O)CC(C)(C)OC)CCO2 ZINC000908018173 712667195 /nfs/dbraw/zinc/66/71/95/712667195.db2.gz AVYAOIPPYUOZTL-KGLIPLIRSA-N -1 1 321.439 1.407 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2COC[C@H]2C2CC2)c(F)c1 ZINC000908405731 712762936 /nfs/dbraw/zinc/76/29/36/712762936.db2.gz KYRSDRQMKXAGHD-GWCFXTLKSA-N -1 1 317.357 1.977 20 0 DDADMM O=S(=O)([N-]CC(F)(F)C1(O)CCCCC1)c1c[nH]cn1 ZINC000908498848 712790268 /nfs/dbraw/zinc/79/02/68/712790268.db2.gz TZXIKDGFIGXRFK-UHFFFAOYSA-N -1 1 309.338 1.019 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(C(=O)c1n[nH]cc1C(F)(F)F)CC2 ZINC000908652817 712829618 /nfs/dbraw/zinc/82/96/18/712829618.db2.gz ADGSDDMCNBZAHM-ZETCQYMHSA-N -1 1 317.267 1.755 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(C(=O)c1c[nH]cc3ncnc1-3)CC2 ZINC000908656432 712830666 /nfs/dbraw/zinc/83/06/66/712830666.db2.gz YXBMUIFOGVWFGL-JTQLQIEISA-N -1 1 300.318 1.285 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H](CCF)C(=O)[O-])c1cccs1 ZINC000908745624 712846459 /nfs/dbraw/zinc/84/64/59/712846459.db2.gz DCVBLMWUDSCRKF-ZJUUUORDSA-N -1 1 317.386 1.463 20 0 DDADMM CC[C@](C)(NC(=O)NC[C@H](c1ccsc1)N(C)C)C(=O)[O-] ZINC000908814774 712860450 /nfs/dbraw/zinc/86/04/50/712860450.db2.gz UKJIDMOVLDBNLP-RISCZKNCSA-N -1 1 313.423 1.903 20 0 DDADMM CCc1ccccc1CNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908821784 712862132 /nfs/dbraw/zinc/86/21/32/712862132.db2.gz QRRVZHMNSQRLIE-HNNXBMFYSA-N -1 1 304.390 1.662 20 0 DDADMM CCC(CC)(NC(=O)NCCCCN1CCOCC1)C(=O)[O-] ZINC000908828931 712863398 /nfs/dbraw/zinc/86/33/98/712863398.db2.gz ZDVUOMOOBFZXKN-UHFFFAOYSA-N -1 1 315.414 1.041 20 0 DDADMM CN(C(=O)N[C@@H]1CCCN(C)C1)[C@H](C(=O)[O-])c1ccccc1 ZINC000908871429 712875195 /nfs/dbraw/zinc/87/51/95/712875195.db2.gz RLEKBKNLDNNZGJ-KGLIPLIRSA-N -1 1 305.378 1.548 20 0 DDADMM O=C([O-])CCN(C(=O)c1ccc(-c2nnc[nH]2)cc1)C1CC1 ZINC000908873570 712876140 /nfs/dbraw/zinc/87/61/40/712876140.db2.gz SIBHPIPALOHUOQ-UHFFFAOYSA-N -1 1 300.318 1.551 20 0 DDADMM CCN(CCC(N)=O)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000928826117 713487032 /nfs/dbraw/zinc/48/70/32/713487032.db2.gz XCROJYVKPXVHNX-UHFFFAOYSA-N -1 1 304.268 1.749 20 0 DDADMM CC[C@H](C)NC(=O)CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000917655599 713508307 /nfs/dbraw/zinc/50/83/07/713508307.db2.gz NWWCARZRRRDKPL-VIFPVBQESA-N -1 1 320.361 1.548 20 0 DDADMM C[C@@H](CN(C)[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc([O-])cc1 ZINC000929204016 713566467 /nfs/dbraw/zinc/56/64/67/713566467.db2.gz NEBAMXQKHNEUNF-AAEUAGOBSA-N -1 1 311.403 1.330 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@@H]1c2ccccc2OC[C@H]1F)OC ZINC000921317743 713732040 /nfs/dbraw/zinc/73/20/40/713732040.db2.gz HSIZWURMRAFGAA-MPKXVKKWSA-N -1 1 317.382 1.803 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)CCS1 ZINC000921717045 713852333 /nfs/dbraw/zinc/85/23/33/713852333.db2.gz ZTWSQAUHCYPTQS-HTQZYQBOSA-N -1 1 308.375 1.922 20 0 DDADMM C[C@H](C[S@@](C)=O)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000921925657 713910679 /nfs/dbraw/zinc/91/06/79/713910679.db2.gz RMAOCRFTEWCTHW-IJSAXESFSA-N -1 1 320.361 1.279 20 0 DDADMM CC(C)CC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000966084638 717938715 /nfs/dbraw/zinc/93/87/15/717938715.db2.gz PWECFODNMBLYAC-RYUDHWBXSA-N -1 1 305.378 1.410 20 0 DDADMM COC/C(C)=C\C[N@@H+]1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000931075061 713997154 /nfs/dbraw/zinc/99/71/54/713997154.db2.gz GLICNFYDTXJOPR-JUTKWBCOSA-N -1 1 308.344 1.968 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC1=CCCOC1 ZINC000922486840 714068400 /nfs/dbraw/zinc/06/84/00/714068400.db2.gz ACFZWBOZVBNQLV-UHFFFAOYSA-N -1 1 312.316 1.859 20 0 DDADMM CO[N-]C(=O)CNCc1csc(-c2ccc(OC)cc2)n1 ZINC000922829274 714161108 /nfs/dbraw/zinc/16/11/08/714161108.db2.gz BEBHZMVFXFERMY-UHFFFAOYSA-N -1 1 307.375 1.586 20 0 DDADMM COC(=O)[C@H]1CSCCN1C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000931758627 714178535 /nfs/dbraw/zinc/17/85/35/714178535.db2.gz NNYXYCICIFSNRO-BXUZGUMPSA-N -1 1 323.414 1.801 20 0 DDADMM C[C@H](O)[C@H]1CCCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932058137 714248646 /nfs/dbraw/zinc/24/86/46/714248646.db2.gz KVNQHQNTJKQOJG-SMDDNHRTSA-N -1 1 316.361 1.955 20 0 DDADMM COCC1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932060940 714249501 /nfs/dbraw/zinc/24/95/01/714249501.db2.gz LZFVXNWPVHGNDA-UHFFFAOYSA-N -1 1 302.334 1.688 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@@H]1C[C@H](C(=O)[O-])[C@H]2C[C@H]21)c1ccccc1 ZINC000923194519 714268266 /nfs/dbraw/zinc/26/82/66/714268266.db2.gz QJVALUXQMADANV-ZVDSWSACSA-N -1 1 316.401 1.905 20 0 DDADMM CCc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc(Cl)n1 ZINC000932282640 714299832 /nfs/dbraw/zinc/29/98/32/714299832.db2.gz LRYFTCJUGVCWAY-SNVBAGLBSA-N -1 1 322.756 1.024 20 0 DDADMM CC(C)(F)C(=O)N[C@@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943032734 717968541 /nfs/dbraw/zinc/96/85/41/717968541.db2.gz AJNBVKBBBVUWKK-LLVKDONJSA-N -1 1 323.368 1.646 20 0 DDADMM C[C@H]1CN(C(=O)CC(C)(C)C)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966157167 717985561 /nfs/dbraw/zinc/98/55/61/717985561.db2.gz WKIPOWNGPMVSHM-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@]1(CC(=O)Nc2ccc([O-])c(Cl)c2)CCS(=O)(=O)N1 ZINC000935020539 714958134 /nfs/dbraw/zinc/95/81/34/714958134.db2.gz FCPDORJJECESLT-GFCCVEGCSA-N -1 1 318.782 1.456 20 0 DDADMM CC(C)(CO)NC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC000935100273 714975318 /nfs/dbraw/zinc/97/53/18/714975318.db2.gz NLZPLWYHVFNXRZ-UHFFFAOYSA-N -1 1 303.314 1.965 20 0 DDADMM CCS(=O)(=O)C=C([O-])N=[S@](C)(=O)c1ccc(OC)cc1 ZINC000925988979 714982938 /nfs/dbraw/zinc/98/29/38/714982938.db2.gz NECNODHWAKNBBK-LJQANCHMSA-N -1 1 319.404 1.113 20 0 DDADMM CO[C@@H]1C[C@H]1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000935232911 715008900 /nfs/dbraw/zinc/00/89/00/715008900.db2.gz VUTOTVQRCZQJIT-LDYMZIIASA-N -1 1 302.327 1.171 20 0 DDADMM Cc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c2ncccc12 ZINC000935368090 715038582 /nfs/dbraw/zinc/03/85/82/715038582.db2.gz QBDRCPLNQOZFEZ-NSHDSACASA-N -1 1 310.361 1.932 20 0 DDADMM C[C@@H]1C[C@@H]2C[C@@H]2C[C@H]1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000935457616 715060497 /nfs/dbraw/zinc/06/04/97/715060497.db2.gz VLGXDMWOSSJJOK-LZQZFOIKSA-N -1 1 307.423 1.498 20 0 DDADMM O=C([O-])[C@H](c1ccccc1)N1CCN(C(=O)OCCCF)CC1 ZINC000926471546 715073018 /nfs/dbraw/zinc/07/30/18/715073018.db2.gz QIPYPTIXDNKHAI-AWEZNQCLSA-N -1 1 324.352 1.926 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])[C@@H]1CCCN(C2CC2)C1=O ZINC000935598281 715097656 /nfs/dbraw/zinc/09/76/56/715097656.db2.gz NXMZSUJNOMVIPK-VIFPVBQESA-N -1 1 309.753 1.780 20 0 DDADMM CC[C@H](SC)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937860111 715616368 /nfs/dbraw/zinc/61/63/68/715616368.db2.gz CWDMSJMNQNWROB-JQWIXIFHSA-N -1 1 323.418 1.260 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@H]2CC23CC3)C1 ZINC000956852178 715638794 /nfs/dbraw/zinc/63/87/94/715638794.db2.gz SSIVCNMXRWXJLW-BDJLRTHQSA-N -1 1 315.373 1.308 20 0 DDADMM CC(C)(C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000938474794 715862874 /nfs/dbraw/zinc/86/28/74/715862874.db2.gz XAKOWLIZECADNR-LBPRGKRZSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(C=C1CCC1)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955959916 716038467 /nfs/dbraw/zinc/03/84/67/716038467.db2.gz HQXSLPSLJDVRQB-ZDUSSCGKSA-N -1 1 315.373 1.618 20 0 DDADMM CC(C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)=C1CCC1 ZINC000940132313 716642624 /nfs/dbraw/zinc/64/26/24/716642624.db2.gz SETMMBHUQNGWPR-CYBMUJFWSA-N -1 1 315.373 1.618 20 0 DDADMM C[C@@H](CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000940136217 716644925 /nfs/dbraw/zinc/64/49/25/716644925.db2.gz VSQDNFQNXFOORO-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC[C@H]1CCCCN1C(=O)c1ncccc1[O-])C1CCC1 ZINC000964480120 717281491 /nfs/dbraw/zinc/28/14/91/717281491.db2.gz NDWYGVBGFWPWDD-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1)C(F)F ZINC000964660082 717362274 /nfs/dbraw/zinc/36/22/74/717362274.db2.gz XYTCEGXKIMIICY-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM C[C@H]1CN(Cc2ccon2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000941988873 717419318 /nfs/dbraw/zinc/41/93/18/717419318.db2.gz IWXKVHHORAZEDY-WCQYABFASA-N -1 1 316.361 1.416 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC000945571849 718497855 /nfs/dbraw/zinc/49/78/55/718497855.db2.gz RZENTJDDQVYYJQ-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C(=O)N1CCC[C@H](C)[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000945736769 718550296 /nfs/dbraw/zinc/55/02/96/718550296.db2.gz UDGTWZINGDTOPG-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM CCC1(C(=O)N2C[C@H](NC(=O)c3ncccc3[O-])[C@@H](C)C2)CC1 ZINC000966787679 718659948 /nfs/dbraw/zinc/65/99/48/718659948.db2.gz PLUSQAAVGHOWBB-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])CC[C@@H]2C1 ZINC000967343538 718850639 /nfs/dbraw/zinc/85/06/39/718850639.db2.gz VDUQSNWXPFAKMU-BETUJISGSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1Cc1ccon1 ZINC000947230333 719080265 /nfs/dbraw/zinc/08/02/65/719080265.db2.gz FIPMVTWFBFIOLS-NEPJUHHUSA-N -1 1 316.361 1.558 20 0 DDADMM C[C@H](N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1csnn1 ZINC000968481506 719611427 /nfs/dbraw/zinc/61/14/27/719611427.db2.gz IZPCMCFINUYSJU-VHSXEESVSA-N -1 1 319.390 1.204 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])C1CC=CC1 ZINC000949183204 719945232 /nfs/dbraw/zinc/94/52/32/719945232.db2.gz HQACRKGJKBWBRW-GFCCVEGCSA-N -1 1 301.346 1.084 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949535326 720145457 /nfs/dbraw/zinc/14/54/57/720145457.db2.gz RYEUPGFBWSGTAR-WDEREUQCSA-N -1 1 303.362 1.164 20 0 DDADMM CC(F)(F)CC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949537780 720146872 /nfs/dbraw/zinc/14/68/72/720146872.db2.gz BORRGCNVKAVZLI-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000952094797 721309670 /nfs/dbraw/zinc/30/96/70/721309670.db2.gz GOEYEWAXFYSVCG-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1ccc(C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)o1 ZINC000954124422 721723483 /nfs/dbraw/zinc/72/34/83/721723483.db2.gz ZEKMOYGXBCGEFG-UHFFFAOYSA-N -1 1 315.329 1.285 20 0 DDADMM Cc1cocc1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954127795 721725034 /nfs/dbraw/zinc/72/50/34/721725034.db2.gz GWLNZKZMLJMDTA-UHFFFAOYSA-N -1 1 315.329 1.285 20 0 DDADMM CCNC(=O)C(C)(C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692829551 738402781 /nfs/dbraw/zinc/40/27/81/738402781.db2.gz KGTXQGZQHKRCQK-UHFFFAOYSA-N -1 1 323.777 1.067 20 0 DDADMM O=C(NC[C@@H]1CCN1Cc1cccc(F)c1)c1ncccc1[O-] ZINC001038185010 732945405 /nfs/dbraw/zinc/94/54/05/732945405.db2.gz USDXIYLWMSNDAY-AWEZNQCLSA-N -1 1 315.348 1.931 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021231994 733079300 /nfs/dbraw/zinc/07/93/00/733079300.db2.gz QRINZPFBHQTJEK-FGNRJIRKSA-N -1 1 319.409 1.168 20 0 DDADMM Cc1nc(CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)co1 ZINC001010733063 733192346 /nfs/dbraw/zinc/19/23/46/733192346.db2.gz OZDUNMZWPGFWPI-NSHDSACASA-N -1 1 302.334 1.088 20 0 DDADMM O=C(NC[C@@H]1CCN1Cc1ccns1)c1ncccc1[O-] ZINC001038193356 733194583 /nfs/dbraw/zinc/19/45/83/733194583.db2.gz IIHDNVSXPHNWFU-JTQLQIEISA-N -1 1 304.375 1.248 20 0 DDADMM O=C(NC[C@H]1CCCN1Cc1nncs1)c1ncccc1[O-] ZINC001027843900 738726975 /nfs/dbraw/zinc/72/69/75/738726975.db2.gz ISRYBFANNJAPNH-SNVBAGLBSA-N -1 1 319.390 1.033 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@H](O)C1)c1ccc(Cl)nc1F ZINC000692878325 738735186 /nfs/dbraw/zinc/73/51/86/738735186.db2.gz FEMGZZBDKXEBCF-BDAKNGLRSA-N -1 1 322.789 1.704 20 0 DDADMM COc1ccc(CO)cc1[N-]S(=O)(=O)c1ccc(N)c(N)c1 ZINC001211526944 734535819 /nfs/dbraw/zinc/53/58/19/734535819.db2.gz DOPYVWQLIFZPMU-UHFFFAOYSA-N -1 1 323.374 1.153 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCCN(C/C=C\Cl)C2)c1[O-] ZINC001023307100 735146681 /nfs/dbraw/zinc/14/66/81/735146681.db2.gz RVJAZMJOGIGFGT-MZBZXASESA-N -1 1 312.801 1.618 20 0 DDADMM Cn1nnc(Br)c1C(=O)Nc1c([O-])cccc1F ZINC000695565955 737269087 /nfs/dbraw/zinc/26/90/87/737269087.db2.gz DNCJGDRIYBODQD-UHFFFAOYSA-N -1 1 315.102 1.675 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974057933 737352040 /nfs/dbraw/zinc/35/20/40/737352040.db2.gz TVDAOQDHJWNEPK-KXNHARMFSA-N -1 1 303.362 1.210 20 0 DDADMM O=C(NCC[NH2+]Cc1ccncc1Cl)c1ncccc1[O-] ZINC001126118911 738326985 /nfs/dbraw/zinc/32/69/85/738326985.db2.gz MDALJDCKBOAQNQ-UHFFFAOYSA-N -1 1 306.753 1.355 20 0 DDADMM CC[C@@](C)(C[N-]S(=O)(=O)c1ccc(Cl)nc1F)OC ZINC000692828042 738392540 /nfs/dbraw/zinc/39/25/40/738392540.db2.gz WDFOWLJMDZBRAB-NSHDSACASA-N -1 1 310.778 1.968 20 0 DDADMM C[N@H+](Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)CC2CCCC2)C1 ZINC001029632165 741159824 /nfs/dbraw/zinc/15/98/24/741159824.db2.gz PRCMXTGJHVQHEI-CYBMUJFWSA-N -1 1 321.425 1.371 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)CC2CCCC2)C1 ZINC001029632165 741159828 /nfs/dbraw/zinc/15/98/28/741159828.db2.gz PRCMXTGJHVQHEI-CYBMUJFWSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@@H](CC(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001029911570 741576785 /nfs/dbraw/zinc/57/67/85/741576785.db2.gz OCFAHAWKXZJGFK-RYUDHWBXSA-N -1 1 321.425 1.227 20 0 DDADMM C[C@]1(CO)CCN(Cc2ccc(Cl)cc2C(=O)[O-])C[C@@H]1O ZINC001142646938 742480198 /nfs/dbraw/zinc/48/01/98/742480198.db2.gz JSTSWWDMDBDLLD-DZGCQCFKSA-N -1 1 313.781 1.603 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001060116849 742687002 /nfs/dbraw/zinc/68/70/02/742687002.db2.gz NNZYQASMBIUNFZ-NEPJUHHUSA-N -1 1 323.368 1.504 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)[C@@H](CO)c1ccccc1 ZINC001181924547 743394240 /nfs/dbraw/zinc/39/42/40/743394240.db2.gz RMANJCDTPKOEQZ-NSHDSACASA-N -1 1 303.318 1.301 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)C1=Cc2ccccc2C1 ZINC001183977421 743946152 /nfs/dbraw/zinc/94/61/52/743946152.db2.gz CCXHAZJQQHAKHD-UHFFFAOYSA-N -1 1 309.285 1.294 20 0 DDADMM Cc1cnc([C@H](C)N2CC(NC(=O)c3ncccc3[O-])C2)o1 ZINC001030241272 743976938 /nfs/dbraw/zinc/97/69/38/743976938.db2.gz BWPUEPCCJAZNMK-JTQLQIEISA-N -1 1 302.334 1.259 20 0 DDADMM O=c1cc[nH]cc1[N-]S(=O)(=O)Cc1cc(F)cc(F)c1 ZINC001185098860 744153904 /nfs/dbraw/zinc/15/39/04/744153904.db2.gz OPSDYGXGTNYHNK-UHFFFAOYSA-N -1 1 300.286 1.595 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc([C@@H]4C[C@@H]4C)nc3)c1-2 ZINC001185115286 744163246 /nfs/dbraw/zinc/16/32/46/744163246.db2.gz LCXMOKZXHKASPM-OIBJUYFYSA-N -1 1 309.333 1.201 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc([C@H]3C[C@@H]3C)nc2)n1 ZINC001185119365 744164579 /nfs/dbraw/zinc/16/45/79/744164579.db2.gz ZLVPWAPSBJBJCG-WPRPVWTQSA-N -1 1 315.333 1.752 20 0 DDADMM O=C(CC1CCCCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998479972 751897672 /nfs/dbraw/zinc/89/76/72/751897672.db2.gz PYKUXVHBZFKXSB-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM Cc1cccn2c(CNC(=O)c3ccc([O-])cc3F)nnc12 ZINC001186311571 744380401 /nfs/dbraw/zinc/38/04/01/744380401.db2.gz YBRXUDKUYIHIHK-UHFFFAOYSA-N -1 1 300.293 1.812 20 0 DDADMM Nc1ccc(-c2nc(=O)on2C(=O)c2ccc([O-])cc2F)cc1 ZINC001186329978 744387202 /nfs/dbraw/zinc/38/72/02/744387202.db2.gz YYOJGDVPGOUXMS-UHFFFAOYSA-N -1 1 315.260 1.619 20 0 DDADMM COc1ncnc(OC)c1CNC(=O)c1ccc([O-])cc1F ZINC001186337527 744388566 /nfs/dbraw/zinc/38/85/66/744388566.db2.gz LIVGDIOPNPEKBE-UHFFFAOYSA-N -1 1 307.281 1.269 20 0 DDADMM O=S(=O)([N-]C1CCN(c2cccnc2)CC1)c1nccs1 ZINC001187918207 744629198 /nfs/dbraw/zinc/62/91/98/744629198.db2.gz WPFQPYLHNJCHRK-UHFFFAOYSA-N -1 1 324.431 1.485 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ccc(-c2nnco2)cc1 ZINC001188392493 744697968 /nfs/dbraw/zinc/69/79/68/744697968.db2.gz IFHVZKXBZDQKHP-UHFFFAOYSA-N -1 1 311.319 1.041 20 0 DDADMM O=C(NCC1(c2ccncc2)CCOCC1)c1ccncc1[O-] ZINC001188644850 744735087 /nfs/dbraw/zinc/73/50/87/744735087.db2.gz SZTFOKREDPLAHZ-UHFFFAOYSA-N -1 1 313.357 1.660 20 0 DDADMM CSc1ncc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c(C)n1 ZINC001190521099 745238789 /nfs/dbraw/zinc/23/87/89/745238789.db2.gz DWZBXAUIDOIYSH-UHFFFAOYSA-N -1 1 317.334 1.131 20 0 DDADMM C[C@H]1CC[C@@H](CO)N1C(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190619136 745260537 /nfs/dbraw/zinc/26/05/37/745260537.db2.gz XOZGDWBURILEFN-QWRGUYRKSA-N -1 1 314.345 1.230 20 0 DDADMM O=c1oc2cc([O-])ccc2cc1NS(=O)(=O)c1ccncc1 ZINC001190860571 745358742 /nfs/dbraw/zinc/35/87/42/745358742.db2.gz SPQCELHCCWEEKC-UHFFFAOYSA-N -1 1 318.310 1.694 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)c2ccncc2)c1C ZINC001190887586 745367532 /nfs/dbraw/zinc/36/75/32/745367532.db2.gz NUGAEBKRNNWVOI-UHFFFAOYSA-N -1 1 306.343 1.977 20 0 DDADMM COc1cc(C(=O)N2CCc3nccn3CC2)cc(Cl)c1[O-] ZINC001191143360 745443803 /nfs/dbraw/zinc/44/38/03/745443803.db2.gz JACGVCAVKPPSRW-UHFFFAOYSA-N -1 1 321.764 1.949 20 0 DDADMM COc1ccc(CO)c(NC(=O)c2cnc(SC)[n-]c2=O)c1 ZINC001191436381 745511728 /nfs/dbraw/zinc/51/17/28/745511728.db2.gz DVVCIHRFBOTLKO-UHFFFAOYSA-N -1 1 321.358 1.657 20 0 DDADMM COC(=O)CNC(=O)c1ccccc1C(=O)c1ccc([O-])cc1 ZINC001191510614 745530932 /nfs/dbraw/zinc/53/09/32/745530932.db2.gz RXNYSROEMKPTFW-UHFFFAOYSA-N -1 1 313.309 1.526 20 0 DDADMM C[C@@H](CCNc1cnc(F)cn1)NC(=O)c1ncccc1[O-] ZINC001106296292 745563548 /nfs/dbraw/zinc/56/35/48/745563548.db2.gz NAWFUOYNFCFYKB-VIFPVBQESA-N -1 1 305.313 1.337 20 0 DDADMM C[C@@]1(O)CC[C@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)CC1 ZINC001192041052 745680847 /nfs/dbraw/zinc/68/08/47/745680847.db2.gz RNQRQSVWZYDDLQ-KNVOCYPGSA-N -1 1 310.630 1.927 20 0 DDADMM O=S(=O)([N-]Cc1ccc(F)nc1)C(Cl)(Cl)Cl ZINC001192053669 745686423 /nfs/dbraw/zinc/68/64/23/745686423.db2.gz AKYLLKYUZKEQGA-UHFFFAOYSA-N -1 1 307.561 1.968 20 0 DDADMM O=S(=O)([N-]CCOc1ccncc1)C(Cl)(Cl)Cl ZINC001192065190 745689899 /nfs/dbraw/zinc/68/98/99/745689899.db2.gz MUWJQZWZJHXKTP-UHFFFAOYSA-N -1 1 319.597 1.708 20 0 DDADMM O=Nc1c(=O)[nH]c(=O)[nH]c1NC(=O)c1c(F)ccc([O-])c1F ZINC001192525513 745820627 /nfs/dbraw/zinc/82/06/27/745820627.db2.gz WGGOCAFGZNWQKT-UHFFFAOYSA-N -1 1 312.188 1.522 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cc(C)nn1C(C)C ZINC001193013537 745960415 /nfs/dbraw/zinc/96/04/15/745960415.db2.gz QNJOYKWBEBTFTA-UHFFFAOYSA-N -1 1 317.411 1.856 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1ccc(-c2nnco2)cc1 ZINC001193264430 746036428 /nfs/dbraw/zinc/03/64/28/746036428.db2.gz KLXBTRIPLLTKIW-GFCCVEGCSA-N -1 1 309.347 1.657 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]C1(c2ccccc2F)COC1 ZINC001193289711 746047782 /nfs/dbraw/zinc/04/77/82/746047782.db2.gz OHSLVMKPMZUVGV-LLVKDONJSA-N -1 1 315.366 1.150 20 0 DDADMM COc1ccc(CO)c(NC(=O)c2nccc(OC)c2[O-])c1 ZINC001193532306 746122401 /nfs/dbraw/zinc/12/24/01/746122401.db2.gz UZZYYWKEQUHHQG-UHFFFAOYSA-N -1 1 304.302 1.549 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1ccc(F)nc1F ZINC001194679294 746435640 /nfs/dbraw/zinc/43/56/40/746435640.db2.gz NAKOLXAVMJKCCI-UHFFFAOYSA-N -1 1 301.274 1.564 20 0 DDADMM CN(C)S(=O)(=O)c1ccc([O-])c(NC(=O)OCCF)c1 ZINC001194971489 746501360 /nfs/dbraw/zinc/50/13/60/746501360.db2.gz IIRHMYCPGZAXKV-UHFFFAOYSA-N -1 1 306.315 1.161 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC(NC(=O)CC(C)C)CC2)n1 ZINC001195323052 746564697 /nfs/dbraw/zinc/56/46/97/746564697.db2.gz GXVLFWLMWAPCPU-UHFFFAOYSA-N -1 1 319.405 1.863 20 0 DDADMM CSc1ncc2c(n1)CN(C(=O)c1nc(C)ccc1[O-])CC2 ZINC001195324167 746565416 /nfs/dbraw/zinc/56/54/16/746565416.db2.gz NPQYKMNAECCFHO-UHFFFAOYSA-N -1 1 316.386 1.806 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC[C@@H](Oc3ncccn3)C2)n1 ZINC001195325309 746565856 /nfs/dbraw/zinc/56/58/56/746565856.db2.gz AAOBNUJWJZAWAG-GFCCVEGCSA-N -1 1 314.345 1.569 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1C[C@H](CO)[C@@H](C(F)(F)F)C1 ZINC001195299644 746570870 /nfs/dbraw/zinc/57/08/70/746570870.db2.gz QKIOCJWEFLMTFS-MUWHJKNJSA-N -1 1 324.686 1.683 20 0 DDADMM COC(=O)[C@@]1(C)CCCN(C(=O)c2cc([O-])cnc2Cl)C1 ZINC001195305367 746572001 /nfs/dbraw/zinc/57/20/01/746572001.db2.gz ILFMOJLNVXKOIA-AWEZNQCLSA-N -1 1 312.753 1.856 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(CC(=O)N(C)C)cc1 ZINC001195484601 746622574 /nfs/dbraw/zinc/62/25/74/746622574.db2.gz PDCBLFWCNYKWFK-UHFFFAOYSA-N -1 1 309.391 1.760 20 0 DDADMM C[C@@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000994506251 746699544 /nfs/dbraw/zinc/69/95/44/746699544.db2.gz KTTVXIVEYBJFIN-SNVBAGLBSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000994506250 746699602 /nfs/dbraw/zinc/69/96/02/746699602.db2.gz KTTVXIVEYBJFIN-JTQLQIEISA-N -1 1 303.362 1.164 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)c2ccc(O)cc2)cc1O ZINC001195794990 746707537 /nfs/dbraw/zinc/70/75/37/746707537.db2.gz SKCYYKDPUMMRMW-UHFFFAOYSA-N -1 1 323.326 1.685 20 0 DDADMM O=C1NCc2cc([N-]S(=O)(=O)c3ccc(O)cc3)ccc21 ZINC001195797098 746708407 /nfs/dbraw/zinc/70/84/07/746708407.db2.gz WTRZQWPXVFLZFU-UHFFFAOYSA-N -1 1 304.327 1.436 20 0 DDADMM COc1cnc(C(=O)[N-]c2cccc3c2C(=O)N=NC3=O)o1 ZINC001195801792 746709177 /nfs/dbraw/zinc/70/91/77/746709177.db2.gz WYLSRIYZNYWGGM-UHFFFAOYSA-N -1 1 300.230 1.682 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3ccc(Cl)cn3)CC2)n1 ZINC001196265935 746811725 /nfs/dbraw/zinc/81/17/25/746811725.db2.gz FNWQSHILHJRGTQ-UHFFFAOYSA-N -1 1 314.754 1.394 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cn(C(C)C)cn1 ZINC001196925525 746999899 /nfs/dbraw/zinc/99/98/99/746999899.db2.gz RILISJJDSPKPBO-UHFFFAOYSA-N -1 1 303.384 1.549 20 0 DDADMM COc1cc(O)ccc1C(=O)NCCCC[P@](=O)([O-])O ZINC001197181513 747078697 /nfs/dbraw/zinc/07/86/97/747078697.db2.gz QRBHMVLTGISHCH-UHFFFAOYSA-N -1 1 303.251 1.089 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CCS(=O)(=O)C2CCCCC2)C1 ZINC000699995696 747110717 /nfs/dbraw/zinc/11/07/17/747110717.db2.gz BAJHOVPWMIIVFC-AWEZNQCLSA-N -1 1 303.424 1.531 20 0 DDADMM O=C(C1CCCCCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998720907 752163295 /nfs/dbraw/zinc/16/32/95/752163295.db2.gz HLSCTCIJHWQWAS-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM NC(=O)c1ccc(F)c([N-]S(=O)(=O)Cc2ccccn2)c1 ZINC001197822036 747289555 /nfs/dbraw/zinc/28/95/55/747289555.db2.gz RUWWIPNKNKWUCS-UHFFFAOYSA-N -1 1 309.322 1.262 20 0 DDADMM Cc1ccnc(S(=O)(=O)NCC(=O)c2ccc([O-])cc2)c1 ZINC001198035756 747357139 /nfs/dbraw/zinc/35/71/39/747357139.db2.gz HCEZSIAFYZVDDQ-UHFFFAOYSA-N -1 1 306.343 1.257 20 0 DDADMM CCN(c1cnc(F)cn1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001089636959 747523827 /nfs/dbraw/zinc/52/38/27/747523827.db2.gz IBLTYZNWWNHCBK-SNVBAGLBSA-N -1 1 319.340 1.361 20 0 DDADMM Cc1cc(C(=O)NCCCC[P@](=O)([O-])O)n(C(C)C)n1 ZINC001199225831 747750175 /nfs/dbraw/zinc/75/01/75/747750175.db2.gz VBBXGPHMWDCWGO-UHFFFAOYSA-N -1 1 303.299 1.460 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc(OC(F)F)n3)c1-2 ZINC001199300842 747780949 /nfs/dbraw/zinc/78/09/49/747780949.db2.gz XKTYHILYECPYPF-UHFFFAOYSA-N -1 1 320.259 1.284 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnccc3OC(F)F)c1-2 ZINC001199378082 747821207 /nfs/dbraw/zinc/82/12/07/747821207.db2.gz GPYVHEANQZPKKO-UHFFFAOYSA-N -1 1 320.259 1.284 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]1F)c1cccc(F)c1Br ZINC001201788039 748610436 /nfs/dbraw/zinc/61/04/36/748610436.db2.gz QSYDDPQQYJIXGX-RNFRBKRXSA-N -1 1 312.135 1.977 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)C1CCC1 ZINC001004651711 748623083 /nfs/dbraw/zinc/62/30/83/748623083.db2.gz DFAATZNUXJDBNU-JQWIXIFHSA-N -1 1 307.398 1.170 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1ccco1 ZINC001004656627 748627234 /nfs/dbraw/zinc/62/72/34/748627234.db2.gz KCEPUAKEXQLWNC-MNOVXSKESA-N -1 1 319.365 1.276 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1ccoc1 ZINC001004728430 748685724 /nfs/dbraw/zinc/68/57/24/748685724.db2.gz TWSDVUCRWLOSMI-CMPLNLGQSA-N -1 1 319.365 1.276 20 0 DDADMM CSCC(=O)N1CC[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001004746638 748712610 /nfs/dbraw/zinc/71/26/10/748712610.db2.gz BPJWDWDXGMTNCK-WDEREUQCSA-N -1 1 323.418 1.117 20 0 DDADMM CC(C)=C(F)C(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202752273 749639630 /nfs/dbraw/zinc/63/96/30/749639630.db2.gz BIVXTWAMDBAORF-VHSXEESVSA-N -1 1 323.372 1.291 20 0 DDADMM CCC1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC001000591672 761972906 /nfs/dbraw/zinc/97/29/06/761972906.db2.gz QMCMEYNAGILGCU-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM O=C(NC[C@@H]1CCCCCN1C(=O)c1ncccc1[O-])C1CC1 ZINC001005308766 749786495 /nfs/dbraw/zinc/78/64/95/749786495.db2.gz JWROZBQHDMUTIE-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM OCc1ccc([N-]c2nonc2-c2cn3ccncc3n2)cn1 ZINC001212372430 751156066 /nfs/dbraw/zinc/15/60/66/751156066.db2.gz JSCOBQAFFAUZPT-UHFFFAOYSA-N -1 1 309.289 1.410 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)c1ccccc1 ZINC001000707384 762115821 /nfs/dbraw/zinc/11/58/21/762115821.db2.gz JPQHFBRLZQRRRO-UHFFFAOYSA-N -1 1 311.341 1.289 20 0 DDADMM Cc1coc(C)c1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999063377 752520561 /nfs/dbraw/zinc/52/05/61/752520561.db2.gz CUWWBTNFJIQJRG-NSHDSACASA-N -1 1 319.365 1.115 20 0 DDADMM CC[C@H](C)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008071906 752533421 /nfs/dbraw/zinc/53/34/21/752533421.db2.gz SVZOHAPYPLFCFP-WCQYABFASA-N -1 1 319.405 1.800 20 0 DDADMM C[C@]1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC=CCC1 ZINC000999239420 752693723 /nfs/dbraw/zinc/69/37/23/752693723.db2.gz JPENENMXEDUEHZ-LRDDRELGSA-N -1 1 319.409 1.337 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2CCC2)C1 ZINC001005953623 753414981 /nfs/dbraw/zinc/41/49/81/753414981.db2.gz UKGKYUMKMYVQQZ-JTQLQIEISA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005956037 753416589 /nfs/dbraw/zinc/41/65/89/753416589.db2.gz HODCJLDGJISADF-GXFFZTMASA-N -1 1 323.368 1.358 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2(C)CCC2)C1 ZINC001005960390 753432316 /nfs/dbraw/zinc/43/23/16/753432316.db2.gz VDXSWMMGIAMWBT-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM CS[C@@H](C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839339 753760148 /nfs/dbraw/zinc/76/01/48/753760148.db2.gz MXOFXKWJGOGJFP-JTQLQIEISA-N -1 1 323.418 1.307 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@@H]2CC[C@H](F)C2)CC1 ZINC001011035280 754318200 /nfs/dbraw/zinc/31/82/00/754318200.db2.gz BPKDBZQWYKSUKE-NEPJUHHUSA-N -1 1 321.352 1.210 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCC1CC1 ZINC001011581306 754614315 /nfs/dbraw/zinc/61/43/15/754614315.db2.gz PNPSZBDMEIQTSB-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C(C)(F)F)CCN1C(=O)c1ncccc1[O-] ZINC001011673561 754669946 /nfs/dbraw/zinc/66/99/46/754669946.db2.gz MZFCBVTYKXELDV-IUCAKERBSA-N -1 1 313.304 1.162 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccoc2)CN1C(=O)c1ncccc1[O-] ZINC001012504432 755112673 /nfs/dbraw/zinc/11/26/73/755112673.db2.gz HVTNJFLCPOCADG-PWSUYJOCSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C2CC=CC2)CN1C(=O)c1ncccc1[O-] ZINC001012509274 755114682 /nfs/dbraw/zinc/11/46/82/755114682.db2.gz HNZYLGLGLUMRJQ-WCQYABFASA-N -1 1 315.373 1.473 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cc[nH]c1 ZINC001012813427 755240929 /nfs/dbraw/zinc/24/09/29/755240929.db2.gz YPDLDQMXIMLXIT-CMPLNLGQSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)CC1CC1 ZINC001014894313 756004612 /nfs/dbraw/zinc/00/46/12/756004612.db2.gz OSGXBNWUKWSBLE-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C2(C)CC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014992912 756058609 /nfs/dbraw/zinc/05/86/09/756058609.db2.gz IQMSWEJGCDMJIE-NEPJUHHUSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001001013484 762467889 /nfs/dbraw/zinc/46/78/89/762467889.db2.gz KHWCHRLQLJNEEB-JQWIXIFHSA-N -1 1 307.398 1.027 20 0 DDADMM CC(C)c1nccc(N[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097765635 757511899 /nfs/dbraw/zinc/51/18/99/757511899.db2.gz UVPWYNNCBNTTQV-LLVKDONJSA-N -1 1 315.377 1.931 20 0 DDADMM O=c1ccc(NCc2ncccc2[O-])nn1Cc1ccncc1 ZINC001169732663 762640500 /nfs/dbraw/zinc/64/05/00/762640500.db2.gz OPHSWCKWLVAEIK-UHFFFAOYSA-N -1 1 309.329 1.399 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(c2cc(F)ncn2)CC1 ZINC001057127421 762695125 /nfs/dbraw/zinc/69/51/25/762695125.db2.gz SRWJJOUSNOSAFP-UHFFFAOYSA-N -1 1 317.324 1.069 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962782 759454308 /nfs/dbraw/zinc/45/43/08/759454308.db2.gz ZBBQAPWXMXFLRQ-LLVKDONJSA-N -1 1 321.352 1.210 20 0 DDADMM C[C@H]1CN(C(=O)C2CCCCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054481102 759704981 /nfs/dbraw/zinc/70/49/81/759704981.db2.gz UQKNEQOTOAQDGF-AAEUAGOBSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C)C1CC1 ZINC001020155261 760630957 /nfs/dbraw/zinc/63/09/57/760630957.db2.gz BBTHYXAXWIAWLH-MDZLAQPJSA-N -1 1 317.389 1.553 20 0 DDADMM O=C(N[C@H]1CC[C@@H](CNc2ncccn2)C1)c1ncccc1[O-] ZINC001069461142 768028484 /nfs/dbraw/zinc/02/84/84/768028484.db2.gz JMELUGYKJZVPTE-NEPJUHHUSA-N -1 1 313.361 1.588 20 0 DDADMM O=C(NCC1CN(C(=O)c2cccs2)C1)c1ncccc1[O-] ZINC001001539316 762944887 /nfs/dbraw/zinc/94/48/87/762944887.db2.gz WTKNMEMOXJWPHH-UHFFFAOYSA-N -1 1 317.370 1.351 20 0 DDADMM CC[C@@]1(C)C[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541801 762945937 /nfs/dbraw/zinc/94/59/37/762945937.db2.gz PBIIGTYFVNBQCI-PXAZEXFGSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H](NC(=O)C1(C)CC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532087 763719315 /nfs/dbraw/zinc/71/93/15/763719315.db2.gz WZQDLZIHRXEXNM-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](NC(=O)C1(C)CC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532090 763719768 /nfs/dbraw/zinc/71/97/68/763719768.db2.gz WZQDLZIHRXEXNM-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C(C)=C\c3cccnc3)nc2n1 ZINC001131556071 768187066 /nfs/dbraw/zinc/18/70/66/768187066.db2.gz KUWHCGGPAJZEMZ-YFHOEESVSA-N -1 1 324.344 1.417 20 0 DDADMM CO[C@H](CN1CC(N(C)C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001042646105 764308360 /nfs/dbraw/zinc/30/83/60/764308360.db2.gz JTUYLNFHHQXEHG-OAHLLOKOSA-N -1 1 319.405 1.359 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1cc(F)ncn1 ZINC001112982804 765097453 /nfs/dbraw/zinc/09/74/53/765097453.db2.gz BWCJDMMVRHJVLC-IUCAKERBSA-N -1 1 305.313 1.335 20 0 DDADMM O=C(NCC1CC(Nc2cccc(F)n2)C1)c1ncccc1[O-] ZINC001051910741 765269852 /nfs/dbraw/zinc/26/98/52/765269852.db2.gz CYDZSEGHSXMLPT-UHFFFAOYSA-N -1 1 316.336 1.942 20 0 DDADMM CN(C(=O)c1ccc2oc(=O)nc-2[n-]1)C1CN(CCC2CC2)C1 ZINC001044182340 765371773 /nfs/dbraw/zinc/37/17/73/765371773.db2.gz RXDYYPKIPFSJOE-UHFFFAOYSA-N -1 1 316.361 1.485 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)C1(C)CC1 ZINC001052421925 765810438 /nfs/dbraw/zinc/81/04/38/765810438.db2.gz OUGIBSUEJGKUGT-VXGBXAGGSA-N -1 1 317.389 1.412 20 0 DDADMM Cc1ccccc1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044905149 765898643 /nfs/dbraw/zinc/89/86/43/765898643.db2.gz GXYMDDOBTQLHLJ-LBPRGKRZSA-N -1 1 315.377 1.213 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)oc1C ZINC001044995717 766009724 /nfs/dbraw/zinc/00/97/24/766009724.db2.gz HUSNQZPOROWHAE-NSHDSACASA-N -1 1 319.365 1.115 20 0 DDADMM CNc1cc(Cl)cnc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170216935 766175019 /nfs/dbraw/zinc/17/50/19/766175019.db2.gz HAHNOTRRQJQWPB-UHFFFAOYSA-N -1 1 307.701 1.549 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccnc(C4CCCC4)n3)c2[nH]1 ZINC001170218361 766177980 /nfs/dbraw/zinc/17/79/80/766177980.db2.gz WFTXGSPMNZOJMZ-UHFFFAOYSA-N -1 1 313.321 1.907 20 0 DDADMM CCOC[C@H]1CN(C(=O)c2cc(C)cc(C=O)c2[O-])CCO1 ZINC001170668889 769586495 /nfs/dbraw/zinc/58/64/95/769586495.db2.gz LUUVHQJNVRAUMV-CYBMUJFWSA-N -1 1 307.346 1.391 20 0 DDADMM CC[C@H](CC1CCCC1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134688653 771123257 /nfs/dbraw/zinc/12/32/57/771123257.db2.gz UTCJUMCBKSOHKJ-GFCCVEGCSA-N -1 1 309.414 1.323 20 0 DDADMM COC(=O)[C@@H]1CN(Cc2c(F)cc([O-])cc2F)CCCO1 ZINC001144562068 772565111 /nfs/dbraw/zinc/56/51/11/772565111.db2.gz XCKQTWKKHYUCJU-ZDUSSCGKSA-N -1 1 301.289 1.434 20 0 DDADMM CSc1nc(NC(=O)[C@@H](O)c2ccc(O)cc2)cc(=O)[n-]1 ZINC001144599023 772577534 /nfs/dbraw/zinc/57/75/34/772577534.db2.gz ALMAEDOKXGRUON-NSHDSACASA-N -1 1 307.331 1.282 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@@H](O)c1ccc(O)cc1 ZINC001144599071 772577710 /nfs/dbraw/zinc/57/77/10/772577710.db2.gz BPSJZAAIJLROQZ-ZETCQYMHSA-N -1 1 302.212 1.201 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=Cc2cccnc2)[n-]1 ZINC001146855600 772965557 /nfs/dbraw/zinc/96/55/57/772965557.db2.gz LCEAIYHOCHIAJL-JYESYGNLSA-N -1 1 315.333 1.267 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=Cc2cccnc2)n[n-]1 ZINC001146855600 772965560 /nfs/dbraw/zinc/96/55/60/772965560.db2.gz LCEAIYHOCHIAJL-JYESYGNLSA-N -1 1 315.333 1.267 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C=Cc2cccnc2)n1 ZINC001146855600 772965564 /nfs/dbraw/zinc/96/55/64/772965564.db2.gz LCEAIYHOCHIAJL-JYESYGNLSA-N -1 1 315.333 1.267 20 0 DDADMM COC(=O)CN(C(=O)C(=O)c1ccc([O-])cc1)c1ccccc1 ZINC001147318918 773117540 /nfs/dbraw/zinc/11/75/40/773117540.db2.gz ZUUFDUSIFUYPIK-UHFFFAOYSA-N -1 1 313.309 1.781 20 0 DDADMM CSc1nccc(NC(=O)c2c(CO)cnc(C)c2[O-])n1 ZINC001147834416 773261123 /nfs/dbraw/zinc/26/11/23/773261123.db2.gz TVCDWEWZSRAHMW-UHFFFAOYSA-N -1 1 306.347 1.352 20 0 DDADMM CC(C)CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073663534 773278665 /nfs/dbraw/zinc/27/86/65/773278665.db2.gz QGJKNBLSFGIXHZ-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N[C@H]3CCOC[C@@H]3C)cc2)[n-]1 ZINC001148339476 773441649 /nfs/dbraw/zinc/44/16/49/773441649.db2.gz DOASUZZINYTOEK-NHYWBVRUSA-N -1 1 315.373 1.954 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C[C@@H]1C=CCCC1 ZINC001074707698 774076565 /nfs/dbraw/zinc/07/65/65/774076565.db2.gz MTLMLPVGZSGJGG-XQQFMLRXSA-N -1 1 319.409 1.336 20 0 DDADMM Cc1ccnc(N[C@@H](C)CCNC(=O)c2ncccc2[O-])n1 ZINC001099104987 774835289 /nfs/dbraw/zinc/83/52/89/774835289.db2.gz NTRRJKQBEHKNAU-JTQLQIEISA-N -1 1 301.350 1.506 20 0 DDADMM NCc1nccnc1[N-]c1nc2cc(Br)ccn2n1 ZINC001171449462 776122328 /nfs/dbraw/zinc/12/23/28/776122328.db2.gz LFCNRKYWECIVPX-UHFFFAOYSA-N -1 1 320.154 1.484 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1C ZINC001174834231 777594445 /nfs/dbraw/zinc/59/44/45/777594445.db2.gz DQIWHBHZLLGDCG-UHFFFAOYSA-N -1 1 307.375 1.969 20 0 DDADMM CCOC(=O)c1nonc1[N-]c1ccc(C(=O)NC2CC2)cc1 ZINC001175602232 777838336 /nfs/dbraw/zinc/83/83/36/777838336.db2.gz JUZMZMRTUBKAOW-UHFFFAOYSA-N -1 1 316.317 1.882 20 0 DDADMM Nc1ccc(F)cc1S(=O)(=O)Nc1cncc(C(=O)[O-])c1 ZINC001175842556 777923665 /nfs/dbraw/zinc/92/36/65/777923665.db2.gz MUQYTGOJDGKALB-UHFFFAOYSA-N -1 1 311.294 1.302 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1ccc2cnccc2c1 ZINC001176602460 778201438 /nfs/dbraw/zinc/20/14/38/778201438.db2.gz ICFFZQFITVKFIE-UHFFFAOYSA-N -1 1 324.388 1.975 20 0 DDADMM O=C(Cc1nn[n-]n1)N1CCC(c2[nH]nc3ccccc32)CC1 ZINC001176842313 778271321 /nfs/dbraw/zinc/27/13/21/778271321.db2.gz GSGHHUYYZVADTO-UHFFFAOYSA-N -1 1 311.349 1.025 20 0 DDADMM O=C(Cc1nn[n-]n1)NCc1cccc(-c2ccc(F)cc2)c1 ZINC001176847146 778301193 /nfs/dbraw/zinc/30/11/93/778301193.db2.gz KEBYJJGVMRPPMT-UHFFFAOYSA-N -1 1 311.320 1.865 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)C=C1CCC1 ZINC001102850744 778448520 /nfs/dbraw/zinc/44/85/20/778448520.db2.gz SLYZTPRQINVXCR-DGCLKSJQSA-N -1 1 319.409 1.195 20 0 DDADMM CN(C)c1nc(NC(=O)C=Cc2nccs2)c(N=O)c(=O)[n-]1 ZINC001177518568 778632374 /nfs/dbraw/zinc/63/23/74/778632374.db2.gz FCKFVYXXDNAXEL-ONEGZZNKSA-N -1 1 320.334 1.755 20 0 DDADMM CSc1nc(NC(=O)C(C)(C)c2cnccn2)cc(=O)[n-]1 ZINC001178191564 778933887 /nfs/dbraw/zinc/93/38/87/778933887.db2.gz QDIHATQFQNICAO-UHFFFAOYSA-N -1 1 305.363 1.610 20 0 DDADMM CC[C@@H](C)CC(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104123233 779320272 /nfs/dbraw/zinc/32/02/72/779320272.db2.gz KCRXRMBBQOBZHA-JHJVBQTASA-N -1 1 323.441 1.521 20 0 DDADMM C[C@@H](OCCCNCc1cn(CC(=O)[O-])nn1)c1ccccc1 ZINC001119602283 781532923 /nfs/dbraw/zinc/53/29/23/781532923.db2.gz LOROJNSYDOOWNM-CYBMUJFWSA-N -1 1 318.377 1.620 20 0 DDADMM CN(CCNC(=O)[C@@H]1CC[C@@H](F)C1)C(=O)c1ncccc1[O-] ZINC001408669925 838408601 /nfs/dbraw/zinc/40/86/01/838408601.db2.gz WEQGIVAJZZMNKW-GHMZBOCLSA-N -1 1 309.341 1.114 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cn2cccc(F)c2n1 ZINC001154548392 861200452 /nfs/dbraw/zinc/20/04/52/861200452.db2.gz IVBLPMFXEJYSCH-UHFFFAOYSA-N -1 1 313.252 1.098 20 0 DDADMM C[C@]1(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)C=CCC1 ZINC001326337744 861290868 /nfs/dbraw/zinc/29/08/68/861290868.db2.gz LTYXKNYDSAXMDB-WBMJQRKESA-N -1 1 319.409 1.195 20 0 DDADMM O=C(C(=O)N1CCc2cc(Cl)nnc2C1)c1ccc([O-])cc1 ZINC001143221400 861421876 /nfs/dbraw/zinc/42/18/76/861421876.db2.gz XKOMWSKRAPDKKH-UHFFFAOYSA-N -1 1 317.732 1.603 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CCC1(C)CC1 ZINC001409261346 844726306 /nfs/dbraw/zinc/72/63/06/844726306.db2.gz IRVISLPBVHRWMP-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM COCC(C)(C)N1CCN(C(=O)CCCCC(=O)[O-])CC1 ZINC001326632343 861493500 /nfs/dbraw/zinc/49/35/00/861493500.db2.gz KATKOHZKVJRNKX-UHFFFAOYSA-N -1 1 300.399 1.201 20 0 DDADMM Cc1nc(CCN(C)C(=O)c2ccc3cccnc3c2[O-])no1 ZINC001149318912 861544210 /nfs/dbraw/zinc/54/42/10/861544210.db2.gz IAELJRVXVZRCDU-UHFFFAOYSA-N -1 1 312.329 1.947 20 0 DDADMM CCc1c2ccccc2oc1C(=O)N[C@H](COC)c1nn[n-]n1 ZINC001155070444 861700406 /nfs/dbraw/zinc/70/04/06/861700406.db2.gz WLPQUMSMIZTMKG-LLVKDONJSA-N -1 1 315.333 1.626 20 0 DDADMM Cc1nc(CNCC[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])c(C)o1 ZINC001272720792 846716153 /nfs/dbraw/zinc/71/61/53/846716153.db2.gz JOCUIWGAQSRRGX-QMMMGPOBSA-N -1 1 321.381 1.327 20 0 DDADMM CCNC(=O)CN(C)C(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149644953 861776427 /nfs/dbraw/zinc/77/64/27/861776427.db2.gz FYJKHNKVQKZKOE-UHFFFAOYSA-N -1 1 302.330 1.459 20 0 DDADMM Cc1c(CC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)cnn1C ZINC001149657768 861789267 /nfs/dbraw/zinc/78/92/67/861789267.db2.gz OPPHQAXSJYVEPQ-UHFFFAOYSA-N -1 1 311.345 1.801 20 0 DDADMM COCc1n[nH]c2c1CN(C(=O)c1[n-][nH]c3cc(=O)ccc1-3)C2 ZINC001155265152 861911328 /nfs/dbraw/zinc/91/13/28/861911328.db2.gz OEZJTXHKWROCKE-UHFFFAOYSA-N -1 1 313.317 1.294 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCOC[C@H]1c1cccnc1 ZINC001155267113 861915611 /nfs/dbraw/zinc/91/56/11/861915611.db2.gz VAFUKEYUWLBPBL-HNNXBMFYSA-N -1 1 324.340 1.877 20 0 DDADMM O=C(NCCC1CCC(O)CC1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273786 861921893 /nfs/dbraw/zinc/92/18/93/861921893.db2.gz WQXNDJLBZDGQQF-UHFFFAOYSA-N -1 1 303.362 1.940 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2ccccc2C)sn1 ZINC001434554805 848639201 /nfs/dbraw/zinc/63/92/01/848639201.db2.gz HBEKNJHIYZSOPR-UHFFFAOYSA-N -1 1 312.416 1.981 20 0 DDADMM C[C@H](CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)NC(=O)c1ncccc1[O-] ZINC001410186773 848868562 /nfs/dbraw/zinc/86/85/62/848868562.db2.gz HNYNFCBLRVFZAG-DCQANWLSSA-N -1 1 303.362 1.068 20 0 DDADMM CC1(CCC(=O)NCC2(NC(=O)c3ncccc3[O-])CC2)CC1 ZINC001410814807 849809882 /nfs/dbraw/zinc/80/98/82/849809882.db2.gz UUKMYJGEIWWJDL-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccc(F)c(F)c1)c1nccs1 ZINC001187912177 851085759 /nfs/dbraw/zinc/08/57/59/851085759.db2.gz DAUKFLPVOZWSAM-JTQLQIEISA-N -1 1 320.342 1.433 20 0 DDADMM CC/C(C)=C/C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001299342544 851807056 /nfs/dbraw/zinc/80/70/56/851807056.db2.gz PCWMIZLKVFDPHB-DHZHZOJOSA-N -1 1 303.362 1.426 20 0 DDADMM CN(C)C(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)c1cc(F)ccc1[O-])C2 ZINC001275361450 853016742 /nfs/dbraw/zinc/01/67/42/853016742.db2.gz WFBNUOKMTPWTTH-QHBPRCKVSA-N -1 1 320.364 1.718 20 0 DDADMM CCOC(=O)N[C@@H]1CCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001411651259 853521135 /nfs/dbraw/zinc/52/11/35/853521135.db2.gz WYXLJQXWYDVWMG-SNVBAGLBSA-N -1 1 310.325 1.882 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cc2c(s1)CCCC2 ZINC001150806078 862474476 /nfs/dbraw/zinc/47/44/76/862474476.db2.gz ANDAWTNHXAXNQL-UHFFFAOYSA-N -1 1 306.347 1.663 20 0 DDADMM Cc1ccc(NC(=O)c2cnc(C3CC3)[n-]c2=O)cc1C(N)=O ZINC001411744455 853667623 /nfs/dbraw/zinc/66/76/23/853667623.db2.gz OCUGUVQGYKSTBY-UHFFFAOYSA-N -1 1 312.329 1.719 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2[C@H]3CCO[C@@H]3C23CCC3)c(=O)[n-]1 ZINC001412002912 854094845 /nfs/dbraw/zinc/09/48/45/854094845.db2.gz HWZOFVZINALZFH-IEBDPFPHSA-N -1 1 321.402 1.592 20 0 DDADMM CO[C@@H](Cc1ccccc1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001412082398 854205091 /nfs/dbraw/zinc/20/50/91/854205091.db2.gz MFPJDXSHHLCFKM-HNNXBMFYSA-N -1 1 310.357 1.538 20 0 DDADMM COC(=O)C[C@H](C)CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001412161707 854279575 /nfs/dbraw/zinc/27/95/75/854279575.db2.gz GRLCFDFDGQFPDU-SECBINFHSA-N -1 1 307.350 1.589 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](C)c2ccc(C)o2)n[n-]1 ZINC001412325191 854443138 /nfs/dbraw/zinc/44/31/38/854443138.db2.gz BQCILPJYHMOFFF-NXEZZACHSA-N -1 1 320.349 1.864 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](C)c2ccc(C)o2)[n-]1 ZINC001412325191 854443140 /nfs/dbraw/zinc/44/31/40/854443140.db2.gz BQCILPJYHMOFFF-NXEZZACHSA-N -1 1 320.349 1.864 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](C)c2ccc(C)o2)n1 ZINC001412325191 854443141 /nfs/dbraw/zinc/44/31/41/854443141.db2.gz BQCILPJYHMOFFF-NXEZZACHSA-N -1 1 320.349 1.864 20 0 DDADMM O=C(CCCc1cc(F)ccc1F)NCc1nc([O-])cc(=O)[nH]1 ZINC001412374717 854484822 /nfs/dbraw/zinc/48/48/22/854484822.db2.gz IPUDFLKTWDFNSI-UHFFFAOYSA-N -1 1 323.299 1.805 20 0 DDADMM CNC(=O)c1cc(Cl)ccc1NC(=O)c1n[nH]c(C)c1[O-] ZINC001412425846 854534975 /nfs/dbraw/zinc/53/49/75/854534975.db2.gz CWIXTVUXHOPQQN-UHFFFAOYSA-N -1 1 308.725 1.689 20 0 DDADMM O=C(N[C@H](CO)[C@@H](O)c1ccccn1)c1cc(Cl)ccc1[O-] ZINC001412462860 854581350 /nfs/dbraw/zinc/58/13/50/854581350.db2.gz PSBDOZQKVOLDSR-OCCSQVGLSA-N -1 1 322.748 1.265 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001412497524 854627331 /nfs/dbraw/zinc/62/73/31/854627331.db2.gz UBJWNYXMYJQKMO-WCFLWFBJSA-N -1 1 317.389 1.410 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H](C)NC(=O)CC(C)(C)C ZINC001412524875 854657318 /nfs/dbraw/zinc/65/73/18/854657318.db2.gz CKXBKPHHSWRVDH-NSHDSACASA-N -1 1 324.429 1.125 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CN(C(=O)c1cccc([O-])c1Cl)C2 ZINC001276050008 854674676 /nfs/dbraw/zinc/67/46/76/854674676.db2.gz MCCRKDYWSSHDAF-RFAUZJTJSA-N -1 1 308.765 1.596 20 0 DDADMM NC(=O)[C@H]1CC12CCN(C(=O)c1cccc([O-])c1Cl)CC2 ZINC001276050328 854675176 /nfs/dbraw/zinc/67/51/76/854675176.db2.gz UNJNQLXQTBYWAQ-SNVBAGLBSA-N -1 1 308.765 1.773 20 0 DDADMM COC1(C(=O)N(Cc2nn[n-]n2)CC(C)C)CCCCCC1 ZINC001412544205 854692273 /nfs/dbraw/zinc/69/22/73/854692273.db2.gz MXKMKFGDCCWBHA-UHFFFAOYSA-N -1 1 309.414 1.924 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)[C@@H](C)O1 ZINC001412569502 854737373 /nfs/dbraw/zinc/73/73/73/854737373.db2.gz FONVMCKJRSZTIL-GIPNMCIBSA-N -1 1 317.349 1.531 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC([C@H]2COC(=O)N2)CC1 ZINC001412735144 855047965 /nfs/dbraw/zinc/04/79/65/855047965.db2.gz WKUIYLPTHAPGRA-GFCCVEGCSA-N -1 1 308.309 1.492 20 0 DDADMM COC(=O)[C@H]1C[C@@H]2C[C@H](NC(=O)c3cccc([O-])c3F)[C@@H]2C1 ZINC001412750808 855103033 /nfs/dbraw/zinc/10/30/33/855103033.db2.gz SNGZCZLINQHBDK-DMWPJOQESA-N -1 1 307.321 1.849 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Cc2cnc(C)o2)[n-]c1=O ZINC001412776086 855257760 /nfs/dbraw/zinc/25/77/60/855257760.db2.gz RZUDOHIPOYIYOL-NSHDSACASA-N -1 1 318.333 1.393 20 0 DDADMM Cc1nc2c(C)cccn2c1C(=O)N=c1ncnc2[nH][n-]c(C)c1-2 ZINC001151211412 862684076 /nfs/dbraw/zinc/68/40/76/862684076.db2.gz LYXDTBPXKXUVMV-UHFFFAOYSA-N -1 1 321.344 1.552 20 0 DDADMM Cc1nn(CC(F)(F)F)c(C)c1CC(=O)Nc1c[n-][nH]c1=O ZINC001412858489 855731015 /nfs/dbraw/zinc/73/10/15/855731015.db2.gz QSHCLUZMXFKPJU-UHFFFAOYSA-N -1 1 317.271 1.672 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC001413029364 855992449 /nfs/dbraw/zinc/99/24/49/855992449.db2.gz ZIFPGMHDYUGETB-CHWSQXEVSA-N -1 1 319.405 1.850 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CSC(c2ccccc2O)=N1 ZINC001413032189 855995427 /nfs/dbraw/zinc/99/54/27/855995427.db2.gz UEBIKSFONYDSBG-SECBINFHSA-N -1 1 318.358 1.630 20 0 DDADMM O=Nc1c(=O)[nH]c([O-])nc1NC(=O)c1cccn2ccnc12 ZINC001151471172 862791580 /nfs/dbraw/zinc/79/15/80/862791580.db2.gz NGGGNNNAEGUZRN-UHFFFAOYSA-N -1 1 300.234 1.186 20 0 DDADMM CN1C(=O)N=NC1CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001413196010 856538290 /nfs/dbraw/zinc/53/82/90/856538290.db2.gz LNTVIOXGLKNOSF-UHFFFAOYSA-N -1 1 316.239 1.175 20 0 DDADMM O=S(=O)(C[C@H]1CCCC1(F)F)[N-]Cc1nnc(C2CC2)o1 ZINC001413332693 856678658 /nfs/dbraw/zinc/67/86/58/856678658.db2.gz XZAPAMDVNVHVAG-SECBINFHSA-N -1 1 321.349 1.802 20 0 DDADMM COc1ccnc(C[N-]S(=O)(=O)c2cscn2)c1F ZINC001413400872 856765298 /nfs/dbraw/zinc/76/52/98/856765298.db2.gz XMWFBNPMSJXNIH-UHFFFAOYSA-N -1 1 303.340 1.164 20 0 DDADMM O=C(N[C@H](CO)[C@H](O)c1cccnc1)c1cc(Cl)ccc1[O-] ZINC001413416641 856787596 /nfs/dbraw/zinc/78/75/96/856787596.db2.gz KRDDYOBITUSJRQ-TZMCWYRMSA-N -1 1 322.748 1.265 20 0 DDADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)C[C@@H](C)C1CC1 ZINC001413439004 856812214 /nfs/dbraw/zinc/81/22/14/856812214.db2.gz RTMWLSWSMPVDEG-MWLCHTKSSA-N -1 1 309.453 1.247 20 0 DDADMM COC(=O)Nc1ccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)cc1 ZINC001413471145 856857248 /nfs/dbraw/zinc/85/72/48/856857248.db2.gz PYJCWJSNCWCZDZ-SNVBAGLBSA-N -1 1 316.321 1.008 20 0 DDADMM COC[C@@H](NC(=O)c1cc(C2CCCCC2)n[nH]1)c1nn[n-]n1 ZINC001413554602 857058350 /nfs/dbraw/zinc/05/83/50/857058350.db2.gz OAIRGCABORELRM-GFCCVEGCSA-N -1 1 319.369 1.088 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC3(C2)CCN(C/C=C\Cl)C3)c1[O-] ZINC001072628522 857529032 /nfs/dbraw/zinc/52/90/32/857529032.db2.gz UEORNSGOZPNCAP-RQOWECAXSA-N -1 1 310.785 1.324 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC001151772363 862960587 /nfs/dbraw/zinc/96/05/87/862960587.db2.gz OMYPAJFPQNUIJR-QMMMGPOBSA-N -1 1 304.281 1.246 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@@H]1[C@@H]1CCC[C@H]1O ZINC001123800909 859420076 /nfs/dbraw/zinc/42/00/76/859420076.db2.gz GXUVQJPCFVBTGF-HBNTYKKESA-N -1 1 323.418 1.545 20 0 DDADMM COCc1nsc([N-]C(=O)c2coc3c2C(=O)NCCC3)n1 ZINC001361313311 881431608 /nfs/dbraw/zinc/43/16/08/881431608.db2.gz PWMXNTAZJUQUAY-UHFFFAOYSA-N -1 1 322.346 1.206 20 0 DDADMM CCn1cc2c(n1)CN(Cc1ncccc1[O-])C[C@@H]2COC ZINC001138390863 860084302 /nfs/dbraw/zinc/08/43/02/860084302.db2.gz KALXPCICAGUFNW-GFCCVEGCSA-N -1 1 302.378 1.749 20 0 DDADMM CCOC(=O)c1nc2c(s1)CN(Cc1ncccc1[O-])CC2 ZINC001138395914 860093404 /nfs/dbraw/zinc/09/34/04/860093404.db2.gz KKYXHVATACRVTE-UHFFFAOYSA-N -1 1 319.386 1.979 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CN(Cc2ccncc2[O-])C[C@@H]1F ZINC001140274687 860607458 /nfs/dbraw/zinc/60/74/58/860607458.db2.gz DJTRPIDXEPPZGG-RYUDHWBXSA-N -1 1 311.357 1.834 20 0 DDADMM Cc1cc(N(C)C)ncc1[N-]S(=O)(=O)c1ccc(O)c(N)c1 ZINC001206744722 860671900 /nfs/dbraw/zinc/67/19/00/860671900.db2.gz YTAOMPPDSAIDNP-UHFFFAOYSA-N -1 1 322.390 1.545 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2onc3ccccc32)n1 ZINC001154371529 861050052 /nfs/dbraw/zinc/05/00/52/861050052.db2.gz WETCTENSAGDLCD-UHFFFAOYSA-N -1 1 300.274 1.980 20 0 DDADMM COc1cc(CCC(=O)Nc2n[n-]c(C(F)(F)F)n2)ccn1 ZINC001142227489 861079866 /nfs/dbraw/zinc/07/98/66/861079866.db2.gz UEHQBHYTRVAROH-UHFFFAOYSA-N -1 1 315.255 1.798 20 0 DDADMM CSc1nc(NC(=O)c2cc(C)n3ccnc3n2)cc(=O)[n-]1 ZINC001154427137 861091676 /nfs/dbraw/zinc/09/16/76/861091676.db2.gz QFJFWHOQSJXPPP-UHFFFAOYSA-N -1 1 316.346 1.508 20 0 DDADMM Cc1ccc2ncc([O-])c(C(=O)Nc3ncc(C)[nH]c3=O)c2c1 ZINC001154533420 861182503 /nfs/dbraw/zinc/18/25/03/861182503.db2.gz CNGQJZLTNCHDRX-UHFFFAOYSA-N -1 1 310.313 1.893 20 0 DDADMM CSc1nc(NC(=O)c2ccc3[nH]c(=O)[nH]c3c2)cc(=O)[n-]1 ZINC001152367390 863302969 /nfs/dbraw/zinc/30/29/69/863302969.db2.gz CGALDJPYODQKRB-UHFFFAOYSA-N -1 1 317.330 1.738 20 0 DDADMM CC(=O)NC[C@H](C)CNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681014 863489829 /nfs/dbraw/zinc/48/98/29/863489829.db2.gz BCDSWLAZNJEYDB-VIFPVBQESA-N -1 1 317.345 1.148 20 0 DDADMM CSc1nc(NC(=O)c2cnc3ccnn3c2)cc(=O)[n-]1 ZINC001153046287 863677411 /nfs/dbraw/zinc/67/74/11/863677411.db2.gz GOQIECFEUGMTGQ-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccn3cc(C)nc23)n1 ZINC001153587735 863963837 /nfs/dbraw/zinc/96/38/37/863963837.db2.gz UHSJKOUONMZRDD-UHFFFAOYSA-N -1 1 313.317 1.795 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001153810967 864123892 /nfs/dbraw/zinc/12/38/92/864123892.db2.gz FUMFWNSZDZDAHD-WQLSENKSSA-N -1 1 300.366 1.683 20 0 DDADMM Nc1ncc(Cl)nc1NC(=O)c1cnc2cccnc2c1[O-] ZINC001153851752 864157816 /nfs/dbraw/zinc/15/78/16/864157816.db2.gz PRXFIQRFWBXXBH-UHFFFAOYSA-N -1 1 316.708 1.201 20 0 DDADMM CC(C)(C(=O)OCCC[N-]C(=O)C(F)(F)F)n1cccn1 ZINC001330248848 864187906 /nfs/dbraw/zinc/18/79/06/864187906.db2.gz BZPQXLUQXXFCNQ-UHFFFAOYSA-N -1 1 307.272 1.230 20 0 DDADMM Nc1nnc(CC(=O)Nc2cc(F)c([O-])cc2Cl)s1 ZINC001157900377 864239370 /nfs/dbraw/zinc/23/93/70/864239370.db2.gz XWCUBZFLCXFAPJ-UHFFFAOYSA-N -1 1 302.718 1.800 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H](OC)C(C)C)[n-]c1Cl ZINC001361531241 881839378 /nfs/dbraw/zinc/83/93/78/881839378.db2.gz XTXFOMGIEFUFGA-MRVPVSSYSA-N -1 1 303.746 1.849 20 0 DDADMM CCC[C@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225449077 881841103 /nfs/dbraw/zinc/84/11/03/881841103.db2.gz UNYPWNCHAKDZSX-QJPTWQEYSA-N -1 1 309.414 1.464 20 0 DDADMM CSc1cc(C)nc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159186667 865154813 /nfs/dbraw/zinc/15/48/13/865154813.db2.gz WSLFOXXGTANING-UHFFFAOYSA-N -1 1 305.323 1.280 20 0 DDADMM Cn1nnnc1C1CCN(Cc2ccc(/C=C/C(=O)[O-])o2)CC1 ZINC001333122714 866441032 /nfs/dbraw/zinc/44/10/32/866441032.db2.gz SUNSXKFXGIZWEM-SNAWJCMRSA-N -1 1 317.349 1.281 20 0 DDADMM CN(CCOc1ccccc1Cl)C(=O)c1cnncc1[O-] ZINC001361603161 881986148 /nfs/dbraw/zinc/98/61/48/881986148.db2.gz OWPBCMPXPNJIGL-UHFFFAOYSA-N -1 1 307.737 1.987 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CC[C@@H](SC)C2)sn1 ZINC001475041127 866597886 /nfs/dbraw/zinc/59/78/86/866597886.db2.gz XEUWMIGEHVRLBY-HTQZYQBOSA-N -1 1 308.450 1.714 20 0 DDADMM COC(=O)c1c(NC(=O)C=C2CC[C@@H](C)C2)n[n-]c1OCCO ZINC001161532695 866741970 /nfs/dbraw/zinc/74/19/70/866741970.db2.gz BWZIBNXRGDSNBQ-URLRMUCDSA-N -1 1 323.349 1.252 20 0 DDADMM COC(=O)c1c(NC(=O)/C=C2/CC[C@@H](C)C2)[n-]nc1OCCO ZINC001161532695 866741979 /nfs/dbraw/zinc/74/19/79/866741979.db2.gz BWZIBNXRGDSNBQ-URLRMUCDSA-N -1 1 323.349 1.252 20 0 DDADMM COC(=O)[C@H](Oc1cc(=O)[n-]c(=S)[nH]1)c1ccccc1F ZINC001225767131 882017039 /nfs/dbraw/zinc/01/70/39/882017039.db2.gz ZJUIWFBUJDPGIR-LLVKDONJSA-N -1 1 310.306 1.903 20 0 DDADMM CCOc1cccc(F)c1CNCCn1cc(C(=O)[O-])nn1 ZINC001334069551 867274073 /nfs/dbraw/zinc/27/40/73/867274073.db2.gz KHCVAOKAVGYZMK-UHFFFAOYSA-N -1 1 308.313 1.304 20 0 DDADMM CC[C@H](C)CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225898547 882099011 /nfs/dbraw/zinc/09/90/11/882099011.db2.gz IZGHBBZVHRGKFG-QJPTWQEYSA-N -1 1 309.414 1.464 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1CC[C@H](C(=O)OC)CC1 ZINC001225900064 882100112 /nfs/dbraw/zinc/10/01/12/882100112.db2.gz YEQDSTAWUQIGOL-MGCOHNPYSA-N -1 1 324.333 1.470 20 0 DDADMM O=C(NC1CCN(CC(F)F)CC1)c1cccc([O-])c1F ZINC001361695459 882178112 /nfs/dbraw/zinc/17/81/12/882178112.db2.gz XYOYLBVFULGSQC-UHFFFAOYSA-N -1 1 302.296 1.991 20 0 DDADMM CCC[C@H](NC(=O)[C@H](CC(C)C)n1ccnc1)c1nn[n-]n1 ZINC001362208139 883301159 /nfs/dbraw/zinc/30/11/59/883301159.db2.gz ZEIMWIYYJGVVEO-RYUDHWBXSA-N -1 1 305.386 1.641 20 0 DDADMM CCOC(=O)c1nc2ccc(N[C@H]3SC(=O)[N-]C3=O)cc2[nH]1 ZINC001163674442 868619824 /nfs/dbraw/zinc/61/98/24/868619824.db2.gz NRPALAOAVXACMT-NSHDSACASA-N -1 1 320.330 1.461 20 0 DDADMM CC(=O)N[C@@](C)(C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)C(C)C ZINC001361727461 882244307 /nfs/dbraw/zinc/24/43/07/882244307.db2.gz VAIHDQVLBMNZNU-MRXNPFEDSA-N -1 1 320.393 1.550 20 0 DDADMM CSc1ncc(C(=O)Nc2cccc(C(N)=O)c2)c(=O)[n-]1 ZINC001361730233 882250315 /nfs/dbraw/zinc/25/03/15/882250315.db2.gz CUBPJIKGJPAKQI-UHFFFAOYSA-N -1 1 304.331 1.255 20 0 DDADMM CC(=O)c1c[n-]c(=O)nc1OC1CC(OC(=O)C(C)(C)C)C1 ZINC001226238834 882298725 /nfs/dbraw/zinc/29/87/25/882298725.db2.gz ZNMDJFZSRIGHDG-UHFFFAOYSA-N -1 1 308.334 1.884 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@@H](Oc2[n-]c(=S)ncc2OC)CO1 ZINC001226241917 882301742 /nfs/dbraw/zinc/30/17/42/882301742.db2.gz DJSKPLJBOZZJHN-BDAKNGLRSA-N -1 1 314.363 1.263 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C1SCCCS1 ZINC001363799334 887250023 /nfs/dbraw/zinc/25/00/23/887250023.db2.gz HOBAWVGIGDRHNY-UHFFFAOYSA-N -1 1 301.441 1.381 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=CC2CCOCC2)n[n-]1 ZINC001166125983 869848748 /nfs/dbraw/zinc/84/87/48/869848748.db2.gz FNLCCFPLFKIOAB-ORAHPGNNSA-N -1 1 322.365 1.141 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=CC2CCOCC2)[n-]1 ZINC001166125983 869848755 /nfs/dbraw/zinc/84/87/55/869848755.db2.gz FNLCCFPLFKIOAB-ORAHPGNNSA-N -1 1 322.365 1.141 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)/C=C/C2CCOCC2)n1 ZINC001166125983 869848764 /nfs/dbraw/zinc/84/87/64/869848764.db2.gz FNLCCFPLFKIOAB-ORAHPGNNSA-N -1 1 322.365 1.141 20 0 DDADMM COC[C@H](NC(=O)c1cc(C)cc(C=O)c1[O-])C1CCOCC1 ZINC001166463319 870011321 /nfs/dbraw/zinc/01/13/21/870011321.db2.gz CAMUXAMWZLRJDX-HNNXBMFYSA-N -1 1 321.373 1.685 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC001338628916 870081775 /nfs/dbraw/zinc/08/17/75/870081775.db2.gz MTLRUPDTUZYQJR-KOLCDFICSA-N -1 1 310.781 1.967 20 0 DDADMM CCCC(C)(C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166923850 870381748 /nfs/dbraw/zinc/38/17/48/870381748.db2.gz PSPKQZGBWIQUQK-UHFFFAOYSA-N -1 1 323.441 1.713 20 0 DDADMM CCc1ccc2c(c1)CCN2c1nnc(Cc2nnn[n-]2)n1C ZINC001339732356 870660282 /nfs/dbraw/zinc/66/02/82/870660282.db2.gz UMWJEOZAAQNFTQ-UHFFFAOYSA-N -1 1 310.365 1.176 20 0 DDADMM CCc1ccc2c(c1)CCN2c1nnc(Cc2nn[n-]n2)n1C ZINC001339732356 870660289 /nfs/dbraw/zinc/66/02/89/870660289.db2.gz UMWJEOZAAQNFTQ-UHFFFAOYSA-N -1 1 310.365 1.176 20 0 DDADMM CCOC(=O)[C@H]1CSCCN1C(=O)c1ccc([O-])cc1F ZINC001361845721 882486101 /nfs/dbraw/zinc/48/61/01/882486101.db2.gz HNGKDFLSRAPCNM-GFCCVEGCSA-N -1 1 313.350 1.652 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)NCCC1CC1 ZINC001340784604 871347439 /nfs/dbraw/zinc/34/74/39/871347439.db2.gz TUMBJCUNCIIXBW-UHFFFAOYSA-N -1 1 312.316 1.245 20 0 DDADMM CCc1ccc2c(c1)CCN(c1nnc(-c3nnn[n-]3)n1CC)C2 ZINC001340919493 871428535 /nfs/dbraw/zinc/42/85/35/871428535.db2.gz PODAGBWBAQRCQW-UHFFFAOYSA-N -1 1 324.392 1.603 20 0 DDADMM CCc1ccc2c(c1)CCN(c1nnc(-c3nn[n-]n3)n1CC)C2 ZINC001340919493 871428554 /nfs/dbraw/zinc/42/85/54/871428554.db2.gz PODAGBWBAQRCQW-UHFFFAOYSA-N -1 1 324.392 1.603 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)Oc2cccc(C3CC3)c2)n[n-]1 ZINC001309603548 871584638 /nfs/dbraw/zinc/58/46/38/871584638.db2.gz CJDWRPSLNLMTBW-UHFFFAOYSA-N -1 1 322.342 1.841 20 0 DDADMM Cc1cc(C)c(NC(=O)CNC(=O)c2cnncc2[O-])c(C)c1 ZINC001361884102 882571365 /nfs/dbraw/zinc/57/13/65/882571365.db2.gz QNSOQBVKGVVRJW-UHFFFAOYSA-N -1 1 314.345 1.476 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NCc1ncc[nH]1 ZINC001318497200 872116122 /nfs/dbraw/zinc/11/61/22/872116122.db2.gz GTMICGHQBASKPK-UHFFFAOYSA-N -1 1 324.344 1.135 20 0 DDADMM CC1=CCN(c2nnc(-c3noc(=O)[n-]3)n2CC(C)C)CC1 ZINC001344112021 872858638 /nfs/dbraw/zinc/85/86/38/872858638.db2.gz WDMQSEATXZNURP-UHFFFAOYSA-N -1 1 304.354 1.434 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cccc(CCCO)c1 ZINC001346736398 873870472 /nfs/dbraw/zinc/87/04/72/873870472.db2.gz SCSNTEMTYZZBES-UHFFFAOYSA-N -1 1 319.386 1.957 20 0 DDADMM C[C@@]1(CC(=O)N2CC[C@H](c3nn[n-]n3)C2)CC1(Cl)Cl ZINC001347196431 874049347 /nfs/dbraw/zinc/04/93/47/874049347.db2.gz DQECHHQETHXUFI-OIBJUYFYSA-N -1 1 304.181 1.490 20 0 DDADMM Cc1cccc(C(=O)C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)c1 ZINC001347307212 874093947 /nfs/dbraw/zinc/09/39/47/874093947.db2.gz RNDLXUNZZGFHPR-LBPRGKRZSA-N -1 1 313.361 1.172 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCCc2ccsc2)C1 ZINC001347612018 874212258 /nfs/dbraw/zinc/21/22/58/874212258.db2.gz SGFWFFUHUPWPIE-CYBMUJFWSA-N -1 1 310.419 1.594 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NCc1nc(C)c(C)o1 ZINC001378237292 874505326 /nfs/dbraw/zinc/50/53/26/874505326.db2.gz SWGRRKMPWIVCJZ-LBPRGKRZSA-N -1 1 318.377 1.690 20 0 DDADMM COc1cnc(C2(NC(=O)/C=C/SC)CCCC2)[n-]c1=O ZINC001348502199 874599539 /nfs/dbraw/zinc/59/95/39/874599539.db2.gz DLBBFCHJRNMXME-VMPITWQZSA-N -1 1 309.391 1.953 20 0 DDADMM CC[N@@H+]1[C@H](C)CN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C[C@@H]1C ZINC001348846763 874826013 /nfs/dbraw/zinc/82/60/13/874826013.db2.gz WDBDYJAERKSFBN-DTORHVGOSA-N -1 1 310.423 1.334 20 0 DDADMM O=C(c1cccc(-n2cccc2)c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001349002727 874917197 /nfs/dbraw/zinc/91/71/97/874917197.db2.gz QKXDOEARSDOTJW-CYBMUJFWSA-N -1 1 308.345 1.620 20 0 DDADMM COC(=O)c1cc(OC)ccc1NC(=O)c1cnncc1[O-] ZINC001362041862 882900779 /nfs/dbraw/zinc/90/07/79/882900779.db2.gz AYPHYDMVIXDKFI-UHFFFAOYSA-N -1 1 303.274 1.230 20 0 DDADMM CCC[C@@H](C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001209900429 875164420 /nfs/dbraw/zinc/16/44/20/875164420.db2.gz ULBMKWLJQNKZCV-CHWSQXEVSA-N -1 1 323.441 1.663 20 0 DDADMM Cc1cc2n[nH]cc2cc1[N-]S(=O)(=O)c1ccc(O)c(N)c1 ZINC001210101996 875228753 /nfs/dbraw/zinc/22/87/53/875228753.db2.gz LFNPLBALEDUJBN-UHFFFAOYSA-N -1 1 318.358 1.960 20 0 DDADMM CC1(C)CC[C@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001378749269 875543100 /nfs/dbraw/zinc/54/31/00/875543100.db2.gz NHDNYTRXBXOTKC-NSHDSACASA-N -1 1 317.389 1.602 20 0 DDADMM O=C(CCSCc1ccccc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001350236489 875592264 /nfs/dbraw/zinc/59/22/64/875592264.db2.gz YHMBRPCRZIEBDG-CYBMUJFWSA-N -1 1 317.418 1.839 20 0 DDADMM Cc1ccc(C(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)cc1F ZINC001378785839 875631519 /nfs/dbraw/zinc/63/15/19/875631519.db2.gz ANTGIQNZFPSOJA-JTQLQIEISA-N -1 1 321.356 1.208 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cncc(CO)c2)cc1Cl ZINC001211104966 875661018 /nfs/dbraw/zinc/66/10/18/875661018.db2.gz HOBCORBMHUQOCR-UHFFFAOYSA-N -1 1 313.766 1.610 20 0 DDADMM COC(=O)c1cncc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)c1 ZINC001211452868 875813527 /nfs/dbraw/zinc/81/35/27/875813527.db2.gz ATYXJUNYKDMNJA-UHFFFAOYSA-N -1 1 318.293 1.571 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc(Cl)cc2N)c1 ZINC001213660134 876011082 /nfs/dbraw/zinc/01/10/82/876011082.db2.gz RZNXESGQJBXNKA-UHFFFAOYSA-N -1 1 312.782 1.887 20 0 DDADMM COc1ccc(-c2noc(-c3c[nH]c(=S)[n-]c3=O)n2)cn1 ZINC001213866573 876087250 /nfs/dbraw/zinc/08/72/50/876087250.db2.gz FLFITKLRDAJTLO-UHFFFAOYSA-N -1 1 303.303 1.592 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2cc(N)ccc2C)ccc1CO ZINC001216114595 876867464 /nfs/dbraw/zinc/86/74/64/876867464.db2.gz ZAHAOYVIKRQSLI-UHFFFAOYSA-N -1 1 322.386 1.879 20 0 DDADMM COc1ncc(Nc2nc(C)cs2)cc1[N-]S(C)(=O)=O ZINC001216151233 876878947 /nfs/dbraw/zinc/87/89/47/876878947.db2.gz IYKANZYNSNEQKU-UHFFFAOYSA-N -1 1 314.392 1.970 20 0 DDADMM C[C@@H]1CN(C(=O)CCC2(C)CC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001216197845 876888888 /nfs/dbraw/zinc/88/88/88/876888888.db2.gz URADVVWVWLJVRK-GHMZBOCLSA-N -1 1 307.398 1.027 20 0 DDADMM COC(=O)[C@H](CCO)Oc1cccnc1-c1ncccc1[O-] ZINC001218220275 877425858 /nfs/dbraw/zinc/42/58/58/877425858.db2.gz IMIWGHVHJXTRLA-LBPRGKRZSA-N -1 1 304.302 1.152 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1CCOC[C@@H]1C ZINC000310682702 877909010 /nfs/dbraw/zinc/90/90/10/877909010.db2.gz YOFZXDATGJTMJA-XPUUQOCRSA-N -1 1 310.828 1.808 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)N(C)[C@@H]1CCN(C)C1 ZINC000313857856 878530109 /nfs/dbraw/zinc/53/01/09/878530109.db2.gz IVLRBVFOQYEGON-GFCCVEGCSA-N -1 1 312.391 1.018 20 0 DDADMM CC[C@H](CNC(=O)[C@H]1CC=CCC1)NC(=O)c1ncccc1[O-] ZINC001356866792 879499490 /nfs/dbraw/zinc/49/94/90/879499490.db2.gz HSBUAHMFHYULOA-QWHCGFSZSA-N -1 1 317.389 1.768 20 0 DDADMM CC/C=C(\C)C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001357173638 879836021 /nfs/dbraw/zinc/83/60/21/879836021.db2.gz KPEAUSGQWCGXJA-KPKJPENVSA-N -1 1 305.378 1.674 20 0 DDADMM O=C(NC[C@@H](O)COc1cccc(F)c1)c1ccc([O-])cc1F ZINC001362133416 883119871 /nfs/dbraw/zinc/11/98/71/883119871.db2.gz YZDSXEQJVFCRPP-GFCCVEGCSA-N -1 1 323.295 1.840 20 0 DDADMM CC(C)C[C@@H](Oc1[n-]c(=O)nc2c1COC2)C(=O)OC(C)C ZINC001227691520 883133462 /nfs/dbraw/zinc/13/34/62/883133462.db2.gz VOVWZXUJVVFYLX-GFCCVEGCSA-N -1 1 310.350 1.958 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H]2CCOc3cccc(OC)c32)n1 ZINC001228311147 883438490 /nfs/dbraw/zinc/43/84/90/883438490.db2.gz JSHMPQZKNWGHBE-JTQLQIEISA-N -1 1 305.290 1.503 20 0 DDADMM COC(=O)c1nc(O[C@H]2CCOc3cccc(OC)c32)n[n-]1 ZINC001228311147 883438496 /nfs/dbraw/zinc/43/84/96/883438496.db2.gz JSHMPQZKNWGHBE-JTQLQIEISA-N -1 1 305.290 1.503 20 0 DDADMM CCO[C@H]1[C@H](C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)[C@@H]1C ZINC001362296958 883506822 /nfs/dbraw/zinc/50/68/22/883506822.db2.gz LLXDPUSOCCMQTK-CUOATXAZSA-N -1 1 317.349 1.388 20 0 DDADMM CC(C)N(CCS(C)(=O)=O)C(=O)c1ccc([O-])cc1F ZINC001362299923 883513836 /nfs/dbraw/zinc/51/38/36/883513836.db2.gz YITBLLDBAQSAIX-UHFFFAOYSA-N -1 1 303.355 1.427 20 0 DDADMM COC(=O)c1cc(Cl)cc(NC(=O)CCc2nn[n-]n2)c1 ZINC001362308183 883530883 /nfs/dbraw/zinc/53/08/83/883530883.db2.gz GBLOSCCKFGIEMY-UHFFFAOYSA-N -1 1 309.713 1.211 20 0 DDADMM CCC(=O)c1c(O)cc([O-])cc1OC1CCS(=O)(=O)CC1 ZINC001228560685 883552256 /nfs/dbraw/zinc/55/22/56/883552256.db2.gz RVELMHMTUJEBGI-UHFFFAOYSA-N -1 1 314.359 1.647 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CC[C@@H]2Oc2cnnc(=S)[n-]2)C1 ZINC001228641257 883587667 /nfs/dbraw/zinc/58/76/67/883587667.db2.gz BCSBILSOSCVFOH-VIFPVBQESA-N -1 1 324.406 1.939 20 0 DDADMM C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)c2c(F)ccc([O-])c2F)CC1=O ZINC001362356345 883635283 /nfs/dbraw/zinc/63/52/83/883635283.db2.gz HZMKHTMMOZMCRS-MRTMQBJTSA-N -1 1 310.300 1.410 20 0 DDADMM COC(=O)C1(NC(=O)c2ccc(F)c([O-])c2)CCSCC1 ZINC001362372917 883667051 /nfs/dbraw/zinc/66/70/51/883667051.db2.gz FLXYGLRFHMWPBX-UHFFFAOYSA-N -1 1 313.350 1.700 20 0 DDADMM CNC(=O)[C@@H](NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(C)C ZINC001362396048 883714716 /nfs/dbraw/zinc/71/47/16/883714716.db2.gz FIXSUBWDGISHLH-NSHDSACASA-N -1 1 318.295 1.911 20 0 DDADMM CSc1ncc(C(=O)N2CCO[C@@H](C(C)(C)C)C2)c(=O)[n-]1 ZINC001362421687 883772474 /nfs/dbraw/zinc/77/24/74/883772474.db2.gz TUTXWAWOTVZTHR-SNVBAGLBSA-N -1 1 311.407 1.791 20 0 DDADMM CCC(CC)(NC(=O)c1cccc(NC(N)=O)c1)c1nn[n-]n1 ZINC001362426586 883784507 /nfs/dbraw/zinc/78/45/07/883784507.db2.gz RFCBXQFEVYHHNE-UHFFFAOYSA-N -1 1 317.353 1.136 20 0 DDADMM Cc1cccc(OC[C@@H](O)CNC(=O)c2ccc([O-])cn2)c1 ZINC001362440160 883812767 /nfs/dbraw/zinc/81/27/67/883812767.db2.gz IBNCCPJMUAHUHW-ZDUSSCGKSA-N -1 1 302.330 1.265 20 0 DDADMM CN(C(=O)c1ccc([O-])cn1)c1ccc(N2CCOCC2)nc1 ZINC001362463484 883869173 /nfs/dbraw/zinc/86/91/73/883869173.db2.gz OQWZEMRPPKWQMI-UHFFFAOYSA-N -1 1 314.345 1.295 20 0 DDADMM CCC(CC)(NC(=O)c1occ2c1CCOC2)c1nn[n-]n1 ZINC001362497306 883942151 /nfs/dbraw/zinc/94/21/51/883942151.db2.gz PTRILGCBDWIZFP-UHFFFAOYSA-N -1 1 305.338 1.311 20 0 DDADMM CC(C)(C)Oc1ccncc1NC(=O)CCCc1nn[n-]n1 ZINC001362518467 883990374 /nfs/dbraw/zinc/99/03/74/883990374.db2.gz UMNUNOAEAUQJTK-UHFFFAOYSA-N -1 1 304.354 1.733 20 0 DDADMM CC(C)[C@H](C)C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001382527443 884187706 /nfs/dbraw/zinc/18/77/06/884187706.db2.gz COIHJGAWJCEXGA-QWRGUYRKSA-N -1 1 311.430 1.423 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccc2c(c1)COC2)c1nn[n-]n1 ZINC001362626869 884259893 /nfs/dbraw/zinc/25/98/93/884259893.db2.gz VIOYPCWWIBIYHQ-UHFFFAOYSA-N -1 1 315.377 1.604 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)c1nccc(N)n1 ZINC001362637753 884289783 /nfs/dbraw/zinc/28/97/83/884289783.db2.gz GOEPPWJBMBWATQ-SSDOTTSWSA-N -1 1 320.378 1.076 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)c1nccc(N)n1 ZINC001362637779 884290838 /nfs/dbraw/zinc/29/08/38/884290838.db2.gz GOEPPWJBMBWATQ-ZETCQYMHSA-N -1 1 320.378 1.076 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCc2cccnc2)[n-]1 ZINC001362659042 884344776 /nfs/dbraw/zinc/34/47/76/884344776.db2.gz JCUMGTGWFJWPHY-SNVBAGLBSA-N -1 1 317.349 1.186 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCc2cccnc2)n[n-]1 ZINC001362659042 884344794 /nfs/dbraw/zinc/34/47/94/884344794.db2.gz JCUMGTGWFJWPHY-SNVBAGLBSA-N -1 1 317.349 1.186 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCc2cccnc2)n1 ZINC001362659042 884344814 /nfs/dbraw/zinc/34/48/14/884344814.db2.gz JCUMGTGWFJWPHY-SNVBAGLBSA-N -1 1 317.349 1.186 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H](C)[C@H]2CCO)c(=O)[n-]1 ZINC001362665952 884361148 /nfs/dbraw/zinc/36/11/48/884361148.db2.gz YPUAJTIURDZOGQ-GXSJLCMTSA-N -1 1 311.407 1.527 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2c3c[nH]nc3CC[C@H]2C)n1 ZINC001362680716 884402455 /nfs/dbraw/zinc/40/24/55/884402455.db2.gz YLOBYWOXDUFPQQ-PELKAZGASA-N -1 1 317.349 1.614 20 0 DDADMM CCOc1ccc2c(c1)[C@@H](NC(=O)CCc1nn[n-]n1)CCO2 ZINC001362689556 884420226 /nfs/dbraw/zinc/42/02/26/884420226.db2.gz YDELQOOZHLAXRE-LBPRGKRZSA-N -1 1 317.349 1.171 20 0 DDADMM COC(=O)c1c(NC(=O)c2ccc(F)c([O-])c2)nc2n1CCC2 ZINC001362754554 884559086 /nfs/dbraw/zinc/55/90/86/884559086.db2.gz BFKJOVOLZPYJOY-UHFFFAOYSA-N -1 1 319.292 1.713 20 0 DDADMM CSc1nc(CNC(=O)COc2ccsn2)cc(=O)[n-]1 ZINC001362851944 884798563 /nfs/dbraw/zinc/79/85/63/884798563.db2.gz IWTIYLSERXVRKB-UHFFFAOYSA-N -1 1 312.376 1.056 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(C)nc1OC)c1nn[n-]n1 ZINC001362856825 884809208 /nfs/dbraw/zinc/80/92/08/884809208.db2.gz NEVYVRXAPXQSTP-UHFFFAOYSA-N -1 1 304.354 1.357 20 0 DDADMM CC[C@@H](OC)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001362866950 884833156 /nfs/dbraw/zinc/83/31/56/884833156.db2.gz SAYURPJIXUOKOF-LPEHRKFASA-N -1 1 310.316 1.469 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC=C(Cl)Cl)co1 ZINC001362866342 884833367 /nfs/dbraw/zinc/83/33/67/884833367.db2.gz IAAOVZDVDONTAC-UHFFFAOYSA-N -1 1 313.162 1.237 20 0 DDADMM CC[C@@H](CNC(=O)c1ccc([O-])cn1)NC(=O)OC(C)(C)C ZINC001362888562 884892362 /nfs/dbraw/zinc/89/23/62/884892362.db2.gz ZOLMDPKNMBSFPK-JTQLQIEISA-N -1 1 309.366 1.820 20 0 DDADMM CCOC(=O)N1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001362959331 885086107 /nfs/dbraw/zinc/08/61/07/885086107.db2.gz YWVKQRUKGBJRLX-JTQLQIEISA-N -1 1 320.349 1.020 20 0 DDADMM CCCC(=O)N(C)[C@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001383001220 885100160 /nfs/dbraw/zinc/10/01/60/885100160.db2.gz TWCNOGHIFBCMRN-SNVBAGLBSA-N -1 1 320.393 1.436 20 0 DDADMM CCn1[nH]c(C)nc1=NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001362990014 885161577 /nfs/dbraw/zinc/16/15/77/885161577.db2.gz WEGGYWATVGIMAN-UHFFFAOYSA-N -1 1 304.354 1.208 20 0 DDADMM CC1(C)OC(=O)c2ccccc2[C@@H]1C(=O)Nc1c[n-][nH]c1=O ZINC001363120542 885515273 /nfs/dbraw/zinc/51/52/73/885515273.db2.gz ROTQXWJIIGDKCD-LLVKDONJSA-N -1 1 301.302 1.787 20 0 DDADMM CCC[C@H]1C[C@@H](C(=O)NCc2n[n-]c(C(=O)OCC)n2)CCO1 ZINC001363120574 885515327 /nfs/dbraw/zinc/51/53/27/885515327.db2.gz XAPLYQHOBLAGGC-QWRGUYRKSA-N -1 1 324.381 1.193 20 0 DDADMM CCC[C@H]1C[C@@H](C(=O)NCc2nc(C(=O)OCC)n[n-]2)CCO1 ZINC001363120574 885515336 /nfs/dbraw/zinc/51/53/36/885515336.db2.gz XAPLYQHOBLAGGC-QWRGUYRKSA-N -1 1 324.381 1.193 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(CC3CC3)[nH]n2)co1 ZINC001363194562 885684220 /nfs/dbraw/zinc/68/42/20/885684220.db2.gz FGPMRHRELFZGLC-UHFFFAOYSA-N -1 1 324.362 1.116 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2cncc([O-])c2)Cc2ccccc21 ZINC001363197652 885690898 /nfs/dbraw/zinc/69/08/98/885690898.db2.gz YWEUIRTXBUHOLT-OAHLLOKOSA-N -1 1 312.325 1.700 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc(NC2CC2)nc1 ZINC001363275412 885883377 /nfs/dbraw/zinc/88/33/77/885883377.db2.gz GOSPYIVMDAXOEV-UHFFFAOYSA-N -1 1 315.381 1.467 20 0 DDADMM CCCCC(=O)NC[C@@H](C1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001383595073 886105515 /nfs/dbraw/zinc/10/55/15/886105515.db2.gz NRKQGDXDVHWTLW-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM CC(=O)c1cc(C(=O)NC2(c3nn[n-]n3)CCC2)c(C)nc1C ZINC001363376617 886173105 /nfs/dbraw/zinc/17/31/05/886173105.db2.gz ZGZQKKQBCBPZDX-UHFFFAOYSA-N -1 1 314.349 1.223 20 0 DDADMM CSc1ncc(C(=O)N2CC(C)(C)[C@@H]2[C@@H]2CCCO2)c(=O)[n-]1 ZINC001363382018 886185893 /nfs/dbraw/zinc/18/58/93/886185893.db2.gz WTFWUQRUJZEYMK-QWRGUYRKSA-N -1 1 323.418 1.934 20 0 DDADMM COC[C@H](NC(=O)C[C@H](C)c1cccc(OC)c1)c1nn[n-]n1 ZINC001363409326 886257345 /nfs/dbraw/zinc/25/73/45/886257345.db2.gz CCEGRBNCHJPING-GWCFXTLKSA-N -1 1 319.365 1.206 20 0 DDADMM CCSc1ccc(CC(=O)N[C@@H](COC)c2nn[n-]n2)cc1 ZINC001363428878 886313998 /nfs/dbraw/zinc/31/39/98/886313998.db2.gz BEEZWNGJQIHKGM-LBPRGKRZSA-N -1 1 321.406 1.358 20 0 DDADMM CCSCc1ccc(C(=O)N[C@@H](COC)c2nn[n-]n2)cc1 ZINC001363439992 886341946 /nfs/dbraw/zinc/34/19/46/886341946.db2.gz YAECTRXJAXMAAS-LBPRGKRZSA-N -1 1 321.406 1.570 20 0 DDADMM CN(C(=O)c1ccc(CNC(=O)OC(C)(C)C)o1)c1nn[n-]n1 ZINC001363490673 886474770 /nfs/dbraw/zinc/47/47/70/886474770.db2.gz PFSNVQIPCULLJH-UHFFFAOYSA-N -1 1 322.325 1.094 20 0 DDADMM CCO[C@@H](C(=O)NC1(c2nn[n-]n2)CCC1)c1ccccc1 ZINC001363545738 886600441 /nfs/dbraw/zinc/60/04/41/886600441.db2.gz BKEAEQUONOMSFF-GFCCVEGCSA-N -1 1 301.350 1.473 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc(C(C)C)[nH]c1=O ZINC001363546606 886604225 /nfs/dbraw/zinc/60/42/25/886604225.db2.gz SGILPTJVKPJNGH-UHFFFAOYSA-N -1 1 318.381 1.722 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)c2ccc(O)c(C)c2)co1 ZINC001363563483 886643197 /nfs/dbraw/zinc/64/31/97/886643197.db2.gz WKKYWIGSEHSCSC-UHFFFAOYSA-N -1 1 324.358 1.478 20 0 DDADMM O=C(N[C@H](CO)[C@@H](O)c1ccccn1)c1ccc(Cl)cc1[O-] ZINC001363564022 886644669 /nfs/dbraw/zinc/64/46/69/886644669.db2.gz SJVBSAISRSTRQG-OCCSQVGLSA-N -1 1 322.748 1.265 20 0 DDADMM O=C(NCCC1(CNC(=O)C2CC2)CC1)c1ncccc1[O-] ZINC001383876124 886682340 /nfs/dbraw/zinc/68/23/40/886682340.db2.gz HOLUBEVULVVWRI-UHFFFAOYSA-N -1 1 303.362 1.214 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2cc([O-])c(F)c(F)c2)CCO1 ZINC001232973276 886703586 /nfs/dbraw/zinc/70/35/86/886703586.db2.gz RQDZZNZRPNVMKF-LBPRGKRZSA-N -1 1 301.289 1.434 20 0 DDADMM [O-]c1cc(CN2CCN(c3ncncn3)CC2)cc(F)c1F ZINC001232974332 886704413 /nfs/dbraw/zinc/70/44/13/886704413.db2.gz SYYDXIDOQKDGOC-UHFFFAOYSA-N -1 1 307.304 1.178 20 0 DDADMM Cc1cc2n(n1)c(=O)cc(C)n2Cc1cc(Cl)ncc1[O-] ZINC001233034662 886743968 /nfs/dbraw/zinc/74/39/68/886743968.db2.gz QADVSURARRQFJE-UHFFFAOYSA-N -1 1 304.737 1.915 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CC[C@@H](Oc2cnccn2)C1 ZINC001233041152 886749317 /nfs/dbraw/zinc/74/93/17/886749317.db2.gz SOYGZFLFDORCGW-LLVKDONJSA-N -1 1 306.753 1.884 20 0 DDADMM COc1ccc(C(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)cn1 ZINC001363621863 886789587 /nfs/dbraw/zinc/78/95/87/886789587.db2.gz AVMIYGIZKLTQNN-UHFFFAOYSA-N -1 1 304.306 1.631 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2ccc(OCC)o2)n1 ZINC001363633841 886828088 /nfs/dbraw/zinc/82/80/88/886828088.db2.gz FLINBXZZNICSOL-SECBINFHSA-N -1 1 308.338 1.824 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)c1cnoc1C1CC1 ZINC001363682394 886965251 /nfs/dbraw/zinc/96/52/51/886965251.db2.gz BPWADMQQSFFWHN-UHFFFAOYSA-N -1 1 316.317 1.050 20 0 DDADMM CCc1noc([C@@H]2CC[C@H](NC(=O)c3cncc([O-])c3)C2)n1 ZINC001363806919 887272308 /nfs/dbraw/zinc/27/23/08/887272308.db2.gz YYSFPBCHGLZMMO-KOLCDFICSA-N -1 1 302.334 1.799 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H]1CCCc2cccnc21 ZINC001233757131 887280905 /nfs/dbraw/zinc/28/09/05/887280905.db2.gz XTVKAEXHRWAZIL-JTQLQIEISA-N -1 1 301.302 1.820 20 0 DDADMM COC(=O)c1cc([O-])c(Br)c(O[C@H](C)CON)c1 ZINC001233907227 887447656 /nfs/dbraw/zinc/44/76/56/887447656.db2.gz GCFZGBPJWGLGPE-ZCFIWIBFSA-N -1 1 320.139 1.599 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCC(C)(C)O2)n[n-]1 ZINC001363881788 887462492 /nfs/dbraw/zinc/46/24/92/887462492.db2.gz BBLOJANBAXRDFJ-DTWKUNHWSA-N -1 1 310.354 1.116 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCC(C)(C)O2)[n-]1 ZINC001363881788 887462498 /nfs/dbraw/zinc/46/24/98/887462498.db2.gz BBLOJANBAXRDFJ-DTWKUNHWSA-N -1 1 310.354 1.116 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCC(C)(C)O2)n1 ZINC001363881788 887462503 /nfs/dbraw/zinc/46/25/03/887462503.db2.gz BBLOJANBAXRDFJ-DTWKUNHWSA-N -1 1 310.354 1.116 20 0 DDADMM CCO[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1csnc1OC ZINC001363938813 887577046 /nfs/dbraw/zinc/57/70/46/887577046.db2.gz UWJUSTLYFDASEV-RKDXNWHRSA-N -1 1 306.409 1.388 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC(C)(C)CC(C)C)[n-]n1 ZINC001363970055 887638357 /nfs/dbraw/zinc/63/83/57/887638357.db2.gz NJAFNBPBISHQGX-UHFFFAOYSA-N -1 1 317.411 1.547 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC(C)(C)CC(C)C)n[n-]1 ZINC001363970055 887638365 /nfs/dbraw/zinc/63/83/65/887638365.db2.gz NJAFNBPBISHQGX-UHFFFAOYSA-N -1 1 317.411 1.547 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1NC(=O)C[C@@H]1c1ccccc1 ZINC001364018987 887733993 /nfs/dbraw/zinc/73/39/93/887733993.db2.gz FUIGDGHLDZXYSV-CYBMUJFWSA-N -1 1 324.340 1.678 20 0 DDADMM COC[C@H](NC(=O)c1csc2c1CC[C@@H](C)C2)c1nn[n-]n1 ZINC001364020288 887737130 /nfs/dbraw/zinc/73/71/30/887737130.db2.gz CZCLRJVIMWGQQA-KCJUWKMLSA-N -1 1 321.406 1.504 20 0 DDADMM CC(C)(C)c1nc[nH]c1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364023942 887744219 /nfs/dbraw/zinc/74/42/19/887744219.db2.gz HKIWJMPDOHKIGV-SNVBAGLBSA-N -1 1 317.397 1.315 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@]1(C)CC1(C)C ZINC001364063558 887833904 /nfs/dbraw/zinc/83/39/04/887833904.db2.gz GYLXTOPQJNHNHL-CYBMUJFWSA-N -1 1 315.395 1.301 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1C[C@H]2CCC[C@@H]21 ZINC001364071678 887849508 /nfs/dbraw/zinc/84/95/08/887849508.db2.gz LNMANBVLDWNUSV-WCABBAIRSA-N -1 1 313.379 1.053 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)C1CCC(OC[C@H]2CCCO2)CC1 ZINC001364088343 887887036 /nfs/dbraw/zinc/88/70/36/887887036.db2.gz CXIJXLXHPRVAPF-HTAVTVPLSA-N -1 1 309.366 1.808 20 0 DDADMM O=C(N[C@H]1CS(=O)(=O)Cc2ccccc21)c1cncc([O-])c1 ZINC001364112810 887947732 /nfs/dbraw/zinc/94/77/32/887947732.db2.gz AAPWGXOIECJACU-AWEZNQCLSA-N -1 1 318.354 1.187 20 0 DDADMM COC(=O)c1ccc([C@@H](C)Oc2c(C(N)=O)nc[n-]c2=O)cc1 ZINC001234462437 887988374 /nfs/dbraw/zinc/98/83/74/887988374.db2.gz HILJPLSHHSQBQW-MRVPVSSYSA-N -1 1 317.301 1.208 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Nc2conc2C)[n-]c1=O ZINC001364142991 888018266 /nfs/dbraw/zinc/01/82/66/888018266.db2.gz QJBWFRJHNVAFDO-JTQLQIEISA-N -1 1 319.321 1.856 20 0 DDADMM O=C(NCC1(C2(O)CCOCC2)CC1)c1cccc([O-])c1F ZINC001364155372 888039194 /nfs/dbraw/zinc/03/91/94/888039194.db2.gz MYQXOJLCABABCQ-UHFFFAOYSA-N -1 1 309.337 1.583 20 0 DDADMM COC(=O)c1nc(-c2ccccc2)[n-]c(=O)c1OC1CC(=O)C1 ZINC001234555744 888089470 /nfs/dbraw/zinc/08/94/70/888089470.db2.gz WPTXVQKQCHLGPX-UHFFFAOYSA-N -1 1 314.297 1.746 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@@H](C)COC(C)(C)C)c(=O)[n-]1 ZINC001234563599 888102185 /nfs/dbraw/zinc/10/21/85/888102185.db2.gz ZKGVTLYYNFPHDG-VIFPVBQESA-N -1 1 311.382 1.987 20 0 DDADMM COC[C@H](C)OC[C@H](C)Oc1c(=O)[n-]c(C)nc1C(=O)OC ZINC001234563933 888102221 /nfs/dbraw/zinc/10/22/21/888102221.db2.gz AELBVKREMLWGCN-IUCAKERBSA-N -1 1 314.338 1.096 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H]2CCOC(C)(C)C2)c(=O)[n-]1 ZINC001234566167 888104808 /nfs/dbraw/zinc/10/48/08/888104808.db2.gz STKHCUSORVCTFQ-VIFPVBQESA-N -1 1 309.366 1.741 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC001364251888 888223068 /nfs/dbraw/zinc/22/30/68/888223068.db2.gz MWEZKZVYOYILRS-WSXWTOPLSA-N -1 1 311.345 1.021 20 0 DDADMM CN1CCN(c2nccc(-c3ccc(/C=C/C(=O)[O-])cc3)n2)CC1 ZINC001235168706 888448706 /nfs/dbraw/zinc/44/87/06/888448706.db2.gz IPFZHUOLKDZEPX-QPJJXVBHSA-N -1 1 324.384 1.993 20 0 DDADMM CCOC(=O)c1ccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)cc1 ZINC001364569312 888946805 /nfs/dbraw/zinc/94/68/05/888946805.db2.gz SWRYTZOKYWQTDN-GFCCVEGCSA-N -1 1 315.333 1.006 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H](O)c1ccccc1Cl ZINC001364652410 889125752 /nfs/dbraw/zinc/12/57/52/889125752.db2.gz UMQRSFPHZYOWLG-CYBMUJFWSA-N -1 1 323.784 1.571 20 0 DDADMM O=C(CCC(F)F)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385682133 889559489 /nfs/dbraw/zinc/55/94/89/889559489.db2.gz OAQGQLQPTLNEOJ-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](c1ccccn1)C1CC1 ZINC001364918215 889665182 /nfs/dbraw/zinc/66/51/82/889665182.db2.gz XLCZPWPYBUAYDV-SNVBAGLBSA-N -1 1 316.317 1.173 20 0 DDADMM C[C@@H]1[C@@H](C)S(=O)(=O)CCN1Cc1ccc([O-])c(F)c1F ZINC001364924627 889677944 /nfs/dbraw/zinc/67/79/44/889677944.db2.gz BOIWUAWRFHXNAA-RKDXNWHRSA-N -1 1 305.346 1.678 20 0 DDADMM Nc1cccc([N-]S(=O)(=O)c2ccc3[nH]c(=O)oc3c2)c1 ZINC001237598419 889734639 /nfs/dbraw/zinc/73/46/39/889734639.db2.gz GBNSVTZDHDYHKL-UHFFFAOYSA-N -1 1 305.315 1.916 20 0 DDADMM COC(=O)C1CCN(C(=O)c2cc(C)cc(C=O)c2[O-])CC1 ZINC001290203715 913393299 /nfs/dbraw/zinc/39/32/99/913393299.db2.gz VIZHHJZPLLZUCF-UHFFFAOYSA-N -1 1 305.330 1.538 20 0 DDADMM CCOC(=O)c1cn(C)nc1NC(=O)c1ccc(O)cc1[O-] ZINC001365185330 890287784 /nfs/dbraw/zinc/28/77/84/890287784.db2.gz DBIKYZZPMWMJFE-UHFFFAOYSA-N -1 1 305.290 1.260 20 0 DDADMM C[C@H](C[C@@H](C)NC(=O)C(F)F)NC(=O)c1ncccc1[O-] ZINC001396252312 913466588 /nfs/dbraw/zinc/46/65/88/913466588.db2.gz MSYYMEJXSRHQEQ-HTQZYQBOSA-N -1 1 301.293 1.065 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@]12C[C@@H]1CCCC2 ZINC001386241778 890628216 /nfs/dbraw/zinc/62/82/16/890628216.db2.gz QWHKNPFJPOYSGL-PEBVRCNWSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CCC1CCC1 ZINC001386243210 890628240 /nfs/dbraw/zinc/62/82/40/890628240.db2.gz RBONXMXBIPUDST-LLVKDONJSA-N -1 1 305.378 1.602 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCCC1(C)C ZINC001386243252 890630228 /nfs/dbraw/zinc/63/02/28/890630228.db2.gz RUFRMBUYFHJDLS-VXGBXAGGSA-N -1 1 319.405 1.848 20 0 DDADMM O=S(=O)([N-]Cc1ccc(CO)cc1)c1c[nH]nc1Cl ZINC001365425678 890777140 /nfs/dbraw/zinc/77/71/40/890777140.db2.gz VRASTRZWERAHCG-UHFFFAOYSA-N -1 1 301.755 1.034 20 0 DDADMM CN1CCN(c2cc(-c3c(F)cc([O-])cc3F)ncn2)CC1=O ZINC001241119772 891122037 /nfs/dbraw/zinc/12/20/37/891122037.db2.gz KNYLWGHBCKYWCJ-UHFFFAOYSA-N -1 1 320.299 1.406 20 0 DDADMM CSCCC(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001386553426 891196959 /nfs/dbraw/zinc/19/69/59/891196959.db2.gz XJINNYJVFFSLTC-LLVKDONJSA-N -1 1 323.418 1.119 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)CC(C)(C)OC)sn1 ZINC001365672915 891266515 /nfs/dbraw/zinc/26/65/15/891266515.db2.gz JYOYIYIMPZRSQF-QMMMGPOBSA-N -1 1 308.425 1.634 20 0 DDADMM O=C([O-])c1cncc(-c2cccc3nc([C@H]4CNCCO4)cn32)c1 ZINC001241802976 891274006 /nfs/dbraw/zinc/27/40/06/891274006.db2.gz MWKHTAQOXCYQBI-OAHLLOKOSA-N -1 1 324.340 1.755 20 0 DDADMM CCn1nc(C)c(NC(=O)N2CCC(c3nn[n-]n3)CC2)c1C ZINC001365776344 891524657 /nfs/dbraw/zinc/52/46/57/891524657.db2.gz HWBQDAZUFXKKKF-UHFFFAOYSA-N -1 1 318.385 1.444 20 0 DDADMM Cc1nc(S(C)(=O)=O)ncc1-c1ccc([O-])c(F)c1F ZINC001243343718 891644542 /nfs/dbraw/zinc/64/45/42/891644542.db2.gz OOCZKHWUCBHMEE-UHFFFAOYSA-N -1 1 300.286 1.839 20 0 DDADMM CN1CCOc2cc(-c3ccc4c(c3)CC(=O)[N-]C4=O)ccc21 ZINC001243946326 891760181 /nfs/dbraw/zinc/76/01/81/891760181.db2.gz YNRVKJOEDRRLDB-UHFFFAOYSA-N -1 1 308.337 1.995 20 0 DDADMM NS(=O)(=O)c1ccnc(-c2c(F)c([O-])ccc2Cl)c1 ZINC001244541184 891865924 /nfs/dbraw/zinc/86/59/24/891865924.db2.gz ZNUSKVJMKHGGSQ-UHFFFAOYSA-N -1 1 302.714 1.894 20 0 DDADMM COCCCNC(=S)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001245623797 892202033 /nfs/dbraw/zinc/20/20/33/892202033.db2.gz NDQWCEIRCMUOQL-UHFFFAOYSA-N -1 1 306.391 1.901 20 0 DDADMM COc1cc(OC)c(Nc2cc(S(C)(=O)=O)ccc2[O-])cn1 ZINC001249910604 894162452 /nfs/dbraw/zinc/16/24/52/894162452.db2.gz UBPTUTDPILNPLN-UHFFFAOYSA-N -1 1 324.358 1.952 20 0 DDADMM CC(C)(C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001387926883 894164093 /nfs/dbraw/zinc/16/40/93/894164093.db2.gz AJMRRMWTNGIGQV-UHFFFAOYSA-N -1 1 317.389 1.412 20 0 DDADMM CN1Cc2c(cccc2[N-]S(=O)(=O)c2cccc(N)c2)C1=O ZINC001249940897 894170240 /nfs/dbraw/zinc/17/02/40/894170240.db2.gz BOAYIRBMHMXFMR-UHFFFAOYSA-N -1 1 317.370 1.655 20 0 DDADMM CCOC(=O)c1[n-]cnc1-c1nc2cc3c(cc2[nH]1)OCCO3 ZINC001251232559 894671799 /nfs/dbraw/zinc/67/17/99/894671799.db2.gz XIUZKPPEJNKBQL-UHFFFAOYSA-N -1 1 314.301 1.901 20 0 DDADMM NS(=O)(=O)c1ccc2[nH]c(-c3ccc(F)c([O-])c3)nc2c1 ZINC001251477199 894713720 /nfs/dbraw/zinc/71/37/20/894713720.db2.gz JXNUIYULNXSZDZ-UHFFFAOYSA-N -1 1 307.306 1.722 20 0 DDADMM NC(=O)Cc1cccc([N-]S(=O)(=O)c2ccc(F)cc2)c1 ZINC001251661506 894765116 /nfs/dbraw/zinc/76/51/16/894765116.db2.gz LOQNYZOQBWVODI-UHFFFAOYSA-N -1 1 308.334 1.654 20 0 DDADMM CSc1nc(NC[C@H](O)COCc2ccccc2)cc(=O)[n-]1 ZINC001252275158 895051141 /nfs/dbraw/zinc/05/11/41/895051141.db2.gz QOKGQBZHAZNDTP-LBPRGKRZSA-N -1 1 321.402 1.894 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(NC[C@@H](O)c3ccc(F)cc3)c2[nH]1 ZINC001252865417 895499937 /nfs/dbraw/zinc/49/99/37/895499937.db2.gz ZMQOTAAMKDYAQO-MRVPVSSYSA-N -1 1 305.269 1.000 20 0 DDADMM CCS(=O)(=O)[N-]c1cn2cc(Br)ncc2n1 ZINC001253284609 895766504 /nfs/dbraw/zinc/76/65/04/895766504.db2.gz CAEMTIXWRUYMJF-UHFFFAOYSA-N -1 1 305.157 1.253 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NCc1nc(C)oc1C ZINC001367103543 895872832 /nfs/dbraw/zinc/87/28/32/895872832.db2.gz SEYFFVGXLDDTSC-GFCCVEGCSA-N -1 1 318.377 1.690 20 0 DDADMM CC(C)(C)OC(=O)[C@@H](O)CNc1cc2ccc([O-])cc2oc1=O ZINC001253671857 895983275 /nfs/dbraw/zinc/98/32/75/895983275.db2.gz TVBFRMHFWABZQJ-LBPRGKRZSA-N -1 1 321.329 1.613 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@H](Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)C1 ZINC001255345714 896854924 /nfs/dbraw/zinc/85/49/24/896854924.db2.gz ZKFAUXCPKOVZON-SFYZADRCSA-N -1 1 321.337 1.250 20 0 DDADMM Cc1ccc(Cl)cc1C(=O)N[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367701398 897510209 /nfs/dbraw/zinc/51/02/09/897510209.db2.gz GUJHBYFZKPHSLC-SECBINFHSA-N -1 1 323.784 1.380 20 0 DDADMM O=S(=O)([N-]Cc1ccn(CCF)n1)c1cc(F)ccc1F ZINC001258950639 898378720 /nfs/dbraw/zinc/37/87/20/898378720.db2.gz NAYSYGIPCFWNDM-UHFFFAOYSA-N -1 1 319.308 1.609 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc(F)c(F)cc1F)NC1CCC1 ZINC001259043830 898438792 /nfs/dbraw/zinc/43/87/92/898438792.db2.gz FDFUOMONQVJFCM-UHFFFAOYSA-N -1 1 322.308 1.051 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])c1cn(-c2cccnc2)nn1 ZINC001299580758 898650754 /nfs/dbraw/zinc/65/07/54/898650754.db2.gz JCWLSHMILHRDHI-UHFFFAOYSA-N -1 1 317.255 1.898 20 0 DDADMM O=S(=O)([N-]CCN1CCCCO1)c1cc(Cl)ccc1F ZINC001259459933 898680278 /nfs/dbraw/zinc/68/02/78/898680278.db2.gz QEHIJDZLABTCBN-UHFFFAOYSA-N -1 1 322.789 1.785 20 0 DDADMM CCOC(=O)C1=C([N-]S(=O)(=O)C2CCCCC2)[C@H](C)OC1 ZINC001259816768 898825629 /nfs/dbraw/zinc/82/56/29/898825629.db2.gz CLWHQVARGHPNAH-JTQLQIEISA-N -1 1 317.407 1.474 20 0 DDADMM COc1ccc(CS(=O)(=O)[N-]c2ncccc2CCO)cc1 ZINC001259893894 898905393 /nfs/dbraw/zinc/90/53/93/898905393.db2.gz PPILUVVQWJHUFO-UHFFFAOYSA-N -1 1 322.386 1.567 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]Cc2cccnc2C(F)(F)F)n1 ZINC001259946972 898963978 /nfs/dbraw/zinc/96/39/78/898963978.db2.gz PILLHWXDWKFANO-UHFFFAOYSA-N -1 1 320.296 1.312 20 0 DDADMM COc1cccc2c1SC[C@@H]([N-]S(=O)(=O)C(F)F)C2 ZINC001259964969 898989517 /nfs/dbraw/zinc/98/95/17/898989517.db2.gz QBZYMGHLKBKUBF-QMMMGPOBSA-N -1 1 309.359 1.854 20 0 DDADMM C[N@H+]1C[C@H]2CCCN(S(=O)(=O)c3cccc(C(=O)[O-])c3)[C@@H]2C1 ZINC001260187509 899081700 /nfs/dbraw/zinc/08/17/00/899081700.db2.gz TXRDLOWDLAUHBT-TZMCWYRMSA-N -1 1 324.402 1.100 20 0 DDADMM CN1C[C@H]2CCCN(S(=O)(=O)c3cccc(C(=O)[O-])c3)[C@@H]2C1 ZINC001260187509 899081720 /nfs/dbraw/zinc/08/17/20/899081720.db2.gz TXRDLOWDLAUHBT-TZMCWYRMSA-N -1 1 324.402 1.100 20 0 DDADMM O=C1C[C@@H](C[N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)CN1 ZINC001260206378 899088020 /nfs/dbraw/zinc/08/80/20/899088020.db2.gz MYJMBZZHPXMIDB-ZCFIWIBFSA-N -1 1 324.736 1.033 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]1O)c1cc(Cl)c(F)cc1F ZINC001260206505 899089128 /nfs/dbraw/zinc/08/91/28/899089128.db2.gz RPTLINQGNWLFSH-ZJUUUORDSA-N -1 1 311.737 1.810 20 0 DDADMM Cc1cnc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)nc1C ZINC001260220612 899093618 /nfs/dbraw/zinc/09/36/18/899093618.db2.gz COLQTXPLDGQENW-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cc(Cl)cnc1Cl ZINC001260583519 899171538 /nfs/dbraw/zinc/17/15/38/899171538.db2.gz FLKVJSZBAVSVFO-UHFFFAOYSA-N -1 1 319.191 1.132 20 0 DDADMM CC(C)(C)c1cc([N-]S(=O)(=O)CS(C)(=O)=O)ccn1 ZINC001260584591 899175309 /nfs/dbraw/zinc/17/53/09/899175309.db2.gz OAYSWMGTHYJRKX-UHFFFAOYSA-N -1 1 306.409 1.123 20 0 DDADMM CC[C@H](C)S(=O)(=O)N1CCC([N-]C(=O)C(F)(F)F)CC1 ZINC001260732535 899236334 /nfs/dbraw/zinc/23/63/34/899236334.db2.gz LHUJDMNBRMZLFR-QMMMGPOBSA-N -1 1 316.345 1.258 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-]c1cnc(Cl)nc1Cl ZINC001261023952 899324932 /nfs/dbraw/zinc/32/49/32/899324932.db2.gz WRODIFSCTOLRSO-UHFFFAOYSA-N -1 1 322.177 1.924 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@H]1CCO[C@H](CC)C1 ZINC001261270514 899443046 /nfs/dbraw/zinc/44/30/46/899443046.db2.gz CCYQMOWTLWFSKL-NWDGAFQWSA-N -1 1 301.383 1.971 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCCc1nc(CC)no1 ZINC001261270534 899443805 /nfs/dbraw/zinc/44/38/05/899443805.db2.gz DBESCYFRAAEPQH-UHFFFAOYSA-N -1 1 313.354 1.344 20 0 DDADMM CS[C@H](C)CC(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001293253978 914372232 /nfs/dbraw/zinc/37/22/32/914372232.db2.gz SPIKDONIWPTMBT-SNVBAGLBSA-N -1 1 311.407 1.165 20 0 DDADMM CO[C@H](CC(C)C)C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001293262583 914376106 /nfs/dbraw/zinc/37/61/06/914376106.db2.gz MOSPUOAKZQDNGQ-CYBMUJFWSA-N -1 1 323.393 1.084 20 0 DDADMM CC(C)N1C(=S)N=NC1CNC(=O)c1ccc(F)c([O-])c1 ZINC001293437482 914475024 /nfs/dbraw/zinc/47/50/24/914475024.db2.gz ICOPODJMVCYBAH-UHFFFAOYSA-N -1 1 310.354 1.922 20 0 DDADMM O=Cc1ccc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)s1 ZINC001263911473 900813888 /nfs/dbraw/zinc/81/38/88/900813888.db2.gz JZXSOHHUUYRJQH-SECBINFHSA-N -1 1 305.363 1.169 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CC2CCC1CC2 ZINC001392136707 903365484 /nfs/dbraw/zinc/36/54/84/903365484.db2.gz GAQXOKLZJLWGES-XVSSEFHLSA-N -1 1 321.425 1.273 20 0 DDADMM CC/C=C(\C)C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001281341636 904698071 /nfs/dbraw/zinc/69/80/71/904698071.db2.gz QEUDHROCKJZPTO-IZZDOVSWSA-N -1 1 317.389 1.863 20 0 DDADMM CC(C)[C@@H](C)C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001294037006 914874317 /nfs/dbraw/zinc/87/43/17/914874317.db2.gz HSPOWSPCVHUHEA-GFCCVEGCSA-N -1 1 307.394 1.658 20 0 DDADMM CC(C)CC(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001371674002 905469716 /nfs/dbraw/zinc/46/97/16/905469716.db2.gz ZTTPDJXIEXTPBW-TXEJJXNPSA-N -1 1 305.378 1.458 20 0 DDADMM CC(C)CCC(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372346322 907086272 /nfs/dbraw/zinc/08/62/72/907086272.db2.gz VTXQJYANCXPKFT-ZDUSSCGKSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001372829100 908368762 /nfs/dbraw/zinc/36/87/62/908368762.db2.gz AGHIELYSIHPUFL-MEWQQHAOSA-N -1 1 303.362 1.068 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)C1CC2(CCC2)C1 ZINC001393962569 908392925 /nfs/dbraw/zinc/39/29/25/908392925.db2.gz CIIQYABFJWXULA-NXEZZACHSA-N -1 1 307.398 1.073 20 0 DDADMM CSC[C@@H](C)C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001372837918 908394669 /nfs/dbraw/zinc/39/46/69/908394669.db2.gz VDBHHGLRALTKHJ-ZJUUUORDSA-N -1 1 311.407 1.021 20 0 DDADMM C/C=C(/C)C(=O)N(CC)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001285016801 909945883 /nfs/dbraw/zinc/94/58/83/909945883.db2.gz LWRQBRLRRMVFDK-VEIWZVCTSA-N -1 1 317.389 1.816 20 0 DDADMM O=C(CCCF)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001397061731 915082194 /nfs/dbraw/zinc/08/21/94/915082194.db2.gz RYHLBHVBLXAGKZ-LLVKDONJSA-N -1 1 309.341 1.162 20 0 DDADMM CCOC(=O)CC[C@H](C)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001295173537 915623263 /nfs/dbraw/zinc/62/32/63/915623263.db2.gz FUDCLQDMIVVCNM-ZETCQYMHSA-N -1 1 313.379 1.241 20 0 DDADMM CCc1cnccc1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001295747116 916033396 /nfs/dbraw/zinc/03/33/96/916033396.db2.gz APIOIHAZMUDPRW-UHFFFAOYSA-N -1 1 313.386 1.905 20 0 DDADMM C[C@H](CNC(=O)C(C)(C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001397536835 916243602 /nfs/dbraw/zinc/24/36/02/916243602.db2.gz TVCTWBXYWQMCGY-SNVBAGLBSA-N -1 1 305.378 1.458 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@H]1CC12CCC2 ZINC001375698625 916735857 /nfs/dbraw/zinc/73/58/57/916735857.db2.gz UGEYVRZALOQQKL-VXGBXAGGSA-N -1 1 317.389 1.460 20 0 DDADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)[C@H]1CC12CCCC2 ZINC001376798655 919414648 /nfs/dbraw/zinc/41/46/48/919414648.db2.gz QKAADGVUXRBTPS-LLVKDONJSA-N -1 1 319.409 1.219 20 0 DDADMM C[C@H](CC(=O)NC[C@H](C)NC(=O)c1ncccc1[O-])C1CC1 ZINC001377545925 922292869 /nfs/dbraw/zinc/29/28/69/922292869.db2.gz QFQQQGDEAUWURR-MNOVXSKESA-N -1 1 305.378 1.458 20 0 DDADMM Cc1cc(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])oc1C ZINC001377545934 922293458 /nfs/dbraw/zinc/29/34/58/922293458.db2.gz QHYLDOJKBFRYTM-JTQLQIEISA-N -1 1 317.345 1.545 20 0 DDADMM C[C@@H](NC(=O)C1C(C)(C)C1(C)C)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001377784105 923025838 /nfs/dbraw/zinc/02/58/38/923025838.db2.gz LRINFRUQAFBHCX-DTWKUNHWSA-N -1 1 309.414 1.175 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](CC)CC(F)F)nc2n1 ZINC000622993247 365585631 /nfs/dbraw/zinc/58/56/31/365585631.db2.gz GPMSLHBAMSPTEE-ZETCQYMHSA-N -1 1 313.308 1.600 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCC[C@@H]3C)nc2n1 ZINC000622993209 365585914 /nfs/dbraw/zinc/58/59/14/365585914.db2.gz FPSOEBCJLWXIJA-GXSJLCMTSA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CC(F)(F)F)nc2n1 ZINC000622995830 365588998 /nfs/dbraw/zinc/58/89/98/365588998.db2.gz QRHIEMPDMGPLJB-UHFFFAOYSA-N -1 1 303.244 1.261 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)[C@H](C)CC)nc2n1 ZINC000622996919 365590421 /nfs/dbraw/zinc/59/04/21/365590421.db2.gz FQXKUDWUDMADPB-NXEZZACHSA-N -1 1 305.382 1.991 20 0 DDADMM Cc1cnc(C(=O)N2CCn3c(nnc3C(F)F)C2)c([O-])c1 ZINC000614233227 361764214 /nfs/dbraw/zinc/76/42/14/361764214.db2.gz WMHGGNPGIOBZAZ-UHFFFAOYSA-N -1 1 309.276 1.281 20 0 DDADMM NC(=O)[C@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451285142 231057376 /nfs/dbraw/zinc/05/73/76/231057376.db2.gz MRVLDJCMOLAHPU-CBAPKCEASA-N -1 1 322.839 1.724 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc([S@@](C)=O)cc2)c([O-])c1 ZINC000614629537 361953084 /nfs/dbraw/zinc/95/30/84/361953084.db2.gz FXLBCKIMVHDBID-OAQYLSRUSA-N -1 1 304.371 1.763 20 0 DDADMM Cn1c2ccccc2nc1N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000328906308 231383238 /nfs/dbraw/zinc/38/32/38/231383238.db2.gz KRGSVFGFPWKQCI-UHFFFAOYSA-N -1 1 315.406 1.164 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(Br)o1)[C@@H](C)O ZINC000451897111 529644616 /nfs/dbraw/zinc/64/46/16/529644616.db2.gz TUSYIWNNDNRQSU-RQJHMYQMSA-N -1 1 312.185 1.480 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)[C@@H](O)C(F)F ZINC000295722122 529644630 /nfs/dbraw/zinc/64/46/30/529644630.db2.gz LTDNTANEFXGRFM-WCBMZHEXSA-N -1 1 315.288 1.648 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCCN(CC(F)(F)F)C2)c([O-])c1 ZINC000614931511 362085406 /nfs/dbraw/zinc/08/54/06/362085406.db2.gz WOIONNYJBJFFOX-JTQLQIEISA-N -1 1 317.311 1.852 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C)NC(=O)CCc1ccccc1 ZINC000615223098 362202714 /nfs/dbraw/zinc/20/27/14/362202714.db2.gz YOKLNZHUCUAESE-LLVKDONJSA-N -1 1 316.361 1.500 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(N2CCNC2=O)c(C)c1 ZINC000615225534 362204514 /nfs/dbraw/zinc/20/45/14/362204514.db2.gz DFDNWQMNGFQLLI-UHFFFAOYSA-N -1 1 315.333 1.514 20 0 DDADMM COc1cc(NC(=O)Cc2ccco2)ccc1[N-]S(C)(=O)=O ZINC000340561765 539337197 /nfs/dbraw/zinc/33/71/97/539337197.db2.gz LQAJUXMQACQROH-UHFFFAOYSA-N -1 1 324.358 1.841 20 0 DDADMM CN(C)c1ccc(S(=O)(=O)[N-]c2cnc(N(C)C)nc2)cc1 ZINC000432771277 529785602 /nfs/dbraw/zinc/78/56/02/529785602.db2.gz VTVYKXLMZQFWMK-UHFFFAOYSA-N -1 1 321.406 1.409 20 0 DDADMM CN(C)c1ncc(CN(C)Cc2cccc(C(=O)[O-])c2)cn1 ZINC000422591869 529806378 /nfs/dbraw/zinc/80/63/78/529806378.db2.gz OMXRBZTXJUTIAB-UHFFFAOYSA-N -1 1 300.362 1.873 20 0 DDADMM CN1C[C@H]([N-]S(=O)(=O)c2sccc2Cl)CCC1=O ZINC000451216359 529829936 /nfs/dbraw/zinc/82/99/36/529829936.db2.gz JAXGPJNZSDQERM-SSDOTTSWSA-N -1 1 308.812 1.301 20 0 DDADMM CN(CCCNC(=O)C1CCC1)C(=O)C(=O)c1ccc([O-])cc1 ZINC000436620120 529888338 /nfs/dbraw/zinc/88/83/38/529888338.db2.gz ZTQCKNZSAFDDCM-UHFFFAOYSA-N -1 1 318.373 1.340 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)C2CC(C)(C)C2)co1 ZINC000331767168 530024490 /nfs/dbraw/zinc/02/44/90/530024490.db2.gz KSXNPBLYADHBKP-UHFFFAOYSA-N -1 1 300.380 1.448 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)C[C@@H]2CC=CCC2)o1 ZINC000330795587 530026945 /nfs/dbraw/zinc/02/69/45/530026945.db2.gz AVXBVNXOWTVTAT-LLVKDONJSA-N -1 1 312.391 1.616 20 0 DDADMM CN(CC(=O)[N-]OCc1ccccc1)[C@H](CO)c1ccccc1 ZINC000271527928 282355142 /nfs/dbraw/zinc/35/51/42/282355142.db2.gz NTTXJHBMSIAZDR-QGZVFWFLSA-N -1 1 314.385 1.900 20 0 DDADMM [O-]c1ccc(-c2noc(-c3cn([C@H]4CCOC4)nn3)n2)c(F)c1 ZINC000350586748 539411947 /nfs/dbraw/zinc/41/19/47/539411947.db2.gz BCQIHXAMYQWBGF-QMMMGPOBSA-N -1 1 317.280 1.801 20 0 DDADMM COc1ccc(C(=O)CCC(=O)[N-]OC(C)(C)CO)cc1F ZINC000297245232 282372245 /nfs/dbraw/zinc/37/22/45/282372245.db2.gz PUUWHRQGFIYYCK-UHFFFAOYSA-N -1 1 313.325 1.616 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C[C@H](C)O)C1CCCCC1 ZINC000330893362 232141953 /nfs/dbraw/zinc/14/19/53/232141953.db2.gz QTBHMNXCERBRAS-VIFPVBQESA-N -1 1 317.411 1.100 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)c2csc(=NC3CC3)[n-]2)C12CCC2 ZINC000458158695 232253701 /nfs/dbraw/zinc/25/37/01/232253701.db2.gz DUIFOGFSMNOSAC-VXGBXAGGSA-N -1 1 307.419 1.827 20 0 DDADMM CC1(C)CN(C(=O)c2ncc3ccccc3c2[O-])C[C@@H](CO)O1 ZINC000616368977 362663707 /nfs/dbraw/zinc/66/37/07/362663707.db2.gz CFYZFFXYFUWRCJ-LBPRGKRZSA-N -1 1 316.357 1.552 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)C[C@@H](C)NC(N)=O)[nH][n-]2)s1 ZINC000616811532 362829784 /nfs/dbraw/zinc/82/97/84/362829784.db2.gz SDIMKCKUPWWBNR-SSDOTTSWSA-N -1 1 307.379 1.254 20 0 DDADMM O=C(NCC1N=NC(=S)N1C1CC1)c1c([O-])cccc1F ZINC000123830282 185846375 /nfs/dbraw/zinc/84/63/75/185846375.db2.gz OWFYXIXWHVOROR-UHFFFAOYSA-N -1 1 308.338 1.676 20 0 DDADMM CCC[C@@H](C)S(=O)(=O)[N-][C@@H](CC(F)(F)F)C(=O)OC ZINC000564574256 304003105 /nfs/dbraw/zinc/00/31/05/304003105.db2.gz CSBXYFSYPNTYPE-SFYZADRCSA-N -1 1 305.318 1.588 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3CC(C)(C)OC3(C)C)ccnc1-2 ZINC000287165339 219354135 /nfs/dbraw/zinc/35/41/35/219354135.db2.gz MPRCHVTVUBQYLQ-ZDFPAGSVSA-N -1 1 317.393 1.809 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H]1C[C@@H](C)O ZINC000155855249 186118029 /nfs/dbraw/zinc/11/80/29/186118029.db2.gz YWVXSKDVJIEGPV-ZYHUDNBSSA-N -1 1 321.421 1.751 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-]C[C@](C)(O)C(F)(F)F ZINC000270452041 186393469 /nfs/dbraw/zinc/39/34/69/186393469.db2.gz COYKEHYJYSJHGL-QMMMGPOBSA-N -1 1 319.326 1.348 20 0 DDADMM COC[C@H](CCNC(=O)c1cc(Cl)c([O-])c(OC)c1)OC ZINC000617231419 363002803 /nfs/dbraw/zinc/00/28/03/363002803.db2.gz LYAFZQHDVDAFOW-JTQLQIEISA-N -1 1 317.769 1.836 20 0 DDADMM COc1cccc2c(C(=O)N=c3[n-]nc(C4CC4)n3C)n[nH]c21 ZINC000617284038 363036806 /nfs/dbraw/zinc/03/68/06/363036806.db2.gz RYFMLAOWVFBGCN-UHFFFAOYSA-N -1 1 312.333 1.252 20 0 DDADMM CN(C)c1ncc(CNc2ccc(-n3ccc(=O)[n-]3)cc2)n1C ZINC000623777071 366051419 /nfs/dbraw/zinc/05/14/19/366051419.db2.gz NMMVUEVYSAZHLI-UHFFFAOYSA-N -1 1 312.377 1.990 20 0 DDADMM CC(=O)c1ccc(NC(=O)Cc2sc(N(C)C)nc2[O-])cc1 ZINC000028757137 352231143 /nfs/dbraw/zinc/23/11/43/352231143.db2.gz FRHUULSOEOQUPN-LBPRGKRZSA-N -1 1 319.386 1.777 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cccc(F)c2)cc1C(N)=O ZINC000032081084 352274905 /nfs/dbraw/zinc/27/49/05/352274905.db2.gz XAKJQGKEICBNRR-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM Cc1cccc(OCCCCC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000057427373 352815463 /nfs/dbraw/zinc/81/54/63/352815463.db2.gz GWKBLFVTLCTJCK-UHFFFAOYSA-N -1 1 305.334 1.127 20 0 DDADMM COc1cc(C(=O)N[C@H](C)[C@H](CO)SC)cc(Cl)c1[O-] ZINC000358544995 299194119 /nfs/dbraw/zinc/19/41/19/299194119.db2.gz ZGNAULIMMLOTKW-HQJQHLMTSA-N -1 1 319.810 1.896 20 0 DDADMM O=C(NCc1n[n-]c(=S)n1C1CC1)c1cn2ccccc2n1 ZINC000066638079 353009603 /nfs/dbraw/zinc/00/96/03/353009603.db2.gz WIQXMQLECCEFPB-UHFFFAOYSA-N -1 1 314.374 1.853 20 0 DDADMM CO[C@@]1(C)C[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1(C)C ZINC000173835739 198258138 /nfs/dbraw/zinc/25/81/38/198258138.db2.gz WAPGBRVKFLNBOA-UFBFGSQYSA-N -1 1 321.830 1.555 20 0 DDADMM O=C(CN[C@H](c1ccccc1)C(F)(F)F)Nc1nnn[n-]1 ZINC000073794778 353271763 /nfs/dbraw/zinc/27/17/63/353271763.db2.gz NJBFGYWTMQYFSH-SECBINFHSA-N -1 1 300.244 1.031 20 0 DDADMM O=C(CN[C@H](c1ccccc1)C(F)(F)F)Nc1nn[n-]n1 ZINC000073794778 353271765 /nfs/dbraw/zinc/27/17/65/353271765.db2.gz NJBFGYWTMQYFSH-SECBINFHSA-N -1 1 300.244 1.031 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)Cc2cccc(C)n2)o1 ZINC000078027309 353501782 /nfs/dbraw/zinc/50/17/82/353501782.db2.gz KQOGBERPGVKDKE-UHFFFAOYSA-N -1 1 323.374 1.163 20 0 DDADMM O=C1NCCCC[C@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084936534 353730657 /nfs/dbraw/zinc/73/06/57/353730657.db2.gz OIARLMUUPCDZGK-LLVKDONJSA-N -1 1 308.359 1.380 20 0 DDADMM COCCOc1ncccc1[N-]S(=O)(=O)CCC1CC1 ZINC000092276123 353849427 /nfs/dbraw/zinc/84/94/27/353849427.db2.gz XYUGMGKQXHANFQ-UHFFFAOYSA-N -1 1 300.380 1.649 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1cncc([O-])c1)c1cccs1 ZINC000109042766 353956935 /nfs/dbraw/zinc/95/69/35/353956935.db2.gz NJGRSSCQYCFEJP-NSHDSACASA-N -1 1 306.343 1.883 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCc3ccc(C)cc32)o1 ZINC000124051164 354036398 /nfs/dbraw/zinc/03/63/98/354036398.db2.gz SEIZKGHNHLYOPS-UHFFFAOYSA-N -1 1 320.370 1.699 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601298479 358484792 /nfs/dbraw/zinc/48/47/92/358484792.db2.gz AATPOUDAAZZIGS-VIFPVBQESA-N -1 1 306.409 1.318 20 0 DDADMM O=C([O-])C1CCC(NC(=O)[C@H]2NCCc3ccccc32)CC1 ZINC000314746411 354488239 /nfs/dbraw/zinc/48/82/39/354488239.db2.gz XMGZIIAVWYVDJT-PIMMBPRGSA-N -1 1 302.374 1.633 20 0 DDADMM COC[C@@](C)(O)CNCc1cccc(O)c1Br ZINC000315460927 354494449 /nfs/dbraw/zinc/49/44/49/354494449.db2.gz SAHVJFFCYQILCR-LBPRGKRZSA-N -1 1 304.184 1.642 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)C(=O)[N-]O[C@H]1CCCCO1 ZINC000276998174 213228736 /nfs/dbraw/zinc/22/87/36/213228736.db2.gz OAVGLFKJADDWNG-ZDUSSCGKSA-N -1 1 318.333 1.384 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CCCCO2)c(F)c1 ZINC000588679700 354928463 /nfs/dbraw/zinc/92/84/63/354928463.db2.gz JXTKSRGIWCHMAO-VIFPVBQESA-N -1 1 321.345 1.821 20 0 DDADMM CN(C)C(=O)[C@@H]1CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000075408471 191472960 /nfs/dbraw/zinc/47/29/60/191472960.db2.gz SMEMPLWMTHJWOG-LBPRGKRZSA-N -1 1 314.345 1.028 20 0 DDADMM C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1ccc(Cl)cc1[O-] ZINC000287520469 291066177 /nfs/dbraw/zinc/06/61/77/291066177.db2.gz VFRIDWHMRSHXNX-WCQYABFASA-N -1 1 324.808 1.981 20 0 DDADMM CC[C@H](CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O)C(=O)OC ZINC000592856549 355719039 /nfs/dbraw/zinc/71/90/39/355719039.db2.gz MBSRRQIRYJJFGU-SNVBAGLBSA-N -1 1 323.393 1.717 20 0 DDADMM COC(=O)[C@@H](CN=c1nc(C(F)(F)F)[n-]s1)CC1CC1 ZINC000593497158 355904102 /nfs/dbraw/zinc/90/41/02/355904102.db2.gz OCQOMMLKUZRYFH-SSDOTTSWSA-N -1 1 309.313 1.980 20 0 DDADMM CCOC(=O)Cn1ccc(=NC(=O)c2ccc([O-])c(CC)c2)[nH]1 ZINC000594419410 356204850 /nfs/dbraw/zinc/20/48/50/356204850.db2.gz JVTDNESYNDTXLQ-UHFFFAOYSA-N -1 1 317.345 1.388 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H](C)c2ccccn2)c1 ZINC000594793850 356318721 /nfs/dbraw/zinc/31/87/21/356318721.db2.gz FNYUIQDQXXRDCC-SNVBAGLBSA-N -1 1 324.358 1.543 20 0 DDADMM CCC[C@H](C)S(=O)(=O)[N-][C@]1(C(=O)OC(C)(C)C)CCOC1 ZINC000594843394 356334481 /nfs/dbraw/zinc/33/44/81/356334481.db2.gz SUGZAUFPDHRPAE-SMDDNHRTSA-N -1 1 321.439 1.595 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2occc2C)cc1C ZINC000595329993 356451061 /nfs/dbraw/zinc/45/10/61/356451061.db2.gz GASIFJQSPCHDCN-UHFFFAOYSA-N -1 1 313.331 1.755 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H](C)CCCO)cc1C ZINC000595336149 356453861 /nfs/dbraw/zinc/45/38/61/356453861.db2.gz YUZBVLBVVQDEMT-SECBINFHSA-N -1 1 319.379 1.062 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC[C@@H]2CCOC2)cc1C ZINC000595321057 356448487 /nfs/dbraw/zinc/44/84/87/356448487.db2.gz JZXMVCDANAPQQJ-SNVBAGLBSA-N -1 1 317.363 1.080 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C2(CC(C)C)CC2)c1 ZINC000595368568 356467332 /nfs/dbraw/zinc/46/73/32/356467332.db2.gz KLTCKLIRHDRSBI-UHFFFAOYSA-N -1 1 301.364 1.923 20 0 DDADMM NC(=O)[C@@H]1CCC[C@H](NC(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000631416452 422751930 /nfs/dbraw/zinc/75/19/30/422751930.db2.gz WHCCOKUJZFRSHL-MNOVXSKESA-N -1 1 310.781 1.748 20 0 DDADMM CN(CC(C)(C)O)C(=O)c1ccc(Br)cc1[O-] ZINC000081744929 192318703 /nfs/dbraw/zinc/31/87/03/192318703.db2.gz JTDUKRCXBWPXCV-UHFFFAOYSA-N -1 1 302.168 1.998 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCC1(F)F)C(C)(C)C ZINC000601348325 358510252 /nfs/dbraw/zinc/51/02/52/358510252.db2.gz WLDZJADPNGHMMO-DTWKUNHWSA-N -1 1 313.366 1.539 20 0 DDADMM COc1ccc(CCC(=O)N2CCN([C@@H](C)C(=O)[O-])CC2)cc1 ZINC000237188961 202182657 /nfs/dbraw/zinc/18/26/57/202182657.db2.gz WLRCOOYZTNOOAB-ZDUSSCGKSA-N -1 1 320.389 1.245 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccsc1Cl ZINC000598826154 357736223 /nfs/dbraw/zinc/73/62/23/357736223.db2.gz ZXGMAXQJZKDTHA-UHFFFAOYSA-N -1 1 313.766 1.986 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)[C@H]1COC(C)(C)C1)C(=O)OCC ZINC000599276720 357872111 /nfs/dbraw/zinc/87/21/11/357872111.db2.gz BFOBXWKPEVZOHJ-OXTPZFROSA-N -1 1 319.423 1.371 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccccc1OCc1cscn1 ZINC000600496318 358241049 /nfs/dbraw/zinc/24/10/49/358241049.db2.gz BRAXBEAIQJRORF-UHFFFAOYSA-N -1 1 316.346 1.165 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(OCC(F)(F)F)cc1 ZINC000600505308 358242865 /nfs/dbraw/zinc/24/28/65/358242865.db2.gz OKJLMKNKPKVRFJ-UHFFFAOYSA-N -1 1 301.228 1.071 20 0 DDADMM CNC(=O)[C@@H]1CCCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180558457 199176361 /nfs/dbraw/zinc/17/63/61/199176361.db2.gz VPPXVDIVHSZIER-JTQLQIEISA-N -1 1 316.279 1.762 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncc(C)cc2[O-])[C@@H]2CCC[C@@H]21 ZINC000600555746 358256860 /nfs/dbraw/zinc/25/68/60/358256860.db2.gz YLWBVJSJTZJHPS-JHJVBQTASA-N -1 1 318.373 1.899 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nnc(C(C)(C)C)s2)c[n-]1 ZINC000600773140 358312372 /nfs/dbraw/zinc/31/23/72/358312372.db2.gz BEYIUFVASNEJMW-UHFFFAOYSA-N -1 1 323.378 1.988 20 0 DDADMM CC(C)(C)CC(=O)N1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000600944778 358350984 /nfs/dbraw/zinc/35/09/84/358350984.db2.gz HMXDSRXTPLQURA-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C(=O)OC(C)C)C(C)C)sn1 ZINC000601428933 358548844 /nfs/dbraw/zinc/54/88/44/358548844.db2.gz HTLSFJKQUFLJFZ-NSHDSACASA-N -1 1 320.436 1.706 20 0 DDADMM COC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1 ZINC000601468378 358567367 /nfs/dbraw/zinc/56/73/67/358567367.db2.gz CBFCQMUPXRDNHE-LJGSYFOKSA-N -1 1 309.290 1.447 20 0 DDADMM COC(=O)c1cnc(C(=O)[N-]c2cc(F)cc(F)c2F)cn1 ZINC000348136008 283385752 /nfs/dbraw/zinc/38/57/52/283385752.db2.gz NHVLNZGNWDQIQS-UHFFFAOYSA-N -1 1 311.219 1.933 20 0 DDADMM COC(=O)[C@@H](CCF)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601648849 358636703 /nfs/dbraw/zinc/63/67/03/358636703.db2.gz GXBBARGWRDLWDJ-SNVBAGLBSA-N -1 1 303.717 1.606 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cnn2c1CCCC2 ZINC000601978930 358763892 /nfs/dbraw/zinc/76/38/92/358763892.db2.gz WWVDYQKZMIEGHZ-UHFFFAOYSA-N -1 1 315.395 1.160 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)c2ccccc2)n[n-]1 ZINC000603014310 359356929 /nfs/dbraw/zinc/35/69/29/359356929.db2.gz DPPKPLUXEZJPDE-JTQLQIEISA-N -1 1 302.334 1.401 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)c2ccccc2)n1 ZINC000603014310 359356935 /nfs/dbraw/zinc/35/69/35/359356935.db2.gz DPPKPLUXEZJPDE-JTQLQIEISA-N -1 1 302.334 1.401 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CC[C@@H](C)SC)n[n-]1 ZINC000603014939 359358257 /nfs/dbraw/zinc/35/82/57/359358257.db2.gz ILORYPCIFKYOLC-MRVPVSSYSA-N -1 1 300.384 1.129 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CC[C@@H](C)SC)n1 ZINC000603014939 359358260 /nfs/dbraw/zinc/35/82/60/359358260.db2.gz ILORYPCIFKYOLC-MRVPVSSYSA-N -1 1 300.384 1.129 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CSCCC(C)C)n[n-]1 ZINC000603015847 359358434 /nfs/dbraw/zinc/35/84/34/359358434.db2.gz LJQCLIYAIOTBSG-UHFFFAOYSA-N -1 1 314.411 1.377 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CSCCC(C)C)n1 ZINC000603015847 359358436 /nfs/dbraw/zinc/35/84/36/359358436.db2.gz LJQCLIYAIOTBSG-UHFFFAOYSA-N -1 1 314.411 1.377 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2csc(C)c2C)n[n-]1 ZINC000603018501 359360005 /nfs/dbraw/zinc/36/00/05/359360005.db2.gz RZRDIOJFEUBLHM-UHFFFAOYSA-N -1 1 308.363 1.590 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2csc(C)c2C)n1 ZINC000603018501 359360011 /nfs/dbraw/zinc/36/00/11/359360011.db2.gz RZRDIOJFEUBLHM-UHFFFAOYSA-N -1 1 308.363 1.590 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCCC23CC3)n[n-]1 ZINC000603157254 359444305 /nfs/dbraw/zinc/44/43/05/359444305.db2.gz WAPSMCSWJXXSKB-VHSXEESVSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCCC23CC3)[n-]1 ZINC000603157254 359444311 /nfs/dbraw/zinc/44/43/11/359444311.db2.gz WAPSMCSWJXXSKB-VHSXEESVSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCCC23CC3)n1 ZINC000603157254 359444314 /nfs/dbraw/zinc/44/43/14/359444314.db2.gz WAPSMCSWJXXSKB-VHSXEESVSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(F)ccc2C)n[n-]1 ZINC000603166726 359450823 /nfs/dbraw/zinc/45/08/23/359450823.db2.gz RKXPEORBNSYHGA-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(F)ccc2C)[n-]1 ZINC000603166726 359450829 /nfs/dbraw/zinc/45/08/29/359450829.db2.gz RKXPEORBNSYHGA-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(F)ccc2C)n1 ZINC000603166726 359450834 /nfs/dbraw/zinc/45/08/34/359450834.db2.gz RKXPEORBNSYHGA-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2ccsc2)n[n-]1 ZINC000603167125 359451285 /nfs/dbraw/zinc/45/12/85/359451285.db2.gz VNBUNUYNIBDNLN-QMMMGPOBSA-N -1 1 308.363 1.463 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2ccsc2)[n-]1 ZINC000603167125 359451286 /nfs/dbraw/zinc/45/12/86/359451286.db2.gz VNBUNUYNIBDNLN-QMMMGPOBSA-N -1 1 308.363 1.463 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2ccsc2)n1 ZINC000603167125 359451287 /nfs/dbraw/zinc/45/12/87/359451287.db2.gz VNBUNUYNIBDNLN-QMMMGPOBSA-N -1 1 308.363 1.463 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1ccn(-c2ccncc2)n1 ZINC000605291685 359841506 /nfs/dbraw/zinc/84/15/06/359841506.db2.gz OFZKSCKFBUADGG-GFCCVEGCSA-N -1 1 308.363 1.188 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H]1CCC[C@@H](C)O1 ZINC000618575503 363679473 /nfs/dbraw/zinc/67/94/73/363679473.db2.gz LJMURCDTTHJTOT-PSASIEDQSA-N -1 1 311.407 1.900 20 0 DDADMM O=C(CCOc1cccc(F)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000605531456 359867418 /nfs/dbraw/zinc/86/74/18/359867418.db2.gz ZYHUABAIUBDMQK-UHFFFAOYSA-N -1 1 319.340 1.693 20 0 DDADMM COc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1F ZINC000605532274 359867758 /nfs/dbraw/zinc/86/77/58/359867758.db2.gz ULJVXNHLVRIEFJ-UHFFFAOYSA-N -1 1 305.313 1.547 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3ccc(F)cn3)no2)o1 ZINC000358991014 299301111 /nfs/dbraw/zinc/30/11/11/299301111.db2.gz BWTFOLWBVHFFKJ-UHFFFAOYSA-N -1 1 324.293 1.439 20 0 DDADMM CCO[C@H]1C[C@](CO)(NC(=O)c2ccc([O-])cc2F)C1(C)C ZINC000188879386 200316338 /nfs/dbraw/zinc/31/63/38/200316338.db2.gz YXHOJTQZDUVKGA-XJKSGUPXSA-N -1 1 311.353 1.827 20 0 DDADMM COc1ccc2cc(C(=O)NC(C)(C)c3nn[n-]n3)[nH]c2c1 ZINC000607952297 360077536 /nfs/dbraw/zinc/07/75/36/360077536.db2.gz FWQJKOFYXJBTTP-UHFFFAOYSA-N -1 1 300.322 1.355 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)no1 ZINC000608021986 360104617 /nfs/dbraw/zinc/10/46/17/360104617.db2.gz KIUFQMDSUWCMGO-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM O=C(CC1CCC1)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000608383354 360169627 /nfs/dbraw/zinc/16/96/27/360169627.db2.gz ZNSDTNDBQUZZLS-UHFFFAOYSA-N -1 1 324.402 1.962 20 0 DDADMM C[C@H](CCN1CCOCC1)NC(=O)c1c(F)ccc([O-])c1F ZINC000348530094 283548418 /nfs/dbraw/zinc/54/84/18/283548418.db2.gz HTLXRMJIQKNYAE-SNVBAGLBSA-N -1 1 314.332 1.511 20 0 DDADMM CCC[C@@H](NC(=O)c1ncc2ccccc2c1O)c1nn[n-]n1 ZINC000612062983 360908077 /nfs/dbraw/zinc/90/80/77/360908077.db2.gz YAFPCWDNBCJLAN-LLVKDONJSA-N -1 1 312.333 1.725 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1C[C@H](O)CC(F)(F)C1 ZINC000613871700 361610974 /nfs/dbraw/zinc/61/09/74/361610974.db2.gz MZAHBPBCPONPKC-SNVBAGLBSA-N -1 1 308.284 1.783 20 0 DDADMM Cc1nc(CN2CCN(C(=O)c3ncccc3[O-])CC2)cs1 ZINC000194652248 201269608 /nfs/dbraw/zinc/26/96/08/201269608.db2.gz BUQRFOFFFOEPAX-UHFFFAOYSA-N -1 1 318.402 1.510 20 0 DDADMM CCS(=O)(=O)C1(CNC(=O)c2cc(F)ccc2[O-])CC1 ZINC000620051770 364259788 /nfs/dbraw/zinc/25/97/88/364259788.db2.gz LFPDXBYRHYGBDV-UHFFFAOYSA-N -1 1 301.339 1.228 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H](CC(F)(F)F)O1 ZINC000620804401 364560655 /nfs/dbraw/zinc/56/06/55/364560655.db2.gz FEJCCEFFFLOZBH-BDAKNGLRSA-N -1 1 304.268 1.969 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1ccc(C)cc1C(=O)[O-] ZINC000349110326 283848636 /nfs/dbraw/zinc/84/86/36/283848636.db2.gz SMXIAINNSWULSL-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1CCC[C@H](CC(=O)[O-])C1 ZINC000635014880 422767810 /nfs/dbraw/zinc/76/78/10/422767810.db2.gz INTZNZFQUOUCJU-SNVBAGLBSA-N -1 1 310.316 1.584 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1nc(C)c[nH]1 ZINC000622436082 365404556 /nfs/dbraw/zinc/40/45/56/365404556.db2.gz IHEMMMLPNRLKJV-UHFFFAOYSA-N -1 1 308.363 1.732 20 0 DDADMM C[C@@H](CN1CCOCC1)N(C)C(=O)c1c(F)ccc([O-])c1F ZINC000622566504 365439282 /nfs/dbraw/zinc/43/92/82/365439282.db2.gz PJWVUJUNEWVUCL-JTQLQIEISA-N -1 1 314.332 1.463 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622609564 365450059 /nfs/dbraw/zinc/45/00/59/365450059.db2.gz BZXHZAZSAXWFSR-AAEUAGOBSA-N -1 1 303.366 1.466 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)C12CC(C1)C2 ZINC000626472594 367566275 /nfs/dbraw/zinc/56/62/75/367566275.db2.gz CQVLEOWDADIUKJ-MIJJTYSQSA-N -1 1 321.743 1.998 20 0 DDADMM O=C([O-])[C@@H]1CC[C@@H]1C(=O)NCCN1CCc2ccccc2C1 ZINC000261984606 203166974 /nfs/dbraw/zinc/16/69/74/203166974.db2.gz CHBSBUWGWXTUOA-LSDHHAIUSA-N -1 1 302.374 1.272 20 0 DDADMM CC(C)Oc1ccc(CCNC(=O)CN(C)CCC(=O)[O-])cc1 ZINC000262654003 203367106 /nfs/dbraw/zinc/36/71/06/203367106.db2.gz LZDLBCHHUSYXBA-UHFFFAOYSA-N -1 1 322.405 1.539 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)c1ccc2[nH]nnc2c1 ZINC000262513581 304158674 /nfs/dbraw/zinc/15/86/74/304158674.db2.gz NEUKGJIUNLPPNV-CWSCBRNRSA-N -1 1 300.318 1.283 20 0 DDADMM CS(=O)(=O)c1cccc([N-]S(=O)(=O)C[C@H]2CCCO2)c1 ZINC000264610254 204026417 /nfs/dbraw/zinc/02/64/17/204026417.db2.gz FHRHOXHEBWYGDK-LLVKDONJSA-N -1 1 319.404 1.011 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H](C)NC(=O)C(C)(C)C)c1 ZINC000282531985 217066508 /nfs/dbraw/zinc/06/65/08/217066508.db2.gz CDXMKBBZZBXSEC-VIFPVBQESA-N -1 1 322.361 1.668 20 0 DDADMM O=C(c1ccc(Cl)cc1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000283018541 217390931 /nfs/dbraw/zinc/39/09/31/217390931.db2.gz XDBMOWLLTRJNOX-SNVBAGLBSA-N -1 1 306.753 1.771 20 0 DDADMM CCc1nc(SCCCNS(=O)(=O)CC)[n-]c(=O)c1C ZINC000566985024 304192273 /nfs/dbraw/zinc/19/22/73/304192273.db2.gz ZQIZPUVYHIEBOY-UHFFFAOYSA-N -1 1 319.452 1.475 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](C)Oc1ccc(C)cc1 ZINC000337169333 249373944 /nfs/dbraw/zinc/37/39/44/249373944.db2.gz ZUXGAVXZECCNCH-NSHDSACASA-N -1 1 316.361 1.214 20 0 DDADMM CN(CCCC(=O)[O-])CC(=O)N1CCSc2ccccc21 ZINC000042717866 183301919 /nfs/dbraw/zinc/30/19/19/183301919.db2.gz UUUNIPVBZUQYKL-UHFFFAOYSA-N -1 1 308.403 1.922 20 0 DDADMM CCNC(=O)[C@@H](C)NC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338337351 249914554 /nfs/dbraw/zinc/91/45/54/249914554.db2.gz IBNAZUXIJUOMJX-MRVPVSSYSA-N -1 1 321.764 1.848 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2ccc(C(F)F)cc2)[n-]1 ZINC000273963729 211218078 /nfs/dbraw/zinc/21/80/78/211218078.db2.gz QLYXMTKKIXDBTG-UHFFFAOYSA-N -1 1 317.317 1.863 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2ccc(C(F)F)cc2)n[n-]1 ZINC000273963729 211218084 /nfs/dbraw/zinc/21/80/84/211218084.db2.gz QLYXMTKKIXDBTG-UHFFFAOYSA-N -1 1 317.317 1.863 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCO[C@H]1c1ccccc1 ZINC000636306422 422795027 /nfs/dbraw/zinc/79/50/27/422795027.db2.gz OIHAAWCEANQCRE-DOMZBBRYSA-N -1 1 301.350 1.169 20 0 DDADMM CCOc1cc(C(=O)Nc2nc(OC)n[nH]2)cc(Cl)c1[O-] ZINC000273885933 211142618 /nfs/dbraw/zinc/14/26/18/211142618.db2.gz UFMYLHZQYHODKO-UHFFFAOYSA-N -1 1 312.713 1.823 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2sccc2F)[C@H]2CCO[C@H]21 ZINC000338912661 250192746 /nfs/dbraw/zinc/19/27/46/250192746.db2.gz PWOIPCPVYFOALD-SZEHBUNVSA-N -1 1 305.396 1.979 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2sccc2F)CN1C1CC1 ZINC000338930223 250202300 /nfs/dbraw/zinc/20/23/00/250202300.db2.gz UERSIECZVMNMLO-DTWKUNHWSA-N -1 1 304.412 1.791 20 0 DDADMM COc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1F ZINC000339196974 250337569 /nfs/dbraw/zinc/33/75/69/250337569.db2.gz VEWSXERHGOQVMM-UHFFFAOYSA-N -1 1 321.308 1.943 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)CCC(F)(F)F)co1 ZINC000339208135 250341715 /nfs/dbraw/zinc/34/17/15/250341715.db2.gz CNWPNYJLEXTDCR-UHFFFAOYSA-N -1 1 314.285 1.212 20 0 DDADMM COc1ccc(-c2nc([C@@H]3CC(=O)N(C4CC4)C3)no2)c([O-])c1 ZINC000273782995 211054360 /nfs/dbraw/zinc/05/43/60/211054360.db2.gz CRTDGJMFDOUFGK-SECBINFHSA-N -1 1 315.329 1.929 20 0 DDADMM C[C@H]1COCC[C@@H]1[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000567497401 304224176 /nfs/dbraw/zinc/22/41/76/304224176.db2.gz UQGGXMFTEYKJNU-UWVGGRQHSA-N -1 1 312.416 2.000 20 0 DDADMM Cc1nc(-c2ccc(N(C)[C@H]3CCNC3=O)nc2)[n-]c(=O)c1C ZINC000340079446 250859262 /nfs/dbraw/zinc/85/92/62/250859262.db2.gz RVOHBVPYAHLVNG-LBPRGKRZSA-N -1 1 313.361 1.186 20 0 DDADMM NC(=O)COc1cccc(C(=O)Nc2ccc(F)cc2[O-])c1 ZINC000340332401 251011274 /nfs/dbraw/zinc/01/12/74/251011274.db2.gz URRJBFJAJMFXFL-UHFFFAOYSA-N -1 1 304.277 1.648 20 0 DDADMM CCc1onc(C)c1[N-]S(=O)(=O)N1C[C@H](C)OC[C@@H]1C ZINC000340973969 251312477 /nfs/dbraw/zinc/31/24/77/251312477.db2.gz ZCEDTYQUAJNJOV-IUCAKERBSA-N -1 1 303.384 1.311 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1ccc(OC)cc1OC ZINC000062138484 184208575 /nfs/dbraw/zinc/20/85/75/184208575.db2.gz BKXJSVBKVXVIAW-UHFFFAOYSA-N -1 1 319.379 1.109 20 0 DDADMM O=C([O-])c1ccc(NS(=O)(=O)C[C@@H]2CCCO2)c(O)c1 ZINC000063155532 184274664 /nfs/dbraw/zinc/27/46/64/184274664.db2.gz WFMBZFAKUWRASC-VIFPVBQESA-N -1 1 301.320 1.011 20 0 DDADMM CCn1cc(NC(=O)c2cc(C)ccc2[N-]S(C)(=O)=O)cn1 ZINC000063783341 184288560 /nfs/dbraw/zinc/28/85/60/184288560.db2.gz HBZWRIZJDHWXME-UHFFFAOYSA-N -1 1 322.390 1.835 20 0 DDADMM O=C([O-])[C@@H]1Cn2c(=O)[nH]nc2CN1C/C=C/c1ccc(F)cc1 ZINC000567675859 304233462 /nfs/dbraw/zinc/23/34/62/304233462.db2.gz MDECSXNKXBYDGA-ISUDXETCSA-N -1 1 318.308 1.105 20 0 DDADMM COc1cc(NC(=O)[C@]2(C)C[C@H]2F)ccc1[N-]S(C)(=O)=O ZINC000424583331 533014255 /nfs/dbraw/zinc/01/42/55/533014255.db2.gz MJIUSFOPCZEUEZ-DGCLKSJQSA-N -1 1 316.354 1.753 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)C2CCOCC2)c1 ZINC000269998754 208053789 /nfs/dbraw/zinc/05/37/89/208053789.db2.gz IOHASEMAQSUTPP-SECBINFHSA-N -1 1 317.363 1.160 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCC[C@H]2C(N)=O)c(=O)[n-]1 ZINC000330718970 533070779 /nfs/dbraw/zinc/07/07/79/533070779.db2.gz AUITZWIOZCAYAZ-JTQLQIEISA-N -1 1 324.406 1.671 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC000352539202 285126190 /nfs/dbraw/zinc/12/61/90/285126190.db2.gz VEHPCSGORSBTMM-SECBINFHSA-N -1 1 308.762 1.411 20 0 DDADMM COC[C@H]1C(=O)N[C@@H](c2cccc([O-])c2Br)N1C ZINC000414206213 533121707 /nfs/dbraw/zinc/12/17/07/533121707.db2.gz XZYQAJGPGFLJLG-GZMMTYOYSA-N -1 1 315.167 1.230 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000157256475 197174664 /nfs/dbraw/zinc/17/46/64/197174664.db2.gz ICHNHYASWQVLJN-LLVKDONJSA-N -1 1 300.380 1.592 20 0 DDADMM CC[C@@H]1CCC[C@@H]1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000156224870 197096934 /nfs/dbraw/zinc/09/69/34/197096934.db2.gz GSJNDHYRNIHPIN-KOLCDFICSA-N -1 1 300.380 1.496 20 0 DDADMM COC(=O)[C@H](C)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451317378 533179461 /nfs/dbraw/zinc/17/94/61/533179461.db2.gz VLHXFYQROOJCKV-MRVPVSSYSA-N -1 1 315.322 1.659 20 0 DDADMM CC(C)OCc1nc([C@H](C)[N-]S(=O)(=O)c2ccco2)no1 ZINC000289587318 221087707 /nfs/dbraw/zinc/08/77/07/221087707.db2.gz QQSZBSPDJZTJLQ-VIFPVBQESA-N -1 1 315.351 1.627 20 0 DDADMM O=C(CN1CCN(Cc2cccc([O-])c2Cl)CC1)NC1CC1 ZINC000294991163 224363785 /nfs/dbraw/zinc/36/37/85/224363785.db2.gz UDXAVPJZAWGSEM-UHFFFAOYSA-N -1 1 323.824 1.442 20 0 DDADMM O=C(C[C@@H]1COC(=O)C1)Nc1nc(Br)ccc1[O-] ZINC000121600522 195313343 /nfs/dbraw/zinc/31/33/43/195313343.db2.gz NOKYDFJKPLUFOM-LURJTMIESA-N -1 1 315.123 1.441 20 0 DDADMM Cc1cnc(SCCn2cc([N+](=O)[O-])ccc2=O)[n-]c1=O ZINC000568271127 304283033 /nfs/dbraw/zinc/28/30/33/304283033.db2.gz XDBHILVJZKEMHO-UHFFFAOYSA-N -1 1 308.319 1.353 20 0 DDADMM COc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CCCC2)nc1 ZINC000492441994 533246082 /nfs/dbraw/zinc/24/60/82/533246082.db2.gz OCBUNOMKBAUVPF-ALCCZGGFSA-N -1 1 314.349 1.202 20 0 DDADMM COc1ccc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(F)c1 ZINC000444287681 533434806 /nfs/dbraw/zinc/43/48/06/533434806.db2.gz CEKWVVHZMJGPSX-SECBINFHSA-N -1 1 307.329 1.152 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1sccc1Cl)C(=O)NCCF ZINC000451503033 533489099 /nfs/dbraw/zinc/48/90/99/533489099.db2.gz QNLOCZPCQQLHNQ-ZCFIWIBFSA-N -1 1 314.791 1.154 20 0 DDADMM C[C@@H]1c2cc(F)cc(F)c2CCN1CC(=O)Nc1nnn[n-]1 ZINC000569567798 304363902 /nfs/dbraw/zinc/36/39/02/304363902.db2.gz PQMHYPWYWYWKHO-SSDOTTSWSA-N -1 1 308.292 1.036 20 0 DDADMM C[C@@H]1c2cc(F)cc(F)c2CCN1CC(=O)Nc1nn[n-]n1 ZINC000569567798 304363903 /nfs/dbraw/zinc/36/39/03/304363903.db2.gz PQMHYPWYWYWKHO-SSDOTTSWSA-N -1 1 308.292 1.036 20 0 DDADMM Cc1noc([C@H](C)N(C)C(=O)c2nc3ccccc3c(=O)[n-]2)n1 ZINC000569623420 304370267 /nfs/dbraw/zinc/37/02/67/304370267.db2.gz MRNYZVQWVXXHMI-QMMMGPOBSA-N -1 1 313.317 1.448 20 0 DDADMM O=C(CCc1nn[n-]n1)NC1(c2cccc(F)c2)CCOCC1 ZINC000631552253 422828250 /nfs/dbraw/zinc/82/82/50/422828250.db2.gz MKJVYTXBZGLGDK-UHFFFAOYSA-N -1 1 319.340 1.094 20 0 DDADMM Cc1ncccc1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000114412552 407568982 /nfs/dbraw/zinc/56/89/82/407568982.db2.gz YXHICQPQEIFSDO-UHFFFAOYSA-N -1 1 311.345 1.273 20 0 DDADMM CC(C)C[C@H](NC(=O)[C@H](N)Cc1c[nH]c2ccccc12)C(=O)[O-] ZINC000001865982 406680274 /nfs/dbraw/zinc/68/02/74/406680274.db2.gz LYMVXFSTACVOLP-HIFRSBDPSA-N -1 1 317.389 1.653 20 0 endogenous,in-man DDADMM Cc1nc(SCC(=O)N2C[C@@H](C)O[C@H](C)C2)[n-]c(=O)c1C ZINC000005155277 406733758 /nfs/dbraw/zinc/73/37/58/406733758.db2.gz MMSLLEBVODQWKT-RKDXNWHRSA-N -1 1 311.407 1.115 20 0 DDADMM Cn1cccc([N-]S(=O)(=O)c2cc(F)ccc2F)c1=O ZINC000074049429 406900344 /nfs/dbraw/zinc/90/03/44/406900344.db2.gz BJTAHXXMVJHECY-UHFFFAOYSA-N -1 1 300.286 1.464 20 0 DDADMM O=C(NCCn1cccn1)c1ccc(Br)cc1[O-] ZINC000044490992 407024159 /nfs/dbraw/zinc/02/41/59/407024159.db2.gz DGVLGOULOBUGFP-UHFFFAOYSA-N -1 1 310.151 1.781 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cccc3n[nH]cc32)c[nH]1 ZINC000037789787 406993119 /nfs/dbraw/zinc/99/31/19/406993119.db2.gz LQSAIOLPZCNPSK-UHFFFAOYSA-N -1 1 306.303 1.390 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCc2ccsc2)o1 ZINC000048156669 407090533 /nfs/dbraw/zinc/09/05/33/407090533.db2.gz PBAZRBRACRVMJG-UHFFFAOYSA-N -1 1 314.388 1.222 20 0 DDADMM O=S(=O)(Cc1nc2ccccc2n1C(F)F)c1ncn[n-]1 ZINC000086169746 407108898 /nfs/dbraw/zinc/10/88/98/407108898.db2.gz KYWBCLSSRMHXRC-UHFFFAOYSA-N -1 1 313.289 1.523 20 0 DDADMM O=S(=O)(Cc1nc2ccccc2n1C(F)F)c1nc[n-]n1 ZINC000086169746 407108900 /nfs/dbraw/zinc/10/89/00/407108900.db2.gz KYWBCLSSRMHXRC-UHFFFAOYSA-N -1 1 313.289 1.523 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCO[C@H](C)C1)c2=O ZINC000046762366 407062700 /nfs/dbraw/zinc/06/27/00/407062700.db2.gz DZTMPYHLJMFAQH-SNVBAGLBSA-N -1 1 302.330 1.398 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(Cc2ccccn2)CC1 ZINC000080000828 407068552 /nfs/dbraw/zinc/06/85/52/407068552.db2.gz KKWBZZYVPRICBG-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM CCn1c(CNC(=O)c2ccc3n[nH]nc3c2)n[n-]c1=S ZINC000066637191 407256569 /nfs/dbraw/zinc/25/65/69/407256569.db2.gz TYQKPOVXAFICBP-UHFFFAOYSA-N -1 1 303.351 1.162 20 0 DDADMM CCn1c2ccccc2nc1SCC(=O)Nc1nnn[n-]1 ZINC000102894976 407328475 /nfs/dbraw/zinc/32/84/75/407328475.db2.gz ICGZKBMUMMTWKC-UHFFFAOYSA-N -1 1 303.351 1.300 20 0 DDADMM CCn1c2ccccc2nc1SCC(=O)Nc1nn[n-]n1 ZINC000102894976 407328477 /nfs/dbraw/zinc/32/84/77/407328477.db2.gz ICGZKBMUMMTWKC-UHFFFAOYSA-N -1 1 303.351 1.300 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cc(Cl)ccc1Br ZINC000102895002 407328700 /nfs/dbraw/zinc/32/87/00/407328700.db2.gz BUSBSWCAJHNLIA-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc(Cl)ccc1Br ZINC000102895002 407328702 /nfs/dbraw/zinc/32/87/02/407328702.db2.gz BUSBSWCAJHNLIA-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM Cn1cnc(C[N-]S(=O)(=O)c2cccc(Cl)c2Cl)n1 ZINC000123787653 407338671 /nfs/dbraw/zinc/33/86/71/407338671.db2.gz CSAAGGUWLGBOMA-UHFFFAOYSA-N -1 1 321.189 1.600 20 0 DDADMM CSCc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000123989038 407345028 /nfs/dbraw/zinc/34/50/28/407345028.db2.gz ARUCQTFPNRJKOJ-JTQLQIEISA-N -1 1 305.407 1.938 20 0 DDADMM O=S(=O)([N-]c1cc(C2CCOCC2)n[nH]1)c1cccnc1 ZINC000126918481 407423702 /nfs/dbraw/zinc/42/37/02/407423702.db2.gz XEOKMQJPGFBWQM-UHFFFAOYSA-N -1 1 308.363 1.500 20 0 DDADMM CNC(=O)NCc1ccccc1[N-]S(=O)(=O)c1ccccc1 ZINC000170671836 407471189 /nfs/dbraw/zinc/47/11/89/407471189.db2.gz NWYSWFUBBYLIID-UHFFFAOYSA-N -1 1 319.386 1.916 20 0 DDADMM CC1N=NC(=S)N1CCNC(=O)c1cc(Cl)ccc1[O-] ZINC000266537547 407551154 /nfs/dbraw/zinc/55/11/54/407551154.db2.gz GQZPZHKDIZLKDV-UHFFFAOYSA-N -1 1 312.782 1.664 20 0 DDADMM CCC[C@H]1SCCN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000152060937 407554045 /nfs/dbraw/zinc/55/40/45/407554045.db2.gz QSWGOZLKVJXDAM-SNVBAGLBSA-N -1 1 318.420 1.503 20 0 DDADMM Cc1[nH]n(C)c2nnc(NC(=O)c3cc(-c4ccco4)n[nH]3)c1-2 ZINC000114429510 407571662 /nfs/dbraw/zinc/57/16/62/407571662.db2.gz YQYHCJYCALZQLZ-UHFFFAOYSA-N -1 1 311.305 1.840 20 0 DDADMM CCN(CCCNC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC000635140161 422837084 /nfs/dbraw/zinc/83/70/84/422837084.db2.gz UXQOEAIRTGSSBC-UHFFFAOYSA-N -1 1 316.409 1.555 20 0 DDADMM CN(CC(=O)[N-]OCc1ccccc1)[C@@H](CO)c1ccccc1 ZINC000271527926 407716024 /nfs/dbraw/zinc/71/60/24/407716024.db2.gz NTTXJHBMSIAZDR-KRWDZBQOSA-N -1 1 314.385 1.900 20 0 DDADMM CS(=O)(=O)c1c(F)cc([N-]S(=O)(=O)C2CC2)cc1F ZINC000171346730 407663061 /nfs/dbraw/zinc/66/30/61/407663061.db2.gz BXYWNQIQYPSRTR-UHFFFAOYSA-N -1 1 311.331 1.272 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1ccc(F)cc1)c2=O ZINC000179386120 407782830 /nfs/dbraw/zinc/78/28/30/407782830.db2.gz PDOCDTQWHBETQS-UHFFFAOYSA-N -1 1 300.293 1.743 20 0 DDADMM CS[C@@H](C)CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000272125091 407784547 /nfs/dbraw/zinc/78/45/47/407784547.db2.gz KTQFPWJZVBTTTP-ZETCQYMHSA-N -1 1 304.393 1.120 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2[C@H]3CCO[C@@H]3C2(C)C)c1Cl ZINC000153399721 407816363 /nfs/dbraw/zinc/81/63/63/407816363.db2.gz YESGMHMEGYMYLD-VGMNWLOBSA-N -1 1 319.814 1.165 20 0 DDADMM CCN(C)C(=O)CNC(=O)c1ccc(Br)c([O-])c1 ZINC000187270400 407837660 /nfs/dbraw/zinc/83/76/60/407837660.db2.gz XMSYCGSIUCFTCV-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2ncccc2F)cc1 ZINC000180255994 407869658 /nfs/dbraw/zinc/86/96/58/407869658.db2.gz QFGQXWSIOQHWOB-UHFFFAOYSA-N -1 1 309.322 1.381 20 0 DDADMM Cc1nc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)sc1C ZINC000173339753 407874888 /nfs/dbraw/zinc/87/48/88/407874888.db2.gz MBMSIRRDMRCEKF-UHFFFAOYSA-N -1 1 314.370 1.926 20 0 DDADMM CC(C)c1ccc(CCNC(=O)CN(C)CCC(=O)[O-])cc1 ZINC000262662132 407893735 /nfs/dbraw/zinc/89/37/35/407893735.db2.gz OBHFZPOAUIOPBF-UHFFFAOYSA-N -1 1 306.406 1.875 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)N1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000262684078 407901314 /nfs/dbraw/zinc/90/13/14/407901314.db2.gz XCZUVLNVDSYOAN-CQSZACIVSA-N -1 1 324.352 1.132 20 0 DDADMM COC(=O)CCc1nnc([N-]C(=O)c2c(C)noc2C)s1 ZINC000134426651 407901914 /nfs/dbraw/zinc/90/19/14/407901914.db2.gz NCNXMODSPBOKMV-UHFFFAOYSA-N -1 1 310.335 1.501 20 0 DDADMM O=C([O-])CCN1CCCC[C@H]1C(=O)Nc1ccc2c(c1)CCO2 ZINC000262721949 407911128 /nfs/dbraw/zinc/91/11/28/407911128.db2.gz FYDZMDHJYLEPRS-AWEZNQCLSA-N -1 1 318.373 1.889 20 0 DDADMM CC[C@@H]1CC[C@@H](C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)O1 ZINC000268226869 407958182 /nfs/dbraw/zinc/95/81/82/407958182.db2.gz XMENBHRVJVKPEO-YPMHNXCESA-N -1 1 318.377 1.213 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1n[nH]c2ccccc21)[C@H]1CCCOC1 ZINC000262760831 407919852 /nfs/dbraw/zinc/91/98/52/407919852.db2.gz KRALIVCABFMXAD-JOYOIKCWSA-N -1 1 303.318 1.173 20 0 DDADMM O=C(NCCn1c(=O)[nH]c2ccccc21)c1cc(F)ccc1[O-] ZINC000175272070 408093647 /nfs/dbraw/zinc/09/36/47/408093647.db2.gz YVXYIQYGNNMUBD-UHFFFAOYSA-N -1 1 315.304 1.604 20 0 DDADMM CCC[C@@H](NC(=O)c1cc2c(s1)CCOC2)c1nn[n-]n1 ZINC000136644215 408115677 /nfs/dbraw/zinc/11/56/77/408115677.db2.gz UCIGJQNZZGNJOW-SECBINFHSA-N -1 1 307.379 1.605 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000263395881 408126990 /nfs/dbraw/zinc/12/69/90/408126990.db2.gz ZIDYWHKWIMABJU-ZWNOBZJWSA-N -1 1 314.345 1.655 20 0 DDADMM Cc1cc(-c2noc([C@H](C)NCc3nc(=O)[n-][nH]3)n2)ccc1F ZINC000273326123 408155477 /nfs/dbraw/zinc/15/54/77/408155477.db2.gz QYLZPYVSXYBREN-QMMMGPOBSA-N -1 1 318.312 1.446 20 0 DDADMM NC(=O)[C@@H]1CCCCC[C@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000137601248 408158568 /nfs/dbraw/zinc/15/85/68/408158568.db2.gz KXCAQTYRIDHAQV-GHMZBOCLSA-N -1 1 301.350 1.122 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2CC[C@@H]1O2)c1ccc(F)c(F)c1F ZINC000151055766 408248498 /nfs/dbraw/zinc/24/84/98/408248498.db2.gz GDRZHXOPYIYRRQ-VDAHYXPESA-N -1 1 307.293 1.702 20 0 DDADMM NC(=O)N1CCc2cc([N-]S(=O)(=O)c3ccccc3)ccc21 ZINC000156390211 408256222 /nfs/dbraw/zinc/25/62/22/408256222.db2.gz RTHSTKCTSCXXEO-UHFFFAOYSA-N -1 1 317.370 1.929 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1cc(C(N)=O)ccc1F ZINC000175773469 408201221 /nfs/dbraw/zinc/20/12/21/408201221.db2.gz QCJURGRURSIGKZ-UHFFFAOYSA-N -1 1 304.343 1.091 20 0 DDADMM O=S(=O)(C[C@@H]1CCCCO1)[N-]c1ccc(-c2nn[nH]n2)cc1 ZINC000150853175 408212612 /nfs/dbraw/zinc/21/26/12/408212612.db2.gz FWVYOGUTLNGLIM-LBPRGKRZSA-N -1 1 323.378 1.178 20 0 DDADMM CCn1nc(C)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1Cl ZINC000273517237 408228938 /nfs/dbraw/zinc/22/89/38/408228938.db2.gz OTPMFWOUVWARTN-SSDOTTSWSA-N -1 1 311.777 1.254 20 0 DDADMM O=C(NCCc1nnc(-c2ccccc2)o1)c1cncc([O-])c1 ZINC000176334502 408342470 /nfs/dbraw/zinc/34/24/70/408342470.db2.gz VYCSHENVJSMZDA-UHFFFAOYSA-N -1 1 310.313 1.810 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccn(C)n1)c1nc(C(C)(C)C)no1 ZINC000571162411 304441013 /nfs/dbraw/zinc/44/10/13/304441013.db2.gz WIQIOOHREIGDJU-MRVPVSSYSA-N -1 1 313.383 1.140 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2cncnc2)c(=O)[n-]1 ZINC000274340692 408369297 /nfs/dbraw/zinc/36/92/97/408369297.db2.gz POKZZVQXCVSEJI-UHFFFAOYSA-N -1 1 305.363 1.574 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)C(C)(C)C ZINC000269623620 408365649 /nfs/dbraw/zinc/36/56/49/408365649.db2.gz ULIMVJRYBREEHH-SNVBAGLBSA-N -1 1 309.410 1.925 20 0 DDADMM CO[C@H]1CC[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000191048246 408366035 /nfs/dbraw/zinc/36/60/35/408366035.db2.gz OXUJWQIOEQSOIH-YUMQZZPRSA-N -1 1 309.309 1.950 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(C)n1C1CC1 ZINC000183397019 408398304 /nfs/dbraw/zinc/39/83/04/408398304.db2.gz DWZJWVDQFSZUTI-SECBINFHSA-N -1 1 302.382 1.829 20 0 DDADMM C[C@@H](Oc1cccc(Cl)c1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183402588 408400602 /nfs/dbraw/zinc/40/06/02/408400602.db2.gz OXBPGBLCFYQQBC-VHSXEESVSA-N -1 1 323.784 1.883 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)Oc1cccc(Cl)c1)c1nn[n-]n1 ZINC000183402608 408401127 /nfs/dbraw/zinc/40/11/27/408401127.db2.gz OXBPGBLCFYQQBC-NXEZZACHSA-N -1 1 323.784 1.883 20 0 DDADMM CC[C@H](Oc1ccccc1C)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183437732 408410979 /nfs/dbraw/zinc/41/09/79/408410979.db2.gz LXNLCHVBBTUITJ-STQMWFEESA-N -1 1 317.393 1.928 20 0 DDADMM CCC[C@H](NC(=O)CNC(=O)CCC1CCCC1)c1nn[n-]n1 ZINC000176776128 408439746 /nfs/dbraw/zinc/43/97/46/408439746.db2.gz SPVRIJSIIKAILG-LBPRGKRZSA-N -1 1 322.413 1.244 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(C(=O)OC)c1)c1nn[n-]n1 ZINC000176772837 408439952 /nfs/dbraw/zinc/43/99/52/408439952.db2.gz GPDPUGJCHYEFLM-NSHDSACASA-N -1 1 303.322 1.258 20 0 DDADMM COC(=O)C1(NC(=O)c2c([O-])cccc2F)CCSCC1 ZINC000274666677 408489525 /nfs/dbraw/zinc/48/95/25/408489525.db2.gz GNBMGXPCLJPKMH-UHFFFAOYSA-N -1 1 313.350 1.700 20 0 DDADMM O=C(Nc1nc(-c2ccccn2)n[nH]1)[C@H]1CSCCS1 ZINC000177018883 408498135 /nfs/dbraw/zinc/49/81/35/408498135.db2.gz CSAXWMFUGDDDLX-SECBINFHSA-N -1 1 307.404 1.654 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)c2c([O-])cccc2F)C1 ZINC000274706797 408501963 /nfs/dbraw/zinc/50/19/63/408501963.db2.gz SBLVLKKDGVZXGY-QWRGUYRKSA-N -1 1 308.353 1.908 20 0 DDADMM Cc1c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)[nH]c2c1C(=O)CCC2 ZINC000274766725 408523957 /nfs/dbraw/zinc/52/39/57/408523957.db2.gz KWVQQRVCCUPAOM-MRVPVSSYSA-N -1 1 316.365 1.231 20 0 DDADMM CCC[C@H]1CN(C(=O)c2c(C)[n-]c(=O)nc2SC)CCO1 ZINC000270421443 408528328 /nfs/dbraw/zinc/52/83/28/408528328.db2.gz OUXJGUAJACCZQN-JTQLQIEISA-N -1 1 311.407 1.854 20 0 DDADMM Cc1noc(Cl)c1CCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000274799411 408535096 /nfs/dbraw/zinc/53/50/96/408535096.db2.gz SCBCIOQZOCLTPG-ZETCQYMHSA-N -1 1 312.761 1.344 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)c1ncn(C)n1 ZINC000275600684 408614721 /nfs/dbraw/zinc/61/47/21/408614721.db2.gz FSZUCEOECWXNGD-LURJTMIESA-N -1 1 320.296 1.272 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)c2cc(C)oc2C)s1 ZINC000275683881 408645280 /nfs/dbraw/zinc/64/52/80/408645280.db2.gz ZKJZWLAIURRYAH-UHFFFAOYSA-N -1 1 319.433 1.948 20 0 DDADMM CC1(C)CCCC[C@H]1CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000161949878 408654721 /nfs/dbraw/zinc/65/47/21/408654721.db2.gz QBDCSYYWQIKJER-VIFPVBQESA-N -1 1 314.411 1.753 20 0 DDADMM CC1(C)CCCC[C@H]1CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000161949878 408654725 /nfs/dbraw/zinc/65/47/25/408654725.db2.gz QBDCSYYWQIKJER-VIFPVBQESA-N -1 1 314.411 1.753 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)C1CCOCC1 ZINC000162041129 408664384 /nfs/dbraw/zinc/66/43/84/408664384.db2.gz GZUXTPROFWQWHW-JTQLQIEISA-N -1 1 321.421 1.921 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000184886731 408710949 /nfs/dbraw/zinc/71/09/49/408710949.db2.gz WWWJGQCZGCOHIU-OAHLLOKOSA-N -1 1 304.390 1.974 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(C)noc1C)[C@@H](O)C(F)(F)F ZINC000270861274 408713982 /nfs/dbraw/zinc/71/39/82/408713982.db2.gz QZHSQYUSKDABNY-IONNQARKSA-N -1 1 316.301 1.272 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(C)cc2)C1 ZINC000184820346 408695780 /nfs/dbraw/zinc/69/57/80/408695780.db2.gz GFXSRNHASHCKTN-CYBMUJFWSA-N -1 1 306.362 1.432 20 0 DDADMM CCOC(C)(C)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000275994653 408743169 /nfs/dbraw/zinc/74/31/69/408743169.db2.gz QUDSGRLUOUQYBL-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM CCS[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000290840471 408843100 /nfs/dbraw/zinc/84/31/00/408843100.db2.gz VLYYMDZSQCFWJJ-DTWKUNHWSA-N -1 1 305.425 1.518 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCC(F)(F)C2)nc1Cl ZINC000280888212 408853887 /nfs/dbraw/zinc/85/38/87/408853887.db2.gz FLTUDTVCDWNHSV-ZETCQYMHSA-N -1 1 313.757 1.787 20 0 DDADMM O=C([N-]CC1CCN(C(=O)c2cncs2)CC1)C(F)(F)F ZINC000281610287 408896147 /nfs/dbraw/zinc/89/61/47/408896147.db2.gz FACBNEHTGKGKSI-UHFFFAOYSA-N -1 1 321.324 1.674 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc([O-])cc2F)CCS1(=O)=O ZINC000277318642 408918440 /nfs/dbraw/zinc/91/84/40/408918440.db2.gz QQHVRVBFORKWBY-VIFPVBQESA-N -1 1 301.339 1.181 20 0 DDADMM COCCOc1ccc(F)cc1S(=O)(=O)[N-]CC(F)F ZINC000190739522 163155985 /nfs/dbraw/zinc/15/59/85/163155985.db2.gz OCXZHZWSTPAUSB-UHFFFAOYSA-N -1 1 313.297 1.394 20 0 DDADMM Cc1nnnn1-c1cccc(NC(=O)c2ccc(O)cc2[O-])c1 ZINC000192693345 163232234 /nfs/dbraw/zinc/23/22/34/163232234.db2.gz CFEAYCPDDAWHSP-UHFFFAOYSA-N -1 1 311.301 1.634 20 0 DDADMM O=C(NC1CC1)[C@H]1CCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000192792778 163238603 /nfs/dbraw/zinc/23/86/03/163238603.db2.gz NLCKWSNBXNNLHJ-GFCCVEGCSA-N -1 1 308.765 1.929 20 0 DDADMM O=C(N[C@H](CO)C1CCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000291950722 408950721 /nfs/dbraw/zinc/95/07/21/408950721.db2.gz FJMGBNATKXKVKZ-LLVKDONJSA-N -1 1 306.391 1.299 20 0 DDADMM C[C@@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)CC(=O)N1C ZINC000282052772 408981210 /nfs/dbraw/zinc/98/12/10/408981210.db2.gz GMLUBXZWVDXHSX-SNVBAGLBSA-N -1 1 302.330 1.161 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)CC[C@H](O)c2ccccc2)n1 ZINC000287392432 409031022 /nfs/dbraw/zinc/03/10/22/409031022.db2.gz DCWFMTJCUCFGJA-LBPRGKRZSA-N -1 1 324.358 1.094 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H](CO)CC3CCC3)ccnc1-2 ZINC000287559775 409055399 /nfs/dbraw/zinc/05/53/99/409055399.db2.gz KGIHQCIWKJBMCJ-UQGHUHRHSA-N -1 1 303.366 1.014 20 0 DDADMM CC[C@@](C)(NCc1cc(=O)oc2cc([O-])ccc12)C(=O)OC ZINC000293144033 409058150 /nfs/dbraw/zinc/05/81/50/409058150.db2.gz LZUCGWDOILKSJO-MRXNPFEDSA-N -1 1 305.330 1.930 20 0 DDADMM CCC[C@@]1(CO)CCCN1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287585128 409059735 /nfs/dbraw/zinc/05/97/35/409059735.db2.gz LPASTCRZBGDLDJ-UEIJICEPSA-N -1 1 317.393 1.501 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCC[NH+]3CCCCCC3)ccnc1-2 ZINC000287594335 409060774 /nfs/dbraw/zinc/06/07/74/409060774.db2.gz MCQONZNUXGXJHG-ZHZULCJRSA-N -1 1 316.409 1.339 20 0 DDADMM O=C(C(=O)N1CCC(O)(C(F)(F)F)CC1)c1ccc([O-])cc1 ZINC000287602074 409063549 /nfs/dbraw/zinc/06/35/49/409063549.db2.gz HYLRZLXXWMNQPG-UHFFFAOYSA-N -1 1 317.263 1.491 20 0 DDADMM CCOc1cccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282846639 409065962 /nfs/dbraw/zinc/06/59/62/409065962.db2.gz FTQRVLGUFSVLPW-LBPRGKRZSA-N -1 1 316.361 1.517 20 0 DDADMM CN(C[C@@H]1CCCCO1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283055031 409077764 /nfs/dbraw/zinc/07/77/64/409077764.db2.gz OKHFQUGWGYAFAB-NSHDSACASA-N -1 1 306.391 1.659 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(NCC2CC2)nc1)c1nn[n-]n1 ZINC000283129900 409094492 /nfs/dbraw/zinc/09/44/92/409094492.db2.gz IBOUNYNOTXHUHL-GFCCVEGCSA-N -1 1 315.381 1.688 20 0 DDADMM O=C(N[C@@H]1[C@H]2CCO[C@@H]2C12CCC2)C(=O)c1ccc([O-])cc1 ZINC000283218844 409113716 /nfs/dbraw/zinc/11/37/16/409113716.db2.gz AREGQUFCGLAIRX-YUELXQCFSA-N -1 1 301.342 1.649 20 0 DDADMM O=C(NC[C@@H]1CCCS(=O)(=O)C1)c1cc(F)ccc1[O-] ZINC000287959204 409121796 /nfs/dbraw/zinc/12/17/96/409121796.db2.gz AGLLRTIWKJHJEC-VIFPVBQESA-N -1 1 301.339 1.086 20 0 DDADMM CCN(C)CC[N-]S(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000283414696 409152366 /nfs/dbraw/zinc/15/23/66/409152366.db2.gz AZBIROAGDUEHDL-UHFFFAOYSA-N -1 1 312.769 1.848 20 0 DDADMM CC[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@H](O)C(F)F ZINC000295506887 409320163 /nfs/dbraw/zinc/32/01/63/409320163.db2.gz PCAMXCCLWOQMBV-CBAPKCEASA-N -1 1 316.333 1.152 20 0 DDADMM C[C@H](CCCO)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000295232383 409388449 /nfs/dbraw/zinc/38/84/49/409388449.db2.gz INODDZSWRGJNMO-MRVPVSSYSA-N -1 1 311.325 1.791 20 0 DDADMM Cc1nc([C@H](C)NS(=O)(=O)c2ccc(C(=O)[O-])cc2C)n[nH]1 ZINC000285381819 409420146 /nfs/dbraw/zinc/42/01/46/409420146.db2.gz IVVMLIOABHCUIH-QMMMGPOBSA-N -1 1 324.362 1.159 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCOC[C@@H]1[C@H]1CCCCC1=O ZINC000285398172 409429116 /nfs/dbraw/zinc/42/91/16/409429116.db2.gz VMIJBTPYTBBYHF-ZIAGYGMSSA-N -1 1 304.346 1.388 20 0 DDADMM C[C@H](NS(=O)(=O)c1cc(C(F)(F)F)ccc1O)C(=O)[O-] ZINC000263549403 163983934 /nfs/dbraw/zinc/98/39/34/163983934.db2.gz JOVUKBYQZGGUNL-YFKPBYRVSA-N -1 1 313.253 1.162 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCCS(=O)(=O)CC1 ZINC000317856521 164028685 /nfs/dbraw/zinc/02/86/85/164028685.db2.gz AZBWPKXUBYMMFZ-UHFFFAOYSA-N -1 1 319.382 1.806 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCOC[C@H]2C)c1 ZINC000285513648 409473780 /nfs/dbraw/zinc/47/37/80/409473780.db2.gz GDUCKADJNHWMQY-NXEZZACHSA-N -1 1 317.363 1.017 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(=O)[nH]1 ZINC000407999444 164193361 /nfs/dbraw/zinc/19/33/61/164193361.db2.gz UKHAOWUWCVLNGV-VIFPVBQESA-N -1 1 304.306 1.204 20 0 DDADMM Cc1nn(C)c(C)c1CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000408000274 164194580 /nfs/dbraw/zinc/19/45/80/164194580.db2.gz ZCBSLKDHPPMIRO-NSHDSACASA-N -1 1 319.365 1.074 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]2[C@@H]2COCC[C@]2(C)O)c([O-])c1 ZINC000408065666 164214626 /nfs/dbraw/zinc/21/46/26/164214626.db2.gz PLSYXPREHNZXAG-AHIWAGSCSA-N -1 1 320.389 1.488 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Br)o2)CCO1 ZINC000408217803 164264430 /nfs/dbraw/zinc/26/44/30/164264430.db2.gz ZXPPBVCUQVLCTC-SFYZADRCSA-N -1 1 324.196 1.888 20 0 DDADMM Cc1nc(C)c(S(=O)(=O)N[C@H]2CCC[N@H+](C(C)C)C2)s1 ZINC000408407768 164321844 /nfs/dbraw/zinc/32/18/44/164321844.db2.gz XTMFOPRHCJXVDI-LBPRGKRZSA-N -1 1 317.480 1.911 20 0 DDADMM COc1cc(C(=O)N2C[C@@H]3CC[C@H](O)[C@@H]3C2)cc(Cl)c1[O-] ZINC000408429346 164329112 /nfs/dbraw/zinc/32/91/12/164329112.db2.gz JVYJIPSTSWALPZ-XRNSZHNASA-N -1 1 311.765 1.897 20 0 DDADMM CC(C)(C)n1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)nn1 ZINC000408470009 164342068 /nfs/dbraw/zinc/34/20/68/164342068.db2.gz PYGQIJYVWLOYRG-SECBINFHSA-N -1 1 320.353 1.142 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cnn3c2CCC3)c1 ZINC000296480234 409575536 /nfs/dbraw/zinc/57/55/36/409575536.db2.gz YIEAWXGPQLTBQW-UHFFFAOYSA-N -1 1 301.302 1.574 20 0 DDADMM CCC[C@@H]1C[C@@H](C(=O)Nc2nc(SCCO)n[nH]2)CCO1 ZINC000356759844 409575660 /nfs/dbraw/zinc/57/56/60/409575660.db2.gz DPRWBPVKVKQRRG-VHSXEESVSA-N -1 1 314.411 1.423 20 0 DDADMM CCO[C@@H]1C[C@](O)(CN=c2nc([C@H](C)OC)[n-]s2)C1(C)C ZINC000337936461 409582006 /nfs/dbraw/zinc/58/20/06/409582006.db2.gz CEJFHRXMELYOTN-RBZYPMLTSA-N -1 1 315.439 1.645 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCc1cc2ccccc2[nH]1 ZINC000337938272 409585205 /nfs/dbraw/zinc/58/52/05/409585205.db2.gz GAOHJIMPUVVPPU-UHFFFAOYSA-N -1 1 324.340 1.315 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(C)(C)C2CCC2)co1 ZINC000296531370 409604909 /nfs/dbraw/zinc/60/49/09/409604909.db2.gz WUKSQBNSVAAGIR-UHFFFAOYSA-N -1 1 314.407 1.744 20 0 DDADMM CC1(CC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CCCC1 ZINC000331611864 409693221 /nfs/dbraw/zinc/69/32/21/409693221.db2.gz CQPVSQVAWHYORG-UHFFFAOYSA-N -1 1 309.439 1.570 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CC1)c1ccc(Br)o1 ZINC000331628414 409709815 /nfs/dbraw/zinc/70/98/15/409709815.db2.gz HQSQJNQEJNLKOQ-ZETCQYMHSA-N -1 1 310.169 1.091 20 0 DDADMM CCn1nccc1NC(=O)c1ccccc1[N-]S(=O)(=O)CC ZINC000338176460 409778590 /nfs/dbraw/zinc/77/85/90/409778590.db2.gz CYGJDQXUHFBMHX-UHFFFAOYSA-N -1 1 322.390 1.917 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-][C@](C)(C1CC1)C(F)(F)F ZINC000342555987 409720198 /nfs/dbraw/zinc/72/01/98/409720198.db2.gz JCOMMFGSYNSBOB-SNVBAGLBSA-N -1 1 311.329 1.738 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@@]12CCOc1ccccc12 ZINC000357050961 409821702 /nfs/dbraw/zinc/82/17/02/409821702.db2.gz IXNSDIIHLQLGQU-IAQYHMDHSA-N -1 1 311.345 1.045 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(N2CCCC2)c(F)c1 ZINC000357064684 409834383 /nfs/dbraw/zinc/83/43/83/409834383.db2.gz CLIOGMYSMNINPA-UHFFFAOYSA-N -1 1 316.340 1.358 20 0 DDADMM C[C@H](NC(=O)c1cncc([O-])c1)c1nnc2n1CCCCC2 ZINC000315503228 409841223 /nfs/dbraw/zinc/84/12/23/409841223.db2.gz DYAKDDBMXWVBLT-JTQLQIEISA-N -1 1 301.350 1.596 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)[C@H](C)C1CCCCC1 ZINC000349509016 409855603 /nfs/dbraw/zinc/85/56/03/409855603.db2.gz YKXPJKFAQHGFRV-SECBINFHSA-N -1 1 301.412 1.985 20 0 DDADMM Cc1ccccc1-n1cc(C(=O)NC2(c3nn[n-]n3)CC2)cn1 ZINC000357084122 409853566 /nfs/dbraw/zinc/85/35/66/409853566.db2.gz ZYDLXXWZRCYGTE-UHFFFAOYSA-N -1 1 309.333 1.113 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2cccc(C)c2F)s1 ZINC000313241883 409802125 /nfs/dbraw/zinc/80/21/25/409802125.db2.gz YBEQPMVDZHZSSA-UHFFFAOYSA-N -1 1 301.368 1.773 20 0 DDADMM Cn1[nH]c(=NC(=O)c2cnc3ccccc3c2[O-])cc1C1CC1 ZINC000357020837 409802883 /nfs/dbraw/zinc/80/28/83/409802883.db2.gz ZOEYVUTUYDHVCT-UHFFFAOYSA-N -1 1 308.341 1.813 20 0 DDADMM Cc1ccc(-c2cc(C(=O)NC3(c4nn[n-]n4)CC3)[nH]n2)c(C)c1 ZINC000357049256 409819977 /nfs/dbraw/zinc/81/99/77/409819977.db2.gz NFWOVKPOUZPZCL-UHFFFAOYSA-N -1 1 323.360 1.626 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccc(F)cn2)o1 ZINC000357167220 409916357 /nfs/dbraw/zinc/91/63/57/409916357.db2.gz LQBMMGJWDZQSGM-UHFFFAOYSA-N -1 1 314.294 1.079 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(C(F)(F)F)c(F)c1 ZINC000357100498 409874374 /nfs/dbraw/zinc/87/43/74/409874374.db2.gz XEKBGQXATRWCRX-UHFFFAOYSA-N -1 1 315.230 1.777 20 0 DDADMM CCc1ccc(NC(=O)CN2CC[C@@](COC)(C(=O)[O-])C2)cc1 ZINC000324564376 409925321 /nfs/dbraw/zinc/92/53/21/409925321.db2.gz TUWMYGPDPSRSKW-QGZVFWFLSA-N -1 1 320.389 1.611 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc(=O)c2ccccc2[nH]1 ZINC000346342604 409925932 /nfs/dbraw/zinc/92/59/32/409925932.db2.gz GDMQDEFBELZRIH-UHFFFAOYSA-N -1 1 324.344 1.241 20 0 DDADMM O=C(NC[C@H]1COCCO1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338362071 409938711 /nfs/dbraw/zinc/93/87/11/409938711.db2.gz XBPXOQIJNBXJSA-JTQLQIEISA-N -1 1 322.748 1.739 20 0 DDADMM CC(C)[C@@H]1CC[C@@H](C)C[C@@H]1OCC(=O)Nc1nn[nH]c1C(N)=O ZINC000297891829 410039122 /nfs/dbraw/zinc/03/91/22/410039122.db2.gz VQCSWIIAOHSHTO-VWYCJHECSA-N -1 1 323.397 1.320 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)C[C@@H](C)[C@@H]2C)o1 ZINC000354730793 410047929 /nfs/dbraw/zinc/04/79/29/410047929.db2.gz KTKNBLAUWGQPEN-MXWKQRLJSA-N -1 1 314.407 1.694 20 0 DDADMM C[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])c1cccs1 ZINC000343043859 410099857 /nfs/dbraw/zinc/09/98/57/410099857.db2.gz PJNAKZMJCVSINY-SECBINFHSA-N -1 1 305.359 1.456 20 0 DDADMM COc1ccc(=NC(=O)N2CCC(c3cnc[nH]3)CC2)[n-]n1 ZINC000329133669 410122415 /nfs/dbraw/zinc/12/24/15/410122415.db2.gz PSJVTVCAUYNGSR-UHFFFAOYSA-N -1 1 302.338 1.042 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H]1c1ccc[nH]1 ZINC000343231225 410256514 /nfs/dbraw/zinc/25/65/14/410256514.db2.gz DYRRZVHSJRNSFW-GFCCVEGCSA-N -1 1 314.345 1.209 20 0 DDADMM COc1cncc(C(=O)[N-]c2nnc(-c3ccco3)s2)n1 ZINC000357804462 410259629 /nfs/dbraw/zinc/25/96/29/410259629.db2.gz IMJAPNYFJAYBTB-UHFFFAOYSA-N -1 1 303.303 1.849 20 0 DDADMM COCc1ccccc1S(=O)(=O)[N-]c1cccc(C(N)=O)c1 ZINC000351826722 410268125 /nfs/dbraw/zinc/26/81/25/410268125.db2.gz DAWYJAXPBWZRLI-UHFFFAOYSA-N -1 1 320.370 1.733 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CCOCC2)c1ccc(F)c(F)c1F ZINC000333118883 410365555 /nfs/dbraw/zinc/36/55/55/410365555.db2.gz UOINYCNZSRXTQW-JTQLQIEISA-N -1 1 321.320 1.951 20 0 DDADMM CCn1cnc(S(=O)(=O)[N-]c2c(C)n[nH]c2C(F)F)c1 ZINC000355263070 410407408 /nfs/dbraw/zinc/40/74/08/410407408.db2.gz BHMREQSAVXLIBN-UHFFFAOYSA-N -1 1 305.310 1.673 20 0 DDADMM C[C@@H]1CCCC[C@H]1CCN=c1[n-]cc(S(N)(=O)=O)s1 ZINC000358224893 410409032 /nfs/dbraw/zinc/40/90/32/410409032.db2.gz QRTULDIBUJYXQX-ZJUUUORDSA-N -1 1 303.453 1.841 20 0 DDADMM C[C@H]1CCCC[C@@H]1CCN=c1[n-]cc(S(N)(=O)=O)s1 ZINC000358224891 410410103 /nfs/dbraw/zinc/41/01/03/410410103.db2.gz QRTULDIBUJYXQX-VHSXEESVSA-N -1 1 303.453 1.841 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2cscn2)sc1C ZINC000333272577 410455793 /nfs/dbraw/zinc/45/57/93/410455793.db2.gz NXCCIQVHPIQJRN-UHFFFAOYSA-N -1 1 303.434 1.737 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000358363389 410459375 /nfs/dbraw/zinc/45/93/75/410459375.db2.gz XXTSVEKNJMUALS-ZJUUUORDSA-N -1 1 304.350 1.219 20 0 DDADMM O=C(NCCOc1ccc2c(c1)OCO2)c1cncc([O-])c1 ZINC000339800617 410470517 /nfs/dbraw/zinc/47/05/17/410470517.db2.gz UBRSYWXRNNCGDT-UHFFFAOYSA-N -1 1 302.286 1.325 20 0 DDADMM C[C@@H]1CCC[C@H](CCNC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343403419 410424370 /nfs/dbraw/zinc/42/43/70/410424370.db2.gz PDWZTPAHFKMRIK-CHWSQXEVSA-N -1 1 319.405 1.850 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@@H]4CC[C@H](O)[C@H]4C3)c[n-]c2[nH+]1 ZINC000329726564 410426055 /nfs/dbraw/zinc/42/60/55/410426055.db2.gz DWGHKMWUQQQJCW-BPNCWPANSA-N -1 1 313.357 1.487 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H]4CC[C@H](O)[C@H]4C3)cnc2n1 ZINC000329726564 410426056 /nfs/dbraw/zinc/42/60/56/410426056.db2.gz DWGHKMWUQQQJCW-BPNCWPANSA-N -1 1 313.357 1.487 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccn(C2CCCCC2)n1 ZINC000352038447 410436035 /nfs/dbraw/zinc/43/60/35/410436035.db2.gz IHZWARJUFQVJMO-UHFFFAOYSA-N -1 1 301.354 1.321 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CSC[C@@H]2C)c1 ZINC000352338381 410631550 /nfs/dbraw/zinc/63/15/50/410631550.db2.gz RFSLBDIMPJYBSJ-CBAPKCEASA-N -1 1 305.377 1.096 20 0 DDADMM Cc1nc(-c2ccc(N3CCC[C@H](O)C3)nc2)[n-]c(=O)c1C ZINC000301328949 410698380 /nfs/dbraw/zinc/69/83/80/410698380.db2.gz AAUKWJQIVDEKBD-ZDUSSCGKSA-N -1 1 300.362 1.822 20 0 DDADMM C[C@H](NC(=O)C(C)(C)C)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000330453048 410703600 /nfs/dbraw/zinc/70/36/00/410703600.db2.gz LAEQNMKGWTXDMK-VHSXEESVSA-N -1 1 324.381 1.032 20 0 DDADMM CCCOc1cc(OC)ccc1C(=O)N=c1ccc([O-])n[nH]1 ZINC000355883477 410718218 /nfs/dbraw/zinc/71/82/18/410718218.db2.gz PELNOJVBMIQZQD-UHFFFAOYSA-N -1 1 303.318 1.654 20 0 DDADMM CCCOc1cc(OC)ccc1C(=O)N=c1ccc(O)n[n-]1 ZINC000355883477 410718226 /nfs/dbraw/zinc/71/82/26/410718226.db2.gz PELNOJVBMIQZQD-UHFFFAOYSA-N -1 1 303.318 1.654 20 0 DDADMM CSc1nc([N-]C(=O)c2cc(-c3ccncc3)on2)n[nH]1 ZINC000340268653 410810674 /nfs/dbraw/zinc/81/06/74/410810674.db2.gz YTWXYTQQSHQOAB-UHFFFAOYSA-N -1 1 302.319 1.829 20 0 DDADMM CC[C@@H](C)[C@H]([N-]S(=O)(=O)c1cnnn1C)C(F)(F)F ZINC000359730180 410840395 /nfs/dbraw/zinc/84/03/95/410840395.db2.gz LHDDUGPWOKNQMY-SVRRBLITSA-N -1 1 300.306 1.070 20 0 DDADMM Cc1ccc(-c2nc(C(=O)NC(C)(C)c3nn[n-]n3)cs2)o1 ZINC000359788301 410882525 /nfs/dbraw/zinc/88/25/25/410882525.db2.gz GWRUUBPVEQQYJI-UHFFFAOYSA-N -1 1 318.362 1.890 20 0 DDADMM Cc1ccc(-c2cc(C(=O)NC3(c4nn[n-]n4)CC3)on2)cc1 ZINC000348278309 410894904 /nfs/dbraw/zinc/89/49/04/410894904.db2.gz OPUBNGSERXOPQG-UHFFFAOYSA-N -1 1 310.317 1.582 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2CCN(C)C[C@H]2C(C)C)[n-]n1 ZINC000331189744 411007760 /nfs/dbraw/zinc/00/77/60/411007760.db2.gz QGJKFIUVHCDAOP-ZDUSSCGKSA-N -1 1 321.425 1.490 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCO[C@@H]1CCCC[C@@H]1C ZINC000331264987 411047279 /nfs/dbraw/zinc/04/72/79/411047279.db2.gz PGQCXWWOKODZTL-GXSJLCMTSA-N -1 1 317.411 1.269 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCO[C@@H]1CCCC[C@@H]1C ZINC000331264987 411047281 /nfs/dbraw/zinc/04/72/81/411047281.db2.gz PGQCXWWOKODZTL-GXSJLCMTSA-N -1 1 317.411 1.269 20 0 DDADMM CSc1n[nH]c(NC(=O)c2cc(F)c(F)c([O-])c2F)n1 ZINC000348735435 411068831 /nfs/dbraw/zinc/06/88/31/411068831.db2.gz OEVGOMKCJVOPOF-UHFFFAOYSA-N -1 1 304.253 1.902 20 0 DDADMM O=C(NCCCOC[C@H]1CCOC1)c1c(F)ccc([O-])c1F ZINC000348737358 411069198 /nfs/dbraw/zinc/06/91/98/411069198.db2.gz WTORYMSVSHEDGV-SNVBAGLBSA-N -1 1 315.316 1.843 20 0 DDADMM O=S(=O)(Cc1cccc(F)c1)[N-]c1nnc2ccccn21 ZINC000341374412 411029685 /nfs/dbraw/zinc/02/96/85/411029685.db2.gz LOFFXIFKLVFDFC-UHFFFAOYSA-N -1 1 306.322 1.810 20 0 DDADMM CC1(C)CN(C(=O)CNC(=O)c2ncccc2[O-])[C@@H]2CCC[C@@H]21 ZINC000360244240 411110111 /nfs/dbraw/zinc/11/01/11/411110111.db2.gz ZGTRWXIEQZZADS-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CN(Cc1nnnn1CC(F)(F)F)C1(C(=O)[O-])CCCC1 ZINC000580214155 422904304 /nfs/dbraw/zinc/90/43/04/422904304.db2.gz LUVHAHHOSRLTMW-UHFFFAOYSA-N -1 1 307.276 1.065 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2cnc(C)s2)c1 ZINC000631792398 422923687 /nfs/dbraw/zinc/92/36/87/422923687.db2.gz AQJFLOOBHFQAHY-UHFFFAOYSA-N -1 1 314.388 1.644 20 0 DDADMM COc1cccc(NC(=O)CN2CC[C@@H](C)[C@H](C(=O)[O-])C2)c1 ZINC000580647139 422945814 /nfs/dbraw/zinc/94/58/14/422945814.db2.gz SUNZZHGPCLIYFX-BXUZGUMPSA-N -1 1 306.362 1.676 20 0 DDADMM O=C1CCC[C@H](C[N-]S(=O)(=O)c2sccc2Cl)N1 ZINC000649963962 423006429 /nfs/dbraw/zinc/00/64/29/423006429.db2.gz CVLBSSQIRIISKF-SSDOTTSWSA-N -1 1 308.812 1.349 20 0 DDADMM CC[C@H]1CN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CCN1Cc1ccccc1 ZINC000647739572 423024340 /nfs/dbraw/zinc/02/43/40/423024340.db2.gz GZDWLKXLWUQEOH-ARFHVFGLSA-N -1 1 316.401 1.830 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCO1)c1ncccc1C(F)(F)F ZINC000645421745 423028295 /nfs/dbraw/zinc/02/82/95/423028295.db2.gz MXFBELBVXIWGID-QMMMGPOBSA-N -1 1 310.297 1.558 20 0 DDADMM C[C@@H]1CN(Cc2cn(-c3ccccc3)nn2)CC[C@@H]1C(=O)[O-] ZINC000652496867 423043028 /nfs/dbraw/zinc/04/30/28/423043028.db2.gz FUJGDNBYUXLOFV-DOMZBBRYSA-N -1 1 300.362 1.810 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(Cc2cc3n(n2)CCCC3)C1 ZINC000652504793 423047437 /nfs/dbraw/zinc/04/74/37/423047437.db2.gz HIEZXXLZQFPVSG-MRXNPFEDSA-N -1 1 307.394 1.533 20 0 DDADMM C[C@@H](O)C[C@H](C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645647643 423126182 /nfs/dbraw/zinc/12/61/82/423126182.db2.gz ZAGRSBXTTMOABI-JGVFFNPUSA-N -1 1 312.313 1.538 20 0 DDADMM CO[C@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000373281618 418417246 /nfs/dbraw/zinc/41/72/46/418417246.db2.gz TWJVWGSRPPKKFA-NSHDSACASA-N -1 1 301.346 1.564 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C2C(C)(C)C2(C)C)o1 ZINC000360462129 418441832 /nfs/dbraw/zinc/44/18/32/418441832.db2.gz XWZYVVVETKJVHA-UHFFFAOYSA-N -1 1 301.364 1.779 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000366652433 418501213 /nfs/dbraw/zinc/50/12/13/418501213.db2.gz JPVXNKQZZLNHRB-STQMWFEESA-N -1 1 315.377 1.682 20 0 DDADMM CC[C@H](C)CS(=O)(=O)[N-][C@H](CC(F)(F)F)C(=O)OC ZINC000360845704 418532340 /nfs/dbraw/zinc/53/23/40/418532340.db2.gz LTUNZMRGHOQFPY-JGVFFNPUSA-N -1 1 305.318 1.446 20 0 DDADMM O=C(CCn1ccc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000360875375 418538715 /nfs/dbraw/zinc/53/87/15/418538715.db2.gz VVUFDQCLIVKXTQ-CQSZACIVSA-N -1 1 324.388 1.951 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@H]1O)c1cc(F)ccc1[O-] ZINC000367238812 418575508 /nfs/dbraw/zinc/57/55/08/418575508.db2.gz QSHCXMBVCDQQNZ-ABAIWWIYSA-N -1 1 323.364 1.829 20 0 DDADMM NC(=O)Cn1cc(NC(=O)c2ccc3ccccc3c2[O-])cn1 ZINC000193599524 222158774 /nfs/dbraw/zinc/15/87/74/222158774.db2.gz AZAWOBDKASQBNA-UHFFFAOYSA-N -1 1 310.313 1.480 20 0 DDADMM O=C(c1cc(I)ccc1[O-])N1CCC1 ZINC000194057332 222170115 /nfs/dbraw/zinc/17/01/15/222170115.db2.gz AFVORMIFFMXLLC-UHFFFAOYSA-N -1 1 303.099 1.843 20 0 DDADMM C[C@@H]1CCC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC000361259420 418613699 /nfs/dbraw/zinc/61/36/99/418613699.db2.gz ASKNJEDPOVPHER-MXWKQRLJSA-N -1 1 303.366 1.220 20 0 DDADMM COc1cc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)nn1C ZINC000361386735 418642202 /nfs/dbraw/zinc/64/22/02/418642202.db2.gz OJHJOTVLAJNHBU-UHFFFAOYSA-N -1 1 313.317 1.777 20 0 DDADMM CC(C)(C)c1n[n-]c(=NC(=O)N[C@@H]2CCc3nc[nH]c3C2)s1 ZINC000368232570 418707540 /nfs/dbraw/zinc/70/75/40/418707540.db2.gz SAYACSQOHQJNPU-MRVPVSSYSA-N -1 1 320.422 1.660 20 0 DDADMM O=C(NC[C@@H]1C[N@@H+]2CCC[C@H]2CO1)c1c(F)ccc([O-])c1F ZINC000368018896 418675390 /nfs/dbraw/zinc/67/53/90/418675390.db2.gz KIHLOGMCJTZSIF-VHSXEESVSA-N -1 1 312.316 1.263 20 0 DDADMM O=C(N=c1nc2ccccn2[n-]1)N1CCC(c2cnc[nH]2)CC1 ZINC000368107891 418690441 /nfs/dbraw/zinc/69/04/41/418690441.db2.gz OTGZTLZWCXHCMF-UHFFFAOYSA-N -1 1 311.349 1.286 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC[C@@H]([C@@H]3CCOC3)C1)c2=O ZINC000364697172 418802049 /nfs/dbraw/zinc/80/20/49/418802049.db2.gz NLEQSNAOGBAEKC-GHMZBOCLSA-N -1 1 316.361 1.173 20 0 DDADMM CC(C)(C)c1ccc(OCC(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000410863165 418852836 /nfs/dbraw/zinc/85/28/36/418852836.db2.gz XOCMEZRRRRKDTG-UHFFFAOYSA-N -1 1 317.349 1.219 20 0 DDADMM Cc1ccc(Cl)cc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000372194789 418843706 /nfs/dbraw/zinc/84/37/06/418843706.db2.gz BLINGSYMIIZRIL-NSHDSACASA-N -1 1 307.741 1.375 20 0 DDADMM CCCN1C[C@@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CC1=O ZINC000411576387 419349952 /nfs/dbraw/zinc/34/99/52/419349952.db2.gz YWRXUHAZVQFJLU-JTQLQIEISA-N -1 1 318.402 1.757 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@@H]2CCCN(C)[C@@H]2C)c1 ZINC000424755339 228313469 /nfs/dbraw/zinc/31/34/69/228313469.db2.gz DYSQOUADUFIVCC-AVKZDSLQSA-N -1 1 324.446 1.402 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2C[C@H](O)C2(C)C)c(F)c1 ZINC000425178991 228382294 /nfs/dbraw/zinc/38/22/94/228382294.db2.gz STQCNEGGQRDARA-MNOVXSKESA-N -1 1 305.346 1.711 20 0 DDADMM C[C@@H](NC(=O)c1csc(=NC2CC2)[n-]1)C(=O)OC(C)(C)C ZINC000425916010 419367155 /nfs/dbraw/zinc/36/71/55/419367155.db2.gz JHYWBCDFBCPSNK-MRVPVSSYSA-N -1 1 311.407 1.599 20 0 DDADMM C[C@@H](C(=O)[O-])C1(NS(=O)(=O)c2c(F)cccc2F)CC1 ZINC000650425458 423153538 /nfs/dbraw/zinc/15/35/38/423153538.db2.gz HGDLJLPBGYCSHN-ZETCQYMHSA-N -1 1 305.302 1.496 20 0 DDADMM CCOC(=O)[C@H](CC)C([O-])=Nc1nc(-c2cccnc2)ns1 ZINC000412213196 419724928 /nfs/dbraw/zinc/72/49/28/419724928.db2.gz INRLRTCYMDLEJA-SNVBAGLBSA-N -1 1 320.374 1.550 20 0 DDADMM Cc1noc(C2(C)CCN(C(=O)c3ncc(C)cc3[O-])CC2)n1 ZINC000428031887 419792168 /nfs/dbraw/zinc/79/21/68/419792168.db2.gz MMERTUBGAADBMC-UHFFFAOYSA-N -1 1 316.361 1.981 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)F)c1cc(F)c(F)c(F)c1 ZINC000428414007 419863104 /nfs/dbraw/zinc/86/31/04/419863104.db2.gz NTTHAWIWHDIXBG-RXMQYKEDSA-N -1 1 319.251 1.398 20 0 DDADMM NC(=O)c1csc(=NCc2c(F)cc(F)c(F)c2F)[n-]1 ZINC000432142478 229082783 /nfs/dbraw/zinc/08/27/83/229082783.db2.gz ZIMVZWAEXIIWMB-UHFFFAOYSA-N -1 1 305.256 1.832 20 0 DDADMM Cc1c2cc(F)ccc2oc1S(=O)(=O)[N-]C[C@@H](O)C(F)F ZINC000435634519 420270106 /nfs/dbraw/zinc/27/01/06/420270106.db2.gz CYGWLVDWFHJLFI-SECBINFHSA-N -1 1 323.292 1.785 20 0 DDADMM CCC[C@@H](C)[C@H]1CCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416181490 420271024 /nfs/dbraw/zinc/27/10/24/420271024.db2.gz WRDSSTUGXILENP-NXEZZACHSA-N -1 1 309.366 1.937 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2cc(F)ccc2C1 ZINC000416187376 420272952 /nfs/dbraw/zinc/27/29/52/420272952.db2.gz FQMAACLHBSQZRE-UHFFFAOYSA-N -1 1 319.292 1.234 20 0 DDADMM NC(=O)COc1cccc(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436516045 420335233 /nfs/dbraw/zinc/33/52/33/420335233.db2.gz UDYZCDBTINQSIA-UHFFFAOYSA-N -1 1 314.297 1.078 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2c(C)nc(N)nc2C)c1 ZINC000436509072 420335292 /nfs/dbraw/zinc/33/52/92/420335292.db2.gz RAKVRHOFOHTUQD-UHFFFAOYSA-N -1 1 316.317 1.251 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCN2C(=O)N=NC2C1 ZINC000436536356 420337613 /nfs/dbraw/zinc/33/76/13/420337613.db2.gz PWTCGRSVIKEAQA-UHFFFAOYSA-N -1 1 310.313 1.499 20 0 DDADMM CC(C)(C)OC(=O)C(C)(C)CCNc1nc2[nH][n-]cc-2c(=O)n1 ZINC000425277539 420338392 /nfs/dbraw/zinc/33/83/92/420338392.db2.gz XRWSIODQDVDZDJ-UHFFFAOYSA-N -1 1 321.381 1.602 20 0 DDADMM CCNc1nc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cs1 ZINC000425286232 420340780 /nfs/dbraw/zinc/34/07/80/420340780.db2.gz ALFMRCKWHXBAHP-QMMMGPOBSA-N -1 1 323.378 1.105 20 0 DDADMM Cn1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(C2CC2)n1 ZINC000425320870 420348020 /nfs/dbraw/zinc/34/80/20/420348020.db2.gz LSCOHWYXLRFADJ-UHFFFAOYSA-N -1 1 317.349 1.406 20 0 DDADMM C[C@H](NC(=O)c1ccc([O-])cc1F)C1(S(C)(=O)=O)CC1 ZINC000436646565 420348371 /nfs/dbraw/zinc/34/83/71/420348371.db2.gz IEBIWXIZQHZIKQ-QMMMGPOBSA-N -1 1 301.339 1.227 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2cn(C)c(C(C)C)n2)n1 ZINC000416387920 420352366 /nfs/dbraw/zinc/35/23/66/420352366.db2.gz VCFKENFGYCIIJN-MRVPVSSYSA-N -1 1 313.383 1.274 20 0 DDADMM COCCO[C@@H]1COCC[C@H]1NC(=O)c1ccc([O-])cc1F ZINC000436679004 420355699 /nfs/dbraw/zinc/35/56/99/420355699.db2.gz IPLLBBFAIWFKHI-ZIAGYGMSSA-N -1 1 313.325 1.082 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C(C)C)C1CC(C)(C)C1 ZINC000416240442 420292540 /nfs/dbraw/zinc/29/25/40/420292540.db2.gz BOWWIGKKQJCYGF-UHFFFAOYSA-N -1 1 309.366 1.936 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](CC(C)(C)C)C1 ZINC000416246881 420293653 /nfs/dbraw/zinc/29/36/53/420293653.db2.gz NUEFXNFVNWMFGA-VIFPVBQESA-N -1 1 309.366 1.795 20 0 DDADMM CC1(C)OCC[C@@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645743638 423163563 /nfs/dbraw/zinc/16/35/63/423163563.db2.gz KKGMUFQGWUFBTB-VIFPVBQESA-N -1 1 324.324 1.946 20 0 DDADMM CCOC(=O)[C@](C)(O)CNC(=O)c1ccc2ccccc2c1[O-] ZINC000436822708 420372919 /nfs/dbraw/zinc/37/29/19/420372919.db2.gz WRBADNFLVNRWNS-QGZVFWFLSA-N -1 1 317.341 1.589 20 0 DDADMM CC(C)n1nccc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000436870904 420380151 /nfs/dbraw/zinc/38/01/51/420380151.db2.gz MQBKELPFNZCVGJ-UHFFFAOYSA-N -1 1 324.362 1.069 20 0 DDADMM CCn1nccc1CN(CCO)C(=O)c1cc(Cl)ccc1[O-] ZINC000436892854 420381810 /nfs/dbraw/zinc/38/18/10/420381810.db2.gz LLJDTKYENRRZGC-UHFFFAOYSA-N -1 1 323.780 1.897 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cccn1C)c1ccsc1 ZINC000416464503 420382267 /nfs/dbraw/zinc/38/22/67/420382267.db2.gz HXCROYLWSAIQMM-NSHDSACASA-N -1 1 314.388 1.279 20 0 DDADMM O=C(NC[C@@H](O)CCc1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000436898512 420382862 /nfs/dbraw/zinc/38/28/62/420382862.db2.gz YINUVJBQEKVSKV-INIZCTEOSA-N -1 1 313.353 1.685 20 0 DDADMM CCN1CCN(C(=O)c2cc(F)ccc2[O-])C[C@@H]1c1ncc[nH]1 ZINC000436999146 420390991 /nfs/dbraw/zinc/39/09/91/420390991.db2.gz LJKOOHQSCVYNDJ-CYBMUJFWSA-N -1 1 318.352 1.773 20 0 DDADMM C[C@@H]1CC[C@@H](C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)O1 ZINC000416537579 420410757 /nfs/dbraw/zinc/41/07/57/420410757.db2.gz UDPWEJGSARULBZ-SFYZADRCSA-N -1 1 309.309 1.950 20 0 DDADMM C[C@H](Oc1cccc2ccccc21)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000444382261 230093766 /nfs/dbraw/zinc/09/37/66/230093766.db2.gz NKDXSWQJZUVQFW-NSHDSACASA-N -1 1 323.356 1.926 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)CN3CCOCC3)[nH][n-]2)c1 ZINC000444785347 230133083 /nfs/dbraw/zinc/13/30/83/230133083.db2.gz XTXCBRFKAATIOU-UHFFFAOYSA-N -1 1 318.352 1.217 20 0 DDADMM NC(=O)c1ccc(C(=O)[N-]c2n[nH]c(-c3ccccc3F)n2)o1 ZINC000438060914 420436717 /nfs/dbraw/zinc/43/67/17/420436717.db2.gz IWHMDVDYYWDVRO-UHFFFAOYSA-N -1 1 315.264 1.555 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2ccsc2C(=O)OC)no1 ZINC000439249333 420500639 /nfs/dbraw/zinc/50/06/39/420500639.db2.gz RSIHJLRFNAKWEY-UHFFFAOYSA-N -1 1 310.287 1.562 20 0 DDADMM Cc1csc(NC(=O)CN2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000450920262 420561345 /nfs/dbraw/zinc/56/13/45/420561345.db2.gz VHLGSDKSJCNBQL-UHFFFAOYSA-N -1 1 323.378 1.358 20 0 DDADMM COc1cc(C(=O)NCCc2nnc(C)[nH]2)cc(Cl)c1[O-] ZINC000442651736 420693301 /nfs/dbraw/zinc/69/33/01/420693301.db2.gz PIIXHHROOLKGOL-UHFFFAOYSA-N -1 1 310.741 1.453 20 0 DDADMM CCn1cnnc1CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442657006 420694203 /nfs/dbraw/zinc/69/42/03/420694203.db2.gz SOLAEJKOXFNQRK-UHFFFAOYSA-N -1 1 310.741 1.596 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@H]1CCO[C@@H]1C)c1ccsc1 ZINC000442741784 420706518 /nfs/dbraw/zinc/70/65/18/420706518.db2.gz NRKZVHAXUNWGRM-DVVUODLYSA-N -1 1 319.404 1.059 20 0 DDADMM COc1cc(C(=O)N[C@H](C)C[S@](C)=O)cc(Cl)c1[O-] ZINC000442794764 420717330 /nfs/dbraw/zinc/71/73/30/420717330.db2.gz DKZYHXKNZXMPDE-XJZHNMMOSA-N -1 1 305.783 1.551 20 0 DDADMM C[C@@H](CNC(=O)OC(C)(C)C)CNC(=O)c1ncccc1[O-] ZINC000495575677 421026962 /nfs/dbraw/zinc/02/69/62/421026962.db2.gz SUFNYQXZDWFKDJ-SNVBAGLBSA-N -1 1 309.366 1.678 20 0 DDADMM C[C@H]1CCC[C@H](CCN=c2ccc(C(=O)NCCO)n[n-]2)C1 ZINC000488383013 421086261 /nfs/dbraw/zinc/08/62/61/421086261.db2.gz DFVMADUUHVUELD-QWHCGFSZSA-N -1 1 306.410 1.249 20 0 DDADMM C/C=C/COc1cccc(CC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000450003104 421126235 /nfs/dbraw/zinc/12/62/35/421126235.db2.gz FTYIDGGLGFJFRR-SNAWJCMRSA-N -1 1 315.377 1.749 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H](CNC(C)=O)CC(C)C)c1nn[n-]n1 ZINC000450119200 421146015 /nfs/dbraw/zinc/14/60/15/421146015.db2.gz CJFAUGYSTINAAB-STQMWFEESA-N -1 1 324.429 1.346 20 0 DDADMM CC(C)c1ncsc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000456433731 421165905 /nfs/dbraw/zinc/16/59/05/421165905.db2.gz GJBCJVIKXPHQEL-UHFFFAOYSA-N -1 1 320.374 1.736 20 0 DDADMM O=C(C=Cc1c(F)cccc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000492223895 421215356 /nfs/dbraw/zinc/21/53/56/421215356.db2.gz WBNZUOAOPMVSAU-JYESYGNLSA-N -1 1 319.315 1.897 20 0 DDADMM CC(C)[C@H]1CC[C@@H](C)C[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544684635 421227698 /nfs/dbraw/zinc/22/76/98/421227698.db2.gz MBMIKBNOJRHMBA-UTUOFQBUSA-N -1 1 317.393 1.608 20 0 DDADMM Cc1ccc2c(c1)CC[C@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000545395054 421256842 /nfs/dbraw/zinc/25/68/42/421256842.db2.gz NBKKIZXZAJRODY-GFCCVEGCSA-N -1 1 309.329 1.143 20 0 DDADMM COCc1nc(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)co1 ZINC000547528850 421340588 /nfs/dbraw/zinc/34/05/88/421340588.db2.gz JVTBZNAUQFRIMD-UHFFFAOYSA-N -1 1 304.331 1.947 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000562355679 421357082 /nfs/dbraw/zinc/35/70/82/421357082.db2.gz UZJDZZHAOOMLEQ-LAQFHYBYSA-N -1 1 314.389 1.899 20 0 DDADMM COc1cc(C(=O)N2CC(=O)N(C3CC3)C2)cc(Cl)c1[O-] ZINC000548074917 421397727 /nfs/dbraw/zinc/39/77/27/421397727.db2.gz PUMIAIIGNWPPSI-UHFFFAOYSA-N -1 1 310.737 1.459 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1cnn(C)c1 ZINC000563018600 421440978 /nfs/dbraw/zinc/44/09/78/421440978.db2.gz JOBWKGQGRQDBQR-ZJUUUORDSA-N -1 1 305.338 1.018 20 0 DDADMM Cc1oc2nc(CN3CC[C@@H](C)[C@H](C(=O)[O-])C3)nc(N)c2c1C ZINC000563126888 421459966 /nfs/dbraw/zinc/45/99/66/421459966.db2.gz UUZBTCQCEFRHEB-LDYMZIIASA-N -1 1 318.377 1.964 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1CCc2ccccc2C1 ZINC000563813660 421545317 /nfs/dbraw/zinc/54/53/17/421545317.db2.gz NEZRVYSZCZVSCB-AWEZNQCLSA-N -1 1 311.389 1.890 20 0 DDADMM CC[C@H]1CN(C(=O)c2cccc(C(=O)[O-])c2)CCN1C[C@@H](C)O ZINC000564329537 421580420 /nfs/dbraw/zinc/58/04/20/421580420.db2.gz XNOYVMAYAKBRAI-DOMZBBRYSA-N -1 1 320.389 1.302 20 0 DDADMM N[C@@H](C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1)C1CCC1 ZINC000517028658 421590862 /nfs/dbraw/zinc/59/08/62/421590862.db2.gz KXQAGLBOAJCARK-CQSZACIVSA-N -1 1 306.316 1.843 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccco1)c1csc(=NC2CC2)[n-]1 ZINC000531766879 421645606 /nfs/dbraw/zinc/64/56/06/421645606.db2.gz KEZKSGQHRLVSJK-SNVBAGLBSA-N -1 1 321.402 1.313 20 0 DDADMM COCC(=O)Nc1cccc(CNC(=O)c2cncc([O-])c2)c1 ZINC000518348057 421648794 /nfs/dbraw/zinc/64/87/94/421648794.db2.gz QQCXGLKEVDSOKH-UHFFFAOYSA-N -1 1 315.329 1.302 20 0 DDADMM Cc1cnc(S[C@@H]2CCCN(c3cnn(C)c3)C2=O)[n-]c1=O ZINC000518398422 421653596 /nfs/dbraw/zinc/65/35/96/421653596.db2.gz RPWBMTANVUZLIW-LLVKDONJSA-N -1 1 319.390 1.512 20 0 DDADMM COc1ccccc1[C@H](CN=c1nn[n-]n1C)N1CCCCC1 ZINC000518594357 421659840 /nfs/dbraw/zinc/65/98/40/421659840.db2.gz YXIGQMPCQFOAQE-AWEZNQCLSA-N -1 1 316.409 1.280 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@@H](C)N1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000571402769 421713384 /nfs/dbraw/zinc/71/33/84/421713384.db2.gz CUKVYTDBFMDYEZ-JHJVBQTASA-N -1 1 322.409 1.834 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H](C)CC(C)(C)O ZINC000556671206 421719037 /nfs/dbraw/zinc/71/90/37/421719037.db2.gz NZWCYXIXLINLAL-SECBINFHSA-N -1 1 320.393 1.260 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(Cc2cnc(OC)c(Cl)c2)C1 ZINC000519712173 421720120 /nfs/dbraw/zinc/72/01/20/421720120.db2.gz VYCYPMGWWJOBKB-AWEZNQCLSA-N -1 1 314.769 1.667 20 0 DDADMM O=C(COc1cccc(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538226152 421737312 /nfs/dbraw/zinc/73/73/12/421737312.db2.gz NZAVQKZCDNWDNA-JTQLQIEISA-N -1 1 305.313 1.124 20 0 DDADMM CO[C@@H](C)c1nc(=N[C@@H]2CCN(CC(F)(F)F)C2)s[n-]1 ZINC000558687509 421820702 /nfs/dbraw/zinc/82/07/02/421820702.db2.gz SMJSVJITIVCSRF-JGVFFNPUSA-N -1 1 310.345 1.716 20 0 DDADMM O=C(c1cc(F)c(F)c([O-])c1F)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000572394991 421784110 /nfs/dbraw/zinc/78/41/10/421784110.db2.gz KMEFJNPBOSKNGW-ZCFIWIBFSA-N -1 1 312.251 1.557 20 0 DDADMM CN(CCCOc1ccc(F)cc1)C(=O)CCCc1nn[n-]n1 ZINC000635279120 421877501 /nfs/dbraw/zinc/87/75/01/421877501.db2.gz OGBQOVCVVJNBHM-UHFFFAOYSA-N -1 1 321.356 1.589 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)Cc2cc(C(=O)[O-])nn2C)C12CCC2 ZINC000635303758 421892618 /nfs/dbraw/zinc/89/26/18/421892618.db2.gz NLONJBDGNWNVDT-ZIAGYGMSSA-N -1 1 307.394 1.898 20 0 DDADMM COc1cnccc1CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000559683534 421837418 /nfs/dbraw/zinc/83/74/18/421837418.db2.gz UNIJPXWMQIIBEE-UHFFFAOYSA-N -1 1 324.340 1.977 20 0 DDADMM COc1cnccc1CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000559683534 421837420 /nfs/dbraw/zinc/83/74/20/421837420.db2.gz UNIJPXWMQIIBEE-UHFFFAOYSA-N -1 1 324.340 1.977 20 0 DDADMM CC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)c2cccc(Cl)c2O1 ZINC000543485870 421838194 /nfs/dbraw/zinc/83/81/94/421838194.db2.gz RIBXNXGNEIHODW-SECBINFHSA-N -1 1 308.769 1.939 20 0 DDADMM CCN(CCNS(=O)(=O)c1cccc(Cl)c1[O-])C1CC1 ZINC000631880774 421908019 /nfs/dbraw/zinc/90/80/19/421908019.db2.gz DLMCRWKNOXGXHM-UHFFFAOYSA-N -1 1 318.826 1.808 20 0 DDADMM CN(C)c1c(Cl)cccc1NC(=O)CCCc1nn[n-]n1 ZINC000635348624 421929132 /nfs/dbraw/zinc/92/91/32/421929132.db2.gz JHRGKDURVHTWLT-UHFFFAOYSA-N -1 1 308.773 1.881 20 0 DDADMM COc1cc(NC(=O)[C@H](C)Cc2cnc[nH]2)ccc1C(=O)[O-] ZINC000630183910 421943615 /nfs/dbraw/zinc/94/36/15/421943615.db2.gz DUKAZULBWXVOJV-SECBINFHSA-N -1 1 303.318 1.934 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2Cc3ccccc32)CC1 ZINC000630222170 421974008 /nfs/dbraw/zinc/97/40/08/421974008.db2.gz DEXCOKFHZBUQRY-DOMZBBRYSA-N -1 1 302.374 1.334 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1ccc(O)cc1)CC1CC1 ZINC000631935215 421952308 /nfs/dbraw/zinc/95/23/08/421952308.db2.gz FSLXSWLHSWXPOP-UHFFFAOYSA-N -1 1 301.350 1.277 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCCOc2ccc(F)cc21 ZINC000635410177 421966080 /nfs/dbraw/zinc/96/60/80/421966080.db2.gz FAARECDVEYRXFQ-LBPRGKRZSA-N -1 1 319.340 1.692 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2[C@@H](C)O[C@@H](C)[C@@H]2C)CC1 ZINC000630221401 421969973 /nfs/dbraw/zinc/96/99/73/421969973.db2.gz ZPWGPSFARYGXRX-XOBFJNJYSA-N -1 1 312.410 1.053 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H](C)c2ccccc2)CC1 ZINC000630219679 421971509 /nfs/dbraw/zinc/97/15/09/421971509.db2.gz JMZYGKGDFDKHRU-ZIAGYGMSSA-N -1 1 304.390 1.798 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630222357 421972268 /nfs/dbraw/zinc/97/22/68/421972268.db2.gz MHKMXWDMUYFWRD-CYBMUJFWSA-N -1 1 320.389 1.461 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3cc[nH]c32)CC1 ZINC000630225925 421976279 /nfs/dbraw/zinc/97/62/79/421976279.db2.gz TYDWSBWDDFFONV-LBPRGKRZSA-N -1 1 315.373 1.789 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](CO)Cc2ccco2)sn1 ZINC000632000214 422011730 /nfs/dbraw/zinc/01/17/30/422011730.db2.gz HKJZZUAKDWCPNP-JTQLQIEISA-N -1 1 316.404 1.174 20 0 DDADMM CCC[C@](C)(O)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632010719 422017607 /nfs/dbraw/zinc/01/76/07/422017607.db2.gz DLTPXYKWLFNHQS-ZDUSSCGKSA-N -1 1 303.380 1.230 20 0 DDADMM CNC(=O)c1sc2[n-]c([C@H]3CC[C@@H](C)O3)nc(=O)c2c1C ZINC000630384693 422072773 /nfs/dbraw/zinc/07/27/73/422072773.db2.gz DCNRAIMXQMTQJT-HTRCEHHLSA-N -1 1 307.375 1.893 20 0 DDADMM O=C(N[C@@H]1CCCCC12CCCC2)c1cc(=O)n2[n-]cnc2n1 ZINC000582036694 422092600 /nfs/dbraw/zinc/09/26/00/422092600.db2.gz DELHMFQJAJCORL-GFCCVEGCSA-N -1 1 315.377 1.650 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-]Cc1cn(C)nc1C(F)(F)F ZINC000632131285 422108752 /nfs/dbraw/zinc/10/87/52/422108752.db2.gz OHMCTPKCVVLQJD-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM O=C([C@H]1Cc2ccc(Cl)cc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000632054255 422053464 /nfs/dbraw/zinc/05/34/64/422053464.db2.gz QRCFBLFLXXJEHT-GWCFXTLKSA-N -1 1 317.780 1.899 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@]2(CCOC2)C1 ZINC000574613501 422141307 /nfs/dbraw/zinc/14/13/07/422141307.db2.gz ZEMOTQJKBMKALJ-HNNXBMFYSA-N -1 1 307.419 1.782 20 0 DDADMM CC(C)(CNC(=O)CCCc1nn[n-]n1)c1cccc(F)c1 ZINC000635600696 422154975 /nfs/dbraw/zinc/15/49/75/422154975.db2.gz ZSVLWIFPLGXNEV-UHFFFAOYSA-N -1 1 305.357 1.756 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@H]1C(=O)[O-] ZINC000583435198 422162955 /nfs/dbraw/zinc/16/29/55/422162955.db2.gz XEWHBUHJKJRCTE-NOZJJQNGSA-N -1 1 303.318 1.995 20 0 DDADMM COc1ccc(CN(C(=O)CCc2nn[n-]n2)C2CC2)cc1 ZINC000630546639 422171236 /nfs/dbraw/zinc/17/12/36/422171236.db2.gz ZQWWRGXEHUEKCJ-UHFFFAOYSA-N -1 1 301.350 1.332 20 0 DDADMM Cc1csc2c1[nH]cnc2=NC[C@@]1(C(=O)[O-])CCCOC1 ZINC000630463434 422119721 /nfs/dbraw/zinc/11/97/21/422119721.db2.gz MLOJILANWDWKTR-AWEZNQCLSA-N -1 1 307.375 1.715 20 0 DDADMM CC[C@H](CNC(=O)CCCc1nn[n-]n1)Oc1cccc(C)c1 ZINC000635651781 422206496 /nfs/dbraw/zinc/20/64/96/422206496.db2.gz GCTGXYGLLFZVPX-CYBMUJFWSA-N -1 1 317.393 1.805 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)CC1CSC1 ZINC000632292557 422226859 /nfs/dbraw/zinc/22/68/59/422226859.db2.gz MWHJDVPUFWLNJO-UHFFFAOYSA-N -1 1 318.395 1.889 20 0 DDADMM CC1(C)SC[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632315049 422244934 /nfs/dbraw/zinc/24/49/34/422244934.db2.gz KKHOPQIPSZSFKF-LURJTMIESA-N -1 1 315.342 1.601 20 0 DDADMM O=C(NC[C@@H](O)[C@H]1CCCO1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000628595774 422250554 /nfs/dbraw/zinc/25/05/54/422250554.db2.gz WEPNGHPRJUCTKX-VXGBXAGGSA-N -1 1 319.279 1.681 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccccc1Br ZINC000630695231 422272324 /nfs/dbraw/zinc/27/23/24/422272324.db2.gz PTJFNZDLUPCVEE-UHFFFAOYSA-N -1 1 310.155 1.211 20 0 DDADMM CC[C@H](C)[C@@H](CNS(=O)(=O)c1cc(OC)ccc1[O-])OC ZINC000632389877 422298999 /nfs/dbraw/zinc/29/89/99/422298999.db2.gz JKPGMMNHLCWUNA-GXFFZTMASA-N -1 1 317.407 1.740 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)CCCCO)c1 ZINC000632391590 422301138 /nfs/dbraw/zinc/30/11/38/422301138.db2.gz FDGWFHRAUKEBTB-SNVBAGLBSA-N -1 1 303.380 1.230 20 0 DDADMM C[C@H]1CC[C@H](C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)O1 ZINC000632433143 422340248 /nfs/dbraw/zinc/34/02/48/422340248.db2.gz LZDNXOOMMVKLCX-NKWVEPMBSA-N -1 1 313.301 1.274 20 0 DDADMM CCC(F)(F)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000628844153 422348569 /nfs/dbraw/zinc/34/85/69/422348569.db2.gz HFODKCKBBOTPRC-UHFFFAOYSA-N -1 1 312.320 1.756 20 0 DDADMM C[C@@H]1C[C@@H](c2cccnc2)N(C(=O)CCCc2nn[n-]n2)C1 ZINC000635794305 422350871 /nfs/dbraw/zinc/35/08/71/422350871.db2.gz JSTNXUHAOAEGQP-YPMHNXCESA-N -1 1 300.366 1.527 20 0 DDADMM CC[C@@H]1C[C@@H](NS(=O)(=O)c2cc(OC)ccc2[O-])CCO1 ZINC000632474937 422374408 /nfs/dbraw/zinc/37/44/08/422374408.db2.gz OOPFFQUNMSJPHJ-WDEREUQCSA-N -1 1 315.391 1.637 20 0 DDADMM CC(C)Oc1c(F)cc(NC(=O)CCc2nn[n-]n2)cc1F ZINC000632408269 422317549 /nfs/dbraw/zinc/31/75/49/422317549.db2.gz ZQBCSKJMDSRJJG-UHFFFAOYSA-N -1 1 311.292 1.836 20 0 DDADMM NC(=O)[C@H]1C[C@H](NC(=O)c2c([O-])cnc3ccc(Cl)cc32)C1 ZINC000628775624 422321095 /nfs/dbraw/zinc/32/10/95/422321095.db2.gz FDGMAXVMKXFNBI-XWEPSHTISA-N -1 1 319.748 1.588 20 0 DDADMM CN1CCN(c2ccc(C(=O)[O-])nn2)C[C@H]1Cc1ccccc1 ZINC000575752033 422323216 /nfs/dbraw/zinc/32/32/16/422323216.db2.gz HYLUPEXNGCEVOI-CQSZACIVSA-N -1 1 312.373 1.538 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n(C)n1 ZINC000635890187 422444706 /nfs/dbraw/zinc/44/47/06/422444706.db2.gz SQQDXFJYMSNNKM-SECBINFHSA-N -1 1 305.338 1.091 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(c2ccncc2)CC1 ZINC000635855634 422410856 /nfs/dbraw/zinc/41/08/56/422410856.db2.gz FIMIZXZVHNOTHM-UHFFFAOYSA-N -1 1 300.366 1.324 20 0 DDADMM Cc1cccc(OCCCNC(=O)CCCc2nn[n-]n2)c1C ZINC000635868576 422424807 /nfs/dbraw/zinc/42/48/07/422424807.db2.gz YUVJRDCBZSYADW-UHFFFAOYSA-N -1 1 317.393 1.725 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cc(C)ns1)C1CCOCC1 ZINC000632546867 422426640 /nfs/dbraw/zinc/42/66/40/422426640.db2.gz WJNNKAFIRDRIEL-NSHDSACASA-N -1 1 320.436 1.171 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@H](O)[C@@H]2CCCC[C@@H]21 ZINC000634489216 422455340 /nfs/dbraw/zinc/45/53/40/422455340.db2.gz NCSRXDZYQQZMAJ-XBFCOCLRSA-N -1 1 321.446 1.905 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@]23C[C@H]2COC3(C)C)c1 ZINC000632592241 422460156 /nfs/dbraw/zinc/46/01/56/422460156.db2.gz CAKBWWNPHSTREN-LKFCYVNXSA-N -1 1 313.375 1.247 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCc2cccc(OC)c21)c1nn[n-]n1 ZINC000577969208 422467683 /nfs/dbraw/zinc/46/76/83/422467683.db2.gz ZNFVPMNZTZIBBF-RYUDHWBXSA-N -1 1 315.377 1.896 20 0 DDADMM Cn1nc2c(c1S(=O)(=O)[N-]c1c[nH]nc1C1CC1)CCCC2 ZINC000634659079 422541578 /nfs/dbraw/zinc/54/15/78/422541578.db2.gz UMHCRZSHAMWGBE-UHFFFAOYSA-N -1 1 321.406 1.700 20 0 DDADMM CC[C@@H](OCCNC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC000636119630 422656294 /nfs/dbraw/zinc/65/62/94/422656294.db2.gz JZGTYULZVLBDHH-CQSZACIVSA-N -1 1 317.393 1.807 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1(c2ccccc2F)CCC1 ZINC000636063847 422610737 /nfs/dbraw/zinc/61/07/37/422610737.db2.gz WECQQHBXMAYNNW-UHFFFAOYSA-N -1 1 303.341 1.857 20 0 DDADMM COCCn1cc(CNC(=O)Cc2ccc([O-])c(Cl)c2)cn1 ZINC000632880303 422641017 /nfs/dbraw/zinc/64/10/17/422641017.db2.gz IQJNGDVMBOKSGE-UHFFFAOYSA-N -1 1 323.780 1.747 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000629492014 422699362 /nfs/dbraw/zinc/69/93/62/422699362.db2.gz WWTKCMACAMBPHM-GHMZBOCLSA-N -1 1 324.343 1.830 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)[C@H](C)O[C@H](C)C(=O)[O-])CC1 ZINC000634924960 422716791 /nfs/dbraw/zinc/71/67/91/422716791.db2.gz MHZFBNBOVKPXIQ-NWDGAFQWSA-N -1 1 300.399 1.197 20 0 DDADMM CC1(C)[C@H](C(=O)[O-])[C@H]1C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000634846573 422682494 /nfs/dbraw/zinc/68/24/94/422682494.db2.gz LYWYSJIRZFOGPH-ILXRZTDVSA-N -1 1 316.401 1.734 20 0 DDADMM O=C([O-])[C@@H]1CC(=O)N(C2CCN(Cc3ccccc3F)CC2)C1 ZINC000650497583 423176452 /nfs/dbraw/zinc/17/64/52/423176452.db2.gz OVPLTCDWSYVZIF-CYBMUJFWSA-N -1 1 320.364 1.723 20 0 DDADMM O=C([O-])C[C@H]1CCCCN1[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000650505704 423179508 /nfs/dbraw/zinc/17/95/08/423179508.db2.gz NPXOVQBUDHETEA-NXEZZACHSA-N -1 1 308.300 1.479 20 0 DDADMM CN1CCN(C(=O)c2ncccc2[O-])[C@@H](Cc2ccccc2)C1 ZINC000652881972 423186228 /nfs/dbraw/zinc/18/62/28/423186228.db2.gz IPXRFZGZTQJFAE-HNNXBMFYSA-N -1 1 311.385 1.786 20 0 DDADMM CNC(C)(C)c1cn(Cc2nc(=O)c3sccc3[n-]2)nn1 ZINC000640810784 423204773 /nfs/dbraw/zinc/20/47/73/423204773.db2.gz IBEDFHATSIVTCD-UHFFFAOYSA-N -1 1 304.379 1.491 20 0 DDADMM Cc1nc(C)c(C(=O)Nc2n[n-]c(C(F)(F)F)n2)nc1C ZINC000184528486 263387465 /nfs/dbraw/zinc/38/74/65/263387465.db2.gz LMWHGNZCHLJWHJ-UHFFFAOYSA-N -1 1 300.244 1.791 20 0 DDADMM CCN(CC)[C@H](CNC(=O)N=c1ncn(C)[n-]1)c1ccco1 ZINC000653295877 423426646 /nfs/dbraw/zinc/42/66/46/423426646.db2.gz CRMZJNHQNBYARM-LLVKDONJSA-N -1 1 306.370 1.035 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)[C@@]2(C(=O)[O-])CC=CCC2)C[C@H](C)O1 ZINC000653307724 423434298 /nfs/dbraw/zinc/43/42/98/423434298.db2.gz VEUBYODMGHWWJC-JKIFEVAISA-N -1 1 324.421 1.413 20 0 DDADMM O=C(NCCO[C@@H]1CCCCO1)c1csc(=NC2CC2)[n-]1 ZINC000646303155 423441804 /nfs/dbraw/zinc/44/18/04/423441804.db2.gz YGAQZZRJIMRFLS-GFCCVEGCSA-N -1 1 311.407 1.412 20 0 DDADMM CSc1cccnc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000651561616 423595170 /nfs/dbraw/zinc/59/51/70/423595170.db2.gz RMQAFIFEDOQBEO-VIFPVBQESA-N -1 1 304.379 1.336 20 0 DDADMM N=c1[n-]nc(C2CCN([C@@H]3C[C@@H]4CCCC[C@@H]4NC3=O)CC2)o1 ZINC000639724557 423691972 /nfs/dbraw/zinc/69/19/72/423691972.db2.gz PGGQTUSPPIGNRD-RWMBFGLXSA-N -1 1 319.409 1.109 20 0 DDADMM CC(=O)N1CC[C@@H](C[N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000641598402 423745386 /nfs/dbraw/zinc/74/53/86/423745386.db2.gz UHZDHQJJSFGNLS-JTQLQIEISA-N -1 1 318.345 1.112 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc2c(c1)nnn2C)C1CC1 ZINC000647136579 423772460 /nfs/dbraw/zinc/77/24/60/423772460.db2.gz VIBGEXUKQJHLJT-CYBMUJFWSA-N -1 1 310.379 1.135 20 0 DDADMM CC(=O)Nc1ccc(S(=O)(=O)[N-][C@H](C)C(F)F)c(F)c1 ZINC000641702617 423888885 /nfs/dbraw/zinc/88/88/85/423888885.db2.gz RXPADNKCDPVURX-ZCFIWIBFSA-N -1 1 310.297 1.716 20 0 DDADMM CC(C)c1nc([C@@H](C)NS(=O)(=O)c2ccccc2[O-])n[nH]1 ZINC000656946891 423911543 /nfs/dbraw/zinc/91/15/43/423911543.db2.gz OXZLXNYBLQZRBR-SECBINFHSA-N -1 1 310.379 1.673 20 0 DDADMM Cc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc(=O)[nH]1 ZINC000644886874 424017235 /nfs/dbraw/zinc/01/72/35/424017235.db2.gz SBFPVSQDSNDOBF-UHFFFAOYSA-N -1 1 304.306 1.204 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1ccc(C(=O)[O-])cn1)c1cccs1 ZINC000642207497 424132103 /nfs/dbraw/zinc/13/21/03/424132103.db2.gz AGYDQFJGTGKTSC-LBPRGKRZSA-N -1 1 319.386 1.874 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H](OC2CCC2)C1 ZINC000655283838 424357985 /nfs/dbraw/zinc/35/79/85/424357985.db2.gz PABITRRPPBVSDS-SNVBAGLBSA-N -1 1 323.349 1.070 20 0 DDADMM COCCOC[C@@H](C)NC(=O)N=c1[n-]sc2ccccc21 ZINC000640340392 424362856 /nfs/dbraw/zinc/36/28/56/424362856.db2.gz HLQYNSHXFMVREZ-SNVBAGLBSA-N -1 1 309.391 1.891 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1nc(-c3ccccc3)no1)C2 ZINC000662220511 424487916 /nfs/dbraw/zinc/48/79/16/424487916.db2.gz LEOQHZIQKRLINP-MLGOLLRUSA-N -1 1 315.329 1.270 20 0 DDADMM COc1ccc(CN(C)[C@@H]2CCN(CC(=O)[O-])C2=O)cc1C ZINC000662201960 424466506 /nfs/dbraw/zinc/46/65/06/424466506.db2.gz KXWZPAQFPKPNJK-CYBMUJFWSA-N -1 1 306.362 1.121 20 0 DDADMM CCN([C@H](C)c1cccc(O)c1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662201024 424467366 /nfs/dbraw/zinc/46/73/66/424467366.db2.gz FGEMABAJSOZWGE-RISCZKNCSA-N -1 1 306.362 1.461 20 0 DDADMM C[C@@H]1CN(S(=O)(=O)[N-]CC(F)(F)C(C)(C)C)C[C@H](C)O1 ZINC000660107635 424532580 /nfs/dbraw/zinc/53/25/80/424532580.db2.gz QEYVRVSFIIYHIP-AOOOYVTPSA-N -1 1 314.398 1.611 20 0 DDADMM CC(=O)N1CCC(NC(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000665470363 424806253 /nfs/dbraw/zinc/80/62/53/424806253.db2.gz HSJJBFMMLQECMV-UHFFFAOYSA-N -1 1 313.357 1.681 20 0 DDADMM Cc1nn(C)cc1C[N-]S(=O)(=O)c1c(F)cccc1F ZINC000341419546 271061059 /nfs/dbraw/zinc/06/10/59/271061059.db2.gz HBVTZEMQOCVOBO-UHFFFAOYSA-N -1 1 301.318 1.485 20 0 DDADMM COc1ccc(OC)c(S(=O)(=O)[N-][C@H](C)C(F)(F)F)c1 ZINC000341904273 271242029 /nfs/dbraw/zinc/24/20/29/271242029.db2.gz YPQQCOZCYRUQLR-SSDOTTSWSA-N -1 1 313.297 1.933 20 0 DDADMM COc1cc(C(=O)N[C@H](CO)[C@H]2CCCO2)cc(Cl)c1[O-] ZINC000344909089 272138128 /nfs/dbraw/zinc/13/81/28/272138128.db2.gz QPDJCTITOJOENV-GHMZBOCLSA-N -1 1 315.753 1.324 20 0 DDADMM O=C(CNC(=O)c1cc2ccccc2[nH]1)Nc1ccncc1[O-] ZINC000345383023 272237076 /nfs/dbraw/zinc/23/70/76/272237076.db2.gz ULGIFYFGKTVTKY-UHFFFAOYSA-N -1 1 310.313 1.059 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2cccc(C(=O)[O-])c2)c1 ZINC000347289563 272653783 /nfs/dbraw/zinc/65/37/83/272653783.db2.gz VVGSCVIWAHOJQH-UHFFFAOYSA-N -1 1 307.331 1.471 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cccnc1C(=O)OC)C(F)F ZINC000451637749 288056602 /nfs/dbraw/zinc/05/66/02/288056602.db2.gz YIRPIVDEQNOHLF-ZETCQYMHSA-N -1 1 308.306 1.190 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ccc([O-])cc1F)N1CCOCC1 ZINC000181093288 280252851 /nfs/dbraw/zinc/25/28/51/280252851.db2.gz ZBDATJRLNUGGHP-HNNXBMFYSA-N -1 1 310.369 1.618 20 0 DDADMM C[C@H](Cn1cncn1)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084937799 281014560 /nfs/dbraw/zinc/01/45/60/281014560.db2.gz FSEQBVIAXRRBTA-SNVBAGLBSA-N -1 1 306.347 1.391 20 0 DDADMM CNC(=O)C(C)(C)CNC(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000127293308 281270500 /nfs/dbraw/zinc/27/05/00/281270500.db2.gz QEPWKZMSNZUAMQ-UHFFFAOYSA-N -1 1 316.361 1.146 20 0 DDADMM CNC(=O)C(C)(C)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000127293308 281270504 /nfs/dbraw/zinc/27/05/04/281270504.db2.gz QEPWKZMSNZUAMQ-UHFFFAOYSA-N -1 1 316.361 1.146 20 0 DDADMM C[C@@H]1CO[C@H](CO)CN1C(=O)c1cc2ccccc2cc1[O-] ZINC000129259631 281338424 /nfs/dbraw/zinc/33/84/24/281338424.db2.gz XTEVGNCEGBBLCF-RISCZKNCSA-N -1 1 301.342 1.767 20 0 DDADMM C[C@H]1CO[C@@H](CO)CN1C(=O)c1ccc2ccccc2c1[O-] ZINC000129259404 281338436 /nfs/dbraw/zinc/33/84/36/281338436.db2.gz QGLZKKZOQXLKBB-WCQYABFASA-N -1 1 301.342 1.767 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C(=O)NCC[C@H]1C ZINC000282110887 293197743 /nfs/dbraw/zinc/19/77/43/293197743.db2.gz GQVQSVHOURUCHR-KCJUWKMLSA-N -1 1 320.393 1.043 20 0 DDADMM CCNC(=O)[C@H](NC(=O)c1c(F)ccc([O-])c1F)C(C)C ZINC000354234071 298375246 /nfs/dbraw/zinc/37/52/46/298375246.db2.gz JHFCFLMNDSFTIJ-GFCCVEGCSA-N -1 1 300.305 1.561 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCCC[C@@H]1[C@@H]1CNC(=O)C1 ZINC000362323849 300049204 /nfs/dbraw/zinc/04/92/04/300049204.db2.gz BNCVJXAWNKJXGR-GXFFZTMASA-N -1 1 306.337 1.662 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]CC(=O)Nc2ccccc2)on1 ZINC000362587790 300102864 /nfs/dbraw/zinc/10/28/64/300102864.db2.gz JOLOKGKVBOSXKR-UHFFFAOYSA-N -1 1 309.347 1.041 20 0 DDADMM C[C@H]1CN(C)C[C@@H]1C(=O)N=c1nc(Cc2ccccc2)[n-]s1 ZINC000362785477 300140652 /nfs/dbraw/zinc/14/06/52/300140652.db2.gz MDDIJEGBMJHQAC-AAEUAGOBSA-N -1 1 316.430 1.687 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000575154266 304719997 /nfs/dbraw/zinc/71/99/97/304719997.db2.gz CRJANRVSOIFQHJ-VXNVDRBHSA-N -1 1 319.317 1.543 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370163864 301286573 /nfs/dbraw/zinc/28/65/73/301286573.db2.gz UHTMAJARVQVIOI-FROQITRMSA-N -1 1 317.324 1.342 20 0 DDADMM O=C1OC[C@H]2CN(Cc3cc(=O)oc4cc([O-])ccc34)CCN12 ZINC000370545858 301361662 /nfs/dbraw/zinc/36/16/62/301361662.db2.gz ACAGRJLCBVQZMX-LLVKDONJSA-N -1 1 316.313 1.135 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CCN2C(=O)OC[C@H]2C1 ZINC000376193997 302048924 /nfs/dbraw/zinc/04/89/24/302048924.db2.gz HCLPJWGXOFAWNO-SNVBAGLBSA-N -1 1 313.313 1.217 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC=C(c2ccccc2C)C1 ZINC000377885944 302246706 /nfs/dbraw/zinc/24/67/06/302246706.db2.gz WTDUVUDGDKMAEU-UHFFFAOYSA-N -1 1 319.386 1.792 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@H]1C1CC1)c1ccc(F)c(F)c1F ZINC000378324164 302312371 /nfs/dbraw/zinc/31/23/71/302312371.db2.gz RWWVJJNWWMEQEF-RNCFNFMXSA-N -1 1 321.320 1.950 20 0 DDADMM C[C@@H]1C[C@H](O)CN(C(=O)c2ccc(Br)cc2[O-])C1 ZINC000401696130 302372805 /nfs/dbraw/zinc/37/28/05/302372805.db2.gz JXDVIOADWSSAFK-SCZZXKLOSA-N -1 1 314.179 1.998 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCn2nncc2C1 ZINC000514870892 302751458 /nfs/dbraw/zinc/75/14/58/302751458.db2.gz NNAQTIPLIMGDIE-UHFFFAOYSA-N -1 1 323.150 1.402 20 0 DDADMM Cc1cnc(SCC(=O)NCCOCCC(C)C)[n-]c1=O ZINC000515672426 302779982 /nfs/dbraw/zinc/77/99/82/302779982.db2.gz AIUOYODJDXPIOV-UHFFFAOYSA-N -1 1 313.423 1.762 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@H]1CCCO1)c1cc2ccccc2o1 ZINC000528487402 303033653 /nfs/dbraw/zinc/03/36/53/303033653.db2.gz MCMRVSPQIYSMHG-WCQYABFASA-N -1 1 311.359 1.251 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@H]1CCCC1(C)C ZINC000529564824 303138162 /nfs/dbraw/zinc/13/81/62/303138162.db2.gz IHGSXEVTHBSAKD-SECBINFHSA-N -1 1 309.366 1.843 20 0 DDADMM O=C(NC[C@@H](O)[C@H]1CCCO1)c1cc2ccccc2cc1[O-] ZINC000529760626 303151007 /nfs/dbraw/zinc/15/10/07/303151007.db2.gz DKRMQNKQBHWDTR-HZPDHXFCSA-N -1 1 301.342 1.815 20 0 DDADMM COc1cc(C(=O)NC[C@@H](O)[C@H]2CCCO2)cc(Cl)c1[O-] ZINC000529774241 303154450 /nfs/dbraw/zinc/15/44/50/303154450.db2.gz ZXAJPBWKGITKMY-GHMZBOCLSA-N -1 1 315.753 1.324 20 0 DDADMM CN(C)C(=O)N1CCC(C(=O)Nc2cccc(F)c2[O-])CC1 ZINC000530006038 303170089 /nfs/dbraw/zinc/17/00/89/303170089.db2.gz MRIDYOJKEMTJEW-UHFFFAOYSA-N -1 1 309.341 1.863 20 0 DDADMM NC(=O)c1ccc(CN2CCc3occc3[C@H]2C(=O)[O-])cc1 ZINC000530164774 303177456 /nfs/dbraw/zinc/17/74/56/303177456.db2.gz MJVKQSLDZRRZHK-AWEZNQCLSA-N -1 1 300.314 1.563 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000530261359 303188933 /nfs/dbraw/zinc/18/89/33/303188933.db2.gz AFJYGNLYWKFLAF-BJUWDHJGSA-N -1 1 315.377 1.465 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1C[C@H](C)[C@H]1C ZINC000357558166 306894033 /nfs/dbraw/zinc/89/40/33/306894033.db2.gz ZWHXTAKBDBAFOM-GXSJLCMTSA-N -1 1 302.378 1.851 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1cncc(C)c1 ZINC000534917677 303338345 /nfs/dbraw/zinc/33/83/45/303338345.db2.gz OSCBIOSFWGRJLV-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H](OC1CCOCC1)c1ccccc1 ZINC000536200928 303357602 /nfs/dbraw/zinc/35/76/02/303357602.db2.gz VDXMQUCMMAPXKH-GFCCVEGCSA-N -1 1 303.322 1.075 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H](OC1CCOCC1)c1ccccc1 ZINC000536200928 303357603 /nfs/dbraw/zinc/35/76/03/303357603.db2.gz VDXMQUCMMAPXKH-GFCCVEGCSA-N -1 1 303.322 1.075 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CC23CCCCC3)c1 ZINC000538606145 303385352 /nfs/dbraw/zinc/38/53/52/303385352.db2.gz SPENSPKMYKFQLQ-LLVKDONJSA-N -1 1 324.402 1.949 20 0 DDADMM CCC(=O)C1(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)CC1 ZINC000562520650 303869021 /nfs/dbraw/zinc/86/90/21/303869021.db2.gz YLJRQRIQUZJDCJ-UHFFFAOYSA-N -1 1 316.361 1.427 20 0 DDADMM COC(=O)C(C)(C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000361725456 307004620 /nfs/dbraw/zinc/00/46/20/307004620.db2.gz JXTQRAOISLXEPW-UHFFFAOYSA-N -1 1 309.746 1.709 20 0 DDADMM CN(C)[C@]1(C(=O)N2CCC[C@H](C(=O)[O-])C2)CCc2ccccc21 ZINC000364200701 307043338 /nfs/dbraw/zinc/04/33/38/307043338.db2.gz KIWRKZKWDYMBBR-KBXCAEBGSA-N -1 1 316.401 1.713 20 0 DDADMM O=C([C@@H]1CCC[C@@H]2CCCC[C@@H]12)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370739818 307134843 /nfs/dbraw/zinc/13/48/43/307134843.db2.gz LZJLFBRQEHNWHX-REWJHTLYSA-N -1 1 319.409 1.706 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@]2(CCCOC2)O1 ZINC000373630855 307193066 /nfs/dbraw/zinc/19/30/66/307193066.db2.gz WYGDIVNUDXXPRK-WBMJQRKESA-N -1 1 306.362 1.587 20 0 DDADMM Cn1cc2c(n1)CCC[C@@H]2NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000374544462 307209944 /nfs/dbraw/zinc/20/99/44/307209944.db2.gz RRWSHKPFYYSVFV-ZDUSSCGKSA-N -1 1 323.356 1.464 20 0 DDADMM CC(C)(C)OC(=O)N1CCCCC[C@H]1C(=O)Nc1nnn[n-]1 ZINC000375973206 307237142 /nfs/dbraw/zinc/23/71/42/307237142.db2.gz JASOOWUFFRMLML-VIFPVBQESA-N -1 1 310.358 1.318 20 0 DDADMM CC(C)(C)OC(=O)N1CCCCC[C@H]1C(=O)Nc1nn[n-]n1 ZINC000375973206 307237143 /nfs/dbraw/zinc/23/71/43/307237143.db2.gz JASOOWUFFRMLML-VIFPVBQESA-N -1 1 310.358 1.318 20 0 DDADMM CC[C@@H](C)[C@@H](O)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425208350 307302114 /nfs/dbraw/zinc/30/21/14/307302114.db2.gz SLANBGSKTYALGY-SKDRFNHKSA-N -1 1 307.362 1.959 20 0 DDADMM COCC[C@](C)(O)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425208271 307302147 /nfs/dbraw/zinc/30/21/47/307302147.db2.gz SHRWIIWSHPZRFZ-ZDUSSCGKSA-N -1 1 323.361 1.339 20 0 DDADMM CCN(CC)CCS(=O)(=O)N[C@@H](C(=O)[O-])c1cccs1 ZINC000517932475 307438265 /nfs/dbraw/zinc/43/82/65/307438265.db2.gz LYNVOKGOBSNYHR-LLVKDONJSA-N -1 1 320.436 1.135 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2c(c1)CC(C)(C)O2)c1nn[n-]n1 ZINC000565019295 308016492 /nfs/dbraw/zinc/01/64/92/308016492.db2.gz AJXWPGCCWBMOEP-SNVBAGLBSA-N -1 1 315.377 1.789 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCC[C@H](n2ccnn2)C1 ZINC000565104700 308020831 /nfs/dbraw/zinc/02/08/31/308020831.db2.gz KVUDEXLDJLLOSW-LBPRGKRZSA-N -1 1 304.350 1.969 20 0 DDADMM C[C@@H]1CCN(C(=O)c2sccc2CN(C)C)C[C@H]1C(=O)[O-] ZINC000565481499 308032361 /nfs/dbraw/zinc/03/23/61/308032361.db2.gz WVWLPVWLEBSULB-ZYHUDNBSSA-N -1 1 310.419 1.993 20 0 DDADMM O=C(c1cnc2n1CCCC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000566064594 308041886 /nfs/dbraw/zinc/04/18/86/308041886.db2.gz NEQMRCXHLVRTKH-SNVBAGLBSA-N -1 1 317.349 1.328 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H](c2nn[n-]n2)C1)C1CCOCC1 ZINC000569301406 308139684 /nfs/dbraw/zinc/13/96/84/308139684.db2.gz SIWDQTSPHVZUIB-NSHDSACASA-N -1 1 307.398 1.359 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)N[C@@H]2CCc3nc[nH]c3C2)s[n-]1 ZINC000570051870 308158272 /nfs/dbraw/zinc/15/82/72/308158272.db2.gz ZVTMHBRXOMUJGD-JGVFFNPUSA-N -1 1 322.394 1.070 20 0 DDADMM C[C@H]1CCN(CC(=O)Nc2ccc(F)c(F)c2)C[C@H]1C(=O)[O-] ZINC000570450635 308169826 /nfs/dbraw/zinc/16/98/26/308169826.db2.gz NNSXWYJAJDVBEC-GXSJLCMTSA-N -1 1 312.316 1.946 20 0 DDADMM O=C(NCC[C@H]1COc2ccccc2O1)c1ncccc1[O-] ZINC000574016142 308259000 /nfs/dbraw/zinc/25/90/00/308259000.db2.gz UNVBFSXKMGBEMT-NSHDSACASA-N -1 1 300.314 1.747 20 0 DDADMM Cc1ccc([C@H](CNC(=O)NCCCC(=O)[O-])N2CCCC2)o1 ZINC000574320205 308267979 /nfs/dbraw/zinc/26/79/79/308267979.db2.gz ZOKBCFGGGVIUCC-ZDUSSCGKSA-N -1 1 323.393 1.889 20 0 DDADMM COCc1ncsc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000576057308 308286889 /nfs/dbraw/zinc/28/68/89/308286889.db2.gz QNUQOCRAKWIRGU-UHFFFAOYSA-N -1 1 308.367 1.002 20 0 DDADMM O=C([O-])c1coc(NC[C@H](c2cccs2)N2CCOCC2)n1 ZINC000576282940 308303185 /nfs/dbraw/zinc/30/31/85/308303185.db2.gz ZYMQQCODVANAOY-LLVKDONJSA-N -1 1 323.374 1.342 20 0 DDADMM CC(C)c1n[n-]c(=NCCN2CCN(c3ccccc3)CC2)o1 ZINC000577339861 308379684 /nfs/dbraw/zinc/37/96/84/308379684.db2.gz FYTCSCWAIVVEAC-UHFFFAOYSA-N -1 1 315.421 1.849 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2c([O-])cccc2F)[C@H]1n1cccn1 ZINC000579518975 308537790 /nfs/dbraw/zinc/53/77/90/308537790.db2.gz WCTYBNYFCCMOJE-NJZAAPMLSA-N -1 1 319.336 1.876 20 0 DDADMM O=C(N=c1cc2ccccn2[nH]1)c1cnc2ccccc2c1[O-] ZINC000583398253 332387549 /nfs/dbraw/zinc/38/75/49/332387549.db2.gz IXYKXJYEHHIAGI-UHFFFAOYSA-N -1 1 304.309 1.850 20 0 DDADMM CC(=O)NCCN(C)c1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000583749977 337329141 /nfs/dbraw/zinc/32/91/41/337329141.db2.gz RNTXYGUTNHBOCL-UHFFFAOYSA-N -1 1 315.377 1.433 20 0 DDADMM O=C([O-])c1coc(=NC[C@]2(CO)C[C@@H]2c2ccc(F)cc2)[nH]1 ZINC000584616135 337372927 /nfs/dbraw/zinc/37/29/27/337372927.db2.gz DMTDKQPXNZSMLI-ABAIWWIYSA-N -1 1 306.293 1.512 20 0 DDADMM CO[C@@](C)(CO)CNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000656207548 484019471 /nfs/dbraw/zinc/01/94/71/484019471.db2.gz XQFYDWJYFLRBNS-MRXNPFEDSA-N -1 1 318.377 1.670 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1)[C@H]1COCCO1 ZINC000436981361 484130728 /nfs/dbraw/zinc/13/07/28/484130728.db2.gz RASKTJJVXYJIIQ-SNVBAGLBSA-N -1 1 324.724 1.185 20 0 DDADMM O=C(Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1)[C@H]1COCCO1 ZINC000436981361 484130732 /nfs/dbraw/zinc/13/07/32/484130732.db2.gz RASKTJJVXYJIIQ-SNVBAGLBSA-N -1 1 324.724 1.185 20 0 DDADMM Cc1nc([C@](C)(NC(=O)C(=O)c2ccc([O-])cc2)C2CC2)no1 ZINC000436992104 484134572 /nfs/dbraw/zinc/13/45/72/484134572.db2.gz UJGISPGVMSKTPB-MRXNPFEDSA-N -1 1 315.329 1.708 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(C)cc1O)[C@@H]1CCOC1 ZINC000656614761 484244507 /nfs/dbraw/zinc/24/45/07/484244507.db2.gz OIOXJFDIBWBBDS-BXUZGUMPSA-N -1 1 315.391 1.494 20 0 DDADMM CC(C)CN1CCN(C(=O)NCc2cccc(C(=O)[O-])c2)CC1 ZINC000320285247 484401873 /nfs/dbraw/zinc/40/18/73/484401873.db2.gz OXKFGWNXIGDFFA-UHFFFAOYSA-N -1 1 319.405 1.868 20 0 DDADMM Cc1cc(N[C@@H]2C[C@@H](C(=O)[O-])C[C@H]2O)c2cc(F)ccc2n1 ZINC000662894709 484526058 /nfs/dbraw/zinc/52/60/58/484526058.db2.gz BZJGPZQCFGUBCS-DFKRKDTASA-N -1 1 304.321 1.740 20 0 DDADMM C[C@H]1CCNC(=O)[C@@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000669635979 484596461 /nfs/dbraw/zinc/59/64/61/484596461.db2.gz OMJNZBMSRCTIQP-TVQRCGJNSA-N -1 1 308.359 1.236 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@@H](C(=O)[O-])C(C)(C)C)C2)n[nH]1 ZINC000663039256 484618184 /nfs/dbraw/zinc/61/81/84/484618184.db2.gz CERIFFXEZLGRGM-QWRGUYRKSA-N -1 1 308.382 1.566 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@]3(C(=O)[O-])CC3(C)C)C2)n[nH]1 ZINC000663041951 484620390 /nfs/dbraw/zinc/62/03/90/484620390.db2.gz QGZWHNLHIRDERE-BMIGLBTASA-N -1 1 306.366 1.320 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000663115566 484680524 /nfs/dbraw/zinc/68/05/24/484680524.db2.gz WUQJJPUHMLCNNF-GOSISDBHSA-N -1 1 316.401 1.662 20 0 DDADMM O=C([O-])[C@H](NC(=O)NC[C@@H]1CCN1Cc1ccccc1)C1CC1 ZINC000663271240 484777029 /nfs/dbraw/zinc/77/70/29/484777029.db2.gz KJKOBOZQIOBSMW-LSDHHAIUSA-N -1 1 317.389 1.423 20 0 DDADMM CC[C@@](C)(NC(=O)NC[C@@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663271318 484777409 /nfs/dbraw/zinc/77/74/09/484777409.db2.gz MBWINTBQWKFXKT-WMLDXEAASA-N -1 1 319.405 1.813 20 0 DDADMM O=C([O-])[C@]1(CC(=O)NCc2ccc3cncn3c2)CCSC1 ZINC000666112626 485080891 /nfs/dbraw/zinc/08/08/91/485080891.db2.gz XWQLRSNYTCQWRQ-HNNXBMFYSA-N -1 1 319.386 1.549 20 0 DDADMM CC(=O)NCC[C@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000673364496 485407004 /nfs/dbraw/zinc/40/70/04/485407004.db2.gz ROFHDOCQOCXLFX-GFCCVEGCSA-N -1 1 308.353 1.910 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1coc(C2CCC2)n1 ZINC000683526951 486039628 /nfs/dbraw/zinc/03/96/28/486039628.db2.gz TVCAHDMNHHTVNX-CYBMUJFWSA-N -1 1 324.344 1.975 20 0 DDADMM O=C(N[C@@H]1CCN(C2CCCCC2)C1=O)c1cncc([O-])c1 ZINC000676055403 486100020 /nfs/dbraw/zinc/10/00/20/486100020.db2.gz OZXSFRMOWWDOFR-CQSZACIVSA-N -1 1 303.362 1.451 20 0 DDADMM C[C@@H]1C[N@H+](C2CCOCC2)CCN1C(=O)c1ccc([O-])c(F)c1 ZINC000683883981 486144993 /nfs/dbraw/zinc/14/49/93/486144993.db2.gz RQLZHQDVNQTBKP-GFCCVEGCSA-N -1 1 322.380 1.857 20 0 DDADMM CC(=O)[C@@H]1C[C@H](CC(=O)NC2(c3nn[n-]n3)CCCC2)C1(C)C ZINC000680580898 486231430 /nfs/dbraw/zinc/23/14/30/486231430.db2.gz IPFVDZQEFBPTTO-NEPJUHHUSA-N -1 1 319.409 1.727 20 0 DDADMM Cn1cc(C[N-]S(=O)(=O)c2c(F)cccc2Cl)nn1 ZINC000680976602 486316785 /nfs/dbraw/zinc/31/67/85/486316785.db2.gz CFQKVHJNTLUQGN-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(c2cnccn2)CC1 ZINC000681017344 486323678 /nfs/dbraw/zinc/32/36/78/486323678.db2.gz SMBFHBDAMQTFNG-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM CCCN(C(=O)c1ccc([O-])c(F)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000681017175 486324164 /nfs/dbraw/zinc/32/41/64/486324164.db2.gz XMMBEZYPVQFHPF-LLVKDONJSA-N -1 1 315.366 1.571 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)c2ccc([O-])c(F)c2)C1 ZINC000681047058 486330857 /nfs/dbraw/zinc/33/08/57/486330857.db2.gz TXLNMPKUNOVMSW-NEPJUHHUSA-N -1 1 308.353 1.908 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(COC(F)(F)F)cc1 ZINC000684440401 486355149 /nfs/dbraw/zinc/35/51/49/486355149.db2.gz MVLJVYAOZOEGHX-UHFFFAOYSA-N -1 1 301.228 1.166 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCC(F)(F)C1 ZINC000684566612 486384778 /nfs/dbraw/zinc/38/47/78/486384778.db2.gz HTDLIHSIFHVVQQ-GHMZBOCLSA-N -1 1 307.304 1.841 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCN(C)c2ccccc21)c1nn[n-]n1 ZINC000681353887 486411456 /nfs/dbraw/zinc/41/14/56/486411456.db2.gz XSGQHTHMFFPHSA-DGCLKSJQSA-N -1 1 314.393 1.385 20 0 DDADMM Cn1ccc(NC(=O)CNC(=O)c2ccc3ccccc3c2[O-])n1 ZINC000684846001 486442859 /nfs/dbraw/zinc/44/28/59/486442859.db2.gz WZWHHPPCBLSHAU-UHFFFAOYSA-N -1 1 324.340 1.647 20 0 DDADMM O=C(Nc1ccc(-n2cncn2)cn1)c1c(F)ccc([O-])c1F ZINC000685482742 486547171 /nfs/dbraw/zinc/54/71/71/486547171.db2.gz JQWSYNMYQKQBOG-UHFFFAOYSA-N -1 1 317.255 1.898 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1CCC(OC(C)C)CC1 ZINC000685504312 486553115 /nfs/dbraw/zinc/55/31/15/486553115.db2.gz IXPFUZSGRIMEOQ-UHFFFAOYSA-N -1 1 317.411 1.580 20 0 DDADMM C[C@@H](NC(=O)c1ccc2ccccc2c1[O-])[C@H]1CN(C)CCO1 ZINC000119025226 490599055 /nfs/dbraw/zinc/59/90/55/490599055.db2.gz GOULWJGRUHZOAL-MLGOLLRUSA-N -1 1 314.385 1.994 20 0 DDADMM O=C(Nc1nn[n-]n1)c1c(F)cc(Br)cc1F ZINC000446310012 534478898 /nfs/dbraw/zinc/47/88/98/534478898.db2.gz KEDUDMPTTSWENB-UHFFFAOYSA-N -1 1 304.054 1.493 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2c2cccn2C)c([O-])c1 ZINC000331889554 534523185 /nfs/dbraw/zinc/52/31/85/534523185.db2.gz JWYJKLYXTJIFRN-CYBMUJFWSA-N -1 1 301.346 1.648 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C=Cc1ccc[nH]1 ZINC000491987532 534645523 /nfs/dbraw/zinc/64/55/23/534645523.db2.gz CHEOBGMDFJGKCV-WAYWQWQTSA-N -1 1 311.345 1.709 20 0 DDADMM CCC[C@H](NC(=O)COCCc1ccccc1)c1nn[n-]n1 ZINC000434781695 528178342 /nfs/dbraw/zinc/17/83/42/528178342.db2.gz GTYLZURAUDLOCE-ZDUSSCGKSA-N -1 1 303.366 1.416 20 0 DDADMM CCOC1(C)CN(C(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000452284605 528460625 /nfs/dbraw/zinc/46/06/25/528460625.db2.gz MOBFMPBGXLEOOL-UHFFFAOYSA-N -1 1 301.346 1.895 20 0 DDADMM CCOC1(C)CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000452284605 528460631 /nfs/dbraw/zinc/46/06/31/528460631.db2.gz MOBFMPBGXLEOOL-UHFFFAOYSA-N -1 1 301.346 1.895 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)OCc1ccc(F)cc1)c1nn[n-]n1 ZINC000294781597 528989200 /nfs/dbraw/zinc/98/92/00/528989200.db2.gz ACRDWFPISRYWHL-GXFFZTMASA-N -1 1 321.356 1.902 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C)cc(C(=O)OC)c1)c1nn[n-]n1 ZINC000457616104 528990033 /nfs/dbraw/zinc/99/00/33/528990033.db2.gz ALTLBTHJVIYPII-GFCCVEGCSA-N -1 1 317.349 1.566 20 0 DDADMM CC[C@H](CN=c1[n-]cc(S(N)(=O)=O)s1)CC(F)(F)F ZINC000413522485 529336911 /nfs/dbraw/zinc/33/69/11/529336911.db2.gz QNMKQGZNXIFTDY-LURJTMIESA-N -1 1 317.358 1.603 20 0 DDADMM CC(C)[C@](C)(O)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000735692067 598968355 /nfs/dbraw/zinc/96/83/55/598968355.db2.gz GSYIPVZCWOCOCS-OAHLLOKOSA-N -1 1 313.365 1.629 20 0 DDADMM CC(C)[C@](C)(O)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000735692067 598968356 /nfs/dbraw/zinc/96/83/56/598968356.db2.gz GSYIPVZCWOCOCS-OAHLLOKOSA-N -1 1 313.365 1.629 20 0 DDADMM c1cc(NCCCOC[C@H]2CCOC2)nc(-c2nnn[n-]2)c1 ZINC000738413073 598973716 /nfs/dbraw/zinc/97/37/16/598973716.db2.gz MOMUZZGVEHUDNU-LLVKDONJSA-N -1 1 304.354 1.117 20 0 DDADMM c1cc(NCCCOC[C@H]2CCOC2)nc(-c2nn[n-]n2)c1 ZINC000738413073 598973718 /nfs/dbraw/zinc/97/37/18/598973718.db2.gz MOMUZZGVEHUDNU-LLVKDONJSA-N -1 1 304.354 1.117 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736400439 598990371 /nfs/dbraw/zinc/99/03/71/598990371.db2.gz OFYWOEGVYKSRGK-PWSUYJOCSA-N -1 1 300.366 1.960 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736400439 598990373 /nfs/dbraw/zinc/99/03/73/598990373.db2.gz OFYWOEGVYKSRGK-PWSUYJOCSA-N -1 1 300.366 1.960 20 0 DDADMM Cc1noc(C)c1[C@@H](C)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737540382 599006033 /nfs/dbraw/zinc/00/60/33/599006033.db2.gz VABJVPIZPXQASX-SSDOTTSWSA-N -1 1 313.321 1.358 20 0 DDADMM Cc1noc(C)c1[C@@H](C)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737540382 599006035 /nfs/dbraw/zinc/00/60/35/599006035.db2.gz VABJVPIZPXQASX-SSDOTTSWSA-N -1 1 313.321 1.358 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC[C@H]1c1ccccn1 ZINC000738257683 599026044 /nfs/dbraw/zinc/02/60/44/599026044.db2.gz BZRRUPNAQHTSLC-AWEZNQCLSA-N -1 1 321.344 1.634 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@H]1c1ccccn1 ZINC000738257683 599026047 /nfs/dbraw/zinc/02/60/47/599026047.db2.gz BZRRUPNAQHTSLC-AWEZNQCLSA-N -1 1 321.344 1.634 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)C1CCCCC1 ZINC000736117155 599081594 /nfs/dbraw/zinc/08/15/94/599081594.db2.gz DQTOQNBWDFZQLJ-JTQLQIEISA-N -1 1 300.366 1.960 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)C1CCCCC1 ZINC000736117155 599081596 /nfs/dbraw/zinc/08/15/96/599081596.db2.gz DQTOQNBWDFZQLJ-JTQLQIEISA-N -1 1 300.366 1.960 20 0 DDADMM CCO[C@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)C12CCCC2 ZINC000821358520 599101069 /nfs/dbraw/zinc/10/10/69/599101069.db2.gz JKWSOXDCESNAER-QWRGUYRKSA-N -1 1 315.381 1.806 20 0 DDADMM CCO[C@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)C12CCCC2 ZINC000821358520 599101072 /nfs/dbraw/zinc/10/10/72/599101072.db2.gz JKWSOXDCESNAER-QWRGUYRKSA-N -1 1 315.381 1.806 20 0 DDADMM CNC(=O)[C@@H]1CCCCN1CCOc1cccc(C(=O)[O-])c1 ZINC000315128660 599779637 /nfs/dbraw/zinc/77/96/37/599779637.db2.gz JVXSSTXKQIAUPJ-AWEZNQCLSA-N -1 1 306.362 1.364 20 0 DDADMM C[S@@](=O)CCCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000737173900 599204281 /nfs/dbraw/zinc/20/42/81/599204281.db2.gz QQCCPMDNTASNTN-LJQANCHMSA-N -1 1 300.775 1.096 20 0 DDADMM C[S@@](=O)CCCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000737173900 599204283 /nfs/dbraw/zinc/20/42/83/599204283.db2.gz QQCCPMDNTASNTN-LJQANCHMSA-N -1 1 300.775 1.096 20 0 DDADMM COc1ccccc1CNC(=O)CN1CCC(C(=O)[O-])CC1 ZINC000314438679 596924850 /nfs/dbraw/zinc/92/48/50/596924850.db2.gz HUZJUNIWTFMKTA-UHFFFAOYSA-N -1 1 306.362 1.108 20 0 DDADMM COc1cccc(CN(C)C(=O)CN2CCC(C(=O)[O-])CC2)c1 ZINC000739947172 596938272 /nfs/dbraw/zinc/93/82/72/596938272.db2.gz OPGPOEIJOYRTDL-UHFFFAOYSA-N -1 1 320.389 1.450 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)NCCC(=O)[O-] ZINC000818835414 597168108 /nfs/dbraw/zinc/16/81/08/597168108.db2.gz MIOKHBDFAXVDKZ-UHFFFAOYSA-N -1 1 320.393 1.343 20 0 DDADMM O=C1CCc2cc(NCc3ccc(-c4nnn[n-]4)o3)ccc2N1 ZINC000823535972 607309487 /nfs/dbraw/zinc/30/94/87/607309487.db2.gz NRRZSGXCYBKGAY-UHFFFAOYSA-N -1 1 310.317 1.957 20 0 DDADMM O=C1CCc2cc(NCc3ccc(-c4nn[n-]n4)o3)ccc2N1 ZINC000823535972 607309488 /nfs/dbraw/zinc/30/94/88/607309488.db2.gz NRRZSGXCYBKGAY-UHFFFAOYSA-N -1 1 310.317 1.957 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)N1CC[C@@H](C(=O)[O-])C1)c1ccco1 ZINC000818193666 597510119 /nfs/dbraw/zinc/51/01/19/597510119.db2.gz LWZPKMKERSVBQI-OLZOCXBDSA-N -1 1 323.393 1.779 20 0 DDADMM O=C([O-])[C@H]1CCN(CC(=O)N2CCC(c3ccccc3)=N2)C1 ZINC000819281450 597525648 /nfs/dbraw/zinc/52/56/48/597525648.db2.gz SUQBXYIDKAAKQF-ZDUSSCGKSA-N -1 1 301.346 1.030 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CN2C[C@@H](c3cccnc3)CC2=O)C1 ZINC000821514996 598058961 /nfs/dbraw/zinc/05/89/61/598058961.db2.gz BAOLYEGHDJSEKP-HIFRSBDPSA-N -1 1 317.389 1.542 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NCc1ccc(C)c(F)c1)C(=O)[O-] ZINC000263086074 598187374 /nfs/dbraw/zinc/18/73/74/598187374.db2.gz IIGRHLUXJIWSFQ-BONVTDFDSA-N -1 1 310.369 1.839 20 0 DDADMM CC(C)[C@@H](NCC(=O)Nc1nc2ccccc2s1)C(=O)[O-] ZINC000035391691 598188290 /nfs/dbraw/zinc/18/82/90/598188290.db2.gz LORYLBLFXLFWGJ-GFCCVEGCSA-N -1 1 307.375 1.934 20 0 DDADMM Cn1c(CNc2nccnc2-c2nnn[n-]2)nc2ccccc21 ZINC000737589250 598339385 /nfs/dbraw/zinc/33/93/85/598339385.db2.gz BEVAZTHQKIQTSY-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM Cn1c(CNc2nccnc2-c2nn[n-]n2)nc2ccccc21 ZINC000737589250 598339386 /nfs/dbraw/zinc/33/93/86/598339386.db2.gz BEVAZTHQKIQTSY-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM CCc1nc(Cn2ccc(C)c(-c3nn[n-]n3)c2=O)cs1 ZINC000821509901 607349199 /nfs/dbraw/zinc/34/91/99/607349199.db2.gz OWNZJWGLCBAFML-UHFFFAOYSA-N -1 1 302.363 1.404 20 0 DDADMM CCc1nn([C@H]2C=CCCC2)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736706710 598642647 /nfs/dbraw/zinc/64/26/47/598642647.db2.gz ZBLRSJCJGPEZNC-JTQLQIEISA-N -1 1 300.366 1.829 20 0 DDADMM CN(CC1CC1)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000736794327 598691917 /nfs/dbraw/zinc/69/19/17/598691917.db2.gz QPGLFDIGQJMJTD-UHFFFAOYSA-N -1 1 311.342 1.036 20 0 DDADMM CCn1ccnc1Cn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000736722327 598719480 /nfs/dbraw/zinc/71/94/80/598719480.db2.gz NXMOTFFPSDNILF-UHFFFAOYSA-N -1 1 321.344 1.446 20 0 DDADMM CC(C)[C@@H](CCO)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000735706465 599322547 /nfs/dbraw/zinc/32/25/47/599322547.db2.gz BBMLSAZGACNDFP-ZCFIWIBFSA-N -1 1 302.791 1.796 20 0 DDADMM CC(C)[C@@H](CCO)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000735706465 599322550 /nfs/dbraw/zinc/32/25/50/599322550.db2.gz BBMLSAZGACNDFP-ZCFIWIBFSA-N -1 1 302.791 1.796 20 0 DDADMM c1ccc2oc(CCNc3nccnc3-c3nnn[n-]3)nc2c1 ZINC000823801864 599361121 /nfs/dbraw/zinc/36/11/21/599361121.db2.gz DCIMZTNIFAAEMJ-UHFFFAOYSA-N -1 1 308.305 1.453 20 0 DDADMM c1ccc2oc(CCNc3nccnc3-c3nn[n-]n3)nc2c1 ZINC000823801864 599361124 /nfs/dbraw/zinc/36/11/24/599361124.db2.gz DCIMZTNIFAAEMJ-UHFFFAOYSA-N -1 1 308.305 1.453 20 0 DDADMM c1ccc2c(c1)C[C@H](CNc1cccc(-c3nnn[n-]3)n1)CO2 ZINC000738413063 599400516 /nfs/dbraw/zinc/40/05/16/599400516.db2.gz MNBRSLMTAZVYPG-LLVKDONJSA-N -1 1 308.345 1.925 20 0 DDADMM c1ccc2c(c1)C[C@H](CNc1cccc(-c3nn[n-]n3)n1)CO2 ZINC000738413063 599400517 /nfs/dbraw/zinc/40/05/17/599400517.db2.gz MNBRSLMTAZVYPG-LLVKDONJSA-N -1 1 308.345 1.925 20 0 DDADMM CN(CCN(C)c1cccc(-c2nnn[n-]2)n1)c1ccccc1 ZINC000736798870 599433691 /nfs/dbraw/zinc/43/36/91/599433691.db2.gz JZHGFPKNJDCQKY-UHFFFAOYSA-N -1 1 309.377 1.834 20 0 DDADMM CN(CCN(C)c1cccc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000736798870 599433692 /nfs/dbraw/zinc/43/36/92/599433692.db2.gz JZHGFPKNJDCQKY-UHFFFAOYSA-N -1 1 309.377 1.834 20 0 DDADMM CCC(C)(C)N(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736311966 599448203 /nfs/dbraw/zinc/44/82/03/599448203.db2.gz BXHYUHFPTWJIFS-UHFFFAOYSA-N -1 1 310.383 1.071 20 0 DDADMM CCC(C)(C)N(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736311966 599448204 /nfs/dbraw/zinc/44/82/04/599448204.db2.gz BXHYUHFPTWJIFS-UHFFFAOYSA-N -1 1 310.383 1.071 20 0 DDADMM COc1ccccc1[C@H]1CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC000737145624 599537498 /nfs/dbraw/zinc/53/74/98/599537498.db2.gz DFFAKANQWSWQDS-NSHDSACASA-N -1 1 323.360 1.659 20 0 DDADMM COc1ccccc1[C@H]1CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC000737145624 599537501 /nfs/dbraw/zinc/53/75/01/599537501.db2.gz DFFAKANQWSWQDS-NSHDSACASA-N -1 1 323.360 1.659 20 0 DDADMM O=C([O-])CSCCC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000739845033 599755035 /nfs/dbraw/zinc/75/50/35/599755035.db2.gz BCNRVVBZZXMBJG-UHFFFAOYSA-N -1 1 320.374 1.296 20 0 DDADMM O=C([O-])CSCCC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000739845033 599755039 /nfs/dbraw/zinc/75/50/39/599755039.db2.gz BCNRVVBZZXMBJG-UHFFFAOYSA-N -1 1 320.374 1.296 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1ccc(C(=O)[O-])s1)N(C)C1CC1 ZINC000217946228 599774755 /nfs/dbraw/zinc/77/47/55/599774755.db2.gz DHSIVDFZCUDOGR-QMMMGPOBSA-N -1 1 318.420 1.207 20 0 DDADMM CC[C@@H](C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1ccccc1 ZINC000314830606 599777155 /nfs/dbraw/zinc/77/71/55/599777155.db2.gz XNXIUJAYAXOEKL-KGLIPLIRSA-N -1 1 301.346 1.715 20 0 DDADMM CC(C)OCc1cccc(CNC(=O)CNC(C)(C)C(=O)[O-])c1 ZINC000736486248 599924856 /nfs/dbraw/zinc/92/48/56/599924856.db2.gz OBDVRVBWDONZHU-UHFFFAOYSA-N -1 1 322.405 1.681 20 0 DDADMM COc1ccc(OC)c([C@H](C)NC(=O)CNC(C)(C)C(=O)[O-])c1 ZINC000738048139 599925400 /nfs/dbraw/zinc/92/54/00/599925400.db2.gz LFYACFIFIZGWNL-JTQLQIEISA-N -1 1 324.377 1.334 20 0 DDADMM CC[C@H]1CN(Cc2cc(C)c(C(=O)[O-])o2)CC[N@H+]1CCOC ZINC000736943390 599960753 /nfs/dbraw/zinc/96/07/53/599960753.db2.gz MHXXGLZSKKIAJM-ZDUSSCGKSA-N -1 1 310.394 1.829 20 0 DDADMM CC[C@H]1CN(Cc2cc(C)c(C(=O)[O-])o2)CCN1CCOC ZINC000736943390 599960755 /nfs/dbraw/zinc/96/07/55/599960755.db2.gz MHXXGLZSKKIAJM-ZDUSSCGKSA-N -1 1 310.394 1.829 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCCC[C@@H]1[C@@H](O)c1ccccc1 ZINC000739733808 599994265 /nfs/dbraw/zinc/99/42/65/599994265.db2.gz FCKYPYCPRMNGMS-PBHICJAKSA-N -1 1 320.389 1.165 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000320695690 600175144 /nfs/dbraw/zinc/17/51/44/600175144.db2.gz OXTRQTFKMKSSOO-CYBMUJFWSA-N -1 1 320.393 1.061 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN1CCc2ccccc2CC1)C(=O)[O-] ZINC000736886459 600217065 /nfs/dbraw/zinc/21/70/65/600217065.db2.gz PNVHMYOUESCWPI-SUMWQHHRSA-N -1 1 318.417 1.703 20 0 DDADMM CN(Cc1ccccc1)C(=O)CN1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000405364051 600288871 /nfs/dbraw/zinc/28/88/71/600288871.db2.gz RTDNJKGMNZUCEC-OAHLLOKOSA-N -1 1 316.401 1.832 20 0 DDADMM C[C@H](C(=O)NCc1cccs1)N1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000736215172 600295706 /nfs/dbraw/zinc/29/57/06/600295706.db2.gz MPJHAEMRPBBJOW-YPMHNXCESA-N -1 1 322.430 1.940 20 0 DDADMM COc1ccccc1[C@@H]1CCN([C@H](C)C(=O)NCC(=O)[O-])C1 ZINC000738129425 600442622 /nfs/dbraw/zinc/44/26/22/600442622.db2.gz PSKTVWGDXONZTD-VXGBXAGGSA-N -1 1 306.362 1.074 20 0 DDADMM CCC[C@](C)(NCC(=O)N(C)Cc1ccc(OC)cc1)C(=O)[O-] ZINC000736960277 600505844 /nfs/dbraw/zinc/50/58/44/600505844.db2.gz OHVVOSRTDUQFIS-KRWDZBQOSA-N -1 1 322.405 1.887 20 0 DDADMM CCO[C@H]1C[C@H](O)C12CCN(Cc1cc(C(=O)[O-])co1)CC2 ZINC000737167386 600795624 /nfs/dbraw/zinc/79/56/24/600795624.db2.gz ZWCBWCTZIOYRHK-KBPBESRZSA-N -1 1 309.362 1.730 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2ccccc2)CC1 ZINC000827356861 601107166 /nfs/dbraw/zinc/10/71/66/601107166.db2.gz UEUFPUXOAJWVHY-NPQIQWPPSA-N -1 1 302.374 1.707 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CCSC2(CCCCC2)C1 ZINC000826005219 601157414 /nfs/dbraw/zinc/15/74/14/601157414.db2.gz UIIKJEVZUKHKKU-NSHDSACASA-N -1 1 300.424 1.327 20 0 DDADMM C/C(=C/CN1CCN(Cc2ccc(Cl)nc2)CC1)C(=O)[O-] ZINC000825745676 601168613 /nfs/dbraw/zinc/16/86/13/601168613.db2.gz CGRPGGPROQYHTL-QCDXTXTGSA-N -1 1 309.797 1.884 20 0 DDADMM Cc1cc(NC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)sn1 ZINC000832895175 601243963 /nfs/dbraw/zinc/24/39/63/601243963.db2.gz UEKZONIKZKRXFM-JTQLQIEISA-N -1 1 312.395 1.464 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c(F)c1 ZINC000832910803 601288650 /nfs/dbraw/zinc/28/86/50/601288650.db2.gz AYUKPRSQDRNWBD-GFCCVEGCSA-N -1 1 308.353 1.755 20 0 DDADMM Cc1nn(C)c2nc(Cl)c(CN(CC(=O)[O-])C3CC3)cc12 ZINC000833015431 601464523 /nfs/dbraw/zinc/46/45/23/601464523.db2.gz AXATWLJBHNMVCF-UHFFFAOYSA-N -1 1 308.769 1.979 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccc3c(c2)OCO3)C[C@H]1C(=O)[O-] ZINC000315595308 601505079 /nfs/dbraw/zinc/50/50/79/601505079.db2.gz OXINYYAUBNKDGS-MWLCHTKSSA-N -1 1 306.318 1.006 20 0 DDADMM COc1cc(NC(=O)CN2C[C@H](C)[C@@H](C(=O)[O-])C2)cc(OC)c1 ZINC000832017697 601515973 /nfs/dbraw/zinc/51/59/73/601515973.db2.gz ZFLNZVUAQRBQPO-HZMBPMFUSA-N -1 1 322.361 1.295 20 0 DDADMM CCOc1ccc(NC(=O)CN2C[C@H](C(=O)[O-])[C@H](C)C2)cc1 ZINC000315532968 601526690 /nfs/dbraw/zinc/52/66/90/601526690.db2.gz GOEGZYCPWYVNNH-RISCZKNCSA-N -1 1 306.362 1.676 20 0 DDADMM Cc1cc(CC(=O)OCCOc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000832883291 601610137 /nfs/dbraw/zinc/61/01/37/601610137.db2.gz KNBSSYFGGQTMSE-UHFFFAOYSA-N -1 1 304.302 1.581 20 0 DDADMM O=C([O-])c1ccc(CN2CC[C@H](N3CCOCC3)C2)cc1F ZINC000318439933 601643497 /nfs/dbraw/zinc/64/34/97/601643497.db2.gz PJPDQUWQPHHAPR-ZDUSSCGKSA-N -1 1 308.353 1.430 20 0 DDADMM C[C@@H]1CN(C(=O)c2c[nH]c3ccccc3c2=O)C[C@@H]1C(=O)[O-] ZINC000315168517 601759030 /nfs/dbraw/zinc/75/90/30/601759030.db2.gz WEHSKFDPWOSDSA-SKDRFNHKSA-N -1 1 300.314 1.733 20 0 DDADMM COC(=O)c1cccc(NC(=O)CN[C@H](C(=O)[O-])C(C)C)c1 ZINC000818523889 601825570 /nfs/dbraw/zinc/82/55/70/601825570.db2.gz MGFFQAILIGXBAL-ZDUSSCGKSA-N -1 1 308.334 1.111 20 0 DDADMM Cn1nc2c(cc1=O)CN(Cc1ccsc1C(=O)[O-])CC2 ZINC000833060373 601837027 /nfs/dbraw/zinc/83/70/27/601837027.db2.gz GWBLEBKVFNVYSJ-UHFFFAOYSA-N -1 1 305.359 1.098 20 0 DDADMM O=S(=O)(Cc1ccccc1)Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826486659 607531339 /nfs/dbraw/zinc/53/13/39/607531339.db2.gz LAZORJXMFBOOQY-UHFFFAOYSA-N -1 1 315.358 1.377 20 0 DDADMM O=S(=O)(Cc1ccccc1)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826486659 607531341 /nfs/dbraw/zinc/53/13/41/607531341.db2.gz LAZORJXMFBOOQY-UHFFFAOYSA-N -1 1 315.358 1.377 20 0 DDADMM Cc1ccc([C@H](CNC(=O)CCCNC(=O)[O-])N2CCCC2)o1 ZINC000738554305 601888090 /nfs/dbraw/zinc/88/80/90/601888090.db2.gz LNTZYULYGBYZKC-ZDUSSCGKSA-N -1 1 323.393 1.889 20 0 DDADMM CC(C)C[C@@H]1CCC(=O)N(CN2CC[C@](C)(C(=O)[O-])C2)C1=O ZINC000826832543 601888234 /nfs/dbraw/zinc/88/82/34/601888234.db2.gz SZHPCCSNJMMOMB-LRDDRELGSA-N -1 1 310.394 1.552 20 0 DDADMM C[C@@H]1C(=O)N(CN2CC[C@@](C)(C(=O)[O-])C2)[C@H]1c1ccccc1 ZINC000828142606 601891850 /nfs/dbraw/zinc/89/18/50/601891850.db2.gz ITDFSUXYVQZJRP-DXCKQFNASA-N -1 1 302.374 1.960 20 0 DDADMM CC(C)N(CCC(=O)Nc1nc(-c2ccccn2)n[nH]1)C(=O)[O-] ZINC000738845282 601892053 /nfs/dbraw/zinc/89/20/53/601892053.db2.gz UTVVBJXOGOCSCF-UHFFFAOYSA-N -1 1 318.337 1.584 20 0 DDADMM COC(=O)c1c(N)sc(C(=O)[O-])c1CSc1cn[nH]n1 ZINC000831231277 601896564 /nfs/dbraw/zinc/89/65/64/601896564.db2.gz YAGMMZLITWGMOZ-UHFFFAOYSA-N -1 1 314.348 1.226 20 0 DDADMM CCC(C)(C)NC(=O)[C@H](C)N1CCSC[C@H]1CC(=O)[O-] ZINC000251295544 601921782 /nfs/dbraw/zinc/92/17/82/601921782.db2.gz QOLXGZQTYIJLBU-WDEREUQCSA-N -1 1 302.440 1.572 20 0 DDADMM O=C([O-])NC1(C(=O)Nc2cnn(-c3ccncc3)c2)CCCC1 ZINC000740542195 601968270 /nfs/dbraw/zinc/96/82/70/601968270.db2.gz BKOUYCFIXSOPLO-UHFFFAOYSA-N -1 1 315.333 1.786 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@](C)(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000825982933 602030247 /nfs/dbraw/zinc/03/02/47/602030247.db2.gz NTMBULJFCYUXGA-SUMWQHHRSA-N -1 1 304.390 1.830 20 0 DDADMM Cc1nc(NC(=O)[C@H]2CCCc3[nH]ncc32)sc1C(=O)[O-] ZINC000833004284 602041380 /nfs/dbraw/zinc/04/13/80/602041380.db2.gz UIEOIFKVHKBFIW-ZETCQYMHSA-N -1 1 306.347 1.931 20 0 DDADMM O=C([O-])c1sccc1S(=O)(=O)Nc1ccc(F)nc1 ZINC000236458112 602157289 /nfs/dbraw/zinc/15/72/89/602157289.db2.gz GWTFCVMJQLMVOH-UHFFFAOYSA-N -1 1 302.308 1.781 20 0 DDADMM CCc1ncsc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000825755103 607554873 /nfs/dbraw/zinc/55/48/73/607554873.db2.gz MYJVRSKQMJHOBV-UHFFFAOYSA-N -1 1 316.346 1.638 20 0 DDADMM CCc1ncsc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000825755103 607554875 /nfs/dbraw/zinc/55/48/75/607554875.db2.gz MYJVRSKQMJHOBV-UHFFFAOYSA-N -1 1 316.346 1.638 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC000739532276 602351299 /nfs/dbraw/zinc/35/12/99/602351299.db2.gz WNQXAQQHEWMREU-UONOGXRCSA-N -1 1 323.393 1.460 20 0 DDADMM CC(C)N(C(=O)CN1CCC(CN(C)C(=O)[O-])CC1)C(C)C ZINC000738834041 602386402 /nfs/dbraw/zinc/38/64/02/602386402.db2.gz HXNSXDJBFVVPJN-UHFFFAOYSA-N -1 1 313.442 1.954 20 0 DDADMM C[C@@H]1C[C@H](C)CN(C(=O)CN2CC[C@@H](CN(C)C(=O)[O-])C2)C1 ZINC000739079250 602537042 /nfs/dbraw/zinc/53/70/42/602537042.db2.gz YTAUKOVXGGCOIP-MJBXVCDLSA-N -1 1 311.426 1.423 20 0 DDADMM C[C@@H]1CCCC[C@H]1NC(=O)CN1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000739096852 602538089 /nfs/dbraw/zinc/53/80/89/602538089.db2.gz FHIAPHCINNAHIJ-MGPQQGTHSA-N -1 1 311.426 1.613 20 0 DDADMM CN(C[C@H]1CCN(CC(=O)N2CCc3ccccc32)C1)C(=O)[O-] ZINC000739662315 602538853 /nfs/dbraw/zinc/53/88/53/602538853.db2.gz ZEGCMRQVYNPLBJ-CYBMUJFWSA-N -1 1 317.389 1.507 20 0 DDADMM Cc1ncc(C(=O)N2CCC[C@@H]([C@H]3CCCN3C(=O)[O-])C2)[nH]1 ZINC000740206623 602590033 /nfs/dbraw/zinc/59/00/33/602590033.db2.gz JCCLEXXSOCWWOS-DGCLKSJQSA-N -1 1 306.366 1.713 20 0 DDADMM C[C@H]1CN(C(=O)[O-])CCN1C(=O)c1nnc2ccccc2c1O ZINC000739162482 602593212 /nfs/dbraw/zinc/59/32/12/602593212.db2.gz VTFVQUKDFDGJEW-VIFPVBQESA-N -1 1 316.317 1.160 20 0 DDADMM CC(C)CN1CCN(C(=O)NC[C@H]2CCCN2C(=O)[O-])CC1 ZINC000738824213 602616698 /nfs/dbraw/zinc/61/66/98/602616698.db2.gz JCSYRRFSDKHKTK-CYBMUJFWSA-N -1 1 312.414 1.112 20 0 DDADMM O=C([O-])N(CCCNC(=O)N1CCN2CCCC[C@H]2C1)C1CC1 ZINC000740427235 602619267 /nfs/dbraw/zinc/61/92/67/602619267.db2.gz FLHNOOCPAGKGLD-AWEZNQCLSA-N -1 1 324.425 1.399 20 0 DDADMM CCN(C[C@@H]1CCCN(C(=O)[O-])C1)[C@@H](C)CS(C)(=O)=O ZINC000739413798 602737141 /nfs/dbraw/zinc/73/71/41/602737141.db2.gz JLWPKKWTDAWSTG-RYUDHWBXSA-N -1 1 306.428 1.131 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])CCN1CCCOc1ccc2c(c1)OCO2 ZINC000739158290 602740662 /nfs/dbraw/zinc/74/06/62/602740662.db2.gz AFPJRPQHYAUOSO-GFCCVEGCSA-N -1 1 322.361 1.868 20 0 DDADMM C[C@@H]1CN(CC[S@](=O)c2ccccc2)CC[C@H]1NC(=O)[O-] ZINC000739180358 602779347 /nfs/dbraw/zinc/77/93/47/602779347.db2.gz FFNUPDLOCZTGEN-YOEYROIRSA-N -1 1 310.419 1.772 20 0 DDADMM CC[NH+](CC)C[C@H](C)NC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739407438 602854209 /nfs/dbraw/zinc/85/42/09/602854209.db2.gz LDKTYTZKOSPGHP-JTQLQIEISA-N -1 1 309.366 1.942 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)CC1CCN(C(=O)[O-])CC1 ZINC000738800665 602856423 /nfs/dbraw/zinc/85/64/23/602856423.db2.gz KCAPDYLZKVBKOI-AWEZNQCLSA-N -1 1 311.426 1.565 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@@H]1NC(=O)c1cc(F)cc2nc[nH]c21 ZINC000740635607 602975787 /nfs/dbraw/zinc/97/57/87/602975787.db2.gz YIPVXECJYIAYMT-KWQFWETISA-N -1 1 320.324 1.868 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CCC[C@@H]2CNC(=O)[O-])o1 ZINC000827045389 603108912 /nfs/dbraw/zinc/10/89/12/603108912.db2.gz IDFVAIXFQURWHR-GFCCVEGCSA-N -1 1 323.393 1.994 20 0 DDADMM CC(C)[C@@](C)(CNC(=O)[O-])NC(=O)c1ccc2[nH]nnc2c1 ZINC000738767596 603127119 /nfs/dbraw/zinc/12/71/19/603127119.db2.gz QQRASAMBALPXOR-CQSZACIVSA-N -1 1 305.338 1.370 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)c1cccc2c[nH]nc21)C(=O)[O-] ZINC000828218854 603154090 /nfs/dbraw/zinc/15/40/90/603154090.db2.gz XAUMMANWBXITNY-LLVKDONJSA-N -1 1 302.334 1.777 20 0 DDADMM CCCCN1C(=O)N=NC1SC[C@H]1CCN(C(=O)[O-])C1 ZINC000739349448 603181359 /nfs/dbraw/zinc/18/13/59/603181359.db2.gz HUBFNGSENTUJRQ-VIFPVBQESA-N -1 1 300.384 1.876 20 0 DDADMM C[C@H](CC(=O)NC[C@@H](c1ccco1)N1CCCCC1)NC(=O)[O-] ZINC000824628216 603230458 /nfs/dbraw/zinc/23/04/58/603230458.db2.gz GTDTURNSNIYRDE-OLZOCXBDSA-N -1 1 323.393 1.969 20 0 DDADMM C[C@@H](CC(=O)NC[C@H](c1cccc(F)c1)N(C)C)NC(=O)[O-] ZINC000824629487 603250698 /nfs/dbraw/zinc/25/06/98/603250698.db2.gz PVLSAVSXOQMLQO-GXFFZTMASA-N -1 1 311.357 1.591 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1F)N(C)CCCNC(=O)[O-] ZINC000738627872 603253293 /nfs/dbraw/zinc/25/32/93/603253293.db2.gz HHAGYYGWOXSXDN-LLVKDONJSA-N -1 1 311.357 1.420 20 0 DDADMM CCN(CCCNC(=O)c1sccc1CN(C)C)C(=O)[O-] ZINC000827130420 603535876 /nfs/dbraw/zinc/53/58/76/603535876.db2.gz WGGRFQGBLDMSJB-UHFFFAOYSA-N -1 1 313.423 1.930 20 0 DDADMM C[C@H](NCc1ccc(NC(=O)[O-])nc1)C(=O)NCc1ccco1 ZINC000825503521 603551502 /nfs/dbraw/zinc/55/15/02/603551502.db2.gz VPMUAOSFFPZNHU-JTQLQIEISA-N -1 1 318.333 1.559 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(NC(=O)[O-])c1)c1nn[nH]n1 ZINC000826764957 603565176 /nfs/dbraw/zinc/56/51/76/603565176.db2.gz NBOUSYRTWCVHNI-SNVBAGLBSA-N -1 1 304.310 1.561 20 0 DDADMM CC(C)CN1CCN(C(=O)C2(CNC(=O)[O-])CCCC2)CC1 ZINC000824257473 603619327 /nfs/dbraw/zinc/61/93/27/603619327.db2.gz RGHFXJPDPBZRMF-UHFFFAOYSA-N -1 1 311.426 1.615 20 0 DDADMM Cc1nnc(SCC(=O)Oc2ccc(NC(=O)[O-])cc2)[nH]1 ZINC000830677757 603843241 /nfs/dbraw/zinc/84/32/41/603843241.db2.gz JEXZBQYPVBCHJG-UHFFFAOYSA-N -1 1 308.319 1.901 20 0 DDADMM Cc1n[nH]c(SCC(=O)Oc2ccc(NC(=O)[O-])cc2)n1 ZINC000830677757 603843245 /nfs/dbraw/zinc/84/32/45/603843245.db2.gz JEXZBQYPVBCHJG-UHFFFAOYSA-N -1 1 308.319 1.901 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H]1CNC(=O)[O-])N(C)Cc1ccccc1 ZINC000823667915 603862274 /nfs/dbraw/zinc/86/22/74/603862274.db2.gz AMVHCEDVPQNLOW-DZGCQCFKSA-N -1 1 319.405 1.766 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCC[C@H](NC(=O)[O-])C1 ZINC000829833690 603937299 /nfs/dbraw/zinc/93/72/99/603937299.db2.gz LXXGGDLABCMMSG-ZETCQYMHSA-N -1 1 320.271 1.609 20 0 DDADMM CC(C)N(CCCNC(=O)[C@@H]1CCCc2[nH]ncc21)C(=O)[O-] ZINC000824341276 603981259 /nfs/dbraw/zinc/98/12/59/603981259.db2.gz HNNSEIADPUGAPN-LLVKDONJSA-N -1 1 308.382 1.724 20 0 DDADMM CCN(CC(=O)NCCN(C)Cc1ccc(F)cc1)C(=O)[O-] ZINC000827002033 604067122 /nfs/dbraw/zinc/06/71/22/604067122.db2.gz HEPICYYSVNVFSG-UHFFFAOYSA-N -1 1 311.357 1.374 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)N2CCC[C@@H](C)C2)C1 ZINC000825904402 604166343 /nfs/dbraw/zinc/16/63/43/604166343.db2.gz FURHQVPMQPULDZ-MGPQQGTHSA-N -1 1 311.426 1.613 20 0 DDADMM Cc1cccc(C)c1OC[C@@H](O)CN1CC[C@@H](NC(=O)[O-])C1 ZINC000740165578 604367842 /nfs/dbraw/zinc/36/78/42/604367842.db2.gz FFNQAUYOMSCELD-KGLIPLIRSA-N -1 1 308.378 1.385 20 0 DDADMM COC(=O)c1cccc(OCCN2CC[C@@H](NC(=O)[O-])C2)c1 ZINC000739788122 604378985 /nfs/dbraw/zinc/37/89/85/604378985.db2.gz AVJDEDKRJXQQHA-GFCCVEGCSA-N -1 1 308.334 1.194 20 0 DDADMM NC(=O)c1csc(CN2Cc3ccccc3C[C@@H]2C(=O)[O-])c1 ZINC000833087348 604468264 /nfs/dbraw/zinc/46/82/64/604468264.db2.gz CMUPXUPTYOCCMH-CQSZACIVSA-N -1 1 316.382 1.859 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@@H]1C(=O)[O-] ZINC000833622160 604490512 /nfs/dbraw/zinc/49/05/12/604490512.db2.gz MCWFGOAKGWTCQK-SKDRFNHKSA-N -1 1 300.318 1.264 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@@H]1N(C)CC(=O)NCCC(=O)[O-] ZINC000829056514 604500392 /nfs/dbraw/zinc/50/03/92/604500392.db2.gz XCXNHHJWWGPSDB-NWDGAFQWSA-N -1 1 300.399 1.103 20 0 DDADMM Cc1ccc(-c2n[nH]c(SCC(=O)O[C@H](C)C(=O)[O-])n2)cc1 ZINC000821058282 604603285 /nfs/dbraw/zinc/60/32/85/604603285.db2.gz LBDHCIHJLLGNGX-SECBINFHSA-N -1 1 321.358 1.889 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)C(C)(C)CNC(=O)[O-])C2)n[nH]1 ZINC000830735210 604644083 /nfs/dbraw/zinc/64/40/83/604644083.db2.gz PSDORNWBTRSQPS-JTQLQIEISA-N -1 1 309.370 1.113 20 0 DDADMM CC(C)N1CCN(C(=O)CCc2ccccc2C(=O)[O-])CC1 ZINC000833500241 604683097 /nfs/dbraw/zinc/68/30/97/604683097.db2.gz OOORRHUXCKDQNA-UHFFFAOYSA-N -1 1 304.390 1.870 20 0 DDADMM CC(C)(C)C[C@@H](O)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824085499 607709697 /nfs/dbraw/zinc/70/96/97/607709697.db2.gz DSSKYUMTXBMAQE-LLVKDONJSA-N -1 1 305.338 1.102 20 0 DDADMM CC(C)(C)C[C@@H](O)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824085499 607709698 /nfs/dbraw/zinc/70/96/98/607709698.db2.gz DSSKYUMTXBMAQE-LLVKDONJSA-N -1 1 305.338 1.102 20 0 DDADMM CCOc1nnc(CNC2(CC(=O)[O-])CCOCC2)s1 ZINC000830125295 604795756 /nfs/dbraw/zinc/79/57/56/604795756.db2.gz ARZFAWVCCJYEAY-UHFFFAOYSA-N -1 1 301.368 1.050 20 0 DDADMM CCN1C[C@@H](C)[C@@H](NS(=O)(=O)c2ccccc2C(=O)[O-])C1 ZINC000833657703 605041472 /nfs/dbraw/zinc/04/14/72/605041472.db2.gz OGCWXCVXVNOTAQ-PWSUYJOCSA-N -1 1 312.391 1.003 20 0 DDADMM C[C@@H]1C[C@@H](NS(=O)(=O)c2ccccc2C(=O)[O-])CN1C1CC1 ZINC000833597019 605046443 /nfs/dbraw/zinc/04/64/43/605046443.db2.gz IMDPQMJCYSXADM-GHMZBOCLSA-N -1 1 324.402 1.288 20 0 DDADMM C[C@@H](C(=O)NCC(F)(F)F)N(C)Cc1ccc(C(=O)[O-])cc1 ZINC000833404192 605046827 /nfs/dbraw/zinc/04/68/27/605046827.db2.gz IYBWZVFCMLYGQS-VIFPVBQESA-N -1 1 318.295 1.884 20 0 DDADMM CC(C)C1(CNC(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)CC1 ZINC000833626806 605054856 /nfs/dbraw/zinc/05/48/56/605054856.db2.gz RJCUDCMNFLBGMH-ZDUSSCGKSA-N -1 1 311.426 1.613 20 0 DDADMM CCN1C[C@@H](C)N(CC(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C[C@@H]1C ZINC000833658047 605144715 /nfs/dbraw/zinc/14/47/15/605144715.db2.gz QFRNCERNMIUHRC-WDEREUQCSA-N -1 1 321.421 1.927 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C/c1cccnc1 ZINC000833625106 605158787 /nfs/dbraw/zinc/15/87/87/605158787.db2.gz WJGKYSOPZPDNML-IHVVCDCBSA-N -1 1 303.362 1.102 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(N(C)C)cc1 ZINC000833627298 605165801 /nfs/dbraw/zinc/16/58/01/605165801.db2.gz WQZMSODJVPJHGH-CYBMUJFWSA-N -1 1 319.405 1.374 20 0 DDADMM CCS[C@@H]1CC[C@@H](N(C)CN2C[C@@H](C(=O)[O-])CC2=O)C1 ZINC000833668550 605175712 /nfs/dbraw/zinc/17/57/12/605175712.db2.gz SLEQHAAGFQHOIB-QJPTWQEYSA-N -1 1 300.424 1.483 20 0 DDADMM CN(CC(=O)N(C)[C@H](C(=O)[O-])c1ccccc1)[C@H]1CCSC1 ZINC000833690797 605213238 /nfs/dbraw/zinc/21/32/38/605213238.db2.gz DMHVWGWPZVSXDE-ZFWWWQNUSA-N -1 1 322.430 1.708 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)CN1CCC(CCCO)CC1)C(=O)[O-] ZINC000833639893 605226100 /nfs/dbraw/zinc/22/61/00/605226100.db2.gz RQMATSAFQMLOAL-DOMZBBRYSA-N -1 1 314.426 1.086 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)CN1CCC(CCCO)CC1)C(=O)[O-] ZINC000833639895 605226510 /nfs/dbraw/zinc/22/65/10/605226510.db2.gz RQMATSAFQMLOAL-IUODEOHRSA-N -1 1 314.426 1.086 20 0 DDADMM CC(C)C[C@@H](NC(=O)CN1CCC(CCCO)CC1)C(=O)[O-] ZINC000833477939 605226610 /nfs/dbraw/zinc/22/66/10/605226610.db2.gz NDKBFPZYNAZXMO-CQSZACIVSA-N -1 1 314.426 1.086 20 0 DDADMM CCc1cnccc1[C@H](C)NCC(=O)N[C@H](CC(C)C)C(=O)[O-] ZINC000833672344 605270358 /nfs/dbraw/zinc/27/03/58/605270358.db2.gz AJSONFYPVRWAIV-SWLSCSKDSA-N -1 1 321.421 1.910 20 0 DDADMM CSc1n[nH]c(NC(=O)CN(C(=O)[O-])c2ccccc2)n1 ZINC000829782960 605284510 /nfs/dbraw/zinc/28/45/10/605284510.db2.gz DHBRDVJCGBRZHE-UHFFFAOYSA-N -1 1 307.335 1.650 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NC2CCC(NC(=O)[O-])CC2)n[nH]1 ZINC000830030313 605294296 /nfs/dbraw/zinc/29/42/96/605294296.db2.gz OABUXFIQSHSXSY-GCVQQVDUSA-N -1 1 323.397 1.527 20 0 DDADMM CC[C@@H]1CN(C(=O)NCc2cc(C)n[nH]2)CC[C@H]1NC(=O)[O-] ZINC000833846326 605316981 /nfs/dbraw/zinc/31/69/81/605316981.db2.gz GSVGRKIVNDPQCW-ZYHUDNBSSA-N -1 1 309.370 1.296 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccnc1C1CC1 ZINC000833623120 605367342 /nfs/dbraw/zinc/36/73/42/605367342.db2.gz DOHUZILOYPJRGI-GFCCVEGCSA-N -1 1 317.389 1.580 20 0 DDADMM CC(=O)N1CC[C@@H](NC2CN(C(=O)[O-])C2)c2ccccc2C1 ZINC000833393251 605487727 /nfs/dbraw/zinc/48/77/27/605487727.db2.gz IIXPIOSUZBXUJR-OAHLLOKOSA-N -1 1 303.362 1.432 20 0 DDADMM O=C(CC[C@@H]1CCCN1C(=O)[O-])Nc1ccc2[nH]nnc2c1 ZINC000833996418 605497905 /nfs/dbraw/zinc/49/79/05/605497905.db2.gz BUVNIWWZHDTSGF-JTQLQIEISA-N -1 1 303.322 1.819 20 0 DDADMM O=C([O-])Nc1ccc(CN2CC[C@H](N3CCOCC3)C2)cn1 ZINC000834260312 605533204 /nfs/dbraw/zinc/53/32/04/605533204.db2.gz PDKQXOPOKSGXPV-ZDUSSCGKSA-N -1 1 306.366 1.078 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)C[C@H]21 ZINC000834181166 605578440 /nfs/dbraw/zinc/57/84/40/605578440.db2.gz QBMREGUGKAXEQA-QJPTWQEYSA-N -1 1 318.377 1.795 20 0 DDADMM C[C@@H](O)[C@@H]1CCN(CC(=O)NCc2ccc(NC(=O)[O-])cc2)C1 ZINC000833531273 605699699 /nfs/dbraw/zinc/69/96/99/605699699.db2.gz ZGEOKEKDEVBVMW-DGCLKSJQSA-N -1 1 321.377 1.095 20 0 DDADMM CNC(=O)c1cccc(CN2CC[C@@H](NC(=O)[O-])C[C@@H]2C)c1 ZINC000833876648 605769252 /nfs/dbraw/zinc/76/92/52/605769252.db2.gz IGJFFXPAHMLZJH-SMDDNHRTSA-N -1 1 305.378 1.667 20 0 DDADMM CNC(=O)c1cccc(CN(C2CC2)[C@@H]2CCN(C(=O)[O-])C2)c1 ZINC000833876966 605774509 /nfs/dbraw/zinc/77/45/09/605774509.db2.gz LBZMFADREVDWTJ-OAHLLOKOSA-N -1 1 317.389 1.763 20 0 DDADMM O=C([O-])N1CCC2(C[C@@H]2C(=O)N2CCN3CCCC[C@H]3C2)CC1 ZINC000834088439 605858773 /nfs/dbraw/zinc/85/87/73/605858773.db2.gz NMQSMYJIQFEEOU-UONOGXRCSA-N -1 1 321.421 1.463 20 0 DDADMM CN(CC(C)(C)CNC(=O)[O-])C(=O)CN(C)[C@H]1CCSC1 ZINC000833870849 605939772 /nfs/dbraw/zinc/93/97/72/605939772.db2.gz MVYDSMYVYAVAQD-NSHDSACASA-N -1 1 317.455 1.176 20 0 DDADMM Cn1c(CN[C@](C)(CNC(=O)[O-])C2CC2)nnc1C1CCC1 ZINC000833941646 605998345 /nfs/dbraw/zinc/99/83/45/605998345.db2.gz OTUYHHNUBGDVRV-OAHLLOKOSA-N -1 1 307.398 1.609 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(Cc2ccnc(N(C)C)n2)C1 ZINC000833811564 606024160 /nfs/dbraw/zinc/02/41/60/606024160.db2.gz CTJSDEOFOQSXNG-NEPJUHHUSA-N -1 1 307.398 1.411 20 0 DDADMM O=C([O-])N1CCC(CCC(=O)N2CCN3CCCC[C@H]3C2)CC1 ZINC000834078599 606025460 /nfs/dbraw/zinc/02/54/60/606025460.db2.gz MOEKWQOATUBLTA-HNNXBMFYSA-N -1 1 323.437 1.853 20 0 DDADMM CN(CCOC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccccc1 ZINC000821603716 606135885 /nfs/dbraw/zinc/13/58/85/606135885.db2.gz LJHDICZUDLBMII-UHFFFAOYSA-N -1 1 324.344 1.555 20 0 DDADMM CN(CCOC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccccc1 ZINC000821603716 606135887 /nfs/dbraw/zinc/13/58/87/606135887.db2.gz LJHDICZUDLBMII-UHFFFAOYSA-N -1 1 324.344 1.555 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCCC(C)(C)CC2)n1 ZINC000822608223 606165891 /nfs/dbraw/zinc/16/58/91/606165891.db2.gz BOOCELGXSXGEOA-UHFFFAOYSA-N -1 1 318.385 1.644 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCCC(C)(C)CC2)n1 ZINC000822608223 606165892 /nfs/dbraw/zinc/16/58/92/606165892.db2.gz BOOCELGXSXGEOA-UHFFFAOYSA-N -1 1 318.385 1.644 20 0 DDADMM CC(C)C[C@@H]1CCCN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820068861 606170650 /nfs/dbraw/zinc/17/06/50/606170650.db2.gz VGESQZPNLGRSKF-JTQLQIEISA-N -1 1 318.385 1.643 20 0 DDADMM CC(C)C[C@@H]1CCCN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820068861 606170651 /nfs/dbraw/zinc/17/06/51/606170651.db2.gz VGESQZPNLGRSKF-JTQLQIEISA-N -1 1 318.385 1.643 20 0 DDADMM COc1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)cc1 ZINC000821798962 606487478 /nfs/dbraw/zinc/48/74/78/606487478.db2.gz VQSKECRJJLIBDP-UHFFFAOYSA-N -1 1 315.358 1.867 20 0 DDADMM COc1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)cc1 ZINC000821798962 606487480 /nfs/dbraw/zinc/48/74/80/606487480.db2.gz VQSKECRJJLIBDP-UHFFFAOYSA-N -1 1 315.358 1.867 20 0 DDADMM O=C(NCc1ccc(F)cc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823253288 606489970 /nfs/dbraw/zinc/48/99/70/606489970.db2.gz FTXAXHNGSRYGTM-UHFFFAOYSA-N -1 1 303.322 1.997 20 0 DDADMM O=C(NCc1ccc(F)cc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823253288 606489972 /nfs/dbraw/zinc/48/99/72/606489972.db2.gz FTXAXHNGSRYGTM-UHFFFAOYSA-N -1 1 303.322 1.997 20 0 DDADMM C[C@@]1(CNC(=O)c2ccc(-c3nnn[n-]3)s2)CCCC[C@H]1O ZINC000820618739 606582074 /nfs/dbraw/zinc/58/20/74/606582074.db2.gz NJWVQGWIWVYXSR-RISCZKNCSA-N -1 1 321.406 1.599 20 0 DDADMM C[C@@]1(CNC(=O)c2ccc(-c3nn[n-]n3)s2)CCCC[C@H]1O ZINC000820618739 606582076 /nfs/dbraw/zinc/58/20/76/606582076.db2.gz NJWVQGWIWVYXSR-RISCZKNCSA-N -1 1 321.406 1.599 20 0 DDADMM O=C(NCCc1cccc(O)c1)c1ccc(-c2nnn[n-]2)s1 ZINC000823224148 606593864 /nfs/dbraw/zinc/59/38/64/606593864.db2.gz QVMBXUGECUTJMZ-UHFFFAOYSA-N -1 1 315.358 1.606 20 0 DDADMM O=C(NCCc1cccc(O)c1)c1ccc(-c2nn[n-]n2)s1 ZINC000823224148 606593866 /nfs/dbraw/zinc/59/38/66/606593866.db2.gz QVMBXUGECUTJMZ-UHFFFAOYSA-N -1 1 315.358 1.606 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCc3ccccc3C2)n1 ZINC000822609354 606597433 /nfs/dbraw/zinc/59/74/33/606597433.db2.gz MQUZUWGDRJZBHR-UHFFFAOYSA-N -1 1 324.348 1.190 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCc3ccccc3C2)n1 ZINC000822609354 606597434 /nfs/dbraw/zinc/59/74/34/606597434.db2.gz MQUZUWGDRJZBHR-UHFFFAOYSA-N -1 1 324.348 1.190 20 0 DDADMM CSc1nsc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000821990071 606606894 /nfs/dbraw/zinc/60/68/94/606606894.db2.gz PJWXPECJICDQRF-UHFFFAOYSA-N -1 1 320.363 1.087 20 0 DDADMM CSc1nsc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000821990071 606606896 /nfs/dbraw/zinc/60/68/96/606606896.db2.gz PJWXPECJICDQRF-UHFFFAOYSA-N -1 1 320.363 1.087 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)Cc2cccc(F)c2F)n1 ZINC000822604254 606684495 /nfs/dbraw/zinc/68/44/95/606684495.db2.gz AEWFIOURIOACKR-UHFFFAOYSA-N -1 1 319.275 1.060 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)Cc2cccc(F)c2F)n1 ZINC000822604254 606684496 /nfs/dbraw/zinc/68/44/96/606684496.db2.gz AEWFIOURIOACKR-UHFFFAOYSA-N -1 1 319.275 1.060 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1n[nH]c2ccccc21 ZINC000823382998 607105255 /nfs/dbraw/zinc/10/52/55/607105255.db2.gz JKBIRTPIPXRQBD-UHFFFAOYSA-N -1 1 312.318 1.452 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1n[nH]c2ccccc21 ZINC000823382998 607105257 /nfs/dbraw/zinc/10/52/57/607105257.db2.gz JKBIRTPIPXRQBD-UHFFFAOYSA-N -1 1 312.318 1.452 20 0 DDADMM CSC[C@@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821961229 607166183 /nfs/dbraw/zinc/16/61/83/607166183.db2.gz IHIUSPXEZDWCMB-JTQLQIEISA-N -1 1 304.379 1.229 20 0 DDADMM CSC[C@@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821961229 607166184 /nfs/dbraw/zinc/16/61/84/607166184.db2.gz IHIUSPXEZDWCMB-JTQLQIEISA-N -1 1 304.379 1.229 20 0 DDADMM Cn1c2cccc(NCc3ccnc(-c4nnn[n-]4)c3)c2oc1=O ZINC000826354558 607808307 /nfs/dbraw/zinc/80/83/07/607808307.db2.gz KKXATBAPVVHKNZ-UHFFFAOYSA-N -1 1 323.316 1.319 20 0 DDADMM Cn1c2cccc(NCc3ccnc(-c4nn[n-]n4)c3)c2oc1=O ZINC000826354558 607808308 /nfs/dbraw/zinc/80/83/08/607808308.db2.gz KKXATBAPVVHKNZ-UHFFFAOYSA-N -1 1 323.316 1.319 20 0 DDADMM O=S(=O)(CCCF)Oc1cccc(F)c1-c1nnn[n-]1 ZINC000826484509 607868166 /nfs/dbraw/zinc/86/81/66/607868166.db2.gz GBQHBBLMJWOTFV-UHFFFAOYSA-N -1 1 304.278 1.074 20 0 DDADMM O=S(=O)(CCCF)Oc1cccc(F)c1-c1nn[n-]n1 ZINC000826484509 607868167 /nfs/dbraw/zinc/86/81/67/607868167.db2.gz GBQHBBLMJWOTFV-UHFFFAOYSA-N -1 1 304.278 1.074 20 0 DDADMM Cn1cncc1[C@@H]1CCCCN1c1cccc(-c2nn[n-]n2)n1 ZINC000826365348 607898244 /nfs/dbraw/zinc/89/82/44/607898244.db2.gz KQOLZOVIBHKDLW-LBPRGKRZSA-N -1 1 310.365 1.727 20 0 DDADMM COc1cccc(F)c1[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826216678 607991143 /nfs/dbraw/zinc/99/11/43/607991143.db2.gz JPIHCLJDIHQHJP-MRVPVSSYSA-N -1 1 315.312 1.978 20 0 DDADMM COc1cccc(F)c1[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826216678 607991144 /nfs/dbraw/zinc/99/11/44/607991144.db2.gz JPIHCLJDIHQHJP-MRVPVSSYSA-N -1 1 315.312 1.978 20 0 DDADMM O=C(C=Cc1cnccn1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826403524 607999688 /nfs/dbraw/zinc/99/96/88/607999688.db2.gz USODITPPDJYQPI-DUXPYHPUSA-N -1 1 309.289 1.014 20 0 DDADMM c1cn(Cc2noc(-c3ccsc3)n2)c(-c2nnn[n-]2)n1 ZINC000826524862 608014029 /nfs/dbraw/zinc/01/40/29/608014029.db2.gz NEIOCNMLTVHXJC-UHFFFAOYSA-N -1 1 300.307 1.223 20 0 DDADMM c1cn(Cc2noc(-c3ccsc3)n2)c(-c2nn[n-]n2)n1 ZINC000826524862 608014030 /nfs/dbraw/zinc/01/40/30/608014030.db2.gz NEIOCNMLTVHXJC-UHFFFAOYSA-N -1 1 300.307 1.223 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824885621 608166222 /nfs/dbraw/zinc/16/62/22/608166222.db2.gz TWDZCVJRAIROTO-IUCAKERBSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824885621 608166223 /nfs/dbraw/zinc/16/62/23/608166223.db2.gz TWDZCVJRAIROTO-IUCAKERBSA-N -1 1 307.379 1.473 20 0 DDADMM CC[C@](C)(CCO)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000825043068 608189739 /nfs/dbraw/zinc/18/97/39/608189739.db2.gz DDIDFZYSVNGKCL-SNVBAGLBSA-N -1 1 302.791 1.940 20 0 DDADMM CC[C@](C)(CCO)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000825043068 608189741 /nfs/dbraw/zinc/18/97/41/608189741.db2.gz DDIDFZYSVNGKCL-SNVBAGLBSA-N -1 1 302.791 1.940 20 0 DDADMM c1ccc([C@@H]2COCCN2c2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826520123 608429473 /nfs/dbraw/zinc/42/94/73/608429473.db2.gz AXWODIYXYUHNNT-ZDUSSCGKSA-N -1 1 309.333 1.235 20 0 DDADMM c1ccc([C@@H]2COCCN2c2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826520123 608429475 /nfs/dbraw/zinc/42/94/75/608429475.db2.gz AXWODIYXYUHNNT-ZDUSSCGKSA-N -1 1 309.333 1.235 20 0 DDADMM O[C@H]1CCCC[C@H]1CCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826507281 608434576 /nfs/dbraw/zinc/43/45/76/608434576.db2.gz LUNNQJLZVGTCGJ-JQWIXIFHSA-N -1 1 303.370 1.400 20 0 DDADMM O[C@H]1CCCC[C@H]1CCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826507281 608434578 /nfs/dbraw/zinc/43/45/78/608434578.db2.gz LUNNQJLZVGTCGJ-JQWIXIFHSA-N -1 1 303.370 1.400 20 0 DDADMM O[C@@H]1CCCC[C@@H]1CCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826507283 608434766 /nfs/dbraw/zinc/43/47/66/608434766.db2.gz LUNNQJLZVGTCGJ-ZYHUDNBSSA-N -1 1 303.370 1.400 20 0 DDADMM O[C@@H]1CCCC[C@@H]1CCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826507283 608434767 /nfs/dbraw/zinc/43/47/67/608434767.db2.gz LUNNQJLZVGTCGJ-ZYHUDNBSSA-N -1 1 303.370 1.400 20 0 DDADMM C[C@](O)(CNc1ccc(-c2nnn[n-]2)nn1)c1ccc(F)cc1 ZINC000824677244 608437913 /nfs/dbraw/zinc/43/79/13/608437913.db2.gz BYCKGPOUDMEXLN-AWEZNQCLSA-N -1 1 315.312 1.115 20 0 DDADMM C[C@](O)(CNc1ccc(-c2nn[n-]n2)nn1)c1ccc(F)cc1 ZINC000824677244 608437915 /nfs/dbraw/zinc/43/79/15/608437915.db2.gz BYCKGPOUDMEXLN-AWEZNQCLSA-N -1 1 315.312 1.115 20 0 DDADMM COc1ccc2c(c1)OC[C@@H](Nc1cccc(-c3nnn[n-]3)n1)C2 ZINC000826213423 608440767 /nfs/dbraw/zinc/44/07/67/608440767.db2.gz WHVIYPZRFPVJBA-NSHDSACASA-N -1 1 324.344 1.686 20 0 DDADMM COc1ccc2c(c1)OC[C@@H](Nc1cccc(-c3nn[n-]n3)n1)C2 ZINC000826213423 608440769 /nfs/dbraw/zinc/44/07/69/608440769.db2.gz WHVIYPZRFPVJBA-NSHDSACASA-N -1 1 324.344 1.686 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCc2cc(F)ccc2C1 ZINC000826472198 608561337 /nfs/dbraw/zinc/56/13/37/608561337.db2.gz BRVOFKBPRMNQBA-UHFFFAOYSA-N -1 1 324.319 1.599 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCc2cc(F)ccc2C1 ZINC000826472198 608561338 /nfs/dbraw/zinc/56/13/38/608561338.db2.gz BRVOFKBPRMNQBA-UHFFFAOYSA-N -1 1 324.319 1.599 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C(C)(C)O1 ZINC000824788850 609323268 /nfs/dbraw/zinc/32/32/68/609323268.db2.gz CLWIHYUYKHVRIJ-NSHDSACASA-N -1 1 316.365 1.338 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C(C)(C)O1 ZINC000824788850 609323270 /nfs/dbraw/zinc/32/32/70/609323270.db2.gz CLWIHYUYKHVRIJ-NSHDSACASA-N -1 1 316.365 1.338 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2nccn2C)c(=O)[n-]1 ZINC000121205634 696707142 /nfs/dbraw/zinc/70/71/42/696707142.db2.gz AJNWNNORWUUXST-UHFFFAOYSA-N -1 1 322.390 1.210 20 0 DDADMM O=C(COC(=O)[C@H]1Cc2ccccc21)[N-]C(=O)c1ccccc1 ZINC000745373495 699980132 /nfs/dbraw/zinc/98/01/32/699980132.db2.gz UKQSTPSMWLONDA-HNNXBMFYSA-N -1 1 309.321 1.826 20 0 DDADMM Cc1ccoc1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000972985424 695388283 /nfs/dbraw/zinc/38/82/83/695388283.db2.gz FTHWWBPUMJBKGB-XYPYZODXSA-N -1 1 315.329 1.379 20 0 DDADMM CC[C@@H](F)CN1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000974491362 695679664 /nfs/dbraw/zinc/67/96/64/695679664.db2.gz PSARBUNSMKFHNU-DGCLKSJQSA-N -1 1 309.385 1.976 20 0 DDADMM O=C(CC1CC1)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975228371 695817180 /nfs/dbraw/zinc/81/71/80/695817180.db2.gz VYNYWWKQFRPOST-ZDUSSCGKSA-N -1 1 317.389 1.556 20 0 DDADMM CC(C)[C@H]1CC[C@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1 ZINC000798270570 700054055 /nfs/dbraw/zinc/05/40/55/700054055.db2.gz RZCVRHCLFGFFOA-JOCQHMNTSA-N -1 1 321.425 1.472 20 0 DDADMM CCNC(=O)c1ccccc1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000798279608 700054313 /nfs/dbraw/zinc/05/43/13/700054313.db2.gz DBUIGWGXDLLSEW-UHFFFAOYSA-N -1 1 312.325 1.963 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2ccc(F)c(F)c2)n1 ZINC000031721296 696122542 /nfs/dbraw/zinc/12/25/42/696122542.db2.gz AKBYOPJDGMFJDF-UHFFFAOYSA-N -1 1 316.289 1.069 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCO[C@@H]2CCCC[C@H]2C)n1 ZINC000046831023 696191442 /nfs/dbraw/zinc/19/14/42/696191442.db2.gz RLGJYCIVDSEJPG-VXGBXAGGSA-N -1 1 323.397 1.707 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977601947 696230671 /nfs/dbraw/zinc/23/06/71/696230671.db2.gz QHZUUCXZNVPHHR-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)C1(C)CCC1)C(=O)c1ncccc1[O-] ZINC000977621708 696237846 /nfs/dbraw/zinc/23/78/46/696237846.db2.gz UQIWAJNAPYVTEB-LBPRGKRZSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000051488705 696241952 /nfs/dbraw/zinc/24/19/52/696241952.db2.gz CMCZMUUTASAOSY-LBPRGKRZSA-N -1 1 307.346 1.547 20 0 DDADMM O=C(NOCc1ccc(C(F)(F)F)cc1)c1cc(=O)[nH][n-]1 ZINC000747618505 700077870 /nfs/dbraw/zinc/07/78/70/700077870.db2.gz YLYXGOYJOJLWPK-UHFFFAOYSA-N -1 1 301.224 1.129 20 0 DDADMM C[C@H](OCC1CC1)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000064507314 696340389 /nfs/dbraw/zinc/34/03/89/696340389.db2.gz QKPMKDJDZRXRCQ-NSHDSACASA-N -1 1 305.330 1.301 20 0 DDADMM CC(C)n1c(CCNC(=O)CCn2ccnc2)n[n-]c1=S ZINC000067073585 696358776 /nfs/dbraw/zinc/35/87/76/696358776.db2.gz BPUIPLFHQKPWOD-UHFFFAOYSA-N -1 1 308.411 1.467 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)O[C@@H](C)C(=O)C1CC1 ZINC000798612136 700080182 /nfs/dbraw/zinc/08/01/82/700080182.db2.gz HMACNDTVZLXELR-JTQLQIEISA-N -1 1 317.345 1.505 20 0 DDADMM Cc1ccc(-c2ccsc2C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000073002300 696399752 /nfs/dbraw/zinc/39/97/52/696399752.db2.gz FOEPRFSNQMBOIW-UHFFFAOYSA-N -1 1 315.354 1.920 20 0 DDADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000978525328 696403150 /nfs/dbraw/zinc/40/31/50/696403150.db2.gz OXTNPIOWFKPSIX-PHIMTYICSA-N -1 1 315.329 1.319 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000978542412 696407232 /nfs/dbraw/zinc/40/72/32/696407232.db2.gz VDQWWEWJNOIAAJ-WISYIIOYSA-N -1 1 303.362 1.068 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(Cl)cc1 ZINC000079293544 696459156 /nfs/dbraw/zinc/45/91/56/696459156.db2.gz VPLHVQPGIDRIAE-OIBJUYFYSA-N -1 1 311.794 1.864 20 0 DDADMM Cc1cc(C)c2c(c1)N(C(=O)Cc1sc(N)nc1[O-])CCC2 ZINC000079956768 696465444 /nfs/dbraw/zinc/46/54/44/696465444.db2.gz JFPNLNXWAFWMKF-CYBMUJFWSA-N -1 1 317.414 1.929 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cccc(F)n2)o1 ZINC000747905171 700091943 /nfs/dbraw/zinc/09/19/43/700091943.db2.gz SPKIUQPARQVMGO-UHFFFAOYSA-N -1 1 314.294 1.079 20 0 DDADMM CC[C@@H](CNC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000120831532 696702156 /nfs/dbraw/zinc/70/21/56/696702156.db2.gz LTYCEBFUPSOPIA-JQWIXIFHSA-N -1 1 305.403 1.643 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCC2(c3ccccc3)CC2)s1 ZINC000120844475 696702408 /nfs/dbraw/zinc/70/24/08/696702408.db2.gz DMGSCZVXWSSVJQ-NSHDSACASA-N -1 1 303.387 1.181 20 0 DDADMM COc1cc(C(=O)Nc2ccncc2[O-])cc2c1O[C@H](C)C2 ZINC000748312106 700109624 /nfs/dbraw/zinc/10/96/24/700109624.db2.gz HKNXLEVWRALHKY-SECBINFHSA-N -1 1 300.314 1.793 20 0 DDADMM Cc1nc(C)c(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)o1 ZINC000748206751 700106270 /nfs/dbraw/zinc/10/62/70/700106270.db2.gz HPQXDQSBMAQDCV-UHFFFAOYSA-N -1 1 302.286 1.405 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2CCCS2)cc1 ZINC000748481897 700121104 /nfs/dbraw/zinc/12/11/04/700121104.db2.gz YDDGORWCBTXXHY-LBPRGKRZSA-N -1 1 323.370 1.390 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@@H]1CCCO1 ZINC000157761929 696980735 /nfs/dbraw/zinc/98/07/35/696980735.db2.gz AGJNZSLWONJNTP-IUCAKERBSA-N -1 1 307.803 1.309 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2cc(Cl)ccc2[N+](=O)[O-])CCOC1 ZINC000158581540 696991836 /nfs/dbraw/zinc/99/18/36/696991836.db2.gz FVHXSLFOYCDGHG-NSHDSACASA-N -1 1 320.754 1.706 20 0 DDADMM O=C(CC1CC1)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982346118 697107341 /nfs/dbraw/zinc/10/73/41/697107341.db2.gz XPGFEBHBGFOBPT-GFCCVEGCSA-N -1 1 303.362 1.166 20 0 DDADMM O=c1cc(CN2CCN(C3CC3)C(=O)C2)c2ccc([O-])cc2o1 ZINC000748830267 700137690 /nfs/dbraw/zinc/13/76/90/700137690.db2.gz GQLTYTPTIBCIFE-UHFFFAOYSA-N -1 1 314.341 1.305 20 0 DDADMM CN(C(=O)C1CCC1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984116500 697342490 /nfs/dbraw/zinc/34/24/90/697342490.db2.gz UDTAVIJHEXQIEB-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)c1ccncc1 ZINC000749298575 700155254 /nfs/dbraw/zinc/15/52/54/700155254.db2.gz DOHRRSPAGZSHGL-VIFPVBQESA-N -1 1 314.798 1.551 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1Cl)c1nc[nH]n1 ZINC000183200753 697484743 /nfs/dbraw/zinc/48/47/43/697484743.db2.gz YAEOOJCQUOLEHV-RXMQYKEDSA-N -1 1 322.177 1.546 20 0 DDADMM CN(C)C(=O)[C@@H]1CCCN1CCOc1ccccc1C(=O)[O-] ZINC000183912469 697496739 /nfs/dbraw/zinc/49/67/39/697496739.db2.gz LTCUDPPCCRGLTN-ZDUSSCGKSA-N -1 1 306.362 1.316 20 0 DDADMM O=C(NC[C@@H](O)c1ccccc1)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000186811275 697538131 /nfs/dbraw/zinc/53/81/31/697538131.db2.gz GRRZYUMWBWHPER-GFCCVEGCSA-N -1 1 303.274 1.159 20 0 DDADMM CC(=O)N[C@@H](CC(=O)[N-]O[C@H]1CCCCO1)c1cccs1 ZINC000749375283 700159648 /nfs/dbraw/zinc/15/96/48/700159648.db2.gz OFYCYDXMQDIWBD-FZMZJTMJSA-N -1 1 312.391 1.890 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000749386456 700160491 /nfs/dbraw/zinc/16/04/91/700160491.db2.gz UHTMAJARVQVIOI-CHOZFAJLSA-N -1 1 317.324 1.342 20 0 DDADMM CCC[C@H](NC(=O)Cc1[nH]nc2c1CCCC2)c1nn[n-]n1 ZINC000188965583 697567990 /nfs/dbraw/zinc/56/79/90/697567990.db2.gz WVLATNWMCVUIMJ-NSHDSACASA-N -1 1 303.370 1.002 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])C1(O)CCCC1 ZINC000189976880 697582864 /nfs/dbraw/zinc/58/28/64/697582864.db2.gz FXCUQQAKWGHIFE-UHFFFAOYSA-N -1 1 301.140 1.793 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC3(C)OCCO3)cnc2n1 ZINC000749552919 700169963 /nfs/dbraw/zinc/16/99/63/700169963.db2.gz GEZMOQYJQXYHTA-UHFFFAOYSA-N -1 1 303.318 1.137 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC1(C)OCCO1)c2=O ZINC000749552919 700169965 /nfs/dbraw/zinc/16/99/65/700169965.db2.gz GEZMOQYJQXYHTA-UHFFFAOYSA-N -1 1 303.318 1.137 20 0 DDADMM C[C@H]1[C@H](NCc2ccon2)CCN1C(=O)c1ncccc1[O-] ZINC000986173542 697719177 /nfs/dbraw/zinc/71/91/77/697719177.db2.gz VUCUSIKKOHMNDV-CMPLNLGQSA-N -1 1 302.334 1.168 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773161046 697721980 /nfs/dbraw/zinc/72/19/80/697721980.db2.gz KPWWICJCJWTPGU-JQWIXIFHSA-N -1 1 319.390 1.284 20 0 DDADMM CC(C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)=C1CCC1 ZINC000986288830 697768684 /nfs/dbraw/zinc/76/86/84/697768684.db2.gz VWTBRPPZINWKEQ-CMPLNLGQSA-N -1 1 305.382 1.090 20 0 DDADMM CCc1nnc(NC(=O)c2ccc3n[n-]c(=S)n3c2)s1 ZINC000773850875 697814037 /nfs/dbraw/zinc/81/40/37/697814037.db2.gz JAJONIOYWYZLPT-UHFFFAOYSA-N -1 1 306.376 1.684 20 0 DDADMM CN(CCc1ccccn1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773930931 697824174 /nfs/dbraw/zinc/82/41/74/697824174.db2.gz GNAFBFIQPNOLJW-UHFFFAOYSA-N -1 1 313.386 1.728 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CC(C)(C)C1 ZINC000986702241 697880856 /nfs/dbraw/zinc/88/08/56/697880856.db2.gz JWIBIVHOVMTPFM-ZYHUDNBSSA-N -1 1 321.425 1.416 20 0 DDADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)[N-]OC1CCCC1 ZINC000775601197 698014290 /nfs/dbraw/zinc/01/42/90/698014290.db2.gz RPKBDWHWQUELEB-SNVBAGLBSA-N -1 1 316.361 1.672 20 0 DDADMM Cc1ccc(/C=C/S(=O)(=O)Nc2cc(C(=O)[O-])n(C)n2)cc1 ZINC000776428280 698106206 /nfs/dbraw/zinc/10/62/06/698106206.db2.gz WWNKNSXZQVEGMH-BQYQJAHWSA-N -1 1 321.358 1.839 20 0 DDADMM O=C(CCOc1ccccc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776562099 698117280 /nfs/dbraw/zinc/11/72/80/698117280.db2.gz AZXSGPWFFQJCMP-GFCCVEGCSA-N -1 1 319.390 1.285 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987772896 698225421 /nfs/dbraw/zinc/22/54/21/698225421.db2.gz BLQLWNNURPJDPW-CHWSQXEVSA-N -1 1 319.405 1.754 20 0 DDADMM Cc1ccccc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000987891956 698259286 /nfs/dbraw/zinc/25/92/86/698259286.db2.gz BOBFASBZFVPQAT-NWDGAFQWSA-N -1 1 315.377 1.212 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CCO[C@@H](C)C2)co1 ZINC000778363352 698268691 /nfs/dbraw/zinc/26/86/91/698268691.db2.gz CBTFFJUSAVPTSG-UWVGGRQHSA-N -1 1 317.363 1.160 20 0 DDADMM Cc1cc(CN[C@@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)no1 ZINC000988687954 698436979 /nfs/dbraw/zinc/43/69/79/698436979.db2.gz ZUVQPQAJOFLARW-GXFFZTMASA-N -1 1 316.361 1.476 20 0 DDADMM O=C([O-])CN(C(=O)NCCN1CCc2ccccc2C1)C1CC1 ZINC000780382658 698513632 /nfs/dbraw/zinc/51/36/32/698513632.db2.gz ZWYFCEQSBPUBFX-UHFFFAOYSA-N -1 1 317.389 1.303 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1cccc(Cl)c1F)C(N)=O ZINC000750614990 700235229 /nfs/dbraw/zinc/23/52/29/700235229.db2.gz CHTLJFZJJIZIEZ-LLVKDONJSA-N -1 1 308.762 1.411 20 0 DDADMM Cn1cc(-c2[nH]ncc2C(=O)[N-]N2Cc3ccccc3C2=O)cn1 ZINC000781003444 698582008 /nfs/dbraw/zinc/58/20/08/698582008.db2.gz VLQMFJXGDDIOHS-UHFFFAOYSA-N -1 1 322.328 1.111 20 0 DDADMM Cn1cc(-c2n[nH]cc2C(=O)[N-]N2Cc3ccccc3C2=O)cn1 ZINC000781003444 698582011 /nfs/dbraw/zinc/58/20/11/698582011.db2.gz VLQMFJXGDDIOHS-UHFFFAOYSA-N -1 1 322.328 1.111 20 0 DDADMM CN1CCC[C@H](NC(=O)N2Cc3ccccc3C[C@@H]2C(=O)[O-])C1 ZINC000320299833 698718800 /nfs/dbraw/zinc/71/88/00/698718800.db2.gz BIHJWWXYMJILPD-LSDHHAIUSA-N -1 1 317.389 1.302 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CC[C@@H](C)OC)cc1 ZINC000782435528 698725164 /nfs/dbraw/zinc/72/51/64/698725164.db2.gz VTLYURIWDBFAJM-LLVKDONJSA-N -1 1 323.345 1.310 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H]2CCN(C3CCOCC3)C2=O)n1 ZINC000333223892 698763018 /nfs/dbraw/zinc/76/30/18/698763018.db2.gz FHSGNQSECQVXMH-GFCCVEGCSA-N -1 1 323.418 1.617 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2cnc3n2CCC3)[nH]nc1C1CC1 ZINC000783598203 698855550 /nfs/dbraw/zinc/85/55/50/698855550.db2.gz WPHQDDDEIJMGKY-UHFFFAOYSA-N -1 1 307.379 1.539 20 0 DDADMM O=S(=O)([N-]N=c1nccc[nH]1)c1cnccc1C(F)(F)F ZINC000785487969 699079705 /nfs/dbraw/zinc/07/97/05/699079705.db2.gz GDUBBUZEJQSGIZ-UHFFFAOYSA-N -1 1 319.268 1.196 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CCCCNC(N)=O)c1 ZINC000785568067 699083973 /nfs/dbraw/zinc/08/39/73/699083973.db2.gz XKOLTYFWHHBPLB-UHFFFAOYSA-N -1 1 322.361 1.519 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]CCC1(CO)CC1 ZINC000395883351 699110624 /nfs/dbraw/zinc/11/06/24/699110624.db2.gz WIYTYKJRXPGJHP-UHFFFAOYSA-N -1 1 318.326 1.175 20 0 DDADMM C[C@H]1CC[C@@H](C[N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])O1 ZINC000397966291 699118559 /nfs/dbraw/zinc/11/85/59/699118559.db2.gz XUGMLYPPEYRQIS-IUCAKERBSA-N -1 1 318.326 1.580 20 0 DDADMM CC[C@H](C[C@H](C)O)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000867903190 701818061 /nfs/dbraw/zinc/81/80/61/701818061.db2.gz BMRIEZRXUJIFMJ-NKWVEPMBSA-N -1 1 315.317 1.256 20 0 DDADMM O=C(NC1CN(C(=O)[C@@H]2CCC[C@@H](F)C2)C1)c1ncccc1[O-] ZINC000990967871 699195316 /nfs/dbraw/zinc/19/53/16/699195316.db2.gz DCKFQNDNIAZEKC-GHMZBOCLSA-N -1 1 321.352 1.256 20 0 DDADMM CC1(CC(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CCCC1 ZINC000990969836 699195516 /nfs/dbraw/zinc/19/55/16/699195516.db2.gz PVYCPRLWGYOKFE-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(NC1CN(C(=O)c2ccc(Cl)o2)C1)c1ncccc1[O-] ZINC000990970628 699195910 /nfs/dbraw/zinc/19/59/10/699195910.db2.gz WUKYRDFTCMCQEG-UHFFFAOYSA-N -1 1 321.720 1.288 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1cccnc1Cl)C(F)(F)F ZINC000705059580 699239460 /nfs/dbraw/zinc/23/94/60/699239460.db2.gz UOMHKNWBQXARLG-MRVPVSSYSA-N -1 1 318.704 1.327 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CSc2nccnc2N)cc1 ZINC000788097015 699278106 /nfs/dbraw/zinc/27/81/06/699278106.db2.gz FGDICYWBAKQUDV-UHFFFAOYSA-N -1 1 318.358 1.116 20 0 DDADMM O=S(=O)([N-]CC1OCCCO1)c1cc(F)c(F)cc1F ZINC000788416951 699312500 /nfs/dbraw/zinc/31/25/00/699312500.db2.gz NRNWEHNDQMXXBD-UHFFFAOYSA-N -1 1 311.281 1.145 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](C3CC3)O2)c1Cl ZINC000722335443 699317364 /nfs/dbraw/zinc/31/73/64/699317364.db2.gz XVKROKDLYDNJRT-UWVGGRQHSA-N -1 1 319.814 1.309 20 0 DDADMM Cc1csc(C)c1S(=O)(=O)N(CCN(C)C)CC(=O)[O-] ZINC000725108712 699330945 /nfs/dbraw/zinc/33/09/45/699330945.db2.gz ZLLDNAQPJMMJPX-UHFFFAOYSA-N -1 1 320.436 1.002 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@H]1CC[C@@H](C)O1 ZINC000789047542 699358531 /nfs/dbraw/zinc/35/85/31/699358531.db2.gz RGVAFDJVAWNSOQ-BXKDBHETSA-N -1 1 319.361 1.705 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H]1c1cccs1 ZINC000726866677 699386190 /nfs/dbraw/zinc/38/61/90/699386190.db2.gz YUHJTLGJSSNAKI-LLVKDONJSA-N -1 1 319.386 1.352 20 0 DDADMM Cc1cc(C)cc(-n2ncc(CNC3(C(=O)[O-])CCC3)n2)c1 ZINC000789902063 699418594 /nfs/dbraw/zinc/41/85/94/699418594.db2.gz JFPQXRPBIFGYCJ-UHFFFAOYSA-N -1 1 300.362 1.981 20 0 DDADMM COc1ccc(Cl)cc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727752361 699424605 /nfs/dbraw/zinc/42/46/05/699424605.db2.gz UAORVQNTARPTHK-UHFFFAOYSA-N -1 1 323.736 1.227 20 0 DDADMM CC(C)c1nnc(-c2ccccc2NC(=O)c2cc(=O)[nH][n-]2)[nH]1 ZINC000731897351 699540134 /nfs/dbraw/zinc/54/01/34/699540134.db2.gz UCBGFFDNSRCKCO-UHFFFAOYSA-N -1 1 312.333 1.410 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H](OC1CCCC1)c1ccccc1 ZINC000732157807 699548274 /nfs/dbraw/zinc/54/82/74/699548274.db2.gz HKVVKVSUCUUQMY-CQSZACIVSA-N -1 1 301.350 1.516 20 0 DDADMM CC(C)(C)OC(=O)NC1(C(=O)NCc2nn[n-]n2)CCCCC1 ZINC000732159701 699548459 /nfs/dbraw/zinc/54/84/59/699548459.db2.gz XGCLTJMFWSMFAN-UHFFFAOYSA-N -1 1 324.385 1.044 20 0 DDADMM CC[C@H](C)n1ncc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1C ZINC000791581513 699641793 /nfs/dbraw/zinc/64/17/93/699641793.db2.gz WLARQFVOIUGSRL-JTQLQIEISA-N -1 1 319.365 1.041 20 0 DDADMM C[C@@H]1CCC[C@H](CCNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000734463931 699652101 /nfs/dbraw/zinc/65/21/01/699652101.db2.gz DTDUKYPBSIBXMI-CHWSQXEVSA-N -1 1 307.394 1.259 20 0 DDADMM Cn1nc(C(F)F)c2cc(C(=O)[N-]N3CCCC3=O)sc21 ZINC000792303958 699691682 /nfs/dbraw/zinc/69/16/82/699691682.db2.gz XMULXIDYTZIXNL-UHFFFAOYSA-N -1 1 314.317 1.840 20 0 DDADMM CCC[C@H](NC(=O)c1cc2c([nH]c1=O)CCC2)c1nn[n-]n1 ZINC000736431258 699725708 /nfs/dbraw/zinc/72/57/08/699725708.db2.gz AOSQAPBMSGPIBN-NSHDSACASA-N -1 1 302.338 1.060 20 0 DDADMM CCCc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000736497091 699726736 /nfs/dbraw/zinc/72/67/36/699726736.db2.gz NRUFCDOZBSRVGQ-ZDUSSCGKSA-N -1 1 301.350 1.366 20 0 DDADMM NC(=O)[C@@H](NCC1(NC(=O)[O-])CCCCC1)c1ccccc1 ZINC000740323855 699790005 /nfs/dbraw/zinc/79/00/05/699790005.db2.gz LAHDTIFEURGLQI-ZDUSSCGKSA-N -1 1 305.378 1.773 20 0 DDADMM Cc1nnc(-c2ccc([N-]S(=O)(=O)C[C@H]3CCCO3)cc2)o1 ZINC000740700707 699797709 /nfs/dbraw/zinc/79/77/09/699797709.db2.gz BLPJKJLUCIMBDU-CYBMUJFWSA-N -1 1 323.374 1.966 20 0 DDADMM O=S(=O)([N-]c1ccn(Cc2ccccn2)n1)N1CCCC1 ZINC000742029942 699858306 /nfs/dbraw/zinc/85/83/06/699858306.db2.gz MBMGKWXNLUJCDI-UHFFFAOYSA-N -1 1 307.379 1.079 20 0 DDADMM CCN(CC)C(=O)c1ccc(OS(=O)(=O)c2c[n-]cn2)cc1 ZINC000742305094 699872805 /nfs/dbraw/zinc/87/28/05/699872805.db2.gz REVCIVCEPMFRFA-UHFFFAOYSA-N -1 1 323.374 1.659 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cccc(C(N)=O)c2)[n-]1 ZINC000796345653 699927801 /nfs/dbraw/zinc/92/78/01/699927801.db2.gz PCOZHSVXUZCFQH-UHFFFAOYSA-N -1 1 302.286 1.257 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2ccc3c(c2)OCCO3)[n-]1 ZINC000796344509 699928103 /nfs/dbraw/zinc/92/81/03/699928103.db2.gz ILIFBLLOQUUGMC-UHFFFAOYSA-N -1 1 317.297 1.930 20 0 DDADMM COC(=O)Cc1cccc(OC(=O)c2ccc(C(=O)OC)[n-]2)c1 ZINC000796864924 699956515 /nfs/dbraw/zinc/95/65/15/699956515.db2.gz POKMERPQYVLHKM-UHFFFAOYSA-N -1 1 317.297 1.736 20 0 DDADMM CCc1nnc(COC(=O)c2nn(-c3ccccc3)cc2[O-])[nH]1 ZINC000801202922 700277558 /nfs/dbraw/zinc/27/75/58/700277558.db2.gz LFADPTQVLGCPNH-UHFFFAOYSA-N -1 1 313.317 1.615 20 0 DDADMM Cc1ccc([C@@H](O)C[N-]S(=O)(=O)c2nc(C)c(C)s2)o1 ZINC000751444819 700289858 /nfs/dbraw/zinc/28/98/58/700289858.db2.gz AGCWOYVJYLAZQN-JTQLQIEISA-N -1 1 316.404 1.673 20 0 DDADMM CCOCC(=O)COC(=O)c1nn(-c2ccc(C)cc2)cc1[O-] ZINC000801366110 700300736 /nfs/dbraw/zinc/30/07/36/700300736.db2.gz BSSNRVPEZFPLQX-UHFFFAOYSA-N -1 1 318.329 1.649 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCC(=O)NC(C)C)n2)cc1 ZINC000801368647 700301341 /nfs/dbraw/zinc/30/13/41/700301341.db2.gz AFDPHQCYWYYEOW-UHFFFAOYSA-N -1 1 317.345 1.568 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCCC3OCCO3)n2)cc1 ZINC000801371137 700301508 /nfs/dbraw/zinc/30/15/08/700301508.db2.gz GTANKWCJTWAKBI-UHFFFAOYSA-N -1 1 318.329 1.806 20 0 DDADMM Cc1nn(C)c(C)c1[C@H](C)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801704082 700333035 /nfs/dbraw/zinc/33/30/35/700333035.db2.gz XAWFPYRNYKNJMA-VIFPVBQESA-N -1 1 312.377 1.434 20 0 DDADMM CC(C)(C)OC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC000753503958 700439689 /nfs/dbraw/zinc/43/96/89/700439689.db2.gz QCBUBDDJDOBCPL-UHFFFAOYSA-N -1 1 321.377 1.964 20 0 DDADMM Cc1ccc(/C=C/C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cn1 ZINC000755017970 700544956 /nfs/dbraw/zinc/54/49/56/700544956.db2.gz IAGVVSADARZZTM-MDZDMXLPSA-N -1 1 324.336 1.903 20 0 DDADMM CCCCCC(C)(C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000755459923 700566767 /nfs/dbraw/zinc/56/67/67/700566767.db2.gz RWQGSLHKGPBCKR-UHFFFAOYSA-N -1 1 309.410 1.649 20 0 DDADMM Nc1c(F)cccc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000758063274 700682767 /nfs/dbraw/zinc/68/27/67/700682767.db2.gz SEBBHBYQNCULQM-UHFFFAOYSA-N -1 1 316.288 1.521 20 0 DDADMM O=C([N-]OCC(F)F)[C@@H]1CC(=O)N(c2cc(F)cc(F)c2)C1 ZINC000759340643 700747602 /nfs/dbraw/zinc/74/76/02/700747602.db2.gz YMAIWLCVKXLANM-SSDOTTSWSA-N -1 1 320.242 1.631 20 0 DDADMM CCC(=O)c1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000760559876 700814041 /nfs/dbraw/zinc/81/40/41/700814041.db2.gz XQJJQWBVNXAYNN-ZDUSSCGKSA-N -1 1 315.333 1.006 20 0 DDADMM CN1C(=S)N=NC1CNC(=O)c1c(F)ccc([O-])c1F ZINC000809666130 701679248 /nfs/dbraw/zinc/67/92/48/701679248.db2.gz OGFACJNVZVSZHK-UHFFFAOYSA-N -1 1 300.290 1.018 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cnc2ccccn21 ZINC000763975759 700955898 /nfs/dbraw/zinc/95/58/98/700955898.db2.gz BGCZIZPOPULUNF-AWEZNQCLSA-N -1 1 319.328 1.367 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2cn(C)c(Cl)n2)[nH]nc1C1CC1 ZINC000764533132 700975662 /nfs/dbraw/zinc/97/56/62/700975662.db2.gz HWDNIRSSUVGELK-UHFFFAOYSA-N -1 1 315.786 1.783 20 0 DDADMM Cn1[n-]c(COC(=O)CSc2ccc(Cl)cc2)nc1=O ZINC000765398081 701009562 /nfs/dbraw/zinc/00/95/62/701009562.db2.gz DYQZPVBONHXXPB-UHFFFAOYSA-N -1 1 313.766 1.597 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(C3CC3)nc3ccccc32)nc1=O ZINC000765418702 701011011 /nfs/dbraw/zinc/01/10/11/701011011.db2.gz JVJWNBLWIFUDSB-UHFFFAOYSA-N -1 1 324.340 1.891 20 0 DDADMM CC1=NN(c2ccc(C(=O)OCc3nc(=O)n(C)[n-]3)cc2)CC1 ZINC000765447243 701012994 /nfs/dbraw/zinc/01/29/94/701012994.db2.gz UYGSEXYTJLTOFR-UHFFFAOYSA-N -1 1 315.333 1.051 20 0 DDADMM O=C(COC(=O)c1occ2c1CCC2)[N-]C(=O)c1ccccc1 ZINC000803883930 701151945 /nfs/dbraw/zinc/15/19/45/701151945.db2.gz OVKBIKVGKLRNLT-UHFFFAOYSA-N -1 1 313.309 1.882 20 0 DDADMM COC[C@](C)(CO)[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000768449520 701178090 /nfs/dbraw/zinc/17/80/90/701178090.db2.gz DNHZZYXBZFDYRM-LBPRGKRZSA-N -1 1 309.259 1.570 20 0 DDADMM CCc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1Cl ZINC000804647823 701205741 /nfs/dbraw/zinc/20/57/41/701205741.db2.gz DBWWELSVZVLDBY-UHFFFAOYSA-N -1 1 321.764 1.781 20 0 DDADMM O=C(Nc1ccc2[nH]c(C(F)F)nc2c1)NN1CC(=O)[N-]C1=O ZINC000770626709 701286694 /nfs/dbraw/zinc/28/66/94/701286694.db2.gz RKMJSBPJKNGUFG-UHFFFAOYSA-N -1 1 324.247 1.089 20 0 DDADMM CC(C)C[C@H](C)n1nccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000770724884 701292101 /nfs/dbraw/zinc/29/21/01/701292101.db2.gz WHBPOPJAXDMFIA-VIFPVBQESA-N -1 1 308.342 1.079 20 0 DDADMM COC(=O)CC(C)(C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000771947268 701337883 /nfs/dbraw/zinc/33/78/83/701337883.db2.gz ARDZPFYHZZSEKK-UHFFFAOYSA-N -1 1 306.409 1.380 20 0 DDADMM O=C(NCC[C@@H]1CCSC1)c1nc2ccccc2c(=O)[n-]1 ZINC000866027158 706598454 /nfs/dbraw/zinc/59/84/54/706598454.db2.gz AWZBBOXTPHWQQC-SNVBAGLBSA-N -1 1 303.387 1.796 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCC2CCC2)c(C(F)(F)F)n1 ZINC000815024280 701784634 /nfs/dbraw/zinc/78/46/34/701784634.db2.gz XUNFMXYYRQVKRQ-UHFFFAOYSA-N -1 1 311.329 1.907 20 0 DDADMM O=C(O[C@H]1CCCN(Cc2ccccc2)C1=O)c1cn[n-]n1 ZINC000805604240 701398044 /nfs/dbraw/zinc/39/80/44/701398044.db2.gz ALRGNJWBOBWWAY-ZDUSSCGKSA-N -1 1 300.318 1.153 20 0 DDADMM CSc1ccc(NC(=O)[C@H](C)OC(=O)c2cn[n-]n2)cc1 ZINC000805605096 701398752 /nfs/dbraw/zinc/39/87/52/701398752.db2.gz JAEMDJXANBYMTB-QMMMGPOBSA-N -1 1 306.347 1.711 20 0 DDADMM O=C(OCc1nc(-c2cccc(Cl)c2)no1)c1cn[n-]n1 ZINC000805607021 701399344 /nfs/dbraw/zinc/39/93/44/701399344.db2.gz DWPUAGLEDCAONT-UHFFFAOYSA-N -1 1 305.681 1.865 20 0 DDADMM COC1CCC(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000806488038 701437487 /nfs/dbraw/zinc/43/74/87/701437487.db2.gz JFCOVEWNFFUJFK-UHFFFAOYSA-N -1 1 317.393 1.669 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3Cc4ccccc4O3)ccnc1-2 ZINC000806489138 701437789 /nfs/dbraw/zinc/43/77/89/701437789.db2.gz NNCFJEZVDOADNP-GFCCVEGCSA-N -1 1 323.356 1.467 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC3(CO)CCCC3)ccnc1-2 ZINC000806494730 701437945 /nfs/dbraw/zinc/43/79/45/701437945.db2.gz KKQYZYXJODKKPH-UHFFFAOYSA-N -1 1 303.366 1.016 20 0 DDADMM NC(=O)c1ccc(C(=O)Nc2c([O-])cccc2F)nc1Cl ZINC000806868981 701451615 /nfs/dbraw/zinc/45/16/15/701451615.db2.gz HXADSTIMHYJICC-UHFFFAOYSA-N -1 1 309.684 1.931 20 0 DDADMM O=C(NC[C@@H]1CCCS(=O)(=O)C1)c1c([O-])cccc1Cl ZINC000807799564 701487223 /nfs/dbraw/zinc/48/72/23/701487223.db2.gz MCVCAAZOPDGLSM-VIFPVBQESA-N -1 1 317.794 1.600 20 0 DDADMM CCn1ncn([N-]C(=O)N[C@@H](C2CCCC2)C(F)(F)F)c1=O ZINC000879192461 706611294 /nfs/dbraw/zinc/61/12/94/706611294.db2.gz MJTPTHWONBWJMJ-VIFPVBQESA-N -1 1 321.303 1.439 20 0 DDADMM Cc1cccc(C)c1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830959061 706611333 /nfs/dbraw/zinc/61/13/33/706611333.db2.gz IPJXXQKHCPBBDF-UHFFFAOYSA-N -1 1 313.357 1.802 20 0 DDADMM C[C@H]1CCCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000867895927 701815178 /nfs/dbraw/zinc/81/51/78/701815178.db2.gz BFBQDUAWUDWFSM-JTQLQIEISA-N -1 1 306.366 1.196 20 0 DDADMM CC(C)O[N-]C(=O)[C@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC000839783167 701826022 /nfs/dbraw/zinc/82/60/22/701826022.db2.gz FKDTVKFVUVUCPJ-ZDUSSCGKSA-N -1 1 308.353 1.673 20 0 DDADMM O=C(Cc1cccnc1Cl)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000868010504 701890821 /nfs/dbraw/zinc/89/08/21/701890821.db2.gz KPMWLWINQSWFNH-JTQLQIEISA-N -1 1 322.752 1.772 20 0 DDADMM O=C(N[C@H]1CCCN(CCF)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000866119230 706624512 /nfs/dbraw/zinc/62/45/12/706624512.db2.gz DBVGEAXURUUMGX-NSHDSACASA-N -1 1 318.352 1.087 20 0 DDADMM CC(C)O[C@@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1(C)C ZINC000831116080 706637680 /nfs/dbraw/zinc/63/76/80/706637680.db2.gz VDIFERFBCQUCNC-VHSXEESVSA-N -1 1 321.830 1.934 20 0 DDADMM Cc1sccc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831122126 706639093 /nfs/dbraw/zinc/63/90/93/706639093.db2.gz WFXRDAQRPGCTIT-UHFFFAOYSA-N -1 1 306.309 1.807 20 0 DDADMM Cc1cccc(NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000866184785 706642478 /nfs/dbraw/zinc/64/24/78/706642478.db2.gz UDJBEZGXKMVEDA-UHFFFAOYSA-N -1 1 316.283 1.532 20 0 DDADMM CC/C=C/CNC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868667944 702254989 /nfs/dbraw/zinc/25/49/89/702254989.db2.gz XNNQZAQWWHUPMK-ZYOFXKKJSA-N -1 1 307.316 1.805 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1c[nH]nc1Cl)C1CCCCC1 ZINC000831249490 706669292 /nfs/dbraw/zinc/66/92/92/706669292.db2.gz TVOPTFJMAVBUPH-LBPRGKRZSA-N -1 1 321.830 1.673 20 0 DDADMM C[C@H](O)C(=O)N1c2ccccc2C[C@@H]1C[N-]C(=O)C(F)(F)F ZINC000817675653 702447135 /nfs/dbraw/zinc/44/71/35/702447135.db2.gz TWZGQZFVRNASNF-WCBMZHEXSA-N -1 1 316.279 1.004 20 0 DDADMM CO[C@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C12CCC2 ZINC000841549391 702493722 /nfs/dbraw/zinc/49/37/22/702493722.db2.gz PGSKJKPMMNYHDH-BDAKNGLRSA-N -1 1 305.787 1.299 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@@H](C(C)(C)O)C3)ccnc1-2 ZINC000879415822 706674188 /nfs/dbraw/zinc/67/41/88/706674188.db2.gz FDVXLHYETFWNLD-LLVKDONJSA-N -1 1 317.393 1.357 20 0 DDADMM CCC(=CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)CC ZINC000869435002 702606121 /nfs/dbraw/zinc/60/61/21/702606121.db2.gz HFUMPKBOTUFBGV-UHFFFAOYSA-N -1 1 309.284 1.914 20 0 DDADMM C[C@H]1CC[C@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)CC1 ZINC000869546530 702642217 /nfs/dbraw/zinc/64/22/17/702642217.db2.gz DRMISNKDVGPHSO-MGCOHNPYSA-N -1 1 323.311 1.994 20 0 DDADMM Nc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c(Cl)c1 ZINC000843442119 702883066 /nfs/dbraw/zinc/88/30/66/702883066.db2.gz CRUCNYTWAOYBGW-UHFFFAOYSA-N -1 1 306.757 1.635 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)CC12CCC(CC1)CC2 ZINC000845977140 703222411 /nfs/dbraw/zinc/22/24/11/703222411.db2.gz UKQVXCXJRHUPOL-LXVYMNJGSA-N -1 1 321.414 1.777 20 0 DDADMM CCN1C[C@@H](C[N-]S(=O)(=O)c2cc3ccccc3o2)CC1=O ZINC000845994271 703224770 /nfs/dbraw/zinc/22/47/70/703224770.db2.gz NVHARNHVEWTOBM-LLVKDONJSA-N -1 1 322.386 1.580 20 0 DDADMM C[C@@H]1CCCC[C@H]1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866597494 706740744 /nfs/dbraw/zinc/74/07/44/706740744.db2.gz UFFCWTFIVZKJHI-NEPJUHHUSA-N -1 1 308.469 1.909 20 0 DDADMM Cc1cnccc1C=CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847091170 703376583 /nfs/dbraw/zinc/37/65/83/703376583.db2.gz MQJPJFPLPNDVMT-DSYXLKISSA-N -1 1 314.345 1.898 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F)C1CC1 ZINC000866594114 706739385 /nfs/dbraw/zinc/73/93/85/706739385.db2.gz AEWCSAQCNHESGS-SSDOTTSWSA-N -1 1 311.329 1.763 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@@]12C[C@@H]1CCCC2 ZINC000869702443 703834578 /nfs/dbraw/zinc/83/45/78/703834578.db2.gz NZTJKJLWSHHRDX-ZANVPECISA-N -1 1 321.295 1.748 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(CC(=O)NCC2CCC2)C1 ZINC000879764895 706785315 /nfs/dbraw/zinc/78/53/15/706785315.db2.gz JWIYFZNAKPIYKM-ZDUSSCGKSA-N -1 1 321.343 1.046 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(CCc2cnccn2)C1 ZINC000879767808 706787124 /nfs/dbraw/zinc/78/71/24/706787124.db2.gz PYYJPTXTSRPNDN-GFCCVEGCSA-N -1 1 302.300 1.162 20 0 DDADMM O=C([O-])C1=CC[C@H](NS(=O)(=O)c2c(F)cc(F)cc2F)C1 ZINC000853070138 704191933 /nfs/dbraw/zinc/19/19/33/704191933.db2.gz VJNODOUSZSLZIP-QMMMGPOBSA-N -1 1 321.276 1.556 20 0 DDADMM O=C(C1SCCCS1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000819967514 704193734 /nfs/dbraw/zinc/19/37/34/704193734.db2.gz KUDSFTIOLKKRNO-QMMMGPOBSA-N -1 1 315.420 1.677 20 0 DDADMM O=C(N[C@@H]1C[C@H]1C(F)(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000871208656 704243821 /nfs/dbraw/zinc/24/38/21/704243821.db2.gz WSWBJHXEFXMDCJ-RNFRBKRXSA-N -1 1 302.281 1.699 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@]1(C)Cc2ccccc2C(=O)O1 ZINC000820401706 704272588 /nfs/dbraw/zinc/27/25/88/704272588.db2.gz CUCRASKSRBTMIP-OAHLLOKOSA-N -1 1 301.302 1.534 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C(F)=C1CCC1 ZINC000820588765 704305506 /nfs/dbraw/zinc/30/55/06/704305506.db2.gz UDRBPGXMWWOKEA-UHFFFAOYSA-N -1 1 306.297 1.219 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)C(=O)C2CC2)c1 ZINC000821351961 704395138 /nfs/dbraw/zinc/39/51/38/704395138.db2.gz FNAIXXYPCXIIGT-UZJPJQLHSA-N -1 1 310.371 1.714 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2nncn2C)c1 ZINC000821351972 704395199 /nfs/dbraw/zinc/39/51/99/704395199.db2.gz FWCWWVUFKPGEST-MGUXCZDKSA-N -1 1 323.374 1.236 20 0 DDADMM Cc1sc(NC(=O)CCn2cc[n-]c(=O)c2=O)nc1C(C)C ZINC000855234810 704474486 /nfs/dbraw/zinc/47/44/86/704474486.db2.gz NFNMNWGRDYBFFJ-UHFFFAOYSA-N -1 1 322.390 1.454 20 0 DDADMM CCOC(=O)[C@]1(F)CN(C(=O)c2ncccc2[O-])CC12CCC2 ZINC000855241904 704474953 /nfs/dbraw/zinc/47/49/53/704474953.db2.gz UBIODLJAKXGWLE-MRXNPFEDSA-N -1 1 322.336 1.685 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@H]1C=CCC1 ZINC000855425692 704485060 /nfs/dbraw/zinc/48/50/60/704485060.db2.gz UWKGPKMPHHTSAJ-ZDUSSCGKSA-N -1 1 310.357 1.518 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@@H]1C=CCC1 ZINC000855425690 704485077 /nfs/dbraw/zinc/48/50/77/704485077.db2.gz UWKGPKMPHHTSAJ-CYBMUJFWSA-N -1 1 310.357 1.518 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@H]1CCO ZINC000855540099 704491082 /nfs/dbraw/zinc/49/10/82/704491082.db2.gz BEESWHOGBWGSRL-PWSUYJOCSA-N -1 1 320.418 1.641 20 0 DDADMM CC(C)(C)OC(=O)C1=NO[C@H](C(=O)Nc2c([O-])cccc2F)C1 ZINC000855556144 704491472 /nfs/dbraw/zinc/49/14/72/704491472.db2.gz QATXWBBUCQVLQT-NSHDSACASA-N -1 1 324.308 1.956 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCOC(=O)N(C)C ZINC000855649305 704495132 /nfs/dbraw/zinc/49/51/32/704495132.db2.gz LWNWRTWWQTXBJB-UHFFFAOYSA-N -1 1 324.381 1.216 20 0 DDADMM COc1ccccc1N1C[C@H](C(=O)[N-]OCC2CCC2)CC1=O ZINC000856344347 704523635 /nfs/dbraw/zinc/52/36/35/704523635.db2.gz IQJVRKIACNJDKD-CYBMUJFWSA-N -1 1 318.373 1.896 20 0 DDADMM O=C(Cn1c(=O)c2ccccc2[nH]c1=S)[N-]OCC1CCC1 ZINC000856346299 704523740 /nfs/dbraw/zinc/52/37/40/704523740.db2.gz UUCCHFQZGDWWMO-UHFFFAOYSA-N -1 1 319.386 1.533 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2CCCOC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418012 704528118 /nfs/dbraw/zinc/52/81/18/704528118.db2.gz AKYCAGVUFHECNR-VWYCJHECSA-N -1 1 322.327 1.329 20 0 DDADMM COCCN(CCF)C(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000857314761 704578765 /nfs/dbraw/zinc/57/87/65/704578765.db2.gz QUSSPZLVNHXHEA-UHFFFAOYSA-N -1 1 307.325 1.702 20 0 DDADMM COCCN(CCF)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000857314761 704578767 /nfs/dbraw/zinc/57/87/67/704578767.db2.gz QUSSPZLVNHXHEA-UHFFFAOYSA-N -1 1 307.325 1.702 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](C)[C@H](CO)C1 ZINC000857730324 704618633 /nfs/dbraw/zinc/61/86/33/704618633.db2.gz PUTKTCVZIAEEEV-JQWIXIFHSA-N -1 1 307.394 1.705 20 0 DDADMM Cc1ccc([C@@H](O)C[N-]S(=O)(=O)c2ccc(F)nc2F)o1 ZINC000866864615 706829410 /nfs/dbraw/zinc/82/94/10/706829410.db2.gz RFMAWBHEZXGCTI-QMMMGPOBSA-N -1 1 318.301 1.273 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CCSC1 ZINC000867205572 706924204 /nfs/dbraw/zinc/92/42/04/706924204.db2.gz AHTWDEXSOIZAIX-SECBINFHSA-N -1 1 300.471 1.082 20 0 DDADMM CC(C)(C)CC(=O)N1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858341501 704697276 /nfs/dbraw/zinc/69/72/76/704697276.db2.gz DISFFPSRKGWGSY-UHFFFAOYSA-N -1 1 312.801 1.920 20 0 DDADMM CCCCNC(=O)[C@H]1CCCN(Cc2cc(C(=O)[O-])no2)C1 ZINC000902203087 710663943 /nfs/dbraw/zinc/66/39/43/710663943.db2.gz XBJPVOGEXUBTDW-NSHDSACASA-N -1 1 309.366 1.501 20 0 DDADMM CC[C@H]1C[C@@H]([N-]S(=O)(=O)N=S(=O)(CC)CC)CCO1 ZINC000867422354 706997086 /nfs/dbraw/zinc/99/70/86/706997086.db2.gz WZGVGKUXMRVZFQ-QWRGUYRKSA-N -1 1 312.457 1.286 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)CC(C)C ZINC000867413554 706993530 /nfs/dbraw/zinc/99/35/30/706993530.db2.gz AKXNFNMGZRXBCR-VIFPVBQESA-N -1 1 308.350 1.699 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@]23CCO[C@H]2CCCC3)n1 ZINC000822547108 704972085 /nfs/dbraw/zinc/97/20/85/704972085.db2.gz HNKBRHIDEUWHED-XHDPSFHLSA-N -1 1 307.350 1.874 20 0 DDADMM O=C([O-])C[C@@]1(NCc2nccn2-c2ccccc2)CCCOC1 ZINC000859813812 705011305 /nfs/dbraw/zinc/01/13/05/705011305.db2.gz OBRFQDWJAPIINZ-KRWDZBQOSA-N -1 1 315.373 1.986 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H](C(F)(F)F)O1 ZINC000874562675 705106156 /nfs/dbraw/zinc/10/61/56/705106156.db2.gz IODAUGBSKLQNEX-SCZZXKLOSA-N -1 1 304.268 1.969 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@]1(C)CC(c2ccccc2)=NO1 ZINC000823130385 705148894 /nfs/dbraw/zinc/14/88/94/705148894.db2.gz MPWGFQMDVGNJRR-OAHLLOKOSA-N -1 1 300.318 1.946 20 0 DDADMM CC(C)CCS(=O)(=O)[N-]C(=O)c1cnn(-c2ccncc2)c1 ZINC000835149562 707182568 /nfs/dbraw/zinc/18/25/68/707182568.db2.gz DHALFCJBPILGMR-UHFFFAOYSA-N -1 1 322.390 1.373 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ccn(CC)c3C)nc2n1 ZINC000875838779 705532111 /nfs/dbraw/zinc/53/21/11/705532111.db2.gz VWPUDYPEUXTDCD-UHFFFAOYSA-N -1 1 314.349 1.362 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@]34C[C@@H]3CCCC4)nc2n1 ZINC000875838366 705532138 /nfs/dbraw/zinc/53/21/38/705532138.db2.gz KZBLOVYGIBILRS-QFYYESIMSA-N -1 1 315.377 1.889 20 0 DDADMM [O-]C(=NO[C@H]1CCCCO1)Nc1ccn(C2CCOCC2)n1 ZINC000825342042 705650699 /nfs/dbraw/zinc/65/06/99/705650699.db2.gz ZBVFMGGZTRRHBZ-ZDUSSCGKSA-N -1 1 310.354 1.814 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1ccn(C2CCOCC2)n1 ZINC000825342042 705650702 /nfs/dbraw/zinc/65/07/02/705650702.db2.gz ZBVFMGGZTRRHBZ-ZDUSSCGKSA-N -1 1 310.354 1.814 20 0 DDADMM CCOC(=O)c1cnn(CCc2ccccc2)c1-c1nn[n-]n1 ZINC000825570303 705694751 /nfs/dbraw/zinc/69/47/51/705694751.db2.gz HSTGQZVBGOARSH-UHFFFAOYSA-N -1 1 312.333 1.483 20 0 DDADMM C[C@H](O)CN(C(=O)NCc1ccc([O-])c(Cl)c1)[C@@H](C)CO ZINC000876394784 705707511 /nfs/dbraw/zinc/70/75/11/705707511.db2.gz AVNKSEWPMWZRCU-UWVGGRQHSA-N -1 1 316.785 1.319 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC[C@@H](O)C(F)(F)C1 ZINC000876399656 705708204 /nfs/dbraw/zinc/70/82/04/705708204.db2.gz RURZDYAUYNJTNE-LLVKDONJSA-N -1 1 320.723 1.957 20 0 DDADMM CCc1onc(C)c1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825777452 705729788 /nfs/dbraw/zinc/72/97/88/705729788.db2.gz XNGBMPATICPYKK-UHFFFAOYSA-N -1 1 317.309 1.203 20 0 DDADMM CCc1onc(C)c1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825777452 705729792 /nfs/dbraw/zinc/72/97/92/705729792.db2.gz XNGBMPATICPYKK-UHFFFAOYSA-N -1 1 317.309 1.203 20 0 DDADMM CC[C@H](C)[C@@](C)(O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000825972822 705753571 /nfs/dbraw/zinc/75/35/71/705753571.db2.gz DMCQSFBWARNURL-XPTSAGLGSA-N -1 1 308.407 1.545 20 0 DDADMM Cc1onc(CC(=O)N([C@@H](C)C2CC2)C2CC2)c1-c1nnn[n-]1 ZINC000826343160 705792821 /nfs/dbraw/zinc/79/28/21/705792821.db2.gz OSOATQVGGBLIMF-QMMMGPOBSA-N -1 1 316.365 1.495 20 0 DDADMM Cc1onc(CC(=O)N([C@@H](C)C2CC2)C2CC2)c1-c1nn[n-]n1 ZINC000826343160 705792823 /nfs/dbraw/zinc/79/28/23/705792823.db2.gz OSOATQVGGBLIMF-QMMMGPOBSA-N -1 1 316.365 1.495 20 0 DDADMM NC(=O)c1ccc(NCc2ccnc(-c3nnn[n-]3)c2)cc1F ZINC000826393095 705798657 /nfs/dbraw/zinc/79/86/57/705798657.db2.gz BEZIQPLFXUPTOJ-UHFFFAOYSA-N -1 1 313.296 1.112 20 0 DDADMM NC(=O)c1ccc(NCc2ccnc(-c3nn[n-]n3)c2)cc1F ZINC000826393095 705798658 /nfs/dbraw/zinc/79/86/58/705798658.db2.gz BEZIQPLFXUPTOJ-UHFFFAOYSA-N -1 1 313.296 1.112 20 0 DDADMM Cc1oc(C(C)(C)C)cc1C(=O)[N-]N1C(=O)[C@H](C)N(C)C1=O ZINC000826831868 705880510 /nfs/dbraw/zinc/88/05/10/705880510.db2.gz LUZIHHPRRUNYKJ-QMMMGPOBSA-N -1 1 307.350 1.813 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]Cc1nc(C2CC2)no1)C(C)C ZINC000827019562 705913752 /nfs/dbraw/zinc/91/37/52/705913752.db2.gz WGTKAHAPSOBUCR-JTQLQIEISA-N -1 1 303.384 1.037 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccc(Cl)nc2)CC[C@H]1C(=O)[O-] ZINC000863532226 705950196 /nfs/dbraw/zinc/95/01/96/705950196.db2.gz KYPMAYDSQUNRCB-MWLCHTKSSA-N -1 1 311.769 1.716 20 0 DDADMM CC[C@H](C[C@@H](C)CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000827473174 706009013 /nfs/dbraw/zinc/00/90/13/706009013.db2.gz OJWARHAPAWHRIQ-MWLCHTKSSA-N -1 1 308.407 1.545 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccco1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000863912364 706036213 /nfs/dbraw/zinc/03/62/13/706036213.db2.gz JWQHUXPEOVKECK-HBNTYKKESA-N -1 1 303.318 1.878 20 0 DDADMM C/C(=C\c1ccco1)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000864160563 706085118 /nfs/dbraw/zinc/08/51/18/706085118.db2.gz YVTHILXMBVHYEC-RSKUSDAESA-N -1 1 306.362 1.690 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(N2CCCCC2)cc1 ZINC000864423515 706164611 /nfs/dbraw/zinc/16/46/11/706164611.db2.gz IEKRMOWAZHKDGS-UHFFFAOYSA-N -1 1 300.366 1.761 20 0 DDADMM O=C(NCC[C@@H]1CCCS1(=O)=O)c1c(F)ccc([O-])c1F ZINC000881900196 707413989 /nfs/dbraw/zinc/41/39/89/707413989.db2.gz OGUSXZOLXIGDSY-QMMMGPOBSA-N -1 1 319.329 1.368 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)NC[C@H]3C[C@H](O)C3)c2)o1 ZINC000828843707 706241963 /nfs/dbraw/zinc/24/19/63/706241963.db2.gz GQTIAZYFIQIBKA-UMSPYCQHSA-N -1 1 315.325 1.965 20 0 DDADMM CCN(Cc1cn(C)nc1C(=O)[O-])Cc1ccc2c(c1)OCO2 ZINC000864777102 706259577 /nfs/dbraw/zinc/25/95/77/706259577.db2.gz GCDGFNRTHRKWMO-UHFFFAOYSA-N -1 1 317.345 1.869 20 0 DDADMM Cc1ccc(N2CCCN(C(=O)CCc3nn[n-]n3)CC2)cc1 ZINC000864949819 706309452 /nfs/dbraw/zinc/30/94/52/706309452.db2.gz PMZPRLQFXQMFMI-UHFFFAOYSA-N -1 1 314.393 1.180 20 0 DDADMM CC(C)(C)c1ccc(C(F)(F)C(=O)[N-]C2=NCC(=O)N2)cc1 ZINC000878337969 706364766 /nfs/dbraw/zinc/36/47/66/706364766.db2.gz KHNCCINKPZVCAB-UHFFFAOYSA-N -1 1 309.316 1.678 20 0 DDADMM CCOc1ncc(C)cc1[N-]S(=O)(=O)C[C@@H]1CCCOC1 ZINC000830028854 706440756 /nfs/dbraw/zinc/44/07/56/706440756.db2.gz SPSLKUGTMSKWIT-GFCCVEGCSA-N -1 1 314.407 1.957 20 0 DDADMM Cc1c(C(=O)Nc2nc(Cl)ccc2[O-])nn2c1OCCC2 ZINC000830184859 706471078 /nfs/dbraw/zinc/47/10/78/706471078.db2.gz QMBPPXUUEUQYRC-UHFFFAOYSA-N -1 1 308.725 1.980 20 0 DDADMM CO[C@H](CC[N-]S(=O)(=O)c1cccnc1F)C(F)(F)F ZINC000882112770 707503688 /nfs/dbraw/zinc/50/36/88/707503688.db2.gz BQTBBPOVCNDQGG-MRVPVSSYSA-N -1 1 316.276 1.466 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCC=CO2)C1 ZINC000830816010 706585097 /nfs/dbraw/zinc/58/50/97/706585097.db2.gz IPDGJADJBQZOOZ-CABZTGNLSA-N -1 1 306.284 1.349 20 0 DDADMM Cn1cc(Br)c(NC([O-])=NO[C@H]2CCCCO2)n1 ZINC000879965991 706836655 /nfs/dbraw/zinc/83/66/55/706836655.db2.gz CXJAZUZSYZTCGI-QMMMGPOBSA-N -1 1 319.159 1.762 20 0 DDADMM Cn1cc(Br)c(NC(=O)[N-]O[C@H]2CCCCO2)n1 ZINC000879965991 706836657 /nfs/dbraw/zinc/83/66/57/706836657.db2.gz CXJAZUZSYZTCGI-QMMMGPOBSA-N -1 1 319.159 1.762 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@@](C)(OC)C1(C)C ZINC000866904544 706840556 /nfs/dbraw/zinc/84/05/56/706840556.db2.gz QIUDPPWLUIADIE-RRAIRTEYSA-N -1 1 312.457 1.142 20 0 DDADMM O=C([O-])[C@]1(NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CCc2ccccc21 ZINC000908979610 712907706 /nfs/dbraw/zinc/90/77/06/712907706.db2.gz UWWKIDQTGLFFIV-QFSBIZTOSA-N -1 1 311.341 1.556 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@]1(C)CCCS1 ZINC000866955089 706855429 /nfs/dbraw/zinc/85/54/29/706855429.db2.gz OMDGUPCZXGYADG-XXFAHNHDSA-N -1 1 300.471 1.224 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2nc[nH]c2Br)CCO1 ZINC000867045156 706881144 /nfs/dbraw/zinc/88/11/44/706881144.db2.gz OGDBICZYDOXMMV-RQJHMYQMSA-N -1 1 324.200 1.018 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CCC[C@@H]1OC ZINC000867058378 706885438 /nfs/dbraw/zinc/88/54/38/706885438.db2.gz QTCCYNDZRRFSBE-MNOVXSKESA-N -1 1 312.457 1.144 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](C)c1nccs1 ZINC000867100053 706896342 /nfs/dbraw/zinc/89/63/42/706896342.db2.gz FZCNNRAZTVBJHX-CQLKUDPESA-N -1 1 311.454 1.199 20 0 DDADMM O=C([O-])CN(C(=O)CCCCc1c[nH]nn1)C1CCCCC1 ZINC000909001875 712913120 /nfs/dbraw/zinc/91/31/20/712913120.db2.gz HUMVGHWEUDXYSX-UHFFFAOYSA-N -1 1 308.382 1.763 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@H]1CCCc2ccc(F)cc21 ZINC000867214025 706927142 /nfs/dbraw/zinc/92/71/42/706927142.db2.gz FTBVLZLOEIWFNT-LBPRGKRZSA-N -1 1 320.411 1.765 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C(=O)c1cc(C(F)F)[nH]n1 ZINC000909009554 712915191 /nfs/dbraw/zinc/91/51/91/712915191.db2.gz PHDIBQAMATZWFY-LURJTMIESA-N -1 1 305.306 1.380 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3CC4CC3(C(=O)[O-])C4)[nH]c2c1 ZINC000909009384 712915222 /nfs/dbraw/zinc/91/52/22/712915222.db2.gz RKCDGBJPDKEINJ-UHFFFAOYSA-N -1 1 314.345 1.630 20 0 DDADMM CC1(C)C[C@@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867378283 706980821 /nfs/dbraw/zinc/98/08/21/706980821.db2.gz ROIRJQIHDBQGLE-VIFPVBQESA-N -1 1 320.361 1.843 20 0 DDADMM C[C@H]1CCC[C@@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)O1 ZINC000867516473 707025379 /nfs/dbraw/zinc/02/53/79/707025379.db2.gz FSTDOOSCBOXDMZ-IUCAKERBSA-N -1 1 306.334 1.596 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)OCC(F)(F)F)c1 ZINC000867569534 707043011 /nfs/dbraw/zinc/04/30/11/707043011.db2.gz NGWLFOJBDHJEMM-UHFFFAOYSA-N -1 1 315.269 1.515 20 0 DDADMM CSc1nc(CNC(=O)C2=C(C)OCCS2)cc(=O)[n-]1 ZINC000880651086 707048574 /nfs/dbraw/zinc/04/85/74/707048574.db2.gz FOKUQSDTPHFJMR-UHFFFAOYSA-N -1 1 313.404 1.515 20 0 DDADMM CCC(=O)N1CC[C@@H](C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000834829106 707132745 /nfs/dbraw/zinc/13/27/45/707132745.db2.gz KXIZLFRADNLIGP-LLVKDONJSA-N -1 1 310.781 1.920 20 0 DDADMM COCCN(C)c1nc([O-])c(CC(=O)Nc2ccccc2)s1 ZINC000871597090 707133338 /nfs/dbraw/zinc/13/33/38/707133338.db2.gz CCPQPRFPYBKWMH-GFCCVEGCSA-N -1 1 321.402 1.591 20 0 DDADMM CCOC(=O)[C@@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000834862759 707142939 /nfs/dbraw/zinc/14/29/39/707142939.db2.gz GUXAPUTXCCPFGX-GFCCVEGCSA-N -1 1 314.341 1.966 20 0 DDADMM CC[C@H](C)[C@@H](COC(=O)c1ccc(S(=O)(=O)[N-]C)o1)OC ZINC000835627640 707298971 /nfs/dbraw/zinc/29/89/71/707298971.db2.gz NYJXJYMFHQCFND-GXSJLCMTSA-N -1 1 319.379 1.406 20 0 DDADMM Cc1cc(C)[nH]c(=N[N-]S(=O)(=O)CCOCC2CCC2)n1 ZINC000872331153 707383965 /nfs/dbraw/zinc/38/39/65/707383965.db2.gz WLNVQVSKYQOJNW-UHFFFAOYSA-N -1 1 314.411 1.156 20 0 DDADMM CSCCO[N-]C(=O)C1CN(C(=O)C2CCCCC2)C1 ZINC000836785329 707515020 /nfs/dbraw/zinc/51/50/20/707515020.db2.gz NYWLQMATJOOVTA-UHFFFAOYSA-N -1 1 300.424 1.436 20 0 DDADMM CC(C)(CO)O[N-]C(=O)CCC(=O)c1ccc2c(c1)OCCO2 ZINC000836801116 707517765 /nfs/dbraw/zinc/51/77/65/707517765.db2.gz PWLJPJOGIOXEKP-UHFFFAOYSA-N -1 1 323.345 1.239 20 0 DDADMM CC1(C)CCC(=O)N1CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000872754263 707585477 /nfs/dbraw/zinc/58/54/77/707585477.db2.gz ZIIVJSKWGANLEA-CYBMUJFWSA-N -1 1 321.343 1.488 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2cncc([O-])c2)n(C)c1C ZINC000882384670 707616717 /nfs/dbraw/zinc/61/67/17/707616717.db2.gz WDJUTMKVDYSQGE-UHFFFAOYSA-N -1 1 303.318 1.151 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1ccns1 ZINC000882561636 707698454 /nfs/dbraw/zinc/69/84/54/707698454.db2.gz IRBFFNJGSQUACK-UHFFFAOYSA-N -1 1 311.454 1.028 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CC1(C)CC(F)(F)C1 ZINC000882571870 707704156 /nfs/dbraw/zinc/70/41/56/707704156.db2.gz GGQTWGDLTCHVTO-QGZVFWFLSA-N -1 1 304.384 1.374 20 0 DDADMM Cc1cc(C(=O)OCc2nc(=O)n(C)[n-]2)c2c(c1)[C@@H](C)CCN2 ZINC000837721335 707725938 /nfs/dbraw/zinc/72/59/38/707725938.db2.gz QPKADIIYFMPLKN-JTQLQIEISA-N -1 1 316.361 1.693 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC2(CCC2)O1)c1c[nH]nc1Cl ZINC000882776969 707790409 /nfs/dbraw/zinc/79/04/09/707790409.db2.gz QUFVJOVQMAYOEG-QMMMGPOBSA-N -1 1 305.787 1.443 20 0 DDADMM O=S(=O)([N-]C1CCC2(COC2)CC1)c1c[nH]nc1Cl ZINC000882822324 707809293 /nfs/dbraw/zinc/80/92/93/707809293.db2.gz DTKGVNNFFNODBG-UHFFFAOYSA-N -1 1 305.787 1.301 20 0 DDADMM O=C(N[C@H]1CC(=O)N(CC2CC2)C1)c1c(F)ccc([O-])c1F ZINC000882929980 707856680 /nfs/dbraw/zinc/85/66/80/707856680.db2.gz VPHATVTZHKDUSZ-VIFPVBQESA-N -1 1 310.300 1.411 20 0 DDADMM CC(C)(C)OC(=O)N1CCCC[C@@H](COC(=O)c2cn[n-]n2)C1 ZINC000909310663 712988160 /nfs/dbraw/zinc/98/81/60/712988160.db2.gz BGHSNCSSQUFCFY-LLVKDONJSA-N -1 1 324.381 1.999 20 0 DDADMM O=C(NC1CCC2(COC2)CC1)c1nc2ccccc2c(=O)[n-]1 ZINC000883157405 707943183 /nfs/dbraw/zinc/94/31/83/707943183.db2.gz XMECEZMDIAOJRN-UHFFFAOYSA-N -1 1 313.357 1.612 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)c1ccccc1F ZINC000909359309 712999881 /nfs/dbraw/zinc/99/98/81/712999881.db2.gz ICMUPSQXIZIDTA-OTYXRUKQSA-N -1 1 317.320 1.596 20 0 DDADMM C/C(=C/C(=O)Nc1ccc([O-])c(F)c1F)CN1CCOCC1 ZINC000909373118 713002700 /nfs/dbraw/zinc/00/27/00/713002700.db2.gz UAJCRZNRSGIKOW-NTMALXAHSA-N -1 1 312.316 1.887 20 0 DDADMM O=C([C@H]1CCOC2(CCC2)C1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000897668087 708412981 /nfs/dbraw/zinc/41/29/81/708412981.db2.gz CYHNUWRYZIHWRJ-LBPRGKRZSA-N -1 1 321.377 1.831 20 0 DDADMM CC1(C)CO[C@H](CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000897669329 708413264 /nfs/dbraw/zinc/41/32/64/708413264.db2.gz QWJYJIXLCTWDIO-LLVKDONJSA-N -1 1 309.366 1.686 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2ccns2)CCCCCC1 ZINC000884909151 708416437 /nfs/dbraw/zinc/41/64/37/708416437.db2.gz RBGXNOXMRJZHHT-UHFFFAOYSA-N -1 1 318.420 1.687 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccc(C(C)=O)cc1 ZINC000912531379 713031383 /nfs/dbraw/zinc/03/13/83/713031383.db2.gz LEKGWDDWHIQTED-UHFFFAOYSA-N -1 1 324.314 1.167 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](C)c1ccccc1 ZINC000912547155 713033955 /nfs/dbraw/zinc/03/39/55/713033955.db2.gz LAGHJCHAQCHWDA-SNVBAGLBSA-N -1 1 323.374 1.278 20 0 DDADMM O=S(=O)([N-][C@H](C1CC1)[C@H]1CCCCO1)c1ccns1 ZINC000885280019 708509993 /nfs/dbraw/zinc/50/99/93/708509993.db2.gz LRMNVUOLGCNWKA-ZYHUDNBSSA-N -1 1 302.421 1.769 20 0 DDADMM CN(C)c1ccnc(CNC(=O)c2s[n-]c(=O)c2Cl)c1 ZINC000927699236 713034396 /nfs/dbraw/zinc/03/43/96/713034396.db2.gz UBNZMWKDOPDDNA-UHFFFAOYSA-N -1 1 312.782 1.893 20 0 DDADMM O=S(=O)([N-][C@H](C1CCC1)[C@H]1CCOC1)c1ccns1 ZINC000885340155 708522675 /nfs/dbraw/zinc/52/26/75/708522675.db2.gz OHWBEXUJVKJHGO-CMPLNLGQSA-N -1 1 302.421 1.627 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1C[C@H]1C1CCC1 ZINC000912556861 713036200 /nfs/dbraw/zinc/03/62/00/713036200.db2.gz PPPLLYHAEKOTNG-GXSJLCMTSA-N -1 1 313.379 1.053 20 0 DDADMM O=S(=O)([N-]C(CF)CF)c1ccc(C(F)(F)F)cn1 ZINC000885413448 708539160 /nfs/dbraw/zinc/53/91/60/708539160.db2.gz AUQTWBMESPPMDN-UHFFFAOYSA-N -1 1 304.240 1.686 20 0 DDADMM Cn1cnnc1COCC(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885677881 708595722 /nfs/dbraw/zinc/59/57/22/708595722.db2.gz BYUCDOYGSRCITK-UHFFFAOYSA-N -1 1 314.704 1.469 20 0 DDADMM CN1C[C@H](O)C[C@@]1(C)C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885679530 708595973 /nfs/dbraw/zinc/59/59/73/708595973.db2.gz BTBJOBFFHGZAOY-UHLUBPPHSA-N -1 1 302.733 1.578 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCO[C@H]1CCOC1 ZINC000885729706 708610241 /nfs/dbraw/zinc/61/02/41/708610241.db2.gz REBZLIIGZCAAFQ-NSHDSACASA-N -1 1 309.366 1.149 20 0 DDADMM CSc1nc(CNC(=O)Cn2ccnc2C(C)C)cc(=O)[n-]1 ZINC000898527020 708668412 /nfs/dbraw/zinc/66/84/12/708668412.db2.gz XKVQFYYICZZFLL-UHFFFAOYSA-N -1 1 321.406 1.540 20 0 DDADMM CN(C(=O)NCCc1c(F)cc([O-])cc1F)[C@@H]1CCOC1 ZINC000927782039 713054663 /nfs/dbraw/zinc/05/46/63/713054663.db2.gz XNKRNXXHMLSCEH-SECBINFHSA-N -1 1 300.305 1.643 20 0 DDADMM O=C([O-])c1cccc(-n2[nH]c3c(c2=O)CC2(CC3)OCCO2)c1 ZINC000887287026 709007953 /nfs/dbraw/zinc/00/79/53/709007953.db2.gz JIUVAVAUDFQPJL-LBPRGKRZSA-N -1 1 316.313 1.631 20 0 DDADMM N[C@H](Cc1cc2ccccc2o1)C(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000887395722 709035538 /nfs/dbraw/zinc/03/55/38/709035538.db2.gz TUTMCJVGDHQDAD-TZMCWYRMSA-N -1 1 316.357 1.626 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(C(=O)[C@@H](N)Cc2cc3ccccc3o2)C1 ZINC000887396483 709035788 /nfs/dbraw/zinc/03/57/88/709035788.db2.gz BJNWIKVKEUYEIQ-GUYCJALGSA-N -1 1 316.357 1.626 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000899675550 709144547 /nfs/dbraw/zinc/14/45/47/709144547.db2.gz HAXBJNANZBETSP-QMTHXVAHSA-N -1 1 315.325 1.790 20 0 DDADMM CN=[S@](C)(=O)CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000912784818 713091878 /nfs/dbraw/zinc/09/18/78/713091878.db2.gz MWPMKWTXDQGEFF-OAQYLSRUSA-N -1 1 307.375 1.398 20 0 DDADMM Cc1nn(C)c(C)c1CN[C@@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000900072017 709281346 /nfs/dbraw/zinc/28/13/46/709281346.db2.gz WGEBNQCXXFTMKF-GFCCVEGCSA-N -1 1 303.366 1.556 20 0 DDADMM C[C@]1(NC(=O)c2ccc(C(F)(F)F)cc2[O-])CCNC1=O ZINC000889034753 709437299 /nfs/dbraw/zinc/43/72/99/709437299.db2.gz XNZDCXGJEYLZFR-LBPRGKRZSA-N -1 1 302.252 1.419 20 0 DDADMM CN(CC(=O)Nc1ccc(OCC(=O)[O-])cc1)[C@H]1CCSC1 ZINC000909493731 709488155 /nfs/dbraw/zinc/48/81/55/709488155.db2.gz VELPCGIMYWBKFL-LBPRGKRZSA-N -1 1 324.402 1.526 20 0 DDADMM CSCC[C@H](NC(=O)C1(OC(C)C)CCC1)c1nn[n-]n1 ZINC000912858146 713109033 /nfs/dbraw/zinc/10/90/33/713109033.db2.gz DVCVNXBOBCSJOC-JTQLQIEISA-N -1 1 313.427 1.458 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1COc2ccccc21)c1nn[n-]n1 ZINC000912859960 713109623 /nfs/dbraw/zinc/10/96/23/713109623.db2.gz FPNUHQRLXNJOAX-QWRGUYRKSA-N -1 1 319.390 1.286 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc2[nH]ccc2c1)c1nn[n-]n1 ZINC000912860347 713110093 /nfs/dbraw/zinc/11/00/93/713110093.db2.gz MRFUXJLUPMKXMM-LBPRGKRZSA-N -1 1 316.390 1.905 20 0 DDADMM CCCN(CC(=O)NC)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900312488 709535056 /nfs/dbraw/zinc/53/50/56/709535056.db2.gz LGFMPKPYNWGNHI-UHFFFAOYSA-N -1 1 319.336 1.678 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1C[C@H]1C1CCCC1)c1nn[n-]n1 ZINC000912863315 713111451 /nfs/dbraw/zinc/11/14/51/713111451.db2.gz SWRYCJNJAWKBGP-TUAOUCFPSA-N -1 1 309.439 1.936 20 0 DDADMM COC[C@H](C)[C@@H](C)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912863458 713111704 /nfs/dbraw/zinc/11/17/04/713111704.db2.gz YUSKCLTWSBGGGB-AEJSXWLSSA-N -1 1 301.416 1.029 20 0 DDADMM CC(C)[C@@](C)(CC(=O)[O-])NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000909689370 709578987 /nfs/dbraw/zinc/57/89/87/709578987.db2.gz UAXATEWMXNRXSG-MRXNPFEDSA-N -1 1 307.394 1.837 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(C(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC000909715295 709593562 /nfs/dbraw/zinc/59/35/62/709593562.db2.gz ZYTFYYNVFXHCRQ-MRXNPFEDSA-N -1 1 323.393 1.795 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccc(C)cn2)[n-]c1=O ZINC000889787248 709635300 /nfs/dbraw/zinc/63/53/00/709635300.db2.gz RRSHXHOKJZZXOZ-LBPRGKRZSA-N -1 1 314.345 1.872 20 0 DDADMM COC[C@H](C)[C@@H](C)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786665 709635725 /nfs/dbraw/zinc/63/57/25/709635725.db2.gz NEDFFAWKHQCZMD-TUAOUCFPSA-N -1 1 323.393 1.773 20 0 DDADMM CCCCC[C@H](O)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889787812 709635952 /nfs/dbraw/zinc/63/59/52/709635952.db2.gz PZGKWKZKIKAFMW-NEPJUHHUSA-N -1 1 323.393 1.796 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H]2CCC=CO2)[n-]c1=O ZINC000889789618 709636580 /nfs/dbraw/zinc/63/65/80/709636580.db2.gz HXRMYDRRZPJGIC-MNOVXSKESA-N -1 1 305.334 1.547 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H](C)OCC2CC2)[n-]c1=O ZINC000889793878 709638809 /nfs/dbraw/zinc/63/88/09/709638809.db2.gz DMVWZBSHGGILBG-ZYHUDNBSSA-N -1 1 321.377 1.669 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccncc2)[n-]c1=O ZINC000889794840 709639488 /nfs/dbraw/zinc/63/94/88/709639488.db2.gz BRIDZOUEPOSQIQ-NSHDSACASA-N -1 1 300.318 1.563 20 0 DDADMM C[C@@H](NC(=O)C1(N(C)C)CC1)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909825971 709642490 /nfs/dbraw/zinc/64/24/90/709642490.db2.gz YRWPYTPJIAWTIR-OCCSQVGLSA-N -1 1 304.390 1.529 20 0 DDADMM CC1(C)C(=O)NCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900557744 709650457 /nfs/dbraw/zinc/65/04/57/709650457.db2.gz RDGYCNUOJHVMBA-UHFFFAOYSA-N -1 1 317.320 1.430 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCC2CCC(F)CC2)C1 ZINC000909872107 709663187 /nfs/dbraw/zinc/66/31/87/709663187.db2.gz VHXONNYOCUZMRY-OTTFEQOBSA-N -1 1 300.374 1.428 20 0 DDADMM C[C@H]1CC(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)C[C@H](C)C1 ZINC000909945277 709700623 /nfs/dbraw/zinc/70/06/23/709700623.db2.gz ZBNZUDHATLUBKT-NFAWXSAZSA-N -1 1 310.438 1.972 20 0 DDADMM CCC[C@@H](C(=O)[O-])n1ccc(NC(=O)C2(N(C)C)CCC2)n1 ZINC000909952043 709705325 /nfs/dbraw/zinc/70/53/25/709705325.db2.gz CXDHIHGFFJYUSF-NSHDSACASA-N -1 1 308.382 1.732 20 0 DDADMM O=C(Cc1n[nH]c2c1CCCC2)N[C@H](C(=O)[O-])C1CCCCC1 ZINC000910090909 709767963 /nfs/dbraw/zinc/76/79/63/709767963.db2.gz OPLMAECXGCPDJW-INIZCTEOSA-N -1 1 319.405 1.981 20 0 DDADMM CCN(CC)[C@@H](C(=O)N1CC([C@H](F)C(=O)[O-])C1)c1ccccc1 ZINC000910128684 709783103 /nfs/dbraw/zinc/78/31/03/709783103.db2.gz KCUAVSSDCAKIFH-LSDHHAIUSA-N -1 1 322.380 1.951 20 0 DDADMM CC(C)n1nccc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910181044 709800203 /nfs/dbraw/zinc/80/02/03/709800203.db2.gz RTABOUNPAZHZTK-UHFFFAOYSA-N -1 1 308.382 1.085 20 0 DDADMM CN1CCN(C)CCN(c2ncc(C(=O)[O-])cc2Cl)CC1 ZINC000900976225 709858046 /nfs/dbraw/zinc/85/80/46/709858046.db2.gz CYILXEZBGRVGMZ-UHFFFAOYSA-N -1 1 312.801 1.117 20 0 DDADMM COCCN1CCC[C@H]1CNc1ncc(C(=O)[O-])cc1Cl ZINC000900987203 709864627 /nfs/dbraw/zinc/86/46/27/709864627.db2.gz COVORLCGZFKUSB-NSHDSACASA-N -1 1 313.785 1.956 20 0 DDADMM C[C@]1(C2CCN(C(=O)c3cc(F)ccc3[O-])CC2)COC(=O)N1 ZINC000928158971 713141651 /nfs/dbraw/zinc/14/16/51/713141651.db2.gz AYNPSMXAGQNRGD-MRXNPFEDSA-N -1 1 322.336 1.882 20 0 DDADMM CC1=C(C)C(=O)N(CCC(=O)Nc2c([O-])cccc2F)C1=O ZINC000901220728 709972793 /nfs/dbraw/zinc/97/27/93/709972793.db2.gz BVIUXWFYYWGGRG-UHFFFAOYSA-N -1 1 306.293 1.565 20 0 DDADMM CC(C)[C@H]1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000901315386 710007390 /nfs/dbraw/zinc/00/73/90/710007390.db2.gz SGERSADSLYWARE-DGCLKSJQSA-N -1 1 305.378 1.993 20 0 DDADMM Cn1[nH]c(=O)c2c1CN(C(=O)c1cc(Cl)ccc1[O-])CC2 ZINC000913035515 713149555 /nfs/dbraw/zinc/14/95/55/713149555.db2.gz QEMFUKUAMRNRHQ-UHFFFAOYSA-N -1 1 307.737 1.683 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2nccc3cc[nH]c32)C1 ZINC000910685188 710046613 /nfs/dbraw/zinc/04/66/13/710046613.db2.gz NHYVDQLXPFIGDS-LLVKDONJSA-N -1 1 302.334 1.298 20 0 DDADMM CC(C)C[C@@H](NC(=O)CN(C)CCc1ccccc1)C(=O)[O-] ZINC000901460763 710046640 /nfs/dbraw/zinc/04/66/40/710046640.db2.gz FCQWRFPGGFQYNU-OAHLLOKOSA-N -1 1 306.406 1.776 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)NCc1cccc(C(=O)[O-])c1 ZINC000901485225 710052668 /nfs/dbraw/zinc/05/26/68/710052668.db2.gz GTJANFGUNXEJBJ-UHFFFAOYSA-N -1 1 320.389 1.359 20 0 DDADMM COc1cccc([C@H](C(=O)[O-])N(C)C(=O)c2cnc(C)[nH]2)c1 ZINC000910763502 710068424 /nfs/dbraw/zinc/06/84/24/710068424.db2.gz AQDJTCPDTVVYHL-CYBMUJFWSA-N -1 1 303.318 1.625 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N(CC(=O)[O-])C2CCOCC2)C1 ZINC000901573888 710079938 /nfs/dbraw/zinc/07/99/38/710079938.db2.gz UOFAEJQBIKMPEZ-MFKMUULPSA-N -1 1 321.377 1.168 20 0 DDADMM CCCc1ccccc1S(=O)(=O)NN=c1nc(OC)cc[n-]1 ZINC000901599183 710089750 /nfs/dbraw/zinc/08/97/50/710089750.db2.gz MVEIITWTAJGBCP-UHFFFAOYSA-N -1 1 322.390 1.743 20 0 DDADMM C[C@](CCF)(NCc1cn(-c2ccc(F)cc2)nn1)C(=O)[O-] ZINC000901914752 710173128 /nfs/dbraw/zinc/17/31/28/710173128.db2.gz CPOIDMDDSXBZGU-CQSZACIVSA-N -1 1 310.304 1.699 20 0 DDADMM CCN(C)c1ccc(CN2CCSC[C@H]2CC(=O)[O-])cn1 ZINC000901983861 710192456 /nfs/dbraw/zinc/19/24/56/710192456.db2.gz IKPVYZSNCRAGGL-CYBMUJFWSA-N -1 1 309.435 1.930 20 0 DDADMM O=C(COCc1cccnc1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891613054 710248270 /nfs/dbraw/zinc/24/82/70/710248270.db2.gz DOEKUTKSYQKXQI-UHFFFAOYSA-N -1 1 314.345 1.611 20 0 DDADMM CO[C@H](CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)C(F)(F)F ZINC000891613472 710248703 /nfs/dbraw/zinc/24/87/03/710248703.db2.gz HHYFLEXXOQEBJU-SECBINFHSA-N -1 1 319.283 1.967 20 0 DDADMM COCc1nc(N(C)Cc2ncc(C)c(OC)c2C)cc(=O)[n-]1 ZINC000892131361 710377459 /nfs/dbraw/zinc/37/74/59/710377459.db2.gz HJUCVCPWMQPDEX-UHFFFAOYSA-N -1 1 318.377 1.985 20 0 DDADMM CCO[C@@H]1C[C@H](O)C12CCN(c1cc(=O)[n-]c(COC)n1)CC2 ZINC000892659718 710477556 /nfs/dbraw/zinc/47/75/56/710477556.db2.gz VCZDIXQBNWUTLJ-NWDGAFQWSA-N -1 1 323.393 1.085 20 0 DDADMM COc1ccc2nc(C3CC3)cc(C(=O)N(C)c3nn[n-]n3)c2c1 ZINC000892955147 710531838 /nfs/dbraw/zinc/53/18/38/710531838.db2.gz WSZQVASOJHYJNH-UHFFFAOYSA-N -1 1 324.344 1.911 20 0 DDADMM COCc1nc(NCc2ccc3c(c2)C(=O)NCC3)cc(=O)[n-]1 ZINC000893154928 710563642 /nfs/dbraw/zinc/56/36/42/710563642.db2.gz BMABWUMAIKUPNX-UHFFFAOYSA-N -1 1 314.345 1.227 20 0 DDADMM CNC(=O)[C@@H](CO)[N-]c1nc2cc(Br)ccc2o1 ZINC000893183157 710567552 /nfs/dbraw/zinc/56/75/52/710567552.db2.gz OKRRHPJPKTVCLV-MRVPVSSYSA-N -1 1 314.139 1.109 20 0 DDADMM O=C(NC1CC(CO)(CO)C1)c1ccc2ccccc2c1[O-] ZINC000913311669 713196287 /nfs/dbraw/zinc/19/62/87/713196287.db2.gz CJXBQATZXVPNFO-UHFFFAOYSA-N -1 1 301.342 1.409 20 0 DDADMM CN(C)[C@@H](CNC(=O)Cn1cc(C(=O)[O-])cn1)c1cccs1 ZINC000911102668 710634665 /nfs/dbraw/zinc/63/46/65/710634665.db2.gz UHUZOZZFAMVXGJ-NSHDSACASA-N -1 1 322.390 1.062 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)Nc1ccccc1N1CCN(C)CC1 ZINC000911107609 710636912 /nfs/dbraw/zinc/63/69/12/710636912.db2.gz AYNAPWYPLAQKNL-LBPRGKRZSA-N -1 1 305.378 1.488 20 0 DDADMM COCc1nc(N[C@H](CO)Cc2cccc(C)c2)cc(=O)[n-]1 ZINC000893408205 710641947 /nfs/dbraw/zinc/64/19/47/710641947.db2.gz VQULAKAQYFUJKW-ZDUSSCGKSA-N -1 1 303.362 1.653 20 0 DDADMM C[C@H](NCc1ccc(O[C@@H](C)C(=O)[O-])cc1)C(=O)NC(C)(C)C ZINC000902179991 710650913 /nfs/dbraw/zinc/65/09/13/710650913.db2.gz MVWNXIOWOKYGGQ-RYUDHWBXSA-N -1 1 322.405 1.931 20 0 DDADMM O=C([O-])c1occc1CN[C@@H]1CCN(Cc2ccccc2)C1=O ZINC000902195604 710659544 /nfs/dbraw/zinc/65/95/44/710659544.db2.gz IZWIOSASCIAWAG-CQSZACIVSA-N -1 1 314.341 1.869 20 0 DDADMM CN(C)[C@@H](CNC(=O)C12CC(C(=O)[O-])(C1)C2)c1ccc(F)cc1 ZINC000911194578 710678033 /nfs/dbraw/zinc/67/80/33/710678033.db2.gz SLQDUCAIUWPSAT-IGEOTXOUSA-N -1 1 320.364 1.800 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)NCc1ccccc1C(=O)[O-] ZINC000902380058 710735927 /nfs/dbraw/zinc/73/59/27/710735927.db2.gz ZZCDHEPQIMNYQE-UHFFFAOYSA-N -1 1 320.389 1.359 20 0 DDADMM CN(C)c1ccccc1CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911325443 710742585 /nfs/dbraw/zinc/74/25/85/710742585.db2.gz MLUMXRHFENRISV-CQSZACIVSA-N -1 1 319.405 1.165 20 0 DDADMM CCC1(CC)[C@H](NCc2cn(CC(=O)[O-])nn2)[C@H](C)[C@H]1OC ZINC000902429123 710751024 /nfs/dbraw/zinc/75/10/24/710751024.db2.gz SZWJIEGZDNUMQJ-ZLKJLUDKSA-N -1 1 310.398 1.292 20 0 DDADMM CCN1CCN(C(=O)CCc2ccccc2C(=O)[O-])[C@H](C)C1 ZINC000911406952 710783815 /nfs/dbraw/zinc/78/38/15/710783815.db2.gz HFGIGBXEJCAKPU-CYBMUJFWSA-N -1 1 304.390 1.870 20 0 DDADMM C[C@@H]1CN(Cc2c(C(F)(F)F)cnn2C)C[C@H]1CC(=O)[O-] ZINC000902562042 710796704 /nfs/dbraw/zinc/79/67/04/710796704.db2.gz JMGZLTXJYCMQSQ-RKDXNWHRSA-N -1 1 305.300 1.982 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1c[nH]cc1C1CC1 ZINC000913437248 713215076 /nfs/dbraw/zinc/21/50/76/713215076.db2.gz KODZHPCCZXPTMY-CQSZACIVSA-N -1 1 308.345 1.925 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nc(OC)c(C)s2)[n-]1 ZINC000893819222 710841319 /nfs/dbraw/zinc/84/13/19/710841319.db2.gz RPWFXHAPOBSFED-UHFFFAOYSA-N -1 1 310.331 1.932 20 0 DDADMM O=C([O-])c1ccc(C(=O)NC[C@H]2CCCCN2CCO)s1 ZINC000911555343 710851201 /nfs/dbraw/zinc/85/12/01/710851201.db2.gz MYQOILSCZRPJNX-SNVBAGLBSA-N -1 1 312.391 1.023 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)/C=C/c1cc(C(=O)[O-])co1 ZINC000911571171 710859904 /nfs/dbraw/zinc/85/99/04/710859904.db2.gz JOODJJUGTOUOQF-GFUIURDCSA-N -1 1 306.362 1.934 20 0 DDADMM CCCN(C(=O)c1cc([C@@H]2CCCN2C)n[nH]1)[C@@H](C)C(=O)[O-] ZINC000911715029 710945630 /nfs/dbraw/zinc/94/56/30/710945630.db2.gz UFJDMRNQDHDENV-GWCFXTLKSA-N -1 1 308.382 1.502 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)CCS1 ZINC000902974146 710981478 /nfs/dbraw/zinc/98/14/78/710981478.db2.gz XYBFECQRRFZOKU-UWVGGRQHSA-N -1 1 303.387 1.937 20 0 DDADMM O=C(NCCN1CCCC1=O)c1c([O-])cnc2c(F)cccc21 ZINC000911890516 711054287 /nfs/dbraw/zinc/05/42/87/711054287.db2.gz HYSSVECUFCPXPX-UHFFFAOYSA-N -1 1 317.320 1.432 20 0 DDADMM CNC(=O)CC1(CNc2cc(=O)[n-]c(COC)n2)CCCCC1 ZINC000894600759 711204724 /nfs/dbraw/zinc/20/47/24/711204724.db2.gz KSFOZDCWUYAIBN-UHFFFAOYSA-N -1 1 322.409 1.827 20 0 DDADMM CCOc1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)ccc1C ZINC000913494663 713237419 /nfs/dbraw/zinc/23/74/19/713237419.db2.gz IFQQJNRIXYQIOM-LBPRGKRZSA-N -1 1 317.349 1.121 20 0 DDADMM C[C@@H](c1nnnn1-c1ccccc1)N1C[C@@H](C)[C@H](CC(=O)[O-])C1 ZINC000903630912 711230518 /nfs/dbraw/zinc/23/05/18/711230518.db2.gz GNDNWPKVBGKEBF-FRRDWIJNSA-N -1 1 315.377 1.766 20 0 DDADMM CC1(C)CCC(=CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)CC1 ZINC000913495680 713237954 /nfs/dbraw/zinc/23/79/54/713237954.db2.gz NVDRCVSUTFAULK-GFCCVEGCSA-N -1 1 305.382 1.626 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]C1(c2ccccc2F)COC1)OC ZINC000903654164 711236639 /nfs/dbraw/zinc/23/66/39/711236639.db2.gz YOMDAMWAWPQBLO-LLVKDONJSA-N -1 1 317.382 1.396 20 0 DDADMM O=C(c1cccc2c1CCCC2)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496809 713238757 /nfs/dbraw/zinc/23/87/57/713238757.db2.gz DQKWAVZLKDITHD-AWEZNQCLSA-N -1 1 313.361 1.292 20 0 DDADMM O=C([O-])[C@@H]1c2ccccc2OCCN1CCOC[C@@H]1CCCO1 ZINC000903931266 711338576 /nfs/dbraw/zinc/33/85/76/711338576.db2.gz QJRUUDYDCNGJNK-BBRMVZONSA-N -1 1 321.373 1.702 20 0 DDADMM O=C(N[C@@H]1C[C@H](O)c2ccccc21)c1cnc(C2CC2)[n-]c1=O ZINC000912463171 711339466 /nfs/dbraw/zinc/33/94/66/711339466.db2.gz CHCOGADMFYQDHR-KGLIPLIRSA-N -1 1 311.341 1.968 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC=CC23CCCCC3)o1 ZINC000912478790 711346254 /nfs/dbraw/zinc/34/62/54/711346254.db2.gz SYSWVIRCGHHWLI-UHFFFAOYSA-N -1 1 324.402 1.903 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2ncc(CC)s2)n1 ZINC000895235622 711460404 /nfs/dbraw/zinc/46/04/04/711460404.db2.gz ZBQCWVBLLZBUEP-MRVPVSSYSA-N -1 1 309.395 1.851 20 0 DDADMM COCc1nc(N[C@H](CO)CCc2ccccc2)cc(=O)[n-]1 ZINC000895674112 711574892 /nfs/dbraw/zinc/57/48/92/711574892.db2.gz NAAZENHJXSHTPO-ZDUSSCGKSA-N -1 1 303.362 1.734 20 0 DDADMM C[C@@H](Oc1ccc(F)cc1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742304 713291634 /nfs/dbraw/zinc/29/16/34/713291634.db2.gz UMIYOSOUYZJZNA-SNVBAGLBSA-N -1 1 319.340 1.512 20 0 DDADMM Cc1cccc(OCCC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913742259 713291905 /nfs/dbraw/zinc/29/19/05/713291905.db2.gz RBSSVOXMEKPDMV-UHFFFAOYSA-N -1 1 315.377 1.683 20 0 DDADMM O=C(CCOc1ccccc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913742148 713291908 /nfs/dbraw/zinc/29/19/08/713291908.db2.gz KLBOOMHIHSGBRT-UHFFFAOYSA-N -1 1 319.340 1.514 20 0 DDADMM CC(C)c1cccc(C(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913743885 713292346 /nfs/dbraw/zinc/29/23/46/713292346.db2.gz PTKMIKVRAMICNX-UHFFFAOYSA-N -1 1 300.366 1.738 20 0 DDADMM O=C([C@@H]1C[C@@H]1Cc1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743608 713292435 /nfs/dbraw/zinc/29/24/35/713292435.db2.gz COBJCXUDTADQSB-LSDHHAIUSA-N -1 1 311.389 1.785 20 0 DDADMM C[C@@H]1[C@@H](C(=O)N2CCC(c3nn[n-]n3)CC2)[C@@H]1c1ccccc1 ZINC000913744701 713293403 /nfs/dbraw/zinc/29/34/03/713293403.db2.gz ABWRITXQGGHLFX-TUKIKUTGSA-N -1 1 311.389 1.955 20 0 DDADMM CC(C)Oc1ncccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744916 713293408 /nfs/dbraw/zinc/29/34/08/713293408.db2.gz KCOGWDYRXFWAAV-UHFFFAOYSA-N -1 1 316.365 1.402 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)c2cc(C(=O)[O-])ccc2O)C[C@H]1F ZINC000906921029 712439386 /nfs/dbraw/zinc/43/93/86/712439386.db2.gz UBTFBOHAXOSOKD-PSASIEDQSA-N -1 1 317.338 1.459 20 0 DDADMM CCn1nc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1C1CC1 ZINC000907102939 712487843 /nfs/dbraw/zinc/48/78/43/712487843.db2.gz CNAGMBGSUDEMNW-LLVKDONJSA-N -1 1 315.381 1.313 20 0 DDADMM Nc1cn[nH]c1[C@@H]1CCN(C(=O)c2cc(F)c([O-])c(F)c2)C1 ZINC000907411045 712563973 /nfs/dbraw/zinc/56/39/73/712563973.db2.gz IZPDNBYWGKGELQ-SSDOTTSWSA-N -1 1 308.288 1.605 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479453 712583341 /nfs/dbraw/zinc/58/33/41/712583341.db2.gz DXJGALNHFATIPY-ZJNQMXKESA-N -1 1 316.390 1.315 20 0 DDADMM CCOC(=O)[C@H]1CCCN(CC(=O)[N-]OC2CCCCC2)C1 ZINC000907544493 712592395 /nfs/dbraw/zinc/59/23/95/712592395.db2.gz SALMDGWPVZZRMR-ZDUSSCGKSA-N -1 1 312.410 1.642 20 0 DDADMM CCc1cc(CNC(=O)[C@]2(C(=O)[O-])C[C@@H]2c2ccccc2)n[nH]1 ZINC000907566989 712596084 /nfs/dbraw/zinc/59/60/84/712596084.db2.gz BJCAPXBTPMHRAO-PBHICJAKSA-N -1 1 313.357 1.847 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-]Cc1ocnc1C ZINC000907597686 712600559 /nfs/dbraw/zinc/60/05/59/712600559.db2.gz UPWXOEKAYAEXMO-NSHDSACASA-N -1 1 304.368 1.134 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(N)=O ZINC000907702097 712615900 /nfs/dbraw/zinc/61/59/00/712615900.db2.gz IADPQYULLRJHJH-GFCCVEGCSA-N -1 1 306.334 1.206 20 0 DDADMM CN1CCN(C(=O)[C@]2(C(=O)[O-])C[C@H]2c2ccccc2)CC1(C)C ZINC000907847913 712635282 /nfs/dbraw/zinc/63/52/82/712635282.db2.gz QLLKIROTAYBAQJ-KSSFIOAISA-N -1 1 316.401 1.798 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@H](CC(=O)[O-])Cc1ccccc1 ZINC000908242460 712718430 /nfs/dbraw/zinc/71/84/30/712718430.db2.gz CCAYESDPAAPATB-GJZGRUSLSA-N -1 1 304.390 1.673 20 0 DDADMM O=C([O-])[C@@H](NC(=O)Cc1n[nH]c2c1CCCC2)c1ccccc1 ZINC000908266225 712724428 /nfs/dbraw/zinc/72/44/28/712724428.db2.gz HKNVSIUXVDMYDX-INIZCTEOSA-N -1 1 313.357 1.773 20 0 DDADMM Cc1ccc(CNC(=O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)c(C)c1 ZINC000908718501 712840524 /nfs/dbraw/zinc/84/05/24/712840524.db2.gz WKLLXOATMOJHEV-HNNXBMFYSA-N -1 1 304.390 1.716 20 0 DDADMM Cc1ccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)c(C)c1 ZINC000908718501 712840526 /nfs/dbraw/zinc/84/05/26/712840526.db2.gz WKLLXOATMOJHEV-HNNXBMFYSA-N -1 1 304.390 1.716 20 0 DDADMM CN(C(=O)NC[C@H](c1ccco1)N1CCCC1)C(C)(C)C(=O)[O-] ZINC000908747816 712847138 /nfs/dbraw/zinc/84/71/38/712847138.db2.gz QPQKYUXICFHITB-GFCCVEGCSA-N -1 1 323.393 1.921 20 0 DDADMM CN(C)[C@H](CNC(=O)NC1(C(=O)[O-])CCC1)c1ccc(F)cc1 ZINC000908816928 712861070 /nfs/dbraw/zinc/86/10/70/712861070.db2.gz DNIRTWNUPUNDOO-CYBMUJFWSA-N -1 1 323.368 1.735 20 0 DDADMM O=C([O-])C12CC(C(=O)NCCc3nc4ccc(F)cc4[nH]3)(C1)C2 ZINC000908836723 712865152 /nfs/dbraw/zinc/86/51/52/712865152.db2.gz TXLXDBPWEVXEEN-UHFFFAOYSA-N -1 1 317.320 1.616 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)Cc1cc(C)no1 ZINC000918055610 713526925 /nfs/dbraw/zinc/52/69/25/713526925.db2.gz KSCMDEXPUKLBFY-GFCCVEGCSA-N -1 1 318.395 1.334 20 0 DDADMM COc1c(F)cc(S(=O)(=O)[N-][C@@H](C)C(F)F)cc1F ZINC000919814073 713636591 /nfs/dbraw/zinc/63/65/91/713636591.db2.gz RHUYKTDILJHROA-YFKPBYRVSA-N -1 1 301.261 1.905 20 0 DDADMM COC1(OC)CC([N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000920085968 713650019 /nfs/dbraw/zinc/65/00/19/713650019.db2.gz ZRATWLCYYFNECQ-UHFFFAOYSA-N -1 1 307.318 1.395 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@H](C(F)(F)F)O1)c1ccns1 ZINC000920926314 713702966 /nfs/dbraw/zinc/70/29/66/713702966.db2.gz XBOCXTGMWWROCU-RNFRBKRXSA-N -1 1 316.326 1.531 20 0 DDADMM COC(=O)/C(C)=C/C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000921221436 713723023 /nfs/dbraw/zinc/72/30/23/713723023.db2.gz QKJOVLZJGZJJPF-VMPITWQZSA-N -1 1 321.757 1.877 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ncc(C)cc2C)o1 ZINC000921631744 713826804 /nfs/dbraw/zinc/82/68/04/713826804.db2.gz XZSNDBKLSYATFQ-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM CC[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H]1CCCOC1 ZINC000922132931 713970713 /nfs/dbraw/zinc/97/07/13/713970713.db2.gz ZVNUUFBFXOEVMC-DGCLKSJQSA-N -1 1 305.378 1.995 20 0 DDADMM CC[C@@H](CNC(=O)NCCc1c(F)cc([O-])cc1F)OC ZINC000922539146 714082695 /nfs/dbraw/zinc/08/26/95/714082695.db2.gz BIYPXTOQBKTSFW-JTQLQIEISA-N -1 1 302.321 1.937 20 0 DDADMM Nc1ccnc2c1CN(CC(=O)[N-]OCc1ccccc1)CC2 ZINC000931514972 714116365 /nfs/dbraw/zinc/11/63/65/714116365.db2.gz JRJGHSJEWWXATP-UHFFFAOYSA-N -1 1 312.373 1.270 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000923071591 714228329 /nfs/dbraw/zinc/22/83/29/714228329.db2.gz XSBDORGVLWLWLH-FZQKWOKYSA-N -1 1 312.316 1.832 20 0 DDADMM O=C(NC[C@H]1C[C@H](O)C1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932060577 714249589 /nfs/dbraw/zinc/24/95/89/714249589.db2.gz HPVBNTCASOMHRL-MJHDQNEOSA-N -1 1 302.334 1.470 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CCC[C@@H]3C[C@@]31C(=O)[O-])C2 ZINC000923150431 714255999 /nfs/dbraw/zinc/25/59/99/714255999.db2.gz HZCJPHKNUZOBCU-UVWXRNBGSA-N -1 1 303.362 1.289 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2cc(F)cc(F)c2[O-])C1 ZINC000932151312 714272013 /nfs/dbraw/zinc/27/20/13/714272013.db2.gz XTNIGDSDVYSDAJ-NSHDSACASA-N -1 1 314.332 1.511 20 0 DDADMM O=C(c1ccccc1C1CCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000932281895 714299867 /nfs/dbraw/zinc/29/98/67/714299867.db2.gz DMPYPSQJSYJOCS-CQSZACIVSA-N -1 1 313.361 1.681 20 0 DDADMM O=C(CC1CC1)N[C@@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943038935 717974281 /nfs/dbraw/zinc/97/42/81/717974281.db2.gz JCMBSUWSIHORNY-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(c1c2c(ccc1F)OCO2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000935037578 714961275 /nfs/dbraw/zinc/96/12/75/714961275.db2.gz XDAJSFWTHPKZEJ-MRVPVSSYSA-N -1 1 319.296 1.087 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N3CC[C@@H](CO)C3)c2)o1 ZINC000935107139 714977575 /nfs/dbraw/zinc/97/75/75/714977575.db2.gz GTGPJLWYCIAZPP-LLVKDONJSA-N -1 1 315.325 1.919 20 0 DDADMM O=C(C[C@@H]1CC[C@@H](C2CC2)O1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000935457393 715060402 /nfs/dbraw/zinc/06/04/02/715060402.db2.gz QBQYYZBGCMAKQG-SRVKXCTJSA-N -1 1 323.422 1.164 20 0 DDADMM O=C(C[C@@H]1CC[C@H](C2CC2)O1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000935795139 715129007 /nfs/dbraw/zinc/12/90/07/715129007.db2.gz VQZLPVQEPSQASZ-FRRDWIJNSA-N -1 1 321.377 1.829 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C=C2CCC2)C1 ZINC000937126475 715280062 /nfs/dbraw/zinc/28/00/62/715280062.db2.gz AENOHZMQWQIYKS-CYBMUJFWSA-N -1 1 315.373 1.570 20 0 DDADMM CC1(CC(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000956104836 715321067 /nfs/dbraw/zinc/32/10/67/715321067.db2.gz CLYPQVHTUJUMAN-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM CC1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CCC1 ZINC000937864782 715619164 /nfs/dbraw/zinc/61/91/64/715619164.db2.gz XGQIRFLHZWOPGC-NSHDSACASA-N -1 1 303.362 1.308 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC000955636768 715887585 /nfs/dbraw/zinc/88/75/85/715887585.db2.gz QWHQPOAYQLYXNU-BELPRIPISA-N -1 1 317.389 1.863 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC000942759157 717858894 /nfs/dbraw/zinc/85/88/94/717858894.db2.gz USDPPJRQXZLVLK-OLZOCXBDSA-N -1 1 319.405 1.896 20 0 DDADMM O=C(NC1CCN(C(=O)C2CCC2)CC1)c1ncccc1[O-] ZINC000943276727 718065637 /nfs/dbraw/zinc/06/56/37/718065637.db2.gz HCEXAUIGPNUAIF-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM CC(=O)N[C@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@@H]1C ZINC000966654779 718617994 /nfs/dbraw/zinc/61/79/94/718617994.db2.gz FUXBDBMZFRTXBJ-CABZTGNLSA-N -1 1 320.393 1.211 20 0 DDADMM C[C@@H]1CN(C(=O)C=C2CCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948312070 719445317 /nfs/dbraw/zinc/44/53/17/719445317.db2.gz UZUKGVLVULAAPV-DGCLKSJQSA-N -1 1 315.373 1.474 20 0 DDADMM CCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1CC ZINC000948389716 719478439 /nfs/dbraw/zinc/47/84/39/719478439.db2.gz KGOUXPYRRNDLON-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(CCC1CC1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949535459 720145386 /nfs/dbraw/zinc/14/53/86/720145386.db2.gz VGNXLDHSAOZUOJ-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CC(=O)N1CC[C@H](C2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000952285955 721394692 /nfs/dbraw/zinc/39/46/92/721394692.db2.gz SYGDZFBHUHNLMM-AWEZNQCLSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@@H]1CN(C(=O)CC2(C)CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000952448461 721451880 /nfs/dbraw/zinc/45/18/80/721451880.db2.gz RRCHQKXUPZAQRJ-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CN(C(=O)CC1CC1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953695216 721643730 /nfs/dbraw/zinc/64/37/30/721643730.db2.gz YLVBWPFBAPMXJE-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1[nH]ccc1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954005920 721690757 /nfs/dbraw/zinc/69/07/57/721690757.db2.gz NFLXXNPLFYGTFI-UHFFFAOYSA-N -1 1 314.345 1.020 20 0 DDADMM CC(C)(C)c1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cn1 ZINC000890796382 732880845 /nfs/dbraw/zinc/88/08/45/732880845.db2.gz SZPOUVTXKKBFEC-UHFFFAOYSA-N -1 1 316.361 1.258 20 0 DDADMM O=C(C=C1CCC1)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021224609 733076511 /nfs/dbraw/zinc/07/65/11/733076511.db2.gz GYXGHRVPUZOEIM-YHWZYXNKSA-N -1 1 317.393 1.232 20 0 DDADMM O=C(NC[C@H]1CC[N@H+]1CC[C@H]1CCCO1)c1ncccc1[O-] ZINC001038193346 733194458 /nfs/dbraw/zinc/19/44/58/733194458.db2.gz IDXWDALKEJKVTI-CHWSQXEVSA-N -1 1 305.378 1.160 20 0 DDADMM O=C(NC[C@@H]1CCCN1Cc1nncs1)c1ncccc1[O-] ZINC001027843899 738726915 /nfs/dbraw/zinc/72/69/15/738726915.db2.gz ISRYBFANNJAPNH-JTQLQIEISA-N -1 1 319.390 1.033 20 0 DDADMM CS[C@H]1CC[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1 ZINC000230625065 733579556 /nfs/dbraw/zinc/57/95/56/733579556.db2.gz PMZUVPRBFSDKAE-SFYZADRCSA-N -1 1 309.844 1.636 20 0 DDADMM Cc1oncc1C[N@H+]1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001027844331 738729130 /nfs/dbraw/zinc/72/91/30/738729130.db2.gz QMFJKPPRBBWIAN-CYBMUJFWSA-N -1 1 316.361 1.478 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H](O)C1)c1ccc(Cl)nc1F ZINC000692878326 738735245 /nfs/dbraw/zinc/73/52/45/738735245.db2.gz FEMGZZBDKXEBCF-DTWKUNHWSA-N -1 1 322.789 1.704 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)[C@H](C)O1 ZINC000692878380 738735662 /nfs/dbraw/zinc/73/56/62/738735662.db2.gz PQFNVVDBULQUBV-CSMHCCOUSA-N -1 1 308.762 1.718 20 0 DDADMM C/C(=C/C(=O)OCCc1c(C)nc2nc[n-]n2c1=O)C1CC1 ZINC000588497959 734687124 /nfs/dbraw/zinc/68/71/24/734687124.db2.gz FPUZPKGAGKCRJF-CLFYSBASSA-N -1 1 302.334 1.168 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CCC[C@]2(CC=CCC2)C1 ZINC001121408288 782496190 /nfs/dbraw/zinc/49/61/90/782496190.db2.gz GXUAXZIJPHMTPG-OAHLLOKOSA-N -1 1 314.397 1.246 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCC[C@]2(CC=CCC2)C1 ZINC001121408288 782496194 /nfs/dbraw/zinc/49/61/94/782496194.db2.gz GXUAXZIJPHMTPG-OAHLLOKOSA-N -1 1 314.397 1.246 20 0 DDADMM O=C(c1ccccc1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024852365 736129798 /nfs/dbraw/zinc/12/97/98/736129798.db2.gz VTCSFPIZENIPMU-CYBMUJFWSA-N -1 1 315.377 1.295 20 0 DDADMM Cc1csc(Cl)c1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001149173059 736793997 /nfs/dbraw/zinc/79/39/97/736793997.db2.gz LHCZWTPFBKQQLY-UHFFFAOYSA-N -1 1 315.786 1.053 20 0 DDADMM CCC1(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCCCC1 ZINC001019805882 736926832 /nfs/dbraw/zinc/92/68/32/736926832.db2.gz AMPFQYLXLHKZDP-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM N=C(Nc1ccc2c(c1)CCO2)SCCS(=O)(=O)[O-] ZINC001168089986 741400477 /nfs/dbraw/zinc/40/04/77/741400477.db2.gz BBFFUKSEAPPWMJ-UHFFFAOYSA-N -1 1 302.377 1.589 20 0 DDADMM CN(C)Cc1cccc(NC(=N)SCCS(=O)(=O)[O-])n1 ZINC001168089987 741400633 /nfs/dbraw/zinc/40/06/33/741400633.db2.gz DJEMVQUEJYSWJP-UHFFFAOYSA-N -1 1 318.424 1.111 20 0 DDADMM O=C(CC1CC1)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059006905 739600863 /nfs/dbraw/zinc/60/08/63/739600863.db2.gz MMNXYGSISFWHBE-ZDUSSCGKSA-N -1 1 317.389 1.556 20 0 DDADMM CN(CCN(C)c1cccc(F)n1)C(=O)c1ncccc1[O-] ZINC001105376281 739808914 /nfs/dbraw/zinc/80/89/14/739808914.db2.gz OKJOYHXSIGMMJP-UHFFFAOYSA-N -1 1 304.325 1.530 20 0 DDADMM CC[C@H](F)CN1C[C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC001075692262 739931272 /nfs/dbraw/zinc/93/12/72/739931272.db2.gz QTGQCAHGZHCPGE-SDDRHHMPSA-N -1 1 310.373 1.318 20 0 DDADMM CS(=O)(=O)c1cc(C(=O)[N-]c2nc3ccc(F)cn3n2)co1 ZINC001126506738 740483369 /nfs/dbraw/zinc/48/33/69/740483369.db2.gz WEHVOQMRZFRORQ-UHFFFAOYSA-N -1 1 324.293 1.117 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1Cc1ccon1 ZINC001087552212 740639193 /nfs/dbraw/zinc/63/91/93/740639193.db2.gz SPCIAJSDEOCVTB-QWHCGFSZSA-N -1 1 316.361 1.558 20 0 DDADMM CC(C)CC(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514813 741088762 /nfs/dbraw/zinc/08/87/62/741088762.db2.gz KMQIUJLXTZBHAN-CYBMUJFWSA-N -1 1 319.405 1.802 20 0 DDADMM CC[C@H](F)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088360949 741436804 /nfs/dbraw/zinc/43/68/04/741436804.db2.gz LYPBIOHNWNASRN-QWRGUYRKSA-N -1 1 313.377 1.119 20 0 DDADMM CC(=O)N1CCC(C2(NC(=O)c3ncccc3[O-])CC2)CC1 ZINC001017154822 751728710 /nfs/dbraw/zinc/72/87/10/751728710.db2.gz YJTXSQRZPKJZDD-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM NC1(c2nc(-c3ccc4[n-]c(=S)sc4c3)no2)CNC1 ZINC001212126229 742977667 /nfs/dbraw/zinc/97/76/67/742977667.db2.gz CPFKAVGIPUSYRL-UHFFFAOYSA-N -1 1 305.388 1.392 20 0 DDADMM COC(=O)/C=C/[C@@H](C)NC(=O)c1c(C)[n-]c(=O)nc1SC ZINC001181326041 743157406 /nfs/dbraw/zinc/15/74/06/743157406.db2.gz FPUDTGMETCCLCK-WEWAHIQMSA-N -1 1 311.363 1.060 20 0 DDADMM CSc1nc(NC(=O)C2(c3ccccc3)COC2)cc(=O)[n-]1 ZINC001181554957 743241346 /nfs/dbraw/zinc/24/13/46/743241346.db2.gz ISWMYFDJEFJFNA-UHFFFAOYSA-N -1 1 317.370 1.811 20 0 DDADMM Cc1c(F)cccc1CC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001181710314 743304884 /nfs/dbraw/zinc/30/48/84/743304884.db2.gz KVVPDUMLJKJLHV-UHFFFAOYSA-N -1 1 317.280 1.344 20 0 DDADMM O=C(Cc1ccc(Cl)cc1C(F)(F)F)NCc1nn[n-]n1 ZINC001181700590 743317026 /nfs/dbraw/zinc/31/70/26/743317026.db2.gz RJTFLBUZAMYYBL-UHFFFAOYSA-N -1 1 319.674 1.731 20 0 DDADMM C[C@]1(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)CC1(Cl)Cl ZINC001182144765 743498338 /nfs/dbraw/zinc/49/83/38/743498338.db2.gz XREYGSPCEZJYFX-SECBINFHSA-N -1 1 302.121 1.581 20 0 DDADMM Cc1cnc(CN2CC(NC(=O)c3ncccc3[O-])C2)s1 ZINC001030241235 743976885 /nfs/dbraw/zinc/97/68/85/743976885.db2.gz ANSFSFNQBYSDSB-UHFFFAOYSA-N -1 1 304.375 1.166 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1[nH]c(=O)ccc1Cl ZINC001184799080 744103183 /nfs/dbraw/zinc/10/31/83/744103183.db2.gz QYWPMJROIFQRBG-UHFFFAOYSA-N -1 1 307.619 1.256 20 0 DDADMM CCc1coc(C[N-]S(=O)(=O)c2cnc(Cl)nc2)n1 ZINC001184996690 744141536 /nfs/dbraw/zinc/14/15/36/744141536.db2.gz SEQBNBBENIXSHM-UHFFFAOYSA-N -1 1 302.743 1.159 20 0 DDADMM C[C@@H]1C[C@H]1c1ncc(C(=O)NCCCC[P@](=O)([O-])O)cn1 ZINC001185148036 744175959 /nfs/dbraw/zinc/17/59/59/744175959.db2.gz MSFUQIALLLBCNV-MWLCHTKSSA-N -1 1 313.294 1.288 20 0 DDADMM NC(=O)c1cccc([N-]S(=O)(=O)c2ccc3n[nH]cc3c2)c1 ZINC001185944344 744311013 /nfs/dbraw/zinc/31/10/13/744311013.db2.gz VBHVGRCAKYCNFA-UHFFFAOYSA-N -1 1 316.342 1.463 20 0 DDADMM CN1C(=O)CC[C@@H]2CN(C(=O)c3ccc([O-])c(F)c3)CC[C@H]21 ZINC001186218549 744365865 /nfs/dbraw/zinc/36/58/65/744365865.db2.gz GQKOJLOWJLMNPW-DGCLKSJQSA-N -1 1 306.337 1.614 20 0 DDADMM CN1C(=O)CC[C@@H]2CN(C(=O)c3ccc([O-])cc3F)CC[C@H]21 ZINC001186326451 744375270 /nfs/dbraw/zinc/37/52/70/744375270.db2.gz DGLRNOLTWMMSNS-QMTHXVAHSA-N -1 1 306.337 1.614 20 0 DDADMM COC(=O)c1ncc(=O)[nH]c1NC(=O)c1ccc([O-])cc1F ZINC001186339679 744389156 /nfs/dbraw/zinc/38/91/56/744389156.db2.gz OEYXZYYOPDYJCA-UHFFFAOYSA-N -1 1 307.237 1.066 20 0 DDADMM O=S(=O)([N-]CCF)c1cc(F)c(F)cc1Br ZINC001186731403 744440390 /nfs/dbraw/zinc/44/03/90/744440390.db2.gz QOOHWAAWYNFWFO-UHFFFAOYSA-N -1 1 318.114 1.975 20 0 DDADMM CCOC(CCNC(=O)c1n[n-]nc1C(F)(F)F)OCC ZINC001187771111 744599445 /nfs/dbraw/zinc/59/94/45/744599445.db2.gz VSOUIWZCNVFPAR-UHFFFAOYSA-N -1 1 310.276 1.343 20 0 DDADMM CC(=O)c1nn(C)cc1NC(=O)c1n[n-]nc1C(F)(F)F ZINC001187759125 744606096 /nfs/dbraw/zinc/60/60/96/744606096.db2.gz UAGOMCLITWPTRL-UHFFFAOYSA-N -1 1 302.216 1.012 20 0 DDADMM Nc1ccc(NC(=O)c2n[n-]nc2C(F)(F)F)c(CCO)c1 ZINC001187766149 744607772 /nfs/dbraw/zinc/60/77/72/744607772.db2.gz IAWUTRVWHTZKMJ-UHFFFAOYSA-N -1 1 315.255 1.193 20 0 DDADMM Cc1ccc(NC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1F ZINC001188001453 744645748 /nfs/dbraw/zinc/64/57/48/744645748.db2.gz FFUNCEIEZXDPMY-UHFFFAOYSA-N -1 1 318.268 1.807 20 0 DDADMM COc1cc2c(cc1[N-]S(=O)(=O)C1CCC1)N(C(C)=O)CC2 ZINC001188137816 744664305 /nfs/dbraw/zinc/66/43/05/744664305.db2.gz KDRNQTABOSVOFT-UHFFFAOYSA-N -1 1 324.402 1.898 20 0 DDADMM CSc1nc(NC(=O)c2nc(C(F)(F)F)c[nH]2)cc(=O)[n-]1 ZINC001188277198 744685406 /nfs/dbraw/zinc/68/54/06/744685406.db2.gz CUWOYROHJDMJBI-UHFFFAOYSA-N -1 1 319.268 1.898 20 0 DDADMM Cc1ccnc(N[C@H](C)C[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001089272574 744846822 /nfs/dbraw/zinc/84/68/22/744846822.db2.gz PRBMQRKZZIJMCT-VXGBXAGGSA-N -1 1 315.377 1.895 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])Nc1ncccn1 ZINC001089273264 744849123 /nfs/dbraw/zinc/84/91/23/744849123.db2.gz WCLGYERSULWGHE-WDEREUQCSA-N -1 1 301.350 1.586 20 0 DDADMM CSc1nc(NC(=O)c2ccn(C(F)F)n2)cc(=O)[n-]1 ZINC001189446398 744900542 /nfs/dbraw/zinc/90/05/42/744900542.db2.gz RULXKDBTJHUCMM-UHFFFAOYSA-N -1 1 301.278 1.748 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2nc(N)cnc2Cl)c(OC)c1 ZINC001190110363 745117263 /nfs/dbraw/zinc/11/72/63/745117263.db2.gz OFOXWEHDVUQAPG-UHFFFAOYSA-N -1 1 324.724 1.687 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc(-n4cccc4)nc3)c1-2 ZINC001190386270 745196693 /nfs/dbraw/zinc/19/66/93/745196693.db2.gz RFQHZQPIRLQOBI-UHFFFAOYSA-N -1 1 319.328 1.473 20 0 DDADMM Cc1nc(Cl)nc(Cl)c1NS(=O)(=O)c1ncc[n-]1 ZINC001190689445 745297878 /nfs/dbraw/zinc/29/78/78/745297878.db2.gz HKVXIJGRBDLUGH-UHFFFAOYSA-N -1 1 308.150 1.616 20 0 DDADMM CSc1nc(CNC(=O)c2ccc3nccn3c2)cc(=O)[n-]1 ZINC001143613984 751996292 /nfs/dbraw/zinc/99/62/92/751996292.db2.gz YVAATUUICAWIAE-UHFFFAOYSA-N -1 1 315.358 1.482 20 0 DDADMM Cc1cccc2c1[C@@H]([N-]S(=O)(=O)c1ccncc1)C(=O)N2 ZINC001190907068 745381330 /nfs/dbraw/zinc/38/13/30/745381330.db2.gz MRQOZWQSOPAUFQ-CYBMUJFWSA-N -1 1 303.343 1.362 20 0 DDADMM CCCOc1ccccc1C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001190974334 745392702 /nfs/dbraw/zinc/39/27/02/745392702.db2.gz WULLCUULLJJFTL-UHFFFAOYSA-N -1 1 304.306 1.511 20 0 DDADMM Cc1cccc(CNC(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001191012998 745404664 /nfs/dbraw/zinc/40/46/64/745404664.db2.gz JHLDKYKYMOZVOY-UHFFFAOYSA-N -1 1 300.295 1.752 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc(OCOC)cc2)n[nH]1 ZINC001191264032 745458155 /nfs/dbraw/zinc/45/81/55/745458155.db2.gz UNTUVZYOSNSPCY-UHFFFAOYSA-N -1 1 319.317 1.821 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc3c(c2)nnn3C)c(=O)[n-]1 ZINC001191436953 745512218 /nfs/dbraw/zinc/51/22/18/745512218.db2.gz ISPRITYJVZPHAL-UHFFFAOYSA-N -1 1 316.346 1.438 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccccc1C(=O)c1ccc(O)cc1 ZINC001191509487 745529899 /nfs/dbraw/zinc/52/98/99/745529899.db2.gz HPCWNSSOUOWXGL-UHFFFAOYSA-N -1 1 323.312 1.066 20 0 DDADMM CCOC(=O)c1sc([N-]C(=O)c2ncon2)nc1C1CC1 ZINC001192017090 745674568 /nfs/dbraw/zinc/67/45/68/745674568.db2.gz XODMDMIDDAHXPF-UHFFFAOYSA-N -1 1 308.319 1.833 20 0 DDADMM Cc1cc(Cl)ncc1S(=O)(=O)[N-][C@@H](CO)C(F)(F)F ZINC001192384808 745773636 /nfs/dbraw/zinc/77/36/36/745773636.db2.gz DHZFQZQVLSPUHZ-ZETCQYMHSA-N -1 1 318.704 1.245 20 0 DDADMM NC(=O)Cc1cccc(NC(=O)c2cc([O-])cc(F)c2F)c1 ZINC001192670367 745855926 /nfs/dbraw/zinc/85/59/26/745855926.db2.gz IFBOLTVSWRSFRP-UHFFFAOYSA-N -1 1 306.268 1.951 20 0 DDADMM O=C(NCCO[C@@H]1CCCCO1)c1cc([O-])cc(F)c1F ZINC001192685063 745861631 /nfs/dbraw/zinc/86/16/31/745861631.db2.gz XVFAZEXKDICBJC-GFCCVEGCSA-N -1 1 301.289 1.943 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc(C(C)(C)C)cn3)c1-2 ZINC001192782294 745890843 /nfs/dbraw/zinc/89/08/43/745890843.db2.gz IVNDMNLEHJIJCQ-UHFFFAOYSA-N -1 1 311.349 1.375 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cc(C)oc(=O)c1 ZINC001193024062 745962902 /nfs/dbraw/zinc/96/29/02/745962902.db2.gz DITWWVDKIWVGPB-UHFFFAOYSA-N -1 1 303.336 1.032 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccnc(OC)c1F ZINC001193025693 745963623 /nfs/dbraw/zinc/96/36/23/745963623.db2.gz DGCOVJURFAJHBL-UHFFFAOYSA-N -1 1 320.342 1.313 20 0 DDADMM COc1cnc(Cl)c([N-]S(=O)(=O)C[C@@H]2CCCO2)n1 ZINC001193212289 746015430 /nfs/dbraw/zinc/01/54/30/746015430.db2.gz BTMXUZNEHZGLSF-ZETCQYMHSA-N -1 1 307.759 1.059 20 0 DDADMM Cc1noc(-c2ccc([N-]S(=O)(=O)C[C@@H]3CCCO3)cc2)n1 ZINC001193263308 746036020 /nfs/dbraw/zinc/03/60/20/746036020.db2.gz CESULMZRHZLLRA-ZDUSSCGKSA-N -1 1 323.374 1.966 20 0 DDADMM COc1ccnc(C(=O)Nc2cccc3c2NC(=O)NC3)c1[O-] ZINC001193532334 746122135 /nfs/dbraw/zinc/12/21/35/746122135.db2.gz VZLCYIYUVVMPIT-UHFFFAOYSA-N -1 1 314.301 1.683 20 0 DDADMM CCc1cc2ncc(NC(=O)c3nccc(OC)c3[O-])cn2n1 ZINC001193524851 746132974 /nfs/dbraw/zinc/13/29/74/746132974.db2.gz NGZDBZXFUMOABQ-UHFFFAOYSA-N -1 1 313.317 1.653 20 0 DDADMM COc1ccnc(C(=O)Nc2ccnc(NC(C)=O)c2)c1[O-] ZINC001193524836 746133472 /nfs/dbraw/zinc/13/34/72/746133472.db2.gz MKOMWIDHUGMLAH-UHFFFAOYSA-N -1 1 302.290 1.402 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccnc(C(F)F)c1 ZINC001193662800 746169732 /nfs/dbraw/zinc/16/97/32/746169732.db2.gz QIKQHJAFBVXYIW-UHFFFAOYSA-N -1 1 306.232 1.643 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cccc(Cl)c2CO)[n-]n1 ZINC001194288686 746343312 /nfs/dbraw/zinc/34/33/12/746343312.db2.gz KGVKVDGWOWUKFD-UHFFFAOYSA-N -1 1 309.709 1.594 20 0 DDADMM CC[C@H](F)C(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000993927908 746377369 /nfs/dbraw/zinc/37/73/69/746377369.db2.gz YQQPJPQZWXAOKB-UTUOFQBUSA-N -1 1 323.368 1.360 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC3(C2)CN(c2ccccc2)C3=O)n1 ZINC001195324107 746565488 /nfs/dbraw/zinc/56/54/88/746565488.db2.gz MXMYWKOKHJWUDW-UHFFFAOYSA-N -1 1 323.352 1.585 20 0 DDADMM O=C(CNC(=O)c1cc([O-])cnc1Cl)Cc1ccccc1 ZINC001195313002 746574311 /nfs/dbraw/zinc/57/43/11/746574311.db2.gz NWHBPWMRDNLCOG-UHFFFAOYSA-N -1 1 304.733 1.982 20 0 DDADMM CCOC(=O)C1=C(NC(=O)c2nc(C)ccc2[O-])[C@H](C)OC1 ZINC001195329689 746577077 /nfs/dbraw/zinc/57/70/77/746577077.db2.gz GUCACGHDPKZXDG-VIFPVBQESA-N -1 1 306.318 1.061 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)CCN3C)cn1 ZINC001195731575 746678617 /nfs/dbraw/zinc/67/86/17/746678617.db2.gz IUATXEIBSMKSDJ-UHFFFAOYSA-N -1 1 319.386 1.883 20 0 DDADMM CC(=O)Oc1ccc(NC(=O)c2c[nH]c(=S)[n-]c2=O)cc1 ZINC001196019724 746758592 /nfs/dbraw/zinc/75/85/92/746758592.db2.gz AIMMNSWJFXKQID-UHFFFAOYSA-N -1 1 305.315 1.649 20 0 DDADMM CC(C)[C@@H](NC(=O)c1c[nH]c(=S)[n-]c1=O)c1cccc(N)n1 ZINC001196026913 746765802 /nfs/dbraw/zinc/76/58/02/746765802.db2.gz MDWOXDXKTAVOQZ-LLVKDONJSA-N -1 1 319.390 1.575 20 0 DDADMM Cc1cccc([C@@H](CO)NC(=O)c2c[nH]c(=S)[n-]c2=O)c1 ZINC001196027013 746765846 /nfs/dbraw/zinc/76/58/46/746765846.db2.gz QCUJVXNGJGWBTL-LLVKDONJSA-N -1 1 305.359 1.243 20 0 DDADMM CCC(=O)NC[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC001060715800 746878587 /nfs/dbraw/zinc/87/85/87/746878587.db2.gz KTEWTNFLJBBXFE-HAQNSBGRSA-N -1 1 305.378 1.602 20 0 DDADMM COc1cc(O)ccc1C(=O)Nc1cc(=O)[n-]c(SC)n1 ZINC001197154605 747088111 /nfs/dbraw/zinc/08/81/11/747088111.db2.gz TWNVXXLWYCTLER-UHFFFAOYSA-N -1 1 307.331 1.871 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccccn1)c1ccccc1 ZINC001197849132 747279631 /nfs/dbraw/zinc/27/96/31/747279631.db2.gz UKAVCNHYDRTLSV-AWEZNQCLSA-N -1 1 320.370 1.415 20 0 DDADMM O=C(NC[C@@H]1CCCN1c1nccnc1F)c1ncccc1[O-] ZINC001061343507 747676592 /nfs/dbraw/zinc/67/65/92/747676592.db2.gz KKSGJSYRVNCXKW-JTQLQIEISA-N -1 1 317.324 1.115 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cncc(OC(F)F)c3)c1-2 ZINC001199296933 747779667 /nfs/dbraw/zinc/77/96/67/747779667.db2.gz VYQFLXCNFHTYOV-UHFFFAOYSA-N -1 1 320.259 1.284 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(F)F ZINC001004303209 748276326 /nfs/dbraw/zinc/27/63/26/748276326.db2.gz MIMCTRVIPJSOCA-RKDXNWHRSA-N -1 1 313.304 1.019 20 0 DDADMM CNC(=O)C[N-]S(=O)(=O)c1cc(Cl)c(Cl)cc1F ZINC001201275908 748464992 /nfs/dbraw/zinc/46/49/92/748464992.db2.gz FQEVJFZLTPGVMR-UHFFFAOYSA-N -1 1 315.153 1.157 20 0 DDADMM COC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2sc(Cl)nc2C)C1 ZINC001201767231 748598537 /nfs/dbraw/zinc/59/85/37/748598537.db2.gz SYRFBXLZIIDRFM-KNVOCYPGSA-N -1 1 324.811 1.335 20 0 DDADMM COC(=O)[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1sc(Cl)nc1C ZINC001201770986 748600004 /nfs/dbraw/zinc/60/00/04/748600004.db2.gz YQUUERQPXIWZFZ-NKWVEPMBSA-N -1 1 324.811 1.192 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)CC(C)(C)C ZINC001004747910 748714158 /nfs/dbraw/zinc/71/41/58/748714158.db2.gz UUWPGLLPLJRKER-RYUDHWBXSA-N -1 1 323.441 1.806 20 0 DDADMM C[C@@H]1CCN(C(=O)C(C)(C)F)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004753081 748731962 /nfs/dbraw/zinc/73/19/62/748731962.db2.gz RWJSLLUPLZVGOE-MNOVXSKESA-N -1 1 323.368 1.502 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001004755688 748739022 /nfs/dbraw/zinc/73/90/22/748739022.db2.gz XCVLRUOXLGMGNT-OLZOCXBDSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@@H]1CCN(C(=O)C(F)F)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004766539 748764530 /nfs/dbraw/zinc/76/45/30/748764530.db2.gz HRGRKZDZVAXTQL-BDAKNGLRSA-N -1 1 313.304 1.019 20 0 DDADMM Cc1ccnc(N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001061586278 748889319 /nfs/dbraw/zinc/88/93/19/748889319.db2.gz QHOWROAPYIDRDK-GFCCVEGCSA-N -1 1 313.361 1.142 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)CC1CC1 ZINC001066602627 748980085 /nfs/dbraw/zinc/98/00/85/748980085.db2.gz MDNGTUAHMJODLW-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(F)cc(Cl)c1N)c1nn[n-]n1 ZINC001137206369 749509382 /nfs/dbraw/zinc/50/93/82/749509382.db2.gz PMBBTBZWAFKRCB-SECBINFHSA-N -1 1 312.736 1.846 20 0 DDADMM Cn1nc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)cc1C1CC1 ZINC000885899513 749550171 /nfs/dbraw/zinc/55/01/71/749550171.db2.gz TWOQXNWBCHWNJR-UHFFFAOYSA-N -1 1 313.361 1.601 20 0 DDADMM CC(C)(C)C(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107617103 750248666 /nfs/dbraw/zinc/24/86/66/750248666.db2.gz VNDAKCIQAPGDAU-BDJLRTHQSA-N -1 1 321.425 1.417 20 0 DDADMM CC[C@]1(C)C[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998866692 752311180 /nfs/dbraw/zinc/31/11/80/752311180.db2.gz TYUCXJCJWFHBSX-MEDUHNTESA-N -1 1 303.362 1.164 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@]12C[C@H]1CCCC2 ZINC000999265798 752755459 /nfs/dbraw/zinc/75/54/59/752755459.db2.gz KIHDJNQPJOTBCP-PIGZYNQJSA-N -1 1 315.373 1.308 20 0 DDADMM CC[C@H](C)C(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062149075 752793139 /nfs/dbraw/zinc/79/31/39/752793139.db2.gz CSWQXRZTGYDIKC-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC000999507185 752957479 /nfs/dbraw/zinc/95/74/79/752957479.db2.gz DFDXTMQNIXQUEE-MNOVXSKESA-N -1 1 309.414 1.273 20 0 DDADMM O=C(CCC1CC1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036670681 753196243 /nfs/dbraw/zinc/19/62/43/753196243.db2.gz YQFFBKZMBBUHGQ-QWHCGFSZSA-N -1 1 319.409 1.123 20 0 DDADMM CC/C=C(\C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062654150 753272482 /nfs/dbraw/zinc/27/24/82/753272482.db2.gz YGDYYVDXBOZNNC-WUXMJOGZSA-N -1 1 317.389 1.912 20 0 DDADMM CC(C)[C@@H](F)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001077887181 753339103 /nfs/dbraw/zinc/33/91/03/753339103.db2.gz WAOOAWNBKVCOAR-CMPLNLGQSA-N -1 1 311.357 1.406 20 0 DDADMM CC[C@@H](C)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005950360 753410111 /nfs/dbraw/zinc/41/01/11/753410111.db2.gz JNJUOZGJUBCABQ-MNOVXSKESA-N -1 1 305.378 1.410 20 0 DDADMM CS[C@@H](C)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005950798 753410676 /nfs/dbraw/zinc/41/06/76/753410676.db2.gz JVDZETASTMUIRO-ZJUUUORDSA-N -1 1 323.418 1.115 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2CC(C)C2)C1 ZINC001005951620 753412063 /nfs/dbraw/zinc/41/20/63/753412063.db2.gz OMOXDRIDCFGQCA-CXQJBGSLSA-N -1 1 317.389 1.410 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@H]2CC=CCC2)CC1 ZINC001010294942 753742727 /nfs/dbraw/zinc/74/27/27/753742727.db2.gz ZCACMGOWRNHZBK-ZDUSSCGKSA-N -1 1 315.373 1.428 20 0 DDADMM CC1(CC(=O)NC2(CNC(=O)c3ncccc3[O-])CCC2)CC1 ZINC001062840086 753761094 /nfs/dbraw/zinc/76/10/94/753761094.db2.gz QHXRPOLQIASBBJ-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM CC[C@H](C)CC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062857243 753772486 /nfs/dbraw/zinc/77/24/86/753772486.db2.gz MCBHZVLGQRMRAG-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM O=C(N[C@H]1CCN(C/C(Cl)=C\Cl)C1)c1ncccc1[O-] ZINC001010733674 754131108 /nfs/dbraw/zinc/13/11/08/754131108.db2.gz QZUDJIXISUGBAY-ZKXNXJMVSA-N -1 1 316.188 1.910 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ccc[nH]1 ZINC001012279641 754971139 /nfs/dbraw/zinc/97/11/39/754971139.db2.gz JEYKZKXGSUJFJU-GHMZBOCLSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C2(C)CC2)CN1C(=O)c1ncccc1[O-] ZINC001012505225 755112768 /nfs/dbraw/zinc/11/27/68/755112768.db2.gz IYLYTWBUORZXKH-MNOVXSKESA-N -1 1 303.362 1.307 20 0 DDADMM C[C@H]1[C@@H](Nc2cc(F)ncn2)CCN1C(=O)c1ncccc1[O-] ZINC001040107156 762387931 /nfs/dbraw/zinc/38/79/31/762387931.db2.gz UFVPGEQPZMETRB-UWVGGRQHSA-N -1 1 317.324 1.431 20 0 DDADMM C[C@H](C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001082595063 756864236 /nfs/dbraw/zinc/86/42/36/756864236.db2.gz UTBDRMAEBKCVHE-GMTAPVOTSA-N -1 1 309.414 1.129 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)NCC1=CC[N@H+](C/C=C\Cl)CC1 ZINC001001069325 762521038 /nfs/dbraw/zinc/52/10/38/762521038.db2.gz KZXBWLHGGNQNGC-DJWKRKHSSA-N -1 1 322.796 1.605 20 0 DDADMM CCC1(C(=O)N2CCC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001017203717 757711786 /nfs/dbraw/zinc/71/17/86/757711786.db2.gz MHWSXGXRBSSGPL-LBPRGKRZSA-N -1 1 321.425 1.371 20 0 DDADMM Cc1ccoc1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085134262 758283135 /nfs/dbraw/zinc/28/31/35/758283135.db2.gz OSHPEXMLPFFZDU-GHMZBOCLSA-N -1 1 319.365 1.243 20 0 DDADMM O=C(N[C@H]1COC2(CN(C/C=C/Cl)C2)C1)c1ncccc1[O-] ZINC001053784173 758810274 /nfs/dbraw/zinc/81/02/74/758810274.db2.gz HTVQOXFQSBFMFO-JRBALWBOSA-N -1 1 323.780 1.113 20 0 DDADMM COC1(OC)CCOC[C@@H]1NC(=O)c1c(F)ccc([O-])c1F ZINC000824278136 759165453 /nfs/dbraw/zinc/16/54/53/759165453.db2.gz MUSNYVBDGURIIX-JTQLQIEISA-N -1 1 317.288 1.178 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](Nc2ncc(F)cn2)C1 ZINC001056612563 761288190 /nfs/dbraw/zinc/28/81/90/761288190.db2.gz PWJDTMRRIYTHEL-SNVBAGLBSA-N -1 1 303.297 1.043 20 0 DDADMM O=C(NC[C@H]1CCN1CCCF)c1ccc2oc(=O)nc-2[n-]1 ZINC001038920342 761545579 /nfs/dbraw/zinc/54/55/79/761545579.db2.gz IAVAOSNLKDFLIG-SECBINFHSA-N -1 1 308.313 1.092 20 0 DDADMM CC1CC(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001001380750 762807361 /nfs/dbraw/zinc/80/73/61/762807361.db2.gz SDSOREKUOPOAQP-UHFFFAOYSA-N -1 1 303.362 1.022 20 0 DDADMM C[C@@H](CN(C)c1cccc(F)n1)NC(=O)c1ncccc1[O-] ZINC001108946960 763159890 /nfs/dbraw/zinc/15/98/90/763159890.db2.gz YMGVXEUKOXGLRA-JTQLQIEISA-N -1 1 304.325 1.576 20 0 DDADMM C[C@@H](NC(=O)C(C)(C)F)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530368 763715490 /nfs/dbraw/zinc/71/54/90/763715490.db2.gz MNFUADMKEDFONK-MNOVXSKESA-N -1 1 323.368 1.502 20 0 DDADMM Cc1cc(N[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001112982873 765097983 /nfs/dbraw/zinc/09/79/83/765097983.db2.gz CQQSVOSUBZNEFQ-WDEREUQCSA-N -1 1 301.350 1.505 20 0 DDADMM Cc1cc(N[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])nc(C)n1 ZINC001112983253 765099598 /nfs/dbraw/zinc/09/95/98/765099598.db2.gz HTKKHFSGKBYBJB-WDEREUQCSA-N -1 1 315.377 1.813 20 0 DDADMM O=C(NCC1CC(Nc2cnc(F)cn2)C1)c1ncccc1[O-] ZINC001051910845 765271348 /nfs/dbraw/zinc/27/13/48/765271348.db2.gz JWJJBWISXLFFEO-UHFFFAOYSA-N -1 1 317.324 1.337 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001045088080 766087300 /nfs/dbraw/zinc/08/73/00/766087300.db2.gz XNPJVKWNPJIPDY-JQWIXIFHSA-N -1 1 307.398 1.027 20 0 DDADMM COC(=O)c1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)ccc1C ZINC001170218380 766177680 /nfs/dbraw/zinc/17/76/80/766177680.db2.gz LQPBDFQHMDXDBK-UHFFFAOYSA-N -1 1 315.289 1.554 20 0 DDADMM CCC1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001045258559 766190154 /nfs/dbraw/zinc/19/01/54/766190154.db2.gz FJMJDQPREQQSLY-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM CCc1nc(Cl)c(F)c(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001170227667 766201080 /nfs/dbraw/zinc/20/10/80/766201080.db2.gz SCTJSZDXBFSGRQ-UHFFFAOYSA-N -1 1 309.692 1.947 20 0 DDADMM COC(=O)c1ccc(F)c(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001170227029 766201475 /nfs/dbraw/zinc/20/14/75/766201475.db2.gz XBWRJZPLPOZUEF-UHFFFAOYSA-N -1 1 304.241 1.123 20 0 DDADMM Cc1cc(N2CC[C@H](NC(=O)c3ncccc3[O-])C2)nc(C)n1 ZINC001058343874 766436325 /nfs/dbraw/zinc/43/63/25/766436325.db2.gz KUTBBCRFDFZUEA-LBPRGKRZSA-N -1 1 313.361 1.203 20 0 DDADMM CC(C)(C)c1ccccc1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001130053933 767189809 /nfs/dbraw/zinc/18/98/09/767189809.db2.gz ISGGTJCZQLIQMC-UHFFFAOYSA-N -1 1 317.393 1.327 20 0 DDADMM Cc1cc(N[C@@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)ncn1 ZINC001069065546 767815017 /nfs/dbraw/zinc/81/50/17/767815017.db2.gz LHMWVGQWDXPTFW-NWDGAFQWSA-N -1 1 313.361 1.601 20 0 DDADMM CC(C)[C@H](F)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648215 768643567 /nfs/dbraw/zinc/64/35/67/768643567.db2.gz AIQXYJDCOASQDK-YPMHNXCESA-N -1 1 323.368 1.502 20 0 DDADMM CC/C(C)=C\C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070694137 768674761 /nfs/dbraw/zinc/67/47/61/768674761.db2.gz JTPAQMJFXXPJQI-KXXVWKPMSA-N -1 1 317.389 1.864 20 0 DDADMM Cc1cc(CN[C@@H](C)C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])no1 ZINC001134094272 770647137 /nfs/dbraw/zinc/64/71/37/770647137.db2.gz ACLFUPBDFFNEJT-IUCAKERBSA-N -1 1 321.381 1.407 20 0 DDADMM CN(C)c1cc(Cl)nc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159198335 771051943 /nfs/dbraw/zinc/05/19/43/771051943.db2.gz LQDOWQQSAVEKJQ-UHFFFAOYSA-N -1 1 306.717 1.312 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cc(CCc2ccccc2)[nH]n1 ZINC001136943121 772222214 /nfs/dbraw/zinc/22/22/14/772222214.db2.gz XUNPSALITUNZDV-UHFFFAOYSA-N -1 1 311.349 1.279 20 0 DDADMM Cc1n[nH]c(C(=O)NCC2CC(NCc3nccs3)C2)c1[O-] ZINC001090986266 772409940 /nfs/dbraw/zinc/40/99/40/772409940.db2.gz VQSOOXDDXVQNJI-UHFFFAOYSA-N -1 1 321.406 1.178 20 0 DDADMM O=C(/C(F)=C\C1CCCCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001144255146 772459374 /nfs/dbraw/zinc/45/93/74/772459374.db2.gz PQZLJAYRXKCPBV-JATZPVMKSA-N -1 1 309.345 1.533 20 0 DDADMM [O-]c1cc(F)c(CN2CCN(c3ncc(O)cn3)CC2)c(F)c1 ZINC001144561691 772565115 /nfs/dbraw/zinc/56/51/15/772565115.db2.gz LYGLYJWCRKOEAN-UHFFFAOYSA-N -1 1 322.315 1.488 20 0 DDADMM CS(=O)(=O)c1ccc(N)c(C(=O)Nc2c([O-])cccc2F)c1 ZINC001146492246 772877844 /nfs/dbraw/zinc/87/78/44/772877844.db2.gz LGDJPQHIJNLTLG-UHFFFAOYSA-N -1 1 324.333 1.769 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)c2c(CO)cnc(C)c2[O-])nc1 ZINC001147844812 773267992 /nfs/dbraw/zinc/26/79/92/773267992.db2.gz YJEWDPQIAYXENN-SNVBAGLBSA-N -1 1 301.346 1.782 20 0 DDADMM CO[C@@H](C)C1CN(C(=O)c2ccc(-n3[n-]c(C)cc3=O)cc2)C1 ZINC001148333203 773439896 /nfs/dbraw/zinc/43/98/96/773439896.db2.gz QLGGXXULHHVZDO-LBPRGKRZSA-N -1 1 315.373 1.906 20 0 DDADMM O=C(N[C@H]1COCC[C@@H]1O)c1ccc(C(F)(F)F)cc1[O-] ZINC001148799609 773603686 /nfs/dbraw/zinc/60/36/86/773603686.db2.gz QWMDFPPHNZUVCB-UWVGGRQHSA-N -1 1 305.252 1.291 20 0 DDADMM CCCN1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001074218860 773740768 /nfs/dbraw/zinc/74/07/68/773740768.db2.gz GLWOZQGSNYSBJM-JSGCOSHPSA-N -1 1 305.378 1.113 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])c1cccc(F)n1 ZINC001100515466 776262121 /nfs/dbraw/zinc/26/21/21/776262121.db2.gz RMSMYBAKZUKAGZ-UHFFFAOYSA-N -1 1 304.325 1.578 20 0 DDADMM Cc1cc(N(CCNC(=O)c2ncccc2[O-])C2CC2)ncn1 ZINC001101323428 777068604 /nfs/dbraw/zinc/06/86/04/777068604.db2.gz HQUHAOGJVSBZEQ-UHFFFAOYSA-N -1 1 313.361 1.284 20 0 DDADMM COC(=O)[N-]C(=S)N(c1cncnc1)c1ccccc1N ZINC001174389650 777472708 /nfs/dbraw/zinc/47/27/08/777472708.db2.gz ZTRTUDLALKSZNZ-UHFFFAOYSA-N -1 1 303.347 1.838 20 0 DDADMM COc1cc(Nc2cc(S(C)(=O)=O)ccc2[O-])cnc1OC ZINC001174547262 777517086 /nfs/dbraw/zinc/51/70/86/777517086.db2.gz BQCRZAYQBQUQBE-UHFFFAOYSA-N -1 1 324.358 1.952 20 0 DDADMM CSc1nc(NC(=O)CCc2nnc(C)s2)cc(=O)[n-]1 ZINC001176275851 778098891 /nfs/dbraw/zinc/09/88/91/778098891.db2.gz YISGPGVWKQYQEB-UHFFFAOYSA-N -1 1 311.392 1.635 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1nc(C)ns1 ZINC001103069550 778618068 /nfs/dbraw/zinc/61/80/68/778618068.db2.gz WDXRFSWSCHERHR-SECBINFHSA-N -1 1 307.379 1.568 20 0 DDADMM COC[C@H](NC(=O)c1ccc2sccc2c1)c1nn[n-]n1 ZINC001177828962 778741149 /nfs/dbraw/zinc/74/11/49/778741149.db2.gz TWFVXDAFVPFWQL-JTQLQIEISA-N -1 1 303.347 1.532 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)[C@H]1CCC(=O)c2ccccc21 ZINC001178918421 779227321 /nfs/dbraw/zinc/22/73/21/779227321.db2.gz NUGSKIKJCFQONG-VIFPVBQESA-N -1 1 314.301 1.169 20 0 DDADMM C[C@H]1CC[C@@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)O1 ZINC000692861918 779490739 /nfs/dbraw/zinc/49/07/39/779490739.db2.gz NKQQMJOMMTXBGO-YUMQZZPRSA-N -1 1 308.762 1.720 20 0 DDADMM O=S(=O)([N-]CC1CCC(O)CC1)c1ccc(Cl)nc1F ZINC000692878933 779491284 /nfs/dbraw/zinc/49/12/84/779491284.db2.gz HRNOHPPAHNJQGW-UHFFFAOYSA-N -1 1 322.789 1.704 20 0 DDADMM CCCCCCC(=O)N1CC[C@H]([NH2+]Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001180055245 779605240 /nfs/dbraw/zinc/60/52/40/779605240.db2.gz PCEHMEQMIADPGU-STQMWFEESA-N -1 1 323.441 1.158 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1nc2c(o1)CCCC2 ZINC001180596110 779856999 /nfs/dbraw/zinc/85/69/99/779856999.db2.gz JUDKQEIPHLSEES-UHFFFAOYSA-N -1 1 306.347 1.898 20 0 DDADMM CCOCc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC001116156577 780479351 /nfs/dbraw/zinc/47/93/51/780479351.db2.gz FTILQKJZWQBCFH-UHFFFAOYSA-N -1 1 317.345 1.102 20 0 DDADMM CC[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccc(F)cc1 ZINC001116550438 780522500 /nfs/dbraw/zinc/52/25/00/780522500.db2.gz ZDVPIVIKVBBMQE-ZDUSSCGKSA-N -1 1 319.336 1.333 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC/C=C/C2CC2)C1 ZINC001118974016 781287825 /nfs/dbraw/zinc/28/78/25/781287825.db2.gz VDLPPLJIKCDQAC-YWVDXFKGSA-N -1 1 319.327 1.805 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H]1COC(C)(C)C1 ZINC000396522352 836006366 /nfs/dbraw/zinc/00/63/66/836006366.db2.gz CZLPGFBYQYFRPG-ZETCQYMHSA-N -1 1 310.828 1.951 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](C)NCc2cccc(Cl)n2)c1[O-] ZINC001266934448 837077977 /nfs/dbraw/zinc/07/79/77/837077977.db2.gz XEWGWZQPAXZNFE-MRVPVSSYSA-N -1 1 323.784 1.380 20 0 DDADMM C[C@@H](C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)c1cccc(F)c1 ZINC001267099126 837338713 /nfs/dbraw/zinc/33/87/13/837338713.db2.gz NMVLMRYYEGKXNQ-SNVBAGLBSA-N -1 1 321.356 1.001 20 0 DDADMM N[C@H](Cc1c[nH]c2ccccc12)C(=O)NCCCCC(=O)[O-] ZINC000318417074 838208400 /nfs/dbraw/zinc/20/84/00/838208400.db2.gz JRWOZVBLRAJYAS-CYBMUJFWSA-N -1 1 303.362 1.409 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)c1ccccc1 ZINC001408735088 838598646 /nfs/dbraw/zinc/59/86/46/838598646.db2.gz VEPNVUUMAWQRRJ-UHFFFAOYSA-N -1 1 313.357 1.679 20 0 DDADMM NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1cc3cc(O)ccc3cc1[O-])C2 ZINC001269105436 841212613 /nfs/dbraw/zinc/21/26/13/841212613.db2.gz NNGRSKIEZLCMJK-PIGZYNQJSA-N -1 1 312.325 1.198 20 0 DDADMM NC(=O)c1noc2c1CN(C(=O)c1ccc([O-])cc1Cl)CC2 ZINC001269762426 842020336 /nfs/dbraw/zinc/02/03/36/842020336.db2.gz QFNIWPVMMIGWLE-UHFFFAOYSA-N -1 1 321.720 1.331 20 0 DDADMM Cn1ccc(NC(=O)c2ccc(Br)c([O-])c2)nc1=O ZINC001364112605 843016138 /nfs/dbraw/zinc/01/61/38/843016138.db2.gz VXDHTDHCGYWCBZ-UHFFFAOYSA-N -1 1 324.134 1.335 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H](C)C1CC1 ZINC001409261173 844725232 /nfs/dbraw/zinc/72/52/32/844725232.db2.gz FDRGYODNZLPDCH-CMPLNLGQSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1cnc(CN[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])nc1 ZINC001409325699 844903117 /nfs/dbraw/zinc/90/31/17/844903117.db2.gz QVOYVFLEVIFZLW-NWDGAFQWSA-N -1 1 315.377 1.182 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1=CNN2C=CNC=C12 ZINC001149461130 861631155 /nfs/dbraw/zinc/63/11/55/861631155.db2.gz SXNFOAFOCVAYGE-UHFFFAOYSA-N -1 1 322.328 1.136 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H](C)C(C)C ZINC001409878178 845968910 /nfs/dbraw/zinc/96/89/10/845968910.db2.gz SIBJUUUEECKKBU-STQMWFEESA-N -1 1 321.421 1.950 20 0 DDADMM Cc1ncccc1CN1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001032369734 847194265 /nfs/dbraw/zinc/19/42/65/847194265.db2.gz PAIAXLYQZZQINV-GJZGRUSLSA-N -1 1 324.384 1.590 20 0 DDADMM CC(=O)N1CC(NC(=O)c2cc3cccc(O)c3cc2[O-])C1 ZINC001149657398 861788573 /nfs/dbraw/zinc/78/85/73/861788573.db2.gz VPOVLWUZGNJTRZ-UHFFFAOYSA-N -1 1 300.314 1.212 20 0 DDADMM CN1CCC[C@H](NC(=O)c2cc3cccc(O)c3cc2[O-])C1=O ZINC001149656531 861789555 /nfs/dbraw/zinc/78/95/55/861789555.db2.gz KPRAQCYAXXLMMO-ZDUSSCGKSA-N -1 1 314.341 1.602 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC2(C1)OCCO2)c1sccc1Cl ZINC001364831302 848963639 /nfs/dbraw/zinc/96/36/39/848963639.db2.gz UCALWJUUUREJOX-MRVPVSSYSA-N -1 1 323.823 1.975 20 0 DDADMM CCCCC(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001411294807 850573277 /nfs/dbraw/zinc/57/32/77/850573277.db2.gz GERNWGCSPGRJEY-UHFFFAOYSA-N -1 1 305.378 1.602 20 0 DDADMM CN1C[C@@]2(CCN(C(=O)c3cccc([O-])c3Cl)C2)OCC1=O ZINC001273545187 851081076 /nfs/dbraw/zinc/08/10/76/851081076.db2.gz CHCNRXQXQYDXJZ-OAHLLOKOSA-N -1 1 324.764 1.119 20 0 DDADMM O=S(=O)([N-]Cc1cccnc1N1CCCC1)c1nccs1 ZINC001187912153 851085982 /nfs/dbraw/zinc/08/59/82/851085982.db2.gz CHEPULLXELANGP-UHFFFAOYSA-N -1 1 324.431 1.617 20 0 DDADMM O=S(=O)([N-][C@H]1CCc2ccccc2[C@H]1O)c1nccs1 ZINC001187912329 851086435 /nfs/dbraw/zinc/08/64/35/851086435.db2.gz FKSCTAAUUFRSHI-NWDGAFQWSA-N -1 1 310.400 1.470 20 0 DDADMM CN1C[C@]2(CCN(Cc3c(F)cc([O-])cc3F)C2)OCC1=O ZINC001273671664 851207935 /nfs/dbraw/zinc/20/79/35/851207935.db2.gz NRJGRXWDMZOPFJ-HNNXBMFYSA-N -1 1 312.316 1.104 20 0 DDADMM Cc1cc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c(C)n1C(C)C ZINC001150508587 862285657 /nfs/dbraw/zinc/28/56/57/862285657.db2.gz ARSDGCMJKOZARA-UHFFFAOYSA-N -1 1 320.397 1.029 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@@H]2C[C@@]21C(=O)N1CC=CC1 ZINC001275399261 853065194 /nfs/dbraw/zinc/06/51/94/853065194.db2.gz OOCXRPYTLYKRPH-DIFFPNOSSA-N -1 1 316.332 1.534 20 0 DDADMM NC(=O)[C@@H]1CC12CCN(C(=O)c1c([O-])cccc1Cl)CC2 ZINC001275466316 853165917 /nfs/dbraw/zinc/16/59/17/853165917.db2.gz CDGNJKJXTZMZMS-VIFPVBQESA-N -1 1 308.765 1.773 20 0 DDADMM CN(C(=O)c1ccc(Cl)cc1Br)c1nn[n-]n1 ZINC001411480748 853193449 /nfs/dbraw/zinc/19/34/49/853193449.db2.gz RPJISVBOKIEJIT-UHFFFAOYSA-N -1 1 316.546 1.892 20 0 DDADMM COc1ccc(NC(=O)c2cnc(SC)[n-]c2=O)c(OC)n1 ZINC001411701849 853603227 /nfs/dbraw/zinc/60/32/27/853603227.db2.gz JBBNMOCFKMSROC-UHFFFAOYSA-N -1 1 322.346 1.569 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c(Cl)cccc1Br ZINC001411752493 853683613 /nfs/dbraw/zinc/68/36/13/853683613.db2.gz JWAXWKQTNSZBCZ-UHFFFAOYSA-N -1 1 316.546 1.546 20 0 DDADMM COC(=O)N(C)Cc1ccc(NC(=O)C2=C([O-])C(C)N=N2)cc1 ZINC001411785480 853732908 /nfs/dbraw/zinc/73/29/08/853732908.db2.gz LTCYAQDGNDCTDO-UHFFFAOYSA-N -1 1 318.333 1.874 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC2(CCN2Cc2ccc[nH]2)C1 ZINC001275950364 854004089 /nfs/dbraw/zinc/00/40/89/854004089.db2.gz SZFCWIGRDWNVMX-UHFFFAOYSA-N -1 1 315.348 1.960 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC2(CN(Cc3ccc[nH]3)C2)C1 ZINC001275988480 854094773 /nfs/dbraw/zinc/09/47/73/854094773.db2.gz AKJLMBJNSLJHJF-UHFFFAOYSA-N -1 1 315.348 1.817 20 0 DDADMM C[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)c1ccc([O-])cn1 ZINC001412004852 854098787 /nfs/dbraw/zinc/09/87/87/854098787.db2.gz LBCBPPKDWWFZCT-NSHDSACASA-N -1 1 321.377 1.869 20 0 DDADMM O=C(Nc1ccc2c(c1)C(=O)NC2)c1cnc(C2CC2)[n-]c1=O ZINC001412199856 854325858 /nfs/dbraw/zinc/32/58/58/854325858.db2.gz HCCHCSRHWCVCJJ-UHFFFAOYSA-N -1 1 310.313 1.555 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@](C)(CC)OC)[n-]c1Cl ZINC001412303226 854423250 /nfs/dbraw/zinc/42/32/50/854423250.db2.gz SAGUYHFMERPGQS-LBPRGKRZSA-N -1 1 303.746 1.993 20 0 DDADMM C[C@@H](CN(C)C(=O)OC(C)(C)C)NC(=O)c1ccc([O-])cn1 ZINC001412380864 854489935 /nfs/dbraw/zinc/48/99/35/854489935.db2.gz CFXHOIHERXHKSV-JTQLQIEISA-N -1 1 309.366 1.772 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc(NCC2CC2)nc1 ZINC001412542838 854690860 /nfs/dbraw/zinc/69/08/60/854690860.db2.gz NQZRJOQCPGYKPV-UHFFFAOYSA-N -1 1 313.365 1.226 20 0 DDADMM COC[C@H](NC(=O)[C@@H]1CCC[C@@H]2CCCC[C@H]12)c1nn[n-]n1 ZINC001412614331 854813175 /nfs/dbraw/zinc/81/31/75/854813175.db2.gz VSHDJBAXOSIBRP-RVMXOQNASA-N -1 1 307.398 1.610 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c[nH]c2ncc(C(F)(F)F)cc12 ZINC001151253334 862703949 /nfs/dbraw/zinc/70/39/49/862703949.db2.gz KCIRIVWDBMDETL-UHFFFAOYSA-N -1 1 311.227 1.025 20 0 DDADMM COc1ccc(OC)c([C@@H]2C[C@H]2C(=O)Nc2c[n-][nH]c2=O)c1 ZINC001412858907 855731799 /nfs/dbraw/zinc/73/17/99/855731799.db2.gz RISPHILNFXSOBF-GXSJLCMTSA-N -1 1 303.318 1.875 20 0 DDADMM O=C([N-]Cc1nccnc1Cl)C(F)(F)c1nccs1 ZINC001412933270 855797839 /nfs/dbraw/zinc/79/78/39/855797839.db2.gz XXJFVENOFLHPKF-UHFFFAOYSA-N -1 1 304.709 1.995 20 0 DDADMM CC1(C(=O)NC[C@H]2CC[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001413161895 856502998 /nfs/dbraw/zinc/50/29/98/856502998.db2.gz BPEXVQISJBDDNL-RYUDHWBXSA-N -1 1 317.389 1.602 20 0 DDADMM CC(C)CC(=O)N[C@H](C(=O)N(C)c1nn[n-]n1)c1ccccc1 ZINC001413329677 856669957 /nfs/dbraw/zinc/66/99/57/856669957.db2.gz WREGMJWXWAOUQM-ZDUSSCGKSA-N -1 1 316.365 1.066 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@@]12CCC[C@]1(OC)OCC2)C1CC1 ZINC001413431466 856800783 /nfs/dbraw/zinc/80/07/83/856800783.db2.gz LMAZCYZIXQGIDI-MJBXVCDLSA-N -1 1 319.423 1.017 20 0 DDADMM Cc1ccc(SCC(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001413508675 856908530 /nfs/dbraw/zinc/90/85/30/856908530.db2.gz JYTDCNVOVQYCTJ-UHFFFAOYSA-N -1 1 303.391 1.796 20 0 DDADMM Cc1ccc(C)c(OCC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001413511165 856911746 /nfs/dbraw/zinc/91/17/46/856911746.db2.gz PSFOPCVQINHPGA-UHFFFAOYSA-N -1 1 301.350 1.391 20 0 DDADMM CCCc1cc(C(=O)N[C@@H](COC)c2nn[n-]n2)ccc1Cl ZINC001413557710 857063639 /nfs/dbraw/zinc/06/36/39/857063639.db2.gz USRNARKVTSCQMQ-LBPRGKRZSA-N -1 1 323.784 1.923 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cc[nH]c(=O)c2)[n-]c1=O ZINC001413772206 858512187 /nfs/dbraw/zinc/51/21/87/858512187.db2.gz WZFXGZLYJNDQAH-SNVBAGLBSA-N -1 1 316.317 1.269 20 0 DDADMM CC1=C(C)CN(c2nnc(-c3nnn[n-]3)n2CC(C)C)CC1 ZINC001122747129 858957636 /nfs/dbraw/zinc/95/76/36/858957636.db2.gz QAYQEBQCFOXBJQ-UHFFFAOYSA-N -1 1 302.386 1.661 20 0 DDADMM CC1=C(C)CN(c2nnc(-c3nn[n-]n3)n2CC(C)C)CC1 ZINC001122747129 858957643 /nfs/dbraw/zinc/95/76/43/858957643.db2.gz QAYQEBQCFOXBJQ-UHFFFAOYSA-N -1 1 302.386 1.661 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1C[C@H]1C1CCOCC1 ZINC001123868992 859448083 /nfs/dbraw/zinc/44/80/83/859448083.db2.gz NTLTUPWUDKRNEJ-VHSXEESVSA-N -1 1 309.391 1.324 20 0 DDADMM Cc1ncccc1C=CC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123910692 859467049 /nfs/dbraw/zinc/46/70/49/859467049.db2.gz UAWSCXSIRLAMLA-FMFIFOJESA-N -1 1 312.377 1.398 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC[C@@H]1CC(C)(C)CO1 ZINC001124006008 859520073 /nfs/dbraw/zinc/52/00/73/859520073.db2.gz PMMVCPRSZOFOTB-SECBINFHSA-N -1 1 311.407 1.714 20 0 DDADMM O=C(c1ccccn1)[C@@H](OCC[P@@](=O)([O-])O)c1ccccn1 ZINC001224603622 881465047 /nfs/dbraw/zinc/46/50/47/881465047.db2.gz ARKWIMHRBDNRHG-AWEZNQCLSA-N -1 1 322.257 1.595 20 0 DDADMM CCC(CC)(NC(=O)c1cc(F)c(C=O)cc1F)c1nn[n-]n1 ZINC001140070653 860571251 /nfs/dbraw/zinc/57/12/51/860571251.db2.gz UTSPERTWMQPWLA-UHFFFAOYSA-N -1 1 323.303 1.736 20 0 DDADMM [O-]c1cnccc1CN1CCn2c(Cn3cccn3)cnc2C1 ZINC001140274790 860606633 /nfs/dbraw/zinc/60/66/33/860606633.db2.gz LJLTVNFYAUFKHT-UHFFFAOYSA-N -1 1 310.361 1.244 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1C=C[C@H]2C[C@@H]1CO2 ZINC001154429985 861095286 /nfs/dbraw/zinc/09/52/86/861095286.db2.gz YHNUHDLMXQOATF-AXFHLTTASA-N -1 1 321.402 1.533 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3coc(-c4cccnc4)n3)ccnc1-2 ZINC001361429926 881636199 /nfs/dbraw/zinc/63/61/99/881636199.db2.gz GHNGISDAPJARIX-UHFFFAOYSA-N -1 1 320.312 1.644 20 0 DDADMM CCOCCCN(Cc1nnc2n1CCCC2)C[C@H](C)C(=O)[O-] ZINC001328768373 863208306 /nfs/dbraw/zinc/20/83/06/863208306.db2.gz GVWKNYOOJKHPEB-ZDUSSCGKSA-N -1 1 324.425 1.564 20 0 DDADMM COC(=O)c1ccc(CC(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC001361430071 881638716 /nfs/dbraw/zinc/63/87/16/881638716.db2.gz KUHIOVBMNUBIMT-UHFFFAOYSA-N -1 1 324.340 1.310 20 0 DDADMM O=C([N-]c1cccc2nccnc12)c1nc(-c2cnccn2)no1 ZINC001152364684 863303703 /nfs/dbraw/zinc/30/37/03/863303703.db2.gz TYDUILIXCMLFIT-UHFFFAOYSA-N -1 1 319.284 1.722 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc2c(c1)CNC2=O ZINC001152766461 863527644 /nfs/dbraw/zinc/52/76/44/863527644.db2.gz RLXXQYLLEHRKJY-UHFFFAOYSA-N -1 1 311.223 1.319 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2ncccc2o1 ZINC001153691526 864021005 /nfs/dbraw/zinc/02/10/05/864021005.db2.gz RVFTUBVLBBDXRR-UHFFFAOYSA-N -1 1 301.218 1.679 20 0 DDADMM N=c1ccc(F)c(N)n1C(=O)c1c([O-])c2ccccc2cc1O ZINC001154022802 864330951 /nfs/dbraw/zinc/33/09/51/864330951.db2.gz FBMDMIUJGBAFNS-UHFFFAOYSA-N -1 1 313.288 1.942 20 0 DDADMM CC/C=C(\C)C(=O)N1CSC[C@@H]1C(=O)Nc1c(C)[n-][nH]c1=O ZINC001330817309 864628915 /nfs/dbraw/zinc/62/89/15/864628915.db2.gz MDEJAMLJTLMHPY-VHODGJRUSA-N -1 1 324.406 1.620 20 0 DDADMM Cc1cnn(-c2c(C(=O)Nc3c(C)[n-][nH]c3=O)c(C)nn2C)c1 ZINC001331201032 864938501 /nfs/dbraw/zinc/93/85/01/864938501.db2.gz IIZYOGNQNKZFBO-UHFFFAOYSA-N -1 1 315.337 1.212 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cnc4ccc(F)cc4n3)c2[nH]1 ZINC001159184871 865152844 /nfs/dbraw/zinc/15/28/44/865152844.db2.gz AAHLIUCSZZLHOY-UHFFFAOYSA-N -1 1 313.252 1.542 20 0 DDADMM CSc1nc(Cl)nc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159192792 865157374 /nfs/dbraw/zinc/15/73/74/865157374.db2.gz ZNSSMMCXLBLEFM-UHFFFAOYSA-N -1 1 310.730 1.362 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc3[nH]cnc3cc2Cl)[n-]1 ZINC001159198156 865161649 /nfs/dbraw/zinc/16/16/49/865161649.db2.gz HQOBDULPUVKQPO-UHFFFAOYSA-N -1 1 302.685 1.727 20 0 DDADMM COCCOc1cc(C(=O)[O-])ccc1NC(=O)[C@H](C)N(C)C ZINC001332163205 865624912 /nfs/dbraw/zinc/62/49/12/865624912.db2.gz XBJMLZQJMIRVLX-JTQLQIEISA-N -1 1 310.350 1.299 20 0 DDADMM CCS(=O)(=O)CCNCc1ccnc2c(C(=O)[O-])cccc12 ZINC001332432628 865837302 /nfs/dbraw/zinc/83/73/02/865837302.db2.gz FSBWCJQFKKAZOU-UHFFFAOYSA-N -1 1 322.386 1.457 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1C[C@H](C)C[C@@H](C)C1 ZINC001474991247 866224625 /nfs/dbraw/zinc/22/46/25/866224625.db2.gz XUUPOUICNKTLLX-RKDXNWHRSA-N -1 1 315.395 1.299 20 0 DDADMM O=C(Nc1ncn(Cc2cccnc2)n1)c1cc(F)ccc1[O-] ZINC001319963680 866406095 /nfs/dbraw/zinc/40/60/95/866406095.db2.gz XGNCQBBZXYXORC-UHFFFAOYSA-N -1 1 313.292 1.818 20 0 DDADMM Cc1ccc2[nH]c(C(=O)OCc3nc(=O)n(C)[n-]3)c(Cl)c2c1 ZINC001320543654 866815036 /nfs/dbraw/zinc/81/50/36/866815036.db2.gz OSYUNZQYXFACBR-UHFFFAOYSA-N -1 1 320.736 1.909 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1cccc(NC(C)=O)c1C ZINC001361650635 882088863 /nfs/dbraw/zinc/08/88/63/882088863.db2.gz PPQWGOKFWZPQFO-UHFFFAOYSA-N -1 1 316.317 1.715 20 0 DDADMM CC(C)CO[N-]C(=O)C(=O)NC[C@H](c1cccs1)N(C)C ZINC001321342351 867512800 /nfs/dbraw/zinc/51/28/00/867512800.db2.gz DPDIUQGOHHEMQI-LLVKDONJSA-N -1 1 313.423 1.171 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1CC[C@@H](C(=O)OC)C1 ZINC001225898981 882098694 /nfs/dbraw/zinc/09/86/94/882098694.db2.gz GOGQQKRKZBUZRV-BDAKNGLRSA-N -1 1 310.306 1.079 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@@H]1CC[C@@H](C(=O)OCC)C1 ZINC001225901747 882102209 /nfs/dbraw/zinc/10/22/09/882102209.db2.gz RSJJPZGUGJCKMK-NXEZZACHSA-N -1 1 324.333 1.470 20 0 DDADMM CCNC(=O)CC(=O)Nc1ccc(Br)c([O-])c1 ZINC001321581881 867679844 /nfs/dbraw/zinc/67/98/44/867679844.db2.gz BSNSGJZYKKUDIG-UHFFFAOYSA-N -1 1 301.140 1.619 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cnc(Cl)cc1Cl)c1nc[nH]n1 ZINC001325000868 867688908 /nfs/dbraw/zinc/68/89/08/867688908.db2.gz KHJUOPJOMLAGOY-YFKPBYRVSA-N -1 1 322.177 1.546 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ccnc(C)n2)[n-]c1Cl ZINC001361670688 882126983 /nfs/dbraw/zinc/12/69/83/882126983.db2.gz ACGZMPSHCFMKEQ-UHFFFAOYSA-N -1 1 309.713 1.591 20 0 DDADMM C[C@@H]1CC/C(=C/C(=O)N2CCN([C@@]3(C(=O)[O-])CCOC3)CC2)C1 ZINC001334961125 867949197 /nfs/dbraw/zinc/94/91/97/867949197.db2.gz HHYQISBKDXTHCY-KAOCYUFCSA-N -1 1 322.405 1.121 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@@H]1C[C@H]2CCC[C@@H]21 ZINC001335228564 868156027 /nfs/dbraw/zinc/15/60/27/868156027.db2.gz RJFKTBWYUQMCIU-NTZNESFSSA-N -1 1 322.792 1.967 20 0 DDADMM CSc1nc(CNC(=O)c2ccc(C=O)s2)cc(=O)[n-]1 ZINC001163576953 868543694 /nfs/dbraw/zinc/54/36/94/868543694.db2.gz GPTXHOGHASHDMW-UHFFFAOYSA-N -1 1 309.372 1.708 20 0 DDADMM O=C(NCCCOc1ncccn1)c1cnc(C2CC2)[n-]c1=O ZINC001163788782 868704766 /nfs/dbraw/zinc/70/47/66/868704766.db2.gz ZOAMJZDFRWPJFN-UHFFFAOYSA-N -1 1 315.333 1.049 20 0 DDADMM CC(C)(F)CNC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001336143196 868755161 /nfs/dbraw/zinc/75/51/61/868755161.db2.gz KJTGUHRTRWGHMK-UHFFFAOYSA-N -1 1 318.295 1.193 20 0 DDADMM Cc1cc2c(c(N3CCC[C@H](F)C(F)(F)C3)n1)C(=O)[N-]C2=O ZINC001164063517 868921695 /nfs/dbraw/zinc/92/16/95/868921695.db2.gz NBYGFUKNMSYLNE-VIFPVBQESA-N -1 1 313.279 1.847 20 0 DDADMM COc1nc2[nH]ccc2cc1NCC[N-]C(=O)C(F)(F)F ZINC001164246099 869054764 /nfs/dbraw/zinc/05/47/64/869054764.db2.gz NWMPGMPOBRIPFL-UHFFFAOYSA-N -1 1 302.256 1.614 20 0 DDADMM O=C([O-])c1ccc(CN2CC3(C[C@H]3C(=O)NCC(F)F)C2)cc1 ZINC001277109968 882296084 /nfs/dbraw/zinc/29/60/84/882296084.db2.gz OOEPOAYQKQUOHJ-LBPRGKRZSA-N -1 1 324.327 1.588 20 0 DDADMM Cc1cc2c(c(N3CC[C@@H]4CCCO[C@H]4C3)n1)C(=O)[N-]C2=O ZINC001165335519 869524609 /nfs/dbraw/zinc/52/46/09/869524609.db2.gz LWSMSWHDQZFREP-JQWIXIFHSA-N -1 1 301.346 1.279 20 0 DDADMM C[C@H]1C[C@@H](c2ccccc2)CN1c1nnc(-c2nnn[n-]2)n1C ZINC001338074313 869786590 /nfs/dbraw/zinc/78/65/90/869786590.db2.gz ZWFRTCWYAOXJMN-CMPLNLGQSA-N -1 1 310.365 1.378 20 0 DDADMM C[C@H]1C[C@@H](c2ccccc2)CN1c1nnc(-c2nn[n-]n2)n1C ZINC001338074313 869786601 /nfs/dbraw/zinc/78/66/01/869786601.db2.gz ZWFRTCWYAOXJMN-CMPLNLGQSA-N -1 1 310.365 1.378 20 0 DDADMM CN(C[C@H]1CCOC1)c1nnc(-c2cc(Cl)ncc2[O-])n1C ZINC001338182582 869855209 /nfs/dbraw/zinc/85/52/09/869855209.db2.gz XIGYBZGEUANIFM-SECBINFHSA-N -1 1 323.784 1.709 20 0 DDADMM Cc1nnc([C@H](C)NC(=O)c2c([O-])cnc3c(F)cccc32)[nH]1 ZINC001297533753 870091272 /nfs/dbraw/zinc/09/12/72/870091272.db2.gz OMGGANRLJAYRFZ-ZETCQYMHSA-N -1 1 315.308 1.997 20 0 DDADMM C/C(=C/C(=O)NC/C=C\CNC(=O)c1ncccc1[O-])C1CC1 ZINC001298285260 870432959 /nfs/dbraw/zinc/43/29/59/870432959.db2.gz AWHCKHFJTGMTGG-OKPGMKSESA-N -1 1 315.373 1.546 20 0 DDADMM C[C@@H](CC(=O)NC/C=C\CNC(=O)c1ncccc1[O-])C1CC1 ZINC001298356505 870498530 /nfs/dbraw/zinc/49/85/30/870498530.db2.gz JMKUDOPIBWNCJE-DLGQBQFBSA-N -1 1 317.389 1.626 20 0 DDADMM COCc1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1F ZINC001361809673 882414387 /nfs/dbraw/zinc/41/43/87/882414387.db2.gz NTIBBAOBWCLXNW-UHFFFAOYSA-N -1 1 314.320 1.880 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](c2ccc(F)cc2)N(C)C)c1[O-] ZINC001361822803 882440118 /nfs/dbraw/zinc/44/01/18/882440118.db2.gz BBZXAASJQKQIKG-LBPRGKRZSA-N -1 1 306.341 1.596 20 0 DDADMM O=C(NCCC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1)[C@H]1CC12CC2 ZINC001317430794 870818565 /nfs/dbraw/zinc/81/85/65/870818565.db2.gz BFVQUFXFGZOIQB-VXGBXAGGSA-N -1 1 319.409 1.171 20 0 DDADMM COc1cc(CNC(=O)c2ccc([O-])cn2)ccc1OCCO ZINC001361828939 882449545 /nfs/dbraw/zinc/44/95/45/882449545.db2.gz YAVLZCSYXKSFGM-UHFFFAOYSA-N -1 1 318.329 1.097 20 0 DDADMM C/C=C/C[C@@H]1CCCN(c2nnc(-c3noc(=O)[n-]3)n2CC)C1 ZINC001340265919 870997173 /nfs/dbraw/zinc/99/71/73/870997173.db2.gz PRCHNNLWOUJDGB-JOAKQRRISA-N -1 1 318.381 1.824 20 0 DDADMM O=C(c1cc(=O)[nH]c2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC001302753998 871080901 /nfs/dbraw/zinc/08/09/01/871080901.db2.gz ZWRWEVQNWBQLOB-UHFFFAOYSA-N -1 1 324.344 1.473 20 0 DDADMM CCc1nc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)c[nH]1 ZINC001303974206 871173386 /nfs/dbraw/zinc/17/33/86/871173386.db2.gz CDPWMPBLMYNFNM-UHFFFAOYSA-N -1 1 302.363 1.234 20 0 DDADMM O=C(CCc1nc(-c2ccsc2)no1)Nc1c[n-][nH]c1=O ZINC001306848521 871365317 /nfs/dbraw/zinc/36/53/17/871365317.db2.gz PJDXKKXSAZTKNY-UHFFFAOYSA-N -1 1 305.319 1.798 20 0 DDADMM COc1cncc(C[N-]S(=O)(=O)c2ccc(F)nc2F)c1 ZINC001309830651 871601249 /nfs/dbraw/zinc/60/12/49/871601249.db2.gz MAUUHBOKBMSPQP-UHFFFAOYSA-N -1 1 315.301 1.242 20 0 DDADMM CC(C)Cn1c(Cc2nnn[n-]2)nnc1N1CCc2ccccc21 ZINC001342827762 872402476 /nfs/dbraw/zinc/40/24/76/872402476.db2.gz QQVUIBRFBDIFQB-UHFFFAOYSA-N -1 1 324.392 1.732 20 0 DDADMM CC(C)Cn1c(Cc2nn[n-]n2)nnc1N1CCc2ccccc21 ZINC001342827762 872402490 /nfs/dbraw/zinc/40/24/90/872402490.db2.gz QQVUIBRFBDIFQB-UHFFFAOYSA-N -1 1 324.392 1.732 20 0 DDADMM C[C@H](Oc1cc(=O)[n-]c(N2CCOCC2)n1)c1ccncc1 ZINC001226721787 882610257 /nfs/dbraw/zinc/61/02/57/882610257.db2.gz IOKCAWUYGKSVLZ-NSHDSACASA-N -1 1 302.334 1.554 20 0 DDADMM C[C@@H](Cc1ccccc1)Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c12 ZINC001226888025 882705644 /nfs/dbraw/zinc/70/56/44/882705644.db2.gz MKOYUGQPJOQGAA-QMMMGPOBSA-N -1 1 314.301 1.547 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1ccnn1CC1CC1 ZINC001346435929 873752421 /nfs/dbraw/zinc/75/24/21/873752421.db2.gz NZCTWBFEBJTOIM-UHFFFAOYSA-N -1 1 305.363 1.638 20 0 DDADMM O=C(Cc1n[nH]c(C2CCOCC2)n1)[N-]Oc1ccc(F)cc1 ZINC001347107634 874015189 /nfs/dbraw/zinc/01/51/89/874015189.db2.gz CHWYBWHKUGTRRW-UHFFFAOYSA-N -1 1 320.324 1.491 20 0 DDADMM O=C(c1ccc(Cn2cccn2)cc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347198769 874052718 /nfs/dbraw/zinc/05/27/18/874052718.db2.gz RCRRFTFAPOZNMJ-AWEZNQCLSA-N -1 1 323.360 1.074 20 0 DDADMM Cc1ccc([C@H](O)CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cc1 ZINC001347473144 874154511 /nfs/dbraw/zinc/15/45/11/874154511.db2.gz LLAMNHYUJUZENV-LLVKDONJSA-N -1 1 319.386 1.551 20 0 DDADMM Cc1ccn(-c2ccc(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)cc2)n1 ZINC001347474567 874154546 /nfs/dbraw/zinc/15/45/46/874154546.db2.gz OPIAPPHJPFDQQG-CYBMUJFWSA-N -1 1 323.360 1.324 20 0 DDADMM O=c1ncc(Br)c(O[C@H]2COc3cnccc32)[n-]1 ZINC001227140664 882870908 /nfs/dbraw/zinc/87/09/08/882870908.db2.gz XQEFFIHCVPBCJM-VIFPVBQESA-N -1 1 310.107 1.852 20 0 DDADMM COC(C[C@@H](C)Oc1[n-]c(=O)ncc1Br)OC ZINC001227138991 882871886 /nfs/dbraw/zinc/87/18/86/882871886.db2.gz XGFPELSHRWQWEB-ZCFIWIBFSA-N -1 1 307.144 1.721 20 0 DDADMM COC(=O)Nc1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC001362052428 882921485 /nfs/dbraw/zinc/92/14/85/882921485.db2.gz KOCWWBLGKJIBOK-UHFFFAOYSA-N -1 1 301.302 1.896 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccccc1C=O)c1noc(C)n1 ZINC001210593287 875449132 /nfs/dbraw/zinc/44/91/32/875449132.db2.gz INKZCJRXYZPEEA-LLVKDONJSA-N -1 1 309.347 1.620 20 0 DDADMM C[C@@H]1CC[C@@H](CC(=O)NCCCNC(=O)c2ncccc2[O-])C1 ZINC001349940742 875466786 /nfs/dbraw/zinc/46/67/86/875466786.db2.gz UPCQIVWYSRBUBX-CHWSQXEVSA-N -1 1 319.405 1.850 20 0 DDADMM C[C@@H]1C[C@H](C)N(C(=O)CCCCc2cn[nH]n2)[C@H](C)[C@@H]1C(=O)[O-] ZINC001349986571 875486552 /nfs/dbraw/zinc/48/65/52/875486552.db2.gz PSTSZIKMUCSBAE-NWJSVONSSA-N -1 1 322.409 1.864 20 0 DDADMM C[C@@H]1C[C@H](C)N(C(=O)CCCCc2cn[nH]n2)[C@@H](C)[C@@H]1C(=O)[O-] ZINC001349986574 875487210 /nfs/dbraw/zinc/48/72/10/875487210.db2.gz PSTSZIKMUCSBAE-OXJKWZBOSA-N -1 1 322.409 1.864 20 0 DDADMM O=C(Cc1c[nH]c2cc(F)ccc12)N1CC[C@H](c2nn[n-]n2)C1 ZINC001350233824 875590623 /nfs/dbraw/zinc/59/06/23/875590623.db2.gz HZJYHNUKRHQHJQ-VIFPVBQESA-N -1 1 314.324 1.379 20 0 DDADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CCCCC1 ZINC001378876670 875825793 /nfs/dbraw/zinc/82/57/93/875825793.db2.gz YFAKNCPEJGCWPG-CYBMUJFWSA-N -1 1 323.441 1.711 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2nc(N)ncc2c1 ZINC001213113610 875832775 /nfs/dbraw/zinc/83/27/75/875832775.db2.gz QBYPHDFBLKAPNZ-UHFFFAOYSA-N -1 1 315.358 1.595 20 0 DDADMM CCCCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001378932944 875936683 /nfs/dbraw/zinc/93/66/83/875936683.db2.gz ZGMUCCPZESSKLK-RYUDHWBXSA-N -1 1 309.414 1.417 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ccc4cnn(C)c4c3)no2)[n-]n1 ZINC001213464054 875946853 /nfs/dbraw/zinc/94/68/53/875946853.db2.gz VCZWJOJWHBBQLH-UHFFFAOYSA-N -1 1 324.300 1.800 20 0 DDADMM CCC[C@@H](C)C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001351405748 876232894 /nfs/dbraw/zinc/23/28/94/876232894.db2.gz BUDIESUFFBIECZ-GFCCVEGCSA-N -1 1 307.394 1.802 20 0 DDADMM C[C@@H](COc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)OCc1ccccc1 ZINC001227431029 883015076 /nfs/dbraw/zinc/01/50/76/883015076.db2.gz QZZUYYHKOSBBAD-VIFPVBQESA-N -1 1 316.317 1.748 20 0 DDADMM Cc1nnc([C@@H](C)N[C@@H](C)CN(C)C(=O)c2ncccc2[O-])o1 ZINC001379098914 876395288 /nfs/dbraw/zinc/39/52/88/876395288.db2.gz LZMHPEIKGUKVFF-VHSXEESVSA-N -1 1 319.365 1.290 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)c(C)n1 ZINC001215577915 876754143 /nfs/dbraw/zinc/75/41/43/876754143.db2.gz JXGUDYUAHWWJGQ-UHFFFAOYSA-N -1 1 323.374 1.877 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CCc2ccsc2C1 ZINC001352520264 876788242 /nfs/dbraw/zinc/78/82/42/876788242.db2.gz KUCRKKRSHIGFAS-UHFFFAOYSA-N -1 1 316.394 1.026 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CCc2ccsc2C1 ZINC001352520264 876788258 /nfs/dbraw/zinc/78/82/58/876788258.db2.gz KUCRKKRSHIGFAS-UHFFFAOYSA-N -1 1 316.394 1.026 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccccc2N)c2c[nH]nc21 ZINC001215753298 876801727 /nfs/dbraw/zinc/80/17/27/876801727.db2.gz ZUXSJNRUUOQGPY-UHFFFAOYSA-N -1 1 318.358 1.955 20 0 DDADMM COc1ncc(Nc2cncc(C)c2)cc1[N-]S(C)(=O)=O ZINC001216151443 876876905 /nfs/dbraw/zinc/87/69/05/876876905.db2.gz BBOCAMYIROLMRA-UHFFFAOYSA-N -1 1 308.363 1.909 20 0 DDADMM CCc1cnc(Nc2cnc(OC)c([N-]S(C)(=O)=O)c2)cn1 ZINC001216151526 876877238 /nfs/dbraw/zinc/87/72/38/876877238.db2.gz BYSHIHXPSFPXOJ-UHFFFAOYSA-N -1 1 323.378 1.558 20 0 DDADMM C[C@H](Oc1nc(=O)[nH]c(=O)[n-]1)c1cccc(Br)n1 ZINC001227569295 883084971 /nfs/dbraw/zinc/08/49/71/883084971.db2.gz YLYQTRVPFRWBAO-YFKPBYRVSA-N -1 1 313.111 1.580 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C=Cc2ccc[nH]2)[n-]c1=O ZINC001353050536 877084635 /nfs/dbraw/zinc/08/46/35/877084635.db2.gz NYVZDYGZEGHPGI-VOTSOKGWSA-N -1 1 302.334 1.584 20 0 DDADMM CC/C=C(\C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001353396978 877300063 /nfs/dbraw/zinc/30/00/63/877300063.db2.gz AUMIKBSHGMWZSY-JVOXIWMLSA-N -1 1 303.362 1.474 20 0 DDADMM CCCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)c2cnncc2[O-])C1 ZINC001353541426 877407312 /nfs/dbraw/zinc/40/73/12/877407312.db2.gz PJOXQKQKZLMQRM-NEPJUHHUSA-N -1 1 320.393 1.339 20 0 DDADMM Cc1ncc(CN(C)C[C@@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001379654673 877663036 /nfs/dbraw/zinc/66/30/36/877663036.db2.gz HRKWXKSCSUYEEF-SNVBAGLBSA-N -1 1 304.350 1.334 20 0 DDADMM C[C@H]1CC[C@@](C)(CNC(=O)[C@]2(C(=O)[O-])CNCCO2)C1(C)C ZINC001354245842 877834395 /nfs/dbraw/zinc/83/43/95/877834395.db2.gz FYILYOZKORNALB-UVBJJODRSA-N -1 1 312.410 1.008 20 0 DDADMM CC[C@H](C)c1cccc(NC(=O)[C@]2(C(=O)[O-])CNCCO2)c1 ZINC001354382500 877939245 /nfs/dbraw/zinc/93/92/45/877939245.db2.gz UNMRZLIQOZBRIO-ZBEGNZNMSA-N -1 1 306.362 1.582 20 0 DDADMM CC[C@H](CNC(=O)C1(C)CC=CC1)NC(=O)c1ncccc1[O-] ZINC001356920361 879586753 /nfs/dbraw/zinc/58/67/53/879586753.db2.gz QOPVVYUKYKDIHX-GFCCVEGCSA-N -1 1 317.389 1.768 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@]12CCN(C(=O)c1cc([O-])cc(F)c1F)C2 ZINC001276826785 880186682 /nfs/dbraw/zinc/18/66/82/880186682.db2.gz DRHQFPYYXPFKCT-ZBEGNZNMSA-N -1 1 324.327 1.788 20 0 DDADMM CCOC(=O)N1CCC(Oc2cc(=O)[n-]c(SC)n2)CC1 ZINC001228091345 883332313 /nfs/dbraw/zinc/33/23/13/883332313.db2.gz YDRYVBSVLSYOMG-UHFFFAOYSA-N -1 1 313.379 1.904 20 0 DDADMM C[C@@H](N[C@@H](C)CN(C)C(=O)c1ncccc1[O-])c1ncccn1 ZINC001382069532 883340874 /nfs/dbraw/zinc/34/08/74/883340874.db2.gz RXUZVSADVCGMMX-NWDGAFQWSA-N -1 1 315.377 1.389 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCOC[C@@H]1C[C@H](O)c1ccco1 ZINC001362227855 883353450 /nfs/dbraw/zinc/35/34/50/883353450.db2.gz BRVQOTNXGIVITA-FZMZJTMJSA-N -1 1 318.329 1.345 20 0 DDADMM C[C@@H](NC(=O)c1ncc(Br)cc1[O-])c1nnc[nH]1 ZINC001362251270 883409978 /nfs/dbraw/zinc/40/99/78/883409978.db2.gz MEMLSHUZFAKBJZ-RXMQYKEDSA-N -1 1 312.127 1.159 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H]2CCCN(c3ccc(C)cn3)C2)n1 ZINC001228315261 883441466 /nfs/dbraw/zinc/44/14/66/883441466.db2.gz XLYYIKQGOISRDH-LLVKDONJSA-N -1 1 317.349 1.343 20 0 DDADMM COC(=O)c1nc(O[C@@H]2CCCN(c3ccc(C)cn3)C2)n[n-]1 ZINC001228315261 883441470 /nfs/dbraw/zinc/44/14/70/883441470.db2.gz XLYYIKQGOISRDH-LLVKDONJSA-N -1 1 317.349 1.343 20 0 DDADMM C[C@H](CN(C)C(=O)[C@]12C[C@H]1CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001382123839 883466134 /nfs/dbraw/zinc/46/61/34/883466134.db2.gz KJWNTEKOTWFOFS-UEKVPHQBSA-N -1 1 307.398 1.027 20 0 DDADMM COc1cccc(O)c1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001362375083 883671795 /nfs/dbraw/zinc/67/17/95/883671795.db2.gz KYZHKZLDNACLRN-VIFPVBQESA-N -1 1 319.317 1.509 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H](C)Cc1cccs1 ZINC001362387994 883695704 /nfs/dbraw/zinc/69/57/04/883695704.db2.gz WEJVSIXMUUQXSX-QMMMGPOBSA-N -1 1 323.374 1.661 20 0 DDADMM CCOC1CC(CCNC(=O)c2cnc(SC)[n-]c2=O)C1 ZINC001362389574 883699391 /nfs/dbraw/zinc/69/93/91/883699391.db2.gz BUCGSEGWYWBTNJ-UHFFFAOYSA-N -1 1 311.407 1.839 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1CCOC2(CCC2)C1)c1nn[n-]n1 ZINC001362429875 883789555 /nfs/dbraw/zinc/78/95/55/883789555.db2.gz XMMUSQFFHXVPEH-LLVKDONJSA-N -1 1 307.398 1.681 20 0 DDADMM CC(C)(CC(N)=O)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001362456966 883855065 /nfs/dbraw/zinc/85/50/65/883855065.db2.gz GERQAFDMHPHUGK-UHFFFAOYSA-N -1 1 305.309 1.463 20 0 DDADMM C[C@@H](NC(=O)c1cnncc1[O-])c1ccc([S@](C)=O)cc1 ZINC001362481454 883907423 /nfs/dbraw/zinc/90/74/23/883907423.db2.gz HKRFWHPPKMLRHP-BTKVJGODSA-N -1 1 305.359 1.411 20 0 DDADMM CCC(CC)(NC(=O)c1scnc1C1CC1)c1nn[n-]n1 ZINC001362494186 883934068 /nfs/dbraw/zinc/93/40/68/883934068.db2.gz GPWPSLTZFOBGEM-UHFFFAOYSA-N -1 1 306.395 1.979 20 0 DDADMM CN1CC(Oc2ccccc2S(=O)(=O)c2ccc([O-])cc2)C1 ZINC001229579593 884058649 /nfs/dbraw/zinc/05/86/49/884058649.db2.gz OVORGJSDTOTLRE-UHFFFAOYSA-N -1 1 319.382 1.918 20 0 DDADMM O=C(NC[C@H](O)C(F)(F)F)c1ccc2ccc(O)cc2c1[O-] ZINC001362621470 884244532 /nfs/dbraw/zinc/24/45/32/884244532.db2.gz PULAKAXMUHIODW-NSHDSACASA-N -1 1 315.247 1.904 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H](Oc2nc(C)[n-]c(=O)c2OC)C1 ZINC001230026007 884287635 /nfs/dbraw/zinc/28/76/35/884287635.db2.gz NCZOAZOGLNVHMI-GHMZBOCLSA-N -1 1 310.350 2.000 20 0 DDADMM Cc1cnc(C(=O)N2NC(=O)C[C@]23CCCC[C@@H]3C)c([O-])c1 ZINC001362674381 884383092 /nfs/dbraw/zinc/38/30/92/884383092.db2.gz MMTAUQDKEGSDNK-ZBEGNZNMSA-N -1 1 303.362 1.922 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccnn1CC1CCC1 ZINC001362836778 884762400 /nfs/dbraw/zinc/76/24/00/884762400.db2.gz SEGSAWHFDKWUDS-UHFFFAOYSA-N -1 1 301.354 1.006 20 0 DDADMM C[C@H](CNC(=O)c1cncs1)N(C)C(=O)c1ncccc1[O-] ZINC001382909361 884928001 /nfs/dbraw/zinc/92/80/01/884928001.db2.gz JSBJHVUNJSHTTA-SECBINFHSA-N -1 1 320.374 1.134 20 0 DDADMM COC[C@H](NC(=O)C[C@H]1CCc2ccccc21)c1nn[n-]n1 ZINC001362910838 884960991 /nfs/dbraw/zinc/96/09/91/884960991.db2.gz SGVPMPBSOXFFFB-YPMHNXCESA-N -1 1 301.350 1.124 20 0 DDADMM COC(=O)[C@H](Cc1ccc(F)cc1)NC(=O)c1ncccc1[O-] ZINC001362930474 885013534 /nfs/dbraw/zinc/01/35/34/885013534.db2.gz SODBYDDHEYLWIU-LBPRGKRZSA-N -1 1 318.304 1.440 20 0 DDADMM CSc1ncc(C(=O)Nc2nnc(C3CC3)n2C)c(=O)[n-]1 ZINC001362948613 885060070 /nfs/dbraw/zinc/06/00/70/885060070.db2.gz KHRYLLTYHSFQAA-UHFFFAOYSA-N -1 1 306.351 1.162 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2ccn(C(C)C)n2)[n-]c1=O ZINC001362972301 885118960 /nfs/dbraw/zinc/11/89/60/885118960.db2.gz UTZLFQVTOFSFCC-UHFFFAOYSA-N -1 1 319.365 1.633 20 0 DDADMM Nc1nnc([C@H]2CCCN(C(=O)c3ccc(F)c([O-])c3)C2)o1 ZINC001363035607 885285464 /nfs/dbraw/zinc/28/54/64/885285464.db2.gz DNWGEOMYGKVTHQ-VIFPVBQESA-N -1 1 306.297 1.516 20 0 DDADMM CSc1ncc(C(=O)NCc2cnn(CCF)c2)c(=O)[n-]1 ZINC001363035888 885285517 /nfs/dbraw/zinc/28/55/17/885285517.db2.gz ACPYNUKNPXLDQA-UHFFFAOYSA-N -1 1 311.342 1.000 20 0 DDADMM CCc1nocc1C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC001363036440 885287840 /nfs/dbraw/zinc/28/78/40/885287840.db2.gz DKFCRKBSFDJEEU-LLVKDONJSA-N -1 1 318.333 1.719 20 0 DDADMM CC(C)NC(=O)Nc1cccc(C(=O)N(C)c2nn[n-]n2)c1 ZINC001363055482 885349224 /nfs/dbraw/zinc/34/92/24/885349224.db2.gz RKIUSFJZWUBGNO-UHFFFAOYSA-N -1 1 303.326 1.006 20 0 DDADMM CCCC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C(C)C ZINC001383208036 885408995 /nfs/dbraw/zinc/40/89/95/885408995.db2.gz UOQRHPLNTCQLJV-GFCCVEGCSA-N -1 1 307.394 1.848 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC(c2[nH]nc3c2COCC3)C1 ZINC001363098873 885458537 /nfs/dbraw/zinc/45/85/37/885458537.db2.gz LCUJCZJUDHCSAQ-UHFFFAOYSA-N -1 1 317.320 1.567 20 0 DDADMM CCC(CC)(NC(=O)C[C@H]1CC[C@@H](C2CC2)O1)c1nn[n-]n1 ZINC001363138277 885559521 /nfs/dbraw/zinc/55/95/21/885559521.db2.gz SZCZQQOQPDMXTL-NEPJUHHUSA-N -1 1 307.398 1.679 20 0 DDADMM COCC(=O)N[C@@H]1CCCN(Cc2ccc(C(=O)[O-])s2)C1 ZINC001231466453 885684700 /nfs/dbraw/zinc/68/47/00/885684700.db2.gz ZQUNZPLYCFOJJS-SNVBAGLBSA-N -1 1 312.391 1.173 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@H]2CC[C@@H](C1)S2 ZINC001363287553 885919509 /nfs/dbraw/zinc/91/95/09/885919509.db2.gz YCNXXBSDHFMKNH-MNOVXSKESA-N -1 1 321.402 1.014 20 0 DDADMM CSc1ncc(C(=O)N2Cc3cncnc3[C@@H](C)C2)c(=O)[n-]1 ZINC001363306813 885970394 /nfs/dbraw/zinc/97/03/94/885970394.db2.gz BTRQXXHJYMOVFP-QMMMGPOBSA-N -1 1 317.374 1.454 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(C)c(C)c(O)c2)o1 ZINC001363342591 886076311 /nfs/dbraw/zinc/07/63/11/886076311.db2.gz WNTLXSXZQXJGPX-UHFFFAOYSA-N -1 1 324.358 1.762 20 0 DDADMM CC/C=C(\C)C(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001277622363 886131439 /nfs/dbraw/zinc/13/14/39/886131439.db2.gz NTDMHOUNADMRKT-DJTWPOEFSA-N -1 1 307.398 1.193 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(C2=CCCC2)cc1)c1nn[n-]n1 ZINC001363412453 886267276 /nfs/dbraw/zinc/26/72/76/886267276.db2.gz JJCZJKGRKBVIBL-CQSZACIVSA-N -1 1 313.361 1.885 20 0 DDADMM O=C(NCCN1CCNC1=O)c1ccc2ccc(O)cc2c1[O-] ZINC001363428816 886314049 /nfs/dbraw/zinc/31/40/49/886314049.db2.gz LEUDSGSZRPTFGP-UHFFFAOYSA-N -1 1 315.329 1.006 20 0 DDADMM COC[C@H](NC(=O)c1cnn(C2CCCCC2)c1)c1nn[n-]n1 ZINC001363439819 886342341 /nfs/dbraw/zinc/34/23/41/886342341.db2.gz XKYLQJPETDFBRS-LBPRGKRZSA-N -1 1 319.369 1.019 20 0 DDADMM CN(C)C(=O)N(C)[C@H]1CCN(C(=O)c2cccc([O-])c2F)C1 ZINC001363486509 886464640 /nfs/dbraw/zinc/46/46/40/886464640.db2.gz JZEZDWFHALTAAV-JTQLQIEISA-N -1 1 309.341 1.359 20 0 DDADMM COC(=O)N1CC(C)(NC(=O)c2ncc3ccccc3c2[O-])C1 ZINC001363501215 886498788 /nfs/dbraw/zinc/49/87/88/886498788.db2.gz AHFJWYUOSGWVLC-UHFFFAOYSA-N -1 1 315.329 1.511 20 0 DDADMM COc1ccc(C(=O)Nc2cnc(C)c(C(N)=O)c2)c([O-])c1 ZINC001363593984 886721170 /nfs/dbraw/zinc/72/11/70/886721170.db2.gz LMWYTVVXTXWJIE-UHFFFAOYSA-N -1 1 301.302 1.455 20 0 DDADMM CC[C@H]1CC[C@@H](C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)O1 ZINC001363651665 886885026 /nfs/dbraw/zinc/88/50/26/886885026.db2.gz IXZOUGLWVRMEDB-QWRGUYRKSA-N -1 1 309.366 1.155 20 0 DDADMM Cc1ccc([C@H](C)C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)o1 ZINC001363657432 886903429 /nfs/dbraw/zinc/90/34/29/886903429.db2.gz VOGWMEIKQYKQDX-JTQLQIEISA-N -1 1 319.361 1.902 20 0 DDADMM C[C@H]1CCCN(c2cc(C(=O)Nc3c[n-][nH]c3=O)ccn2)C1 ZINC001363704418 887025280 /nfs/dbraw/zinc/02/52/80/887025280.db2.gz GAYMKRSHDGACDT-JTQLQIEISA-N -1 1 301.350 1.999 20 0 DDADMM Cc1cc([C@H]2CCCCN2C(=O)CSc2n[nH]c(=O)[n-]2)n[nH]1 ZINC001363714330 887050850 /nfs/dbraw/zinc/05/08/50/887050850.db2.gz BKOROIUVZYHUKP-SNVBAGLBSA-N -1 1 322.394 1.388 20 0 DDADMM O=C(NCc1nc([C@@H]2CCOC2)n[nH]1)c1c([O-])cccc1F ZINC001363719880 887062555 /nfs/dbraw/zinc/06/25/55/887062555.db2.gz DAEYXPDQOLRXMU-MRVPVSSYSA-N -1 1 306.297 1.083 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C1CCCC1 ZINC001363869058 887433897 /nfs/dbraw/zinc/43/38/97/887433897.db2.gz CKSUPCXCVWEUPK-NSHDSACASA-N -1 1 315.395 1.443 20 0 DDADMM O=C(C[N-]S(=O)(=O)Cc1ccno1)c1ccccc1Cl ZINC001363958652 887616069 /nfs/dbraw/zinc/61/60/69/887616069.db2.gz CKDUQNJENHFNSM-UHFFFAOYSA-N -1 1 314.750 1.630 20 0 DDADMM CSc1ccc([O-])c(C(=O)Nc2n[nH]cc2C(=O)N(C)C)c1 ZINC001363975757 887650215 /nfs/dbraw/zinc/65/02/15/887650215.db2.gz URMSUKBHXNZXSW-UHFFFAOYSA-N -1 1 320.374 1.791 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H](CC(C)C)OC)sn1 ZINC001363995166 887689355 /nfs/dbraw/zinc/68/93/55/887689355.db2.gz GQFMQWCHCZSGIM-SECBINFHSA-N -1 1 308.425 1.491 20 0 DDADMM O=C(NCc1nnc([C@H]2CCOC2)[nH]1)c1ccc(F)cc1[O-] ZINC001364107256 887936296 /nfs/dbraw/zinc/93/62/96/887936296.db2.gz IOQBUFZSVZCHPR-QMMMGPOBSA-N -1 1 306.297 1.083 20 0 DDADMM CC(C)(C)OC(=O)c1cnc(C(=O)Nc2c[n-][nH]c2=O)cn1 ZINC001364131025 887989118 /nfs/dbraw/zinc/98/91/18/887989118.db2.gz CLIDBIHLQOLJBK-UHFFFAOYSA-N -1 1 305.294 1.113 20 0 DDADMM Cc1nc(C(N)=O)c(OC2CC(C)(C)N(O)C(C)(C)C2)c(=O)[n-]1 ZINC001234469152 888002455 /nfs/dbraw/zinc/00/24/55/888002455.db2.gz DRKYQYNEQSNLEM-UHFFFAOYSA-N -1 1 324.381 1.379 20 0 DDADMM CC(C)C[C@@H](Oc1c(C(N)=O)nc[n-]c1=O)C(=O)OC(C)C ZINC001234469432 888002816 /nfs/dbraw/zinc/00/28/16/888002816.db2.gz WREFIPWGKYHPMN-SECBINFHSA-N -1 1 311.338 1.026 20 0 DDADMM COc1ccc(OC)c([C@@H](C)Oc2c(C(N)=O)nc[n-]c2=O)c1 ZINC001234472032 888003501 /nfs/dbraw/zinc/00/35/01/888003501.db2.gz KKHYHVYIWPHFLJ-MRVPVSSYSA-N -1 1 319.317 1.438 20 0 DDADMM Cc1ccccc1OC[C@@H](C)Oc1c(C(N)=O)nc[n-]c1=O ZINC001234472411 888003905 /nfs/dbraw/zinc/00/39/05/888003905.db2.gz PISVQWXJNLTOQO-SNVBAGLBSA-N -1 1 303.318 1.436 20 0 DDADMM Cc1nc(C(N)=O)c(OC[C@@H]2CCc3ccccc3O2)c(=O)[n-]1 ZINC001234471654 888005432 /nfs/dbraw/zinc/00/54/32/888005432.db2.gz FZBOSFPZGOLMCH-NSHDSACASA-N -1 1 315.329 1.362 20 0 DDADMM CCN1C(=O)C[C@H](C(=O)Nc2c[n-][nH]c2=O)[C@H]1c1cccnc1 ZINC001364150246 888028938 /nfs/dbraw/zinc/02/89/38/888028938.db2.gz RVOPIYZCMQCCER-GXFFZTMASA-N -1 1 315.333 1.059 20 0 DDADMM NC(=O)c1cccc2c1CCN(C(=O)c1cccc([O-])c1F)C2 ZINC001364155612 888040971 /nfs/dbraw/zinc/04/09/71/888040971.db2.gz ZZJLJTPNMFAHLJ-UHFFFAOYSA-N -1 1 314.316 1.829 20 0 DDADMM O=C(Cc1ccc2c(c1)CCCO2)NCc1nc([O-])cc(=O)[nH]1 ZINC001364208631 888147136 /nfs/dbraw/zinc/14/71/36/888147136.db2.gz VPAMICVDGSYONH-UHFFFAOYSA-N -1 1 315.329 1.072 20 0 DDADMM C[C@@H]1C[C@@H]1CNC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001364215866 888160180 /nfs/dbraw/zinc/16/01/80/888160180.db2.gz WUNROWDRSWBLEA-YTWAJWBKSA-N -1 1 321.343 1.883 20 0 DDADMM COCc1ncc2c(n1)CCN(C(=O)c1ccc(F)c([O-])c1)C2 ZINC001364441304 888644520 /nfs/dbraw/zinc/64/45/20/888644520.db2.gz YIRSKVLJNPVFHS-UHFFFAOYSA-N -1 1 317.320 1.666 20 0 DDADMM CC[C@H]1C[C@H](CC(=O)N(Cc2nn[n-]n2)CC(C)C)CCO1 ZINC001364652215 889125696 /nfs/dbraw/zinc/12/56/96/889125696.db2.gz RTJDQKLIPRUMBS-OLZOCXBDSA-N -1 1 309.414 1.780 20 0 DDADMM Cc1ccc(C)c(OCCC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001364662412 889147077 /nfs/dbraw/zinc/14/70/77/889147077.db2.gz JKRJMFODUWEQMI-UHFFFAOYSA-N -1 1 315.377 1.781 20 0 DDADMM C[C@H](OCc1ccc(F)cc1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001364661691 889147394 /nfs/dbraw/zinc/14/73/94/889147394.db2.gz GFTAFWCOCLXAGQ-JTQLQIEISA-N -1 1 319.340 1.440 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H](C)C(C)(C)C)[n-]n1 ZINC001364771532 889378727 /nfs/dbraw/zinc/37/87/27/889378727.db2.gz ZDKRKPXUHFXGCH-MRVPVSSYSA-N -1 1 303.384 1.251 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H](C)C(C)(C)C)n[n-]1 ZINC001364771532 889378732 /nfs/dbraw/zinc/37/87/32/889378732.db2.gz ZDKRKPXUHFXGCH-MRVPVSSYSA-N -1 1 303.384 1.251 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1cnccc1C ZINC001364902821 889639550 /nfs/dbraw/zinc/63/95/50/889639550.db2.gz QKOQPTUHRBQBQI-UHFFFAOYSA-N -1 1 313.404 1.376 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@H](c1nc(C)no1)C(C)C)C(C)C ZINC001365006813 889879902 /nfs/dbraw/zinc/87/99/02/889879902.db2.gz FHNQNTBGJKDJRS-NEPJUHHUSA-N -1 1 319.427 1.666 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1CCN([C@H]2CCOC2)CC1 ZINC001238135856 890059922 /nfs/dbraw/zinc/05/99/22/890059922.db2.gz XNCJJWBWYHVRKZ-INIZCTEOSA-N -1 1 304.390 1.600 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1CCN(c2ccncn2)CC1 ZINC001238142184 890064427 /nfs/dbraw/zinc/06/44/27/890064427.db2.gz BIKCLBZZKAHXLQ-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM COC(=O)CO[C@H]1CCN(Cc2ccc(F)cc2C(=O)[O-])C1 ZINC001238244185 890148506 /nfs/dbraw/zinc/14/85/06/890148506.db2.gz JBHRXXGZDDEATD-LBPRGKRZSA-N -1 1 311.309 1.288 20 0 DDADMM NC(=O)[C@H]1CCCC[C@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC001365131110 890166230 /nfs/dbraw/zinc/16/62/30/890166230.db2.gz HZFLYWOWCLRNJM-JGVFFNPUSA-N -1 1 322.333 1.540 20 0 DDADMM CCc1nocc1CN(C)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001365197775 890320431 /nfs/dbraw/zinc/32/04/31/890320431.db2.gz GSPVKMHCFXLPLX-UHFFFAOYSA-N -1 1 314.349 1.556 20 0 DDADMM Cc1ccc(CN2C[C@@H](C(=O)Nc3c[n-][nH]c3=O)CC2=O)cc1 ZINC001365204781 890340231 /nfs/dbraw/zinc/34/02/31/890340231.db2.gz AXUOJMVGDBRWAT-LBPRGKRZSA-N -1 1 314.345 1.411 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1Cc2cc(Br)ccc2O1 ZINC001365208123 890350864 /nfs/dbraw/zinc/35/08/64/890350864.db2.gz LBVIAVBXWDFZLD-SNVBAGLBSA-N -1 1 324.134 1.820 20 0 DDADMM Cc1ccc(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001386160445 890447754 /nfs/dbraw/zinc/44/77/54/890447754.db2.gz JZWVWHGKZMYEIL-VIFPVBQESA-N -1 1 303.318 1.237 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]Cc1c[nH]cn1 ZINC001365447272 890810249 /nfs/dbraw/zinc/81/02/49/890810249.db2.gz PWYVXHBNHBOKSN-UHFFFAOYSA-N -1 1 303.290 1.175 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1ccns1 ZINC001365456156 890828319 /nfs/dbraw/zinc/82/83/19/890828319.db2.gz GPBZKNCUGUNOAA-UHFFFAOYSA-N -1 1 305.406 1.129 20 0 DDADMM CN1CCN(c2nccnc2-c2ccc(C(=O)[O-])s2)CC1 ZINC001240845941 891070489 /nfs/dbraw/zinc/07/04/89/891070489.db2.gz MUONMPLVYWPMKX-UHFFFAOYSA-N -1 1 304.375 1.655 20 0 DDADMM CN1CCN(c2cc(-c3c(F)ccc([O-])c3F)ncn2)CC1=O ZINC001241290347 891143074 /nfs/dbraw/zinc/14/30/74/891143074.db2.gz QIYZIHNUYVTBNE-UHFFFAOYSA-N -1 1 320.299 1.406 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1ccn(C(C)C)n1 ZINC001365661012 891269542 /nfs/dbraw/zinc/26/95/42/891269542.db2.gz WTTUHPWWWMSWRL-UHFFFAOYSA-N -1 1 316.408 1.408 20 0 DDADMM CN1CCN(c2cc(-c3ccc(Cl)c([O-])c3)ncn2)CC1=O ZINC001243579234 891678919 /nfs/dbraw/zinc/67/89/19/891678919.db2.gz PXYRJOWOASHZEH-UHFFFAOYSA-N -1 1 318.764 1.781 20 0 DDADMM CC[C@@]1(C)C[C@H]1C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001386849188 891852766 /nfs/dbraw/zinc/85/27/66/891852766.db2.gz CSDOWPLMSWUKHG-ZBEGNZNMSA-N -1 1 305.378 1.412 20 0 DDADMM Cc1csc(C(=O)NCCN(C)C(=O)c2ncccc2[O-])c1 ZINC001386869296 891900962 /nfs/dbraw/zinc/90/09/62/891900962.db2.gz CFOMAYFIPYVTIN-UHFFFAOYSA-N -1 1 319.386 1.659 20 0 DDADMM CN1CCN(c2ccc(-c3cnc(F)c(C(=O)[O-])c3)cn2)CC1 ZINC001244753529 891906253 /nfs/dbraw/zinc/90/62/53/891906253.db2.gz QFRIUDWDJCRBEF-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM COc1ncc(-c2ccc(N)c(F)c2)cc1[N-]S(C)(=O)=O ZINC001244793175 891928236 /nfs/dbraw/zinc/92/82/36/891928236.db2.gz CYLDSPMCRVXCEG-UHFFFAOYSA-N -1 1 311.338 1.850 20 0 DDADMM COc1ncc(-c2cncc3nnoc32)cc1[N-]S(C)(=O)=O ZINC001244795676 891931462 /nfs/dbraw/zinc/93/14/62/891931462.db2.gz GQAFKVSPXXXSEO-UHFFFAOYSA-N -1 1 321.318 1.060 20 0 DDADMM O=C(c1ccc(OC(F)(F)F)cc1)n1[n-]c(CO)cc1=O ZINC001245923100 892271827 /nfs/dbraw/zinc/27/18/27/892271827.db2.gz DEPMNCDNHVWEML-UHFFFAOYSA-N -1 1 302.208 1.668 20 0 DDADMM CCc1nc(CN[C@@H](C)CNC(=O)c2ncccc2[O-])cs1 ZINC001366263396 892938037 /nfs/dbraw/zinc/93/80/37/892938037.db2.gz QAUQNXOXHNFFOU-JTQLQIEISA-N -1 1 320.418 1.714 20 0 DDADMM COC(=O)[C@@H](F)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001366266737 892949264 /nfs/dbraw/zinc/94/92/64/892949264.db2.gz UAVFFBIPXBPLCT-NSHDSACASA-N -1 1 322.296 1.211 20 0 DDADMM Cc1cnc([C@H](C)NC[C@@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001374752287 913801385 /nfs/dbraw/zinc/80/13/85/913801385.db2.gz SJZPJVVFVAYXCE-KOLCDFICSA-N -1 1 304.350 1.553 20 0 DDADMM COc1nc(OC)c(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1C ZINC001250182735 894208952 /nfs/dbraw/zinc/20/89/52/894208952.db2.gz UVXFLASTSHMABU-UHFFFAOYSA-N -1 1 309.351 1.517 20 0 DDADMM COc1ncc(CC2CCOCC2)cc1[N-]S(C)(=O)=O ZINC001250396115 894270986 /nfs/dbraw/zinc/27/09/86/894270986.db2.gz BWIKJDXQOUYHIG-UHFFFAOYSA-N -1 1 300.380 1.431 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CC1(C)CC1 ZINC001388056365 894469161 /nfs/dbraw/zinc/46/91/61/894469161.db2.gz MYGAERCVINFOGB-LLVKDONJSA-N -1 1 305.378 1.602 20 0 DDADMM COCc1cnc(-n2c([O-])c(CC(F)(F)F)[nH]c2=O)s1 ZINC000348586053 894891144 /nfs/dbraw/zinc/89/11/44/894891144.db2.gz BRWJAHSZXDSCBZ-ZCFIWIBFSA-N -1 1 309.269 1.667 20 0 DDADMM O=c1[nH]c(CC(F)(F)F)c([O-])n1-c1nc2c(s1)COCC2 ZINC000348596380 894893311 /nfs/dbraw/zinc/89/33/11/894893311.db2.gz LQFORDZCEMFTGJ-ZCFIWIBFSA-N -1 1 321.280 1.593 20 0 DDADMM O=c1[nH]c(CC(F)(F)F)c([O-])n1-c1nc2c(s1)COCC2 ZINC000348596379 894894411 /nfs/dbraw/zinc/89/44/11/894894411.db2.gz LQFORDZCEMFTGJ-LURJTMIESA-N -1 1 321.280 1.593 20 0 DDADMM CCCc1ccc(S(=O)(=O)NCC[P@](=O)([O-])O)cc1 ZINC001255239084 896803366 /nfs/dbraw/zinc/80/33/66/896803366.db2.gz AHTIUBRHBOCIBW-UHFFFAOYSA-N -1 1 307.308 1.095 20 0 DDADMM C[C@@H](C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-])C1CC1 ZINC001389613228 897637422 /nfs/dbraw/zinc/63/74/22/897637422.db2.gz AHJSAOWYSJMQMU-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cccc(C2CC2)c1 ZINC001367773974 897740914 /nfs/dbraw/zinc/74/09/14/897740914.db2.gz DGJIDYPVVDRNGP-JTQLQIEISA-N -1 1 315.377 1.296 20 0 DDADMM CC(=O)OCC[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257544125 897875655 /nfs/dbraw/zinc/87/56/55/897875655.db2.gz VCGGCNHHBNOQIH-UHFFFAOYSA-N -1 1 318.203 1.896 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1cc[nH]c(=O)c1Cl ZINC001258536874 898239445 /nfs/dbraw/zinc/23/94/45/898239445.db2.gz SQMRSVXMRPOLSD-UHFFFAOYSA-N -1 1 303.727 1.846 20 0 DDADMM CO[C@@H]1COCC[C@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258949860 898376399 /nfs/dbraw/zinc/37/63/99/898376399.db2.gz XRTUIVYJGUHBBN-GHMZBOCLSA-N -1 1 307.318 1.047 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1ccncc1)c1cc(F)ccc1F ZINC001258950022 898377846 /nfs/dbraw/zinc/37/78/46/898377846.db2.gz HIDKLJOZZARTGU-LBPRGKRZSA-N -1 1 314.313 1.372 20 0 DDADMM O=C1CCC[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC001259082289 898458399 /nfs/dbraw/zinc/45/83/99/898458399.db2.gz UJEYKXPUXMVIBC-SSDOTTSWSA-N -1 1 307.293 1.894 20 0 DDADMM COC(=O)c1ncccc1[N-]S(=O)(=O)Cc1ccc(F)cc1 ZINC001259247558 898546045 /nfs/dbraw/zinc/54/60/45/898546045.db2.gz TUGVRROPCLPSPG-UHFFFAOYSA-N -1 1 324.333 1.949 20 0 DDADMM O=S(=O)([N-]C[C@H]1CN(c2ccccc2)CCO1)C(F)F ZINC001259962072 898983610 /nfs/dbraw/zinc/98/36/10/898983610.db2.gz CJDAOPSRPNVTII-NSHDSACASA-N -1 1 306.334 1.034 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)C(F)F)cc(OC)c1OC ZINC001259963069 898985455 /nfs/dbraw/zinc/98/54/55/898985455.db2.gz PIDSYYGDRRRMAC-UHFFFAOYSA-N -1 1 311.306 1.354 20 0 DDADMM O=S(=O)([N-]C1(Cc2ccccn2)CCOCC1)C(F)F ZINC001259963766 898986062 /nfs/dbraw/zinc/98/60/62/898986062.db2.gz NMVLBFZVIOSQTN-UHFFFAOYSA-N -1 1 306.334 1.315 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cnc(F)c(F)c2)cc1 ZINC001260223291 899097112 /nfs/dbraw/zinc/09/71/12/899097112.db2.gz LSHALFLRZAGOGH-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)N2CCC(N3CCC3)CC2)cc1 ZINC001260226438 899100400 /nfs/dbraw/zinc/10/04/00/899100400.db2.gz FLPODOVJEWHZGE-UHFFFAOYSA-N -1 1 324.402 1.244 20 0 DDADMM CN1CC([N-]S(=O)(=O)c2cc(Cl)sc2Cl)C1 ZINC001260472561 899149556 /nfs/dbraw/zinc/14/95/56/899149556.db2.gz QKQVIQNASMOQMA-UHFFFAOYSA-N -1 1 301.220 1.647 20 0 DDADMM CCc1nc(C)c(CN[C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001390345939 899220119 /nfs/dbraw/zinc/22/01/19/899220119.db2.gz ULOLETVELYTWGI-MRVPVSSYSA-N -1 1 321.381 1.191 20 0 DDADMM CCc1nnc([C@@H](C)N[C@H](C)CNC(=O)c2ncccc2[O-])o1 ZINC001390347274 899222702 /nfs/dbraw/zinc/22/27/02/899222702.db2.gz UZYNJHOBKMEWNQ-NXEZZACHSA-N -1 1 319.365 1.202 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccccn1 ZINC001390374856 899282968 /nfs/dbraw/zinc/28/29/68/899282968.db2.gz BXFKUJKAULABHC-LLVKDONJSA-N -1 1 314.345 1.121 20 0 DDADMM Cc1ccc2cccc(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)c2n1 ZINC001263886708 900800487 /nfs/dbraw/zinc/80/04/87/900800487.db2.gz SSZVYLAIQSDVAE-GFCCVEGCSA-N -1 1 308.345 1.686 20 0 DDADMM CCC(C)(C)C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001396822077 914537150 /nfs/dbraw/zinc/53/71/50/914537150.db2.gz NWQCZCRUNFMSFD-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H](NC(=O)c1cccn1C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369660543 901722256 /nfs/dbraw/zinc/72/22/56/901722256.db2.gz HMNVNQUYFBXBGQ-MNOVXSKESA-N -1 1 316.361 1.063 20 0 DDADMM CS[C@H](C)C(=O)N[C@@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001370105796 902554116 /nfs/dbraw/zinc/55/41/16/902554116.db2.gz YUICYEDHQYOQIZ-VHSXEESVSA-N -1 1 323.418 1.115 20 0 DDADMM CCN(CCCNC(=O)c1ccc(F)cc1)Cc1n[nH]c(=O)[n-]1 ZINC001266014598 902755430 /nfs/dbraw/zinc/75/54/30/902755430.db2.gz AIFOXLYFYVCKCW-UHFFFAOYSA-N -1 1 321.356 1.291 20 0 DDADMM C[C@H](CC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)C1CC1 ZINC001392026366 903117188 /nfs/dbraw/zinc/11/71/88/903117188.db2.gz WJZQGWWIFLIGAN-LLVKDONJSA-N -1 1 321.425 1.465 20 0 DDADMM CC/C(C)=C\C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001293769436 914693395 /nfs/dbraw/zinc/69/33/95/914693395.db2.gz PNXMHFZHQJGVOY-QXMHVHEDSA-N -1 1 317.389 1.864 20 0 DDADMM CCOCCC(=O)N[C@@H](C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001281440425 904853275 /nfs/dbraw/zinc/85/32/75/904853275.db2.gz WZAVHSOZQRMSTR-RYUDHWBXSA-N -1 1 323.393 1.227 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1CCC(C)(C)C1)NC(=O)c1ncccc1[O-] ZINC001392774048 905212637 /nfs/dbraw/zinc/21/26/37/905212637.db2.gz OZJUGGUKLMUPOT-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@@H](C)CC(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001371588377 905278337 /nfs/dbraw/zinc/27/83/37/905278337.db2.gz JTAZMWRZQGEAFK-CHWSQXEVSA-N -1 1 319.405 1.896 20 0 DDADMM CC[C@H](C)CC(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001371588410 905278709 /nfs/dbraw/zinc/27/87/09/905278709.db2.gz JTAZMWRZQGEAFK-STQMWFEESA-N -1 1 319.405 1.896 20 0 DDADMM Cc1occc1C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001393611913 907477945 /nfs/dbraw/zinc/47/79/45/907477945.db2.gz XHMMHMVNFHMXMT-UHFFFAOYSA-N -1 1 315.329 1.381 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CC12CC2 ZINC001283712176 907834678 /nfs/dbraw/zinc/83/46/78/907834678.db2.gz VAYJWGDWZOZRCQ-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM CCc1nc(CN[C@@H](C)CCNC(=O)c2ncccc2[O-])no1 ZINC001393800098 907954190 /nfs/dbraw/zinc/95/41/90/907954190.db2.gz WNAPVAXYWBGVHM-JTQLQIEISA-N -1 1 319.365 1.031 20 0 DDADMM CCCCCCC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001284024938 908335856 /nfs/dbraw/zinc/33/58/56/908335856.db2.gz FUGCEIGHCQKAFA-RYUDHWBXSA-N -1 1 309.414 1.560 20 0 DDADMM CCCN(CCNC(=O)C1CC=CC1)C(=O)c1ncccc1[O-] ZINC001284700781 909370984 /nfs/dbraw/zinc/37/09/84/909370984.db2.gz BPYZOKWZLISRIF-UHFFFAOYSA-N -1 1 317.389 1.722 20 0 DDADMM C/C=C(/C)C(=O)N(CC)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001285016800 909944802 /nfs/dbraw/zinc/94/48/02/909944802.db2.gz LWRQBRLRRMVFDK-TWEXNZEWSA-N -1 1 317.389 1.816 20 0 DDADMM CC(C)=C(F)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C(C)C ZINC001285313220 910337932 /nfs/dbraw/zinc/33/79/32/910337932.db2.gz CKIJREIVQOBMPX-LLVKDONJSA-N -1 1 323.368 1.921 20 0 DDADMM CC[C@@H](O)Cn1cc(C(=O)Nc2ccc([O-])c(Cl)c2)nn1 ZINC001295295350 915691209 /nfs/dbraw/zinc/69/12/09/915691209.db2.gz LPHCPASZHJDICV-SECBINFHSA-N -1 1 310.741 1.660 20 0 DDADMM Cc1ccoc1C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001377448525 921948060 /nfs/dbraw/zinc/94/80/60/921948060.db2.gz YHESQVMNABWDDB-JTQLQIEISA-N -1 1 303.318 1.237 20 0 DDADMM Nc1ccnc(CSc2nc(C(F)(F)F)cc(=O)[n-]2)n1 ZINC000163029275 306680988 /nfs/dbraw/zinc/68/09/88/306680988.db2.gz XRSBJCWTKNQPDC-UHFFFAOYSA-N -1 1 303.269 1.866 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3CC(C(C)(C)C)C3)nc2n1 ZINC000622869894 365549004 /nfs/dbraw/zinc/54/90/04/365549004.db2.gz KTWQCKXKLIKSBQ-UHFFFAOYSA-N -1 1 303.366 1.737 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3OC(C)(C)C)nc2n1 ZINC000622992273 365584517 /nfs/dbraw/zinc/58/45/17/365584517.db2.gz DMWMMZBKAVNBOU-NXEZZACHSA-N -1 1 319.365 1.122 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@H]4C[C@H]4C3)nc2n1 ZINC000622993221 365585706 /nfs/dbraw/zinc/58/57/06/365585706.db2.gz FVLAUPRPBBEKRW-AEJSXWLSSA-N -1 1 301.350 1.355 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CCc3ccoc3)nc2n1 ZINC000622994707 365588237 /nfs/dbraw/zinc/58/82/37/365588237.db2.gz ZDDRVKVLMSNORU-UHFFFAOYSA-N -1 1 301.306 1.144 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cc(C)c(C)s3)nc2n1 ZINC000622995709 365588876 /nfs/dbraw/zinc/58/88/76/365588876.db2.gz MFIRNQMAAXNHEZ-UHFFFAOYSA-N -1 1 317.374 1.333 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cc(C)cc(C)c3)nc2n1 ZINC000622995559 365589114 /nfs/dbraw/zinc/58/91/14/365589114.db2.gz GFRCMNYECATQKO-UHFFFAOYSA-N -1 1 311.345 1.849 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)Cc3ccsc3)nc2n1 ZINC000622995553 365589185 /nfs/dbraw/zinc/58/91/85/365589185.db2.gz FYDNAKCUWLFRQN-UHFFFAOYSA-N -1 1 317.374 1.613 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCC(C)(F)F)nc2n1 ZINC000622996604 365590016 /nfs/dbraw/zinc/59/00/16/365590016.db2.gz SNXGVCBZDFPDRP-UHFFFAOYSA-N -1 1 313.308 1.744 20 0 DDADMM O=C(NC[C@@H](CO)[C@H]1CCOC1)c1ncc2ccccc2c1[O-] ZINC000614340015 361810718 /nfs/dbraw/zinc/81/07/18/361810718.db2.gz GYFDTZOZUHNDMW-STQMWFEESA-N -1 1 316.357 1.315 20 0 DDADMM O=C(c1ccc(O)cc1O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614389849 361832751 /nfs/dbraw/zinc/83/27/51/361832751.db2.gz QCSNYZXTASTPKH-MRVPVSSYSA-N -1 1 305.290 1.206 20 0 DDADMM CCOc1cc(C(=O)N[C@@H]2CC[S@@](=O)C2)cc(Cl)c1[O-] ZINC000358110945 299080474 /nfs/dbraw/zinc/08/04/74/299080474.db2.gz SZFHGVANXDLPDJ-GSDQLPOLSA-N -1 1 317.794 1.695 20 0 DDADMM CC[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H](O)C(C)C ZINC000414414184 529580732 /nfs/dbraw/zinc/58/07/32/529580732.db2.gz MXKUATWNPYFBOV-AAEUAGOBSA-N -1 1 303.362 1.448 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C(=O)OC)oc1C)C(F)F ZINC000451081927 529644255 /nfs/dbraw/zinc/64/42/55/529644255.db2.gz NCCCKZBRMXZBFR-ZETCQYMHSA-N -1 1 311.306 1.697 20 0 DDADMM COc1cc(C(=O)N(C)CC(=O)NC(C)C)cc(Cl)c1[O-] ZINC000358150504 299089971 /nfs/dbraw/zinc/08/99/71/299089971.db2.gz CQYIIOCBWPZZHW-UHFFFAOYSA-N -1 1 314.769 1.651 20 0 DDADMM O=C([O-])CCC1CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000315667360 539295402 /nfs/dbraw/zinc/29/54/02/539295402.db2.gz VWAJSSIISPPQPB-UHFFFAOYSA-N -1 1 302.334 1.675 20 0 DDADMM COc1cccc(S(=O)(=O)Nc2ccc(C(=O)[O-])cc2O)c1 ZINC000317942770 539296275 /nfs/dbraw/zinc/29/62/75/539296275.db2.gz XMPBRECARKJPEW-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1C[C@@]1(F)c1ccccc1 ZINC000412318041 529930893 /nfs/dbraw/zinc/93/08/93/529930893.db2.gz PQFMQAXNHDZRSZ-MEDUHNTESA-N -1 1 316.336 1.323 20 0 DDADMM COC(=O)[C@@H](CF)[N-]S(=O)(=O)c1cc(C)c(F)cc1F ZINC000287499057 282347263 /nfs/dbraw/zinc/34/72/63/282347263.db2.gz RONGIFSZUHHEAO-SECBINFHSA-N -1 1 311.281 1.063 20 0 DDADMM COC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)c1ncc(C)cc1[O-] ZINC000615816425 362453157 /nfs/dbraw/zinc/45/31/57/362453157.db2.gz MVLXNDIPJILOEE-MJVIPROJSA-N -1 1 304.346 1.509 20 0 DDADMM CN(Cc1nc(-c2cccnc2)no1)C(=O)c1ncccc1[O-] ZINC000488974609 530021486 /nfs/dbraw/zinc/02/14/86/530021486.db2.gz HPJDLEJKGOTNQR-UHFFFAOYSA-N -1 1 311.301 1.504 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000615960650 362499550 /nfs/dbraw/zinc/49/95/50/362499550.db2.gz MXPRPRAPVVEVGR-SNVBAGLBSA-N -1 1 304.350 1.485 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCC2CSC2)c(=O)[n-]1 ZINC000616065998 362536411 /nfs/dbraw/zinc/53/64/11/362536411.db2.gz DXTNPAVKOBMCEG-UHFFFAOYSA-N -1 1 313.448 1.624 20 0 DDADMM C[C@H](CNC(=O)NCCC[N@@H+](C)Cc1ccccc1)C(=O)[O-] ZINC000390820084 539511177 /nfs/dbraw/zinc/51/11/77/539511177.db2.gz VNERINRMSUVWOQ-CYBMUJFWSA-N -1 1 307.394 1.528 20 0 DDADMM CN(C)c1ccc([N-]S(=O)(=O)c2ccc(N(C)C)nc2)cn1 ZINC000279599212 214995376 /nfs/dbraw/zinc/99/53/76/214995376.db2.gz PHEDHXUMERGWJF-UHFFFAOYSA-N -1 1 321.406 1.409 20 0 DDADMM CC[C@@H](C(=O)Nc1nc(Br)ccc1[O-])C(=O)OC ZINC000279624662 215015107 /nfs/dbraw/zinc/01/51/07/215015107.db2.gz BGMLTTWFXPGPLB-LURJTMIESA-N -1 1 317.139 1.687 20 0 DDADMM CO[N-]C(=O)[C@@H]1CC(=O)N(C)[C@H]1c1ccc(C(F)(F)F)cc1 ZINC000115327729 185676103 /nfs/dbraw/zinc/67/61/03/185676103.db2.gz NAPMCCXTMFZBQE-PWSUYJOCSA-N -1 1 316.279 1.902 20 0 DDADMM C[C@H](CC(=O)NCCCc1nc(=O)[n-][nH]1)c1ccc(F)cc1F ZINC000162342500 290795054 /nfs/dbraw/zinc/79/50/54/290795054.db2.gz ZBDJUKOIXACLFW-SECBINFHSA-N -1 1 324.331 1.619 20 0 DDADMM COc1ccc(C)cc1CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000358378323 299136063 /nfs/dbraw/zinc/13/60/63/299136063.db2.gz DCNPILRLAKADRU-NSHDSACASA-N -1 1 303.366 1.321 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H]3CC[C@@H]3C(N)=O)[nH][n-]2)s1 ZINC000616811750 362829274 /nfs/dbraw/zinc/82/92/74/362829274.db2.gz VUABFZHWSWIEMU-IUCAKERBSA-N -1 1 304.375 1.319 20 0 DDADMM CO[C@H](CNC(=O)c1ncc2ccccc2c1[O-])[C@H]1CCOC1 ZINC000617154819 362979258 /nfs/dbraw/zinc/97/92/58/362979258.db2.gz JJVULBTVMHSUBD-GXTWGEPZSA-N -1 1 316.357 1.722 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@@]12C[C@@H]1CCC2 ZINC000617205426 362994951 /nfs/dbraw/zinc/99/49/51/362994951.db2.gz YJOGKTAXQMJNIB-UGSOOPFHSA-N -1 1 324.384 1.742 20 0 DDADMM C[C@@]1(C2CC2)CN(C(=O)c2csc(=NC3CC3)[n-]2)CCO1 ZINC000617393568 363085895 /nfs/dbraw/zinc/08/58/95/363085895.db2.gz RXKNXIFPXJKDIE-HNNXBMFYSA-N -1 1 307.419 1.780 20 0 DDADMM CCCNC(=O)c1ccc([N-]S(=O)(=O)CCOC)cc1 ZINC000172560209 198116004 /nfs/dbraw/zinc/11/60/04/198116004.db2.gz HTQXVJGROUXVKS-UHFFFAOYSA-N -1 1 300.380 1.215 20 0 DDADMM NC(=O)COc1cccc([N-]S(=O)(=O)c2cccc(F)c2)c1 ZINC000024430964 352189119 /nfs/dbraw/zinc/18/91/19/352189119.db2.gz WBQMXCWMZYDJGD-UHFFFAOYSA-N -1 1 324.333 1.491 20 0 DDADMM CNC(=O)c1cc(S(=O)(=O)[N-]c2ccc(OC)cc2)cn1C ZINC000031665472 352269533 /nfs/dbraw/zinc/26/95/33/352269533.db2.gz RWVDZBFEDZUPAJ-UHFFFAOYSA-N -1 1 323.374 1.194 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCOC[C@H]2C)c(=O)[n-]1 ZINC000047449709 352497108 /nfs/dbraw/zinc/49/71/08/352497108.db2.gz HHLQVZIHHAZYMX-SECBINFHSA-N -1 1 311.407 1.392 20 0 DDADMM COc1ccc(C(=O)NCCc2n[n-]c(=S)n2C2CC2)cn1 ZINC000067079306 353032797 /nfs/dbraw/zinc/03/27/97/353032797.db2.gz WNSKBKDGXPITFF-UHFFFAOYSA-N -1 1 319.390 1.652 20 0 DDADMM Cn1c(CNC(=O)CCOc2cccc(C=O)c2)n[n-]c1=S ZINC000068391323 353108372 /nfs/dbraw/zinc/10/83/72/353108372.db2.gz DGNPNVGHPABWDX-UHFFFAOYSA-N -1 1 320.374 1.375 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H]2CC[C@@H](F)C2)oc1C ZINC000344904988 282866674 /nfs/dbraw/zinc/86/66/74/282866674.db2.gz HJIGPHHXSVOXCL-RKDXNWHRSA-N -1 1 305.327 1.544 20 0 DDADMM O=C(NCc1nnnn1C1CC1)c1cc2ccccc2cc1[O-] ZINC000075201377 353351595 /nfs/dbraw/zinc/35/15/95/353351595.db2.gz ZNCAKUBPDYBJQM-UHFFFAOYSA-N -1 1 309.329 1.797 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C)C(=O)NCc2ccco2)c([O-])c1 ZINC000358598818 299203999 /nfs/dbraw/zinc/20/39/99/299203999.db2.gz PNLRDKOZCKQFPC-SNVBAGLBSA-N -1 1 303.318 1.123 20 0 DDADMM COc1cc(O)cc([N-]S(=O)(=O)c2ccc3c(c2)OCO3)c1 ZINC000355784404 291006230 /nfs/dbraw/zinc/00/62/30/291006230.db2.gz ADTXLHDTWKHHFL-UHFFFAOYSA-N -1 1 323.326 1.930 20 0 DDADMM C[C@@H]1CN(CCNC(=O)c2c(F)ccc([O-])c2F)[C@H](C)CO1 ZINC000617723245 363255459 /nfs/dbraw/zinc/25/54/59/363255459.db2.gz KRUDOZJJAJGHRQ-NXEZZACHSA-N -1 1 314.332 1.509 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)N1CCC[C@H](c2n[n-]c(=S)n2C)C1 ZINC000092414748 353856916 /nfs/dbraw/zinc/85/69/16/353856916.db2.gz FBKGGJQAKISZCW-DCAQKATOSA-N -1 1 310.423 1.609 20 0 DDADMM Cc1nc(SCC(=O)NCc2cccnc2)[n-]c(=O)c1C ZINC000096641713 353915426 /nfs/dbraw/zinc/91/54/26/353915426.db2.gz HQEDKPZAQKUGTJ-UHFFFAOYSA-N -1 1 304.375 1.190 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)C[C@H]1CCC1(F)F ZINC000601300048 358485707 /nfs/dbraw/zinc/48/57/07/358485707.db2.gz UWPFPRDHCMGJJD-ZJUUUORDSA-N -1 1 313.366 1.539 20 0 DDADMM CO[C@@H]1CCN(Cc2ccn(CC(F)(F)F)n2)[C@H](C(=O)[O-])C1 ZINC000584793100 354771390 /nfs/dbraw/zinc/77/13/90/354771390.db2.gz HOVRCFQNOUXNMI-MNOVXSKESA-N -1 1 321.299 1.509 20 0 DDADMM COC[C@@H](NC(=O)c1ncccc1[O-])c1cccc(OC)c1 ZINC000617969807 363413506 /nfs/dbraw/zinc/41/35/06/363413506.db2.gz WBKWYQOUFWAGPM-CYBMUJFWSA-N -1 1 302.330 1.913 20 0 DDADMM COC(=O)CC1(CS(=O)(=O)[N-][C@H]2CCCC2(F)F)CC1 ZINC000588144796 354905450 /nfs/dbraw/zinc/90/54/50/354905450.db2.gz VEFGWBYBYRHQPA-VIFPVBQESA-N -1 1 311.350 1.437 20 0 DDADMM Cc1ccccc1C(C)(C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000494373901 235027056 /nfs/dbraw/zinc/02/70/56/235027056.db2.gz FWBUOFCEIYKYFZ-UHFFFAOYSA-N -1 1 322.390 1.433 20 0 DDADMM Cc1ccccc1C(C)(C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000494373901 235027058 /nfs/dbraw/zinc/02/70/58/235027058.db2.gz FWBUOFCEIYKYFZ-UHFFFAOYSA-N -1 1 322.390 1.433 20 0 DDADMM COC(=O)Cc1cccc([N-]S(=O)(=O)CCCCF)n1 ZINC000588944972 354950784 /nfs/dbraw/zinc/95/07/84/354950784.db2.gz FYPYAJGWLODWFF-UHFFFAOYSA-N -1 1 304.343 1.289 20 0 DDADMM CCN(CC)C(=O)CCCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000346341281 283067648 /nfs/dbraw/zinc/06/76/48/283067648.db2.gz DXUAAERNYITCHL-UHFFFAOYSA-N -1 1 322.413 1.124 20 0 DDADMM O=C(Nc1nc(-c2ccc(Cl)cc2)n[nH]1)c1n[nH]c(=O)[n-]1 ZINC000590838855 355193397 /nfs/dbraw/zinc/19/33/97/355193397.db2.gz PSKBDQZBQZYYQE-UHFFFAOYSA-N -1 1 305.685 1.201 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)C[C@@H](OC)C(C)C)CCCCC1 ZINC000592010421 355463077 /nfs/dbraw/zinc/46/30/77/355463077.db2.gz LSIQHTYTCRXQEZ-GFCCVEGCSA-N -1 1 321.439 1.453 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@@H](OC)C(C)C ZINC000592075401 355484991 /nfs/dbraw/zinc/48/49/91/355484991.db2.gz ZESCYQHTWQGCOF-VXGBXAGGSA-N -1 1 323.455 1.555 20 0 DDADMM CCOC(=O)c1sc([N-]C(=O)c2cc(OC)no2)nc1C ZINC000346542450 283118545 /nfs/dbraw/zinc/11/85/45/283118545.db2.gz OGYOFIDCSVZNLS-UHFFFAOYSA-N -1 1 311.319 1.877 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)Cc1ccccc1Cl ZINC000593632655 355949130 /nfs/dbraw/zinc/94/91/30/355949130.db2.gz MEALKDXJPSCXKM-LLVKDONJSA-N -1 1 323.773 1.661 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn(C(C)C)nc2C)n1 ZINC000594019206 356090485 /nfs/dbraw/zinc/09/04/85/356090485.db2.gz FDWUFGKLQPFRBW-UHFFFAOYSA-N -1 1 305.338 1.925 20 0 DDADMM COC(=O)c1cc(Cl)cc(S(=O)(=O)[N-]CC2CC2)c1F ZINC000594437034 356213864 /nfs/dbraw/zinc/21/38/64/356213864.db2.gz LIJQAGYVFUQOLZ-UHFFFAOYSA-N -1 1 321.757 1.954 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCC(C)(F)F)c1ccccc1 ZINC000601330516 358501306 /nfs/dbraw/zinc/50/13/06/358501306.db2.gz UJPNYKWHYSJVSC-LLVKDONJSA-N -1 1 321.345 1.865 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCC2CC(OC)C2)c1 ZINC000594788492 356316836 /nfs/dbraw/zinc/31/68/36/356316836.db2.gz DKMRXDZEZFMDQJ-UHFFFAOYSA-N -1 1 317.363 1.160 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCC[C@H](C)CO)cc1C ZINC000595321897 356449467 /nfs/dbraw/zinc/44/94/67/356449467.db2.gz RNLPOIZVTDRFSP-VIFPVBQESA-N -1 1 319.379 1.062 20 0 DDADMM Cc1cnc(S[C@H]2CCCN(c3ccn(C)n3)C2=O)[n-]c1=O ZINC000565241868 304056882 /nfs/dbraw/zinc/05/68/82/304056882.db2.gz XYUXVKFLKSOFSV-JTQLQIEISA-N -1 1 319.390 1.512 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1cc(C)cc(C)c1 ZINC000618159238 363483642 /nfs/dbraw/zinc/48/36/42/363483642.db2.gz SJJGYJQOGWIQRF-UHFFFAOYSA-N -1 1 303.318 1.443 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCC(O)(CO)CC1 ZINC000618240509 363539597 /nfs/dbraw/zinc/53/95/97/363539597.db2.gz UYYNBIUWKLTIKF-UHFFFAOYSA-N -1 1 319.279 1.370 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])c1cc2c(=O)[nH]cnc2cn1 ZINC000427657178 295935983 /nfs/dbraw/zinc/93/59/83/295935983.db2.gz NIRPTFHYFDDZMM-UHFFFAOYSA-N -1 1 300.249 1.827 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@](O)(C2CC2)C1 ZINC000618294233 363569832 /nfs/dbraw/zinc/56/98/32/363569832.db2.gz JFHOWZLFCSLNKG-OAHLLOKOSA-N -1 1 307.419 1.516 20 0 DDADMM COC(=O)C[C@H](C)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000597731824 357308435 /nfs/dbraw/zinc/30/84/35/357308435.db2.gz PGGMBRRHDJWPHX-YFKPBYRVSA-N -1 1 304.144 1.040 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@H](C)n2ccc(C)n2)[n-]1 ZINC000598847658 357743446 /nfs/dbraw/zinc/74/34/46/357743446.db2.gz PCDKBQXJCCGVTI-JTQLQIEISA-N -1 1 305.338 1.681 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@H](C)n2ccc(C)n2)n1 ZINC000598847658 357743447 /nfs/dbraw/zinc/74/34/47/357743447.db2.gz PCDKBQXJCCGVTI-JTQLQIEISA-N -1 1 305.338 1.681 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2c(F)ccc([O-])c2F)CS1(=O)=O ZINC000618325161 363588567 /nfs/dbraw/zinc/58/85/67/363588567.db2.gz FFMRWBFDASCRDM-HTQZYQBOSA-N -1 1 319.329 1.366 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ccc([O-])c(F)c2)CS1(=O)=O ZINC000618325902 363588646 /nfs/dbraw/zinc/58/86/46/363588646.db2.gz OKBHJYRROYXJAW-SCZZXKLOSA-N -1 1 301.339 1.227 20 0 DDADMM COC(=O)c1[n-]c(=NCc2cc3c([nH]c2=O)CCC3)sc1C ZINC000599136118 357826334 /nfs/dbraw/zinc/82/63/34/357826334.db2.gz HFGLPIFVVACAMB-UHFFFAOYSA-N -1 1 319.386 1.861 20 0 DDADMM Cc1nn(-c2ccc(F)cc2)c(C)c1C(=O)NCc1nn[n-]n1 ZINC000599334754 357899095 /nfs/dbraw/zinc/89/90/95/357899095.db2.gz SEOOIYTWDPQMED-UHFFFAOYSA-N -1 1 315.312 1.071 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)[C@@H]1COC(C)(C)C1 ZINC000599338247 357900014 /nfs/dbraw/zinc/90/00/14/357900014.db2.gz AHUDYBCAKIDRNN-WDEREUQCSA-N -1 1 321.439 1.451 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCCOCC2CC2)sc1C ZINC000348029017 283344110 /nfs/dbraw/zinc/34/41/10/283344110.db2.gz DZCFTWYATKPFDW-UHFFFAOYSA-N -1 1 304.437 1.855 20 0 DDADMM COC(=O)C[C@@H](O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000600461208 358229069 /nfs/dbraw/zinc/22/90/69/358229069.db2.gz YOYJOTALNYXXBI-MRVPVSSYSA-N -1 1 321.251 1.065 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)N1CCCCC1)c1cccs1 ZINC000348108826 283372555 /nfs/dbraw/zinc/37/25/55/283372555.db2.gz KPMPVBMRKQTHJV-LLVKDONJSA-N -1 1 318.420 1.283 20 0 DDADMM C[S@@](=O)C1(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1 ZINC000601408811 358540168 /nfs/dbraw/zinc/54/01/68/358540168.db2.gz FRCGDEMJHSYPNT-GOSISDBHSA-N -1 1 313.347 1.407 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN([C@H](C(=O)OC)c2ccccc2C)C1 ZINC000602023552 358781164 /nfs/dbraw/zinc/78/11/64/358781164.db2.gz ZGPVKXUTAFHGCE-YOEHRIQHSA-N -1 1 321.373 1.632 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)C[C@@H]1CCCCO1 ZINC000348167866 283399120 /nfs/dbraw/zinc/39/91/20/283399120.db2.gz MPHDMXOAJATIFU-RYUDHWBXSA-N -1 1 307.412 1.063 20 0 DDADMM C[C@@H]1CS(=O)(=O)CCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631425758 422757884 /nfs/dbraw/zinc/75/78/84/422757884.db2.gz KFBHQPGHUWWWGO-SECBINFHSA-N -1 1 317.794 1.234 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cc(F)ccc1CC ZINC000602300266 358920144 /nfs/dbraw/zinc/92/01/44/358920144.db2.gz OWLKDEAYOSOHAW-UHFFFAOYSA-N -1 1 319.336 1.973 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2C[C@H]2c2ccsc2)n[n-]1 ZINC000603022079 359364789 /nfs/dbraw/zinc/36/47/89/359364789.db2.gz OKUOGEDSSKTUJZ-VHSXEESVSA-N -1 1 320.374 1.463 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2C[C@H]2c2ccsc2)n1 ZINC000603022079 359364793 /nfs/dbraw/zinc/36/47/93/359364793.db2.gz OKUOGEDSSKTUJZ-VHSXEESVSA-N -1 1 320.374 1.463 20 0 DDADMM C[S@](=O)C1(CNC(=O)N=c2[n-]sc3ccccc32)CC1 ZINC000603052861 359378315 /nfs/dbraw/zinc/37/83/15/359378315.db2.gz XOWNDJRDZJRIDY-FQEVSTJZSA-N -1 1 309.416 1.751 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCC[C@H]3C[C@H]32)n[n-]1 ZINC000603156626 359443376 /nfs/dbraw/zinc/44/33/76/359443376.db2.gz UEUQPGCNFVKBGG-UKKRHICBSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCC[C@H]3C[C@H]32)[n-]1 ZINC000603156626 359443379 /nfs/dbraw/zinc/44/33/79/359443379.db2.gz UEUQPGCNFVKBGG-UKKRHICBSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCC[C@H]3C[C@H]32)n1 ZINC000603156626 359443381 /nfs/dbraw/zinc/44/33/81/359443381.db2.gz UEUQPGCNFVKBGG-UKKRHICBSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cccc(F)c2F)n[n-]1 ZINC000603159311 359445996 /nfs/dbraw/zinc/44/59/96/359445996.db2.gz AGBBYDCEOSHDBH-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cccc(F)c2F)[n-]1 ZINC000603159311 359445997 /nfs/dbraw/zinc/44/59/97/359445997.db2.gz AGBBYDCEOSHDBH-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cccc(F)c2F)n1 ZINC000603159311 359446002 /nfs/dbraw/zinc/44/60/02/359446002.db2.gz AGBBYDCEOSHDBH-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CCOc1cc(C(=O)N2CCNC(=O)[C@H]2C)cc(Cl)c1[O-] ZINC000186772844 200008554 /nfs/dbraw/zinc/00/85/54/200008554.db2.gz WSKZSEFSJDRUCI-MRVPVSSYSA-N -1 1 312.753 1.405 20 0 DDADMM CN(CC(=O)N=c1cc(-c2cccs2)[n-][nH]1)C(=O)C1CC1 ZINC000603546214 359655814 /nfs/dbraw/zinc/65/58/14/359655814.db2.gz CIRYGEUODXVOGT-UHFFFAOYSA-N -1 1 304.375 1.367 20 0 DDADMM COc1ccccc1OCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605381624 359847583 /nfs/dbraw/zinc/84/75/83/359847583.db2.gz GSOFYNCCDLMZRE-UHFFFAOYSA-N -1 1 317.349 1.173 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CCC2(C)C)o1 ZINC000607040188 359992900 /nfs/dbraw/zinc/99/29/00/359992900.db2.gz UTSWOTZEUBTXFZ-UHFFFAOYSA-N -1 1 314.407 1.981 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2cccc3nsnc32)on1 ZINC000607362243 360020666 /nfs/dbraw/zinc/02/06/66/360020666.db2.gz DVVSDSFJKIRCGE-UHFFFAOYSA-N -1 1 324.387 1.720 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1COc2ccccc21 ZINC000608355999 360164630 /nfs/dbraw/zinc/16/46/30/360164630.db2.gz HHZUARFNAAZUBP-DZGCQCFKSA-N -1 1 321.340 1.582 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc(-c3nc(=O)o[n-]3)c2)[C@@H](C)CN1C ZINC000613190974 361317474 /nfs/dbraw/zinc/31/74/74/361317474.db2.gz KRILOXBLYDXOJW-QWRGUYRKSA-N -1 1 316.361 1.195 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cnn(-c2ccccc2F)c1 ZINC000193333929 201032207 /nfs/dbraw/zinc/03/22/07/201032207.db2.gz GJJVXQXVCIVDLT-JTQLQIEISA-N -1 1 313.354 1.788 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)C[C@@H]2CC(=O)N2)c1 ZINC000613304929 361374133 /nfs/dbraw/zinc/37/41/33/361374133.db2.gz MFDHINZYVRUUOI-ZETCQYMHSA-N -1 1 313.151 1.680 20 0 DDADMM O=C(NCc1cnc[nH]1)c1nn(-c2ccccc2F)cc1[O-] ZINC000359114082 299328869 /nfs/dbraw/zinc/32/88/69/299328869.db2.gz DBLGINBPCKAPGS-UHFFFAOYSA-N -1 1 301.281 1.370 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1CC[C@H](C)[C@H](C(=O)[O-])C1 ZINC000566009327 304110060 /nfs/dbraw/zinc/11/00/60/304110060.db2.gz NNRFNIUQUBULIU-WDEREUQCSA-N -1 1 324.343 1.828 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)Cc1cccc(Cl)c1 ZINC000625491449 366962396 /nfs/dbraw/zinc/96/23/96/366962396.db2.gz DQXPDZMGCVIOHR-LLVKDONJSA-N -1 1 303.767 1.117 20 0 DDADMM COc1ccccc1[C@@H](CNC(=O)[C@@H](C)C(=O)[O-])N1CCCC1 ZINC000566212391 304129977 /nfs/dbraw/zinc/12/99/77/304129977.db2.gz VOAOVZPEIDVQRC-TZMCWYRMSA-N -1 1 320.389 1.669 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2ccc(C(=O)[O-])cc2O)cn1 ZINC000349999800 284130287 /nfs/dbraw/zinc/13/02/87/284130287.db2.gz FVQTXZDHUOMOMM-UHFFFAOYSA-N -1 1 324.314 1.295 20 0 DDADMM O=C([O-])C1(NC(=O)c2ccc3[nH]nnc3c2)CCSCC1 ZINC000262109400 203201225 /nfs/dbraw/zinc/20/12/25/203201225.db2.gz HPXNYGFMYGLRNJ-UHFFFAOYSA-N -1 1 306.347 1.038 20 0 DDADMM CN(CCc1cccc2ccccc21)CC(=O)NCC(=O)[O-] ZINC000262248663 203240433 /nfs/dbraw/zinc/24/04/33/203240433.db2.gz QCNRCJGVKFIPOC-UHFFFAOYSA-N -1 1 300.358 1.515 20 0 DDADMM O=C(N[C@@H]1CS(=O)(=O)c2ccccc21)c1ncccc1[O-] ZINC000175706730 284137809 /nfs/dbraw/zinc/13/78/09/284137809.db2.gz POUQGSGCHBJBSH-SNVBAGLBSA-N -1 1 304.327 1.046 20 0 DDADMM CCC[C@H](NCC(=O)NC(=O)Nc1cccc(C)c1C)C(=O)[O-] ZINC000262271565 203245583 /nfs/dbraw/zinc/24/55/83/203245583.db2.gz IMFBJYWYENORBQ-ZDUSSCGKSA-N -1 1 321.377 1.794 20 0 DDADMM CCCn1nccc1NC(=O)CN1C[C@@H](C(=O)[O-])CC[C@H]1C ZINC000635018175 422772191 /nfs/dbraw/zinc/77/21/91/422772191.db2.gz LUBIOWWGPWRCLU-NEPJUHHUSA-N -1 1 308.382 1.417 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)Cc2c(C)noc2C)c1 ZINC000282711821 217195392 /nfs/dbraw/zinc/19/53/92/217195392.db2.gz GMMJUNNDYIKFQK-UHFFFAOYSA-N -1 1 304.302 1.965 20 0 DDADMM Cc1nc(C)c(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)s1 ZINC000282806289 217263790 /nfs/dbraw/zinc/26/37/90/217263790.db2.gz AXCJRADWQSYTCH-VIFPVBQESA-N -1 1 307.379 1.191 20 0 DDADMM C[C@@H]1SCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@H]1C ZINC000282993111 217375675 /nfs/dbraw/zinc/37/56/75/217375675.db2.gz HVUPZVBYNXHOLK-IUCAKERBSA-N -1 1 308.432 1.984 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)CCc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000629685487 422783465 /nfs/dbraw/zinc/78/34/65/422783465.db2.gz SLFIDPNVKXGOFR-HAQNSBGRSA-N -1 1 318.377 1.278 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C1CC1 ZINC000267828772 206299658 /nfs/dbraw/zinc/29/96/58/206299658.db2.gz ZJTUVCFUAOZCAO-NSHDSACASA-N -1 1 305.302 1.195 20 0 DDADMM CON1CCC([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000420743843 307300370 /nfs/dbraw/zinc/30/03/70/307300370.db2.gz AXXUZSCPQOZDNL-UHFFFAOYSA-N -1 1 324.324 1.408 20 0 DDADMM O=C(NC[C@H](CO)c1ccccc1)c1nc2ccccc2c(=O)[n-]1 ZINC000175184352 248395651 /nfs/dbraw/zinc/39/56/51/248395651.db2.gz OLQQSKQGFWSTMY-CYBMUJFWSA-N -1 1 323.352 1.429 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(Br)cc2[O-])C[C@@H]1O ZINC000270678690 248656565 /nfs/dbraw/zinc/65/65/65/248656565.db2.gz URXJTBYXMKUZDJ-PELKAZGASA-N -1 1 314.179 1.998 20 0 DDADMM O=C(CCN1CCCC[C@H]1c1n[nH]c(=O)[n-]1)N1CCCCC1 ZINC000333656708 249059513 /nfs/dbraw/zinc/05/95/13/249059513.db2.gz FYXUMTOGUMYEAE-LBPRGKRZSA-N -1 1 307.398 1.440 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(F)c(Cl)c1 ZINC000337151232 249367049 /nfs/dbraw/zinc/36/70/49/249367049.db2.gz LLKTUXOAGRSRKM-UHFFFAOYSA-N -1 1 310.716 1.544 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC000337153304 249368011 /nfs/dbraw/zinc/36/80/11/249368011.db2.gz NCKVEQMMOIRPJB-NSHDSACASA-N -1 1 314.389 1.625 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cccc(C(F)F)c1 ZINC000337162641 249370535 /nfs/dbraw/zinc/37/05/35/249370535.db2.gz VLQDEZPHWSAFMM-UHFFFAOYSA-N -1 1 308.288 1.690 20 0 DDADMM Cc1cccc2c1C[C@H](C(=O)NC1(c3nn[n-]n3)CCCC1)O2 ZINC000567322885 304211658 /nfs/dbraw/zinc/21/16/58/304211658.db2.gz VWDOFBAHKFDSBZ-CYBMUJFWSA-N -1 1 313.361 1.397 20 0 DDADMM CC1(C)NC(=O)N(Cc2noc(-c3cc(F)ccc3[O-])n2)C1=O ZINC000273937016 211191218 /nfs/dbraw/zinc/19/12/18/211191218.db2.gz GIVZVOYNJDLNLJ-UHFFFAOYSA-N -1 1 320.280 1.412 20 0 DDADMM CCOc1cc(C(=O)NCC[C@@H](O)COC)cc(Cl)c1[O-] ZINC000273843679 211105450 /nfs/dbraw/zinc/10/54/50/211105450.db2.gz JUJAEWNJHREXMY-SNVBAGLBSA-N -1 1 317.769 1.572 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@@H](O)C[C@@H]1c1ccc(F)cc1 ZINC000225566119 296290211 /nfs/dbraw/zinc/29/02/11/296290211.db2.gz LDXCNIYEKCCKFV-LSDHHAIUSA-N -1 1 302.305 1.874 20 0 DDADMM CCNC(=O)C(C)(C)C[N-]S(=O)(=O)c1sccc1F ZINC000338934459 250205801 /nfs/dbraw/zinc/20/58/01/250205801.db2.gz GBUKSJZVROYMEG-UHFFFAOYSA-N -1 1 308.400 1.328 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(C)(C)CC2)o1 ZINC000339148614 250315230 /nfs/dbraw/zinc/31/52/30/250315230.db2.gz JVYGIBVZUOTFSQ-UHFFFAOYSA-N -1 1 300.380 1.450 20 0 DDADMM CSc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)ccn1 ZINC000339186463 250333115 /nfs/dbraw/zinc/33/31/15/250333115.db2.gz QRQVCRVIIBMJLP-UHFFFAOYSA-N -1 1 320.374 1.912 20 0 DDADMM COc1ccc(-c2nc([C@H]3CC(=O)N(C4CC4)C3)no2)c([O-])c1 ZINC000273782997 211055063 /nfs/dbraw/zinc/05/50/63/211055063.db2.gz CRTDGJMFDOUFGK-VIFPVBQESA-N -1 1 315.329 1.929 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-]c2nc(N(C)C)n[nH]2)cc1F ZINC000285139406 218306630 /nfs/dbraw/zinc/30/66/30/218306630.db2.gz QQZQKKJHRFXWGS-UHFFFAOYSA-N -1 1 313.358 1.260 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H](C)c1cccs1 ZINC000352184109 284848695 /nfs/dbraw/zinc/84/86/95/284848695.db2.gz MRDTULSLYSBHFI-SSDOTTSWSA-N -1 1 309.347 1.791 20 0 DDADMM O=S(=O)([N-]c1ccc(OCCO)cc1)c1cccc(F)c1 ZINC000110591466 194340071 /nfs/dbraw/zinc/34/00/71/194340071.db2.gz OHMFAVOOSPQQSV-UHFFFAOYSA-N -1 1 311.334 1.998 20 0 DDADMM C[C@H](C(=O)NC(C)(C)c1nn[n-]n1)[C@H]1OCCc2sccc21 ZINC000340739827 251186792 /nfs/dbraw/zinc/18/67/92/251186792.db2.gz MRFNZIGHGUPDKQ-GZMMTYOYSA-N -1 1 321.406 1.563 20 0 DDADMM COC(=O)C(C)(C)CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000340876872 251256792 /nfs/dbraw/zinc/25/67/92/251256792.db2.gz IMSLCPUFHCOKCJ-UHFFFAOYSA-N -1 1 317.345 1.573 20 0 DDADMM COC(=O)C(C)(C)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000340876872 251256795 /nfs/dbraw/zinc/25/67/95/251256795.db2.gz IMSLCPUFHCOKCJ-UHFFFAOYSA-N -1 1 317.345 1.573 20 0 DDADMM Cc1ccc(S(=O)(=O)NCCN(C)C2CC2)cc1C(=O)[O-] ZINC000061995394 184200557 /nfs/dbraw/zinc/20/05/57/184200557.db2.gz KENGAKPDCOUGRK-UHFFFAOYSA-N -1 1 312.391 1.066 20 0 DDADMM CCC[C@H]1CCCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000567654081 304232419 /nfs/dbraw/zinc/23/24/19/304232419.db2.gz TXCFRBWDHAXVLI-WDEREUQCSA-N -1 1 303.366 1.506 20 0 DDADMM O=C(c1cncc2ccccc21)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000294437522 224028867 /nfs/dbraw/zinc/02/88/67/224028867.db2.gz XSEXIHPQMAYULP-LBPRGKRZSA-N -1 1 323.356 1.666 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)c2cc(F)ccc2[O-])n[nH]1 ZINC000119782098 195035453 /nfs/dbraw/zinc/03/54/53/195035453.db2.gz OXFIRWPEAGDGCQ-UHFFFAOYSA-N -1 1 301.281 1.907 20 0 DDADMM O=C(Nc1cccc2ccccc21)c1cc(=O)n2[n-]cnc2n1 ZINC000352440283 285052405 /nfs/dbraw/zinc/05/24/05/285052405.db2.gz NAVHNUKLBYYKAW-UHFFFAOYSA-N -1 1 305.297 1.823 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CCCC[C@H]2C)co1 ZINC000270040604 208096485 /nfs/dbraw/zinc/09/64/85/208096485.db2.gz JRYODSHRWJVLFG-GHMZBOCLSA-N -1 1 314.407 1.744 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccc2c1CCNC2=O)C1CC1 ZINC000567869785 304249364 /nfs/dbraw/zinc/24/93/64/304249364.db2.gz ILAQECOEUNLOFI-CQSZACIVSA-N -1 1 324.402 1.139 20 0 DDADMM CCS(=O)(=O)CCCNC(=O)c1ccc(Cl)cc1[O-] ZINC000412865946 224095316 /nfs/dbraw/zinc/09/53/16/224095316.db2.gz QLVUEWRNBCAJOQ-UHFFFAOYSA-N -1 1 305.783 1.600 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C)CC2(C)C)co1 ZINC000270253825 208316811 /nfs/dbraw/zinc/31/68/11/208316811.db2.gz YOEXNUPNAZHDDL-VIFPVBQESA-N -1 1 300.380 1.448 20 0 DDADMM C[C@@H]1CCCN(C(=O)CN2[C@H](C(=O)[O-])C[C@H]3CCCC[C@@H]32)C1 ZINC000262324874 296369074 /nfs/dbraw/zinc/36/90/74/296369074.db2.gz RLXXXKTZGJCJJR-KBXIAJHMSA-N -1 1 308.422 1.963 20 0 DDADMM C[C@@H]1CCCN(C(=O)CN2[C@@H]3CCCC[C@@H]3C[C@H]2C(=O)[O-])C1 ZINC000262324879 296369172 /nfs/dbraw/zinc/36/91/72/296369172.db2.gz RLXXXKTZGJCJJR-TUVASFSCSA-N -1 1 308.422 1.963 20 0 DDADMM COCCOCC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451113377 533085441 /nfs/dbraw/zinc/08/54/41/533085441.db2.gz KRFIECQJHVNNJB-UHFFFAOYSA-N -1 1 317.338 1.513 20 0 DDADMM O=C([O-])c1cncnc1N1CCN(CCc2ccccc2)CC1 ZINC000567980748 304258488 /nfs/dbraw/zinc/25/84/88/304258488.db2.gz LDHSFHIFMIFYSY-UHFFFAOYSA-N -1 1 312.373 1.540 20 0 DDADMM CCOC(=O)c1ccccc1NC(=O)CN1CC[C@@H](C(=O)[O-])C1 ZINC000567988567 304259717 /nfs/dbraw/zinc/25/97/17/304259717.db2.gz IOPUOMOETSOIHJ-LLVKDONJSA-N -1 1 320.345 1.208 20 0 DDADMM C[C@@H](CNC(=O)C1(C(=O)[O-])CC1)N1CCc2ccccc2C1 ZINC000567986869 304259398 /nfs/dbraw/zinc/25/93/98/304259398.db2.gz HEBXFBQNCYBLKD-LBPRGKRZSA-N -1 1 302.374 1.414 20 0 DDADMM CC[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(C)cc1 ZINC000352610042 285171952 /nfs/dbraw/zinc/17/19/52/285171952.db2.gz NJTIFXUEXXQXFN-GFCCVEGCSA-N -1 1 311.345 1.607 20 0 DDADMM CC1(C)CN(C(=O)c2cc(F)ccc2[O-])CCS1(=O)=O ZINC000155499031 197061497 /nfs/dbraw/zinc/06/14/97/197061497.db2.gz WPLWFVYQRMAIHW-UHFFFAOYSA-N -1 1 301.339 1.181 20 0 DDADMM CCN(C(=O)c1ccc([O-])cc1F)[C@@H](C)CS(C)(=O)=O ZINC000154889065 197002739 /nfs/dbraw/zinc/00/27/39/197002739.db2.gz QZQXLRMZKBYDIK-VIFPVBQESA-N -1 1 303.355 1.427 20 0 DDADMM COC(=O)N1CCC(CNC(=O)c2cc(F)ccc2[O-])CC1 ZINC000496061431 307324505 /nfs/dbraw/zinc/32/45/05/307324505.db2.gz BNIJNVBSXNNPDH-UHFFFAOYSA-N -1 1 310.325 1.740 20 0 DDADMM O=C(CCNC(=O)c1ccc(Cl)cc1[O-])N1CCOCC1 ZINC000120908850 195262190 /nfs/dbraw/zinc/26/21/90/195262190.db2.gz KWGIRSKPSNPXMP-UHFFFAOYSA-N -1 1 312.753 1.024 20 0 DDADMM CC[C@H](CO)N1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000289466311 220995936 /nfs/dbraw/zinc/99/59/36/220995936.db2.gz OZVCHWVLHZKHAU-SNVBAGLBSA-N -1 1 314.332 1.199 20 0 DDADMM CO[C@@H](C)c1nsc(N=C([O-])[C@@H](C)C(=O)OC(C)(C)C)n1 ZINC000414266968 224317342 /nfs/dbraw/zinc/31/73/42/224317342.db2.gz SLZKTZYCXSCTCQ-SFYZADRCSA-N -1 1 315.395 1.584 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N(CCO)C1CC1)c2=O ZINC000298947138 533311418 /nfs/dbraw/zinc/31/14/18/533311418.db2.gz NQRPYJSADOHTJW-UHFFFAOYSA-N -1 1 302.330 1.546 20 0 DDADMM CO[C@@H](C)[C@@H](C)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000292458910 533343476 /nfs/dbraw/zinc/34/34/76/533343476.db2.gz GQOBLSCBHYWZFQ-SKDRFNHKSA-N -1 1 320.393 1.524 20 0 DDADMM C[C@@H](O)CCC[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000433361634 533598415 /nfs/dbraw/zinc/59/84/15/533598415.db2.gz CXBJXNIWZNFMAP-SSDOTTSWSA-N -1 1 312.185 1.481 20 0 DDADMM COC(=O)[C@H](NCc1cccc([O-])c1Cl)c1ccn(C)n1 ZINC000353140278 285548581 /nfs/dbraw/zinc/54/85/81/285548581.db2.gz AVKQPEPJVNEECW-CYBMUJFWSA-N -1 1 309.753 1.783 20 0 DDADMM C[C@@H](NC(=O)OC(C)(C)C)[C@H](C)N=c1[n-]c(C(N)=O)cs1 ZINC000496835347 307363453 /nfs/dbraw/zinc/36/34/53/307363453.db2.gz NRCFWZPQTFUYNJ-JGVFFNPUSA-N -1 1 314.411 1.378 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1CCCOC1 ZINC000170934849 407561896 /nfs/dbraw/zinc/56/18/96/407561896.db2.gz NJXVTDRJQJHAAY-LBPRGKRZSA-N -1 1 318.377 1.280 20 0 DDADMM O=C(C[C@H]1CCS(=O)(=O)C1)Nc1ccc([O-])c(Cl)c1 ZINC000085204432 407097111 /nfs/dbraw/zinc/09/71/11/407097111.db2.gz BZHAYLIZWYXXFB-MRVPVSSYSA-N -1 1 303.767 1.809 20 0 DDADMM CC(C)(CNC(=O)c1cc(Cl)ccc1[O-])NS(C)(=O)=O ZINC000067006120 407266678 /nfs/dbraw/zinc/26/66/78/407266678.db2.gz LYCYKACMVXLWEK-UHFFFAOYSA-N -1 1 320.798 1.103 20 0 DDADMM CC[C@H](Oc1cccc(C)c1)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000124144906 407349609 /nfs/dbraw/zinc/34/96/09/407349609.db2.gz QZWRYXJNQHULDE-OCCSQVGLSA-N -1 1 317.393 1.928 20 0 DDADMM O=C([O-])c1csc(CNC(=O)Cc2[nH]nc3ccccc32)n1 ZINC000124325705 407355048 /nfs/dbraw/zinc/35/50/48/407355048.db2.gz HAOQTOAVTOVSJV-UHFFFAOYSA-N -1 1 316.342 1.577 20 0 DDADMM O=S(=O)([N-]C[C@]1(O)CCSC1)c1cc(F)ccc1F ZINC000124513039 407360952 /nfs/dbraw/zinc/36/09/52/407360952.db2.gz XMSBXHOGTGQBBR-LLVKDONJSA-N -1 1 309.359 1.111 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@]2(C)CCCC[C@@H]2O)c1Cl ZINC000124921752 407374214 /nfs/dbraw/zinc/37/42/14/407374214.db2.gz GMKLDLICIATGHT-JOYOIKCWSA-N -1 1 321.830 1.293 20 0 DDADMM CCCCNC(=O)[C@@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000111588806 407411964 /nfs/dbraw/zinc/41/19/64/407411964.db2.gz GVEWYBONTHZNIN-GFCCVEGCSA-N -1 1 305.378 1.556 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC[C@H](CCO)C1 ZINC000127272181 407432678 /nfs/dbraw/zinc/43/26/78/407432678.db2.gz QAQUJCRYBOQLHH-SECBINFHSA-N -1 1 314.179 1.999 20 0 DDADMM CCC[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000113421573 407503910 /nfs/dbraw/zinc/50/39/10/407503910.db2.gz DNQRUAANMQUCJJ-LLVKDONJSA-N -1 1 322.390 1.731 20 0 DDADMM CCC[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000113421573 407503913 /nfs/dbraw/zinc/50/39/13/407503913.db2.gz DNQRUAANMQUCJJ-LLVKDONJSA-N -1 1 322.390 1.731 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC000265906433 407506406 /nfs/dbraw/zinc/50/64/06/407506406.db2.gz BBOSZRAVGSYZQO-NSHDSACASA-N -1 1 321.377 1.820 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCO[C@H](C)C2)c1 ZINC000271239067 407591329 /nfs/dbraw/zinc/59/13/29/407591329.db2.gz CSGKCEHMFZGURH-NXEZZACHSA-N -1 1 317.363 1.160 20 0 DDADMM O=C(Nc1ccc([O-])c(C(=O)NCCO)c1)OCC(F)(F)F ZINC000271214545 407579483 /nfs/dbraw/zinc/57/94/83/407579483.db2.gz MUAVAYGECBOFOY-UHFFFAOYSA-N -1 1 322.239 1.225 20 0 DDADMM Cc1nn(-c2ccccc2)c2nc(C(=O)Nc3nn[n-]n3)ccc12 ZINC000170852336 407530233 /nfs/dbraw/zinc/53/02/33/407530233.db2.gz QUYYKGXBLDULEA-UHFFFAOYSA-N -1 1 320.316 1.494 20 0 DDADMM CNC(=O)CC(=O)Nc1cc(C)cc(Br)c1[O-] ZINC000186171194 407596239 /nfs/dbraw/zinc/59/62/39/407596239.db2.gz MSEDKBCOVAHJDM-UHFFFAOYSA-N -1 1 301.140 1.538 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129289812 407602523 /nfs/dbraw/zinc/60/25/23/407602523.db2.gz MDVGCJUNQQKGLQ-NWDGAFQWSA-N -1 1 301.350 1.373 20 0 DDADMM O=C(Cc1c[nH]c2ccccc12)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129409276 407607311 /nfs/dbraw/zinc/60/73/11/407607311.db2.gz RLMVOHIGNIYSQS-NSHDSACASA-N -1 1 310.361 1.630 20 0 DDADMM COC(=O)c1cccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000129482949 407612634 /nfs/dbraw/zinc/61/26/34/407612634.db2.gz YZFLOLULZYJXAK-LBPRGKRZSA-N -1 1 315.333 1.006 20 0 DDADMM CCCSCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000271286055 407615011 /nfs/dbraw/zinc/61/50/11/407615011.db2.gz BBPWHQKODCRHGJ-UHFFFAOYSA-N -1 1 304.393 1.121 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(CC(F)(F)F)CC1 ZINC000171250927 407640275 /nfs/dbraw/zinc/64/02/75/407640275.db2.gz FZIKTDKJUDKJCZ-UHFFFAOYSA-N -1 1 303.284 1.497 20 0 DDADMM COC(=O)CC[C@@H](Cc1ccccc1)NC(=O)c1cnn[nH]1 ZINC000130499216 407695912 /nfs/dbraw/zinc/69/59/12/407695912.db2.gz CEDKCCQDLAPQMH-LBPRGKRZSA-N -1 1 302.334 1.099 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccc(F)cc1)c1ncccc1[O-] ZINC000267217171 407793741 /nfs/dbraw/zinc/79/37/41/407793741.db2.gz NOAAIOHRIGTSCY-GFCCVEGCSA-N -1 1 304.321 1.507 20 0 DDADMM C[C@H]1CC/C(=C\C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000272154063 407796204 /nfs/dbraw/zinc/79/62/04/407796204.db2.gz RPPQWQOSLMREDI-HZAKCSEPSA-N -1 1 310.375 1.725 20 0 DDADMM O=C([O-])CCN(C(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21)C1CC1 ZINC000238051203 407879060 /nfs/dbraw/zinc/87/90/60/407879060.db2.gz MKJGCTPMJWUDMU-UHFFFAOYSA-N -1 1 318.289 1.550 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCC1 ZINC000180399237 407885027 /nfs/dbraw/zinc/88/50/27/407885027.db2.gz BTOAXCXTFXWQFW-UHFFFAOYSA-N -1 1 309.309 1.951 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)c2cccc3c[nH]nc32)C1 ZINC000262926880 407972677 /nfs/dbraw/zinc/97/26/77/407972677.db2.gz GQSATYDNUGFWSY-HNNXBMFYSA-N -1 1 303.318 1.126 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCS[C@@H](C)[C@H]2C)o1 ZINC000118375591 407914152 /nfs/dbraw/zinc/91/41/52/407914152.db2.gz NUCLBPMNKCIDRZ-BDAKNGLRSA-N -1 1 318.420 1.154 20 0 DDADMM Cc1nn(-c2ccc(F)cc2)c(C)c1C(=O)Nc1nnn[n-]1 ZINC000118686061 407936164 /nfs/dbraw/zinc/93/61/64/407936164.db2.gz IAJSVHSQISQWSY-UHFFFAOYSA-N -1 1 301.285 1.394 20 0 DDADMM Cc1nn(-c2ccc(F)cc2)c(C)c1C(=O)Nc1nn[n-]n1 ZINC000118686061 407936170 /nfs/dbraw/zinc/93/61/70/407936170.db2.gz IAJSVHSQISQWSY-UHFFFAOYSA-N -1 1 301.285 1.394 20 0 DDADMM Cc1cccc(S(=O)(=O)N[C@H]2C[N@@H+](C3CC3)C[C@@H]2C)c1F ZINC000273077130 408048106 /nfs/dbraw/zinc/04/81/06/408048106.db2.gz GOXRTCXOZHBLDZ-AAEUAGOBSA-N -1 1 312.410 1.895 20 0 DDADMM COc1cc(C(=O)N(C)[C@H](C)C[S@](C)=O)cc(Cl)c1[O-] ZINC000135250302 407995187 /nfs/dbraw/zinc/99/51/87/407995187.db2.gz HUXBBONTQSIGLI-ZPWHCFADSA-N -1 1 319.810 1.893 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)NC(=O)C1CCCCC1)c1nn[n-]n1 ZINC000136641971 408115038 /nfs/dbraw/zinc/11/50/38/408115038.db2.gz CZFOZPOPMHSHDJ-ZYHUDNBSSA-N -1 1 322.413 1.242 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)NC(=O)C1CCCCC1)c1nn[n-]n1 ZINC000136642010 408115749 /nfs/dbraw/zinc/11/57/49/408115749.db2.gz CZFOZPOPMHSHDJ-JQWIXIFHSA-N -1 1 322.413 1.242 20 0 DDADMM CCC[C@H](NC(=O)c1ccc([S@](C)=O)cc1)c1nn[n-]n1 ZINC000136654343 408115836 /nfs/dbraw/zinc/11/58/36/408115836.db2.gz KHBMYLRQZWCLEM-WIUDPPPLSA-N -1 1 307.379 1.208 20 0 DDADMM CCC[C@H](NC(=O)C(=O)Nc1ccc(C)cc1)c1nn[n-]n1 ZINC000136666522 408116584 /nfs/dbraw/zinc/11/65/84/408116584.db2.gz XDVCMZMIRDKMGI-NSHDSACASA-N -1 1 302.338 1.104 20 0 DDADMM CCC[C@H](NC(=O)c1ccc([S@@](C)=O)cc1)c1nn[n-]n1 ZINC000136654427 408117398 /nfs/dbraw/zinc/11/73/98/408117398.db2.gz KHBMYLRQZWCLEM-MQJDWESPSA-N -1 1 307.379 1.208 20 0 DDADMM CSCCCNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000136759570 408123015 /nfs/dbraw/zinc/12/30/15/408123015.db2.gz IKCJPWFAADULAO-UHFFFAOYSA-N -1 1 322.434 1.854 20 0 DDADMM CN(Cc1ccc([S@](C)=O)cc1)C(=O)c1cncc([O-])c1 ZINC000175452426 408134293 /nfs/dbraw/zinc/13/42/93/408134293.db2.gz GEXOQEFYCUDDFR-NRFANRHFSA-N -1 1 304.371 1.797 20 0 DDADMM O=C(c1noc2c1CCCCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000156637064 408266208 /nfs/dbraw/zinc/26/62/08/408266208.db2.gz VNYQKMDYWUNSBP-SNVBAGLBSA-N -1 1 316.365 1.476 20 0 DDADMM O=S(=O)(NC[C@H]1CCC[N@H+]1Cc1ccccc1)c1c[nH]cn1 ZINC000122177008 408260262 /nfs/dbraw/zinc/26/02/62/408260262.db2.gz NKLPVBQQGGDPDA-CQSZACIVSA-N -1 1 320.418 1.353 20 0 DDADMM CC(=O)N(C)CC(=O)Nc1cc(C)cc(Br)c1[O-] ZINC000182910611 408281563 /nfs/dbraw/zinc/28/15/63/408281563.db2.gz WCBLOMMLNHBPFM-UHFFFAOYSA-N -1 1 315.167 1.880 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC(CO)CC1 ZINC000269537552 408346430 /nfs/dbraw/zinc/34/64/30/408346430.db2.gz JPWDHTIRPBRRJJ-UHFFFAOYSA-N -1 1 307.394 1.633 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCCSC ZINC000158554763 408346619 /nfs/dbraw/zinc/34/66/19/408346619.db2.gz OOKSRJYFBGYPQZ-UHFFFAOYSA-N -1 1 321.424 1.008 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1ccc2c(c1)CCO2)c1nn[n-]n1 ZINC000183441562 408413695 /nfs/dbraw/zinc/41/36/95/408413695.db2.gz RHOHEPXDEHQUKK-MALLOTDXSA-N -1 1 313.361 1.410 20 0 DDADMM Cc1cccc2[nH]cc(CC(=O)N(C)C[C@H](C)c3nn[n-]n3)c21 ZINC000183452402 408417545 /nfs/dbraw/zinc/41/75/45/408417545.db2.gz UEOVUBAFVGTTKJ-NSHDSACASA-N -1 1 312.377 1.794 20 0 DDADMM CCC[C@H](NC(=O)COc1ccccc1CC)c1nn[n-]n1 ZINC000176769900 408439114 /nfs/dbraw/zinc/43/91/14/408439114.db2.gz XISXYWJVXCFKJB-LBPRGKRZSA-N -1 1 303.366 1.799 20 0 DDADMM CCC[C@H](NC(=O)c1ccnc(SCC)c1)c1nn[n-]n1 ZINC000176781038 408444013 /nfs/dbraw/zinc/44/40/13/408444013.db2.gz UOVWXQCJWMRCGI-JTQLQIEISA-N -1 1 306.395 1.978 20 0 DDADMM Cc1ccn(C)c(=O)c1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000176932989 408478703 /nfs/dbraw/zinc/47/87/03/408478703.db2.gz CNCZADCUJNGAIL-UHFFFAOYSA-N -1 1 314.313 1.773 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H](C)c1c(C)noc1C)c1nn[n-]n1 ZINC000269913218 408466385 /nfs/dbraw/zinc/46/63/85/408466385.db2.gz IUQGVGAQPLCMAE-LDYMZIIASA-N -1 1 306.370 1.956 20 0 DDADMM C[C@H](NC(=O)c1ccco1)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000248272401 408545905 /nfs/dbraw/zinc/54/59/05/408545905.db2.gz WPBXDTPTLLCQQD-YFKPBYRVSA-N -1 1 317.227 1.174 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@@H]1OC(=O)c2ccccc21)c1nn[n-]n1 ZINC000274800752 408535336 /nfs/dbraw/zinc/53/53/36/408535336.db2.gz SMSWFFFPPWPMFG-CABZTGNLSA-N -1 1 315.333 1.063 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCCc3occc32)co1 ZINC000162732703 408730656 /nfs/dbraw/zinc/73/06/56/408730656.db2.gz QCKQNSTWXYXCCL-LLVKDONJSA-N -1 1 324.358 1.588 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000276272432 408828369 /nfs/dbraw/zinc/82/83/69/408828369.db2.gz KEDVNKHVHNEQRK-VXGBXAGGSA-N -1 1 322.336 1.263 20 0 DDADMM Cc1nc([C@@H](C)NS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2)n[nH]1 ZINC000285676292 408840412 /nfs/dbraw/zinc/84/04/12/408840412.db2.gz ZNMOXFYRZXPZGE-MRVPVSSYSA-N -1 1 324.362 1.159 20 0 DDADMM CCS[C@@H]1CCC[C@H]([N-]S(=O)(=O)c2c(C)onc2N)C1 ZINC000290882244 408848939 /nfs/dbraw/zinc/84/89/39/408848939.db2.gz YWWMTZIGCYVEEP-VHSXEESVSA-N -1 1 319.452 1.908 20 0 DDADMM COC(=O)[C@H]1CC[C@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)CC1 ZINC000280870239 408849972 /nfs/dbraw/zinc/84/99/72/408849972.db2.gz ZXGCMCXFMJJPJT-LJGSYFOKSA-N -1 1 320.271 1.741 20 0 DDADMM CNC(=O)c1cccc(CSc2nc(C(=O)OC)c[n-]2)c1 ZINC000187599672 163022505 /nfs/dbraw/zinc/02/25/05/163022505.db2.gz NYVLOCHPACCDNR-UHFFFAOYSA-N -1 1 305.359 1.848 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000189331445 163096527 /nfs/dbraw/zinc/09/65/27/163096527.db2.gz JVGGLYTUKOYTTD-UTUOFQBUSA-N -1 1 319.405 1.673 20 0 DDADMM COC(=O)CCSc1ncccc1[N-]C(=O)c1csnn1 ZINC000291761278 408918686 /nfs/dbraw/zinc/91/86/86/408918686.db2.gz VNIJHOULCCYNBS-UHFFFAOYSA-N -1 1 324.387 1.841 20 0 DDADMM CCC[C@@H](NC(=O)c1oc(CC(C)C)nc1C)c1nn[n-]n1 ZINC000190597872 163147830 /nfs/dbraw/zinc/14/78/30/163147830.db2.gz ASAXXCUIXBKQJU-SNVBAGLBSA-N -1 1 306.370 1.966 20 0 DDADMM CCCCOCC(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000291858805 408934504 /nfs/dbraw/zinc/93/45/04/408934504.db2.gz FFDDAMPBWJPBFR-UHFFFAOYSA-N -1 1 316.379 1.056 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CCC2CCCC2)c1 ZINC000192731000 163235752 /nfs/dbraw/zinc/23/57/52/163235752.db2.gz WVLZVBGPYIVHJT-UHFFFAOYSA-N -1 1 312.391 1.949 20 0 DDADMM CCC(CC)(C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1)OC ZINC000291928852 408947291 /nfs/dbraw/zinc/94/72/91/408947291.db2.gz LURGXRJMADQTEM-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM C[C@H](CO)NC(=O)c1ccc(I)c([O-])c1 ZINC000230888470 163348488 /nfs/dbraw/zinc/34/84/88/163348488.db2.gz ZSWCUOOQCJHSQJ-ZCFIWIBFSA-N -1 1 321.114 1.107 20 0 DDADMM CCOCc1nc([C@@H](C)NC(=O)c2ccc([O-])cc2F)no1 ZINC000291970734 408954183 /nfs/dbraw/zinc/95/41/83/408954183.db2.gz SDUXYXWXQMTSBL-MRVPVSSYSA-N -1 1 309.297 1.942 20 0 DDADMM CN(CCC(N)=O)C(=O)c1cc(Br)ccc1[O-] ZINC000286294480 408958114 /nfs/dbraw/zinc/95/81/14/408958114.db2.gz VFRDEVFIUWJDEX-UHFFFAOYSA-N -1 1 301.140 1.102 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CCCSC2)co1 ZINC000286827810 408999580 /nfs/dbraw/zinc/99/95/80/408999580.db2.gz OJIPGYJKJKOLOB-SECBINFHSA-N -1 1 318.420 1.061 20 0 DDADMM COC(=O)[C@@H](CF)[N-]S(=O)(=O)c1cc(F)c(C)cc1F ZINC000287533195 409051861 /nfs/dbraw/zinc/05/18/61/409051861.db2.gz UNYXQTSAAKFTES-SECBINFHSA-N -1 1 311.281 1.063 20 0 DDADMM O=C1[C@@H]([N-]c2nnc(C3CC3)o2)CCCN1Cc1ccccn1 ZINC000278136663 409071574 /nfs/dbraw/zinc/07/15/74/409071574.db2.gz AGPISUUBIGLZCY-ZDUSSCGKSA-N -1 1 313.361 1.945 20 0 DDADMM COC(=O)N1CCC(NC(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000283071692 409082568 /nfs/dbraw/zinc/08/25/68/409082568.db2.gz BUIMMDLCOPPHRG-UHFFFAOYSA-N -1 1 314.288 1.631 20 0 DDADMM C[C@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)CC2(CCOCC2)O1 ZINC000288307709 409160780 /nfs/dbraw/zinc/16/07/80/409160780.db2.gz RZVCCZZBXRNKAZ-LBPRGKRZSA-N -1 1 319.357 1.371 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3[C@H]4CCO[C@H]4C3(C)C)ccnc1-2 ZINC000279266982 409181853 /nfs/dbraw/zinc/18/18/53/409181853.db2.gz JDAFQJKSDOSLHL-VXASXKKESA-N -1 1 315.377 1.277 20 0 DDADMM CN(CCOCC1CC1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283789116 409217894 /nfs/dbraw/zinc/21/78/94/409217894.db2.gz UQSNZSLRXAAFDO-UHFFFAOYSA-N -1 1 306.391 1.517 20 0 DDADMM CCN(C(=O)c1cc(Br)ccc1[O-])[C@@H](C)CO ZINC000294020176 409226271 /nfs/dbraw/zinc/22/62/71/409226271.db2.gz QKBKFSSUFPZTTK-QMMMGPOBSA-N -1 1 302.168 1.998 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)Cc1ccccc1F ZINC000289335297 409251075 /nfs/dbraw/zinc/25/10/75/409251075.db2.gz MTADFDQGEYPZER-MRVPVSSYSA-N -1 1 313.354 1.614 20 0 DDADMM CC[C@@H](C)CN(CC)C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000288940749 409199594 /nfs/dbraw/zinc/19/95/94/409199594.db2.gz WHWLQJZPXBLECB-ZNCULLJESA-N -1 1 317.393 1.175 20 0 DDADMM CO[C@@]1(C)CCCN(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC000280254796 409279183 /nfs/dbraw/zinc/27/91/83/409279183.db2.gz YSAQFJVKEVPEJJ-AWEZNQCLSA-N -1 1 311.407 1.854 20 0 DDADMM CC(C)[C@@H](Sc1nc(C(F)F)cc(=O)[n-]1)C(=O)NC(N)=O ZINC000289449542 409273746 /nfs/dbraw/zinc/27/37/46/409273746.db2.gz DSVAQPCGMFVKNS-SSDOTTSWSA-N -1 1 320.321 1.431 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc([O-])c1 ZINC000295265192 409404269 /nfs/dbraw/zinc/40/42/69/409404269.db2.gz DOOQYEFYJIDQOK-ITGUQSILSA-N -1 1 319.361 1.382 20 0 DDADMM CO[C@@H]1CCCC[C@H]1C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000295279216 409411118 /nfs/dbraw/zinc/41/11/18/409411118.db2.gz ITDGEIHRBHNVHP-ZYHUDNBSSA-N -1 1 303.366 1.954 20 0 DDADMM CO[C@H]1CCCC[C@H]1C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000295279212 409412485 /nfs/dbraw/zinc/41/24/85/409412485.db2.gz ITDGEIHRBHNVHP-PWSUYJOCSA-N -1 1 303.366 1.954 20 0 DDADMM CC(C)(C)C(=O)N1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000280747322 409436797 /nfs/dbraw/zinc/43/67/97/409436797.db2.gz KFTQBKNPDACFIP-UHFFFAOYSA-N -1 1 318.373 1.292 20 0 DDADMM Cc1nc(C2CC2)ccc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000296147941 409445963 /nfs/dbraw/zinc/44/59/63/409445963.db2.gz XSRHUXKRXKMCQN-SECBINFHSA-N -1 1 300.366 1.656 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cc(C(C)C)n[nH]2)cc1C(N)=O ZINC000317404811 164027376 /nfs/dbraw/zinc/02/73/76/164027376.db2.gz OBLNDTUFUBLDLY-UHFFFAOYSA-N -1 1 322.390 1.741 20 0 DDADMM Cn1ccc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)cc1=O ZINC000323145558 164047972 /nfs/dbraw/zinc/04/79/72/164047972.db2.gz LFRMVZCQOMLRQT-UHFFFAOYSA-N -1 1 310.313 1.321 20 0 DDADMM CC(C)(NC(=O)[C@@H]1CCC[C@H](C(F)(F)F)C1)c1nn[n-]n1 ZINC000359803249 164146484 /nfs/dbraw/zinc/14/64/84/164146484.db2.gz USSJSFGBGFISIS-SFYZADRCSA-N -1 1 305.304 1.920 20 0 DDADMM CO[C@H](C[N@H+]1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ccccc1 ZINC000295407693 409471235 /nfs/dbraw/zinc/47/12/35/409471235.db2.gz SJNMDWGZXXWONJ-ZIAGYGMSSA-N -1 1 303.362 1.930 20 0 DDADMM O=S1CCC([N-]S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC000408087896 164223894 /nfs/dbraw/zinc/22/38/94/164223894.db2.gz GBDBGBNGKBRGET-UHFFFAOYSA-N -1 1 309.359 1.154 20 0 DDADMM C[C@H]1CCCN1S(=O)(=O)[N-]c1cn(C)nc1C(F)(F)F ZINC000408287205 164286305 /nfs/dbraw/zinc/28/63/05/164286305.db2.gz QQLMGCCKXBWWGD-ZETCQYMHSA-N -1 1 312.317 1.580 20 0 DDADMM C[C@H](CCCO)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000295454723 409490087 /nfs/dbraw/zinc/49/00/87/409490087.db2.gz ZIKXTZYODSKAFC-MRVPVSSYSA-N -1 1 311.325 1.791 20 0 DDADMM C[C@@H]1CCC[C@H](C)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000337893866 409548149 /nfs/dbraw/zinc/54/81/49/409548149.db2.gz BTACBTOMRNLBRQ-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1ccc(CNC(=O)CNC(=O)c2ncccc2[O-])cc1F ZINC000337950466 409593355 /nfs/dbraw/zinc/59/33/55/409593355.db2.gz FVTAUVJPIVXOPV-UHFFFAOYSA-N -1 1 317.320 1.281 20 0 DDADMM O=C(NCCOc1ccc2c(c1)OCO2)c1ncccc1[O-] ZINC000338075646 409700001 /nfs/dbraw/zinc/70/00/01/409700001.db2.gz FWEICEDHDFCRCT-UHFFFAOYSA-N -1 1 302.286 1.325 20 0 DDADMM C[C@@H]1CC[C@H](CC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000331622701 409702456 /nfs/dbraw/zinc/70/24/56/409702456.db2.gz FQFRMYNNNDSWFS-MNOVXSKESA-N -1 1 309.439 1.426 20 0 DDADMM O=C(NC1(CCO)CC1)c1ccc(Br)c([O-])c1 ZINC000349290771 409781087 /nfs/dbraw/zinc/78/10/87/409781087.db2.gz VEGLVNMZZBCBFO-UHFFFAOYSA-N -1 1 300.152 1.800 20 0 DDADMM COc1cc(CCC(=O)NC2(c3nn[n-]n3)CC2)ccc1Cl ZINC000357080148 409849797 /nfs/dbraw/zinc/84/97/97/409849797.db2.gz SJPBXLXHWBWZOG-UHFFFAOYSA-N -1 1 321.768 1.600 20 0 DDADMM COc1c([N-]S(=O)(=O)CCC(C)(C)C)cccc1C(N)=O ZINC000357079439 409850013 /nfs/dbraw/zinc/85/00/13/409850013.db2.gz SMOMWVCOPAUAJA-UHFFFAOYSA-N -1 1 314.407 1.972 20 0 DDADMM CC[C@H](C)Oc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357078372 409851598 /nfs/dbraw/zinc/85/15/98/409851598.db2.gz OTYVFTQMPOEXRQ-JTQLQIEISA-N -1 1 301.350 1.796 20 0 DDADMM CC[C@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000338229106 409819864 /nfs/dbraw/zinc/81/98/64/409819864.db2.gz KQSPZDYDFJRSKL-MWLCHTKSSA-N -1 1 319.379 1.142 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC[C@H]1CCCC[C@H]1C ZINC000349639230 409895397 /nfs/dbraw/zinc/89/53/97/409895397.db2.gz QWFFYUURHDMAKV-MWLCHTKSSA-N -1 1 301.412 1.890 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@H]1CCCC[C@H]1C ZINC000349639230 409895402 /nfs/dbraw/zinc/89/54/02/409895402.db2.gz QWFFYUURHDMAKV-MWLCHTKSSA-N -1 1 301.412 1.890 20 0 DDADMM CCc1n[n-]c(=NC(=O)N[C@@H](C)c2n[nH]c(C(C)C)n2)s1 ZINC000342877110 409964555 /nfs/dbraw/zinc/96/45/55/409964555.db2.gz WEBAWNIXEWEVPF-ZETCQYMHSA-N -1 1 309.399 1.647 20 0 DDADMM O=C(COc1cccc(F)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332216013 409987149 /nfs/dbraw/zinc/98/71/49/409987149.db2.gz UMHBBDVTPSMWDT-JTQLQIEISA-N -1 1 321.308 1.699 20 0 DDADMM O=C(Cc1csc(Cc2ccccc2)n1)Nc1nnn[n-]1 ZINC000342853024 409949937 /nfs/dbraw/zinc/94/99/37/409949937.db2.gz SBYLJRLQMTVHRX-UHFFFAOYSA-N -1 1 300.347 1.428 20 0 DDADMM O=C(Cc1csc(Cc2ccccc2)n1)Nc1nn[n-]n1 ZINC000342853024 409949944 /nfs/dbraw/zinc/94/99/44/409949944.db2.gz SBYLJRLQMTVHRX-UHFFFAOYSA-N -1 1 300.347 1.428 20 0 DDADMM CN1C[C@H](NC(=O)c2c([O-])cnc3ccc(Cl)cc32)CC1=O ZINC000338383133 409950287 /nfs/dbraw/zinc/95/02/87/409950287.db2.gz KGKKYGBPEXLVSE-SECBINFHSA-N -1 1 319.748 1.554 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)Cc1ccc(C)s1 ZINC000357359344 409994828 /nfs/dbraw/zinc/99/48/28/409994828.db2.gz ZPAIRGAWMKIXSW-SSDOTTSWSA-N -1 1 323.374 1.627 20 0 DDADMM Cc1cc(O[C@@H](C)C(=O)NC(C)(C)c2nn[n-]n2)ccc1Cl ZINC000354774862 410074967 /nfs/dbraw/zinc/07/49/67/410074967.db2.gz PZQJTYAYWPZDIN-VIFPVBQESA-N -1 1 323.784 1.980 20 0 DDADMM CC(C)(NC(=O)Cc1c[nH]c2ccc(Cl)cc12)c1nn[n-]n1 ZINC000354758500 410066741 /nfs/dbraw/zinc/06/67/41/410066741.db2.gz FMHCUFYPOIBTFJ-UHFFFAOYSA-N -1 1 318.768 1.928 20 0 DDADMM Cc1nnc(-c2ccc(C(=O)NC(C)(C)c3nn[n-]n3)cc2)o1 ZINC000354804631 410099415 /nfs/dbraw/zinc/09/94/15/410099415.db2.gz PIAKTVTVLWKWMD-UHFFFAOYSA-N -1 1 313.321 1.223 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1cccnc1 ZINC000332409194 410133592 /nfs/dbraw/zinc/13/35/92/410133592.db2.gz QFJWHNYKUPTKBW-PWSUYJOCSA-N -1 1 302.334 1.680 20 0 DDADMM COc1ccc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)nc1 ZINC000332445351 410165228 /nfs/dbraw/zinc/16/52/28/410165228.db2.gz SJGDCDLAINMSMD-JTQLQIEISA-N -1 1 318.333 1.128 20 0 DDADMM COc1cc(NC(=O)C2(C)COC2)ccc1[N-]S(C)(=O)=O ZINC000332447936 410167660 /nfs/dbraw/zinc/16/76/60/410167660.db2.gz MORWJVJUJVNMTD-UHFFFAOYSA-N -1 1 314.363 1.042 20 0 DDADMM Cc1csc([C@@H](C)NC(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000343268210 410295393 /nfs/dbraw/zinc/29/53/93/410295393.db2.gz WHAFYMZAEWZFTK-SECBINFHSA-N -1 1 320.374 1.159 20 0 DDADMM O=C(CSc1nc(C2CC2)cc(=O)[n-]1)NC(=O)NC1CC1 ZINC000333105757 410360657 /nfs/dbraw/zinc/36/06/57/410360657.db2.gz WFNHIGQXLJQNQM-UHFFFAOYSA-N -1 1 308.363 1.140 20 0 DDADMM Cc1cc(=NC(=O)N[C@@H](c2nnc[nH]2)c2ccccc2)[n-]nc1C ZINC000343438658 410450731 /nfs/dbraw/zinc/45/07/31/410450731.db2.gz DJURVFPMNRYLQS-CQSZACIVSA-N -1 1 323.360 1.545 20 0 DDADMM Cn1cc(Br)c(=O)c([N-]S(=O)(=O)C2CC2)c1 ZINC000329820351 410452259 /nfs/dbraw/zinc/45/22/59/410452259.db2.gz GRVSUZZAHCMPFQ-UHFFFAOYSA-N -1 1 307.169 1.052 20 0 DDADMM C[C@H]1[C@H](N=c2ccc(C(=O)NC3CCCC3)n[nH]2)CCC[N@@H+]1C ZINC000343448723 410461837 /nfs/dbraw/zinc/46/18/37/410461837.db2.gz CAORBZIYEZQVBF-GXTWGEPZSA-N -1 1 317.437 1.466 20 0 DDADMM C[C@@H]1CCCC[C@H]1CCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000343408952 410430334 /nfs/dbraw/zinc/43/03/34/410430334.db2.gz ZIJKPTBMSZKLAY-OLZOCXBDSA-N -1 1 319.405 1.850 20 0 DDADMM CCN(CCc1ccccc1)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000352178026 410527123 /nfs/dbraw/zinc/52/71/23/410527123.db2.gz IYZXGPAUDFCWNS-UHFFFAOYSA-N -1 1 317.345 1.601 20 0 DDADMM CC(C)n1ncc2c1C[C@@H](NC(=O)c1cncc([O-])c1)CC2 ZINC000339954549 410575035 /nfs/dbraw/zinc/57/50/35/410575035.db2.gz MOAHYCXXCDJNQS-ZDUSSCGKSA-N -1 1 300.362 1.852 20 0 DDADMM CC[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])c1nccs1 ZINC000339977297 410591552 /nfs/dbraw/zinc/59/15/52/410591552.db2.gz BJACNNZRKBTYCZ-SECBINFHSA-N -1 1 320.374 1.241 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@H]1CCCO1 ZINC000333424802 410541069 /nfs/dbraw/zinc/54/10/69/410541069.db2.gz JBHFBRWQISGGPJ-GHMZBOCLSA-N -1 1 320.436 1.232 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(c2ccc(F)cn2)CC1 ZINC000340004095 410611830 /nfs/dbraw/zinc/61/18/30/410611830.db2.gz DFNXNGDDSGWUPA-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)CC2CCCC2)CCSC1 ZINC000340072612 410655762 /nfs/dbraw/zinc/65/57/62/410655762.db2.gz KPYFHXNACWFANO-GFCCVEGCSA-N -1 1 307.437 1.145 20 0 DDADMM O=C(NCc1ccc2cc[nH]c2n1)c1csc(=NC2CC2)[n-]1 ZINC000359428487 410656120 /nfs/dbraw/zinc/65/61/20/410656120.db2.gz QNZKOHPDHIUGMI-UHFFFAOYSA-N -1 1 313.386 1.946 20 0 DDADMM Cc1nc(-c2ccc(N3CCC(O)CC3)nc2)[n-]c(=O)c1C ZINC000301368992 410709458 /nfs/dbraw/zinc/70/94/58/410709458.db2.gz RNWVZKXEUWEAIB-UHFFFAOYSA-N -1 1 300.362 1.822 20 0 DDADMM CCc1nc(C(=O)[N-]c2nnc(CCC(=O)OC)s2)c(C)o1 ZINC000353004374 410728075 /nfs/dbraw/zinc/72/80/75/410728075.db2.gz OVRIHGQEJZZNBG-UHFFFAOYSA-N -1 1 324.362 1.755 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCSCCCO)cnc2n1 ZINC000353015717 410735584 /nfs/dbraw/zinc/73/55/84/410735584.db2.gz BFOLHQBKRAREGD-UHFFFAOYSA-N -1 1 321.402 1.489 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCSCCCO)c2=O ZINC000353015717 410735588 /nfs/dbraw/zinc/73/55/88/410735588.db2.gz BFOLHQBKRAREGD-UHFFFAOYSA-N -1 1 321.402 1.489 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@H]1CCCC[C@H]1C(F)(F)F ZINC000631627277 422860092 /nfs/dbraw/zinc/86/00/92/422860092.db2.gz ZHVNYPFIOQPVJK-RKDXNWHRSA-N -1 1 305.304 1.617 20 0 DDADMM CCCN(C)S(=O)(=O)Nc1cc(C(=O)CC)ccc1[O-] ZINC000359595919 410761452 /nfs/dbraw/zinc/76/14/52/410761452.db2.gz NGQTUJFHZWYSKM-UHFFFAOYSA-N -1 1 300.380 1.983 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cc(F)ccc1O ZINC000343935035 410825116 /nfs/dbraw/zinc/82/51/16/410825116.db2.gz CGXKWYUCDBNEDQ-UHFFFAOYSA-N -1 1 305.265 1.000 20 0 DDADMM Cc1nn(C)c(Sc2ccccc2)c1C(=O)Nc1nn[n-]n1 ZINC000343927412 410817082 /nfs/dbraw/zinc/81/70/82/410817082.db2.gz SLICKVCSQQBKBQ-UHFFFAOYSA-N -1 1 315.362 1.645 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1c[nH]nc1-c1ccccc1F ZINC000348280222 410895076 /nfs/dbraw/zinc/89/50/76/410895076.db2.gz GUUAWJRDMFDWDK-UHFFFAOYSA-N -1 1 313.296 1.148 20 0 DDADMM CCCc1nc(=NC(=O)N2CCN(CC(C)C)CC2)s[n-]1 ZINC000330861874 410900934 /nfs/dbraw/zinc/90/09/34/410900934.db2.gz SGTFWNVUQYAFNE-UHFFFAOYSA-N -1 1 311.455 1.718 20 0 DDADMM O=S(=O)([N-]CCc1cnccn1)c1cc(F)c(F)cc1F ZINC000341181528 410920755 /nfs/dbraw/zinc/92/07/55/410920755.db2.gz HVVUSBGJJABXOO-UHFFFAOYSA-N -1 1 317.292 1.415 20 0 DDADMM O=C(COc1ccc(O)cc1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000344114348 410966456 /nfs/dbraw/zinc/96/64/56/410966456.db2.gz RPDXWXMBWOQUER-UHFFFAOYSA-N -1 1 302.212 1.547 20 0 DDADMM CCNC(=O)NCc1cccc(-c2nc(C(=O)OCC)n[n-]2)c1 ZINC000337751599 410982390 /nfs/dbraw/zinc/98/23/90/410982390.db2.gz GDVLGSUXEVGASS-UHFFFAOYSA-N -1 1 317.349 1.468 20 0 DDADMM O=C(NCc1ccccc1Cn1cncn1)c1cncc([O-])c1 ZINC000337772326 410991943 /nfs/dbraw/zinc/99/19/43/410991943.db2.gz VYCLZVCCTBGISI-UHFFFAOYSA-N -1 1 309.329 1.357 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1ccccc1F)C(C)C ZINC000341862942 411073004 /nfs/dbraw/zinc/07/30/04/411073004.db2.gz DEEHRPXNFVDWFV-GFCCVEGCSA-N -1 1 303.355 1.443 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)C(=O)c1ccc(F)cc1 ZINC000348571719 411023737 /nfs/dbraw/zinc/02/37/37/411023737.db2.gz CPCDKLHMULBHSG-UHFFFAOYSA-N -1 1 302.187 1.784 20 0 DDADMM COc1ccc(OC)c(CNC2(c3nn[n-]n3)CCCC2)c1 ZINC000344215015 411032436 /nfs/dbraw/zinc/03/24/36/411032436.db2.gz PFSFODZCWKTPRH-UHFFFAOYSA-N -1 1 303.366 1.776 20 0 DDADMM COc1ccc(OC)c(CNC2(c3nnn[n-]3)CCCC2)c1 ZINC000344215015 411032439 /nfs/dbraw/zinc/03/24/39/411032439.db2.gz PFSFODZCWKTPRH-UHFFFAOYSA-N -1 1 303.366 1.776 20 0 DDADMM CC(C)c1cccc(N2C[C@H](C(=O)Nc3nnn[n-]3)CC2=O)c1 ZINC000360222487 411105413 /nfs/dbraw/zinc/10/54/13/411105413.db2.gz MEVZMEHIMAWIED-LLVKDONJSA-N -1 1 314.349 1.315 20 0 DDADMM CC(C)c1cccc(N2C[C@H](C(=O)Nc3nn[n-]n3)CC2=O)c1 ZINC000360222487 411105417 /nfs/dbraw/zinc/10/54/17/411105417.db2.gz MEVZMEHIMAWIED-LLVKDONJSA-N -1 1 314.349 1.315 20 0 DDADMM CC[C@H]1CCN(C(=O)CCc2c(C)nc(SC)[n-]c2=O)C1 ZINC000131050022 196135576 /nfs/dbraw/zinc/13/55/76/196135576.db2.gz OXUDQJDMUTVDLY-NSHDSACASA-N -1 1 309.435 1.991 20 0 DDADMM CCOc1cc(C(=O)Nc2nnc3nc[nH]n32)cc(Cl)c1[O-] ZINC000647736686 423023106 /nfs/dbraw/zinc/02/31/06/423023106.db2.gz AVMGGONEWKGNEH-UHFFFAOYSA-N -1 1 322.712 1.462 20 0 DDADMM COc1cncc(/C=C\CCN2CCO[C@@H](CC(=O)[O-])C2)c1 ZINC000652479688 423036846 /nfs/dbraw/zinc/03/68/46/423036846.db2.gz XYAGWSMOQDWCPN-HKLWWIALSA-N -1 1 306.362 1.669 20 0 DDADMM CCN(CCOCCO)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000650074898 423038576 /nfs/dbraw/zinc/03/85/76/423038576.db2.gz NOWHVEYTWBBGDI-UHFFFAOYSA-N -1 1 321.295 1.882 20 0 DDADMM CN1CCC[C@@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC000645485961 423052261 /nfs/dbraw/zinc/05/22/61/423052261.db2.gz WKMXMOLZUMHZRX-SECBINFHSA-N -1 1 323.340 1.473 20 0 DDADMM CN1CCC[C@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC000645485963 423052314 /nfs/dbraw/zinc/05/23/14/423052314.db2.gz WKMXMOLZUMHZRX-VIFPVBQESA-N -1 1 323.340 1.473 20 0 DDADMM O=S(=O)([N-]CC1CCOCC1)c1ncccc1C(F)(F)F ZINC000645505555 423060321 /nfs/dbraw/zinc/06/03/21/423060321.db2.gz HOPGCHZKWRLNJU-UHFFFAOYSA-N -1 1 324.324 1.805 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3ccnc(C)c3)no2)o1 ZINC000350541123 306752624 /nfs/dbraw/zinc/75/26/24/306752624.db2.gz GNMVTTAJRJREJN-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM Cc1cccc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000340366076 292575467 /nfs/dbraw/zinc/57/54/67/292575467.db2.gz AGFXNHXYGJWQPI-UHFFFAOYSA-N -1 1 302.359 1.451 20 0 DDADMM Cc1cc(C)n2nc(C(=O)[N-]c3ncn(C(C)(C)C)n3)nc2n1 ZINC000544339516 416653132 /nfs/dbraw/zinc/65/31/32/416653132.db2.gz AIIYOHPEZUVMMJ-UHFFFAOYSA-N -1 1 314.353 1.340 20 0 DDADMM O=S(=O)([N-]CCNc1cnccn1)c1ccc(C(F)F)o1 ZINC000631793427 416742762 /nfs/dbraw/zinc/74/27/62/416742762.db2.gz GLGSFYTYVVBXHS-UHFFFAOYSA-N -1 1 318.305 1.398 20 0 DDADMM CC[C@@H](C)[C@H](O)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000647898927 423105615 /nfs/dbraw/zinc/10/56/15/423105615.db2.gz SOROKTYLWCPJJJ-RNFRBKRXSA-N -1 1 315.317 1.114 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H](CC(C)C)C1 ZINC000416197488 224829560 /nfs/dbraw/zinc/82/95/60/224829560.db2.gz WGDCPOUIDSYNGR-SNVBAGLBSA-N -1 1 309.366 1.795 20 0 DDADMM C[C@H]1CC[C@H](C[N-]S(=O)(=O)c2ccc(Br)o2)O1 ZINC000416528161 224968056 /nfs/dbraw/zinc/96/80/56/224968056.db2.gz DAQUSRKILAAUEN-JGVFFNPUSA-N -1 1 324.196 1.888 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cnn2c1CCC2 ZINC000295572003 225000646 /nfs/dbraw/zinc/00/06/46/225000646.db2.gz WHEYMPXXRRYPCP-UHFFFAOYSA-N -1 1 323.150 1.945 20 0 DDADMM CN(C)C(=O)O[C@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000295667436 225104038 /nfs/dbraw/zinc/10/40/38/225104038.db2.gz NXJYYTWPZSYWRA-ZDUSSCGKSA-N -1 1 320.345 1.264 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)c1 ZINC000643352522 423122652 /nfs/dbraw/zinc/12/26/52/423122652.db2.gz CFOJRFPAELPKQW-GMTAPVOTSA-N -1 1 324.402 1.675 20 0 DDADMM C[C@@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645648259 423125353 /nfs/dbraw/zinc/12/53/53/423125353.db2.gz XNZANKPXOCANHE-KWQFWETISA-N -1 1 324.324 1.946 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CC[C@@H](C)C2)o1 ZINC000360362895 418418204 /nfs/dbraw/zinc/41/82/04/418418204.db2.gz PYOYIHPVPOAYPH-ZJUUUORDSA-N -1 1 301.364 1.781 20 0 DDADMM COc1ccc(NS(=O)(=O)c2cc(C(=O)[O-])ccc2[O-])cc1 ZINC000360510102 418454030 /nfs/dbraw/zinc/45/40/30/418454030.db2.gz FVNJWVMIPXJDNI-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM Cc1cccc(C(=O)NC[C@@H]2C[N@H+]3CCCC[C@H]3CO2)c1O ZINC000360730420 418502517 /nfs/dbraw/zinc/50/25/17/418502517.db2.gz ATBAZSLISWSIGM-UONOGXRCSA-N -1 1 304.390 1.684 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCc3c[nH]nc32)c1 ZINC000374402376 418526656 /nfs/dbraw/zinc/52/66/56/418526656.db2.gz MMPYSGKYASXMFE-NSHDSACASA-N -1 1 315.329 1.961 20 0 DDADMM C[C@@H]1CN(CCN(C)C(=O)c2ccc([O-])cc2F)C[C@H](C)O1 ZINC000194749952 222182147 /nfs/dbraw/zinc/18/21/47/222182147.db2.gz BWCYGBKTTYXZNU-TXEJJXNPSA-N -1 1 310.369 1.713 20 0 DDADMM COc1ccc(-c2nnc(S(=O)(=O)CC3CC3)[n-]2)c(OC)c1 ZINC000195188375 222193509 /nfs/dbraw/zinc/19/35/09/222193509.db2.gz AJBWBXKRWKAINZ-UHFFFAOYSA-N -1 1 323.374 1.673 20 0 DDADMM COc1ccc(-c2nc(S(=O)(=O)CC3CC3)n[n-]2)c(OC)c1 ZINC000195188375 222193511 /nfs/dbraw/zinc/19/35/11/222193511.db2.gz AJBWBXKRWKAINZ-UHFFFAOYSA-N -1 1 323.374 1.673 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)N[C@H]2CCCc3ccccc32)C1 ZINC000361506800 418665146 /nfs/dbraw/zinc/66/51/46/418665146.db2.gz NBLNTTLWHOETPB-HOCLYGCPSA-N -1 1 316.401 1.977 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2CCCc3ccccc32)C1 ZINC000361506800 418665149 /nfs/dbraw/zinc/66/51/49/418665149.db2.gz NBLNTTLWHOETPB-HOCLYGCPSA-N -1 1 316.401 1.977 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC23CCCC3)o1 ZINC000364832055 418812979 /nfs/dbraw/zinc/81/29/79/418812979.db2.gz ADXSFOVBXXFKIH-UHFFFAOYSA-N -1 1 312.391 1.737 20 0 DDADMM O=C(NCC[C@@]1(O)CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000652803387 423144186 /nfs/dbraw/zinc/14/41/86/423144186.db2.gz DQRITPDNJFUJGM-CYBMUJFWSA-N -1 1 319.279 1.682 20 0 DDADMM CC(C)[C@H]1CC[C@H](C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CC1 ZINC000372194864 418843997 /nfs/dbraw/zinc/84/39/97/418843997.db2.gz CTJZXJIAAFUSBP-JHJVBQTASA-N -1 1 307.398 1.562 20 0 DDADMM NC(=O)c1ccc(F)c([N-]S(=O)(=O)CCOCC2CC2)c1 ZINC000424064528 228224260 /nfs/dbraw/zinc/22/42/60/228224260.db2.gz OOJCUQQSHLTLGU-UHFFFAOYSA-N -1 1 316.354 1.093 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)c2nc[nH]n2)c(F)c1 ZINC000425172701 228380646 /nfs/dbraw/zinc/38/06/46/228380646.db2.gz VFTSEFOUAGHNNF-ZETCQYMHSA-N -1 1 302.306 1.431 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cc(C)nc(C)c2)co1 ZINC000421912588 419766065 /nfs/dbraw/zinc/76/60/65/419766065.db2.gz DNTMJUICJXQTOH-UHFFFAOYSA-N -1 1 323.374 1.130 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCNC(=O)C(C)(C)C)sc1C ZINC000435209753 229361714 /nfs/dbraw/zinc/36/17/14/229361714.db2.gz YCMRVAQPWZGLCW-UHFFFAOYSA-N -1 1 319.452 1.201 20 0 DDADMM CC(C)[C@H]1CC[C@@H](C)C[C@H]1OCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000430335774 420109516 /nfs/dbraw/zinc/10/95/16/420109516.db2.gz XPGZPVGBVOAEET-JHJVBQTASA-N -1 1 321.425 1.782 20 0 DDADMM CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)[C@H]1CCCC[C@H]1C ZINC000416143310 420256719 /nfs/dbraw/zinc/25/67/19/420256719.db2.gz FXXCXPRIZJVCBS-ZJUUUORDSA-N -1 1 309.366 1.937 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@]2(CC[C@H](C)C2)C1 ZINC000416197710 420277177 /nfs/dbraw/zinc/27/71/77/420277177.db2.gz ISMXSUWVLUBEHO-BJOHPYRUSA-N -1 1 307.350 1.549 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H](Cc2ccccc2)C(N)=O)n1 ZINC000436207667 420315263 /nfs/dbraw/zinc/31/52/63/420315263.db2.gz SPFNAUWSXRFYQI-GFCCVEGCSA-N -1 1 303.387 1.933 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cnn(C)c2SC)c1 ZINC000436515135 420334341 /nfs/dbraw/zinc/33/43/41/420334341.db2.gz RMFMAVSMNFWPJH-UHFFFAOYSA-N -1 1 321.358 1.887 20 0 DDADMM CN(CC(=O)NCc1cccs1)C(=O)c1cc(F)ccc1[O-] ZINC000436549005 420339591 /nfs/dbraw/zinc/33/95/91/420339591.db2.gz OMMXAUSHCSTGRS-UHFFFAOYSA-N -1 1 322.361 1.981 20 0 DDADMM O=C(NCc1nc(C(F)(F)F)n[nH]1)c1c([O-])cccc1F ZINC000436562394 420339633 /nfs/dbraw/zinc/33/96/33/420339633.db2.gz FIJZKIMHQQGWLT-UHFFFAOYSA-N -1 1 304.203 1.598 20 0 DDADMM O=C(Cc1ccc(O)c(F)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425300420 420343240 /nfs/dbraw/zinc/34/32/40/420343240.db2.gz LIJWRPLUYQWGEX-JTQLQIEISA-N -1 1 321.308 1.569 20 0 DDADMM COC(=O)[C@](C)(O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436674222 420353535 /nfs/dbraw/zinc/35/35/35/420353535.db2.gz OROOWMLVPQMJLY-GFCCVEGCSA-N -1 1 321.251 1.065 20 0 DDADMM COC[C@@H](CCO)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442673155 229939332 /nfs/dbraw/zinc/93/93/32/229939332.db2.gz QDKZNOKDXFUCQL-SECBINFHSA-N -1 1 303.742 1.181 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@@H](C(=O)OC(C)(C)C)C(C)C)OC ZINC000420688798 420356066 /nfs/dbraw/zinc/35/60/66/420356066.db2.gz FKTWIQXTVUZKPQ-VXGBXAGGSA-N -1 1 323.455 1.697 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ncc(OC)cn2)c1 ZINC000436727329 420360588 /nfs/dbraw/zinc/36/05/88/420360588.db2.gz YWTKCFVYYMWWDP-UHFFFAOYSA-N -1 1 303.274 1.230 20 0 DDADMM CSCC[C@@H](O)C(=O)N=c1cc(-c2cc(C)ccc2F)[n-][nH]1 ZINC000416252704 420298020 /nfs/dbraw/zinc/29/80/20/420298020.db2.gz PNRLNFKPMMORDP-CYBMUJFWSA-N -1 1 323.393 1.999 20 0 DDADMM CCCN(CC(N)=O)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436839665 420375006 /nfs/dbraw/zinc/37/50/06/420375006.db2.gz UNVSQVFJWJYDQS-UHFFFAOYSA-N -1 1 304.268 1.749 20 0 DDADMM O=C(Nc1cccnc1-n1cccn1)C(=O)c1ccc([O-])cc1 ZINC000436862594 420376457 /nfs/dbraw/zinc/37/64/57/420376457.db2.gz ZVCSUQQJXWKERK-UHFFFAOYSA-N -1 1 308.297 1.794 20 0 DDADMM O=C(C(=O)N1CCOC[C@H]1CC1CCC1)c1ccc([O-])cc1 ZINC000436789738 420364783 /nfs/dbraw/zinc/36/47/83/420364783.db2.gz CSBANJGKSGMMTK-CQSZACIVSA-N -1 1 303.358 1.993 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cn3c(n2)CCC[C@H]3C)n1 ZINC000416421166 420365297 /nfs/dbraw/zinc/36/52/97/420365297.db2.gz AUWBDUAXAHVVID-MRVPVSSYSA-N -1 1 310.383 1.262 20 0 DDADMM Cc1c(F)cccc1NC(=O)CCS(=O)(=O)c1nc[n-]n1 ZINC000444406536 230095766 /nfs/dbraw/zinc/09/57/66/230095766.db2.gz IEHBQKLOFHLADF-UHFFFAOYSA-N -1 1 312.326 1.055 20 0 DDADMM COC[C@@H](C)CC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000445997247 230217562 /nfs/dbraw/zinc/21/75/62/230217562.db2.gz WAJDPRLKSUYSBT-VIFPVBQESA-N -1 1 306.366 1.341 20 0 DDADMM CC(C)Cn1ccnc1CNC(=O)C(=O)c1ccc([O-])cc1 ZINC000438910374 420470263 /nfs/dbraw/zinc/47/02/63/420470263.db2.gz HEGSPDOCNBNEOY-UHFFFAOYSA-N -1 1 301.346 1.744 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cccc(C(=O)OC)c2)c1C ZINC000438239900 420447531 /nfs/dbraw/zinc/44/75/31/420447531.db2.gz RLNNPQQGVMBXFK-UHFFFAOYSA-N -1 1 323.374 1.868 20 0 DDADMM CN(C)c1ccc(S(=O)(=O)[N-]c2ncccc2Cl)cn1 ZINC000438992111 420475199 /nfs/dbraw/zinc/47/51/99/420475199.db2.gz PUQZCRGBKAQVMZ-UHFFFAOYSA-N -1 1 312.782 1.997 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)c2cccc(C)c2)o1 ZINC000457056978 420598550 /nfs/dbraw/zinc/59/85/50/420598550.db2.gz QSHICELBKMFURC-NSHDSACASA-N -1 1 322.386 1.987 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2CCCCCC2)co1 ZINC000452788591 420661986 /nfs/dbraw/zinc/66/19/86/420661986.db2.gz FFMHIBGCUJNWAH-UHFFFAOYSA-N -1 1 314.407 1.888 20 0 DDADMM C[C@@H](O)CCS(=O)(=O)c1n[n-]c(CCC2CCCC2)n1 ZINC000453012589 420701299 /nfs/dbraw/zinc/70/12/99/420701299.db2.gz FAWKWDMOUYMKPL-SNVBAGLBSA-N -1 1 301.412 1.472 20 0 DDADMM CC[C@H](O)CS(=O)(=O)c1n[n-]c(CCC2CCCC2)n1 ZINC000453032710 420704241 /nfs/dbraw/zinc/70/42/41/420704241.db2.gz YURFMNDFRRUZNJ-NSHDSACASA-N -1 1 301.412 1.472 20 0 DDADMM Cc1cccc2nc(CNS(=O)(=O)[N-]CC(F)(F)F)[nH]c21 ZINC000443047812 420738452 /nfs/dbraw/zinc/73/84/52/420738452.db2.gz MIFNKJHZLFGRGD-UHFFFAOYSA-N -1 1 322.312 1.358 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2CCN(CC)C[C@H]2CC)s1 ZINC000454295078 420832831 /nfs/dbraw/zinc/83/28/31/420832831.db2.gz UEFWMHVJWWQNEM-LLVKDONJSA-N -1 1 311.455 1.861 20 0 DDADMM CCc1nc(=NC(=O)c2nn(-c3ccccc3)cc2OC)[n-][nH]1 ZINC000479132763 420975024 /nfs/dbraw/zinc/97/50/24/420975024.db2.gz QBVVYJAAIXMYCW-UHFFFAOYSA-N -1 1 312.333 1.236 20 0 DDADMM CCOC(=O)[C@@H]1C[N@H+](Cc2cc(O)cc(F)c2)CC12COC2 ZINC000449361834 420996001 /nfs/dbraw/zinc/99/60/01/420996001.db2.gz JVKANZQRPGQHDT-AWEZNQCLSA-N -1 1 309.337 1.543 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CCNC(=O)OC(C)(C)C)ccnc1-2 ZINC000495659555 421041731 /nfs/dbraw/zinc/04/17/31/421041731.db2.gz UAYFUKZXVZMFBB-UHFFFAOYSA-N -1 1 319.365 1.195 20 0 DDADMM COC[C@]1(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CCOC1 ZINC000449819260 421089412 /nfs/dbraw/zinc/08/94/12/421089412.db2.gz HWWDTSUAJVKFRH-CQSZACIVSA-N -1 1 307.375 1.552 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(COC(=O)c2cn[n-]n2)CC1 ZINC000495833061 421072311 /nfs/dbraw/zinc/07/23/11/421072311.db2.gz BREVDKIFVWUYJO-UHFFFAOYSA-N -1 1 310.354 1.609 20 0 DDADMM COC(=O)N1CC([N-]S(=O)(=O)c2c(F)cc(C)cc2F)C1 ZINC000496217437 421154939 /nfs/dbraw/zinc/15/49/39/421154939.db2.gz PTANJJXYPINXPU-UHFFFAOYSA-N -1 1 320.317 1.002 20 0 DDADMM COc1cc(C(=O)N(C)Cc2nncn2C)cc(Cl)c1[O-] ZINC000489817008 421174846 /nfs/dbraw/zinc/17/48/46/421174846.db2.gz LBQDSCZMEKOITC-UHFFFAOYSA-N -1 1 310.741 1.455 20 0 DDADMM CC(C)(C)C1=CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000544790596 421232034 /nfs/dbraw/zinc/23/20/34/421232034.db2.gz NISAAQSHLWQDTK-UHFFFAOYSA-N -1 1 301.350 1.236 20 0 DDADMM COC(=O)Nc1cccc(CNc2nc3[nH][n-]cc-3c(=O)n2)c1 ZINC000496338311 421234479 /nfs/dbraw/zinc/23/44/79/421234479.db2.gz FUMZTXOBAFZVIB-UHFFFAOYSA-N -1 1 314.305 1.222 20 0 DDADMM CCc1nncn1CC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000545093996 421237268 /nfs/dbraw/zinc/23/72/68/421237268.db2.gz OGAJWQBDRUIRPN-UHFFFAOYSA-N -1 1 316.333 1.097 20 0 DDADMM c1[nH]c2ncccc2c1CCN=c1nc(C2CCOCC2)[n-]o1 ZINC000560806824 421293300 /nfs/dbraw/zinc/29/33/00/421293300.db2.gz BXBCYQJLPJUDKB-UHFFFAOYSA-N -1 1 313.361 1.916 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(Cl)c(C#N)c1)c1nn[n-]n1 ZINC000547488245 421337615 /nfs/dbraw/zinc/33/76/15/421337615.db2.gz SWUMGJOCUNFSGF-QMMMGPOBSA-N -1 1 304.741 1.600 20 0 DDADMM C[C@@H](CN(C)C(=O)C(=O)Nc1ccc(Cl)cc1)c1nn[n-]n1 ZINC000547488176 421337739 /nfs/dbraw/zinc/33/77/39/421337739.db2.gz ACAXSNINSRVWQI-QMMMGPOBSA-N -1 1 322.756 1.054 20 0 DDADMM COc1cc(C(=O)N2CCN3C(=O)CC[C@@H]3C2)cc(Cl)c1[O-] ZINC000527193706 421363928 /nfs/dbraw/zinc/36/39/28/421363928.db2.gz FNSOUTAJLXRVRB-SNVBAGLBSA-N -1 1 324.764 1.501 20 0 DDADMM Cc1cccc(F)c1S(=O)(=O)Nc1cnn(C)c1C(=O)[O-] ZINC000547822020 421371091 /nfs/dbraw/zinc/37/10/91/421371091.db2.gz OGUBDVDKLWOFHE-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM O=C(Cc1ccc2n[nH]cc2c1)NC1(c2nn[n-]n2)CCCC1 ZINC000527248168 421371416 /nfs/dbraw/zinc/37/14/16/421371416.db2.gz KVBTWDZHWIWMGY-UHFFFAOYSA-N -1 1 311.349 1.204 20 0 DDADMM COC(=O)C[C@@H]1CSCCN1C(=O)c1cccc2nn[nH]c21 ZINC000527357940 421380866 /nfs/dbraw/zinc/38/08/66/421380866.db2.gz ZTMLYEKLSGOOGU-SECBINFHSA-N -1 1 320.374 1.079 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC000562554149 421381679 /nfs/dbraw/zinc/38/16/79/421381679.db2.gz PSGZHBNIHJYVFL-DEKYYXRVSA-N -1 1 309.391 1.228 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)N=c1cc2ccccn2[n-]1 ZINC000514595432 421437823 /nfs/dbraw/zinc/43/78/23/421437823.db2.gz BLZVWFANKDFFLO-CYBMUJFWSA-N -1 1 311.407 1.559 20 0 DDADMM O=C([C@@H]1COc2ccccc21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000550123391 421514206 /nfs/dbraw/zinc/51/42/06/421514206.db2.gz MLKQNIXEEBSFPB-CMPLNLGQSA-N -1 1 315.329 1.657 20 0 DDADMM Cc1ccccc1-c1nc(=NC[C@H]2CCCS2(=O)=O)s[n-]1 ZINC000527939379 421457500 /nfs/dbraw/zinc/45/75/00/421457500.db2.gz OKWIBVFCCRCXGN-LLVKDONJSA-N -1 1 323.443 1.925 20 0 DDADMM C[C@@H](C(=O)NCc1cccs1)N1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000563122844 421459546 /nfs/dbraw/zinc/45/95/46/421459546.db2.gz FCSZFFGORMJMDP-NTZNESFSSA-N -1 1 310.419 1.795 20 0 DDADMM CCC(F)(F)C(C)(C)CN=c1ccc(C(=O)NCCO)n[n-]1 ZINC000528181993 421473727 /nfs/dbraw/zinc/47/37/27/421473727.db2.gz HMMRMSMVFQIZGW-UHFFFAOYSA-N -1 1 316.352 1.104 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cnnc2ccccc21 ZINC000528600278 421499867 /nfs/dbraw/zinc/49/98/67/421499867.db2.gz PDTUEMIMHWAYST-UHFFFAOYSA-N -1 1 309.333 1.342 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1C[C@H]1c1cccc(O)c1 ZINC000516738044 421564720 /nfs/dbraw/zinc/56/47/20/421564720.db2.gz DHDCJGVYQQYQET-QWHCGFSZSA-N -1 1 313.361 1.595 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cccc(C(=O)[O-])c2)CCN1C[C@H](C)O ZINC000564329539 421581155 /nfs/dbraw/zinc/58/11/55/421581155.db2.gz XNOYVMAYAKBRAI-SWLSCSKDSA-N -1 1 320.389 1.302 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)c2ccccc21 ZINC000570358285 421641711 /nfs/dbraw/zinc/64/17/11/421641711.db2.gz DCFLMHCHHSPTAW-GFCCVEGCSA-N -1 1 323.356 1.818 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)Cc1nccs1 ZINC000532298912 421654467 /nfs/dbraw/zinc/65/44/67/421654467.db2.gz JUXUCQMEFZJZAR-UHFFFAOYSA-N -1 1 310.404 1.941 20 0 DDADMM CCO[C@@H](CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)C1=CCCC1 ZINC000537123871 421728471 /nfs/dbraw/zinc/72/84/71/421728471.db2.gz MIUKXGVYQRARAX-KBPBESRZSA-N -1 1 319.409 1.811 20 0 DDADMM O=C(CSCc1ccncc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000533572719 421678909 /nfs/dbraw/zinc/67/89/09/421678909.db2.gz KULBIGGAOHJHRN-LBPRGKRZSA-N -1 1 318.406 1.234 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000533572962 421679795 /nfs/dbraw/zinc/67/97/95/421679795.db2.gz IFQLJCQHSDUOCF-JHJVBQTASA-N -1 1 307.398 1.501 20 0 DDADMM CC(C)c1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc(=O)[nH]1 ZINC000538253219 421737874 /nfs/dbraw/zinc/73/78/74/421737874.db2.gz QKJFKKLBIFGBBE-UHFFFAOYSA-N -1 1 316.365 1.623 20 0 DDADMM Cn1[nH]c(C[N@@H+]2CC[C@@H](COCc3ccccc3)C2)nc1=O ZINC000542506879 421823865 /nfs/dbraw/zinc/82/38/65/421823865.db2.gz SKWKGHRJNVAAGN-CQSZACIVSA-N -1 1 302.378 1.147 20 0 DDADMM Cc1nc(C2(NCc3nc(=O)n(C)[n-]3)CCCCCC2)no1 ZINC000542508920 421824283 /nfs/dbraw/zinc/82/42/83/421824283.db2.gz ZMBVNFUQCIYXKG-UHFFFAOYSA-N -1 1 306.370 1.139 20 0 DDADMM CCC[C@H]1C[C@H](C(=O)NC2(c3nn[n-]n3)CCCC2)CCO1 ZINC000540961075 421779776 /nfs/dbraw/zinc/77/97/76/421779776.db2.gz PTSXYQOSHQOMEK-NEPJUHHUSA-N -1 1 307.398 1.681 20 0 DDADMM Cn1cc([C@H]2C[C@H](NC(=O)c3ncccc3[O-])CCO2)cn1 ZINC000572565772 421800020 /nfs/dbraw/zinc/80/00/20/421800020.db2.gz HLYBBGDYMYUAQM-DGCLKSJQSA-N -1 1 302.334 1.171 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@@]1(CO)CCCc2ccccc21 ZINC000635312857 421899611 /nfs/dbraw/zinc/89/96/11/421899611.db2.gz GRUSSLIKCRNHHL-QGZVFWFLSA-N -1 1 315.373 1.432 20 0 DDADMM Cc1cccc(OCC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000630221768 421973019 /nfs/dbraw/zinc/97/30/19/421973019.db2.gz BXDVEPJJCRJLMH-CQSZACIVSA-N -1 1 320.389 1.381 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C)c2cn(C)nc2C)sn1 ZINC000631895871 421919459 /nfs/dbraw/zinc/91/94/59/421919459.db2.gz ZJJDLKJAFFTJPN-SECBINFHSA-N -1 1 300.409 1.533 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)Cc2ccccc2Cl)CC1 ZINC000630223477 421973746 /nfs/dbraw/zinc/97/37/46/421973746.db2.gz JHHQJGUIRQHFLJ-LBPRGKRZSA-N -1 1 324.808 1.890 20 0 DDADMM COc1ccccc1CN(C(=O)CCCc1nn[n-]n1)C1CC1 ZINC000635379217 421940714 /nfs/dbraw/zinc/94/07/14/421940714.db2.gz BRNFKNRSAFHIPM-UHFFFAOYSA-N -1 1 315.377 1.722 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@](C)(C(=O)[O-])c1ccc(F)cc1 ZINC000630190481 421949127 /nfs/dbraw/zinc/94/91/27/421949127.db2.gz CFAJGFYZGWZLKX-MGPLVRAMSA-N -1 1 319.336 1.844 20 0 DDADMM Cc1ccc(C)c(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000630222223 421974337 /nfs/dbraw/zinc/97/43/37/421974337.db2.gz FBVGAUVGCONHES-AWEZNQCLSA-N -1 1 304.390 1.924 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NCc1ccc(C(=O)[O-])cc1F ZINC000630209494 421962399 /nfs/dbraw/zinc/96/23/99/421962399.db2.gz CYNGXTJDNAJGRI-SECBINFHSA-N -1 1 305.309 1.742 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(Cc2n[nH]c(C(C)(C)C)n2)C1 ZINC000573499645 421970225 /nfs/dbraw/zinc/97/02/25/421970225.db2.gz KUWJTXBBSHHINN-HNNXBMFYSA-N -1 1 310.398 1.415 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H](C)c1ncc(C(=O)[O-])s1 ZINC000630220815 421970294 /nfs/dbraw/zinc/97/02/94/421970294.db2.gz XEFIRTJHRGELLT-SFYZADRCSA-N -1 1 308.363 1.620 20 0 DDADMM COC1(CS(=O)(=O)[N-][C@H](C)c2nc(C)no2)CCCC1 ZINC000631868318 421904137 /nfs/dbraw/zinc/90/41/37/421904137.db2.gz DFWVCROXNWSWGJ-SECBINFHSA-N -1 1 303.384 1.318 20 0 DDADMM CO[C@@H]1C[C@@H](CC(=O)[O-])N(Cc2ccn(-c3ccccc3)n2)C1 ZINC000581672521 422026410 /nfs/dbraw/zinc/02/64/10/422026410.db2.gz OQTOCUQGCRYUAU-JKSUJKDBSA-N -1 1 315.373 1.936 20 0 DDADMM O=C([O-])[C@]1(CNC(=O)c2cc(F)cc3nc[nH]c32)CCCOC1 ZINC000630337150 422029944 /nfs/dbraw/zinc/02/99/44/422029944.db2.gz IWUZVJRINBVHQL-HNNXBMFYSA-N -1 1 321.308 1.313 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc3c(c2)CCO3)CC1 ZINC000630226939 421977653 /nfs/dbraw/zinc/97/76/53/421977653.db2.gz XSWMWNRCOJRBKX-LBPRGKRZSA-N -1 1 318.373 1.243 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC2(O)CCCCC2)c1 ZINC000632004245 422013238 /nfs/dbraw/zinc/01/32/38/422013238.db2.gz DAIHKRHDYGHDCU-UHFFFAOYSA-N -1 1 315.391 1.374 20 0 DDADMM CC(C)(C)O[C@H]1C[C@@H](NC(=O)CCc2nn[n-]n2)C12CCC2 ZINC000633637917 422018066 /nfs/dbraw/zinc/01/80/66/422018066.db2.gz GDAMYNJLWPMDEU-MNOVXSKESA-N -1 1 307.398 1.375 20 0 DDADMM CC[C@H](C)[C@H](O)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632012157 422019634 /nfs/dbraw/zinc/01/96/34/422019634.db2.gz MFQYAYMUQRNHOL-JOYOIKCWSA-N -1 1 303.380 1.086 20 0 DDADMM CCc1cccc2c1OCC[C@H]2NC(=O)CCCc1nn[n-]n1 ZINC000635471022 422020691 /nfs/dbraw/zinc/02/06/91/422020691.db2.gz DWPCXKIMYDACDM-CYBMUJFWSA-N -1 1 315.377 1.725 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)C[C@H]2CCOC2)c1 ZINC000632098983 422086294 /nfs/dbraw/zinc/08/62/94/422086294.db2.gz DHUDPRCHADPHIW-WDEREUQCSA-N -1 1 315.391 1.494 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3OCC[C@@H]32)sn1 ZINC000632103345 422089626 /nfs/dbraw/zinc/08/96/26/422089626.db2.gz QFUBSBISQJYEDN-MXWKQRLJSA-N -1 1 302.421 1.687 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@H]([C@@H](C)O)C2)c1 ZINC000632129345 422107084 /nfs/dbraw/zinc/10/70/84/422107084.db2.gz UKEHTJVZELDCJI-GHMZBOCLSA-N -1 1 315.391 1.182 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1C[C@]1(F)c1ccccc1 ZINC000582109092 422110068 /nfs/dbraw/zinc/11/00/68/422110068.db2.gz LNJFAWYAONXMBC-WBMJQRKESA-N -1 1 315.352 1.970 20 0 DDADMM CC[C@@H](OC1CCCCC1)C(=O)N=c1[nH][n-]c(C)c1C(=O)NC ZINC000633691296 422052837 /nfs/dbraw/zinc/05/28/37/422052837.db2.gz IDOOKPDIZUICKF-GFCCVEGCSA-N -1 1 322.409 1.566 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCC[C@H]2OC)c1 ZINC000632183529 422145537 /nfs/dbraw/zinc/14/55/37/422145537.db2.gz WHUQZPVEVBDCKW-ZWNOBZJWSA-N -1 1 315.391 1.494 20 0 DDADMM CN1CCN(C(=O)CCCc2nn[n-]n2)c2ccc(F)cc21 ZINC000635626407 422177476 /nfs/dbraw/zinc/17/74/76/422177476.db2.gz IYLXGOWRGNURFK-UHFFFAOYSA-N -1 1 304.329 1.145 20 0 DDADMM Cc1nc(C(C)(C)NC(=O)CCCc2nn[n-]n2)sc1C ZINC000635585568 422137021 /nfs/dbraw/zinc/13/70/21/422137021.db2.gz XFMJHVNQQNIFFI-UHFFFAOYSA-N -1 1 308.411 1.647 20 0 DDADMM C[C@@H]1C[C@H](c2ccccc2)CN1Cc1cn(CC(=O)[O-])nn1 ZINC000574921801 422218322 /nfs/dbraw/zinc/21/83/22/422218322.db2.gz PMSQPTDUMDTCHJ-OCCSQVGLSA-N -1 1 300.362 1.741 20 0 DDADMM Cc1ccc2[nH]c3c(c2c1)C[C@@H](NC(=O)CCc1nn[n-]n1)CC3 ZINC000632334268 422255327 /nfs/dbraw/zinc/25/53/27/422255327.db2.gz BDPFLJXXMYGBPY-NSHDSACASA-N -1 1 324.388 1.596 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1C[C@@H](CO)[C@H](C(F)(F)F)C1 ZINC000634235676 422338681 /nfs/dbraw/zinc/33/86/81/422338681.db2.gz OODYMZJPIWJBIJ-OIBJUYFYSA-N -1 1 307.243 1.774 20 0 DDADMM N[C@@]1(C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)CC1(F)F ZINC000634252003 422344710 /nfs/dbraw/zinc/34/47/10/422344710.db2.gz VFSLCGVVKOOCGC-OAHLLOKOSA-N -1 1 324.327 1.550 20 0 DDADMM CC[C@H](NS(=O)(=O)c1cc(OC)ccc1[O-])[C@@H](O)C(C)C ZINC000632457642 422361570 /nfs/dbraw/zinc/36/15/70/422361570.db2.gz KTXXAYVQFQIOAU-FZMZJTMJSA-N -1 1 317.407 1.475 20 0 DDADMM CCCOCc1ccccc1CNC(=O)CCc1nn[n-]n1 ZINC000630768839 422325592 /nfs/dbraw/zinc/32/55/92/422325592.db2.gz ZZNQJKBCEIFLCW-UHFFFAOYSA-N -1 1 303.366 1.375 20 0 DDADMM CO[C@@H]1C[C@H](C(=O)[O-])N(Cc2ccc(-n3ccnc3)cc2)C1 ZINC000577856733 422442117 /nfs/dbraw/zinc/44/21/17/422442117.db2.gz GVNRPIYSDKXBFF-HUUCEWRRSA-N -1 1 301.346 1.546 20 0 DDADMM COc1ccc2c(c1)CCC[C@H]2CNC(=O)CCc1nn[n-]n1 ZINC000632486390 422383190 /nfs/dbraw/zinc/38/31/90/422383190.db2.gz DXWVSCQKCNUOAM-LBPRGKRZSA-N -1 1 315.377 1.377 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H](C)c2ccccn2)c1 ZINC000632544512 422424256 /nfs/dbraw/zinc/42/42/56/422424256.db2.gz IGWHKPDYRUYBBI-NSHDSACASA-N -1 1 322.386 1.878 20 0 DDADMM O=C(C(=O)N1CC[C@H](O)[C@H]2CCCC[C@@H]21)c1ccc([O-])cc1 ZINC000634486611 422453087 /nfs/dbraw/zinc/45/30/87/422453087.db2.gz FFTAPZAGJTZHRK-KKUMJFAQSA-N -1 1 303.358 1.727 20 0 DDADMM CCC(F)(F)C[N-]S(=O)(=O)c1c(C(F)(F)F)cnn1C ZINC000632595323 422460802 /nfs/dbraw/zinc/46/08/02/422460802.db2.gz DSNCEOLBJZBZLP-UHFFFAOYSA-N -1 1 321.271 1.763 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)c1 ZINC000632598766 422463585 /nfs/dbraw/zinc/46/35/85/422463585.db2.gz ZUILIJQCDVMQEJ-RBQUTUCGSA-N -1 1 315.391 1.491 20 0 DDADMM Cc1nc(SCC(=O)NC[C@@H]2CCCO2)[n-]c(=O)c1C1CC1 ZINC000631027310 422477009 /nfs/dbraw/zinc/47/70/09/422477009.db2.gz BDENZQADQGHBEO-NSHDSACASA-N -1 1 323.418 1.755 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)[C@@H]2CCCC[C@H]2O)c1 ZINC000632621458 422480195 /nfs/dbraw/zinc/48/01/95/422480195.db2.gz BNGXSBMFUWRAFZ-VXGBXAGGSA-N -1 1 315.391 1.325 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)[C@@H](C)O1 ZINC000632649774 422495558 /nfs/dbraw/zinc/49/55/58/422495558.db2.gz UUVGQKJZYLXRTO-BHNWBGBOSA-N -1 1 309.309 1.948 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)[C@H](C)O1 ZINC000632649776 422496844 /nfs/dbraw/zinc/49/68/44/422496844.db2.gz UUVGQKJZYLXRTO-FJXKBIBVSA-N -1 1 309.309 1.948 20 0 DDADMM Cc1[n-]n(-c2nccc(N3CCOCC3)n2)c(=O)c1C1CC1 ZINC000634607478 422503148 /nfs/dbraw/zinc/50/31/48/422503148.db2.gz YJUCDKSMBIPAMM-ZDUSSCGKSA-N -1 1 301.350 1.062 20 0 DDADMM CC(C)[C@@H](CNC(=O)CCc1nn[n-]n1)Cc1ccccc1 ZINC000632716474 422541111 /nfs/dbraw/zinc/54/11/11/422541111.db2.gz WUVTWMUFZJZSJA-CQSZACIVSA-N -1 1 301.394 1.763 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1ccc(C(F)F)o1)c1nncn1C ZINC000634658533 422541464 /nfs/dbraw/zinc/54/14/64/422541464.db2.gz NAZXNHCXFALMHO-ZETCQYMHSA-N -1 1 320.321 1.428 20 0 DDADMM O=C(N1CCC(c2n[n-]c(=O)o2)CC1)[C@]12CCC[C@H]1OCC2 ZINC000632877419 422639948 /nfs/dbraw/zinc/63/99/48/422639948.db2.gz STJHPZZALRULFW-ABAIWWIYSA-N -1 1 307.350 1.440 20 0 DDADMM FC(F)(F)c1nc(=NCc2n[nH]c([C@@H]3CCOC3)n2)s[n-]1 ZINC000631373571 422724718 /nfs/dbraw/zinc/72/47/18/422724718.db2.gz AGVULPMOGSDJNC-RXMQYKEDSA-N -1 1 320.300 1.213 20 0 DDADMM FC(F)(F)c1nc(=NCc2nnc([C@@H]3CCOC3)[nH]2)s[n-]1 ZINC000631373571 422724720 /nfs/dbraw/zinc/72/47/20/422724720.db2.gz AGVULPMOGSDJNC-RXMQYKEDSA-N -1 1 320.300 1.213 20 0 DDADMM C[C@H](CS(C)(=O)=O)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631295177 422667410 /nfs/dbraw/zinc/66/74/10/422667410.db2.gz VPEDYLFNHDAESL-MRVPVSSYSA-N -1 1 305.783 1.137 20 0 DDADMM CC1(C)[C@@H](C(=O)[O-])[C@@H]1C(=O)NCCN1CCc2ccccc2C1 ZINC000634860259 422687420 /nfs/dbraw/zinc/68/74/20/422687420.db2.gz PAOGBUCPLQHYNL-HUUCEWRRSA-N -1 1 316.401 1.518 20 0 DDADMM C[C@H]1CCN(CC(=O)N(C)Cc2cccs2)C[C@H]1C(=O)[O-] ZINC000579007122 422689796 /nfs/dbraw/zinc/68/97/96/422689796.db2.gz YJHQWVMFIJPEMK-WCQYABFASA-N -1 1 310.419 1.749 20 0 DDADMM O=C([O-])c1cc(NCC2(N3CCOCC3)CCCCC2)ccn1 ZINC000650766167 423250555 /nfs/dbraw/zinc/25/05/55/423250555.db2.gz WRCIPWUMWKTBSD-UHFFFAOYSA-N -1 1 319.405 1.649 20 0 DDADMM C[C@H](c1nc(=N)[n-]c(N(C)C)n1)N1C[C@@H](C)OC2(CCCC2)C1 ZINC000646133899 423361008 /nfs/dbraw/zinc/36/10/08/423361008.db2.gz FNEZIBQOPQEGSO-VXGBXAGGSA-N -1 1 320.441 1.445 20 0 DDADMM CSc1ccccc1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000643909137 423401907 /nfs/dbraw/zinc/40/19/07/423401907.db2.gz HCNYJRBXESELPK-UHFFFAOYSA-N -1 1 315.358 1.700 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@@H](C(=O)Nc2c(C)[n-][nH]c2=O)C1 ZINC000646411714 423479999 /nfs/dbraw/zinc/47/99/99/423479999.db2.gz OWUOYWUCXGJTJD-MWLCHTKSSA-N -1 1 308.382 1.647 20 0 DDADMM CO[C@@H]1CCC[C@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000648894458 423525238 /nfs/dbraw/zinc/52/52/38/423525238.db2.gz KVKSXHMWERZKIZ-BFHYXJOUSA-N -1 1 315.377 1.611 20 0 DDADMM O=S(=O)([N-]CC[C@@]1(O)CCOC1)c1sccc1Cl ZINC000651840105 423706480 /nfs/dbraw/zinc/70/64/80/423706480.db2.gz BHQUTBQKCXRSST-SNVBAGLBSA-N -1 1 311.812 1.221 20 0 DDADMM O=S(=O)([N-][C@H](CO)CCF)c1cc2cc(F)ccc2o1 ZINC000651893757 423730006 /nfs/dbraw/zinc/73/00/06/423730006.db2.gz FNAZQBIFKWUFNV-JTQLQIEISA-N -1 1 305.302 1.571 20 0 DDADMM CC(C)(C)NS(=O)(=O)CC(=O)Nc1ccc([O-])c(F)c1F ZINC000654308833 423758843 /nfs/dbraw/zinc/75/88/43/423758843.db2.gz GOEWQWBSKBCCBH-UHFFFAOYSA-N -1 1 322.333 1.327 20 0 DDADMM CCOCCC[N-]S(=O)(=O)c1ncccc1Br ZINC000656860957 423811275 /nfs/dbraw/zinc/81/12/75/423811275.db2.gz MEOWGURSJJQEKK-UHFFFAOYSA-N -1 1 323.212 1.549 20 0 DDADMM O=C(N1CCC[C@@H](c2n[n-]c(=O)o2)C1)C1(C(F)(F)F)CC1 ZINC000644884498 424013476 /nfs/dbraw/zinc/01/34/76/424013476.db2.gz WMKIUTFNCOJGBH-SSDOTTSWSA-N -1 1 305.256 1.824 20 0 DDADMM COC[C@H](CO)[N-]c1nc(-c2cc(OC)cc(OC)c2)no1 ZINC000663868044 424240871 /nfs/dbraw/zinc/24/08/71/424240871.db2.gz RIRSEDACLGOYQX-JTQLQIEISA-N -1 1 309.322 1.173 20 0 DDADMM C[C@@H](C(=O)[O-])N(Cc1cc(-c2cnn(C)c2)no1)C1CCC1 ZINC000659795231 424262969 /nfs/dbraw/zinc/26/29/69/424262969.db2.gz VKMNFQZNCQLIAB-JTQLQIEISA-N -1 1 304.350 1.903 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CC(F)(F)C[C@H]1CO ZINC000640339694 424360985 /nfs/dbraw/zinc/36/09/85/424360985.db2.gz VOKWIJHZUGVPME-QMMMGPOBSA-N -1 1 313.329 1.952 20 0 DDADMM CO[C@@H]1COCC[C@@H]1CNC(=O)N=c1[n-]sc2ccccc21 ZINC000640340119 424362935 /nfs/dbraw/zinc/36/29/35/424362935.db2.gz OJZIHLYQXCCHFX-ZYHUDNBSSA-N -1 1 321.402 1.891 20 0 DDADMM O=S(=O)([N-]CC(F)(F)c1ccc(F)cc1)N1CCCC1 ZINC000660057571 424491608 /nfs/dbraw/zinc/49/16/08/424491608.db2.gz WEFCZUGFVIVHIB-UHFFFAOYSA-N -1 1 308.325 1.848 20 0 DDADMM CCN1OC[C@@H](N2CCC(C(=O)c3ccc([O-])cc3)CC2)C1=O ZINC000660024521 424467087 /nfs/dbraw/zinc/46/70/87/424467087.db2.gz VOHAPEGVXUXENZ-OAHLLOKOSA-N -1 1 318.373 1.449 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@@](O)(C2CC2)C1 ZINC000664368937 424563694 /nfs/dbraw/zinc/56/36/94/424563694.db2.gz CSTGCQKCDQOZAJ-KRWDZBQOSA-N -1 1 313.357 1.300 20 0 DDADMM CC(C)(C)c1cccc(C[N@H+]2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000660147213 424564019 /nfs/dbraw/zinc/56/40/19/424564019.db2.gz NHFHVFMDLPVEED-CQSZACIVSA-N -1 1 301.390 1.720 20 0 DDADMM C[C@@H](NC(=O)c1[nH]nc2c1CCC2)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000655580499 424580061 /nfs/dbraw/zinc/58/00/61/424580061.db2.gz ADTNBZQVUHKWRF-DVVUODLYSA-N -1 1 305.378 1.764 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1cnc3ccc(Cl)cn13)C2 ZINC000665344810 424788578 /nfs/dbraw/zinc/78/85/78/424788578.db2.gz WGLVIBRQUFSSFA-MEBBXXQBSA-N -1 1 321.764 1.521 20 0 DDADMM CCC(CC)CS(=O)(=O)[N-][C@H](CC(F)F)C(=O)OC ZINC000341708997 271182233 /nfs/dbraw/zinc/18/22/33/271182233.db2.gz DSUXOZZWFPRQHY-SECBINFHSA-N -1 1 301.355 1.539 20 0 DDADMM COc1cccc(OC)c1S(=O)(=O)[N-][C@@H](C)C(F)(F)F ZINC000341904189 271242095 /nfs/dbraw/zinc/24/20/95/271242095.db2.gz XXCIXJCBYRWUHM-ZETCQYMHSA-N -1 1 313.297 1.933 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CSC[C@@H]1C ZINC000342362161 271389277 /nfs/dbraw/zinc/38/92/77/271389277.db2.gz YOTBGXSFDZQHSK-UFBFGSQYSA-N -1 1 320.418 1.462 20 0 DDADMM CN(CC[C@H]1CCCO1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000342363495 271390813 /nfs/dbraw/zinc/39/08/13/271390813.db2.gz RVAFPOIMHBBKTJ-LLVKDONJSA-N -1 1 301.346 1.564 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)Nc2cn(C)c(=O)cc2C)c1 ZINC000342788129 271517534 /nfs/dbraw/zinc/51/75/34/271517534.db2.gz XJRGIOUWOHOLIL-UHFFFAOYSA-N -1 1 324.358 1.209 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@]2(C)CCO[C@H]2C)o1 ZINC000344047143 271939177 /nfs/dbraw/zinc/93/91/77/271939177.db2.gz KKAYOZBGDLQJMC-TVQRCGJNSA-N -1 1 317.363 1.302 20 0 DDADMM O=C(NCCCc1ccc2c(c1)OCCO2)c1ncccc1[O-] ZINC000345162920 272184029 /nfs/dbraw/zinc/18/40/29/272184029.db2.gz LJKYSZDYCANRAW-UHFFFAOYSA-N -1 1 314.341 1.921 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cccc3ccsc32)n1 ZINC000345360660 272230020 /nfs/dbraw/zinc/23/00/20/272230020.db2.gz XOFQHOTYJGIKHF-UHFFFAOYSA-N -1 1 322.371 1.675 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cccc3ccsc32)[n-]1 ZINC000345360660 272230023 /nfs/dbraw/zinc/23/00/23/272230023.db2.gz XOFQHOTYJGIKHF-UHFFFAOYSA-N -1 1 322.371 1.675 20 0 DDADMM COc1cccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1OC ZINC000345506681 272268112 /nfs/dbraw/zinc/26/81/12/272268112.db2.gz RJKNCSQXCPGIIX-UHFFFAOYSA-N -1 1 317.349 1.416 20 0 DDADMM CC(C)c1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)nn1C ZINC000174124081 276046823 /nfs/dbraw/zinc/04/68/23/276046823.db2.gz BSJCJGFCXLVHNJ-UHFFFAOYSA-N -1 1 302.260 1.933 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CSc2ccccc21)c1nn[n-]n1 ZINC000183430255 278176847 /nfs/dbraw/zinc/17/68/47/278176847.db2.gz YBGQMJOODCYZJW-KOLCDFICSA-N -1 1 303.391 1.651 20 0 DDADMM CC[C@@H]1CO[C@@H](C)CN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295116342 280509459 /nfs/dbraw/zinc/50/94/59/280509459.db2.gz LUYVTKJFZBSAGL-GXSJLCMTSA-N -1 1 306.391 1.658 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2noc(-c3ccccc3)n2)n[n-]1 ZINC000119067240 281090016 /nfs/dbraw/zinc/09/00/16/281090016.db2.gz NJNMEXFANJYBOB-UHFFFAOYSA-N -1 1 319.346 1.391 20 0 DDADMM CSC[C@@H](CCO)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000121176189 281133886 /nfs/dbraw/zinc/13/38/86/281133886.db2.gz UIMXZTDHSWXSOP-MRVPVSSYSA-N -1 1 311.375 1.357 20 0 DDADMM C[C@@H](CC[N-]S(=O)(=O)c1cc2ccccc2o1)[S@@](C)=O ZINC000124033569 281182697 /nfs/dbraw/zinc/18/26/97/281182697.db2.gz UPWVOUWKOOHRLL-OVWNDWIMSA-N -1 1 315.416 1.868 20 0 DDADMM O=C(CC1CC1)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000130488082 281383111 /nfs/dbraw/zinc/38/31/11/281383111.db2.gz UHPVESRFPPDGHG-UHFFFAOYSA-N -1 1 310.375 1.572 20 0 DDADMM CO[C@@H]1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)[C@H](C)C1 ZINC000287511676 293723147 /nfs/dbraw/zinc/72/31/47/293723147.db2.gz HRTJCQOCAFCETA-YODIJAISSA-N -1 1 303.366 1.373 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)C[C@H](C)[C@H]2C)o1 ZINC000354730794 306785252 /nfs/dbraw/zinc/78/52/52/306785252.db2.gz KTKNBLAUWGQPEN-OUAUKWLOSA-N -1 1 314.407 1.694 20 0 DDADMM NC(=O)[C@@H]1CCC[C@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000172611633 298252028 /nfs/dbraw/zinc/25/20/28/298252028.db2.gz GOADFXNMKNUTCQ-BDAKNGLRSA-N -1 1 318.345 1.287 20 0 DDADMM COC(=O)[C@H](CN=c1nc(C2CC2)[n-]s1)C1CCOCC1 ZINC000356787083 298874926 /nfs/dbraw/zinc/87/49/26/298874926.db2.gz ZWFYDGUCHFFIHZ-LLVKDONJSA-N -1 1 311.407 1.465 20 0 DDADMM O=C(CCNC(=O)c1c(F)ccc([O-])c1F)Nc1ccccn1 ZINC000573961029 304625196 /nfs/dbraw/zinc/62/51/96/304625196.db2.gz KEMQWHULFBGEHX-UHFFFAOYSA-N -1 1 321.283 1.824 20 0 DDADMM COCc1n[n-]c(=NC[C@H](c2ccc(F)cc2)N(C)C)s1 ZINC000357040577 298949164 /nfs/dbraw/zinc/94/91/64/298949164.db2.gz PRWMZHCCRFKSEI-GFCCVEGCSA-N -1 1 310.398 1.960 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000362433536 300062143 /nfs/dbraw/zinc/06/21/43/300062143.db2.gz CLZZVBDHUHQSMO-QWHCGFSZSA-N -1 1 301.346 1.611 20 0 DDADMM O=C(Cc1ccccc1Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000363165545 300224514 /nfs/dbraw/zinc/22/45/14/300224514.db2.gz FSQLAUCMVXNLSL-LLVKDONJSA-N -1 1 305.769 1.802 20 0 DDADMM CN1CCN(C2(C(=O)[N-]OCC(F)(F)F)CCCC2)CC1 ZINC000366681034 300733665 /nfs/dbraw/zinc/73/36/65/300733665.db2.gz MCWSQBYYRNFYIM-UHFFFAOYSA-N -1 1 309.332 1.157 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCCC[C@H]1Cn1ccnn1 ZINC000368086607 300950520 /nfs/dbraw/zinc/95/05/20/300950520.db2.gz QZADGEYVELZSPX-NSHDSACASA-N -1 1 304.325 1.818 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC[C@H]2C(=O)NC[C@H]2C1 ZINC000368247047 300985815 /nfs/dbraw/zinc/98/58/15/300985815.db2.gz WQWTUMXPNOLOLK-UONOGXRCSA-N -1 1 310.353 1.754 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H]1OCCc2ccsc21)c1nn[n-]n1 ZINC000368525813 301048486 /nfs/dbraw/zinc/04/84/86/301048486.db2.gz QFDXRYCCDHHBEV-MWLCHTKSSA-N -1 1 321.406 1.527 20 0 DDADMM Cc1ccccc1SCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370857426 301398185 /nfs/dbraw/zinc/39/81/85/301398185.db2.gz NVFWNLRTPADION-NSHDSACASA-N -1 1 319.390 1.200 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](c3ccco3)C2)co1 ZINC000371057098 301420186 /nfs/dbraw/zinc/42/01/86/301420186.db2.gz VUJXPVMWHYBSCS-JTQLQIEISA-N -1 1 324.358 1.410 20 0 DDADMM CC[C@H](O)CCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000398598335 302359342 /nfs/dbraw/zinc/35/93/42/302359342.db2.gz JUGBYEKGVPRIDC-QMMMGPOBSA-N -1 1 311.325 1.933 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCCC2(O)CCC2)sc1C ZINC000420719664 302385543 /nfs/dbraw/zinc/38/55/43/302385543.db2.gz QZDLAIFTPHNFIX-UHFFFAOYSA-N -1 1 304.437 1.733 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C(=O)OCC(C)C)CC2)c([O-])c1 ZINC000495530974 302418779 /nfs/dbraw/zinc/41/87/79/302418779.db2.gz SDAZXYIASQGOEH-UHFFFAOYSA-N -1 1 321.377 1.646 20 0 DDADMM O=C1C[C@H](Oc2ccccc2[N-]S(=O)(=O)CCC2CC2)N1 ZINC000514595476 302733132 /nfs/dbraw/zinc/73/31/32/302733132.db2.gz MKPYXQGBORVBJR-AWEZNQCLSA-N -1 1 310.375 1.453 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2[C@@H]3CCCO[C@H]23)c1Br ZINC000356626299 306858365 /nfs/dbraw/zinc/85/83/65/306858365.db2.gz BOMGXSBKSWVZHG-IONOHQLYSA-N -1 1 314.183 1.520 20 0 DDADMM COc1ccc(CN2CC[C@@H]2CN=c2[n-]nc(C)s2)cc1 ZINC000528136298 303006355 /nfs/dbraw/zinc/00/63/55/303006355.db2.gz AKGMLXYYDBQHDJ-CYBMUJFWSA-N -1 1 304.419 1.963 20 0 DDADMM Cn1[n-]c(CN2CCc3cccc(C(F)(F)F)c3C2)nc1=O ZINC000528794088 303064500 /nfs/dbraw/zinc/06/45/00/303064500.db2.gz PGQVWFGFLAPUCJ-UHFFFAOYSA-N -1 1 312.295 1.686 20 0 DDADMM CCC[C@H](OCC)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000528951895 303074859 /nfs/dbraw/zinc/07/48/59/303074859.db2.gz VZKHCXKOUAKAOB-LBPRGKRZSA-N -1 1 316.379 1.183 20 0 DDADMM CCn1ncc(CNC(=O)c2cc(Cl)c([O-])c(OC)c2)n1 ZINC000529591017 303139546 /nfs/dbraw/zinc/13/95/46/303139546.db2.gz CWXGPHLYZOPOIR-UHFFFAOYSA-N -1 1 310.741 1.596 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](C)c1c(C)noc1C ZINC000357395593 306881351 /nfs/dbraw/zinc/88/13/51/306881351.db2.gz CUHHOTFBLILMFS-ZCFIWIBFSA-N -1 1 322.321 1.035 20 0 DDADMM O=C(NC[C@@H](n1cccn1)C(F)(F)F)c1cncc([O-])c1 ZINC000529619801 303142407 /nfs/dbraw/zinc/14/24/07/303142407.db2.gz XMVHSRKPZDOLNB-SNVBAGLBSA-N -1 1 300.240 1.517 20 0 DDADMM CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)Nc1cccc(F)c1[O-] ZINC000530005080 303169896 /nfs/dbraw/zinc/16/98/96/303169896.db2.gz ICBJKWYOAKVDCK-NSHDSACASA-N -1 1 318.370 1.434 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C[C@H](C)c1nccs1 ZINC000357427555 306884179 /nfs/dbraw/zinc/88/41/79/306884179.db2.gz TUVOKSKZSSXPQS-ZETCQYMHSA-N -1 1 324.362 1.229 20 0 DDADMM O=C([O-])[C@H]1c2ccoc2CC[N@@H+]1C[C@H](O)COCc1ccco1 ZINC000530168644 303178685 /nfs/dbraw/zinc/17/86/85/303178685.db2.gz LMFXJFJBXOKZRR-XHDPSFHLSA-N -1 1 321.329 1.434 20 0 DDADMM O=C([O-])[C@H]1c2ccoc2CCN1C[C@H](O)COCc1ccco1 ZINC000530168644 303178688 /nfs/dbraw/zinc/17/86/88/303178688.db2.gz LMFXJFJBXOKZRR-XHDPSFHLSA-N -1 1 321.329 1.434 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(C[C@H](O)c2ccc(F)cc2Cl)C1 ZINC000530169333 303178894 /nfs/dbraw/zinc/17/88/94/303178894.db2.gz KGPROOIDJZNKHC-GXTWGEPZSA-N -1 1 317.744 1.688 20 0 DDADMM O=C([O-])[C@H](CC1CCC1)NS(=O)(=O)c1c(F)cccc1F ZINC000530473262 303198431 /nfs/dbraw/zinc/19/84/31/303198431.db2.gz DAGHOQCRPHXBPN-NSHDSACASA-N -1 1 319.329 1.887 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCCC2CC2)o1 ZINC000530640889 303206372 /nfs/dbraw/zinc/20/63/72/303206372.db2.gz MNPQCEWALUXSTP-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](COC)C(C)C)o1 ZINC000531356689 303241666 /nfs/dbraw/zinc/24/16/66/303241666.db2.gz BIBRFWOFWRKWHM-JTQLQIEISA-N -1 1 319.379 1.406 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@@H]1C=CCCC1 ZINC000531821468 303259406 /nfs/dbraw/zinc/25/94/06/303259406.db2.gz XFZFBILHGCOSEF-CQSZACIVSA-N -1 1 324.384 1.908 20 0 DDADMM O=C([O-])C1(NCC(=O)NCCOc2cccc(F)c2)CCCC1 ZINC000533202796 303313693 /nfs/dbraw/zinc/31/36/93/303313693.db2.gz ZLXLWQLPYIGSON-UHFFFAOYSA-N -1 1 324.352 1.308 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2ncc(C)cn2)sc1C ZINC000533916392 303324993 /nfs/dbraw/zinc/32/49/93/303324993.db2.gz KFFDICPSBIZOPI-UHFFFAOYSA-N -1 1 312.420 1.379 20 0 DDADMM CC(C)(NC(=O)c1cccc([C@H]2CCCOC2)c1)c1nn[n-]n1 ZINC000535045044 303341838 /nfs/dbraw/zinc/34/18/38/303341838.db2.gz IMSUEPVXQMHDRB-ZDUSSCGKSA-N -1 1 315.377 1.759 20 0 DDADMM O=C(N=c1cc(-c2cccs2)[n-][nH]1)c1cnn2ccncc12 ZINC000357597171 306897132 /nfs/dbraw/zinc/89/71/32/306897132.db2.gz GTMQOUDGGSZMIZ-UHFFFAOYSA-N -1 1 310.342 1.855 20 0 DDADMM Cc1ccc(-c2n[nH]c(=O)[n-]2)cc1NC(=O)c1ncccc1O ZINC000550097992 303622424 /nfs/dbraw/zinc/62/24/24/303622424.db2.gz TZYAQDJADNUVLY-UHFFFAOYSA-N -1 1 311.301 1.839 20 0 DDADMM CCOC[C@H](O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000362135231 307014905 /nfs/dbraw/zinc/01/49/05/307014905.db2.gz RLZXFAJPLYCJLV-MRVPVSSYSA-N -1 1 311.762 1.155 20 0 DDADMM O=C(CCCc1ccccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363396782 307027152 /nfs/dbraw/zinc/02/71/52/307027152.db2.gz YFNZIKOJTLYBQR-CYBMUJFWSA-N -1 1 301.350 1.123 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](C(=O)N2CCCCC2)C1 ZINC000364134628 307041847 /nfs/dbraw/zinc/04/18/47/307041847.db2.gz OGOJNAHRCRQMSY-CYBMUJFWSA-N -1 1 317.389 1.652 20 0 DDADMM O=C(c1ncccc1[O-])N1CCNC(=O)[C@H]1c1ccccc1F ZINC000366687551 307079730 /nfs/dbraw/zinc/07/97/30/307079730.db2.gz WQCJWIPGLLMMKS-CQSZACIVSA-N -1 1 315.304 1.240 20 0 DDADMM C[C@@H](Oc1ccc(F)cc1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000367182558 307088104 /nfs/dbraw/zinc/08/81/04/307088104.db2.gz BPZNTINSOTUURP-GHMZBOCLSA-N -1 1 319.340 1.512 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCOC3(CCCC3)C1)c2=O ZINC000367441415 307093469 /nfs/dbraw/zinc/09/34/69/307093469.db2.gz VFAJFDLHPVFLSW-UHFFFAOYSA-N -1 1 316.361 1.459 20 0 DDADMM O=C(c1ccc2c(c1)CCCC2)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370860511 307138515 /nfs/dbraw/zinc/13/85/15/307138515.db2.gz VTUHTSORWBRCSP-AWEZNQCLSA-N -1 1 313.361 1.292 20 0 DDADMM Cn1ccc(N2CC[C@H](NC(=O)c3ccc(Cl)cc3[O-])C2)n1 ZINC000370928975 307139692 /nfs/dbraw/zinc/13/96/92/307139692.db2.gz RBELKYRERXJUQJ-NSHDSACASA-N -1 1 320.780 1.788 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2CCC[C@@H](c3nc[nH]n3)C2)s1 ZINC000371161163 307143637 /nfs/dbraw/zinc/14/36/37/307143637.db2.gz TZFILJBNHYLSKX-SECBINFHSA-N -1 1 321.410 1.442 20 0 DDADMM O=C(NC1CCN(c2ncccn2)CC1)c1ccc([O-])cc1F ZINC000371496638 307151815 /nfs/dbraw/zinc/15/18/15/307151815.db2.gz KLLBHVPEPKRQFZ-UHFFFAOYSA-N -1 1 316.336 1.720 20 0 DDADMM [O-]C(Nc1nc(-c2ccccc2)ns1)=C1C[C@H]1c1nnc[nH]1 ZINC000373206567 307181685 /nfs/dbraw/zinc/18/16/85/307181685.db2.gz WIOIPIAIUJABOQ-NXEZZACHSA-N -1 1 312.358 1.487 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)C2(O)CCC2)CC1 ZINC000373485885 307187743 /nfs/dbraw/zinc/18/77/43/307187743.db2.gz SHVXROWYPPGPFR-UHFFFAOYSA-N -1 1 303.358 1.729 20 0 DDADMM CC[C@@]1(C(=O)Nc2nnn[n-]2)CCCN1C(=O)OC(C)(C)C ZINC000374480530 307208582 /nfs/dbraw/zinc/20/85/82/307208582.db2.gz JQPAVMDYMWRVRJ-ZDUSSCGKSA-N -1 1 310.358 1.318 20 0 DDADMM CC[C@@]1(C(=O)Nc2nn[n-]n2)CCCN1C(=O)OC(C)(C)C ZINC000374480530 307208583 /nfs/dbraw/zinc/20/85/83/307208583.db2.gz JQPAVMDYMWRVRJ-ZDUSSCGKSA-N -1 1 310.358 1.318 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@@H]([C@H]4CCOC4)C3)ccnc1-2 ZINC000376593684 307248857 /nfs/dbraw/zinc/24/88/57/307248857.db2.gz WLPGYWLVOYMEIL-OMLJAZAOSA-N -1 1 315.377 1.232 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H]3C[C@@H]3c3nnc[nH]3)[nH][n-]2)s1 ZINC000378511191 307290997 /nfs/dbraw/zinc/29/09/97/307290997.db2.gz KUFUAWFQDSUMRQ-IUCAKERBSA-N -1 1 314.374 1.729 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)CCC3CCCC3)ccnc1-2 ZINC000427418141 307303200 /nfs/dbraw/zinc/30/32/00/307303200.db2.gz MRTRUISHOSLXIZ-UHFFFAOYSA-N -1 1 308.407 1.664 20 0 DDADMM C[C@@H](NC(=O)OC(C)(C)C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC000497305441 307390354 /nfs/dbraw/zinc/39/03/54/307390354.db2.gz OFZSWGBIDAMLMO-VHSXEESVSA-N -1 1 309.366 1.819 20 0 DDADMM Cc1noc(C23CC(C2)CN3C(=O)c2ncc(C)cc2[O-])n1 ZINC000529744575 307568767 /nfs/dbraw/zinc/56/87/67/307568767.db2.gz DVHDQEWCIGSPBA-UHFFFAOYSA-N -1 1 300.318 1.548 20 0 DDADMM C[C@H](CNS(=O)(=O)c1cscn1)N1CCCC[C@@H]1C ZINC000567163395 308073046 /nfs/dbraw/zinc/07/30/46/308073046.db2.gz KACYIXYMIAJBTM-WDEREUQCSA-N -1 1 303.453 1.684 20 0 DDADMM CC(C)(C)OC(=O)CC1(C(=O)N=c2ccc([O-])n[nH]2)CCC1 ZINC000567939216 308100956 /nfs/dbraw/zinc/10/09/56/308100956.db2.gz SOBCELDBGOJHFU-UHFFFAOYSA-N -1 1 307.350 1.445 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)C[C@@H]1CCOc2ccccc21 ZINC000570377807 308167923 /nfs/dbraw/zinc/16/79/23/308167923.db2.gz QYYIJJOIVMWNDC-VIFPVBQESA-N -1 1 315.333 1.059 20 0 DDADMM Cc1ccc(C[C@H](C)N(C)Cc2cn(CC(=O)[O-])nn2)cc1 ZINC000571768007 308201366 /nfs/dbraw/zinc/20/13/66/308201366.db2.gz HDAFSICVEIFNSR-ZDUSSCGKSA-N -1 1 302.378 1.734 20 0 DDADMM O=C([O-])c1cncnc1NC1CCN(Cc2ccccn2)CC1 ZINC000573970046 308257805 /nfs/dbraw/zinc/25/78/05/308257805.db2.gz AKGJWDCEXSFDLY-UHFFFAOYSA-N -1 1 313.361 1.646 20 0 DDADMM CO[C@H]1CCN(C(=O)c2[nH]nc3ccccc32)[C@H](C(=O)[O-])C1 ZINC000576123365 308291103 /nfs/dbraw/zinc/29/11/03/308291103.db2.gz FRKIHXRSOZVAKZ-CABZTGNLSA-N -1 1 303.318 1.267 20 0 DDADMM CC[C@H](C(=O)N1CC[C@@H](C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000576279464 308302769 /nfs/dbraw/zinc/30/27/69/308302769.db2.gz WXUHULLGZSWTBL-HUUCEWRRSA-N -1 1 304.390 1.830 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)Cc2ccc(C)o2)c1 ZINC000576924315 308349447 /nfs/dbraw/zinc/34/94/47/308349447.db2.gz WJRYHBFBHMYYLV-UHFFFAOYSA-N -1 1 324.358 1.383 20 0 DDADMM CCC[C@@H](C)[C@@H]1CCCN1S(=O)(=O)c1c(C)o[n-]c1=N ZINC000577325172 308378776 /nfs/dbraw/zinc/37/87/76/308378776.db2.gz ACPCGMVTDLDSQP-KOLCDFICSA-N -1 1 301.412 1.985 20 0 DDADMM CCOc1cccc(S(=O)(=O)N=c2cc3ccccn3[n-]2)c1 ZINC000580730340 308627355 /nfs/dbraw/zinc/62/73/55/308627355.db2.gz OFTWNDWHMAACTR-UHFFFAOYSA-N -1 1 317.370 1.956 20 0 DDADMM CO[C@@H]1CN(c2c(C(=O)[O-])cnc3ccccc32)C[C@@H]1OC ZINC000389042598 325773296 /nfs/dbraw/zinc/77/32/96/325773296.db2.gz QOYSKNSVSRMGTI-OKILXGFUSA-N -1 1 302.330 1.783 20 0 DDADMM Cc1c(S(=O)(=O)[N-][C@H](C(C)(C)C)C(F)(F)F)cnn1C ZINC000581286920 325822533 /nfs/dbraw/zinc/82/25/33/325822533.db2.gz AFCPDXVOVGPNLX-SECBINFHSA-N -1 1 313.345 1.984 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCO[C@H](C2CCC2)C1 ZINC000581357331 325836273 /nfs/dbraw/zinc/83/62/73/325836273.db2.gz QQAJKEIMXRKEHY-AWEZNQCLSA-N -1 1 313.357 1.564 20 0 DDADMM CC(C)(C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)S(C)(=O)=O ZINC000584293356 332221394 /nfs/dbraw/zinc/22/13/94/332221394.db2.gz FPOQUFPOUOLEFT-UHFFFAOYSA-N -1 1 323.374 1.544 20 0 DDADMM CC1(C)[C@H](O)C[C@H]1[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000583379009 332374171 /nfs/dbraw/zinc/37/41/71/332374171.db2.gz ZOOJOUXEJYYKBH-GHMZBOCLSA-N -1 1 312.416 1.734 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(NC(=O)OC)cc1)c1nn[n-]n1 ZINC000493514230 484237228 /nfs/dbraw/zinc/23/72/28/484237228.db2.gz XROUVBHCEUAAFQ-NSHDSACASA-N -1 1 318.337 1.649 20 0 DDADMM O=C(c1cc(C2CC2)no1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000575162625 484347150 /nfs/dbraw/zinc/34/71/50/484347150.db2.gz BVMFEAZIUSXXDW-VIFPVBQESA-N -1 1 304.306 1.660 20 0 DDADMM CC(C)(C)[C@H](C(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000663111404 484677741 /nfs/dbraw/zinc/67/77/41/484677741.db2.gz UUMOWEIQDXBUOT-LBPRGKRZSA-N -1 1 302.334 1.953 20 0 DDADMM CCCN1CCC[C@@H]1C(=O)Nc1cnn(C(C)(C)C(=O)[O-])c1 ZINC000663128055 484690313 /nfs/dbraw/zinc/69/03/13/484690313.db2.gz APCUFMSWXWYQGS-GFCCVEGCSA-N -1 1 308.382 1.516 20 0 DDADMM Cn1ncc2c1CC(C)(C)CN(C(=O)c1ncccc1[O-])C2 ZINC000663209631 484737667 /nfs/dbraw/zinc/73/76/67/484737667.db2.gz ZTKHIBSOVZEJNS-UHFFFAOYSA-N -1 1 300.362 1.745 20 0 DDADMM O=C(Nc1cnn(C[C@@H]2CCCO2)c1)C(=O)c1ccc([O-])cc1 ZINC000672755468 485344200 /nfs/dbraw/zinc/34/42/00/485344200.db2.gz DRWZVEJPEXCDMX-AWEZNQCLSA-N -1 1 315.329 1.589 20 0 DDADMM O=C(CCCn1cccc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000673440943 485413213 /nfs/dbraw/zinc/41/32/13/485413213.db2.gz WYNAANHFNZVPNM-LBPRGKRZSA-N -1 1 304.350 1.763 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H](C)C(C)(C)O)c(=O)[n-]1 ZINC000673688770 485445459 /nfs/dbraw/zinc/44/54/59/485445459.db2.gz BAXHFMMQKOKLIL-SECBINFHSA-N -1 1 313.423 1.421 20 0 DDADMM COc1cc(C(=O)Nc2cc(C(N)=O)[nH]n2)cc(Cl)c1[O-] ZINC000682361794 485567751 /nfs/dbraw/zinc/56/77/51/485567751.db2.gz IJIHCFKKKJYGBI-UHFFFAOYSA-N -1 1 310.697 1.129 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccn(C(F)F)n1 ZINC000678935922 485734524 /nfs/dbraw/zinc/73/45/24/485734524.db2.gz KXAWUSDAQYLDPO-SNVBAGLBSA-N -1 1 319.275 1.311 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC(CC(F)(F)F)C1 ZINC000675291154 485904173 /nfs/dbraw/zinc/90/41/73/485904173.db2.gz GHORLLUSRNIFTB-UHFFFAOYSA-N -1 1 311.263 1.948 20 0 DDADMM O=C([O-])CN(C(=O)c1ccc2[nH]nnc2c1)C1CCCCC1 ZINC000675993260 486084016 /nfs/dbraw/zinc/08/40/16/486084016.db2.gz ZYXVIENBQQFAPG-UHFFFAOYSA-N -1 1 302.334 1.817 20 0 DDADMM O=C(Cn1ccnc1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000676187417 486137708 /nfs/dbraw/zinc/13/77/08/486137708.db2.gz OUYCBDYJLWMWJJ-UHFFFAOYSA-N -1 1 313.357 1.710 20 0 DDADMM O=C([O-])[C@H]1CN(C(=O)c2cn[nH]c2-c2ccccc2F)CCO1 ZINC000676213664 486146984 /nfs/dbraw/zinc/14/69/84/486146984.db2.gz FMCJGWNSWHZZAT-GFCCVEGCSA-N -1 1 319.292 1.141 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)CC3(O)CCC3)cnc2n1 ZINC000680620652 486245332 /nfs/dbraw/zinc/24/53/32/486245332.db2.gz XLBSKXNJKVEKDD-UHFFFAOYSA-N -1 1 301.346 1.631 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)CC3(O)CCC3)c[n-]c2n1 ZINC000680620652 486245336 /nfs/dbraw/zinc/24/53/36/486245336.db2.gz XLBSKXNJKVEKDD-UHFFFAOYSA-N -1 1 301.346 1.631 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1cc2nccnc2cc1F ZINC000684351688 486329235 /nfs/dbraw/zinc/32/92/35/486329235.db2.gz HVRKYDMTQQGZLD-UHFFFAOYSA-N -1 1 315.293 1.520 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCCC[C@H]1[C@@H]1CNC(=O)C1 ZINC000681063226 486335464 /nfs/dbraw/zinc/33/54/64/486335464.db2.gz QFORLPROWAJDCA-AAEUAGOBSA-N -1 1 306.337 1.662 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCc2ncccc2C1 ZINC000676828316 486337404 /nfs/dbraw/zinc/33/74/04/486337404.db2.gz QRNIUXPFDFMZFI-UHFFFAOYSA-N -1 1 316.386 1.806 20 0 DDADMM COC1(CO)CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000685486596 486546854 /nfs/dbraw/zinc/54/68/54/486546854.db2.gz RWOMWAFWZCOJFN-UHFFFAOYSA-N -1 1 301.289 1.284 20 0 DDADMM CCN1C(=O)N=NC1C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000677914050 486575914 /nfs/dbraw/zinc/57/59/14/486575914.db2.gz DBGZJZSDCRHCGB-UHFFFAOYSA-N -1 1 317.349 1.124 20 0 DDADMM C[C@H]1CN(C)CCN1C(=O)c1ccc(Br)cc1[O-] ZINC000225273511 490700839 /nfs/dbraw/zinc/70/08/39/490700839.db2.gz LSTGWGCVZQUMBP-VIFPVBQESA-N -1 1 313.195 1.931 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cccc1F)c1nnc2n1CCCC2 ZINC000332000077 533741981 /nfs/dbraw/zinc/74/19/81/533741981.db2.gz FUQSRMAERWBRFV-VIFPVBQESA-N -1 1 304.325 1.950 20 0 DDADMM COC(=O)NCCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000491272232 534076716 /nfs/dbraw/zinc/07/67/16/534076716.db2.gz CHJUGNZOZUYBDK-UHFFFAOYSA-N -1 1 304.306 1.467 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H](CC(C)C)C(N)=O)c1 ZINC000412321828 534231533 /nfs/dbraw/zinc/23/15/33/534231533.db2.gz QPCFPHWFHFGEJG-JTQLQIEISA-N -1 1 308.334 1.265 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cnc3ccc(Cl)cc32)CC(=O)N1 ZINC000295818159 534392553 /nfs/dbraw/zinc/39/25/53/534392553.db2.gz BYXGPGWUIGJDMT-MRVPVSSYSA-N -1 1 319.748 1.554 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)C(=O)NC(C)(C)C)sc1C ZINC000330994381 534517840 /nfs/dbraw/zinc/51/78/40/534517840.db2.gz OBOYGSBTMBCAIM-QMMMGPOBSA-N -1 1 319.452 1.341 20 0 DDADMM O=S(=O)([N-]CCC1(CO)CC1)c1cc(Cl)ccc1F ZINC000451940738 534865932 /nfs/dbraw/zinc/86/59/32/534865932.db2.gz VJQMOUMTHSUJKE-UHFFFAOYSA-N -1 1 307.774 1.920 20 0 DDADMM Cc1nc(C)c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)s1 ZINC000331969667 534880878 /nfs/dbraw/zinc/88/08/78/534880878.db2.gz ULVMABRVQOVLCE-UHFFFAOYSA-N -1 1 308.363 1.868 20 0 DDADMM CC(C)(C)OC(=O)NCC(C)(C)C(=O)[N-]OC1CCOCC1 ZINC000495483011 526443087 /nfs/dbraw/zinc/44/30/87/526443087.db2.gz ZOKIYZNAPKDOGH-UHFFFAOYSA-N -1 1 316.398 1.764 20 0 DDADMM CC(C)(CCNC(=O)c1c([O-])cccc1F)S(C)(=O)=O ZINC000412640789 527044948 /nfs/dbraw/zinc/04/49/48/527044948.db2.gz SJCLWGBZRXVMML-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM CC(C)(NC(=O)C=Cc1cnc2ccccc2n1)c1nn[n-]n1 ZINC000491928546 527264377 /nfs/dbraw/zinc/26/43/77/527264377.db2.gz HWZROPLCCVLXNY-FPLPWBNLSA-N -1 1 309.333 1.208 20 0 DDADMM CCO[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000452064175 527755967 /nfs/dbraw/zinc/75/59/67/527755967.db2.gz ZFDRUWSRFOGBOY-GHMZBOCLSA-N -1 1 317.363 1.302 20 0 DDADMM CC1(C)OCC[C@@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000451700940 527805590 /nfs/dbraw/zinc/80/55/90/527805590.db2.gz YVPHXKVQCVDAHQ-ZETCQYMHSA-N -1 1 324.196 1.888 20 0 DDADMM CCC1CN(C(=O)c2ccc(S(=O)(=O)[N-]C(C)(C)C)o2)C1 ZINC000457309064 527875699 /nfs/dbraw/zinc/87/56/99/527875699.db2.gz SDBPUHUTARDEHW-UHFFFAOYSA-N -1 1 314.407 1.838 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)S(=O)(=O)c2c(C)o[n-]c2=N)C1(C)C ZINC000331750142 528072326 /nfs/dbraw/zinc/07/23/26/528072326.db2.gz FKBIDOJIBROIRJ-ZJUUUORDSA-N -1 1 317.411 1.220 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(N)=O ZINC000425241693 528232867 /nfs/dbraw/zinc/23/28/67/528232867.db2.gz VNIDAXNDMCOAIY-JTQLQIEISA-N -1 1 306.334 1.206 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)CCCCO)o1 ZINC000451721451 528243961 /nfs/dbraw/zinc/24/39/61/528243961.db2.gz PTADWIZFWVHPOA-JTQLQIEISA-N -1 1 319.379 1.286 20 0 DDADMM CCOC(=O)CC[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425166572 528738840 /nfs/dbraw/zinc/73/88/40/528738840.db2.gz IPQBASPBPIETSQ-UHFFFAOYSA-N -1 1 307.318 1.505 20 0 DDADMM CCC[C@@H](O)[C@H](CO)NC(=O)c1ccc2ccccc2c1[O-] ZINC000412515224 529072931 /nfs/dbraw/zinc/07/29/31/529072931.db2.gz JUGXEQLMLJCXMU-LSDHHAIUSA-N -1 1 303.358 1.797 20 0 DDADMM CCC(O)(CC)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736369951 598962642 /nfs/dbraw/zinc/96/26/42/598962642.db2.gz PPLHYYDRWYSHSX-UHFFFAOYSA-N -1 1 313.365 1.773 20 0 DDADMM CCC(O)(CC)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736369951 598962644 /nfs/dbraw/zinc/96/26/44/598962644.db2.gz PPLHYYDRWYSHSX-UHFFFAOYSA-N -1 1 313.365 1.773 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCc2ccccc2C1 ZINC000738257727 598978946 /nfs/dbraw/zinc/97/89/46/598978946.db2.gz CKZKDZKINKYHCS-UHFFFAOYSA-N -1 1 306.329 1.460 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCc2ccccc2C1 ZINC000738257727 598978948 /nfs/dbraw/zinc/97/89/48/598978948.db2.gz CKZKDZKINKYHCS-UHFFFAOYSA-N -1 1 306.329 1.460 20 0 DDADMM CN(C)c1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000736778131 598979444 /nfs/dbraw/zinc/97/94/44/598979444.db2.gz BAQRRDXNVXAMCF-UHFFFAOYSA-N -1 1 309.333 1.580 20 0 DDADMM CN(C)c1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000736778131 598979446 /nfs/dbraw/zinc/97/94/46/598979446.db2.gz BAQRRDXNVXAMCF-UHFFFAOYSA-N -1 1 309.333 1.580 20 0 DDADMM C[C@H]1Cc2ccccc2[C@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736296825 599005822 /nfs/dbraw/zinc/00/58/22/599005822.db2.gz JYJAHTUDDFZGAT-BONVTDFDSA-N -1 1 320.356 1.925 20 0 DDADMM C[C@H]1Cc2ccccc2[C@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736296825 599005824 /nfs/dbraw/zinc/00/58/24/599005824.db2.gz JYJAHTUDDFZGAT-BONVTDFDSA-N -1 1 320.356 1.925 20 0 DDADMM CO[C@@H](CNC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccccc1 ZINC000736963887 599020616 /nfs/dbraw/zinc/02/06/16/599020616.db2.gz VCBADFDFQKDQQD-AWEZNQCLSA-N -1 1 324.344 1.379 20 0 DDADMM CO[C@@H](CNC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccccc1 ZINC000736963887 599020618 /nfs/dbraw/zinc/02/06/18/599020618.db2.gz VCBADFDFQKDQQD-AWEZNQCLSA-N -1 1 324.344 1.379 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N1CC[C@](F)(C(=O)[O-])C1 ZINC000830624136 601559375 /nfs/dbraw/zinc/55/93/75/601559375.db2.gz XAHBZBODBIUDOT-CYBMUJFWSA-N -1 1 300.355 1.449 20 0 DDADMM CCO[C@@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)C12CCCC2 ZINC000821358521 599100757 /nfs/dbraw/zinc/10/07/57/599100757.db2.gz JKWSOXDCESNAER-WDEREUQCSA-N -1 1 315.381 1.806 20 0 DDADMM CCO[C@@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)C12CCCC2 ZINC000821358521 599100760 /nfs/dbraw/zinc/10/07/60/599100760.db2.gz JKWSOXDCESNAER-WDEREUQCSA-N -1 1 315.381 1.806 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000819381024 597045856 /nfs/dbraw/zinc/04/58/56/597045856.db2.gz YHPXTDCSJKAFHZ-GFCCVEGCSA-N -1 1 323.393 1.385 20 0 DDADMM CC(=O)N(c1nc(CN[C@H](C(=O)[O-])C(C)C)cs1)C1CC1 ZINC000817694735 597109866 /nfs/dbraw/zinc/10/98/66/597109866.db2.gz HZYOTGGPIXWGIR-LBPRGKRZSA-N -1 1 311.407 1.857 20 0 DDADMM CCN1CCN(C(=O)Nc2cccc(CC(=O)[O-])c2)C[C@@H]1C ZINC000316091047 597387242 /nfs/dbraw/zinc/38/72/42/597387242.db2.gz RDDUYJVDTLSFMV-LBPRGKRZSA-N -1 1 305.378 1.872 20 0 DDADMM CC(=O)NCCN(Cc1cc(F)c(F)c(F)c1)[C@H](C)C(=O)[O-] ZINC000819770060 597703720 /nfs/dbraw/zinc/70/37/20/597703720.db2.gz GJABYEAFZDIUKA-MRVPVSSYSA-N -1 1 318.295 1.515 20 0 DDADMM FC1(F)CN(c2nccnc2-c2nnn[n-]2)Cc2ccccc21 ZINC000822737542 607331451 /nfs/dbraw/zinc/33/14/51/607331451.db2.gz ZFWVCFNQQKSZIH-UHFFFAOYSA-N -1 1 315.287 1.769 20 0 DDADMM FC1(F)CN(c2nccnc2-c2nn[n-]n2)Cc2ccccc21 ZINC000822737542 607331452 /nfs/dbraw/zinc/33/14/52/607331452.db2.gz ZFWVCFNQQKSZIH-UHFFFAOYSA-N -1 1 315.287 1.769 20 0 DDADMM C[C@H](O)CN1CCN(C(=O)Nc2ccccc2C(=O)[O-])C[C@H]1C ZINC000820161946 598178906 /nfs/dbraw/zinc/17/89/06/598178906.db2.gz UZXRBVAVAPKWOC-NEPJUHHUSA-N -1 1 321.377 1.304 20 0 DDADMM COc1ccc(NC(=O)NC(=O)CN[C@@H](C(=O)[O-])C(C)C)cc1 ZINC000820846127 598188295 /nfs/dbraw/zinc/18/82/95/598188295.db2.gz TWGOVDUQDUJUAZ-CYBMUJFWSA-N -1 1 323.349 1.042 20 0 DDADMM c1cn(Cc2ccccn2)nc1Nc1nccnc1-c1nnn[n-]1 ZINC000738432906 598522187 /nfs/dbraw/zinc/52/21/87/598522187.db2.gz NPHCUNZZPLNCHN-UHFFFAOYSA-N -1 1 320.320 1.040 20 0 DDADMM c1cn(Cc2ccccn2)nc1Nc1nccnc1-c1nn[n-]n1 ZINC000738432906 598522188 /nfs/dbraw/zinc/52/21/88/598522188.db2.gz NPHCUNZZPLNCHN-UHFFFAOYSA-N -1 1 320.320 1.040 20 0 DDADMM O=c1c(-c2nn[n-]n2)cn(C[C@@H]2CCCCO2)c2ccccc12 ZINC000738372993 598721741 /nfs/dbraw/zinc/72/17/41/598721741.db2.gz ZBKAJZTWCSYFAU-NSHDSACASA-N -1 1 311.345 1.751 20 0 DDADMM CC[C@@H](CC(=O)n1ncc(-c2nn[n-]n2)c1N)c1ccccc1 ZINC000736335464 598777495 /nfs/dbraw/zinc/77/74/95/598777495.db2.gz SKNDUGRQVNCABC-JTQLQIEISA-N -1 1 311.349 1.870 20 0 DDADMM c1cnn(-c2cc(CNc3cccc(-c4nnn[n-]4)n3)ccn2)c1 ZINC000738420164 599405754 /nfs/dbraw/zinc/40/57/54/599405754.db2.gz XFWDRKZQZGREMH-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1cnn(-c2cc(CNc3cccc(-c4nn[n-]n4)n3)ccn2)c1 ZINC000738420164 599405757 /nfs/dbraw/zinc/40/57/57/599405757.db2.gz XFWDRKZQZGREMH-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM COCCN(Cc1ccccn1)c1cccc(-c2nnn[n-]2)n1 ZINC000737003573 599414017 /nfs/dbraw/zinc/41/40/17/599414017.db2.gz YIFWKGVIRGQZNS-UHFFFAOYSA-N -1 1 311.349 1.310 20 0 DDADMM COCCN(Cc1ccccn1)c1cccc(-c2nn[n-]n2)n1 ZINC000737003573 599414019 /nfs/dbraw/zinc/41/40/19/599414019.db2.gz YIFWKGVIRGQZNS-UHFFFAOYSA-N -1 1 311.349 1.310 20 0 DDADMM Cc1cccc(C(=O)NCCNc2cccc(-c3nnn[n-]3)n2)c1 ZINC000737385016 599415001 /nfs/dbraw/zinc/41/50/01/599415001.db2.gz QFSVBVPFNLTXSF-UHFFFAOYSA-N -1 1 323.360 1.412 20 0 DDADMM Cc1cccc(C(=O)NCCNc2cccc(-c3nn[n-]n3)n2)c1 ZINC000737385016 599415002 /nfs/dbraw/zinc/41/50/02/599415002.db2.gz QFSVBVPFNLTXSF-UHFFFAOYSA-N -1 1 323.360 1.412 20 0 DDADMM O[C@H]1CCCC[C@@H]1Nc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000823707181 599515422 /nfs/dbraw/zinc/51/54/22/599515422.db2.gz WWPDFEDZFSDAGM-WFASDCNBSA-N -1 1 310.361 1.552 20 0 DDADMM O[C@H]1CCCC[C@@H]1Nc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000823707181 599515423 /nfs/dbraw/zinc/51/54/23/599515423.db2.gz WWPDFEDZFSDAGM-WFASDCNBSA-N -1 1 310.361 1.552 20 0 DDADMM COc1ccccc1[C@@H]1CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC000737145622 599537356 /nfs/dbraw/zinc/53/73/56/599537356.db2.gz DFFAKANQWSWQDS-LLVKDONJSA-N -1 1 323.360 1.659 20 0 DDADMM COc1ccccc1[C@@H]1CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC000737145622 599537358 /nfs/dbraw/zinc/53/73/58/599537358.db2.gz DFFAKANQWSWQDS-LLVKDONJSA-N -1 1 323.360 1.659 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000736327258 599732377 /nfs/dbraw/zinc/73/23/77/599732377.db2.gz CVBUJZNIGOJFAQ-CQSZACIVSA-N -1 1 319.405 1.813 20 0 DDADMM CC(C)CCS(=O)(=O)Oc1cccc(F)c1-c1nn[n-]n1 ZINC000824248813 607867394 /nfs/dbraw/zinc/86/73/94/607867394.db2.gz CQGLANIABDDTQL-UHFFFAOYSA-N -1 1 314.342 1.761 20 0 DDADMM CC[C@@H]1CN(C[C@H](O)COc2ccc(C(=O)[O-])cc2)C[C@H](C)O1 ZINC000736945433 600332313 /nfs/dbraw/zinc/33/23/13/600332313.db2.gz XNOSHTHFYAYCFH-AEGPPILISA-N -1 1 323.389 1.624 20 0 DDADMM O=C([O-])c1coc(CN2CCCC[C@@H]2CN2CCCC2=O)c1 ZINC000740268181 600333470 /nfs/dbraw/zinc/33/34/70/600333470.db2.gz CCJSSTPFPMSIDN-CYBMUJFWSA-N -1 1 306.362 1.955 20 0 DDADMM Cc1oc(CN2CCC[C@@H](N3CCCC3=O)C2)cc1C(=O)[O-] ZINC000738979311 600335485 /nfs/dbraw/zinc/33/54/85/600335485.db2.gz MDZSUGTXKVUSHW-GFCCVEGCSA-N -1 1 306.362 1.873 20 0 DDADMM Cc1[nH]c(C(=O)NC2(c3nn[nH]n3)CCCC2)c(C)c1C(=O)[O-] ZINC000738154079 600538867 /nfs/dbraw/zinc/53/88/67/600538867.db2.gz GUTIQBFYDRSRJD-UHFFFAOYSA-N -1 1 318.337 1.042 20 0 DDADMM CC[C@@](C)(NCC(=O)Nc1ccsc1C(=O)OC)C(=O)[O-] ZINC000736863167 600560483 /nfs/dbraw/zinc/56/04/83/600560483.db2.gz ISGAZZCGMCGMER-CYBMUJFWSA-N -1 1 314.363 1.316 20 0 DDADMM COC[C@H]1CCN([C@@H](C)C(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C1 ZINC000737751250 600573549 /nfs/dbraw/zinc/57/35/49/600573549.db2.gz IAGKXOGBWGLBTN-RYUDHWBXSA-N -1 1 308.378 1.869 20 0 DDADMM C[C@@H]1CCN(CCNS(=O)(=O)c2csc(C(=O)[O-])c2)C1 ZINC000828290011 600792612 /nfs/dbraw/zinc/79/26/12/600792612.db2.gz PRKBFEMVZXWLJW-SECBINFHSA-N -1 1 318.420 1.066 20 0 DDADMM O=C([O-])CCN(Cc1cccc(F)c1F)C(=O)Cc1ccn[nH]1 ZINC000833234236 600814224 /nfs/dbraw/zinc/81/42/24/600814224.db2.gz PKJBSIYFGGZCJF-UHFFFAOYSA-N -1 1 323.299 1.734 20 0 DDADMM O=C([O-])[C@H]1CCC[C@@H](C(=O)Nc2nc(-c3ccccn3)n[nH]2)C1 ZINC000833189535 600907558 /nfs/dbraw/zinc/90/75/58/600907558.db2.gz SRKVLWKGERQBCQ-ZJUUUORDSA-N -1 1 315.333 1.696 20 0 DDADMM CC(C)CN(C(=O)CN1CCSC[C@@H]1CC(=O)[O-])C1CC1 ZINC000826865112 601015333 /nfs/dbraw/zinc/01/53/33/601015333.db2.gz HAEFOLCSTPNEKP-ZDUSSCGKSA-N -1 1 314.451 1.526 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN(C)C1CCOCC1 ZINC000832914547 601116054 /nfs/dbraw/zinc/11/60/54/601116054.db2.gz DEGQTIMHWFSHPR-UHFFFAOYSA-N -1 1 306.362 1.743 20 0 DDADMM NC(=O)C1(NCC(=O)Nc2ccc(C(=O)[O-])cc2)CCCCC1 ZINC000833081906 601133673 /nfs/dbraw/zinc/13/36/73/601133673.db2.gz KHPOIWHUVPRGOU-UHFFFAOYSA-N -1 1 319.361 1.101 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCC(=O)Nc1cccc(C(C)=O)c1 ZINC000831577781 601135274 /nfs/dbraw/zinc/13/52/74/601135274.db2.gz JGLCRNKGDUZLPM-MRXNPFEDSA-N -1 1 322.361 1.297 20 0 DDADMM O=C([O-])C1CC[NH+](CCCNC(=O)c2cc(F)ccc2[O-])CC1 ZINC000833137404 601209693 /nfs/dbraw/zinc/20/96/93/601209693.db2.gz JTNSTTPSCDVKDZ-UHFFFAOYSA-N -1 1 324.352 1.448 20 0 DDADMM O=C([O-])c1cc(C(=O)Nc2cnn(-c3ccncc3)c2)ccn1 ZINC000833165051 601283397 /nfs/dbraw/zinc/28/33/97/601283397.db2.gz BMKRYOFNJPBGSP-UHFFFAOYSA-N -1 1 309.285 1.613 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000819271007 601313018 /nfs/dbraw/zinc/31/30/18/601313018.db2.gz FZQXASKZPWTQOE-SNVBAGLBSA-N -1 1 301.306 1.206 20 0 DDADMM COc1ccc(NC(=O)CN2C[C@H](C(=O)[O-])[C@H](C)C2)cc1OC ZINC000832394276 601511430 /nfs/dbraw/zinc/51/14/30/601511430.db2.gz QNAPGOPONLXBFW-PWSUYJOCSA-N -1 1 322.361 1.295 20 0 DDADMM COc1ccccc1NC(=O)[C@H](C)N1C[C@H](C)[C@H](C(=O)[O-])C1 ZINC000832622680 601533453 /nfs/dbraw/zinc/53/34/53/601533453.db2.gz FLAXFGUIOLGGJA-SDDRHHMPSA-N -1 1 306.362 1.675 20 0 DDADMM COc1ccccc1N1CC[C@@H](N2CC[C@@H](C(=O)[O-])C2)C1=O ZINC000246181058 601619698 /nfs/dbraw/zinc/61/96/98/601619698.db2.gz CDWUQTZJERGFRV-DGCLKSJQSA-N -1 1 304.346 1.207 20 0 DDADMM CCCCCNC(=O)[C@@H](C)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091132562 601921741 /nfs/dbraw/zinc/92/17/41/601921741.db2.gz FQXZOLHOBSEGAF-NEPJUHHUSA-N -1 1 302.440 1.573 20 0 DDADMM CSCC[C@H](NC(=O)[O-])C(=O)N1CCN2CCCC[C@H]2C1 ZINC000740013183 601923627 /nfs/dbraw/zinc/92/36/27/601923627.db2.gz NTZYIPGULZIHDV-RYUDHWBXSA-N -1 1 315.439 1.072 20 0 DDADMM CCC[C@H](C)NC(=O)[C@@H](C)N1CCSC[C@H]1CC(=O)[O-] ZINC000251384019 601927151 /nfs/dbraw/zinc/92/71/51/601927151.db2.gz WPEMJCIFMKQVHR-QJPTWQEYSA-N -1 1 302.440 1.572 20 0 DDADMM CCOc1ccc(NC(=O)CN2CC[C@@](C)(C(=O)[O-])C2)cc1 ZINC000387814565 601940170 /nfs/dbraw/zinc/94/01/70/601940170.db2.gz SNOPWMCRYPQNFF-MRXNPFEDSA-N -1 1 306.362 1.820 20 0 DDADMM O=C([O-])NC[C@H]1CCN([C@@H](C(=O)NC2CC2)c2ccccc2)C1 ZINC000740640926 601955952 /nfs/dbraw/zinc/95/59/52/601955952.db2.gz GCAIZHDCMWZCGN-IUODEOHRSA-N -1 1 317.389 1.596 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000739528954 601959053 /nfs/dbraw/zinc/95/90/53/601959053.db2.gz AZFLIUVEBCNFDP-QWHCGFSZSA-N -1 1 323.393 1.887 20 0 DDADMM C[C@@H](C(=O)N1CCC(C)CC1)N1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000739111612 601980645 /nfs/dbraw/zinc/98/06/45/601980645.db2.gz CNPUABGIQGSVEN-UONOGXRCSA-N -1 1 311.426 1.708 20 0 DDADMM CC(C)c1nnc(SCc2ccnc(-c3nnn[n-]3)c2)n1C ZINC000824364987 607538712 /nfs/dbraw/zinc/53/87/12/607538712.db2.gz XRKNZBKGRCQANF-UHFFFAOYSA-N -1 1 316.394 1.806 20 0 DDADMM CC(C)c1nnc(SCc2ccnc(-c3nn[n-]n3)c2)n1C ZINC000824364987 607538714 /nfs/dbraw/zinc/53/87/14/607538714.db2.gz XRKNZBKGRCQANF-UHFFFAOYSA-N -1 1 316.394 1.806 20 0 DDADMM O=c1ccc(C(F)(F)F)cn1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826501809 607539715 /nfs/dbraw/zinc/53/97/15/607539715.db2.gz HYQYDVQYRHPQLT-UHFFFAOYSA-N -1 1 322.250 1.491 20 0 DDADMM O=c1ccc(C(F)(F)F)cn1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826501809 607539717 /nfs/dbraw/zinc/53/97/17/607539717.db2.gz HYQYDVQYRHPQLT-UHFFFAOYSA-N -1 1 322.250 1.491 20 0 DDADMM c1cc(-c2nnn(Cc3ccnc(-c4nnn[n-]4)c3)n2)cs1 ZINC000826516397 607542769 /nfs/dbraw/zinc/54/27/69/607542769.db2.gz JAJJCBSJKFXLPE-UHFFFAOYSA-N -1 1 311.334 1.025 20 0 DDADMM c1cc(-c2nnn(Cc3ccnc(-c4nn[n-]n4)c3)n2)cs1 ZINC000826516397 607542771 /nfs/dbraw/zinc/54/27/71/607542771.db2.gz JAJJCBSJKFXLPE-UHFFFAOYSA-N -1 1 311.334 1.025 20 0 DDADMM CCNC(=O)c1ccc(OCc2ccnc(-c3nnn[n-]3)c2)cc1 ZINC000825476097 607547451 /nfs/dbraw/zinc/54/74/51/607547451.db2.gz ALTSOKCPJBUDSP-UHFFFAOYSA-N -1 1 324.344 1.590 20 0 DDADMM CCNC(=O)c1ccc(OCc2ccnc(-c3nn[n-]n3)c2)cc1 ZINC000825476097 607547452 /nfs/dbraw/zinc/54/74/52/607547452.db2.gz ALTSOKCPJBUDSP-UHFFFAOYSA-N -1 1 324.344 1.590 20 0 DDADMM COc1ccc(CN(C)C(=O)CN[C@H](C(=O)[O-])C(C)(C)C)cc1 ZINC000832304342 602224936 /nfs/dbraw/zinc/22/49/36/602224936.db2.gz JTWVCVAENFRDQV-OAHLLOKOSA-N -1 1 322.405 1.743 20 0 DDADMM CCCN1CCN(C(=O)[C@@H]2C[C@H]3CCCC[C@@H]3N2C(=O)[O-])CC1 ZINC000739361914 602300750 /nfs/dbraw/zinc/30/07/50/602300750.db2.gz BERYOTUNUQPJGY-ILXRZTDVSA-N -1 1 323.437 1.852 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000736383042 602326131 /nfs/dbraw/zinc/32/61/31/602326131.db2.gz TWEDGYHFWWXAOQ-LBPRGKRZSA-N -1 1 303.322 1.498 20 0 DDADMM O=C([O-])N1CCC(C(=O)Nc2cnn(-c3ccncc3)c2)CC1 ZINC000738514191 602326155 /nfs/dbraw/zinc/32/61/55/602326155.db2.gz IRYIEQQFGNBFCQ-UHFFFAOYSA-N -1 1 315.333 1.596 20 0 DDADMM CCn1c(C)nnc1CN[C@@]1(CNC(=O)[O-])CCCC[C@H]1C ZINC000739565554 602554384 /nfs/dbraw/zinc/55/43/84/602554384.db2.gz XLQKBBNEBCTQSH-IAQYHMDHSA-N -1 1 309.414 1.913 20 0 DDADMM O=C(CN1CCC[C@@H]([C@H]2CCCN2C(=O)[O-])C1)NCC1CCC1 ZINC000740368796 602679260 /nfs/dbraw/zinc/67/92/60/602679260.db2.gz MARZTYWXDSFLPI-HUUCEWRRSA-N -1 1 323.437 1.757 20 0 DDADMM Cc1ccc(CNC(=O)CN2CC[C@H](NC(=O)[O-])[C@@H](C)C2)cc1 ZINC000740126667 602789049 /nfs/dbraw/zinc/78/90/49/602789049.db2.gz OHQJMYWXJCZKBS-ZFWWWQNUSA-N -1 1 319.405 1.589 20 0 DDADMM CN(C[C@H]1CCC[N@H+](C)C1)C(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739728194 602865557 /nfs/dbraw/zinc/86/55/57/602865557.db2.gz IMIAUSDPLNPTHV-NSHDSACASA-N -1 1 321.377 1.896 20 0 DDADMM CN(C[C@H]1CCC[N@@H+](C)C1)C(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739728194 602865560 /nfs/dbraw/zinc/86/55/60/602865560.db2.gz IMIAUSDPLNPTHV-NSHDSACASA-N -1 1 321.377 1.896 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@@H]1NC(=O)c1cc(F)cc2nc[nH]c21 ZINC000740635604 602975770 /nfs/dbraw/zinc/97/57/70/602975770.db2.gz YIPVXECJYIAYMT-KCJUWKMLSA-N -1 1 320.324 1.868 20 0 DDADMM O=C([O-])NC[C@H]1CCCC[C@@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC000740635438 602992192 /nfs/dbraw/zinc/99/21/92/602992192.db2.gz VBWWQQXGIJUNRS-KOLCDFICSA-N -1 1 306.366 1.455 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]1C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000740570741 603001652 /nfs/dbraw/zinc/00/16/52/603001652.db2.gz NLOISPZYNXCUGF-NWDGAFQWSA-N -1 1 315.333 1.642 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NC[C@H](NC(=O)[O-])c1ccccc1 ZINC000739726846 603037807 /nfs/dbraw/zinc/03/78/07/603037807.db2.gz LSXOEWBLRGYJFL-UONOGXRCSA-N -1 1 305.378 1.596 20 0 DDADMM CC1(C)CN(C(=O)[O-])CCN1C(=O)Cc1n[nH]c2ccccc21 ZINC000739071366 603066617 /nfs/dbraw/zinc/06/66/17/603066617.db2.gz PQUHVORRVMRBQY-UHFFFAOYSA-N -1 1 316.361 1.706 20 0 DDADMM CC(C)CN1CCN(C(=O)[C@@H]2CCC[C@H](NC(=O)[O-])C2)CC1 ZINC000738818591 603232867 /nfs/dbraw/zinc/23/28/67/603232867.db2.gz BODPZYZPICKXED-KGLIPLIRSA-N -1 1 311.426 1.613 20 0 DDADMM Cc1nnc(NC(=O)[C@H](NC(=O)[O-])c2cccc(Cl)c2)[nH]1 ZINC000740222712 603300584 /nfs/dbraw/zinc/30/05/84/603300584.db2.gz LMFCDNFCRNZHAR-SECBINFHSA-N -1 1 309.713 1.714 20 0 DDADMM Cc1n[nH]c(NC(=O)[C@H](NC(=O)[O-])c2cccc(Cl)c2)n1 ZINC000740222712 603300588 /nfs/dbraw/zinc/30/05/88/603300588.db2.gz LMFCDNFCRNZHAR-SECBINFHSA-N -1 1 309.713 1.714 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@H](Cc1ccccc1)N(C)C)NC(=O)[O-] ZINC000738776119 603403646 /nfs/dbraw/zinc/40/36/46/603403646.db2.gz UHVBMXHNUMRCKC-GJZGRUSLSA-N -1 1 321.421 1.568 20 0 DDADMM C[C@@H](C(=O)N1CCC(C)CC1)N1CC[C@@H](NC(=O)[O-])[C@@H](C)C1 ZINC000739112905 603414629 /nfs/dbraw/zinc/41/46/29/603414629.db2.gz KXRKBWZEYHNXQD-MELADBBJSA-N -1 1 311.426 1.611 20 0 DDADMM O=C([O-])N[C@H]1CC[C@@H](C(=O)Nc2nc(-c3ccccn3)n[nH]2)C1 ZINC000832293979 603484489 /nfs/dbraw/zinc/48/44/89/603484489.db2.gz AARXPOZAGXRWAX-BDAKNGLRSA-N -1 1 316.321 1.242 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N(C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828046504 603544528 /nfs/dbraw/zinc/54/45/28/603544528.db2.gz NBUQRXVDRCPAEL-LLVKDONJSA-N -1 1 311.407 1.634 20 0 DDADMM O=C([O-])N1CCC[C@@H](C(=O)NCc2nc3ccc(F)cc3[nH]2)C1 ZINC000831731114 603738061 /nfs/dbraw/zinc/73/80/61/603738061.db2.gz QPOYWIRGYZOVNV-SECBINFHSA-N -1 1 320.324 1.708 20 0 DDADMM CN(C)C(=O)c1cccc(CN2CC[C@H](N(C)C(=O)[O-])C2)c1 ZINC000827989010 603795749 /nfs/dbraw/zinc/79/57/49/603795749.db2.gz PFNXOFCWBYDRAK-AWEZNQCLSA-N -1 1 305.378 1.573 20 0 DDADMM C[C@H](C(=O)NCc1cnc[nH]1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823701819 603903713 /nfs/dbraw/zinc/90/37/13/603903713.db2.gz HRHIZAFWCCUALF-GXFFZTMASA-N -1 1 302.334 1.671 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC[C@H]1NC(=O)[O-] ZINC000825976078 603988820 /nfs/dbraw/zinc/98/88/20/603988820.db2.gz TVMQVWTWNQZTDE-CKYFFXLPSA-N -1 1 306.366 1.334 20 0 DDADMM C[C@@H]1C[C@H](C)CN(C(=O)CN2CCC[C@@H]2CN(C)C(=O)[O-])C1 ZINC000825783749 604096776 /nfs/dbraw/zinc/09/67/76/604096776.db2.gz NSNNJVQLVCZPMP-HZSPNIEDSA-N -1 1 311.426 1.565 20 0 DDADMM COc1cccc(CN2CCC(OCCCNC(=O)[O-])CC2)n1 ZINC000829559466 604107503 /nfs/dbraw/zinc/10/75/03/604107503.db2.gz KPCUFBRCLCLMCZ-UHFFFAOYSA-N -1 1 323.393 1.729 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@H]([C@H](C)NC(=O)[O-])C2)n[nH]1 ZINC000826949368 604153257 /nfs/dbraw/zinc/15/32/57/604153257.db2.gz MIMIHAVAEGLNLT-QWRGUYRKSA-N -1 1 308.382 1.871 20 0 DDADMM O=C([O-])N1CCc2c(cccc2NC(=O)CCc2nc[nH]n2)C1 ZINC000831441696 604155627 /nfs/dbraw/zinc/15/56/27/604155627.db2.gz PPDFZGIMUNPCQM-UHFFFAOYSA-N -1 1 315.333 1.412 20 0 DDADMM C[C@@H]1CCCN(C(=O)CN2CCC[C@H](C)[C@H]2CNC(=O)[O-])C1 ZINC000825905541 604168531 /nfs/dbraw/zinc/16/85/31/604168531.db2.gz MLEPMGMHEOQZFA-HZSPNIEDSA-N -1 1 311.426 1.613 20 0 DDADMM O=C([O-])c1csc(NC(=O)c2nnc3ccccc3c2O)c1 ZINC000191354227 604315109 /nfs/dbraw/zinc/31/51/09/604315109.db2.gz IUFYRHUWZNJLQY-UHFFFAOYSA-N -1 1 315.310 1.935 20 0 DDADMM O=C([O-])N1CC(NC(=O)NC[C@H](c2ccco2)N2CCCC2)C1 ZINC000831629244 604398079 /nfs/dbraw/zinc/39/80/79/604398079.db2.gz ZDXMAVKDLARVEN-GFCCVEGCSA-N -1 1 322.365 1.078 20 0 DDADMM CCN1CCN([C@@H](C(=O)[O-])c2cc(OC)cc(OC)c2)CC1 ZINC000829771617 604453663 /nfs/dbraw/zinc/45/36/63/604453663.db2.gz ZGUJYTCQUPTYQZ-OAHLLOKOSA-N -1 1 308.378 1.467 20 0 DDADMM COC(=O)[C@H](c1cccc(C(=O)[O-])c1)N1CCN(C(C)C)CC1 ZINC000833701658 604472458 /nfs/dbraw/zinc/47/24/58/604472458.db2.gz LWFZGEVOQPQDBN-HNNXBMFYSA-N -1 1 320.389 1.625 20 0 DDADMM C[C@@H]1CN(C)[C@@H](C)CN1CC(=O)N(C)c1ccccc1C(=O)[O-] ZINC000833620135 604574155 /nfs/dbraw/zinc/57/41/55/604574155.db2.gz CUHORGYIXKIYIH-QWHCGFSZSA-N -1 1 319.405 1.372 20 0 DDADMM C[C@H](Oc1ccc(CN2CCCN(C(N)=O)CC2)cc1)C(=O)[O-] ZINC000833544726 604623461 /nfs/dbraw/zinc/62/34/61/604623461.db2.gz ZNZLMDDAWZSQRS-LBPRGKRZSA-N -1 1 321.377 1.125 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H]3CCCCN3C(=O)[O-])C2)n[nH]1 ZINC000830735041 604645656 /nfs/dbraw/zinc/64/56/56/604645656.db2.gz PEDYMOXCRLZACX-NEPJUHHUSA-N -1 1 321.381 1.352 20 0 DDADMM CN(Cc1ccc(C(=O)[O-])cc1)C(=O)CN(C)[C@@H]1CCSC1 ZINC000833696420 604663531 /nfs/dbraw/zinc/66/35/31/604663531.db2.gz MCMXUVILOHAXAV-CQSZACIVSA-N -1 1 322.430 1.781 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(Cc2ccc(C(=O)NC3CC3)cc2)C1 ZINC000833766187 604684233 /nfs/dbraw/zinc/68/42/33/604684233.db2.gz MSLVPZFUAOWSOO-MRXNPFEDSA-N -1 1 306.337 1.577 20 0 DDADMM CC(C)c1cc(C(=O)N2CCO[C@H]([C@H](C)NC(=O)[O-])C2)n[nH]1 ZINC000824453002 604710935 /nfs/dbraw/zinc/71/09/35/604710935.db2.gz DLYLCITWXDZOCZ-CABZTGNLSA-N -1 1 310.354 1.030 20 0 DDADMM COCCN1CCCN(C(=O)Cc2cccc(C(=O)[O-])c2)CC1 ZINC000833725024 604722245 /nfs/dbraw/zinc/72/22/45/604722245.db2.gz XQPNTSRSLYJMKH-UHFFFAOYSA-N -1 1 320.389 1.108 20 0 DDADMM CSc1ccc([C@@H](CC(=O)[O-])NC(=O)c2ncn[nH]2)cc1 ZINC000832777164 604770311 /nfs/dbraw/zinc/77/03/11/604770311.db2.gz FNGCAAVDDWGVFR-SNVBAGLBSA-N -1 1 306.347 1.472 20 0 DDADMM CSc1ccc([C@@H](CC(=O)[O-])NC(=O)c2nc[nH]n2)cc1 ZINC000832777164 604770312 /nfs/dbraw/zinc/77/03/12/604770312.db2.gz FNGCAAVDDWGVFR-SNVBAGLBSA-N -1 1 306.347 1.472 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCN(c3ccccc3O)CC2)O1 ZINC000833578209 604823161 /nfs/dbraw/zinc/82/31/61/604823161.db2.gz IMDDNKKDHMWPCH-CYBMUJFWSA-N -1 1 318.373 1.662 20 0 DDADMM Cc1sc2nc(CN3CC[C@@H](C(=O)[O-])C3)nc(N)c2c1C ZINC000821257635 604907619 /nfs/dbraw/zinc/90/76/19/604907619.db2.gz SXEWBWQKYSVEKM-SECBINFHSA-N -1 1 306.391 1.797 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CC[C@@H](C(F)F)C1 ZINC000833404702 604936682 /nfs/dbraw/zinc/93/66/82/604936682.db2.gz UITNLNKOBRAVLV-GXSJLCMTSA-N -1 1 304.337 1.285 20 0 DDADMM C[C@@H](CC(=O)N(C)Cc1nnc[nH]1)C1CCN(C(=O)[O-])CC1 ZINC000824557201 604945818 /nfs/dbraw/zinc/94/58/18/604945818.db2.gz DQPDPPGQGAQKLQ-JTQLQIEISA-N -1 1 309.370 1.179 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN1CCC(C)(COC)CC1)C(=O)[O-] ZINC000833640489 605021078 /nfs/dbraw/zinc/02/10/78/605021078.db2.gz YZGQGQPVSWEUBH-GXTWGEPZSA-N -1 1 314.426 1.350 20 0 DDADMM CCN(C[C@H]1CCCO1)[C@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000833654188 605043894 /nfs/dbraw/zinc/04/38/94/605043894.db2.gz FTUSDAQATPSJES-TZMCWYRMSA-N -1 1 312.410 1.199 20 0 DDADMM C[C@H]1C[C@H](NS(=O)(=O)c2ccccc2C(=O)[O-])CN1C1CC1 ZINC000833597021 605046379 /nfs/dbraw/zinc/04/63/79/605046379.db2.gz IMDPQMJCYSXADM-QWRGUYRKSA-N -1 1 324.402 1.288 20 0 DDADMM Cc1scc(C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)c1C ZINC000833758911 605104060 /nfs/dbraw/zinc/10/40/60/605104060.db2.gz BPPIRHAOMGOHKF-SNVBAGLBSA-N -1 1 310.419 1.986 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833484350 605106495 /nfs/dbraw/zinc/10/64/95/605106495.db2.gz ZJSVXYIJUXXPCM-KBPBESRZSA-N -1 1 314.426 1.445 20 0 DDADMM CCN1C[C@H](C)N(C(=O)Nc2cncc(C(=O)[O-])c2)C[C@H]1C ZINC000833655628 605170133 /nfs/dbraw/zinc/17/01/33/605170133.db2.gz FQWMIVPGGXXSES-MNOVXSKESA-N -1 1 306.366 1.726 20 0 DDADMM Cc1cc(=O)[n-]c(C[N@H+](CCc2ccccc2)CC(=O)[O-])n1 ZINC000833747455 605247624 /nfs/dbraw/zinc/24/76/24/605247624.db2.gz YWQZTSTVRHSKOI-UHFFFAOYSA-N -1 1 301.346 1.620 20 0 DDADMM Cc1cc(=O)[n-]c(C[N@@H+](CCc2ccccc2)CC(=O)[O-])n1 ZINC000833747455 605247625 /nfs/dbraw/zinc/24/76/25/605247625.db2.gz YWQZTSTVRHSKOI-UHFFFAOYSA-N -1 1 301.346 1.620 20 0 DDADMM C[C@H]1CN(C(=O)/C=C\c2cncc(F)c2)CCN1CCC(=O)[O-] ZINC000833618796 605278345 /nfs/dbraw/zinc/27/83/45/605278345.db2.gz QUGFZKRQLPRUQR-DLGQBQFBSA-N -1 1 321.352 1.241 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCN(C(=O)[O-])C[C@@H]2C)n[nH]1 ZINC000830031222 605301680 /nfs/dbraw/zinc/30/16/80/605301680.db2.gz TWSGWIYXTZGNCY-ONGXEEELSA-N -1 1 309.370 1.043 20 0 DDADMM O=C([O-])CCS(=O)(=O)Nc1ccccc1OC(F)(F)F ZINC000020557286 605365855 /nfs/dbraw/zinc/36/58/55/605365855.db2.gz ILNBURFZAVLXMP-UHFFFAOYSA-N -1 1 313.253 1.802 20 0 DDADMM CN(CC(=O)N(C)C1CCN(C(=O)[O-])CC1)[C@H]1CCSC1 ZINC000833869721 605383426 /nfs/dbraw/zinc/38/34/26/605383426.db2.gz FLXBUZZXCNXNCK-LBPRGKRZSA-N -1 1 315.439 1.025 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]2CN(Cc3cc(=O)c(O)co3)C[C@@H]21 ZINC000834178307 605447160 /nfs/dbraw/zinc/44/71/60/605447160.db2.gz FEVARTDZBYVDAJ-ZMLRMANQSA-N -1 1 308.334 1.214 20 0 DDADMM O=C([O-])N1CC[C@H](CCC(=O)N2CCN(C3CCC3)CC2)C1 ZINC000834076508 605471111 /nfs/dbraw/zinc/47/11/11/605471111.db2.gz RDHSNLCCLIWAMC-ZDUSSCGKSA-N -1 1 309.410 1.463 20 0 DDADMM O=C([O-])N1CCOC[C@@H]1C(=O)Nc1cccc(Cl)c1O ZINC000834053905 605539831 /nfs/dbraw/zinc/53/98/31/605539831.db2.gz OHVBYZMUJZHCLR-SECBINFHSA-N -1 1 300.698 1.363 20 0 DDADMM CNC(=O)CC1CCN(Cc2ccc(NC(=O)[O-])nc2)CC1 ZINC000833875392 605608568 /nfs/dbraw/zinc/60/85/68/605608568.db2.gz PRALDKDZPOYNFZ-UHFFFAOYSA-N -1 1 306.366 1.520 20 0 DDADMM O=C([O-])N1CCC[C@H](NC(=O)N2CCN(C3CCC3)CC2)C1 ZINC000739925404 605668572 /nfs/dbraw/zinc/66/85/72/605668572.db2.gz AABNMGITEPIROM-LBPRGKRZSA-N -1 1 310.398 1.009 20 0 DDADMM COC(=O)c1cccc(OCCN2CC[C@H](NC(=O)[O-])C2)c1 ZINC000739788124 605936998 /nfs/dbraw/zinc/93/69/98/605936998.db2.gz AVJDEDKRJXQQHA-LBPRGKRZSA-N -1 1 308.334 1.194 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])CN1CN1CC2(CCCC2)CC1=O ZINC000833829163 605956409 /nfs/dbraw/zinc/95/64/09/605956409.db2.gz FWMZESDNZZFTHZ-STQMWFEESA-N -1 1 309.410 1.715 20 0 DDADMM O=C([O-])N1CCCN(CCC(=O)OCc2ccccc2)CC1 ZINC000833991582 606027402 /nfs/dbraw/zinc/02/74/02/606027402.db2.gz BPZHTMJFJPCTQD-UHFFFAOYSA-N -1 1 306.362 1.806 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820697090 606160746 /nfs/dbraw/zinc/16/07/46/606160746.db2.gz DUZDQBDIMRFVGK-NXEZZACHSA-N -1 1 318.385 1.643 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820697090 606160747 /nfs/dbraw/zinc/16/07/47/606160747.db2.gz DUZDQBDIMRFVGK-NXEZZACHSA-N -1 1 318.385 1.643 20 0 DDADMM CN(CC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccccc1 ZINC000821593775 606195241 /nfs/dbraw/zinc/19/52/41/606195241.db2.gz JNWIVIXELJAXPX-UHFFFAOYSA-N -1 1 324.344 1.647 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)[C@H]2C[C@H]2C2CC2)n1 ZINC000819971033 606259585 /nfs/dbraw/zinc/25/95/85/606259585.db2.gz BTHYYMGOAAHJRF-UWVGGRQHSA-N -1 1 315.381 1.803 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)[C@H]2C[C@H]2C2CC2)n1 ZINC000819971033 606259587 /nfs/dbraw/zinc/25/95/87/606259587.db2.gz BTHYYMGOAAHJRF-UWVGGRQHSA-N -1 1 315.381 1.803 20 0 DDADMM O=C(CC[C@H]1CCOC1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822950334 606293441 /nfs/dbraw/zinc/29/34/41/606293441.db2.gz KHJDDFIEDQYWIW-VIFPVBQESA-N -1 1 303.322 1.328 20 0 DDADMM Clc1ccc(NCc2nncn2C2CC2)nc1-c1nnn[n-]1 ZINC000822578884 606467133 /nfs/dbraw/zinc/46/71/33/606467133.db2.gz SJAYKPXQYZMSDN-UHFFFAOYSA-N -1 1 317.744 1.454 20 0 DDADMM Clc1ccc(NCc2nncn2C2CC2)nc1-c1nn[n-]n1 ZINC000822578884 606467134 /nfs/dbraw/zinc/46/71/34/606467134.db2.gz SJAYKPXQYZMSDN-UHFFFAOYSA-N -1 1 317.744 1.454 20 0 DDADMM O=C(CSc1ccccc1)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000823070879 606532494 /nfs/dbraw/zinc/53/24/94/606532494.db2.gz SWBHMQDBJMOSAP-UHFFFAOYSA-N -1 1 301.335 1.321 20 0 DDADMM O=C(CSc1ccccc1)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000823070879 606532496 /nfs/dbraw/zinc/53/24/96/606532496.db2.gz SWBHMQDBJMOSAP-UHFFFAOYSA-N -1 1 301.335 1.321 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)C[C@H](C)O1 ZINC000820988329 606558005 /nfs/dbraw/zinc/55/80/05/606558005.db2.gz FPCSGXVKVMZWLJ-DTWKUNHWSA-N -1 1 307.379 1.568 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)C[C@H](C)O1 ZINC000820988329 606558007 /nfs/dbraw/zinc/55/80/07/606558007.db2.gz FPCSGXVKVMZWLJ-DTWKUNHWSA-N -1 1 307.379 1.568 20 0 DDADMM Cc1nn(C)c(C)c1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822492108 606577516 /nfs/dbraw/zinc/57/75/16/606577516.db2.gz QZGUAGWLWNDGJO-UHFFFAOYSA-N -1 1 317.378 1.209 20 0 DDADMM Cc1nn(C)c(C)c1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822492108 606577517 /nfs/dbraw/zinc/57/75/17/606577517.db2.gz QZGUAGWLWNDGJO-UHFFFAOYSA-N -1 1 317.378 1.209 20 0 DDADMM CC(C)[C@H]1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820038442 606697182 /nfs/dbraw/zinc/69/71/82/606697182.db2.gz OBCJXAAVVKIJMO-VIFPVBQESA-N -1 1 304.358 1.110 20 0 DDADMM CC(C)[C@H]1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820038442 606697183 /nfs/dbraw/zinc/69/71/83/606697183.db2.gz OBCJXAAVVKIJMO-VIFPVBQESA-N -1 1 304.358 1.110 20 0 DDADMM CCc1noc(C)c1COC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821554639 606899440 /nfs/dbraw/zinc/89/94/40/606899440.db2.gz PSHARCHNYIRLLV-UHFFFAOYSA-N -1 1 314.305 1.478 20 0 DDADMM CCc1noc(C)c1COC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821554639 606899441 /nfs/dbraw/zinc/89/94/41/606899441.db2.gz PSHARCHNYIRLLV-UHFFFAOYSA-N -1 1 314.305 1.478 20 0 DDADMM Clc1ccc(N2CC(n3ccnc3)C2)nc1-c1nnn[n-]1 ZINC000822574816 607022272 /nfs/dbraw/zinc/02/22/72/607022272.db2.gz ZRQRRKIZVFKADG-UHFFFAOYSA-N -1 1 302.729 1.173 20 0 DDADMM Clc1ccc(N2CC(n3ccnc3)C2)nc1-c1nn[n-]n1 ZINC000822574816 607022274 /nfs/dbraw/zinc/02/22/74/607022274.db2.gz ZRQRRKIZVFKADG-UHFFFAOYSA-N -1 1 302.729 1.173 20 0 DDADMM c1ccc2c(c1)OC[C@H](CCNc1cccc(-c3nnn[n-]3)n1)O2 ZINC000823757285 607039174 /nfs/dbraw/zinc/03/91/74/607039174.db2.gz NVDZSPJFKUJRAT-NSHDSACASA-N -1 1 324.344 1.904 20 0 DDADMM c1ccc2c(c1)OC[C@H](CCNc1cccc(-c3nn[n-]n3)n1)O2 ZINC000823757285 607039176 /nfs/dbraw/zinc/03/91/76/607039176.db2.gz NVDZSPJFKUJRAT-NSHDSACASA-N -1 1 324.344 1.904 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cncc(F)c1 ZINC000823294552 607050056 /nfs/dbraw/zinc/05/00/56/607050056.db2.gz GRHJNJPRLDWMMD-UHFFFAOYSA-N -1 1 300.253 1.359 20 0 DDADMM COc1ccsc1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000821910544 607102770 /nfs/dbraw/zinc/10/27/70/607102770.db2.gz CUCAYQHMRGIUNV-UHFFFAOYSA-N -1 1 308.348 1.646 20 0 DDADMM COc1ccsc1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000821910544 607102771 /nfs/dbraw/zinc/10/27/71/607102771.db2.gz CUCAYQHMRGIUNV-UHFFFAOYSA-N -1 1 308.348 1.646 20 0 DDADMM C[C@@H]1CCC[C@H](OCC(=O)Nc2ncc(-c3nnn[n-]3)s2)C1 ZINC000820697491 607104056 /nfs/dbraw/zinc/10/40/56/607104056.db2.gz HWXMMOXZUCNORR-BDAKNGLRSA-N -1 1 322.394 1.857 20 0 DDADMM C[C@@H]1CCC[C@H](OCC(=O)Nc2ncc(-c3nn[n-]n3)s2)C1 ZINC000820697491 607104058 /nfs/dbraw/zinc/10/40/58/607104058.db2.gz HWXMMOXZUCNORR-BDAKNGLRSA-N -1 1 322.394 1.857 20 0 DDADMM Cc1nnc([C@H](C)NC(=O)c2ccc(-c3nnn[n-]3)s2)o1 ZINC000822509703 607217527 /nfs/dbraw/zinc/21/75/27/607217527.db2.gz DBTBQZRIXFWGII-YFKPBYRVSA-N -1 1 305.323 1.111 20 0 DDADMM Cc1nnc([C@H](C)NC(=O)c2ccc(-c3nn[n-]n3)s2)o1 ZINC000822509703 607217528 /nfs/dbraw/zinc/21/75/28/607217528.db2.gz DBTBQZRIXFWGII-YFKPBYRVSA-N -1 1 305.323 1.111 20 0 DDADMM C[C@@H]1COCCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000825006216 607822545 /nfs/dbraw/zinc/82/25/45/607822545.db2.gz GMYPOBFQPBLRMS-LURJTMIESA-N -1 1 300.775 1.449 20 0 DDADMM C[C@@H]1COCCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000825006216 607822546 /nfs/dbraw/zinc/82/25/46/607822546.db2.gz GMYPOBFQPBLRMS-LURJTMIESA-N -1 1 300.775 1.449 20 0 DDADMM CC(C)CCS(=O)(=O)Oc1cccc(F)c1-c1nnn[n-]1 ZINC000824248813 607867393 /nfs/dbraw/zinc/86/73/93/607867393.db2.gz CQGLANIABDDTQL-UHFFFAOYSA-N -1 1 314.342 1.761 20 0 DDADMM C[C@@H](Nc1cccc(-c2nnn[n-]2)n1)[C@H](O)c1ccc(F)cc1 ZINC000824662128 607898822 /nfs/dbraw/zinc/89/88/22/607898822.db2.gz CZZAWLWHFICTQR-OTYXRUKQSA-N -1 1 314.324 1.935 20 0 DDADMM C[C@@H](Nc1cccc(-c2nn[n-]n2)n1)[C@H](O)c1ccc(F)cc1 ZINC000824662128 607898823 /nfs/dbraw/zinc/89/88/23/607898823.db2.gz CZZAWLWHFICTQR-OTYXRUKQSA-N -1 1 314.324 1.935 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)c2cccc(-c3nnn[n-]3)n2)C1(C)C ZINC000825582784 607899757 /nfs/dbraw/zinc/89/97/57/607899757.db2.gz NKYSSBVUGADSHZ-NWDGAFQWSA-N -1 1 302.382 1.902 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)c2cccc(-c3nn[n-]n3)n2)C1(C)C ZINC000825582784 607899758 /nfs/dbraw/zinc/89/97/58/607899758.db2.gz NKYSSBVUGADSHZ-NWDGAFQWSA-N -1 1 302.382 1.902 20 0 DDADMM CN1CCOc2ccc(Nc3cccc(-c4nnn[n-]4)n3)cc21 ZINC000826045519 607900680 /nfs/dbraw/zinc/90/06/80/607900680.db2.gz XXJKBWNXPUOTSH-UHFFFAOYSA-N -1 1 309.333 1.834 20 0 DDADMM CN1CCOc2ccc(Nc3cccc(-c4nn[n-]n4)n3)cc21 ZINC000826045519 607900681 /nfs/dbraw/zinc/90/06/81/607900681.db2.gz XXJKBWNXPUOTSH-UHFFFAOYSA-N -1 1 309.333 1.834 20 0 DDADMM CC(C)c1ccc(NC(=O)Cn2ccnc2-c2nnn[n-]2)cc1 ZINC000824347448 608012391 /nfs/dbraw/zinc/01/23/91/608012391.db2.gz AWNLOHVRKHVSDO-UHFFFAOYSA-N -1 1 311.349 1.825 20 0 DDADMM CC(C)c1ccc(NC(=O)Cn2ccnc2-c2nn[n-]n2)cc1 ZINC000824347448 608012392 /nfs/dbraw/zinc/01/23/92/608012392.db2.gz AWNLOHVRKHVSDO-UHFFFAOYSA-N -1 1 311.349 1.825 20 0 DDADMM CCOC(=O)CC[C@@H](C)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825508343 608027987 /nfs/dbraw/zinc/02/79/87/608027987.db2.gz XIFXDHOQRSDZGD-MRVPVSSYSA-N -1 1 323.378 1.390 20 0 DDADMM CCOC(=O)CC[C@@H](C)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825508343 608027988 /nfs/dbraw/zinc/02/79/88/608027988.db2.gz XIFXDHOQRSDZGD-MRVPVSSYSA-N -1 1 323.378 1.390 20 0 DDADMM C[C@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)OC(C)(C)C ZINC000824721790 608103713 /nfs/dbraw/zinc/10/37/13/608103713.db2.gz DPGQZAGNTAIIBB-QMMMGPOBSA-N -1 1 319.321 1.149 20 0 DDADMM C[C@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)OC(C)(C)C ZINC000824721790 608103714 /nfs/dbraw/zinc/10/37/14/608103714.db2.gz DPGQZAGNTAIIBB-QMMMGPOBSA-N -1 1 319.321 1.149 20 0 DDADMM CC(C)(C)n1cnc(NC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000824152768 608126526 /nfs/dbraw/zinc/12/65/26/608126526.db2.gz MINLKTHAYQHUKG-UHFFFAOYSA-N -1 1 318.366 1.527 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)c2ccc(Cl)c(F)c2)n1 ZINC000826357372 608309826 /nfs/dbraw/zinc/30/98/26/608309826.db2.gz CFJNXVJAUAMNMF-UHFFFAOYSA-N -1 1 321.703 1.645 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)c2ccc(Cl)c(F)c2)n1 ZINC000826357372 608309828 /nfs/dbraw/zinc/30/98/28/608309828.db2.gz CFJNXVJAUAMNMF-UHFFFAOYSA-N -1 1 321.703 1.645 20 0 DDADMM COc1ccc([C@H](C)Nc2ccc(-c3nnn[n-]3)nn2)cc1F ZINC000826189294 608391960 /nfs/dbraw/zinc/39/19/60/608391960.db2.gz SFUNERIWGXEICS-QMMMGPOBSA-N -1 1 315.312 1.978 20 0 DDADMM COc1ccc([C@H](C)Nc2ccc(-c3nn[n-]n3)nn2)cc1F ZINC000826189294 608391962 /nfs/dbraw/zinc/39/19/62/608391962.db2.gz SFUNERIWGXEICS-QMMMGPOBSA-N -1 1 315.312 1.978 20 0 DDADMM O=C1CCc2cc(Oc3ccc(-c4nnn[n-]4)nn3)ccc2N1 ZINC000826480487 608395863 /nfs/dbraw/zinc/39/58/63/608395863.db2.gz DFRYCQLLGSXDQW-UHFFFAOYSA-N -1 1 309.289 1.334 20 0 DDADMM O=C1CCc2cc(Oc3ccc(-c4nn[n-]n4)nn3)ccc2N1 ZINC000826480487 608395864 /nfs/dbraw/zinc/39/58/64/608395864.db2.gz DFRYCQLLGSXDQW-UHFFFAOYSA-N -1 1 309.289 1.334 20 0 DDADMM COc1ccc2c(c1)CN(c1ccc(-c3nnn[n-]3)nn1)CC2 ZINC000826213123 608405238 /nfs/dbraw/zinc/40/52/38/608405238.db2.gz LSZLCNIOGWSCQS-UHFFFAOYSA-N -1 1 309.333 1.228 20 0 DDADMM COc1ccc2c(c1)CN(c1ccc(-c3nn[n-]n3)nn1)CC2 ZINC000826213123 608405240 /nfs/dbraw/zinc/40/52/40/608405240.db2.gz LSZLCNIOGWSCQS-UHFFFAOYSA-N -1 1 309.333 1.228 20 0 DDADMM CCc1nc([C@@H](C)Nc2ccc(-c3nnn[n-]3)nn2)cs1 ZINC000825745394 608416958 /nfs/dbraw/zinc/41/69/58/608416958.db2.gz LHIOCXUNXXTUAL-SSDOTTSWSA-N -1 1 302.367 1.849 20 0 DDADMM CCc1nc([C@@H](C)Nc2ccc(-c3nn[n-]n3)nn2)cs1 ZINC000825745394 608416959 /nfs/dbraw/zinc/41/69/59/608416959.db2.gz LHIOCXUNXXTUAL-SSDOTTSWSA-N -1 1 302.367 1.849 20 0 DDADMM c1cnn(-c2ccc(Oc3ccc(-c4nnn[n-]4)nn3)cc2)c1 ZINC000826526164 608422482 /nfs/dbraw/zinc/42/24/82/608422482.db2.gz NOSMUMZMYYRTNG-UHFFFAOYSA-N -1 1 306.289 1.635 20 0 DDADMM c1cnn(-c2ccc(Oc3ccc(-c4nn[n-]n4)nn3)cc2)c1 ZINC000826526164 608422483 /nfs/dbraw/zinc/42/24/83/608422483.db2.gz NOSMUMZMYYRTNG-UHFFFAOYSA-N -1 1 306.289 1.635 20 0 DDADMM CCOc1cccc(CCNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000825649091 608434075 /nfs/dbraw/zinc/43/40/75/608434075.db2.gz KYYJHHKAUJBHIB-UHFFFAOYSA-N -1 1 311.349 1.710 20 0 DDADMM CCOc1cccc(CCNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000825649091 608434076 /nfs/dbraw/zinc/43/40/76/608434076.db2.gz KYYJHHKAUJBHIB-UHFFFAOYSA-N -1 1 311.349 1.710 20 0 DDADMM c1csc(N2CCN(Cc3ccc(-c4nnn[n-]4)o3)CC2)n1 ZINC000826526548 608512069 /nfs/dbraw/zinc/51/20/69/608512069.db2.gz CUFBZTUZKXYWJG-UHFFFAOYSA-N -1 1 317.378 1.238 20 0 DDADMM c1csc(N2CCN(Cc3ccc(-c4nn[n-]n4)o3)CC2)n1 ZINC000826526548 608512070 /nfs/dbraw/zinc/51/20/70/608512070.db2.gz CUFBZTUZKXYWJG-UHFFFAOYSA-N -1 1 317.378 1.238 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1N[C@@H]1c2ccccc2C[C@@H]1O ZINC000826280946 608544812 /nfs/dbraw/zinc/54/48/12/608544812.db2.gz WYLSDFFQPTXXDO-UONOGXRCSA-N -1 1 308.345 1.640 20 0 DDADMM Brc1ccc(Cn2ccnc2-c2nnn[n-]2)nc1 ZINC000823826021 608893662 /nfs/dbraw/zinc/89/36/62/608893662.db2.gz AFZHFJYGVVDIJY-UHFFFAOYSA-N -1 1 306.127 1.269 20 0 DDADMM Brc1ccc(Cn2ccnc2-c2nn[n-]n2)nc1 ZINC000823826021 608893663 /nfs/dbraw/zinc/89/36/63/608893663.db2.gz AFZHFJYGVVDIJY-UHFFFAOYSA-N -1 1 306.127 1.269 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccc2cccnn21 ZINC000826450806 609294378 /nfs/dbraw/zinc/29/43/78/609294378.db2.gz DXIMRWJLMLMXRW-UHFFFAOYSA-N -1 1 321.300 1.472 20 0 DDADMM CC[C@@H]1CC[C@H](C)N1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825194749 609470388 /nfs/dbraw/zinc/47/03/88/609470388.db2.gz SNYGWRSVIUEHNZ-WCBMZHEXSA-N -1 1 304.354 1.495 20 0 DDADMM CC[C@@H]1CC[C@H](C)N1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825194749 609470389 /nfs/dbraw/zinc/47/03/89/609470389.db2.gz SNYGWRSVIUEHNZ-WCBMZHEXSA-N -1 1 304.354 1.495 20 0 DDADMM Cn1cc(CC(=O)Nc2nc(Br)ccc2[O-])cn1 ZINC000121485237 696710851 /nfs/dbraw/zinc/71/08/51/696710851.db2.gz CHRIORSDMXDSOG-UHFFFAOYSA-N -1 1 311.139 1.464 20 0 DDADMM Cc1cc(C(=O)OCCc2c(C)nc3nc[n-]n3c2=O)cs1 ZINC000797273675 700002453 /nfs/dbraw/zinc/00/24/53/700002453.db2.gz LBCCAWWVWDSUSL-UHFFFAOYSA-N -1 1 318.358 1.495 20 0 DDADMM Cc1occc1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000973853110 695567428 /nfs/dbraw/zinc/56/74/28/695567428.db2.gz JGMRKSPHRHUPGU-PHIMTYICSA-N -1 1 315.329 1.379 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975261553 695821302 /nfs/dbraw/zinc/82/13/02/695821302.db2.gz VRESKYFQCOLURJ-VXGBXAGGSA-N -1 1 323.368 1.504 20 0 DDADMM C[C@H](CC(=O)N1CCC(O)(c2nn[n-]n2)CC1)c1ccccc1 ZINC000798145847 700044146 /nfs/dbraw/zinc/04/41/46/700044146.db2.gz RQPBRVZNBTXEJK-GFCCVEGCSA-N -1 1 315.377 1.204 20 0 DDADMM CC(C)c1ccc(CCNC=C2C(=O)[N-]C(=S)NC2=O)cc1 ZINC000031435013 696120408 /nfs/dbraw/zinc/12/04/08/696120408.db2.gz DBHUSYQFEOKWBJ-UHFFFAOYSA-N -1 1 317.414 1.357 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCOc2ccccc2)n1 ZINC000043159346 696153552 /nfs/dbraw/zinc/15/35/52/696153552.db2.gz FZSWKOWEQUQGJJ-UHFFFAOYSA-N -1 1 303.322 1.190 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCc2ccccc2)o1 ZINC000046315655 696183826 /nfs/dbraw/zinc/18/38/26/696183826.db2.gz KJUJXDRUQSUYCL-UHFFFAOYSA-N -1 1 323.370 1.977 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977618706 696236778 /nfs/dbraw/zinc/23/67/78/696236778.db2.gz NVSIVJUOGXFFCH-QNCMIEPLSA-N -1 1 303.362 1.426 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(C2CCCCC2)cc1 ZINC000053286140 696265397 /nfs/dbraw/zinc/26/53/97/696265397.db2.gz IGSGASIUVKLTCY-UHFFFAOYSA-N -1 1 301.346 1.931 20 0 DDADMM O=C(N[N-]C(=O)c1cccs1)Nc1ccc2c(c1)OCO2 ZINC000054377724 696275662 /nfs/dbraw/zinc/27/56/62/696275662.db2.gz QCTPMEKZNRBGNO-UHFFFAOYSA-N -1 1 305.315 1.943 20 0 DDADMM CCn1c(CNC(=O)c2cc3c([nH]c2=O)CCC3)n[n-]c1=S ZINC000066636830 696354471 /nfs/dbraw/zinc/35/44/71/696354471.db2.gz OYLIDVSVPJQWGR-UHFFFAOYSA-N -1 1 319.390 1.068 20 0 DDADMM CN(C)c1ccc(CN(C)C(=O)Cc2sc(N)nc2[O-])cc1 ZINC000079366819 696460116 /nfs/dbraw/zinc/46/01/16/696460116.db2.gz RYGFDYOVPUHBDY-GFCCVEGCSA-N -1 1 320.418 1.058 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H]2CCc3cc(F)ccc32)s1 ZINC000079742902 696463154 /nfs/dbraw/zinc/46/31/54/696463154.db2.gz SNPCKHKLTZVHKC-MNOVXSKESA-N -1 1 307.350 1.276 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCCCc3ccccc32)s1 ZINC000080023776 696465962 /nfs/dbraw/zinc/46/59/62/696465962.db2.gz BLQCGTUJYBMJFH-GFCCVEGCSA-N -1 1 303.387 1.703 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@H](Cc3ccccc3)C2)s1 ZINC000080454098 696534905 /nfs/dbraw/zinc/53/49/05/696534905.db2.gz TZINFWQQAKZLRA-CHWSQXEVSA-N -1 1 317.414 1.424 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc(Cl)ccc1F)NC1CC1 ZINC000096743313 696604481 /nfs/dbraw/zinc/60/44/81/696604481.db2.gz AOCKCTZHDDSGBA-UHFFFAOYSA-N -1 1 306.746 1.036 20 0 DDADMM CC(C)c1cc(C[N-]S(=O)(=O)c2ncn(C)c2Cl)on1 ZINC000114499413 696661036 /nfs/dbraw/zinc/66/10/36/696661036.db2.gz JTRNAAVIMQPUEN-UHFFFAOYSA-N -1 1 318.786 1.663 20 0 DDADMM CC(=O)N[C@H]1C[C@H](CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000980107555 696686885 /nfs/dbraw/zinc/68/68/85/696686885.db2.gz RIZBNEUOCGQCSZ-HAQNSBGRSA-N -1 1 320.393 1.259 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccc1Cl ZINC000120801364 696701082 /nfs/dbraw/zinc/70/10/82/696701082.db2.gz SOIUBWLQDDABIT-GMSGAONNSA-N -1 1 311.794 1.864 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCc2ccccc2)o1 ZINC000120874679 696702771 /nfs/dbraw/zinc/70/27/71/696702771.db2.gz JERJDXYSNWXMLC-UHFFFAOYSA-N -1 1 309.343 1.587 20 0 DDADMM CCN(CC(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccc1 ZINC000124104739 696739044 /nfs/dbraw/zinc/73/90/44/696739044.db2.gz LLMBQXUBPHQSMY-LBPRGKRZSA-N -1 1 302.382 1.288 20 0 DDADMM CC(C)COC[C@H](O)CNC(=O)c1c([O-])cccc1Cl ZINC000748404703 700116606 /nfs/dbraw/zinc/11/66/06/700116606.db2.gz ILXCXMBVGNDWHM-SNVBAGLBSA-N -1 1 301.770 1.809 20 0 DDADMM CC(C)c1ncc(S(=O)(=O)Oc2ccccc2CC(N)=O)[n-]1 ZINC000151935962 696892464 /nfs/dbraw/zinc/89/24/64/696892464.db2.gz DRRDJOGSGFKLMN-UHFFFAOYSA-N -1 1 323.374 1.329 20 0 DDADMM CC(C)c1nc(S(=O)(=O)Oc2ccccc2CC(N)=O)c[n-]1 ZINC000151935962 696892467 /nfs/dbraw/zinc/89/24/67/696892467.db2.gz DRRDJOGSGFKLMN-UHFFFAOYSA-N -1 1 323.374 1.329 20 0 DDADMM COc1ccc(NC(=O)C(C)(C)F)cc1[N-]S(C)(=O)=O ZINC000152849618 696905572 /nfs/dbraw/zinc/90/55/72/696905572.db2.gz BRHKCDOMKYYKAT-UHFFFAOYSA-N -1 1 304.343 1.753 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1cccc(F)c1F ZINC000153192824 696911038 /nfs/dbraw/zinc/91/10/38/696911038.db2.gz CGZHCILAWDVPDN-MUWHJKNJSA-N -1 1 313.329 1.489 20 0 DDADMM CS[C@H](C)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982483514 697137646 /nfs/dbraw/zinc/13/76/46/697137646.db2.gz IGGLDMIHSURWJZ-MNOVXSKESA-N -1 1 323.418 1.117 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982628724 697161865 /nfs/dbraw/zinc/16/18/65/697161865.db2.gz ZPUJGEIKNBNIKC-JPOQUURFSA-N -1 1 303.362 1.332 20 0 DDADMM O=C(CC1CC1)N[C@@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000983411435 697258404 /nfs/dbraw/zinc/25/84/04/697258404.db2.gz KFUBXIBHTYHQDP-CHWSQXEVSA-N -1 1 317.389 1.745 20 0 DDADMM NCC(F)(F)C[N-]S(=O)(=O)/C=C/c1ccc(Cl)cc1 ZINC000162651045 697329617 /nfs/dbraw/zinc/32/96/17/697329617.db2.gz OCLVPPJEEKTKKB-AATRIKPKSA-N -1 1 310.753 1.824 20 0 DDADMM CC1N=NC(C(=O)Nc2ccc(N3CCC(O)CC3)cc2)=C1[O-] ZINC000749203419 700151017 /nfs/dbraw/zinc/15/10/17/700151017.db2.gz LRHIVCKDQAMBHU-UHFFFAOYSA-N -1 1 316.361 1.637 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CC2(C)CC2)CC1 ZINC000985538760 697526672 /nfs/dbraw/zinc/52/66/72/697526672.db2.gz ONMRZORWNJHYAE-UHFFFAOYSA-N -1 1 307.398 1.123 20 0 DDADMM CC[C@]1(CO)CCCN(C(=O)c2nnc3ccccc3c2O)C1 ZINC000187232052 697542947 /nfs/dbraw/zinc/54/29/47/697542947.db2.gz FIUSTZAIYLDXCS-KRWDZBQOSA-N -1 1 315.373 1.548 20 0 DDADMM C[C@H](CNC(=O)c1ccc(C#N)c([O-])c1)CC1(C)OCCO1 ZINC000188542299 697561388 /nfs/dbraw/zinc/56/13/88/697561388.db2.gz RQDHBJMCAKVDMS-NSHDSACASA-N -1 1 304.346 1.783 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2c[nH]cc(C)c2=O)c1 ZINC000772262723 697615828 /nfs/dbraw/zinc/61/58/28/697615828.db2.gz XLEWWZCEKUTUQP-UHFFFAOYSA-N -1 1 315.325 1.991 20 0 DDADMM O=C(Cc1ccccc1F)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773158391 697720438 /nfs/dbraw/zinc/72/04/38/697720438.db2.gz NYEAZDHQTSAGIQ-NSHDSACASA-N -1 1 307.354 1.198 20 0 DDADMM O=C(CCCc1ccccc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773160077 697721371 /nfs/dbraw/zinc/72/13/71/697721371.db2.gz GXTHIGPKKSPOHV-ZDUSSCGKSA-N -1 1 317.418 1.839 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1=CCCCCC1 ZINC000986187026 697725405 /nfs/dbraw/zinc/72/54/05/697725405.db2.gz JNQBRGFZAKKLSP-YPMHNXCESA-N -1 1 319.409 1.480 20 0 DDADMM COc1cccnc1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774406467 697875739 /nfs/dbraw/zinc/87/57/39/697875739.db2.gz QKQAGPXQMVSCJG-UHFFFAOYSA-N -1 1 301.331 1.674 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)C[C@@H]2CCOC2)o1 ZINC000774713168 697917679 /nfs/dbraw/zinc/91/76/79/697917679.db2.gz DSHLNZZZCBKOPJ-ZJUUUORDSA-N -1 1 317.363 1.160 20 0 DDADMM Cc1ccc(CC(=O)OCCC[N-]C(=O)C(F)(F)F)cn1 ZINC000774932543 697943566 /nfs/dbraw/zinc/94/35/66/697943566.db2.gz HJLOTYRUFAQWGP-UHFFFAOYSA-N -1 1 304.268 1.544 20 0 DDADMM O=C([N-]CCCOC(=O)[C@@H]1Cc2ccccc21)C(F)(F)F ZINC000774960192 697947639 /nfs/dbraw/zinc/94/76/39/697947639.db2.gz GYGWGKWUSOGVDL-LLVKDONJSA-N -1 1 301.264 1.938 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccc(O)cc1F ZINC000776120899 698069217 /nfs/dbraw/zinc/06/92/17/698069217.db2.gz GYPSDFRQJIYZRK-ZDUSSCGKSA-N -1 1 313.292 1.564 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1=CCCCC1 ZINC000988363674 698337150 /nfs/dbraw/zinc/33/71/50/698337150.db2.gz DFMVIZOFXPFLSF-YPMHNXCESA-N -1 1 319.409 1.480 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCOC[C@@H]1c1ncon1 ZINC000778952402 698386469 /nfs/dbraw/zinc/38/64/69/698386469.db2.gz RHZFAEMSATZKQK-SECBINFHSA-N -1 1 309.709 1.642 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCOC[C@H]1[C@H]1CCCC1=O ZINC000779075230 698393903 /nfs/dbraw/zinc/39/39/03/698393903.db2.gz XAQVICITHXXKSW-YPMHNXCESA-N -1 1 307.321 1.742 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)c1ccncc1 ZINC000750477585 700223624 /nfs/dbraw/zinc/22/36/24/700223624.db2.gz UWQCUUKYZZLVSE-GFCCVEGCSA-N -1 1 316.361 1.472 20 0 DDADMM [O-]C([NH+]=c1cc[nH]cc1)=C([O-])[C@@H](O)c1nc2ccccc2s1 ZINC000779474740 698426423 /nfs/dbraw/zinc/42/64/23/698426423.db2.gz DTZWQCNYDFDXIQ-CHWSQXEVSA-N -1 1 315.354 1.146 20 0 DDADMM Cc1nc(CN[C@@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)co1 ZINC000988692855 698439636 /nfs/dbraw/zinc/43/96/36/698439636.db2.gz XIFQMUHSKONWJI-ZYHUDNBSSA-N -1 1 316.361 1.476 20 0 DDADMM Cc1csc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)c1 ZINC000988794365 698467174 /nfs/dbraw/zinc/46/71/74/698467174.db2.gz UZSIZELEHUBCLF-ZJUUUORDSA-N -1 1 321.406 1.273 20 0 DDADMM CCOCCCN(C[C@@H](C)C(=O)[O-])C(=O)c1cc(CC)[nH]n1 ZINC000262467412 698470752 /nfs/dbraw/zinc/47/07/52/698470752.db2.gz ZDMWIXZHKWCPEY-LLVKDONJSA-N -1 1 311.382 1.562 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000263335393 698500440 /nfs/dbraw/zinc/50/04/40/698500440.db2.gz MJCULOWQKGGLCG-MFKMUULPSA-N -1 1 314.345 1.655 20 0 DDADMM CC(C)(NC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1)C(=O)[O-] ZINC000780561636 698531873 /nfs/dbraw/zinc/53/18/73/698531873.db2.gz ZANCHOPSJRMXFF-UHFFFAOYSA-N -1 1 321.312 1.273 20 0 DDADMM CC(C)(NC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1)C(=O)[O-] ZINC000780561636 698531876 /nfs/dbraw/zinc/53/18/76/698531876.db2.gz ZANCHOPSJRMXFF-UHFFFAOYSA-N -1 1 321.312 1.273 20 0 DDADMM CC(C)Cn1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)cn1 ZINC000781691576 698640524 /nfs/dbraw/zinc/64/05/24/698640524.db2.gz FOSQPONKSUMUEV-UHFFFAOYSA-N -1 1 321.299 1.765 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccco1)c1cc(F)ccc1F ZINC000305224387 698642254 /nfs/dbraw/zinc/64/22/54/698642254.db2.gz XRWIWSRWDZCVSX-JTQLQIEISA-N -1 1 303.286 1.570 20 0 DDADMM CCOC(=O)CCN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000314966348 698693278 /nfs/dbraw/zinc/69/32/78/698693278.db2.gz CAYPZXSPQIUABA-UHFFFAOYSA-N -1 1 309.366 1.639 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCCSC(C)C ZINC000800867754 700251063 /nfs/dbraw/zinc/25/10/63/700251063.db2.gz LDGLGRVIYMXDGS-UHFFFAOYSA-N -1 1 304.437 1.829 20 0 DDADMM COc1nnc([N-]C(=O)c2coc(C3CCOCC3)n2)s1 ZINC000800953346 700257226 /nfs/dbraw/zinc/25/72/26/700257226.db2.gz UKABVYPZLNNQPD-UHFFFAOYSA-N -1 1 310.335 1.681 20 0 DDADMM O=C(COC(=O)CCn1cccc1)[N-]C(=O)c1ccccc1 ZINC000750941393 700259254 /nfs/dbraw/zinc/25/92/54/700259254.db2.gz XBHMFVBQXPEQQZ-UHFFFAOYSA-N -1 1 300.314 1.378 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@H]1CCC(=O)NC1 ZINC000783905851 698890506 /nfs/dbraw/zinc/89/05/06/698890506.db2.gz MQGJFZHKCVFPCO-LURJTMIESA-N -1 1 314.139 1.014 20 0 DDADMM O=C([N-]C1CN(C(=O)c2cccnc2C(F)(F)F)C1)C(F)F ZINC000990023873 698903035 /nfs/dbraw/zinc/90/30/35/698903035.db2.gz DGRMILOULLMHPE-UHFFFAOYSA-N -1 1 323.221 1.306 20 0 DDADMM O=C(Cc1ccsc1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000990123179 698962335 /nfs/dbraw/zinc/96/23/35/698962335.db2.gz GWCCTYCDQAFVIY-UHFFFAOYSA-N -1 1 317.370 1.032 20 0 DDADMM COc1cc(C(=O)NC2(C(N)=O)CCCC2)cc(Cl)c1[O-] ZINC000785411843 699074608 /nfs/dbraw/zinc/07/46/08/699074608.db2.gz XYWVHCBOQOLAPD-UHFFFAOYSA-N -1 1 312.753 1.582 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@@H]1CCCOC1 ZINC000389190926 699088066 /nfs/dbraw/zinc/08/80/66/699088066.db2.gz MUHNXMZAJWIISR-DTWKUNHWSA-N -1 1 307.803 1.167 20 0 DDADMM COCCN1CCC[C@H](NC(=O)[C@@H]2CCCC[C@@H]2C(=O)[O-])C1 ZINC000399519353 699123185 /nfs/dbraw/zinc/12/31/85/699123185.db2.gz QFAKLDPCBIEQPS-MJBXVCDLSA-N -1 1 312.410 1.104 20 0 DDADMM CC[C@@H](C)c1ccccc1N1C[C@@H](C(=O)[N-]OCCO)CC1=O ZINC000787292250 699203109 /nfs/dbraw/zinc/20/31/09/699203109.db2.gz KFFRNNWBFNFKDV-OLZOCXBDSA-N -1 1 320.389 1.593 20 0 DDADMM CC1(C)COC[C@@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703157462 699235618 /nfs/dbraw/zinc/23/56/18/699235618.db2.gz ISAIIVAAAHROHJ-QMMMGPOBSA-N -1 1 308.762 1.577 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2ccc(F)cn2)co1 ZINC000787759704 699239089 /nfs/dbraw/zinc/23/90/89/699239089.db2.gz MQDORCSLDUSCJN-UHFFFAOYSA-N -1 1 314.294 1.079 20 0 DDADMM O=C(NC[C@H]1C[C@H](O)C1)c1cc(Br)ccc1[O-] ZINC000720983841 699310083 /nfs/dbraw/zinc/31/00/83/699310083.db2.gz NCWKBLUVRINJSO-XWEPSHTISA-N -1 1 300.152 1.655 20 0 DDADMM CN(Cc1ccc(Cl)cc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000726865648 699385875 /nfs/dbraw/zinc/38/58/75/699385875.db2.gz FHROPJFOXPVCQX-UHFFFAOYSA-N -1 1 321.764 1.239 20 0 DDADMM O=C(Nc1cccc(OC(F)(F)F)c1)NN1CC(=O)[N-]C1=O ZINC000789837537 699414000 /nfs/dbraw/zinc/41/40/00/699414000.db2.gz ZSFNHVJWWVQSBK-UHFFFAOYSA-N -1 1 318.211 1.174 20 0 DDADMM O=C(NC1CC(CNC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000991842336 699418802 /nfs/dbraw/zinc/41/88/02/699418802.db2.gz IHFDFKMKUIYFQH-UHFFFAOYSA-N -1 1 303.362 1.212 20 0 DDADMM C[C@@H](c1ccccc1F)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727850422 699428168 /nfs/dbraw/zinc/42/81/68/699428168.db2.gz XYECTDAMCIYUMJ-NSHDSACASA-N -1 1 319.336 1.285 20 0 DDADMM CCCc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000727849327 699428297 /nfs/dbraw/zinc/42/82/97/699428297.db2.gz XMMZVYFFZABGAG-UHFFFAOYSA-N -1 1 301.346 1.518 20 0 DDADMM C[C@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000727853302 699428554 /nfs/dbraw/zinc/42/85/54/699428554.db2.gz JISXFBQXLVFWNB-CYBMUJFWSA-N -1 1 315.373 1.237 20 0 DDADMM O=C(CNC(=O)c1ccsc1)Nc1nc(Cl)ccc1[O-] ZINC000790047120 699437473 /nfs/dbraw/zinc/43/74/73/699437473.db2.gz GFAGKMGUULCJOB-UHFFFAOYSA-N -1 1 311.750 1.871 20 0 DDADMM CCC[C@@H]1CCCC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000728310215 699443780 /nfs/dbraw/zinc/44/37/80/699443780.db2.gz NWJAJOXSYCIKNC-CHWSQXEVSA-N -1 1 307.394 1.402 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cn2ccccc2n1 ZINC000730589424 699513181 /nfs/dbraw/zinc/51/31/81/699513181.db2.gz IIDSACYBSRPVEL-AWEZNQCLSA-N -1 1 319.328 1.367 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc2ccc(Br)cc2[nH]1 ZINC000731888745 699539871 /nfs/dbraw/zinc/53/98/71/699539871.db2.gz ZHSHRYDMPJQDAF-UHFFFAOYSA-N -1 1 321.138 1.374 20 0 DDADMM O=C(C=Cc1ccccc1OC(F)(F)F)NCc1nn[n-]n1 ZINC000731887390 699539883 /nfs/dbraw/zinc/53/98/83/699539883.db2.gz JZUMXYFWIWIPKA-WAYWQWQTSA-N -1 1 313.239 1.428 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc2c(ccc(Cl)c2Cl)[nH]1 ZINC000732157783 699548338 /nfs/dbraw/zinc/54/83/38/699548338.db2.gz GVVJUVPUKLJYLX-UHFFFAOYSA-N -1 1 311.132 1.918 20 0 DDADMM CN(Cc1nc2ccccc2c(=O)[nH]1)C(=O)c1cncc([O-])c1 ZINC000732258169 699552050 /nfs/dbraw/zinc/55/20/50/699552050.db2.gz HBSHLNRSODLTMK-UHFFFAOYSA-N -1 1 310.313 1.708 20 0 DDADMM CC[C@H](Cc1ccccc1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732766680 699569265 /nfs/dbraw/zinc/56/92/65/699569265.db2.gz KBLBSKURADATTG-ZIAGYGMSSA-N -1 1 315.377 1.369 20 0 DDADMM Cn1nnnc1COC(=O)c1ccc(Cl)c(Cl)c1[O-] ZINC000733489744 699600228 /nfs/dbraw/zinc/60/02/28/699600228.db2.gz KRJIYUQBZXOZNQ-UHFFFAOYSA-N -1 1 303.105 1.580 20 0 DDADMM CCSc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cn1 ZINC000736666697 699729828 /nfs/dbraw/zinc/72/98/28/699729828.db2.gz MPTZRQRKFRDXBV-UHFFFAOYSA-N -1 1 318.406 1.727 20 0 DDADMM CSc1ccc(C)c(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c1 ZINC000737191230 699737292 /nfs/dbraw/zinc/73/72/92/699737292.db2.gz AOASPWXWPJKDAB-LBPRGKRZSA-N -1 1 319.390 1.444 20 0 DDADMM CC(C)CCNC(=O)[C@@H](C)N1CCC([C@@H](C)NC(=O)[O-])CC1 ZINC000738817839 699766514 /nfs/dbraw/zinc/76/65/14/699766514.db2.gz HFDQCUGDVIJWJB-CHWSQXEVSA-N -1 1 313.442 1.905 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(CC(=O)N2CCC[C@@H](C)C2)CC1 ZINC000739102983 699770911 /nfs/dbraw/zinc/77/09/11/699770911.db2.gz JTLUHKAKVCHSRF-CHWSQXEVSA-N -1 1 311.426 1.613 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1cnc(Cl)c(Cl)c1 ZINC000742324784 699873562 /nfs/dbraw/zinc/87/35/62/699873562.db2.gz DKUKVUWRPWZBQU-UHFFFAOYSA-N -1 1 323.161 1.558 20 0 DDADMM CC(C)(C)OC(=O)NCc1ccc(C(=O)Nc2nn[n-]n2)o1 ZINC000742685954 699886655 /nfs/dbraw/zinc/88/66/55/699886655.db2.gz CNHVOZOSCGIKKB-UHFFFAOYSA-N -1 1 308.298 1.070 20 0 DDADMM Cc1ncc(Cl)c(C(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC000801701600 700331983 /nfs/dbraw/zinc/33/19/83/700331983.db2.gz BIYNVTVKANLEMC-UHFFFAOYSA-N -1 1 302.725 1.346 20 0 DDADMM CC(=O)Nc1ccc(CC(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000801703368 700332810 /nfs/dbraw/zinc/33/28/10/700332810.db2.gz MMOKSEXSLRHLIS-UHFFFAOYSA-N -1 1 323.356 1.481 20 0 DDADMM C[C@H](Oc1ccc(F)cc1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801704419 700333055 /nfs/dbraw/zinc/33/30/55/700333055.db2.gz LMUMORVSYIVEKC-JTQLQIEISA-N -1 1 314.320 1.887 20 0 DDADMM Cc1nc2n(n1)CCN(C(=O)c1c(C)nc(C(C)C)[n-]c1=O)C2 ZINC000752709471 700380912 /nfs/dbraw/zinc/38/09/12/700380912.db2.gz XXTWVKSBRSGKHF-UHFFFAOYSA-N -1 1 316.365 1.170 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C2CCC(C)(O)CC2)c1 ZINC000754022644 700476669 /nfs/dbraw/zinc/47/66/69/700476669.db2.gz PBVVPLJCMAUQAI-UHFFFAOYSA-N -1 1 317.363 1.038 20 0 DDADMM COc1cc(C(=O)NCC2(C)OCCCO2)cc(Cl)c1[O-] ZINC000754724158 700522269 /nfs/dbraw/zinc/52/22/69/700522269.db2.gz PYBXOVSMRQBRAK-UHFFFAOYSA-N -1 1 315.753 1.937 20 0 DDADMM O=C(/C=C\c1ccncn1)OCCC[N-]C(=O)C(F)(F)F ZINC000754931772 700539091 /nfs/dbraw/zinc/53/90/91/700539091.db2.gz PXHZXYJMQANFEU-IHWYPQMZSA-N -1 1 303.240 1.102 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H]2C[C@@H](C)O[C@@H](C)C2)o1 ZINC000756049457 700600841 /nfs/dbraw/zinc/60/08/41/700600841.db2.gz APFPYMADPCNPLT-ILWJIGKKSA-N -1 1 317.363 1.301 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NOCC(F)F ZINC000760142988 700793367 /nfs/dbraw/zinc/79/33/67/700793367.db2.gz WMIQAVLJXXTGQY-UHFFFAOYSA-N -1 1 314.292 1.297 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H](O)c1ccccc1Cl ZINC000765052720 700996879 /nfs/dbraw/zinc/99/68/79/700996879.db2.gz VYZJGSRGNCBLQP-LLVKDONJSA-N -1 1 321.768 1.472 20 0 DDADMM CC(C)Oc1cccc(CC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765458443 701013698 /nfs/dbraw/zinc/01/36/98/701013698.db2.gz FHTASOTVDCHEAN-UHFFFAOYSA-N -1 1 305.334 1.182 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(-n3cccc3)c(F)c2)nc1=O ZINC000765462470 701013790 /nfs/dbraw/zinc/01/37/90/701013790.db2.gz BIOCEBBCUFKQBJ-UHFFFAOYSA-N -1 1 316.292 1.395 20 0 DDADMM C[C@H](CSCc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765504353 701016556 /nfs/dbraw/zinc/01/65/56/701016556.db2.gz ZVJRHGBOKAJBQG-LLVKDONJSA-N -1 1 321.402 1.721 20 0 DDADMM CS(=O)(=O)CC1(COC(=O)c2c([O-])cc(F)cc2F)CC1 ZINC000767616262 701123139 /nfs/dbraw/zinc/12/31/39/701123139.db2.gz DBWFBRLKKTZRGD-UHFFFAOYSA-N -1 1 320.313 1.652 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H]1COC(C)(C)O1 ZINC000769289906 701239690 /nfs/dbraw/zinc/23/96/90/701239690.db2.gz XHMGMAZNMJMMNH-QMMMGPOBSA-N -1 1 313.379 1.094 20 0 DDADMM COC(=O)C1(O)CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000770251819 701272954 /nfs/dbraw/zinc/27/29/54/701272954.db2.gz NPOVGQZGWINIRB-UHFFFAOYSA-N -1 1 313.737 1.186 20 0 DDADMM CC[C@H](C)n1ncc(NC(=O)NN2CC(=O)[N-]C2=O)c1C1CC1 ZINC000770622708 701286523 /nfs/dbraw/zinc/28/65/23/701286523.db2.gz UVLJMVGQHNOGBR-QMMMGPOBSA-N -1 1 320.353 1.320 20 0 DDADMM O=C(Nc1cc(CC2CC2)[nH]n1)c1ccc2n[n-]c(=S)n2c1 ZINC000830877175 706595351 /nfs/dbraw/zinc/59/53/51/706595351.db2.gz LZGWUWIVKRSHTH-UHFFFAOYSA-N -1 1 314.374 1.946 20 0 DDADMM Cn1ncc(CNC(=O)c2ccc(C(F)(F)F)cc2[O-])n1 ZINC000805207115 701371908 /nfs/dbraw/zinc/37/19/08/701371908.db2.gz WKKQZTMWJJLAQR-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM COc1ccc(C(=O)[C@@H](C)OC(=O)c2cn[n-]n2)cc1Cl ZINC000805603447 701397494 /nfs/dbraw/zinc/39/74/94/701397494.db2.gz MWUSYNRASITYKB-SSDOTTSWSA-N -1 1 309.709 1.895 20 0 DDADMM Cc1ccc(SCCNC(=O)COC(=O)c2cn[n-]n2)cc1 ZINC000805603975 701397570 /nfs/dbraw/zinc/39/75/70/701397570.db2.gz ZGUBYZQBIWVMIZ-UHFFFAOYSA-N -1 1 320.374 1.178 20 0 DDADMM O=C(OCc1ccc(Cl)cc1-n1cncn1)c1cn[n-]n1 ZINC000805607928 701399597 /nfs/dbraw/zinc/39/95/97/701399597.db2.gz UKBQRRJXPUHRCI-UHFFFAOYSA-N -1 1 304.697 1.396 20 0 DDADMM COc1ccc(-c2nc(COC(=O)c3cn[n-]n3)co2)cc1 ZINC000805608229 701399611 /nfs/dbraw/zinc/39/96/11/701399611.db2.gz BLPPLFNPIWWDOK-UHFFFAOYSA-N -1 1 300.274 1.825 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)Nc1ncc(Cl)cc1Cl ZINC000805609510 701399742 /nfs/dbraw/zinc/39/97/42/701399742.db2.gz SEJFZTXRQBQQCS-UHFFFAOYSA-N -1 1 316.104 1.302 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)Cc1ccc(Br)cc1 ZINC000805608611 701399875 /nfs/dbraw/zinc/39/98/75/701399875.db2.gz HJNXMXRDFOOEMD-UHFFFAOYSA-N -1 1 324.134 1.536 20 0 DDADMM CCOc1ccccc1NC(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805608782 701399895 /nfs/dbraw/zinc/39/98/95/701399895.db2.gz HYLLIMURQQTVQD-VIFPVBQESA-N -1 1 304.306 1.387 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCNc3ccccc3)ccnc1-2 ZINC000806489916 701437793 /nfs/dbraw/zinc/43/77/93/701437793.db2.gz IESQNRGIGMQRQX-UHFFFAOYSA-N -1 1 310.361 1.575 20 0 DDADMM CCOCCC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807708409 701484383 /nfs/dbraw/zinc/48/43/83/701484383.db2.gz UDHMFMHSRPHVBA-NHCYSSNCSA-N -1 1 324.343 1.717 20 0 DDADMM Cc1csc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c1 ZINC000830953775 706609944 /nfs/dbraw/zinc/60/99/44/706609944.db2.gz ZIHQDEGFGKDEPO-UHFFFAOYSA-N -1 1 305.359 1.555 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@H]1CC2CCC1CC2 ZINC000830952823 706609960 /nfs/dbraw/zinc/60/99/60/706609960.db2.gz MZLSKXPFRSAAFV-MCIGGMRASA-N -1 1 317.389 1.697 20 0 DDADMM CNC(=O)CCOC1CCN(Cc2cc(C)c(C(=O)[O-])o2)CC1 ZINC000830987277 706615847 /nfs/dbraw/zinc/61/58/47/706615847.db2.gz HOIJVKWJRAVWGP-UHFFFAOYSA-N -1 1 324.377 1.403 20 0 DDADMM COC1([C@@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)CCC1 ZINC000839877243 701855001 /nfs/dbraw/zinc/85/50/01/701855001.db2.gz KMQVVENPKPXLMT-SECBINFHSA-N -1 1 306.391 1.705 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCc2cncs2)co1 ZINC000811122149 701917266 /nfs/dbraw/zinc/91/72/66/701917266.db2.gz NRTSYIKREUFKKP-UHFFFAOYSA-N -1 1 316.360 1.044 20 0 DDADMM CCCn1cc([N-]S(=O)(=O)CCOCC(F)(F)F)cn1 ZINC000811686660 702026863 /nfs/dbraw/zinc/02/68/63/702026863.db2.gz ZHJIHZVACQZYAD-UHFFFAOYSA-N -1 1 315.317 1.614 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H](OC)C(C)C)co1 ZINC000816355714 702070695 /nfs/dbraw/zinc/07/06/95/702070695.db2.gz OMFWYMZBULQGEW-JTQLQIEISA-N -1 1 305.352 1.016 20 0 DDADMM Cc1ccc2c(c1)SCCCN2C(=O)CCc1nn[n-]n1 ZINC000868436110 702126176 /nfs/dbraw/zinc/12/61/76/702126176.db2.gz INTSVZLJWWTVSQ-UHFFFAOYSA-N -1 1 303.391 1.970 20 0 DDADMM O=C(/C=C/C1CCOCC1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831145482 706644078 /nfs/dbraw/zinc/64/40/78/706644078.db2.gz VYIKXNXUBZQODD-OWOJBTEDSA-N -1 1 320.311 1.106 20 0 DDADMM C[C@](O)(C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C1CCC1 ZINC000812678897 702220578 /nfs/dbraw/zinc/22/05/78/702220578.db2.gz DCEIRIAXKPNLMN-MRXNPFEDSA-N -1 1 305.330 1.037 20 0 DDADMM CC1(C)CC[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868611088 702224278 /nfs/dbraw/zinc/22/42/78/702224278.db2.gz PQZAPSSBYAWFHS-LLVKDONJSA-N -1 1 306.366 1.243 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@@H](n4cccn4)C3)ccnc1-2 ZINC000812971504 702269209 /nfs/dbraw/zinc/26/92/09/702269209.db2.gz LVMACAXFLJBSSU-LLVKDONJSA-N -1 1 311.349 1.017 20 0 DDADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)[N-]OCCC1CC1 ZINC000817403797 702371841 /nfs/dbraw/zinc/37/18/41/702371841.db2.gz PDGDXXRNZLGWLB-JTQLQIEISA-N -1 1 316.361 1.529 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(C)(C)C2CCOCC2)n1 ZINC000841221766 702392882 /nfs/dbraw/zinc/39/28/82/702392882.db2.gz KWTBMKDLDCFAOP-UHFFFAOYSA-N -1 1 309.366 1.978 20 0 DDADMM COC(=O)[C@]1(F)CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000866288341 706666131 /nfs/dbraw/zinc/66/61/31/706666131.db2.gz YIHVZMHILRYNHC-AWEZNQCLSA-N -1 1 315.728 1.702 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000841533080 702482709 /nfs/dbraw/zinc/48/27/09/702482709.db2.gz CFCHMRKNQGEWPC-SNVBAGLBSA-N -1 1 321.757 1.709 20 0 DDADMM COC(=O)c1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c[nH]1 ZINC000814531330 702539432 /nfs/dbraw/zinc/53/94/32/702539432.db2.gz GTAFQSINWWRWAY-UHFFFAOYSA-N -1 1 322.239 1.027 20 0 DDADMM Cn1cnnc1CN1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000879447268 706682264 /nfs/dbraw/zinc/68/22/64/706682264.db2.gz ILBUEMQIIKVQKH-UHFFFAOYSA-N -1 1 321.812 1.492 20 0 DDADMM CSC[C@H](C)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869393757 702591657 /nfs/dbraw/zinc/59/16/57/702591657.db2.gz XMBHWCHUCAPZCS-ZETCQYMHSA-N -1 1 315.313 1.166 20 0 DDADMM CC1(C)CC(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869517911 702633150 /nfs/dbraw/zinc/63/31/50/702633150.db2.gz FDUPEEGPCGVQDX-UHFFFAOYSA-N -1 1 309.284 1.604 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000869550090 702643112 /nfs/dbraw/zinc/64/31/12/702643112.db2.gz MXOUJRHOUCIYIV-IEBDPFPHSA-N -1 1 321.295 1.604 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCc2ccncc2C)co1 ZINC000842397970 702715971 /nfs/dbraw/zinc/71/59/71/702715971.db2.gz JLKDONOXUTWPDG-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM CC[C@H](C)[C@@H](C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016199 702803925 /nfs/dbraw/zinc/80/39/25/702803925.db2.gz REVDGNUNLBQPPL-NWDGAFQWSA-N -1 1 304.456 1.599 20 0 DDADMM C[C@@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)c1ccco1 ZINC000843017624 702804070 /nfs/dbraw/zinc/80/40/70/702804070.db2.gz HBSYPHWDMUPOHJ-LLVKDONJSA-N -1 1 314.407 1.313 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CC2CCCCC2)CCC1 ZINC000843018774 702804346 /nfs/dbraw/zinc/80/43/46/702804346.db2.gz DHARVCQUEYHWSV-UHFFFAOYSA-N -1 1 316.467 1.887 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1CCCc2occc21 ZINC000866466177 706705764 /nfs/dbraw/zinc/70/57/64/706705764.db2.gz YYCABTGRWVBVNF-NSHDSACASA-N -1 1 320.436 1.999 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H]1CC1(Cl)Cl ZINC000866470182 706706670 /nfs/dbraw/zinc/70/66/70/706706670.db2.gz UMNLWMFCDMBAQH-ZETCQYMHSA-N -1 1 321.251 1.276 20 0 DDADMM Cc1ccc(CC[N-]S(=O)(=O)N=S2(=O)CCCC2)o1 ZINC000866489255 706712006 /nfs/dbraw/zinc/71/20/06/706712006.db2.gz HRGQVVFCXJQTDN-UHFFFAOYSA-N -1 1 306.409 1.227 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H](C(=O)Nc2nnn[n-]2)CC1 ZINC000843801253 702926501 /nfs/dbraw/zinc/92/65/01/702926501.db2.gz RMONZVAAYRMGGT-VIFPVBQESA-N -1 1 310.358 1.175 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H](C(=O)Nc2nn[n-]n2)CC1 ZINC000843801253 702926504 /nfs/dbraw/zinc/92/65/04/702926504.db2.gz RMONZVAAYRMGGT-VIFPVBQESA-N -1 1 310.358 1.175 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H]2OCC[C@@H]2C1 ZINC000843976441 702948015 /nfs/dbraw/zinc/94/80/15/702948015.db2.gz YFTJBDJQFFMGFG-KOLCDFICSA-N -1 1 304.375 1.269 20 0 DDADMM Cn1c2ccccc2sc1=NC(=O)C1CCCS1(=O)=O ZINC000846769421 703328801 /nfs/dbraw/zinc/32/88/01/703328801.db2.gz AAFKTMGBNMRFJD-NSHDSACASA-N -1 1 310.400 1.244 20 0 DDADMM Cn1c2ccccc2sc1=NC([O-])=C1CCCS1(=O)=O ZINC000846769421 703328802 /nfs/dbraw/zinc/32/88/02/703328802.db2.gz AAFKTMGBNMRFJD-NSHDSACASA-N -1 1 310.400 1.244 20 0 DDADMM CC[C@H](C)[C@H](C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866591561 706738683 /nfs/dbraw/zinc/73/86/83/706738683.db2.gz HAVSZJPANNVTCJ-BQBZGAKWSA-N -1 1 310.217 1.885 20 0 DDADMM COc1ccccc1CO[N-]C(=O)[C@@H]1CCCc2nn[nH]c21 ZINC000847177979 703384418 /nfs/dbraw/zinc/38/44/18/703384418.db2.gz NPFVVPYBVJBVKP-LLVKDONJSA-N -1 1 302.334 1.481 20 0 DDADMM CC(C)(C)c1cccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000847472912 703423577 /nfs/dbraw/zinc/42/35/77/703423577.db2.gz KICFVAXIQWLCFT-CYBMUJFWSA-N -1 1 315.377 1.711 20 0 DDADMM C[C@@H](CN(C)C(=O)c1nc(Cl)sc1Cl)c1nn[n-]n1 ZINC000847726294 703458892 /nfs/dbraw/zinc/45/88/92/703458892.db2.gz XGJJWIXJWTZMNR-BYPYZUCNSA-N -1 1 321.193 1.839 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCc1cn(C(C)C)nn1 ZINC000848117724 703508409 /nfs/dbraw/zinc/50/84/09/703508409.db2.gz ADNDPGIZXIZBDN-UHFFFAOYSA-N -1 1 318.381 1.716 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@]2(C)CCC[C@H]2CC)n[n-]1 ZINC000879654455 706750593 /nfs/dbraw/zinc/75/05/93/706750593.db2.gz IWYSWYZFDAKFOJ-MEBBXXQBSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@]2(C)CCC[C@H]2CC)n1 ZINC000879654455 706750595 /nfs/dbraw/zinc/75/05/95/706750595.db2.gz IWYSWYZFDAKFOJ-MEBBXXQBSA-N -1 1 308.382 1.814 20 0 DDADMM CCn1ccnc1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000848174664 703521002 /nfs/dbraw/zinc/52/10/02/703521002.db2.gz RKRVITROWFWTQA-UHFFFAOYSA-N -1 1 303.366 1.760 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C1CC(C)(O)C1 ZINC000848337073 703540300 /nfs/dbraw/zinc/54/03/00/703540300.db2.gz CQHJWUROMSMOJY-SUIRZZFNSA-N -1 1 322.327 1.205 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC3CCC(F)CC3)ccnc1-2 ZINC000831748535 706769957 /nfs/dbraw/zinc/76/99/57/706769957.db2.gz FSJTXMWRSXIUPH-UHFFFAOYSA-N -1 1 305.357 1.992 20 0 DDADMM CCCCNC(=O)[C@@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764024 706784303 /nfs/dbraw/zinc/78/43/03/706784303.db2.gz RTDXQIVGKZJPML-MFKMUULPSA-N -1 1 323.359 1.434 20 0 DDADMM COCCn1c(C)cc(C(=O)CN2C[C@@H](C)[C@H](C(=O)[O-])C2)c1C ZINC000831800602 706783188 /nfs/dbraw/zinc/78/31/88/706783188.db2.gz PNZKXBKBEQAHFR-IAQYHMDHSA-N -1 1 322.405 1.587 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@H]2CC23CC3)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852388623 704032173 /nfs/dbraw/zinc/03/21/73/704032173.db2.gz XPHLHLJTOQKURO-UTLUCORTSA-N -1 1 319.327 1.637 20 0 DDADMM CC[C@@H](C)NC(=O)CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879762154 706783824 /nfs/dbraw/zinc/78/38/24/706783824.db2.gz BXNZKHGCFNAIEC-SKDRFNHKSA-N -1 1 309.332 1.044 20 0 DDADMM O=c1cc(CN2CC[C@H](O)C(F)(F)C2)c2ccc([O-])cc2o1 ZINC000852412886 704037939 /nfs/dbraw/zinc/03/79/39/704037939.db2.gz LBIOWQONJNTPKM-ZDUSSCGKSA-N -1 1 311.284 1.701 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC(c3ccc(F)cc3)CC2)C1=O ZINC000852726764 704107527 /nfs/dbraw/zinc/10/75/27/704107527.db2.gz CJPNSVUBVMJICC-OAHLLOKOSA-N -1 1 320.364 1.691 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C(F)=C2CCC2)c1 ZINC000819458732 704129229 /nfs/dbraw/zinc/12/92/29/704129229.db2.gz WBHUOBPBAOPEBB-UHFFFAOYSA-N -1 1 300.311 1.386 20 0 DDADMM CCOC(=O)[C@@H]1CN(C(=O)c2cncc([O-])c2)CCC1(F)F ZINC000870919380 704168826 /nfs/dbraw/zinc/16/88/26/704168826.db2.gz VXEZVFZYDWAAAN-NSHDSACASA-N -1 1 314.288 1.448 20 0 DDADMM O=C([O-])C1(C(=O)N2CCC(CCN3CCOCC3)CC2)CCC1 ZINC000852950812 704177802 /nfs/dbraw/zinc/17/78/02/704177802.db2.gz LROZYWGPRWHLNI-UHFFFAOYSA-N -1 1 324.421 1.202 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H](O)CC(C)C)c(F)c1 ZINC000819876554 704179545 /nfs/dbraw/zinc/17/95/45/704179545.db2.gz XCQFIZVTLDQRRZ-JTQLQIEISA-N -1 1 307.362 1.959 20 0 DDADMM C[C@@H](CC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-])n1cccc1 ZINC000820226004 704243146 /nfs/dbraw/zinc/24/31/46/704243146.db2.gz RQFOZCVNGDEWEB-JTQLQIEISA-N -1 1 312.333 1.964 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cccc2ncccc12)c1nn[n-]n1 ZINC000820674213 704318650 /nfs/dbraw/zinc/31/86/50/704318650.db2.gz RODLIDHJODCYJL-CQSZACIVSA-N -1 1 310.361 1.948 20 0 DDADMM CN(C)c1ccc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])cc1 ZINC000821589305 704425200 /nfs/dbraw/zinc/42/52/00/704425200.db2.gz HRVHYLBZOOKAEJ-UHFFFAOYSA-N -1 1 324.344 1.891 20 0 DDADMM CC(C)Cn1c([O-])c(C(=O)Nc2ccccc2F)c(=O)[nH]c1=O ZINC000821627530 704428328 /nfs/dbraw/zinc/42/83/28/704428328.db2.gz DPUGQEHDRMEGEZ-NSHDSACASA-N -1 1 321.308 1.115 20 0 DDADMM O=C(NOCCN1CCCC1=O)c1ccc2ccccc2c1[O-] ZINC000856037931 704510921 /nfs/dbraw/zinc/51/09/21/704510921.db2.gz RKOQYSVTLYIGOI-UHFFFAOYSA-N -1 1 314.341 1.829 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NOCC1CCC1 ZINC000856347447 704523858 /nfs/dbraw/zinc/52/38/58/704523858.db2.gz SJBOXJAOQJRCJT-UHFFFAOYSA-N -1 1 304.350 1.442 20 0 DDADMM COC1(C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000856415011 704527911 /nfs/dbraw/zinc/52/79/11/704527911.db2.gz WKJDPBXPFFMQFC-BDAKNGLRSA-N -1 1 308.300 1.081 20 0 DDADMM CCO[C@@H](CC)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418567 704528229 /nfs/dbraw/zinc/52/82/29/704528229.db2.gz FXMWMTFQIQTXNH-VWYCJHECSA-N -1 1 324.343 1.717 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000856419251 704528306 /nfs/dbraw/zinc/52/83/06/704528306.db2.gz YXVXASDXUSDQTL-KKZNHRDASA-N -1 1 306.328 1.948 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000856419252 704528333 /nfs/dbraw/zinc/52/83/33/704528333.db2.gz YXVXASDXUSDQTL-YWVKMMECSA-N -1 1 306.328 1.948 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C(F)=C3CCCC3)nc2n1 ZINC000857685166 704613639 /nfs/dbraw/zinc/61/36/39/704613639.db2.gz VCBFXPMDSJNMLT-UHFFFAOYSA-N -1 1 305.313 1.716 20 0 DDADMM CCc1cc(=O)n2[nH]c([N-]C(=O)C(F)=C3CCCC3)nc2n1 ZINC000857685166 704613641 /nfs/dbraw/zinc/61/36/41/704613641.db2.gz VCBFXPMDSJNMLT-UHFFFAOYSA-N -1 1 305.313 1.716 20 0 DDADMM CN(C)c1n[nH]c(C2CCN(c3cc(Cl)[n-]c(=O)n3)CC2)n1 ZINC000858530249 704722897 /nfs/dbraw/zinc/72/28/97/704722897.db2.gz YXLVAZPKIMHZDY-UHFFFAOYSA-N -1 1 323.788 1.404 20 0 DDADMM COC(=O)[C@H]1CSCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858571737 704728911 /nfs/dbraw/zinc/72/89/11/704728911.db2.gz KIFBNOTYASHCOI-SSDOTTSWSA-N -1 1 303.771 1.178 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1cnc(C)cc1C ZINC000867369516 706978134 /nfs/dbraw/zinc/97/81/34/706978134.db2.gz WRQXPTPTTPDOPO-UHFFFAOYSA-N -1 1 319.452 1.541 20 0 DDADMM C[C@@H]1C[C@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)[C@H](C)O1 ZINC000867404339 706989910 /nfs/dbraw/zinc/98/99/10/706989910.db2.gz LVBMSKTZPRRVGV-HRDYMLBCSA-N -1 1 306.334 1.452 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(Cc2cc(Cl)cc3c2OCC3)C1 ZINC000859819376 705012239 /nfs/dbraw/zinc/01/22/39/705012239.db2.gz IBKPEKHBKIIFTK-OAHLLOKOSA-N -1 1 311.765 1.950 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C(F)=C2CCCC2)n[n-]1 ZINC000880665747 707051620 /nfs/dbraw/zinc/05/16/20/707051620.db2.gz GVDRLITVWPZMJT-MRVPVSSYSA-N -1 1 310.329 1.956 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C(F)=C2CCCC2)[n-]1 ZINC000880665747 707051621 /nfs/dbraw/zinc/05/16/21/707051621.db2.gz GVDRLITVWPZMJT-MRVPVSSYSA-N -1 1 310.329 1.956 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C(F)=C2CCCC2)n1 ZINC000880665747 707051623 /nfs/dbraw/zinc/05/16/23/707051623.db2.gz GVDRLITVWPZMJT-MRVPVSSYSA-N -1 1 310.329 1.956 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccnc(Cl)c1 ZINC000823294478 705197367 /nfs/dbraw/zinc/19/73/67/705197367.db2.gz CBTISYGPKSIUPQ-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM CC(C)CCCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875069304 705271759 /nfs/dbraw/zinc/27/17/59/705271759.db2.gz MFAZDZHJPPTQRC-UHFFFAOYSA-N -1 1 309.332 1.743 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCc2ccco2)co1 ZINC000861018320 705351400 /nfs/dbraw/zinc/35/14/00/705351400.db2.gz LEGNWSZMPDVEFW-UHFFFAOYSA-N -1 1 313.331 1.570 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cn(C2CC2)nn1 ZINC000861250476 705408363 /nfs/dbraw/zinc/40/83/63/705408363.db2.gz NRLILCLCBSHDAB-UHFFFAOYSA-N -1 1 324.138 1.728 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3C[C@@]3(C)Br)ccnc1-2 ZINC000875632309 705456944 /nfs/dbraw/zinc/45/69/44/705456944.db2.gz CAIOGLXNNKRDFP-PRHODGIISA-N -1 1 309.167 1.454 20 0 DDADMM CCN(C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)[C@H](C)C(C)C ZINC000876300133 705682846 /nfs/dbraw/zinc/68/28/46/705682846.db2.gz JXQINXWGTWKJHR-LLVKDONJSA-N -1 1 322.409 1.831 20 0 DDADMM COC[C@](C)(O)CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876393286 705707992 /nfs/dbraw/zinc/70/79/92/705707992.db2.gz GSXNTWMVLKUXPZ-CYBMUJFWSA-N -1 1 302.758 1.242 20 0 DDADMM Cc1c[nH]c(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)n1 ZINC000862418590 705713512 /nfs/dbraw/zinc/71/35/12/705713512.db2.gz POLDWVBTMHGLBG-SCZZXKLOSA-N -1 1 304.316 1.607 20 0 DDADMM O=C([N-]CC1CN(C(=O)N[C@@H]2CC23CCC3)C1)C(F)(F)F ZINC000876420733 705715246 /nfs/dbraw/zinc/71/52/46/705715246.db2.gz JVJKJZJMPXGFGY-SECBINFHSA-N -1 1 305.300 1.249 20 0 DDADMM C[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(=O)OC(C)(C)C ZINC000825771688 705729151 /nfs/dbraw/zinc/72/91/51/705729151.db2.gz ZHBHXGFXHTUJTG-MRVPVSSYSA-N -1 1 322.390 1.478 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(O)cc2C)c1-c1nnn[n-]1 ZINC000826272144 705786391 /nfs/dbraw/zinc/78/63/91/705786391.db2.gz WEZIPUNOMDRJFD-UHFFFAOYSA-N -1 1 314.305 1.358 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(O)cc2C)c1-c1nn[n-]n1 ZINC000826272144 705786394 /nfs/dbraw/zinc/78/63/94/705786394.db2.gz WEZIPUNOMDRJFD-UHFFFAOYSA-N -1 1 314.305 1.358 20 0 DDADMM Cc1cn2c(n1)CN(Cc1ccc(-c3nn[n-]n3)s1)CC2 ZINC000826317079 705790475 /nfs/dbraw/zinc/79/04/75/705790475.db2.gz LXICJEUICGIEDZ-UHFFFAOYSA-N -1 1 301.379 1.449 20 0 DDADMM Cc1onc(CC(=O)N2CCC3(CCC3)C2)c1-c1nnn[n-]1 ZINC000826343510 705792539 /nfs/dbraw/zinc/79/25/39/705792539.db2.gz DHUIIKCBBSVBEA-UHFFFAOYSA-N -1 1 302.338 1.108 20 0 DDADMM Cc1onc(CC(=O)N2CCC3(CCC3)C2)c1-c1nn[n-]n1 ZINC000826343510 705792543 /nfs/dbraw/zinc/79/25/43/705792543.db2.gz DHUIIKCBBSVBEA-UHFFFAOYSA-N -1 1 302.338 1.108 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)S[C@@H](C)C2)c1-c1nnn[n-]1 ZINC000826343976 705793388 /nfs/dbraw/zinc/79/33/88/705793388.db2.gz SXVWAOPRRNRVCE-OCAPTIKFSA-N -1 1 322.394 1.058 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)S[C@@H](C)C2)c1-c1nn[n-]n1 ZINC000826343976 705793390 /nfs/dbraw/zinc/79/33/90/705793390.db2.gz SXVWAOPRRNRVCE-OCAPTIKFSA-N -1 1 322.394 1.058 20 0 DDADMM Clc1nsc(N[C@H]2CCCOCC2)c1-c1nnn[n-]1 ZINC000826354104 705795224 /nfs/dbraw/zinc/79/52/24/705795224.db2.gz PPSPTJZJLRNWEH-LURJTMIESA-N -1 1 300.775 1.958 20 0 DDADMM Clc1nsc(N[C@H]2CCCOCC2)c1-c1nn[n-]n1 ZINC000826354104 705795227 /nfs/dbraw/zinc/79/52/27/705795227.db2.gz PPSPTJZJLRNWEH-LURJTMIESA-N -1 1 300.775 1.958 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCOCC2(CC2)C1 ZINC000826472208 705803716 /nfs/dbraw/zinc/80/37/16/705803716.db2.gz CLHOJKMFJWOWDF-UHFFFAOYSA-N -1 1 305.363 1.181 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCOCC2(CC2)C1 ZINC000826472208 705803719 /nfs/dbraw/zinc/80/37/19/705803719.db2.gz CLHOJKMFJWOWDF-UHFFFAOYSA-N -1 1 305.363 1.181 20 0 DDADMM c1ccc([C@@H]2COCCN2c2cccc(-c3nnn[n-]3)n2)cc1 ZINC000826521037 705807910 /nfs/dbraw/zinc/80/79/10/705807910.db2.gz XWBCUOHYENWQPJ-AWEZNQCLSA-N -1 1 308.345 1.840 20 0 DDADMM c1ccc([C@@H]2COCCN2c2cccc(-c3nn[n-]n3)n2)cc1 ZINC000826521037 705807912 /nfs/dbraw/zinc/80/79/12/705807912.db2.gz XWBCUOHYENWQPJ-AWEZNQCLSA-N -1 1 308.345 1.840 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]Cc1nc(C2CC2)no1)C(C)C ZINC000827019563 705913538 /nfs/dbraw/zinc/91/35/38/705913538.db2.gz WGTKAHAPSOBUCR-SNVBAGLBSA-N -1 1 303.384 1.037 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cccc(Cl)n2)CC1 ZINC000827378818 705989995 /nfs/dbraw/zinc/98/99/95/705989995.db2.gz AEBSGMXWMBRMJS-JTQLQIEISA-N -1 1 311.769 1.356 20 0 DDADMM C[C@H]1CC(NC(=O)c2ccc3n[n-]c(=S)n3c2)C[C@H](C)O1 ZINC000827628520 706040241 /nfs/dbraw/zinc/04/02/41/706040241.db2.gz ANRIWFMLULZCGD-IUCAKERBSA-N -1 1 306.391 1.704 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@@H](O)CC(C)(C)C1 ZINC000827698950 706052218 /nfs/dbraw/zinc/05/22/18/706052218.db2.gz VVEJVRSAXXOLRF-VIFPVBQESA-N -1 1 311.407 1.446 20 0 DDADMM CC(C)Cc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)s1 ZINC000827962139 706094331 /nfs/dbraw/zinc/09/43/31/706094331.db2.gz YZMBSUVBSMEUHQ-LLVKDONJSA-N -1 1 321.406 1.673 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H](C)CSC)co1 ZINC000835674721 707304582 /nfs/dbraw/zinc/30/45/82/707304582.db2.gz LMZFLRBJVMBMHM-MRVPVSSYSA-N -1 1 307.393 1.344 20 0 DDADMM O=C(c1ccc2scnc2c1)N1CCC(c2nn[n-]n2)CC1 ZINC000828417406 706175745 /nfs/dbraw/zinc/17/57/45/706175745.db2.gz YDFXXLGHQWUIKJ-UHFFFAOYSA-N -1 1 314.374 1.829 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@@]1(C)CC1(Cl)Cl)c1nn[n-]n1 ZINC000829165726 706296422 /nfs/dbraw/zinc/29/64/22/706296422.db2.gz AIMOYGBAYVUEQT-XVKPBYJWSA-N -1 1 306.197 1.736 20 0 DDADMM COC(=O)[C@@H]1CCCCCN1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878393186 706376028 /nfs/dbraw/zinc/37/60/28/706376028.db2.gz PYZNZNNPLDVPAN-ZDUSSCGKSA-N -1 1 321.377 1.173 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)C[C@@H](O)CC(C)(C)O ZINC000878442735 706388852 /nfs/dbraw/zinc/38/88/52/706388852.db2.gz LIQRVJMJWGMMFO-VIFPVBQESA-N -1 1 311.407 1.351 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CC(C)(C)CO2)o1 ZINC000872549057 707473157 /nfs/dbraw/zinc/47/31/57/707473157.db2.gz KLWIQUWTSWICLJ-SECBINFHSA-N -1 1 317.363 1.160 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCC[C@H]2CCOC2=O)sc1C ZINC000872566363 707484020 /nfs/dbraw/zinc/48/40/20/707484020.db2.gz KHSSSPODWLJVHZ-JTQLQIEISA-N -1 1 318.420 1.382 20 0 DDADMM CC[C@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866900584 706839226 /nfs/dbraw/zinc/83/92/26/706839226.db2.gz GTKHODYYKITSJD-RKDXNWHRSA-N -1 1 308.350 1.435 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1C[C@@](C)(OC)C1(C)C ZINC000866904546 706840645 /nfs/dbraw/zinc/84/06/45/706840645.db2.gz QIUDPPWLUIADIE-XUPINFNUSA-N -1 1 312.457 1.142 20 0 DDADMM COC(=O)C[C@@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C1CC1 ZINC000866942726 706851787 /nfs/dbraw/zinc/85/17/87/706851787.db2.gz XCCNYJFNGJMNTJ-MRVPVSSYSA-N -1 1 323.317 1.837 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC1(CCOC)CC1 ZINC000866985375 706864657 /nfs/dbraw/zinc/86/46/57/706864657.db2.gz GFTLGZRCMJAULK-UHFFFAOYSA-N -1 1 312.457 1.145 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@@H]1CCCOC1 ZINC000867069394 706888797 /nfs/dbraw/zinc/88/87/97/706888797.db2.gz GVCINCJAJMLUEA-DTWKUNHWSA-N -1 1 306.334 1.453 20 0 DDADMM Cc1oc2ccccc2c1C[N-]S(=O)(=O)N=S(C)(C)=O ZINC000867117820 706901592 /nfs/dbraw/zinc/90/15/92/706901592.db2.gz ISZMWMYLPYVMPT-UHFFFAOYSA-N -1 1 316.404 1.803 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)O1)c1cc(F)ccc1[O-] ZINC000832397735 706904790 /nfs/dbraw/zinc/90/47/90/706904790.db2.gz ZBXGBIRVQYOBOC-GFCCVEGCSA-N -1 1 309.337 1.989 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCC1(F)CCC1 ZINC000867269088 706942891 /nfs/dbraw/zinc/94/28/91/706942891.db2.gz GBSRSZRLTJLCGM-UHFFFAOYSA-N -1 1 300.421 1.611 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)Cc2ccc(F)cc2Cl)C(=O)O1 ZINC000867269915 706942943 /nfs/dbraw/zinc/94/29/43/706942943.db2.gz MRXNDFSWYULSAH-WRWORJQWSA-N -1 1 321.757 1.603 20 0 DDADMM CCCc1ncc(C[N-]S(=O)(=O)c2ccc(F)nc2F)o1 ZINC000867360834 706974448 /nfs/dbraw/zinc/97/44/48/706974448.db2.gz JEVIMZGTJFREMS-UHFFFAOYSA-N -1 1 317.317 1.779 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000909019237 712918032 /nfs/dbraw/zinc/91/80/32/712918032.db2.gz IILHRWYCKBRVEI-BIAAXOCRSA-N -1 1 318.377 1.162 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000909019238 712918035 /nfs/dbraw/zinc/91/80/35/712918035.db2.gz IILHRWYCKBRVEI-DEKYYXRVSA-N -1 1 318.377 1.162 20 0 DDADMM COc1ccccc1C1([N-]S(=O)(=O)N=S(C)(C)=O)CC1 ZINC000867448756 707004408 /nfs/dbraw/zinc/00/44/08/707004408.db2.gz PIRYGIWUWSYTAD-UHFFFAOYSA-N -1 1 318.420 1.246 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2CC[C@@H]1O2)c1cc(Cl)cnc1Cl ZINC000867460908 707009033 /nfs/dbraw/zinc/00/90/33/707009033.db2.gz WSXZJBOIOIMUGY-HLTSFMKQSA-N -1 1 323.201 1.987 20 0 DDADMM CN1CC2(C1)CCN(C(=O)c1ccc3oc(=S)[n-]c3c1)C2 ZINC000834521955 707080052 /nfs/dbraw/zinc/08/00/52/707080052.db2.gz AHKDBJSRFYGINZ-UHFFFAOYSA-N -1 1 303.387 1.894 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H]1C(=O)N1CCNC[C@@H]1c1cccc(Cl)c1 ZINC000871668806 707161562 /nfs/dbraw/zinc/16/15/62/707161562.db2.gz YOKWGZRNRCYBQR-MGPQQGTHSA-N -1 1 322.792 1.924 20 0 DDADMM N[C@H](C(=O)N[C@H]1C[C@H](C(=O)[O-])C1)c1ccc(C(F)(F)F)cc1 ZINC000871670989 707163431 /nfs/dbraw/zinc/16/34/31/707163431.db2.gz HLWZYUPQOJXEIW-LSJOCFKGSA-N -1 1 316.279 1.685 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)O[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871797911 707207948 /nfs/dbraw/zinc/20/79/48/707207948.db2.gz JCOYKXZCJCICJU-CHWSQXEVSA-N -1 1 322.405 1.979 20 0 DDADMM Cc1noc([C@]23CCC[C@H]2CN(C(=O)c2ncccc2[O-])C3)n1 ZINC000927056147 712936771 /nfs/dbraw/zinc/93/67/71/712936771.db2.gz IRNSXLLQMIVNES-ZBEGNZNMSA-N -1 1 314.345 1.673 20 0 DDADMM CC[C@]1(COC)NC(=O)N(Cc2ccc([O-])c(Cl)c2)C1=O ZINC000871911981 707242798 /nfs/dbraw/zinc/24/27/98/707242798.db2.gz OPQFVJIDGQAZBJ-CQSZACIVSA-N -1 1 312.753 1.893 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H](O)CC(C)(C)C3)cnc2n1 ZINC000881439374 707257933 /nfs/dbraw/zinc/25/79/33/707257933.db2.gz JAESQDNJDQMRIT-NSHDSACASA-N -1 1 315.373 1.877 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@@H](O)CC(C)(C)C3)c[n-]c2n1 ZINC000881439374 707257937 /nfs/dbraw/zinc/25/79/37/707257937.db2.gz JAESQDNJDQMRIT-NSHDSACASA-N -1 1 315.373 1.877 20 0 DDADMM C[C@H](Cn1cccn1)[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872436309 707409204 /nfs/dbraw/zinc/40/92/04/707409204.db2.gz YNAXQVULIYAFRM-GIGQVBGESA-N -1 1 322.456 1.002 20 0 DDADMM Cc1cc(CC(=O)NC[C@@H](Cc2ccccc2)C(=O)[O-])[nH]n1 ZINC000909179621 712955821 /nfs/dbraw/zinc/95/58/21/712955821.db2.gz HQSTXKFVAPKFHJ-CYBMUJFWSA-N -1 1 301.346 1.320 20 0 DDADMM COCC(COC)[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000836864292 707534289 /nfs/dbraw/zinc/53/42/89/707534289.db2.gz ANSGBPIPHQPZJB-UHFFFAOYSA-N -1 1 309.259 1.834 20 0 DDADMM CC(C)[C@H](CO)ONC(=O)c1cc(Br)ccc1[O-] ZINC000836902680 707547372 /nfs/dbraw/zinc/54/73/72/707547372.db2.gz ROXKISKUAKLXBB-NSHDSACASA-N -1 1 318.167 1.833 20 0 DDADMM CO[C@H]([C@@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000837008780 707563799 /nfs/dbraw/zinc/56/37/99/707563799.db2.gz AXHJYDLJLYPBQJ-PRHODGIISA-N -1 1 306.391 1.561 20 0 DDADMM CCN1CC[C@H]1CNC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000882488708 707660133 /nfs/dbraw/zinc/66/01/33/707660133.db2.gz WVJBVKQBSVAWIG-ZDUSSCGKSA-N -1 1 318.352 1.541 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-]C[C@H]1C=CCC1)c1ccccc1 ZINC000882557820 707695440 /nfs/dbraw/zinc/69/54/40/707695440.db2.gz HEJRCHSEQBNWFV-HXPMCKFVSA-N -1 1 314.432 1.944 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1ccns1)C(=O)OC(C)(C)C ZINC000921445438 713773268 /nfs/dbraw/zinc/77/32/68/713773268.db2.gz KXAJPFRTAWCNNW-VIFPVBQESA-N -1 1 320.436 1.789 20 0 DDADMM O=S(=O)([N-]Cc1ccnc(N2CCCC2)c1)c1ccns1 ZINC000884905794 708414624 /nfs/dbraw/zinc/41/46/24/708414624.db2.gz XJXFJOTZIJPROR-UHFFFAOYSA-N -1 1 324.431 1.617 20 0 DDADMM COC(=O)CCCCCC[N-]S(=O)(=O)c1ccns1 ZINC000884905218 708414681 /nfs/dbraw/zinc/41/46/81/708414681.db2.gz KBPYTIGPSWFHDH-UHFFFAOYSA-N -1 1 306.409 1.545 20 0 DDADMM COc1ccccc1[C@@H](O)C[N-]S(=O)(=O)c1ccns1 ZINC000885023621 708445974 /nfs/dbraw/zinc/44/59/74/708445974.db2.gz CGYLAXYTUCEAFI-JTQLQIEISA-N -1 1 314.388 1.164 20 0 DDADMM C[C@@H](CCO)C1(C[N-]S(=O)(=O)c2ccns2)CCC1 ZINC000885086365 708467148 /nfs/dbraw/zinc/46/71/48/708467148.db2.gz AAABLJRAJFQAQV-JTQLQIEISA-N -1 1 304.437 1.610 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)c1ccns1)C(=O)OCC ZINC000885106027 708474540 /nfs/dbraw/zinc/47/45/40/708474540.db2.gz IZFPEHGFJVFUGP-LVCFMKKZSA-N -1 1 304.393 1.319 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCOC2(CCC2)C1)c1ccns1 ZINC000885216371 708496277 /nfs/dbraw/zinc/49/62/77/708496277.db2.gz JVUBLVUZXXACGQ-JTQLQIEISA-N -1 1 302.421 1.771 20 0 DDADMM CC(C)[C@@H](CO)[C@@H]([N-]S(=O)(=O)c1ccns1)C(C)C ZINC000885217256 708496699 /nfs/dbraw/zinc/49/66/99/708496699.db2.gz DPYHWSZJHAODJT-PWSUYJOCSA-N -1 1 306.453 1.711 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)c1ccns1 ZINC000885269870 708506329 /nfs/dbraw/zinc/50/63/29/708506329.db2.gz ZUAXQKHBGXAFMY-MRVPVSSYSA-N -1 1 306.409 1.399 20 0 DDADMM CC[C@@H](C)C[C@H]([N-]S(=O)(=O)c1ccns1)C(=O)OC ZINC000885269089 708506311 /nfs/dbraw/zinc/50/63/11/708506311.db2.gz UDLIRZNDQJXPIY-BDAKNGLRSA-N -1 1 306.409 1.399 20 0 DDADMM C[C@@H](c1ccccc1)[C@@H](O)C[N-]S(=O)(=O)c1ccns1 ZINC000885309036 708516671 /nfs/dbraw/zinc/51/66/71/708516671.db2.gz AIKKHNYMQCNDPX-JQWIXIFHSA-N -1 1 312.416 1.586 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000885381833 708531246 /nfs/dbraw/zinc/53/12/46/708531246.db2.gz CDSSCPFMJQYQEP-YUMQZZPRSA-N -1 1 324.855 1.983 20 0 DDADMM CC(C)[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)c1cnn(C)c1 ZINC000885423350 708541987 /nfs/dbraw/zinc/54/19/87/708541987.db2.gz YRSLALIMSUMSQX-CQSZACIVSA-N -1 1 301.346 1.822 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)o1 ZINC000885622276 708586204 /nfs/dbraw/zinc/58/62/04/708586204.db2.gz DEGDUZZUUJAEFF-NAKRPEOUSA-N -1 1 313.375 1.637 20 0 DDADMM CCS(=O)(=O)NCC(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885676867 708595283 /nfs/dbraw/zinc/59/52/83/708595283.db2.gz UVSVPHNPXUJLGQ-UHFFFAOYSA-N -1 1 310.734 1.063 20 0 DDADMM O=S(=O)([N-]CC1(C2(O)CCC2)CCC1)c1ccns1 ZINC000885789021 708628064 /nfs/dbraw/zinc/62/80/64/708628064.db2.gz KSBFSWRDKVEWEG-UHFFFAOYSA-N -1 1 302.421 1.507 20 0 DDADMM O=C(CCN1CCCC1=O)NCCc1c(F)cc([O-])cc1F ZINC000886264687 708732183 /nfs/dbraw/zinc/73/21/83/708732183.db2.gz IAKYMVOLDXTNHY-UHFFFAOYSA-N -1 1 312.316 1.342 20 0 DDADMM CCC(CC)N1C[C@@H](C(=O)[N-]OCc2cccc(C)n2)CC1=O ZINC000898936216 708928920 /nfs/dbraw/zinc/92/89/20/708928920.db2.gz QHYOJEHARHIRTF-ZDUSSCGKSA-N -1 1 319.405 1.975 20 0 DDADMM CN(CC(=O)NCCC1=CCCCC1)C(=O)c1cncc([O-])c1 ZINC000887047778 708941753 /nfs/dbraw/zinc/94/17/53/708941753.db2.gz RRGQONZFFKTGQH-UHFFFAOYSA-N -1 1 317.389 1.866 20 0 DDADMM CC(=O)[C@H]1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000887247196 708998888 /nfs/dbraw/zinc/99/88/88/708998888.db2.gz QZJGHPRBORXAQL-UMSPYCQHSA-N -1 1 303.362 1.937 20 0 DDADMM O=S(=O)(CCOC1CC1)c1nc(Cc2cccs2)n[n-]1 ZINC000899490713 709097997 /nfs/dbraw/zinc/09/79/97/709097997.db2.gz SINFNBRLQSLUQD-UHFFFAOYSA-N -1 1 313.404 1.410 20 0 DDADMM O=S(=O)(CCOC1CC1)c1nnc(Cc2cccs2)[n-]1 ZINC000899490713 709097998 /nfs/dbraw/zinc/09/79/98/709097998.db2.gz SINFNBRLQSLUQD-UHFFFAOYSA-N -1 1 313.404 1.410 20 0 DDADMM O=S(=O)(CCOC1CC1)c1n[n-]c(Cc2cccs2)n1 ZINC000899490713 709098001 /nfs/dbraw/zinc/09/80/01/709098001.db2.gz SINFNBRLQSLUQD-UHFFFAOYSA-N -1 1 313.404 1.410 20 0 DDADMM O=C(CC(F)(F)C(F)F)[N-]n1cnc2ccccc2c1=O ZINC000887715016 709110046 /nfs/dbraw/zinc/11/00/46/709110046.db2.gz FGVVCPFZKGHZJJ-UHFFFAOYSA-N -1 1 303.215 1.757 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@@](CO)(C(F)(F)F)C1 ZINC000899612560 709126408 /nfs/dbraw/zinc/12/64/08/709126408.db2.gz VLAGVGCGZBLLEX-GFCCVEGCSA-N -1 1 307.243 1.918 20 0 DDADMM O=C(C(=O)N1CCOC[C@H]1C[C@@H]1CCOC1)c1ccc([O-])cc1 ZINC000887813449 709130940 /nfs/dbraw/zinc/13/09/40/709130940.db2.gz ILQAVSBOUARFBG-GXTWGEPZSA-N -1 1 319.357 1.229 20 0 DDADMM COCCN(Cc1ccco1)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900133425 709302094 /nfs/dbraw/zinc/30/20/94/709302094.db2.gz OLVVOQAPWIQVOB-UHFFFAOYSA-N -1 1 317.345 1.941 20 0 DDADMM COC(=O)CCCONC(=O)c1ncc2ccccc2c1[O-] ZINC000888816286 709384825 /nfs/dbraw/zinc/38/48/25/709384825.db2.gz DYKSPZRYTSOPNY-UHFFFAOYSA-N -1 1 304.302 1.555 20 0 DDADMM COc1cc(C(=O)N[C@@H]2COCC[C@H]2OC)cc(Cl)c1[O-] ZINC000889196523 709461672 /nfs/dbraw/zinc/46/16/72/709461672.db2.gz DATCLVIUMZEHJO-GHMZBOCLSA-N -1 1 315.753 1.588 20 0 DDADMM O=C(CCNC(=O)c1cnc(C2CC2)[n-]c1=O)N1CCCCC1 ZINC000900251044 709505987 /nfs/dbraw/zinc/50/59/87/709505987.db2.gz GPOFMLWJGMKTPM-UHFFFAOYSA-N -1 1 318.377 1.192 20 0 DDADMM COc1cc(C)cc(CNC(=O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)c1 ZINC000909548470 709511314 /nfs/dbraw/zinc/51/13/14/709511314.db2.gz AWTVJCJLGDEKKJ-AWEZNQCLSA-N -1 1 320.389 1.416 20 0 DDADMM COc1cc(C)cc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000909548470 709511315 /nfs/dbraw/zinc/51/13/15/709511315.db2.gz AWTVJCJLGDEKKJ-AWEZNQCLSA-N -1 1 320.389 1.416 20 0 DDADMM CSCC[C@H](NC(=O)C[C@@H](C)C(F)(F)F)c1nn[n-]n1 ZINC000912858255 713109194 /nfs/dbraw/zinc/10/91/94/713109194.db2.gz ICBRNXJFJKKSPB-RQJHMYQMSA-N -1 1 311.333 1.699 20 0 DDADMM CSCC[C@H](NC(=O)c1ccncc1Cl)c1nn[n-]n1 ZINC000912861760 713110647 /nfs/dbraw/zinc/11/06/47/713110647.db2.gz WUBPREHEJXRVAB-VIFPVBQESA-N -1 1 312.786 1.472 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC(=O)N(CC2CC2)C1 ZINC000889645783 709572712 /nfs/dbraw/zinc/57/27/12/709572712.db2.gz WZQXFUXDDCOSJR-UHFFFAOYSA-N -1 1 323.780 1.767 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@@H](CC(F)F)C(=O)[O-] ZINC000909774217 709612831 /nfs/dbraw/zinc/61/28/31/709612831.db2.gz PDNUFXARKVOKDN-BYPYZUCNSA-N -1 1 315.198 1.575 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)[C@@H](C(=O)[O-])C1 ZINC000909784747 709619743 /nfs/dbraw/zinc/61/97/43/709619743.db2.gz ZIZLQEINOBFFDM-FPQZTECRSA-N -1 1 305.378 1.787 20 0 DDADMM COc1ccc([C@H](NC(=O)[C@H](C)N(C)C)C(=O)[O-])cc1Cl ZINC000909796167 709624865 /nfs/dbraw/zinc/62/48/65/709624865.db2.gz NIBHCPAQBSIKOA-UFBFGSQYSA-N -1 1 314.769 1.541 20 0 DDADMM CCN(CC(=O)N[C@H](Cc1cccc(Cl)c1)C(=O)[O-])C1CC1 ZINC000909817470 709637249 /nfs/dbraw/zinc/63/72/49/709637249.db2.gz ZDJAITWWOXIHCT-CQSZACIVSA-N -1 1 324.808 1.936 20 0 DDADMM CCO[C@@H]1C[C@@H]1C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889794209 709639608 /nfs/dbraw/zinc/63/96/08/709639608.db2.gz GWMHGNMPXRHUTK-GARJFASQSA-N -1 1 307.350 1.279 20 0 DDADMM C[C@H](NC(=O)[C@@H]1CCCN1C)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909820044 709639750 /nfs/dbraw/zinc/63/97/50/709639750.db2.gz MTKBJUNTSZKBJO-QEJZJMRPSA-N -1 1 304.390 1.529 20 0 DDADMM CN(C)Cc1ccc(C(=O)N[C@@H](CC(C)(C)C)C(=O)[O-])cn1 ZINC000909881159 709667050 /nfs/dbraw/zinc/66/70/50/709667050.db2.gz ZABHXVPAFHAQPM-ZDUSSCGKSA-N -1 1 307.394 1.762 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCc2cnc[nH]2)[C@@H]2CCCC[C@H]12 ZINC000909963265 709710550 /nfs/dbraw/zinc/71/05/50/709710550.db2.gz ZDEDRPAHQBOTBU-MGPQQGTHSA-N -1 1 305.378 1.834 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@H]1CCc2nncn2CC1 ZINC000909972867 709714447 /nfs/dbraw/zinc/71/44/47/709714447.db2.gz RAKAUBWPQXKQEY-QMMMGPOBSA-N -1 1 308.288 1.853 20 0 DDADMM Cc1cnc(C(=O)NC[C@@]2(c3cnn(C)c3)CCCO2)c([O-])c1 ZINC000890150159 709768605 /nfs/dbraw/zinc/76/86/05/709768605.db2.gz BRSIVXSYTDWAJB-MRXNPFEDSA-N -1 1 316.361 1.265 20 0 DDADMM CSCC(C)(C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910170288 709796529 /nfs/dbraw/zinc/79/65/29/709796529.db2.gz JEHODPPRCYCTKU-NSHDSACASA-N -1 1 302.440 1.383 20 0 DDADMM Cc1[nH]nc(C(C)C)c1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910174141 709798036 /nfs/dbraw/zinc/79/80/36/709798036.db2.gz RAGADVXMYCOEDS-NSHDSACASA-N -1 1 322.409 1.463 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910174141 709798038 /nfs/dbraw/zinc/79/80/38/709798038.db2.gz RAGADVXMYCOEDS-NSHDSACASA-N -1 1 322.409 1.463 20 0 DDADMM C[C@@H]1CN(C[C@H]2CCCN2c2cccc(C(=O)[O-])n2)C[C@H](C)O1 ZINC000900991558 709867504 /nfs/dbraw/zinc/86/75/04/709867504.db2.gz XBWNRHHBGMQQCK-HZSPNIEDSA-N -1 1 319.405 1.858 20 0 DDADMM CCC(CC)(CCO)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901082902 709918192 /nfs/dbraw/zinc/91/81/92/709918192.db2.gz YEZQFEJWIKYNRX-UHFFFAOYSA-N -1 1 307.394 1.978 20 0 DDADMM CCN(CC(=O)N1C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C1)C1CC1 ZINC000910392970 709921148 /nfs/dbraw/zinc/92/11/48/709921148.db2.gz UHXWNIKZEVUYGH-UWVGGRQHSA-N -1 1 308.300 1.192 20 0 DDADMM CCN(CC)[C@H](C(=O)N1CC[C@@](O)(C(=O)[O-])C1)c1ccccc1 ZINC000910531955 709986699 /nfs/dbraw/zinc/98/66/99/709986699.db2.gz VYYUYKSQSRXTSC-YOEHRIQHSA-N -1 1 320.389 1.118 20 0 DDADMM O=C(N[C@H](CO)C1CCCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000901299199 710001474 /nfs/dbraw/zinc/00/14/74/710001474.db2.gz NYJSJEPIRJYZJD-CYBMUJFWSA-N -1 1 305.378 1.731 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N(CC(F)F)C2CCC2)C1 ZINC000910617764 710023963 /nfs/dbraw/zinc/02/39/63/710023963.db2.gz ATQZVNVQILKWQT-JTQLQIEISA-N -1 1 304.337 1.429 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000901500470 710057962 /nfs/dbraw/zinc/05/79/62/710057962.db2.gz NLYDOZLXXCDHIV-OAHLLOKOSA-N -1 1 304.390 1.484 20 0 DDADMM O=C([O-])C[C@]1(NCc2cn(-c3ccccc3)nn2)CCCOC1 ZINC000901528741 710066705 /nfs/dbraw/zinc/06/67/05/710066705.db2.gz ZQOFQBQHDYQAMQ-MRXNPFEDSA-N -1 1 316.361 1.381 20 0 DDADMM CCN(C)[C@H](C(=O)N1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1)c1ccccc1 ZINC000910798810 710080490 /nfs/dbraw/zinc/08/04/90/710080490.db2.gz RJYCLYSLGRFUJI-YJNKXOJESA-N -1 1 302.374 1.468 20 0 DDADMM COCCn1c(=O)cc([O-])nc1S[C@@H](C)C(=O)C(C)(C)C ZINC000901654534 710109769 /nfs/dbraw/zinc/10/97/69/710109769.db2.gz QBSJYRKAQWCAOD-VIFPVBQESA-N -1 1 314.407 1.691 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N[C@@H](Cc1ccc(F)cc1)C(=O)[O-] ZINC000910922707 710119830 /nfs/dbraw/zinc/11/98/30/710119830.db2.gz MXKPUFLTXYYSTG-UONOGXRCSA-N -1 1 308.353 1.422 20 0 DDADMM O=C([O-])[C@H](Cc1ccc(F)cc1)NC(=O)[C@H]1CCCN1C1CC1 ZINC000910924056 710120089 /nfs/dbraw/zinc/12/00/89/710120089.db2.gz BNYWRMDGPQMPLD-LSDHHAIUSA-N -1 1 320.364 1.564 20 0 DDADMM CN(C)CC(=O)N[C@H](C(=O)[O-])c1ccccc1OC(F)(F)F ZINC000910939140 710124803 /nfs/dbraw/zinc/12/48/03/710124803.db2.gz ZTWWOKRQIIGYIF-NSHDSACASA-N -1 1 320.267 1.389 20 0 DDADMM C[C@H](NCc1ccccc1OCC1CC1)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901743726 710138474 /nfs/dbraw/zinc/13/84/74/710138474.db2.gz NUHFPTQJPJGTBR-RYUDHWBXSA-N -1 1 320.389 1.543 20 0 DDADMM COc1cc2c(cc1CN1CC[C@](O)(C(=O)[O-])C1)O[C@@H](C)C2 ZINC000901900237 710168750 /nfs/dbraw/zinc/16/87/50/710168750.db2.gz DMCJMYBODQBTQD-MGPLVRAMSA-N -1 1 307.346 1.040 20 0 DDADMM Cc1cc(CN2CC[C@@](O)(C(=O)[O-])C2)ccc1Br ZINC000901903235 710169530 /nfs/dbraw/zinc/16/95/30/710169530.db2.gz SESSRNBPDAXNDP-ZDUSSCGKSA-N -1 1 314.179 1.779 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cnn(C2CCC2)c1 ZINC000901980032 710191828 /nfs/dbraw/zinc/19/18/28/710191828.db2.gz JUNCOWGPOKPVEQ-UHFFFAOYSA-N -1 1 303.384 1.013 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCCC[C@H]2CC(=O)[O-])C1 ZINC000901999011 710197777 /nfs/dbraw/zinc/19/77/77/710197777.db2.gz VXRYGAUZEKEYOM-WXHSDQCUSA-N -1 1 305.378 1.931 20 0 DDADMM C[C@](CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)(C(=O)[O-])c1ccccc1 ZINC000902011408 710201203 /nfs/dbraw/zinc/20/12/03/710201203.db2.gz LJGFFRTVHJTGGQ-PBFPGSCMSA-N -1 1 313.357 1.672 20 0 DDADMM COc1cnc(F)c(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)c1 ZINC000891615411 710249177 /nfs/dbraw/zinc/24/91/77/710249177.db2.gz QZNJIJIQKKPBDL-UHFFFAOYSA-N -1 1 318.308 1.856 20 0 DDADMM COCc1nc(N2CC[C@H](OCc3ccccn3)C2)cc(=O)[n-]1 ZINC000892948659 710530683 /nfs/dbraw/zinc/53/06/83/710530683.db2.gz XELVYLDGNGSIBF-ZDUSSCGKSA-N -1 1 316.361 1.519 20 0 DDADMM O=C([N-]S(=O)(=O)C1(Cl)CC1)c1[nH]nc2c1CCCCC2 ZINC000893237825 710577250 /nfs/dbraw/zinc/57/72/50/710577250.db2.gz SMKSQBGJJHGATD-UHFFFAOYSA-N -1 1 317.798 1.467 20 0 DDADMM CN1CCC[C@H]1C(=O)N1CCOc2ccccc2[C@@H]1C(=O)[O-] ZINC000911058206 710614731 /nfs/dbraw/zinc/61/47/31/710614731.db2.gz VMCNHBOEFUJLGR-GXTWGEPZSA-N -1 1 304.346 1.128 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2ccc3n[nH]cc3c2)C1 ZINC000911094714 710631528 /nfs/dbraw/zinc/63/15/28/710631528.db2.gz HQTSXEMAIOZTGT-SNVBAGLBSA-N -1 1 302.334 1.298 20 0 DDADMM CCn1nncc1CNCc1ccnc2c(C(=O)[O-])cccc12 ZINC000902296935 710702440 /nfs/dbraw/zinc/70/24/40/710702440.db2.gz MVMSMHOFTFQZSF-UHFFFAOYSA-N -1 1 311.345 1.834 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2cc(C)c(C(=O)[O-])o2)C1 ZINC000911352950 710758435 /nfs/dbraw/zinc/75/84/35/710758435.db2.gz PXDOINDEBAIECF-NSHDSACASA-N -1 1 310.350 1.127 20 0 DDADMM O=C([O-])c1ccc(C(=O)NC[C@H]2CCCCN2CCO)c(F)c1 ZINC000911555393 710850755 /nfs/dbraw/zinc/85/07/55/710850755.db2.gz OMUIIVMRWKEYOU-GFCCVEGCSA-N -1 1 324.352 1.101 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)c1cccc(CC(=O)[O-])c1 ZINC000911569674 710858953 /nfs/dbraw/zinc/85/89/53/710858953.db2.gz FKPOAYBRKZZDFJ-HNNXBMFYSA-N -1 1 304.390 1.870 20 0 DDADMM COCC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC000913436995 713215255 /nfs/dbraw/zinc/21/52/55/713215255.db2.gz JIUZRCMXPVQPAT-LBPRGKRZSA-N -1 1 301.350 1.222 20 0 DDADMM Cc1cnnc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)c1 ZINC000893915045 710884295 /nfs/dbraw/zinc/88/42/95/710884295.db2.gz ZONFYHHCRTZQBR-SNVBAGLBSA-N -1 1 302.300 1.680 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1CC1(Cl)Cl ZINC000913437941 713215741 /nfs/dbraw/zinc/21/57/41/713215741.db2.gz LWGDVXJLEKTSJG-RKDXNWHRSA-N -1 1 312.160 1.599 20 0 DDADMM O=C(NC[C@@H]1C[C@H]1C(F)(F)F)c1nc2ccccc2c(=O)[n-]1 ZINC000902972520 710980721 /nfs/dbraw/zinc/98/07/21/710980721.db2.gz WIMMIDONFHKTHF-IONNQARKSA-N -1 1 311.263 1.851 20 0 DDADMM C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1ccc2ccccc2c1[O-] ZINC000894464102 711135481 /nfs/dbraw/zinc/13/54/81/711135481.db2.gz IMRFGAIPYGASHH-YGRLFVJLSA-N -1 1 319.382 1.708 20 0 DDADMM Cn1cc([C@@H]2CN(C(=O)c3ccc(Cl)cc3[O-])CCN2)cn1 ZINC000913473303 713231197 /nfs/dbraw/zinc/23/11/97/713231197.db2.gz QHNDWASLYRNPIM-ZDUSSCGKSA-N -1 1 320.780 1.566 20 0 DDADMM NC(=O)C[C@@H]1CC[N@@H+](Cc2ncc(Br)cc2O)C1 ZINC000894519166 711160487 /nfs/dbraw/zinc/16/04/87/711160487.db2.gz CXZABKPUKMFRIS-QMMMGPOBSA-N -1 1 314.183 1.247 20 0 DDADMM NC(=O)C[C@@H]1CCN(Cc2ncc(Br)cc2[O-])C1 ZINC000894519166 711160490 /nfs/dbraw/zinc/16/04/90/711160490.db2.gz CXZABKPUKMFRIS-QMMMGPOBSA-N -1 1 314.183 1.247 20 0 DDADMM CC[C@H](O)[C@@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000912107718 711177022 /nfs/dbraw/zinc/17/70/22/711177022.db2.gz WDEQEVIKFDMATE-STQMWFEESA-N -1 1 305.378 1.825 20 0 DDADMM CC(C)(CC(=O)N1CCOC[C@H]1c1nn[n-]n1)CC(F)(F)F ZINC000913494145 713236929 /nfs/dbraw/zinc/23/69/29/713236929.db2.gz TVORIBDMERNASK-QMMMGPOBSA-N -1 1 321.303 1.468 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495033 713237936 /nfs/dbraw/zinc/23/79/36/713237936.db2.gz GLIXISIUOCNFGW-FROQITRMSA-N -1 1 317.324 1.342 20 0 DDADMM C[C@H](OC1CCCCCC1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496022 713238270 /nfs/dbraw/zinc/23/82/70/713238270.db2.gz RELOOIBXURTVFI-WCQYABFASA-N -1 1 323.397 1.228 20 0 DDADMM CSc1nc(CNC(=O)c2ncccc2N(C)C)cc(=O)[n-]1 ZINC000912246093 711242845 /nfs/dbraw/zinc/24/28/45/711242845.db2.gz CGQMVPFRBIBWJV-UHFFFAOYSA-N -1 1 319.390 1.295 20 0 DDADMM O=C(NCCn1ccnn1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000912253018 711247287 /nfs/dbraw/zinc/24/72/87/711247287.db2.gz XENPWSNOAINNFC-UHFFFAOYSA-N -1 1 300.240 1.433 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N(C)[C@H](C)c1ccccc1 ZINC000903712288 711250946 /nfs/dbraw/zinc/25/09/46/711250946.db2.gz VXHDNDFGHIYDGF-CQSZACIVSA-N -1 1 322.405 1.629 20 0 DDADMM O=C([O-])N[C@H]1C[C@H](NCc2nc3c(s2)COCC3)C12CCC2 ZINC000904261711 711419939 /nfs/dbraw/zinc/41/99/39/711419939.db2.gz KKGWCUUFTSUITA-RYUDHWBXSA-N -1 1 323.418 1.884 20 0 DDADMM Cc1cc(F)c(C(=O)[O-])cc1S(=O)(=O)N(C)CCN(C)C ZINC000905196893 711965640 /nfs/dbraw/zinc/96/56/40/711965640.db2.gz OAYDCDOLQPNIJX-UHFFFAOYSA-N -1 1 318.370 1.014 20 0 DDADMM O=C([C@H]1C[C@H]1Cc1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743605 713292383 /nfs/dbraw/zinc/29/23/83/713292383.db2.gz COBJCXUDTADQSB-CABCVRRESA-N -1 1 311.389 1.785 20 0 DDADMM O=S(=O)(CC1(F)CCC1)[N-][C@@H](CO)c1c(F)cccc1F ZINC000914161943 713350942 /nfs/dbraw/zinc/35/09/42/713350942.db2.gz AMTYTSBGVBKCMT-NSHDSACASA-N -1 1 323.336 1.810 20 0 DDADMM Cc1sc(Cl)nc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744930 713293138 /nfs/dbraw/zinc/29/31/38/713293138.db2.gz KUFDAOSXSBBGBJ-UHFFFAOYSA-N -1 1 312.786 1.638 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H](N)c1ccc(C(F)(F)F)cc1)C(=O)[O-] ZINC000905289340 711994120 /nfs/dbraw/zinc/99/41/20/711994120.db2.gz JIKVMEAZLDWLRH-KWQFWETISA-N -1 1 318.295 1.932 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@H]2NCc1cnc2n1CCC2 ZINC000905794984 712148328 /nfs/dbraw/zinc/14/83/28/712148328.db2.gz NGGNJXMDZUHNMP-LLVKDONJSA-N -1 1 301.350 1.349 20 0 DDADMM CN(CC(C)(C)C)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906851475 712422261 /nfs/dbraw/zinc/42/22/61/712422261.db2.gz KMZDZEULNOMHPR-UHFFFAOYSA-N -1 1 301.364 1.757 20 0 DDADMM CCn1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1C1CC1 ZINC000907349587 712549801 /nfs/dbraw/zinc/54/98/01/712549801.db2.gz HBERHDXJBKTIQC-VIFPVBQESA-N -1 1 303.370 1.169 20 0 DDADMM CCOC(=O)CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CCC1 ZINC000907419764 712566675 /nfs/dbraw/zinc/56/66/75/712566675.db2.gz CAKJLMOXDPMPMX-UHFFFAOYSA-N -1 1 319.361 1.617 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2CC[C@@H](C3CC3)O2)sn1 ZINC000907471160 712580297 /nfs/dbraw/zinc/58/02/97/712580297.db2.gz MIWRFTLEDZZZPU-MNOVXSKESA-N -1 1 302.421 1.687 20 0 DDADMM O=Cc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cs1 ZINC000907473293 712581084 /nfs/dbraw/zinc/58/10/84/712581084.db2.gz FOSDVOZIPCEOKL-SECBINFHSA-N -1 1 309.376 1.004 20 0 DDADMM O=C(c1ccc2cc[nH]c2c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907473055 712581257 /nfs/dbraw/zinc/58/12/57/712581257.db2.gz BOVVIRXVPHVTKZ-LBPRGKRZSA-N -1 1 314.374 1.611 20 0 DDADMM CC(=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC000907477157 712582524 /nfs/dbraw/zinc/58/25/24/712582524.db2.gz RNJFTAKZWOFREV-PRWOLLLXSA-N -1 1 315.402 1.920 20 0 DDADMM Cc1ccncc1C=CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477620 712582674 /nfs/dbraw/zinc/58/26/74/712582674.db2.gz GDIBGAIZSLHSOB-JDGPPOGSSA-N -1 1 316.390 1.233 20 0 DDADMM Cc1ccncc1C=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477622 712582840 /nfs/dbraw/zinc/58/28/40/712582840.db2.gz GDIBGAIZSLHSOB-ZZKXABKFSA-N -1 1 316.390 1.233 20 0 DDADMM O=C(c1ccoc1C1CC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480163 712583493 /nfs/dbraw/zinc/58/34/93/712583493.db2.gz QULLYQMFFQXTSZ-SNVBAGLBSA-N -1 1 305.363 1.600 20 0 DDADMM CC(=Cc1ccco1)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480618 712583869 /nfs/dbraw/zinc/58/38/69/712583869.db2.gz PRKDYFSCAIKANA-DVBBHNHCSA-N -1 1 305.363 1.513 20 0 DDADMM C[C@H]1CC(C(=O)N2CCSC[C@H]2c2nn[n-]n2)C[C@H](C)C1 ZINC000907481117 712583940 /nfs/dbraw/zinc/58/39/40/712583940.db2.gz ZOBVUCBNQBPUEE-FOGDFJRCSA-N -1 1 309.439 1.889 20 0 DDADMM CC1=C(C)C[C@@H](C(=O)N2CCSC[C@@H]2c2nn[n-]n2)CC1 ZINC000907481397 712583945 /nfs/dbraw/zinc/58/39/45/712583945.db2.gz WDFHFOMLWULQQT-NWDGAFQWSA-N -1 1 307.423 1.953 20 0 DDADMM CC(=O)c1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cs1 ZINC000907481506 712584192 /nfs/dbraw/zinc/58/41/92/712584192.db2.gz WREPQFRHQAPXMK-SECBINFHSA-N -1 1 323.403 1.394 20 0 DDADMM C[C@H](CO)N(CC1CCC1)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907544665 712592427 /nfs/dbraw/zinc/59/24/27/712592427.db2.gz AHEDOZCWSSTQMC-SNVBAGLBSA-N -1 1 305.378 1.683 20 0 DDADMM C[C@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)[C@@H]1CO ZINC000907631965 712605957 /nfs/dbraw/zinc/60/59/57/712605957.db2.gz IRLWJKXOJYXMEG-JOYOIKCWSA-N -1 1 304.321 1.922 20 0 DDADMM CC1(C)CC=C(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1 ZINC000907941253 712652217 /nfs/dbraw/zinc/65/22/17/712652217.db2.gz PKTBHIVMHXBLIU-UHFFFAOYSA-N -1 1 305.382 1.146 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H]3C[C@@H]4C[C@H](C(=O)[O-])C[C@H]34)c2C1 ZINC000907947761 712653524 /nfs/dbraw/zinc/65/35/24/712653524.db2.gz HLLQSJPUMLOQDA-ADADXYTCSA-N -1 1 317.389 1.764 20 0 DDADMM CCO[C@]12CCC[C@@]1([N-]S(=O)(=O)Cc1ccon1)CCO2 ZINC000908023432 712668017 /nfs/dbraw/zinc/66/80/17/712668017.db2.gz GRJKCHWRKGUVFW-OLZOCXBDSA-N -1 1 316.379 1.170 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ccc(CCO)cc2)sn1 ZINC000908269722 712725138 /nfs/dbraw/zinc/72/51/38/712725138.db2.gz SDUOMZDHFYRNKN-UHFFFAOYSA-N -1 1 312.416 1.465 20 0 DDADMM CC(C)OC(=O)C(C)(C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000908489747 712788265 /nfs/dbraw/zinc/78/82/65/712788265.db2.gz QAOZUYPGUCBQKF-UHFFFAOYSA-N -1 1 321.345 1.973 20 0 DDADMM CCN(C)C(=O)[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C(C)C ZINC000908565818 712808322 /nfs/dbraw/zinc/80/83/22/712808322.db2.gz GRGXQAUXYHMOED-LBPRGKRZSA-N -1 1 320.393 1.292 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(C(=O)c1cc(C(F)(F)F)[nH]n1)CC2 ZINC000908654184 712830034 /nfs/dbraw/zinc/83/00/34/712830034.db2.gz ZRRIUUATFMQWIT-SSDOTTSWSA-N -1 1 317.267 1.755 20 0 DDADMM CN1CC[C@H](C(=O)Nc2cccc([O-])c2Br)C1=O ZINC000908709454 712839061 /nfs/dbraw/zinc/83/90/61/712839061.db2.gz LBNXPJMAQBSZEY-SSDOTTSWSA-N -1 1 313.151 1.572 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000908747265 712846714 /nfs/dbraw/zinc/84/67/14/712846714.db2.gz KADAYBAEFQZITQ-NEPJUHHUSA-N -1 1 309.366 1.531 20 0 DDADMM CCN(CC)[C@H](CNC(=O)N[C@@H](CF)C(=O)[O-])c1ccco1 ZINC000908875356 712876867 /nfs/dbraw/zinc/87/68/67/712876867.db2.gz GBZCLPNTBCZCNW-WDEREUQCSA-N -1 1 315.345 1.384 20 0 DDADMM C[C@H]1C[C@H]1C(=O)COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000908921412 712887549 /nfs/dbraw/zinc/88/75/49/712887549.db2.gz LPTDUJSVBCUZGX-JGVFFNPUSA-N -1 1 317.388 1.502 20 0 DDADMM CCN(CC(=O)N[C@](CC)(C(=O)[O-])c1ccccc1)C1CC1 ZINC000908939723 712892560 /nfs/dbraw/zinc/89/25/60/712892560.db2.gz MMGRBASCXIVSAA-KRWDZBQOSA-N -1 1 304.390 1.977 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]CCCC(C)(F)F)s1 ZINC000915976335 713437034 /nfs/dbraw/zinc/43/70/34/713437034.db2.gz CPMWYKNQUZVRBG-UHFFFAOYSA-N -1 1 300.352 1.865 20 0 DDADMM O=C1C[C@H](CC[N-]S(=O)(=O)c2cc3ccccc3o2)CN1 ZINC000921651001 713831896 /nfs/dbraw/zinc/83/18/96/713831896.db2.gz KCPLIWULFDNRBL-JTQLQIEISA-N -1 1 308.359 1.237 20 0 DDADMM CC(=O)N(C)Cc1ccccc1CNC(=O)c1ncccc1[O-] ZINC000963869254 717930035 /nfs/dbraw/zinc/93/00/35/717930035.db2.gz FAVSHYIFHWUKJG-UHFFFAOYSA-N -1 1 313.357 1.696 20 0 DDADMM O=S(=O)([N-][C@H](C1CC1)[C@H]1CCCOC1)c1ccns1 ZINC000921846540 713884050 /nfs/dbraw/zinc/88/40/50/713884050.db2.gz GUJFCXAIWQSSLU-CMPLNLGQSA-N -1 1 302.421 1.627 20 0 DDADMM O=C(NC[C@H]1CC[C@H](NC(=O)C2CC2)CC1)c1ncccc1[O-] ZINC000963904615 717942471 /nfs/dbraw/zinc/94/24/71/717942471.db2.gz MXXKBDJKLWKLBI-AULYBMBSSA-N -1 1 317.389 1.602 20 0 DDADMM CO[C@H](CNC(=O)NCCc1c(F)cc([O-])cc1F)C1CC1 ZINC000922627429 714104763 /nfs/dbraw/zinc/10/47/63/714104763.db2.gz KBIPGSUODNZLAF-CQSZACIVSA-N -1 1 314.332 1.937 20 0 DDADMM COc1ccc(CN(CCO)C(=O)c2ncc(C)cc2[O-])cc1 ZINC000922672705 714116083 /nfs/dbraw/zinc/11/60/83/714116083.db2.gz COZUFIWSIAKEMM-UHFFFAOYSA-N -1 1 316.357 1.739 20 0 DDADMM C[C@H](CN1CCC[C@H]2CCNC(=O)[C@H]21)C(=O)c1ccc(O)cc1 ZINC000931702858 714164775 /nfs/dbraw/zinc/16/47/75/714164775.db2.gz FNUMLWJKDYXERH-WWGRRREGSA-N -1 1 316.401 1.812 20 0 DDADMM C[C@@H](CCO)N(C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932060663 714249463 /nfs/dbraw/zinc/24/94/63/714249463.db2.gz UZKKQGFOGNZDGU-NSHDSACASA-N -1 1 304.350 1.813 20 0 DDADMM Cc1ncc(C(=O)N2CC[C@H](C(=O)[O-])C[C@@H]2[C@H]2CCCO2)[nH]1 ZINC000923161283 714258327 /nfs/dbraw/zinc/25/83/27/714258327.db2.gz VKAYKAHJEUFICU-CYZMBNFOSA-N -1 1 307.350 1.203 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2ncc(C)cn2)[n-]c1=O ZINC000934274537 714783713 /nfs/dbraw/zinc/78/37/13/714783713.db2.gz USNNTFWLAVEKJK-LLVKDONJSA-N -1 1 301.350 1.626 20 0 DDADMM O=C(c1cnn(C2CCC2)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000935036366 714960749 /nfs/dbraw/zinc/96/07/49/714960749.db2.gz ANBOOZBVWRYNQK-SNVBAGLBSA-N -1 1 301.354 1.141 20 0 DDADMM Cc1nn(C)cc1C1=NO[C@H](C(=O)Nc2c([O-])cccc2F)C1 ZINC000935100411 714975888 /nfs/dbraw/zinc/97/58/88/714975888.db2.gz OGMPRVKXLFTAML-ZDUSSCGKSA-N -1 1 318.308 1.705 20 0 DDADMM CC1(CC(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)COC1 ZINC000935232977 715008937 /nfs/dbraw/zinc/00/89/37/715008937.db2.gz YMIQVLILXXSMGC-UHFFFAOYSA-N -1 1 316.354 1.562 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937865027 715619609 /nfs/dbraw/zinc/61/96/09/715619609.db2.gz DBTYTVBAQHPAGO-GRYCIOLGSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)(F)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956848639 715635714 /nfs/dbraw/zinc/63/57/14/715635714.db2.gz CVKCMRQCUQJOOJ-OAHLLOKOSA-N -1 1 309.341 1.256 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959107996 716870134 /nfs/dbraw/zinc/87/01/34/716870134.db2.gz MICDNTFHIAZJDW-GKADRNHJSA-N -1 1 317.389 1.720 20 0 DDADMM CCCC(=O)N1CCC[C@@H]2[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962689632 717556496 /nfs/dbraw/zinc/55/64/96/717556496.db2.gz HOAGGDBMHVEMLP-OLZOCXBDSA-N -1 1 317.389 1.793 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000943977219 718236036 /nfs/dbraw/zinc/23/60/36/718236036.db2.gz XYIGXAFAKOAKFR-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)N[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1C ZINC000945327660 718441759 /nfs/dbraw/zinc/44/17/59/718441759.db2.gz MKBYHQQCPUBJLP-RNCFNFMXSA-N -1 1 318.377 1.046 20 0 DDADMM CCC(=O)N1CCC[C@@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000945544341 718482525 /nfs/dbraw/zinc/48/25/25/718482525.db2.gz PNIMUNJIXPCFQR-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(/C=C/C1CC1)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000945899915 718609188 /nfs/dbraw/zinc/60/91/88/718609188.db2.gz ASFUBIXUOGRHJR-AATRIKPKSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H](C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1)C1CC1 ZINC000946022117 718644242 /nfs/dbraw/zinc/64/42/42/718644242.db2.gz WTMUHBVXTFMMOL-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)NC[C@@H]1CCC[C@@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000946206586 718711315 /nfs/dbraw/zinc/71/13/15/718711315.db2.gz ORKFCZXBORBWFP-AAEUAGOBSA-N -1 1 318.377 1.094 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(C)(C)F ZINC000948618681 719592151 /nfs/dbraw/zinc/59/21/51/719592151.db2.gz AESYRKASZGYWBH-NXEZZACHSA-N -1 1 309.341 1.112 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000949860569 720386474 /nfs/dbraw/zinc/38/64/74/720386474.db2.gz FFBVRSDSLOSACT-LBPRGKRZSA-N -1 1 303.362 1.474 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2ocnc2C)C1 ZINC000949952856 720428693 /nfs/dbraw/zinc/42/86/93/720428693.db2.gz ZPQVKGPKOQMYRL-UHFFFAOYSA-N -1 1 316.361 1.430 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)c1ccsc1 ZINC000969925452 720562755 /nfs/dbraw/zinc/56/27/55/720562755.db2.gz XFCNLVNUKJANRX-MWLCHTKSSA-N -1 1 321.406 1.066 20 0 DDADMM CCC(=O)N[C@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000950847215 720794104 /nfs/dbraw/zinc/79/41/04/720794104.db2.gz POAUGZZSYACJGX-NSHDSACASA-N -1 1 318.377 1.190 20 0 DDADMM Cc1cc(CN(C)[C@H]2CCN(C(=O)c3ncccc3[O-])C2)no1 ZINC000971393315 721275843 /nfs/dbraw/zinc/27/58/43/721275843.db2.gz GYUBDCFJSDRHKE-ZDUSSCGKSA-N -1 1 316.361 1.430 20 0 DDADMM C[C@H](NC(=O)C1CC1)[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000953596278 721629659 /nfs/dbraw/zinc/62/96/59/721629659.db2.gz ZJPMHIBVYWXZRL-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM CC1CC(C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000954011099 721691852 /nfs/dbraw/zinc/69/18/52/721691852.db2.gz LEPMURNXUIZIAI-UHFFFAOYSA-N -1 1 303.362 1.116 20 0 DDADMM Cc1ccc(C(=O)NCC[C@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001075513090 736259731 /nfs/dbraw/zinc/25/97/31/736259731.db2.gz HAQDUAONIAMXCI-JTQLQIEISA-N -1 1 317.345 1.627 20 0 DDADMM C[C@@H](CCNC(=O)[C@H]1CC=CCC1)NC(=O)c1ncccc1[O-] ZINC001075578830 738636210 /nfs/dbraw/zinc/63/62/10/738636210.db2.gz KMCVBQCADNUWNM-STQMWFEESA-N -1 1 317.389 1.768 20 0 DDADMM Cc1nocc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010729888 733188966 /nfs/dbraw/zinc/18/89/66/733188966.db2.gz CLOGXRPINJZMQG-GFCCVEGCSA-N -1 1 302.334 1.088 20 0 DDADMM Cc1ncc(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038195924 733220305 /nfs/dbraw/zinc/22/03/05/733220305.db2.gz YJSWOBHXQXOQIT-NSHDSACASA-N -1 1 302.334 1.088 20 0 DDADMM Cc1cc(CN2CCC[C@@H]2CNC(=O)c2ncccc2[O-])no1 ZINC001027843689 738726519 /nfs/dbraw/zinc/72/65/19/738726519.db2.gz FLKVWMCZMOHRDP-CYBMUJFWSA-N -1 1 316.361 1.478 20 0 DDADMM C[C@@H](c1cccc(Cl)c1)N(C)c1nnc(-c2nnn[n-]2)n1C ZINC001121267631 782432208 /nfs/dbraw/zinc/43/22/08/782432208.db2.gz CLTTVEVJFVLVJT-QMMMGPOBSA-N -1 1 318.772 1.846 20 0 DDADMM C[C@@H](c1cccc(Cl)c1)N(C)c1nnc(-c2nn[n-]n2)n1C ZINC001121267631 782432211 /nfs/dbraw/zinc/43/22/11/782432211.db2.gz CLTTVEVJFVLVJT-QMMMGPOBSA-N -1 1 318.772 1.846 20 0 DDADMM C[C@H]1[C@H](Cc2ccccc2)CCN1c1nnc(-c2nnn[n-]2)n1C ZINC001121268604 782433710 /nfs/dbraw/zinc/43/37/10/782433710.db2.gz SEARAHVLOHYOOT-AAEUAGOBSA-N -1 1 324.392 1.453 20 0 DDADMM C[C@H]1[C@H](Cc2ccccc2)CCN1c1nnc(-c2nn[n-]n2)n1C ZINC001121268604 782433714 /nfs/dbraw/zinc/43/37/14/782433714.db2.gz SEARAHVLOHYOOT-AAEUAGOBSA-N -1 1 324.392 1.453 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CCC[C@@H]1c1ccccc1 ZINC001121409108 782497105 /nfs/dbraw/zinc/49/71/05/782497105.db2.gz UWLNAXGETAURNX-GFCCVEGCSA-N -1 1 310.365 1.261 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCC[C@@H]1c1ccccc1 ZINC001121409108 782497111 /nfs/dbraw/zinc/49/71/11/782497111.db2.gz UWLNAXGETAURNX-GFCCVEGCSA-N -1 1 310.365 1.261 20 0 DDADMM O=C(CCC1CC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024906572 736162221 /nfs/dbraw/zinc/16/22/21/736162221.db2.gz KJIDWDNXVQSSRU-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM O=C(N[C@@H]1CCN(CCc2ccns2)C1)c1ccccc1O ZINC001010266901 738938596 /nfs/dbraw/zinc/93/85/96/738938596.db2.gz HQAHRUAFCDBDEW-GFCCVEGCSA-N -1 1 317.414 1.896 20 0 DDADMM Cn1ccc(CC[N-]S(=O)(=O)c2ccc(Cl)nc2F)n1 ZINC000692887810 738943076 /nfs/dbraw/zinc/94/30/76/738943076.db2.gz FHYRWVFQTXXJGI-UHFFFAOYSA-N -1 1 318.761 1.129 20 0 DDADMM Cc1nnc([C@H](C)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001020096078 736990882 /nfs/dbraw/zinc/99/08/82/736990882.db2.gz MBOGVHSILJUIFR-LSJOCFKGSA-N -1 1 317.349 1.090 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@@H](O)C1)c1ccc(Cl)nc1F ZINC000692888745 738959209 /nfs/dbraw/zinc/95/92/09/738959209.db2.gz MSWVGHLFGPQZID-HTQZYQBOSA-N -1 1 308.762 1.313 20 0 DDADMM C[C@@H]1CN(c2cc(C(=O)[O-])ncn2)CCN1Cc1ccccc1 ZINC000396393923 737418317 /nfs/dbraw/zinc/41/83/17/737418317.db2.gz ULSVTQQAQVCREY-CYBMUJFWSA-N -1 1 312.373 1.886 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C(C)C ZINC000692904636 739026356 /nfs/dbraw/zinc/02/63/56/739026356.db2.gz MVBDTJNAALFKAL-VIFPVBQESA-N -1 1 324.761 1.350 20 0 DDADMM Cc1ccoc1C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075612991 739174759 /nfs/dbraw/zinc/17/47/59/739174759.db2.gz LPVGQMSJTADVGJ-NSHDSACASA-N -1 1 317.345 1.627 20 0 DDADMM Cc1ccc(N(C)CCN(C)C(=O)c2ncccc2[O-])nn1 ZINC001105376468 739813034 /nfs/dbraw/zinc/81/30/34/739813034.db2.gz UCJFHPGSUDPEDO-UHFFFAOYSA-N -1 1 301.350 1.094 20 0 DDADMM CCC(CC)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088267086 741289087 /nfs/dbraw/zinc/28/90/87/741289087.db2.gz ODRLQNPZDPZDNY-ZDUSSCGKSA-N -1 1 323.441 1.807 20 0 DDADMM CC(C)CC(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001029928683 741604573 /nfs/dbraw/zinc/60/45/73/741604573.db2.gz SNIXGJDGSJIQFM-UHFFFAOYSA-N -1 1 309.414 1.227 20 0 DDADMM Cc1cc(NCCC[C@@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001114906916 751674051 /nfs/dbraw/zinc/67/40/51/751674051.db2.gz MBHQHJISDJTBCS-LLVKDONJSA-N -1 1 315.377 1.896 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)Cc1ccoc1 ZINC001076244983 742624726 /nfs/dbraw/zinc/62/47/26/742624726.db2.gz IRPXKTJUTOCWRM-NSHDSACASA-N -1 1 317.345 1.248 20 0 DDADMM CSCC(=O)N1CCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002121097 742880095 /nfs/dbraw/zinc/88/00/95/742880095.db2.gz ZNFGUWMNMGNPPB-NSHDSACASA-N -1 1 323.418 1.213 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)C1CCC1 ZINC001002411882 743117305 /nfs/dbraw/zinc/11/73/05/743117305.db2.gz NAQPOHOFTQJCOR-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM COCC1(C(=O)Nc2cc(=O)[n-]c(SC)n2)CCOCC1 ZINC001182427767 743614345 /nfs/dbraw/zinc/61/43/45/743614345.db2.gz RPDOYJXHBVFUML-UHFFFAOYSA-N -1 1 313.379 1.286 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)C(C)(C)C)C(=O)c1ncccc1[O-] ZINC001002982406 743629430 /nfs/dbraw/zinc/62/94/30/743629430.db2.gz IUZBXFWTKRUMCJ-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM O=C(CCC1CCCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998438690 751845508 /nfs/dbraw/zinc/84/55/08/751845508.db2.gz SZBPSZGXVQQUBO-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM Cc1nc(NC(=O)C(F)(F)OCC(F)(F)F)cc(=O)[n-]1 ZINC001183192593 743793906 /nfs/dbraw/zinc/79/39/06/743793906.db2.gz YNCSONLZLFZJRJ-UHFFFAOYSA-N -1 1 301.171 1.601 20 0 DDADMM CCCCC(=O)NCC(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001183264806 743812079 /nfs/dbraw/zinc/81/20/79/743812079.db2.gz LEPADVPQTGYLRR-UHFFFAOYSA-N -1 1 316.361 1.823 20 0 DDADMM O=C(NC1CN(CCCc2ccccc2)C1)c1ncccc1[O-] ZINC001030243962 743982064 /nfs/dbraw/zinc/98/20/64/743982064.db2.gz YGGWOYYXVMGWPF-UHFFFAOYSA-N -1 1 311.385 1.834 20 0 DDADMM C[C@@H]1C[C@H]1c1ncc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cn1 ZINC001185142995 744160310 /nfs/dbraw/zinc/16/03/10/744160310.db2.gz DMCNSKPNHMYXHD-HTRCEHHLSA-N -1 1 311.305 1.224 20 0 DDADMM O=S(=O)([N-]c1ccc(F)cc1CO)c1ccc2n[nH]cc2c1 ZINC001185997187 744319441 /nfs/dbraw/zinc/31/94/41/744319441.db2.gz WDWYMMMGSVMDAG-UHFFFAOYSA-N -1 1 321.333 1.995 20 0 DDADMM COC(=O)C(NC(=O)Nc1c(F)cc(F)cc1F)=C(C)[O-] ZINC001186078290 744332548 /nfs/dbraw/zinc/33/25/48/744332548.db2.gz JODHYLVQQXPMPK-VIFPVBQESA-N -1 1 304.224 1.356 20 0 DDADMM CCNC(=O)CO[C@@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC001186326803 744374940 /nfs/dbraw/zinc/37/49/40/744374940.db2.gz IKJZRTPLPSEYFZ-GFCCVEGCSA-N -1 1 324.352 1.289 20 0 DDADMM O=c1[nH]c(Br)ccc1[N-]S(=O)(=O)c1ccco1 ZINC001186820365 744454222 /nfs/dbraw/zinc/45/42/22/744454222.db2.gz PKUOTBGBBYGXNA-UHFFFAOYSA-N -1 1 319.136 1.944 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cc(C(=O)OC)ccc1F ZINC001187287645 744535004 /nfs/dbraw/zinc/53/50/04/744535004.db2.gz NGBIFJMWOSKZAA-UHFFFAOYSA-N -1 1 305.327 1.391 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])Nc1ncc(Cl)cn1 ZINC001099105344 744537756 /nfs/dbraw/zinc/53/77/56/744537756.db2.gz YHZIURGDWNKNJS-VIFPVBQESA-N -1 1 321.768 1.851 20 0 DDADMM COc1ccc([C@H]([N-]S(=O)(=O)c2cnoc2C)C2CC2)nc1 ZINC001187414844 744559514 /nfs/dbraw/zinc/55/95/14/744559514.db2.gz UVEKPIOCUBJCIW-CQSZACIVSA-N -1 1 323.374 1.816 20 0 DDADMM O=S(=O)([N-]Cc1cc(Br)no1)c1nccs1 ZINC001187907293 744628207 /nfs/dbraw/zinc/62/82/07/744628207.db2.gz ACDKXSVWQAJLOW-UHFFFAOYSA-N -1 1 324.181 1.372 20 0 DDADMM Cn1nnc2cc(NC(=O)c3n[n-]nc3C(F)(F)F)ccc21 ZINC001187766328 744607652 /nfs/dbraw/zinc/60/76/52/744607652.db2.gz QBKMCWZIDGQIRN-UHFFFAOYSA-N -1 1 311.227 1.358 20 0 DDADMM O=S(=O)([N-]C[C@H]1COc2ccccc2C1)c1nccs1 ZINC001187920880 744635450 /nfs/dbraw/zinc/63/54/50/744635450.db2.gz RFODJWHNWRORQA-JTQLQIEISA-N -1 1 310.400 1.673 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc(C(F)(F)F)[nH]1 ZINC001188498148 744713762 /nfs/dbraw/zinc/71/37/62/744713762.db2.gz AMSJQUVMECSDJD-UHFFFAOYSA-N -1 1 317.183 1.885 20 0 DDADMM COCn1cc([N-]S(=O)(=O)Cc2ccc(F)cc2F)cn1 ZINC001189789392 744982866 /nfs/dbraw/zinc/98/28/66/744982866.db2.gz QHJNXRDEBPUGLJ-UHFFFAOYSA-N -1 1 317.317 1.707 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(CC2CC2)C1)c1ncc(F)cc1F ZINC001190205509 745151859 /nfs/dbraw/zinc/15/18/59/745151859.db2.gz UWKOCNUGLUOVFK-NSHDSACASA-N -1 1 317.361 1.122 20 0 DDADMM C[C@@H]1COCC[C@@H]1NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629692 745278750 /nfs/dbraw/zinc/27/87/50/745278750.db2.gz VOIOUFCERWGBRC-PWSUYJOCSA-N -1 1 314.345 1.399 20 0 DDADMM Cn1cccc1CNC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190631666 745279795 /nfs/dbraw/zinc/27/97/95/745279795.db2.gz CJBVCJRURQLFCI-UHFFFAOYSA-N -1 1 309.329 1.513 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2ncc[nH]2)c(Cl)s1 ZINC001190689274 745297830 /nfs/dbraw/zinc/29/78/30/745297830.db2.gz CRHGILJQLNPFEC-UHFFFAOYSA-N -1 1 321.767 1.712 20 0 DDADMM COC(=O)c1ccnc(Cl)c1NS(=O)(=O)c1ncc[n-]1 ZINC001190689944 745298562 /nfs/dbraw/zinc/29/85/62/745298562.db2.gz RBFBNGTZKVJLGZ-UHFFFAOYSA-N -1 1 316.726 1.046 20 0 DDADMM COC(=O)[C@H]1C[C@@H]1CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC001191158914 745438514 /nfs/dbraw/zinc/43/85/14/745438514.db2.gz ZEBZBBCSIXUOTN-BDAKNGLRSA-N -1 1 313.737 1.593 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cnc(SC)[n-]c2=O)c[nH]1 ZINC001191429091 745509269 /nfs/dbraw/zinc/50/92/69/745509269.db2.gz JJWQXEOBXDXTKV-UHFFFAOYSA-N -1 1 323.334 1.056 20 0 DDADMM COc1cccc([C@H](C)NC(=O)NCC[P@](=O)([O-])O)c1 ZINC001192899305 745923830 /nfs/dbraw/zinc/92/38/30/745923830.db2.gz TYTJCCZABGLULL-VIFPVBQESA-N -1 1 302.267 1.233 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CC1CCC1)c1cccnc1 ZINC001193542450 746125223 /nfs/dbraw/zinc/12/52/23/746125223.db2.gz HRVLHOAHCJXZAX-ZDUSSCGKSA-N -1 1 312.391 1.405 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)CNC(=O)C2 ZINC001193935295 746227068 /nfs/dbraw/zinc/22/70/68/746227068.db2.gz XIESLQKDSILURZ-UHFFFAOYSA-N -1 1 317.370 1.242 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2nc[nH]c(=O)c2c1 ZINC001193924141 746239429 /nfs/dbraw/zinc/23/94/29/746239429.db2.gz KTXGMPIDXNMDKV-UHFFFAOYSA-N -1 1 316.342 1.718 20 0 DDADMM COc1ccc(CNC(=O)c2cc([O-])cnc2Cl)c(OC)n1 ZINC001195315218 746574912 /nfs/dbraw/zinc/57/49/12/746574912.db2.gz NSDRZADBKUUMBL-UHFFFAOYSA-N -1 1 323.736 1.783 20 0 DDADMM COc1ccc(CNC(=O)c2cc([O-])cnc2Cl)nc1OC ZINC001195315383 746575261 /nfs/dbraw/zinc/57/52/61/746575261.db2.gz PZMBQDGOLMZYQT-UHFFFAOYSA-N -1 1 323.736 1.783 20 0 DDADMM CCOC(=O)c1ccncc1NC(=O)c1nc(C)ccc1[O-] ZINC001195318080 746576037 /nfs/dbraw/zinc/57/60/37/746576037.db2.gz HPUAQEQHVSQEGF-UHFFFAOYSA-N -1 1 301.302 1.920 20 0 DDADMM CC(=O)Nc1cc([N-]S(=O)(=O)c2ccc(O)cc2)ccn1 ZINC001195766185 746691103 /nfs/dbraw/zinc/69/11/03/746691103.db2.gz JMLNXRQYDSQUPF-UHFFFAOYSA-N -1 1 307.331 1.546 20 0 DDADMM Cc1cc(NC(=O)c2c[nH]c(=S)[n-]c2=O)n(C2CCC2)n1 ZINC001196015261 746757328 /nfs/dbraw/zinc/75/73/28/746757328.db2.gz ZBBRHJOKRBNBOZ-UHFFFAOYSA-N -1 1 305.363 1.953 20 0 DDADMM Cn1ccc(NC(=O)c2sccc2[N-]S(C)(=O)=O)n1 ZINC001196721521 746944563 /nfs/dbraw/zinc/94/45/63/746944563.db2.gz LWNKMNSDLVDAOX-UHFFFAOYSA-N -1 1 300.365 1.105 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cc(F)cnc1OC ZINC001196922601 746997644 /nfs/dbraw/zinc/99/76/44/746997644.db2.gz OQLDOQLHEIWRSM-UHFFFAOYSA-N -1 1 320.342 1.314 20 0 DDADMM CC(C)(C)c1nc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)no1 ZINC001196982500 747025006 /nfs/dbraw/zinc/02/50/06/747025006.db2.gz YCZOATJIDXWVQL-UHFFFAOYSA-N -1 1 308.254 1.219 20 0 DDADMM COC(=O)c1ccnc(C)c1[N-]S(=O)(=O)Cc1cccnc1 ZINC001197430988 747162788 /nfs/dbraw/zinc/16/27/88/747162788.db2.gz UZPRFHBXGLNSEQ-UHFFFAOYSA-N -1 1 321.358 1.514 20 0 DDADMM CN(C)c1cc(F)cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001198138580 747379070 /nfs/dbraw/zinc/37/90/70/747379070.db2.gz XOUFMFAVVQDVPR-UHFFFAOYSA-N -1 1 316.296 1.516 20 0 DDADMM CC(C)n1ccc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1=O ZINC001199494604 747861757 /nfs/dbraw/zinc/86/17/57/747861757.db2.gz ABGDOOXEFVVZSU-UHFFFAOYSA-N -1 1 314.305 1.053 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]c1cnc[nH]c1=O ZINC001201733570 748602806 /nfs/dbraw/zinc/60/28/06/748602806.db2.gz VOJNCCNDCWAISI-UHFFFAOYSA-N -1 1 306.756 1.401 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)C1CCCC1 ZINC001004665188 748633882 /nfs/dbraw/zinc/63/38/82/748633882.db2.gz ZTMQJBUUOCICCW-WCQYABFASA-N -1 1 321.425 1.560 20 0 DDADMM CC1(C)CN(C(=O)CCC2CCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995615745 748994481 /nfs/dbraw/zinc/99/44/81/748994481.db2.gz BRUQXUVHMXUEOQ-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H](CNc1ncncc1Cl)NC(=O)c1ncccc1[O-] ZINC001107690154 750383880 /nfs/dbraw/zinc/38/38/80/750383880.db2.gz LCOGACCLTILBDR-MRVPVSSYSA-N -1 1 307.741 1.461 20 0 DDADMM CCSC1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000691855898 750527863 /nfs/dbraw/zinc/52/78/63/750527863.db2.gz OKXKNOAEEUZWAR-UHFFFAOYSA-N -1 1 309.844 1.638 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017226503 750628269 /nfs/dbraw/zinc/62/82/69/750628269.db2.gz KGIHSYIVBWCYCB-CHWSQXEVSA-N -1 1 323.441 1.473 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1CCC1 ZINC001007777344 752341162 /nfs/dbraw/zinc/34/11/62/752341162.db2.gz UJJYJYBICWZGRD-CYBMUJFWSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)oc1C ZINC000998933692 752356612 /nfs/dbraw/zinc/35/66/12/752356612.db2.gz VVGRCIBTQLJSQD-LLVKDONJSA-N -1 1 319.365 1.115 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2ccoc2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036504319 752492620 /nfs/dbraw/zinc/49/26/20/752492620.db2.gz ZOFGXXHHMPMWSV-PWSUYJOCSA-N -1 1 319.365 1.134 20 0 DDADMM CCC(CC)C(=O)N1CCC[C@@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036511705 752499140 /nfs/dbraw/zinc/49/91/40/752499140.db2.gz UWJQZUPVCSCOEA-YPMHNXCESA-N -1 1 323.441 1.663 20 0 DDADMM C[C@H]1CCCN(C(=O)C2=CCCC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036534903 752525177 /nfs/dbraw/zinc/52/51/77/752525177.db2.gz NMIMBWMBAUQSHS-AAEUAGOBSA-N -1 1 319.409 1.337 20 0 DDADMM C[C@H]1CCCN(C(=O)CC2(C)CC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036564129 752537618 /nfs/dbraw/zinc/53/76/18/752537618.db2.gz IBHHANBMCSOJTB-RYUDHWBXSA-N -1 1 321.425 1.417 20 0 DDADMM CC(=O)n1ncc2ccc(Nc3c(N)[nH]c(=S)[n-]c3=O)cc21 ZINC001212415132 752626583 /nfs/dbraw/zinc/62/65/83/752626583.db2.gz VTBCSAZFKXVSRD-UHFFFAOYSA-N -1 1 316.346 1.807 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C(C)(C)F)C1 ZINC001005950858 753411267 /nfs/dbraw/zinc/41/12/67/753411267.db2.gz LEPHNMTVTHDWMJ-SECBINFHSA-N -1 1 309.341 1.112 20 0 DDADMM CC(=O)N1C[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1C ZINC001009897996 753444883 /nfs/dbraw/zinc/44/48/83/753444883.db2.gz MXPWAEBCXBVXJF-ONGXEEELSA-N -1 1 318.377 1.046 20 0 DDADMM O=C(NC1(CNC(=O)C2CC=CC2)CCC1)c1ncccc1[O-] ZINC001062740329 753613998 /nfs/dbraw/zinc/61/39/98/753613998.db2.gz YNCULIFCSWULOX-UHFFFAOYSA-N -1 1 315.373 1.522 20 0 DDADMM CC(C)OCC(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066965591 753651480 /nfs/dbraw/zinc/65/14/80/753651480.db2.gz QAHCWIRFGFMXOE-UHFFFAOYSA-N -1 1 323.393 1.133 20 0 DDADMM CCC(CC)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839584 753759846 /nfs/dbraw/zinc/75/98/46/753759846.db2.gz WSLHTYOLPNYZLU-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM O=C(/C=C/C1CC1)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839607 753760120 /nfs/dbraw/zinc/76/01/20/753760120.db2.gz XEYYMCKIUITQLQ-VOTSOKGWSA-N -1 1 315.373 1.522 20 0 DDADMM Cc1nc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)cs1 ZINC001010720999 754122661 /nfs/dbraw/zinc/12/26/61/754122661.db2.gz BDDGCMIYPBDKAX-LLVKDONJSA-N -1 1 318.402 1.556 20 0 DDADMM Cc1cc(CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)on1 ZINC001010724035 754123820 /nfs/dbraw/zinc/12/38/20/754123820.db2.gz YISRBGQNAAUFCZ-NSHDSACASA-N -1 1 302.334 1.088 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C2=CCCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011675018 754670497 /nfs/dbraw/zinc/67/04/97/754670497.db2.gz YAKPSNLATCAVSJ-AAEUAGOBSA-N -1 1 315.373 1.617 20 0 DDADMM C[C@H]1[C@H](NC(=O)C2(C)CCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011681731 754674564 /nfs/dbraw/zinc/67/45/64/754674564.db2.gz XPPCOLFROSKVLS-NWDGAFQWSA-N -1 1 317.389 1.697 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(C)=O)CC1 ZINC001016058203 756744471 /nfs/dbraw/zinc/74/44/71/756744471.db2.gz WZHBQJZXJDYQGX-CYBMUJFWSA-N -1 1 305.378 1.650 20 0 DDADMM CN(C(=O)c1cc[nH]c1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016606889 757197276 /nfs/dbraw/zinc/19/72/76/757197276.db2.gz UTNAVNIKRJBQDE-UHFFFAOYSA-N -1 1 314.345 1.148 20 0 DDADMM CCc1cc(C)nc(N[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097765486 757512223 /nfs/dbraw/zinc/51/22/23/757512223.db2.gz TZENAUGKWZXMJD-LLVKDONJSA-N -1 1 315.377 1.678 20 0 DDADMM CC(C)OC(=O)[C@H](C)N(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000821709802 758172339 /nfs/dbraw/zinc/17/23/39/758172339.db2.gz HNEOWPGJFRNCBT-VIFPVBQESA-N -1 1 307.350 1.472 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)C2CC2)CN1C(=O)c1ncccc1[O-] ZINC001017893514 758354076 /nfs/dbraw/zinc/35/40/76/758354076.db2.gz XPWOTDCVNOUKKA-JQWIXIFHSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001017904612 758369727 /nfs/dbraw/zinc/36/97/27/758369727.db2.gz WKWTXXZICUHOSF-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@]1(CNc2ncccn2)CCN(C(=O)c2ncccc2[O-])C1 ZINC001065412462 758520596 /nfs/dbraw/zinc/52/05/96/758520596.db2.gz CSERDGXMUBCAOY-INIZCTEOSA-N -1 1 313.361 1.542 20 0 DDADMM CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001018174390 758601526 /nfs/dbraw/zinc/60/15/26/758601526.db2.gz BSZJJNYBSMGEQB-PHIMTYICSA-N -1 1 316.361 1.627 20 0 DDADMM CC(C)=CC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001065803893 758801310 /nfs/dbraw/zinc/80/13/10/758801310.db2.gz SIDZWVISOACLLO-CYBMUJFWSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@H]1CCN(C(=O)C2CC2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001018460334 758857624 /nfs/dbraw/zinc/85/76/24/758857624.db2.gz AEBHYUXZGRSUEL-AAEUAGOBSA-N -1 1 317.389 1.412 20 0 DDADMM CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000824289342 759169535 /nfs/dbraw/zinc/16/95/35/759169535.db2.gz LCYKQEGFDFGKDJ-FUVSOYRFSA-N -1 1 315.373 1.555 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)C1(C2CC2)CC1 ZINC001085236360 759182188 /nfs/dbraw/zinc/18/21/88/759182188.db2.gz UATWVHQZXTXNCL-VXGBXAGGSA-N -1 1 319.409 1.218 20 0 DDADMM COc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1Cl ZINC000824716541 759198229 /nfs/dbraw/zinc/19/82/29/759198229.db2.gz UBEJXQKFPQTNKB-SNVBAGLBSA-N -1 1 323.740 1.075 20 0 DDADMM C[C@@H](Cc1cccc(F)c1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000826614347 759293360 /nfs/dbraw/zinc/29/33/60/759293360.db2.gz ASHUEPKRSKWRNG-GWCFXTLKSA-N -1 1 319.340 1.118 20 0 DDADMM C[C@@H]1CN(C(=O)CC2=CCCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054493455 759721320 /nfs/dbraw/zinc/72/13/20/759721320.db2.gz YUSZNQJONLRINP-YPMHNXCESA-N -1 1 319.409 1.337 20 0 DDADMM CC(C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1)=C1CCCC1 ZINC001054549347 759798890 /nfs/dbraw/zinc/79/88/90/759798890.db2.gz MTWZOKWXPADKIS-GWCFXTLKSA-N -1 1 319.409 1.337 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)C(C)(C)C)C1 ZINC001016430000 760080934 /nfs/dbraw/zinc/08/09/34/760080934.db2.gz CTQWRWLXLILQSM-UHFFFAOYSA-N -1 1 305.378 1.553 20 0 DDADMM CCC(=O)N1CCCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001019688519 760169897 /nfs/dbraw/zinc/16/98/97/760169897.db2.gz XCXOMVKCZUWCDU-GFCCVEGCSA-N -1 1 305.378 1.650 20 0 DDADMM O=C(NC[C@H]1C[C@H](Nc2cc(F)ncn2)C1)c1ncccc1[O-] ZINC001046796587 767932975 /nfs/dbraw/zinc/93/29/75/767932975.db2.gz MLJZXVCQJXEICL-MGCOHNPYSA-N -1 1 317.324 1.337 20 0 DDADMM C[C@H](NC(=O)C(C)(C)C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050528035 763711871 /nfs/dbraw/zinc/71/18/71/763711871.db2.gz ADTVZIUHEYCXOS-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM CCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530469 763715680 /nfs/dbraw/zinc/71/56/80/763715680.db2.gz NLGSEJFSVFGCJG-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1ccc(NC[C@@H](NC(=O)c2ncccc2[O-])C2CC2)nn1 ZINC001109821897 764104945 /nfs/dbraw/zinc/10/49/45/764104945.db2.gz SZVLNIUTYWJWLY-GFCCVEGCSA-N -1 1 313.361 1.506 20 0 DDADMM CC1CC(CN2CCOC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC001050891787 764240137 /nfs/dbraw/zinc/24/01/37/764240137.db2.gz RAWCJYGCGLTORQ-RUXDESIVSA-N -1 1 319.405 1.264 20 0 DDADMM CCCCc1nc(C)cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170219210 766177649 /nfs/dbraw/zinc/17/76/49/766177649.db2.gz ZHIZERPXYWZKDL-UHFFFAOYSA-N -1 1 315.337 1.900 20 0 DDADMM Nc1cc(Nc2[n-]c(=O)nc3nc[nH]c32)nc(C(F)(F)F)c1 ZINC001170226716 766200573 /nfs/dbraw/zinc/20/05/73/766200573.db2.gz QPIJRFAXQJEQLS-UHFFFAOYSA-N -1 1 311.227 1.798 20 0 DDADMM NC(=O)c1ccc2cc(Nc3[n-]c(=O)nc4nc[nH]c43)ccc2n1 ZINC001170230468 766205092 /nfs/dbraw/zinc/20/50/92/766205092.db2.gz SSGZDKPXDCWLEY-UHFFFAOYSA-N -1 1 321.300 1.449 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1cnc(Cl)cn1 ZINC001129640213 766955515 /nfs/dbraw/zinc/95/55/15/766955515.db2.gz ZUGFLIHNKWZDGU-UHFFFAOYSA-N -1 1 305.725 1.757 20 0 DDADMM CC1=C(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)C(=O)OC1(C)C ZINC001129757759 766988863 /nfs/dbraw/zinc/98/88/63/766988863.db2.gz KMLXREGZGJPXOE-UHFFFAOYSA-N -1 1 317.345 1.651 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(Cc2cnsn2)C1 ZINC001046261909 767320190 /nfs/dbraw/zinc/32/01/90/767320190.db2.gz HXAJJJAEOWLVCD-CQSZACIVSA-N -1 1 319.390 1.033 20 0 DDADMM CCC1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)CC1 ZINC001071951670 770335201 /nfs/dbraw/zinc/33/52/01/770335201.db2.gz BUNNSAJCTNJPBH-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)=CC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071953491 770341030 /nfs/dbraw/zinc/34/10/30/770341030.db2.gz ZTSSWXWXYUYSIQ-NEPJUHHUSA-N -1 1 303.362 1.330 20 0 DDADMM CCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073636119 773249644 /nfs/dbraw/zinc/24/96/44/773249644.db2.gz UQMSPIJPCITHBK-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CC[C@](C)(F)[C@H](F)C2)c1[O-] ZINC001147836674 773263959 /nfs/dbraw/zinc/26/39/59/773263959.db2.gz OOZIGKIRGZNSIS-YGRLFVJLSA-N -1 1 300.305 1.500 20 0 DDADMM COC(=O)c1ccccc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001148225506 773402854 /nfs/dbraw/zinc/40/28/54/773402854.db2.gz MNLAEXFTMOBKNB-UHFFFAOYSA-N -1 1 314.223 1.862 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1ccc(-c2ncc[nH]2)cc1 ZINC001148713911 773575149 /nfs/dbraw/zinc/57/51/49/773575149.db2.gz ZDAIEBBLSFMTCA-UHFFFAOYSA-N -1 1 312.289 1.112 20 0 DDADMM O=C(N[C@H]1C[C@H](O)C1)c1cc([O-])c(Br)c(O)c1 ZINC001148990003 773666711 /nfs/dbraw/zinc/66/67/11/773666711.db2.gz OHENDEZNGVTGIK-LJGSYFOKSA-N -1 1 302.124 1.113 20 0 DDADMM CCCN1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001074218861 773741505 /nfs/dbraw/zinc/74/15/05/773741505.db2.gz GLWOZQGSNYSBJM-OCCSQVGLSA-N -1 1 305.378 1.113 20 0 DDADMM Cc1cc(C)nc(NCCCNC(=O)c2ncccc2[O-])n1 ZINC001094375667 775820747 /nfs/dbraw/zinc/82/07/47/775820747.db2.gz KRFPEPPFHNBMAD-UHFFFAOYSA-N -1 1 301.350 1.426 20 0 DDADMM CSc1nc(Nc2ccc(CN3CC(O)C3)cc2)cc(=O)[n-]1 ZINC001212665446 776801171 /nfs/dbraw/zinc/80/11/71/776801171.db2.gz PADNXULBQFBDJK-UHFFFAOYSA-N -1 1 318.402 1.824 20 0 DDADMM CCOC(=O)c1cnoc1[N-]c1cnc2c(c1)OCC(=O)N2C ZINC001174835104 777594433 /nfs/dbraw/zinc/59/44/33/777594433.db2.gz QVAUXQAMSMMVFV-UHFFFAOYSA-N -1 1 318.289 1.345 20 0 DDADMM COC(=O)c1nsnc1[N-]c1ccc(C(=O)N(C)C)cc1F ZINC001176198466 778094772 /nfs/dbraw/zinc/09/47/72/778094772.db2.gz MDHVJQMBQFGSGR-UHFFFAOYSA-N -1 1 324.337 1.909 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cncc(C3CC3)c2)cc1N ZINC001176289395 778104214 /nfs/dbraw/zinc/10/42/14/778104214.db2.gz ZXFDIUZDROEVGD-UHFFFAOYSA-N -1 1 304.375 1.924 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CCC(C)(C)C ZINC001102474411 778159240 /nfs/dbraw/zinc/15/92/40/778159240.db2.gz UDSBWGJAKIMHQQ-VXGBXAGGSA-N -1 1 323.441 1.521 20 0 DDADMM CCC[C@@H](C(=O)c1ccccc1)N(C)C(=O)Cc1nn[n-]n1 ZINC001176842158 778271357 /nfs/dbraw/zinc/27/13/57/778271357.db2.gz DMUGIFZILHPGPH-LBPRGKRZSA-N -1 1 301.350 1.252 20 0 DDADMM COc1cccc([C@H]2CSCCN2C(=O)Cc2nn[n-]n2)c1 ZINC001176842994 778272503 /nfs/dbraw/zinc/27/25/03/778272503.db2.gz YAZUGWYFMAWCQU-GFCCVEGCSA-N -1 1 319.390 1.068 20 0 DDADMM Cc1[nH]c(=S)sc1CC(=O)NCCCC[P@](=O)([O-])O ZINC001177603115 778664133 /nfs/dbraw/zinc/66/41/33/778664133.db2.gz KMXODIYGCVRGCK-UHFFFAOYSA-N -1 1 324.364 1.357 20 0 DDADMM COC[C@H](NC(=O)C=CSc1ccccc1)c1nn[n-]n1 ZINC001177826857 778732655 /nfs/dbraw/zinc/73/26/55/778732655.db2.gz BUXOZQUEVDHKKG-AEZGRPFRSA-N -1 1 305.363 1.309 20 0 DDADMM COC[C@H](NC(=O)/C=C/Sc1ccccc1)c1nn[n-]n1 ZINC001177826857 778732660 /nfs/dbraw/zinc/73/26/60/778732660.db2.gz BUXOZQUEVDHKKG-AEZGRPFRSA-N -1 1 305.363 1.309 20 0 DDADMM COc1ccnc(NC[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001103917598 779170147 /nfs/dbraw/zinc/17/01/47/779170147.db2.gz SATGIKSKQONWRL-SNVBAGLBSA-N -1 1 317.349 1.064 20 0 DDADMM C[C@@H](C(=O)Nc1nc(Br)ccc1[O-])N1CCCC1 ZINC001180578518 779816294 /nfs/dbraw/zinc/81/62/94/779816294.db2.gz ZHGGKEPJFGBEAF-QMMMGPOBSA-N -1 1 314.183 1.973 20 0 DDADMM O=S(=O)([N-]CCN1CC=CC1)c1ccc(Br)o1 ZINC001116595652 780529402 /nfs/dbraw/zinc/52/94/02/780529402.db2.gz YGHIEPSFRVQCNL-UHFFFAOYSA-N -1 1 321.196 1.192 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@H]2C=CCCC2)c1C(F)(F)F ZINC001118996499 781293632 /nfs/dbraw/zinc/29/36/32/781293632.db2.gz OPEJZVZHZXOQDS-QMMMGPOBSA-N -1 1 309.313 1.826 20 0 DDADMM NC(=O)c1ccc(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)o1 ZINC001414224860 844343957 /nfs/dbraw/zinc/34/39/57/844343957.db2.gz BAJCYFQYHVZADX-UHFFFAOYSA-N -1 1 320.273 1.388 20 0 DDADMM CCCCN1CC[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC001268843414 840891960 /nfs/dbraw/zinc/89/19/60/840891960.db2.gz VLXBXSXOTKCJTL-KRWDZBQOSA-N -1 1 317.389 1.652 20 0 DDADMM CN(C)C(=O)c1cc2c([nH]1)CN(C(=O)c1ncccc1[O-])CC2 ZINC001269346243 841541848 /nfs/dbraw/zinc/54/18/48/841541848.db2.gz CBZUSGBNCWMICH-UHFFFAOYSA-N -1 1 314.345 1.016 20 0 DDADMM NC(=O)c1ncc([N-]C(=O)c2nnc(-c3ccco3)o2)s1 ZINC001154594653 861255849 /nfs/dbraw/zinc/25/58/49/861255849.db2.gz UIMMFFCKZRXVNE-UHFFFAOYSA-N -1 1 305.275 1.137 20 0 DDADMM NS(=O)(=O)c1cc(NC(=O)c2ccc([O-])c(F)c2)cs1 ZINC001363267106 842333575 /nfs/dbraw/zinc/33/35/75/842333575.db2.gz IHIYAALGMGWDHQ-UHFFFAOYSA-N -1 1 316.335 1.493 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CC[C@H]4C[C@]43C(N)=O)c([O-])c2n1 ZINC001270529836 842644789 /nfs/dbraw/zinc/64/47/89/842644789.db2.gz KCFSTWPQJCOAPJ-APPDUMDISA-N -1 1 311.341 1.339 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)c1ccsc1 ZINC001363866141 842792832 /nfs/dbraw/zinc/79/28/32/842792832.db2.gz VHZNXIRVPHOWFC-SSDOTTSWSA-N -1 1 315.376 1.297 20 0 DDADMM CC/C(C)=C/C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001285364885 842946419 /nfs/dbraw/zinc/94/64/19/842946419.db2.gz IZCLPCCYABXDHJ-VAWYXSNFSA-N -1 1 305.378 1.674 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C(N)=O)oc1C)C(F)(F)F ZINC001364182696 843056162 /nfs/dbraw/zinc/05/61/62/843056162.db2.gz XXLPXBMYKSOLEX-MRVPVSSYSA-N -1 1 314.285 1.306 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)N3CCCNC(=O)C3)cc2c1 ZINC001154749602 861420950 /nfs/dbraw/zinc/42/09/50/861420950.db2.gz OUNGJBGRNYBOAM-UHFFFAOYSA-N -1 1 314.341 1.516 20 0 DDADMM O=C(c1ccc2[nH]ccc2n1)N1CCSC[C@H]1c1nn[n-]n1 ZINC001154777214 861446044 /nfs/dbraw/zinc/44/60/44/861446044.db2.gz PMXSFUZBEOCLMR-NSHDSACASA-N -1 1 315.362 1.006 20 0 DDADMM CN1C(=O)C[C@]2(CCCN(C(=O)c3ccc([O-])cc3F)C2)C1=O ZINC001272225833 844777172 /nfs/dbraw/zinc/77/71/72/844777172.db2.gz ZMIWHLRTSDUUSX-INIZCTEOSA-N -1 1 320.320 1.142 20 0 DDADMM C[C@H]1C[C@@H](OCC[P@](=O)([O-])O)CCN1C(=O)OC(C)(C)C ZINC001224604924 844921927 /nfs/dbraw/zinc/92/19/27/844921927.db2.gz GVOVHTLNALFJDM-QWRGUYRKSA-N -1 1 323.326 1.969 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](OCC[P@](=O)([O-])O)C(F)(F)F ZINC001224606270 844922903 /nfs/dbraw/zinc/92/29/03/844922903.db2.gz NNJNINQWBIABCV-NKWVEPMBSA-N -1 1 308.189 1.311 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)c1ccc2cccnc2c1O ZINC001149326366 861547032 /nfs/dbraw/zinc/54/70/32/861547032.db2.gz FXVOQGYILWEMRV-UHFFFAOYSA-N -1 1 324.273 1.628 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2CCO[C@H](C3CC3)C2)sn1 ZINC001414241285 845799440 /nfs/dbraw/zinc/79/94/40/845799440.db2.gz KVQZXZWFSGZWTG-UWVGGRQHSA-N -1 1 318.420 1.388 20 0 DDADMM C[C@@H]1C(=O)NCCN1C(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149645968 861776698 /nfs/dbraw/zinc/77/66/98/861776698.db2.gz HWRDEEOGIUIMKW-SECBINFHSA-N -1 1 300.314 1.212 20 0 DDADMM COCCN(CCC(=O)OC)Cc1ccc([O-])c(F)c1F ZINC001434521889 848239395 /nfs/dbraw/zinc/23/93/95/848239395.db2.gz PIFMEJLHOFYQNR-UHFFFAOYSA-N -1 1 303.305 1.682 20 0 DDADMM CC(=O)N1CCC[C@@H](N(C)C(=O)c2[n-][nH]c3cc(=O)ccc2-3)C1 ZINC001155266544 861912398 /nfs/dbraw/zinc/91/23/98/861912398.db2.gz HFQQKNKTSRBCJV-LLVKDONJSA-N -1 1 316.361 1.351 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC1CCSCC1 ZINC001364765530 848587487 /nfs/dbraw/zinc/58/74/87/848587487.db2.gz ABCNWLVVKKWTQO-UHFFFAOYSA-N -1 1 308.450 1.573 20 0 DDADMM C[C@H](CNC(=O)[C@@H](C)c1ccco1)NC(=O)c1ncccc1[O-] ZINC001410190004 848875261 /nfs/dbraw/zinc/87/52/61/848875261.db2.gz TWUPDZQXAFSYMX-MNOVXSKESA-N -1 1 317.345 1.418 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc4cc(C)ccn43)c1-2 ZINC001155334574 861971268 /nfs/dbraw/zinc/97/12/68/861971268.db2.gz MJTBIOZDWNZUDV-UHFFFAOYSA-N -1 1 307.317 1.243 20 0 DDADMM COC(=O)/C=C\c1ccc(C(=O)[N-]c2nc(C3CC3)no2)o1 ZINC001273389795 849786458 /nfs/dbraw/zinc/78/64/58/849786458.db2.gz UYXDKENCWMQGSR-ALCCZGGFSA-N -1 1 303.274 1.979 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCC(F)F)C1 ZINC001410889151 849920829 /nfs/dbraw/zinc/92/08/29/849920829.db2.gz VYMZONDZSUJBRY-UHFFFAOYSA-N -1 1 313.304 1.115 20 0 DDADMM Cc1ccc(Br)cc1-c1noc(Cc2nnn[n-]2)n1 ZINC001247141858 850802881 /nfs/dbraw/zinc/80/28/81/850802881.db2.gz BRGVCFJBLYHBKV-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM Cc1ccc(Br)cc1-c1noc(Cc2nn[n-]n2)n1 ZINC001247141858 850802885 /nfs/dbraw/zinc/80/28/85/850802885.db2.gz BRGVCFJBLYHBKV-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cc2cc(O)ccc2o1 ZINC001155663955 862357393 /nfs/dbraw/zinc/35/73/93/862357393.db2.gz GBYIDRHHTOVLMU-UHFFFAOYSA-N -1 1 302.246 1.174 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1cncs1 ZINC001411539499 853328726 /nfs/dbraw/zinc/32/87/26/853328726.db2.gz XWBWKLMOHKXLKC-SECBINFHSA-N -1 1 320.374 1.134 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc2c(s1)CCOC2 ZINC001155836262 862489142 /nfs/dbraw/zinc/48/91/42/862489142.db2.gz PHJHWDNFJWYXHF-UHFFFAOYSA-N -1 1 319.319 1.509 20 0 DDADMM CCN(C(=O)c1cc(Cl)ccc1[O-])[C@@H](C)CS(C)(=O)=O ZINC001411796932 853753928 /nfs/dbraw/zinc/75/39/28/853753928.db2.gz XSESVLFSUSWPKS-VIFPVBQESA-N -1 1 319.810 1.941 20 0 DDADMM COCCOCN1CCC12CN(C(=O)c1ccc(F)c([O-])c1)C2 ZINC001275988953 854098103 /nfs/dbraw/zinc/09/81/03/854098103.db2.gz KASRKTWCQUSBHR-UHFFFAOYSA-N -1 1 324.352 1.052 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](O)c2ccc(F)cc2)c(=O)[n-]1 ZINC001412069492 854182574 /nfs/dbraw/zinc/18/25/74/854182574.db2.gz BUGBMYLGXUQNHX-LLVKDONJSA-N -1 1 323.349 1.507 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccc(F)cc2)C1=O)c1ncccc1[O-] ZINC001412249472 854375160 /nfs/dbraw/zinc/37/51/60/854375160.db2.gz UWJBXBLXGCOAQJ-GFCCVEGCSA-N -1 1 315.304 1.462 20 0 DDADMM COc1ccc(N(C)C(=O)CNC(=O)c2ncccc2[O-])cc1 ZINC001412311692 854433302 /nfs/dbraw/zinc/43/33/02/854433302.db2.gz SXHSTDJWUQYTKH-UHFFFAOYSA-N -1 1 315.329 1.189 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cccn2CC)n[n-]1 ZINC001412329050 854438508 /nfs/dbraw/zinc/43/85/08/854438508.db2.gz ZHSMJNVOOJYDOD-SECBINFHSA-N -1 1 305.338 1.294 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cccn2CC)[n-]1 ZINC001412329050 854438511 /nfs/dbraw/zinc/43/85/11/854438511.db2.gz ZHSMJNVOOJYDOD-SECBINFHSA-N -1 1 305.338 1.294 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cccn2CC)n1 ZINC001412329050 854438513 /nfs/dbraw/zinc/43/85/13/854438513.db2.gz ZHSMJNVOOJYDOD-SECBINFHSA-N -1 1 305.338 1.294 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2conc2C(C)C)n[n-]1 ZINC001412326694 854443796 /nfs/dbraw/zinc/44/37/96/854443796.db2.gz KPFRFXWWQGBQFB-MRVPVSSYSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2conc2C(C)C)[n-]1 ZINC001412326694 854443799 /nfs/dbraw/zinc/44/37/99/854443799.db2.gz KPFRFXWWQGBQFB-MRVPVSSYSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2conc2C(C)C)n1 ZINC001412326694 854443807 /nfs/dbraw/zinc/44/38/07/854443807.db2.gz KPFRFXWWQGBQFB-MRVPVSSYSA-N -1 1 321.337 1.584 20 0 DDADMM COc1ncc(CCNC(=O)c2c([O-])cccc2Cl)cn1 ZINC001412409201 854516507 /nfs/dbraw/zinc/51/65/07/854516507.db2.gz RPAKBCWBYAHYAQ-UHFFFAOYSA-N -1 1 307.737 1.817 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2c([O-])cccc2F)cn1 ZINC001412585726 854764017 /nfs/dbraw/zinc/76/40/17/854764017.db2.gz BCBVZMKUNVLLIC-UHFFFAOYSA-N -1 1 324.333 1.260 20 0 DDADMM COC[C@H](NC(=O)c1ccc(F)c(C(F)F)c1)c1nn[n-]n1 ZINC001412602357 854794180 /nfs/dbraw/zinc/79/41/80/854794180.db2.gz FVRHYCQQGYXUQZ-VIFPVBQESA-N -1 1 315.255 1.394 20 0 DDADMM COC[C@@H](NC(=O)CCCc1sccc1C)c1nn[n-]n1 ZINC001412612996 854811700 /nfs/dbraw/zinc/81/17/00/854811700.db2.gz UJLXLDKBYJCODO-SNVBAGLBSA-N -1 1 309.395 1.396 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(C(C)C)n2)n[n-]1 ZINC001412833462 855524803 /nfs/dbraw/zinc/52/48/03/855524803.db2.gz AFRHAQGUJFZTCN-UHFFFAOYSA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(C(C)C)n2)n1 ZINC001412833462 855524810 /nfs/dbraw/zinc/52/48/10/855524810.db2.gz AFRHAQGUJFZTCN-UHFFFAOYSA-N -1 1 317.349 1.430 20 0 DDADMM C[C@@]1(CC(=O)NC2(c3nn[n-]n3)CCC2)CC1(Cl)Cl ZINC001303221421 871110799 /nfs/dbraw/zinc/11/07/99/871110799.db2.gz IBZZIJYRIILOGY-SECBINFHSA-N -1 1 304.181 1.669 20 0 DDADMM CC(C)c1nnsc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001412934865 855798992 /nfs/dbraw/zinc/79/89/92/855798992.db2.gz YCVMLEXTCADMOT-UHFFFAOYSA-N -1 1 323.378 1.770 20 0 DDADMM CSc1nc(CNC(=O)c2cccc(CO)c2)cc(=O)[n-]1 ZINC001413196512 856538046 /nfs/dbraw/zinc/53/80/46/856538046.db2.gz FIVJTAWEBPNDDW-UHFFFAOYSA-N -1 1 305.359 1.326 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(C)CCC(=O)CC2)n[n-]1 ZINC001413269938 856596563 /nfs/dbraw/zinc/59/65/63/856596563.db2.gz KPXRSZPJICKSQM-SECBINFHSA-N -1 1 322.365 1.308 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(C)CCC(=O)CC2)[n-]1 ZINC001413269938 856596566 /nfs/dbraw/zinc/59/65/66/856596566.db2.gz KPXRSZPJICKSQM-SECBINFHSA-N -1 1 322.365 1.308 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(C)CCC(=O)CC2)n1 ZINC001413269938 856596570 /nfs/dbraw/zinc/59/65/70/856596570.db2.gz KPXRSZPJICKSQM-SECBINFHSA-N -1 1 322.365 1.308 20 0 DDADMM O=C(N[C@@H]1CS(=O)(=O)Cc2ccccc21)c1ccc([O-])cn1 ZINC001413320195 856645297 /nfs/dbraw/zinc/64/52/97/856645297.db2.gz VODXOHDDYVMFIK-CQSZACIVSA-N -1 1 318.354 1.187 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2cncc(C)n2)c1 ZINC001413400094 856764128 /nfs/dbraw/zinc/76/41/28/856764128.db2.gz UHDMFIVZCGLKLY-UHFFFAOYSA-N -1 1 323.374 1.020 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCC(F)F)c(Br)n1 ZINC001413487834 856879676 /nfs/dbraw/zinc/87/96/76/856879676.db2.gz YVTBVCSPAGGSTF-UHFFFAOYSA-N -1 1 318.143 1.116 20 0 DDADMM COC(=O)c1c(C)c(C)ccc1NC(=O)CCc1nn[n-]n1 ZINC001413527998 856935115 /nfs/dbraw/zinc/93/51/15/856935115.db2.gz VGYJIJYVGZPPOS-UHFFFAOYSA-N -1 1 303.322 1.174 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1ccns1 ZINC001413546587 857045147 /nfs/dbraw/zinc/04/51/47/857045147.db2.gz RZCHBDPALNBTEM-MRVPVSSYSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1ccns1 ZINC001413546587 857045156 /nfs/dbraw/zinc/04/51/56/857045156.db2.gz RZCHBDPALNBTEM-MRVPVSSYSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1ccns1 ZINC001413546587 857045168 /nfs/dbraw/zinc/04/51/68/857045168.db2.gz RZCHBDPALNBTEM-MRVPVSSYSA-N -1 1 321.362 1.415 20 0 DDADMM COc1cccc2c1CN(C(=O)N=c1ccnc3n(C)[n-]cc1-3)C2 ZINC001413561406 857069566 /nfs/dbraw/zinc/06/95/66/857069566.db2.gz MNUNGVZJUPZTHG-UHFFFAOYSA-N -1 1 323.356 1.898 20 0 DDADMM COc1ccc(O)c(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)c1 ZINC001413565730 857079768 /nfs/dbraw/zinc/07/97/68/857079768.db2.gz WCYCEIQEOJZLID-JTQLQIEISA-N -1 1 317.349 1.009 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1cnn[nH]1)NC(=O)c1cccc(F)c1 ZINC001413664138 857302922 /nfs/dbraw/zinc/30/29/22/857302922.db2.gz JGUCBPHWLJLGRZ-ZJUUUORDSA-N -1 1 319.340 1.271 20 0 DDADMM CCCC(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001413933563 881361255 /nfs/dbraw/zinc/36/12/55/881361255.db2.gz LBQNNSHDGTZJEM-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@]2(CC2(F)F)C1 ZINC001123824516 859430657 /nfs/dbraw/zinc/43/06/57/859430657.db2.gz LNRHXLYDUHNDQJ-LLVKDONJSA-N -1 1 301.318 1.651 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(F)(F)[C@H](C)C1 ZINC001123879521 859452216 /nfs/dbraw/zinc/45/22/16/859452216.db2.gz DWZYICRSHGZQDN-ZCFIWIBFSA-N -1 1 303.334 1.897 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cccc(F)n2)[n-]c1=O ZINC001123959405 859497812 /nfs/dbraw/zinc/49/78/12/859497812.db2.gz AUPLICYKOUIINW-UHFFFAOYSA-N -1 1 306.297 1.390 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@H](CO)[N@@H+](Cc2ncccc2O)C1 ZINC001138397280 860093195 /nfs/dbraw/zinc/09/31/95/860093195.db2.gz YVYCGPKWVLITCC-NWDGAFQWSA-N -1 1 323.393 1.247 20 0 DDADMM CCC1(C(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203383758 860311835 /nfs/dbraw/zinc/31/18/35/860311835.db2.gz KHWGOGCXHAYBCA-GHMZBOCLSA-N -1 1 319.409 1.218 20 0 DDADMM CCOC(=O)CCN(CC(=O)OCC)Cc1ccncc1[O-] ZINC001140277797 860608602 /nfs/dbraw/zinc/60/86/02/860608602.db2.gz MCKZFZDIZYADAQ-UHFFFAOYSA-N -1 1 310.350 1.106 20 0 DDADMM Cc1cc(CN[C@@H](C)[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])on1 ZINC001381359541 881571678 /nfs/dbraw/zinc/57/16/78/881571678.db2.gz IVAXGGJOBZXZBE-IUCAKERBSA-N -1 1 307.354 1.017 20 0 DDADMM CCOC(=O)[C@H]1COCCN1Cc1cc(F)c([O-])c(F)c1 ZINC001140992073 860753658 /nfs/dbraw/zinc/75/36/58/860753658.db2.gz LRRNKCJHLSNZQT-GFCCVEGCSA-N -1 1 301.289 1.434 20 0 DDADMM CCCNC(=O)CN(CCC)C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001141970635 860986696 /nfs/dbraw/zinc/98/66/96/860986696.db2.gz PEIFVHVSTWVXAG-UHFFFAOYSA-N -1 1 320.389 1.892 20 0 DDADMM C[C@@H](C(=O)OCC(=O)c1ccc([O-])cc1O)C(=O)OC(C)(C)C ZINC001328651708 863131571 /nfs/dbraw/zinc/13/15/71/863131571.db2.gz BETCLWHQLUPFEA-VIFPVBQESA-N -1 1 324.329 1.802 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn3cc(F)ccc23)n1 ZINC001156923732 863450711 /nfs/dbraw/zinc/45/07/11/863450711.db2.gz XNJUIDSMQDXEQW-UHFFFAOYSA-N -1 1 317.280 1.626 20 0 DDADMM O=C(c1c[nH]c(=O)c2ccccc12)N1CC[C@H](c2nn[n-]n2)C1 ZINC001153000444 863644922 /nfs/dbraw/zinc/64/49/22/863644922.db2.gz DLAQADIRPKOAFH-VIFPVBQESA-N -1 1 310.317 1.083 20 0 DDADMM CSc1nc(NC(=O)c2[nH]nc3cccnc32)cc(=O)[n-]1 ZINC001153144422 863732966 /nfs/dbraw/zinc/73/29/66/863732966.db2.gz ONZTUBBXYZIENH-UHFFFAOYSA-N -1 1 302.319 1.428 20 0 DDADMM CSc1ncc(C(=O)Nc2nc3cccnc3n2C)c(=O)[n-]1 ZINC001153807173 864119258 /nfs/dbraw/zinc/11/92/58/864119258.db2.gz BAJIDUPSRLZJNH-UHFFFAOYSA-N -1 1 316.346 1.438 20 0 DDADMM Cn1ncc(C(=O)Nc2ccc([O-])c(F)c2F)c1-n1cnnc1 ZINC001157811747 864162189 /nfs/dbraw/zinc/16/21/89/864162189.db2.gz RAKUOXQPINVLKW-UHFFFAOYSA-N -1 1 320.259 1.237 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2cnc(C)o2)c(=O)[n-]1 ZINC001361496257 881766532 /nfs/dbraw/zinc/76/65/32/881766532.db2.gz UKGCIJRPXCSBOL-UHFFFAOYSA-N -1 1 322.390 1.758 20 0 DDADMM CC(C)(C)C=CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225413289 881820653 /nfs/dbraw/zinc/82/06/53/881820653.db2.gz AXSCTEUUVQAAJA-SVKHLYGUSA-N -1 1 321.425 1.630 20 0 DDADMM Cn1nc(Br)cc1NC(=O)C(=O)c1ccc([O-])cc1 ZINC001159062996 865084778 /nfs/dbraw/zinc/08/47/78/865084778.db2.gz DFXXPXALMPQGFQ-UHFFFAOYSA-N -1 1 324.134 1.710 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc(-c4ccccc4)ncn3)c2[nH]1 ZINC001159189142 865156076 /nfs/dbraw/zinc/15/60/76/865156076.db2.gz HLFDEVVVWQEGFG-UHFFFAOYSA-N -1 1 321.300 1.916 20 0 DDADMM Cc1csc2cnc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)nc12 ZINC001159189080 865156223 /nfs/dbraw/zinc/15/62/23/865156223.db2.gz GYMGMDRZDYOLPR-UHFFFAOYSA-N -1 1 315.318 1.772 20 0 DDADMM CCCn1cc(CNCCCc2nc(C)c(C(=O)[O-])s2)nn1 ZINC001331979840 865478674 /nfs/dbraw/zinc/47/86/74/865478674.db2.gz CXEDKWGHMNRZOH-UHFFFAOYSA-N -1 1 323.422 1.874 20 0 DDADMM CCOCC[C@@H](NCc1cn(-c2ccccc2)nn1)C(=O)[O-] ZINC001332006258 865496290 /nfs/dbraw/zinc/49/62/90/865496290.db2.gz DGIORSIACHDTLU-CQSZACIVSA-N -1 1 304.350 1.237 20 0 DDADMM CC(C)[C@H](O)C[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001323407090 866593943 /nfs/dbraw/zinc/59/39/43/866593943.db2.gz TZWXDHDMYNZLSU-MRVPVSSYSA-N -1 1 313.206 1.684 20 0 DDADMM O=C(Nc1nc2cccc(Br)c2[nH]1)c1n[nH]c(=O)[n-]1 ZINC001161791436 866996011 /nfs/dbraw/zinc/99/60/11/866996011.db2.gz ONTZTGGDHMPREU-UHFFFAOYSA-N -1 1 323.110 1.401 20 0 DDADMM CCNC(=O)COc1cccc(NC(=O)C2=C([O-])C(C)N=N2)c1 ZINC001361639637 882066177 /nfs/dbraw/zinc/06/61/77/882066177.db2.gz OVWSGHJVTMOASQ-UHFFFAOYSA-N -1 1 318.333 1.191 20 0 DDADMM CC[C@@H]1C[C@H]1NC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001334289295 867454763 /nfs/dbraw/zinc/45/47/63/867454763.db2.gz IRONFDNWGBGCSJ-AMIZOPFISA-N -1 1 312.316 1.244 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@@H](C)CC(OC)OC ZINC001225899097 882099098 /nfs/dbraw/zinc/09/90/98/882099098.db2.gz IRJKZLXFXFWGDH-QMMMGPOBSA-N -1 1 300.311 1.135 20 0 DDADMM CCC(=O)N(CCNCc1n[nH]c(=O)[n-]1)CCc1ccccc1 ZINC001162650868 867705209 /nfs/dbraw/zinc/70/52/09/867705209.db2.gz BDSWYRGEZOIQNX-UHFFFAOYSA-N -1 1 317.393 1.081 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NCCC[C@@H]1CCCCO1 ZINC001334981086 867967383 /nfs/dbraw/zinc/96/73/83/867967383.db2.gz PVIHVVITQNBFKX-KGLIPLIRSA-N -1 1 312.410 1.249 20 0 DDADMM Cc1cc2c(c(NCCCC(=O)c3cccnc3)n1)C(=O)[N-]C2=O ZINC001163179673 868195720 /nfs/dbraw/zinc/19/57/20/868195720.db2.gz YEUQZYRYQZDDKP-UHFFFAOYSA-N -1 1 324.340 1.744 20 0 DDADMM C[C@@H](CCCNC(=O)[C@@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001336013810 868680395 /nfs/dbraw/zinc/68/03/95/868680395.db2.gz SGXVSHSWXRQLAY-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM O=C([N-]CCNc1cc(-c2cccnc2)ncn1)C(F)(F)F ZINC001164245078 869052622 /nfs/dbraw/zinc/05/26/22/869052622.db2.gz QWEMWMIRYZSZNM-UHFFFAOYSA-N -1 1 311.267 1.629 20 0 DDADMM COc1cccc(N2CC[C@@H](NC(=O)c3ccc([O-])cn3)C2)c1 ZINC001361733505 882257602 /nfs/dbraw/zinc/25/76/02/882257602.db2.gz WWEOTLMZUOWDOT-GFCCVEGCSA-N -1 1 313.357 1.805 20 0 DDADMM CC(C)Cn1ccc(NCC[N-]C(=O)C(F)(F)F)cc1=O ZINC001164251193 869059747 /nfs/dbraw/zinc/05/97/47/869059747.db2.gz POSSFMIMVOCSFV-UHFFFAOYSA-N -1 1 305.300 1.595 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(F)c2cccnc12)c1nn[n-]n1 ZINC001337222990 869387412 /nfs/dbraw/zinc/38/74/12/869387412.db2.gz GSNXRUMZMKFOIW-VIFPVBQESA-N -1 1 314.324 1.763 20 0 DDADMM O=C([O-])c1ccc(CN2CC[C@H]3C[C@]32C(=O)N2CC=CC2)cc1 ZINC001277109991 882297404 /nfs/dbraw/zinc/29/74/04/882297404.db2.gz PLQAMJIJZRQIEX-MAUKXSAKSA-N -1 1 312.369 1.748 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@@H](Oc2nc(=O)[n-]cc2C(C)=O)C1 ZINC001226238676 882299524 /nfs/dbraw/zinc/29/95/24/882299524.db2.gz WMWWQAVADWNNRZ-WDEREUQCSA-N -1 1 308.334 1.886 20 0 DDADMM O=C(C/C=C\c1ccc(Br)cc1)NCc1nn[n-]n1 ZINC001361765486 882323703 /nfs/dbraw/zinc/32/37/03/882323703.db2.gz UGSVGHRPQRBSJY-UPHRSURJSA-N -1 1 322.166 1.682 20 0 DDADMM NC(=O)Nc1cccc(NC(=O)c2cnc(C3CC3)[n-]c2=O)c1 ZINC001361773995 882347953 /nfs/dbraw/zinc/34/79/53/882347953.db2.gz WRTUFEXZPIHTJY-UHFFFAOYSA-N -1 1 313.317 1.803 20 0 DDADMM CN(C)c1nccnc1N1CCC([N-]C(=O)C(F)(F)F)CC1 ZINC001166895948 870347852 /nfs/dbraw/zinc/34/78/52/870347852.db2.gz BYMFKWFBQMONTO-UHFFFAOYSA-N -1 1 317.315 1.190 20 0 DDADMM Cc1cc2c(c(N3CCO[C@@H](c4ccccn4)C3)n1)C(=O)[N-]C2=O ZINC001167012110 870471521 /nfs/dbraw/zinc/47/15/21/870471521.db2.gz KCZMJLZQIXNOOA-CYBMUJFWSA-N -1 1 324.340 1.247 20 0 DDADMM COc1ncc([N-]S(=O)(=O)c2ccc(F)cc2N)cc1N ZINC001203515018 870611158 /nfs/dbraw/zinc/61/11/58/870611158.db2.gz VOCSGEDITLLPQU-UHFFFAOYSA-N -1 1 312.326 1.195 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCC[C@H]1c1ccccc1 ZINC001340050412 870861255 /nfs/dbraw/zinc/86/12/55/870861255.db2.gz ZRLLLSHKOHEXAS-LBPRGKRZSA-N -1 1 310.365 1.820 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCC[C@H]1c1ccccc1 ZINC001340050412 870861272 /nfs/dbraw/zinc/86/12/72/870861272.db2.gz ZRLLLSHKOHEXAS-LBPRGKRZSA-N -1 1 310.365 1.820 20 0 DDADMM CCc1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)n(C)n1 ZINC001301654087 871014994 /nfs/dbraw/zinc/01/49/94/871014994.db2.gz HHDJTUNOOYYRKO-ZDUSSCGKSA-N -1 1 311.349 1.015 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1nnc(-c2ccco2)o1 ZINC001303200934 871108241 /nfs/dbraw/zinc/10/82/41/871108241.db2.gz FYHPKKHVSKPONS-UHFFFAOYSA-N -1 1 317.309 1.141 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1c(Cl)nc2ccccn21 ZINC001303221798 871111306 /nfs/dbraw/zinc/11/13/06/871111306.db2.gz JYBUJSOSRYDVQN-UHFFFAOYSA-N -1 1 317.740 1.310 20 0 DDADMM CC1=CCN(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)CC1 ZINC001340801041 871362249 /nfs/dbraw/zinc/36/22/49/871362249.db2.gz CFCIWYAUHSIKDT-UHFFFAOYSA-N -1 1 322.376 1.663 20 0 DDADMM CC1=CCN(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)CC1 ZINC001340801041 871362267 /nfs/dbraw/zinc/36/22/67/871362267.db2.gz CFCIWYAUHSIKDT-UHFFFAOYSA-N -1 1 322.376 1.663 20 0 DDADMM O=S(=O)([N-]Cc1cnoc1)c1cnc(Cl)cc1Cl ZINC001309748161 871594997 /nfs/dbraw/zinc/59/49/97/871594997.db2.gz VWPLUWNKUASOTO-UHFFFAOYSA-N -1 1 308.146 1.855 20 0 DDADMM CSc1nccnc1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001361870326 882541473 /nfs/dbraw/zinc/54/14/73/882541473.db2.gz FFVZTHMGHORNOW-UHFFFAOYSA-N -1 1 317.374 1.825 20 0 DDADMM Cc1cnc(COCC(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)o1 ZINC001361876410 882553743 /nfs/dbraw/zinc/55/37/43/882553743.db2.gz XXCOJSZLONWNPX-UHFFFAOYSA-N -1 1 318.333 1.513 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H](c3cc[nH]n3)C2)c(=O)[n-]1 ZINC001361881110 882565516 /nfs/dbraw/zinc/56/55/16/882565516.db2.gz QXXVSWFEJJYNRC-SECBINFHSA-N -1 1 319.390 1.647 20 0 DDADMM CC/C=C(\C)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001316769309 872015238 /nfs/dbraw/zinc/01/52/38/872015238.db2.gz VCEZQVZVCBXMLT-WHGQRRHOSA-N -1 1 321.425 1.727 20 0 DDADMM CCc1ocnc1C(=O)Nc1[n-]c(C(=O)OC)cc1C(C)=O ZINC001361894493 882591639 /nfs/dbraw/zinc/59/16/39/882591639.db2.gz ISQAKEWDDYHUBS-UHFFFAOYSA-N -1 1 305.290 1.807 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N(C)CC1=CCSC1 ZINC001342769337 872376519 /nfs/dbraw/zinc/37/65/19/872376519.db2.gz YLVKJVZSSYDAKS-UHFFFAOYSA-N -1 1 306.395 1.503 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1ccc(S(C)(=O)=O)nc1 ZINC001361919894 882639709 /nfs/dbraw/zinc/63/97/09/882639709.db2.gz ZRHUCXCLDULCOT-UHFFFAOYSA-N -1 1 322.342 1.452 20 0 DDADMM CCCNC(=O)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001361953892 882703178 /nfs/dbraw/zinc/70/31/78/882703178.db2.gz XOMCLJLTOCIMOD-UHFFFAOYSA-N -1 1 316.365 1.301 20 0 DDADMM O=C([O-])COCCOCCNCc1cccc(O)c1Cl ZINC001345159200 873279931 /nfs/dbraw/zinc/27/99/31/873279931.db2.gz DGGYJACTUWQOKN-UHFFFAOYSA-N -1 1 303.742 1.253 20 0 DDADMM NC(=O)NCc1ccc(NC(=O)c2cccc([O-])c2F)cc1 ZINC001361982819 882759517 /nfs/dbraw/zinc/75/95/17/882759517.db2.gz GIJKHCXEMSEMHJ-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM c1ccc2c(c1)CN(c1nnc(Cc3nnn[n-]3)n1CC1CC1)C2 ZINC001346849172 873914758 /nfs/dbraw/zinc/91/47/58/873914758.db2.gz FJILSYBAMWHTGU-UHFFFAOYSA-N -1 1 322.376 1.312 20 0 DDADMM c1ccc2c(c1)CN(c1nnc(Cc3nn[n-]n3)n1CC1CC1)C2 ZINC001346849172 873914766 /nfs/dbraw/zinc/91/47/66/873914766.db2.gz FJILSYBAMWHTGU-UHFFFAOYSA-N -1 1 322.376 1.312 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cnc2c(c1)COCC2 ZINC001347115083 874016965 /nfs/dbraw/zinc/01/69/65/874016965.db2.gz BXRGJLHCSYPDSJ-UHFFFAOYSA-N -1 1 318.358 1.499 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1C[C@H](OC(C)(C)C)C1 ZINC001347303950 874091441 /nfs/dbraw/zinc/09/14/41/874091441.db2.gz DUCGPIGIUJYNMZ-KYZUINATSA-N -1 1 311.407 1.855 20 0 DDADMM O=C(C(=O)N1CC[C@@H](c2nn[n-]n2)C1)c1ccc(Cl)cc1 ZINC001347974187 874324930 /nfs/dbraw/zinc/32/49/30/874324930.db2.gz IOSXOUPFDVSRKH-SECBINFHSA-N -1 1 305.725 1.052 20 0 DDADMM O=C(c1ccnc(Cl)c1F)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001348164574 874392556 /nfs/dbraw/zinc/39/25/56/874392556.db2.gz FWASEBWCIFGXGE-QMMMGPOBSA-N -1 1 324.747 1.482 20 0 DDADMM CO[C@@H]1C[C@@H](N(C)C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C12CCC2 ZINC001348716147 874735737 /nfs/dbraw/zinc/73/57/37/874735737.db2.gz HAAGPSFQZRATHA-NXEZZACHSA-N -1 1 323.418 1.809 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348838484 874820110 /nfs/dbraw/zinc/82/01/10/874820110.db2.gz GKKSFFLLJXIMLE-OLZOCXBDSA-N -1 1 319.405 1.850 20 0 DDADMM CS(=O)(=O)Nc1ccc(NC(=O)c2ccc([O-])cn2)cc1 ZINC001362030417 882872696 /nfs/dbraw/zinc/87/26/96/882872696.db2.gz QEXCALSMTGUGRK-UHFFFAOYSA-N -1 1 307.331 1.411 20 0 DDADMM O=C(CCSCc1ccccn1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001349002504 874917890 /nfs/dbraw/zinc/91/78/90/874917890.db2.gz OFXAATWYJNKNCI-NSHDSACASA-N -1 1 318.406 1.234 20 0 DDADMM CO[C@@]1(C)C[C@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1(C)C ZINC001349159556 874999001 /nfs/dbraw/zinc/99/90/01/874999001.db2.gz LXBQUWNPIMEVAR-RTHLEPHNSA-N -1 1 311.407 1.713 20 0 DDADMM CC(C)(O)c1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1 ZINC001210623481 875460936 /nfs/dbraw/zinc/46/09/36/875460936.db2.gz NTAHIZLYWGORGG-UHFFFAOYSA-N -1 1 321.402 1.879 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](O)c2ccccc2F)c(=O)[n-]1 ZINC001362078802 882978959 /nfs/dbraw/zinc/97/89/59/882978959.db2.gz ASFAHTAPJAKXQB-LLVKDONJSA-N -1 1 323.349 1.507 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3cnccc3OC)no2)[n-]n1 ZINC001213464081 875945883 /nfs/dbraw/zinc/94/58/83/875945883.db2.gz VYKUMQPQINAYDT-UHFFFAOYSA-N -1 1 301.262 1.317 20 0 DDADMM CCC1(C(=O)N(C)CCCNC(=O)c2ncccc2[O-])CCC1 ZINC001351407681 876236400 /nfs/dbraw/zinc/23/64/00/876236400.db2.gz WGLACXHZWAYKIN-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM COc1cc(Nc2cnc(OC)c([N-]S(C)(=O)=O)c2)no1 ZINC001216151701 876877914 /nfs/dbraw/zinc/87/79/14/876877914.db2.gz GMZOXXQJYCENDL-UHFFFAOYSA-N -1 1 314.323 1.202 20 0 DDADMM C/C(=C\C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001352759128 876913136 /nfs/dbraw/zinc/91/31/36/876913136.db2.gz WCFDNKAIWUREJP-YGNAEDSMSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CC[C@@H]2Oc2nc(=O)[nH]c(=O)[n-]2)C1 ZINC001227565735 883081445 /nfs/dbraw/zinc/08/14/45/883081445.db2.gz BHGQVFZCGMECDY-QMMMGPOBSA-N -1 1 324.337 1.061 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2cccc(Cl)c2N)c1 ZINC001216530156 876967002 /nfs/dbraw/zinc/96/70/02/876967002.db2.gz RRRNEUAHBUKVFN-UHFFFAOYSA-N -1 1 312.782 1.887 20 0 DDADMM CC(C)OCCCC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001354346563 877908124 /nfs/dbraw/zinc/90/81/24/877908124.db2.gz QOZFREABGOYNRO-LBPRGKRZSA-N -1 1 323.393 1.227 20 0 DDADMM O=C(NCCCCCO)c1cnc(-c2ccccc2)[n-]c1=O ZINC001221285255 879650013 /nfs/dbraw/zinc/65/00/13/879650013.db2.gz GTURWJKKCDZWEF-UHFFFAOYSA-N -1 1 301.346 1.742 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001380938086 880695591 /nfs/dbraw/zinc/69/55/91/880695591.db2.gz LYWFOTOWERVGBS-IJLUTSLNSA-N -1 1 305.378 1.410 20 0 DDADMM CC(C)(C)OC(=O)N1CCC2(CC2)[C@@H](OCc2nn[n-]n2)C1 ZINC001223027553 880790252 /nfs/dbraw/zinc/79/02/52/880790252.db2.gz QAVYPGTZGIQWLM-JTQLQIEISA-N -1 1 309.370 1.506 20 0 DDADMM C[C@](O)(CNC(=O)c1ccc([O-])cn1)c1ccc(F)cc1F ZINC001362174943 883213820 /nfs/dbraw/zinc/21/38/20/883213820.db2.gz IZSDRKVUGUBESG-HNNXBMFYSA-N -1 1 308.284 1.703 20 0 DDADMM CCS(=O)(=O)C[C@H](C)N(C)C(=O)c1ccc(F)c([O-])c1 ZINC001362198677 883274694 /nfs/dbraw/zinc/27/46/94/883274694.db2.gz JMSGHDIMQMNATF-VIFPVBQESA-N -1 1 303.355 1.427 20 0 DDADMM O=C(NCc1n[nH]c(=O)[n-]1)c1cccc(C(F)(F)F)c1O ZINC001362215890 883322552 /nfs/dbraw/zinc/32/25/52/883322552.db2.gz WZQMGQBUXVARQE-UHFFFAOYSA-N -1 1 302.212 1.165 20 0 DDADMM CN1CC(Oc2ccc(S(=O)(=O)c3ccc([O-])cc3)cc2)C1 ZINC001228171668 883372545 /nfs/dbraw/zinc/37/25/45/883372545.db2.gz LZDXMILTKMXDLL-UHFFFAOYSA-N -1 1 319.382 1.918 20 0 DDADMM CC[C@H](C)c1nnc([C@H](C)NC(=O)CCCc2nn[n-]n2)[nH]1 ZINC001362422087 883772910 /nfs/dbraw/zinc/77/29/10/883772910.db2.gz DNBDYUWSWPVJFJ-IUCAKERBSA-N -1 1 306.374 1.031 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H]2c2nc(C)no2)c(=O)[n-]1 ZINC001362523686 884001327 /nfs/dbraw/zinc/00/13/27/884001327.db2.gz YIRMHDMNXYJSSA-SECBINFHSA-N -1 1 321.362 1.573 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2(C(F)(F)F)CC2)o1 ZINC001362593941 884174485 /nfs/dbraw/zinc/17/44/85/884174485.db2.gz WKWBBOMKRCOZRF-UHFFFAOYSA-N -1 1 312.269 1.012 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)COC2CC2)n[n-]1 ZINC001362596405 884179233 /nfs/dbraw/zinc/17/92/33/884179233.db2.gz AGQJTJWAXOZREP-NSHDSACASA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)COC2CC2)[n-]1 ZINC001362596405 884179241 /nfs/dbraw/zinc/17/92/41/884179241.db2.gz AGQJTJWAXOZREP-NSHDSACASA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)COC2CC2)n1 ZINC001362596405 884179252 /nfs/dbraw/zinc/17/92/52/884179252.db2.gz AGQJTJWAXOZREP-NSHDSACASA-N -1 1 324.381 1.364 20 0 DDADMM CNC(=O)Cn1nc(NC(=O)c2ccc([O-])cc2F)cc1C ZINC001362608054 884208565 /nfs/dbraw/zinc/20/85/65/884208565.db2.gz LUHXEGUVDGQZSB-UHFFFAOYSA-N -1 1 306.297 1.035 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(OC)c(C)c1)c1nn[n-]n1 ZINC001362624612 884252053 /nfs/dbraw/zinc/25/20/53/884252053.db2.gz LCYWWTDTZQGRSN-UHFFFAOYSA-N -1 1 303.366 1.962 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@@H](Oc2nc(C)[n-]c(=O)c2OC)C1 ZINC001230026010 884285922 /nfs/dbraw/zinc/28/59/22/884285922.db2.gz NCZOAZOGLNVHMI-WDEREUQCSA-N -1 1 310.350 2.000 20 0 DDADMM Cc1occc1C(=O)NCC(=O)Nc1cc([O-])c(F)cc1F ZINC001362679152 884397565 /nfs/dbraw/zinc/39/75/65/884397565.db2.gz TUWAFRWCGSSAAK-UHFFFAOYSA-N -1 1 310.256 1.940 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](Oc1nc(C(=O)OC)c[n-]1)C(F)(F)F ZINC001230280545 884404662 /nfs/dbraw/zinc/40/46/62/884404662.db2.gz UYXIYBUPYPNEKA-SVRRBLITSA-N -1 1 324.255 1.705 20 0 DDADMM O=C(NCCN1C[C@@H]2CCCCN2C1=O)c1cccc([O-])c1F ZINC001362699319 884438128 /nfs/dbraw/zinc/43/81/28/884438128.db2.gz KYWSERNNCMNOFV-NSHDSACASA-N -1 1 321.352 1.551 20 0 DDADMM Cc1onc(CO)c1C(=O)[N-]c1nnc([C@H]2CCCO2)s1 ZINC001362796522 884662229 /nfs/dbraw/zinc/66/22/29/884662229.db2.gz PGINVYBPWARYIM-MRVPVSSYSA-N -1 1 310.335 1.431 20 0 DDADMM COC(=O)c1cnc([C@H](C)NC(=O)c2cncc([O-])c2)s1 ZINC001362799673 884672074 /nfs/dbraw/zinc/67/20/74/884672074.db2.gz NFVSSSUWXGUOFS-ZETCQYMHSA-N -1 1 307.331 1.521 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1CCCc2c(O)cccc21 ZINC001362835739 884759738 /nfs/dbraw/zinc/75/97/38/884759738.db2.gz OKOFRTHPEJSPRG-GFCCVEGCSA-N -1 1 313.361 1.521 20 0 DDADMM CSc1nc(CNC(=O)c2[nH]cnc2C(C)(C)C)cc(=O)[n-]1 ZINC001362850741 884792873 /nfs/dbraw/zinc/79/28/73/884792873.db2.gz HUGNOVFAANWJMO-UHFFFAOYSA-N -1 1 321.406 1.855 20 0 DDADMM CSc1ncc(C(=O)N[C@H](CO)Cc2ccccc2)c(=O)[n-]1 ZINC001362861837 884820902 /nfs/dbraw/zinc/82/09/02/884820902.db2.gz XMLDUPZKSJCGSZ-NSHDSACASA-N -1 1 319.386 1.238 20 0 DDADMM CC[C@H](CNC(=O)c1ccc([O-])cn1)NC(=O)OC(C)(C)C ZINC001362888568 884892280 /nfs/dbraw/zinc/89/22/80/884892280.db2.gz ZOLMDPKNMBSFPK-SNVBAGLBSA-N -1 1 309.366 1.820 20 0 DDADMM COC[C@H](NC(=O)C[C@@H]1CCc2ccccc21)c1nn[n-]n1 ZINC001362910829 884960797 /nfs/dbraw/zinc/96/07/97/884960797.db2.gz SGVPMPBSOXFFFB-AAEUAGOBSA-N -1 1 301.350 1.124 20 0 DDADMM CO[C@@H](C)CCC(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001382941725 885005022 /nfs/dbraw/zinc/00/50/22/885005022.db2.gz FTORJZQPYPAKQB-RYUDHWBXSA-N -1 1 323.393 1.179 20 0 DDADMM CS(=O)(=O)c1ccc(C(=O)Nc2cc([O-])c(F)cc2F)o1 ZINC001362988613 885158197 /nfs/dbraw/zinc/15/81/97/885158197.db2.gz VHHXHSJBLAKDOE-UHFFFAOYSA-N -1 1 317.269 1.919 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC[C@H](CN2CCCC2=O)C1 ZINC001362998968 885188793 /nfs/dbraw/zinc/18/87/93/885188793.db2.gz QQUVFKXBDAXSOB-LLVKDONJSA-N -1 1 306.337 1.616 20 0 DDADMM O=C(N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1)c1cccc([O-])c1F ZINC001363045388 885316308 /nfs/dbraw/zinc/31/63/08/885316308.db2.gz SFXBHLVNALRAEX-SECBINFHSA-N -1 1 318.308 1.036 20 0 DDADMM CC(C)N(CCN(C)C(=O)[C@H]1C[C@@H]1C)C(=O)c1ncccc1[O-] ZINC001383157393 885327594 /nfs/dbraw/zinc/32/75/94/885327594.db2.gz OUEZVHFANBZCKD-STQMWFEESA-N -1 1 319.405 1.752 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC[C@@H](Nc2ncccn2)C1 ZINC001363122991 885522582 /nfs/dbraw/zinc/52/25/82/885522582.db2.gz ONFSJWPSPWWEAX-SNVBAGLBSA-N -1 1 302.309 1.648 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCc2occc2C1 ZINC001363173605 885638849 /nfs/dbraw/zinc/63/88/49/885638849.db2.gz KUPSDUJPMBTECQ-UHFFFAOYSA-N -1 1 305.290 1.078 20 0 DDADMM CC[C@@H](C(=O)N1CC[C@@H](c2nn[n-]n2)C1)c1c(C)noc1C ZINC001363217055 885737302 /nfs/dbraw/zinc/73/73/02/885737302.db2.gz LPUGTFXYVUJGCP-GHMZBOCLSA-N -1 1 304.354 1.314 20 0 DDADMM O=C(c1ccc(F)cc1O)N1CC[C@@H]2[C@H]1CCC[N@@H+]2CCO ZINC001363224679 885755710 /nfs/dbraw/zinc/75/57/10/885755710.db2.gz VYOLTRDOWOUPND-ZIAGYGMSSA-N -1 1 308.353 1.203 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@H](C)n2cccc2)n[n-]1 ZINC001363231966 885773268 /nfs/dbraw/zinc/77/32/68/885773268.db2.gz NYWPOMRAPJWXCM-WDEREUQCSA-N -1 1 319.365 1.611 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@H](C)n2cccc2)[n-]1 ZINC001363231966 885773282 /nfs/dbraw/zinc/77/32/82/885773282.db2.gz NYWPOMRAPJWXCM-WDEREUQCSA-N -1 1 319.365 1.611 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@H](C)n2cccc2)n1 ZINC001363231966 885773293 /nfs/dbraw/zinc/77/32/93/885773293.db2.gz NYWPOMRAPJWXCM-WDEREUQCSA-N -1 1 319.365 1.611 20 0 DDADMM COc1ccc([C@H](CO)CNC(=O)c2ccc([O-])cn2)cc1 ZINC001363247390 885814951 /nfs/dbraw/zinc/81/49/51/885814951.db2.gz IMHYRSNUMKKDOU-LBPRGKRZSA-N -1 1 302.330 1.302 20 0 DDADMM CCOC(=O)CN1CCN(Cc2ccc(Cl)c([O-])c2)CC1 ZINC001231730462 885838772 /nfs/dbraw/zinc/83/87/72/885838772.db2.gz AYKOYAAAGULAOT-UHFFFAOYSA-N -1 1 312.797 1.726 20 0 DDADMM COCCN1CCN(Cc2ccc(OC)c(C(=O)[O-])c2)CC1 ZINC001231750363 885853246 /nfs/dbraw/zinc/85/32/46/885853246.db2.gz GGEPGPJCNRXISD-UHFFFAOYSA-N -1 1 308.378 1.157 20 0 DDADMM O=C([O-])COc1ccccc1CN1CCC(F)(F)[C@@H](CO)C1 ZINC001231789830 885874430 /nfs/dbraw/zinc/87/44/30/885874430.db2.gz YJBYMGPDHMFAND-GFCCVEGCSA-N -1 1 315.316 1.600 20 0 DDADMM CC(=O)NC1CCN(Cc2ccccc2OCC(=O)[O-])CC1 ZINC001231790265 885874837 /nfs/dbraw/zinc/87/48/37/885874837.db2.gz PCAAQSQEDIZXEL-UHFFFAOYSA-N -1 1 306.362 1.251 20 0 DDADMM Cc1cccc(C)c1OCCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363278318 885892212 /nfs/dbraw/zinc/89/22/12/885892212.db2.gz RSCLVDABHACNJV-UHFFFAOYSA-N -1 1 315.377 1.781 20 0 DDADMM Cc1noc(Cl)c1CCC(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363304906 885966441 /nfs/dbraw/zinc/96/64/41/885966441.db2.gz BOWBCFYTGUEKEZ-MRVPVSSYSA-N -1 1 310.745 1.098 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CC1CSC1 ZINC001363348630 886095973 /nfs/dbraw/zinc/09/59/73/886095973.db2.gz FSHCXOXZKIXXTA-SNVBAGLBSA-N -1 1 324.406 1.398 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CC1CSC1 ZINC001363348630 886095988 /nfs/dbraw/zinc/09/59/88/886095988.db2.gz FSHCXOXZKIXXTA-SNVBAGLBSA-N -1 1 324.406 1.398 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CC1CSC1 ZINC001363348630 886096020 /nfs/dbraw/zinc/09/60/20/886096020.db2.gz FSHCXOXZKIXXTA-SNVBAGLBSA-N -1 1 324.406 1.398 20 0 DDADMM Cc1cc(O)ccc1CC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363352984 886108279 /nfs/dbraw/zinc/10/82/79/886108279.db2.gz DDSKNLNKOVDNQA-UHFFFAOYSA-N -1 1 303.366 1.441 20 0 DDADMM O=C(CCNC(=O)Cc1ccc([O-])c(Cl)c1)Nc1ncc[nH]1 ZINC001363386401 886193457 /nfs/dbraw/zinc/19/34/57/886193457.db2.gz JDAYMZASVPZIAP-UHFFFAOYSA-N -1 1 322.752 1.456 20 0 DDADMM COC[C@@H](NC(=O)c1cnc(CC(C)(C)C)s1)c1nn[n-]n1 ZINC001363410836 886262545 /nfs/dbraw/zinc/26/25/45/886262545.db2.gz FZYLKNIWLOSPQI-MRVPVSSYSA-N -1 1 324.410 1.362 20 0 DDADMM CSc1nc(CNC(=O)Cc2ccc(O)c(F)c2)cc(=O)[n-]1 ZINC001363456134 886389051 /nfs/dbraw/zinc/38/90/51/886389051.db2.gz JARQHMUGGXGJPR-UHFFFAOYSA-N -1 1 323.349 1.608 20 0 DDADMM O=C(N[C@H]([C@@H]1CCCO1)C1(CO)CCC1)c1ccc([O-])cn1 ZINC001363462459 886405021 /nfs/dbraw/zinc/40/50/21/886405021.db2.gz VAKJVHJATXIONZ-UONOGXRCSA-N -1 1 306.362 1.227 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1C[C@@H]2C(=O)OC[C@@H]2C1 ZINC001363498547 886491710 /nfs/dbraw/zinc/49/17/10/886491710.db2.gz DLYQTKIOQNXITF-WPRPVWTQSA-N -1 1 316.288 1.325 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001363550929 886616205 /nfs/dbraw/zinc/61/62/05/886616205.db2.gz NVAAYDLGUPDEMW-UHFFFAOYSA-N -1 1 313.361 1.667 20 0 DDADMM CS(=O)(=O)C1CCN(Cc2ccc(F)c([O-])c2F)CC1 ZINC001232935123 886683397 /nfs/dbraw/zinc/68/33/97/886683397.db2.gz NKAVYYTUNYZXLW-UHFFFAOYSA-N -1 1 305.346 1.679 20 0 DDADMM [O-]c1c(F)ccc(CN2Cc3ccnn3CC[C@H]2CO)c1F ZINC001232940390 886686078 /nfs/dbraw/zinc/68/60/78/886686078.db2.gz PFZCAARKBKDMOR-LBPRGKRZSA-N -1 1 309.316 1.634 20 0 DDADMM O=C(c1onc2c1CCCC2)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363586865 886701533 /nfs/dbraw/zinc/70/15/33/886701533.db2.gz ZIYXUMKMIYPQLP-SNVBAGLBSA-N -1 1 316.365 1.161 20 0 DDADMM COC(=O)[C@@H]1CN(Cc2cc([O-])c(F)c(F)c2)CCCO1 ZINC001232974291 886706283 /nfs/dbraw/zinc/70/62/83/886706283.db2.gz SFYKYNIKVDPKCO-LBPRGKRZSA-N -1 1 301.289 1.434 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)[C@H](O)c2cccnc2)n[nH]1 ZINC001363670130 886936473 /nfs/dbraw/zinc/93/64/73/886936473.db2.gz BZIIYUXHGGXFBY-GFCCVEGCSA-N -1 1 304.306 1.042 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCOC[C@H]1C[C@@H]1CCCO1 ZINC001363781552 887204096 /nfs/dbraw/zinc/20/40/96/887204096.db2.gz SIPPQXWGYCBXPF-OLZOCXBDSA-N -1 1 309.337 1.941 20 0 DDADMM CSCCC(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001384347439 887311968 /nfs/dbraw/zinc/31/19/68/887311968.db2.gz MATFTLDAYMXTDD-NSHDSACASA-N -1 1 323.418 1.213 20 0 DDADMM C[C@]12CN(C(=O)c3cccc([O-])c3F)C[C@H]1CS(=O)(=O)C2 ZINC001363873839 887444043 /nfs/dbraw/zinc/44/40/43/887444043.db2.gz XIJDFWNFTOOKOJ-LKFCYVNXSA-N -1 1 313.350 1.038 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2ccc(C)nc2)n[n-]1 ZINC001363885624 887472349 /nfs/dbraw/zinc/47/23/49/887472349.db2.gz LPXDVAHPVXSXHM-JTQLQIEISA-N -1 1 317.349 1.105 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2ccc(C)nc2)n1 ZINC001363885624 887472358 /nfs/dbraw/zinc/47/23/58/887472358.db2.gz LPXDVAHPVXSXHM-JTQLQIEISA-N -1 1 317.349 1.105 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2cc(C3CC3)no2)n1 ZINC001363920366 887542511 /nfs/dbraw/zinc/54/25/11/887542511.db2.gz QPQQMQIUYAFZAB-MRVPVSSYSA-N -1 1 305.338 1.698 20 0 DDADMM O=C(C[N-]S(=O)(=O)Cc1ccno1)c1c(F)cccc1F ZINC001363926717 887553791 /nfs/dbraw/zinc/55/37/91/887553791.db2.gz PONPFFCEGHIRNK-UHFFFAOYSA-N -1 1 316.285 1.255 20 0 DDADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]CCC1(F)CCC1 ZINC001363929106 887558490 /nfs/dbraw/zinc/55/84/90/887558490.db2.gz POGHNAHHISAENW-UHFFFAOYSA-N -1 1 319.358 1.065 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@]3(C2)CCCOC3)c(=O)[n-]1 ZINC001363984948 887668463 /nfs/dbraw/zinc/66/84/63/887668463.db2.gz ZMBJNDMBUPCFTB-AWEZNQCLSA-N -1 1 309.391 1.547 20 0 DDADMM CCc1ccc(C)nc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC001364113000 887947482 /nfs/dbraw/zinc/94/74/82/887947482.db2.gz XRYGBHHOTHXXBO-UHFFFAOYSA-N -1 1 314.345 1.422 20 0 DDADMM CC(=O)NCC(C)(C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001384814624 888057743 /nfs/dbraw/zinc/05/77/43/888057743.db2.gz CYZUPTDUHPCQSA-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM Cn1cc([C@@H]2CCCN(C(=O)c3c(F)ccc([O-])c3F)C2)nn1 ZINC001364429282 888617414 /nfs/dbraw/zinc/61/74/14/888617414.db2.gz KHAWBAZOPRCKDM-SECBINFHSA-N -1 1 322.315 1.819 20 0 DDADMM Nc1cn[nH]c1[C@@H]1CCN(C(=O)c2s[n-]c(=O)c2Cl)C1 ZINC001364438031 888636713 /nfs/dbraw/zinc/63/67/13/888636713.db2.gz CZDFUKZALHHTOL-RXMQYKEDSA-N -1 1 313.770 1.437 20 0 DDADMM CS[C@H](C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001385566422 889334589 /nfs/dbraw/zinc/33/45/89/889334589.db2.gz FWSYOAGTHWKBRL-MNOVXSKESA-N -1 1 323.418 1.260 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)Cc1ccncc1 ZINC001364787195 889415161 /nfs/dbraw/zinc/41/51/61/889415161.db2.gz DLAGVDWHLGQFJM-VIFPVBQESA-N -1 1 313.404 1.456 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)c2c(C)noc2C)sn1 ZINC001364804062 889447708 /nfs/dbraw/zinc/44/77/08/889447708.db2.gz DZQBOBKYGUWHIF-SSDOTTSWSA-N -1 1 317.392 1.796 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H]1CCOC[C@H]1C ZINC001364841980 889525611 /nfs/dbraw/zinc/52/56/11/889525611.db2.gz VWOYSLNMTOWNFW-RKDXNWHRSA-N -1 1 306.409 1.103 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cnccc2C)c1 ZINC001364869719 889579608 /nfs/dbraw/zinc/57/96/08/889579608.db2.gz IUBGXYHBWUUKBZ-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM CC[C@H](C)CN1CCc2onc(C(=O)[N-]c3nnco3)c2C1 ZINC001278125278 889771434 /nfs/dbraw/zinc/77/14/34/889771434.db2.gz YMRHLQAMAMOSNT-VIFPVBQESA-N -1 1 305.338 1.714 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)c2ncccc2C)c1 ZINC001364974436 889793039 /nfs/dbraw/zinc/79/30/39/889793039.db2.gz KWDHAIAIKRQZKQ-SNVBAGLBSA-N -1 1 324.358 1.809 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2ccc(C(F)F)o2)CCCCC1 ZINC001365029849 889935209 /nfs/dbraw/zinc/93/52/09/889935209.db2.gz FYZSCZDRQYVRFU-UHFFFAOYSA-N -1 1 322.333 1.684 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCC(F)(F)[C@H](CO)C1 ZINC001238234810 890144410 /nfs/dbraw/zinc/14/44/10/890144410.db2.gz XPUUOMXRJQBBAU-JTQLQIEISA-N -1 1 303.280 1.973 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cccc(NC(N)=O)c1 ZINC001290543335 913447844 /nfs/dbraw/zinc/44/78/44/913447844.db2.gz VXHKKVWSKMTGOZ-UHFFFAOYSA-N -1 1 319.346 1.522 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001386234691 890614724 /nfs/dbraw/zinc/61/47/24/890614724.db2.gz FDJCRRPBHDGPKP-IJLUTSLNSA-N -1 1 319.405 1.704 20 0 DDADMM CCO[C@H](C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-])C(C)C ZINC001386270568 890684886 /nfs/dbraw/zinc/68/48/86/890684886.db2.gz ZEYYXEGOTZQKCC-FZMZJTMJSA-N -1 1 323.393 1.083 20 0 DDADMM Cc1cc(C)c(C(=O)N[C@@H](C)C[C@@H](C)NC(=O)c2cnn[nH]2)o1 ZINC001396264423 913494876 /nfs/dbraw/zinc/49/48/76/913494876.db2.gz WGUBZUQOQTYKFC-ZJUUUORDSA-N -1 1 319.365 1.341 20 0 DDADMM Cc1cccc(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC001365495298 890913931 /nfs/dbraw/zinc/91/39/31/890913931.db2.gz IGBHFTGHCIFHLG-UHFFFAOYSA-N -1 1 315.333 1.280 20 0 DDADMM COc1nc(-c2ccc([O-])c(F)c2)nc(N2CCOCC2)n1 ZINC001240783900 891049997 /nfs/dbraw/zinc/04/99/97/891049997.db2.gz ZEIDQLLCKKWNLB-UHFFFAOYSA-N -1 1 306.297 1.229 20 0 DDADMM CC[C@@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1csnc1OC ZINC001365673792 891290027 /nfs/dbraw/zinc/29/00/27/891290027.db2.gz VMZNGOZTUNXGJY-BDAKNGLRSA-N -1 1 308.425 1.227 20 0 DDADMM CC(C)NS(=O)(=O)[N-]CC(F)(F)c1ccc(F)cc1F ZINC001365733956 891421141 /nfs/dbraw/zinc/42/11/41/891421141.db2.gz JBWRISDDFHKYNI-UHFFFAOYSA-N -1 1 314.304 1.889 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)C1CC(C)C1 ZINC001396299384 913562018 /nfs/dbraw/zinc/56/20/18/913562018.db2.gz LNAROMAITWRKEN-OTTFEQOBSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1cc2c(c(-c3ccc4[nH]c(=O)ccc4c3)n1)C(=O)[N-]C2=O ZINC001244238532 891822044 /nfs/dbraw/zinc/82/20/44/891822044.db2.gz YMYNIMFVJOVHPB-UHFFFAOYSA-N -1 1 305.293 1.782 20 0 DDADMM CC[C@]1(C)C[C@@H]1C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001386849179 891852527 /nfs/dbraw/zinc/85/25/27/891852527.db2.gz CSDOWPLMSWUKHG-BDJLRTHQSA-N -1 1 305.378 1.412 20 0 DDADMM C=Cc1ncc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)cn1 ZINC001244796030 891931928 /nfs/dbraw/zinc/93/19/28/891931928.db2.gz PPCCHZOPIMJOMC-UHFFFAOYSA-N -1 1 306.347 1.562 20 0 DDADMM CN(CCNC(=O)C1(C(F)F)CC1)C(=O)c1ncccc1[O-] ZINC001386892707 891950638 /nfs/dbraw/zinc/95/06/38/891950638.db2.gz GCWDGKJBANVKHY-UHFFFAOYSA-N -1 1 313.304 1.021 20 0 DDADMM O=C(c1cc(C2CC2)n[nH]1)n1[n-]c(C2CCOCC2)cc1=O ZINC001245943278 892281671 /nfs/dbraw/zinc/28/16/71/892281671.db2.gz SPUHTJYJDJYXAU-UHFFFAOYSA-N -1 1 302.334 1.772 20 0 DDADMM CC[C@@H](CNC(=O)[C@H](C)SC)NC(=O)c1ncccc1[O-] ZINC001388020358 894377759 /nfs/dbraw/zinc/37/77/59/894377759.db2.gz HRNDCQFOWGXOFH-UWVGGRQHSA-N -1 1 311.407 1.163 20 0 DDADMM CC[C@H](CNC(=O)CC(F)(F)F)NC(=O)c1ncccc1[O-] ZINC001388033673 894411017 /nfs/dbraw/zinc/41/10/17/894411017.db2.gz NNRODMSJNJHUAJ-MRVPVSSYSA-N -1 1 319.283 1.364 20 0 DDADMM CC[C@H](CNC(=O)C(C)(C)COC)NC(=O)c1ncccc1[O-] ZINC001388121786 894601348 /nfs/dbraw/zinc/60/13/48/894601348.db2.gz JNAOLKKGJARJSX-LLVKDONJSA-N -1 1 323.393 1.084 20 0 DDADMM C[C@H]1C[C@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CCCC1 ZINC001388202808 894779742 /nfs/dbraw/zinc/77/97/42/894779742.db2.gz MVHVKRFVXIORFD-NWDGAFQWSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1cnn(-c2ccccn2)c1[N-]S(=O)(=O)C(F)(F)F ZINC001252292404 895065235 /nfs/dbraw/zinc/06/52/35/895065235.db2.gz ALWSDWUWKUUWTN-UHFFFAOYSA-N -1 1 306.269 1.837 20 0 DDADMM CC(C)(O)CNc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001252360258 895105401 /nfs/dbraw/zinc/10/54/01/895105401.db2.gz FEWISNDQCOMDFP-UHFFFAOYSA-N -1 1 301.306 1.156 20 0 DDADMM COC(=O)[C@@H](O)CNc1ccc(F)c(Br)c1[O-] ZINC001252434789 895145485 /nfs/dbraw/zinc/14/54/85/895145485.db2.gz YQDPPCFJSZOZKC-ZETCQYMHSA-N -1 1 308.103 1.240 20 0 DDADMM COC(=O)c1ccc(C(=O)OC)c([N-]S(=O)(=O)C(C)C)c1 ZINC001252522299 895240895 /nfs/dbraw/zinc/24/08/95/895240895.db2.gz KORLGQWKFUFKMM-UHFFFAOYSA-N -1 1 315.347 1.410 20 0 DDADMM Cc1cc(C)c(C(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)s1 ZINC001367365506 896572352 /nfs/dbraw/zinc/57/23/52/896572352.db2.gz IEZJBIUXDNBWOB-SECBINFHSA-N -1 1 323.422 1.439 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCCN(CCOC(C)C)C2)c1[O-] ZINC001389362710 897031739 /nfs/dbraw/zinc/03/17/39/897031739.db2.gz RASRJLJFYNUZRL-LBPRGKRZSA-N -1 1 310.398 1.043 20 0 DDADMM O=C([N-]c1ncn(Cc2cccnc2)n1)c1ncc(F)cc1F ZINC001255807653 897123028 /nfs/dbraw/zinc/12/30/28/897123028.db2.gz TWQBWAKFOJKBTE-UHFFFAOYSA-N -1 1 316.271 1.647 20 0 DDADMM CC(C)(C)CC(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001389534531 897466048 /nfs/dbraw/zinc/46/60/48/897466048.db2.gz XGEGOFDKGYXKEM-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM CC[C@H](C)CC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001389662205 897745308 /nfs/dbraw/zinc/74/53/08/897745308.db2.gz VVKDWXQICCBJKG-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM CC1(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])CCC1 ZINC001389709879 897838895 /nfs/dbraw/zinc/83/88/95/897838895.db2.gz DRFKMBDDXBXGJY-LLVKDONJSA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001367896672 898142638 /nfs/dbraw/zinc/14/26/38/898142638.db2.gz CQMROJPZTLZAKQ-JHJVBQTASA-N -1 1 321.425 1.177 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H](C)c1ccno1 ZINC001258493889 898218961 /nfs/dbraw/zinc/21/89/61/898218961.db2.gz GSNFUTJUPCGHBG-LURJTMIESA-N -1 1 304.759 1.409 20 0 DDADMM O=C(c1ccccc1)N1CCC([NH2+]CCP(=O)([O-])[O-])CC1 ZINC001258636940 898281835 /nfs/dbraw/zinc/28/18/35/898281835.db2.gz JMRJXWRLIGCSBT-UHFFFAOYSA-N -1 1 312.306 1.059 20 0 DDADMM CC(C)C[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(N)=O ZINC001258949878 898378822 /nfs/dbraw/zinc/37/88/22/898378822.db2.gz ZCTMYIOEMRDLJJ-SNVBAGLBSA-N -1 1 306.334 1.143 20 0 DDADMM O=c1ccc(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)c[nH]1 ZINC001259043398 898437304 /nfs/dbraw/zinc/43/73/04/898437304.db2.gz XFNMVZUTCSSHDN-UHFFFAOYSA-N -1 1 318.276 1.683 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2cccc(OC(F)F)c2)o1 ZINC001259451169 898677843 /nfs/dbraw/zinc/67/78/43/898677843.db2.gz QGSHNHQFJORGDF-UHFFFAOYSA-N -1 1 319.289 1.458 20 0 DDADMM O=S(=O)([N-]c1ncccc1N1CCCC1)C1CCOCC1 ZINC001259909152 898918582 /nfs/dbraw/zinc/91/85/82/898918582.db2.gz YSWJSDKNVJDYMA-UHFFFAOYSA-N -1 1 311.407 1.603 20 0 DDADMM CC(C)(C)OC(=O)NC1(C[N-]S(=O)(=O)C(F)F)CC1 ZINC001259960388 898980815 /nfs/dbraw/zinc/98/08/15/898980815.db2.gz QZIZYXPVBMQCGK-UHFFFAOYSA-N -1 1 300.327 1.186 20 0 DDADMM O=C(c1ccccc1F)N1CC[C@H]([N-]S(=O)(=O)C(F)F)C1 ZINC001259964071 898986552 /nfs/dbraw/zinc/98/65/52/898986552.db2.gz ZYMRDLGDVUTHHO-QMMMGPOBSA-N -1 1 322.308 1.182 20 0 DDADMM COCCS(=O)(=O)[N-]c1c(F)cccc1N1CCOCC1 ZINC001259972626 898997583 /nfs/dbraw/zinc/99/75/83/898997583.db2.gz OIWSSOJHSFFZAJ-UHFFFAOYSA-N -1 1 318.370 1.050 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2ccc(CO)nc2)c1 ZINC001260184221 899076314 /nfs/dbraw/zinc/07/63/14/899076314.db2.gz BVBIKRHBMIBFST-UHFFFAOYSA-N -1 1 308.315 1.073 20 0 DDADMM O=S(=O)([N-]Cc1ccncn1)c1cc(Cl)c(F)cc1F ZINC001260206224 899086908 /nfs/dbraw/zinc/08/69/08/899086908.db2.gz HFMJCDOJNQEDRF-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2ccn3cnnc3c2)cc1 ZINC001260222202 899095222 /nfs/dbraw/zinc/09/52/22/899095222.db2.gz QHPUCPJUCFZJIX-UHFFFAOYSA-N -1 1 318.314 1.228 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]1O)c1cc(F)c(F)cc1Cl ZINC001260286739 899110122 /nfs/dbraw/zinc/11/01/22/899110122.db2.gz DPMFKVVNTBRNEH-NXEZZACHSA-N -1 1 311.737 1.810 20 0 DDADMM O=S(=O)([N-]C1CC(CO)C1)c1cc(F)c(F)cc1Cl ZINC001260288683 899112726 /nfs/dbraw/zinc/11/27/26/899112726.db2.gz YHTLMFNEWXPASW-UHFFFAOYSA-N -1 1 311.737 1.667 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc(Cl)cc1F ZINC001260590364 899178084 /nfs/dbraw/zinc/17/80/84/899178084.db2.gz FWFLIYBFCSVHRT-UHFFFAOYSA-N -1 1 301.748 1.223 20 0 DDADMM Cc1cncc(C(=O)NCCCNC(=O)c2ncccc2[O-])c1 ZINC001293213586 914340806 /nfs/dbraw/zinc/34/08/06/914340806.db2.gz OUESCPGTLJHBOE-UHFFFAOYSA-N -1 1 314.345 1.041 20 0 DDADMM CCCC(=O)NC[C@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001391149319 901060469 /nfs/dbraw/zinc/06/04/69/901060469.db2.gz YQRBBTASGJWZJU-JTQLQIEISA-N -1 1 320.393 1.342 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H]1CCCC12CC2)NCc1n[nH]c(=O)[n-]1 ZINC001391692555 902373413 /nfs/dbraw/zinc/37/34/13/902373413.db2.gz BFYFOHQHRQWTAH-QWRGUYRKSA-N -1 1 307.398 1.075 20 0 DDADMM CC[C@H](C)OCC(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001391802627 902631579 /nfs/dbraw/zinc/63/15/79/902631579.db2.gz CFZLILYEWUTUNV-LBPRGKRZSA-N -1 1 323.393 1.133 20 0 DDADMM Cc1csc(-c2cccc(C(=O)N(C)c3nn[n-]n3)c2)n1 ZINC001279188274 903190287 /nfs/dbraw/zinc/19/02/87/903190287.db2.gz RKYLIZGTDZSLPM-UHFFFAOYSA-N -1 1 300.347 1.908 20 0 DDADMM O=C(Cc1noc2ccc(Br)cc12)Nc1nnn[n-]1 ZINC001293898457 914757129 /nfs/dbraw/zinc/75/71/29/914757129.db2.gz BXDMPGKXCWFIPK-UHFFFAOYSA-N -1 1 323.110 1.285 20 0 DDADMM O=C(Cc1noc2ccc(Br)cc12)Nc1nn[n-]n1 ZINC001293898457 914757141 /nfs/dbraw/zinc/75/71/41/914757141.db2.gz BXDMPGKXCWFIPK-UHFFFAOYSA-N -1 1 323.110 1.285 20 0 DDADMM C=C/C(C)=C\CC(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001280996499 904295027 /nfs/dbraw/zinc/29/50/27/904295027.db2.gz QGGNLMJOHXOSDH-FSBNRTBOSA-N -1 1 317.389 1.886 20 0 DDADMM CCC[C@@H](C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001372009257 906231758 /nfs/dbraw/zinc/23/17/58/906231758.db2.gz GRCLXLRJYVSKSV-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CCC(C)(C)C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001372612788 907772185 /nfs/dbraw/zinc/77/21/85/907772185.db2.gz UEPFBXXQQGJXOQ-PHIMTYICSA-N -1 1 305.378 1.600 20 0 DDADMM CCC[C@H](OCC)C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001372839793 908401147 /nfs/dbraw/zinc/40/11/47/908401147.db2.gz ZGFMWCBVSUJIHC-AAEUAGOBSA-N -1 1 323.393 1.227 20 0 DDADMM CC[C@H](CNC(=O)[C@@H]1CCCC2(CC2)C1)NCc1n[nH]c(=O)[n-]1 ZINC001373753815 910870634 /nfs/dbraw/zinc/87/06/34/910870634.db2.gz NMKKORXQKNMSMC-VXGBXAGGSA-N -1 1 321.425 1.465 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)C1CC(C)C1 ZINC001373821527 911105312 /nfs/dbraw/zinc/10/53/12/911105312.db2.gz AIGBVSAEIGYCSF-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM CC(C)CCC(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001373973582 911503436 /nfs/dbraw/zinc/50/34/36/911503436.db2.gz IWCWNJNMCHUYQV-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1C[C@H]1C(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001397517848 916196869 /nfs/dbraw/zinc/19/68/69/916196869.db2.gz UGUDLTKCSBPLMI-IJLUTSLNSA-N -1 1 319.405 1.704 20 0 DDADMM CCO[C@H]1C[C@@H](O)C12CCN(C(=O)c1ccc([O-])cc1F)CC2 ZINC000181232016 199268812 /nfs/dbraw/zinc/26/88/12/199268812.db2.gz RBKFJVRHPOICFE-CABCVRRESA-N -1 1 323.364 1.923 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCC[C@H]3C3CC3)nc2n1 ZINC000622870232 365550745 /nfs/dbraw/zinc/55/07/45/365550745.db2.gz VFGRBNQOBRHADN-RYUDHWBXSA-N -1 1 315.377 1.881 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCCC(F)(F)F)nc2n1 ZINC000622871340 365551584 /nfs/dbraw/zinc/55/15/84/365551584.db2.gz YROKWUFUJGGLRF-UHFFFAOYSA-N -1 1 303.244 1.397 20 0 DDADMM CN1CCN(C(=O)c2ccc(Br)c([O-])c2)CC1=O ZINC000163631486 306681444 /nfs/dbraw/zinc/68/14/44/306681444.db2.gz SSXWFQXUMZQFBE-UHFFFAOYSA-N -1 1 313.151 1.069 20 0 DDADMM Cc1ccc(COCC(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000623019391 365601261 /nfs/dbraw/zinc/60/12/61/365601261.db2.gz RAOFKFPBIOHTIP-UHFFFAOYSA-N -1 1 315.377 1.610 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(c2nccs2)CC1 ZINC000081933466 192341769 /nfs/dbraw/zinc/34/17/69/192341769.db2.gz SEDTYJJNGAQXKA-UHFFFAOYSA-N -1 1 307.350 1.950 20 0 DDADMM CCc1ccc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)nc1 ZINC000614389868 361834040 /nfs/dbraw/zinc/83/40/40/361834040.db2.gz QGHZNMAEXNTPKD-GFCCVEGCSA-N -1 1 316.361 1.681 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1O ZINC000614386625 361830420 /nfs/dbraw/zinc/83/04/20/361830420.db2.gz HLIWFUWYBULABW-LLVKDONJSA-N -1 1 303.318 1.809 20 0 DDADMM CO[C@@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)C1CCCC1 ZINC000614475005 361876393 /nfs/dbraw/zinc/87/63/93/361876393.db2.gz HHSAHMIOKSXWNP-GFCCVEGCSA-N -1 1 309.366 1.686 20 0 DDADMM C[C@H](CN1CCCC1=O)[N-]S(=O)(=O)c1sccc1Cl ZINC000451083664 231006897 /nfs/dbraw/zinc/00/68/97/231006897.db2.gz IRPOJMZWHVTSLA-MRVPVSSYSA-N -1 1 322.839 1.691 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1sccc1Cl)N1CCCCC1 ZINC000451063315 231000802 /nfs/dbraw/zinc/00/08/02/231000802.db2.gz VATWNYPKYISUJH-UHFFFAOYSA-N -1 1 322.839 1.692 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)[C@@H]1CCCO1 ZINC000278655754 214304977 /nfs/dbraw/zinc/30/49/77/214304977.db2.gz GVDMNCFGCKNPHP-NEPJUHHUSA-N -1 1 321.345 1.437 20 0 DDADMM CC[C@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000443307071 529421232 /nfs/dbraw/zinc/42/12/32/529421232.db2.gz HBGXWMHBFUNHJA-LDYMZIIASA-N -1 1 306.453 1.835 20 0 DDADMM C[C@H](CO)C[N-]S(=O)(=O)c1sccc1Br ZINC000083220341 185220461 /nfs/dbraw/zinc/22/04/61/185220461.db2.gz WFLICUYMFOKGCZ-LURJTMIESA-N -1 1 314.226 1.417 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)Nc2cccc(CC)c2)n[n-]1 ZINC000086170274 539170483 /nfs/dbraw/zinc/17/04/83/539170483.db2.gz LOKSQZMHANXUMI-UHFFFAOYSA-N -1 1 322.390 1.342 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@H](c2ccccc2)[C@](F)(CO)C1 ZINC000452509187 231388890 /nfs/dbraw/zinc/38/88/90/231388890.db2.gz WGNMXXYOUXEVOC-NVXWUHKLSA-N -1 1 316.332 1.727 20 0 DDADMM CC(C)NCc1cn(Cc2nc(=O)c3sccc3[n-]2)nn1 ZINC000090297166 185289843 /nfs/dbraw/zinc/28/98/43/185289843.db2.gz ZAJYKDFAQZSJKX-UHFFFAOYSA-N -1 1 304.379 1.122 20 0 DDADMM CC(=O)N1CC[C@@H](CNC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000614929436 362084349 /nfs/dbraw/zinc/08/43/49/362084349.db2.gz MCJANNCYQHSTEY-LBPRGKRZSA-N -1 1 313.357 1.539 20 0 DDADMM COc1ccc2c(c1)C[C@H](C(=O)Nc1c(C)[n-][nH]c1=O)CO2 ZINC000615226834 362203674 /nfs/dbraw/zinc/20/36/74/362203674.db2.gz LPVOPUNQWHJHKQ-JTQLQIEISA-N -1 1 303.318 1.622 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@@H](n1cccn1)C(F)(F)F ZINC000615229790 362205739 /nfs/dbraw/zinc/20/57/39/362205739.db2.gz WYVUSYWFRPHMHX-SSDOTTSWSA-N -1 1 303.244 1.752 20 0 DDADMM O=C(Nc1c(=O)[nH][n-]c1-c1ccccc1)[C@@H]1CCCc2n[nH]nc21 ZINC000615281648 362236006 /nfs/dbraw/zinc/23/60/06/362236006.db2.gz URUNHNVHYZZMJO-SNVBAGLBSA-N -1 1 324.344 1.959 20 0 DDADMM CCc1nc(CC[N-]S(=O)(=O)c2sccc2Cl)no1 ZINC000451170367 529734849 /nfs/dbraw/zinc/73/48/49/529734849.db2.gz DTBKRQCYONVKEU-UHFFFAOYSA-N -1 1 321.811 1.868 20 0 DDADMM CCc1nc([C@H](C)NC(=O)NC[C@H](CC(C)C)C(=O)[O-])n[nH]1 ZINC000424427418 529746338 /nfs/dbraw/zinc/74/63/38/529746338.db2.gz VFIUFBFIGPQTMS-UWVGGRQHSA-N -1 1 311.386 1.474 20 0 DDADMM CNC(=O)CCN(C)c1ccc(=N[C@@H](C)c2ccccc2)[n-]n1 ZINC000425233695 529857265 /nfs/dbraw/zinc/85/72/65/529857265.db2.gz XVDJQDYORVUWHN-ZDUSSCGKSA-N -1 1 313.405 1.644 20 0 DDADMM CNC(=O)C[C@H](C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425190803 529872704 /nfs/dbraw/zinc/87/27/04/529872704.db2.gz WCAAZCFRXKSEQK-QMMMGPOBSA-N -1 1 306.334 1.076 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(SC)cc2)n[n-]1 ZINC000615919086 362486425 /nfs/dbraw/zinc/48/64/25/362486425.db2.gz WJFANJMAKJSGEN-UHFFFAOYSA-N -1 1 320.374 1.633 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(SC)cc2)n1 ZINC000615919086 362486433 /nfs/dbraw/zinc/48/64/33/362486433.db2.gz WJFANJMAKJSGEN-UHFFFAOYSA-N -1 1 320.374 1.633 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@@H](C)c2ccccc2)n[n-]1 ZINC000615918715 362487172 /nfs/dbraw/zinc/48/71/72/362487172.db2.gz XJGJRAOJKQLVMH-LLVKDONJSA-N -1 1 316.361 1.791 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H](C)c2ccccc2)n1 ZINC000615918715 362487176 /nfs/dbraw/zinc/48/71/76/362487176.db2.gz XJGJRAOJKQLVMH-LLVKDONJSA-N -1 1 316.361 1.791 20 0 DDADMM COc1cc(O)cc([N-]S(=O)(=O)C[C@H]2CCCCO2)c1 ZINC000330751350 232041750 /nfs/dbraw/zinc/04/17/50/232041750.db2.gz BQCLYJQFTNKVNP-GFCCVEGCSA-N -1 1 301.364 1.712 20 0 DDADMM CCn1nnc(C)c1C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616003220 362516414 /nfs/dbraw/zinc/51/64/14/362516414.db2.gz MMNHWJVKMCOYSP-UHFFFAOYSA-N -1 1 313.159 1.075 20 0 DDADMM CO[C@@](C)(C(=O)N=c1[nH][n-]c(C)c1Br)C1CC1 ZINC000616004219 362516767 /nfs/dbraw/zinc/51/67/67/362516767.db2.gz WKILIMYBWQTHPI-LLVKDONJSA-N -1 1 302.172 1.656 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccccc2CC)n[n-]1 ZINC000616028502 362525568 /nfs/dbraw/zinc/52/55/68/362525568.db2.gz JYTUJRWKRWNUOT-UHFFFAOYSA-N -1 1 302.334 1.474 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccccc2CC)n1 ZINC000616028502 362525571 /nfs/dbraw/zinc/52/55/71/362525571.db2.gz JYTUJRWKRWNUOT-UHFFFAOYSA-N -1 1 302.334 1.474 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCSC(C)C)o1 ZINC000286782969 219163498 /nfs/dbraw/zinc/16/34/98/219163498.db2.gz RDCLGQWYCAUMQF-UHFFFAOYSA-N -1 1 306.409 1.059 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3CC[C@H]3C(N)=O)[nH][n-]2)s1 ZINC000616811751 362829317 /nfs/dbraw/zinc/82/93/17/362829317.db2.gz VUABFZHWSWIEMU-RKDXNWHRSA-N -1 1 304.375 1.319 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H]3CC[C@H]3C(N)=O)[nH][n-]2)s1 ZINC000616811748 362829874 /nfs/dbraw/zinc/82/98/74/362829874.db2.gz VUABFZHWSWIEMU-BDAKNGLRSA-N -1 1 304.375 1.319 20 0 DDADMM CCOc1cc(C(=O)N2CC(=O)N(C3CC3)C2)cc(Cl)c1[O-] ZINC000279932248 215247764 /nfs/dbraw/zinc/24/77/64/215247764.db2.gz WRDAFYODDSVPPK-UHFFFAOYSA-N -1 1 324.764 1.849 20 0 DDADMM COCC[N@@H+](Cc1cc(=O)[nH]c(C2CC2)n1)[C@@H]1CCO[C@H]1C ZINC000459432595 233192271 /nfs/dbraw/zinc/19/22/71/233192271.db2.gz SBZCABSWLWJBOQ-SMDDNHRTSA-N -1 1 307.394 1.685 20 0 DDADMM COCC[N@@H+](Cc1cc(=O)[nH]c(C2CC2)n1)[C@H]1CCO[C@@H]1C ZINC000459432594 233194852 /nfs/dbraw/zinc/19/48/52/233194852.db2.gz SBZCABSWLWJBOQ-RISCZKNCSA-N -1 1 307.394 1.685 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2ccccc2OC(F)F)n[n-]1 ZINC000175633315 186182980 /nfs/dbraw/zinc/18/29/80/186182980.db2.gz DVWWVRCAGYHSGF-UHFFFAOYSA-N -1 1 317.317 1.942 20 0 DDADMM CC(C)[C@H]1C[C@H](NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000287198346 219373959 /nfs/dbraw/zinc/37/39/59/219373959.db2.gz OMIIRDDRVGNTLA-OYULMIFOSA-N -1 1 317.393 1.667 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCSC[C@@H]1C ZINC000172520742 198109052 /nfs/dbraw/zinc/10/90/52/198109052.db2.gz XYGDMKASIGZGAI-VIFPVBQESA-N -1 1 320.418 1.146 20 0 DDADMM COC(=O)c1cnc(C(=O)[N-]c2nnc(C(C)C)s2)cn1 ZINC000355623866 290952301 /nfs/dbraw/zinc/95/23/01/290952301.db2.gz WIYROYDIYIQYNG-UHFFFAOYSA-N -1 1 307.335 1.490 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-]C1(CF)CCC1 ZINC000564907336 304025521 /nfs/dbraw/zinc/02/55/21/304025521.db2.gz XZRLBUVOKLSZMG-UHFFFAOYSA-N -1 1 308.356 1.100 20 0 DDADMM COc1cc[n-]c(=NNC(=O)c2[nH]c3c(c2C)C(=O)CCC3)n1 ZINC000046364356 352450462 /nfs/dbraw/zinc/45/04/62/352450462.db2.gz DKQPXYGIXLPEED-UHFFFAOYSA-N -1 1 315.333 1.398 20 0 DDADMM Cc1ccc(C(C)C)c(OCC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000052852140 352629128 /nfs/dbraw/zinc/62/91/28/352629128.db2.gz UCTJHHZXNSTFKG-UHFFFAOYSA-N -1 1 305.334 1.080 20 0 DDADMM O=C(c1cnn(-c2ncnc3nc[nH]c32)c1)c1cc(F)ccc1[O-] ZINC000055149271 352718208 /nfs/dbraw/zinc/71/82/08/352718208.db2.gz NEBXYTFDIVDCNP-UHFFFAOYSA-N -1 1 324.275 1.614 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCCN(c3cccnn3)C2)c([O-])c1 ZINC000331840469 234183441 /nfs/dbraw/zinc/18/34/41/234183441.db2.gz KQGVRWQHUSEYAV-LBPRGKRZSA-N -1 1 313.361 1.284 20 0 DDADMM Cc1sccc1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331875459 234221944 /nfs/dbraw/zinc/22/19/44/234221944.db2.gz FPLRLDJOXMDDFT-UHFFFAOYSA-N -1 1 309.420 1.283 20 0 DDADMM Cc1ccccc1-c1cc([N-]S(=O)(=O)c2cn(C)cn2)[nH]n1 ZINC000086170813 353738671 /nfs/dbraw/zinc/73/86/71/353738671.db2.gz GSKDRHPCGJZGTM-UHFFFAOYSA-N -1 1 317.374 1.919 20 0 DDADMM C[C@H](CN=c1[n-]c(-c2ccc(F)cc2)no1)N1CCN(C)CC1 ZINC000623931891 366118796 /nfs/dbraw/zinc/11/87/96/366118796.db2.gz OXFOXDCPJUDTRY-GFCCVEGCSA-N -1 1 319.384 1.345 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1cncc([O-])c1)c1cccs1 ZINC000109042768 353956963 /nfs/dbraw/zinc/95/69/63/353956963.db2.gz NJGRSSCQYCFEJP-LLVKDONJSA-N -1 1 306.343 1.883 20 0 DDADMM C[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1cccs1 ZINC000130701321 354096074 /nfs/dbraw/zinc/09/60/74/354096074.db2.gz KDFNBTCAOUXBMM-ZCFIWIBFSA-N -1 1 300.365 1.012 20 0 DDADMM C[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1cccs1 ZINC000130701321 354096078 /nfs/dbraw/zinc/09/60/78/354096078.db2.gz KDFNBTCAOUXBMM-ZCFIWIBFSA-N -1 1 300.365 1.012 20 0 DDADMM COC(=O)[C@H]1CCC[C@H](C(=O)NC2(c3nn[n-]n3)CCCC2)C1 ZINC000346194087 283018713 /nfs/dbraw/zinc/01/87/13/283018713.db2.gz YLKMHXVQALEOHO-QWRGUYRKSA-N -1 1 321.381 1.065 20 0 DDADMM C[C@@H](C(=O)[O-])[C@H](C)NS(=O)(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000314321588 354484672 /nfs/dbraw/zinc/48/46/72/354484672.db2.gz YRJJQBISJRAKAP-RQJHMYQMSA-N -1 1 320.298 1.121 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cncc([O-])c1)c1ccccc1F ZINC000585700597 354829093 /nfs/dbraw/zinc/82/90/93/354829093.db2.gz UJTFLXZDVNACSS-ZDUSSCGKSA-N -1 1 304.277 1.570 20 0 DDADMM O=C(Cc1cccc(O)c1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000586754356 354857060 /nfs/dbraw/zinc/85/70/60/354857060.db2.gz MSWFMEUHEUBWAN-OAHLLOKOSA-N -1 1 309.329 1.354 20 0 DDADMM COC(=O)C1CCC(NC(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000588350843 354917092 /nfs/dbraw/zinc/91/70/92/354917092.db2.gz IEXMFQMRAUVKGE-UHFFFAOYSA-N -1 1 305.330 1.423 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCCc3cccs3)nc2n1 ZINC000588006476 354892855 /nfs/dbraw/zinc/89/28/55/354892855.db2.gz KIIWXRGPMMLEPZ-UHFFFAOYSA-N -1 1 317.374 1.749 20 0 DDADMM CNC(=O)c1cccc([N-]S(=O)(=O)c2cccc(OC)c2)c1 ZINC000589428903 354989449 /nfs/dbraw/zinc/98/94/49/354989449.db2.gz DDUPKGBFPZUGGT-UHFFFAOYSA-N -1 1 320.370 1.856 20 0 DDADMM C[C@H](C(=O)N=c1cc(-c2cccs2)[n-][nH]1)N1CCN(C)CC1 ZINC000589689959 355012380 /nfs/dbraw/zinc/01/23/80/355012380.db2.gz YHHOXQJVRMWLKP-LLVKDONJSA-N -1 1 319.434 1.135 20 0 DDADMM Cc1cc(C(=O)NCc2nn[n-]n2)cc(C(=O)OC(C)(C)C)c1 ZINC000590905460 355210272 /nfs/dbraw/zinc/21/02/72/355210272.db2.gz GAJIXLDWYFROIH-UHFFFAOYSA-N -1 1 317.349 1.393 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@H](CO)c1cccnc1 ZINC000633081165 422748098 /nfs/dbraw/zinc/74/80/98/422748098.db2.gz HTCIFWLZEQZEFG-CYBMUJFWSA-N -1 1 320.776 1.875 20 0 DDADMM CCc1ccc(O)c(NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)c1 ZINC000074827513 191367807 /nfs/dbraw/zinc/36/78/07/191367807.db2.gz SQPKAQWZUIUAOM-UHFFFAOYSA-N -1 1 324.358 1.708 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2c([O-])cc(F)cc2F)C1 ZINC000592313934 355557726 /nfs/dbraw/zinc/55/77/26/355557726.db2.gz YDHCHPRLQQSKOJ-NSHDSACASA-N -1 1 314.332 1.511 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)[C@@H]2C[C@H]2C)n[n-]1 ZINC000593895612 356045553 /nfs/dbraw/zinc/04/55/53/356045553.db2.gz ZSTXULVXWYGXJQ-GMTAPVOTSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)[C@@H]2C[C@H]2C)[n-]1 ZINC000593895612 356045558 /nfs/dbraw/zinc/04/55/58/356045558.db2.gz ZSTXULVXWYGXJQ-GMTAPVOTSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)[C@@H]2C[C@H]2C)n1 ZINC000593895612 356045563 /nfs/dbraw/zinc/04/55/63/356045563.db2.gz ZSTXULVXWYGXJQ-GMTAPVOTSA-N -1 1 308.382 1.841 20 0 DDADMM COC(=O)[C@H](CNC(=O)C(=O)c1ccc([O-])cc1)CC1CC1 ZINC000593824154 356011739 /nfs/dbraw/zinc/01/17/39/356011739.db2.gz HGKSUCZFTJBENS-LBPRGKRZSA-N -1 1 305.330 1.280 20 0 DDADMM CC[C@@H](C)C[C@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000080681858 192181201 /nfs/dbraw/zinc/18/12/01/192181201.db2.gz JVOPCUAGRHIFIC-ZJUUUORDSA-N -1 1 302.396 1.742 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@]2(C)CC2(F)F)c1 ZINC000594853569 356338850 /nfs/dbraw/zinc/33/88/50/356338850.db2.gz ZZPJCYSOAOELAX-JTQLQIEISA-N -1 1 309.290 1.390 20 0 DDADMM CS[C@@H](CO)[C@@H](C)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601333776 358503111 /nfs/dbraw/zinc/50/31/11/358503111.db2.gz UYCRMPLLXRXDQO-PELKAZGASA-N -1 1 303.811 1.817 20 0 DDADMM CC(C)(C)OC(=O)CCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000595715622 356619876 /nfs/dbraw/zinc/61/98/76/356619876.db2.gz AUFXVUGUEMWZQJ-UHFFFAOYSA-N -1 1 310.398 1.844 20 0 DDADMM CC(C)OC(=O)[C@H](C)CN=c1nc(C2CCOCC2)[n-]s1 ZINC000596139614 356788300 /nfs/dbraw/zinc/78/83/00/356788300.db2.gz MQXBILCMIQWUCV-SNVBAGLBSA-N -1 1 313.423 1.854 20 0 DDADMM CCOC(=O)C1(CN=c2nc(C3CCOCC3)[n-]s2)CC1 ZINC000596197024 356810417 /nfs/dbraw/zinc/81/04/17/356810417.db2.gz SUIUEUNBBBXSOG-UHFFFAOYSA-N -1 1 311.407 1.609 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncc2ccccc2c1[O-])C1CC1 ZINC000597326060 357135549 /nfs/dbraw/zinc/13/55/49/357135549.db2.gz PMFATSPTGMPLFN-LBPRGKRZSA-N -1 1 300.314 1.622 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@H](C)[S@@]1=O ZINC000597560321 357227828 /nfs/dbraw/zinc/22/78/28/357227828.db2.gz YFKFAPKTIJIMQI-JVHXITMCSA-N -1 1 318.398 1.922 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1C[C@@H]2C[C@H]1C[S@@]2=O ZINC000597825757 357347209 /nfs/dbraw/zinc/34/72/09/357347209.db2.gz XBBSXHWSFVKFSR-HJTOQOCKSA-N -1 1 302.355 1.286 20 0 DDADMM CCCCS[C@H](C)C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000598838461 357740991 /nfs/dbraw/zinc/74/09/91/357740991.db2.gz KQQPRRGRDQYGRS-SECBINFHSA-N -1 1 309.395 1.586 20 0 DDADMM O=C(NCCNC(=O)c1ccccc1F)c1cncc([O-])c1 ZINC000171765755 306684542 /nfs/dbraw/zinc/68/45/42/306684542.db2.gz KPEIKAVKNOWDDW-UHFFFAOYSA-N -1 1 303.293 1.086 20 0 DDADMM CCOC(=O)[C@@H]1c2ccoc2CCN1C(=O)c1ncccc1[O-] ZINC000600238996 358165462 /nfs/dbraw/zinc/16/54/62/358165462.db2.gz BOQMCBHDHDLOPV-AWEZNQCLSA-N -1 1 316.313 1.683 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])C[C@@H]1C ZINC000348071598 283354104 /nfs/dbraw/zinc/35/41/04/283354104.db2.gz IPIWOBTVHUZTAR-GRYCIOLGSA-N -1 1 305.378 1.458 20 0 DDADMM CSCC1(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)CC1 ZINC000600868619 358332972 /nfs/dbraw/zinc/33/29/72/358332972.db2.gz IJNYAKPABBELOZ-GFCCVEGCSA-N -1 1 314.451 1.527 20 0 DDADMM C[C@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)CC[S@](=O)C1 ZINC000601534298 358591356 /nfs/dbraw/zinc/59/13/56/358591356.db2.gz APUONVPSGBABHY-FVINQWEUSA-N -1 1 315.822 1.815 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2cccc(C(=O)OC)c2C)c1=O ZINC000601949425 358749253 /nfs/dbraw/zinc/74/92/53/358749253.db2.gz LBOHDSWQQWFYAZ-JTQLQIEISA-N -1 1 304.302 1.293 20 0 DDADMM CCOC(=O)Cn1[n-]c(-c2cccc(OC)c2)c(CC)c1=O ZINC000601954372 358751312 /nfs/dbraw/zinc/75/13/12/358751312.db2.gz NGOZFUHJQVWJMP-ZDUSSCGKSA-N -1 1 304.346 1.831 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@@]2(C)C(C)C)n[n-]1 ZINC000603152680 359439173 /nfs/dbraw/zinc/43/91/73/359439173.db2.gz DIPJWPPFGDTPIX-YHAQOWFVSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@@]2(C)C(C)C)[n-]1 ZINC000603152680 359439175 /nfs/dbraw/zinc/43/91/75/359439175.db2.gz DIPJWPPFGDTPIX-YHAQOWFVSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@@]2(C)C(C)C)n1 ZINC000603152680 359439179 /nfs/dbraw/zinc/43/91/79/359439179.db2.gz DIPJWPPFGDTPIX-YHAQOWFVSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCCC2(C)C)n[n-]1 ZINC000603154952 359442137 /nfs/dbraw/zinc/44/21/37/359442137.db2.gz NJRBLLRROBFEHH-UWVGGRQHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCCC2(C)C)[n-]1 ZINC000603154952 359442139 /nfs/dbraw/zinc/44/21/39/359442139.db2.gz NJRBLLRROBFEHH-UWVGGRQHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCCC2(C)C)n1 ZINC000603154952 359442142 /nfs/dbraw/zinc/44/21/42/359442142.db2.gz NJRBLLRROBFEHH-UWVGGRQHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](C)c2ccccc2)n[n-]1 ZINC000603157958 359444207 /nfs/dbraw/zinc/44/42/07/359444207.db2.gz XKRAKZNVBXVPLG-QWRGUYRKSA-N -1 1 316.361 1.962 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](C)c2ccccc2)[n-]1 ZINC000603157958 359444211 /nfs/dbraw/zinc/44/42/11/359444211.db2.gz XKRAKZNVBXVPLG-QWRGUYRKSA-N -1 1 316.361 1.962 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](C)c2ccccc2)n1 ZINC000603157958 359444216 /nfs/dbraw/zinc/44/42/16/359444216.db2.gz XKRAKZNVBXVPLG-QWRGUYRKSA-N -1 1 316.361 1.962 20 0 DDADMM CCO[C@H]1C[C@](O)(CNC(=O)C(=O)c2ccc([O-])cc2)C1(C)C ZINC000281008894 216019646 /nfs/dbraw/zinc/01/96/46/216019646.db2.gz NHNUXYKRQUYYKG-GUYCJALGSA-N -1 1 321.373 1.257 20 0 DDADMM O=C(C(=O)N1CCC(Cn2ccnn2)CC1)c1ccc([O-])cc1 ZINC000281047488 216042803 /nfs/dbraw/zinc/04/28/03/216042803.db2.gz QPMFSXINUMBFSH-UHFFFAOYSA-N -1 1 314.345 1.105 20 0 DDADMM CCn1c(C)cc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1C ZINC000605532213 359868180 /nfs/dbraw/zinc/86/81/80/359868180.db2.gz SAPUFWAOHKURSA-UHFFFAOYSA-N -1 1 302.382 1.837 20 0 DDADMM CCn1cnnc1CC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000605435558 359857849 /nfs/dbraw/zinc/85/78/49/359857849.db2.gz VXRDIQRYVHQOOG-UHFFFAOYSA-N -1 1 316.333 1.097 20 0 DDADMM CSCCCCC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000610090336 360381318 /nfs/dbraw/zinc/38/13/18/360381318.db2.gz BWSWOUYOTDSUIR-CYBMUJFWSA-N -1 1 305.407 1.939 20 0 DDADMM O=C(NC[C@@H](O)COCC1CC1)c1ncc2ccccc2c1[O-] ZINC000612421386 361007072 /nfs/dbraw/zinc/00/70/72/361007072.db2.gz YMXWJZJDVMJVNT-CYBMUJFWSA-N -1 1 316.357 1.458 20 0 DDADMM CCCCCc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)[nH]n1 ZINC000612814912 361153775 /nfs/dbraw/zinc/15/37/75/361153775.db2.gz GCXVWYVBXYERIB-LLVKDONJSA-N -1 1 317.397 1.675 20 0 DDADMM COc1cc2[n-]cc(C(=O)N[C@@H]3C[C@H]3C)c(=O)c2c(OC)c1 ZINC000625192787 366769470 /nfs/dbraw/zinc/76/94/70/366769470.db2.gz IOTAFZNBHORDPB-LDYMZIIASA-N -1 1 302.330 1.684 20 0 DDADMM CCC[C@@H]1[C@@H](C)CCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416153874 283674559 /nfs/dbraw/zinc/67/45/59/283674559.db2.gz GJZUUUVTHWAAGT-VHSXEESVSA-N -1 1 309.366 1.937 20 0 DDADMM COC(=O)CN(C(=O)c1ccc([O-])c(F)c1)C1CCOCC1 ZINC000613510462 361448316 /nfs/dbraw/zinc/44/83/16/361448316.db2.gz HOVPZNWZTLCESE-UHFFFAOYSA-N -1 1 311.309 1.326 20 0 DDADMM CC(C)OCCS(=O)(=O)c1nnc(Cc2cccs2)[n-]1 ZINC000195159127 201349129 /nfs/dbraw/zinc/34/91/29/201349129.db2.gz IQRXPKQVXUCMRL-UHFFFAOYSA-N -1 1 315.420 1.656 20 0 DDADMM CC(C)OCCS(=O)(=O)c1nc(Cc2cccs2)n[n-]1 ZINC000195159127 201349133 /nfs/dbraw/zinc/34/91/33/201349133.db2.gz IQRXPKQVXUCMRL-UHFFFAOYSA-N -1 1 315.420 1.656 20 0 DDADMM CC(C)OCCS(=O)(=O)c1n[n-]c(Cc2cccs2)n1 ZINC000195159127 201349135 /nfs/dbraw/zinc/34/91/35/201349135.db2.gz IQRXPKQVXUCMRL-UHFFFAOYSA-N -1 1 315.420 1.656 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCC[C@@H]2Cc2cnn(C)c2)c([O-])c1 ZINC000618936364 363811357 /nfs/dbraw/zinc/81/13/57/363811357.db2.gz ZSQNHCRYHYVGNI-ZIAGYGMSSA-N -1 1 314.389 1.970 20 0 DDADMM CC(C)(C)N1C[C@@H](CNC(=O)c2ccc([O-])c(F)c2)CC1=O ZINC000620017018 364246756 /nfs/dbraw/zinc/24/67/56/364246756.db2.gz YVVDURVIXZRHGP-SNVBAGLBSA-N -1 1 308.353 1.908 20 0 DDADMM O=C(C(=O)N1CCO[C@H](CC(F)(F)F)C1)c1ccc([O-])cc1 ZINC000620786469 364554454 /nfs/dbraw/zinc/55/44/54/364554454.db2.gz SYBDDTUCQKRWIV-LLVKDONJSA-N -1 1 317.263 1.755 20 0 DDADMM CCc1nc([C@H](C)NC(=O)c2s[n-]c(=O)c2Cl)n[nH]1 ZINC000622471012 365415417 /nfs/dbraw/zinc/41/54/17/365415417.db2.gz MKEJCIYZZBHTSC-BYPYZUCNSA-N -1 1 301.759 1.674 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](CO)CCC(C)C)sc1C ZINC000625414174 366908213 /nfs/dbraw/zinc/90/82/13/366908213.db2.gz JWLGEFDPLWAYGF-NSHDSACASA-N -1 1 306.453 1.835 20 0 DDADMM O=S(=O)([N-][C@H]1CO[C@@H](C2CC2)C1)c1cccc(F)c1F ZINC000625581558 367030447 /nfs/dbraw/zinc/03/04/47/367030447.db2.gz NPQMHGFIFCLVRL-MWLCHTKSSA-N -1 1 303.330 1.811 20 0 DDADMM CCC[C@@H](NC(=O)c1ccn(C2CCOCC2)n1)c1nn[n-]n1 ZINC000626024195 367281193 /nfs/dbraw/zinc/28/11/93/367281193.db2.gz DSCVDRILOLWOKK-LLVKDONJSA-N -1 1 319.369 1.019 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C(N)=O)[C@@H](C)c2ccccc2)c([O-])c1 ZINC000359239023 299371293 /nfs/dbraw/zinc/37/12/93/299371293.db2.gz FHYCYKFQUAZGFJ-SMDDNHRTSA-N -1 1 313.357 1.483 20 0 DDADMM COc1cncc(N2CCN(C(=O)c3ncccc3[O-])CC2)c1 ZINC000636276595 422771158 /nfs/dbraw/zinc/77/11/58/422771158.db2.gz WWXGHVRYLADHPO-UHFFFAOYSA-N -1 1 314.345 1.153 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCC1C[C@H](C)O[C@@H](C)C1 ZINC000349880009 284091894 /nfs/dbraw/zinc/09/18/94/284091894.db2.gz UPXPAZBSMJSLBK-IUCAKERBSA-N -1 1 317.411 1.268 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCC1C[C@H](C)O[C@@H](C)C1 ZINC000349880009 284091898 /nfs/dbraw/zinc/09/18/98/284091898.db2.gz UPXPAZBSMJSLBK-IUCAKERBSA-N -1 1 317.411 1.268 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)CCC(C)(C)S(C)(=O)=O)C(C)(F)F ZINC000416499520 296136736 /nfs/dbraw/zinc/13/67/36/296136736.db2.gz YYBOUJUMYKHGLF-MRVPVSSYSA-N -1 1 321.411 1.163 20 0 DDADMM NC(=O)COc1ccc([N-]S(=O)(=O)c2ccsc2)cc1 ZINC000350015475 284132657 /nfs/dbraw/zinc/13/26/57/284132657.db2.gz YYWDYASQZXFCLF-UHFFFAOYSA-N -1 1 312.372 1.413 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)C(=O)[O-] ZINC000262300939 203254631 /nfs/dbraw/zinc/25/46/31/203254631.db2.gz QDSOZPWOJKCZSW-GZMMTYOYSA-N -1 1 320.349 1.026 20 0 DDADMM COC(=O)C[C@@](C)([N-]C(=O)C(F)(F)C(F)F)c1ccncc1 ZINC000275015011 212075011 /nfs/dbraw/zinc/07/50/11/212075011.db2.gz VJPWWUXCPZVTQY-GFCCVEGCSA-N -1 1 322.258 1.877 20 0 DDADMM CN(C)C(=O)C1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CC1 ZINC000351300810 284316383 /nfs/dbraw/zinc/31/63/83/284316383.db2.gz LEWBSBCVWGBELP-UHFFFAOYSA-N -1 1 314.345 1.589 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1cccc(C2CC2)c1 ZINC000566602747 304170015 /nfs/dbraw/zinc/17/00/15/304170015.db2.gz VOZITDJEHIBLEG-SNVBAGLBSA-N -1 1 323.356 1.786 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCCOC(=O)[C@@H](C)O)n1 ZINC000282906500 217315753 /nfs/dbraw/zinc/31/57/53/217315753.db2.gz FIXGJDBDLPNWKY-SECBINFHSA-N -1 1 300.380 1.541 20 0 DDADMM C[C@H](c1nnnn1-c1ccccc1)N1CCC[C@](C)(C(=O)[O-])C1 ZINC000566683625 304176414 /nfs/dbraw/zinc/17/64/14/304176414.db2.gz GXSIUWYZQWKRCK-WBMJQRKESA-N -1 1 315.377 1.910 20 0 DDADMM CNC(=O)c1cccc([N-]S(=O)(=O)c2ccc(OC)cc2)c1 ZINC000024102292 182374937 /nfs/dbraw/zinc/37/49/37/182374937.db2.gz RSJXIKHPJMXLIR-UHFFFAOYSA-N -1 1 320.370 1.856 20 0 DDADMM Cc1ccoc1C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC000132413632 248287016 /nfs/dbraw/zinc/28/70/16/248287016.db2.gz FGNKQJZAWNOLGJ-UHFFFAOYSA-N -1 1 303.318 1.239 20 0 DDADMM Cc1cc(C(=O)Nc2cccnc2C)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000173505115 248339518 /nfs/dbraw/zinc/33/95/18/248339518.db2.gz LTOHUDNRTGCJDN-UHFFFAOYSA-N -1 1 311.301 1.288 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C2CCC(O)CC2)o1 ZINC000338159574 249812745 /nfs/dbraw/zinc/81/27/45/249812745.db2.gz NRNYAOOPTPBYGQ-UHFFFAOYSA-N -1 1 317.363 1.038 20 0 DDADMM CN1CCO[C@H]2CCN(C(=O)c3ccc(Cl)cc3[O-])C[C@@H]21 ZINC000284602467 218096033 /nfs/dbraw/zinc/09/60/33/218096033.db2.gz SVPTWUCSMGJAKK-JSGCOSHPSA-N -1 1 310.781 1.591 20 0 DDADMM CC[C@@H](C)[C@H]([N-]S(=O)(=O)c1sccc1F)C(=O)OC ZINC000338855470 250165266 /nfs/dbraw/zinc/16/52/66/250165266.db2.gz QUFYKFURENOYRQ-APPZFPTMSA-N -1 1 309.384 1.753 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)c1sccc1F)C(=O)OC ZINC000338954261 250215532 /nfs/dbraw/zinc/21/55/32/250215532.db2.gz NXKFPQHOGUKJAA-SECBINFHSA-N -1 1 309.384 1.897 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(C2CC2)C1)c1cc(F)c(F)cc1F ZINC000339048770 250269511 /nfs/dbraw/zinc/26/95/11/250269511.db2.gz QATQBRXZQFLSEO-QMMMGPOBSA-N -1 1 320.336 1.619 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-][C@H]2CCC[C@H]2F)s1 ZINC000339119115 250302982 /nfs/dbraw/zinc/30/29/82/250302982.db2.gz KIIFFMOXWZXHIB-SFYZADRCSA-N -1 1 307.372 1.270 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C(C)C)N2CCCC2=O)n1 ZINC000339174824 250327931 /nfs/dbraw/zinc/32/79/31/250327931.db2.gz LADKZWVLTUNWBA-GFCCVEGCSA-N -1 1 322.365 1.172 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc3c2OCO3)n1 ZINC000339174280 250328838 /nfs/dbraw/zinc/32/88/38/250328838.db2.gz SGGFUGLNSJTPGN-UHFFFAOYSA-N -1 1 303.274 1.567 20 0 DDADMM C[C@@H](NC(=O)C(C)(C)C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339192229 250336178 /nfs/dbraw/zinc/33/61/78/250336178.db2.gz BHJLEJNVSZYNEM-SECBINFHSA-N -1 1 324.381 1.032 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)c2c(C)cnn2C)cc1F ZINC000285210530 218334871 /nfs/dbraw/zinc/33/48/71/218334871.db2.gz UADZSYOBFAZQTC-UHFFFAOYSA-N -1 1 317.317 1.816 20 0 DDADMM Cn1ccnc1[C@H](NC(=O)c1ncccc1[O-])C1CCOCC1 ZINC000285311964 218376074 /nfs/dbraw/zinc/37/60/74/218376074.db2.gz KESCBGRHPZPZIC-CYBMUJFWSA-N -1 1 316.361 1.418 20 0 DDADMM CNC(=O)c1cccc(OCC(=O)Nc2ccc(F)cc2[O-])c1 ZINC000340327393 251010397 /nfs/dbraw/zinc/01/03/97/251010397.db2.gz KETQFHRCMOKKLL-UHFFFAOYSA-N -1 1 318.304 1.908 20 0 DDADMM CCc1noc(C)c1[N-]S(=O)(=O)N1C[C@@H](C)OC[C@H]1C ZINC000340947987 251295415 /nfs/dbraw/zinc/29/54/15/251295415.db2.gz HGGXQUKDSWUIRI-RKDXNWHRSA-N -1 1 303.384 1.311 20 0 DDADMM CC[C@H]1CCCCN1C(=O)CS(=O)(=O)c1ccc([O-])cc1 ZINC000063927636 184290995 /nfs/dbraw/zinc/29/09/95/184290995.db2.gz JFFZYEXBKCWDIV-LBPRGKRZSA-N -1 1 311.403 1.957 20 0 DDADMM NS(=O)(=O)CCCCNC(=O)c1cc2ccccc2cc1[O-] ZINC000294460581 224040138 /nfs/dbraw/zinc/04/01/38/224040138.db2.gz QLATXLWEEHTTMO-UHFFFAOYSA-N -1 1 322.386 1.344 20 0 DDADMM CC[C@H](C)[C@H](O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000269755211 207830185 /nfs/dbraw/zinc/83/01/85/207830185.db2.gz ILTKHRDKHFBGBU-IONNQARKSA-N -1 1 311.325 1.789 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCC(O)CC1)c2=O ZINC000119853923 195048943 /nfs/dbraw/zinc/04/89/43/195048943.db2.gz GFZKKSJFIMZXMY-UHFFFAOYSA-N -1 1 302.330 1.546 20 0 DDADMM Cc1cccc([C@H]2CCCN2C(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000352447141 285056569 /nfs/dbraw/zinc/05/65/69/285056569.db2.gz IZLQGSDFDZBTQY-CQSZACIVSA-N -1 1 323.356 1.703 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccccn2)C1)c1cc(F)ccc1[O-] ZINC000159093570 197326910 /nfs/dbraw/zinc/32/69/10/197326910.db2.gz GASXZBXFMOZDHS-GFCCVEGCSA-N -1 1 301.321 1.935 20 0 DDADMM CCOCc1nc([C@H](C)[N-]S(=O)(=O)c2ccoc2)no1 ZINC000352547621 285131959 /nfs/dbraw/zinc/13/19/59/285131959.db2.gz DBNUCEUBJDIHAK-QMMMGPOBSA-N -1 1 301.324 1.239 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)c2cncc(F)c2)s1 ZINC000445727708 533088215 /nfs/dbraw/zinc/08/82/15/533088215.db2.gz WYVYSTCWZSTIBS-UHFFFAOYSA-N -1 1 320.396 1.273 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCCN(C(=O)C2CC2)CC1 ZINC000158613249 197288249 /nfs/dbraw/zinc/28/82/49/197288249.db2.gz KTMVKVUTXFWOIT-UHFFFAOYSA-N -1 1 306.337 1.616 20 0 DDADMM CCC[C@@H]1CCCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000156308295 197103845 /nfs/dbraw/zinc/10/38/45/197103845.db2.gz APOJQTUOBZVYOE-LLVKDONJSA-N -1 1 314.407 1.840 20 0 DDADMM Cc1ccc(C[C@H](CO)N=c2[n-]c(C(N)=O)cs2)cc1C ZINC000413364511 224184333 /nfs/dbraw/zinc/18/43/33/224184333.db2.gz BWLBRVRMKQXFBA-GFCCVEGCSA-N -1 1 305.403 1.296 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1C[C@]1(F)c1ccccc1)c1nn[n-]n1 ZINC000413686303 224238237 /nfs/dbraw/zinc/23/82/37/224238237.db2.gz KFMZHDPVXADXNR-HCKVZZMMSA-N -1 1 303.341 1.647 20 0 DDADMM CN(CCc1nccs1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000120900475 195261105 /nfs/dbraw/zinc/26/11/05/195261105.db2.gz GBXCCQYZFNXFQZ-UHFFFAOYSA-N -1 1 314.370 1.694 20 0 DDADMM COCCc1nc(CSc2nc(C(F)F)cc(=O)[n-]2)no1 ZINC000289668171 221141728 /nfs/dbraw/zinc/14/17/28/221141728.db2.gz YMQPSCABOHWFQN-UHFFFAOYSA-N -1 1 318.305 1.984 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1c2ccccc2O[C@@H]1C ZINC000289759314 221205159 /nfs/dbraw/zinc/20/51/59/221205159.db2.gz GVKGKRGHUPHFPN-RDDDGLTNSA-N -1 1 309.347 1.366 20 0 DDADMM Cn1cc(N2CCN(C(=O)c3c(F)ccc([O-])c3F)CC2)cn1 ZINC000289805969 221240288 /nfs/dbraw/zinc/24/02/88/221240288.db2.gz SOOFOLCPPYEXCE-UHFFFAOYSA-N -1 1 322.315 1.366 20 0 DDADMM COC1([C@H](C)NC(=O)c2nc3ccccc3c(=O)[n-]2)CCC1 ZINC000414413123 224334322 /nfs/dbraw/zinc/33/43/22/224334322.db2.gz LMKLKGGAPXHHGY-JTQLQIEISA-N -1 1 301.346 1.611 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CCCC1(F)F)C1CC1 ZINC000451275634 533349171 /nfs/dbraw/zinc/34/91/71/533349171.db2.gz RQOMOIFBPHNXTP-VHSXEESVSA-N -1 1 311.350 1.293 20 0 DDADMM COc1ccc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c(F)c1 ZINC000444287682 533435413 /nfs/dbraw/zinc/43/54/13/533435413.db2.gz CEKWVVHZMJGPSX-VIFPVBQESA-N -1 1 307.329 1.152 20 0 DDADMM COc1cc(C(=O)N2CCO[C@@H](CF)C2)cc(Cl)c1[O-] ZINC000413858131 533523115 /nfs/dbraw/zinc/52/31/15/533523115.db2.gz FMAIPXQQYDZXNJ-VIFPVBQESA-N -1 1 303.717 1.865 20 0 DDADMM COc1cccc(CNC(=O)c2csc(=NC3CC3)[n-]2)n1 ZINC000494307124 533510368 /nfs/dbraw/zinc/51/03/68/533510368.db2.gz FBGUVDGDRRYOQU-UHFFFAOYSA-N -1 1 304.375 1.473 20 0 DDADMM CCOC(=O)c1ccc(NC(=O)CN2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000579718167 422820861 /nfs/dbraw/zinc/82/08/61/422820861.db2.gz PGRWEOBZZWGDTH-GFCCVEGCSA-N -1 1 320.345 1.208 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccon1)[C@H](C)CC ZINC000360744943 299735638 /nfs/dbraw/zinc/73/56/38/299735638.db2.gz KREKFVAPSHCRSO-KOLCDFICSA-N -1 1 304.368 1.072 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC(c2ccccc2F)C1 ZINC000569657714 304371357 /nfs/dbraw/zinc/37/13/57/304371357.db2.gz PSRNNXRXCKVECG-UHFFFAOYSA-N -1 1 311.338 1.323 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3CCCC[C@@H]3O)cnc2n1 ZINC000189829610 292305484 /nfs/dbraw/zinc/30/54/84/292305484.db2.gz ZDLDWZFNPRNQNE-STQMWFEESA-N -1 1 301.346 1.677 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1CCCC[C@@H]1O)c2=O ZINC000189829610 292305485 /nfs/dbraw/zinc/30/54/85/292305485.db2.gz ZDLDWZFNPRNQNE-STQMWFEESA-N -1 1 301.346 1.677 20 0 DDADMM COC(=O)[C@H](Cc1ccncc1)NC(=O)c1ccc([O-])cc1F ZINC000570435411 304410838 /nfs/dbraw/zinc/41/08/38/304410838.db2.gz OEVFGLRFYRDTRP-AWEZNQCLSA-N -1 1 318.304 1.440 20 0 DDADMM C[C@@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCC1(F)F ZINC000289280341 296770635 /nfs/dbraw/zinc/77/06/35/296770635.db2.gz ADANPQSYIIXFQR-DAPYGLKOSA-N -1 1 309.320 1.851 20 0 DDADMM C[C@H]1CCCC[C@@H]1NC(=O)CS(=O)(=O)c1ccc([O-])cc1 ZINC000069163426 406687172 /nfs/dbraw/zinc/68/71/72/406687172.db2.gz CDXWGPOYVCWLKZ-FZMZJTMJSA-N -1 1 311.403 1.861 20 0 DDADMM O=C(NCCc1cccc(O)c1)c1nc2ccccc2c(=O)[n-]1 ZINC000069415074 406708284 /nfs/dbraw/zinc/70/82/84/406708284.db2.gz GMMLWNPEWGMSKS-UHFFFAOYSA-N -1 1 309.325 1.601 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2ccco2)c(=O)[n-]1 ZINC000029893023 406928253 /nfs/dbraw/zinc/92/82/53/406928253.db2.gz YHDFXDMOBKDHRY-UHFFFAOYSA-N -1 1 307.375 1.642 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccnn2C(C)C)o1 ZINC000030570030 406933216 /nfs/dbraw/zinc/93/32/16/406933216.db2.gz SKJLHJDPTIFZPT-UHFFFAOYSA-N -1 1 312.351 1.217 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCC[C@H]2C)o1 ZINC000031489492 406941071 /nfs/dbraw/zinc/94/10/71/406941071.db2.gz MRAOJPWZGCQGAF-NXEZZACHSA-N -1 1 300.380 1.496 20 0 DDADMM Cn1cc(CCNC(=O)c2cc(Br)ccc2[O-])cn1 ZINC000042758581 407014594 /nfs/dbraw/zinc/01/45/94/407014594.db2.gz ADFOHGUJQJWOHN-UHFFFAOYSA-N -1 1 324.178 1.861 20 0 DDADMM NC(=O)CCCNC(=O)c1ccc(Br)cc1[O-] ZINC000044490820 407024015 /nfs/dbraw/zinc/02/40/15/407024015.db2.gz PGVBLSHCXUWOKO-UHFFFAOYSA-N -1 1 301.140 1.150 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000078496411 407031334 /nfs/dbraw/zinc/03/13/34/407031334.db2.gz COYHLXLONXJCDS-SNVBAGLBSA-N -1 1 321.345 1.831 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1CCCC1 ZINC000037500687 406990260 /nfs/dbraw/zinc/99/02/60/406990260.db2.gz XIOQGESLXHJWLF-UHFFFAOYSA-N -1 1 301.368 1.055 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)N(C)[C@H](C)CC(C)C)n[n-]1 ZINC000086170250 407108292 /nfs/dbraw/zinc/10/82/92/407108292.db2.gz KRPCNLJFRXZYKK-SNVBAGLBSA-N -1 1 316.427 1.034 20 0 DDADMM O=C(N[C@H]1CCCN(c2ncccn2)C1)c1cc(F)ccc1[O-] ZINC000080003397 407068504 /nfs/dbraw/zinc/06/85/04/407068504.db2.gz VEZUMFIIHQCEDU-LBPRGKRZSA-N -1 1 316.336 1.720 20 0 DDADMM NC(=O)CO[N-]C(=O)CCc1ccccc1OC(F)(F)F ZINC000089463730 407135654 /nfs/dbraw/zinc/13/56/54/407135654.db2.gz MIBYAJZUTLWKHM-UHFFFAOYSA-N -1 1 306.240 1.051 20 0 DDADMM NS(=O)(=O)Cc1ccc(-c2nc(=O)c3sccc3[n-]2)cc1 ZINC000053847954 407175011 /nfs/dbraw/zinc/17/50/11/407175011.db2.gz ADBXHBSKHKVWRZ-UHFFFAOYSA-N -1 1 321.383 1.440 20 0 DDADMM Cc1cccnc1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000104136090 407347521 /nfs/dbraw/zinc/34/75/21/407347521.db2.gz NYJRMIGANLVKSK-UHFFFAOYSA-N -1 1 311.345 1.524 20 0 DDADMM C[C@H](O)CCN(C)C(=O)c1ccc(Br)cc1[O-] ZINC000124627931 407363982 /nfs/dbraw/zinc/36/39/82/407363982.db2.gz ROKYYJNQFXFUQW-QMMMGPOBSA-N -1 1 302.168 1.998 20 0 DDADMM CC(C)C(=O)NC[C@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000111594484 407412114 /nfs/dbraw/zinc/41/21/14/407412114.db2.gz AZSOFWKJFMORJG-GFCCVEGCSA-N -1 1 305.378 1.412 20 0 DDADMM CN1C(=S)N=NC1C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000111611703 407412336 /nfs/dbraw/zinc/41/23/36/407412336.db2.gz RXZNVSMZYKLFHF-UHFFFAOYSA-N -1 1 319.390 1.224 20 0 DDADMM CC(=O)N1CC[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000126767968 407420927 /nfs/dbraw/zinc/42/09/27/407420927.db2.gz IYRRCXNTZCULJY-QMMMGPOBSA-N -1 1 322.308 1.003 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCO[C@@H](c2cccc(O)c2)C1 ZINC000151498552 407448990 /nfs/dbraw/zinc/44/89/90/407448990.db2.gz PJEFBRMMNLTTJM-OAHLLOKOSA-N -1 1 300.314 1.707 20 0 DDADMM COc1ccccc1CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129281734 407602309 /nfs/dbraw/zinc/60/23/09/407602309.db2.gz WKTKAOWPWUGMRH-GFCCVEGCSA-N -1 1 301.350 1.157 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(OC)c1 ZINC000129379752 407604484 /nfs/dbraw/zinc/60/44/84/407604484.db2.gz KBXHEMUHNJYIOH-JTQLQIEISA-N -1 1 317.349 1.237 20 0 DDADMM CCCc1ncc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000129464958 407612841 /nfs/dbraw/zinc/61/28/41/407612841.db2.gz HUSUQLDILFYGCO-SECBINFHSA-N -1 1 306.395 1.629 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CC[C@H](CO)CC1 ZINC000152347689 407616652 /nfs/dbraw/zinc/61/66/52/407616652.db2.gz CNJLFKIEMVLOGD-TXEJJXNPSA-N -1 1 321.421 1.657 20 0 DDADMM COCCN1CC[C@@H](NC(=O)c2ccc3[n-]c(=S)oc3c2)C1 ZINC000266872269 407657166 /nfs/dbraw/zinc/65/71/66/407657166.db2.gz XTVYNSZDPMVBHY-LLVKDONJSA-N -1 1 321.402 1.567 20 0 DDADMM O=C(Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-])c1ccoc1 ZINC000130531113 407697124 /nfs/dbraw/zinc/69/71/24/407697124.db2.gz YVTRIONMSGQMFM-UHFFFAOYSA-N -1 1 322.342 1.678 20 0 DDADMM Cc1ccc(-c2noc(CS(=O)(=O)c3ncn[n-]3)n2)cc1 ZINC000153034562 407740571 /nfs/dbraw/zinc/74/05/71/407740571.db2.gz NWPSECRVZHKLHV-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM Cc1ccc(-c2noc(CS(=O)(=O)c3nc[n-]n3)n2)cc1 ZINC000153034562 407740578 /nfs/dbraw/zinc/74/05/78/407740578.db2.gz NWPSECRVZHKLHV-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM O=C([O-])CCN1Cc2ccccc2C[C@H]1C(=O)N1CCCC1 ZINC000262263808 407778481 /nfs/dbraw/zinc/77/84/81/407778481.db2.gz RPFVMYLYIZNQJN-HNNXBMFYSA-N -1 1 302.374 1.510 20 0 DDADMM O=C(c1ncccc1[O-])N1CCNC(=O)C[C@@H]1c1ccccc1 ZINC000153608762 407862211 /nfs/dbraw/zinc/86/22/11/407862211.db2.gz FIHGBALKAXJHLG-CYBMUJFWSA-N -1 1 311.341 1.491 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H]3CC[C@H](C(=O)[O-])C3)[nH]c2c1 ZINC000262865654 407958819 /nfs/dbraw/zinc/95/88/19/407958819.db2.gz CGICAZMCBCEKMK-MNOVXSKESA-N -1 1 301.346 1.988 20 0 DDADMM COC(=O)[C@H](C)N(Cc1ccccc1)C(=O)c1ncccc1[O-] ZINC000154034992 407960213 /nfs/dbraw/zinc/96/02/13/407960213.db2.gz SNMQUWYLWPECFQ-LBPRGKRZSA-N -1 1 314.341 1.991 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1cc(F)cc2nc[nH]c21)[C@H]1CCCOC1 ZINC000262788755 407930503 /nfs/dbraw/zinc/93/05/03/407930503.db2.gz MWEPGPGZMPOSBX-QPUJVOFHSA-N -1 1 321.308 1.312 20 0 DDADMM Cc1nn(CC(C)C)c(Cl)c1C=CC(=O)Nc1nnn[n-]1 ZINC000118685557 407934670 /nfs/dbraw/zinc/93/46/70/407934670.db2.gz MKVAEPNSMGSFAQ-SNAWJCMRSA-N -1 1 309.761 1.666 20 0 DDADMM Cc1nn(CC(C)C)c(Cl)c1C=CC(=O)Nc1nn[n-]n1 ZINC000118685557 407934673 /nfs/dbraw/zinc/93/46/73/407934673.db2.gz MKVAEPNSMGSFAQ-SNAWJCMRSA-N -1 1 309.761 1.666 20 0 DDADMM C[S@@](=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCCO2)cc1 ZINC000174741163 407986020 /nfs/dbraw/zinc/98/60/20/407986020.db2.gz ZBARLEOPKVIWDC-CWTRNNRKSA-N -1 1 317.432 1.735 20 0 DDADMM CC(=O)N1CC(NC(=O)c2ccc(Br)c([O-])c2)C1 ZINC000119387192 408056618 /nfs/dbraw/zinc/05/66/18/408056618.db2.gz CBSRQAZCVBRPNB-UHFFFAOYSA-N -1 1 313.151 1.115 20 0 DDADMM COC(=O)c1cccc(S(=O)(=O)[N-]c2cc(C3CC3)n[nH]2)c1 ZINC000268489455 408068363 /nfs/dbraw/zinc/06/83/63/408068363.db2.gz NYKQUSKYYUWXQT-UHFFFAOYSA-N -1 1 321.358 1.875 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(=O)N(C)C)cc1)c1nn[n-]n1 ZINC000136629973 408115814 /nfs/dbraw/zinc/11/58/14/408115814.db2.gz KXUIVXCGDBQPKM-LBPRGKRZSA-N -1 1 316.365 1.173 20 0 DDADMM COC[C@](C)(NCc1cc(=O)oc2cc([O-])ccc12)C(=O)OC ZINC000273380605 408174609 /nfs/dbraw/zinc/17/46/09/408174609.db2.gz YTLRUIQOPGGSOD-INIZCTEOSA-N -1 1 321.329 1.166 20 0 DDADMM CCOC(=O)Cc1nnc([N-]C(=O)c2c(C)noc2CC)s1 ZINC000157977328 408321200 /nfs/dbraw/zinc/32/12/00/408321200.db2.gz MDUAKGVZIZFBDE-UHFFFAOYSA-N -1 1 324.362 1.755 20 0 DDADMM O=C([O-])C(=O)N[C@H]1CCN(Cc2ccc(C(F)(F)F)cc2)C1 ZINC000274216510 408326826 /nfs/dbraw/zinc/32/68/26/408326826.db2.gz XUCQOCZLCKAENF-NSHDSACASA-N -1 1 316.279 1.481 20 0 DDADMM C[S@@](=O)CCC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000132496361 162037540 /nfs/dbraw/zinc/03/75/40/162037540.db2.gz SJHPJIUWUFDCQS-KRWDZBQOSA-N -1 1 313.803 1.526 20 0 DDADMM CCc1ccc(C(=O)[O-])cc1S(=O)(=O)N[C@H](C)CN(C)C ZINC000168626482 162181156 /nfs/dbraw/zinc/18/11/56/162181156.db2.gz YCYFRLPOVOZMJK-SNVBAGLBSA-N -1 1 314.407 1.176 20 0 DDADMM Cc1ccc(-n2nc(C(=O)Nc3nn[n-]n3)c3c2CCC3)cc1 ZINC000264057790 408355731 /nfs/dbraw/zinc/35/57/31/408355731.db2.gz ZVAHVTXIOVMLFW-UHFFFAOYSA-N -1 1 309.333 1.435 20 0 DDADMM Cc1cccc(O[C@H](C)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183398891 408397024 /nfs/dbraw/zinc/39/70/24/408397024.db2.gz GPHHLAFZNGBLLS-VXGBXAGGSA-N -1 1 303.366 1.538 20 0 DDADMM CCCN(C)c1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183405563 408402537 /nfs/dbraw/zinc/40/25/37/408402537.db2.gz JLZMBOAMABAKFO-GFCCVEGCSA-N -1 1 316.409 1.922 20 0 DDADMM Cc1ccc(O[C@@H](C)C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183409279 408404586 /nfs/dbraw/zinc/40/45/86/408404586.db2.gz APVLLOYJQHXWSD-RYUDHWBXSA-N -1 1 303.366 1.538 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1C[C@@H]1c1ccc(F)cc1F)c1nn[n-]n1 ZINC000183421585 408407486 /nfs/dbraw/zinc/40/74/86/408407486.db2.gz IRQUHOXEOCOEML-XXILOJSOSA-N -1 1 321.331 1.844 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1C[C@@H]1c1c(F)cccc1F)c1nn[n-]n1 ZINC000183422000 408407717 /nfs/dbraw/zinc/40/77/17/408407717.db2.gz WTUZKVKGFBXORV-GUBZILKMSA-N -1 1 321.331 1.844 20 0 DDADMM C[C@H](CN(C)C(=O)COc1ccc(Cl)cc1)c1nn[n-]n1 ZINC000183431767 408409902 /nfs/dbraw/zinc/40/99/02/408409902.db2.gz SRUHKIYHXYVMCY-SECBINFHSA-N -1 1 309.757 1.494 20 0 DDADMM CC(C)[C@@H](CCO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000269771304 408422493 /nfs/dbraw/zinc/42/24/93/408422493.db2.gz GAKDBMVEZFAVFO-LLVKDONJSA-N -1 1 311.325 1.789 20 0 DDADMM O=C([O-])C1(CNC(=O)Cc2[nH]nc3ccccc32)CCOCC1 ZINC000191449045 408428118 /nfs/dbraw/zinc/42/81/18/408428118.db2.gz ZZXMDOKZHJIXIQ-UHFFFAOYSA-N -1 1 317.345 1.103 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc2c1OCCO2)c1nn[n-]n1 ZINC000176755901 408434560 /nfs/dbraw/zinc/43/45/60/408434560.db2.gz KDHSMZVAMBKVGE-SNVBAGLBSA-N -1 1 303.322 1.242 20 0 DDADMM CCC[C@H](NC(=O)c1cc(-c2ccccc2)n[nH]1)c1nn[n-]n1 ZINC000176759482 408435002 /nfs/dbraw/zinc/43/50/02/408435002.db2.gz UUVHDRDWCSIOOQ-NSHDSACASA-N -1 1 311.349 1.861 20 0 DDADMM C[C@@H](CN(C)C(=O)c1c[nH]c(-c2ccccc2)n1)c1nn[n-]n1 ZINC000274737270 408513806 /nfs/dbraw/zinc/51/38/06/408513806.db2.gz DYSRPKWTNBXITC-JTQLQIEISA-N -1 1 311.349 1.466 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1cc(F)cc(F)c1)C(F)(F)F ZINC000270593261 408594773 /nfs/dbraw/zinc/59/47/73/408594773.db2.gz ZBAQRHYCEUSOLJ-VIFPVBQESA-N -1 1 319.251 1.556 20 0 DDADMM CC(C)N1C[C@H]([N-]S(=O)(=O)c2cccc(F)c2F)CC1=O ZINC000265676218 408742582 /nfs/dbraw/zinc/74/25/82/408742582.db2.gz YIARIAPIAFISFA-SECBINFHSA-N -1 1 318.345 1.252 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)[nH]n1 ZINC000177750335 408699824 /nfs/dbraw/zinc/69/98/24/408699824.db2.gz KWVBSSBPUARBRF-UHFFFAOYSA-N -1 1 308.363 1.262 20 0 DDADMM CCC[C@H](NCC(=O)N(C)Cc1cccc(OC)c1)C(=O)[O-] ZINC000185050366 408747795 /nfs/dbraw/zinc/74/77/95/408747795.db2.gz XACKVGFSEPJXMG-AWEZNQCLSA-N -1 1 308.378 1.497 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2cc(C)cc3c[nH]nc32)C1=O ZINC000281178539 408874841 /nfs/dbraw/zinc/87/48/41/408874841.db2.gz NOFMXMFPPANOIZ-OAHLLOKOSA-N -1 1 315.333 1.237 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(C)(C)[C@@H]2C2CC2)co1 ZINC000291044572 408857433 /nfs/dbraw/zinc/85/74/33/408857433.db2.gz GKIBMLIDYAPXKU-LBPRGKRZSA-N -1 1 312.391 1.448 20 0 DDADMM CSC1(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)CC1 ZINC000190430030 163140995 /nfs/dbraw/zinc/14/09/95/163140995.db2.gz GYGXKJDIMWJFGQ-UHFFFAOYSA-N -1 1 306.391 1.151 20 0 DDADMM CC(C)(C)c1noc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)n1 ZINC000194816409 163297173 /nfs/dbraw/zinc/29/71/73/163297173.db2.gz DINZWAIWWOBXIC-UHFFFAOYSA-N -1 1 311.367 1.337 20 0 DDADMM COCCCNC(=O)[C@H](C)Sc1nc(C2CC2)cc(=O)[n-]1 ZINC000277546821 408963172 /nfs/dbraw/zinc/96/31/72/408963172.db2.gz IUYKADROIJDOLV-VIFPVBQESA-N -1 1 311.407 1.693 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]([C@H](O)C(F)(F)F)C1 ZINC000277604966 408974818 /nfs/dbraw/zinc/97/48/18/408974818.db2.gz PBQQSNVBPAFAHT-KCJUWKMLSA-N -1 1 304.268 1.563 20 0 DDADMM C[C@H]1OCC[C@]12CN(C(=O)c1cc(F)cc3nn[nH]c31)CCO2 ZINC000282058147 408982099 /nfs/dbraw/zinc/98/20/99/408982099.db2.gz MESZNFNZUFSTSZ-PSLIRLAXSA-N -1 1 320.324 1.117 20 0 DDADMM COC(=O)[C@H](CF)[N-]S(=O)(=O)c1cc(F)c(C)cc1F ZINC000287533197 409051715 /nfs/dbraw/zinc/05/17/15/409051715.db2.gz UNYXQTSAAKFTES-VIFPVBQESA-N -1 1 311.281 1.063 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H](c2ccccc2)C(F)F)c1 ZINC000293117065 409053405 /nfs/dbraw/zinc/05/34/05/409053405.db2.gz XVICTFJUBNUWQF-LLVKDONJSA-N -1 1 301.318 1.705 20 0 DDADMM O=C(c1ccnc2ccccc21)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000283042994 409075029 /nfs/dbraw/zinc/07/50/29/409075029.db2.gz ZNNAISOHXTXPEB-LLVKDONJSA-N -1 1 323.356 1.666 20 0 DDADMM CC[C@@H]1CC[C@@H](C)N1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287691870 409077786 /nfs/dbraw/zinc/07/77/86/409077786.db2.gz IOZZKJVSUBVXSX-PDWPUUMPSA-N -1 1 315.377 1.070 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCCOC3CCCCC3)ccnc1-2 ZINC000287718859 409081696 /nfs/dbraw/zinc/08/16/96/409081696.db2.gz SKORFCCIDJYSCS-ZHZULCJRSA-N -1 1 317.393 1.813 20 0 DDADMM CCOC[C@H]1CCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000287777042 409090993 /nfs/dbraw/zinc/09/09/93/409090993.db2.gz WMGVKRQWZRTTIZ-DSVMPHHWSA-N -1 1 317.393 1.622 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2cccc3cccnc32)C1=O ZINC000278818592 409095748 /nfs/dbraw/zinc/09/57/48/409095748.db2.gz PFTXIGNHHWJUMN-INIZCTEOSA-N -1 1 312.329 1.600 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C[N@@H+]1C1CC1 ZINC000283253123 409122316 /nfs/dbraw/zinc/12/23/16/409122316.db2.gz CQHVVQPGWNAGMP-MWLCHTKSSA-N -1 1 317.418 1.373 20 0 DDADMM CN(Cc1ncnn1CC(F)F)C(=O)c1cc(F)ccc1[O-] ZINC000278981832 409125621 /nfs/dbraw/zinc/12/56/21/409125621.db2.gz PMEFMAYKDHKSLK-UHFFFAOYSA-N -1 1 314.267 1.660 20 0 DDADMM CN(CCC1CCOCC1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283652709 409190832 /nfs/dbraw/zinc/19/08/32/409190832.db2.gz CYQWYLLSGJYNRD-UHFFFAOYSA-N -1 1 320.418 1.907 20 0 DDADMM C[C@H]1OCC[C@]12CN(C(=O)c1cc(F)ccc1[O-])C[C@@H](C)O2 ZINC000279319429 409191620 /nfs/dbraw/zinc/19/16/20/409191620.db2.gz MUSKEMRLSNZUDO-UVWXRNBGSA-N -1 1 309.337 1.940 20 0 DDADMM CCCN(C(=O)c1ccc([O-])cc1F)[C@H]1CC(=O)N(C)C1=O ZINC000283379632 409146629 /nfs/dbraw/zinc/14/66/29/409146629.db2.gz CSXLJAMLTCJIHA-LBPRGKRZSA-N -1 1 308.309 1.141 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(CO)(c2ccccc2)CC1 ZINC000293784354 409179565 /nfs/dbraw/zinc/17/95/65/409179565.db2.gz FEFVEQQWEKEHCX-UHFFFAOYSA-N -1 1 312.369 1.954 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(Br)cc2[O-])C[C@H]1O ZINC000279274951 409182830 /nfs/dbraw/zinc/18/28/30/409182830.db2.gz JSGZMLLNZVWUIA-LLVKDONJSA-N -1 1 314.179 1.998 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cccc(N(C)C)c1 ZINC000289330205 409249970 /nfs/dbraw/zinc/24/99/70/409249970.db2.gz MALHMPNROWYOOX-UHFFFAOYSA-N -1 1 310.379 1.110 20 0 DDADMM CC(C)Cn1ncnc1CN1CCCC[C@H]1c1nc(=O)[n-][nH]1 ZINC000289370427 409255894 /nfs/dbraw/zinc/25/58/94/409255894.db2.gz PALRILZWCFVPJQ-NSHDSACASA-N -1 1 305.386 1.073 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@H]1O)c1ccc([O-])cc1F ZINC000283721523 409203417 /nfs/dbraw/zinc/20/34/17/409203417.db2.gz VGRJVWGNLPCRHP-ABAIWWIYSA-N -1 1 323.364 1.829 20 0 DDADMM COC(=O)[C@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000294766125 409302253 /nfs/dbraw/zinc/30/22/53/409302253.db2.gz BLEKOIVEYITRBW-JTQLQIEISA-N -1 1 320.374 1.043 20 0 DDADMM O=C(N[C@H]1CCCc2c[nH]nc21)c1ccc2n[n-]c(=S)n2c1 ZINC000295004564 409307175 /nfs/dbraw/zinc/30/71/75/409307175.db2.gz QHAKQLGANNHFDR-JTQLQIEISA-N -1 1 314.374 1.549 20 0 DDADMM CCOc1cc(C(=O)[N-]c2nc(C)c(C(=O)OC)s2)on1 ZINC000295544599 409341533 /nfs/dbraw/zinc/34/15/33/409341533.db2.gz XVEKQAIYOOXOCU-UHFFFAOYSA-N -1 1 311.319 1.877 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)NC(=O)c1c(F)ccc([O-])c1F ZINC000280702669 409418353 /nfs/dbraw/zinc/41/83/53/409418353.db2.gz FMXMRAFXRGHHSX-JGVFFNPUSA-N -1 1 300.305 1.703 20 0 DDADMM CCOC(=O)C1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000280750133 409436244 /nfs/dbraw/zinc/43/62/44/409436244.db2.gz KWNOAEJSXUCJAO-UHFFFAOYSA-N -1 1 305.330 1.377 20 0 DDADMM CC(C)OC(=O)CCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000296200344 409462636 /nfs/dbraw/zinc/46/26/36/409462636.db2.gz FFNWYSONLXBIAA-UHFFFAOYSA-N -1 1 322.390 1.480 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2COc3ccccc3O2)c([O-])c1 ZINC000354114319 164125508 /nfs/dbraw/zinc/12/55/08/164125508.db2.gz FXJVYFNTXCUPDM-LLVKDONJSA-N -1 1 300.314 1.665 20 0 DDADMM O=C([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1C2)c1ccc2cncn2c1 ZINC000408504153 164353655 /nfs/dbraw/zinc/35/36/55/164353655.db2.gz WQGYDUZTMDVYQL-UHIISALHSA-N -1 1 319.386 1.583 20 0 DDADMM CCN(CC)C(=O)CCCN=c1nc([C@H](C)OC)[n-]s1 ZINC000337904793 409555174 /nfs/dbraw/zinc/55/51/74/409555174.db2.gz ASCVBVJEZINJMA-JTQLQIEISA-N -1 1 300.428 1.728 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cccc2[nH]cnc21 ZINC000337916730 409566189 /nfs/dbraw/zinc/56/61/89/409566189.db2.gz VBRDNBWEOOQPAT-UHFFFAOYSA-N -1 1 311.301 1.032 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2c[nH]c(C(=O)OC)c2)n[nH]1 ZINC000353861519 409519049 /nfs/dbraw/zinc/51/90/49/409519049.db2.gz ZFSVPXZVXYWMLH-UHFFFAOYSA-N -1 1 312.351 1.278 20 0 DDADMM C[C@H](CN(C)C(=O)c1coc2cc(F)ccc12)c1nn[n-]n1 ZINC000356761334 409581868 /nfs/dbraw/zinc/58/18/68/409581868.db2.gz HGRDZKULXGYBCN-MRVPVSSYSA-N -1 1 303.297 1.961 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](CCO)C3)cnc2n1 ZINC000332032738 409821723 /nfs/dbraw/zinc/82/17/23/409821723.db2.gz VMTYPTRKCAJVOJ-LLVKDONJSA-N -1 1 301.346 1.488 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](CCO)C3)c[n-]c2n1 ZINC000332032738 409821727 /nfs/dbraw/zinc/82/17/27/409821727.db2.gz VMTYPTRKCAJVOJ-LLVKDONJSA-N -1 1 301.346 1.488 20 0 DDADMM CC(C)OCc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357057511 409824781 /nfs/dbraw/zinc/82/47/81/409824781.db2.gz YOBNEYLUCYWKNL-UHFFFAOYSA-N -1 1 301.350 1.544 20 0 DDADMM CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357056294 409825135 /nfs/dbraw/zinc/82/51/35/409825135.db2.gz OIFGTYXGLAVLDH-UTUOFQBUSA-N -1 1 307.398 1.679 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCN(C3CCCC3)CC2)nc1 ZINC000315964032 409849515 /nfs/dbraw/zinc/84/95/15/409849515.db2.gz UKNIDCNYRBOLQW-UHFFFAOYSA-N -1 1 303.362 1.480 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CC(=O)N2CCc3ccccc32)C1 ZINC000316008809 409849614 /nfs/dbraw/zinc/84/96/14/409849614.db2.gz ZNEAYCRMGOKAMS-CYBMUJFWSA-N -1 1 302.374 1.762 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)[C@@H](O)CC ZINC000313140004 409797923 /nfs/dbraw/zinc/79/79/23/409797923.db2.gz HOKALVWOBXVYPI-BDAKNGLRSA-N -1 1 311.325 1.932 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc3c(n2)CCC3)co1 ZINC000297128311 409817159 /nfs/dbraw/zinc/81/71/59/409817159.db2.gz KKFNAZJTESETFA-UHFFFAOYSA-N -1 1 321.358 1.324 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccc3c(c2)OCO3)CC[C@@H]1C(=O)[O-] ZINC000323625844 409920078 /nfs/dbraw/zinc/92/00/78/409920078.db2.gz CYGUWJLYLHITQD-JQWIXIFHSA-N -1 1 320.345 1.396 20 0 DDADMM CNC(=O)CN(C)C(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338338098 409923001 /nfs/dbraw/zinc/92/30/01/409923001.db2.gz PAZHSHWOOQOUJV-UHFFFAOYSA-N -1 1 307.737 1.412 20 0 DDADMM COC(=O)[C@H](C)NC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338340224 409923346 /nfs/dbraw/zinc/92/33/46/409923346.db2.gz YGHCJBAQCNQDRJ-ZETCQYMHSA-N -1 1 308.721 1.885 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC[C@@H]1CCC[C@H](C)C1 ZINC000349631594 409892205 /nfs/dbraw/zinc/89/22/05/409892205.db2.gz XFMOOPFMTKZCEX-ONGXEEELSA-N -1 1 301.412 1.890 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@@H]1CCC[C@H](C)C1 ZINC000349631594 409892210 /nfs/dbraw/zinc/89/22/10/409892210.db2.gz XFMOOPFMTKZCEX-ONGXEEELSA-N -1 1 301.412 1.890 20 0 DDADMM CC[C@H](C)[C@H](C)N(C1CC1)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349641388 409895831 /nfs/dbraw/zinc/89/58/31/409895831.db2.gz XCVCBLMQZJRJTM-IUCAKERBSA-N -1 1 301.412 1.983 20 0 DDADMM O=C(N[C@H]1CC[S@](=O)C1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338387161 409953492 /nfs/dbraw/zinc/95/34/92/409953492.db2.gz JREJUAWIMPUSQC-FPVGNUTFSA-N -1 1 324.789 1.845 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(CNC(=O)C2CCCC2)cc1 ZINC000342862757 409956256 /nfs/dbraw/zinc/95/62/56/409956256.db2.gz ZYAOPTSQKHZIIU-UHFFFAOYSA-N -1 1 314.349 1.258 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(CNC(=O)C2CCCC2)cc1 ZINC000342862757 409956261 /nfs/dbraw/zinc/95/62/61/409956261.db2.gz ZYAOPTSQKHZIIU-UHFFFAOYSA-N -1 1 314.349 1.258 20 0 DDADMM CCc1nc([C@H](C)NC(=O)N=c2[n-]nc(C(F)F)s2)n[nH]1 ZINC000342873037 409964932 /nfs/dbraw/zinc/96/49/32/409964932.db2.gz RHZSJQSKNRCQQI-BYPYZUCNSA-N -1 1 317.325 1.461 20 0 DDADMM Cc1cccc(C2(C(=O)Nc3nc(S(C)(=O)=O)n[n-]3)CC2)c1 ZINC000354726550 410042439 /nfs/dbraw/zinc/04/24/39/410042439.db2.gz LPKSOZUSDQPAAQ-UHFFFAOYSA-N -1 1 320.374 1.187 20 0 DDADMM Cc1cccc(C2(C(=O)Nc3nnc(S(C)(=O)=O)[n-]3)CC2)c1 ZINC000354726550 410042444 /nfs/dbraw/zinc/04/24/44/410042444.db2.gz LPKSOZUSDQPAAQ-UHFFFAOYSA-N -1 1 320.374 1.187 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N([C@H](C)c1ccco1)C1CC1 ZINC000357588629 410120045 /nfs/dbraw/zinc/12/00/45/410120045.db2.gz ZBNBIFIRZDBUQY-MRVPVSSYSA-N -1 1 319.317 1.855 20 0 DDADMM COc1ccc(-c2nnc(SCc3nn[n-]n3)n2C)cc1 ZINC000298321951 410178706 /nfs/dbraw/zinc/17/87/06/410178706.db2.gz SQCNZVARBZWPMB-UHFFFAOYSA-N -1 1 303.351 1.296 20 0 DDADMM CC[C@H](NC(=O)c1coc(S(=O)(=O)[N-]C)c1)C(F)(F)F ZINC000346906352 410239002 /nfs/dbraw/zinc/23/90/02/410239002.db2.gz GIUYKNFVNLTSCO-ZETCQYMHSA-N -1 1 314.285 1.258 20 0 DDADMM CCC1CCC(CNC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343217924 410246330 /nfs/dbraw/zinc/24/63/30/410246330.db2.gz BIODASKJIGGHNO-UHFFFAOYSA-N -1 1 319.405 1.850 20 0 DDADMM N=c1nc(N2CCN(C/C=C\c3ccccc3)CC2)s[n-]1 ZINC000355085278 410302636 /nfs/dbraw/zinc/30/26/36/410302636.db2.gz XXWMXKHEFYTXCK-DAXSKMNVSA-N -1 1 301.419 1.786 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H]1c1cccc(F)c1 ZINC000352060787 410449522 /nfs/dbraw/zinc/44/95/22/410449522.db2.gz VIWFMWGSGDQNCS-ZJUUUORDSA-N -1 1 319.292 1.321 20 0 DDADMM CCCN(C)C(=O)[C@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000339823696 410482925 /nfs/dbraw/zinc/48/29/25/410482925.db2.gz UNMZDLCNBVPZLC-GFCCVEGCSA-N -1 1 309.391 1.171 20 0 DDADMM Cc1cc(/C=C/c2cc(=O)n3[n-]cnc3n2)ccc1-n1cncn1 ZINC000352034588 410432656 /nfs/dbraw/zinc/43/26/56/410432656.db2.gz DXHJEIVJYTXBHO-DUXPYHPUSA-N -1 1 319.328 1.477 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H]2C(F)F)co1 ZINC000343423764 410442879 /nfs/dbraw/zinc/44/28/79/410442879.db2.gz YAKOJEVFBWSGMA-MRVPVSSYSA-N -1 1 308.306 1.057 20 0 DDADMM CCO[C@H](C)c1noc(CN(C)C(=O)c2cncc([O-])c2)n1 ZINC000339904119 410545378 /nfs/dbraw/zinc/54/53/78/410545378.db2.gz IECDSBJARHIELV-SECBINFHSA-N -1 1 306.322 1.540 20 0 DDADMM O=C(Nc1ccn(-c2ccccc2)n1)c1cccn2c([O-])nnc12 ZINC000355696430 410644308 /nfs/dbraw/zinc/64/43/08/410644308.db2.gz MVPDGYWDHVCSFR-UHFFFAOYSA-N -1 1 320.312 1.295 20 0 DDADMM CCCC1(CNC(=O)CNC(=O)c2ncccc2[O-])CCC1 ZINC000343825978 410728917 /nfs/dbraw/zinc/72/89/17/410728917.db2.gz IUZHDLNLWMNPEF-UHFFFAOYSA-N -1 1 305.378 1.604 20 0 DDADMM CSCC[C@@H](C)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000343771057 410684883 /nfs/dbraw/zinc/68/48/83/410684883.db2.gz FTTLIGMLXZQPBS-SNVBAGLBSA-N -1 1 311.407 1.117 20 0 DDADMM CC(C)(NC(=O)c1cnc2ccc(F)cc2c1)c1nn[n-]n1 ZINC000340211946 410758295 /nfs/dbraw/zinc/75/82/95/410758295.db2.gz GUPJMVNNKVOHAF-UHFFFAOYSA-N -1 1 300.297 1.552 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC2(C)C)o1 ZINC000343894082 410786979 /nfs/dbraw/zinc/78/69/79/410786979.db2.gz ZRIHSZXRADUXBY-SNVBAGLBSA-N -1 1 314.407 1.885 20 0 DDADMM C[C@H]1CC(C)(C)CC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343938595 410828347 /nfs/dbraw/zinc/82/83/47/410828347.db2.gz FVWLWUNIIBGOHU-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CC2)cnn1-c1ccccc1C ZINC000348271954 410887960 /nfs/dbraw/zinc/88/79/60/410887960.db2.gz AJBYJUNQDBEOLM-UHFFFAOYSA-N -1 1 323.360 1.421 20 0 DDADMM Cc1cc(C(=O)CCC(=O)NC2(c3nn[n-]n3)CC2)c(C)s1 ZINC000348277990 410893962 /nfs/dbraw/zinc/89/39/62/410893962.db2.gz BGOAOQFBXQRONU-UHFFFAOYSA-N -1 1 319.390 1.646 20 0 DDADMM CC[C@@H](Oc1cccc(C)c1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348284224 410898014 /nfs/dbraw/zinc/89/80/14/410898014.db2.gz TWOSJMWQQZHZQL-GFCCVEGCSA-N -1 1 301.350 1.471 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2sc(C)nc2C)n1 ZINC000331210595 411019359 /nfs/dbraw/zinc/01/93/59/411019359.db2.gz IBMBGYPLERKBEM-YFKPBYRVSA-N -1 1 302.381 1.491 20 0 DDADMM CO[C@@H](C)CN(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000344202026 411023844 /nfs/dbraw/zinc/02/38/44/411023844.db2.gz ADFOZULJRIXSIW-VIFPVBQESA-N -1 1 306.366 1.088 20 0 DDADMM CO[C@H](C)CN(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000344202025 411025542 /nfs/dbraw/zinc/02/55/42/411025542.db2.gz ADFOZULJRIXSIW-SECBINFHSA-N -1 1 306.366 1.088 20 0 DDADMM COc1ccc(S(=O)(=O)N[C@H]2CC[N@H+](C3CC3)C2)c(F)c1 ZINC000360259553 411115601 /nfs/dbraw/zinc/11/56/01/411115601.db2.gz XGDZEJLOEFCLBB-JTQLQIEISA-N -1 1 314.382 1.349 20 0 DDADMM C[C@@H]1CC=C(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CC1 ZINC000332480764 287238249 /nfs/dbraw/zinc/23/82/49/287238249.db2.gz WLMZWWJMSLKBDS-SNVBAGLBSA-N -1 1 307.423 1.346 20 0 DDADMM CCOC(=O)C1CCC(CC(=O)NC(C)(C)c2nn[n-]n2)CC1 ZINC000580648758 422946683 /nfs/dbraw/zinc/94/66/83/422946683.db2.gz KEITVYOSSJXCFJ-UHFFFAOYSA-N -1 1 323.397 1.311 20 0 DDADMM CC1(C)[C@@H](NC(=O)c2cc3ccccc3cc2[O-])CS1(=O)=O ZINC000640463027 422975017 /nfs/dbraw/zinc/97/50/17/422975017.db2.gz RGPGMKDYPREBPS-AWEZNQCLSA-N -1 1 319.382 1.851 20 0 DDADMM NC(=O)c1ccc(=NCC[C@H]2CSc3ccccc3O2)[n-]n1 ZINC000645310276 422988308 /nfs/dbraw/zinc/98/83/08/422988308.db2.gz GMQUENGULHQNRA-JTQLQIEISA-N -1 1 316.386 1.353 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]1C(=O)N1CCC(CCCN2CCOCC2)CC1 ZINC000647739322 423023667 /nfs/dbraw/zinc/02/36/67/423023667.db2.gz PDNJEZJKBGRNAQ-HUUCEWRRSA-N -1 1 324.421 1.058 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCCC[C@H]2C)c1 ZINC000133884222 196342678 /nfs/dbraw/zinc/34/26/78/196342678.db2.gz AKLMIIBHSXLLLY-MWLCHTKSSA-N -1 1 301.364 1.923 20 0 DDADMM COCC[C@@H](CO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000650054504 423032583 /nfs/dbraw/zinc/03/25/83/423032583.db2.gz RFRPBOZCQMPYDS-VIFPVBQESA-N -1 1 307.268 1.538 20 0 DDADMM C[C@@H]1CCc2sc(C(=O)N=c3ncn(CC(=O)[O-])[nH]3)cc2C1 ZINC000647780759 423045014 /nfs/dbraw/zinc/04/50/14/423045014.db2.gz MMQXHORRQBWMDF-MRVPVSSYSA-N -1 1 320.374 1.223 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCC[C@H]2OC)c(F)c1 ZINC000650201199 423085577 /nfs/dbraw/zinc/08/55/77/423085577.db2.gz ZSMOYCORCZNTDJ-VXGBXAGGSA-N -1 1 321.345 1.819 20 0 DDADMM COC[C@H](C)S(=O)(=O)[N-][C@@H](C(C)=O)c1ccccc1F ZINC000416641130 225016092 /nfs/dbraw/zinc/01/60/92/225016092.db2.gz DARGBBFOIKLSGW-ZANVPECISA-N -1 1 303.355 1.410 20 0 DDADMM CSc1nc(CNC(=O)c2nc3c(s2)CCC3)cc(=O)[n-]1 ZINC000640655939 423115583 /nfs/dbraw/zinc/11/55/83/423115583.db2.gz SSFYUUKYTYUNPS-UHFFFAOYSA-N -1 1 322.415 1.779 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCCOC1 ZINC000645638717 423121245 /nfs/dbraw/zinc/12/12/45/423121245.db2.gz SEYUHSLMWCATCR-LLVKDONJSA-N -1 1 324.324 1.948 20 0 DDADMM CCO[C@H]1C[C@](O)(CNC(=O)c2cc(F)ccc2[O-])C1(C)C ZINC000191802465 222109460 /nfs/dbraw/zinc/10/94/60/222109460.db2.gz BMGONUIEFJIYTN-BBRMVZONSA-N -1 1 311.353 1.827 20 0 DDADMM CCN1CN(C(=O)c2cc(Br)ccc2[O-])CC1=O ZINC000190519646 222081615 /nfs/dbraw/zinc/08/16/15/222081615.db2.gz DHOHQPHKXNXDOU-UHFFFAOYSA-N -1 1 313.151 1.417 20 0 DDADMM Cc1c(=O)[n-]c(SCc2nnn(C)n2)nc1-c1ccccc1 ZINC000193094676 222144554 /nfs/dbraw/zinc/14/45/54/222144554.db2.gz FVSVCVCCMNWEFX-UHFFFAOYSA-N -1 1 314.374 1.561 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(-n3cncn3)nc2)c([O-])c1 ZINC000193162036 222145481 /nfs/dbraw/zinc/14/54/81/222145481.db2.gz VOSZGDHBNXWYJJ-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC(F)(F)[C@@H](CO)C1 ZINC000291248676 222257068 /nfs/dbraw/zinc/25/70/68/222257068.db2.gz NIHCRAGFLIMUDB-SSDOTTSWSA-N -1 1 307.243 1.760 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(C)cc2)C1 ZINC000367756359 418629535 /nfs/dbraw/zinc/62/95/35/418629535.db2.gz SFMCWHWGWBFYDF-CYBMUJFWSA-N -1 1 306.362 1.432 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(C)cc2F)C1 ZINC000367801377 418636880 /nfs/dbraw/zinc/63/68/80/418636880.db2.gz APYUBYZXAZYHSR-LBPRGKRZSA-N -1 1 324.352 1.571 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2cccc(F)c2-c2nc[nH]n2)cn1 ZINC000375730046 418677395 /nfs/dbraw/zinc/67/73/95/418677395.db2.gz IWXRWGSWENSMKU-UHFFFAOYSA-N -1 1 322.325 1.145 20 0 DDADMM C[C@@H]1CN(Cc2ccccc2)CCN1c1ncc(C(=O)[O-])cn1 ZINC000382589156 418731644 /nfs/dbraw/zinc/73/16/44/418731644.db2.gz YAYDXNZDIRAHCI-CYBMUJFWSA-N -1 1 312.373 1.886 20 0 DDADMM Cc1cccc(C)c1OCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000371024613 418757148 /nfs/dbraw/zinc/75/71/48/418757148.db2.gz GSSGQWJBBBUQJB-ZDUSSCGKSA-N -1 1 315.377 1.602 20 0 DDADMM C[C@H](O)CCC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645686670 423141484 /nfs/dbraw/zinc/14/14/84/423141484.db2.gz NLMJSDRMKOFAKA-QMMMGPOBSA-N -1 1 312.313 1.540 20 0 DDADMM Cc1cnc(C(=O)N2CCCN(C(=O)C3CC3)CC2)c([O-])c1 ZINC000408107334 418788009 /nfs/dbraw/zinc/78/80/09/418788009.db2.gz NPIKTEMNNODTOW-UHFFFAOYSA-N -1 1 303.362 1.180 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)C1(N2CCOCC2)CCC1 ZINC000371392426 418788095 /nfs/dbraw/zinc/78/80/95/418788095.db2.gz JEWWBIQJXREXGT-UHFFFAOYSA-N -1 1 319.287 1.017 20 0 DDADMM Cc1nnc([C@H]2COCCN2C(=O)c2ncc(C)cc2[O-])o1 ZINC000408110442 418788774 /nfs/dbraw/zinc/78/87/74/418788774.db2.gz QCULVSAXYLTOAZ-SNVBAGLBSA-N -1 1 304.306 1.001 20 0 DDADMM CCOc1ccccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000372729418 418895594 /nfs/dbraw/zinc/89/55/94/418895594.db2.gz MAKVDFKHLNWKMU-NSHDSACASA-N -1 1 301.350 1.618 20 0 DDADMM CC(C)C[C@@H](C(N)=O)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000411397946 418901098 /nfs/dbraw/zinc/90/10/98/418901098.db2.gz IPALZPNJUUWACN-LBPRGKRZSA-N -1 1 316.361 1.868 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCCN1c1ccccc1)c1nn[n-]n1 ZINC000365942179 418924365 /nfs/dbraw/zinc/92/43/65/418924365.db2.gz SODPBDXFLANZJL-TZMCWYRMSA-N -1 1 314.393 1.431 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@@H]2CCCN(C)[C@H]2C)c1 ZINC000424755342 228313172 /nfs/dbraw/zinc/31/31/72/228313172.db2.gz DYSQOUADUFIVCC-ZNVLZIIHSA-N -1 1 324.446 1.402 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCCC(=O)N(C)C)c(F)c1 ZINC000425153224 228374572 /nfs/dbraw/zinc/37/45/72/228374572.db2.gz DIDABPNFRNOQNK-UHFFFAOYSA-N -1 1 320.361 1.420 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCc2cnn(C)c2)c(F)c1 ZINC000425155795 228375087 /nfs/dbraw/zinc/37/50/87/228375087.db2.gz LEPAJWPSUOCIJG-UHFFFAOYSA-N -1 1 315.345 1.528 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2CCCN(C)C2=O)c(F)c1 ZINC000425171422 228379722 /nfs/dbraw/zinc/37/97/22/228379722.db2.gz VRNYSRDJYZACRE-NSHDSACASA-N -1 1 318.345 1.172 20 0 DDADMM C[C@@H](N=c1ccc(N2CCO[C@H](CO)C2)n[n-]1)c1ccccc1 ZINC000425239736 228397339 /nfs/dbraw/zinc/39/73/39/228397339.db2.gz XITMKCPQPDBBTG-HIFRSBDPSA-N -1 1 314.389 1.269 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)[C@@H]1C[C@H]1c1cccc(O)c1 ZINC000412200544 419720957 /nfs/dbraw/zinc/72/09/57/419720957.db2.gz ZUJAIZYSNICWPK-WDEREUQCSA-N -1 1 320.374 1.337 20 0 DDADMM O=C(NCCN1CCCC1=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000652827817 423157328 /nfs/dbraw/zinc/15/73/28/423157328.db2.gz AHQHKJODSABTPV-UHFFFAOYSA-N -1 1 316.279 1.763 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)Nc1ccnn1CC1CCCCC1 ZINC000430677432 420176975 /nfs/dbraw/zinc/17/69/75/420176975.db2.gz JQGCUPYXPFJCSL-UHFFFAOYSA-N -1 1 322.409 1.808 20 0 DDADMM CC[C@@H](CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccccc1 ZINC000416122292 420249748 /nfs/dbraw/zinc/24/97/48/420249748.db2.gz IYKSJJCSFPQRDU-JTQLQIEISA-N -1 1 317.345 1.820 20 0 DDADMM COc1cc(C(=O)NC[C@H](C)c2nncn2C)cc(Cl)c1[O-] ZINC000435831569 420288210 /nfs/dbraw/zinc/28/82/10/420288210.db2.gz SDNDUUNMGQEBJN-QMMMGPOBSA-N -1 1 324.768 1.716 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H](Cc2ccccc2)C(N)=O)n1 ZINC000436207668 420316114 /nfs/dbraw/zinc/31/61/14/420316114.db2.gz SPFNAUWSXRFYQI-LBPRGKRZSA-N -1 1 303.387 1.933 20 0 DDADMM CC(C)C[C@@H](CNC(=O)N1CCN(C)[C@H](C(C)C)C1)C(=O)[O-] ZINC000424428712 420317324 /nfs/dbraw/zinc/31/73/24/420317324.db2.gz XXWQOBHMFYFHGH-KBPBESRZSA-N -1 1 313.442 1.715 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cccc(=O)n2C)c1 ZINC000436505371 420334516 /nfs/dbraw/zinc/33/45/16/420334516.db2.gz NRSOTBUKUKQTNV-UHFFFAOYSA-N -1 1 302.286 1.130 20 0 DDADMM CCc1noc(C)c1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425296075 420341485 /nfs/dbraw/zinc/34/14/85/420341485.db2.gz GJVBYQZWCMLJEA-VIFPVBQESA-N -1 1 306.322 1.654 20 0 DDADMM C[C@@H]1CO[C@@H](CO)CN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436751724 420364072 /nfs/dbraw/zinc/36/40/72/420364072.db2.gz NLMOWXOOSMIUOT-PSASIEDQSA-N -1 1 319.279 1.633 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCO[C@H](C(=O)C2CC2)C1 ZINC000436639576 420348001 /nfs/dbraw/zinc/34/80/01/420348001.db2.gz DJQSZFHHNHNGSD-ZDUSSCGKSA-N -1 1 309.749 1.866 20 0 DDADMM O=C(NC[C@H](O)CCc1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000436898514 420382142 /nfs/dbraw/zinc/38/21/42/420382142.db2.gz YINUVJBQEKVSKV-MRXNPFEDSA-N -1 1 313.353 1.685 20 0 DDADMM O=C(NCCNC(=O)c1cccs1)C(=O)c1ccc([O-])cc1 ZINC000436902895 420383018 /nfs/dbraw/zinc/38/30/18/420383018.db2.gz ROQJDDVNYLIXJS-UHFFFAOYSA-N -1 1 318.354 1.183 20 0 DDADMM COCCN(Cc1ccccn1)C(=O)C(=O)c1ccc([O-])cc1 ZINC000436956897 420387336 /nfs/dbraw/zinc/38/73/36/420387336.db2.gz USBYNPZWOQAJAD-UHFFFAOYSA-N -1 1 314.341 1.645 20 0 DDADMM O=C(C(=O)N1CCOC[C@@H]1CC1CCC1)c1ccc([O-])cc1 ZINC000436789737 420365338 /nfs/dbraw/zinc/36/53/38/420365338.db2.gz CSBANJGKSGMMTK-AWEZNQCLSA-N -1 1 303.358 1.993 20 0 DDADMM CN(C)C(=O)C1([N-]S(=O)(=O)c2c(F)cccc2Cl)CC1 ZINC000416601274 420430778 /nfs/dbraw/zinc/43/07/78/420430778.db2.gz WFNAWZSUIHBTCQ-UHFFFAOYSA-N -1 1 320.773 1.378 20 0 DDADMM CCO[C@@H]1CCC[C@H]1NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000456938401 420572075 /nfs/dbraw/zinc/57/20/75/420572075.db2.gz DQLWDJWCHSKRPV-VXGBXAGGSA-N -1 1 304.350 1.361 20 0 DDADMM Cc1csc(S(=O)(=O)N=c2cc(OC(F)F)n(C)[n-]2)c1 ZINC000451034485 420585153 /nfs/dbraw/zinc/58/51/53/420585153.db2.gz XHGIRJSVBBLSND-UHFFFAOYSA-N -1 1 323.346 1.614 20 0 DDADMM C[C@@H]1CN(C(=O)NCc2nc(C(F)(F)F)n[nH]2)C[C@H](C)N1C ZINC000458655491 420706466 /nfs/dbraw/zinc/70/64/66/420706466.db2.gz MDUWOIPFHPRVFK-OCAPTIKFSA-N -1 1 320.319 1.058 20 0 DDADMM CCSc1n[n-]c(=NC(=O)NCc2n[nH]c(CC)n2)s1 ZINC000454196566 420814298 /nfs/dbraw/zinc/81/42/98/420814298.db2.gz GEVRICYJPPMMMX-UHFFFAOYSA-N -1 1 313.412 1.074 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2ccc(C(F)(F)F)n[n-]2)C[C@H](C)N1C ZINC000455170419 420978312 /nfs/dbraw/zinc/97/83/12/420978312.db2.gz RWVZRZDQNRJMIE-DTORHVGOSA-N -1 1 317.315 1.474 20 0 DDADMM COC(=O)N[C@@H](C)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000494024693 420981610 /nfs/dbraw/zinc/98/16/10/420981610.db2.gz TXNMXXRUWIYYJE-QMMMGPOBSA-N -1 1 304.306 1.465 20 0 DDADMM C[C@@H](CO[N-]C(=O)[C@H]1CCCOC1)NC(=O)OC(C)(C)C ZINC000494101683 420992175 /nfs/dbraw/zinc/99/21/75/420992175.db2.gz AJQQJNBZSKTZNY-QWRGUYRKSA-N -1 1 302.371 1.374 20 0 DDADMM Cn1[n-]c(=NS(=O)(=O)c2cccs2)cc1OC(F)F ZINC000488357182 421085324 /nfs/dbraw/zinc/08/53/24/421085324.db2.gz USDVPHSHERLIDE-UHFFFAOYSA-N -1 1 309.319 1.306 20 0 DDADMM CC[C@H](C(=O)N1CCC[C@@H]1C(=O)[O-])N(C)Cc1ccccc1 ZINC000455816972 421064734 /nfs/dbraw/zinc/06/47/34/421064734.db2.gz XHZROLVQSRAEIL-HUUCEWRRSA-N -1 1 304.390 1.973 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(C#N)c(Cl)c1)c1nn[n-]n1 ZINC000547655205 421351196 /nfs/dbraw/zinc/35/11/96/421351196.db2.gz HSGXVMFEXIMLJH-QMMMGPOBSA-N -1 1 304.741 1.600 20 0 DDADMM CO[C@H](C)c1noc(CN(C)C(=O)c2cccc3nn[nH]c32)n1 ZINC000527107453 421355696 /nfs/dbraw/zinc/35/56/96/421355696.db2.gz CEAVVYXDWMYWBT-MRVPVSSYSA-N -1 1 316.321 1.321 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccnn1C1CCCC1)c1nn[n-]n1 ZINC000547810411 421370030 /nfs/dbraw/zinc/37/00/30/421370030.db2.gz GNXWKHYMOKVWPS-JTQLQIEISA-N -1 1 303.370 1.387 20 0 DDADMM C[C@H](CN(C)C(=O)[C@]1(C)CCc2ccccc2C1)c1nn[n-]n1 ZINC000547810778 421371346 /nfs/dbraw/zinc/37/13/46/421371346.db2.gz NPUXSEDNUBAKFL-SJKOYZFVSA-N -1 1 313.405 1.957 20 0 DDADMM O=S(=O)(CC1CCOCC1)c1nc(-c2ccccc2)n[n-]1 ZINC000562497914 421374104 /nfs/dbraw/zinc/37/41/04/421374104.db2.gz NQDXKDWQFCNZKF-UHFFFAOYSA-N -1 1 307.375 1.672 20 0 DDADMM CCN(CC)CCS(=O)(=O)N1CC[C@@](C(=O)[O-])(C(C)C)C1 ZINC000563081711 421452121 /nfs/dbraw/zinc/45/21/21/421452121.db2.gz RKNSXXQTXVRRNC-AWEZNQCLSA-N -1 1 320.455 1.091 20 0 DDADMM Cc1cnc(C(=O)N2CCC(OC(=O)N(C)C)CC2)c([O-])c1 ZINC000497231691 421392875 /nfs/dbraw/zinc/39/28/75/421392875.db2.gz VHGHIZLIIPDVKQ-UHFFFAOYSA-N -1 1 307.350 1.398 20 0 DDADMM O=C([O-])[C@H]1Cc2c(Br)cccc2CN1CCCO ZINC000563289646 421481912 /nfs/dbraw/zinc/48/19/12/421481912.db2.gz NMZSWTISZJZJSR-GFCCVEGCSA-N -1 1 314.179 1.643 20 0 DDADMM CC(=O)c1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cs1 ZINC000551903193 421551381 /nfs/dbraw/zinc/55/13/81/421551381.db2.gz MJTDTDXNRVTTHG-SECBINFHSA-N -1 1 305.363 1.484 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@]1(C)CCCOC1 ZINC000552528140 421590528 /nfs/dbraw/zinc/59/05/28/421590528.db2.gz SADHOYJYRCEFSU-INIZCTEOSA-N -1 1 318.377 1.280 20 0 DDADMM CC(C)[C@H]1N(C(=O)c2cc(=O)n3[n-]cnc3n2)CC12CCC2 ZINC000552724657 421593386 /nfs/dbraw/zinc/59/33/86/421593386.db2.gz ICAKPUKGCLUSBV-GFCCVEGCSA-N -1 1 301.350 1.068 20 0 DDADMM COc1cc(/C=C/c2cc(=O)n3[n-]cnc3n2)cc(Cl)c1O ZINC000554390319 421639055 /nfs/dbraw/zinc/63/90/55/421639055.db2.gz DESZOWOTGPNRBQ-NSCUHMNNSA-N -1 1 318.720 1.956 20 0 DDADMM NC(=O)CC1([N-]S(=O)(=O)c2cc3ccccc3o2)CCC1 ZINC000532147389 421650105 /nfs/dbraw/zinc/65/01/05/421650105.db2.gz GPUWBQGATFUYGS-UHFFFAOYSA-N -1 1 308.359 1.509 20 0 DDADMM CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)CSc1n[nH]c(=O)[n-]1 ZINC000554610620 421655402 /nfs/dbraw/zinc/65/54/02/421655402.db2.gz FBUVCRAFCHBGLO-UHFFFAOYSA-N -1 1 324.410 1.282 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1OCCc2ccccc21 ZINC000532754246 421665891 /nfs/dbraw/zinc/66/58/91/421665891.db2.gz FUCKSPRDIYOYKJ-ZDUSSCGKSA-N -1 1 313.361 1.399 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C2C[C@H](C)O[C@@H](C)C2)o1 ZINC000535052171 421698688 /nfs/dbraw/zinc/69/86/88/421698688.db2.gz YUQWXZQLIAUODH-IUCAKERBSA-N -1 1 317.363 1.301 20 0 DDADMM CCC[C@@H]1C[C@H](C(=O)NC2(c3nn[n-]n3)CCCC2)CCO1 ZINC000540961079 421779827 /nfs/dbraw/zinc/77/98/27/421779827.db2.gz PTSXYQOSHQOMEK-VXGBXAGGSA-N -1 1 307.398 1.681 20 0 DDADMM Cc1cnc(C(=O)N2CC[N@@H+]([C@@H]3C[C@@H]3C)C[C@H](C)C2)c([O-])c1 ZINC000558027368 421797826 /nfs/dbraw/zinc/79/78/26/421797826.db2.gz PCLGOFWPXGOCER-MELADBBJSA-N -1 1 303.406 1.898 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ccccc2N(C)C)sn1 ZINC000631850234 421889955 /nfs/dbraw/zinc/88/99/55/421889955.db2.gz KEEYHGKTYWFEKA-UHFFFAOYSA-N -1 1 311.432 1.996 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)Cc2cc(C(=O)[O-])nn2C)C12CCC2 ZINC000635303757 421892275 /nfs/dbraw/zinc/89/22/75/421892275.db2.gz NLONJBDGNWNVDT-UONOGXRCSA-N -1 1 307.394 1.898 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CNC(C)(C)C(=O)NCC1CCCC1 ZINC000635306659 421893738 /nfs/dbraw/zinc/89/37/38/421893738.db2.gz CIYZDBPYFIMUMV-UHFFFAOYSA-N -1 1 322.409 1.293 20 0 DDADMM CCOCc1ccccc1CNCc1cc(C(=O)[O-])nn1C ZINC000635305057 421895191 /nfs/dbraw/zinc/89/51/91/421895191.db2.gz MDKOKWIIGZXBOG-UHFFFAOYSA-N -1 1 303.362 1.945 20 0 DDADMM CCC[C@H](O)[C@@H](CO)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633437437 421901487 /nfs/dbraw/zinc/90/14/87/421901487.db2.gz GYCKJQHQZHFPLV-YPMHNXCESA-N -1 1 301.770 1.226 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1noc2c1C[C@@H](C)CC2 ZINC000580841804 421849738 /nfs/dbraw/zinc/84/97/38/421849738.db2.gz MNGRBMKZHZLACF-ZETCQYMHSA-N -1 1 304.306 1.561 20 0 DDADMM CCN(CC)CCS(=O)(=O)N[C@@]1(C)CCCC[C@H]1C(=O)[O-] ZINC000572758472 421854496 /nfs/dbraw/zinc/85/44/96/421854496.db2.gz LYCCUPYLQUSEDG-JSGCOSHPSA-N -1 1 320.455 1.281 20 0 DDADMM CCc1nc(S[C@@H](C)C(=O)NCCCOC)[n-]c(=O)c1C ZINC000572782343 421862587 /nfs/dbraw/zinc/86/25/87/421862587.db2.gz SQQXXQJNBJMNCO-JTQLQIEISA-N -1 1 313.423 1.686 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CC[C@H]2CC[C@@H](C)O2)CC1 ZINC000630223559 421972743 /nfs/dbraw/zinc/97/27/43/421972743.db2.gz JZJKZLMPRMBKOY-MGPQQGTHSA-N -1 1 312.410 1.342 20 0 DDADMM Cn1nccc1[C@H]1c2nc[nH]c2CCN1C(=O)c1ncccc1[O-] ZINC000633529339 421954912 /nfs/dbraw/zinc/95/49/12/421954912.db2.gz HULXHBHWYKWRMH-HNNXBMFYSA-N -1 1 324.344 1.032 20 0 DDADMM Cn1nccc1[C@H]1c2[nH]cnc2CCN1C(=O)c1ncccc1[O-] ZINC000633529339 421954922 /nfs/dbraw/zinc/95/49/22/421954922.db2.gz HULXHBHWYKWRMH-HNNXBMFYSA-N -1 1 324.344 1.032 20 0 DDADMM CC[C@@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])C[C@@H](C)O1 ZINC000631942378 421959261 /nfs/dbraw/zinc/95/92/61/421959261.db2.gz WLTACBNCEOEMNE-GHMZBOCLSA-N -1 1 315.391 1.589 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCc2c1cccc2Cl ZINC000635416993 421970804 /nfs/dbraw/zinc/97/08/04/421970804.db2.gz PBNDHPXBZRIMQB-LBPRGKRZSA-N -1 1 305.769 1.980 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2C[C@H]2OC(C)(C)C)CC1 ZINC000630220258 421971407 /nfs/dbraw/zinc/97/14/07/421971407.db2.gz NGJAYMKPBDGFKD-JHJVBQTASA-N -1 1 312.410 1.197 20 0 DDADMM NC(=O)[C@H]1CCCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000630345571 422033645 /nfs/dbraw/zinc/03/36/45/422033645.db2.gz KDRCICCLOQTVOK-VIFPVBQESA-N -1 1 304.375 1.447 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](N3CC=CC3)C2)c1 ZINC000632034624 422038887 /nfs/dbraw/zinc/03/88/87/422038887.db2.gz ZPIMIFAMSFHAGL-LBPRGKRZSA-N -1 1 324.402 1.036 20 0 DDADMM COc1ccc(CN(C)C(=O)CCCc2nn[n-]n2)cc1C ZINC000635493830 422042216 /nfs/dbraw/zinc/04/22/16/422042216.db2.gz DEQKHPZOPQTABL-UHFFFAOYSA-N -1 1 303.366 1.498 20 0 DDADMM CC(C)COCCC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630225849 421976412 /nfs/dbraw/zinc/97/64/12/421976412.db2.gz XSFSJRUQGHMLAV-ZDUSSCGKSA-N -1 1 300.399 1.057 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@@H](Cn2ccnn2)C1 ZINC000633610449 421998061 /nfs/dbraw/zinc/99/80/61/421998061.db2.gz XWILOKJTQRWJFB-GFCCVEGCSA-N -1 1 320.780 1.728 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3CCCNC3=O)[nH][n-]2)s1 ZINC000633616253 422002590 /nfs/dbraw/zinc/00/25/90/422002590.db2.gz VYOTVNROUSBTGV-SECBINFHSA-N -1 1 304.375 1.333 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ccc(CO)c(F)c2)sn1 ZINC000632003386 422013185 /nfs/dbraw/zinc/01/31/85/422013185.db2.gz BBGNSJQTEKQOHD-UHFFFAOYSA-N -1 1 316.379 1.561 20 0 DDADMM COCc1ncc2c(n1)CCN(C(=O)c1ccc([O-])cc1F)C2 ZINC000633736939 422071161 /nfs/dbraw/zinc/07/11/61/422071161.db2.gz LPJQNZQHVZJHFL-UHFFFAOYSA-N -1 1 317.320 1.666 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2C[C@@H](OC)C2(C)C)c1 ZINC000632084931 422075052 /nfs/dbraw/zinc/07/50/52/422075052.db2.gz RKZYOQKHQFEIDE-CHWSQXEVSA-N -1 1 315.391 1.493 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)C2CCOCC2)c1 ZINC000632104538 422091209 /nfs/dbraw/zinc/09/12/09/422091209.db2.gz JJYHZBYMDNUVPG-SNVBAGLBSA-N -1 1 315.391 1.494 20 0 DDADMM CC1(C(=O)[O-])CCN(S(=O)(=O)c2cc(O)cc(F)c2)CC1 ZINC000630444781 422108309 /nfs/dbraw/zinc/10/83/09/422108309.db2.gz RTFHGLCIYVBINQ-UHFFFAOYSA-N -1 1 317.338 1.407 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@H]2C[C@H](C)O)c1 ZINC000632135826 422111552 /nfs/dbraw/zinc/11/15/52/422111552.db2.gz HEWVGAJBJMFTDH-WDEREUQCSA-N -1 1 315.391 1.325 20 0 DDADMM Cn1ccc2ccc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)cc21 ZINC000632054152 422052533 /nfs/dbraw/zinc/05/25/33/422052533.db2.gz NMQQDZHDINEIKU-ZDUSSCGKSA-N -1 1 310.361 1.711 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1scnc1C(C)(C)C ZINC000633690766 422052742 /nfs/dbraw/zinc/05/27/42/422052742.db2.gz CUORVUVMADXKTF-UHFFFAOYSA-N -1 1 321.406 1.506 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)[C@H]2CCCCO2)c1 ZINC000632187013 422147141 /nfs/dbraw/zinc/14/71/41/422147141.db2.gz ZNDREMAGPFMEJN-ZWNOBZJWSA-N -1 1 315.391 1.637 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@]2(C)CCO[C@@H]2C2CC2)sn1 ZINC000632224105 422175847 /nfs/dbraw/zinc/17/58/47/422175847.db2.gz CJKQQOVRKJCOKN-CHWSQXEVSA-N -1 1 316.448 1.935 20 0 DDADMM C/C=C\C[C@H](CO)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632228069 422179227 /nfs/dbraw/zinc/17/92/27/422179227.db2.gz RIMYQSMEROFWHL-UMBAGQNISA-N -1 1 301.364 1.006 20 0 DDADMM O=C([O-])[C@]1(CN=c2nc(-c3ccccc3)[nH]s2)CCCOC1 ZINC000630462999 422119648 /nfs/dbraw/zinc/11/96/48/422119648.db2.gz HOSIRJAAIVLIGZ-HNNXBMFYSA-N -1 1 319.386 1.920 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)[C@@H]2CCSC2)c1 ZINC000632145987 422120451 /nfs/dbraw/zinc/12/04/51/422120451.db2.gz AFHJRPGWMQXZTQ-SECBINFHSA-N -1 1 303.405 1.527 20 0 DDADMM CC[C@H](CNC(=O)CCCc1nn[n-]n1)Oc1ccccc1C ZINC000635654384 422208001 /nfs/dbraw/zinc/20/80/01/422208001.db2.gz DXEIYGALRKSSCA-CYBMUJFWSA-N -1 1 317.393 1.805 20 0 DDADMM C[C@@H]1CCCc2nc(S(=O)(=O)[N-]C3(C(F)F)CC3)cn21 ZINC000584042262 422246357 /nfs/dbraw/zinc/24/63/57/422246357.db2.gz PDPNBNMNGMSPBU-MRVPVSSYSA-N -1 1 305.350 1.856 20 0 DDADMM CO[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])[C@@](C)(CO)C1 ZINC000634073365 422253292 /nfs/dbraw/zinc/25/32/92/422253292.db2.gz WCGLEEKLWSDIHF-SJKOYZFVSA-N -1 1 316.357 1.552 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCc2nccs2)sn1 ZINC000632345023 422264615 /nfs/dbraw/zinc/26/46/15/422264615.db2.gz YCYRVWMRQODVQF-UHFFFAOYSA-N -1 1 303.434 1.819 20 0 DDADMM COc1cc(NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)[nH]n1 ZINC000628626342 422266851 /nfs/dbraw/zinc/26/68/51/422266851.db2.gz GPOHYMVZQDHZKN-UHFFFAOYSA-N -1 1 305.338 1.772 20 0 DDADMM C[C@H]([C@H]1Cc2ccccc2O1)N(C)C(=O)CCCc1nn[n-]n1 ZINC000635716458 422273715 /nfs/dbraw/zinc/27/37/15/422273715.db2.gz RWYIIOUQUIZUHZ-BXUZGUMPSA-N -1 1 315.377 1.373 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C)ns1)[C@@H](O)C(F)F ZINC000632390776 422300832 /nfs/dbraw/zinc/30/08/32/422300832.db2.gz KAWBXZIMOOUCQO-POYBYMJQSA-N -1 1 300.352 1.134 20 0 DDADMM CC(C)[C@](C)(Cc1ccccc1)NC(=O)CCc1nn[n-]n1 ZINC000634223634 422334716 /nfs/dbraw/zinc/33/47/16/422334716.db2.gz WHQURBMQSVWELJ-INIZCTEOSA-N -1 1 301.394 1.906 20 0 DDADMM O=C([O-])[C@@]12CCC[C@H]1CN(C(=O)[C@H]1NCCc3ccccc31)C2 ZINC000575873058 422340526 /nfs/dbraw/zinc/34/05/26/422340526.db2.gz GKMWEOXEOVSBOZ-DHSIGJKJSA-N -1 1 314.385 1.587 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@H]1CCCO[C@@H]1c1ccccc1 ZINC000630826074 422357372 /nfs/dbraw/zinc/35/73/72/422357372.db2.gz HWNHTYKFFTXSTA-CZUORRHYSA-N -1 1 315.377 1.416 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2C[C@H](C)O[C@H]2C)c1 ZINC000632456399 422359250 /nfs/dbraw/zinc/35/92/50/422359250.db2.gz CNSYLLYAIPMRJZ-DCAQKATOSA-N -1 1 315.391 1.493 20 0 DDADMM O=C(N[C@H]1COCCC1=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000628874582 422362981 /nfs/dbraw/zinc/36/29/81/422362981.db2.gz JWDMXLWJKUVPHI-VIFPVBQESA-N -1 1 303.236 1.499 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](C)[C@](C)(CO)C2)c1 ZINC000632572070 422445944 /nfs/dbraw/zinc/44/59/44/422445944.db2.gz YVOSYPXNJLUCOR-YGRLFVJLSA-N -1 1 315.391 1.040 20 0 DDADMM CCO[C@@H](CNC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC000635861986 422417265 /nfs/dbraw/zinc/41/72/65/422417265.db2.gz RFFWSCBNKXDQCE-ZDUSSCGKSA-N -1 1 303.366 1.416 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@@H]1CC[C@@H](C(F)(F)F)NC1=O ZINC000628961092 422422152 /nfs/dbraw/zinc/42/21/52/422422152.db2.gz OPSXBSOMHWPOJJ-RCOVLWMOSA-N -1 1 320.242 1.927 20 0 DDADMM CC(C)(CO)Cn1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634627102 422514024 /nfs/dbraw/zinc/51/40/24/422514024.db2.gz VPLMSIVXQZEUCA-OAHLLOKOSA-N -1 1 315.417 1.725 20 0 DDADMM Cc1ccccc1[C@H](N)C(=O)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000578010970 422477872 /nfs/dbraw/zinc/47/78/72/422477872.db2.gz JMPRCRUNPMNKBJ-AAEUAGOBSA-N -1 1 315.377 1.225 20 0 DDADMM C[C@@H]1CCc2[n-]n(-c3nccc(N4CCOCC4)n3)c(=O)c21 ZINC000634606771 422501566 /nfs/dbraw/zinc/50/15/66/422501566.db2.gz BARBEZNIIQYZHP-MFKMUULPSA-N -1 1 301.350 1.062 20 0 DDADMM O=C([O-])Cn1cc(CN2CCC[C@H]2Cc2ccc(F)cc2)nn1 ZINC000578242392 422531723 /nfs/dbraw/zinc/53/17/23/422531723.db2.gz VISBNPODJNNUPG-HNNXBMFYSA-N -1 1 318.352 1.709 20 0 DDADMM O=C(CCc1nn[n-]n1)NC1(c2ccc(F)cc2)CCOCC1 ZINC000631133988 422550736 /nfs/dbraw/zinc/55/07/36/422550736.db2.gz XYLIPSZBVOUOLW-UHFFFAOYSA-N -1 1 319.340 1.094 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1CCC(OC(F)F)CC1 ZINC000636006285 422558441 /nfs/dbraw/zinc/55/84/41/422558441.db2.gz BCZSPGYZVICSSK-UHFFFAOYSA-N -1 1 303.313 1.189 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1cccc2c1CNC2=O)C1CC1 ZINC000629299185 422592992 /nfs/dbraw/zinc/59/29/92/422592992.db2.gz NNBIRJVIIILHGS-CQSZACIVSA-N -1 1 324.402 1.487 20 0 DDADMM CCO[C@H](C(=O)N=c1[nH][n-]c(C)c1Br)C1CC1 ZINC000627669803 422657141 /nfs/dbraw/zinc/65/71/41/422657141.db2.gz UHGORVZCJJCAOG-VIFPVBQESA-N -1 1 302.172 1.656 20 0 DDADMM Cc1cc(Cl)c([N-]S(=O)(=O)c2cnnn2C)cc1C ZINC000629302345 422594132 /nfs/dbraw/zinc/59/41/32/422594132.db2.gz CFVWJVQDIPGNPA-UHFFFAOYSA-N -1 1 300.771 1.886 20 0 DDADMM Cc1cc(Br)ccc1CNC(=O)CCc1nn[n-]n1 ZINC000631223045 422613480 /nfs/dbraw/zinc/61/34/80/422613480.db2.gz SKPCSYBMFVJFCS-UHFFFAOYSA-N -1 1 324.182 1.520 20 0 DDADMM CCNC(=O)CCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629378839 422638742 /nfs/dbraw/zinc/63/87/42/422638742.db2.gz WHLSGWAUNZKTIZ-UHFFFAOYSA-N -1 1 302.334 1.433 20 0 DDADMM C[C@@]1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCC(=O)NC1 ZINC000629378966 422640114 /nfs/dbraw/zinc/64/01/14/422640114.db2.gz AIUOXHGXFIRTOP-MRXNPFEDSA-N -1 1 314.345 1.433 20 0 DDADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629380509 422640925 /nfs/dbraw/zinc/64/09/25/422640925.db2.gz QYRSZNOOSNZLGG-NEPJUHHUSA-N -1 1 300.318 1.028 20 0 DDADMM C[C@]1(c2cccc(Br)c2)C[C@@H]1C(=O)Nc1nnn[n-]1 ZINC000631361749 422714832 /nfs/dbraw/zinc/71/48/32/422714832.db2.gz QFJVFOMRJUKTRQ-BXKDBHETSA-N -1 1 322.166 1.879 20 0 DDADMM C[C@]1(c2cccc(Br)c2)C[C@@H]1C(=O)Nc1nn[n-]n1 ZINC000631361749 422714836 /nfs/dbraw/zinc/71/48/36/422714836.db2.gz QFJVFOMRJUKTRQ-BXKDBHETSA-N -1 1 322.166 1.879 20 0 DDADMM C[C@@]1(c2cccc(Br)c2)C[C@H]1C(=O)Nc1nnn[n-]1 ZINC000631361769 422714856 /nfs/dbraw/zinc/71/48/56/422714856.db2.gz QFJVFOMRJUKTRQ-CABZTGNLSA-N -1 1 322.166 1.879 20 0 DDADMM C[C@@]1(c2cccc(Br)c2)C[C@H]1C(=O)Nc1nn[n-]n1 ZINC000631361769 422714861 /nfs/dbraw/zinc/71/48/61/422714861.db2.gz QFJVFOMRJUKTRQ-CABZTGNLSA-N -1 1 322.166 1.879 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3C[C@@H]32)c(C(F)(F)F)n1 ZINC000645852143 423214346 /nfs/dbraw/zinc/21/43/46/423214346.db2.gz KBGQUVLWUJRANO-HRDYMLBCSA-N -1 1 323.340 1.906 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ccsc2)c1 ZINC000179209487 263356180 /nfs/dbraw/zinc/35/61/80/263356180.db2.gz HILGWGBNAOZIAL-UHFFFAOYSA-N -1 1 301.345 1.606 20 0 DDADMM Nc1nc2c(c(N[C@@H]3C[C@H](C(=O)[O-])c4ccccc43)n1)CCCC2 ZINC000650775944 423255401 /nfs/dbraw/zinc/25/54/01/423255401.db2.gz IOHCYJVZOAZACH-DZGCQCFKSA-N -1 1 324.384 1.915 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@@]3(C(=O)[O-])CC=CCC3)C2)n[nH]1 ZINC000653284880 423420037 /nfs/dbraw/zinc/42/00/37/423420037.db2.gz XTVRCAGCAYFXRK-BLLLJJGKSA-N -1 1 318.377 1.630 20 0 DDADMM CCc1nc(C2CCN(C(=O)C(CC)(CC)C(=O)[O-])CC2)n[nH]1 ZINC000653288254 423423084 /nfs/dbraw/zinc/42/30/84/423423084.db2.gz OSYLUXUPKNNBAU-UHFFFAOYSA-N -1 1 322.409 1.964 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)n[nH]1 ZINC000646161526 423372726 /nfs/dbraw/zinc/37/27/26/423372726.db2.gz IOSJPYGSNMMFKB-DTWKUNHWSA-N -1 1 309.370 1.155 20 0 DDADMM COc1cccc(CN(C)[C@@H]2CCCCN(CC(=O)[O-])C2=O)c1 ZINC000643872117 423387904 /nfs/dbraw/zinc/38/79/04/423387904.db2.gz RQXOWZIWTIRYCZ-OAHLLOKOSA-N -1 1 320.389 1.593 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])N1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000653318444 423439043 /nfs/dbraw/zinc/43/90/43/423439043.db2.gz KNCCKNGZDTUQCB-BXKDBHETSA-N -1 1 300.318 1.549 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N[C@@H]1C[C@@H](C(=O)[O-])c2ccccc21 ZINC000653328546 423441802 /nfs/dbraw/zinc/44/18/02/423441802.db2.gz KGYPMSJXKJGTIC-RBSFLKMASA-N -1 1 302.374 1.900 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1[nH]cnc1C(=O)c1ccccc1 ZINC000646409828 423477071 /nfs/dbraw/zinc/47/70/71/423477071.db2.gz ZPGWOAUQRQZWHY-UHFFFAOYSA-N -1 1 311.301 1.630 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCCN(CC(F)(F)F)C1 ZINC000646410832 423479404 /nfs/dbraw/zinc/47/94/04/423479404.db2.gz ZOPQZGTTWNBDFU-MRVPVSSYSA-N -1 1 306.288 1.637 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)Cc2cc(OC)ns2)on1 ZINC000641350434 423572078 /nfs/dbraw/zinc/57/20/78/423572078.db2.gz IIWATIUBQNXSSS-UHFFFAOYSA-N -1 1 317.392 1.322 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnc2n1[C@H](C)CCC2)c1nn[n-]n1 ZINC000651721021 423656702 /nfs/dbraw/zinc/65/67/02/423656702.db2.gz QMJWXBTZFQTVRF-NXEZZACHSA-N -1 1 303.370 1.169 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCc2ccccc2[C@H](C)C1 ZINC000651881296 423724537 /nfs/dbraw/zinc/72/45/37/423724537.db2.gz XKATYFLIUWNVLL-SNVBAGLBSA-N -1 1 321.402 1.746 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1cccc(CO)c1Cl ZINC000641691832 423869265 /nfs/dbraw/zinc/86/92/65/423869265.db2.gz RMIIKSZSIWFDND-UHFFFAOYSA-N -1 1 315.782 1.850 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc2oc(=O)[nH]c2cc1F)C(F)F ZINC000641706414 423889249 /nfs/dbraw/zinc/88/92/49/423889249.db2.gz UJJUUKBWNDMFOP-BYPYZUCNSA-N -1 1 310.253 1.192 20 0 DDADMM Cn1[n-]c(C(=O)N2CCCN(Cc3ccccc3)CC2)cc1=O ZINC000665773309 423828981 /nfs/dbraw/zinc/82/89/81/423828981.db2.gz JCCJEGBQEQIPGI-UHFFFAOYSA-N -1 1 314.389 1.474 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccc(-c3nc[nH]n3)cc2)CC1 ZINC000641683021 423853262 /nfs/dbraw/zinc/85/32/62/423853262.db2.gz MLUULDVTNIGUOP-UHFFFAOYSA-N -1 1 308.363 1.392 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)Cc2cc(F)ccc2Cl)n1 ZINC000656970087 423940787 /nfs/dbraw/zinc/94/07/87/423940787.db2.gz HJHGJYPNZZSWPS-UHFFFAOYSA-N -1 1 319.745 1.790 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]1C1CC1)c1ncccc1Br ZINC000656980546 423952593 /nfs/dbraw/zinc/95/25/93/423952593.db2.gz XCALZGIDHQPSFI-WCBMZHEXSA-N -1 1 317.208 1.921 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])N2CCCC2)o1 ZINC000649462734 423929923 /nfs/dbraw/zinc/92/99/23/423929923.db2.gz WOTPSIHAYIUBRX-UPJWGTAASA-N -1 1 306.362 1.562 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ncccc2Br)CO1 ZINC000657019564 423999725 /nfs/dbraw/zinc/99/97/25/423999725.db2.gz CBNZTFOTFHGFSC-SFYZADRCSA-N -1 1 321.196 1.300 20 0 DDADMM CCOc1nc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)co1 ZINC000644886880 424017451 /nfs/dbraw/zinc/01/74/51/424017451.db2.gz UMHZPBAISDRMAJ-UHFFFAOYSA-N -1 1 308.294 1.182 20 0 DDADMM CC[C@H](COCC1CC1)[N-]S(=O)(=O)c1c(C)onc1N ZINC000657058821 424055138 /nfs/dbraw/zinc/05/51/38/424055138.db2.gz VEUSLLLJSIUFGL-SNVBAGLBSA-N -1 1 303.384 1.049 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)C1CCC1 ZINC000645080840 424145841 /nfs/dbraw/zinc/14/58/41/424145841.db2.gz DVGZFXHFRQUWFE-ZETCQYMHSA-N -1 1 311.329 1.906 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1CCC2(CC2(F)F)CC1 ZINC000657197534 424207758 /nfs/dbraw/zinc/20/77/58/424207758.db2.gz LEZXRYJASLDYBP-UHFFFAOYSA-N -1 1 321.349 1.812 20 0 DDADMM O=C([O-])C1(CNC(=O)c2n[nH]nc2-c2ccccc2)CCC1 ZINC000659786099 424254696 /nfs/dbraw/zinc/25/46/96/424254696.db2.gz ZYJWCRQFIDIJCR-UHFFFAOYSA-N -1 1 300.318 1.456 20 0 DDADMM CCc1nnc(CN2C[C@H](C(=O)[O-])[C@@H](c3ccccc3)C2)[nH]1 ZINC000659797959 424260250 /nfs/dbraw/zinc/26/02/50/424260250.db2.gz YHXAKZWLQXICIA-OLZOCXBDSA-N -1 1 300.362 1.667 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1[nH]ccc1C1CC1 ZINC000663968373 424308936 /nfs/dbraw/zinc/30/89/36/424308936.db2.gz ALLYHWAHRNJUKF-ZDUSSCGKSA-N -1 1 308.345 1.925 20 0 DDADMM COCCOCCN(C)C(=O)N=c1[n-]sc2ccccc21 ZINC000640337273 424360542 /nfs/dbraw/zinc/36/05/42/424360542.db2.gz CQKPGVIEKOUXLI-UHFFFAOYSA-N -1 1 309.391 1.845 20 0 DDADMM Cc1cccc(NC(=O)CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c1C ZINC000662220467 424488031 /nfs/dbraw/zinc/48/80/31/424488031.db2.gz JRUDFBHHOGNFDR-CXAGYDPISA-N -1 1 318.373 1.275 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2CCC(O)CC2)sc1C ZINC000660025359 424466307 /nfs/dbraw/zinc/46/63/07/424466307.db2.gz ZHKFPRSHWWWKOO-UHFFFAOYSA-N -1 1 304.437 1.589 20 0 DDADMM CCc1ncc(C[N-]S(=O)(=O)c2cccc(OC)c2F)o1 ZINC000655603177 424591988 /nfs/dbraw/zinc/59/19/88/424591988.db2.gz FVJGSCBXFJKASJ-UHFFFAOYSA-N -1 1 314.338 1.863 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cccc1F)c1nccn1C ZINC000660103737 424529029 /nfs/dbraw/zinc/52/90/29/424529029.db2.gz GVGMUUSKNNTZKP-QMMMGPOBSA-N -1 1 301.318 1.738 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1[C@H]2Cc3cc(F)ccc3[C@@H]12 ZINC000660104615 424529958 /nfs/dbraw/zinc/52/99/58/424529958.db2.gz UWPZIXILMHWLRQ-QJPTWQEYSA-N -1 1 323.349 1.321 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CCOC2(C)C)sc1C ZINC000660114945 424538093 /nfs/dbraw/zinc/53/80/93/424538093.db2.gz MDKNCXHGHHJHTF-JTQLQIEISA-N -1 1 304.437 1.853 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)c2cnc(C(=O)[O-])cn2)CC1 ZINC000655557776 424565124 /nfs/dbraw/zinc/56/51/24/424565124.db2.gz KEAIQSYAVHNLBW-UHFFFAOYSA-N -1 1 306.366 1.121 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCC2(CCCC2)O1 ZINC000655682599 424630268 /nfs/dbraw/zinc/63/02/68/424630268.db2.gz WJNMFVFDDYPBIO-JTQLQIEISA-N -1 1 315.395 1.335 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@H](C(F)(F)F)[C@@H](CO)C2)c([O-])c1 ZINC000341885245 271232279 /nfs/dbraw/zinc/23/22/79/271232279.db2.gz LMUUIQQPSMPKQD-ZJUUUORDSA-N -1 1 318.295 1.729 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(Br)c([O-])c2)C[C@H]1O ZINC000345146285 272182311 /nfs/dbraw/zinc/18/23/11/272182311.db2.gz PFSDEDIDDMZOSY-PRHODGIISA-N -1 1 314.179 1.998 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2CSc3ccccc32)n1 ZINC000345249597 272200236 /nfs/dbraw/zinc/20/02/36/272200236.db2.gz JUZZBOIBMUCOEK-MRVPVSSYSA-N -1 1 324.387 1.036 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2CSc3ccccc32)[n-]1 ZINC000345249597 272200238 /nfs/dbraw/zinc/20/02/38/272200238.db2.gz JUZZBOIBMUCOEK-MRVPVSSYSA-N -1 1 324.387 1.036 20 0 DDADMM Cc1ccc(CCC(=O)NCC(=O)Nc2ccncc2[O-])cc1 ZINC000345368844 272232205 /nfs/dbraw/zinc/23/22/05/272232205.db2.gz CPOUKBWAJRHHQG-UHFFFAOYSA-N -1 1 313.357 1.205 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2ccn(C)n2)c(=O)[n-]1 ZINC000345690889 272305761 /nfs/dbraw/zinc/30/57/61/272305761.db2.gz CGRIVWPNXFRZPT-UHFFFAOYSA-N -1 1 321.406 1.195 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)no1 ZINC000049051600 280880404 /nfs/dbraw/zinc/88/04/04/280880404.db2.gz HGHJTJUEVSAYDB-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM C[C@H](OC[C@@H]1CCCCO1)C(=O)Nc1nc(-c2ccco2)n[n-]1 ZINC000066913823 280927006 /nfs/dbraw/zinc/92/70/06/280927006.db2.gz OJLUOTPXWQYMLJ-QWRGUYRKSA-N -1 1 320.349 1.399 20 0 DDADMM C[C@@H]1C[C@H](NS(=O)(=O)c2cc(F)cc(F)c2)C[N@@H+]1C1CC1 ZINC000126277745 281233026 /nfs/dbraw/zinc/23/30/26/281233026.db2.gz ZFTFGHINHNCETJ-SKDRFNHKSA-N -1 1 316.373 1.868 20 0 DDADMM COCCN(CC(=O)N(C)C)C(=O)c1ccc(Cl)cc1[O-] ZINC000129434319 281344862 /nfs/dbraw/zinc/34/48/62/281344862.db2.gz SNDWURXNNLPLRU-UHFFFAOYSA-N -1 1 314.769 1.222 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)N[C@H]2CCc3[nH]cnc3C2)C1 ZINC000263384663 297215000 /nfs/dbraw/zinc/21/50/00/297215000.db2.gz XWQJMXBBJRZTPU-MXWKQRLJSA-N -1 1 306.366 1.019 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ncc(C)cc2[O-])[C@@]12CCCO2 ZINC000333027330 298314275 /nfs/dbraw/zinc/31/42/75/298314275.db2.gz KELGFZFEWDLDOF-IOASZLSFSA-N -1 1 306.362 1.552 20 0 DDADMM COC(=O)c1ccc(CNC2(c3nnn[n-]3)CCCC2)cc1 ZINC000354212630 298366207 /nfs/dbraw/zinc/36/62/07/298366207.db2.gz KALBKQQFPMGGSV-UHFFFAOYSA-N -1 1 301.350 1.545 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2cc3ccccc3o2)[n-]1 ZINC000362897181 300173648 /nfs/dbraw/zinc/17/36/48/300173648.db2.gz VFBDJYKQGHWIPF-UHFFFAOYSA-N -1 1 307.331 1.671 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2cc3ccccc3o2)n[n-]1 ZINC000362897181 300173652 /nfs/dbraw/zinc/17/36/52/300173652.db2.gz VFBDJYKQGHWIPF-UHFFFAOYSA-N -1 1 307.331 1.671 20 0 DDADMM CCC[C@@H](NC(=O)c1cncc(C(F)(F)F)c1)c1nn[n-]n1 ZINC000362951461 300187132 /nfs/dbraw/zinc/18/71/32/300187132.db2.gz MSBQBWKKEGCTNT-SECBINFHSA-N -1 1 314.271 1.885 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN[C@@H](c2cccnc2)C1 ZINC000367239291 300817266 /nfs/dbraw/zinc/81/72/66/300817266.db2.gz SIQHJEZZYNHIAG-OAHLLOKOSA-N -1 1 301.321 1.713 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3CCOc4ccccc43)ccnc1-2 ZINC000368459210 301033036 /nfs/dbraw/zinc/03/30/36/301033036.db2.gz RVAOBIMNGTZAOZ-JITPHZTJSA-N -1 1 308.341 1.847 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000368500317 301041782 /nfs/dbraw/zinc/04/17/82/301041782.db2.gz BHLVWEXVANNHHF-CDOJRTKCSA-N -1 1 309.732 1.854 20 0 DDADMM Cc1n[nH]cc1-c1n[n-]c(=NC(=O)c2[nH]nc3c2CCC3)s1 ZINC000368731997 301082360 /nfs/dbraw/zinc/08/23/60/301082360.db2.gz HRNGDZFHLISZLG-UHFFFAOYSA-N -1 1 315.362 1.123 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1S(=O)(=O)N1CCN2CCC[C@@H]2C1 ZINC000185011152 301156159 /nfs/dbraw/zinc/15/61/59/301156159.db2.gz LVYNODQCTNKZDX-CYBMUJFWSA-N -1 1 324.402 1.162 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H]2CC(=O)N3CCCC[C@H]23)sc1C ZINC000374582106 301822231 /nfs/dbraw/zinc/82/22/31/301822231.db2.gz COJNZQPIAKARBR-NXEZZACHSA-N -1 1 309.391 1.225 20 0 DDADMM CC(C)(CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000377608793 302198141 /nfs/dbraw/zinc/19/81/41/302198141.db2.gz PBOWCKPVXSCFGA-ZDUSSCGKSA-N -1 1 315.377 1.468 20 0 DDADMM CC(C)(C)[N@H+]1CC[C@](F)(C(=O)Nc2nc(C(F)(F)F)n[n-]2)C1 ZINC000377716815 302218436 /nfs/dbraw/zinc/21/84/36/302218436.db2.gz AKNMGFDHTKRKIH-LLVKDONJSA-N -1 1 323.294 1.975 20 0 DDADMM CC(C)(C)[N@@H+]1CC[C@](F)(C(=O)Nc2n[nH]c(C(F)(F)F)n2)C1 ZINC000377716815 302218438 /nfs/dbraw/zinc/21/84/38/302218438.db2.gz AKNMGFDHTKRKIH-LLVKDONJSA-N -1 1 323.294 1.975 20 0 DDADMM CCO[C@@H]1C[C@H](NS(=O)(=O)c2c(C)o[n-]c2=N)C12CCC2 ZINC000377863678 302244089 /nfs/dbraw/zinc/24/40/89/302244089.db2.gz IQTWQDFBXZABJG-VHSXEESVSA-N -1 1 315.395 1.022 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)c2c(C)onc2N)C12CCC2 ZINC000377863678 302244092 /nfs/dbraw/zinc/24/40/92/302244092.db2.gz IQTWQDFBXZABJG-VHSXEESVSA-N -1 1 315.395 1.022 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)c3ncn(C)n3)[nH][n-]2)c1 ZINC000356038278 306828595 /nfs/dbraw/zinc/82/85/95/306828595.db2.gz QQGBMGMHIDMAJU-UHFFFAOYSA-N -1 1 300.297 1.327 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC2CCN(C(=O)OC)CC2)[n-]1 ZINC000495904935 302488723 /nfs/dbraw/zinc/48/87/23/302488723.db2.gz KUWMECBHDYUMLP-UHFFFAOYSA-N -1 1 324.333 1.437 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2oc(CC3CC3)nc2C)n1 ZINC000515062626 302764251 /nfs/dbraw/zinc/76/42/51/302764251.db2.gz JKFGIPADXGORBT-UHFFFAOYSA-N -1 1 322.346 1.826 20 0 DDADMM CCc1nc(SCC(=O)NC(=O)NC2CC2)[n-]c(=O)c1C ZINC000518797363 302830683 /nfs/dbraw/zinc/83/06/83/302830683.db2.gz SFBKIQMJAPVWSY-UHFFFAOYSA-N -1 1 310.379 1.133 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1[C@H](C2CC2)[C@@H]1C ZINC000528565505 303045588 /nfs/dbraw/zinc/04/55/88/303045588.db2.gz BUBIUXUYIAYEEY-CYACUBOYSA-N -1 1 314.389 1.960 20 0 DDADMM CC[C@](C)(NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1nccs1 ZINC000357348767 306877834 /nfs/dbraw/zinc/87/78/34/306877834.db2.gz OEBUPABZVRJRMC-ZDUSSCGKSA-N -1 1 324.362 1.408 20 0 DDADMM O=C(NC[C@H](n1cccn1)C(F)(F)F)c1cncc([O-])c1 ZINC000529619800 303142534 /nfs/dbraw/zinc/14/25/34/303142534.db2.gz XMVHSRKPZDOLNB-JTQLQIEISA-N -1 1 300.240 1.517 20 0 DDADMM CCn1nncc1CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000530266974 303189352 /nfs/dbraw/zinc/18/93/52/303189352.db2.gz UQHSBDQJWRGAHM-UHFFFAOYSA-N -1 1 312.333 1.185 20 0 DDADMM CCn1nncc1CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000530266974 303189355 /nfs/dbraw/zinc/18/93/55/303189355.db2.gz UQHSBDQJWRGAHM-UHFFFAOYSA-N -1 1 312.333 1.185 20 0 DDADMM Cc1nc(CC2CC2)oc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000530559889 303203507 /nfs/dbraw/zinc/20/35/07/303203507.db2.gz OZMXFKLFZAOYAO-NSHDSACASA-N -1 1 316.365 1.468 20 0 DDADMM CNC(=O)c1cc(Oc2ccccc2[N-]S(C)(=O)=O)ccn1 ZINC000530685404 303208831 /nfs/dbraw/zinc/20/88/31/303208831.db2.gz HMHZROFIZQKJQX-UHFFFAOYSA-N -1 1 321.358 1.605 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cc2ccccc2o1)C1CC1 ZINC000531009812 303224871 /nfs/dbraw/zinc/22/48/71/303224871.db2.gz LSQQUKGRZUUJQG-ZDUSSCGKSA-N -1 1 309.343 1.663 20 0 DDADMM O=C(CNC1(C(=O)[O-])CCCC1)Nc1nc2c(s1)CCC2 ZINC000532881345 303300653 /nfs/dbraw/zinc/30/06/53/303300653.db2.gz USBJXFGQEJGXEQ-UHFFFAOYSA-N -1 1 309.391 1.557 20 0 DDADMM CCc1nc(NC(=O)CNC2(C(=O)[O-])CCCC2)sc1C ZINC000533202512 303313772 /nfs/dbraw/zinc/31/37/72/303313772.db2.gz GKPJRHGOWFOUPQ-UHFFFAOYSA-N -1 1 311.407 1.939 20 0 DDADMM O=C(CSc1nnc(CO)n1C1CC1)c1ccc([O-])cc1 ZINC000535048510 303342317 /nfs/dbraw/zinc/34/23/17/303342317.db2.gz SUGMROQZOYHLDL-UHFFFAOYSA-N -1 1 305.359 1.786 20 0 DDADMM CC(C)[C@@H]1CC[C@@H](C)C[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544684636 303476069 /nfs/dbraw/zinc/47/60/69/303476069.db2.gz MBMIKBNOJRHMBA-WOPDTQHZSA-N -1 1 317.393 1.608 20 0 DDADMM Cc1ccc([C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)cc1 ZINC000546864661 303521594 /nfs/dbraw/zinc/52/15/94/303521594.db2.gz PNIQDACXAASFRS-ZDUSSCGKSA-N -1 1 323.356 1.356 20 0 DDADMM O=C(N[C@H]1CC(=O)N2CCCC[C@H]12)c1cc(Cl)ccc1[O-] ZINC000548895636 303596786 /nfs/dbraw/zinc/59/67/86/303596786.db2.gz PYQMNDHTJFQJQI-NWDGAFQWSA-N -1 1 308.765 1.929 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]C(C)(C)c2nc(C)no2)on1 ZINC000560016142 303798320 /nfs/dbraw/zinc/79/83/20/303798320.db2.gz MUSPOZRSEKIDHG-UHFFFAOYSA-N -1 1 300.340 1.029 20 0 DDADMM O=C(NCc1nc(-c2cccc(F)c2)no1)c1ncccc1[O-] ZINC000362624492 307022439 /nfs/dbraw/zinc/02/24/39/307022439.db2.gz XBFNGPRWWZZYNQ-UHFFFAOYSA-N -1 1 314.276 1.906 20 0 DDADMM O=C(Cc1ccc(F)cc1Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000362710642 307026271 /nfs/dbraw/zinc/02/62/71/307026271.db2.gz KPIBDFJDFMFAGZ-SNVBAGLBSA-N -1 1 323.759 1.941 20 0 DDADMM CCC[C@H](NC(=O)C1(N(C)C)Cc2ccccc2C1)C(=O)[O-] ZINC000363781437 307035801 /nfs/dbraw/zinc/03/58/01/307035801.db2.gz XWAZTCKRCCBYQK-AWEZNQCLSA-N -1 1 304.390 1.455 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@]2(CCOC2)O1 ZINC000366560535 307076358 /nfs/dbraw/zinc/07/63/58/307076358.db2.gz BZTIITXCQKHOGE-WBMJQRKESA-N -1 1 309.337 1.941 20 0 DDADMM CSc1ccsc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370862852 307138539 /nfs/dbraw/zinc/13/85/39/307138539.db2.gz SARXIMRGPLCMOA-ZETCQYMHSA-N -1 1 311.392 1.197 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC(c2cn[nH]c2)CC1 ZINC000373140473 307180373 /nfs/dbraw/zinc/18/03/73/307180373.db2.gz RNXYUWFNVFMBIW-UHFFFAOYSA-N -1 1 323.356 1.666 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCNC(=O)[C@@H]1c1cccs1 ZINC000376816548 307256893 /nfs/dbraw/zinc/25/68/93/307256893.db2.gz RXOWJWHQKSSTTP-ZDUSSCGKSA-N -1 1 320.345 1.906 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@@H]1C1CCCCC1 ZINC000542769344 307700679 /nfs/dbraw/zinc/70/06/79/307700679.db2.gz RKOGNYPKQHEEPI-CYBMUJFWSA-N -1 1 315.377 1.603 20 0 DDADMM CCS[C@H]1CCCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000543695619 307709416 /nfs/dbraw/zinc/70/94/16/307709416.db2.gz PRRXZXRISGRBMI-ONGXEEELSA-N -1 1 321.406 1.212 20 0 DDADMM O=C([O-])c1cccc(N[C@@H]2CCCC[C@H]2N2CCOCC2)n1 ZINC000562782396 307945225 /nfs/dbraw/zinc/94/52/25/307945225.db2.gz QNYSCYJTQSSZBG-TZMCWYRMSA-N -1 1 305.378 1.835 20 0 DDADMM C[C@H]1CCN(C(=O)NCc2ccc3cncn3c2)C[C@@H]1C(=O)[O-] ZINC000563078414 307953237 /nfs/dbraw/zinc/95/32/37/307953237.db2.gz ZDTSVAWPKHCXMA-FZMZJTMJSA-N -1 1 316.361 1.587 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccn(-c2ccncc2)n1)C1CC1 ZINC000570044783 308157552 /nfs/dbraw/zinc/15/75/52/308157552.db2.gz ZXJOLMOHLZQEPY-CYBMUJFWSA-N -1 1 322.390 1.434 20 0 DDADMM Cc1cnc(S[C@H]2CCN(c3cnn(C)c3)C2=O)[n-]c1=O ZINC000572180431 308214573 /nfs/dbraw/zinc/21/45/73/308214573.db2.gz XVINPDCYPGHYPP-JTQLQIEISA-N -1 1 305.363 1.122 20 0 DDADMM Cc1cc(CCN=c2ccc(C(=O)NC3CCCC3)n[n-]2)on1 ZINC000577406549 308386537 /nfs/dbraw/zinc/38/65/37/308386537.db2.gz ZSDHUKIJTUUBOZ-UHFFFAOYSA-N -1 1 315.377 1.522 20 0 DDADMM COc1ccc(-c2noc(CN[C@H](C)[C@@H](C)C(=O)[O-])n2)cc1 ZINC000581434928 325852984 /nfs/dbraw/zinc/85/29/84/325852984.db2.gz SGWMJCRTPDRJTO-NXEZZACHSA-N -1 1 305.334 1.944 20 0 DDADMM Cn1cc([C@H]2C[C@H](NC(=O)c3c([O-])cccc3F)CCO2)cn1 ZINC000583450246 332422654 /nfs/dbraw/zinc/42/26/54/332422654.db2.gz JTPVFWOOCJJPJK-BXUZGUMPSA-N -1 1 319.336 1.915 20 0 DDADMM C[C@H]1CCN(C(=O)NCc2n[nH]c(C(C)(C)C)n2)C[C@@H]1C(=O)[O-] ZINC000582783683 337160293 /nfs/dbraw/zinc/16/02/93/337160293.db2.gz QLPMKBZAHZJFPY-UWVGGRQHSA-N -1 1 323.397 1.354 20 0 DDADMM Cc1cnc(S[C@@H](C)C(=O)NC(=O)NC(C)(C)C)[n-]c1=O ZINC000582975432 337225180 /nfs/dbraw/zinc/22/51/80/337225180.db2.gz GPDQEMAXTBYJLS-QMMMGPOBSA-N -1 1 312.395 1.596 20 0 DDADMM Cn1cc([C@H](Nc2nc3[nH][n-]cc-3c(=O)n2)C(C)(C)C)cn1 ZINC000583139652 337244600 /nfs/dbraw/zinc/24/46/00/337244600.db2.gz APUQVKIGFVSTDS-JTQLQIEISA-N -1 1 301.354 1.365 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1csc(C(N)=O)c1)C1CC1 ZINC000606445896 483935249 /nfs/dbraw/zinc/93/52/49/483935249.db2.gz JGIKJSLFQQMYHZ-SECBINFHSA-N -1 1 304.393 1.014 20 0 DDADMM CNc1snc(C)c1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000078312912 483968331 /nfs/dbraw/zinc/96/83/31/483968331.db2.gz ZPUWZFQALBUQPR-UHFFFAOYSA-N -1 1 306.273 1.882 20 0 DDADMM Cc1cccc2nc(CNC(=O)C[C@@]3(C(=O)[O-])CCOC3)[nH]c21 ZINC000656480714 484160745 /nfs/dbraw/zinc/16/07/45/484160745.db2.gz OYKKVSJNKQEZDD-INIZCTEOSA-N -1 1 317.345 1.369 20 0 DDADMM CCC1CCN(S(=O)(=O)[N-]c2cn(C)nc2OC)CC1 ZINC000656628009 484248942 /nfs/dbraw/zinc/24/89/42/484248942.db2.gz JGHZIJHSPZSIRH-UHFFFAOYSA-N -1 1 302.400 1.207 20 0 DDADMM Cn1cccc1S(=O)(=O)[N-]c1ccc2c(c1)CCC(=O)N2C ZINC000516914263 484269769 /nfs/dbraw/zinc/26/97/69/484269769.db2.gz XFNRLPCSDCMJAQ-UHFFFAOYSA-N -1 1 319.386 1.735 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1c(C)cccc1F)[C@@H]1CCOC1 ZINC000656732658 484307368 /nfs/dbraw/zinc/30/73/68/484307368.db2.gz WRKYMNAXOCAJSD-DGCLKSJQSA-N -1 1 317.382 1.927 20 0 DDADMM O=C(CSc1ccncc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670383417 484800532 /nfs/dbraw/zinc/80/05/32/484800532.db2.gz LIWBWWCYGLAYQB-SNVBAGLBSA-N -1 1 320.374 1.669 20 0 DDADMM O=c1nc(CN2CC[C@H](Cn3ccnn3)C2)[n-]c2ccsc21 ZINC000661140689 484934309 /nfs/dbraw/zinc/93/43/09/484934309.db2.gz JLJNQHRYQWAPIY-JTQLQIEISA-N -1 1 316.390 1.511 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)c1 ZINC000671668611 485161659 /nfs/dbraw/zinc/16/16/59/485161659.db2.gz FQAPNTCMONPHFA-UHFFFAOYSA-N -1 1 308.363 1.882 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@H](C(F)(F)F)OC[C@@H]2C)c([O-])c1 ZINC000672171639 485247057 /nfs/dbraw/zinc/24/70/57/485247057.db2.gz AMGFVCABQXEMKK-WPRPVWTQSA-N -1 1 304.268 1.887 20 0 DDADMM CCN1CC[C@@H](NC(=O)c2c[nH]c3ccc(C)cc3c2=O)C1=O ZINC000672264956 485270978 /nfs/dbraw/zinc/27/09/78/485270978.db2.gz CSQWRIMKCHJETN-CQSZACIVSA-N -1 1 313.357 1.187 20 0 DDADMM CCOC1(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)CCC1 ZINC000668743072 485306396 /nfs/dbraw/zinc/30/63/96/485306396.db2.gz FQEBTYGQAQHHSM-UHFFFAOYSA-N -1 1 318.377 1.627 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@H](C)S1(=O)=O ZINC000672515388 485310126 /nfs/dbraw/zinc/31/01/26/485310126.db2.gz ADTZQFKWEXNMKB-ONGXEEELSA-N -1 1 315.366 1.569 20 0 DDADMM COc1cccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1C ZINC000668869099 485345601 /nfs/dbraw/zinc/34/56/01/485345601.db2.gz KJRGOUQCUSHGGI-UHFFFAOYSA-N -1 1 301.350 1.716 20 0 DDADMM CCOCCOCCCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000667014034 485394921 /nfs/dbraw/zinc/39/49/21/485394921.db2.gz MHNLLAUINFNMRC-UHFFFAOYSA-N -1 1 310.276 1.595 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](C)[C@H](F)C2)co1 ZINC000679248483 485821448 /nfs/dbraw/zinc/82/14/48/485821448.db2.gz COJLOANLJCSADN-PSASIEDQSA-N -1 1 304.343 1.008 20 0 DDADMM Cc1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c(C)n1C ZINC000679371909 485865614 /nfs/dbraw/zinc/86/56/14/485865614.db2.gz YVTNMZRVNCJYMC-CQSZACIVSA-N -1 1 310.361 1.674 20 0 DDADMM CCOc1cc(C(=O)N2CCO[C@H](OC)C2)cc(Cl)c1[O-] ZINC000683354330 485966616 /nfs/dbraw/zinc/96/66/16/485966616.db2.gz XATLFAOQWOUQRQ-LBPRGKRZSA-N -1 1 315.753 1.889 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)CC[C@@H]2CCCCO2)n[n-]1 ZINC000683688650 486081205 /nfs/dbraw/zinc/08/12/05/486081205.db2.gz WFEMSBIMSNNGRQ-JTQLQIEISA-N -1 1 301.412 1.835 20 0 DDADMM CC(C)(NC(=O)c1ccc2c(c1)C(C)(C)C(=O)N2)c1nn[n-]n1 ZINC000676180232 486135460 /nfs/dbraw/zinc/13/54/60/486135460.db2.gz XQYMDEJAPDQNAQ-UHFFFAOYSA-N -1 1 314.349 1.095 20 0 DDADMM O=C([O-])C1(NCC(=O)NCCc2ccc(Cl)cc2)CCCC1 ZINC000676231728 486153162 /nfs/dbraw/zinc/15/31/62/486153162.db2.gz MDEPTBDXILONIQ-UHFFFAOYSA-N -1 1 324.808 1.986 20 0 DDADMM C[C@@H](CC(=O)NCc1nn[n-]n1)c1ccc(Br)cc1 ZINC000676335784 486198370 /nfs/dbraw/zinc/19/83/70/486198370.db2.gz JQJJMCQFOMDHOA-QMMMGPOBSA-N -1 1 324.182 1.772 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])c(F)c2)CC2(CCOCC2)O1 ZINC000684156506 486266116 /nfs/dbraw/zinc/26/61/16/486266116.db2.gz URQVPJFRXQPGJI-LLVKDONJSA-N -1 1 309.337 1.941 20 0 DDADMM O=C(NC1CCN(C(=O)C2CC2)CC1)c1ccc([O-])c(F)c1 ZINC000681014007 486323877 /nfs/dbraw/zinc/32/38/77/486323877.db2.gz GBAFVKGXNMRRQN-UHFFFAOYSA-N -1 1 306.337 1.662 20 0 DDADMM O=C(N[C@H]1CCN(CC(F)(F)F)C1)c1ccc([O-])c(F)c1 ZINC000681037180 486327454 /nfs/dbraw/zinc/32/74/54/486327454.db2.gz XYYNRCBVSCBBNG-VIFPVBQESA-N -1 1 306.259 1.898 20 0 DDADMM O=C(CCNC(=O)c1ccc([O-])c(F)c1)NCc1ccccc1 ZINC000681037621 486327488 /nfs/dbraw/zinc/32/74/88/486327488.db2.gz LIXKTWGORKHQDG-UHFFFAOYSA-N -1 1 316.332 1.968 20 0 DDADMM O=C(Cc1csc2ccc(Cl)cc12)NCc1nn[n-]n1 ZINC000676885395 486354716 /nfs/dbraw/zinc/35/47/16/486354716.db2.gz WMAWAEZYHJCUBU-UHFFFAOYSA-N -1 1 307.766 1.927 20 0 DDADMM CNC(=O)[C@@H](C)CN(C)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684876395 486450549 /nfs/dbraw/zinc/45/05/49/486450549.db2.gz YCBKXVYNQYXLLX-QMMMGPOBSA-N -1 1 318.295 1.865 20 0 DDADMM Cc1cnc(C(=O)N(C)[C@H]2CCCc3c2cnn3C)c([O-])c1 ZINC000681738831 486507117 /nfs/dbraw/zinc/50/71/17/486507117.db2.gz OKEFUPKDUUCEGV-LBPRGKRZSA-N -1 1 300.362 1.979 20 0 DDADMM O=C(CS(=O)(=O)c1n[n-]c(C2CC2)n1)Nc1ccc(F)cc1 ZINC000414210144 534645989 /nfs/dbraw/zinc/64/59/89/534645989.db2.gz NZYLBFABPXIPQN-UHFFFAOYSA-N -1 1 324.337 1.234 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cccc(F)c2F)[C@H]1OC ZINC000332497802 527842157 /nfs/dbraw/zinc/84/21/57/527842157.db2.gz YPQTYADMZJFWBE-GIPNMCIBSA-N -1 1 321.345 1.436 20 0 DDADMM CCOC(=O)[C@@](C)(O)CNC(=O)c1ccc(Cl)cc1[O-] ZINC000436818561 527925805 /nfs/dbraw/zinc/92/58/05/527925805.db2.gz FTRVQGLYFRWHKB-ZDUSSCGKSA-N -1 1 301.726 1.090 20 0 DDADMM CCC(CC)(CNC(=O)N1CCN(C)[C@@H](C(C)C)C1)C(=O)[O-] ZINC000424437529 528668551 /nfs/dbraw/zinc/66/85/51/528668551.db2.gz YYXHSRRGRMZSCK-CYBMUJFWSA-N -1 1 313.442 1.859 20 0 DDADMM CCC[C@@H](NC(=O)c1coc(S(=O)(=O)[N-]C)c1)C1CCC1 ZINC000458333393 528994448 /nfs/dbraw/zinc/99/44/48/528994448.db2.gz OVSRFGDCXANMRN-GFCCVEGCSA-N -1 1 314.407 1.886 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC ZINC000299672335 529372269 /nfs/dbraw/zinc/37/22/69/529372269.db2.gz ZEQWFSJSLUEZQX-LBPRGKRZSA-N -1 1 307.318 1.585 20 0 DDADMM O[C@H]1CCC[C@@H](CNc2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000823696729 599574517 /nfs/dbraw/zinc/57/45/17/599574517.db2.gz GUGNEKLCPUDUIK-RQJHMYQMSA-N -1 1 314.802 1.940 20 0 DDADMM O[C@H]1CCC[C@@H](CNc2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000823696729 599574518 /nfs/dbraw/zinc/57/45/18/599574518.db2.gz GUGNEKLCPUDUIK-RQJHMYQMSA-N -1 1 314.802 1.940 20 0 DDADMM CN(Cc1ccccn1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736827694 598961571 /nfs/dbraw/zinc/96/15/71/598961571.db2.gz AGXPFXLQJPXVEF-UHFFFAOYSA-N -1 1 318.344 1.841 20 0 DDADMM CN(Cc1ccccn1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736827694 598961572 /nfs/dbraw/zinc/96/15/72/598961572.db2.gz AGXPFXLQJPXVEF-UHFFFAOYSA-N -1 1 318.344 1.841 20 0 DDADMM Cc1cc(CNc2c3ccccc3nnc2-c2nnn[n-]2)on1 ZINC000737265525 598962221 /nfs/dbraw/zinc/96/22/21/598962221.db2.gz WUHPSGJPFUNLQB-UHFFFAOYSA-N -1 1 308.305 1.718 20 0 DDADMM Cc1cc(CNc2c3ccccc3nnc2-c2nn[n-]n2)on1 ZINC000737265525 598962223 /nfs/dbraw/zinc/96/22/23/598962223.db2.gz WUHPSGJPFUNLQB-UHFFFAOYSA-N -1 1 308.305 1.718 20 0 DDADMM O=C([O-])[C@H]1CCCN(C(=O)CSc2n[nH]c(=S)s2)C1 ZINC000833188799 601281355 /nfs/dbraw/zinc/28/13/55/601281355.db2.gz JOICUMHFVNXCOX-LURJTMIESA-N -1 1 319.433 1.242 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)[C@@H]1C ZINC000736247574 598985924 /nfs/dbraw/zinc/98/59/24/598985924.db2.gz ADTOCLITLLXUNX-CKYFFXLPSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)[C@@H]1C ZINC000736247574 598985926 /nfs/dbraw/zinc/98/59/26/598985926.db2.gz ADTOCLITLLXUNX-CKYFFXLPSA-N -1 1 300.366 1.816 20 0 DDADMM Cc1cccc2c1CC[C@H]2NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737408665 599003324 /nfs/dbraw/zinc/00/33/24/599003324.db2.gz LAHAJSQIBUPDBK-CQSZACIVSA-N -1 1 320.356 1.987 20 0 DDADMM Cc1cccc2c1CC[C@H]2NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737408665 599003326 /nfs/dbraw/zinc/00/33/26/599003326.db2.gz LAHAJSQIBUPDBK-CQSZACIVSA-N -1 1 320.356 1.987 20 0 DDADMM CC(C)c1cc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)on1 ZINC000735922976 599006840 /nfs/dbraw/zinc/00/68/40/599006840.db2.gz QLIWCAGRQBXOBJ-UHFFFAOYSA-N -1 1 313.321 1.303 20 0 DDADMM CC(C)c1cc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)on1 ZINC000735922976 599006843 /nfs/dbraw/zinc/00/68/43/599006843.db2.gz QLIWCAGRQBXOBJ-UHFFFAOYSA-N -1 1 313.321 1.303 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC[C@@H]1c1ccncc1 ZINC000738259779 599022197 /nfs/dbraw/zinc/02/21/97/599022197.db2.gz WEEJYJWOQUWRQM-CQSZACIVSA-N -1 1 321.344 1.634 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@@H]1c1ccncc1 ZINC000738259779 599022198 /nfs/dbraw/zinc/02/21/98/599022198.db2.gz WEEJYJWOQUWRQM-CQSZACIVSA-N -1 1 321.344 1.634 20 0 DDADMM Cc1nn(C)c(C)c1C[C@@H](C)Nc1cccc(-c2nnn[n-]2)n1 ZINC000822491855 599046477 /nfs/dbraw/zinc/04/64/77/599046477.db2.gz HIYDDXNUOAYJRX-SECBINFHSA-N -1 1 312.381 1.655 20 0 DDADMM Cc1nn(C)c(C)c1C[C@@H](C)Nc1cccc(-c2nn[n-]n2)n1 ZINC000822491855 599046478 /nfs/dbraw/zinc/04/64/78/599046478.db2.gz HIYDDXNUOAYJRX-SECBINFHSA-N -1 1 312.381 1.655 20 0 DDADMM Cc1cccc(O[C@@H](C)CNc2nccnc2-c2nnn[n-]2)c1 ZINC000822328468 599057324 /nfs/dbraw/zinc/05/73/24/599057324.db2.gz QORGECUPQKKBHB-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM Cc1cccc(O[C@@H](C)CNc2nccnc2-c2nn[n-]n2)c1 ZINC000822328468 599057326 /nfs/dbraw/zinc/05/73/26/599057326.db2.gz QORGECUPQKKBHB-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM C[C@H](CNS(=O)(=O)c1ccc(C(=O)[O-])s1)N(C)C1CC1 ZINC000217946314 599774619 /nfs/dbraw/zinc/77/46/19/599774619.db2.gz DHSIVDFZCUDOGR-MRVPVSSYSA-N -1 1 318.420 1.207 20 0 DDADMM C[C@H]1C[C@H]1c1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n[nH]1 ZINC000736241248 599108085 /nfs/dbraw/zinc/10/80/85/599108085.db2.gz WPESKDPTURXXQP-IONNQARKSA-N -1 1 310.321 1.361 20 0 DDADMM C[C@H]1C[C@H]1c1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n[nH]1 ZINC000736241248 599108087 /nfs/dbraw/zinc/10/80/87/599108087.db2.gz WPESKDPTURXXQP-IONNQARKSA-N -1 1 310.321 1.361 20 0 DDADMM CC[C@@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736400724 599224098 /nfs/dbraw/zinc/22/40/98/599224098.db2.gz BLQIWISSIFXAPA-LLVKDONJSA-N -1 1 300.366 1.914 20 0 DDADMM CC[C@@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736400724 599224100 /nfs/dbraw/zinc/22/41/00/599224100.db2.gz BLQIWISSIFXAPA-LLVKDONJSA-N -1 1 300.366 1.914 20 0 DDADMM CC1(C)CN(c2snc(Cl)c2-c2nnn[n-]2)CCCO1 ZINC000736214837 599230687 /nfs/dbraw/zinc/23/06/87/599230687.db2.gz VTKATJAVMDYIMN-UHFFFAOYSA-N -1 1 314.802 1.982 20 0 DDADMM CC1(C)CN(c2snc(Cl)c2-c2nn[n-]n2)CCCO1 ZINC000736214837 599230688 /nfs/dbraw/zinc/23/06/88/599230688.db2.gz VTKATJAVMDYIMN-UHFFFAOYSA-N -1 1 314.802 1.982 20 0 DDADMM CC(C)C[C@H]1NC(=O)N(CN2CCC[C@@H](CC(=O)[O-])C2)C1=O ZINC000817869088 597041317 /nfs/dbraw/zinc/04/13/17/597041317.db2.gz YUXDXRBPYRLUMY-NWDGAFQWSA-N -1 1 311.382 1.097 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)N[C@@H](C)C(=O)[O-] ZINC000740080345 597301964 /nfs/dbraw/zinc/30/19/64/597301964.db2.gz NWBGTJKIWIPCRS-LBPRGKRZSA-N -1 1 320.393 1.341 20 0 DDADMM C[C@@H]1CCC[C@@H](CC(=O)N=c2cc[nH]cc2-c2nn[n-]n2)C1 ZINC000736247329 599254986 /nfs/dbraw/zinc/25/49/86/599254986.db2.gz BPYOCKAOVQFVSL-GHMZBOCLSA-N -1 1 300.366 1.839 20 0 DDADMM CN(C)c1cccc(CN(C)c2nccnc2-c2nnn[n-]2)c1 ZINC000821591178 607307325 /nfs/dbraw/zinc/30/73/25/607307325.db2.gz ZKRRUZNCVOCOAA-UHFFFAOYSA-N -1 1 310.365 1.359 20 0 DDADMM CN(C)c1cccc(CN(C)c2nccnc2-c2nn[n-]n2)c1 ZINC000821591178 607307326 /nfs/dbraw/zinc/30/73/26/607307326.db2.gz ZKRRUZNCVOCOAA-UHFFFAOYSA-N -1 1 310.365 1.359 20 0 DDADMM C[C@@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)N1CC[C@H](C(=O)[O-])C1 ZINC000817744166 597535643 /nfs/dbraw/zinc/53/56/43/597535643.db2.gz SXLNORIMENENML-IUCAKERBSA-N -1 1 318.333 1.002 20 0 DDADMM C[C@@H](NCC(=O)NCC(=O)[O-])c1ccccc1OC(F)F ZINC000820141610 597806191 /nfs/dbraw/zinc/80/61/91/597806191.db2.gz FNTGPWPOVKXFDA-MRVPVSSYSA-N -1 1 302.277 1.139 20 0 DDADMM O=C([O-])c1cccc(C(=O)N[C@H](c2nn[nH]n2)c2ccccc2)c1 ZINC000821548441 598153669 /nfs/dbraw/zinc/15/36/69/598153669.db2.gz TUQAPLWQROSGEU-ZDUSSCGKSA-N -1 1 323.312 1.417 20 0 DDADMM c1ccc([C@H]2CN(c3nccnc3-c3nnn[n-]3)CCCO2)cc1 ZINC000738428545 598311357 /nfs/dbraw/zinc/31/13/57/598311357.db2.gz NGLXVUZBAWIQBF-CYBMUJFWSA-N -1 1 323.360 1.625 20 0 DDADMM c1ccc([C@H]2CN(c3nccnc3-c3nn[n-]n3)CCCO2)cc1 ZINC000738428545 598311359 /nfs/dbraw/zinc/31/13/59/598311359.db2.gz NGLXVUZBAWIQBF-CYBMUJFWSA-N -1 1 323.360 1.625 20 0 DDADMM Cc1nc2ccccc2n1CCNc1nccnc1-c1nnn[n-]1 ZINC000737489924 598339656 /nfs/dbraw/zinc/33/96/56/598339656.db2.gz NLCRTGOSIGJVEY-UHFFFAOYSA-N -1 1 321.348 1.427 20 0 DDADMM Cc1nc2ccccc2n1CCNc1nccnc1-c1nn[n-]n1 ZINC000737489924 598339659 /nfs/dbraw/zinc/33/96/59/598339659.db2.gz NLCRTGOSIGJVEY-UHFFFAOYSA-N -1 1 321.348 1.427 20 0 DDADMM c1nc2ccccc2n1CCCNc1nccnc1-c1nnn[n-]1 ZINC000738441667 598340540 /nfs/dbraw/zinc/34/05/40/598340540.db2.gz LSNZLDJRFVIQSX-UHFFFAOYSA-N -1 1 321.348 1.509 20 0 DDADMM c1nc2ccccc2n1CCCNc1nccnc1-c1nn[n-]n1 ZINC000738441667 598340542 /nfs/dbraw/zinc/34/05/42/598340542.db2.gz LSNZLDJRFVIQSX-UHFFFAOYSA-N -1 1 321.348 1.509 20 0 DDADMM COc1ccc(CNc2nccnc2-c2nnn[n-]2)cc1F ZINC000737095306 598342676 /nfs/dbraw/zinc/34/26/76/598342676.db2.gz HRYMAFVSLGIBLZ-UHFFFAOYSA-N -1 1 301.285 1.417 20 0 DDADMM COc1ccc(CNc2nccnc2-c2nn[n-]n2)cc1F ZINC000737095306 598342677 /nfs/dbraw/zinc/34/26/77/598342677.db2.gz HRYMAFVSLGIBLZ-UHFFFAOYSA-N -1 1 301.285 1.417 20 0 DDADMM CN(Cc1ccc2c(c1)OCO2)c1cnc(-c2nnn[n-]2)cn1 ZINC000736819198 598385321 /nfs/dbraw/zinc/38/53/21/598385321.db2.gz AELQNNCOWZXERJ-UHFFFAOYSA-N -1 1 311.305 1.022 20 0 DDADMM CN(Cc1ccc2c(c1)OCO2)c1cnc(-c2nn[n-]n2)cn1 ZINC000736819198 598385323 /nfs/dbraw/zinc/38/53/23/598385323.db2.gz AELQNNCOWZXERJ-UHFFFAOYSA-N -1 1 311.305 1.022 20 0 DDADMM COc1ccc(CNc2cccc(-c3nnn[n-]3)n2)c(OC)c1 ZINC000737094901 599414831 /nfs/dbraw/zinc/41/48/31/599414831.db2.gz COILIFPUBHGOAH-UHFFFAOYSA-N -1 1 312.333 1.891 20 0 DDADMM COc1ccc(CNc2cccc(-c3nn[n-]n3)n2)c(OC)c1 ZINC000737094901 599414834 /nfs/dbraw/zinc/41/48/34/599414834.db2.gz COILIFPUBHGOAH-UHFFFAOYSA-N -1 1 312.333 1.891 20 0 DDADMM O=C1[C@H](Nc2cccc(-c3nnn[n-]3)n2)CCN1c1ccccc1 ZINC000738296051 599434826 /nfs/dbraw/zinc/43/48/26/599434826.db2.gz JONKTQJRUJQTOD-CYBMUJFWSA-N -1 1 321.344 1.479 20 0 DDADMM O=C1[C@H](Nc2cccc(-c3nn[n-]n3)n2)CCN1c1ccccc1 ZINC000738296051 599434830 /nfs/dbraw/zinc/43/48/30/599434830.db2.gz JONKTQJRUJQTOD-CYBMUJFWSA-N -1 1 321.344 1.479 20 0 DDADMM c1cn(-c2cccc(Oc3nccnc3-c3nn[n-]n3)c2)cn1 ZINC000738421298 599527507 /nfs/dbraw/zinc/52/75/07/599527507.db2.gz QZAZLQXPHGIXLH-UHFFFAOYSA-N -1 1 306.289 1.635 20 0 DDADMM CC[S@](=O)CCNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000821446931 599546495 /nfs/dbraw/zinc/54/64/95/599546495.db2.gz GAKJCEGKTAQCSC-QFIPXVFZSA-N -1 1 316.390 1.595 20 0 DDADMM CC[S@](=O)CCNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821446931 599546498 /nfs/dbraw/zinc/54/64/98/599546498.db2.gz GAKJCEGKTAQCSC-QFIPXVFZSA-N -1 1 316.390 1.595 20 0 DDADMM CC(C)(C)OC(=O)CCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000824098831 608426962 /nfs/dbraw/zinc/42/69/62/608426962.db2.gz ASHZJMIBSGXDGP-UHFFFAOYSA-N -1 1 305.342 1.191 20 0 DDADMM CC(C)(C)OC(=O)CCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000824098831 608426964 /nfs/dbraw/zinc/42/69/64/608426964.db2.gz ASHZJMIBSGXDGP-UHFFFAOYSA-N -1 1 305.342 1.191 20 0 DDADMM O=C([O-])[C@H](c1ccc(F)cc1)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000739519072 599713534 /nfs/dbraw/zinc/71/35/34/599713534.db2.gz VLLGJPAGAITUCZ-PMPSAXMXSA-N -1 1 322.380 1.482 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1[N+](=O)[O-] ZINC000319233406 599776798 /nfs/dbraw/zinc/77/67/98/599776798.db2.gz LBLVYVHUGOQNGW-NSHDSACASA-N -1 1 318.289 1.052 20 0 DDADMM O=C([O-])CCNC(=O)CNC1(c2ccc(Cl)cc2)CCC1 ZINC000739735553 599793946 /nfs/dbraw/zinc/79/39/46/599793946.db2.gz YTRWPLCJAMUBIY-UHFFFAOYSA-N -1 1 310.781 1.900 20 0 DDADMM CC(C)Oc1ccc(CCNC(=O)CNC(C)(C)C(=O)[O-])cc1 ZINC000736491042 599926051 /nfs/dbraw/zinc/92/60/51/599926051.db2.gz HKXRMDJCGMVRSR-UHFFFAOYSA-N -1 1 322.405 1.585 20 0 DDADMM Cc1cccc(C[C@H](CNC(=O)[C@@H]2CCCN2C)C(=O)[O-])c1 ZINC000738704886 600102384 /nfs/dbraw/zinc/10/23/84/600102384.db2.gz WBABPKCQYNXXJH-CABCVRRESA-N -1 1 304.390 1.449 20 0 DDADMM O=C([O-])CNC(=O)CCN[C@H](c1ccccc1)c1ccccn1 ZINC000739809168 600159158 /nfs/dbraw/zinc/15/91/58/600159158.db2.gz ODXDMWFAQXHDIN-QGZVFWFLSA-N -1 1 313.357 1.352 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N1[C@H](C)CCC[C@H]1C ZINC000737794705 600224420 /nfs/dbraw/zinc/22/44/20/600224420.db2.gz SNVIWZVUTYSOMB-CHWSQXEVSA-N -1 1 300.399 1.199 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)NC1CCC(C(=O)[O-])CC1 ZINC000391360926 600358708 /nfs/dbraw/zinc/35/87/08/600358708.db2.gz QVHOJXGDKPIJLM-XSCHDIRWSA-N -1 1 323.437 1.898 20 0 DDADMM Cc1cc(S(=O)(=O)NCCN(C)C2CC2)ccc1C(=O)[O-] ZINC000385932991 600723974 /nfs/dbraw/zinc/72/39/74/600723974.db2.gz OFJHHZWKYHBXQR-UHFFFAOYSA-N -1 1 312.391 1.066 20 0 DDADMM C[C@H](O)CCN(C)[C@@H]1CCN(c2sccc2C(=O)[O-])C1=O ZINC000827796172 600799070 /nfs/dbraw/zinc/79/90/70/600799070.db2.gz MQZMNYOVKHTXFU-GXSJLCMTSA-N -1 1 312.391 1.254 20 0 DDADMM CC[C@@H]1CN([C@@H](C(=O)[O-])c2ccccc2F)CCN1C[C@@H](C)O ZINC000829141712 600999731 /nfs/dbraw/zinc/99/97/31/600999731.db2.gz OMASTPLNUYXXEO-XJKCOSOUSA-N -1 1 324.396 1.728 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CCSC[C@@H]1CC(=O)[O-] ZINC000091132073 601012994 /nfs/dbraw/zinc/01/29/94/601012994.db2.gz CITQYIDKUQPJJR-OLZOCXBDSA-N -1 1 314.451 1.670 20 0 DDADMM O=C([O-])CCN(Cc1nnc2n1CCCCC2)C1CCOCC1 ZINC000833236762 601049941 /nfs/dbraw/zinc/04/99/41/601049941.db2.gz ZNZCMEOGHMBYHK-UHFFFAOYSA-N -1 1 322.409 1.460 20 0 DDADMM O=C([O-])CCN(Cc1nnc2n1CCCC2)C1CCOCC1 ZINC000833236650 601052711 /nfs/dbraw/zinc/05/27/11/601052711.db2.gz VIUOFRXQOROLIT-UHFFFAOYSA-N -1 1 308.382 1.070 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2cncc(F)c2)CC1 ZINC000827356437 601094566 /nfs/dbraw/zinc/09/45/66/601094566.db2.gz RXCIZPIELCOBOP-DLGQBQFBSA-N -1 1 321.352 1.241 20 0 DDADMM Cc1cnc(CN(C)[C@H]2CCN(Cc3ccoc3C(=O)[O-])C2)o1 ZINC000738803553 601104936 /nfs/dbraw/zinc/10/49/36/601104936.db2.gz YXHDFZQXWUOJBD-ZDUSSCGKSA-N -1 1 319.361 1.981 20 0 DDADMM CCc1nnc([C@H]2CN(Cc3ccc(C(=O)[O-])cc3)CCO2)[nH]1 ZINC000737273768 601105959 /nfs/dbraw/zinc/10/59/59/601105959.db2.gz ADRSMPBRJOBJRK-CYBMUJFWSA-N -1 1 316.361 1.639 20 0 DDADMM CCCC[C@@H](C(=O)[O-])N1CCO[C@H](c2nc(CCC)n[nH]2)C1 ZINC000736978204 601106411 /nfs/dbraw/zinc/10/64/11/601106411.db2.gz FLYFSZHPVIQWLX-RYUDHWBXSA-N -1 1 310.398 1.774 20 0 DDADMM CCCC[C@@H](C(=O)[O-])N1CCO[C@H](c2nnc(CCC)[nH]2)C1 ZINC000736978204 601106413 /nfs/dbraw/zinc/10/64/13/601106413.db2.gz FLYFSZHPVIQWLX-RYUDHWBXSA-N -1 1 310.398 1.774 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NC(=O)[C@H](C)N(C)Cc1ccccc1 ZINC000831545305 601292287 /nfs/dbraw/zinc/29/22/87/601292287.db2.gz TXWMJGVGIPPMPL-GUYCJALGSA-N -1 1 322.405 1.503 20 0 DDADMM CCC[C@@H](NC(=O)c1[nH]c(CC)c(C(=O)[O-])c1C)c1nn[nH]n1 ZINC000829231235 601304844 /nfs/dbraw/zinc/30/48/44/601304844.db2.gz USZPILMHXLXJJV-SECBINFHSA-N -1 1 320.353 1.368 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(CN1C(=O)[C@H]3CCCCC[C@H]31)CC2 ZINC000833178018 601398783 /nfs/dbraw/zinc/39/87/83/601398783.db2.gz PCQBCWBQZUTGAD-MELADBBJSA-N -1 1 306.406 1.922 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCN(C[C@H]2CCCO2)CC1 ZINC000830374297 601411443 /nfs/dbraw/zinc/41/14/43/601411443.db2.gz AHHHNBHISVGWTB-CQSZACIVSA-N -1 1 322.405 1.837 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCN(C(C)(C)CO)CC1 ZINC000830376576 601420119 /nfs/dbraw/zinc/42/01/19/601420119.db2.gz YHBRAHHHCXPYJU-UHFFFAOYSA-N -1 1 310.394 1.429 20 0 DDADMM C[C@H]1CN(CC(=O)N2CCSc3ccccc32)C[C@@H]1C(=O)[O-] ZINC000315621938 601505930 /nfs/dbraw/zinc/50/59/30/601505930.db2.gz SPNASUWSXHTQPO-RYUDHWBXSA-N -1 1 320.414 1.778 20 0 DDADMM CSc1ccc(NC(=O)CN2C[C@@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000832780743 601524642 /nfs/dbraw/zinc/52/46/42/601524642.db2.gz CJZBSDHDFZLFAJ-ZWNOBZJWSA-N -1 1 308.403 2.000 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CCCC[C@@H]2CCO)cc1 ZINC000833106055 601544491 /nfs/dbraw/zinc/54/44/91/601544491.db2.gz PDMADWDLTFXZBS-CQSZACIVSA-N -1 1 306.362 1.560 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CCO[C@@H](CC(=O)[O-])C2)o1 ZINC000390311592 601670415 /nfs/dbraw/zinc/67/04/15/601670415.db2.gz GBSFISFYKUTYGA-ZDUSSCGKSA-N -1 1 324.377 1.437 20 0 DDADMM CCN(C)[C@H](C(=O)N1C[C@H](C(=O)[O-])[C@H](C)C1)c1ccccc1 ZINC000829608224 601758712 /nfs/dbraw/zinc/75/87/12/601758712.db2.gz GZWNCHVVRZPEAQ-SNPRPXQTSA-N -1 1 304.390 1.859 20 0 DDADMM Cc1cc(F)cc(S(=O)(=O)Nc2cc(C(=O)[O-])n(C)c2)c1 ZINC000832887789 601784832 /nfs/dbraw/zinc/78/48/32/601784832.db2.gz HTGRJNNZEXKWQS-UHFFFAOYSA-N -1 1 312.322 1.972 20 0 DDADMM Cc1ccc([S@](=O)Cc2ccnc(-c3nnn[n-]3)c2)nc1 ZINC000826292878 607530225 /nfs/dbraw/zinc/53/02/25/607530225.db2.gz PZCCLKQLXFALIC-OAQYLSRUSA-N -1 1 300.347 1.273 20 0 DDADMM Cc1ccc([S@](=O)Cc2ccnc(-c3nn[n-]n3)c2)nc1 ZINC000826292878 607530226 /nfs/dbraw/zinc/53/02/26/607530226.db2.gz PZCCLKQLXFALIC-OAQYLSRUSA-N -1 1 300.347 1.273 20 0 DDADMM O=C([O-])N1CCC[C@H](CNC(=O)c2cc(F)cc3nc[nH]c32)C1 ZINC000740415216 601865506 /nfs/dbraw/zinc/86/55/06/601865506.db2.gz MJCGSNDYIGEWKR-SECBINFHSA-N -1 1 320.324 1.822 20 0 DDADMM C[C@@H](NC(=O)c1[nH]nc2c1CCCC2)[C@H]1CCCN(C(=O)[O-])C1 ZINC000739025918 601870492 /nfs/dbraw/zinc/87/04/92/601870492.db2.gz LDVAGPXQIRZUFX-MNOVXSKESA-N -1 1 320.393 1.797 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000740641340 601879153 /nfs/dbraw/zinc/87/91/53/601879153.db2.gz AURBQLFNKBCRQO-JTQLQIEISA-N -1 1 315.329 1.258 20 0 DDADMM C[C@H](C(=O)N1CCC(C)CC1)N1CCSC[C@H]1CC(=O)[O-] ZINC000828276597 601922627 /nfs/dbraw/zinc/92/26/27/601922627.db2.gz DBGKCDUUWJNKIB-CHWSQXEVSA-N -1 1 314.451 1.526 20 0 DDADMM C[C@@H](C1CC1)N(C(=O)CN1CC[C@@H](CNC(=O)[O-])C1)C1CC1 ZINC000738888268 601939242 /nfs/dbraw/zinc/93/92/42/601939242.db2.gz BFPXOEAISVGHIG-RYUDHWBXSA-N -1 1 309.410 1.365 20 0 DDADMM O=C([O-])c1ccc(CN2CCN([C@H]3CCC[C@H]3O)CC2)s1 ZINC000833313689 601950883 /nfs/dbraw/zinc/95/08/83/601950883.db2.gz GJZOGCNLQXEPER-QWHCGFSZSA-N -1 1 310.419 1.477 20 0 DDADMM C[C@@H](C(=O)N[C@H]1CCCC[C@H]1C)N1CC[C@H](CNC(=O)[O-])C1 ZINC000739096908 601960581 /nfs/dbraw/zinc/96/05/81/601960581.db2.gz FMVGICBALGPIQX-RQJABVFESA-N -1 1 311.426 1.659 20 0 DDADMM CC(C)CN(CC(C)C)C(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000738821289 601960983 /nfs/dbraw/zinc/96/09/83/601960983.db2.gz QLIFZRNRSRLAPS-CQSZACIVSA-N -1 1 313.442 1.717 20 0 DDADMM CN1CCC[C@H]1C(=O)NCc1ccc(CCNC(=O)[O-])cc1 ZINC000739727105 602035126 /nfs/dbraw/zinc/03/51/26/602035126.db2.gz DSXDJNISSTVPOV-AWEZNQCLSA-N -1 1 305.378 1.207 20 0 DDADMM CCOC[C@H](C(=O)[O-])N(C)C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000829960449 602071901 /nfs/dbraw/zinc/07/19/01/602071901.db2.gz IOVMWZWRYUKUCD-CQSZACIVSA-N -1 1 317.345 1.638 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2ccc(F)nc2)cs1 ZINC000165782200 602156616 /nfs/dbraw/zinc/15/66/16/602156616.db2.gz XAEGODBNFFFYKE-UHFFFAOYSA-N -1 1 302.308 1.781 20 0 DDADMM CC[C@](C)(CC(=O)[O-])NCc1nnnn1Cc1ccccc1 ZINC000828672944 602215988 /nfs/dbraw/zinc/21/59/88/602215988.db2.gz YGXWEOBIRRHAPH-OAHLLOKOSA-N -1 1 303.366 1.454 20 0 DDADMM CC(C)S(=O)(=O)CCCN(C)[C@H](C(=O)[O-])c1ccccc1 ZINC000827066241 602236957 /nfs/dbraw/zinc/23/69/57/602236957.db2.gz LWYIIRSEBYDOLD-AWEZNQCLSA-N -1 1 313.419 1.957 20 0 DDADMM O=C([O-])CC1(NCc2nc(-c3cccs3)no2)CCOCC1 ZINC000833205693 602256720 /nfs/dbraw/zinc/25/67/20/602256720.db2.gz LCXSOMJEABVUSM-UHFFFAOYSA-N -1 1 323.374 1.912 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)NC[C@H](c1cccs1)N(C)C ZINC000739315004 602340044 /nfs/dbraw/zinc/34/00/44/602340044.db2.gz PDUNCYUCUMKHSX-GHMZBOCLSA-N -1 1 313.423 1.903 20 0 DDADMM C[C@H](CNC(=O)[O-])N(C)Cc1cncc(Br)c1 ZINC000738941206 602562035 /nfs/dbraw/zinc/56/20/35/602562035.db2.gz LSQAQYIEGVIYGD-MRVPVSSYSA-N -1 1 302.172 1.932 20 0 DDADMM Cc1ncc(C(=O)N2CCC[C@H]([C@@H]3CCCN3C(=O)[O-])C2)[nH]1 ZINC000740206621 602589877 /nfs/dbraw/zinc/58/98/77/602589877.db2.gz JCCLEXXSOCWWOS-AAEUAGOBSA-N -1 1 306.366 1.713 20 0 DDADMM CN1CCC[C@@H]1C(=O)Nc1ccc2c(c1)CN(C(=O)[O-])CC2 ZINC000739728117 602595430 /nfs/dbraw/zinc/59/54/30/602595430.db2.gz RAZVULUWMAMLIA-CQSZACIVSA-N -1 1 303.362 1.755 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CCN(C(=O)[O-])C[C@@H]2C)o1 ZINC000739409643 602718511 /nfs/dbraw/zinc/71/85/11/602718511.db2.gz ATMBIKARJOVDHY-LBPRGKRZSA-N -1 1 323.393 1.946 20 0 DDADMM C[C@H](CN1CCN(C)CC1)NC(=O)c1ccc(NC(=O)[O-])cc1 ZINC000736587385 602735393 /nfs/dbraw/zinc/73/53/93/602735393.db2.gz XXMPDWNXTRMILC-GFCCVEGCSA-N -1 1 320.393 1.142 20 0 DDADMM CN(CC1CC[NH+](C)CC1)C(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739724719 602860382 /nfs/dbraw/zinc/86/03/82/602860382.db2.gz KQMPSYGIAKBLAO-UHFFFAOYSA-N -1 1 321.377 1.896 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)NC1CCN(C(=O)[O-])CC1 ZINC000736397530 602905066 /nfs/dbraw/zinc/90/50/66/602905066.db2.gz YXGNZBNUTGPBEX-CYBMUJFWSA-N -1 1 312.414 1.110 20 0 DDADMM Nc1cc(F)ccc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826395644 607598040 /nfs/dbraw/zinc/59/80/40/607598040.db2.gz MZRXCPJDLMKHAH-UHFFFAOYSA-N -1 1 314.280 1.340 20 0 DDADMM Nc1cc(F)ccc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826395644 607598042 /nfs/dbraw/zinc/59/80/42/607598042.db2.gz MZRXCPJDLMKHAH-UHFFFAOYSA-N -1 1 314.280 1.340 20 0 DDADMM O=C([O-])NCCNC(=O)[C@H]1CCCCN1Cc1ccccc1 ZINC000740657473 602977213 /nfs/dbraw/zinc/97/72/13/602977213.db2.gz CQOLYRCYNQZAKK-CQSZACIVSA-N -1 1 305.378 1.425 20 0 DDADMM C[C@H](C(=O)NC[C@H]1CCCN1C(=O)[O-])N(C)Cc1ccccc1 ZINC000738624966 602990719 /nfs/dbraw/zinc/99/07/19/602990719.db2.gz NOUXGAAFUKPWOF-UKRRQHHQSA-N -1 1 319.405 1.766 20 0 DDADMM O=C([O-])NC1CCN(C[C@@H]2CN(c3ccccc3)C(=O)O2)CC1 ZINC000740592906 603152296 /nfs/dbraw/zinc/15/22/96/603152296.db2.gz CAUZEBWHCMYKKF-CQSZACIVSA-N -1 1 319.361 1.744 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@@H](C)N(C)Cc1ccccc1)NC(=O)[O-] ZINC000738773899 603179141 /nfs/dbraw/zinc/17/91/41/603179141.db2.gz LJHHCJIJWOGGGM-UKRRQHHQSA-N -1 1 321.421 1.915 20 0 DDADMM O=C([O-])NCc1ccc(C(=O)N2CCN(C3CCCC3)CC2)o1 ZINC000832684015 603298469 /nfs/dbraw/zinc/29/84/69/603298469.db2.gz MSXGBKFVXIAYOH-UHFFFAOYSA-N -1 1 321.377 1.748 20 0 DDADMM O=S(=O)(N[C@@H]1CC=CCC1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826488741 607620858 /nfs/dbraw/zinc/62/08/58/607620858.db2.gz YDVKUWRGVWNRPE-SECBINFHSA-N -1 1 323.353 1.393 20 0 DDADMM O=S(=O)(N[C@@H]1CC=CCC1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826488741 607620860 /nfs/dbraw/zinc/62/08/60/607620860.db2.gz YDVKUWRGVWNRPE-SECBINFHSA-N -1 1 323.353 1.393 20 0 DDADMM CCc1cc(CNC(=O)NCCCN(C(=O)[O-])C(C)C)[nH]n1 ZINC000739538630 603307006 /nfs/dbraw/zinc/30/70/06/603307006.db2.gz KFXMRNNSITYYOX-UHFFFAOYSA-N -1 1 311.386 1.550 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CC[C@H]1NC(=O)[O-] ZINC000739171590 603333486 /nfs/dbraw/zinc/33/34/86/603333486.db2.gz UMIZSHIHDNJOHH-WCBMZHEXSA-N -1 1 303.322 1.076 20 0 DDADMM C[C@@H]1CN(Cn2nccc2-c2cccnc2)CC[C@H]1NC(=O)[O-] ZINC000739191034 603396889 /nfs/dbraw/zinc/39/68/89/603396889.db2.gz PSGKIGKAYUJEGI-TZMCWYRMSA-N -1 1 315.377 1.881 20 0 DDADMM CCN(CC1CCN(CC(=O)NC2CCCC2)CC1)C(=O)[O-] ZINC000827092753 603506943 /nfs/dbraw/zinc/50/69/43/603506943.db2.gz JBPUZNCNBQLNJQ-UHFFFAOYSA-N -1 1 311.426 1.757 20 0 DDADMM C[C@H](C(=O)N(C)[C@@H]1CCN(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823654257 603527614 /nfs/dbraw/zinc/52/76/14/603527614.db2.gz IHFUFHIXMCHJRF-UKRRQHHQSA-N -1 1 319.405 1.718 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N[C@@H]1CCCN(C(=O)[O-])C1 ZINC000828046381 603536218 /nfs/dbraw/zinc/53/62/18/603536218.db2.gz LVMCFAAEDMQNAI-LLVKDONJSA-N -1 1 311.407 1.682 20 0 DDADMM CCC(CC)(CNC(=O)c1ccc2[nH]nnc2c1)NC(=O)[O-] ZINC000826552509 603732661 /nfs/dbraw/zinc/73/26/61/603732661.db2.gz KSGWDTTTYKFERS-UHFFFAOYSA-N -1 1 305.338 1.514 20 0 DDADMM O=C([O-])N1CC(CC(=O)NCc2nc3ccc(Cl)cc3[nH]2)C1 ZINC000831366732 603784836 /nfs/dbraw/zinc/78/48/36/603784836.db2.gz WPRPFCMSVCOYHM-UHFFFAOYSA-N -1 1 322.752 1.832 20 0 DDADMM Cc1nnc(SCC(=O)N[C@](C)(CNC(=O)[O-])C(C)C)[nH]1 ZINC000830679987 603797759 /nfs/dbraw/zinc/79/77/59/603797759.db2.gz YNMRIEXTJSVPPW-GFCCVEGCSA-N -1 1 315.399 1.004 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@](C)(CNC(=O)[O-])C(C)C)n1 ZINC000830679987 603797760 /nfs/dbraw/zinc/79/77/60/603797760.db2.gz YNMRIEXTJSVPPW-GFCCVEGCSA-N -1 1 315.399 1.004 20 0 DDADMM C[C@@H](C(=O)N(C)C1CCCCC1)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823654614 603818220 /nfs/dbraw/zinc/81/82/20/603818220.db2.gz LAZRQDGPRRXVEX-GXTWGEPZSA-N -1 1 311.426 1.850 20 0 DDADMM Cc1nn(C[C@@H](C)C(F)(F)F)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334088 607656853 /nfs/dbraw/zinc/65/68/53/607656853.db2.gz HMLKVMBELPYVFR-RXMQYKEDSA-N -1 1 302.260 1.239 20 0 DDADMM C[NH+](C)CC1CCN(C(=O)c2ccc(NC(=O)[O-])cc2[O-])CC1 ZINC000828017246 603909315 /nfs/dbraw/zinc/90/93/15/603909315.db2.gz JMFXFOPKXUFFIP-UHFFFAOYSA-N -1 1 321.377 1.896 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@@H](CNC(=O)[O-])C1CC1 ZINC000829833248 603943305 /nfs/dbraw/zinc/94/33/05/603943305.db2.gz HFCBDJDDCVNAKR-ZETCQYMHSA-N -1 1 320.271 1.513 20 0 DDADMM CC(C)[C@@](C)(CNC(=O)[O-])NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000824057305 603949487 /nfs/dbraw/zinc/94/94/87/603949487.db2.gz QCYALNATRBQCSK-ZUZCIYMTSA-N -1 1 308.382 1.628 20 0 DDADMM CC(C)[C@@H](CCN(C)C(=O)[C@@H]1CCCc2[nH]ncc21)NC(=O)[O-] ZINC000824078939 603953774 /nfs/dbraw/zinc/95/37/74/603953774.db2.gz MPWYCORIKBYJNL-DGCLKSJQSA-N -1 1 322.409 1.970 20 0 DDADMM CCCN(C(=O)[C@@H]1CCCc2[nH]ncc21)[C@H]1CCN(C(=O)[O-])C1 ZINC000826860001 603982081 /nfs/dbraw/zinc/98/20/81/603982081.db2.gz YDTRCJGECCXSQZ-NWDGAFQWSA-N -1 1 320.393 1.821 20 0 DDADMM COC(=O)/C(C)=C\CN1CCC([C@@H]2CCCN2C(=O)[O-])CC1 ZINC000828599511 604091798 /nfs/dbraw/zinc/09/17/98/604091798.db2.gz AKYGEYPGJVBLNS-VDFGQWLZSA-N -1 1 310.394 1.960 20 0 DDADMM CN(C[C@@H]1CCCN1CC(=O)N(C1CC1)C1CCCC1)C(=O)[O-] ZINC000828224498 604098558 /nfs/dbraw/zinc/09/85/58/604098558.db2.gz YPUJAISAQAHFJC-HNNXBMFYSA-N -1 1 323.437 1.994 20 0 DDADMM O=C([O-])N1CCC(NCc2nncn2-c2ccccc2)CC1 ZINC000831964661 604180031 /nfs/dbraw/zinc/18/00/31/604180031.db2.gz ZISOOVWPPRCTPC-UHFFFAOYSA-N -1 1 301.350 1.499 20 0 DDADMM C[C@@H](C(=O)OCCc1c[nH]nn1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823732773 604189850 /nfs/dbraw/zinc/18/98/50/604189850.db2.gz WWJPIWQRENDWDY-ZWNOBZJWSA-N -1 1 318.333 1.535 20 0 DDADMM C[C@@H](C(=O)OCCc1cnn[nH]1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823732773 604189853 /nfs/dbraw/zinc/18/98/53/604189853.db2.gz WWJPIWQRENDWDY-ZWNOBZJWSA-N -1 1 318.333 1.535 20 0 DDADMM Cc1cc(CC(=O)NCc2ccc(CCNC(=O)[O-])cc2)[nH]n1 ZINC000830031040 604190801 /nfs/dbraw/zinc/19/08/01/604190801.db2.gz SDCHCVKWUWRATC-UHFFFAOYSA-N -1 1 316.361 1.387 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2ccc(C(=O)[O-])c(F)c2)C1 ZINC000831746859 604263241 /nfs/dbraw/zinc/26/32/41/604263241.db2.gz ZYEODJGKFCHEJU-GFCCVEGCSA-N -1 1 324.352 1.365 20 0 DDADMM Cc1cccc(C)c1OC[C@H](O)CN1CC[C@@H](NC(=O)[O-])C1 ZINC000740165580 604367362 /nfs/dbraw/zinc/36/73/62/604367362.db2.gz FFNQAUYOMSCELD-ZIAGYGMSSA-N -1 1 308.378 1.385 20 0 DDADMM Cc1ccc(C)c(OC[C@@H](O)CN2CC[C@@H](NC(=O)[O-])C2)c1 ZINC000740118133 604372331 /nfs/dbraw/zinc/37/23/31/604372331.db2.gz AEGYPCDMLHIGNQ-KGLIPLIRSA-N -1 1 308.378 1.385 20 0 DDADMM O=C([O-])NC1(C(=O)N[C@H]2CCN(Cc3ccccc3)C2)CC1 ZINC000832155755 604422271 /nfs/dbraw/zinc/42/22/71/604422271.db2.gz OSTXJYONAXIANB-ZDUSSCGKSA-N -1 1 303.362 1.177 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)C1(NC(=O)[O-])CC1)c1ccco1 ZINC000827036546 604442367 /nfs/dbraw/zinc/44/23/67/604442367.db2.gz YFHNAPZZHRREMF-NSHDSACASA-N -1 1 309.366 1.579 20 0 DDADMM OCCCN(c1snc(Cl)c1-c1nnn[n-]1)C1CC1 ZINC000826510043 608189884 /nfs/dbraw/zinc/18/98/84/608189884.db2.gz VKRDIOJRVXPUBH-UHFFFAOYSA-N -1 1 300.775 1.328 20 0 DDADMM OCCCN(c1snc(Cl)c1-c1nn[n-]n1)C1CC1 ZINC000826510043 608189885 /nfs/dbraw/zinc/18/98/85/608189885.db2.gz VKRDIOJRVXPUBH-UHFFFAOYSA-N -1 1 300.775 1.328 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCc1csc(N(C)C2CC2)n1 ZINC000831585834 604483344 /nfs/dbraw/zinc/48/33/44/604483344.db2.gz QGCQXIACZMXKMO-CQSZACIVSA-N -1 1 313.423 1.711 20 0 DDADMM CC(C)(O)[C@@H]1CCCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000833463840 604653721 /nfs/dbraw/zinc/65/37/21/604653721.db2.gz KQCBQGYKYDSZRP-ZDUSSCGKSA-N -1 1 306.362 1.559 20 0 DDADMM CC(C)(O)[C@@H]1CCCN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000833463103 604653763 /nfs/dbraw/zinc/65/37/63/604653763.db2.gz CFZQUTSQGMVQPP-ZDUSSCGKSA-N -1 1 306.362 1.559 20 0 DDADMM C[C@H](Oc1ccc(CN2CCc3cn[nH]c3C2)cc1)C(=O)[O-] ZINC000833538225 604657137 /nfs/dbraw/zinc/65/71/37/604657137.db2.gz BXAYXZDNRHRFRY-NSHDSACASA-N -1 1 301.346 1.820 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)CCOC(C)(C)C ZINC000825977934 604677509 /nfs/dbraw/zinc/67/75/09/604677509.db2.gz SKEGJATZGXNMGG-GFCCVEGCSA-N -1 1 314.426 1.445 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCC[C@@H]1CC(=O)[O-] ZINC000832847832 604750545 /nfs/dbraw/zinc/75/05/45/604750545.db2.gz YHMHDKIJQUMESF-SSDOTTSWSA-N -1 1 305.256 1.816 20 0 DDADMM COc1cccc(CN2CCC([C@H](O)C(=O)[O-])CC2)c1OC ZINC000833735820 604762406 /nfs/dbraw/zinc/76/24/06/604762406.db2.gz XELMFNKEKWKJES-AWEZNQCLSA-N -1 1 309.362 1.361 20 0 DDADMM C[C@H]1[C@H](C)N(C(=O)Nc2nc(CC(=O)[O-])cs2)CCN1C ZINC000833584735 604803261 /nfs/dbraw/zinc/80/32/61/604803261.db2.gz UDGLIWLBVDRHEX-IUCAKERBSA-N -1 1 312.395 1.327 20 0 DDADMM C[C@@H](C[N@@H+](CC(=O)[N-]OCc1ccccc1)C1CC1)C(=O)[O-] ZINC000833516556 604908874 /nfs/dbraw/zinc/90/88/74/604908874.db2.gz PDAKCZHJQFXOTM-LBPRGKRZSA-N -1 1 306.362 1.420 20 0 DDADMM C[C@H](CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)c1ccncc1 ZINC000827226374 604922082 /nfs/dbraw/zinc/92/20/82/604922082.db2.gz LAZQPCPEYMYVAT-ZIAGYGMSSA-N -1 1 319.405 1.583 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C[C@H](C)c2ccncc2)CC1 ZINC000827226373 604922184 /nfs/dbraw/zinc/92/21/84/604922184.db2.gz LAZQPCPEYMYVAT-UONOGXRCSA-N -1 1 319.405 1.583 20 0 DDADMM C[C@H](O)[C@H]1CCCN(CC(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC000833531354 604922318 /nfs/dbraw/zinc/92/23/18/604922318.db2.gz ZRWBXHXZNRTNBK-AAEUAGOBSA-N -1 1 306.362 1.416 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)N[C@@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000833511904 605021890 /nfs/dbraw/zinc/02/18/90/605021890.db2.gz DVXNRXPSSNVPPA-VHSXEESVSA-N -1 1 324.385 1.431 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2[nH]ccc2c1 ZINC000833627567 605100139 /nfs/dbraw/zinc/10/01/39/605100139.db2.gz YUWYYFUSDQQHBM-LBPRGKRZSA-N -1 1 315.373 1.789 20 0 DDADMM CC(C)C(=O)NC[C@@H]1CCCN(Cc2ccc(C(=O)[O-])[nH]2)C1 ZINC000833463422 605105431 /nfs/dbraw/zinc/10/54/31/605105431.db2.gz NKCZYMWLBGTDRF-LBPRGKRZSA-N -1 1 307.394 1.697 20 0 DDADMM O=C([O-])NC1(C(=O)N[C@@H]2CCCc3cn[nH]c32)CCCCC1 ZINC000832155422 605126328 /nfs/dbraw/zinc/12/63/28/605126328.db2.gz FBUMIKDJWHSXEG-LLVKDONJSA-N -1 1 306.366 1.874 20 0 DDADMM CCS[C@@H]1CC[C@@H](N(C)CN2C[C@H](C(=O)[O-])CC2=O)C1 ZINC000833668549 605176177 /nfs/dbraw/zinc/17/61/77/605176177.db2.gz SLEQHAAGFQHOIB-IJLUTSLNSA-N -1 1 300.424 1.483 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(F)cc(F)c2)CCN1CCC(=O)[O-] ZINC000833622146 605255168 /nfs/dbraw/zinc/25/51/68/605255168.db2.gz LYYKOQLDWFKJNO-SNVBAGLBSA-N -1 1 312.316 1.586 20 0 DDADMM O=C([O-])N1CC[C@](F)(C(=O)Nc2ncc(C(F)(F)F)[nH]2)C1 ZINC000831907710 605293866 /nfs/dbraw/zinc/29/38/66/605293866.db2.gz HKKYHDICNFBMIY-SECBINFHSA-N -1 1 310.207 1.459 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC[C@H](NC(=O)[O-])C2)n[nH]1 ZINC000830031724 605301709 /nfs/dbraw/zinc/30/17/09/605301709.db2.gz XZZCYJOOEUXIGS-ONGXEEELSA-N -1 1 309.370 1.091 20 0 DDADMM CC[C@H]1CN(C(=O)NCc2cc(C)n[nH]2)CC[C@H]1NC(=O)[O-] ZINC000833846321 605317489 /nfs/dbraw/zinc/31/74/89/605317489.db2.gz GSVGRKIVNDPQCW-CMPLNLGQSA-N -1 1 309.370 1.296 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CC[C@H]1NC(=O)[O-] ZINC000833851261 605338795 /nfs/dbraw/zinc/33/87/95/605338795.db2.gz MONOHOFZEOGGHD-MWLCHTKSSA-N -1 1 317.349 1.466 20 0 DDADMM Cc1cc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)ccc1O ZINC000833742204 605529861 /nfs/dbraw/zinc/52/98/61/605529861.db2.gz HZPATYQMVQXEAD-LBPRGKRZSA-N -1 1 306.362 1.322 20 0 DDADMM N=C(NOCc1cnc(Cl)s1)[C@H]1COCCN1C(=O)[O-] ZINC000833980388 605924970 /nfs/dbraw/zinc/92/49/70/605924970.db2.gz OLPKUVYNQHMHQZ-SSDOTTSWSA-N -1 1 320.758 1.174 20 0 DDADMM O=C([O-])N[C@H]1CCN(C[C@@H](O)COc2ccc(Cl)cc2)C1 ZINC000740592924 605936478 /nfs/dbraw/zinc/93/64/78/605936478.db2.gz CNBMHYRREZSVBW-NWDGAFQWSA-N -1 1 314.769 1.422 20 0 DDADMM O=C([O-])N[C@H](CN[C@H]1CCCS(=O)(=O)C1)c1ccccc1 ZINC000834150457 605964215 /nfs/dbraw/zinc/96/42/15/605964215.db2.gz NADIJQCHMNOLMH-QWHCGFSZSA-N -1 1 312.391 1.162 20 0 DDADMM O=C([O-])N1CCCN1C(=O)[C@@H]1CCC[N@@H+](Cc2cccnc2)C1 ZINC000834109079 605980243 /nfs/dbraw/zinc/98/02/43/605980243.db2.gz GXNJUQCUQVDGDU-CQSZACIVSA-N -1 1 318.377 1.421 20 0 DDADMM O=C([O-])N1CCCN1C(=O)[C@@H]1CCCN(Cc2cccnc2)C1 ZINC000834109079 605980244 /nfs/dbraw/zinc/98/02/44/605980244.db2.gz GXNJUQCUQVDGDU-CQSZACIVSA-N -1 1 318.377 1.421 20 0 DDADMM O=C([O-])N[C@H](CNC(=O)Cc1[nH]nc2ccccc21)C1CC1 ZINC000834140001 605993190 /nfs/dbraw/zinc/99/31/90/605993190.db2.gz MXGDHYXPAQFKNF-CYBMUJFWSA-N -1 1 302.334 1.268 20 0 DDADMM O=C([O-])N1CCC[C@H](N[C@@H]2CS(=O)(=O)c3ccccc32)CC1 ZINC000834100204 606044684 /nfs/dbraw/zinc/04/46/84/606044684.db2.gz GXBKPLYUZYRGAH-WCQYABFASA-N -1 1 324.402 1.637 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCOc2ccncc21 ZINC000823483644 606121270 /nfs/dbraw/zinc/12/12/70/606121270.db2.gz KMDUFACICWIELN-UHFFFAOYSA-N -1 1 314.330 1.362 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCOc2ccncc21 ZINC000823483644 606121272 /nfs/dbraw/zinc/12/12/72/606121272.db2.gz KMDUFACICWIELN-UHFFFAOYSA-N -1 1 314.330 1.362 20 0 DDADMM O=C(OCCOC[C@@H]1CCCO1)c1ccc(-c2nnn[n-]2)s1 ZINC000823405395 606138453 /nfs/dbraw/zinc/13/84/53/606138453.db2.gz PKAHCIQKXXVJRV-VIFPVBQESA-N -1 1 324.362 1.281 20 0 DDADMM O=C(OCCOC[C@@H]1CCCO1)c1ccc(-c2nn[n-]n2)s1 ZINC000823405395 606138454 /nfs/dbraw/zinc/13/84/54/606138454.db2.gz PKAHCIQKXXVJRV-VIFPVBQESA-N -1 1 324.362 1.281 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)C=Cc2cccc(F)c2)n1 ZINC000822604935 606155245 /nfs/dbraw/zinc/15/52/45/606155245.db2.gz RBXADYCXVWAQBS-WAYWQWQTSA-N -1 1 313.296 1.391 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)C=Cc2cccc(F)c2)n1 ZINC000822604935 606155247 /nfs/dbraw/zinc/15/52/47/606155247.db2.gz RBXADYCXVWAQBS-WAYWQWQTSA-N -1 1 313.296 1.391 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@H]1CSCCS1 ZINC000823294713 606197868 /nfs/dbraw/zinc/19/78/68/606197868.db2.gz OENCNFUGZWLDCF-SNVBAGLBSA-N -1 1 323.403 1.359 20 0 DDADMM CN(C[C@H]1CCCO1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000821596851 606464335 /nfs/dbraw/zinc/46/43/35/606464335.db2.gz WVDQDJDAWQVMSR-ZCFIWIBFSA-N -1 1 300.775 1.592 20 0 DDADMM CN(C[C@H]1CCCO1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000821596851 606464337 /nfs/dbraw/zinc/46/43/37/606464337.db2.gz WVDQDJDAWQVMSR-ZCFIWIBFSA-N -1 1 300.775 1.592 20 0 DDADMM OC[C@@H]1CCCC[C@@H]1Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000823715166 606469983 /nfs/dbraw/zinc/46/99/83/606469983.db2.gz RUPONPCFXYYPON-WPRPVWTQSA-N -1 1 308.773 1.878 20 0 DDADMM OC[C@@H]1CCCC[C@@H]1Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000823715166 606469981 /nfs/dbraw/zinc/46/99/81/606469981.db2.gz RUPONPCFXYYPON-WPRPVWTQSA-N -1 1 308.773 1.878 20 0 DDADMM O=C(NCCc1cccnc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823224145 606524251 /nfs/dbraw/zinc/52/42/51/606524251.db2.gz QUAYZNJSLRTYOJ-UHFFFAOYSA-N -1 1 300.347 1.296 20 0 DDADMM O=C(NCCc1cccnc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823224145 606524253 /nfs/dbraw/zinc/52/42/53/606524253.db2.gz QUAYZNJSLRTYOJ-UHFFFAOYSA-N -1 1 300.347 1.296 20 0 DDADMM Cc1ccc(CCNC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000822235965 606558508 /nfs/dbraw/zinc/55/85/08/606558508.db2.gz DJZVAKDEBWAKCT-UHFFFAOYSA-N -1 1 314.374 1.604 20 0 DDADMM Cc1ccc(CCNC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000822235965 606558509 /nfs/dbraw/zinc/55/85/09/606558509.db2.gz DJZVAKDEBWAKCT-UHFFFAOYSA-N -1 1 314.374 1.604 20 0 DDADMM COC(C)(C)C[C@@H](C)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821672213 606573970 /nfs/dbraw/zinc/57/39/70/606573970.db2.gz CPZSXFQRPADZTB-MRVPVSSYSA-N -1 1 309.395 1.862 20 0 DDADMM COC(C)(C)C[C@@H](C)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821672213 606573972 /nfs/dbraw/zinc/57/39/72/606573972.db2.gz CPZSXFQRPADZTB-MRVPVSSYSA-N -1 1 309.395 1.862 20 0 DDADMM O=C(CC[C@H]1CCOC1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822950388 606648134 /nfs/dbraw/zinc/64/81/34/606648134.db2.gz MCZJHLRQJKSPAO-JTQLQIEISA-N -1 1 303.322 1.122 20 0 DDADMM O=C(CC[C@H]1CCOC1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822950388 606648135 /nfs/dbraw/zinc/64/81/35/606648135.db2.gz MCZJHLRQJKSPAO-JTQLQIEISA-N -1 1 303.322 1.122 20 0 DDADMM COc1ccc(-c2nc(Cn3ccnc3-c3nnn[n-]3)no2)cc1 ZINC000821731891 606655141 /nfs/dbraw/zinc/65/51/41/606655141.db2.gz HZWYBRJVTQUFDQ-UHFFFAOYSA-N -1 1 324.304 1.170 20 0 DDADMM COc1ccc(-c2nc(Cn3ccnc3-c3nn[n-]n3)no2)cc1 ZINC000821731891 606655142 /nfs/dbraw/zinc/65/51/42/606655142.db2.gz HZWYBRJVTQUFDQ-UHFFFAOYSA-N -1 1 324.304 1.170 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)Cc2ccc(F)c(F)c2)n1 ZINC000822604857 606684528 /nfs/dbraw/zinc/68/45/28/606684528.db2.gz NGXSDYCUZGNWAX-UHFFFAOYSA-N -1 1 319.275 1.060 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)Cc2ccc(F)c(F)c2)n1 ZINC000822604857 606684529 /nfs/dbraw/zinc/68/45/29/606684529.db2.gz NGXSDYCUZGNWAX-UHFFFAOYSA-N -1 1 319.275 1.060 20 0 DDADMM c1ccc(O[C@H]2CCN(c3cccc(-c4nnn[n-]4)n3)C2)nc1 ZINC000823792557 606879825 /nfs/dbraw/zinc/87/98/25/606879825.db2.gz XPUIBZNUCLPZQC-NSHDSACASA-N -1 1 309.333 1.314 20 0 DDADMM c1ccc(O[C@H]2CCN(c3cccc(-c4nn[n-]n4)n3)C2)nc1 ZINC000823792557 606879827 /nfs/dbraw/zinc/87/98/27/606879827.db2.gz XPUIBZNUCLPZQC-NSHDSACASA-N -1 1 309.333 1.314 20 0 DDADMM C[C@]1(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)CCCS1 ZINC000820618610 606954430 /nfs/dbraw/zinc/95/44/30/606954430.db2.gz JVVBNLGOTXBACQ-CYBMUJFWSA-N -1 1 304.379 1.277 20 0 DDADMM C[C@]1(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)CCCS1 ZINC000820618610 606954432 /nfs/dbraw/zinc/95/44/32/606954432.db2.gz JVVBNLGOTXBACQ-CYBMUJFWSA-N -1 1 304.379 1.277 20 0 DDADMM C[C@H](C[C@@H]1CCOC1)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820231652 607128614 /nfs/dbraw/zinc/12/86/14/607128614.db2.gz CTJWMBHNLYUHNT-BDAKNGLRSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@H](C[C@@H]1CCOC1)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820231652 607128615 /nfs/dbraw/zinc/12/86/15/607128615.db2.gz CTJWMBHNLYUHNT-BDAKNGLRSA-N -1 1 307.379 1.473 20 0 DDADMM Cc1cc(C(=O)Cn2cnc(-c3nn[n-]n3)n2)c(Cl)cc1F ZINC000822168780 607157294 /nfs/dbraw/zinc/15/72/94/607157294.db2.gz SAORZGLZZKJAAN-UHFFFAOYSA-N -1 1 321.703 1.442 20 0 DDADMM CCN(CCC(=O)OC)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821207918 607174372 /nfs/dbraw/zinc/17/43/72/607174372.db2.gz YICOJGKVBGKLEI-UHFFFAOYSA-N -1 1 310.745 1.305 20 0 DDADMM CCN(CCC(=O)OC)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821207918 607174373 /nfs/dbraw/zinc/17/43/73/607174373.db2.gz YICOJGKVBGKLEI-UHFFFAOYSA-N -1 1 310.745 1.305 20 0 DDADMM COCCC1(CNC(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000821686462 607193569 /nfs/dbraw/zinc/19/35/69/607193569.db2.gz QXBAPFZCPJDNKK-UHFFFAOYSA-N -1 1 307.379 1.475 20 0 DDADMM COCCC1(CNC(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000821686462 607193570 /nfs/dbraw/zinc/19/35/70/607193570.db2.gz QXBAPFZCPJDNKK-UHFFFAOYSA-N -1 1 307.379 1.475 20 0 DDADMM C[C@@H](Cc1ccc(O)cc1)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820301687 607230315 /nfs/dbraw/zinc/23/03/15/607230315.db2.gz ZINHVODISHUIGH-JTQLQIEISA-N -1 1 324.344 1.328 20 0 DDADMM C[C@@H](Cc1ccc(O)cc1)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820301687 607230316 /nfs/dbraw/zinc/23/03/16/607230316.db2.gz ZINHVODISHUIGH-JTQLQIEISA-N -1 1 324.344 1.328 20 0 DDADMM c1ncn(-c2cccc(Oc3cccc(-c4nnn[n-]4)n3)c2)n1 ZINC000826517628 607900344 /nfs/dbraw/zinc/90/03/44/607900344.db2.gz JNDLRIRQHWORHA-UHFFFAOYSA-N -1 1 306.289 1.635 20 0 DDADMM c1ncn(-c2cccc(Oc3cccc(-c4nn[n-]n4)n3)c2)n1 ZINC000826517628 607900345 /nfs/dbraw/zinc/90/03/45/607900345.db2.gz JNDLRIRQHWORHA-UHFFFAOYSA-N -1 1 306.289 1.635 20 0 DDADMM CC1CCC(N(C)C(=O)Cn2ccnc2-c2nnn[n-]2)CC1 ZINC000824919293 608013311 /nfs/dbraw/zinc/01/33/11/608013311.db2.gz SUVGMYHLWYWGRW-UHFFFAOYSA-N -1 1 303.370 1.100 20 0 DDADMM CC1CCC(N(C)C(=O)Cn2ccnc2-c2nn[n-]n2)CC1 ZINC000824919293 608013312 /nfs/dbraw/zinc/01/33/12/608013312.db2.gz SUVGMYHLWYWGRW-UHFFFAOYSA-N -1 1 303.370 1.100 20 0 DDADMM CCOc1ccc(NC(=O)Cn2ccnc2-c2nnn[n-]2)cc1 ZINC000825642888 608013350 /nfs/dbraw/zinc/01/33/50/608013350.db2.gz DASLSJAJUUCOLP-UHFFFAOYSA-N -1 1 313.321 1.101 20 0 DDADMM CCOc1ccc(NC(=O)Cn2ccnc2-c2nn[n-]n2)cc1 ZINC000825642888 608013351 /nfs/dbraw/zinc/01/33/51/608013351.db2.gz DASLSJAJUUCOLP-UHFFFAOYSA-N -1 1 313.321 1.101 20 0 DDADMM COc1ccc2c(Cn3ccnc3-c3nnn[n-]3)cc(=O)oc2c1 ZINC000826212051 608013566 /nfs/dbraw/zinc/01/35/66/608013566.db2.gz FCJPYCMWJJGTNI-UHFFFAOYSA-N -1 1 324.300 1.227 20 0 DDADMM COc1ccc2c(Cn3ccnc3-c3nn[n-]n3)cc(=O)oc2c1 ZINC000826212051 608013567 /nfs/dbraw/zinc/01/35/67/608013567.db2.gz FCJPYCMWJJGTNI-UHFFFAOYSA-N -1 1 324.300 1.227 20 0 DDADMM C[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)OC(C)(C)C ZINC000824721789 608103601 /nfs/dbraw/zinc/10/36/01/608103601.db2.gz DPGQZAGNTAIIBB-MRVPVSSYSA-N -1 1 319.321 1.149 20 0 DDADMM C[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)OC(C)(C)C ZINC000824721789 608103602 /nfs/dbraw/zinc/10/36/02/608103602.db2.gz DPGQZAGNTAIIBB-MRVPVSSYSA-N -1 1 319.321 1.149 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)Nc1nn(C(C)(C)C)cc1-c1nnn[n-]1 ZINC000825020528 608160126 /nfs/dbraw/zinc/16/01/26/608160126.db2.gz KTTIEAQGHIEBNV-DTWKUNHWSA-N -1 1 319.369 1.182 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)Nc1nn(C(C)(C)C)cc1-c1nn[n-]n1 ZINC000825020528 608160127 /nfs/dbraw/zinc/16/01/27/608160127.db2.gz KTTIEAQGHIEBNV-DTWKUNHWSA-N -1 1 319.369 1.182 20 0 DDADMM C[C@H](Nc1snc(Cl)c1-c1nnn[n-]1)[C@@H]1CCCO1 ZINC000824669670 608173466 /nfs/dbraw/zinc/17/34/66/608173466.db2.gz FHONWQGNISQIKE-WDSKDSINSA-N -1 1 300.775 1.956 20 0 DDADMM C[C@H](Nc1snc(Cl)c1-c1nn[n-]n1)[C@@H]1CCCO1 ZINC000824669670 608173467 /nfs/dbraw/zinc/17/34/67/608173467.db2.gz FHONWQGNISQIKE-WDSKDSINSA-N -1 1 300.775 1.956 20 0 DDADMM COCC[C@](C)(O)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000826154400 608183911 /nfs/dbraw/zinc/18/39/11/608183911.db2.gz OOJGHEVJPFBLBP-JTQLQIEISA-N -1 1 318.790 1.176 20 0 DDADMM COCC[C@](C)(O)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000826154400 608183912 /nfs/dbraw/zinc/18/39/12/608183912.db2.gz OOJGHEVJPFBLBP-JTQLQIEISA-N -1 1 318.790 1.176 20 0 DDADMM c1ccc([C@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@@H]2CCOC2)cc1 ZINC000826520674 608238429 /nfs/dbraw/zinc/23/84/29/608238429.db2.gz PIJYSGFDPPTSKN-DOMZBBRYSA-N -1 1 323.360 1.846 20 0 DDADMM c1ccc([C@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@@H]2CCOC2)cc1 ZINC000826520674 608238431 /nfs/dbraw/zinc/23/84/31/608238431.db2.gz PIJYSGFDPPTSKN-DOMZBBRYSA-N -1 1 323.360 1.846 20 0 DDADMM O=C(C=C1CCSCC1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826400072 608277363 /nfs/dbraw/zinc/27/73/63/608277363.db2.gz ODSCJNGIWLIOOS-UHFFFAOYSA-N -1 1 317.374 1.964 20 0 DDADMM Cc1ccsc1CCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826316109 608302812 /nfs/dbraw/zinc/30/28/12/608302812.db2.gz CYOMHYYJBQYVIQ-UHFFFAOYSA-N -1 1 314.374 1.604 20 0 DDADMM Cc1ccsc1CCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826316109 608302814 /nfs/dbraw/zinc/30/28/14/608302814.db2.gz CYOMHYYJBQYVIQ-UHFFFAOYSA-N -1 1 314.374 1.604 20 0 DDADMM c1nc2ccccc2n1CCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826524132 608392906 /nfs/dbraw/zinc/39/29/06/608392906.db2.gz KSLVLQUKAACATI-UHFFFAOYSA-N -1 1 321.348 1.509 20 0 DDADMM c1nc2ccccc2n1CCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826524132 608392908 /nfs/dbraw/zinc/39/29/08/608392908.db2.gz KSLVLQUKAACATI-UHFFFAOYSA-N -1 1 321.348 1.509 20 0 DDADMM c1ccc([C@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCCO2)cc1 ZINC000826520400 608414848 /nfs/dbraw/zinc/41/48/48/608414848.db2.gz IJDHUENLTWASJV-CQSZACIVSA-N -1 1 323.360 1.625 20 0 DDADMM c1ccc([C@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCCO2)cc1 ZINC000826520400 608414849 /nfs/dbraw/zinc/41/48/49/608414849.db2.gz IJDHUENLTWASJV-CQSZACIVSA-N -1 1 323.360 1.625 20 0 DDADMM COc1cc(CCNc2ccc(-c3nnn[n-]3)nn2)ccc1O ZINC000826179085 608420155 /nfs/dbraw/zinc/42/01/55/608420155.db2.gz YTNIYAMDWQLXJV-UHFFFAOYSA-N -1 1 313.321 1.026 20 0 DDADMM COc1cc(CCNc2ccc(-c3nn[n-]n3)nn2)ccc1O ZINC000826179085 608420157 /nfs/dbraw/zinc/42/01/57/608420157.db2.gz YTNIYAMDWQLXJV-UHFFFAOYSA-N -1 1 313.321 1.026 20 0 DDADMM c1cc([C@@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCO2)cs1 ZINC000826514900 608432025 /nfs/dbraw/zinc/43/20/25/608432025.db2.gz GWFDELVWGPZRLN-NSHDSACASA-N -1 1 315.362 1.296 20 0 DDADMM c1cc([C@@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCO2)cs1 ZINC000826514900 608432026 /nfs/dbraw/zinc/43/20/26/608432026.db2.gz GWFDELVWGPZRLN-NSHDSACASA-N -1 1 315.362 1.296 20 0 DDADMM C[C@@H](C[C@@H](O)c1cccs1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824391010 608435176 /nfs/dbraw/zinc/43/51/76/608435176.db2.gz GDVGFBDSANJSIL-WCBMZHEXSA-N -1 1 317.378 1.642 20 0 DDADMM C[C@@H](C[C@@H](O)c1cccs1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824391010 608435177 /nfs/dbraw/zinc/43/51/77/608435177.db2.gz GDVGFBDSANJSIL-WCBMZHEXSA-N -1 1 317.378 1.642 20 0 DDADMM CCC[C@H]1C(=O)NCCN1Cc1ccc(-c2nn[n-]n2)s1 ZINC000825248603 608734375 /nfs/dbraw/zinc/73/43/75/608734375.db2.gz WTNMDYAVZPZOMZ-JTQLQIEISA-N -1 1 306.395 1.029 20 0 DDADMM COCC[C@H](Nc1ccc(-c2nnn[n-]2)nn1)c1ccc(C)o1 ZINC000826155324 609322734 /nfs/dbraw/zinc/32/27/34/609322734.db2.gz MDUIQOMASHPLFZ-JTQLQIEISA-N -1 1 315.337 1.748 20 0 DDADMM COCC[C@H](Nc1ccc(-c2nn[n-]n2)nn1)c1ccc(C)o1 ZINC000826155324 609322736 /nfs/dbraw/zinc/32/27/36/609322736.db2.gz MDUIQOMASHPLFZ-JTQLQIEISA-N -1 1 315.337 1.748 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H]1CCNC(=O)C1 ZINC000121535608 696711819 /nfs/dbraw/zinc/71/18/19/696711819.db2.gz HRCBEQQFPVCDOL-ZCFIWIBFSA-N -1 1 314.139 1.014 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971896206 695118859 /nfs/dbraw/zinc/11/88/59/695118859.db2.gz WCCXLSRYRNCDPF-CHWSQXEVSA-N -1 1 315.373 1.426 20 0 DDADMM Cc1cc(=O)cc(C)n1CCCC(=O)[N-]OCC(F)(F)F ZINC000810599219 701798532 /nfs/dbraw/zinc/79/85/32/701798532.db2.gz QUGGAUMCLVYMEK-UHFFFAOYSA-N -1 1 306.284 1.855 20 0 DDADMM C[C@H](c1ccccc1)[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000746874195 700043146 /nfs/dbraw/zinc/04/31/46/700043146.db2.gz WTNBQDSPQJDJOH-STQMWFEESA-N -1 1 315.373 1.235 20 0 DDADMM O=C(COC(=O)c1ccccc1F)[N-]C(=O)c1ccccc1 ZINC000003393222 696006460 /nfs/dbraw/zinc/00/64/60/696006460.db2.gz WARCWIIZTUVRDG-UHFFFAOYSA-N -1 1 301.273 1.939 20 0 DDADMM CC(Nc1ccc(Cl)cc1F)=C1C(=O)[N-]C(=S)NC1=O ZINC000009769849 696037424 /nfs/dbraw/zinc/03/74/24/696037424.db2.gz AKEWVUVRWMWLQQ-UHFFFAOYSA-N -1 1 313.741 1.696 20 0 DDADMM CCOCCOC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000058710669 696305675 /nfs/dbraw/zinc/30/56/75/696305675.db2.gz MWTPWJLURCMIIA-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCc1ccno1 ZINC000062294858 696323040 /nfs/dbraw/zinc/32/30/40/696323040.db2.gz MCKYSFVTZOHXNU-UHFFFAOYSA-N -1 1 310.331 1.793 20 0 DDADMM O=C(O[C@H]1CCC(=O)NC1=O)c1ccc(Cl)c(Cl)c1[O-] ZINC000798612838 700080366 /nfs/dbraw/zinc/08/03/66/700080366.db2.gz CJLURRUDCRKHEM-ZETCQYMHSA-N -1 1 318.112 1.661 20 0 DDADMM CCO[N-]C(=O)Cc1ccc(S(=O)(=O)N2CCCC2)s1 ZINC000073083292 696400362 /nfs/dbraw/zinc/40/03/62/696400362.db2.gz RCPQACIYKKTKLE-UHFFFAOYSA-N -1 1 318.420 1.143 20 0 DDADMM CCC(NC1CCCCCCC1)=C1C(=O)[N-]C(=S)NC1=O ZINC000073139117 696401181 /nfs/dbraw/zinc/40/11/81/696401181.db2.gz NUWDKTNZSFUZHL-UHFFFAOYSA-N -1 1 309.435 1.884 20 0 DDADMM CC(C)N(C)c1cccc(NC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080177776 696531395 /nfs/dbraw/zinc/53/13/95/696531395.db2.gz KWPDZOQZZZCNHQ-LBPRGKRZSA-N -1 1 320.418 1.817 20 0 DDADMM CC[C@@H]1c2ccccc2CCN1C(=O)Cc1sc(N)nc1[O-] ZINC000080293394 696532525 /nfs/dbraw/zinc/53/25/25/696532525.db2.gz IWNIBFWVSHGSDV-CHWSQXEVSA-N -1 1 317.414 1.869 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H](Cc2ccccc2)C2CC2)s1 ZINC000080387164 696533978 /nfs/dbraw/zinc/53/39/78/696533978.db2.gz POGUPTOMOLSFFF-CHWSQXEVSA-N -1 1 317.414 1.471 20 0 DDADMM CCS(=O)(=O)c1ccc(F)c(C(=O)[N-]c2nonc2C)c1 ZINC000112010710 696647762 /nfs/dbraw/zinc/64/77/62/696647762.db2.gz IVDGVTAFQJBQFN-UHFFFAOYSA-N -1 1 313.310 1.563 20 0 DDADMM C[C@@H](c1ccc(F)cc1)N(C)C(=O)Cc1sc(N)nc1[O-] ZINC000120838924 696702114 /nfs/dbraw/zinc/70/21/14/696702114.db2.gz NKSRSYMPEQNWIR-GZMMTYOYSA-N -1 1 309.366 1.692 20 0 DDADMM O=C(c1cccc(C2CCC2)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000798960819 700106588 /nfs/dbraw/zinc/10/65/88/700106588.db2.gz MQOUONHHQHEWGG-AWEZNQCLSA-N -1 1 313.361 1.681 20 0 DDADMM O=C(Cc1cc2ccccc2[nH]c1=O)[N-]OCc1cccnc1 ZINC000153300803 696913430 /nfs/dbraw/zinc/91/34/30/696913430.db2.gz SVGSBSXWDSHPJP-UHFFFAOYSA-N -1 1 309.325 1.714 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)c2ccco2)C1)c1ncccc1[O-] ZINC000982374430 697116866 /nfs/dbraw/zinc/11/68/66/697116866.db2.gz KASWGWKDKYDCDM-NSHDSACASA-N -1 1 315.329 1.272 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2cccc(SC)c2)C1 ZINC000748769407 700133770 /nfs/dbraw/zinc/13/37/70/700133770.db2.gz NLIXHWWULGPYMV-LLVKDONJSA-N -1 1 324.402 1.456 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC12CC2 ZINC000982673267 697167609 /nfs/dbraw/zinc/16/76/09/697167609.db2.gz ODMOIMNEJDUZTI-NEPJUHHUSA-N -1 1 315.373 1.166 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)C2(CF)CC2)C1)c1ncccc1[O-] ZINC000983265912 697234804 /nfs/dbraw/zinc/23/48/04/697234804.db2.gz PXWYQOAWFAHBEU-NSHDSACASA-N -1 1 321.352 1.115 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)CC2CC2)C1 ZINC000983779969 697299310 /nfs/dbraw/zinc/29/93/10/697299310.db2.gz FUHIHHDFBOOMNH-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM COCCCCS(=O)(=O)[N-]C(=O)c1cccc2cn[nH]c21 ZINC000184565069 697504629 /nfs/dbraw/zinc/50/46/29/697504629.db2.gz SOYITRRZCNYIOD-UHFFFAOYSA-N -1 1 311.363 1.049 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)N1CCc2ccccc21)c1nn[n-]n1 ZINC000187262905 697543426 /nfs/dbraw/zinc/54/34/26/697543426.db2.gz WSQQIOPAOUNLDF-NEPJUHHUSA-N -1 1 314.393 1.213 20 0 DDADMM O=C(CCCCc1cn[nH]n1)[N-]S(=O)(=O)c1cccs1 ZINC000190515713 697592640 /nfs/dbraw/zinc/59/26/40/697592640.db2.gz IPMXFUALDURHJA-UHFFFAOYSA-N -1 1 314.392 1.084 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2ccc(F)cc2Cl)C1=O ZINC000192733428 697638103 /nfs/dbraw/zinc/63/81/03/697638103.db2.gz BOQULAZKQGUAQM-CYBMUJFWSA-N -1 1 313.716 1.844 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CCCCCC1 ZINC000986042542 697652851 /nfs/dbraw/zinc/65/28/51/697652851.db2.gz YDQOBBPKWSQGEM-WCQYABFASA-N -1 1 321.425 1.560 20 0 DDADMM O=C(c1cccc2cc[nH]c21)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773156129 697719740 /nfs/dbraw/zinc/71/97/40/697719740.db2.gz ZQYAQTSYDIUGTH-NSHDSACASA-N -1 1 314.374 1.611 20 0 DDADMM CCc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1 ZINC000773163183 697722183 /nfs/dbraw/zinc/72/21/83/697722183.db2.gz TXFOBPJBMQPLAG-LBPRGKRZSA-N -1 1 303.391 1.692 20 0 DDADMM Cc1ccccc1CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773165356 697722872 /nfs/dbraw/zinc/72/28/72/697722872.db2.gz WKRVIWJNRDMQQU-GFCCVEGCSA-N -1 1 303.391 1.367 20 0 DDADMM C[C@@H]([N-]C(=O)C(F)(F)C(F)F)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000226611021 697767071 /nfs/dbraw/zinc/76/70/71/697767071.db2.gz XFEFGVQMMFQGOE-RXMQYKEDSA-N -1 1 305.231 1.934 20 0 DDADMM CCC[C@H](C)CS(=O)(=O)[N-]C(=O)CCCCc1cn[nH]n1 ZINC000774097536 697843387 /nfs/dbraw/zinc/84/33/87/697843387.db2.gz WIRADRPJQGKGCY-NSHDSACASA-N -1 1 316.427 1.400 20 0 DDADMM CCCC(=O)N1CCC([C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC000986735606 697893911 /nfs/dbraw/zinc/89/39/11/697893911.db2.gz YZBCFVRUASOJNQ-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1c[nH]nc1C)c1ccccc1 ZINC000774906131 697940241 /nfs/dbraw/zinc/94/02/41/697940241.db2.gz VDPGOQXPQBJTCG-CYBMUJFWSA-N -1 1 323.374 1.301 20 0 DDADMM CC(=O)C(C)(C)CCC(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774971828 697949657 /nfs/dbraw/zinc/94/96/57/697949657.db2.gz MNMYKYUNUIOUIC-UHFFFAOYSA-N -1 1 311.300 1.994 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccccn1)c1cccc(F)c1F ZINC000775591895 698012589 /nfs/dbraw/zinc/01/25/89/698012589.db2.gz FONILODQXDMHBP-NSHDSACASA-N -1 1 314.313 1.372 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ccco2)CCN1C(=O)c1ncccc1[O-] ZINC000987232596 698053005 /nfs/dbraw/zinc/05/30/05/698053005.db2.gz AEEYYKJJJBSMQE-MNOVXSKESA-N -1 1 315.329 1.413 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2cc3c(cccc3F)s2)C1=O ZINC000776245391 698086984 /nfs/dbraw/zinc/08/69/84/698086984.db2.gz NLFNKFJZEFPARV-UHFFFAOYSA-N -1 1 307.306 1.579 20 0 DDADMM O=C(CCOc1ccccc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776562100 698117207 /nfs/dbraw/zinc/11/72/07/698117207.db2.gz AZXSGPWFFQJCMP-LBPRGKRZSA-N -1 1 319.390 1.285 20 0 DDADMM O=C(c1cccnc1Cl)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776566735 698118323 /nfs/dbraw/zinc/11/83/23/698118323.db2.gz XMGQVCMNIKNROV-MRVPVSSYSA-N -1 1 310.770 1.178 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987688107 698198825 /nfs/dbraw/zinc/19/88/25/698198825.db2.gz MIJQXCLRBCNCMS-USZNOCQGSA-N -1 1 303.362 1.162 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)c1cccnc1 ZINC000778598568 698351044 /nfs/dbraw/zinc/35/10/44/698351044.db2.gz BJDIGNWFRLCLQX-UHFFFAOYSA-N -1 1 313.423 1.399 20 0 DDADMM Cc1cc(Br)ccc1CC(=O)NCc1nn[n-]n1 ZINC000778734389 698363014 /nfs/dbraw/zinc/36/30/14/698363014.db2.gz RCZRMMXCAVPOSY-UHFFFAOYSA-N -1 1 310.155 1.130 20 0 DDADMM Cc1ncc(CN[C@H]2C[C@@H](C)N(C(=O)c3n[nH]c(C)c3[O-])C2)o1 ZINC000988633711 698424173 /nfs/dbraw/zinc/42/41/73/698424173.db2.gz MJVWKWRAGJZCKA-KCJUWKMLSA-N -1 1 319.365 1.113 20 0 DDADMM Cc1cc(CN[C@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)no1 ZINC000988687956 698436945 /nfs/dbraw/zinc/43/69/45/698436945.db2.gz ZUVQPQAJOFLARW-MFKMUULPSA-N -1 1 316.361 1.476 20 0 DDADMM O=C([O-])[C@H](NC(=O)Cc1[nH]nc2ccccc21)[C@H]1CCCOC1 ZINC000262704277 698478711 /nfs/dbraw/zinc/47/87/11/698478711.db2.gz BHOPXVQTDOFNAZ-ZUZCIYMTSA-N -1 1 317.345 1.101 20 0 DDADMM CO[C@@H]1C[C@H](CC(=O)[O-])N(C(=O)c2[nH]nc3ccccc32)C1 ZINC000263161010 698494038 /nfs/dbraw/zinc/49/40/38/698494038.db2.gz VMZJBYKUPKDAPD-NXEZZACHSA-N -1 1 303.318 1.267 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)NCc2n[nH]c(C3CC3)n2)cc1 ZINC000263396188 698504734 /nfs/dbraw/zinc/50/47/34/698504734.db2.gz RHTQZBIMSFDDPD-UHFFFAOYSA-N -1 1 315.333 1.380 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H](CCO)C1 ZINC000314149284 698686592 /nfs/dbraw/zinc/68/65/92/698686592.db2.gz QSPGPRMONYRKNU-LBPRGKRZSA-N -1 1 307.394 1.849 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1ccc(C(F)(F)F)nc1 ZINC000782453802 698726990 /nfs/dbraw/zinc/72/69/90/698726990.db2.gz IIIMTPGERVCMCN-UHFFFAOYSA-N -1 1 322.268 1.270 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)[C@@H]1CC[C@@H](C)CC1 ZINC000989698254 698732725 /nfs/dbraw/zinc/73/27/25/698732725.db2.gz WLHMIWNJHLVKLG-CYDGBPFRSA-N -1 1 321.425 1.416 20 0 DDADMM COCC[C@H](C)OC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000783027373 698799184 /nfs/dbraw/zinc/79/91/84/698799184.db2.gz WXHUKOOGRZJPMQ-QMMMGPOBSA-N -1 1 307.393 1.701 20 0 DDADMM O=C([N-]C1CN(C(=O)c2ncccc2C(F)(F)F)C1)C(F)F ZINC000990024394 698903446 /nfs/dbraw/zinc/90/34/46/698903446.db2.gz UWUCESBLTFXAAP-UHFFFAOYSA-N -1 1 323.221 1.306 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC[C@H]2CCCCO2)o1 ZINC000784957408 699049678 /nfs/dbraw/zinc/04/96/78/699049678.db2.gz VOSJCTGXBPSUIL-SNVBAGLBSA-N -1 1 317.363 1.304 20 0 DDADMM O=C(COC(=O)[C@@H]1CC12CCOCC2)[N-]C(=O)c1ccccc1 ZINC000786436612 699145940 /nfs/dbraw/zinc/14/59/40/699145940.db2.gz AMSOAIVJZYAFKV-ZDUSSCGKSA-N -1 1 317.341 1.303 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCC[C@@H]1CCCO1 ZINC000786734597 699164885 /nfs/dbraw/zinc/16/48/85/699164885.db2.gz LGCIZQGFGLLMBR-JTQLQIEISA-N -1 1 300.380 1.257 20 0 DDADMM Cn1ccnc1[C@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000436638138 699166566 /nfs/dbraw/zinc/16/65/66/699166566.db2.gz ZXVVICPBSYBZLA-ZDUSSCGKSA-N -1 1 313.357 1.715 20 0 DDADMM O=C(NC1CN(C(=O)C2CCCCC2)C1)c1ncccc1[O-] ZINC000990967751 699195198 /nfs/dbraw/zinc/19/51/98/699195198.db2.gz BPLPYONUESHJHS-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM Cc1ccsc1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990968994 699195494 /nfs/dbraw/zinc/19/54/94/699195494.db2.gz GFPHJQMTQMXIKO-UHFFFAOYSA-N -1 1 317.370 1.412 20 0 DDADMM O=C(C[C@@H]1CC[C@H]2C[C@H]21)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990976521 699197392 /nfs/dbraw/zinc/19/73/92/699197392.db2.gz GBUDKMNRWWNSTL-GMXVVIOVSA-N -1 1 315.373 1.164 20 0 DDADMM CCN(CCS(=O)(=O)c1ccc(Cl)cc1)[C@@H](C)C(=O)[O-] ZINC000707990289 699248416 /nfs/dbraw/zinc/24/84/16/699248416.db2.gz XHXDMSOREZMTTH-JTQLQIEISA-N -1 1 319.810 1.909 20 0 DDADMM O=C(NCC[C@H]1CCCS1(=O)=O)c1c([O-])cccc1F ZINC000714376890 699271442 /nfs/dbraw/zinc/27/14/42/699271442.db2.gz QGVKQGLKAUOQGJ-SECBINFHSA-N -1 1 301.339 1.228 20 0 DDADMM COC(=O)C1CCC([N-]S(=O)(=O)c2ccns2)CC1 ZINC000717771471 699291135 /nfs/dbraw/zinc/29/11/35/699291135.db2.gz KDTKMZAANTWEIO-UHFFFAOYSA-N -1 1 304.393 1.153 20 0 DDADMM O=C([O-])CC1CC(NS(=O)(=O)c2c(F)cccc2F)C1 ZINC000718117337 699293162 /nfs/dbraw/zinc/29/31/62/699293162.db2.gz YBKPJPRZGCCPDE-UHFFFAOYSA-N -1 1 305.302 1.496 20 0 DDADMM CCOCc1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000727856003 699428767 /nfs/dbraw/zinc/42/87/67/699428767.db2.gz TYRAACSLLJCFCK-UHFFFAOYSA-N -1 1 317.345 1.102 20 0 DDADMM CCCOC(=O)CCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000730286875 699506964 /nfs/dbraw/zinc/50/69/64/699506964.db2.gz APQRXQSBKXVITP-UHFFFAOYSA-N -1 1 317.345 1.717 20 0 DDADMM CCCOC(=O)CCNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000730286875 699506965 /nfs/dbraw/zinc/50/69/65/699506965.db2.gz APQRXQSBKXVITP-UHFFFAOYSA-N -1 1 317.345 1.717 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cc(C(=O)N(C)C)ccc1C ZINC000732217368 699550868 /nfs/dbraw/zinc/55/08/68/699550868.db2.gz STPCGWKULNJLJY-UHFFFAOYSA-N -1 1 323.374 1.496 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCC2(CCCCC2)C1 ZINC000732306455 699554841 /nfs/dbraw/zinc/55/48/41/699554841.db2.gz UZZHAOQMOSEQRS-UHFFFAOYSA-N -1 1 319.405 1.500 20 0 DDADMM CCOc1cc(C)ccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000733132627 699579886 /nfs/dbraw/zinc/57/98/86/699579886.db2.gz BLYUFOPKNXJFKI-UHFFFAOYSA-N -1 1 317.345 1.273 20 0 DDADMM CCOc1cc(F)ccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000733132408 699579926 /nfs/dbraw/zinc/57/99/26/699579926.db2.gz MCJBUYZETKHYSE-UHFFFAOYSA-N -1 1 321.308 1.103 20 0 DDADMM CC(C)[C@H]1CCCC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000733148224 699580766 /nfs/dbraw/zinc/58/07/66/699580766.db2.gz ZRKQVUDLGRXNIU-CHWSQXEVSA-N -1 1 307.394 1.258 20 0 DDADMM CC[C@@H](C)[C@H](C)N(C(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC000733152680 699580907 /nfs/dbraw/zinc/58/09/07/699580907.db2.gz ZXWXMEYCYGNBSM-NEPJUHHUSA-N -1 1 307.394 1.352 20 0 DDADMM COCCCOC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000733472259 699598667 /nfs/dbraw/zinc/59/86/67/699598667.db2.gz SKRZBFAAGLWKBP-UHFFFAOYSA-N -1 1 307.350 1.564 20 0 DDADMM Cc1cnc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000791089701 699611016 /nfs/dbraw/zinc/61/10/16/699611016.db2.gz QCNRVQVAPOHIME-UHFFFAOYSA-N -1 1 302.363 1.428 20 0 DDADMM CCNc1ccc(Cl)cc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000791536561 699639867 /nfs/dbraw/zinc/63/98/67/699639867.db2.gz SAQDRIPOEFNGEU-UHFFFAOYSA-N -1 1 310.741 1.551 20 0 DDADMM CC(C)(C)NC(=O)CCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000735624121 699698380 /nfs/dbraw/zinc/69/83/80/699698380.db2.gz UPVOOSPUPXJSPO-UHFFFAOYSA-N -1 1 323.788 1.632 20 0 DDADMM CC(C)(C)NC(=O)CCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000735624121 699698381 /nfs/dbraw/zinc/69/83/81/699698381.db2.gz UPVOOSPUPXJSPO-UHFFFAOYSA-N -1 1 323.788 1.632 20 0 DDADMM Cc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c(Cl)n1 ZINC000736160198 699719525 /nfs/dbraw/zinc/71/95/25/699719525.db2.gz MQXSIYFRFKAIBF-UHFFFAOYSA-N -1 1 306.757 1.756 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]C(=O)c1ccc2cncn2c1 ZINC000793109042 699733193 /nfs/dbraw/zinc/73/31/93/699733193.db2.gz IOIVQSBHZOIQHS-UHFFFAOYSA-N -1 1 320.330 1.058 20 0 DDADMM CCCCS(=O)(=O)[N-]C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000793111186 699733523 /nfs/dbraw/zinc/73/35/23/699733523.db2.gz CMEGSQOOBDNBPI-UHFFFAOYSA-N -1 1 308.363 1.331 20 0 DDADMM Cc1cnn(C2CN(c3ccc(Cl)c(-c4nnn[n-]4)n3)C2)c1 ZINC000737445207 699740392 /nfs/dbraw/zinc/74/03/92/699740392.db2.gz QAJXUHRDTYMKFZ-UHFFFAOYSA-N -1 1 316.756 1.481 20 0 DDADMM Cc1cnn(C2CN(c3ccc(Cl)c(-c4nn[n-]n4)n3)C2)c1 ZINC000737445207 699740394 /nfs/dbraw/zinc/74/03/94/699740394.db2.gz QAJXUHRDTYMKFZ-UHFFFAOYSA-N -1 1 316.756 1.481 20 0 DDADMM Cc1ccc(C[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)s1 ZINC000737946005 699748388 /nfs/dbraw/zinc/74/83/88/699748388.db2.gz ZNQOIOWCFZJUAK-SNVBAGLBSA-N -1 1 321.402 1.044 20 0 DDADMM COc1cccc([C@@H](CC(=O)[O-])NC(=O)[C@H]2CCCN2C)c1 ZINC000738115166 699752744 /nfs/dbraw/zinc/75/27/44/699752744.db2.gz OTVGVUSMXARQFI-ZIAGYGMSSA-N -1 1 306.362 1.421 20 0 DDADMM Cc1c(C(=O)[O-])sc2ncnc(N[C@H](CCO)C3CC3)c12 ZINC000738188901 699754882 /nfs/dbraw/zinc/75/48/82/699754882.db2.gz LVQZKBFBFCIBIU-SECBINFHSA-N -1 1 307.375 1.693 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000739608926 699776681 /nfs/dbraw/zinc/77/66/81/699776681.db2.gz RNTHVMQEEPYCKJ-GJZGRUSLSA-N -1 1 319.405 1.275 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2CC=CC2)cc1 ZINC000741044298 699813749 /nfs/dbraw/zinc/81/37/49/699813749.db2.gz NOUQBHRXXRGNTB-UHFFFAOYSA-N -1 1 303.314 1.461 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)[C@H]2CCc3ccccc32)n1 ZINC000795469463 699870513 /nfs/dbraw/zinc/87/05/13/699870513.db2.gz NIRJCBMWWCFLBE-LBPRGKRZSA-N -1 1 306.343 1.658 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCCC1CCCC1 ZINC000742880729 699893257 /nfs/dbraw/zinc/89/32/57/699893257.db2.gz QBKOKQFUMOGUJI-UHFFFAOYSA-N -1 1 307.394 1.403 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C)C(=O)N2CCC(C)CC2)[n-]1 ZINC000796365961 699929562 /nfs/dbraw/zinc/92/95/62/699929562.db2.gz OKYFCMCDECIMMW-LLVKDONJSA-N -1 1 322.361 1.605 20 0 DDADMM O=C([N-]S(=O)(=O)CC(F)(F)F)c1cccc2cn[nH]c21 ZINC000744268266 699942965 /nfs/dbraw/zinc/94/29/65/699942965.db2.gz RRMBJEYCHZDZQL-UHFFFAOYSA-N -1 1 307.253 1.185 20 0 DDADMM CC[C@@H](OC(=O)c1nn(-c2ccc(C)cc2)cc1[O-])C(=O)OC ZINC000801369971 700301258 /nfs/dbraw/zinc/30/12/58/700301258.db2.gz DVZQKFDTVIEVBK-CYBMUJFWSA-N -1 1 318.329 1.995 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCC(=O)N(C)C)n2)cc1 ZINC000801371907 700301641 /nfs/dbraw/zinc/30/16/41/700301641.db2.gz PNQDOSVFCHOCDJ-UHFFFAOYSA-N -1 1 303.318 1.131 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C[C@H]3OC(=O)c4ccccc43)ccnc1-2 ZINC000801701633 700331989 /nfs/dbraw/zinc/33/19/89/700331989.db2.gz CULSDOSEIBTDNW-CQSZACIVSA-N -1 1 322.324 1.582 20 0 DDADMM Cn1[n-]c(CN2CCC([C@H](O)c3ccc(F)cc3)CC2)nc1=O ZINC000754029121 700477596 /nfs/dbraw/zinc/47/75/96/700477596.db2.gz HFSMMWRXEWTYGL-OAHLLOKOSA-N -1 1 320.368 1.193 20 0 DDADMM Nc1ccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c(F)c1 ZINC000754955302 700540044 /nfs/dbraw/zinc/54/00/44/700540044.db2.gz IOAFPEOPYMWOPT-UHFFFAOYSA-N -1 1 316.288 1.521 20 0 DDADMM O=S(=O)([N-]Cc1cocn1)c1ccc(Br)o1 ZINC000759022961 700734549 /nfs/dbraw/zinc/73/45/49/700734549.db2.gz MLYUXQRPGKUHRK-UHFFFAOYSA-N -1 1 307.125 1.509 20 0 DDADMM CC1CCC(N2C[C@@H](C(=O)[N-]OCC(F)F)CC2=O)CC1 ZINC000759336479 700747093 /nfs/dbraw/zinc/74/70/93/700747093.db2.gz JNLNAKXPXDFGOU-YVNMAJEFSA-N -1 1 304.337 1.727 20 0 DDADMM Cc1cc(N2C[C@@H](C(=O)[N-]OCC(F)F)CC2=O)ccc1F ZINC000759351047 700748198 /nfs/dbraw/zinc/74/81/98/700748198.db2.gz CAIQGHPBULLFMY-VIFPVBQESA-N -1 1 316.279 1.800 20 0 DDADMM CCc1nnc(C)cc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000759706667 700767119 /nfs/dbraw/zinc/76/71/19/700767119.db2.gz PMPUESANPKHGFN-UHFFFAOYSA-N -1 1 319.283 1.573 20 0 DDADMM COCCC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCC1 ZINC000761482533 700861769 /nfs/dbraw/zinc/86/17/69/700861769.db2.gz LAKNCHDUQLEZFX-UHFFFAOYSA-N -1 1 319.357 1.693 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cccc2Cl)CC[S@@](=O)C1 ZINC000762548938 700897805 /nfs/dbraw/zinc/89/78/05/700897805.db2.gz SVGOPVJEIYETJY-AYLIAGHASA-N -1 1 301.795 1.886 20 0 DDADMM CCOc1cc(C(=O)NCC[S@](C)=O)cc(Cl)c1[O-] ZINC000762598635 700899933 /nfs/dbraw/zinc/89/99/33/700899933.db2.gz RYOPFHGZBFIDTE-IBGZPJMESA-N -1 1 305.783 1.553 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cccc2Cl)CCS(=O)(=O)C1 ZINC000762669950 700902188 /nfs/dbraw/zinc/90/21/88/700902188.db2.gz DRRKJWYASLFFRS-SECBINFHSA-N -1 1 317.794 1.552 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(C(F)(F)F)cc2)nc1=O ZINC000765390127 701009463 /nfs/dbraw/zinc/00/94/63/701009463.db2.gz OTQIQOPTBDUCNG-UHFFFAOYSA-N -1 1 301.224 1.484 20 0 DDADMM COc1ccccc1C/C(C)=C/C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765475293 701014674 /nfs/dbraw/zinc/01/46/74/701014674.db2.gz YCKUZYFRFUZGQI-PKNBQFBNSA-N -1 1 317.345 1.349 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2C[C@H]2c2cc(F)ccc2F)nc1=O ZINC000765481030 701014957 /nfs/dbraw/zinc/01/49/57/701014957.db2.gz MBRHHLBEKPCVOT-WPRPVWTQSA-N -1 1 309.272 1.234 20 0 DDADMM C[C@@H](CC(=O)c1cccc(F)c1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765490279 701015755 /nfs/dbraw/zinc/01/57/55/701015755.db2.gz ZCNSZQWEGXVMKD-VIFPVBQESA-N -1 1 321.308 1.200 20 0 DDADMM CCCOc1cccc(CC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765495573 701016189 /nfs/dbraw/zinc/01/61/89/701016189.db2.gz TYHXNDUHIXHOQI-UHFFFAOYSA-N -1 1 305.334 1.183 20 0 DDADMM Cc1c(C(=O)OCc2nc(=O)n(C)[n-]2)cnn1C1CCCCC1 ZINC000765516850 701017458 /nfs/dbraw/zinc/01/74/58/701017458.db2.gz XPYICMWFHAAICD-UHFFFAOYSA-N -1 1 319.365 1.476 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)cc2)nc1)c1cncc([O-])c1 ZINC000803106847 701082025 /nfs/dbraw/zinc/08/20/25/701082025.db2.gz DPJYUZYNOZZUMZ-UHFFFAOYSA-N -1 1 308.297 1.585 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2Cc3ccccc32)co1 ZINC000803408025 701111593 /nfs/dbraw/zinc/11/15/93/701111593.db2.gz WJLQODXEXYBQKE-LLVKDONJSA-N -1 1 321.354 1.684 20 0 DDADMM CC(C)(C)[C@@H](O)C[C@H](CO)NC(=O)c1c([O-])cccc1Cl ZINC000803564546 701127790 /nfs/dbraw/zinc/12/77/90/701127790.db2.gz NRHZRXQQGMYKSK-SKDRFNHKSA-N -1 1 315.797 1.933 20 0 DDADMM O=C(N[C@H](CO)C[C@H](O)c1ccccc1)c1ccc([O-])c(F)c1 ZINC000768321317 701169013 /nfs/dbraw/zinc/16/90/13/701169013.db2.gz QOOUDRBGNDGUOX-BBRMVZONSA-N -1 1 319.332 1.746 20 0 DDADMM COc1ccc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)nc1 ZINC000768878485 701213364 /nfs/dbraw/zinc/21/33/64/701213364.db2.gz MVHFJCYJCCNMOA-UHFFFAOYSA-N -1 1 316.361 1.948 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2cnc(Cl)c(F)c2)o1 ZINC000805034918 701226713 /nfs/dbraw/zinc/22/67/13/701226713.db2.gz YSEFZTFNQXPVNM-RXMQYKEDSA-N -1 1 320.733 1.605 20 0 DDADMM Cn1ncc(C[N-]S(=O)(=O)c2cc(Cl)ccc2F)n1 ZINC000805100469 701231818 /nfs/dbraw/zinc/23/18/18/701231818.db2.gz AOUUILGEXORJDT-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM COc1ccc(NC(=O)CC2COC2)cc1[N-]S(C)(=O)=O ZINC000771614138 701325494 /nfs/dbraw/zinc/32/54/94/701325494.db2.gz XEGXFBCCQPULCW-UHFFFAOYSA-N -1 1 314.363 1.042 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)NCc1ccc(Cl)cc1 ZINC000805604408 701398070 /nfs/dbraw/zinc/39/80/70/701398070.db2.gz IBHVKEQQADJZII-MRVPVSSYSA-N -1 1 308.725 1.320 20 0 DDADMM O=C(O[C@@H]1CCN(c2ccc(Cl)c(F)c2)C1=O)c1cn[n-]n1 ZINC000805605314 701398421 /nfs/dbraw/zinc/39/84/21/701398421.db2.gz ICCLRWSPLUBLIL-LLVKDONJSA-N -1 1 324.699 1.560 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000806488156 701437438 /nfs/dbraw/zinc/43/74/38/701437438.db2.gz MTVWLXZJCRNAFS-UHFFFAOYSA-N -1 1 324.344 1.944 20 0 DDADMM CS[C@H]1CC[C@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806492305 701437957 /nfs/dbraw/zinc/43/79/57/701437957.db2.gz DYYXAWSAULJCOC-UWVGGRQHSA-N -1 1 305.407 1.747 20 0 DDADMM CN(CC1CCOCC1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806492970 701438001 /nfs/dbraw/zinc/43/80/01/701438001.db2.gz RLUQRKOHCNYXEM-UHFFFAOYSA-N -1 1 303.366 1.232 20 0 DDADMM O=C(N[C@@H](CCO)c1cccs1)C(=O)c1ccc([O-])cc1 ZINC000807176391 701467983 /nfs/dbraw/zinc/46/79/83/701467983.db2.gz QCKURPOPGNVNRV-LBPRGKRZSA-N -1 1 305.355 1.876 20 0 DDADMM COC(=O)C1CC([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000867771623 701741084 /nfs/dbraw/zinc/74/10/84/701741084.db2.gz BDHBYRPKURMADU-UHFFFAOYSA-N -1 1 323.292 1.334 20 0 DDADMM Cn1cnnc1S(=O)(=O)[N-]C[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC000867780179 701745441 /nfs/dbraw/zinc/74/54/41/701745441.db2.gz OKUGKQPXTBCQGZ-DTWKUNHWSA-N -1 1 324.328 1.238 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)c1occ2c1CCC2 ZINC000830953256 706609995 /nfs/dbraw/zinc/60/99/95/706609995.db2.gz STWVNQHDHJUUKZ-UHFFFAOYSA-N -1 1 315.329 1.267 20 0 DDADMM O=C(C=Cc1ccsc1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830966354 706612905 /nfs/dbraw/zinc/61/29/05/706612905.db2.gz STQUELWLQOEGFH-OWOJBTEDSA-N -1 1 317.370 1.646 20 0 DDADMM Cc1cc(N2C[C@H](C(=O)[N-]OCC(C)(C)O)CC2=O)ccc1F ZINC000839745754 701807608 /nfs/dbraw/zinc/80/76/08/701807608.db2.gz SUPNYURTRNUDTK-LLVKDONJSA-N -1 1 324.352 1.306 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc3c(c2)C(C)(C)CO3)nc1=O ZINC000811336825 701963383 /nfs/dbraw/zinc/96/33/83/701963383.db2.gz VWANYEZMFLRJGL-UHFFFAOYSA-N -1 1 303.318 1.135 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCOC(=O)C3CC3)cnc2n1 ZINC000816518727 702105244 /nfs/dbraw/zinc/10/52/44/702105244.db2.gz LUIFCCQPLKDMHJ-UHFFFAOYSA-N -1 1 315.329 1.327 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCOC(=O)C1CC1)c2=O ZINC000816518727 702105248 /nfs/dbraw/zinc/10/52/48/702105248.db2.gz LUIFCCQPLKDMHJ-UHFFFAOYSA-N -1 1 315.329 1.327 20 0 DDADMM C[C@@H](CCC1CC1)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868508815 702167930 /nfs/dbraw/zinc/16/79/30/702167930.db2.gz OODLDBSFRSBUHA-JTQLQIEISA-N -1 1 320.393 1.633 20 0 DDADMM CCn1ncn([N-]C(=O)c2cc3c(s2)CC[C@H](C)C3)c1=O ZINC000816736567 702170489 /nfs/dbraw/zinc/17/04/89/702170489.db2.gz COUPLUWULIRPRJ-VIFPVBQESA-N -1 1 306.391 1.635 20 0 DDADMM CC(=O)N1C[C@@H]2CN(C(=O)c3cc(Cl)ccc3[O-])C[C@@H]2C1 ZINC000816742937 702175198 /nfs/dbraw/zinc/17/51/98/702175198.db2.gz XYXFXFGOTBKSNG-PHIMTYICSA-N -1 1 308.765 1.596 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000812399401 702175337 /nfs/dbraw/zinc/17/53/37/702175337.db2.gz NVOYZHPVTUOKDZ-QOHHWTFISA-N -1 1 300.664 1.198 20 0 DDADMM O=C(Cc1ccsc1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162468 706647425 /nfs/dbraw/zinc/64/74/25/706647425.db2.gz MOPYFARYXZDRPZ-UHFFFAOYSA-N -1 1 306.309 1.428 20 0 DDADMM Cc1ccccc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162360 706647543 /nfs/dbraw/zinc/64/75/43/706647543.db2.gz ILABAGCRGSRJBB-UHFFFAOYSA-N -1 1 300.280 1.746 20 0 DDADMM CC1(C)CC(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868789370 702321089 /nfs/dbraw/zinc/32/10/89/702321089.db2.gz CURKJJAVEUNFMF-UHFFFAOYSA-N -1 1 306.366 1.243 20 0 DDADMM CC(C)(C)c1cc(NCc2nc3c(c(=O)[n-]2)COCC3)ncn1 ZINC000866289044 706665808 /nfs/dbraw/zinc/66/58/08/706665808.db2.gz UDUMEJOIGLKWGZ-UHFFFAOYSA-N -1 1 315.377 1.955 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)/C=C\c1ccccc1F ZINC000841535012 702485326 /nfs/dbraw/zinc/48/53/26/702485326.db2.gz NIJNFKKAWWIMIB-QDZRJHCZSA-N -1 1 313.350 1.667 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C1CSC1 ZINC000869205544 702509112 /nfs/dbraw/zinc/50/91/12/702509112.db2.gz RAVLUGGJNGIUGS-VXNVDRBHSA-N -1 1 310.341 1.407 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC[C@H]1CC=CCC1 ZINC000869212612 702513068 /nfs/dbraw/zinc/51/30/68/702513068.db2.gz GIONPCNOWTVSKP-NSHDSACASA-N -1 1 318.377 1.410 20 0 DDADMM O=C(O[C@@H]1CCN(C2CCC2)C1=O)c1c([O-])cc(F)cc1F ZINC000869371681 702585709 /nfs/dbraw/zinc/58/57/09/702585709.db2.gz VKWOUWYGPFYZTM-GFCCVEGCSA-N -1 1 311.284 1.981 20 0 DDADMM CCC(CC)[C@@H](C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014750 702803291 /nfs/dbraw/zinc/80/32/91/702803291.db2.gz CBDHHTPKUMUPHD-GFCCVEGCSA-N -1 1 318.483 1.989 20 0 DDADMM CSCCCCC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843018328 702804227 /nfs/dbraw/zinc/80/42/27/702804227.db2.gz MZCZVBXNEBCUKH-UHFFFAOYSA-N -1 1 322.496 1.450 20 0 DDADMM CCCCOC(=O)[C@H](C)N(CCC(=O)[O-])C1CCOCC1 ZINC000844242049 702998085 /nfs/dbraw/zinc/99/80/85/702998085.db2.gz OZPZXVWHZCLFHM-LBPRGKRZSA-N -1 1 301.383 1.674 20 0 DDADMM CC[C@@H]1CO[C@@H](CC)CN1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000844649767 703051341 /nfs/dbraw/zinc/05/13/41/703051341.db2.gz CZBQDOYQEUUURH-NEPJUHHUSA-N -1 1 317.393 1.763 20 0 DDADMM CCO[N-]C(=O)CNCc1c(F)ccc(Br)c1F ZINC000846084535 703235843 /nfs/dbraw/zinc/23/58/43/703235843.db2.gz FKGXMKJNZCKXPI-UHFFFAOYSA-N -1 1 323.137 1.885 20 0 DDADMM CCO[N-]C(=O)CNCc1ccc(Sc2ccccn2)o1 ZINC000846085636 703235865 /nfs/dbraw/zinc/23/58/65/703235865.db2.gz JLJBHKGWVIGNDP-UHFFFAOYSA-N -1 1 307.375 1.983 20 0 DDADMM CCON(C)C(=O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000846506518 703286647 /nfs/dbraw/zinc/28/66/47/703286647.db2.gz KKIUWXFTZOSFMG-UHFFFAOYSA-N -1 1 320.267 1.551 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC[C@H](C)COC)co1 ZINC000847875200 703479515 /nfs/dbraw/zinc/47/95/15/703479515.db2.gz QFUXSRYWFJTAQV-VIFPVBQESA-N -1 1 305.352 1.017 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC(N2CN=NC2=O)CC1 ZINC000848017796 703495119 /nfs/dbraw/zinc/49/51/19/703495119.db2.gz HQZGUZGLXFNEOV-UHFFFAOYSA-N -1 1 322.752 1.820 20 0 DDADMM Cn1nnc2c1CC[C@@H](NC(=O)c1c([O-])cccc1Cl)C2 ZINC000848311902 703538493 /nfs/dbraw/zinc/53/84/93/703538493.db2.gz KHLRZCOMRPHGEO-MRVPVSSYSA-N -1 1 306.753 1.461 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@H]1CCSC1 ZINC000848340861 703540681 /nfs/dbraw/zinc/54/06/81/703540681.db2.gz HKMKZMJAKAICMV-OPRDCNLKSA-N -1 1 324.368 1.798 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)c1nccn1C ZINC000848595610 703567582 /nfs/dbraw/zinc/56/75/82/703567582.db2.gz MRJWTHNNROSNCS-RKDXNWHRSA-N -1 1 318.299 1.092 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)c2ccc3[nH]nnc3c2)CC12CCC2 ZINC000851609736 703815169 /nfs/dbraw/zinc/81/51/69/703815169.db2.gz NZDXLXKPVWRLHO-OAHLLOKOSA-N -1 1 318.308 1.377 20 0 DDADMM CC[C@@H](NC(C)=O)C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000869679633 703829182 /nfs/dbraw/zinc/82/91/82/703829182.db2.gz VNBRGEPQCZWNIU-CQSZACIVSA-N -1 1 307.346 1.595 20 0 DDADMM O=S(=O)([N-][C@H]1CCn2ccnc21)c1cc(F)c(F)cc1F ZINC000831739966 706767841 /nfs/dbraw/zinc/76/78/41/706767841.db2.gz UWNDVWKDUOBYCM-JTQLQIEISA-N -1 1 317.292 1.724 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ncc(C)o2)cc1C ZINC000851770119 703851093 /nfs/dbraw/zinc/85/10/93/703851093.db2.gz YSSUVGMNBVWBGD-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM C[C@@H](CC(=O)c1ccc(F)cc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000869851347 703865330 /nfs/dbraw/zinc/86/53/30/703865330.db2.gz UVLMCQYQYUFETP-VIFPVBQESA-N -1 1 321.308 1.200 20 0 DDADMM CC(C)(C)CNC(=O)CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879767745 706787129 /nfs/dbraw/zinc/78/71/29/706787129.db2.gz OIHZPUXNIZMYQQ-ZDUSSCGKSA-N -1 1 323.359 1.292 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CC1CCCC1 ZINC000852735276 704108826 /nfs/dbraw/zinc/10/88/26/704108826.db2.gz HALPSKLUTZNPAR-QWRGUYRKSA-N -1 1 322.327 1.773 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@]2(C)CCOC2=O)c(F)c1 ZINC000819882119 704180188 /nfs/dbraw/zinc/18/01/88/704180188.db2.gz QITGQBGDMHGMIF-LBPRGKRZSA-N -1 1 305.302 1.257 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H]2CCSC2)c1 ZINC000820003889 704201215 /nfs/dbraw/zinc/20/12/15/704201215.db2.gz IYHPQYWCCZPLBG-NSPYISDASA-N -1 1 300.401 1.852 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2nnc(C)o2)c1 ZINC000820058260 704212587 /nfs/dbraw/zinc/21/25/87/704212587.db2.gz FEYPJSNNUFFPHY-PVBMHHQDSA-N -1 1 324.358 1.799 20 0 DDADMM COCCN(CCF)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000853549918 704265975 /nfs/dbraw/zinc/26/59/75/704265975.db2.gz OWIUHRMNWLDHFP-UHFFFAOYSA-N -1 1 311.386 1.878 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C(C)(C)c1cccs1 ZINC000866800959 706806139 /nfs/dbraw/zinc/80/61/39/706806139.db2.gz DVSTVSNTOPHNKV-KRWDZBQOSA-N -1 1 310.466 1.935 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(Br)nc1 ZINC000821025656 704361066 /nfs/dbraw/zinc/36/10/66/704361066.db2.gz MQPPQEXJRMPREV-UHFFFAOYSA-N -1 1 311.143 1.319 20 0 DDADMM CCCOC(=O)[C@@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821128507 704374682 /nfs/dbraw/zinc/37/46/82/704374682.db2.gz WAVDYCKAYNEOLJ-SSDOTTSWSA-N -1 1 310.335 1.427 20 0 DDADMM CCCOC(=O)[C@@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821128507 704374683 /nfs/dbraw/zinc/37/46/83/704374683.db2.gz WAVDYCKAYNEOLJ-SSDOTTSWSA-N -1 1 310.335 1.427 20 0 DDADMM C[C@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1ccccn1 ZINC000854342147 704382005 /nfs/dbraw/zinc/38/20/05/704382005.db2.gz IWJRVZPIGKMTIJ-JTQLQIEISA-N -1 1 302.334 1.680 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@@H](O)Cc1ccccc1 ZINC000855133021 704470012 /nfs/dbraw/zinc/47/00/12/704470012.db2.gz NQJCRUWWOUDYFZ-ZDUSSCGKSA-N -1 1 309.362 1.370 20 0 DDADMM Cc1nnc(CN(C)C(=O)c2ccc3n[n-]c(=S)n3c2)s1 ZINC000856366138 704524858 /nfs/dbraw/zinc/52/48/58/704524858.db2.gz JZFAGENQMZMHKS-UHFFFAOYSA-N -1 1 320.403 1.450 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc[nH]c2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418008 704528152 /nfs/dbraw/zinc/52/81/52/704528152.db2.gz AIHKGVOYXCAAKG-SCZZXKLOSA-N -1 1 303.284 1.544 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(CCS(=O)(=O)C2CCCCC2)C1 ZINC000857083589 704550130 /nfs/dbraw/zinc/55/01/30/704550130.db2.gz FRBDPFCFAUJEOZ-OLZOCXBDSA-N -1 1 317.451 1.777 20 0 DDADMM C[C@@H]1C[C@H](C)CN(S(=O)(=O)NN=c2ncc(Cl)c[n-]2)C1 ZINC000857224275 704565720 /nfs/dbraw/zinc/56/57/20/704565720.db2.gz JCOCQCPVGHRKHX-DTORHVGOSA-N -1 1 319.818 1.269 20 0 DDADMM COCC1(C[N-]S(=O)(=O)N=S(C)(C)=O)CCCCC1 ZINC000867283289 706947662 /nfs/dbraw/zinc/94/76/62/706947662.db2.gz GOBBXEOCOWDMAH-UHFFFAOYSA-N -1 1 312.457 1.145 20 0 DDADMM CCc1noc(COC(=O)c2nn(-c3ccccc3)cc2[O-])n1 ZINC000858999772 704782627 /nfs/dbraw/zinc/78/26/27/704782627.db2.gz OLNFSPCQMKSJPX-UHFFFAOYSA-N -1 1 314.301 1.880 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1csc(C2CC2)n1)c1nn[n-]n1 ZINC000821895915 704837835 /nfs/dbraw/zinc/83/78/35/704837835.db2.gz YOZKVCXZRNQHDZ-QMMMGPOBSA-N -1 1 306.395 1.338 20 0 DDADMM CC[C@@H]1OCC[C@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867386094 706983784 /nfs/dbraw/zinc/98/37/84/706983784.db2.gz BMIOOXGOOVGGEP-SFYZADRCSA-N -1 1 308.762 1.720 20 0 DDADMM CN(C)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1Cl ZINC000874105604 704944129 /nfs/dbraw/zinc/94/41/29/704944129.db2.gz VIPMJFZAHREOOK-UHFFFAOYSA-N -1 1 308.773 1.881 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1nc[nH]c1Br)C(C)(C)C ZINC000867465325 707010279 /nfs/dbraw/zinc/01/02/79/707010279.db2.gz AYYIWXLEXRUQQD-ZCFIWIBFSA-N -1 1 310.217 1.885 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@H](c2c(F)cccc2F)C1 ZINC000867468244 707011678 /nfs/dbraw/zinc/01/16/78/707011678.db2.gz YVUKZFGGNIFPAK-VIFPVBQESA-N -1 1 307.304 1.427 20 0 DDADMM C[C@@H]1CN(c2ccccc2CN2CC[C@](F)(C(=O)[O-])C2)CCO1 ZINC000859809926 705010710 /nfs/dbraw/zinc/01/07/10/705010710.db2.gz AEXQXQXUAAGTPW-CXAGYDPISA-N -1 1 322.380 1.910 20 0 DDADMM CO[C@@H](CNC(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CCCC1 ZINC000823058299 705127176 /nfs/dbraw/zinc/12/71/76/705127176.db2.gz OIVADRSDAMOSBX-AWEZNQCLSA-N -1 1 317.393 1.669 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1([C@@H]2CCCCO2)CCOCC1 ZINC000823130585 705149007 /nfs/dbraw/zinc/14/90/07/705149007.db2.gz PAMHZYZGOIXUFA-NSHDSACASA-N -1 1 309.366 1.728 20 0 DDADMM O=S(=O)([N-][C@@]12C[C@@H]1CCCC2)c1nc[nH]c1Br ZINC000867627479 707057949 /nfs/dbraw/zinc/05/79/49/707057949.db2.gz XMOHFOPXPYECAS-XVKPBYJWSA-N -1 1 320.212 1.783 20 0 DDADMM CCc1[nH]nc(Cl)c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000860348175 705161849 /nfs/dbraw/zinc/16/18/49/705161849.db2.gz AMDLXEFVRIQFDP-ZETCQYMHSA-N -1 1 309.761 1.158 20 0 DDADMM O=Cc1c[nH]c2cc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)ccc12 ZINC000860349675 705162474 /nfs/dbraw/zinc/16/24/74/705162474.db2.gz QBYFJBYKBSOWBM-NSHDSACASA-N -1 1 324.344 1.513 20 0 DDADMM CC[C@H]([N-]C(=O)C(F)(F)c1cc(F)cc(F)c1)C(=O)NC ZINC000823463991 705248974 /nfs/dbraw/zinc/24/89/74/705248974.db2.gz PZFZKOTYBYHBNT-JTQLQIEISA-N -1 1 306.259 1.697 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC(Cc4ccco4)C3)ccnc1-2 ZINC000861025577 705352564 /nfs/dbraw/zinc/35/25/64/705352564.db2.gz QSUHZAVKJZHNRN-UHFFFAOYSA-N -1 1 311.345 1.641 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@]2(C)CCCOC2)o1 ZINC000861043607 705358572 /nfs/dbraw/zinc/35/85/72/705358572.db2.gz BXJNWSRVSZEURB-ZDUSSCGKSA-N -1 1 317.363 1.161 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000825029022 705581931 /nfs/dbraw/zinc/58/19/31/705581931.db2.gz UEAHBPVMNZSRAF-QWHCGFSZSA-N -1 1 315.329 1.716 20 0 DDADMM Cc1noc(C)c1CN(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000825441452 705671405 /nfs/dbraw/zinc/67/14/05/705671405.db2.gz SNJHJTZZVPWXQL-UHFFFAOYSA-N -1 1 317.374 1.895 20 0 DDADMM CC[C@@H]1CC[C@H](C)N1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876299998 705683104 /nfs/dbraw/zinc/68/31/04/705683104.db2.gz HMMHQDSVTYSYOK-WDEREUQCSA-N -1 1 320.393 1.727 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)CC2(C)C)c1-c1nnn[n-]1 ZINC000826343603 705792647 /nfs/dbraw/zinc/79/26/47/705792647.db2.gz HQNTZPNSDHCCPF-MRVPVSSYSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)CC2(C)C)c1-c1nn[n-]n1 ZINC000826343603 705792652 /nfs/dbraw/zinc/79/26/52/705792652.db2.gz HQNTZPNSDHCCPF-MRVPVSSYSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@@H]2CCCC[C@H]2C)c1-c1nnn[n-]1 ZINC000826343023 705792798 /nfs/dbraw/zinc/79/27/98/705792798.db2.gz JMVYAACDLSSLQT-BXKDBHETSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@@H]2CCCC[C@H]2C)c1-c1nn[n-]n1 ZINC000826343023 705792801 /nfs/dbraw/zinc/79/28/01/705792801.db2.gz JMVYAACDLSSLQT-BXKDBHETSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N2CCSC(C)(C)C2)c1-c1nnn[n-]1 ZINC000826345975 705793478 /nfs/dbraw/zinc/79/34/78/705793478.db2.gz ZKQQQYYBQUCVBJ-UHFFFAOYSA-N -1 1 322.394 1.060 20 0 DDADMM Cc1onc(CC(=O)N2CCSC(C)(C)C2)c1-c1nn[n-]n1 ZINC000826345975 705793479 /nfs/dbraw/zinc/79/34/79/705793479.db2.gz ZKQQQYYBQUCVBJ-UHFFFAOYSA-N -1 1 322.394 1.060 20 0 DDADMM O=C(Nc1cnn(CC2CC2)c1)c1ccc2n[n-]c(=S)n2c1 ZINC000826527315 705807831 /nfs/dbraw/zinc/80/78/31/705807831.db2.gz GNXGQHIQAVCZMC-UHFFFAOYSA-N -1 1 314.374 1.877 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)C(=O)OC(C)(C)C)n1 ZINC000863323355 705902109 /nfs/dbraw/zinc/90/21/09/705902109.db2.gz MDEXOAJIZRHCRR-UHFFFAOYSA-N -1 1 300.336 1.199 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000863759908 706003669 /nfs/dbraw/zinc/00/36/69/706003669.db2.gz NHCNDTYODDGMBT-NXEZZACHSA-N -1 1 319.361 1.033 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(=O)OC ZINC000827788353 706068150 /nfs/dbraw/zinc/06/81/50/706068150.db2.gz NCYCEVSKXKHJBS-SECBINFHSA-N -1 1 308.363 1.090 20 0 DDADMM O=C([N-]CCc1csc(NC[C@H]2CCCO2)n1)C(F)(F)F ZINC000864365973 706148164 /nfs/dbraw/zinc/14/81/64/706148164.db2.gz HSBLJVORKYXBNF-SECBINFHSA-N -1 1 323.340 1.955 20 0 DDADMM Cc1nc(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)[nH]c1C ZINC000877773702 706204667 /nfs/dbraw/zinc/20/46/67/706204667.db2.gz VJDKPWRENWJCND-LBPRGKRZSA-N -1 1 304.316 1.669 20 0 DDADMM Cc1ccc(-c2cn(Cc3nc4c(c(=O)[n-]3)COCC4)nn2)cc1 ZINC000864654311 706225592 /nfs/dbraw/zinc/22/55/92/706225592.db2.gz BKTOKZUESGXELM-UHFFFAOYSA-N -1 1 323.356 1.870 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCn1cccc1 ZINC000872458493 707423213 /nfs/dbraw/zinc/42/32/13/707423213.db2.gz KKMPWVOALFPAFH-SFHVURJKSA-N -1 1 307.441 1.219 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1[C@@H]2c3cc(Br)ccc3C[C@H]12 ZINC000865934813 706569251 /nfs/dbraw/zinc/56/92/51/706569251.db2.gz XJJPIYFSQNADRV-IVZWLZJFSA-N -1 1 320.150 1.487 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1[C@@H]2c3cc(Br)ccc3C[C@H]12 ZINC000865934813 706569253 /nfs/dbraw/zinc/56/92/53/706569253.db2.gz XJJPIYFSQNADRV-IVZWLZJFSA-N -1 1 320.150 1.487 20 0 DDADMM CCC(CC)(CO)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830795226 706581008 /nfs/dbraw/zinc/58/10/08/706581008.db2.gz HZFHSKPDUSAULG-GFCCVEGCSA-N -1 1 324.343 1.455 20 0 DDADMM COCCC(C)(C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807745 706583903 /nfs/dbraw/zinc/58/39/03/706583903.db2.gz PQMBTCBYNRZOAO-ZDUSSCGKSA-N -1 1 324.343 1.719 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@@H]2CCCCO2)C1 ZINC000830815479 706585122 /nfs/dbraw/zinc/58/51/22/706585122.db2.gz BWIOCYRVYFRXSY-GXFFZTMASA-N -1 1 322.327 1.615 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CC[C@H]2CCOC2)C1 ZINC000830816349 706585611 /nfs/dbraw/zinc/58/56/11/706585611.db2.gz OMYXHGQPIQLVOI-GWCFXTLKSA-N -1 1 322.327 1.473 20 0 DDADMM Cc1cc(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)c(C)[nH]1 ZINC000830834523 706589180 /nfs/dbraw/zinc/58/91/80/706589180.db2.gz ZENSDIRZJOQQSB-ZDUSSCGKSA-N -1 1 317.311 1.915 20 0 DDADMM Nc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)ccc1F ZINC000832054335 706833845 /nfs/dbraw/zinc/83/38/45/706833845.db2.gz MHBJLTZVMSMLQC-SECBINFHSA-N -1 1 306.297 1.516 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CCn2cccc2)c1C(F)(F)F ZINC000866922227 706845517 /nfs/dbraw/zinc/84/55/17/706845517.db2.gz SZSRIOKNFPSERX-UHFFFAOYSA-N -1 1 322.312 1.219 20 0 DDADMM CCCCC[C@@H]([N-]S(=O)(=O)CC1(OC)CCC1)C(=O)OC ZINC000866930455 706847535 /nfs/dbraw/zinc/84/75/35/706847535.db2.gz LQWWNUZIJFPYMS-GFCCVEGCSA-N -1 1 321.439 1.597 20 0 DDADMM CC(C)C1(C[N-]S(=O)(=O)c2nc[nH]c2Br)CC1 ZINC000866938550 706850544 /nfs/dbraw/zinc/85/05/44/706850544.db2.gz VJZPJPGXVVIFGD-UHFFFAOYSA-N -1 1 322.228 1.887 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000866985259 706864760 /nfs/dbraw/zinc/86/47/60/706864760.db2.gz FYKWQRKEOUHCAR-UHFFFAOYSA-N -1 1 306.334 1.455 20 0 DDADMM COC/C(C)=C\COC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000908991811 712910797 /nfs/dbraw/zinc/91/07/97/712910797.db2.gz DOJFYXDDCXCNCT-YHYXMXQVSA-N -1 1 319.361 1.730 20 0 DDADMM C[S@@](=N)(=O)N1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000832201470 706869075 /nfs/dbraw/zinc/86/90/75/706869075.db2.gz IAPQWPKUWGACII-FQEVSTJZSA-N -1 1 317.798 1.395 20 0 DDADMM CCC(CC)(CO)C[N-]S(=O)(=O)N=S(=O)(CC)CC ZINC000867004901 706869961 /nfs/dbraw/zinc/86/99/61/706869961.db2.gz JRCCMDGKPWWYFI-UHFFFAOYSA-N -1 1 314.473 1.127 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C(=O)c1ccc2cncn2c1 ZINC000909010131 712915536 /nfs/dbraw/zinc/91/55/36/712915536.db2.gz VYGMBEXDGZPJPP-GFCCVEGCSA-N -1 1 305.359 1.367 20 0 DDADMM CC(C)[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867051543 706882838 /nfs/dbraw/zinc/88/28/38/706882838.db2.gz DNQDUBIPDBGXOX-VHSXEESVSA-N -1 1 320.361 1.842 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](SC)C(C)(C)C ZINC000867091380 706893508 /nfs/dbraw/zinc/89/35/08/706893508.db2.gz JVFYRYWQMKFYJD-VVVCHXIZSA-N -1 1 316.514 1.716 20 0 DDADMM O=S(=O)([N-]CCC[C@H]1CCCCO1)c1ccc(F)nc1F ZINC000867107110 706898034 /nfs/dbraw/zinc/89/80/34/706898034.db2.gz IURYGDUYXWDBRJ-SNVBAGLBSA-N -1 1 320.361 1.987 20 0 DDADMM COCC1(CC[N-]S(=O)(=O)c2ccc(Cl)nc2F)CC1 ZINC000867446261 707003592 /nfs/dbraw/zinc/00/35/92/707003592.db2.gz IIWMOELHDQMKEJ-UHFFFAOYSA-N -1 1 322.789 1.969 20 0 DDADMM Cc1ccc(C(C)(C)C[N-]S(=O)(=O)N=S(C)(C)=O)nc1 ZINC000867558960 707039405 /nfs/dbraw/zinc/03/94/05/707039405.db2.gz DSDCYFQYCMDERM-UHFFFAOYSA-N -1 1 319.452 1.230 20 0 DDADMM CCOC=CC(=O)N[C@@H](CC(C)C)c1n[n-]c(C(=O)OCC)n1 ZINC000834687095 707103165 /nfs/dbraw/zinc/10/31/65/707103165.db2.gz MPNCJMGFPHOLGI-AEZGRPFRSA-N -1 1 324.381 1.735 20 0 DDADMM CCOC=CC(=O)N[C@@H](CC(C)C)c1nnc(C(=O)OCC)[n-]1 ZINC000834687095 707103168 /nfs/dbraw/zinc/10/31/68/707103168.db2.gz MPNCJMGFPHOLGI-AEZGRPFRSA-N -1 1 324.381 1.735 20 0 DDADMM CCO/C=C/C(=O)N[C@@H](CC(C)C)c1nc(C(=O)OCC)n[n-]1 ZINC000834687095 707103170 /nfs/dbraw/zinc/10/31/70/707103170.db2.gz MPNCJMGFPHOLGI-AEZGRPFRSA-N -1 1 324.381 1.735 20 0 DDADMM O=c1cc(Cn2cc(C3(F)COC3)nn2)c2ccc([O-])cc2o1 ZINC000881010639 707106592 /nfs/dbraw/zinc/10/65/92/707106592.db2.gz HJNZNHSAQPNGBV-UHFFFAOYSA-N -1 1 317.276 1.333 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CC[C@@H](CF)C1)c2=O ZINC000881666059 707316054 /nfs/dbraw/zinc/31/60/54/707316054.db2.gz SUURSQNOVGSAIZ-JTQLQIEISA-N -1 1 304.321 1.968 20 0 DDADMM C[C@@H](Cn1cccn1)[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872436310 707409067 /nfs/dbraw/zinc/40/90/67/707409067.db2.gz YNAXQVULIYAFRM-OVWNDWIMSA-N -1 1 322.456 1.002 20 0 DDADMM O=C(NCC[C@@H]1CCCS1(=O)=O)c1c([O-])cccc1Cl ZINC000881891783 707409295 /nfs/dbraw/zinc/40/92/95/707409295.db2.gz DQMIOQHFGSURCX-VIFPVBQESA-N -1 1 317.794 1.743 20 0 DDADMM C[C@@H]1SCC[C@H]1[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872499103 707448319 /nfs/dbraw/zinc/44/83/19/707448319.db2.gz DPJWZOQWIONYCP-BTKFHORUSA-N -1 1 314.498 1.611 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3CCCC3(F)F)ccnc1-2 ZINC000836576595 707483169 /nfs/dbraw/zinc/48/31/69/707483169.db2.gz XYHQQLOPXYYIGQ-SECBINFHSA-N -1 1 309.320 1.899 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)CC=C(Cl)Cl ZINC000882258493 707561390 /nfs/dbraw/zinc/56/13/90/707561390.db2.gz RVLLTIVRSRBTIV-QMMMGPOBSA-N -1 1 316.206 1.567 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@]2(c3ccc(F)cc3)CC2(C)C)nc1=O ZINC000873438308 707851482 /nfs/dbraw/zinc/85/14/82/707851482.db2.gz IQVBOHYQWLFDNW-INIZCTEOSA-N -1 1 319.336 1.659 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C(C)=C2CCC2)cc1 ZINC000838232936 707874435 /nfs/dbraw/zinc/87/44/35/707874435.db2.gz JZASMKAFAKWABT-UHFFFAOYSA-N -1 1 317.341 1.995 20 0 DDADMM O=C(NC[C@]1(O)CCOC1)c1ccc2ccc(O)cc2c1[O-] ZINC000897211132 708254194 /nfs/dbraw/zinc/25/41/94/708254194.db2.gz MLGJCNZSEHGDIS-MRXNPFEDSA-N -1 1 303.314 1.132 20 0 DDADMM C[C@H](C[S@@](C)=O)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897371757 708307810 /nfs/dbraw/zinc/30/78/10/708307810.db2.gz DVQIWXRONIJPER-AOUSDQRYSA-N -1 1 307.371 1.748 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)OC[C@@H]1C[C@H]2COC[C@H]2O1 ZINC000909464929 713025240 /nfs/dbraw/zinc/02/52/40/713025240.db2.gz APQRLTGTWNSRSK-COPLHBTASA-N -1 1 312.749 1.935 20 0 DDADMM O=S(=O)([N-]C[C@]1(O)CCCc2ccccc21)c1ccns1 ZINC000885024953 708446163 /nfs/dbraw/zinc/44/61/63/708446163.db2.gz HHZBGKHUDKPIOC-CQSZACIVSA-N -1 1 324.427 1.646 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@]1(C)[N-]S(=O)(=O)c1ccns1 ZINC000885076830 708464220 /nfs/dbraw/zinc/46/42/20/708464220.db2.gz FNBWMLVUSFQFHX-CABZTGNLSA-N -1 1 318.420 1.543 20 0 DDADMM COCC[C@@H](Cc1ccco1)[N-]S(=O)(=O)c1ccns1 ZINC000885100190 708472279 /nfs/dbraw/zinc/47/22/79/708472279.db2.gz DGTQXLVDBKTCGM-JTQLQIEISA-N -1 1 316.404 1.662 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCc1ccccc1C ZINC000912543557 713033456 /nfs/dbraw/zinc/03/34/56/713033456.db2.gz ZIVZOOGOCPHYLQ-UHFFFAOYSA-N -1 1 323.374 1.026 20 0 DDADMM C[C@H]1C(=O)N(C)CN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898108130 708545696 /nfs/dbraw/zinc/54/56/96/708545696.db2.gz VWOWOJBJNHNYSZ-VIFPVBQESA-N -1 1 300.314 1.511 20 0 DDADMM CCN1C[C@H](C(=O)Nc2cc(F)c([O-])cc2Cl)CC1=O ZINC000885674141 708594648 /nfs/dbraw/zinc/59/46/48/708594648.db2.gz ILMBKKWKFUKBPA-SSDOTTSWSA-N -1 1 300.717 1.992 20 0 DDADMM CS(=O)(=O)NCCC(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885674405 708594661 /nfs/dbraw/zinc/59/46/61/708594661.db2.gz OCDWAMIXGDSIMU-UHFFFAOYSA-N -1 1 310.734 1.063 20 0 DDADMM CN1C[C@@H](O)C[C@@]1(C)C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885679526 708596277 /nfs/dbraw/zinc/59/62/77/708596277.db2.gz BTBJOBFFHGZAOY-CPFSXVBKSA-N -1 1 302.733 1.578 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000885774923 708625405 /nfs/dbraw/zinc/62/54/05/708625405.db2.gz ZIRBRUGFUSUULS-VXNVDRBHSA-N -1 1 305.302 1.052 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1sccc1Cl)C(N)=O ZINC000885823036 708633097 /nfs/dbraw/zinc/63/30/97/708633097.db2.gz MXXHCEYVRIRWMO-XPUUQOCRSA-N -1 1 310.828 1.580 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)C(F)(F)F)c1cccc(Cl)c1 ZINC000885828330 708634356 /nfs/dbraw/zinc/63/43/56/708634356.db2.gz GPVPLDWETKBWDP-VIFPVBQESA-N -1 1 317.716 1.932 20 0 DDADMM Cn1[n-]c(COC(=O)C[C@H]2CCc3sccc3C2=O)nc1=O ZINC000885858433 708641382 /nfs/dbraw/zinc/64/13/82/708641382.db2.gz PAPTVJADBBVWIH-MRVPVSSYSA-N -1 1 321.358 1.049 20 0 DDADMM Cc1cnc(C(=O)N2CCC3(CC2)C[C@H](O)CCO3)c([O-])c1 ZINC000886108374 708699715 /nfs/dbraw/zinc/69/97/15/708699715.db2.gz NATOBJCFRGARSX-GFCCVEGCSA-N -1 1 306.362 1.242 20 0 DDADMM CN1C[C@@H](CCNC(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000927761010 713049047 /nfs/dbraw/zinc/04/90/47/713049047.db2.gz GKSMGAPBSJXGBU-NSHDSACASA-N -1 1 310.781 1.573 20 0 DDADMM COC(=O)C[C@H](C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266734 708732605 /nfs/dbraw/zinc/73/26/05/708732605.db2.gz WZSPCCYZSKWWNG-QMMMGPOBSA-N -1 1 301.289 1.528 20 0 DDADMM C[C@@H](C(=O)NCCc1c(F)cc([O-])cc1F)c1cncnc1 ZINC000886268182 708732898 /nfs/dbraw/zinc/73/28/98/708732898.db2.gz GLBSUDPMCUCKAL-SECBINFHSA-N -1 1 307.300 1.923 20 0 DDADMM O=C(CCc1cncnc1)NCCc1c(F)cc([O-])cc1F ZINC000886268556 708733086 /nfs/dbraw/zinc/73/30/86/708733086.db2.gz UUSLSQLUBTUQJL-UHFFFAOYSA-N -1 1 307.300 1.752 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccns2)c2c(n1)CCCC2 ZINC000886408391 708755348 /nfs/dbraw/zinc/75/53/48/708755348.db2.gz FBZIIWUCDZDGSA-UHFFFAOYSA-N -1 1 309.416 1.948 20 0 DDADMM C/C=C/C[C@H](CO)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927784744 713055362 /nfs/dbraw/zinc/05/53/62/713055362.db2.gz QUUKCWZEEQQIIZ-VMZHVLLKSA-N -1 1 314.332 1.839 20 0 DDADMM CC(C)C[C@@H]1CN(C)CCN1C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000886597505 708787918 /nfs/dbraw/zinc/78/79/18/708787918.db2.gz FZEWKWWOKXGBEQ-LLVKDONJSA-N -1 1 318.377 1.731 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCCc1c[nH][nH]c1=O ZINC000898745361 708853033 /nfs/dbraw/zinc/85/30/33/708853033.db2.gz PSGAGXWLAMGEDZ-SNVBAGLBSA-N -1 1 309.753 1.216 20 0 DDADMM CC(C)(CC(=O)NCc1nc([O-])cc(=O)[nH]1)CC(F)(F)F ZINC000898755355 708855868 /nfs/dbraw/zinc/85/58/68/708855868.db2.gz PNHFNTRAUDEPAI-UHFFFAOYSA-N -1 1 307.272 1.873 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1SCCc2sccc21 ZINC000898757884 708857044 /nfs/dbraw/zinc/85/70/44/708857044.db2.gz UEIMTPPTDVGIDK-LBPRGKRZSA-N -1 1 323.399 1.596 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1C[C@@]12CCc1ccccc12 ZINC000898757625 708857073 /nfs/dbraw/zinc/85/70/73/708857073.db2.gz RYNSWWWUCGHBAW-YVEFUNNKSA-N -1 1 311.341 1.408 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)NC[C@@H]2CCCO2)[n-]c1=O ZINC000899462995 709087682 /nfs/dbraw/zinc/08/76/82/709087682.db2.gz QZXNOBCGWFZFTO-WDEREUQCSA-N -1 1 322.365 1.216 20 0 DDADMM C[C@@H]1C[C@]2(CC[C@@H](CNC(=O)c3ccc([O-])c(F)c3)O2)CO1 ZINC000899480977 709094716 /nfs/dbraw/zinc/09/47/16/709094716.db2.gz VXMGARKNBXLSAN-RSAASHCRSA-N -1 1 309.337 1.988 20 0 DDADMM COC[C@@H](NC(=O)c1c(F)ccc([O-])c1F)[C@H]1CCCOC1 ZINC000899655162 709140647 /nfs/dbraw/zinc/14/06/47/709140647.db2.gz LEENLEXMBMGFFH-GXSJLCMTSA-N -1 1 315.316 1.842 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CC2(C1)CCNC(=O)O2 ZINC000888404187 709300805 /nfs/dbraw/zinc/30/08/05/709300805.db2.gz KZOCWSVLQYYLDO-UHFFFAOYSA-N -1 1 312.325 1.870 20 0 DDADMM Cc1cnc(C(=O)N2CCCS(=O)(=O)C[C@@H]2C2CC2)c([O-])c1 ZINC000888509637 709328060 /nfs/dbraw/zinc/32/80/60/709328060.db2.gz BAFYQEUNFYTMNM-GFCCVEGCSA-N -1 1 324.402 1.135 20 0 DDADMM CSCC[C@H](NC(=O)CCc1cscn1)c1nn[n-]n1 ZINC000912860201 713109410 /nfs/dbraw/zinc/10/94/10/713109410.db2.gz KZYTZDIDNBJHMA-VIFPVBQESA-N -1 1 312.424 1.199 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1C[C@@H]1c1ccccc1)c1nn[n-]n1 ZINC000912862786 713110833 /nfs/dbraw/zinc/11/08/33/713110833.db2.gz CMYXOAUVEYDZOH-AGIUHOORSA-N -1 1 317.418 1.914 20 0 DDADMM CSCC[C@H](NC(=O)COc1ccsc1)c1nn[n-]n1 ZINC000912862550 713110848 /nfs/dbraw/zinc/11/08/48/713110848.db2.gz PRASTMLYZBGBIO-VIFPVBQESA-N -1 1 313.408 1.251 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cccnc1C)c1nn[n-]n1 ZINC000912863010 713111356 /nfs/dbraw/zinc/11/13/56/713111356.db2.gz IOSIQQPJFFMYDN-FJOGCWAESA-N -1 1 318.406 1.527 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)c1cccs1 ZINC000909638038 709552301 /nfs/dbraw/zinc/55/23/01/709552301.db2.gz MJJKESDKQOBMNP-PRHODGIISA-N -1 1 305.359 1.518 20 0 DDADMM CC(C)[C@@](C)(CC(=O)[O-])NC(=O)c1ccc(CN(C)C)nc1 ZINC000909687622 709578434 /nfs/dbraw/zinc/57/84/34/709578434.db2.gz JHAVSWOZKOMOQQ-MRXNPFEDSA-N -1 1 307.394 1.762 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC000909698869 709584744 /nfs/dbraw/zinc/58/47/44/709584744.db2.gz NDAJVGPRJQDYQS-HNNXBMFYSA-N -1 1 308.382 1.477 20 0 DDADMM O=C([O-])C[C@H]1CCCN1C(=O)c1cn[nH]c1-c1ccccn1 ZINC000909738391 709601443 /nfs/dbraw/zinc/60/14/43/709601443.db2.gz SUQOCVIJZQIXFP-SNVBAGLBSA-N -1 1 300.318 1.551 20 0 DDADMM CCC[C@H](OC)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889785705 709634666 /nfs/dbraw/zinc/63/46/66/709634666.db2.gz HIYBLACDVGEATA-MNOVXSKESA-N -1 1 309.366 1.669 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2CCC=CO2)[n-]c1=O ZINC000889789619 709636934 /nfs/dbraw/zinc/63/69/34/709636934.db2.gz HXRMYDRRZPJGIC-QWRGUYRKSA-N -1 1 305.334 1.547 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CCn2cccc2)[n-]c1=O ZINC000889791707 709637915 /nfs/dbraw/zinc/63/79/15/709637915.db2.gz LIBKNXPHVGJHHH-LBPRGKRZSA-N -1 1 316.361 1.746 20 0 DDADMM CC(C)O[C@@H]1CCCN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000909874576 709664376 /nfs/dbraw/zinc/66/43/76/709664376.db2.gz HCJJNKMSPGLJHP-ZIAGYGMSSA-N -1 1 312.410 1.199 20 0 DDADMM CC(=O)N1CSC[C@H]1C(=O)Nc1cc([O-])c(F)cc1F ZINC000909980165 709716206 /nfs/dbraw/zinc/71/62/06/709716206.db2.gz YSCDLFWLQLFPSV-JTQLQIEISA-N -1 1 302.302 1.530 20 0 DDADMM CC1CCN(CC(=O)NCc2ccc(C(=O)[O-])cc2F)CC1 ZINC000909986269 709721172 /nfs/dbraw/zinc/72/11/72/709721172.db2.gz FKYWQGBKFHLGOL-UHFFFAOYSA-N -1 1 308.353 1.872 20 0 DDADMM C[C@H]1COC2(CCCC2)CN1C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909987859 709722508 /nfs/dbraw/zinc/72/25/08/709722508.db2.gz LXEYYCRJIQIIKT-UONOGXRCSA-N -1 1 324.421 1.343 20 0 DDADMM O=C([O-])[C@H]1CC[C@@H](c2ccccc2)N1C(=O)CN1CCCC1 ZINC000910104548 709773635 /nfs/dbraw/zinc/77/36/35/709773635.db2.gz XPZUMLPSFNGDCW-LSDHHAIUSA-N -1 1 302.374 1.899 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCSC[C@H](C(=O)[O-])C2)C1 ZINC000910147579 709791290 /nfs/dbraw/zinc/79/12/90/709791290.db2.gz NSLMRDSUWACMFZ-JLLWLGSASA-N -1 1 323.418 1.352 20 0 DDADMM CCCN1CCC[C@@H]1C(=O)N[C@H](C)c1ncc(C(=O)[O-])s1 ZINC000910154887 709793932 /nfs/dbraw/zinc/79/39/32/709793932.db2.gz GEUHQUVASKITEO-NXEZZACHSA-N -1 1 311.407 1.893 20 0 DDADMM Cc1ccn([C@@H](C)CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)n1 ZINC000910169665 709796002 /nfs/dbraw/zinc/79/60/02/709796002.db2.gz GDIIWFGMCRSZEG-KGLIPLIRSA-N -1 1 322.409 1.150 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C2Cc3ccccc3C2)CC1 ZINC000910170311 709796932 /nfs/dbraw/zinc/79/69/32/709796932.db2.gz JSOPTTQESTUDTI-CYBMUJFWSA-N -1 1 316.401 1.409 20 0 DDADMM CCCc1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)no1 ZINC000910180541 709800347 /nfs/dbraw/zinc/80/03/47/709800347.db2.gz LTZJCIYBDKFGGQ-UHFFFAOYSA-N -1 1 309.366 1.248 20 0 DDADMM C[C@@H]1C[C@H]1c1ccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)o1 ZINC000910245719 709837073 /nfs/dbraw/zinc/83/70/73/709837073.db2.gz NCTIMJQKZFUESA-YRGRVCCFSA-N -1 1 320.389 1.816 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)[C@H]2CCC[C@H]21 ZINC000910249566 709839924 /nfs/dbraw/zinc/83/99/24/709839924.db2.gz XZTNNFYKKISKNZ-YFCNSXCBSA-N -1 1 317.389 1.931 20 0 DDADMM CN(CCC(=O)OC(C)(C)C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901038399 709895314 /nfs/dbraw/zinc/89/53/14/709895314.db2.gz BGMATGQNLUSBTO-UHFFFAOYSA-N -1 1 321.377 1.863 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1N(C(=O)c1cc(C(F)(F)F)[nH]n1)CC2 ZINC000910393799 709921545 /nfs/dbraw/zinc/92/15/45/709921545.db2.gz GUKJZZPJRLRBJP-SKDRFNHKSA-N -1 1 317.267 1.898 20 0 DDADMM CO[C@](C)([C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC000910423138 709933790 /nfs/dbraw/zinc/93/37/90/709933790.db2.gz BNULLDTZKFDGMN-XXFAHNHDSA-N -1 1 305.378 1.993 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCC2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000901120690 709934563 /nfs/dbraw/zinc/93/45/63/709934563.db2.gz GZSRGCUWCWGQNB-LLVKDONJSA-N -1 1 303.362 1.891 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC[C@H]1CCC2(CCC2)CO1 ZINC000910542282 709991306 /nfs/dbraw/zinc/99/13/06/709991306.db2.gz KNNLTYBVXQXACA-UONOGXRCSA-N -1 1 324.421 1.249 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@@H](O)C(C)(C)O)c1 ZINC000901351172 710020727 /nfs/dbraw/zinc/02/07/27/710020727.db2.gz XEAOSDMQPGDMFF-SNVBAGLBSA-N -1 1 318.167 1.533 20 0 DDADMM CN(C)CCN(CC(=O)[O-])C(=O)CCCC(=O)c1ccccc1 ZINC000901422682 710034792 /nfs/dbraw/zinc/03/47/92/710034792.db2.gz SXGHTSGKUQQZFD-UHFFFAOYSA-N -1 1 320.389 1.514 20 0 DDADMM Cn1nc2c(c1CN1CCC3(C[C@H]3C(=O)[O-])CC1)CCCC2 ZINC000901499206 710057740 /nfs/dbraw/zinc/05/77/40/710057740.db2.gz HGCPWEMMNHDQMY-ZDUSSCGKSA-N -1 1 303.406 1.986 20 0 DDADMM Cn1cc(CN2CC[C@H](Oc3ccc(F)cc3)C2)c(C(=O)[O-])n1 ZINC000901552505 710073024 /nfs/dbraw/zinc/07/30/24/710073024.db2.gz TVERXZYUXMZKQM-AWEZNQCLSA-N -1 1 319.336 1.911 20 0 DDADMM CCN(CC)[C@H](C(=O)N1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1)c1ccccc1 ZINC000910799568 710080689 /nfs/dbraw/zinc/08/06/89/710080689.db2.gz XPMSYCPXVSZMJT-JJXSEGSLSA-N -1 1 316.401 1.859 20 0 DDADMM CCOC(=O)/C=C\CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000931568434 714130559 /nfs/dbraw/zinc/13/05/59/714130559.db2.gz GMFXUYBGKPOMJH-QMAVJUDZSA-N -1 1 308.300 1.249 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1C[C@@H](C)[C@H](CC(=O)[O-])C1 ZINC000910860256 710097978 /nfs/dbraw/zinc/09/79/78/710097978.db2.gz HOJGGITWMIZWLH-HTRCEHHLSA-N -1 1 319.283 1.920 20 0 DDADMM CCC[C@@H](CN(C)CC(=O)N1CCC[C@@H](C(=O)[O-])C1)OC ZINC000901621897 710099105 /nfs/dbraw/zinc/09/91/05/710099105.db2.gz BHJOEBQSHGXDAE-OLZOCXBDSA-N -1 1 300.399 1.057 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@H](CSC)C(=O)[O-])c1ccccc1 ZINC000910877850 710104784 /nfs/dbraw/zinc/10/47/84/710104784.db2.gz MNUQRASCALZFRR-OLZOCXBDSA-N -1 1 310.419 1.612 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NC/C=C/Cl)o1 ZINC000891211258 710125216 /nfs/dbraw/zinc/12/52/16/710125216.db2.gz MVHJOBCVWZLOOA-QPJJXVBHSA-N -1 1 320.798 1.839 20 0 DDADMM C[C@H](NC(=O)[C@@H]1CC[C@H]1C(=O)[O-])C1(N2CCOCC2)CCCC1 ZINC000901726092 710133419 /nfs/dbraw/zinc/13/34/19/710133419.db2.gz ZSSJMLZCMLAIEG-BFHYXJOUSA-N -1 1 324.421 1.247 20 0 DDADMM CC(C)NC(=O)CN(C)CC(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910978850 710138581 /nfs/dbraw/zinc/13/85/81/710138581.db2.gz AMLWAUICBJCMCC-UHFFFAOYSA-N -1 1 315.320 1.065 20 0 DDADMM CS(=O)(=O)N1CCC[C@@H]1C(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910981082 710139807 /nfs/dbraw/zinc/13/98/07/710139807.db2.gz KQBGSAMMKUKVMJ-SNVBAGLBSA-N -1 1 320.317 1.033 20 0 DDADMM COC1CCN(S(=O)(=O)[N-]c2c(C)noc2C(C)C)CC1 ZINC000901760892 710143430 /nfs/dbraw/zinc/14/34/30/710143430.db2.gz AFYBBNUBFQIXTN-UHFFFAOYSA-N -1 1 317.411 1.874 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])c1cn(C[C@@H]2CCOC2)nn1 ZINC000910990615 710143834 /nfs/dbraw/zinc/14/38/34/710143834.db2.gz DTJHSTNWKFGCOV-QMMMGPOBSA-N -1 1 324.287 1.551 20 0 DDADMM CC1(c2ccccc2)CC(NCc2cn(CC(=O)[O-])nn2)C1 ZINC000901802460 710151502 /nfs/dbraw/zinc/15/15/02/710151502.db2.gz JUQUHRHSUHTPKD-UHFFFAOYSA-N -1 1 300.362 1.573 20 0 DDADMM COCc1nc(NCc2ccc(C(=O)OC)cc2)cc(=O)[n-]1 ZINC000891419551 710195752 /nfs/dbraw/zinc/19/57/52/710195752.db2.gz XYFGFZXCIKTGLZ-UHFFFAOYSA-N -1 1 303.318 1.727 20 0 DDADMM O=C(Cc1ccc(F)cn1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891618148 710249851 /nfs/dbraw/zinc/24/98/51/710249851.db2.gz XTMHZTUVCVWCQS-UHFFFAOYSA-N -1 1 302.309 1.776 20 0 DDADMM COCc1nc(NCCNC(=O)c2ccccc2F)cc(=O)[n-]1 ZINC000891954337 710337211 /nfs/dbraw/zinc/33/72/11/710337211.db2.gz DFWBDEALWFHRMJ-UHFFFAOYSA-N -1 1 320.324 1.310 20 0 DDADMM COCc1nc(N[C@H](CO)c2ccccc2OC)cc(=O)[n-]1 ZINC000892563996 710459501 /nfs/dbraw/zinc/45/95/01/710459501.db2.gz ZFQNXFIYDNWZJN-LLVKDONJSA-N -1 1 305.334 1.483 20 0 DDADMM COCc1nc(N(C)Cc2ccc([S@](C)=O)cc2)cc(=O)[n-]1 ZINC000892836607 710510083 /nfs/dbraw/zinc/51/00/83/710510083.db2.gz NQLVBRNQXGZJOI-QFIPXVFZSA-N -1 1 321.402 1.702 20 0 DDADMM COCc1nc(N2CC[C@@]3(C2)OCc2ccccc23)cc(=O)[n-]1 ZINC000893225853 710574759 /nfs/dbraw/zinc/57/47/59/710574759.db2.gz OWRVREPJXJDKAX-KRWDZBQOSA-N -1 1 313.357 1.964 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@H]2CCN(C)[C@@H]2C)c1 ZINC000928434256 713193620 /nfs/dbraw/zinc/19/36/20/713193620.db2.gz WDAKCROJXSEGKA-DQCMRGAYSA-N -1 1 310.419 1.012 20 0 DDADMM Cc1ccc(O[C@@H](C)CNCc2cn(CC(=O)[O-])nn2)cc1 ZINC000902124546 710623473 /nfs/dbraw/zinc/62/34/73/710623473.db2.gz GAUYNOLTOLRGRS-LBPRGKRZSA-N -1 1 304.350 1.228 20 0 DDADMM C[C@H]1CN(CCCNC(=O)c2cccc(C(=O)[O-])n2)C[C@H](C)O1 ZINC000911150150 710655454 /nfs/dbraw/zinc/65/54/54/710655454.db2.gz FFNFKCQMMINJON-RYUDHWBXSA-N -1 1 321.377 1.009 20 0 DDADMM Cc1cc(C(=O)[O-])oc1C(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000911150210 710655479 /nfs/dbraw/zinc/65/54/79/710655479.db2.gz HITIKDNRZKXONH-RYUDHWBXSA-N -1 1 324.377 1.515 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)CC(C)(C)CC(=O)[O-])C[C@H](C)O1 ZINC000911150354 710655626 /nfs/dbraw/zinc/65/56/26/710655626.db2.gz IPZDXTTVFONYTR-BETUJISGSA-N -1 1 314.426 1.493 20 0 DDADMM CN(C)C(=O)[C@@H](Cc1ccccc1)NCc1ccoc1C(=O)[O-] ZINC000902238544 710675857 /nfs/dbraw/zinc/67/58/57/710675857.db2.gz JKWRJKUUIZZFFI-CQSZACIVSA-N -1 1 316.357 1.767 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1CC[C@@H]1C(=O)[O-])c1ccc(F)cc1 ZINC000911192386 710677263 /nfs/dbraw/zinc/67/72/63/710677263.db2.gz DBXAUDGWHIMMJY-RDBSUJKOSA-N -1 1 308.353 1.655 20 0 DDADMM COCC[N@H+]1CCCN(C(=O)c2cc(C)cc(C(=O)[O-])c2)CC1 ZINC000911212319 710688108 /nfs/dbraw/zinc/68/81/08/710688108.db2.gz XEQCIUZWORSGTD-UHFFFAOYSA-N -1 1 320.389 1.488 20 0 DDADMM COCCN1CCCN(C(=O)c2cc(C)cc(C(=O)[O-])c2)CC1 ZINC000911212319 710688112 /nfs/dbraw/zinc/68/81/12/710688112.db2.gz XEQCIUZWORSGTD-UHFFFAOYSA-N -1 1 320.389 1.488 20 0 DDADMM O=C([O-])CCN(Cc1cccnc1)C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000911272257 710721154 /nfs/dbraw/zinc/72/11/54/710721154.db2.gz NTFFNYMGXZUUOD-CHWSQXEVSA-N -1 1 314.345 1.412 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)C(C)(C)CCC(=O)[O-])C1 ZINC000911352049 710756558 /nfs/dbraw/zinc/75/65/58/710756558.db2.gz HYICAURXRVCAIL-GFCCVEGCSA-N -1 1 300.399 1.104 20 0 DDADMM O=C([O-])C1CCC(CC(=O)N[C@H]2CCc3nc[nH]c3C2)CC1 ZINC000911392869 710777064 /nfs/dbraw/zinc/77/70/64/710777064.db2.gz WDKMVWAOMCDQLR-MCIGGMRASA-N -1 1 305.378 1.664 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)c1cccc(OCC(=O)[O-])c1 ZINC000911569728 710859244 /nfs/dbraw/zinc/85/92/44/710859244.db2.gz GEWYXDTVAOXBHT-AWEZNQCLSA-N -1 1 320.389 1.706 20 0 DDADMM Cc1cc(C2CCN(C(=O)c3cc(C(=O)[O-])ccn3)CC2)n[nH]1 ZINC000911650501 710906219 /nfs/dbraw/zinc/90/62/19/710906219.db2.gz BOJVADKDMJHUAO-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H](C)C(=O)N1C ZINC000912004340 711112729 /nfs/dbraw/zinc/11/27/29/711112729.db2.gz SXLPNQQFFKVMNU-UTLUCORTSA-N -1 1 318.377 1.139 20 0 DDADMM O=C(c1ccc2ncsc2c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913493211 713236420 /nfs/dbraw/zinc/23/64/20/713236420.db2.gz ICDSTWCGHTVORS-JTQLQIEISA-N -1 1 316.346 1.023 20 0 DDADMM O=C([O-])c1cn([C@H]2CCCN(CCSC(F)(F)F)C2)nn1 ZINC000903604403 711220429 /nfs/dbraw/zinc/22/04/29/711220429.db2.gz VOSDMMWYFUQWIC-QMMMGPOBSA-N -1 1 324.328 1.866 20 0 DDADMM C[C@@H]1CCN(c2cc(=O)[nH]cn2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000894641992 711227054 /nfs/dbraw/zinc/22/70/54/711227054.db2.gz JIERFJPKFCSLRP-SFYZADRCSA-N -1 1 304.272 1.076 20 0 DDADMM CCOC(=O)c1cnc(C)c([N-]S(=O)(=O)CC2(F)CC2)c1 ZINC000903619865 711227373 /nfs/dbraw/zinc/22/73/73/711227373.db2.gz TXBZNTCPCOSTRC-UHFFFAOYSA-N -1 1 316.354 1.811 20 0 DDADMM O=C(Cc1cc2ccccc2o1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494283 713237343 /nfs/dbraw/zinc/23/73/43/713237343.db2.gz DJUARSGONSYBQJ-LBPRGKRZSA-N -1 1 313.317 1.089 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@H+]1CCOC[C@H](C)C1 ZINC000903632994 711230941 /nfs/dbraw/zinc/23/09/41/711230941.db2.gz CFFZAPASDIJPGK-CHWSQXEVSA-N -1 1 320.389 1.358 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCOC[C@H](C)C1 ZINC000903632994 711230944 /nfs/dbraw/zinc/23/09/44/711230944.db2.gz CFFZAPASDIJPGK-CHWSQXEVSA-N -1 1 320.389 1.358 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@H+]1CCO[C@H](C)CC1 ZINC000903633168 711230980 /nfs/dbraw/zinc/23/09/80/711230980.db2.gz NFTPJCGXGDHBTI-OLZOCXBDSA-N -1 1 320.389 1.500 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCO[C@H](C)CC1 ZINC000903633168 711230984 /nfs/dbraw/zinc/23/09/84/711230984.db2.gz NFTPJCGXGDHBTI-OLZOCXBDSA-N -1 1 320.389 1.500 20 0 DDADMM CC(C)(C)S(=O)(=O)CCNCc1ccc(C(=O)[O-])s1 ZINC000903678119 711243415 /nfs/dbraw/zinc/24/34/15/711243415.db2.gz HTVTWLJZVIANNZ-UHFFFAOYSA-N -1 1 305.421 1.749 20 0 DDADMM CC[C@H](COCC1CC1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000912294282 711264874 /nfs/dbraw/zinc/26/48/74/711264874.db2.gz BEQKGQAESDLHPF-GFCCVEGCSA-N -1 1 305.378 1.995 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@]2(C)CCC[C@H]2C)n[n-]1 ZINC000912363395 711292817 /nfs/dbraw/zinc/29/28/17/711292817.db2.gz NZKQQVXABGJUJG-HFBDOXOYSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@]2(C)CCC[C@H]2C)[n-]1 ZINC000912363395 711292819 /nfs/dbraw/zinc/29/28/19/711292819.db2.gz NZKQQVXABGJUJG-HFBDOXOYSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@]2(C)CCC[C@H]2C)n1 ZINC000912363395 711292821 /nfs/dbraw/zinc/29/28/21/711292821.db2.gz NZKQQVXABGJUJG-HFBDOXOYSA-N -1 1 308.382 1.985 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[n-]1 ZINC000913559789 713260523 /nfs/dbraw/zinc/26/05/23/713260523.db2.gz PHBWTZNWUKXFAR-OLZOCXBDSA-N -1 1 315.377 1.005 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)NCCc2c(F)cc([O-])cc2F)C(=O)O1 ZINC000896383991 711715769 /nfs/dbraw/zinc/71/57/69/711715769.db2.gz XXZKMZKTWFFGFY-JMCQJSRRSA-N -1 1 314.288 1.216 20 0 DDADMM COc1cccc(CC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913742249 713291753 /nfs/dbraw/zinc/29/17/53/713291753.db2.gz QBVYPSWLHMHTIU-UHFFFAOYSA-N -1 1 301.350 1.157 20 0 DDADMM O=C([C@H]1COc2ccccc2C1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742782 713292179 /nfs/dbraw/zinc/29/21/79/713292179.db2.gz UMKZUCYIODKGKB-CYBMUJFWSA-N -1 1 313.361 1.157 20 0 DDADMM COC1CCC(CC(=O)N2CCC(c3nn[n-]n3)CC2)CC1 ZINC000913743915 713292629 /nfs/dbraw/zinc/29/26/29/713292629.db2.gz QYAQRXPRXOYRON-UHFFFAOYSA-N -1 1 307.398 1.501 20 0 DDADMM CNc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1F ZINC000913746243 713293998 /nfs/dbraw/zinc/29/39/98/713293998.db2.gz YQPDTXMXPWPILG-UHFFFAOYSA-N -1 1 304.329 1.400 20 0 DDADMM Cc1cc(F)c(C(=O)N2CCC(c3nn[n-]n3)CC2)c(F)c1 ZINC000913746186 713294105 /nfs/dbraw/zinc/29/41/05/713294105.db2.gz VQBPYRYLEFHJGR-UHFFFAOYSA-N -1 1 307.304 1.806 20 0 DDADMM C[C@@]1(C(=O)N2CCC(c3nn[n-]n3)CC2)CCc2ccccc21 ZINC000913746467 713294343 /nfs/dbraw/zinc/29/43/43/713294343.db2.gz VNPUTULTWNSSJM-QGZVFWFLSA-N -1 1 311.389 1.810 20 0 DDADMM O=C(NC[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1cnc(C2CC2)[n-]c1=O ZINC000913798296 713302274 /nfs/dbraw/zinc/30/22/74/713302274.db2.gz KPEVNKHNQVJVRV-GVXVVHGQSA-N -1 1 303.362 1.747 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]c1ncccc1F ZINC000906061420 712234018 /nfs/dbraw/zinc/23/40/18/712234018.db2.gz IPWLNRCNTBVIBE-GOSISDBHSA-N -1 1 309.388 1.774 20 0 DDADMM O=C([N-]c1nnc(C2CC2)s1)c1coc2c1C(=O)NCCC2 ZINC000906292148 712285163 /nfs/dbraw/zinc/28/51/63/712285163.db2.gz CPXWUQKQEGTOBB-UHFFFAOYSA-N -1 1 318.358 1.937 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(C)(C)C2CC2)o1 ZINC000907454169 712575851 /nfs/dbraw/zinc/57/58/51/712575851.db2.gz GWTIETJEZHZQID-UHFFFAOYSA-N -1 1 301.364 1.781 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccco1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907471972 712580649 /nfs/dbraw/zinc/58/06/49/712580649.db2.gz KOBMINAYRXERQK-OPRDCNLKSA-N -1 1 305.363 1.213 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccccn1 ZINC000907475879 712582263 /nfs/dbraw/zinc/58/22/63/712582263.db2.gz AXLGWAPQOQXWLB-ONGXEEELSA-N -1 1 304.379 1.015 20 0 DDADMM CCCc1[nH]ccc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479806 712583221 /nfs/dbraw/zinc/58/32/21/712583221.db2.gz IKIVQMFRLDVKPF-LLVKDONJSA-N -1 1 306.395 1.411 20 0 DDADMM CO[C@@H]1CCC[C@@H](C(=O)N2CCSC[C@H]2c2nn[n-]n2)C1 ZINC000907479799 712583329 /nfs/dbraw/zinc/58/33/29/712583329.db2.gz IIVCRROVTVJJMZ-MXWKQRLJSA-N -1 1 311.411 1.021 20 0 DDADMM O=C([C@H](F)c1ccccc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479884 712583547 /nfs/dbraw/zinc/58/35/47/712583547.db2.gz NCCSXNQQLOTECD-WDEREUQCSA-N -1 1 307.354 1.527 20 0 DDADMM CSCC1(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)CC1 ZINC000907480677 712583803 /nfs/dbraw/zinc/58/38/03/712583803.db2.gz QEJICSPMIRDBDL-VIFPVBQESA-N -1 1 313.452 1.350 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CC(O)C2)c1cc(Cl)cnc1Cl ZINC000907784400 712625954 /nfs/dbraw/zinc/62/59/54/712625954.db2.gz BNOFLHYBQZDLAA-DMGUCSGOSA-N -1 1 323.201 1.580 20 0 DDADMM O=C(c1ccc(C2CC2)cc1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907941248 712652256 /nfs/dbraw/zinc/65/22/56/712652256.db2.gz PBDSKZJLHQWQIQ-UHFFFAOYSA-N -1 1 313.361 1.201 20 0 DDADMM CCC[C@@H]1CCC[C@@H]1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907941872 712652334 /nfs/dbraw/zinc/65/23/34/712652334.db2.gz SAGMQLQFQIZADE-NEPJUHHUSA-N -1 1 307.398 1.226 20 0 DDADMM CC[C@@H]1CCC[C@]1(C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907944521 712652825 /nfs/dbraw/zinc/65/28/25/712652825.db2.gz UIDQQCLJDRQXJJ-RISCZKNCSA-N -1 1 307.398 1.226 20 0 DDADMM CCc1nocc1CN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000908436527 712772133 /nfs/dbraw/zinc/77/21/33/712772133.db2.gz YPJJHHWBHZXUPX-UHFFFAOYSA-N -1 1 302.334 1.882 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)C1(n2cnnn2)CC1 ZINC000908710633 712839416 /nfs/dbraw/zinc/83/94/16/712839416.db2.gz MMZNPCGVBPUUDO-UHFFFAOYSA-N -1 1 324.138 1.269 20 0 DDADMM CN(C)C(=O)NC1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000908799947 712857154 /nfs/dbraw/zinc/85/71/54/712857154.db2.gz UJXQJMHMBSIDEM-UHFFFAOYSA-N -1 1 309.341 1.407 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1cccs1 ZINC000908806438 712858320 /nfs/dbraw/zinc/85/83/20/712858320.db2.gz QLOKTYWUCPPQRA-LBPRGKRZSA-N -1 1 324.446 1.939 20 0 DDADMM CCCC[C@@H](COC)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908813331 712859913 /nfs/dbraw/zinc/85/99/13/712859913.db2.gz UTDSWOOJJZWEPB-STQMWFEESA-N -1 1 300.399 1.104 20 0 DDADMM CC(C)CC[C@@](C)(O)CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908822384 712862129 /nfs/dbraw/zinc/86/21/29/712862129.db2.gz YNBWHYAMHHOSMX-CZUORRHYSA-N -1 1 314.426 1.086 20 0 DDADMM C[C@H](CN(Cc1ccccc1)C(=O)CN1CCCC1)C(=O)[O-] ZINC000908837640 712865597 /nfs/dbraw/zinc/86/55/97/712865597.db2.gz WMIAKUKSGCVTDW-CQSZACIVSA-N -1 1 304.390 1.832 20 0 DDADMM C[C@H](CN(Cc1ccccc1)C(=O)Cc1ncn[nH]1)C(=O)[O-] ZINC000908840518 712866324 /nfs/dbraw/zinc/86/63/24/712866324.db2.gz ILFICFIAANHMOH-LLVKDONJSA-N -1 1 302.334 1.097 20 0 DDADMM O=C([O-])[C@H]1Cc2ccccc2CN1C(=O)c1cc(C(F)F)[nH]n1 ZINC000908899396 712882828 /nfs/dbraw/zinc/88/28/28/712882828.db2.gz WSRUVIRKPXLUMR-GFCCVEGCSA-N -1 1 321.283 1.999 20 0 DDADMM COC[C@](C)([N-]S(=O)(=O)CCC(C)(C)C1CC1)C(=O)OC ZINC000914240956 713364305 /nfs/dbraw/zinc/36/43/05/713364305.db2.gz AHHYTXQVDWJTDS-AWEZNQCLSA-N -1 1 321.439 1.310 20 0 DDADMM CCO[C@H]1C[C@@H]1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000919423595 713604517 /nfs/dbraw/zinc/60/45/17/713604517.db2.gz AQICGJRDPAYSDS-STQMWFEESA-N -1 1 304.298 1.967 20 0 DDADMM CC(C)[C@@H]1C(=O)NCCN1C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000929606467 713650854 /nfs/dbraw/zinc/65/08/54/713650854.db2.gz NNQZWUUJNNTGAJ-IUODEOHRSA-N -1 1 304.390 1.667 20 0 DDADMM C[C@@H](NC(=O)NCCc1c(F)cc([O-])cc1F)[C@H]1CCOC1 ZINC000920480313 713673592 /nfs/dbraw/zinc/67/35/92/713673592.db2.gz CTOSAAPDMXIYIN-ZJUUUORDSA-N -1 1 314.332 1.937 20 0 DDADMM CC/C=C(\C)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000920508369 713676167 /nfs/dbraw/zinc/67/61/67/713676167.db2.gz SZZJCNDTCVFWOX-VZUCSPMQSA-N -1 1 305.330 1.851 20 0 DDADMM Cc1c(CNC(=O)NCCc2c(F)cc([O-])cc2F)cnn1C ZINC000921668970 713836778 /nfs/dbraw/zinc/83/67/78/713836778.db2.gz MBCXJLFZCUTYJW-UHFFFAOYSA-N -1 1 324.331 1.754 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@H]1CCCN(C2CC2)C1=O ZINC000930469396 713842975 /nfs/dbraw/zinc/84/29/75/713842975.db2.gz HEORBPSBAZNTPV-SSDOTTSWSA-N -1 1 317.271 1.163 20 0 DDADMM Cc1cn(C)nc1CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000931076051 713997141 /nfs/dbraw/zinc/99/71/41/713997141.db2.gz KSRQRKAYJWSMOS-KOLCDFICSA-N -1 1 318.343 1.617 20 0 DDADMM COC(=O)[C@@H]1CSCCN1C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000931758628 714178603 /nfs/dbraw/zinc/17/86/03/714178603.db2.gz NNYXYCICIFSNRO-FZMZJTMJSA-N -1 1 323.414 1.801 20 0 DDADMM C[C@@H](O)CN(C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1CC1 ZINC000932057127 714248142 /nfs/dbraw/zinc/24/81/42/714248142.db2.gz COATZXDFNSDMOO-LLVKDONJSA-N -1 1 316.361 1.955 20 0 DDADMM Cn1nccc1[C@H](O)CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000923982361 714499865 /nfs/dbraw/zinc/49/98/65/714499865.db2.gz JSSKUVHUIVUAFU-CYBMUJFWSA-N -1 1 324.768 1.312 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc[nH]2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966159321 717987213 /nfs/dbraw/zinc/98/72/13/717987213.db2.gz FBCCVYNUHPSRLT-JQWIXIFHSA-N -1 1 314.345 1.006 20 0 DDADMM COC(=O)[C@@H](C[C@@H]1CCCO1)NC(=O)c1c([O-])cccc1F ZINC000924870135 714683382 /nfs/dbraw/zinc/68/33/82/714683382.db2.gz RBZAXSWHOZPNFQ-GXSJLCMTSA-N -1 1 311.309 1.372 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2ccc(N)nc2)[n-]c1=O ZINC000934277113 714784937 /nfs/dbraw/zinc/78/49/37/714784937.db2.gz YQECXJRKHVVKSS-NSHDSACASA-N -1 1 301.350 1.505 20 0 DDADMM CN1C[C@@H]2CC[C@H](CC1=O)N2C(=O)c1c([O-])cccc1Cl ZINC000925750025 714926845 /nfs/dbraw/zinc/92/68/45/714926845.db2.gz NNOQNWFGQYVSML-ZJUUUORDSA-N -1 1 308.765 1.881 20 0 DDADMM C[C@H](CN(C)C(=O)[C@]1(c2ccccc2)CCCO1)c1nn[n-]n1 ZINC000935368347 715038567 /nfs/dbraw/zinc/03/85/67/715038567.db2.gz QVVGYTMRXXUSCX-MLGOLLRUSA-N -1 1 315.377 1.468 20 0 DDADMM CN(C(=O)C(C)(C)C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937219849 715294759 /nfs/dbraw/zinc/29/47/59/715294759.db2.gz JQWGRSOZOGAIMI-NSHDSACASA-N -1 1 305.378 1.506 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1(F)CCCC1 ZINC000938203662 715756240 /nfs/dbraw/zinc/75/62/40/715756240.db2.gz GZVVOUIDSSBSJC-NSHDSACASA-N -1 1 321.352 1.400 20 0 DDADMM CC(=O)N1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1C ZINC000955462373 715794594 /nfs/dbraw/zinc/79/45/94/715794594.db2.gz WQISECUZGGGOSY-SKDRFNHKSA-N -1 1 318.377 1.189 20 0 DDADMM CSCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC000955522420 715835637 /nfs/dbraw/zinc/83/56/37/715835637.db2.gz MIJDVZWYWLBWCR-GHMZBOCLSA-N -1 1 323.418 1.260 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ccccc2)C1)c1ncccc1[O-] ZINC000940126005 716637921 /nfs/dbraw/zinc/63/79/21/716637921.db2.gz PQFTUAMSFCOYOU-CYBMUJFWSA-N -1 1 311.341 1.432 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)[C@@H]2CC23CCC3)C1)c1ncccc1[O-] ZINC000940138575 716646683 /nfs/dbraw/zinc/64/66/83/716646683.db2.gz GEIYHMNLRIDVRN-NEPJUHHUSA-N -1 1 315.373 1.308 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H]([C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC000961134642 716825884 /nfs/dbraw/zinc/82/58/84/716825884.db2.gz HTYFVLRSSLFKKU-CHWSQXEVSA-N -1 1 321.425 1.227 20 0 DDADMM C[C@@H](NC(=O)C1CCC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000959131379 716878247 /nfs/dbraw/zinc/87/82/47/716878247.db2.gz BSROEQJRUXKWLC-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)N[C@@H](C)[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000959159564 716885484 /nfs/dbraw/zinc/88/54/84/716885484.db2.gz BHOJSQHBFADVLB-CABZTGNLSA-N -1 1 318.377 1.046 20 0 DDADMM C[C@@H]1CCN(C/C=C\Cl)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000965940913 717826392 /nfs/dbraw/zinc/82/63/92/717826392.db2.gz MRQOOVVUBPJEOR-FVLQNALFSA-N -1 1 309.797 1.980 20 0 DDADMM CC1CC(C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])C1 ZINC000943977212 718236029 /nfs/dbraw/zinc/23/60/29/718236029.db2.gz XTIICKLDKAOGJV-WXRRBKDZSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(F)C(=O)N[C@@H]1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946090558 718675912 /nfs/dbraw/zinc/67/59/12/718675912.db2.gz QCDSLUFXCIVOTM-WDEREUQCSA-N -1 1 323.368 1.550 20 0 DDADMM O=C(C=C1CCC1)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000946420214 718776509 /nfs/dbraw/zinc/77/65/09/718776509.db2.gz MDBQXVYREATRSL-UHFFFAOYSA-N -1 1 315.373 1.618 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2CC2(C)C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948447123 719503519 /nfs/dbraw/zinc/50/35/19/719503519.db2.gz IPEMTJUCZSSYDY-IJLUTSLNSA-N -1 1 317.389 1.410 20 0 DDADMM O=C(NCC1CCN(C(=O)C2CC2)CC1)c1ncccc1[O-] ZINC000948611652 719587468 /nfs/dbraw/zinc/58/74/68/719587468.db2.gz YTQZOSJTHGVMSL-UHFFFAOYSA-N -1 1 303.362 1.166 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CC1(C)C ZINC000948618837 719592389 /nfs/dbraw/zinc/59/23/89/719592389.db2.gz LBUHTILCALSJRH-IJLUTSLNSA-N -1 1 317.389 1.410 20 0 DDADMM CC1(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CC=CC1 ZINC000949538907 720147285 /nfs/dbraw/zinc/14/72/85/720147285.db2.gz TZUCGECEFVDXTE-LBPRGKRZSA-N -1 1 315.373 1.474 20 0 DDADMM CC1(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CCC1 ZINC000949541322 720148926 /nfs/dbraw/zinc/14/89/26/720148926.db2.gz FLYAONZJJONWNV-LLVKDONJSA-N -1 1 303.362 1.308 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(CC[C@@H]2CCCO2)C1 ZINC000949952098 720428203 /nfs/dbraw/zinc/42/82/03/720428203.db2.gz GRNCPPZHLHXCFG-AWEZNQCLSA-N -1 1 319.405 1.503 20 0 DDADMM O=C(CC1CCC1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950299624 720563915 /nfs/dbraw/zinc/56/39/15/720563915.db2.gz XPGVZPLGVDWFRN-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM CC(=O)NC1C[C@H]2CCC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000952421170 721443874 /nfs/dbraw/zinc/44/38/74/721443874.db2.gz NJVHQAAFGZRCPZ-YHWZYXNKSA-N -1 1 303.362 1.449 20 0 DDADMM Cc1ccoc1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954123905 721723600 /nfs/dbraw/zinc/72/36/00/721723600.db2.gz CZBHYIXVTSFDNT-UHFFFAOYSA-N -1 1 315.329 1.285 20 0 DDADMM Cc1cc(C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)co1 ZINC000954124302 721723617 /nfs/dbraw/zinc/72/36/17/721723617.db2.gz UWCKHZOYIBEZST-UHFFFAOYSA-N -1 1 315.329 1.285 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2(C)CC=CC2)C1 ZINC000954125168 721723943 /nfs/dbraw/zinc/72/39/43/721723943.db2.gz FGBVJPWVQPBVEP-UHFFFAOYSA-N -1 1 315.373 1.426 20 0 DDADMM Cc1cc2c(c(N3CCC4(C[C@@H](F)CO4)CC3)n1)C(=O)[N-]C2=O ZINC001167211195 732830019 /nfs/dbraw/zinc/83/00/19/732830019.db2.gz VHHPNXAELPJIOW-SNVBAGLBSA-N -1 1 319.336 1.371 20 0 DDADMM CCC(CC)CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210358681 733138442 /nfs/dbraw/zinc/13/84/42/733138442.db2.gz MZASSBWRYORUMP-LBPRGKRZSA-N -1 1 309.414 1.417 20 0 DDADMM O=C(N[C@@H]1CCN(Cc2nccs2)C1)c1ncccc1[O-] ZINC001010727231 733184755 /nfs/dbraw/zinc/18/47/55/733184755.db2.gz PDDIHFUPUZQSBG-SNVBAGLBSA-N -1 1 304.375 1.248 20 0 DDADMM O=S(=O)([N-]CCc1cnoc1)c1cnc(Cl)cc1Cl ZINC001213570739 734361416 /nfs/dbraw/zinc/36/14/16/734361416.db2.gz RIAPWVZROWBTAC-UHFFFAOYSA-N -1 1 322.173 1.897 20 0 DDADMM COc1ccc(CN2CCCC[C@@H]2CN(C)C(=O)C(=O)[O-])cc1 ZINC001143413091 734526087 /nfs/dbraw/zinc/52/60/87/734526087.db2.gz IYIKGVBBVQSGHH-CQSZACIVSA-N -1 1 320.389 1.593 20 0 DDADMM CC(C)C[C@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167553150 734706823 /nfs/dbraw/zinc/70/68/23/734706823.db2.gz NDHRDMPJAMLTKL-LBPRGKRZSA-N -1 1 323.441 1.569 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000974055145 737349273 /nfs/dbraw/zinc/34/92/73/737349273.db2.gz BPKSZIVWZRZSBL-ZDEQEGDKSA-N -1 1 317.389 1.600 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H]1O)c1ccc(Cl)nc1F ZINC000692895225 738965373 /nfs/dbraw/zinc/96/53/73/738965373.db2.gz SWZYRFCCBPCOAG-SFYZADRCSA-N -1 1 308.762 1.313 20 0 DDADMM Cc1cnc(C[NH2+]C[C@@H]2CCN(C(=O)c3ncccc3O)C2)o1 ZINC001026798767 737889742 /nfs/dbraw/zinc/88/97/42/737889742.db2.gz USVMBTJBCBUCTA-LBPRGKRZSA-N -1 1 316.361 1.336 20 0 DDADMM CCC[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692820618 738057041 /nfs/dbraw/zinc/05/70/41/738057041.db2.gz CHOGVYHXCKXSIS-LLVKDONJSA-N -1 1 310.778 1.704 20 0 DDADMM Nc1cncc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)c1 ZINC001167936121 739733287 /nfs/dbraw/zinc/73/32/87/739733287.db2.gz HXMPPIPDNAIWLH-UHFFFAOYSA-N -1 1 305.274 1.749 20 0 DDADMM Cc1ccnc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)n1 ZINC001167936801 739737422 /nfs/dbraw/zinc/73/74/22/739737422.db2.gz OGMCCKQRLKOTDH-UHFFFAOYSA-N -1 1 305.274 1.870 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2CN(Cc3ccon3)C[C@H]21 ZINC001075719004 740105643 /nfs/dbraw/zinc/10/56/43/740105643.db2.gz HCMMDGFMRONMCE-WCQYABFASA-N -1 1 314.345 1.122 20 0 DDADMM O=C(Cn1ccccc1=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001141895337 740910647 /nfs/dbraw/zinc/91/06/47/740910647.db2.gz OKEFCGXCXJTCFN-UHFFFAOYSA-N -1 1 310.313 1.378 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059433209 740922825 /nfs/dbraw/zinc/92/28/25/740922825.db2.gz APXPOWDIHPCBIV-RPHDBTCBSA-N -1 1 317.389 1.722 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)C2(C)CCCC2)C1 ZINC001029794595 741302433 /nfs/dbraw/zinc/30/24/33/741302433.db2.gz HMAIQOXEZSFVQC-LBPRGKRZSA-N -1 1 321.425 1.371 20 0 DDADMM Cc1cc(N(C)C)ncc1NC(=N)SCCS(=O)(=O)[O-] ZINC001168090945 741416503 /nfs/dbraw/zinc/41/65/03/741416503.db2.gz REVQVVDJIOFBQQ-UHFFFAOYSA-N -1 1 318.424 1.424 20 0 DDADMM Cc1cc(NC(=[NH2+])SCCS(=O)(=O)[O-])cnc1C(=O)[O-] ZINC001168093824 741433423 /nfs/dbraw/zinc/43/34/23/741433423.db2.gz RAQUPAKKDFOOEL-UHFFFAOYSA-N -1 1 319.364 1.056 20 0 DDADMM O=Cc1ccc(OS(=O)(=O)c2c[n-]nc2Cl)cc1F ZINC001212397231 751706997 /nfs/dbraw/zinc/70/69/97/751706997.db2.gz KNDDBQQWNYMNRY-UHFFFAOYSA-N -1 1 304.686 1.782 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@@]2(F)Cl)c1 ZINC001181664270 743293557 /nfs/dbraw/zinc/29/35/57/743293557.db2.gz HJBJKPRTHJBTGF-CPCISQLKSA-N -1 1 322.745 1.163 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@H]3C[C@H]3c3ccccc3F)c1-2 ZINC001182280068 743551133 /nfs/dbraw/zinc/55/11/33/743551133.db2.gz LBXUVZOEMCPTNM-QWRGUYRKSA-N -1 1 311.320 1.916 20 0 DDADMM O=C([N-]N1CC(=O)NC1=O)c1ccc(-c2cccc(F)c2)s1 ZINC001182302422 743551767 /nfs/dbraw/zinc/55/17/67/743551767.db2.gz CFPACQYAAWOOEV-UHFFFAOYSA-N -1 1 319.317 1.751 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc3cccnc3c2)n1 ZINC001182524233 743658860 /nfs/dbraw/zinc/65/88/60/743658860.db2.gz HZDATRRVBXUSES-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2ccc3cccnc3c2)[n-]1 ZINC001182524233 743658862 /nfs/dbraw/zinc/65/88/62/743658862.db2.gz HZDATRRVBXUSES-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C23CCC(CO)(CC2)CC3)n1 ZINC001182703763 743701410 /nfs/dbraw/zinc/70/14/10/743701410.db2.gz LBEPBIKUTHNGMC-UHFFFAOYSA-N -1 1 321.377 1.858 20 0 DDADMM Cc1cc(NC[C@@H](O)CNC(=O)c2ccccc2O)nc(C)[nH+]1 ZINC001105756608 743796693 /nfs/dbraw/zinc/79/66/93/743796693.db2.gz XCHFCLQFZWLYJA-GFCCVEGCSA-N -1 1 316.361 1.002 20 0 DDADMM Cc1nn(-c2ccccn2)c(C)c1CC(=O)Nc1c[n-][nH]c1=O ZINC001183563822 743857813 /nfs/dbraw/zinc/85/78/13/743857813.db2.gz ZMBMEAIMOQPDDY-UHFFFAOYSA-N -1 1 312.333 1.494 20 0 DDADMM O=C(NC1CN(Cc2c(F)cccc2F)C1)c1ncccc1[O-] ZINC001030239980 743976414 /nfs/dbraw/zinc/97/64/14/743976414.db2.gz QZCGIKQBAMQYSD-UHFFFAOYSA-N -1 1 319.311 1.680 20 0 DDADMM CC(C)(C)OC(=O)N1CC[N@H+](C(C)(C)C)C[C@H]1C(=O)[N-]C#N ZINC001184444325 744037482 /nfs/dbraw/zinc/03/74/82/744037482.db2.gz MCYUAXMHLREHBF-NSHDSACASA-N -1 1 310.398 1.303 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(C(C)(C)C)C[C@H]1C(=O)[N-]C#N ZINC001184444325 744037485 /nfs/dbraw/zinc/03/74/85/744037485.db2.gz MCYUAXMHLREHBF-NSHDSACASA-N -1 1 310.398 1.303 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)Oc2ccc(F)cc2F)n[n-]1 ZINC001184471781 744051449 /nfs/dbraw/zinc/05/14/49/744051449.db2.gz KZHYELWQENBOCD-UHFFFAOYSA-N -1 1 318.257 1.242 20 0 DDADMM O=S(=O)([N-]CCCn1cnc2ccccc21)c1ccns1 ZINC001184828204 744109784 /nfs/dbraw/zinc/10/97/84/744109784.db2.gz HNWHOSPQNUADAO-UHFFFAOYSA-N -1 1 322.415 1.861 20 0 DDADMM O=S(=O)([O-])c1ccc(NS(=O)(=O)c2ccsc2)cc1 ZINC001185383712 744212759 /nfs/dbraw/zinc/21/27/59/744212759.db2.gz FWKOZZQWJVFMKC-UHFFFAOYSA-N -1 1 319.385 1.796 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)N1C[C@@H]2CCN(C)[C@@H]2C1 ZINC001185896967 744304977 /nfs/dbraw/zinc/30/49/77/744304977.db2.gz GIUVEKBFJHRDHL-QWHCGFSZSA-N -1 1 324.402 1.018 20 0 DDADMM CC(C)C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ccc([O-])c(F)c3)C[C@H]21 ZINC001186218876 744366408 /nfs/dbraw/zinc/36/64/08/744366408.db2.gz OJWUNJDVEXGJAF-YABSGUDNSA-N -1 1 306.337 1.374 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ccc([O-])cc1F)c1cccnc1 ZINC001186339352 744389122 /nfs/dbraw/zinc/38/91/22/744389122.db2.gz FGACETJDHIAZMW-AWEZNQCLSA-N -1 1 318.304 1.961 20 0 DDADMM C[C@@H](Cc1cccc(Br)c1)C(=O)NCc1nn[n-]n1 ZINC001186528346 744409481 /nfs/dbraw/zinc/40/94/81/744409481.db2.gz PHIQMOQHMNTZRO-QMMMGPOBSA-N -1 1 324.182 1.457 20 0 DDADMM CN1CCN(c2ccccc2[N-]S(=O)(=O)c2ccco2)CC1 ZINC001186851631 744452963 /nfs/dbraw/zinc/45/29/63/744452963.db2.gz HQOIZAQCYKEWGR-UHFFFAOYSA-N -1 1 321.402 1.832 20 0 DDADMM COc1ccc(C[N-]S(=O)(=O)c2cnoc2C)c(OC)c1 ZINC001187324673 744540034 /nfs/dbraw/zinc/54/00/34/744540034.db2.gz UURCVEUCMUZULF-UHFFFAOYSA-N -1 1 312.347 1.479 20 0 DDADMM O=C(NC[C@@H]1Cc2ccccc2O1)c1n[n-]nc1C(F)(F)F ZINC001187770287 744599200 /nfs/dbraw/zinc/59/92/00/744599200.db2.gz KHRXLWOHLOESEK-QMMMGPOBSA-N -1 1 312.251 1.557 20 0 DDADMM O=C(NCc1cccc2ccnn21)c1n[n-]nc1C(F)(F)F ZINC001187770550 744599351 /nfs/dbraw/zinc/59/93/51/744599351.db2.gz MXEXYQFNNMZLAK-UHFFFAOYSA-N -1 1 310.239 1.401 20 0 DDADMM O=C(Nc1cn[nH]c(=O)c1Cl)c1n[n-]nc1C(F)(F)F ZINC001187758856 744606031 /nfs/dbraw/zinc/60/60/31/744606031.db2.gz LELAGYRXIVREOO-UHFFFAOYSA-N -1 1 308.607 1.225 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccccc3[S@@](C)=O)c1-2 ZINC001187813439 744611162 /nfs/dbraw/zinc/61/11/62/744611162.db2.gz HSACVKYGSGMELI-JOCHJYFZSA-N -1 1 315.358 1.025 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1nccs1)c1cc(F)cc(F)c1 ZINC001187924854 744636211 /nfs/dbraw/zinc/63/62/11/744636211.db2.gz DTHHDYLXRCGZCU-UHFFFAOYSA-N -1 1 318.326 1.583 20 0 DDADMM COc1cc2c(cc1OC)[C@@H](CNC(=O)c1ccncc1[O-])C2 ZINC001188644895 744735289 /nfs/dbraw/zinc/73/52/89/744735289.db2.gz VZWWVOYEVFCTSM-LLVKDONJSA-N -1 1 314.341 1.874 20 0 DDADMM O=S(=O)(CC1CC1)[N-]c1ncccc1CN1CCOCC1 ZINC001188938710 744795387 /nfs/dbraw/zinc/79/53/87/744795387.db2.gz RCNUWZGLQZBNCD-UHFFFAOYSA-N -1 1 311.407 1.066 20 0 DDADMM CCOC(=O)[C@@H](CC)[N-]S(=O)(=O)Cc1cccc(F)c1F ZINC001189481360 744911213 /nfs/dbraw/zinc/91/12/13/744911213.db2.gz GADGJEJEAAIKAO-LLVKDONJSA-N -1 1 321.345 1.726 20 0 DDADMM CN(Cc1ncccn1)C(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190258978 745164079 /nfs/dbraw/zinc/16/40/79/745164079.db2.gz SXPOBBBJQXVVBI-UHFFFAOYSA-N -1 1 321.340 1.911 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(F)cc(F)c1F)c1cnccn1 ZINC001190411490 745211953 /nfs/dbraw/zinc/21/19/53/745211953.db2.gz VWFOEGYTMKITBX-SSDOTTSWSA-N -1 1 317.292 1.933 20 0 DDADMM Cc1nccnc1C[N-]S(=O)(=O)c1cc(F)cc(F)c1F ZINC001190414471 745213092 /nfs/dbraw/zinc/21/30/92/745213092.db2.gz SXGVCZALRAXSBJ-UHFFFAOYSA-N -1 1 317.292 1.681 20 0 DDADMM CN(C(=O)c1cnc(-c2ccccn2)[n-]c1=O)C1(C)COC1 ZINC001190628212 745278515 /nfs/dbraw/zinc/27/85/15/745278515.db2.gz KEWZLGIXUBQUSN-UHFFFAOYSA-N -1 1 300.318 1.105 20 0 DDADMM C[C@H](NC(=O)c1cnc(-c2ccccn2)[n-]c1=O)c1cnccn1 ZINC001190629607 745278737 /nfs/dbraw/zinc/27/87/37/745278737.db2.gz SYGPPMSASDMEKU-JTQLQIEISA-N -1 1 322.328 1.525 20 0 DDADMM O=C(c1cnc(-c2ccccn2)[n-]c1=O)N1CC(C(F)F)C1 ZINC001190628739 745278823 /nfs/dbraw/zinc/27/88/23/745278823.db2.gz XVUPBYGRMWBGDT-UHFFFAOYSA-N -1 1 306.272 1.581 20 0 DDADMM CC1(C)[C@H](O)C[C@H]1NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629821 745279289 /nfs/dbraw/zinc/27/92/89/745279289.db2.gz YDOCOGMCFREDPJ-VXGBXAGGSA-N -1 1 314.345 1.133 20 0 DDADMM Nc1nonc1[N-]C(=O)c1ncc(C(F)(F)F)cc1Cl ZINC001190637405 745282651 /nfs/dbraw/zinc/28/26/51/745282651.db2.gz YFIVTAKVZKISOA-UHFFFAOYSA-N -1 1 307.619 1.971 20 0 DDADMM COC(=O)c1ccc(F)c(F)c1NS(=O)(=O)c1ncc[n-]1 ZINC001190722039 745305010 /nfs/dbraw/zinc/30/50/10/745305010.db2.gz NWSAPAPDTHUKQC-UHFFFAOYSA-N -1 1 317.273 1.275 20 0 DDADMM Cc1c(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cnn1C(C)(C)C ZINC001190820175 745340517 /nfs/dbraw/zinc/34/05/17/745340517.db2.gz BSVRZLYLWKGGIA-UHFFFAOYSA-N -1 1 320.309 1.798 20 0 DDADMM O=S(=O)([N-]c1cccc(-c2nnco2)c1)c1ccncc1 ZINC001190887135 745367541 /nfs/dbraw/zinc/36/75/41/745367541.db2.gz KWAJTGKMQHTFFH-UHFFFAOYSA-N -1 1 302.315 1.932 20 0 DDADMM Cc1cc[nH]c(=O)c1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001190966672 745390160 /nfs/dbraw/zinc/39/01/60/745390160.db2.gz JFUSLIOFDVTWAU-UHFFFAOYSA-N -1 1 318.293 1.307 20 0 DDADMM COC(=O)CCCCCNC(=O)c1cnc(SC)[n-]c1=O ZINC001191436040 745511693 /nfs/dbraw/zinc/51/16/93/745511693.db2.gz BTMQPVIYMPFKTD-UHFFFAOYSA-N -1 1 313.379 1.367 20 0 DDADMM Cc1cc(NCC[C@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001106296573 745561511 /nfs/dbraw/zinc/56/15/11/745561511.db2.gz VUMPUTVGQWRWBY-JTQLQIEISA-N -1 1 301.350 1.506 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cnc(C(F)F)cn1 ZINC001192571437 745819029 /nfs/dbraw/zinc/81/90/29/745819029.db2.gz ASDJHRKWXXOGQT-UHFFFAOYSA-N -1 1 309.209 1.102 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC(F)(F)CC[C@H]1CO ZINC001192526490 745821308 /nfs/dbraw/zinc/82/13/08/745821308.db2.gz KSGPKPFBOGKJSF-ZETCQYMHSA-N -1 1 307.243 1.903 20 0 DDADMM O=C(NCC1(N2CCCC2)COC1)c1cc([O-])cc(F)c1F ZINC001192679700 745860032 /nfs/dbraw/zinc/86/00/32/745860032.db2.gz ZUJVRDOBESYPKJ-UHFFFAOYSA-N -1 1 312.316 1.265 20 0 DDADMM COC(=O)/C=C/c1ccc([N-]S(=O)(=O)CC2CCC2)nc1 ZINC001193479313 746115971 /nfs/dbraw/zinc/11/59/71/746115971.db2.gz WYWLAQMZZYWQRW-SOFGYWHQSA-N -1 1 310.375 1.810 20 0 DDADMM COc1ccnc(C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)c1[O-] ZINC001193527530 746133974 /nfs/dbraw/zinc/13/39/74/746133974.db2.gz DBKUIKCFRNVGPQ-SNVBAGLBSA-N -1 1 302.334 1.539 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)CNC(=O)N2 ZINC001193935300 746227333 /nfs/dbraw/zinc/22/73/33/746227333.db2.gz XLTCBIVQBHAGRM-UHFFFAOYSA-N -1 1 318.358 1.705 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc(C(F)(F)F)o1 ZINC001194422583 746373618 /nfs/dbraw/zinc/37/36/18/746373618.db2.gz WNNURHRACHAEBF-UHFFFAOYSA-N -1 1 313.195 1.923 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[N@H+](CCOCC(C)C)CC2)c1[O-] ZINC001195488940 746623847 /nfs/dbraw/zinc/62/38/47/746623847.db2.gz VIKAGXLTLBODLU-UHFFFAOYSA-N -1 1 324.425 1.244 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCN(CCOCC(C)C)CC2)c1[O-] ZINC001195488940 746623852 /nfs/dbraw/zinc/62/38/52/746623852.db2.gz VIKAGXLTLBODLU-UHFFFAOYSA-N -1 1 324.425 1.244 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cc(-c2ccccc2)c[nH]1 ZINC001195641638 746662673 /nfs/dbraw/zinc/66/26/73/746662673.db2.gz KDFXFBUNWJUUOM-UHFFFAOYSA-N -1 1 311.301 1.717 20 0 DDADMM COc1ccc(NC(=S)Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001195722860 746685621 /nfs/dbraw/zinc/68/56/21/746685621.db2.gz FTWFMNUUPNBEII-UHFFFAOYSA-N -1 1 316.346 1.876 20 0 DDADMM COCCOc1cc([N-]S(=O)(=O)c2ccc(O)cc2)ccn1 ZINC001195773104 746694489 /nfs/dbraw/zinc/69/44/89/746694489.db2.gz QLMDSSQOWSXIOH-UHFFFAOYSA-N -1 1 324.358 1.613 20 0 DDADMM O=C(Nc1ccc(-n2ccnc2)cc1)c1c[nH]c(=S)[n-]c1=O ZINC001196009048 746755055 /nfs/dbraw/zinc/75/50/55/746755055.db2.gz SIGTWBRVIKPAQJ-UHFFFAOYSA-N -1 1 313.342 1.909 20 0 DDADMM Cc1nc2c(NC(=O)c3c[nH]c(=S)[n-]c3=O)cccn2c1C ZINC001196014008 746756641 /nfs/dbraw/zinc/75/66/41/746756641.db2.gz KXNZSMDFAXTOOZ-UHFFFAOYSA-N -1 1 315.358 1.988 20 0 DDADMM CCN(CC(=O)OC(C)(C)C)C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196016172 746757442 /nfs/dbraw/zinc/75/74/42/746757442.db2.gz QIYWXSSFHSWVKY-UHFFFAOYSA-N -1 1 313.379 1.275 20 0 DDADMM CCCC(=O)NC[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC001060715874 746879648 /nfs/dbraw/zinc/87/96/48/746879648.db2.gz NQAMPRKOMJCLHH-JOCQHMNTSA-N -1 1 319.405 1.992 20 0 DDADMM Cn1ccnc1NC(=O)c1sccc1[N-]S(C)(=O)=O ZINC001196721855 746944700 /nfs/dbraw/zinc/94/47/00/746944700.db2.gz WGBFDOSDPRLRGP-UHFFFAOYSA-N -1 1 300.365 1.105 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cnn2ccccc12 ZINC001196925614 746999914 /nfs/dbraw/zinc/99/99/14/746999914.db2.gz UIHMBEUYKNHHOA-UHFFFAOYSA-N -1 1 311.363 1.419 20 0 DDADMM Cn1c(C(=O)NCc2nn[n-]n2)ccc1-c1ccc(Cl)cc1 ZINC001197345461 747133023 /nfs/dbraw/zinc/13/30/23/747133023.db2.gz XIGYGURDEZCCNG-UHFFFAOYSA-N -1 1 316.752 1.789 20 0 DDADMM Cc1cnn2cc([N-]S(=O)(=O)Cc3cccnc3)cnc12 ZINC001197422154 747160026 /nfs/dbraw/zinc/16/00/26/747160026.db2.gz LDNFABPXTUMABU-UHFFFAOYSA-N -1 1 303.347 1.375 20 0 DDADMM COCCOc1cccnc1C(=O)[N-]c1noc2nccnc12 ZINC001197675970 747234440 /nfs/dbraw/zinc/23/44/40/747234440.db2.gz QXFHSLIPCUSUAE-UHFFFAOYSA-N -1 1 315.289 1.290 20 0 DDADMM C[C@@H](c1csnn1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001031628641 747251126 /nfs/dbraw/zinc/25/11/26/747251126.db2.gz RDRGGAHABSCOMH-VIFPVBQESA-N -1 1 319.390 1.062 20 0 DDADMM CN1CCC[C@](C)(NS(=O)(=O)c2ccccc2C(=O)[O-])C1 ZINC001198807299 747618043 /nfs/dbraw/zinc/61/80/43/747618043.db2.gz GUGHRWKBFCSNEL-AWEZNQCLSA-N -1 1 312.391 1.147 20 0 DDADMM COCc1nocc1C(=O)[N-]c1nc(C)n(-c2ccccc2)n1 ZINC001129226049 752199150 /nfs/dbraw/zinc/19/91/50/752199150.db2.gz AZZULPLXXMKUNR-UHFFFAOYSA-N -1 1 313.317 1.962 20 0 DDADMM Cc1nonc1[N-]C(=O)c1cncc(OCC2CCOCC2)n1 ZINC001199163065 747725230 /nfs/dbraw/zinc/72/52/30/747725230.db2.gz QBBXVGZGMZVUCH-UHFFFAOYSA-N -1 1 319.321 1.226 20 0 DDADMM COc1cnc(Cl)c(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001199267625 747770108 /nfs/dbraw/zinc/77/01/08/747770108.db2.gz RQZFEXBTMMVMBX-UHFFFAOYSA-N -1 1 320.696 1.368 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cncn1CC1CCC1 ZINC001199607711 747913223 /nfs/dbraw/zinc/91/32/23/747913223.db2.gz USKBJEUDTCONMA-UHFFFAOYSA-N -1 1 318.293 1.535 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001004012120 747921324 /nfs/dbraw/zinc/92/13/24/747921324.db2.gz RGLYPDWLCBAVFP-QWHCGFSZSA-N -1 1 317.389 1.268 20 0 DDADMM CC(C)NC(=S)NC1([P@](=O)([O-])O)Cc2ccccc2C1 ZINC001200790838 748347233 /nfs/dbraw/zinc/34/72/33/748347233.db2.gz FSXSUMJRQMBPIA-UHFFFAOYSA-N -1 1 314.347 1.532 20 0 DDADMM CCN(C/C=C\Cl)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152841904 748401072 /nfs/dbraw/zinc/40/10/72/748401072.db2.gz NMBJEFDTDJZDPM-SSJHQANKSA-N -1 1 300.790 1.616 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@H]1CCC(=O)N1C ZINC001201767302 748599159 /nfs/dbraw/zinc/59/91/59/748599159.db2.gz VGGJJMCKLYHZJA-SSDOTTSWSA-N -1 1 323.827 1.004 20 0 DDADMM CC1(C)CN(C(=O)CC2CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995606613 748980052 /nfs/dbraw/zinc/98/00/52/748980052.db2.gz PTGYHNQKICJUSP-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM CC(C)(C)CC(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202499901 748985042 /nfs/dbraw/zinc/98/50/42/748985042.db2.gz IMPSHJVTVVAVHH-WDEREUQCSA-N -1 1 321.425 1.464 20 0 DDADMM CC(C)[C@H](C)C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996367319 749528576 /nfs/dbraw/zinc/52/85/76/749528576.db2.gz FERNUTUCCDULGL-WDEREUQCSA-N -1 1 309.414 1.129 20 0 DDADMM CN(c1nccnc1F)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001056900855 761995417 /nfs/dbraw/zinc/99/54/17/761995417.db2.gz QJFYSRXXBUQXRG-SNVBAGLBSA-N -1 1 317.324 1.067 20 0 DDADMM Cc1nccc(N[C@H](C)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001115719094 750878410 /nfs/dbraw/zinc/87/84/10/750878410.db2.gz HFJHXBNCKRXSEB-SNVBAGLBSA-N -1 1 301.350 1.458 20 0 DDADMM O=C(C[C@H]1CC=CCC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000997458697 750937851 /nfs/dbraw/zinc/93/78/51/750937851.db2.gz JNBXIXPUGHJJRA-LBPRGKRZSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(c1ncccc1O)N1CCC[C@@H]1CNCc1nccs1 ZINC001034915152 751049972 /nfs/dbraw/zinc/04/99/72/751049972.db2.gz RJMUEGQBJLMJSN-LLVKDONJSA-N -1 1 318.402 1.638 20 0 DDADMM CC1(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CCCCC1 ZINC000999018106 752438631 /nfs/dbraw/zinc/43/86/31/752438631.db2.gz PPLOOCCWMSKXTQ-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@@H]1CCCN(C(=O)CC2CCC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036528964 752523187 /nfs/dbraw/zinc/52/31/87/752523187.db2.gz BSAUTWUKLGMNIL-DGCLKSJQSA-N -1 1 321.425 1.417 20 0 DDADMM O=C([C@@H]1CCCC12CC2)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999482140 752948888 /nfs/dbraw/zinc/94/88/88/752948888.db2.gz KQVCPAYZNBCIMJ-NEPJUHHUSA-N -1 1 319.409 1.171 20 0 DDADMM CCC(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001009754924 753341744 /nfs/dbraw/zinc/34/17/44/753341744.db2.gz BYKWRPSUSNIFLZ-YNEHKIRRSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036684974 753348458 /nfs/dbraw/zinc/34/84/58/753348458.db2.gz PYUWMUFDZYRVIL-WDEREUQCSA-N -1 1 323.372 1.197 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(c2cnc(F)cn2)C1 ZINC001062922936 753848795 /nfs/dbraw/zinc/84/87/95/753848795.db2.gz DSPIXPUZAGGUFX-SNVBAGLBSA-N -1 1 317.324 1.067 20 0 DDADMM Cc1cc(NC[C@H]2CCCN2C(=O)c2ncccc2[O-])ncn1 ZINC001063474802 754171043 /nfs/dbraw/zinc/17/10/43/754171043.db2.gz SUOQMJWMMMHJPS-GFCCVEGCSA-N -1 1 313.361 1.602 20 0 DDADMM C[C@H]1[C@@H](NC(=O)CC2CC2)CCN1C(=O)c1ncccc1[O-] ZINC001011675240 754670486 /nfs/dbraw/zinc/67/04/86/754670486.db2.gz ZUDQIKDNPUORIL-JQWIXIFHSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cc[nH]c1 ZINC001011807440 754724253 /nfs/dbraw/zinc/72/42/53/754724253.db2.gz CBHRTSFTAUTDOK-JQWIXIFHSA-N -1 1 314.345 1.148 20 0 DDADMM CSc1ccnc(N[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097765079 757509902 /nfs/dbraw/zinc/50/99/02/757509902.db2.gz NESWDBFWKNMIMN-VIFPVBQESA-N -1 1 319.390 1.530 20 0 DDADMM CC[C@@H](CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC000822659846 758227285 /nfs/dbraw/zinc/22/72/85/758227285.db2.gz YAUVQYRCTGCJCT-GXTWGEPZSA-N -1 1 315.377 1.684 20 0 DDADMM CSCC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])CC[C@H]1C ZINC001018102211 758548181 /nfs/dbraw/zinc/54/81/81/758548181.db2.gz WOZGWQUVNVVRTH-MNOVXSKESA-N -1 1 323.418 1.260 20 0 DDADMM Cc1scc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001018906293 759391450 /nfs/dbraw/zinc/39/14/50/759391450.db2.gz KDXRXGVPLYJHRO-JTQLQIEISA-N -1 1 321.406 1.193 20 0 DDADMM C[C@H]1CN(C(=O)CC2CCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054345823 759520953 /nfs/dbraw/zinc/52/09/53/759520953.db2.gz DAYDXLOKNLYISD-CMPLNLGQSA-N -1 1 307.398 1.027 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N(CCO)C1CC1 ZINC000828754072 759778849 /nfs/dbraw/zinc/77/88/49/759778849.db2.gz XFPUKVYJJZDYDQ-UHFFFAOYSA-N -1 1 302.334 1.567 20 0 DDADMM Cc1cnc(CN[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)o1 ZINC001054592267 759858355 /nfs/dbraw/zinc/85/83/55/759858355.db2.gz YIAKICWIBLLWIH-CMPLNLGQSA-N -1 1 316.361 1.334 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](CO)c1ccccc1 ZINC001137353792 760563894 /nfs/dbraw/zinc/56/38/94/760563894.db2.gz NIUWENFOHGKCQK-JTQLQIEISA-N -1 1 305.359 1.243 20 0 DDADMM CCC1(NC(=O)C2CC2)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001069322959 767964871 /nfs/dbraw/zinc/96/48/71/767964871.db2.gz YDUWTVQGXWYGNT-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](Nc2cnc(F)cn2)C1 ZINC001056612484 761288221 /nfs/dbraw/zinc/28/82/21/761288221.db2.gz LONHNPCVJNTJTD-SECBINFHSA-N -1 1 303.297 1.043 20 0 DDADMM O=C(NCC1CN(C(=O)C2CCCC2)C1)c1ncccc1[O-] ZINC001001541992 762947056 /nfs/dbraw/zinc/94/70/56/762947056.db2.gz PVUOOPHOMGVOIV-UHFFFAOYSA-N -1 1 303.362 1.166 20 0 DDADMM CSCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531084 763716401 /nfs/dbraw/zinc/71/64/01/763716401.db2.gz QNCRGKXRNCEYNR-WDEREUQCSA-N -1 1 323.418 1.117 20 0 DDADMM CCc1nsc(N[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983081 765099033 /nfs/dbraw/zinc/09/90/33/765099033.db2.gz FUNJSFAKWIJXHA-RKDXNWHRSA-N -1 1 321.406 1.820 20 0 DDADMM CC1(C)CC(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001045023829 766042295 /nfs/dbraw/zinc/04/22/95/766042295.db2.gz WKHBGUBTESFBJI-NSHDSACASA-N -1 1 307.398 1.027 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc(Br)ccn3)c2[nH]1 ZINC001170215806 766174244 /nfs/dbraw/zinc/17/42/44/766174244.db2.gz AFGRHISJBJTWQO-UHFFFAOYSA-N -1 1 323.110 1.617 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccccc2N2CCOCC2)[n-]1 ZINC001170228518 766202966 /nfs/dbraw/zinc/20/29/66/766202966.db2.gz GTFXGALQBDMVDU-UHFFFAOYSA-N -1 1 312.333 1.639 20 0 DDADMM COc1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)c(OC)c1F ZINC001170230353 766204602 /nfs/dbraw/zinc/20/46/02/766204602.db2.gz POJLHCZNULHECM-UHFFFAOYSA-N -1 1 305.269 1.958 20 0 DDADMM O=C(NCC1(Nc2ncncc2Cl)CC1)c1ncccc1[O-] ZINC001110097938 766257499 /nfs/dbraw/zinc/25/74/99/766257499.db2.gz KPICGACKGJWQBH-UHFFFAOYSA-N -1 1 319.752 1.605 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3ccnc(F)c3)nc2n1 ZINC001131778898 768362691 /nfs/dbraw/zinc/36/26/91/768362691.db2.gz UFDKLSGJGQCORS-UHFFFAOYSA-N -1 1 316.296 1.157 20 0 DDADMM Cc1cc(C)nc(N2CC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001058344048 766437620 /nfs/dbraw/zinc/43/76/20/766437620.db2.gz PMRCQVMNTKIXGM-LBPRGKRZSA-N -1 1 313.361 1.203 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(Cc2cnns2)C1 ZINC001046261989 767320605 /nfs/dbraw/zinc/32/06/05/767320605.db2.gz JFKOMZGIPKOVNX-CQSZACIVSA-N -1 1 319.390 1.033 20 0 DDADMM Cc1ccc(N[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)nn1 ZINC001068822752 767639938 /nfs/dbraw/zinc/63/99/38/767639938.db2.gz LYIPGTMOFZMWDY-VXGBXAGGSA-N -1 1 313.361 1.601 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001048498138 769458318 /nfs/dbraw/zinc/45/83/18/769458318.db2.gz DAYPCGKXVRLWSD-WJTVCTBASA-N -1 1 319.409 1.026 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001048498138 769458319 /nfs/dbraw/zinc/45/83/19/769458319.db2.gz DAYPCGKXVRLWSD-WJTVCTBASA-N -1 1 319.409 1.026 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CC1(C)CC1 ZINC001071952357 770336427 /nfs/dbraw/zinc/33/64/27/770336427.db2.gz LBSSYNZFOJXMAE-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@@H]3[C@H]2CCN3C/C=C\Cl)c1[O-] ZINC001049422518 770808380 /nfs/dbraw/zinc/80/83/80/770808380.db2.gz JIVKCTAVFHQAFR-BCIKPAMSSA-N -1 1 324.812 1.855 20 0 DDADMM O=C(Cc1nnc(C2CC2)[nH]1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC001136190839 771913528 /nfs/dbraw/zinc/91/35/28/771913528.db2.gz QNOQPHLFHZWPPY-UHFFFAOYSA-N -1 1 324.344 1.959 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cc(CC(C)C)[nH]n1 ZINC001143794956 772308174 /nfs/dbraw/zinc/30/81/74/772308174.db2.gz XNBKMJFAAKRJOC-UHFFFAOYSA-N -1 1 307.379 1.953 20 0 DDADMM Cc1c(NC(=O)CCc2nn[n-]n2)cnn1-c1ccc(C)cc1 ZINC001144574770 772569210 /nfs/dbraw/zinc/56/92/10/772569210.db2.gz GGGYFIBOTLARHK-UHFFFAOYSA-N -1 1 311.349 1.574 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2cc(F)c([O-])cc2F)CCC1=O ZINC001144647176 772586982 /nfs/dbraw/zinc/58/69/82/772586982.db2.gz BNWBSPQVQRTFQD-SNVBAGLBSA-N -1 1 313.300 1.625 20 0 DDADMM CC1(C)CN(C2CN(Cc3cc(F)c([O-])cc3F)C2)CCO1 ZINC001144658483 772592062 /nfs/dbraw/zinc/59/20/62/772592062.db2.gz YFSNECIEZPAISC-UHFFFAOYSA-N -1 1 312.360 1.965 20 0 DDADMM CN(C)c1ncc(C(=O)[N-]c2nnc(Br)s2)o1 ZINC001147040505 773006205 /nfs/dbraw/zinc/00/62/05/773006205.db2.gz CPPOUUAAHMCZIT-UHFFFAOYSA-N -1 1 318.156 1.607 20 0 DDADMM Cc1nc2ccccn2c1NC(=O)c1c(CO)cnc(C)c1[O-] ZINC001147834655 773262400 /nfs/dbraw/zinc/26/24/00/773262400.db2.gz VRJQNPNFDRPWMX-UHFFFAOYSA-N -1 1 312.329 1.796 20 0 DDADMM Cc1ncc(CO)c(C(=O)NC[C@@H](O)C2CCCCC2)c1[O-] ZINC001147843932 773268004 /nfs/dbraw/zinc/26/80/04/773268004.db2.gz JBTYTXSACGFMJE-CYBMUJFWSA-N -1 1 308.378 1.259 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCc2cc3ccccn3n2)c1[O-] ZINC001147848848 773269993 /nfs/dbraw/zinc/26/99/93/773269993.db2.gz STRDTOXIZUOOOJ-UHFFFAOYSA-N -1 1 312.329 1.166 20 0 DDADMM COc1cccc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1O ZINC001148208238 773391485 /nfs/dbraw/zinc/39/14/85/773391485.db2.gz HPLRAGNZKJTXRD-UHFFFAOYSA-N -1 1 301.262 1.025 20 0 DDADMM NC(=O)c1cccc(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)c1 ZINC001148539171 773515904 /nfs/dbraw/zinc/51/59/04/773515904.db2.gz NOKXNMCPAYSSNU-UHFFFAOYSA-N -1 1 322.324 1.929 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC(N2CC(F)C2)C1 ZINC001148790509 773589732 /nfs/dbraw/zinc/58/97/32/773589732.db2.gz NKQAFCQFPROCFA-UHFFFAOYSA-N -1 1 318.270 1.889 20 0 DDADMM COCCCNC(=O)c1cc([O-])c(Br)c(O)c1 ZINC001148981879 773663340 /nfs/dbraw/zinc/66/33/40/773663340.db2.gz WEHWUEYQOYTLGJ-UHFFFAOYSA-N -1 1 304.140 1.627 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001074926101 774246880 /nfs/dbraw/zinc/24/68/80/774246880.db2.gz DDMDWIUDQITHFD-UDLQBDOPSA-N -1 1 317.389 1.863 20 0 DDADMM C[C@H]1C[C@H](NC(=O)CCCF)CCN1C(=O)c1ncccc1[O-] ZINC001075107773 774358124 /nfs/dbraw/zinc/35/81/24/774358124.db2.gz OKWVCEVBTLNLCO-NWDGAFQWSA-N -1 1 323.368 1.646 20 0 DDADMM CO[C@H](C)c1nsc(NCCNC(=O)c2ncccc2[O-])n1 ZINC001093563039 774890878 /nfs/dbraw/zinc/89/08/78/774890878.db2.gz UCDKFMXGAGVXAT-MRVPVSSYSA-N -1 1 323.378 1.188 20 0 DDADMM CCc1cc(C)nc(NCCCNC(=O)c2ncccc2[O-])n1 ZINC001094375999 775822475 /nfs/dbraw/zinc/82/24/75/775822475.db2.gz ZKQZWIJYHZEKFS-UHFFFAOYSA-N -1 1 315.377 1.680 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])c1cccc(F)n1 ZINC001101125503 776925669 /nfs/dbraw/zinc/92/56/69/776925669.db2.gz AZQHTLWUKFQPIS-UHFFFAOYSA-N -1 1 318.352 1.968 20 0 DDADMM COc1cc(Nc2[nH]c(=S)[n-]c(=O)c2N=O)c(OC)nn1 ZINC001174352057 777453226 /nfs/dbraw/zinc/45/32/26/777453226.db2.gz ZJJPGJHDNSRLSW-UHFFFAOYSA-N -1 1 310.295 1.420 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccc(N2CCOCC2)cc1 ZINC001174707848 777563793 /nfs/dbraw/zinc/56/37/93/777563793.db2.gz YDKZZLRRVWOJQI-UHFFFAOYSA-N -1 1 319.390 1.633 20 0 DDADMM CC/C=C(\C)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102728293 778348266 /nfs/dbraw/zinc/34/82/66/778348266.db2.gz QJBCTBAFRVDUON-PUZDAVHHSA-N -1 1 321.425 1.441 20 0 DDADMM Cn1cc(-c2nsc(NC(=O)c3ccc(O)cc3[O-])n2)cn1 ZINC001179878838 779534542 /nfs/dbraw/zinc/53/45/42/779534542.db2.gz FQDWTXRFRXGMFQ-UHFFFAOYSA-N -1 1 317.330 1.602 20 0 DDADMM Cc1cc2ccccc2n1CC(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001180431640 779758421 /nfs/dbraw/zinc/75/84/21/779758421.db2.gz DZGUSLUJKTWSHL-UHFFFAOYSA-N -1 1 322.328 1.960 20 0 DDADMM C[C@H](C(=O)Nc1nc(Br)ccc1[O-])N1CCCC1 ZINC001180578517 779816955 /nfs/dbraw/zinc/81/69/55/779816955.db2.gz ZHGGKEPJFGBEAF-MRVPVSSYSA-N -1 1 314.183 1.973 20 0 DDADMM Cc1ccc(C(=O)Nc2ncn(Cc3cccnc3)n2)c([O-])c1 ZINC001116447713 780509756 /nfs/dbraw/zinc/50/97/56/780509756.db2.gz SYGCPHDDGPHALN-UHFFFAOYSA-N -1 1 309.329 1.988 20 0 DDADMM CC(C)c1ccccc1C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001266720793 836727899 /nfs/dbraw/zinc/72/78/99/836727899.db2.gz WWMYNKORAHOQMS-UHFFFAOYSA-N -1 1 317.393 1.496 20 0 DDADMM C[C@H]([C@H](C)C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001266882647 836991274 /nfs/dbraw/zinc/99/12/74/836991274.db2.gz MLSBXVIESDBAEY-WDEREUQCSA-N -1 1 311.430 1.377 20 0 DDADMM CC(C)N(CCCNC(=O)[C@@H]1C[C@H]1C1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001267510382 838216604 /nfs/dbraw/zinc/21/66/04/838216604.db2.gz NTOLBNVGMHUBNQ-QWHCGFSZSA-N -1 1 321.425 1.273 20 0 DDADMM O=C([N-]C12CC(C(=O)N3CCCCO3)(C1)C2)C(F)(F)Cl ZINC001272027382 844357403 /nfs/dbraw/zinc/35/74/03/844357403.db2.gz PSLWCBOTHIIUJH-UHFFFAOYSA-N -1 1 308.712 1.411 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC[C@H](O)C2CCCC2)sn1 ZINC001414327133 844465236 /nfs/dbraw/zinc/46/52/36/844465236.db2.gz PPAJEJHDXPOWBE-JTQLQIEISA-N -1 1 320.436 1.371 20 0 DDADMM CCN(CCNCc1nc(C)oc1C)C(=O)c1ncccc1[O-] ZINC001268446601 840201414 /nfs/dbraw/zinc/20/14/14/840201414.db2.gz QGCZNECJBJXQKF-UHFFFAOYSA-N -1 1 318.377 1.644 20 0 DDADMM CC[C@@H](C)N1CC[C@]2(CCCN2C(=O)c2ccc([O-])cn2)C1=O ZINC001269354554 841557016 /nfs/dbraw/zinc/55/70/16/841557016.db2.gz MWEMOAHNYCTLGY-SJKOYZFVSA-N -1 1 317.389 1.793 20 0 DDADMM C=CC(=O)N(C)CC(=O)Nc1nc(Br)ccc1[O-] ZINC001154597979 861261466 /nfs/dbraw/zinc/26/14/66/861261466.db2.gz TXVQPSUIPOQUCQ-UHFFFAOYSA-N -1 1 314.139 1.133 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CN(C(=O)c1ccc([O-])cc1Cl)C2 ZINC001269761907 842018528 /nfs/dbraw/zinc/01/85/28/842018528.db2.gz AHFCMYBZMHEBAC-NSHDSACASA-N -1 1 308.765 1.596 20 0 DDADMM CC(C)NC(=O)N1CC[C@H]2[C@@H]1CCN2C(=O)c1ccc([O-])cn1 ZINC001269895859 842137788 /nfs/dbraw/zinc/13/77/88/842137788.db2.gz TYZXNYPCRJKUGR-KBPBESRZSA-N -1 1 318.377 1.194 20 0 DDADMM Cc1ccc2ccc(C(=O)N3C[C@H]4C[C@@]4(C(N)=O)C3)c([O-])c2n1 ZINC001270530399 842646344 /nfs/dbraw/zinc/64/63/44/842646344.db2.gz TWKDUFLVJYHTJF-PIGZYNQJSA-N -1 1 311.341 1.196 20 0 DDADMM NC(=O)[C@H]1CC12CN(C(=O)c1cc3cccc(O)c3cc1[O-])C2 ZINC001270618013 842724079 /nfs/dbraw/zinc/72/40/79/842724079.db2.gz KLJNUKLSGMVNHD-GFCCVEGCSA-N -1 1 312.325 1.198 20 0 DDADMM O=S(=O)([N-]Cc1cc(F)ccc1F)c1c[nH]nc1Cl ZINC001414216324 843027481 /nfs/dbraw/zinc/02/74/81/843027481.db2.gz VFZIMZJNUSOOTI-UHFFFAOYSA-N -1 1 307.709 1.820 20 0 DDADMM O=C(c1ccc2[nH]ccc2n1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001154777213 861445052 /nfs/dbraw/zinc/44/50/52/861445052.db2.gz PMXSFUZBEOCLMR-LLVKDONJSA-N -1 1 315.362 1.006 20 0 DDADMM CN1C[C@]2(CCN(C(=O)c3ccc(Cl)cc3[O-])C2)OCC1=O ZINC001272264953 845539739 /nfs/dbraw/zinc/53/97/39/845539739.db2.gz LXGWDKPEQBZTMT-HNNXBMFYSA-N -1 1 324.764 1.119 20 0 DDADMM CO[C@H](C)C(=O)NC[C@H](CC(C)C)NC(=O)c1ncccc1[O-] ZINC001409769428 845689832 /nfs/dbraw/zinc/68/98/32/845689832.db2.gz HTBBNNXUMKBEHU-NEPJUHHUSA-N -1 1 323.393 1.083 20 0 DDADMM Cn1ncc(Cl)c1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001149500923 861661754 /nfs/dbraw/zinc/66/17/54/861661754.db2.gz QZPJXPDSYMHROR-JTQLQIEISA-N -1 1 317.740 1.106 20 0 DDADMM COC(=O)[C@@H]1C[C@H]1CNC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149656055 861788875 /nfs/dbraw/zinc/78/88/75/861788875.db2.gz FCAPYNIMKPRDNV-CMPLNLGQSA-N -1 1 315.325 1.790 20 0 DDADMM O=C(c1cc2c[nH]ccc-2n1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001155265977 861911739 /nfs/dbraw/zinc/91/17/39/861911739.db2.gz CFBGJPXIGZVAAS-VIFPVBQESA-N -1 1 313.317 1.671 20 0 DDADMM O=C(NCc1ccnn1CCF)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273054 861921340 /nfs/dbraw/zinc/92/13/40/861921340.db2.gz GJUWIYCNMUALHL-UHFFFAOYSA-N -1 1 303.297 1.365 20 0 DDADMM Cc1ccncc1C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001410184806 848867274 /nfs/dbraw/zinc/86/72/74/848867274.db2.gz CDOMRPIERGKSDH-LLVKDONJSA-N -1 1 314.345 1.039 20 0 DDADMM Cc1ccn2c(c1)ncc2C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001155336250 861973064 /nfs/dbraw/zinc/97/30/64/861973064.db2.gz LRNVPWGJSBUIMX-UHFFFAOYSA-N -1 1 314.261 1.494 20 0 DDADMM CCC[C@](C)(CC)C(=O)N1CC[C@](C)(NC(=O)c2cnn[nH]2)C1 ZINC001411009326 850100507 /nfs/dbraw/zinc/10/05/07/850100507.db2.gz UERHUARAKVKQQA-HOTGVXAUSA-N -1 1 321.425 1.742 20 0 DDADMM CC1(CC(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001411324231 850831119 /nfs/dbraw/zinc/83/11/19/850831119.db2.gz VNGSNHJPUZRFRI-UHFFFAOYSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1n[nH]c(C(=O)NCC=CCNCC=C(Cl)Cl)c1[O-] ZINC001273954836 851570973 /nfs/dbraw/zinc/57/09/73/851570973.db2.gz BSTPURMVYSVPDA-IHWYPQMZSA-N -1 1 319.192 1.618 20 0 DDADMM CC(C)=C(C)CC(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001274242158 852083044 /nfs/dbraw/zinc/08/30/44/852083044.db2.gz RPZDHFKSBJMIJT-NEPJUHHUSA-N -1 1 307.398 1.193 20 0 DDADMM CCC(CO)(CO)NC(=O)c1ccc2ccc(C)nc2c1[O-] ZINC001155660520 862351846 /nfs/dbraw/zinc/35/18/46/862351846.db2.gz SVEMKBXAPSKHNX-UHFFFAOYSA-N -1 1 304.346 1.112 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@]12CCN(C(=O)c1ccc([O-])cc1F)C2 ZINC001275398578 853064571 /nfs/dbraw/zinc/06/45/71/853064571.db2.gz CBSZOODBQIMWNF-LRDDRELGSA-N -1 1 306.337 1.649 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc2cccc(F)c2[nH]1 ZINC001150763764 862456860 /nfs/dbraw/zinc/45/68/60/862456860.db2.gz GLTAYVWDEPWVAN-UHFFFAOYSA-N -1 1 312.264 1.931 20 0 DDADMM COC(=O)NCCCC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001275598436 853418059 /nfs/dbraw/zinc/41/80/59/853418059.db2.gz WNUJCLKTIHFSML-UHFFFAOYSA-N -1 1 308.338 1.136 20 0 DDADMM Cc1cccc(C)c1OC[C@@H](O)CNC(=O)c1ccc([O-])cn1 ZINC001411902437 853949008 /nfs/dbraw/zinc/94/90/08/853949008.db2.gz VRZQQAINAHNUPT-AWEZNQCLSA-N -1 1 316.357 1.574 20 0 DDADMM CNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1ccc([O-])cc1F ZINC001412023877 854119556 /nfs/dbraw/zinc/11/95/56/854119556.db2.gz JFINMGQNLPSYPM-OAHLLOKOSA-N -1 1 316.332 1.618 20 0 DDADMM CSc1ncc(C(=O)N[C@H](c2ncon2)C(C)C)c(=O)[n-]1 ZINC001412076174 854191306 /nfs/dbraw/zinc/19/13/06/854191306.db2.gz WZMAVDLIIIJORW-QMMMGPOBSA-N -1 1 309.351 1.414 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C2CC2)C(C)(C)CO)c(=O)[n-]1 ZINC001412294699 854416626 /nfs/dbraw/zinc/41/66/26/854416626.db2.gz DKNMXSQBRFCLKX-SNVBAGLBSA-N -1 1 311.407 1.431 20 0 DDADMM COC(=O)Cc1ccc(CNC(=O)c2ccc([O-])cn2)cc1 ZINC001412369716 854481692 /nfs/dbraw/zinc/48/16/92/854481692.db2.gz OMGDUCULPQGVRT-UHFFFAOYSA-N -1 1 300.314 1.433 20 0 DDADMM C[C@@]1(CO)C[C@H](O)CN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001412379585 854490031 /nfs/dbraw/zinc/49/00/31/854490031.db2.gz MACVNFFNDARMST-SJCJKPOMSA-N -1 1 317.341 1.209 20 0 DDADMM NC(=O)[C@@H]1COCCC12CN(C(=O)c1cccc([O-])c1Cl)C2 ZINC001276049836 854674151 /nfs/dbraw/zinc/67/41/51/854674151.db2.gz JGFHYITWZCWYGN-JTQLQIEISA-N -1 1 324.764 1.010 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1C[C@H]1c1cccnc1 ZINC001412549635 854706052 /nfs/dbraw/zinc/70/60/52/854706052.db2.gz WDKVWXBYWQQCSF-QWHCGFSZSA-N -1 1 300.366 1.383 20 0 DDADMM CCO[C@@H](CC)C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001412575161 854744928 /nfs/dbraw/zinc/74/49/28/854744928.db2.gz ZZWZOSJOJCDDID-LBPRGKRZSA-N -1 1 305.338 1.532 20 0 DDADMM NC(=O)[C@H]1CCC[C@]12CCN(C(=O)c1cc([O-])cc(F)c1)C2 ZINC001276183052 854920346 /nfs/dbraw/zinc/92/03/46/854920346.db2.gz IPAXVFMTTJHBAJ-CZUORRHYSA-N -1 1 306.337 1.649 20 0 DDADMM CCOc1cc(C(=O)N[C@](C)(CC)C(N)=O)cc(Cl)c1[O-] ZINC001412720341 855019719 /nfs/dbraw/zinc/01/97/19/855019719.db2.gz NYUBSCBBYHAUQC-CQSZACIVSA-N -1 1 314.769 1.828 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[S@](=O)[C@@H](C)[C@H]2C)c1 ZINC001156039265 862660865 /nfs/dbraw/zinc/66/08/65/862660865.db2.gz HLPBUHGAWWQUDE-NNOLRYQLSA-N -1 1 309.387 1.495 20 0 DDADMM CC(C)c1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)on1 ZINC001412862080 855735771 /nfs/dbraw/zinc/73/57/71/855735771.db2.gz ZSPCJWVUGLPGES-VIFPVBQESA-N -1 1 308.367 1.241 20 0 DDADMM CCC(CC)(NC(=O)c1cc2n(n1)CCCC2)c1nn[n-]n1 ZINC001412933254 855798016 /nfs/dbraw/zinc/79/80/16/855798016.db2.gz GWOGZNZDYPEDSW-UHFFFAOYSA-N -1 1 303.370 1.178 20 0 DDADMM CCOC1(CNC(=O)c2cnc(SC)[n-]c2=O)CCCC1 ZINC001413000810 855901258 /nfs/dbraw/zinc/90/12/58/855901258.db2.gz IXJCAYUEXQQMOF-UHFFFAOYSA-N -1 1 311.407 1.983 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2ccc(O)cc2)n1 ZINC001413051960 856186850 /nfs/dbraw/zinc/18/68/50/856186850.db2.gz RAIFCRZKPOFLJU-UHFFFAOYSA-N -1 1 303.318 1.863 20 0 DDADMM O=C(NCc1n[nH]c([C@@H]2CCCO2)n1)c1cc(F)cc(F)c1[O-] ZINC001413202085 856542456 /nfs/dbraw/zinc/54/24/56/856542456.db2.gz QYVUCTCKWGZLSS-JTQLQIEISA-N -1 1 324.287 1.570 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCc2cscn2)n[n-]1 ZINC001413275726 856602332 /nfs/dbraw/zinc/60/23/32/856602332.db2.gz YJKMAGCZLUDFEE-MRVPVSSYSA-N -1 1 323.378 1.248 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCc2cscn2)[n-]1 ZINC001413275726 856602335 /nfs/dbraw/zinc/60/23/35/856602335.db2.gz YJKMAGCZLUDFEE-MRVPVSSYSA-N -1 1 323.378 1.248 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCc2cscn2)n1 ZINC001413275726 856602338 /nfs/dbraw/zinc/60/23/38/856602338.db2.gz YJKMAGCZLUDFEE-MRVPVSSYSA-N -1 1 323.378 1.248 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](CO)CCC(C)(C)C)sn1 ZINC001413356060 856710966 /nfs/dbraw/zinc/71/09/66/856710966.db2.gz POAXIBOLWSAKCU-SNVBAGLBSA-N -1 1 306.453 1.917 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC(=O)C1(C)CCCC1 ZINC001413408603 856774240 /nfs/dbraw/zinc/77/42/40/856774240.db2.gz ADKOYJZMRJWIKJ-UHFFFAOYSA-N -1 1 318.420 1.579 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccns1)c1cccc(F)c1F ZINC001413411487 856778703 /nfs/dbraw/zinc/77/87/03/856778703.db2.gz UNMNMMNLBZGEDA-UHFFFAOYSA-N -1 1 318.326 1.583 20 0 DDADMM C[N@H+]1C[C@@H](NS(=O)(=O)c2cccc(F)c2F)C(C)(C)C1 ZINC001413463279 856844314 /nfs/dbraw/zinc/84/43/14/856844314.db2.gz ZDNZRIJILWQJEF-LLVKDONJSA-N -1 1 304.362 1.583 20 0 DDADMM O=C(c1ncccc1O)N1CC2(C1)CCN(C/C=C/Cl)C2 ZINC001072656281 857561045 /nfs/dbraw/zinc/56/10/45/857561045.db2.gz VUOAPEHIRHNFQJ-GORDUTHDSA-N -1 1 307.781 1.688 20 0 DDADMM O=C([O-])CCCCNC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC001121840069 858609949 /nfs/dbraw/zinc/60/99/49/858609949.db2.gz HJVNRBCGMYMYOB-RYUDHWBXSA-N -1 1 315.439 1.120 20 0 DDADMM CCN(CC)C(=O)[C@@H](OCC[P@](=O)([O-])O)c1ccccc1 ZINC001224601826 881463801 /nfs/dbraw/zinc/46/38/01/881463801.db2.gz LBVGKIYTOCYTPQ-ZDUSSCGKSA-N -1 1 315.306 1.790 20 0 DDADMM CCn1cccc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC001137775377 859975285 /nfs/dbraw/zinc/97/52/85/859975285.db2.gz WRAPXIWRCBONLQ-UHFFFAOYSA-N -1 1 309.347 1.113 20 0 DDADMM O=C([O-])Cn1cc(CN2C[C@@H](F)C[C@H](CO)C2)c2ccccc21 ZINC001138188822 860037517 /nfs/dbraw/zinc/03/75/17/860037517.db2.gz MLHGTDWQSUIMQW-JSGCOSHPSA-N -1 1 320.364 1.878 20 0 DDADMM COc1cccc(C[N@H+]2CCC[C@@]3(CCO3)C2)c1OCC(=O)[O-] ZINC001139271515 860345928 /nfs/dbraw/zinc/34/59/28/860345928.db2.gz KECGMQMBVXFLPP-QGZVFWFLSA-N -1 1 321.373 1.914 20 0 DDADMM COc1cccc(CN2CCC[C@@]3(CCO3)C2)c1OCC(=O)[O-] ZINC001139271515 860345932 /nfs/dbraw/zinc/34/59/32/860345932.db2.gz KECGMQMBVXFLPP-QGZVFWFLSA-N -1 1 321.373 1.914 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)[C@@H](C)NC/C(Cl)=C\Cl)c1[O-] ZINC001381353553 881552526 /nfs/dbraw/zinc/55/25/26/881552526.db2.gz AYRLEQKSIXRJTE-SBSYFBRESA-N -1 1 321.208 1.839 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cnc2c(F)cccc21)c1nncn1C ZINC001141717354 860919198 /nfs/dbraw/zinc/91/91/98/860919198.db2.gz MRHANIHYNYMQEC-QMMMGPOBSA-N -1 1 315.308 1.699 20 0 DDADMM CC1(C)CCc2c(n[nH]c2C(=O)NC2C(=O)N=CN=C2[O-])C1 ZINC001154500219 861150173 /nfs/dbraw/zinc/15/01/73/861150173.db2.gz GXIFCNQVUIUFCZ-UHFFFAOYSA-N -1 1 303.322 1.378 20 0 DDADMM COC(=O)c1scnc1[N-]C(=O)c1nnc2cc[nH]cc1-2 ZINC001152094720 863155387 /nfs/dbraw/zinc/15/53/87/863155387.db2.gz HTHDCWHCFNROAL-UHFFFAOYSA-N -1 1 303.303 1.453 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@H]2CC[C@@H](C(=O)OCC)C2)[n-]1 ZINC001361441078 881659596 /nfs/dbraw/zinc/65/95/96/881659596.db2.gz UGQYSXSPWPVIOC-VHSXEESVSA-N -1 1 323.349 1.504 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CC[C@@H](C(=O)OCC)C2)n1 ZINC001361441078 881659605 /nfs/dbraw/zinc/65/96/05/881659605.db2.gz UGQYSXSPWPVIOC-VHSXEESVSA-N -1 1 323.349 1.504 20 0 DDADMM Cc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)c2ncccc12 ZINC001153874360 864185242 /nfs/dbraw/zinc/18/52/42/864185242.db2.gz FEHSAEFFTIOLPC-UHFFFAOYSA-N -1 1 308.345 1.866 20 0 DDADMM CCc1nnc([N-]C(=O)c2ncc(Br)cn2)s1 ZINC001361490259 881753623 /nfs/dbraw/zinc/75/36/23/881753623.db2.gz DSYHASRHNDHDOG-UHFFFAOYSA-N -1 1 314.168 1.905 20 0 DDADMM CCOC(=O)c1cc(C)cnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159198615 865162498 /nfs/dbraw/zinc/16/24/98/865162498.db2.gz UDOLULIEPOYSDD-UHFFFAOYSA-N -1 1 314.305 1.682 20 0 DDADMM CC(C)(C)N1CCN(C(=O)/C=C\c2cc(C(=O)[O-])co2)CC1 ZINC001332178535 865642852 /nfs/dbraw/zinc/64/28/52/865642852.db2.gz MKTQHPRHCCXXBA-PLNGDYQASA-N -1 1 306.362 1.934 20 0 DDADMM CCOc1ccc(C(=O)OC)c([N-]c2nccnc2CN)n1 ZINC001160246281 865745394 /nfs/dbraw/zinc/74/53/94/865745394.db2.gz ZOYPLIXHJMOBQH-UHFFFAOYSA-N -1 1 303.322 1.259 20 0 DDADMM Cc1cc(Nc2c(O)[nH]c(=O)[n-]c2=S)nc(C(C)(C)C)n1 ZINC001160857820 866153326 /nfs/dbraw/zinc/15/33/26/866153326.db2.gz IXASCDHWPWMIHB-QMMMGPOBSA-N -1 1 307.379 1.030 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CC1)c1cnc(Cl)cc1Cl ZINC001319782018 866288867 /nfs/dbraw/zinc/28/88/67/866288867.db2.gz OAPKLHLRVUTMKY-QMMMGPOBSA-N -1 1 311.190 1.438 20 0 DDADMM CCOC(=O)C(F)(F)[C@@H](CC)Oc1cc(=O)[n-]c(=S)[nH]1 ZINC001225766495 882018084 /nfs/dbraw/zinc/01/80/84/882018084.db2.gz UKELUZCLPJOOPD-ZCFIWIBFSA-N -1 1 308.306 1.827 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCCC12CC2 ZINC001320767301 867012651 /nfs/dbraw/zinc/01/26/51/867012651.db2.gz RCQWUCPWEMBTBL-NSHDSACASA-N -1 1 307.398 1.029 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C1(CCOC)CCCC1 ZINC001324805413 867537449 /nfs/dbraw/zinc/53/74/49/867537449.db2.gz DPCKLHFANMKWPG-UHFFFAOYSA-N -1 1 301.383 1.973 20 0 DDADMM CCOc1cccc(CCNCc2cn(CC(=O)[O-])nn2)c1 ZINC001334799951 867836046 /nfs/dbraw/zinc/83/60/46/867836046.db2.gz OQOIDXZPLTYQBF-UHFFFAOYSA-N -1 1 304.350 1.094 20 0 DDADMM Cc1ccc([C@@H](CO)Nc2nc(C)cc3c2C(=O)[N-]C3=O)cc1 ZINC001162946334 867933330 /nfs/dbraw/zinc/93/33/30/867933330.db2.gz ABCLEIHLASCCLB-CYBMUJFWSA-N -1 1 311.341 1.728 20 0 DDADMM C[C@H](CCCNC(=O)c1cocn1)NC(=O)c1ncccc1[O-] ZINC001336011977 868678954 /nfs/dbraw/zinc/67/89/54/868678954.db2.gz CDQCQGYDUQUWKG-SNVBAGLBSA-N -1 1 318.333 1.104 20 0 DDADMM O=C(CC1CCC1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163957782 868822729 /nfs/dbraw/zinc/82/27/29/868822729.db2.gz BKJVVJNVQATRSN-UHFFFAOYSA-N -1 1 307.398 1.077 20 0 DDADMM CC(=O)N[C@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)C(C)(C)C ZINC001361724650 882235359 /nfs/dbraw/zinc/23/53/59/882235359.db2.gz DEANWNQIUKJMQE-GFCCVEGCSA-N -1 1 320.393 1.550 20 0 DDADMM CC(C)=C(F)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164070163 868924176 /nfs/dbraw/zinc/92/41/76/868924176.db2.gz FXZFOYMJDQSSEY-UHFFFAOYSA-N -1 1 311.361 1.150 20 0 DDADMM O=C(c1cnncc1[O-])N1CCC2(CC1)C(F)(F)C2(F)F ZINC001336537523 869000621 /nfs/dbraw/zinc/00/06/21/869000621.db2.gz RZKLAPYVFRYORU-UHFFFAOYSA-N -1 1 305.231 1.689 20 0 DDADMM CC(C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)=C1CCC1 ZINC001164476471 869221622 /nfs/dbraw/zinc/22/16/22/869221622.db2.gz HNQQVTOTZVRAOU-UHFFFAOYSA-N -1 1 319.409 1.387 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(C(=O)N2CCCC2)CC1 ZINC001361781472 882360447 /nfs/dbraw/zinc/36/04/47/882360447.db2.gz ULYJVYPXVCWWCM-UHFFFAOYSA-N -1 1 321.352 1.505 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361781070 882361900 /nfs/dbraw/zinc/36/19/00/882361900.db2.gz DXSLRSWSNAUBOY-JGVFFNPUSA-N -1 1 307.276 1.078 20 0 DDADMM Cc1noc(C2CN(C(=O)c3cc(C)cc(C=O)c3[O-])C2)n1 ZINC001297432725 870047248 /nfs/dbraw/zinc/04/72/48/870047248.db2.gz OLDITYWBKAHYBL-UHFFFAOYSA-N -1 1 301.302 1.444 20 0 DDADMM CCC(CC)(NC(=O)C=Cc1cncc(O)c1)c1nn[n-]n1 ZINC001297487943 870072878 /nfs/dbraw/zinc/07/28/78/870072878.db2.gz QQCQDKJBOZUNLR-WAYWQWQTSA-N -1 1 302.338 1.145 20 0 DDADMM CCC(CC)(NC(=O)/C=C/COc1ccccc1)c1nn[n-]n1 ZINC001297488361 870073579 /nfs/dbraw/zinc/07/35/79/870073579.db2.gz XCGLIHNHQAZMIL-DHZHZOJOSA-N -1 1 315.377 1.966 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1Cc2ccccc2C(C)(C)C1 ZINC001338909805 870237680 /nfs/dbraw/zinc/23/76/80/870237680.db2.gz SEEXPIVWQLNHES-UHFFFAOYSA-N -1 1 324.392 1.217 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1Cc2ccccc2C(C)(C)C1 ZINC001338909805 870237689 /nfs/dbraw/zinc/23/76/89/870237689.db2.gz SEEXPIVWQLNHES-UHFFFAOYSA-N -1 1 324.392 1.217 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CC[C@@H](c2nccs2)C1 ZINC001339055327 870322842 /nfs/dbraw/zinc/32/28/42/870322842.db2.gz BHPZTDYVXLYVEA-MRVPVSSYSA-N -1 1 317.378 1.361 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)/C=C\[C@H]2CCCO2)[n-]1 ZINC001339113051 870352342 /nfs/dbraw/zinc/35/23/42/870352342.db2.gz HOPNMLMLRVFZDQ-WWQCOOJYSA-N -1 1 306.318 1.678 20 0 DDADMM CC(C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-])=C1CCC1 ZINC001298766598 870715395 /nfs/dbraw/zinc/71/53/95/870715395.db2.gz QGUXZGUOXXEWCT-JTQLQIEISA-N -1 1 303.362 1.522 20 0 DDADMM N[C@H](Cc1c[nH]c2ccccc12)C(=O)NCCCCCC(=O)[O-] ZINC000322493819 882457161 /nfs/dbraw/zinc/45/71/61/882457161.db2.gz ZHTVYYGFXKNZGN-CQSZACIVSA-N -1 1 317.389 1.799 20 0 DDADMM COC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1cccc(C=O)c1 ZINC001308994788 871543263 /nfs/dbraw/zinc/54/32/63/871543263.db2.gz QURATSVLFPWPDI-UHFFFAOYSA-N -1 1 324.314 1.085 20 0 DDADMM Cn1c(=O)cc(C(=O)[N-]O[C@@H]2CCCCO2)c2ccccc21 ZINC001318100904 871745829 /nfs/dbraw/zinc/74/58/29/871745829.db2.gz QOHWGTNVNCTOKP-OAHLLOKOSA-N -1 1 302.330 1.727 20 0 DDADMM COCCCCC(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC001342658276 872322066 /nfs/dbraw/zinc/32/20/66/872322066.db2.gz DWFPRHIZIOERRO-NSHDSACASA-N -1 1 309.366 1.671 20 0 DDADMM CC[C@@](C)(O)C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC001361899918 882602503 /nfs/dbraw/zinc/60/25/03/882602503.db2.gz XMZGURHKTPDNFZ-CYBMUJFWSA-N -1 1 316.379 1.166 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cc2c([nH]1)CCCC2 ZINC001344076763 872850936 /nfs/dbraw/zinc/85/09/36/872850936.db2.gz WCHDOBNSJZAIHT-HNNXBMFYSA-N -1 1 322.372 1.926 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCCCn1ccnc1 ZINC001345953260 873571265 /nfs/dbraw/zinc/57/12/65/873571265.db2.gz OTDOQEMXOAJYSJ-UHFFFAOYSA-N -1 1 307.379 1.186 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC001346402538 873735937 /nfs/dbraw/zinc/73/59/37/873735937.db2.gz HKZIVELUMSCAFV-SECBINFHSA-N -1 1 319.390 1.522 20 0 DDADMM Cc1nc(CCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)sc1C ZINC001346432781 873750097 /nfs/dbraw/zinc/75/00/97/873750097.db2.gz BAILBLWXQKHCEM-UHFFFAOYSA-N -1 1 324.431 1.825 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCO[C@@H](c2ccco2)C1 ZINC001346999275 873968729 /nfs/dbraw/zinc/96/87/29/873968729.db2.gz GWXVMDRYWIRYNU-SNVBAGLBSA-N -1 1 321.358 1.586 20 0 DDADMM O=C(c1nc(Cl)sc1Cl)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347975728 874323829 /nfs/dbraw/zinc/32/38/29/874323829.db2.gz UHXIRFCICCFALS-BYPYZUCNSA-N -1 1 319.177 1.593 20 0 DDADMM CC(=CC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1)c1ccco1 ZINC001348164586 874393381 /nfs/dbraw/zinc/39/33/81/874393381.db2.gz GDMBNHOPEOCKGW-NXIHDVOMSA-N -1 1 301.350 1.677 20 0 DDADMM CCCOc1ccc(CNC(=O)CCc2nn[n-]n2)cc1OC ZINC001362024848 882854356 /nfs/dbraw/zinc/85/43/56/882854356.db2.gz LGAJTHANGYRZNZ-UHFFFAOYSA-N -1 1 319.365 1.246 20 0 DDADMM Cn1nc(C(F)F)c2cc(C(=O)OCc3nn[n-]n3)sc21 ZINC001348850469 874830423 /nfs/dbraw/zinc/83/04/23/874830423.db2.gz PUKVZSNWEOAVBJ-UHFFFAOYSA-N -1 1 314.277 1.443 20 0 DDADMM CO[C@H](C)CO[C@H](C)COc1[n-]c(=O)ncc1Br ZINC001227140714 882872289 /nfs/dbraw/zinc/87/22/89/882872289.db2.gz ZKRIZYWKOXJGHI-HTQZYQBOSA-N -1 1 321.171 1.764 20 0 DDADMM COCC(=O)c1ccc([O-])cc1O[C@H]1C[C@@H]2CC(=O)C[C@H]1N2C ZINC001227168229 882891153 /nfs/dbraw/zinc/89/11/53/882891153.db2.gz GYOPAKNSJBHOQZ-IXBGWNDUSA-N -1 1 319.357 1.404 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001210850107 875568299 /nfs/dbraw/zinc/56/82/99/875568299.db2.gz UWZRLJPARWUMBJ-VXGBXAGGSA-N -1 1 323.441 1.663 20 0 DDADMM CCc1[nH]nc2ncc([N-]S(=O)(=O)c3ccc(N)cc3)cc12 ZINC001210884493 875578301 /nfs/dbraw/zinc/57/83/01/875578301.db2.gz FRUMKUUXBRBHRZ-UHFFFAOYSA-N -1 1 317.374 1.903 20 0 DDADMM CN(C)c1nc(Nc2ccc3c(c2)CCC(=O)N3C)cc(=O)[n-]1 ZINC001213129001 875833306 /nfs/dbraw/zinc/83/33/06/875833306.db2.gz QMSRSWVIDXTMFF-UHFFFAOYSA-N -1 1 313.361 1.901 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1ccoc1 ZINC001381951052 883038943 /nfs/dbraw/zinc/03/89/43/883038943.db2.gz KGFFOOYWABEDHP-SNVBAGLBSA-N -1 1 303.318 1.271 20 0 DDADMM Cc1ccc(C(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)cc1F ZINC001379186426 876586118 /nfs/dbraw/zinc/58/61/18/876586118.db2.gz MDRAVDSJWCKPNG-SNVBAGLBSA-N -1 1 321.356 1.208 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(OC2CCCC2)nc1 ZINC001362106433 883052499 /nfs/dbraw/zinc/05/24/99/883052499.db2.gz AAYHDACSYQKTOL-UHFFFAOYSA-N -1 1 302.338 1.487 20 0 DDADMM C[C@@H](CC(C)(C)C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001215792847 876807659 /nfs/dbraw/zinc/80/76/59/876807659.db2.gz HQSHGRWZDJQWAZ-QJPTWQEYSA-N -1 1 323.441 1.519 20 0 DDADMM COc1ncc(Nc2cc[nH]c(=O)c2)cc1[N-]S(C)(=O)=O ZINC001216151981 876877493 /nfs/dbraw/zinc/87/74/93/876877493.db2.gz KQIFDSOHRKXWLI-UHFFFAOYSA-N -1 1 310.335 1.306 20 0 DDADMM COc1ncc(Nc2ccc(O)cc2)cc1[N-]S(C)(=O)=O ZINC001216153232 876880548 /nfs/dbraw/zinc/88/05/48/876880548.db2.gz BIDGRYKWITYHOX-UHFFFAOYSA-N -1 1 309.347 1.911 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)C(C)(C)C1CC1 ZINC001381965981 883076081 /nfs/dbraw/zinc/07/60/81/883076081.db2.gz ZXJPJOVCSDTUMC-LLVKDONJSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216294652 876914011 /nfs/dbraw/zinc/91/40/11/876914011.db2.gz HGKNARRKQZLARF-VXGBXAGGSA-N -1 1 323.441 1.519 20 0 DDADMM C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2nc(=O)[n-]c(=O)[nH]2)C1 ZINC001227570740 883089233 /nfs/dbraw/zinc/08/92/33/883089233.db2.gz NVXYETJNTCWCDL-WDEREUQCSA-N -1 1 317.349 1.282 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2[C@H](C)C(=O)N[C@@H](C)[C@@H]2C)c1 ZINC001300180037 877079399 /nfs/dbraw/zinc/07/93/99/877079399.db2.gz PEBROBXYRNWZEZ-GARJFASQSA-N -1 1 304.346 1.251 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)sc1C ZINC001379596934 877474844 /nfs/dbraw/zinc/47/48/44/877474844.db2.gz MLXFKGGYPITKCP-QMMMGPOBSA-N -1 1 309.395 1.097 20 0 DDADMM Nc1sc2c(c1C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O)CCCC2 ZINC001218574821 877582601 /nfs/dbraw/zinc/58/26/01/877582601.db2.gz VJFDMCPZRYKCEF-UHFFFAOYSA-N -1 1 321.362 1.245 20 0 DDADMM CC(C)C(=O)N[C@H](C)CN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001395575621 912310620 /nfs/dbraw/zinc/31/06/20/912310620.db2.gz PIRBNNCKHQDXDS-SNVBAGLBSA-N -1 1 320.393 1.292 20 0 DDADMM CC(C)CC1(C(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)CCC1 ZINC001374257750 912338894 /nfs/dbraw/zinc/33/88/94/912338894.db2.gz UBBFCIFTLMTZQR-LBPRGKRZSA-N -1 1 323.441 1.663 20 0 DDADMM CC/C(C)=C\C(=O)N[C@H](C)CCNC(=O)c1ncccc1[O-] ZINC001355122588 878437427 /nfs/dbraw/zinc/43/74/27/878437427.db2.gz VLXYOIUBMREGSB-NLYDNYMLSA-N -1 1 305.378 1.768 20 0 DDADMM Cc1cccc([C@@H]2CCN(c3nnc(Cc4nnn[n-]4)n3C)C2)c1 ZINC001355464125 878568819 /nfs/dbraw/zinc/56/88/19/878568819.db2.gz NSEPFVLCDZPQOF-CYBMUJFWSA-N -1 1 324.392 1.221 20 0 DDADMM Cc1cccc([C@@H]2CCN(c3nnc(Cc4nn[n-]n4)n3C)C2)c1 ZINC001355464125 878568834 /nfs/dbraw/zinc/56/88/34/878568834.db2.gz NSEPFVLCDZPQOF-CYBMUJFWSA-N -1 1 324.392 1.221 20 0 DDADMM COC(=O)CCCc1csc(NC(=O)c2cncc([O-])c2)n1 ZINC000316228537 879315789 /nfs/dbraw/zinc/31/57/89/879315789.db2.gz PEGFSUOLBNJFIO-UHFFFAOYSA-N -1 1 321.358 1.992 20 0 DDADMM Cc1nocc1C(=O)N[C@@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001380431992 879641848 /nfs/dbraw/zinc/64/18/48/879641848.db2.gz GAKRJBVEKWBTMP-DTWKUNHWSA-N -1 1 318.333 1.020 20 0 DDADMM C[C@@H]1CN(Cc2c(Br)ccc([O-])c2F)CC(=O)N1 ZINC001238304228 890164697 /nfs/dbraw/zinc/16/46/97/890164697.db2.gz BUBDNKAQKDLWES-SSDOTTSWSA-N -1 1 317.158 1.614 20 0 DDADMM C=C/C(C)=C/CC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001358534423 880504006 /nfs/dbraw/zinc/50/40/06/880504006.db2.gz FLLLLWQCWRADTC-VNKGSWCUSA-N -1 1 303.362 1.544 20 0 DDADMM C[C@@H](c1ncccn1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001381080859 880979556 /nfs/dbraw/zinc/97/95/56/880979556.db2.gz XUCXVAIKCROKBL-LBPRGKRZSA-N -1 1 313.361 1.096 20 0 DDADMM C[C@H]1COCC[C@H]1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001362158029 883176074 /nfs/dbraw/zinc/17/60/74/883176074.db2.gz CRGUSBYDUHZQLR-GXFFZTMASA-N -1 1 317.349 1.390 20 0 DDADMM CSc1ncc(C(=O)NCC[S@@](=O)C(C)(C)C)c(=O)[n-]1 ZINC001362162123 883184146 /nfs/dbraw/zinc/18/41/46/883184146.db2.gz QYSBLMOXAOGUDZ-HXUWFJFHSA-N -1 1 317.436 1.181 20 0 DDADMM COC(=O)CCCc1nnc(NC(=O)c2ccc([O-])cn2)s1 ZINC001362163450 883185912 /nfs/dbraw/zinc/18/59/12/883185912.db2.gz SVIGUPSPYARUPJ-UHFFFAOYSA-N -1 1 322.346 1.387 20 0 DDADMM Cc1ccc(NC(=O)CCc2nn[n-]n2)cc1N1CCOC1=O ZINC001362194643 883263967 /nfs/dbraw/zinc/26/39/67/883263967.db2.gz GRHSVLMHVMUOEU-UHFFFAOYSA-N -1 1 316.321 1.036 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21)c1nn[n-]n1 ZINC001362210061 883307190 /nfs/dbraw/zinc/30/71/90/883307190.db2.gz ISXYYOWWTACJDE-IEBDPFPHSA-N -1 1 303.370 1.246 20 0 DDADMM C[C@](NC(=O)c1cccc([O-])c1F)(C(N)=O)c1ccccc1 ZINC001362227333 883353560 /nfs/dbraw/zinc/35/35/60/883353560.db2.gz LMOBGWNZIIGENP-MRXNPFEDSA-N -1 1 302.305 1.662 20 0 DDADMM Cc1nnc([C@@H]2CCCN(C(=O)c3ccc([O-])cc3F)C2)[nH]1 ZINC001362244884 883394943 /nfs/dbraw/zinc/39/49/43/883394943.db2.gz NWUAMTBIOMCGIU-SNVBAGLBSA-N -1 1 304.325 1.978 20 0 DDADMM O=C(N[C@@H](CO)Cc1ccc(O)cc1)c1ccc(F)c([O-])c1 ZINC001362276955 883462765 /nfs/dbraw/zinc/46/27/65/883462765.db2.gz LHEPICRLBZSPGC-GFCCVEGCSA-N -1 1 305.305 1.570 20 0 DDADMM NC(=O)N[C@@H]1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC001362278673 883466801 /nfs/dbraw/zinc/46/68/01/883466801.db2.gz NBYUGBCREWLNSX-MRVPVSSYSA-N -1 1 317.267 1.294 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)o1 ZINC001362406187 883739982 /nfs/dbraw/zinc/73/99/82/883739982.db2.gz ZGYQHIKHPFIHKO-UHFFFAOYSA-N -1 1 317.301 1.369 20 0 DDADMM CO[C@]1(CNC(=O)c2ccc(C(F)(F)F)c([O-])c2)CCOC1 ZINC001362498050 883944458 /nfs/dbraw/zinc/94/44/58/883944458.db2.gz KQNKBJFZWAGHDD-ZDUSSCGKSA-N -1 1 319.279 1.946 20 0 DDADMM Cc1cc(O)cc(=O)n1CC(=O)Nc1cc([O-])c(F)cc1F ZINC001362517685 883987553 /nfs/dbraw/zinc/98/75/53/883987553.db2.gz WYTGIMVZAJUIRZ-UHFFFAOYSA-N -1 1 310.256 1.485 20 0 DDADMM CC[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccc(F)cc1 ZINC001362524541 884005526 /nfs/dbraw/zinc/00/55/26/884005526.db2.gz MRDWFJFKUWVWCW-SNVBAGLBSA-N -1 1 321.308 1.917 20 0 DDADMM CNc1ncccc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001362542370 884051283 /nfs/dbraw/zinc/05/12/83/884051283.db2.gz ZFWYTPPVVYORSP-UHFFFAOYSA-N -1 1 303.322 1.232 20 0 DDADMM CC[C@@H](C)CCC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001229715573 884125440 /nfs/dbraw/zinc/12/54/40/884125440.db2.gz OABNMXADBPRDGX-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM CN(C)C(=O)c1cc(F)ccc1NC(=O)c1ccc([O-])cn1 ZINC001362621779 884247263 /nfs/dbraw/zinc/24/72/63/884247263.db2.gz ISPWXMHYXSAEGT-UHFFFAOYSA-N -1 1 303.293 1.880 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@H](Oc2nc(C)[n-]c(=O)c2OC)C1 ZINC001230024330 884283615 /nfs/dbraw/zinc/28/36/15/884283615.db2.gz FQKLHLXRILSUBA-MNOVXSKESA-N -1 1 310.350 2.000 20 0 DDADMM COc1c(=O)[n-]c(C)nc1OC[C@H]1COc2cscc2O1 ZINC001230027731 884286061 /nfs/dbraw/zinc/28/60/61/884286061.db2.gz ZGCXMUCSYCMAQM-MRVPVSSYSA-N -1 1 310.331 1.780 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@@H]1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001362701334 884443050 /nfs/dbraw/zinc/44/30/50/884443050.db2.gz GEMHKYVHFMBOSG-OUAUKWLOSA-N -1 1 323.349 1.071 20 0 DDADMM CCC(CC)(NC(=O)CC(C)(C)COC(C)=O)c1nn[n-]n1 ZINC001362782301 884624554 /nfs/dbraw/zinc/62/45/54/884624554.db2.gz CHAWBGSSTCOHIE-UHFFFAOYSA-N -1 1 311.386 1.311 20 0 DDADMM O=C(NCc1ncc(Br)s1)c1ccc([O-])cn1 ZINC001362808250 884689447 /nfs/dbraw/zinc/68/94/47/884689447.db2.gz LASYCUXFTHTESG-UHFFFAOYSA-N -1 1 314.164 1.936 20 0 DDADMM Cc1cnc(C(=O)NCc2cnc(Br)cn2)c([O-])c1 ZINC001362815448 884707387 /nfs/dbraw/zinc/70/73/87/884707387.db2.gz YWPBBOAQRQNEQU-UHFFFAOYSA-N -1 1 323.150 1.578 20 0 DDADMM Cc1ccc(C(F)(F)C(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001362832488 884748942 /nfs/dbraw/zinc/74/89/42/884748942.db2.gz CZXGHXIWAZHOIT-UHFFFAOYSA-N -1 1 307.304 1.796 20 0 DDADMM COC(=O)C(C)(C)c1csc(NC(=O)c2ccc([O-])cn2)n1 ZINC001362929615 885012744 /nfs/dbraw/zinc/01/27/44/885012744.db2.gz JSCRUAPELASPIE-UHFFFAOYSA-N -1 1 321.358 1.947 20 0 DDADMM COc1cnc(C2(NC(=O)[C@@H]3CC(C)=NO3)CCCC2)[n-]c1=O ZINC001363003359 885201144 /nfs/dbraw/zinc/20/11/44/885201144.db2.gz PDSJUJNZQPHQET-JTQLQIEISA-N -1 1 320.349 1.241 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2CCCc3n[nH]cc32)cc(=O)[n-]1 ZINC001363143176 885570048 /nfs/dbraw/zinc/57/00/48/885570048.db2.gz SAUBSTMVZYAQAQ-SECBINFHSA-N -1 1 319.390 1.364 20 0 DDADMM CCCOCC(=O)N[C@@H](C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001288516628 912949441 /nfs/dbraw/zinc/94/94/41/912949441.db2.gz YZTWOCMTZFWBLT-NWDGAFQWSA-N -1 1 323.393 1.227 20 0 DDADMM CS(=O)(=O)c1cccc(CNC(=O)c2ccc([O-])cc2F)c1 ZINC001363206859 885713839 /nfs/dbraw/zinc/71/38/39/885713839.db2.gz OKSOFWRICVZPCG-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM CC(=O)Nc1cccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c1C ZINC001363215112 885731271 /nfs/dbraw/zinc/73/12/71/885731271.db2.gz BDLHKKUUHGBIMF-NSHDSACASA-N -1 1 314.349 1.096 20 0 DDADMM NS(=O)(=O)c1cc([N-]C(=O)c2ncc(Cl)s2)cs1 ZINC001363267208 885862700 /nfs/dbraw/zinc/86/27/00/885862700.db2.gz JFJHTYRCYATMKZ-UHFFFAOYSA-N -1 1 323.808 1.758 20 0 DDADMM O=C([O-])COc1ccccc1CN1CC(N2CCC(F)CC2)C1 ZINC001231792943 885877457 /nfs/dbraw/zinc/87/74/57/885877457.db2.gz OLZMXZYETPCNOH-UHFFFAOYSA-N -1 1 322.380 1.768 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1CCC(=O)c2cccn21 ZINC001363277839 885893417 /nfs/dbraw/zinc/89/34/17/885893417.db2.gz VHYRKOVDEOJTCJ-LBPRGKRZSA-N -1 1 316.365 1.204 20 0 DDADMM CC(C)Oc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001363278363 885894192 /nfs/dbraw/zinc/89/41/92/885894192.db2.gz RWXDWENTYKJWAX-UHFFFAOYSA-N -1 1 301.350 1.796 20 0 DDADMM Cc1cccc([C@H]2C(=O)NCCN2C(=O)c2ncccc2[O-])c1 ZINC001363336784 886061179 /nfs/dbraw/zinc/06/11/79/886061179.db2.gz OEKQITXQBXGZHH-HNNXBMFYSA-N -1 1 311.341 1.409 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)C[C@H]1CCOC1 ZINC001363402697 886239779 /nfs/dbraw/zinc/23/97/79/886239779.db2.gz YOPXJQJKZDGIEL-GHMZBOCLSA-N -1 1 322.365 1.072 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)C[C@H]1CCOC1 ZINC001363402697 886239798 /nfs/dbraw/zinc/23/97/98/886239798.db2.gz YOPXJQJKZDGIEL-GHMZBOCLSA-N -1 1 322.365 1.072 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)C[C@H]1CCOC1 ZINC001363402697 886239817 /nfs/dbraw/zinc/23/98/17/886239817.db2.gz YOPXJQJKZDGIEL-GHMZBOCLSA-N -1 1 322.365 1.072 20 0 DDADMM O=C(c1cnc(C2CC2)o1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363437342 886335022 /nfs/dbraw/zinc/33/50/22/886335022.db2.gz VQOPMPMEAYDVFC-SECBINFHSA-N -1 1 302.338 1.160 20 0 DDADMM COC[C@H]1c2nnn(C)c2CCN1Cc1cc([O-])c(F)c(F)c1 ZINC001232972573 886701971 /nfs/dbraw/zinc/70/19/71/886701971.db2.gz QHIIEPNZOJWQIU-LBPRGKRZSA-N -1 1 324.331 1.545 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(Cc2cc(Cl)ncc2[O-])C1 ZINC001233039298 886746230 /nfs/dbraw/zinc/74/62/30/886746230.db2.gz SUEAEDWXIYTSAA-JTQLQIEISA-N -1 1 304.799 1.450 20 0 DDADMM O=c1[nH]c(CNCc2ccc([O-])c(F)c2F)nc2c1COCC2 ZINC001363679675 886960628 /nfs/dbraw/zinc/96/06/28/886960628.db2.gz FFMMPTZQLQBTIL-UHFFFAOYSA-N -1 1 323.299 1.529 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCO[C@H]3C2(C)C)sn1 ZINC001363777136 887193073 /nfs/dbraw/zinc/19/30/73/887193073.db2.gz CHQJMTKNUGWCFQ-WHGOUJPWSA-N -1 1 318.420 1.244 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)c2cc(OC)ns2)CCC1 ZINC001363821274 887314038 /nfs/dbraw/zinc/31/40/38/887314038.db2.gz ZHWNRSQCJREAIP-UHFFFAOYSA-N -1 1 320.436 1.637 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@H]2CCCC[C@@H]2O)n[n-]1 ZINC001363883931 887466846 /nfs/dbraw/zinc/46/68/46/887466846.db2.gz GQHCXDIQIBLTGA-MXWKQRLJSA-N -1 1 324.381 1.100 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@H]2CCCC[C@@H]2O)[n-]1 ZINC001363883931 887466849 /nfs/dbraw/zinc/46/68/49/887466849.db2.gz GQHCXDIQIBLTGA-MXWKQRLJSA-N -1 1 324.381 1.100 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@H]2CCCC[C@@H]2O)n1 ZINC001363883931 887466859 /nfs/dbraw/zinc/46/68/59/887466859.db2.gz GQHCXDIQIBLTGA-MXWKQRLJSA-N -1 1 324.381 1.100 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363892390 887486447 /nfs/dbraw/zinc/48/64/47/887486447.db2.gz DTEJSOFKZPTXRA-LBPRGKRZSA-N -1 1 319.361 1.521 20 0 DDADMM CN(C)C(=O)O[C@H]1CCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001363908968 887518183 /nfs/dbraw/zinc/51/81/83/887518183.db2.gz MCJHWOLOLIXJJP-JTQLQIEISA-N -1 1 300.305 1.943 20 0 DDADMM COC(=O)CCC(C)(C)[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001363941451 887578597 /nfs/dbraw/zinc/57/85/97/887578597.db2.gz IBGJTXSBMZZUGX-UHFFFAOYSA-N -1 1 322.408 1.162 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C23CCC(CC2)CC3)n[n-]1 ZINC001364059342 887821429 /nfs/dbraw/zinc/82/14/29/887821429.db2.gz FKRRWQAEXPOEKH-UHFFFAOYSA-N -1 1 306.366 1.568 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C23CCC(CC2)CC3)n1 ZINC001364059342 887821441 /nfs/dbraw/zinc/82/14/41/887821441.db2.gz FKRRWQAEXPOEKH-UHFFFAOYSA-N -1 1 306.366 1.568 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCS[C@@H](C)C2)sn1 ZINC001364134282 887998234 /nfs/dbraw/zinc/99/82/34/887998234.db2.gz UBOCMQKIVUCQMB-JGVFFNPUSA-N -1 1 308.450 1.714 20 0 DDADMM Cc1ccc(OC[C@@H](C)Oc2c(C(N)=O)nc[n-]c2=O)cc1 ZINC001234472251 888005000 /nfs/dbraw/zinc/00/50/00/888005000.db2.gz NEFAKLDPBAFZTJ-SNVBAGLBSA-N -1 1 303.318 1.436 20 0 DDADMM CC[C@H](C)CC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001384764718 888005916 /nfs/dbraw/zinc/00/59/16/888005916.db2.gz AUSVKCVPJGJFTF-YNEHKIRRSA-N -1 1 319.405 1.800 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H](C)c1cnn(C)c1C ZINC001234557999 888091147 /nfs/dbraw/zinc/09/11/47/888091147.db2.gz PTICYLQPIFBDCF-MRVPVSSYSA-N -1 1 306.322 1.459 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@@H]2CCn3ccnc32)c(=O)[n-]1 ZINC001234561831 888100404 /nfs/dbraw/zinc/10/04/04/888100404.db2.gz OBFVOWDOXNILRH-MRVPVSSYSA-N -1 1 303.322 1.125 20 0 DDADMM CC[S@](C)(=O)=NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[n-]1 ZINC001364194216 888124366 /nfs/dbraw/zinc/12/43/66/888124366.db2.gz CGWICHJWDPJOJS-NRFANRHFSA-N -1 1 310.335 1.002 20 0 DDADMM CC(C)C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C(C)(C)C ZINC001396144282 913244117 /nfs/dbraw/zinc/24/41/17/913244117.db2.gz MQMREYWHNWRRDF-GFCCVEGCSA-N -1 1 307.394 1.704 20 0 DDADMM O=C(Nc1ccc2c(c1)SCC(=O)N2)c1cnncc1[O-] ZINC001364507334 888795847 /nfs/dbraw/zinc/79/58/47/888795847.db2.gz QBOVCCLJHVWNIG-UHFFFAOYSA-N -1 1 302.315 1.479 20 0 DDADMM CSC[C@@H](C)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001385301576 888833482 /nfs/dbraw/zinc/83/34/82/888833482.db2.gz YQKMPBUFNGFEBG-GHMZBOCLSA-N -1 1 323.418 1.117 20 0 DDADMM Cc1ccc(F)c(-c2ncccc2C(=O)N2CC[NH2+]CC2)c1[O-] ZINC001236390263 889224331 /nfs/dbraw/zinc/22/43/31/889224331.db2.gz IOVCNTMMUZBXEK-UHFFFAOYSA-N -1 1 315.348 1.947 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H]1CCCS1 ZINC001364767753 889371324 /nfs/dbraw/zinc/37/13/24/889371324.db2.gz HVJMVHGZDLQKMI-VHSXEESVSA-N -1 1 324.406 1.541 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H]1CCCS1 ZINC001364767753 889371329 /nfs/dbraw/zinc/37/13/29/889371329.db2.gz HVJMVHGZDLQKMI-VHSXEESVSA-N -1 1 324.406 1.541 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H]1CCCS1 ZINC001364767753 889371334 /nfs/dbraw/zinc/37/13/34/889371334.db2.gz HVJMVHGZDLQKMI-VHSXEESVSA-N -1 1 324.406 1.541 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)c2cnccc2C)sn1 ZINC001364808597 889458364 /nfs/dbraw/zinc/45/83/64/889458364.db2.gz ZHWIWDDKBSJWLV-VIFPVBQESA-N -1 1 313.404 1.895 20 0 DDADMM CS[C@H](C)C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001385675463 889547237 /nfs/dbraw/zinc/54/72/37/889547237.db2.gz AKARQOJPPCTQMG-KOLCDFICSA-N -1 1 323.418 1.163 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1CCCn2nccc21 ZINC001364929088 889686074 /nfs/dbraw/zinc/68/60/74/889686074.db2.gz UYHPWGGQEDKGEC-MRVPVSSYSA-N -1 1 314.392 1.162 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)CCC[C@H]2C)[n-]n1 ZINC001365036075 889947634 /nfs/dbraw/zinc/94/76/34/889947634.db2.gz XLPNSWBFSUQGJQ-NXEZZACHSA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)CCC[C@H]2C)n[n-]1 ZINC001365036075 889947645 /nfs/dbraw/zinc/94/76/45/889947645.db2.gz XLPNSWBFSUQGJQ-NXEZZACHSA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)CC(C)(C)CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001365511207 890954540 /nfs/dbraw/zinc/95/45/40/890954540.db2.gz SSZHBLHKWOTJLC-UHFFFAOYSA-N -1 1 319.365 1.053 20 0 DDADMM CN1CCN(c2ccc(-c3nccnc3CC(=O)[O-])cc2)CC1 ZINC001240850354 891074308 /nfs/dbraw/zinc/07/43/08/891074308.db2.gz FGNNQJKPPIFMNT-UHFFFAOYSA-N -1 1 312.373 1.523 20 0 DDADMM NS(=O)(=O)c1ccc(-c2c(F)cc([O-])cc2F)nc1F ZINC001241119872 891121703 /nfs/dbraw/zinc/12/17/03/891121703.db2.gz UOJAAJVGQFXXTM-UHFFFAOYSA-N -1 1 304.249 1.519 20 0 DDADMM O=C1[N-]S(=O)(=O)c2cccc(-c3ccc4[nH]nnc4c3)c2N1 ZINC001241941283 891307842 /nfs/dbraw/zinc/30/78/42/891307842.db2.gz IIUPMNPUBWBUGJ-UHFFFAOYSA-N -1 1 315.314 1.449 20 0 DDADMM O=C1[N-]S(=O)(=O)c2cccc(-c3ccc4nn[nH]c4c3)c2N1 ZINC001241941283 891307858 /nfs/dbraw/zinc/30/78/58/891307858.db2.gz IIUPMNPUBWBUGJ-UHFFFAOYSA-N -1 1 315.314 1.449 20 0 DDADMM Cc1cc2c(c(-c3cccc(N4CCOCC4)c3)n1)C(=O)[N-]C2=O ZINC001241954972 891311375 /nfs/dbraw/zinc/31/13/75/891311375.db2.gz GYHKMVCQPUYQBV-UHFFFAOYSA-N -1 1 323.352 1.777 20 0 DDADMM CCC(O)(CC)CC[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001365700183 891358626 /nfs/dbraw/zinc/35/86/26/891358626.db2.gz DYNUKAFZQICBRG-UHFFFAOYSA-N -1 1 308.425 1.371 20 0 DDADMM CC(=O)NCc1cc(-c2ccc(-c3nnn[n-]3)nc2)ccc1F ZINC001242830064 891524078 /nfs/dbraw/zinc/52/40/78/891524078.db2.gz YOPQVTPKPOUSSQ-UHFFFAOYSA-N -1 1 312.308 1.704 20 0 DDADMM CC(=O)NCc1cc(-c2ccc(-c3nn[n-]n3)nc2)ccc1F ZINC001242830064 891524085 /nfs/dbraw/zinc/52/40/85/891524085.db2.gz YOPQVTPKPOUSSQ-UHFFFAOYSA-N -1 1 312.308 1.704 20 0 DDADMM COc1cnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c(C)c1 ZINC001244789920 891920765 /nfs/dbraw/zinc/92/07/65/891920765.db2.gz JIIGAPGVYRSMNC-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM COc1ncc(-c2ncnc(C)c2OC)cc1[N-]S(C)(=O)=O ZINC001244791949 891928392 /nfs/dbraw/zinc/92/83/92/891928392.db2.gz VVKOAQIGNHAHFM-UHFFFAOYSA-N -1 1 324.362 1.236 20 0 DDADMM CC(C)CCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001365928724 891943024 /nfs/dbraw/zinc/94/30/24/891943024.db2.gz XMKXMLCKOUMKSF-QWHCGFSZSA-N -1 1 323.441 1.806 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=S)NCCc1ccccc1 ZINC001245293375 892068807 /nfs/dbraw/zinc/06/88/07/892068807.db2.gz MGDRUJMGNNSNIA-UHFFFAOYSA-N -1 1 319.346 1.815 20 0 DDADMM O=C([O-])Cc1nccnc1C1=CC[C@@H](N2CCOCC2)CC1 ZINC001245419466 892110275 /nfs/dbraw/zinc/11/02/75/892110275.db2.gz QCFKGBFKYPLATN-CYBMUJFWSA-N -1 1 303.362 1.372 20 0 DDADMM NS(=O)(=O)c1cccnc1-c1c(F)ccc([O-])c1Cl ZINC001245827262 892248349 /nfs/dbraw/zinc/24/83/49/892248349.db2.gz NNENCDHCMIRQJB-UHFFFAOYSA-N -1 1 302.714 1.894 20 0 DDADMM CC(C)[C@H](C)C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001387227593 892658817 /nfs/dbraw/zinc/65/88/17/892658817.db2.gz UNXHSJMXHNZCLR-STQMWFEESA-N -1 1 319.405 1.658 20 0 DDADMM C[S@](=O)CCCNC(=S)Nc1cc(F)cc(F)c1[O-] ZINC001246600358 892724524 /nfs/dbraw/zinc/72/45/24/892724524.db2.gz IWFIRYREQQGCFY-IBGZPJMESA-N -1 1 308.375 1.725 20 0 DDADMM CCCC[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001366389377 893409952 /nfs/dbraw/zinc/40/99/52/893409952.db2.gz MYQQQSXAWAJMHC-STQMWFEESA-N -1 1 323.441 1.663 20 0 DDADMM Cc1nc(CN(C)CCNC(=O)c2ncccc2[O-])oc1C ZINC001366520174 893841242 /nfs/dbraw/zinc/84/12/42/893841242.db2.gz NLDDCIFBVGKZGF-UHFFFAOYSA-N -1 1 304.350 1.254 20 0 DDADMM O=c1[nH]cnc([O-])c1NS(=O)(=O)c1cccc2ccccc21 ZINC001250595170 894336088 /nfs/dbraw/zinc/33/60/88/894336088.db2.gz LQPCVEFHEWFZLF-UHFFFAOYSA-N -1 1 317.326 1.842 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccccc1)c1ccncc1 ZINC001251170429 894655956 /nfs/dbraw/zinc/65/59/56/894655956.db2.gz ZTVPWDVSCUUGDJ-ZDUSSCGKSA-N -1 1 306.343 1.274 20 0 DDADMM O=[P@]([O-])(O)CCCCNS(=O)(=O)Cc1ccccc1 ZINC001252917796 895543570 /nfs/dbraw/zinc/54/35/70/895543570.db2.gz MCCUGLMLPLRHBR-UHFFFAOYSA-N -1 1 307.308 1.064 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1(CF)CC1 ZINC001388943793 896217854 /nfs/dbraw/zinc/21/78/54/896217854.db2.gz ROMRVIDCESHFKE-NSHDSACASA-N -1 1 321.352 1.258 20 0 DDADMM O=c1[n-][nH]c(=O)c2cc(-c3nnc([C@H]4CCOC4)o3)ccc12 ZINC001255524855 896985730 /nfs/dbraw/zinc/98/57/30/896985730.db2.gz XYPSKYIQXFSNLT-QMMMGPOBSA-N -1 1 300.274 1.595 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](NCC(=O)CCC(=O)[O-])C1 ZINC001255590912 897035506 /nfs/dbraw/zinc/03/55/06/897035506.db2.gz LVDXHCLBHFALNF-JTQLQIEISA-N -1 1 300.355 1.019 20 0 DDADMM O=S(=O)([N-]CCOCCO)c1cc(Cl)c(Cl)s1 ZINC001257437802 897847520 /nfs/dbraw/zinc/84/75/20/897847520.db2.gz HVYNUWGXZDTIEF-UHFFFAOYSA-N -1 1 320.219 1.342 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]Cc1nnc2cc(C)ccn21 ZINC001258706258 898298503 /nfs/dbraw/zinc/29/85/03/898298503.db2.gz HJBBHHFUKOMTSK-UHFFFAOYSA-N -1 1 321.362 1.121 20 0 DDADMM Cc1ccc(F)cc1S(=O)(=O)[N-][C@H](CO)C(F)(F)F ZINC001258929320 898364502 /nfs/dbraw/zinc/36/45/02/898364502.db2.gz KUMPRMPIRJYIBL-SECBINFHSA-N -1 1 301.261 1.336 20 0 DDADMM O=C1CCCCN1CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258941183 898369284 /nfs/dbraw/zinc/36/92/84/898369284.db2.gz MSFSJYCYJYLFFY-UHFFFAOYSA-N -1 1 318.345 1.256 20 0 DDADMM CC1(C)[C@@H]2CC[C@@]1(CS(=O)(=O)[N-][C@@H]1COC[C@@H]1F)C(=O)C2 ZINC001258992526 898396575 /nfs/dbraw/zinc/39/65/75/898396575.db2.gz XCAFBQCTJPTMDZ-FBKDDSFISA-N -1 1 319.398 1.038 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1c(C)ccc(C(=O)OC)c1C ZINC001259026257 898425059 /nfs/dbraw/zinc/42/50/59/898425059.db2.gz MOMJDTGFUACHLY-UHFFFAOYSA-N -1 1 315.347 1.005 20 0 DDADMM Cc1cc(CNC2(CNC(=O)c3[nH]nc(C)c3[O-])CC2)sn1 ZINC001367994492 898432411 /nfs/dbraw/zinc/43/24/11/898432411.db2.gz XILUPKLPUJBGJF-UHFFFAOYSA-N -1 1 321.406 1.241 20 0 DDADMM CCn1cnc(C[N-]S(=O)(=O)c2c(F)cccc2F)c1 ZINC001259072759 898450259 /nfs/dbraw/zinc/45/02/59/898450259.db2.gz OOQNOZASIQFEPB-UHFFFAOYSA-N -1 1 301.318 1.660 20 0 DDADMM O=c1[nH]cnc([O-])c1NS(=O)(=O)c1cccc2cnccc21 ZINC001259151978 898493074 /nfs/dbraw/zinc/49/30/74/898493074.db2.gz LLOWDFBXCWMRNC-UHFFFAOYSA-N -1 1 318.314 1.237 20 0 DDADMM COCCn1cnc([N-]S(=O)(=O)Cc2cccc(C)c2)c1 ZINC001259744194 898775725 /nfs/dbraw/zinc/77/57/25/898775725.db2.gz YDNRZBGRGVHDNA-UHFFFAOYSA-N -1 1 309.391 1.780 20 0 DDADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)[C@H]1CC12CC2 ZINC001368140514 898789973 /nfs/dbraw/zinc/78/99/73/898789973.db2.gz WIBFDYRYYWYHLX-NEPJUHHUSA-N -1 1 319.409 1.075 20 0 DDADMM CC(=O)c1ccnc(Cl)c1[N-]S(=O)(=O)c1cnn(C)c1 ZINC001259820588 898827490 /nfs/dbraw/zinc/82/74/90/898827490.db2.gz FDWJENZOAXLTRM-UHFFFAOYSA-N -1 1 314.754 1.472 20 0 DDADMM O=S(=O)([N-]c1nccnc1Cl)c1ccc2c(c1)OCO2 ZINC001259843354 898858928 /nfs/dbraw/zinc/85/89/28/898858928.db2.gz LYTIQHPFHSZTPW-UHFFFAOYSA-N -1 1 313.722 1.660 20 0 DDADMM O=S(=O)([N-]c1ccccc1OC1COC1)C1CCOCC1 ZINC001259915738 898924184 /nfs/dbraw/zinc/92/41/84/898924184.db2.gz VBKJEMHTOHNXAV-UHFFFAOYSA-N -1 1 313.375 1.385 20 0 DDADMM CN1CCN(Cc2ccccc2[N-]S(=O)(=O)C(F)F)CC1 ZINC001259956913 898977849 /nfs/dbraw/zinc/97/78/49/898977849.db2.gz ZXMRZJNSXAZFLC-UHFFFAOYSA-N -1 1 319.377 1.398 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(C(=O)OC)cc(F)c1C ZINC001259972852 898997917 /nfs/dbraw/zinc/99/79/17/898997917.db2.gz CSIBQIXZILABEB-UHFFFAOYSA-N -1 1 305.327 1.309 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2cnc3ccccc3c2)o1 ZINC001260015722 899014806 /nfs/dbraw/zinc/01/48/06/899014806.db2.gz VMCNVNFFJACFLK-UHFFFAOYSA-N -1 1 304.331 1.405 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2cc(C(=O)[O-])ccc2F)n1C ZINC001260210581 899090480 /nfs/dbraw/zinc/09/04/80/899090480.db2.gz OIAUADMVBICOQT-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM CCn1ncc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)c1C ZINC001260221080 899092305 /nfs/dbraw/zinc/09/23/05/899092305.db2.gz GZRAKTRGYBDTCJ-UHFFFAOYSA-N -1 1 309.347 1.710 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)N[C@H]2CCN(C3CCC3)C2)cc1 ZINC001260231096 899102063 /nfs/dbraw/zinc/10/20/63/899102063.db2.gz UQUHHEWZHROQFW-LBPRGKRZSA-N -1 1 324.402 1.290 20 0 DDADMM CC(=O)NCC[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260277772 899110373 /nfs/dbraw/zinc/11/03/73/899110373.db2.gz YLGVRGBSWZWFPC-UHFFFAOYSA-N -1 1 312.725 1.033 20 0 DDADMM CC(=O)N1CC([N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)C1 ZINC001260289313 899112146 /nfs/dbraw/zinc/11/21/46/899112146.db2.gz SGLHXMIRVCOCDV-UHFFFAOYSA-N -1 1 324.736 1.127 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cc(Cl)ccc1Cl ZINC001260591816 899177770 /nfs/dbraw/zinc/17/77/70/899177770.db2.gz NHOWHKVQHSQIJC-UHFFFAOYSA-N -1 1 318.203 1.737 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2c3cc(C)ccc3NC2=O)cn1C ZINC001260549955 899165698 /nfs/dbraw/zinc/16/56/98/899165698.db2.gz FYDOUUQUDFMBMD-ZDUSSCGKSA-N -1 1 320.374 1.009 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CC1CCC(OC)CC1 ZINC001261741360 899746852 /nfs/dbraw/zinc/74/68/52/899746852.db2.gz LKLYOSHFNSOVRT-UHFFFAOYSA-N -1 1 301.383 1.971 20 0 DDADMM Cc1ccnc(-c2ccc(NC(=O)CCc3nn[n-]n3)cc2)n1 ZINC001293339983 914425974 /nfs/dbraw/zinc/42/59/74/914425974.db2.gz VUICGSVKEXQWJT-UHFFFAOYSA-N -1 1 309.333 1.536 20 0 DDADMM CC(C)c1cccc(C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001374949911 914434615 /nfs/dbraw/zinc/43/46/15/914434615.db2.gz CFXRZKIUKAZIAF-NSHDSACASA-N -1 1 317.393 1.542 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1CC=CCC1 ZINC001262915317 900417665 /nfs/dbraw/zinc/41/76/65/900417665.db2.gz IIBUZTIATPXBSV-STQMWFEESA-N -1 1 315.373 1.474 20 0 DDADMM Cc1nc2ccc(C(=O)N3CC[C@H](c4nn[n-]n4)C3)cc2n1C ZINC001263886557 900799586 /nfs/dbraw/zinc/79/95/86/900799586.db2.gz NJUBWPBUFXJJCD-NSHDSACASA-N -1 1 311.349 1.025 20 0 DDADMM CC/C=C(/C)C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001265511423 902084170 /nfs/dbraw/zinc/08/41/70/902084170.db2.gz LHBDOSQOFJQCFO-NSKULJGCSA-N -1 1 319.409 1.478 20 0 DDADMM CC[C@@H](C)[C@H](C)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001391769528 902562677 /nfs/dbraw/zinc/56/26/77/902562677.db2.gz KZXRZORLZFULFT-NEPJUHHUSA-N -1 1 323.441 1.711 20 0 DDADMM CCC(CC)[C@H](C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001370370297 903022639 /nfs/dbraw/zinc/02/26/39/903022639.db2.gz DJFVMNBRKCQUPS-JQWIXIFHSA-N -1 1 309.414 1.273 20 0 DDADMM Cc1nc(CN(C)C[C@H](C)NC(=O)c2ncccc2[O-])oc1C ZINC001375033496 914721563 /nfs/dbraw/zinc/72/15/63/914721563.db2.gz QMJQPYAXFFCUDL-JTQLQIEISA-N -1 1 318.377 1.642 20 0 DDADMM CCCC1(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])CCC1 ZINC001392293913 903792864 /nfs/dbraw/zinc/79/28/64/903792864.db2.gz SOMFDSWQFGOMMS-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CSCC(=O)NCCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001280813722 904077134 /nfs/dbraw/zinc/07/71/34/904077134.db2.gz WVGBDTYQPNHCRZ-JTQLQIEISA-N -1 1 311.407 1.165 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1C[C@H]1CC(C)(C)C ZINC001392563704 904476549 /nfs/dbraw/zinc/47/65/49/904476549.db2.gz PEMASDFZTFEELK-GARJFASQSA-N -1 1 309.414 1.177 20 0 DDADMM Cc1cccc(CCC(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)c1 ZINC001371304198 904660922 /nfs/dbraw/zinc/66/09/22/904660922.db2.gz FKCAVSAPAKDCGF-LBPRGKRZSA-N -1 1 317.393 1.046 20 0 DDADMM CC(C)(C(=O)NCCNC(=O)c1ncccc1[O-])C(C)(F)F ZINC001282981342 906393867 /nfs/dbraw/zinc/39/38/67/906393867.db2.gz IYOSKOLKBCJMFU-UHFFFAOYSA-N -1 1 315.320 1.315 20 0 DDADMM CC[C@@H](C)C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372359200 907121055 /nfs/dbraw/zinc/12/10/55/907121055.db2.gz MHMMDQGEZPPAST-ZYHUDNBSSA-N -1 1 305.378 1.458 20 0 DDADMM CC[C@@H](C)C(=O)NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001372640164 907842055 /nfs/dbraw/zinc/84/20/55/907842055.db2.gz PNYJTDJDACDHTK-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM CCC(=O)N[C@H](C)CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001373321982 909510677 /nfs/dbraw/zinc/51/06/77/909510677.db2.gz ADZARFXWRQEGTG-SNVBAGLBSA-N -1 1 322.409 1.649 20 0 DDADMM CCN(CCNC(=O)CCCC(C)=O)C(=O)c1ncccc1[O-] ZINC001373755670 910875316 /nfs/dbraw/zinc/87/53/16/910875316.db2.gz XQKAOXBZBLZEKB-UHFFFAOYSA-N -1 1 321.377 1.125 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@H](C)C(C)(C)C ZINC001375693766 916723030 /nfs/dbraw/zinc/72/30/30/916723030.db2.gz GTTSANQXJBZMRT-NEPJUHHUSA-N -1 1 321.421 1.952 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)N(C)C(=O)c1ccc([O-])cc1F ZINC000181219616 199267526 /nfs/dbraw/zinc/26/75/26/199267526.db2.gz LBJYRZVENMDHBT-SECBINFHSA-N -1 1 303.355 1.427 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3C[C@H](C)C[C@@H](C)C3)nc2n1 ZINC000622870973 365550424 /nfs/dbraw/zinc/55/04/24/365550424.db2.gz NBSGBIJJBYYVQM-RKDXNWHRSA-N -1 1 303.366 1.737 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC[C@H](C)CC3)nc2n1 ZINC000622993476 365587157 /nfs/dbraw/zinc/58/71/57/365587157.db2.gz OSVRKCHERREZKM-MGCOHNPYSA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CC[C@H](C)OC)nc2n1 ZINC000622997437 365589608 /nfs/dbraw/zinc/58/96/08/365589608.db2.gz ZOGIMYPYIMDBSD-VIFPVBQESA-N -1 1 307.354 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@](C)(CC)OC)nc2n1 ZINC000622997698 365591233 /nfs/dbraw/zinc/59/12/33/365591233.db2.gz HZYQIDSCEQGPMX-AWEZNQCLSA-N -1 1 307.354 1.124 20 0 DDADMM C[C@H](Oc1ccc(Cl)c(Cl)c1)C(=O)Nc1nnn[n-]1 ZINC000076963886 185061788 /nfs/dbraw/zinc/06/17/88/185061788.db2.gz ZCHAQZBLVFOEEG-YFKPBYRVSA-N -1 1 302.121 1.913 20 0 DDADMM C[C@H](Oc1ccc(Cl)c(Cl)c1)C(=O)Nc1nn[n-]n1 ZINC000076963886 185061789 /nfs/dbraw/zinc/06/17/89/185061789.db2.gz ZCHAQZBLVFOEEG-YFKPBYRVSA-N -1 1 302.121 1.913 20 0 DDADMM C[C@H]1CN=C(N2CCN(C(=O)c3ccc([O-])cc3F)CC2)S1 ZINC000181237978 199270257 /nfs/dbraw/zinc/27/02/57/199270257.db2.gz VMNBSVKTMUVFSX-JTQLQIEISA-N -1 1 323.393 1.780 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2[C@H](C)CCC[C@H]2C)o1 ZINC000096783519 185387651 /nfs/dbraw/zinc/38/76/51/185387651.db2.gz QJOORUQNXWQOEK-NXEZZACHSA-N -1 1 300.380 1.591 20 0 DDADMM O=C(c1cnc2[nH]ccc2c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614389498 361832778 /nfs/dbraw/zinc/83/27/78/361832778.db2.gz NLTKHVDXSFZZKW-JTQLQIEISA-N -1 1 313.317 1.623 20 0 DDADMM CC[C@]1(C(C)C)C[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000457305394 529391074 /nfs/dbraw/zinc/39/10/74/529391074.db2.gz VGDSUMSIJHTFLG-MLGOLLRUSA-N -1 1 305.378 1.458 20 0 DDADMM O=C1NCCC[C@H]1[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451137416 231017569 /nfs/dbraw/zinc/01/75/69/231017569.db2.gz UELZFNJTVUUREA-SNVBAGLBSA-N -1 1 312.322 1.129 20 0 DDADMM COCCN(CC(=O)OC(C)(C)C)C(=O)c1ncc(C)cc1[O-] ZINC000614618208 361944579 /nfs/dbraw/zinc/94/45/79/361944579.db2.gz APHKCLGSHXPCEN-UHFFFAOYSA-N -1 1 324.377 1.526 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC2(CCC2)[C@H]1c1ccco1 ZINC000451973490 231254571 /nfs/dbraw/zinc/25/45/71/231254571.db2.gz HGPMDAPFPOPHCX-GFCCVEGCSA-N -1 1 323.374 1.904 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(-n2ccnn2)cc1)c1nn[n-]n1 ZINC000090061016 185279992 /nfs/dbraw/zinc/27/99/92/185279992.db2.gz BBHMXUVSNQCLER-GFCCVEGCSA-N -1 1 312.337 1.052 20 0 DDADMM O=C(NC[C@H]1CCCN(CC(F)(F)F)C1)c1cncc([O-])c1 ZINC000615335692 362255262 /nfs/dbraw/zinc/25/52/62/362255262.db2.gz CCTFRKHPERFEOG-SNVBAGLBSA-N -1 1 317.311 1.791 20 0 DDADMM O=C(NCCn1cnnc1)c1ccc(C(F)(F)F)cc1[O-] ZINC000615634197 362375075 /nfs/dbraw/zinc/37/50/75/362375075.db2.gz ZTIRLCJZBXBLID-UHFFFAOYSA-N -1 1 300.240 1.433 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCN(c2ncns2)CC1 ZINC000615805243 362449604 /nfs/dbraw/zinc/44/96/04/362449604.db2.gz MGNLLRGCQSOPFN-UHFFFAOYSA-N -1 1 324.793 1.860 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCc2ccc(F)cc2)n[n-]1 ZINC000615919797 362486619 /nfs/dbraw/zinc/48/66/19/362486619.db2.gz MDVSCCIMWAJTRW-UHFFFAOYSA-N -1 1 320.324 1.370 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCc2ccc(F)cc2)n1 ZINC000615919797 362486627 /nfs/dbraw/zinc/48/66/27/362486627.db2.gz MDVSCCIMWAJTRW-UHFFFAOYSA-N -1 1 320.324 1.370 20 0 DDADMM Cn1cncc1C[N-]S(=O)(=O)c1c(F)cc(F)cc1F ZINC000349805126 539397584 /nfs/dbraw/zinc/39/75/84/539397584.db2.gz WKWKTABOPMSDIB-UHFFFAOYSA-N -1 1 305.281 1.316 20 0 DDADMM CC[C@H](C)[C@@H](OC)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616009157 362518692 /nfs/dbraw/zinc/51/86/92/362518692.db2.gz QFBJLNHVPCIAQM-IMTBSYHQSA-N -1 1 304.188 1.902 20 0 DDADMM CC(=O)NCC(C)(C)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616011764 362520210 /nfs/dbraw/zinc/52/02/10/362520210.db2.gz SZWPHLWCLIVXKA-UHFFFAOYSA-N -1 1 317.187 1.003 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3cccc(C)n3)no2)o1 ZINC000356192508 539465545 /nfs/dbraw/zinc/46/55/45/539465545.db2.gz USMWFXASNIKDLZ-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM CCc1nocc1CN(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358334329 299124232 /nfs/dbraw/zinc/12/42/32/299124232.db2.gz RDTKCEXPAXOYJO-UHFFFAOYSA-N -1 1 315.333 1.497 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CC[C@]2(CNC(=O)C2)C1 ZINC000279607753 215001293 /nfs/dbraw/zinc/00/12/93/215001293.db2.gz WMSNSPZYFVSRIE-SFHVURJKSA-N -1 1 310.353 1.898 20 0 DDADMM CCN(C(=O)c1ccc([O-])cc1F)[C@@H](C)CS(=O)(=O)CC ZINC000181973644 199369724 /nfs/dbraw/zinc/36/97/24/199369724.db2.gz FLAVOWUUSCRLGV-JTQLQIEISA-N -1 1 317.382 1.817 20 0 DDADMM C[C@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)CCc1nn[n-]n1 ZINC000631395177 422737704 /nfs/dbraw/zinc/73/77/04/422737704.db2.gz NUJUCBMZDLQAJV-JQWIXIFHSA-N -1 1 303.341 1.676 20 0 DDADMM CCO[C@@H](CC(=O)N(C)C[C@H](C)c1nn[n-]n1)C1=CCCC1 ZINC000358417420 299147586 /nfs/dbraw/zinc/14/75/86/299147586.db2.gz UXHPXVVFFBFCHT-AAEUAGOBSA-N -1 1 307.398 1.667 20 0 DDADMM O=C([O-])c1cnc(NCC2(N3CCOCC3)CCCCC2)nc1 ZINC000564572978 304002693 /nfs/dbraw/zinc/00/26/93/304002693.db2.gz YSHILTYYNGXMGC-UHFFFAOYSA-N -1 1 320.393 1.622 20 0 DDADMM CO[C@H](C)c1nc(=NCCOCC(=O)OC(C)(C)C)s[n-]1 ZINC000601221632 358453769 /nfs/dbraw/zinc/45/37/69/358453769.db2.gz VVCYPWWXVLMJOI-SECBINFHSA-N -1 1 317.411 1.438 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C[C@@H]1O ZINC000130159035 185941899 /nfs/dbraw/zinc/94/18/99/185941899.db2.gz KODQNDPBQCZNGG-BDAKNGLRSA-N -1 1 309.309 1.542 20 0 DDADMM CCCc1nc(=NC[C@@]2(C(=O)OCC)CCCOC2)s[n-]1 ZINC000601244834 358461768 /nfs/dbraw/zinc/46/17/68/358461768.db2.gz UUBFGZIXFLGVKU-AWEZNQCLSA-N -1 1 313.423 1.684 20 0 DDADMM O=C(N[C@H]1CCCN(C2CCCCC2)C1=O)c1ncccc1[O-] ZINC000277195546 213358721 /nfs/dbraw/zinc/35/87/21/213358721.db2.gz XDWYKNFLGQHHBW-ZDUSSCGKSA-N -1 1 317.389 1.841 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2ccn(C)n2)n[nH]c1-c1ccccc1 ZINC000358528159 299186913 /nfs/dbraw/zinc/18/69/13/299186913.db2.gz NXJJUYGKXKPAKN-UHFFFAOYSA-N -1 1 317.374 1.919 20 0 DDADMM CCCCN(C)C(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC000042127860 352330943 /nfs/dbraw/zinc/33/09/43/352330943.db2.gz LNRBQSUTUNCSKE-UHFFFAOYSA-N -1 1 314.389 1.941 20 0 DDADMM CN(CC1CC1)C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000047920492 352516257 /nfs/dbraw/zinc/51/62/57/352516257.db2.gz DFIDGWQTWJXVKC-UHFFFAOYSA-N -1 1 314.407 1.838 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]3CCC[C@H]32)o1 ZINC000174364957 198325087 /nfs/dbraw/zinc/32/50/87/198325087.db2.gz BTHHXAQYGBOUJB-WDEREUQCSA-N -1 1 312.391 1.592 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)C=Cc1cncc(F)c1 ZINC000081443991 353674280 /nfs/dbraw/zinc/67/42/80/353674280.db2.gz MQSBDXDCFTZPNV-NSCUHMNNSA-N -1 1 307.354 1.613 20 0 DDADMM CCN(Cc1ccccc1)C(=O)[C@@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000086169701 353738409 /nfs/dbraw/zinc/73/84/09/353738409.db2.gz VAGVZLJPSIAJRL-LLVKDONJSA-N -1 1 322.390 1.016 20 0 DDADMM CCN(Cc1ccccc1)C(=O)[C@@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000086169701 353738411 /nfs/dbraw/zinc/73/84/11/353738411.db2.gz VAGVZLJPSIAJRL-LLVKDONJSA-N -1 1 322.390 1.016 20 0 DDADMM COCCNC(=O)Cn1cc([N-]c2nc(C3CC3)ns2)cn1 ZINC000092657373 353865539 /nfs/dbraw/zinc/86/55/39/353865539.db2.gz HVOAIDWWBSOJHF-UHFFFAOYSA-N -1 1 322.394 1.118 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(C)ns2)co1 ZINC000132498105 354105679 /nfs/dbraw/zinc/10/56/79/354105679.db2.gz GUQHPGKSGGLFAJ-UHFFFAOYSA-N -1 1 301.349 1.205 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(C(C)C)on2)n[n-]1 ZINC000617840287 363338274 /nfs/dbraw/zinc/33/82/74/363338274.db2.gz OELROGYHUHWNJU-UHFFFAOYSA-N -1 1 307.310 1.023 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(C(C)C)on2)n1 ZINC000617840287 363338277 /nfs/dbraw/zinc/33/82/77/363338277.db2.gz OELROGYHUHWNJU-UHFFFAOYSA-N -1 1 307.310 1.023 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2c(C)noc2CC)s1 ZINC000150730583 354177055 /nfs/dbraw/zinc/17/70/55/354177055.db2.gz LDZONRNHJGGRAV-UHFFFAOYSA-N -1 1 310.335 1.826 20 0 DDADMM COC(C)(C)CC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000280301231 215523222 /nfs/dbraw/zinc/52/32/22/215523222.db2.gz QRWZIWKLXUCKIV-UHFFFAOYSA-N -1 1 318.370 1.951 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC2CCOCC2)c(F)c1 ZINC000588683274 354928056 /nfs/dbraw/zinc/92/80/56/354928056.db2.gz YNLBHJGOBYDFAU-UHFFFAOYSA-N -1 1 321.345 1.678 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)N(C)CC(F)(F)F)n1 ZINC000590356049 355076100 /nfs/dbraw/zinc/07/61/00/355076100.db2.gz RHVHUIUKXRPOCC-ZETCQYMHSA-N -1 1 322.287 1.408 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-]CC(C)(F)F)cc1 ZINC000590165091 355055907 /nfs/dbraw/zinc/05/59/07/355055907.db2.gz CSSMPJDEGJYUDS-UHFFFAOYSA-N -1 1 307.318 1.548 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H](OC)C(C)C)C(C)(C)C ZINC000592028956 355469907 /nfs/dbraw/zinc/46/99/07/355469907.db2.gz AHHXTTUVTSJGQJ-WDEREUQCSA-N -1 1 309.428 1.165 20 0 DDADMM COC(=O)c1[nH]c(C)cc1[N-]S(=O)(=O)C[C@@H](OC)C(C)C ZINC000592350870 355565269 /nfs/dbraw/zinc/56/52/69/355565269.db2.gz JUKIYDAPRLCOQY-LLVKDONJSA-N -1 1 318.395 1.522 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cccc(SC)n1 ZINC000592615073 355644180 /nfs/dbraw/zinc/64/41/80/355644180.db2.gz MOPUYEIRGASDCD-UHFFFAOYSA-N -1 1 320.374 1.388 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1CC[S@@](=O)c1ccccc1 ZINC000593745897 355983872 /nfs/dbraw/zinc/98/38/72/355983872.db2.gz CKGRIPPCIMPJOP-FKIZINRSSA-N -1 1 313.444 1.686 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)c1sccc1Cl ZINC000593634029 355949323 /nfs/dbraw/zinc/94/93/23/355949323.db2.gz IZCUIGWHDSOWMO-SSDOTTSWSA-N -1 1 315.775 1.581 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)c2cocn2)n[n-]1 ZINC000593895095 356046054 /nfs/dbraw/zinc/04/60/54/356046054.db2.gz CUTJCULSIVCEOK-SECBINFHSA-N -1 1 321.337 1.487 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)c2cocn2)[n-]1 ZINC000593895095 356046056 /nfs/dbraw/zinc/04/60/56/356046056.db2.gz CUTJCULSIVCEOK-SECBINFHSA-N -1 1 321.337 1.487 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)c2cocn2)n1 ZINC000593895095 356046060 /nfs/dbraw/zinc/04/60/60/356046060.db2.gz CUTJCULSIVCEOK-SECBINFHSA-N -1 1 321.337 1.487 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCc3cccc(O)c32)n1 ZINC000593900879 356047608 /nfs/dbraw/zinc/04/76/08/356047608.db2.gz ICYCEPKRHAFFJQ-JTQLQIEISA-N -1 1 315.329 1.961 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)C[C@@H](OC)C1CCCCC1 ZINC000594753898 356308452 /nfs/dbraw/zinc/30/84/52/356308452.db2.gz HKQFIDDHZZBRAU-GFCCVEGCSA-N -1 1 307.412 1.064 20 0 DDADMM COCCC(C)(C)[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595314294 356445203 /nfs/dbraw/zinc/44/52/03/356445203.db2.gz XQSKLMSXMRIMNW-UHFFFAOYSA-N -1 1 319.379 1.468 20 0 DDADMM O=C(NCCO[C@@H]1CC1(F)F)c1csc(=NC2CC2)[n-]1 ZINC000618133011 363470660 /nfs/dbraw/zinc/47/06/60/363470660.db2.gz MZEJDMUFONUKQA-SECBINFHSA-N -1 1 303.334 1.293 20 0 DDADMM C/C=C/C[N-]S(=O)(=O)c1cc(F)cc(C(=O)OC)c1F ZINC000599475793 357950271 /nfs/dbraw/zinc/95/02/71/357950271.db2.gz WQSFAAKWPVRJIC-ONEGZZNKSA-N -1 1 305.302 1.606 20 0 DDADMM Cc1cc(NC(=O)C(C)(C)C)sc1C(=O)NCc1nn[n-]n1 ZINC000600505739 358243639 /nfs/dbraw/zinc/24/36/39/358243639.db2.gz RNLCGPFTZDNCMC-UHFFFAOYSA-N -1 1 322.394 1.484 20 0 DDADMM COCC[C@](C)(O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180669404 199194271 /nfs/dbraw/zinc/19/42/71/199194271.db2.gz NDWWPJNKBNHRPV-ZDUSSCGKSA-N -1 1 321.295 1.928 20 0 DDADMM CSC1(C[N-]S(=O)(=O)c2cc(C)ns2)CCOCC1 ZINC000601357219 358514490 /nfs/dbraw/zinc/51/44/90/358514490.db2.gz ODOJPPIYYWXZNP-UHFFFAOYSA-N -1 1 322.477 1.642 20 0 DDADMM COC[C@@H](C)CC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000358917263 299280457 /nfs/dbraw/zinc/28/04/57/299280457.db2.gz DZBHASXDWXXYTC-VIFPVBQESA-N -1 1 318.370 1.808 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@H]1CCC1(F)F ZINC000601438938 358553771 /nfs/dbraw/zinc/55/37/71/358553771.db2.gz CXLVWZATNHPBNS-NXEZZACHSA-N -1 1 311.350 1.293 20 0 DDADMM C[C@H]1CC[C@@H](CC(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000181033933 199243144 /nfs/dbraw/zinc/24/31/44/199243144.db2.gz MZGKYDMJQADKOU-VHSXEESVSA-N -1 1 312.391 1.804 20 0 DDADMM CCOC(=O)c1ncc(CN2CC[C@@H](C)[C@H](C(=O)[O-])C2)s1 ZINC000602020117 358779306 /nfs/dbraw/zinc/77/93/06/358779306.db2.gz IFIVXEYTJOPUAD-MWLCHTKSSA-N -1 1 312.391 1.862 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)C[C@@H]1CCCCO1 ZINC000348167865 283399222 /nfs/dbraw/zinc/39/92/22/283399222.db2.gz MPHDMXOAJATIFU-NWDGAFQWSA-N -1 1 307.412 1.063 20 0 DDADMM CC(C)(C)OC(=O)CCCN1CCC(c2n[n-]c(=N)o2)CC1 ZINC000602871598 359255806 /nfs/dbraw/zinc/25/58/06/359255806.db2.gz QWVGLSHKNNPMKR-UHFFFAOYSA-N -1 1 310.398 1.783 20 0 DDADMM CCC[C@@H]1CCC[C@H]1C(=O)NCc1n[n-]c(C(=O)OCC)n1 ZINC000603023497 359365780 /nfs/dbraw/zinc/36/57/80/359365780.db2.gz UUPBCRDNCVZAFJ-GHMZBOCLSA-N -1 1 308.382 1.814 20 0 DDADMM CCC[C@@H]1CCC[C@H]1C(=O)NCc1nc(C(=O)OCC)n[n-]1 ZINC000603023497 359365783 /nfs/dbraw/zinc/36/57/83/359365783.db2.gz UUPBCRDNCVZAFJ-GHMZBOCLSA-N -1 1 308.382 1.814 20 0 DDADMM O=C(Cc1ccccc1F)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000618487754 363649321 /nfs/dbraw/zinc/64/93/21/363649321.db2.gz DPLMKVVGFWGNHN-HNNXBMFYSA-N -1 1 311.320 1.787 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2CCCC23CC3)n[n-]1 ZINC000603157251 359443889 /nfs/dbraw/zinc/44/38/89/359443889.db2.gz WAPSMCSWJXXSKB-NXEZZACHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2CCCC23CC3)[n-]1 ZINC000603157251 359443898 /nfs/dbraw/zinc/44/38/98/359443898.db2.gz WAPSMCSWJXXSKB-NXEZZACHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2CCCC23CC3)n1 ZINC000603157251 359443903 /nfs/dbraw/zinc/44/39/03/359443903.db2.gz WAPSMCSWJXXSKB-NXEZZACHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(C)cs2)n[n-]1 ZINC000603157213 359444840 /nfs/dbraw/zinc/44/48/40/359444840.db2.gz USTCCKMJDWDBSQ-QMMMGPOBSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(C)cs2)[n-]1 ZINC000603157213 359444844 /nfs/dbraw/zinc/44/48/44/359444844.db2.gz USTCCKMJDWDBSQ-QMMMGPOBSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(C)cs2)n1 ZINC000603157213 359444847 /nfs/dbraw/zinc/44/48/47/359444847.db2.gz USTCCKMJDWDBSQ-QMMMGPOBSA-N -1 1 308.363 1.842 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC(C2CCCCC2)C1 ZINC000565678997 304083428 /nfs/dbraw/zinc/08/34/28/304083428.db2.gz PMKPWSXTJHEYJP-UHFFFAOYSA-N -1 1 301.350 1.070 20 0 DDADMM COC(=O)c1cccc(CN2CCC(c3n[nH]c(=O)[n-]3)CC2)n1 ZINC000565680065 304083532 /nfs/dbraw/zinc/08/35/32/304083532.db2.gz FBVVORKEQGGNNU-UHFFFAOYSA-N -1 1 317.349 1.072 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C(C)(C)C2CCC2)n[n-]1 ZINC000603152212 359438998 /nfs/dbraw/zinc/43/89/98/359438998.db2.gz BIHOLSKFVLRZSB-VIFPVBQESA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C(C)(C)C2CCC2)[n-]1 ZINC000603152212 359439002 /nfs/dbraw/zinc/43/90/02/359439002.db2.gz BIHOLSKFVLRZSB-VIFPVBQESA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C(C)(C)C2CCC2)n1 ZINC000603152212 359439005 /nfs/dbraw/zinc/43/90/05/359439005.db2.gz BIHOLSKFVLRZSB-VIFPVBQESA-N -1 1 308.382 1.985 20 0 DDADMM NC(=O)CO[N-]C(=O)CCCOc1ccc(Cl)cc1Cl ZINC000089465805 192794866 /nfs/dbraw/zinc/79/48/66/192794866.db2.gz CSSXZUOBQRIXMR-UHFFFAOYSA-N -1 1 321.160 1.686 20 0 DDADMM C/C=C\C[C@@H]([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2)C(=O)OCC ZINC000603311093 359562456 /nfs/dbraw/zinc/56/24/56/359562456.db2.gz VRVYTMLOTYJSNJ-RXVAOKCDSA-N -1 1 317.407 1.124 20 0 DDADMM CCOc1cc(C(=O)N[C@H](C)C(=O)N(C)C)cc(Cl)c1[O-] ZINC000186810012 200013956 /nfs/dbraw/zinc/01/39/56/200013956.db2.gz DYHHXRRKYXMVRZ-MRVPVSSYSA-N -1 1 314.769 1.651 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN(c2cccnn2)CC1 ZINC000280996724 216012868 /nfs/dbraw/zinc/01/28/68/216012868.db2.gz QVGSOUJZOFUEFV-UHFFFAOYSA-N -1 1 320.299 1.423 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](c1ccccc1)C1CC1 ZINC000281077996 216064334 /nfs/dbraw/zinc/06/43/34/216064334.db2.gz BJOWRRDTIYULJW-LBPRGKRZSA-N -1 1 307.375 1.995 20 0 DDADMM C[C@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)c1cccnc1 ZINC000604601106 359774639 /nfs/dbraw/zinc/77/46/39/359774639.db2.gz HGXDRBLFLPSREM-WBMJQRKESA-N -1 1 322.372 1.994 20 0 DDADMM CSc1n[nH]c(NC(=O)[C@H]2COc3cc(F)ccc3C2)n1 ZINC000624924341 366624661 /nfs/dbraw/zinc/62/46/61/366624661.db2.gz LBERCSPFEMWJNH-MRVPVSSYSA-N -1 1 308.338 1.856 20 0 DDADMM O=C(NCC1CCN(CC(F)(F)F)CC1)c1cncc([O-])c1 ZINC000190125780 200491326 /nfs/dbraw/zinc/49/13/26/200491326.db2.gz LYSQZQIRLPQPBL-UHFFFAOYSA-N -1 1 317.311 1.791 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1csc(=NC2CC2)[n-]1)C(C)(C)C ZINC000610487981 360448160 /nfs/dbraw/zinc/44/81/60/360448160.db2.gz YUPQKLCCMJKYJL-SNVBAGLBSA-N -1 1 311.407 1.457 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](C)C2CC2)o1 ZINC000610488194 360449974 /nfs/dbraw/zinc/44/99/74/360449974.db2.gz YKSYEGNBXAKXMA-SECBINFHSA-N -1 1 301.364 1.781 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)C(C)C ZINC000611082565 360617387 /nfs/dbraw/zinc/61/73/87/360617387.db2.gz XRYOBWINLSJDPC-JTQLQIEISA-N -1 1 305.352 1.016 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCC1(C)CC1 ZINC000348703160 283627369 /nfs/dbraw/zinc/62/73/69/283627369.db2.gz WJLUWGLIXUDXCY-UHFFFAOYSA-N -1 1 302.378 1.901 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)C[C@@H](C)OC)cc1Cl ZINC000193317736 201027578 /nfs/dbraw/zinc/02/75/78/201027578.db2.gz MANBRQZDFWPANH-MRVPVSSYSA-N -1 1 320.798 1.476 20 0 DDADMM CCO[C@@H]1COCC[C@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000613497354 361442900 /nfs/dbraw/zinc/44/29/00/361442900.db2.gz DRTCDVAAFIGXLM-ZIAGYGMSSA-N -1 1 316.357 1.864 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C(C)C)C2CC2)o1 ZINC000620039352 364254467 /nfs/dbraw/zinc/25/44/67/364254467.db2.gz UTOIXHWZMYIOSX-GFCCVEGCSA-N -1 1 300.380 1.352 20 0 DDADMM C[C@@H](Oc1ccccn1)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000579434600 422766379 /nfs/dbraw/zinc/76/63/79/422766379.db2.gz BPZWEVQJXSUKJK-ZCFIWIBFSA-N -1 1 301.228 1.625 20 0 DDADMM C[C@H]1CN(C(=O)c2cncc([O-])c2)C[C@@H](CC(F)(F)F)O1 ZINC000620806297 364561385 /nfs/dbraw/zinc/56/13/85/364561385.db2.gz QGUPEOSUYQJEMM-GZMMTYOYSA-N -1 1 304.268 1.969 20 0 DDADMM O=C(N[C@H](c1ccncc1)C1(CO)CCC1)c1cncc([O-])c1 ZINC000621031943 364653183 /nfs/dbraw/zinc/65/31/83/364653183.db2.gz GZMIAUOTNRDAES-OAHLLOKOSA-N -1 1 313.357 1.816 20 0 DDADMM Cc1cc(C)cc(CC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1 ZINC000621792118 365031874 /nfs/dbraw/zinc/03/18/74/365031874.db2.gz UYFVOVIAWQVEMM-UHFFFAOYSA-N -1 1 308.363 1.006 20 0 DDADMM Cc1cc(C)cc(CC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1 ZINC000621792118 365031876 /nfs/dbraw/zinc/03/18/76/365031876.db2.gz UYFVOVIAWQVEMM-UHFFFAOYSA-N -1 1 308.363 1.006 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1CCc2cc(F)ccc21 ZINC000621991536 365174802 /nfs/dbraw/zinc/17/48/02/365174802.db2.gz WRTDUDKZHHISKI-LBPRGKRZSA-N -1 1 316.336 1.307 20 0 DDADMM CC[C@@H](C)OCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091160912 193008521 /nfs/dbraw/zinc/00/85/21/193008521.db2.gz OHAOITVIYJZYIP-SECBINFHSA-N -1 1 318.370 1.951 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1noc2c1CCCC2 ZINC000622610297 365450240 /nfs/dbraw/zinc/45/02/40/365450240.db2.gz RLJILLXQIPKOAT-ZDUSSCGKSA-N -1 1 324.344 1.586 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cnc2n1CCCC2 ZINC000376487899 307245991 /nfs/dbraw/zinc/24/59/91/307245991.db2.gz YPGCKQDGZSUQGZ-UHFFFAOYSA-N -1 1 300.244 1.609 20 0 DDADMM Cc1scc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1C ZINC000359242849 299373609 /nfs/dbraw/zinc/37/36/09/299373609.db2.gz UTSPZEFNVSVITL-UHFFFAOYSA-N -1 1 300.365 1.139 20 0 DDADMM Cc1scc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1C ZINC000359242849 299373611 /nfs/dbraw/zinc/37/36/11/299373611.db2.gz UTSPZEFNVSVITL-UHFFFAOYSA-N -1 1 300.365 1.139 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@H]1CCC[C@@H](C)[C@H]1C ZINC000349667178 284008427 /nfs/dbraw/zinc/00/84/27/284008427.db2.gz PBLOYJKPRHPGCL-KKZNHRDASA-N -1 1 301.412 1.746 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCC[C@@H](C)[C@H]1C ZINC000349667178 284008433 /nfs/dbraw/zinc/00/84/33/284008433.db2.gz PBLOYJKPRHPGCL-KKZNHRDASA-N -1 1 301.412 1.746 20 0 DDADMM CC(C)(NC(=O)CCSCc1ccccc1)c1nn[n-]n1 ZINC000350002579 284130646 /nfs/dbraw/zinc/13/06/46/284130646.db2.gz KRAWELLRERBIHE-UHFFFAOYSA-N -1 1 305.407 1.875 20 0 DDADMM CCCn1nccc1NC(=O)CN1CCCC[C@@H]1CC(=O)[O-] ZINC000635018535 422772138 /nfs/dbraw/zinc/77/21/38/422772138.db2.gz ONPWTTYKTLQJFU-GFCCVEGCSA-N -1 1 308.382 1.561 20 0 DDADMM COc1cc(CNC(=O)c2ncccc2[O-])ccc1OCCO ZINC000263661232 203499740 /nfs/dbraw/zinc/49/97/40/203499740.db2.gz IPHUXSYUPMJHFS-UHFFFAOYSA-N -1 1 318.329 1.097 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N[C@@H](C)Cc2cc(C)[nH]n2)s[n-]1 ZINC000350639978 284231835 /nfs/dbraw/zinc/23/18/35/284231835.db2.gz QRSSISZJNLXJMT-IONNQARKSA-N -1 1 324.410 1.452 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1(C)C ZINC000271743079 209175057 /nfs/dbraw/zinc/17/50/57/209175057.db2.gz AIBGDXJKTACYPK-XHNCKOQMSA-N -1 1 321.830 1.411 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)N1CCC[C@@H]1C)c1cccs1 ZINC000351471899 284329191 /nfs/dbraw/zinc/32/91/91/284329191.db2.gz MUFUZZFUVXNLLN-ONGXEEELSA-N -1 1 318.420 1.281 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1ccc(C(C)=O)cc1 ZINC000288454222 220152257 /nfs/dbraw/zinc/15/22/57/220152257.db2.gz PMDCATAIQFKYSO-UHFFFAOYSA-N -1 1 313.375 1.830 20 0 DDADMM C[C@H]1SCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@H]1C ZINC000282993107 217375563 /nfs/dbraw/zinc/37/55/63/217375563.db2.gz HVUPZVBYNXHOLK-DTWKUNHWSA-N -1 1 308.432 1.984 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)Cc1c(C)nn(C)c1C)c1nn[n-]n1 ZINC000267560320 206144672 /nfs/dbraw/zinc/14/46/72/206144672.db2.gz GXTDDETYEXUSNH-RNCFNFMXSA-N -1 1 319.413 1.386 20 0 DDADMM COc1ccc(NC(=O)NCC(F)F)cc1[N-]S(C)(=O)=O ZINC000267742593 206253972 /nfs/dbraw/zinc/25/39/72/206253972.db2.gz HOPPXKBJQJGXSS-UHFFFAOYSA-N -1 1 323.321 1.453 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C1CC1 ZINC000267733274 206249086 /nfs/dbraw/zinc/24/90/86/206249086.db2.gz KLZKNCDZESIUCA-NSHDSACASA-N -1 1 323.292 1.334 20 0 DDADMM O=C(N[C@H]1CCc2nnnn2CC1)c1cc(Cl)ccc1[O-] ZINC000333799942 249110502 /nfs/dbraw/zinc/11/05/02/249110502.db2.gz XXYILLLWYQUEEW-VIFPVBQESA-N -1 1 307.741 1.167 20 0 DDADMM O=C(NC1(CO)CCOCC1)c1ccc2ccccc2c1[O-] ZINC000273914783 211168214 /nfs/dbraw/zinc/16/82/14/211168214.db2.gz CXISSCOPBSSGEY-UHFFFAOYSA-N -1 1 301.342 1.817 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)CCCCC(N)=O)[nH][n-]2)s1 ZINC000338732131 250111118 /nfs/dbraw/zinc/11/11/18/250111118.db2.gz VQAINVKUICPNHZ-UHFFFAOYSA-N -1 1 306.391 1.853 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1sccc1F ZINC000338856479 250165332 /nfs/dbraw/zinc/16/53/32/250165332.db2.gz ZFZFQXKSYXUAOC-VIFPVBQESA-N -1 1 309.384 1.753 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2sccc2F)[C@@H]1OC ZINC000338951784 250214799 /nfs/dbraw/zinc/21/47/99/250214799.db2.gz BKKUCDLFIJYJDX-BBBLOLIVSA-N -1 1 309.384 1.358 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2sccc2F)[C@H]1OC ZINC000338951786 250214976 /nfs/dbraw/zinc/21/49/76/250214976.db2.gz BKKUCDLFIJYJDX-OPRDCNLKSA-N -1 1 309.384 1.358 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@H]2CC[C@H](F)C2)c(C(F)(F)F)n1 ZINC000338989144 250236766 /nfs/dbraw/zinc/23/67/66/250236766.db2.gz GJJNHTRVAMFGFY-BQBZGAKWSA-N -1 1 315.292 1.608 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@](F)(CO)C3)cnc2n1 ZINC000338978932 250230628 /nfs/dbraw/zinc/23/06/28/250230628.db2.gz XLDISSBEHBHAOZ-OAHLLOKOSA-N -1 1 305.309 1.190 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@](F)(CO)C3)c[n-]c2n1 ZINC000338978932 250230631 /nfs/dbraw/zinc/23/06/31/250230631.db2.gz XLDISSBEHBHAOZ-OAHLLOKOSA-N -1 1 305.309 1.190 20 0 DDADMM CCOc1cc(C(=O)NC2(C(=O)OC)CC2)cc(Cl)c1[O-] ZINC000273811898 211081029 /nfs/dbraw/zinc/08/10/29/211081029.db2.gz DLZYLOJJINPILD-UHFFFAOYSA-N -1 1 313.737 1.880 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1c(C)cc(C)cc1C(=O)[O-] ZINC000285096088 218288939 /nfs/dbraw/zinc/28/89/39/218288939.db2.gz LZCAKIBLLXOLJD-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cc(F)ccc1NC1CC1 ZINC000636308206 422796562 /nfs/dbraw/zinc/79/65/62/422796562.db2.gz XJPLERNEVLFUGW-UHFFFAOYSA-N -1 1 304.329 1.875 20 0 DDADMM NS(=O)(=O)Cc1ccc(C(=O)Nc2ccc(F)cc2[O-])cc1 ZINC000340328006 251009307 /nfs/dbraw/zinc/00/93/07/251009307.db2.gz KNAFDWDBHBWXKD-UHFFFAOYSA-N -1 1 324.333 1.572 20 0 DDADMM CNS(=O)(=O)c1ccc(C(=O)Nc2ccc(F)cc2[O-])o1 ZINC000340332721 251012609 /nfs/dbraw/zinc/01/26/09/251012609.db2.gz ZJDPBHRRPOBXJS-UHFFFAOYSA-N -1 1 314.294 1.285 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)NC(=O)c1c(F)ccc([O-])c1F ZINC000286000861 218740261 /nfs/dbraw/zinc/74/02/61/218740261.db2.gz HFYNYHMJFJJICV-SFYZADRCSA-N -1 1 301.289 1.988 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OCC ZINC000340939915 251290067 /nfs/dbraw/zinc/29/00/67/251290067.db2.gz XPXZMUWYQVASBJ-FUEXJSSKSA-N -1 1 316.379 1.300 20 0 DDADMM O=S(=O)([N-]CCc1cnccn1)c1ccc(F)c(F)c1F ZINC000341201840 251439773 /nfs/dbraw/zinc/43/97/73/251439773.db2.gz ZMFFORSLIMKUCP-UHFFFAOYSA-N -1 1 317.292 1.415 20 0 DDADMM O=C([O-])c1ccc(NS(=O)(=O)C[C@H]2CCCO2)c(O)c1 ZINC000063155533 184274541 /nfs/dbraw/zinc/27/45/41/184274541.db2.gz WFMBZFAKUWRASC-SECBINFHSA-N -1 1 301.320 1.011 20 0 DDADMM C[C@H](OCCCNC(=O)CN(C)CCC(=O)[O-])c1ccccc1 ZINC000269245102 207376228 /nfs/dbraw/zinc/37/62/28/207376228.db2.gz DJBGBYLOLDYDCA-AWEZNQCLSA-N -1 1 322.405 1.677 20 0 DDADMM C[C@@H](CNC(=O)c1c[n-]c2c(cnn2C)c1=O)CC(F)(F)F ZINC000412676510 224066030 /nfs/dbraw/zinc/06/60/30/224066030.db2.gz MNRGUBRQEPSPDP-SSDOTTSWSA-N -1 1 316.283 1.992 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CSC[C@@H]2C)o1 ZINC000352334132 284971871 /nfs/dbraw/zinc/97/18/71/284971871.db2.gz YFVUGJKRAOQUQE-YUMQZZPRSA-N -1 1 305.377 1.096 20 0 DDADMM Cc1ccc(C)c(SCC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000359801607 207385066 /nfs/dbraw/zinc/38/50/66/207385066.db2.gz RJYBSURIGRPHDZ-UHFFFAOYSA-N -1 1 305.407 1.960 20 0 DDADMM Cc1cc(N(C)C)ccc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352415111 285035697 /nfs/dbraw/zinc/03/56/97/285035697.db2.gz MBSUXWCWFMOIDS-UHFFFAOYSA-N -1 1 312.333 1.044 20 0 DDADMM O=C(Nc1ccc(Cl)cc1F)c1cc(=O)n2[n-]cnc2n1 ZINC000352422870 285039995 /nfs/dbraw/zinc/03/99/95/285039995.db2.gz LJKKUEOPAKPYQZ-UHFFFAOYSA-N -1 1 307.672 1.462 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(Cl)cc1 ZINC000352422995 285040693 /nfs/dbraw/zinc/04/06/93/285040693.db2.gz LSDRDZNLEHAMDY-QMMMGPOBSA-N -1 1 317.736 1.562 20 0 DDADMM COc1cccc(-c2cc(C(=O)[N-]N3CCCCC3=O)n[nH]2)c1 ZINC000159122584 197329890 /nfs/dbraw/zinc/32/98/90/197329890.db2.gz XWDBTOFTNHHSSE-UHFFFAOYSA-N -1 1 314.345 1.743 20 0 DDADMM CCn1nncc1CSc1nc([O-])cc(=O)n1C1CCCC1 ZINC000412995847 224117239 /nfs/dbraw/zinc/11/72/39/224117239.db2.gz SRPYQCXZISWDOG-UHFFFAOYSA-N -1 1 321.406 1.968 20 0 DDADMM COCC1(CNS(=O)(=O)c2c(C)o[n-]c2=N)CCCCC1 ZINC000352565923 285146165 /nfs/dbraw/zinc/14/61/65/285146165.db2.gz QHOYDWOQBVKSLI-UHFFFAOYSA-N -1 1 317.411 1.271 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2c(C)onc2N)CCCCC1 ZINC000352565923 285146167 /nfs/dbraw/zinc/14/61/67/285146167.db2.gz QHOYDWOQBVKSLI-UHFFFAOYSA-N -1 1 317.411 1.271 20 0 DDADMM COC[C@H](O)CC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451365146 533112694 /nfs/dbraw/zinc/11/26/94/533112694.db2.gz WBOJTMPYXULQMP-LLVKDONJSA-N -1 1 317.338 1.248 20 0 DDADMM CC[C@@H](OC)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000271504264 208963711 /nfs/dbraw/zinc/96/37/11/208963711.db2.gz LNBWTAFBRBYCMV-LLVKDONJSA-N -1 1 304.343 1.561 20 0 DDADMM Cc1nonc1CN1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000289507055 221026647 /nfs/dbraw/zinc/02/66/47/221026647.db2.gz QQEIGGIRIQYKJQ-VIFPVBQESA-N -1 1 306.288 1.269 20 0 DDADMM COCC1(C(=O)Nc2n[n-]c(C(F)(F)F)n2)CCOCC1 ZINC000289847057 221265788 /nfs/dbraw/zinc/26/57/88/221265788.db2.gz PNQRSARPAQVEDB-UHFFFAOYSA-N -1 1 308.260 1.205 20 0 DDADMM C[C@@H](CCN=c1ccc(C(=O)NCCO)n[n-]1)CC(C)(C)C ZINC000443514541 533588635 /nfs/dbraw/zinc/58/86/35/533588635.db2.gz YFBVLTTUWSQLRW-LBPRGKRZSA-N -1 1 308.426 1.495 20 0 DDADMM C[C@@H]1CCc2onc(C(=O)N=c3nc(C(F)(F)F)[n-][nH]3)c2C1 ZINC000568349155 304286554 /nfs/dbraw/zinc/28/65/54/304286554.db2.gz BIJRGARITLROBT-RXMQYKEDSA-N -1 1 315.255 1.611 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H](CO)Cc2ccccc2C)sc1C ZINC000433796125 533647215 /nfs/dbraw/zinc/64/72/15/533647215.db2.gz JIODRASOLBLULQ-CYBMUJFWSA-N -1 1 320.414 1.984 20 0 DDADMM COc1ccc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)nc1 ZINC000444490227 533653439 /nfs/dbraw/zinc/65/34/39/533653439.db2.gz UBIMISRENDYROS-UHFFFAOYSA-N -1 1 313.317 1.008 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(F)cc1OC(F)F ZINC000631526651 422811535 /nfs/dbraw/zinc/81/15/35/422811535.db2.gz QHOPKWWYYLOVDM-UHFFFAOYSA-N -1 1 301.228 1.512 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)[N-]S(=O)(=O)CCC(C)C ZINC000360843879 306989211 /nfs/dbraw/zinc/98/92/11/306989211.db2.gz AJMSVEKAUMOVAI-QMMMGPOBSA-N -1 1 305.318 1.446 20 0 DDADMM O=S1(=O)CC[C@H]2CN(Cc3cccc([O-])c3Cl)C[C@@H]21 ZINC000353474520 285756361 /nfs/dbraw/zinc/75/63/61/285756361.db2.gz UWUJOSDGKOPVHT-CABZTGNLSA-N -1 1 301.795 1.665 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc(Br)cc2[O-])CCO1 ZINC000682689669 485742707 /nfs/dbraw/zinc/74/27/07/485742707.db2.gz DQESAGBIMQTCMK-NSHDSACASA-N -1 1 316.151 1.600 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](CO)C2CCCC2)sc1C ZINC000569771272 304377669 /nfs/dbraw/zinc/37/76/69/304377669.db2.gz UVHPUDJMVOTXRS-NSHDSACASA-N -1 1 304.437 1.589 20 0 DDADMM COc1ccc(-c2nc(C(=O)Nc3nn[n-]n3)cs2)cc1 ZINC000000002384 406615818 /nfs/dbraw/zinc/61/58/18/406615818.db2.gz KEQWRMYOTURLNR-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM Cc1cc(C)n(-c2ccc(C(=O)Nc3nnn[n-]3)cc2F)n1 ZINC000069966789 406796125 /nfs/dbraw/zinc/79/61/25/406796125.db2.gz ZHHBROZWENLJKQ-UHFFFAOYSA-N -1 1 301.285 1.394 20 0 DDADMM Cc1cc(C)n(-c2ccc(C(=O)Nc3nn[n-]n3)cc2F)n1 ZINC000069966789 406796127 /nfs/dbraw/zinc/79/61/27/406796127.db2.gz ZHHBROZWENLJKQ-UHFFFAOYSA-N -1 1 301.285 1.394 20 0 DDADMM COC[C@@H](O)C[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000071270079 406836095 /nfs/dbraw/zinc/83/60/95/406836095.db2.gz GJQBKFIYNXNQQB-YFKPBYRVSA-N -1 1 320.219 1.341 20 0 DDADMM CC[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000027154674 406907914 /nfs/dbraw/zinc/90/79/14/406907914.db2.gz IIZPAMCJPPDASW-SNVBAGLBSA-N -1 1 300.380 1.450 20 0 DDADMM CC(C)(C)[C@H](NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000035390825 406972378 /nfs/dbraw/zinc/97/23/78/406972378.db2.gz WAJBNURAZMMWEB-SNVBAGLBSA-N -1 1 307.318 1.742 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)c(C)c1O ZINC000077709907 407003422 /nfs/dbraw/zinc/00/34/22/407003422.db2.gz BOGLGHHLMHVBPQ-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM CC(C)(C)NC(=O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000048381844 407097375 /nfs/dbraw/zinc/09/73/75/407097375.db2.gz FQKFZTBQQTUJIR-UHFFFAOYSA-N -1 1 306.334 1.158 20 0 DDADMM CC(=O)NCCN(C)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000570930159 304427379 /nfs/dbraw/zinc/42/73/79/304427379.db2.gz QQUHSBJBIRKSSQ-UHFFFAOYSA-N -1 1 304.268 1.619 20 0 DDADMM CCCC(C)(C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000103942845 407344309 /nfs/dbraw/zinc/34/43/09/407344309.db2.gz DMWDTLXNBAEPLY-UHFFFAOYSA-N -1 1 304.394 1.877 20 0 DDADMM CSCCCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000124134260 407349284 /nfs/dbraw/zinc/34/92/84/407349284.db2.gz CLBCARMBAWOEFM-UHFFFAOYSA-N -1 1 308.407 1.051 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@]2(C)CCCC[C@@H]2O)c1Cl ZINC000124922007 407374034 /nfs/dbraw/zinc/37/40/34/407374034.db2.gz GMKLDLICIATGHT-CABZTGNLSA-N -1 1 321.830 1.293 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)c2ccccn2)o1 ZINC000107698227 407378667 /nfs/dbraw/zinc/37/86/67/407378667.db2.gz UKJIKHQYHVTVTE-SECBINFHSA-N -1 1 310.331 1.501 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2c(O)cccc2O)C[C@H](C)O1 ZINC000125620590 407393445 /nfs/dbraw/zinc/39/34/45/407393445.db2.gz VJNWOHDVARJAOU-TXEJJXNPSA-N -1 1 308.378 1.327 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cccc(C)c2F)co1 ZINC000181780750 306700162 /nfs/dbraw/zinc/70/01/62/306700162.db2.gz TZGFLBRZQXHMBI-UHFFFAOYSA-N -1 1 312.322 1.888 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc(F)c(F)cc1F)OCC1CC1 ZINC000111337189 407408666 /nfs/dbraw/zinc/40/86/66/407408666.db2.gz MLLAQCGNCWZPRV-UHFFFAOYSA-N -1 1 323.292 1.335 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H](CCO)C(C)(C)C)c1Cl ZINC000178565423 407493705 /nfs/dbraw/zinc/49/37/05/407493705.db2.gz XBGOLOXLWBWTMJ-QMMMGPOBSA-N -1 1 309.819 1.149 20 0 DDADMM C[C@H]1C[C@@H](C[N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000271249444 407596544 /nfs/dbraw/zinc/59/65/44/407596544.db2.gz HHWKYPMPWVIJBL-IUCAKERBSA-N -1 1 307.803 1.167 20 0 DDADMM CC[C@H]1CCCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)CC1 ZINC000152309881 407605764 /nfs/dbraw/zinc/60/57/64/407605764.db2.gz ARWQIQZJMMCMIN-NSHDSACASA-N -1 1 314.407 1.840 20 0 DDADMM CC(C)(O)C(C)(C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000225773649 407605968 /nfs/dbraw/zinc/60/59/68/407605968.db2.gz MHHNMUZGZPRGME-UHFFFAOYSA-N -1 1 311.325 1.932 20 0 DDADMM COC(=O)c1cccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000129483172 407611065 /nfs/dbraw/zinc/61/10/65/407611065.db2.gz YZFLOLULZYJXAK-GFCCVEGCSA-N -1 1 315.333 1.006 20 0 DDADMM C[C@@H](CO)[C@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000225897670 407612316 /nfs/dbraw/zinc/61/23/16/407612316.db2.gz UXMGNQPRYPEMPP-YUMQZZPRSA-N -1 1 302.168 1.901 20 0 DDADMM COc1ccc2c(c1)CCCN2C(=O)CN1CC[C@@H](C(=O)[O-])C1 ZINC000178893365 407643304 /nfs/dbraw/zinc/64/33/04/407643304.db2.gz BXDIEBUSBKJWPN-CYBMUJFWSA-N -1 1 318.373 1.381 20 0 DDADMM CC1(C)[C@H](O)C[C@@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000130159721 407662045 /nfs/dbraw/zinc/66/20/45/407662045.db2.gz KODQNDPBQCZNGG-DTWKUNHWSA-N -1 1 309.309 1.542 20 0 DDADMM COC(=O)c1ccc(CCNC(=O)c2cncc([O-])c2)cc1 ZINC000171763488 407762207 /nfs/dbraw/zinc/76/22/07/407762207.db2.gz TYPYEGQIHVYMDK-UHFFFAOYSA-N -1 1 300.314 1.546 20 0 DDADMM CCC(CC)[C@@H](O)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179714930 407824237 /nfs/dbraw/zinc/82/42/37/407824237.db2.gz LMQCPDCQCSCNFK-LBPRGKRZSA-N -1 1 306.366 1.201 20 0 DDADMM Cc1nc(CN(C)C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)no1 ZINC000272262043 407838811 /nfs/dbraw/zinc/83/88/11/407838811.db2.gz QQTCOPVJRBZACN-UHFFFAOYSA-N -1 1 319.365 1.752 20 0 DDADMM O=C(CCNC(=O)c1c([O-])cccc1F)NCc1cccnc1 ZINC000133831874 407872674 /nfs/dbraw/zinc/87/26/74/407872674.db2.gz WCHLOCBZDZJYTC-UHFFFAOYSA-N -1 1 317.320 1.363 20 0 DDADMM Cc1ccc(Cc2nc(C(=O)Nc3nn[n-]n3)cs2)cc1 ZINC000153761949 407897144 /nfs/dbraw/zinc/89/71/44/407897144.db2.gz QUVZLIBMSOKEQY-UHFFFAOYSA-N -1 1 300.347 1.808 20 0 DDADMM C[C@@H](C(=O)Nc1ccc2c(c1)OCCO2)N1CC[C@H](C(=O)[O-])C1 ZINC000262685404 407901689 /nfs/dbraw/zinc/90/16/89/407901689.db2.gz XSGZWHICOQAWEU-QWRGUYRKSA-N -1 1 320.345 1.191 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(F)(F)F)cn1)c1nn[n-]n1 ZINC000268133051 407903093 /nfs/dbraw/zinc/90/30/93/407903093.db2.gz BWSYMJZKZHFGMG-QMMMGPOBSA-N -1 1 314.271 1.885 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCCC2(C)C)o1 ZINC000134621605 407916174 /nfs/dbraw/zinc/91/61/74/407916174.db2.gz HHAAYWNLPYYRQO-LLVKDONJSA-N -1 1 314.407 1.886 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cc(OC(F)F)cc(OC(F)F)c1 ZINC000180880831 407929580 /nfs/dbraw/zinc/92/95/80/407929580.db2.gz KGFMIXKCCHBWKQ-UHFFFAOYSA-N -1 1 321.190 1.655 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc(OC(F)F)cc(OC(F)F)c1 ZINC000180880831 407929584 /nfs/dbraw/zinc/92/95/84/407929584.db2.gz KGFMIXKCCHBWKQ-UHFFFAOYSA-N -1 1 321.190 1.655 20 0 DDADMM CCOc1cccc(CNC(=O)CN[C@H](C(=O)[O-])[C@@H](C)CC)c1 ZINC000263173380 408046352 /nfs/dbraw/zinc/04/63/52/408046352.db2.gz SJMRNYDRIYCRHT-LRDDRELGSA-N -1 1 322.405 1.790 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2ccn(C3CCCC3)n2)n[n-]1 ZINC000268550871 408094544 /nfs/dbraw/zinc/09/45/44/408094544.db2.gz CARIHTMOUJLWNZ-UHFFFAOYSA-N -1 1 309.395 1.653 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)C[S@@](C)=O ZINC000273208229 408108052 /nfs/dbraw/zinc/10/80/52/408108052.db2.gz RPCLTEBMAYLPAA-HXNGOWOSSA-N -1 1 313.423 1.285 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)OC[C@H]1CCCCO1)c1nn[n-]n1 ZINC000246080313 408135210 /nfs/dbraw/zinc/13/52/10/408135210.db2.gz GPLPLUUGQBXIBW-TUAOUCFPSA-N -1 1 311.386 1.131 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)NC(C)(C)C ZINC000263648997 408188855 /nfs/dbraw/zinc/18/88/55/408188855.db2.gz CRQFNVAOQZGASL-MRVPVSSYSA-N -1 1 320.361 1.546 20 0 DDADMM O=C(CC1CCN(C(=O)c2ncccc2[O-])CC1)N1CCCC1 ZINC000263658892 408191533 /nfs/dbraw/zinc/19/15/33/408191533.db2.gz ICPRVMHWHKSPOG-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM Cc1nnc(CN2CCC(C(=O)c3ccc([O-])cc3)CC2)n1C ZINC000122249081 408272270 /nfs/dbraw/zinc/27/22/70/408272270.db2.gz DMEXAIIBJVTYEP-UHFFFAOYSA-N -1 1 314.389 1.924 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@H](C)NC(N)=O)c1 ZINC000182933347 408287883 /nfs/dbraw/zinc/28/78/83/408287883.db2.gz PZRASYWOIVYFHR-LURJTMIESA-N -1 1 316.155 1.458 20 0 DDADMM Cc1[nH]c(=O)[nH]c(=O)c1NC(=O)c1[nH]nc2c1CCCCC2 ZINC000190602541 408288939 /nfs/dbraw/zinc/28/89/39/408288939.db2.gz YBOJYPOSIACBGO-UHFFFAOYSA-N -1 1 303.322 1.441 20 0 DDADMM O=C([O-])[C@@H]1CCN([C@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000246561476 408291253 /nfs/dbraw/zinc/29/12/53/408291253.db2.gz ULNONJVBQLNCDR-MFKMUULPSA-N -1 1 308.765 1.852 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC[C@@H](C)C[C@@H]2C)o1 ZINC000246636031 408307510 /nfs/dbraw/zinc/30/75/10/408307510.db2.gz DBACJNQMTZJBHK-OUAUKWLOSA-N -1 1 314.407 1.742 20 0 DDADMM C[C@@H](CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000132102920 162030540 /nfs/dbraw/zinc/03/05/40/162030540.db2.gz HQWAZBQEIAAXJR-VIFPVBQESA-N -1 1 308.363 1.341 20 0 DDADMM C[C@@H](CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000132102920 162030542 /nfs/dbraw/zinc/03/05/42/162030542.db2.gz HQWAZBQEIAAXJR-VIFPVBQESA-N -1 1 308.363 1.341 20 0 DDADMM CC(C)[C@H]1OCC[C@H]1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132822746 162045111 /nfs/dbraw/zinc/04/51/11/162045111.db2.gz FGAQBZUFSBHCAW-IINYFYTJSA-N -1 1 318.377 1.465 20 0 DDADMM C[C@H](CN(C)C(=O)CSc1ccc(C#N)cc1)c1nn[n-]n1 ZINC000183405930 408401938 /nfs/dbraw/zinc/40/19/38/408401938.db2.gz MUQXAFKNBVOQTG-SNVBAGLBSA-N -1 1 316.390 1.426 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1cnc2ccccc2n1)c1nn[n-]n1 ZINC000183417061 408405330 /nfs/dbraw/zinc/40/53/30/408405330.db2.gz XOWCAENGYPKZLL-WSKFYRRCSA-N -1 1 323.360 1.418 20 0 DDADMM C[C@@H](Oc1ccccc1Cl)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183450299 408416734 /nfs/dbraw/zinc/41/67/34/408416734.db2.gz LDTHFWSNTSUGNO-VHSXEESVSA-N -1 1 323.784 1.883 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(OC)cc1OC)c1nn[n-]n1 ZINC000176769363 408439019 /nfs/dbraw/zinc/43/90/19/408439019.db2.gz FIEZAIAOJNLGGT-NSHDSACASA-N -1 1 305.338 1.488 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@H]1CSc2ccccc21 ZINC000176271941 162525456 /nfs/dbraw/zinc/52/54/56/162525456.db2.gz IHFBSSBIUROJAY-JTQLQIEISA-N -1 1 304.375 1.036 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccccc1-n1nc(C)nc1C ZINC000193335133 408713667 /nfs/dbraw/zinc/71/36/67/408713667.db2.gz BUAOIDLPQAQBJW-JTQLQIEISA-N -1 1 324.406 1.661 20 0 DDADMM O=C1NCCc2ccc(S(=O)(=O)[N-]c3ncccc3F)cc21 ZINC000180261243 162754353 /nfs/dbraw/zinc/75/43/53/162754353.db2.gz JWVWSWRFDIXEBN-UHFFFAOYSA-N -1 1 321.333 1.307 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000270960444 408760811 /nfs/dbraw/zinc/76/08/11/408760811.db2.gz NPOXKXDDXQCFIC-UHFFFAOYSA-N -1 1 313.162 1.230 20 0 DDADMM CC1([C@@H]2CCCN(C(=O)C(=O)c3ccc([O-])cc3)C2)OCCO1 ZINC000281053002 408870727 /nfs/dbraw/zinc/87/07/27/408870727.db2.gz RBERYARZPRAWLY-CYBMUJFWSA-N -1 1 319.357 1.577 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N(C)c2ccccc2)n1 ZINC000187590988 163022633 /nfs/dbraw/zinc/02/26/33/163022633.db2.gz USVCGYWPYIDOJJ-UHFFFAOYSA-N -1 1 305.359 1.951 20 0 DDADMM O=C([N-][C@H]1CC(=O)N(c2ccccc2F)C1)C(F)(F)C(F)F ZINC000291772845 408921456 /nfs/dbraw/zinc/92/14/56/408921456.db2.gz TYCLRTQAUMCDDA-ZETCQYMHSA-N -1 1 322.233 1.948 20 0 DDADMM COC(=O)[C@]12CN(C(=O)c3ncccc3[O-])C[C@H]1CCCC2 ZINC000286113911 408926264 /nfs/dbraw/zinc/92/62/64/408926264.db2.gz GMYOGIDJLPTBRH-BDJLRTHQSA-N -1 1 304.346 1.593 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)c2ccsc2)s1 ZINC000286199881 408940463 /nfs/dbraw/zinc/94/04/63/408940463.db2.gz AOZVUCNIENNBCI-UHFFFAOYSA-N -1 1 307.447 1.800 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(CCc2ccccc2)CC1 ZINC000194650052 163290953 /nfs/dbraw/zinc/29/09/53/163290953.db2.gz PQNDTOZNLINSLC-UHFFFAOYSA-N -1 1 311.385 1.788 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000291953775 408952311 /nfs/dbraw/zinc/95/23/11/408952311.db2.gz WVIVGADRJZFVGN-LVDDQXARSA-N -1 1 308.765 1.835 20 0 DDADMM COCC[C@@H](NC(=O)c1ccc(Cl)cc1[O-])C(=O)OC ZINC000292196132 408999500 /nfs/dbraw/zinc/99/95/00/408999500.db2.gz OIDBCRJHGKIYDZ-SNVBAGLBSA-N -1 1 301.726 1.354 20 0 DDADMM O=C(Cc1cccc(F)c1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282854294 409067104 /nfs/dbraw/zinc/06/71/04/409067104.db2.gz GRRZKGCYDWGMEK-LLVKDONJSA-N -1 1 304.325 1.186 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C(C)(C)C2CC2)c1 ZINC000292327520 409024956 /nfs/dbraw/zinc/02/49/56/409024956.db2.gz UQPKXHQJBGSBRL-UHFFFAOYSA-N -1 1 312.391 1.675 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cnc(-c2ccccc2)nc1 ZINC000282282957 409026095 /nfs/dbraw/zinc/02/60/95/409026095.db2.gz VDENHYAKEFZGIS-LLVKDONJSA-N -1 1 307.375 1.920 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCC(C)(C)CCCO)ccnc1-2 ZINC000287506670 409047363 /nfs/dbraw/zinc/04/73/63/409047363.db2.gz HMHHIZXVNTWTMV-UNOMPAQXSA-N -1 1 305.382 1.262 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@H](C(=O)N2CCCC2)C1 ZINC000288074252 409142036 /nfs/dbraw/zinc/14/20/36/409142036.db2.gz GDZWOHNUWMLHTO-NSHDSACASA-N -1 1 306.337 1.616 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H](C)c1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000293595803 409145537 /nfs/dbraw/zinc/14/55/37/409145537.db2.gz VOOQSSKYHCQMIW-UWVGGRQHSA-N -1 1 317.349 1.294 20 0 DDADMM Cn1nc([C@H]2CCCOC2)cc1NC(=O)c1ccc(O)cc1[O-] ZINC000279570347 409240157 /nfs/dbraw/zinc/24/01/57/409240157.db2.gz LOTOWDULASJDJI-JTQLQIEISA-N -1 1 317.345 1.978 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@H]1c1cccc(F)c1 ZINC000289276318 409240770 /nfs/dbraw/zinc/24/07/70/409240770.db2.gz DSNNXNUAQHWZFY-WDEREUQCSA-N -1 1 311.338 1.539 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCC[C@@H]1CF ZINC000294137191 409246894 /nfs/dbraw/zinc/24/68/94/409246894.db2.gz QMVMFBSEZWJVRW-GFCCVEGCSA-N -1 1 320.368 1.945 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)Cc1ccccc1F ZINC000289335298 409251131 /nfs/dbraw/zinc/25/11/31/409251131.db2.gz MTADFDQGEYPZER-QMMMGPOBSA-N -1 1 313.354 1.614 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1cc2ccccc2cc1[O-])OC ZINC000294221971 409262467 /nfs/dbraw/zinc/26/24/67/409262467.db2.gz YTBXOSOVTGSHLO-CQSZACIVSA-N -1 1 303.314 1.463 20 0 DDADMM O=C(NC[C@@H](CO)[C@@H]1CCOC1)c1cc2ccccc2cc1[O-] ZINC000280578714 409366159 /nfs/dbraw/zinc/36/61/59/409366159.db2.gz WQVVOQPZNCYGQO-CABCVRRESA-N -1 1 315.369 1.920 20 0 DDADMM O=C(NC[C@@H](CO)[C@H]1CCOC1)c1ccc2ccccc2c1[O-] ZINC000280583833 409368382 /nfs/dbraw/zinc/36/83/82/409368382.db2.gz XBWUTTXKRQQDHN-KBPBESRZSA-N -1 1 315.369 1.920 20 0 DDADMM CC[C@@H](C)[C@H](C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1)OC ZINC000295213273 409380171 /nfs/dbraw/zinc/38/01/71/409380171.db2.gz GUHPVDMMOIEVQH-KOLCDFICSA-N -1 1 319.379 1.406 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)C(=O)c2ccc([O-])cc2)C[C@@H](C)O1 ZINC000280725347 409429920 /nfs/dbraw/zinc/42/99/20/409429920.db2.gz HVPRTLAMWUIGLY-CHWSQXEVSA-N -1 1 320.389 1.190 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](CO)c1ccccc1 ZINC000318979550 164033882 /nfs/dbraw/zinc/03/38/82/164033882.db2.gz OLSOTZVFIILPTB-NSHDSACASA-N -1 1 319.386 1.676 20 0 DDADMM COc1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])cc1 ZINC000357094765 164138115 /nfs/dbraw/zinc/13/81/15/164138115.db2.gz PHVCDCYFFURWGI-UHFFFAOYSA-N -1 1 322.342 1.301 20 0 DDADMM O=C(CC[C@@H]1CCCCO1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000408000052 164193992 /nfs/dbraw/zinc/19/39/92/164193992.db2.gz XHTSBXSYLHCVRI-RYUDHWBXSA-N -1 1 309.366 1.831 20 0 DDADMM O=C(C(=O)N1CCC[C@@]2(CCCOC2)C1)c1ccc([O-])cc1 ZINC000408412561 164323258 /nfs/dbraw/zinc/32/32/58/164323258.db2.gz BZCBABMCBKOULQ-QGZVFWFLSA-N -1 1 303.358 1.994 20 0 DDADMM COc1cccc(OCCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000295484067 409502543 /nfs/dbraw/zinc/50/25/43/409502543.db2.gz ACQLRNAFDOHYNI-LLVKDONJSA-N -1 1 319.365 1.239 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc(Cl)nc1C ZINC000295488373 409503472 /nfs/dbraw/zinc/50/34/72/409503472.db2.gz FJJCGGBOXFTLHI-UHFFFAOYSA-N -1 1 314.769 1.975 20 0 DDADMM CO[C@@H](C)c1nc(=NC[C@](C)(O)C(=O)OC(C)(C)C)s[n-]1 ZINC000337885485 409537607 /nfs/dbraw/zinc/53/76/07/409537607.db2.gz XOZFNJGMOMCWDJ-SDBXPKJASA-N -1 1 317.411 1.172 20 0 DDADMM Cc1ccc(OCCN(C)C(=O)CCCc2nn[n-]n2)cc1 ZINC000635151286 422845441 /nfs/dbraw/zinc/84/54/41/422845441.db2.gz CGQFTOLJKUPFER-UHFFFAOYSA-N -1 1 303.366 1.368 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])[C@@H]1C ZINC000337940486 409583987 /nfs/dbraw/zinc/58/39/87/409583987.db2.gz KGTIALRHTAXEFO-IJLUTSLNSA-N -1 1 305.378 1.458 20 0 DDADMM CN(C)c1ccccc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337951720 409596370 /nfs/dbraw/zinc/59/63/70/409596370.db2.gz RECRWBLNZOTVSR-UHFFFAOYSA-N -1 1 314.345 1.222 20 0 DDADMM CO[C@@H]1CCC[C@@H]1CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000342459896 409649856 /nfs/dbraw/zinc/64/98/56/409649856.db2.gz KPQAUAHPJHMQMQ-NXEZZACHSA-N -1 1 311.407 1.757 20 0 DDADMM COCc1nc(=NC(=O)c2cccc(CN(C)C)c2)s[n-]1 ZINC000345767078 409732946 /nfs/dbraw/zinc/73/29/46/409732946.db2.gz HJVWLQCTZYRWEJ-UHFFFAOYSA-N -1 1 306.391 1.420 20 0 DDADMM Cc1ccnn1-c1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357073714 409841848 /nfs/dbraw/zinc/84/18/48/409841848.db2.gz RUXZIYDIHYEZJW-UHFFFAOYSA-N -1 1 309.333 1.113 20 0 DDADMM CC(C)C[C@H](CNC(=O)[C@@H]1Cc2ccccc2CN1)C(=O)[O-] ZINC000316500058 409853297 /nfs/dbraw/zinc/85/32/97/409853297.db2.gz VMBMMHCMCUIOPD-CABCVRRESA-N -1 1 304.390 1.564 20 0 DDADMM CCNC(=O)CC(=O)N=c1cc(-c2cc(C)ccc2F)[n-][nH]1 ZINC000357038454 409811757 /nfs/dbraw/zinc/81/17/57/409811757.db2.gz ARGITBKHZGIUCK-UHFFFAOYSA-N -1 1 304.325 1.411 20 0 DDADMM CC(C)c1cc(C(=O)NC2(c3nn[n-]n3)CC2)nn1C(C)(C)C ZINC000357045294 409815715 /nfs/dbraw/zinc/81/57/15/409815715.db2.gz BJZFNURUXOZQBH-UHFFFAOYSA-N -1 1 317.397 1.694 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@@H](CC(C)C)C(=O)OC(C)C ZINC000349582462 409877239 /nfs/dbraw/zinc/87/72/39/409877239.db2.gz GVTGAZBCISCZCI-LBPRGKRZSA-N -1 1 323.455 1.697 20 0 DDADMM COC(=O)[C@H]1C[C@@H](C2CCCCC2)CN1Cc1nc(=O)n(C)[n-]1 ZINC000332099268 409881919 /nfs/dbraw/zinc/88/19/19/409881919.db2.gz CROYENZXGKUZLA-CHWSQXEVSA-N -1 1 322.409 1.052 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@@H](C(F)(F)F)[C@@H]1C ZINC000349652547 409902634 /nfs/dbraw/zinc/90/26/34/409902634.db2.gz IDHLPAMTRRZGKN-CAHLUQPWSA-N -1 1 313.301 1.357 20 0 DDADMM O=C(COc1ccccc1F)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332178441 409952462 /nfs/dbraw/zinc/95/24/62/409952462.db2.gz MWCKVABHJBZQMD-SNVBAGLBSA-N -1 1 321.308 1.699 20 0 DDADMM CN(Cc1ccc(S(C)(=O)=O)cc1)C(=O)c1ncccc1[O-] ZINC000354617244 409971046 /nfs/dbraw/zinc/97/10/46/409971046.db2.gz SYICHOUXMLSVMI-UHFFFAOYSA-N -1 1 320.370 1.463 20 0 DDADMM Cc1noc(CSc2ccc(C(=O)Nc3nnn[n-]3)cc2)n1 ZINC000346338755 409926580 /nfs/dbraw/zinc/92/65/80/409926580.db2.gz BMGZUPJITJORRO-UHFFFAOYSA-N -1 1 317.334 1.436 20 0 DDADMM Cc1noc(CSc2ccc(C(=O)Nc3nn[n-]n3)cc2)n1 ZINC000346338755 409926585 /nfs/dbraw/zinc/92/65/85/409926585.db2.gz BMGZUPJITJORRO-UHFFFAOYSA-N -1 1 317.334 1.436 20 0 DDADMM Cc1nc(C)c(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)s1 ZINC000297856367 410026871 /nfs/dbraw/zinc/02/68/71/410026871.db2.gz YBQZBAUJTNURPK-UHFFFAOYSA-N -1 1 306.351 1.023 20 0 DDADMM CNc1ccccc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332302637 410055464 /nfs/dbraw/zinc/05/54/64/410055464.db2.gz KYIGIKMSEGMFHR-JTQLQIEISA-N -1 1 302.334 1.837 20 0 DDADMM CSc1ccc(O[C@H](C)C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354784319 410086969 /nfs/dbraw/zinc/08/69/69/410086969.db2.gz KWLOJEGOEWKEAB-SECBINFHSA-N -1 1 321.406 1.740 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc2ccccc2n1 ZINC000343094509 410138171 /nfs/dbraw/zinc/13/81/71/410138171.db2.gz LGLYHJPNSQDRLM-UHFFFAOYSA-N -1 1 322.324 1.704 20 0 DDADMM C[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H]1CCCCO1 ZINC000346769976 410145548 /nfs/dbraw/zinc/14/55/48/410145548.db2.gz PIOSQTGQLCHUJR-GWCFXTLKSA-N -1 1 301.346 1.611 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)[C@@H](C)CN1C ZINC000332488410 410180493 /nfs/dbraw/zinc/18/04/93/410180493.db2.gz YPYGYXMTBFBINI-MNOVXSKESA-N -1 1 316.361 1.195 20 0 DDADMM CNc1snc(C)c1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339436684 410188197 /nfs/dbraw/zinc/18/81/97/410188197.db2.gz NTWZVQJXEJPUQJ-UHFFFAOYSA-N -1 1 323.378 1.602 20 0 DDADMM Cn1[n-]cc2/c(=N/C(=O)N3CC[C@@H](O)C34CCCC4)ccnc1-2 ZINC000298360270 410197793 /nfs/dbraw/zinc/19/77/93/410197793.db2.gz IBFKLFWJAYVQKT-KZKLBEMASA-N -1 1 315.377 1.253 20 0 DDADMM Cc1ccc(C)c([C@@H]2CN(Cc3nc(=O)n(C)[n-]3)[C@H](C)CO2)c1 ZINC000329299987 410220463 /nfs/dbraw/zinc/22/04/63/410220463.db2.gz VIBWUVNHXGPADQ-HIFRSBDPSA-N -1 1 316.405 1.687 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(C)(C)C[C@@H]2C)o1 ZINC000332709725 410227506 /nfs/dbraw/zinc/22/75/06/410227506.db2.gz ORJZKEZZGHHJSY-VIFPVBQESA-N -1 1 300.380 1.448 20 0 DDADMM CC(=O)c1c[nH]c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000351862228 410300280 /nfs/dbraw/zinc/30/02/80/410300280.db2.gz TWJQNNYKCPVQEV-UHFFFAOYSA-N -1 1 304.306 1.326 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000329825237 410455910 /nfs/dbraw/zinc/45/59/10/410455910.db2.gz BURSACBFIOTOOL-HNNXBMFYSA-N -1 1 320.418 1.722 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C)O[C@]3(CCO[C@H]3C)C2)c([O-])c1 ZINC000329848610 410461159 /nfs/dbraw/zinc/46/11/59/410461159.db2.gz NJRNGEHGCAMMFC-MQIPJXDCSA-N -1 1 306.362 1.504 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1cncc([O-])c1)c1ccc(F)cc1 ZINC000339815039 410477825 /nfs/dbraw/zinc/47/78/25/410477825.db2.gz PMPAREXFEOFNAV-AWEZNQCLSA-N -1 1 318.304 1.961 20 0 DDADMM C[C@H](c1ccc([S@@](C)=O)cc1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC000347413221 410532579 /nfs/dbraw/zinc/53/25/79/410532579.db2.gz BMKOMKIZSWXRLY-LADRHHBVSA-N -1 1 308.407 1.039 20 0 DDADMM CCOC(=O)[C@@H](NC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000339895360 410536649 /nfs/dbraw/zinc/53/66/49/410536649.db2.gz IIBULOBNONQEKR-AWEZNQCLSA-N -1 1 300.314 1.821 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H]2CCC[C@@H]21 ZINC000343550109 410526161 /nfs/dbraw/zinc/52/61/61/410526161.db2.gz SEHXJXWEGFUJFW-RYUDHWBXSA-N -1 1 303.362 1.308 20 0 DDADMM CO[C@@]1(C)CCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000352183693 410529424 /nfs/dbraw/zinc/52/94/24/410529424.db2.gz HEVRRGKMGDETLL-HNNXBMFYSA-N -1 1 304.350 1.315 20 0 DDADMM CCO[C@H](C)c1nc(CN(C)Cc2nc(=O)n(C)[n-]2)cs1 ZINC000347471721 410570181 /nfs/dbraw/zinc/57/01/81/410570181.db2.gz YGKAPMDNVLGOPW-SECBINFHSA-N -1 1 311.411 1.294 20 0 DDADMM COC(=O)[C@@H](Cc1c[nH]c2ccccc12)N=c1nc(C)[n-]s1 ZINC000355548004 410573678 /nfs/dbraw/zinc/57/36/78/410573678.db2.gz SQVQDASJBQPBFA-CYBMUJFWSA-N -1 1 316.386 1.946 20 0 DDADMM CC[C@@H](NC(=O)CCCc1nn[n-]n1)c1ccc(OC)cc1 ZINC000635173023 422859446 /nfs/dbraw/zinc/85/94/46/422859446.db2.gz JAIMRAAISMDXQE-CYBMUJFWSA-N -1 1 303.366 1.799 20 0 DDADMM Cc1cnc(CC[N-]S(=O)(=O)c2cccc(F)c2F)nc1 ZINC000340091110 410667981 /nfs/dbraw/zinc/66/79/81/410667981.db2.gz KUZMLCMXUXEZDS-UHFFFAOYSA-N -1 1 313.329 1.584 20 0 DDADMM Cc1ccsc1S(=O)(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000359388057 410633539 /nfs/dbraw/zinc/63/35/39/410633539.db2.gz DJIHYEWIBALWRJ-UHFFFAOYSA-N -1 1 308.388 1.513 20 0 DDADMM Cc1ncc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)s1 ZINC000337302512 410702597 /nfs/dbraw/zinc/70/25/97/410702597.db2.gz PTHTYPSVAJPBRS-UHFFFAOYSA-N -1 1 317.330 1.762 20 0 DDADMM Cc1ccc(-c2[nH]nc([N-]S(=O)(=O)c3ccnn3C)c2C)o1 ZINC000340148656 410707116 /nfs/dbraw/zinc/70/71/16/410707116.db2.gz FJBATULWLQWFCE-UHFFFAOYSA-N -1 1 321.362 1.821 20 0 DDADMM COCCOCCc1nc(C(=O)Nc2ccc(F)cc2[O-])c[nH]1 ZINC000343890237 410785108 /nfs/dbraw/zinc/78/51/08/410785108.db2.gz SSCILTDOOHTREO-UHFFFAOYSA-N -1 1 323.324 1.712 20 0 DDADMM CCC[C@@H]1[C@H](C)CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343959526 410850437 /nfs/dbraw/zinc/85/04/37/410850437.db2.gz BCWTYPXIPOLHQZ-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM COc1ccccc1[C@H](C)CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000359784918 410880006 /nfs/dbraw/zinc/88/00/06/410880006.db2.gz IQADRUDOCXRZQE-SNVBAGLBSA-N -1 1 303.366 1.753 20 0 DDADMM Cc1nc(-c2ccccc2)ccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348277716 410892726 /nfs/dbraw/zinc/89/27/26/410892726.db2.gz SQBIDTZPHSDPRA-UHFFFAOYSA-N -1 1 320.356 1.989 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc2[nH]c3c(c2c1)CCCC3 ZINC000348277644 410894467 /nfs/dbraw/zinc/89/44/67/410894467.db2.gz RTTZQKQYASYPJN-UHFFFAOYSA-N -1 1 322.372 1.979 20 0 DDADMM Cc1ccccc1OCCCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000348278293 410894680 /nfs/dbraw/zinc/89/46/80/410894680.db2.gz OMMDDBCGYPAYAD-UHFFFAOYSA-N -1 1 301.350 1.473 20 0 DDADMM CC(C)(C(=O)NC1(c2nn[n-]n2)CC1)c1cccc(Cl)c1 ZINC000348283759 410896083 /nfs/dbraw/zinc/89/60/83/410896083.db2.gz RNJVRKMDKUPWCK-UHFFFAOYSA-N -1 1 305.769 1.936 20 0 DDADMM CN(C[C@H]1CCS(=O)(=O)C1)C(=O)c1cc(F)ccc1[O-] ZINC000331223645 411028213 /nfs/dbraw/zinc/02/82/13/411028213.db2.gz AELLWIWPIZQNMY-SECBINFHSA-N -1 1 301.339 1.038 20 0 DDADMM CC[C@@H](Cc1ccccc1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353675672 411106134 /nfs/dbraw/zinc/10/61/34/411106134.db2.gz HMTFHDQHKWWHJO-LBPRGKRZSA-N -1 1 311.345 1.169 20 0 DDADMM COc1cccc(CNC(=O)c2cnc3nc(C)ccc3c2[O-])n1 ZINC000353683008 411107076 /nfs/dbraw/zinc/10/70/76/411107076.db2.gz SEUBNYMCZKHMEM-UHFFFAOYSA-N -1 1 324.340 1.977 20 0 DDADMM COc1cccc(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)n1 ZINC000353683008 411107079 /nfs/dbraw/zinc/10/70/79/411107079.db2.gz SEUBNYMCZKHMEM-UHFFFAOYSA-N -1 1 324.340 1.977 20 0 DDADMM CC(C)(C)C[C@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353762657 411125175 /nfs/dbraw/zinc/12/51/75/411125175.db2.gz RJZLSIAFROWLNK-SNVBAGLBSA-N -1 1 303.366 1.458 20 0 DDADMM O=C(N[C@@H]1CCN(C2CCC2)C1=O)c1ccc(Cl)cc1[O-] ZINC000629929200 422883202 /nfs/dbraw/zinc/88/32/02/422883202.db2.gz IDPAUIFJMAOTNT-GFCCVEGCSA-N -1 1 308.765 1.929 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](C)[C@@H](C)C2)co1 ZINC000131501172 196174983 /nfs/dbraw/zinc/17/49/83/196174983.db2.gz YKASLPCYAXQOIU-UWVGGRQHSA-N -1 1 300.380 1.306 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCC[C@H](n2ccnn2)C1 ZINC000652425292 423011073 /nfs/dbraw/zinc/01/10/73/423011073.db2.gz LNSGEBCCDHPGHW-ZDUSSCGKSA-N -1 1 304.325 1.953 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@@H](C)S1(=O)=O ZINC000643003917 423020615 /nfs/dbraw/zinc/02/06/15/423020615.db2.gz IBDLLPDEXUEKGQ-RKDXNWHRSA-N -1 1 301.339 1.179 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCCO1)c1ncccc1C(F)(F)F ZINC000645454527 423039077 /nfs/dbraw/zinc/03/90/77/423039077.db2.gz UFIJQDHRPRLCJK-SECBINFHSA-N -1 1 324.324 1.948 20 0 DDADMM CN(C)c1noc(CN2CC[C@@](C(=O)[O-])(c3ccccc3)C2)n1 ZINC000652500405 423046010 /nfs/dbraw/zinc/04/60/10/423046010.db2.gz QJTCPMURSPOGGE-INIZCTEOSA-N -1 1 316.361 1.364 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cnn(CCC(F)(F)F)c1 ZINC000647815876 423058410 /nfs/dbraw/zinc/05/84/10/423058410.db2.gz PGECHUBZPUKHSU-UHFFFAOYSA-N -1 1 303.244 1.825 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)[C@H]1CCOC1 ZINC000645567426 423088031 /nfs/dbraw/zinc/08/80/31/423088031.db2.gz KJSBQYURHJTHCE-BDAKNGLRSA-N -1 1 324.324 1.804 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCCO1 ZINC000645594715 423100120 /nfs/dbraw/zinc/10/01/20/423100120.db2.gz GPAHNNBVLFHICZ-LLVKDONJSA-N -1 1 324.324 1.948 20 0 DDADMM C[C@H](CCCCO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000295676525 225112186 /nfs/dbraw/zinc/11/21/86/225112186.db2.gz HQBVSDXSXOSYLB-MRVPVSSYSA-N -1 1 311.325 1.933 20 0 DDADMM O=C1NCCC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000295716270 225157564 /nfs/dbraw/zinc/15/75/64/225157564.db2.gz LHWPOGWVOSMVMZ-VIFPVBQESA-N -1 1 306.746 1.036 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-]c1c(C)nn(C)c1C ZINC000421156094 226673163 /nfs/dbraw/zinc/67/31/63/226673163.db2.gz PJJXBSZSYRUJAL-GFCCVEGCSA-N -1 1 303.428 1.840 20 0 DDADMM CC1CCC(CC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CC1 ZINC000360407758 418426514 /nfs/dbraw/zinc/42/65/14/418426514.db2.gz PDHVJCROJXRHCC-UHFFFAOYSA-N -1 1 300.384 1.363 20 0 DDADMM CC1CCC(CC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CC1 ZINC000360407758 418426517 /nfs/dbraw/zinc/42/65/17/418426517.db2.gz PDHVJCROJXRHCC-UHFFFAOYSA-N -1 1 300.384 1.363 20 0 DDADMM CC(C)[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(C)(C)O ZINC000360417555 418429347 /nfs/dbraw/zinc/42/93/47/418429347.db2.gz UASLOORYIXLLRJ-GFCCVEGCSA-N -1 1 306.366 1.199 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3CC(=O)Nc4ccccc43)ccnc1-2 ZINC000366183049 418439319 /nfs/dbraw/zinc/43/93/19/418439319.db2.gz KTNKFGAWNURVKM-RIVUKREYSA-N -1 1 321.340 1.406 20 0 DDADMM Cc1nccnc1N1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000374206424 418504479 /nfs/dbraw/zinc/50/44/79/418504479.db2.gz SMSJGXUSNLAKAO-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM C[C@@H](Sc1ccccn1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366734382 418509741 /nfs/dbraw/zinc/50/97/41/418509741.db2.gz CJNMWJMZYLGOJQ-GHMZBOCLSA-N -1 1 318.406 1.482 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H](C)C(=O)NC[C@H]2CCCO2)n1 ZINC000195073927 222190512 /nfs/dbraw/zinc/19/05/12/222190512.db2.gz XADYGANQIJZEEP-MWLCHTKSSA-N -1 1 311.407 1.108 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H]2CCC(=O)N[C@H]2C2CC2)sc1C ZINC000367417682 418599891 /nfs/dbraw/zinc/59/98/91/418599891.db2.gz IDUCYQRUKWEAGF-CABZTGNLSA-N -1 1 309.391 1.129 20 0 DDADMM Cc1ccnc2ccc(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)cc12 ZINC000367523154 418607696 /nfs/dbraw/zinc/60/76/96/418607696.db2.gz MURBGVXECKERNQ-AWEZNQCLSA-N -1 1 324.344 1.270 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]c2nccc(=O)n2C)cc1F ZINC000361532081 418672340 /nfs/dbraw/zinc/67/23/40/418672340.db2.gz DEXHIWFGNFFENO-UHFFFAOYSA-N -1 1 315.301 1.168 20 0 DDADMM Cn1cnc(CC[N-]S(=O)(=O)c2c(F)cccc2Cl)n1 ZINC000361963488 418731303 /nfs/dbraw/zinc/73/13/03/418731303.db2.gz JAUZUIKBXGFATF-UHFFFAOYSA-N -1 1 318.761 1.129 20 0 DDADMM O=C(NCC[C@@]1(O)CCOC1)c1ccc2ccccc2c1[O-] ZINC000403271798 418769210 /nfs/dbraw/zinc/76/92/10/418769210.db2.gz KEYVPGKABKUQTK-QGZVFWFLSA-N -1 1 301.342 1.817 20 0 DDADMM CC(C)(C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC000365413822 418857869 /nfs/dbraw/zinc/85/78/69/418857869.db2.gz AVNKSDLRZCXNDR-LBPRGKRZSA-N -1 1 319.340 1.217 20 0 DDADMM O=C(NC1(CO)Cc2ccccc2C1)c1cc(F)ccc1[O-] ZINC000372436278 418869699 /nfs/dbraw/zinc/86/96/99/418869699.db2.gz ICYXYGXREWLTEG-UHFFFAOYSA-N -1 1 301.317 1.791 20 0 DDADMM O=C(N[C@H]1COc2ccccc2[C@H]1O)c1ccc([O-])cc1F ZINC000365613446 418882810 /nfs/dbraw/zinc/88/28/10/418882810.db2.gz PTLRFFCPWHZHSM-DZGCQCFKSA-N -1 1 303.289 1.756 20 0 DDADMM C[C@H]1CCCN(CCS(=O)(=O)c2ccccc2)[C@H]1C(=O)[O-] ZINC000424260893 228252256 /nfs/dbraw/zinc/25/22/56/228252256.db2.gz DSXWONHCYLJFBU-GXTWGEPZSA-N -1 1 311.403 1.645 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCC(=O)OC(C)C)c(F)c1 ZINC000425142764 228372177 /nfs/dbraw/zinc/37/21/77/228372177.db2.gz NDENWMQKFNELPV-UHFFFAOYSA-N -1 1 321.345 1.893 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]Cc2cncn2C)c(F)c1 ZINC000425242857 228398268 /nfs/dbraw/zinc/39/82/68/228398268.db2.gz XFBUIRCWWIABKG-UHFFFAOYSA-N -1 1 301.318 1.485 20 0 DDADMM CC[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@H](CC)O1 ZINC000421223126 419528758 /nfs/dbraw/zinc/52/87/58/419528758.db2.gz UTPUWHCKCDQSMD-RYUDHWBXSA-N -1 1 315.373 1.953 20 0 DDADMM CC[C@@H](CS(=O)(=O)Nc1cc(C(=O)[O-])ccc1OC)OC ZINC000421144906 419501057 /nfs/dbraw/zinc/50/10/57/419501057.db2.gz CBFYJXAJXNNRLG-JTQLQIEISA-N -1 1 317.363 1.560 20 0 DDADMM CCO[C@H](C)c1noc(CN(C)C(=O)c2ncccc2[O-])n1 ZINC000427224789 419621999 /nfs/dbraw/zinc/62/19/99/419621999.db2.gz SYQDIUNDLYBJNF-SECBINFHSA-N -1 1 306.322 1.540 20 0 DDADMM Cc1cc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)cc(C)n1 ZINC000421903213 419764727 /nfs/dbraw/zinc/76/47/27/419764727.db2.gz AJMYRNKCOJOSLZ-UHFFFAOYSA-N -1 1 311.345 1.616 20 0 DDADMM C[C@H]1CN(C(C)(C)CN=c2[n-]nc(C(F)F)o2)C[C@H](C)O1 ZINC000429212077 419986660 /nfs/dbraw/zinc/98/66/60/419986660.db2.gz NELUKFYODLGLTH-IUCAKERBSA-N -1 1 304.341 1.729 20 0 DDADMM O=C(N[C@@H]1CN(c2ccccc2)C1=O)c1cc(F)ccc1[O-] ZINC000436728640 229517671 /nfs/dbraw/zinc/51/76/71/229517671.db2.gz MXAGATJWUFFXBD-CYBMUJFWSA-N -1 1 300.289 1.677 20 0 DDADMM COC(=O)c1cnccc1[N-]S(=O)(=O)Cc1ccccc1F ZINC000430042308 420073567 /nfs/dbraw/zinc/07/35/67/420073567.db2.gz BDVBTHMCDNMQMW-UHFFFAOYSA-N -1 1 324.333 1.371 20 0 DDADMM Cc1ccc(SCCNC(=O)CN2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000430683501 420177650 /nfs/dbraw/zinc/17/76/50/420177650.db2.gz ZRSCWPPUFQUFSX-CYBMUJFWSA-N -1 1 322.430 1.610 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1sccc1C ZINC000416118691 420249399 /nfs/dbraw/zinc/24/93/99/420249399.db2.gz AHWOSTQQYUIXAF-UHFFFAOYSA-N -1 1 309.347 1.539 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H](C)C(=O)NC(=O)NC(C)C)n1 ZINC000436259855 420318654 /nfs/dbraw/zinc/31/86/54/420318654.db2.gz KRCQASXYAYZCMU-QMMMGPOBSA-N -1 1 312.395 1.459 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cc(C(C)=O)cn2C)c1 ZINC000436503759 420334629 /nfs/dbraw/zinc/33/46/29/420334629.db2.gz HUNDNNGMQIALCS-UHFFFAOYSA-N -1 1 316.313 1.972 20 0 DDADMM COC[C@H](C)n1ccc(=NC(=O)C(=O)c2ccc([O-])cc2)[nH]1 ZINC000436524067 420337043 /nfs/dbraw/zinc/33/70/43/420337043.db2.gz FLRWNRYLURTILN-JTQLQIEISA-N -1 1 303.318 1.039 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1cnn(C)c1 ZINC000425294938 420341784 /nfs/dbraw/zinc/34/17/84/420341784.db2.gz FDGMXOZTPQMFBI-MNOVXSKESA-N -1 1 319.365 1.409 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@H](CC(C)C)OC)c1ccco1 ZINC000420667196 420349319 /nfs/dbraw/zinc/34/93/19/420349319.db2.gz IOXLIWXOULDGQA-STQMWFEESA-N -1 1 319.423 1.948 20 0 DDADMM COc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc(C)n1 ZINC000425322066 420349528 /nfs/dbraw/zinc/34/95/28/420349528.db2.gz NFSZWUUOJHCNFQ-UHFFFAOYSA-N -1 1 318.333 1.507 20 0 DDADMM O=C(Cc1ccc(O)cc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425322178 420349631 /nfs/dbraw/zinc/34/96/31/420349631.db2.gz NMIBTTBBBOZRDI-UHFFFAOYSA-N -1 1 303.318 1.430 20 0 DDADMM COCCO[C@H]1COCC[C@@H]1NC(=O)c1c([O-])cccc1F ZINC000436677637 420352312 /nfs/dbraw/zinc/35/23/12/420352312.db2.gz YJGFRKHFKDBQHD-AAEUAGOBSA-N -1 1 313.325 1.082 20 0 DDADMM NC(=O)C[C@@H](NC(=O)c1c([O-])cccc1F)c1ccccc1 ZINC000436682484 420355569 /nfs/dbraw/zinc/35/55/69/420355569.db2.gz VYRKDPPROXTKMJ-GFCCVEGCSA-N -1 1 302.305 1.878 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C(C)C)[C@@H]1C(C)C ZINC000416247655 420295003 /nfs/dbraw/zinc/29/50/03/420295003.db2.gz PHPSRFSWJYLJPG-ONGXEEELSA-N -1 1 309.366 1.649 20 0 DDADMM CCC(=O)c1ccc(O)c(NC(=O)Cc2n[n-]c(=NC)s2)c1 ZINC000436829102 420372000 /nfs/dbraw/zinc/37/20/00/420372000.db2.gz AJCSPLLPAQYDHY-UHFFFAOYSA-N -1 1 320.374 1.481 20 0 DDADMM O=C(NCc1ccc2c(c1)OCCO2)C(=O)c1ccc([O-])cc1 ZINC000436859446 420376824 /nfs/dbraw/zinc/37/68/24/420376824.db2.gz LAYPGUAISSHERC-UHFFFAOYSA-N -1 1 313.309 1.663 20 0 DDADMM Cn1cnnc1C1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000436858947 420377905 /nfs/dbraw/zinc/37/79/05/420377905.db2.gz IHUSEIMBAFEXRN-UHFFFAOYSA-N -1 1 314.345 1.110 20 0 DDADMM COC1([C@H](C)[N-]S(=O)(=O)c2sccc2F)CCOCC1 ZINC000420759743 420382207 /nfs/dbraw/zinc/38/22/07/420382207.db2.gz ICYXSISXDKLWRB-VIFPVBQESA-N -1 1 323.411 1.750 20 0 DDADMM O=C(C(=O)N1CCC[C@@H]([C@H]2CCOC2)C1)c1ccc([O-])cc1 ZINC000436967376 420387378 /nfs/dbraw/zinc/38/73/78/420387378.db2.gz SFXWZGRTKCUXAQ-KGLIPLIRSA-N -1 1 303.358 1.850 20 0 DDADMM C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@H](O)Cc1ccccc1 ZINC000436973013 420389014 /nfs/dbraw/zinc/38/90/14/420389014.db2.gz RSDASWOVTUGESY-MLGOLLRUSA-N -1 1 313.353 1.683 20 0 DDADMM COCC[C@@H](C)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000416578872 420423853 /nfs/dbraw/zinc/42/38/53/420423853.db2.gz HDFXGPVCAKBYEK-SECBINFHSA-N -1 1 305.352 1.017 20 0 DDADMM CO[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645745134 423166032 /nfs/dbraw/zinc/16/60/32/423166032.db2.gz IDXCIWYOXUSNGP-NXEZZACHSA-N -1 1 324.324 1.946 20 0 DDADMM COCCc1nc(=N[C@H](C(=O)OC(C)C)C(C)C)s[n-]1 ZINC000450778811 420529132 /nfs/dbraw/zinc/52/91/32/420529132.db2.gz NOKVZDJMIQEACF-NSHDSACASA-N -1 1 301.412 1.537 20 0 DDADMM COc1ccc([N-]S(=O)(=O)CC(C)(C)OC)c(OC)c1 ZINC000439249486 420500692 /nfs/dbraw/zinc/50/06/92/420500692.db2.gz KBIZEAFAALFCAD-UHFFFAOYSA-N -1 1 303.380 1.871 20 0 DDADMM C[C@H](CN(C)C(=O)C1CC(O)(CCC(C)(C)C)C1)c1nn[n-]n1 ZINC000450840224 420543587 /nfs/dbraw/zinc/54/35/87/420543587.db2.gz IKWJHCWOKNULJE-OPGSHWCFSA-N -1 1 323.441 1.729 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cc(C)cs2)co1 ZINC000452751956 420655270 /nfs/dbraw/zinc/65/52/70/420655270.db2.gz BKOSYTQEUNGWOL-UHFFFAOYSA-N -1 1 314.388 1.488 20 0 DDADMM Cc1noc(CS(=O)(=O)c2ncc(-c3ccc(F)cc3)[n-]2)n1 ZINC000447494499 420775163 /nfs/dbraw/zinc/77/51/63/420775163.db2.gz XUYRRBVPSIGPRT-UHFFFAOYSA-N -1 1 322.321 1.881 20 0 DDADMM Cc1noc(CS(=O)(=O)c2nc(-c3ccc(F)cc3)c[n-]2)n1 ZINC000447494499 420775168 /nfs/dbraw/zinc/77/51/68/420775168.db2.gz XUYRRBVPSIGPRT-UHFFFAOYSA-N -1 1 322.321 1.881 20 0 DDADMM CCO/C=C\C(=O)N1CCC(c2nc(C(=O)OCC)n[n-]2)CC1 ZINC000493147280 420769355 /nfs/dbraw/zinc/76/93/55/420769355.db2.gz GOKNOVCIPZGRNC-YFHOEESVSA-N -1 1 322.365 1.238 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NCc1cccnn1)c2=O ZINC000447626521 420788516 /nfs/dbraw/zinc/78/85/16/420788516.db2.gz LWWCLKRNDVNPNN-UHFFFAOYSA-N -1 1 310.313 1.257 20 0 DDADMM CC=CC=CC(=O)N1CCC(c2nc(C(=O)OCC)n[n-]2)CC1 ZINC000493291951 420810707 /nfs/dbraw/zinc/81/07/07/420810707.db2.gz IWXSBHHFSKKMCA-WZWXSLMZSA-N -1 1 318.377 1.820 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)c1csc(=NC2CC2)[n-]1)C(=O)OC ZINC000493309450 420815755 /nfs/dbraw/zinc/81/57/55/420815755.db2.gz GEDMLDXAJBTYIJ-LDYMZIIASA-N -1 1 311.407 1.457 20 0 DDADMM CCc1c(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])ccn1C ZINC000486935022 420992539 /nfs/dbraw/zinc/99/25/39/420992539.db2.gz IDEXICJUILCZPZ-UHFFFAOYSA-N -1 1 323.374 1.193 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)Cc2ccncc2)o1 ZINC000487086570 421003834 /nfs/dbraw/zinc/00/38/34/421003834.db2.gz QXENYGQCOYDJID-SNVBAGLBSA-N -1 1 324.358 1.371 20 0 DDADMM COC(=O)N1CCC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000495546603 421021452 /nfs/dbraw/zinc/02/14/52/421021452.db2.gz TYBSNRHDBRHKLP-QWRGUYRKSA-N -1 1 307.350 1.384 20 0 DDADMM Cc1cc(S(=O)(=O)N=c2cc(OC(F)F)n(C)[n-]2)c(C)o1 ZINC000488351681 421085611 /nfs/dbraw/zinc/08/56/11/421085611.db2.gz FVXCFDOMDGYFPX-UHFFFAOYSA-N -1 1 321.305 1.454 20 0 DDADMM CC(C)NC(=O)OC[C@H]1CCCCN1C(=O)c1cncc([O-])c1 ZINC000495833964 421072178 /nfs/dbraw/zinc/07/21/78/421072178.db2.gz RBCBAXHZFBQKOR-CYBMUJFWSA-N -1 1 321.377 1.917 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(-c2cnn(C)c2)o1)c1nn[n-]n1 ZINC000450123318 421145427 /nfs/dbraw/zinc/14/54/27/421145427.db2.gz WOBQJOPTHGZQDA-SNVBAGLBSA-N -1 1 315.337 1.464 20 0 DDADMM CO[C@H]([C@@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O)C1CC1 ZINC000456541807 421180091 /nfs/dbraw/zinc/18/00/91/421180091.db2.gz DAHWQDOZORTSJE-AMIZOPFISA-N -1 1 304.350 1.217 20 0 DDADMM C[C@@H]1CN(CCNC(=O)c2ccc3ccccc3c2[O-])CCO1 ZINC000523777053 421248792 /nfs/dbraw/zinc/24/87/92/421248792.db2.gz HLEQRNQLVKGOPV-CYBMUJFWSA-N -1 1 314.385 1.996 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1coc2cc(F)ccc12)c1nn[n-]n1 ZINC000548138635 421405395 /nfs/dbraw/zinc/40/53/95/421405395.db2.gz ZNOQNBHBLOQRDO-SECBINFHSA-N -1 1 317.324 1.890 20 0 DDADMM CO[C@@H](Cc1ccccc1)CS(=O)(=O)[N-]c1cnc(C)nc1 ZINC000562936728 421427989 /nfs/dbraw/zinc/42/79/89/421427989.db2.gz BFFDUFQTGOEWJQ-HNNXBMFYSA-N -1 1 321.402 1.784 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)[C@H]2CCOC2)n[n-]1 ZINC000548385628 421431511 /nfs/dbraw/zinc/43/15/11/421431511.db2.gz KBEAUFVACVKQIY-QWRGUYRKSA-N -1 1 324.381 1.221 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)[C@H]2CCOC2)[n-]1 ZINC000548385628 421431513 /nfs/dbraw/zinc/43/15/13/421431513.db2.gz KBEAUFVACVKQIY-QWRGUYRKSA-N -1 1 324.381 1.221 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)[C@H]2CCOC2)n1 ZINC000548385628 421431514 /nfs/dbraw/zinc/43/15/14/421431514.db2.gz KBEAUFVACVKQIY-QWRGUYRKSA-N -1 1 324.381 1.221 20 0 DDADMM C[C@H](N=c1ccc(N2CC[C@H](C(N)=O)C2)n[n-]1)c1ccccc1 ZINC000515167634 421483345 /nfs/dbraw/zinc/48/33/45/421483345.db2.gz KMPQCOBYMDQMDY-JSGCOSHPSA-N -1 1 311.389 1.383 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)N=c1cc2ccccn2[nH]1 ZINC000563683973 421534761 /nfs/dbraw/zinc/53/47/61/421534761.db2.gz BPJYUWDPNRGTPO-CYBMUJFWSA-N -1 1 301.394 1.704 20 0 DDADMM Cn1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1C1CCC1 ZINC000551735826 421539867 /nfs/dbraw/zinc/53/98/67/421539867.db2.gz VSZRNYBYBJFBCH-LBPRGKRZSA-N -1 1 314.393 1.826 20 0 DDADMM Cc1cc(O)c(C(C)C)cc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000553188017 421605780 /nfs/dbraw/zinc/60/57/80/421605780.db2.gz YXOMFNVJAIGLRB-UHFFFAOYSA-N -1 1 301.350 1.756 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@H](C)N1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000571402768 421713250 /nfs/dbraw/zinc/71/32/50/421713250.db2.gz CUKVYTDBFMDYEZ-FRRDWIJNSA-N -1 1 322.409 1.834 20 0 DDADMM COC[C@@]1(C(=O)[O-])CC[N@@H+](Cc2nc(CCC(C)C)no2)C1 ZINC000571649061 421735016 /nfs/dbraw/zinc/73/50/16/421735016.db2.gz LORGDGMZYVERRF-OAHLLOKOSA-N -1 1 311.382 1.581 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(Cc2nc(CCC(C)C)no2)C1 ZINC000571649061 421735020 /nfs/dbraw/zinc/73/50/20/421735020.db2.gz LORGDGMZYVERRF-OAHLLOKOSA-N -1 1 311.382 1.581 20 0 DDADMM O=C(c1cc2ccccc2[nH]c1=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538225764 421737769 /nfs/dbraw/zinc/73/77/69/421737769.db2.gz VWSQFGXMIMSNHF-NSHDSACASA-N -1 1 324.344 1.473 20 0 DDADMM C[C@@H](Oc1ccccc1F)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000540426107 421763758 /nfs/dbraw/zinc/76/37/58/421763758.db2.gz CPJSOUZLBUSSKR-MNOVXSKESA-N -1 1 319.340 1.512 20 0 DDADMM CCC(CC)[C@@H](CC[N-]S(=O)(=O)c1c(C)onc1N)OC ZINC000558432048 421807161 /nfs/dbraw/zinc/80/71/61/421807161.db2.gz KVBALYMSYALHFM-LLVKDONJSA-N -1 1 319.427 1.685 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CNCc1ccc(N2CCCC2)nc1 ZINC000635304386 421894637 /nfs/dbraw/zinc/89/46/37/421894637.db2.gz GEGDURPQIBSGHH-UHFFFAOYSA-N -1 1 315.377 1.403 20 0 DDADMM O=C([O-])CCN(C[C@H]1CCCO1)C(=O)c1cccc2n[nH]cc21 ZINC000630131540 421908840 /nfs/dbraw/zinc/90/88/40/421908840.db2.gz RCDBEEOYIJTATE-LLVKDONJSA-N -1 1 317.345 1.659 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@H]2CCCN2C2CC2)C(=O)[O-])cc1 ZINC000630140366 421914463 /nfs/dbraw/zinc/91/44/63/421914463.db2.gz WBAMXFMLEWQIJW-HZPDHXFCSA-N -1 1 316.401 1.906 20 0 DDADMM CC(C)(C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1)c1ccccc1 ZINC000630223493 421973331 /nfs/dbraw/zinc/97/33/31/421973331.db2.gz URNFOMYFIAJCIX-UHFFFAOYSA-N -1 1 318.417 1.972 20 0 DDADMM COc1ccc(Cl)cc1C(C)(C)NC(=O)CCc1nn[n-]n1 ZINC000633490291 421932567 /nfs/dbraw/zinc/93/25/67/421932567.db2.gz VHNHXAALCFSHCQ-UHFFFAOYSA-N -1 1 323.784 1.846 20 0 DDADMM C[C@H](CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)c1ccco1 ZINC000630220335 421970634 /nfs/dbraw/zinc/97/06/34/421970634.db2.gz ODTQJHKNOIGATB-CHWSQXEVSA-N -1 1 308.378 1.781 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3[C@H](C(=O)[O-])C3(C)C)[nH][nH]2)o1 ZINC000581429188 421972013 /nfs/dbraw/zinc/97/20/13/421972013.db2.gz BKHIYISORRWHOZ-NWDGAFQWSA-N -1 1 303.318 1.695 20 0 DDADMM C[C@H]1CN(C(=O)CCc2nn[n-]n2)CCc2ccc(Cl)cc21 ZINC000633570450 421974799 /nfs/dbraw/zinc/97/47/99/421974799.db2.gz FGWSHEQWCZZRII-JTQLQIEISA-N -1 1 319.796 1.974 20 0 DDADMM CO[C@H]1C[C@@H](CC(=O)[O-])N(Cc2ccn(-c3ccccc3)n2)C1 ZINC000581672519 422026481 /nfs/dbraw/zinc/02/64/81/422026481.db2.gz OQTOCUQGCRYUAU-HOTGVXAUSA-N -1 1 315.373 1.936 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)C[C@H]1C(N)=O ZINC000630352387 422039813 /nfs/dbraw/zinc/03/98/13/422039813.db2.gz KJKLHHOSNSVVAG-PSASIEDQSA-N -1 1 304.375 1.303 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H](C)c2cccs2)CC1 ZINC000630226372 421976609 /nfs/dbraw/zinc/97/66/09/421976609.db2.gz VTLSAIZLTKJMBM-VXGBXAGGSA-N -1 1 310.419 1.859 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)s1 ZINC000630227477 421978652 /nfs/dbraw/zinc/97/86/52/421978652.db2.gz MHWNJIMHTHIVEV-UHFFFAOYSA-N -1 1 310.419 1.986 20 0 DDADMM Cc1ncc(C(=O)N2CCc3c(F)ccc(C(=O)[O-])c3C2)[nH]1 ZINC000630241391 421983897 /nfs/dbraw/zinc/98/38/97/421983897.db2.gz LYGKJJWMEOADOL-UHFFFAOYSA-N -1 1 303.293 1.754 20 0 DDADMM CCCCCc1cc(C(=O)N2C[C@@H](C)O[C@H](C(=O)[O-])C2)n[nH]1 ZINC000630261474 421995750 /nfs/dbraw/zinc/99/57/50/421995750.db2.gz QFEAHJHKLVMWMJ-MFKMUULPSA-N -1 1 309.366 1.457 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCCO1 ZINC000632088492 422078510 /nfs/dbraw/zinc/07/85/10/422078510.db2.gz BSMWKJMXKFSSPH-VIFPVBQESA-N -1 1 313.301 1.276 20 0 DDADMM Cc1nn(C(C)C)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000582013474 422088818 /nfs/dbraw/zinc/08/88/18/422088818.db2.gz NKAPDRQZBRFLFM-LLVKDONJSA-N -1 1 319.365 1.881 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)CCOC(C)(C)C)c1 ZINC000632118705 422100241 /nfs/dbraw/zinc/10/02/41/422100241.db2.gz AQBSXBYWLLRICN-UHFFFAOYSA-N -1 1 317.407 1.836 20 0 DDADMM CCOc1ccc(Cl)cc1CCNC(=O)CCc1nn[n-]n1 ZINC000632121115 422102328 /nfs/dbraw/zinc/10/23/28/422102328.db2.gz MIBXGLHQCXAFTF-UHFFFAOYSA-N -1 1 323.784 1.543 20 0 DDADMM O=C(N[C@H]1C[C@@H](CO)C1)c1cc(Br)ccc1[O-] ZINC000633840825 422106735 /nfs/dbraw/zinc/10/67/35/422106735.db2.gz FCUYBIVVSVSPKB-OTSSQURYSA-N -1 1 300.152 1.655 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)C1CC1 ZINC000632131723 422108436 /nfs/dbraw/zinc/10/84/36/422108436.db2.gz ATBNGNRGVCSRSK-ZETCQYMHSA-N -1 1 313.301 1.132 20 0 DDADMM CCO[C@H](C(=O)N=c1[nH][n-]c(C)c1C(=O)NC)c1ccccc1 ZINC000633691752 422053892 /nfs/dbraw/zinc/05/38/92/422053892.db2.gz KEGOKUWWSLOAEH-ZDUSSCGKSA-N -1 1 316.361 1.216 20 0 DDADMM CC(C)(C)C(=O)CCCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000574602354 422143371 /nfs/dbraw/zinc/14/33/71/422143371.db2.gz BTMXSEMOBIGLBY-NSHDSACASA-N -1 1 307.398 1.691 20 0 DDADMM C[C@H](CNC(=O)CCCc1nn[n-]n1)Oc1ccc(F)cc1 ZINC000635619625 422172737 /nfs/dbraw/zinc/17/27/37/422172737.db2.gz VDKIMSCJKRFOFU-SNVBAGLBSA-N -1 1 307.329 1.245 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCC[C@@H](C)CO)c1 ZINC000632150829 422121850 /nfs/dbraw/zinc/12/18/50/422121850.db2.gz PLQCAENEMPLGHI-SNVBAGLBSA-N -1 1 303.380 1.088 20 0 DDADMM CCN(Cc1cn(CC(=O)[O-])nn1)[C@@H](C)Cc1ccsc1 ZINC000582186864 422127945 /nfs/dbraw/zinc/12/79/45/422127945.db2.gz VFJCJPPABTUNND-NSHDSACASA-N -1 1 308.407 1.877 20 0 DDADMM C[C@H]1C[C@@H](c2ccccc2)CN1Cc1cn(CC(=O)[O-])nn1 ZINC000574921799 422218746 /nfs/dbraw/zinc/21/87/46/422218746.db2.gz PMSQPTDUMDTCHJ-GXTWGEPZSA-N -1 1 300.362 1.741 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC=C(c2ccc(F)cc2)CC1 ZINC000630616605 422223234 /nfs/dbraw/zinc/22/32/34/422223234.db2.gz QOGMFSAWKQKSBO-UHFFFAOYSA-N -1 1 301.325 1.587 20 0 DDADMM C[C@H]1CN(C(=O)CCCc2nn[n-]n2)C[C@H](c2ccsc2)O1 ZINC000635685912 422240507 /nfs/dbraw/zinc/24/05/07/422240507.db2.gz RYWHVJJVZQFTCR-CMPLNLGQSA-N -1 1 321.406 1.573 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1ccc(CNC(C)=O)cc1 ZINC000584028980 422241810 /nfs/dbraw/zinc/24/18/10/422241810.db2.gz UZNGNQBZWOSHPP-UHFFFAOYSA-N -1 1 316.317 1.085 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCOC2(C1)CCCCCC2 ZINC000635695786 422250405 /nfs/dbraw/zinc/25/04/05/422250405.db2.gz BTCPKVYQNXEMCW-UHFFFAOYSA-N -1 1 307.398 1.474 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-][C@H]1CC[C@@](O)(C(F)(F)F)C1 ZINC000632358577 422273903 /nfs/dbraw/zinc/27/39/03/422273903.db2.gz SFDUMZRPTHSIQT-CBAPKCEASA-N -1 1 314.285 1.107 20 0 DDADMM CN(CCCOc1ccc(Cl)cc1)C(=O)CCc1nn[n-]n1 ZINC000630787525 422336551 /nfs/dbraw/zinc/33/65/51/422336551.db2.gz TWLBBQHVIZPVKH-UHFFFAOYSA-N -1 1 323.784 1.713 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CCC(=O)NC1COC1 ZINC000634254519 422346659 /nfs/dbraw/zinc/34/66/59/422346659.db2.gz ASDLLAYWQWOZEO-UHFFFAOYSA-N -1 1 314.345 1.000 20 0 DDADMM COc1ccccc1C[C@@](C)(CO)NC(=O)c1ncccc1[O-] ZINC000634287005 422367518 /nfs/dbraw/zinc/36/75/18/422367518.db2.gz OJCHMKBTIPERAA-KRWDZBQOSA-N -1 1 316.357 1.519 20 0 DDADMM C[C@@H]1[C@@H](C(F)(F)F)CCCN1C(=O)CCCc1nn[n-]n1 ZINC000635817771 422374233 /nfs/dbraw/zinc/37/42/33/422374233.db2.gz PGCIHUCANICAEX-BDAKNGLRSA-N -1 1 305.304 1.712 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1cc(Br)cs1 ZINC000630764772 422324315 /nfs/dbraw/zinc/32/43/15/422324315.db2.gz ULCPRGYAMDPLFR-UHFFFAOYSA-N -1 1 316.184 1.273 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCC[C@@H]1[C@@H]1CCCC[C@@H]1O ZINC000635884853 422441917 /nfs/dbraw/zinc/44/19/17/422441917.db2.gz MQCIVFHKKVSZGQ-MJBXVCDLSA-N -1 1 321.425 1.455 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](c2ccccc2)[C@@H](C)O)sn1 ZINC000632576217 422448425 /nfs/dbraw/zinc/44/84/25/422448425.db2.gz LXYLMOYIDJKHJQ-MFKMUULPSA-N -1 1 312.416 1.852 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)[C@@H](C)O1 ZINC000632646434 422492524 /nfs/dbraw/zinc/49/25/24/422492524.db2.gz JLERDLMSSHDRNJ-NQMVMOMDSA-N -1 1 324.324 1.945 20 0 DDADMM CC[C@](C)(O)CN(Cc1ccccc1)C(=O)CCc1nn[n-]n1 ZINC000632691522 422525472 /nfs/dbraw/zinc/52/54/72/422525472.db2.gz ORRIJZYWRBYKGC-INIZCTEOSA-N -1 1 317.393 1.322 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H](CO)Cc1ccncc1 ZINC000632730050 422545215 /nfs/dbraw/zinc/54/52/15/422545215.db2.gz IDFSBRKNJZYREP-ZDUSSCGKSA-N -1 1 320.776 1.703 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccc(C)c(F)c2F)[n-]n1C ZINC000629294734 422588804 /nfs/dbraw/zinc/58/88/04/422588804.db2.gz HOERGJHEFLBTBP-UHFFFAOYSA-N -1 1 317.317 1.238 20 0 DDADMM CCO[C@@H](C(=O)N=c1[nH][n-]c(C)c1Br)C1CC1 ZINC000627669802 422657184 /nfs/dbraw/zinc/65/71/84/422657184.db2.gz UHGORVZCJJCAOG-SECBINFHSA-N -1 1 302.172 1.656 20 0 DDADMM CO[C@@H](Cc1ccc(F)cc1)CS(=O)(=O)[N-]c1cn[nH]c1 ZINC000629349100 422627167 /nfs/dbraw/zinc/62/71/67/422627167.db2.gz DFCFXTLCQDRQEZ-ZDUSSCGKSA-N -1 1 313.354 1.548 20 0 DDADMM CCOCCO[C@H](C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629374236 422636041 /nfs/dbraw/zinc/63/60/41/422636041.db2.gz QEXFJKSFENWTSA-GFCCVEGCSA-N -1 1 319.361 1.958 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCc2ccc(Br)cc21 ZINC000631263055 422639726 /nfs/dbraw/zinc/63/97/26/422639726.db2.gz WNTVLZDDPHYUJR-UHFFFAOYSA-N -1 1 322.166 1.484 20 0 DDADMM COc1ncc(CN2CC[C@H](C(=O)[O-])[C@@H]3CCC[C@H]32)c(C)n1 ZINC000629376545 422640012 /nfs/dbraw/zinc/64/00/12/422640012.db2.gz IORABKSMGLJWTJ-MELADBBJSA-N -1 1 305.378 1.869 20 0 DDADMM FC(F)(F)c1n[n-]c(=NCc2nnc([C@H]3CCOC3)[nH]2)s1 ZINC000631376983 422726652 /nfs/dbraw/zinc/72/66/52/422726652.db2.gz YQGZJGSGJSEQHG-YFKPBYRVSA-N -1 1 320.300 1.213 20 0 DDADMM FC(F)(F)c1n[n-]c(=NCc2n[nH]c([C@H]3CCOC3)n2)s1 ZINC000631376983 422726658 /nfs/dbraw/zinc/72/66/58/422726658.db2.gz YQGZJGSGJSEQHG-YFKPBYRVSA-N -1 1 320.300 1.213 20 0 DDADMM C[C@H](CN(C)CCCS(=O)(=O)c1ccc(F)cc1)C(=O)[O-] ZINC000578899775 422671543 /nfs/dbraw/zinc/67/15/43/422671543.db2.gz ZTOYETOMXBFCBN-LLVKDONJSA-N -1 1 317.382 1.642 20 0 DDADMM Nc1nc2c(c(N[C@@H]3C[C@@H](C(=O)[O-])c4ccccc43)n1)CCCC2 ZINC000650775946 423254935 /nfs/dbraw/zinc/25/49/35/423254935.db2.gz IOHCYJVZOAZACH-UKRRQHHQSA-N -1 1 324.384 1.915 20 0 DDADMM Cc1ccoc1CN1CCC(N2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC000650792080 423262684 /nfs/dbraw/zinc/26/26/84/423262684.db2.gz XDGZZLUDFFMCDY-LBPRGKRZSA-N -1 1 306.362 1.486 20 0 DDADMM NC(=O)[C@@H]1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000648271289 423295349 /nfs/dbraw/zinc/29/53/49/423295349.db2.gz RAUFLNKTMKEHTM-MRVPVSSYSA-N -1 1 302.252 1.358 20 0 DDADMM CC[C@H](C)c1nc([C@@H](C)NC(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)n[nH]1 ZINC000646178512 423380572 /nfs/dbraw/zinc/38/05/72/423380572.db2.gz RLLPAHWITWKKDR-ZRUFSTJUSA-N -1 1 323.397 1.932 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000653306227 423431220 /nfs/dbraw/zinc/43/12/20/423431220.db2.gz GSSMBKNGRAJOGV-UHFFFAOYSA-N -1 1 302.334 1.519 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000646413398 423479768 /nfs/dbraw/zinc/47/97/68/423479768.db2.gz XHYWLKCWJWYYJL-GFCCVEGCSA-N -1 1 314.345 1.411 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(NS(C)(=O)=O)cc1 ZINC000646410736 423481164 /nfs/dbraw/zinc/48/11/64/423481164.db2.gz VVIPPKYBEJLPLN-UHFFFAOYSA-N -1 1 310.335 1.048 20 0 DDADMM CC(C)[C@H](Oc1ccc(Cl)cc1)C(=O)NCc1nn[n-]n1 ZINC000643982603 423429971 /nfs/dbraw/zinc/42/99/71/423429971.db2.gz BVTLYJDCNGBKQQ-LBPRGKRZSA-N -1 1 309.757 1.573 20 0 DDADMM CO[C@@H]1CCC[C@@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000648894459 423525566 /nfs/dbraw/zinc/52/55/66/423525566.db2.gz KVKSXHMWERZKIZ-MCIONIFRSA-N -1 1 315.377 1.611 20 0 DDADMM Cn1nccc1[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCO1 ZINC000654051127 423682315 /nfs/dbraw/zinc/68/23/15/423682315.db2.gz BMPIKDZCIXLYGL-ZWNOBZJWSA-N -1 1 302.334 1.171 20 0 DDADMM Cn1nccc1[C@H]1C[C@H](NC(=O)c2cc(F)ccc2[O-])CCO1 ZINC000654049153 423682519 /nfs/dbraw/zinc/68/25/19/423682519.db2.gz QPIIDJBTMUKJDU-IAQYHMDHSA-N -1 1 319.336 1.915 20 0 DDADMM COc1ccc(-c2n[n-]c(=NCCN3CCCOCC3)o2)cc1 ZINC000641521855 423692994 /nfs/dbraw/zinc/69/29/94/423692994.db2.gz MJWKTUWRBRIEGE-UHFFFAOYSA-N -1 1 318.377 1.301 20 0 DDADMM O=C([O-])[C@H]1C[C@H](NS(=O)(=O)c2c(F)cc(F)cc2F)C1 ZINC000644572474 423710838 /nfs/dbraw/zinc/71/08/38/423710838.db2.gz SGNMDLLPAZXWMP-KBTIHESUSA-N -1 1 309.265 1.245 20 0 DDADMM CSc1nc(CNc2ccc(C(F)(F)F)nn2)cc(=O)[n-]1 ZINC000641656782 423819629 /nfs/dbraw/zinc/81/96/29/423819629.db2.gz ISPWRLWCYMASEE-UHFFFAOYSA-N -1 1 317.296 1.747 20 0 DDADMM CCN(CCNc1cncc(C(=O)[O-])n1)CCC(F)(F)F ZINC000649395853 423848665 /nfs/dbraw/zinc/84/86/65/423848665.db2.gz DTGZRCSYEQMKCU-UHFFFAOYSA-N -1 1 306.288 1.861 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]Cc1nc(C)no1)C1CCCC1 ZINC000656969804 423939407 /nfs/dbraw/zinc/93/94/07/423939407.db2.gz CWAYXDGICFMGIN-NSHDSACASA-N -1 1 303.384 1.003 20 0 DDADMM O=C([C@@H]1Cc2ccc(F)cc21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644884398 424013440 /nfs/dbraw/zinc/01/34/40/424013440.db2.gz UJVCIANSVNGUGV-ZWNOBZJWSA-N -1 1 317.320 1.960 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2C[C@@H](O)C23CCC3)c(F)c1 ZINC000657185569 424200805 /nfs/dbraw/zinc/20/08/05/424200805.db2.gz FPTWRKPZFJERNO-NWDGAFQWSA-N -1 1 317.357 1.855 20 0 DDADMM O=C([O-])COc1ccc(C(=O)N2CCN(CC3CC3)CC2)cc1 ZINC000659754900 424221569 /nfs/dbraw/zinc/22/15/69/424221569.db2.gz SKZLPVYIHVXNQY-UHFFFAOYSA-N -1 1 318.373 1.318 20 0 DDADMM Cc1ccnc(-c2noc(CN(C)[C@H]3C[C@@H](C(=O)[O-])C3)n2)c1 ZINC000659801083 424261339 /nfs/dbraw/zinc/26/13/39/424261339.db2.gz DYVQHESPJORZJN-PHIMTYICSA-N -1 1 302.334 1.735 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@@H+]2C[C@@H]3CCCC[C@]3(C(=O)[O-])C2)n1 ZINC000659800753 424263728 /nfs/dbraw/zinc/26/37/28/424263728.db2.gz XKYLTDNFTLBCSJ-ZBEGNZNMSA-N -1 1 305.378 1.821 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+]2C[C@@H]3CCCC[C@]3(C(=O)[O-])C2)n1 ZINC000659800753 424263732 /nfs/dbraw/zinc/26/37/32/424263732.db2.gz XKYLTDNFTLBCSJ-ZBEGNZNMSA-N -1 1 305.378 1.821 20 0 DDADMM CCC(C)(C)OCC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000663968414 424309650 /nfs/dbraw/zinc/30/96/50/424309650.db2.gz IVAFWWHLQDJNEW-CYBMUJFWSA-N -1 1 303.366 1.611 20 0 DDADMM CNC(=O)[C@H]1CCC[C@@H]1NC(=O)N=c1[n-]sc2ccccc21 ZINC000640342884 424364023 /nfs/dbraw/zinc/36/40/23/424364023.db2.gz QRFZAJGQASWKEK-ONGXEEELSA-N -1 1 318.402 1.754 20 0 DDADMM CC(C)N(CCOCCO)C(=O)N=c1[n-]sc2ccccc21 ZINC000640340192 424364625 /nfs/dbraw/zinc/36/46/25/424364625.db2.gz OWONIAYQIXHLQA-UHFFFAOYSA-N -1 1 323.418 1.969 20 0 DDADMM C[C@@H](CNC(=O)N=c1[n-]sc2ccccc21)S(C)(=O)=O ZINC000640343933 424366462 /nfs/dbraw/zinc/36/64/62/424366462.db2.gz VTAOJPXPZYNEIN-QMMMGPOBSA-N -1 1 313.404 1.273 20 0 DDADMM COC1CC(CCNC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000664291525 424520096 /nfs/dbraw/zinc/52/00/96/424520096.db2.gz GLUUTMWWGDADTA-UHFFFAOYSA-N -1 1 301.346 1.468 20 0 DDADMM O=S(=O)([N-]CCOCCF)c1cc2cc(F)ccc2o1 ZINC000660174711 424578916 /nfs/dbraw/zinc/57/89/16/424578916.db2.gz JNBMNHPDPAPLNZ-UHFFFAOYSA-N -1 1 305.302 1.836 20 0 DDADMM C[C@H](CNC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C)C(=O)[O-] ZINC000655864258 424685422 /nfs/dbraw/zinc/68/54/22/424685422.db2.gz YVUSTFMPTKIXJQ-MFKMUULPSA-N -1 1 311.357 1.448 20 0 DDADMM O=C([O-])C1CCC(NC(=O)N[C@@H]2CCC[N@H+]3CCCC[C@@H]23)CC1 ZINC000655878797 424689681 /nfs/dbraw/zinc/68/96/81/424689681.db2.gz FUWHWMPXVSMCLI-CVSAEHQPSA-N -1 1 323.437 1.946 20 0 DDADMM O=C([O-])[C@H](CNC(=O)N[C@H]1CCCc2cn[nH]c21)C1CCC1 ZINC000665307658 424779264 /nfs/dbraw/zinc/77/92/64/424779264.db2.gz LOHMQZNHPFXFIQ-NEPJUHHUSA-N -1 1 306.366 1.587 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)NC[C@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665322271 424783246 /nfs/dbraw/zinc/78/32/46/424783246.db2.gz ABJFWTDEQXCMAC-ONGXEEELSA-N -1 1 323.397 1.789 20 0 DDADMM Cc1noc2ncc(S(=O)(=O)[N-][C@H](C)C(F)(F)F)cc12 ZINC000341633866 271147931 /nfs/dbraw/zinc/14/79/31/271147931.db2.gz AHZMNUHNRKCKLN-ZCFIWIBFSA-N -1 1 309.269 1.760 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CSC[C@@H]1C ZINC000342362158 271389985 /nfs/dbraw/zinc/38/99/85/271389985.db2.gz YOTBGXSFDZQHSK-QPUJVOFHSA-N -1 1 320.418 1.462 20 0 DDADMM CCC[C@H](NC(=O)C(=O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000343753757 271856925 /nfs/dbraw/zinc/85/69/25/271856925.db2.gz UMZCLJNOQBJJRF-JTQLQIEISA-N -1 1 307.741 1.693 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC2=CCCOC2)o1 ZINC000344979198 272149597 /nfs/dbraw/zinc/14/95/97/272149597.db2.gz WPOLKJNTLJNRDB-UHFFFAOYSA-N -1 1 315.347 1.081 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1c2ccccc2CC[C@H]1C ZINC000331946635 279268114 /nfs/dbraw/zinc/26/81/14/279268114.db2.gz MUEPDNBCRCOBTB-RNCFNFMXSA-N -1 1 321.402 1.997 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1c2ccccc2CC[C@H]1C ZINC000331946635 279268116 /nfs/dbraw/zinc/26/81/16/279268116.db2.gz MUEPDNBCRCOBTB-RNCFNFMXSA-N -1 1 321.402 1.997 20 0 DDADMM O=c1nc(CN2CCCc3cc(Br)ccc32)[nH][n-]1 ZINC000271599720 280396562 /nfs/dbraw/zinc/39/65/62/280396562.db2.gz HWPOBKHSASIAIF-UHFFFAOYSA-N -1 1 309.167 1.813 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(F)cc2[O-])CCN1C[C@H](C)O ZINC000247247792 288273740 /nfs/dbraw/zinc/27/37/40/288273740.db2.gz XASJIDGFLKFWDQ-AAEUAGOBSA-N -1 1 310.369 1.449 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2ccc3c(c2)OCCO3)[nH]n1 ZINC000126407976 281238163 /nfs/dbraw/zinc/23/81/63/281238163.db2.gz BTMCFPRKALNKAC-UHFFFAOYSA-N -1 1 323.374 1.934 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000127514099 281277745 /nfs/dbraw/zinc/27/77/45/281277745.db2.gz MFEBEZBUMGTHJB-JQWIXIFHSA-N -1 1 312.391 1.215 20 0 DDADMM CN(CCC1CCOCC1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000152353131 281737833 /nfs/dbraw/zinc/73/78/33/281737833.db2.gz KTKIDUQUFFVREP-UHFFFAOYSA-N -1 1 315.373 1.812 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(Br)c([O-])c1)C(=O)OC ZINC000152730321 281757908 /nfs/dbraw/zinc/75/79/08/281757908.db2.gz DCHKLYONORXBIK-SECBINFHSA-N -1 1 316.151 1.836 20 0 DDADMM O=C(N[C@H]1CCO[C@@]2(CCOC2)C1)c1csc(=NC2CC2)[n-]1 ZINC000332799180 298276449 /nfs/dbraw/zinc/27/64/49/298276449.db2.gz PBTZMFOKYXVPNS-NHYWBVRUSA-N -1 1 323.418 1.207 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccc2c(c1)SCC(=O)N2 ZINC000354236094 298376936 /nfs/dbraw/zinc/37/69/36/298376936.db2.gz GGCYJKOZAMYDEZ-UHFFFAOYSA-N -1 1 301.327 1.506 20 0 DDADMM Cn1cnc(C(=O)N=c2cc(-c3ccc(Cl)s3)[n-][nH]2)n1 ZINC000355254981 298687750 /nfs/dbraw/zinc/68/77/50/298687750.db2.gz LFLIYMIVRJCYFV-UHFFFAOYSA-N -1 1 308.754 1.594 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2nc(C)c(C)s2)[n-]n1 ZINC000357187989 298989871 /nfs/dbraw/zinc/98/98/71/298989871.db2.gz ZGNCPTLMMFMKQZ-UHFFFAOYSA-N -1 1 305.407 1.376 20 0 DDADMM COCC[C@@H](C)S(=O)(=O)[N-][C@H](C(=O)OC)c1cccs1 ZINC000362115721 300009952 /nfs/dbraw/zinc/00/99/52/300009952.db2.gz DKXPOHPZTVKJRO-KOLCDFICSA-N -1 1 321.420 1.307 20 0 DDADMM C[C@@H]1[C@H](C(=O)N2CCC[C@H](c3nn[n-]n3)C2)[C@H]1c1ccccc1 ZINC000369167763 301139670 /nfs/dbraw/zinc/13/96/70/301139670.db2.gz WIVVFNCXEQLBOY-MHEUCROKSA-N -1 1 311.389 1.955 20 0 DDADMM Cc1cc(C(=O)[O-])cc(S(=O)(=O)NC[C@H](C)N(C)C)c1C ZINC000037985814 301154370 /nfs/dbraw/zinc/15/43/70/301154370.db2.gz XQBUPYFJAHOJTJ-JTQLQIEISA-N -1 1 314.407 1.230 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CCC[C@H]1C ZINC000369734154 301218252 /nfs/dbraw/zinc/21/82/52/301218252.db2.gz KYAAPDZBMMPDLB-LLVKDONJSA-N -1 1 312.373 1.694 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1COc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000370516187 301355479 /nfs/dbraw/zinc/35/54/79/301355479.db2.gz BEZMWFKRIPZBLN-QWRGUYRKSA-N -1 1 321.768 1.987 20 0 DDADMM Cn1ccc(N2CC[C@H](NC(=O)c3cc(Cl)ccc3[O-])C2)n1 ZINC000370914852 301402812 /nfs/dbraw/zinc/40/28/12/301402812.db2.gz ZFQGARCQSSALRO-NSHDSACASA-N -1 1 320.780 1.788 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(Oc2cnccn2)CC1 ZINC000355567313 306810507 /nfs/dbraw/zinc/81/05/07/306810507.db2.gz NGFPEQFJIHYDBN-UHFFFAOYSA-N -1 1 300.318 1.261 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H](Cc2ccncc2)C1 ZINC000377887636 302247345 /nfs/dbraw/zinc/24/73/45/302247345.db2.gz GIBPQASLWKJDEE-GFCCVEGCSA-N -1 1 322.390 1.044 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3ccc(C)nc3)no2)co1 ZINC000355955995 306822845 /nfs/dbraw/zinc/82/28/45/306822845.db2.gz JTCKBNJFGYUJOZ-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM O=S(=O)([N-][C@H]1CCO[C@H]1C1CC1)c1ccc(F)c(F)c1F ZINC000378324166 302312213 /nfs/dbraw/zinc/31/22/13/302312213.db2.gz RWWVJJNWWMEQEF-ZANVPECISA-N -1 1 321.320 1.950 20 0 DDADMM Cc1cccc2c1C[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)O2 ZINC000450836931 302398286 /nfs/dbraw/zinc/39/82/86/302398286.db2.gz BVWRIJQVWZGLLX-GWCFXTLKSA-N -1 1 301.350 1.074 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCC[C@H]2CCOC2)c1 ZINC000452011182 302401952 /nfs/dbraw/zinc/40/19/52/302401952.db2.gz CPCZOVGOHBNMNL-JTQLQIEISA-N -1 1 317.363 1.161 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@](C)(C(=O)[N-]OCC(C)(C)O)C1 ZINC000495808410 302469245 /nfs/dbraw/zinc/46/92/45/302469245.db2.gz FPYZLGKMBIUKON-OAHLLOKOSA-N -1 1 316.398 1.452 20 0 DDADMM C[C@@H](C(=O)[O-])[C@H](C)NCc1nc(Cc2cccc(F)c2)no1 ZINC000516657037 302795096 /nfs/dbraw/zinc/79/50/96/302795096.db2.gz SOYNNIDTUVHGQK-ZJUUUORDSA-N -1 1 307.325 1.998 20 0 DDADMM C[C@@]1(CN=c2[n-]c(C(N)=O)cs2)COc2ccccc2O1 ZINC000528081753 303002128 /nfs/dbraw/zinc/00/21/28/303002128.db2.gz WCBKACBKKNITPA-CQSZACIVSA-N -1 1 305.359 1.306 20 0 DDADMM C[C@]1(Br)C[C@@H]1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000528882137 303069255 /nfs/dbraw/zinc/06/92/55/303069255.db2.gz KHJBSIQKSADURV-XLDPMVHQSA-N -1 1 314.187 1.079 20 0 DDADMM O=C([C@H]1Cc2c1cccc2Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000528880007 303069309 /nfs/dbraw/zinc/06/93/09/303069309.db2.gz CPTDBZNDTOHHKO-SKDRFNHKSA-N -1 1 317.780 1.899 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)Cc1ccc(C)s1 ZINC000357359346 306878537 /nfs/dbraw/zinc/87/85/37/306878537.db2.gz ZPAIRGAWMKIXSW-ZETCQYMHSA-N -1 1 323.374 1.627 20 0 DDADMM O=C(NC[C@@H](O)[C@H]1CCCO1)c1ccc(C(F)(F)F)cc1[O-] ZINC000529768494 303152342 /nfs/dbraw/zinc/15/23/42/303152342.db2.gz MFUQJHXRAICYDW-VXGBXAGGSA-N -1 1 319.279 1.681 20 0 DDADMM O=C(NC[C@H](O)[C@H]1CCCO1)c1ccc(C(F)(F)F)cc1[O-] ZINC000529768492 303152441 /nfs/dbraw/zinc/15/24/41/303152441.db2.gz MFUQJHXRAICYDW-NWDGAFQWSA-N -1 1 319.279 1.681 20 0 DDADMM CCOC[C@@H]1CN(C(=O)c2csc(=NC3CC3)[n-]2)CCO1 ZINC000529895868 303161186 /nfs/dbraw/zinc/16/11/86/303161186.db2.gz BPKDDPLZLLWJAP-NSHDSACASA-N -1 1 311.407 1.017 20 0 DDADMM CC(C)OC[C@H](O)CN1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000530168091 303177980 /nfs/dbraw/zinc/17/79/80/303177980.db2.gz HJIJQEBWAHWHMO-LLVKDONJSA-N -1 1 311.353 1.668 20 0 DDADMM C[C@@H]1CCN(c2cc(C(=O)NC(C)(C)c3nn[n-]n3)ccn2)C1 ZINC000530745703 303210327 /nfs/dbraw/zinc/21/03/27/303210327.db2.gz KALUTMRICINOMX-SNVBAGLBSA-N -1 1 315.381 1.106 20 0 DDADMM CC(C)Oc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)ccn1 ZINC000539324124 303394477 /nfs/dbraw/zinc/39/44/77/303394477.db2.gz CYGAGKVATWCETM-GFCCVEGCSA-N -1 1 316.365 1.402 20 0 DDADMM O=C(Nc1cc(Cl)cc(Cl)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000545373924 303493727 /nfs/dbraw/zinc/49/37/27/303493727.db2.gz QGUZGUKYLYXUAU-UHFFFAOYSA-N -1 1 324.127 1.977 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)NCC[C@H](O)C(C)C)c[nH]c12 ZINC000361599759 307000363 /nfs/dbraw/zinc/00/03/63/307000363.db2.gz DUBFBEUGSMVJFW-AWEZNQCLSA-N -1 1 302.374 1.973 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCOC[C@@H]1c1ncon1 ZINC000364982228 307056082 /nfs/dbraw/zinc/05/60/82/307056082.db2.gz OSPXWDASEGQMEA-SNVBAGLBSA-N -1 1 309.709 1.642 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])CC12CCC2 ZINC000365204024 307062176 /nfs/dbraw/zinc/06/21/76/307062176.db2.gz YUCHRFKUZRPBED-LBPRGKRZSA-N -1 1 307.321 1.947 20 0 DDADMM CCN(C)S(=O)(=O)[N-]c1cc(C)n(-c2cccc(F)c2)n1 ZINC000367694787 307102696 /nfs/dbraw/zinc/10/26/96/307102696.db2.gz VFUSJWDNHGZXON-UHFFFAOYSA-N -1 1 312.370 1.928 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1N1CCOCC1)c1ncccc1[O-] ZINC000372498943 307168810 /nfs/dbraw/zinc/16/88/10/307168810.db2.gz ZBKNKNGOGOMXIA-CHWSQXEVSA-N -1 1 305.378 1.160 20 0 DDADMM C[C@H]1c2ccccc2O[C@@H]1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000374743104 307213354 /nfs/dbraw/zinc/21/33/54/307213354.db2.gz GVCSOEWEXIORFY-PPDALBNUSA-N -1 1 308.341 1.845 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@]1(CO)Cc1ccccc1 ZINC000375812989 307232248 /nfs/dbraw/zinc/23/22/48/307232248.db2.gz JWEBRYBOFKUNTG-SFHVURJKSA-N -1 1 312.369 1.997 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2[C@@H]1O)c1c(F)ccc([O-])c1F ZINC000378135409 307282567 /nfs/dbraw/zinc/28/25/67/307282567.db2.gz BMQMMLKBRMZHPG-BMIGLBTASA-N -1 1 321.279 1.895 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)OC3CCC3)CC2)n1 ZINC000496685150 307355716 /nfs/dbraw/zinc/35/57/16/307355716.db2.gz NCNXDYWTJWBIRN-UHFFFAOYSA-N -1 1 322.365 1.850 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N(CC1CC1)CC1CCC1 ZINC000530318523 307592573 /nfs/dbraw/zinc/59/25/73/307592573.db2.gz DBKPQDPUVLGACV-UHFFFAOYSA-N -1 1 301.350 1.070 20 0 DDADMM O=C(N[C@@H]1CCCc2ccccc21)c1cc(=O)n2[n-]cnc2n1 ZINC000541840161 307693782 /nfs/dbraw/zinc/69/37/82/307693782.db2.gz FFDKYYWJLSYGCS-GFCCVEGCSA-N -1 1 309.329 1.225 20 0 DDADMM Cn1cncc1CC(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000548520655 307774616 /nfs/dbraw/zinc/77/46/16/307774616.db2.gz NISPZJKXWLPKCO-UHFFFAOYSA-N -1 1 317.299 1.692 20 0 DDADMM O=C(Nc1cccc2[nH]c(=O)[nH]c21)c1csc(=NC2CC2)[n-]1 ZINC000560609554 307916771 /nfs/dbraw/zinc/91/67/71/307916771.db2.gz MWKKZKAAICZEJS-UHFFFAOYSA-N -1 1 315.358 1.974 20 0 DDADMM O=C([O-])CCN(Cc1cccc(F)c1F)C[C@H]1COCCO1 ZINC000565100860 308020288 /nfs/dbraw/zinc/02/02/88/308020288.db2.gz GHNYQSOPNOTLIV-LBPRGKRZSA-N -1 1 315.316 1.657 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1c(F)cccc1CO)C1CC1 ZINC000565716631 308038124 /nfs/dbraw/zinc/03/81/24/308038124.db2.gz WLUFRHAJUMKTMQ-GFCCVEGCSA-N -1 1 303.355 1.485 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Br)o2)CO1 ZINC000566164048 308047308 /nfs/dbraw/zinc/04/73/08/308047308.db2.gz KIJPGBBRNKJPKQ-RQJHMYQMSA-N -1 1 310.169 1.498 20 0 DDADMM Nc1ccccc1CCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000566197501 308049465 /nfs/dbraw/zinc/04/94/65/308049465.db2.gz GVRFGMMTCNYISP-UHFFFAOYSA-N -1 1 316.361 1.696 20 0 DDADMM CCc1ncncc1C(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000567162124 308073090 /nfs/dbraw/zinc/07/30/90/308073090.db2.gz OBBAFUINPSVZCP-UHFFFAOYSA-N -1 1 303.322 1.147 20 0 DDADMM CCc1ncncc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000567162124 308073091 /nfs/dbraw/zinc/07/30/91/308073091.db2.gz OBBAFUINPSVZCP-UHFFFAOYSA-N -1 1 303.322 1.147 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H]2CO[C@H](C)C2)c(=O)[n-]1 ZINC000567942643 308101303 /nfs/dbraw/zinc/10/13/03/308101303.db2.gz QYFOVQKHXFWFQW-PSASIEDQSA-N -1 1 311.407 1.439 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCS(=O)(=O)[C@H]2CCC[C@@H]21 ZINC000570657735 308175603 /nfs/dbraw/zinc/17/56/03/308175603.db2.gz OAAYSELWPWFCCU-STQMWFEESA-N -1 1 313.350 1.323 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H](N)C(F)(F)F)[nH][n-]2)s1 ZINC000570713728 308178061 /nfs/dbraw/zinc/17/80/61/308178061.db2.gz WHCNORRBJQSEQS-VIFPVBQESA-N -1 1 304.297 1.697 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)COC[C@@H]2CCCO2)c1 ZINC000571265650 308193252 /nfs/dbraw/zinc/19/32/52/308193252.db2.gz QNWDBOXQEYJBTA-NSHDSACASA-N -1 1 309.318 1.313 20 0 DDADMM COC(=O)c1ccc(C)c(NC(=O)CN(C)C[C@H](C)C(=O)[O-])c1 ZINC000576111650 308289448 /nfs/dbraw/zinc/28/94/48/308289448.db2.gz GLDAZACJXZJNIA-NSHDSACASA-N -1 1 322.361 1.373 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1c(Cl)ccc(F)c1F)C(=O)[O-] ZINC000576231398 308299416 /nfs/dbraw/zinc/29/94/16/308299416.db2.gz GIANEWXYXNMOFD-YFKPBYRVSA-N -1 1 313.709 1.617 20 0 DDADMM O=C(N=c1nc2n([n-]1)CCCC2)NCc1ccc2cncn2c1 ZINC000577040858 308357766 /nfs/dbraw/zinc/35/77/66/308357766.db2.gz AMKMPVRYFZGCEY-UHFFFAOYSA-N -1 1 311.349 1.006 20 0 DDADMM Cc1ccc(CNC(=O)C[N@@H+]2C[C@H](C(=O)[O-])CC[C@@H]2C)cc1F ZINC000397503652 337266860 /nfs/dbraw/zinc/26/68/60/337266860.db2.gz UAKRLFRAZURCGM-GXTWGEPZSA-N -1 1 322.380 1.935 20 0 DDADMM C[C@H]1C[C@H](Nc2ccc(C(=O)[O-])nn2)C[N@H+]1Cc1ccccc1 ZINC000398037802 337304795 /nfs/dbraw/zinc/30/47/95/337304795.db2.gz NXAWBZLXNJHUML-JSGCOSHPSA-N -1 1 312.373 1.672 20 0 DDADMM C[C@@H]1C[C@@H](Nc2ccc(C(=O)[O-])nn2)C[N@H+]1Cc1ccccc1 ZINC000398037807 337304830 /nfs/dbraw/zinc/30/48/30/337304830.db2.gz NXAWBZLXNJHUML-TZMCWYRMSA-N -1 1 312.373 1.672 20 0 DDADMM O=C([O-])[C@@H](NS(=O)(=O)c1c(F)cccc1F)C1CCC1 ZINC000399213383 337314410 /nfs/dbraw/zinc/31/44/10/337314410.db2.gz QYDZCKPQWGCYKO-JTQLQIEISA-N -1 1 305.302 1.496 20 0 DDADMM O=c1nc(N[C@@H](C[C@H]2CCCO2)C(F)(F)F)nc2[nH][n-]cc1-2 ZINC000656224818 484033623 /nfs/dbraw/zinc/03/36/23/484033623.db2.gz XMNSAUFWBNSFSG-SVRRBLITSA-N -1 1 317.271 1.344 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cc(C)ccc1F)[C@H]1CCOC1 ZINC000656614870 484244932 /nfs/dbraw/zinc/24/49/32/484244932.db2.gz RBBZTDHRIUZDJI-SMDDNHRTSA-N -1 1 317.382 1.927 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cc2oc(=O)[nH]c2cc1F)OC ZINC000656633816 484251991 /nfs/dbraw/zinc/25/19/91/484251991.db2.gz ICEINHUCHAPGOW-SSDOTTSWSA-N -1 1 318.326 1.839 20 0 DDADMM O=C([O-])CCN1CCC[C@H](S(=O)(=O)Nc2ccccc2)C1 ZINC000656764221 484318769 /nfs/dbraw/zinc/31/87/69/484318769.db2.gz WIEMBQXYVDINHO-ZDUSSCGKSA-N -1 1 312.391 1.367 20 0 DDADMM CC(C)(C(=O)[O-])C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000323659242 484415266 /nfs/dbraw/zinc/41/52/66/484415266.db2.gz AFRRMNUKXWMZJV-UHFFFAOYSA-N -1 1 304.390 1.832 20 0 DDADMM CC1(C)C[C@@]1(C(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000663108113 484673105 /nfs/dbraw/zinc/67/31/05/484673105.db2.gz PXZVXZROGJUZMZ-HNNXBMFYSA-N -1 1 300.318 1.707 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@H](C(=O)[O-])C1CC1)c1ccc(F)cc1 ZINC000663114907 484679648 /nfs/dbraw/zinc/67/96/48/484679648.db2.gz SIFDTUBEDULEBN-ZIAGYGMSSA-N -1 1 308.353 1.655 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)CCOCC2CC2)nc1C ZINC000663301827 484793318 /nfs/dbraw/zinc/79/33/18/484793318.db2.gz PTTNZGHVEJQNCB-UHFFFAOYSA-N -1 1 314.407 1.957 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(=O)[nH]1 ZINC000670405526 484809246 /nfs/dbraw/zinc/80/92/46/484809246.db2.gz ONVVVWAROUMKAN-UHFFFAOYSA-N -1 1 318.333 1.512 20 0 DDADMM CC(C)NC(=O)CN(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000665517860 484903599 /nfs/dbraw/zinc/90/35/99/484903599.db2.gz QEBKQDCBTWPTQD-UHFFFAOYSA-N -1 1 301.346 1.537 20 0 DDADMM NC(=O)CN(C(=O)c1ncc2ccccc2c1[O-])C1CCCC1 ZINC000666612642 485296469 /nfs/dbraw/zinc/29/64/69/485296469.db2.gz HBMUITWQDGDJLC-UHFFFAOYSA-N -1 1 313.357 1.811 20 0 DDADMM Cc1cccn2cc(CCNC(=O)C(=O)c3ccc([O-])cc3)nc12 ZINC000672956526 485372080 /nfs/dbraw/zinc/37/20/80/485372080.db2.gz BHGNAFPAMBZNQP-UHFFFAOYSA-N -1 1 323.352 1.890 20 0 DDADMM O=C(NC[C@@H]1CCCS(=O)(=O)C1)c1ccc([O-])c(F)c1 ZINC000673343017 485406443 /nfs/dbraw/zinc/40/64/43/485406443.db2.gz FLDJPPKKUZDZIX-VIFPVBQESA-N -1 1 301.339 1.086 20 0 DDADMM CCc1csc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000673440883 485412729 /nfs/dbraw/zinc/41/27/29/485412729.db2.gz RCWFHRKAGTUAFP-SECBINFHSA-N -1 1 322.390 1.743 20 0 DDADMM O=C(CCCn1cccc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000673440942 485413131 /nfs/dbraw/zinc/41/31/31/485413131.db2.gz WYNAANHFNZVPNM-GFCCVEGCSA-N -1 1 304.350 1.763 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCO[C@@H](C)C2)c(F)c1 ZINC000678301687 485534586 /nfs/dbraw/zinc/53/45/86/485534586.db2.gz FNZVPKZLSMRYRZ-DTWKUNHWSA-N -1 1 321.345 1.819 20 0 DDADMM Cc1ccc(CN(C)C(=O)c2nc3ccccc3c(=O)[n-]2)nc1 ZINC000682718714 485755422 /nfs/dbraw/zinc/75/54/22/485755422.db2.gz SKWKDVTUWUMMMS-UHFFFAOYSA-N -1 1 308.341 1.899 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2[nH]c(=O)oc2c1)c1nn[n-]n1 ZINC000675145030 485865604 /nfs/dbraw/zinc/86/56/04/485865604.db2.gz YWADDVIKDRTCSX-SECBINFHSA-N -1 1 302.294 1.318 20 0 DDADMM CC(C)n1ncc(C(=O)NCc2nn[n-]n2)c1-c1ccccc1 ZINC000683135659 485888808 /nfs/dbraw/zinc/88/88/08/485888808.db2.gz ZHENFDWJKODGCK-UHFFFAOYSA-N -1 1 311.349 1.574 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])c(F)c2)CCS(=O)(=O)C1 ZINC000683348127 485962522 /nfs/dbraw/zinc/96/25/22/485962522.db2.gz HRGSEEWERKGQCU-VIFPVBQESA-N -1 1 301.339 1.038 20 0 DDADMM O=C([O-])[C@]1(NC(=O)c2ccc3[nH]nnc3c2)CCc2ccccc21 ZINC000675990908 486082493 /nfs/dbraw/zinc/08/24/93/486082493.db2.gz YMAYZCHRXRIPLK-KRWDZBQOSA-N -1 1 322.324 1.614 20 0 DDADMM COC[C@@](C)(O)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000676187581 486137449 /nfs/dbraw/zinc/13/74/49/486137449.db2.gz GUCGLHBGOHIPPF-QGZVFWFLSA-N -1 1 321.373 1.211 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CCO1 ZINC000684170171 486270734 /nfs/dbraw/zinc/27/07/34/486270734.db2.gz DKNGJFCLAAATKJ-NSHDSACASA-N -1 1 305.252 1.856 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cccc(CS(C)(=O)=O)c2C)c1[O-] ZINC000676631143 486273381 /nfs/dbraw/zinc/27/33/81/486273381.db2.gz NLWYDHKIVWNRPT-UHFFFAOYSA-N -1 1 323.374 1.529 20 0 DDADMM NC(=O)c1cccc(CCNC(=O)c2ccc([O-])c(F)c2)c1 ZINC000681038437 486328643 /nfs/dbraw/zinc/32/86/43/486328643.db2.gz HPJAGLFUTKSLBS-UHFFFAOYSA-N -1 1 302.305 1.603 20 0 DDADMM CO[C@H](C)c1noc(CN(C)C(=O)c2ccc([O-])c(F)c2)n1 ZINC000681051751 486332258 /nfs/dbraw/zinc/33/22/58/486332258.db2.gz HIAPYCPNHSTLOO-MRVPVSSYSA-N -1 1 309.297 1.894 20 0 DDADMM CN(C)C(=O)N1CCN(C(=O)c2ccc([O-])c(F)c2)C(C)(C)C1 ZINC000681064020 486335616 /nfs/dbraw/zinc/33/56/16/486335616.db2.gz LNFWRSOESNIKGX-UHFFFAOYSA-N -1 1 323.368 1.749 20 0 DDADMM CC(=O)N1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CC1 ZINC000684868835 486448547 /nfs/dbraw/zinc/44/85/47/486448547.db2.gz GOANYHBKSWMWQV-UHFFFAOYSA-N -1 1 316.279 1.715 20 0 DDADMM NC(=O)C1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CC1 ZINC000684869599 486448901 /nfs/dbraw/zinc/44/89/01/486448901.db2.gz VSAMUGCVIJEEBO-UHFFFAOYSA-N -1 1 316.279 1.749 20 0 DDADMM C[C@@H]1C(=O)NCCN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684872787 486449874 /nfs/dbraw/zinc/44/98/74/486449874.db2.gz OTGUCRFLRPCFDB-SSDOTTSWSA-N -1 1 302.252 1.372 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccc(F)c(Cl)c2)n[nH]1 ZINC000677644907 486512919 /nfs/dbraw/zinc/51/29/19/486512919.db2.gz KMCFCCXBXDFQJQ-UHFFFAOYSA-N -1 1 318.717 1.102 20 0 DDADMM NC(=O)c1ccccc1NCC(=O)Nc1c([O-])cccc1F ZINC000685435819 486538116 /nfs/dbraw/zinc/53/81/16/486538116.db2.gz JOTUCSQIAGXPKW-UHFFFAOYSA-N -1 1 303.293 1.681 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCCCc1ccccc1 ZINC000685490155 486548127 /nfs/dbraw/zinc/54/81/27/486548127.db2.gz CJXMGLMWUXRYQT-UHFFFAOYSA-N -1 1 309.391 1.866 20 0 DDADMM CCNc1ncc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000677924876 486579291 /nfs/dbraw/zinc/57/92/91/486579291.db2.gz BFTYKLCIVGTULU-QMMMGPOBSA-N -1 1 307.383 1.108 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N2CCN(C)CC[C@H]2C)c1 ZINC000424663931 533808163 /nfs/dbraw/zinc/80/81/63/533808163.db2.gz IUTBCPINSXIFGH-DMZKTXOQSA-N -1 1 324.446 1.355 20 0 DDADMM COC(=O)N1CCC[C@H]([C@H](C)NC(=O)c2cncc([O-])c2)C1 ZINC000495480803 533981651 /nfs/dbraw/zinc/98/16/51/533981651.db2.gz OAGSSRZAYIVHHA-QWRGUYRKSA-N -1 1 307.350 1.384 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1sccc1F)Cn1ccnc1 ZINC000414462396 534120486 /nfs/dbraw/zinc/12/04/86/534120486.db2.gz UMFQICIHRJLGHW-SECBINFHSA-N -1 1 303.384 1.698 20 0 DDADMM Cc1ccc(C[C@@H](CO)Nc2nc3[nH][n-]cc-3c(=O)n2)cc1C ZINC000413386678 534124941 /nfs/dbraw/zinc/12/49/41/534124941.db2.gz UPMKXRNXWBPHSA-LBPRGKRZSA-N -1 1 313.361 1.064 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCc2ccncc21 ZINC000558427092 518192979 /nfs/dbraw/zinc/19/29/79/518192979.db2.gz PMLXIMNCIUSMFC-UHFFFAOYSA-N -1 1 323.356 1.579 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H](O)COCC(C)C)sc1C ZINC000443383873 534517707 /nfs/dbraw/zinc/51/77/07/534517707.db2.gz KJJSEEVHCDNYLH-LLVKDONJSA-N -1 1 322.452 1.072 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1cnc(CC2CC2)nc1 ZINC000424062944 534861187 /nfs/dbraw/zinc/86/11/87/534861187.db2.gz IEQOGCQCLGPMRX-UHFFFAOYSA-N -1 1 311.407 1.597 20 0 DDADMM CC(C)(CO)[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000414402571 527219342 /nfs/dbraw/zinc/21/93/42/527219342.db2.gz QBTJAYNMLSLNPV-CYBMUJFWSA-N -1 1 315.373 1.450 20 0 DDADMM CCN1C[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CC1=O ZINC000451872266 527951149 /nfs/dbraw/zinc/95/11/49/527951149.db2.gz SNBFRCOOLAEGGN-ZETCQYMHSA-N -1 1 322.308 1.003 20 0 DDADMM CCNC(=O)c1ccc(=NCCCOc2ccccc2C)[n-]n1 ZINC000413125067 528229260 /nfs/dbraw/zinc/22/92/60/528229260.db2.gz PWNUJLWQWLRUMZ-UHFFFAOYSA-N -1 1 314.389 1.838 20 0 DDADMM CCS(=O)(=O)CCCN=c1nc(C(F)(F)F)[n-]s1 ZINC000413574188 528785165 /nfs/dbraw/zinc/78/51/65/528785165.db2.gz NCDMNGYAOXZOOL-UHFFFAOYSA-N -1 1 303.331 1.216 20 0 DDADMM CC(C)[C@H](C)NC(=O)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000330386966 528962087 /nfs/dbraw/zinc/96/20/87/528962087.db2.gz AUZATTVRWWWMDG-LBPRGKRZSA-N -1 1 319.405 1.800 20 0 DDADMM CCC1(CC)CN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000294500064 529079561 /nfs/dbraw/zinc/07/95/61/529079561.db2.gz SKFXCTYUPQIDHD-UHFFFAOYSA-N -1 1 300.380 1.450 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1ccc(C(=O)NC)cc1)C(F)F ZINC000451638057 529193097 /nfs/dbraw/zinc/19/30/97/529193097.db2.gz YSJQDCUOGLFSER-LLVKDONJSA-N -1 1 320.361 1.509 20 0 DDADMM CN(Cc1cnn(C)c1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736831758 598935065 /nfs/dbraw/zinc/93/50/65/598935065.db2.gz UHYHEEBPXHMYIK-UHFFFAOYSA-N -1 1 321.348 1.180 20 0 DDADMM CN(Cc1cnn(C)c1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736831758 598935066 /nfs/dbraw/zinc/93/50/66/598935066.db2.gz UHYHEEBPXHMYIK-UHFFFAOYSA-N -1 1 321.348 1.180 20 0 DDADMM CCOC(=O)CCNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736596901 598961805 /nfs/dbraw/zinc/96/18/05/598961805.db2.gz UXTKNFVIRQHERA-UHFFFAOYSA-N -1 1 313.321 1.175 20 0 DDADMM CCOC(=O)CCNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736596901 598961806 /nfs/dbraw/zinc/96/18/06/598961806.db2.gz UXTKNFVIRQHERA-UHFFFAOYSA-N -1 1 313.321 1.175 20 0 DDADMM C[C@@H](O)C[C@H](C)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000736145683 598972952 /nfs/dbraw/zinc/97/29/52/598972952.db2.gz WHKQLBVVOCVMAR-NTSWFWBYSA-N -1 1 302.791 1.796 20 0 DDADMM C[C@@H](O)C[C@H](C)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000736145683 598972954 /nfs/dbraw/zinc/97/29/54/598972954.db2.gz WHKQLBVVOCVMAR-NTSWFWBYSA-N -1 1 302.791 1.796 20 0 DDADMM CC(C)(C)c1ncc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cn1 ZINC000735655423 598996706 /nfs/dbraw/zinc/99/67/06/598996706.db2.gz OKSIEQYZRNUJEQ-UHFFFAOYSA-N -1 1 324.348 1.602 20 0 DDADMM CC(C)(C)c1ncc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cn1 ZINC000735655423 598996708 /nfs/dbraw/zinc/99/67/08/598996708.db2.gz OKSIEQYZRNUJEQ-UHFFFAOYSA-N -1 1 324.348 1.602 20 0 DDADMM CC(C)(C)[C@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735595244 599002762 /nfs/dbraw/zinc/00/27/62/599002762.db2.gz FFMQLUFUZHHWAI-GFCCVEGCSA-N -1 1 300.366 1.912 20 0 DDADMM CC(C)(C)[C@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735595244 599002764 /nfs/dbraw/zinc/00/27/64/599002764.db2.gz FFMQLUFUZHHWAI-GFCCVEGCSA-N -1 1 300.366 1.912 20 0 DDADMM COCc1csc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000737022306 599017570 /nfs/dbraw/zinc/01/75/70/599017570.db2.gz QQQUWCQTKCGJDS-UHFFFAOYSA-N -1 1 317.334 1.117 20 0 DDADMM COCc1csc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000737022306 599017571 /nfs/dbraw/zinc/01/75/71/599017571.db2.gz QQQUWCQTKCGJDS-UHFFFAOYSA-N -1 1 317.334 1.117 20 0 DDADMM OCC[C@@H](Nc1snc(Cl)c1-c1nnn[n-]1)C1CC1 ZINC000738394078 599045886 /nfs/dbraw/zinc/04/58/86/599045886.db2.gz PWFBUYFIAQFMGM-ZCFIWIBFSA-N -1 1 300.775 1.550 20 0 DDADMM OCC[C@@H](Nc1snc(Cl)c1-c1nn[n-]n1)C1CC1 ZINC000738394078 599045889 /nfs/dbraw/zinc/04/58/89/599045889.db2.gz PWFBUYFIAQFMGM-ZCFIWIBFSA-N -1 1 300.775 1.550 20 0 DDADMM Cc1nn(C)c(C)c1C[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC000822492124 599046691 /nfs/dbraw/zinc/04/66/91/599046691.db2.gz RNLKJAFJSOPANL-MRVPVSSYSA-N -1 1 313.369 1.050 20 0 DDADMM Cc1nn(C)c(C)c1C[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC000822492124 599046694 /nfs/dbraw/zinc/04/66/94/599046694.db2.gz RNLKJAFJSOPANL-MRVPVSSYSA-N -1 1 313.369 1.050 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCOC3(CCCCC3)C2)c1 ZINC000823743258 599056104 /nfs/dbraw/zinc/05/61/04/599056104.db2.gz WSTHFBYSZBOXOK-UHFFFAOYSA-N -1 1 300.366 1.801 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCOC3(CCCCC3)C2)c1 ZINC000823743258 599056106 /nfs/dbraw/zinc/05/61/06/599056106.db2.gz WSTHFBYSZBOXOK-UHFFFAOYSA-N -1 1 300.366 1.801 20 0 DDADMM COCCN1CCC[C@@H]1CNC(=O)c1ccc(C(=O)[O-])s1 ZINC000737807515 599783264 /nfs/dbraw/zinc/78/32/64/599783264.db2.gz BZDWXOHZXAZMDR-SNVBAGLBSA-N -1 1 312.391 1.287 20 0 DDADMM O=C1N[C@@H](c2ccc(-c3nnn[n-]3)o2)Nc2cc(Cl)ccc21 ZINC000823540328 599117667 /nfs/dbraw/zinc/11/76/67/599117667.db2.gz ARWPGVRTFDFVLK-NSHDSACASA-N -1 1 316.708 1.967 20 0 DDADMM O=C1N[C@@H](c2ccc(-c3nn[n-]n3)o2)Nc2cc(Cl)ccc21 ZINC000823540328 599117670 /nfs/dbraw/zinc/11/76/70/599117670.db2.gz ARWPGVRTFDFVLK-NSHDSACASA-N -1 1 316.708 1.967 20 0 DDADMM CC(C)c1nc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)no1 ZINC000735939999 599132219 /nfs/dbraw/zinc/13/22/19/599132219.db2.gz NYDCHTPWNTZALM-UHFFFAOYSA-N -1 1 315.293 1.125 20 0 DDADMM CC(C)c1nc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)no1 ZINC000735939999 599132221 /nfs/dbraw/zinc/13/22/21/599132221.db2.gz NYDCHTPWNTZALM-UHFFFAOYSA-N -1 1 315.293 1.125 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)[C@@H](C)N(C)CCC(=O)[O-])c1 ZINC000817715960 596997659 /nfs/dbraw/zinc/99/76/59/596997659.db2.gz RFOOYDBYYATEFU-SNVBAGLBSA-N -1 1 307.350 1.379 20 0 DDADMM COC(=O)c1ccc(C)c(NC(=O)[C@@H](C)N(C)CCC(=O)[O-])c1 ZINC000818522073 597000457 /nfs/dbraw/zinc/00/04/57/597000457.db2.gz URPVNFVLBJAJOF-LLVKDONJSA-N -1 1 322.361 1.515 20 0 DDADMM CC(C)(C)[C@H](NCC(=O)NCC(=O)[O-])c1ccc(F)cc1F ZINC000819908702 597808176 /nfs/dbraw/zinc/80/81/76/597808176.db2.gz QZSQDWAPYMWGSJ-CQSZACIVSA-N -1 1 314.332 1.842 20 0 DDADMM O=[S@@]1CC[C@H](Nc2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000823612559 607327470 /nfs/dbraw/zinc/32/74/70/607327470.db2.gz BAHKRFPSSBIVRG-GTUYJWLHSA-N -1 1 314.374 1.348 20 0 DDADMM O=[S@@]1CC[C@H](Nc2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000823612559 607327471 /nfs/dbraw/zinc/32/74/71/607327471.db2.gz BAHKRFPSSBIVRG-GTUYJWLHSA-N -1 1 314.374 1.348 20 0 DDADMM O=C([O-])C[C@@H]1CCC[N@@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000821515001 598162183 /nfs/dbraw/zinc/16/21/83/598162183.db2.gz BBFHNZOETJPFEU-AWEZNQCLSA-N -1 1 306.362 1.421 20 0 DDADMM O=C([O-])c1cccc(CNCCS(=O)(=O)c2ccccc2)c1 ZINC000821553071 598203000 /nfs/dbraw/zinc/20/30/00/598203000.db2.gz YQIZMFZIHBNNCK-UHFFFAOYSA-N -1 1 319.382 1.948 20 0 DDADMM CC(=O)N1CCc2cc(Nc3nccnc3-c3nnn[n-]3)ccc21 ZINC000735466771 598338807 /nfs/dbraw/zinc/33/88/07/598338807.db2.gz ISUSYUJXQZAZJS-UHFFFAOYSA-N -1 1 322.332 1.309 20 0 DDADMM CC(=O)N1CCc2cc(Nc3nccnc3-c3nn[n-]n3)ccc21 ZINC000735466771 598338808 /nfs/dbraw/zinc/33/88/08/598338808.db2.gz ISUSYUJXQZAZJS-UHFFFAOYSA-N -1 1 322.332 1.309 20 0 DDADMM CN(Cc1ccc(F)c(F)c1)c1nccnc1-c1nnn[n-]1 ZINC000736817178 598341180 /nfs/dbraw/zinc/34/11/80/598341180.db2.gz UDUUGQUXXLQSHF-UHFFFAOYSA-N -1 1 303.276 1.571 20 0 DDADMM CN(Cc1ccc(F)c(F)c1)c1nccnc1-c1nn[n-]n1 ZINC000736817178 598341182 /nfs/dbraw/zinc/34/11/82/598341182.db2.gz UDUUGQUXXLQSHF-UHFFFAOYSA-N -1 1 303.276 1.571 20 0 DDADMM c1cnn(-c2ccc(CNc3cnc(-c4nnn[n-]4)cn3)cc2)c1 ZINC000738447604 598387883 /nfs/dbraw/zinc/38/78/83/598387883.db2.gz IFIWZIQETZDMPS-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1cnn(-c2ccc(CNc3cnc(-c4nn[n-]n4)cn3)cc2)c1 ZINC000738447604 598387885 /nfs/dbraw/zinc/38/78/85/598387885.db2.gz IFIWZIQETZDMPS-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM COc1ccc([C@H](C)C(=O)n2ncc(-c3nn[n-]n3)c2N)cc1 ZINC000737073435 598474288 /nfs/dbraw/zinc/47/42/88/598474288.db2.gz QKJVDPRRYBSVMW-QMMMGPOBSA-N -1 1 313.321 1.098 20 0 DDADMM C[C@@H]1CCCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)[C@@H]1C ZINC000820710681 599348834 /nfs/dbraw/zinc/34/88/34/599348834.db2.gz RXEDDKJEAALXRM-NXEZZACHSA-N -1 1 322.394 1.071 20 0 DDADMM C[C@@H]1CCCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)[C@@H]1C ZINC000820710681 599348835 /nfs/dbraw/zinc/34/88/35/599348835.db2.gz RXEDDKJEAALXRM-NXEZZACHSA-N -1 1 322.394 1.071 20 0 DDADMM O=C(Nc1cc(C2CCCC2)[nH]n1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738145445 599387083 /nfs/dbraw/zinc/38/70/83/599387083.db2.gz LSGLSGIJUJJEEP-UHFFFAOYSA-N -1 1 324.348 1.895 20 0 DDADMM O=C(Nc1cc(C2CCCC2)[nH]n1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738145445 599387086 /nfs/dbraw/zinc/38/70/86/599387086.db2.gz LSGLSGIJUJJEEP-UHFFFAOYSA-N -1 1 324.348 1.895 20 0 DDADMM C[C@@H](NCc1ccc(-c2nnn[n-]2)o1)c1nnc2ccccn21 ZINC000820433236 599395207 /nfs/dbraw/zinc/39/52/07/599395207.db2.gz WLWXKYYPAQBMMU-SECBINFHSA-N -1 1 310.321 1.353 20 0 DDADMM C[C@@H](NCc1ccc(-c2nn[n-]n2)o1)c1nnc2ccccn21 ZINC000820433236 599395210 /nfs/dbraw/zinc/39/52/10/599395210.db2.gz WLWXKYYPAQBMMU-SECBINFHSA-N -1 1 310.321 1.353 20 0 DDADMM CN(C[C@@H]1COc2ccccc2O1)c1cccc(-c2nnn[n-]2)n1 ZINC000736793735 599395941 /nfs/dbraw/zinc/39/59/41/599395941.db2.gz YRUCKYSDAOABNE-LLVKDONJSA-N -1 1 324.344 1.538 20 0 DDADMM CN(C[C@@H]1COc2ccccc2O1)c1cccc(-c2nn[n-]n2)n1 ZINC000736793735 599395944 /nfs/dbraw/zinc/39/59/44/599395944.db2.gz YRUCKYSDAOABNE-LLVKDONJSA-N -1 1 324.344 1.538 20 0 DDADMM C[C@H](COC(=O)c1ccc(-c2nnn[n-]2)nc1)C(F)(F)F ZINC000824442819 607372840 /nfs/dbraw/zinc/37/28/40/607372840.db2.gz XTYSDWQTIDVCIU-ZCFIWIBFSA-N -1 1 301.228 1.617 20 0 DDADMM C[C@H](COC(=O)c1ccc(-c2nn[n-]n2)nc1)C(F)(F)F ZINC000824442819 607372841 /nfs/dbraw/zinc/37/28/41/607372841.db2.gz XTYSDWQTIDVCIU-ZCFIWIBFSA-N -1 1 301.228 1.617 20 0 DDADMM O=C(CCNc1cccc(-c2nnn[n-]2)n1)NCc1ccccc1 ZINC000737849062 599422913 /nfs/dbraw/zinc/42/29/13/599422913.db2.gz WPOPYIPPVPYLAY-UHFFFAOYSA-N -1 1 323.360 1.380 20 0 DDADMM O=C(CCNc1cccc(-c2nn[n-]n2)n1)NCc1ccccc1 ZINC000737849062 599422914 /nfs/dbraw/zinc/42/29/14/599422914.db2.gz WPOPYIPPVPYLAY-UHFFFAOYSA-N -1 1 323.360 1.380 20 0 DDADMM CN1CCN(c2cccc(-c3nnn[n-]3)n2)Cc2ccccc21 ZINC000736867738 599427646 /nfs/dbraw/zinc/42/76/46/599427646.db2.gz NAYOPTAPLFRXIE-UHFFFAOYSA-N -1 1 307.361 1.718 20 0 DDADMM CN1CCN(c2cccc(-c3nn[n-]n3)n2)Cc2ccccc21 ZINC000736867738 599427648 /nfs/dbraw/zinc/42/76/48/599427648.db2.gz NAYOPTAPLFRXIE-UHFFFAOYSA-N -1 1 307.361 1.718 20 0 DDADMM Cc1cc(C)n([C@H]2CCCN(c3cccc(-c4nnn[n-]4)n3)C2)n1 ZINC000822197809 599538547 /nfs/dbraw/zinc/53/85/47/599538547.db2.gz PXSBXFYTEINWRM-ZDUSSCGKSA-N -1 1 324.392 1.917 20 0 DDADMM Cc1cc(C)n([C@H]2CCCN(c3cccc(-c4nn[n-]n4)n3)C2)n1 ZINC000822197809 599538549 /nfs/dbraw/zinc/53/85/49/599538549.db2.gz PXSBXFYTEINWRM-ZDUSSCGKSA-N -1 1 324.392 1.917 20 0 DDADMM Cc1cnn([C@@H]2CCCN(c3cccc(-c4nnn[n-]4)n3)C2)c1 ZINC000822402842 599540210 /nfs/dbraw/zinc/54/02/10/599540210.db2.gz IYEKRQSVNSTTOD-GFCCVEGCSA-N -1 1 310.365 1.608 20 0 DDADMM Cc1cnn([C@@H]2CCCN(c3cccc(-c4nn[n-]n4)n3)C2)c1 ZINC000822402842 599540213 /nfs/dbraw/zinc/54/02/13/599540213.db2.gz IYEKRQSVNSTTOD-GFCCVEGCSA-N -1 1 310.365 1.608 20 0 DDADMM C[S@](=O)CCNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000821941477 599562511 /nfs/dbraw/zinc/56/25/11/599562511.db2.gz HECRVZGXLKWRGQ-NRFANRHFSA-N -1 1 302.363 1.205 20 0 DDADMM C[S@](=O)CCNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821941477 599562513 /nfs/dbraw/zinc/56/25/13/599562513.db2.gz HECRVZGXLKWRGQ-NRFANRHFSA-N -1 1 302.363 1.205 20 0 DDADMM COC(=O)[C@@H](CC(C)C)OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821640330 599624853 /nfs/dbraw/zinc/62/48/53/599624853.db2.gz GHKBFYRPOCNVLF-LLVKDONJSA-N -1 1 319.321 1.006 20 0 DDADMM COC(=O)[C@@H](CC(C)C)OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821640330 599624854 /nfs/dbraw/zinc/62/48/54/599624854.db2.gz GHKBFYRPOCNVLF-LLVKDONJSA-N -1 1 319.321 1.006 20 0 DDADMM Cc1[nH]ncc1C(=O)Nc1ccc(C(=O)NCCC(=O)[O-])cc1 ZINC000738248972 599766297 /nfs/dbraw/zinc/76/62/97/599766297.db2.gz LEQKVYNEFJPZSB-UHFFFAOYSA-N -1 1 316.317 1.175 20 0 DDADMM O=C([O-])Nc1cccc(C(=O)NCCCCN2CCOCC2)c1 ZINC000740032330 599931028 /nfs/dbraw/zinc/93/10/28/599931028.db2.gz VCZHKDBAPURLLN-UHFFFAOYSA-N -1 1 321.377 1.619 20 0 DDADMM CCOCCN1CCN(Cc2ccc(C(=O)[O-])o2)C[C@H]1CC ZINC000737177846 599962248 /nfs/dbraw/zinc/96/22/48/599962248.db2.gz UFUMHZCBISQBEK-CYBMUJFWSA-N -1 1 310.394 1.911 20 0 DDADMM O=C([O-])CC1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000738564292 600077878 /nfs/dbraw/zinc/07/78/78/600077878.db2.gz MZFIKVSNKCOTJI-HNNXBMFYSA-N -1 1 302.374 1.979 20 0 DDADMM Cc1nn(C)c(NS(=O)(=O)c2cccc(C(=O)[O-])c2)c1C ZINC000738375205 600126965 /nfs/dbraw/zinc/12/69/65/600126965.db2.gz HLEKLDULVPJLIN-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM CCC[C@](C)(NCC(=O)N1CCO[C@@H]2CCCC[C@@H]21)C(=O)[O-] ZINC000736965907 600153555 /nfs/dbraw/zinc/15/35/55/600153555.db2.gz XKGNDQFKKDCTQV-ZENOOKHLSA-N -1 1 312.410 1.389 20 0 DDADMM C[C@@H](c1ccccc1)[N@H+]1CC[C@H](NC(=O)C(C)(C)NC(=O)[O-])C1 ZINC000736722861 600157888 /nfs/dbraw/zinc/15/78/88/600157888.db2.gz FGAXPUMHZGFXIF-JSGCOSHPSA-N -1 1 319.405 1.984 20 0 DDADMM CC(C)(O)CN1CCN(c2nc(Cl)c(C(=O)[O-])s2)CC1 ZINC000736341220 600208299 /nfs/dbraw/zinc/20/82/99/600208299.db2.gz OQIDIGFTGUWAAX-UHFFFAOYSA-N -1 1 319.814 1.388 20 0 DDADMM CCC[C@](C)(NCC(=O)NCc1cc(F)cc(F)c1)C(=O)[O-] ZINC000736965508 600324131 /nfs/dbraw/zinc/32/41/31/600324131.db2.gz VIYOEJRBPFKQFD-HNNXBMFYSA-N -1 1 314.332 1.814 20 0 DDADMM CC(C)C[C@H](NC(=O)CN[C@](C)(CO)c1ccccc1)C(=O)[O-] ZINC000736416813 600459450 /nfs/dbraw/zinc/45/94/50/600459450.db2.gz SWJKDAWTEDMXJA-WMLDXEAASA-N -1 1 322.405 1.099 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1S(=O)(=O)NC1CCN(C)CC1 ZINC000314937040 600516979 /nfs/dbraw/zinc/51/69/79/600516979.db2.gz MLIUVZBKVOIVDC-UHFFFAOYSA-N -1 1 312.391 1.066 20 0 DDADMM Cc1ccccc1[C@H]1CN(CCC(=O)NCC(=O)[O-])[C@@H](C)CO1 ZINC000832977941 600835168 /nfs/dbraw/zinc/83/51/68/600835168.db2.gz ZHWIXKZZEIOPNC-DZGCQCFKSA-N -1 1 320.389 1.348 20 0 DDADMM C[NH+](C)Cc1ccccc1[N-]S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000315415968 600981902 /nfs/dbraw/zinc/98/19/02/600981902.db2.gz JENNFKJKDZVINE-UHFFFAOYSA-N -1 1 323.374 1.575 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CNC1(C(N)=O)CCCC1 ZINC000832916962 601030509 /nfs/dbraw/zinc/03/05/09/601030509.db2.gz PGVBJFREJCYHDX-UHFFFAOYSA-N -1 1 319.361 1.019 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)CCc1cccs1 ZINC000825978082 601035301 /nfs/dbraw/zinc/03/53/01/601035301.db2.gz WWNUUAKKMMGKAI-LBPRGKRZSA-N -1 1 324.446 1.934 20 0 DDADMM Cc1ccccc1CCNC(=O)CN[C@](C)(C(=O)[O-])C1CC1 ZINC000832979802 601037985 /nfs/dbraw/zinc/03/79/85/601037985.db2.gz SEKLOKPTWMHWRG-KRWDZBQOSA-N -1 1 304.390 1.497 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(Cl)nc2)CC1 ZINC000827374433 601102329 /nfs/dbraw/zinc/10/23/29/601102329.db2.gz IGYDHRQETVMUTB-SNVBAGLBSA-N -1 1 311.769 1.356 20 0 DDADMM Cc1nnc([C@H]2CN([C@@H](C(=O)[O-])c3ccccc3F)CCO2)[nH]1 ZINC000738824004 601105934 /nfs/dbraw/zinc/10/59/34/601105934.db2.gz VWRIQXHQRVYWLO-CHWSQXEVSA-N -1 1 320.324 1.451 20 0 DDADMM COc1ccc(CN(C/C=C(\C)C(=O)[O-])CCO)c(OC)c1 ZINC000832313788 601166948 /nfs/dbraw/zinc/16/69/48/601166948.db2.gz BSXDMPJGCGJJPK-WUXMJOGZSA-N -1 1 309.362 1.529 20 0 DDADMM CCC(CC)(NC(=O)CSc1n[nH]c(=S)s1)C(=O)[O-] ZINC000828835244 601290734 /nfs/dbraw/zinc/29/07/34/601290734.db2.gz UOVLFVJYJVHEOC-UHFFFAOYSA-N -1 1 321.449 1.679 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCC(c3nc[nH]n3)CC2)s1 ZINC000833309416 601295294 /nfs/dbraw/zinc/29/52/94/601295294.db2.gz VAYJQRZEMQVMGG-UHFFFAOYSA-N -1 1 306.347 1.584 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCN(C[C@H]3CCOC3)CC2)cc1 ZINC000821543471 601331113 /nfs/dbraw/zinc/33/11/13/601331113.db2.gz SKIJXRCNLAGANT-CYBMUJFWSA-N -1 1 318.373 1.179 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCN(CCOC)[C@H](C)C1 ZINC000830375544 601417607 /nfs/dbraw/zinc/41/76/07/601417607.db2.gz PGWKHXSHPLVDAW-GFCCVEGCSA-N -1 1 310.394 1.693 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CN2C[C@H](C)[C@H](C(=O)[O-])C2)c1 ZINC000825912926 601515133 /nfs/dbraw/zinc/51/51/33/601515133.db2.gz HCSMHDUMCOFWEF-IINYFYTJSA-N -1 1 319.361 1.236 20 0 DDADMM CC(=O)c1ccc(NC(=O)[C@H](C)N2C[C@H](C)[C@@H](C(=O)[O-])C2)cc1 ZINC000825951238 601532648 /nfs/dbraw/zinc/53/26/48/601532648.db2.gz BOLJRABDPJMEID-PGUXBMHVSA-N -1 1 318.373 1.869 20 0 DDADMM Cc1ccncc1NS(=O)(=O)c1ccc(CC(=O)[O-])cc1 ZINC000070069898 601575269 /nfs/dbraw/zinc/57/52/69/601575269.db2.gz IIYVAGKBMSMIPO-UHFFFAOYSA-N -1 1 306.343 1.818 20 0 DDADMM CC(=O)N(C)C1CCN(Cc2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000825819381 601621770 /nfs/dbraw/zinc/62/17/70/601621770.db2.gz LXDZKBYTEPKZQB-UHFFFAOYSA-N -1 1 308.353 1.967 20 0 DDADMM CCOCCN1CCN(Cc2cc(C(=O)[O-])sc2C)CC1 ZINC000830011526 601630717 /nfs/dbraw/zinc/63/07/17/601630717.db2.gz IFJQLYROSWHDJM-UHFFFAOYSA-N -1 1 312.435 1.909 20 0 DDADMM CN(c1cccc(-c2nnn[n-]2)n1)[C@@H](CCO)c1ccccc1 ZINC000826004053 607520731 /nfs/dbraw/zinc/52/07/31/607520731.db2.gz GUJZLMMTPCYSEW-AWEZNQCLSA-N -1 1 310.361 1.822 20 0 DDADMM CN(c1cccc(-c2nn[n-]n2)n1)[C@@H](CCO)c1ccccc1 ZINC000826004053 607520733 /nfs/dbraw/zinc/52/07/33/607520733.db2.gz GUJZLMMTPCYSEW-AWEZNQCLSA-N -1 1 310.361 1.822 20 0 DDADMM Cc1cc(CC(=O)N[C@H](c2ccccc2)[C@@H](C)C(=O)[O-])[nH]n1 ZINC000832883984 601689271 /nfs/dbraw/zinc/68/92/71/601689271.db2.gz RUXKQWJTYYVTFZ-ABAIWWIYSA-N -1 1 301.346 1.839 20 0 DDADMM CCN(C)[C@H](C(=O)N1CC[C@@](F)(C(=O)[O-])C1)c1ccccc1 ZINC000829608235 601717647 /nfs/dbraw/zinc/71/76/47/601717647.db2.gz HEDPYUNLSAGHEA-BBRMVZONSA-N -1 1 308.353 1.705 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](C)[C@@H](C(=O)[O-])C3)c[n-]c2[nH+]1 ZINC000832961877 601801588 /nfs/dbraw/zinc/80/15/88/601801588.db2.gz MZBGHTZTRXPEOJ-UFBFGSQYSA-N -1 1 315.329 1.437 20 0 DDADMM C[C@H]1C(=O)N(CN2C[C@H](C(=O)[O-])[C@H](C)C2)[C@@H]1c1ccccc1 ZINC000828448638 601816247 /nfs/dbraw/zinc/81/62/47/601816247.db2.gz FHFYWVIOPJZYCF-UXOAXIEHSA-N -1 1 302.374 1.816 20 0 DDADMM O=[S@](Cc1ccnc(-c2nnn[n-]2)c1)c1ccc(F)cc1F ZINC000826495934 607528205 /nfs/dbraw/zinc/52/82/05/607528205.db2.gz GPNNPJXYOJDRCI-JOCHJYFZSA-N -1 1 321.312 1.848 20 0 DDADMM O=[S@](Cc1ccnc(-c2nn[n-]n2)c1)c1ccc(F)cc1F ZINC000826495934 607528206 /nfs/dbraw/zinc/52/82/06/607528206.db2.gz GPNNPJXYOJDRCI-JOCHJYFZSA-N -1 1 321.312 1.848 20 0 DDADMM Cc1ccc(NC(=O)NC(=O)CN[C@H](C(=O)[O-])C(C)C)c(C)c1 ZINC000818910512 601824733 /nfs/dbraw/zinc/82/47/33/601824733.db2.gz BYYYHKYZBYXDRZ-AWEZNQCLSA-N -1 1 321.377 1.650 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1F)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738629167 601943628 /nfs/dbraw/zinc/94/36/28/601943628.db2.gz NAGVYORRENGBRD-NWDGAFQWSA-N -1 1 323.368 1.420 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)c1cccs1 ZINC000833127347 602024276 /nfs/dbraw/zinc/02/42/76/602024276.db2.gz YWAANDQAHALTDX-PELKAZGASA-N -1 1 305.359 1.833 20 0 DDADMM C[C@@H](C(=O)NCCc1ccccc1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738628087 602043058 /nfs/dbraw/zinc/04/30/58/602043058.db2.gz IZAJVPVXGDVWEB-DZGCQCFKSA-N -1 1 319.405 1.323 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000827258138 602081199 /nfs/dbraw/zinc/08/11/99/602081199.db2.gz WVFODTJGYMRRGN-CQSZACIVSA-N -1 1 304.390 1.832 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)NC1CCN(Cc2ccncc2)CC1 ZINC000827279550 602105694 /nfs/dbraw/zinc/10/56/94/602105694.db2.gz DIXLKBFVIPEJEG-LBPRGKRZSA-N -1 1 305.378 1.273 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@@H](C)CC(=O)[O-])N2CCCC2)o1 ZINC000832920712 602140589 /nfs/dbraw/zinc/14/05/89/602140589.db2.gz DMXLVBJNZIGCNA-AAEUAGOBSA-N -1 1 308.378 1.952 20 0 DDADMM C[C@@H](NC(=O)OC(C)(C)C)[C@H]1CN(CCCC(=O)[O-])CCO1 ZINC000820129523 602235309 /nfs/dbraw/zinc/23/53/09/602235309.db2.gz LIYKGRZXMDVRQJ-VXGBXAGGSA-N -1 1 316.398 1.465 20 0 DDADMM O=C([O-])Nc1cc2ccccc2cc1C(=O)NCc1nn[nH]n1 ZINC000740694457 602450090 /nfs/dbraw/zinc/45/00/90/602450090.db2.gz DOZAAIMGUBZASC-UHFFFAOYSA-N -1 1 312.289 1.373 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)C1)C(=O)[O-] ZINC000739657365 602481926 /nfs/dbraw/zinc/48/19/26/602481926.db2.gz QDIODWPRMDQAPC-VIFPVBQESA-N -1 1 303.322 1.030 20 0 DDADMM CN(C[C@@H]1CCN(Cn2nccc2-c2cccnc2)C1)C(=O)[O-] ZINC000739663271 602523336 /nfs/dbraw/zinc/52/33/36/602523336.db2.gz CYOFLQUUJNWRBM-ZDUSSCGKSA-N -1 1 315.377 1.834 20 0 DDADMM Cc1ccccc1CNC(=O)CN1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000740182985 602538213 /nfs/dbraw/zinc/53/82/13/602538213.db2.gz HKWPVEMEPZYUHL-AWEZNQCLSA-N -1 1 319.405 1.543 20 0 DDADMM COc1ccc(OC)c([C@@H](O)CN(C)[C@@H](C)CNC(=O)[O-])c1 ZINC000739930380 602555164 /nfs/dbraw/zinc/55/51/64/602555164.db2.gz MJOKDHDDPMEROL-GWCFXTLKSA-N -1 1 312.366 1.325 20 0 DDADMM CCCN(CC(=O)N1CCC[C@H](C)C1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739352685 602578427 /nfs/dbraw/zinc/57/84/27/602578427.db2.gz VSGDFLOFHPEJLA-KBPBESRZSA-N -1 1 311.426 1.709 20 0 DDADMM CCC[C@@](C)(NC(=O)[O-])C(=O)N[C@@H]1CCCN(CCOC)C1 ZINC000739286545 602676920 /nfs/dbraw/zinc/67/69/20/602676920.db2.gz ACQBFLXEOKKUDW-IUODEOHRSA-N -1 1 315.414 1.040 20 0 DDADMM CC(C)[C@H](CNC(=O)N1CCN(C(C)C)CC1)NC(=O)[O-] ZINC000738788486 602851913 /nfs/dbraw/zinc/85/19/13/602851913.db2.gz XMQYVCWLFLQXNO-LBPRGKRZSA-N -1 1 300.403 1.014 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N2CC[C@H]([NH+]3CCCC3)C2)c([O-])c1 ZINC000740700224 602859762 /nfs/dbraw/zinc/85/97/62/602859762.db2.gz TUXDMWJHEXQDHE-LBPRGKRZSA-N -1 1 319.361 1.792 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)NCCN(C(=O)[O-])C1CC1 ZINC000738800081 602910671 /nfs/dbraw/zinc/91/06/71/602910671.db2.gz FCYKZSIUKPRVOY-CYBMUJFWSA-N -1 1 312.414 1.110 20 0 DDADMM O=C(NOCc1cccnc1)c1ccc(-c2nnn[n-]2)s1 ZINC000826450094 607595008 /nfs/dbraw/zinc/59/50/08/607595008.db2.gz WXSOZOPXLBSAMD-UHFFFAOYSA-N -1 1 302.319 1.185 20 0 DDADMM O=C(NOCc1cccnc1)c1ccc(-c2nn[n-]n2)s1 ZINC000826450094 607595010 /nfs/dbraw/zinc/59/50/10/607595010.db2.gz WXSOZOPXLBSAMD-UHFFFAOYSA-N -1 1 302.319 1.185 20 0 DDADMM CCN(CCCNC(=O)N1CCN(C2CCC2)CC1)C(=O)[O-] ZINC000739418067 602959247 /nfs/dbraw/zinc/95/92/47/602959247.db2.gz WSVHQHUZIVKPQH-UHFFFAOYSA-N -1 1 312.414 1.256 20 0 DDADMM O=C([O-])NC[C@@H]1CCCC[C@@H]1NC(=O)c1[nH]nc2c1CCCC2 ZINC000740629950 602989745 /nfs/dbraw/zinc/98/97/45/602989745.db2.gz KSAGZRQEVLHHCE-JQWIXIFHSA-N -1 1 320.393 1.845 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)CC1CCN(C(=O)[O-])CC1 ZINC000739198843 602990085 /nfs/dbraw/zinc/99/00/85/602990085.db2.gz NXNATGASTBPKQK-HIFRSBDPSA-N -1 1 323.437 1.852 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)N[C@@H]1CCN(C(=O)[O-])C1 ZINC000739199127 602995927 /nfs/dbraw/zinc/99/59/27/602995927.db2.gz OLXFFMODEPANJX-JHJVBQTASA-N -1 1 310.398 1.007 20 0 DDADMM CC(C)N1CCN(C(=O)[C@H]2[C@H](C)OC(C)(C)N2C(=O)[O-])CC1 ZINC000739196753 603113226 /nfs/dbraw/zinc/11/32/26/603113226.db2.gz HIIJACRUPYLTPA-NWDGAFQWSA-N -1 1 313.398 1.042 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)N1CCC[C@@H]2CCCC[C@@H]21 ZINC000739676466 603251972 /nfs/dbraw/zinc/25/19/72/603251972.db2.gz KPNGHXLMVBJUOU-KBPBESRZSA-N -1 1 311.426 1.757 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)NCc1cc(F)cc(F)c1 ZINC000739682536 603254713 /nfs/dbraw/zinc/25/47/13/603254713.db2.gz RRWOODFVLCDJPQ-UHFFFAOYSA-N -1 1 315.320 1.171 20 0 DDADMM C[C@H](CC(=O)NC1CCN(Cc2ccncc2)CC1)NC(=O)[O-] ZINC000824624299 603357150 /nfs/dbraw/zinc/35/71/50/603357150.db2.gz YUIYLEIACUTTAL-GFCCVEGCSA-N -1 1 320.393 1.208 20 0 DDADMM O=C([O-])N(CC1CC1)C1CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC000831441248 603468401 /nfs/dbraw/zinc/46/84/01/603468401.db2.gz JFDQSJLNWCSJDO-UHFFFAOYSA-N -1 1 321.381 1.118 20 0 DDADMM CC(C)(CNC(=O)CSc1n[nH]c(=S)s1)NC(=O)[O-] ZINC000823911984 603500878 /nfs/dbraw/zinc/50/08/78/603500878.db2.gz DFWWQXPBLRSITP-UHFFFAOYSA-N -1 1 322.437 1.081 20 0 DDADMM CCN(CCNC(=O)Nc1cnn(-c2ccncc2)c1)C(=O)[O-] ZINC000827146139 603556126 /nfs/dbraw/zinc/55/61/26/603556126.db2.gz JXIZDHAWCPMSMS-UHFFFAOYSA-N -1 1 318.337 1.389 20 0 DDADMM CC(C)(CCC(=O)N1CCC(c2nc[nH]n2)CC1)NC(=O)[O-] ZINC000823886380 603560562 /nfs/dbraw/zinc/56/05/62/603560562.db2.gz RQYTWGHSNZFFRD-UHFFFAOYSA-N -1 1 309.370 1.337 20 0 DDADMM CN(C)[C@H](CNC(=O)C(C)(C)CNC(=O)[O-])c1cccs1 ZINC000827994918 603615630 /nfs/dbraw/zinc/61/56/30/603615630.db2.gz PDEXRVVOHATTHJ-SNVBAGLBSA-N -1 1 313.423 1.761 20 0 DDADMM CN(Cc1cc(=O)n2ccsc2n1)CC(C)(C)CNC(=O)[O-] ZINC000828346310 603629024 /nfs/dbraw/zinc/62/90/24/603629024.db2.gz XUKICDJFQYPCML-UHFFFAOYSA-N -1 1 324.406 1.482 20 0 DDADMM O=C([O-])N1CC[C@H](COC(=O)Cc2[nH]nc3ccccc32)C1 ZINC000831567392 603784383 /nfs/dbraw/zinc/78/43/83/603784383.db2.gz MQKKSEUILZZOGR-JTQLQIEISA-N -1 1 303.318 1.649 20 0 DDADMM C[C@H](CN1CCC[C@@H](C)[C@H]1CNC(=O)[O-])CS(C)(=O)=O ZINC000824902686 603904914 /nfs/dbraw/zinc/90/49/14/603904914.db2.gz NDVMXRDGCYQOPH-IJLUTSLNSA-N -1 1 306.428 1.035 20 0 DDADMM COc1ccc(OC)c([C@@H](O)CN2CCC[C@@H]2CNC(=O)[O-])c1 ZINC000829494583 604089006 /nfs/dbraw/zinc/08/90/06/604089006.db2.gz QRNWMVJUMVUEKH-RISCZKNCSA-N -1 1 324.377 1.469 20 0 DDADMM COc1ccc(OC)c([C@H](O)CN2CCC[C@H]2CNC(=O)[O-])c1 ZINC000829494584 604089149 /nfs/dbraw/zinc/08/91/49/604089149.db2.gz QRNWMVJUMVUEKH-SMDDNHRTSA-N -1 1 324.377 1.469 20 0 DDADMM COC(=O)c1oc(CN2CCC[C@H]2CN(C)C(=O)[O-])cc1C ZINC000828809251 604110010 /nfs/dbraw/zinc/11/00/10/604110010.db2.gz AZWBJHSQKZPJOH-NSHDSACASA-N -1 1 310.350 1.949 20 0 DDADMM COc1cccc(CN(CCNC(=O)[O-])C[C@H]2CCCO2)c1 ZINC000829560350 604359811 /nfs/dbraw/zinc/35/98/11/604359811.db2.gz QAHBEFSUSCKCQH-OAHLLOKOSA-N -1 1 308.378 1.944 20 0 DDADMM O=C([O-])N1CCC(CNCc2nnnn2-c2ccccc2)CC1 ZINC000831883410 604506365 /nfs/dbraw/zinc/50/63/65/604506365.db2.gz PNXZIZVPLVEOQD-UHFFFAOYSA-N -1 1 316.365 1.142 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@H](C(=O)Nc2ccc3[nH]nnc3c2)C1 ZINC000832324349 604526784 /nfs/dbraw/zinc/52/67/84/604526784.db2.gz HQEJRVLTLPSCCH-IUCAKERBSA-N -1 1 303.322 1.723 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccccc2C(=O)[O-])[C@@H](C)CN1C ZINC000833627930 604574342 /nfs/dbraw/zinc/57/43/42/604574342.db2.gz XZJHKOYQIQHOLX-NEPJUHHUSA-N -1 1 305.378 1.348 20 0 DDADMM C[C@H]1CN(C)C[C@H](C)N1C(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000833619050 604580675 /nfs/dbraw/zinc/58/06/75/604580675.db2.gz APQHXOOADGBKLL-RYUDHWBXSA-N -1 1 306.362 1.315 20 0 DDADMM C[C@@H]1CN(C)C[C@@H](C)N1C(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000833619056 604581071 /nfs/dbraw/zinc/58/10/71/604581071.db2.gz APQHXOOADGBKLL-VXGBXAGGSA-N -1 1 306.362 1.315 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H]3CCC[C@H]3NC(=O)[O-])C2)n[nH]1 ZINC000830733582 604645732 /nfs/dbraw/zinc/64/57/32/604645732.db2.gz HNNOZQYOTVQAQQ-IJLUTSLNSA-N -1 1 321.381 1.255 20 0 DDADMM CC1(C(=O)Nc2ccc(-c3nc(CNC(=O)[O-])n[nH]3)cc2)CC1 ZINC000825677498 604766044 /nfs/dbraw/zinc/76/60/44/604766044.db2.gz HKBHHCYLTFQWLH-UHFFFAOYSA-N -1 1 315.333 1.978 20 0 DDADMM CC1(C(=O)Nc2ccc(-c3n[nH]c(CNC(=O)[O-])n3)cc2)CC1 ZINC000825677498 604766047 /nfs/dbraw/zinc/76/60/47/604766047.db2.gz HKBHHCYLTFQWLH-UHFFFAOYSA-N -1 1 315.333 1.978 20 0 DDADMM CC(=O)N[C@H](C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1)C(C)C ZINC000833394590 604866263 /nfs/dbraw/zinc/86/62/63/604866263.db2.gz ATYLOLGGXJTONU-NSHDSACASA-N -1 1 318.333 1.360 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1C[C@@H]1c1ccc(F)cc1 ZINC000833767677 604897483 /nfs/dbraw/zinc/89/74/83/604897483.db2.gz LYEUBTSOGQTQLY-RDBSUJKOSA-N -1 1 317.320 1.464 20 0 DDADMM CN(CC(=O)N(C)c1ccccc1C(=O)[O-])[C@H]1CCSC1 ZINC000833684698 604925629 /nfs/dbraw/zinc/92/56/29/604925629.db2.gz OYDNOGKXTXUSCZ-NSHDSACASA-N -1 1 308.403 1.785 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCC2(CCOC2)CC1)C(=O)[O-] ZINC000833640506 604932583 /nfs/dbraw/zinc/93/25/83/604932583.db2.gz ZBPYUDBXGYCWDQ-JSGCOSHPSA-N -1 1 312.410 1.104 20 0 DDADMM CC(=O)c1ccccc1OCCN1CCC([C@@H](O)C(=O)[O-])CC1 ZINC000833403177 604975678 /nfs/dbraw/zinc/97/56/78/604975678.db2.gz CXQQBSIQUXSZGO-MRXNPFEDSA-N -1 1 321.373 1.426 20 0 DDADMM O=C([O-])C[C@H]1CCCN1CN1C[C@H](c2ccccn2)CC1=O ZINC000833210275 604979288 /nfs/dbraw/zinc/97/92/88/604979288.db2.gz GEORFAVHCLAFAA-CHWSQXEVSA-N -1 1 303.362 1.294 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(F)c(F)c1 ZINC000833623060 605112187 /nfs/dbraw/zinc/11/21/87/605112187.db2.gz DIFXNEMNUMHXGV-JTQLQIEISA-N -1 1 312.316 1.586 20 0 DDADMM CSCC[C@@H](NC(=O)[O-])C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000829729504 605128162 /nfs/dbraw/zinc/12/81/62/605128162.db2.gz GFNJGUJQPYFQLV-VHSXEESVSA-N -1 1 312.395 1.293 20 0 DDADMM CCC[C@H](C(=O)Nc1ccc(C(=O)NCC(=O)[O-])cc1)N(C)C ZINC000833648423 605146033 /nfs/dbraw/zinc/14/60/33/605146033.db2.gz SHIIXTPGSIGSNE-CYBMUJFWSA-N -1 1 321.377 1.170 20 0 DDADMM C[C@H]1C[C@H](N(C)S(=O)(=O)c2cc(C(=O)[O-])co2)CCN1C ZINC000833594930 605206205 /nfs/dbraw/zinc/20/62/05/605206205.db2.gz BZSTTXSJOFSZKE-GXSJLCMTSA-N -1 1 316.379 1.081 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2[nH]ccc21 ZINC000833624396 605206886 /nfs/dbraw/zinc/20/68/86/605206886.db2.gz HYVQKDIPZLQYHM-LBPRGKRZSA-N -1 1 315.373 1.789 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCN(CCC(=O)[O-])[C@H](C)C1 ZINC000833542200 605253521 /nfs/dbraw/zinc/25/35/21/605253521.db2.gz ZGNPNJBRRNSDPB-ZIAGYGMSSA-N -1 1 320.389 1.461 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCCN(CC(F)(F)F)CC2)O1 ZINC000833577241 605320484 /nfs/dbraw/zinc/32/04/84/605320484.db2.gz BWQSNEIIYFIRKA-LLVKDONJSA-N -1 1 322.327 1.704 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCC([C@H](O)C(F)(F)F)CC2)O1 ZINC000833585592 605358737 /nfs/dbraw/zinc/35/87/37/605358737.db2.gz YMEUOOYMEHBUGF-JQWIXIFHSA-N -1 1 323.311 1.769 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(c3ncnc4[nH]cnc43)C[C@H]21 ZINC000834186856 605374412 /nfs/dbraw/zinc/37/44/12/605374412.db2.gz VLSLQKPEYKIWLZ-OPRDCNLKSA-N -1 1 302.338 1.225 20 0 DDADMM O=C([O-])N1CC[C@@H](N(C(=O)c2cccc3c[nH]nc32)C2CC2)C1 ZINC000831934030 605463761 /nfs/dbraw/zinc/46/37/61/605463761.db2.gz FNQGUSXSVUVHSI-GFCCVEGCSA-N -1 1 314.345 1.920 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCC(NC(=O)C3CC3)CC2)cn1 ZINC000834260510 605469795 /nfs/dbraw/zinc/46/97/95/605469795.db2.gz SCUCIYUOGXGUNG-UHFFFAOYSA-N -1 1 318.377 1.662 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN(Cc2cnc(N3CCCC3)nc2)C1 ZINC000834215429 605555003 /nfs/dbraw/zinc/55/50/03/605555003.db2.gz MRHNTHIYHQXQRC-ZDUSSCGKSA-N -1 1 319.409 1.556 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1[C@H]1CCN(c2ccccc2)C1=O ZINC000833831204 605880455 /nfs/dbraw/zinc/88/04/55/605880455.db2.gz MNHCCYORRQZIBC-IPYPFGDCSA-N -1 1 317.389 1.770 20 0 DDADMM O=C([O-])NCCN[C@@H](CCO)c1ccccc1Br ZINC000834243184 605899084 /nfs/dbraw/zinc/89/90/84/605899084.db2.gz JMJXAONPISOHMH-NSHDSACASA-N -1 1 317.183 1.730 20 0 DDADMM CN(Cc1nccs1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000821617923 606044786 /nfs/dbraw/zinc/04/47/86/606044786.db2.gz IKTTVIXCSRMGJE-UHFFFAOYSA-N -1 1 324.373 1.903 20 0 DDADMM CN(Cc1nccs1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000821617923 606044793 /nfs/dbraw/zinc/04/47/93/606044793.db2.gz IKTTVIXCSRMGJE-UHFFFAOYSA-N -1 1 324.373 1.903 20 0 DDADMM Cc1cnc([C@H](C)NC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000822389173 606119437 /nfs/dbraw/zinc/11/94/37/606119437.db2.gz NWWRMIMJQPSIMS-QMMMGPOBSA-N -1 1 315.362 1.518 20 0 DDADMM Cc1cnc([C@H](C)NC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000822389173 606119439 /nfs/dbraw/zinc/11/94/39/606119439.db2.gz NWWRMIMJQPSIMS-QMMMGPOBSA-N -1 1 315.362 1.518 20 0 DDADMM CCN(CC)C(=O)[C@@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821170766 606134167 /nfs/dbraw/zinc/13/41/67/606134167.db2.gz WEMRRBAOQVDKBW-MRVPVSSYSA-N -1 1 323.378 1.342 20 0 DDADMM CCN(CC)C(=O)[C@@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821170766 606134169 /nfs/dbraw/zinc/13/41/69/606134169.db2.gz WEMRRBAOQVDKBW-MRVPVSSYSA-N -1 1 323.378 1.342 20 0 DDADMM CC(C)[C@H](C)NC(=O)COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820016201 606139208 /nfs/dbraw/zinc/13/92/08/606139208.db2.gz KHXMEQDLYBKKNM-QMMMGPOBSA-N -1 1 323.378 1.246 20 0 DDADMM CC(C)[C@H](C)NC(=O)COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820016201 606139210 /nfs/dbraw/zinc/13/92/10/606139210.db2.gz KHXMEQDLYBKKNM-QMMMGPOBSA-N -1 1 323.378 1.246 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)C=Cc2cccs2)n1 ZINC000822604941 606155022 /nfs/dbraw/zinc/15/50/22/606155022.db2.gz REDNCKNGZXMWGF-SNAWJCMRSA-N -1 1 301.335 1.314 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)C=Cc2cccs2)n1 ZINC000822604941 606155025 /nfs/dbraw/zinc/15/50/25/606155025.db2.gz REDNCKNGZXMWGF-SNAWJCMRSA-N -1 1 301.335 1.314 20 0 DDADMM CN(CC1CCCCC1)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821596346 606157056 /nfs/dbraw/zinc/15/70/56/606157056.db2.gz HPEXIWDWZUEHKX-UHFFFAOYSA-N -1 1 318.385 1.644 20 0 DDADMM CN(CC1CCCCC1)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821596346 606157058 /nfs/dbraw/zinc/15/70/58/606157058.db2.gz HPEXIWDWZUEHKX-UHFFFAOYSA-N -1 1 318.385 1.644 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC[C@H]2Cc3ccccc32)n1 ZINC000822614297 606159773 /nfs/dbraw/zinc/15/97/73/606159773.db2.gz LOBFLTWMNQTWMC-SNVBAGLBSA-N -1 1 324.348 1.062 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC[C@H]2Cc3ccccc32)n1 ZINC000822614297 606159774 /nfs/dbraw/zinc/15/97/74/606159774.db2.gz LOBFLTWMNQTWMC-SNVBAGLBSA-N -1 1 324.348 1.062 20 0 DDADMM CC1(C)[C@H](OC(=O)c2ccc(-c3nnn[n-]3)s2)[C@H]2CCO[C@H]21 ZINC000820583259 606180214 /nfs/dbraw/zinc/18/02/14/606180214.db2.gz BOTGDWCOJWTTJK-WHGOUJPWSA-N -1 1 320.374 1.899 20 0 DDADMM CC1(C)[C@H](OC(=O)c2ccc(-c3nn[n-]n3)s2)[C@H]2CCO[C@H]21 ZINC000820583259 606180215 /nfs/dbraw/zinc/18/02/15/606180215.db2.gz BOTGDWCOJWTTJK-WHGOUJPWSA-N -1 1 320.374 1.899 20 0 DDADMM CO[C@@H](C)c1nc(CNCc2ccc(-c3nnn[n-]3)o2)cs1 ZINC000821675292 606474883 /nfs/dbraw/zinc/47/48/83/606474883.db2.gz HZVLAEUBRYSVIM-QMMMGPOBSA-N -1 1 320.378 1.913 20 0 DDADMM CO[C@@H](C)c1nc(CNCc2ccc(-c3nn[n-]n3)o2)cs1 ZINC000821675292 606474884 /nfs/dbraw/zinc/47/48/84/606474884.db2.gz HZVLAEUBRYSVIM-QMMMGPOBSA-N -1 1 320.378 1.913 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCO[C@H]2CCCC[C@@H]21 ZINC000823483629 606514194 /nfs/dbraw/zinc/51/41/94/606514194.db2.gz KHFXPLOCKXCPGW-UWVGGRQHSA-N -1 1 319.390 1.712 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCO[C@H]2CCCC[C@@H]21 ZINC000823483629 606514195 /nfs/dbraw/zinc/51/41/95/606514195.db2.gz KHFXPLOCKXCPGW-UWVGGRQHSA-N -1 1 319.390 1.712 20 0 DDADMM CCOC[C@@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821367608 606562139 /nfs/dbraw/zinc/56/21/39/606562139.db2.gz LHICYEKSAQJIJI-SNVBAGLBSA-N -1 1 321.406 1.817 20 0 DDADMM CCOC[C@@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821367608 606562143 /nfs/dbraw/zinc/56/21/43/606562143.db2.gz LHICYEKSAQJIJI-SNVBAGLBSA-N -1 1 321.406 1.817 20 0 DDADMM O=C(COc1ccccc1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000823050242 606649804 /nfs/dbraw/zinc/64/98/04/606649804.db2.gz SGJNPZVNNQFUHM-UHFFFAOYSA-N -1 1 311.301 1.384 20 0 DDADMM O=C(COc1ccccc1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000823050242 606649805 /nfs/dbraw/zinc/64/98/05/606649805.db2.gz SGJNPZVNNQFUHM-UHFFFAOYSA-N -1 1 311.301 1.384 20 0 DDADMM Cc1ccc(CC(=O)OCc2ccnc(-c3nnn[n-]3)c2)s1 ZINC000822234762 606650371 /nfs/dbraw/zinc/65/03/71/606650371.db2.gz GTCOPRRLZCGHEE-UHFFFAOYSA-N -1 1 315.358 1.918 20 0 DDADMM Cc1ccc(CC(=O)OCc2ccnc(-c3nn[n-]n3)c2)s1 ZINC000822234762 606650372 /nfs/dbraw/zinc/65/03/72/606650372.db2.gz GTCOPRRLZCGHEE-UHFFFAOYSA-N -1 1 315.358 1.918 20 0 DDADMM O=C(CC1CCOCC1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822946684 606651175 /nfs/dbraw/zinc/65/11/75/606651175.db2.gz ZUPLCBBQIZVXLC-UHFFFAOYSA-N -1 1 303.322 1.122 20 0 DDADMM O=C(CC1CCOCC1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822946684 606651176 /nfs/dbraw/zinc/65/11/76/606651176.db2.gz ZUPLCBBQIZVXLC-UHFFFAOYSA-N -1 1 303.322 1.122 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2N1CC[C@]2(CCOC2)C1 ZINC000823792215 606803695 /nfs/dbraw/zinc/80/36/95/606803695.db2.gz PXGDQLKCNVHRSJ-INIZCTEOSA-N -1 1 323.360 1.427 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2N1CC[C@]2(CCOC2)C1 ZINC000823792215 606803696 /nfs/dbraw/zinc/80/36/96/606803696.db2.gz PXGDQLKCNVHRSJ-INIZCTEOSA-N -1 1 323.360 1.427 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)Nc2nn(C(C)(C)C)cc2-c2nnn[n-]2)O1 ZINC000820673997 606958645 /nfs/dbraw/zinc/95/86/45/606958645.db2.gz AJMBKBLACXLRDT-WPRPVWTQSA-N -1 1 319.369 1.324 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)Nc2nn(C(C)(C)C)cc2-c2nn[n-]n2)O1 ZINC000820673997 606958646 /nfs/dbraw/zinc/95/86/46/606958646.db2.gz AJMBKBLACXLRDT-WPRPVWTQSA-N -1 1 319.369 1.324 20 0 DDADMM C[C@@H](CC[S@@](C)=O)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000820249353 607025668 /nfs/dbraw/zinc/02/56/68/607025668.db2.gz VEKNGAGQSSHJCW-QJWWWBAISA-N -1 1 320.831 1.546 20 0 DDADMM C[C@@H](CC[S@@](C)=O)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000820249353 607025670 /nfs/dbraw/zinc/02/56/70/607025670.db2.gz VEKNGAGQSSHJCW-QJWWWBAISA-N -1 1 320.831 1.546 20 0 DDADMM C[C@H](CCNc1snc(Cl)c1-c1nnn[n-]1)[S@](C)=O ZINC000820241899 607041281 /nfs/dbraw/zinc/04/12/81/607041281.db2.gz JSBUBRYHOYUHBJ-BQLJRSNSSA-N -1 1 320.831 1.546 20 0 DDADMM C[C@H](CCNc1snc(Cl)c1-c1nn[n-]n1)[S@](C)=O ZINC000820241899 607041282 /nfs/dbraw/zinc/04/12/82/607041282.db2.gz JSBUBRYHOYUHBJ-BQLJRSNSSA-N -1 1 320.831 1.546 20 0 DDADMM Cn1c(Cl)cnc1COc1cccc(F)c1-c1nnn[n-]1 ZINC000826355628 607865377 /nfs/dbraw/zinc/86/53/77/607865377.db2.gz HRXIBKKQPWBPRX-UHFFFAOYSA-N -1 1 308.704 1.972 20 0 DDADMM Cn1c(Cl)cnc1COc1cccc(F)c1-c1nn[n-]n1 ZINC000826355628 607865378 /nfs/dbraw/zinc/86/53/78/607865378.db2.gz HRXIBKKQPWBPRX-UHFFFAOYSA-N -1 1 308.704 1.972 20 0 DDADMM O[C@H](COc1cccc(F)c1-c1nnn[n-]1)Cc1ccccc1 ZINC000826505473 607875429 /nfs/dbraw/zinc/87/54/29/607875429.db2.gz WKBFBVHLQCLJOU-LBPRGKRZSA-N -1 1 314.320 1.988 20 0 DDADMM O[C@H](COc1cccc(F)c1-c1nn[n-]n1)Cc1ccccc1 ZINC000826505473 607875430 /nfs/dbraw/zinc/87/54/30/607875430.db2.gz WKBFBVHLQCLJOU-LBPRGKRZSA-N -1 1 314.320 1.988 20 0 DDADMM CN(C)C(=O)Cc1ccc(Nc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000825845871 607896463 /nfs/dbraw/zinc/89/64/63/607896463.db2.gz NIMIJNYOCJWCSC-UHFFFAOYSA-N -1 1 323.360 1.636 20 0 DDADMM CN(C)C(=O)Cc1ccc(Nc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000825845871 607896464 /nfs/dbraw/zinc/89/64/64/607896464.db2.gz NIMIJNYOCJWCSC-UHFFFAOYSA-N -1 1 323.360 1.636 20 0 DDADMM COCCN(Cc1ccccc1)c1cccc(-c2nnn[n-]2)n1 ZINC000826158923 607902336 /nfs/dbraw/zinc/90/23/36/607902336.db2.gz ITXQSCRMFFDJHJ-UHFFFAOYSA-N -1 1 310.361 1.915 20 0 DDADMM COCCN(Cc1ccccc1)c1cccc(-c2nn[n-]n2)n1 ZINC000826158923 607902337 /nfs/dbraw/zinc/90/23/37/607902337.db2.gz ITXQSCRMFFDJHJ-UHFFFAOYSA-N -1 1 310.361 1.915 20 0 DDADMM CC(C)CSCCCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824274999 607914863 /nfs/dbraw/zinc/91/48/63/607914863.db2.gz QDOXXJZFLDPDSI-UHFFFAOYSA-N -1 1 320.422 1.771 20 0 DDADMM CC(C)CSCCCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824274999 607914864 /nfs/dbraw/zinc/91/48/64/607914864.db2.gz QDOXXJZFLDPDSI-UHFFFAOYSA-N -1 1 320.422 1.771 20 0 DDADMM Cc1cc(CC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])no1 ZINC000826266138 607999659 /nfs/dbraw/zinc/99/96/59/607999659.db2.gz DAKUHXXZBSDAFF-UHFFFAOYSA-N -1 1 300.278 1.050 20 0 DDADMM CCC[C@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000825252532 608009997 /nfs/dbraw/zinc/00/99/97/608009997.db2.gz IWQXNMYVNWPQPG-VIFPVBQESA-N -1 1 307.379 1.569 20 0 DDADMM CCC[C@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000825252532 608009998 /nfs/dbraw/zinc/00/99/98/608009998.db2.gz IWQXNMYVNWPQPG-VIFPVBQESA-N -1 1 307.379 1.569 20 0 DDADMM C[C@H]1C[C@@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCC1=O ZINC000824874051 608058906 /nfs/dbraw/zinc/05/89/06/608058906.db2.gz BCNSXIAJUWGHME-ONGXEEELSA-N -1 1 315.333 1.310 20 0 DDADMM C[C@H]1C[C@@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCC1=O ZINC000824874051 608058907 /nfs/dbraw/zinc/05/89/07/608058907.db2.gz BCNSXIAJUWGHME-ONGXEEELSA-N -1 1 315.333 1.310 20 0 DDADMM CN(C[C@H](O)C1CC1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825902557 608122773 /nfs/dbraw/zinc/12/27/73/608122773.db2.gz VXFVJODKYDWCOM-LURJTMIESA-N -1 1 300.775 1.184 20 0 DDADMM CN(C[C@H](O)C1CC1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825902557 608122774 /nfs/dbraw/zinc/12/27/74/608122774.db2.gz VXFVJODKYDWCOM-LURJTMIESA-N -1 1 300.775 1.184 20 0 DDADMM CC(C)OCCCC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824315765 608142248 /nfs/dbraw/zinc/14/22/48/608142248.db2.gz XXSZVIJZQPKQDU-UHFFFAOYSA-N -1 1 305.338 1.510 20 0 DDADMM CC(C)OCCCC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824315765 608142249 /nfs/dbraw/zinc/14/22/49/608142249.db2.gz XXSZVIJZQPKQDU-UHFFFAOYSA-N -1 1 305.338 1.510 20 0 DDADMM c1cncc(CC2CCN(c3nccnc3-c3nnn[n-]3)CC2)c1 ZINC000826525682 608194907 /nfs/dbraw/zinc/19/49/07/608194907.db2.gz VERXBQPYCOGBTA-UHFFFAOYSA-N -1 1 322.376 1.511 20 0 DDADMM c1cncc(CC2CCN(c3nccnc3-c3nn[n-]n3)CC2)c1 ZINC000826525682 608194908 /nfs/dbraw/zinc/19/49/08/608194908.db2.gz VERXBQPYCOGBTA-UHFFFAOYSA-N -1 1 322.376 1.511 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@H]2CC23CCCCC3)n1 ZINC000826356925 608209416 /nfs/dbraw/zinc/20/94/16/608209416.db2.gz LALAJXYDJMMJPE-JTQLQIEISA-N -1 1 316.369 1.445 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@H]2CC23CCCCC3)n1 ZINC000826356925 608209417 /nfs/dbraw/zinc/20/94/17/608209417.db2.gz LALAJXYDJMMJPE-JTQLQIEISA-N -1 1 316.369 1.445 20 0 DDADMM CCOc1cc(Nc2ccc(-c3nnn[n-]3)nn2)ccc1OC ZINC000825615649 608399858 /nfs/dbraw/zinc/39/98/58/608399858.db2.gz FDBTVHCZEDFZCD-UHFFFAOYSA-N -1 1 313.321 1.808 20 0 DDADMM CCOc1cc(Nc2ccc(-c3nn[n-]n3)nn2)ccc1OC ZINC000825615649 608399860 /nfs/dbraw/zinc/39/98/60/608399860.db2.gz FDBTVHCZEDFZCD-UHFFFAOYSA-N -1 1 313.321 1.808 20 0 DDADMM C[C@@H]1Cc2ccccc2N1CCNc1ccc(-c2nnn[n-]2)nn1 ZINC000825013577 608406442 /nfs/dbraw/zinc/40/64/42/608406442.db2.gz QLTSTVOQEMEYFU-LLVKDONJSA-N -1 1 322.376 1.520 20 0 DDADMM C[C@@H]1Cc2ccccc2N1CCNc1ccc(-c2nn[n-]n2)nn1 ZINC000825013577 608406444 /nfs/dbraw/zinc/40/64/44/608406444.db2.gz QLTSTVOQEMEYFU-LLVKDONJSA-N -1 1 322.376 1.520 20 0 DDADMM O=c1cc(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)cc[nH]1 ZINC000826510653 608408785 /nfs/dbraw/zinc/40/87/85/608408785.db2.gz CTVOAFROBQRENW-UHFFFAOYSA-N -1 1 303.713 1.628 20 0 DDADMM O=c1cc(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)cc[nH]1 ZINC000826510653 608408787 /nfs/dbraw/zinc/40/87/87/608408787.db2.gz CTVOAFROBQRENW-UHFFFAOYSA-N -1 1 303.713 1.628 20 0 DDADMM c1nn(Cc2ccccn2)cc1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826522409 608409051 /nfs/dbraw/zinc/40/90/51/608409051.db2.gz YFMKAMFXNBNXKE-UHFFFAOYSA-N -1 1 320.320 1.040 20 0 DDADMM c1nn(Cc2ccccn2)cc1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826522409 608409053 /nfs/dbraw/zinc/40/90/53/608409053.db2.gz YFMKAMFXNBNXKE-UHFFFAOYSA-N -1 1 320.320 1.040 20 0 DDADMM c1cc(N(C[C@H]2CCCO2)C2CCCC2)nnc1-c1nnn[n-]1 ZINC000826516707 608425537 /nfs/dbraw/zinc/42/55/37/608425537.db2.gz XHEONQXIQKVJOB-GFCCVEGCSA-N -1 1 315.381 1.585 20 0 DDADMM c1cc(N(C[C@H]2CCCO2)C2CCCC2)nnc1-c1nn[n-]n1 ZINC000826516707 608425539 /nfs/dbraw/zinc/42/55/39/608425539.db2.gz XHEONQXIQKVJOB-GFCCVEGCSA-N -1 1 315.381 1.585 20 0 DDADMM COC[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)c1ccc(C)o1 ZINC000826151785 608428051 /nfs/dbraw/zinc/42/80/51/608428051.db2.gz JSRGBMKQBUHTSV-SNVBAGLBSA-N -1 1 301.310 1.358 20 0 DDADMM COC[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)c1ccc(C)o1 ZINC000826151785 608428054 /nfs/dbraw/zinc/42/80/54/608428054.db2.gz JSRGBMKQBUHTSV-SNVBAGLBSA-N -1 1 301.310 1.358 20 0 DDADMM C[C@H]([C@@H]1Cc2ccccc2O1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC000824385665 608433939 /nfs/dbraw/zinc/43/39/39/608433939.db2.gz YRIWKJMJURZURU-YGRLFVJLSA-N -1 1 323.360 1.485 20 0 DDADMM C[C@H]([C@@H]1Cc2ccccc2O1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC000824385665 608433941 /nfs/dbraw/zinc/43/39/41/608433941.db2.gz YRIWKJMJURZURU-YGRLFVJLSA-N -1 1 323.360 1.485 20 0 DDADMM O[C@H]1CCCC[C@@H]1CCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826507282 608434288 /nfs/dbraw/zinc/43/42/88/608434288.db2.gz LUNNQJLZVGTCGJ-PWSUYJOCSA-N -1 1 303.370 1.400 20 0 DDADMM O[C@H]1CCCC[C@@H]1CCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826507282 608434289 /nfs/dbraw/zinc/43/42/89/608434289.db2.gz LUNNQJLZVGTCGJ-PWSUYJOCSA-N -1 1 303.370 1.400 20 0 DDADMM C[C@@H]1CC(NC(=O)c2ccc(-c3nnn[n-]3)s2)C[C@@H](C)O1 ZINC000824893598 608451282 /nfs/dbraw/zinc/45/12/82/608451282.db2.gz RWMFRNGECYOPPO-HTQZYQBOSA-N -1 1 307.379 1.614 20 0 DDADMM C[C@@H]1CC(NC(=O)c2ccc(-c3nn[n-]n3)s2)C[C@@H](C)O1 ZINC000824893598 608451284 /nfs/dbraw/zinc/45/12/84/608451284.db2.gz RWMFRNGECYOPPO-HTQZYQBOSA-N -1 1 307.379 1.614 20 0 DDADMM C[C@H]1CCc2ccccc2N1C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000824967411 608889599 /nfs/dbraw/zinc/88/95/99/608889599.db2.gz JNCLPODLFFZWFJ-NSHDSACASA-N -1 1 323.360 1.431 20 0 DDADMM C[C@H]1CCc2ccccc2N1C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000824967411 608889603 /nfs/dbraw/zinc/88/96/03/608889603.db2.gz JNCLPODLFFZWFJ-NSHDSACASA-N -1 1 323.360 1.431 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C(C)(C)O1 ZINC000824788849 609323299 /nfs/dbraw/zinc/32/32/99/609323299.db2.gz CLWIHYUYKHVRIJ-LLVKDONJSA-N -1 1 316.365 1.338 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C(C)(C)O1 ZINC000824788849 609323300 /nfs/dbraw/zinc/32/33/00/609323300.db2.gz CLWIHYUYKHVRIJ-LLVKDONJSA-N -1 1 316.365 1.338 20 0 DDADMM Cc1cc(Nc2nccnc2-c2nnn[n-]2)nn1[C@@H](C)C1CC1 ZINC000826271225 609587738 /nfs/dbraw/zinc/58/77/38/609587738.db2.gz IODPIKHIMMFDTC-VIFPVBQESA-N -1 1 311.353 1.876 20 0 DDADMM Cc1cc(Nc2nccnc2-c2nn[n-]n2)nn1[C@@H](C)C1CC1 ZINC000826271225 609587739 /nfs/dbraw/zinc/58/77/39/609587739.db2.gz IODPIKHIMMFDTC-VIFPVBQESA-N -1 1 311.353 1.876 20 0 DDADMM Fc1cccc(NCCCNc2nccnc2-c2nnn[n-]2)c1 ZINC000826377476 609603287 /nfs/dbraw/zinc/60/32/87/609603287.db2.gz TYBHYAOLJDHIFQ-UHFFFAOYSA-N -1 1 314.328 1.710 20 0 DDADMM Fc1cccc(NCCCNc2nccnc2-c2nn[n-]n2)c1 ZINC000826377476 609603288 /nfs/dbraw/zinc/60/32/88/609603288.db2.gz TYBHYAOLJDHIFQ-UHFFFAOYSA-N -1 1 314.328 1.710 20 0 DDADMM O=C(NCc1cccnc1-n1ccnc1)C(=O)c1ccc([O-])cc1 ZINC000798158540 700045192 /nfs/dbraw/zinc/04/51/92/700045192.db2.gz NSSCCOOBURIIPZ-UHFFFAOYSA-N -1 1 322.324 1.472 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NC(=O)C1CC1)c1ncccc1[O-] ZINC000976114676 695920248 /nfs/dbraw/zinc/92/02/48/695920248.db2.gz ONILCPVJMYRKNQ-VXGBXAGGSA-N -1 1 303.362 1.354 20 0 DDADMM O=C(C=C1CCC1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976350440 695963135 /nfs/dbraw/zinc/96/31/35/695963135.db2.gz MIZZJTUOHSJXED-JYAVWHMHSA-N -1 1 313.357 1.084 20 0 DDADMM CC(Nc1ccc2c(c1)CCC2)=C1C(=O)[N-]C(=S)NC1=O ZINC000009779255 696038024 /nfs/dbraw/zinc/03/80/24/696038024.db2.gz KNLWPXBZISASJC-UHFFFAOYSA-N -1 1 301.371 1.392 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCCCNC1=O ZINC000747359353 700066190 /nfs/dbraw/zinc/06/61/90/700066190.db2.gz HYBQREDKSSWOAO-SNVBAGLBSA-N -1 1 306.366 1.013 20 0 DDADMM CCn1cc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)cn1 ZINC000747395229 700067788 /nfs/dbraw/zinc/06/77/88/700067788.db2.gz ISGZSIXZNQZPEN-UHFFFAOYSA-N -1 1 303.366 1.760 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2ccc(F)c(F)c2)c1Cl ZINC000049578061 696225449 /nfs/dbraw/zinc/22/54/49/696225449.db2.gz QZOWVOIWKCCDJB-UHFFFAOYSA-N -1 1 321.736 1.830 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977618701 696236676 /nfs/dbraw/zinc/23/66/76/696236676.db2.gz NVSIVJUOGXFFCH-KBVBDRTLSA-N -1 1 303.362 1.426 20 0 DDADMM O=C(COC(=O)COC1CCCCC1)[N-]C(=O)c1ccccc1 ZINC000051695597 696246446 /nfs/dbraw/zinc/24/64/46/696246446.db2.gz CCNWTCLAUKMPHF-UHFFFAOYSA-N -1 1 319.357 1.836 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)O[C@H](C)C(C)=O ZINC000052171226 696253048 /nfs/dbraw/zinc/25/30/48/696253048.db2.gz GPRPIBZFAYAKHU-LLVKDONJSA-N -1 1 313.375 1.973 20 0 DDADMM CCNC(=O)N[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000064940156 696343039 /nfs/dbraw/zinc/34/30/39/696343039.db2.gz PXWKSQQAMAXNEE-UHFFFAOYSA-N -1 1 318.207 1.567 20 0 DDADMM Cn1c(CNC(=O)c2c[nH]c3ccccc3c2=O)n[nH]c1=S ZINC000066625764 696353977 /nfs/dbraw/zinc/35/39/77/696353977.db2.gz QFFNRNUGOPXECZ-UHFFFAOYSA-N -1 1 315.358 1.249 20 0 DDADMM Cn1c(CNC(=O)c2cnc3ccccc3c2O)n[n-]c1=S ZINC000066625764 696353979 /nfs/dbraw/zinc/35/39/79/696353979.db2.gz QFFNRNUGOPXECZ-UHFFFAOYSA-N -1 1 315.358 1.249 20 0 DDADMM CCn1c(CCNC(=O)c2ccnc(C3CC3)n2)n[n-]c1=S ZINC000069706016 696385864 /nfs/dbraw/zinc/38/58/64/696385864.db2.gz CYDJOAXYNUJCMN-UHFFFAOYSA-N -1 1 318.406 1.600 20 0 DDADMM CC1N=NC(C(=O)Nc2ccc(N3CCO[C@@H](C)C3)cc2)=C1[O-] ZINC000747659347 700080459 /nfs/dbraw/zinc/08/04/59/700080459.db2.gz NWQXCTJQIJYKDU-JTQLQIEISA-N -1 1 316.361 1.901 20 0 DDADMM Cn1ccc2ccc(NC(=O)Cc3sc(N)nc3[O-])cc21 ZINC000073049708 696399902 /nfs/dbraw/zinc/39/99/02/696399902.db2.gz LLSZFIAMPWRJHO-LLVKDONJSA-N -1 1 302.359 1.464 20 0 DDADMM CN(CCCc1ccccc1)C(=O)Cc1sc(N)nc1[O-] ZINC000079563484 696461786 /nfs/dbraw/zinc/46/17/86/696461786.db2.gz HEYUTWFRONEFFK-LBPRGKRZSA-N -1 1 305.403 1.424 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCC2(c3ccc(F)cc3)CC2)s1 ZINC000079600773 696462086 /nfs/dbraw/zinc/46/20/86/696462086.db2.gz OMYYQABXFXFWJG-LLVKDONJSA-N -1 1 321.377 1.320 20 0 DDADMM C[C@@H](c1ccco1)N(C(=O)Cc1sc(N)nc1[O-])C1CC1 ZINC000079720560 696462699 /nfs/dbraw/zinc/46/26/99/696462699.db2.gz BJSHTIRYJYHYCY-KWQFWETISA-N -1 1 307.375 1.678 20 0 DDADMM O=[N+]([O-])c1ccc(Cl)cc1S(=O)(=O)[N-]Cc1ccon1 ZINC000080829254 696537843 /nfs/dbraw/zinc/53/78/43/696537843.db2.gz HWGOBIXLXOJXNZ-UHFFFAOYSA-N -1 1 317.710 1.715 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(F)c(F)c1 ZINC000120806400 696701550 /nfs/dbraw/zinc/70/15/50/696701550.db2.gz CJTXADBDKOSFEZ-LHLIQPBNSA-N -1 1 313.329 1.489 20 0 DDADMM CCO[C@@H]1C[C@@H]1C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799019879 700110136 /nfs/dbraw/zinc/11/01/36/700110136.db2.gz UXYJCTNRQVRSSV-WDEREUQCSA-N -1 1 322.327 1.329 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000981209084 697034741 /nfs/dbraw/zinc/03/47/41/697034741.db2.gz QJKLDQPGIHFQSZ-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM CC(=O)N1CCC2(C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)CC1 ZINC000982246061 697072326 /nfs/dbraw/zinc/07/23/26/697072326.db2.gz XZBBHMFTZMXRMI-GFCCVEGCSA-N -1 1 317.389 1.650 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(C)c(F)c2)C1 ZINC000748771131 700134201 /nfs/dbraw/zinc/13/42/01/700134201.db2.gz JLNCQVDLQVFABQ-NSHDSACASA-N -1 1 310.325 1.181 20 0 DDADMM Cc1ncc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)s1 ZINC000748884235 700140001 /nfs/dbraw/zinc/14/00/01/700140001.db2.gz NUYYTJJXAJECGZ-UHFFFAOYSA-N -1 1 304.327 1.565 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2c(C)cccc2C)co1 ZINC000159849435 697312836 /nfs/dbraw/zinc/31/28/36/697312836.db2.gz BHMUHSUOHPQGEG-UHFFFAOYSA-N -1 1 322.386 1.735 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2ccc3c(c2)C(=O)OC3)c1C ZINC000160500461 697317720 /nfs/dbraw/zinc/31/77/20/697317720.db2.gz BWVFAEPEESMDGW-UHFFFAOYSA-N -1 1 307.331 1.498 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC2CCCCC2)co1 ZINC000163484050 697333230 /nfs/dbraw/zinc/33/32/30/697333230.db2.gz VQQGOMLMVBMZTI-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)CSC(C)(C)C ZINC000163864033 697334817 /nfs/dbraw/zinc/33/48/17/697334817.db2.gz ROCJWQMFVIYLHV-UHFFFAOYSA-N -1 1 324.406 1.343 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(N3CCOCC3)c(F)c2)c1[O-] ZINC000749236712 700152779 /nfs/dbraw/zinc/15/27/79/700152779.db2.gz NYEVOVFECSFATA-UHFFFAOYSA-N -1 1 320.324 1.652 20 0 DDADMM C[C@@](O)(CNC(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1)c1cccs1 ZINC000185769039 697523584 /nfs/dbraw/zinc/52/35/84/697523584.db2.gz FSUQRNSCRKFUDO-CYBMUJFWSA-N -1 1 323.330 1.394 20 0 DDADMM Cc1ccc(CCC(=O)[N-]OCC(N)=O)c(Br)c1 ZINC000186055490 697528135 /nfs/dbraw/zinc/52/81/35/697528135.db2.gz ZUNPQXJJWSYDIT-UHFFFAOYSA-N -1 1 315.167 1.223 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@@H](C)CC(C)C ZINC000186121152 697530014 /nfs/dbraw/zinc/53/00/14/697530014.db2.gz FNZUPPTYQCUUEJ-JTQLQIEISA-N -1 1 306.366 1.494 20 0 DDADMM C[C@@H]1C(=O)N(C)CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000187023480 697540380 /nfs/dbraw/zinc/54/03/80/697540380.db2.gz NQOYGBQMEIFWPG-SNVBAGLBSA-N -1 1 302.330 1.161 20 0 DDADMM N#Cc1ccc(C(=O)NC[C@H](O)c2cccc(F)c2)cc1[O-] ZINC000188379697 697558104 /nfs/dbraw/zinc/55/81/04/697558104.db2.gz WRTAHHJECHIVFA-HNNXBMFYSA-N -1 1 300.289 1.866 20 0 DDADMM O=C([N-]S(=O)(=O)c1ncccc1F)c1cccc2cn[nH]c21 ZINC000190384866 697590720 /nfs/dbraw/zinc/59/07/20/697590720.db2.gz SNURFNVAPJNEGU-UHFFFAOYSA-N -1 1 320.305 1.216 20 0 DDADMM C[C@@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)C[C@H](CO)O1 ZINC000191779712 697614279 /nfs/dbraw/zinc/61/42/79/697614279.db2.gz VIXVMGLQLPVOPI-ZWNOBZJWSA-N -1 1 305.330 1.080 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H](C)c1ccc(S(C)(=O)=O)cc1 ZINC000772692047 697661770 /nfs/dbraw/zinc/66/17/70/697661770.db2.gz PATKLBREWYUWCW-NSHDSACASA-N -1 1 315.391 1.278 20 0 DDADMM O=C([C@H]1CC1(Cl)Cl)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000773312674 697742402 /nfs/dbraw/zinc/74/24/02/697742402.db2.gz CUAVVYGYJGALFN-RNFRBKRXSA-N -1 1 306.149 1.675 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000773316728 697743305 /nfs/dbraw/zinc/74/33/05/697743305.db2.gz NYCGREOKUGCUFH-PGLGOXFNSA-N -1 1 318.308 1.729 20 0 DDADMM O=C(CCNC(=O)OCC(F)(F)F)[N-]Oc1ccccc1 ZINC000773460847 697763541 /nfs/dbraw/zinc/76/35/41/697763541.db2.gz JJDQUZUBPMOZGR-UHFFFAOYSA-N -1 1 306.240 1.775 20 0 DDADMM CCc1ccoc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986870247 697934260 /nfs/dbraw/zinc/93/42/60/697934260.db2.gz LSGFFNGVWKECJA-ONGXEEELSA-N -1 1 319.365 1.059 20 0 DDADMM Cc1cnn(CCC(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000774933458 697944118 /nfs/dbraw/zinc/94/41/18/697944118.db2.gz OVMWVXOUWUNDFJ-UHFFFAOYSA-N -1 1 307.272 1.193 20 0 DDADMM CCc1cccnc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774992522 697951456 /nfs/dbraw/zinc/95/14/56/697951456.db2.gz MQHYWJOZJQGQAL-UHFFFAOYSA-N -1 1 304.268 1.869 20 0 DDADMM Cc1c2cc(F)ccc2oc1C(=O)[N-]N1CC(=O)N(C)C1=O ZINC000776241790 698086815 /nfs/dbraw/zinc/08/68/15/698086815.db2.gz CRGZZWHECFPLGR-UHFFFAOYSA-N -1 1 305.265 1.419 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2CC[C@H](C)[C@@H](F)C2)cc1 ZINC000778230029 698251584 /nfs/dbraw/zinc/25/15/84/698251584.db2.gz BAZOQAMLTQXFEY-FZMZJTMJSA-N -1 1 308.353 1.632 20 0 DDADMM CC(C)N(C)S(=O)(=O)[N-]c1c[nH]cc(Br)c1=O ZINC000272469131 698528940 /nfs/dbraw/zinc/52/89/40/698528940.db2.gz PEJOUZYCRCCPDP-UHFFFAOYSA-N -1 1 324.200 1.547 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2cccc(C(F)F)c2)n[nH]1 ZINC000780716187 698553052 /nfs/dbraw/zinc/55/30/52/698553052.db2.gz TUPMDWQFHSDHIY-UHFFFAOYSA-N -1 1 316.289 1.247 20 0 DDADMM COC(=O)[C@@H](C)CN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000314846770 698692607 /nfs/dbraw/zinc/69/26/07/698692607.db2.gz SVXASJXRVBYTAJ-VIFPVBQESA-N -1 1 309.366 1.495 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1sccc1F ZINC000991186896 699260937 /nfs/dbraw/zinc/26/09/37/699260937.db2.gz LNHFVDXUVYDEEZ-UHFFFAOYSA-N -1 1 321.333 1.242 20 0 DDADMM CC[C@H](C[C@@H](C)O)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000716793916 699284445 /nfs/dbraw/zinc/28/44/45/699284445.db2.gz RHLVXGUHANPASZ-HTQZYQBOSA-N -1 1 310.778 1.702 20 0 DDADMM O=S(=O)([N-][C@H](CCO)C1CCCCC1)c1ccns1 ZINC000725029587 699329582 /nfs/dbraw/zinc/32/95/82/699329582.db2.gz KZYVVVINOJSRQT-LLVKDONJSA-N -1 1 304.437 1.753 20 0 DDADMM CC1(c2cc(NC(=O)NN3CC(=O)[N-]C3=O)no2)CCCC1 ZINC000788695048 699335226 /nfs/dbraw/zinc/33/52/26/699335226.db2.gz BNPDELDXDRVXMB-UHFFFAOYSA-N -1 1 307.310 1.095 20 0 DDADMM CC[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000726866397 699386405 /nfs/dbraw/zinc/38/64/05/699386405.db2.gz SFRPPNIQOMAGGY-CYBMUJFWSA-N -1 1 315.373 1.237 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N(CC1CCCCC1)C1CC1 ZINC000727851321 699428173 /nfs/dbraw/zinc/42/81/73/699428173.db2.gz KJLAHIVWEWCWBD-UHFFFAOYSA-N -1 1 319.405 1.498 20 0 DDADMM CCc1c(C(=O)Nc2nc(Cl)ccc2[O-])[nH]c(C)c1C(N)=O ZINC000790047488 699437329 /nfs/dbraw/zinc/43/73/29/699437329.db2.gz GUQLRTARVOZRBW-UHFFFAOYSA-N -1 1 322.752 1.991 20 0 DDADMM CC(C)CN1C[C@H](C(=O)Nc2nc(Cl)ccc2[O-])CC1=O ZINC000790055767 699438457 /nfs/dbraw/zinc/43/84/57/699438457.db2.gz FNWPUBMOTSOATK-SECBINFHSA-N -1 1 311.769 1.884 20 0 DDADMM CCCCC[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OC ZINC000790225538 699447997 /nfs/dbraw/zinc/44/79/97/699447997.db2.gz MRVPQMXUIMHTPV-SECBINFHSA-N -1 1 319.345 1.980 20 0 DDADMM CCOCCOC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000728763454 699455289 /nfs/dbraw/zinc/45/52/89/699455289.db2.gz YDZHMYBBLDMHHZ-UHFFFAOYSA-N -1 1 300.380 1.725 20 0 DDADMM C[C@@H](CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000731855484 699538200 /nfs/dbraw/zinc/53/82/00/699538200.db2.gz PCAJULWAGUDHLI-GXFFZTMASA-N -1 1 319.340 1.433 20 0 DDADMM CC(C)(C)OC(=O)N(CCNC(=O)c1cncc([O-])c1)C1CC1 ZINC000732284206 699553968 /nfs/dbraw/zinc/55/39/68/699553968.db2.gz KDDJRRABSFRHSD-UHFFFAOYSA-N -1 1 321.377 1.917 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cccc2ccccc21 ZINC000733128231 699579773 /nfs/dbraw/zinc/57/97/73/699579773.db2.gz FRDBGHFXWYQMBJ-UHFFFAOYSA-N -1 1 309.325 1.719 20 0 DDADMM Cc1ccc(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)cc1Cl ZINC000733267970 699585550 /nfs/dbraw/zinc/58/55/50/699585550.db2.gz GQHMRPSTHOYFPG-UHFFFAOYSA-N -1 1 321.764 1.552 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000734176707 699640401 /nfs/dbraw/zinc/64/04/01/699640401.db2.gz HVNLBUKJGWEAGA-LLVKDONJSA-N -1 1 321.377 1.917 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CCC(C)(C)O2)co1 ZINC000792032169 699671247 /nfs/dbraw/zinc/67/12/47/699671247.db2.gz VCUGSEPWGHCPSG-SNVBAGLBSA-N -1 1 317.363 1.302 20 0 DDADMM C[C@@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccc1F ZINC000735518551 699696258 /nfs/dbraw/zinc/69/62/58/699696258.db2.gz GQSDCNHKWIPKPT-SNVBAGLBSA-N -1 1 303.341 1.849 20 0 DDADMM Cc1nonc1CNC(=O)c1ccc(Br)cc1[O-] ZINC000735927614 699711121 /nfs/dbraw/zinc/71/11/21/699711121.db2.gz BKDAHCASCQSIHN-UHFFFAOYSA-N -1 1 312.123 1.776 20 0 DDADMM C[C@@H]1C[C@H](CC(=O)N2CCOC[C@H]2c2nn[n-]n2)CC(C)(C)C1 ZINC000736227228 699721055 /nfs/dbraw/zinc/72/10/55/699721055.db2.gz KEQKEOZOEWCZMT-UPJWGTAASA-N -1 1 321.425 1.952 20 0 DDADMM CC[C@H](OC1CCCC1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000736378756 699724603 /nfs/dbraw/zinc/72/46/03/699724603.db2.gz SCNDICZWHNTJMA-ZDUSSCGKSA-N -1 1 307.398 1.644 20 0 DDADMM Cc1ccc(CCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)c(C)c1 ZINC000737337829 699738722 /nfs/dbraw/zinc/73/87/22/699738722.db2.gz ICDPONLAQQUIAC-CQSZACIVSA-N -1 1 315.377 1.349 20 0 DDADMM Clc1ccc(N2CC(n3cccn3)C2)nc1-c1nnn[n-]1 ZINC000737574570 699742197 /nfs/dbraw/zinc/74/21/97/699742197.db2.gz HVFKWDJRRPDBOB-UHFFFAOYSA-N -1 1 302.729 1.173 20 0 DDADMM Clc1ccc(N2CC(n3cccn3)C2)nc1-c1nn[n-]n1 ZINC000737574570 699742200 /nfs/dbraw/zinc/74/22/00/699742200.db2.gz HVFKWDJRRPDBOB-UHFFFAOYSA-N -1 1 302.729 1.173 20 0 DDADMM Cn1cc(C(=O)CCC(=O)Nc2nc(Cl)ccc2[O-])cn1 ZINC000793943378 699788409 /nfs/dbraw/zinc/78/84/09/699788409.db2.gz XSGXAWQKTHPWKB-UHFFFAOYSA-N -1 1 308.725 1.776 20 0 DDADMM COC(=O)[C@@H]1C[C@H](F)CN1C(=O)c1c([O-])cccc1Cl ZINC000794213902 699797623 /nfs/dbraw/zinc/79/76/23/699797623.db2.gz USMSNYKMMGVPDS-CBAPKCEASA-N -1 1 301.701 1.771 20 0 DDADMM CCN1CCCC[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000741149077 699817806 /nfs/dbraw/zinc/81/78/06/699817806.db2.gz VWHLPHATMSQONH-AWEZNQCLSA-N -1 1 318.373 1.361 20 0 DDADMM CCSCCOC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000744957925 699966832 /nfs/dbraw/zinc/96/68/32/699966832.db2.gz WAPGJOLDKLIHFW-UHFFFAOYSA-N -1 1 309.391 1.891 20 0 DDADMM O=C(O[C@@H]1CCCNC1=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801326115 700297743 /nfs/dbraw/zinc/29/77/43/700297743.db2.gz USGALNHXWBWPJN-GFCCVEGCSA-N -1 1 319.292 1.152 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OC[C@H](C)CO)n2)cc1 ZINC000801364104 700301036 /nfs/dbraw/zinc/30/10/36/700301036.db2.gz ZNSHIDHYSYANML-SNVBAGLBSA-N -1 1 306.318 1.372 20 0 DDADMM Cc1cc(F)ccc1-n1cc([O-])c(C(=O)O[C@@H]2CCOC2=O)n1 ZINC000801426794 700308027 /nfs/dbraw/zinc/30/80/27/700308027.db2.gz FYAZOTOQAIQLDZ-GFCCVEGCSA-N -1 1 320.276 1.498 20 0 DDADMM CCNC(=O)COC(=O)c1nn(-c2ccc(F)cc2C)cc1[O-] ZINC000801431289 700308323 /nfs/dbraw/zinc/30/83/23/700308323.db2.gz ZTLIKMTXISGCBL-UHFFFAOYSA-N -1 1 321.308 1.318 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C3=NO[C@@H](c4ccccc4)C3)ccnc1-2 ZINC000801702433 700332329 /nfs/dbraw/zinc/33/23/29/700332329.db2.gz FZOXCUYJMKNEJB-OAHLLOKOSA-N -1 1 321.340 1.798 20 0 DDADMM O=C([N-]N1CC(=O)NC1=O)[C@H](F)c1ccc(C(F)(F)F)cc1 ZINC000801819587 700341413 /nfs/dbraw/zinc/34/14/13/700341413.db2.gz CJPLFVNXEIHZLW-SECBINFHSA-N -1 1 319.214 1.299 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC2C[C@H](C)O[C@@H](C)C2)co1 ZINC000756035241 700600086 /nfs/dbraw/zinc/60/00/86/700600086.db2.gz CZPRUEPGBXTNJZ-IUCAKERBSA-N -1 1 317.363 1.301 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CCCS2)co1 ZINC000756567519 700629464 /nfs/dbraw/zinc/62/94/64/700629464.db2.gz RQUZDIXTAINMKF-SECBINFHSA-N -1 1 305.377 1.240 20 0 DDADMM CCC[C@@H](NC(=O)C(F)(F)c1nccs1)c1nn[n-]n1 ZINC000756839751 700643772 /nfs/dbraw/zinc/64/37/72/700643772.db2.gz IAXSJKZWZFRMEH-ZCFIWIBFSA-N -1 1 302.310 1.406 20 0 DDADMM C[C@H](COC(=O)c1c([O-])cc(F)cc1F)CS(C)(=O)=O ZINC000758009054 700680542 /nfs/dbraw/zinc/68/05/42/700680542.db2.gz ZBQLLJWEJJDRPY-SSDOTTSWSA-N -1 1 308.302 1.508 20 0 DDADMM CC1(C)OCC([N-]S(=O)(=O)c2cc3ccccc3o2)CO1 ZINC000758631755 700716798 /nfs/dbraw/zinc/71/67/98/700716798.db2.gz PVDOWFGYPTWMIQ-UHFFFAOYSA-N -1 1 311.359 1.863 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCC(F)F)c(OC)c1OC ZINC000759337010 700747060 /nfs/dbraw/zinc/74/70/60/700747060.db2.gz ADZLSYXEWJWCTJ-UHFFFAOYSA-N -1 1 319.304 1.958 20 0 DDADMM O=C([N-]OCC(F)F)[C@@H]1CC(=O)N(c2ccc(F)cc2F)C1 ZINC000759335973 700747097 /nfs/dbraw/zinc/74/70/97/700747097.db2.gz DYXBRZBTDINMPQ-SSDOTTSWSA-N -1 1 320.242 1.631 20 0 DDADMM CCOc1cc(C(=O)NCCn2ccnn2)cc(Cl)c1[O-] ZINC000762666380 700902034 /nfs/dbraw/zinc/90/20/34/700902034.db2.gz RGYXKQJTLYBSAZ-UHFFFAOYSA-N -1 1 310.741 1.466 20 0 DDADMM CC[C@H](OC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C(=O)OC ZINC000762811690 700908367 /nfs/dbraw/zinc/90/83/67/700908367.db2.gz RZKDRRRQBXLTHP-NSHDSACASA-N -1 1 321.333 1.089 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2ccc3c(c2)CCCC3)nc1=O ZINC000765409068 701010299 /nfs/dbraw/zinc/01/02/99/701010299.db2.gz YVXHQTPTPJTLIG-UHFFFAOYSA-N -1 1 301.346 1.273 20 0 DDADMM Cc1ccc(OCCC(=O)OCc2nc(=O)n(C)[n-]2)c(C)c1 ZINC000765437523 701012817 /nfs/dbraw/zinc/01/28/17/701012817.db2.gz FSANHAIHMSLPEX-UHFFFAOYSA-N -1 1 305.334 1.238 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(-c3ccccc3)no2)nc1=O ZINC000765438514 701012895 /nfs/dbraw/zinc/01/28/95/701012895.db2.gz RMIAIXQZKJBALU-UHFFFAOYSA-N -1 1 300.274 1.120 20 0 DDADMM Cn1[n-]c(COC(=O)c2nc(-c3ccccc3)cs2)nc1=O ZINC000765440808 701013005 /nfs/dbraw/zinc/01/30/05/701013005.db2.gz QGXFYPWUOKJADB-UHFFFAOYSA-N -1 1 316.342 1.589 20 0 DDADMM CC(C)COc1ccc(CC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765475438 701014618 /nfs/dbraw/zinc/01/46/18/701014618.db2.gz ZHVYGWQRDFRGTE-UHFFFAOYSA-N -1 1 319.361 1.429 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H]1COC(C)(C)O1 ZINC000769284709 701239372 /nfs/dbraw/zinc/23/93/72/701239372.db2.gz YEGJJKYTTIBCHH-JTQLQIEISA-N -1 1 323.393 1.669 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cnn(C)c2C2CC2)co1 ZINC000771489024 701321623 /nfs/dbraw/zinc/32/16/23/701321623.db2.gz QCXKQVZIVQKPHJ-UHFFFAOYSA-N -1 1 324.362 1.051 20 0 DDADMM O=C(NCc1nnnn1CC1CC1)c1c([O-])cccc1Cl ZINC000771555696 701323198 /nfs/dbraw/zinc/32/31/98/701323198.db2.gz DIRZHVDWUQHYJW-UHFFFAOYSA-N -1 1 307.741 1.372 20 0 DDADMM COC[C@H]1CCCCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806483656 701437269 /nfs/dbraw/zinc/43/72/69/701437269.db2.gz MEUXNSPDQUEKAI-LBPRGKRZSA-N -1 1 317.393 1.622 20 0 DDADMM O=S(=O)([N-]c1ccc(C2(O)COC2)cc1)c1cccc(F)c1 ZINC000808119715 701498980 /nfs/dbraw/zinc/49/89/80/701498980.db2.gz GRUWRKGYSOSLDW-UHFFFAOYSA-N -1 1 323.345 1.844 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cccc3c2COC3=O)c[nH]1 ZINC000808126688 701499765 /nfs/dbraw/zinc/49/97/65/701499765.db2.gz DHZKNHKAJZPMFB-UHFFFAOYSA-N -1 1 322.298 1.184 20 0 DDADMM C[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H](O)c1ccccc1 ZINC000808492447 701519796 /nfs/dbraw/zinc/51/97/96/701519796.db2.gz VEODOLAXBDJCCW-IAQYHMDHSA-N -1 1 323.352 1.775 20 0 DDADMM O=C(COC(=O)c1ocnc1C1CC1)[N-]C(=O)c1ccccc1 ZINC000808680304 701530719 /nfs/dbraw/zinc/53/07/19/701530719.db2.gz GRKVPSAHGZCLBR-UHFFFAOYSA-N -1 1 314.297 1.665 20 0 DDADMM COC(=O)[C@]12C[C@H]1C[C@H]([N-]S(=O)(=O)c1cc(C)ns1)C2 ZINC000867772495 701740790 /nfs/dbraw/zinc/74/07/90/701740790.db2.gz FIUOYRJJQZACJT-PTRXPTGYSA-N -1 1 316.404 1.072 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C2CCOCC2)sn1 ZINC000867781227 701746135 /nfs/dbraw/zinc/74/61/35/701746135.db2.gz NSEJHBRVHZGJKV-RYUDHWBXSA-N -1 1 316.448 1.793 20 0 DDADMM CC(C)(C)N1CC[C@](F)(C(=O)[N-]S(=O)(=O)C2(C)CC2)C1 ZINC000810878304 701867724 /nfs/dbraw/zinc/86/77/24/701867724.db2.gz IDXQKEDLNCYISZ-CYBMUJFWSA-N -1 1 306.403 1.197 20 0 DDADMM C[C@]1(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)C[C@@H]1c1ccccc1 ZINC000840332206 702072980 /nfs/dbraw/zinc/07/29/80/702072980.db2.gz AATZMEQVHLGNNG-IOASZLSFSA-N -1 1 313.361 1.293 20 0 DDADMM O=C(C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)c1ccccc1 ZINC000831129533 706640405 /nfs/dbraw/zinc/64/04/05/706640405.db2.gz FZHHZBSDLINHAD-UHFFFAOYSA-N -1 1 314.263 1.006 20 0 DDADMM O=C([O-])[C@H]1C[C@@H](NC(=O)[C@@H]2CCCN2Cc2ccccc2)C1 ZINC000868443249 702130727 /nfs/dbraw/zinc/13/07/27/702130727.db2.gz DKNRCDCYTXZFLU-ZNMIVQPWSA-N -1 1 302.374 1.630 20 0 DDADMM O=S(=O)(CC12CCC(CC1)C2)[N-]Cc1nc(C2CC2)no1 ZINC000812493392 702189822 /nfs/dbraw/zinc/18/98/22/702189822.db2.gz IASOYRFSRQWCMN-UHFFFAOYSA-N -1 1 311.407 1.947 20 0 DDADMM O=C(c1ccc(I)cc1[O-])N1CCOC1 ZINC000868690758 702266599 /nfs/dbraw/zinc/26/65/99/702266599.db2.gz LKDRGEDWAXQDIX-UHFFFAOYSA-N -1 1 319.098 1.427 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@@H]1C[C@@H]2COC[C@@H]2O1 ZINC000831219916 706661180 /nfs/dbraw/zinc/66/11/80/706661180.db2.gz HEXXOHWHBZPMES-SUNKGSAMSA-N -1 1 311.765 1.508 20 0 DDADMM COC(=O)N1c2ccccc2C[C@H]1C[N-]C(=O)C(F)(F)F ZINC000817674647 702447214 /nfs/dbraw/zinc/44/72/14/702447214.db2.gz BYXDJWFAFNESQC-VIFPVBQESA-N -1 1 302.252 1.863 20 0 DDADMM C[C@@H](O)C(=O)N1c2ccccc2C[C@@H]1C[N-]C(=O)C(F)(F)F ZINC000817675651 702447350 /nfs/dbraw/zinc/44/73/50/702447350.db2.gz TWZGQZFVRNASNF-PSASIEDQSA-N -1 1 316.279 1.004 20 0 DDADMM CC[C@H]1C[C@@H](C)CN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869213368 702512608 /nfs/dbraw/zinc/51/26/08/702512608.db2.gz IQDOYRAEQGVLMC-MNOVXSKESA-N -1 1 320.393 1.585 20 0 DDADMM C[C@@H]1CC[C@H](CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869435500 702606173 /nfs/dbraw/zinc/60/61/73/702606173.db2.gz OIXYFUHDSFAKGD-ZJUUUORDSA-N -1 1 323.311 1.994 20 0 DDADMM CC[C@@H](OC(=O)c1cn[n-]n1)C(=O)N(C)c1ccc(C)cc1 ZINC000869516957 702632729 /nfs/dbraw/zinc/63/27/29/702632729.db2.gz BMYGIKOLPIQWNN-CYBMUJFWSA-N -1 1 302.334 1.712 20 0 DDADMM CCc1ccccc1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016216 702803781 /nfs/dbraw/zinc/80/37/81/702803781.db2.gz MOXJXJNLPRAYRF-UHFFFAOYSA-N -1 1 324.446 1.793 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccccc1COC ZINC000866468541 706706475 /nfs/dbraw/zinc/70/64/75/706706475.db2.gz HQNFVZCGFBKGQK-LJQANCHMSA-N -1 1 320.436 1.285 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCc1ncn(C)n1 ZINC000843979579 702948321 /nfs/dbraw/zinc/94/83/21/702948321.db2.gz ZCOBKWDBMZBTSH-UHFFFAOYSA-N -1 1 318.381 1.105 20 0 DDADMM COC(=O)C1CCN(CCOc2ccccc2C(=O)[O-])CC1 ZINC000844242154 702997994 /nfs/dbraw/zinc/99/79/94/702997994.db2.gz MRQXNILYFSKOMH-UHFFFAOYSA-N -1 1 307.346 1.649 20 0 DDADMM O=C([O-])[C@]12CCC[C@@H]1CN(Cc1cnn(-c3ccccc3)n1)C2 ZINC000846284426 703261266 /nfs/dbraw/zinc/26/12/66/703261266.db2.gz NBPJRVZDKOVQPY-DYVFJYSZSA-N -1 1 312.373 1.954 20 0 DDADMM C[C@]1([N-]S(=O)(=O)Cc2c(F)cccc2Cl)CCOC1=O ZINC000831597421 706736703 /nfs/dbraw/zinc/73/67/03/706736703.db2.gz JXGUKFXLCHQQFR-LBPRGKRZSA-N -1 1 321.757 1.604 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC=CCC1)c1nc[nH]c1Br ZINC000866617883 706745065 /nfs/dbraw/zinc/74/50/65/706745065.db2.gz QYTDOAYMRXQTIB-QMMMGPOBSA-N -1 1 320.212 1.807 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)[C@@H]1CCCCO1 ZINC000848508745 703559174 /nfs/dbraw/zinc/55/91/74/703559174.db2.gz GXXDJZPCMKIADA-BDAKNGLRSA-N -1 1 307.803 1.689 20 0 DDADMM CN(C)C(=O)OCC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000849445841 703648700 /nfs/dbraw/zinc/64/87/00/703648700.db2.gz GKCCDKOAJNGABQ-UHFFFAOYSA-N -1 1 312.347 1.409 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)c2cccnc2C)co1 ZINC000851542356 703808345 /nfs/dbraw/zinc/80/83/45/703808345.db2.gz BCTKMPRZMHYKNH-JTQLQIEISA-N -1 1 324.358 1.809 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)c2cccc3c[nH]nc32)CC12CCC2 ZINC000851612355 703815504 /nfs/dbraw/zinc/81/55/04/703815504.db2.gz ZSGYERWCZDNDBE-INIZCTEOSA-N -1 1 317.320 1.982 20 0 DDADMM CSC1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000869644483 703824775 /nfs/dbraw/zinc/82/47/75/703824775.db2.gz BXAADOKAOCOKEJ-UHFFFAOYSA-N -1 1 308.432 1.986 20 0 DDADMM CCOC1CC2(C[C@H]2C(=O)OCCC[N-]C(=O)C(F)(F)F)C1 ZINC000869929824 703878538 /nfs/dbraw/zinc/87/85/38/703878538.db2.gz IFZOGGNYTIWKHS-QUNCOHTASA-N -1 1 323.311 1.803 20 0 DDADMM C[C@H]1CCN(Cc2cn(C)cn2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000852050236 703912771 /nfs/dbraw/zinc/91/27/71/703912771.db2.gz KKARKMSVIYROOM-NHCYSSNCSA-N -1 1 318.343 1.698 20 0 DDADMM Cc1cccc2c(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)coc21 ZINC000819257265 704095946 /nfs/dbraw/zinc/09/59/46/704095946.db2.gz VPQRNHASIVFOAY-GFCCVEGCSA-N -1 1 313.317 1.468 20 0 DDADMM CCN(Cc1ccc(S(=O)(=O)C(F)F)cc1)[C@@H](C)C(=O)[O-] ZINC000852729310 704107858 /nfs/dbraw/zinc/10/78/58/704107858.db2.gz DLRKSZMMYRXBHQ-VIFPVBQESA-N -1 1 321.345 1.978 20 0 DDADMM Cc1ncc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)cn1 ZINC000852756381 704115975 /nfs/dbraw/zinc/11/59/75/704115975.db2.gz GPGWRUSDXUKJHU-SKDRFNHKSA-N -1 1 316.327 1.674 20 0 DDADMM Cc1cc2c(CCNC(=O)CCc3nn[n-]n3)c[nH]c2cc1F ZINC000871158700 704234605 /nfs/dbraw/zinc/23/46/05/704234605.db2.gz FQAJTXMVYSLSIM-UHFFFAOYSA-N -1 1 316.340 1.420 20 0 DDADMM C[S@](=O)CC[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866797345 706804676 /nfs/dbraw/zinc/80/46/76/706804676.db2.gz MPAUOBXBBWKXJU-INIZCTEOSA-N -1 1 317.219 1.045 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@H]1c1cn[nH]c1 ZINC000854834971 704457627 /nfs/dbraw/zinc/45/76/27/704457627.db2.gz VMFOFSASDAQDPO-NSHDSACASA-N -1 1 314.374 1.718 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccccc1C(=O)OC ZINC000855133447 704469993 /nfs/dbraw/zinc/46/99/93/704469993.db2.gz GUBRVRUGRPQSQI-UHFFFAOYSA-N -1 1 323.345 1.405 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)C[C@H]1COCCO1 ZINC000855682664 704497165 /nfs/dbraw/zinc/49/71/65/704497165.db2.gz QZFREOXILXBDES-NSHDSACASA-N -1 1 309.366 1.101 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H](CO)CC1CC1 ZINC000855916265 704506497 /nfs/dbraw/zinc/50/64/97/704506497.db2.gz MPFZSJNPYJMNDS-GFCCVEGCSA-N -1 1 307.394 1.752 20 0 DDADMM C[C@@H]1CCN(C(=O)C2(O)CCCC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418173 704528178 /nfs/dbraw/zinc/52/81/78/704528178.db2.gz UYIOSLRSDOPFSS-ZJUUUORDSA-N -1 1 322.327 1.207 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](O)c2ccc(C)o2)sn1 ZINC000866862278 706828958 /nfs/dbraw/zinc/82/89/58/706828958.db2.gz DXUJFGSBEOGQIR-SECBINFHSA-N -1 1 302.377 1.365 20 0 DDADMM CCC[C@@H](NC(=O)c1cn2c(n1)CC[C@@H](C)C2)c1nn[n-]n1 ZINC000857936914 704644922 /nfs/dbraw/zinc/64/49/22/704644922.db2.gz SFDSBUGEOWLNKA-NXEZZACHSA-N -1 1 303.370 1.250 20 0 DDADMM O=c1nc(NC[C@H]2COC3(CCOCC3)O2)cc(Cl)[n-]1 ZINC000858468030 704715173 /nfs/dbraw/zinc/71/51/73/704715173.db2.gz BOEUKHPAHJANHU-QMMMGPOBSA-N -1 1 301.730 1.170 20 0 DDADMM CC[C@@H]1CN(c2cc(Cl)[n-]c(=O)n2)C[C@@H](C)S1(=O)=O ZINC000858546745 704725291 /nfs/dbraw/zinc/72/52/91/704725291.db2.gz ZRKXYEIGACZBQM-HTQZYQBOSA-N -1 1 305.787 1.238 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)[C@@H]1COC(C)(C)C1 ZINC000859048313 704790992 /nfs/dbraw/zinc/79/09/92/704790992.db2.gz FWBZVGODFSCZMW-RYUDHWBXSA-N -1 1 319.423 1.205 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)c2ccns2)o1 ZINC000859401288 704890692 /nfs/dbraw/zinc/89/06/92/704890692.db2.gz SQHGBFRFBCJHFS-SSDOTTSWSA-N -1 1 316.360 1.562 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1CC[C@](O)(c2ccc(F)cc2)C1 ZINC000874041086 704923950 /nfs/dbraw/zinc/92/39/50/704923950.db2.gz YAKLZBYYFZCCLF-MRXNPFEDSA-N -1 1 319.336 1.351 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C12CCC2 ZINC000867386346 706983830 /nfs/dbraw/zinc/98/38/30/706983830.db2.gz JOESRVKQHKDDKJ-UWVGGRQHSA-N -1 1 318.345 1.596 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC1=NC[C@H](C)S1)c2=O ZINC000822481402 704957434 /nfs/dbraw/zinc/95/74/34/704957434.db2.gz OVVJALOPYTYMFG-QMMMGPOBSA-N -1 1 302.359 1.865 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC3=NC[C@H](C)S3)cnc2n1 ZINC000822481402 704957436 /nfs/dbraw/zinc/95/74/36/704957436.db2.gz OVVJALOPYTYMFG-QMMMGPOBSA-N -1 1 302.359 1.865 20 0 DDADMM C[C@H](Oc1ccc(CN2C[C@@H]3OCCN(C)[C@H]3C2)cc1)C(=O)[O-] ZINC000833542776 707009392 /nfs/dbraw/zinc/00/93/92/707009392.db2.gz SOTCUJVRBKNDAC-RCBQFDQVSA-N -1 1 320.389 1.053 20 0 DDADMM CC1(C)C[C@]1(C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867530433 707030761 /nfs/dbraw/zinc/03/07/61/707030761.db2.gz WICAXJWFXNJNPJ-VIFPVBQESA-N -1 1 308.201 1.639 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN(Cc2cn[nH]c2)CC1 ZINC000823021265 705114226 /nfs/dbraw/zinc/11/42/26/705114226.db2.gz GZYVSGSJSPHUFI-UHFFFAOYSA-N -1 1 322.315 1.352 20 0 DDADMM Cc1cccc(O)c1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000860390134 705173651 /nfs/dbraw/zinc/17/36/51/705173651.db2.gz KLTDYQLURDLKLC-UHFFFAOYSA-N -1 1 305.252 1.926 20 0 DDADMM COc1ccc2c(c1)CC[C@H]2C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000860786235 705282270 /nfs/dbraw/zinc/28/22/70/705282270.db2.gz ZNRPLLKYCPPDOG-QMTHXVAHSA-N -1 1 315.377 1.500 20 0 DDADMM CCC(O)(CC)CN(C)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000861025301 705352541 /nfs/dbraw/zinc/35/25/41/705352541.db2.gz GLXBHKPIFCQHTD-UHFFFAOYSA-N -1 1 305.382 1.357 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@]2(C)CCCOC2)co1 ZINC000861046267 705358825 /nfs/dbraw/zinc/35/88/25/705358825.db2.gz ZNWQVORCXWLGAK-CYBMUJFWSA-N -1 1 317.363 1.161 20 0 DDADMM Cc1nc[nH]c1C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000824312579 705425035 /nfs/dbraw/zinc/42/50/35/705425035.db2.gz NZERZRCVSDHJMM-RKDXNWHRSA-N -1 1 318.299 1.390 20 0 DDADMM C[C@H]1CCC[C@@H]1CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875556970 705428603 /nfs/dbraw/zinc/42/86/03/705428603.db2.gz OKNAQAWSKFYOHC-GXSJLCMTSA-N -1 1 321.343 1.743 20 0 DDADMM CSc1nc(CNC(=O)[C@]23CCO[C@@H]2CCCC3)cc(=O)[n-]1 ZINC000824609266 705492962 /nfs/dbraw/zinc/49/29/62/705492962.db2.gz BYEOKYPANNUEMK-IAQYHMDHSA-N -1 1 323.418 1.870 20 0 DDADMM CCCOc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1C ZINC000861623689 705523221 /nfs/dbraw/zinc/52/32/21/705523221.db2.gz JLSHPCFRYWZZQG-UHFFFAOYSA-N -1 1 306.322 1.372 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)n[n-]1 ZINC000824887119 705551739 /nfs/dbraw/zinc/55/17/39/705551739.db2.gz IABBDYQIKPHJHQ-FIQHERPVSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)[n-]1 ZINC000824887119 705551742 /nfs/dbraw/zinc/55/17/42/705551742.db2.gz IABBDYQIKPHJHQ-FIQHERPVSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)n1 ZINC000824887119 705551744 /nfs/dbraw/zinc/55/17/44/705551744.db2.gz IABBDYQIKPHJHQ-FIQHERPVSA-N -1 1 320.393 1.985 20 0 DDADMM O=C([N-][C@H]1COCCC1=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000824975427 705570526 /nfs/dbraw/zinc/57/05/26/705570526.db2.gz HSRHJZBRLFBZCL-JTQLQIEISA-N -1 1 305.227 1.531 20 0 DDADMM CON(C)C(=O)[C@H](C)[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000825058244 705589646 /nfs/dbraw/zinc/58/96/46/705589646.db2.gz XXLJHNUOBDYFLA-ZETCQYMHSA-N -1 1 322.258 1.581 20 0 DDADMM CC(C)CN(C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C(C)C ZINC000876300339 705683068 /nfs/dbraw/zinc/68/30/68/705683068.db2.gz QWKLKSBQBNOTJL-UHFFFAOYSA-N -1 1 322.409 1.831 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CCN(C2CC2)C(=O)C1 ZINC000876392836 705707705 /nfs/dbraw/zinc/70/77/05/705707705.db2.gz AFBFKCSLMSHGOX-UHFFFAOYSA-N -1 1 323.780 1.562 20 0 DDADMM COC[C@@H]1C[C@@H](O)CN1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876398238 705708239 /nfs/dbraw/zinc/70/82/39/705708239.db2.gz FRKYNWQHWRDJOI-WDEREUQCSA-N -1 1 314.769 1.337 20 0 DDADMM CN1CCN(C(=O)NCc2ccc([O-])c(Cl)c2)CCC1=O ZINC000876398647 705708501 /nfs/dbraw/zinc/70/85/01/705708501.db2.gz JIAFBCXTHWPEIV-UHFFFAOYSA-N -1 1 311.769 1.419 20 0 DDADMM CC[C@@H](C)[C@](C)(O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000825972823 705753693 /nfs/dbraw/zinc/75/36/93/705753693.db2.gz DMCQSFBWARNURL-YMTOWFKASA-N -1 1 308.407 1.545 20 0 DDADMM Cc1onc(CC(=O)N(C(C)C)C2CCC2)c1-c1nnn[n-]1 ZINC000826342930 705792994 /nfs/dbraw/zinc/79/29/94/705792994.db2.gz GCKHYRVXWKAMPV-UHFFFAOYSA-N -1 1 304.354 1.495 20 0 DDADMM Cc1onc(CC(=O)N(C(C)C)C2CCC2)c1-c1nn[n-]n1 ZINC000826342930 705792999 /nfs/dbraw/zinc/79/29/99/705792999.db2.gz GCKHYRVXWKAMPV-UHFFFAOYSA-N -1 1 304.354 1.495 20 0 DDADMM Cc1onc(CC(=O)N[C@H](C)c2cccs2)c1-c1nnn[n-]1 ZINC000826345451 705793778 /nfs/dbraw/zinc/79/37/78/705793778.db2.gz LEBDCDJSEBWOBX-SSDOTTSWSA-N -1 1 318.362 1.645 20 0 DDADMM Cc1onc(CC(=O)N[C@H](C)c2cccs2)c1-c1nn[n-]n1 ZINC000826345451 705793781 /nfs/dbraw/zinc/79/37/81/705793781.db2.gz LEBDCDJSEBWOBX-SSDOTTSWSA-N -1 1 318.362 1.645 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)CCC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826343948 705793911 /nfs/dbraw/zinc/79/39/11/705793911.db2.gz RWQHDMBFQHZPAX-UWVGGRQHSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N2CC(C)CCCC2C)c1-c1nn[n-]n1 ZINC000826343948 705793913 /nfs/dbraw/zinc/79/39/13/705793913.db2.gz RWQHDMBFQHZPAX-UWVGGRQHSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)S[C@H](C)C2)c1-c1nnn[n-]1 ZINC000826343975 705793985 /nfs/dbraw/zinc/79/39/85/705793985.db2.gz SXVWAOPRRNRVCE-HTQZYQBOSA-N -1 1 322.394 1.058 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)S[C@H](C)C2)c1-c1nn[n-]n1 ZINC000826343975 705793986 /nfs/dbraw/zinc/79/39/86/705793986.db2.gz SXVWAOPRRNRVCE-HTQZYQBOSA-N -1 1 322.394 1.058 20 0 DDADMM Cc1onc(CC(=O)NCc2ccc(F)cc2)c1-c1nnn[n-]1 ZINC000826347841 705794418 /nfs/dbraw/zinc/79/44/18/705794418.db2.gz ILMDUJFFCMDWJD-UHFFFAOYSA-N -1 1 316.296 1.161 20 0 DDADMM Cc1onc(CC(=O)NCc2ccc(F)cc2)c1-c1nn[n-]n1 ZINC000826347841 705794422 /nfs/dbraw/zinc/79/44/22/705794422.db2.gz ILMDUJFFCMDWJD-UHFFFAOYSA-N -1 1 316.296 1.161 20 0 DDADMM Cc1onc(CC(=O)Nc2ccccc2O)c1-c1nnn[n-]1 ZINC000826348809 705795185 /nfs/dbraw/zinc/79/51/85/705795185.db2.gz GATCBJOZFNBCKX-UHFFFAOYSA-N -1 1 300.278 1.050 20 0 DDADMM Cc1onc(CC(=O)Nc2ccccc2O)c1-c1nn[n-]n1 ZINC000826348809 705795187 /nfs/dbraw/zinc/79/51/87/705795187.db2.gz GATCBJOZFNBCKX-UHFFFAOYSA-N -1 1 300.278 1.050 20 0 DDADMM Cn1c(=O)oc2ccc(C[N-]C(=O)C(F)(F)C(F)F)cc21 ZINC000863141217 705869195 /nfs/dbraw/zinc/86/91/95/705869195.db2.gz BZKHKHDPXPUDPV-UHFFFAOYSA-N -1 1 306.215 1.648 20 0 DDADMM O=C(N[C@@H]1CO[C@@H](C2CC2)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000863284804 705889724 /nfs/dbraw/zinc/88/97/24/705889724.db2.gz KAMFBTODKVKANE-WDEREUQCSA-N -1 1 304.375 1.315 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2ccccc2)CC1 ZINC000827356864 705985105 /nfs/dbraw/zinc/98/51/05/705985105.db2.gz UEUFPUXOAJWVHY-WBTMPAOCSA-N -1 1 302.374 1.707 20 0 DDADMM CC(C)(C)N1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1=O ZINC000864064933 706057399 /nfs/dbraw/zinc/05/73/99/706057399.db2.gz GEYMTWCRUVZBPE-LLVKDONJSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@@H]1CC[N@@H+](CC2=CCCOC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000827849623 706076198 /nfs/dbraw/zinc/07/61/98/706076198.db2.gz GHUQHUIIBPHTHX-PWSUYJOCSA-N -1 1 306.328 1.722 20 0 DDADMM Cc1cnn(C)c1CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000877774709 706205622 /nfs/dbraw/zinc/20/56/22/706205622.db2.gz FFABLMOHVYHVFT-GFCCVEGCSA-N -1 1 304.316 1.371 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCC(F)(F)C1 ZINC000872462571 707426080 /nfs/dbraw/zinc/42/60/80/707426080.db2.gz HWCMZLYFLYVXOL-CVJBHZAOSA-N -1 1 318.411 1.905 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C(C)=O)C(C)C)c1 ZINC000829294077 706315378 /nfs/dbraw/zinc/31/53/78/706315378.db2.gz NQRGEQCOCYFECA-BTYIYWSLSA-N -1 1 312.387 1.960 20 0 DDADMM C[C@H](N[C@H]1C[C@@H](NC(=O)[O-])C12CCC2)c1nnc2n1CCC2 ZINC000904230110 711414235 /nfs/dbraw/zinc/41/42/35/711414235.db2.gz YMKDCVZSTVSZJI-GARJFASQSA-N -1 1 305.382 1.454 20 0 DDADMM CC1(NC(=S)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000865275286 706393921 /nfs/dbraw/zinc/39/39/21/706393921.db2.gz JVUGWZFULMAXCX-LBPRGKRZSA-N -1 1 323.384 1.946 20 0 DDADMM CCC[C@H](NC(=O)C=CCOc1ccccc1)c1nn[n-]n1 ZINC000829801073 706398548 /nfs/dbraw/zinc/39/85/48/706398548.db2.gz MXHRCGFVOTWOOW-HKBVPSITSA-N -1 1 301.350 1.792 20 0 DDADMM CCC[C@H](NC(=O)/C=C\COc1ccccc1)c1nn[n-]n1 ZINC000829801073 706398551 /nfs/dbraw/zinc/39/85/51/706398551.db2.gz MXHRCGFVOTWOOW-HKBVPSITSA-N -1 1 301.350 1.792 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)CSc1nccn1C1CC1 ZINC000865351113 706411004 /nfs/dbraw/zinc/41/10/04/706411004.db2.gz WQSNDBLKKBJVNX-UHFFFAOYSA-N -1 1 321.324 1.948 20 0 DDADMM CC1(C)CO[C@@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000872547925 707471802 /nfs/dbraw/zinc/47/18/02/707471802.db2.gz CRSRSTPVKWLERC-MRVPVSSYSA-N -1 1 306.334 1.453 20 0 DDADMM C[C@@H](Cc1ccncc1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830791238 706580553 /nfs/dbraw/zinc/58/05/53/706580553.db2.gz QOQJNQABYLOSSW-QMMMGPOBSA-N -1 1 300.771 1.368 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)/C=C\[C@H]2CCCO2)C1 ZINC000830796121 706581464 /nfs/dbraw/zinc/58/14/64/706581464.db2.gz WKWNIQWUNVYVTM-KXQOAOKJSA-N -1 1 320.311 1.391 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCCSC2)C1 ZINC000830802671 706582691 /nfs/dbraw/zinc/58/26/91/706582691.db2.gz ZZVAEVFRKAEPEI-BXKDBHETSA-N -1 1 324.368 1.799 20 0 DDADMM C[C@](O)(C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CCC1 ZINC000830802091 706582716 /nfs/dbraw/zinc/58/27/16/706582716.db2.gz QZCYGDJKYMPCOJ-CHWSQXEVSA-N -1 1 322.327 1.207 20 0 DDADMM CO[C@]1(C)C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1(C)C ZINC000866904622 706840740 /nfs/dbraw/zinc/84/07/40/706840740.db2.gz SDHGADOTDTYQSW-NOZJJQNGSA-N -1 1 320.361 1.842 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)CC1(OC)CCC1 ZINC000866914769 706843798 /nfs/dbraw/zinc/84/37/98/706843798.db2.gz UMKKQYJVXRIPOZ-LBPRGKRZSA-N -1 1 319.423 1.207 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2CSCCS2)sn1 ZINC000866936490 706849646 /nfs/dbraw/zinc/84/96/46/706849646.db2.gz UNHXKZAMICBYCR-MRVPVSSYSA-N -1 1 310.491 1.578 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]2OCC[C@H]21)c1ccc(F)nc1F ZINC000866962866 706856921 /nfs/dbraw/zinc/85/69/21/706856921.db2.gz PXEYGNLLNMQCLQ-AEJSXWLSSA-N -1 1 318.345 1.596 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@H]1CCCO1 ZINC000867013649 706872523 /nfs/dbraw/zinc/87/25/23/706872523.db2.gz XWAVWWWFPNABEN-DTWKUNHWSA-N -1 1 306.334 1.596 20 0 DDADMM O=S(=O)([N-][C@H]([C@@H](CO)C1CC1)C1CC1)c1c[nH]nc1Cl ZINC000832233487 706877032 /nfs/dbraw/zinc/87/70/32/706877032.db2.gz ZNSXKBFIRKHMEK-ONGXEEELSA-N -1 1 319.814 1.139 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2ccc(F)nc2F)CCO[C@@H]1C1CC1 ZINC000867096982 706895164 /nfs/dbraw/zinc/89/51/64/706895164.db2.gz STJMQSQOCDYNRJ-YPMHNXCESA-N -1 1 318.345 1.596 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1ccc(Cl)cc1F ZINC000867132150 706906143 /nfs/dbraw/zinc/90/61/43/706906143.db2.gz UYYWSQUPLGKJSO-UHFFFAOYSA-N -1 1 314.791 1.541 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H]1CCO)c1ccc(Cl)nc1F ZINC000867135248 706907244 /nfs/dbraw/zinc/90/72/44/706907244.db2.gz UMMGAIJOFXMMQY-IUCAKERBSA-N -1 1 322.789 1.704 20 0 DDADMM CCc1ncc(C[N-]S(=O)(=O)c2ncn(C)c2Cl)o1 ZINC000832494006 706925834 /nfs/dbraw/zinc/92/58/34/706925834.db2.gz FKFXHKMKBTXKAH-UHFFFAOYSA-N -1 1 304.759 1.102 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@@H](C(F)F)C2)c1 ZINC000867237816 706934469 /nfs/dbraw/zinc/93/44/69/706934469.db2.gz XJGNDZZSVUYWER-SNVBAGLBSA-N -1 1 323.317 1.055 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)N=S(C)(C)=O)CC1(Cl)Cl ZINC000867350929 706971207 /nfs/dbraw/zinc/97/12/07/706971207.db2.gz VGUDZXIZBPQCMS-ZCFIWIBFSA-N -1 1 309.240 1.132 20 0 DDADMM C[C@H](CN(C)C(=O)C1([C@@H]2CCCCO2)CCC1)c1nn[n-]n1 ZINC000867380975 706982068 /nfs/dbraw/zinc/98/20/68/706982068.db2.gz LOFHHDYJOLHDCQ-NEPJUHHUSA-N -1 1 307.398 1.501 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2C[C@@H](O)c3ccccc32)sn1 ZINC000867515673 707024722 /nfs/dbraw/zinc/02/47/22/707024722.db2.gz ZICJKJFGFZOWSC-VXGBXAGGSA-N -1 1 310.400 1.908 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)CC1CC1)c1ccc(Cl)nc1F ZINC000867520080 707026534 /nfs/dbraw/zinc/02/65/34/707026534.db2.gz IWVJPUBZEMJFEB-SECBINFHSA-N -1 1 322.789 1.561 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867561613 707040309 /nfs/dbraw/zinc/04/03/09/707040309.db2.gz BZYJFNVUPOJCKS-SDCKUUTBSA-N -1 1 306.334 1.450 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@H]1c1ccsc1 ZINC000867563004 707040401 /nfs/dbraw/zinc/04/04/01/707040401.db2.gz CWFQJDZDXYAZMZ-YAXFVEMYSA-N -1 1 308.450 1.556 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867561614 707040407 /nfs/dbraw/zinc/04/04/07/707040407.db2.gz BZYJFNVUPOJCKS-UVMAFCGOSA-N -1 1 306.334 1.450 20 0 DDADMM CSc1nc(CNC(=O)c2occ3c2CCOC3)cc(=O)[n-]1 ZINC000880651838 707048497 /nfs/dbraw/zinc/04/84/97/707048497.db2.gz ZOVCJFUMDARAGN-UHFFFAOYSA-N -1 1 321.358 1.500 20 0 DDADMM CC(C)[C@@H](CO)ONC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000909054031 712926887 /nfs/dbraw/zinc/92/68/87/712926887.db2.gz LVXMIJSWOCHHAV-GFCCVEGCSA-N -1 1 308.309 1.758 20 0 DDADMM CC(=O)N1CC[C@@](C)(C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000834829361 707132787 /nfs/dbraw/zinc/13/27/87/707132787.db2.gz UXVBAFGSBLXUSV-OAHLLOKOSA-N -1 1 310.781 1.920 20 0 DDADMM CCc1ccc([C@@H]2CNCCN2C(=O)[C@@H]2CC[C@H]2C(=O)[O-])cc1 ZINC000871669385 707162132 /nfs/dbraw/zinc/16/21/32/707162132.db2.gz MLIPGPOVSAXFPD-OAGGEKHMSA-N -1 1 316.401 1.833 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CC[C@H](CF)C1)c2=O ZINC000881666060 707316259 /nfs/dbraw/zinc/31/62/59/707316259.db2.gz SUURSQNOVGSAIZ-SNVBAGLBSA-N -1 1 304.321 1.968 20 0 DDADMM O=C([O-])[C@H]1CSCCN1C(=O)NCc1ccc2cncn2c1 ZINC000909169001 712952618 /nfs/dbraw/zinc/95/26/18/712952618.db2.gz HJESNERWYJGJLL-GFCCVEGCSA-N -1 1 320.374 1.046 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C(=O)NCc1ccc2cncn2c1)C1CC1 ZINC000909173220 712954090 /nfs/dbraw/zinc/95/40/90/712954090.db2.gz ZJBDKVFXALWNMB-AWEZNQCLSA-N -1 1 316.361 1.872 20 0 DDADMM O=c1[n-]c(CNc2ccnc3ncccc23)nc2c1COCC2 ZINC000872626193 707520990 /nfs/dbraw/zinc/52/09/90/707520990.db2.gz FBROWYSCWXYXFD-UHFFFAOYSA-N -1 1 309.329 1.810 20 0 DDADMM C[C@H]1c2sccc2CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000882186363 707529587 /nfs/dbraw/zinc/52/95/87/707529587.db2.gz YERDIRCUEXUUQL-JTQLQIEISA-N -1 1 319.386 1.134 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCSC[C@@H]1C1CC1 ZINC000836857120 707531303 /nfs/dbraw/zinc/53/13/03/707531303.db2.gz XJFOMWFPSDPSSR-LLVKDONJSA-N -1 1 320.443 1.986 20 0 DDADMM COC1(CS(=O)(=O)[N-][C@]2(C)CC(C)(C)OC2=O)CCCC1 ZINC000882222765 707546223 /nfs/dbraw/zinc/54/62/23/707546223.db2.gz ABDGVDINXSYURZ-CYBMUJFWSA-N -1 1 319.423 1.349 20 0 DDADMM COCC1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCCC1 ZINC000837113327 707580547 /nfs/dbraw/zinc/58/05/47/707580547.db2.gz YZYINAFKUZYLHC-UHFFFAOYSA-N -1 1 320.418 1.955 20 0 DDADMM CC(C)(C)C[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000837167619 707589297 /nfs/dbraw/zinc/58/92/97/707589297.db2.gz ZAUACZQCPCGPRL-LBPRGKRZSA-N -1 1 307.394 1.211 20 0 DDADMM O=C(NCCNC(=O)C1CC1)NCc1ccc([O-])c(Cl)c1 ZINC000872836007 707620009 /nfs/dbraw/zinc/62/00/09/707620009.db2.gz POAOEAGZCROVKT-UHFFFAOYSA-N -1 1 311.769 1.371 20 0 DDADMM COC(=O)[C@@]1(O)CCN(C(=O)c2ccc3ccccc3c2[O-])C1 ZINC000882842109 707813967 /nfs/dbraw/zinc/81/39/67/707813967.db2.gz NDLQMQQHXKUWHV-QGZVFWFLSA-N -1 1 315.325 1.295 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@H]2C[C@@H](OC)C2)c1 ZINC000873403069 707838976 /nfs/dbraw/zinc/83/89/76/707838976.db2.gz CSKLMYWRDCNSEH-FKQSZABXSA-N -1 1 312.387 1.771 20 0 DDADMM C=C/C=C\CC[N@@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000883409338 707993930 /nfs/dbraw/zinc/99/39/30/707993930.db2.gz UXEPIGXQSSIODM-CBZSPVTBSA-N -1 1 320.311 1.715 20 0 DDADMM C[C@@H](C(=O)N[C@@]1(C(=O)[O-])CCSC1)N1CCCCCC1 ZINC000909353408 712998625 /nfs/dbraw/zinc/99/86/25/712998625.db2.gz VAIQLLRCJDZQED-FZMZJTMJSA-N -1 1 300.424 1.327 20 0 DDADMM CC(C)N1CCC[C@H]1C(=O)N[C@@H](C(=O)[O-])c1ccccc1F ZINC000909359077 712999864 /nfs/dbraw/zinc/99/98/64/712999864.db2.gz FZOUQKBEMHPDJA-UONOGXRCSA-N -1 1 308.353 1.940 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](CC(=O)[O-])c2ccccc2C)[nH]n1 ZINC000909375905 713003504 /nfs/dbraw/zinc/00/35/04/713003504.db2.gz NHFXBGPITBZYAZ-AWEZNQCLSA-N -1 1 301.346 1.901 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC[C@@H](O)C1CC1 ZINC000896755136 708142940 /nfs/dbraw/zinc/14/29/40/708142940.db2.gz PYVYVRUGKCZRBB-CQSZACIVSA-N -1 1 314.332 1.673 20 0 DDADMM O=C(Nc1ccc2[n-]c(=S)oc2c1)C1CN([C@@H]2CCOC2)C1 ZINC000896995012 708202476 /nfs/dbraw/zinc/20/24/76/708202476.db2.gz FWRMRZHRYZTRIW-LLVKDONJSA-N -1 1 319.386 1.776 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccns1)C(=O)OC(C)(C)C ZINC000885062453 708459479 /nfs/dbraw/zinc/45/94/79/708459479.db2.gz VRXKJIRZXBTCMZ-MRVPVSSYSA-N -1 1 306.409 1.542 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CC[C@H](C)C[C@H]1C ZINC000912540963 713032841 /nfs/dbraw/zinc/03/28/41/713032841.db2.gz ANAUULXTQBBDQR-IQJOONFLSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)[C@H](C[N-]S(=O)(=O)c1ccns1)CC1CC1 ZINC000885272135 708507300 /nfs/dbraw/zinc/50/73/00/708507300.db2.gz LMWWUASJSGAYEM-VIFPVBQESA-N -1 1 304.393 1.011 20 0 DDADMM Cc1cccc2c1C[C@@H]([N-]S(=O)(=O)c1ccns1)CO2 ZINC000885315647 708518093 /nfs/dbraw/zinc/51/80/93/708518093.db2.gz CUCUTLMQULGVDU-SNVBAGLBSA-N -1 1 310.400 1.734 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)C1CCCC1 ZINC000912554355 713035434 /nfs/dbraw/zinc/03/54/34/713035434.db2.gz YCOHPVPUVXCTOC-QMMMGPOBSA-N -1 1 301.368 1.053 20 0 DDADMM O=C(CN1CSCC1=O)Nc1cc(F)c([O-])cc1Cl ZINC000885673996 708594643 /nfs/dbraw/zinc/59/46/43/708594643.db2.gz BVMDOLDKAQPBHI-UHFFFAOYSA-N -1 1 304.730 1.656 20 0 DDADMM COC[C@H]1C[C@@H](O)CN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898274647 708594739 /nfs/dbraw/zinc/59/47/39/708594739.db2.gz DNRWPAOMGODKJF-DGCLKSJQSA-N -1 1 317.341 1.473 20 0 DDADMM CO[C@]1(C(=O)OCCC[N-]C(=O)C(F)(F)F)CCSC1 ZINC000885755731 708619091 /nfs/dbraw/zinc/61/90/91/708619091.db2.gz QGPDLHRQKIDPJE-SNVBAGLBSA-N -1 1 315.313 1.120 20 0 DDADMM O=C(NCCc1cccc2c1OCCO2)c1ncccc1[O-] ZINC000885776029 708625892 /nfs/dbraw/zinc/62/58/92/708625892.db2.gz AWZOEZNSENXLCS-UHFFFAOYSA-N -1 1 300.314 1.531 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)C(F)(F)F)c1ccc(Cl)nc1 ZINC000885828318 708634464 /nfs/dbraw/zinc/63/44/64/708634464.db2.gz GHEAFGDZOTVZNY-ZETCQYMHSA-N -1 1 318.704 1.327 20 0 DDADMM O=C(NCCN=S1(=O)CCCC1)c1ccc([O-])c(F)c1 ZINC000898428843 708637044 /nfs/dbraw/zinc/63/70/44/708637044.db2.gz UJAXEEKJXCVFRF-UHFFFAOYSA-N -1 1 300.355 1.523 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)c2ccccc2C)n[n-]1 ZINC000898434831 708639166 /nfs/dbraw/zinc/63/91/66/708639166.db2.gz AOGLRCKBQBNOOQ-NSHDSACASA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)c2ccccc2C)n1 ZINC000898434831 708639168 /nfs/dbraw/zinc/63/91/68/708639168.db2.gz AOGLRCKBQBNOOQ-NSHDSACASA-N -1 1 316.361 1.710 20 0 DDADMM CO[C@]1(C(=O)NCc2cc(=O)[n-]c(SC)n2)CCSC1 ZINC000898526625 708668276 /nfs/dbraw/zinc/66/82/76/708668276.db2.gz TYWWQYMYLJVAOL-GFCCVEGCSA-N -1 1 315.420 1.042 20 0 DDADMM CCn1nc(C(=O)NCCc2c(F)cc([O-])cc2F)ccc1=O ZINC000886264987 708732162 /nfs/dbraw/zinc/73/21/62/708732162.db2.gz TVFKOTFCLASBOX-UHFFFAOYSA-N -1 1 323.299 1.220 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CC[C@@H](CO)C1 ZINC000927783156 713054736 /nfs/dbraw/zinc/05/47/36/713054736.db2.gz VFDBNORYFKFVLH-SECBINFHSA-N -1 1 300.305 1.237 20 0 DDADMM CN(C(=O)Cc1cc(C(F)(F)F)cc(Cl)n1)c1nn[n-]n1 ZINC000912618387 713052641 /nfs/dbraw/zinc/05/26/41/713052641.db2.gz RZDNMORHAKRRJL-UHFFFAOYSA-N -1 1 320.662 1.472 20 0 DDADMM O=C(NCCS(=O)(=O)C(F)(F)F)c1cc(F)ccc1[O-] ZINC000898684664 708836004 /nfs/dbraw/zinc/83/60/04/708836004.db2.gz VRUVHQRFOLMCIN-UHFFFAOYSA-N -1 1 315.244 1.196 20 0 DDADMM Cc1ccc([C@@H]2C[C@H]2C(=O)NCc2nc([O-])cc(=O)[nH]2)cc1C ZINC000898754350 708855719 /nfs/dbraw/zinc/85/57/19/708855719.db2.gz GYJRDYFRVITWFF-QWHCGFSZSA-N -1 1 313.357 1.925 20 0 DDADMM CC1(C)[C@H](C(=O)NCc2nc([O-])cc(=O)[nH]2)[C@H]1c1ccccc1 ZINC000898755566 708856057 /nfs/dbraw/zinc/85/60/57/708856057.db2.gz USXIHDHADXJDJT-CABCVRRESA-N -1 1 313.357 1.944 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc([O-])cc2F)CCS1(=O)=O ZINC000887179552 708982403 /nfs/dbraw/zinc/98/24/03/708982403.db2.gz IMXHWJAUYFRUOU-IUCAKERBSA-N -1 1 301.339 1.227 20 0 DDADMM O=C(COC(=O)CSC(F)F)[N-]C(=O)c1ccccc1 ZINC000899316996 709056556 /nfs/dbraw/zinc/05/65/56/709056556.db2.gz MOTJDMGSVKMOBA-UHFFFAOYSA-N -1 1 303.286 1.442 20 0 DDADMM O=C([O-])Cc1ccc(-n2[nH]c(C3CCOCC3)cc2=O)cc1 ZINC000899356800 709066468 /nfs/dbraw/zinc/06/64/68/709066468.db2.gz TXPIWGLWWWXXNJ-UHFFFAOYSA-N -1 1 302.330 1.833 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)[C@@H]2CCO[C@H]2C2CC2)n[nH]1 ZINC000888120088 709218078 /nfs/dbraw/zinc/21/80/78/709218078.db2.gz BAPXEKUBFSRYDJ-MFKMUULPSA-N -1 1 307.350 1.729 20 0 DDADMM CN=[S@](C)(=O)CCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000912781311 713090833 /nfs/dbraw/zinc/09/08/33/713090833.db2.gz JALILDMGEPJVSL-JOCHJYFZSA-N -1 1 322.386 1.708 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCC(=O)N2CCC[C@H]21 ZINC000888172302 709233137 /nfs/dbraw/zinc/23/31/37/709233137.db2.gz IYEQBFQOPACYFV-SECBINFHSA-N -1 1 322.390 1.007 20 0 DDADMM O=C(NCCN1CCOCC1)c1c([O-])cnc2c(F)cccc21 ZINC000899928643 709239809 /nfs/dbraw/zinc/23/98/09/709239809.db2.gz LPXCJRWFJJEVOU-UHFFFAOYSA-N -1 1 319.336 1.142 20 0 DDADMM O=C(Nc1ccnn1[C@H]1CCOC1)C(=O)c1ccc([O-])cc1 ZINC000888594466 709346787 /nfs/dbraw/zinc/34/67/87/709346787.db2.gz FVVQWSBELHOHPG-NSHDSACASA-N -1 1 301.302 1.372 20 0 DDADMM CO[C@@H]1CCOC[C@H]1NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000889189410 709460369 /nfs/dbraw/zinc/46/03/69/709460369.db2.gz UERAXHJVMLDERZ-ZYHUDNBSSA-N -1 1 319.279 1.945 20 0 DDADMM CO[C@@H]1CCOC[C@H]1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000889190075 709460557 /nfs/dbraw/zinc/46/05/57/709460557.db2.gz VJSXBMZHCVGZAC-ZYHUDNBSSA-N -1 1 319.279 1.945 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1CC1(Cl)Cl)c1nn[n-]n1 ZINC000912859710 713109644 /nfs/dbraw/zinc/10/96/44/713109644.db2.gz DVMHNKVXYAFAKE-RITPCOANSA-N -1 1 310.210 1.304 20 0 DDADMM CSCC[C@H](NC(=O)CC[C@@H]1CC[C@@H](C)O1)c1nn[n-]n1 ZINC000912861241 713110141 /nfs/dbraw/zinc/11/01/41/713110141.db2.gz HWCUNHFVHNNCCI-VWYCJHECSA-N -1 1 313.427 1.458 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000909677126 709571403 /nfs/dbraw/zinc/57/14/03/709571403.db2.gz DVYWYSQPFCFOEL-OXIWPEFWSA-N -1 1 303.362 1.541 20 0 DDADMM CCN(CC(=O)NC[C@H](C(=O)[O-])c1ccc(F)cc1)C1CC1 ZINC000909703197 709587803 /nfs/dbraw/zinc/58/78/03/709587803.db2.gz NIOPLAJTFNQYFV-AWEZNQCLSA-N -1 1 308.353 1.594 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@H]1CCC[C@@H]1C(=O)[O-] ZINC000909720150 709595282 /nfs/dbraw/zinc/59/52/82/709595282.db2.gz KGSFYSKBLVVCOS-LSDHHAIUSA-N -1 1 304.390 1.530 20 0 DDADMM CC[C@H](CSC)N(C)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909726790 709597866 /nfs/dbraw/zinc/59/78/66/709597866.db2.gz ZWUNDEXRXJCXHE-VXGBXAGGSA-N -1 1 302.440 1.383 20 0 DDADMM COc1ccc(F)cc1CNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909727881 709598097 /nfs/dbraw/zinc/59/80/97/709598097.db2.gz JUSZMBXDGBTPMO-NSHDSACASA-N -1 1 324.352 1.247 20 0 DDADMM C[C@@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)[C@@H](C(=O)[O-])C1 ZINC000909784721 709619391 /nfs/dbraw/zinc/61/93/91/709619391.db2.gz YPZLGHYAMNOTOC-HTRCEHHLSA-N -1 1 305.256 1.754 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)[C@H](C(=O)[O-])C1 ZINC000909784748 709619598 /nfs/dbraw/zinc/61/95/98/709619598.db2.gz ZIZLQEINOBFFDM-WFFHOREQSA-N -1 1 305.378 1.787 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@@H]1C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889785677 709634812 /nfs/dbraw/zinc/63/48/12/709634812.db2.gz IIMVKMMISQFMAF-VCDKRKBESA-N -1 1 321.377 1.525 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cc(F)c[nH]2)[n-]c1=O ZINC000889785701 709634953 /nfs/dbraw/zinc/63/49/53/709634953.db2.gz HICXAGVXMMANLC-SNVBAGLBSA-N -1 1 306.297 1.635 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2C[C@H](C)O[C@@H]2C)[n-]c1=O ZINC000889786296 709635362 /nfs/dbraw/zinc/63/53/62/709635362.db2.gz LHPZCIPEOLVLQF-QCNOEVLYSA-N -1 1 321.377 1.668 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H]2CCCSC2)[n-]c1=O ZINC000889788299 709636404 /nfs/dbraw/zinc/63/64/04/709636404.db2.gz ZWPCBFWGUSAJHF-WDEREUQCSA-N -1 1 323.418 1.998 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cccc(O)c2)[n-]c1=O ZINC000889795271 709639645 /nfs/dbraw/zinc/63/96/45/709639645.db2.gz JMEJNMPHNVURFD-GFCCVEGCSA-N -1 1 315.329 1.874 20 0 DDADMM CN(CC(=O)N[C@@H](CC(C)(C)C)C(=O)[O-])[C@H]1CCSC1 ZINC000909877832 709665304 /nfs/dbraw/zinc/66/53/04/709665304.db2.gz KPAADLUZVALZES-QWRGUYRKSA-N -1 1 302.440 1.429 20 0 DDADMM COCCO[C@]12CCC[C@@]1(NC(=O)c1cncc([O-])c1)CCO2 ZINC000889938508 709680197 /nfs/dbraw/zinc/68/01/97/709680197.db2.gz KBVUCYJZORWGPX-HZPDHXFCSA-N -1 1 322.361 1.219 20 0 DDADMM CCNc1ccc(C)cc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000900623664 709682949 /nfs/dbraw/zinc/68/29/49/709682949.db2.gz BUEBHRNWBPFRPC-CYBMUJFWSA-N -1 1 316.365 1.154 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@@H](NC(=O)CN(C)C2CCC2)C(=O)[O-])C1 ZINC000909910772 709683802 /nfs/dbraw/zinc/68/38/02/709683802.db2.gz BNNQNDORGBAERB-NJZAAPMLSA-N -1 1 312.410 1.245 20 0 DDADMM CCN(CC(=O)N[C@H](C(=O)[O-])[C@@H]1CCC[C@@H](OC)C1)C1CC1 ZINC000909915768 709687369 /nfs/dbraw/zinc/68/73/69/709687369.db2.gz VQHIJWZRVZXPQW-KYOSRNDESA-N -1 1 312.410 1.245 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000909938952 709697175 /nfs/dbraw/zinc/69/71/75/709697175.db2.gz UIPQGQCGRXUCOR-LLVKDONJSA-N -1 1 321.255 1.134 20 0 DDADMM CCN(CC(=O)NC)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900686049 709711499 /nfs/dbraw/zinc/71/14/99/709711499.db2.gz CXAAPHXCXIYGEZ-UHFFFAOYSA-N -1 1 305.309 1.288 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)C1(n2cnnn2)CCCCC1 ZINC000909988459 709722008 /nfs/dbraw/zinc/72/20/08/709722008.db2.gz LJZHHKJGINTDJJ-UHFFFAOYSA-N -1 1 323.303 1.955 20 0 DDADMM O=C([O-])CN(C(=O)c1cc(C(F)(F)F)[nH]n1)C1CCCC1 ZINC000910065283 709761930 /nfs/dbraw/zinc/76/19/30/709761930.db2.gz ZFJPXOYMJASESO-UHFFFAOYSA-N -1 1 305.256 1.898 20 0 DDADMM COc1nsc(C[N-]C(=O)C(F)F)c1Br ZINC000912947826 713131274 /nfs/dbraw/zinc/13/12/74/713131274.db2.gz CUJFUYSXCNPJFX-UHFFFAOYSA-N -1 1 301.112 1.796 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CC([C@H](F)C(=O)[O-])C1)c1ccccc1 ZINC000910127932 709783312 /nfs/dbraw/zinc/78/33/12/709783312.db2.gz HXLQAWAYQRGBJR-UONOGXRCSA-N -1 1 308.353 1.561 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C[C@@H](O)CC(C)(C)C)CC1 ZINC000910173581 709797247 /nfs/dbraw/zinc/79/72/47/709797247.db2.gz NCXIVWZGYAEISQ-QWHCGFSZSA-N -1 1 314.426 1.181 20 0 DDADMM C[C@H](CC[S@@](C)=O)NC(=O)c1ncc2ccccc2c1[O-] ZINC000900881860 709808252 /nfs/dbraw/zinc/80/82/52/709808252.db2.gz KGUHERMDSFRMBB-LADRHHBVSA-N -1 1 306.387 1.827 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)CC[S@](C)=O ZINC000900887812 709810939 /nfs/dbraw/zinc/81/09/39/709810939.db2.gz GHEOFKKPRZVSTA-BTKVJGODSA-N -1 1 313.423 1.501 20 0 DDADMM COc1cccc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1C ZINC000910223755 709823751 /nfs/dbraw/zinc/82/37/51/709823751.db2.gz AGSDBKZPQWWBAH-LBPRGKRZSA-N -1 1 306.362 1.739 20 0 DDADMM Cc1c(C(=O)[O-])sc2[nH]cnc(=N[C@@H]3CC[N@@H+](C)[C@@H]3C)c12 ZINC000910355368 709907800 /nfs/dbraw/zinc/90/78/00/709907800.db2.gz KSOWYUWUZRIGJT-RKDXNWHRSA-N -1 1 306.391 1.624 20 0 DDADMM Cc1c(C(=O)[O-])sc2[nH]cnc(=N[C@@H]3CCN(C)[C@@H]3C)c12 ZINC000910355368 709907804 /nfs/dbraw/zinc/90/78/04/709907804.db2.gz KSOWYUWUZRIGJT-RKDXNWHRSA-N -1 1 306.391 1.624 20 0 DDADMM O=C(NC[C@H](O)c1ccsc1)c1cnc(C2CC2)[n-]c1=O ZINC000901079407 709916544 /nfs/dbraw/zinc/91/65/44/709916544.db2.gz XZWBTZUURVCYMG-NSHDSACASA-N -1 1 305.359 1.585 20 0 DDADMM CC1CCN(CC(=O)N[C@@H](CC2CCOCC2)C(=O)[O-])CC1 ZINC000910450629 709948788 /nfs/dbraw/zinc/94/87/88/709948788.db2.gz CBNARQUNHNCIHD-AWEZNQCLSA-N -1 1 312.410 1.104 20 0 DDADMM CC(C)[C@@H]1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000901315388 710007590 /nfs/dbraw/zinc/00/75/90/710007590.db2.gz SGERSADSLYWARE-YPMHNXCESA-N -1 1 305.378 1.993 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2nccc3cc[nH]c32)C1 ZINC000910685189 710046754 /nfs/dbraw/zinc/04/67/54/710046754.db2.gz NHYVDQLXPFIGDS-NSHDSACASA-N -1 1 302.334 1.298 20 0 DDADMM C[C@H]1CN(Cc2ccc(OCC(=O)[O-])cc2)C[C@]2(CCOC2)O1 ZINC000901469317 710048664 /nfs/dbraw/zinc/04/86/64/710048664.db2.gz KGRFPBVVSCPTLR-GUYCJALGSA-N -1 1 321.373 1.530 20 0 DDADMM COc1cccc([C@@H](C(=O)[O-])N(C)C(=O)c2cnc(C)[nH]2)c1 ZINC000910763504 710068162 /nfs/dbraw/zinc/06/81/62/710068162.db2.gz AQDJTCPDTVVYHL-ZDUSSCGKSA-N -1 1 303.318 1.625 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1COC[C@H]1C1CC1 ZINC000891062396 710076317 /nfs/dbraw/zinc/07/63/17/710076317.db2.gz YLINASXXIKPLIS-NWDGAFQWSA-N -1 1 305.378 1.769 20 0 DDADMM C[C@@H]1CCCN(C(=O)CN(C)CCc2ccccc2)[C@H]1C(=O)[O-] ZINC000901695138 710121421 /nfs/dbraw/zinc/12/14/21/710121421.db2.gz KQVSFEVVVDMFJI-RHSMWYFYSA-N -1 1 318.417 1.873 20 0 DDADMM O=C(C[C@@H]1CCS(=O)(=O)C1)Nc1cc(F)cc(F)c1[O-] ZINC000910980764 710139681 /nfs/dbraw/zinc/13/96/81/710139681.db2.gz YKINQLATFGENIP-ZETCQYMHSA-N -1 1 305.302 1.434 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])c1cn(C[C@H]2CCOC2)nn1 ZINC000910990614 710143599 /nfs/dbraw/zinc/14/35/99/710143599.db2.gz DTJHSTNWKFGCOV-MRVPVSSYSA-N -1 1 324.287 1.551 20 0 DDADMM COc1cccc([C@@H](CNC(=O)[C@]2(C(=O)[O-])C[C@H]2C)N(C)C)c1 ZINC000901797027 710150118 /nfs/dbraw/zinc/15/01/18/710150118.db2.gz YITKJJWBFTYCPB-ZLENFMNRSA-N -1 1 320.389 1.525 20 0 DDADMM C[C@H](Cc1cnn(C)c1)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891614670 710248974 /nfs/dbraw/zinc/24/89/74/710248974.db2.gz OALBBJCDBPTGRC-SNVBAGLBSA-N -1 1 315.377 1.612 20 0 DDADMM CO[C@H]1COCC[C@@H]1CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891615032 710249171 /nfs/dbraw/zinc/24/91/71/710249171.db2.gz PDUQPRAFHSDUTG-MFKMUULPSA-N -1 1 321.377 1.441 20 0 DDADMM Cc1cnn(CCC(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)c1 ZINC000891625446 710251740 /nfs/dbraw/zinc/25/17/40/710251740.db2.gz XZDIFCHKTLBSPI-UHFFFAOYSA-N -1 1 301.350 1.595 20 0 DDADMM O=C(N[C@H]1COCCC1=O)c1c([O-])cnc2c(F)cccc21 ZINC000913148041 713171222 /nfs/dbraw/zinc/17/12/22/713171222.db2.gz CGPPHOYWLYLDOO-JTQLQIEISA-N -1 1 304.277 1.167 20 0 DDADMM COCc1nc(NC[C@H](CO)Cc2ccc(F)cc2)cc(=O)[n-]1 ZINC000891788025 710295378 /nfs/dbraw/zinc/29/53/78/710295378.db2.gz YSHLQXUDAXBCNZ-GFCCVEGCSA-N -1 1 321.352 1.731 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)NC[C@H](CC(=O)[O-])C3CC3)c2C1 ZINC000902086873 710610277 /nfs/dbraw/zinc/61/02/77/710610277.db2.gz HXMOLCDNAQZXMM-KOLCDFICSA-N -1 1 305.378 1.765 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NC[C@H](CC(=O)[O-])C1CC1 ZINC000902086852 710610464 /nfs/dbraw/zinc/61/04/64/710610464.db2.gz HONAPESFDMERBN-INIZCTEOSA-N -1 1 318.417 1.778 20 0 DDADMM COCc1nc(N[C@H]2CC(=O)N(c3ccccc3)C2)cc(=O)[n-]1 ZINC000893445534 710656890 /nfs/dbraw/zinc/65/68/90/710656890.db2.gz MPCSXOLESVPGII-NSHDSACASA-N -1 1 314.345 1.546 20 0 DDADMM O=C([O-])C[C@H](NC(=O)c1n[nH]c2ccccc21)C(F)(F)F ZINC000911152874 710657695 /nfs/dbraw/zinc/65/76/95/710657695.db2.gz HQPZCCATJFZNPU-QMMMGPOBSA-N -1 1 301.224 1.698 20 0 DDADMM COc1ccccc1C(C)(C)CNCc1cn(CC(=O)[O-])nn1 ZINC000902190244 710657776 /nfs/dbraw/zinc/65/77/76/710657776.db2.gz GFNMFSZEVIJTOW-UHFFFAOYSA-N -1 1 318.377 1.439 20 0 DDADMM O=C([O-])C[C@H](NC(=O)[C@H]1CCCc2[nH]ncc21)C(F)(F)F ZINC000911160229 710662551 /nfs/dbraw/zinc/66/25/51/710662551.db2.gz BXZAMTRPAMMYDT-RCOVLWMOSA-N -1 1 305.256 1.351 20 0 DDADMM CCO[C@@H]1C[C@H](NCc2cccc(C(=O)[O-])n2)[C@]12CCCO2 ZINC000902440702 710755631 /nfs/dbraw/zinc/75/56/31/710755631.db2.gz VWNLLXHHAKEATA-SQWLQELKSA-N -1 1 306.362 1.596 20 0 DDADMM Cc1cc2n[nH]cc2cc1NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000911371921 710766991 /nfs/dbraw/zinc/76/69/91/710766991.db2.gz UNGALKNOHVRKSB-NSHDSACASA-N -1 1 316.361 1.606 20 0 DDADMM CC(C)[C@H]1CN(C(=O)Cc2ccccc2C(=O)[O-])CCN1C ZINC000902488373 710768959 /nfs/dbraw/zinc/76/89/59/710768959.db2.gz ICFMTFNLISEUCQ-OAHLLOKOSA-N -1 1 304.390 1.726 20 0 DDADMM C[N@H+]1CCN(C(=O)c2cccc(OCC(=O)[O-])c2)C(C)(C)C1 ZINC000911395126 710777276 /nfs/dbraw/zinc/77/72/76/710777276.db2.gz LWPSQVNMTSLEFV-UHFFFAOYSA-N -1 1 306.362 1.316 20 0 DDADMM CN1CCN(C(=O)c2cccc(OCC(=O)[O-])c2)C(C)(C)C1 ZINC000911395126 710777281 /nfs/dbraw/zinc/77/72/81/710777281.db2.gz LWPSQVNMTSLEFV-UHFFFAOYSA-N -1 1 306.362 1.316 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)N2CC[C@@H](C3CCCC3)C2)C1 ZINC000911497622 710823875 /nfs/dbraw/zinc/82/38/75/710823875.db2.gz YAGFZUPIDKCLFU-HUUCEWRRSA-N -1 1 308.422 1.822 20 0 DDADMM COCc1nc(N2CC[C@](CO)(c3ccccc3)C2)cc(=O)[n-]1 ZINC000893934135 710891889 /nfs/dbraw/zinc/89/18/89/710891889.db2.gz VSYRTGLNRZGXAN-KRWDZBQOSA-N -1 1 315.373 1.469 20 0 DDADMM COCc1nc(N[C@H]2CCO[C@@H](c3nccn3C)C2)cc(=O)[n-]1 ZINC000894129688 710979788 /nfs/dbraw/zinc/97/97/88/710979788.db2.gz UDRJXZFKNZSVQH-WDEREUQCSA-N -1 1 319.365 1.394 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN[C@@H](c2cn[nH]c2)C1 ZINC000913453985 713222865 /nfs/dbraw/zinc/22/28/65/713222865.db2.gz WRHUORWEHZYDCS-SNVBAGLBSA-N -1 1 308.288 1.180 20 0 DDADMM CCOC(=O)[C@@](C)([N-]S(=O)(=O)c1ccoc1)C(F)(F)F ZINC000903230175 711074466 /nfs/dbraw/zinc/07/44/66/711074466.db2.gz WLGMTUHXQRUTMJ-SECBINFHSA-N -1 1 315.269 1.442 20 0 DDADMM Cc1cccc(F)c1[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC000903618807 711226667 /nfs/dbraw/zinc/22/66/67/711226667.db2.gz PXBGONIHRHNOHV-SFHVURJKSA-N -1 1 309.388 1.365 20 0 DDADMM O=C(C[C@H]1CCc2ccccc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495321 713237848 /nfs/dbraw/zinc/23/78/48/713237848.db2.gz VVPCBTRYOODAAL-OCCSQVGLSA-N -1 1 313.361 1.220 20 0 DDADMM Cc1ccc2cc(C(=O)N3CCOC[C@@H]3c3nn[n-]n3)ccc2n1 ZINC000913496100 713238502 /nfs/dbraw/zinc/23/85/02/713238502.db2.gz SJELKCKMRDCJLO-CQSZACIVSA-N -1 1 324.344 1.270 20 0 DDADMM Cc1ccsc1CCCC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495887 713238594 /nfs/dbraw/zinc/23/85/94/713238594.db2.gz PDMIEEWTMPEZDH-NSHDSACASA-N -1 1 321.406 1.492 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1c2ccccc2C[C@H]1CO ZINC000912312139 711273273 /nfs/dbraw/zinc/27/32/73/711273273.db2.gz RTSSHUXZJHXVBT-LBPRGKRZSA-N -1 1 311.341 1.623 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=CC2CCCC2)n[n-]1 ZINC000912363000 711292572 /nfs/dbraw/zinc/29/25/72/711292572.db2.gz IGFBZVQZAFFQIX-HSTULFTRSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=CC2CCCC2)[n-]1 ZINC000912363000 711292578 /nfs/dbraw/zinc/29/25/78/711292578.db2.gz IGFBZVQZAFFQIX-HSTULFTRSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)/C=C\C2CCCC2)n1 ZINC000912363000 711292583 /nfs/dbraw/zinc/29/25/83/711292583.db2.gz IGFBZVQZAFFQIX-HSTULFTRSA-N -1 1 306.366 1.905 20 0 DDADMM O=C([O-])N[C@@H]1C[C@H](NCc2nnc3n2CCCCC3)C12CCC2 ZINC000904206093 711408589 /nfs/dbraw/zinc/40/85/89/711408589.db2.gz ZJUDSPYGBGEVFP-NWDGAFQWSA-N -1 1 319.409 1.673 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NCc2ccn(C)n2)n1 ZINC000895105194 711414973 /nfs/dbraw/zinc/41/49/73/711414973.db2.gz QSNBZYDYFAQIDB-GFCCVEGCSA-N -1 1 320.397 1.592 20 0 DDADMM Cc1csc(C[C@@H]2CCN(Cc3cc(C(=O)[O-])nn3C)C2)n1 ZINC000904261623 711420077 /nfs/dbraw/zinc/42/00/77/711420077.db2.gz HXNSGVUINDABTD-NSHDSACASA-N -1 1 320.418 1.948 20 0 DDADMM O=C([O-])COCCOCCNCc1ccc(F)cc1Cl ZINC000904272152 711421114 /nfs/dbraw/zinc/42/11/14/711421114.db2.gz LLXLBUJRWJAYNW-UHFFFAOYSA-N -1 1 305.733 1.687 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000895791977 711607423 /nfs/dbraw/zinc/60/74/23/711607423.db2.gz WMUFAXHVGFAVKJ-NSHDSACASA-N -1 1 315.325 1.886 20 0 DDADMM COC(=O)C12CCC(CC1)N2C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000913667937 713278123 /nfs/dbraw/zinc/27/81/23/713278123.db2.gz PAGNFFGLNRXHRM-UHFFFAOYSA-N -1 1 317.345 1.370 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1Cc1ccc(N)nn1 ZINC000904377027 711849308 /nfs/dbraw/zinc/84/93/08/711849308.db2.gz HRPMLQLVZGIMPH-PSASIEDQSA-N -1 1 317.315 1.090 20 0 DDADMM Cc1ccc(-c2noc([C@]3(C(=O)[O-])CNCCO3)n2)cc1Cl ZINC000904724502 711887245 /nfs/dbraw/zinc/88/72/45/711887245.db2.gz ONUPJEQJXUXQHJ-AWEZNQCLSA-N -1 1 323.736 1.598 20 0 DDADMM COCCOCc1cc(=O)n(-c2ccc(C(=O)[O-])c(F)c2)[nH]1 ZINC000905020306 711911356 /nfs/dbraw/zinc/91/13/56/711911356.db2.gz GKFBNZSOPOYRDJ-UHFFFAOYSA-N -1 1 310.281 1.280 20 0 DDADMM COc1ccc(C=CC(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000913742089 713291800 /nfs/dbraw/zinc/29/18/00/713291800.db2.gz GMIICNMJWMWYJW-QPJJXVBHSA-N -1 1 313.361 1.628 20 0 DDADMM Cc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(F)cc1F ZINC000913743714 713292378 /nfs/dbraw/zinc/29/23/78/713292378.db2.gz IGLJTGNPRVCULT-UHFFFAOYSA-N -1 1 307.304 1.806 20 0 DDADMM CCCCn1ncc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1C ZINC000913743966 713292626 /nfs/dbraw/zinc/29/26/26/713292626.db2.gz UILBTHZCRUOTFJ-UHFFFAOYSA-N -1 1 317.397 1.525 20 0 DDADMM CCn1cc(CCS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)cn1 ZINC000905252029 711980495 /nfs/dbraw/zinc/98/04/95/711980495.db2.gz HLFFQVZTPZCJLK-UHFFFAOYSA-N -1 1 311.411 1.335 20 0 DDADMM CC(C)Nc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cn1 ZINC000913745337 713293587 /nfs/dbraw/zinc/29/35/87/713293587.db2.gz YYXBQPJWGNQNTD-UHFFFAOYSA-N -1 1 315.381 1.435 20 0 DDADMM O=C([C@H]1Cc2ccccc2CO1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746216 713294007 /nfs/dbraw/zinc/29/40/07/713294007.db2.gz XIVJUDDYVKTMKR-CQSZACIVSA-N -1 1 313.361 1.047 20 0 DDADMM COc1ccc(CN[C@@H](C(=O)[O-])c2ccc3c(c2)CCO3)nn1 ZINC000905392199 712025930 /nfs/dbraw/zinc/02/59/30/712025930.db2.gz XBUJKXUTPMNTDN-OAHLLOKOSA-N -1 1 315.329 1.336 20 0 DDADMM O=C([C@@H]1Cc2ccccc21)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907476546 712582420 /nfs/dbraw/zinc/58/24/20/712582420.db2.gz QPHLMXKAJNVLTE-VXGBXAGGSA-N -1 1 301.375 1.156 20 0 DDADMM Cc1sccc1CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907478178 712582861 /nfs/dbraw/zinc/58/28/61/712582861.db2.gz HCXWXRIDFKWZRN-SNVBAGLBSA-N -1 1 309.420 1.429 20 0 DDADMM O=C(c1[nH]ccc1C1CC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479308 712583151 /nfs/dbraw/zinc/58/31/51/712583151.db2.gz BNEMYPGJEVXQQR-JTQLQIEISA-N -1 1 304.379 1.336 20 0 DDADMM O=C(C=CC=C(Cl)Cl)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481608 712584018 /nfs/dbraw/zinc/58/40/18/712584018.db2.gz JJOPDTNPMWLFQN-RMKMGNDCSA-N -1 1 320.205 1.691 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)C[C@H]3C(C)(C)C3(F)F)CC2)n1 ZINC000907860924 712637457 /nfs/dbraw/zinc/63/74/57/712637457.db2.gz VHCPIDMARGIGOL-QMMMGPOBSA-N -1 1 321.349 1.578 20 0 DDADMM CN(C)c1cccnc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000907957409 712655096 /nfs/dbraw/zinc/65/50/96/712655096.db2.gz OJDQPSZXUMRZPJ-SNVBAGLBSA-N -1 1 317.349 1.256 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC[C@H](O)C2CC2)c(F)c1 ZINC000908311120 712737143 /nfs/dbraw/zinc/73/71/43/712737143.db2.gz AXVIIYWARNEONP-LBPRGKRZSA-N -1 1 305.346 1.713 20 0 DDADMM O=C([O-])c1ccc(CCNC(=O)[C@@H]2CCc3[nH]cnc3C2)cc1 ZINC000908607103 712820458 /nfs/dbraw/zinc/82/04/58/712820458.db2.gz XRZBAUIFHVOZQW-CYBMUJFWSA-N -1 1 313.357 1.572 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(C(=O)c1cccc3nn[nH]c31)CC2 ZINC000908654343 712829993 /nfs/dbraw/zinc/82/99/93/712829993.db2.gz ZTJOYXPQVFOUIR-SNVBAGLBSA-N -1 1 300.318 1.285 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)Cc2noc3c2CCCC3)o1 ZINC000914212983 713360165 /nfs/dbraw/zinc/36/01/65/713360165.db2.gz PCQPTVBXMMNAIK-UHFFFAOYSA-N -1 1 311.363 1.469 20 0 DDADMM C[N@H+]1CCC[C@H](NC(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000914314710 713376001 /nfs/dbraw/zinc/37/60/01/713376001.db2.gz BMQYWMCFNKUAGB-JTQLQIEISA-N -1 1 313.348 1.606 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC000918056155 713526981 /nfs/dbraw/zinc/52/69/81/713526981.db2.gz PXSKPGHCRDFMHH-RYUDHWBXSA-N -1 1 307.412 1.017 20 0 DDADMM CC(=O)NC[C@H]1CN(C[C@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929669367 713664773 /nfs/dbraw/zinc/66/47/73/713664773.db2.gz MQEUTMFWQSYJSW-LRDDRELGSA-N -1 1 320.389 1.048 20 0 DDADMM COC(=O)CC(C)(C)C[N-]S(=O)(=O)c1cc(C)ns1 ZINC000921207150 713721565 /nfs/dbraw/zinc/72/15/65/713721565.db2.gz TUJBSUNBASNKCG-UHFFFAOYSA-N -1 1 306.409 1.319 20 0 DDADMM O=S(=O)([N-][C@H](CO)CCc1ccccc1)c1ccns1 ZINC000921368357 713745847 /nfs/dbraw/zinc/74/58/47/713745847.db2.gz MDSJXGKKSOBLTH-LBPRGKRZSA-N -1 1 312.416 1.415 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2C[C@@H]3CCCC[C@H]23)co1 ZINC000921377437 713748927 /nfs/dbraw/zinc/74/89/27/713748927.db2.gz UMOQVVIZHALGFO-ZMLRMANQSA-N -1 1 312.391 1.496 20 0 DDADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)C1CCC(C)CC1 ZINC000921380444 713749640 /nfs/dbraw/zinc/74/96/40/713749640.db2.gz YAZSHVLAOIZXSL-MCIGGMRASA-N -1 1 307.412 1.063 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cccc1F)c1cn(C)nn1 ZINC000921487188 713784983 /nfs/dbraw/zinc/78/49/83/713784983.db2.gz DMAPBPOGHDSVCK-SSDOTTSWSA-N -1 1 302.306 1.133 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2COC[C@H]2C)c(F)c1 ZINC000921496542 713788878 /nfs/dbraw/zinc/78/88/78/713788878.db2.gz WGNSKPQWZVQGBD-HQJQHLMTSA-N -1 1 307.318 1.287 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)CC)c1ccccn1 ZINC000921518004 713795335 /nfs/dbraw/zinc/79/53/35/713795335.db2.gz QUYJNJCRSKITNR-XTZNXHDOSA-N -1 1 305.425 1.485 20 0 DDADMM Cc1cc(CC[N-]S(=O)(=O)c2nc(C)c(C)s2)nn1C ZINC000921582336 713812581 /nfs/dbraw/zinc/81/25/81/713812581.db2.gz DZMRSEGFJNBADU-UHFFFAOYSA-N -1 1 314.436 1.323 20 0 DDADMM CC1(C)O[C@@H]2C[C@@H]([N-]S(=O)(=O)c3ccns3)C[C@@H]2O1 ZINC000921801633 713872835 /nfs/dbraw/zinc/87/28/35/713872835.db2.gz VNZCBUJOZLTUNE-PSVAKVPMSA-N -1 1 304.393 1.104 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C2CC2)[C@H]2CCCOC2)sn1 ZINC000921849046 713884801 /nfs/dbraw/zinc/88/48/01/713884801.db2.gz JEZURPLNRGKDFR-AAEUAGOBSA-N -1 1 316.448 1.935 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H](OC)C1CCCC1)C(C)C ZINC000921975351 713925849 /nfs/dbraw/zinc/92/58/49/713925849.db2.gz OCKDCJYLJNCPDI-QWHCGFSZSA-N -1 1 321.439 1.309 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)NCC(F)F)[n-]c1=O ZINC000931635982 714145042 /nfs/dbraw/zinc/14/50/42/714145042.db2.gz REDNACYLWMXPDO-ZETCQYMHSA-N -1 1 302.281 1.302 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NCCc1ccccc1C(=O)[O-] ZINC000923123704 714244197 /nfs/dbraw/zinc/24/41/97/714244197.db2.gz DRESZRDADCSISF-LLVKDONJSA-N -1 1 301.346 1.645 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@@H]1C=C[C@H](CO)C1 ZINC000932045155 714245445 /nfs/dbraw/zinc/24/54/45/714245445.db2.gz NDLZTVKBRMGPJQ-WCQYABFASA-N -1 1 314.345 1.636 20 0 DDADMM COC(OC)[C@H](C)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932059759 714249235 /nfs/dbraw/zinc/24/92/35/714249235.db2.gz JJQOBPUJRCKXDP-JTQLQIEISA-N -1 1 320.349 1.707 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@@H](CO)CCF ZINC000932060433 714249519 /nfs/dbraw/zinc/24/95/19/714249519.db2.gz TXJZQKABNQYLTO-LLVKDONJSA-N -1 1 308.313 1.420 20 0 DDADMM C[C@@H]1COC[C@@H]1NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932063026 714250154 /nfs/dbraw/zinc/25/01/54/714250154.db2.gz QERVSAJDQCATAH-MFKMUULPSA-N -1 1 302.334 1.734 20 0 DDADMM COC(OC)[C@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000923642435 714438006 /nfs/dbraw/zinc/43/80/06/714438006.db2.gz UZGYCSHNHMPBQU-QMMMGPOBSA-N -1 1 318.320 1.520 20 0 DDADMM COC(=O)c1cnc(NC([O-])=NO[C@H]2CCCCO2)cc1C ZINC000933894037 714695090 /nfs/dbraw/zinc/69/50/90/714695090.db2.gz ZYJYYMNCIJEZGX-LBPRGKRZSA-N -1 1 309.322 1.756 20 0 DDADMM COC(=O)c1cnc(NC(=O)[N-]O[C@H]2CCCCO2)cc1C ZINC000933894037 714695092 /nfs/dbraw/zinc/69/50/92/714695092.db2.gz ZYJYYMNCIJEZGX-LBPRGKRZSA-N -1 1 309.322 1.756 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2cc(C)nc(C)n2)[n-]c1=O ZINC000934270195 714782117 /nfs/dbraw/zinc/78/21/17/714782117.db2.gz OLSALNKJHGVDHS-CYBMUJFWSA-N -1 1 315.377 1.935 20 0 DDADMM O=C(C[C@@H]1CC[C@H](C2CC2)O1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000935036847 714960784 /nfs/dbraw/zinc/96/07/84/714960784.db2.gz JBDBRQQKZYFVIU-RWMBFGLXSA-N -1 1 305.382 1.253 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)c2ccco2)C1 ZINC000936425995 715198659 /nfs/dbraw/zinc/19/86/59/715198659.db2.gz PPFFDCKJSGJZAS-LLVKDONJSA-N -1 1 315.329 1.367 20 0 DDADMM CCCC(=O)N1CC(N(C)C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000954302912 715331399 /nfs/dbraw/zinc/33/13/99/715331399.db2.gz GEHIHIRXGRQXIL-UHFFFAOYSA-N -1 1 318.377 1.143 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CCCC1 ZINC000937589522 715426397 /nfs/dbraw/zinc/42/63/97/715426397.db2.gz HMANSYIAWSMIFF-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM C[C@H](C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000954591295 715429590 /nfs/dbraw/zinc/42/95/90/715429590.db2.gz HBSMOYPIYGKQTR-NSHDSACASA-N -1 1 317.389 1.506 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccccc1 ZINC000937623613 715458379 /nfs/dbraw/zinc/45/83/79/715458379.db2.gz BUFZCZYKNYJIFE-ZDUSSCGKSA-N -1 1 311.341 1.432 20 0 DDADMM Cc1[nH]ccc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937804156 715583537 /nfs/dbraw/zinc/58/35/37/715583537.db2.gz GBEHHITYMJKOMW-NSHDSACASA-N -1 1 314.345 1.068 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)/C=C\C2CC2)C1 ZINC000956848995 715635897 /nfs/dbraw/zinc/63/58/97/715635897.db2.gz IPPBFVFBZUACNA-JTGQJZMRSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)(C)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956850141 715637061 /nfs/dbraw/zinc/63/70/61/715637061.db2.gz GHHFDCIJVBMFFF-MRXNPFEDSA-N -1 1 305.378 1.554 20 0 DDADMM CCC1(C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)CC1 ZINC000956850692 715637251 /nfs/dbraw/zinc/63/72/51/715637251.db2.gz RFUSYGQHFKWLTD-INIZCTEOSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)[C@H]2CC=CCC2)C1)c1ncccc1[O-] ZINC000940126239 716637845 /nfs/dbraw/zinc/63/78/45/716637845.db2.gz XBEYZCZEWZHUDY-QWHCGFSZSA-N -1 1 315.373 1.474 20 0 DDADMM CC1CC(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000940131352 716642741 /nfs/dbraw/zinc/64/27/41/716642741.db2.gz CKHLQCIHZZOXQE-HTAVTVPLSA-N -1 1 303.362 1.164 20 0 DDADMM CCC(=O)N1CCc2ccccc2[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000958766818 716737212 /nfs/dbraw/zinc/73/72/12/716737212.db2.gz NZXRHKUVRZAFRC-ZDUSSCGKSA-N -1 1 315.377 1.136 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959036457 716838019 /nfs/dbraw/zinc/83/80/19/716838019.db2.gz ODENLKFOEWMPAY-OLZOCXBDSA-N -1 1 317.389 1.720 20 0 DDADMM CSCC(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964415502 717254767 /nfs/dbraw/zinc/25/47/67/717254767.db2.gz JYFFASRGVXEXMR-LLVKDONJSA-N -1 1 323.418 1.261 20 0 DDADMM CC[C@H](F)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964846400 717451587 /nfs/dbraw/zinc/45/15/87/717451587.db2.gz AKOHYKZBEBAURW-NEPJUHHUSA-N -1 1 323.368 1.646 20 0 DDADMM CCC(=O)N1CCC[C@@H]2[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962516019 717485037 /nfs/dbraw/zinc/48/50/37/717485037.db2.gz JNNKEUYITBNNQW-NEPJUHHUSA-N -1 1 303.362 1.403 20 0 DDADMM CC(C)C(=O)N1CC[C@H]2[C@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962940084 717633671 /nfs/dbraw/zinc/63/36/71/717633671.db2.gz BJCZBIVLHZDWRG-OLZOCXBDSA-N -1 1 317.389 1.649 20 0 DDADMM C[C@@H]1CCN(C/C=C/Cl)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000965940914 717826876 /nfs/dbraw/zinc/82/68/76/717826876.db2.gz MRQOOVVUBPJEOR-JKNNBXRXSA-N -1 1 309.797 1.980 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)CC1CC1 ZINC000945291745 718436995 /nfs/dbraw/zinc/43/69/95/718436995.db2.gz ZVYVBINEVOTGDE-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC23CC3)C1 ZINC000967904318 719081717 /nfs/dbraw/zinc/08/17/17/719081717.db2.gz JJYDWGPUCHVWEM-LBPRGKRZSA-N -1 1 315.373 1.260 20 0 DDADMM CC(C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)=C1CCCC1 ZINC000968394263 719555463 /nfs/dbraw/zinc/55/54/63/719555463.db2.gz CUHMGEFOCCHOPO-GFCCVEGCSA-N -1 1 305.382 1.091 20 0 DDADMM CS[C@@H](C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950118415 720497778 /nfs/dbraw/zinc/49/77/78/720497778.db2.gz QMKQGYKDYJWPDO-QWRGUYRKSA-N -1 1 323.418 1.260 20 0 DDADMM CC[C@H](C)C(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000971384300 721268826 /nfs/dbraw/zinc/26/88/26/721268826.db2.gz QYYHCYIIQYEQSL-YNEHKIRRSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)[C@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000952141911 721330817 /nfs/dbraw/zinc/33/08/17/721330817.db2.gz MRVJQGYSCFHOMQ-VXGBXAGGSA-N -1 1 315.373 1.308 20 0 DDADMM CC(C)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001020698367 732634165 /nfs/dbraw/zinc/63/41/65/732634165.db2.gz VMMIJASMADHKNM-OLZOCXBDSA-N -1 1 319.405 1.658 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)CCOC1 ZINC000692861132 738634295 /nfs/dbraw/zinc/63/42/95/738634295.db2.gz HXQBEQJBPIUUND-NSHDSACASA-N -1 1 308.762 1.579 20 0 DDADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)C1CC=CC1 ZINC001021215519 733070821 /nfs/dbraw/zinc/07/08/21/733070821.db2.gz HHBCCDAEHPWAJO-YHWZYXNKSA-N -1 1 317.393 1.088 20 0 DDADMM Cc1ncoc1CN1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038193461 733196063 /nfs/dbraw/zinc/19/60/63/733196063.db2.gz JNONKUSSHMWLLC-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM Cc1nc([C@@H](C)N2CC[C@H]2CNC(=O)c2ncccc2[O-])no1 ZINC001038195239 733214055 /nfs/dbraw/zinc/21/40/55/733214055.db2.gz UAYVQVKZUCCMEA-KOLCDFICSA-N -1 1 317.349 1.044 20 0 DDADMM C[C@@H]1CCN(C(=O)C2=CCCC2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087148046 733559149 /nfs/dbraw/zinc/55/91/49/733559149.db2.gz DJWWNPWCGLINAJ-YPMHNXCESA-N -1 1 319.409 1.337 20 0 DDADMM C[C@@H]1[C@H](Cc2ccccc2)CCN1c1nnc(-c2nnn[n-]2)n1C ZINC001121268609 782433669 /nfs/dbraw/zinc/43/36/69/782433669.db2.gz SEARAHVLOHYOOT-YPMHNXCESA-N -1 1 324.392 1.453 20 0 DDADMM C[C@@H]1[C@H](Cc2ccccc2)CCN1c1nnc(-c2nn[n-]n2)n1C ZINC001121268609 782433672 /nfs/dbraw/zinc/43/36/72/782433672.db2.gz SEARAHVLOHYOOT-YPMHNXCESA-N -1 1 324.392 1.453 20 0 DDADMM CC[C@@]1(C)C[C@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167577812 734754145 /nfs/dbraw/zinc/75/41/45/734754145.db2.gz HNIFOUDNWKYRAX-NHYWBVRUSA-N -1 1 321.425 1.323 20 0 DDADMM C[C@H]1[C@H](c2ccccc2)CCN1c1nnc(-c2nnn[n-]2)n1C ZINC001121359447 782471235 /nfs/dbraw/zinc/47/12/35/782471235.db2.gz ISNVIVFQFNMQMZ-CMPLNLGQSA-N -1 1 310.365 1.378 20 0 DDADMM C[C@H]1[C@H](c2ccccc2)CCN1c1nnc(-c2nn[n-]n2)n1C ZINC001121359447 782471240 /nfs/dbraw/zinc/47/12/40/782471240.db2.gz ISNVIVFQFNMQMZ-CMPLNLGQSA-N -1 1 310.365 1.378 20 0 DDADMM CO[C@@H](C)C[N@H+]1CCCC[C@@H]1CNC(=O)c1ncccc1O ZINC001024406940 735807335 /nfs/dbraw/zinc/80/73/35/735807335.db2.gz ITBVKQYRPAKGRH-QWHCGFSZSA-N -1 1 307.394 1.406 20 0 DDADMM CC(C)C[C@H](CNc1ncccn1)NC(=O)c1ncccc1[O-] ZINC001104934904 737387344 /nfs/dbraw/zinc/38/73/44/737387344.db2.gz MBSHUFJQDLSWSF-GFCCVEGCSA-N -1 1 315.377 1.834 20 0 DDADMM CCC(CC)(CO)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692903313 738981799 /nfs/dbraw/zinc/98/17/99/738981799.db2.gz AWWHQPKPAGHVGM-UHFFFAOYSA-N -1 1 324.805 1.951 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001012448741 740950253 /nfs/dbraw/zinc/95/02/53/740950253.db2.gz IRECZTBKWLDICF-WJQOWHFOSA-N -1 1 303.362 1.473 20 0 DDADMM O=C(c1ccco1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088251881 741221087 /nfs/dbraw/zinc/22/10/87/741221087.db2.gz CTWQIRCLLWQLKG-LLVKDONJSA-N -1 1 319.365 1.278 20 0 DDADMM Cc1c(NC(=N)SCCS(=O)(=O)[O-])cccc1C(N)=O ZINC001168093128 741431184 /nfs/dbraw/zinc/43/11/84/741431184.db2.gz JOCYSLCBWAWCTP-UHFFFAOYSA-N -1 1 317.392 1.062 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088392897 741458527 /nfs/dbraw/zinc/45/85/27/741458527.db2.gz SBAYMZZCGBXFQF-CHWSQXEVSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@@H](CCNC(=O)COC(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001076213109 742605007 /nfs/dbraw/zinc/60/50/07/742605007.db2.gz AECKPDBHQCVCPI-NSHDSACASA-N -1 1 323.393 1.227 20 0 DDADMM CCCCOCC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076243818 742623296 /nfs/dbraw/zinc/62/32/96/742623296.db2.gz AEMCIRFJKXUQBB-LBPRGKRZSA-N -1 1 323.393 1.229 20 0 DDADMM CC(C)OCCC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244447 742623721 /nfs/dbraw/zinc/62/37/21/742623721.db2.gz RUVYPPSZNSVXMK-LBPRGKRZSA-N -1 1 323.393 1.227 20 0 DDADMM CCCC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002188236 742935006 /nfs/dbraw/zinc/93/50/06/742935006.db2.gz GOYGQBOZZJTLLV-UTUOFQBUSA-N -1 1 303.362 1.449 20 0 DDADMM CCc1[nH]nc(NC(=O)CCc2nn[n-]n2)c1-c1cccs1 ZINC001181990853 743421954 /nfs/dbraw/zinc/42/19/54/743421954.db2.gz RJQCXARLNAHQBA-UHFFFAOYSA-N -1 1 317.378 1.785 20 0 DDADMM Cc1[nH]c2ncccc2c1CC(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001182617986 743684300 /nfs/dbraw/zinc/68/43/00/743684300.db2.gz QJKNBIPVBHMNQG-UHFFFAOYSA-N -1 1 323.316 1.424 20 0 DDADMM O=C([N-]CCOc1ccncc1)C(F)(F)OCC(F)(F)F ZINC001183198060 743795100 /nfs/dbraw/zinc/79/51/00/743795100.db2.gz VAGBUEFFOAQDLP-UHFFFAOYSA-N -1 1 314.210 1.748 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@H](O)Cc1ccc(O)cc1 ZINC001183891976 743923958 /nfs/dbraw/zinc/92/39/58/743923958.db2.gz JHFFVEUANAIDSA-MRVPVSSYSA-N -1 1 316.239 1.071 20 0 DDADMM O=S(=O)([N-]c1cncnc1Cl)c1cnc(Cl)nc1 ZINC001184999839 744142178 /nfs/dbraw/zinc/14/21/78/744142178.db2.gz HZYSDDTUWFCKSM-UHFFFAOYSA-N -1 1 306.134 1.374 20 0 DDADMM Cc1ccc(F)c(NC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001185274934 744195869 /nfs/dbraw/zinc/19/58/69/744195869.db2.gz DBNMZMJMXCQKBS-UHFFFAOYSA-N -1 1 318.268 1.807 20 0 DDADMM COc1cccc(CNC(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001186225047 744358859 /nfs/dbraw/zinc/35/88/59/744358859.db2.gz RPWXAIDPHPRXDG-UHFFFAOYSA-N -1 1 316.294 1.452 20 0 DDADMM CS(=O)(=O)N(C(=O)c1ccc([O-])c(F)c1)c1cccc(N)c1 ZINC001186226884 744359201 /nfs/dbraw/zinc/35/92/01/744359201.db2.gz SJCIESZLKBBOLY-UHFFFAOYSA-N -1 1 324.333 1.720 20 0 DDADMM Nc1ccc(OCCO)c(NC(=O)c2ccc([O-])cc2F)c1 ZINC001186329802 744387176 /nfs/dbraw/zinc/38/71/76/744387176.db2.gz WFJNJACAYKIKFM-UHFFFAOYSA-N -1 1 306.293 1.737 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cccc2c1CCN(C)C2=O ZINC001187292542 744535797 /nfs/dbraw/zinc/53/57/97/744535797.db2.gz YFUHSTUOMGHNLV-UHFFFAOYSA-N -1 1 312.391 1.093 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC000992036312 744550525 /nfs/dbraw/zinc/55/05/25/744550525.db2.gz VZEZQEVSYDTVEE-HTAVTVPLSA-N -1 1 317.389 1.458 20 0 DDADMM O=C(Cc1cccc2ccccc21)NCc1nc([O-])cc(=O)[nH]1 ZINC001168430050 744605542 /nfs/dbraw/zinc/60/55/42/744605542.db2.gz JGEOAUIBXBPWOP-UHFFFAOYSA-N -1 1 309.325 1.900 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1nccs1)[C@H](O)c1cccc(O)c1 ZINC001187917543 744629651 /nfs/dbraw/zinc/62/96/51/744629651.db2.gz BGZGOOMJBDKHRW-KWQFWETISA-N -1 1 314.388 1.249 20 0 DDADMM O=S(=O)([N-]CCOc1cc(Cl)ccn1)c1nccs1 ZINC001187923468 744635745 /nfs/dbraw/zinc/63/57/45/744635745.db2.gz QRGGIISWIKIZNT-UHFFFAOYSA-N -1 1 319.795 1.549 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3nnsc3C3CC3)c1-2 ZINC001188084441 744658140 /nfs/dbraw/zinc/65/81/40/744658140.db2.gz UABQGVVPEVCPCQ-UHFFFAOYSA-N -1 1 301.335 1.016 20 0 DDADMM CC(=O)c1cc2c(cc1[N-]S(=O)(=O)CCCF)OCO2 ZINC001189909288 745025794 /nfs/dbraw/zinc/02/57/94/745025794.db2.gz UYNVHQQZMCOGIR-UHFFFAOYSA-N -1 1 303.311 1.719 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(F)cc(F)c1F)c1cnccn1 ZINC001190411491 745212140 /nfs/dbraw/zinc/21/21/40/745212140.db2.gz VWFOEGYTMKITBX-ZETCQYMHSA-N -1 1 317.292 1.933 20 0 DDADMM CCc1nccnc1NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190619047 745259845 /nfs/dbraw/zinc/25/98/45/745259845.db2.gz SVIPRVZIMKCAMI-UHFFFAOYSA-N -1 1 322.328 1.849 20 0 DDADMM CCOC(=O)/C=C(\[N-]S(=O)(=O)c1ccncc1)C(F)(F)F ZINC001190907084 745381248 /nfs/dbraw/zinc/38/12/48/745381248.db2.gz NDQZAUZRYHTHOU-CLFYSBASSA-N -1 1 324.280 1.369 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccncc1)c1ccccc1 ZINC001190916384 745384441 /nfs/dbraw/zinc/38/44/41/745384441.db2.gz QUJLKSLTBWJBFS-ZDUSSCGKSA-N -1 1 306.343 1.274 20 0 DDADMM Cn1c(=O)[nH]cc1C(=O)Nc1nc(Br)ccc1[O-] ZINC001190983688 745395647 /nfs/dbraw/zinc/39/56/47/745395647.db2.gz LGENWXVIYHYDSU-UHFFFAOYSA-N -1 1 313.111 1.241 20 0 DDADMM O=C1COc2c([N-]S(=O)(=O)CC3CCCC3)cccc2N1 ZINC001191523158 745534235 /nfs/dbraw/zinc/53/42/35/745534235.db2.gz KWUFHFUPQLQCAV-UHFFFAOYSA-N -1 1 310.375 1.949 20 0 DDADMM COc1ccnc(NCC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001106296818 745565140 /nfs/dbraw/zinc/56/51/40/745565140.db2.gz ZTQAXPZQHMQUIW-SNVBAGLBSA-N -1 1 317.349 1.206 20 0 DDADMM O=S(=O)([N-]Cc1ccccc1CO)C(Cl)(Cl)Cl ZINC001192043310 745680329 /nfs/dbraw/zinc/68/03/29/745680329.db2.gz SGSRSOVKQYXAIV-UHFFFAOYSA-N -1 1 318.609 1.926 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC(n2cnnc2)CC1 ZINC001192535494 745825321 /nfs/dbraw/zinc/82/53/21/745825321.db2.gz GONLTXFMDIZHIW-UHFFFAOYSA-N -1 1 308.288 1.739 20 0 DDADMM CCOC(=O)c1ncc(NC(=O)c2cc([O-])cc(F)c2F)cn1 ZINC001192661323 745868539 /nfs/dbraw/zinc/86/85/39/745868539.db2.gz SABFIHKUKZPYLB-UHFFFAOYSA-N -1 1 323.255 1.889 20 0 DDADMM COc1ncnc(Cl)c1[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC001193207212 746013044 /nfs/dbraw/zinc/01/30/44/746013044.db2.gz ZCGFTOWXOOCZRQ-ZETCQYMHSA-N -1 1 307.759 1.059 20 0 DDADMM O=C(NC1CN(CC2CCC2)C1)c1ccc2oc(=O)nc-2[n-]1 ZINC001031251282 746019751 /nfs/dbraw/zinc/01/97/51/746019751.db2.gz IASGJDBFNBHGLG-UHFFFAOYSA-N -1 1 302.334 1.143 20 0 DDADMM CNC(=O)c1ccc(F)c([N-]S(=O)(=O)C[C@H]2CCCO2)c1 ZINC001193264578 746036548 /nfs/dbraw/zinc/03/65/48/746036548.db2.gz SWRLWYIYVYIIQO-SNVBAGLBSA-N -1 1 316.354 1.106 20 0 DDADMM Cc1ccc2c(c1)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)C(=O)N2 ZINC001193280172 746045065 /nfs/dbraw/zinc/04/50/65/746045065.db2.gz OHHIWMMWINPEKW-GXFFZTMASA-N -1 1 310.375 1.087 20 0 DDADMM CCOC(=O)[C@H](CC1CCC1)[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC001193288347 746048177 /nfs/dbraw/zinc/04/81/77/746048177.db2.gz KAMNERKLEANZDD-STQMWFEESA-N -1 1 319.423 1.207 20 0 DDADMM CCOC(=O)c1c(C)[nH]nc1NC(=O)c1nccc(OC)c1[O-] ZINC001193520127 746132241 /nfs/dbraw/zinc/13/22/41/746132241.db2.gz JCYLMYUMIFBSDM-UHFFFAOYSA-N -1 1 320.305 1.256 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccc(C)[nH]2)c1 ZINC001193908903 746234015 /nfs/dbraw/zinc/23/40/15/746234015.db2.gz GWIFDXSVSANZGW-UHFFFAOYSA-N -1 1 309.347 1.189 20 0 DDADMM CSc1cncc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001194097001 746287097 /nfs/dbraw/zinc/28/70/97/746287097.db2.gz DCTQZYBILOMZBF-UHFFFAOYSA-N -1 1 302.319 1.428 20 0 DDADMM CCC1([N-]S(=O)(=O)c2ncccc2C(F)(F)F)COC1 ZINC001194578919 746413500 /nfs/dbraw/zinc/41/35/00/746413500.db2.gz WEPFLHYWMLVYSD-UHFFFAOYSA-N -1 1 310.297 1.558 20 0 DDADMM COc1nc(Cl)ccc1[N-]S(=O)(=O)C1CCC(=O)CC1 ZINC001194764159 746460686 /nfs/dbraw/zinc/46/06/86/746460686.db2.gz OJGMAOKVOVBYPK-UHFFFAOYSA-N -1 1 318.782 1.997 20 0 DDADMM COc1cc(Cl)ncc1[N-]S(=O)(=O)C1CCC(=O)CC1 ZINC001194770183 746461916 /nfs/dbraw/zinc/46/19/16/746461916.db2.gz VMTLAQXUIIMELQ-UHFFFAOYSA-N -1 1 318.782 1.997 20 0 DDADMM NC(=O)c1ccc(CNC(=O)c2cc([O-])cnc2Cl)cc1 ZINC001195305321 746571877 /nfs/dbraw/zinc/57/18/77/746571877.db2.gz DTVPMMLYKOKPSS-UHFFFAOYSA-N -1 1 305.721 1.470 20 0 DDADMM C[C@H](NC(=O)c1cc([O-])cnc1Cl)[C@H](O)c1cccc(O)c1 ZINC001195311965 746573837 /nfs/dbraw/zinc/57/38/37/746573837.db2.gz DPKLENMTNHCOBR-SDBXPKJASA-N -1 1 322.748 1.998 20 0 DDADMM CCOC(=O)C(F)(F)CNC(=O)c1cc([O-])cnc1Cl ZINC001195313063 746574617 /nfs/dbraw/zinc/57/46/17/746574617.db2.gz OWWBTCWDOHUFHR-UHFFFAOYSA-N -1 1 308.668 1.369 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc2c(cnn2CCO)c1 ZINC001195484773 746623375 /nfs/dbraw/zinc/62/33/75/746623375.db2.gz QMMFLFFWXHFDFF-UHFFFAOYSA-N -1 1 308.363 1.472 20 0 DDADMM Cn1ncc2cc([N-]S(=O)(=O)c3ccc(O)cc3)cnc21 ZINC001195766037 746691363 /nfs/dbraw/zinc/69/13/63/746691363.db2.gz GPHXNEVTSSXDMU-UHFFFAOYSA-N -1 1 304.331 1.475 20 0 DDADMM Cc1nc([C@H]2CCCCN2C(=O)c2c[nH]c(=S)[n-]c2=O)no1 ZINC001196014996 746757464 /nfs/dbraw/zinc/75/74/64/746757464.db2.gz XXQPMYOTJAALFH-SECBINFHSA-N -1 1 321.362 1.530 20 0 DDADMM Cc1c(F)ccc(F)c1C(=O)NCCCC[P@](=O)([O-])O ZINC001196747228 746956624 /nfs/dbraw/zinc/95/66/24/746956624.db2.gz YORLAXFGMDCNFP-UHFFFAOYSA-N -1 1 307.233 1.961 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cnccc1C(C)=O ZINC001196921876 746997652 /nfs/dbraw/zinc/99/76/52/746997652.db2.gz BBKAQGPMGYPEFM-UHFFFAOYSA-N -1 1 314.363 1.369 20 0 DDADMM CCc1nocc1CN1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001031627207 747246835 /nfs/dbraw/zinc/24/68/35/747246835.db2.gz GLULIIVIOCCDHF-UHFFFAOYSA-N -1 1 316.361 1.199 20 0 DDADMM O=C1NCc2c1cccc2[N-]S(=O)(=O)Cc1ccccn1 ZINC001197825723 747290692 /nfs/dbraw/zinc/29/06/92/747290692.db2.gz VMLIQIZFDYPCMW-UHFFFAOYSA-N -1 1 303.343 1.267 20 0 DDADMM C=CS(=O)(=O)[N-]c1c(CO)cc(Br)cc1OC ZINC001198602847 747544844 /nfs/dbraw/zinc/54/48/44/747544844.db2.gz MDTSYJZSUDLJGL-UHFFFAOYSA-N -1 1 322.180 1.835 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc(OC(C)C)n3)c1-2 ZINC001199032615 747682022 /nfs/dbraw/zinc/68/20/22/747682022.db2.gz GACHYJHUGAKBJR-UHFFFAOYSA-N -1 1 312.333 1.469 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc(N(C)C)n2)n1 ZINC001199786689 747994914 /nfs/dbraw/zinc/99/49/14/747994914.db2.gz TXYAVHUBLPNDED-UHFFFAOYSA-N -1 1 303.322 1.300 20 0 DDADMM CN(C)C(=O)c1cccc(NC(=S)NC[C@H]2CCCO2)c1[O-] ZINC001200033620 748093990 /nfs/dbraw/zinc/09/39/90/748093990.db2.gz UZCMZIAJVGQPJF-SNVBAGLBSA-N -1 1 323.418 1.559 20 0 DDADMM O=S(=O)([N-]CC1COC1)c1c(F)cccc1Br ZINC001200903584 748378466 /nfs/dbraw/zinc/37/84/66/748378466.db2.gz MRKNTDZTIYQEJN-UHFFFAOYSA-N -1 1 324.171 1.513 20 0 DDADMM CCC(=O)C[N-]S(=O)(=O)c1c(F)cccc1Br ZINC001201045914 748407002 /nfs/dbraw/zinc/40/70/02/748407002.db2.gz GKPJCPJVDQXPPV-UHFFFAOYSA-N -1 1 324.171 1.846 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]Cc1ncc(C)cn1 ZINC001201728761 748585930 /nfs/dbraw/zinc/58/59/30/748585930.db2.gz ZKSSSXIKTPRCHF-UHFFFAOYSA-N -1 1 318.811 1.682 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1CCCCC1=O ZINC001201764427 748596830 /nfs/dbraw/zinc/59/68/30/748596830.db2.gz MNLWTUOHGLBNPP-ZETCQYMHSA-N -1 1 308.812 1.895 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]c1cnc(C)[nH]c1=O ZINC001201735982 748602580 /nfs/dbraw/zinc/60/25/80/748602580.db2.gz LVECWNXFMIPRTG-UHFFFAOYSA-N -1 1 320.783 1.710 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001004760806 748752346 /nfs/dbraw/zinc/75/23/46/748752346.db2.gz SZFOOIBCLGNKMC-BELPRIPISA-N -1 1 317.389 1.720 20 0 DDADMM CN(c1cccc(F)n1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001056900486 761994333 /nfs/dbraw/zinc/99/43/33/761994333.db2.gz HJUOLFGPUKWWLZ-LLVKDONJSA-N -1 1 316.336 1.672 20 0 DDADMM C[C@H](NC(=O)c1ccoc1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005692080 750821610 /nfs/dbraw/zinc/82/16/10/750821610.db2.gz HSGUCAFRYVNEKM-JTQLQIEISA-N -1 1 315.329 1.271 20 0 DDADMM O=C(C1CCCCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034847631 750964016 /nfs/dbraw/zinc/96/40/16/750964016.db2.gz UFMNKRZJXWDPAP-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1CC1 ZINC001007772398 752336563 /nfs/dbraw/zinc/33/65/63/752336563.db2.gz NMGPJTBGIIJFCG-GFCCVEGCSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1cc(CN[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)no1 ZINC000998993021 752430642 /nfs/dbraw/zinc/43/06/42/752430642.db2.gz CIMOJDGRIHRUQP-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM C[C@@H]1CCCN(C(=O)CC2CC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036495873 752486136 /nfs/dbraw/zinc/48/61/36/752486136.db2.gz QXZZMGZKTSHQFK-ZYHUDNBSSA-N -1 1 307.398 1.027 20 0 DDADMM CC(C)=CC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001009986015 753522758 /nfs/dbraw/zinc/52/27/58/753522758.db2.gz QDTLCTUIGALUJF-QWHCGFSZSA-N -1 1 317.389 1.720 20 0 DDADMM Cc1ncc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)s1 ZINC001010715738 754119613 /nfs/dbraw/zinc/11/96/13/754119613.db2.gz AIRTUUYUSVAKJJ-LLVKDONJSA-N -1 1 318.402 1.556 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@@H]2CC23CCC3)CC1 ZINC001011037688 754320034 /nfs/dbraw/zinc/32/00/34/754320034.db2.gz HTSDAMIDIHHEGD-LBPRGKRZSA-N -1 1 315.373 1.262 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1Nc1ncccn1 ZINC001063736649 754321343 /nfs/dbraw/zinc/32/13/43/754321343.db2.gz GWLRKBIZLNJEPK-NWDGAFQWSA-N -1 1 313.361 1.540 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078789113 754402996 /nfs/dbraw/zinc/40/29/96/754402996.db2.gz QWUZBACEAYBUIF-NXEZZACHSA-N -1 1 307.398 1.073 20 0 DDADMM CC(C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001011419685 754515158 /nfs/dbraw/zinc/51/51/58/754515158.db2.gz DEPABUCPWDIBBA-RYUDHWBXSA-N -1 1 305.378 1.553 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1CC1 ZINC001012162247 754900798 /nfs/dbraw/zinc/90/07/98/754900798.db2.gz YTWDKRVUQKZZQY-ZYHUDNBSSA-N -1 1 303.362 1.307 20 0 DDADMM CCN(C(=O)C(C)(F)F)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079204304 755150135 /nfs/dbraw/zinc/15/01/35/755150135.db2.gz OHDNAEPAGIDEFE-UHFFFAOYSA-N -1 1 313.304 1.115 20 0 DDADMM CC(=O)N1CCC[C@H]([C@H]2CCCN2C(=O)c2ncccc2[O-])C1 ZINC001013890984 755531091 /nfs/dbraw/zinc/53/10/91/755531091.db2.gz LOMMWBCWHFPIAL-UONOGXRCSA-N -1 1 317.389 1.650 20 0 DDADMM CC(=O)N[C@@H]1CCC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001014571413 755803784 /nfs/dbraw/zinc/80/37/84/755803784.db2.gz LMEINDKCALSVNP-YNEHKIRRSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2CCCC2(C)C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082691965 756892061 /nfs/dbraw/zinc/89/20/61/756892061.db2.gz BRTQSCDPDAYNOC-IJLUTSLNSA-N -1 1 321.425 1.273 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@@H]2CC23CC3)C1 ZINC001016428006 757034914 /nfs/dbraw/zinc/03/49/14/757034914.db2.gz ROIAHYRDZVIVSU-MCIGGMRASA-N -1 1 315.373 1.307 20 0 DDADMM Cc1nc(C)c(C)c(N[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097764197 757509066 /nfs/dbraw/zinc/50/90/66/757509066.db2.gz CBVZYDKYSNALGR-VIFPVBQESA-N -1 1 315.377 1.733 20 0 DDADMM CCCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001014891047 757521739 /nfs/dbraw/zinc/52/17/39/757521739.db2.gz AEMPXPQTDUBBIE-VXGBXAGGSA-N -1 1 305.378 1.697 20 0 DDADMM CC(C)=CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(C)nc[nH]c1=O ZINC001017837660 758286454 /nfs/dbraw/zinc/28/64/54/758286454.db2.gz VCBLEMAOBNMRGA-OKILXGFUSA-N -1 1 316.405 1.746 20 0 DDADMM CC1(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC001018804602 759286545 /nfs/dbraw/zinc/28/65/45/759286545.db2.gz GDJCGDJFTTZEHQ-NSHDSACASA-N -1 1 307.398 1.171 20 0 DDADMM O=C([C@@H]1C[C@@]12CCc1ccccc12)N1CCC(c2nn[n-]n2)CC1 ZINC000827710205 759364414 /nfs/dbraw/zinc/36/44/14/759364414.db2.gz BVZQFYRHPXLCSG-MAUKXSAKSA-N -1 1 323.400 1.810 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1ccsc1 ZINC001019372515 759866091 /nfs/dbraw/zinc/86/60/91/759866091.db2.gz XFCNLVNUKJANRX-ONGXEEELSA-N -1 1 321.406 1.066 20 0 DDADMM O=C(NC[C@@H]1CCN1Cc1ccc(F)cc1)c1ncccc1[O-] ZINC001038195193 760910999 /nfs/dbraw/zinc/91/09/99/760910999.db2.gz TUNPWBABZDLXNL-AWEZNQCLSA-N -1 1 315.348 1.931 20 0 DDADMM O=C(C1CC1)N1CCCC[C@@H]1[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039183249 761808196 /nfs/dbraw/zinc/80/81/96/761808196.db2.gz HWHSHRIDEWTBDI-CHWSQXEVSA-N -1 1 319.409 1.123 20 0 DDADMM CC1CC(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001001538211 762945413 /nfs/dbraw/zinc/94/54/13/762945413.db2.gz QZRXUVHCJGPLLN-UHFFFAOYSA-N -1 1 303.362 1.022 20 0 DDADMM O=C(N[C@H](CNc1cccc(F)n1)C1CC1)c1ncccc1[O-] ZINC001109821411 764103600 /nfs/dbraw/zinc/10/36/00/764103600.db2.gz FWYOXTJZXFXMFL-LLVKDONJSA-N -1 1 316.336 1.942 20 0 DDADMM C[C@@H](Nc1ncncc1Cl)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001112982718 765098057 /nfs/dbraw/zinc/09/80/57/765098057.db2.gz AWUKRABXKGXMHD-BDAKNGLRSA-N -1 1 321.768 1.850 20 0 DDADMM CCCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1[O-])C2 ZINC001047223214 768327355 /nfs/dbraw/zinc/32/73/55/768327355.db2.gz ULWRRYWEZAYYGS-AVGNSLFASA-N -1 1 317.389 1.697 20 0 DDADMM COc1cc2cc[nH]c2cc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170217324 766176247 /nfs/dbraw/zinc/17/62/47/766176247.db2.gz HJUPAVOOOHBNRO-UHFFFAOYSA-N -1 1 312.289 1.949 20 0 DDADMM Cn1c2ccccc2cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1=O ZINC001170218593 766177920 /nfs/dbraw/zinc/17/79/20/766177920.db2.gz NVGJSBLYUAZEEP-UHFFFAOYSA-N -1 1 324.300 1.311 20 0 DDADMM CCOc1nc(Cl)ncc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170229747 766203725 /nfs/dbraw/zinc/20/37/25/766203725.db2.gz ZRQIGSMOTWMSIY-UHFFFAOYSA-N -1 1 307.701 1.644 20 0 DDADMM Cc1n[nH]c(C(=O)NC2(C)CCN(C/C=C\Cl)CC2)c1[O-] ZINC001045409912 766289442 /nfs/dbraw/zinc/28/94/42/766289442.db2.gz WSUQIQNGZSTBRT-UTCJRWHESA-N -1 1 312.801 1.761 20 0 DDADMM Cc1ccc(N[C@@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)nn1 ZINC001069066099 767815540 /nfs/dbraw/zinc/81/55/40/767815540.db2.gz SRDRJBMQNDJULM-NWDGAFQWSA-N -1 1 313.361 1.601 20 0 DDADMM CC/C(C)=C\C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071951623 770335445 /nfs/dbraw/zinc/33/54/45/770335445.db2.gz BLAQKXLYMQBDSB-FUTHCOOYSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CCC1CC1 ZINC001071952158 770336637 /nfs/dbraw/zinc/33/66/37/770336637.db2.gz ISJOGFHHTMXUOK-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cc(CN[C@H](C)C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])no1 ZINC001134094273 770647666 /nfs/dbraw/zinc/64/76/66/770647666.db2.gz ACLFUPBDFFNEJT-RKDXNWHRSA-N -1 1 321.381 1.407 20 0 DDADMM CC[C@@H](CC1CCCCC1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134680733 771128503 /nfs/dbraw/zinc/12/85/03/771128503.db2.gz XIRCTUUQHRIXMH-ZDUSSCGKSA-N -1 1 323.441 1.713 20 0 DDADMM CCC1N=NC(=S)N1CCNC(=O)c1cccc([O-])c1F ZINC001136841203 772172238 /nfs/dbraw/zinc/17/22/38/772172238.db2.gz XGHFGVBVESDPCJ-UHFFFAOYSA-N -1 1 310.354 1.404 20 0 DDADMM COC(=O)/C=C/C(=O)Nc1nc(Br)ccc1[O-] ZINC001144095026 772408508 /nfs/dbraw/zinc/40/85/08/772408508.db2.gz IFGPMMMDASTEBL-SNAWJCMRSA-N -1 1 301.096 1.217 20 0 DDADMM CSc1nc(NC(=O)CNc2ccc(O)cc2)cc(=O)[n-]1 ZINC001144562896 772565760 /nfs/dbraw/zinc/56/57/60/772565760.db2.gz CKWOICADOBHTAL-UHFFFAOYSA-N -1 1 306.347 1.660 20 0 DDADMM COC(=O)C[C@@H]1CN(Cc2cc(F)c([O-])cc2F)CCO1 ZINC001144657708 772588391 /nfs/dbraw/zinc/58/83/91/772588391.db2.gz FGTDZSFAZMPDCN-SNVBAGLBSA-N -1 1 301.289 1.434 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCCC[C@@H]2C(=O)OC)n1 ZINC001144798752 772624967 /nfs/dbraw/zinc/62/49/67/772624967.db2.gz DUEHLVBFQRJSMS-ZJUUUORDSA-N -1 1 323.349 1.504 20 0 DDADMM CCN1C[C@H](CNC(=O)c2cc(C)cc(C=O)c2[O-])CC1=O ZINC001145023184 772757144 /nfs/dbraw/zinc/75/71/44/772757144.db2.gz KMSNLDCSODYPAF-NSHDSACASA-N -1 1 304.346 1.111 20 0 DDADMM COC(=O)c1scnc1NC(=O)c1c(CO)cnc(C)c1[O-] ZINC001147833576 773259796 /nfs/dbraw/zinc/25/97/96/773259796.db2.gz IFLRKKGONYWNKL-UHFFFAOYSA-N -1 1 323.330 1.083 20 0 DDADMM COC(C)(C)[C@H]1CCCN1C(=O)c1c(CO)cnc(C)c1[O-] ZINC001147838403 773263987 /nfs/dbraw/zinc/26/39/87/773263987.db2.gz QRTLUHOGRODQJJ-GFCCVEGCSA-N -1 1 308.378 1.618 20 0 DDADMM Cc1ncc(CO)c(C(=O)N(C2CCC2)C2CCOCC2)c1[O-] ZINC001147841022 773265542 /nfs/dbraw/zinc/26/55/42/773265542.db2.gz MZXWJKWKPMXUGJ-UHFFFAOYSA-N -1 1 320.389 1.762 20 0 DDADMM CC(=O)[C@@H]1CCCN1C(=O)c1ccc(-n2[n-]c(C)cc2=O)cc1 ZINC001148332689 773438515 /nfs/dbraw/zinc/43/85/15/773438515.db2.gz DKTDBSQHSJJXIQ-HNNXBMFYSA-N -1 1 313.357 1.993 20 0 DDADMM Cc1c(C(=O)NCCCC[P@](=O)([O-])O)ccc(F)c1F ZINC001148605871 773532799 /nfs/dbraw/zinc/53/27/99/773532799.db2.gz KIQAGRMWZJEARJ-UHFFFAOYSA-N -1 1 307.233 1.961 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc(-c2ncc[nH]2)cc1 ZINC001148723488 773576909 /nfs/dbraw/zinc/57/69/09/773576909.db2.gz FZTKBRPANFKCOM-UHFFFAOYSA-N -1 1 321.300 1.701 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1cnc(-c2ccccc2)s1 ZINC001148794463 773603120 /nfs/dbraw/zinc/60/31/20/773603120.db2.gz YZZYGRUTUAWHQE-UHFFFAOYSA-N -1 1 314.374 1.572 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])c1cncc(Cl)n1 ZINC001100070786 775644929 /nfs/dbraw/zinc/64/49/29/775644929.db2.gz AXXKREXGKLKUEG-UHFFFAOYSA-N -1 1 307.741 1.097 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])c1ccnc(C)n1 ZINC001100501162 776241254 /nfs/dbraw/zinc/24/12/54/776241254.db2.gz XSXWQYGPMNHOJY-UHFFFAOYSA-N -1 1 301.350 1.142 20 0 DDADMM C[C@H]1CC[C@@H]([NH2+]CCP(=O)([O-])[O-])CN1C(=O)OC(C)(C)C ZINC001173058352 776967732 /nfs/dbraw/zinc/96/77/32/776967732.db2.gz QFGCDCCMKISTJR-WDEREUQCSA-N -1 1 322.342 1.542 20 0 DDADMM Cc1cc(C)nc(NCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001101560996 777250718 /nfs/dbraw/zinc/25/07/18/777250718.db2.gz CBCYSPORMMUKQE-UHFFFAOYSA-N -1 1 301.350 1.378 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001173964588 777375634 /nfs/dbraw/zinc/37/56/34/777375634.db2.gz NDIOKHVLJVTQOR-UHFFFAOYSA-N -1 1 321.358 1.438 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1 ZINC001174513985 777506475 /nfs/dbraw/zinc/50/64/75/777506475.db2.gz RBSSQNNPNFPSQN-UHFFFAOYSA-N -1 1 320.374 1.011 20 0 DDADMM COc1cc(F)cc([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001175010554 777655966 /nfs/dbraw/zinc/65/59/66/777655966.db2.gz JSANEFXFMVYYNZ-UHFFFAOYSA-N -1 1 311.338 1.800 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NS(=O)(=O)c1cncc(N)c1 ZINC001174981327 777659642 /nfs/dbraw/zinc/65/96/42/777659642.db2.gz AQYGMXMYLJZYQF-UHFFFAOYSA-N -1 1 307.331 1.471 20 0 DDADMM Cc1cc(C(F)F)n(CC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001177006769 778385695 /nfs/dbraw/zinc/38/56/95/778385695.db2.gz OFHUXBKDJHVUSX-UHFFFAOYSA-N -1 1 323.263 1.140 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1cnc(F)cn1 ZINC001103068979 778616793 /nfs/dbraw/zinc/61/67/93/778616793.db2.gz MLIFQQLOSSDMOV-VIFPVBQESA-N -1 1 305.313 1.337 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1cc(C)nc(C)n1 ZINC001103069559 778617501 /nfs/dbraw/zinc/61/75/01/778617501.db2.gz WMUJLDTYJVZOOG-LBPRGKRZSA-N -1 1 315.377 1.815 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)[C@H]1C[C@H]1c1cccs1 ZINC001177544879 778643946 /nfs/dbraw/zinc/64/39/46/778643946.db2.gz ULJSAFDCCYNSAI-ZJUUUORDSA-N -1 1 303.320 1.926 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@@H](c1ccccn1)C1CC1 ZINC001178244244 778948732 /nfs/dbraw/zinc/94/87/32/778948732.db2.gz USRDSNDUPBTAJK-SNVBAGLBSA-N -1 1 310.317 1.586 20 0 DDADMM CO[C@]1(CNC(=O)c2cc(C)cc(C=O)c2[O-])CCSC1 ZINC001178394177 779014140 /nfs/dbraw/zinc/01/41/40/779014140.db2.gz DEBAIAZKGDJLKE-HNNXBMFYSA-N -1 1 309.387 1.765 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNc1ncc(F)cn1 ZINC001103917456 779169142 /nfs/dbraw/zinc/16/91/42/779169142.db2.gz NSUNNZGEEJQBRP-VIFPVBQESA-N -1 1 305.313 1.194 20 0 DDADMM Cc1[nH][nH]c(=O)c1CC[N-]C(=O)C(F)(F)C(F)(F)Cl ZINC001179781729 779477589 /nfs/dbraw/zinc/47/75/89/779477589.db2.gz NLGQOOYBNXHAHA-UHFFFAOYSA-N -1 1 303.643 1.549 20 0 DDADMM Cc1cc(C)cc(OCC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001180539473 779820022 /nfs/dbraw/zinc/82/00/22/779820022.db2.gz SPRIBQYSKZKUAJ-UHFFFAOYSA-N -1 1 318.289 1.920 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCC[C@H]2CCOC2)c1Cl ZINC000401212621 780460384 /nfs/dbraw/zinc/46/03/84/780460384.db2.gz IARDTCLOZUQGJY-VIFPVBQESA-N -1 1 307.803 1.169 20 0 DDADMM O=C(C=Cc1cnccn1)OCC(=O)c1ccc([O-])cc1O ZINC001116119293 780475876 /nfs/dbraw/zinc/47/58/76/780475876.db2.gz ILTUKAMWAHXFIL-RJRFIUFISA-N -1 1 300.270 1.327 20 0 DDADMM CC(C)CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)[N-]OC(C)(C)C ZINC001117641129 780868864 /nfs/dbraw/zinc/86/88/64/780868864.db2.gz KXADVHKVSLWTII-GFCCVEGCSA-N -1 1 310.398 1.329 20 0 DDADMM CN(CCNC(=O)[C@@H]1CCC1(F)F)C(=O)c1ncccc1[O-] ZINC001408603818 837962836 /nfs/dbraw/zinc/96/28/36/837962836.db2.gz SEYJAFMQLQNWGA-VIFPVBQESA-N -1 1 313.304 1.021 20 0 DDADMM CCN(CCNC(=O)c1ccc[nH]1)C(=O)c1ncccc1[O-] ZINC001408714673 838529164 /nfs/dbraw/zinc/52/91/64/838529164.db2.gz UFSSTDFJATYQHT-UHFFFAOYSA-N -1 1 302.334 1.007 20 0 DDADMM CCCC[C@H](C)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001409136758 844411983 /nfs/dbraw/zinc/41/19/83/844411983.db2.gz YANNAQPWFHJYQN-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(Cl)c(Cl)s1)C(N)=O ZINC001257437887 855135113 /nfs/dbraw/zinc/13/51/13/855135113.db2.gz JPCVVXHVIXMWRP-VKHMYHEASA-N -1 1 303.192 1.207 20 0 DDADMM CCC(=O)NC[C@@H](NC(=O)c1ncccc1[O-])c1ccccc1 ZINC001408954718 840548934 /nfs/dbraw/zinc/54/89/34/840548934.db2.gz VKFGIAPLEKYHFT-CYBMUJFWSA-N -1 1 313.357 1.785 20 0 DDADMM O=C(NC[C@H]1CCN1CCOCC1CCC1)c1ncccc1[O-] ZINC001269223463 841368342 /nfs/dbraw/zinc/36/83/42/841368342.db2.gz OSMZJLSRNXCORA-CQSZACIVSA-N -1 1 319.405 1.408 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCCC[C@@H]2C)[n-]n1 ZINC001414212358 842303171 /nfs/dbraw/zinc/30/31/71/842303171.db2.gz ZWXLSAUCYUABCU-VHSXEESVSA-N -1 1 315.395 1.301 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCCC[C@@H]2C)n[n-]1 ZINC001414212358 842303178 /nfs/dbraw/zinc/30/31/78/842303178.db2.gz ZWXLSAUCYUABCU-VHSXEESVSA-N -1 1 315.395 1.301 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2cccs2)c1 ZINC001363937571 842877575 /nfs/dbraw/zinc/87/75/75/842877575.db2.gz WLBTZUIJKUTDMV-UHFFFAOYSA-N -1 1 313.400 1.983 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C3CCC3)C2)[n-]n1 ZINC001414282376 842918380 /nfs/dbraw/zinc/91/83/80/842918380.db2.gz OKHAMGGYVPBOIR-JTQLQIEISA-N -1 1 313.379 1.007 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C3CCC3)C2)n[n-]1 ZINC001414282376 842918382 /nfs/dbraw/zinc/91/83/82/842918382.db2.gz OKHAMGGYVPBOIR-JTQLQIEISA-N -1 1 313.379 1.007 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)COCc1ccccc1 ZINC001143210446 861417113 /nfs/dbraw/zinc/41/71/13/861417113.db2.gz QAFLRCNYHHUTMO-UHFFFAOYSA-N -1 1 304.262 1.441 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cncs1 ZINC001409261309 844725712 /nfs/dbraw/zinc/72/57/12/844725712.db2.gz HOXRDCPFRRNHIT-SECBINFHSA-N -1 1 320.374 1.182 20 0 DDADMM CC[C@@H](CNC(=O)C[C@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001409284291 844832872 /nfs/dbraw/zinc/83/28/72/844832872.db2.gz JUYHGSIVOLEWKR-AAEUAGOBSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@]1(CO)CCN(C(=O)c2ccc3cccnc3c2[O-])C[C@@H]1O ZINC001149319105 861544263 /nfs/dbraw/zinc/54/42/63/861544263.db2.gz IUTNLEIWMFYFRX-SUMWQHHRSA-N -1 1 316.357 1.146 20 0 DDADMM C[C@]1(CO)CCN(C(=O)c2ccc3cccnc3c2[O-])C[C@H]1O ZINC001149319099 861544298 /nfs/dbraw/zinc/54/42/98/861544298.db2.gz IUTNLEIWMFYFRX-CXAGYDPISA-N -1 1 316.357 1.146 20 0 DDADMM Cc1cnc([C@H](C)N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])o1 ZINC001409543121 845284000 /nfs/dbraw/zinc/28/40/00/845284000.db2.gz QJPBVFLAHXBCLL-JQWIXIFHSA-N -1 1 318.377 1.895 20 0 DDADMM O=S(=O)([N-][C@@H](CO)[C@H]1CCOC1)c1sccc1Cl ZINC001414239050 845621040 /nfs/dbraw/zinc/62/10/40/845621040.db2.gz OSBBELWOGXEOIT-CBAPKCEASA-N -1 1 311.812 1.077 20 0 DDADMM CNC(=O)[C@@H]1CC12CCN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC001272388478 846094373 /nfs/dbraw/zinc/09/43/73/846094373.db2.gz JVHNKCYUFDCEHG-LBPRGKRZSA-N -1 1 306.337 1.520 20 0 DDADMM Cc1nc(CNCC[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])c(C)o1 ZINC001272720787 846715953 /nfs/dbraw/zinc/71/59/53/846715953.db2.gz JOCUIWGAQSRRGX-MRVPVSSYSA-N -1 1 321.381 1.327 20 0 DDADMM Nc1cc(F)c(F)cc1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001155134049 861761318 /nfs/dbraw/zinc/76/13/18/861761318.db2.gz ADLKAJWJHGLIFK-MRVPVSSYSA-N -1 1 322.319 1.155 20 0 DDADMM CCC[C@@H](OC)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001077717253 847250916 /nfs/dbraw/zinc/25/09/16/847250916.db2.gz YRMMYCLMDVEIPH-WCQYABFASA-N -1 1 323.393 1.227 20 0 DDADMM O=C(NC[C@H]1CNC(=O)C1)c1cc2cccc(O)c2cc1[O-] ZINC001149652829 861782899 /nfs/dbraw/zinc/78/28/99/861782899.db2.gz AHVBWPCLJOFHRE-SECBINFHSA-N -1 1 300.314 1.117 20 0 DDADMM COc1ncc(NC(=O)c2nc3ccc(Cl)nc3[n-]2)cn1 ZINC001155170491 861797926 /nfs/dbraw/zinc/79/79/26/861797926.db2.gz JLNDYKACOMMGAC-UHFFFAOYSA-N -1 1 304.697 1.662 20 0 DDADMM CC[C@H](F)CN1CCCC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001034169084 848064768 /nfs/dbraw/zinc/06/47/68/848064768.db2.gz JFIMXXVFDVFPRE-NWDGAFQWSA-N -1 1 312.389 1.756 20 0 DDADMM CC(=O)N1CCC[C@H](N(C)C(=O)c2[n-][nH]c3cc(=O)ccc2-3)C1 ZINC001155266545 861912321 /nfs/dbraw/zinc/91/23/21/861912321.db2.gz HFQQKNKTSRBCJV-NSHDSACASA-N -1 1 316.361 1.351 20 0 DDADMM NC(=O)c1ccc(CNC(=O)c2[n-][nH]c3cc(=O)ccc2-3)cc1 ZINC001155269512 861913718 /nfs/dbraw/zinc/91/37/18/861913718.db2.gz IWYCNAAWMQSZGA-UHFFFAOYSA-N -1 1 310.313 1.297 20 0 DDADMM CCCc1ncc(C[N-]S(=O)(=O)c2cc(OC)ns2)o1 ZINC001364838397 848995292 /nfs/dbraw/zinc/99/52/92/848995292.db2.gz WSLQMFDNJDXBMZ-UHFFFAOYSA-N -1 1 317.392 1.571 20 0 DDADMM CCOC(=O)[C@H](NCc1cc(=O)oc2cc([O-])ccc12)C1CC1 ZINC001327225274 861977695 /nfs/dbraw/zinc/97/76/95/861977695.db2.gz VWJKZPQXZOSUJS-MRXNPFEDSA-N -1 1 317.341 1.930 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2conc2C)cc1C ZINC001364874013 849268818 /nfs/dbraw/zinc/26/88/18/849268818.db2.gz XEJWOKYLYXAVRE-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM COC(=O)/C=C/c1ccc(C(=O)[N-]c2nc(C3CC3)no2)o1 ZINC001273389796 849785860 /nfs/dbraw/zinc/78/58/60/849785860.db2.gz UYXDKENCWMQGSR-FNORWQNLSA-N -1 1 303.274 1.979 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]CC1(C)OCCO1 ZINC000716353303 849945239 /nfs/dbraw/zinc/94/52/39/849945239.db2.gz HATURSSWAOFOEJ-UHFFFAOYSA-N -1 1 312.800 1.146 20 0 DDADMM CC(C)(F)C(=O)NC[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001411085011 850197700 /nfs/dbraw/zinc/19/77/00/850197700.db2.gz ZVTYQUGEZUPTPZ-INIZCTEOSA-N -1 1 323.368 1.504 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H](C)C1CCCC1 ZINC001273701806 851240457 /nfs/dbraw/zinc/24/04/57/851240457.db2.gz FSJJWRJKFNBJJZ-LLVKDONJSA-N -1 1 309.414 1.275 20 0 DDADMM Cc1ccc2ccc(C(=O)NC[C@H]3CN(C)CCO3)c([O-])c2n1 ZINC001155660104 862351910 /nfs/dbraw/zinc/35/19/10/862351910.db2.gz RMTKUYCDIAJGBZ-ZDUSSCGKSA-N -1 1 315.373 1.309 20 0 DDADMM NC(=O)c1ccc2c(n1)CCN(C(=O)c1cc(F)ccc1[O-])C2 ZINC001275361182 853016797 /nfs/dbraw/zinc/01/67/97/853016797.db2.gz PFKODRJDOCWNOS-UHFFFAOYSA-N -1 1 315.304 1.224 20 0 DDADMM CN(C)C(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1ccc([O-])c(F)c1 ZINC001275373053 853032969 /nfs/dbraw/zinc/03/29/69/853032969.db2.gz AROFHOZYKZITHP-KTJYFRGRSA-N -1 1 320.364 1.764 20 0 DDADMM CC(=O)Nc1cccc([C@@H](C)NC(=O)c2cnncc2[O-])c1 ZINC001411627130 853480103 /nfs/dbraw/zinc/48/01/03/853480103.db2.gz BKECPTWJJBNOBB-SECBINFHSA-N -1 1 300.318 1.632 20 0 DDADMM CSc1ccc([O-])c(C(=O)Nc2nc(CC(N)=O)cs2)c1 ZINC001411701289 853603128 /nfs/dbraw/zinc/60/31/28/853603128.db2.gz UWRZWVUSOWKSKR-UHFFFAOYSA-N -1 1 323.399 1.851 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@@H](NC(=O)c2ccc(F)c([O-])c2)C1 ZINC001411796261 853748828 /nfs/dbraw/zinc/74/88/28/853748828.db2.gz BHUUUZHGOSQLTR-MNOVXSKESA-N -1 1 315.366 1.617 20 0 DDADMM COCC[C@@H](NC(=O)CCCc1nn[n-]n1)c1ccc(C)o1 ZINC001411983714 854069424 /nfs/dbraw/zinc/06/94/24/854069424.db2.gz CLJFLIBTFRJVQZ-LLVKDONJSA-N -1 1 307.354 1.318 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H]2[C@H]2CCCC2=O)c(=O)[n-]1 ZINC001411990686 854082336 /nfs/dbraw/zinc/08/23/36/854082336.db2.gz URVMZJNBZXBWSY-MWLCHTKSSA-N -1 1 321.402 1.878 20 0 DDADMM CNC(=O)[C@H](Cc1ccccc1)NC(=O)c1ccc([O-])cc1F ZINC001412023874 854118206 /nfs/dbraw/zinc/11/82/06/854118206.db2.gz JFINMGQNLPSYPM-HNNXBMFYSA-N -1 1 316.332 1.618 20 0 DDADMM CN1c2ccccc2C[C@@H](NC(=O)c2ccc(F)c([O-])c2)C1=O ZINC001412328526 854438531 /nfs/dbraw/zinc/43/85/31/854438531.db2.gz HVDRCFFOHFIARZ-CYBMUJFWSA-N -1 1 314.316 1.849 20 0 DDADMM C[C@H](CN(C)C(=O)OC(C)(C)C)NC(=O)c1ccc([O-])cn1 ZINC001412380865 854489901 /nfs/dbraw/zinc/48/99/01/854489901.db2.gz CFXHOIHERXHKSV-SNVBAGLBSA-N -1 1 309.366 1.772 20 0 DDADMM CCn1c(C)cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1C ZINC001412527666 854665383 /nfs/dbraw/zinc/66/53/83/854665383.db2.gz KNCWTVPIODFVDN-UHFFFAOYSA-N -1 1 304.398 1.936 20 0 DDADMM O=C(c1ccc([O-])cc1F)N(C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001412535961 854676522 /nfs/dbraw/zinc/67/65/22/854676522.db2.gz UHWXUFYVNACBKH-SNVBAGLBSA-N -1 1 313.350 1.323 20 0 DDADMM CN(CC(=O)NCc1ccco1)C(=O)c1cccc([O-])c1F ZINC001412536233 854678609 /nfs/dbraw/zinc/67/86/09/854678609.db2.gz XMKMZWDUAPAIDU-UHFFFAOYSA-N -1 1 306.293 1.513 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@H]1CCC2N=NC(=O)N2C1 ZINC001412547144 854701912 /nfs/dbraw/zinc/70/19/12/854701912.db2.gz HIMRNPZBEMDVOY-VIFPVBQESA-N -1 1 322.752 1.222 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1CC(=O)Nc1nnn[n-]1 ZINC001412574105 854740916 /nfs/dbraw/zinc/74/09/16/854740916.db2.gz CFPCGIUFXMLZIF-RKDXNWHRSA-N -1 1 310.358 1.031 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1CC(=O)Nc1nn[n-]n1 ZINC001412574105 854740920 /nfs/dbraw/zinc/74/09/20/854740920.db2.gz CFPCGIUFXMLZIF-RKDXNWHRSA-N -1 1 310.358 1.031 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1C[C@@H](C(=O)Nc2nnn[n-]2)C1(C)C ZINC001412581721 854752346 /nfs/dbraw/zinc/75/23/46/854752346.db2.gz XUBQWEVKXCDPAK-JGVFFNPUSA-N -1 1 310.358 1.078 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1C[C@@H](C(=O)Nc2nn[n-]n2)C1(C)C ZINC001412581721 854752352 /nfs/dbraw/zinc/75/23/52/854752352.db2.gz XUBQWEVKXCDPAK-JGVFFNPUSA-N -1 1 310.358 1.078 20 0 DDADMM CC[C@H](Sc1ccccc1)C(=O)N[C@@H](COC)c1nn[n-]n1 ZINC001412600519 854791922 /nfs/dbraw/zinc/79/19/22/854791922.db2.gz DVBHIXOZXYTCJY-RYUDHWBXSA-N -1 1 321.406 1.574 20 0 DDADMM COc1c(Cl)ccc([O-])c1C(=O)N1CC[C@H]2C[C@]21C(N)=O ZINC001276165942 854866912 /nfs/dbraw/zinc/86/69/12/854866912.db2.gz CAEVQGHUHTZLDW-JKYUHCHBSA-N -1 1 310.737 1.144 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NN1C[C@@H]2CCC[C@H]2C1 ZINC001412825884 855308878 /nfs/dbraw/zinc/30/88/78/855308878.db2.gz MKRRAKPWLRKDNO-RYUDHWBXSA-N -1 1 304.394 1.991 20 0 DDADMM CO[C@H]([C@H](C)NC(=O)c1c(C)[n-]c(=O)nc1SC)C1CC1 ZINC001412966293 855826012 /nfs/dbraw/zinc/82/60/12/855826012.db2.gz KNOZNNFHKJCOJO-GZMMTYOYSA-N -1 1 311.407 1.756 20 0 DDADMM Cc1nnc(CN2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)[nH]1 ZINC001413017903 855948511 /nfs/dbraw/zinc/94/85/11/855948511.db2.gz CMJHITLFIXYHCE-CBAPKCEASA-N -1 1 305.304 1.145 20 0 DDADMM CS(=O)(=O)CCN(Cc1ccc([O-])c(F)c1F)C1CC1 ZINC001413173964 856515707 /nfs/dbraw/zinc/51/57/07/856515707.db2.gz GQJAGHXTBHBGEI-UHFFFAOYSA-N -1 1 305.346 1.679 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H](C)c2ccccc2)[n-]n1 ZINC001413269565 856594981 /nfs/dbraw/zinc/59/49/81/856594981.db2.gz VCAROGMJXKFLJH-JTQLQIEISA-N -1 1 323.374 1.578 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H](C)c2ccccc2)n[n-]1 ZINC001413269565 856594989 /nfs/dbraw/zinc/59/49/89/856594989.db2.gz VCAROGMJXKFLJH-JTQLQIEISA-N -1 1 323.374 1.578 20 0 DDADMM Cc1nn2cccnc2c1S(=O)(=O)[N-]CC1CC(F)(F)C1 ZINC001413355081 856708654 /nfs/dbraw/zinc/70/86/54/856708654.db2.gz LMIGFDWHXUFFDF-UHFFFAOYSA-N -1 1 316.333 1.361 20 0 DDADMM CCCC[C@H](O)CCC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC001413462843 856843566 /nfs/dbraw/zinc/84/35/66/856843566.db2.gz SHWOXHPLGBUDQT-NSHDSACASA-N -1 1 324.343 1.455 20 0 DDADMM O=C(CCc1cccc(F)c1F)NC1(c2nn[n-]n2)CCC1 ZINC001413504495 856901309 /nfs/dbraw/zinc/90/13/09/856901309.db2.gz ANVYPHGBACMZBE-UHFFFAOYSA-N -1 1 307.304 1.606 20 0 DDADMM CCCN(C(=O)c1cnc(SC)[n-]c1=O)[C@H](C)C(=O)OC ZINC001413669819 857309530 /nfs/dbraw/zinc/30/95/30/857309530.db2.gz RJLYAZFBVXMBLW-MRVPVSSYSA-N -1 1 313.379 1.318 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)C[C@H](C)c2cnn(C)c2)n[nH]1 ZINC001413670106 857310637 /nfs/dbraw/zinc/31/06/37/857310637.db2.gz FEPFNOKINBGUNO-JTQLQIEISA-N -1 1 319.365 1.841 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc2ncncc2c1 ZINC001151780705 862962561 /nfs/dbraw/zinc/96/25/61/862962561.db2.gz SZEFXSBOUDWDPK-UHFFFAOYSA-N -1 1 307.273 1.254 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)s1 ZINC001381345953 881537947 /nfs/dbraw/zinc/53/79/47/881537947.db2.gz FLSUAUYDQHZGEU-BDAKNGLRSA-N -1 1 309.395 1.177 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(OC)c(F)c1N)c1nn[n-]n1 ZINC001140069589 860569766 /nfs/dbraw/zinc/56/97/66/860569766.db2.gz AHERUXZPWIWYNY-UHFFFAOYSA-N -1 1 322.344 1.375 20 0 DDADMM Cc1c[nH]c(CC(N)=O)c1C(=O)Nc1ccc([O-])c(F)c1F ZINC001140657101 860667771 /nfs/dbraw/zinc/66/77/71/860667771.db2.gz JFLIAEXHEMLCLJ-UHFFFAOYSA-N -1 1 309.272 1.587 20 0 DDADMM COC(=O)C[C@H]1COCCN1Cc1ccc([O-])c(F)c1F ZINC001140892136 860727196 /nfs/dbraw/zinc/72/71/96/860727196.db2.gz BKWBYNBZUKDGFZ-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM O=C(NCc1ccc(-n2cncn2)nc1)c1cccc([O-])c1F ZINC001141625262 860900193 /nfs/dbraw/zinc/90/01/93/860900193.db2.gz HFTMDOWUUMQWKU-UHFFFAOYSA-N -1 1 313.292 1.437 20 0 DDADMM CCCc1[nH]ncc1C(=O)Nc1cccc(-c2nc(=O)o[n-]2)c1 ZINC001328656335 863130692 /nfs/dbraw/zinc/13/06/92/863130692.db2.gz VCVOAUIJVASLNO-UHFFFAOYSA-N -1 1 313.317 1.958 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1C[C@H](CO)C[C@H](F)C1 ZINC001152670435 863483037 /nfs/dbraw/zinc/48/30/37/863483037.db2.gz CWCGEVSBGHJXFU-ZJUUUORDSA-N -1 1 320.320 1.439 20 0 DDADMM O=C([O-])CSCCNCc1cn(-c2ccc(F)cc2)nn1 ZINC001329244159 863557519 /nfs/dbraw/zinc/55/75/19/863557519.db2.gz YIGVXTISDQUUFE-UHFFFAOYSA-N -1 1 310.354 1.314 20 0 DDADMM O=C(COCC(F)F)OCc1cc(=O)oc2cc([O-])ccc12 ZINC001329362600 863621118 /nfs/dbraw/zinc/62/11/18/863621118.db2.gz VRRHZWWSLBDCLK-UHFFFAOYSA-N -1 1 314.240 1.824 20 0 DDADMM CCN(Cc1cncs1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152974600 863627963 /nfs/dbraw/zinc/62/79/63/863627963.db2.gz BQWTYWZJWACKAS-LLVKDONJSA-N -1 1 320.418 1.884 20 0 DDADMM O=C(Nc1ccc(Br)c([O-])c1)[C@H]1CCCNC1=O ZINC001329460083 863677536 /nfs/dbraw/zinc/67/75/36/863677536.db2.gz JTHIZWMUTDEIGQ-QMMMGPOBSA-N -1 1 313.151 1.619 20 0 DDADMM Cc1ccc(C)c([C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC001153077535 863695038 /nfs/dbraw/zinc/69/50/38/863695038.db2.gz XHQLZCNYKBXSFQ-CYBMUJFWSA-N -1 1 315.373 1.421 20 0 DDADMM Cc1cc2c(c(NCCC(=O)Nc3ccccc3)n1)C(=O)[N-]C2=O ZINC001158124260 864444132 /nfs/dbraw/zinc/44/41/32/864444132.db2.gz VRSDERPMJRACCK-UHFFFAOYSA-N -1 1 324.340 1.714 20 0 DDADMM Cc1cnn(C[C@@H](NCc2ccc(F)c(F)c2F)C(=O)[O-])c1 ZINC001330609256 864484989 /nfs/dbraw/zinc/48/49/89/864484989.db2.gz UBBYEMJKWQYNTG-LLVKDONJSA-N -1 1 313.279 1.852 20 0 DDADMM CCCCNC(=O)CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001330706714 864542738 /nfs/dbraw/zinc/54/27/38/864542738.db2.gz VBXAPRPEWQHGKV-GFCCVEGCSA-N -1 1 309.332 1.046 20 0 DDADMM CCOc1ccc(Cl)nc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001159189156 865155824 /nfs/dbraw/zinc/15/58/24/865155824.db2.gz HPARZHUINKYWPD-UHFFFAOYSA-N -1 1 322.712 1.906 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncnc4cccc(F)c43)c2[nH]1 ZINC001159189146 865156282 /nfs/dbraw/zinc/15/62/82/865156282.db2.gz HLZSJDLVGXXGQZ-UHFFFAOYSA-N -1 1 313.252 1.542 20 0 DDADMM CCc1nc2ccc(Cl)cn2c1C(=O)N(C)c1nn[n-]n1 ZINC001331921681 865433568 /nfs/dbraw/zinc/43/35/68/865433568.db2.gz CGFQYYJAPBDIBX-UHFFFAOYSA-N -1 1 305.729 1.340 20 0 DDADMM Cc1cc2c(c(N[C@H]3COCc4ccccc43)n1)C(=O)[N-]C2=O ZINC001160119589 865686152 /nfs/dbraw/zinc/68/61/52/865686152.db2.gz GQXDSOXJYVEPGB-ZDUSSCGKSA-N -1 1 309.325 1.957 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CCOCC1CC1 ZINC001323024115 866276900 /nfs/dbraw/zinc/27/69/00/866276900.db2.gz UNNASFMCMZSSKX-UHFFFAOYSA-N -1 1 321.345 1.678 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CC[C@H](SC)C2)sn1 ZINC001475041129 866596780 /nfs/dbraw/zinc/59/67/80/866596780.db2.gz XEUWMIGEHVRLBY-SFYZADRCSA-N -1 1 308.450 1.714 20 0 DDADMM Cc1conc1CNC/C=C\CNC(=O)c1ncccc1[O-] ZINC001321030922 867236008 /nfs/dbraw/zinc/23/60/08/867236008.db2.gz WATUJXWZLVPMIX-IHWYPQMZSA-N -1 1 302.334 1.159 20 0 DDADMM COC(=O)CCCCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC001474895377 868274739 /nfs/dbraw/zinc/27/47/39/868274739.db2.gz ACMMXUOTTNAXPX-UHFFFAOYSA-N -1 1 317.363 1.022 20 0 DDADMM Cc1cc2c(c(N3CC[C@@H](F)C(F)(F)CC3)n1)C(=O)[N-]C2=O ZINC001163784852 868703101 /nfs/dbraw/zinc/70/31/01/868703101.db2.gz PTPHWUUCEHZYJM-SECBINFHSA-N -1 1 313.279 1.847 20 0 DDADMM CN1Cc2cccc(NCC[N-]C(=O)C(F)(F)F)c2NC1=O ZINC001164250881 869059147 /nfs/dbraw/zinc/05/91/47/869059147.db2.gz DVGDYVALDHSZQO-UHFFFAOYSA-N -1 1 316.283 1.754 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccnc(F)c2)n[n-]1 ZINC001166126675 869850319 /nfs/dbraw/zinc/85/03/19/869850319.db2.gz MPZOGYBBCFNTSI-ZETCQYMHSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccnc(F)c2)[n-]1 ZINC001166126675 869850332 /nfs/dbraw/zinc/85/03/32/869850332.db2.gz MPZOGYBBCFNTSI-ZETCQYMHSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccnc(F)c2)n1 ZINC001166126675 869850342 /nfs/dbraw/zinc/85/03/42/869850342.db2.gz MPZOGYBBCFNTSI-ZETCQYMHSA-N -1 1 307.285 1.007 20 0 DDADMM COC(=O)[C@H](Cc1ccccc1)NC(=O)c1ccc([O-])cn1 ZINC001361774775 882348142 /nfs/dbraw/zinc/34/81/42/882348142.db2.gz FAHNQYHTUKKOLO-AWEZNQCLSA-N -1 1 300.314 1.301 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2cnc3c(cnn3C)c2)c1C ZINC001338370631 869948388 /nfs/dbraw/zinc/94/83/88/869948388.db2.gz DIJNMVSTFXESKC-UHFFFAOYSA-N -1 1 306.351 1.109 20 0 DDADMM CC[C@@H](CNC(=O)C1CC=CC1)NC(=O)c1ncccc1[O-] ZINC001297377244 870016364 /nfs/dbraw/zinc/01/63/64/870016364.db2.gz RPSRYBSEOBHYHF-LBPRGKRZSA-N -1 1 303.362 1.378 20 0 DDADMM C[C@@]1(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)C=CCC1 ZINC001166654065 870129212 /nfs/dbraw/zinc/12/92/12/870129212.db2.gz DOYDVMMKPZXOKX-OAHLLOKOSA-N -1 1 319.409 1.243 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CC=C(C(C)(C)C)CC1 ZINC001338719963 870131293 /nfs/dbraw/zinc/13/12/93/870131293.db2.gz ISHLBFIYYYKYLA-UHFFFAOYSA-N -1 1 304.354 1.341 20 0 DDADMM COCC1=CCN(c2nnc(-c3nc(Cl)n[n-]3)n2C)CC1 ZINC001338719355 870131453 /nfs/dbraw/zinc/13/14/53/870131453.db2.gz TZARVSBNLISHPA-UHFFFAOYSA-N -1 1 309.761 1.037 20 0 DDADMM O=C(Nc1ccc(O[C@@H]2CC(=O)N2)cc1)c1ccc(O)cc1[O-] ZINC001297808645 870180512 /nfs/dbraw/zinc/18/05/12/870180512.db2.gz UHQBYTKDNZTVNT-OAHLLOKOSA-N -1 1 314.297 1.575 20 0 DDADMM CN(CCCc1ccccc1)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001339351650 870456026 /nfs/dbraw/zinc/45/60/26/870456026.db2.gz NPCUYSAXRYQACU-UHFFFAOYSA-N -1 1 314.349 1.227 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(Cc1cccs1)C(C)C ZINC001339380992 870469758 /nfs/dbraw/zinc/46/97/58/870469758.db2.gz BHKXVBIYVRBBAO-UHFFFAOYSA-N -1 1 318.410 1.955 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(Cc1cccs1)C(C)C ZINC001339380992 870469768 /nfs/dbraw/zinc/46/97/68/870469768.db2.gz BHKXVBIYVRBBAO-UHFFFAOYSA-N -1 1 318.410 1.955 20 0 DDADMM CN(CCc1nccs1)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001339428831 870494422 /nfs/dbraw/zinc/49/44/22/870494422.db2.gz WLOLIAVXXYHWHC-UHFFFAOYSA-N -1 1 324.801 1.389 20 0 DDADMM CC(C)[C@@H]1CN(c2nnc(Cc3nnn[n-]3)n2C)c2ccccc21 ZINC001339602325 870575237 /nfs/dbraw/zinc/57/52/37/870575237.db2.gz FILNXKHOZYUPRQ-LBPRGKRZSA-N -1 1 324.392 1.810 20 0 DDADMM CC(C)[C@@H]1CN(c2nnc(Cc3nn[n-]n3)n2C)c2ccccc21 ZINC001339602325 870575242 /nfs/dbraw/zinc/57/52/42/870575242.db2.gz FILNXKHOZYUPRQ-LBPRGKRZSA-N -1 1 324.392 1.810 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCC=C(c2ccccc2)C1 ZINC001340049665 870862840 /nfs/dbraw/zinc/86/28/40/870862840.db2.gz UXHRGCWFCCTIQZ-UHFFFAOYSA-N -1 1 322.376 1.772 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCC=C(c2ccccc2)C1 ZINC001340049665 870862846 /nfs/dbraw/zinc/86/28/46/870862846.db2.gz UXHRGCWFCCTIQZ-UHFFFAOYSA-N -1 1 322.376 1.772 20 0 DDADMM Cc1cnc(C(=O)NCc2nnc3ccc(Cl)cn32)c([O-])c1 ZINC001301188766 870979509 /nfs/dbraw/zinc/97/95/09/870979509.db2.gz JCOPTAAPYLRJEY-UHFFFAOYSA-N -1 1 317.736 1.722 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ncc2ccccn21 ZINC001301650752 871015156 /nfs/dbraw/zinc/01/51/56/871015156.db2.gz VCDCGDRQOBWQHT-ZDUSSCGKSA-N -1 1 319.328 1.367 20 0 DDADMM CC=CC=CC(=O)N1CCC[C@@H]1c1nn[n-]c1C(=O)OCC ZINC001303490348 871127927 /nfs/dbraw/zinc/12/79/27/871127927.db2.gz BZTYJBSBWADQSL-JECTXKTGSA-N -1 1 304.350 1.777 20 0 DDADMM CC=CC=CC(=O)N1CCC[C@@H]1c1[n-]nnc1C(=O)OCC ZINC001303490348 871127933 /nfs/dbraw/zinc/12/79/33/871127933.db2.gz BZTYJBSBWADQSL-JECTXKTGSA-N -1 1 304.350 1.777 20 0 DDADMM CC=CC=CC(=O)N1CCC[C@@H]1c1n[n-]nc1C(=O)OCC ZINC001303490348 871127939 /nfs/dbraw/zinc/12/79/39/871127939.db2.gz BZTYJBSBWADQSL-JECTXKTGSA-N -1 1 304.350 1.777 20 0 DDADMM CN(C[C@H]1COc2ccccc2O1)C(=O)c1ccc([O-])cn1 ZINC001361852633 882501604 /nfs/dbraw/zinc/50/16/04/882501604.db2.gz CWXYUXYYKVBDID-LBPRGKRZSA-N -1 1 300.314 1.699 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1cccc(Cl)c1 ZINC001340793139 871356278 /nfs/dbraw/zinc/35/62/78/871356278.db2.gz IGXOPRFMQBSHDB-UHFFFAOYSA-N -1 1 318.772 1.768 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1cccc(Cl)c1 ZINC001340793139 871356296 /nfs/dbraw/zinc/35/62/96/871356296.db2.gz IGXOPRFMQBSHDB-UHFFFAOYSA-N -1 1 318.772 1.768 20 0 DDADMM O=c1nc(CCCNc2nc3ccccc3n3cncc23)[nH][n-]1 ZINC001306979519 871385826 /nfs/dbraw/zinc/38/58/26/871385826.db2.gz BTMBRDGSHZZHMJ-UHFFFAOYSA-N -1 1 309.333 1.751 20 0 DDADMM O=c1ccc(C[N-]S(=O)(=O)c2cc3cc(F)ccc3o2)n[nH]1 ZINC001309521641 871579882 /nfs/dbraw/zinc/57/98/82/871579882.db2.gz UUHAZPIIHGEPLI-UHFFFAOYSA-N -1 1 323.305 1.546 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2nc(-c3ccccc3)no2)n[n-]1 ZINC001318160159 871788149 /nfs/dbraw/zinc/78/81/49/871788149.db2.gz HIHJOUIVJJDWPK-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM CC(C)C(C)(C)C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001316916009 872353644 /nfs/dbraw/zinc/35/36/44/872353644.db2.gz CUUBJWYAQTYBDX-UHFFFAOYSA-N -1 1 323.441 1.663 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCCCC[C@@H]1C(=O)Nc1nnn[n-]1 ZINC001361931129 882663577 /nfs/dbraw/zinc/66/35/77/882663577.db2.gz ONBCKYAMMKAXCR-VHSXEESVSA-N -1 1 324.385 1.612 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCCCC[C@@H]1C(=O)Nc1nn[n-]n1 ZINC001361931129 882663583 /nfs/dbraw/zinc/66/35/83/882663583.db2.gz ONBCKYAMMKAXCR-VHSXEESVSA-N -1 1 324.385 1.612 20 0 DDADMM CC[C@H](NC(=O)CCc1nn[n-]n1)c1ccc2c(c1)OCCO2 ZINC001361954214 882703045 /nfs/dbraw/zinc/70/30/45/882703045.db2.gz VNYFSMWVRJHEID-NSHDSACASA-N -1 1 317.349 1.171 20 0 DDADMM CCCCOC[C@@H](C)Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c21 ZINC001226888327 882703387 /nfs/dbraw/zinc/70/33/87/882703387.db2.gz OVJNSPRLGCHQQG-SSDOTTSWSA-N -1 1 310.310 1.121 20 0 DDADMM CN(Cc1ccccc1)c1nnc(Cc2nnn[n-]2)n1CC1CC1 ZINC001346851868 873914559 /nfs/dbraw/zinc/91/45/59/873914559.db2.gz WVBGGPSLXLKPBG-UHFFFAOYSA-N -1 1 324.392 1.428 20 0 DDADMM CN(Cc1ccccc1)c1nnc(Cc2nn[n-]n2)n1CC1CC1 ZINC001346851868 873914568 /nfs/dbraw/zinc/91/45/68/873914568.db2.gz WVBGGPSLXLKPBG-UHFFFAOYSA-N -1 1 324.392 1.428 20 0 DDADMM CC(C)[C@@H]1CC[C@@H](C)C[C@H]1OC(=O)[C@H](O)Oc1cnc[n-]c1=O ZINC001227048814 882794209 /nfs/dbraw/zinc/79/42/09/882794209.db2.gz KKYPOLMHHKLKRC-AZKPJATDSA-N -1 1 324.377 1.883 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CCCCN1Cc1cccc(F)c1 ZINC001347384234 874119536 /nfs/dbraw/zinc/11/95/36/874119536.db2.gz OEKWILIYWXESHJ-HNNXBMFYSA-N -1 1 310.369 1.874 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001347661727 874232288 /nfs/dbraw/zinc/23/22/88/874232288.db2.gz SDWGGTQSBMEQJW-FWWRYZNZSA-N -1 1 313.361 1.400 20 0 DDADMM Cn1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c(-c2ccccc2)n1 ZINC001347976329 874326835 /nfs/dbraw/zinc/32/68/35/874326835.db2.gz XZDJDPXZXXREGY-LBPRGKRZSA-N -1 1 323.360 1.230 20 0 DDADMM C[C@@H](CC(C)(C)C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209972758 875182903 /nfs/dbraw/zinc/18/29/03/875182903.db2.gz IQPYLXQGRRMAPD-NWDGAFQWSA-N -1 1 323.441 1.663 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)OCc1ccncc1)c1nn[n-]n1 ZINC001362051343 882919233 /nfs/dbraw/zinc/91/92/33/882919233.db2.gz KQXMPXGTHZNKCC-JQWIXIFHSA-N -1 1 304.354 1.157 20 0 DDADMM C[C@H](Cn1ccc2ccccc21)C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001350222438 875585641 /nfs/dbraw/zinc/58/56/41/875585641.db2.gz FQZKORQXACABOJ-TZMCWYRMSA-N -1 1 324.388 1.807 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CCCC12CC2 ZINC001378777101 875613850 /nfs/dbraw/zinc/61/38/50/875613850.db2.gz LBKVYEBUWWWOJN-MNOVXSKESA-N -1 1 307.398 1.027 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001350428123 875684089 /nfs/dbraw/zinc/68/40/89/875684089.db2.gz GNILTNJLNKNSIL-TZIYXEQSSA-N -1 1 312.377 1.479 20 0 DDADMM O=C(c1nc(Cl)ccc1F)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001350428864 875684609 /nfs/dbraw/zinc/68/46/09/875684609.db2.gz LTJXNGGAGIZJKI-MRVPVSSYSA-N -1 1 324.747 1.482 20 0 DDADMM CSc1nc(NC2=CCN(C(=O)C3CC3)CC2)cc(=O)[n-]1 ZINC001211181149 875698735 /nfs/dbraw/zinc/69/87/35/875698735.db2.gz NRNIIQUPKKIOBJ-UHFFFAOYSA-N -1 1 306.391 1.842 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3cccc4cn(C)nc43)no2)[n-]n1 ZINC001213463731 875946476 /nfs/dbraw/zinc/94/64/76/875946476.db2.gz NSRORCQFTDZNNZ-UHFFFAOYSA-N -1 1 324.300 1.800 20 0 DDADMM COC(=O)c1ccc(CN(C)C(=O)c2cnncc2[O-])cc1 ZINC001362094613 883020817 /nfs/dbraw/zinc/02/08/17/883020817.db2.gz QUGNVPQCSWAUFB-UHFFFAOYSA-N -1 1 301.302 1.241 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001379110010 876427484 /nfs/dbraw/zinc/42/74/84/876427484.db2.gz KOEGSLHXISLWJY-VXGBXAGGSA-N -1 1 317.389 1.602 20 0 DDADMM CC(C)(O)CO[N-]C(=O)[C@H]1CCCCN1Cc1cccc(F)c1 ZINC001352103293 876599257 /nfs/dbraw/zinc/59/92/57/876599257.db2.gz FGPFDVZXSVWUBV-OAHLLOKOSA-N -1 1 324.396 1.999 20 0 DDADMM CC[C@H](F)C(=O)N(CC)CCCNC(=O)c1ncccc1[O-] ZINC001352123086 876608116 /nfs/dbraw/zinc/60/81/16/876608116.db2.gz YVRPHCUOZZAFAW-NSHDSACASA-N -1 1 311.357 1.504 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(C)(C)[C@@H]1[C@@H]1CCCO1 ZINC001352209189 876648094 /nfs/dbraw/zinc/64/80/94/876648094.db2.gz OPDYHNHAJNMKDD-ONGXEEELSA-N -1 1 323.418 1.809 20 0 DDADMM C[C@@H]1C[N@H+](CCCNC(=O)NCCCCC(=O)[O-])C[C@H](C)O1 ZINC001352217282 876650001 /nfs/dbraw/zinc/65/00/01/876650001.db2.gz ZRHYGIIMJTTXQE-BETUJISGSA-N -1 1 315.414 1.040 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)NCCCCC(=O)[O-])C[C@H](C)O1 ZINC001352217282 876650012 /nfs/dbraw/zinc/65/00/12/876650012.db2.gz ZRHYGIIMJTTXQE-BETUJISGSA-N -1 1 315.414 1.040 20 0 DDADMM CCOCCCNC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001352283576 876675802 /nfs/dbraw/zinc/67/58/02/876675802.db2.gz DXGHAJRAHRVRPT-UHFFFAOYSA-N -1 1 314.769 1.205 20 0 DDADMM O=C(Cc1nsc2ccccc21)N1CC[C@H](c2nn[n-]n2)C1 ZINC001352584950 876817191 /nfs/dbraw/zinc/81/71/91/876817191.db2.gz MNTFMGHRGQPVQS-VIFPVBQESA-N -1 1 314.374 1.368 20 0 DDADMM Nc1cc(C(=O)NCCCC[P@](=O)([O-])O)ccc1Cl ZINC001220375569 878959391 /nfs/dbraw/zinc/95/93/91/878959391.db2.gz XMMTXQCPMFMMOJ-UHFFFAOYSA-N -1 1 306.686 1.610 20 0 DDADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)C1CCCC1 ZINC001380314157 879305893 /nfs/dbraw/zinc/30/58/93/879305893.db2.gz BBXUHKJHKNQQNI-ZDUSSCGKSA-N -1 1 321.425 1.465 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)cc1[N+](=O)[O-] ZINC000316313935 879340113 /nfs/dbraw/zinc/34/01/13/879340113.db2.gz BHFAJRKEANIYNG-UHFFFAOYSA-N -1 1 323.330 1.992 20 0 DDADMM CCC(C)(C)C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001395645056 912454436 /nfs/dbraw/zinc/45/44/36/912454436.db2.gz DDXUMPNNMQRAQC-NSHDSACASA-N -1 1 307.394 1.800 20 0 DDADMM Brc1ccc(N2CC[C@@H](OCc3nn[n-]n3)C2)cc1 ZINC001223026560 880787513 /nfs/dbraw/zinc/78/75/13/880787513.db2.gz BCRMZIPDOJTWAM-LLVKDONJSA-N -1 1 324.182 1.758 20 0 DDADMM CC[C@H](OCc1nn[n-]n1)c1cc(OC)c(OC)c(OC)c1 ZINC001223026733 880788565 /nfs/dbraw/zinc/78/85/65/880788565.db2.gz HLNFVTYINMCOFB-JTQLQIEISA-N -1 1 308.338 1.893 20 0 DDADMM CC(C)[C@H]1CC[C@@H](C)C[C@@]12OC[C@@H](COCc1nn[n-]n1)O2 ZINC001223030685 880793800 /nfs/dbraw/zinc/79/38/00/880793800.db2.gz ZSXVOXZIILAHEP-BHPKHCPMSA-N -1 1 310.398 1.920 20 0 DDADMM CC[C@H](C)CC(=O)N[C@@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001381178118 881202217 /nfs/dbraw/zinc/20/22/17/881202217.db2.gz NYJDFXUUWBLSBU-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H]([C@H](C)N(C)C(=O)c1cc(Cl)ccc1[O-])S(C)(=O)=O ZINC001362150122 883158289 /nfs/dbraw/zinc/15/82/89/883158289.db2.gz UXLOMSXTMLAIDI-DTWKUNHWSA-N -1 1 319.810 1.939 20 0 DDADMM C[C@H](COc1ccc(F)cc1F)NC(=O)c1cnncc1[O-] ZINC001362152367 883163023 /nfs/dbraw/zinc/16/30/23/883163023.db2.gz QGRQQZMNBVBLQK-MRVPVSSYSA-N -1 1 309.272 1.658 20 0 DDADMM O=C(c1ccccc1)c1ccc([O-])cc1OC1CS(=O)(=O)C1 ZINC001228185303 883377898 /nfs/dbraw/zinc/37/78/98/883377898.db2.gz HCWPCWKBUJCVDP-UHFFFAOYSA-N -1 1 318.350 1.799 20 0 DDADMM Cc1nnc([C@H]2CCCN(C(=O)c3ccc([O-])cc3F)C2)[nH]1 ZINC001362244874 883395459 /nfs/dbraw/zinc/39/54/59/883395459.db2.gz NWUAMTBIOMCGIU-JTQLQIEISA-N -1 1 304.325 1.978 20 0 DDADMM CCOC(=O)[C@@H](Oc1nc(C(=O)OC)n[n-]1)c1ccccc1 ZINC001228308533 883436351 /nfs/dbraw/zinc/43/63/51/883436351.db2.gz KAMZFOWSMYVIMT-JTQLQIEISA-N -1 1 305.290 1.275 20 0 DDADMM CCOC(=O)[C@@H](Oc1n[n-]c(C(=O)OC)n1)c1ccccc1 ZINC001228308533 883436354 /nfs/dbraw/zinc/43/63/54/883436354.db2.gz KAMZFOWSMYVIMT-JTQLQIEISA-N -1 1 305.290 1.275 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC=Cc1ccccc1 ZINC001362272543 883454938 /nfs/dbraw/zinc/45/49/38/883454938.db2.gz JFAMIDQCCVILKD-YVMONPNESA-N -1 1 301.302 1.340 20 0 DDADMM CC(C)(C)C(=O)N1CCN(C(=O)c2ccc([O-])cn2)C(C)(C)C1 ZINC001362287646 883485883 /nfs/dbraw/zinc/48/58/83/883485883.db2.gz SCLFZFMCBRRBAB-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM NC(=O)[C@H]1c2ccccc2CCN1C(=O)c1cccc([O-])c1F ZINC001362300748 883515648 /nfs/dbraw/zinc/51/56/48/883515648.db2.gz WYZLYPANQCDLMA-OAHLLOKOSA-N -1 1 314.316 1.756 20 0 DDADMM CCC(=O)c1c(O)cc([O-])cc1O[C@@H]1C[C@@H](C(=O)OC)N(C)C1 ZINC001228553275 883549678 /nfs/dbraw/zinc/54/96/78/883549678.db2.gz ACGQBNHSCSIZTP-MNOVXSKESA-N -1 1 323.345 1.315 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@H](CC)C(=O)OC ZINC001228552879 883549972 /nfs/dbraw/zinc/54/99/72/883549972.db2.gz PPZPWISTQHMSII-MRVPVSSYSA-N -1 1 300.336 1.272 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H]2c2nncn2C)c(=O)[n-]1 ZINC001362322853 883563009 /nfs/dbraw/zinc/56/30/09/883563009.db2.gz TZZJXVSDLNSMLT-SECBINFHSA-N -1 1 320.378 1.010 20 0 DDADMM CNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1cc(F)ccc1[O-] ZINC001362337569 883595890 /nfs/dbraw/zinc/59/58/90/883595890.db2.gz IJBZTJIIEGEIHR-CQSZACIVSA-N -1 1 316.332 1.618 20 0 DDADMM COc1cccc(O)c1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001362375080 883671697 /nfs/dbraw/zinc/67/16/97/883671697.db2.gz KYZHKZLDNACLRN-SECBINFHSA-N -1 1 319.317 1.509 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC001362407264 883741910 /nfs/dbraw/zinc/74/19/10/883741910.db2.gz PRWZCUVAWQWWFL-GFCCVEGCSA-N -1 1 300.366 1.286 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)c2ncccc2F)c(=O)[n-]1 ZINC001362409949 883747613 /nfs/dbraw/zinc/74/76/13/883747613.db2.gz UFIBHAQAGJXBGJ-SSDOTTSWSA-N -1 1 308.338 1.929 20 0 DDADMM CC[C@@H](C)CC[C@@H](O)CC(=O)NC(CC)(CC)c1nn[n-]n1 ZINC001362428056 883785492 /nfs/dbraw/zinc/78/54/92/883785492.db2.gz VDTKBXFDQXJZFW-VXGBXAGGSA-N -1 1 311.430 1.909 20 0 DDADMM CSc1nccnc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001362433518 883798295 /nfs/dbraw/zinc/79/82/95/883798295.db2.gz KHOVVOYJBZQEKK-MRVPVSSYSA-N -1 1 321.362 1.307 20 0 DDADMM CCC(CC)(NC(=O)Cc1cccc(COC)c1)c1nn[n-]n1 ZINC001362493974 883934987 /nfs/dbraw/zinc/93/49/87/883934987.db2.gz DVIRYZKVXYBEEG-UHFFFAOYSA-N -1 1 317.393 1.720 20 0 DDADMM O=C(N[C@H]1CCOC2(CCSCC2)C1)c1ccc([O-])cn1 ZINC001362508463 883968710 /nfs/dbraw/zinc/96/87/10/883968710.db2.gz AIFOHYMKMPZMPP-NSHDSACASA-N -1 1 308.403 1.962 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccc(O)c(F)c1)c1nn[n-]n1 ZINC001362622692 884245921 /nfs/dbraw/zinc/24/59/21/884245921.db2.gz CKESTSKXWSAPTM-UHFFFAOYSA-N -1 1 307.329 1.419 20 0 DDADMM Cc1n[nH]c(C(=O)Nc2c(C)[n-][nH]c2=O)c1Br ZINC001362659947 884346787 /nfs/dbraw/zinc/34/67/87/884346787.db2.gz XDGIGRCGNGJSMF-UHFFFAOYSA-N -1 1 300.116 1.470 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCC2CCOCC2)n[n-]1 ZINC001362661527 884352624 /nfs/dbraw/zinc/35/26/24/884352624.db2.gz QXXAUFFXDRTEHI-JTQLQIEISA-N -1 1 324.381 1.365 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCC2CCOCC2)[n-]1 ZINC001362661527 884352641 /nfs/dbraw/zinc/35/26/41/884352641.db2.gz QXXAUFFXDRTEHI-JTQLQIEISA-N -1 1 324.381 1.365 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCC2CCOCC2)n1 ZINC001362661527 884352659 /nfs/dbraw/zinc/35/26/59/884352659.db2.gz QXXAUFFXDRTEHI-JTQLQIEISA-N -1 1 324.381 1.365 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC[C@@](O)(C(F)(F)F)CC1 ZINC001362664537 884359472 /nfs/dbraw/zinc/35/94/72/884359472.db2.gz PWXOSUZGZIBQGK-LBPRGKRZSA-N -1 1 304.268 1.707 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1C ZINC001362668622 884370986 /nfs/dbraw/zinc/37/09/86/884370986.db2.gz ZQWZRKKRPUHYSJ-LBPRGKRZSA-N -1 1 301.350 1.537 20 0 DDADMM CC[C@@H](C)C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001382654281 884416097 /nfs/dbraw/zinc/41/60/97/884416097.db2.gz XFLQEYSCWQVMAP-VOMCLLRMSA-N -1 1 305.378 1.458 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)C1=COCCO1 ZINC001362717354 884477816 /nfs/dbraw/zinc/47/78/16/884477816.db2.gz OXWOSLUBKABCAL-UHFFFAOYSA-N -1 1 300.108 1.981 20 0 DDADMM CSc1ncc(C(=O)N(C)CC(=O)OC(C)(C)C)c(=O)[n-]1 ZINC001362741013 884524824 /nfs/dbraw/zinc/52/48/24/884524824.db2.gz GYMAAONYRBARKG-UHFFFAOYSA-N -1 1 313.379 1.318 20 0 DDADMM CCNC(=O)Nc1ccc(C)c(NC(=O)c2cnncc2[O-])c1 ZINC001362759370 884571917 /nfs/dbraw/zinc/57/19/17/884571917.db2.gz YXAYEPKWDLIDCR-UHFFFAOYSA-N -1 1 315.333 1.884 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(F)c([O-])c2)C[C@]2(CCCOC2)O1 ZINC001362762388 884579309 /nfs/dbraw/zinc/57/93/09/884579309.db2.gz BNZRMQONIREEPP-ZBEGNZNMSA-N -1 1 309.337 1.941 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cccc(C2OCCO2)c1 ZINC001362843057 884777250 /nfs/dbraw/zinc/77/72/50/884777250.db2.gz PLGWGCKDMIALIQ-UHFFFAOYSA-N -1 1 303.322 1.207 20 0 DDADMM COC[C@H](NC(=O)c1oc(C(F)F)cc1C)c1nn[n-]n1 ZINC001362896838 884915513 /nfs/dbraw/zinc/91/55/13/884915513.db2.gz DTWUQSQVPHGOGC-LURJTMIESA-N -1 1 301.253 1.156 20 0 DDADMM CCOC(=O)c1[nH]nc(NC(=O)c2cccc(F)c2[O-])c1C ZINC001363008269 885215105 /nfs/dbraw/zinc/21/51/05/885215105.db2.gz UDRQSCAQYOLQTH-UHFFFAOYSA-N -1 1 307.281 1.992 20 0 DDADMM CCN(C(=O)c1ccc(C(F)(F)F)c([O-])c1)[C@H]1CCNC1=O ZINC001363045203 885318282 /nfs/dbraw/zinc/31/82/82/885318282.db2.gz YFKIKWINDJUNRF-JTQLQIEISA-N -1 1 316.279 1.762 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccncc2Cl)n[n-]1 ZINC001363052169 885338016 /nfs/dbraw/zinc/33/80/16/885338016.db2.gz VMDDQKWGFGURFE-SSDOTTSWSA-N -1 1 323.740 1.521 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccncc2Cl)[n-]1 ZINC001363052169 885338030 /nfs/dbraw/zinc/33/80/30/885338030.db2.gz VMDDQKWGFGURFE-SSDOTTSWSA-N -1 1 323.740 1.521 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccncc2Cl)n1 ZINC001363052169 885338049 /nfs/dbraw/zinc/33/80/49/885338049.db2.gz VMDDQKWGFGURFE-SSDOTTSWSA-N -1 1 323.740 1.521 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC(N2CCOC2=O)CC1 ZINC001363122230 885520806 /nfs/dbraw/zinc/52/08/06/885520806.db2.gz FGDOIABLHAZBHT-UHFFFAOYSA-N -1 1 308.309 1.588 20 0 DDADMM CCC(CC)(NC(=O)c1cnn(C(C)C)c1N)c1nn[n-]n1 ZINC001363134831 885550979 /nfs/dbraw/zinc/55/09/79/885550979.db2.gz FQYQKWMLVMKSRX-UHFFFAOYSA-N -1 1 306.374 1.005 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1nscc1Br ZINC001363136802 885556245 /nfs/dbraw/zinc/55/62/45/885556245.db2.gz JCWOJXMDBSCSJN-UHFFFAOYSA-N -1 1 303.141 1.895 20 0 DDADMM CCc1nnc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)s1 ZINC001363147379 885579661 /nfs/dbraw/zinc/57/96/61/885579661.db2.gz JVIKXLVBWXVIGB-UHFFFAOYSA-N -1 1 305.363 1.404 20 0 DDADMM CCOC(=O)c1sc(NC(=O)CCCc2nn[n-]n2)cc1C ZINC001363159197 885604963 /nfs/dbraw/zinc/60/49/63/885604963.db2.gz UECBBQXOPAPQOO-UHFFFAOYSA-N -1 1 323.378 1.708 20 0 DDADMM CC(C)c1oncc1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363205258 885708931 /nfs/dbraw/zinc/70/89/31/885708931.db2.gz CCBKYFAJTYSGSA-UHFFFAOYSA-N -1 1 318.333 1.296 20 0 DDADMM O=C(Nc1ccc2c(c1)CCNC2=O)c1cnc(C2CC2)[n-]c1=O ZINC001363240846 885799041 /nfs/dbraw/zinc/79/90/41/885799041.db2.gz YDKIZQIHRYUDAI-UHFFFAOYSA-N -1 1 324.340 1.598 20 0 DDADMM CCC[C@H](C)C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001383455205 885822295 /nfs/dbraw/zinc/82/22/95/885822295.db2.gz SDGGCOHCFLQUQN-AVGNSLFASA-N -1 1 319.405 1.848 20 0 DDADMM CCS(=O)(=O)N1CCN(Cc2ccc(Cl)c([O-])c2)CC1 ZINC001231738036 885843447 /nfs/dbraw/zinc/84/34/47/885843447.db2.gz ZGXUTQRCYIVFKV-UHFFFAOYSA-N -1 1 318.826 1.513 20 0 DDADMM COc1ccc(CN2CCC(F)(F)[C@@H](CO)C2)cc1C(=O)[O-] ZINC001231750076 885853011 /nfs/dbraw/zinc/85/30/11/885853011.db2.gz WAYWQJJLUSQWNO-LLVKDONJSA-N -1 1 315.316 1.843 20 0 DDADMM COc1ccc(CN2CCN([C@H]3CCOC3)CC2)cc1C(=O)[O-] ZINC001231749754 885854063 /nfs/dbraw/zinc/85/40/63/885854063.db2.gz POGDDDNQVMFNPS-AWEZNQCLSA-N -1 1 320.389 1.300 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1COc2cc(F)ccc2C1 ZINC001363278489 885895566 /nfs/dbraw/zinc/89/55/66/885895566.db2.gz UBGLGANULXZRFF-JTQLQIEISA-N -1 1 317.324 1.086 20 0 DDADMM C[C@H](C[C@@H](C)NC(=O)c1ncccc1[O-])NC(=O)C1=CCCC1 ZINC001383587330 886086155 /nfs/dbraw/zinc/08/61/55/886086155.db2.gz VTCXHHQHHBLOOE-VXGBXAGGSA-N -1 1 317.389 1.911 20 0 DDADMM CCCCC(=O)NC[C@H](C1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001383595072 886105036 /nfs/dbraw/zinc/10/50/36/886105036.db2.gz NRKQGDXDVHWTLW-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@@H](C)c1ccncc1 ZINC001363352629 886108786 /nfs/dbraw/zinc/10/87/86/886108786.db2.gz BADBQZWQIYUVDA-GFCCVEGCSA-N -1 1 302.382 1.773 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(C(=O)N(C)C)nc2)c([O-])c1 ZINC001363388043 886195264 /nfs/dbraw/zinc/19/52/64/886195264.db2.gz TZZWHSBDPGODNO-UHFFFAOYSA-N -1 1 315.329 1.750 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccco1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363398338 886225203 /nfs/dbraw/zinc/22/52/03/886225203.db2.gz JKHWQPCNGIOPDO-QJPTWQEYSA-N -1 1 301.350 1.378 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001383698501 886347106 /nfs/dbraw/zinc/34/71/06/886347106.db2.gz FHFWBUIYQIMKAG-YNEHKIRRSA-N -1 1 319.405 1.800 20 0 DDADMM COC[C@@H](C)CC(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001383701654 886357386 /nfs/dbraw/zinc/35/73/86/886357386.db2.gz MBMQEFFXUQYVQT-NWDGAFQWSA-N -1 1 323.393 1.037 20 0 DDADMM C[C@@H]1CC[C@@H](C(N)=O)[C@@H](C)N1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363456487 886388855 /nfs/dbraw/zinc/38/88/55/886388855.db2.gz KAEVWLHMYKZTAB-FXPVBKGRSA-N -1 1 318.377 1.174 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1ccc2c(c1)CCC2 ZINC001363542752 886592902 /nfs/dbraw/zinc/59/29/02/886592902.db2.gz HZXWTMLZWCENGM-UHFFFAOYSA-N -1 1 313.405 1.916 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1n[nH]c2c1CCCCC2 ZINC001363555318 886625936 /nfs/dbraw/zinc/62/59/36/886625936.db2.gz WWVOUKZQNVQGDB-UHFFFAOYSA-N -1 1 301.354 1.001 20 0 DDADMM COc1cc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)ccc1O ZINC001363579905 886685015 /nfs/dbraw/zinc/68/50/15/886685015.db2.gz DWCAKIWPPCIOSN-JTQLQIEISA-N -1 1 317.349 1.009 20 0 DDADMM COC[C@H](NC(=O)[C@@H](C)Cc1cccc(Cl)c1)c1nn[n-]n1 ZINC001363584998 886697681 /nfs/dbraw/zinc/69/76/81/886697681.db2.gz GGIWSCBLDUCZAT-CABZTGNLSA-N -1 1 323.784 1.536 20 0 DDADMM CC(=O)N[C@H](CC(=O)Nc1c[n-][nH]c1=O)c1ccc(C)cc1 ZINC001363701593 887015443 /nfs/dbraw/zinc/01/54/43/887015443.db2.gz BPMGSPICHLKCOI-GFCCVEGCSA-N -1 1 302.334 1.630 20 0 DDADMM O=C(NCc1nc([C@H]2CCOC2)n[nH]1)c1c([O-])cccc1F ZINC001363719892 887064625 /nfs/dbraw/zinc/06/46/25/887064625.db2.gz DAEYXPDQOLRXMU-QMMMGPOBSA-N -1 1 306.297 1.083 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2ccccc2F)sn1 ZINC001363724152 887073289 /nfs/dbraw/zinc/07/32/89/887073289.db2.gz DHDSZRDIYJLEHY-UHFFFAOYSA-N -1 1 316.379 1.812 20 0 DDADMM CCOC(=O)[C@H](CC(C)C)Oc1c(=O)[n-]cnc1C(=O)OC ZINC001233759723 887289772 /nfs/dbraw/zinc/28/97/72/887289772.db2.gz RAELBEDMSSUEAS-VIFPVBQESA-N -1 1 312.322 1.325 20 0 DDADMM CC[C@@H](C)C(=O)NCCN(CCOC)C(=O)c1ncccc1[O-] ZINC001384387394 887374367 /nfs/dbraw/zinc/37/43/67/887374367.db2.gz JREDTOIIUFYXHZ-GFCCVEGCSA-N -1 1 323.393 1.038 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](C)n2cccc2)n[n-]1 ZINC001363890282 887480649 /nfs/dbraw/zinc/48/06/49/887480649.db2.gz XUBCHOFAWINZHY-NXEZZACHSA-N -1 1 305.338 1.221 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](C)n2cccc2)[n-]1 ZINC001363890282 887480659 /nfs/dbraw/zinc/48/06/59/887480659.db2.gz XUBCHOFAWINZHY-NXEZZACHSA-N -1 1 305.338 1.221 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](C)n2cccc2)n1 ZINC001363890282 887480663 /nfs/dbraw/zinc/48/06/63/887480663.db2.gz XUBCHOFAWINZHY-NXEZZACHSA-N -1 1 305.338 1.221 20 0 DDADMM O=C1CN(Cc2ccc([O-])c(F)c2F)CCN1CC(F)(F)F ZINC001363901387 887504169 /nfs/dbraw/zinc/50/41/69/887504169.db2.gz JYGYSUILXYLVNJ-UHFFFAOYSA-N -1 1 324.249 1.877 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1csnc1OC)[C@H]1CCCO1 ZINC001363965590 887628590 /nfs/dbraw/zinc/62/85/90/887628590.db2.gz FZLMEQHEPFYICU-RKDXNWHRSA-N -1 1 306.409 1.388 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC3(C2)CCCCC3)[n-]n1 ZINC001363997956 887694241 /nfs/dbraw/zinc/69/42/41/887694241.db2.gz MDNYVLFIPXEZAC-UHFFFAOYSA-N -1 1 313.379 1.151 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC3(C2)CCCCC3)n[n-]1 ZINC001363997956 887694252 /nfs/dbraw/zinc/69/42/52/887694252.db2.gz MDNYVLFIPXEZAC-UHFFFAOYSA-N -1 1 313.379 1.151 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-]C[C@@H](n1cccn1)C(F)(F)F ZINC001364004201 887706089 /nfs/dbraw/zinc/70/60/89/887706089.db2.gz AWWUBACXNHGTKW-SECBINFHSA-N -1 1 324.284 1.094 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1nc2c(o1)CCCC2 ZINC001364042568 887782802 /nfs/dbraw/zinc/78/28/02/887782802.db2.gz XRGYAHOSTPEDOQ-UHFFFAOYSA-N -1 1 316.317 1.023 20 0 DDADMM CCc1noc(NC(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)n1 ZINC001364129279 887986316 /nfs/dbraw/zinc/98/63/16/887986316.db2.gz GNYUESVQZLWMKI-UHFFFAOYSA-N -1 1 322.325 1.193 20 0 DDADMM COc1ccc([C@H](C)Oc2c(C(N)=O)nc(C)[n-]c2=O)c(F)c1 ZINC001234477669 888010771 /nfs/dbraw/zinc/01/07/71/888010771.db2.gz OEDMXKHBDUMCHV-ZETCQYMHSA-N -1 1 321.308 1.877 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H]1Cc2ccncc2[C@H]1C ZINC001234560618 888097123 /nfs/dbraw/zinc/09/71/23/888097123.db2.gz GSIVOAKLTRRYPE-PELKAZGASA-N -1 1 315.329 1.779 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H]1CCCn2cc(C)nc21 ZINC001234564537 888102193 /nfs/dbraw/zinc/10/21/93/888102193.db2.gz LJDSZAKYZMFJLX-SNVBAGLBSA-N -1 1 318.333 1.696 20 0 DDADMM CCCOC(=O)[C@H](C)Oc1[n-]c(=O)c(F)cc1C(=O)OC ZINC001234609808 888137851 /nfs/dbraw/zinc/13/78/51/888137851.db2.gz QNUFFSWQHHXFQW-ZETCQYMHSA-N -1 1 301.270 1.433 20 0 DDADMM CC(C)Oc1cccc(CC(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC001364206193 888143370 /nfs/dbraw/zinc/14/33/70/888143370.db2.gz NUKULFVAVSQPQG-UHFFFAOYSA-N -1 1 317.345 1.534 20 0 DDADMM C[C@H]1C[C@@H]1CNC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001364215849 888159850 /nfs/dbraw/zinc/15/98/50/888159850.db2.gz WUNROWDRSWBLEA-MMWGEVLESA-N -1 1 321.343 1.883 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)c(=O)[n-]1 ZINC001364480758 888734118 /nfs/dbraw/zinc/73/41/18/888734118.db2.gz WCDRHZTUMDXXSB-ASJMHXKMSA-N -1 1 307.375 1.057 20 0 DDADMM CC(C)[C@H]1C[C@@H](C(=O)Nc2nc(SCCO)n[nH]2)CCO1 ZINC001364491709 888758244 /nfs/dbraw/zinc/75/82/44/888758244.db2.gz LCCWACKZLGCGCZ-VHSXEESVSA-N -1 1 314.411 1.279 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CCCN(C(=O)CCCc2nn[n-]n2)C1 ZINC001364498009 888773894 /nfs/dbraw/zinc/77/38/94/888773894.db2.gz YIVOMKISJNTGJU-LLVKDONJSA-N -1 1 323.397 1.103 20 0 DDADMM Cc1ccc(OCC(=O)NC2(c3nn[n-]n3)CCC2)c(C)c1 ZINC001364664573 889154896 /nfs/dbraw/zinc/15/48/96/889154896.db2.gz XMNZMWATBXMQLS-UHFFFAOYSA-N -1 1 301.350 1.391 20 0 DDADMM COC(=O)[C@H](C[C@H]1CCCO1)NC(=O)c1cccc([O-])c1F ZINC001364749725 889333726 /nfs/dbraw/zinc/33/37/26/889333726.db2.gz VUISAYPKHQIZQB-KOLCDFICSA-N -1 1 311.309 1.372 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@@]12CCC[C@]1(OC)OCC2)OC ZINC001364959740 889763666 /nfs/dbraw/zinc/76/36/66/889763666.db2.gz JHABGROMZUHCAU-UPJWGTAASA-N -1 1 307.412 1.017 20 0 DDADMM Cn1nccc1[C@H]1CN(Cc2ccc([O-])c(F)c2F)CCO1 ZINC001365012321 889890886 /nfs/dbraw/zinc/89/08/86/889890886.db2.gz TXPWDPNKTWQBCA-CYBMUJFWSA-N -1 1 309.316 1.977 20 0 DDADMM C[C@H]1CN(Cc2c(Br)ccc([O-])c2F)CC(=O)N1 ZINC001238304229 890163151 /nfs/dbraw/zinc/16/31/51/890163151.db2.gz BUBDNKAQKDLWES-ZETCQYMHSA-N -1 1 317.158 1.614 20 0 DDADMM CNC(=O)CCN(C)Cc1c(Br)ccc([O-])c1F ZINC001238304765 890164562 /nfs/dbraw/zinc/16/45/62/890164562.db2.gz DIFAHTDWIRKFNE-UHFFFAOYSA-N -1 1 319.174 1.862 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001365151719 890205645 /nfs/dbraw/zinc/20/56/45/890205645.db2.gz WGKIQXRIAIAZGS-XYPYZODXSA-N -1 1 321.377 1.820 20 0 DDADMM Cc1ncc(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])s1 ZINC001386199819 890537516 /nfs/dbraw/zinc/53/75/16/890537516.db2.gz JFXVNAWVSSBLRH-QMMMGPOBSA-N -1 1 320.374 1.100 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]2C(C)(C)C)[n-]n1 ZINC001365476604 890870317 /nfs/dbraw/zinc/87/03/17/890870317.db2.gz AIYRHWULDNKPKH-VIFPVBQESA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]2C(C)(C)C)n[n-]1 ZINC001365476604 890870327 /nfs/dbraw/zinc/87/03/27/890870327.db2.gz AIYRHWULDNKPKH-VIFPVBQESA-N -1 1 301.368 1.005 20 0 DDADMM CC1=NO[C@@H](CNC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC001365515427 890960831 /nfs/dbraw/zinc/96/08/31/890960831.db2.gz NHLQGWSWPYRGCB-CYBMUJFWSA-N -1 1 315.333 1.864 20 0 DDADMM NC(=O)[C@@H]1CCCN1c1cc(-c2c(F)ccc([O-])c2F)ncn1 ZINC001241290436 891142222 /nfs/dbraw/zinc/14/22/22/891142222.db2.gz SCIZLNNDCRMWRE-JTQLQIEISA-N -1 1 320.299 1.582 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CCCC[C@H]2C)[n-]n1 ZINC001365634020 891216339 /nfs/dbraw/zinc/21/63/39/891216339.db2.gz RCEXSYQQCFBGMS-KOLCDFICSA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CCCC[C@H]2C)n[n-]1 ZINC001365634020 891216352 /nfs/dbraw/zinc/21/63/52/891216352.db2.gz RCEXSYQQCFBGMS-KOLCDFICSA-N -1 1 315.395 1.396 20 0 DDADMM COc1cc(CS(=O)(=O)[N-][C@@H](C)c2nnc(C)o2)sn1 ZINC001365681039 891305455 /nfs/dbraw/zinc/30/54/55/891305455.db2.gz BAZDCYRORVNUMW-LURJTMIESA-N -1 1 318.380 1.024 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(C)(C)[C@H]2C2CC2)[n-]n1 ZINC001365711263 891380662 /nfs/dbraw/zinc/38/06/62/891380662.db2.gz LJFRWRGZQLROMX-LLVKDONJSA-N -1 1 313.379 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(C)(C)[C@H]2C2CC2)n[n-]1 ZINC001365711263 891380673 /nfs/dbraw/zinc/38/06/73/891380673.db2.gz LJFRWRGZQLROMX-LLVKDONJSA-N -1 1 313.379 1.005 20 0 DDADMM CCC[C@H](C)[C@@H](CO)[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001365719353 891391790 /nfs/dbraw/zinc/39/17/90/891391790.db2.gz OXSAGOQSLSYUKK-DTWKUNHWSA-N -1 1 308.425 1.227 20 0 DDADMM Cc1cc2c(c(-c3ccc(OC(F)F)nc3)n1)C(=O)[N-]C2=O ZINC001242420062 891422072 /nfs/dbraw/zinc/42/20/72/891422072.db2.gz HTFJFKORHOLMRY-UHFFFAOYSA-N -1 1 305.240 1.937 20 0 DDADMM CSc1nc(CNC(=O)Nc2cc(C)ccn2)cc(=O)[n-]1 ZINC001365777565 891528237 /nfs/dbraw/zinc/52/82/37/891528237.db2.gz QKGXDBMYZAOHTP-UHFFFAOYSA-N -1 1 305.363 1.929 20 0 DDADMM C[C@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC001374697964 913605934 /nfs/dbraw/zinc/60/59/34/913605934.db2.gz XAMODBJGWCUOQP-SNVBAGLBSA-N -1 1 305.378 1.410 20 0 DDADMM COc1ncc(-c2ncnc3c2CCC3)cc1[N-]S(C)(=O)=O ZINC001244788629 891922637 /nfs/dbraw/zinc/92/26/37/891922637.db2.gz AQHCQHYCTGKTJI-UHFFFAOYSA-N -1 1 320.374 1.407 20 0 DDADMM COc1ncc(-c2cc(C)cnc2F)cc1[N-]S(C)(=O)=O ZINC001244790472 891925268 /nfs/dbraw/zinc/92/52/68/891925268.db2.gz AHIVXCLREPLYHY-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM CCn1cc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)ccc1=O ZINC001244792668 891926798 /nfs/dbraw/zinc/92/67/98/891926798.db2.gz ADXZYSXFJQUTKR-UHFFFAOYSA-N -1 1 323.374 1.310 20 0 DDADMM CS[C@H](C)C(=O)NCCN(C(=O)c1ncccc1[O-])C1CC1 ZINC001387466722 893166322 /nfs/dbraw/zinc/16/63/22/893166322.db2.gz PIHMXNMRILFDQQ-SNVBAGLBSA-N -1 1 323.418 1.260 20 0 DDADMM CCC[C@@H](C)CC(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001387844604 894006843 /nfs/dbraw/zinc/00/68/43/894006843.db2.gz DFWSGDVRGUTJEP-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)c1ccc(OC[C@@H](O)C[NH2+]CCP(=O)([O-])[O-])cc1 ZINC001250772444 894408393 /nfs/dbraw/zinc/40/83/93/894408393.db2.gz QONBWQBBVCLTFM-ZDUSSCGKSA-N -1 1 317.322 1.317 20 0 DDADMM O=C1Cc2ccc([N-]S(=O)(=O)c3ccc(F)cc3)cc2CN1 ZINC001251668265 894767199 /nfs/dbraw/zinc/76/71/99/894767199.db2.gz NLCBUEAKOBQKDC-UHFFFAOYSA-N -1 1 320.345 1.799 20 0 DDADMM O=C(NCCNC(=O)[C@@H]1CCCC12CC2)c1ncccc1[O-] ZINC001292666820 913907745 /nfs/dbraw/zinc/90/77/45/913907745.db2.gz WBKAYCDWQSXUJH-NSHDSACASA-N -1 1 303.362 1.214 20 0 DDADMM CCCS(=O)(=O)[N-]c1cc2c(cc1OC)CCN2C(C)=O ZINC001253705070 896020322 /nfs/dbraw/zinc/02/03/22/896020322.db2.gz JEDPKHJOUIQGGM-UHFFFAOYSA-N -1 1 312.391 1.756 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001388985283 896305688 /nfs/dbraw/zinc/30/56/88/896305688.db2.gz DOVVIUQNAFOMEI-CHWSQXEVSA-N -1 1 319.405 1.754 20 0 DDADMM C[C@@H](C(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1)c1cccc(F)c1 ZINC001367775142 897742586 /nfs/dbraw/zinc/74/25/86/897742586.db2.gz MUMPWKPJAVYYMZ-VHSXEESVSA-N -1 1 321.356 1.047 20 0 DDADMM CNC(=O)C[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257507822 897868675 /nfs/dbraw/zinc/86/86/75/897868675.db2.gz ACJOCEDYMZVJKN-UHFFFAOYSA-N -1 1 303.192 1.079 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]C[C@@H]1CC[C@@H](F)C1 ZINC001258449093 898192060 /nfs/dbraw/zinc/19/20/60/898192060.db2.gz LFKMVZYLFCMTKK-RKDXNWHRSA-N -1 1 309.794 1.798 20 0 DDADMM O=C1CCC[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC001259044137 898437473 /nfs/dbraw/zinc/43/74/73/898437473.db2.gz SZERAAKCRUHHAA-ZETCQYMHSA-N -1 1 307.293 1.894 20 0 DDADMM Cc1nocc1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259080267 898456402 /nfs/dbraw/zinc/45/64/02/898456402.db2.gz LRMFVNAIZVIQLI-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC001259459771 898680378 /nfs/dbraw/zinc/68/03/78/898680378.db2.gz FTDWUDCHTYTTCT-UHFFFAOYSA-N -1 1 308.762 1.269 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cccc(CC(N)=O)c2)cc1F ZINC001259480590 898691403 /nfs/dbraw/zinc/69/14/03/898691403.db2.gz JHSGURPYCSGRTN-UHFFFAOYSA-N -1 1 322.361 1.963 20 0 DDADMM Cc1cc(C)cc(S(=O)(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001259517643 898711685 /nfs/dbraw/zinc/71/16/85/898711685.db2.gz DCQVTWGBXNZCNW-UHFFFAOYSA-N -1 1 324.318 1.703 20 0 DDADMM O=S(=O)(CCc1ccc(F)cc1)[N-]c1cccc(CO)n1 ZINC001259754230 898781004 /nfs/dbraw/zinc/78/10/04/898781004.db2.gz FNABAAGGJWFFLL-UHFFFAOYSA-N -1 1 310.350 1.697 20 0 DDADMM COC(=O)/C=C/c1ccc([N-]S(=O)(=O)C2CCCC2)nc1 ZINC001259795193 898808929 /nfs/dbraw/zinc/80/89/29/898808929.db2.gz DZPGOTBPXCKGSI-VQHVLOKHSA-N -1 1 310.375 1.952 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cnc(C)nc2Cl)cn1 ZINC001259832586 898845251 /nfs/dbraw/zinc/84/52/51/898845251.db2.gz LXLZMQIYVWBRKY-UHFFFAOYSA-N -1 1 301.759 1.456 20 0 DDADMM CCOC(=O)Cc1cccc([N-]S(=O)(=O)CC(C)C)n1 ZINC001259878310 898889355 /nfs/dbraw/zinc/88/93/55/898889355.db2.gz GYPBWSHVOHFKFF-UHFFFAOYSA-N -1 1 300.380 1.585 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C2CCOCC2)cc1O ZINC001259914225 898922246 /nfs/dbraw/zinc/92/22/46/898922246.db2.gz OOJJHFOMIVFXDL-UHFFFAOYSA-N -1 1 315.347 1.100 20 0 DDADMM COCCS(=O)(=O)[N-]c1c(Cl)c(OC)ccc1C(C)=O ZINC001259972089 898995062 /nfs/dbraw/zinc/99/50/62/898995062.db2.gz OEWVZALBZFSOBW-UHFFFAOYSA-N -1 1 321.782 1.939 20 0 DDADMM COCCS(=O)(=O)[N-][C@H](C(=O)OC(C)(C)C)C(C)(C)C ZINC001259976376 899001342 /nfs/dbraw/zinc/00/13/42/899001342.db2.gz OOSULVFUWANADV-SNVBAGLBSA-N -1 1 309.428 1.309 20 0 DDADMM CC(C)N1CC([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)C1 ZINC001260206557 899089470 /nfs/dbraw/zinc/08/94/70/899089470.db2.gz SIKSKJZABZWYTD-UHFFFAOYSA-N -1 1 324.780 1.989 20 0 DDADMM CC(C)[C@@H](CO)[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260277464 899107845 /nfs/dbraw/zinc/10/78/45/899107845.db2.gz KTQGLXRSAZPWRW-SNVBAGLBSA-N -1 1 313.753 1.913 20 0 DDADMM Cc1cc(NS(=O)(=O)c2ccc(O)c(C(=O)[O-])c2)nc(C)n1 ZINC001260391425 899132877 /nfs/dbraw/zinc/13/28/77/899132877.db2.gz ICSSYRZKGIIUBD-UHFFFAOYSA-N -1 1 323.330 1.298 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cncs2)ccc1O ZINC001260392477 899132918 /nfs/dbraw/zinc/13/29/18/899132918.db2.gz AWXWPXCACYFQHN-UHFFFAOYSA-N -1 1 300.317 1.348 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ncc(Cl)cc1Cl ZINC001260583401 899175075 /nfs/dbraw/zinc/17/50/75/899175075.db2.gz BWLLVCNKXXMKLC-UHFFFAOYSA-N -1 1 319.191 1.132 20 0 DDADMM CN(C)CCNS(=O)(=O)c1cc(Cl)c([O-])c(Cl)c1 ZINC001260867262 899286356 /nfs/dbraw/zinc/28/63/56/899286356.db2.gz SVFVHHXDRVIPNZ-UHFFFAOYSA-N -1 1 313.206 1.539 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-]c1ncccc1CN1CCOCC1 ZINC001260727118 899230357 /nfs/dbraw/zinc/23/03/57/899230357.db2.gz KUJWCXWTZAZCBD-LBPRGKRZSA-N -1 1 313.423 1.454 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-]c1cc(C(=O)N(C)C)ccc1OC ZINC001260740049 899241676 /nfs/dbraw/zinc/24/16/76/899241676.db2.gz OFMPTEDDBCKYDB-SNVBAGLBSA-N -1 1 314.407 1.937 20 0 DDADMM CC[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)C(C)C ZINC001390464024 899462331 /nfs/dbraw/zinc/46/23/31/899462331.db2.gz BGOZWFZDCOQXQQ-NSHDSACASA-N -1 1 320.393 1.340 20 0 DDADMM CO[C@H]1CCN(c2nnc(-c3cc(Cl)ncc3[O-])n2C)C1 ZINC001262878817 900405381 /nfs/dbraw/zinc/40/53/81/900405381.db2.gz HYYUAWPSSYHZGY-QMMMGPOBSA-N -1 1 309.757 1.461 20 0 DDADMM Cc1ccc2[nH]c(C(=O)N3CC[C@H](c4nn[n-]n4)C3)cc2c1C ZINC001263886772 900800407 /nfs/dbraw/zinc/80/04/07/900800407.db2.gz WEOIRTJBERNSPL-NSHDSACASA-N -1 1 310.361 1.928 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)c1cccn1C ZINC001369660556 901721804 /nfs/dbraw/zinc/72/18/04/901721804.db2.gz HMNVNQUYFBXBGQ-WDEREUQCSA-N -1 1 316.361 1.063 20 0 DDADMM CC/C=C(\C)C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001265511424 902083868 /nfs/dbraw/zinc/08/38/68/902083868.db2.gz LHBDOSQOFJQCFO-ZBEGGMBYSA-N -1 1 319.409 1.478 20 0 DDADMM CCCCOCC(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001280460947 903702842 /nfs/dbraw/zinc/70/28/42/903702842.db2.gz QNDRWDIMBYZXJQ-GFCCVEGCSA-N -1 1 323.393 1.181 20 0 DDADMM CC(C)=CC(=O)N[C@@H](C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001281438212 904846697 /nfs/dbraw/zinc/84/66/97/904846697.db2.gz QTNYUDSIUOBNPC-NWDGAFQWSA-N -1 1 305.378 1.767 20 0 DDADMM CCCC(=O)N(C)CCOCCN(C)C(=O)c1ncccc1[O-] ZINC001282307607 905673946 /nfs/dbraw/zinc/67/39/46/905673946.db2.gz GDRNTQXBSUKEEO-UHFFFAOYSA-N -1 1 323.393 1.134 20 0 DDADMM CCCCC(=O)NCCN(CC)Cc1cc(=O)n2[n-]ccc2n1 ZINC001372284073 906912858 /nfs/dbraw/zinc/91/28/58/906912858.db2.gz UIBCWWFDYCEJFX-UHFFFAOYSA-N -1 1 319.409 1.151 20 0 DDADMM CC(C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C)=C1CCC1 ZINC001284586161 909216209 /nfs/dbraw/zinc/21/62/09/909216209.db2.gz KJCKCHCINUXNAD-GFCCVEGCSA-N -1 1 321.425 1.631 20 0 DDADMM CN(C(=O)[C@@H]1CCC1(C)C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001394430084 909610206 /nfs/dbraw/zinc/61/02/06/909610206.db2.gz BYGVOHVVNCNKQJ-RYUDHWBXSA-N -1 1 321.425 1.273 20 0 DDADMM CCc1nnc(CN[C@H](CC)CNC(=O)c2ncccc2[O-])o1 ZINC001373747967 910842215 /nfs/dbraw/zinc/84/22/15/910842215.db2.gz IFAKPXLEWNLKPA-SNVBAGLBSA-N -1 1 319.365 1.031 20 0 DDADMM CC[C@@H](CNC(=O)c1cc[nH]c1C(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001373788930 910989339 /nfs/dbraw/zinc/98/93/39/910989339.db2.gz LQEXRQLANHMQNK-JTQLQIEISA-N -1 1 320.397 1.260 20 0 DDADMM Cc1ncoc1C(=O)N[C@@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001394964631 911036498 /nfs/dbraw/zinc/03/64/98/911036498.db2.gz QJCJQYSHIVNTFO-IUCAKERBSA-N -1 1 318.333 1.020 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1ccccn1 ZINC001395068022 911285332 /nfs/dbraw/zinc/28/53/32/911285332.db2.gz OTXPYGJMEKRWHG-LLVKDONJSA-N -1 1 314.345 1.073 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C=O)ccc1OC)c1nn[n-]n1 ZINC001294421754 915128755 /nfs/dbraw/zinc/12/87/55/915128755.db2.gz HGFOGLAPABBOMY-NSHDSACASA-N -1 1 303.322 1.292 20 0 DDADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)[C@@]12C[C@@H]1CCCC2 ZINC001375255560 915394433 /nfs/dbraw/zinc/39/44/33/915394433.db2.gz OMTQQAIQIWXBHY-OZVIIMIRSA-N -1 1 319.409 1.075 20 0 DDADMM CC/C(C)=C\C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001294880849 915431511 /nfs/dbraw/zinc/43/15/11/915431511.db2.gz COHQUPNWVFIGQH-PRWOLLLXSA-N -1 1 317.389 1.768 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](NCc2conc2C)C2CC2)c1[O-] ZINC001376204893 917956627 /nfs/dbraw/zinc/95/66/27/917956627.db2.gz ATESLQUIFKFXGY-LBPRGKRZSA-N -1 1 319.365 1.018 20 0 DDADMM CC(C)(F)C(=O)NC[C@@H]1CCC[C@H]1NC(=O)c1ncccc1[O-] ZINC001376412707 918444290 /nfs/dbraw/zinc/44/42/90/918444290.db2.gz YMSPDVKALOGLIV-WDEREUQCSA-N -1 1 323.368 1.550 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])Cc1c(F)cccc1F ZINC001377138674 920442087 /nfs/dbraw/zinc/44/20/87/920442087.db2.gz CUCIGGNECXFNQO-UHFFFAOYSA-N -1 1 321.327 1.927 20 0 DDADMM C[C@@H](CNC(=O)C[C@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001377545927 922293248 /nfs/dbraw/zinc/29/32/48/922293248.db2.gz QFQQQGDEAUWURR-QWRGUYRKSA-N -1 1 305.378 1.458 20 0 DDADMM CCC[C@H](C)C(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001377666643 922630355 /nfs/dbraw/zinc/63/03/55/922630355.db2.gz BJKHLLCJDPIGGL-NWDGAFQWSA-N -1 1 309.414 1.275 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccc(C(=O)N(C)C)cc2)ccn1 ZINC000074631965 185004587 /nfs/dbraw/zinc/00/45/87/185004587.db2.gz DLMWMOGZWWSLAT-UHFFFAOYSA-N -1 1 319.386 1.893 20 0 DDADMM O=S(=O)(C[C@@H]1CCCCO1)[N-]c1ccc(-c2nc[nH]n2)cc1 ZINC000076937518 185058415 /nfs/dbraw/zinc/05/84/15/185058415.db2.gz UZLXLUQDBXFFLW-ZDUSSCGKSA-N -1 1 322.390 1.783 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC[C@H](C)C3)nc2n1 ZINC000622997050 365590316 /nfs/dbraw/zinc/59/03/16/365590316.db2.gz KAVFDLQRYHJRRV-UWVGGRQHSA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)Cc3ccoc3)nc2n1 ZINC000622997264 365590503 /nfs/dbraw/zinc/59/05/03/365590503.db2.gz RJJDWIIFMZFAIM-UHFFFAOYSA-N -1 1 301.306 1.144 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)Nc2c(C)n[nH]c2C)n1 ZINC000278574054 214246282 /nfs/dbraw/zinc/24/62/82/214246282.db2.gz FALKEIBAMVJQFN-UHFFFAOYSA-N -1 1 309.351 1.267 20 0 DDADMM CC[C@H]1CCC[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000077136045 185111610 /nfs/dbraw/zinc/11/16/10/185111610.db2.gz TXKWENYNMKVGEX-QWRGUYRKSA-N -1 1 314.407 1.886 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCCO1 ZINC000295038373 529381528 /nfs/dbraw/zinc/38/15/28/529381528.db2.gz ALXMKTQGZURLPV-LLVKDONJSA-N -1 1 306.391 1.659 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cn(C)c(C(C)C)n2)c1C ZINC000414452697 529470015 /nfs/dbraw/zinc/47/00/15/529470015.db2.gz LWANIOMQUZISJT-UHFFFAOYSA-N -1 1 311.411 1.938 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CCC1)c1cc(Cl)ccc1F ZINC000452041807 231279040 /nfs/dbraw/zinc/27/90/40/231279040.db2.gz DGCGLMQSIMTSPT-NSHDSACASA-N -1 1 307.774 1.918 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H](C)C1CCC1 ZINC000131692228 539188248 /nfs/dbraw/zinc/18/82/48/539188248.db2.gz HYDSAIMLZAPKRA-SECBINFHSA-N -1 1 302.378 1.487 20 0 DDADMM CCN1CCC[C@H]1CN(C)S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000092625963 185329989 /nfs/dbraw/zinc/32/99/89/185329989.db2.gz UBUDJNJWKAQKKQ-JTQLQIEISA-N -1 1 316.379 1.083 20 0 DDADMM Cc1nn(C)c(-n2ccnc2C)c1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000615222641 362202890 /nfs/dbraw/zinc/20/28/90/362202890.db2.gz SYZDCGDLMYNVBE-UHFFFAOYSA-N -1 1 315.337 1.212 20 0 DDADMM CC(=O)N[C@H](CC(=O)Nc1c(C)[n-][nH]c1=O)c1cccs1 ZINC000615227411 362203528 /nfs/dbraw/zinc/20/35/28/362203528.db2.gz QVCMASBKNSVHCJ-SECBINFHSA-N -1 1 308.363 1.691 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1Cc2cncn2C1 ZINC000623264119 365760573 /nfs/dbraw/zinc/76/05/73/365760573.db2.gz GKFQYDVSNQYBGL-SNVBAGLBSA-N -1 1 315.377 1.339 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCCc2ccccn2)n[n-]1 ZINC000615920507 362487997 /nfs/dbraw/zinc/48/79/97/362487997.db2.gz ZFLROYFYIOTLJT-UHFFFAOYSA-N -1 1 317.349 1.016 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCCc2ccccn2)n1 ZINC000615920507 362488002 /nfs/dbraw/zinc/48/80/02/362488002.db2.gz ZFLROYFYIOTLJT-UHFFFAOYSA-N -1 1 317.349 1.016 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCO[C@H](C)C2)c1Br ZINC000616009215 362519651 /nfs/dbraw/zinc/51/96/51/362519651.db2.gz SDPZAPGAPPKLAK-HTRCEHHLSA-N -1 1 302.172 1.656 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)c1Br ZINC000616011679 362520076 /nfs/dbraw/zinc/52/00/76/362520076.db2.gz QQCZERKWYCCIJO-DTWKUNHWSA-N -1 1 324.182 1.378 20 0 DDADMM O=C(N[C@@H](CO)[C@@H]1CCCOC1)c1ncc2ccccc2c1[O-] ZINC000616284042 362616522 /nfs/dbraw/zinc/61/65/22/362616522.db2.gz AWYJIFQBQIFZFC-OCCSQVGLSA-N -1 1 316.357 1.458 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C12CCC2 ZINC000458182498 232267847 /nfs/dbraw/zinc/26/78/47/232267847.db2.gz HUFXLNYJMISZRU-NWDGAFQWSA-N -1 1 316.361 1.361 20 0 DDADMM COc1ccc(Cn2cc(C(=O)Nc3ccncc3[O-])cn2)cc1 ZINC000358333868 299124273 /nfs/dbraw/zinc/12/42/73/299124273.db2.gz FZTWJUQTAFPRBS-UHFFFAOYSA-N -1 1 324.340 1.715 20 0 DDADMM C[C@H](OC[C@H]1CCCCO1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279619017 215010304 /nfs/dbraw/zinc/01/03/04/215010304.db2.gz HPUZVRSZQQMGOZ-XRNNBIEOSA-N -1 1 318.377 1.255 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCSC(C)C ZINC000286819929 219181743 /nfs/dbraw/zinc/18/17/43/219181743.db2.gz UYWXBAXJUIKINL-UHFFFAOYSA-N -1 1 322.434 1.440 20 0 DDADMM CSCC[C@H](O)C(=O)Nc1nc(Br)ccc1[O-] ZINC000279680538 215057795 /nfs/dbraw/zinc/05/77/95/215057795.db2.gz HMRUPINXORKHGV-ZETCQYMHSA-N -1 1 321.196 1.602 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)[C@@H](C)OC)o1 ZINC000342100447 282542707 /nfs/dbraw/zinc/54/27/07/282542707.db2.gz OICXESZRYOWKGE-DTWKUNHWSA-N -1 1 305.352 1.158 20 0 DDADMM COCCOc1ccc(CNC(=O)c2ccc([O-])cc2F)nn1 ZINC000564613514 304003980 /nfs/dbraw/zinc/00/39/80/304003980.db2.gz ZHFPDWNFXLWCCO-UHFFFAOYSA-N -1 1 321.308 1.277 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1C[C@@H](O)C2(CC2)C1 ZINC000368753681 307109080 /nfs/dbraw/zinc/10/90/80/307109080.db2.gz KVQHEWDXNZLABO-LLVKDONJSA-N -1 1 312.163 1.752 20 0 DDADMM CC(=O)NC[C@@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CCO1 ZINC000172233557 198062085 /nfs/dbraw/zinc/06/20/85/198062085.db2.gz NDCUEAUQESDZBW-LLVKDONJSA-N -1 1 312.753 1.023 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3c([O-])cccc3F)[C@H]2C1 ZINC000579286066 422741443 /nfs/dbraw/zinc/74/14/43/422741443.db2.gz OOFRWMMGFACMBD-OBJOEFQTSA-N -1 1 320.364 1.908 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)NC(=O)NC2CC2)n1 ZINC000011443201 352143155 /nfs/dbraw/zinc/14/31/55/352143155.db2.gz YVSDRYZHMJEUGM-UHFFFAOYSA-N -1 1 310.379 1.215 20 0 DDADMM CC(C)CN1C(=O)C(=CNc2cccc(O)c2)C(=O)[N-]C1=S ZINC000028976065 352234150 /nfs/dbraw/zinc/23/41/50/352234150.db2.gz QYZHJYHHUFIDSP-GHXNOFRVSA-N -1 1 319.386 1.587 20 0 DDADMM COc1ccc(NC(=O)c2ccccc2[O-])cc1OCC(N)=O ZINC000044641330 352389820 /nfs/dbraw/zinc/38/98/20/352389820.db2.gz NTWWGRYSPYVTBW-UHFFFAOYSA-N -1 1 316.313 1.517 20 0 DDADMM CC(=O)c1cccc(S(=O)(=O)[N-]c2cccc(C(N)=O)c2)c1 ZINC000047367518 352491618 /nfs/dbraw/zinc/49/16/18/352491618.db2.gz FVFZWRGTDVWOHQ-UHFFFAOYSA-N -1 1 318.354 1.789 20 0 DDADMM COc1cc(NC(=O)[C@H]2CCOC2)ccc1[N-]S(C)(=O)=O ZINC000066915179 353024098 /nfs/dbraw/zinc/02/40/98/353024098.db2.gz HWGBOXHIASQFPD-VIFPVBQESA-N -1 1 314.363 1.042 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1(OC)CCCC1)C(C)C ZINC000601286820 358478686 /nfs/dbraw/zinc/47/86/86/358478686.db2.gz OBHSUHRWJLMAJD-NSHDSACASA-N -1 1 307.412 1.063 20 0 DDADMM CC[C@H](CNC(C)=C1C(=O)[N-]C(=S)NC1=O)c1ccccc1 ZINC000073139088 191282667 /nfs/dbraw/zinc/28/26/67/191282667.db2.gz SFQVFYBFLHNFCJ-LLVKDONJSA-N -1 1 317.414 1.575 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2CCOc3ccccc32)C1 ZINC000332094895 234485861 /nfs/dbraw/zinc/48/58/61/234485861.db2.gz AGLBXRQUTXSLQD-JSGCOSHPSA-N -1 1 318.373 1.423 20 0 DDADMM COc1cc(NC(=O)/C=C/C2CC2)ccc1[N-]S(C)(=O)=O ZINC000079505595 353565748 /nfs/dbraw/zinc/56/57/48/353565748.db2.gz AQMRFQKMILFKQZ-VMPITWQZSA-N -1 1 310.375 1.971 20 0 DDADMM O=C(N[C@@H]1CC[S@](=O)C1)c1cc(Br)ccc1[O-] ZINC000081790516 353692851 /nfs/dbraw/zinc/69/28/51/353692851.db2.gz RPTODUZWZNNWGZ-CQLKUDPESA-N -1 1 318.192 1.406 20 0 DDADMM CCc1cc(NC(=O)NCCn2c(C)n[n-]c2=S)n(C)n1 ZINC000084323460 353719588 /nfs/dbraw/zinc/71/95/88/353719588.db2.gz IITVOHSQABAZRZ-UHFFFAOYSA-N -1 1 309.399 1.367 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCCC[C@H]2O)o1 ZINC000358634336 299210037 /nfs/dbraw/zinc/21/00/37/299210037.db2.gz GEYMKQQSMZTXIF-VHSXEESVSA-N -1 1 317.363 1.038 20 0 DDADMM CS(=O)(=O)[N-]c1cccc(-c2ccc3c(c2)OCCO3)n1 ZINC000130044021 354088036 /nfs/dbraw/zinc/08/80/36/354088036.db2.gz AMXCLPNLKVEAFK-UHFFFAOYSA-N -1 1 306.343 1.891 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)CC1(OC)CCCC1 ZINC000601300029 358485711 /nfs/dbraw/zinc/48/57/11/358485711.db2.gz ULWDXBXCYPMGQF-GFCCVEGCSA-N -1 1 321.439 1.453 20 0 DDADMM O=C([O-])CSCC(=O)N[C@H]1CCN(Cc2ccccc2)C1 ZINC000157619391 354226166 /nfs/dbraw/zinc/22/61/66/354226166.db2.gz LHHFUOOYMCSQFV-ZDUSSCGKSA-N -1 1 308.403 1.195 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(N2CCCC2)ccn1)c1nn[n-]n1 ZINC000194815219 354294886 /nfs/dbraw/zinc/29/48/86/354294886.db2.gz XQTHZGKHOYUHQV-GFCCVEGCSA-N -1 1 315.381 1.466 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCS(=O)(=O)[C@@H]2CCC[C@@H]21 ZINC000584823105 354775902 /nfs/dbraw/zinc/77/59/02/354775902.db2.gz BYCCYKRMEPAONY-WCQYABFASA-N -1 1 313.350 1.323 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000588926361 354949546 /nfs/dbraw/zinc/94/95/46/354949546.db2.gz VCZOZOWNVWGTME-WFASDCNBSA-N -1 1 323.356 1.873 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)N(C)CC(F)(F)F)n1 ZINC000590356029 355075911 /nfs/dbraw/zinc/07/59/11/355075911.db2.gz RHVHUIUKXRPOCC-SSDOTTSWSA-N -1 1 322.287 1.408 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3onc(C)c3c2)n1 ZINC000590355450 355075951 /nfs/dbraw/zinc/07/59/51/355075951.db2.gz QTEILMMVDQDXSV-UHFFFAOYSA-N -1 1 315.289 1.683 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CNC(=O)C2CCCCC2)n1 ZINC000590356657 355076932 /nfs/dbraw/zinc/07/69/32/355076932.db2.gz IYMICMAROVGYDV-UHFFFAOYSA-N -1 1 322.365 1.221 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](OC)C(C)C)o1 ZINC000358865043 291061408 /nfs/dbraw/zinc/06/14/08/291061408.db2.gz YTQNCHUPQDOTIF-LLVKDONJSA-N -1 1 319.379 1.406 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1ccon1)C(=O)OC(C)(C)C ZINC000592098527 355496863 /nfs/dbraw/zinc/49/68/63/355496863.db2.gz BKXPMDUWSWHEQF-JTQLQIEISA-N -1 1 304.368 1.214 20 0 DDADMM CCn1nc(C)c(CN(C)c2nc3[nH]ncc3c(=O)[nH]2)c1C ZINC000631414447 422749124 /nfs/dbraw/zinc/74/91/24/422749124.db2.gz RSPOSUVYZOPJGE-UHFFFAOYSA-N -1 1 301.354 1.528 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@H]1C1CC1 ZINC000593891992 356044806 /nfs/dbraw/zinc/04/48/06/356044806.db2.gz XWADNWQCGXKWCV-QWHCGFSZSA-N -1 1 307.321 1.803 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)CCOC)C1CCCCCC1 ZINC000594482815 356233277 /nfs/dbraw/zinc/23/32/77/356233277.db2.gz ZIRPCEISMZFGOM-CYBMUJFWSA-N -1 1 321.439 1.454 20 0 DDADMM CC[C@H](C)C[C@@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000080681853 192181282 /nfs/dbraw/zinc/18/12/82/192181282.db2.gz JVOPCUAGRHIFIC-VHSXEESVSA-N -1 1 302.396 1.742 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2C[C@H](OCC)C2)o1 ZINC000594829268 356329991 /nfs/dbraw/zinc/32/99/91/356329991.db2.gz SUHVVCXZRXZFLZ-MGCOHNPYSA-N -1 1 317.363 1.302 20 0 DDADMM CC[C@@]1([N-]S(=O)(=O)c2cc(C)c(C(=O)OC)o2)CCOC1 ZINC000595323898 356449251 /nfs/dbraw/zinc/44/92/51/356449251.db2.gz BYMIEFVGDJUGMB-CYBMUJFWSA-N -1 1 317.363 1.222 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCOC[C@@H]2C)cc1C ZINC000595325564 356450464 /nfs/dbraw/zinc/45/04/64/356450464.db2.gz JNCAEHHNBVQKSE-UWVGGRQHSA-N -1 1 317.363 1.078 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCO[C@@H]1CC1(F)F ZINC000618129993 363468714 /nfs/dbraw/zinc/46/87/14/363468714.db2.gz FMCJPOQRTUJWMY-SSDOTTSWSA-N -1 1 319.333 1.367 20 0 DDADMM CN(CC1CN(C)C1)C(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000618190009 363494192 /nfs/dbraw/zinc/49/41/92/363494192.db2.gz CWJLOAMSBRHWTB-UHFFFAOYSA-N -1 1 300.362 1.212 20 0 DDADMM CC(C)Sc1ccccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000276934690 213186277 /nfs/dbraw/zinc/18/62/77/213186277.db2.gz VYSOYENYCNQQKY-UHFFFAOYSA-N -1 1 308.363 1.775 20 0 DDADMM C[S@@](=O)C1(CN=c2nc(C3CCOCC3)[n-]s2)CCC1 ZINC000596224559 356822201 /nfs/dbraw/zinc/82/22/01/356822201.db2.gz HMMIXWMQCINWEN-HXUWFJFHSA-N -1 1 315.464 1.567 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)o1 ZINC000347573127 283232318 /nfs/dbraw/zinc/23/23/18/283232318.db2.gz TVXRWGZXVSMLBP-SECBINFHSA-N -1 1 305.290 1.591 20 0 DDADMM CCOC(=O)[C@H](C)N(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000597202479 357091106 /nfs/dbraw/zinc/09/11/06/357091106.db2.gz CIAHRUWVEKUSHP-JTQLQIEISA-N -1 1 302.330 1.964 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CSCC(=O)N1CCCCC1 ZINC000597694366 357288361 /nfs/dbraw/zinc/28/83/61/357288361.db2.gz VASSTTZDYCFEHC-UHFFFAOYSA-N -1 1 312.395 1.108 20 0 DDADMM Cc1cc(OCCCC(=O)NCc2nn[n-]n2)ccc1Cl ZINC000599334645 357899032 /nfs/dbraw/zinc/89/90/32/357899032.db2.gz RIHPURJBCUTHQS-UHFFFAOYSA-N -1 1 309.757 1.637 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cnn(Cc2ccccc2Cl)c1 ZINC000599334923 357899073 /nfs/dbraw/zinc/89/90/73/357899073.db2.gz VKOPDPVENPIKQR-UHFFFAOYSA-N -1 1 317.740 1.028 20 0 DDADMM COc1ccc2c(c1)OC(C)(C)CN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000565474447 304070386 /nfs/dbraw/zinc/07/03/86/304070386.db2.gz PJZYQEBCWNQMBL-UHFFFAOYSA-N -1 1 318.377 1.290 20 0 DDADMM CCOc1ccc(OC(C)(C)C(=O)NCc2nn[n-]n2)cc1 ZINC000600496203 358240843 /nfs/dbraw/zinc/24/08/43/358240843.db2.gz RZASVCPJHBCRPN-UHFFFAOYSA-N -1 1 305.338 1.072 20 0 DDADMM O=C(NCc1nn[n-]n1)c1csc(-c2ccc(F)cc2)n1 ZINC000600504906 358242886 /nfs/dbraw/zinc/24/28/86/358242886.db2.gz LASWJYGUKRVUDX-UHFFFAOYSA-N -1 1 304.310 1.392 20 0 DDADMM CO[C@@H](C)c1nc(=NC[C@H]2CCCN2CC(F)F)s[n-]1 ZINC000624519286 366427399 /nfs/dbraw/zinc/42/73/99/366427399.db2.gz BTBZTRLWBWMRDC-DTWKUNHWSA-N -1 1 306.382 1.809 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2ccc(C(F)F)o2)CCC1 ZINC000601376420 358523097 /nfs/dbraw/zinc/52/30/97/358523097.db2.gz GCTCVAZZJRLMTD-UHFFFAOYSA-N -1 1 309.290 1.591 20 0 DDADMM COC(=O)[C@H]1CC[C@@H]([N-]S(=O)(=O)c2cc(C)ns2)C1 ZINC000601407305 358539312 /nfs/dbraw/zinc/53/93/12/358539312.db2.gz YLIAXCABQMLARF-DTWKUNHWSA-N -1 1 304.393 1.072 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccnc(C2CC2)n1 ZINC000601814226 358703138 /nfs/dbraw/zinc/70/31/38/358703138.db2.gz ZSSZRXOOSDYLLR-ZDUSSCGKSA-N -1 1 321.344 1.387 20 0 DDADMM CCOC(=O)[C@H](CC)C([O-])=Nc1nc(C(C)(C)OC)ns1 ZINC000601798323 358698878 /nfs/dbraw/zinc/69/88/78/358698878.db2.gz XHJZJUYFDGCXIS-MRVPVSSYSA-N -1 1 315.395 1.369 20 0 DDADMM CCOC(=O)[C@@]1(C)CN(C(=O)c2cc(F)ccc2[O-])CCO1 ZINC000601907467 358735658 /nfs/dbraw/zinc/73/56/58/358735658.db2.gz QTOBQYPKXBFYEW-OAHLLOKOSA-N -1 1 311.309 1.326 20 0 DDADMM N=c1[n-]nc([C@@H]2CCCN(CC[S@@](=O)c3ccccc3)C2)o1 ZINC000602893440 359276691 /nfs/dbraw/zinc/27/66/91/359276691.db2.gz ALRIVSYPMKKAIB-VERVWZFWSA-N -1 1 320.418 1.469 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C(F)F)cc2)n[n-]1 ZINC000603013529 359357057 /nfs/dbraw/zinc/35/70/57/359357057.db2.gz CFNDGMWJINAZAD-UHFFFAOYSA-N -1 1 324.287 1.849 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C(F)F)cc2)n1 ZINC000603013529 359357059 /nfs/dbraw/zinc/35/70/59/359357059.db2.gz CFNDGMWJINAZAD-UHFFFAOYSA-N -1 1 324.287 1.849 20 0 DDADMM CCCc1ccc(C(=O)NCc2n[n-]c(C(=O)OCC)n2)s1 ZINC000603019668 359362681 /nfs/dbraw/zinc/36/26/81/359362681.db2.gz CHJGEAUBPZRUJA-UHFFFAOYSA-N -1 1 322.390 1.925 20 0 DDADMM CCCc1ccc(C(=O)NCc2nc(C(=O)OCC)n[n-]2)s1 ZINC000603019668 359362685 /nfs/dbraw/zinc/36/26/85/359362685.db2.gz CHJGEAUBPZRUJA-UHFFFAOYSA-N -1 1 322.390 1.925 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCCC[C@@H]2C2CC2)n[n-]1 ZINC000603019685 359362775 /nfs/dbraw/zinc/36/27/75/359362775.db2.gz CNALXYNTXZTLOB-VXGBXAGGSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCCC[C@@H]2C2CC2)n1 ZINC000603019685 359362777 /nfs/dbraw/zinc/36/27/77/359362777.db2.gz CNALXYNTXZTLOB-VXGBXAGGSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CC[C@H](C)[C@H](C)C2)n[n-]1 ZINC000603024644 359367172 /nfs/dbraw/zinc/36/71/72/359367172.db2.gz YAIHHDGKNTUNKC-HBNTYKKESA-N -1 1 308.382 1.670 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CC[C@H](C)[C@H](C)C2)n1 ZINC000603024644 359367177 /nfs/dbraw/zinc/36/71/77/359367177.db2.gz YAIHHDGKNTUNKC-HBNTYKKESA-N -1 1 308.382 1.670 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(F)cc2)n[n-]1 ZINC000603159404 359445849 /nfs/dbraw/zinc/44/58/49/359445849.db2.gz BKSXWRSWGCKZDX-QMMMGPOBSA-N -1 1 306.297 1.612 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(F)cc2)[n-]1 ZINC000603159404 359445853 /nfs/dbraw/zinc/44/58/53/359445853.db2.gz BKSXWRSWGCKZDX-QMMMGPOBSA-N -1 1 306.297 1.612 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(F)cc2)n1 ZINC000603159404 359445857 /nfs/dbraw/zinc/44/58/57/359445857.db2.gz BKSXWRSWGCKZDX-QMMMGPOBSA-N -1 1 306.297 1.612 20 0 DDADMM COC(=O)CC[C@@H](Sc1ncc(C)c(=O)[n-]1)C(=O)OC ZINC000603336701 359577365 /nfs/dbraw/zinc/57/73/65/359577365.db2.gz UTMSMDLDRDQXIJ-MRVPVSSYSA-N -1 1 300.336 1.078 20 0 DDADMM CCO[C@@H]1C[C@](O)(CNC(=O)C(=O)c2ccc([O-])cc2)C1(C)C ZINC000281008886 216019230 /nfs/dbraw/zinc/01/92/30/216019230.db2.gz NHNUXYKRQUYYKG-DYVFJYSZSA-N -1 1 321.373 1.257 20 0 DDADMM CCOC(=O)c1ccc(CS(=O)(=O)[N-][C@H](C)C(F)F)cc1 ZINC000603423668 359631960 /nfs/dbraw/zinc/63/19/60/359631960.db2.gz NFVAFGTVAZROQN-SECBINFHSA-N -1 1 321.345 1.936 20 0 DDADMM CS[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccccc1 ZINC000187257736 200084529 /nfs/dbraw/zinc/08/45/29/200084529.db2.gz YOEPNACXEISXSP-ZYHUDNBSSA-N -1 1 305.407 1.866 20 0 DDADMM O=C(Nc1c([O-])cccc1F)[C@@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000605440709 359859281 /nfs/dbraw/zinc/85/92/81/359859281.db2.gz YWZXOQLWRXHMIS-SSDOTTSWSA-N -1 1 320.242 1.881 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCCO1)C(C)(C)C ZINC000609850851 360356120 /nfs/dbraw/zinc/35/61/20/360356120.db2.gz JYLYPMQVHLRTTJ-WDEREUQCSA-N -1 1 307.412 1.063 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H](OC)C2CCC2)c1Br ZINC000611773847 360821704 /nfs/dbraw/zinc/82/17/04/360821704.db2.gz GHCFWWMZXICBSP-JTQLQIEISA-N -1 1 316.199 1.910 20 0 DDADMM COCc1nc(=NC[C@H](Cc2ccccc2)N(C)C)s[n-]1 ZINC000348704080 283628188 /nfs/dbraw/zinc/62/81/88/283628188.db2.gz FMLSINIYVWKMSB-ZDUSSCGKSA-N -1 1 306.435 1.691 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)NC(=O)c1ccc([O-])c(F)c1 ZINC000613533771 361458219 /nfs/dbraw/zinc/45/82/19/361458219.db2.gz FWIVZSPRBOJSPV-MRVPVSSYSA-N -1 1 309.215 1.755 20 0 DDADMM CCC[C@@](C)(O)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000359113191 299329073 /nfs/dbraw/zinc/32/90/73/299329073.db2.gz DHHOMMNMDOKYHG-MRXNPFEDSA-N -1 1 320.393 1.262 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2ncc3ccccc3c2[O-])[C@H]1OC ZINC000613513847 361450674 /nfs/dbraw/zinc/45/06/74/361450674.db2.gz OXFGONZXWMMKCK-WOSRLPQWSA-N -1 1 316.357 1.863 20 0 DDADMM C[C@H](O)CN1CCN(C(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000613672205 361513970 /nfs/dbraw/zinc/51/39/70/361513970.db2.gz PMKKNCQDVAKXNG-LBPRGKRZSA-N -1 1 315.373 1.079 20 0 DDADMM CC[C@@](C)(NC(=O)c1coc(S(=O)(=O)[N-]C)c1)C1CC1 ZINC000618956647 363820308 /nfs/dbraw/zinc/82/03/08/363820308.db2.gz PTHSMJROOFAJQX-CYBMUJFWSA-N -1 1 300.380 1.496 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCc3csc(=O)[nH]3)cnc2n1 ZINC000359170223 299345793 /nfs/dbraw/zinc/34/57/93/299345793.db2.gz OYSYQHKZWRCOSD-UHFFFAOYSA-N -1 1 316.342 1.736 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCc1csc(=O)[nH]1)c2=O ZINC000359170223 299345797 /nfs/dbraw/zinc/34/57/97/299345797.db2.gz OYSYQHKZWRCOSD-UHFFFAOYSA-N -1 1 316.342 1.736 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(NC(=O)c2[nH]c(C)nc2C)c1 ZINC000621288784 364775404 /nfs/dbraw/zinc/77/54/04/364775404.db2.gz IULWMODHVSXZQJ-UHFFFAOYSA-N -1 1 323.374 1.778 20 0 DDADMM CC(C)(C)c1nnc(C[N-]S(=O)(=O)c2ccco2)s1 ZINC000275435446 212329915 /nfs/dbraw/zinc/32/99/15/212329915.db2.gz FUSYUPTVRSVQKK-UHFFFAOYSA-N -1 1 301.393 1.907 20 0 DDADMM C[C@@H](CNC(=O)N1CC(C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000621829949 365047042 /nfs/dbraw/zinc/04/70/42/365047042.db2.gz RNHLKPZOLBGTGX-LBPRGKRZSA-N -1 1 317.389 1.159 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@H]1S(=O)(=O)[N-]C1(C(F)F)CC1 ZINC000337034374 291344463 /nfs/dbraw/zinc/34/44/63/291344463.db2.gz FIGLTKGUFORINI-RKDXNWHRSA-N -1 1 311.350 1.435 20 0 DDADMM Cc1cc(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)no1 ZINC000091161255 193009599 /nfs/dbraw/zinc/00/95/99/193009599.db2.gz XSMDUWHWFOJBDN-UHFFFAOYSA-N -1 1 313.310 1.746 20 0 DDADMM Cc1cnn(CCC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000622610127 365450811 /nfs/dbraw/zinc/45/08/11/365450811.db2.gz PTEYHANYKSWEAU-CQSZACIVSA-N -1 1 311.349 1.001 20 0 DDADMM O=C(COCc1ccccc1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622612604 365452115 /nfs/dbraw/zinc/45/21/15/365452115.db2.gz CAYAAJVDCFWTFS-MRXNPFEDSA-N -1 1 323.356 1.622 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@H](c3ccc(F)c(F)c3)C2)nc1=O ZINC000625657026 367077124 /nfs/dbraw/zinc/07/71/24/367077124.db2.gz SILDMXZVNPFMSA-LLVKDONJSA-N -1 1 308.332 1.766 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])c1ccnc(N2CCOCC2)n1 ZINC000626277905 367457647 /nfs/dbraw/zinc/45/76/47/367457647.db2.gz WOQAPQHXPJZVKL-UHFFFAOYSA-N -1 1 318.308 1.410 20 0 DDADMM O=C(Nc1c([O-])cccc1F)c1cn(C[C@H]2CCOC2)nn1 ZINC000626277559 367458167 /nfs/dbraw/zinc/45/81/67/367458167.db2.gz DJYSEYHOZUJSDL-SECBINFHSA-N -1 1 306.297 1.412 20 0 DDADMM CCOc1cccc(CCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000626443463 367547868 /nfs/dbraw/zinc/54/78/68/367547868.db2.gz GCZYDAXSNLNTJJ-GFCCVEGCSA-N -1 1 317.393 1.793 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@H](C(=O)[O-])[C@@H](C)C2)n[nH]1 ZINC000626757616 367726309 /nfs/dbraw/zinc/72/63/09/367726309.db2.gz YMQHNWGMHHUVHY-KWBADKCTSA-N -1 1 308.382 1.401 20 0 DDADMM COCCCOC1CN(C(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000349753325 284040606 /nfs/dbraw/zinc/04/06/06/284040606.db2.gz CEUNTFXCDXNTSG-UHFFFAOYSA-N -1 1 311.407 1.017 20 0 DDADMM Cc1nnnn1-c1ccc(F)c([N-]S(=O)(=O)c2ccoc2)c1 ZINC000349946030 284114809 /nfs/dbraw/zinc/11/48/09/284114809.db2.gz QOVXLQUZYUTVLP-UHFFFAOYSA-N -1 1 323.309 1.504 20 0 DDADMM CC(C)CCn1ccc([N-]S(=O)(=O)N2CC[C@@H](C)C2)n1 ZINC000349983950 284126614 /nfs/dbraw/zinc/12/66/14/284126614.db2.gz CSLWVCJAGKPOAH-GFCCVEGCSA-N -1 1 300.428 1.928 20 0 DDADMM CC[C@@H]1C[N@@H+](CC(=O)NCC(=O)[O-])CC[C@H]1c1ccccc1 ZINC000262194367 203225817 /nfs/dbraw/zinc/22/58/17/203225817.db2.gz HFGNOIPMJHTAEH-UKRRQHHQSA-N -1 1 304.390 1.703 20 0 DDADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])[C@H]2CCCC[C@@H]12 ZINC000360544130 306984731 /nfs/dbraw/zinc/98/47/31/306984731.db2.gz DYUUTELPVKYPKY-AGIUHOORSA-N -1 1 317.389 1.554 20 0 DDADMM c1[nH]nnc1CCSc1n[n-]c(=NC[C@H]2CCCO2)s1 ZINC000566496729 304163734 /nfs/dbraw/zinc/16/37/34/304163734.db2.gz MBOIEZBFDDIWLZ-SECBINFHSA-N -1 1 312.424 1.004 20 0 DDADMM c1nn[nH]c1CCSc1n[n-]c(=NC[C@H]2CCCO2)s1 ZINC000566496729 304163736 /nfs/dbraw/zinc/16/37/36/304163736.db2.gz MBOIEZBFDDIWLZ-SECBINFHSA-N -1 1 312.424 1.004 20 0 DDADMM O=C(N=c1nc(-c2ccccc2F)[nH][n-]1)c1ccc2nc[nH]c2n1 ZINC000351070372 284300225 /nfs/dbraw/zinc/30/02/25/284300225.db2.gz ADNLKEMZPXSJOO-UHFFFAOYSA-N -1 1 323.291 1.556 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCN(C(C)=O)C2)c1 ZINC000282717590 217198654 /nfs/dbraw/zinc/19/86/54/217198654.db2.gz HAOCURWUVXXBKH-GFCCVEGCSA-N -1 1 320.345 1.376 20 0 DDADMM COC[C@@H](CO)NC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000282756619 217227971 /nfs/dbraw/zinc/22/79/71/217227971.db2.gz HRAKAZXJZYWXNP-SECBINFHSA-N -1 1 310.737 1.331 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)[C@@H]1CCOC1 ZINC000266241006 205209500 /nfs/dbraw/zinc/20/95/00/205209500.db2.gz WBVCCHIPQTYNEX-HTQZYQBOSA-N -1 1 309.309 1.807 20 0 DDADMM O=C(Cc1cc(F)ccc1F)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282911929 217320544 /nfs/dbraw/zinc/32/05/44/217320544.db2.gz MUXSPYFFZXQFEH-SECBINFHSA-N -1 1 322.315 1.325 20 0 DDADMM COCc1ccccc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000283022260 217393186 /nfs/dbraw/zinc/39/31/86/217393186.db2.gz XOYOPXGRUWVUSV-NSHDSACASA-N -1 1 316.361 1.264 20 0 DDADMM Cn1cc(CCCNC(=O)c2ccc3n[n-]c(=S)n3c2)cn1 ZINC000283218046 217503849 /nfs/dbraw/zinc/50/38/49/217503849.db2.gz XYMLRSOUXXLXHL-UHFFFAOYSA-N -1 1 316.390 1.114 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)C[C@H]1CCOC1 ZINC000267501225 206108809 /nfs/dbraw/zinc/10/88/09/206108809.db2.gz XVLOMCLFDKIWKE-LLVKDONJSA-N -1 1 307.394 1.897 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCc2c1cc(F)cc2F ZINC000567201012 304202543 /nfs/dbraw/zinc/20/25/43/304202543.db2.gz GNUZLJIORZDUOD-UHFFFAOYSA-N -1 1 315.301 1.425 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)[C@@H]1CCOC1 ZINC000334004144 249175869 /nfs/dbraw/zinc/17/58/69/249175869.db2.gz ZJJSPLULKVTCLR-GXSJLCMTSA-N -1 1 307.394 1.943 20 0 DDADMM Cc1nc([C@@H]2CN(C(=O)c3cccc(C(=O)[O-])c3)CCO2)n[nH]1 ZINC000567269646 304209886 /nfs/dbraw/zinc/20/98/86/304209886.db2.gz QDJILJGZVFXRJE-LBPRGKRZSA-N -1 1 316.317 1.025 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CCCS(=O)(=O)c2ccccc2)C1 ZINC000567281442 304210507 /nfs/dbraw/zinc/21/05/07/304210507.db2.gz ZKRVLSJIXGNLGW-HNNXBMFYSA-N -1 1 311.403 1.647 20 0 DDADMM COc1ccc(/C=C\c2cc(=O)n3[n-]cnc3n2)c(C)c1OC ZINC000352040901 284731589 /nfs/dbraw/zinc/73/15/89/284731589.db2.gz SSIMJQPXUCSZAY-XQRVVYSFSA-N -1 1 312.329 1.914 20 0 DDADMM Cc1noc(C(C)(C)[N-]S(=O)(=O)c2sc(C)nc2C)n1 ZINC000284755407 218165029 /nfs/dbraw/zinc/16/50/29/218165029.db2.gz UUDZZRFEOCGUDY-UHFFFAOYSA-N -1 1 316.408 1.665 20 0 DDADMM O=S(=O)([N-][C@@H]1c2ccccc2C[C@H]1O)c1sccc1F ZINC000338903003 250190915 /nfs/dbraw/zinc/19/09/15/250190915.db2.gz KIEDHFHVFKPABA-VXGBXAGGSA-N -1 1 313.375 1.824 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2OCCc3ccccc32)n1 ZINC000339169370 250326535 /nfs/dbraw/zinc/32/65/35/250326535.db2.gz AGCSWIQFZHLQAV-ZDUSSCGKSA-N -1 1 315.329 1.839 20 0 DDADMM O=C([O-])[C@H](CC1CC1)NS(=O)(=O)c1c(F)cc(F)cc1F ZINC000285084193 218284168 /nfs/dbraw/zinc/28/41/68/218284168.db2.gz LGYZYHQBBPQVOS-JTQLQIEISA-N -1 1 323.292 1.636 20 0 DDADMM CC(C)[C@@](C)([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC000285336806 218386697 /nfs/dbraw/zinc/38/66/97/218386697.db2.gz OJPZNNCDVXYVEV-GFCCVEGCSA-N -1 1 322.789 1.657 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCCO2)cc1 ZINC000110096726 194310186 /nfs/dbraw/zinc/31/01/86/194310186.db2.gz FDJOAKVJHWRGOT-ZDUSSCGKSA-N -1 1 312.391 1.357 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H]1c1cccs1 ZINC000352184193 284848630 /nfs/dbraw/zinc/84/86/30/284848630.db2.gz NVDIADZFKSLOGQ-MRVPVSSYSA-N -1 1 321.358 1.935 20 0 DDADMM CCCN(Cc1ccccc1)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000352189076 284852364 /nfs/dbraw/zinc/85/23/64/284852364.db2.gz BTGALWKRWLONDI-UHFFFAOYSA-N -1 1 317.345 1.949 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC1(CF)CCC1 ZINC000567591274 304227907 /nfs/dbraw/zinc/22/79/07/304227907.db2.gz QLIFIDGWZNOWKV-UHFFFAOYSA-N -1 1 306.341 1.603 20 0 DDADMM COC(=O)CCc1nnc([N-]C(=O)c2nc(C)oc2C)s1 ZINC000340540067 251104019 /nfs/dbraw/zinc/10/40/19/251104019.db2.gz ZXYSGOMDEMYDPS-UHFFFAOYSA-N -1 1 310.335 1.501 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1[C@@H](C)C1(F)F ZINC000359793992 299538748 /nfs/dbraw/zinc/53/87/48/299538748.db2.gz KZYQCMZCRSNBJU-INWYIAFRSA-N -1 1 310.304 1.569 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1C[C@@H](O)C[C@H]1C)c2=O ZINC000412285666 224005050 /nfs/dbraw/zinc/00/50/50/224005050.db2.gz UQSVHYCOEJVCAO-ZJUUUORDSA-N -1 1 302.330 1.132 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CSC[C@@H]2C)o1 ZINC000352334130 284971863 /nfs/dbraw/zinc/97/18/63/284971863.db2.gz YFVUGJKRAOQUQE-JGVFFNPUSA-N -1 1 305.377 1.096 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000633198531 422803312 /nfs/dbraw/zinc/80/33/12/422803312.db2.gz IEQREBXNWGHEAP-LLVKDONJSA-N -1 1 305.769 1.802 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C2CC2)C2COC2)c(=O)[n-]1 ZINC000295587842 533069856 /nfs/dbraw/zinc/06/98/56/533069856.db2.gz HNAMGSZPCMJGNK-UHFFFAOYSA-N -1 1 323.418 1.535 20 0 DDADMM CC(C)n1cc(S(=O)(=O)[N-]c2c(F)cccc2CO)cn1 ZINC000158895403 197309760 /nfs/dbraw/zinc/30/97/60/197309760.db2.gz MARSWCMVKAHDKX-UHFFFAOYSA-N -1 1 313.354 1.896 20 0 DDADMM C[C@H](Cc1ccc(Br)cc1)C(=O)Nc1nnn[n-]1 ZINC000155584912 197069403 /nfs/dbraw/zinc/06/94/03/197069403.db2.gz SJEBZBXHYKXILQ-SSDOTTSWSA-N -1 1 310.155 1.780 20 0 DDADMM C[C@H](Cc1ccc(Br)cc1)C(=O)Nc1nn[n-]n1 ZINC000155584912 197069405 /nfs/dbraw/zinc/06/94/05/197069405.db2.gz SJEBZBXHYKXILQ-SSDOTTSWSA-N -1 1 310.155 1.780 20 0 DDADMM COc1ccccc1N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000155572163 197068185 /nfs/dbraw/zinc/06/81/85/197068185.db2.gz FTBLYVWAKLPWCS-GFCCVEGCSA-N -1 1 313.357 1.805 20 0 DDADMM Cc1ccc(F)c(CCN=c2ccc(C(=O)N(C)C)n[n-]2)c1 ZINC000413325529 224177896 /nfs/dbraw/zinc/17/78/96/224177896.db2.gz VUYCHSKYJHNWTI-UHFFFAOYSA-N -1 1 302.353 1.702 20 0 DDADMM O=C(Nc1nc2ccccc2s1)c1cc(=O)n2[n-]cnc2n1 ZINC000352733437 285263546 /nfs/dbraw/zinc/26/35/46/285263546.db2.gz HTXYPPSVXXINDZ-UHFFFAOYSA-N -1 1 312.314 1.280 20 0 DDADMM C[C@@H](Sc1nc(C(F)F)cc(=O)[n-]1)C(=O)N1CCNC1=O ZINC000289473648 221000321 /nfs/dbraw/zinc/00/03/21/221000321.db2.gz HPAPFIVGUCVKTM-RXMQYKEDSA-N -1 1 318.305 1.152 20 0 DDADMM Cn1nncc1C(=O)CSc1nc(C(F)F)cc(=O)[n-]1 ZINC000289573308 221077473 /nfs/dbraw/zinc/07/74/73/221077473.db2.gz QQESSWXUEWBLQG-UHFFFAOYSA-N -1 1 301.278 1.223 20 0 DDADMM CCNC(=O)NC(=O)CSc1nc(C(F)F)cc(=O)[n-]1 ZINC000289592615 221090853 /nfs/dbraw/zinc/09/08/53/221090853.db2.gz SEYQWVPRBHWXHG-UHFFFAOYSA-N -1 1 306.294 1.058 20 0 DDADMM CCC[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccn1 ZINC000352795879 285306438 /nfs/dbraw/zinc/30/64/38/285306438.db2.gz LVVAXODUFPZAMV-SECBINFHSA-N -1 1 323.378 1.126 20 0 DDADMM CCC[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccn1 ZINC000352795879 285306443 /nfs/dbraw/zinc/30/64/43/285306443.db2.gz LVVAXODUFPZAMV-SECBINFHSA-N -1 1 323.378 1.126 20 0 DDADMM O=S(=O)(C[C@H]1CCCCO1)[N-]c1cc(O)c(F)cc1F ZINC000414394484 224332019 /nfs/dbraw/zinc/33/20/19/224332019.db2.gz KJVHWGAHHDYUGU-MRVPVSSYSA-N -1 1 307.318 1.981 20 0 DDADMM O=C(C[C@H]1CCCS(=O)(=O)C1)Nc1nc(Cl)ccc1[O-] ZINC000294967176 224345573 /nfs/dbraw/zinc/34/55/73/224345573.db2.gz RFTJTAVRIYGCSE-MRVPVSSYSA-N -1 1 318.782 1.594 20 0 DDADMM O=S(=O)(CC12CCC(CC1)C2)N[C@H]1C[NH2+]CCC1(F)F ZINC000568277736 304283244 /nfs/dbraw/zinc/28/32/44/304283244.db2.gz BXYRGMHCQIMGLU-CXQJBGSLSA-N -1 1 308.394 1.483 20 0 DDADMM COc1ccc([N+](=O)[O-])cc1CN1CC[C@H](OC)C[C@H]1C(=O)[O-] ZINC000568292670 304285002 /nfs/dbraw/zinc/28/50/02/304285002.db2.gz SSYWHLHTNLPGKX-STQMWFEESA-N -1 1 324.333 1.667 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CCCC1(F)F)C1CC1 ZINC000451275630 533349005 /nfs/dbraw/zinc/34/90/05/533349005.db2.gz RQOMOIFBPHNXTP-NXEZZACHSA-N -1 1 311.350 1.293 20 0 DDADMM COc1ccc(C)cc1N1C[C@H](C(=O)[N-]OC(C)C)CC1=O ZINC000295736594 533391897 /nfs/dbraw/zinc/39/18/97/533391897.db2.gz SEHVWIGOGSGQCT-GFCCVEGCSA-N -1 1 306.362 1.813 20 0 DDADMM COc1cc(C=CC(=O)NC(C)(C)c2nn[n-]n2)cc(OC)c1 ZINC000491906672 533464547 /nfs/dbraw/zinc/46/45/47/533464547.db2.gz RLNHCQAEPMZXFZ-AATRIKPKSA-N -1 1 317.349 1.282 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)[N-]S(=O)(=O)CCC1CC1 ZINC000360844500 306989239 /nfs/dbraw/zinc/98/92/39/306989239.db2.gz FIWKZUWHSGCOLK-QMMMGPOBSA-N -1 1 303.302 1.200 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC(c2ncco2)CC1 ZINC000631535849 422817887 /nfs/dbraw/zinc/81/78/87/422817887.db2.gz UONWGUUVKOFWGG-UHFFFAOYSA-N -1 1 324.340 1.931 20 0 DDADMM O=C(NCCCCC1CCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000353728921 285893605 /nfs/dbraw/zinc/89/36/05/285893605.db2.gz QVLWDGVHEIGFES-UHFFFAOYSA-N -1 1 303.366 1.508 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3C[C@H]4OCCC[C@H]34)cnc2n1 ZINC000189910196 292305551 /nfs/dbraw/zinc/30/55/51/292305551.db2.gz QNROFIMGCUBHEF-LERXQTSPSA-N -1 1 313.357 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1C[C@H]3OCCC[C@H]13)c2=O ZINC000189910196 292305552 /nfs/dbraw/zinc/30/55/52/292305552.db2.gz QNROFIMGCUBHEF-LERXQTSPSA-N -1 1 313.357 1.941 20 0 DDADMM CC(C)(C)OC(=O)N1CC(C(=O)[N-]OCCC(F)(F)F)C1 ZINC000162835850 298203679 /nfs/dbraw/zinc/20/36/79/298203679.db2.gz TZOLIDGPKRKMLE-UHFFFAOYSA-N -1 1 312.288 1.854 20 0 DDADMM Cc1ccc(Cl)cc1N1C[C@H](C(=O)Nc2nnn[n-]2)CC1=O ZINC000073107787 406887947 /nfs/dbraw/zinc/88/79/47/406887947.db2.gz RKXZGLLVASBGSM-MRVPVSSYSA-N -1 1 320.740 1.153 20 0 DDADMM Cc1ccc(Cl)cc1N1C[C@H](C(=O)Nc2nn[n-]n2)CC1=O ZINC000073107787 406887949 /nfs/dbraw/zinc/88/79/49/406887949.db2.gz RKXZGLLVASBGSM-MRVPVSSYSA-N -1 1 320.740 1.153 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)C(C)(C)C ZINC000074974005 406919140 /nfs/dbraw/zinc/91/91/40/406919140.db2.gz VWWSPXYDMYZQTL-QMMMGPOBSA-N -1 1 309.819 1.413 20 0 DDADMM Cn1cc(NC(=O)c2c([O-])cnc3ccc(Cl)cc32)nn1 ZINC000361172914 299813275 /nfs/dbraw/zinc/81/32/75/299813275.db2.gz YBZQZSRVWBEWNG-UHFFFAOYSA-N -1 1 303.709 1.975 20 0 DDADMM CN(C(=O)c1ccc2ccccc2c1[O-])[C@H]1CCS(=O)(=O)C1 ZINC000078566056 407034408 /nfs/dbraw/zinc/03/44/08/407034408.db2.gz RGUVQYXDUWORDB-LBPRGKRZSA-N -1 1 319.382 1.805 20 0 DDADMM CCCCC[C@H](C)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OCC ZINC000037499959 406990770 /nfs/dbraw/zinc/99/07/70/406990770.db2.gz WTMGZBAWWMZETN-JTQLQIEISA-N -1 1 317.411 1.834 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)CCc2ccccc2)o1 ZINC000048152959 407090550 /nfs/dbraw/zinc/09/05/50/407090550.db2.gz HXCQYMXBBDAIGC-UHFFFAOYSA-N -1 1 322.386 1.502 20 0 DDADMM Cn1cc(C[N-]S(=O)(=O)c2c(F)cc(F)cc2F)cn1 ZINC000048908365 407110501 /nfs/dbraw/zinc/11/05/01/407110501.db2.gz IFBOBZLGQNIXOV-UHFFFAOYSA-N -1 1 305.281 1.316 20 0 DDADMM O=C(N[C@@H]1CCCNC1=O)c1cc(Br)ccc1[O-] ZINC000049498787 407121729 /nfs/dbraw/zinc/12/17/29/407121729.db2.gz RXZUXFKJOPUAEP-SECBINFHSA-N -1 1 313.151 1.163 20 0 DDADMM CCn1c(CCNC(=O)c2ccc3n[nH]nc3c2)n[n-]c1=S ZINC000067076326 407267257 /nfs/dbraw/zinc/26/72/57/407267257.db2.gz WDGSENWZAOPBKR-UHFFFAOYSA-N -1 1 317.378 1.204 20 0 DDADMM CCn1c(CCNC(=O)Cn2nc(C)cc2C)n[n-]c1=S ZINC000067077539 407267764 /nfs/dbraw/zinc/26/77/64/407267764.db2.gz XKBLUSWJJXMDMI-UHFFFAOYSA-N -1 1 308.411 1.133 20 0 DDADMM COc1ccc([C@@H](C)CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000124583976 407362508 /nfs/dbraw/zinc/36/25/08/407362508.db2.gz GEKHKEWXSVCXOH-NWDGAFQWSA-N -1 1 317.393 1.964 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cn(C)c(Cl)n1)c1ccco1 ZINC000124443308 407358003 /nfs/dbraw/zinc/35/80/03/407358003.db2.gz KWQDEPWWDLINAF-QMMMGPOBSA-N -1 1 319.770 1.333 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2nc(C)cs2)o1 ZINC000107698770 407378683 /nfs/dbraw/zinc/37/86/83/407378683.db2.gz XZZOCNXDFLJEFR-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CCSc1cccc(C(=O)NCCCc2nc(=O)[n-][nH]2)c1 ZINC000125672771 407394723 /nfs/dbraw/zinc/39/47/23/407394723.db2.gz GAEYSJDSNNAHSO-UHFFFAOYSA-N -1 1 306.391 1.573 20 0 DDADMM CC(C)N1C(=S)N=NC1CCNC(=O)c1cncc([O-])c1 ZINC000111611673 407411791 /nfs/dbraw/zinc/41/17/91/407411791.db2.gz UXWMQNMGWSVAQI-UHFFFAOYSA-N -1 1 307.379 1.221 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129289554 407601519 /nfs/dbraw/zinc/60/15/19/407601519.db2.gz MDVGCJUNQQKGLQ-NEPJUHHUSA-N -1 1 301.350 1.373 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC[C@H]2C(C)C)co1 ZINC000152336784 407612362 /nfs/dbraw/zinc/61/23/62/407612362.db2.gz LRKGNJJNWGVRDQ-LBPRGKRZSA-N -1 1 314.407 1.838 20 0 DDADMM O=S(=O)([N-]CCCO[C@@H]1CCOC1)c1cccc(F)c1F ZINC000152344175 407616131 /nfs/dbraw/zinc/61/61/31/407616131.db2.gz UMFVNOUDBIKGIC-SNVBAGLBSA-N -1 1 321.345 1.439 20 0 DDADMM CC(C)(CC(N)=O)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000186256760 407621765 /nfs/dbraw/zinc/62/17/65/407621765.db2.gz DKCQXFQHPITNOP-UHFFFAOYSA-N -1 1 304.268 1.795 20 0 DDADMM O=C([N-]Cc1ccccc1CN1CCCC1=O)C(F)(F)F ZINC000171246399 407636344 /nfs/dbraw/zinc/63/63/44/407636344.db2.gz HYTCRBQDBFPEKD-UHFFFAOYSA-N -1 1 300.280 1.988 20 0 DDADMM NC(=O)c1[nH]nc2ccc([N-]S(=O)(=O)c3ccccc3)cc21 ZINC000152591219 407656455 /nfs/dbraw/zinc/65/64/55/407656455.db2.gz XQPZEPGOQOYYOU-UHFFFAOYSA-N -1 1 316.342 1.463 20 0 DDADMM O=C(CCC1CC1)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000130471925 407692850 /nfs/dbraw/zinc/69/28/50/407692850.db2.gz GSTXFXFJGPBKDL-UHFFFAOYSA-N -1 1 324.402 1.962 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCCCNC1=O ZINC000266990400 407704672 /nfs/dbraw/zinc/70/46/72/407704672.db2.gz IYCVWXRJNAQGLV-JTQLQIEISA-N -1 1 320.393 1.187 20 0 DDADMM O=C([O-])[C@@H]1CCCN1CCCS(=O)(=O)c1ccc(F)cc1 ZINC000262153430 407754599 /nfs/dbraw/zinc/75/45/99/407754599.db2.gz RLMDHKAVTHTJQI-ZDUSSCGKSA-N -1 1 315.366 1.539 20 0 DDADMM Cn1cc([N-]S(=O)(=O)c2cc3ccccc3o2)ccc1=O ZINC000131623451 407744354 /nfs/dbraw/zinc/74/43/54/407744354.db2.gz XHVFGUSRPCAOGY-UHFFFAOYSA-N -1 1 304.327 1.932 20 0 DDADMM CNC(=O)[C@H](C)CN(C)C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000267243536 407802667 /nfs/dbraw/zinc/80/26/67/407802667.db2.gz SIAQHELXODDTTI-SECBINFHSA-N -1 1 322.409 1.242 20 0 DDADMM CN(CCCC(=O)[O-])C(=O)[C@@H]1CCC[N@H+]1Cc1ccccc1 ZINC000237271904 407809418 /nfs/dbraw/zinc/80/94/18/407809418.db2.gz BFGVKMQBBKFLEG-HNNXBMFYSA-N -1 1 304.390 1.974 20 0 DDADMM Cn1nccc1CNC(=O)c1ccc(Br)c([O-])c1 ZINC000133044241 407817614 /nfs/dbraw/zinc/81/76/14/407817614.db2.gz PKKYSSMCAHDTCM-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cc(C)n(C)n1 ZINC000134043813 407881111 /nfs/dbraw/zinc/88/11/11/407881111.db2.gz WAGBHHDRHLCASV-UHFFFAOYSA-N -1 1 322.390 1.742 20 0 DDADMM COc1ccccc1NC(=O)[C@@H](C)N1CCC[C@H](C(=O)[O-])C1 ZINC000262665856 407894174 /nfs/dbraw/zinc/89/41/74/407894174.db2.gz NGSXTMGCSAPINP-NEPJUHHUSA-N -1 1 306.362 1.819 20 0 DDADMM CN1CCC[C@@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000135137238 407977440 /nfs/dbraw/zinc/97/74/40/407977440.db2.gz WFRBXSXEHHYERL-SSDOTTSWSA-N -1 1 300.156 1.582 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc2c(c1)CCO2)c1nn[n-]n1 ZINC000268154879 407917143 /nfs/dbraw/zinc/91/71/43/407917143.db2.gz GXTGROUXJKXHRS-GFCCVEGCSA-N -1 1 301.350 1.335 20 0 DDADMM Cc1nn(C)c(C)c1OCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000268162714 407923275 /nfs/dbraw/zinc/92/32/75/407923275.db2.gz HMVINRPCSNEIHW-UHFFFAOYSA-N -1 1 318.259 1.191 20 0 DDADMM NC(=O)c1coc(C[N-]S(=O)(=O)c2cc(F)ccc2F)c1 ZINC000188242616 407926154 /nfs/dbraw/zinc/92/61/54/407926154.db2.gz WFLAFWOONAQVRF-UHFFFAOYSA-N -1 1 316.285 1.135 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N(Cc1ccccn1)C1CC1)c2=O ZINC000181723986 408030544 /nfs/dbraw/zinc/03/05/44/408030544.db2.gz ILAUYOSTYYVMHQ-UHFFFAOYSA-N -1 1 323.356 1.874 20 0 DDADMM C[S@](=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)cc1 ZINC000174741671 407986674 /nfs/dbraw/zinc/98/66/74/407986674.db2.gz ZWOGAKVUZHYCEP-VOJFVSQTSA-N -1 1 303.405 1.345 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@@H]1C(C)(C)O)c2=O ZINC000119404344 408063621 /nfs/dbraw/zinc/06/36/21/408063621.db2.gz FFSKCNSRYHCNFA-LLVKDONJSA-N -1 1 304.350 1.049 20 0 DDADMM CCc1onc(C)c1NC(=O)c1cnc2n(C)[n-]cc-2c1=O ZINC000119445024 408070843 /nfs/dbraw/zinc/07/08/43/408070843.db2.gz GPZXIGKSLMWOGY-UHFFFAOYSA-N -1 1 301.306 1.785 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC[C@H](C)C[C@@H]2C)co1 ZINC000245864472 408082079 /nfs/dbraw/zinc/08/20/79/408082079.db2.gz DZQSIMSQVSDWDS-JBLDHEPKSA-N -1 1 314.407 1.742 20 0 DDADMM COCCC1(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCCC1 ZINC000155643877 408193919 /nfs/dbraw/zinc/19/39/19/408193919.db2.gz GPNXMCNINPZVTL-GFCCVEGCSA-N -1 1 307.398 1.503 20 0 DDADMM C[C@H]1CN(C(=O)NCCC(=O)[O-])[C@@H](C)CN1Cc1ccccc1 ZINC000263397199 408128162 /nfs/dbraw/zinc/12/81/62/408128162.db2.gz RMSZEGJHJZBFTC-KBPBESRZSA-N -1 1 319.405 1.766 20 0 DDADMM COc1cccc(-c2cc(C(=O)N3CCC[C@H]3C(=O)[O-])n[nH]2)c1 ZINC000263573537 408179902 /nfs/dbraw/zinc/17/99/02/408179902.db2.gz MMESSRBFTRMZCC-AWEZNQCLSA-N -1 1 315.329 1.775 20 0 DDADMM O=C(Cc1cccc2cccnc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155579920 408183465 /nfs/dbraw/zinc/18/34/65/408183465.db2.gz SWUCEBKWCRZUFT-AWEZNQCLSA-N -1 1 322.372 1.697 20 0 DDADMM CC(=O)Nc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000273463121 408212062 /nfs/dbraw/zinc/21/20/62/408212062.db2.gz FLHKYJSBTMZULB-VIFPVBQESA-N -1 1 302.338 1.034 20 0 DDADMM CC(C)Oc1cccc(CC(=O)NCCCc2nc(=O)[n-][nH]2)c1 ZINC000176255052 408324914 /nfs/dbraw/zinc/32/49/14/408324914.db2.gz MPRUPEFSNQRQGK-UHFFFAOYSA-N -1 1 318.377 1.177 20 0 DDADMM COc1ccc(Cl)cc1CC(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000176265193 408327101 /nfs/dbraw/zinc/32/71/01/408327101.db2.gz DGKWLGQTADQCBW-UHFFFAOYSA-N -1 1 324.768 1.052 20 0 DDADMM Cc1cc(O)cc(=O)n1CC(=O)Nc1ccc([O-])c(Cl)c1 ZINC000182860979 408269962 /nfs/dbraw/zinc/26/99/62/408269962.db2.gz JUCLWDNOQVFKJI-UHFFFAOYSA-N -1 1 308.721 1.860 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(OC[C@H]2CCCCO2)CC1 ZINC000176214396 408311921 /nfs/dbraw/zinc/31/19/21/408311921.db2.gz DROJEPIQLNQUQP-MRXNPFEDSA-N -1 1 320.389 1.978 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H]1c2ccccc2NC1=O)c1nn[n-]n1 ZINC000136670265 162120540 /nfs/dbraw/zinc/12/05/40/162120540.db2.gz NZHFYBWFEUEYLT-ZYHUDNBSSA-N -1 1 314.349 1.283 20 0 DDADMM O=C(N[C@H]1CCN(c2ncccc2Cl)C1)c1ncccc1[O-] ZINC000137825234 162127430 /nfs/dbraw/zinc/12/74/30/162127430.db2.gz RDAOJDRSJVNTAL-JTQLQIEISA-N -1 1 318.764 1.844 20 0 DDADMM Cc1nccn1-c1ccc(CNC(=O)c2ncccc2[O-])cn1 ZINC000171264608 162211360 /nfs/dbraw/zinc/21/13/60/162211360.db2.gz PTJDUOUUTUDNLK-UHFFFAOYSA-N -1 1 309.329 1.606 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1SCCc2ccccc21)c1nn[n-]n1 ZINC000183399859 408399297 /nfs/dbraw/zinc/39/92/97/408399297.db2.gz FWHKTMAMIAJTJU-GXFFZTMASA-N -1 1 317.418 1.792 20 0 DDADMM COc1ccccc1OCCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183432122 408410946 /nfs/dbraw/zinc/41/09/46/408410946.db2.gz WECVQOGIXOWXBS-NSHDSACASA-N -1 1 319.365 1.239 20 0 DDADMM CCC[C@H](NC(=O)c1oc2c(c1C)C(=O)CCC2)c1nn[n-]n1 ZINC000176767257 408437215 /nfs/dbraw/zinc/43/72/15/408437215.db2.gz VPHYPRQHZSVHGQ-VIFPVBQESA-N -1 1 317.349 1.891 20 0 DDADMM CCC[C@H](NC(=O)CSc1cccc(OC)c1)c1nn[n-]n1 ZINC000176774480 408439793 /nfs/dbraw/zinc/43/97/93/408439793.db2.gz IPNOUHSKUWZORY-LBPRGKRZSA-N -1 1 321.406 1.958 20 0 DDADMM CCOc1cc(C(=O)NC(C)(C)CC(N)=O)cc(Cl)c1[O-] ZINC000183562167 408442138 /nfs/dbraw/zinc/44/21/38/408442138.db2.gz KBKXJTNJAAVTBX-UHFFFAOYSA-N -1 1 314.769 1.828 20 0 DDADMM COc1ccsc1S(=O)(=O)N[C@H]1CCC[N@@H+](C(C)C)C1 ZINC000274704012 408503601 /nfs/dbraw/zinc/50/36/01/408503601.db2.gz ONORTIVLNKINJN-NSHDSACASA-N -1 1 318.464 1.908 20 0 DDADMM O=S(=O)(NCCN1CCCCCC1)c1cncc(Cl)c1 ZINC000269925883 408471258 /nfs/dbraw/zinc/47/12/58/408471258.db2.gz BXEFXDVIIDFNFR-UHFFFAOYSA-N -1 1 317.842 1.889 20 0 DDADMM CCC[C@H](NCC(=O)NCc1cccc(COC)c1)C(=O)[O-] ZINC000185037879 408742028 /nfs/dbraw/zinc/74/20/28/408742028.db2.gz XIIUKYLJGTXFRQ-AWEZNQCLSA-N -1 1 308.378 1.292 20 0 DDADMM C[C@]1(C(=O)[O-])CCC[C@H]1NS(=O)(=O)c1c(F)cccc1F ZINC000164849005 408804261 /nfs/dbraw/zinc/80/42/61/408804261.db2.gz VFQBYHRSWTVIRQ-MFKMUULPSA-N -1 1 319.329 1.887 20 0 DDADMM O=S(=O)(Cc1ncccn1)c1nc(-c2ccccc2F)n[n-]1 ZINC000195126031 408813313 /nfs/dbraw/zinc/81/33/13/408813313.db2.gz HROJWEKHDHFWCX-UHFFFAOYSA-N -1 1 319.321 1.375 20 0 DDADMM O=S(=O)(Cc1ncccn1)c1n[n-]c(-c2ccccc2F)n1 ZINC000195126031 408813314 /nfs/dbraw/zinc/81/33/14/408813314.db2.gz HROJWEKHDHFWCX-UHFFFAOYSA-N -1 1 319.321 1.375 20 0 DDADMM Cc1cc(N2CCC(NC(=O)c3cncc([O-])c3)CC2)n[nH]1 ZINC000276022862 408752687 /nfs/dbraw/zinc/75/26/87/408752687.db2.gz QNWOUJBTGNLBCQ-UHFFFAOYSA-N -1 1 301.350 1.218 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H](C)c2ccco2)co1 ZINC000163035050 408752996 /nfs/dbraw/zinc/75/29/96/408752996.db2.gz ZTIQXMPXYPSAFD-VIFPVBQESA-N -1 1 312.347 1.614 20 0 DDADMM C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)N1CCCC[C@H]1C ZINC000280777789 408832545 /nfs/dbraw/zinc/83/25/45/408832545.db2.gz NALVCEGSIMXQJF-NEPJUHHUSA-N -1 1 318.373 1.481 20 0 DDADMM CCc1cc(C(=O)N2CCC[N@@H+](CCOC)CC2)ccc1O ZINC000291622952 408896832 /nfs/dbraw/zinc/89/68/32/408896832.db2.gz ZESYTLAGIGJOMS-UHFFFAOYSA-N -1 1 306.406 1.749 20 0 DDADMM O=S(=O)([N-][C@@H]1CS(=O)(=O)c2ccccc21)c1ccco1 ZINC000188781567 163069212 /nfs/dbraw/zinc/06/92/12/163069212.db2.gz XABRVLYJLJDDGL-SNVBAGLBSA-N -1 1 313.356 1.087 20 0 DDADMM CC(C)(C)[C@H](O)C[C@H](CO)NC(=O)c1ccc(Cl)cc1[O-] ZINC000286055962 408916122 /nfs/dbraw/zinc/91/61/22/408916122.db2.gz VTDWWBAXYMNSHO-ZWNOBZJWSA-N -1 1 315.797 1.933 20 0 DDADMM CCc1cc(C(=O)NC[C@H](C)N2CCN(C)CC2)ccc1O ZINC000291747525 408917047 /nfs/dbraw/zinc/91/70/47/408917047.db2.gz DNNAOKVQEMAHHP-ZDUSSCGKSA-N -1 1 305.422 1.320 20 0 DDADMM CC1(C)CN(c2nc3[nH]ncc3c(=O)[nH]2)C[C@@H](C(F)(F)F)O1 ZINC000291784726 408921867 /nfs/dbraw/zinc/92/18/67/408921867.db2.gz IHHOQWFZMIZIPD-ZETCQYMHSA-N -1 1 317.271 1.605 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)Oc1ccc(C=O)cc1)c1nn[n-]n1 ZINC000286130496 408928961 /nfs/dbraw/zinc/92/89/61/408928961.db2.gz QOALHUVWYFSTTL-GHMZBOCLSA-N -1 1 317.349 1.042 20 0 DDADMM O=C([O-])c1cc(C(=O)NCc2nc3ccc(F)cc3[nH]2)ccn1 ZINC000238175206 163399903 /nfs/dbraw/zinc/39/99/03/163399903.db2.gz RKXJQWSCOMGELU-UHFFFAOYSA-N -1 1 314.276 1.725 20 0 DDADMM COCCOc1ccc(CC(=O)Nc2nc(SC)n[nH]2)cc1 ZINC000277536381 408960837 /nfs/dbraw/zinc/96/08/37/408960837.db2.gz RGWNTTFHQVZLMS-UHFFFAOYSA-N -1 1 322.390 1.733 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]([C@@H](O)C(F)(F)F)C1 ZINC000277604960 408974776 /nfs/dbraw/zinc/97/47/76/408974776.db2.gz PBQQSNVBPAFAHT-GZMMTYOYSA-N -1 1 304.268 1.563 20 0 DDADMM CON(CC(C)(C)C)C(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC000286688841 408997433 /nfs/dbraw/zinc/99/74/33/408997433.db2.gz ZXUQAZBSIMYISI-UHFFFAOYSA-N -1 1 320.349 1.794 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C(C)(C)C)CC2)n1 ZINC000277890790 409030412 /nfs/dbraw/zinc/03/04/12/409030412.db2.gz ZWOJLIQITNMTMW-UHFFFAOYSA-N -1 1 308.382 1.734 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H](CO)CC3CCC3)ccnc1-2 ZINC000287559779 409055080 /nfs/dbraw/zinc/05/50/80/409055080.db2.gz KGIHQCIWKJBMCJ-ZNCULLJESA-N -1 1 303.366 1.014 20 0 DDADMM CCOC1CC(N(C)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000287569618 409057672 /nfs/dbraw/zinc/05/76/72/409057672.db2.gz KTTMAWIJTQQBNS-AQTBWJFISA-N -1 1 303.366 1.373 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(OC)cc2)C1 ZINC000287850918 409103646 /nfs/dbraw/zinc/10/36/46/409103646.db2.gz ORRLUFKMUMRMHZ-LBPRGKRZSA-N -1 1 322.361 1.132 20 0 DDADMM C[C@H]1CN(Cc2cccc([O-])c2Cl)CCN1S(C)(=O)=O ZINC000293699332 409162990 /nfs/dbraw/zinc/16/29/90/409162990.db2.gz SWPNMGCMOBWUTC-JTQLQIEISA-N -1 1 318.826 1.511 20 0 DDADMM COc1cccc(OCC[N@H+]2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000288789493 409178543 /nfs/dbraw/zinc/17/85/43/409178543.db2.gz CGCAIRUVMLSJIZ-GFCCVEGCSA-N -1 1 318.377 1.365 20 0 DDADMM CN(Cc1cccc([O-])c1Cl)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000294028114 409228539 /nfs/dbraw/zinc/22/85/39/409228539.db2.gz VKHUHRGUEDGPSH-LLVKDONJSA-N -1 1 318.826 1.511 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)cn1 ZINC000294159062 409251412 /nfs/dbraw/zinc/25/14/12/409251412.db2.gz ILNLDQQDCLKYSS-GFCCVEGCSA-N -1 1 315.377 1.636 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1ccc(F)c(F)c1F)C1CC1 ZINC000293898636 409202851 /nfs/dbraw/zinc/20/28/51/409202851.db2.gz VTIKBGVQQVQIPC-VIFPVBQESA-N -1 1 309.309 1.807 20 0 DDADMM CC[C@@H](CNS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1[O-] ZINC000295519038 409327493 /nfs/dbraw/zinc/32/74/93/409327493.db2.gz DNLQTJGOYBEFNT-VIFPVBQESA-N -1 1 320.798 1.103 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2nc(C3CC3)c[nH]2)o1 ZINC000289411285 409266221 /nfs/dbraw/zinc/26/62/21/409266221.db2.gz RIVLGESNOVBBJM-UHFFFAOYSA-N -1 1 310.335 1.041 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCCC2(C)C)co1 ZINC000294250316 409266785 /nfs/dbraw/zinc/26/67/85/409266785.db2.gz SSGJITVUIWYJLI-NSHDSACASA-N -1 1 314.407 1.744 20 0 DDADMM CC(C)[C@](C)([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(N)=O ZINC000285421858 409439771 /nfs/dbraw/zinc/43/97/71/409439771.db2.gz VUASFPKZYQXWIW-LBPRGKRZSA-N -1 1 324.324 1.282 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@H]3[C@@H](C2)NC(=O)N3C(C)C)c([O-])c1 ZINC000408060775 164212916 /nfs/dbraw/zinc/21/29/16/164212916.db2.gz DYCFZMAOMUVBGI-NEPJUHHUSA-N -1 1 318.377 1.112 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@@H]1Cc1ccccc1 ZINC000290662889 409480642 /nfs/dbraw/zinc/48/06/42/409480642.db2.gz FVMKQHJGWRMHQU-RYUDHWBXSA-N -1 1 307.375 1.475 20 0 DDADMM C[C@@H](O)C[C@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000296268151 409484555 /nfs/dbraw/zinc/48/45/55/409484555.db2.gz YNZNWSLVMFXEOK-ZYHUDNBSSA-N -1 1 320.418 1.784 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H](CC)NC(C)=O)c1Br ZINC000631592169 422844928 /nfs/dbraw/zinc/84/49/28/422844928.db2.gz YPRGWEBYIYVIMA-QMMMGPOBSA-N -1 1 317.187 1.010 20 0 DDADMM CCCC[C@H]1CCC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337901901 409555389 /nfs/dbraw/zinc/55/53/89/409555389.db2.gz JPPQVYVCSNIHEF-STQMWFEESA-N -1 1 319.405 1.992 20 0 DDADMM CO[C@H](C)c1nc(=NC2CCN(C(=O)C(C)C)CC2)s[n-]1 ZINC000337906781 409556672 /nfs/dbraw/zinc/55/66/72/409556672.db2.gz DAKZIPZXQFZVFB-SNVBAGLBSA-N -1 1 312.439 1.726 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1(c2ccccc2F)CC1 ZINC000290732154 409502852 /nfs/dbraw/zinc/50/28/52/409502852.db2.gz LGIUPGCOMDOIMY-UHFFFAOYSA-N -1 1 311.338 1.672 20 0 DDADMM CO[C@@H](C)c1nc(=NCCCNC(=O)c2occc2C)s[n-]1 ZINC000337886058 409538884 /nfs/dbraw/zinc/53/88/84/409538884.db2.gz XZKOOORKOVIAAF-JTQLQIEISA-N -1 1 324.406 1.801 20 0 DDADMM CN(Cc1cccc(F)c1)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337952663 409598820 /nfs/dbraw/zinc/59/88/20/409598820.db2.gz SLWDCEVBQNZCRP-UHFFFAOYSA-N -1 1 317.320 1.315 20 0 DDADMM CCC[C@@]1(NC(=O)c2c[n-]c3c(cnn3C)c2=O)CCOC1 ZINC000342621763 409770006 /nfs/dbraw/zinc/77/00/06/409770006.db2.gz NGBOSAVAHWYSHF-OAHLLOKOSA-N -1 1 304.350 1.363 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC000357056638 409822611 /nfs/dbraw/zinc/82/26/11/409822611.db2.gz XMLFKVTYCPTOSU-YUMQZZPRSA-N -1 1 303.288 1.674 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cnc(-c2ccncc2)s1 ZINC000357058296 409829267 /nfs/dbraw/zinc/82/92/67/409829267.db2.gz ZOQYBOXDPQCNQR-UHFFFAOYSA-N -1 1 313.346 1.137 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H](CO)CC1CCCC1)c2=O ZINC000338242118 409830756 /nfs/dbraw/zinc/83/07/56/409830756.db2.gz JRXLJGLKIWJVDR-NSHDSACASA-N -1 1 318.377 1.345 20 0 DDADMM O=S(=O)(CCOCC(F)(F)F)[N-]c1cnn(C(F)F)c1 ZINC000357078044 409850606 /nfs/dbraw/zinc/85/06/06/409850606.db2.gz KGFUILGFAMXTTD-UHFFFAOYSA-N -1 1 323.243 1.599 20 0 DDADMM Cc1nc(S[C@@H]2CCN(c3cnn(C)c3)C2=O)[n-]c(=O)c1C ZINC000332075208 409853538 /nfs/dbraw/zinc/85/35/38/409853538.db2.gz FJENRJKIRQWMDE-LLVKDONJSA-N -1 1 319.390 1.430 20 0 DDADMM CCO[C@@H]1COCC[C@H]1NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000354353237 409787898 /nfs/dbraw/zinc/78/78/98/409787898.db2.gz LDZXCAPKOVEIOD-ZYHUDNBSSA-N -1 1 311.407 1.063 20 0 DDADMM Cc1cccc(-c2cncc(C(=O)NC3(c4nn[n-]n4)CC3)c2)c1 ZINC000357051117 409820023 /nfs/dbraw/zinc/82/00/23/409820023.db2.gz PCVCSRQHFKRZHL-UHFFFAOYSA-N -1 1 320.356 1.989 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)[N-]S(=O)(=O)CCC1CCC1 ZINC000349552380 409869696 /nfs/dbraw/zinc/86/96/96/409869696.db2.gz GHCXDBPLYZCQRY-SECBINFHSA-N -1 1 317.329 1.590 20 0 DDADMM Cc1nc(-c2ccc(NCCc3ccn(C)n3)nc2)[n-]c(=O)c1C ZINC000354584821 409951906 /nfs/dbraw/zinc/95/19/06/409951906.db2.gz XHZOLLHJOOHOBA-UHFFFAOYSA-N -1 1 324.388 1.671 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1cc(C)cc(C)c1 ZINC000357341463 409982979 /nfs/dbraw/zinc/98/29/79/409982979.db2.gz CAKGTLHWYVKEBC-UHFFFAOYSA-N -1 1 317.345 1.486 20 0 DDADMM C[C@H](CN(C)C(=O)C1(Cc2ccc(F)cc2)CC1)c1nn[n-]n1 ZINC000579911190 422853496 /nfs/dbraw/zinc/85/34/96/422853496.db2.gz SBPSJORYWLKSMT-LLVKDONJSA-N -1 1 317.368 1.924 20 0 DDADMM CC(C)C(=O)Nc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354782979 410083131 /nfs/dbraw/zinc/08/31/31/410083131.db2.gz IZCQSCUJPPNAOU-UHFFFAOYSA-N -1 1 316.365 1.459 20 0 DDADMM CC(C)(NC(=O)[C@@H]1CCSc2ccccc21)c1nn[n-]n1 ZINC000354793462 410092109 /nfs/dbraw/zinc/09/21/09/410092109.db2.gz QHZZVIOTSWVLLS-SNVBAGLBSA-N -1 1 303.391 1.831 20 0 DDADMM CCCC[C@@H]1CCC[C@H]1NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000357586184 410121239 /nfs/dbraw/zinc/12/12/39/410121239.db2.gz RIHYTKCRBUZRIR-NXEZZACHSA-N -1 1 309.366 1.985 20 0 DDADMM C[C@@H]1CCCC[C@@H]1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332396001 410125169 /nfs/dbraw/zinc/12/51/69/410125169.db2.gz LNVMSIYDMLLZKM-MNOVXSKESA-N -1 1 324.454 1.361 20 0 DDADMM Cc1nc(C)c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)nc1C ZINC000332432058 410153395 /nfs/dbraw/zinc/15/33/95/410153395.db2.gz FQEKCIYTFJJVQU-UHFFFAOYSA-N -1 1 317.349 1.510 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCc3nc(C(C)C)nn3C2)c([O-])c1 ZINC000332671549 410203903 /nfs/dbraw/zinc/20/39/03/410203903.db2.gz ZRBUYCXQSRPQSO-LLVKDONJSA-N -1 1 315.377 1.555 20 0 DDADMM CCc1nc2n(n1)C[C@@H](NC(=O)c1c([O-])cccc1F)CC2 ZINC000332726802 410237203 /nfs/dbraw/zinc/23/72/03/410237203.db2.gz YPTHCHZLETXPJA-VIFPVBQESA-N -1 1 304.325 1.430 20 0 DDADMM C[C@@H]1CCC[C@@]1(O)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000298476572 410249665 /nfs/dbraw/zinc/24/96/65/410249665.db2.gz PKWVNXLACPSLFS-DBNUIFOASA-N -1 1 303.366 1.014 20 0 DDADMM C[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(Br)c([O-])c1 ZINC000351816683 410258667 /nfs/dbraw/zinc/25/86/67/410258667.db2.gz UGHMTQMEAISFBV-VXNVDRBHSA-N -1 1 300.152 1.750 20 0 DDADMM CCOc1cccnc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351845062 410281624 /nfs/dbraw/zinc/28/16/24/410281624.db2.gz PREQIOQIZQBPHN-UHFFFAOYSA-N -1 1 318.333 1.589 20 0 DDADMM C[C@@H]1CCCC[C@H]1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000343324869 410357174 /nfs/dbraw/zinc/35/71/74/410357174.db2.gz RKXDDNFDXGIMRJ-NEPJUHHUSA-N -1 1 305.378 1.460 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(C)(C)[C@H]2CCC[C@H]21 ZINC000333169579 410398992 /nfs/dbraw/zinc/39/89/92/410398992.db2.gz NIXPFOWESDOGQA-DTWKUNHWSA-N -1 1 307.350 1.547 20 0 DDADMM CC(C)(C)C[C@@H]1CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343384820 410410966 /nfs/dbraw/zinc/41/09/66/410410966.db2.gz ORHMYKQIHAVTRW-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1cccc(CS(=O)(=O)[N-]c2nnc3ccccn32)c1 ZINC000355407499 410500837 /nfs/dbraw/zinc/50/08/37/410500837.db2.gz HDWMOBKYISOPNQ-UHFFFAOYSA-N -1 1 302.359 1.980 20 0 DDADMM C[C@H](c1ccc([S@](C)=O)cc1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC000347413222 410532247 /nfs/dbraw/zinc/53/22/47/410532247.db2.gz BMKOMKIZSWXRLY-UZJPJQLHSA-N -1 1 308.407 1.039 20 0 DDADMM Cn1[n-]c(CN[C@H](c2nccs2)c2ccccc2)nc1=O ZINC000347476109 410573010 /nfs/dbraw/zinc/57/30/10/410573010.db2.gz HTONCNZGIGDKNM-LBPRGKRZSA-N -1 1 301.375 1.444 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1C[C@@H]1c1ccccc1 ZINC000339983100 410595581 /nfs/dbraw/zinc/59/55/81/410595581.db2.gz TUAHPXAFCCSPJN-OLZOCXBDSA-N -1 1 311.341 1.189 20 0 DDADMM CCc1ccc([C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)cc1 ZINC000330101627 410542568 /nfs/dbraw/zinc/54/25/68/410542568.db2.gz FNTUHOVZLRSSBL-AWEZNQCLSA-N -1 1 302.378 1.244 20 0 DDADMM Cn1nc(CN2CCCc3cc(Br)ccc32)[n-]c1=O ZINC000347439329 410546585 /nfs/dbraw/zinc/54/65/85/410546585.db2.gz MXZUBGKAHGKVLM-UHFFFAOYSA-N -1 1 323.194 1.824 20 0 DDADMM CN(Cc1nc(-c2cccnc2)no1)C(=O)c1cncc([O-])c1 ZINC000339930393 410558777 /nfs/dbraw/zinc/55/87/77/410558777.db2.gz NLZDVUQAVGYOPR-UHFFFAOYSA-N -1 1 311.301 1.504 20 0 DDADMM CCC[C@](C)(NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(=O)OC ZINC000355623629 410614556 /nfs/dbraw/zinc/61/45/56/410614556.db2.gz DENFXJKBDPGTRW-HNNXBMFYSA-N -1 1 320.349 1.136 20 0 DDADMM Cn1c(SCc2nn[n-]n2)nnc1-c1ccccc1Cl ZINC000353168726 410824801 /nfs/dbraw/zinc/82/48/01/410824801.db2.gz CTCQXCKAMFBSIF-UHFFFAOYSA-N -1 1 307.770 1.941 20 0 DDADMM CS[C@H](CO)[C@@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000330645436 410847096 /nfs/dbraw/zinc/84/70/96/410847096.db2.gz LEDBJQBLFLOCFV-VXNVDRBHSA-N -1 1 310.466 1.151 20 0 DDADMM CC(C)(NC(=O)[C@@H]1CCC[C@@H](C(F)(F)F)C1)c1nn[n-]n1 ZINC000359803246 410887957 /nfs/dbraw/zinc/88/79/57/410887957.db2.gz USSJSFGBGFISIS-HTQZYQBOSA-N -1 1 305.304 1.920 20 0 DDADMM NC(=O)[C@@H](Cc1ccc(Cl)cc1)NC(=O)c1cncc([O-])c1 ZINC000344045783 410916961 /nfs/dbraw/zinc/91/69/61/410916961.db2.gz GUKMDBPNMWDZPJ-CYBMUJFWSA-N -1 1 319.748 1.267 20 0 DDADMM CC(C)(NC(=O)CCC(=O)c1cccc(F)c1)c1nn[n-]n1 ZINC000348480223 410979467 /nfs/dbraw/zinc/97/94/67/410979467.db2.gz PYWWZNNTMPEHHE-UHFFFAOYSA-N -1 1 305.313 1.353 20 0 DDADMM CCC[C@@H](C)CS(=O)(=O)[N-][C@H](CC(F)F)C(=O)OC ZINC000341720107 411051510 /nfs/dbraw/zinc/05/15/10/411051510.db2.gz YIBZUZZVDVYIEH-RKDXNWHRSA-N -1 1 301.355 1.539 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H](O)CC(C)(C)C)sc1C ZINC000331297130 411064724 /nfs/dbraw/zinc/06/47/24/411064724.db2.gz ZJIJFIGJYSFOGP-JTQLQIEISA-N -1 1 306.453 1.835 20 0 DDADMM C[C@H]1C[C@H](C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)CO1 ZINC000331296445 411066269 /nfs/dbraw/zinc/06/62/69/411066269.db2.gz NDSDKWXJMUTQNS-IUCAKERBSA-N -1 1 316.354 1.561 20 0 DDADMM Nc1cc2c(nn1)CCN(Cc1cc(=O)oc3cc([O-])ccc13)C2 ZINC000360156789 411079291 /nfs/dbraw/zinc/07/92/91/411079291.db2.gz FSCBXWKKPQZNLL-UHFFFAOYSA-N -1 1 324.340 1.259 20 0 DDADMM O=C(NCc1ccc(Cl)s1)c1cc(=O)n2[n-]cnc2n1 ZINC000353655514 411099679 /nfs/dbraw/zinc/09/96/79/411099679.db2.gz HLTZNSRXSMCBNU-UHFFFAOYSA-N -1 1 309.738 1.063 20 0 DDADMM CCOCC(C)(C)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000356599714 411101791 /nfs/dbraw/zinc/10/17/91/411101791.db2.gz WBRIXHXSFRYHRM-UHFFFAOYSA-N -1 1 306.366 1.466 20 0 DDADMM O=C(C[C@@H]1CCCN1C(=O)CCc1nn[n-]n1)c1cccs1 ZINC000631656279 422869502 /nfs/dbraw/zinc/86/95/02/422869502.db2.gz PHGWBPBPWJYBQY-JTQLQIEISA-N -1 1 319.390 1.458 20 0 DDADMM Cn1cc(CC[N-]S(=O)(=O)c2ccc(C(F)F)o2)cn1 ZINC000631765454 422914027 /nfs/dbraw/zinc/91/40/27/422914027.db2.gz JWRUYNGCCNAWAV-UHFFFAOYSA-N -1 1 305.306 1.472 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3CO[C@H](C4CC4)C3)cnc2n1 ZINC000630026446 422929983 /nfs/dbraw/zinc/92/99/83/422929983.db2.gz OLWJRJXMWVECMA-FZMZJTMJSA-N -1 1 313.357 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1CO[C@H](C3CC3)C1)c2=O ZINC000630026446 422929985 /nfs/dbraw/zinc/92/99/85/422929985.db2.gz OLWJRJXMWVECMA-FZMZJTMJSA-N -1 1 313.357 1.941 20 0 DDADMM CC[C@H](C)C[C@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000647673155 422994211 /nfs/dbraw/zinc/99/42/11/422994211.db2.gz CSXDRZRBZIFFDF-WDEREUQCSA-N -1 1 303.362 1.450 20 0 DDADMM COc1cccc(OCCCNC(=O)c2cncc([O-])c2)c1 ZINC000132073045 196223907 /nfs/dbraw/zinc/22/39/07/196223907.db2.gz UTSJQHAQBROJRD-UHFFFAOYSA-N -1 1 302.330 1.995 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCOC2(C)C)c(F)c1 ZINC000650091536 423043561 /nfs/dbraw/zinc/04/35/61/423043561.db2.gz XIAMBRHREFJCPA-LLVKDONJSA-N -1 1 321.345 1.819 20 0 DDADMM CCCCc1ccc(C(=O)N=c2ncn(CC(=O)[O-])[nH]2)cc1 ZINC000647782422 423047605 /nfs/dbraw/zinc/04/76/05/423047605.db2.gz MBPVJDLMHLLTDT-UHFFFAOYSA-N -1 1 302.334 1.380 20 0 DDADMM CC(CO)(CO)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000647828407 423064867 /nfs/dbraw/zinc/06/48/67/423064867.db2.gz VBHKWPKWKLBARC-UHFFFAOYSA-N -1 1 307.268 1.132 20 0 DDADMM O=S(=O)([N-][C@@H]1CCSC1)c1ncccc1C(F)(F)F ZINC000645568435 423090648 /nfs/dbraw/zinc/09/06/48/423090648.db2.gz MXDJAMZWAIXNCE-SSDOTTSWSA-N -1 1 312.338 1.884 20 0 DDADMM CSc1nc(CNC(=O)c2ccnn2CC(C)C)cc(=O)[n-]1 ZINC000640655986 423115877 /nfs/dbraw/zinc/11/58/77/423115877.db2.gz UFOVPQOHOSLYJG-UHFFFAOYSA-N -1 1 321.406 1.687 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C(C)=O)cn2C)cc(=O)[n-]1 ZINC000640658121 423118486 /nfs/dbraw/zinc/11/84/86/423118486.db2.gz MJEQFWXQSLLTMD-UHFFFAOYSA-N -1 1 320.374 1.375 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCO1 ZINC000645637508 423119696 /nfs/dbraw/zinc/11/96/96/423119696.db2.gz SSVHDHNMLVHLRF-IUCAKERBSA-N -1 1 324.324 1.946 20 0 DDADMM CSc1nc(CNC(=O)c2ccc(C)nc2C)cc(=O)[n-]1 ZINC000640659391 423120123 /nfs/dbraw/zinc/12/01/23/423120123.db2.gz XRDLMOGBDSFYEW-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@H]3OCC[C@H]31)c2=O ZINC000373665338 418443487 /nfs/dbraw/zinc/44/34/87/418443487.db2.gz BUDPULPBQLYUNF-VXGBXAGGSA-N -1 1 302.334 1.067 20 0 DDADMM Cn1nncc1CNC(=O)c1ccc(Br)c([O-])c1 ZINC000360674102 418488406 /nfs/dbraw/zinc/48/84/06/418488406.db2.gz PRQVZGYRQNWRFZ-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM CCOc1cc(C(=O)NCCNC(C)=O)cc(Cl)c1[O-] ZINC000192570528 418525065 /nfs/dbraw/zinc/52/50/65/418525065.db2.gz UBIWYWRTZBMSQI-UHFFFAOYSA-N -1 1 300.742 1.310 20 0 DDADMM C[C@@H]1CCNC(=O)[C@H]1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000195180217 222192649 /nfs/dbraw/zinc/19/26/49/222192649.db2.gz IDAXTTQUQSVXBZ-HQJQHLMTSA-N -1 1 316.279 1.665 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1ccc(OC)nc1)c1ccco1 ZINC000195328735 222198800 /nfs/dbraw/zinc/19/88/00/222198800.db2.gz ZBXDFKSQGKVLQZ-NSHDSACASA-N -1 1 312.347 1.349 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC[C@H](N2CCOCC2)C1 ZINC000382476056 418731337 /nfs/dbraw/zinc/73/13/37/418731337.db2.gz HVRIPVILDHZAPT-ZDUSSCGKSA-N -1 1 308.353 1.468 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)Nc2nc(C)cs2)n1 ZINC000361996358 418736795 /nfs/dbraw/zinc/73/67/95/418736795.db2.gz OHKAQPZLKIFSQI-UHFFFAOYSA-N -1 1 312.376 1.692 20 0 DDADMM C[C@@H]1[C@@H](CO)CCN1C(=O)c1cc(Br)ccc1[O-] ZINC000386214864 418739008 /nfs/dbraw/zinc/73/90/08/418739008.db2.gz DVRYWNAVUQJBGJ-RKDXNWHRSA-N -1 1 314.179 1.998 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)NC[C@H]1C[N@@H+](Cc2ccccc2)CCCO1 ZINC000390750909 418754895 /nfs/dbraw/zinc/75/48/95/418754895.db2.gz VSEWTZURCOGCAM-HIFRSBDPSA-N -1 1 320.389 1.114 20 0 DDADMM C[C@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1c(F)cccc1F ZINC000371354958 418783637 /nfs/dbraw/zinc/78/36/37/418783637.db2.gz OIOYPZSUBONJFV-GZMMTYOYSA-N -1 1 323.303 1.182 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2[C@@H]2CCCC[C@H]2O)c([O-])c1 ZINC000408064561 418785009 /nfs/dbraw/zinc/78/50/09/418785009.db2.gz LCUKPJKPVPSQLV-BFHYXJOUSA-N -1 1 320.389 1.488 20 0 DDADMM Cc1ccc(O)c(C[N@H+]2CCCN(C(=O)[C@@H]3CCCO3)CC2)n1 ZINC000372436594 418869537 /nfs/dbraw/zinc/86/95/37/418869537.db2.gz UOQQDHQECOKKOZ-INIZCTEOSA-N -1 1 319.405 1.309 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1CCC[C@H](OC)C1 ZINC000410664878 418837177 /nfs/dbraw/zinc/83/71/77/418837177.db2.gz TVDXEXLHLSWFFS-QWRGUYRKSA-N -1 1 309.366 1.162 20 0 DDADMM O=c1cc(CN2CC(Cc3cccc(F)c3)C2)nc2cc[n-]n21 ZINC000372841060 418907642 /nfs/dbraw/zinc/90/76/42/418907642.db2.gz WPLXFVYFBGEVQK-UHFFFAOYSA-N -1 1 312.348 1.836 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCC(=O)N(C)C)c(F)c1 ZINC000425159506 228375782 /nfs/dbraw/zinc/37/57/82/228375782.db2.gz SWEKQVWFUUDBJQ-UHFFFAOYSA-N -1 1 306.334 1.030 20 0 DDADMM C[C@@H](N=c1ccc(N2CCO[C@@H](CO)C2)n[n-]1)c1ccccc1 ZINC000425239738 228397054 /nfs/dbraw/zinc/39/70/54/228397054.db2.gz XITMKCPQPDBBTG-UKRRQHHQSA-N -1 1 314.389 1.269 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2nc3cccnc3[nH]2)c1 ZINC000426677230 419528004 /nfs/dbraw/zinc/52/80/04/419528004.db2.gz KPOFTMFAVMRTRB-UHFFFAOYSA-N -1 1 311.301 1.874 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cnccc1-n1cc(C)cn1)OC ZINC000421233921 419530854 /nfs/dbraw/zinc/53/08/54/419530854.db2.gz MPHHSYGECSGFLR-GFCCVEGCSA-N -1 1 324.406 1.742 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(OC)cc1OC)OC ZINC000421141075 419500470 /nfs/dbraw/zinc/50/04/70/419500470.db2.gz OCRYLCGDSFMTLA-JTQLQIEISA-N -1 1 303.380 1.871 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](C)C(F)(F)C2)co1 ZINC000427656097 419710072 /nfs/dbraw/zinc/71/00/72/419710072.db2.gz VIMJJZSWBPRFHU-MRVPVSSYSA-N -1 1 322.333 1.305 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@H](CC)O1 ZINC000421993437 419798443 /nfs/dbraw/zinc/79/84/43/419798443.db2.gz ZWOOLLSMTOUVQO-PHIMTYICSA-N -1 1 318.377 1.704 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)c2[nH]nc3c2CCCC3)s1 ZINC000643429529 423156372 /nfs/dbraw/zinc/15/63/72/423156372.db2.gz SQGDVMAQAANEKX-UHFFFAOYSA-N -1 1 305.359 1.978 20 0 DDADMM CC1(C)CN(C(=O)N=c2[n-]nc(CC(F)(F)F)s2)CCN1 ZINC000415315393 420019735 /nfs/dbraw/zinc/01/97/35/420019735.db2.gz ZOAAEOWKZLGUKN-UHFFFAOYSA-N -1 1 323.344 1.281 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NCCc1ccc(F)cc1)C(=O)[O-] ZINC000420270186 420175945 /nfs/dbraw/zinc/17/59/45/420175945.db2.gz JDBCAZYZKFNARK-NHYWBVRUSA-N -1 1 310.369 1.573 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)F)c1cnccc1C(F)(F)F ZINC000435642336 420271629 /nfs/dbraw/zinc/27/16/29/420271629.db2.gz TUAQSGZVKPDJMV-ZCFIWIBFSA-N -1 1 320.239 1.005 20 0 DDADMM CCOC(=O)CCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436536435 420337075 /nfs/dbraw/zinc/33/70/75/420337075.db2.gz QHEUOEITKYGCHH-UHFFFAOYSA-N -1 1 301.726 1.737 20 0 DDADMM COCCOCCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436537070 420337698 /nfs/dbraw/zinc/33/76/98/420337698.db2.gz RKCNAJFTXVPKRP-UHFFFAOYSA-N -1 1 303.742 1.447 20 0 DDADMM O=C(C(=O)N1CC[C@@H](Oc2ccncc2)C1)c1ccc([O-])cc1 ZINC000436628693 420345234 /nfs/dbraw/zinc/34/52/34/420345234.db2.gz IPMGFZYFCVHNRF-OAHLLOKOSA-N -1 1 312.325 1.650 20 0 DDADMM O=C(C1CC1)C1(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CC1 ZINC000425317311 420348645 /nfs/dbraw/zinc/34/86/45/420348645.db2.gz FKFGKUTVRYMXGJ-UHFFFAOYSA-N -1 1 305.334 1.241 20 0 DDADMM Cn1c(=O)oc2cc(NC(=O)C(=O)c3ccc([O-])cc3)ccc21 ZINC000436685511 420355673 /nfs/dbraw/zinc/35/56/73/420355673.db2.gz CMUWFSNFBRZUNM-UHFFFAOYSA-N -1 1 312.281 1.659 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2c(C)nn(C)c2N)c1 ZINC000436690061 420356210 /nfs/dbraw/zinc/35/62/10/420356210.db2.gz XTDYDJBJHULKOY-UHFFFAOYSA-N -1 1 304.306 1.055 20 0 DDADMM CCCNC(=O)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436739929 420362692 /nfs/dbraw/zinc/36/26/92/420362692.db2.gz PIYHBVBAONTIHN-UHFFFAOYSA-N -1 1 300.742 1.310 20 0 DDADMM CON1CCC([N-]S(=O)(=O)c2nc(C)c(C)s2)CC1 ZINC000420754580 420378967 /nfs/dbraw/zinc/37/89/67/420378967.db2.gz WRKXPSNJEGVTGF-UHFFFAOYSA-N -1 1 305.425 1.064 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(Cc3noc(C)n3)no2)c1 ZINC000436892021 420380174 /nfs/dbraw/zinc/38/01/74/420380174.db2.gz JYRHODHRVALVLW-UHFFFAOYSA-N -1 1 315.289 1.683 20 0 DDADMM O=C(N[C@H]1COc2ccc(F)cc21)C(=O)c1ccc([O-])cc1 ZINC000436905646 420383008 /nfs/dbraw/zinc/38/30/08/420383008.db2.gz IFAWUPSDVXJNGV-ZDUSSCGKSA-N -1 1 301.273 1.964 20 0 DDADMM O=C(N[C@H]1COc2ccc(F)cc2C1)C(=O)c1ccc([O-])cc1 ZINC000436927045 420383787 /nfs/dbraw/zinc/38/37/87/420383787.db2.gz NXVDTKHGPZUVDV-CYBMUJFWSA-N -1 1 315.300 1.834 20 0 DDADMM O=C(C(=O)N1CCC[C@H]([C@@H]2CCOC2)C1)c1ccc([O-])cc1 ZINC000436967379 420386715 /nfs/dbraw/zinc/38/67/15/420386715.db2.gz SFXWZGRTKCUXAQ-UONOGXRCSA-N -1 1 303.358 1.850 20 0 DDADMM O=C(NCCNC(=O)c1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000436762239 420365514 /nfs/dbraw/zinc/36/55/14/420365514.db2.gz BGUCLUUINZOTFW-UHFFFAOYSA-N -1 1 312.325 1.121 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)CCC(F)(F)F ZINC000416583334 420424789 /nfs/dbraw/zinc/42/47/89/420424789.db2.gz LMNDHBIBSFTEHG-MRVPVSSYSA-N -1 1 303.302 1.200 20 0 DDADMM CN(C)Cc1ccnc([N-]S(=O)(=O)c2cnc3n2CCC3)c1 ZINC000437920820 420429668 /nfs/dbraw/zinc/42/96/68/420429668.db2.gz RLXAMDAEFQCOSG-UHFFFAOYSA-N -1 1 321.406 1.087 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2ccc(OC)cc2C)c[nH]1 ZINC000438772643 420463939 /nfs/dbraw/zinc/46/39/39/420463939.db2.gz AKUBXHUNIRRJFE-UHFFFAOYSA-N -1 1 324.358 1.919 20 0 DDADMM CC[C@@H]1CC[C@H](C)N(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000456799122 420545904 /nfs/dbraw/zinc/54/59/04/420545904.db2.gz MANICQLQUNBEII-WDEREUQCSA-N -1 1 314.407 1.838 20 0 DDADMM CN1CCCC(C)(C)[C@@H]1CNS(=O)(=O)[N-]CC(F)(F)F ZINC000443212652 420749389 /nfs/dbraw/zinc/74/93/89/420749389.db2.gz MPZBJFCQWDQXMQ-VIFPVBQESA-N -1 1 317.377 1.093 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ccc(F)cc2F)n1 ZINC000448540277 420859273 /nfs/dbraw/zinc/85/92/73/420859273.db2.gz YNUFGUAETFGGCC-UHFFFAOYSA-N -1 1 316.285 1.448 20 0 DDADMM CC(C)(C)OC(=O)N[C@]1(C)CCCC[C@H]1C(=O)Nc1nnn[n-]1 ZINC000494044504 420983958 /nfs/dbraw/zinc/98/39/58/420983958.db2.gz QNCNKEDIWAQUHL-LKFCYVNXSA-N -1 1 324.385 1.612 20 0 DDADMM CC(C)(C)OC(=O)N[C@]1(C)CCCC[C@H]1C(=O)Nc1nn[n-]n1 ZINC000494044504 420983961 /nfs/dbraw/zinc/98/39/61/420983961.db2.gz QNCNKEDIWAQUHL-LKFCYVNXSA-N -1 1 324.385 1.612 20 0 DDADMM COC(=O)N1CCC(CN=c2nc([C@H](C)OC)[n-]s2)CC1 ZINC000495700650 421049968 /nfs/dbraw/zinc/04/99/68/421049968.db2.gz PXUCYRDVANYUGH-VIFPVBQESA-N -1 1 314.411 1.558 20 0 DDADMM CC(C)CC(CC(C)C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000449832762 421092229 /nfs/dbraw/zinc/09/22/29/421092229.db2.gz DFEVZXDOBCIZCE-UHFFFAOYSA-N -1 1 316.427 1.855 20 0 DDADMM CC(C)CC(CC(C)C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000449832762 421092234 /nfs/dbraw/zinc/09/22/34/421092234.db2.gz DFEVZXDOBCIZCE-UHFFFAOYSA-N -1 1 316.427 1.855 20 0 DDADMM CC(C)(C(=O)N1CCN(c2nc(=N)[n-]s2)CC1)C(C)(F)F ZINC000450078645 421137820 /nfs/dbraw/zinc/13/78/20/421137820.db2.gz XTUPUYRKAHZWID-UHFFFAOYSA-N -1 1 319.381 1.281 20 0 DDADMM Cc1ccc([C@H](NC(=O)c2cc(=O)n3nc[n-]c3n2)C2CC2)cc1 ZINC000544689457 421228066 /nfs/dbraw/zinc/22/80/66/421228066.db2.gz KGPDIAFZWNZFTI-HNNXBMFYSA-N -1 1 323.356 1.607 20 0 DDADMM C[C@H]1CCCC[C@@H]1CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000523125058 421233296 /nfs/dbraw/zinc/23/32/96/421233296.db2.gz FEXGSKOSRBIEEU-DTWKUNHWSA-N -1 1 300.384 1.363 20 0 DDADMM C[C@H]1CCCC[C@@H]1CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000523125058 421233298 /nfs/dbraw/zinc/23/32/98/421233298.db2.gz FEXGSKOSRBIEEU-DTWKUNHWSA-N -1 1 300.384 1.363 20 0 DDADMM O=C(Nc1nn[n-]n1)c1nccnc1-c1nc2ccccc2s1 ZINC000524576769 421262704 /nfs/dbraw/zinc/26/27/04/421262704.db2.gz UOVQBLXFPNTXEN-UHFFFAOYSA-N -1 1 324.329 1.519 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)[C@H]2CCCO2)n[n-]1 ZINC000560427612 421262725 /nfs/dbraw/zinc/26/27/25/421262725.db2.gz YFCQXZSIIMERJQ-WDEREUQCSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)[C@H]2CCCO2)[n-]1 ZINC000560427612 421262728 /nfs/dbraw/zinc/26/27/28/421262728.db2.gz YFCQXZSIIMERJQ-WDEREUQCSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)[C@H]2CCCO2)n1 ZINC000560427612 421262731 /nfs/dbraw/zinc/26/27/31/421262731.db2.gz YFCQXZSIIMERJQ-WDEREUQCSA-N -1 1 324.381 1.364 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2cc(C)ncn2)c(=O)[n-]1 ZINC000525646036 421294010 /nfs/dbraw/zinc/29/40/10/421294010.db2.gz GYTXEMRBOVNLNL-UHFFFAOYSA-N -1 1 319.390 1.882 20 0 DDADMM Cc1cccc2c(O)c(C(=O)N3CC[NH2+]C[C@@](C)(F)C3)cnc12 ZINC000516465710 421546089 /nfs/dbraw/zinc/54/60/89/421546089.db2.gz NNLKYQZEQJDXBR-QGZVFWFLSA-N -1 1 317.364 1.610 20 0 DDADMM O=C(Cc1ccc2n[nH]cc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000530288576 421591269 /nfs/dbraw/zinc/59/12/69/421591269.db2.gz OTJSKBMAJZARRZ-LLVKDONJSA-N -1 1 311.349 1.025 20 0 DDADMM CCc1nsc(N2CCN(Cc3ncccc3[O-])CC2)n1 ZINC000563751402 421540392 /nfs/dbraw/zinc/54/03/92/421540392.db2.gz ZZCYMUBLKSPYJD-UHFFFAOYSA-N -1 1 305.407 1.523 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)C[C@H]1CCCCO1)C(=O)OC ZINC000570567132 421654392 /nfs/dbraw/zinc/65/43/92/421654392.db2.gz SITNOTXZLXBZQQ-YNEHKIRRSA-N -1 1 321.439 1.453 20 0 DDADMM O=C(N=c1ccc(-c2ccccc2)n[n-]1)NCCN1CC=CCC1 ZINC000555752264 421690170 /nfs/dbraw/zinc/69/01/70/421690170.db2.gz XBLZJWAYGKYKNC-UHFFFAOYSA-N -1 1 323.400 1.949 20 0 DDADMM O=C([O-])COCCN1CCC[C@H](C(=O)c2ccc(F)cc2)C1 ZINC000571219623 421697167 /nfs/dbraw/zinc/69/71/67/421697167.db2.gz NJKBQTFNKLJFFI-ZDUSSCGKSA-N -1 1 309.337 1.822 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1cccc(C)n1 ZINC000519677238 421715682 /nfs/dbraw/zinc/71/56/82/421715682.db2.gz YTSQVFUTIOEFSU-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@H](C)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000533203282 421668720 /nfs/dbraw/zinc/66/87/20/421668720.db2.gz VJXYWJVSJURRRN-STQMWFEESA-N -1 1 322.409 1.978 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1c2ccccc2O[C@H]1C ZINC000570937897 421677757 /nfs/dbraw/zinc/67/77/57/421677757.db2.gz ZNRHCFGBQLWIFM-OIBJUYFYSA-N -1 1 317.301 1.148 20 0 DDADMM O=C(c1cnc2ccccc2n1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000533572987 421679643 /nfs/dbraw/zinc/67/96/43/421679643.db2.gz OUXPWZJFALRHGH-SNVBAGLBSA-N -1 1 309.333 1.163 20 0 DDADMM O=C(CCc1ccccc1O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538223447 421737530 /nfs/dbraw/zinc/73/75/30/421737530.db2.gz NOLSVSFICNPUFS-GFCCVEGCSA-N -1 1 301.350 1.244 20 0 DDADMM NC(=O)[C@H]1CC[C@@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000520834528 421765332 /nfs/dbraw/zinc/76/53/32/421765332.db2.gz FLRIYTPWZALTMD-QWRGUYRKSA-N -1 1 300.318 1.232 20 0 DDADMM CN(C)C(=O)[C@@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000633418015 421891158 /nfs/dbraw/zinc/89/11/58/421891158.db2.gz QOUPFZUOBDXRAY-LLVKDONJSA-N -1 1 310.781 1.525 20 0 DDADMM CC[C@@H](NC(C)=O)C(=O)N=c1cc(-c2cc(C)ccc2F)[n-][nH]1 ZINC000633422399 421893882 /nfs/dbraw/zinc/89/38/82/421893882.db2.gz LRFVFEZRWGCDLY-CYBMUJFWSA-N -1 1 318.352 1.799 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@@H](O)COCC1CC1 ZINC000631812541 421849259 /nfs/dbraw/zinc/84/92/59/421849259.db2.gz BPKUQPIWGIIVGR-GFCCVEGCSA-N -1 1 313.781 1.492 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CCc3ccccc32)CC1 ZINC000630223876 421972991 /nfs/dbraw/zinc/97/29/91/421972991.db2.gz MFLGASUCBXKCHS-CZUORRHYSA-N -1 1 316.401 1.724 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCc2oc(-c3ccccc3)cc2C1 ZINC000633567491 421973339 /nfs/dbraw/zinc/97/33/39/421973339.db2.gz YYOHZJXQUQAJSG-UHFFFAOYSA-N -1 1 323.356 1.977 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CC[C@@](C(=O)[O-])(C(F)(F)F)C1 ZINC000630185610 421946109 /nfs/dbraw/zinc/94/61/09/421946109.db2.gz DRTQWDFXDNPHEM-QPUJVOFHSA-N -1 1 319.283 1.454 20 0 DDADMM O=C([N-]c1nc2n(n1)CCCC2)c1nc2cccnc2s1 ZINC000581413549 421966816 /nfs/dbraw/zinc/96/68/16/421966816.db2.gz CUTFXGXOQKERFC-UHFFFAOYSA-N -1 1 300.347 1.293 20 0 DDADMM O=C([O-])[C@]12CN(C(=O)[C@H]3CCCN3C3CC3)C[C@H]1CCCC2 ZINC000630220988 421970093 /nfs/dbraw/zinc/97/00/93/421970093.db2.gz XQBDGJCJEWRMAH-SUYBPPKGSA-N -1 1 306.406 1.717 20 0 DDADMM COCCN1CCCN(C(=O)c2ccc(C(=O)[O-])cc2)CC1 ZINC000581428675 421972026 /nfs/dbraw/zinc/97/20/26/421972026.db2.gz XTAKAJUQKDTFTJ-UHFFFAOYSA-N -1 1 306.362 1.179 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)Cc2cccc(Cl)c2)CC1 ZINC000630222679 421972195 /nfs/dbraw/zinc/97/21/95/421972195.db2.gz HWIJMLIJFCIERA-GFCCVEGCSA-N -1 1 324.808 1.890 20 0 DDADMM CCc1ccc(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1 ZINC000630226547 421975151 /nfs/dbraw/zinc/97/51/51/421975151.db2.gz WTSDWQMQJPTHJG-CQSZACIVSA-N -1 1 318.417 1.799 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](C)S[C@@H](C)C2)c1 ZINC000632039610 422043881 /nfs/dbraw/zinc/04/38/81/422043881.db2.gz JZERRSNSTJWURN-AOOOYVTPSA-N -1 1 317.432 1.915 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(CCO)CC(C)(C)C)c1 ZINC000632008668 422018112 /nfs/dbraw/zinc/01/81/12/422018112.db2.gz ZQAFCCGWIYZDSP-UHFFFAOYSA-N -1 1 317.407 1.430 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCC[C@H]3OCC[C@@H]32)sn1 ZINC000632103343 422088762 /nfs/dbraw/zinc/08/87/62/422088762.db2.gz QFUBSBISQJYEDN-GMTAPVOTSA-N -1 1 302.421 1.687 20 0 DDADMM CC[C@H](C[C@@H](C)CO)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632053634 422053028 /nfs/dbraw/zinc/05/30/28/422053028.db2.gz XHLLRZYUHWRBKQ-GHMZBOCLSA-N -1 1 317.407 1.476 20 0 DDADMM CC[C@H](c1ccncc1)N(CC)C(=O)CCCc1nn[n-]n1 ZINC000635596989 422149291 /nfs/dbraw/zinc/14/92/91/422149291.db2.gz MZBQFUNIKPTPBA-CYBMUJFWSA-N -1 1 302.382 1.917 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(C[C@@H]1CCCO1)C1CCCC1 ZINC000635612120 422163397 /nfs/dbraw/zinc/16/33/97/422163397.db2.gz QBDFCGRAMXZHAI-ZDUSSCGKSA-N -1 1 307.398 1.473 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC2Cc3ccccc3C2)c1 ZINC000632213497 422169197 /nfs/dbraw/zinc/16/91/97/422169197.db2.gz RBXVCASLHQCTQD-UHFFFAOYSA-N -1 1 319.382 1.847 20 0 DDADMM CO[C@H](C)[C@H](C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632262811 422204101 /nfs/dbraw/zinc/20/41/01/422204101.db2.gz FSCFHRFFJNGMJB-NTSWFWBYSA-N -1 1 301.290 1.130 20 0 DDADMM CCOC[C@H](NS(=O)(=O)c1cc(OC)ccc1[O-])C(C)C ZINC000632266499 422206068 /nfs/dbraw/zinc/20/60/68/422206068.db2.gz FPQORFBGVMNRMV-LBPRGKRZSA-N -1 1 317.407 1.740 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)CC1(OC)CCCC1)[C@@H]1CCCO1 ZINC000632289309 422223354 /nfs/dbraw/zinc/22/33/54/422223354.db2.gz SBMNMIAQWHMDRV-STQMWFEESA-N -1 1 321.439 1.059 20 0 DDADMM C[C@@H]1CN(C(=O)CCCc2nn[n-]n2)C[C@@H](c2ccsc2)O1 ZINC000635685914 422240696 /nfs/dbraw/zinc/24/06/96/422240696.db2.gz RYWHVJJVZQFTCR-PWSUYJOCSA-N -1 1 321.406 1.573 20 0 DDADMM O=C(C[C@H]1COCCN1)N=c1nc(-c2ccsc2)[n-]s1 ZINC000575043800 422241145 /nfs/dbraw/zinc/24/11/45/422241145.db2.gz RQXXKJMTQAFSFA-VIFPVBQESA-N -1 1 310.404 1.006 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2cc(C)ns2)s1 ZINC000632349489 422268187 /nfs/dbraw/zinc/26/81/87/422268187.db2.gz WIICUYDHTBAXPO-UHFFFAOYSA-N -1 1 304.422 1.344 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc2cc(O)ccc21)c1nn[n-]n1 ZINC000632436851 422342256 /nfs/dbraw/zinc/34/22/56/422342256.db2.gz OVEIYFAQMZFDSN-SNVBAGLBSA-N -1 1 311.345 1.934 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCCCOCc1ccccc1 ZINC000630863121 422381316 /nfs/dbraw/zinc/38/13/16/422381316.db2.gz YWJPCERJWNCLBS-UHFFFAOYSA-N -1 1 303.366 1.246 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)C2(OC)CCC2)c1 ZINC000632458295 422361761 /nfs/dbraw/zinc/36/17/61/422361761.db2.gz BXVUGNOIJFDWNL-SNVBAGLBSA-N -1 1 315.391 1.637 20 0 DDADMM CN(C)c1ccc2cc(NC(=O)CCc3nn[n-]n3)ccc2n1 ZINC000630834414 422361711 /nfs/dbraw/zinc/36/17/11/422361711.db2.gz LCIXMKWUZABPOZ-UHFFFAOYSA-N -1 1 311.349 1.385 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]1c1ccco1)c1c[nH]nc1C(F)(F)F ZINC000632460595 422363695 /nfs/dbraw/zinc/36/36/95/422363695.db2.gz AASMZNRTZBXPPP-RNFRBKRXSA-N -1 1 321.280 1.856 20 0 DDADMM COc1ccccc1C[C@](C)(CO)NC(=O)c1ncccc1[O-] ZINC000634287007 422367768 /nfs/dbraw/zinc/36/77/68/422367768.db2.gz OJCHMKBTIPERAA-QGZVFWFLSA-N -1 1 316.357 1.519 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCCc2ccc(F)cc21 ZINC000635880747 422437226 /nfs/dbraw/zinc/43/72/26/422437226.db2.gz OTTNCSITORCJLH-CYBMUJFWSA-N -1 1 303.341 1.855 20 0 DDADMM CN(CCc1cccc2ccccc21)C(=O)CCc1nn[n-]n1 ZINC000630881128 422393398 /nfs/dbraw/zinc/39/33/98/422393398.db2.gz ZVTXOQUEZUQRCI-UHFFFAOYSA-N -1 1 309.373 1.987 20 0 DDADMM COCc1ccc([C@H]2CCCN2C(=O)CCc2nn[n-]n2)o1 ZINC000632506209 422396199 /nfs/dbraw/zinc/39/61/99/422396199.db2.gz SZKJGYUMVYIKIO-LLVKDONJSA-N -1 1 305.338 1.235 20 0 DDADMM O=c1c2c([n-]n1[C@@H]1CCCS(=O)(=O)C1)-c1ccccc1CC2 ZINC000634633849 422519551 /nfs/dbraw/zinc/51/95/51/422519551.db2.gz HWMNORIASWWQNS-TZMCWYRMSA-N -1 1 318.398 1.373 20 0 DDADMM CCC[C@H](NC(=O)c1cccc2c1CC(=O)CC2)c1nn[n-]n1 ZINC000578295647 422539083 /nfs/dbraw/zinc/53/90/83/422539083.db2.gz SGUQTIJHEZULNH-AWEZNQCLSA-N -1 1 313.361 1.529 20 0 DDADMM CCCCc1cc(N2CCN(c3nc(=N)[n-]s3)CC2)ncn1 ZINC000631157959 422568363 /nfs/dbraw/zinc/56/83/63/422568363.db2.gz VTCMWPQQOKAUNK-UHFFFAOYSA-N -1 1 319.438 1.410 20 0 DDADMM C[C@H](Cc1cccc(F)c1)N(C)C(=O)CCCc1nn[n-]n1 ZINC000636020796 422569614 /nfs/dbraw/zinc/56/96/14/422569614.db2.gz XRZRBNCWTFEYDI-LLVKDONJSA-N -1 1 305.357 1.751 20 0 DDADMM O=C(NC1CC1)c1[nH]nnc1NC(=O)c1ccc(C2CC2)cn1 ZINC000578843698 422657838 /nfs/dbraw/zinc/65/78/38/422657838.db2.gz LSRNGIAZXVUDRC-UHFFFAOYSA-N -1 1 312.333 1.222 20 0 DDADMM CCn1cc(CN(C(=O)CCCc2nn[n-]n2)C(C)C)cn1 ZINC000636123232 422658673 /nfs/dbraw/zinc/65/86/73/422658673.db2.gz LVRLULZAGYTXSD-UHFFFAOYSA-N -1 1 305.386 1.176 20 0 DDADMM O=C([O-])[C@H]1C[C@H](NC(=O)[C@H]2CCC[N@H+]2Cc2ccccc2)C1 ZINC000578644723 422619108 /nfs/dbraw/zinc/61/91/08/422619108.db2.gz DKNRCDCYTXZFLU-RBSFLKMASA-N -1 1 302.374 1.630 20 0 DDADMM O=C([O-])[C@H]1C[C@H](NC(=O)[C@H]2CCCN2Cc2ccccc2)C1 ZINC000578644723 422619111 /nfs/dbraw/zinc/61/91/11/422619111.db2.gz DKNRCDCYTXZFLU-RBSFLKMASA-N -1 1 302.374 1.630 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)N1C[C@@H]3COC[C@@H]3C1)c2=O ZINC000634780378 422641673 /nfs/dbraw/zinc/64/16/73/422641673.db2.gz DSRZQIFOVWGGRK-PHIMTYICSA-N -1 1 314.341 1.255 20 0 DDADMM O=C([O-])[C@H]1CC[C@@H](C(=O)N[C@@H]2CCN(Cc3ccccc3)C2)O1 ZINC000579110102 422709104 /nfs/dbraw/zinc/70/91/04/422709104.db2.gz RGBHJRKAACIJFQ-QLFBSQMISA-N -1 1 318.373 1.009 20 0 DDADMM COC(=O)c1ccc(C)c(NC(=O)CN2CC[C@H](C(=O)[O-])C2)c1 ZINC000579126575 422712718 /nfs/dbraw/zinc/71/27/18/422712718.db2.gz PCGHYZZPQQRKGQ-LBPRGKRZSA-N -1 1 320.345 1.127 20 0 DDADMM COc1ccc(CN2CCCN(C(=O)CCC(=O)[O-])CC2)cc1 ZINC000579237450 422729523 /nfs/dbraw/zinc/72/95/23/422729523.db2.gz MVXQTESIEDQOGJ-UHFFFAOYSA-N -1 1 320.389 1.594 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@@H]3[C@H](C(=O)[O-])C3(C)C)[nH]c21 ZINC000634828411 422672500 /nfs/dbraw/zinc/67/25/00/422672500.db2.gz VIZOEAHPWPXJOC-NWDGAFQWSA-N -1 1 301.346 1.844 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1CCC[C@H](CC(=O)[O-])C1 ZINC000629485064 422693549 /nfs/dbraw/zinc/69/35/49/422693549.db2.gz BMFMOZKAWBTQNZ-LLVKDONJSA-N -1 1 324.343 1.974 20 0 DDADMM C[N@@H+](CC(=O)[O-])[C@H]1CCCN(c2ccnc(C(=O)[O-])c2)CC1 ZINC000650773041 423256033 /nfs/dbraw/zinc/25/60/33/423256033.db2.gz MBXACSVCXBFUOK-NSHDSACASA-N -1 1 307.350 1.155 20 0 DDADMM CSc1cccnc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000653028481 423265183 /nfs/dbraw/zinc/26/51/83/423265183.db2.gz LFWHMQZABIDGCN-SECBINFHSA-N -1 1 320.374 1.912 20 0 DDADMM O=C(CC1(C(F)(F)F)CC1)NC1(c2nn[n-]n2)CCCC1 ZINC000643989559 423432393 /nfs/dbraw/zinc/43/23/93/423432393.db2.gz ZGELFBWLWDYZDH-UHFFFAOYSA-N -1 1 303.288 1.818 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1C[C@@H]1c1ccc2c(c1)OCCO2 ZINC000646409247 423478207 /nfs/dbraw/zinc/47/82/07/423478207.db2.gz LITLKWKRAZRBGK-GHMZBOCLSA-N -1 1 315.329 1.937 20 0 DDADMM CC(C)(C)C[C@@H]1C[C@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000648817648 423479335 /nfs/dbraw/zinc/47/93/35/423479335.db2.gz BBPRONKNVZQBQD-WDEREUQCSA-N -1 1 323.466 1.672 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCN1C(=O)COc2ccccc21 ZINC000646411925 423479586 /nfs/dbraw/zinc/47/95/86/423479586.db2.gz YHYWNQICCVWRGN-UHFFFAOYSA-N -1 1 316.317 1.178 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C)NC(=O)c1cccc(C)c1 ZINC000646411557 423480446 /nfs/dbraw/zinc/48/04/46/423480446.db2.gz JZHNWFJFLDWDHX-JTQLQIEISA-N -1 1 302.334 1.489 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cnc(-c2ncccn2)s1 ZINC000646412762 423480640 /nfs/dbraw/zinc/48/06/40/423480640.db2.gz MUKXRTJVLNYUNN-UHFFFAOYSA-N -1 1 302.319 1.590 20 0 DDADMM CCCc1cc(C(=O)N2CCC(c3n[nH]c(=O)[n-]3)CC2)n[nH]1 ZINC000648888695 423522638 /nfs/dbraw/zinc/52/26/38/423522638.db2.gz MCSJTKDWCGRNHZ-UHFFFAOYSA-N -1 1 304.354 1.206 20 0 DDADMM C[C@H](c1ccccc1)[C@H](NC(=O)c1ccc([O-])c(F)c1)C(N)=O ZINC000646549671 423528283 /nfs/dbraw/zinc/52/82/83/423528283.db2.gz AWUHKXZIFPVTPC-BMIGLBTASA-N -1 1 316.332 1.919 20 0 DDADMM COC[C@@](C)(O)C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000648928926 423547637 /nfs/dbraw/zinc/54/76/37/423547637.db2.gz HSPCFMRZDYQNTR-CQSZACIVSA-N -1 1 311.288 1.113 20 0 DDADMM CCN1C[C@@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)CC1=O ZINC000653832059 423624406 /nfs/dbraw/zinc/62/44/06/423624406.db2.gz SLWKIYMCVRCQME-VIFPVBQESA-N -1 1 316.279 1.762 20 0 DDADMM C[C@@H]1[C@H](O)CCN1C(=O)c1cc(Br)ccc1[O-] ZINC000654164212 423731887 /nfs/dbraw/zinc/73/18/87/423731887.db2.gz FAOQSUHSUSDFSJ-GMSGAONNSA-N -1 1 300.152 1.750 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccccc1-n1cncn1)C1CC1 ZINC000647123685 423763935 /nfs/dbraw/zinc/76/39/35/423763935.db2.gz PNTGBHSGIVDSSL-CQSZACIVSA-N -1 1 322.390 1.434 20 0 DDADMM C[C@@H](C(=O)N1CCCCC1)N1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639825736 423764437 /nfs/dbraw/zinc/76/44/37/423764437.db2.gz QGIPORZQYJDVQH-NWDGAFQWSA-N -1 1 307.398 1.063 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@@H](C)C(F)F)C1CCOCC1 ZINC000641704021 423887334 /nfs/dbraw/zinc/88/73/34/423887334.db2.gz BHEOOVLQKKRWBR-WPRPVWTQSA-N -1 1 301.355 1.001 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccccc2N2CCCC2=O)CC1 ZINC000641679327 423848958 /nfs/dbraw/zinc/84/89/58/423848958.db2.gz PJCKAWQODBOFNO-UHFFFAOYSA-N -1 1 324.402 1.734 20 0 DDADMM CC[C@@H]1CN2CCCC[C@H]2CN1C(=O)c1ccc(C(=O)[O-])cn1 ZINC000649462195 423927743 /nfs/dbraw/zinc/92/77/43/423927743.db2.gz JJOUXWGZYOBQKR-KGLIPLIRSA-N -1 1 317.389 1.869 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)C2(CO)CC2)c(F)c1 ZINC000657102659 424110012 /nfs/dbraw/zinc/11/00/12/424110012.db2.gz BYUIDDDALGXULR-VIFPVBQESA-N -1 1 305.346 1.713 20 0 DDADMM Cn1cc([C@@H]2C[C@@H](NC(=O)c3ccc([O-])c(F)c3)CCO2)cn1 ZINC000655111056 424220836 /nfs/dbraw/zinc/22/08/36/424220836.db2.gz FMJDJYUIFQFFBL-WFASDCNBSA-N -1 1 319.336 1.915 20 0 DDADMM CO[C@](C)(CO)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000655216936 424303924 /nfs/dbraw/zinc/30/39/24/424303924.db2.gz MGHWHVXXKQAYJC-LBPRGKRZSA-N -1 1 307.268 1.538 20 0 DDADMM CO[C@@H](CNC(=O)N=c1[n-]sc2ccccc21)[C@H]1CCOC1 ZINC000640338246 424360151 /nfs/dbraw/zinc/36/01/51/424360151.db2.gz UKHBTCYFCFVFKY-JQWIXIFHSA-N -1 1 321.402 1.891 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@H](O)C1CCOCC1 ZINC000640340583 424363155 /nfs/dbraw/zinc/36/31/55/424363155.db2.gz KNVVCBFLFWDURP-LBPRGKRZSA-N -1 1 321.402 1.627 20 0 DDADMM O=C([O-])C(=O)N1CCN(C[C@@H]2CC[C@@H](c3ccccc3)C2)CC1 ZINC000662215846 424483349 /nfs/dbraw/zinc/48/33/49/424483349.db2.gz WBIASOPHXVKCLW-GDBMZVCRSA-N -1 1 316.401 1.799 20 0 DDADMM CC(C)(C)CN(CCS(=O)(=O)c1ccccc1)CC(=O)[O-] ZINC000662223870 424488743 /nfs/dbraw/zinc/48/87/43/424488743.db2.gz RSQRBUJVJPOQIR-UHFFFAOYSA-N -1 1 313.419 1.893 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)c2ccc(C(=O)[O-])nc2)CC1 ZINC000655557603 424565673 /nfs/dbraw/zinc/56/56/73/424565673.db2.gz HDPKTVRSRQTYQB-UHFFFAOYSA-N -1 1 305.378 1.726 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)N1CCN(CC2CC2)CC1)C1CCC1 ZINC000665328886 424785051 /nfs/dbraw/zinc/78/50/51/424785051.db2.gz UZQDLCGEYMAINF-AWEZNQCLSA-N -1 1 309.410 1.225 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000344007067 271931527 /nfs/dbraw/zinc/93/15/27/271931527.db2.gz GZEHMHGOGPTUJC-MRVPVSSYSA-N -1 1 319.329 1.177 20 0 DDADMM CN(C(=O)c1ccc(Br)c([O-])c1)[C@H]1CCNC1=O ZINC000345073418 272168286 /nfs/dbraw/zinc/16/82/86/272168286.db2.gz XMEDRPTYJFEFNG-VIFPVBQESA-N -1 1 313.151 1.115 20 0 DDADMM CSc1nc(C(=O)Nc2ccncc2[O-])c2ccccn21 ZINC000345364048 272230954 /nfs/dbraw/zinc/23/09/54/272230954.db2.gz XUOYXKCKQGHQSP-UHFFFAOYSA-N -1 1 300.343 1.831 20 0 DDADMM CC[C@H](C)n1[nH]c(=NC(=O)c2ccc(C(=O)OC)[n-]2)cc1C ZINC000345440487 272251239 /nfs/dbraw/zinc/25/12/39/272251239.db2.gz GSYURLNWJVCNFT-VIFPVBQESA-N -1 1 304.350 1.951 20 0 DDADMM C[C@@]1(CCC(=O)Nc2nc(-c3ccco3)n[nH]2)CCC(=O)N1 ZINC000345828721 272346313 /nfs/dbraw/zinc/34/63/13/272346313.db2.gz ABAPIDHKSANTTB-CQSZACIVSA-N -1 1 303.322 1.452 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N([C@H](C)C(=O)[O-])C1CC1 ZINC000263540213 280291333 /nfs/dbraw/zinc/29/13/33/280291333.db2.gz CFENEIVSTKPMCP-APPZFPTMSA-N -1 1 324.299 1.154 20 0 DDADMM CC(C)OC(=O)[C@@H](C)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000075535172 280965122 /nfs/dbraw/zinc/96/51/22/280965122.db2.gz UKBSQLBVYQDPCF-JTQLQIEISA-N -1 1 317.345 1.241 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C=Cc1ccncc1 ZINC000114155587 281073079 /nfs/dbraw/zinc/07/30/79/281073079.db2.gz QVXDICWMCBQTMX-ONEGZZNKSA-N -1 1 323.356 1.364 20 0 DDADMM O=C(c1ccn(-c2ccccc2)n1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129412344 281343578 /nfs/dbraw/zinc/34/35/78/281343578.db2.gz CIDVYYORTMACNP-LBPRGKRZSA-N -1 1 323.360 1.405 20 0 DDADMM CN(C)C(=O)[C@@H](Cc1ccccc1)NC(=O)c1cncc([O-])c1 ZINC000129567426 281349034 /nfs/dbraw/zinc/34/90/34/281349034.db2.gz LSQSKNYKHJKXDC-OAHLLOKOSA-N -1 1 313.357 1.217 20 0 DDADMM CCS(=O)(=O)c1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC000152760554 281759582 /nfs/dbraw/zinc/75/95/82/281759582.db2.gz PXAKGJHXNBUPMO-UHFFFAOYSA-N -1 1 320.370 1.511 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3OCC[C@@H]32)sc1C ZINC000333276702 293355152 /nfs/dbraw/zinc/35/51/52/293355152.db2.gz OOWXMNWZBKEHRE-UTUOFQBUSA-N -1 1 316.448 1.996 20 0 DDADMM Cc1ccc(/C=C/C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])o1 ZINC000170915368 298224077 /nfs/dbraw/zinc/22/40/77/298224077.db2.gz HFSJZLAOMCEJJR-QPJJXVBHSA-N -1 1 322.342 1.593 20 0 DDADMM O=C(CCc1ccc(F)cc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000362103248 300006128 /nfs/dbraw/zinc/00/61/28/300006128.db2.gz QITNBXNJYVSNJX-NSHDSACASA-N -1 1 321.331 1.817 20 0 DDADMM CCc1ccc(CCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000363395029 300261977 /nfs/dbraw/zinc/26/19/77/300261977.db2.gz HKPNHCGKPYPXRL-CQSZACIVSA-N -1 1 315.377 1.295 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000363396044 300262112 /nfs/dbraw/zinc/26/21/12/300262112.db2.gz QCAAGRKMHFVJKX-ZDUSSCGKSA-N -1 1 315.377 1.711 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H]1C[C@H]1c1nnc[nH]1 ZINC000363901293 300323290 /nfs/dbraw/zinc/32/32/90/300323290.db2.gz ZPZXRBIBNYTYIB-PHDIDXHHSA-N -1 1 324.138 1.410 20 0 DDADMM CC1CCC(OCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CC1 ZINC000369143093 301136805 /nfs/dbraw/zinc/13/68/05/301136805.db2.gz KZBMTJOXUOJJTL-OTTFEQOBSA-N -1 1 307.398 1.501 20 0 DDADMM CC(=O)N1CC[C@@](C)(C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000369978181 301250969 /nfs/dbraw/zinc/25/09/69/301250969.db2.gz VVNWUTIZVFFRDJ-SNVBAGLBSA-N -1 1 305.260 1.021 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1cc(-c2cccnc2)on1 ZINC000370659619 301377197 /nfs/dbraw/zinc/37/71/97/301377197.db2.gz ZNORICSIWDDLJU-CYBMUJFWSA-N -1 1 304.306 1.738 20 0 DDADMM C[C@H](c1nnnn1C)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000373734320 301723777 /nfs/dbraw/zinc/72/37/77/301723777.db2.gz RKSCFUPGFQFSGT-LLVKDONJSA-N -1 1 315.377 1.572 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCOCC[C@H]1C ZINC000375006646 301875689 /nfs/dbraw/zinc/87/56/89/301875689.db2.gz YAUJGPZBOVFVMZ-SNVBAGLBSA-N -1 1 318.377 1.232 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2cncc([O-])c2)cc1OC ZINC000355527896 306807509 /nfs/dbraw/zinc/80/75/09/306807509.db2.gz RHHAZMISBHDMHQ-UHFFFAOYSA-N -1 1 302.286 1.835 20 0 DDADMM Cc1cnc(C(=O)N2CCS(=O)(=O)C[C@@H]2C2CCC2)c([O-])c1 ZINC000413917936 302333042 /nfs/dbraw/zinc/33/30/42/302333042.db2.gz DCXCSLKKJQXKKD-GFCCVEGCSA-N -1 1 324.402 1.135 20 0 DDADMM Cc1cnc(C(=O)N2CCS(=O)(=O)[C@@H]3CCCC[C@@H]32)c([O-])c1 ZINC000427133833 302333157 /nfs/dbraw/zinc/33/31/57/302333157.db2.gz SEFDSHQRACTIFQ-WCQYABFASA-N -1 1 324.402 1.277 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCC[C@H]1C(=O)[N-]OC(C)(C)CO ZINC000496900815 302642841 /nfs/dbraw/zinc/64/28/41/302642841.db2.gz IGTDSPWKLKWSHF-GHMZBOCLSA-N -1 1 316.398 1.499 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1[C@@H](C)[C@@H]1C1CC1 ZINC000528565855 303045460 /nfs/dbraw/zinc/04/54/60/303045460.db2.gz BUBIUXUYIAYEEY-OCJYXSBASA-N -1 1 314.389 1.960 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC[C@H]2CC=CCC2)co1 ZINC000529869723 303159619 /nfs/dbraw/zinc/15/96/19/303159619.db2.gz QXGZYUPPZIJITA-NSHDSACASA-N -1 1 312.391 1.664 20 0 DDADMM C[C@@H]1CN(CCc2nc3ccccc3n2C)C[C@@H](C(=O)[O-])O1 ZINC000530169576 303178804 /nfs/dbraw/zinc/17/88/04/303178804.db2.gz LHTXRCMHZYLMSN-RISCZKNCSA-N -1 1 303.362 1.290 20 0 DDADMM C[C@H](Sc1ccccn1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000531010803 303225195 /nfs/dbraw/zinc/22/51/95/303225195.db2.gz LCSNFOKQQPPYCL-JTQLQIEISA-N -1 1 318.406 1.661 20 0 DDADMM CN1CCC[C@H](Sc2nc(C(F)(F)F)cc(=O)[n-]2)C1=O ZINC000537604135 303375604 /nfs/dbraw/zinc/37/56/04/303375604.db2.gz YWWQJWTVSPFSBB-LURJTMIESA-N -1 1 307.297 1.914 20 0 DDADMM C[C@H]1CC(C)(C)CC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544808677 303478655 /nfs/dbraw/zinc/47/86/55/303478655.db2.gz ZBBMLRAFLVRVBU-UWVGGRQHSA-N -1 1 303.366 1.362 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cnc3ccsc3c2)[n-]n1C ZINC000547499662 303537044 /nfs/dbraw/zinc/53/70/44/303537044.db2.gz MAIBGPFFBFFSNR-UHFFFAOYSA-N -1 1 324.387 1.261 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(C)cc2F)C1 ZINC000375368166 307224691 /nfs/dbraw/zinc/22/46/91/307224691.db2.gz IFJQTECLGFQPMZ-LBPRGKRZSA-N -1 1 324.352 1.571 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@@H]([C@@H]4CCOC4)C3)ccnc1-2 ZINC000376593683 307248782 /nfs/dbraw/zinc/24/87/82/307248782.db2.gz WLPGYWLVOYMEIL-NVYDRDRZSA-N -1 1 315.377 1.232 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@H](c4ccco4)C3)ccnc1-2 ZINC000376616812 307249783 /nfs/dbraw/zinc/24/97/83/307249783.db2.gz BLSKMWDKQDRSRP-UQGHUHRHSA-N -1 1 311.345 1.956 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3Cc4ccccc4[C@H]3O)ccnc1-2 ZINC000376621600 307249969 /nfs/dbraw/zinc/24/99/69/307249969.db2.gz LCWAOZTZBIMOPT-KSFZUODXSA-N -1 1 323.356 1.122 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@H](Nc2ncccn2)C1 ZINC000515387248 307403981 /nfs/dbraw/zinc/40/39/81/307403981.db2.gz ZMEQJOHEDUDYLP-VIFPVBQESA-N -1 1 320.299 1.787 20 0 DDADMM CNC(=O)[C@H]1CCC[C@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000534288753 307646796 /nfs/dbraw/zinc/64/67/96/307646796.db2.gz BQIQXUNHMZNTKB-NWDGAFQWSA-N -1 1 322.386 1.626 20 0 DDADMM CCN(Cc1ccc(C)cc1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546098285 307725584 /nfs/dbraw/zinc/72/55/84/307725584.db2.gz ZILRGYPTNQUBFU-UHFFFAOYSA-N -1 1 311.345 1.388 20 0 DDADMM NC(=O)[C@@H]1[C@H]2CCC[C@H]2C[N@H+]1Cc1cc(=O)[nH]c(C2CC2)n1 ZINC000568483276 308119666 /nfs/dbraw/zinc/11/96/66/308119666.db2.gz PGKMLMWAJCYJJD-JKOKRWQUSA-N -1 1 302.378 1.145 20 0 DDADMM CS(=O)(=O)N1CC[C@@H](N=c2[n-]c(C(F)(F)F)cs2)C1 ZINC000568519441 308122100 /nfs/dbraw/zinc/12/21/00/308122100.db2.gz GLSIRKRTZSLKMP-ZCFIWIBFSA-N -1 1 315.342 1.030 20 0 DDADMM Cn1cc([C@@H]2C[C@@H](NC(=O)c3cncc([O-])c3)CCO2)cn1 ZINC000568778120 308130149 /nfs/dbraw/zinc/13/01/49/308130149.db2.gz BMMICKMSHMEELH-JSGCOSHPSA-N -1 1 302.334 1.171 20 0 DDADMM CCn1nnc(C)c1CN1Cc2ccccc2C[C@H]1C(=O)[O-] ZINC000570855339 308183122 /nfs/dbraw/zinc/18/31/22/308183122.db2.gz UELAFOQBYZCOCQ-AWEZNQCLSA-N -1 1 300.362 1.618 20 0 DDADMM CC(C)Cn1c([C@@H](C)NS(C)(=O)=O)n[n-]c1=NC(C)(C)C ZINC000577333788 308379325 /nfs/dbraw/zinc/37/93/25/308379325.db2.gz WIBHUIHQOGZCBJ-SNVBAGLBSA-N -1 1 317.459 1.177 20 0 DDADMM O=C(NC[C@@H]1CCCCS1(=O)=O)c1c(F)ccc([O-])c1F ZINC000583924372 331471948 /nfs/dbraw/zinc/47/19/48/331471948.db2.gz RYILZMIMLKQHAT-QMMMGPOBSA-N -1 1 319.329 1.368 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CCC(=O)C1 ZINC000584054785 331654739 /nfs/dbraw/zinc/65/47/39/331654739.db2.gz JNVKOPJGVHFGEM-JTQLQIEISA-N -1 1 302.334 1.037 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)CCCC(C)(C)C)CCOC1 ZINC000582514624 337099963 /nfs/dbraw/zinc/09/99/63/337099963.db2.gz MVRYMCYVPRUOCR-CYBMUJFWSA-N -1 1 307.412 1.064 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2sc(C)nc2C)o1 ZINC000582523683 337104468 /nfs/dbraw/zinc/10/44/68/337104468.db2.gz VOOWGFUXQRGYFB-YFKPBYRVSA-N -1 1 302.381 1.491 20 0 DDADMM CC(C)(C)N1CCc2nc(NC(=O)c3n[nH]c(=O)[n-]3)sc2C1 ZINC000582662205 337117500 /nfs/dbraw/zinc/11/75/00/337117500.db2.gz NLYWBAYFVQFTAM-UHFFFAOYSA-N -1 1 322.394 1.376 20 0 DDADMM CN(C)[C@@H](CNC(=O)NCCCC(=O)[O-])c1ccc(F)cc1 ZINC000583140631 337244699 /nfs/dbraw/zinc/24/46/99/337244699.db2.gz HICWFSWZUGCGNB-ZDUSSCGKSA-N -1 1 311.357 1.592 20 0 DDADMM COC1(OC)CC(CNC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC001120760159 782127683 /nfs/dbraw/zinc/12/76/83/782127683.db2.gz OUESODRVMQMDQI-UHFFFAOYSA-N -1 1 322.390 1.147 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)NC[C@H](C(=O)[O-])C(C)C)C2)n[nH]1 ZINC000655895210 483987000 /nfs/dbraw/zinc/98/70/00/483987000.db2.gz RUXKQSLINVTYNJ-NEPJUHHUSA-N -1 1 323.397 1.359 20 0 DDADMM CCn1cc([N-]S(=O)(=O)C[C@@H](OC)C2CCCC2)cn1 ZINC000656615942 484244647 /nfs/dbraw/zinc/24/46/47/484244647.db2.gz HQEGPKJWEIIZEE-CYBMUJFWSA-N -1 1 301.412 1.850 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccc2[nH]ccc21)[C@H]1CCOC1 ZINC000656621732 484246201 /nfs/dbraw/zinc/24/62/01/484246201.db2.gz WJLWXTFLVJXNSE-XHDPSFHLSA-N -1 1 324.402 1.961 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)[N-]c2ccn(C3CCOCC3)n2)C1 ZINC000656636809 484253946 /nfs/dbraw/zinc/25/39/46/484253946.db2.gz ZGTYEVCBYKPJLC-LLVKDONJSA-N -1 1 314.411 1.233 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]C(C)(C)C(C)(F)F)[C@@H]1CCOC1 ZINC000656735660 484308546 /nfs/dbraw/zinc/30/85/46/484308546.db2.gz SYLPXUXZYHTMOC-ZJUUUORDSA-N -1 1 315.382 1.391 20 0 DDADMM CCCCCc1cc(C(=O)N(CC(=O)[O-])C2CCOCC2)n[nH]1 ZINC000659128868 484644672 /nfs/dbraw/zinc/64/46/72/484644672.db2.gz UFXZKTQLHZOVGS-UHFFFAOYSA-N -1 1 323.393 1.848 20 0 DDADMM O=C([O-])[C@H](C(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1)C1CC1 ZINC000663090110 484656987 /nfs/dbraw/zinc/65/69/87/484656987.db2.gz QNEQZFSSLOIUQR-LBPRGKRZSA-N -1 1 318.308 1.338 20 0 DDADMM O=C([O-])[C@H](C(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1)C1CC1 ZINC000663090110 484656991 /nfs/dbraw/zinc/65/69/91/484656991.db2.gz QNEQZFSSLOIUQR-LBPRGKRZSA-N -1 1 318.308 1.338 20 0 DDADMM CN(CCNC(=O)[C@]1(C(=O)[O-])CC1(C)C)Cc1ccc(F)cc1 ZINC000663107159 484672025 /nfs/dbraw/zinc/67/20/25/484672025.db2.gz FTVVLVDICOGDSG-KRWDZBQOSA-N -1 1 322.380 1.875 20 0 DDADMM CC(C)(C)C(=O)NCCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405472 484809489 /nfs/dbraw/zinc/80/94/89/484809489.db2.gz GIRCBCFJUUSMGE-UHFFFAOYSA-N -1 1 324.381 1.034 20 0 DDADMM Cc1nc(C)n(C2CN(C(=O)c3cc(Cl)ccc3[O-])C2)n1 ZINC000670445350 484833874 /nfs/dbraw/zinc/83/38/74/484833874.db2.gz FJCUXTHLFIWFRI-UHFFFAOYSA-N -1 1 306.753 1.951 20 0 DDADMM CN1CCC[C@H](NC(=O)c2nn(-c3cccc(F)c3)cc2[O-])C1 ZINC000670784125 484898911 /nfs/dbraw/zinc/89/89/11/484898911.db2.gz CTROQMISLASJKU-LBPRGKRZSA-N -1 1 318.352 1.541 20 0 DDADMM O=S(=O)([N-]CCCn1cncn1)c1cc(Cl)ccc1F ZINC000671168997 484962965 /nfs/dbraw/zinc/96/29/65/484962965.db2.gz JRQQEJVNVLYQOP-UHFFFAOYSA-N -1 1 318.761 1.439 20 0 DDADMM CC(C)(C)c1ncc(CNC2(c3nn[n-]n3)CCCC2)cn1 ZINC000671307371 484989473 /nfs/dbraw/zinc/98/94/73/484989473.db2.gz IAJWNHTUORBODX-UHFFFAOYSA-N -1 1 301.398 1.846 20 0 DDADMM CC(C)(C)c1ncc(CNC2(c3nnn[n-]3)CCCC2)cn1 ZINC000671307371 484989477 /nfs/dbraw/zinc/98/94/77/484989477.db2.gz IAJWNHTUORBODX-UHFFFAOYSA-N -1 1 301.398 1.846 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CCC[C@@H]2O)c(F)c1 ZINC000668237841 485046207 /nfs/dbraw/zinc/04/62/07/485046207.db2.gz QJCCIQMPAUXZIK-PELKAZGASA-N -1 1 321.345 1.413 20 0 DDADMM O=C(NCc1nncn1C1CC1)c1ncc2ccccc2c1[O-] ZINC000668361021 485131660 /nfs/dbraw/zinc/13/16/60/485131660.db2.gz PROGALUEHMCURR-UHFFFAOYSA-N -1 1 309.329 1.797 20 0 DDADMM O=C(C(=O)N1CCOCC2(CCCC2)C1)c1ccc([O-])cc1 ZINC000673090557 485387646 /nfs/dbraw/zinc/38/76/46/485387646.db2.gz XAZIORGMCWRVFH-UHFFFAOYSA-N -1 1 303.358 1.994 20 0 DDADMM COc1ccc(NC(=O)c2n[nH]cc2C)cc1[N-]S(C)(=O)=O ZINC000669436559 485445264 /nfs/dbraw/zinc/44/52/64/485445264.db2.gz FJJFNHXYNIEFBY-UHFFFAOYSA-N -1 1 324.362 1.351 20 0 DDADMM COc1cc(C(=O)N2CCN(C3CC3)C(=O)C2)cc(Cl)c1[O-] ZINC000682362418 485568289 /nfs/dbraw/zinc/56/82/89/485568289.db2.gz CZCPMIWPZBDYQD-UHFFFAOYSA-N -1 1 324.764 1.501 20 0 DDADMM COCCNC(=O)C1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000674325157 485599310 /nfs/dbraw/zinc/59/93/10/485599310.db2.gz VWPBYUVDHWEKEN-UHFFFAOYSA-N -1 1 324.352 1.146 20 0 DDADMM NC(=O)C1(NC(=O)c2ccc(C(F)(F)F)cc2[O-])CCC1 ZINC000678996616 485751875 /nfs/dbraw/zinc/75/18/75/485751875.db2.gz ORTKLGVOBCCPDW-UHFFFAOYSA-N -1 1 302.252 1.549 20 0 DDADMM CC[C@]1([N-]S(=O)(=O)c2c(F)cc(OC)cc2F)CCOC1 ZINC000682937646 485832124 /nfs/dbraw/zinc/83/21/24/485832124.db2.gz MZVHKEDSXRFKQG-ZDUSSCGKSA-N -1 1 321.345 1.821 20 0 DDADMM CCC[C@@H](NC(=O)CNc1ccccc1OC)c1nn[n-]n1 ZINC000675143806 485864077 /nfs/dbraw/zinc/86/40/77/485864077.db2.gz DZELNKNHVMWWEO-LLVKDONJSA-N -1 1 304.354 1.278 20 0 DDADMM CCC[C@H](NC(=O)C(=O)c1ccc(Cl)s1)c1nn[n-]n1 ZINC000683245195 485917611 /nfs/dbraw/zinc/91/76/11/485917611.db2.gz ICPOVUGVUDEDMY-LURJTMIESA-N -1 1 313.770 1.755 20 0 DDADMM Cc1nc(-c2ccc(NCc3cn(C)nn3)nc2)[n-]c(=O)c1C ZINC000679932504 486043385 /nfs/dbraw/zinc/04/33/85/486043385.db2.gz VXMISWHCPQRPND-UHFFFAOYSA-N -1 1 311.349 1.602 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc3c(c2)NC(=O)[C@@H](C)O3)c1[O-] ZINC000676629909 486272107 /nfs/dbraw/zinc/27/21/07/486272107.db2.gz HDABETAAQORKKL-SSDOTTSWSA-N -1 1 302.290 1.395 20 0 DDADMM Cc1cnc(C(=O)N2CCCN(c3cccnn3)CC2)c([O-])c1 ZINC000680846400 486280614 /nfs/dbraw/zinc/28/06/14/486280614.db2.gz MDFHKULUTSFVQT-UHFFFAOYSA-N -1 1 313.361 1.238 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncoc1-c1ccccc1)c1nn[n-]n1 ZINC000681353355 486410497 /nfs/dbraw/zinc/41/04/97/486410497.db2.gz QFFVHWQKQCVAOK-SNVBAGLBSA-N -1 1 312.333 1.730 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2C[C@@H](C)O[C@H]2C)c1 ZINC000416605280 534321272 /nfs/dbraw/zinc/32/12/72/534321272.db2.gz MMJSQGBDHJLBAO-UTLUCORTSA-N -1 1 317.363 1.158 20 0 DDADMM COc1ccccc1C=CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000492554815 518168809 /nfs/dbraw/zinc/16/88/09/518168809.db2.gz ULAXUDNIWHONDC-TYBABMIJSA-N -1 1 301.350 1.484 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)Cc2cc(C(C)(C)C)on2)no1 ZINC000328873574 534388629 /nfs/dbraw/zinc/38/86/29/534388629.db2.gz JCMDXDNBUSZKKZ-UHFFFAOYSA-N -1 1 314.367 1.283 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](CCCO)C3)cnc2n1 ZINC000412414076 534397183 /nfs/dbraw/zinc/39/71/83/534397183.db2.gz UIZNQBXLHMULNQ-LBPRGKRZSA-N -1 1 315.373 1.878 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](CCCO)C3)c[n-]c2n1 ZINC000412414076 534397189 /nfs/dbraw/zinc/39/71/89/534397189.db2.gz UIZNQBXLHMULNQ-LBPRGKRZSA-N -1 1 315.373 1.878 20 0 DDADMM C[C@@H]1CN(CCCN=c2[n-]c(C3CCOCC3)no2)C[C@@H](C)O1 ZINC000413041117 534462873 /nfs/dbraw/zinc/46/28/73/534462873.db2.gz KKKJSMYZTFJMKV-CHWSQXEVSA-N -1 1 324.425 1.297 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCCO1 ZINC000156143090 525850221 /nfs/dbraw/zinc/85/02/21/525850221.db2.gz CSXFWQROBYECSX-LBPRGKRZSA-N -1 1 309.309 1.951 20 0 DDADMM CC(C)(C)OC(=O)[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000331329640 526515082 /nfs/dbraw/zinc/51/50/82/526515082.db2.gz HCGZXMJSAOFHQV-INIZCTEOSA-N -1 1 306.362 1.981 20 0 DDADMM CC(C)(CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C1CC1 ZINC000294419605 526969253 /nfs/dbraw/zinc/96/92/53/526969253.db2.gz FGEYLKZZLDZGGJ-UHFFFAOYSA-N -1 1 312.391 1.804 20 0 DDADMM CC(C)=CCC[C@@H](C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000452545179 527411335 /nfs/dbraw/zinc/41/13/35/527411335.db2.gz UYXKXEKEAVXKMA-GFCCVEGCSA-N -1 1 305.378 1.768 20 0 DDADMM CCOc1cc(C(=O)N[C@@H]2COC[C@@H]2OC)cc(Cl)c1[O-] ZINC000458352851 528233629 /nfs/dbraw/zinc/23/36/29/528233629.db2.gz VGHWOAQOTCGAQZ-PWSUYJOCSA-N -1 1 315.753 1.588 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@](C)(CC)OC)o1 ZINC000451797817 528243454 /nfs/dbraw/zinc/24/34/54/528243454.db2.gz RJFVRIVLRUKXDG-CYBMUJFWSA-N -1 1 319.379 1.550 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cccc(COC)c1 ZINC000295741880 528562378 /nfs/dbraw/zinc/56/23/78/528562378.db2.gz SVLJZIOJGKDVQA-UHFFFAOYSA-N -1 1 309.362 1.765 20 0 DDADMM CCOC(=O)N1CCC[C@H](C(=O)[N-]O[C@@H]2CCCCO2)C1 ZINC000490991603 528979657 /nfs/dbraw/zinc/97/96/57/528979657.db2.gz TUWIPAHAQQAEFP-NWDGAFQWSA-N -1 1 300.355 1.429 20 0 DDADMM CC[C@@H]1CCC[C@@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000294911707 529307162 /nfs/dbraw/zinc/30/71/62/529307162.db2.gz JCZQESVKKURNQY-KOLCDFICSA-N -1 1 312.391 1.804 20 0 DDADMM O=C(Nc1ccc(F)cc1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738166416 598979555 /nfs/dbraw/zinc/97/95/55/598979555.db2.gz UANBGQATNUCLGF-UHFFFAOYSA-N -1 1 302.244 1.792 20 0 DDADMM O=C(Nc1ccc(F)cc1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738166416 598979556 /nfs/dbraw/zinc/97/95/56/598979556.db2.gz UANBGQATNUCLGF-UHFFFAOYSA-N -1 1 302.244 1.792 20 0 DDADMM O=C(N[C@H]1CCc2ccccc2C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738034532 598983952 /nfs/dbraw/zinc/98/39/52/598983952.db2.gz HBWKTXFYELISOG-AWEZNQCLSA-N -1 1 320.356 1.549 20 0 DDADMM O=C(N[C@H]1CCc2ccccc2C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738034532 598983954 /nfs/dbraw/zinc/98/39/54/598983954.db2.gz HBWKTXFYELISOG-AWEZNQCLSA-N -1 1 320.356 1.549 20 0 DDADMM C[C@@H]1CCC[C@H](CNC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736251483 598997352 /nfs/dbraw/zinc/99/73/52/598997352.db2.gz OQWSPBCRZNVARY-MNOVXSKESA-N -1 1 300.366 1.818 20 0 DDADMM C[C@@H]1CCC[C@H](CNC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736251483 598997354 /nfs/dbraw/zinc/99/73/54/598997354.db2.gz OQWSPBCRZNVARY-MNOVXSKESA-N -1 1 300.366 1.818 20 0 DDADMM Cc1ccc(NCc2ccc(-c3nn[n-]n3)s2)cc1C(N)=O ZINC000822254406 607316174 /nfs/dbraw/zinc/31/61/74/607316174.db2.gz IXJHCZIQCDCLNA-UHFFFAOYSA-N -1 1 314.374 1.948 20 0 DDADMM C[C@H](CC(=O)[O-])N[C@H](C(N)=O)c1cccc(Br)c1 ZINC000820071736 597513441 /nfs/dbraw/zinc/51/34/41/597513441.db2.gz GEFSFZOPKPIJPU-HQJQHLMTSA-N -1 1 315.167 1.428 20 0 DDADMM O=C([O-])[C@@H]1CCN(CC(=O)N[C@@H]2CCOc3ccccc32)C1 ZINC000819151730 597526262 /nfs/dbraw/zinc/52/62/62/597526262.db2.gz SANLYWBWYMZSHP-DGCLKSJQSA-N -1 1 304.346 1.033 20 0 DDADMM CC(=O)NCCN(Cc1cnc2c(C)cccn12)[C@H](C)C(=O)[O-] ZINC000819780209 597703951 /nfs/dbraw/zinc/70/39/51/597703951.db2.gz FJCQGDZLBRUTDH-GFCCVEGCSA-N -1 1 318.377 1.054 20 0 DDADMM CC(C)[C@@H](NCC(=O)Nc1ccccc1OC(F)F)C(=O)[O-] ZINC000820043764 598189166 /nfs/dbraw/zinc/18/91/66/598189166.db2.gz HOGYILXZIHSYCA-GFCCVEGCSA-N -1 1 316.304 1.925 20 0 DDADMM CCCCCCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736446599 598259521 /nfs/dbraw/zinc/25/95/21/598259521.db2.gz JZXIPHRNHANWFW-UHFFFAOYSA-N -1 1 310.383 1.120 20 0 DDADMM CCCCCCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736446599 598259523 /nfs/dbraw/zinc/25/95/23/598259523.db2.gz JZXIPHRNHANWFW-UHFFFAOYSA-N -1 1 310.383 1.120 20 0 DDADMM Cc1cccc(NC(=O)Cn2ccc(C)c(-c3nn[n-]n3)c2=O)c1 ZINC000822323234 607349714 /nfs/dbraw/zinc/34/97/14/607349714.db2.gz AQONMQCQMYAABJ-UHFFFAOYSA-N -1 1 324.344 1.284 20 0 DDADMM C[C@H](CC(=O)n1ncc(-c2nn[n-]n2)c1N)c1ccccc1F ZINC000735975662 598370732 /nfs/dbraw/zinc/37/07/32/598370732.db2.gz IFRDEXRGETYZRM-MRVPVSSYSA-N -1 1 315.312 1.619 20 0 DDADMM CC(C)(C)[C@H](O)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000735595557 598775093 /nfs/dbraw/zinc/77/50/93/598775093.db2.gz GRLVENSIUYAOQH-RXMQYKEDSA-N -1 1 302.791 1.796 20 0 DDADMM CC(C)(C)[C@H](O)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000735595557 598775094 /nfs/dbraw/zinc/77/50/94/598775094.db2.gz GRLVENSIUYAOQH-RXMQYKEDSA-N -1 1 302.791 1.796 20 0 DDADMM O=C1OC(c2cccs2)=NC1=Cc1ccc(-c2nnn[n-]2)o1 ZINC000823551202 599310186 /nfs/dbraw/zinc/31/01/86/599310186.db2.gz IWUYKVVYKXCDIR-SOFGYWHQSA-N -1 1 313.298 1.866 20 0 DDADMM O=C1OC(c2cccs2)=NC1=Cc1ccc(-c2nn[n-]n2)o1 ZINC000823551202 599310189 /nfs/dbraw/zinc/31/01/89/599310189.db2.gz IWUYKVVYKXCDIR-SOFGYWHQSA-N -1 1 313.298 1.866 20 0 DDADMM CN(Cc1ccc2c(c1)OCO2)c1cccc(-c2nnn[n-]2)n1 ZINC000736821480 599397513 /nfs/dbraw/zinc/39/75/13/599397513.db2.gz WNGUKMFBLNXQGR-UHFFFAOYSA-N -1 1 310.317 1.627 20 0 DDADMM CN(Cc1ccc2c(c1)OCO2)c1cccc(-c2nn[n-]n2)n1 ZINC000736821480 599397517 /nfs/dbraw/zinc/39/75/17/599397517.db2.gz WNGUKMFBLNXQGR-UHFFFAOYSA-N -1 1 310.317 1.627 20 0 DDADMM CC(C)COC1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000735837142 599413412 /nfs/dbraw/zinc/41/34/12/599413412.db2.gz GOKPJQBCNQAQEL-UHFFFAOYSA-N -1 1 302.382 1.903 20 0 DDADMM CC(C)COC1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000735837142 599413415 /nfs/dbraw/zinc/41/34/15/599413415.db2.gz GOKPJQBCNQAQEL-UHFFFAOYSA-N -1 1 302.382 1.903 20 0 DDADMM O[C@H]1CCCC[C@H]1Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000823706615 599514641 /nfs/dbraw/zinc/51/46/41/599514641.db2.gz MSXRJFFQEVHRTD-RITPCOANSA-N -1 1 300.775 1.692 20 0 DDADMM O[C@H]1CCCC[C@H]1Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000823706615 599514643 /nfs/dbraw/zinc/51/46/43/599514643.db2.gz MSXRJFFQEVHRTD-RITPCOANSA-N -1 1 300.775 1.692 20 0 DDADMM NC(=O)[C@H]1CCC[C@@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000737748515 599533436 /nfs/dbraw/zinc/53/34/36/599533436.db2.gz ZTMPCMYAJXHZSF-JGVFFNPUSA-N -1 1 321.772 1.371 20 0 DDADMM NC(=O)[C@H]1CCC[C@@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000737748515 599533437 /nfs/dbraw/zinc/53/34/37/599533437.db2.gz ZTMPCMYAJXHZSF-JGVFFNPUSA-N -1 1 321.772 1.371 20 0 DDADMM O=C(NCCCC(F)(F)F)c1ccc(-c2nnn[n-]2)nc1 ZINC000823187448 599546419 /nfs/dbraw/zinc/54/64/19/599546419.db2.gz LBBBQVANAMMCNE-UHFFFAOYSA-N -1 1 300.244 1.334 20 0 DDADMM O=C(NCCCC(F)(F)F)c1ccc(-c2nn[n-]n2)nc1 ZINC000823187448 599546421 /nfs/dbraw/zinc/54/64/21/599546421.db2.gz LBBBQVANAMMCNE-UHFFFAOYSA-N -1 1 300.244 1.334 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC(c2cc[nH]n2)CC1 ZINC000738259586 599573234 /nfs/dbraw/zinc/57/32/34/599573234.db2.gz RZLMPMUGZQQQOH-UHFFFAOYSA-N -1 1 324.348 1.005 20 0 DDADMM Cc1ccccc1[C@@H]1CC(=O)N(CN2CC[C@H](C(=O)[O-])C2)C1 ZINC000738760180 599853957 /nfs/dbraw/zinc/85/39/57/599853957.db2.gz DOJODCLEZMUTEN-UONOGXRCSA-N -1 1 302.374 1.675 20 0 DDADMM COc1ccc([C@@H](NC(=O)CNC(C)(C)C(=O)[O-])C(C)C)cc1 ZINC000737959352 599925060 /nfs/dbraw/zinc/92/50/60/599925060.db2.gz AWYQZBIUIWEANI-HNNXBMFYSA-N -1 1 322.405 1.961 20 0 DDADMM CC(C)N(CC(=O)N(C)c1ccccc1C(=O)[O-])C[C@@H](C)O ZINC000736678579 600136006 /nfs/dbraw/zinc/13/60/06/600136006.db2.gz WFPPBSOSQNLIFL-GFCCVEGCSA-N -1 1 308.378 1.439 20 0 DDADMM Cc1ccc(CNC(=O)CNC2(C(=O)[O-])CCCCC2)cc1 ZINC000738598057 600150210 /nfs/dbraw/zinc/15/02/10/600150210.db2.gz OWOYTEDSLPYXKP-UHFFFAOYSA-N -1 1 304.390 1.988 20 0 DDADMM C[C@@H]1CN(CCC(=O)N(C)CC(=O)[O-])C[C@@H](c2ccccc2)O1 ZINC000736806648 600160099 /nfs/dbraw/zinc/16/00/99/600160099.db2.gz DEMJJMFKLXMBAR-HIFRSBDPSA-N -1 1 320.389 1.382 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CCC(Cc2ccccc2F)CC1 ZINC000736210303 600337924 /nfs/dbraw/zinc/33/79/24/600337924.db2.gz XSLIBUFWLNMAKA-LBPRGKRZSA-N -1 1 322.380 1.670 20 0 DDADMM C[C@@H](CN(C)CC(=O)N(C)c1nc2ccccc2s1)C(=O)[O-] ZINC000736570059 600371785 /nfs/dbraw/zinc/37/17/85/600371785.db2.gz ASAIZNLKLPAITI-JTQLQIEISA-N -1 1 321.402 1.912 20 0 DDADMM CC[C@H](O)[C@H]1CCCCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000736925210 600441477 /nfs/dbraw/zinc/44/14/77/600441477.db2.gz UCKHJLRSIPSLCN-CABCVRRESA-N -1 1 320.389 1.949 20 0 DDADMM Cc1cc(CNC(=O)NCCOc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000738389186 600460349 /nfs/dbraw/zinc/46/03/49/600460349.db2.gz OVQYWVFCIGAFSK-UHFFFAOYSA-N -1 1 318.333 1.295 20 0 DDADMM CCOc1ccc(S(=O)(=O)Nc2cnccc2C(=O)[O-])cc1 ZINC000316333648 600513753 /nfs/dbraw/zinc/51/37/53/600513753.db2.gz ZIHALHKVNXRVPU-UHFFFAOYSA-N -1 1 322.342 1.979 20 0 DDADMM Cc1cc(C)c(S(=O)(=O)Nc2cnn(CC(=O)[O-])c2)c(C)c1 ZINC000386772566 600529000 /nfs/dbraw/zinc/52/90/00/600529000.db2.gz ATRWTFIPPFJRIT-UHFFFAOYSA-N -1 1 323.374 1.694 20 0 DDADMM CCc1[nH]ncc1C(=O)Nc1nnc(CCCC(=O)[O-])s1 ZINC000737248424 600554483 /nfs/dbraw/zinc/55/44/83/600554483.db2.gz ZNWYHBRDRAPLBN-UHFFFAOYSA-N -1 1 309.351 1.483 20 0 DDADMM O=C([O-])[C@H]1CCCN(CN2C[C@H](c3cccc(F)c3)CC2=O)C1 ZINC000738538067 600716871 /nfs/dbraw/zinc/71/68/71/600716871.db2.gz OIKNGKJYXAIMCQ-UONOGXRCSA-N -1 1 320.364 1.896 20 0 DDADMM C[C@@H]1C[C@H](NS(=O)(=O)c2cc(C(=O)[O-])cs2)CCN1C ZINC000157597345 600766156 /nfs/dbraw/zinc/76/61/56/600766156.db2.gz YLOPNPYQBPOKQV-PSASIEDQSA-N -1 1 318.420 1.207 20 0 DDADMM O=C([O-])C1(NC(=O)CN(CCCCO)C2CC2)CCCCC1 ZINC000739274530 600794430 /nfs/dbraw/zinc/79/44/30/600794430.db2.gz PZOBVNKBNAWPTQ-UHFFFAOYSA-N -1 1 312.410 1.127 20 0 DDADMM Cn1cc(S(=O)(=O)Nc2ccc3n[nH]cc3c2)cc1C(=O)[O-] ZINC000315400536 600810616 /nfs/dbraw/zinc/81/06/16/600810616.db2.gz GHLTXMHPPDYSIU-UHFFFAOYSA-N -1 1 320.330 1.400 20 0 DDADMM CCOC(=O)[C@@H]1CCCCN1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000825499372 607483890 /nfs/dbraw/zinc/48/38/90/607483890.db2.gz LQWHMYPHSALJEK-ZDUSSCGKSA-N -1 1 316.365 1.179 20 0 DDADMM C[C@](NCC(=O)N1CCC(c2ccccc2)=N1)(C(=O)[O-])C1CC1 ZINC000827727691 601038321 /nfs/dbraw/zinc/03/83/21/601038321.db2.gz LIQPZRHRPDISHN-QGZVFWFLSA-N -1 1 315.373 1.466 20 0 DDADMM CNC(=O)Cc1nc(CN2CCCC[C@@H]2CC(=O)[O-])cs1 ZINC000830997197 601052543 /nfs/dbraw/zinc/05/25/43/601052543.db2.gz SAKMBXVCQCQRPH-LLVKDONJSA-N -1 1 311.407 1.261 20 0 DDADMM CCCCC[C@H](O)CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000829349250 601084801 /nfs/dbraw/zinc/08/48/01/601084801.db2.gz VQVMZQZHWAWPTJ-KBPBESRZSA-N -1 1 314.426 1.325 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc3[nH]cnc3c2)CC1 ZINC000827378914 601121837 /nfs/dbraw/zinc/12/18/37/601121837.db2.gz CNMSLPRCYDBLJX-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM CC(C)c1cc(NC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)no1 ZINC000827112950 601134333 /nfs/dbraw/zinc/13/43/33/601134333.db2.gz RNYOELCVPHVHLR-LLVKDONJSA-N -1 1 324.381 1.811 20 0 DDADMM COc1cc(C(=O)[O-])cc(NC(=O)CCc2nc[nH]n2)c1OC ZINC000831891816 601138085 /nfs/dbraw/zinc/13/80/85/601138085.db2.gz IVNUTYQHASXYOS-UHFFFAOYSA-N -1 1 320.305 1.091 20 0 DDADMM Cc1cccc(C)c1OC[C@H](O)CN1CC[C@@](F)(C(=O)[O-])C1 ZINC000832966413 601445371 /nfs/dbraw/zinc/44/53/71/601445371.db2.gz WEWGBVFZKQXNAZ-CJNGLKHVSA-N -1 1 311.353 1.542 20 0 DDADMM COc1ccc(-c2noc(CN3C[C@@H](C)[C@H](C(=O)[O-])C3)n2)cc1 ZINC000320290453 601522544 /nfs/dbraw/zinc/52/25/44/601522544.db2.gz QJUJPNGVTFFYAF-ZWNOBZJWSA-N -1 1 317.345 1.898 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H](Cc2ccccc2)N(C)C)C[C@H]1C(=O)[O-] ZINC000828317471 601698422 /nfs/dbraw/zinc/69/84/22/601698422.db2.gz GCFDQIRDJWGZKP-YUELXQCFSA-N -1 1 304.390 1.338 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3cccs3)[nH]n2)C[C@@H]1C(=O)[O-] ZINC000828384986 601720219 /nfs/dbraw/zinc/72/02/19/601720219.db2.gz FMSBLLHJVVXOBG-BDAKNGLRSA-N -1 1 305.359 1.931 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N[C@@]2(C(=O)[O-])CCOC2)o1 ZINC000315940407 601725052 /nfs/dbraw/zinc/72/50/52/601725052.db2.gz SPHFJCWEHFATHT-HNNXBMFYSA-N -1 1 310.350 1.095 20 0 DDADMM COC(=O)[C@H]1CN(Cn2nc(C(=O)[O-])c3ccccc32)C[C@H]1C ZINC000831120172 601813001 /nfs/dbraw/zinc/81/30/01/601813001.db2.gz ZHZJNDZMJBTIKD-PWSUYJOCSA-N -1 1 317.345 1.433 20 0 DDADMM O=C([O-])CCC1CCN(CC(=O)NCc2ccccn2)CC1 ZINC000833224390 601845281 /nfs/dbraw/zinc/84/52/81/601845281.db2.gz CGYKAZGPEMOLNS-UHFFFAOYSA-N -1 1 305.378 1.275 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@@H](C)NC(=O)[O-])N2CCCC2)o1 ZINC000740111823 601888517 /nfs/dbraw/zinc/88/85/17/601888517.db2.gz AAITUKDIHYOTGR-VXGBXAGGSA-N -1 1 309.366 1.497 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(Cl)cn1)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000826012667 601921082 /nfs/dbraw/zinc/92/10/82/601921082.db2.gz ICOADHLFBAMVBD-LKFCYVNXSA-N -1 1 311.769 1.859 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@@](C)(C(=O)[O-])C2)cc1OC ZINC000832394699 601936681 /nfs/dbraw/zinc/93/66/81/601936681.db2.gz WMBCFILWMLQDGL-MRXNPFEDSA-N -1 1 322.361 1.439 20 0 DDADMM O=C([O-])N1CCC[C@H]1CNCCS(=O)(=O)c1ccccc1 ZINC000740523561 602053261 /nfs/dbraw/zinc/05/32/61/602053261.db2.gz KKUFMARTNNMRFG-LBPRGKRZSA-N -1 1 312.391 1.192 20 0 DDADMM CC(C)C[C@H]1CCC(=O)N(CN(CCC(=O)[O-])C(C)C)C1=O ZINC000826832475 602082291 /nfs/dbraw/zinc/08/22/91/602082291.db2.gz QWQJRVNFMWONCV-CYBMUJFWSA-N -1 1 312.410 1.940 20 0 DDADMM COc1cccc([C@@H](CNC(=O)CN(C)C(=O)[O-])N(C)C)c1 ZINC000739946060 602160403 /nfs/dbraw/zinc/16/04/03/602160403.db2.gz QVMOQSLXFYREQE-CYBMUJFWSA-N -1 1 309.366 1.024 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCC[C@H](NC(=O)[O-])C2)c1 ZINC000738200797 602246739 /nfs/dbraw/zinc/24/67/39/602246739.db2.gz CZNFDCUXMYOOMS-NSHDSACASA-N -1 1 302.334 1.744 20 0 DDADMM Cn1cc(NC(=O)N2CCN(C3CCC3)CC2)cc1C(=O)[O-] ZINC000833048190 602366878 /nfs/dbraw/zinc/36/68/78/602366878.db2.gz FCIFKUYYAMXCHK-UHFFFAOYSA-N -1 1 306.366 1.425 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CC2CCSCC2)CC1 ZINC000827361703 602391393 /nfs/dbraw/zinc/39/13/93/602391393.db2.gz DKQDPSSIBIPPEJ-GFCCVEGCSA-N -1 1 314.451 1.527 20 0 DDADMM CCCCCNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825276170 607570424 /nfs/dbraw/zinc/57/04/24/607570424.db2.gz SDKRHCMFPWXNFW-UHFFFAOYSA-N -1 1 313.358 1.474 20 0 DDADMM CN(C(=O)[O-])C1CCN(C(=O)c2cc(-c3ccco3)[nH]n2)CC1 ZINC000737932110 602523156 /nfs/dbraw/zinc/52/31/56/602523156.db2.gz BDXXMAXXTIRXLA-UHFFFAOYSA-N -1 1 318.333 1.884 20 0 DDADMM C[C@@H](C(=O)Nc1ccccc1)N1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738635777 602538263 /nfs/dbraw/zinc/53/82/63/602538263.db2.gz AUCKZHUHAWKTSB-STQMWFEESA-N -1 1 305.378 1.945 20 0 DDADMM CCCN(CC(=O)N1CCCC[C@H]1C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739349129 602578978 /nfs/dbraw/zinc/57/89/78/602578978.db2.gz IBIAHVXQUXKPNC-ZIAGYGMSSA-N -1 1 311.426 1.852 20 0 DDADMM O=C([O-])N1CCC[C@H](CNC(=O)N2CCN3CCCC[C@H]3C2)C1 ZINC000740518000 602628779 /nfs/dbraw/zinc/62/87/79/602628779.db2.gz RUDMLGWODAMTHN-KGLIPLIRSA-N -1 1 324.425 1.256 20 0 DDADMM C[C@@H]1CN(CC(=O)N(C)C2CCCCC2)CC[C@@H]1NC(=O)[O-] ZINC000739174580 602771282 /nfs/dbraw/zinc/77/12/82/602771282.db2.gz PNRPPMGEAAODOT-OCCSQVGLSA-N -1 1 311.426 1.756 20 0 DDADMM Cc1cccc(CNC(=O)CN2CC[C@@H](NC(=O)[O-])[C@H](C)C2)c1 ZINC000740169338 602784112 /nfs/dbraw/zinc/78/41/12/602784112.db2.gz HPVFKEPYBKKZGP-UKRRQHHQSA-N -1 1 319.405 1.589 20 0 DDADMM C[C@@H](CC(=O)NCc1n[nH]c(-c2ccccc2)n1)NC(=O)[O-] ZINC000824671191 602795105 /nfs/dbraw/zinc/79/51/05/602795105.db2.gz DPKXWOCTAUMBHE-VIFPVBQESA-N -1 1 303.322 1.134 20 0 DDADMM C[C@@H](CC(=O)NCc1nc(-c2ccccc2)n[nH]1)NC(=O)[O-] ZINC000824671191 602795107 /nfs/dbraw/zinc/79/51/07/602795107.db2.gz DPKXWOCTAUMBHE-VIFPVBQESA-N -1 1 303.322 1.134 20 0 DDADMM CCc1cc(CNC(=O)NCC2(NC(=O)[O-])CCCCC2)[nH]n1 ZINC000739540027 602910014 /nfs/dbraw/zinc/91/00/14/602910014.db2.gz RORSLABWENBHRA-UHFFFAOYSA-N -1 1 323.397 1.742 20 0 DDADMM CCc1cc(CNC(=O)N2CCC[C@H](CNC(=O)[O-])C2)[nH]n1 ZINC000739540634 602916750 /nfs/dbraw/zinc/91/67/50/602916750.db2.gz XFUPSMHFPARPAD-SNVBAGLBSA-N -1 1 309.370 1.161 20 0 DDADMM C[C@@H]1CN(C(=O)c2nnc3ccccc3c2O)CCN1C(=O)[O-] ZINC000739171009 602924629 /nfs/dbraw/zinc/92/46/29/602924629.db2.gz GDNQNZOVIMGEMJ-SECBINFHSA-N -1 1 316.317 1.160 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@@H]1NC(=O)c1[nH]nc2c1CCCC2 ZINC000740629399 602974244 /nfs/dbraw/zinc/97/42/44/602974244.db2.gz QLGHSRRNFJNBGW-ONGXEEELSA-N -1 1 306.366 1.455 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCN(c3ccc(Cl)cn3)CC2)C1 ZINC000740502181 602979868 /nfs/dbraw/zinc/97/98/68/602979868.db2.gz FHBLFYKGCCOSQU-GFCCVEGCSA-N -1 1 324.812 1.857 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)NC1CCN(C(=O)[O-])CC1 ZINC000736820860 602994572 /nfs/dbraw/zinc/99/45/72/602994572.db2.gz HGWOWJZAFROEFU-OCCSQVGLSA-N -1 1 324.425 1.397 20 0 DDADMM CN(C)[C@@H](CNC(=O)CC(C)(C)NC(=O)[O-])c1ccsc1 ZINC000739601462 603018963 /nfs/dbraw/zinc/01/89/63/603018963.db2.gz FIRBBTUYWKXXOO-NSHDSACASA-N -1 1 313.423 1.903 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)N[C@@H](CNC(=O)[O-])C1CC1 ZINC000739199883 603089522 /nfs/dbraw/zinc/08/95/22/603089522.db2.gz STVKKTRYKBHYKK-BNOWGMLFSA-N -1 1 324.425 1.301 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000832563536 603111473 /nfs/dbraw/zinc/11/14/73/603111473.db2.gz ZBYNGCABQVFAEX-GFCCVEGCSA-N -1 1 314.345 1.949 20 0 DDADMM O=Cc1cc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)cs1 ZINC000826484145 607613140 /nfs/dbraw/zinc/61/31/40/607613140.db2.gz PKPOCNGCHQLUDQ-UHFFFAOYSA-N -1 1 315.314 1.493 20 0 DDADMM O=Cc1cc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)cs1 ZINC000826484145 607613141 /nfs/dbraw/zinc/61/31/41/607613141.db2.gz PKPOCNGCHQLUDQ-UHFFFAOYSA-N -1 1 315.314 1.493 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)[C@H]1CCN(C(=O)[O-])C1)c1ccco1 ZINC000739405858 603290572 /nfs/dbraw/zinc/29/05/72/603290572.db2.gz DYEXIXDSEITZMR-STQMWFEESA-N -1 1 323.393 1.779 20 0 DDADMM Cc1ccc(-c2cc(C(=O)O[C@H]3CCN(C(=O)[O-])C3)[nH]n2)o1 ZINC000740094293 603321052 /nfs/dbraw/zinc/32/10/52/603321052.db2.gz GQSYUZYVOOBCDE-VIFPVBQESA-N -1 1 305.290 1.887 20 0 DDADMM CN(C[C@H]1CCN(C(=O)[O-])C1)[C@@H]1CCN(c2ccccc2)C1=O ZINC000739657685 603344365 /nfs/dbraw/zinc/34/43/65/603344365.db2.gz KOOTUNBVOAQCIO-UKRRQHHQSA-N -1 1 317.389 1.724 20 0 DDADMM CN(C[C@H]1CCN(C(=O)[O-])C1)[C@H]1CCN(c2ccccc2)C1=O ZINC000739657682 603344413 /nfs/dbraw/zinc/34/44/13/603344413.db2.gz KOOTUNBVOAQCIO-HIFRSBDPSA-N -1 1 317.389 1.724 20 0 DDADMM Cc1ccc2nc(CNC(=O)N(C)[C@H](C)CNC(=O)[O-])[nH]c2c1 ZINC000830433039 603467996 /nfs/dbraw/zinc/46/79/96/603467996.db2.gz PFPKMJDWNPGSMV-SNVBAGLBSA-N -1 1 319.365 1.669 20 0 DDADMM CCC[C@](C)(NC(=O)[O-])C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000826720559 603483878 /nfs/dbraw/zinc/48/38/78/603483878.db2.gz JWPRSZDSXHHFBX-AWEZNQCLSA-N -1 1 318.337 1.632 20 0 DDADMM CC(C)C[C@@H]1CC(=O)N(CN2CC[C@H](CN(C)C(=O)[O-])C2)C1 ZINC000824224362 603588642 /nfs/dbraw/zinc/58/86/42/603588642.db2.gz JXYYOURMENAFEP-ZIAGYGMSSA-N -1 1 311.426 1.770 20 0 DDADMM C[C@@H]1CCCN(CC(=O)N2CCCC[C@H]2C)[C@@H]1CNC(=O)[O-] ZINC000825919498 603725571 /nfs/dbraw/zinc/72/55/71/603725571.db2.gz NVIWMUOTIOUMDB-MGPQQGTHSA-N -1 1 311.426 1.756 20 0 DDADMM CN1CCN(C(=O)[C@@H]2CCN(C(=O)[O-])C2)C2(CCCCC2)C1 ZINC000828496764 603739510 /nfs/dbraw/zinc/73/95/10/603739510.db2.gz ZCDSDFPRMVCZPZ-CYBMUJFWSA-N -1 1 309.410 1.463 20 0 DDADMM O=C([O-])NC[C@@H]1CCCCN1Cc1ccc(-n2ccnc2)nc1 ZINC000832528926 603753467 /nfs/dbraw/zinc/75/34/67/603753467.db2.gz VRXZPCSDHIPURZ-AWEZNQCLSA-N -1 1 315.377 1.889 20 0 DDADMM O=C([O-])NC1CCN(Cc2ccc(-n3ccnc3)nc2)CC1 ZINC000832392395 603753580 /nfs/dbraw/zinc/75/35/80/603753580.db2.gz SURFQUVWOUTESL-UHFFFAOYSA-N -1 1 301.350 1.499 20 0 DDADMM COC(=O)c1cccc(OCCN2CC[C@H](N(C)C(=O)[O-])C2)c1 ZINC000828799425 603808082 /nfs/dbraw/zinc/80/80/82/603808082.db2.gz MEKJVZHXNMOJQS-ZDUSSCGKSA-N -1 1 322.361 1.536 20 0 DDADMM Cc1cc(CNC(=O)NC[C@@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830040703 603809862 /nfs/dbraw/zinc/80/98/62/603809862.db2.gz CEMHGHGLCBXQFB-CYBMUJFWSA-N -1 1 317.349 1.526 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCN(C[C@H](O)COc2ccccc2F)C1 ZINC000827881612 603814682 /nfs/dbraw/zinc/81/46/82/603814682.db2.gz GDVGXGGEQJXXOE-NEPJUHHUSA-N -1 1 312.341 1.250 20 0 DDADMM C[C@H](N(C)CN1C[C@H]2CN(C(=O)[O-])CCN2C1=O)C1(C)CC1 ZINC000825057945 603875752 /nfs/dbraw/zinc/87/57/52/603875752.db2.gz YXAUJYBNERQWDD-NWDGAFQWSA-N -1 1 310.398 1.164 20 0 DDADMM CN1CCN(C(=O)[C@H]2CC[C@H](NC(=O)[O-])C2)C2(CCCCC2)C1 ZINC000828496823 603941860 /nfs/dbraw/zinc/94/18/60/603941860.db2.gz ZZNVBFOJIOLPFG-KBPBESRZSA-N -1 1 323.437 1.900 20 0 DDADMM CC1(C)CN(C(=O)[O-])CCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000825725152 603948475 /nfs/dbraw/zinc/94/84/75/603948475.db2.gz SQUJNFOGFDGZSZ-UHFFFAOYSA-N -1 1 318.333 1.884 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)[C@@H]1CCCc2[nH]ncc21)C(=O)[O-] ZINC000828186693 603952918 /nfs/dbraw/zinc/95/29/18/603952918.db2.gz RLCUYNMHBGFVTO-WDEREUQCSA-N -1 1 306.366 1.430 20 0 DDADMM CC(C)c1cc(C(=O)N2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])n[nH]1 ZINC000824453874 604030703 /nfs/dbraw/zinc/03/07/03/604030703.db2.gz YZIXLGFCKBRBTN-MNOVXSKESA-N -1 1 306.366 1.890 20 0 DDADMM C[C@@H](CN(C)C(=O)[O-])C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000824880621 604115243 /nfs/dbraw/zinc/11/52/43/604115243.db2.gz JMMLRAKYZZKXIS-QMMMGPOBSA-N -1 1 304.310 1.051 20 0 DDADMM C[C@H](CN(C)C(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000824881001 604141904 /nfs/dbraw/zinc/14/19/04/604141904.db2.gz ROONMPBUNAQGNT-SNVBAGLBSA-N -1 1 303.322 1.452 20 0 DDADMM CC(C)N1CCN(C(=O)N[C@@H]2CCC[C@H]2CNC(=O)[O-])CC1 ZINC000824362667 604183206 /nfs/dbraw/zinc/18/32/06/604183206.db2.gz FTPQLPFPDGCZIC-QWHCGFSZSA-N -1 1 312.414 1.158 20 0 DDADMM O=C([O-])N[C@H]1CCCCCC[C@H]1C(=O)NCCc1nc[nH]n1 ZINC000832350404 604307111 /nfs/dbraw/zinc/30/71/11/604307111.db2.gz REWBBXJJLJVEOI-MNOVXSKESA-N -1 1 309.370 1.070 20 0 DDADMM C[C@H]1CCCN(CCNC(=O)[O-])[C@@H]1CNC(=O)OC(C)(C)C ZINC000825926576 604369448 /nfs/dbraw/zinc/36/94/48/604369448.db2.gz WWQTUIRAYHJYOI-NWDGAFQWSA-N -1 1 315.414 1.879 20 0 DDADMM CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000826996333 604442087 /nfs/dbraw/zinc/44/20/87/604442087.db2.gz XYIILJKOFMZMNS-GWCFXTLKSA-N -1 1 309.366 1.166 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CN(C(=O)c2cccc3c[nH]nc32)CCO1 ZINC000825334046 604713164 /nfs/dbraw/zinc/71/31/64/604713164.db2.gz FBCDHSGFTRJOIP-CABZTGNLSA-N -1 1 318.333 1.060 20 0 DDADMM C[C@H](C[N@@H+](CC(=O)Nc1ccc2c(c1)OCO2)C1CC1)C(=O)[O-] ZINC000827449226 604745439 /nfs/dbraw/zinc/74/54/39/604745439.db2.gz JURCNENBGRYTOE-SNVBAGLBSA-N -1 1 320.345 1.539 20 0 DDADMM C[C@H](CN(CC(=O)Nc1ccc2c(c1)OCO2)C1CC1)C(=O)[O-] ZINC000827449226 604745440 /nfs/dbraw/zinc/74/54/40/604745440.db2.gz JURCNENBGRYTOE-SNVBAGLBSA-N -1 1 320.345 1.539 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1C(=O)CSc1n[nH]c(=S)s1 ZINC000833208066 604752640 /nfs/dbraw/zinc/75/26/40/604752640.db2.gz UUSXRVPEZNFVIC-LURJTMIESA-N -1 1 319.433 1.385 20 0 DDADMM CCc1[nH]c(C(=O)N2CCN(C)[C@H](C)[C@H]2C)c(C)c1C(=O)[O-] ZINC000833667038 604838647 /nfs/dbraw/zinc/83/86/47/604838647.db2.gz JBFWVOJXFGRAHS-GHMZBOCLSA-N -1 1 307.394 1.748 20 0 DDADMM CC(C)Oc1ccccc1C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833507607 604900294 /nfs/dbraw/zinc/90/02/94/604900294.db2.gz WXYPKLBCHCVUHT-ZDUSSCGKSA-N -1 1 317.345 1.623 20 0 DDADMM Cc1cc(CNC(=O)C[C@H](C)C2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000830041874 604914943 /nfs/dbraw/zinc/91/49/43/604914943.db2.gz SNBNVQLDALLBKE-JTQLQIEISA-N -1 1 308.382 1.751 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCc1ccccc1 ZINC000833621294 605042858 /nfs/dbraw/zinc/04/28/58/605042858.db2.gz ADMJDJZPRQBEOL-AWEZNQCLSA-N -1 1 319.405 1.420 20 0 DDADMM C[C@H]1CN(C2CC2)C[C@@H]1NS(=O)(=O)c1ccccc1C(=O)[O-] ZINC000833624995 605047027 /nfs/dbraw/zinc/04/70/27/605047027.db2.gz MPOVYKJKXBJWAX-GWCFXTLKSA-N -1 1 324.402 1.146 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C/c1ccsc1 ZINC000833622486 605095592 /nfs/dbraw/zinc/09/55/92/605095592.db2.gz PPYLVKDRYOPXPM-QAVQXKDTSA-N -1 1 308.403 1.769 20 0 DDADMM C[C@H](O)C[C@H]1CCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000824698833 607738050 /nfs/dbraw/zinc/73/80/50/607738050.db2.gz OQPBWMHRUWYZAX-NKWVEPMBSA-N -1 1 314.802 1.716 20 0 DDADMM C[C@H](O)C[C@H]1CCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000824698833 607738051 /nfs/dbraw/zinc/73/80/51/607738051.db2.gz OQPBWMHRUWYZAX-NKWVEPMBSA-N -1 1 314.802 1.716 20 0 DDADMM CCN1C[C@H](C)N(C(=O)Cc2ccccc2C(=O)[O-])C[C@H]1C ZINC000833658572 605267328 /nfs/dbraw/zinc/26/73/28/605267328.db2.gz TXHWNAXFGWIWCT-OLZOCXBDSA-N -1 1 304.390 1.868 20 0 DDADMM O=C([O-])NC[C@H]1CCC[N@@H+]1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000834220472 605342216 /nfs/dbraw/zinc/34/22/16/605342216.db2.gz LBRFSYFAGFLNEI-LLVKDONJSA-N -1 1 318.329 1.731 20 0 DDADMM O=C([O-])NC[C@H]1CCC[N@H+]1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000834220472 605342224 /nfs/dbraw/zinc/34/22/24/605342224.db2.gz LBRFSYFAGFLNEI-LLVKDONJSA-N -1 1 318.329 1.731 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@@H](F)Cc1ccccc1 ZINC000833768052 605406156 /nfs/dbraw/zinc/40/61/56/605406156.db2.gz XKSJYWPZLJZPFJ-STQMWFEESA-N -1 1 305.309 1.102 20 0 DDADMM O=C([O-])N1CC[C@H](CCC(=O)N2CCN(CC3CC3)CC2)C1 ZINC000834077516 605418176 /nfs/dbraw/zinc/41/81/76/605418176.db2.gz YFVSJAKJFIHULH-AWEZNQCLSA-N -1 1 309.410 1.321 20 0 DDADMM C[C@](O)(CN1CCC(C(F)(F)F)(C(F)(F)F)C1)C(=O)[O-] ZINC000833524038 605496183 /nfs/dbraw/zinc/49/61/83/605496183.db2.gz CJGIUKPNFKQYQM-ZETCQYMHSA-N -1 1 309.206 1.639 20 0 DDADMM CN1CCCN(C(=O)Nc2nc(CCC(=O)[O-])cs2)CC1 ZINC000833697756 605557019 /nfs/dbraw/zinc/55/70/19/605557019.db2.gz QYHOPLSLWPTUAM-UHFFFAOYSA-N -1 1 312.395 1.330 20 0 DDADMM C[C@H](O)[C@H]1CCN(CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC000833526218 605698552 /nfs/dbraw/zinc/69/85/52/605698552.db2.gz GUERDIBCCOQDIW-RYUDHWBXSA-N -1 1 306.362 1.050 20 0 DDADMM O=C([O-])N1CCC[C@@H](C(=O)Nc2ccccc2-c2nnc[nH]2)C1 ZINC000834056711 605710348 /nfs/dbraw/zinc/71/03/48/605710348.db2.gz UMLVXVSWDFGNKI-SNVBAGLBSA-N -1 1 315.333 1.800 20 0 DDADMM CCS(=O)(=O)CCN[C@@H]1C[C@H](NC(=O)[O-])c2ccccc21 ZINC000833863814 605796335 /nfs/dbraw/zinc/79/63/35/605796335.db2.gz RNIFNJQUMLFUDG-OLZOCXBDSA-N -1 1 312.391 1.464 20 0 DDADMM CCOc1ccc(NC(=O)CN[C@@H]2CCN(C(=O)[O-])C2)cc1 ZINC000827439244 605841608 /nfs/dbraw/zinc/84/16/08/605841608.db2.gz ICFXLZQZGMNDIO-GFCCVEGCSA-N -1 1 307.350 1.366 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)N(C)c1ccccc1 ZINC000833829203 605895528 /nfs/dbraw/zinc/89/55/28/605895528.db2.gz GRQGNYZHSWASPP-STQMWFEESA-N -1 1 305.378 1.627 20 0 DDADMM CC(=O)c1ccc(NC(=O)CCN2CC[C@H](NC(=O)[O-])C2)cc1 ZINC000738591205 605919493 /nfs/dbraw/zinc/91/94/93/605919493.db2.gz QKAYVLSZAFVBCM-AWEZNQCLSA-N -1 1 319.361 1.560 20 0 DDADMM O=C([O-])N1CCC[C@H](NCc2nnnn2-c2ccccc2)CC1 ZINC000834108645 606037617 /nfs/dbraw/zinc/03/76/17/606037617.db2.gz WYCZJOAHNZRORP-LBPRGKRZSA-N -1 1 316.365 1.284 20 0 DDADMM CSc1ccncc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821988076 606118937 /nfs/dbraw/zinc/11/89/37/606118937.db2.gz BXLQLFYVJFHRDZ-UHFFFAOYSA-N -1 1 313.346 1.631 20 0 DDADMM CSc1ccncc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821988076 606118939 /nfs/dbraw/zinc/11/89/39/606118939.db2.gz BXLQLFYVJFHRDZ-UHFFFAOYSA-N -1 1 313.346 1.631 20 0 DDADMM CC(C)c1noc(COC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000820211675 606131946 /nfs/dbraw/zinc/13/19/46/606131946.db2.gz UQOBHKVHQZSSQX-UHFFFAOYSA-N -1 1 320.334 1.792 20 0 DDADMM CC(C)c1noc(COC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000820211675 606131947 /nfs/dbraw/zinc/13/19/47/606131947.db2.gz UQOBHKVHQZSSQX-UHFFFAOYSA-N -1 1 320.334 1.792 20 0 DDADMM O=C(OCc1nnc(C2CC2)o1)c1ccc(-c2nnn[n-]2)s1 ZINC000823444758 606137030 /nfs/dbraw/zinc/13/70/30/606137030.db2.gz OJLUGOJQIZJABZ-UHFFFAOYSA-N -1 1 318.318 1.546 20 0 DDADMM O=C(OCc1nnc(C2CC2)o1)c1ccc(-c2nn[n-]n2)s1 ZINC000823444758 606137032 /nfs/dbraw/zinc/13/70/32/606137032.db2.gz OJLUGOJQIZJABZ-UHFFFAOYSA-N -1 1 318.318 1.546 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCCCCCC2)n1 ZINC000822609863 606168920 /nfs/dbraw/zinc/16/89/20/606168920.db2.gz XKYRSTDLMNDDRE-UHFFFAOYSA-N -1 1 304.358 1.398 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCCCCCC2)n1 ZINC000822609863 606168922 /nfs/dbraw/zinc/16/89/22/606168922.db2.gz XKYRSTDLMNDDRE-UHFFFAOYSA-N -1 1 304.358 1.398 20 0 DDADMM C[C@@H](Oc1ccc(F)cc1)C(=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000820535109 606531900 /nfs/dbraw/zinc/53/19/00/606531900.db2.gz NOPBWYVYPUCYJI-SSDOTTSWSA-N -1 1 317.284 1.135 20 0 DDADMM C[C@@H](Oc1ccc(F)cc1)C(=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000820535109 606531902 /nfs/dbraw/zinc/53/19/02/606531902.db2.gz NOPBWYVYPUCYJI-SSDOTTSWSA-N -1 1 317.284 1.135 20 0 DDADMM c1csc([C@H]2CN(c3nccnc3-c3nnn[n-]3)CCO2)c1 ZINC000823816795 606726658 /nfs/dbraw/zinc/72/66/58/606726658.db2.gz YQZVZJZXXXKNRA-SECBINFHSA-N -1 1 315.362 1.296 20 0 DDADMM c1csc([C@H]2CN(c3nccnc3-c3nn[n-]n3)CCO2)c1 ZINC000823816795 606726659 /nfs/dbraw/zinc/72/66/59/606726659.db2.gz YQZVZJZXXXKNRA-SECBINFHSA-N -1 1 315.362 1.296 20 0 DDADMM CCc1nc2n(n1)CCC[C@H]2NCc1ccc(-c2nn[n-]n2)o1 ZINC000821508951 606732490 /nfs/dbraw/zinc/73/24/90/606732490.db2.gz CLDAQUZQUDWZHM-SNVBAGLBSA-N -1 1 314.353 1.238 20 0 DDADMM CCc1nc2n(n1)CCC[C@H]2NCc1ccc(-c2nnn[n-]2)o1 ZINC000821508951 606732489 /nfs/dbraw/zinc/73/24/89/606732489.db2.gz CLDAQUZQUDWZHM-SNVBAGLBSA-N -1 1 314.353 1.238 20 0 DDADMM Cc1cccc(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)c1F ZINC000822284572 606735177 /nfs/dbraw/zinc/73/51/77/606735177.db2.gz VLVXTKKRDVMURV-UHFFFAOYSA-N -1 1 301.285 1.300 20 0 DDADMM Cc1cccc(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)c1F ZINC000822284572 606735178 /nfs/dbraw/zinc/73/51/78/606735178.db2.gz VLVXTKKRDVMURV-UHFFFAOYSA-N -1 1 301.285 1.300 20 0 DDADMM c1cc([C@H]2CN(c3cccc(-c4nnn[n-]4)n3)CCO2)cs1 ZINC000823742426 606949797 /nfs/dbraw/zinc/94/97/97/606949797.db2.gz GBOWFAJXMSADMD-GFCCVEGCSA-N -1 1 314.374 1.901 20 0 DDADMM c1cc([C@H]2CN(c3cccc(-c4nn[n-]n4)n3)CCO2)cs1 ZINC000823742426 606949798 /nfs/dbraw/zinc/94/97/98/606949798.db2.gz GBOWFAJXMSADMD-GFCCVEGCSA-N -1 1 314.374 1.901 20 0 DDADMM C[C@H](CCNc1ccc(Cl)c(-c2nnn[n-]2)n1)[S@@](C)=O ZINC000820242281 607041207 /nfs/dbraw/zinc/04/12/07/607041207.db2.gz MKWIHLFAQYWTME-JLPJYYFKSA-N -1 1 314.802 1.484 20 0 DDADMM C[C@H](CCNc1ccc(Cl)c(-c2nn[n-]n2)n1)[S@@](C)=O ZINC000820242281 607041208 /nfs/dbraw/zinc/04/12/08/607041208.db2.gz MKWIHLFAQYWTME-JLPJYYFKSA-N -1 1 314.802 1.484 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1ccc2c(c1)OCO2 ZINC000823380000 607105223 /nfs/dbraw/zinc/10/52/23/607105223.db2.gz NRQFRDWRKSNKSX-UHFFFAOYSA-N -1 1 316.302 1.304 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1ccc2c(c1)OCO2 ZINC000823380000 607105225 /nfs/dbraw/zinc/10/52/25/607105225.db2.gz NRQFRDWRKSNKSX-UHFFFAOYSA-N -1 1 316.302 1.304 20 0 DDADMM CCCC[C@@H](CCC)CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821040528 607222129 /nfs/dbraw/zinc/22/21/29/607222129.db2.gz MXWPYNXVNGRXKT-LLVKDONJSA-N -1 1 320.401 1.181 20 0 DDADMM O=c1c(-c2nn[n-]n2)cn(CCCCCCO)c2ccccc12 ZINC000823656821 607237754 /nfs/dbraw/zinc/23/77/54/607237754.db2.gz JPNXCBLQFPHEAH-UHFFFAOYSA-N -1 1 313.361 1.734 20 0 DDADMM CN(C)C(=O)c1ccc(Oc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000825845384 607896567 /nfs/dbraw/zinc/89/65/67/607896567.db2.gz GPZMLFOBCNUBPQ-UHFFFAOYSA-N -1 1 310.317 1.756 20 0 DDADMM CN(C)C(=O)c1ccc(Oc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000825845384 607896568 /nfs/dbraw/zinc/89/65/68/607896568.db2.gz GPZMLFOBCNUBPQ-UHFFFAOYSA-N -1 1 310.317 1.756 20 0 DDADMM Cc1nccc([C@@H]2CCCN(c3cccc(-c4nnn[n-]4)n3)C2)n1 ZINC000826330249 607897293 /nfs/dbraw/zinc/89/72/93/607897293.db2.gz LQTJWUCQDRQTDO-GFCCVEGCSA-N -1 1 322.376 1.744 20 0 DDADMM Cc1nccc([C@@H]2CCCN(c3cccc(-c4nn[n-]n4)n3)C2)n1 ZINC000826330249 607897294 /nfs/dbraw/zinc/89/72/94/607897294.db2.gz LQTJWUCQDRQTDO-GFCCVEGCSA-N -1 1 322.376 1.744 20 0 DDADMM CCOC(=O)[C@@H](C)N(C)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825486467 608190471 /nfs/dbraw/zinc/19/04/71/608190471.db2.gz TUWVMAMNXWXBSS-RXMQYKEDSA-N -1 1 316.774 1.364 20 0 DDADMM CCOC(=O)[C@@H](C)N(C)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825486467 608190472 /nfs/dbraw/zinc/19/04/72/608190472.db2.gz TUWVMAMNXWXBSS-RXMQYKEDSA-N -1 1 316.774 1.364 20 0 DDADMM FC(F)(F)CCN(CC1CC1)c1ccc(-c2nnn[n-]2)nn1 ZINC000826367894 608430698 /nfs/dbraw/zinc/43/06/98/608430698.db2.gz SEEDMBNUJZIZGZ-UHFFFAOYSA-N -1 1 313.287 1.826 20 0 DDADMM FC(F)(F)CCN(CC1CC1)c1ccc(-c2nn[n-]n2)nn1 ZINC000826367894 608430699 /nfs/dbraw/zinc/43/06/99/608430699.db2.gz SEEDMBNUJZIZGZ-UHFFFAOYSA-N -1 1 313.287 1.826 20 0 DDADMM CCN(Cc1ccc(OC)cc1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825435427 608433698 /nfs/dbraw/zinc/43/36/98/608433698.db2.gz XSBRTWOJJZZNTK-UHFFFAOYSA-N -1 1 311.349 1.692 20 0 DDADMM CCN(Cc1ccc(OC)cc1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825435427 608433699 /nfs/dbraw/zinc/43/36/99/608433699.db2.gz XSBRTWOJJZZNTK-UHFFFAOYSA-N -1 1 311.349 1.692 20 0 DDADMM CCN(CCc1nccs1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825429195 608436965 /nfs/dbraw/zinc/43/69/65/608436965.db2.gz YEDISEJOXXDKBF-UHFFFAOYSA-N -1 1 302.367 1.182 20 0 DDADMM CCN(CCc1nccs1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825429195 608436966 /nfs/dbraw/zinc/43/69/66/608436966.db2.gz YEDISEJOXXDKBF-UHFFFAOYSA-N -1 1 302.367 1.182 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NCCCOC[C@H]1CCOC1 ZINC000826280405 608544297 /nfs/dbraw/zinc/54/42/97/608544297.db2.gz AOLWZBYSUZJVEM-GFCCVEGCSA-N -1 1 318.381 1.425 20 0 DDADMM CC(C)CN(CC(C)C)C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000824255546 608891666 /nfs/dbraw/zinc/89/16/66/608891666.db2.gz NOCQSFDSTAFISS-UHFFFAOYSA-N -1 1 305.386 1.204 20 0 DDADMM CC(C)CN(CC(C)C)C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000824255546 608891667 /nfs/dbraw/zinc/89/16/67/608891667.db2.gz NOCQSFDSTAFISS-UHFFFAOYSA-N -1 1 305.386 1.204 20 0 DDADMM COCC[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)c1ccc(C)o1 ZINC000826155325 609322682 /nfs/dbraw/zinc/32/26/82/609322682.db2.gz MDUIQOMASHPLFZ-SNVBAGLBSA-N -1 1 315.337 1.748 20 0 DDADMM COCC[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)c1ccc(C)o1 ZINC000826155325 609322684 /nfs/dbraw/zinc/32/26/84/609322684.db2.gz MDUIQOMASHPLFZ-SNVBAGLBSA-N -1 1 315.337 1.748 20 0 DDADMM COC1(CNc2snc(Cl)c2-c2nnn[n-]2)CCC1 ZINC000826144242 609554335 /nfs/dbraw/zinc/55/43/35/609554335.db2.gz FFKFALWKIGNHLI-UHFFFAOYSA-N -1 1 300.775 1.958 20 0 DDADMM COC1(CNc2snc(Cl)c2-c2nn[n-]n2)CCC1 ZINC000826144242 609554337 /nfs/dbraw/zinc/55/43/37/609554337.db2.gz FFKFALWKIGNHLI-UHFFFAOYSA-N -1 1 300.775 1.958 20 0 DDADMM CC[C@@H]1c2ccsc2CCN1C(=O)Cc1sc(N)nc1[O-] ZINC000120915177 696703700 /nfs/dbraw/zinc/70/37/00/696703700.db2.gz XUYAITRQXNGCNS-KOLCDFICSA-N -1 1 323.443 1.931 20 0 DDADMM Cn1ccc(CC(=O)Nc2nc(Br)ccc2[O-])n1 ZINC000121547053 696712103 /nfs/dbraw/zinc/71/21/03/696712103.db2.gz OXTXHGCTOYBARC-UHFFFAOYSA-N -1 1 311.139 1.464 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)c1cccs1 ZINC000972916300 695363097 /nfs/dbraw/zinc/36/30/97/695363097.db2.gz DNXUFQJNWABUIZ-MGCOHNPYSA-N -1 1 317.370 1.540 20 0 DDADMM COC[C@@H](OC(=O)c1ccc(C(=O)OC)[n-]1)c1nccs1 ZINC000797176127 699997594 /nfs/dbraw/zinc/99/75/94/699997594.db2.gz KRGXWNCUYRVNKB-SNVBAGLBSA-N -1 1 310.331 1.802 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)[C@]23C[C@H]2CCC3)C1)c1ncccc1[O-] ZINC000973198776 695444015 /nfs/dbraw/zinc/44/40/15/695444015.db2.gz GSOOZBSIBUZIOO-BDBYMAIGSA-N -1 1 315.373 1.354 20 0 DDADMM O=C(NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000974415015 695665181 /nfs/dbraw/zinc/66/51/81/695665181.db2.gz FRLDGZXKNYEBBK-NSHDSACASA-N -1 1 303.362 1.166 20 0 DDADMM O=C(NC[C@@]1(O)CCc2ccccc21)C(=O)c1ccc([O-])cc1 ZINC000798175910 700046970 /nfs/dbraw/zinc/04/69/70/700046970.db2.gz NDYKVKKZXCOWLX-SFHVURJKSA-N -1 1 311.337 1.525 20 0 DDADMM C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@H](O)c1ccc(F)cc1 ZINC000798301093 700055945 /nfs/dbraw/zinc/05/59/45/700055945.db2.gz NRTVWHYUXAVWTE-BONVTDFDSA-N -1 1 317.316 1.952 20 0 DDADMM Cc1nc(-c2ccccc2)sc1C(=O)[N-]N1CC(=O)NC1=O ZINC000025612823 696092453 /nfs/dbraw/zinc/09/24/53/696092453.db2.gz BEKYMRLDKJUPID-UHFFFAOYSA-N -1 1 316.342 1.315 20 0 DDADMM C[C@@H](Sc1ccc(Cl)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000029019495 696108299 /nfs/dbraw/zinc/10/82/99/696108299.db2.gz CXNPXYCHUQCECE-SSDOTTSWSA-N -1 1 313.766 1.404 20 0 DDADMM CCCOC(=O)CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747384018 700067021 /nfs/dbraw/zinc/06/70/21/700067021.db2.gz PYBJPOBURTWAJC-UHFFFAOYSA-N -1 1 309.366 1.687 20 0 DDADMM CC(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000046313976 696183793 /nfs/dbraw/zinc/18/37/93/696183793.db2.gz KHJBWTNSCIHBGJ-SECBINFHSA-N -1 1 317.363 1.491 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)C1CCCC1)C(=O)c1ncccc1[O-] ZINC000977620981 696237397 /nfs/dbraw/zinc/23/73/97/696237397.db2.gz UGMZYSMSTZXLGM-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM COc1cccc(NC(=S)N[N-]C(=O)c2cc(C)[nH]n2)c1 ZINC000054419558 696275869 /nfs/dbraw/zinc/27/58/69/696275869.db2.gz HUGRGGMXVAGYRJ-UHFFFAOYSA-N -1 1 305.363 1.358 20 0 DDADMM O=C(COC(=O)CCc1nccs1)[N-]C(=O)c1ccccc1 ZINC000064152722 696336333 /nfs/dbraw/zinc/33/63/33/696336333.db2.gz CWTAFZCADVIIAC-UHFFFAOYSA-N -1 1 318.354 1.576 20 0 DDADMM CCOCCO[C@H](C)C(=O)NCc1n[n-]c(=S)n1C1CC1 ZINC000066637569 696354280 /nfs/dbraw/zinc/35/42/80/696354280.db2.gz MOBPEXPBZVUGDP-SECBINFHSA-N -1 1 314.411 1.333 20 0 DDADMM COc1ccc(CC(=O)[N-]O[C@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000067923031 696365180 /nfs/dbraw/zinc/36/51/80/696365180.db2.gz SQLQSEBCXCHABD-AWEZNQCLSA-N -1 1 310.306 1.720 20 0 DDADMM CCC[C@H](Cc1ccccc1)NC(=O)Cc1sc(N)nc1[O-] ZINC000079971220 696465685 /nfs/dbraw/zinc/46/56/85/696465685.db2.gz BEAZTWXWHFODNO-OLZOCXBDSA-N -1 1 319.430 1.861 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@H](Nc3ccccc3)C2)s1 ZINC000079993599 696466219 /nfs/dbraw/zinc/46/62/19/696466219.db2.gz VJPDAXXJMRAJDI-NWDGAFQWSA-N -1 1 318.402 1.046 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2coc3ccccc23)s1 ZINC000080293841 696532711 /nfs/dbraw/zinc/53/27/11/696532711.db2.gz JSYNMHWLYSHSQY-LLVKDONJSA-N -1 1 303.343 1.396 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H]2C[C@H]2c2cccc(Cl)c2)s1 ZINC000080431916 696534548 /nfs/dbraw/zinc/53/45/48/696534548.db2.gz VLTXRUBGIZOENM-HBNTYKKESA-N -1 1 323.805 1.659 20 0 DDADMM C[C@@H](CNC(=O)Cc1sc(N)nc1[O-])Cc1cccs1 ZINC000080506204 696535078 /nfs/dbraw/zinc/53/50/78/696535078.db2.gz NTAWVRFIYIXOPI-PSASIEDQSA-N -1 1 311.432 1.390 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCc2ccc(Cl)cc2)s1 ZINC000120788225 696701295 /nfs/dbraw/zinc/70/12/95/696701295.db2.gz SZZLOMQGMHUHGF-JTQLQIEISA-N -1 1 311.794 1.346 20 0 DDADMM CC(C)[C@@H](CNC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000120910925 696703548 /nfs/dbraw/zinc/70/35/48/696703548.db2.gz AQBKIIMHNDDTJX-CHWSQXEVSA-N -1 1 319.430 1.889 20 0 DDADMM O=C(c1cccc(C2CCC2)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000798960920 700106737 /nfs/dbraw/zinc/10/67/37/700106737.db2.gz MQOUONHHQHEWGG-CQSZACIVSA-N -1 1 313.361 1.681 20 0 DDADMM CC(C)COC[C@@H](O)CNC(=O)c1c([O-])cccc1Cl ZINC000748404701 700116523 /nfs/dbraw/zinc/11/65/23/700116523.db2.gz ILXCXMBVGNDWHM-JTQLQIEISA-N -1 1 301.770 1.809 20 0 DDADMM CCCn1cc(NC(=O)c2coc(S(=O)(=O)[N-]C)c2)cn1 ZINC000153849085 696922961 /nfs/dbraw/zinc/92/29/61/696922961.db2.gz XXOGBUZQWHTIRA-UHFFFAOYSA-N -1 1 312.351 1.047 20 0 DDADMM C[C@@H](Cc1ccc(F)cc1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155599999 696951072 /nfs/dbraw/zinc/95/10/72/696951072.db2.gz BDKFPSOBSVLYNV-AAEUAGOBSA-N -1 1 317.368 1.924 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCN(C[C@H]3CCCCO3)CC2)c1[O-] ZINC000980979468 696968696 /nfs/dbraw/zinc/96/86/96/696968696.db2.gz LYGUHWDJZCPHBV-CYBMUJFWSA-N -1 1 322.409 1.141 20 0 DDADMM CCc1c(NC(=O)Cc2sc(N)nc2[O-])cnn1CC(C)C ZINC000157842764 696981283 /nfs/dbraw/zinc/98/12/83/696981283.db2.gz KVJPVMJEVSJNEC-LLVKDONJSA-N -1 1 323.422 1.387 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccccc2Cl)C1 ZINC000748768604 700133374 /nfs/dbraw/zinc/13/33/74/700133374.db2.gz UQSSFJOMCZOCFD-JTQLQIEISA-N -1 1 312.753 1.387 20 0 DDADMM CC(=O)N1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1C(=O)c1ncccc1[O-] ZINC000984204846 697355766 /nfs/dbraw/zinc/35/57/66/697355766.db2.gz FUEMWQVSBIGLTQ-CRWXNKLISA-N -1 1 315.373 1.116 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)c(C)o1 ZINC000985947842 697609680 /nfs/dbraw/zinc/60/96/80/697609680.db2.gz AZBPJUSTPYSPAH-BXKDBHETSA-N -1 1 319.365 1.113 20 0 DDADMM CCN(C)[C@@H](C(=O)NCCc1n[n-]c(=S)o1)c1ccccc1 ZINC000773104899 697714113 /nfs/dbraw/zinc/71/41/13/697714113.db2.gz ICQXCIMVTYBPJA-CYBMUJFWSA-N -1 1 320.418 1.710 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2nnc(C(C)(C)C)[n-]2)s1 ZINC000195175156 697733810 /nfs/dbraw/zinc/73/38/10/697733810.db2.gz MMLDHLAXCGGNEC-UHFFFAOYSA-N -1 1 300.409 1.841 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)s1 ZINC000195175156 697733814 /nfs/dbraw/zinc/73/38/14/697733814.db2.gz MMLDHLAXCGGNEC-UHFFFAOYSA-N -1 1 300.409 1.841 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)s1 ZINC000195175156 697733819 /nfs/dbraw/zinc/73/38/19/697733819.db2.gz MMLDHLAXCGGNEC-UHFFFAOYSA-N -1 1 300.409 1.841 20 0 DDADMM Cc1nc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cs1 ZINC000773315227 697743513 /nfs/dbraw/zinc/74/35/13/697743513.db2.gz OVSMLRIWCPTOQC-VIFPVBQESA-N -1 1 308.363 1.489 20 0 DDADMM O=C(CCn1nnc2ccccc2c1=O)[N-]Oc1ccccc1 ZINC000773467091 697763928 /nfs/dbraw/zinc/76/39/28/697763928.db2.gz SHDYXKFFSVUTEY-UHFFFAOYSA-N -1 1 310.313 1.292 20 0 DDADMM CN(Cc1ccc(O)cc1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774041308 697836357 /nfs/dbraw/zinc/83/63/57/697836357.db2.gz UDFVMSCHPUMGLN-UHFFFAOYSA-N -1 1 314.370 1.996 20 0 DDADMM O=C(NCc1csc(=O)[nH]1)c1ccc2n[n-]c(=S)n2c1 ZINC000774440342 697879690 /nfs/dbraw/zinc/87/96/90/697879690.db2.gz YMCDJYPPRREVRM-UHFFFAOYSA-N -1 1 307.360 1.110 20 0 DDADMM CN(C)c1ncccc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774913825 697941521 /nfs/dbraw/zinc/94/15/21/697941521.db2.gz MQQWYWQRLWGJBW-UHFFFAOYSA-N -1 1 319.283 1.373 20 0 DDADMM O=C(C=Cc1ccccc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000775191423 697970349 /nfs/dbraw/zinc/97/03/49/697970349.db2.gz NMPOPXPZGKEUSR-QDZRJHCZSA-N -1 1 301.325 1.758 20 0 DDADMM O=C(C[C@@H](O)c1cccc(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000775545217 698007614 /nfs/dbraw/zinc/00/76/14/698007614.db2.gz LSBZNDJBEQKJEJ-DGCLKSJQSA-N -1 1 319.340 1.169 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc(-c2ccc[nH]2)cc1 ZINC000775559647 698009050 /nfs/dbraw/zinc/00/90/50/698009050.db2.gz UTEKBDJDKXUJEV-UHFFFAOYSA-N -1 1 324.340 1.561 20 0 DDADMM C[C@@H]1CN(CCOC(=O)c2c([O-])cc(F)cc2F)CCO1 ZINC000800154212 700194869 /nfs/dbraw/zinc/19/48/69/700194869.db2.gz LWRUTQIVEMBYDA-SECBINFHSA-N -1 1 301.289 1.548 20 0 DDADMM C[C@@H]1CC[C@@H](CCC(=O)N2CCSC[C@@H]2c2nn[n-]n2)O1 ZINC000776562117 698117195 /nfs/dbraw/zinc/11/71/95/698117195.db2.gz BGTVVKITALOPOQ-OUAUKWLOSA-N -1 1 311.411 1.164 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1CC12CCC2 ZINC000777354848 698182179 /nfs/dbraw/zinc/18/21/79/698182179.db2.gz GOYSRUZGZSHJNM-LLVKDONJSA-N -1 1 302.334 1.002 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)CCC(C)(F)F ZINC000777361284 698182206 /nfs/dbraw/zinc/18/22/06/698182206.db2.gz PBFVXAGRQUMGQK-UHFFFAOYSA-N -1 1 314.292 1.247 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)/C=C1\CC[C@@H](C)C1 ZINC000777361269 698182218 /nfs/dbraw/zinc/18/22/18/698182218.db2.gz OEBANXVAKAXNOJ-ZDIKFAODSA-N -1 1 316.361 1.558 20 0 DDADMM Cc1noc([N-]C(=O)c2cnn(-c3cccc(Cl)c3)n2)n1 ZINC000777366994 698182901 /nfs/dbraw/zinc/18/29/01/698182901.db2.gz LTIGITZQPGWMSH-UHFFFAOYSA-N -1 1 304.697 1.864 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987671971 698193161 /nfs/dbraw/zinc/19/31/61/698193161.db2.gz MWNDWVODJSDNDG-TUAOUCFPSA-N -1 1 305.378 1.553 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1(C)CC1 ZINC000987688997 698198823 /nfs/dbraw/zinc/19/88/23/698198823.db2.gz RTOXZKIBDIEPCV-NEPJUHHUSA-N -1 1 317.389 1.697 20 0 DDADMM Cc1ccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)s1 ZINC000987844894 698245657 /nfs/dbraw/zinc/24/56/57/698245657.db2.gz UCXOSAAVYZGVHU-WPRPVWTQSA-N -1 1 321.406 1.273 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCC1CCC1 ZINC000988516908 698396080 /nfs/dbraw/zinc/39/60/80/698396080.db2.gz DCEXEPDBMITSMA-PWSUYJOCSA-N -1 1 307.398 1.170 20 0 DDADMM C[N@H+]1CCCC(C)(C)[C@H]1CNC(=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC000263372297 698502897 /nfs/dbraw/zinc/50/28/97/698502897.db2.gz NHZIIZHDZYDKRS-WBMJQRKESA-N -1 1 311.426 1.613 20 0 DDADMM COc1cccc(C2(C(=O)NN3CC(=O)[N-]C3=O)CCCC2)c1 ZINC000783571373 698853122 /nfs/dbraw/zinc/85/31/22/698853122.db2.gz KEKGSAIMQGWRMP-UHFFFAOYSA-N -1 1 317.345 1.090 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H]1[C@H]2CCCO[C@H]21 ZINC000783905559 698890750 /nfs/dbraw/zinc/89/07/50/698890750.db2.gz SOJROOUGDYZMLV-BDODKLCJSA-N -1 1 313.151 1.913 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1cccs1 ZINC000990114055 698958477 /nfs/dbraw/zinc/95/84/77/698958477.db2.gz HDRFLVCVSHKABC-UHFFFAOYSA-N -1 1 303.343 1.103 20 0 DDADMM O=c1nc(NC[C@H](O)c2cc(F)cc(Cl)c2)nc2[nH][n-]cc1-2 ZINC000784827927 699041649 /nfs/dbraw/zinc/04/16/49/699041649.db2.gz ZIWPUSUWJICKKW-JTQLQIEISA-N -1 1 323.715 1.370 20 0 DDADMM C[C@@H]1CN(Cc2ccccc2)CCN1c1cc(C(=O)[O-])ncn1 ZINC000382663181 699067644 /nfs/dbraw/zinc/06/76/44/699067644.db2.gz VFWYBIRITRZSED-CYBMUJFWSA-N -1 1 312.373 1.886 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCC1(O)CC1 ZINC000785672983 699090695 /nfs/dbraw/zinc/09/06/95/699090695.db2.gz LVWNEQHWJKCMJQ-UHFFFAOYSA-N -1 1 305.334 1.052 20 0 DDADMM CC1(C)C[C@H]([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])CO1 ZINC000396556451 699111778 /nfs/dbraw/zinc/11/17/78/699111778.db2.gz QWIMYDHIVDDJIK-QMMMGPOBSA-N -1 1 318.326 1.580 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(Cl)c(N)c2Cl)nc1=O ZINC000786641231 699161242 /nfs/dbraw/zinc/16/12/42/699161242.db2.gz YORUWXXFJJSLRY-UHFFFAOYSA-N -1 1 317.132 1.354 20 0 DDADMM Cc1ccccc1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990968425 699195323 /nfs/dbraw/zinc/19/53/23/699195323.db2.gz IEMYZTKZIGTXNY-UHFFFAOYSA-N -1 1 311.341 1.350 20 0 DDADMM O=C(NC1CN(C(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)C1)c1ncccc1[O-] ZINC000990976661 699197398 /nfs/dbraw/zinc/19/73/98/699197398.db2.gz HDUHVJFSVPOXCR-RTXFEEFZSA-N -1 1 315.373 1.164 20 0 DDADMM CCC(C)(C)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000726833445 699383246 /nfs/dbraw/zinc/38/32/46/699383246.db2.gz XJSVVKOYIWOLOG-UHFFFAOYSA-N -1 1 307.346 1.931 20 0 DDADMM COc1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)ccc1Cl ZINC000790145897 699445210 /nfs/dbraw/zinc/44/52/10/699445210.db2.gz ISYIGHYFDCXBIL-UHFFFAOYSA-N -1 1 323.736 1.227 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)C2=Cc3ccccc3OCC2)n1 ZINC000790444246 699460190 /nfs/dbraw/zinc/46/01/90/699460190.db2.gz CZUVDSBOAPLDEY-UHFFFAOYSA-N -1 1 321.358 1.621 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(O)c1 ZINC000731855600 699538066 /nfs/dbraw/zinc/53/80/66/699538066.db2.gz VPENRIQVSSHBCB-ZDUSSCGKSA-N -1 1 317.349 1.242 20 0 DDADMM C[C@@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1F ZINC000732089629 699546139 /nfs/dbraw/zinc/54/61/39/699546139.db2.gz KVWAPRWCZRMMIO-BXKDBHETSA-N -1 1 305.313 1.042 20 0 DDADMM O=C(Cc1cccc(C(F)F)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000791129570 699612118 /nfs/dbraw/zinc/61/21/18/699612118.db2.gz NMHMIGSZSKOLDW-NSHDSACASA-N -1 1 323.303 1.280 20 0 DDADMM COc1cc(OC)cc([C@@H](O)CNC(=O)c2ncccc2[O-])c1 ZINC000735691685 699700696 /nfs/dbraw/zinc/70/06/96/699700696.db2.gz FEKMWTUXWXHUMN-AWEZNQCLSA-N -1 1 318.329 1.268 20 0 DDADMM O=C(C(=O)N1CCC(c2nn[n-]n2)CC1)c1ccc(Cl)cc1 ZINC000737782307 699744827 /nfs/dbraw/zinc/74/48/27/699744827.db2.gz NAADEUGUNAFABH-UHFFFAOYSA-N -1 1 319.752 1.442 20 0 DDADMM O=C(CNc1cccc(Cl)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000737898242 699747352 /nfs/dbraw/zinc/74/73/52/699747352.db2.gz GHJBMVIUFYVRPP-UHFFFAOYSA-N -1 1 320.784 1.671 20 0 DDADMM O=C(CC1OCCO1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000793654835 699769869 /nfs/dbraw/zinc/76/98/69/699769869.db2.gz DZCNQYHSKFGAMD-UHFFFAOYSA-N -1 1 319.357 1.577 20 0 DDADMM CCc1ccc([C@@H](COC)[N-]S(=O)(=O)c2c[nH]nc2C)o1 ZINC000742306746 699872622 /nfs/dbraw/zinc/87/26/22/699872622.db2.gz BBGXFVOCVUHZTB-LLVKDONJSA-N -1 1 313.379 1.540 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2ccc3c(c2)OCO3)[n-]1 ZINC000796774391 699952037 /nfs/dbraw/zinc/95/20/37/699952037.db2.gz FALUIGXWPFSHTR-UHFFFAOYSA-N -1 1 303.270 1.887 20 0 DDADMM Cc1cnc(COC(=O)c2sccc2[N-]S(C)(=O)=O)o1 ZINC000744797054 699961328 /nfs/dbraw/zinc/96/13/28/699961328.db2.gz ZRKQDMHBQIWUGT-UHFFFAOYSA-N -1 1 316.360 1.773 20 0 DDADMM CN(Cc1cccc(C(N)=O)c1)C(=O)c1cc(F)ccc1[O-] ZINC000751064426 700267938 /nfs/dbraw/zinc/26/79/38/700267938.db2.gz UXHGWUZSRJBMMJ-UHFFFAOYSA-N -1 1 302.305 1.902 20 0 DDADMM CC(C)N1C(=O)C[C@H](NC(=O)c2c([O-])cccc2Cl)C1=O ZINC000751394512 700285357 /nfs/dbraw/zinc/28/53/57/700285357.db2.gz GFSVNAYMODVJLU-VIFPVBQESA-N -1 1 310.737 1.311 20 0 DDADMM COCCC(=O)COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801326409 700297440 /nfs/dbraw/zinc/29/74/40/700297440.db2.gz QTIRFORNBKLEEV-UHFFFAOYSA-N -1 1 322.292 1.479 20 0 DDADMM Cc1cccc(-n2cc([O-])c(C(=O)OCC(=O)N(C)C)n2)c1C ZINC000801419064 700305866 /nfs/dbraw/zinc/30/58/66/700305866.db2.gz WLSHNEKMASUTOT-UHFFFAOYSA-N -1 1 317.345 1.440 20 0 DDADMM O=C(COC(=O)CCOCC1CC1)[N-]C(=O)c1ccccc1 ZINC000751719584 700310059 /nfs/dbraw/zinc/31/00/59/700310059.db2.gz IDLASTAAQIMBNY-UHFFFAOYSA-N -1 1 305.330 1.303 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@@H]2[C@@H](O)c2ccccc2)nc1=O ZINC000754058139 700479488 /nfs/dbraw/zinc/47/94/88/700479488.db2.gz QESUHCUFDKIEMS-HIFRSBDPSA-N -1 1 302.378 1.197 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H](C)C(F)(F)F)co1 ZINC000756404698 700619871 /nfs/dbraw/zinc/61/98/71/700619871.db2.gz NRLCGTFPIRIZPF-ZCFIWIBFSA-N -1 1 315.269 1.543 20 0 DDADMM CC(C)CC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC000756998138 700651819 /nfs/dbraw/zinc/65/18/19/700651819.db2.gz XXPZVLGIDCUKAT-UHFFFAOYSA-N -1 1 307.394 1.259 20 0 DDADMM O=C([N-]OCC(F)F)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000759339331 700747334 /nfs/dbraw/zinc/74/73/34/700747334.db2.gz SFRXSYVHXGVMEV-QMMMGPOBSA-N -1 1 302.252 1.492 20 0 DDADMM C[C@@H]1C[C@@]2(C[C@H]2C(=O)OCCC[N-]C(=O)C(F)(F)F)CCO1 ZINC000759647235 700764370 /nfs/dbraw/zinc/76/43/70/700764370.db2.gz COUWFDQVOJOPIO-GBIKHYSHSA-N -1 1 323.311 1.803 20 0 DDADMM O=C(NCCc1nnc2ccccn21)c1c(F)ccc([O-])c1F ZINC000809548363 701668387 /nfs/dbraw/zinc/66/83/87/701668387.db2.gz IXJXAARIQNOYQC-UHFFFAOYSA-N -1 1 318.283 1.686 20 0 DDADMM CCNC(=O)N1CC[C@H](NC(=O)c2c([O-])cccc2Cl)C1 ZINC000761601252 700865325 /nfs/dbraw/zinc/86/53/25/700865325.db2.gz DYSMYWHFQCLRCB-VIFPVBQESA-N -1 1 311.769 1.579 20 0 DDADMM Cc1ccc(Cl)c(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000762241535 700886539 /nfs/dbraw/zinc/88/65/39/700886539.db2.gz MBFWXWLKRRXCSC-UHFFFAOYSA-N -1 1 307.737 1.527 20 0 DDADMM Cc1cc(Cl)cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000763364099 700935563 /nfs/dbraw/zinc/93/55/63/700935563.db2.gz KLDZSXNLGHHGKZ-NSHDSACASA-N -1 1 307.741 1.375 20 0 DDADMM CC(=O)CCCCCCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000765108144 700999532 /nfs/dbraw/zinc/99/95/32/700999532.db2.gz ABHPQWKVZYPLDI-CYBMUJFWSA-N -1 1 307.398 1.835 20 0 DDADMM Cc1ccc(C(C)C)c(OCC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765390308 701009459 /nfs/dbraw/zinc/00/94/59/701009459.db2.gz LIHWEMCFJSAFED-UHFFFAOYSA-N -1 1 319.361 1.662 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(C3CC3)n(C(C)(C)C)n2)nc1=O ZINC000765447859 701013331 /nfs/dbraw/zinc/01/33/31/701013331.db2.gz QCZLRXPEMYPMDO-UHFFFAOYSA-N -1 1 319.365 1.294 20 0 DDADMM CN1C(=O)C2(CCCC2)N[C@@H]1c1n[nH]c2cc(C(=O)[O-])ccc21 ZINC000765507845 701016930 /nfs/dbraw/zinc/01/69/30/701016930.db2.gz JLQBQPUNKPQTOP-ZDUSSCGKSA-N -1 1 314.345 1.634 20 0 DDADMM CN(CSc1nc(C(F)(F)F)cc(=O)[n-]1)S(C)(=O)=O ZINC000768424784 701176189 /nfs/dbraw/zinc/17/61/89/701176189.db2.gz RZVVCFIIFSMFKR-UHFFFAOYSA-N -1 1 317.314 1.142 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(C)c(F)c2)C1 ZINC000805036404 701227295 /nfs/dbraw/zinc/22/72/95/701227295.db2.gz DLTRBJDNZTUPNW-LBPRGKRZSA-N -1 1 324.352 1.571 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000805040290 701227477 /nfs/dbraw/zinc/22/74/77/701227477.db2.gz UUWJAXHISDMODX-NSHDSACASA-N -1 1 310.325 1.263 20 0 DDADMM Cc1nnc(CCNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)[nH]1 ZINC000769776442 701255550 /nfs/dbraw/zinc/25/55/50/701255550.db2.gz LQUAUWJHPNWLLE-UHFFFAOYSA-N -1 1 304.354 1.013 20 0 DDADMM COC(=O)[C@]1(NC(=O)c2c([O-])cccc2Cl)CCSC1 ZINC000770130523 701268359 /nfs/dbraw/zinc/26/83/59/701268359.db2.gz RVIFTSKMCLLCDA-ZDUSSCGKSA-N -1 1 315.778 1.824 20 0 DDADMM O=C(Nc1cccc(N2CCCCC2)c1)NN1CC(=O)[N-]C1=O ZINC000770262098 701273094 /nfs/dbraw/zinc/27/30/94/701273094.db2.gz PWQVZKOTGWIAIL-UHFFFAOYSA-N -1 1 317.349 1.265 20 0 DDADMM Cc1coc(-c2cccc(NC(=O)NN3CC(=O)[N-]C3=O)c2)n1 ZINC000770570585 701284656 /nfs/dbraw/zinc/28/46/56/701284656.db2.gz WQEPRFCFZJGCNO-UHFFFAOYSA-N -1 1 315.289 1.238 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]2OCC[C@@H]21)c1c[nH]nc1Cl ZINC000830868419 706594492 /nfs/dbraw/zinc/59/44/92/706594492.db2.gz CIRDRVFREZDINY-HLTSFMKQSA-N -1 1 305.787 1.299 20 0 DDADMM O=C(N[C@@H](CO)C[C@H](O)c1ccccc1)c1cc(F)ccc1[O-] ZINC000770860951 701297928 /nfs/dbraw/zinc/29/79/28/701297928.db2.gz WVPWMWCXJZTCST-CJNGLKHVSA-N -1 1 319.332 1.746 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CSCCS2)sc1C ZINC000771946616 701337850 /nfs/dbraw/zinc/33/78/50/701337850.db2.gz NDENJLJTOOKFSS-SECBINFHSA-N -1 1 324.518 1.887 20 0 DDADMM O=C(O[C@@H]1CCN(c2ccc(Cl)cc2)C1=O)c1cn[n-]n1 ZINC000805605904 701398405 /nfs/dbraw/zinc/39/84/05/701398405.db2.gz REWZXENPZKKRME-LLVKDONJSA-N -1 1 306.709 1.420 20 0 DDADMM COC(=O)c1cc2cc(COC(=O)c3cn[n-]n3)ccc2o1 ZINC000805610159 701400145 /nfs/dbraw/zinc/40/01/45/701400145.db2.gz XZOWJEXRNWEMAF-UHFFFAOYSA-N -1 1 301.258 1.695 20 0 DDADMM Cc1nn(C)c(C)c1CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806481157 701437076 /nfs/dbraw/zinc/43/70/76/701437076.db2.gz KDXJLDUAPFOZBF-UHFFFAOYSA-N -1 1 313.365 1.014 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ccc(C(OC)OC)o2)c[n-]1 ZINC000807401353 701475518 /nfs/dbraw/zinc/47/55/18/701475518.db2.gz OSFNXFYYWUGBML-UHFFFAOYSA-N -1 1 323.305 1.723 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@@H]1CCC(=O)C1 ZINC000830921330 706602826 /nfs/dbraw/zinc/60/28/26/706602826.db2.gz HZZCEBCTTRUGOQ-SECBINFHSA-N -1 1 302.282 1.911 20 0 DDADMM CC[C@@H](CC1CCCC1)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000807992734 701493506 /nfs/dbraw/zinc/49/35/06/701493506.db2.gz OQHPBTGOTFSGGF-ZDUSSCGKSA-N -1 1 321.425 1.616 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)C[C@H]2CCCC(=O)N2)c1 ZINC000808691581 701531934 /nfs/dbraw/zinc/53/19/34/701531934.db2.gz PTAJNRNVHTYXNW-CQSZACIVSA-N -1 1 319.357 1.739 20 0 DDADMM O=C([N-]OC/C=C\Cl)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000810498077 701777509 /nfs/dbraw/zinc/77/75/09/701777509.db2.gz LMKVMWZILBZNTI-RJRFIUFISA-N -1 1 321.724 1.006 20 0 DDADMM Cn1[n-]c(COC(=O)COc2ccc(Cl)c(F)c2)nc1=O ZINC000815300796 701844501 /nfs/dbraw/zinc/84/45/01/701844501.db2.gz DTFRWFNAYQIDKY-UHFFFAOYSA-N -1 1 315.688 1.023 20 0 DDADMM O=S(=O)([N-]C[C@H]1COC2(CCCC2)O1)c1c[nH]nc1Cl ZINC000831023875 706622153 /nfs/dbraw/zinc/62/21/53/706622153.db2.gz HAMCBIGSVUWFFN-QMMMGPOBSA-N -1 1 321.786 1.027 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC ZINC000831104722 706635842 /nfs/dbraw/zinc/63/58/42/706635842.db2.gz DKGFIBRDUMLSOE-ZETCQYMHSA-N -1 1 309.775 1.073 20 0 DDADMM C/C(=C\c1ccco1)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831116519 706637754 /nfs/dbraw/zinc/63/77/54/706637754.db2.gz AQHWJMHFFBNFSN-WEVVVXLNSA-N -1 1 316.279 1.820 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)C(F)F)[n-]1 ZINC000816409678 702081758 /nfs/dbraw/zinc/08/17/58/702081758.db2.gz YLZXBIRAMXAOSA-SSDOTTSWSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)C(F)F)n[n-]1 ZINC000816409678 702081767 /nfs/dbraw/zinc/08/17/67/702081767.db2.gz YLZXBIRAMXAOSA-SSDOTTSWSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)C(F)F)n1 ZINC000816409678 702081772 /nfs/dbraw/zinc/08/17/72/702081772.db2.gz YLZXBIRAMXAOSA-SSDOTTSWSA-N -1 1 304.297 1.450 20 0 DDADMM Cc1ccc(Cl)cc1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000816493309 702096491 /nfs/dbraw/zinc/09/64/91/702096491.db2.gz WPCQLDZJILTRNV-UHFFFAOYSA-N -1 1 321.768 1.285 20 0 DDADMM Cc1nc(C(=O)N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)co1 ZINC000812274690 702133745 /nfs/dbraw/zinc/13/37/45/702133745.db2.gz JLLYHXYHITZENX-SECBINFHSA-N -1 1 319.283 1.514 20 0 DDADMM Cc1nc(C)c(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)s1 ZINC000831154388 706645690 /nfs/dbraw/zinc/64/56/90/706645690.db2.gz OKLLISYXQLPXDM-UHFFFAOYSA-N -1 1 321.324 1.510 20 0 DDADMM COc1ccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cc1 ZINC000831162409 706647392 /nfs/dbraw/zinc/64/73/92/706647392.db2.gz JYEUGROQKVLDAK-UHFFFAOYSA-N -1 1 316.279 1.446 20 0 DDADMM CC(C)c1cc(N2CC(C[N-]C(=O)C(F)(F)F)C2)ncn1 ZINC000866294531 706667658 /nfs/dbraw/zinc/66/76/58/706667658.db2.gz RGGYYAXPNYSOJO-UHFFFAOYSA-N -1 1 302.300 1.715 20 0 DDADMM CC1(C[N-]S(=O)(=O)C[C@H]2CCCC2(F)F)OCCCO1 ZINC000831261124 706671877 /nfs/dbraw/zinc/67/18/77/706671877.db2.gz XYJGRQRKWXYECV-SNVBAGLBSA-N -1 1 313.366 1.494 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cccc2Cl)[C@H](C)CN1CCO ZINC000841457101 702456923 /nfs/dbraw/zinc/45/69/23/702456923.db2.gz NUSZFBSMOSBLDT-GHMZBOCLSA-N -1 1 312.797 1.573 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC000841533320 702483456 /nfs/dbraw/zinc/48/34/56/702483456.db2.gz CKALEWQURJHGHT-JTQLQIEISA-N -1 1 321.757 1.709 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)C1Cc2ccccc2C1 ZINC000841535871 702486032 /nfs/dbraw/zinc/48/60/32/702486032.db2.gz VYVHLUNKGBQFAF-CYBMUJFWSA-N -1 1 309.387 1.025 20 0 DDADMM CSC1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000879415779 706674233 /nfs/dbraw/zinc/67/42/33/706674233.db2.gz CFNZWBXVISLCGD-UHFFFAOYSA-N -1 1 305.407 1.701 20 0 DDADMM CC[C@H](C[C@@H](C)O)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000831270367 706674719 /nfs/dbraw/zinc/67/47/19/706674719.db2.gz VNVRKRSWFZAKNI-BXKDBHETSA-N -1 1 320.393 1.260 20 0 DDADMM Cc1cccc(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)c1 ZINC000869317047 702566242 /nfs/dbraw/zinc/56/62/42/702566242.db2.gz JBLKKXXKZPBKQD-UHFFFAOYSA-N -1 1 317.263 1.790 20 0 DDADMM C[C@H]1OCC[C@@H]1COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000869358079 702580986 /nfs/dbraw/zinc/58/09/86/702580986.db2.gz YPMOYMIFNGZNFW-RKDXNWHRSA-N -1 1 319.404 1.701 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccccc1OC ZINC000866384331 706686578 /nfs/dbraw/zinc/68/65/78/706686578.db2.gz KRQQWHSMQQHCMV-SFHVURJKSA-N -1 1 306.409 1.147 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](C)c1ccccc1 ZINC000866408512 706691726 /nfs/dbraw/zinc/69/17/26/706691726.db2.gz ZTFLDCXCTUFPLP-ZMZPIMSZSA-N -1 1 304.437 1.742 20 0 DDADMM CCCC[C@@H](C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014408 702803513 /nfs/dbraw/zinc/80/35/13/702803513.db2.gz PKARQGACUNJBNA-GFCCVEGCSA-N -1 1 304.456 1.743 20 0 DDADMM CC[C@@H](C)[C@H](C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016198 702803817 /nfs/dbraw/zinc/80/38/17/702803817.db2.gz REVDGNUNLBQPPL-NEPJUHHUSA-N -1 1 304.456 1.599 20 0 DDADMM C/C(=C/C(C)(C)C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016901 702804112 /nfs/dbraw/zinc/80/41/12/702804112.db2.gz XHEDTLSPXQCNOW-BENRWUELSA-N -1 1 316.467 1.909 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C(C2CC2)C2CC2)CCC1 ZINC000843016987 702804376 /nfs/dbraw/zinc/80/43/76/702804376.db2.gz XLVAAHPXMNYNNU-UHFFFAOYSA-N -1 1 314.451 1.353 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2ccc(F)cc2)CCC1 ZINC000843019266 702804771 /nfs/dbraw/zinc/80/47/71/702804771.db2.gz NZOPLYVKQQFETC-UHFFFAOYSA-N -1 1 314.382 1.370 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC12CCOCC2)c1c[nH]nc1Cl ZINC000831395276 706699173 /nfs/dbraw/zinc/69/91/73/706699173.db2.gz XZYQCIKDEATPHJ-SNVBAGLBSA-N -1 1 319.814 1.691 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C3(F)CC3)CC2)n1 ZINC000843868898 702935721 /nfs/dbraw/zinc/93/57/21/702935721.db2.gz DUZXXILEEKYDCP-UHFFFAOYSA-N -1 1 310.329 1.190 20 0 DDADMM CC(C)[C@H](C[N-]S(=O)(=O)N=S(C)(C)=O)c1ccccc1 ZINC000866485898 706710998 /nfs/dbraw/zinc/71/09/98/706710998.db2.gz ZMEOYGSHXBFFIL-ZDUSSCGKSA-N -1 1 318.464 1.988 20 0 DDADMM C[C@H]1CN(Cc2cn(CC(=O)[O-])nn2)CCc2ccc(F)cc21 ZINC000846291259 703262654 /nfs/dbraw/zinc/26/26/54/703262654.db2.gz OOTHSBUSIVLBAP-NSHDSACASA-N -1 1 318.352 1.664 20 0 DDADMM Cn1nnc2c1CC[C@H](NC(=O)c1c([O-])cccc1Cl)C2 ZINC000848311903 703538413 /nfs/dbraw/zinc/53/84/13/703538413.db2.gz KHLRZCOMRPHGEO-QMMMGPOBSA-N -1 1 306.753 1.461 20 0 DDADMM CSC1(C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)CC1 ZINC000848340423 703540709 /nfs/dbraw/zinc/54/07/09/703540709.db2.gz VDYARMGLJBSSOM-RKDXNWHRSA-N -1 1 324.368 1.940 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341511 703541077 /nfs/dbraw/zinc/54/10/77/703541077.db2.gz ZUXKBEAYDYLVIZ-VPOLOUISSA-N -1 1 322.327 1.469 20 0 DDADMM CC(C)(C)O[C@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1 ZINC000848495348 703557908 /nfs/dbraw/zinc/55/79/08/703557908.db2.gz FGVJUQCDJRNOGZ-ZKCHVHJHSA-N -1 1 307.803 1.688 20 0 DDADMM CCC(C)(C)[C@@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000850584681 703737195 /nfs/dbraw/zinc/73/71/95/703737195.db2.gz PHWJOHMKQZDUGO-SNVBAGLBSA-N -1 1 317.393 1.221 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@]2(C)CC2(F)F)o1 ZINC000851261512 703788844 /nfs/dbraw/zinc/78/88/44/703788844.db2.gz QUTNTRRROVFZCN-JTQLQIEISA-N -1 1 309.290 1.390 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)c2cccnc2C)co1 ZINC000851542357 703808275 /nfs/dbraw/zinc/80/82/75/703808275.db2.gz BCTKMPRZMHYKNH-SNVBAGLBSA-N -1 1 324.358 1.809 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC12OCCO2)c1sccc1Cl ZINC000851817736 703863619 /nfs/dbraw/zinc/86/36/19/703863619.db2.gz HZGZKCZDXHECBX-SECBINFHSA-N -1 1 323.823 1.975 20 0 DDADMM CC(=O)OCCCS(=O)(=O)[N-]CC(F)(F)C(C)(C)C ZINC000851856082 703871757 /nfs/dbraw/zinc/87/17/57/703871757.db2.gz HAIMYCFDSKQZSB-UHFFFAOYSA-N -1 1 301.355 1.540 20 0 DDADMM Cc1ccn(C[C@H](C)C(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000869988735 703889667 /nfs/dbraw/zinc/88/96/67/703889667.db2.gz WPHQAIUDSIEXKL-VIFPVBQESA-N -1 1 321.299 1.439 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C[C@H](O)CC(F)(F)F)C1 ZINC000879765808 706785917 /nfs/dbraw/zinc/78/59/17/706785917.db2.gz IDPZEHIJSISYHA-VXNVDRBHSA-N -1 1 322.249 1.443 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H]2C[C@@H](SC)C2)o1 ZINC000870580087 704079014 /nfs/dbraw/zinc/07/90/14/704079014.db2.gz NPJLVNROWDEMRU-OCAPTIKFSA-N -1 1 305.377 1.239 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)/C=C/[C@@H]1CCCO1 ZINC000819285240 704100700 /nfs/dbraw/zinc/10/07/00/704100700.db2.gz ZGIPEKYPGPBLDJ-FYJFLYSWSA-N -1 1 316.361 1.403 20 0 DDADMM CCC(C)(C)CCS(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C ZINC000870813166 704135925 /nfs/dbraw/zinc/13/59/25/704135925.db2.gz KHXSMPOPEPSQEO-LBPRGKRZSA-N -1 1 306.472 1.845 20 0 DDADMM CCOC(=O)[C@H](C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000819858881 704179116 /nfs/dbraw/zinc/17/91/16/704179116.db2.gz MLBMXHNUERYYAG-QMMMGPOBSA-N -1 1 307.318 1.503 20 0 DDADMM O=C(c1cccc2nccn21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000819970069 704194677 /nfs/dbraw/zinc/19/46/77/704194677.db2.gz JQNNNZIEPHNYOM-JTQLQIEISA-N -1 1 313.317 1.443 20 0 DDADMM O=C([N-]OCCCC(F)(F)F)c1cccn2c(=O)[nH]nc12 ZINC000871214058 704244412 /nfs/dbraw/zinc/24/44/12/704244412.db2.gz FYELTEFADFPKBL-UHFFFAOYSA-N -1 1 304.228 1.439 20 0 DDADMM CCOC(=O)[C@H](CC=C(C)C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000871478837 704302007 /nfs/dbraw/zinc/30/20/07/704302007.db2.gz WTUQARREWZETIW-AWEZNQCLSA-N -1 1 319.357 1.979 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCC2(O)CCC2)c1 ZINC000821351361 704395177 /nfs/dbraw/zinc/39/51/77/704395177.db2.gz ASXYVIGDTIKUPD-NRFANRHFSA-N -1 1 312.387 1.651 20 0 DDADMM CN(Cc1ccsc1)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821616011 704427259 /nfs/dbraw/zinc/42/72/59/704427259.db2.gz YTPBDPBVGVKGMQ-UHFFFAOYSA-N -1 1 318.366 1.326 20 0 DDADMM CN(Cc1ccsc1)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821616011 704427261 /nfs/dbraw/zinc/42/72/61/704427261.db2.gz YTPBDPBVGVKGMQ-UHFFFAOYSA-N -1 1 318.366 1.326 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)[N-]O[C@@H](CO)C(C)C)c[nH]c12 ZINC000857285529 704574292 /nfs/dbraw/zinc/57/42/92/704574292.db2.gz FGTZMHHXPRKROO-ZDUSSCGKSA-N -1 1 304.346 1.515 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@]3(C)C[C@H]4C[C@H]4C3)nc2n1 ZINC000857683432 704613240 /nfs/dbraw/zinc/61/32/40/704613240.db2.gz DLHKQWLYKYENOG-IEVQFXQGSA-N -1 1 301.350 1.355 20 0 DDADMM O=c1nc(N2CCN(Cc3cscn3)CC2)cc(Cl)[n-]1 ZINC000858361158 704700275 /nfs/dbraw/zinc/70/02/75/704700275.db2.gz JDMMBTTUCFHAGX-UHFFFAOYSA-N -1 1 311.798 1.614 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@@H](CO)Nc2cc(Cl)[n-]c(=O)n2)C1 ZINC000858621828 704735934 /nfs/dbraw/zinc/73/59/34/704735934.db2.gz COQQTEREMULREP-IVZWLZJFSA-N -1 1 301.774 1.814 20 0 DDADMM CS(=O)(=O)C1(CNc2cc(Cl)[n-]c(=O)n2)CCCCC1 ZINC000858627178 704736794 /nfs/dbraw/zinc/73/67/94/704736794.db2.gz KDNCDPBPGMQWSP-UHFFFAOYSA-N -1 1 319.814 1.995 20 0 DDADMM CC(C)(CO)[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C1CC1 ZINC000867283669 706947581 /nfs/dbraw/zinc/94/75/81/706947581.db2.gz LXBJRTDFYCUZEF-LLVKDONJSA-N -1 1 320.361 1.435 20 0 DDADMM CC(C)(C)OC(=O)N1CC(S(=O)(=O)[N-]CC(C)(F)F)C1 ZINC000867309134 706955233 /nfs/dbraw/zinc/95/52/33/706955233.db2.gz SUKVBYBCJOJHIN-UHFFFAOYSA-N -1 1 314.354 1.180 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC(=O)C2CCCC2)c1Cl ZINC000859353608 704874520 /nfs/dbraw/zinc/87/45/20/704874520.db2.gz IREHGJVFFZDIQG-UHFFFAOYSA-N -1 1 305.787 1.111 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCCC1 ZINC000867373246 706979034 /nfs/dbraw/zinc/97/90/34/706979034.db2.gz UQLMBXCOXHTSNU-UHFFFAOYSA-N -1 1 320.361 1.845 20 0 DDADMM CC(C)[C@]1(C)C[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867386182 706983641 /nfs/dbraw/zinc/98/36/41/706983641.db2.gz RNSYIZHZSXWOTJ-XCBNKYQSSA-N -1 1 322.228 1.885 20 0 DDADMM CO[C@@H]1C[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C12CCC2 ZINC000867385947 706983789 /nfs/dbraw/zinc/98/37/89/706983789.db2.gz DJZHTTCNBPZBAF-WDEREUQCSA-N -1 1 322.452 1.040 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@H]2CC[C@@H](C3CC3)O2)[n-]1 ZINC000822547058 704972063 /nfs/dbraw/zinc/97/20/63/704972063.db2.gz FZCUUWQZJJSFBW-PWSUYJOCSA-N -1 1 307.350 1.873 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@H]2CC[C@@H](C3CC3)O2)n1 ZINC000822547058 704972067 /nfs/dbraw/zinc/97/20/67/704972067.db2.gz FZCUUWQZJJSFBW-PWSUYJOCSA-N -1 1 307.350 1.873 20 0 DDADMM CCOC(=O)[C@H](Cc1ccc(F)cc1)OC(=O)c1cn[n-]n1 ZINC000859692460 704976295 /nfs/dbraw/zinc/97/62/95/704976295.db2.gz CWPPWLCHINMREF-LBPRGKRZSA-N -1 1 307.281 1.275 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2noc(C3CCC3)n2)[n-]1 ZINC000859699633 704978472 /nfs/dbraw/zinc/97/84/72/704978472.db2.gz HMJQKKPKGJTILB-UHFFFAOYSA-N -1 1 305.290 1.809 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H](C)c1ccccn1 ZINC000867496810 707019140 /nfs/dbraw/zinc/01/91/40/707019140.db2.gz MBOWWMHWSZCRIJ-XTZNXHDOSA-N -1 1 305.425 1.137 20 0 DDADMM CC(C)c1cc([C@H]2CCCN(C(=O)NCCC(=O)[O-])C2)n[nH]1 ZINC000874403853 705042380 /nfs/dbraw/zinc/04/23/80/705042380.db2.gz WBDKMEPERCVTEJ-NSHDSACASA-N -1 1 308.382 1.897 20 0 DDADMM C[C@@H](CNCc1cn(CC(=O)[O-])nn1)Oc1cccc(Cl)c1 ZINC000902323266 710716392 /nfs/dbraw/zinc/71/63/92/710716392.db2.gz BJTLUQVICGDRLD-JTQLQIEISA-N -1 1 324.768 1.573 20 0 DDADMM COC(=O)c1ccc(F)c(S(=O)(=O)[N-]CC(C)(C)F)c1 ZINC000867575668 707044272 /nfs/dbraw/zinc/04/42/72/707044272.db2.gz ANPHBCPYDFLQJK-UHFFFAOYSA-N -1 1 307.318 1.639 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@]1(C)CC(c2ccccc2)=NO1 ZINC000823130381 705149012 /nfs/dbraw/zinc/14/90/12/705149012.db2.gz MPWGFQMDVGNJRR-HNNXBMFYSA-N -1 1 300.318 1.946 20 0 DDADMM CC(C)(O)CO[N-]C(=O)Cc1sccc1Br ZINC000834618689 707094546 /nfs/dbraw/zinc/09/45/46/707094546.db2.gz ZHMZOHQCHGRWKU-UHFFFAOYSA-N -1 1 308.197 1.872 20 0 DDADMM CC(C)[C@@H]1C[C@H]1CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875643876 705460274 /nfs/dbraw/zinc/46/02/74/705460274.db2.gz ZRYHEDUQKGLTME-QWRGUYRKSA-N -1 1 321.343 1.598 20 0 DDADMM CC[C@H]1CCCC[C@H]1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825193405 705621469 /nfs/dbraw/zinc/62/14/69/705621469.db2.gz LJHSSIBUTHIYSU-WDEREUQCSA-N -1 1 318.381 1.791 20 0 DDADMM CC[C@H]1CCCC[C@H]1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825193405 705621471 /nfs/dbraw/zinc/62/14/71/705621471.db2.gz LJHSSIBUTHIYSU-WDEREUQCSA-N -1 1 318.381 1.791 20 0 DDADMM Cn1nccc1[C@H](CO)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876396582 705708517 /nfs/dbraw/zinc/70/85/17/705708517.db2.gz JUXAPNJJSWZVRF-NSHDSACASA-N -1 1 324.768 1.312 20 0 DDADMM Cc1nc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)[nH]c1C ZINC000862417406 705713689 /nfs/dbraw/zinc/71/36/89/705713689.db2.gz BTVWIQSOMGDTPF-KCJUWKMLSA-N -1 1 318.343 1.915 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@@H](C)C2)c1-c1nnn[n-]1 ZINC000826346750 705794353 /nfs/dbraw/zinc/79/43/53/705794353.db2.gz UYUKIKVPGOHXEC-PSASIEDQSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@@H](C)C2)c1-c1nn[n-]n1 ZINC000826346750 705794356 /nfs/dbraw/zinc/79/43/56/705794356.db2.gz UYUKIKVPGOHXEC-PSASIEDQSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)NC/C=C\c2ccccc2)c1-c1nnn[n-]1 ZINC000826347315 705794622 /nfs/dbraw/zinc/79/46/22/705794622.db2.gz MQCBSKRLXILQBK-YVMONPNESA-N -1 1 324.344 1.535 20 0 DDADMM Cc1onc(CC(=O)NC/C=C\c2ccccc2)c1-c1nn[n-]n1 ZINC000826347315 705794625 /nfs/dbraw/zinc/79/46/25/705794625.db2.gz MQCBSKRLXILQBK-YVMONPNESA-N -1 1 324.344 1.535 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1(C)C ZINC000826764872 705868006 /nfs/dbraw/zinc/86/80/06/705868006.db2.gz SKMMHBXYUIUGAC-VHSXEESVSA-N -1 1 306.391 1.561 20 0 DDADMM CCCS(=O)(=O)CCN1CC[C@H](C(=O)[O-])[C@@H]2CCC[C@@H]21 ZINC000863476230 705936665 /nfs/dbraw/zinc/93/66/65/705936665.db2.gz XWLBKEADMXTAOC-AVGNSLFASA-N -1 1 303.424 1.386 20 0 DDADMM O=C([O-])C1=CC[C@H](NC(=O)[C@@H]2CCCN2Cc2ccccc2)C1 ZINC000864166669 706087235 /nfs/dbraw/zinc/08/72/35/706087235.db2.gz ZSUBJQJAVRIXST-HOTGVXAUSA-N -1 1 314.385 1.941 20 0 DDADMM CC(C)Cc1ncc(C(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC000828416964 706175891 /nfs/dbraw/zinc/17/58/91/706175891.db2.gz PUCFQMKDYZYTLG-UHFFFAOYSA-N -1 1 320.422 1.875 20 0 DDADMM CCc1nc(CN2CC(C[N-]C(=O)C(F)(F)F)C2)cs1 ZINC000877777341 706207504 /nfs/dbraw/zinc/20/75/04/706207504.db2.gz WSAADCSSXDYOIE-UHFFFAOYSA-N -1 1 307.341 1.816 20 0 DDADMM CCOC(=O)C1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000828749633 706225409 /nfs/dbraw/zinc/22/54/09/706225409.db2.gz KERNPCHVJCUTFX-UHFFFAOYSA-N -1 1 320.374 1.091 20 0 DDADMM O=c1[n-]c(Cn2cc(-c3ccccc3)nn2)nc2c1COCC2 ZINC000864654800 706225611 /nfs/dbraw/zinc/22/56/11/706225611.db2.gz UBAQQBBITJVAMC-UHFFFAOYSA-N -1 1 309.329 1.562 20 0 DDADMM CN(CCOc1ccc(F)cc1)Cc1cn(C)nc1C(=O)[O-] ZINC000864777046 706259514 /nfs/dbraw/zinc/25/95/14/706259514.db2.gz DKDPZHXKCDYVPC-UHFFFAOYSA-N -1 1 307.325 1.768 20 0 DDADMM CCO[C@H]1COCC[C@@H]1CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000864974867 706316574 /nfs/dbraw/zinc/31/65/74/706316574.db2.gz MAVPFOYQMIVEEO-SFYZADRCSA-N -1 1 322.287 1.594 20 0 DDADMM CCO[C@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)[C@H]1CCOC1 ZINC000864975317 706317234 /nfs/dbraw/zinc/31/72/34/706317234.db2.gz SGJZPGDXNQBPMT-BQBZGAKWSA-N -1 1 308.260 1.204 20 0 DDADMM CC(C)OC(=O)[C@H](C)N(C)Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878190989 706320610 /nfs/dbraw/zinc/32/06/10/706320610.db2.gz MSYQPVYWLHZCTP-JTQLQIEISA-N -1 1 309.366 1.027 20 0 DDADMM CC(C)OC(=O)[C@@H]1CCCN1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878250665 706338964 /nfs/dbraw/zinc/33/89/64/706338964.db2.gz MJQBENTYGOIYQT-ZDUSSCGKSA-N -1 1 321.377 1.171 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=S)NCc2cc(C)on2)n1 ZINC000865234492 706384808 /nfs/dbraw/zinc/38/48/08/706384808.db2.gz XVQLIAZYZLKIOT-UHFFFAOYSA-N -1 1 308.367 1.081 20 0 DDADMM COCCN(C(=O)C(=O)c1ccc([O-])cc1)C1CCOCC1 ZINC000829750241 706389173 /nfs/dbraw/zinc/38/91/73/706389173.db2.gz SLMLRHPBIKJBPJ-UHFFFAOYSA-N -1 1 307.346 1.229 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-]C1(CO)CCC1)C1CCCCC1 ZINC000882041518 707476154 /nfs/dbraw/zinc/47/61/54/707476154.db2.gz VYMVDSIUCBTNOR-IBGZPJMESA-N -1 1 324.468 1.166 20 0 DDADMM C[C@@H]1CO[C@@H](c2ccccc2)[C@@H](C)N1C(=O)CCc1nn[n-]n1 ZINC000865703944 706500567 /nfs/dbraw/zinc/50/05/67/706500567.db2.gz OTCSBNQVPAVIFI-XHBSWPGZSA-N -1 1 315.377 1.509 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1sccc1Cl)OCC1CC1 ZINC000830349630 706500566 /nfs/dbraw/zinc/50/05/66/706500566.db2.gz ZONAMJWKFQKSKJ-UHFFFAOYSA-N -1 1 309.796 1.633 20 0 DDADMM CCO[C@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C12CCC2 ZINC000830687394 706562261 /nfs/dbraw/zinc/56/22/61/706562261.db2.gz CHRBHHPURYTDJP-ZJUUUORDSA-N -1 1 319.814 1.689 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1[C@@H]2Cc3ccc(Br)cc3[C@@H]21 ZINC000865934814 706569050 /nfs/dbraw/zinc/56/90/50/706569050.db2.gz XJJPIYFSQNADRV-KXUCPTDWSA-N -1 1 320.150 1.487 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1[C@@H]2Cc3ccc(Br)cc3[C@@H]21 ZINC000865934814 706569052 /nfs/dbraw/zinc/56/90/52/706569052.db2.gz XJJPIYFSQNADRV-KXUCPTDWSA-N -1 1 320.150 1.487 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CC1)c1cc(Cl)cnc1Cl ZINC000866850508 706825072 /nfs/dbraw/zinc/82/50/72/706825072.db2.gz USOZVGYGNVRMCP-QMMMGPOBSA-N -1 1 311.190 1.438 20 0 DDADMM O=Cc1ccc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000866901242 706839704 /nfs/dbraw/zinc/83/97/04/706839704.db2.gz AXYCHPANXWFZLL-WBTMPAOCSA-N -1 1 311.345 1.432 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CCC1)c1ccc(Cl)nc1F ZINC000867213960 706927186 /nfs/dbraw/zinc/92/71/86/706927186.db2.gz DGCVYQVUHRFIAE-VIFPVBQESA-N -1 1 322.789 1.704 20 0 DDADMM Cc1csc2nc(CC(=O)N(C)C[C@@H](C)c3nn[n-]n3)cn12 ZINC000867380091 706981469 /nfs/dbraw/zinc/98/14/69/706981469.db2.gz BPUJKCNOSUXWHC-MRVPVSSYSA-N -1 1 319.394 1.022 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc2cccc(O)c21)c1nn[n-]n1 ZINC000867383549 706982997 /nfs/dbraw/zinc/98/29/97/706982997.db2.gz XPQNRUBYQJIWFU-JTQLQIEISA-N -1 1 311.345 1.934 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CCOC1(C)C ZINC000867534336 707031992 /nfs/dbraw/zinc/03/19/92/707031992.db2.gz PGMCEQABXOMCBG-SNVBAGLBSA-N -1 1 312.457 1.144 20 0 DDADMM O=S(=O)([N-]CC1CC=CC1)c1nc[nH]c1Br ZINC000867554780 707037969 /nfs/dbraw/zinc/03/79/69/707037969.db2.gz CLQATQYTGFBGPA-UHFFFAOYSA-N -1 1 306.185 1.417 20 0 DDADMM CO[C@H](C(=O)Nc1nc(Cl)ccc1[O-])C(=O)OC(C)(C)C ZINC000867713453 707082758 /nfs/dbraw/zinc/08/27/58/707082758.db2.gz SOPTWDDUGPVCMQ-SECBINFHSA-N -1 1 316.741 1.736 20 0 DDADMM Cc1cc(C[N-]C(=O)C(F)(F)F)cc(NCC(=O)N(C)C)c1 ZINC000834662964 707099906 /nfs/dbraw/zinc/09/99/06/707099906.db2.gz DMWOAJAFVAMXRQ-UHFFFAOYSA-N -1 1 317.311 1.674 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H](C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000834825262 707131433 /nfs/dbraw/zinc/13/14/33/707131433.db2.gz JDIQGICBOZVNHN-GHMZBOCLSA-N -1 1 310.781 1.954 20 0 DDADMM CCCCNC(=O)[C@@H](C)O[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871797877 707207916 /nfs/dbraw/zinc/20/79/16/707207916.db2.gz HNCPJXAWSJPREA-CYBMUJFWSA-N -1 1 322.405 1.980 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)Nc1ccc(/C=C/C(=O)[O-])cc1 ZINC000909098779 712936711 /nfs/dbraw/zinc/93/67/11/712936711.db2.gz NDILRQPBLLEKMX-LVDDQXARSA-N -1 1 318.373 1.691 20 0 DDADMM CCc1[n-]n(-c2ccccc2S(=O)(=O)N(C)C)c(=O)c1F ZINC000871957654 707256024 /nfs/dbraw/zinc/25/60/24/707256024.db2.gz YEUJXYKBOWBMGA-LBPRGKRZSA-N -1 1 313.354 1.388 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)c3ccnn3C)cnc2n1 ZINC000881443056 707259621 /nfs/dbraw/zinc/25/96/21/707259621.db2.gz UQQWCMLLRZJUMQ-JTQLQIEISA-N -1 1 311.345 1.868 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)c1ccnn1C)c2=O ZINC000881443056 707259626 /nfs/dbraw/zinc/25/96/26/707259626.db2.gz UQQWCMLLRZJUMQ-JTQLQIEISA-N -1 1 311.345 1.868 20 0 DDADMM CCC1(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N2CCCCC2)CC1 ZINC000835533535 707273288 /nfs/dbraw/zinc/27/32/88/707273288.db2.gz LCNDMDJQPYUFNF-CYBMUJFWSA-N -1 1 316.467 1.886 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1N(Cc1nnnn1-c1ccccc1)CC2 ZINC000872170727 707313229 /nfs/dbraw/zinc/31/32/29/707313229.db2.gz GMDHGMAIXHSHEL-CJNGLKHVSA-N -1 1 313.361 1.492 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCC(C)(F)F ZINC000872491075 707442822 /nfs/dbraw/zinc/44/28/22/707442822.db2.gz ITGSIWUPJZZAEY-QGZVFWFLSA-N -1 1 306.400 1.762 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-][C@@]1(C)CC(C)(C)OC1=O)C1CC1 ZINC000882225022 707547074 /nfs/dbraw/zinc/54/70/74/707547074.db2.gz RMWKXCNGNGTICC-FZMZJTMJSA-N -1 1 319.423 1.205 20 0 DDADMM CCC[C@H]1CCCC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000837108293 707579625 /nfs/dbraw/zinc/57/96/25/707579625.db2.gz PVQZORYFARFBOL-KBPBESRZSA-N -1 1 321.421 1.649 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CC1CSC1 ZINC000882405998 707625017 /nfs/dbraw/zinc/62/50/17/707625017.db2.gz KUBCQBVTLQJBPB-INIZCTEOSA-N -1 1 300.471 1.080 20 0 DDADMM COCCOC[C@@H](C)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872932594 707660529 /nfs/dbraw/zinc/66/05/29/707660529.db2.gz NQDYVZSYDRZNFK-SNVBAGLBSA-N -1 1 316.785 1.896 20 0 DDADMM COCC(COC)OC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000909246396 712972558 /nfs/dbraw/zinc/97/25/58/712972558.db2.gz UQSOPUODQLLTAI-UHFFFAOYSA-N -1 1 324.308 1.535 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2C[C@@H]3CCCC[C@H]3NC2=O)[n-]1 ZINC000837740297 707734274 /nfs/dbraw/zinc/73/42/74/707734274.db2.gz MESVSQKBYMACJP-OPQQBVKSSA-N -1 1 320.345 1.405 20 0 DDADMM O=C([O-])C[C@@H]1CN(C(=O)c2cc(C3CCCCC3)[nH]n2)CCO1 ZINC000909265608 712977840 /nfs/dbraw/zinc/97/78/40/712977840.db2.gz MYBVCOBTLROUPP-GFCCVEGCSA-N -1 1 321.377 1.773 20 0 DDADMM CC[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@H]1CCCOC1 ZINC000883153161 707942404 /nfs/dbraw/zinc/94/24/04/707942404.db2.gz USSSASSYJPMPOX-WCQYABFASA-N -1 1 315.373 1.858 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cccnc2N(C)C)[n-]1 ZINC000838955756 708006973 /nfs/dbraw/zinc/00/69/73/708006973.db2.gz OKDZESKAUCLICU-UHFFFAOYSA-N -1 1 303.318 1.619 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000896756296 708142733 /nfs/dbraw/zinc/14/27/33/708142733.db2.gz ZXKARKQBKUNHDI-KKGDDVQDSA-N -1 1 324.327 1.688 20 0 DDADMM C[C@@H](COc1ccccc1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909405786 713009999 /nfs/dbraw/zinc/00/99/99/713009999.db2.gz YQTBGHMMSLWGJT-KBPBESRZSA-N -1 1 320.389 1.367 20 0 DDADMM C[C@@H]1CO[C@@H](CO)CN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897013974 708207734 /nfs/dbraw/zinc/20/77/34/708207734.db2.gz IRGTVBBQCJSOPE-ZWNOBZJWSA-N -1 1 317.341 1.473 20 0 DDADMM CC(C)(NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1cccs1 ZINC000909411586 713011414 /nfs/dbraw/zinc/01/14/14/713011414.db2.gz NYTQWTBMVOPYKU-LLVKDONJSA-N -1 1 310.419 1.896 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@@H]2C[C@]23CCOC3)c1 ZINC000884555921 708328390 /nfs/dbraw/zinc/32/83/90/708328390.db2.gz DBWBZDSKJWTBQT-KFVPTDEHSA-N -1 1 324.398 1.773 20 0 DDADMM O=C(C[C@@H]1CCC2(CCC2)O1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000897641193 708403853 /nfs/dbraw/zinc/40/38/53/708403853.db2.gz YGWNJFVJWCSLHY-NEPJUHHUSA-N -1 1 321.377 1.973 20 0 DDADMM Cc1cc([C@@](C)(O)C[N-]S(=O)(=O)c2ccns2)c(C)o1 ZINC000885032570 708449243 /nfs/dbraw/zinc/44/92/43/708449243.db2.gz ZCTDYYBEYXJCEB-LBPRGKRZSA-N -1 1 316.404 1.539 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccns1)[C@@H](O)c1cccc(F)c1 ZINC000885042378 708453611 /nfs/dbraw/zinc/45/36/11/708453611.db2.gz NYDLMXMMPDIENA-QPUJVOFHSA-N -1 1 316.379 1.683 20 0 DDADMM O=S(=O)([N-]CC1(c2ccccn2)CCC1)c1ccns1 ZINC000885186015 708488372 /nfs/dbraw/zinc/48/83/72/708488372.db2.gz RSGHILWAYNKILX-UHFFFAOYSA-N -1 1 309.416 1.938 20 0 DDADMM O=S(=O)([N-]Cc1cccnc1N1CCCC1)c1ccns1 ZINC000885292365 708512132 /nfs/dbraw/zinc/51/21/32/708512132.db2.gz WOVDKFUAIPLVSO-UHFFFAOYSA-N -1 1 324.431 1.617 20 0 DDADMM CCOC(=O)[C@H](CC=C(C)C)[N-]S(=O)(=O)c1ccns1 ZINC000885342096 708523262 /nfs/dbraw/zinc/52/32/62/708523262.db2.gz OJVUSJCMNSOBAG-JTQLQIEISA-N -1 1 318.420 1.709 20 0 DDADMM CCN1CCOC[C@@H]1C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885676317 708595355 /nfs/dbraw/zinc/59/53/55/708595355.db2.gz MTGRRCCRBFCJMY-LLVKDONJSA-N -1 1 302.733 1.844 20 0 DDADMM Cc1nnc(CC(=O)NCCc2c(F)cc([O-])cc2F)s1 ZINC000886268307 708732797 /nfs/dbraw/zinc/73/27/97/708732797.db2.gz LIGIGFBFNJEBPG-UHFFFAOYSA-N -1 1 313.329 1.732 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H]1CCNC(=O)CC1 ZINC000886268468 708732850 /nfs/dbraw/zinc/73/28/50/708732850.db2.gz QDNCREJEWFGMNT-SECBINFHSA-N -1 1 312.316 1.245 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1noc2c1COCC2 ZINC000886269826 708733470 /nfs/dbraw/zinc/73/34/70/708733470.db2.gz IRLBBMFRBAKUSA-UHFFFAOYSA-N -1 1 324.283 1.704 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCSCC1 ZINC000927780056 713054071 /nfs/dbraw/zinc/05/40/71/713054071.db2.gz QAOVAPCIYMEMBB-UHFFFAOYSA-N -1 1 302.346 1.971 20 0 DDADMM C[C@@H]1[C@H](O)CCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927784334 713055178 /nfs/dbraw/zinc/05/51/78/713055178.db2.gz FEWOWZZYVWOXNP-AMIZOPFISA-N -1 1 300.305 1.378 20 0 DDADMM CC[C@H]1C(=O)NCCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000886535910 708774996 /nfs/dbraw/zinc/77/49/96/708774996.db2.gz UOLVMLAPKCHIIW-LBPRGKRZSA-N -1 1 310.781 1.715 20 0 DDADMM Cc1ccc2nc(CSCC(=O)N(C)c3nn[n-]n3)cn2c1 ZINC000912617008 713052482 /nfs/dbraw/zinc/05/24/82/713052482.db2.gz BEZULQIBTCUGQW-UHFFFAOYSA-N -1 1 317.378 1.052 20 0 DDADMM C[C@@H](CC(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccc(F)cc1 ZINC000898754042 708855275 /nfs/dbraw/zinc/85/52/75/708855275.db2.gz SQPLQNZSDUWAFL-VIFPVBQESA-N -1 1 305.309 1.837 20 0 DDADMM C[C@H](CC(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccc(F)cc1 ZINC000898754040 708855460 /nfs/dbraw/zinc/85/54/60/708855460.db2.gz SQPLQNZSDUWAFL-SECBINFHSA-N -1 1 305.309 1.837 20 0 DDADMM O=C(C[C@@H]1CCc2ccccc2C1)NCc1nc([O-])cc(=O)[nH]1 ZINC000898757110 708856245 /nfs/dbraw/zinc/85/62/45/708856245.db2.gz OXINRVWWELIATK-LLVKDONJSA-N -1 1 313.357 1.699 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2cc(F)ccc2[O-])CCS1(=O)=O ZINC000887179439 708981918 /nfs/dbraw/zinc/98/19/18/708981918.db2.gz HNSASCORIRHEPL-SCZZXKLOSA-N -1 1 301.339 1.227 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc(Cl)cc2[O-])CCS1(=O)=O ZINC000887190655 708986398 /nfs/dbraw/zinc/98/63/98/708986398.db2.gz NWIXGGLDGYWLKR-PSASIEDQSA-N -1 1 317.794 1.741 20 0 DDADMM C[C@@H](C(=O)[O-])N(C(=O)[C@H](N)Cc1cc2ccccc2o1)C1CC1 ZINC000887398132 709036163 /nfs/dbraw/zinc/03/61/63/709036163.db2.gz PYZMVXCEJPPGKT-IINYFYTJSA-N -1 1 316.357 1.767 20 0 DDADMM C[C@@H]1C[C@]2(CC[C@H](CNC(=O)c3c([O-])cccc3F)O2)CO1 ZINC000899480563 709094285 /nfs/dbraw/zinc/09/42/85/709094285.db2.gz UICKZFFBRFWLHS-GLKRBJQHSA-N -1 1 309.337 1.988 20 0 DDADMM C[C@@H]1C[C@]2(CC[C@@H](CNC(=O)c3ccc([O-])cc3F)O2)CO1 ZINC000899481799 709094720 /nfs/dbraw/zinc/09/47/20/709094720.db2.gz XUQUBVDKMAQCMR-RSAASHCRSA-N -1 1 309.337 1.988 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@@H]([C@H]4CCCO4)C3)ccnc1-2 ZINC000888055639 709202340 /nfs/dbraw/zinc/20/23/40/709202340.db2.gz CCFWMMSFWJUOHV-BXUZGUMPSA-N -1 1 315.377 1.375 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC(=O)N2CCC[C@H]21 ZINC000888159816 709227733 /nfs/dbraw/zinc/22/77/33/709227733.db2.gz YAQSJXCHIDCWPV-CYBMUJFWSA-N -1 1 308.765 1.769 20 0 DDADMM O=C(CNC(=O)c1c([O-])cnc2c(F)cccc21)NC1CC1 ZINC000899990041 709260163 /nfs/dbraw/zinc/26/01/63/709260163.db2.gz DRWSGDCGYNUFGP-UHFFFAOYSA-N -1 1 303.293 1.088 20 0 DDADMM COCCn1cc(CN[C@H]2CCCn3nc(C(=O)[O-])cc32)cn1 ZINC000900073925 709281924 /nfs/dbraw/zinc/28/19/24/709281924.db2.gz OAEMUGHKOQGGJK-LBPRGKRZSA-N -1 1 319.365 1.049 20 0 DDADMM CC[C@@](C)(NC(=O)c1ccc(C(F)(F)F)cc1[O-])C(N)=O ZINC000888548554 709338070 /nfs/dbraw/zinc/33/80/70/709338070.db2.gz UTFMBCJZNJSDNE-GFCCVEGCSA-N -1 1 304.268 1.795 20 0 DDADMM C[C@@]1([C@H]2CCCN(C(=O)c3cncc([O-])c3)C2)COC(=O)N1 ZINC000928023400 713105503 /nfs/dbraw/zinc/10/55/03/713105503.db2.gz PVQUUXWJJRYUJB-NHYWBVRUSA-N -1 1 305.334 1.138 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2cccc3cccnc32)C1 ZINC000909540016 709507290 /nfs/dbraw/zinc/50/72/90/709507290.db2.gz JUGQHCKWMANBPL-CYBMUJFWSA-N -1 1 313.357 1.970 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000912860107 713109617 /nfs/dbraw/zinc/10/96/17/713109617.db2.gz NNDRQWCJYOQTPA-JTQLQIEISA-N -1 1 313.333 1.702 20 0 DDADMM CCC[C@@H](NC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1)C(=O)[O-] ZINC000900287832 709522067 /nfs/dbraw/zinc/52/20/67/709522067.db2.gz RXFLPGUOMPXZOK-RWMBFGLXSA-N -1 1 315.414 1.038 20 0 DDADMM CSCC[C@H](NC(=O)c1cccn1C(C)C)c1nn[n-]n1 ZINC000912861163 713109988 /nfs/dbraw/zinc/10/99/88/713109988.db2.gz DXVHDUBDPDKXDE-JTQLQIEISA-N -1 1 308.411 1.806 20 0 DDADMM CC(C)N1CCC[C@@H]1C(=O)Nc1cnn(C(C)(C)C(=O)[O-])c1 ZINC000909596040 709534357 /nfs/dbraw/zinc/53/43/57/709534357.db2.gz GCLKJABWCFPMNJ-GFCCVEGCSA-N -1 1 308.382 1.514 20 0 DDADMM COc1cc(C(=O)[O-])ccc1CCNC(=O)[C@@H]1CCCCN1C ZINC000909629867 709548331 /nfs/dbraw/zinc/54/83/31/709548331.db2.gz XMNSGRUJJTVUNM-AWEZNQCLSA-N -1 1 320.389 1.536 20 0 DDADMM O=C(N[C@H]1c2ccccc2OC[C@H]1CO)c1cncc([O-])c1 ZINC000889572817 709549695 /nfs/dbraw/zinc/54/96/95/709549695.db2.gz KEVFAPITGZLPNO-IAQYHMDHSA-N -1 1 300.314 1.259 20 0 DDADMM Cc1cc(CC(=O)NC[C@@H](C(=O)[O-])c2ccc(F)cc2)[nH]n1 ZINC000909704265 709588248 /nfs/dbraw/zinc/58/82/48/709588248.db2.gz SJUIMKOYTXLAAO-CYBMUJFWSA-N -1 1 305.309 1.384 20 0 DDADMM CC1(C)C[C@H](NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C(C)(C)O1 ZINC000909724295 709597074 /nfs/dbraw/zinc/59/70/74/709597074.db2.gz POKBRNLLEXEZEE-NEPJUHHUSA-N -1 1 312.410 1.245 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)N[C@H]2CSc3ccccc32)C1 ZINC000909725683 709597222 /nfs/dbraw/zinc/59/72/22/709597222.db2.gz PSYWFNHRKGSDKS-AAEUAGOBSA-N -1 1 320.414 1.746 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2CSc3ccccc32)C1 ZINC000909725683 709597226 /nfs/dbraw/zinc/59/72/26/709597226.db2.gz PSYWFNHRKGSDKS-AAEUAGOBSA-N -1 1 320.414 1.746 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1C(=O)c1cn[nH]c1-c1ccccn1 ZINC000909738390 709601513 /nfs/dbraw/zinc/60/15/13/709601513.db2.gz SUQOCVIJZQIXFP-JTQLQIEISA-N -1 1 300.318 1.551 20 0 DDADMM Cc1cccc([C@@H](NC(=O)CCc2cnc[nH]2)C(=O)[O-])c1C ZINC000909794450 709623985 /nfs/dbraw/zinc/62/39/85/709623985.db2.gz GUANDTYBDAYHRV-OAHLLOKOSA-N -1 1 301.346 1.901 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](C(=O)[O-])c2cccc(C)c2C)[nH]n1 ZINC000909795828 709624903 /nfs/dbraw/zinc/62/49/03/709624903.db2.gz MIHSFFGIUWZKSL-OAHLLOKOSA-N -1 1 301.346 1.820 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CC[C@H](C)OC)[n-]c1=O ZINC000889789332 709636986 /nfs/dbraw/zinc/63/69/86/709636986.db2.gz CQUSFISJNDWJLO-WDEREUQCSA-N -1 1 309.366 1.669 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H](C)OCC2CC2)[n-]c1=O ZINC000889793875 709638661 /nfs/dbraw/zinc/63/86/61/709638661.db2.gz DMVWZBSHGGILBG-CMPLNLGQSA-N -1 1 321.377 1.669 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2CCCS2)[n-]c1=O ZINC000889792473 709639000 /nfs/dbraw/zinc/63/90/00/709639000.db2.gz ZNSVTDCCYHZYMY-ONGXEEELSA-N -1 1 309.391 1.750 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cnc(C)s2)[n-]c1=O ZINC000889794996 709639338 /nfs/dbraw/zinc/63/93/38/709639338.db2.gz UBADQFGGGNKMIR-VIFPVBQESA-N -1 1 320.374 1.933 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cccc(O)c2)[n-]c1=O ZINC000889795272 709639598 /nfs/dbraw/zinc/63/95/98/709639598.db2.gz JMEJNMPHNVURFD-LBPRGKRZSA-N -1 1 315.329 1.874 20 0 DDADMM C[C@@H](NC(=O)C1(N(C)C)CC1)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909825972 709642651 /nfs/dbraw/zinc/64/26/51/709642651.db2.gz YRWPYTPJIAWTIR-TZMCWYRMSA-N -1 1 304.390 1.529 20 0 DDADMM Cc1ccc2c(c1)[C@H](NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)CC2 ZINC000909900347 709678666 /nfs/dbraw/zinc/67/86/66/709678666.db2.gz GWHYVQKKDPOFDQ-GDBMZVCRSA-N -1 1 316.401 1.895 20 0 DDADMM C[S@@](=O)CCCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900648628 709694854 /nfs/dbraw/zinc/69/48/54/709694854.db2.gz IMGFEIMPFYVCFN-OAQYLSRUSA-N -1 1 310.350 1.578 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCc2c[nH]nn2)[C@H]2CCCC[C@@H]12 ZINC000909965160 709711615 /nfs/dbraw/zinc/71/16/15/709711615.db2.gz XUPUWFRWICGZDK-XQQFMLRXSA-N -1 1 306.366 1.229 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCc2cnn[nH]2)[C@H]2CCCC[C@@H]12 ZINC000909965160 709711617 /nfs/dbraw/zinc/71/16/17/709711617.db2.gz XUPUWFRWICGZDK-XQQFMLRXSA-N -1 1 306.366 1.229 20 0 DDADMM CC[C@H](C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1)[C@@H](C)O ZINC000900791961 709760920 /nfs/dbraw/zinc/76/09/20/709760920.db2.gz KREXERQCDACTLD-OUAUKWLOSA-N -1 1 309.366 1.261 20 0 DDADMM Cc1nc(C(=O)[N-]c2nc(-c3cnn(C)c3)ns2)c(C)o1 ZINC000900795055 709761797 /nfs/dbraw/zinc/76/17/97/709761797.db2.gz GTHMDEZMJSNCJB-UHFFFAOYSA-N -1 1 304.335 1.796 20 0 DDADMM Cc1ccc(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1O ZINC000910169219 709796173 /nfs/dbraw/zinc/79/61/73/709796173.db2.gz AYRFWWYLCLGBCH-CYBMUJFWSA-N -1 1 320.389 1.251 20 0 DDADMM O=C([O-])[C@H]1c2ccoc2CCN1C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000910204110 709812808 /nfs/dbraw/zinc/81/28/08/709812808.db2.gz AGRVMLRAPUDVEM-LKFCYVNXSA-N -1 1 315.329 1.318 20 0 DDADMM C[C@@](O)(CNC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccsc1 ZINC000900899248 709817813 /nfs/dbraw/zinc/81/78/13/709817813.db2.gz GVVMSGUENAEAJN-OAHLLOKOSA-N -1 1 319.386 1.759 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)[C@@H]2CCC[C@@H]21 ZINC000910247983 709839079 /nfs/dbraw/zinc/83/90/79/709839079.db2.gz QGFLGZKXBOYJAD-RTWAVKEYSA-N -1 1 317.389 1.616 20 0 DDADMM O=C([O-])c1cncnc1NC1CCN(Cc2ccncc2)CC1 ZINC000910345227 709903770 /nfs/dbraw/zinc/90/37/70/709903770.db2.gz VPXJIQVPGGCVNM-UHFFFAOYSA-N -1 1 313.361 1.646 20 0 DDADMM C[C@@H](CCNC(=O)c1c([O-])cnc2c(F)cccc21)[S@@](C)=O ZINC000901111929 709930494 /nfs/dbraw/zinc/93/04/94/709930494.db2.gz ZVRABNOYFJYSIT-GTUYJWLHSA-N -1 1 324.377 1.966 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CC[C@@H](O)[C@H](F)C1 ZINC000890680317 709948705 /nfs/dbraw/zinc/94/87/05/709948705.db2.gz HHHFQQNUWYRBPX-GHMZBOCLSA-N -1 1 308.284 1.624 20 0 DDADMM CCOc1cc(C(=O)N2CC[C@H](O)[C@H](F)C2)cc(Cl)c1[O-] ZINC000890710470 709967518 /nfs/dbraw/zinc/96/75/18/709967518.db2.gz WLRNMOWXQWRANI-MNOVXSKESA-N -1 1 317.744 1.989 20 0 DDADMM O=C([O-])[C@@H]1[C@H](CNC(=O)c2cc(F)cc3nc[nH]c32)C1(F)F ZINC000910495390 709972710 /nfs/dbraw/zinc/97/27/10/709972710.db2.gz KQVZKLKJALIJGY-CBAPKCEASA-N -1 1 313.235 1.398 20 0 DDADMM CN(CC(=O)N[C@@](C)(Cc1ccc(F)cc1)C(=O)[O-])C1CCC1 ZINC000910513346 709978135 /nfs/dbraw/zinc/97/81/35/709978135.db2.gz RZLKJVNOKZKZMM-KRWDZBQOSA-N -1 1 322.380 1.812 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C[C@@H]1O ZINC000901241735 709981239 /nfs/dbraw/zinc/98/12/39/709981239.db2.gz OWSGBZVBZFPJPG-RNCFNFMXSA-N -1 1 304.321 1.922 20 0 DDADMM O=C([O-])CCC1CCN(Cc2cc3c(cn2)OCCO3)CC1 ZINC000901496747 710057045 /nfs/dbraw/zinc/05/70/45/710057045.db2.gz ZDGXNZDHBBYLHZ-UHFFFAOYSA-N -1 1 306.362 1.930 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1COC[C@H]1C1CC1 ZINC000891062397 710076657 /nfs/dbraw/zinc/07/66/57/710076657.db2.gz YLINASXXIKPLIS-RYUDHWBXSA-N -1 1 305.378 1.769 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000901569403 710077851 /nfs/dbraw/zinc/07/78/51/710077851.db2.gz MIVCGYVSUTWIOL-QGZVFWFLSA-N -1 1 304.390 1.484 20 0 DDADMM Cc1c([N-]S(=O)(=O)C[C@@H]2CCCC(C)(C)O2)cnn1C ZINC000901639365 710105074 /nfs/dbraw/zinc/10/50/74/710105074.db2.gz LRUYKERQKSQEAF-NSHDSACASA-N -1 1 301.412 1.818 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)[C@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000901662954 710113068 /nfs/dbraw/zinc/11/30/68/710113068.db2.gz GTRHDUCHYMLQLU-XAGWURHQSA-N -1 1 321.377 1.168 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])[C@@H]1COCCN1CC(F)F ZINC000910982532 710140244 /nfs/dbraw/zinc/14/02/44/710140244.db2.gz LAKBSEXVYYQPBK-JTQLQIEISA-N -1 1 322.258 1.575 20 0 DDADMM O=C([O-])[C@@H](N[C@@H]1CCOC1)c1ccccc1Br ZINC000901749160 710140443 /nfs/dbraw/zinc/14/04/43/710140443.db2.gz QGQQWJFWMFDEFM-KCJUWKMLSA-N -1 1 300.152 1.953 20 0 DDADMM CC(C)(CCC(=O)[O-])C(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000910987043 710142205 /nfs/dbraw/zinc/14/22/05/710142205.db2.gz JEFNWWWHFCXNKG-NWDGAFQWSA-N -1 1 314.451 1.573 20 0 DDADMM COc1cccc(C[C@@H](NCc2nccnc2OC)C(=O)[O-])c1 ZINC000901755314 710142908 /nfs/dbraw/zinc/14/29/08/710142908.db2.gz JJIXTVNROXCPAR-CYBMUJFWSA-N -1 1 317.345 1.279 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(Cc2nc(-c3ccccc3)cs2)C1 ZINC000901903180 710169756 /nfs/dbraw/zinc/16/97/56/710169756.db2.gz RFNWKTGMAYKPGN-OAHLLOKOSA-N -1 1 304.371 1.832 20 0 DDADMM COCc1nc(N2CCN(c3cc(C)ccn3)CC2)cc(=O)[n-]1 ZINC000892944321 710529948 /nfs/dbraw/zinc/52/99/48/710529948.db2.gz IXUQPZAXIHEQHG-UHFFFAOYSA-N -1 1 315.377 1.359 20 0 DDADMM C[C@@H](Oc1ccc(C(F)(F)F)cc1)C(=O)N(C)c1nn[n-]n1 ZINC000892954117 710531511 /nfs/dbraw/zinc/53/15/11/710531511.db2.gz CTYQCADGKIFHKE-SSDOTTSWSA-N -1 1 315.255 1.649 20 0 DDADMM CN(C(=O)c1ccnc(OC2CCCCC2)c1)c1nn[n-]n1 ZINC000892954656 710531867 /nfs/dbraw/zinc/53/18/67/710531867.db2.gz PCZOULQKNBKYJO-UHFFFAOYSA-N -1 1 302.338 1.583 20 0 DDADMM COCc1nc(N2CCC[C@@H](C(=O)OC(C)(C)C)C2)cc(=O)[n-]1 ZINC000893202822 710570441 /nfs/dbraw/zinc/57/04/41/710570441.db2.gz OSFUURJCLNEVGV-LLVKDONJSA-N -1 1 323.393 1.887 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](c3ncc(C(=O)[O-])s3)C2)n[nH]1 ZINC000911039122 710605842 /nfs/dbraw/zinc/60/58/42/710605842.db2.gz FYYNWCVXDYBTIA-QMMMGPOBSA-N -1 1 306.347 1.503 20 0 DDADMM COCc1nc(N[C@@H](CO)Cc2ccc(C)cc2)cc(=O)[n-]1 ZINC000893406318 710641485 /nfs/dbraw/zinc/64/14/85/710641485.db2.gz LACXQNNTUPKNAL-CYBMUJFWSA-N -1 1 303.362 1.653 20 0 DDADMM C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000902162843 710641935 /nfs/dbraw/zinc/64/19/35/710641935.db2.gz WCFYXKHGQCEYNE-MIJXAVMKSA-N -1 1 305.378 1.645 20 0 DDADMM CN(CCNC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000911133256 710651273 /nfs/dbraw/zinc/65/12/73/710651273.db2.gz NSNZUPKDGCSZLW-AWEZNQCLSA-N -1 1 319.405 1.036 20 0 DDADMM C[C@H]1CN(CCCNC(=O)C2(C(=O)[O-])CCCC2)C[C@H](C)O1 ZINC000911150646 710655605 /nfs/dbraw/zinc/65/56/05/710655605.db2.gz PPSWKBKLTUMADV-STQMWFEESA-N -1 1 312.410 1.247 20 0 DDADMM Cc1oc(C(=O)[O-])cc1C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000911150709 710655695 /nfs/dbraw/zinc/65/56/95/710655695.db2.gz QQPIEYWTRUHQOT-PHIMTYICSA-N -1 1 324.377 1.515 20 0 DDADMM O=C([O-])C[C@H](NC(=O)[C@H]1CCCN1C1CCCC1)C(F)(F)F ZINC000911163037 710663690 /nfs/dbraw/zinc/66/36/90/710663690.db2.gz VTLMJMSWBMMXQN-MNOVXSKESA-N -1 1 322.327 1.915 20 0 DDADMM COc1cccc2c1OC[C@H](NCc1cc(C(=O)[O-])no1)C2 ZINC000902224538 710670407 /nfs/dbraw/zinc/67/04/07/710670407.db2.gz YAIMMDCLRRWLNO-SNVBAGLBSA-N -1 1 304.302 1.475 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(C(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC000902304431 710706444 /nfs/dbraw/zinc/70/64/44/710706444.db2.gz CGMCFIXXBAYTAW-OAHLLOKOSA-N -1 1 309.366 1.405 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N(CCC(=O)[O-])Cc1cccnc1 ZINC000911274206 710721769 /nfs/dbraw/zinc/72/17/69/710721769.db2.gz TWBBNZVWKDFPAT-GFCCVEGCSA-N -1 1 316.361 1.487 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)C2(C(=O)[O-])CC3(CCC3)C2)n[nH]1 ZINC000911390816 710774909 /nfs/dbraw/zinc/77/49/09/710774909.db2.gz UCSMGCLLSJTOOJ-SNVBAGLBSA-N -1 1 305.378 1.800 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000902628222 710826145 /nfs/dbraw/zinc/82/61/45/710826145.db2.gz XJMZFGHDWWZJIP-ZBEGNZNMSA-N -1 1 323.393 1.729 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(Cc2cncs2)CC1 ZINC000913411686 713210829 /nfs/dbraw/zinc/21/08/29/713210829.db2.gz PNEMQYMOKBRIIS-UHFFFAOYSA-N -1 1 304.375 1.202 20 0 DDADMM CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)c(C(=O)[O-])o1 ZINC000911573989 710860930 /nfs/dbraw/zinc/86/09/30/710860930.db2.gz NIWJZIUHYQQITQ-NEPJUHHUSA-N -1 1 306.362 1.985 20 0 DDADMM CC[C@H]1C[N@@H+](CC)CCN1C(=O)[C@@H]1CC[C@H](CCC(=O)[O-])O1 ZINC000911573251 710861254 /nfs/dbraw/zinc/86/12/54/710861254.db2.gz POWIOHDBUAIQIH-MJBXVCDLSA-N -1 1 312.410 1.342 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)[C@@H]1CC[C@H](CCC(=O)[O-])O1 ZINC000911573251 710861258 /nfs/dbraw/zinc/86/12/58/710861258.db2.gz POWIOHDBUAIQIH-MJBXVCDLSA-N -1 1 312.410 1.342 20 0 DDADMM COCc1nc(N[C@H]2COc3ccccc3[C@H]2O)cc(=O)[n-]1 ZINC000893897531 710878222 /nfs/dbraw/zinc/87/82/22/710878222.db2.gz LQSVAFVLBJROFW-ZUZCIYMTSA-N -1 1 303.318 1.235 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC(N2CCOCC2)C1 ZINC000911653152 710907704 /nfs/dbraw/zinc/90/77/04/710907704.db2.gz IKZSOQJQAINZKB-UHFFFAOYSA-N -1 1 312.369 1.702 20 0 DDADMM O=C(C=CC1CCOCC1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913438868 713216067 /nfs/dbraw/zinc/21/60/67/713216067.db2.gz XXEMQOUYYGFHBZ-IDTUSYRASA-N -1 1 313.361 1.388 20 0 DDADMM O=C(/C=C\C1CCOCC1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913438868 713216068 /nfs/dbraw/zinc/21/60/68/713216068.db2.gz XXEMQOUYYGFHBZ-IDTUSYRASA-N -1 1 313.361 1.388 20 0 DDADMM CN(C)c1ccnc(CNC(=O)[C@H]2CO[C@@H](CCC(=O)[O-])C2)c1 ZINC000902815861 710913934 /nfs/dbraw/zinc/91/39/34/710913934.db2.gz XGZIIWQYWJXXLU-RISCZKNCSA-N -1 1 321.377 1.034 20 0 DDADMM O=C([O-])c1ccc(C(=O)N[C@@H]2CCCN3CCSC[C@@H]23)cn1 ZINC000902817910 710914290 /nfs/dbraw/zinc/91/42/90/710914290.db2.gz GDFMVHRKTBAACK-YPMHNXCESA-N -1 1 321.402 1.089 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)C[Si](C)(C)C)co1 ZINC000911726746 710953384 /nfs/dbraw/zinc/95/33/84/710953384.db2.gz HHBIBTBUPGEVME-UHFFFAOYSA-N -1 1 304.444 1.137 20 0 DDADMM O=C([O-])c1ccc(Cl)c(C(=O)N2CC[C@H](c3nc[nH]n3)C2)c1 ZINC000911773840 710977229 /nfs/dbraw/zinc/97/72/29/710977229.db2.gz OTCNOAKFHQCSHB-VIFPVBQESA-N -1 1 320.736 1.786 20 0 DDADMM Cc1nn(C)c([N-]S(=O)(=O)c2cnc3n2CCC3)c1Cl ZINC000903166237 711052601 /nfs/dbraw/zinc/05/26/01/711052601.db2.gz PZZBRGSVARPKQH-UHFFFAOYSA-N -1 1 315.786 1.325 20 0 DDADMM C[C@@H]1C(=O)N(C)[C@H](C)[C@@H](C)N1C(=O)C(=O)c1ccc([O-])cc1 ZINC000912012597 711120360 /nfs/dbraw/zinc/12/03/60/711120360.db2.gz KGBXINWMDUFHFZ-GMTAPVOTSA-N -1 1 304.346 1.041 20 0 DDADMM COCc1nc(N[C@H]2CCC[C@@H]2Cc2cnn(C)c2)cc(=O)[n-]1 ZINC000894573372 711188346 /nfs/dbraw/zinc/18/83/46/711188346.db2.gz XWERZQGUYNKIGR-OLZOCXBDSA-N -1 1 317.393 1.885 20 0 DDADMM COCc1nc(N2CCn3c(nnc3C(C)(C)C)C2)cc(=O)[n-]1 ZINC000894635411 711225548 /nfs/dbraw/zinc/22/55/48/711225548.db2.gz REGPQECNPVEVEZ-UHFFFAOYSA-N -1 1 318.381 1.238 20 0 DDADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]c1cccc(C2CC2)n1 ZINC000903634106 711231655 /nfs/dbraw/zinc/23/16/55/711231655.db2.gz VVCDZDUFQKMRPO-IBGZPJMESA-N -1 1 318.424 1.190 20 0 DDADMM COc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c(Cl)c1 ZINC000913495094 713237886 /nfs/dbraw/zinc/23/78/86/713237886.db2.gz RECFKBFBTYSKKK-NSHDSACASA-N -1 1 323.740 1.075 20 0 DDADMM C[C@@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)c1c(F)cccc1F ZINC000913494964 713238020 /nfs/dbraw/zinc/23/80/20/713238020.db2.gz DYHRVJPXORUVER-KCJUWKMLSA-N -1 1 323.303 1.182 20 0 DDADMM CC(C)(CC(=O)N1CCOC[C@H]1c1nn[n-]n1)C(F)(F)F ZINC000913497240 713238842 /nfs/dbraw/zinc/23/88/42/713238842.db2.gz JGCGVNRFSWUJRT-ZETCQYMHSA-N -1 1 307.276 1.078 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N(C(C)C)C1CCCC1 ZINC000903711625 711250348 /nfs/dbraw/zinc/25/03/48/711250348.db2.gz FGIWAVJZHFVCPU-UHFFFAOYSA-N -1 1 314.426 1.589 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)N1C[C@H](C)C[C@H](C)C1 ZINC000903711614 711250559 /nfs/dbraw/zinc/25/05/59/711250559.db2.gz DWPBHKTXMHRYNI-MJBXVCDLSA-N -1 1 314.426 1.303 20 0 DDADMM O=C([O-])c1ccc2c(c1)OCCN(CCCN1CCOCC1)C2 ZINC000903957468 711347605 /nfs/dbraw/zinc/34/76/05/711347605.db2.gz QDEQEIFJAURNAC-UHFFFAOYSA-N -1 1 320.389 1.302 20 0 DDADMM O=C([O-])[C@@]1(NCc2ccc(CO)o2)CCc2ccccc2C1 ZINC000904007239 711362580 /nfs/dbraw/zinc/36/25/80/711362580.db2.gz BMDWDRWWLQVICJ-QGZVFWFLSA-N -1 1 301.342 1.874 20 0 DDADMM COCc1nc(NC[C@@H]2CC[C@]3(CO[C@H](C)[C@H]3C)O2)cc(=O)[n-]1 ZINC000895226734 711457634 /nfs/dbraw/zinc/45/76/34/711457634.db2.gz TZRYPWVRAKCQRH-LSSIXWDNSA-N -1 1 323.393 1.713 20 0 DDADMM COc1cnc(C=Cc2cn(C[C@@H]3CCCO3)nn2)[n-]c1=O ZINC000905077456 711928711 /nfs/dbraw/zinc/92/87/11/711928711.db2.gz WSUBLLLFAFZCRB-ZWNMCFTASA-N -1 1 303.322 1.132 20 0 DDADMM CN(C)c1ccnc(CNC(=O)C2=C(C(=O)[O-])CCCC2)c1 ZINC000905128422 711944937 /nfs/dbraw/zinc/94/49/37/711944937.db2.gz KZGQVMJNDGXTSM-UHFFFAOYSA-N -1 1 303.362 1.719 20 0 DDADMM COc1ccc(C=CC(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000913742088 713291667 /nfs/dbraw/zinc/29/16/67/713291667.db2.gz GMIICNMJWMWYJW-DAXSKMNVSA-N -1 1 313.361 1.628 20 0 DDADMM O=C(COc1cccc(Cl)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742325 713291861 /nfs/dbraw/zinc/29/18/61/713291861.db2.gz VMEXMQVOTXYFSD-UHFFFAOYSA-N -1 1 321.768 1.638 20 0 DDADMM COc1cccc([C@H](C)C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913743241 713292119 /nfs/dbraw/zinc/29/21/19/713292119.db2.gz MCZXKMSNTYJBHM-NSHDSACASA-N -1 1 315.377 1.718 20 0 DDADMM CC(C)C[C@@H](C)OCCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743672 713292580 /nfs/dbraw/zinc/29/25/80/713292580.db2.gz GHZRMOACZSHHBT-GFCCVEGCSA-N -1 1 309.414 1.747 20 0 DDADMM O=C(C=Cc1ccnc(Cl)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743681 713292635 /nfs/dbraw/zinc/29/26/35/713292635.db2.gz GPZLYMJGJUMGQL-OWOJBTEDSA-N -1 1 318.768 1.668 20 0 DDADMM Cc1ccc(CCC(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC000913745277 713293511 /nfs/dbraw/zinc/29/35/11/713293511.db2.gz WQGDKZZBZADRFB-UHFFFAOYSA-N -1 1 305.407 1.908 20 0 DDADMM C[C@@H]1Cc2cccc(C(=O)N3CCC(c4nn[n-]n4)CC3)c2O1 ZINC000913745713 713293729 /nfs/dbraw/zinc/29/37/29/713293729.db2.gz BYHKPCWWBVTULG-SNVBAGLBSA-N -1 1 313.361 1.543 20 0 DDADMM CCNc1ncc(C(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC000913746220 713293961 /nfs/dbraw/zinc/29/39/61/713293961.db2.gz XRKMJTBJTOJSMH-UHFFFAOYSA-N -1 1 307.383 1.108 20 0 DDADMM O=C([O-])c1cc(F)cc(NS(=O)(=O)CC2(F)CC2)c1F ZINC000905985451 712210021 /nfs/dbraw/zinc/21/00/21/712210021.db2.gz AAEDONBLKAPHFT-UHFFFAOYSA-N -1 1 309.265 1.907 20 0 DDADMM CCO[C@H]1[C@H](C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)[C@@H]1C ZINC000906692289 712391826 /nfs/dbraw/zinc/39/18/26/712391826.db2.gz JRWZIIKYCOXXKP-PVJOKMEKSA-N -1 1 318.377 1.339 20 0 DDADMM Cc1cccc2ncc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)n21 ZINC000907105290 712488481 /nfs/dbraw/zinc/48/84/81/712488481.db2.gz RLEYTWZDVNZNJQ-NSHDSACASA-N -1 1 311.349 1.176 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]C1(C(F)F)CCCC1 ZINC000907194997 712510711 /nfs/dbraw/zinc/51/07/11/712510711.db2.gz RTJFZXFLSPBKHY-UHFFFAOYSA-N -1 1 306.334 1.946 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@@H](C2CC2)O1)c1ccc(F)nc1F ZINC000907470628 712580319 /nfs/dbraw/zinc/58/03/19/712580319.db2.gz KLYZCSAHXNWZMW-ZJUUUORDSA-N -1 1 318.345 1.596 20 0 DDADMM Cc1cc(C)c(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)s1 ZINC000907477154 712582487 /nfs/dbraw/zinc/58/24/87/712582487.db2.gz RMPPAXZSXZRNKY-JTQLQIEISA-N -1 1 323.447 1.737 20 0 DDADMM Cc1oc(C)c(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1C ZINC000907477851 712582642 /nfs/dbraw/zinc/58/26/42/712582642.db2.gz SRQRJJQWBNAZON-JTQLQIEISA-N -1 1 307.379 1.648 20 0 DDADMM Cc1nc(C)c(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)s1 ZINC000907478443 712582955 /nfs/dbraw/zinc/58/29/55/712582955.db2.gz VMBYNAAMWHWIIT-SECBINFHSA-N -1 1 324.435 1.132 20 0 DDADMM O=C(c1cc(Cl)cs1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479889 712583442 /nfs/dbraw/zinc/58/34/42/712583442.db2.gz NDPXQCDZFAUQBQ-SSDOTTSWSA-N -1 1 315.811 1.845 20 0 DDADMM CN1CCN(C(=O)[C@@]2(C(=O)[O-])C[C@H]2c2ccccc2)CC1(C)C ZINC000907847911 712635352 /nfs/dbraw/zinc/63/53/52/712635352.db2.gz QLLKIROTAYBAQJ-KBXCAEBGSA-N -1 1 316.401 1.798 20 0 DDADMM CC[C@@H](C)N(CC(=O)OC)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907989293 712661099 /nfs/dbraw/zinc/66/10/99/712661099.db2.gz IZWMKFXJJGYGSY-SECBINFHSA-N -1 1 307.350 1.473 20 0 DDADMM C[C@@H]1CCC[C@H](C)N1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000907996175 712662734 /nfs/dbraw/zinc/66/27/34/712662734.db2.gz IOTAWLGVYRHFEI-AOOOYVTPSA-N -1 1 305.407 1.926 20 0 DDADMM CCN(CC(=O)N[C@@H](CC(=O)[O-])Cc1ccccc1)C1CC1 ZINC000908235762 712716393 /nfs/dbraw/zinc/71/63/93/712716393.db2.gz QOFBTYUMYQXYHE-CQSZACIVSA-N -1 1 304.390 1.673 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@@H]1C1CC1)c1ccc(F)nc1F ZINC000908410639 712764593 /nfs/dbraw/zinc/76/45/93/712764593.db2.gz WMOKMDDMFOIBSO-BDAKNGLRSA-N -1 1 304.318 1.063 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@@H]1C1CC1)c1cc(F)ccc1F ZINC000908418136 712766487 /nfs/dbraw/zinc/76/64/87/712766487.db2.gz ZSJYOFKTPUWZGC-PWSUYJOCSA-N -1 1 303.330 1.668 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C/C=C/Cl)c(C(F)(F)F)n1 ZINC000908453426 712777867 /nfs/dbraw/zinc/77/78/67/712777867.db2.gz UWHFJUCYIHXNBZ-NSCUHMNNSA-N -1 1 303.693 1.470 20 0 DDADMM O=C(Cc1n[nH]c2c1CCCC2)NC1(C(=O)[O-])CCCCC1 ZINC000908599062 712817995 /nfs/dbraw/zinc/81/79/95/712817995.db2.gz NWWQTCAGJITUJS-UHFFFAOYSA-N -1 1 305.378 1.735 20 0 DDADMM O=CN1CCC[C@@H]1C(=O)Nc1cccc([O-])c1Br ZINC000908707883 712839053 /nfs/dbraw/zinc/83/90/53/712839053.db2.gz NTUXCNPRQFVRRL-SECBINFHSA-N -1 1 313.151 1.714 20 0 DDADMM CC[C@@](C)(NC(=O)NC[C@@H](c1cccs1)N(C)C)C(=O)[O-] ZINC000908746906 712846580 /nfs/dbraw/zinc/84/65/80/712846580.db2.gz KASROHVWLWBMPO-IINYFYTJSA-N -1 1 313.423 1.903 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H](CF)C(=O)[O-])c1cccc(F)c1 ZINC000908752501 712848025 /nfs/dbraw/zinc/84/80/25/712848025.db2.gz JPWOCYGIMMYZEB-NEPJUHHUSA-N -1 1 315.320 1.150 20 0 DDADMM CSc1ccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000908753780 712848422 /nfs/dbraw/zinc/84/84/22/712848422.db2.gz WYFTUFKGXSEPOM-CYBMUJFWSA-N -1 1 322.430 1.821 20 0 DDADMM C[C@@H]1SCCN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)[C@H]1C ZINC000908810495 712859192 /nfs/dbraw/zinc/85/91/92/712859192.db2.gz YBFUTXSFXUYHSJ-SDDRHHMPSA-N -1 1 300.424 1.135 20 0 DDADMM CO[C@]1(C)C[C@H](NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C1(C)C ZINC000908835218 712864967 /nfs/dbraw/zinc/86/49/67/712864967.db2.gz LPFPSIFLISUFEN-BFQNTYOBSA-N -1 1 312.410 1.103 20 0 DDADMM O=C([O-])CN(Cc1ccccc1)C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000908905367 712883966 /nfs/dbraw/zinc/88/39/66/712883966.db2.gz MHYOMSLBEXPBPW-ZDUSSCGKSA-N -1 1 313.357 1.628 20 0 DDADMM O=S(=O)(CC1(F)CCC1)[N-][C@H]1CCCCC12OCCO2 ZINC000914272782 713368858 /nfs/dbraw/zinc/36/88/58/713368858.db2.gz NYERULTXBOKEAX-NSHDSACASA-N -1 1 307.387 1.484 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)c2ncc[nH]2)c(F)c1 ZINC000917523004 713502171 /nfs/dbraw/zinc/50/21/71/713502171.db2.gz LHFLOTJIPMUUEQ-SSDOTTSWSA-N -1 1 317.317 1.736 20 0 DDADMM CCOC(=O)c1cc(C[N-]S(=O)(=O)CC2(F)CCC2)on1 ZINC000919059177 713579793 /nfs/dbraw/zinc/57/97/93/713579793.db2.gz CFMMCGHOHUKMEX-UHFFFAOYSA-N -1 1 320.342 1.163 20 0 DDADMM C[C@H](CN1CC(=O)N[C@H]2CCCC[C@@H]21)C(=O)c1ccc([O-])cc1 ZINC000929829798 713699475 /nfs/dbraw/zinc/69/94/75/713699475.db2.gz YIEMIVNQZSKGIG-KCXAZCMYSA-N -1 1 316.401 1.954 20 0 DDADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)Cc1cc(C)on1)CCO2 ZINC000921316132 713731242 /nfs/dbraw/zinc/73/12/42/713731242.db2.gz XCUDQFZTRVMNDF-OLZOCXBDSA-N -1 1 316.379 1.088 20 0 DDADMM C[C@H]1COC[C@@H]1[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000921497367 713789687 /nfs/dbraw/zinc/78/96/87/713789687.db2.gz MRBSRBRRUPRJIE-XPUUQOCRSA-N -1 1 311.190 1.702 20 0 DDADMM C[C@H](CN1CC[C@@H](S(C)(=O)=O)C1)C(=O)c1ccc([O-])cc1 ZINC000930338802 713807972 /nfs/dbraw/zinc/80/79/72/713807972.db2.gz QRZPXYVRDJCWNL-BXUZGUMPSA-N -1 1 311.403 1.330 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCS[C@@H](C)C2)o1 ZINC000921714954 713852154 /nfs/dbraw/zinc/85/21/54/713852154.db2.gz GLQAYBAOQHSDJU-DTWKUNHWSA-N -1 1 319.404 1.629 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCc2cccnc21 ZINC000921837769 713881991 /nfs/dbraw/zinc/88/19/91/713881991.db2.gz QOMQMSMOJJTRST-SNVBAGLBSA-N -1 1 303.409 1.021 20 0 DDADMM C[C@@H](C[S@@](C)=O)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000921925656 713910571 /nfs/dbraw/zinc/91/05/71/713910571.db2.gz RMAOCRFTEWCTHW-HXNGOWOSSA-N -1 1 320.361 1.279 20 0 DDADMM CC(=O)N[C@H](C)c1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC000963884006 717933942 /nfs/dbraw/zinc/93/39/42/717933942.db2.gz MGCQXLWUTIUDHT-LLVKDONJSA-N -1 1 313.357 1.914 20 0 DDADMM COCCCCS(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921966232 713922078 /nfs/dbraw/zinc/92/20/78/713922078.db2.gz YPVXWRJFNTUHIS-UHFFFAOYSA-N -1 1 323.361 1.559 20 0 DDADMM Cc1ncccc1CCNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000931132304 714010999 /nfs/dbraw/zinc/01/09/99/714010999.db2.gz VPDSHZJGSOIKPZ-UHFFFAOYSA-N -1 1 310.361 1.409 20 0 DDADMM O=C([O-])c1ccccc1CCNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000923131056 714247734 /nfs/dbraw/zinc/24/77/34/714247734.db2.gz ANTCOMHHZSPOPA-LBPRGKRZSA-N -1 1 313.357 1.572 20 0 DDADMM CC1(C)[C@@H](O)CCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932055316 714247959 /nfs/dbraw/zinc/24/79/59/714247959.db2.gz ZYNPNFJLGAOFRP-ZDUSSCGKSA-N -1 1 316.361 1.955 20 0 DDADMM C[C@@H]1CC[C@@H](O)CN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932056038 714248205 /nfs/dbraw/zinc/24/82/05/714248205.db2.gz DMQSJFOQMKQYPP-BXUZGUMPSA-N -1 1 316.361 1.955 20 0 DDADMM Cc1ccccc1[C@H](C)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000932281704 714299784 /nfs/dbraw/zinc/29/97/84/714299784.db2.gz BVFQNNYCLLDHAP-WCQYABFASA-N -1 1 301.350 1.212 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)N[C@@H](C)C(F)F)[n-]c1=O ZINC000932434701 714329997 /nfs/dbraw/zinc/32/99/97/714329997.db2.gz ZZEGOZBCXGCIQC-YUMQZZPRSA-N -1 1 316.308 1.691 20 0 DDADMM O=C([O-])[C@@H]1CN(CC(=O)Nc2cc(F)ccc2F)C[C@H]1C1CC1 ZINC000923527587 714391369 /nfs/dbraw/zinc/39/13/69/714391369.db2.gz HGTOAIVQXNZOEY-NWDGAFQWSA-N -1 1 324.327 1.946 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2ncnn2C(C)C)[n-]c1=O ZINC000934271713 714782772 /nfs/dbraw/zinc/78/27/72/714782772.db2.gz GDPQKKQLZFOTNM-NSHDSACASA-N -1 1 318.381 1.700 20 0 DDADMM CCCn1ncnc1CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934273270 714783313 /nfs/dbraw/zinc/78/33/13/714783313.db2.gz NFZBNAYPVBEEFB-NSHDSACASA-N -1 1 318.381 1.529 20 0 DDADMM COc1cc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)on1 ZINC000934279176 714785351 /nfs/dbraw/zinc/78/53/51/714785351.db2.gz LEWWMLVKBVOFHN-JTQLQIEISA-N -1 1 306.322 1.525 20 0 DDADMM C[C@@]1(C2CC2)NC(=O)N(CCc2c(F)cc([O-])cc2F)C1=O ZINC000925463203 714864466 /nfs/dbraw/zinc/86/44/66/714864466.db2.gz RCXZSPNDWMYLMY-HNNXBMFYSA-N -1 1 310.300 1.933 20 0 DDADMM CC[C@H](O)[C@@H](C)C(=O)[N-]OC[C@@H](C)NC(=O)OC(C)(C)C ZINC000935160895 714991405 /nfs/dbraw/zinc/99/14/05/714991405.db2.gz MTAYMZTWBFKAEK-MXWKQRLJSA-N -1 1 304.387 1.354 20 0 DDADMM C[C@@H](CN(C)C(=O)c1c[nH]cc1Cc1ccccc1)c1nn[n-]n1 ZINC000935365723 715037853 /nfs/dbraw/zinc/03/78/53/715037853.db2.gz LJMSCYNWERCNEA-LBPRGKRZSA-N -1 1 324.388 1.994 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H]1CC[C@@H](C(F)(F)F)O1)c1nn[n-]n1 ZINC000935365106 715038026 /nfs/dbraw/zinc/03/80/26/715038026.db2.gz CEUWTAWSEJYQFJ-HLTSFMKQSA-N -1 1 321.303 1.262 20 0 DDADMM CN(C(=O)C1CC=CC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211412 715292938 /nfs/dbraw/zinc/29/29/38/715292938.db2.gz JJFZKXXJCSZNJY-ZDUSSCGKSA-N -1 1 315.373 1.426 20 0 DDADMM CN(C(=O)C1(C)CCCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954867426 715532285 /nfs/dbraw/zinc/53/22/85/715532285.db2.gz OTXTWWXOESTQJE-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC=CC1 ZINC000937770389 715561813 /nfs/dbraw/zinc/56/18/13/715561813.db2.gz OGDAUEYAHOOJRY-LBPRGKRZSA-N -1 1 301.346 1.084 20 0 DDADMM CCC1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CCC1 ZINC000937863967 715619176 /nfs/dbraw/zinc/61/91/76/715619176.db2.gz MLILOHLSFVWKQQ-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM CCCCN1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)CC1 ZINC000957315049 715831047 /nfs/dbraw/zinc/83/10/47/715831047.db2.gz VCZXYQDUPWHVSS-UHFFFAOYSA-N -1 1 304.350 1.486 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957659791 715989791 /nfs/dbraw/zinc/98/97/91/715989791.db2.gz JPJRYAXXSMNFCF-PSTGCABASA-N -1 1 317.389 1.554 20 0 DDADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)C=C(C)C)CC1 ZINC000957666948 715994361 /nfs/dbraw/zinc/99/43/61/715994361.db2.gz FHWHMAUNJACNLE-UHFFFAOYSA-N -1 1 321.425 1.537 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000955980270 716047999 /nfs/dbraw/zinc/04/79/99/716047999.db2.gz XYIGXAFAKOAKFR-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2(CF)CC2)C1 ZINC000939568790 716330014 /nfs/dbraw/zinc/33/00/14/716330014.db2.gz XOTNRMGDRYHQRL-LLVKDONJSA-N -1 1 321.352 1.210 20 0 DDADMM CC1(CC(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000940136307 716645159 /nfs/dbraw/zinc/64/51/59/716645159.db2.gz XXPQAMBGFAWGQA-LLVKDONJSA-N -1 1 303.362 1.308 20 0 DDADMM CC[C@@H](C)C(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000961050138 716778459 /nfs/dbraw/zinc/77/84/59/716778459.db2.gz ILXBHCAJJJOQCT-UPJWGTAASA-N -1 1 317.389 1.364 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964848048 717453057 /nfs/dbraw/zinc/45/30/57/717453057.db2.gz ISJKQWGBRZBKEL-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CC(=O)N1CCC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000964901921 717471269 /nfs/dbraw/zinc/47/12/69/717471269.db2.gz BDCKLTJWZFRHKT-GFCCVEGCSA-N -1 1 318.377 1.190 20 0 DDADMM CN(C[C@H]1CCCN(C(=O)C2CC2)C1)C(=O)c1ncccc1[O-] ZINC000965855274 717786794 /nfs/dbraw/zinc/78/67/94/717786794.db2.gz ZLTBWIXGURSCRB-GFCCVEGCSA-N -1 1 317.389 1.508 20 0 DDADMM CCN(C(=O)C1CCC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942625578 717792398 /nfs/dbraw/zinc/79/23/98/717792398.db2.gz RFQLZWSIUJWLFM-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM CSCC(=O)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943212477 718048659 /nfs/dbraw/zinc/04/86/59/718048659.db2.gz HFCZDCHWPGIAQO-LLVKDONJSA-N -1 1 323.418 1.261 20 0 DDADMM CC(=O)N1CCC2(C1)CCN(C(=O)c1ncccc1[O-])CC2 ZINC000944377761 718296284 /nfs/dbraw/zinc/29/62/84/718296284.db2.gz LSKJVAMLAJVRNZ-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM C[C@H]1CN(C(=O)C2CCC2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945089573 718391852 /nfs/dbraw/zinc/39/18/52/718391852.db2.gz MAASFEIQISYYLD-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(=O)N1CCC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000945544342 718482504 /nfs/dbraw/zinc/48/25/04/718482504.db2.gz PNIMUNJIXPCFQR-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1(C)CCC1 ZINC000966332326 718518404 /nfs/dbraw/zinc/51/84/04/718518404.db2.gz SNJDANYJDHIJQE-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc[nH]c2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966498117 718570604 /nfs/dbraw/zinc/57/06/04/718570604.db2.gz CQDHMUBREZPGMC-PWSUYJOCSA-N -1 1 314.345 1.006 20 0 DDADMM CC(=O)NC[C@H]1CCC[C@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000946206588 718711238 /nfs/dbraw/zinc/71/12/38/718711238.db2.gz ORKFCZXBORBWFP-DGCLKSJQSA-N -1 1 318.377 1.094 20 0 DDADMM C[C@H]1C[C@H]1C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946533327 718821159 /nfs/dbraw/zinc/82/11/59/718821159.db2.gz RWRYELQNNHNGGL-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C(F)=C(C)C)C1 ZINC000967901609 719080105 /nfs/dbraw/zinc/08/01/05/719080105.db2.gz SPSATVQWVZQFBV-UHFFFAOYSA-N -1 1 321.352 1.724 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000948803393 719718447 /nfs/dbraw/zinc/71/84/47/719718447.db2.gz UQWBWPCCSHUDKK-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM Cc1scc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC000968788516 719788393 /nfs/dbraw/zinc/78/83/93/719788393.db2.gz KDXRXGVPLYJHRO-SNVBAGLBSA-N -1 1 321.406 1.193 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949542014 720150164 /nfs/dbraw/zinc/15/01/64/720150164.db2.gz UOXZEJYXMGXREV-CMPLNLGQSA-N -1 1 309.341 1.112 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2cccnc2)C1 ZINC000949948304 720426820 /nfs/dbraw/zinc/42/68/20/720426820.db2.gz MAXZXRMMAHPPNC-UHFFFAOYSA-N -1 1 312.373 1.529 20 0 DDADMM Cc1c[nH]cc1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000950067261 720475088 /nfs/dbraw/zinc/47/50/88/720475088.db2.gz GTOIIBOXUQSKKH-NSHDSACASA-N -1 1 314.345 1.068 20 0 DDADMM O=C(CC1CCCCCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970105809 720627931 /nfs/dbraw/zinc/62/79/31/720627931.db2.gz TVCDGSGPPMBVKH-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CN(C(=O)C3CCC3)[C@@H]2C1 ZINC000971016065 721057782 /nfs/dbraw/zinc/05/77/82/721057782.db2.gz STFRYLCLSIIVHB-CHWSQXEVSA-N -1 1 315.373 1.260 20 0 DDADMM Cc1oncc1CN(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971394191 721276082 /nfs/dbraw/zinc/27/60/82/721276082.db2.gz NNRAOQDCZOEVOY-CYBMUJFWSA-N -1 1 316.361 1.430 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954125219 721723874 /nfs/dbraw/zinc/72/38/74/721723874.db2.gz GRUJDFPWSCCBAL-STQMWFEESA-N -1 1 317.389 1.362 20 0 DDADMM CC[C@]1(C)C[C@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954125611 721724204 /nfs/dbraw/zinc/72/42/04/721724204.db2.gz VGEROWISKAJCDF-YVEFUNNKSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1n[nH]c(C(=O)NCCN[C@@H](C)c2cc(F)ccc2F)c1[O-] ZINC001125749344 735458985 /nfs/dbraw/zinc/45/89/85/735458985.db2.gz KKNXCXUILASLCZ-QMMMGPOBSA-N -1 1 324.331 1.783 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cnccc2C2CC2)cc1N ZINC001213877185 738567134 /nfs/dbraw/zinc/56/71/34/738567134.db2.gz QWTYHVJJSPMYHW-UHFFFAOYSA-N -1 1 304.375 1.924 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1CNC(=O)C1CC1 ZINC001020734849 732649326 /nfs/dbraw/zinc/64/93/26/732649326.db2.gz DTROBQLPRFHQQG-WCQYABFASA-N -1 1 317.389 1.412 20 0 DDADMM CCCC(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001020934086 732778361 /nfs/dbraw/zinc/77/83/61/732778361.db2.gz LQXIHGPSOHKKPP-NWDGAFQWSA-N -1 1 303.362 1.260 20 0 DDADMM O=C(Nc1nc[nH]c(=O)c1Br)c1ccncc1[O-] ZINC001188628995 744741574 /nfs/dbraw/zinc/74/15/74/744741574.db2.gz STHJLANYEAFUEG-UHFFFAOYSA-N -1 1 311.095 1.298 20 0 DDADMM O=C(Nc1nc[n-]c(=O)c1Br)c1ccncc1O ZINC001188628995 744741578 /nfs/dbraw/zinc/74/15/78/744741578.db2.gz STHJLANYEAFUEG-UHFFFAOYSA-N -1 1 311.095 1.298 20 0 DDADMM CC[C@@H](C)C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021442675 733207874 /nfs/dbraw/zinc/20/78/74/733207874.db2.gz TXHXKSDHZQQGLC-FRRDWIJNSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1noc([C@@H](C)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001022000845 733711231 /nfs/dbraw/zinc/71/12/31/733711231.db2.gz KNUXUYZKLKDVDA-IEBDPFPHSA-N -1 1 317.349 1.090 20 0 DDADMM COc1cc(NS(=O)(=O)c2cncc(N)c2)cc(C(=O)[O-])c1 ZINC001213589234 734506981 /nfs/dbraw/zinc/50/69/81/734506981.db2.gz VPRSKNCUQDVGAN-UHFFFAOYSA-N -1 1 323.330 1.171 20 0 DDADMM O=C(C[C@@H]1C=CCC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024863567 736136109 /nfs/dbraw/zinc/13/61/09/736136109.db2.gz DQBKCTYAPIASSR-CHWSQXEVSA-N -1 1 319.409 1.337 20 0 DDADMM C[C@]1(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C=CCC1 ZINC001025042171 736247592 /nfs/dbraw/zinc/24/75/92/736247592.db2.gz BOXRKMBIQPQLPG-WBMJQRKESA-N -1 1 319.409 1.337 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)CCCO1 ZINC000692893931 738964461 /nfs/dbraw/zinc/96/44/61/738964461.db2.gz NRLBRQXEDLWBJZ-NSHDSACASA-N -1 1 308.762 1.722 20 0 DDADMM C[C@@H](CCNC(=O)c1cscn1)NC(=O)c1ncccc1[O-] ZINC001075907699 741890633 /nfs/dbraw/zinc/89/06/33/741890633.db2.gz QZWGSNRNLPTREL-VIFPVBQESA-N -1 1 320.374 1.182 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](Nc2ncc(Cl)cn2)C1 ZINC001058879183 739007626 /nfs/dbraw/zinc/00/76/26/739007626.db2.gz RUSOVTSJBKGFTE-JTQLQIEISA-N -1 1 319.752 1.557 20 0 DDADMM CC[C@@H](C)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088266757 741288557 /nfs/dbraw/zinc/28/85/57/741288557.db2.gz ILQXTGQCDZKZIK-NEPJUHHUSA-N -1 1 309.414 1.417 20 0 DDADMM C[C@H](C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001088289999 741334676 /nfs/dbraw/zinc/33/46/76/741334676.db2.gz ZIANXFYDYAXQJD-WCQYABFASA-N -1 1 321.425 1.417 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)C2(C)CC=CC2)C1 ZINC001029827815 741364435 /nfs/dbraw/zinc/36/44/35/741364435.db2.gz OCMLQWZJNSFRIQ-GFCCVEGCSA-N -1 1 319.409 1.147 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)CC2CCC2)CC1 ZINC001029951181 741640225 /nfs/dbraw/zinc/64/02/25/741640225.db2.gz NYTRWEWJUSXDCS-UHFFFAOYSA-N -1 1 321.425 1.371 20 0 DDADMM Cc1cnoc1C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076245298 742624719 /nfs/dbraw/zinc/62/47/19/742624719.db2.gz WMCHDGRPTLQFJB-JTQLQIEISA-N -1 1 318.333 1.022 20 0 DDADMM CC1(C)OC[C@@H](C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)O1 ZINC001181745733 743324729 /nfs/dbraw/zinc/32/47/29/743324729.db2.gz MLVXOAYRKJZCTG-LBPRGKRZSA-N -1 1 303.318 1.668 20 0 DDADMM CCOC(=O)CC(=N)[N-]C(=O)C(=O)Cc1c[nH]c2ccccc12 ZINC001183097322 743779490 /nfs/dbraw/zinc/77/94/90/743779490.db2.gz JIBZYOPMQKCXPV-UHFFFAOYSA-N -1 1 315.329 1.326 20 0 DDADMM CSc1nc(NC(=O)C2COC(C(C)C)OC2)cc(=O)[n-]1 ZINC001183172111 743789378 /nfs/dbraw/zinc/78/93/78/743789378.db2.gz AUHCEDKZFYFBMT-UHFFFAOYSA-N -1 1 313.379 1.488 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1scnc1C1CC1 ZINC001185698185 744269124 /nfs/dbraw/zinc/26/91/24/744269124.db2.gz CNXJUGKUWAUVOK-UHFFFAOYSA-N -1 1 302.319 1.645 20 0 DDADMM COc1ccccc1CNC(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001186157099 744352207 /nfs/dbraw/zinc/35/22/07/744352207.db2.gz HDJCTJOQLAFSRK-UHFFFAOYSA-N -1 1 314.305 1.389 20 0 DDADMM O=C(CCNC(=O)c1ccc([O-])cc1F)Nc1nccs1 ZINC001186337461 744388530 /nfs/dbraw/zinc/38/85/30/744388530.db2.gz JMBAARSGXQZMAY-UHFFFAOYSA-N -1 1 309.322 1.746 20 0 DDADMM COCC(=O)N[C@H]1CC[C@H](NC(=O)c2ccc([O-])cc2F)CC1 ZINC001186339355 744389321 /nfs/dbraw/zinc/38/93/21/744389321.db2.gz FILLNCLOZCRTGK-XYPYZODXSA-N -1 1 324.352 1.335 20 0 DDADMM CCOC(=O)C12CC(C(=O)Nc3n[n-]c(C(F)(F)F)n3)(C1)C2 ZINC001186551453 744419523 /nfs/dbraw/zinc/41/95/23/744419523.db2.gz QOUHXVNREVOJAP-UHFFFAOYSA-N -1 1 318.255 1.495 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NS(=O)(=O)c1ccco1 ZINC001186811620 744453226 /nfs/dbraw/zinc/45/32/26/744453226.db2.gz DBKZVIZYZLJGAS-UHFFFAOYSA-N -1 1 302.293 1.263 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1nccs1)C(=O)NC(C)(C)C ZINC001187919957 744635093 /nfs/dbraw/zinc/63/50/93/744635093.db2.gz BNHUVTSYJUIODT-VIFPVBQESA-N -1 1 319.452 1.361 20 0 DDADMM COc1ccccc1[C@H](C)C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001187689656 744588720 /nfs/dbraw/zinc/58/87/20/744588720.db2.gz HHPOEHHBLQXFPY-QMMMGPOBSA-N -1 1 313.317 1.809 20 0 DDADMM CC(C)(C)OC(=O)N1CC([N-]S(=O)(=O)c2nccs2)C1 ZINC001187901528 744627071 /nfs/dbraw/zinc/62/70/71/744627071.db2.gz FPKDOYLZFSXTHV-UHFFFAOYSA-N -1 1 319.408 1.041 20 0 DDADMM CCCCC[C@@H]([N-]S(=O)(=O)Cc1nocc1C)C(=O)OC ZINC001187884683 744632761 /nfs/dbraw/zinc/63/27/61/744632761.db2.gz QFOUQZXZYYILPX-LLVKDONJSA-N -1 1 318.395 1.524 20 0 DDADMM Cc1ccnc(C2(C[N-]S(=O)(=O)c3nccs3)CC2)c1 ZINC001187921116 744635628 /nfs/dbraw/zinc/63/56/28/744635628.db2.gz YIDFGKTWOMRYBJ-UHFFFAOYSA-N -1 1 309.416 1.857 20 0 DDADMM Cc1nccc(N[C@H](C)C[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001089272859 744847189 /nfs/dbraw/zinc/84/71/89/744847189.db2.gz RMQKKKAPTLTRSA-GHMZBOCLSA-N -1 1 315.377 1.895 20 0 DDADMM C[C@H](C[C@@H](C)Nc1ncccn1)NC(=O)c1ncccc1[O-] ZINC001089273255 744849142 /nfs/dbraw/zinc/84/91/42/744849142.db2.gz WCLGYERSULWGHE-GHMZBOCLSA-N -1 1 301.350 1.586 20 0 DDADMM O=S(=O)(Cc1ccc(F)c(F)c1)[N-]c1cccc(CO)n1 ZINC001189274725 744867568 /nfs/dbraw/zinc/86/75/68/744867568.db2.gz NURVVMLUOOENIN-UHFFFAOYSA-N -1 1 314.313 1.794 20 0 DDADMM CCCOC(=O)C[N-]S(=O)(=O)Cc1cc(F)ccc1F ZINC001189721785 744952780 /nfs/dbraw/zinc/95/27/80/744952780.db2.gz XYRXKLDPHHYWMH-UHFFFAOYSA-N -1 1 307.318 1.337 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)Cc1cc(F)ccc1F ZINC001189700805 744957277 /nfs/dbraw/zinc/95/72/77/744957277.db2.gz URHCNNOJJWWLLV-UHFFFAOYSA-N -1 1 303.290 1.276 20 0 DDADMM O=S(=O)([N-]c1ccc(F)nc1Br)c1ncc[nH]1 ZINC001190690019 745298207 /nfs/dbraw/zinc/29/82/07/745298207.db2.gz SZUUNSVODORNCX-UHFFFAOYSA-N -1 1 321.131 1.507 20 0 DDADMM O=S(=O)(Nc1ncc(C(F)(F)F)cc1F)c1ncc[n-]1 ZINC001190686895 745297610 /nfs/dbraw/zinc/29/76/10/745297610.db2.gz UJSYIZOSOQBFCG-UHFFFAOYSA-N -1 1 310.232 1.763 20 0 DDADMM CCN1Cc2ccc([N-]S(=O)(=O)c3ccncc3)cc2C1=O ZINC001190893411 745369978 /nfs/dbraw/zinc/36/99/78/745369978.db2.gz ISSZSRBHKLPSNJ-UHFFFAOYSA-N -1 1 317.370 1.858 20 0 DDADMM Cc1ccc2c(c1)[C@@H]([N-]S(=O)(=O)c1ccncc1)C(=O)N2 ZINC001190904312 745381017 /nfs/dbraw/zinc/38/10/17/745381017.db2.gz XPWPHBUHKGIPCB-CYBMUJFWSA-N -1 1 303.343 1.362 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(Br)no3)c1-2 ZINC001191328914 745485886 /nfs/dbraw/zinc/48/58/86/745485886.db2.gz JJDSJEGUITXNBS-UHFFFAOYSA-N -1 1 323.110 1.038 20 0 DDADMM CSc1ncc(C(=O)N2CCC(=O)[C@H]3CCCC[C@@H]32)c(=O)[n-]1 ZINC001191420909 745507589 /nfs/dbraw/zinc/50/75/89/745507589.db2.gz UXJZIYOJPDDESH-ONGXEEELSA-N -1 1 321.402 1.878 20 0 DDADMM COc1cc(NC(=O)c2cnc(SC)[n-]c2=O)c(C)cn1 ZINC001191429907 745509978 /nfs/dbraw/zinc/50/99/78/745509978.db2.gz ZAGPCCPKVWWUPE-UHFFFAOYSA-N -1 1 306.347 1.868 20 0 DDADMM CSc1ncc(C(=O)NCCc2scnc2C)c(=O)[n-]1 ZINC001191439518 745512917 /nfs/dbraw/zinc/51/29/17/745512917.db2.gz AATMAIFPJXMGLW-UHFFFAOYSA-N -1 1 310.404 1.642 20 0 DDADMM COC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192049928 745671792 /nfs/dbraw/zinc/67/17/92/745671792.db2.gz NPGLBRSUUBEEIK-RITPCOANSA-N -1 1 324.613 1.575 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCCN(Cc3ccsc3)C2)c1[O-] ZINC001007132593 752030846 /nfs/dbraw/zinc/03/08/46/752030846.db2.gz ANGYEWVWRMLVGI-LBPRGKRZSA-N -1 1 320.418 1.880 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CCC[C@](F)(CO)CC1 ZINC001192661151 745847397 /nfs/dbraw/zinc/84/73/97/745847397.db2.gz LJSPXAXNSOHSEY-CQSZACIVSA-N -1 1 303.280 1.997 20 0 DDADMM Nc1nc(=O)c2ccccc2n1C(=O)c1cc([O-])cc(F)c1F ZINC001192671154 745856603 /nfs/dbraw/zinc/85/66/03/745856603.db2.gz UWBNHHZBIMHJBI-UHFFFAOYSA-N -1 1 317.251 1.894 20 0 DDADMM O=S(=O)(Oc1ccc2c(c1)CCO2)c1c[n-]nc1Cl ZINC001193142000 745994594 /nfs/dbraw/zinc/99/45/94/745994594.db2.gz SSSIAKWXLAFEMB-UHFFFAOYSA-N -1 1 300.723 1.766 20 0 DDADMM O=C(N[C@H]1CCCN(Cc2cnns2)C1)c1ncccc1[O-] ZINC001007191204 752054472 /nfs/dbraw/zinc/05/44/72/752054472.db2.gz SKAZXUXBOGPTQG-JTQLQIEISA-N -1 1 319.390 1.033 20 0 DDADMM COc1ccnc(C(=O)Nc2cnc3c(c2)COCC3)c1[O-] ZINC001193521519 746132220 /nfs/dbraw/zinc/13/22/20/746132220.db2.gz ZOEBOJZBXMAXIW-UHFFFAOYSA-N -1 1 301.302 1.516 20 0 DDADMM COc1nc(C(=O)[N-]c2nonc2N)ccc1Br ZINC001195034771 746513738 /nfs/dbraw/zinc/51/37/38/746513738.db2.gz QHKQGFCMNCAHHA-UHFFFAOYSA-N -1 1 314.099 1.070 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)Oc1ccc(F)cc1 ZINC001195056948 746517434 /nfs/dbraw/zinc/51/74/34/746517434.db2.gz WFKMRJQYDLJKKV-UHFFFAOYSA-N -1 1 305.225 1.514 20 0 DDADMM CCOC(=O)c1c(C)[nH]nc1NC(=O)c1cc([O-])cnc1Cl ZINC001195297389 746569772 /nfs/dbraw/zinc/56/97/72/746569772.db2.gz AWUFCTRIUBHFOT-UHFFFAOYSA-N -1 1 324.724 1.901 20 0 DDADMM O=C(c1c([O-])c(F)c(F)c(F)c1F)N1CCN2CC[C@H]2C1 ZINC001195296549 746569929 /nfs/dbraw/zinc/56/99/29/746569929.db2.gz UZRWSKPSEBXTNK-LURJTMIESA-N -1 1 304.243 1.479 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CCC[C@@H](n2ccnn2)C1 ZINC001195308591 746572301 /nfs/dbraw/zinc/57/23/01/746572301.db2.gz HFOXKGHAWCDNBU-SECBINFHSA-N -1 1 307.741 1.509 20 0 DDADMM NC(=O)c1cc(O)cc(NC(=O)c2cc([O-])cnc2Cl)c1 ZINC001195306522 746572544 /nfs/dbraw/zinc/57/25/44/746572544.db2.gz SPIYHOZBPWBBPL-UHFFFAOYSA-N -1 1 307.693 1.497 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccc(C2CC2)cn1 ZINC001195622723 746659386 /nfs/dbraw/zinc/65/93/86/746659386.db2.gz IEVIPORJTXKXCG-UHFFFAOYSA-N -1 1 312.289 1.240 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1ccc(C)c(OC(F)F)c1 ZINC001195974546 746743548 /nfs/dbraw/zinc/74/35/48/746743548.db2.gz DXXIICKJJUBBME-UHFFFAOYSA-N -1 1 323.317 1.901 20 0 DDADMM C[N@@H+]1CCC[C@](C)(NS(=O)(=O)c2ccc(Cl)cn2)C1 ZINC001196273015 746823005 /nfs/dbraw/zinc/82/30/05/746823005.db2.gz OUQKAGADYQNTDM-LBPRGKRZSA-N -1 1 303.815 1.498 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1ccccc1C(C)=O ZINC001196954467 747019668 /nfs/dbraw/zinc/01/96/68/747019668.db2.gz FGXKEBRRBHAEPG-UHFFFAOYSA-N -1 1 313.375 1.974 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)Cc2ccccn2)c(F)c1 ZINC001197820725 747288501 /nfs/dbraw/zinc/28/85/01/747288501.db2.gz JKTTYPNEUVBTRR-UHFFFAOYSA-N -1 1 324.333 1.949 20 0 DDADMM CC(=O)c1ccc([N-]S(=O)(=O)Cc2ccccn2)cc1O ZINC001197821743 747289538 /nfs/dbraw/zinc/28/95/38/747289538.db2.gz YNYZZHZVYNCRRD-UHFFFAOYSA-N -1 1 306.343 1.932 20 0 DDADMM O=C1NCc2cccc([N-]S(=O)(=O)Cc3ccccn3)c2N1 ZINC001197829822 747293326 /nfs/dbraw/zinc/29/33/26/747293326.db2.gz NWUVONPDJLNUJG-UHFFFAOYSA-N -1 1 318.358 1.659 20 0 DDADMM CN(C)c1nc(NC(=O)c2cncn2CCF)c(N=O)c(=O)[n-]1 ZINC001198032032 747355116 /nfs/dbraw/zinc/35/51/16/747355116.db2.gz PNRLLAUCJBPBGF-UHFFFAOYSA-N -1 1 323.288 1.064 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cncn1-c1ccccn1 ZINC001198178006 747403083 /nfs/dbraw/zinc/40/30/83/747403083.db2.gz DCDBWDUHUMXOBE-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM O=c1[nH]ncc([N-]S(=O)(=O)c2cccc(Cl)n2)c1Cl ZINC001198198580 747418083 /nfs/dbraw/zinc/41/80/83/747418083.db2.gz UXCUPQCYCJRMMV-UHFFFAOYSA-N -1 1 321.145 1.685 20 0 DDADMM CC(C)N1CC[C@H](NS(=O)(=O)c2ccccc2C(=O)[O-])C1 ZINC001198799632 747615994 /nfs/dbraw/zinc/61/59/94/747615994.db2.gz VRDZTMYDVZNVSF-NSHDSACASA-N -1 1 312.391 1.146 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1nccn1CC1CC1 ZINC001199451055 747844560 /nfs/dbraw/zinc/84/45/60/747844560.db2.gz YNMNKZSCXDNHFE-UHFFFAOYSA-N -1 1 304.266 1.145 20 0 DDADMM COC(=O)[C@H]1C[C@H]1C[N-]S(=O)(=O)c1sc(Cl)nc1C ZINC001201770971 748599647 /nfs/dbraw/zinc/59/96/47/748599647.db2.gz YQUUERQPXIWZFZ-BQBZGAKWSA-N -1 1 324.811 1.192 20 0 DDADMM COC(=O)c1cncc(S(=O)(=O)[N-]C2(C(F)(F)F)CC2)c1 ZINC001201860337 748612708 /nfs/dbraw/zinc/61/27/08/748612708.db2.gz FLMKXEZKFKZCRC-UHFFFAOYSA-N -1 1 324.280 1.241 20 0 DDADMM CC1(C)CN(C(=O)c2ccccc2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995374891 748623366 /nfs/dbraw/zinc/62/33/66/748623366.db2.gz VFFHGZKPAMNESE-LBPRGKRZSA-N -1 1 315.377 1.151 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1ccc(Cl)nc1OC ZINC001201904577 748634688 /nfs/dbraw/zinc/63/46/88/748634688.db2.gz HYFIGOXBPMSRKN-UHFFFAOYSA-N -1 1 322.770 1.439 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cccc2c1OCCO2 ZINC001201912395 748638667 /nfs/dbraw/zinc/63/86/67/748638667.db2.gz GYNVYXSBKGRRKI-UHFFFAOYSA-N -1 1 315.347 1.153 20 0 DDADMM C[C@H](NC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccccc1 ZINC001202510322 749096570 /nfs/dbraw/zinc/09/65/70/749096570.db2.gz DHMZVAITVDNDNJ-ZETCQYMHSA-N -1 1 314.305 1.598 20 0 DDADMM Cc1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)co1 ZINC000995751537 749220634 /nfs/dbraw/zinc/22/06/34/749220634.db2.gz YHJVLQUUNZHTTI-LLVKDONJSA-N -1 1 319.365 1.052 20 0 DDADMM CON(C)C(=O)c1ccc(-c2noc(-c3cn[n-]n3)n2)cc1 ZINC001212799029 749508710 /nfs/dbraw/zinc/50/87/10/749508710.db2.gz FVEAGKAGUQPXIU-UHFFFAOYSA-N -1 1 300.278 1.155 20 0 DDADMM CC(=O)N1CCCc2cc(-c3noc(-c4cn[n-]n4)n3)ccc21 ZINC001212799326 749512967 /nfs/dbraw/zinc/51/29/67/749512967.db2.gz SSQJCPLLOPUPJY-UHFFFAOYSA-N -1 1 310.317 1.821 20 0 DDADMM CC1(C)CN(C(=O)[C@@H]2CC23CCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996360878 749536982 /nfs/dbraw/zinc/53/69/82/749536982.db2.gz UACUQOKVOFKZDW-WDEREUQCSA-N -1 1 319.409 1.027 20 0 DDADMM CCC(=O)N1CCCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001005407033 750006054 /nfs/dbraw/zinc/00/60/54/750006054.db2.gz UGVBCWMHIIUXLQ-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@]2(C)CN(CCC3CC3)CCO2)c1[O-] ZINC001107872827 750504814 /nfs/dbraw/zinc/50/48/14/750504814.db2.gz UCEHFHRCNUWTAQ-INIZCTEOSA-N -1 1 322.409 1.045 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])Nc1ncc(Cl)cn1 ZINC001115719201 750893007 /nfs/dbraw/zinc/89/30/07/750893007.db2.gz KEULMQIZZMWZLH-SECBINFHSA-N -1 1 321.768 1.803 20 0 DDADMM O=C(N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)[C@@]12C[C@@H]1CCCC2 ZINC001035041030 751143864 /nfs/dbraw/zinc/14/38/64/751143864.db2.gz IBOSPZKNPMMBSC-OZVIIMIRSA-N -1 1 319.409 1.171 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)oc1C ZINC000998933693 752357071 /nfs/dbraw/zinc/35/70/71/752357071.db2.gz VVGRCIBTQLJSQD-NSHDSACASA-N -1 1 319.365 1.115 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036533180 752524859 /nfs/dbraw/zinc/52/48/59/752524859.db2.gz NMARDBQMULBJPZ-PEXLVHELSA-N -1 1 307.398 1.193 20 0 DDADMM O=C(C1CCCC1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036622257 752579008 /nfs/dbraw/zinc/57/90/08/752579008.db2.gz HWVPUYRVGUYWFU-QWHCGFSZSA-N -1 1 319.409 1.123 20 0 DDADMM CC[C@@H](C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839667 753760363 /nfs/dbraw/zinc/76/03/63/753760363.db2.gz ZIYOXRBCSRRHGV-LLVKDONJSA-N -1 1 305.378 1.602 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035357 754318232 /nfs/dbraw/zinc/31/82/32/754318232.db2.gz FXQSTDIQBNFRMO-OLZOCXBDSA-N -1 1 317.389 1.364 20 0 DDADMM CCOCCC(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066993454 754363361 /nfs/dbraw/zinc/36/33/61/754363361.db2.gz XXIGKUFAKDHRNY-UHFFFAOYSA-N -1 1 323.393 1.134 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2cc[nH]c2)CN1C(=O)c1ncccc1[O-] ZINC001012508122 755113854 /nfs/dbraw/zinc/11/38/54/755113854.db2.gz YJXHGVBNUOKSSM-PWSUYJOCSA-N -1 1 314.345 1.148 20 0 DDADMM CC(=O)N1CCC[C@H]1[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001013913043 755537723 /nfs/dbraw/zinc/53/77/23/755537723.db2.gz RTSSCVJRKILEAQ-KGLIPLIRSA-N -1 1 317.389 1.650 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)C2(C)CCC2)C1 ZINC001016427879 757034738 /nfs/dbraw/zinc/03/47/38/757034738.db2.gz ODSHSBPBOQCYHM-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)c2cc[nH]c2)C1 ZINC001016428245 757035189 /nfs/dbraw/zinc/03/51/89/757035189.db2.gz WCNDIUOHBVZSLJ-UHFFFAOYSA-N -1 1 314.345 1.148 20 0 DDADMM CC(=O)NC1CC(N(C)C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC001016826378 757385461 /nfs/dbraw/zinc/38/54/61/757385461.db2.gz KMIIMWNBZZMFKU-UHFFFAOYSA-N -1 1 320.393 1.353 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)C1CCCCC1 ZINC001085121353 758268872 /nfs/dbraw/zinc/26/88/72/758268872.db2.gz CDMIDODJEXVECX-CHWSQXEVSA-N -1 1 321.425 1.608 20 0 DDADMM O=C(C[C@@H]1C=CCC1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085128019 758277509 /nfs/dbraw/zinc/27/75/09/758277509.db2.gz ZBSWRAULPHHOSW-JHJVBQTASA-N -1 1 319.409 1.384 20 0 DDADMM CC(C)C(=O)N[C@@H]1CC[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001018003695 758463439 /nfs/dbraw/zinc/46/34/39/758463439.db2.gz ZKSZLYMQNMRYDY-NWDGAFQWSA-N -1 1 305.378 1.553 20 0 DDADMM CC(C)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001018174661 758603033 /nfs/dbraw/zinc/60/30/33/758603033.db2.gz FRNUKXOMYRUSLP-PHIMTYICSA-N -1 1 316.361 1.626 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC(=O)CC12CCC2 ZINC000828270915 759582586 /nfs/dbraw/zinc/58/25/86/759582586.db2.gz HAMOUSWCYVUCKK-UHFFFAOYSA-N -1 1 316.386 1.746 20 0 DDADMM CC(C)c1ccoc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019279854 759757410 /nfs/dbraw/zinc/75/74/10/759757410.db2.gz VEFVHNBMJJYJKN-JTQLQIEISA-N -1 1 319.365 1.231 20 0 DDADMM Cc1cc(CN2CCC[C@H](NC(=O)c3ncccc3[O-])C2)on1 ZINC001007188297 761684694 /nfs/dbraw/zinc/68/46/94/761684694.db2.gz HVEVCRBPWNZBST-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@H]3CN(C/C=C/Cl)C[C@H]32)c1[O-] ZINC001050025158 763033715 /nfs/dbraw/zinc/03/37/15/763033715.db2.gz QIBVGVQXWLNPFP-UHOOHFNOSA-N -1 1 324.812 1.713 20 0 DDADMM C[C@H](NC(=O)C1(C)CC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532089 763719215 /nfs/dbraw/zinc/71/92/15/763719215.db2.gz WZQDLZIHRXEXNM-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cnc(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)o1 ZINC001042643276 764305535 /nfs/dbraw/zinc/30/55/35/764305535.db2.gz ZTFCKXJEBCTLRV-UHFFFAOYSA-N -1 1 302.334 1.040 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(Cc2cscn2)C1 ZINC001042646600 764310216 /nfs/dbraw/zinc/31/02/16/764310216.db2.gz TXIBLYYHIAQWCC-UHFFFAOYSA-N -1 1 304.375 1.200 20 0 DDADMM COCCCCCN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112784062 764756638 /nfs/dbraw/zinc/75/66/38/764756638.db2.gz OJUXVIIIFQTLCX-UHFFFAOYSA-N -1 1 307.394 1.362 20 0 DDADMM C[C@@H](Nc1cncc(Cl)n1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001112983718 765082302 /nfs/dbraw/zinc/08/23/02/765082302.db2.gz PMJYIXUQEZJUQE-BDAKNGLRSA-N -1 1 321.768 1.850 20 0 DDADMM C[C@@]1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC=CCC1 ZINC001045050142 766063284 /nfs/dbraw/zinc/06/32/84/766063284.db2.gz BMADHUUKSKNNBF-BLLLJJGKSA-N -1 1 319.409 1.337 20 0 DDADMM CC(C)Oc1ccnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170213980 766174268 /nfs/dbraw/zinc/17/42/68/766174268.db2.gz VLKVFWCKADAUGC-UHFFFAOYSA-N -1 1 303.282 1.037 20 0 DDADMM CCc1nc(Cl)nc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1C ZINC001170216239 766175047 /nfs/dbraw/zinc/17/50/47/766175047.db2.gz HJXSSWRFDUOBDA-UHFFFAOYSA-N -1 1 321.728 1.774 20 0 DDADMM Cc1nc2c(ccn2C)c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170218087 766176574 /nfs/dbraw/zinc/17/65/74/766176574.db2.gz QNBKFXLCUKBVHX-UHFFFAOYSA-N -1 1 312.293 1.049 20 0 DDADMM C[C@@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)c1ccncc1 ZINC001170336461 766257897 /nfs/dbraw/zinc/25/78/97/766257897.db2.gz ZHMVCXMYFXZQEB-JTQLQIEISA-N -1 1 313.386 1.947 20 0 DDADMM O=C(NCCCN(c1ncccn1)C1CC1)c1ncccc1[O-] ZINC001096025575 766303560 /nfs/dbraw/zinc/30/35/60/766303560.db2.gz HDMXQUFCPIVTSL-UHFFFAOYSA-N -1 1 313.361 1.366 20 0 DDADMM C[C@H]1CCN(c2ncccn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001067954449 766836316 /nfs/dbraw/zinc/83/63/16/766836316.db2.gz MQPPVDDNIJHEGK-NWDGAFQWSA-N -1 1 313.361 1.222 20 0 DDADMM O=C(N[C@H]1C[C@H](CNc2cnc(F)cn2)C1)c1ncccc1[O-] ZINC001068475523 767353165 /nfs/dbraw/zinc/35/31/65/767353165.db2.gz CJCWCAAYZZKFSL-MGCOHNPYSA-N -1 1 317.324 1.337 20 0 DDADMM Cc1nsc(N[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)n1 ZINC001068821308 767638859 /nfs/dbraw/zinc/63/88/59/767638859.db2.gz ATHLWVULALBTSB-WCBMZHEXSA-N -1 1 319.390 1.662 20 0 DDADMM C[C@@H]1[C@H](Nc2cnc(F)cn2)CCN1C(=O)c1ncccc1[O-] ZINC001068822753 767640028 /nfs/dbraw/zinc/64/00/28/767640028.db2.gz LZACMCDUDVMQLO-NXEZZACHSA-N -1 1 317.324 1.431 20 0 DDADMM O=C(/C=C/C1CC1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648621 768643804 /nfs/dbraw/zinc/64/38/04/768643804.db2.gz QXBSBFRJXMTCPQ-SBDDDAINSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(CCC1CC1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648848 768643973 /nfs/dbraw/zinc/64/39/73/768643973.db2.gz ZRDGBPVMVZVXMV-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCOC[C@@H]2CCCO2)c1 ZINC001136834785 772166825 /nfs/dbraw/zinc/16/68/25/772166825.db2.gz CLRYAJNGIBEUTR-ZDUSSCGKSA-N -1 1 307.346 1.439 20 0 DDADMM C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@](C)(O)c1ccccc1 ZINC001144682985 772595820 /nfs/dbraw/zinc/59/58/20/772595820.db2.gz LPLLWTTWTLZQLP-SGTLLEGYSA-N -1 1 313.353 1.987 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)c1cc[nH]n1)c1ccccc1N ZINC001146842494 772963161 /nfs/dbraw/zinc/96/31/61/772963161.db2.gz VGAUHEZFFMMAHR-UHFFFAOYSA-N -1 1 319.346 1.280 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)c1cc[nH]n1)c1ccccc1N ZINC001146842494 772963165 /nfs/dbraw/zinc/96/31/65/772963165.db2.gz VGAUHEZFFMMAHR-UHFFFAOYSA-N -1 1 319.346 1.280 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NC[C@@H]3CCO[C@@H]3C)cc2)[n-]1 ZINC001148338228 773441638 /nfs/dbraw/zinc/44/16/38/773441638.db2.gz VQKWXFOELYXJQN-OCCSQVGLSA-N -1 1 315.373 1.954 20 0 DDADMM O=C(NC[C@@H]1CNC(=O)C1)c1cccc(-c2ccccc2)c1[O-] ZINC001148873007 773622640 /nfs/dbraw/zinc/62/26/40/773622640.db2.gz PMBFVVMLSUYXOG-LBPRGKRZSA-N -1 1 310.353 1.925 20 0 DDADMM O=C(N[C@@H](CO)C(F)(F)F)c1cc2cccc(O)c2cc1[O-] ZINC001149656782 774212078 /nfs/dbraw/zinc/21/20/78/774212078.db2.gz NBTFTOFQFZXIKF-LBPRGKRZSA-N -1 1 315.247 1.904 20 0 DDADMM Cc1cnc(C)nc1NCCCNC(=O)c1ncccc1[O-] ZINC001094375958 775820576 /nfs/dbraw/zinc/82/05/76/775820576.db2.gz XGBQWJWCFVMSCE-UHFFFAOYSA-N -1 1 301.350 1.426 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])c1cc(F)ncn1 ZINC001101125928 776927213 /nfs/dbraw/zinc/92/72/13/776927213.db2.gz VDBHELDOOMSZKV-UHFFFAOYSA-N -1 1 319.340 1.363 20 0 DDADMM C[S@@](=O)c1ccccc1[N-]S(=O)(=O)c1ccc(N)cc1 ZINC001175308626 777746567 /nfs/dbraw/zinc/74/65/67/777746567.db2.gz ITOFIRJOHQOBAN-LJQANCHMSA-N -1 1 310.400 1.807 20 0 DDADMM COc1ccc2[nH]c3c(c2c1)CCN(C(=O)c1cnncc1[O-])C3 ZINC001176000804 777994365 /nfs/dbraw/zinc/99/43/65/777994365.db2.gz FAYNVNFFHQGPOH-UHFFFAOYSA-N -1 1 324.340 1.871 20 0 DDADMM CN1CCN(c2ccc(Nc3cnn(CC(=O)[O-])c3)cc2)CC1 ZINC001176267601 778112755 /nfs/dbraw/zinc/11/27/55/778112755.db2.gz SMEKOGUVVVQQMT-UHFFFAOYSA-N -1 1 315.377 1.463 20 0 DDADMM C[C@@H](CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001102604239 778234994 /nfs/dbraw/zinc/23/49/94/778234994.db2.gz NMFXLOFESLHANS-DMDPSCGWSA-N -1 1 321.425 1.131 20 0 DDADMM Cc1cc(C)c(NC(=O)Cc2nn[n-]n2)c(Br)n1 ZINC001176838063 778268841 /nfs/dbraw/zinc/26/88/41/778268841.db2.gz PJOLULKVLCSDCB-UHFFFAOYSA-N -1 1 311.143 1.155 20 0 DDADMM Cc1ccnn1CC(=O)Nc1nc(Br)ccc1[O-] ZINC001177044593 778406445 /nfs/dbraw/zinc/40/64/45/778406445.db2.gz CINBYGAKFKNUEY-UHFFFAOYSA-N -1 1 311.139 1.693 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)C1CC=CC1 ZINC001102812096 778421636 /nfs/dbraw/zinc/42/16/36/778421636.db2.gz YMLCBZJQCYDRDG-DGCLKSJQSA-N -1 1 319.409 1.051 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)[C@H]1C[C@@H]1c1cccs1 ZINC001177544473 778644303 /nfs/dbraw/zinc/64/43/03/778644303.db2.gz RAUYHSIGDBPSKZ-WDSKDSINSA-N -1 1 317.330 1.519 20 0 DDADMM O=C(Cn1nn[n-]c1=S)Nc1c(Cl)ccc(O)c1Cl ZINC001177740953 778717792 /nfs/dbraw/zinc/71/77/92/778717792.db2.gz MDQZLGYVDXGYOO-UHFFFAOYSA-N -1 1 320.161 1.613 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@@](C)(O)C(F)(F)F)[n-]1 ZINC001179804371 779492785 /nfs/dbraw/zinc/49/27/85/779492785.db2.gz NBYTZDNAYVYICK-SNVBAGLBSA-N -1 1 309.244 1.228 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@@](C)(O)C(F)(F)F)n1 ZINC001179804371 779492790 /nfs/dbraw/zinc/49/27/90/779492790.db2.gz NBYTZDNAYVYICK-SNVBAGLBSA-N -1 1 309.244 1.228 20 0 DDADMM C[NH+](C)[C@H]1CCCC[C@@H]1[N-]C(=O)C(F)(F)C(F)(F)C(=O)[O-] ZINC001180176892 779636470 /nfs/dbraw/zinc/63/64/70/779636470.db2.gz QPIZIRWTAOIGHS-YUMQZZPRSA-N -1 1 314.279 1.331 20 0 DDADMM CC(C)c1nc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c[nH]1 ZINC001116465853 780512444 /nfs/dbraw/zinc/51/24/44/780512444.db2.gz LKVQDDKARKMGRM-UHFFFAOYSA-N -1 1 307.272 1.759 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2ccc(C(C)=O)s2)n1 ZINC001417447778 838091613 /nfs/dbraw/zinc/09/16/13/838091613.db2.gz RESBFNMJTGUCAA-UHFFFAOYSA-N -1 1 311.344 1.841 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@@H](CC)C(=O)OCC ZINC001228553156 844332195 /nfs/dbraw/zinc/33/21/95/844332195.db2.gz UIDVXCRXBGVJKM-VIFPVBQESA-N -1 1 314.363 1.663 20 0 DDADMM CN1C[C@H]2C[C@@H](C1)N(C(=O)c1c(F)c(F)c([O-])c(F)c1F)C2 ZINC001270007013 842222286 /nfs/dbraw/zinc/22/22/86/842222286.db2.gz JCFIKZVUNAWVCJ-RQJHMYQMSA-N -1 1 318.270 1.725 20 0 DDADMM CCCC[C@@](C)(F)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001409045085 843310209 /nfs/dbraw/zinc/31/02/09/843310209.db2.gz VDQSBLJFDQSBBW-MRXNPFEDSA-N -1 1 323.368 1.646 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)N3CCn4nncc4C3)cc2c1 ZINC001154752344 861426619 /nfs/dbraw/zinc/42/66/19/861426619.db2.gz FIYXZMSDXJJERF-UHFFFAOYSA-N -1 1 324.340 1.802 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)N3C[C@H](O)C[C@@H]3CO)cc2c1 ZINC001154755866 861429371 /nfs/dbraw/zinc/42/93/71/861429371.db2.gz CTOUIHHOBMVSST-CHWSQXEVSA-N -1 1 317.341 1.122 20 0 DDADMM COC[C@@H](NCc1cc(Br)cnc1Cl)C(=O)[O-] ZINC001326571133 861454040 /nfs/dbraw/zinc/45/40/40/861454040.db2.gz UBQPILKCQZPQPN-MRVPVSSYSA-N -1 1 323.574 1.687 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H]2CC(=O)N(C(C)(C)C)C2)c1 ZINC001149211914 861489177 /nfs/dbraw/zinc/48/91/77/861489177.db2.gz RCPQBRMXPDZAAB-GFCCVEGCSA-N -1 1 318.373 1.642 20 0 DDADMM CN(C(=O)c1ncsc1Nc1cccnc1)c1nn[n-]n1 ZINC001149220153 861492631 /nfs/dbraw/zinc/49/26/31/861492631.db2.gz SDCZJZRXZYFTHP-UHFFFAOYSA-N -1 1 302.323 1.071 20 0 DDADMM CC[C@@H](CNC(=O)CC(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001409397498 845037001 /nfs/dbraw/zinc/03/70/01/845037001.db2.gz OTCGXXWIXVBPDP-VIFPVBQESA-N -1 1 315.320 1.457 20 0 DDADMM CCCCC(=O)N[C@@](C)(CNC(=O)c1ncccc1[O-])C1CC1 ZINC001409508116 845211316 /nfs/dbraw/zinc/21/13/16/845211316.db2.gz XWEKBABWGOZLGR-KRWDZBQOSA-N -1 1 319.405 1.992 20 0 DDADMM CN(CCC1OCCO1)C(=O)c1ccc2cccnc2c1[O-] ZINC001149320572 861544325 /nfs/dbraw/zinc/54/43/25/861544325.db2.gz NNVLKMFNWWJRDJ-UHFFFAOYSA-N -1 1 302.330 1.775 20 0 DDADMM CN(C(=O)CCNC(=O)c1ccc2cccnc2c1[O-])C1CC1 ZINC001149326791 861548179 /nfs/dbraw/zinc/54/81/79/861548179.db2.gz NQAJBIMRZXWOHK-UHFFFAOYSA-N -1 1 313.357 1.681 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc3c2ncn3C)n1 ZINC001154991951 861628018 /nfs/dbraw/zinc/62/80/18/861628018.db2.gz VTFWGUTXOCEBJO-UHFFFAOYSA-N -1 1 313.317 1.725 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C(C)(C)C ZINC001365404693 846195127 /nfs/dbraw/zinc/19/51/27/846195127.db2.gz VIWALWKEWIVQRZ-JTQLQIEISA-N -1 1 317.411 1.689 20 0 DDADMM CC(C)[C@](C)(NC(=O)c1cc2cccc(O)c2cc1[O-])C(N)=O ZINC001149653002 861783703 /nfs/dbraw/zinc/78/37/03/861783703.db2.gz CPEIEFXICGXATG-KRWDZBQOSA-N -1 1 316.357 1.881 20 0 DDADMM CCOC(=O)Cc1cccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c1 ZINC001212525145 848109971 /nfs/dbraw/zinc/10/99/71/848109971.db2.gz SPGMZXKRLNVMCH-UHFFFAOYSA-N -1 1 320.374 1.902 20 0 DDADMM Cc1[nH]c(CN2CCC[C@@]23CCN(C)C3=O)c(C)c1C(=O)[O-] ZINC001273171401 848627597 /nfs/dbraw/zinc/62/75/97/848627597.db2.gz FJVHVUMXQKSTPL-INIZCTEOSA-N -1 1 305.378 1.526 20 0 DDADMM CCCC1(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C(C)(C)C)CC1 ZINC001411215598 850420402 /nfs/dbraw/zinc/42/04/02/850420402.db2.gz HKQUPLBZYKZXPA-NSHDSACASA-N -1 1 323.441 1.711 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cccn1C(C)C ZINC001273758967 851310102 /nfs/dbraw/zinc/31/01/02/851310102.db2.gz KMMXYXHSHRGQMJ-UHFFFAOYSA-N -1 1 320.397 1.145 20 0 DDADMM COC(=O)c1scc([N-]S(=O)(=O)C(C)C)c1C(=O)OC ZINC001252427497 851573153 /nfs/dbraw/zinc/57/31/53/851573153.db2.gz PKIUAYBIGIFFAA-UHFFFAOYSA-N -1 1 321.376 1.471 20 0 DDADMM Cc1ccc2ccc(C(=O)Nc3nccnc3C(N)=O)c([O-])c2n1 ZINC001155652551 862344769 /nfs/dbraw/zinc/34/47/69/862344769.db2.gz YGDLWFHLVRPAJB-UHFFFAOYSA-N -1 1 323.312 1.390 20 0 DDADMM CN1C[C@@]2(CCN(Cc3cc([O-])c(F)c(F)c3)C2)OCC1=O ZINC001274807694 852614448 /nfs/dbraw/zinc/61/44/48/852614448.db2.gz BRVLROPDCCQOAR-OAHLLOKOSA-N -1 1 312.316 1.104 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC[C@@H]3C[C@@]32C(=O)NC(C)(C)C)n1 ZINC001274973235 852722299 /nfs/dbraw/zinc/72/22/99/852722299.db2.gz PRIPMVTVGCKJJG-DIFFPNOSSA-N -1 1 317.389 1.615 20 0 DDADMM CN(C)C(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1ccc(F)c([O-])c1 ZINC001275408890 853081763 /nfs/dbraw/zinc/08/17/63/853081763.db2.gz SOXASIJSLXUUMT-KTJYFRGRSA-N -1 1 320.364 1.764 20 0 DDADMM C[C@@H](NC(=O)C1(C)CCCCC1)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001411427519 853096546 /nfs/dbraw/zinc/09/65/46/853096546.db2.gz OFVGREMCEFIHMM-WDEREUQCSA-N -1 1 307.398 1.398 20 0 DDADMM NC(=O)C[C@@H]1C[C@@H]2CN(C(=O)c3c([O-])cccc3Cl)C[C@@H]2O1 ZINC001275466753 853162487 /nfs/dbraw/zinc/16/24/87/853162487.db2.gz QRKHXNZWPVYRBE-PTRXPTGYSA-N -1 1 324.764 1.151 20 0 DDADMM COc1ccc(NC(=O)c2cccnn2)cc1[N-]S(C)(=O)=O ZINC001411629687 853484151 /nfs/dbraw/zinc/48/41/51/853484151.db2.gz MJYPQTGYYIFXDY-UHFFFAOYSA-N -1 1 322.346 1.109 20 0 DDADMM CCC[C@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccn1 ZINC001411785246 853733372 /nfs/dbraw/zinc/73/33/72/853733372.db2.gz ZOAVENYZJCJFJS-WCQYABFASA-N -1 1 316.365 1.079 20 0 DDADMM C[C@@H](CNC(=O)OC(C)(C)C)CNC(=O)c1ccc([O-])cn1 ZINC001411937814 854000220 /nfs/dbraw/zinc/00/02/20/854000220.db2.gz MUBXTPNZKGKZFD-SNVBAGLBSA-N -1 1 309.366 1.678 20 0 DDADMM NC(=O)[C@]1(c2ccccc2)CCN(C(=O)c2ncccc2[O-])C1 ZINC001412246297 854372641 /nfs/dbraw/zinc/37/26/41/854372641.db2.gz KFQLDFZRMKPCEN-QGZVFWFLSA-N -1 1 311.341 1.056 20 0 DDADMM CN(C(=O)C(C)(C)[C@@H]1CCC[C@H](C(F)(F)F)N1)c1nn[n-]n1 ZINC001412376016 854486586 /nfs/dbraw/zinc/48/65/86/854486586.db2.gz MOJRIPPJDGTDSQ-JGVFFNPUSA-N -1 1 320.319 1.262 20 0 DDADMM COC(=O)C[C@@H](C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001412490744 854618291 /nfs/dbraw/zinc/61/82/91/854618291.db2.gz LETUGTLTMFMWER-MRVPVSSYSA-N -1 1 306.293 1.761 20 0 DDADMM NC(=O)[C@@H]1Cc2ccccc2N(C(=O)c2ccc([O-])cc2F)C1 ZINC001412502679 854632498 /nfs/dbraw/zinc/63/24/98/854632498.db2.gz JUVUSIPQXRECDY-LLVKDONJSA-N -1 1 314.316 1.836 20 0 DDADMM O=C(C[C@@H]1CCCO1)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001412569961 854737296 /nfs/dbraw/zinc/73/72/96/854737296.db2.gz HHDMQSLEHXFZNV-LBPRGKRZSA-N -1 1 303.322 1.286 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@@H](C)C1CC1 ZINC001412593418 854784993 /nfs/dbraw/zinc/78/49/93/854784993.db2.gz SOFJOUFICMTHJG-GXSJLCMTSA-N -1 1 306.366 1.691 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@@H](C)C1CC1 ZINC001412593418 854784998 /nfs/dbraw/zinc/78/49/98/854784998.db2.gz SOFJOUFICMTHJG-GXSJLCMTSA-N -1 1 306.366 1.691 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@@H](C)C1CC1 ZINC001412593418 854785001 /nfs/dbraw/zinc/78/50/01/854785001.db2.gz SOFJOUFICMTHJG-GXSJLCMTSA-N -1 1 306.366 1.691 20 0 DDADMM COC[C@@H](NC(=O)c1cccc(C2CCC2)c1)c1nn[n-]n1 ZINC001412605500 854796800 /nfs/dbraw/zinc/79/68/00/854796800.db2.gz KFQZCJRIBOPHQC-CYBMUJFWSA-N -1 1 301.350 1.585 20 0 DDADMM O=C(N[C@@H]1CCCC[C@@H]1N1CCOCC1)c1ccc(F)c([O-])c1 ZINC001412647320 854874622 /nfs/dbraw/zinc/87/46/22/854874622.db2.gz SCVPITIQBVSZEU-CABCVRRESA-N -1 1 322.380 1.905 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H](c3ncon3)C2)c(=O)[n-]1 ZINC001412654034 854884554 /nfs/dbraw/zinc/88/45/54/854884554.db2.gz MUYYPHOPWLAIIP-QMMMGPOBSA-N -1 1 321.362 1.307 20 0 DDADMM O=C(NC[C@H](O)COc1ccc(F)cc1)c1ccc(F)c([O-])c1 ZINC001412659836 854893737 /nfs/dbraw/zinc/89/37/37/854893737.db2.gz GNVWQOJUVPIIKQ-LBPRGKRZSA-N -1 1 323.295 1.840 20 0 DDADMM COc1ccc([C@@H](CCO)NC(=O)c2ccc([O-])cn2)cc1 ZINC001412681362 854933331 /nfs/dbraw/zinc/93/33/31/854933331.db2.gz YZISGFXVAYQYOK-CQSZACIVSA-N -1 1 302.330 1.649 20 0 DDADMM Cc1ccc(CC(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)cc1 ZINC001412699951 854980847 /nfs/dbraw/zinc/98/08/47/854980847.db2.gz PEHQCDZJVVZVER-UHFFFAOYSA-N -1 1 315.373 1.748 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@]1(C)CCOC1 ZINC001412852510 855727941 /nfs/dbraw/zinc/72/79/41/855727941.db2.gz NENFUGNDTKBWDI-OUJBWJOFSA-N -1 1 322.327 1.471 20 0 DDADMM Cc1[nH]c(=O)c(C(=O)Nc2c[n-][nH]c2=O)cc1Br ZINC001412857263 855730572 /nfs/dbraw/zinc/73/05/72/855730572.db2.gz PHAICLQTNLZFNJ-UHFFFAOYSA-N -1 1 313.111 1.539 20 0 DDADMM Nn1c(Sc2cc(Cl)nc(=O)[n-]2)nnc1C(F)(F)F ZINC001412878353 855743779 /nfs/dbraw/zinc/74/37/79/855743779.db2.gz KQTZWZZSUZCYPQ-UHFFFAOYSA-N -1 1 312.664 1.311 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@H]1O)c1cccc([O-])c1F ZINC001412886146 855755050 /nfs/dbraw/zinc/75/50/50/855755050.db2.gz QDJZMVXWRHQSOT-ABAIWWIYSA-N -1 1 323.364 1.829 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc([O-])c2F)C[C@@]2(CCCOC2)O1 ZINC001412889504 855763351 /nfs/dbraw/zinc/76/33/51/855763351.db2.gz FLWZGEVEFCXMFO-BDJLRTHQSA-N -1 1 309.337 1.941 20 0 DDADMM Cn1cc([C@@H]2C[C@@H](NC(=O)c3cccc([O-])c3F)CCO2)cn1 ZINC001413018285 855949133 /nfs/dbraw/zinc/94/91/33/855949133.db2.gz PTZCSKNJTOCEJO-FZMZJTMJSA-N -1 1 319.336 1.915 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cnc2ccncc2c1 ZINC001151449731 862785530 /nfs/dbraw/zinc/78/55/30/862785530.db2.gz RHBDGOGXOOHQEA-UHFFFAOYSA-N -1 1 309.262 1.318 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCO[C@@H]([C@H](C)O)C1 ZINC001413100495 856430631 /nfs/dbraw/zinc/43/06/31/856430631.db2.gz HKAPRFRMEWPIPC-WDEREUQCSA-N -1 1 323.393 1.010 20 0 DDADMM CC(C)OC(=O)c1cccnc1NCC[N-]C(=O)C(F)(F)F ZINC001156204738 862816648 /nfs/dbraw/zinc/81/66/48/862816648.db2.gz IAXWLKMLNZSFPE-UHFFFAOYSA-N -1 1 319.283 1.737 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2C[C@@](C)(OC)C2(C)C)sn1 ZINC001413292204 856613987 /nfs/dbraw/zinc/61/39/87/856613987.db2.gz CVURTSRDMRSFPD-PRHODGIISA-N -1 1 320.436 1.634 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H]1CCO[C@@H](C)C1 ZINC001413319781 856644861 /nfs/dbraw/zinc/64/48/61/856644861.db2.gz JNCKOEYSPICCGB-IUCAKERBSA-N -1 1 306.409 1.245 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1nccnc1Cl ZINC001413344847 856693235 /nfs/dbraw/zinc/69/32/35/856693235.db2.gz MDUFUPGJKIXKSF-UHFFFAOYSA-N -1 1 320.783 1.074 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@@]12CCC[C@]1(OC)OCC2)C1CC1 ZINC001413431451 856801243 /nfs/dbraw/zinc/80/12/43/856801243.db2.gz LMAZCYZIXQGIDI-MCIONIFRSA-N -1 1 319.423 1.017 20 0 DDADMM COC(=O)Nc1ccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)cc1 ZINC001413471137 856857294 /nfs/dbraw/zinc/85/72/94/856857294.db2.gz PYJCWJSNCWCZDZ-JTQLQIEISA-N -1 1 316.321 1.008 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(C(C)C)nc2)co1 ZINC001413628717 857258879 /nfs/dbraw/zinc/25/88/79/857258879.db2.gz SKCMLBXAYXPAEE-UHFFFAOYSA-N -1 1 323.374 1.958 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc2ncsc2n1 ZINC001156305153 862922202 /nfs/dbraw/zinc/92/22/02/862922202.db2.gz OORVVZIDRRGKMZ-UHFFFAOYSA-N -1 1 315.291 1.379 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)[C@H](C)c1ccc(F)cc1 ZINC001121768688 858592344 /nfs/dbraw/zinc/59/23/44/858592344.db2.gz NWXSIAOTRQUOMC-SECBINFHSA-N -1 1 316.344 1.815 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)[C@H](C)c1ccc(F)cc1 ZINC001121768688 858592351 /nfs/dbraw/zinc/59/23/51/858592351.db2.gz NWXSIAOTRQUOMC-SECBINFHSA-N -1 1 316.344 1.815 20 0 DDADMM C[C@H](c1ccccn1)N1CCC[C@@H](OCCS(=O)(=O)[O-])C1 ZINC001224571898 881454821 /nfs/dbraw/zinc/45/48/21/881454821.db2.gz PUKKCKJXHVMCDG-CHWSQXEVSA-N -1 1 314.407 1.511 20 0 DDADMM CCOC(=O)c1cnc2n1CCN(Cc1ncccc1[O-])[C@@H]2C ZINC001138395967 860092764 /nfs/dbraw/zinc/09/27/64/860092764.db2.gz LQJBCRPNNFSLHG-LLVKDONJSA-N -1 1 316.361 1.737 20 0 DDADMM COc1cc(C(=O)N2C[C@H]3C[C@@]3(C(N)=O)C2)cc(Cl)c1[O-] ZINC001276401364 860469262 /nfs/dbraw/zinc/46/92/62/860469262.db2.gz CMERLSZJPGPWNW-XLKFXECMSA-N -1 1 310.737 1.002 20 0 DDADMM Cc1[nH]c(CN2CC(N(C)[C@@H]3CCOC3)C2)c(C)c1C(=O)[O-] ZINC001140497086 860645527 /nfs/dbraw/zinc/64/55/27/860645527.db2.gz DNMROCFLHARYJZ-GFCCVEGCSA-N -1 1 307.394 1.235 20 0 DDADMM Cc1[nH]c(CN2CCC[C@@H](n3ccnn3)C2)c(C)c1C(=O)[O-] ZINC001140499261 860646339 /nfs/dbraw/zinc/64/63/39/860646339.db2.gz PBGGRTHRACDVCD-GFCCVEGCSA-N -1 1 303.366 1.758 20 0 DDADMM O=C(Nc1ccnn1Br)c1ccc2[nH][n-]c(=O)c2c1 ZINC001154206568 860869809 /nfs/dbraw/zinc/86/98/09/860869809.db2.gz ZEWMIRVAQFTFOU-UHFFFAOYSA-N -1 1 322.122 1.875 20 0 DDADMM O=C(NCc1ccc(-n2cncn2)nc1)c1ccc(F)c([O-])c1 ZINC001141625055 860899794 /nfs/dbraw/zinc/89/97/94/860899794.db2.gz CEMYJADFBWVISC-UHFFFAOYSA-N -1 1 313.292 1.437 20 0 DDADMM COc1ccc(NC(=O)CCCc2nn[n-]n2)c2ncccc12 ZINC001154536578 861185892 /nfs/dbraw/zinc/18/58/92/861185892.db2.gz FXCBRTXJMIIKAD-UHFFFAOYSA-N -1 1 312.333 1.718 20 0 DDADMM CN1CCN(c2ccc(NC(=O)CCCCC(=O)[O-])cn2)CC1 ZINC001329934187 863955022 /nfs/dbraw/zinc/95/50/22/863955022.db2.gz OMZKDLVWFKRBEH-UHFFFAOYSA-N -1 1 320.393 1.417 20 0 DDADMM CCN=c1ncc(N)cn1C(=O)c1c(O)cc2ccccc2c1[O-] ZINC001154023198 864330356 /nfs/dbraw/zinc/33/03/56/864330356.db2.gz PNOXBOPLLAOCOG-UHFFFAOYSA-N -1 1 324.340 1.639 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cncnc2C)[n-]1 ZINC001361516791 881810918 /nfs/dbraw/zinc/81/09/18/881810918.db2.gz OBEDOVXAOMWGLO-UHFFFAOYSA-N -1 1 302.290 1.355 20 0 DDADMM CCN(C)C(=O)[C@@H](NC(=O)c1cc(C)cc(C=O)c1[O-])C(C)C ZINC001158788215 864906946 /nfs/dbraw/zinc/90/69/46/864906946.db2.gz BEKZDROVCBOGAV-AWEZNQCLSA-N -1 1 320.389 1.746 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc(Cl)nc(C4CC4)n3)c2[nH]1 ZINC001159186425 865154517 /nfs/dbraw/zinc/15/45/17/865154517.db2.gz VQJCCKDONZNTHM-UHFFFAOYSA-N -1 1 319.712 1.780 20 0 DDADMM COc1ccc(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cc1O ZINC001160789221 866118653 /nfs/dbraw/zinc/11/86/53/866118653.db2.gz VUTZIIUFOAJESS-UHFFFAOYSA-N -1 1 321.358 1.424 20 0 DDADMM Cc1cc2ccccc2nc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160850474 866150109 /nfs/dbraw/zinc/15/01/09/866150109.db2.gz YUVGFGCCBAELPL-SNVBAGLBSA-N -1 1 300.343 1.491 20 0 DDADMM COc1cccc2c(Nc3c(O)[nH]c(=O)[n-]c3=S)nccc12 ZINC001160857182 866151557 /nfs/dbraw/zinc/15/15/57/866151557.db2.gz BIYWWNLZADRSOE-SNVBAGLBSA-N -1 1 316.342 1.191 20 0 DDADMM Cc1cc(CN2CCN(C(=O)c3cccc([O-])c3F)CC2)no1 ZINC001361601884 881982879 /nfs/dbraw/zinc/98/28/79/881982879.db2.gz PACHRPYWZNVTBM-UHFFFAOYSA-N -1 1 319.336 1.786 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CCOCC(F)F)[n-]1 ZINC001333203791 866517739 /nfs/dbraw/zinc/51/77/39/866517739.db2.gz OFLKYNJHJPVIPQ-UHFFFAOYSA-N -1 1 318.276 1.614 20 0 DDADMM O=C(C(=O)N1CCC2(CC1)C[C@@H](O)CCO2)c1ccc([O-])cc1 ZINC001333527575 866812912 /nfs/dbraw/zinc/81/29/12/866812912.db2.gz WWSICYLHMHYCDX-AWEZNQCLSA-N -1 1 319.357 1.107 20 0 DDADMM CC(C(=O)N[C@H](C)[C@H](C)NC(=O)c1ncccc1[O-])=C1CCC1 ZINC001333887477 867119004 /nfs/dbraw/zinc/11/90/04/867119004.db2.gz RDEDGUFYHBNZLS-NEPJUHHUSA-N -1 1 317.389 1.911 20 0 DDADMM CCCNC(=O)c1cccc(NC(=O)c2n[nH]c(C)c2[O-])c1 ZINC001361646404 882078321 /nfs/dbraw/zinc/07/83/21/882078321.db2.gz BEPLKOWVJISTQS-UHFFFAOYSA-N -1 1 302.334 1.816 20 0 DDADMM CCSCc1cc(C(=O)[N-]c2nc3cnccn3n2)no1 ZINC001334278553 867448974 /nfs/dbraw/zinc/44/89/74/867448974.db2.gz UHRLTEMPWXCTHD-UHFFFAOYSA-N -1 1 304.335 1.618 20 0 DDADMM COC[C@@H]1CC[C@@H]([C@H]2COCCN2CCCCCC(=O)[O-])O1 ZINC001334761972 867818494 /nfs/dbraw/zinc/81/84/94/867818494.db2.gz BWLIZDCRQAGLHS-ZNMIVQPWSA-N -1 1 315.410 1.526 20 0 DDADMM CSC[C@H](NCc1cccnc1Br)C(=O)[O-] ZINC001334845651 867860898 /nfs/dbraw/zinc/86/08/98/867860898.db2.gz ZEMTZELUOQTNFC-QMMMGPOBSA-N -1 1 305.197 1.750 20 0 DDADMM Cc1cc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)co1 ZINC001164263570 869072882 /nfs/dbraw/zinc/07/28/82/869072882.db2.gz UCDGFSLNPUIECG-UHFFFAOYSA-N -1 1 319.365 1.102 20 0 DDADMM CCNC(=O)c1cc(Cl)ccc1NC(=O)c1cnncc1[O-] ZINC001361762276 882318291 /nfs/dbraw/zinc/31/82/91/882318291.db2.gz LYBGCXOJPQAKHX-UHFFFAOYSA-N -1 1 320.736 1.838 20 0 DDADMM CCN(CCc1cccs1)c1nnc(Cc2nnn[n-]2)n1C ZINC001338274190 869898310 /nfs/dbraw/zinc/89/83/10/869898310.db2.gz WHQOJURVZNJRQY-UHFFFAOYSA-N -1 1 318.410 1.050 20 0 DDADMM CCN(CCc1cccs1)c1nnc(Cc2nn[n-]n2)n1C ZINC001338274190 869898323 /nfs/dbraw/zinc/89/83/23/869898323.db2.gz WHQOJURVZNJRQY-UHFFFAOYSA-N -1 1 318.410 1.050 20 0 DDADMM O=C(CCCC1CC1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166710238 870182558 /nfs/dbraw/zinc/18/25/58/870182558.db2.gz SKDOJHZGUWOLJY-UHFFFAOYSA-N -1 1 321.425 1.467 20 0 DDADMM COC(=O)NCCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001297892440 870194448 /nfs/dbraw/zinc/19/44/48/870194448.db2.gz FEWDQMRXAPNHGP-UHFFFAOYSA-N -1 1 307.281 1.165 20 0 DDADMM COC(=O)[C@@]1(C)CCCN(c2nc(C)cc3c2C(=O)[N-]C3=O)C1 ZINC001166908943 870359815 /nfs/dbraw/zinc/35/98/15/870359815.db2.gz MNIDJJLIZQEEJD-INIZCTEOSA-N -1 1 317.345 1.053 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CCC[C@@H]1c1ccccc1 ZINC001340069388 870874509 /nfs/dbraw/zinc/87/45/09/870874509.db2.gz LONMXQPNJDMHMB-CYBMUJFWSA-N -1 1 324.392 1.743 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CCC[C@@H]1c1ccccc1 ZINC001340069388 870874516 /nfs/dbraw/zinc/87/45/16/870874516.db2.gz LONMXQPNJDMHMB-CYBMUJFWSA-N -1 1 324.392 1.743 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](NCC(F)(F)C(F)F)C1 ZINC001317297875 871088049 /nfs/dbraw/zinc/08/80/49/871088049.db2.gz MPTRQBBEIUMXMY-QMMMGPOBSA-N -1 1 321.274 1.492 20 0 DDADMM C[C@]1(CC(=O)NC2(c3nn[n-]n3)CCC2)CC1(Cl)Cl ZINC001303221428 871111244 /nfs/dbraw/zinc/11/12/44/871111244.db2.gz IBZZIJYRIILOGY-VIFPVBQESA-N -1 1 304.181 1.669 20 0 DDADMM CNC(=O)N[C@@H]1CCCN(C(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001303522718 871132329 /nfs/dbraw/zinc/13/23/29/871132329.db2.gz ZSWDUWXKMQBHNL-GFCCVEGCSA-N -1 1 319.361 1.047 20 0 DDADMM O=C([O-])[C@@]1(C(=O)N2CCC(C3CCCCC3)CC2)CNCCO1 ZINC001341265785 871583662 /nfs/dbraw/zinc/58/36/62/871583662.db2.gz OPSZGPCZFGQCJS-KRWDZBQOSA-N -1 1 324.421 1.249 20 0 DDADMM O=c1nc(O[C@@H]2CCOC2)c2c([n-]1)CN(Cc1ccccc1)C2 ZINC001226619999 882544435 /nfs/dbraw/zinc/54/44/35/882544435.db2.gz UAKNBBOSDJBYAB-CYBMUJFWSA-N -1 1 313.357 1.866 20 0 DDADMM CC(C)C(=O)NC[C@@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001361889051 882582210 /nfs/dbraw/zinc/58/22/10/882582210.db2.gz UKNNJQNGLLFFNG-LBPRGKRZSA-N -1 1 305.378 1.412 20 0 DDADMM Cc1cc(N(C)C)ncc1[N-]S(=O)(=O)c1cccc(N)c1 ZINC001206742011 872545928 /nfs/dbraw/zinc/54/59/28/872545928.db2.gz CMELYLVMZZSBEK-UHFFFAOYSA-N -1 1 306.391 1.839 20 0 DDADMM COCCn1cc(C(=O)OCc2nn[n-]n2)c2ccccc21 ZINC001343939907 872813599 /nfs/dbraw/zinc/81/35/99/872813599.db2.gz FOEXRMHMEGDPJS-UHFFFAOYSA-N -1 1 301.306 1.158 20 0 DDADMM CC1(C)CC(=O)CC[C@H]1Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c21 ZINC001226888670 882704561 /nfs/dbraw/zinc/70/45/61/882704561.db2.gz UAXYJXXJVAKKQU-SSDOTTSWSA-N -1 1 320.305 1.063 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cc(C)nn2C)[n-]c1Cl ZINC001361962290 882716558 /nfs/dbraw/zinc/71/65/58/882716558.db2.gz LCMRWVWDLXDARU-UHFFFAOYSA-N -1 1 311.729 1.534 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2C[C@]23CCOC3)[n-]c1Cl ZINC001361961935 882716864 /nfs/dbraw/zinc/71/68/64/882716864.db2.gz IOSIYGVDIYVXEJ-CPFSXVBKSA-N -1 1 313.741 1.605 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCc2c[nH]nc2C1 ZINC001208050730 873790918 /nfs/dbraw/zinc/79/09/18/873790918.db2.gz KEQUDWKMDWHPLG-UHFFFAOYSA-N -1 1 324.344 1.891 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(CCCO)CC1 ZINC001346530882 873791808 /nfs/dbraw/zinc/79/18/08/873791808.db2.gz JVMOMRUUUNDUFZ-UHFFFAOYSA-N -1 1 311.407 1.404 20 0 DDADMM CCn1ccnc1[C@@H](C)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001347021395 873978074 /nfs/dbraw/zinc/97/80/74/873978074.db2.gz OBLZGNPDZIQNHH-MRVPVSSYSA-N -1 1 307.379 1.487 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(c2ccccn2)C1 ZINC001347143479 874031448 /nfs/dbraw/zinc/03/14/48/874031448.db2.gz PXEUQGSMEDDPOA-UHFFFAOYSA-N -1 1 302.359 1.414 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCN(C[C@@H]2CCCO2)CC1 ZINC001362015501 882830927 /nfs/dbraw/zinc/83/09/27/882830927.db2.gz LMKSNUVMSYKWHH-ZDUSSCGKSA-N -1 1 308.353 1.468 20 0 DDADMM CC(=O)N1CCC[C@H](Oc2[n-]c(=O)ncc2Br)C1 ZINC001227133031 882865218 /nfs/dbraw/zinc/86/52/18/882865218.db2.gz DJKKEEVOQIUOGL-QMMMGPOBSA-N -1 1 316.155 1.334 20 0 DDADMM O=C(c1cccc2scnc21)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001349004016 874920685 /nfs/dbraw/zinc/92/06/85/874920685.db2.gz XSXKWAXMOHMSFC-MRVPVSSYSA-N -1 1 300.347 1.439 20 0 DDADMM CC(C)[C@H](C)C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001378572010 875153740 /nfs/dbraw/zinc/15/37/40/875153740.db2.gz ICUVEWYGYHYSMP-RYUDHWBXSA-N -1 1 305.378 1.410 20 0 DDADMM CCOCCC(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001349541887 875227272 /nfs/dbraw/zinc/22/72/72/875227272.db2.gz VIJVDFQFOJLMSE-UHFFFAOYSA-N -1 1 309.366 1.503 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@H](c2ccncc2)C1 ZINC001349856070 875415533 /nfs/dbraw/zinc/41/55/33/875415533.db2.gz AQFMAJKDYXPHEG-LLVKDONJSA-N -1 1 316.386 1.804 20 0 DDADMM O=C(NCC1(NC(=O)C2(CF)CCC2)CC1)c1ncccc1[O-] ZINC001378747372 875537361 /nfs/dbraw/zinc/53/73/61/875537361.db2.gz JUTFKPKOPYUGDC-UHFFFAOYSA-N -1 1 321.352 1.306 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC001350289705 875615488 /nfs/dbraw/zinc/61/54/88/875615488.db2.gz ICSSGLOHTUMJDA-UTLUCORTSA-N -1 1 309.391 1.467 20 0 DDADMM O=Cc1cc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)cs1 ZINC001350428455 875684119 /nfs/dbraw/zinc/68/41/19/875684119.db2.gz IKBCPFDZFIKIIV-VIFPVBQESA-N -1 1 305.363 1.169 20 0 DDADMM O=C([O-])c1cn(CCNCc2nc3c(s2)CCCC3)nn1 ZINC001350668257 875820898 /nfs/dbraw/zinc/82/08/98/875820898.db2.gz QLWZWROMYXUWAB-UHFFFAOYSA-N -1 1 307.379 1.101 20 0 DDADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCCC1)C1CCC1 ZINC001378888380 875852040 /nfs/dbraw/zinc/85/20/40/875852040.db2.gz YVIUUQCNCOAXLR-ZDUSSCGKSA-N -1 1 321.425 1.465 20 0 DDADMM COc1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)ccn1 ZINC001350837740 875919217 /nfs/dbraw/zinc/91/92/17/875919217.db2.gz KEYROPIGQCIZIV-CYBMUJFWSA-N -1 1 310.317 1.123 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1cnncc1[O-])c1ccc(F)cc1 ZINC001362078577 882978731 /nfs/dbraw/zinc/97/87/31/882978731.db2.gz WKNKACBQHQZORA-GFCCVEGCSA-N -1 1 319.292 1.356 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3cncc(OC)c3)no2)[n-]n1 ZINC001213464110 875946205 /nfs/dbraw/zinc/94/62/05/875946205.db2.gz WWPMMJOWVHORTM-UHFFFAOYSA-N -1 1 301.262 1.317 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3c(C)ccnc3OC)no2)[n-]n1 ZINC001213463643 875946221 /nfs/dbraw/zinc/94/62/21/875946221.db2.gz JLRWALXECHHNDU-UHFFFAOYSA-N -1 1 315.289 1.625 20 0 DDADMM COC(=O)Cc1cccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c1 ZINC001213709742 876027932 /nfs/dbraw/zinc/02/79/32/876027932.db2.gz DTISEVGDFMSJLW-UHFFFAOYSA-N -1 1 306.347 1.512 20 0 DDADMM CCOC(=O)N1CCC[C@H](Oc2[n-]c(=S)ncc2F)C1 ZINC001227384372 882991578 /nfs/dbraw/zinc/99/15/78/882991578.db2.gz XSRZNKDQCJCIIQ-QMMMGPOBSA-N -1 1 301.343 1.904 20 0 DDADMM CCC[C@@H](OC)C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001351406455 876233931 /nfs/dbraw/zinc/23/39/31/876233931.db2.gz IYCIRVGUVKXEBN-CYBMUJFWSA-N -1 1 323.393 1.181 20 0 DDADMM CC/C=C\CCOC(=O)[C@@H](C)Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1 ZINC001227429371 883008310 /nfs/dbraw/zinc/00/83/10/883008310.db2.gz BLIRWOGVELCRBK-PULIVWKDSA-N -1 1 322.321 1.431 20 0 DDADMM CC/C=C\CCOC(=O)[C@@H](C)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227429371 883008324 /nfs/dbraw/zinc/00/83/24/883008324.db2.gz BLIRWOGVELCRBK-PULIVWKDSA-N -1 1 322.321 1.431 20 0 DDADMM O=C([O-])[C@H](NCc1cccnc1Br)[C@@H]1CCCO1 ZINC001329682598 876473165 /nfs/dbraw/zinc/47/31/65/876473165.db2.gz RHSVIFFSPDKFRW-VHSXEESVSA-N -1 1 315.167 1.566 20 0 DDADMM COc1nonc1[N-]c1ccc(CN2CCOCC2)c(F)c1 ZINC001215540178 876746259 /nfs/dbraw/zinc/74/62/59/876746259.db2.gz CBVZMWKUMVUKIO-UHFFFAOYSA-N -1 1 308.313 1.793 20 0 DDADMM CC[C@H](C)CC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001374221770 912236697 /nfs/dbraw/zinc/23/66/97/912236697.db2.gz NGXVUEYGTZRYIG-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)NC1CCN(Cc2cccnc2)CC1 ZINC001354073315 877733519 /nfs/dbraw/zinc/73/35/19/877733519.db2.gz KTSHFOKHEZAIFC-CQSZACIVSA-N -1 1 305.378 1.273 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H]2C[C@H](C)n3ncnc32)c1 ZINC001300759602 878332856 /nfs/dbraw/zinc/33/28/56/878332856.db2.gz ZBPNKEXIMHWDRO-CABZTGNLSA-N -1 1 300.318 1.540 20 0 DDADMM Nc1cc(F)ccc1S(=O)(=O)[N-]c1cccc(CCO)c1 ZINC000313186623 878403616 /nfs/dbraw/zinc/40/36/16/878403616.db2.gz RIJRFFNQSZSVHT-UHFFFAOYSA-N -1 1 310.350 1.744 20 0 DDADMM C/C(=C\c1ccccc1)CN(C)c1nnc(-c2nnn[n-]2)n1C ZINC001355334876 878511200 /nfs/dbraw/zinc/51/12/00/878511200.db2.gz RGPHNLBEBXGOFJ-PKNBQFBNSA-N -1 1 310.365 1.535 20 0 DDADMM C/C(=C\c1ccccc1)CN(C)c1nnc(-c2nn[n-]n2)n1C ZINC001355334876 878511219 /nfs/dbraw/zinc/51/12/19/878511219.db2.gz RGPHNLBEBXGOFJ-PKNBQFBNSA-N -1 1 310.365 1.535 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)C1CCC1)NC(=O)c1ncccc1[O-] ZINC001395601339 912362572 /nfs/dbraw/zinc/36/25/72/912362572.db2.gz ZFFGOJCHTZABCI-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM CN(CCNC(=O)[C@]1(C)C=CCC1)C(=O)c1ncccc1[O-] ZINC001355827991 878734540 /nfs/dbraw/zinc/73/45/40/878734540.db2.gz URKKKUOKPAXSKG-MRXNPFEDSA-N -1 1 303.362 1.332 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC[C@@H](c2ccccc2)[C@@H]1C ZINC001356290125 878967862 /nfs/dbraw/zinc/96/78/62/878967862.db2.gz JTMXFILJBHZSEO-WCQYABFASA-N -1 1 324.392 1.861 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC[C@@H](c2ccccc2)[C@@H]1C ZINC001356290125 878967871 /nfs/dbraw/zinc/96/78/71/878967871.db2.gz JTMXFILJBHZSEO-WCQYABFASA-N -1 1 324.392 1.861 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)C1(C)CCCC1 ZINC001380444640 879669362 /nfs/dbraw/zinc/66/93/62/879669362.db2.gz VRGYBYREYJUPFQ-NEPJUHHUSA-N -1 1 319.405 1.991 20 0 DDADMM O=C(NC/C=C\CNC(=O)c1ncccc1[O-])c1ccoc1 ZINC001357565643 880053570 /nfs/dbraw/zinc/05/35/70/880053570.db2.gz OPDYPYJIONNXHQ-UPHRSURJSA-N -1 1 301.302 1.096 20 0 DDADMM Cc1cc(CNS(=O)(=O)c2cccc(Cl)c2[O-])n[nH]1 ZINC001365139341 890180780 /nfs/dbraw/zinc/18/07/80/890180780.db2.gz CAOUAXLJHTXCIZ-UHFFFAOYSA-N -1 1 301.755 1.556 20 0 DDADMM CCCSCC(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358588606 880571022 /nfs/dbraw/zinc/57/10/22/880571022.db2.gz PSQVPZGTJBPNEI-NSHDSACASA-N -1 1 323.418 1.261 20 0 DDADMM CC(C)CC(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCCC1 ZINC001374362516 912643971 /nfs/dbraw/zinc/64/39/71/912643971.db2.gz TXRYPVWXUFKADW-CYBMUJFWSA-N -1 1 323.441 1.711 20 0 DDADMM CC(C)n1cc2c(n1)[C@@H](NC(=O)CCCc1nn[n-]n1)CCC2 ZINC001362208690 883304116 /nfs/dbraw/zinc/30/41/16/883304116.db2.gz XYSXZPUIAZPFCA-LBPRGKRZSA-N -1 1 317.397 1.494 20 0 DDADMM CC(C)[C@H](NC(=O)CCCc1nn[n-]n1)C(=O)OC(C)(C)C ZINC001362220183 883334772 /nfs/dbraw/zinc/33/47/72/883334772.db2.gz QXQFRHUSGHKGEZ-LBPRGKRZSA-N -1 1 311.386 1.005 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H](CCCO)c1ccccc1 ZINC001362297571 883507861 /nfs/dbraw/zinc/50/78/61/883507861.db2.gz REAONCXELUBCIA-ZDUSSCGKSA-N -1 1 303.366 1.152 20 0 DDADMM C[C@@H]1C[C@@H](C)[C@@H](C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)O1 ZINC001362300546 883515433 /nfs/dbraw/zinc/51/54/33/883515433.db2.gz UPFDLLGSKZFEER-RULNRJAQSA-N -1 1 317.349 1.531 20 0 DDADMM CCOC(=O)C[C@@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362320155 883557925 /nfs/dbraw/zinc/55/79/25/883557925.db2.gz MZHGDEUBNUOAAY-SECBINFHSA-N -1 1 307.350 1.379 20 0 DDADMM O=C(NC[C@H]1CCCCS1(=O)=O)c1ccc(F)c([O-])c1 ZINC001362329451 883577618 /nfs/dbraw/zinc/57/76/18/883577618.db2.gz WJKTVZGECJLDEX-SNVBAGLBSA-N -1 1 301.339 1.228 20 0 DDADMM CC(C)CCCC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228738984 883648655 /nfs/dbraw/zinc/64/86/55/883648655.db2.gz OTSJUHQVAZPUET-CHWSQXEVSA-N -1 1 323.441 1.854 20 0 DDADMM O=C(c1cc(C(F)F)n[nH]1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001362377997 883675679 /nfs/dbraw/zinc/67/56/79/883675679.db2.gz ZUSBRMQMMJYCRO-ZCFIWIBFSA-N -1 1 313.264 1.456 20 0 DDADMM O=C(c1cnncc1O)N1CC(Cc2c(F)cccc2F)C1 ZINC001362387654 883695325 /nfs/dbraw/zinc/69/53/25/883695325.db2.gz RUIAZVQLMUBTGA-UHFFFAOYSA-N -1 1 305.284 1.775 20 0 DDADMM O=C(c1cnncc1[O-])N1CC(Cc2c(F)cccc2F)C1 ZINC001362387654 883695333 /nfs/dbraw/zinc/69/53/33/883695333.db2.gz RUIAZVQLMUBTGA-UHFFFAOYSA-N -1 1 305.284 1.775 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1CCc2cccnc21)c1nn[n-]n1 ZINC001362498164 883945066 /nfs/dbraw/zinc/94/50/66/883945066.db2.gz TYAWRBCUUIXYAO-NSHDSACASA-N -1 1 300.366 1.456 20 0 DDADMM CCC(=O)c1ccc([O-])cc1OC[C@@H](COC(C)=O)OC(C)=O ZINC001229474957 884002972 /nfs/dbraw/zinc/00/29/72/884002972.db2.gz NNRPDVRAIHAKFO-CYBMUJFWSA-N -1 1 324.329 1.859 20 0 DDADMM O=C([N-]N1Cc2ccccc2C1=O)c1cc(Br)[nH]n1 ZINC001362544506 884055401 /nfs/dbraw/zinc/05/54/01/884055401.db2.gz YYENENXBBJGSBT-UHFFFAOYSA-N -1 1 321.134 1.473 20 0 DDADMM O=C1[N-]C(=O)[C@H](Cc2ccc(O[C@@H]3CCOC3=O)cc2)S1 ZINC001229619546 884078601 /nfs/dbraw/zinc/07/86/01/884078601.db2.gz WPSGVQDLHYROAY-MNOVXSKESA-N -1 1 307.327 1.275 20 0 DDADMM O=C1[N-]C(=O)[C@@H](Cc2ccc(O[C@@H]3CCOC3=O)cc2)S1 ZINC001229619545 884078743 /nfs/dbraw/zinc/07/87/43/884078743.db2.gz WPSGVQDLHYROAY-GHMZBOCLSA-N -1 1 307.327 1.275 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2c(c1)COC(=O)N2)c1nn[n-]n1 ZINC001362616911 884228671 /nfs/dbraw/zinc/22/86/71/884228671.db2.gz KLEZSTFIFKENBW-QMMMGPOBSA-N -1 1 316.321 1.138 20 0 DDADMM CC(C)[C@H](NC(=O)CCCc1nn[n-]n1)C1(CO)CCCC1 ZINC001362621198 884243683 /nfs/dbraw/zinc/24/36/83/884243683.db2.gz BKZZMLMVUXNOIQ-AWEZNQCLSA-N -1 1 309.414 1.216 20 0 DDADMM CCC(CC)(NC(=O)c1cnn(CC(C)C)c1)c1nn[n-]n1 ZINC001362624947 884254986 /nfs/dbraw/zinc/25/49/86/884254986.db2.gz MDQBWGATKLZXRL-UHFFFAOYSA-N -1 1 305.386 1.498 20 0 DDADMM NC(=O)Cc1ccc(CNC(=O)c2cccc([O-])c2F)cc1 ZINC001362672396 884379909 /nfs/dbraw/zinc/37/99/09/884379909.db2.gz LTSNWVAOTPXNIO-UHFFFAOYSA-N -1 1 302.305 1.489 20 0 DDADMM CC[C@@H](CO)N(C)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC001362768160 884590972 /nfs/dbraw/zinc/59/09/72/884590972.db2.gz LABNZYWBGWABBU-JTQLQIEISA-N -1 1 313.423 1.374 20 0 DDADMM Cc1c(C(=O)N2CC[C@H](c3nn[n-]n3)C2)oc2c1C(=O)CCC2 ZINC001362822183 884721832 /nfs/dbraw/zinc/72/18/32/884721832.db2.gz KXPPWHMJUWVWMX-VIFPVBQESA-N -1 1 315.333 1.250 20 0 DDADMM CCC(=O)NC[C@H](C)N(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001382877145 884854697 /nfs/dbraw/zinc/85/46/97/884854697.db2.gz QZUIFWSBMUPIIF-JTQLQIEISA-N -1 1 322.409 1.601 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCS(=O)(=O)C[C@@H]1C1CC1 ZINC001362906823 884948636 /nfs/dbraw/zinc/94/86/36/884948636.db2.gz WLYXVOOOYQCJTO-GFCCVEGCSA-N -1 1 313.350 1.181 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cn1cc(Br)cn1 ZINC001362947472 885057883 /nfs/dbraw/zinc/05/78/83/885057883.db2.gz BHGJPQNBWRSVEG-UHFFFAOYSA-N -1 1 300.116 1.021 20 0 DDADMM CCCC(=O)N(C)[C@@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001383001219 885101058 /nfs/dbraw/zinc/10/10/58/885101058.db2.gz TWCNOGHIFBCMRN-JTQLQIEISA-N -1 1 320.393 1.436 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)c2cc(F)c([O-])c(F)c2)n1 ZINC001362977519 885130455 /nfs/dbraw/zinc/13/04/55/885130455.db2.gz MMSZMUIDYXOGAL-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM CC(C)N(CCN(C)C(=O)[C@H]1C[C@H]1C)C(=O)c1ncccc1[O-] ZINC001383157391 885327939 /nfs/dbraw/zinc/32/79/39/885327939.db2.gz OUEZVHFANBZCKD-OLZOCXBDSA-N -1 1 319.405 1.752 20 0 DDADMM CC(C)(CNC(N)=O)NC(=O)c1cc2ccccc2cc1[O-] ZINC001363047808 885328431 /nfs/dbraw/zinc/32/84/31/885328431.db2.gz IRASTMLDYDBKNB-UHFFFAOYSA-N -1 1 301.346 1.722 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H]1C[C@H](C)n2ncnc21 ZINC001363052284 885340633 /nfs/dbraw/zinc/34/06/33/885340633.db2.gz KOLKFHXNWIBEJJ-XPUUQOCRSA-N -1 1 320.378 1.240 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cccc([C@@H]2CCC(=O)N2)c1 ZINC001363058458 885356185 /nfs/dbraw/zinc/35/61/85/885356185.db2.gz LYHOTNBETCLHKH-LBPRGKRZSA-N -1 1 314.349 1.112 20 0 DDADMM C[S@@](=O)(=NC(=O)CCc1nn[n-]n1)c1cccc(Cl)c1 ZINC001363076297 885404840 /nfs/dbraw/zinc/40/48/40/885404840.db2.gz QXRHDNQYZNOFJE-FQEVSTJZSA-N -1 1 313.770 1.469 20 0 DDADMM Cc1cc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)cc(=O)[nH]1 ZINC001363097862 885456608 /nfs/dbraw/zinc/45/66/08/885456608.db2.gz BZHCZKWPGPXMFF-UHFFFAOYSA-N -1 1 316.361 1.953 20 0 DDADMM Cn1cc([C@H](NC(=O)c2ccc([O-])cn2)C(F)(F)F)cn1 ZINC001363103744 885473171 /nfs/dbraw/zinc/47/31/71/885473171.db2.gz UQRUBAUWBDMILF-JTQLQIEISA-N -1 1 300.240 1.554 20 0 DDADMM COC(=O)Cc1ccc(CNC(=O)c2ncc(C)cc2[O-])cc1 ZINC001363131454 885543912 /nfs/dbraw/zinc/54/39/12/885543912.db2.gz QPCKSBFVZRXJBB-UHFFFAOYSA-N -1 1 314.341 1.741 20 0 DDADMM CO[C@@H](C(=O)N(Cc1nn[n-]n1)CC(C)C)c1ccc(F)cc1 ZINC001363275214 885882628 /nfs/dbraw/zinc/88/26/28/885882628.db2.gz DSSLQEIGRSURDQ-CQSZACIVSA-N -1 1 321.356 1.711 20 0 DDADMM O=C([C@@H]1C[C@H]1c1cccc(Cl)c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363317577 886004409 /nfs/dbraw/zinc/00/44/09/886004409.db2.gz KDUPUMFKZIVUQU-WCFLWFBJSA-N -1 1 317.780 1.973 20 0 DDADMM COc1ccccc1[C@@H]1C[C@H]1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363319699 886011512 /nfs/dbraw/zinc/01/15/12/886011512.db2.gz MMZQMYXBAZWLNA-WCFLWFBJSA-N -1 1 313.361 1.328 20 0 DDADMM COc1ccccc1CC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363357396 886122431 /nfs/dbraw/zinc/12/24/31/886122431.db2.gz RMGFSYYVIAHNOS-UHFFFAOYSA-N -1 1 303.366 1.436 20 0 DDADMM CCCc1nc(C)c(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)o1 ZINC001363381718 886183574 /nfs/dbraw/zinc/18/35/74/886183574.db2.gz OWVZODKMBVODQU-UHFFFAOYSA-N -1 1 322.321 1.075 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)N(C)Cc1ccc([O-])c(F)c1F ZINC001363427185 886308531 /nfs/dbraw/zinc/30/85/31/886308531.db2.gz NPCYWVIHSLEBBM-SECBINFHSA-N -1 1 307.362 1.925 20 0 DDADMM O=C(c1cc(C2CC2)no1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363431546 886321655 /nfs/dbraw/zinc/32/16/55/886321655.db2.gz IFLGDVCIUIJXDQ-SECBINFHSA-N -1 1 302.338 1.160 20 0 DDADMM Cc1noc(C(F)(F)F)c1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363526056 886552456 /nfs/dbraw/zinc/55/24/56/886552456.db2.gz RATMZFFWTPZAGH-LURJTMIESA-N -1 1 316.243 1.145 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@H]1CCC2(CCC2)O1 ZINC001363543923 886596090 /nfs/dbraw/zinc/59/60/90/886596090.db2.gz KPHFHVJSDOUWNK-GFCCVEGCSA-N -1 1 307.398 1.676 20 0 DDADMM COC(=O)CC[C@H]1COCCN1Cc1ccc(F)c([O-])c1F ZINC001232940217 886685634 /nfs/dbraw/zinc/68/56/34/886685634.db2.gz ZNESKQLKBLOXML-NSHDSACASA-N -1 1 315.316 1.824 20 0 DDADMM CCOC(=O)[C@H]1C(=O)CCCN1Cc1cc([O-])c(F)c(F)c1 ZINC001232973405 886701897 /nfs/dbraw/zinc/70/18/97/886701897.db2.gz WQSHODOEJHQVAJ-CQSZACIVSA-N -1 1 313.300 1.767 20 0 DDADMM COC[C@H](NC(=O)c1ccsc1C(F)F)c1nn[n-]n1 ZINC001363594669 886720045 /nfs/dbraw/zinc/72/00/45/886720045.db2.gz YBZWQTUUMJVCSY-LURJTMIESA-N -1 1 303.294 1.316 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ncc(C)cc1[O-])C1CCCC1 ZINC001363594841 886723389 /nfs/dbraw/zinc/72/33/89/886723389.db2.gz NKEFMAITTGKMPY-LBPRGKRZSA-N -1 1 306.362 1.947 20 0 DDADMM COC[C@@H](NC(=O)c1ccsc1C(F)F)c1nn[n-]n1 ZINC001363594676 886723694 /nfs/dbraw/zinc/72/36/94/886723694.db2.gz YBZWQTUUMJVCSY-ZCFIWIBFSA-N -1 1 303.294 1.316 20 0 DDADMM CC[C@@](C)(CC(=O)OC)[N-]S(=O)(=O)c1csnc1OC ZINC001363808920 887281573 /nfs/dbraw/zinc/28/15/73/887281573.db2.gz BJHBIAAQHRCTIQ-NSHDSACASA-N -1 1 322.408 1.162 20 0 DDADMM CCC[C@H](CC(=O)OCC)Oc1c(=O)[n-]cnc1C(=O)OC ZINC001233758263 887284779 /nfs/dbraw/zinc/28/47/79/887284779.db2.gz JIIYBDYKAGVFGB-SECBINFHSA-N -1 1 312.322 1.470 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCc1cc(=O)c(O)co1 ZINC001363839729 887364138 /nfs/dbraw/zinc/36/41/38/887364138.db2.gz WANQBHLRWBINEG-UHFFFAOYSA-N -1 1 319.317 1.203 20 0 DDADMM Cc1nn(C)c(C)c1[C@H](O)CNC(=O)c1cccc([O-])c1F ZINC001363946827 887593146 /nfs/dbraw/zinc/59/31/46/887593146.db2.gz VTQWTRKEUWMZJD-GFCCVEGCSA-N -1 1 307.325 1.345 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CCC1CC1 ZINC001363958942 887616218 /nfs/dbraw/zinc/61/62/18/887616218.db2.gz FTLVIKLEFXJAMK-LLVKDONJSA-N -1 1 306.366 1.835 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CCC1CC1 ZINC001363958942 887616225 /nfs/dbraw/zinc/61/62/25/887616225.db2.gz FTLVIKLEFXJAMK-LLVKDONJSA-N -1 1 306.366 1.835 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CCC1CC1 ZINC001363958942 887616229 /nfs/dbraw/zinc/61/62/29/887616229.db2.gz FTLVIKLEFXJAMK-LLVKDONJSA-N -1 1 306.366 1.835 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1nc2c(s1)CCCC2=O ZINC001363988846 887676624 /nfs/dbraw/zinc/67/66/24/887676624.db2.gz AMLCPYQPLLWCIX-UHFFFAOYSA-N -1 1 306.351 1.137 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](C3CC3)C2)[n-]n1 ZINC001363997905 887693741 /nfs/dbraw/zinc/69/37/41/887693741.db2.gz LQVIORXNJQNCNJ-JTQLQIEISA-N -1 1 313.379 1.007 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](C3CC3)C2)n[n-]1 ZINC001363997905 887693747 /nfs/dbraw/zinc/69/37/47/887693747.db2.gz LQVIORXNJQNCNJ-JTQLQIEISA-N -1 1 313.379 1.007 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1C[C@H]1c1ccncc1)c1nn[n-]n1 ZINC001364085601 887880240 /nfs/dbraw/zinc/88/02/40/887880240.db2.gz FWYACKREPPIJEV-TUAOUCFPSA-N -1 1 318.406 1.309 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C(C)C)no1)c1nn[n-]n1 ZINC001364088829 887889403 /nfs/dbraw/zinc/88/94/03/887889403.db2.gz REVNETASURWFSN-QMMMGPOBSA-N -1 1 310.383 1.535 20 0 DDADMM O=C(COc1cccc(NC(=O)C2CC2)c1)Nc1c[n-][nH]c1=O ZINC001364130801 887989047 /nfs/dbraw/zinc/98/90/47/887989047.db2.gz BXPXLUUGQZLBKD-UHFFFAOYSA-N -1 1 316.317 1.481 20 0 DDADMM Cc1nc(C(N)=O)c(OC[C@@H]2CC[C@@]3(CCCCO3)O2)c(=O)[n-]1 ZINC001234469973 888001863 /nfs/dbraw/zinc/00/18/63/888001863.db2.gz MIEZLBUVIVPJQR-ZUZCIYMTSA-N -1 1 323.349 1.044 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@@H]1C=C(C)OC(=O)C1 ZINC001234560350 888093811 /nfs/dbraw/zinc/09/38/11/888093811.db2.gz LSMDMIKRDONWOT-SECBINFHSA-N -1 1 322.317 1.690 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1OC[C@@H](C)OC[C@H](C)OC ZINC001234560677 888099073 /nfs/dbraw/zinc/09/90/73/888099073.db2.gz HEHDZSAYWSDURV-DTWKUNHWSA-N -1 1 314.338 1.096 20 0 DDADMM COCC(COC)Oc1c(=O)[n-]c(C(C)C)nc1C(=O)OC ZINC001234561545 888099592 /nfs/dbraw/zinc/09/95/92/888099592.db2.gz SRPRHHOKJDEBKD-UHFFFAOYSA-N -1 1 314.338 1.132 20 0 DDADMM CSc1ncc(C(=O)NC[C@H]2CC[C@H](C3CC3)O2)c(=O)[n-]1 ZINC001364362140 888459653 /nfs/dbraw/zinc/45/96/53/888459653.db2.gz BVTZGIURPLPXPX-MWLCHTKSSA-N -1 1 309.391 1.592 20 0 DDADMM CSc1ncc(C(=O)N2CCC(O)(C(C)C)CC2)c(=O)[n-]1 ZINC001364451582 888669554 /nfs/dbraw/zinc/66/95/54/888669554.db2.gz FOWPYZBMORJOFU-UHFFFAOYSA-N -1 1 311.407 1.527 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1C[C@H]1C1CCCC1 ZINC001364459797 888687131 /nfs/dbraw/zinc/68/71/31/888687131.db2.gz OKDRPWDJSQWFPN-STQMWFEESA-N -1 1 317.389 1.460 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@@H](CNC(=O)c2cncc([O-])c2)C1 ZINC001364479234 888727665 /nfs/dbraw/zinc/72/76/65/888727665.db2.gz VDLHYMQFNHNMJZ-KLPPZKSPSA-N -1 1 321.377 1.820 20 0 DDADMM CCCC[C@@H](C)C(=O)Nc1n[n-]c(OCCO)c1C(=O)OC ZINC001364509105 888803381 /nfs/dbraw/zinc/80/33/81/888803381.db2.gz KILMQERNXJTHOL-SECBINFHSA-N -1 1 313.354 1.332 20 0 DDADMM CCCC[C@@H](C)C(=O)Nc1[n-]nc(OCCO)c1C(=O)OC ZINC001364509105 888803388 /nfs/dbraw/zinc/80/33/88/888803388.db2.gz KILMQERNXJTHOL-SECBINFHSA-N -1 1 313.354 1.332 20 0 DDADMM CC[C@@H](SC)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385584736 889363125 /nfs/dbraw/zinc/36/31/25/889363125.db2.gz YJGFBKGRASRZMK-CMPLNLGQSA-N -1 1 323.418 1.260 20 0 DDADMM CCc1nc(C)c(C[N-]S(=O)(=O)c2cc(OC)ns2)o1 ZINC001364852060 889548897 /nfs/dbraw/zinc/54/88/97/889548897.db2.gz PKZUYISAGCFYIK-UHFFFAOYSA-N -1 1 317.392 1.489 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H](C)c2ccncc2)sn1 ZINC001364897846 889628494 /nfs/dbraw/zinc/62/84/94/889628494.db2.gz XDSOQNDJZQVVRA-VIFPVBQESA-N -1 1 313.404 1.629 20 0 DDADMM C[C@H](C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC001385933488 890017001 /nfs/dbraw/zinc/01/70/01/890017001.db2.gz SSVSBRXWULVMJG-IJLUTSLNSA-N -1 1 319.405 1.846 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1CC([N@H+](C)[C@@H]2CCOC2)C1 ZINC001238150409 890074717 /nfs/dbraw/zinc/07/47/17/890074717.db2.gz XVHOEUGVJPKAAH-OAHLLOKOSA-N -1 1 304.390 1.598 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCC(N2CCOC2=O)CC1 ZINC001238237951 890144833 /nfs/dbraw/zinc/14/48/33/890144833.db2.gz IZUGTFQIEQOILZ-UHFFFAOYSA-N -1 1 322.336 1.941 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1c(F)cccc1F)c1ccns1 ZINC001365127870 890159578 /nfs/dbraw/zinc/15/95/78/890159578.db2.gz CNKCKMRELFJFME-VIFPVBQESA-N -1 1 320.342 1.433 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H](C)C(=O)OC(C)C)sn1 ZINC001365143913 890189346 /nfs/dbraw/zinc/18/93/46/890189346.db2.gz QXDUWSWIYOVXSV-QMMMGPOBSA-N -1 1 322.408 1.018 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)NCc2nocc2C)[n-]c1=O ZINC001365281223 890495928 /nfs/dbraw/zinc/49/59/28/890495928.db2.gz YHBFWEQEHKFQFS-UHFFFAOYSA-N -1 1 321.337 1.222 20 0 DDADMM CC(C)=CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001290573004 913462049 /nfs/dbraw/zinc/46/20/49/913462049.db2.gz XJQVGYGNFFBTDD-NEPJUHHUSA-N -1 1 303.362 1.473 20 0 DDADMM CNC(=O)c1ccc(-c2ccc3nc(C(=O)OC)[n-]c3n2)cn1 ZINC001239853411 890762041 /nfs/dbraw/zinc/76/20/41/890762041.db2.gz NZSKJFMWPQTLCS-UHFFFAOYSA-N -1 1 311.301 1.166 20 0 DDADMM O=S(=O)([N-]Cc1cnoc1)c1ccc(Br)o1 ZINC001365451630 890819239 /nfs/dbraw/zinc/81/92/39/890819239.db2.gz QFIGENYANPPBAF-UHFFFAOYSA-N -1 1 307.125 1.509 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cc(OC)ns1)C(C)(C)C ZINC001365453105 890822183 /nfs/dbraw/zinc/82/21/83/890822183.db2.gz NPBWAPOUOBELCL-SECBINFHSA-N -1 1 322.408 1.018 20 0 DDADMM CCN(Cc1ccc([O-])c(F)c1F)[C@H]1CCS(=O)(=O)C1 ZINC001365510705 890951307 /nfs/dbraw/zinc/95/13/07/890951307.db2.gz GQCKWRRIOXBYOB-JTQLQIEISA-N -1 1 305.346 1.679 20 0 DDADMM COC(=O)[C@@H]1C[C@H](OC)CCN1Cc1ccc([O-])c(F)c1F ZINC001365553074 891044481 /nfs/dbraw/zinc/04/44/81/891044481.db2.gz MDSFUZDKKNSQOY-MNOVXSKESA-N -1 1 315.316 1.823 20 0 DDADMM Cc1nc(S(C)(=O)=O)ncc1-c1c(F)cc([O-])cc1F ZINC001241124158 891121966 /nfs/dbraw/zinc/12/19/66/891121966.db2.gz BYOQZMRLEQHEHA-UHFFFAOYSA-N -1 1 300.286 1.839 20 0 DDADMM COc1cc(-c2c(F)ccc([O-])c2F)nc([S@@](C)=O)n1 ZINC001241289238 891142326 /nfs/dbraw/zinc/14/23/26/891142326.db2.gz NVDUTPRXJMKWRA-HXUWFJFHSA-N -1 1 300.286 1.873 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C/C(Cl)=C\Cl)CC1 ZINC001365692385 891338998 /nfs/dbraw/zinc/33/89/98/891338998.db2.gz QIVUYRHOOMYLLT-CSKARUKUSA-N -1 1 316.188 1.864 20 0 DDADMM O=C(NC1(c2nn[nH]n2)CCC1)c1ccc2[n-]c(=S)oc2c1 ZINC000738009705 913549338 /nfs/dbraw/zinc/54/93/38/913549338.db2.gz JBTJGRZIMZFNSO-UHFFFAOYSA-N -1 1 316.346 1.439 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H]1CC2(CCC2)CO1 ZINC001365738341 891426951 /nfs/dbraw/zinc/42/69/51/891426951.db2.gz MRFFQBNELZXSHC-SECBINFHSA-N -1 1 318.420 1.389 20 0 DDADMM O=S(=O)([N-]Cc1cc(C(F)F)on1)c1c[nH]nc1Cl ZINC001365738179 891427886 /nfs/dbraw/zinc/42/78/86/891427886.db2.gz BMXZGLXFORUFJF-UHFFFAOYSA-N -1 1 312.685 1.467 20 0 DDADMM NS(=O)(=O)c1ccc(-c2cccc([O-])c2Cl)nc1F ZINC001242799518 891518376 /nfs/dbraw/zinc/51/83/76/891518376.db2.gz PIIQJIUGNKPKOY-UHFFFAOYSA-N -1 1 302.714 1.894 20 0 DDADMM CCN(CC)C(=O)c1ccc(-c2ccc(-c3nnn[n-]3)nc2)cn1 ZINC001243766257 891725670 /nfs/dbraw/zinc/72/56/70/891725670.db2.gz RFWOAQJDWCRJLB-UHFFFAOYSA-N -1 1 323.360 1.806 20 0 DDADMM CCN(CC)C(=O)c1ccc(-c2ccc(-c3nn[n-]n3)nc2)cn1 ZINC001243766257 891725676 /nfs/dbraw/zinc/72/56/76/891725676.db2.gz RFWOAQJDWCRJLB-UHFFFAOYSA-N -1 1 323.360 1.806 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001386849690 891854410 /nfs/dbraw/zinc/85/44/10/891854410.db2.gz DUPPWBZQSIXGHU-VXGBXAGGSA-N -1 1 319.405 1.658 20 0 DDADMM COc1ncc(-c2ccc(F)nc2C)cc1[N-]S(C)(=O)=O ZINC001244791878 891920450 /nfs/dbraw/zinc/92/04/50/891920450.db2.gz OHFVBDRYZCHTFN-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM CCOc1nccnc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244791439 891923409 /nfs/dbraw/zinc/92/34/09/891923409.db2.gz QQGMDPWNWGLION-UHFFFAOYSA-N -1 1 324.362 1.317 20 0 DDADMM COc1ncc(-c2ccc3nc[nH]c3n2)cc1[N-]S(C)(=O)=O ZINC001244791211 891924256 /nfs/dbraw/zinc/92/42/56/891924256.db2.gz XJOXCISKEYQAHV-UHFFFAOYSA-N -1 1 319.346 1.400 20 0 DDADMM CCOc1ccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nc1 ZINC001244790671 891925576 /nfs/dbraw/zinc/92/55/76/891925576.db2.gz RCWVRVKMRZRZIX-UHFFFAOYSA-N -1 1 323.374 1.922 20 0 DDADMM CCc1ncc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)cn1 ZINC001244794973 891932430 /nfs/dbraw/zinc/93/24/30/891932430.db2.gz USSXOHHHIOTKEQ-UHFFFAOYSA-N -1 1 308.363 1.481 20 0 DDADMM O=c1cc(C2CCOCC2)[nH]n1-c1ccccc1S(=O)(=O)[O-] ZINC001245942674 892280588 /nfs/dbraw/zinc/28/05/88/892280588.db2.gz MKGRFLMQTVUMLT-UHFFFAOYSA-N -1 1 324.358 1.719 20 0 DDADMM CCN(CCNC(=O)C(C)(F)F)C(=O)c1ncccc1[O-] ZINC001387133839 892454940 /nfs/dbraw/zinc/45/49/40/892454940.db2.gz IKAIPVUKKJHTRM-UHFFFAOYSA-N -1 1 301.293 1.021 20 0 DDADMM CCOc1cc(-c2noc(Cc3nnn[n-]3)n2)ccc1OC ZINC001247140499 893120944 /nfs/dbraw/zinc/12/09/44/893120944.db2.gz ULRSABMRRRDPFD-UHFFFAOYSA-N -1 1 302.294 1.248 20 0 DDADMM CCOc1cc(-c2noc(Cc3nn[n-]n3)n2)ccc1OC ZINC001247140499 893120954 /nfs/dbraw/zinc/12/09/54/893120954.db2.gz ULRSABMRRRDPFD-UHFFFAOYSA-N -1 1 302.294 1.248 20 0 DDADMM O=C(NCCNc1cnccn1)NCc1ccc([O-])c(Cl)c1 ZINC001248410511 893628772 /nfs/dbraw/zinc/62/87/72/893628772.db2.gz AHOPPKNBZYNNFV-UHFFFAOYSA-N -1 1 321.768 1.747 20 0 DDADMM CCCC[C@@H](C)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001387867412 894060504 /nfs/dbraw/zinc/06/05/04/894060504.db2.gz YANNAQPWFHJYQN-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM CC(=O)Nc1ccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)cn1 ZINC001249748106 894116451 /nfs/dbraw/zinc/11/64/51/894116451.db2.gz FAWLJWSHYHKOMI-UHFFFAOYSA-N -1 1 322.346 1.129 20 0 DDADMM O=c1[nH]cnc([O-])c1NS(=O)(=O)c1ccc2ccccc2c1 ZINC001250691963 894366464 /nfs/dbraw/zinc/36/64/64/894366464.db2.gz QTJOZHPNNQWJIB-UHFFFAOYSA-N -1 1 317.326 1.842 20 0 DDADMM C[C@H]1CCC[C@@H](C(=O)NCCN(C)C(=O)c2ncccc2[O-])C1 ZINC001388026106 894394123 /nfs/dbraw/zinc/39/41/23/894394123.db2.gz IPYFZSSOFLZKCE-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)(C)/C=C/C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001278631538 894638762 /nfs/dbraw/zinc/63/87/62/894638762.db2.gz XJRKONUBZJVEKR-MLRMMBSGSA-N -1 1 321.425 1.439 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)c2ccccc2)cc1F ZINC001251149066 894646559 /nfs/dbraw/zinc/64/65/59/894646559.db2.gz LLAFMRSLGWEUTN-UHFFFAOYSA-N -1 1 308.334 1.986 20 0 DDADMM CC[C@@H](CNC(=O)C(C)(C)CC)NC(=O)c1ncccc1[O-] ZINC001388170083 894704080 /nfs/dbraw/zinc/70/40/80/894704080.db2.gz LVLNHNDBIJPZFN-NSHDSACASA-N -1 1 307.394 1.848 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)c1c[nH]cc1C ZINC001388239912 894846477 /nfs/dbraw/zinc/84/64/77/894846477.db2.gz MYEDERNSKMHMGH-UHFFFAOYSA-N -1 1 316.361 1.316 20 0 DDADMM CSc1nc(NC[C@@H](O)COCc2ccccc2)cc(=O)[n-]1 ZINC001252275157 895051580 /nfs/dbraw/zinc/05/15/80/895051580.db2.gz QOKGQBZHAZNDTP-GFCCVEGCSA-N -1 1 321.402 1.894 20 0 DDADMM CCC(CC)C(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001388399688 895154945 /nfs/dbraw/zinc/15/49/45/895154945.db2.gz QDWKWZWJNBHHQX-NSHDSACASA-N -1 1 307.394 1.706 20 0 DDADMM C[C@](O)(CNc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccccc1 ZINC001253120217 895646808 /nfs/dbraw/zinc/64/68/08/895646808.db2.gz OHGJKJNXWNBIQC-AWEZNQCLSA-N -1 1 301.306 1.035 20 0 DDADMM CCCC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001388839759 896028981 /nfs/dbraw/zinc/02/89/81/896028981.db2.gz RCGZYHKTNJEIEL-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM CCCS(=O)(=O)[N-][C@H](C(=O)OC)c1ccccc1Cl ZINC001253733212 896052279 /nfs/dbraw/zinc/05/22/79/896052279.db2.gz FSTLNDSMAHPZIZ-NSHDSACASA-N -1 1 305.783 1.884 20 0 DDADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1(C)CCC1 ZINC001367410119 896696772 /nfs/dbraw/zinc/69/67/72/896696772.db2.gz DURLODGIJAXYEF-LLVKDONJSA-N -1 1 309.414 1.321 20 0 DDADMM [O-]c1cc(OCCO)ccc1-c1nnc(C2CCOCC2)o1 ZINC001255745315 897101400 /nfs/dbraw/zinc/10/14/00/897101400.db2.gz VOHPRYBQBCDXCF-UHFFFAOYSA-N -1 1 306.318 1.707 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)c2cc(F)ccc2F)CCC1 ZINC001258949005 898374493 /nfs/dbraw/zinc/37/44/93/898374493.db2.gz FQARLLDHBAPTGQ-UHFFFAOYSA-N -1 1 319.329 1.729 20 0 DDADMM CC1(C)[C@H]2CC[C@]1(CS(=O)(=O)[N-]c1ccnnc1)C(=O)C2 ZINC001258967111 898388678 /nfs/dbraw/zinc/38/86/78/898388678.db2.gz WTCOYIZGLKRIQS-HZMBPMFUSA-N -1 1 309.391 1.614 20 0 DDADMM Cn1cnc(S(=O)(=O)Nc2cc3ccc([O-])cc3oc2=O)c1 ZINC001258994447 898400641 /nfs/dbraw/zinc/40/06/41/898400641.db2.gz XFITUKLEMHPLNG-UHFFFAOYSA-N -1 1 321.314 1.033 20 0 DDADMM Cc1nc(CC[N-]S(=O)(=O)c2cc(F)c(F)cc2F)no1 ZINC001259041867 898438524 /nfs/dbraw/zinc/43/85/24/898438524.db2.gz IYZPWGUWGUXABS-UHFFFAOYSA-N -1 1 321.280 1.316 20 0 DDADMM O=S(=O)([N-]C1CC2(COC2)C1)c1ccc(F)c(F)c1F ZINC001259081143 898454900 /nfs/dbraw/zinc/45/49/00/898454900.db2.gz AQOCKWMJCCNSSY-UHFFFAOYSA-N -1 1 307.293 1.561 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c(C)c1 ZINC001259104357 898471345 /nfs/dbraw/zinc/47/13/45/898471345.db2.gz XBXMWUMUCROPOX-UHFFFAOYSA-N -1 1 319.346 1.476 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc3c(c2)OCO3)c(C)cn1 ZINC001259845135 898864645 /nfs/dbraw/zinc/86/46/45/898864645.db2.gz ZBNGQHSHDPSYOG-UHFFFAOYSA-N -1 1 322.342 1.928 20 0 DDADMM CN1CCN(Cc2ccc([N-]S(=O)(=O)C(F)F)cc2)CC1 ZINC001259955944 898978033 /nfs/dbraw/zinc/97/80/33/898978033.db2.gz ASMSGDLRAGLDDF-UHFFFAOYSA-N -1 1 319.377 1.398 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H]([N-]S(=O)(=O)C(F)F)C1 ZINC001259960781 898984506 /nfs/dbraw/zinc/98/45/06/898984506.db2.gz LLCBHKQLRBIZCN-ZETCQYMHSA-N -1 1 300.327 1.138 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)CCOC)c(F)c1 ZINC001259965259 898989756 /nfs/dbraw/zinc/98/97/56/898989756.db2.gz OWWPJXCQLGZCTE-UHFFFAOYSA-N -1 1 305.327 1.391 20 0 DDADMM CN1C[C@H]2CCCN(S(=O)(=O)c3ccc(C(=O)[O-])cc3)[C@@H]2C1 ZINC001260225876 899099524 /nfs/dbraw/zinc/09/95/24/899099524.db2.gz SUISUZNOMXJFLB-TZMCWYRMSA-N -1 1 324.402 1.100 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]1F)c1ccc(Br)cc1F ZINC001260382038 899128736 /nfs/dbraw/zinc/12/87/36/899128736.db2.gz NTHZIKTUYSVPSH-HTRCEHHLSA-N -1 1 312.135 1.977 20 0 DDADMM CC(C)C[C@H](C)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001396725133 914324511 /nfs/dbraw/zinc/32/45/11/914324511.db2.gz GYJHEXIOQILOEL-ZDUSSCGKSA-N -1 1 319.405 1.754 20 0 DDADMM Cc1csc(CN[C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001390348245 899224995 /nfs/dbraw/zinc/22/49/95/899224995.db2.gz XXDOIGLKHFTSMO-SSDOTTSWSA-N -1 1 309.395 1.097 20 0 DDADMM CCOC(=O)Cc1ncc([N-]S(=O)(=O)[C@H](C)CC)cn1 ZINC001260726366 899231382 /nfs/dbraw/zinc/23/13/82/899231382.db2.gz AFSZVRCJBYLKJL-SECBINFHSA-N -1 1 301.368 1.122 20 0 DDADMM O=S(=O)([N-]c1cc(C(F)(F)F)cnc1F)c1cn[nH]c1 ZINC001260955294 899295555 /nfs/dbraw/zinc/29/55/55/899295555.db2.gz XKISHFJCSGUFEK-UHFFFAOYSA-N -1 1 310.232 1.763 20 0 DDADMM C[S@@](=O)CCCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC001263142507 900512796 /nfs/dbraw/zinc/51/27/96/900512796.db2.gz CCTPFKFQVXTLGB-LJQANCHMSA-N -1 1 304.799 1.613 20 0 DDADMM COc1ncc([N-]S(=O)(=O)Cc2cc(F)ccc2F)cn1 ZINC000585433149 900598580 /nfs/dbraw/zinc/59/85/80/900598580.db2.gz DAUBGMMOQKYYEQ-UHFFFAOYSA-N -1 1 315.301 1.705 20 0 DDADMM O=C(NCC[N-]C(=O)C(F)(F)F)NCCc1ccccc1 ZINC000586428385 900635786 /nfs/dbraw/zinc/63/57/86/900635786.db2.gz KXIMULWLOJRZAB-UHFFFAOYSA-N -1 1 303.284 1.207 20 0 DDADMM Cc1cc(C)n(CCCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)n1 ZINC001263701137 900674475 /nfs/dbraw/zinc/67/44/75/900674475.db2.gz SRKUSFWXLCLFQH-UHFFFAOYSA-N -1 1 321.406 1.413 20 0 DDADMM CS[C@@H](C)C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396787499 914467701 /nfs/dbraw/zinc/46/77/01/914467701.db2.gz YLNPKTHSPABOMX-WDEREUQCSA-N -1 1 323.418 1.212 20 0 DDADMM CCC(CC)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001369395967 901182202 /nfs/dbraw/zinc/18/22/02/901182202.db2.gz SQDATUZNYXPOGB-PWSUYJOCSA-N -1 1 309.414 1.416 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2ccc(N)cc2F)s1 ZINC000391797254 901690607 /nfs/dbraw/zinc/69/06/07/901690607.db2.gz PZOZZHKAQPNFIF-UHFFFAOYSA-N -1 1 302.356 1.046 20 0 DDADMM O=C(C=Cc1cccnc1)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001293568935 914558182 /nfs/dbraw/zinc/55/81/82/914558182.db2.gz RPCVDGXFWKEBOZ-BAQGIRSFSA-N -1 1 322.328 1.826 20 0 DDADMM C[C@@H](NC(=O)C1(CF)CC1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369686186 901773000 /nfs/dbraw/zinc/77/30/00/901773000.db2.gz IJIMTTVZTYEEJG-VHSXEESVSA-N -1 1 309.341 1.160 20 0 DDADMM CSC[C@H](C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396908663 914708014 /nfs/dbraw/zinc/70/80/14/914708014.db2.gz CMFRITHSYNTXDJ-QWRGUYRKSA-N -1 1 323.418 1.117 20 0 DDADMM CC(C)(C)C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCCC1 ZINC001392174478 903449171 /nfs/dbraw/zinc/44/91/71/903449171.db2.gz IIZAEFVFDMHZIS-LBPRGKRZSA-N -1 1 323.441 1.711 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](NCc2nccs2)C(C)C)c1[O-] ZINC001392216523 903562056 /nfs/dbraw/zinc/56/20/56/903562056.db2.gz OKHOCHNQNXURFN-SNVBAGLBSA-N -1 1 323.422 1.424 20 0 DDADMM Cc1ccc(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])s1 ZINC001392298063 903805401 /nfs/dbraw/zinc/80/54/01/903805401.db2.gz SMCLDRPIIIZSLF-SECBINFHSA-N -1 1 319.386 1.705 20 0 DDADMM CC(C)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001371171802 904408506 /nfs/dbraw/zinc/40/85/06/904408506.db2.gz MUSSBXHQNUSSPP-GFCCVEGCSA-N -1 1 307.394 1.704 20 0 DDADMM CC[C@@H](C)CC(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001371588388 905277812 /nfs/dbraw/zinc/27/78/12/905277812.db2.gz JTAZMWRZQGEAFK-OLZOCXBDSA-N -1 1 319.405 1.896 20 0 DDADMM CC[C@H](C)C(=O)NC[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001392815802 905335382 /nfs/dbraw/zinc/33/53/82/905335382.db2.gz JPDBMTANLHEJQT-UWVGGRQHSA-N -1 1 320.393 1.340 20 0 DDADMM CCC[C@@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC001372704994 908017211 /nfs/dbraw/zinc/01/72/11/908017211.db2.gz KBVGFYFEIWVPAY-NMKXLXIOSA-N -1 1 317.389 1.410 20 0 DDADMM CSC[C@H](C)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001393984261 908455448 /nfs/dbraw/zinc/45/54/48/908455448.db2.gz XNNHLUBASTUEPL-JTQLQIEISA-N -1 1 323.418 1.069 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)C1(C)CC=CC1 ZINC001284513568 909120717 /nfs/dbraw/zinc/12/07/17/909120717.db2.gz AZNBGZMYTUXGDU-UHFFFAOYSA-N -1 1 303.362 1.332 20 0 DDADMM C[C@@H](CC(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001394437839 909627209 /nfs/dbraw/zinc/62/72/09/909627209.db2.gz BTTGQLXHMRYWED-GWCFXTLKSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C1CC(C)C1 ZINC001395072204 911297625 /nfs/dbraw/zinc/29/76/25/911297625.db2.gz ZYMUNXFLKYHMEY-MOENNCHZSA-N -1 1 305.378 1.410 20 0 DDADMM CCCC(=O)N[C@]1(CNCc2n[nH]c(=O)[n-]2)CCCC[C@H]1C ZINC001373897132 911301036 /nfs/dbraw/zinc/30/10/36/911301036.db2.gz MDGCANSMNXWKJK-ABAIWWIYSA-N -1 1 309.414 1.465 20 0 DDADMM Cn1ncc(C(=O)Nc2ccc([O-])c(Cl)c2)c1-n1cnnc1 ZINC001295292551 915687969 /nfs/dbraw/zinc/68/79/69/915687969.db2.gz WQEQCLXOFUBWIN-UHFFFAOYSA-N -1 1 318.724 1.612 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC(C2CCOCC2)C1 ZINC001296967335 916644402 /nfs/dbraw/zinc/64/44/02/916644402.db2.gz AOOVSFVQCJAULF-UHFFFAOYSA-N -1 1 318.402 1.517 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@H]1CC12CCC2 ZINC001375698621 916736572 /nfs/dbraw/zinc/73/65/72/916736572.db2.gz UGEYVRZALOQQKL-NWDGAFQWSA-N -1 1 317.389 1.460 20 0 DDADMM CC(C)[C@H](CNC(=O)c1cc[nH]c1)NC(=O)c1ncccc1[O-] ZINC001376004771 917459114 /nfs/dbraw/zinc/45/91/14/917459114.db2.gz SNSGATAJKIKSKF-LBPRGKRZSA-N -1 1 316.361 1.300 20 0 DDADMM CN(CCN(C)C(=O)C1(C)CCC1)C(=O)c1ncccc1[O-] ZINC001376263048 918098535 /nfs/dbraw/zinc/09/85/35/918098535.db2.gz YDDPWSVXPVRPIW-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM CCC[C@@H](C)C(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001377666642 922629862 /nfs/dbraw/zinc/62/98/62/922629862.db2.gz BJKHLLCJDPIGGL-NEPJUHHUSA-N -1 1 309.414 1.275 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@]3(C)C(C)C)nc2n1 ZINC000622993437 365585247 /nfs/dbraw/zinc/58/52/47/365585247.db2.gz MOXYCRFRZVFWDG-ZUZCIYMTSA-N -1 1 303.366 1.601 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3csc(C)c3C)nc2n1 ZINC000622994087 365587218 /nfs/dbraw/zinc/58/72/18/365587218.db2.gz CUGRDBMQHSLULX-UHFFFAOYSA-N -1 1 317.374 1.911 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3(COC)CCC3)nc2n1 ZINC000622997816 365591506 /nfs/dbraw/zinc/59/15/06/365591506.db2.gz MOUKSCRXEASGMN-UHFFFAOYSA-N -1 1 319.365 1.125 20 0 DDADMM Cc1cccc(-c2nc(CC(=O)Nc3nnn[n-]3)cs2)c1 ZINC000076963469 185062039 /nfs/dbraw/zinc/06/20/39/185062039.db2.gz HYTLGCNHAAKUCJ-UHFFFAOYSA-N -1 1 300.347 1.813 20 0 DDADMM Cc1cccc(-c2nc(CC(=O)Nc3nn[n-]n3)cs2)c1 ZINC000076963469 185062041 /nfs/dbraw/zinc/06/20/41/185062041.db2.gz HYTLGCNHAAKUCJ-UHFFFAOYSA-N -1 1 300.347 1.813 20 0 DDADMM CCC(CC)(CNC(=O)OC(C)(C)C)C(=O)[N-]OCCO ZINC000492187509 282111663 /nfs/dbraw/zinc/11/16/63/282111663.db2.gz IVEJPIBHBMEVDJ-UHFFFAOYSA-N -1 1 304.387 1.358 20 0 DDADMM O=c1cc(/C=C/c2cc3c(c(Cl)c2)OCO3)nc2nc[n-]n21 ZINC000614334321 361809259 /nfs/dbraw/zinc/80/92/59/361809259.db2.gz BKSXNFYLOGIBQB-OWOJBTEDSA-N -1 1 316.704 1.970 20 0 DDADMM Cc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1O ZINC000614472953 361874431 /nfs/dbraw/zinc/87/44/31/361874431.db2.gz FEANFUCAGALJCW-UHFFFAOYSA-N -1 1 303.318 1.809 20 0 DDADMM Cc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)ccc1O ZINC000614473768 361874786 /nfs/dbraw/zinc/87/47/86/361874786.db2.gz LEISUWQLCANFHL-UHFFFAOYSA-N -1 1 303.318 1.809 20 0 DDADMM CCc1ccc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)nc1 ZINC000614475281 361876054 /nfs/dbraw/zinc/87/60/54/361876054.db2.gz MIXMXPBOOFGHIW-UHFFFAOYSA-N -1 1 316.361 1.681 20 0 DDADMM CC[C@@H](C)[C@H](CNC(=O)c1c[n-]c2c(cnn2C)c1=O)OC ZINC000452411726 529416294 /nfs/dbraw/zinc/41/62/94/529416294.db2.gz MJGFMXKMFDTBEQ-SKDRFNHKSA-N -1 1 306.366 1.465 20 0 DDADMM CCC(CC)CS(=O)(=O)[N-][C@@](CC)(COC)C(=O)OC ZINC000416512261 282172675 /nfs/dbraw/zinc/17/26/75/282172675.db2.gz AHRKAMNTCFRTAF-ZDUSSCGKSA-N -1 1 309.428 1.310 20 0 DDADMM COCC(COC)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000451888151 231223667 /nfs/dbraw/zinc/22/36/67/231223667.db2.gz IRCWAZCMEGEYGC-UHFFFAOYSA-N -1 1 311.762 1.419 20 0 DDADMM O=C(NCc1ccc(F)cc1)C(=O)Nc1c([O-])cccc1F ZINC000089541524 539172936 /nfs/dbraw/zinc/17/29/36/539172936.db2.gz GGNNPQYPQVTKOG-UHFFFAOYSA-N -1 1 306.268 1.925 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CCC1)c1cc(Cl)ccc1F ZINC000452041806 231279197 /nfs/dbraw/zinc/27/91/97/231279197.db2.gz DGCGLMQSIMTSPT-LLVKDONJSA-N -1 1 307.774 1.918 20 0 DDADMM O=S(=O)(CCCCCO)c1nnc(-c2ccccc2F)[n-]1 ZINC000278214397 214028519 /nfs/dbraw/zinc/02/85/19/214028519.db2.gz PKOYVRJFSJODLS-UHFFFAOYSA-N -1 1 313.354 1.547 20 0 DDADMM O=S(=O)(CCCCCO)c1n[n-]c(-c2ccccc2F)n1 ZINC000278214397 214028521 /nfs/dbraw/zinc/02/85/21/214028521.db2.gz PKOYVRJFSJODLS-UHFFFAOYSA-N -1 1 313.354 1.547 20 0 DDADMM CCc1n[n-]c(=NC(=O)NCc2n[nH]c(C(C)(C)C)n2)s1 ZINC000459295911 529694052 /nfs/dbraw/zinc/69/40/52/529694052.db2.gz DPPNBDSBCXEEJW-UHFFFAOYSA-N -1 1 309.399 1.260 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1c(C)nn(C)c1Cl)c1nn[n-]n1 ZINC000255376318 282252557 /nfs/dbraw/zinc/25/25/57/282252557.db2.gz NZTNXTQRSLQLEL-GFVADAIESA-N -1 1 323.788 1.566 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(O[C@H]2CCOC2)cc1 ZINC000615221193 362201018 /nfs/dbraw/zinc/20/10/18/362201018.db2.gz JLZFJRZSPVZRBU-LBPRGKRZSA-N -1 1 303.318 1.844 20 0 DDADMM COCC1(NC(=O)c2ncc3ccccc3c2[O-])CCOCC1 ZINC000615244690 362209717 /nfs/dbraw/zinc/20/97/17/362209717.db2.gz ADVMJSYCPBRGQE-UHFFFAOYSA-N -1 1 316.357 1.866 20 0 DDADMM CCc1nn(C)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000331872423 529768673 /nfs/dbraw/zinc/76/86/73/529768673.db2.gz TZTAEVHWRNUZNW-SECBINFHSA-N -1 1 305.338 1.091 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CO[C@H]2CCC[C@@H](C)C2)n[n-]1 ZINC000615918491 362485628 /nfs/dbraw/zinc/48/56/28/362485628.db2.gz TZVKGSMHRBOIOR-MNOVXSKESA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CO[C@H]2CCC[C@@H](C)C2)n1 ZINC000615918491 362485633 /nfs/dbraw/zinc/48/56/33/362485633.db2.gz TZVKGSMHRBOIOR-MNOVXSKESA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](CC)c2ccccc2)n[n-]1 ZINC000615919389 362486393 /nfs/dbraw/zinc/48/63/93/362486393.db2.gz HERPQCDLKKPIGG-GFCCVEGCSA-N -1 1 316.361 1.791 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](CC)c2ccccc2)n1 ZINC000615919389 362486401 /nfs/dbraw/zinc/48/64/01/362486401.db2.gz HERPQCDLKKPIGG-GFCCVEGCSA-N -1 1 316.361 1.791 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2C[C@]2(C)C(C)C)o1 ZINC000458107355 530028890 /nfs/dbraw/zinc/02/88/90/530028890.db2.gz NGZPGBGNTVHYBJ-GXFFZTMASA-N -1 1 300.380 1.352 20 0 DDADMM NC(=O)C1(NC(=O)c2cncc([O-])c2)C2CC3CC(C2)CC1C3 ZINC000458308389 232338054 /nfs/dbraw/zinc/33/80/54/232338054.db2.gz REWKMTYYHHUTNL-UHFFFAOYSA-N -1 1 315.373 1.197 20 0 DDADMM C[C@@H](OC[C@H]1CCCCO1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279619015 215010933 /nfs/dbraw/zinc/01/09/33/215010933.db2.gz HPUZVRSZQQMGOZ-NVYDRDRZSA-N -1 1 318.377 1.255 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2c(C)cc(C)nc2OC)n[n-]1 ZINC000286849683 219194813 /nfs/dbraw/zinc/19/48/13/219194813.db2.gz MGHZTQQLEKSIEE-UHFFFAOYSA-N -1 1 310.379 1.361 20 0 DDADMM C[C@H](CN(C)C(=O)c1c[nH]nc1-c1ccoc1)c1nn[n-]n1 ZINC000279686315 215061371 /nfs/dbraw/zinc/06/13/71/215061371.db2.gz HVLAOOSDXCWHTQ-MRVPVSSYSA-N -1 1 301.310 1.059 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)[C@@H](C)OC)o1 ZINC000342100449 282542767 /nfs/dbraw/zinc/54/27/67/282542767.db2.gz OICXESZRYOWKGE-RKDXNWHRSA-N -1 1 305.352 1.158 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)Cc1c[nH]cn1 ZINC000616926031 362873581 /nfs/dbraw/zinc/87/35/81/362873581.db2.gz ZTLSHZVKWQCCFT-UHFFFAOYSA-N -1 1 303.366 1.783 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000286998477 219262226 /nfs/dbraw/zinc/26/22/26/219262226.db2.gz BAUFKYTWOIMJSO-GDDPGZPZSA-N -1 1 319.365 1.051 20 0 DDADMM O=C(Nc1cc([C@H]2CCCOC2)[nH]n1)c1ccc(O)cc1[O-] ZINC000279875686 215198394 /nfs/dbraw/zinc/19/83/94/215198394.db2.gz GYPQTNHXGZPHFT-VIFPVBQESA-N -1 1 303.318 1.967 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@@H](CO)NC(=O)c2c([O-])cccc2F)C1 ZINC000617538047 363166105 /nfs/dbraw/zinc/16/61/05/363166105.db2.gz XTYTUMAFCTVRLU-DMDPSCGWSA-N -1 1 311.353 1.827 20 0 DDADMM CCOC(=O)N1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000072619547 191203389 /nfs/dbraw/zinc/20/33/89/191203389.db2.gz IXMZRGCVIMLAGH-UHFFFAOYSA-N -1 1 312.753 1.960 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)nn1C(C)C ZINC000331765028 234097368 /nfs/dbraw/zinc/09/73/68/234097368.db2.gz BXBMMXSLGVDDML-LLVKDONJSA-N -1 1 319.365 1.881 20 0 DDADMM CCC(CC)N(CC)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000292089070 222830792 /nfs/dbraw/zinc/83/07/92/222830792.db2.gz IRUYFDPZXJEISH-UHFFFAOYSA-N -1 1 302.396 1.838 20 0 DDADMM Cc1ccc(C)c(CC(=O)NCCc2n[n-]c(=S)n2C)c1 ZINC000067051170 353032428 /nfs/dbraw/zinc/03/24/28/353032428.db2.gz DMLACUCDGARHKR-UHFFFAOYSA-N -1 1 304.419 1.996 20 0 DDADMM C[C@H](NC(=O)CN1CC[C@@H](C)[C@@H](C(=O)[O-])C1)c1cccs1 ZINC000564962907 304030653 /nfs/dbraw/zinc/03/06/53/304030653.db2.gz FQCSDROFJFOSKD-WOPDTQHZSA-N -1 1 310.419 1.968 20 0 DDADMM Cn1cc(C=CC(=O)NC2(c3nn[n-]n3)CC2)c2ccccc21 ZINC000492011734 234359715 /nfs/dbraw/zinc/35/97/15/234359715.db2.gz UQKHNULAUDYTPU-SREVYHEPSA-N -1 1 308.345 1.510 20 0 DDADMM CN(C)c1ncc(CNC(=O)c2ccc(Cl)cc2[O-])n1C ZINC000077013506 353448508 /nfs/dbraw/zinc/44/85/08/353448508.db2.gz RLCYRYFBXPZVPM-UHFFFAOYSA-N -1 1 308.769 1.775 20 0 DDADMM O=c1[n-]c(CSc2nc(-c3ccc(Cl)cc3)n[nH]2)n[nH]1 ZINC000091576193 353829583 /nfs/dbraw/zinc/82/95/83/353829583.db2.gz XJKGSBGKNQCTMV-UHFFFAOYSA-N -1 1 308.754 1.829 20 0 DDADMM CC(C)(CCCO)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000357226255 291020846 /nfs/dbraw/zinc/02/08/46/291020846.db2.gz NUSHFLANWPAJQF-UHFFFAOYSA-N -1 1 303.362 1.452 20 0 DDADMM CCn1ccc(=NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)[nH]1 ZINC000618041642 363440381 /nfs/dbraw/zinc/44/03/81/363440381.db2.gz WKQBBTMFFPPZRA-UHFFFAOYSA-N -1 1 303.366 1.679 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@H](C)c3ccccc3)nc2n1 ZINC000588006282 354892598 /nfs/dbraw/zinc/89/25/98/354892598.db2.gz BENPHMLYCOPQTP-SNVBAGLBSA-N -1 1 311.345 1.858 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)Cc3cccc(F)c3)nc2n1 ZINC000588006270 354892826 /nfs/dbraw/zinc/89/28/26/354892826.db2.gz AKCHCOKLRWWKCK-UHFFFAOYSA-N -1 1 301.281 1.046 20 0 DDADMM COC(=O)Cc1csc(NC(=O)C(=O)c2ccc([O-])cc2)n1 ZINC000588283361 354913893 /nfs/dbraw/zinc/91/38/93/354913893.db2.gz MPZSBYVQOCWEFR-UHFFFAOYSA-N -1 1 320.326 1.386 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3C=CCC3)nc2n1 ZINC000588744154 354933013 /nfs/dbraw/zinc/93/30/13/354933013.db2.gz BYHYRMCLCPUHRM-SNVBAGLBSA-N -1 1 301.350 1.665 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(CC)ncnc2CC)n1 ZINC000591302379 355284958 /nfs/dbraw/zinc/28/49/58/355284958.db2.gz PRYRZJRQPKAUNQ-UHFFFAOYSA-N -1 1 317.349 1.754 20 0 DDADMM CC[C@@H](C)C[C@H](CO)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000592081801 355488622 /nfs/dbraw/zinc/48/86/22/355488622.db2.gz CYEGVXGQMJYGDU-MWLCHTKSSA-N -1 1 319.379 1.142 20 0 DDADMM COC(=O)[C@H]1C[C@H](O)CN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000592910152 355737257 /nfs/dbraw/zinc/73/72/57/355737257.db2.gz LKCSUOUADKLCSE-WPRPVWTQSA-N -1 1 313.737 1.042 20 0 DDADMM CN(C)c1ccc(C(=O)N=c2nc(-c3ccccn3)[nH][n-]2)nc1 ZINC000358730244 299235422 /nfs/dbraw/zinc/23/54/22/299235422.db2.gz DFHGPEHUKJECTP-UHFFFAOYSA-N -1 1 309.333 1.002 20 0 DDADMM COC(=O)c1coc(C[N-]S(=O)(=O)c2ccc(C)s2)n1 ZINC000593646126 355952671 /nfs/dbraw/zinc/95/26/71/355952671.db2.gz NNTSEUANHWOGPA-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM Cc1nc(S[C@@H](C)C(=O)NC[C@@H]2CCCO2)[n-]c(=O)c1C ZINC000346717523 283145867 /nfs/dbraw/zinc/14/58/67/283145867.db2.gz RJQIGAARSJDRGU-QWRGUYRKSA-N -1 1 311.407 1.575 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)C1COC1)C1CCCCCC1 ZINC000594481976 356233130 /nfs/dbraw/zinc/23/31/30/356233130.db2.gz LODNUFDNXUWWRJ-ZDUSSCGKSA-N -1 1 319.423 1.207 20 0 DDADMM CC(C)n1cnnc1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000080772001 192189325 /nfs/dbraw/zinc/18/93/25/192189325.db2.gz JYGUAHZJLKBMIX-UHFFFAOYSA-N -1 1 312.333 1.026 20 0 DDADMM CC[C@@H](CSC)[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595319565 356448749 /nfs/dbraw/zinc/44/87/49/356448749.db2.gz RSEIFOYDKDELNO-VIFPVBQESA-N -1 1 321.420 1.795 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@]2(C)CCO[C@H]2C)cc1C ZINC000595323040 356449547 /nfs/dbraw/zinc/44/95/47/356449547.db2.gz VOXREHITSJTQSC-ZANVPECISA-N -1 1 317.363 1.220 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCOC[C@@H]2C)cc1C ZINC000595325566 356449894 /nfs/dbraw/zinc/44/98/94/356449894.db2.gz JNCAEHHNBVQKSE-VHSXEESVSA-N -1 1 317.363 1.078 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2cnc(C)o2)cc1C ZINC000595338380 356453864 /nfs/dbraw/zinc/45/38/64/356453864.db2.gz RTMQZHPKHBPQKY-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCSC2)cc1C ZINC000595318241 356447635 /nfs/dbraw/zinc/44/76/35/356447635.db2.gz SOJJYDKKCJOIRJ-MRVPVSSYSA-N -1 1 305.377 1.158 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C(C)C)C2CC2)o1 ZINC000595364355 356466373 /nfs/dbraw/zinc/46/63/73/356466373.db2.gz ONQUUJOAMFOCRG-GFCCVEGCSA-N -1 1 301.364 1.779 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C2CC(C)(C)C2)cc1C ZINC000595388645 356474551 /nfs/dbraw/zinc/47/45/51/356474551.db2.gz IRAXJWRYSFUTLX-UHFFFAOYSA-N -1 1 301.364 1.842 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)N2CCNCC2)n1 ZINC000237041464 202132687 /nfs/dbraw/zinc/13/26/87/202132687.db2.gz IBMVCOVHGRKBJL-UHFFFAOYSA-N -1 1 300.362 1.186 20 0 DDADMM CC(C)NC(=O)NC(=O)[C@H](C)N1CCC(CCC(=O)[O-])CC1 ZINC000595882742 356690576 /nfs/dbraw/zinc/69/05/76/356690576.db2.gz OPAQLBAYPXWBGK-NSHDSACASA-N -1 1 313.398 1.186 20 0 DDADMM CCOC(=O)c1cnc(N2CCC[C@H](c3n[n-]c(=O)o3)C2)s1 ZINC000596224922 356822232 /nfs/dbraw/zinc/82/22/32/356822232.db2.gz LDICEJDCOFKDOK-QMMMGPOBSA-N -1 1 324.362 1.792 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2cc(F)ccc2[O-])CS1(=O)=O ZINC000618323449 363586864 /nfs/dbraw/zinc/58/68/64/363586864.db2.gz IZQUKQMCIYIFPE-WCBMZHEXSA-N -1 1 301.339 1.227 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2cc(F)ccc2[O-])CS1(=O)=O ZINC000618323447 363586880 /nfs/dbraw/zinc/58/68/80/363586880.db2.gz IZQUKQMCIYIFPE-PSASIEDQSA-N -1 1 301.339 1.227 20 0 DDADMM CCC[C@H](C[N-]S(=O)(=O)c1cc(C(=O)OC)co1)OCC ZINC000599367125 357911923 /nfs/dbraw/zinc/91/19/23/357911923.db2.gz AOBDEGVBAUCPCY-LLVKDONJSA-N -1 1 319.379 1.550 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2[C@@H](C)[C@H](C)O[C@H]2C)c1 ZINC000599459092 357945798 /nfs/dbraw/zinc/94/57/98/357945798.db2.gz CRPBVQJBPMMIRD-PEFMBERDSA-N -1 1 317.363 1.156 20 0 DDADMM C[C@@H]1CN(CCN2CCc3ccc(C(=O)[O-])cc3C2)CCO1 ZINC000565486275 304071080 /nfs/dbraw/zinc/07/10/80/304071080.db2.gz MERJFNVQTFVCCF-CYBMUJFWSA-N -1 1 304.390 1.464 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1c(F)cccc1Cl ZINC000565508342 304072249 /nfs/dbraw/zinc/07/22/49/304072249.db2.gz NVYSTBDIXAINDH-UHFFFAOYSA-N -1 1 319.745 1.667 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1c(F)cccc1Cl ZINC000565508342 304072251 /nfs/dbraw/zinc/07/22/51/304072251.db2.gz NVYSTBDIXAINDH-UHFFFAOYSA-N -1 1 319.745 1.667 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(c2cccc(Cl)c2)CCOCC1 ZINC000600499171 358241552 /nfs/dbraw/zinc/24/15/52/358241552.db2.gz ZZCTUJGHVDSFDA-UHFFFAOYSA-N -1 1 321.768 1.218 20 0 DDADMM Cc1onc(-c2ccccc2Cl)c1C(=O)NCc1nn[n-]n1 ZINC000600505703 358243743 /nfs/dbraw/zinc/24/37/43/358243743.db2.gz RBZRXJUNTBSBTL-UHFFFAOYSA-N -1 1 318.724 1.747 20 0 DDADMM O=S(=O)([N-]CCCCCCO)c1ccc(F)c(F)c1F ZINC000180653603 199191544 /nfs/dbraw/zinc/19/15/44/199191544.db2.gz XPVXQTBEZUVOGZ-UHFFFAOYSA-N -1 1 311.325 1.935 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)CC1(OC)CCC1)C(=O)OC ZINC000601451452 358559233 /nfs/dbraw/zinc/55/92/33/358559233.db2.gz BRNFYDKABWBRRJ-RYUDHWBXSA-N -1 1 321.439 1.453 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OC ZINC000601451509 358559583 /nfs/dbraw/zinc/55/95/83/358559583.db2.gz CSOOBTNLJAZIJR-WPRPVWTQSA-N -1 1 320.436 1.708 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C(C2CC2)C2CC2)c1 ZINC000181033346 199243356 /nfs/dbraw/zinc/24/33/56/199243356.db2.gz NPHXAHNEKQBNQT-UHFFFAOYSA-N -1 1 310.375 1.414 20 0 DDADMM CCC[C@@H](C[N-]S(=O)(=O)c1cc(C)ns1)C(=O)OCC ZINC000601406798 358539329 /nfs/dbraw/zinc/53/93/29/358539329.db2.gz SEQDNLIWIMAIRY-JTQLQIEISA-N -1 1 320.436 1.709 20 0 DDADMM CC(C)(C)OC(=O)COCCNC(=O)c1ccc([O-])c(F)c1 ZINC000601751388 358678852 /nfs/dbraw/zinc/67/88/52/358678852.db2.gz YBLBTEDCFIGCLX-UHFFFAOYSA-N -1 1 313.325 1.619 20 0 DDADMM CCOC(=O)c1ncc(CN2C[C@@H](C)C[C@H](C(=O)[O-])C2)s1 ZINC000602020128 358779297 /nfs/dbraw/zinc/77/92/97/358779297.db2.gz IQZNFOYVXKKEDM-UWVGGRQHSA-N -1 1 312.391 1.862 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN([C@@H](C(=O)OC)c2ccccc2C)C1 ZINC000602023549 358780867 /nfs/dbraw/zinc/78/08/67/358780867.db2.gz ZGPVKXUTAFHGCE-PBHICJAKSA-N -1 1 321.373 1.632 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2csc(Cl)c2)n[n-]1 ZINC000603014533 359357097 /nfs/dbraw/zinc/35/70/97/359357097.db2.gz FPYTYABLGNXNGX-UHFFFAOYSA-N -1 1 314.754 1.626 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2csc(Cl)c2)n1 ZINC000603014533 359357101 /nfs/dbraw/zinc/35/71/01/359357101.db2.gz FPYTYABLGNXNGX-UHFFFAOYSA-N -1 1 314.754 1.626 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@H]2CCCC[C@@H]2C)n[n-]1 ZINC000603013573 359357314 /nfs/dbraw/zinc/35/73/14/359357314.db2.gz CWRLYAVUKHCVOD-WDEREUQCSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H]2CCCC[C@@H]2C)n1 ZINC000603013573 359357317 /nfs/dbraw/zinc/35/73/17/359357317.db2.gz CWRLYAVUKHCVOD-WDEREUQCSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCCC3(CC3)C2)n[n-]1 ZINC000603024226 359365659 /nfs/dbraw/zinc/36/56/59/359365659.db2.gz WKSDRWWGQPPMOV-SNVBAGLBSA-N -1 1 306.366 1.568 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCCC3(CC3)C2)n1 ZINC000603024226 359365665 /nfs/dbraw/zinc/36/56/65/359365665.db2.gz WKSDRWWGQPPMOV-SNVBAGLBSA-N -1 1 306.366 1.568 20 0 DDADMM CCC[C@@H]1CCC[C@@H]1C(=O)NCc1n[n-]c(C(=O)OCC)n1 ZINC000603023499 359365824 /nfs/dbraw/zinc/36/58/24/359365824.db2.gz UUPBCRDNCVZAFJ-MNOVXSKESA-N -1 1 308.382 1.814 20 0 DDADMM CCC[C@@H]1CCC[C@@H]1C(=O)NCc1nc(C(=O)OCC)n[n-]1 ZINC000603023499 359365826 /nfs/dbraw/zinc/36/58/26/359365826.db2.gz UUPBCRDNCVZAFJ-MNOVXSKESA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2C[C@@H]2C2CCCC2)n[n-]1 ZINC000603154317 359441030 /nfs/dbraw/zinc/44/10/30/359441030.db2.gz LBQOVVZBYPKZAK-WCQGTBRESA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2C[C@@H]2C2CCCC2)[n-]1 ZINC000603154317 359441032 /nfs/dbraw/zinc/44/10/32/359441032.db2.gz LBQOVVZBYPKZAK-WCQGTBRESA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2C[C@@H]2C2CCCC2)n1 ZINC000603154317 359441035 /nfs/dbraw/zinc/44/10/35/359441035.db2.gz LBQOVVZBYPKZAK-WCQGTBRESA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@]2(C)CC=CCC2)n[n-]1 ZINC000603155560 359441855 /nfs/dbraw/zinc/44/18/55/359441855.db2.gz NWNPZOUQMMANRS-MEBBXXQBSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@]2(C)CC=CCC2)[n-]1 ZINC000603155560 359441861 /nfs/dbraw/zinc/44/18/61/359441861.db2.gz NWNPZOUQMMANRS-MEBBXXQBSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@]2(C)CC=CCC2)n1 ZINC000603155560 359441867 /nfs/dbraw/zinc/44/18/67/359441867.db2.gz NWNPZOUQMMANRS-MEBBXXQBSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC2CC(C)(C)C2)n[n-]1 ZINC000603156740 359444077 /nfs/dbraw/zinc/44/40/77/359444077.db2.gz UKTHVCJXIAWEND-VIFPVBQESA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC2CC(C)(C)C2)[n-]1 ZINC000603156740 359444081 /nfs/dbraw/zinc/44/40/81/359444081.db2.gz UKTHVCJXIAWEND-VIFPVBQESA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC2CC(C)(C)C2)n1 ZINC000603156740 359444087 /nfs/dbraw/zinc/44/40/87/359444087.db2.gz UKTHVCJXIAWEND-VIFPVBQESA-N -1 1 308.382 1.985 20 0 DDADMM Cc1cc(OCCCC(=O)[N-]OCC(N)=O)ccc1Cl ZINC000089462370 192794204 /nfs/dbraw/zinc/79/42/04/192794204.db2.gz BHWRLWVGUNPHFU-UHFFFAOYSA-N -1 1 300.742 1.341 20 0 DDADMM CC(C)c1nncn1CCNC(=O)c1c(F)ccc([O-])c1F ZINC000280986045 216003969 /nfs/dbraw/zinc/00/39/69/216003969.db2.gz PXPCRZBSZJIFRS-UHFFFAOYSA-N -1 1 310.304 1.815 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cnc3ccsc3c2)n1 ZINC000358962143 299292849 /nfs/dbraw/zinc/29/28/49/299292849.db2.gz WOZSQMFGSHYNLB-UHFFFAOYSA-N -1 1 309.376 1.778 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC2CSC2)o1 ZINC000603383596 359606222 /nfs/dbraw/zinc/60/62/22/359606222.db2.gz GSRMBHMEGQZUQI-UHFFFAOYSA-N -1 1 305.377 1.098 20 0 DDADMM CCCS(=O)(=O)[N-][C@@]1(C(=O)OC)C[C@H](OCC)C1(C)C ZINC000444282080 283452755 /nfs/dbraw/zinc/45/27/55/283452755.db2.gz XFHJBHCTDMDKCZ-GXFFZTMASA-N -1 1 307.412 1.063 20 0 DDADMM CCSc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)ccn1 ZINC000605381865 359847532 /nfs/dbraw/zinc/84/75/32/359847532.db2.gz CLNOMBVHLWCAAY-UHFFFAOYSA-N -1 1 318.406 1.906 20 0 DDADMM CN(CC(=O)NC1(c2nn[n-]n2)CCCC1)c1ccccc1 ZINC000605381529 359847805 /nfs/dbraw/zinc/84/78/05/359847805.db2.gz XWVAVHUZRDJEFZ-UHFFFAOYSA-N -1 1 300.366 1.222 20 0 DDADMM Cc1nc(-c2cccs2)c(CC(=O)Nc2nnn[n-]2)s1 ZINC000605532505 359867594 /nfs/dbraw/zinc/86/75/94/359867594.db2.gz CYVWZZXOTYOJCW-UHFFFAOYSA-N -1 1 306.376 1.874 20 0 DDADMM Cc1nc(-c2cccs2)c(CC(=O)Nc2nn[n-]n2)s1 ZINC000605532505 359867597 /nfs/dbraw/zinc/86/75/97/359867597.db2.gz CYVWZZXOTYOJCW-UHFFFAOYSA-N -1 1 306.376 1.874 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2noc(C)n2)c(F)c1 ZINC000608029410 360106501 /nfs/dbraw/zinc/10/65/01/360106501.db2.gz FIEXQQJVWVQXFF-UHFFFAOYSA-N -1 1 319.289 1.143 20 0 DDADMM NC(=O)COc1cccc(C(=O)Nc2c([O-])cccc2F)c1 ZINC000608366227 360166899 /nfs/dbraw/zinc/16/68/99/360166899.db2.gz GNWYPTLVRKABFH-UHFFFAOYSA-N -1 1 304.277 1.648 20 0 DDADMM O=C(NCCNc1ccc2ccccc2n1)c1ncccc1[O-] ZINC000608368765 360167002 /nfs/dbraw/zinc/16/70/02/360167002.db2.gz RCVMSTHNEDTZED-UHFFFAOYSA-N -1 1 308.341 1.599 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)[C@@H]1COC(C)(C)C1)c1ccco1 ZINC000625040761 366680671 /nfs/dbraw/zinc/68/06/71/366680671.db2.gz MTKYAIZQEIFHLL-WDEREUQCSA-N -1 1 303.380 1.454 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2cc(F)c(F)c(F)c2)C1=O ZINC000281225832 216166187 /nfs/dbraw/zinc/16/61/87/216166187.db2.gz RWXPVNOIENXIIV-CYBMUJFWSA-N -1 1 315.251 1.469 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)c1ccc(C(N)=O)s1 ZINC000610231315 360393217 /nfs/dbraw/zinc/39/32/17/360393217.db2.gz MAHFOXWXXVQLML-UHFFFAOYSA-N -1 1 322.346 1.308 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-][C@H](COC)c1ccco1)C1CC1 ZINC000625043567 366681829 /nfs/dbraw/zinc/68/18/29/366681829.db2.gz VNMVOLWFHURJNN-TZMCWYRMSA-N -1 1 317.407 1.702 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC1CCC1)c1ccccc1F ZINC000611312613 360697780 /nfs/dbraw/zinc/69/77/80/360697780.db2.gz FHWHJNQLTJZRNW-CYBMUJFWSA-N -1 1 315.366 1.759 20 0 DDADMM O=C(NC[C@@]1(O)CCSC1)c1ncc2ccccc2c1[O-] ZINC000611870560 360845055 /nfs/dbraw/zinc/84/50/55/360845055.db2.gz UTWBGJPHANXHCU-HNNXBMFYSA-N -1 1 304.371 1.538 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCN(C2CC2)C(=O)C1 ZINC000611949270 360867713 /nfs/dbraw/zinc/86/77/13/360867713.db2.gz WWBISLFLDHPHQU-UHFFFAOYSA-N -1 1 311.341 1.387 20 0 DDADMM O=C(c1ncoc1C(F)(F)F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612818602 361155639 /nfs/dbraw/zinc/15/56/39/361155639.db2.gz VOLRCINZQQHCDT-ZCFIWIBFSA-N -1 1 316.243 1.226 20 0 DDADMM CC(C)C(=O)NCCCNC(=O)c1ncc2ccccc2c1[O-] ZINC000613006485 361237198 /nfs/dbraw/zinc/23/71/98/361237198.db2.gz PVHVLUMWTAWNJQ-UHFFFAOYSA-N -1 1 315.373 1.833 20 0 DDADMM O=C(N[C@H](CO)CC(F)(F)F)c1ncc2ccccc2c1[O-] ZINC000613213453 361329109 /nfs/dbraw/zinc/32/91/09/361329109.db2.gz DVCQASUAYWYHKK-VIFPVBQESA-N -1 1 314.263 1.984 20 0 DDADMM CCC[C@H](CC)S(=O)(=O)[N-]c1c(C(N)=O)[nH]nc1C(C)C ZINC000565954006 304107198 /nfs/dbraw/zinc/10/71/98/304107198.db2.gz KIQRVEBECJWVMK-VIFPVBQESA-N -1 1 316.427 1.952 20 0 DDADMM NC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)c1cc(Cl)ccc1[O-] ZINC000613872495 361613216 /nfs/dbraw/zinc/61/32/16/361613216.db2.gz PHZCFJSCSMDIQJ-FWDPORAESA-N -1 1 308.765 1.772 20 0 DDADMM COC(=O)c1csc(S(=O)(=O)[N-]C(C)(C)C(F)F)c1 ZINC000619503034 364042106 /nfs/dbraw/zinc/04/21/06/364042106.db2.gz ZHAORESNFMQQIB-UHFFFAOYSA-N -1 1 313.347 1.857 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)C1CCC(F)CC1 ZINC000619954723 364214615 /nfs/dbraw/zinc/21/46/15/364214615.db2.gz AFLUVECXNQEDBK-UEJVZZJDSA-N -1 1 313.329 1.543 20 0 DDADMM O=C(c1cnc2n1CCCCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000621928226 365120112 /nfs/dbraw/zinc/12/01/12/365120112.db2.gz UEUPQFCTOPPDTM-LLVKDONJSA-N -1 1 315.381 1.142 20 0 DDADMM CCO[C@H]1C[C@@H]1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091159925 193007428 /nfs/dbraw/zinc/00/74/28/193007428.db2.gz QRHNDPKWFVSXOM-CABZTGNLSA-N -1 1 316.354 1.561 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@H](O)CC12CCC2 ZINC000622113059 365266965 /nfs/dbraw/zinc/26/69/65/365266965.db2.gz NLTRUECNENQNIB-NSHDSACASA-N -1 1 307.419 1.659 20 0 DDADMM COc1ccc(-c2nc(=NC(=O)c3ccon3)[n-][nH]2)cc1OC ZINC000622392200 365392332 /nfs/dbraw/zinc/39/23/32/365392332.db2.gz GHPMIQYGXAHMAJ-UHFFFAOYSA-N -1 1 315.289 1.151 20 0 DDADMM CCC[C@@H](OCC)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000625605779 367044996 /nfs/dbraw/zinc/04/49/96/367044996.db2.gz JYMFHROVTBWJGJ-CYBMUJFWSA-N -1 1 320.393 1.873 20 0 DDADMM CO[C@@H]1[C@H]2C[C@H]3CN(C(=O)C(=O)c4ccc([O-])cc4)[C@H]1[C@H]3C2 ZINC000288189688 220008371 /nfs/dbraw/zinc/00/83/71/220008371.db2.gz BIPOJZFDHSBVTM-XHLJKMAZSA-N -1 1 301.342 1.457 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCOCC(F)F)sc1C ZINC000349989903 284128377 /nfs/dbraw/zinc/12/83/77/284128377.db2.gz HINDQGNZPXKXAB-UHFFFAOYSA-N -1 1 300.352 1.320 20 0 DDADMM CCOc1ccc(NC(=O)CN(C)CCC(=O)[O-])cc1OCC ZINC000262450328 203297360 /nfs/dbraw/zinc/29/73/60/203297360.db2.gz VXBWRMQBFTWVQT-UHFFFAOYSA-N -1 1 324.377 1.829 20 0 DDADMM O=C([O-])CC1(NC(=O)Cc2[nH]nc3ccccc32)CCOCC1 ZINC000262523897 203318186 /nfs/dbraw/zinc/31/81/86/203318186.db2.gz NCXNCNHKOZVRSX-UHFFFAOYSA-N -1 1 317.345 1.246 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1c(C)n[nH]c1C(F)F ZINC000350729205 284250097 /nfs/dbraw/zinc/25/00/97/284250097.db2.gz IVKHGBVRFVHWOY-UHFFFAOYSA-N -1 1 313.326 1.060 20 0 DDADMM O=C(c1cc(=O)[nH]c(C2CC2)n1)N1CC[NH2+][C@@H](c2ccccc2)C1 ZINC000566464191 304161848 /nfs/dbraw/zinc/16/18/48/304161848.db2.gz CBETWPYBRNBODF-OAHLLOKOSA-N -1 1 324.384 1.846 20 0 DDADMM COC(=O)c1c[n-]c(SCc2noc(C3CCOCC3)n2)n1 ZINC000274877460 212002918 /nfs/dbraw/zinc/00/29/18/212002918.db2.gz BZSHOJNYMZOKDI-UHFFFAOYSA-N -1 1 324.362 1.766 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000351352587 284320745 /nfs/dbraw/zinc/32/07/45/284320745.db2.gz NGQJFJJLMVEODO-NWDGAFQWSA-N -1 1 315.329 1.920 20 0 DDADMM Cc1ccc(O)c(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282866074 217288531 /nfs/dbraw/zinc/28/85/31/217288531.db2.gz IAJRHAQCQHPNDJ-SNVBAGLBSA-N -1 1 302.334 1.132 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1cccs1 ZINC000017306811 182082446 /nfs/dbraw/zinc/08/24/46/182082446.db2.gz PZAIZBXGHAITTL-UHFFFAOYSA-N -1 1 315.376 1.126 20 0 DDADMM CCCc1nc(CN2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)cs1 ZINC000288565759 220243050 /nfs/dbraw/zinc/24/30/50/220243050.db2.gz AHXRDZQFSNQALL-SNVBAGLBSA-N -1 1 307.423 1.887 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1c3ccccc3C[C@H]1O)c2=O ZINC000119383917 248260403 /nfs/dbraw/zinc/26/04/03/248260403.db2.gz XHCXDBHKSZCKPU-KGLIPLIRSA-N -1 1 324.340 1.062 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc2n[nH]c(C)c2c1)c1nn[n-]n1 ZINC000268180426 206520948 /nfs/dbraw/zinc/52/09/48/206520948.db2.gz LKUBDBWHLNEBCS-SNVBAGLBSA-N -1 1 300.326 1.051 20 0 DDADMM CC(C)C[C@@H](CNC(=O)N1CCN(C2CCC2)CC1)C(=O)[O-] ZINC000336897513 249285475 /nfs/dbraw/zinc/28/54/75/249285475.db2.gz PGFSMJWCENFDSK-ZDUSSCGKSA-N -1 1 311.426 1.613 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1csc(C2CC2)n1 ZINC000337163918 249372216 /nfs/dbraw/zinc/37/22/16/249372216.db2.gz WKBYNQALIJETIG-UHFFFAOYSA-N -1 1 305.363 1.086 20 0 DDADMM CCC[C@H](CC)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000104871425 194048800 /nfs/dbraw/zinc/04/88/00/194048800.db2.gz LVWGGAWHMISKIQ-LBPRGKRZSA-N -1 1 304.394 1.877 20 0 DDADMM NC(=O)[C@@H]([N-]S(=O)(=O)c1sccc1F)c1ccccc1 ZINC000338851410 250162189 /nfs/dbraw/zinc/16/21/89/250162189.db2.gz LOVLZNDCJKWSNP-JTQLQIEISA-N -1 1 314.363 1.392 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCOC(C)C)o1 ZINC000352066709 284751948 /nfs/dbraw/zinc/75/19/48/284751948.db2.gz CALTXKTUSNWPEU-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM NC(=O)[C@H]1CCCCC[C@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338931217 250203048 /nfs/dbraw/zinc/20/30/48/250203048.db2.gz HBLDHTDKCZDDJR-WCBMZHEXSA-N -1 1 320.411 1.600 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](OC)c2ccccc2)n1 ZINC000339173400 250328690 /nfs/dbraw/zinc/32/86/90/250328690.db2.gz ZIQIILFNSXWQOW-LBPRGKRZSA-N -1 1 303.318 1.913 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](NC(C)=O)C2CCCC2)n1 ZINC000339177989 250329959 /nfs/dbraw/zinc/32/99/59/250329959.db2.gz JOJOJJLZOQSZES-GFCCVEGCSA-N -1 1 322.365 1.220 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(Cc1ccccc1)C1CC1 ZINC000352108331 284789461 /nfs/dbraw/zinc/78/94/61/284789461.db2.gz WYVKKVFBZWWBMC-UHFFFAOYSA-N -1 1 315.329 1.701 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@H](F)C1 ZINC000567467717 304221181 /nfs/dbraw/zinc/22/11/81/304221181.db2.gz KGBGMOBQYAWMLO-DTWKUNHWSA-N -1 1 319.358 1.145 20 0 DDADMM CCc1[nH]nc(NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)c1C ZINC000109894399 194295951 /nfs/dbraw/zinc/29/59/51/194295951.db2.gz HZTBWJVFWUHVBQ-UHFFFAOYSA-N -1 1 312.351 1.034 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCCS2)o1 ZINC000340885084 251259754 /nfs/dbraw/zinc/25/97/54/251259754.db2.gz OTTRAPYEKSSTHA-SECBINFHSA-N -1 1 319.404 1.630 20 0 DDADMM O=C(COc1ccc(Br)cc1F)Nc1nnn[n-]1 ZINC000060850383 184156674 /nfs/dbraw/zinc/15/66/74/184156674.db2.gz WYBSXKJAUCJAKT-UHFFFAOYSA-N -1 1 316.090 1.119 20 0 DDADMM O=C(COc1ccc(Br)cc1F)Nc1nn[n-]n1 ZINC000060850383 184156676 /nfs/dbraw/zinc/15/66/76/184156676.db2.gz WYBSXKJAUCJAKT-UHFFFAOYSA-N -1 1 316.090 1.119 20 0 DDADMM CN1CCC[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1=O ZINC000340901893 251266381 /nfs/dbraw/zinc/26/63/81/251266381.db2.gz GTQHEVONLOSNJF-JTQLQIEISA-N -1 1 320.773 1.378 20 0 DDADMM CN(C)c1n[nH]c(NC(=O)c2cn[nH]c2-c2ccc(F)cc2)n1 ZINC000340997907 251326061 /nfs/dbraw/zinc/32/60/61/251326061.db2.gz QJJLIXGPFPVVKX-UHFFFAOYSA-N -1 1 315.312 1.652 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H](C)[C@H](C)CO)c(=O)[n-]1 ZINC000269101517 207242500 /nfs/dbraw/zinc/24/25/00/207242500.db2.gz IAKAVQWNGYIZGW-BDAKNGLRSA-N -1 1 313.423 1.278 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc(Br)c([O-])c2)C[C@@H]1O ZINC000089461338 207353596 /nfs/dbraw/zinc/35/35/96/207353596.db2.gz NEOVSFJBBBFTAN-UFBFGSQYSA-N -1 1 314.179 1.998 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1C[C@@H](C)[C@@H]1C ZINC000294400841 224009965 /nfs/dbraw/zinc/00/99/65/224009965.db2.gz YORCDDYUHMRSRU-PWSUYJOCSA-N -1 1 312.373 1.962 20 0 DDADMM CC(C)N(C(=O)CNC(=O)c1ncccc1[O-])C1CCCC1 ZINC000352352096 284986162 /nfs/dbraw/zinc/98/61/62/284986162.db2.gz CWJIOONRZIYASD-UHFFFAOYSA-N -1 1 305.378 1.697 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)c2cccc(F)c2)s1 ZINC000445720689 533088472 /nfs/dbraw/zinc/08/84/72/533088472.db2.gz FBMURBPTGSEKND-UHFFFAOYSA-N -1 1 319.408 1.878 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])C1CCOCC1 ZINC000156379648 197108459 /nfs/dbraw/zinc/10/84/59/197108459.db2.gz UGYLDQSEVSBROJ-UHFFFAOYSA-N -1 1 301.140 1.915 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCCC2(C)C)co1 ZINC000155329942 197044619 /nfs/dbraw/zinc/04/46/19/197044619.db2.gz VNPBUPTXVVZINY-SNVBAGLBSA-N -1 1 300.380 1.496 20 0 DDADMM O=S(=O)(Cc1ccc(F)cc1)[N-]c1nnc2ccccn21 ZINC000352746438 285271990 /nfs/dbraw/zinc/27/19/90/285271990.db2.gz QRBQHPJBDGNVTG-UHFFFAOYSA-N -1 1 306.322 1.810 20 0 DDADMM CC(C)OCc1nc([C@@H](C)[N-]S(=O)(=O)c2ccoc2)no1 ZINC000289492726 221015930 /nfs/dbraw/zinc/01/59/30/221015930.db2.gz JZHUTFUXRKUMSZ-SECBINFHSA-N -1 1 315.351 1.627 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@H]1c1ccccc1 ZINC000352850630 285335551 /nfs/dbraw/zinc/33/55/51/285335551.db2.gz YISYHANZBYRCAY-ZDUSSCGKSA-N -1 1 309.329 1.395 20 0 DDADMM Cc1ccc(CCNC(=O)c2cc(=O)n3[n-]cnc3n2)c(C)c1 ZINC000353527550 285786355 /nfs/dbraw/zinc/78/63/55/285786355.db2.gz DXERAPGPXVQPJV-UHFFFAOYSA-N -1 1 311.345 1.007 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NCCCCF)o1 ZINC000360527437 299683611 /nfs/dbraw/zinc/68/36/11/299683611.db2.gz CMYNQKNJDGFADQ-UHFFFAOYSA-N -1 1 320.386 1.836 20 0 DDADMM CCC[C@@H]1[C@H](C)CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353730421 285894684 /nfs/dbraw/zinc/89/46/84/285894684.db2.gz UXOZWTJUATYUQY-ZYHUDNBSSA-N -1 1 303.366 1.458 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Br)c([O-])c2)C[C@@H]1CO ZINC000360835678 299757780 /nfs/dbraw/zinc/75/77/80/299757780.db2.gz LEPCTJONGXBWCY-PSASIEDQSA-N -1 1 314.179 1.855 20 0 DDADMM COc1ccc(C)cc1CCNC(=O)CCCc1nn[n-]n1 ZINC000635130672 422831033 /nfs/dbraw/zinc/83/10/33/422831033.db2.gz QZXRMVCIZVCLKT-UHFFFAOYSA-N -1 1 303.366 1.198 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)C[C@@H]1CCCCO1)C(=O)OC ZINC000570567129 304415463 /nfs/dbraw/zinc/41/54/63/304415463.db2.gz SITNOTXZLXBZQQ-AVGNSLFASA-N -1 1 321.439 1.453 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H](NS(=O)(=O)c2c(F)cccc2F)C1 ZINC000070556038 406818083 /nfs/dbraw/zinc/81/80/83/406818083.db2.gz SOSIWBIMNRKRMK-BDAKNGLRSA-N -1 1 319.329 1.887 20 0 DDADMM CNC(=O)Cc1ccc(NC(=O)c2ccc(OC)cc2[O-])cc1 ZINC000031202422 406937456 /nfs/dbraw/zinc/93/74/56/406937456.db2.gz DWPCLWODMHOGFI-UHFFFAOYSA-N -1 1 314.341 1.942 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2ccc3c[nH]nc3c2)c[nH]1 ZINC000037048013 406985629 /nfs/dbraw/zinc/98/56/29/406985629.db2.gz BSGLWWZWRYLSKA-UHFFFAOYSA-N -1 1 306.303 1.390 20 0 DDADMM Cc1ccc(NC(=O)[C@@H](C)S(=O)(=O)c2ncn[n-]2)cc1C ZINC000086169974 407109663 /nfs/dbraw/zinc/10/96/63/407109663.db2.gz REBQSMYGWKTBPS-SNVBAGLBSA-N -1 1 308.363 1.222 20 0 DDADMM Cc1ccc(NC(=O)[C@@H](C)S(=O)(=O)c2nc[n-]n2)cc1C ZINC000086169974 407109665 /nfs/dbraw/zinc/10/96/65/407109665.db2.gz REBQSMYGWKTBPS-SNVBAGLBSA-N -1 1 308.363 1.222 20 0 DDADMM O=C([O-])c1csc(CNC(=O)c2n[nH]c3ccccc32)n1 ZINC000090932751 407172284 /nfs/dbraw/zinc/17/22/84/407172284.db2.gz MQNXHFFKYNNIKU-UHFFFAOYSA-N -1 1 302.315 1.648 20 0 DDADMM CCCNC(=O)CCCC(=O)NCc1n[n-]c(=S)n1CC ZINC000066636441 407255839 /nfs/dbraw/zinc/25/58/39/407255839.db2.gz FFDUGNSLYICUEQ-UHFFFAOYSA-N -1 1 313.427 1.273 20 0 DDADMM O=C(Cc1cccc(O)c1)NCc1n[n-]c(=S)n1C1CC1 ZINC000066638575 407256583 /nfs/dbraw/zinc/25/65/83/407256583.db2.gz NDMDKICBSQPGGE-UHFFFAOYSA-N -1 1 304.375 1.840 20 0 DDADMM CC(C)(CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000101664183 407314607 /nfs/dbraw/zinc/31/46/07/407314607.db2.gz FTVPUBAMQXPMGV-UHFFFAOYSA-N -1 1 322.390 1.515 20 0 DDADMM CC(C)(CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000101664183 407314608 /nfs/dbraw/zinc/31/46/08/407314608.db2.gz FTVPUBAMQXPMGV-UHFFFAOYSA-N -1 1 322.390 1.515 20 0 DDADMM Cc1ncc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)s1 ZINC000098022746 407304301 /nfs/dbraw/zinc/30/43/01/407304301.db2.gz YJCMDBFHOPLRMZ-UHFFFAOYSA-N -1 1 300.343 1.618 20 0 DDADMM CC(C)c1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc(=O)[nH]1 ZINC000124072340 407347488 /nfs/dbraw/zinc/34/74/88/407347488.db2.gz LKSRKMMSDLFPMI-SECBINFHSA-N -1 1 304.354 1.299 20 0 DDADMM Cc1noc(C)c1CC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000107309700 407372881 /nfs/dbraw/zinc/37/28/81/407372881.db2.gz SSZMGZITRSWWPM-UHFFFAOYSA-N -1 1 318.786 1.199 20 0 DDADMM CN(C)C(=O)CN(C)C(=O)c1ccc(Br)c([O-])c1 ZINC000125111232 407379343 /nfs/dbraw/zinc/37/93/43/407379343.db2.gz FPXIOTGCIMKDNG-UHFFFAOYSA-N -1 1 315.167 1.315 20 0 DDADMM COc1ccc(OCCCNC(=O)c2cncc([O-])c2)cc1 ZINC000111579525 407411845 /nfs/dbraw/zinc/41/18/45/407411845.db2.gz QFZHSCPQQSURNF-UHFFFAOYSA-N -1 1 302.330 1.995 20 0 DDADMM Cc1noc(-c2ccccc2)c1[N-]S(=O)(=O)N1CCOCC1 ZINC000126546944 407415995 /nfs/dbraw/zinc/41/59/95/407415995.db2.gz CTOZUMQAUBTHAE-UHFFFAOYSA-N -1 1 323.374 1.639 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)NCCCc2ccccc2)C1 ZINC000261617323 407554381 /nfs/dbraw/zinc/55/43/81/407554381.db2.gz FZNNYEDWAOZQSR-HNNXBMFYSA-N -1 1 304.390 1.532 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCCc2ccccc2)C1 ZINC000261617323 407554389 /nfs/dbraw/zinc/55/43/89/407554389.db2.gz FZNNYEDWAOZQSR-HNNXBMFYSA-N -1 1 304.390 1.532 20 0 DDADMM CC[C@@H](C)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000130510038 407697579 /nfs/dbraw/zinc/69/75/79/407697579.db2.gz HYAYDPBBHADCDY-SECBINFHSA-N -1 1 312.391 1.818 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCCC(=O)N(C)C ZINC000266971189 407698197 /nfs/dbraw/zinc/69/81/97/407698197.db2.gz BSCLHEXIJAPSPN-UHFFFAOYSA-N -1 1 322.409 1.386 20 0 DDADMM O=c1nc(CN2CCC[C@@H](C3OCCO3)C2)[n-]c2ccsc21 ZINC000171669216 407735124 /nfs/dbraw/zinc/73/51/24/407735124.db2.gz YVNLBVGLLFAGOU-SNVBAGLBSA-N -1 1 321.402 1.570 20 0 DDADMM O=C([N-]Cc1ccccc1Cn1cncn1)C(F)(F)C(F)F ZINC000267220821 407795124 /nfs/dbraw/zinc/79/51/24/407795124.db2.gz VYMSOWNGTVRZGN-UHFFFAOYSA-N -1 1 316.258 1.843 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2cc(Cl)c[nH]2)c1 ZINC000179539995 407802235 /nfs/dbraw/zinc/80/22/35/407802235.db2.gz ZRXLCTRTQZGJIG-UHFFFAOYSA-N -1 1 315.738 1.273 20 0 DDADMM C[C@H](O)CC(C)(C)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179529080 407802730 /nfs/dbraw/zinc/80/27/30/407802730.db2.gz UMEKUHXQOMUUGV-VIFPVBQESA-N -1 1 306.366 1.201 20 0 DDADMM CCCCNC(=O)[C@H]1CC[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC000153662056 407871032 /nfs/dbraw/zinc/87/10/32/407871032.db2.gz XCWQFHMYBCDNDS-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H](C)[C@@H](O)CNC(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000134282947 407890560 /nfs/dbraw/zinc/89/05/60/407890560.db2.gz YUPDRQXRRSYIKM-ZANVPECISA-N -1 1 303.362 1.781 20 0 DDADMM CC[C@H](C)[C@@H](O)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000134282947 407890567 /nfs/dbraw/zinc/89/05/67/407890567.db2.gz YUPDRQXRRSYIKM-ZANVPECISA-N -1 1 303.362 1.781 20 0 DDADMM CC[C@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1ccc(OC)cc1 ZINC000182559881 306705338 /nfs/dbraw/zinc/70/53/38/306705338.db2.gz OCCHBYDGQVJYKR-ZDUSSCGKSA-N -1 1 318.377 1.349 20 0 DDADMM CC(=O)N1CC[C@@H](CN(C)C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000119544873 408092047 /nfs/dbraw/zinc/09/20/47/408092047.db2.gz IBXIIIRZSDKHIC-NSHDSACASA-N -1 1 310.781 1.986 20 0 DDADMM NC(=O)CCOc1ccc(NC(=O)c2ccccc2[O-])cc1 ZINC000175458243 408136145 /nfs/dbraw/zinc/13/61/45/408136145.db2.gz FAWHAZAMKSPRDR-UHFFFAOYSA-N -1 1 300.314 1.899 20 0 DDADMM C[N@H+]1CCN(c2cnc(C(=O)[O-])cn2)C[C@H]1Cc1ccccc1 ZINC000263436199 408138497 /nfs/dbraw/zinc/13/84/97/408138497.db2.gz VJWJXIUDYMSPMN-CQSZACIVSA-N -1 1 312.373 1.538 20 0 DDADMM CN1CCN(c2cnc(C(=O)[O-])cn2)C[C@H]1Cc1ccccc1 ZINC000263436199 408138504 /nfs/dbraw/zinc/13/85/04/408138504.db2.gz VJWJXIUDYMSPMN-CQSZACIVSA-N -1 1 312.373 1.538 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000268713245 408170602 /nfs/dbraw/zinc/17/06/02/408170602.db2.gz MJVYPOHSFVSMPD-NSHDSACASA-N -1 1 321.377 1.869 20 0 DDADMM CC(=O)Nc1ccc(F)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000273579861 408253926 /nfs/dbraw/zinc/25/39/26/408253926.db2.gz OFRXZLQEMXJVGV-MRVPVSSYSA-N -1 1 320.328 1.173 20 0 DDADMM CN1CC[C@@H](CNC(=O)C(=O)[O-])[C@H]1c1ccc(Cl)c(F)c1 ZINC000274178463 408315847 /nfs/dbraw/zinc/31/58/47/408315847.db2.gz OHGOSAXVNLBYHG-JOYOIKCWSA-N -1 1 314.744 1.673 20 0 DDADMM CN(C)S(=O)(=O)CCC(=O)Nc1ccc([O-])c(Cl)c1 ZINC000182878157 408272129 /nfs/dbraw/zinc/27/21/29/408272129.db2.gz SRQDXCCRPRETRS-UHFFFAOYSA-N -1 1 306.771 1.266 20 0 DDADMM Cn1nc2n(c1=O)CCN(C(=O)c1cc3ccccc3cc1[O-])C2 ZINC000131336938 162013108 /nfs/dbraw/zinc/01/31/08/162013108.db2.gz PATKFJHEEQGFNV-UHFFFAOYSA-N -1 1 324.340 1.097 20 0 DDADMM C[C@H](C[S@@](C)=O)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000183193514 408349725 /nfs/dbraw/zinc/34/97/25/408349725.db2.gz ZEYLUZICINDBID-FWCARGHBSA-N -1 1 315.338 1.149 20 0 DDADMM O=C(CCC(=O)Nc1nnn[n-]1)CCC(=O)c1cccs1 ZINC000264052573 408353336 /nfs/dbraw/zinc/35/33/36/408353336.db2.gz YQWUILKLCJSPJE-UHFFFAOYSA-N -1 1 307.335 1.212 20 0 DDADMM O=C(CCC(=O)Nc1nn[n-]n1)CCC(=O)c1cccs1 ZINC000264052573 408353342 /nfs/dbraw/zinc/35/33/42/408353342.db2.gz YQWUILKLCJSPJE-UHFFFAOYSA-N -1 1 307.335 1.212 20 0 DDADMM O=C(NCCc1nnc2n1CCCC2)c1ccc(Cl)cc1[O-] ZINC000166243217 162164958 /nfs/dbraw/zinc/16/49/58/162164958.db2.gz WQXOTJJSXROKIW-UHFFFAOYSA-N -1 1 320.780 1.946 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCOC1)c1cc(F)c(F)cc1F ZINC000170940161 162200668 /nfs/dbraw/zinc/20/06/68/162200668.db2.gz SEKODHZBDXDPHJ-QMMMGPOBSA-N -1 1 309.309 1.809 20 0 DDADMM O=S(=O)([N-]CC1(CO)CCC1)c1ccc(F)c(F)c1F ZINC000183307553 408375138 /nfs/dbraw/zinc/37/51/38/408375138.db2.gz RHHFGZPWMZVFRV-UHFFFAOYSA-N -1 1 309.309 1.545 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183401706 408401414 /nfs/dbraw/zinc/40/14/14/408401414.db2.gz JIZWYZPCLWEJDS-GFCCVEGCSA-N -1 1 315.377 1.733 20 0 DDADMM CC[C@@H](C)[C@@H](O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000269740481 408412161 /nfs/dbraw/zinc/41/21/61/408412161.db2.gz DVSINDIOMUDVOM-HQJQHLMTSA-N -1 1 311.325 1.789 20 0 DDADMM COC[C@@H]1CN(C(=O)c2c([O-])cnc3ccccc32)CCO1 ZINC000176761886 408434712 /nfs/dbraw/zinc/43/47/12/408434712.db2.gz GTPQAUYVERLNMK-NSHDSACASA-N -1 1 302.330 1.428 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](C)C[S@](C)=O)cc(Cl)c1[O-] ZINC000183557125 408439896 /nfs/dbraw/zinc/43/98/96/408439896.db2.gz CSPRRXQVRZRDPQ-FHZGZLOMSA-N -1 1 319.810 1.941 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)NCCc1ccc(F)cc1)C(=O)[O-] ZINC000185048152 408744145 /nfs/dbraw/zinc/74/41/45/408744145.db2.gz CYYOYWUPECVGNY-RISCZKNCSA-N -1 1 310.369 1.716 20 0 DDADMM O=C(NCCOc1ccc(C(F)(F)F)cc1)c1cnn[nH]1 ZINC000194202091 408771744 /nfs/dbraw/zinc/77/17/44/408771744.db2.gz MNOYCKLJNGASKH-UHFFFAOYSA-N -1 1 300.240 1.632 20 0 DDADMM CCNC(=O)OC[C@H]1CCCCN1C(=O)c1cncc([O-])c1 ZINC000285886437 408882637 /nfs/dbraw/zinc/88/26/37/408882637.db2.gz GQFFMCAVXNQKHX-GFCCVEGCSA-N -1 1 307.350 1.528 20 0 DDADMM Cc1cnc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)nc1C ZINC000290788185 408833833 /nfs/dbraw/zinc/83/38/33/408833833.db2.gz XFCOYSGGSZMMPU-NSHDSACASA-N -1 1 316.327 1.988 20 0 DDADMM CS[C@H]1CCC[C@@H]([N-]S(=O)(=O)c2c(C)onc2N)C1 ZINC000290820285 408839271 /nfs/dbraw/zinc/83/92/71/408839271.db2.gz TUYKFUGQBKWPDX-BDAKNGLRSA-N -1 1 305.425 1.518 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@H]1C ZINC000280915746 408858527 /nfs/dbraw/zinc/85/85/27/408858527.db2.gz FYLFXPPLPQIXPD-GWCFXTLKSA-N -1 1 305.330 1.233 20 0 DDADMM C[S@@](=O)C1(C[N-]S(=O)(=O)c2cccc(F)c2F)CC1 ZINC000281719923 408916324 /nfs/dbraw/zinc/91/63/24/408916324.db2.gz BJLZRTNYPSFLKM-GOSISDBHSA-N -1 1 309.359 1.154 20 0 DDADMM CC(C)(C)n1cc(C(=O)Nc2nc(-c3ccco3)n[nH]2)nn1 ZINC000191157776 163174872 /nfs/dbraw/zinc/17/48/72/163174872.db2.gz PUSBOPJMFXXXOK-UHFFFAOYSA-N -1 1 301.310 1.664 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC=CCC2)c1 ZINC000291879850 408937455 /nfs/dbraw/zinc/93/74/55/408937455.db2.gz HCMGUXIHDYUMIX-SNVBAGLBSA-N -1 1 310.375 1.595 20 0 DDADMM O=C(c1ccc(I)c([O-])c1)N1CCCO1 ZINC000226097151 163335542 /nfs/dbraw/zinc/33/55/42/163335542.db2.gz CDHUKHYBWHUQGO-UHFFFAOYSA-N -1 1 319.098 1.774 20 0 DDADMM O=C(N[C@H]1CCC(=O)NC1)c1ccc(Br)c([O-])c1 ZINC000228317208 163341323 /nfs/dbraw/zinc/34/13/23/163341323.db2.gz UZZQPVRUJMSBMJ-QMMMGPOBSA-N -1 1 313.151 1.163 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC(=O)N(C)[C@@H](C)C1 ZINC000282411969 409050016 /nfs/dbraw/zinc/05/00/16/409050016.db2.gz PUBOSFDURUDOSG-VIFPVBQESA-N -1 1 320.393 1.091 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000287822287 409098544 /nfs/dbraw/zinc/09/85/44/409098544.db2.gz NBCALBHKKODHBO-LLVKDONJSA-N -1 1 310.325 1.263 20 0 DDADMM CC1(C)CN(C(=O)c2cncc([O-])c2)C[C@H](C(F)(F)F)O1 ZINC000293703700 409164829 /nfs/dbraw/zinc/16/48/29/409164829.db2.gz LGAISOPVYPPILR-SNVBAGLBSA-N -1 1 304.268 1.969 20 0 DDADMM CC(C)CO[C@@H]1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000279255670 409180059 /nfs/dbraw/zinc/18/00/59/409180059.db2.gz IGEAQUWWBOMWDQ-NODXGKJPSA-N -1 1 317.393 1.621 20 0 DDADMM Cc1noc(CC[N-]S(=O)(=O)c2cc(Cl)ccc2F)n1 ZINC000283784766 409216032 /nfs/dbraw/zinc/21/60/32/409216032.db2.gz DMJQLCKIFUAIIO-UHFFFAOYSA-N -1 1 319.745 1.692 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2cc(Cl)ccc2F)CCC1 ZINC000283798149 409218432 /nfs/dbraw/zinc/21/84/32/409218432.db2.gz AHJSDKQXGNSRRG-UHFFFAOYSA-N -1 1 321.757 1.853 20 0 DDADMM NS(=O)(=O)CCCCNC(=O)c1ccc2ccccc2c1[O-] ZINC000294060819 409233525 /nfs/dbraw/zinc/23/35/25/409233525.db2.gz GRZPCWZVKRIPDS-UHFFFAOYSA-N -1 1 322.386 1.344 20 0 DDADMM O=C(c1ccc(O)cc1)N1CC[NH+](CC2CCC(=O)CC2)CC1 ZINC000289015311 409208047 /nfs/dbraw/zinc/20/80/47/409208047.db2.gz AHWRTJKZHPVKOC-UHFFFAOYSA-N -1 1 316.401 1.909 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc(Cl)ccc1F)NCC1CC1 ZINC000284209929 409296689 /nfs/dbraw/zinc/29/66/89/409296689.db2.gz IASZHHZSLWJOIN-UHFFFAOYSA-N -1 1 320.773 1.284 20 0 DDADMM CCn1nc(C)c(C(=O)Nc2cc(C(=O)OC)ccc2[O-])n1 ZINC000294763037 409302230 /nfs/dbraw/zinc/30/22/30/409302230.db2.gz SHBFTZYNDXMYJT-UHFFFAOYSA-N -1 1 304.306 1.351 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C1CC1 ZINC000284338645 409327844 /nfs/dbraw/zinc/32/78/44/409327844.db2.gz PJNKROKDYLTRMV-LLVKDONJSA-N -1 1 321.757 1.709 20 0 DDADMM Cc1scc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c1C ZINC000294257042 409267641 /nfs/dbraw/zinc/26/76/41/409267641.db2.gz FFOSSIUSZBKNNK-SNVBAGLBSA-N -1 1 306.391 1.796 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2c(F)ccc([O-])c2F)[C@@H]1OC ZINC000290452362 409406370 /nfs/dbraw/zinc/40/63/70/409406370.db2.gz MJUMUODSKVKENV-JQEORGNBSA-N -1 1 301.289 1.593 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H](C)CCCO ZINC000296198347 409460319 /nfs/dbraw/zinc/46/03/19/409460319.db2.gz UFJSCAAMZNTBIV-JTQLQIEISA-N -1 1 309.410 1.927 20 0 DDADMM O=C(c1cccc2c1OCO2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000407994915 164192194 /nfs/dbraw/zinc/19/21/94/164192194.db2.gz FDUNYYSHTSGDEL-VIFPVBQESA-N -1 1 317.301 1.524 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@H](c3cnn(C)c3)C2)c([O-])c1 ZINC000408106050 164229564 /nfs/dbraw/zinc/22/95/64/164229564.db2.gz JIIOFOUVVCGGFS-ZDUSSCGKSA-N -1 1 302.334 1.043 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)[N-]c2cn(C)nc2C(F)(F)F)C1 ZINC000408241744 164273194 /nfs/dbraw/zinc/27/31/94/164273194.db2.gz LLIJDGXKHLHKFW-ZETCQYMHSA-N -1 1 312.317 1.437 20 0 DDADMM COC(=O)c1nscc1[N-]S(=O)(=O)N1CCC[C@@H]1C ZINC000408287166 164286190 /nfs/dbraw/zinc/28/61/90/164286190.db2.gz QDVZETRZAWRHDN-ZETCQYMHSA-N -1 1 305.381 1.071 20 0 DDADMM C[C@](O)(CNC(=O)c1ccc2n[n-]c(=S)n2c1)C(F)(F)F ZINC000295432911 409481353 /nfs/dbraw/zinc/48/13/53/409481353.db2.gz FVCUKWPAKREJIX-JTQLQIEISA-N -1 1 320.296 1.061 20 0 DDADMM CO[C@H](C)c1nc(=NC2CCC(S(C)(=O)=O)CC2)s[n-]1 ZINC000337883897 409539516 /nfs/dbraw/zinc/53/95/16/409539516.db2.gz WMWCQWBRIZFDQQ-XNWIYYODSA-N -1 1 319.452 1.435 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncc(C)cc2[O-])[C@@H]1C(C)C ZINC000345101891 409629421 /nfs/dbraw/zinc/62/94/21/409629421.db2.gz NROLVMPOVFUGJS-BXUZGUMPSA-N -1 1 306.362 1.755 20 0 DDADMM CCC[C@H]1C[C@H](C(=O)Nc2nc(SCCO)n[nH]2)CCO1 ZINC000356759846 409577673 /nfs/dbraw/zinc/57/76/73/409577673.db2.gz DPRWBPVKVKQRRG-ZJUUUORDSA-N -1 1 314.411 1.423 20 0 DDADMM CN(Cc1ccc(F)cc1)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337945822 409592055 /nfs/dbraw/zinc/59/20/55/409592055.db2.gz NUBQJPXINJIXTO-UHFFFAOYSA-N -1 1 317.320 1.315 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)C[C@@H]2CCCO2)CCCCCC1 ZINC000331578221 409653369 /nfs/dbraw/zinc/65/33/69/409653369.db2.gz QXVHVOLCAXWPIO-LBPRGKRZSA-N -1 1 319.423 1.351 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(CCc2cccs2)CC1 ZINC000338048574 409674216 /nfs/dbraw/zinc/67/42/16/409674216.db2.gz XISLVSFGWNCMCM-UHFFFAOYSA-N -1 1 317.414 1.849 20 0 DDADMM C[C@@H](C1CCCCC1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000338170337 409771964 /nfs/dbraw/zinc/77/19/64/409771964.db2.gz QVYWLXCIWZNNQS-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CC(=O)Nc1ccc(Cl)c(C(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000357062847 409827724 /nfs/dbraw/zinc/82/77/24/409827724.db2.gz YRJHRBOASHGQKA-UHFFFAOYSA-N -1 1 320.740 1.231 20 0 DDADMM CC[C@@H](O)[C@H](CC)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000313140006 409799447 /nfs/dbraw/zinc/79/94/47/409799447.db2.gz HOKALVWOBXVYPI-DTWKUNHWSA-N -1 1 311.325 1.932 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1c(F)ccc(C)c1F ZINC000342668742 409803172 /nfs/dbraw/zinc/80/31/72/409803172.db2.gz QUMXAYZVEQYCSV-UHFFFAOYSA-N -1 1 323.299 1.858 20 0 DDADMM C[C@@H]1[C@@H](C(=O)NC2(c3nn[n-]n3)CC2)CCN1c1ccccc1 ZINC000357045380 409817330 /nfs/dbraw/zinc/81/73/30/409817330.db2.gz BZPJGYWHBXHDRD-YPMHNXCESA-N -1 1 312.377 1.220 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)COc2ccccc2)c1 ZINC000357098167 409871151 /nfs/dbraw/zinc/87/11/51/409871151.db2.gz AILHFHGUGXAZLO-UHFFFAOYSA-N -1 1 322.342 1.057 20 0 DDADMM CC[N@@H+]1C[C@@H](C)N(C(=O)c2csc(=NC3CC3)[nH]2)C[C@@H]1C ZINC000346308124 409901835 /nfs/dbraw/zinc/90/18/35/409901835.db2.gz HKMUJHZWYDUQLW-WDEREUQCSA-N -1 1 308.451 1.694 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1(c2ccccc2F)CC1 ZINC000357384178 410003630 /nfs/dbraw/zinc/00/36/30/410003630.db2.gz SVZYXWVHIWIVBD-UHFFFAOYSA-N -1 1 319.292 1.455 20 0 DDADMM Cc1cc2c(cc1C)O[C@H](C(=O)NC(C)(C)c1nn[n-]n1)C2 ZINC000354755462 410064001 /nfs/dbraw/zinc/06/40/01/410064001.db2.gz GDNFCBKYAVSCPR-LBPRGKRZSA-N -1 1 301.350 1.172 20 0 DDADMM CSC[C@H](CCO)NC(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000343070364 410119497 /nfs/dbraw/zinc/11/94/97/410119497.db2.gz UDKVYNWHFYIXRV-JTQLQIEISA-N -1 1 321.402 1.488 20 0 DDADMM CSC[C@H](CCO)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000343070364 410119510 /nfs/dbraw/zinc/11/95/10/410119510.db2.gz UDKVYNWHFYIXRV-JTQLQIEISA-N -1 1 321.402 1.488 20 0 DDADMM CN(C)C(=O)C(C)(C)[N-]S(=O)(=O)c1sccc1Cl ZINC000579918894 422854102 /nfs/dbraw/zinc/85/41/02/422854102.db2.gz RYENOHMROMBCDR-UHFFFAOYSA-N -1 1 310.828 1.547 20 0 DDADMM CC[C@H]1C[C@@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCO1 ZINC000332446578 410164979 /nfs/dbraw/zinc/16/49/79/410164979.db2.gz KGVPLFPBECSXOI-RYUDHWBXSA-N -1 1 309.366 1.686 20 0 DDADMM O=C(COC(F)F)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000354923864 410177133 /nfs/dbraw/zinc/17/71/33/410177133.db2.gz UWFPHDRGTRDZSL-UHFFFAOYSA-N -1 1 303.215 1.955 20 0 DDADMM Cc1ccc(C[C@@H](CO)NC(=O)c2ncc(C)cc2[O-])cc1 ZINC000346929783 410255025 /nfs/dbraw/zinc/25/50/25/410255025.db2.gz WKIGNGSKARUUJF-AWEZNQCLSA-N -1 1 300.358 1.737 20 0 DDADMM Cc1ccc([C@H](C)[C@H](O)C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000358030916 410345904 /nfs/dbraw/zinc/34/59/04/410345904.db2.gz YLUUGHCPFUADPK-OBJOEFQTSA-N -1 1 317.393 1.235 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CCOCC2)c1cc(F)c(F)cc1F ZINC000333126949 410372149 /nfs/dbraw/zinc/37/21/49/410372149.db2.gz WEPGTLREQIVZIS-GFCCVEGCSA-N -1 1 321.320 1.951 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCc3cc(C)nc(C)n3)cnc2n1 ZINC000358259529 410422894 /nfs/dbraw/zinc/42/28/94/410422894.db2.gz IAKMEQJXNMMVEB-UHFFFAOYSA-N -1 1 323.356 1.981 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCc1cc(C)nc(C)n1)c2=O ZINC000358259529 410422898 /nfs/dbraw/zinc/42/28/98/410422898.db2.gz IAKMEQJXNMMVEB-UHFFFAOYSA-N -1 1 323.356 1.981 20 0 DDADMM C[C@H]1CCC[C@H](CCNC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343403421 410423435 /nfs/dbraw/zinc/42/34/35/410423435.db2.gz PDWZTPAHFKMRIK-QWHCGFSZSA-N -1 1 319.405 1.850 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2cccc(CC(=O)[O-])c2)c1 ZINC000347270451 410434077 /nfs/dbraw/zinc/43/40/77/410434077.db2.gz UIFIZCHJIURAEH-UHFFFAOYSA-N -1 1 321.358 1.400 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COCCc2ccccc2)n1 ZINC000352164474 410519734 /nfs/dbraw/zinc/51/97/34/410519734.db2.gz RTLCTEKWWZPLKP-UHFFFAOYSA-N -1 1 317.345 1.784 20 0 DDADMM COc1ccc(CCNC(=O)c2cncc([O-])c2)c(OC)c1 ZINC000339895681 410535961 /nfs/dbraw/zinc/53/59/61/410535961.db2.gz ZFSKDKJZPSSIPI-UHFFFAOYSA-N -1 1 302.330 1.777 20 0 DDADMM NC(=O)c1ccc(Oc2ccc(C(=O)Nc3nnn[n-]3)cc2)cc1 ZINC000359314285 410599639 /nfs/dbraw/zinc/59/96/39/410599639.db2.gz RKIHZIJGDIRYDE-UHFFFAOYSA-N -1 1 324.300 1.343 20 0 DDADMM NC(=O)c1ccc(Oc2ccc(C(=O)Nc3nn[n-]n3)cc2)cc1 ZINC000359314285 410599644 /nfs/dbraw/zinc/59/96/44/410599644.db2.gz RKIHZIJGDIRYDE-UHFFFAOYSA-N -1 1 324.300 1.343 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@@H]1c1ccc(F)c(F)c1 ZINC000352204527 410549154 /nfs/dbraw/zinc/54/91/54/410549154.db2.gz HSEUBAXPSWFAAF-BDAKNGLRSA-N -1 1 305.288 1.387 20 0 DDADMM C[C@@H]1CN(C(=O)c2csc(=NC3CC3)[nH]2)C[C@@H](C)S1=O ZINC000330124993 410554025 /nfs/dbraw/zinc/55/40/25/410554025.db2.gz COJVBASZAHEEJW-RKDXNWHRSA-N -1 1 313.448 1.121 20 0 DDADMM C[C@@H]1CN(C(=O)c2csc(=NC3CC3)[n-]2)C[C@@H](C)S1=O ZINC000330124993 410554029 /nfs/dbraw/zinc/55/40/29/410554029.db2.gz COJVBASZAHEEJW-RKDXNWHRSA-N -1 1 313.448 1.121 20 0 DDADMM CCOC(=O)c1cccc(N(CC)Cc2nc(=O)n(C)[n-]2)c1 ZINC000347452420 410557665 /nfs/dbraw/zinc/55/76/65/410557665.db2.gz ZQPOTJCOECDVPL-UHFFFAOYSA-N -1 1 304.350 1.312 20 0 DDADMM COC(=O)c1ccc(F)c([N-]S(=O)(=O)c2ccnn2C)c1 ZINC000340079371 410661597 /nfs/dbraw/zinc/66/15/97/410661597.db2.gz PLUOOQUAGDOKIH-UHFFFAOYSA-N -1 1 313.310 1.147 20 0 DDADMM CCCC[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCC1 ZINC000343820805 410724468 /nfs/dbraw/zinc/72/44/68/410724468.db2.gz RCOJEHAUYNFAIV-ZDUSSCGKSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@@H]1CC[C@@]2(CCN(C(=O)CNC(=O)c3ncccc3[O-])C2)C1 ZINC000359576578 410744163 /nfs/dbraw/zinc/74/41/63/410744163.db2.gz AMFBZMPUVVRHCC-SJKOYZFVSA-N -1 1 317.389 1.556 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)[C@@H]3CCC[C@H]3O)cnc2n1 ZINC000359596624 410760839 /nfs/dbraw/zinc/76/08/39/410760839.db2.gz MXFBAJKASZTFQU-CHWSQXEVSA-N -1 1 301.346 1.629 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)[C@@H]3CCC[C@H]3O)c[n-]c2n1 ZINC000359596624 410760847 /nfs/dbraw/zinc/76/08/47/410760847.db2.gz MXFBAJKASZTFQU-CHWSQXEVSA-N -1 1 301.346 1.629 20 0 DDADMM CC[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCCO1 ZINC000343900590 410794939 /nfs/dbraw/zinc/79/49/39/410794939.db2.gz BNJFLUJAPXXMHY-JTQLQIEISA-N -1 1 304.350 1.315 20 0 DDADMM O=C(c1cc(C2CC2)no1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000347932067 410796572 /nfs/dbraw/zinc/79/65/72/410796572.db2.gz VOLKWKDFHGLPBU-UHFFFAOYSA-N -1 1 304.306 1.660 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(C)o1)[C@H](O)C(F)(F)F ZINC000330647959 410847612 /nfs/dbraw/zinc/84/76/12/410847612.db2.gz IHXJJMDJXBNGJX-CBAPKCEASA-N -1 1 301.286 1.568 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)C[C@H]1CCOC1 ZINC000330651667 410849885 /nfs/dbraw/zinc/84/98/85/410849885.db2.gz MJUGRMTURSPKKK-SECBINFHSA-N -1 1 316.354 1.562 20 0 DDADMM O=C(CSc1ccc(F)c(F)c1)NC1(c2nn[n-]n2)CC1 ZINC000348274910 410889980 /nfs/dbraw/zinc/88/99/80/410889980.db2.gz KSOLJSZBYYMBJA-UHFFFAOYSA-N -1 1 311.317 1.376 20 0 DDADMM CCCOc1ccc(CCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348278454 410894279 /nfs/dbraw/zinc/89/42/79/410894279.db2.gz BWLNQDGUADEFTM-UHFFFAOYSA-N -1 1 315.377 1.727 20 0 DDADMM COc1ccc(S[C@@H](C)C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348297269 410906106 /nfs/dbraw/zinc/90/61/06/410906106.db2.gz KMQHRIJTNYXQEP-VIFPVBQESA-N -1 1 319.390 1.494 20 0 DDADMM CCOC(=O)CCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000353324693 410920929 /nfs/dbraw/zinc/92/09/29/410920929.db2.gz DMBBTBUPOCKMDY-UHFFFAOYSA-N -1 1 303.318 1.327 20 0 DDADMM CCOC(=O)CCNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000353324693 410920935 /nfs/dbraw/zinc/92/09/35/410920935.db2.gz DMBBTBUPOCKMDY-UHFFFAOYSA-N -1 1 303.318 1.327 20 0 DDADMM O=C(NCc1cnn2ccccc12)c1nc2ccccc2c(=O)[n-]1 ZINC000353344903 410933238 /nfs/dbraw/zinc/93/32/38/410933238.db2.gz ARZWOIBSCIXWEC-UHFFFAOYSA-N -1 1 319.324 1.501 20 0 DDADMM COC[C@@](C)([N-]S(=O)(=O)CC1(C)CCCCC1)C(=O)OC ZINC000356495742 411058259 /nfs/dbraw/zinc/05/82/59/411058259.db2.gz FTCZMHDJSRZWGD-CQSZACIVSA-N -1 1 321.439 1.454 20 0 DDADMM CN(C[C@@H]1CCS(=O)(=O)C1)C(=O)c1cc(Cl)ccc1[O-] ZINC000331245313 411039250 /nfs/dbraw/zinc/03/92/50/411039250.db2.gz UBKCNRGOHVFOOG-VIFPVBQESA-N -1 1 317.794 1.552 20 0 DDADMM CCC[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCCC1 ZINC000353692294 411111008 /nfs/dbraw/zinc/11/10/08/411111008.db2.gz RLWVAXNUSSMPEX-LBPRGKRZSA-N -1 1 317.393 1.896 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCCOCC2CC2)c1 ZINC000631677721 422875906 /nfs/dbraw/zinc/87/59/06/422875906.db2.gz GSTIBKROPHTOOD-UHFFFAOYSA-N -1 1 315.391 1.496 20 0 DDADMM O=C(Nc1ccc2c(c1)CCCC2)c1cc(=O)n2[n-]cnc2n1 ZINC000580278855 422910860 /nfs/dbraw/zinc/91/08/60/422910860.db2.gz BMDAEGZWEOMFLG-UHFFFAOYSA-N -1 1 309.329 1.549 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCCO[C@H]1CCOC1 ZINC000631752556 422906334 /nfs/dbraw/zinc/90/63/34/422906334.db2.gz NLZXIVXZTFIVEO-LBPRGKRZSA-N -1 1 313.781 1.900 20 0 DDADMM C[C@@H]1CCn2ncc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)c2C1 ZINC000580448837 422934226 /nfs/dbraw/zinc/93/42/26/422934226.db2.gz WTBCAZJLZXQVBR-ZCFIWIBFSA-N -1 1 314.271 1.855 20 0 DDADMM CCN(C)C(=O)CCN(C)Cc1nc(=O)c2sccc2[n-]1 ZINC000131525533 196176780 /nfs/dbraw/zinc/17/67/80/196176780.db2.gz NSLZMSQBXGLEET-UHFFFAOYSA-N -1 1 308.407 1.285 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC[C@H]1CCCO1 ZINC000131732557 196194085 /nfs/dbraw/zinc/19/40/85/196194085.db2.gz DZWGYUDEMQLYMB-GFCCVEGCSA-N -1 1 318.377 1.280 20 0 DDADMM COC(=O)[C@@H]1CCCCC[C@@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000131861000 196204323 /nfs/dbraw/zinc/20/43/23/196204323.db2.gz BLFJYJLGSXBGJA-NEPJUHHUSA-N -1 1 316.361 1.810 20 0 DDADMM C[C@@H]1CN(Cc2cn(-c3ccccc3)nn2)CC[C@H]1C(=O)[O-] ZINC000652496868 423043528 /nfs/dbraw/zinc/04/35/28/423043528.db2.gz FUJGDNBYUXLOFV-IUODEOHRSA-N -1 1 300.362 1.810 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H](C)C1CCCCC1 ZINC000416246493 224850532 /nfs/dbraw/zinc/85/05/32/224850532.db2.gz VCXXLQABMHBYCS-SECBINFHSA-N -1 1 309.366 1.937 20 0 DDADMM Cc1nn(C)cc1CN[C@]1(C(=O)[O-])CCOc2ccccc21 ZINC000417573588 225368131 /nfs/dbraw/zinc/36/81/31/225368131.db2.gz XDXNLVHAXGODMA-MRXNPFEDSA-N -1 1 301.346 1.581 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2CCc3cccnc32)cc(=O)[n-]1 ZINC000640655692 423115812 /nfs/dbraw/zinc/11/58/12/423115812.db2.gz QJAWHDVFRXIWBN-LLVKDONJSA-N -1 1 316.386 1.645 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)Cc1nc(=O)c2c(C)c(C)sc2[nH]1 ZINC000044621637 418429537 /nfs/dbraw/zinc/42/95/37/418429537.db2.gz SMYZJYDUIIRPFM-SECBINFHSA-N -1 1 309.391 1.896 20 0 DDADMM CN(C)c1noc([C@@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC000373576215 418442340 /nfs/dbraw/zinc/44/23/40/418442340.db2.gz XMYRLVUNGZIIRL-VIFPVBQESA-N -1 1 303.322 1.214 20 0 DDADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])[C@@H]2CCCC[C@@H]21 ZINC000360544134 418460630 /nfs/dbraw/zinc/46/06/30/418460630.db2.gz DYUUTELPVKYPKY-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@H](n2cncn2)C1 ZINC000366706014 418506479 /nfs/dbraw/zinc/50/64/79/418506479.db2.gz CZOIREXGOYSTJG-VIFPVBQESA-N -1 1 308.288 1.739 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(F)(F)[C@H](C)C2)co1 ZINC000290960856 222032061 /nfs/dbraw/zinc/03/20/61/222032061.db2.gz PYZOJEGDKQYVGL-MRVPVSSYSA-N -1 1 322.333 1.305 20 0 DDADMM COc1ccc2c(c1)OCCN(C(=O)c1ncccc1[O-])C2 ZINC000374701834 418556783 /nfs/dbraw/zinc/55/67/83/418556783.db2.gz MLPMEUQKGIHSGT-UHFFFAOYSA-N -1 1 300.314 1.831 20 0 DDADMM C[C@H]1CN(C(=O)CN2CCC(CCC(=O)[O-])CC2)C[C@H](C)O1 ZINC000315740861 418597466 /nfs/dbraw/zinc/59/74/66/418597466.db2.gz GXDHXTXHIWVKOS-STQMWFEESA-N -1 1 312.410 1.199 20 0 DDADMM CCN(C[C@H](C)OC)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000291314529 222306427 /nfs/dbraw/zinc/30/64/27/222306427.db2.gz RWLCBXQFASOGTD-JTQLQIEISA-N -1 1 320.393 1.065 20 0 DDADMM Cc1n[n-]c(=NC(=O)N2CCC(c3cn[nH]c3C)CC2)s1 ZINC000375082170 418601124 /nfs/dbraw/zinc/60/11/24/418601124.db2.gz REXKBUVOTANWBD-UHFFFAOYSA-N -1 1 306.395 1.711 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H](c2ccccc2)C1 ZINC000367722931 418627427 /nfs/dbraw/zinc/62/74/27/418627427.db2.gz BYXAHMGJCHUQPD-LBPRGKRZSA-N -1 1 307.375 1.574 20 0 DDADMM CC(C)O[C@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000650360718 423141123 /nfs/dbraw/zinc/14/11/23/423141123.db2.gz NSPFQQFMVXBWEE-LBPRGKRZSA-N -1 1 315.373 1.953 20 0 DDADMM CC(C)(C)c1ncc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)s1 ZINC000375795494 418686418 /nfs/dbraw/zinc/68/64/18/418686418.db2.gz MMGUCVGDFBEJDJ-QMMMGPOBSA-N -1 1 322.394 1.167 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]C(C)(C)C(F)F)C1CCOCC1 ZINC000645688730 423143207 /nfs/dbraw/zinc/14/32/07/423143207.db2.gz UDBKEQSNBCWCQG-SNVBAGLBSA-N -1 1 315.382 1.391 20 0 DDADMM O=C(CSc1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000372155398 418840322 /nfs/dbraw/zinc/84/03/22/418840322.db2.gz FOGWYOKXIFBMNT-LLVKDONJSA-N -1 1 303.391 1.698 20 0 DDADMM Cc1nc(CNCc2ccc(-n3cncn3)cc2)ccc1C(=O)[O-] ZINC000426660107 419525745 /nfs/dbraw/zinc/52/57/45/419525745.db2.gz LRDGJTGJGCVXDF-UHFFFAOYSA-N -1 1 323.356 1.959 20 0 DDADMM COCCNC(=O)[C@H](CC(C)C)NC(=O)c1ncc(C)cc1[O-] ZINC000427625145 419700508 /nfs/dbraw/zinc/70/05/08/419700508.db2.gz CPJIWCVNCGWZQV-LBPRGKRZSA-N -1 1 323.393 1.003 20 0 DDADMM CC(=O)N[C@@H]1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000421947003 419783886 /nfs/dbraw/zinc/78/38/86/419783886.db2.gz JAYYGEFYFYJPIA-SNVBAGLBSA-N -1 1 316.279 1.762 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)F)c1cccc(Cl)c1F ZINC000428370923 419858212 /nfs/dbraw/zinc/85/82/12/419858212.db2.gz SOMLANXOHFONDT-ZCFIWIBFSA-N -1 1 317.716 1.774 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H](O)CC[C@H]3C)cnc2n1 ZINC000422126685 419849571 /nfs/dbraw/zinc/84/95/71/419849571.db2.gz QRBQWDZEBHFDAO-GHMZBOCLSA-N -1 1 301.346 1.629 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](O)CC[C@H]3C)c[n-]c2n1 ZINC000422126685 419849574 /nfs/dbraw/zinc/84/95/74/419849574.db2.gz QRBQWDZEBHFDAO-GHMZBOCLSA-N -1 1 301.346 1.629 20 0 DDADMM COC(=O)[C@@](C)(NC(=O)c1cncc([O-])c1)c1ccc(F)cc1 ZINC000428567861 419894590 /nfs/dbraw/zinc/89/45/90/419894590.db2.gz BXDNRSOZXIUYEB-INIZCTEOSA-N -1 1 318.304 1.745 20 0 DDADMM COc1cc(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)nn1C ZINC000361352296 299850094 /nfs/dbraw/zinc/85/00/94/299850094.db2.gz PEISCAMWOGCGHP-UHFFFAOYSA-N -1 1 303.347 1.555 20 0 DDADMM COc1cc(OC)c(OC)cc1CNC(=O)c1ncccc1[O-] ZINC000299700622 229343638 /nfs/dbraw/zinc/34/36/38/229343638.db2.gz XOOPXZVOOPCQAM-UHFFFAOYSA-N -1 1 318.329 1.743 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCC(=O)c3cccn32)n1 ZINC000415646080 420134037 /nfs/dbraw/zinc/13/40/37/420134037.db2.gz JVEIECMATGNDKP-LLVKDONJSA-N -1 1 316.317 1.544 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC=C(C(C)(C)C)CC1 ZINC000416177780 420269838 /nfs/dbraw/zinc/26/98/38/420269838.db2.gz GIEHILGUIRTGBV-UHFFFAOYSA-N -1 1 307.350 1.715 20 0 DDADMM COc1cc(C(=O)NC2(c3nc[nH]n3)CCC2)cc(Cl)c1[O-] ZINC000435839558 420288416 /nfs/dbraw/zinc/28/84/16/420288416.db2.gz GHIKQGXVTNEVLY-UHFFFAOYSA-N -1 1 322.752 1.982 20 0 DDADMM O=C(NC[C@@H](CO)c1cccnc1)c1ccc(Cl)cc1[O-] ZINC000436742009 420362808 /nfs/dbraw/zinc/36/28/08/420362808.db2.gz VEEGFJHKEQETJB-NSHDSACASA-N -1 1 306.749 1.947 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2coc(C(N)=O)c2)c1 ZINC000436511998 420334203 /nfs/dbraw/zinc/33/42/03/420334203.db2.gz WUKNCQFCOCVAFP-UHFFFAOYSA-N -1 1 304.258 1.123 20 0 DDADMM CCc1nnsc1C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000436502677 420334280 /nfs/dbraw/zinc/33/42/80/420334280.db2.gz FEEYHLVBIFJZBA-UHFFFAOYSA-N -1 1 307.331 1.845 20 0 DDADMM O=C(Nc1ccc(OC2COC2)cc1)C(=O)c1ccc([O-])cc1 ZINC000436520318 420337049 /nfs/dbraw/zinc/33/70/49/420337049.db2.gz FMNYZKLQQNBLAG-UHFFFAOYSA-N -1 1 313.309 1.991 20 0 DDADMM Cc1oc(C2CC2)nc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425297137 420344242 /nfs/dbraw/zinc/34/42/42/420344242.db2.gz HSQPBRPRHMYAJK-JTQLQIEISA-N -1 1 318.333 1.969 20 0 DDADMM C[C@@H](CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1cnn(C)c1 ZINC000425318464 420347273 /nfs/dbraw/zinc/34/72/73/420347273.db2.gz ICQAXWKXFKBGFY-JTQLQIEISA-N -1 1 319.365 1.409 20 0 DDADMM O=C(Nc1ccc(N2CCCC2=O)cn1)c1ccc(O)cc1[O-] ZINC000436635923 420348652 /nfs/dbraw/zinc/34/86/52/420348652.db2.gz PLANVWUGRWVTSS-UHFFFAOYSA-N -1 1 313.313 1.872 20 0 DDADMM O=C(Nc1ccnn1C[C@@H]1CCOC1)C(=O)c1ccc([O-])cc1 ZINC000436667395 420353953 /nfs/dbraw/zinc/35/39/53/420353953.db2.gz UFHKADDECDRUAA-NSHDSACASA-N -1 1 315.329 1.447 20 0 DDADMM O=S(=O)([N-]c1cc(-c2ccncc2)n[nH]1)c1cncc(F)c1 ZINC000435932437 420296600 /nfs/dbraw/zinc/29/66/00/420296600.db2.gz BRYFUIMUTYPWNH-UHFFFAOYSA-N -1 1 319.321 1.807 20 0 DDADMM O=C(NCc1ccc(OCCO)cc1)c1c([O-])cccc1F ZINC000436887029 420378736 /nfs/dbraw/zinc/37/87/36/420378736.db2.gz INOMUTCXIRRNMD-UHFFFAOYSA-N -1 1 305.305 1.832 20 0 DDADMM O=C(NCc1cn2ccsc2n1)C(=O)c1ccc([O-])cc1 ZINC000436883902 420380221 /nfs/dbraw/zinc/38/02/21/420380221.db2.gz CWLSHQIKEHNVOM-UHFFFAOYSA-N -1 1 301.327 1.601 20 0 DDADMM Cc1cn2c(n1)[C@@H](CNC(=O)C(=O)c1ccc([O-])cc1)CCC2 ZINC000436966676 420387252 /nfs/dbraw/zinc/38/72/52/420387252.db2.gz OOBLIFDDIVJZOR-CYBMUJFWSA-N -1 1 313.357 1.774 20 0 DDADMM O=C(Nc1cccc([C@@H]2CNC(=O)C2)c1)C(=O)c1ccc([O-])cc1 ZINC000436963454 420387457 /nfs/dbraw/zinc/38/74/57/420387457.db2.gz NXLLQXYRQFLQKZ-ZDUSSCGKSA-N -1 1 324.336 1.817 20 0 DDADMM Cc1ccc(F)c(CN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000444448142 230098946 /nfs/dbraw/zinc/09/89/46/230098946.db2.gz WMQNTEOTGMOPPZ-UHFFFAOYSA-N -1 1 315.308 1.137 20 0 DDADMM C[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@H](C)[C@@H](C)O1 ZINC000437917786 420430498 /nfs/dbraw/zinc/43/04/98/420430498.db2.gz PKJOXVCWTCLJHX-GMTAPVOTSA-N -1 1 301.346 1.561 20 0 DDADMM Cc1ncsc1CCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000452704086 420646953 /nfs/dbraw/zinc/64/69/53/420646953.db2.gz ZDUXFEZQZVHFEM-UHFFFAOYSA-N -1 1 317.374 1.411 20 0 DDADMM CC[C@H](CN=c1ccc(C(N)=O)n[n-]1)Oc1cccc(Cl)c1 ZINC000441812457 420662559 /nfs/dbraw/zinc/66/25/59/420662559.db2.gz JDJXOVPDXUTPDV-LLVKDONJSA-N -1 1 320.780 1.920 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CCO)C(C)C)o1 ZINC000442838374 420722309 /nfs/dbraw/zinc/72/23/09/420722309.db2.gz PYXGVEPVUUHYBE-JTQLQIEISA-N -1 1 319.379 1.142 20 0 DDADMM CCSCc1ccccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000447583837 420783982 /nfs/dbraw/zinc/78/39/82/420783982.db2.gz CSJXIKJPBYXWAP-UHFFFAOYSA-N -1 1 303.391 1.872 20 0 DDADMM CCO/C=C/C(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000493856528 420958483 /nfs/dbraw/zinc/95/84/83/420958483.db2.gz ZWTNXQAAWXWSMC-STUBTGCMSA-N -1 1 308.300 1.454 20 0 DDADMM COCc1nc(=N[C@H](C)[C@@H]2CCCN(C(=O)OC)C2)s[n-]1 ZINC000495534398 421017417 /nfs/dbraw/zinc/01/74/17/421017417.db2.gz RWNMJFBEUSSHGM-NXEZZACHSA-N -1 1 314.411 1.385 20 0 DDADMM CN1C[C@H](CSc2nc(C(F)(F)F)cc(=O)[n-]2)OC1=O ZINC000495586757 421029112 /nfs/dbraw/zinc/02/91/12/421029112.db2.gz DAJPRZOXMLCFOS-RXMQYKEDSA-N -1 1 309.269 1.744 20 0 DDADMM COC(=O)N1CCC(N=c2nc([C@@H](C)OC)[n-]s2)CC1 ZINC000495657607 421042130 /nfs/dbraw/zinc/04/21/30/421042130.db2.gz ZHWGVENBHYZTAL-MRVPVSSYSA-N -1 1 300.384 1.310 20 0 DDADMM CO[C@@H](Cc1ccccc1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000449929491 421113832 /nfs/dbraw/zinc/11/38/32/421113832.db2.gz RZRSYASMSNBLES-ZDUSSCGKSA-N -1 1 315.377 1.343 20 0 DDADMM C[C@@H](CN(C)C(=O)CC1CC(OC(C)(C)C)C1)c1nn[n-]n1 ZINC000489965919 421182125 /nfs/dbraw/zinc/18/21/25/421182125.db2.gz VOZZMLYIUAAWAG-UNXYVOJBSA-N -1 1 309.414 1.745 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1(C)C ZINC000496362135 421241465 /nfs/dbraw/zinc/24/14/65/421241465.db2.gz MNKXFBYDBJBXEU-SECBINFHSA-N -1 1 311.386 1.388 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000560347563 421250918 /nfs/dbraw/zinc/25/09/18/421250918.db2.gz TYBBBOOBLBSFQL-SKDRFNHKSA-N -1 1 317.397 1.439 20 0 DDADMM Cc1cc(C(=O)N(C)c2nccs2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000524816661 421274111 /nfs/dbraw/zinc/27/41/11/421274111.db2.gz RAJWJEOLTXYIHN-UHFFFAOYSA-N -1 1 317.330 1.478 20 0 DDADMM Cc1cc(C(=O)N(C)c2nccs2)[n-]c2nc(=O)[nH]c(=O)c1-2 ZINC000524816661 421274114 /nfs/dbraw/zinc/27/41/14/421274114.db2.gz RAJWJEOLTXYIHN-UHFFFAOYSA-N -1 1 317.330 1.478 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)C(C)C ZINC000525189055 421281303 /nfs/dbraw/zinc/28/13/03/421281303.db2.gz YHIRRBKIFCECKE-VIFPVBQESA-N -1 1 305.352 1.016 20 0 DDADMM CC(C)c1ccccc1OCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000547811618 421370848 /nfs/dbraw/zinc/37/08/48/421370848.db2.gz XFVMGIRIBDEOPK-LBPRGKRZSA-N -1 1 317.393 1.964 20 0 DDADMM CC(C)(C)N1CC[C@@H](NC(=O)c2csc(=NC3CC3)[n-]2)C1=O ZINC000514606654 421438116 /nfs/dbraw/zinc/43/81/16/421438116.db2.gz VUYAZUKVCRLQTH-SNVBAGLBSA-N -1 1 322.434 1.269 20 0 DDADMM Cc1c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cnn1CC(C)C ZINC000548466200 421442590 /nfs/dbraw/zinc/44/25/90/421442590.db2.gz JAZDNDOSABYMPB-JTQLQIEISA-N -1 1 305.386 1.236 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccnc1-n1cccn1)C1CC1 ZINC000563134496 421461246 /nfs/dbraw/zinc/46/12/46/421461246.db2.gz DSAOJRUYRYJYON-CYBMUJFWSA-N -1 1 322.390 1.434 20 0 DDADMM CC(=O)C[C@H](C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000551970714 421561382 /nfs/dbraw/zinc/56/13/82/421561382.db2.gz QCOKESILNZDACK-QMMMGPOBSA-N -1 1 304.350 1.078 20 0 DDADMM COc1ccc(CCNC(=O)c2csc(=NC3CC3)[n-]2)cn1 ZINC000529885230 421564850 /nfs/dbraw/zinc/56/48/50/421564850.db2.gz AYYSXAPGHWJLBC-UHFFFAOYSA-N -1 1 318.402 1.515 20 0 DDADMM O=C([O-])c1cccc(C(=O)NCCCCN2CCOCC2)c1 ZINC000516288436 421538951 /nfs/dbraw/zinc/53/89/51/421538951.db2.gz DCEWOMZWIVMPQE-UHFFFAOYSA-N -1 1 306.362 1.227 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)CCn1cccn1 ZINC000531534359 421636138 /nfs/dbraw/zinc/63/61/38/421636138.db2.gz ZJJXHGVMMHWLCF-UHFFFAOYSA-N -1 1 307.379 1.181 20 0 DDADMM Cc1nc(CC(C)C)oc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000530453997 421601799 /nfs/dbraw/zinc/60/17/99/421601799.db2.gz PVCAGNUHKNGBCM-LLVKDONJSA-N -1 1 318.381 1.714 20 0 DDADMM CCO[C@@H](CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)C1=CCCC1 ZINC000537123872 421728316 /nfs/dbraw/zinc/72/83/16/421728316.db2.gz MIUKXGVYQRARAX-KGLIPLIRSA-N -1 1 319.409 1.811 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC(N2CN=NC2=O)CC1 ZINC000570861562 421673298 /nfs/dbraw/zinc/67/32/98/421673298.db2.gz NOVKTNWTFGKFAJ-UHFFFAOYSA-N -1 1 322.752 1.820 20 0 DDADMM COc1cccc(F)c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538223360 421737894 /nfs/dbraw/zinc/73/78/94/421737894.db2.gz MEJZKZXMRJSLKW-SECBINFHSA-N -1 1 305.313 1.367 20 0 DDADMM COC(=O)[C@H](NCc1ncccc1[O-])[C@@H]1CCC[C@H](OC)C1 ZINC000571810674 421745485 /nfs/dbraw/zinc/74/54/85/421745485.db2.gz GAWFHMAZNMDQHO-TYNCELHUSA-N -1 1 308.378 1.624 20 0 DDADMM CO[C@@H](C)c1nc(C)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)s1 ZINC000542772292 421828571 /nfs/dbraw/zinc/82/85/71/421828571.db2.gz DQMINROMOHZEQO-APPZFPTMSA-N -1 1 324.410 1.548 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC(C)(C)C1=CCCC1 ZINC000572259844 421773759 /nfs/dbraw/zinc/77/37/59/421773759.db2.gz WVBWRXGIIJUFCY-UHFFFAOYSA-N -1 1 307.350 1.763 20 0 DDADMM Cn1nccc1[C@@H](CO)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633400620 421883531 /nfs/dbraw/zinc/88/35/31/421883531.db2.gz OQBUJQVSCAVFSM-LLVKDONJSA-N -1 1 309.753 1.171 20 0 DDADMM COc1ccc(CNC(=O)[C@@H](C)Cc2cnc[nH]2)cc1C(=O)[O-] ZINC000630097442 421886059 /nfs/dbraw/zinc/88/60/59/421886059.db2.gz IIXBOWQTSNTYGV-JTQLQIEISA-N -1 1 317.345 1.612 20 0 DDADMM C[C@@H]([C@H]1Cc2ccccc2O1)N(C)Cc1cc(C(=O)[O-])nn1C ZINC000635303771 421892357 /nfs/dbraw/zinc/89/23/57/421892357.db2.gz NOJKBWXZQJCRDW-MEDUHNTESA-N -1 1 315.373 1.942 20 0 DDADMM COCCN(CCc1ccccc1)C(=O)CCCc1nn[n-]n1 ZINC000635240302 421848638 /nfs/dbraw/zinc/84/86/38/421848638.db2.gz QRXHMMQOEVNAKT-UHFFFAOYSA-N -1 1 317.393 1.240 20 0 DDADMM C[C@@H](C(=O)NC1(c2nn[n-]n2)CC1)[C@H]1OCCc2sccc21 ZINC000573228403 421936616 /nfs/dbraw/zinc/93/66/16/421936616.db2.gz QDYCGRPBAFOLAE-LDYMZIIASA-N -1 1 319.390 1.317 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@H]1CCCN1C1CC1)c1ccc(F)cc1 ZINC000630176328 421938111 /nfs/dbraw/zinc/93/81/11/421938111.db2.gz AJHVRZBDLZFXEO-HUUCEWRRSA-N -1 1 320.364 1.737 20 0 DDADMM C[C@@H](CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)n1cccc1 ZINC000630222295 421973826 /nfs/dbraw/zinc/97/38/26/421973826.db2.gz GHJFUIQZCJTDMF-KBPBESRZSA-N -1 1 307.394 1.447 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)Cc2ccccc2Cl)CC1 ZINC000630223474 421973938 /nfs/dbraw/zinc/97/39/38/421973938.db2.gz JHHQJGUIRQHFLJ-GFCCVEGCSA-N -1 1 324.808 1.890 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N(CC(=O)[O-])Cc1ccc(F)cc1 ZINC000630184285 421943946 /nfs/dbraw/zinc/94/39/46/421943946.db2.gz JNIANDKBKWFOGJ-LLVKDONJSA-N -1 1 319.336 1.841 20 0 DDADMM CO[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)C[C@@H]1OC ZINC000630351753 422039900 /nfs/dbraw/zinc/03/99/00/422039900.db2.gz RBRDCIKBAHYDHC-PHIMTYICSA-N -1 1 307.375 1.596 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCc2cccs2)CC1 ZINC000630225424 421975524 /nfs/dbraw/zinc/97/55/24/421975524.db2.gz SZZMEOOUNKRQKK-LBPRGKRZSA-N -1 1 310.419 1.688 20 0 DDADMM CCC[C@H](OCC)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630226654 421975815 /nfs/dbraw/zinc/97/58/15/421975815.db2.gz DMLCLZJBXAKCBT-LBPRGKRZSA-N -1 1 300.399 1.199 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)[C@H]2CCC[C@@H]21 ZINC000630271168 421999181 /nfs/dbraw/zinc/99/91/81/421999181.db2.gz FDVZTQYBCNHWMX-GYSYKLTISA-N -1 1 314.345 1.673 20 0 DDADMM O[C@H](CN=c1nc(C(F)(F)F)[n-]s1)COc1ccccc1 ZINC000581624642 422017834 /nfs/dbraw/zinc/01/78/34/422017834.db2.gz SEQDUIDFEQNLJM-MRVPVSSYSA-N -1 1 319.308 1.831 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@H](CCO)C2)c1 ZINC000632008456 422017803 /nfs/dbraw/zinc/01/78/03/422017803.db2.gz SALSECBROBGTOT-LLVKDONJSA-N -1 1 315.391 1.184 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2csc(N(C)C)n2)sn1 ZINC000632061286 422060753 /nfs/dbraw/zinc/06/07/53/422060753.db2.gz MFNUTSVDCMRSNR-UHFFFAOYSA-N -1 1 318.449 1.453 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCCSC2)c1 ZINC000632069151 422065848 /nfs/dbraw/zinc/06/58/48/422065848.db2.gz OFJSMSHTJMJQCB-SECBINFHSA-N -1 1 303.405 1.575 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(c3ccccc3)C2)co1 ZINC000633809423 422092217 /nfs/dbraw/zinc/09/22/17/422092217.db2.gz MADCIPFAXIZEHO-UHFFFAOYSA-N -1 1 320.370 1.427 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2cncc(C(=O)OC)c2)n[nH]1 ZINC000574353236 422094672 /nfs/dbraw/zinc/09/46/72/422094672.db2.gz BADAFXPNWKBKCX-UHFFFAOYSA-N -1 1 324.362 1.345 20 0 DDADMM C[C@H]1CCCN(S(=O)(=O)c2cc(O)cc(F)c2)[C@@H]1C(=O)[O-] ZINC000630445680 422109566 /nfs/dbraw/zinc/10/95/66/422109566.db2.gz ZKACIKJXBFBMGN-UFBFGSQYSA-N -1 1 317.338 1.405 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C(C1CCC1)C1CCC1 ZINC000633690415 422053239 /nfs/dbraw/zinc/05/32/39/422053239.db2.gz CZFZPJMJGKHRHR-UHFFFAOYSA-N -1 1 304.394 1.655 20 0 DDADMM COc1cccc(F)c1[C@@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635612895 422166818 /nfs/dbraw/zinc/16/68/18/422166818.db2.gz WIKHGWNBSLWASK-SECBINFHSA-N -1 1 307.329 1.548 20 0 DDADMM C[C@@H](CNC(=O)CCCc1nn[n-]n1)c1ccc(F)cc1F ZINC000635627259 422181102 /nfs/dbraw/zinc/18/11/02/422181102.db2.gz PNONVBBPBYVDTG-VIFPVBQESA-N -1 1 309.320 1.721 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCCC[C@@H]2[C@@H](C)O)c1 ZINC000632147745 422119840 /nfs/dbraw/zinc/11/98/40/422119840.db2.gz ACKGHSZDWWKMHL-ZYHUDNBSSA-N -1 1 315.391 1.325 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H](C1CCCC1)C(F)(F)F ZINC000635670761 422226834 /nfs/dbraw/zinc/22/68/34/422226834.db2.gz DRDPLOPKGMEDEU-NSHDSACASA-N -1 1 305.304 1.760 20 0 DDADMM C[C@]1(NC(=O)Cc2ccc([O-])c(Cl)c2)CCS(=O)(=O)C1 ZINC000630637692 422235424 /nfs/dbraw/zinc/23/54/24/422235424.db2.gz QFYMNIUDUMUJJH-ZDUSSCGKSA-N -1 1 317.794 1.282 20 0 DDADMM O=C(c1cccc2[nH]cnc21)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000583996576 422237639 /nfs/dbraw/zinc/23/76/39/422237639.db2.gz VHJQINLBYCWBHV-UHFFFAOYSA-N -1 1 312.333 1.406 20 0 DDADMM CO[C@@H](c1ccccc1F)[C@H](C)NC(=O)CCc1nn[n-]n1 ZINC000632308007 422240581 /nfs/dbraw/zinc/24/05/81/422240581.db2.gz OQJFRGZAQGESOE-LKFCYVNXSA-N -1 1 307.329 1.164 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(CCF)CC2)co1 ZINC000633960070 422189459 /nfs/dbraw/zinc/18/94/59/422189459.db2.gz PJINCUHDWBWKHF-UHFFFAOYSA-N -1 1 318.370 1.400 20 0 DDADMM COc1ccc2c(c1)OC(C)(C)CN(CCOCC(=O)[O-])C2 ZINC000574823405 422190201 /nfs/dbraw/zinc/19/02/01/422190201.db2.gz KQBFHCQGNDXCKY-UHFFFAOYSA-N -1 1 309.362 1.769 20 0 DDADMM O=C(NC[C@H]1CCCN1CC(F)F)c1cc(F)ccc1[O-] ZINC000628618827 422261866 /nfs/dbraw/zinc/26/18/66/422261866.db2.gz XDOTXWPSANPKQM-SNVBAGLBSA-N -1 1 302.296 1.991 20 0 DDADMM COC[C@@]1(C)CCN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000632389523 422298322 /nfs/dbraw/zinc/29/83/22/422298322.db2.gz XZMHKVCDFQLJBR-AWEZNQCLSA-N -1 1 315.391 1.448 20 0 DDADMM O=C(N[C@@H]1CC(=O)N2CCCC[C@@H]12)c1csc(=NC2CC2)[n-]1 ZINC000575432510 422298448 /nfs/dbraw/zinc/29/84/48/422298448.db2.gz FRPFDIRZHWGWDW-PWSUYJOCSA-N -1 1 320.418 1.023 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(CCCn2ccnc2)CC1 ZINC000632399090 422309211 /nfs/dbraw/zinc/30/92/11/422309211.db2.gz CWCKKMVLMQFDOY-UHFFFAOYSA-N -1 1 317.397 1.048 20 0 DDADMM CN(CCCOc1cccc(Cl)c1)C(=O)CCc1nn[n-]n1 ZINC000630788288 422336065 /nfs/dbraw/zinc/33/60/65/422336065.db2.gz HTXLLEVLQNDZTM-UHFFFAOYSA-N -1 1 323.784 1.713 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2C[C@@H](OC(C)C)C2)c1 ZINC000632438644 422344220 /nfs/dbraw/zinc/34/42/20/422344220.db2.gz PQFXRLMEQWVYNH-KLPPZKSPSA-N -1 1 315.391 1.635 20 0 DDADMM C[C@H](CNC(=O)CCc1nn[n-]n1)COCc1ccccc1 ZINC000630810375 422350826 /nfs/dbraw/zinc/35/08/26/422350826.db2.gz MQNUHDLGEVAIHG-GFCCVEGCSA-N -1 1 303.366 1.101 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](C)C[C@@H](CO)C2)c1 ZINC000632473919 422371896 /nfs/dbraw/zinc/37/18/96/422371896.db2.gz PQVKXSCHTDBAKX-GHMZBOCLSA-N -1 1 315.391 1.040 20 0 DDADMM CC[C@H]1CN(c2ccccc2)CCN1C(=O)CCc1nn[n-]n1 ZINC000632476987 422376271 /nfs/dbraw/zinc/37/62/71/422376271.db2.gz RDHQXCBFJCCGAD-ZDUSSCGKSA-N -1 1 314.393 1.260 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](OC)[C@H](C)C2)c1 ZINC000632411313 422320301 /nfs/dbraw/zinc/32/03/01/422320301.db2.gz YLCMIZSGNWFMNP-MFKMUULPSA-N -1 1 315.391 1.446 20 0 DDADMM CN(C)C1(C[N-]S(=O)(=O)c2onc(C3CC3)c2Cl)CC1 ZINC000632488735 422384815 /nfs/dbraw/zinc/38/48/15/422384815.db2.gz KMFGJTSSVFBNMU-UHFFFAOYSA-N -1 1 319.814 1.578 20 0 DDADMM O=S(=O)([N-][C@@H]1CC[C@@H]2C[C@@H]2C1)c1c[nH]nc1C(F)(F)F ZINC000632504231 422397466 /nfs/dbraw/zinc/39/74/66/422397466.db2.gz CXLUBYBIAVWDBX-BWZBUEFSSA-N -1 1 309.313 1.895 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)C1CCOCC1 ZINC000632546866 422427166 /nfs/dbraw/zinc/42/71/66/422427166.db2.gz WJNNKAFIRDRIEL-LLVKDONJSA-N -1 1 320.436 1.171 20 0 DDADMM CC(C)[C@H]1CCc2[n-]n(C3CCS(=O)(=O)CC3)c(=O)c2C1 ZINC000634620057 422510251 /nfs/dbraw/zinc/51/02/51/422510251.db2.gz RHKWLYNTOHNFHJ-WCQYABFASA-N -1 1 312.435 1.834 20 0 DDADMM CCC[C@H](NC(=O)c1cnn2c1C[C@@H](C)CC2)c1nn[n-]n1 ZINC000577954484 422463630 /nfs/dbraw/zinc/46/36/30/422463630.db2.gz HTIHRDFLLQOPFG-ONGXEEELSA-N -1 1 303.370 1.250 20 0 DDADMM COc1ccc(CN2CCC(n3cc(C(=O)[O-])cn3)CC2)cn1 ZINC000578020171 422480137 /nfs/dbraw/zinc/48/01/37/422480137.db2.gz XDILDZUJUFYSCV-UHFFFAOYSA-N -1 1 316.361 1.822 20 0 DDADMM NC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632722389 422541815 /nfs/dbraw/zinc/54/18/15/422541815.db2.gz KKZBECPNZBMODK-RWSFTLGLSA-N -1 1 322.792 1.701 20 0 DDADMM CC(C)[C@H]1N(C(=O)CCCc2nn[n-]n2)CC12CCOCC2 ZINC000636025522 422575723 /nfs/dbraw/zinc/57/57/23/422575723.db2.gz KTXXWONTCLQHRM-CQSZACIVSA-N -1 1 307.398 1.186 20 0 DDADMM Cc1ccnc([C@H](C)NC(=O)c2csc(=NC3CC3)[n-]2)n1 ZINC000636045268 422594582 /nfs/dbraw/zinc/59/45/82/422594582.db2.gz QBFKUGKOHKFMTQ-VIFPVBQESA-N -1 1 303.391 1.729 20 0 DDADMM COC1(OC)CCN(C(=O)c2ccc(Cl)cc2[O-])C[C@@H]1O ZINC000636080881 422624749 /nfs/dbraw/zinc/62/47/49/422624749.db2.gz GPRBVYGHKWFXGW-LBPRGKRZSA-N -1 1 315.753 1.242 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2ccc3c(c2)nnn3C)CCC1 ZINC000634793317 422648761 /nfs/dbraw/zinc/64/87/61/422648761.db2.gz FNKMZYLHFCJHMJ-UHFFFAOYSA-N -1 1 310.379 1.279 20 0 DDADMM CC(C)(C)O[C@H]1C[C@@H](NC(=O)CCCc2nn[n-]n2)C12CCC2 ZINC000636204588 422712443 /nfs/dbraw/zinc/71/24/43/422712443.db2.gz KYNYDIAYTBVRIO-NEPJUHHUSA-N -1 1 321.425 1.765 20 0 DDADMM CCc1cccc(CNC(=O)c2ccc(S(=O)(=O)[N-]C)o2)c1 ZINC000652924589 423218078 /nfs/dbraw/zinc/21/80/78/423218078.db2.gz NSBQPPMCFPMPLI-UHFFFAOYSA-N -1 1 322.386 1.680 20 0 DDADMM Cn1nccc1[C@@H]1COCCN1C(=O)c1cc(F)ccc1[O-] ZINC000652985035 423246989 /nfs/dbraw/zinc/24/69/89/423246989.db2.gz CYCWLMQMJKKPAW-ZDUSSCGKSA-N -1 1 305.309 1.479 20 0 DDADMM CC1(O)CC(C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)C1 ZINC000648490480 423373091 /nfs/dbraw/zinc/37/30/91/423373091.db2.gz GFTGGUKDYPLBGA-UHFFFAOYSA-N -1 1 317.385 1.975 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cccc(N2CCCC2=O)c1 ZINC000646412814 423481270 /nfs/dbraw/zinc/48/12/70/423481270.db2.gz BKSSACJDJJZECN-UHFFFAOYSA-N -1 1 300.318 1.803 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1N ZINC000646520405 423521707 /nfs/dbraw/zinc/52/17/07/423521707.db2.gz RRKAAWHIWSABHO-NSHDSACASA-N -1 1 302.334 1.686 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@@H]2C2CCCC2)c1 ZINC000651638032 423626591 /nfs/dbraw/zinc/62/65/91/423626591.db2.gz JFKLODVQMBDJIY-VXGBXAGGSA-N -1 1 324.402 1.804 20 0 DDADMM CN1CC[C@H](CSc2nc(C(F)(F)F)cc(=O)[n-]2)C1=O ZINC000641451435 423645076 /nfs/dbraw/zinc/64/50/76/423645076.db2.gz RSCFHCULNJWAGZ-ZCFIWIBFSA-N -1 1 307.297 1.771 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1ccc(F)cc1SC ZINC000647137763 423772892 /nfs/dbraw/zinc/77/28/92/423772892.db2.gz RCTURINQUNGGLF-UHFFFAOYSA-N -1 1 323.411 1.952 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)C[C@H]2CCCCO2)cs1 ZINC000641688657 423866574 /nfs/dbraw/zinc/86/65/74/423866574.db2.gz KGDNBYBFEOVIHO-SECBINFHSA-N -1 1 304.393 1.158 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1csc(C(N)=O)c1)C1CC1 ZINC000641687970 423867740 /nfs/dbraw/zinc/86/77/40/423867740.db2.gz HCGLRONLUQALAY-JTQLQIEISA-N -1 1 318.420 1.404 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCCO1 ZINC000652100043 423859534 /nfs/dbraw/zinc/85/95/34/423859534.db2.gz JDCBMVHRYOBOEJ-AWEZNQCLSA-N -1 1 315.373 1.810 20 0 DDADMM O=C1NCC[C@H]1[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC000657030644 424009422 /nfs/dbraw/zinc/00/94/22/424009422.db2.gz RZAPZOOGBGODOX-MRVPVSSYSA-N -1 1 309.174 1.160 20 0 DDADMM COc1ccc2c(c1)CC[C@@H](N=c1[n-]c(C(N)=O)cs1)C2 ZINC000644939367 424061656 /nfs/dbraw/zinc/06/16/56/424061656.db2.gz NWLBSVCHOITSQQ-LLVKDONJSA-N -1 1 303.387 1.642 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1nc2ccccc2s1 ZINC000641925557 424074962 /nfs/dbraw/zinc/07/49/62/424074962.db2.gz WVUFQYLEZUZFJX-UHFFFAOYSA-N -1 1 302.344 1.470 20 0 DDADMM Cn1[n-]c(CN(Cc2ccccc2Cl)C2COC2)nc1=O ZINC000644953404 424079998 /nfs/dbraw/zinc/07/99/98/424079998.db2.gz YAQSPIVDAKXXSG-UHFFFAOYSA-N -1 1 308.769 1.163 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnc(N(C)C)c(Cl)c1)c1nn[n-]n1 ZINC000654997832 424104000 /nfs/dbraw/zinc/10/40/00/424104000.db2.gz NAWQVSWIDWFBPN-QMMMGPOBSA-N -1 1 323.788 1.190 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H](OC)C2CC2)c(F)c1 ZINC000645102974 424160109 /nfs/dbraw/zinc/16/01/09/424160109.db2.gz VZFGDCKQVDRSDD-LBPRGKRZSA-N -1 1 321.345 1.677 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C[C@H](CO)O1 ZINC000649824259 424225545 /nfs/dbraw/zinc/22/55/45/424225545.db2.gz BDKNWLAHLSVRBI-WCBMZHEXSA-N -1 1 319.279 1.633 20 0 DDADMM C[C@@H](CNC(=O)c1ccc([O-])cc1F)N1C[C@@H](C)O[C@@H](C)C1 ZINC000655243758 424331934 /nfs/dbraw/zinc/33/19/34/424331934.db2.gz ITWLLQZEYVBXCT-SDDRHHMPSA-N -1 1 310.369 1.759 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CCO[C@@]2(CCOC2)C1 ZINC000640339671 424360838 /nfs/dbraw/zinc/36/08/38/424360838.db2.gz UQEBVCGDUQMAFM-HNNXBMFYSA-N -1 1 319.386 1.741 20 0 DDADMM COCC[C@H]1COCCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640338806 424360887 /nfs/dbraw/zinc/36/08/87/424360887.db2.gz HMTOTTLUZOUKCL-NSHDSACASA-N -1 1 321.402 1.987 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@@]1(O)CCSC1 ZINC000640342431 424362314 /nfs/dbraw/zinc/36/23/14/424362314.db2.gz NIZNVATZNOFBML-ZDUSSCGKSA-N -1 1 309.416 1.708 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)CC1 ZINC000655639278 424610498 /nfs/dbraw/zinc/61/04/98/424610498.db2.gz GOWVYTRJTLDIEX-UHFFFAOYSA-N -1 1 309.309 1.809 20 0 DDADMM O=S(=O)([N-][C@@H](C[C@@H]1CCCO1)C(F)(F)F)c1cccnc1 ZINC000655662191 424618463 /nfs/dbraw/zinc/61/84/63/424618463.db2.gz ORPLUADMVPODQB-ONGXEEELSA-N -1 1 324.324 1.860 20 0 DDADMM O=C([O-])[C@H](CNC(=O)NCc1ccc2cncn2c1)C1CCC1 ZINC000665313185 424779736 /nfs/dbraw/zinc/77/97/36/424779736.db2.gz CEMWWFPKYSYHKQ-CQSZACIVSA-N -1 1 316.361 1.634 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H](C)C[C@@H](C)O)c(=O)[n-]1 ZINC000341955132 271259396 /nfs/dbraw/zinc/25/93/96/271259396.db2.gz MRQYTVHYIYAGDO-DTWKUNHWSA-N -1 1 313.423 1.421 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC3(C2)CCOC3)c(=O)[n-]1 ZINC000342038403 271281425 /nfs/dbraw/zinc/28/14/25/271281425.db2.gz RFPCESMYCRZMAJ-UHFFFAOYSA-N -1 1 323.418 1.394 20 0 DDADMM C[C@@H]1C[C@H](CCNC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000342359413 271388307 /nfs/dbraw/zinc/38/83/07/271388307.db2.gz PYYJKIBVTQEZPJ-VXGBXAGGSA-N -1 1 315.373 1.858 20 0 DDADMM CCOC(=O)[C@@H]1CCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000344785020 272118160 /nfs/dbraw/zinc/11/81/60/272118160.db2.gz MDXHURSIMUQWFX-NSHDSACASA-N -1 1 309.391 1.307 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1scnc1C1CC1 ZINC000345302631 272213646 /nfs/dbraw/zinc/21/36/46/272213646.db2.gz QEWCRPHNJWVUCD-UHFFFAOYSA-N -1 1 318.358 1.490 20 0 DDADMM Cc1noc(C)c1COc1ccccc1C(=O)Nc1nnn[n-]1 ZINC000345511597 272269555 /nfs/dbraw/zinc/26/95/55/272269555.db2.gz XZSORAMECLXSQM-UHFFFAOYSA-N -1 1 314.305 1.636 20 0 DDADMM Cc1noc(C)c1COc1ccccc1C(=O)Nc1nn[n-]n1 ZINC000345511597 272269559 /nfs/dbraw/zinc/26/95/59/272269559.db2.gz XZSORAMECLXSQM-UHFFFAOYSA-N -1 1 314.305 1.636 20 0 DDADMM CC(C)(C)n1cnc(=NC(=O)c2cc(-c3ccco3)n[nH]2)[n-]1 ZINC000345743837 272322254 /nfs/dbraw/zinc/32/22/54/272322254.db2.gz YKZDNWRQWRYXPQ-UHFFFAOYSA-N -1 1 300.322 1.690 20 0 DDADMM CCn1cc([N-]S(=O)(=O)CCOCC(F)(F)F)cn1 ZINC000346640005 272506956 /nfs/dbraw/zinc/50/69/56/272506956.db2.gz VGWMFAMDNGCELE-UHFFFAOYSA-N -1 1 301.290 1.224 20 0 DDADMM CC(C)C[C@@H]1COCCN1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279336020 279031220 /nfs/dbraw/zinc/03/12/20/279031220.db2.gz NTJLPELUWXGFPY-NODXGKJPSA-N -1 1 317.393 1.621 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)C1CCC1)N1CCCCC1 ZINC000408485319 280176699 /nfs/dbraw/zinc/17/66/99/280176699.db2.gz OSCSQETYRZYFFX-CYBMUJFWSA-N -1 1 302.440 1.495 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@@H]1C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000119142606 281091146 /nfs/dbraw/zinc/09/11/46/281091146.db2.gz XEDQOPNEEPMPPC-ZJUUUORDSA-N -1 1 306.391 1.320 20 0 DDADMM CNC(=O)C(C)(C)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000119833669 281108529 /nfs/dbraw/zinc/10/85/29/281108529.db2.gz NDEMCYOOMMKUEF-UHFFFAOYSA-N -1 1 310.375 1.483 20 0 DDADMM Cc1[nH]c2ccccc2c1CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129525371 281348152 /nfs/dbraw/zinc/34/81/52/281348152.db2.gz QSTKVRIZJALFID-GFCCVEGCSA-N -1 1 324.388 1.938 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCc2ccccc2)o1 ZINC000177314093 281831610 /nfs/dbraw/zinc/83/16/10/281831610.db2.gz OWYFBEQYHWYKFL-UHFFFAOYSA-N -1 1 323.370 1.977 20 0 DDADMM CCn1nc(C)c(CNC(=O)C(=O)c2ccc([O-])cc2)c1C ZINC000573351996 304590845 /nfs/dbraw/zinc/59/08/45/304590845.db2.gz LGVQJMNGAIVQME-UHFFFAOYSA-N -1 1 301.346 1.725 20 0 DDADMM C/C=C\C[C@@H](CO)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000354232557 298374934 /nfs/dbraw/zinc/37/49/34/298374934.db2.gz BQPFQYBJDNXZCA-RXNFCKPNSA-N -1 1 318.377 1.038 20 0 DDADMM CCOc1c(Cl)cccc1CC(=O)NC1(c2nn[n-]n2)CC1 ZINC000362173310 300021556 /nfs/dbraw/zinc/02/15/56/300021556.db2.gz LTRXGWNYGDVYAQ-UHFFFAOYSA-N -1 1 321.768 1.600 20 0 DDADMM CO[C@H]1CCCC[C@H]1[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000362982613 300193768 /nfs/dbraw/zinc/19/37/68/300193768.db2.gz NRKYLKUNVVQHAR-MNOVXSKESA-N -1 1 304.437 1.996 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000364391474 300388347 /nfs/dbraw/zinc/38/83/47/300388347.db2.gz CMVYVTOVDXWQQH-NSHDSACASA-N -1 1 310.325 1.263 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC[C@]2(C1)C(=O)Nc1ccccc12 ZINC000364522414 300409404 /nfs/dbraw/zinc/40/94/04/300409404.db2.gz JAGJWPBHPJPJAF-QGZVFWFLSA-N -1 1 309.325 1.523 20 0 DDADMM Cc1nc(=NC[C@@H]2CCCN(C)[C@H]2c2cnn(C)c2)s[n-]1 ZINC000369697833 301214534 /nfs/dbraw/zinc/21/45/34/301214534.db2.gz TYJHUVUDWOESOS-WCQYABFASA-N -1 1 306.439 1.497 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@](O)(C2CC2)C1 ZINC000373344163 301687067 /nfs/dbraw/zinc/68/70/67/301687067.db2.gz MEXSJJGIOZALBY-KRWDZBQOSA-N -1 1 319.405 1.775 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CC(=O)N1Cc1ccccc1 ZINC000375993113 302020412 /nfs/dbraw/zinc/02/04/12/302020412.db2.gz HKOBRUCEQMLWID-ONGXEEELSA-N -1 1 300.280 1.855 20 0 DDADMM COc1ccc(C(=O)Nc2cccc3c2OCC(=O)N3)c([O-])c1 ZINC000378017830 302264476 /nfs/dbraw/zinc/26/44/76/302264476.db2.gz MFPJMWRVEVNPOZ-UHFFFAOYSA-N -1 1 314.297 1.984 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN2C(=O)OCC[C@@H]2C1 ZINC000495944010 302500742 /nfs/dbraw/zinc/50/07/42/302500742.db2.gz CONNVQWFOZZPIJ-MRVPVSSYSA-N -1 1 312.272 1.337 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc([C@H]3CC[C@@H](C)O3)no2)co1 ZINC000528244979 303012122 /nfs/dbraw/zinc/01/21/22/303012122.db2.gz UYLJNARBXHHGDJ-VXNVDRBHSA-N -1 1 313.335 1.478 20 0 DDADMM CC1(C)OC[C@@H]2C[C@@]21[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000528486059 303033197 /nfs/dbraw/zinc/03/31/97/303033197.db2.gz JQYDQBQFQVJYLN-WPPNPWJKSA-N -1 1 321.320 1.950 20 0 DDADMM O=C(NC[C@@H](n1cccn1)C(F)(F)F)c1ncccc1[O-] ZINC000529625438 303142784 /nfs/dbraw/zinc/14/27/84/303142784.db2.gz XWQDGFZRNJITAO-SECBINFHSA-N -1 1 300.240 1.517 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1C[C@@H](CO)[C@H](CO)C1 ZINC000529691302 303144676 /nfs/dbraw/zinc/14/46/76/303144676.db2.gz JKUJYSCNNASLQQ-KBPBESRZSA-N -1 1 301.342 1.218 20 0 DDADMM O=C(NC[C@H](O)[C@H]1CCCO1)c1ccc2ccccc2c1[O-] ZINC000529771465 303153635 /nfs/dbraw/zinc/15/36/35/303153635.db2.gz UBVLMVUAZPEKMH-LSDHHAIUSA-N -1 1 301.342 1.815 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(Cc3ccco3)C2)o1 ZINC000529857458 303159134 /nfs/dbraw/zinc/15/91/34/303159134.db2.gz GOVWTNNDLFWHJK-UHFFFAOYSA-N -1 1 324.358 1.095 20 0 DDADMM C[C@@](O)(CN1CCc2cccc(C(F)(F)F)c2C1)C(=O)[O-] ZINC000530174097 303179681 /nfs/dbraw/zinc/17/96/81/303179681.db2.gz UHVNOIDDQKCHQB-CYBMUJFWSA-N -1 1 303.280 1.899 20 0 DDADMM Cc1[nH]nc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)c1C ZINC000530575499 303204193 /nfs/dbraw/zinc/20/41/93/303204193.db2.gz IQRJYVNALZCPAQ-UHFFFAOYSA-N -1 1 314.305 1.337 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CC(=O)N(C(C)C)C2)sc1C ZINC000532497030 303287926 /nfs/dbraw/zinc/28/79/26/303287926.db2.gz JQORARXKONJXFO-JTQLQIEISA-N -1 1 317.436 1.048 20 0 DDADMM Cc1nc(=N[C@H](CN2CCN(C)CC2)c2ccccc2)s[n-]1 ZINC000537766663 303379815 /nfs/dbraw/zinc/37/98/15/303379815.db2.gz GAZXMTJSWLQDLU-OAHLLOKOSA-N -1 1 317.462 1.669 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)Cc1ccc(F)cc1F)C(=O)OC ZINC000543728520 303463361 /nfs/dbraw/zinc/46/33/61/303463361.db2.gz FJTKRFKYEPLFDY-LBPRGKRZSA-N -1 1 321.345 1.726 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C(C)(C)C(=O)N(C)C)c(F)c1 ZINC000563021537 303912388 /nfs/dbraw/zinc/91/23/88/303912388.db2.gz FSJBDBZRWHCMCM-UHFFFAOYSA-N -1 1 320.361 1.418 20 0 DDADMM C[C@@]1(c2ccccc2)C[N@H+](CCCOC(=O)NC(N)=O)CCO1 ZINC000362339978 307017165 /nfs/dbraw/zinc/01/71/65/307017165.db2.gz JCUUGSBODFHLFN-INIZCTEOSA-N -1 1 321.377 1.429 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H](CO)[C@H](C)C3)cnc2n1 ZINC000362348248 307017612 /nfs/dbraw/zinc/01/76/12/307017612.db2.gz GICOAVLHAPFUCP-MWLCHTKSSA-N -1 1 301.346 1.344 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](CO)[C@H](C)C3)c[n-]c2n1 ZINC000362348248 307017613 /nfs/dbraw/zinc/01/76/13/307017613.db2.gz GICOAVLHAPFUCP-MWLCHTKSSA-N -1 1 301.346 1.344 20 0 DDADMM O=C(NCCc1nnc2n1CCCC2)c1c(F)ccc([O-])c1F ZINC000367659011 307100732 /nfs/dbraw/zinc/10/07/32/307100732.db2.gz RMJUJHULFJHRIE-UHFFFAOYSA-N -1 1 322.315 1.571 20 0 DDADMM O=C(N[C@@H]1CCC(=O)N[C@@H]1C1CC1)c1c(F)ccc([O-])c1F ZINC000375941996 307234676 /nfs/dbraw/zinc/23/46/76/307234676.db2.gz RBAVGPKKHZVXEN-YMTOWFKASA-N -1 1 310.300 1.457 20 0 DDADMM CCNC(=O)c1ccc(=NCCOCc2ccccc2)[n-]n1 ZINC000390071101 307293497 /nfs/dbraw/zinc/29/34/97/307293497.db2.gz LADWNDCCONBRMS-UHFFFAOYSA-N -1 1 300.362 1.277 20 0 DDADMM CCO[C@H]1C[C@@](NCc2ncccc2[O-])(C(=O)OC)C1(C)C ZINC000514612654 307401669 /nfs/dbraw/zinc/40/16/69/307401669.db2.gz SDGYAGDNLDBCMT-XJKSGUPXSA-N -1 1 308.378 1.624 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2c(F)cccc2Cl)n1 ZINC000528445106 307536317 /nfs/dbraw/zinc/53/63/17/307536317.db2.gz BHKZJTUNAAZBOK-UHFFFAOYSA-N -1 1 318.761 1.569 20 0 DDADMM CCN(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000530388778 307595969 /nfs/dbraw/zinc/59/59/69/307595969.db2.gz RZLZBINVUKLORX-SNVBAGLBSA-N -1 1 310.375 1.578 20 0 DDADMM C[C@H](CCc1ccccc1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000542769224 307700752 /nfs/dbraw/zinc/70/07/52/307700752.db2.gz OLYITFIVOWVELV-LLVKDONJSA-N -1 1 311.345 1.169 20 0 DDADMM O=C(N[C@H]1CCC[C@H]1c1ccccc1)c1cc(=O)n2[n-]cnc2n1 ZINC000544738581 307718752 /nfs/dbraw/zinc/71/87/52/307718752.db2.gz ZITPWAIGRHKIPN-STQMWFEESA-N -1 1 323.356 1.484 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H](N)CC(F)F)[nH][n-]2)s1 ZINC000564914354 308011724 /nfs/dbraw/zinc/01/17/24/308011724.db2.gz HWNBEXUWTKYQSV-ZETCQYMHSA-N -1 1 300.334 1.790 20 0 DDADMM CCCc1nc(C)c(C[N-]S(=O)(=O)c2cscn2)o1 ZINC000566165073 308047255 /nfs/dbraw/zinc/04/72/55/308047255.db2.gz DALQXHJKTVTFSN-UHFFFAOYSA-N -1 1 301.393 1.871 20 0 DDADMM CC[C@@H](C)C[C@@H]([N-]S(=O)(=O)Cc1cc(C)no1)C(=O)OC ZINC000566167596 308047671 /nfs/dbraw/zinc/04/76/71/308047671.db2.gz SAVUTQGNRSNANR-BXKDBHETSA-N -1 1 318.395 1.380 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2CCCC[C@H]2N1Cc1ncnn1CC(F)F ZINC000567478851 308081688 /nfs/dbraw/zinc/08/16/88/308081688.db2.gz CMFQGLVBZZGFLN-AXFHLTTASA-N -1 1 314.336 1.761 20 0 DDADMM Cc1cnc(S[C@@H](C(=O)N2CCOCC2)C(C)C)[n-]c1=O ZINC000568532322 308122233 /nfs/dbraw/zinc/12/22/33/308122233.db2.gz DIHKLFLKJNUYLE-LLVKDONJSA-N -1 1 311.407 1.466 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H]1CO)c1nc2ccccc2s1 ZINC000573320293 308237520 /nfs/dbraw/zinc/23/75/20/308237520.db2.gz SDQZIWCXBLPDDT-UWVGGRQHSA-N -1 1 312.416 1.736 20 0 DDADMM CN(C)c1n[nH]c([N-]C(=O)c2scnc2C(F)(F)F)n1 ZINC000584003793 331560117 /nfs/dbraw/zinc/56/01/17/331560117.db2.gz PZUSDWDZIDQECS-UHFFFAOYSA-N -1 1 306.273 1.598 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N(C)C(C)(C)C ZINC000584115457 331833748 /nfs/dbraw/zinc/83/37/48/331833748.db2.gz ISEIPADFMIIXAT-UHFFFAOYSA-N -1 1 314.389 1.940 20 0 DDADMM COC1CCN(S(=O)(=O)[N-]c2ccn(C(C)C)n2)CC1 ZINC000656629505 484250542 /nfs/dbraw/zinc/25/05/42/484250542.db2.gz NUYUCAHFLVFXFY-UHFFFAOYSA-N -1 1 302.400 1.232 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cc(C2CC2)no1 ZINC000669637316 484595798 /nfs/dbraw/zinc/59/57/98/484595798.db2.gz GMDHZESRIXTBOI-ZDUSSCGKSA-N -1 1 310.317 1.585 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@]3(C(=O)[O-])CC3(C)C)C2)n[nH]1 ZINC000663041953 484620230 /nfs/dbraw/zinc/62/02/30/484620230.db2.gz QGZWHNLHIRDERE-MEBBXXQBSA-N -1 1 306.366 1.320 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000663094535 484659601 /nfs/dbraw/zinc/65/96/01/484659601.db2.gz CDZSZPUMWSXJNY-SJCJKPOMSA-N -1 1 320.389 1.039 20 0 DDADMM C[C@H](CNC(=O)C1(C(=O)[O-])CCC1)N1CCc2ccccc2C1 ZINC000663094594 484659758 /nfs/dbraw/zinc/65/97/58/484659758.db2.gz DAWYXAMICGFNBL-CYBMUJFWSA-N -1 1 316.401 1.804 20 0 DDADMM CCN1CC[C@@H](NC(=O)c2cc(Cl)c([O-])c(OC)c2)C1=O ZINC000670172094 484770352 /nfs/dbraw/zinc/77/03/52/484770352.db2.gz DDFCFBDISNFSAE-SNVBAGLBSA-N -1 1 312.753 1.405 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1ccco1)[C@H](O)C(F)(F)F ZINC000670855194 484915995 /nfs/dbraw/zinc/91/59/95/484915995.db2.gz YYNSJFLOMFTGBP-IUCAKERBSA-N -1 1 301.286 1.506 20 0 DDADMM CN1CC[C@@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)CC1=O ZINC000671183416 484965012 /nfs/dbraw/zinc/96/50/12/484965012.db2.gz HKLFMIGKRAOUDH-SECBINFHSA-N -1 1 320.773 1.378 20 0 DDADMM CCN(C)C(=O)c1cccc(NC(=O)c2n[nH]c(C)c2[O-])c1 ZINC000672517710 485310450 /nfs/dbraw/zinc/31/04/50/485310450.db2.gz RARBLMANZWGVDO-UHFFFAOYSA-N -1 1 302.334 1.768 20 0 DDADMM C[C@@H]1CC[C@@H](C(N)=O)CN1C(=O)c1ncc2ccccc2c1[O-] ZINC000666662719 485320093 /nfs/dbraw/zinc/32/00/93/485320093.db2.gz YQEVKFAIYNANRO-ZYHUDNBSSA-N -1 1 313.357 1.666 20 0 DDADMM COc1cc(C(=O)N2CC[C@H](n3cncn3)C2)cc(Cl)c1[O-] ZINC000682363752 485570375 /nfs/dbraw/zinc/57/03/75/485570375.db2.gz WPHQRVFLOVMMQM-JTQLQIEISA-N -1 1 322.752 1.733 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@]2(C)CCCOC2)c(F)c1 ZINC000678495329 485606094 /nfs/dbraw/zinc/60/60/94/485606094.db2.gz ORXMXZVLZSNHHL-CYBMUJFWSA-N -1 1 321.345 1.821 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)[C@@H]2CCCCO2)sc1C ZINC000679011556 485754834 /nfs/dbraw/zinc/75/48/34/485754834.db2.gz DNOULAJLCCDRHC-ONGXEEELSA-N -1 1 304.437 1.996 20 0 DDADMM COc1ccc(C(=O)NCc2nn[n-]n2)c(OCCC(C)C)c1 ZINC000682898629 485817972 /nfs/dbraw/zinc/81/79/72/485817972.db2.gz SXEYPHNPNCPNKD-UHFFFAOYSA-N -1 1 319.365 1.563 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)Cn2cncn2)c1 ZINC000679244088 485819529 /nfs/dbraw/zinc/81/95/29/485819529.db2.gz SNEZMYHSFPEYPM-UHFFFAOYSA-N -1 1 311.139 1.693 20 0 DDADMM CC(C)(CO)N1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000679316890 485844775 /nfs/dbraw/zinc/84/47/75/485844775.db2.gz OPRWEFAORIQWJV-UHFFFAOYSA-N -1 1 312.797 1.574 20 0 DDADMM CCC[C@@H](NC(=O)C(=O)c1ccc(Cl)s1)c1nn[n-]n1 ZINC000683245196 485918105 /nfs/dbraw/zinc/91/81/05/485918105.db2.gz ICPOVUGVUDEDMY-ZCFIWIBFSA-N -1 1 313.770 1.755 20 0 DDADMM CCOc1ccc(S(=O)(=O)[N-]c2ccc3nccn3c2)cn1 ZINC000679750645 485992194 /nfs/dbraw/zinc/99/21/94/485992194.db2.gz CGFAGHKDYJDQRS-UHFFFAOYSA-N -1 1 318.358 1.929 20 0 DDADMM CC(=O)c1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)ccc1C ZINC000684050046 486226397 /nfs/dbraw/zinc/22/63/97/486226397.db2.gz MQVVVGZZOWLBGG-UHFFFAOYSA-N -1 1 313.361 1.910 20 0 DDADMM Cc1ccc([C@H](CNC(=O)c2[nH]nc(C)c2[O-])N2CCCC2)o1 ZINC000676631258 486273731 /nfs/dbraw/zinc/27/37/31/486273731.db2.gz QVIPILDJRQMGMW-LBPRGKRZSA-N -1 1 318.377 1.892 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)o1 ZINC000684291867 486315665 /nfs/dbraw/zinc/31/56/65/486315665.db2.gz DTKIACJEWLWOTF-SNVBAGLBSA-N -1 1 312.333 1.669 20 0 DDADMM COCCO[C@H]1COCC[C@@H]1NC(=O)c1ccc([O-])c(F)c1 ZINC000681629452 486476355 /nfs/dbraw/zinc/47/63/55/486476355.db2.gz ZBYJYVVFQXOEJC-JSGCOSHPSA-N -1 1 313.325 1.082 20 0 DDADMM CC[C@@H]1CN(C(=O)C(C)C)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000681737941 486506892 /nfs/dbraw/zinc/50/68/92/486506892.db2.gz AVMYQUIQEVNRDH-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)CN1CCN(C(=O)c2ccc([O-])c(F)c2)[C@@H](C)C1=O ZINC000681762734 486518176 /nfs/dbraw/zinc/51/81/76/486518176.db2.gz PWEXUSMSUAPMLZ-NSHDSACASA-N -1 1 308.353 1.860 20 0 DDADMM C[C@H](NC(=O)c1c(F)ccc([O-])c1F)[C@@H]1CN(C)CCO1 ZINC000685451478 486541915 /nfs/dbraw/zinc/54/19/15/486541915.db2.gz YVHPHDIOGKYYFX-KWQFWETISA-N -1 1 300.305 1.119 20 0 DDADMM O=C(Nc1nc(-c2ccco2)cs1)c1nnc([O-])[nH]c1=O ZINC000678061496 486619843 /nfs/dbraw/zinc/61/98/43/486619843.db2.gz IFHIVFUCMLNBGS-UHFFFAOYSA-N -1 1 305.275 1.252 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc2cc(F)ccc2o1)C(=O)N(C)C ZINC000451457216 533965387 /nfs/dbraw/zinc/96/53/87/533965387.db2.gz NDVIFBBCADFZOU-QMMMGPOBSA-N -1 1 314.338 1.327 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)C[C@H]2CCCO2)c1F ZINC000295583340 534278224 /nfs/dbraw/zinc/27/82/24/534278224.db2.gz ZRMLGGNPPKWLON-SECBINFHSA-N -1 1 317.338 1.533 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@H]2CC[C@@H](F)C2)oc1C ZINC000344904977 518022818 /nfs/dbraw/zinc/02/28/18/518022818.db2.gz HJIGPHHXSVOXCL-BDAKNGLRSA-N -1 1 305.327 1.544 20 0 DDADMM O=c1cc(/C=C/c2cc(-n3ccnc3)cs2)nc2nc[n-]n21 ZINC000352029336 518203304 /nfs/dbraw/zinc/20/33/04/518203304.db2.gz YMASVTAPLQXYFR-OWOJBTEDSA-N -1 1 310.342 1.835 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3CCC[C@@H](CO)C3)cnc2n1 ZINC000412910937 534400045 /nfs/dbraw/zinc/40/00/45/534400045.db2.gz JOCMLUJEBVTGPV-NEPJUHHUSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H]3CCC[C@@H](CO)C3)c[n-]c2n1 ZINC000412910937 534400046 /nfs/dbraw/zinc/40/00/46/534400046.db2.gz JOCMLUJEBVTGPV-NEPJUHHUSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H](C)C(C)(F)F ZINC000452458656 534643753 /nfs/dbraw/zinc/64/37/53/534643753.db2.gz OLRAKXQFRDHJQI-VIFPVBQESA-N -1 1 312.320 1.754 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CC[C@H](C)C1 ZINC000444463632 534644344 /nfs/dbraw/zinc/64/43/44/534644344.db2.gz HCEQWTZRNPNJQP-JOYOIKCWSA-N -1 1 302.378 1.899 20 0 DDADMM CC(C)(C)OC(=O)NCC(C)(C)C(=O)[N-]OC[C@H]1CCOC1 ZINC000492772497 526442988 /nfs/dbraw/zinc/44/29/88/526442988.db2.gz QVSGLAWWEOHJIG-NSHDSACASA-N -1 1 316.398 1.622 20 0 DDADMM CC(=O)Nc1ccc(F)c([N-]S(=O)(=O)C[C@@H]2CCCO2)c1 ZINC000330809314 527004632 /nfs/dbraw/zinc/00/46/32/527004632.db2.gz IRYBVNBSUHCXDH-NSHDSACASA-N -1 1 316.354 1.705 20 0 DDADMM CC(C)(CNC(=O)c1nc2ccccc2c(=O)[n-]1)OCC1CC1 ZINC000414414050 527167603 /nfs/dbraw/zinc/16/76/03/527167603.db2.gz TYKGTRJBOCXBAT-UHFFFAOYSA-N -1 1 315.373 1.858 20 0 DDADMM CC(C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000416623448 527710613 /nfs/dbraw/zinc/71/06/13/527710613.db2.gz OKDNTRYVZABIMK-ZETCQYMHSA-N -1 1 324.324 1.295 20 0 DDADMM CCC[C@@H](OC)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000295883482 527715187 /nfs/dbraw/zinc/71/51/87/527715187.db2.gz PSKHAFUHQJOUEL-GFCCVEGCSA-N -1 1 306.366 1.071 20 0 DDADMM CCN(C)C(=O)CNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000302522150 527728306 /nfs/dbraw/zinc/72/83/06/527728306.db2.gz ZCLCZOXAHKSFIK-UHFFFAOYSA-N -1 1 315.377 1.751 20 0 DDADMM CCCC(=O)NC[C@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000330890265 527935002 /nfs/dbraw/zinc/93/50/02/527935002.db2.gz VZHPUKQOYQYVSS-CYBMUJFWSA-N -1 1 319.405 1.864 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc(OC)cc1F)c1nn[n-]n1 ZINC000444235601 528179515 /nfs/dbraw/zinc/17/95/15/528179515.db2.gz YCPLVDKYGDRMRD-LBPRGKRZSA-N -1 1 307.329 1.548 20 0 DDADMM CCC[C@H](NC(=O)C[C@H]1COc2ccccc2O1)c1nn[n-]n1 ZINC000294996162 528180009 /nfs/dbraw/zinc/18/00/09/528180009.db2.gz PPWXWRFAKKWPRF-QWRGUYRKSA-N -1 1 317.349 1.387 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H](CCO)c2ccccc2)[n-]n1 ZINC000413150605 528230548 /nfs/dbraw/zinc/23/05/48/528230548.db2.gz DMACLOYZZYPYAG-CQSZACIVSA-N -1 1 314.389 1.226 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC[C@@H](O)C(C)C)o1 ZINC000443251571 528243869 /nfs/dbraw/zinc/24/38/69/528243869.db2.gz OTTLHHZPCFHZPM-SNVBAGLBSA-N -1 1 319.379 1.142 20 0 DDADMM CCCc1nc(=NC(=O)N2CCC[C@H](c3nc[nH]n3)C2)s[n-]1 ZINC000331737535 528688536 /nfs/dbraw/zinc/68/85/36/528688536.db2.gz CHLMJCYOKZOJRY-VIFPVBQESA-N -1 1 321.410 1.442 20 0 DDADMM CCN1CCN(C(=O)c2ncccc2[O-])C[C@H]1c1ncc[nH]1 ZINC000328616407 529136084 /nfs/dbraw/zinc/13/60/84/529136084.db2.gz BPOKOZWBWYZTLT-NSHDSACASA-N -1 1 301.350 1.029 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCC[C@H]2C)o1 ZINC000337208286 535697894 /nfs/dbraw/zinc/69/78/94/535697894.db2.gz QGJGRSLUOQCAKU-ZJUUUORDSA-N -1 1 301.364 1.923 20 0 DDADMM O[C@H](CNc1c2ccccc2nnc1-c1nnn[n-]1)c1ccco1 ZINC000738380956 598958287 /nfs/dbraw/zinc/95/82/87/598958287.db2.gz DYNAQINIWQFWJV-LLVKDONJSA-N -1 1 323.316 1.548 20 0 DDADMM O[C@H](CNc1c2ccccc2nnc1-c1nn[n-]n1)c1ccco1 ZINC000738380956 598958289 /nfs/dbraw/zinc/95/82/89/598958289.db2.gz DYNAQINIWQFWJV-LLVKDONJSA-N -1 1 323.316 1.548 20 0 DDADMM O=C(Nc1ccc(F)c(F)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738165974 598979290 /nfs/dbraw/zinc/97/92/90/598979290.db2.gz NWHUWRWTTIKXPD-UHFFFAOYSA-N -1 1 302.244 1.792 20 0 DDADMM O=C(Nc1ccc(F)c(F)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738165974 598979293 /nfs/dbraw/zinc/97/92/93/598979293.db2.gz NWHUWRWTTIKXPD-UHFFFAOYSA-N -1 1 302.244 1.792 20 0 DDADMM C[C@H]1CCC[C@@H](N(C)C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736249888 598989129 /nfs/dbraw/zinc/98/91/29/598989129.db2.gz LNAMCTAYBNGQAK-CMPLNLGQSA-N -1 1 300.366 1.912 20 0 DDADMM C[C@H]1CCC[C@@H](N(C)C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736249888 598989130 /nfs/dbraw/zinc/98/91/30/598989130.db2.gz LNAMCTAYBNGQAK-CMPLNLGQSA-N -1 1 300.366 1.912 20 0 DDADMM CC(=O)Nc1ccccc1CNc1nccnc1-c1nnn[n-]1 ZINC000819826243 599040318 /nfs/dbraw/zinc/04/03/18/599040318.db2.gz IDTXJMXYTJLJGP-UHFFFAOYSA-N -1 1 310.321 1.227 20 0 DDADMM CC(=O)Nc1ccccc1CNc1nccnc1-c1nn[n-]n1 ZINC000819826243 599040321 /nfs/dbraw/zinc/04/03/21/599040321.db2.gz IDTXJMXYTJLJGP-UHFFFAOYSA-N -1 1 310.321 1.227 20 0 DDADMM COc1cc(CCNc2nccnc2-c2nnn[n-]2)ccc1C ZINC000737045260 599044579 /nfs/dbraw/zinc/04/45/79/599044579.db2.gz HCDDTYDFFGHCJC-UHFFFAOYSA-N -1 1 311.349 1.628 20 0 DDADMM COc1cc(CCNc2nccnc2-c2nn[n-]n2)ccc1C ZINC000737045260 599044581 /nfs/dbraw/zinc/04/45/81/599044581.db2.gz HCDDTYDFFGHCJC-UHFFFAOYSA-N -1 1 311.349 1.628 20 0 DDADMM CC(C)CN(C1CCN(C)CC1)S(=O)(=O)C[C@@H](C)C(=O)[O-] ZINC000826871365 601780301 /nfs/dbraw/zinc/78/03/01/601780301.db2.gz ULJVRQNEXMTKIN-GFCCVEGCSA-N -1 1 320.455 1.089 20 0 DDADMM CCO[C@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)C12CCC2 ZINC000736613743 599119955 /nfs/dbraw/zinc/11/99/55/599119955.db2.gz SXVRDEJYRZGZEZ-UWVGGRQHSA-N -1 1 301.354 1.416 20 0 DDADMM CCO[C@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)C12CCC2 ZINC000736613743 599119957 /nfs/dbraw/zinc/11/99/57/599119957.db2.gz SXVRDEJYRZGZEZ-UWVGGRQHSA-N -1 1 301.354 1.416 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)N1CC[C@H](C(=O)[O-])C1)c1ccco1 ZINC000818193669 597510204 /nfs/dbraw/zinc/51/02/04/597510204.db2.gz LWZPKMKERSVBQI-STQMWFEESA-N -1 1 323.393 1.779 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)C(=O)[O-] ZINC000820465889 598188036 /nfs/dbraw/zinc/18/80/36/598188036.db2.gz YCCYLQQXBVNJBW-SDBXPKJASA-N -1 1 320.349 1.296 20 0 DDADMM CCCCN(CC)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736457533 598259272 /nfs/dbraw/zinc/25/92/72/598259272.db2.gz XCEZTALSIOFCJY-UHFFFAOYSA-N -1 1 310.383 1.072 20 0 DDADMM CCCCN(CC)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736457533 598259274 /nfs/dbraw/zinc/25/92/74/598259274.db2.gz XCEZTALSIOFCJY-UHFFFAOYSA-N -1 1 310.383 1.072 20 0 DDADMM Oc1ccc(C2CCN(c3nccnc3-c3nnn[n-]3)CC2)cc1 ZINC000738397303 598341097 /nfs/dbraw/zinc/34/10/97/598341097.db2.gz PZEXGEOTMSPOAK-UHFFFAOYSA-N -1 1 323.360 1.746 20 0 DDADMM Oc1ccc(C2CCN(c3nccnc3-c3nn[n-]n3)CC2)cc1 ZINC000738397303 598341098 /nfs/dbraw/zinc/34/10/98/598341098.db2.gz PZEXGEOTMSPOAK-UHFFFAOYSA-N -1 1 323.360 1.746 20 0 DDADMM c1c(CCNc2cccc(-c3nn[n-]n3)n2)nc2ccccn12 ZINC000738413337 599403121 /nfs/dbraw/zinc/40/31/21/599403121.db2.gz PLIMRYBTGQHLPC-UHFFFAOYSA-N -1 1 306.333 1.564 20 0 DDADMM CC(C)c1nc2c(n1C)CCN(c1cccc(-c3nn[n-]n3)n1)C2 ZINC000735942019 599430281 /nfs/dbraw/zinc/43/02/81/599430281.db2.gz ARKZWADEAQNBAT-UHFFFAOYSA-N -1 1 324.392 1.681 20 0 DDADMM COCCCOC1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000821687787 599576604 /nfs/dbraw/zinc/57/66/04/599576604.db2.gz OQNZOOIWWATQGD-UHFFFAOYSA-N -1 1 318.381 1.284 20 0 DDADMM COCCCOC1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000821687787 599576607 /nfs/dbraw/zinc/57/66/07/599576607.db2.gz OQNZOOIWWATQGD-UHFFFAOYSA-N -1 1 318.381 1.284 20 0 DDADMM CC[C@@H]1C[C@H](C)CN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820973295 599683813 /nfs/dbraw/zinc/68/38/13/599683813.db2.gz VZAGIOPTPIJUCL-VHSXEESVSA-N -1 1 322.394 1.071 20 0 DDADMM CC[C@@H]1C[C@H](C)CN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820973295 599683814 /nfs/dbraw/zinc/68/38/14/599683814.db2.gz VZAGIOPTPIJUCL-VHSXEESVSA-N -1 1 322.394 1.071 20 0 DDADMM C[C@H](CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1ccccc1 ZINC000736548615 599778185 /nfs/dbraw/zinc/77/81/85/599778185.db2.gz MIXCJMWSJVKQMI-RISCZKNCSA-N -1 1 301.346 1.715 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC000736366624 599844232 /nfs/dbraw/zinc/84/42/32/599844232.db2.gz WDZDXGSGKNYHSQ-CYBMUJFWSA-N -1 1 313.442 1.859 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCCNC(=O)CNC(C)(C)C(=O)[O-] ZINC000736784709 599925851 /nfs/dbraw/zinc/92/58/51/599925851.db2.gz NQIPJMRVZJBJNN-VXGBXAGGSA-N -1 1 300.399 1.151 20 0 DDADMM O=C([O-])c1ccc(C(=O)NCc2n[nH]c(-c3ccccc3)n2)cn1 ZINC000739450274 599929207 /nfs/dbraw/zinc/92/92/07/599929207.db2.gz IFLJIXDCPCPQSV-UHFFFAOYSA-N -1 1 323.312 1.495 20 0 DDADMM O=C([O-])c1ccc(C(=O)NCc2nc(-c3ccccc3)n[nH]2)cn1 ZINC000739450274 599929208 /nfs/dbraw/zinc/92/92/08/599929208.db2.gz IFLJIXDCPCPQSV-UHFFFAOYSA-N -1 1 323.312 1.495 20 0 DDADMM CN(C(=O)CN1CCC2(C[C@@H]2C(=O)[O-])CC1)C1CCCCC1 ZINC000405473937 600294901 /nfs/dbraw/zinc/29/49/01/600294901.db2.gz GSZGELMGVGXFKJ-CQSZACIVSA-N -1 1 308.422 1.964 20 0 DDADMM CC(C)CCN1C(=O)C[C@H](N2CCC3(C[C@@H]3C(=O)[O-])CC2)C1=O ZINC000736426287 600314343 /nfs/dbraw/zinc/31/43/43/600314343.db2.gz KVMBOMNEMQDEAT-OLZOCXBDSA-N -1 1 322.405 1.347 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN(C)C[C@H](C)C(=O)[O-])cc1 ZINC000736160349 600374088 /nfs/dbraw/zinc/37/40/88/600374088.db2.gz XRERCQJHZMCVPS-JTQLQIEISA-N -1 1 307.350 1.236 20 0 DDADMM Cc1[nH]c(C(=O)N2CCN(C)C[C@@H]2C(C)C)c(C)c1C(=O)[O-] ZINC000738162311 600417376 /nfs/dbraw/zinc/41/73/76/600417376.db2.gz WFVWQSFVIXDFEO-GFCCVEGCSA-N -1 1 307.394 1.742 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CN2C[C@@H](c3ccccn3)CC2=O)C1 ZINC000738537278 600421451 /nfs/dbraw/zinc/42/14/51/600421451.db2.gz DTBRGOVOKGMWRO-OLZOCXBDSA-N -1 1 303.362 1.152 20 0 DDADMM COc1ccc(CNC(=O)NCc2cc(C)[nH]n2)cc1C(=O)[O-] ZINC000738007720 600460328 /nfs/dbraw/zinc/46/03/28/600460328.db2.gz ZOJPRQIIIQULHU-UHFFFAOYSA-N -1 1 318.333 1.424 20 0 DDADMM CCc1cc(CNC(=O)Nc2nc(CC(=O)[O-])cs2)[nH]n1 ZINC000737252042 600505024 /nfs/dbraw/zinc/50/50/24/600505024.db2.gz CHXYPAQUUMAKDZ-UHFFFAOYSA-N -1 1 309.351 1.377 20 0 DDADMM Cc1oc(CN2CCN([C@H]3CCC[C@H]3O)CC2)cc1C(=O)[O-] ZINC000738978463 600509390 /nfs/dbraw/zinc/50/93/90/600509390.db2.gz HRGSPFBGMVRBJL-LSDHHAIUSA-N -1 1 308.378 1.317 20 0 DDADMM O=C([O-])Cn1cc(NC(=O)c2cc(-c3ccco3)n[nH]2)cn1 ZINC000739900496 600530692 /nfs/dbraw/zinc/53/06/92/600530692.db2.gz STOXMLMVUMHECZ-UHFFFAOYSA-N -1 1 301.262 1.203 20 0 DDADMM CC[C@@](C)(NCC(=O)Nc1ccc(C(=O)N(C)C)cc1)C(=O)[O-] ZINC000736863739 600560656 /nfs/dbraw/zinc/56/06/56/600560656.db2.gz NSWUCIXSTTVQSU-MRXNPFEDSA-N -1 1 321.377 1.170 20 0 DDADMM Cc1nc2ccc(NS(=O)(=O)c3c[nH]c(C(=O)[O-])c3)cc2o1 ZINC000833007102 600673499 /nfs/dbraw/zinc/67/34/99/600673499.db2.gz ZWQCWJVPKWSYPJ-UHFFFAOYSA-N -1 1 321.314 1.963 20 0 DDADMM COC[C@H]1CCN([C@H]2CCN(c3sccc3C(=O)[O-])C2=O)C1 ZINC000831666728 600797853 /nfs/dbraw/zinc/79/78/53/600797853.db2.gz UEGLYJPNOIBJLK-JQWIXIFHSA-N -1 1 324.402 1.520 20 0 DDADMM Cn1cc(S(=O)(=O)Nc2ccc(Cl)nc2)cc1C(=O)[O-] ZINC000113709662 600809396 /nfs/dbraw/zinc/80/93/96/600809396.db2.gz CEWKYNSWTAQZPF-UHFFFAOYSA-N -1 1 315.738 1.573 20 0 DDADMM Cc1ccccc1[C@@H]1CN(CCC(=O)NCC(=O)[O-])[C@@H](C)CO1 ZINC000832977944 600834926 /nfs/dbraw/zinc/83/49/26/600834926.db2.gz ZHWIXKZZEIOPNC-ZFWWWQNUSA-N -1 1 320.389 1.348 20 0 DDADMM Cn1c(Cl)cnc1CN(CCC(=O)[O-])C1CCOCC1 ZINC000833040306 600858341 /nfs/dbraw/zinc/85/83/41/600858341.db2.gz ZODDTRCSZWCMRM-UHFFFAOYSA-N -1 1 301.774 1.529 20 0 DDADMM CC[C@H](O)[C@H]1CCCCN1CC(=O)N[C@H](CC(C)C)C(=O)[O-] ZINC000736925790 600977563 /nfs/dbraw/zinc/97/75/63/600977563.db2.gz WYYFESAKCCOCHK-MCIONIFRSA-N -1 1 314.426 1.227 20 0 DDADMM COc1ccccc1CN(C)C(=O)CN[C@@](C)(C(=O)[O-])C1CC1 ZINC000832613736 601040890 /nfs/dbraw/zinc/04/08/90/601040890.db2.gz PMBZXRLYEQIZQS-QGZVFWFLSA-N -1 1 320.389 1.497 20 0 DDADMM CC(C)c1nn(C)cc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000827164079 601095250 /nfs/dbraw/zinc/09/52/50/601095250.db2.gz JFIRTBIIULBYJT-GFCCVEGCSA-N -1 1 322.409 1.165 20 0 DDADMM CCN1C[C@@H](C)[C@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)C1 ZINC000737111994 601111237 /nfs/dbraw/zinc/11/12/37/601111237.db2.gz RHBTUNQNMCFMDS-ZWNOBZJWSA-N -1 1 312.391 1.003 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)N2CCc3ccccc32)CC1 ZINC000827369230 601123190 /nfs/dbraw/zinc/12/31/90/601123190.db2.gz RIQHBTINTJWHTL-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM COC1CCN(CCNC(=O)c2ccc(C(=O)[O-])s2)CC1 ZINC000831537327 601173212 /nfs/dbraw/zinc/17/32/12/601173212.db2.gz ZUNZDJSHGCQJOP-UHFFFAOYSA-N -1 1 312.391 1.287 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCC(c3nc[nH]n3)CC2)cc1 ZINC000821543516 601294493 /nfs/dbraw/zinc/29/44/93/601294493.db2.gz ZJHNYJHYMQFVPI-UHFFFAOYSA-N -1 1 300.318 1.523 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2nc3ccccc3s2)C[C@@H]1C(=O)[O-] ZINC000315515792 601504923 /nfs/dbraw/zinc/50/49/23/601504923.db2.gz DJBJXNXPINVNQO-ZJUUUORDSA-N -1 1 319.386 1.887 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN2C[C@H](C)[C@@H](C(=O)[O-])C2)cc1 ZINC000831399510 601521728 /nfs/dbraw/zinc/52/17/28/601521728.db2.gz SEDGWKLRWVKLRO-GWCFXTLKSA-N -1 1 320.345 1.064 20 0 DDADMM C[C@H]1C[N@H+](Cc2cc(=O)oc3cc([O-])ccc23)C[C@@H]1C(=O)[O-] ZINC000315665251 601526637 /nfs/dbraw/zinc/52/66/37/601526637.db2.gz ZPDDOXAGSAIUTJ-ZANVPECISA-N -1 1 303.314 1.651 20 0 DDADMM C[C@H]1C[N@@H+](Cc2cc(=O)oc3cc([O-])ccc23)C[C@@H]1C(=O)[O-] ZINC000315665251 601526638 /nfs/dbraw/zinc/52/66/38/601526638.db2.gz ZPDDOXAGSAIUTJ-ZANVPECISA-N -1 1 303.314 1.651 20 0 DDADMM CSc1cccc(NC(=O)CN2C[C@H](C)[C@H](C(=O)[O-])C2)c1 ZINC000832786002 601527738 /nfs/dbraw/zinc/52/77/38/601527738.db2.gz USCZHTYOHMTQCR-GXFFZTMASA-N -1 1 308.403 2.000 20 0 DDADMM O=C([O-])C[C@H](NS(=O)(=O)c1c(F)cccc1F)C1CC1 ZINC000137195567 601573449 /nfs/dbraw/zinc/57/34/49/601573449.db2.gz DGAJNLFTSFERBW-JTQLQIEISA-N -1 1 305.302 1.496 20 0 DDADMM Cc1ccc(CNC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)cc1F ZINC000832931622 601602157 /nfs/dbraw/zinc/60/21/57/601602157.db2.gz GDCFBQYQBBJYLK-AWEZNQCLSA-N -1 1 310.369 1.839 20 0 DDADMM COc1cccc([S@](=O)Cc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000826217426 607527535 /nfs/dbraw/zinc/52/75/35/607527535.db2.gz LQHOAQACZQYTLX-JOCHJYFZSA-N -1 1 315.358 1.578 20 0 DDADMM COc1cccc([S@](=O)Cc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000826217426 607527537 /nfs/dbraw/zinc/52/75/37/607527537.db2.gz LQHOAQACZQYTLX-JOCHJYFZSA-N -1 1 315.358 1.578 20 0 DDADMM C[C@@H]1CN(CN2C(=O)NC3(CCCCCC3)C2=O)C[C@H]1C(=O)[O-] ZINC000828449089 601818580 /nfs/dbraw/zinc/81/85/80/601818580.db2.gz IWTUENYMJYCOHS-VXGBXAGGSA-N -1 1 323.393 1.241 20 0 DDADMM O=C([O-])c1cc(CNCC(=O)Nc2cccc3cccnc32)c[nH]1 ZINC000833110266 601832660 /nfs/dbraw/zinc/83/26/60/601832660.db2.gz OLTXMTHACAPZRE-UHFFFAOYSA-N -1 1 324.340 1.989 20 0 DDADMM O=[S@](Cc1ccnc(-c2nnn[n-]2)c1)c1ccc(Cl)cn1 ZINC000826496505 607530444 /nfs/dbraw/zinc/53/04/44/607530444.db2.gz YJFSWCCYRYUPLC-OAQYLSRUSA-N -1 1 320.765 1.618 20 0 DDADMM O=[S@](Cc1ccnc(-c2nn[n-]n2)c1)c1ccc(Cl)cn1 ZINC000826496505 607530445 /nfs/dbraw/zinc/53/04/45/607530445.db2.gz YJFSWCCYRYUPLC-OAQYLSRUSA-N -1 1 320.765 1.618 20 0 DDADMM CC[C@H](C)NC(=O)CN1CCCC[C@@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000739243379 601928124 /nfs/dbraw/zinc/92/81/24/601928124.db2.gz GKVTWLNYVOCIDV-MJBXVCDLSA-N -1 1 311.426 1.898 20 0 DDADMM Cc1nc(NC(=O)[C@@H](C)N2CC[C@](C)(C(=O)[O-])C2)sc1C ZINC000833002669 601930740 /nfs/dbraw/zinc/93/07/40/601930740.db2.gz COXZTCFPCPBHNM-OTYXRUKQSA-N -1 1 311.407 1.884 20 0 DDADMM Cc1cc2cn[nH]c2c(C(=O)N2CCC(CNC(=O)[O-])CC2)c1 ZINC000740054766 602249369 /nfs/dbraw/zinc/24/93/69/602249369.db2.gz VOEMRESAUNXZNN-UHFFFAOYSA-N -1 1 316.361 1.991 20 0 DDADMM COc1ccc([C@@H](NC(=O)CN2CCC(C)CC2)C(=O)[O-])cc1 ZINC000832245389 602353066 /nfs/dbraw/zinc/35/30/66/602353066.db2.gz LBGPUYJJXZNNTF-MRXNPFEDSA-N -1 1 320.389 1.669 20 0 DDADMM O=C([O-])N1CC[C@H](CCNC(=O)c2cc(F)cc3nc[nH]c32)C1 ZINC000740431319 602412273 /nfs/dbraw/zinc/41/22/73/602412273.db2.gz WNQUBVKZKVMTNS-VIFPVBQESA-N -1 1 320.324 1.822 20 0 DDADMM CC(C)N(C(=O)CN1CCC([C@H](C)NC(=O)[O-])CC1)C(C)C ZINC000739030036 602451705 /nfs/dbraw/zinc/45/17/05/602451705.db2.gz XLSYVCYEJSDEFL-ZDUSSCGKSA-N -1 1 313.442 2.000 20 0 DDADMM CN(C(=O)[O-])C1CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000737933862 602519744 /nfs/dbraw/zinc/51/97/44/602519744.db2.gz NMHBJPFUCPDLGB-UHFFFAOYSA-N -1 1 303.322 1.172 20 0 DDADMM CC(C)c1ccc([C@@H](CNC(=O)CN(C)C)NC(=O)[O-])cc1 ZINC000738880020 602574275 /nfs/dbraw/zinc/57/42/75/602574275.db2.gz YVQUPJGQVIRMAV-CQSZACIVSA-N -1 1 307.394 1.797 20 0 DDADMM COCCN1CC[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2)C1 ZINC000737812324 602574534 /nfs/dbraw/zinc/57/45/34/602574534.db2.gz NUHJYXSROIRHAZ-ZDUSSCGKSA-N -1 1 307.350 1.227 20 0 DDADMM NC(=O)[C@H]1c2ccccc2CCN1CC1CCN(C(=O)[O-])CC1 ZINC000740329346 602591867 /nfs/dbraw/zinc/59/18/67/602591867.db2.gz QFFBCEDKKXGHIU-OAHLLOKOSA-N -1 1 317.389 1.461 20 0 DDADMM CC(C)CN1CCN(C(=O)NC[C@H](NC(=O)[O-])C(C)C)CC1 ZINC000738826005 602844952 /nfs/dbraw/zinc/84/49/52/602844952.db2.gz SYXGDTKBOVBADT-ZDUSSCGKSA-N -1 1 314.430 1.262 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)NCCNc2cc[nH+]cc2)c([O-])c1 ZINC000740698678 602853425 /nfs/dbraw/zinc/85/34/25/602853425.db2.gz NMWMSQVFNZGXGX-UHFFFAOYSA-N -1 1 316.317 1.141 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)N[C@@H]1CCCN(C(=O)[O-])C1 ZINC000736397535 602914853 /nfs/dbraw/zinc/91/48/53/602914853.db2.gz YZIICQMYDUEKNC-OLZOCXBDSA-N -1 1 312.414 1.110 20 0 DDADMM Cc1cc(CNC(=O)N[C@H](CNC(=O)[O-])C2CCCCC2)n[nH]1 ZINC000740072344 602915428 /nfs/dbraw/zinc/91/54/28/602915428.db2.gz GATAPBDYVVIWOF-CYBMUJFWSA-N -1 1 323.397 1.734 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCC[C@H]1CN(C)C(=O)[O-] ZINC000829834339 603011120 /nfs/dbraw/zinc/01/11/20/603011120.db2.gz SFVYZGQTSCEBMV-ZDUSSCGKSA-N -1 1 323.397 1.435 20 0 DDADMM O=C([O-])N1CC[C@@H](C(=O)NCCN2CCc3ccccc3C2)C1 ZINC000740441891 603214799 /nfs/dbraw/zinc/21/47/99/603214799.db2.gz QCDCKRYMVJRYPS-OAHLLOKOSA-N -1 1 317.389 1.161 20 0 DDADMM C[C@@H](C(=O)N1CCCN(C)CC1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823670640 603221095 /nfs/dbraw/zinc/22/10/95/603221095.db2.gz YNYJIZBHZHACEY-HIFRSBDPSA-N -1 1 319.405 1.796 20 0 DDADMM C[C@@H]1CN(CCC(=O)NCC2CCCCC2)CCN1C(=O)[O-] ZINC000739181626 603353463 /nfs/dbraw/zinc/35/34/63/603353463.db2.gz KJBQUAXWQWHONH-CYBMUJFWSA-N -1 1 311.426 1.757 20 0 DDADMM O=C([O-])NC1(C(=O)N2CCC(c3nc[nH]n3)CC2)CCCC1 ZINC000832152182 603566201 /nfs/dbraw/zinc/56/62/01/603566201.db2.gz YLGJNQCGTPOULH-UHFFFAOYSA-N -1 1 307.354 1.091 20 0 DDADMM C[C@@H](C1CC1)N(C(=O)CN1CCC[C@@H]1CNC(=O)[O-])C1CC1 ZINC000824545495 603573660 /nfs/dbraw/zinc/57/36/60/603573660.db2.gz XZPNEGLEBSBPGO-SMDDNHRTSA-N -1 1 309.410 1.508 20 0 DDADMM CC(C)[C@H](CCN(C)C(=O)N[C@H]1CCCN(C)C1)NC(=O)[O-] ZINC000824084900 603632557 /nfs/dbraw/zinc/63/25/57/603632557.db2.gz NEWHJMZPFOXNLS-STQMWFEESA-N -1 1 314.430 1.404 20 0 DDADMM CC1CCN(C(=O)CN2CCC[C@@H](C)[C@H]2CNC(=O)[O-])CC1 ZINC000825937132 603711768 /nfs/dbraw/zinc/71/17/68/603711768.db2.gz HFSMPCKWARKENO-ZIAGYGMSSA-N -1 1 311.426 1.613 20 0 DDADMM CCCN1CCN(C(=O)[C@H]2[C@@H](C)OC(C)(C)N2C(=O)[O-])CC1 ZINC000826920202 603746314 /nfs/dbraw/zinc/74/63/14/603746314.db2.gz GKISCYHQMSCNAT-VXGBXAGGSA-N -1 1 313.398 1.044 20 0 DDADMM COC(=O)c1cccc(OCCN2CC[C@@H](N(C)C(=O)[O-])C2)c1 ZINC000828799424 603807726 /nfs/dbraw/zinc/80/77/26/603807726.db2.gz MEKJVZHXNMOJQS-CYBMUJFWSA-N -1 1 322.361 1.536 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000827816471 603943934 /nfs/dbraw/zinc/94/39/34/603943934.db2.gz VJZNVJYYHLPHGD-GHMZBOCLSA-N -1 1 306.366 1.430 20 0 DDADMM CC(C)C[C@H](CNC(=O)[O-])NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000824182827 603946875 /nfs/dbraw/zinc/94/68/75/603946875.db2.gz MKEIFHKAAORIRG-MNOVXSKESA-N -1 1 308.382 1.628 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000825280701 603947868 /nfs/dbraw/zinc/94/78/68/603947868.db2.gz JOUWQRURPBVFKM-PWSUYJOCSA-N -1 1 320.393 1.724 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N(C)[C@H]1CCN(C(=O)[O-])C1 ZINC000829833049 603953579 /nfs/dbraw/zinc/95/35/79/603953579.db2.gz DYULLOXQVUEIJL-ZETCQYMHSA-N -1 1 320.271 1.561 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2CCCc3[nH]ncc32)CC[C@H]1NC(=O)[O-] ZINC000825976080 603988855 /nfs/dbraw/zinc/98/88/55/603988855.db2.gz TVMQVWTWNQZTDE-JFGNBEQYSA-N -1 1 306.366 1.334 20 0 DDADMM CN(CC(=O)N(C)c1nccs1)C1CCN(C(=O)[O-])CC1 ZINC000827765170 603990096 /nfs/dbraw/zinc/99/00/96/603990096.db2.gz NUMZILIQPDUVDS-UHFFFAOYSA-N -1 1 312.395 1.180 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CN2C(=O)C(C)(C)C2(C)C)C1 ZINC000825262911 604026704 /nfs/dbraw/zinc/02/67/04/604026704.db2.gz CXHRRZHOGPZBFI-NWDGAFQWSA-N -1 1 311.426 1.959 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@H]2CCCN(C(=O)[O-])C2)c1 ZINC000828047074 604067036 /nfs/dbraw/zinc/06/70/36/604067036.db2.gz YARUBPHAXGYREY-LBPRGKRZSA-N -1 1 306.366 1.472 20 0 DDADMM COc1cc(NC(=O)CCc2nc[nH]n2)ccc1NC(=O)[O-] ZINC000829153882 604150147 /nfs/dbraw/zinc/15/01/47/604150147.db2.gz RMEPXLJDLAXRKT-UHFFFAOYSA-N -1 1 305.294 1.475 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@H]1NC(=O)N1CCN(CC2CC2)CC1 ZINC000832468769 604184757 /nfs/dbraw/zinc/18/47/57/604184757.db2.gz UKTKKLFLAKJNBK-UONOGXRCSA-N -1 1 324.425 1.160 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)N2CCCCCC2)C1 ZINC000825254061 604187328 /nfs/dbraw/zinc/18/73/28/604187328.db2.gz LDFRPZOSMFUEGR-UONOGXRCSA-N -1 1 311.426 1.757 20 0 DDADMM Cc1cc(CC(=O)Nc2cccc(OCCNC(=O)[O-])c2)n[nH]1 ZINC000830030100 604205020 /nfs/dbraw/zinc/20/50/20/604205020.db2.gz MMORBKSTDRLVFC-UHFFFAOYSA-N -1 1 318.333 1.546 20 0 DDADMM OC[C@@H]1CCCC[C@@H]1Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000826509032 608186714 /nfs/dbraw/zinc/18/67/14/608186714.db2.gz JUAIPAQRIPCTCG-BQBZGAKWSA-N -1 1 314.802 1.940 20 0 DDADMM OC[C@@H]1CCCC[C@@H]1Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000826509032 608186716 /nfs/dbraw/zinc/18/67/16/608186716.db2.gz JUAIPAQRIPCTCG-BQBZGAKWSA-N -1 1 314.802 1.940 20 0 DDADMM C[C@@H](N[C@@H](CNC(=O)[O-])C1CC1)c1cn(-c2ccccc2)nn1 ZINC000825473561 604361294 /nfs/dbraw/zinc/36/12/94/604361294.db2.gz RXCGIPMPXFQEOP-RISCZKNCSA-N -1 1 315.377 1.964 20 0 DDADMM O=C([O-])N[C@@H]1CCN(C(=O)c2cc(-c3ccc(F)cc3)[nH]n2)C1 ZINC000740588440 604479704 /nfs/dbraw/zinc/47/97/04/604479704.db2.gz VHTVDLWZVYJMTF-LLVKDONJSA-N -1 1 318.308 1.698 20 0 DDADMM CCC1(CC)[C@@H](OC)C[C@@H]1N(C)CC(=O)NCCC(=O)[O-] ZINC000829056515 604500663 /nfs/dbraw/zinc/50/06/63/604500663.db2.gz XCXNHHJWWGPSDB-RYUDHWBXSA-N -1 1 300.399 1.103 20 0 DDADMM CC(C)(C)Oc1cccc(CN2CCC([C@@H](O)C(=O)[O-])CC2)n1 ZINC000833447143 604561886 /nfs/dbraw/zinc/56/18/86/604561886.db2.gz CIJRCFRQYQRGAE-OAHLLOKOSA-N -1 1 322.405 1.916 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(OCC(=O)[O-])cc2)[C@@H](C)CN1C ZINC000833622798 604580134 /nfs/dbraw/zinc/58/01/34/604580134.db2.gz OEGQMOLEMLMXHF-RYUDHWBXSA-N -1 1 306.362 1.315 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)CCCN(C)C(=O)[O-])n2)o1 ZINC000830159087 604585478 /nfs/dbraw/zinc/58/54/78/604585478.db2.gz CRWWPGBZNCWQHT-UHFFFAOYSA-N -1 1 307.310 1.702 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@@H](C)CN(C)C(=O)[O-])C2)n[nH]1 ZINC000830735901 604646601 /nfs/dbraw/zinc/64/66/01/604646601.db2.gz XHCINTWTWSYEEW-ONGXEEELSA-N -1 1 309.370 1.065 20 0 DDADMM O=C([O-])CCCN(Cc1ccccc1)CN1CCOC1=S ZINC000833226869 604765525 /nfs/dbraw/zinc/76/55/25/604765525.db2.gz OXZXTTSSLLIHLX-UHFFFAOYSA-N -1 1 308.403 1.928 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1CCCN([C@H](C(=O)[O-])c2cccnc2)C1 ZINC000833420124 604870386 /nfs/dbraw/zinc/87/03/86/604870386.db2.gz AWNXADWYDZFKQM-KGLIPLIRSA-N -1 1 319.405 1.834 20 0 DDADMM C[C@@H](CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1ccncc1 ZINC000827226371 604922146 /nfs/dbraw/zinc/92/21/46/604922146.db2.gz LAZQPCPEYMYVAT-KBPBESRZSA-N -1 1 319.405 1.583 20 0 DDADMM Cc1nc2ccccn2c1CN1CCC([C@H](O)C(=O)[O-])CC1 ZINC000833756573 604984140 /nfs/dbraw/zinc/98/41/40/604984140.db2.gz NCPGNAWRYVUMMZ-HNNXBMFYSA-N -1 1 303.362 1.300 20 0 DDADMM O=C([O-])NCC1(C(=O)N[C@@H]2CCCc3cn[nH]c32)CCCC1 ZINC000832432968 605129256 /nfs/dbraw/zinc/12/92/56/605129256.db2.gz CWCIAFFGBDUYAL-LLVKDONJSA-N -1 1 306.366 1.731 20 0 DDADMM CN(CC(=O)N(C)[C@@H](C(=O)[O-])c1ccccc1)[C@H]1CCSC1 ZINC000833690791 605213491 /nfs/dbraw/zinc/21/34/91/605213491.db2.gz DMHVWGWPZVSXDE-DZGCQCFKSA-N -1 1 322.430 1.708 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCc1nnc(C2CC2)n1C1CC1 ZINC000833722185 605266786 /nfs/dbraw/zinc/26/67/86/605266786.db2.gz MSBMJVIBILKZBQ-HNNXBMFYSA-N -1 1 308.382 1.460 20 0 DDADMM COc1cc(NS(=O)(=O)Cc2cccc(C(=O)[O-])c2)ncn1 ZINC000833730152 605267137 /nfs/dbraw/zinc/26/71/37/605267137.db2.gz YIZFQKJTBSKTNR-UHFFFAOYSA-N -1 1 323.330 1.125 20 0 DDADMM C[C@H]1CN(C(=O)/C=C/c2cccc(F)c2)CCN1CCC(=O)[O-] ZINC000833617151 605276674 /nfs/dbraw/zinc/27/66/74/605276674.db2.gz AXKWHHNXQGGKMW-GFUIURDCSA-N -1 1 320.364 1.846 20 0 DDADMM CCn1cc(CN(C)Cc2ccc(OCC(=O)[O-])cc2)cn1 ZINC000833682583 605297905 /nfs/dbraw/zinc/29/79/05/605297905.db2.gz QHKJTDHWANDGBZ-UHFFFAOYSA-N -1 1 303.362 1.998 20 0 DDADMM CCCN(C[C@H]1CC(C(=O)[O-])=C(C)O1)[C@H]1CCS(=O)(=O)C1 ZINC000833651084 605322037 /nfs/dbraw/zinc/32/20/37/605322037.db2.gz MJVGOPOFYSEZAL-NWDGAFQWSA-N -1 1 317.407 1.033 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCCN(CC(F)F)CC2)O1 ZINC000833580373 605377161 /nfs/dbraw/zinc/37/71/61/605377161.db2.gz QCVYKHPIKKQAEO-NSHDSACASA-N -1 1 304.337 1.407 20 0 DDADMM O=C([O-])N[C@H]1CCCN(Cc2ccccc2N2CCOCC2)C1 ZINC000834184757 605492429 /nfs/dbraw/zinc/49/24/29/605492429.db2.gz WECMAQNYMDYFGM-HNNXBMFYSA-N -1 1 319.405 1.755 20 0 DDADMM CCNC(=O)[C@@H](C)N[C@H](C)c1ccc(CNC(=O)[O-])nc1C ZINC000833860212 605566763 /nfs/dbraw/zinc/56/67/63/605566763.db2.gz OLUSIDKKLXQBJX-MWLCHTKSSA-N -1 1 308.382 1.333 20 0 DDADMM Cc1nn2c(CN3CC[C@@H]([C@@H](C)NC(=O)[O-])C3)c(C)nc2s1 ZINC000833919931 605654935 /nfs/dbraw/zinc/65/49/35/605654935.db2.gz BHNYUGWFFOAZOK-LDYMZIIASA-N -1 1 323.422 1.886 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CC[C@@H](CN(C)C(=O)[O-])C2)n[nH]1 ZINC000830029503 605697321 /nfs/dbraw/zinc/69/73/21/605697321.db2.gz HTPRJZQEDFAXLD-PWSUYJOCSA-N -1 1 323.397 1.290 20 0 DDADMM O=C([O-])Nc1cccc(CC(=O)N[C@H]2CCc3nc[nH]c3C2)c1 ZINC000834266715 605833812 /nfs/dbraw/zinc/83/38/12/605833812.db2.gz ILHNXYJPYQJXJS-LBPRGKRZSA-N -1 1 314.345 1.716 20 0 DDADMM C[C@H](C[C@H]1CCCCCN1C(=O)[O-])NCc1nnnn1C1CC1 ZINC000833802011 605944736 /nfs/dbraw/zinc/94/47/36/605944736.db2.gz ADAPYXITYSNEOG-DGCLKSJQSA-N -1 1 322.413 1.799 20 0 DDADMM O=C([O-])N1CCC(CCC(=O)N2CCN(CC3CC3)CC2)CC1 ZINC000834076542 606016128 /nfs/dbraw/zinc/01/61/28/606016128.db2.gz RLVFRHSZWTZYJG-UHFFFAOYSA-N -1 1 323.437 1.711 20 0 DDADMM Cc1noc(C)c1CCN(C)CCN1CCN(C(=O)[O-])CC1 ZINC000833932337 606090779 /nfs/dbraw/zinc/09/07/79/606090779.db2.gz XHRCZTDRKPTMBX-UHFFFAOYSA-N -1 1 310.398 1.061 20 0 DDADMM O=C(Nc1cccc(CO)c1)c1ccc(-c2nnn[n-]2)s1 ZINC000823363583 606131736 /nfs/dbraw/zinc/13/17/36/606131736.db2.gz HFXLWHYGWZVVNC-UHFFFAOYSA-N -1 1 301.331 1.673 20 0 DDADMM O=C(Nc1cccc(CO)c1)c1ccc(-c2nn[n-]n2)s1 ZINC000823363583 606131737 /nfs/dbraw/zinc/13/17/37/606131737.db2.gz HFXLWHYGWZVVNC-UHFFFAOYSA-N -1 1 301.331 1.673 20 0 DDADMM CCSCC[C@@H](C)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821461934 606170016 /nfs/dbraw/zinc/17/00/16/606170016.db2.gz WEGHINWBRHECSH-MRVPVSSYSA-N -1 1 324.414 1.253 20 0 DDADMM CCSCC[C@@H](C)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821461934 606170017 /nfs/dbraw/zinc/17/00/17/606170017.db2.gz WEGHINWBRHECSH-MRVPVSSYSA-N -1 1 324.414 1.253 20 0 DDADMM CC[C@H](C)N(C)C(=O)c1cc(-c2nn[n-]n2)c(SC)[nH]c1=O ZINC000820876696 606294011 /nfs/dbraw/zinc/29/40/11/606294011.db2.gz SBWSPSNRYMAHCP-ZETCQYMHSA-N -1 1 322.394 1.560 20 0 DDADMM C[C@H]1CCCN1S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000820716986 606431616 /nfs/dbraw/zinc/43/16/16/606431616.db2.gz NTHJSRSSRCEWFQ-QMMMGPOBSA-N -1 1 311.342 1.179 20 0 DDADMM C[C@H]1CCCN1S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000820716986 606431618 /nfs/dbraw/zinc/43/16/18/606431618.db2.gz NTHJSRSSRCEWFQ-QMMMGPOBSA-N -1 1 311.342 1.179 20 0 DDADMM COC(=O)C1(NC(=O)c2ccc(-c3nnn[n-]3)s2)CCCC1 ZINC000821654132 606501699 /nfs/dbraw/zinc/50/16/99/606501699.db2.gz VBQKZWRRUIXCQT-UHFFFAOYSA-N -1 1 321.362 1.144 20 0 DDADMM COC(=O)C1(NC(=O)c2ccc(-c3nn[n-]n3)s2)CCCC1 ZINC000821654132 606501700 /nfs/dbraw/zinc/50/17/00/606501700.db2.gz VBQKZWRRUIXCQT-UHFFFAOYSA-N -1 1 321.362 1.144 20 0 DDADMM Cc1ccsc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822379941 606517288 /nfs/dbraw/zinc/51/72/88/606517288.db2.gz WITMVEKYUFIUEA-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM Cc1ccsc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822379941 606517290 /nfs/dbraw/zinc/51/72/90/606517290.db2.gz WITMVEKYUFIUEA-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM O=C(NC[C@@H](O)C1CCCCC1)c1ccc(-c2nnn[n-]2)s1 ZINC000823167168 606595661 /nfs/dbraw/zinc/59/56/61/606595661.db2.gz YJDXOUDDGWGBON-SNVBAGLBSA-N -1 1 321.406 1.599 20 0 DDADMM O=C(NC[C@@H](O)C1CCCCC1)c1ccc(-c2nn[n-]n2)s1 ZINC000823167168 606595662 /nfs/dbraw/zinc/59/56/62/606595662.db2.gz YJDXOUDDGWGBON-SNVBAGLBSA-N -1 1 321.406 1.599 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCc2ccccc2F)n1 ZINC000822620554 606596204 /nfs/dbraw/zinc/59/62/04/606596204.db2.gz WQMPVTBAYIUJCK-UHFFFAOYSA-N -1 1 316.300 1.061 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCc2ccccc2F)n1 ZINC000822620554 606596206 /nfs/dbraw/zinc/59/62/06/606596206.db2.gz WQMPVTBAYIUJCK-UHFFFAOYSA-N -1 1 316.300 1.061 20 0 DDADMM CC(C)CC[C@@H](C)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820073906 606596684 /nfs/dbraw/zinc/59/66/84/606596684.db2.gz WSQBRXWOKMUXLJ-SECBINFHSA-N -1 1 306.374 1.546 20 0 DDADMM CC(C)CC[C@@H](C)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820073906 606596686 /nfs/dbraw/zinc/59/66/86/606596686.db2.gz WSQBRXWOKMUXLJ-SECBINFHSA-N -1 1 306.374 1.546 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)[C@H]1COc2ccccc21 ZINC000823435861 606647726 /nfs/dbraw/zinc/64/77/26/606647726.db2.gz BRRABHCXTMGVKQ-LBPRGKRZSA-N -1 1 323.312 1.481 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)[C@H]1COc2ccccc21 ZINC000823435861 606647727 /nfs/dbraw/zinc/64/77/27/606647727.db2.gz BRRABHCXTMGVKQ-LBPRGKRZSA-N -1 1 323.312 1.481 20 0 DDADMM CC[C@@H](CCO)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000820903701 606724650 /nfs/dbraw/zinc/72/46/50/606724650.db2.gz WMTLJLVQBRKBNT-JTQLQIEISA-N -1 1 313.365 1.630 20 0 DDADMM CC[C@@H](CCO)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000820903701 606724651 /nfs/dbraw/zinc/72/46/51/606724651.db2.gz WMTLJLVQBRKBNT-JTQLQIEISA-N -1 1 313.365 1.630 20 0 DDADMM C[C@@H](NCc1ccc(-c2nn[n-]n2)s1)C(=O)OC(C)(C)C ZINC000820432037 606920564 /nfs/dbraw/zinc/92/05/64/606920564.db2.gz KUOBYHARRQYPIJ-MRVPVSSYSA-N -1 1 309.395 1.748 20 0 DDADMM Cc1noc(CCCOC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000822528128 606950021 /nfs/dbraw/zinc/95/00/21/606950021.db2.gz TZEKYCMJIKALIR-UHFFFAOYSA-N -1 1 320.334 1.409 20 0 DDADMM Cc1noc(CCCOC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000822528128 606950022 /nfs/dbraw/zinc/95/00/22/606950022.db2.gz TZEKYCMJIKALIR-UHFFFAOYSA-N -1 1 320.334 1.409 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1ccc(Cl)nc1 ZINC000823380419 607024808 /nfs/dbraw/zinc/02/48/08/607024808.db2.gz XIHVCLHGZYXNFE-UHFFFAOYSA-N -1 1 307.726 1.624 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1ccc(Cl)nc1 ZINC000823380419 607024810 /nfs/dbraw/zinc/02/48/10/607024810.db2.gz XIHVCLHGZYXNFE-UHFFFAOYSA-N -1 1 307.726 1.624 20 0 DDADMM C[C@H]1OCCN(c2snc(Cl)c2-c2nnn[n-]2)[C@@H]1C ZINC000820818931 607029098 /nfs/dbraw/zinc/02/90/98/607029098.db2.gz CNZWNNXPKRXICQ-PHDIDXHHSA-N -1 1 300.775 1.590 20 0 DDADMM C[C@H]1OCCN(c2snc(Cl)c2-c2nn[n-]n2)[C@@H]1C ZINC000820818931 607029100 /nfs/dbraw/zinc/02/91/00/607029100.db2.gz CNZWNNXPKRXICQ-PHDIDXHHSA-N -1 1 300.775 1.590 20 0 DDADMM C[C@H](CC(=O)OCc1ccnc(-c2nnn[n-]2)c1)c1ccncc1 ZINC000824394828 607856197 /nfs/dbraw/zinc/85/61/97/607856197.db2.gz YWSUTDRIROLTCA-LLVKDONJSA-N -1 1 324.344 1.894 20 0 DDADMM C[C@H](CC(=O)OCc1ccnc(-c2nn[n-]n2)c1)c1ccncc1 ZINC000824394828 607856198 /nfs/dbraw/zinc/85/61/98/607856198.db2.gz YWSUTDRIROLTCA-LLVKDONJSA-N -1 1 324.344 1.894 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1csc(C2CC2)n1 ZINC000826501618 607973093 /nfs/dbraw/zinc/97/30/93/607973093.db2.gz ZEBCKNQYYCVIAB-UHFFFAOYSA-N -1 1 300.347 1.411 20 0 DDADMM COc1cccc(F)c1[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826216679 607991212 /nfs/dbraw/zinc/99/12/12/607991212.db2.gz JPIHCLJDIHQHJP-QMMMGPOBSA-N -1 1 315.312 1.978 20 0 DDADMM COc1cccc(F)c1[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826216679 607991213 /nfs/dbraw/zinc/99/12/13/607991213.db2.gz JPIHCLJDIHQHJP-QMMMGPOBSA-N -1 1 315.312 1.978 20 0 DDADMM C[C@]1(NC(=O)c2ccc(-c3nnn[n-]3)s2)CCO[C@@H]1C1CC1 ZINC000824830156 608140583 /nfs/dbraw/zinc/14/05/83/608140583.db2.gz IGKRHMXIEVHTSF-RISCZKNCSA-N -1 1 319.390 1.616 20 0 DDADMM C[C@]1(NC(=O)c2ccc(-c3nn[n-]n3)s2)CCO[C@@H]1C1CC1 ZINC000824830156 608140584 /nfs/dbraw/zinc/14/05/84/608140584.db2.gz IGKRHMXIEVHTSF-RISCZKNCSA-N -1 1 319.390 1.616 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)nc1)Cc1ccccc1 ZINC000826415950 608147121 /nfs/dbraw/zinc/14/71/21/608147121.db2.gz ARIIMZXLCPZSOM-UHFFFAOYSA-N -1 1 323.312 1.230 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)nc1)Cc1ccccc1 ZINC000826415950 608147122 /nfs/dbraw/zinc/14/71/22/608147122.db2.gz ARIIMZXLCPZSOM-UHFFFAOYSA-N -1 1 323.312 1.230 20 0 DDADMM CN(C)C(=O)CCCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000825841736 608178828 /nfs/dbraw/zinc/17/88/28/608178828.db2.gz ZGBBGRXLAILTTQ-UHFFFAOYSA-N -1 1 315.790 1.257 20 0 DDADMM CN(C)C(=O)CCCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000825841736 608178831 /nfs/dbraw/zinc/17/88/31/608178831.db2.gz ZGBBGRXLAILTTQ-UHFFFAOYSA-N -1 1 315.790 1.257 20 0 DDADMM c1cncc(CC2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)c1 ZINC000826525753 608196352 /nfs/dbraw/zinc/19/63/52/608196352.db2.gz XSHJYTHUHASXQJ-UHFFFAOYSA-N -1 1 322.376 1.511 20 0 DDADMM c1cncc(CC2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)c1 ZINC000826525753 608196353 /nfs/dbraw/zinc/19/63/53/608196353.db2.gz XSHJYTHUHASXQJ-UHFFFAOYSA-N -1 1 322.376 1.511 20 0 DDADMM c1ccc2c(c1)OCC[C@H]2CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826524424 608417352 /nfs/dbraw/zinc/41/73/52/608417352.db2.gz UVTGUUYQSIIOKT-JTQLQIEISA-N -1 1 309.333 1.635 20 0 DDADMM c1ccc2c(c1)OCC[C@H]2CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826524424 608417354 /nfs/dbraw/zinc/41/73/54/608417354.db2.gz UVTGUUYQSIIOKT-JTQLQIEISA-N -1 1 309.333 1.635 20 0 DDADMM CCn1ncc2c1CCC[C@@H]2Nc1ccc(-c2nnn[n-]2)nn1 ZINC000825807453 608427649 /nfs/dbraw/zinc/42/76/49/608427649.db2.gz QZPKVMZVHNBOQH-JTQLQIEISA-N -1 1 311.353 1.363 20 0 DDADMM CCn1ncc2c1CCC[C@@H]2Nc1ccc(-c2nn[n-]n2)nn1 ZINC000825807453 608427651 /nfs/dbraw/zinc/42/76/51/608427651.db2.gz QZPKVMZVHNBOQH-JTQLQIEISA-N -1 1 311.353 1.363 20 0 DDADMM Cn1cc([C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C(C)(C)C)cn1 ZINC000826360006 608430446 /nfs/dbraw/zinc/43/04/46/608430446.db2.gz VFEPVZYJJPOJLV-GFCCVEGCSA-N -1 1 313.369 1.590 20 0 DDADMM Cn1cc([C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C(C)(C)C)cn1 ZINC000826360006 608430448 /nfs/dbraw/zinc/43/04/48/608430448.db2.gz VFEPVZYJJPOJLV-GFCCVEGCSA-N -1 1 313.369 1.590 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@@H]1CCOC2(CCCCC2)C1 ZINC000826514661 608434770 /nfs/dbraw/zinc/43/47/70/608434770.db2.gz YPDOVRUPUSRMQY-LLVKDONJSA-N -1 1 315.381 1.951 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@@H]1CCOC2(CCCCC2)C1 ZINC000826514661 608434772 /nfs/dbraw/zinc/43/47/72/608434772.db2.gz YPDOVRUPUSRMQY-LLVKDONJSA-N -1 1 315.381 1.951 20 0 DDADMM CC1(C)CC[C@H](NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000824802710 608563352 /nfs/dbraw/zinc/56/33/52/608563352.db2.gz OIVFGRMRLQYWHL-VIFPVBQESA-N -1 1 322.394 1.119 20 0 DDADMM CC1(C)CC[C@H](NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000824802710 608563353 /nfs/dbraw/zinc/56/33/53/608563353.db2.gz OIVFGRMRLQYWHL-VIFPVBQESA-N -1 1 322.394 1.119 20 0 DDADMM COC(=O)[C@H](NCc1ccc(-c2nn[n-]n2)s1)C(C)(C)C ZINC000826111666 609201028 /nfs/dbraw/zinc/20/10/28/609201028.db2.gz JOKLLHMJBDCVDW-JTQLQIEISA-N -1 1 309.395 1.606 20 0 DDADMM O=C(NC[C@@H]1CCCCS1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826434989 609513284 /nfs/dbraw/zinc/51/32/84/609513284.db2.gz DDSRORRRMCSBEO-JTQLQIEISA-N -1 1 304.379 1.277 20 0 DDADMM O=C(NC[C@@H]1CCCCS1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826434989 609513286 /nfs/dbraw/zinc/51/32/86/609513286.db2.gz DDSRORRRMCSBEO-JTQLQIEISA-N -1 1 304.379 1.277 20 0 DDADMM CS[C@@H](CNC(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)(C)C ZINC000826226116 609549706 /nfs/dbraw/zinc/54/97/06/609549706.db2.gz SKXIIXLUZAEDEW-NSHDSACASA-N -1 1 320.422 1.769 20 0 DDADMM CS[C@@H](CNC(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)(C)C ZINC000826226116 609549709 /nfs/dbraw/zinc/54/97/09/609549709.db2.gz SKXIIXLUZAEDEW-NSHDSACASA-N -1 1 320.422 1.769 20 0 DDADMM CC(C)[C@H](CNC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000120911097 696703741 /nfs/dbraw/zinc/70/37/41/696703741.db2.gz AQBKIIMHNDDTJX-QWHCGFSZSA-N -1 1 319.430 1.889 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)COC2CCOCC2)c1 ZINC000745055743 699969592 /nfs/dbraw/zinc/96/95/92/699969592.db2.gz VAEMLXVQFWCSQX-UHFFFAOYSA-N -1 1 322.357 1.876 20 0 DDADMM C[C@@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C(F)(F)F ZINC000745616559 699987023 /nfs/dbraw/zinc/98/70/23/699987023.db2.gz LLFFZOOGCCEIDH-QMMMGPOBSA-N -1 1 303.236 1.685 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1)c1ncccc1[O-] ZINC000973136716 695429503 /nfs/dbraw/zinc/42/95/03/695429503.db2.gz AAMIJNMOAVAOTQ-SIHWPTBPSA-N -1 1 315.373 1.210 20 0 DDADMM O=C([O-])[C@@H](CC(F)(F)F)NC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000797724101 700021016 /nfs/dbraw/zinc/02/10/16/700021016.db2.gz JISAHCDCFCTUMX-JGVFFNPUSA-N -1 1 320.271 1.492 20 0 DDADMM CC(=O)OCCNC(=O)c1cc(Br)ccc1[O-] ZINC000798136749 700042654 /nfs/dbraw/zinc/04/26/54/700042654.db2.gz JRVSKJNBXOEQKP-UHFFFAOYSA-N -1 1 302.124 1.448 20 0 DDADMM Cc1ccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cc1O ZINC000010980406 696042480 /nfs/dbraw/zinc/04/24/80/696042480.db2.gz YEGHHANKOWRIDZ-UHFFFAOYSA-N -1 1 313.309 1.814 20 0 DDADMM CCCN(CCS(=O)(=O)c1ccc(Cl)cc1)CC(=O)[O-] ZINC000038021932 696135424 /nfs/dbraw/zinc/13/54/24/696135424.db2.gz VJXRJZBGBZDIBU-UHFFFAOYSA-N -1 1 319.810 1.910 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCC[C@@H](C(N)=O)C1 ZINC000747400340 700068194 /nfs/dbraw/zinc/06/81/94/700068194.db2.gz ZCADGGUIESFZFL-GHMZBOCLSA-N -1 1 320.393 1.388 20 0 DDADMM Cc1nn(C)c2sc(C(=O)[N-]NC(=O)C3CCCC3)cc12 ZINC000047451754 696197810 /nfs/dbraw/zinc/19/78/10/696197810.db2.gz HWMXULRAPOCOFW-UHFFFAOYSA-N -1 1 306.391 1.894 20 0 DDADMM CN(C[C@H]1CCN1C(=O)C(C)(F)F)C(=O)c1ncccc1[O-] ZINC000977602013 696230502 /nfs/dbraw/zinc/23/05/02/696230502.db2.gz ROFSMNUYXMSMPQ-SECBINFHSA-N -1 1 313.304 1.115 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ccoc1)C(=O)c1ncccc1[O-] ZINC000977617825 696236345 /nfs/dbraw/zinc/23/63/45/696236345.db2.gz MDNWPTWXNIEETO-GFCCVEGCSA-N -1 1 315.329 1.367 20 0 DDADMM CC(=O)N1CC[C@@H]1CN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000978124795 696326972 /nfs/dbraw/zinc/32/69/72/696326972.db2.gz BBJFFBPIZDMIOE-GFCCVEGCSA-N -1 1 320.393 1.307 20 0 DDADMM CCO[C@@H](CC)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000064196565 696337097 /nfs/dbraw/zinc/33/70/97/696337097.db2.gz URDZTGDCLPYJRP-ZDUSSCGKSA-N -1 1 323.345 1.310 20 0 DDADMM CCc1ncc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)s1 ZINC000065642932 696345858 /nfs/dbraw/zinc/34/58/58/696345858.db2.gz ZFXOODVOFYLQIO-UHFFFAOYSA-N -1 1 318.354 1.819 20 0 DDADMM Cn1c(CCNC(=O)[C@H]2C[C@@H]2c2ccccc2)n[n-]c1=S ZINC000067051386 696358540 /nfs/dbraw/zinc/35/85/40/696358540.db2.gz CAGAEADQMHCYFI-NEPJUHHUSA-N -1 1 302.403 1.940 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=S)NCc2cccs2)n1 ZINC000747654066 700080123 /nfs/dbraw/zinc/08/01/23/700080123.db2.gz JNWZOCNLQWEHSG-UHFFFAOYSA-N -1 1 309.420 1.846 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)CC1(O)CCCCC1 ZINC000073997110 696409809 /nfs/dbraw/zinc/40/98/09/696409809.db2.gz YVQPBXQRLMZBDX-UHFFFAOYSA-N -1 1 312.439 1.705 20 0 DDADMM C=CCN(Cc1ccccc1)C(=O)Cc1sc(N)nc1[O-] ZINC000079514268 696461465 /nfs/dbraw/zinc/46/14/65/696461465.db2.gz OPLJCYPEHSUSAX-LBPRGKRZSA-N -1 1 303.387 1.548 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H]2CCc3cc(F)ccc32)s1 ZINC000079742895 696463001 /nfs/dbraw/zinc/46/30/01/696463001.db2.gz SNPCKHKLTZVHKC-GHMZBOCLSA-N -1 1 307.350 1.276 20 0 DDADMM CC[C@](C)(NC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000080117105 696530752 /nfs/dbraw/zinc/53/07/52/696530752.db2.gz QDZGNHAZRUVTEG-ABAIWWIYSA-N -1 1 305.403 1.775 20 0 DDADMM Cn1nc(CC(C)(C)C)cc1NC(=O)Cc1sc(N)nc1[O-] ZINC000080127749 696530967 /nfs/dbraw/zinc/53/09/67/696530967.db2.gz BAPDRQDRIINJET-VIFPVBQESA-N -1 1 323.422 1.294 20 0 DDADMM Cc1ccc([C@@H](C)CNC(=O)Cc2sc(N)nc2[O-])cc1 ZINC000080396709 696534330 /nfs/dbraw/zinc/53/43/30/696534330.db2.gz OIPKNUWFULTHQS-JQWIXIFHSA-N -1 1 305.403 1.562 20 0 DDADMM CCO[C@H]1CCCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000080606885 696536209 /nfs/dbraw/zinc/53/62/09/696536209.db2.gz AAKFUUMRZIRIRX-LBPRGKRZSA-N -1 1 307.394 1.843 20 0 DDADMM C[C@@](O)(CNC(=O)c1ncccc1[O-])c1ccc(F)cc1F ZINC000114552890 696661697 /nfs/dbraw/zinc/66/16/97/696661697.db2.gz GWXMQMPIRWRKRU-OAHLLOKOSA-N -1 1 308.284 1.703 20 0 DDADMM O=C(NCC[S@@](=O)Cc1ccccc1)c1cncc([O-])c1 ZINC000116976217 696669610 /nfs/dbraw/zinc/66/96/10/696669610.db2.gz SPWUKHOZHIAQMD-NRFANRHFSA-N -1 1 304.371 1.466 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(F)cc1F ZINC000120805103 696701729 /nfs/dbraw/zinc/70/17/29/696701729.db2.gz OEWNJXPNLFAHSA-LHLIQPBNSA-N -1 1 313.329 1.489 20 0 DDADMM Cc1nc2cc(NC(=O)Cc3sc(N)nc3[O-])ccc2o1 ZINC000120830764 696702097 /nfs/dbraw/zinc/70/20/97/696702097.db2.gz ULJMHOCXENSVNZ-SNVBAGLBSA-N -1 1 304.331 1.422 20 0 DDADMM CC1(C)C[C@H](NC(=O)Cc2sc(N)nc2[O-])c2ccccc21 ZINC000124078201 696738690 /nfs/dbraw/zinc/73/86/90/696738690.db2.gz VCMKEDJXIBJIEW-RYUDHWBXSA-N -1 1 317.414 1.872 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cccc[n+]1[O-] ZINC000156346025 696962031 /nfs/dbraw/zinc/96/20/31/696962031.db2.gz OITORHSAUUJJPE-UHFFFAOYSA-N -1 1 310.107 1.435 20 0 DDADMM O=C1OCc2ccc(S(=O)(=O)[N-]c3ncccc3F)cc21 ZINC000180260153 697446961 /nfs/dbraw/zinc/44/69/61/697446961.db2.gz PMOUREFUPACECZ-UHFFFAOYSA-N -1 1 308.290 1.692 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(C(F)(F)F)c(F)c1 ZINC000189043209 697569793 /nfs/dbraw/zinc/56/97/93/697569793.db2.gz RRUZPAXRZLXQET-UHFFFAOYSA-N -1 1 305.187 1.041 20 0 DDADMM Cc1ccccc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000985904190 697599531 /nfs/dbraw/zinc/59/95/31/697599531.db2.gz SNVHKHIUJIAILA-YPMHNXCESA-N -1 1 315.377 1.212 20 0 DDADMM O=C(NCc1cccc([N+](=O)[O-])c1)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000193481738 697669026 /nfs/dbraw/zinc/66/90/26/697669026.db2.gz SPFADFIKESLLCP-UHFFFAOYSA-N -1 1 318.245 1.534 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1)C1=COCCO1 ZINC000773066124 697710064 /nfs/dbraw/zinc/71/00/64/697710064.db2.gz QUOXYWBEFUENQD-UHFFFAOYSA-N -1 1 322.708 1.657 20 0 DDADMM O=C(Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1)C1=COCCO1 ZINC000773066124 697710067 /nfs/dbraw/zinc/71/00/67/697710067.db2.gz QUOXYWBEFUENQD-UHFFFAOYSA-N -1 1 322.708 1.657 20 0 DDADMM O=C(c1ccc(Cl)cc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773157166 697720371 /nfs/dbraw/zinc/72/03/71/697720371.db2.gz HIFQTBFRVJDTQI-SNVBAGLBSA-N -1 1 309.782 1.783 20 0 DDADMM CCCCS[C@H](C)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773160156 697721114 /nfs/dbraw/zinc/72/11/14/697721114.db2.gz IKOHLFMSLIHIEP-ZJUUUORDSA-N -1 1 315.468 1.738 20 0 DDADMM Cn1cc(Cl)cc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773160626 697721255 /nfs/dbraw/zinc/72/12/55/697721255.db2.gz NMWUIEGEEPWOMT-SECBINFHSA-N -1 1 312.786 1.122 20 0 DDADMM O=C(CCc1cccs1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773165035 697722753 /nfs/dbraw/zinc/72/27/53/697722753.db2.gz YKWZDXCXTKOPBD-JTQLQIEISA-N -1 1 309.420 1.511 20 0 DDADMM CCCCNC(=O)NC(=O)COC(=O)c1ccc([O-])cc1F ZINC000773575320 697783076 /nfs/dbraw/zinc/78/30/76/697783076.db2.gz XVVWQSNYQNMVNW-UHFFFAOYSA-N -1 1 312.297 1.314 20 0 DDADMM CCN(CC(=O)NC)C(=O)c1cc(Br)ccc1[O-] ZINC000231326693 697786039 /nfs/dbraw/zinc/78/60/39/697786039.db2.gz QSVQZNICMCJLHJ-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM COc1ccccc1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773706284 697796278 /nfs/dbraw/zinc/79/62/78/697796278.db2.gz ATKXBEIRDLXGGC-UHFFFAOYSA-N -1 1 314.370 1.957 20 0 DDADMM Cc1nc(CNC(=O)C(=O)c2ccc([O-])cc2)ccc1[C@@H](C)O ZINC000774087627 697841718 /nfs/dbraw/zinc/84/17/18/697841718.db2.gz FTTJASUCCACVNW-LLVKDONJSA-N -1 1 314.341 1.648 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CC(C)(C)C1 ZINC000986702224 697880677 /nfs/dbraw/zinc/88/06/77/697880677.db2.gz JWIBIVHOVMTPFM-JQWIXIFHSA-N -1 1 321.425 1.416 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)C[C@H]2CCOC2)co1 ZINC000774751827 697923358 /nfs/dbraw/zinc/92/33/58/697923358.db2.gz SJKZRVXPQWIQIV-NXEZZACHSA-N -1 1 317.363 1.160 20 0 DDADMM O=C(CN1CCCCCC1=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774880501 697937365 /nfs/dbraw/zinc/93/73/65/697937365.db2.gz KGYBXPILHYLKJE-UHFFFAOYSA-N -1 1 324.299 1.001 20 0 DDADMM O=C([N-]CCCOC(=O)c1ccc(CO)cc1)C(F)(F)F ZINC000774887245 697938631 /nfs/dbraw/zinc/93/86/31/697938631.db2.gz DWNIICXGNLQVEW-UHFFFAOYSA-N -1 1 305.252 1.404 20 0 DDADMM Cn1cc(/C=C/C(=O)OCCC[N-]C(=O)C(F)(F)F)cn1 ZINC000774907254 697940354 /nfs/dbraw/zinc/94/03/54/697940354.db2.gz JOENNLPKTMMKFI-ONEGZZNKSA-N -1 1 305.256 1.045 20 0 DDADMM Cc1noc(CCCC(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000774913693 697941720 /nfs/dbraw/zinc/94/17/20/697941720.db2.gz LRLITGVCSVYEEQ-UHFFFAOYSA-N -1 1 323.271 1.313 20 0 DDADMM O=C(COc1ccc(O)cc1)OCCC[N-]C(=O)C(F)(F)F ZINC000774951849 697946605 /nfs/dbraw/zinc/94/66/05/697946605.db2.gz SBCBTOMVHICBRP-UHFFFAOYSA-N -1 1 321.251 1.383 20 0 DDADMM Cn1nc(C(=O)OCCC[N-]C(=O)C(F)(F)F)cc1C1CC1 ZINC000774963498 697948502 /nfs/dbraw/zinc/94/85/02/697948502.db2.gz RTADJRURGKICIE-UHFFFAOYSA-N -1 1 319.283 1.523 20 0 DDADMM COc1cccc([N-]S(=O)(=O)c2c(C)nn(C)c2C)c1F ZINC000775289225 697978833 /nfs/dbraw/zinc/97/88/33/697978833.db2.gz UAJAMMQHYFYUHD-UHFFFAOYSA-N -1 1 313.354 1.985 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CC12CC2 ZINC000987679703 698196134 /nfs/dbraw/zinc/19/61/34/698196134.db2.gz IOSNUXKTORUHKU-UTUOFQBUSA-N -1 1 315.373 1.307 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CCO[C@@H](C)C2)co1 ZINC000778363353 698268685 /nfs/dbraw/zinc/26/86/85/698268685.db2.gz CBTFFJUSAVPTSG-VHSXEESVSA-N -1 1 317.363 1.160 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCO[C@@H](C)C2)o1 ZINC000778366184 698269121 /nfs/dbraw/zinc/26/91/21/698269121.db2.gz NLUSJMVSJLSUEN-VHSXEESVSA-N -1 1 317.363 1.160 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2cc[nH]c2)CCN1C(=O)c1ncccc1[O-] ZINC000988481732 698385723 /nfs/dbraw/zinc/38/57/23/698385723.db2.gz MZCXSDUOVXFFJY-PWSUYJOCSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@H]1C[C@H](NCc2csnn2)CN1C(=O)c1ncccc1[O-] ZINC000988686709 698436871 /nfs/dbraw/zinc/43/68/71/698436871.db2.gz VWPWTPBYFDOEMO-UWVGGRQHSA-N -1 1 319.390 1.032 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000780449564 698519543 /nfs/dbraw/zinc/51/95/43/698519543.db2.gz DJFXPNOSKFUISK-ZDUSSCGKSA-N -1 1 311.357 1.545 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CC2(CCC2)C1 ZINC000989325699 698586464 /nfs/dbraw/zinc/58/64/64/698586464.db2.gz IOVMALUQOIGTFV-CMPLNLGQSA-N -1 1 319.409 1.170 20 0 DDADMM COCCO[C@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000781698489 698641641 /nfs/dbraw/zinc/64/16/41/698641641.db2.gz BMTLSDRLYZERHB-LBPRGKRZSA-N -1 1 323.393 1.492 20 0 DDADMM CCN(CCC(=O)OC)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000314424943 698689246 /nfs/dbraw/zinc/68/92/46/698689246.db2.gz FAISKZPNTNDVEU-UHFFFAOYSA-N -1 1 309.366 1.639 20 0 DDADMM O=C(CCCc1ccsc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000783591663 698854763 /nfs/dbraw/zinc/85/47/63/698854763.db2.gz NMTJJHOOVCQACG-LBPRGKRZSA-N -1 1 305.407 1.990 20 0 DDADMM Cc1cc(CC(=O)[N-]OC[C@@H](C)NC(=O)OC(C)(C)C)[nH]n1 ZINC000783659914 698865770 /nfs/dbraw/zinc/86/57/70/698865770.db2.gz BWYMIRKNVASHPB-SNVBAGLBSA-N -1 1 312.370 1.222 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(C(F)(F)F)c(F)c2)nc1=O ZINC000784249583 698920810 /nfs/dbraw/zinc/92/08/10/698920810.db2.gz MHVUUZRQESYGHQ-UHFFFAOYSA-N -1 1 319.214 1.623 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@]1(C)CCO[C@@H]1C ZINC000784398831 698936066 /nfs/dbraw/zinc/93/60/66/698936066.db2.gz ULDJMIKGSJBNPC-KCJUWKMLSA-N -1 1 307.803 1.228 20 0 DDADMM CCN(CC(=O)NC)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000785411713 699074645 /nfs/dbraw/zinc/07/46/45/699074645.db2.gz RTEQTBCWKUIZIH-UHFFFAOYSA-N -1 1 300.742 1.262 20 0 DDADMM CCCN1CC[C@@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)C1 ZINC000389041310 699087348 /nfs/dbraw/zinc/08/73/48/699087348.db2.gz BAKXUAVHIOCWPC-GFCCVEGCSA-N -1 1 312.391 1.147 20 0 DDADMM C[C@H](NS(=O)(=O)c1cc(C(=O)[O-])cs1)C(F)(F)F ZINC000389275085 699088390 /nfs/dbraw/zinc/08/83/90/699088390.db2.gz OBCMUUUKAAYFCH-BYPYZUCNSA-N -1 1 303.283 1.675 20 0 DDADMM CS(=O)(=O)c1cc(C(=O)Nc2ccncc2[O-])ccc1F ZINC000786468136 699148636 /nfs/dbraw/zinc/14/86/36/699148636.db2.gz QUAOJVRKBBOKEI-UHFFFAOYSA-N -1 1 310.306 1.004 20 0 DDADMM CCCc1nc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)co1 ZINC000786510927 699151865 /nfs/dbraw/zinc/15/18/65/699151865.db2.gz IBFYCSITWGZSJW-UHFFFAOYSA-N -1 1 316.313 1.741 20 0 DDADMM Cc1cc(C)c(N2C[C@H](C(=O)[N-]OCCO)CC2=O)c(C)c1 ZINC000787292726 699203472 /nfs/dbraw/zinc/20/34/72/699203472.db2.gz GHPGFUSSARUDEP-CYBMUJFWSA-N -1 1 306.362 1.005 20 0 DDADMM C[C@H]1CN(Cc2cn(CC(=O)[O-])nn2)CC[C@@H]1c1ccccc1 ZINC000517241933 699208742 /nfs/dbraw/zinc/20/87/42/699208742.db2.gz XLLRWEDSZZRABB-BBRMVZONSA-N -1 1 314.389 1.988 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc(C2CCC2)cc1 ZINC000787692108 699231442 /nfs/dbraw/zinc/23/14/42/699231442.db2.gz VLPCAZTXGCVIBW-UHFFFAOYSA-N -1 1 313.357 1.833 20 0 DDADMM COC(C)(C)[C@@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000720095288 699304324 /nfs/dbraw/zinc/30/43/24/699304324.db2.gz AHXBDHXOENZKNS-SSDOTTSWSA-N -1 1 310.778 1.966 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@H]1CC[C@H](C)O1 ZINC000789047569 699358298 /nfs/dbraw/zinc/35/82/98/699358298.db2.gz RGVAFDJVAWNSOQ-JOYOIKCWSA-N -1 1 319.361 1.705 20 0 DDADMM CC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCOCC1 ZINC000726843085 699384107 /nfs/dbraw/zinc/38/41/07/699384107.db2.gz YPRXOJOBXZOUOQ-UHFFFAOYSA-N -1 1 305.330 1.303 20 0 DDADMM CC(C)[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000726865883 699385896 /nfs/dbraw/zinc/38/58/96/699385896.db2.gz JKDVVJVRTDFKBM-HNNXBMFYSA-N -1 1 315.373 1.440 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1nc2ccccc2s1 ZINC000726869289 699386680 /nfs/dbraw/zinc/38/66/80/699386680.db2.gz NWWYVNHWFOJWJO-UHFFFAOYSA-N -1 1 316.342 1.175 20 0 DDADMM CCNC(=O)CCC(=O)Nc1nc(Br)ccc1[O-] ZINC000790043360 699437070 /nfs/dbraw/zinc/43/70/70/699437070.db2.gz ROQWMXYGLOCRAR-UHFFFAOYSA-N -1 1 316.155 1.405 20 0 DDADMM CSc1nc(C)c(CCC(=O)OC[C@@H]2CCOC2)c(=O)[n-]1 ZINC000729076112 699466630 /nfs/dbraw/zinc/46/66/30/699466630.db2.gz NDFPZJQKQHFBJT-SNVBAGLBSA-N -1 1 312.391 1.725 20 0 DDADMM C[C@H](Cc1ccc(F)cc1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732090855 699546062 /nfs/dbraw/zinc/54/60/62/699546062.db2.gz OLQABAAFUDZCET-MFKMUULPSA-N -1 1 319.340 1.118 20 0 DDADMM C[C@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccc1F ZINC000735518550 699696065 /nfs/dbraw/zinc/69/60/65/699696065.db2.gz GQSDCNHKWIPKPT-JTQLQIEISA-N -1 1 303.341 1.849 20 0 DDADMM C[C@H](CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccc1F ZINC000735976217 699715345 /nfs/dbraw/zinc/71/53/45/699715345.db2.gz PBBCMCMMPHWTKZ-MFKMUULPSA-N -1 1 319.340 1.433 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)CCSC)o1 ZINC000736347502 699724699 /nfs/dbraw/zinc/72/46/99/699724699.db2.gz UYJDBIZZUAYLFC-QMMMGPOBSA-N -1 1 307.393 1.486 20 0 DDADMM CCC[C@H](C)CS(=O)(=O)[N-]C(=O)c1ccc2cncn2c1 ZINC000793143508 699735002 /nfs/dbraw/zinc/73/50/02/699735002.db2.gz LSXCGUOMBRMUDO-NSHDSACASA-N -1 1 309.391 1.830 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]C(=O)c2ccc3cncn3c2)s1 ZINC000793281986 699746634 /nfs/dbraw/zinc/74/66/34/699746634.db2.gz PJIWLLYSAJYNMZ-UHFFFAOYSA-N -1 1 322.371 1.218 20 0 DDADMM O=C(c1cc(Cl)ccc1F)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000738246818 699755845 /nfs/dbraw/zinc/75/58/45/699755845.db2.gz JVZOIXYZEZHCFO-SNVBAGLBSA-N -1 1 311.704 1.206 20 0 DDADMM C[C@@H](O)CN1CCN(C(=O)c2c([O-])cccc2Cl)[C@@H](C)C1 ZINC000793875442 699784982 /nfs/dbraw/zinc/78/49/82/699784982.db2.gz QURDXCDDBWGKSZ-WDEREUQCSA-N -1 1 312.797 1.573 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1cnn(-c2ccccc2)c1 ZINC000742325351 699873776 /nfs/dbraw/zinc/87/37/76/699873776.db2.gz MRESUFHSYTVTKU-UHFFFAOYSA-N -1 1 319.346 1.042 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)c2cccnc2OC)[n-]1 ZINC000796350867 699928743 /nfs/dbraw/zinc/92/87/43/699928743.db2.gz OSIHKDRSGYCHND-UHFFFAOYSA-N -1 1 318.285 1.245 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2noc(C(C)(C)C)n2)[n-]1 ZINC000796363276 699929651 /nfs/dbraw/zinc/92/96/51/699929651.db2.gz SLOYHPBNWSPMTO-UHFFFAOYSA-N -1 1 307.306 1.839 20 0 DDADMM CC(C)(C)[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(F)(F)F ZINC000744612518 699955540 /nfs/dbraw/zinc/95/55/40/699955540.db2.gz IGNVISJNTAJCKQ-NSHDSACASA-N -1 1 321.299 1.020 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1ccccc1C=O)c1ccco1 ZINC000751469043 700292592 /nfs/dbraw/zinc/29/25/92/700292592.db2.gz QKUHALKUFCCMMV-LBPRGKRZSA-N -1 1 309.343 1.758 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)O[C@H]3CCOC3=O)n2)cc1 ZINC000801369358 700301682 /nfs/dbraw/zinc/30/16/82/700301682.db2.gz LPRRQRVAVHOSLG-LBPRGKRZSA-N -1 1 302.286 1.359 20 0 DDADMM CNC(=O)COC(=O)c1nn(-c2ccc(C(C)C)cc2)cc1[O-] ZINC000801412527 700305085 /nfs/dbraw/zinc/30/50/85/700305085.db2.gz LJWLIOUPIHZVKG-UHFFFAOYSA-N -1 1 317.345 1.604 20 0 DDADMM CN(C)C(=O)c1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000801703105 700332411 /nfs/dbraw/zinc/33/24/11/700332411.db2.gz HJVFQXSNSALWDO-UHFFFAOYSA-N -1 1 323.356 1.296 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1cnc2ccsc2c1 ZINC000752254573 700351719 /nfs/dbraw/zinc/35/17/19/700351719.db2.gz MSUANIKOXDPFNR-UHFFFAOYSA-N -1 1 310.360 1.466 20 0 DDADMM O=C(c1c[nH]c2cccc(F)c12)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000765110450 700999449 /nfs/dbraw/zinc/99/94/49/700999449.db2.gz SLAGQVPJGFAQEL-SECBINFHSA-N -1 1 314.324 1.840 20 0 DDADMM O=C(c1cc(=O)[nH][n-]1)N1CCC[C@H]1c1n[nH]c(-c2ccccc2)n1 ZINC000765235166 701004050 /nfs/dbraw/zinc/00/40/50/701004050.db2.gz YDXYQYHDNUEXHP-LBPRGKRZSA-N -1 1 324.344 1.011 20 0 DDADMM O=C(c1cc(=O)[nH][n-]1)N1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000765235166 701004052 /nfs/dbraw/zinc/00/40/52/701004052.db2.gz YDXYQYHDNUEXHP-LBPRGKRZSA-N -1 1 324.344 1.011 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Cl)sc2Cl)nc1=O ZINC000765420126 701011266 /nfs/dbraw/zinc/01/12/66/701011266.db2.gz TTXISPJKYGGMSA-UHFFFAOYSA-N -1 1 308.146 1.834 20 0 DDADMM CCN(CC)[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000765473914 701014651 /nfs/dbraw/zinc/01/46/51/701014651.db2.gz PTJCMESRQJUSOH-CQSZACIVSA-N -1 1 318.377 1.235 20 0 DDADMM CCC(CC)n1nc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1C ZINC000765503733 701016546 /nfs/dbraw/zinc/01/65/46/701016546.db2.gz QKECSPYUVFKCKJ-UHFFFAOYSA-N -1 1 307.354 1.331 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)c1Cl ZINC000765985326 701033424 /nfs/dbraw/zinc/03/34/24/701033424.db2.gz FLNYOSQCZVZLSY-UHFFFAOYSA-N -1 1 316.774 1.260 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)COCC1CC1 ZINC000771596402 701324950 /nfs/dbraw/zinc/32/49/50/701324950.db2.gz KZRWZJIGEXQKIZ-LBPRGKRZSA-N -1 1 323.393 1.131 20 0 DDADMM CCCCS(=O)(=O)[N-]C(=O)[C@@]1(F)CCN(C(C)(C)C)C1 ZINC000810518880 701782074 /nfs/dbraw/zinc/78/20/74/701782074.db2.gz WNAGOQCBXBMVTB-CYBMUJFWSA-N -1 1 308.419 1.445 20 0 DDADMM Cc1ccc(F)c(N2CCC[C@H](OC(=O)c3cn[n-]n3)C2=O)c1 ZINC000805605347 701398664 /nfs/dbraw/zinc/39/86/64/701398664.db2.gz KQIJDLCWNKRZAG-ZDUSSCGKSA-N -1 1 318.308 1.605 20 0 DDADMM Cc1ccc(N2CCC[C@H](OC(=O)c3cn[n-]n3)C2=O)cc1 ZINC000805606576 701398867 /nfs/dbraw/zinc/39/88/67/701398867.db2.gz ZVBSNTRCMQUVLR-ZDUSSCGKSA-N -1 1 300.318 1.466 20 0 DDADMM CC(C)[C@H](OC(=O)c1cn[n-]n1)C(=O)N[C@H]1CCCC[C@@H]1C ZINC000805606215 701399020 /nfs/dbraw/zinc/39/90/20/701399020.db2.gz UZKZKIBSAFDOQJ-GVXVVHGQSA-N -1 1 308.382 1.681 20 0 DDADMM CC[C@@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CCOCC1 ZINC000806488617 701437546 /nfs/dbraw/zinc/43/75/46/701437546.db2.gz PIYDAMQJKPNVJY-CYBMUJFWSA-N -1 1 317.393 1.669 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCc3ccsc3)ccnc1-2 ZINC000806489473 701437702 /nfs/dbraw/zinc/43/77/02/701437702.db2.gz SZKITJUZXOLCPJ-UHFFFAOYSA-N -1 1 301.375 1.768 20 0 DDADMM CCC1=C(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCCO1 ZINC000806547463 701441179 /nfs/dbraw/zinc/44/11/79/701441179.db2.gz IEQNEYZLQVMHDP-CQSZACIVSA-N -1 1 313.361 1.880 20 0 DDADMM O=C(COCc1ccccn1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000806546572 701441187 /nfs/dbraw/zinc/44/11/87/701441187.db2.gz PIUMSIGYBPNWRJ-HNNXBMFYSA-N -1 1 324.344 1.017 20 0 DDADMM CC(C)c1nccn1CCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000806669038 701445418 /nfs/dbraw/zinc/44/54/18/701445418.db2.gz AZDXHUMGWLMIOJ-UHFFFAOYSA-N -1 1 301.346 1.711 20 0 DDADMM CC[C@H](CC1CCCC1)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000807992733 701493518 /nfs/dbraw/zinc/49/35/18/701493518.db2.gz OQHPBTGOTFSGGF-CYBMUJFWSA-N -1 1 321.425 1.616 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N[C@@H](CO)C1CC1)c2=O ZINC000839726178 701799054 /nfs/dbraw/zinc/79/90/54/701799054.db2.gz VHTMBARYLYXQSC-AWEZNQCLSA-N -1 1 302.330 1.037 20 0 DDADMM C[C@H]1CCCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000867896291 701815498 /nfs/dbraw/zinc/81/54/98/701815498.db2.gz NFKVCXHLUCZNTL-JTQLQIEISA-N -1 1 306.366 1.339 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2cc(F)c[nH]2)cc1 ZINC000815390391 701856630 /nfs/dbraw/zinc/85/66/30/701856630.db2.gz GXMGLMUGZIYILZ-UHFFFAOYSA-N -1 1 320.276 1.276 20 0 DDADMM CC[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C(OC)OC ZINC000866112606 706621987 /nfs/dbraw/zinc/62/19/87/706621987.db2.gz BXWHGPXSRIQAIB-JTQLQIEISA-N -1 1 305.334 1.050 20 0 DDADMM C[C@H]1CCC[C@@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868116635 701949053 /nfs/dbraw/zinc/94/90/53/701949053.db2.gz LHVWFXXZOAGJAP-WDEREUQCSA-N -1 1 320.393 1.633 20 0 DDADMM CC1(C)CC[C@@H](CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)OC1 ZINC000879263557 706634009 /nfs/dbraw/zinc/63/40/09/706634009.db2.gz QBXSSWDMPFXZNF-NEPJUHHUSA-N -1 1 307.398 1.501 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1ccc(=O)[nH]c1 ZINC000811725233 702033273 /nfs/dbraw/zinc/03/32/73/702033273.db2.gz LCZCHPGHRFCNHH-UHFFFAOYSA-N -1 1 302.743 1.283 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC ZINC000831104721 706635825 /nfs/dbraw/zinc/63/58/25/706635825.db2.gz DKGFIBRDUMLSOE-SSDOTTSWSA-N -1 1 309.775 1.073 20 0 DDADMM CC(C)(O)C1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000866160100 706636819 /nfs/dbraw/zinc/63/68/19/706636819.db2.gz SDGHDHQXXPGEDQ-UHFFFAOYSA-N -1 1 315.373 1.546 20 0 DDADMM O=C(N[C@@H]1C[C@H]1C1CCOCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000866175326 706640884 /nfs/dbraw/zinc/64/08/84/706640884.db2.gz SWJCULIFSPAFET-GXTWGEPZSA-N -1 1 313.357 1.468 20 0 DDADMM CC[C@@H]1CCC[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868394399 702106002 /nfs/dbraw/zinc/10/60/02/702106002.db2.gz SUDWFFBZFSNDPZ-ZYHUDNBSSA-N -1 1 320.393 1.633 20 0 DDADMM C[C@@H]1CC[C@H](CCC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)O1 ZINC000831140498 706642597 /nfs/dbraw/zinc/64/25/97/706642597.db2.gz YOONDFQPABPRJA-MWLCHTKSSA-N -1 1 322.327 1.471 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)c1 ZINC000868462668 702142550 /nfs/dbraw/zinc/14/25/50/702142550.db2.gz SANNHCQSKGEDPG-JTLRNRKASA-N -1 1 324.402 1.531 20 0 DDADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)[N-]OC/C=C/Cl ZINC000812408788 702177886 /nfs/dbraw/zinc/17/78/86/702177886.db2.gz MEXSSLOBOZBXKY-IYNCYZAOSA-N -1 1 322.752 1.482 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2CC(C)(C)Oc3ccccc32)nc1=O ZINC000840658971 702188529 /nfs/dbraw/zinc/18/85/29/702188529.db2.gz HKZVDWNPGXWZMB-NSHDSACASA-N -1 1 317.345 1.497 20 0 DDADMM O=C([N-]OC1CCC1)[C@H]1CC(=O)N(c2cc(F)cc(F)c2)C1 ZINC000812790906 702240197 /nfs/dbraw/zinc/24/01/97/702240197.db2.gz LIYHCZWVPYVNCU-VIFPVBQESA-N -1 1 310.300 1.918 20 0 DDADMM CC[C@H]1C[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000817145210 702288354 /nfs/dbraw/zinc/28/83/54/702288354.db2.gz DKCBLLKDKPBHJQ-BDAKNGLRSA-N -1 1 307.803 1.309 20 0 DDADMM CCOC(=O)c1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000813235468 702315277 /nfs/dbraw/zinc/31/52/77/702315277.db2.gz LQBBPBBYQZJADK-UHFFFAOYSA-N -1 1 324.340 1.771 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CCOC(C)(C)C2)c1Cl ZINC000841525218 702480003 /nfs/dbraw/zinc/48/00/03/702480003.db2.gz TZVAHYFYWPRVOY-SECBINFHSA-N -1 1 321.830 1.557 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)/C=C/c1ccccc1F ZINC000841534885 702485095 /nfs/dbraw/zinc/48/50/95/702485095.db2.gz NIJNFKKAWWIMIB-ABZNLYFFSA-N -1 1 313.350 1.667 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000841535978 702486068 /nfs/dbraw/zinc/48/60/68/702486068.db2.gz YDTZZEHVEBTOJO-SSDOTTSWSA-N -1 1 309.796 1.631 20 0 DDADMM CCC(O)(CC)C(C)(C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000879415770 706674026 /nfs/dbraw/zinc/67/40/26/706674026.db2.gz BZTPXZJQAGZPOJ-UHFFFAOYSA-N -1 1 319.409 1.793 20 0 DDADMM CC(=O)C1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000879416116 706674553 /nfs/dbraw/zinc/67/45/53/706674553.db2.gz NZRZUSNXMLCHMI-UHFFFAOYSA-N -1 1 301.350 1.175 20 0 DDADMM CN(CCC(C)(C)C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869213748 702513813 /nfs/dbraw/zinc/51/38/13/702513813.db2.gz MHNZYMLBQWTOSK-UHFFFAOYSA-N -1 1 322.409 1.833 20 0 DDADMM COc1ccccc1[C@@H](C)N(OC)C(=O)CCc1nn[n-]n1 ZINC000869222128 702517507 /nfs/dbraw/zinc/51/75/07/702517507.db2.gz ZOZSPZZQRGEXKC-SNVBAGLBSA-N -1 1 305.338 1.292 20 0 DDADMM COc1cc(C(=O)NCCCc2cnn(C)n2)cc(Cl)c1[O-] ZINC000817962642 702536776 /nfs/dbraw/zinc/53/67/76/702536776.db2.gz CDPGFFHWZHRZJR-UHFFFAOYSA-N -1 1 324.768 1.545 20 0 DDADMM COC(=O)N(C)CCN(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869273002 702546715 /nfs/dbraw/zinc/54/67/15/702546715.db2.gz MLEMJGUOSDQPRQ-UHFFFAOYSA-N -1 1 314.769 1.745 20 0 DDADMM CC[C@H](SC)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869441933 702608203 /nfs/dbraw/zinc/60/82/03/702608203.db2.gz BBCFRTJIJLBBBB-QMMMGPOBSA-N -1 1 315.313 1.309 20 0 DDADMM CCC[C@H](NC(=O)CC(F)(F)C(F)(F)F)c1nn[n-]n1 ZINC000866419565 706694320 /nfs/dbraw/zinc/69/43/20/706694320.db2.gz DMVBNBJZRGGCLL-YFKPBYRVSA-N -1 1 301.219 1.745 20 0 DDADMM CC[C@@H](CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C(C)C ZINC000843018653 702804411 /nfs/dbraw/zinc/80/44/11/702804411.db2.gz RCNUWAKJFUDVGY-ZDUSSCGKSA-N -1 1 318.483 1.989 20 0 DDADMM CNC(=O)C[N-]C(=O)C(F)(F)c1cccc(Cl)c1Cl ZINC000843522587 702898486 /nfs/dbraw/zinc/89/84/86/702898486.db2.gz GDSCGDOBZQTTOU-UHFFFAOYSA-N -1 1 311.115 1.947 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CCCc2occc21 ZINC000866466175 706706003 /nfs/dbraw/zinc/70/60/03/706706003.db2.gz YYCABTGRWVBVNF-LLVKDONJSA-N -1 1 320.436 1.999 20 0 DDADMM CCc1cc(C(=O)N2CC[C@](C(=O)[O-])(c3ccccc3)C2)n[nH]1 ZINC000844158470 702980007 /nfs/dbraw/zinc/98/00/07/702980007.db2.gz WMKYWCNPSLROLF-QGZVFWFLSA-N -1 1 313.357 1.841 20 0 DDADMM O=C(/C=C/C1CCCCCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000845462893 703159835 /nfs/dbraw/zinc/15/98/35/703159835.db2.gz LZKHSYPPLQLPLE-GWJCSSMESA-N -1 1 305.382 1.626 20 0 DDADMM C[C@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccc(Cl)cc1 ZINC000845462069 703159872 /nfs/dbraw/zinc/15/98/72/703159872.db2.gz GPPCJIVXMIEJSB-CABZTGNLSA-N -1 1 321.768 1.557 20 0 DDADMM C[C@H](COCC1CC1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000846011371 703226488 /nfs/dbraw/zinc/22/64/88/703226488.db2.gz SSEVGMQODYRGNS-MRVPVSSYSA-N -1 1 307.803 1.167 20 0 DDADMM CCO[N-]C(=O)CNCc1c(F)cccc1Br ZINC000846085017 703235741 /nfs/dbraw/zinc/23/57/41/703235741.db2.gz IFOZKOGUFBELHT-UHFFFAOYSA-N -1 1 305.147 1.746 20 0 DDADMM O=C([O-])[C@@]12CCC[C@@H]1CN(Cc1cnn(-c3ccccc3)n1)C2 ZINC000846284425 703261495 /nfs/dbraw/zinc/26/14/95/703261495.db2.gz NBPJRVZDKOVQPY-CXAGYDPISA-N -1 1 312.373 1.954 20 0 DDADMM Cc1ccncc1C=CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847081630 703375401 /nfs/dbraw/zinc/37/54/01/703375401.db2.gz UNLKBXWHINPABZ-IHVVCDCBSA-N -1 1 314.345 1.898 20 0 DDADMM CCN(CC)C(=O)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000866605672 706743309 /nfs/dbraw/zinc/74/33/09/706743309.db2.gz YEMMNUQEAZUAOW-UHFFFAOYSA-N -1 1 310.322 1.364 20 0 DDADMM CCCCC[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OC ZINC000866929885 706847530 /nfs/dbraw/zinc/84/75/30/706847530.db2.gz CUJIMKXUHWCPBH-SNVBAGLBSA-N -1 1 320.436 1.852 20 0 DDADMM C[C@H]1C[C@H](C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)CO1 ZINC000848340269 703540692 /nfs/dbraw/zinc/54/06/92/703540692.db2.gz PKUHOMIHAOIIQI-ZRUFSTJUSA-N -1 1 322.327 1.469 20 0 DDADMM CCc1cc(CNC(=O)N[C@@H](C)[C@@H]2C[C@H](C(=O)[O-])C2(C)C)[nH]n1 ZINC000848580244 703565042 /nfs/dbraw/zinc/56/50/42/703565042.db2.gz ZMOVEZGRGZDFJH-TVYUQYBPSA-N -1 1 322.409 1.907 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@H](O)c2ccccc21 ZINC000849455047 703650295 /nfs/dbraw/zinc/65/02/95/703650295.db2.gz PTKHKMIAPXVUBM-QWRGUYRKSA-N -1 1 309.347 1.022 20 0 DDADMM CCN1CC[C@@H](OC(=O)c2nn(-c3ccccc3)cc2[O-])C1=O ZINC000849640729 703662399 /nfs/dbraw/zinc/66/23/99/703662399.db2.gz ZSAYHRSSTXNUHZ-CYBMUJFWSA-N -1 1 315.329 1.356 20 0 DDADMM C[C@@H](CC(=O)OCCC[N-]C(=O)C(F)(F)F)[C@H]1CCCO1 ZINC000850083089 703702149 /nfs/dbraw/zinc/70/21/49/703702149.db2.gz FGDYWEGFGOPGBF-VHSXEESVSA-N -1 1 311.300 1.803 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCC2(F)F)o1 ZINC000851317331 703791995 /nfs/dbraw/zinc/79/19/95/703791995.db2.gz IBKXCLACSHRGDE-ZETCQYMHSA-N -1 1 309.290 1.390 20 0 DDADMM C[C@H]1CCN(C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)C1 ZINC000851882972 703877745 /nfs/dbraw/zinc/87/77/45/703877745.db2.gz MQAKKUGDJIPCPP-AXFHLTTASA-N -1 1 321.343 1.837 20 0 DDADMM O=C(C1=COCC1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870160462 703938701 /nfs/dbraw/zinc/93/87/01/703938701.db2.gz OPDPZSQMOWHPET-UHFFFAOYSA-N -1 1 322.792 1.994 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)s1 ZINC000819629149 704153028 /nfs/dbraw/zinc/15/30/28/704153028.db2.gz ZSPCOWGDGBWWEM-YEZKRMTDSA-N -1 1 320.374 1.960 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C2CCC2)c1Cl ZINC000819891314 704181814 /nfs/dbraw/zinc/18/18/14/704181814.db2.gz TZNDCMMDOWBWOE-UWVGGRQHSA-N -1 1 303.815 1.788 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000852948696 704177481 /nfs/dbraw/zinc/17/74/81/704177481.db2.gz IDTGXLDGNFHGIW-MRXNPFEDSA-N -1 1 314.345 1.589 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000852948696 704177482 /nfs/dbraw/zinc/17/74/82/704177482.db2.gz IDTGXLDGNFHGIW-MRXNPFEDSA-N -1 1 314.345 1.589 20 0 DDADMM Cc1nc(CCC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cs1 ZINC000819968626 704194231 /nfs/dbraw/zinc/19/42/31/704194231.db2.gz FHISAONYGRQICN-JTQLQIEISA-N -1 1 322.390 1.879 20 0 DDADMM CC(C)N(C)S(=O)(=O)[N-]C(=O)c1cc2[nH]cnc2cc1F ZINC000820020533 704204747 /nfs/dbraw/zinc/20/47/47/704204747.db2.gz BDNUXAKPPZYNHM-UHFFFAOYSA-N -1 1 314.342 1.017 20 0 DDADMM CC[C@H](C)CO[N-]C(=O)c1cc(=O)n(C)cc1Br ZINC000820278453 704251709 /nfs/dbraw/zinc/25/17/09/704251709.db2.gz BUMZKFKSZUAOJS-QMMMGPOBSA-N -1 1 317.183 1.855 20 0 DDADMM CC1(CNC(=O)c2ccc(-c3nnn[n-]3)s2)CCOCC1 ZINC000820618504 704311577 /nfs/dbraw/zinc/31/15/77/704311577.db2.gz GPHXWQFCGBRAKN-UHFFFAOYSA-N -1 1 307.379 1.475 20 0 DDADMM CC1(CNC(=O)c2ccc(-c3nn[n-]n3)s2)CCOCC1 ZINC000820618504 704311580 /nfs/dbraw/zinc/31/15/80/704311580.db2.gz GPHXWQFCGBRAKN-UHFFFAOYSA-N -1 1 307.379 1.475 20 0 DDADMM CC(C)OCCCC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867090467 706893209 /nfs/dbraw/zinc/89/32/09/706893209.db2.gz ODCDEVVSSVRANA-UHFFFAOYSA-N -1 1 308.350 1.843 20 0 DDADMM C[C@@H]1CCc2ccccc2N1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000854322597 704378978 /nfs/dbraw/zinc/37/89/78/704378978.db2.gz IBUNJLYACQMPHD-GFCCVEGCSA-N -1 1 313.357 1.295 20 0 DDADMM CSCC[C@@H](C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000866814707 706812011 /nfs/dbraw/zinc/81/20/11/706812011.db2.gz FEPCQIDMULKRIG-ZCFIWIBFSA-N -1 1 317.358 1.848 20 0 DDADMM CCOC(=O)Nc1scnc1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000854635736 704430748 /nfs/dbraw/zinc/43/07/48/704430748.db2.gz WOJJOLJSCAROTA-UHFFFAOYSA-N -1 1 311.323 1.701 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1C[C@H](C)n2ncnc21 ZINC000855326341 704478684 /nfs/dbraw/zinc/47/86/84/704478684.db2.gz CFJSZGWTYNNTKV-WPRPVWTQSA-N -1 1 316.365 1.641 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@H](C)C1O ZINC000855693724 704497847 /nfs/dbraw/zinc/49/78/47/704497847.db2.gz ZQQUMVTYYQKUJN-IUCAKERBSA-N -1 1 306.391 1.107 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@H]2COC[C@H]2C1 ZINC000856151638 704516494 /nfs/dbraw/zinc/51/64/94/704516494.db2.gz URNKNRGXGWECCD-NWDGAFQWSA-N -1 1 305.378 1.723 20 0 DDADMM C[C@@H]1CCN(C(=O)/C=C\C2CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856419135 704528286 /nfs/dbraw/zinc/52/82/86/704528286.db2.gz VBUMVFZMXJCVPR-KGASVMDVSA-N -1 1 304.312 1.868 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]CC[C@@H]1CC1(F)F ZINC000856746826 704536934 /nfs/dbraw/zinc/53/69/34/704536934.db2.gz BDMMNQIESZMCSZ-SSDOTTSWSA-N -1 1 313.757 1.706 20 0 DDADMM C[C@H](CO)[C@H](C)[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866856303 706826801 /nfs/dbraw/zinc/82/68/01/706826801.db2.gz XHIDJAQSQSOXGQ-RQJHMYQMSA-N -1 1 313.206 1.684 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CCCOC(C)(C)C)nc2n1 ZINC000857685420 704613679 /nfs/dbraw/zinc/61/36/79/704613679.db2.gz XMKNGVDKVHDXNY-UHFFFAOYSA-N -1 1 321.381 1.514 20 0 DDADMM O=c1nc(N2CC[C@@H](C(F)(F)F)[C@H](CO)C2)cc(Cl)[n-]1 ZINC000858544008 704724976 /nfs/dbraw/zinc/72/49/76/704724976.db2.gz PMCSQKLEZAYNSJ-NKWVEPMBSA-N -1 1 311.691 1.833 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]C[C@H](OC)C(F)(F)F)C1CC1 ZINC000858982041 704779679 /nfs/dbraw/zinc/77/96/79/704779679.db2.gz PXGOSZDDYLVSPL-ZJUUUORDSA-N -1 1 319.345 1.298 20 0 DDADMM CC[C@@H](C)[C@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)OC ZINC000867329647 706962877 /nfs/dbraw/zinc/96/28/77/706962877.db2.gz HUOXINASBRHGGF-BDAKNGLRSA-N -1 1 308.350 1.699 20 0 DDADMM CC(=O)NCCN(Cc1ccc(C)c(Cl)n1)[C@@H](C)C(=O)[O-] ZINC000873641971 704814010 /nfs/dbraw/zinc/81/40/10/704814010.db2.gz JIFGLPLHJBORPF-JTQLQIEISA-N -1 1 313.785 1.455 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)c2ccns2)c1 ZINC000859400545 704890445 /nfs/dbraw/zinc/89/04/45/704890445.db2.gz KHXOWOYPMJHMRF-SSDOTTSWSA-N -1 1 316.360 1.562 20 0 DDADMM CS[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000867458071 707007367 /nfs/dbraw/zinc/00/73/67/707007367.db2.gz ULEHOPVYSDAELS-RKDXNWHRSA-N -1 1 300.471 1.223 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C(OC)OC ZINC000867494746 707018628 /nfs/dbraw/zinc/01/86/28/707018628.db2.gz YZMWOLFMWHHAJW-ZETCQYMHSA-N -1 1 313.322 1.893 20 0 DDADMM CN(CCC[N@@H+](C)Cc1ccco1)C(=O)N[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000874417221 705051868 /nfs/dbraw/zinc/05/18/68/705051868.db2.gz JSCJNZAMLWZXDS-BETUJISGSA-N -1 1 323.393 1.606 20 0 DDADMM CN(CCCN(C)C(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)Cc1ccco1 ZINC000874417221 705051873 /nfs/dbraw/zinc/05/18/73/705051873.db2.gz JSCJNZAMLWZXDS-BETUJISGSA-N -1 1 323.393 1.606 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@@H](C(F)(F)F)CC2)c([O-])c1 ZINC000874546250 705101370 /nfs/dbraw/zinc/10/13/70/705101370.db2.gz DRCYDMSAEVBVFO-SNVBAGLBSA-N -1 1 304.268 1.889 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC[C@H]2CCSC2)o1 ZINC000867575595 707044284 /nfs/dbraw/zinc/04/42/84/707044284.db2.gz UVAKDVJOVZZHLM-VIFPVBQESA-N -1 1 319.404 1.488 20 0 DDADMM O=C(COc1ccccc1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000823049268 705124259 /nfs/dbraw/zinc/12/42/59/705124259.db2.gz ACNPZSJRZLLAGA-UHFFFAOYSA-N -1 1 302.319 1.341 20 0 DDADMM O=C(COc1ccccc1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000823049268 705124263 /nfs/dbraw/zinc/12/42/63/705124263.db2.gz ACNPZSJRZLLAGA-UHFFFAOYSA-N -1 1 302.319 1.341 20 0 DDADMM C[C@@H]1CCC[C@@H](CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)O1 ZINC000823058432 705127293 /nfs/dbraw/zinc/12/72/93/705127293.db2.gz PCRVOOPXJVEGCT-MNOVXSKESA-N -1 1 303.366 1.421 20 0 DDADMM CCn1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c(C)n1 ZINC000860206226 705130671 /nfs/dbraw/zinc/13/06/71/705130671.db2.gz FDBJLQOBZOYFHC-UHFFFAOYSA-N -1 1 307.272 1.437 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC[C@@H](C(C)(C)O)C1 ZINC000874879317 705212315 /nfs/dbraw/zinc/21/23/15/705212315.db2.gz RUKSQYGHLGEMDY-SECBINFHSA-N -1 1 311.407 1.446 20 0 DDADMM CCC1(C)CCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)CC1 ZINC000825165314 705613802 /nfs/dbraw/zinc/61/38/02/705613802.db2.gz YHYKBPYUSYEKCH-UHFFFAOYSA-N -1 1 318.381 1.744 20 0 DDADMM CCC1(C)CCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)CC1 ZINC000825165314 705613807 /nfs/dbraw/zinc/61/38/07/705613807.db2.gz YHYKBPYUSYEKCH-UHFFFAOYSA-N -1 1 318.381 1.744 20 0 DDADMM CCCC[C@H](COC)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825260760 705635515 /nfs/dbraw/zinc/63/55/15/705635515.db2.gz QZDUKUBMCQSHDC-SNVBAGLBSA-N -1 1 322.369 1.027 20 0 DDADMM CCCC[C@H](COC)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825260760 705635517 /nfs/dbraw/zinc/63/55/17/705635517.db2.gz QZDUKUBMCQSHDC-SNVBAGLBSA-N -1 1 322.369 1.027 20 0 DDADMM O=C(OCCN1CCCC1=O)c1nn(-c2ccccc2)cc1[O-] ZINC000825314386 705643584 /nfs/dbraw/zinc/64/35/84/705643584.db2.gz SLOCVQFFIUFUNL-UHFFFAOYSA-N -1 1 315.329 1.357 20 0 DDADMM O=C(NC[C@H]1CC2(CO1)CCOCC2)c1cc(F)ccc1[O-] ZINC000825430595 705670033 /nfs/dbraw/zinc/67/00/33/705670033.db2.gz ARFPAPNKLTZRRN-GFCCVEGCSA-N -1 1 309.337 1.847 20 0 DDADMM CN(C(=O)NCc1ccc([O-])c(Cl)c1)[C@@H]1CCN(C)C1=O ZINC000876394212 705707827 /nfs/dbraw/zinc/70/78/27/705707827.db2.gz RUXFWNCFENHIJF-LLVKDONJSA-N -1 1 311.769 1.418 20 0 DDADMM CCN(CCOCCO)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876396417 705708406 /nfs/dbraw/zinc/70/84/06/705708406.db2.gz DVWRNUPWUVZUOW-UHFFFAOYSA-N -1 1 316.785 1.586 20 0 DDADMM Cc1cc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)ccc1O ZINC000826261459 705785502 /nfs/dbraw/zinc/78/55/02/705785502.db2.gz GGDCPHCOCUAYSU-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM Cc1cc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)ccc1O ZINC000826261459 705785506 /nfs/dbraw/zinc/78/55/06/705785506.db2.gz GGDCPHCOCUAYSU-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM CCCS(=O)(=O)CCN1CC[C@H](C(=O)[O-])[C@H]2CCC[C@@H]21 ZINC000863476229 705936912 /nfs/dbraw/zinc/93/69/12/705936912.db2.gz XWLBKEADMXTAOC-AGIUHOORSA-N -1 1 303.424 1.386 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@@H]2COCC[C@H]21 ZINC000827349688 705982657 /nfs/dbraw/zinc/98/26/57/705982657.db2.gz LMNYSNFTIQOCNH-VXGBXAGGSA-N -1 1 318.402 1.659 20 0 DDADMM C/C=C/C[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000863814303 706021466 /nfs/dbraw/zinc/02/14/66/706021466.db2.gz OESBHAMIRBTEQF-IBUXWKBASA-N -1 1 305.378 1.132 20 0 DDADMM COCC1(C)CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000827794015 706068637 /nfs/dbraw/zinc/06/86/37/706068637.db2.gz GORHGIYDBYYFKO-UHFFFAOYSA-N -1 1 320.418 1.907 20 0 DDADMM CCn1cncc1CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000877773685 706205197 /nfs/dbraw/zinc/20/51/97/706205197.db2.gz UXBJZMGHWMEXBM-LBPRGKRZSA-N -1 1 304.316 1.546 20 0 DDADMM COc1ccc(F)cc1CN1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000877776292 706206835 /nfs/dbraw/zinc/20/68/35/706206835.db2.gz DYGKSDKUAWZJLB-UHFFFAOYSA-N -1 1 320.286 1.945 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=S)NCC2CC2)C1 ZINC000865275244 706393930 /nfs/dbraw/zinc/39/39/30/706393930.db2.gz ISOXFMYWCKSNSL-LLVKDONJSA-N -1 1 309.357 1.414 20 0 DDADMM CCc1cc(CN=c2nn[n-]n2Cc2ccc(OC)cc2)n[nH]1 ZINC000865537124 706457968 /nfs/dbraw/zinc/45/79/68/706457968.db2.gz ZTBLWJPKHUCEBR-UHFFFAOYSA-N -1 1 313.365 1.050 20 0 DDADMM CN(Cc1nc2c(c(=O)[n-]1)COCC2)c1ccccc1CO ZINC000878750910 706488280 /nfs/dbraw/zinc/48/82/80/706488280.db2.gz OTUMKHYQSLNLDR-UHFFFAOYSA-N -1 1 301.346 1.384 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)C[C@H]1CCCCC1(F)F ZINC000830395777 706508527 /nfs/dbraw/zinc/50/85/27/706508527.db2.gz XENBESGMVYQCNQ-SNVBAGLBSA-N -1 1 313.366 1.683 20 0 DDADMM O=C([N-]c1nc(C2CC2)no1)c1ccc(CN2CCOCC2)o1 ZINC000878868223 706520662 /nfs/dbraw/zinc/52/06/62/706520662.db2.gz PWCBVHXYTQNILP-UHFFFAOYSA-N -1 1 318.333 1.625 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1C[C@H]2C[C@@]2(CO)C1 ZINC000830638769 706551143 /nfs/dbraw/zinc/55/11/43/706551143.db2.gz ROUVEOOJCKVEIH-RNCFNFMXSA-N -1 1 301.264 1.865 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H]1Cc1ccccc1)c1c[nH]nc1Cl ZINC000830688209 706562237 /nfs/dbraw/zinc/56/22/37/706562237.db2.gz LRAMBZNVUBCBTB-MNOVXSKESA-N -1 1 311.794 1.973 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830808348 706583717 /nfs/dbraw/zinc/58/37/17/706583717.db2.gz ZNWKPRKPHTXWBF-OUJBWJOFSA-N -1 1 306.328 1.948 20 0 DDADMM CCO[C@@H](CC)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827004 706587823 /nfs/dbraw/zinc/58/78/23/706587823.db2.gz IYEZZPOTUZFDSQ-CABZTGNLSA-N -1 1 310.316 1.471 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-][C@H]1CCSC1)c1ccccc1 ZINC000866885336 706835018 /nfs/dbraw/zinc/83/50/18/706835018.db2.gz FQNGPSHBESXUAE-YPMLDQLKSA-N -1 1 320.461 1.483 20 0 DDADMM CCC(CC)(CCO)C[N-]S(=O)(=O)N=[S@](C)(=O)CC ZINC000866905389 706841015 /nfs/dbraw/zinc/84/10/15/706841015.db2.gz XFGWIFNFZKJFOU-GOSISDBHSA-N -1 1 314.473 1.127 20 0 DDADMM CO[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1(C)C ZINC000866938367 706850551 /nfs/dbraw/zinc/85/05/51/706850551.db2.gz SEWGZNJXGDUFQM-BDAKNGLRSA-N -1 1 306.334 1.452 20 0 DDADMM C[C@@H](C[C@H]1CCOC1)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866957460 706855878 /nfs/dbraw/zinc/85/58/78/706855878.db2.gz GHKFAUGTSPTEIH-DTWKUNHWSA-N -1 1 306.334 1.453 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@@H]2C[C@H]2C2CC2)c1 ZINC000880048527 706863216 /nfs/dbraw/zinc/86/32/16/706863216.db2.gz QOGIHIXMOUAFCF-JQWIXIFHSA-N -1 1 324.402 1.675 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2cc(Cl)cnc2Cl)CCOC1 ZINC000867015731 706872813 /nfs/dbraw/zinc/87/28/13/706872813.db2.gz IKRINLGNEUMPKH-JTQLQIEISA-N -1 1 311.190 1.846 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@]1(C)CCO[C@@H]1C1CC1 ZINC000867117722 706901668 /nfs/dbraw/zinc/90/16/68/706901668.db2.gz HRZGWCYMZZZLMT-CLUVUEOHSA-N -1 1 324.468 1.144 20 0 DDADMM CCOC1CC(CC[N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000867172914 706915326 /nfs/dbraw/zinc/91/53/26/706915326.db2.gz KCFGHNCEPUTWDH-UHFFFAOYSA-N -1 1 320.361 1.843 20 0 DDADMM C[C@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867263923 706941761 /nfs/dbraw/zinc/94/17/61/706941761.db2.gz WDPYGLXSCBHVHB-DTWKUNHWSA-N -1 1 306.334 1.311 20 0 DDADMM CO[C@H]1COCC[C@H]1N(C)Cc1nc(=O)c2sccc2[n-]1 ZINC000880427666 706971792 /nfs/dbraw/zinc/97/17/92/706971792.db2.gz DYWNAOGTCNIWGQ-MNOVXSKESA-N -1 1 309.391 1.633 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000909019239 712918020 /nfs/dbraw/zinc/91/80/20/712918020.db2.gz IILHRWYCKBRVEI-DYNIEEOBSA-N -1 1 318.377 1.162 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2C[C@H]3C[C@H]3C2)c1C(F)(F)F ZINC000867435984 707000633 /nfs/dbraw/zinc/00/06/33/707000633.db2.gz PZPXQUTYZIOFOP-RNLVFQAGSA-N -1 1 309.313 1.516 20 0 DDADMM CN(C(=O)N[C@@H]1CCc2nc[nH]c2C1)C1(C(=O)[O-])CCCCC1 ZINC000909021168 712918841 /nfs/dbraw/zinc/91/88/41/712918841.db2.gz UBSXLHXLGFOGAM-LLVKDONJSA-N -1 1 320.393 1.696 20 0 DDADMM CO[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867461316 707009020 /nfs/dbraw/zinc/00/90/20/707009020.db2.gz OBOWQLKZESEEKP-RKDXNWHRSA-N -1 1 306.334 1.596 20 0 DDADMM O=C([O-])[C@@H](O)C1CCN(C[C@H](O)c2ccc(F)cc2F)CC1 ZINC000833770564 707014450 /nfs/dbraw/zinc/01/44/50/707014450.db2.gz JCZYJTFXRJGUBU-KBPBESRZSA-N -1 1 315.316 1.156 20 0 DDADMM CCOC(=O)[C@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000834847627 707137887 /nfs/dbraw/zinc/13/78/87/707137887.db2.gz QKUDKHJCFZVEKE-NSHDSACASA-N -1 1 311.765 2.000 20 0 DDADMM C[C@H]1CCc2[n-]n(C3=NS(=O)(=O)c4ccccc43)c(=O)c21 ZINC000871947405 707251812 /nfs/dbraw/zinc/25/18/12/707251812.db2.gz YSZIEADEFQPHLN-UFBFGSQYSA-N -1 1 303.343 1.380 20 0 DDADMM CN(C)CC(=O)N1CCc2[nH]c3ccc(C(=O)[O-])cc3c2C1 ZINC000909133961 712942953 /nfs/dbraw/zinc/94/29/53/712942953.db2.gz MHKJSOSLRBXFNF-UHFFFAOYSA-N -1 1 301.346 1.312 20 0 DDADMM CCC1CCN(S(=O)(=O)[N-]c2ccn(CCF)n2)CC1 ZINC000872407263 707400274 /nfs/dbraw/zinc/40/02/74/707400274.db2.gz ZQFHYZUFDHTGCT-UHFFFAOYSA-N -1 1 304.391 1.631 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCCOC1 ZINC000872438777 707410719 /nfs/dbraw/zinc/41/07/19/707410719.db2.gz GMTFCBYSMWPXGO-YPMLDQLKSA-N -1 1 312.457 1.144 20 0 DDADMM CN(C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H](CO)CC(C)(C)C ZINC000836576260 707483157 /nfs/dbraw/zinc/48/31/57/707483157.db2.gz VTMHEVTZIZCKTQ-NSHDSACASA-N -1 1 319.409 1.603 20 0 DDADMM CCOC1CC2(C[C@@H]2[N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000872659878 707539989 /nfs/dbraw/zinc/53/99/89/707539989.db2.gz TYPVQTVSYJJOFL-SLYADPJGSA-N -1 1 318.345 1.596 20 0 DDADMM CC1(C)[C@H](CS(=O)(=O)[N-][C@@H]2C(=O)OCC2(C)C)C1(F)F ZINC000882248719 707558067 /nfs/dbraw/zinc/55/80/67/707558067.db2.gz OVLHSUHZVZTSCX-JGVFFNPUSA-N -1 1 311.350 1.149 20 0 DDADMM Cc1nonc1COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000837445675 707650245 /nfs/dbraw/zinc/65/02/45/707650245.db2.gz IWEAFPCVPLFQGM-UHFFFAOYSA-N -1 1 317.348 1.168 20 0 DDADMM CCn1nc(C)c(C(=O)COC(=O)c2ccc([O-])cc2F)n1 ZINC000837613701 707696301 /nfs/dbraw/zinc/69/63/01/707696301.db2.gz WLZOSOMHZOLWDB-UHFFFAOYSA-N -1 1 307.281 1.491 20 0 DDADMM O=C(OC[C@H]1COCCO1)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000837682442 707715064 /nfs/dbraw/zinc/71/50/64/707715064.db2.gz SOHMOBUGQRCXBZ-GFCCVEGCSA-N -1 1 322.292 1.289 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)CCc2cnc[nH]2)C(=O)[O-])cc1 ZINC000909249976 712973766 /nfs/dbraw/zinc/97/37/66/712973766.db2.gz LFUBERYYKFCMAW-CQSZACIVSA-N -1 1 301.346 1.635 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2(c3ncccn3)CCC2)sc1C ZINC000882684706 707748322 /nfs/dbraw/zinc/74/83/22/707748322.db2.gz RYDAGQGURWVJLT-UHFFFAOYSA-N -1 1 324.431 1.908 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@@H]1CCCOC1 ZINC000882760443 707776313 /nfs/dbraw/zinc/77/63/13/707776313.db2.gz DOKDSFMJNXWNIB-NXEZZACHSA-N -1 1 320.361 1.843 20 0 DDADMM CN(CC(=O)NCCc1ccc(OCC(=O)[O-])cc1)C1CCC1 ZINC000909302207 712986054 /nfs/dbraw/zinc/98/60/54/712986054.db2.gz JUWDJWCESMEPPY-UHFFFAOYSA-N -1 1 320.389 1.293 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@](C)(C(=O)[O-])C1CC1 ZINC000909325174 712991620 /nfs/dbraw/zinc/99/16/20/712991620.db2.gz PGNOWIUQSLWTJX-QGZVFWFLSA-N -1 1 304.390 1.530 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCOC2CCSCC2)[n-]1 ZINC000909339410 712995422 /nfs/dbraw/zinc/99/54/22/712995422.db2.gz LCQWFGMVJDNMLN-UHFFFAOYSA-N -1 1 313.375 1.870 20 0 DDADMM CCCCC[C@@H](NC(=O)CN(C)[C@H]1CCSC1)C(=O)[O-] ZINC000909345861 712996580 /nfs/dbraw/zinc/99/65/80/712996580.db2.gz OPALPVRHPPPGTQ-NWDGAFQWSA-N -1 1 302.440 1.573 20 0 DDADMM COc1ccc([C@H](CC(=O)[O-])NC(=O)[C@@H](C)N(C)C)c(OC)c1 ZINC000909368749 713001889 /nfs/dbraw/zinc/00/18/89/713001889.db2.gz QKYJVRPFOXBRCC-MFKMUULPSA-N -1 1 324.377 1.286 20 0 DDADMM O=C(CC[C@H]1CC[C@@H](C2OCCO2)O1)[N-]OCC(F)(F)F ZINC000897032667 708212702 /nfs/dbraw/zinc/21/27/02/708212702.db2.gz XBKAYBDZSHLCLT-BDAKNGLRSA-N -1 1 313.272 1.297 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]([N-]C(=O)C(F)(F)C(F)F)CO1 ZINC000884872127 708402791 /nfs/dbraw/zinc/40/27/91/708402791.db2.gz CFTYOJDPJAIUDH-LURJTMIESA-N -1 1 316.251 1.554 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@@]1(C)[N-]S(=O)(=O)c1ccns1 ZINC000885076831 708464054 /nfs/dbraw/zinc/46/40/54/708464054.db2.gz FNBWMLVUSFQFHX-JOYOIKCWSA-N -1 1 318.420 1.543 20 0 DDADMM CCOC(=O)C[C@@](C)([N-]S(=O)(=O)c1ccns1)C(C)C ZINC000885117624 708475427 /nfs/dbraw/zinc/47/54/27/708475427.db2.gz TVVJORGQGXZGJE-GFCCVEGCSA-N -1 1 320.436 1.789 20 0 DDADMM O=S(=O)([N-]CC1(CO)CC2(CCC2)C1)c1ccns1 ZINC000885150500 708480094 /nfs/dbraw/zinc/48/00/94/708480094.db2.gz YUMKFDLFIZOOSJ-UHFFFAOYSA-N -1 1 302.421 1.364 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCN1CC(F)F)c1ccns1 ZINC000885319957 708519177 /nfs/dbraw/zinc/51/91/77/708519177.db2.gz YFULIIKBUTZDNX-MRVPVSSYSA-N -1 1 311.379 1.151 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2CC3(CCC3)CO2)c1Cl ZINC000885637839 708589748 /nfs/dbraw/zinc/58/97/48/708589748.db2.gz CGHYQYZNIZSBGT-SECBINFHSA-N -1 1 319.814 1.311 20 0 DDADMM O=C(C[C@@H]1CCS(=O)(=O)C1)Nc1cc(F)c([O-])cc1Cl ZINC000885674399 708594406 /nfs/dbraw/zinc/59/44/06/708594406.db2.gz NUHATSHFIRCGCJ-ZETCQYMHSA-N -1 1 321.757 1.948 20 0 DDADMM COCCn1cc(C(=O)Nc2cc(F)c([O-])cc2Cl)nn1 ZINC000885678663 708596175 /nfs/dbraw/zinc/59/61/75/708596175.db2.gz UJQJZNGVMOEINX-UHFFFAOYSA-N -1 1 314.704 1.675 20 0 DDADMM O=C(NCCO[C@H]1CCOC1)c1ncc2ccccc2c1[O-] ZINC000885718013 708606915 /nfs/dbraw/zinc/60/69/15/708606915.db2.gz UPGXLUHXCFFQGM-LBPRGKRZSA-N -1 1 302.330 1.476 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@@H]2C)n[n-]1 ZINC000898435309 708639097 /nfs/dbraw/zinc/63/90/97/708639097.db2.gz GRQJDEXPVKIOLX-XWLWVQCSSA-N -1 1 306.366 1.280 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@@H]2C)n1 ZINC000898435309 708639098 /nfs/dbraw/zinc/63/90/98/708639098.db2.gz GRQJDEXPVKIOLX-XWLWVQCSSA-N -1 1 306.366 1.280 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(F)(F)C1(O)CCC1 ZINC000886266655 708732474 /nfs/dbraw/zinc/73/24/74/708732474.db2.gz UGHJDRFNTMTXIH-UHFFFAOYSA-N -1 1 321.270 1.879 20 0 DDADMM CCn1nnc(C)c1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886268484 708732869 /nfs/dbraw/zinc/73/28/69/708732869.db2.gz QQVCAAHJSZMCQA-UHFFFAOYSA-N -1 1 310.304 1.563 20 0 DDADMM CCOC(=O)[C@@H](CC)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886269725 708733493 /nfs/dbraw/zinc/73/34/93/708733493.db2.gz DXWRSRGUSFEUPW-JTQLQIEISA-N -1 1 315.316 1.918 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000886304809 708739062 /nfs/dbraw/zinc/73/90/62/708739062.db2.gz LIDLTPFTMTYWCU-RISCZKNCSA-N -1 1 315.325 1.932 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cncc(Cl)c1)[C@@H]1CCOC1 ZINC000886482974 708767766 /nfs/dbraw/zinc/76/77/66/708767766.db2.gz YRTNASCBYFUIAO-SKDRFNHKSA-N -1 1 320.798 1.528 20 0 DDADMM C[C@@H](CCCO)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927787430 713056072 /nfs/dbraw/zinc/05/60/72/713056072.db2.gz UMCUDWJZMNFYAA-JTQLQIEISA-N -1 1 316.348 1.921 20 0 DDADMM CCN(CC)c1ccc(C(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC000898754412 708855473 /nfs/dbraw/zinc/85/54/73/708855473.db2.gz VGVKYLWGMAEPKQ-UHFFFAOYSA-N -1 1 316.361 1.664 20 0 DDADMM CCN1CC[C@H]1CNC(=O)C[C@@]1(C(=O)[O-])CCc2ccccc21 ZINC000887316119 709015637 /nfs/dbraw/zinc/01/56/37/709015637.db2.gz KRKLSQNNSADMNP-KSSFIOAISA-N -1 1 316.401 1.556 20 0 DDADMM O=c1c2c(F)ccc([O-])c2cnn1C1=N[C@H]2CCCC[C@@H]2N1 ZINC000899358377 709066750 /nfs/dbraw/zinc/06/67/50/709066750.db2.gz WVTCADCYTVWVQC-QWRGUYRKSA-N -1 1 302.309 1.360 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1c(C)cccc1C ZINC000887959423 709180660 /nfs/dbraw/zinc/18/06/60/709180660.db2.gz VCWUJCHYMWUQGN-UHFFFAOYSA-N -1 1 317.345 1.785 20 0 DDADMM COc1cc(C(=O)N2CCC(=O)N3CCC[C@H]32)cc(Cl)c1[O-] ZINC000888178693 709236062 /nfs/dbraw/zinc/23/60/62/709236062.db2.gz VAKPXJMIKUSRMP-GFCCVEGCSA-N -1 1 324.764 1.849 20 0 DDADMM CC[C@](O)(CC(=O)[N-]OCCCC(=O)OC)c1ccccc1 ZINC000888817162 709385100 /nfs/dbraw/zinc/38/51/00/709385100.db2.gz YAXVGMYIXSCFDV-INIZCTEOSA-N -1 1 309.362 1.675 20 0 DDADMM C[C@]1(NC(=O)c2ccc(C(F)(F)F)c([O-])c2)CCNC1=O ZINC000889030984 709435965 /nfs/dbraw/zinc/43/59/65/709435965.db2.gz ADBOOHDDCBGXBA-LBPRGKRZSA-N -1 1 302.252 1.419 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)c2c(F)cccc2F)CCNC1=O ZINC000889034241 709436919 /nfs/dbraw/zinc/43/69/19/709436919.db2.gz RSLGDTSKIXUQBL-LBPRGKRZSA-N -1 1 304.243 1.451 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]CCCC(F)(F)F)s1 ZINC000914208588 713359293 /nfs/dbraw/zinc/35/92/93/713359293.db2.gz WPWVFZIKNAHECR-UHFFFAOYSA-N -1 1 304.315 1.773 20 0 DDADMM C[C@@]1(NC(=O)Cc2n[nH]c3c2CCCC3)CCCC[C@H]1C(=O)[O-] ZINC000909532468 709504188 /nfs/dbraw/zinc/50/41/88/709504188.db2.gz YCTGLZCYBTXXIQ-YVEFUNNKSA-N -1 1 319.405 1.981 20 0 DDADMM O=C(NCCOCC1CCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000909549858 709511798 /nfs/dbraw/zinc/51/17/98/709511798.db2.gz SICZYYYTBUOYCV-UHFFFAOYSA-N -1 1 305.378 1.996 20 0 DDADMM CC[C@H](C)[C@@H](OC)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912862372 713110955 /nfs/dbraw/zinc/11/09/55/713110955.db2.gz NZTSQVFTMJFJHC-LPEHRKFASA-N -1 1 301.416 1.171 20 0 DDADMM CSCC[C@H](NC(=O)c1cccc(SC)n1)c1nn[n-]n1 ZINC000912863378 713111446 /nfs/dbraw/zinc/11/14/46/713111446.db2.gz WASSERWQMKAMOG-QMMMGPOBSA-N -1 1 324.435 1.541 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)Cc1cccnc1 ZINC000889664488 709576375 /nfs/dbraw/zinc/57/63/75/709576375.db2.gz QZZYBUAAGVMSCV-SECBINFHSA-N -1 1 318.402 1.969 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCC[C@H](OCC3CC3)C2)C1 ZINC000909727845 709597877 /nfs/dbraw/zinc/59/78/77/709597877.db2.gz HVSKCIVJGJJHDF-CABCVRRESA-N -1 1 324.421 1.201 20 0 DDADMM CN(C(=O)[C@@H]1CCCCN1C)c1ccc(OCC(=O)[O-])cc1 ZINC000909779565 709616048 /nfs/dbraw/zinc/61/60/48/709616048.db2.gz HTFUWCWYLQTVNS-AWEZNQCLSA-N -1 1 306.362 1.597 20 0 DDADMM CN(C)CC(=O)N[C@H](C[C@H]1CCCc2ccccc21)C(=O)[O-] ZINC000909785684 709619762 /nfs/dbraw/zinc/61/97/62/709619762.db2.gz CZDWOMNWNWAPGJ-UKRRQHHQSA-N -1 1 304.390 1.628 20 0 DDADMM CCC[C@@H](CNC(=O)CN(C)CCc1ccccc1)C(=O)[O-] ZINC000909810877 709633211 /nfs/dbraw/zinc/63/32/11/709633211.db2.gz CZYJXQSVCRWEGH-HNNXBMFYSA-N -1 1 306.406 1.778 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)COC2(C)CCC2)[n-]c1=O ZINC000889789850 709637065 /nfs/dbraw/zinc/63/70/65/709637065.db2.gz KIFNJSZPXVHVLQ-NSHDSACASA-N -1 1 321.377 1.814 20 0 DDADMM CCn1ccnc1C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889789861 709637120 /nfs/dbraw/zinc/63/71/20/709637120.db2.gz KQEAXYOTSKPLKW-JTQLQIEISA-N -1 1 317.349 1.385 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2c[nH]cc2C)[n-]c1=O ZINC000889794478 709639588 /nfs/dbraw/zinc/63/95/88/709639588.db2.gz KKXMABZYJOAUKN-LLVKDONJSA-N -1 1 302.334 1.805 20 0 DDADMM CC(C)[N@@H+](C)CC(=O)N[C@@H](C)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909821418 709640208 /nfs/dbraw/zinc/64/02/08/709640208.db2.gz VQVZHQHIIWUVPK-DZGCQCFKSA-N -1 1 306.406 1.775 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@H](NC(=O)[C@@H]2CCCCN2C)C(=O)[O-])C1 ZINC000909912102 709685204 /nfs/dbraw/zinc/68/52/04/709685204.db2.gz RZMIIFMLHWTICR-CRWXNKLISA-N -1 1 312.410 1.245 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)CCCCc2cn[nH]n2)CC12CCC2 ZINC000909941956 709698711 /nfs/dbraw/zinc/69/87/11/709698711.db2.gz UQKRYWYGBUGZLB-HNNXBMFYSA-N -1 1 324.356 1.323 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)C[C@@H](C)C1 ZINC000909945282 709700665 /nfs/dbraw/zinc/70/06/65/709700665.db2.gz ZBNZUDHATLUBKT-YJNKXOJESA-N -1 1 310.438 1.972 20 0 DDADMM CC[C@@H](O)[C@@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000900708629 709722274 /nfs/dbraw/zinc/72/22/74/709722274.db2.gz DJCJQNMIBMYTNB-PRHODGIISA-N -1 1 306.366 1.075 20 0 DDADMM CSC[C@@](C)(O)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900785843 709757307 /nfs/dbraw/zinc/75/73/07/709757307.db2.gz HGYOATIALSDSPW-HNNXBMFYSA-N -1 1 324.377 1.923 20 0 DDADMM COc1cc(OC)nc(C(=O)[N-]c2noc3c2CCCC3)n1 ZINC000900788628 709758355 /nfs/dbraw/zinc/75/83/55/709758355.db2.gz PBYFHGPCHUNBDQ-UHFFFAOYSA-N -1 1 304.306 1.613 20 0 DDADMM O=C([O-])[C@@]1(Cc2ccccc2)CCCN1C(=O)CN1CCCC1 ZINC000910098906 709772240 /nfs/dbraw/zinc/77/22/40/709772240.db2.gz BPJRPMFUMKDMJH-SFHVURJKSA-N -1 1 316.401 1.771 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H](O)[C@@H](F)C3)cnc2n1 ZINC000900839595 709785531 /nfs/dbraw/zinc/78/55/31/709785531.db2.gz VHVDPVIXBBMOHM-NWDGAFQWSA-N -1 1 305.309 1.189 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](O)[C@@H](F)C3)c[n-]c2n1 ZINC000900839595 709785533 /nfs/dbraw/zinc/78/55/33/709785533.db2.gz VHVDPVIXBBMOHM-NWDGAFQWSA-N -1 1 305.309 1.189 20 0 DDADMM O=C([O-])[C@@H](F)C1CN(C(=O)c2cc(-c3ccc(F)cc3)[nH]n2)C1 ZINC000910137743 709787641 /nfs/dbraw/zinc/78/76/41/709787641.db2.gz ZIOQGEGXQYSQSJ-ZDUSSCGKSA-N -1 1 321.283 1.711 20 0 DDADMM Cc1cnc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c(C)c1 ZINC000910169854 709796153 /nfs/dbraw/zinc/79/61/53/709796153.db2.gz GLXAEWLQWVVIMT-ZDUSSCGKSA-N -1 1 305.378 1.319 20 0 DDADMM CC(C)n1cnc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1 ZINC000910176895 709798650 /nfs/dbraw/zinc/79/86/50/709798650.db2.gz GHRBNYLDWXYLLL-UHFFFAOYSA-N -1 1 308.382 1.085 20 0 DDADMM CC(C)(C(=O)[O-])[NH+]1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000910181398 709800219 /nfs/dbraw/zinc/80/02/19/709800219.db2.gz RYFVVOAXPNBTRQ-UHFFFAOYSA-N -1 1 310.325 1.152 20 0 DDADMM C[C@H](CC[S@](C)=O)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900877979 709805434 /nfs/dbraw/zinc/80/54/34/709805434.db2.gz IYNMSZMHEJKWLI-CLTRCRFRSA-N -1 1 324.377 1.966 20 0 DDADMM CC1CCN(CC(=O)N[C@H](C[C@H]2CCCOC2)C(=O)[O-])CC1 ZINC000910239808 709833795 /nfs/dbraw/zinc/83/37/95/709833795.db2.gz DWGMEYXMMMIVTA-ZIAGYGMSSA-N -1 1 312.410 1.104 20 0 DDADMM Cc1cc2c(cc1C)N(C(=O)CN1CCC[C@@H](C(=O)[O-])C1)CC2 ZINC000910241765 709835012 /nfs/dbraw/zinc/83/50/12/709835012.db2.gz KXBRRPWXLCSVOZ-OAHLLOKOSA-N -1 1 316.401 1.989 20 0 DDADMM C[C@@H]1CN(C(=O)c2cn[nH]c2-c2ccccn2)C[C@@]1(C)C(=O)[O-] ZINC000910261509 709845815 /nfs/dbraw/zinc/84/58/15/709845815.db2.gz YXPITDLKSXOYEX-QLJPJBMISA-N -1 1 314.345 1.655 20 0 DDADMM CO[C@@H]1CN(C(=O)c2n[nH]cc2C(F)(F)F)[C@](C)(C(=O)[O-])C1 ZINC000910267641 709851821 /nfs/dbraw/zinc/85/18/21/709851821.db2.gz UTSKGAWUDMEEEH-KGFZYKRKSA-N -1 1 321.255 1.133 20 0 DDADMM C[C@@H](C(=O)N[C@H](C(=O)[O-])c1cccc(C(F)(F)F)c1)N(C)C ZINC000910378814 709915530 /nfs/dbraw/zinc/91/55/30/709915530.db2.gz BRHXVKRNKSNYIT-KWQFWETISA-N -1 1 318.295 1.897 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CC[C@H](O)[C@H](F)C1 ZINC000890680318 709948681 /nfs/dbraw/zinc/94/86/81/709948681.db2.gz HHHFQQNUWYRBPX-MNOVXSKESA-N -1 1 308.284 1.624 20 0 DDADMM C[C@]1(CCCO)CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901289247 709998518 /nfs/dbraw/zinc/99/85/18/709998518.db2.gz NYOVMXOWZMTNPM-MRXNPFEDSA-N -1 1 305.378 1.827 20 0 DDADMM CCc1cc(C(=O)N(C)[C@@H](C(=O)[O-])c2cccc(OC)c2)n[nH]1 ZINC000910767811 710069399 /nfs/dbraw/zinc/06/93/99/710069399.db2.gz BNDCDBURKACMAI-CQSZACIVSA-N -1 1 317.345 1.879 20 0 DDADMM COc1cccc([C@@H](C(=O)[O-])N(C)C(=O)CCc2cnc[nH]2)c1 ZINC000910773087 710070851 /nfs/dbraw/zinc/07/08/51/710070851.db2.gz LTLMIYKBOPSUQN-HNNXBMFYSA-N -1 1 317.345 1.635 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1COC[C@@H]1C1CC1 ZINC000891062398 710076636 /nfs/dbraw/zinc/07/66/36/710076636.db2.gz YLINASXXIKPLIS-VXGBXAGGSA-N -1 1 305.378 1.769 20 0 DDADMM Cn1ncc(C(=O)[O-])c1C(=O)N1CCN(C2CCCCC2)CC1 ZINC000901605087 710092105 /nfs/dbraw/zinc/09/21/05/710092105.db2.gz GNAPMNNMISUGOS-UHFFFAOYSA-N -1 1 320.393 1.209 20 0 DDADMM CC[C@H]1CN(C(=O)[C@H](OC)C(=O)[O-])CCN1Cc1ccccc1 ZINC000901617870 710097043 /nfs/dbraw/zinc/09/70/43/710097043.db2.gz PYJSJOJMFRGBNB-GJZGRUSLSA-N -1 1 320.389 1.209 20 0 DDADMM COc1ccc([C@H](NCc2cnc(N(C)C)nc2)C(=O)[O-])cc1 ZINC000901651070 710108190 /nfs/dbraw/zinc/10/81/90/710108190.db2.gz ZSNSWBIQYMNPHJ-AWEZNQCLSA-N -1 1 316.361 1.467 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC000901662803 710113218 /nfs/dbraw/zinc/11/32/18/710113218.db2.gz QLPNUYYAUGQNIW-YAMSLAJTSA-N -1 1 305.378 1.645 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1cc2c(cc1OC)OCO2 ZINC000901662466 710113287 /nfs/dbraw/zinc/11/32/87/710113287.db2.gz BBOPJSOLIZAPGB-UHFFFAOYSA-N -1 1 311.334 1.347 20 0 DDADMM C[C@]12CSC[C@H]1CN(C(=O)c1ccc3n[n-]c(=S)n3c1)C2 ZINC000891180460 710117317 /nfs/dbraw/zinc/11/73/17/710117317.db2.gz GXSXHYVXSZOGMD-YGRLFVJLSA-N -1 1 320.443 1.843 20 0 DDADMM C[C@H]1CCN(C(=O)CN(C)CCc2ccccc2)C[C@@H]1C(=O)[O-] ZINC000901698316 710122846 /nfs/dbraw/zinc/12/28/46/710122846.db2.gz WRJJSNGONOTHAD-HOCLYGCPSA-N -1 1 318.417 1.730 20 0 DDADMM C[C@H](NCc1cnc2ccc(Cl)cn12)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901744210 710138345 /nfs/dbraw/zinc/13/83/45/710138345.db2.gz UHNWFNIWWMAGKF-IUCAKERBSA-N -1 1 324.768 1.055 20 0 DDADMM COCc1nc(N2CCN(c3ccccn3)CC2)cc(=O)[n-]1 ZINC000891434864 710200512 /nfs/dbraw/zinc/20/05/12/710200512.db2.gz RXUYVOQBGKFSNE-UHFFFAOYSA-N -1 1 301.350 1.050 20 0 DDADMM COCc1nc(N2CCN(c3nc(C)cs3)CC2)cc(=O)[n-]1 ZINC000891761248 710287883 /nfs/dbraw/zinc/28/78/83/710287883.db2.gz CKMQVAFOEWDOPE-UHFFFAOYSA-N -1 1 321.406 1.420 20 0 DDADMM CCCCNC(=O)C1CCN(c2cc(=O)[n-]c(COC)n2)CC1 ZINC000891780669 710293105 /nfs/dbraw/zinc/29/31/05/710293105.db2.gz BABAYOYWIFQXJY-UHFFFAOYSA-N -1 1 322.409 1.461 20 0 DDADMM CCOC(=O)[C@@H]1CCCN(CC(=O)Nc2cccc(F)c2[O-])C1 ZINC000913158441 713173161 /nfs/dbraw/zinc/17/31/61/713173161.db2.gz DZZKRICAHUQWOK-LLVKDONJSA-N -1 1 324.352 1.745 20 0 DDADMM COCc1nc(NC[C@H]2CCN(CC(F)(F)F)C2)cc(=O)[n-]1 ZINC000891893226 710319576 /nfs/dbraw/zinc/31/95/76/710319576.db2.gz LOXOZITUBNTUMG-SECBINFHSA-N -1 1 320.315 1.625 20 0 DDADMM COCc1nc(NCc2cccc3c2OCCO3)cc(=O)[n-]1 ZINC000892433113 710436187 /nfs/dbraw/zinc/43/61/87/710436187.db2.gz YOTRTJPIYWODGT-UHFFFAOYSA-N -1 1 303.318 1.712 20 0 DDADMM [O-]c1cc(Br)cnc1CNC[C@@H](O)C(F)(F)F ZINC000892669788 710478998 /nfs/dbraw/zinc/47/89/98/710478998.db2.gz XFXWTNSHUAPWNM-MRVPVSSYSA-N -1 1 315.089 1.563 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@H]2CCN(C)[C@H]2C)c1 ZINC000928434258 713193767 /nfs/dbraw/zinc/19/37/67/713193767.db2.gz WDAKCROJXSEGKA-YLTSQODRSA-N -1 1 310.419 1.012 20 0 DDADMM CN(CC(=O)Nc1cnn(C(C)(C)C(=O)[O-])c1)CC(C)(C)C ZINC000902055345 710597809 /nfs/dbraw/zinc/59/78/09/710597809.db2.gz GMPMTMVLHIOWRI-UHFFFAOYSA-N -1 1 310.398 1.619 20 0 DDADMM Cc1cc(CNCC(=O)NC(C)C)cc(C)c1OCC(=O)[O-] ZINC000902079836 710606925 /nfs/dbraw/zinc/60/69/25/710606925.db2.gz ILXOSLFHIVVMEJ-UHFFFAOYSA-N -1 1 308.378 1.381 20 0 DDADMM Cc1cccc(OC[C@H](O)CNCc2cccc(C(=O)[O-])n2)c1 ZINC000902179914 710651093 /nfs/dbraw/zinc/65/10/93/710651093.db2.gz YEFWZTQYBTVXRL-CQSZACIVSA-N -1 1 316.357 1.618 20 0 DDADMM CN(C)[C@H](CNC(=O)c1cc(C(=O)[O-])on1)c1ccsc1 ZINC000911192824 710677570 /nfs/dbraw/zinc/67/75/70/710677570.db2.gz GNHUAPXBYDKBLI-SNVBAGLBSA-N -1 1 309.347 1.467 20 0 DDADMM CN(C)[C@@H](CNC(=O)Cn1cc(C(=O)[O-])cn1)c1ccsc1 ZINC000911194623 710678275 /nfs/dbraw/zinc/67/82/75/710678275.db2.gz UFCIEOUOGXSLHF-LBPRGKRZSA-N -1 1 322.390 1.062 20 0 DDADMM Cc1cc(CCNCc2cn(CC(=O)[O-])nn2)ccc1Cl ZINC000902261952 710685281 /nfs/dbraw/zinc/68/52/81/710685281.db2.gz DKAACMZBMLQKME-UHFFFAOYSA-N -1 1 308.769 1.657 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)NCCCC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000911232119 710699898 /nfs/dbraw/zinc/69/98/98/710699898.db2.gz HJRHDTXKFQZFPA-FRRDWIJNSA-N -1 1 300.399 1.103 20 0 DDADMM CCn1nncc1CNCc1cc(C)c(OCC(=O)[O-])c(C)c1 ZINC000902298414 710703465 /nfs/dbraw/zinc/70/34/65/710703465.db2.gz XFVLZAZGXIOXIL-UHFFFAOYSA-N -1 1 318.377 1.668 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000913345975 713202333 /nfs/dbraw/zinc/20/23/33/713202333.db2.gz DLYQTKIOQNXITF-PSASIEDQSA-N -1 1 316.288 1.325 20 0 DDADMM CC[C@@H](CNCc1cn(CC(=O)[O-])nn1)Oc1cccc(F)c1 ZINC000902359417 710728895 /nfs/dbraw/zinc/72/88/95/710728895.db2.gz NUTIVVULUKBFSO-ZDUSSCGKSA-N -1 1 322.340 1.449 20 0 DDADMM CCOCc1nc(C)cc(N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000893665748 710769203 /nfs/dbraw/zinc/76/92/03/710769203.db2.gz NQCXAARVSZEOAT-NSHDSACASA-N -1 1 319.365 1.794 20 0 DDADMM CC(C)[C@H]1CN(C(=O)C2(C(=O)[O-])C(C)(C)C2(C)C)CCN1C ZINC000902491495 710769635 /nfs/dbraw/zinc/76/96/35/710769635.db2.gz ZKPUMGUQVKIYAA-GFCCVEGCSA-N -1 1 310.438 1.922 20 0 DDADMM CN(CC(=O)Nc1ccc(O)c(C(=O)[O-])c1)[C@H]1CCSC1 ZINC000911462648 710809987 /nfs/dbraw/zinc/80/99/87/710809987.db2.gz CMHQQIMBIHZAGQ-JTQLQIEISA-N -1 1 310.375 1.466 20 0 DDADMM CO[C@@H](C(=O)[O-])C(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000902627208 710825649 /nfs/dbraw/zinc/82/56/49/710825649.db2.gz JFHBDQLFOVQTBN-GXFFZTMASA-N -1 1 309.366 1.339 20 0 DDADMM CCCO[N-]C(=O)[C@@H](C)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC000911552952 710849462 /nfs/dbraw/zinc/84/94/62/710849462.db2.gz QOSJBAUWTAHVSS-QWRGUYRKSA-N -1 1 304.387 1.308 20 0 DDADMM C[C@H]1CN(CC(C)(C)O)CCN1C(=O)c1ccc(C(=O)[O-])cc1 ZINC000911581809 710866393 /nfs/dbraw/zinc/86/63/93/710866393.db2.gz ZZOCLPAFMXAPRM-LBPRGKRZSA-N -1 1 320.389 1.302 20 0 DDADMM Cc1[nH]ncc1C1CCN(C(=O)c2csc(C(=O)[O-])n2)CC1 ZINC000911591649 710871892 /nfs/dbraw/zinc/87/18/92/710871892.db2.gz TZEXIDYTLDYCMA-UHFFFAOYSA-N -1 1 320.374 1.893 20 0 DDADMM CSC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC000913438979 713215983 /nfs/dbraw/zinc/21/59/83/713215983.db2.gz VHLFRECJIKSMAB-LLVKDONJSA-N -1 1 303.391 1.691 20 0 DDADMM C[C@@H]1C(=O)N[C@@H](C)[C@H](C)N1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000911778250 710980814 /nfs/dbraw/zinc/98/08/14/710980814.db2.gz LHOLFAFKOSIIMJ-LPEHRKFASA-N -1 1 310.781 1.712 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2nnc(C(F)F)[nH]2)c(C)c1 ZINC000903149633 711048084 /nfs/dbraw/zinc/04/80/84/711048084.db2.gz BTRPKLYRZCAKIM-UHFFFAOYSA-N -1 1 318.305 1.860 20 0 DDADMM Cc1nc([C@@H](C)NCc2ncc(Br)cc2[O-])n[nH]1 ZINC000894466695 711136253 /nfs/dbraw/zinc/13/62/53/711136253.db2.gz DRECPKIBTDDPHK-ZCFIWIBFSA-N -1 1 312.171 1.827 20 0 DDADMM CO[C@](C)(CO)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000912051284 711146628 /nfs/dbraw/zinc/14/66/28/711146628.db2.gz MFCOLZVMMULKRY-HNNXBMFYSA-N -1 1 308.309 1.207 20 0 DDADMM Cc1ccccc1CCC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494571 713237224 /nfs/dbraw/zinc/23/72/24/713237224.db2.gz FNIZZDGVZXJKKO-CYBMUJFWSA-N -1 1 301.350 1.041 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]C1(c2ccccc2F)COC1 ZINC000903653990 711236553 /nfs/dbraw/zinc/23/65/53/711236553.db2.gz RTZBMDLRGKVXHW-JTQLQIEISA-N -1 1 303.355 1.006 20 0 DDADMM CCN(CCC1(C(=O)[O-])CCC1)[C@@H](C)CS(=O)(=O)CC ZINC000903695621 711246717 /nfs/dbraw/zinc/24/67/17/711246717.db2.gz DXLKKPRSBNIDBS-LBPRGKRZSA-N -1 1 305.440 1.777 20 0 DDADMM CCON(CC)C(=O)C[N-]c1nnc(Cc2ccccc2)o1 ZINC000894714518 711245892 /nfs/dbraw/zinc/24/58/92/711245892.db2.gz CUHYURZCVAXXTO-UHFFFAOYSA-N -1 1 304.350 1.872 20 0 DDADMM O=C(CC[C@H]1CC1(Cl)Cl)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913498586 713239215 /nfs/dbraw/zinc/23/92/15/713239215.db2.gz IOVIJDBSBGVFCR-JGVFFNPUSA-N -1 1 320.180 1.074 20 0 DDADMM CSc1c(F)cccc1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913498659 713239327 /nfs/dbraw/zinc/23/93/27/713239327.db2.gz PVTFIVHSEICUEG-SNVBAGLBSA-N -1 1 323.353 1.274 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)nc1 ZINC000913498604 713239406 /nfs/dbraw/zinc/23/94/06/713239406.db2.gz ITNAOXXSKHQMLV-LBPRGKRZSA-N -1 1 316.365 1.106 20 0 DDADMM CC1(C)CC(C(=O)N2CCOC[C@H]2c2nn[n-]n2)CC(C)(C)C1 ZINC000913498448 713239428 /nfs/dbraw/zinc/23/94/28/713239428.db2.gz HNRKNHNNDWDYLZ-LBPRGKRZSA-N -1 1 321.425 1.952 20 0 DDADMM CCCN(CC(=O)NC)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895815240 711614580 /nfs/dbraw/zinc/61/45/80/711614580.db2.gz YSMFIVPYIIOACU-UHFFFAOYSA-N -1 1 316.357 1.849 20 0 DDADMM O=C(N[C@H]1[C@@H]2OC[C@@H]3C[C@H]1C[C@@H]32)c1cnc(C2CC2)[n-]c1=O ZINC000913652435 713275638 /nfs/dbraw/zinc/27/56/38/713275638.db2.gz CXGBSAKPEAJTCB-CXWACVEQSA-N -1 1 301.346 1.213 20 0 DDADMM O=C(NC12CCN(CC1)C2)c1cccc(C(F)(F)F)c1[O-] ZINC000913635480 713273697 /nfs/dbraw/zinc/27/36/97/713273697.db2.gz GRLNRPRYVGKVQL-UHFFFAOYSA-N -1 1 300.280 1.989 20 0 DDADMM CC[C@H](C)n1nc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1C ZINC000913744375 713292881 /nfs/dbraw/zinc/29/28/81/713292881.db2.gz LQXJQKRTSBJYSZ-JTQLQIEISA-N -1 1 317.397 1.695 20 0 DDADMM CC(C)(C(=O)N1CCC(c2nn[n-]n2)CC1)C1CCOCC1 ZINC000913744745 713293150 /nfs/dbraw/zinc/29/31/50/713293150.db2.gz BRGIVADABIADPT-UHFFFAOYSA-N -1 1 307.398 1.359 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1C=O ZINC000913745138 713293397 /nfs/dbraw/zinc/29/33/97/713293397.db2.gz QCVPDZWZPGEMPH-UHFFFAOYSA-N -1 1 313.361 1.649 20 0 DDADMM O=C([C@@H]1CCc2ccccc2C1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745924 713293830 /nfs/dbraw/zinc/29/38/30/713293830.db2.gz HEBARJXDPMRMDY-OAHLLOKOSA-N -1 1 311.389 1.711 20 0 DDADMM O=C([O-])[C@@H](c1ccccc1)N1CCN(C(=O)OCC2CC2)CC1 ZINC000905991503 712213072 /nfs/dbraw/zinc/21/30/72/712213072.db2.gz RCNXBCMQFKHTBQ-OAHLLOKOSA-N -1 1 318.373 1.977 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]c1ccc(F)nc1 ZINC000906062472 712234368 /nfs/dbraw/zinc/23/43/68/712234368.db2.gz ZHKOYTIOTIJMOD-SFHVURJKSA-N -1 1 309.388 1.774 20 0 DDADMM C[C@H]1C[C@H](CCNC(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000907334083 712545988 /nfs/dbraw/zinc/54/59/88/712545988.db2.gz DJDBOSQNDNPKMO-WDEREUQCSA-N -1 1 305.378 1.995 20 0 DDADMM C[C@H](CN(C)C(=O)c1nsc2ccccc21)c1nn[n-]n1 ZINC000907349584 712549786 /nfs/dbraw/zinc/54/97/86/712549786.db2.gz HARLUKCMMRUDKJ-MRVPVSSYSA-N -1 1 302.363 1.685 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@H]1CCC2(CCC2)CO1)c1nn[n-]n1 ZINC000907350622 712550010 /nfs/dbraw/zinc/55/00/10/712550010.db2.gz SXMAFJIWKGQBNQ-NEPJUHHUSA-N -1 1 307.398 1.501 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)s1 ZINC000907472136 712580653 /nfs/dbraw/zinc/58/06/53/712580653.db2.gz MHKFXAUWQASCJO-MXQLGCADSA-N -1 1 322.419 1.295 20 0 DDADMM CCO[C@@H](CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)C(C)C ZINC000907473372 712581322 /nfs/dbraw/zinc/58/13/22/712581322.db2.gz GQVPCKLPNVUSJD-MNOVXSKESA-N -1 1 313.427 1.267 20 0 DDADMM O=C(c1cc2occc2s1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907474487 712581860 /nfs/dbraw/zinc/58/18/60/712581860.db2.gz LDCOSWRRVPHJEX-SSDOTTSWSA-N -1 1 321.387 1.938 20 0 DDADMM O=C(C=Cc1ccccc1F)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477162 712582429 /nfs/dbraw/zinc/58/24/29/712582429.db2.gz RPHYAEODBLDPQR-BTDICHCPSA-N -1 1 319.365 1.669 20 0 DDADMM CCc1c(C(=O)N2CCSC[C@H]2c2nn[n-]n2)ccn1C ZINC000907479755 712583157 /nfs/dbraw/zinc/58/31/57/712583157.db2.gz HYXMOZVPOQXVRY-NSHDSACASA-N -1 1 306.395 1.031 20 0 DDADMM CC(=O)CC(C)(C)CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480129 712583505 /nfs/dbraw/zinc/58/35/05/712583505.db2.gz QHGAVPXDVJCWCW-JTQLQIEISA-N -1 1 311.411 1.212 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481306 712583842 /nfs/dbraw/zinc/58/38/42/712583842.db2.gz VITRPZJKIVAWEF-ZJNQMXKESA-N -1 1 316.390 1.315 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2cnn(CCF)c2)sn1 ZINC000907653767 712609309 /nfs/dbraw/zinc/60/93/09/712609309.db2.gz WNMFJJVIPGFFGL-UHFFFAOYSA-N -1 1 304.372 1.096 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)sc1C ZINC000907768144 712624156 /nfs/dbraw/zinc/62/41/56/712624156.db2.gz UKIXDDKBEJPQDN-XXFSISAASA-N -1 1 300.405 1.214 20 0 DDADMM CC(C)(C)[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000907854639 712636306 /nfs/dbraw/zinc/63/63/06/712636306.db2.gz HAVMYZBBEORBSW-GFCCVEGCSA-N -1 1 305.378 1.947 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)C[C@@H]3CCCC3(F)F)CC2)n1 ZINC000907860341 712637426 /nfs/dbraw/zinc/63/74/26/712637426.db2.gz ORYXZVIKLAYIIT-VIFPVBQESA-N -1 1 321.349 1.722 20 0 DDADMM CCC[C@@H](CC(C)C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907940047 712652070 /nfs/dbraw/zinc/65/20/70/712652070.db2.gz IMRPMQXTGHESML-LBPRGKRZSA-N -1 1 309.414 1.472 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2C[C@H](NC(=O)[C@@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC000907965783 712656659 /nfs/dbraw/zinc/65/66/59/712656659.db2.gz OMWOFWKHHRSXFB-GIBVNIFISA-N -1 1 303.362 1.445 20 0 DDADMM CCCS(=O)(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000908017535 712666799 /nfs/dbraw/zinc/66/67/99/712666799.db2.gz URODEPRLTVUNNK-SECBINFHSA-N -1 1 301.368 1.068 20 0 DDADMM CCO[C@]12CCC[C@@]1([N-]S(=O)(=O)C[C@H](C)OC)CCO2 ZINC000908018464 712667143 /nfs/dbraw/zinc/66/71/43/712667143.db2.gz GFMJHMBQXXQOEK-XQQFMLRXSA-N -1 1 307.412 1.017 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C2CC(CCO)C2)c(F)c1 ZINC000908058192 712673306 /nfs/dbraw/zinc/67/33/06/712673306.db2.gz MRPPDGLBYRTJNQ-UHFFFAOYSA-N -1 1 305.346 1.713 20 0 DDADMM CN(CC(=O)N1CCc2ccc(C(=O)[O-])cc21)[C@H]1CCSC1 ZINC000908063289 712674573 /nfs/dbraw/zinc/67/45/73/712674573.db2.gz WZFJBINUWUCZTL-ZDUSSCGKSA-N -1 1 320.414 1.711 20 0 DDADMM CCCN(CC(N)=O)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000908363765 712752397 /nfs/dbraw/zinc/75/23/97/712752397.db2.gz SJIAKZUAHXAXCR-UHFFFAOYSA-N -1 1 305.309 1.417 20 0 DDADMM CC(C)O[N-]C(=O)[C@H](C)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC000908570344 712809630 /nfs/dbraw/zinc/80/96/30/712809630.db2.gz QPPUYLOWMOCONS-GHMZBOCLSA-N -1 1 304.387 1.307 20 0 DDADMM CN(CC(=O)NCCc1ccc(C(=O)[O-])cc1)[C@H]1CCSC1 ZINC000908604466 712819684 /nfs/dbraw/zinc/81/96/84/712819684.db2.gz KWRWPFYVRRZNOY-AWEZNQCLSA-N -1 1 322.430 1.481 20 0 DDADMM O=C([O-])[C@H](CCF)NC(=O)N[C@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC000908757324 712848855 /nfs/dbraw/zinc/84/88/55/712848855.db2.gz UCZXIUZKXHSXHZ-KBPBESRZSA-N -1 1 323.368 1.373 20 0 DDADMM CN(C)[C@@H](CNC(=O)NC1(C(=O)[O-])CCC1)c1ccc(F)cc1 ZINC000908816929 712861151 /nfs/dbraw/zinc/86/11/51/712861151.db2.gz DNIRTWNUPUNDOO-ZDUSSCGKSA-N -1 1 323.368 1.735 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)N(C)[C@@H](C)C(=O)[O-])N2CCCC2)o1 ZINC000908924576 712888538 /nfs/dbraw/zinc/88/85/38/712888538.db2.gz IJIBIORDBZWELH-QWHCGFSZSA-N -1 1 323.393 1.839 20 0 DDADMM C[C@@](NC(=O)[C@H]1CCc2[nH]cnc2C1)(C(=O)[O-])c1ccccc1 ZINC000908934850 712891047 /nfs/dbraw/zinc/89/10/47/712891047.db2.gz ZMKYNCPEVDXHEF-GTNSWQLSSA-N -1 1 313.357 1.631 20 0 DDADMM CCC(=O)N[C@H](C)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000914672546 713399184 /nfs/dbraw/zinc/39/91/84/713399184.db2.gz SSRQTKPDJJNODO-SECBINFHSA-N -1 1 319.313 1.457 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1cc2c(ccc3ccccc23)[nH]1 ZINC000914831107 713402534 /nfs/dbraw/zinc/40/25/34/713402534.db2.gz GLONAQCAZMETCT-UHFFFAOYSA-N -1 1 308.297 1.518 20 0 DDADMM C[C@@H](CSCCS(C)(=O)=O)C(=O)c1ccc([O-])cc1 ZINC000917008222 713478096 /nfs/dbraw/zinc/47/80/96/713478096.db2.gz YIJGCTPHKXEFBY-JTQLQIEISA-N -1 1 302.417 1.989 20 0 DDADMM O=C(CN1CCSC1=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000917113963 713482988 /nfs/dbraw/zinc/48/29/88/713482988.db2.gz PVGLWJUCGUPDNR-UHFFFAOYSA-N -1 1 318.358 1.890 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC000918056153 713526867 /nfs/dbraw/zinc/52/68/67/713526867.db2.gz PXSKPGHCRDFMHH-NWDGAFQWSA-N -1 1 307.412 1.017 20 0 DDADMM COC(=O)C[N-]C(=O)C(F)(F)c1cc(Cl)ccc1OC ZINC000929222691 713570082 /nfs/dbraw/zinc/57/00/82/713570082.db2.gz KRJQLSDRHVBZOW-UHFFFAOYSA-N -1 1 307.680 1.730 20 0 DDADMM CC(C)C(=O)[C@H]([N-]S(=O)(=O)c1ccn(C)n1)c1ccccc1 ZINC000920546871 713678546 /nfs/dbraw/zinc/67/85/46/713678546.db2.gz OSASASOHPNTLJW-CQSZACIVSA-N -1 1 321.402 1.665 20 0 DDADMM C[C@H](CN1CCN(C2CC2)C(=O)C1)C(=O)c1ccc([O-])cc1 ZINC000929784614 713689274 /nfs/dbraw/zinc/68/92/74/713689274.db2.gz YEVJYXSRLBYGKD-GFCCVEGCSA-N -1 1 302.374 1.518 20 0 DDADMM COC(=O)/C(C)=C/C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000921236111 713724700 /nfs/dbraw/zinc/72/47/00/713724700.db2.gz DIUVTZPOJBLUEY-VMPITWQZSA-N -1 1 305.302 1.362 20 0 DDADMM O=S(=O)([N-][C@H]1c2ccccc2OC[C@@H]1F)c1ccns1 ZINC000921318046 713732030 /nfs/dbraw/zinc/73/20/30/713732030.db2.gz NWJYLUWFZRNSMQ-CABZTGNLSA-N -1 1 314.363 1.893 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCN2CCSC[C@@H]12)c1ccns1 ZINC000921322182 713734204 /nfs/dbraw/zinc/73/42/04/713734204.db2.gz OTZGIURTLPJXRU-ZJUUUORDSA-N -1 1 319.477 1.001 20 0 DDADMM C[C@@H](CCCO)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000921413587 713761806 /nfs/dbraw/zinc/76/18/06/713761806.db2.gz OZKLANFYXPVJCI-VIFPVBQESA-N -1 1 302.321 1.673 20 0 DDADMM C[C@@H](NC(=O)NCCc1c(F)cc([O-])cc1F)c1cn[nH]c1 ZINC000921660339 713833952 /nfs/dbraw/zinc/83/39/52/713833952.db2.gz AADXDYZNDUNLRI-MRVPVSSYSA-N -1 1 310.304 1.996 20 0 DDADMM O=C(C[N-]S(=O)(=O)C[C@H]1CCCC1(F)F)c1cncs1 ZINC000921678280 713840258 /nfs/dbraw/zinc/84/02/58/713840258.db2.gz JZXGDTRFXWXEMI-MRVPVSSYSA-N -1 1 324.374 1.681 20 0 DDADMM COC(=O)C[C@@H]1COCCN1C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000930489427 713847421 /nfs/dbraw/zinc/84/74/21/713847421.db2.gz JUYRUAZEWPIOKB-TZMCWYRMSA-N -1 1 321.373 1.475 20 0 DDADMM COC1CC(NC(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000921945972 713916454 /nfs/dbraw/zinc/91/64/54/713916454.db2.gz LNRPIZSXQSSECS-UHFFFAOYSA-N -1 1 300.305 1.690 20 0 DDADMM O=S(=O)(CCCCF)NCCc1c(F)cc([O-])cc1F ZINC000921965654 713922265 /nfs/dbraw/zinc/92/22/65/713922265.db2.gz POUDNUCETDFZDK-UHFFFAOYSA-N -1 1 311.325 1.882 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@H]2CCNC(=O)[C@@H]21 ZINC000922460628 714061057 /nfs/dbraw/zinc/06/10/57/714061057.db2.gz BXCHKMIQSKEVIZ-TVQRCGJNSA-N -1 1 308.765 1.786 20 0 DDADMM CN(C)c1ccnc(CNC(=O)C(=O)[N-]OC2CCCCC2)c1 ZINC000931611788 714138648 /nfs/dbraw/zinc/13/86/48/714138648.db2.gz KEQCACIRUYFTOS-UHFFFAOYSA-N -1 1 320.393 1.144 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc3c(c2)CCCCN3)nc1=O ZINC000923016525 714214491 /nfs/dbraw/zinc/21/44/91/714214491.db2.gz NDTXZNJWLYWJIY-UHFFFAOYSA-N -1 1 302.334 1.214 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CCC[C@@H]4C[C@@]43C(=O)[O-])n[nH]2)c1 ZINC000923149581 714255631 /nfs/dbraw/zinc/25/56/31/714255631.db2.gz NKLLRMKVKKJDRJ-BZNIZROVSA-N -1 1 314.345 1.495 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000923445607 714358135 /nfs/dbraw/zinc/35/81/35/714358135.db2.gz VOQNDUDEJWKJDS-LERXQTSPSA-N -1 1 305.330 1.157 20 0 DDADMM COC(=O)[C@@H](F)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000924056889 714517518 /nfs/dbraw/zinc/51/75/18/714517518.db2.gz ZVFGMDXNHATXNA-NSHDSACASA-N -1 1 320.267 1.023 20 0 DDADMM COC(=O)[C@@H](C[C@H]1CCCO1)NC(=O)c1ccc([O-])cc1F ZINC000924870653 714683788 /nfs/dbraw/zinc/68/37/88/714683788.db2.gz SSLUXTNWWIMIMD-ZWNOBZJWSA-N -1 1 311.309 1.372 20 0 DDADMM COc1cnc([C@H]2CCCN2CCO[C@H]2CC2(F)F)[n-]c1=O ZINC000934270867 714781995 /nfs/dbraw/zinc/78/19/95/714781995.db2.gz WKEXBRRTAJOHEJ-KOLCDFICSA-N -1 1 315.320 1.752 20 0 DDADMM CCOCCOCCN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934271074 714782511 /nfs/dbraw/zinc/78/25/11/714782511.db2.gz DQSLFDQCUPTUIA-LBPRGKRZSA-N -1 1 311.382 1.381 20 0 DDADMM COc1cnc([C@@H]2CCCN2C[C@@H](O)CC(F)(F)F)[n-]c1=O ZINC000934274260 714783975 /nfs/dbraw/zinc/78/39/75/714783975.db2.gz SFFJJKLJUGWSJD-IUCAKERBSA-N -1 1 321.299 1.641 20 0 DDADMM O=C1[C@@H]2C[C@H](F)CN2C(=O)N1CCc1c(F)cc([O-])cc1F ZINC000925462214 714864066 /nfs/dbraw/zinc/86/40/66/714864066.db2.gz IKYJOXATLNAIGM-MADCSZMMSA-N -1 1 314.263 1.588 20 0 DDADMM C[C@@]1(CC(=O)Nc2ccc([O-])c(Cl)c2)CCS(=O)(=O)N1 ZINC000935020541 714958017 /nfs/dbraw/zinc/95/80/17/714958017.db2.gz FCPDORJJECESLT-LBPRGKRZSA-N -1 1 318.782 1.456 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2scnc2c1)c1nn[n-]n1 ZINC000935365068 715037864 /nfs/dbraw/zinc/03/78/64/715037864.db2.gz BDLFTUOBYXJYRH-QMMMGPOBSA-N -1 1 302.363 1.685 20 0 DDADMM O=C(N1CCC(c2n[n-]c(=O)o2)CC1)[C@@]12CCO[C@H]1CCCC2 ZINC000935830117 715131439 /nfs/dbraw/zinc/13/14/39/715131439.db2.gz UBCONLCNQWIXTM-LRDDRELGSA-N -1 1 321.377 1.831 20 0 DDADMM O=C(NC[C@@H]1CCCCN1C(=O)C(F)F)c1ncccc1[O-] ZINC000937147990 715284461 /nfs/dbraw/zinc/28/44/61/715284461.db2.gz WMJYFAUBUGWUHE-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM C[C@@H](C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937211633 715292983 /nfs/dbraw/zinc/29/29/83/715292983.db2.gz NQOKAVIAFFQKHG-YPMHNXCESA-N -1 1 317.389 1.506 20 0 DDADMM CC(=O)N[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H](C)C1 ZINC000955683232 715903776 /nfs/dbraw/zinc/90/37/76/715903776.db2.gz AAUXCMAJRMLHED-JOYOIKCWSA-N -1 1 318.377 1.189 20 0 DDADMM O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)C1CC1)C2)c1ncccc1[O-] ZINC000957975486 716258746 /nfs/dbraw/zinc/25/87/46/716258746.db2.gz CVGZEUHMWNAQPX-UHTWSYAYSA-N -1 1 315.373 1.307 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC000940130352 716641188 /nfs/dbraw/zinc/64/11/88/716641188.db2.gz MUPANUPSSUEYSZ-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(C1=CCCC1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940733956 716935635 /nfs/dbraw/zinc/93/56/35/716935635.db2.gz OXHRZDQZWCKARF-UHFFFAOYSA-N -1 1 315.373 1.572 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)[C@@H]2CC23CC3)CC1 ZINC000940832935 716981563 /nfs/dbraw/zinc/98/15/63/716981563.db2.gz RDFOSJDYWSVLPO-LBPRGKRZSA-N -1 1 315.373 1.262 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832356 716981647 /nfs/dbraw/zinc/98/16/47/716981647.db2.gz DEQBAUWQCDTWNW-OLZOCXBDSA-N -1 1 317.389 1.508 20 0 DDADMM CCCC(=O)N1CC[C@H]2[C@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962946689 717635789 /nfs/dbraw/zinc/63/57/89/717635789.db2.gz REXHWFHHDAFBMK-OLZOCXBDSA-N -1 1 317.389 1.793 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000943934371 718227058 /nfs/dbraw/zinc/22/70/58/718227058.db2.gz MHKMZGMBKYKSNA-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC000945277165 718435297 /nfs/dbraw/zinc/43/52/97/718435297.db2.gz FECAFLAQNIBGLB-VXGBXAGGSA-N -1 1 305.378 1.410 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H](N(C)C(=O)c2ncccc2[O-])CC1 ZINC000967523000 718923863 /nfs/dbraw/zinc/92/38/63/718923863.db2.gz FBRGPMYVIQSUIN-CYBMUJFWSA-N -1 1 319.405 1.896 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H](C)SC)C1 ZINC000967898754 719078189 /nfs/dbraw/zinc/07/81/89/719078189.db2.gz IYUGHASGFKHUDX-SNVBAGLBSA-N -1 1 323.418 1.212 20 0 DDADMM CC[C@@H](C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000947601393 719224582 /nfs/dbraw/zinc/22/45/82/719224582.db2.gz ULZILHGHCFQRGJ-IJLUTSLNSA-N -1 1 305.378 1.410 20 0 DDADMM CC(C)(CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC000968590096 719680882 /nfs/dbraw/zinc/68/08/82/719680882.db2.gz YPXYEPACBVITQN-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM CC(C)=CC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886557 719844561 /nfs/dbraw/zinc/84/45/61/719844561.db2.gz QZKDIOOPVCBSJR-BETUJISGSA-N -1 1 315.373 1.569 20 0 DDADMM Cc1ncc(CN2CC([C@H](C)NC(=O)c3ncccc3[O-])C2)o1 ZINC000969544438 720182503 /nfs/dbraw/zinc/18/25/03/720182503.db2.gz WVETUXYTSFNDFF-JTQLQIEISA-N -1 1 316.361 1.334 20 0 DDADMM CCN(C(=O)c1n[nH]c(C)c1[O-])C1CN(CC2CCCC2)C1 ZINC000949878056 720398062 /nfs/dbraw/zinc/39/80/62/720398062.db2.gz UJGSPDYUJUZKKJ-UHFFFAOYSA-N -1 1 306.410 1.760 20 0 DDADMM C[C@H](CC1CCCC1)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970160236 720647751 /nfs/dbraw/zinc/64/77/51/720647751.db2.gz BEINXPMLCWSILG-DGCLKSJQSA-N -1 1 321.425 1.417 20 0 DDADMM CCC(=O)N1CCCC[C@H]1[C@@H](C)NC(=O)c1ncccc1[O-] ZINC000953498154 721615690 /nfs/dbraw/zinc/61/56/90/721615690.db2.gz KILJJTQQDSXKNR-NEPJUHHUSA-N -1 1 305.378 1.697 20 0 DDADMM C[C@H]([NH2+][C@H]1C[C@@H](NC(=O)c2ccccc2O)C1)c1ncccn1 ZINC001021851534 733618878 /nfs/dbraw/zinc/61/88/78/733618878.db2.gz WYASJPWHWWPXRD-RWMBFGLXSA-N -1 1 312.373 1.794 20 0 DDADMM CC(=O)N1CCC[C@H]1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891626569 734435291 /nfs/dbraw/zinc/43/52/91/734435291.db2.gz CRSBLJXVKXZWOJ-LBPRGKRZSA-N -1 1 304.350 1.010 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001087215958 734772097 /nfs/dbraw/zinc/77/20/97/734772097.db2.gz AJDALZTWUVDKBN-FVCCEPFGSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@@H](CNc1ncc(Cl)cn1)N(C)C(=O)c1ncccc1[O-] ZINC001104536613 736203361 /nfs/dbraw/zinc/20/33/61/736203361.db2.gz QYELBZUXFLKLDV-VIFPVBQESA-N -1 1 321.768 1.803 20 0 DDADMM C[C@H]1C[C@@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)CCO1 ZINC000692911054 739077594 /nfs/dbraw/zinc/07/75/94/739077594.db2.gz SPYKDBQTXCCASU-IUCAKERBSA-N -1 1 322.789 1.968 20 0 DDADMM C[C@@H](CCNC(=O)c1cccn1C)NC(=O)c1ncccc1[O-] ZINC001075633471 739299266 /nfs/dbraw/zinc/29/92/66/739299266.db2.gz OVZWLFXUKOYQBS-NSHDSACASA-N -1 1 316.361 1.064 20 0 DDADMM O=C(NC[C@H]1CC[N@H+](Cc2ccon2)C1)c1ccccc1O ZINC001028413851 739469830 /nfs/dbraw/zinc/46/98/30/739469830.db2.gz APPCQHVQLRVFTL-GFCCVEGCSA-N -1 1 301.346 1.632 20 0 DDADMM CC(=O)NCCNc1ccc([C@H](C)[N-]C(=O)C(F)(F)F)cc1 ZINC001161662945 740337349 /nfs/dbraw/zinc/33/73/49/740337349.db2.gz CAPXHQDTMYJGCQ-VIFPVBQESA-N -1 1 317.311 1.974 20 0 DDADMM CC(C)c1nsc(N[C@H]2C[C@H](NC(=O)c3cnn[nH]3)C2)n1 ZINC001059458835 740956427 /nfs/dbraw/zinc/95/64/27/740956427.db2.gz SVEHMTXZENAPAD-ZKCHVHJHSA-N -1 1 307.383 1.153 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)/C=C/C2CC2)CC1 ZINC001029949933 741639396 /nfs/dbraw/zinc/63/93/96/741639396.db2.gz DQVXPCFIEQPZDQ-SNAWJCMRSA-N -1 1 319.409 1.147 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])c1cn([C@@H]2CC[C@H]2O)nn1 ZINC001142545909 742151788 /nfs/dbraw/zinc/15/17/88/742151788.db2.gz MGBAPQJJCWJSIH-GHMZBOCLSA-N -1 1 310.260 1.210 20 0 DDADMM COc1ccc(C(C)(C)C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001180871516 742973055 /nfs/dbraw/zinc/97/30/55/742973055.db2.gz PUFWOBMIDSDHBZ-UHFFFAOYSA-N -1 1 318.333 1.395 20 0 DDADMM O=Cc1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cs1 ZINC001168692016 743035941 /nfs/dbraw/zinc/03/59/41/743035941.db2.gz CNLOCVSFPONFPU-GFCCVEGCSA-N -1 1 313.342 1.593 20 0 DDADMM CC1(C)OC[C@@H](C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)O1 ZINC001181738806 743318675 /nfs/dbraw/zinc/31/86/75/743318675.db2.gz NXWUEZWKMQLRGI-NSHDSACASA-N -1 1 303.318 1.668 20 0 DDADMM CNC(=O)NCCC(=O)Nc1cccc([O-])c1Br ZINC000908707397 743592417 /nfs/dbraw/zinc/59/24/17/743592417.db2.gz GXIRIEUWLSVUKW-UHFFFAOYSA-N -1 1 316.155 1.412 20 0 DDADMM CCOC(=O)C(=O)[N-]C(=N)c1ccc(Br)cc1F ZINC001183255073 743810786 /nfs/dbraw/zinc/81/07/86/743810786.db2.gz WVIVFQWAFAWQNF-UHFFFAOYSA-N -1 1 317.114 1.593 20 0 DDADMM CCC(CC)[C@H](C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001183816535 743908964 /nfs/dbraw/zinc/90/89/64/743908964.db2.gz DJFVMNBRKCQUPS-CMPLNLGQSA-N -1 1 309.414 1.273 20 0 DDADMM CCOC(=O)[C@H](C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001184216832 743992975 /nfs/dbraw/zinc/99/29/75/743992975.db2.gz NFSMPWCEPZJRGC-SNVBAGLBSA-N -1 1 303.318 1.716 20 0 DDADMM C[C@H](C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccc(O)cc1 ZINC001184284762 744016108 /nfs/dbraw/zinc/01/61/08/744016108.db2.gz QQGGIWBCVHVXGG-LURJTMIESA-N -1 1 315.289 1.164 20 0 DDADMM CCCC[C@@H](CC)CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001184425756 744042585 /nfs/dbraw/zinc/04/25/85/744042585.db2.gz YFGFYWMKIILALK-CHWSQXEVSA-N -1 1 323.441 1.807 20 0 DDADMM CSc1nc(NC(=O)C[C@H](O)c2ccccc2)cc(=O)[n-]1 ZINC001185790666 744282934 /nfs/dbraw/zinc/28/29/34/744282934.db2.gz XVYZKSGKFUABPB-JTQLQIEISA-N -1 1 305.359 1.966 20 0 DDADMM CS(=O)(=O)c1ccccc1CNC(=O)c1ccc([O-])c(F)c1 ZINC001186234672 744361149 /nfs/dbraw/zinc/36/11/49/744361149.db2.gz YPDDWLUWDJIRDJ-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM COc1cccc(CNC(=O)NCC[N-]C(=O)C(F)(F)F)c1 ZINC001186215287 744365580 /nfs/dbraw/zinc/36/55/80/744365580.db2.gz WMNJUKJOZBZNED-UHFFFAOYSA-N -1 1 319.283 1.173 20 0 DDADMM CCOC(=O)[C@@H]1CCN(C(=O)c2ccc([O-])c(F)c2)CCC1=O ZINC001186218186 744365883 /nfs/dbraw/zinc/36/58/83/744365883.db2.gz GUHHFWABDAZXJR-LLVKDONJSA-N -1 1 323.320 1.516 20 0 DDADMM CS(=O)(=O)N(C(=O)c1ccc([O-])cc1F)c1cccc(N)c1 ZINC001186329945 744387462 /nfs/dbraw/zinc/38/74/62/744387462.db2.gz WTUMAZSTFDDASW-UHFFFAOYSA-N -1 1 324.333 1.720 20 0 DDADMM C[C@@H](C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1)N1CCOCC1 ZINC001187161051 744505525 /nfs/dbraw/zinc/50/55/25/744505525.db2.gz KYSUTHILMQRWNT-LBPRGKRZSA-N -1 1 316.361 1.237 20 0 DDADMM O=C(C=C1CCC1)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992048436 744560842 /nfs/dbraw/zinc/56/08/42/744560842.db2.gz KIDLUAPRJWWVON-UHFFFAOYSA-N -1 1 315.373 1.522 20 0 DDADMM O=C(N[C@H]1CCCc2nccnc21)c1n[n-]nc1C(F)(F)F ZINC001187773955 744600437 /nfs/dbraw/zinc/60/04/37/744600437.db2.gz NPBWGFRDDXCLEV-ZETCQYMHSA-N -1 1 312.255 1.421 20 0 DDADMM O=C(Nc1ccc2[nH]c(=O)[nH]c2c1)c1n[n-]nc1C(F)(F)F ZINC001187763390 744607315 /nfs/dbraw/zinc/60/73/15/744607315.db2.gz OSDKUWHWKBGUEI-UHFFFAOYSA-N -1 1 312.211 1.658 20 0 DDADMM O=C(Nc1ccccc1-n1cncn1)c1n[n-]nc1C(F)(F)F ZINC001187766068 744608038 /nfs/dbraw/zinc/60/80/38/744608038.db2.gz DYPFANUIKIWQHY-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM O=S(=O)([N-][C@@H]1CCN(Cc2ccccn2)C1)c1nccs1 ZINC001187918910 744635097 /nfs/dbraw/zinc/63/50/97/744635097.db2.gz RHNUWSFXCYAQJY-GFCCVEGCSA-N -1 1 324.431 1.091 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@@H]([N-]S(=O)(=O)c2nccs2)C1 ZINC001187921077 744635650 /nfs/dbraw/zinc/63/56/50/744635650.db2.gz WTOLSILVGJWIKW-VHSXEESVSA-N -1 1 318.420 1.543 20 0 DDADMM CC(C)n1cnc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)n1 ZINC001188032648 744653510 /nfs/dbraw/zinc/65/35/10/744653510.db2.gz UWZBPTMJAFCLBX-UHFFFAOYSA-N -1 1 320.313 1.071 20 0 DDADMM Cn1cnc(NC(=O)c2ncc(C(F)(F)F)[n-]2)c2ncnc1-2 ZINC001188277618 744685354 /nfs/dbraw/zinc/68/53/54/744685354.db2.gz IESJIKJDYADBQS-UHFFFAOYSA-N -1 1 311.227 1.309 20 0 DDADMM Cn1cnc(NC(=O)c2nc(C(F)(F)F)c[n-]2)c2ncnc1-2 ZINC001188277618 744685355 /nfs/dbraw/zinc/68/53/55/744685355.db2.gz IESJIKJDYADBQS-UHFFFAOYSA-N -1 1 311.227 1.309 20 0 DDADMM O=C(NC1C(=O)N=CN=C1[O-])c1ccc(O)c(C(F)(F)F)c1 ZINC001188572041 744721907 /nfs/dbraw/zinc/72/19/07/744721907.db2.gz JSLZKHMIEUXNNY-UHFFFAOYSA-N -1 1 315.207 1.865 20 0 DDADMM CS(=O)(=O)c1ccccc1CNC(=O)c1ccncc1[O-] ZINC001188637885 744744217 /nfs/dbraw/zinc/74/42/17/744744217.db2.gz ILGMMAPHFRHBCR-UHFFFAOYSA-N -1 1 306.343 1.121 20 0 DDADMM COC(=O)[C@@](C)([N-]S(=O)(=O)c1ccoc1)C(F)(F)F ZINC001188638487 744744296 /nfs/dbraw/zinc/74/42/96/744744296.db2.gz DCBUVMWXRRRJNL-MRVPVSSYSA-N -1 1 301.242 1.052 20 0 DDADMM CSc1nc(CNC(=O)C=Cc2cccnc2)cc(=O)[n-]1 ZINC001143612398 744764930 /nfs/dbraw/zinc/76/49/30/744764930.db2.gz IGQKMCXFDWWJJU-SNAWJCMRSA-N -1 1 302.359 1.629 20 0 DDADMM C[C@H](NC(=O)[C@H]1CC12CC2)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006959886 751958327 /nfs/dbraw/zinc/95/83/27/751958327.db2.gz QPCBNTODEAGIMO-CMPLNLGQSA-N -1 1 315.373 1.164 20 0 DDADMM CCS(=O)(=O)c1ccc([N-]S(=O)(=O)CCCF)cc1 ZINC001189906863 745023633 /nfs/dbraw/zinc/02/36/33/745023633.db2.gz UNGUECXOHLLDOJ-UHFFFAOYSA-N -1 1 309.384 1.582 20 0 DDADMM COC(=O)[C@@H](NC(=O)Nc1cc(F)c([O-])c(F)c1)C(C)C ZINC001190238087 745157490 /nfs/dbraw/zinc/15/74/90/745157490.db2.gz WVEVCRABDBBREO-JTQLQIEISA-N -1 1 302.277 1.990 20 0 DDADMM O=C1COc2cc([N-]S(=O)(=O)c3ccncc3)ccc2N1 ZINC001190888663 745368634 /nfs/dbraw/zinc/36/86/34/745368634.db2.gz YVVLQNUSBLEUAF-UHFFFAOYSA-N -1 1 305.315 1.213 20 0 DDADMM CSc1ncc(C(=O)Nc2ccnn2CC2CC2)c(=O)[n-]1 ZINC001191429741 745509236 /nfs/dbraw/zinc/50/92/36/745509236.db2.gz PWLWKXDUFZFNRD-UHFFFAOYSA-N -1 1 305.363 1.763 20 0 DDADMM Cc1ccc(NCC[C@@H](C)NC(=O)c2ncccc2[O-])nn1 ZINC001106296112 745562831 /nfs/dbraw/zinc/56/28/31/745562831.db2.gz HMUPFLNBJXANKS-SNVBAGLBSA-N -1 1 301.350 1.506 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)Cc1ccc(Cl)cc1F ZINC001191905450 745644562 /nfs/dbraw/zinc/64/45/62/745644562.db2.gz IODZAZTVYPVTFW-UHFFFAOYSA-N -1 1 323.773 1.850 20 0 DDADMM CC(=O)NC[C@@H](C)C[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192053717 745686260 /nfs/dbraw/zinc/68/62/60/745686260.db2.gz BKWMFWIVNYTOGN-RXMQYKEDSA-N -1 1 311.618 1.006 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cnc(-c2ccccc2)[nH]1 ZINC001192215778 745727554 /nfs/dbraw/zinc/72/75/54/745727554.db2.gz WHORZAKIEXSWII-UHFFFAOYSA-N -1 1 323.289 1.764 20 0 DDADMM O=C(NCCN1CCC(F)(F)C1)c1cc([O-])cc(F)c1F ZINC001192684743 745861991 /nfs/dbraw/zinc/86/19/91/745861991.db2.gz VGWLOQQRKXHHGA-UHFFFAOYSA-N -1 1 306.259 1.741 20 0 DDADMM Cc1ncncc1NS(=O)(=O)c1ccc(OCC(=O)[O-])cc1 ZINC001192686113 745862757 /nfs/dbraw/zinc/86/27/57/745862757.db2.gz LVAJDMCRMSQJGY-UHFFFAOYSA-N -1 1 323.330 1.049 20 0 DDADMM CCCC[C@@H](CC)COC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001193983163 746247359 /nfs/dbraw/zinc/24/73/59/746247359.db2.gz FTHWAGHBLHIGRT-RKDXNWHRSA-N -1 1 315.395 1.464 20 0 DDADMM Cc1cc(CNC(=O)c2c(C)[n-]n(-c3ccccc3)c2=O)n[nH]1 ZINC001194277378 746316094 /nfs/dbraw/zinc/31/60/94/746316094.db2.gz XAVUOLOOADGSRB-UHFFFAOYSA-N -1 1 311.345 1.848 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccc(-c3ccncn3)cc2)[n-]n1 ZINC001194288447 746343900 /nfs/dbraw/zinc/34/39/00/746343900.db2.gz CZMBSTKNIUSHBG-UHFFFAOYSA-N -1 1 323.312 1.906 20 0 DDADMM Cn1ncc2c1ncnc2NC(=O)c1c[n-]c(C(F)(F)F)n1 ZINC001194770383 746462716 /nfs/dbraw/zinc/46/27/16/746462716.db2.gz HJMRTHLHWSUNSQ-UHFFFAOYSA-N -1 1 311.227 1.358 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2c[n-]c(C(F)(F)F)n2)cn1 ZINC001194770449 746462737 /nfs/dbraw/zinc/46/27/37/746462737.db2.gz JAYPMFUZJLEBGB-UHFFFAOYSA-N -1 1 314.223 1.862 20 0 DDADMM Cc1cc(Cl)c(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cn1 ZINC001194888782 746489170 /nfs/dbraw/zinc/48/91/70/746489170.db2.gz PAILILMNTDZJEM-UHFFFAOYSA-N -1 1 309.669 1.895 20 0 DDADMM CC(=O)c1ccc(C(=O)NCc2nn[n-]n2)c(Br)c1 ZINC001195062262 746519486 /nfs/dbraw/zinc/51/94/86/746519486.db2.gz WHFBGKJREIELNO-UHFFFAOYSA-N -1 1 324.138 1.095 20 0 DDADMM COC[C@H](C)Oc1cc(NC(=O)c2nc(C)ccc2[O-])[nH]n1 ZINC001195322928 746564880 /nfs/dbraw/zinc/56/48/80/746564880.db2.gz QJRGZZKNQUNKFT-VIFPVBQESA-N -1 1 306.322 1.485 20 0 DDADMM O=C(Nc1cncnc1C(F)(F)F)c1c[nH]c(=S)[n-]c1=O ZINC001196014043 746756792 /nfs/dbraw/zinc/75/67/92/746756792.db2.gz LCSURXXXQUMNPE-UHFFFAOYSA-N -1 1 317.252 1.532 20 0 DDADMM C[C@]1(CF)CC(F)(F)CN1C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196013497 746756858 /nfs/dbraw/zinc/75/68/58/746756858.db2.gz DJWBWWNDDWIGMV-SNVBAGLBSA-N -1 1 307.297 1.680 20 0 DDADMM Cc1ccc(CCCO)c(NC(=O)c2c[nH]c(=S)[n-]c2=O)c1 ZINC001196021044 746759361 /nfs/dbraw/zinc/75/93/61/746759361.db2.gz NGTMUOQYCAKYKI-UHFFFAOYSA-N -1 1 319.386 1.957 20 0 DDADMM O=S(=O)([N-]C1(CF)CC1)c1ccc(Br)cn1 ZINC001196118815 746784948 /nfs/dbraw/zinc/78/49/48/746784948.db2.gz PAMLJXAPUHSMQA-UHFFFAOYSA-N -1 1 309.160 1.625 20 0 DDADMM CC(C)[C@H](CO)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC001196192835 746788504 /nfs/dbraw/zinc/78/85/04/746788504.db2.gz QOLDUYQREYKYIJ-QMMMGPOBSA-N -1 1 313.206 1.684 20 0 DDADMM O=[P@]([O-])(O)CCNC(=S)NCc1ccc(Cl)cc1 ZINC001197587043 747212746 /nfs/dbraw/zinc/21/27/46/747212746.db2.gz DMYGKNBCMZWXBA-UHFFFAOYSA-N -1 1 308.727 1.482 20 0 DDADMM Cc1ccc(NC(=S)Nc2c(O)[nH]c(=O)[n-]c2=S)c(C)c1 ZINC001198014912 747337254 /nfs/dbraw/zinc/33/72/54/747337254.db2.gz VSNZRDOBRNZCAK-VIFPVBQESA-N -1 1 322.415 1.125 20 0 DDADMM COc1nc(Cl)ccc1S(=O)(=O)[N-]CCC(F)(F)F ZINC001198651086 747563692 /nfs/dbraw/zinc/56/36/92/747563692.db2.gz MNHHAZOOZCFMCC-UHFFFAOYSA-N -1 1 318.704 1.974 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(C)nc3ncccn32)n1 ZINC001198717103 747594336 /nfs/dbraw/zinc/59/43/36/747594336.db2.gz OZTZUJZWWZIQAD-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM Cc1cc(CN2CC[C@H](N(C)C(=O)c3ncccc3[O-])C2)no1 ZINC001033026137 747974742 /nfs/dbraw/zinc/97/47/42/747974742.db2.gz SYRWTVHFXBOWMY-ZDUSSCGKSA-N -1 1 316.361 1.430 20 0 DDADMM COc1cnc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c(C)c1 ZINC001199751785 747978837 /nfs/dbraw/zinc/97/88/37/747978837.db2.gz USVKZAURXZEDNN-UHFFFAOYSA-N -1 1 301.228 1.788 20 0 DDADMM Cc1nc(CN2CC[C@@H](N(C)C(=O)c3ncccc3[O-])C2)co1 ZINC001033036761 748005609 /nfs/dbraw/zinc/00/56/09/748005609.db2.gz UPFMHPFVHUMUJX-CYBMUJFWSA-N -1 1 316.361 1.430 20 0 DDADMM O=c1nc2nc[nH]c2c(NC(=S)NCC2CCCCC2)[n-]1 ZINC001199932892 748059017 /nfs/dbraw/zinc/05/90/17/748059017.db2.gz ONTZLXPUPZEADY-UHFFFAOYSA-N -1 1 306.395 1.925 20 0 DDADMM O=c1oc2cc([O-])ccc2cc1NC(=S)NC[C@@H]1CCCO1 ZINC001200014746 748082623 /nfs/dbraw/zinc/08/26/23/748082623.db2.gz QSHDPDJJGWYGBJ-NSHDSACASA-N -1 1 320.370 1.964 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)C1CCCC1 ZINC001004665185 748632767 /nfs/dbraw/zinc/63/27/67/748632767.db2.gz ZTMQJBUUOCICCW-DGCLKSJQSA-N -1 1 321.425 1.560 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)C(C)(C)F ZINC001004666687 748635027 /nfs/dbraw/zinc/63/50/27/748635027.db2.gz GCMDAIGSTOATIJ-ZJUUUORDSA-N -1 1 313.377 1.118 20 0 DDADMM CC(=O)N1CC[C@@H](C)[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001004862534 748875937 /nfs/dbraw/zinc/87/59/37/748875937.db2.gz XGCVMMVSFQLIMP-RNCFNFMXSA-N -1 1 318.377 1.046 20 0 DDADMM CN(c1ncc(F)cn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001056901028 761996109 /nfs/dbraw/zinc/99/61/09/761996109.db2.gz VEDLOJYAWJUKEP-LLVKDONJSA-N -1 1 317.324 1.067 20 0 DDADMM O=S(=O)([N-][C@H]1CNOC1)c1c(Cl)cc(F)cc1Cl ZINC000923726858 750140756 /nfs/dbraw/zinc/14/07/56/750140756.db2.gz ACFSMXJZWAQWAY-LURJTMIESA-N -1 1 315.153 1.314 20 0 DDADMM C[C@@H]1CCCN(C(=O)C2CC=CC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036528164 752522088 /nfs/dbraw/zinc/52/20/88/752522088.db2.gz OYJUIVWYWNFHIG-DGCLKSJQSA-N -1 1 319.409 1.193 20 0 DDADMM O=C(CCC1CC1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036670682 753196628 /nfs/dbraw/zinc/19/66/28/753196628.db2.gz YQFFBKZMBBUHGQ-STQMWFEESA-N -1 1 319.409 1.123 20 0 DDADMM O=C(C1=CCCC1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036686356 753353046 /nfs/dbraw/zinc/35/30/46/753353046.db2.gz NPEYINZPIIXWTD-OLZOCXBDSA-N -1 1 317.393 1.043 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C(C)(C)F)C1 ZINC001005950860 753411176 /nfs/dbraw/zinc/41/11/76/753411176.db2.gz LEPHNMTVTHDWMJ-VIFPVBQESA-N -1 1 309.341 1.112 20 0 DDADMM O=C(N[C@@H]1CCC[N@@H+](C[C@H]2CCCCO2)C1)c1ncccc1[O-] ZINC001007178712 753573358 /nfs/dbraw/zinc/57/33/58/753573358.db2.gz UUKUFWXRALIMNT-ZIAGYGMSSA-N -1 1 319.405 1.551 20 0 DDADMM CC(F)(F)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839040 753758894 /nfs/dbraw/zinc/75/88/94/753758894.db2.gz FNGGIJCWTSFANQ-UHFFFAOYSA-N -1 1 313.304 1.211 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCC2(CN(C(=O)C3CC3)C2)C1 ZINC001010459396 753939957 /nfs/dbraw/zinc/93/99/57/753939957.db2.gz XOTHTAAOJLOFFD-UHFFFAOYSA-N -1 1 315.373 1.262 20 0 DDADMM C[C@H](c1ncccn1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010735387 754131873 /nfs/dbraw/zinc/13/18/73/754131873.db2.gz XBNCHVGXAJVJOE-VXGBXAGGSA-N -1 1 313.361 1.143 20 0 DDADMM CO[C@@H](C)CC(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066982244 754144782 /nfs/dbraw/zinc/14/47/82/754144782.db2.gz GEAFZPNNABDFHB-LBPRGKRZSA-N -1 1 323.393 1.133 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC12CCC2 ZINC001001915027 754575970 /nfs/dbraw/zinc/57/59/70/754575970.db2.gz RWHDZGZLYZDVNQ-LBPRGKRZSA-N -1 1 315.373 1.166 20 0 DDADMM Cc1nsc(N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)n1 ZINC001067075548 755687573 /nfs/dbraw/zinc/68/75/73/755687573.db2.gz IFJVHRZNZJGDMD-WPRPVWTQSA-N -1 1 319.390 1.520 20 0 DDADMM Cc1nsc(N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)n1 ZINC001067075546 755688102 /nfs/dbraw/zinc/68/81/02/755688102.db2.gz IFJVHRZNZJGDMD-SCZZXKLOSA-N -1 1 319.390 1.520 20 0 DDADMM CSCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001014893600 756004473 /nfs/dbraw/zinc/00/44/73/756004473.db2.gz MMHSCODDEUOJJI-GHMZBOCLSA-N -1 1 323.418 1.260 20 0 DDADMM O=C(NC[C@@H]1CC[C@H](NC(=O)C2CC2)C1)c1ncccc1[O-] ZINC001015286752 756217485 /nfs/dbraw/zinc/21/74/85/756217485.db2.gz ISALWHKXRALKJM-PWSUYJOCSA-N -1 1 303.362 1.212 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)C=C2CCC2)C1 ZINC001016431820 757040224 /nfs/dbraw/zinc/04/02/24/757040224.db2.gz RZUQPIXZWSLQKJ-UHFFFAOYSA-N -1 1 315.373 1.617 20 0 DDADMM Cc1cc(C)nc(N[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097764647 757510525 /nfs/dbraw/zinc/51/05/25/757510525.db2.gz KBRWVSOAQWWTBQ-NSHDSACASA-N -1 1 301.350 1.424 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)NCC1=CCN(C(=O)c2ncccc2[O-])CC1 ZINC001017258056 757767119 /nfs/dbraw/zinc/76/71/19/757767119.db2.gz WTZMQYXNUYKUGC-YPMHNXCESA-N -1 1 315.373 1.332 20 0 DDADMM CC[C@H](F)C(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400590 757899363 /nfs/dbraw/zinc/89/93/63/757899363.db2.gz OZXVKIVDITZFAT-LBPRGKRZSA-N -1 1 321.352 1.424 20 0 DDADMM O=C(CC1CC1)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400829 757899890 /nfs/dbraw/zinc/89/98/90/757899890.db2.gz XDSZQNSERZTYJD-UHFFFAOYSA-N -1 1 315.373 1.476 20 0 DDADMM C[C@H](NC(=O)c1cc[nH]c1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006221368 758158214 /nfs/dbraw/zinc/15/82/14/758158214.db2.gz BNYDTKUHUYRDDD-JTQLQIEISA-N -1 1 314.345 1.006 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C(C)(C)F ZINC001014893662 758159956 /nfs/dbraw/zinc/15/99/56/758159956.db2.gz MQGRIVAJNYBAED-QWRGUYRKSA-N -1 1 323.368 1.645 20 0 DDADMM CN(CCCN(C)C(=O)[C@H]1CC1(C)C)C(=O)c1ncccc1[O-] ZINC001067207368 758242893 /nfs/dbraw/zinc/24/28/93/758242893.db2.gz FTYFZHNZISFUAH-GFCCVEGCSA-N -1 1 319.405 1.754 20 0 DDADMM Cc1cc(N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)ncn1 ZINC001065244799 758413749 /nfs/dbraw/zinc/41/37/49/758413749.db2.gz CVTOWCXNAMATPU-INIZCTEOSA-N -1 1 313.361 1.284 20 0 DDADMM CCCC(=O)N1CC[C@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001018484575 758897803 /nfs/dbraw/zinc/89/78/03/758897803.db2.gz YQYQWNCHBZCJJX-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM O=C([C@H]1CCCC2(CC2)C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018845913 759332248 /nfs/dbraw/zinc/33/22/48/759332248.db2.gz ULNROXQULPOPMD-RYUDHWBXSA-N -1 1 319.409 1.171 20 0 DDADMM CC1(C)CCC[C@](O)(C(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC000827676377 759361012 /nfs/dbraw/zinc/36/10/12/759361012.db2.gz BREXMNAHAIKUKH-OAHLLOKOSA-N -1 1 307.398 1.237 20 0 DDADMM CC1(C)CCCC[C@H]1CC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000827968058 759431405 /nfs/dbraw/zinc/43/14/05/759431405.db2.gz UNRZUNCEBSQSDQ-RYUDHWBXSA-N -1 1 307.398 1.706 20 0 DDADMM CN(C[C@H]1CCCC[C@@H]1O)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000828624652 759708508 /nfs/dbraw/zinc/70/85/08/759708508.db2.gz PJYFOLCEIFEXJE-YPMHNXCESA-N -1 1 305.378 1.683 20 0 DDADMM C[C@H]1CN(C(=O)C2=CCCCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054600627 759872523 /nfs/dbraw/zinc/87/25/23/759872523.db2.gz QNVADSLULPERCR-AAEUAGOBSA-N -1 1 319.409 1.337 20 0 DDADMM CC(=O)N(CCNC(=O)c1ncccc1[O-])Cc1ccccc1 ZINC001055016657 760293532 /nfs/dbraw/zinc/29/35/32/760293532.db2.gz XNCZCFCDZOGKTN-UHFFFAOYSA-N -1 1 313.357 1.566 20 0 DDADMM CS[C@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001020082613 760532058 /nfs/dbraw/zinc/53/20/58/760532058.db2.gz NETQXIRQBUIJRK-AXFHLTTASA-N -1 1 323.418 1.258 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2CC2=CCCCC2)c1[O-] ZINC001038165208 760873249 /nfs/dbraw/zinc/87/32/49/760873249.db2.gz ODBLVGOIFHPUDA-ZDUSSCGKSA-N -1 1 304.394 1.728 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2CCC[C@@H]2CCOC2)c1[O-] ZINC001038163945 760873607 /nfs/dbraw/zinc/87/36/07/760873607.db2.gz DHQMKJPXQJFLHC-CHWSQXEVSA-N -1 1 322.409 1.045 20 0 DDADMM CC[C@@H](F)C[N@@H+]1CC[C@@]2(CCN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC001041206948 762882285 /nfs/dbraw/zinc/88/22/85/762882285.db2.gz HNOGKRNTANAAEC-MLGOLLRUSA-N -1 1 324.400 1.710 20 0 DDADMM C[C@H](C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001001539392 762945170 /nfs/dbraw/zinc/94/51/70/762945170.db2.gz YWSLPTDDUAOPAT-JTQLQIEISA-N -1 1 303.362 1.022 20 0 DDADMM O=C(NCC1CN(C(=O)C2CC3(CC3)C2)C1)c1ncccc1[O-] ZINC001001542575 762947123 /nfs/dbraw/zinc/94/71/23/762947123.db2.gz WNTUFPMMEJZTHC-UHFFFAOYSA-N -1 1 315.373 1.166 20 0 DDADMM O=C(NCC1CN(C(=O)[C@@H]2C[C@H]2C2CC2)C1)c1ncccc1[O-] ZINC001001547117 762950420 /nfs/dbraw/zinc/95/04/20/762950420.db2.gz ZOVWPSMJYYPVQF-QWHCGFSZSA-N -1 1 315.373 1.022 20 0 DDADMM C[C@@H](C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC001001552883 762953896 /nfs/dbraw/zinc/95/38/96/762953896.db2.gz RLWPQYOWLDQSFG-NSHDSACASA-N -1 1 319.405 1.658 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(Cc2cncc(F)c2)C1 ZINC001042645379 764308755 /nfs/dbraw/zinc/30/87/55/764308755.db2.gz RCRZZDPKYMKRHO-UHFFFAOYSA-N -1 1 316.336 1.278 20 0 DDADMM Cc1nc(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)c(C)o1 ZINC001042646587 764309684 /nfs/dbraw/zinc/30/96/84/764309684.db2.gz SIKRKBKLHIYPNP-UHFFFAOYSA-N -1 1 316.361 1.348 20 0 DDADMM O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)C1=CCCC1 ZINC001047053074 768212833 /nfs/dbraw/zinc/21/28/33/768212833.db2.gz RLVBOXLGNNWUGJ-DRZSPHRISA-N -1 1 317.393 1.090 20 0 DDADMM Cc1cc(N[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])nc(C)n1 ZINC001112983251 765099326 /nfs/dbraw/zinc/09/93/26/765099326.db2.gz HTKKHFSGKBYBJB-MNOVXSKESA-N -1 1 315.377 1.813 20 0 DDADMM CCCCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052422529 765809554 /nfs/dbraw/zinc/80/95/54/765809554.db2.gz RTIGLLYFTOHFJS-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)Nc1ccnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170214113 766174187 /nfs/dbraw/zinc/17/41/87/766174187.db2.gz WPABACNGAXGKNU-UHFFFAOYSA-N -1 1 302.298 1.070 20 0 DDADMM CCCNc1cc(C)nc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001170223768 766197122 /nfs/dbraw/zinc/19/71/22/766197122.db2.gz OHWQMNZLULCFQZ-UHFFFAOYSA-N -1 1 300.326 1.722 20 0 DDADMM Cc1cc(N[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)ncn1 ZINC001068823362 767641042 /nfs/dbraw/zinc/64/10/42/767641042.db2.gz SWQTWSCTIGOLRO-NWDGAFQWSA-N -1 1 313.361 1.601 20 0 DDADMM Cc1nsc(N[C@@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)n1 ZINC001069063347 767811623 /nfs/dbraw/zinc/81/16/23/767811623.db2.gz PTNVSTFPRTXQIA-PSASIEDQSA-N -1 1 319.390 1.662 20 0 DDADMM Cc1ccnc(N[C@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)n1 ZINC001069065121 767814381 /nfs/dbraw/zinc/81/43/81/767814381.db2.gz HIYMYNCFXVXNNX-RYUDHWBXSA-N -1 1 313.361 1.601 20 0 DDADMM CCCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001071609634 769711909 /nfs/dbraw/zinc/71/19/09/769711909.db2.gz HUOKERICGFVMHN-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2ccc[n+]([O-])c2)c(=O)[n-]1 ZINC001133592283 770041255 /nfs/dbraw/zinc/04/12/55/770041255.db2.gz BWZPKTXNWVNTHL-UHFFFAOYSA-N -1 1 320.374 1.417 20 0 DDADMM CCC(CC)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952352 770336522 /nfs/dbraw/zinc/33/65/22/770336522.db2.gz LASMYSHBWWXFSI-DGCLKSJQSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071953040 770339093 /nfs/dbraw/zinc/33/90/93/770339093.db2.gz UNIGRFZROKKSBR-NWDGAFQWSA-N -1 1 305.378 1.410 20 0 DDADMM CCCCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071953404 770340742 /nfs/dbraw/zinc/34/07/42/770340742.db2.gz YYXSMUXCYXYNQR-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM CC[C@@](N)(CO)Nc1cc(Br)cc(C(=O)[O-])c1 ZINC001170866154 771781238 /nfs/dbraw/zinc/78/12/38/771781238.db2.gz OEXJCQUNZCOACE-NSHDSACASA-N -1 1 303.156 1.617 20 0 DDADMM CCc1[nH]n(C)c2nnc(NC(=O)c3cccc([O-])c3F)c1-2 ZINC001136748682 772120334 /nfs/dbraw/zinc/12/03/34/772120334.db2.gz VHOPSZDLTJYVIH-UHFFFAOYSA-N -1 1 303.297 1.956 20 0 DDADMM COC(=O)[C@@]1(C)COCCN1Cc1c(F)cc([O-])cc1F ZINC001144567416 772567423 /nfs/dbraw/zinc/56/74/23/772567423.db2.gz JRJUDOUDJHCCHX-CQSZACIVSA-N -1 1 301.289 1.434 20 0 DDADMM COC[C@H]1c2nnn(C)c2CCN1Cc1cc(F)c([O-])cc1F ZINC001144650705 772587806 /nfs/dbraw/zinc/58/78/06/772587806.db2.gz ADLGZRUEAYBNLX-ZDUSSCGKSA-N -1 1 324.331 1.545 20 0 DDADMM CC1(C)CN(Cc2cc(F)c([O-])cc2F)CCN1CC(N)=O ZINC001144658205 772592143 /nfs/dbraw/zinc/59/21/43/772592143.db2.gz UKNBIFLZYIIWEI-UHFFFAOYSA-N -1 1 313.348 1.052 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnc(NC(C)=O)c2)n1 ZINC001147814364 773244281 /nfs/dbraw/zinc/24/42/81/773244281.db2.gz MHHHXXRFYKQARA-UHFFFAOYSA-N -1 1 317.305 1.192 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N(C)c3nccnc3N)cc2)[n-]1 ZINC001148328989 773437690 /nfs/dbraw/zinc/43/76/90/773437690.db2.gz FFMBGHOFXDHRNI-UHFFFAOYSA-N -1 1 324.344 1.448 20 0 DDADMM CCN(CCC(N)=O)C(=O)c1ccc(-n2[n-]c(C)cc2=O)cc1 ZINC001148330289 773438395 /nfs/dbraw/zinc/43/83/95/773438395.db2.gz KVVUSWATZJBMCE-UHFFFAOYSA-N -1 1 316.361 1.137 20 0 DDADMM CC(=O)NC[C@@H](C)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001148805914 773606203 /nfs/dbraw/zinc/60/62/03/773606203.db2.gz HZPXGWUICNBFIG-MRVPVSSYSA-N -1 1 318.295 1.913 20 0 DDADMM Cc1nonc1NC(=O)c1cc([O-])c(Br)c(O)c1 ZINC001148981418 773663292 /nfs/dbraw/zinc/66/32/92/773663292.db2.gz JJUXIBWQNNHYCQ-UHFFFAOYSA-N -1 1 314.095 1.804 20 0 DDADMM CC1(C)CC(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC(C)(C)O1 ZINC001172650152 776842161 /nfs/dbraw/zinc/84/21/61/776842161.db2.gz HNGUAJXNXCUPNL-UHFFFAOYSA-N -1 1 307.354 1.864 20 0 DDADMM CN(C)C(=O)c1cccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c1 ZINC001174083609 777409377 /nfs/dbraw/zinc/40/93/77/777409377.db2.gz VEDQHEQJQGNKGH-UHFFFAOYSA-N -1 1 305.363 1.499 20 0 DDADMM CCCC[C@@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101933504 777695887 /nfs/dbraw/zinc/69/58/87/777695887.db2.gz ODBWFXYRHAQYNU-JHJVBQTASA-N -1 1 323.441 1.521 20 0 DDADMM Cc1nc(N)ncc1Nc1cc(S(=O)(=O)C(N)=O)ccc1[O-] ZINC001175673257 777871507 /nfs/dbraw/zinc/87/15/07/777871507.db2.gz XQUAHCDIJGKILI-UHFFFAOYSA-N -1 1 323.334 1.083 20 0 DDADMM CC[C@@H](C)CCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102478340 778161578 /nfs/dbraw/zinc/16/15/78/778161578.db2.gz NJMPBXLYMNFDLZ-JHJVBQTASA-N -1 1 323.441 1.521 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)c1ccc2n[nH]nc2n1 ZINC001176987714 778370551 /nfs/dbraw/zinc/37/05/51/778370551.db2.gz CYUCMEBFCMEIJV-UHFFFAOYSA-N -1 1 303.709 1.642 20 0 DDADMM Cc1ccnc(SCC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)n1 ZINC001177495042 778620695 /nfs/dbraw/zinc/62/06/95/778620695.db2.gz LABVEUNKUIOXQJ-UHFFFAOYSA-N -1 1 322.306 1.115 20 0 DDADMM CC(C)[C@@H](C)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001178311812 778998444 /nfs/dbraw/zinc/99/84/44/778998444.db2.gz KMZZTHISWGUJJQ-SRVKXCTJSA-N -1 1 309.414 1.272 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)C1=NO[C@H](c2ccccc2)C1 ZINC001178808146 779180027 /nfs/dbraw/zinc/18/00/27/779180027.db2.gz XTBBFDIGKKVXFO-JTQLQIEISA-N -1 1 324.300 1.515 20 0 DDADMM CSc1nc(NC(=O)CN2CCc3ccccc32)cc(=O)[n-]1 ZINC001180283317 779703633 /nfs/dbraw/zinc/70/36/33/779703633.db2.gz MHMSHGIIFAAIGI-UHFFFAOYSA-N -1 1 316.386 1.905 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])Nc1ncc(F)cn1 ZINC001115719107 780374659 /nfs/dbraw/zinc/37/46/59/780374659.db2.gz HPDYHXVYIMSCAN-SECBINFHSA-N -1 1 305.313 1.289 20 0 DDADMM CN1CC[C@@H]2CC[C@H](C1)N2C(=O)c1ccc(/C=C\C(=O)[O-])o1 ZINC001119586169 781526941 /nfs/dbraw/zinc/52/69/41/781526941.db2.gz ZAXZRMBZBODSSE-ZADDAZQUSA-N -1 1 304.346 1.686 20 0 DDADMM CCn1ncnc1CNCCCc1ccc(OCC(=O)[O-])cc1 ZINC001119615854 781537609 /nfs/dbraw/zinc/53/76/09/781537609.db2.gz UVAUFCPXAGVNIV-UHFFFAOYSA-N -1 1 318.377 1.484 20 0 DDADMM O=C(CC1CS(=O)(=O)C1)Nc1cc(F)c([O-])cc1Cl ZINC001119976405 781702694 /nfs/dbraw/zinc/70/26/94/781702694.db2.gz KGITTZUIGYBQSV-UHFFFAOYSA-N -1 1 307.730 1.558 20 0 DDADMM C[C@@H](C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)[C@@H](C)C(C)(C)C ZINC001266882643 836991086 /nfs/dbraw/zinc/99/10/86/836991086.db2.gz MLSBXVIESDBAEY-GHMZBOCLSA-N -1 1 311.430 1.377 20 0 DDADMM [O-]c1ccc(CN2CCC[C@H](n3cc(CO)nn3)C2)c(F)c1F ZINC001414165892 838164478 /nfs/dbraw/zinc/16/44/78/838164478.db2.gz XHHXFMIKBAPKQI-LBPRGKRZSA-N -1 1 324.331 1.591 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@H](CC)C(=O)OCC ZINC001228553155 844332440 /nfs/dbraw/zinc/33/24/40/844332440.db2.gz UIDVXCRXBGVJKM-SECBINFHSA-N -1 1 314.363 1.663 20 0 DDADMM CC[C@@H](C)N1CC[C@@]2(CCCN2C(=O)c2ncccc2[O-])C1=O ZINC001268843385 840892455 /nfs/dbraw/zinc/89/24/55/840892455.db2.gz UZCWQFWTHJOAAI-PXAZEXFGSA-N -1 1 317.389 1.793 20 0 DDADMM Cc1cc2[nH]nc(NC(=O)c3nccc4occc43)c2c(=O)n1C ZINC001142821122 861271123 /nfs/dbraw/zinc/27/11/23/861271123.db2.gz CDHSGWOORCSGIP-UHFFFAOYSA-N -1 1 323.312 1.964 20 0 DDADMM CN1CC[C@]2(CCCN2C(=O)c2[n-][nH]c3cc(=O)ccc2-3)C1=O ZINC001270388204 842535263 /nfs/dbraw/zinc/53/52/63/842535263.db2.gz FLXGPOAYIREKFN-MRXNPFEDSA-N -1 1 314.345 1.105 20 0 DDADMM COc1cccc(C(C)=CC(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC001149283743 861517175 /nfs/dbraw/zinc/51/71/75/861517175.db2.gz FNSFBGYMVIIRRG-POHAHGRESA-N -1 1 315.329 1.616 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001409672487 845538341 /nfs/dbraw/zinc/53/83/41/845538341.db2.gz CRSBXZHLOYFVJR-CIQGVGRVSA-N -1 1 317.389 1.315 20 0 DDADMM COC(=O)N1CCCN(Cc2ccc(F)c([O-])c2F)CC1 ZINC001232932461 845992561 /nfs/dbraw/zinc/99/25/61/845992561.db2.gz ZAXZABMHIUTCAZ-UHFFFAOYSA-N -1 1 300.305 1.945 20 0 DDADMM CNC(=O)[C@@H]1CC12CCN(C(=O)c1nc(C)ccc1[O-])CC2 ZINC001272387237 846092923 /nfs/dbraw/zinc/09/29/23/846092923.db2.gz ZOFZQAGCAJJEEU-NSHDSACASA-N -1 1 303.362 1.084 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2[n-][nH]c3cc(=O)ccc2-3)C[C@@H]1C ZINC001155266484 861912808 /nfs/dbraw/zinc/91/28/08/861912808.db2.gz FLLBWTRBMOKZJX-KWQFWETISA-N -1 1 303.318 1.150 20 0 DDADMM O=C(NCC1(c2cnccn2)CC1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273485 861923139 /nfs/dbraw/zinc/92/31/39/861923139.db2.gz PDNGSXHVRCYPGN-UHFFFAOYSA-N -1 1 309.329 1.520 20 0 DDADMM CCCCC1(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001410186964 848869414 /nfs/dbraw/zinc/86/94/14/848869414.db2.gz INYODDSTBUTJCV-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM O=C(CCc1ccccc1Cl)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150284873 862170331 /nfs/dbraw/zinc/17/03/31/862170331.db2.gz JWWRLZGPPDZSDL-UHFFFAOYSA-N -1 1 323.784 1.002 20 0 DDADMM COc1ccc(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c(C)n1 ZINC001150422103 862230543 /nfs/dbraw/zinc/23/05/43/862230543.db2.gz GQZODUBYVJSXIM-UHFFFAOYSA-N -1 1 306.347 1.744 20 0 DDADMM C[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)c1ccns1 ZINC001150573904 862324475 /nfs/dbraw/zinc/32/44/75/862324475.db2.gz LIFDGXJYJHNBSH-SSDOTTSWSA-N -1 1 305.388 1.966 20 0 DDADMM NC(=O)[C@H]1CC12CCN(C(=O)c1cc([O-])cnc1Cl)CC2 ZINC001274970616 852720321 /nfs/dbraw/zinc/72/03/21/852720321.db2.gz VGCCUTPBLZHLIS-SNVBAGLBSA-N -1 1 309.753 1.168 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1cnc(C2CC2)nc1 ZINC001411447171 853125081 /nfs/dbraw/zinc/12/50/81/853125081.db2.gz ZJSVDLRDUXYLEL-UHFFFAOYSA-N -1 1 311.345 1.981 20 0 DDADMM CCOC(=O)Cn1cnc([N-]C(=O)c2coc(C(C)(C)C)n2)n1 ZINC001411446038 853130855 /nfs/dbraw/zinc/13/08/55/853130855.db2.gz IHNOXRWRMGQXDI-UHFFFAOYSA-N -1 1 321.337 1.379 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@]2(F)CCOC2)[n-]c1Cl ZINC001411550355 853347228 /nfs/dbraw/zinc/34/72/28/853347228.db2.gz YDDIKWFITZQFDH-LLVKDONJSA-N -1 1 305.693 1.307 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@]12CCN(C(=O)c1cncc([O-])c1)C2 ZINC001275624458 853447593 /nfs/dbraw/zinc/44/75/93/853447593.db2.gz ZLYMDQXZTGSXJE-WMLDXEAASA-N -1 1 317.389 1.508 20 0 DDADMM CCOC(=O)[C@@H](Cc1ccncc1)NC(=O)c1ccc([O-])cn1 ZINC001411852357 853836048 /nfs/dbraw/zinc/83/60/48/853836048.db2.gz SYDXJQDSLAVYSY-CQSZACIVSA-N -1 1 315.329 1.086 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)c1 ZINC001155858539 862512457 /nfs/dbraw/zinc/51/24/57/862512457.db2.gz NIFRIANUMSZZHE-YPMHNXCESA-N -1 1 318.329 1.084 20 0 DDADMM CS(=O)(=O)CCc1ccc(NC(=O)c2ccc([O-])cn2)cc1 ZINC001411929030 853977403 /nfs/dbraw/zinc/97/74/03/853977403.db2.gz NEUFSLXDYBOKDH-UHFFFAOYSA-N -1 1 320.370 1.627 20 0 DDADMM CCOC(=O)[C@H](CO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001411926616 853984046 /nfs/dbraw/zinc/98/40/46/853984046.db2.gz JWIMWNOVZUZWFO-VIFPVBQESA-N -1 1 321.251 1.065 20 0 DDADMM CCOC(=O)Cn1nc(C)cc1NC(=O)c1cncc([O-])c1 ZINC001412298013 854419253 /nfs/dbraw/zinc/41/92/53/854419253.db2.gz NPRBZAAGSBIHLG-UHFFFAOYSA-N -1 1 304.306 1.108 20 0 DDADMM CC(=O)CCC(C)(C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001412403243 854512230 /nfs/dbraw/zinc/51/22/30/854512230.db2.gz WIDFFAGVNNNEEM-CYBMUJFWSA-N -1 1 315.377 1.801 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H](C)NC(=O)CC(C)(C)C ZINC001412524872 854657178 /nfs/dbraw/zinc/65/71/78/854657178.db2.gz CKXBKPHHSWRVDH-LLVKDONJSA-N -1 1 324.429 1.125 20 0 DDADMM COCC1(C(=O)N(Cc2nn[n-]n2)CC(C)C)CCCCC1 ZINC001412525777 854658985 /nfs/dbraw/zinc/65/89/85/854658985.db2.gz FWOZOVHJUKSXNA-UHFFFAOYSA-N -1 1 309.414 1.781 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C(F)(F)c1ccccc1 ZINC001412533720 854671451 /nfs/dbraw/zinc/67/14/51/854671451.db2.gz ZWKDRQIJZQTELH-UHFFFAOYSA-N -1 1 309.320 1.976 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2C[C@@H]3CCC[C@H]3O2)c(=O)[n-]1 ZINC001412557893 854722639 /nfs/dbraw/zinc/72/26/39/854722639.db2.gz JQJJFBUOLVIAFP-ATZCPNFKSA-N -1 1 309.391 1.592 20 0 DDADMM CCc1ccc([C@H](C)C(=O)N[C@@H](COC)c2nn[n-]n2)cc1 ZINC001412599185 854795051 /nfs/dbraw/zinc/79/50/51/854795051.db2.gz VRZSKLHAEIXOCD-GWCFXTLKSA-N -1 1 303.366 1.370 20 0 DDADMM COC(=O)c1cc(C(=O)NCCc2c(F)cc([O-])cc2F)c[nH]1 ZINC001412682202 854937315 /nfs/dbraw/zinc/93/73/15/854937315.db2.gz VWMFFYFNAUVUSY-UHFFFAOYSA-N -1 1 324.283 1.758 20 0 DDADMM COC(=O)c1cnc(CCNC(=O)c2ccc([O-])cn2)s1 ZINC001412732503 855042888 /nfs/dbraw/zinc/04/28/88/855042888.db2.gz URAMEPKRGMYRLW-UHFFFAOYSA-N -1 1 307.331 1.003 20 0 DDADMM CCc1nn(C)c(OC)c1CNC(=O)c1cccc([O-])c1F ZINC001412737021 855048050 /nfs/dbraw/zinc/04/80/50/855048050.db2.gz AQLUFMZLIVBKBD-UHFFFAOYSA-N -1 1 307.325 1.766 20 0 DDADMM Cc1n[nH]c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1C(F)(F)F ZINC001412790365 855270836 /nfs/dbraw/zinc/27/08/36/855270836.db2.gz ADMZYXTVRIQXGN-YFKPBYRVSA-N -1 1 317.275 1.126 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CCC(C)(C)O2)c1Cl ZINC000188754343 855392632 /nfs/dbraw/zinc/39/26/32/855392632.db2.gz RXQKLXXRNVZIRH-QMMMGPOBSA-N -1 1 307.803 1.309 20 0 DDADMM O=C(NC[C@H](CO)CC1CCOCC1)c1cccc([O-])c1F ZINC001412895149 855765783 /nfs/dbraw/zinc/76/57/83/855765783.db2.gz KIRLLLVWVCVBNQ-GFCCVEGCSA-N -1 1 311.353 1.686 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)c1cnc2c(c1)NC(=O)CO2 ZINC001413053772 856215849 /nfs/dbraw/zinc/21/58/49/856215849.db2.gz WLRFNOHSOXRXRS-UHFFFAOYSA-N -1 1 321.239 1.649 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)CCCF ZINC001413128803 856453811 /nfs/dbraw/zinc/45/38/11/856453811.db2.gz CNNVXPDIXSYHBM-NWDGAFQWSA-N -1 1 323.368 1.646 20 0 DDADMM COC(=O)c1cnc(Cl)cc1[N-]S(=O)(=O)CC(C)C ZINC001259878891 856504629 /nfs/dbraw/zinc/50/46/29/856504629.db2.gz WQANRGGGYFFDEO-UHFFFAOYSA-N -1 1 306.771 1.919 20 0 DDADMM COC[C@H](C)CS(=O)(=O)[N-][C@H](C(=O)OC)c1ccsc1 ZINC001413329544 856669063 /nfs/dbraw/zinc/66/90/63/856669063.db2.gz PIAPQXJUFIWJBO-ONGXEEELSA-N -1 1 321.420 1.164 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCc3cc(C)ccc32)[n-]n1 ZINC001413346731 856698909 /nfs/dbraw/zinc/69/89/09/856698909.db2.gz OJLJSVUSQXDHJP-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCc3cc(C)ccc32)n[n-]1 ZINC001413346731 856698911 /nfs/dbraw/zinc/69/89/11/856698911.db2.gz OJLJSVUSQXDHJP-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@]1(C)CCCC[C@@H]1C ZINC001413365453 856721551 /nfs/dbraw/zinc/72/15/51/856721551.db2.gz JNHUYHCDDUJWBU-ZANVPECISA-N -1 1 315.395 1.443 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@](C)([N-]S(=O)(=O)C(F)F)C1 ZINC001259959290 856788926 /nfs/dbraw/zinc/78/89/26/856788926.db2.gz QNHHUTWKQYVQLV-NSHDSACASA-N -1 1 314.354 1.528 20 0 DDADMM CCO[C@@H](C(=O)N(Cc1nn[n-]n1)CC(C)C)C1CCCC1 ZINC001413502601 856898054 /nfs/dbraw/zinc/89/80/54/856898054.db2.gz JTYFMXLKHSDGQG-CQSZACIVSA-N -1 1 309.414 1.780 20 0 DDADMM COc1ccc(NC(=O)NCc2cc(=O)[n-]c(SC)n2)nc1 ZINC001413600865 857217255 /nfs/dbraw/zinc/21/72/55/857217255.db2.gz FEBWZOLWYGDWNV-UHFFFAOYSA-N -1 1 321.362 1.629 20 0 DDADMM CCOc1cc(C(=O)N[C@H]2C[C@@H](O)[C@@H](O)C2)cc(Cl)c1[O-] ZINC001413602524 857219853 /nfs/dbraw/zinc/21/98/53/857219853.db2.gz LPGXYZGRLHLLMQ-GUDFOSOFSA-N -1 1 315.753 1.058 20 0 DDADMM Cc1sccc1CC(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001413656463 857292872 /nfs/dbraw/zinc/29/28/72/857292872.db2.gz AJMRIJAOVPTHAP-UHFFFAOYSA-N -1 1 321.402 1.810 20 0 DDADMM CC(C)=CC(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072969169 857948060 /nfs/dbraw/zinc/94/80/60/857948060.db2.gz PKZLEILXCAGZSJ-UHFFFAOYSA-N -1 1 317.389 1.864 20 0 DDADMM COC[C@](C)(NC(=O)c1cc(Cl)ccc1[O-])C(=O)OC ZINC001362134559 883123552 /nfs/dbraw/zinc/12/35/52/883123552.db2.gz FZABOGYCIASGIS-ZDUSSCGKSA-N -1 1 301.726 1.354 20 0 DDADMM O=C(NC1(C2CC2)CCOCC1)c1ccc2n[n-]c(=S)n2c1 ZINC001138086380 860006585 /nfs/dbraw/zinc/00/65/85/860006585.db2.gz WDTNQBCDFVPYPA-UHFFFAOYSA-N -1 1 318.402 1.707 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2cc(C)cc(C=O)c2[O-])[C@@H]1OC ZINC001138618409 860146724 /nfs/dbraw/zinc/14/67/24/860146724.db2.gz CGVCWXNQEMGSAJ-NFAWXSAZSA-N -1 1 307.346 1.435 20 0 DDADMM O=C([O-])COc1ccc(CN2CCC3(CC(=O)CO3)CC2)cc1 ZINC001138923261 860229268 /nfs/dbraw/zinc/22/92/68/860229268.db2.gz DTCZAELHIUOILM-UHFFFAOYSA-N -1 1 319.357 1.474 20 0 DDADMM COc1cccc(CN2CC[C@H](O)[C@@H](C)C2)c1OCC(=O)[O-] ZINC001139268784 860343798 /nfs/dbraw/zinc/34/37/98/860343798.db2.gz UBKQLVYUFJHEAW-AAEUAGOBSA-N -1 1 309.362 1.361 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)c1nnc(C)o1 ZINC001362153404 883165398 /nfs/dbraw/zinc/16/53/98/883165398.db2.gz YFFDXZQSUJJJPB-ZCFIWIBFSA-N -1 1 309.351 1.395 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1ncc(CCO)s1 ZINC001141691570 860912309 /nfs/dbraw/zinc/91/23/09/860912309.db2.gz XWPRQJZWKXLPLM-UHFFFAOYSA-N -1 1 312.376 1.023 20 0 DDADMM Cc1n[nH]c(C(=O)NCCCNCc2coc(C3CC3)n2)c1[O-] ZINC001156626651 863205604 /nfs/dbraw/zinc/20/56/04/863205604.db2.gz MJMGBULWYVSNBV-UHFFFAOYSA-N -1 1 319.365 1.199 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2coc3c2C(=O)CCC3)n1 ZINC001361441637 881659534 /nfs/dbraw/zinc/65/95/34/881659534.db2.gz DWNRJBPADLZBJN-UHFFFAOYSA-N -1 1 321.314 1.689 20 0 DDADMM Cn1c(=O)cc(NC(=O)c2c([O-])ccc3ccccc32)[nH]c1=O ZINC001153509806 863921222 /nfs/dbraw/zinc/92/12/22/863921222.db2.gz DXCWPCBICJXKTH-UHFFFAOYSA-N -1 1 311.297 1.597 20 0 DDADMM COCc1sccc1C(=O)[N-]N1C(=O)c2ccccc2C1=O ZINC001153753890 864065352 /nfs/dbraw/zinc/06/53/52/864065352.db2.gz OUPQBFVSHGPAFS-UHFFFAOYSA-N -1 1 316.338 1.836 20 0 DDADMM CC(C)OCCNC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001330648456 864510920 /nfs/dbraw/zinc/51/09/20/864510920.db2.gz YQNVXZKMQSETMK-UHFFFAOYSA-N -1 1 314.769 1.203 20 0 DDADMM O=C(C=Cc1ccco1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001330906073 864693148 /nfs/dbraw/zinc/69/31/48/864693148.db2.gz AXBQYGPITDLICA-ONEGZZNKSA-N -1 1 301.302 1.178 20 0 DDADMM Cc1cc2c(c(N3Cc4cnc(Cl)cc4C3)n1)C(=O)[N-]C2=O ZINC001158756417 864881953 /nfs/dbraw/zinc/88/19/53/864881953.db2.gz CYUAKKNHERIWCE-UHFFFAOYSA-N -1 1 314.732 1.842 20 0 DDADMM C[C@@H](CN(C)C(=O)C(F)=C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001331424192 865076821 /nfs/dbraw/zinc/07/68/21/865076821.db2.gz UZDYVLIKEFHRKN-VIFPVBQESA-N -1 1 311.361 1.244 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C2(C(C)C)COC2)[n-]c1Cl ZINC001361528231 881833773 /nfs/dbraw/zinc/83/37/73/881833773.db2.gz ARZHNVOMLRKOBH-UHFFFAOYSA-N -1 1 315.757 1.851 20 0 DDADMM COc1ccc2c(c1)c(C(=O)N(C)c1nn[n-]n1)c(C)n2C ZINC001331463449 865101059 /nfs/dbraw/zinc/10/10/59/865101059.db2.gz SJZXDAMPLDUCEI-UHFFFAOYSA-N -1 1 300.322 1.285 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cncnc2C)[n-]c1Cl ZINC001361530424 881838174 /nfs/dbraw/zinc/83/81/74/881838174.db2.gz VBFIIBYHSZPHRA-UHFFFAOYSA-N -1 1 309.713 1.591 20 0 DDADMM COc1nc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)ccc1Cl ZINC001159189508 865156170 /nfs/dbraw/zinc/15/61/70/865156170.db2.gz KBKWJGUWXSWAOT-UHFFFAOYSA-N -1 1 308.685 1.516 20 0 DDADMM C[C@H]1CC(=O)Nc2ncnc(Nc3[n-]c(=O)nc4nc[nH]c43)c21 ZINC001159198809 865161746 /nfs/dbraw/zinc/16/17/46/865161746.db2.gz YUIUZOZPELIHJL-YFKPBYRVSA-N -1 1 312.293 1.038 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC(C(=O)OC(C)(C)C)C2)c1 ZINC001159505397 865364276 /nfs/dbraw/zinc/36/42/76/865364276.db2.gz CLFUDZUMIZOSSX-UHFFFAOYSA-N -1 1 319.357 1.927 20 0 DDADMM COc1cccc2c(Nc3c(O)[nH]c(=O)[n-]c3=S)nccc12 ZINC001160857181 866151439 /nfs/dbraw/zinc/15/14/39/866151439.db2.gz BIYWWNLZADRSOE-JTQLQIEISA-N -1 1 316.342 1.191 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3CCc4ccccc4O3)ccnc1-2 ZINC001361629862 882044717 /nfs/dbraw/zinc/04/47/17/882044717.db2.gz YNPVKKHQSRSZLS-HNNXBMFYSA-N -1 1 308.341 1.674 20 0 DDADMM C[C@H](NC(=O)C(=O)C(C)(C)C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001333867454 867095027 /nfs/dbraw/zinc/09/50/27/867095027.db2.gz PZTIGVSRHXWYIY-UWVGGRQHSA-N -1 1 321.377 1.025 20 0 DDADMM C[C@H](NC/C=C/CNC(=O)c1ncccc1[O-])c1ncccn1 ZINC001321026320 867230508 /nfs/dbraw/zinc/23/05/08/867230508.db2.gz AQJVDMANJITORQ-JDGPPOGSSA-N -1 1 313.361 1.214 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CC[C@]3(CC(F)(F)C3(F)F)C2)C1=O ZINC001334039567 867259142 /nfs/dbraw/zinc/25/91/42/867259142.db2.gz FWRFUEKLAIJSMM-KWQFWETISA-N -1 1 324.274 1.038 20 0 DDADMM CCOC(=O)c1nc(NC(=O)Cc2cnc(C)o2)[n-]c1Cl ZINC001361671248 882128354 /nfs/dbraw/zinc/12/83/54/882128354.db2.gz NLUGEMGCTMTQKG-UHFFFAOYSA-N -1 1 312.713 1.717 20 0 DDADMM CN(CC(=O)C(C)(C)C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001336355408 868893915 /nfs/dbraw/zinc/89/39/15/868893915.db2.gz GETPDYYBIYQVBY-UHFFFAOYSA-N -1 1 306.391 1.705 20 0 DDADMM Cc1cc2c(c(N3CCC[C@@H](F)C(F)(F)C3)n1)C(=O)[N-]C2=O ZINC001164063514 868921717 /nfs/dbraw/zinc/92/17/17/868921717.db2.gz NBYGFUKNMSYLNE-SECBINFHSA-N -1 1 313.279 1.847 20 0 DDADMM O=C(NCCNc1cccc(S(=O)(=O)[O-])c1)C(F)(F)F ZINC001164245841 869055258 /nfs/dbraw/zinc/05/52/58/869055258.db2.gz ACXNHWQZLUBILC-UHFFFAOYSA-N -1 1 312.269 1.024 20 0 DDADMM O=C([N-]CCNc1ccn(C2CCC2)c(=O)c1)C(F)(F)F ZINC001164250805 869058908 /nfs/dbraw/zinc/05/89/08/869058908.db2.gz ACRDADBQTJBIBL-UHFFFAOYSA-N -1 1 303.284 1.664 20 0 DDADMM NC(=O)c1cc(NCC[N-]C(=O)C(F)(F)F)cc2cc[nH]c21 ZINC001164251616 869060133 /nfs/dbraw/zinc/06/01/33/869060133.db2.gz ZYBONKDRVKSHON-UHFFFAOYSA-N -1 1 314.267 1.357 20 0 DDADMM COC(=O)CC1CCC(Oc2nc(=O)[n-]cc2C(C)=O)CC1 ZINC001226239579 882299967 /nfs/dbraw/zinc/29/99/67/882299967.db2.gz CPTYOUIDUVCTEQ-UHFFFAOYSA-N -1 1 308.334 1.886 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCc2ccc(Cl)cc2C1 ZINC001338719466 870130949 /nfs/dbraw/zinc/13/09/49/870130949.db2.gz MZMSERZIMIOJCY-UHFFFAOYSA-N -1 1 316.756 1.211 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCc2ccc(Cl)cc2C1 ZINC001338719466 870130959 /nfs/dbraw/zinc/13/09/59/870130959.db2.gz MZMSERZIMIOJCY-UHFFFAOYSA-N -1 1 316.756 1.211 20 0 DDADMM Cc1cc(C)c2c(c1)CN(c1nnc(Cc3nnn[n-]3)n1C)CC2 ZINC001339603405 870575567 /nfs/dbraw/zinc/57/55/67/870575567.db2.gz LETVHACBGGAFOD-UHFFFAOYSA-N -1 1 324.392 1.099 20 0 DDADMM Cc1cc(C)c2c(c1)CN(c1nnc(Cc3nn[n-]n3)n1C)CC2 ZINC001339603405 870575576 /nfs/dbraw/zinc/57/55/76/870575576.db2.gz LETVHACBGGAFOD-UHFFFAOYSA-N -1 1 324.392 1.099 20 0 DDADMM Cc1ccc(-c2nn(C)cc2C(=O)Nc2c(C)[n-][nH]c2=O)o1 ZINC001298677808 870669928 /nfs/dbraw/zinc/66/99/28/870669928.db2.gz XCOUUGNIIFMDEI-UHFFFAOYSA-N -1 1 301.306 1.978 20 0 DDADMM CNC(=O)c1ccc(OC)c(NC(=O)c2n[nH]c(C)c2[O-])c1 ZINC001361827436 882447992 /nfs/dbraw/zinc/44/79/92/882447992.db2.gz MDYTZFXHQUWITC-UHFFFAOYSA-N -1 1 304.306 1.044 20 0 DDADMM CN(CCN(C)C(=O)[C@@H]1CC12CCC2)C(=O)c1ncccc1[O-] ZINC001416658893 870866864 /nfs/dbraw/zinc/86/68/64/870866864.db2.gz QQSDRAXSWCCADS-LBPRGKRZSA-N -1 1 317.389 1.508 20 0 DDADMM O=C(NCc1ncccc1Br)c1cnncc1[O-] ZINC001363960333 887617026 /nfs/dbraw/zinc/61/70/26/887617026.db2.gz SNWBOWAMBVNIKW-UHFFFAOYSA-N -1 1 309.123 1.270 20 0 DDADMM Cc1nn(-c2ccc(Cl)cc2)cc1C(=O)N(C)c1nn[n-]n1 ZINC001301548320 871001353 /nfs/dbraw/zinc/00/13/53/871001353.db2.gz SHWBUBMIDGARBB-UHFFFAOYSA-N -1 1 317.740 1.624 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)c1csc(=O)[nH]1 ZINC001301709147 871019438 /nfs/dbraw/zinc/01/94/38/871019438.db2.gz KFSJRVKLCRMROC-UHFFFAOYSA-N -1 1 302.315 1.992 20 0 DDADMM NC(=O)c1ccc(OCC(=O)Nc2cccc(F)c2[O-])cc1 ZINC001302487132 871070833 /nfs/dbraw/zinc/07/08/33/871070833.db2.gz VPCHXHSJIXPPCM-UHFFFAOYSA-N -1 1 304.277 1.648 20 0 DDADMM Cc1ccn2ncc(C(=O)N(Cc3nn[n-]n3)CC(C)C)c2c1 ZINC001303200642 871108472 /nfs/dbraw/zinc/10/84/72/871108472.db2.gz DDPITWDHOBQTLD-UHFFFAOYSA-N -1 1 313.365 1.454 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)Cc1cccc(F)c1 ZINC001340921758 871428912 /nfs/dbraw/zinc/42/89/12/871428912.db2.gz DULJLLATVKFCNZ-UHFFFAOYSA-N -1 1 316.344 1.177 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)Cc1cccc(F)c1 ZINC001340921758 871428919 /nfs/dbraw/zinc/42/89/19/871428919.db2.gz DULJLLATVKFCNZ-UHFFFAOYSA-N -1 1 316.344 1.177 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N(C)CC1=CCCOC1 ZINC001341124683 871515524 /nfs/dbraw/zinc/51/55/24/871515524.db2.gz VXUWIRMBRKZOCM-UHFFFAOYSA-N -1 1 304.354 1.177 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CCCN1C(=O)OCC(F)(F)F ZINC001413844684 871879130 /nfs/dbraw/zinc/87/91/30/871879130.db2.gz JIKUBDLOEDLGPI-SSDOTTSWSA-N -1 1 322.243 1.217 20 0 DDADMM CCN(CCCNC(=O)c1cccc(C)c1)Cc1n[nH]c(=O)[n-]1 ZINC001316881950 872197380 /nfs/dbraw/zinc/19/73/80/872197380.db2.gz FUIBZFQJNHUYQF-UHFFFAOYSA-N -1 1 317.393 1.461 20 0 DDADMM O=C(CCCOc1ccc2c(c1)CCCC2)OCc1nn[n-]n1 ZINC001343941897 872815774 /nfs/dbraw/zinc/81/57/74/872815774.db2.gz YCHFWHBIVUNVSV-UHFFFAOYSA-N -1 1 316.361 1.981 20 0 DDADMM COCC[C@@](C)(O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001361943201 882682405 /nfs/dbraw/zinc/68/24/05/882682405.db2.gz IGINMKXXUUCRFT-CYBMUJFWSA-N -1 1 321.295 1.928 20 0 DDADMM CC1(C)CC[C@@H](C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)O1 ZINC001361979119 882749843 /nfs/dbraw/zinc/74/98/43/882749843.db2.gz FXXHXIBCHKLYGD-LBPRGKRZSA-N -1 1 317.349 1.675 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC[S@@](=O)C(C)(C)C ZINC001346495474 873777223 /nfs/dbraw/zinc/77/72/23/873777223.db2.gz OJSMYWBMBHOIJV-HXUWFJFHSA-N -1 1 317.436 1.056 20 0 DDADMM CC(C)CC1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001208316354 873998952 /nfs/dbraw/zinc/99/89/52/873998952.db2.gz RHGPXAWWJGVTFD-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(CCc1ccc2ccccc2n1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347198051 874050425 /nfs/dbraw/zinc/05/04/25/874050425.db2.gz NVEDTWYMAOBQLN-CYBMUJFWSA-N -1 1 322.372 1.697 20 0 DDADMM O=c1ncc(Br)c(O[C@@H]2COc3cnccc32)[n-]1 ZINC001227140663 882872719 /nfs/dbraw/zinc/87/27/19/882872719.db2.gz XQEFFIHCVPBCJM-SECBINFHSA-N -1 1 310.107 1.852 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2cncc(N)c2)c1 ZINC001210442703 875388182 /nfs/dbraw/zinc/38/81/82/875388182.db2.gz XJJVTTGVUVSKNU-UHFFFAOYSA-N -1 1 308.319 1.379 20 0 DDADMM CN(C)c1ccc(CCC(=O)N2CC[C@@H](c3nn[n-]n3)C2)cc1 ZINC001350234531 875590312 /nfs/dbraw/zinc/59/03/12/875590312.db2.gz NYZQGEKTHDULOV-CYBMUJFWSA-N -1 1 314.393 1.214 20 0 DDADMM CCOc1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c(OCC)n1 ZINC001211472358 875825152 /nfs/dbraw/zinc/82/51/52/875825152.db2.gz YIZNJRAZBZINAU-UHFFFAOYSA-N -1 1 323.378 1.989 20 0 DDADMM CC/C(C)=C/C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001350677857 875828930 /nfs/dbraw/zinc/82/89/30/875828930.db2.gz BELBEKBYXVGMIA-XSNHNAGMSA-N -1 1 317.389 1.816 20 0 DDADMM COc1ccnc(-c2nc(-c3cnccc3OC)no2)c1[O-] ZINC001213326439 875899376 /nfs/dbraw/zinc/89/93/76/875899376.db2.gz OQEDHKUGBKBTJH-UHFFFAOYSA-N -1 1 300.274 1.916 20 0 DDADMM CC(C)[C@H](CNC(=O)C1=CCCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001378958991 876002114 /nfs/dbraw/zinc/00/21/14/876002114.db2.gz GMJBAXZQJIRVSF-ZDUSSCGKSA-N -1 1 321.425 1.631 20 0 DDADMM Cc1conc1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001214036165 876145630 /nfs/dbraw/zinc/14/56/30/876145630.db2.gz IYSJMIUZLSUXHR-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM Cc1cccc(C)c1CN(C)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001351335179 876194563 /nfs/dbraw/zinc/19/45/63/876194563.db2.gz FREZZLORBVVGGJ-UHFFFAOYSA-N -1 1 314.349 1.412 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H](Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)CC1 ZINC001227430760 883010706 /nfs/dbraw/zinc/01/07/06/883010706.db2.gz OLYSZQJPSFCIGX-ZKCHVHJHSA-N -1 1 322.321 1.265 20 0 DDADMM CCC[C@@H](CC(=O)OCC)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227430614 883012156 /nfs/dbraw/zinc/01/21/56/883012156.db2.gz MWAZEPWTACBOCG-ZETCQYMHSA-N -1 1 310.310 1.265 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCCc3c2cnn3C)c(=O)[n-]1 ZINC001362095423 883025065 /nfs/dbraw/zinc/02/50/65/883025065.db2.gz SBKJROJLDTVUOX-SNVBAGLBSA-N -1 1 319.390 1.445 20 0 DDADMM CCN(CCN[C@@H](C)c1noc(C)n1)C(=O)c1ncccc1[O-] ZINC001379300006 876770401 /nfs/dbraw/zinc/77/04/01/876770401.db2.gz RFGZESJCTQJQPA-JTQLQIEISA-N -1 1 319.365 1.292 20 0 DDADMM COc1ccc2[nH]c(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)cc2c1 ZINC001352585982 876816425 /nfs/dbraw/zinc/81/64/25/876816425.db2.gz RBTUDCQHWIBBNX-SECBINFHSA-N -1 1 312.333 1.319 20 0 DDADMM CCOc1cc([N-]S(=O)(=O)c2ccc(N)c(N)c2)ccn1 ZINC001216627178 877000588 /nfs/dbraw/zinc/00/05/88/877000588.db2.gz YOYCKZXGKPZPDP-UHFFFAOYSA-N -1 1 308.363 1.446 20 0 DDADMM COCCn1c(-c2c[n-][nH]c2=O)nnc1N1CC=C(C)CC1 ZINC001355220754 878467291 /nfs/dbraw/zinc/46/72/91/878467291.db2.gz GTMUOHJBZHYULI-UHFFFAOYSA-N -1 1 304.354 1.177 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(CCOC2CCC2)CC1 ZINC001374285057 912437280 /nfs/dbraw/zinc/43/72/80/912437280.db2.gz ZPZJBUYPGFCJTB-UHFFFAOYSA-N -1 1 305.378 1.114 20 0 DDADMM CCC1(C(=O)N[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])CCC1 ZINC001380433180 879644769 /nfs/dbraw/zinc/64/47/69/879644769.db2.gz IKNGFKCVCVTTAU-RYUDHWBXSA-N -1 1 319.405 1.991 20 0 DDADMM Cn1c(=O)c2ccccc2n1C([O-])=C1COc2ccccc2O1 ZINC001287882797 912544790 /nfs/dbraw/zinc/54/47/90/912544790.db2.gz SSMCGQMWCBNLKW-HNNXBMFYSA-N -1 1 310.309 1.820 20 0 DDADMM O=C(NCCN(C(=O)c1ncccc1[O-])C1CC1)[C@@H]1CC12CC2 ZINC001374327796 912555451 /nfs/dbraw/zinc/55/54/51/912555451.db2.gz OHKKIRWDZATGGT-LBPRGKRZSA-N -1 1 315.373 1.308 20 0 DDADMM FC1(F)CN(Cc2ccccc2)CC[C@H]1OCc1nn[n-]n1 ZINC001223026624 880788956 /nfs/dbraw/zinc/78/89/56/880788956.db2.gz DCKAGKCMIMJFRW-GFCCVEGCSA-N -1 1 309.320 1.626 20 0 DDADMM CC(C)(C)OC(=O)N1CCC2(CC2)[C@H](OCc2nn[n-]n2)C1 ZINC001223027555 880791448 /nfs/dbraw/zinc/79/14/48/880791448.db2.gz QAVYPGTZGIQWLM-SNVBAGLBSA-N -1 1 309.370 1.506 20 0 DDADMM CCC[C@@H](NC(=O)C1CCN(CC(F)F)CC1)c1nn[n-]n1 ZINC001362203159 883288382 /nfs/dbraw/zinc/28/83/82/883288382.db2.gz GNXRCBNMQRNNAD-SNVBAGLBSA-N -1 1 316.356 1.134 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H](C)COc2ccccc2OC)n1 ZINC001228309814 883437866 /nfs/dbraw/zinc/43/78/66/883437866.db2.gz AKEQGSRRISPDEZ-VIFPVBQESA-N -1 1 307.306 1.446 20 0 DDADMM COC(=O)c1nc(O[C@@H](C)COc2ccccc2OC)n[n-]1 ZINC001228309814 883437876 /nfs/dbraw/zinc/43/78/76/883437876.db2.gz AKEQGSRRISPDEZ-VIFPVBQESA-N -1 1 307.306 1.446 20 0 DDADMM CCOC(=O)C[C@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362320175 883557689 /nfs/dbraw/zinc/55/76/89/883557689.db2.gz MZHGDEUBNUOAAY-VIFPVBQESA-N -1 1 307.350 1.379 20 0 DDADMM CNC(=O)N[C@@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC001362331008 883583453 /nfs/dbraw/zinc/58/34/53/883583453.db2.gz HBJYVHUZTDXBMB-LLVKDONJSA-N -1 1 314.345 1.084 20 0 DDADMM CC[C@@H](C)C[C@H](NC(=O)OC(C)(C)C)C(=O)Nc1nnn[n-]1 ZINC001362335852 883593284 /nfs/dbraw/zinc/59/32/84/883593284.db2.gz IFQYJEDJKARGJI-BDAKNGLRSA-N -1 1 312.374 1.468 20 0 DDADMM CC[C@@H](C)C[C@H](NC(=O)OC(C)(C)C)C(=O)Nc1nn[n-]n1 ZINC001362335852 883593295 /nfs/dbraw/zinc/59/32/95/883593295.db2.gz IFQYJEDJKARGJI-BDAKNGLRSA-N -1 1 312.374 1.468 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C2CC2)n(CC)n1)c1nn[n-]n1 ZINC001362394377 883710245 /nfs/dbraw/zinc/71/02/45/883710245.db2.gz QJDLMRUVRVABPZ-SNVBAGLBSA-N -1 1 303.370 1.565 20 0 DDADMM O=C(Nc1cccc2c1CN(C1CC1)C2)c1n[nH]c(=O)[n-]c1=O ZINC001362449857 883838179 /nfs/dbraw/zinc/83/81/79/883838179.db2.gz HUAKJOBUEWLRFH-UHFFFAOYSA-N -1 1 313.317 1.013 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](Oc2cc([O-])cc3oc(=O)ccc23)CN1C ZINC001229136756 883840050 /nfs/dbraw/zinc/84/00/50/883840050.db2.gz ZXGSJMADQDTRGM-PWSUYJOCSA-N -1 1 319.313 1.123 20 0 DDADMM CC(C)C(=O)N1CC(Oc2cc([O-])cc3oc(=O)ccc23)C1 ZINC001229136717 883840302 /nfs/dbraw/zinc/84/03/02/883840302.db2.gz YQYZNCVISCDZRW-UHFFFAOYSA-N -1 1 303.314 1.744 20 0 DDADMM C[C@H]1OCC[C@@]1(O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362578006 884133961 /nfs/dbraw/zinc/13/39/61/884133961.db2.gz BJKYVNXKSJXMDT-AMIZOPFISA-N -1 1 319.279 1.681 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(c2ccc(F)cc2)C1 ZINC001362633766 884279237 /nfs/dbraw/zinc/27/92/37/884279237.db2.gz OMKRFWHFXRKKQZ-UHFFFAOYSA-N -1 1 319.292 1.275 20 0 DDADMM Cn1cc([C@@H]2C[C@H]2C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cn1 ZINC001362653588 884328896 /nfs/dbraw/zinc/32/88/96/884328896.db2.gz FQOVQYBJXWGPOK-NWDGAFQWSA-N -1 1 317.349 1.018 20 0 DDADMM CC[C@H](CO)N(C)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC001362768170 884591265 /nfs/dbraw/zinc/59/12/65/884591265.db2.gz LABNZYWBGWABBU-SNVBAGLBSA-N -1 1 313.423 1.374 20 0 DDADMM CCC(CC)(NC(=O)C[C@H]1CCC2(CCC2)O1)c1nn[n-]n1 ZINC001362783513 884629343 /nfs/dbraw/zinc/62/93/43/884629343.db2.gz ICNYNMDQUMMAAZ-LLVKDONJSA-N -1 1 307.398 1.823 20 0 DDADMM Cc1cccc(OCC(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001362830119 884743801 /nfs/dbraw/zinc/74/38/01/884743801.db2.gz JZJJWRQSJDOULM-UHFFFAOYSA-N -1 1 303.366 1.572 20 0 DDADMM CCC[C@@H](O)[C@H](CO)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001362831577 884747471 /nfs/dbraw/zinc/74/74/71/884747471.db2.gz LRHUBJSLXFHLAG-LSDHHAIUSA-N -1 1 319.357 1.503 20 0 DDADMM CCc1noc(CCCC(=O)NC(CC)(CC)c2nn[n-]n2)n1 ZINC001362859525 884817074 /nfs/dbraw/zinc/81/70/74/884817074.db2.gz WOPCVSUCLNYWFD-UHFFFAOYSA-N -1 1 321.385 1.300 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)NC(=O)c1cccc([O-])c1F ZINC001362860488 884818167 /nfs/dbraw/zinc/81/81/67/884818167.db2.gz PMQLIWUDTWVKJO-ZETCQYMHSA-N -1 1 309.215 1.755 20 0 DDADMM CCC(=O)c1cc(C(=O)N[C@@H](COC)c2nn[n-]n2)ccc1F ZINC001362909923 884958182 /nfs/dbraw/zinc/95/81/82/884958182.db2.gz ONPUQHAYBXZRHG-NSHDSACASA-N -1 1 321.312 1.049 20 0 DDADMM CC(C)(C)[C@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)c1nc(=O)o[n-]1 ZINC001362937843 885033029 /nfs/dbraw/zinc/03/30/29/885033029.db2.gz MDTLLRYKHJBQCA-LDYMZIIASA-N -1 1 319.365 1.410 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1coc2c1C(=O)NCC(C)(C)C2 ZINC001362950550 885065452 /nfs/dbraw/zinc/06/54/52/885065452.db2.gz RHMVOZACCYPXKR-UHFFFAOYSA-N -1 1 318.333 1.581 20 0 DDADMM [O-]c1cccnc1-c1ncccc1O[C@H]1CO[C@H]2OCC[C@@H]12 ZINC001231201182 885371620 /nfs/dbraw/zinc/37/16/20/885371620.db2.gz ZBCHCIFBNJNHFI-YNZJMPHRSA-N -1 1 300.314 1.989 20 0 DDADMM COC(=O)COc1c(C)cc(C(=O)Nc2c[n-][nH]c2=O)cc1C ZINC001363128575 885536486 /nfs/dbraw/zinc/53/64/86/885536486.db2.gz PZBHEQWZMMGAIO-UHFFFAOYSA-N -1 1 319.317 1.536 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CCCF)C1 ZINC001383281876 885540023 /nfs/dbraw/zinc/54/00/23/885540023.db2.gz IIXQLPQJPHYFHK-JTQLQIEISA-N -1 1 309.341 1.114 20 0 DDADMM CSc1nc(CNC(=O)COCc2cc(C)on2)cc(=O)[n-]1 ZINC001363143344 885572342 /nfs/dbraw/zinc/57/23/42/885572342.db2.gz TWANKSJNFZSYSO-UHFFFAOYSA-N -1 1 324.362 1.034 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC[C@H]2COC(=O)N[C@@H]2C1 ZINC001363200410 885699895 /nfs/dbraw/zinc/69/98/95/885699895.db2.gz KUNMICGXORCMMH-GZMMTYOYSA-N -1 1 310.737 1.616 20 0 DDADMM O=C(c1ccc(F)cc1O)N1CC[C@@H]2[C@@H]1CCC[N@@H+]2CCO ZINC001363224676 885756363 /nfs/dbraw/zinc/75/63/63/885756363.db2.gz VYOLTRDOWOUPND-KGLIPLIRSA-N -1 1 308.353 1.203 20 0 DDADMM C[C@H]1c2nc(C(N)=O)cn2CCN1Cc1ccc([O-])c(F)c1F ZINC001277543266 885768733 /nfs/dbraw/zinc/76/87/33/885768733.db2.gz LSRLTBUZHRWUCJ-QMMMGPOBSA-N -1 1 322.315 1.543 20 0 DDADMM O=c1[nH]c(=O)c2c([nH]1)CCN(Cc1ccc(Cl)c([O-])c1)C2 ZINC001231733726 885841666 /nfs/dbraw/zinc/84/16/66/885841666.db2.gz FDHSILFCLAOARX-UHFFFAOYSA-N -1 1 307.737 1.805 20 0 DDADMM Cc1cnc(CN2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)nc1 ZINC001363263264 885854841 /nfs/dbraw/zinc/85/48/41/885854841.db2.gz LUYVWIHHGIFTAS-QWRGUYRKSA-N -1 1 316.327 1.816 20 0 DDADMM COc1ccc(CN2CC(N(C)[C@@H]3CCOC3)C2)cc1C(=O)[O-] ZINC001231754144 885857552 /nfs/dbraw/zinc/85/75/52/885857552.db2.gz UPLVLBUACJUQGB-CYBMUJFWSA-N -1 1 320.389 1.298 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)c1conc1C1CC1 ZINC001363295968 885941307 /nfs/dbraw/zinc/94/13/07/885941307.db2.gz ZCVAQFCFDDZAPO-UHFFFAOYSA-N -1 1 316.317 1.050 20 0 DDADMM CCC[C@@H](C(=O)NCc1ccc([O-])c(Cl)c1)S(N)(=O)=O ZINC001363313499 885992622 /nfs/dbraw/zinc/99/26/22/885992622.db2.gz RBUNYDNBFSEKIP-NSHDSACASA-N -1 1 320.798 1.119 20 0 DDADMM C[C@@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)C1=CCCC1 ZINC001383587329 886085416 /nfs/dbraw/zinc/08/54/16/886085416.db2.gz VTCXHHQHHBLOOE-RYUDHWBXSA-N -1 1 317.389 1.911 20 0 DDADMM COc1cccc2c1OCCC[C@@H]2NC(=O)CCc1nn[n-]n1 ZINC001363346004 886089056 /nfs/dbraw/zinc/08/90/56/886089056.db2.gz MEDDTNSFQZMFTH-NSHDSACASA-N -1 1 317.349 1.171 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CC1CSC1 ZINC001363348626 886095388 /nfs/dbraw/zinc/09/53/88/886095388.db2.gz FSHCXOXZKIXXTA-JTQLQIEISA-N -1 1 324.406 1.398 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CC1CSC1 ZINC001363348626 886095400 /nfs/dbraw/zinc/09/54/00/886095400.db2.gz FSHCXOXZKIXXTA-JTQLQIEISA-N -1 1 324.406 1.398 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CC1CSC1 ZINC001363348626 886095408 /nfs/dbraw/zinc/09/54/08/886095408.db2.gz FSHCXOXZKIXXTA-JTQLQIEISA-N -1 1 324.406 1.398 20 0 DDADMM O=C(NCc1nnc(C2CC2)s1)c1cnc(C2CC2)[n-]c1=O ZINC001363349563 886098646 /nfs/dbraw/zinc/09/86/46/886098646.db2.gz HPUDLTRRGBULGC-UHFFFAOYSA-N -1 1 317.374 1.719 20 0 DDADMM Cn1ccnc1[C@@H]1C[C@H](NC(=O)c2ccc([O-])cn2)CCO1 ZINC001363443902 886350875 /nfs/dbraw/zinc/35/08/75/886350875.db2.gz LAVLAFAULAMPFO-MFKMUULPSA-N -1 1 302.334 1.171 20 0 DDADMM O=C(C[C@H](O)c1ccc(Cl)cc1)NCc1nc([O-])cc(=O)[nH]1 ZINC001363487553 886466821 /nfs/dbraw/zinc/46/68/21/886466821.db2.gz SIDQOYZGHGKSKQ-JTQLQIEISA-N -1 1 323.736 1.281 20 0 DDADMM Cc1noc([C@@H]2OCC[C@@H]2NC(=O)c2cccc([O-])c2F)n1 ZINC001363498083 886491589 /nfs/dbraw/zinc/49/15/89/886491589.db2.gz AYEOUYMMNVQHIP-JOYOIKCWSA-N -1 1 307.281 1.483 20 0 DDADMM CCOC(=O)N1CCN(Cc2ccc(F)c([O-])c2F)CC1 ZINC001232935916 886681832 /nfs/dbraw/zinc/68/18/32/886681832.db2.gz ZRNHKUHLAPPNJL-UHFFFAOYSA-N -1 1 300.305 1.945 20 0 DDADMM COc1cc(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)n(C)n1 ZINC001363584408 886694151 /nfs/dbraw/zinc/69/41/51/886694151.db2.gz XWVUTZNHYSEUKH-UHFFFAOYSA-N -1 1 321.337 1.359 20 0 DDADMM COCC(=O)N[C@@H]1CCCN(Cc2cc([O-])c(F)c(F)c2)C1 ZINC001232973631 886702705 /nfs/dbraw/zinc/70/27/05/886702705.db2.gz HCOCSVGYZCVABA-LLVKDONJSA-N -1 1 314.332 1.397 20 0 DDADMM CCOC(=O)[C@@H]1COCCN1Cc1cc([O-])c(F)c(F)c1 ZINC001232973716 886703614 /nfs/dbraw/zinc/70/36/14/886703614.db2.gz JDNKDDBXSNKQRZ-NSHDSACASA-N -1 1 301.289 1.434 20 0 DDADMM C[C@@H]1CN(C2CN(Cc3cc([O-])c(F)c(F)c3)C2)C[C@H](C)O1 ZINC001232974740 886704939 /nfs/dbraw/zinc/70/49/39/886704939.db2.gz YKPGORQFHUQGDE-PHIMTYICSA-N -1 1 312.360 1.964 20 0 DDADMM CCc1ncc(CNC(=O)c2ccccc2[N-]S(C)(=O)=O)[nH]1 ZINC001363595883 886726134 /nfs/dbraw/zinc/72/61/34/886726134.db2.gz GZGWUXKHMHSBRG-UHFFFAOYSA-N -1 1 322.390 1.274 20 0 DDADMM CSc1ncc(C(=O)N2CC(C)(C)CC[C@@H]2CO)c(=O)[n-]1 ZINC001363597029 886729504 /nfs/dbraw/zinc/72/95/04/886729504.db2.gz CQRYFTGDZKLUSZ-SECBINFHSA-N -1 1 311.407 1.527 20 0 DDADMM CCc1nc(C)c(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)o1 ZINC001363630827 886817587 /nfs/dbraw/zinc/81/75/87/886817587.db2.gz MPDYPBPRXZDVBJ-UHFFFAOYSA-N -1 1 320.349 1.715 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2coc(C3CC3)n2)[n-]c1=O ZINC001363633039 886823646 /nfs/dbraw/zinc/82/36/46/886823646.db2.gz TYFSQHYTNLSIRL-UHFFFAOYSA-N -1 1 318.333 1.721 20 0 DDADMM CCc1nc[nH]c1C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001363636547 886836203 /nfs/dbraw/zinc/83/62/03/886836203.db2.gz WFSUZJVJUFZPIW-UHFFFAOYSA-N -1 1 305.338 1.141 20 0 DDADMM CC(C)n1cc(CNC(=O)c2cccc([O-])c2F)c(=O)[nH]c1=O ZINC001363715203 887052720 /nfs/dbraw/zinc/05/27/20/887052720.db2.gz DFQAPAJLQLFBLY-UHFFFAOYSA-N -1 1 321.308 1.305 20 0 DDADMM Cn1nccc1[C@@H]1COCCN1C(=O)c1ccc(F)c([O-])c1 ZINC001363717721 887056441 /nfs/dbraw/zinc/05/64/41/887056441.db2.gz OFQPLELPWINDPE-ZDUSSCGKSA-N -1 1 305.309 1.479 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@H]1COc2ccccc2O1 ZINC001233757198 887282093 /nfs/dbraw/zinc/28/20/93/887282093.db2.gz ZCVVDARYXYMIAP-SECBINFHSA-N -1 1 318.285 1.188 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H]1CCCC2(C1)OCCO2 ZINC001233760226 887290872 /nfs/dbraw/zinc/29/08/72/887290872.db2.gz VPSHHWVULPFUNG-VIFPVBQESA-N -1 1 310.306 1.033 20 0 DDADMM CC(C)(C)[C@@H](CNC(=O)C1CCC1)NC(=O)c1ncccc1[O-] ZINC001384355375 887325206 /nfs/dbraw/zinc/32/52/06/887325206.db2.gz HBCURHQJKQOINO-CYBMUJFWSA-N -1 1 319.405 1.848 20 0 DDADMM CN(CCCC(=O)OC(C)(C)C)C(=O)CCCc1nn[n-]n1 ZINC001363845568 887380181 /nfs/dbraw/zinc/38/01/81/887380181.db2.gz BZTLTKAVMNKCKC-UHFFFAOYSA-N -1 1 311.386 1.103 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(C)c(O)c2)n[n-]1 ZINC001363882317 887464038 /nfs/dbraw/zinc/46/40/38/887464038.db2.gz DEXLSTNCSFPVJE-VIFPVBQESA-N -1 1 318.333 1.486 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(C)c(O)c2)[n-]1 ZINC001363882317 887464043 /nfs/dbraw/zinc/46/40/43/887464043.db2.gz DEXLSTNCSFPVJE-VIFPVBQESA-N -1 1 318.333 1.486 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(C)c(O)c2)n1 ZINC001363882317 887464052 /nfs/dbraw/zinc/46/40/52/887464052.db2.gz DEXLSTNCSFPVJE-VIFPVBQESA-N -1 1 318.333 1.486 20 0 DDADMM CCOC(=O)c1n[n-]c(CNCc2cscc2Cl)n1 ZINC001363935426 887571299 /nfs/dbraw/zinc/57/12/99/887571299.db2.gz HVUZNUAIAZTNLE-UHFFFAOYSA-N -1 1 300.771 1.986 20 0 DDADMM CCC[C@H](C[N-]S(=O)(=O)c1csnc1OC)OCC ZINC001364004590 887706996 /nfs/dbraw/zinc/70/69/96/887706996.db2.gz RHFIFTAIXKVUAW-SECBINFHSA-N -1 1 308.425 1.635 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@H]1CCn2ccnc21 ZINC001234554660 888087957 /nfs/dbraw/zinc/08/79/57/888087957.db2.gz OVXWBWAFLKUPSB-VIFPVBQESA-N -1 1 318.333 1.813 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@@H]1CCOC[C@@H]1F ZINC001234557392 888091326 /nfs/dbraw/zinc/09/13/26/888091326.db2.gz JSTGIAKRIMEFRW-DTWKUNHWSA-N -1 1 314.313 1.598 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H]1CC(F)(F)C1(F)F ZINC001234562815 888099755 /nfs/dbraw/zinc/09/97/55/888099755.db2.gz RTVXVTGCNOKKOE-YFKPBYRVSA-N -1 1 310.203 1.699 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H]1CCC[C@@H](C(=O)OC)C1 ZINC001234564904 888105165 /nfs/dbraw/zinc/10/51/65/888105165.db2.gz QXQCAENNTPXRFP-ZJUUUORDSA-N -1 1 324.333 1.388 20 0 DDADMM COc1ccc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)cn1 ZINC001364233620 888188219 /nfs/dbraw/zinc/18/82/19/888188219.db2.gz KYOMXADQDSVJAM-UHFFFAOYSA-N -1 1 318.333 1.260 20 0 DDADMM CC(=O)C(C)(C)CCC(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001364249019 888218739 /nfs/dbraw/zinc/21/87/39/888218739.db2.gz YKPKFZAKJLDOBN-UHFFFAOYSA-N -1 1 323.393 1.593 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCc3ccns3)ccnc1-2 ZINC001364335859 888407928 /nfs/dbraw/zinc/40/79/28/888407928.db2.gz QXSLMBMARUWAGG-UHFFFAOYSA-N -1 1 302.363 1.163 20 0 DDADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)c1cc(C)c(C)o1 ZINC001364512086 888810900 /nfs/dbraw/zinc/81/09/00/888810900.db2.gz ZEJRZQOJHQQNOY-UHFFFAOYSA-N -1 1 323.305 1.030 20 0 DDADMM O=c1[n-]c(CN2C[C@@H](F)C[C@H]2C(F)(F)F)nc2c1COCC2 ZINC001364564829 888934226 /nfs/dbraw/zinc/93/42/26/888934226.db2.gz QDAJZKCFTQQROV-XVKPBYJWSA-N -1 1 321.274 1.730 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1COc2ccccc2O1 ZINC001364645473 889110544 /nfs/dbraw/zinc/11/05/44/889110544.db2.gz YDDFAWSUVGZSRM-ZDUSSCGKSA-N -1 1 317.349 1.024 20 0 DDADMM CC(=O)c1ccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c(F)c1 ZINC001364650341 889120721 /nfs/dbraw/zinc/12/07/21/889120721.db2.gz GMUTYNISGZQIAV-UHFFFAOYSA-N -1 1 319.340 1.840 20 0 DDADMM CC[C@@H](C)CC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001385493559 889211984 /nfs/dbraw/zinc/21/19/84/889211984.db2.gz UPLMBMHMNCVTAV-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1ccc(F)c(-c2cc(CN3CCNC(=O)C3)ccn2)c1[O-] ZINC001236391764 889223251 /nfs/dbraw/zinc/22/32/51/889223251.db2.gz PFDFZIDXOVMXSM-UHFFFAOYSA-N -1 1 315.348 1.834 20 0 DDADMM COC[C@H](NC(=O)c1ccc(C(C)(C)C)s1)c1nn[n-]n1 ZINC001364815909 889474583 /nfs/dbraw/zinc/47/45/83/889474583.db2.gz RMTHCONVMMQDRO-QMMMGPOBSA-N -1 1 309.395 1.676 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)c1ncc(C)s1 ZINC001364820614 889482710 /nfs/dbraw/zinc/48/27/10/889482710.db2.gz IJDBSDHCDVUMOO-ZETCQYMHSA-N -1 1 319.433 1.956 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cscc1Cl ZINC001364963404 889770570 /nfs/dbraw/zinc/77/05/70/889770570.db2.gz DOGPIAOMYMUCEW-UHFFFAOYSA-N -1 1 307.784 1.759 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccns1)C(=O)Nc1ccccc1 ZINC001365031262 889939431 /nfs/dbraw/zinc/93/94/31/889939431.db2.gz MJUNRJXTHLJAJE-SECBINFHSA-N -1 1 311.388 1.449 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC1Cc2ccccc2C1 ZINC001365036148 889947347 /nfs/dbraw/zinc/94/73/47/889947347.db2.gz YMNMXRMSHHXZPP-UHFFFAOYSA-N -1 1 324.427 1.845 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1CCO[C@@H]2C[C@@H](CO)C[C@@H]21 ZINC001238143707 890066820 /nfs/dbraw/zinc/06/68/20/890066820.db2.gz YIZOONIOLZIBQZ-VBNZEHGJSA-N -1 1 305.374 1.665 20 0 DDADMM CC(C)[C@@H](NC(=O)c1cccs1)C(=O)Nc1c[n-][nH]c1=O ZINC001365206471 890346533 /nfs/dbraw/zinc/34/65/33/890346533.db2.gz FWKYKMGGXPUWPR-SNVBAGLBSA-N -1 1 308.363 1.570 20 0 DDADMM Cc1ccc(C(=O)Nc2c[n-][nH]c2=O)cc1NS(C)(=O)=O ZINC001365212031 890363147 /nfs/dbraw/zinc/36/31/47/890363147.db2.gz SDWPHJOUAGUOPP-UHFFFAOYSA-N -1 1 310.335 1.048 20 0 DDADMM Cc1ncc(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])s1 ZINC001386199817 890536910 /nfs/dbraw/zinc/53/69/10/890536910.db2.gz JFXVNAWVSSBLRH-MRVPVSSYSA-N -1 1 320.374 1.100 20 0 DDADMM C[C@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)c1csnn1 ZINC001365508872 890946635 /nfs/dbraw/zinc/94/66/35/890946635.db2.gz AEORALMCRNGZCI-ZETCQYMHSA-N -1 1 303.351 1.076 20 0 DDADMM c1cc(-c2nnn[n-]2)ncc1-c1ccc(N2CCOCC2)nc1 ZINC001240996011 891113397 /nfs/dbraw/zinc/11/33/97/891113397.db2.gz UZNDJHSBFBMQAH-UHFFFAOYSA-N -1 1 309.333 1.160 20 0 DDADMM c1cc(-c2nn[n-]n2)ncc1-c1ccc(N2CCOCC2)nc1 ZINC001240996011 891113401 /nfs/dbraw/zinc/11/34/01/891113401.db2.gz UZNDJHSBFBMQAH-UHFFFAOYSA-N -1 1 309.333 1.160 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2cscn2)sn1 ZINC001365680947 891307585 /nfs/dbraw/zinc/30/75/85/891307585.db2.gz YTVCKOSENLMXTM-UHFFFAOYSA-N -1 1 305.406 1.129 20 0 DDADMM Cc1ccc2oc(C(=O)N3CCC(c4nn[n-]n4)CC3)cc2n1 ZINC000737373013 913549160 /nfs/dbraw/zinc/54/91/60/913549160.db2.gz AUKLMUNRMWYMJQ-UHFFFAOYSA-N -1 1 312.333 1.669 20 0 DDADMM CON(C)C(=O)c1cccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)c1 ZINC001243133622 891605472 /nfs/dbraw/zinc/60/54/72/891605472.db2.gz JDXDYQFIBVSNAI-UHFFFAOYSA-N -1 1 324.336 1.800 20 0 DDADMM NC(=O)c1c(F)cc(-c2ccc3c(c2)CC(=O)[N-]C3=O)cc1F ZINC001244679993 891891812 /nfs/dbraw/zinc/89/18/12/891891812.db2.gz HXZMNMWQEHLUJY-UHFFFAOYSA-N -1 1 316.263 1.543 20 0 DDADMM COc1ncc(-c2nnc(C)c(C)c2C)cc1[N-]S(C)(=O)=O ZINC001244790228 891923363 /nfs/dbraw/zinc/92/33/63/891923363.db2.gz FPDHWGYEAFQMDP-UHFFFAOYSA-N -1 1 322.390 1.844 20 0 DDADMM COc1ncc(-c2cnc3cc[nH]c3n2)cc1[N-]S(C)(=O)=O ZINC001244790569 891923526 /nfs/dbraw/zinc/92/35/26/891923526.db2.gz QATNHVDHOLBFJJ-UHFFFAOYSA-N -1 1 319.346 1.400 20 0 DDADMM CCOc1ccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nn1 ZINC001244790778 891923680 /nfs/dbraw/zinc/92/36/80/891923680.db2.gz TUVJABRFXAHPCS-UHFFFAOYSA-N -1 1 324.362 1.317 20 0 DDADMM COc1ncc(-c2ccc(N(C)C)nc2)cc1[N-]S(C)(=O)=O ZINC001244791210 891924498 /nfs/dbraw/zinc/92/44/98/891924498.db2.gz GIUKZVCKUHJBAA-UHFFFAOYSA-N -1 1 322.390 1.590 20 0 DDADMM c1cc(-c2nn[n-]n2)ncc1-c1cncc(CN2CCOCC2)c1 ZINC001245251938 892051165 /nfs/dbraw/zinc/05/11/65/892051165.db2.gz XURBZEPYRUGSRO-UHFFFAOYSA-N -1 1 323.360 1.156 20 0 DDADMM CN1Cc2ccc(-c3ccc4c(c3)CC(=O)[N-]C4=O)cc2C1=O ZINC001245651337 892225316 /nfs/dbraw/zinc/22/53/16/892225316.db2.gz MZCBCRGXJVEOMK-UHFFFAOYSA-N -1 1 306.321 1.752 20 0 DDADMM CNS(=O)(=O)Cc1ccc(-n2[n-]c(CC3CC3)cc2=O)cc1 ZINC001245953567 892285544 /nfs/dbraw/zinc/28/55/44/892285544.db2.gz QRSFMPJCUBHQDR-UHFFFAOYSA-N -1 1 321.402 1.580 20 0 DDADMM C[S@@](=O)CCCNC(=S)Nc1cc(F)cc(F)c1[O-] ZINC001246600360 892726177 /nfs/dbraw/zinc/72/61/77/892726177.db2.gz IWFIRYREQQGCFY-LJQANCHMSA-N -1 1 308.375 1.725 20 0 DDADMM C[S@@](=O)CCCCNC(=S)Nc1ccc(F)cc1[O-] ZINC001246897770 892976735 /nfs/dbraw/zinc/97/67/35/892976735.db2.gz CYDBQSFQELVUDW-LJQANCHMSA-N -1 1 304.412 1.976 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@H](NC(=O)c1cnn[nH]1)c1ccccc1 ZINC001387556613 893336865 /nfs/dbraw/zinc/33/68/65/893336865.db2.gz YPBZBUBBDRLQBF-DRZSPHRISA-N -1 1 313.361 1.048 20 0 DDADMM CC1(C(N)=O)CCN(Cc2ccc(F)c(C(=O)[O-])c2F)CC1 ZINC001249774083 894123733 /nfs/dbraw/zinc/12/37/33/894123733.db2.gz SBXUAHHPVWGVFM-UHFFFAOYSA-N -1 1 312.316 1.750 20 0 DDADMM CC(C)c1ccc(OC[C@H](O)CNCC(=O)CCC(=O)[O-])cc1 ZINC001250774122 894410718 /nfs/dbraw/zinc/41/07/18/894410718.db2.gz FOVGRXCIQCBFHS-OAHLLOKOSA-N -1 1 323.389 1.573 20 0 DDADMM CC(=O)Nc1nc(C)c(S(=O)(=O)[N-][C@H]2CC2(F)F)s1 ZINC001250820280 894439715 /nfs/dbraw/zinc/43/97/15/894439715.db2.gz CSSMBHGCNHAPKY-LURJTMIESA-N -1 1 311.335 1.096 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccn(C)c1 ZINC001388053555 894460249 /nfs/dbraw/zinc/46/02/49/894460249.db2.gz AXUCTNDXOWFVHW-LBPRGKRZSA-N -1 1 316.361 1.064 20 0 DDADMM Cn1ncc2cc([N-]S(=O)(=O)c3ccc(F)cc3)cnc21 ZINC001251611172 894749151 /nfs/dbraw/zinc/74/91/51/894749151.db2.gz GBXXZPJALRDNPC-UHFFFAOYSA-N -1 1 306.322 1.908 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cnc3c(c2)CC(=O)N3)cc1 ZINC001251889086 894870526 /nfs/dbraw/zinc/87/05/26/894870526.db2.gz FKDFDVSLSZCISR-UHFFFAOYSA-N -1 1 319.342 1.386 20 0 DDADMM CCS(=O)(=O)[N-]c1cc(Br)cc(C(=O)OC)n1 ZINC001253290033 895770545 /nfs/dbraw/zinc/77/05/45/895770545.db2.gz IDQSGHXGMJTDJT-UHFFFAOYSA-N -1 1 323.168 1.392 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1ccsc1)NCc1n[nH]c(=O)[n-]1 ZINC001367444310 896777813 /nfs/dbraw/zinc/77/78/13/896777813.db2.gz BHAKHVXLRGNRRY-SNVBAGLBSA-N -1 1 309.395 1.116 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@H]1CCCCC1=O ZINC001258466207 898201581 /nfs/dbraw/zinc/20/15/81/898201581.db2.gz UBFCLQBCVAVGBX-QMMMGPOBSA-N -1 1 305.787 1.172 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1ccncc1)c1cc(F)ccc1F ZINC001258950021 898376571 /nfs/dbraw/zinc/37/65/71/898376571.db2.gz HIDKLJOZZARTGU-GFCCVEGCSA-N -1 1 314.313 1.372 20 0 DDADMM CC(C)C[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(N)=O ZINC001259043412 898437400 /nfs/dbraw/zinc/43/74/00/898437400.db2.gz XTLOATZGWGUKPY-JTQLQIEISA-N -1 1 324.324 1.282 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@]12CCCOC2)c1cc(F)c(F)cc1F ZINC001259044855 898440350 /nfs/dbraw/zinc/44/03/50/898440350.db2.gz DVTOUSHDUWBVRE-QWHCGFSZSA-N -1 1 321.320 1.951 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)c2cccc(Cl)c2F)c(=O)[nH]1 ZINC001259473538 898686158 /nfs/dbraw/zinc/68/61/58/898686158.db2.gz RYTKXGSIKVOHEU-MRVPVSSYSA-N -1 1 317.729 1.155 20 0 DDADMM COc1ncnc(Cl)c1[N-]S(=O)(=O)c1cccnc1 ZINC001259602038 898729362 /nfs/dbraw/zinc/72/93/62/898729362.db2.gz CFAWRTMWNRLIIN-UHFFFAOYSA-N -1 1 300.727 1.334 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NS(=O)(=O)CC1CCCCC1 ZINC001259615244 898747612 /nfs/dbraw/zinc/74/76/12/898747612.db2.gz QZKBAXDGCJOTTF-UHFFFAOYSA-N -1 1 316.339 1.608 20 0 DDADMM CC[C@@H](CNC(=O)c1ccccn1)NC(=O)c1ncccc1[O-] ZINC001390164714 898832235 /nfs/dbraw/zinc/83/22/35/898832235.db2.gz LDDQKLKHQJDDNM-NSHDSACASA-N -1 1 314.345 1.121 20 0 DDADMM CN1Cc2c(cccc2[N-]S(=O)(=O)CCC(F)(F)F)C1=O ZINC001259873192 898882805 /nfs/dbraw/zinc/88/28/05/898882805.db2.gz HTKGNQCEQKWTBJ-UHFFFAOYSA-N -1 1 322.308 1.966 20 0 DDADMM O=S(=O)([N-]Cc1ccc(Br)cn1)C(F)F ZINC001259961156 898983398 /nfs/dbraw/zinc/98/33/98/898983398.db2.gz YCOAVFLWEJIMEM-UHFFFAOYSA-N -1 1 301.112 1.486 20 0 DDADMM CC(C)(CO)C[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260196083 899085365 /nfs/dbraw/zinc/08/53/65/899085365.db2.gz RJGJDCZWQBRQAH-UHFFFAOYSA-N -1 1 313.753 1.915 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cnc3ccnn3c2)cc1 ZINC001260222162 899095795 /nfs/dbraw/zinc/09/57/95/899095795.db2.gz OMRMNEGVHHDBLM-UHFFFAOYSA-N -1 1 318.314 1.228 20 0 DDADMM O=S(=O)([N-]CCn1cncn1)c1cc(F)c(F)cc1Cl ZINC001260288274 899112783 /nfs/dbraw/zinc/11/27/83/899112783.db2.gz KBAFGNBFDDAGIJ-UHFFFAOYSA-N -1 1 322.724 1.188 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](O)C1)c1cc(Cl)sc1Cl ZINC001260473495 899149802 /nfs/dbraw/zinc/14/98/02/899149802.db2.gz VHEPKMSQNGGANJ-URHBZAFASA-N -1 1 302.204 1.857 20 0 DDADMM CCO[C@H](CC)C(=O)N[C@H](CC)CNC(=O)c1ncccc1[O-] ZINC001390382011 899298921 /nfs/dbraw/zinc/29/89/21/899298921.db2.gz NBKGRIPTWMWWQU-DGCLKSJQSA-N -1 1 323.393 1.227 20 0 DDADMM CCCN(Cc1nnc[nH]1)Cc1nc(=O)c2sccc2[n-]1 ZINC001261638233 899678593 /nfs/dbraw/zinc/67/85/93/899678593.db2.gz UUVMPXKHKUCMFH-UHFFFAOYSA-N -1 1 304.379 1.927 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)NCCCNC(=O)c2ncccc2[O-])C1 ZINC001293263075 914378081 /nfs/dbraw/zinc/37/80/81/914378081.db2.gz UXELPOUMJNRYNJ-VXGBXAGGSA-N -1 1 305.378 1.460 20 0 DDADMM C[C@@H]1[C@@H](C)CCN1c1nnc(-c2nnn[n-]2)n1Cc1ccccc1 ZINC001263164380 900521482 /nfs/dbraw/zinc/52/14/82/900521482.db2.gz UFFUZOHGBXSSJC-NWDGAFQWSA-N -1 1 324.392 1.741 20 0 DDADMM C[C@@H]1[C@@H](C)CCN1c1nnc(-c2nn[n-]n2)n1Cc1ccccc1 ZINC001263164380 900521495 /nfs/dbraw/zinc/52/14/95/900521495.db2.gz UFFUZOHGBXSSJC-NWDGAFQWSA-N -1 1 324.392 1.741 20 0 DDADMM O=C(c1cn(-c2ccccc2)cn1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001263886513 900799092 /nfs/dbraw/zinc/79/90/92/900799092.db2.gz MKVUHBMYXFUZCY-NSHDSACASA-N -1 1 309.333 1.015 20 0 DDADMM Cc1oncc1C[N@@H+](C)CCCNC(=O)c1ncccc1O ZINC001264978723 901380046 /nfs/dbraw/zinc/38/00/46/901380046.db2.gz NSFLYVBKJFPTGF-UHFFFAOYSA-N -1 1 304.350 1.336 20 0 DDADMM CCN(CCCNC(=O)C1CCCCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001265104741 901565962 /nfs/dbraw/zinc/56/59/62/901565962.db2.gz ZCVAPSPPNYPGQF-UHFFFAOYSA-N -1 1 323.441 1.809 20 0 DDADMM CCN(CCCNC(=O)c1cscc1C)Cc1n[nH]c(=O)[n-]1 ZINC001265107949 901566954 /nfs/dbraw/zinc/56/69/54/901566954.db2.gz ULZJHKLZLDEEGF-UHFFFAOYSA-N -1 1 323.422 1.522 20 0 DDADMM CCN(CCCNC(=O)[C@H]1CCC(C)(C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001265132856 901605669 /nfs/dbraw/zinc/60/56/69/901605669.db2.gz UGFLRKOONNLHKL-LBPRGKRZSA-N -1 1 323.441 1.665 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C1CCCC1 ZINC001369840265 902072074 /nfs/dbraw/zinc/07/20/74/902072074.db2.gz XUOBKJPPBJTESL-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM CN(C(=O)c1cnn(-c2cccc(Cl)c2)c1)c1nn[n-]n1 ZINC001279180030 903191432 /nfs/dbraw/zinc/19/14/32/903191432.db2.gz NEEKGVZKFYDWIM-UHFFFAOYSA-N -1 1 303.713 1.315 20 0 DDADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CC1CCC1 ZINC001392150930 903398245 /nfs/dbraw/zinc/39/82/45/903398245.db2.gz UGZKBHUPBUQEIJ-GFCCVEGCSA-N -1 1 309.414 1.321 20 0 DDADMM Cc1nc(CNC[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])sc1C ZINC001392471748 904205676 /nfs/dbraw/zinc/20/56/76/904205676.db2.gz QNRMNKHXKJEIMH-SSDOTTSWSA-N -1 1 323.422 1.405 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)CC1CC1)NC(=O)c1ncccc1[O-] ZINC001371243211 904555733 /nfs/dbraw/zinc/55/57/33/904555733.db2.gz MSIIBLVVKDWNBT-WDEREUQCSA-N -1 1 305.378 1.600 20 0 DDADMM C[C@@H]1CCC[C@]1(C)C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001393554094 907317390 /nfs/dbraw/zinc/31/73/90/907317390.db2.gz HBUCIPWAUDWLJU-ABAIWWIYSA-N -1 1 321.425 1.465 20 0 DDADMM CSC[C@@H](C)C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001372837905 908396388 /nfs/dbraw/zinc/39/63/88/908396388.db2.gz VDBHHGLRALTKHJ-NXEZZACHSA-N -1 1 311.407 1.021 20 0 DDADMM CC(C)c1[nH]ccc1C(=O)N[C@H](C)[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001393965835 908403720 /nfs/dbraw/zinc/40/37/20/908403720.db2.gz HCOZUFAKBXZZNR-NXEZZACHSA-N -1 1 320.397 1.258 20 0 DDADMM CCC[C@H](C)C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001373153436 909096465 /nfs/dbraw/zinc/09/64/65/909096465.db2.gz VTGIMAKETGQFFW-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@@]1(CNC(=O)C(F)F)CCN(C(=O)c2ncccc2[O-])C1 ZINC001394392663 909521032 /nfs/dbraw/zinc/52/10/32/909521032.db2.gz GTRBFOPYRSWSCA-AWEZNQCLSA-N -1 1 313.304 1.021 20 0 DDADMM CC[C@@H](CNC(=O)C(C)=C1CCC1)NC(=O)c1ncccc1[O-] ZINC001285051711 910003746 /nfs/dbraw/zinc/00/37/46/910003746.db2.gz RCHLAGCNBNZOSI-ZDUSSCGKSA-N -1 1 317.389 1.912 20 0 DDADMM C[C@H](C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C)C1CC1 ZINC001394810725 910626192 /nfs/dbraw/zinc/62/61/92/910626192.db2.gz KVIZFFPGPKPWGL-ONGXEEELSA-N -1 1 309.414 1.177 20 0 DDADMM CC(C)[C@H](C)C(=O)N[C@@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001395313654 911778875 /nfs/dbraw/zinc/77/88/75/911778875.db2.gz UHFVTNZLCXVSBO-RYUDHWBXSA-N -1 1 319.405 1.656 20 0 DDADMM CC[C@@H](CNC(=O)Cc1ccco1)NC(=O)c1ncccc1[O-] ZINC001375195475 915216877 /nfs/dbraw/zinc/21/68/77/915216877.db2.gz QGZHUVADWSNTIH-NSHDSACASA-N -1 1 317.345 1.248 20 0 DDADMM CC/C=C(\C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001295006352 915502029 /nfs/dbraw/zinc/50/20/29/915502029.db2.gz OVRNCJVBMNYHIE-JVOXIWMLSA-N -1 1 303.362 1.474 20 0 DDADMM C[C@@H]1CCC[C@@H]1CC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001376739192 919224893 /nfs/dbraw/zinc/22/48/93/919224893.db2.gz SLHMMFUHUIRMAH-VXGBXAGGSA-N -1 1 321.425 1.465 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3CC4(CCC4)C3)nc2n1 ZINC000622997542 365590487 /nfs/dbraw/zinc/59/04/87/365590487.db2.gz BKEBWVZRFJHPLZ-UHFFFAOYSA-N -1 1 315.377 1.889 20 0 DDADMM CCC(CC)(CNC(=O)N[C@H](C)Cc1cc(C)[nH]n1)C(=O)[O-] ZINC000424413944 282106819 /nfs/dbraw/zinc/10/68/19/282106819.db2.gz RPIYNBYAAWMSJM-SNVBAGLBSA-N -1 1 310.398 1.839 20 0 DDADMM O=C(c1ncnc2[nH]ccc21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614389747 361831948 /nfs/dbraw/zinc/83/19/48/361831948.db2.gz AHWDSWPMYWQUKL-QMMMGPOBSA-N -1 1 314.305 1.018 20 0 DDADMM Cc1cc(CO)oc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614473075 361874417 /nfs/dbraw/zinc/87/44/17/361874417.db2.gz GZMHEZYKMYOVMG-UHFFFAOYSA-N -1 1 307.306 1.189 20 0 DDADMM O=C(CCCc1cccnc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614475840 361876016 /nfs/dbraw/zinc/87/60/16/361876016.db2.gz ULVJSFDAUBBIIO-UHFFFAOYSA-N -1 1 316.361 1.899 20 0 DDADMM Cc1noc(C(C)(C)NC(=O)c2ccc3[nH][n-]c(=O)c3c2)n1 ZINC000614593241 361934568 /nfs/dbraw/zinc/93/45/68/361934568.db2.gz YKRDRRFVYMUFRJ-UHFFFAOYSA-N -1 1 301.306 1.625 20 0 DDADMM CO[C@H]1COCC[C@H]1C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000451782876 231191373 /nfs/dbraw/zinc/19/13/73/231191373.db2.gz ZIYYNQNKDAJURE-CABZTGNLSA-N -1 1 321.345 1.295 20 0 DDADMM CC[S@](=O)CC(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000431859479 529452058 /nfs/dbraw/zinc/45/20/58/529452058.db2.gz RHKQOXGMPMVAFU-NRFANRHFSA-N -1 1 313.329 1.484 20 0 DDADMM C[C@H](Sc1nnnn1C1CC1)C(=O)Nc1c([O-])cccc1F ZINC000092551423 539176573 /nfs/dbraw/zinc/17/65/73/539176573.db2.gz LAZURLOKFCHQKW-ZETCQYMHSA-N -1 1 323.353 1.972 20 0 DDADMM NC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C1 ZINC000452055312 231284746 /nfs/dbraw/zinc/28/47/46/231284746.db2.gz HKCKFMRAHZYKOZ-SFYZADRCSA-N -1 1 320.773 1.411 20 0 DDADMM O=S(=O)([N-]C1(CO)CC1)c1sccc1Br ZINC000162423192 539223146 /nfs/dbraw/zinc/22/31/46/539223146.db2.gz DXXMRECZIWFZMJ-UHFFFAOYSA-N -1 1 312.210 1.314 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c(F)cccc1Br ZINC000226643070 539266246 /nfs/dbraw/zinc/26/62/46/539266246.db2.gz NRFPRVJWYYKUTG-UHFFFAOYSA-N -1 1 300.091 1.031 20 0 DDADMM CCc1ccnc(CNC(=O)c2ccc(S(=O)(=O)[N-]C)o2)c1 ZINC000437713149 529634190 /nfs/dbraw/zinc/63/41/90/529634190.db2.gz DDPZNRMYSFLWOZ-UHFFFAOYSA-N -1 1 323.374 1.075 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)C(=O)[C@@H](N)Cc1c[nH]c2ccccc12 ZINC000314799840 539294920 /nfs/dbraw/zinc/29/49/20/539294920.db2.gz LLETYKPKFCIFMR-JSGCOSHPSA-N -1 1 303.362 1.359 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccnc(N2CC[C@@H](C)C2)c1 ZINC000615223126 362202009 /nfs/dbraw/zinc/20/20/09/362202009.db2.gz ZHEBZGZFBNBKMP-SECBINFHSA-N -1 1 301.350 1.917 20 0 DDADMM O=C(CN(Cc1ccccc1)c1ccccc1)Nc1nnn[n-]1 ZINC000095441256 185366645 /nfs/dbraw/zinc/36/66/45/185366645.db2.gz NYELLYBRQMBLHL-UHFFFAOYSA-N -1 1 308.345 1.845 20 0 DDADMM O=C(CN(Cc1ccccc1)c1ccccc1)Nc1nn[n-]n1 ZINC000095441256 185366646 /nfs/dbraw/zinc/36/66/46/185366646.db2.gz NYELLYBRQMBLHL-UHFFFAOYSA-N -1 1 308.345 1.845 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ccc([O-])c(F)c1)c1ccncc1 ZINC000615836171 362459922 /nfs/dbraw/zinc/45/99/22/362459922.db2.gz LGOOEHXAKCUGFO-ZDUSSCGKSA-N -1 1 318.304 1.961 20 0 DDADMM CNS(=O)(=O)CCCSc1nc(C2CC2)cc(=O)[n-]1 ZINC000331451305 530015315 /nfs/dbraw/zinc/01/53/15/530015315.db2.gz JJPWKULGCRVAMO-UHFFFAOYSA-N -1 1 303.409 1.091 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C2CC2)C2CCC2)co1 ZINC000457232397 530025649 /nfs/dbraw/zinc/02/56/49/530025649.db2.gz FLTANWXXZNSVPJ-CYBMUJFWSA-N -1 1 312.391 1.496 20 0 DDADMM O=S(=O)([N-][C@@H]1C=C[C@H](CO)C1)c1ccc(Br)o1 ZINC000330822003 232093778 /nfs/dbraw/zinc/09/37/78/232093778.db2.gz PDBSLIPTLLWSAP-JGVFFNPUSA-N -1 1 322.180 1.257 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)C2CCC(=O)CC2)c1Br ZINC000616005790 362518191 /nfs/dbraw/zinc/51/81/91/362518191.db2.gz ANQJDODCPOVARK-UHFFFAOYSA-N -1 1 300.156 1.600 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC(C)(C)c1cccc(C)c1 ZINC000330892877 232141926 /nfs/dbraw/zinc/14/19/26/232141926.db2.gz QCQCFYAECVBJQU-UHFFFAOYSA-N -1 1 309.391 1.918 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C(C)(C)c1cccc(C)c1 ZINC000330892877 232141927 /nfs/dbraw/zinc/14/19/27/232141927.db2.gz QCQCFYAECVBJQU-UHFFFAOYSA-N -1 1 309.391 1.918 20 0 DDADMM COc1nc(C)cc(C)c1CS(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000286995350 219260887 /nfs/dbraw/zinc/26/08/87/219260887.db2.gz UJBFSPNBBNKMKI-UHFFFAOYSA-N -1 1 322.390 1.676 20 0 DDADMM Cc1ccc(C(=O)C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000623738758 366031727 /nfs/dbraw/zinc/03/17/27/366031727.db2.gz DZUSLKLVWXRRPD-CQSZACIVSA-N -1 1 321.340 1.597 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(c2ccco2)CC1 ZINC000617384797 363082722 /nfs/dbraw/zinc/08/27/22/363082722.db2.gz QOCXZXDEYYNLRZ-UHFFFAOYSA-N -1 1 319.317 1.509 20 0 DDADMM CC(=O)NC[C@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CCO1 ZINC000172233562 198062358 /nfs/dbraw/zinc/06/23/58/198062358.db2.gz NDCUEAUQESDZBW-NSHDSACASA-N -1 1 312.753 1.023 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1cnn(Cc2ccccc2)c1 ZINC000172366835 198086317 /nfs/dbraw/zinc/08/63/17/198086317.db2.gz KLQJDXAARBSCOO-HNNXBMFYSA-N -1 1 321.402 1.852 20 0 DDADMM CN1CC[C@@H](NC(=O)c2cc(Br)ccc2[O-])C1=O ZINC000172547422 198113343 /nfs/dbraw/zinc/11/33/43/198113343.db2.gz IDFTWJALXSOJLB-SECBINFHSA-N -1 1 313.151 1.115 20 0 DDADMM CCCCC[C@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000033015126 352287823 /nfs/dbraw/zinc/28/78/23/352287823.db2.gz OCOUMBHUCXSCOU-JTQLQIEISA-N -1 1 302.396 1.886 20 0 DDADMM COC[C@@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)CCO1 ZINC000172878890 198161855 /nfs/dbraw/zinc/16/18/55/198161855.db2.gz LSCZIAYLVNRQMW-ZDUSSCGKSA-N -1 1 305.330 1.346 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2ccncc2)ccc1C ZINC000072913331 191250982 /nfs/dbraw/zinc/25/09/82/191250982.db2.gz KOJPULISIUBQQG-UHFFFAOYSA-N -1 1 306.343 1.977 20 0 DDADMM C[C@H]1C[C@@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CC(=O)N1 ZINC000331888492 234239392 /nfs/dbraw/zinc/23/93/92/234239392.db2.gz DXSBNUUURQUHHU-DTWKUNHWSA-N -1 1 304.375 1.413 20 0 DDADMM CN(CC[N-]S(=O)(=O)c1c(F)cc(F)cc1F)C1CC1 ZINC000066870874 353020595 /nfs/dbraw/zinc/02/05/95/353020595.db2.gz GTLGKOOKAHFBPS-UHFFFAOYSA-N -1 1 308.325 1.476 20 0 DDADMM CCc1n[nH]c(=O)c(CNC(=O)c2ncc(C)cc2[O-])c1CC ZINC000358551659 299195263 /nfs/dbraw/zinc/19/52/63/299195263.db2.gz ZEEIYVMUQAWFKD-UHFFFAOYSA-N -1 1 316.361 1.646 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@H](C)c2ccccn2)o1 ZINC000068268975 353100833 /nfs/dbraw/zinc/10/08/33/353100833.db2.gz ABPRUBDOUOKJBL-SNVBAGLBSA-N -1 1 323.374 1.416 20 0 DDADMM COc1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)cc1Cl ZINC000492066360 234374538 /nfs/dbraw/zinc/37/45/38/234374538.db2.gz GLPHRTARSHSDQO-FNORWQNLSA-N -1 1 321.768 1.926 20 0 DDADMM O=C(N[C@@H]1CCN(CC(F)(F)F)C1)c1cc(F)ccc1[O-] ZINC000080022407 353587732 /nfs/dbraw/zinc/58/77/32/353587732.db2.gz SASOGBHEPJSETM-SECBINFHSA-N -1 1 306.259 1.898 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@@H](NC(=O)c2cc(F)ccc2[O-])C1 ZINC000080030045 353588062 /nfs/dbraw/zinc/58/80/62/353588062.db2.gz CFVAFFLWHBRXAB-GHMZBOCLSA-N -1 1 315.366 1.617 20 0 DDADMM CCC[C@H]1C[C@@H]1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000080285567 353600323 /nfs/dbraw/zinc/60/03/23/353600323.db2.gz XPDMZWVYWSGZAV-RYUDHWBXSA-N -1 1 302.378 1.692 20 0 DDADMM Cc1nc(-c2ccc(NC[C@@H](O)C3CC3)nc2)[n-]c(=O)c1C ZINC000080678263 353622446 /nfs/dbraw/zinc/62/24/46/353622446.db2.gz ZFNIROAOOPHVJJ-CYBMUJFWSA-N -1 1 300.362 1.632 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@@H]1O)c1ccc(Br)o1 ZINC000358628870 299208949 /nfs/dbraw/zinc/20/89/49/299208949.db2.gz BUFUTALFMGEKQY-SFYZADRCSA-N -1 1 324.196 1.624 20 0 DDADMM Cc1n[nH]c2cc(NS(=O)(=O)c3c[nH]c(C(=O)[O-])c3)ccc12 ZINC000093909168 353907634 /nfs/dbraw/zinc/90/76/34/353907634.db2.gz XRSPNUBQWBJYLI-UHFFFAOYSA-N -1 1 320.330 1.698 20 0 DDADMM Cc1[nH]nc2cc(NS(=O)(=O)c3c[nH]c(C(=O)[O-])c3)ccc12 ZINC000093909168 353907637 /nfs/dbraw/zinc/90/76/37/353907637.db2.gz XRSPNUBQWBJYLI-UHFFFAOYSA-N -1 1 320.330 1.698 20 0 DDADMM CC(C)[C@@H]1C[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000357229012 291022103 /nfs/dbraw/zinc/02/21/03/291022103.db2.gz UVHNUEUQKHKIDK-RISCZKNCSA-N -1 1 315.373 1.857 20 0 DDADMM Cc1nc(C)c(CCC(=O)N2CC[N@H+](C)C[C@H]2C(C)C)c(=O)[nH]1 ZINC000153990993 354202693 /nfs/dbraw/zinc/20/26/93/354202693.db2.gz RYMCQQMMWNLMNK-HNNXBMFYSA-N -1 1 320.437 1.118 20 0 DDADMM CC(C)n1ncnc1C[N-]S(=O)(=O)c1c(F)cccc1F ZINC000357240318 291028378 /nfs/dbraw/zinc/02/83/78/291028378.db2.gz RBKYYGAZEYKNCU-UHFFFAOYSA-N -1 1 316.333 1.616 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2cccc(C)n2)c1 ZINC000280502524 354422879 /nfs/dbraw/zinc/42/28/79/354422879.db2.gz PKIRRWMQRFOVHC-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@@H]2CN1Cc1nnnn1-c1ccccc1 ZINC000322554588 354536981 /nfs/dbraw/zinc/53/69/81/354536981.db2.gz WBZJJCDCEFFEEF-ZLDLUXBVSA-N -1 1 313.361 1.347 20 0 DDADMM COC[C@@](C)(NC(=O)Cc1ccc([O-])c(Cl)c1)C(=O)OC ZINC000601306764 358488576 /nfs/dbraw/zinc/48/85/76/358488576.db2.gz QPZLKFJKNIJSLZ-CQSZACIVSA-N -1 1 315.753 1.282 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2ncn(C(C)(C)C)n2)s1 ZINC000589714186 355015854 /nfs/dbraw/zinc/01/58/54/355015854.db2.gz KETSGUAESJIPGC-UHFFFAOYSA-N -1 1 324.366 1.314 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCSC2)o1 ZINC000358853755 291056343 /nfs/dbraw/zinc/05/63/43/291056343.db2.gz FNESDOFQJSICDG-QMMMGPOBSA-N -1 1 305.377 1.240 20 0 DDADMM C[C@](NC(=O)c1cncc([O-])c1)(C(N)=O)c1cccc(Cl)c1 ZINC000346391374 283081577 /nfs/dbraw/zinc/08/15/77/283081577.db2.gz TUUZRPLJYXELIO-OAHLLOKOSA-N -1 1 319.748 1.571 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCCc2ccccc21 ZINC000171272672 306683692 /nfs/dbraw/zinc/68/36/92/306683692.db2.gz DEFNHFPKJBFLHS-UHFFFAOYSA-N -1 1 311.341 1.496 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1cc(C(=O)OC)co1)C1CC1 ZINC000594860295 356342121 /nfs/dbraw/zinc/34/21/21/356342121.db2.gz AQMVRIMROBLPBF-ZDUSSCGKSA-N -1 1 301.364 1.923 20 0 DDADMM COC(=O)[C@@](C)(CCF)[N-]S(=O)(=O)Cc1csc(C)n1 ZINC000594866981 356344424 /nfs/dbraw/zinc/34/44/24/356344424.db2.gz RSBFDRJBMVDYOG-LLVKDONJSA-N -1 1 324.399 1.162 20 0 DDADMM CS[C@@H](CO)[C@H](C)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601333779 358502825 /nfs/dbraw/zinc/50/28/25/358502825.db2.gz UYCRMPLLXRXDQO-UFBFGSQYSA-N -1 1 303.811 1.817 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCOC(C)C)cc1C ZINC000595329575 356451399 /nfs/dbraw/zinc/45/13/99/356451399.db2.gz VBZILULMACVACX-UHFFFAOYSA-N -1 1 305.352 1.078 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ccc(C)cn2)cc1C ZINC000595349086 356458998 /nfs/dbraw/zinc/45/89/98/356458998.db2.gz XUKLQWDQHZEMTD-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](C)[C@@H]2CCOC2)cc1C ZINC000595313689 356445279 /nfs/dbraw/zinc/44/52/79/356445279.db2.gz BNLQETASWMHVMT-VHSXEESVSA-N -1 1 317.363 1.078 20 0 DDADMM COC(=O)[C@H](CC(C)C)N=c1nc(C2CCOCC2)[n-]s1 ZINC000596113650 356777106 /nfs/dbraw/zinc/77/71/06/356777106.db2.gz VHXLJKKEPUIGJA-NSHDSACASA-N -1 1 313.423 1.854 20 0 DDADMM CCOC(=O)C1(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CC1 ZINC000597781885 357327524 /nfs/dbraw/zinc/32/75/24/357327524.db2.gz SLOVBYZJOBQSJM-UHFFFAOYSA-N -1 1 305.359 1.842 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]2CCCC[C@H]12 ZINC000598194446 357492648 /nfs/dbraw/zinc/49/26/48/357492648.db2.gz ZLFUMKWDRUFNQO-JHJVBQTASA-N -1 1 318.373 1.981 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-][C@@H](CC1CC1)C(=O)OC)C1CC1 ZINC000599325182 357893920 /nfs/dbraw/zinc/89/39/20/357893920.db2.gz AVNGTDNKSRZWEW-STQMWFEESA-N -1 1 319.423 1.063 20 0 DDADMM O=C(Cc1coc2ccc3ccccc3c12)NCc1nn[n-]n1 ZINC000599334003 357898013 /nfs/dbraw/zinc/89/80/13/357898013.db2.gz DEGGEYDZEBODRK-UHFFFAOYSA-N -1 1 307.313 1.958 20 0 DDADMM O=C(CCc1ccccc1Br)NCc1nn[n-]n1 ZINC000599333952 357898103 /nfs/dbraw/zinc/89/81/03/357898103.db2.gz ATSDKSSEBQFQQI-UHFFFAOYSA-N -1 1 310.155 1.211 20 0 DDADMM CCCOc1ccc(C(=O)NCc2nn[n-]n2)cc1OCCC ZINC000599334658 357899256 /nfs/dbraw/zinc/89/92/56/357899256.db2.gz RJEKZCJCXBIBEB-UHFFFAOYSA-N -1 1 319.365 1.707 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ccns2)cc1C ZINC000599373529 357914028 /nfs/dbraw/zinc/91/40/28/357914028.db2.gz YAFILBMWGWUTNG-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM O=C(NCc1nn[n-]n1)c1csc(-c2cccc(Cl)c2)n1 ZINC000599377692 357915536 /nfs/dbraw/zinc/91/55/36/357915536.db2.gz YJDXWMQFSFJVPO-UHFFFAOYSA-N -1 1 320.765 1.907 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)Cc1ccon1 ZINC000358897536 299273547 /nfs/dbraw/zinc/27/35/47/299273547.db2.gz QPADOEMOLRRGOK-UHFFFAOYSA-N -1 1 313.310 1.366 20 0 DDADMM COC(=O)COCCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000600400698 358209678 /nfs/dbraw/zinc/20/96/78/358209678.db2.gz XDSAGYIORUIOQZ-UHFFFAOYSA-N -1 1 321.251 1.330 20 0 DDADMM COc1ccc(CCCCCC(=O)NCc2nn[n-]n2)cc1 ZINC000600496785 358240949 /nfs/dbraw/zinc/24/09/49/358240949.db2.gz GFLZFEYPMQDZRK-UHFFFAOYSA-N -1 1 303.366 1.628 20 0 DDADMM CC[C@@](COC)([N-]S(=O)(=O)C1CCC(C)CC1)C(=O)OC ZINC000601433940 358551610 /nfs/dbraw/zinc/55/16/10/358551610.db2.gz BYIYABQWTRLGLO-YIZWMMSDSA-N -1 1 321.439 1.453 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601454722 358560691 /nfs/dbraw/zinc/56/06/91/358560691.db2.gz NIKKMGXZDFKPAC-LURJTMIESA-N -1 1 315.269 1.397 20 0 DDADMM CCOC(=O)Cn1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000601952817 358751023 /nfs/dbraw/zinc/75/10/23/358751023.db2.gz ILUWXSSBTQEVDB-CQSZACIVSA-N -1 1 315.373 1.270 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCCCC2(F)F)n[n-]1 ZINC000603020635 359362355 /nfs/dbraw/zinc/36/23/55/359362355.db2.gz HWOVMYKLSSJUQK-MRVPVSSYSA-N -1 1 316.308 1.423 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCCCC2(F)F)n1 ZINC000603020635 359362363 /nfs/dbraw/zinc/36/23/63/359362363.db2.gz HWOVMYKLSSJUQK-MRVPVSSYSA-N -1 1 316.308 1.423 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2C[C@H]2C2CCCC2)n[n-]1 ZINC000603021223 359363291 /nfs/dbraw/zinc/36/32/91/359363291.db2.gz JCKSXTVODPFADW-WDEREUQCSA-N -1 1 306.366 1.424 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2C[C@H]2C2CCCC2)n1 ZINC000603021223 359363300 /nfs/dbraw/zinc/36/33/00/359363300.db2.gz JCKSXTVODPFADW-WDEREUQCSA-N -1 1 306.366 1.424 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(C(F)F)CCC2)n[n-]1 ZINC000603158052 359444688 /nfs/dbraw/zinc/44/46/88/359444688.db2.gz ZCTRNHGHOWZWID-ZETCQYMHSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(C(F)F)CCC2)[n-]1 ZINC000603158052 359444689 /nfs/dbraw/zinc/44/46/89/359444689.db2.gz ZCTRNHGHOWZWID-ZETCQYMHSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(C(F)F)CCC2)n1 ZINC000603158052 359444692 /nfs/dbraw/zinc/44/46/92/359444692.db2.gz ZCTRNHGHOWZWID-ZETCQYMHSA-N -1 1 316.308 1.594 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1C(C)(C)C1(F)F)C(C)C ZINC000603267944 359527800 /nfs/dbraw/zinc/52/78/00/359527800.db2.gz OHCRFMLXKASEQU-IUCAKERBSA-N -1 1 313.366 1.395 20 0 DDADMM CCCc1nc(C)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)s1 ZINC000187311583 200092518 /nfs/dbraw/zinc/09/25/18/200092518.db2.gz JPYJYGYJYGSZSD-MRVPVSSYSA-N -1 1 308.411 1.793 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@@H](O)C1)c1ccc(F)c(F)c1F ZINC000188331940 200229142 /nfs/dbraw/zinc/22/91/42/200229142.db2.gz GVIWZSPHITZTJQ-JGVFFNPUSA-N -1 1 309.309 1.543 20 0 DDADMM C[C@H]1CCCC[C@@H]1OCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605530654 359867242 /nfs/dbraw/zinc/86/72/42/359867242.db2.gz OEZXUEIEVFYEJC-RYUDHWBXSA-N -1 1 307.398 1.681 20 0 DDADMM CC[C@H](COC)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000606465539 359925818 /nfs/dbraw/zinc/92/58/18/359925818.db2.gz XLXGKSRRCXZCOF-MRVPVSSYSA-N -1 1 309.334 1.677 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc(Br)cc2[O-])[C@H]1CO ZINC000189395899 200393767 /nfs/dbraw/zinc/39/37/67/200393767.db2.gz DGLPLKTWNSJLJA-KWQFWETISA-N -1 1 314.179 1.998 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@](C)(O)C3CC3)cnc2n1 ZINC000608473291 360187730 /nfs/dbraw/zinc/18/77/30/360187730.db2.gz FOYYUZJKKRBFKC-INIZCTEOSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@](C)(O)C3CC3)c[n-]c2n1 ZINC000608473291 360187735 /nfs/dbraw/zinc/18/77/35/360187735.db2.gz FOYYUZJKKRBFKC-INIZCTEOSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)CCc1ccccc1 ZINC000281177801 216133650 /nfs/dbraw/zinc/13/36/50/216133650.db2.gz NBRCGSMSGQARCO-SNVBAGLBSA-N -1 1 309.391 1.865 20 0 DDADMM CNC(=O)C1CCC(NC(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000281235898 216172646 /nfs/dbraw/zinc/17/26/46/216172646.db2.gz NGDMGHKYBFDOQE-UHFFFAOYSA-N -1 1 312.316 1.705 20 0 DDADMM CCC(F)(F)C(C)(C)CS(=O)(=O)[N-][C@@H]1COC[C@@H]1OC ZINC000625247542 366804181 /nfs/dbraw/zinc/80/41/81/366804181.db2.gz UAFSCGRLWHPQMC-ZJUUUORDSA-N -1 1 315.382 1.391 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCS(=O)CC1 ZINC000619582337 364071741 /nfs/dbraw/zinc/07/17/41/364071741.db2.gz XXMCEMSZQOVUBP-UHFFFAOYSA-N -1 1 307.293 1.616 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)C[C@@H](O)CC1(O)CCC1 ZINC000625326923 366852095 /nfs/dbraw/zinc/85/20/95/366852095.db2.gz DFMLABBHRYEXOR-JTQLQIEISA-N -1 1 323.418 1.495 20 0 DDADMM C[C@@H](CNC(=O)OC(C)(C)C)N(C)C(=O)c1ncccc1[O-] ZINC000275392453 212304863 /nfs/dbraw/zinc/30/48/63/212304863.db2.gz XQAAJNOHQREJNZ-JTQLQIEISA-N -1 1 309.366 1.772 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1ccc(C(=O)[O-])c(C)c1 ZINC000349081349 283834681 /nfs/dbraw/zinc/83/46/81/283834681.db2.gz IYYASPUMYZGJEE-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)N1CC(C(=O)[O-])C1)c1ccco1 ZINC000621829790 365047098 /nfs/dbraw/zinc/04/70/98/365047098.db2.gz KKLARSYHEIIGPG-LBPRGKRZSA-N -1 1 309.366 1.389 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1C[C@H](O)C[C@H]1[C@@H]1CCCOC1 ZINC000622079437 365234831 /nfs/dbraw/zinc/23/48/31/365234831.db2.gz XKAUBYGFPLSVIV-BZPMIXESSA-N -1 1 309.337 1.533 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3COC(C)(C)C3)cnc2n1 ZINC000622134782 365283101 /nfs/dbraw/zinc/28/31/01/365283101.db2.gz NXEMLNYAGUPLHX-SNVBAGLBSA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1COC(C)(C)C1)c2=O ZINC000622134782 365283104 /nfs/dbraw/zinc/28/31/04/365283104.db2.gz NXEMLNYAGUPLHX-SNVBAGLBSA-N -1 1 301.346 1.941 20 0 DDADMM O=C(CCc1ccncc1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622609794 365450004 /nfs/dbraw/zinc/45/00/04/365450004.db2.gz IKDXGYBWZYLJOS-HNNXBMFYSA-N -1 1 308.345 1.433 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cccc2c1OCO2 ZINC000622610302 365450944 /nfs/dbraw/zinc/45/09/44/365450944.db2.gz RNYSYUUQWGGDEV-CYBMUJFWSA-N -1 1 323.312 1.448 20 0 DDADMM O=C(Cc1cccc2cnccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000626238191 367433448 /nfs/dbraw/zinc/43/34/48/367433448.db2.gz YOKLFQODUVSMFY-AWEZNQCLSA-N -1 1 322.372 1.697 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)C12CC(C1)C2 ZINC000626472595 367566341 /nfs/dbraw/zinc/56/63/41/367566341.db2.gz CQVLEOWDADIUKJ-XXCWSLKQSA-N -1 1 321.743 1.998 20 0 DDADMM COc1cc2[n-]cc(C(=O)NCCCCO)c(=O)c2c(OC)c1 ZINC000626685160 367680439 /nfs/dbraw/zinc/68/04/39/367680439.db2.gz WNNZBXREAXAZHH-UHFFFAOYSA-N -1 1 320.345 1.048 20 0 DDADMM COC(=O)C[C@H](C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000566330847 304145433 /nfs/dbraw/zinc/14/54/33/304145433.db2.gz KDHOZXKBNUAVIG-QMMMGPOBSA-N -1 1 307.318 1.503 20 0 DDADMM O=S(=O)([N-]CC[C@H](O)C(F)(F)F)c1cc(F)cc(F)c1 ZINC000349975628 284124937 /nfs/dbraw/zinc/12/49/37/284124937.db2.gz NZNXRLCLPQNSHI-VIFPVBQESA-N -1 1 319.251 1.556 20 0 DDADMM COc1cc(C)nc(CN(CCC(=O)[O-])C[C@@H]2CCCO2)c1 ZINC000566357107 304148280 /nfs/dbraw/zinc/14/82/80/304148280.db2.gz GHQMWKYHWQOLEE-AWEZNQCLSA-N -1 1 308.378 1.854 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCN(CC(F)(F)F)C1)c1nn[n-]n1 ZINC000350182287 284165730 /nfs/dbraw/zinc/16/57/30/284165730.db2.gz XTAPJHZBRJROIO-RKDXNWHRSA-N -1 1 320.319 1.041 20 0 DDADMM CCCN(C(=O)CCc1nn[n-]n1)[C@@H]1C[C@H](OCC)C1(C)C ZINC000631452705 422773006 /nfs/dbraw/zinc/77/30/06/422773006.db2.gz YSVVVLHZMQBTDP-NEPJUHHUSA-N -1 1 309.414 1.575 20 0 DDADMM CC[C@@H](C)N1CCN(C(=O)c2cc(=O)[nH]c(C3CC3)n2)CC1 ZINC000566463946 304161931 /nfs/dbraw/zinc/16/19/31/304161931.db2.gz AUQKWNJMODUYFU-LLVKDONJSA-N -1 1 304.394 1.616 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccn[nH]2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000288374987 220117392 /nfs/dbraw/zinc/11/73/92/220117392.db2.gz XIHFMCSHSHZKON-NRPADANISA-N -1 1 318.299 1.327 20 0 DDADMM O=C(COCc1ccccc1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000283016902 217388730 /nfs/dbraw/zinc/38/87/30/217388730.db2.gz WYWNCXSPYRORDN-CYBMUJFWSA-N -1 1 316.361 1.021 20 0 DDADMM CC(C)c1nc(=NCc2nc(C3CCOCC3)n[nH]2)s[n-]1 ZINC000631460610 422778854 /nfs/dbraw/zinc/77/88/54/422778854.db2.gz WEFZFUMHFKBWBY-UHFFFAOYSA-N -1 1 308.411 1.708 20 0 DDADMM CC(C)c1nc(=NCc2n[nH]c(C3CCOCC3)n2)s[n-]1 ZINC000631460610 422778858 /nfs/dbraw/zinc/77/88/58/422778858.db2.gz WEFZFUMHFKBWBY-UHFFFAOYSA-N -1 1 308.411 1.708 20 0 DDADMM CC(C)(C)c1ccc(C(=O)NCCCc2nc(=O)[n-][nH]2)cc1 ZINC000174583734 248370244 /nfs/dbraw/zinc/37/02/44/248370244.db2.gz LZXMBCGLQUZOGE-UHFFFAOYSA-N -1 1 302.378 1.758 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)Cn1cncn1 ZINC000266991775 248599229 /nfs/dbraw/zinc/59/92/29/248599229.db2.gz VHKTVPRHHWHVOK-VIFPVBQESA-N -1 1 318.381 1.198 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)c3cnnn3C)[nH][n-]2)c1 ZINC000337081231 249341828 /nfs/dbraw/zinc/34/18/28/249341828.db2.gz FMCGOFATPALVTF-UHFFFAOYSA-N -1 1 300.297 1.327 20 0 DDADMM O=c1ccc([N-]S(=O)(=O)c2cnccc2C(F)(F)F)n[nH]1 ZINC000337122478 249355152 /nfs/dbraw/zinc/35/51/52/249355152.db2.gz BFYPSVDFMTUALA-UHFFFAOYSA-N -1 1 320.252 1.397 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cccc2oc(C)nc21 ZINC000337145383 249364686 /nfs/dbraw/zinc/36/46/86/249364686.db2.gz HNZZKWZDYXCCKP-UHFFFAOYSA-N -1 1 313.317 1.202 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C[C@H]1CCOC1 ZINC000351974433 284680998 /nfs/dbraw/zinc/68/09/98/284680998.db2.gz IDIZZLMYBLPLGA-LLVKDONJSA-N -1 1 304.350 1.095 20 0 DDADMM CCCc1nc(C(=O)[N-]c2nnc(CC(=O)OCC)s2)co1 ZINC000273872609 211132813 /nfs/dbraw/zinc/13/28/13/211132813.db2.gz PRCJBZXQDILUNX-UHFFFAOYSA-N -1 1 324.362 1.837 20 0 DDADMM COCCC(C)(C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000338865658 250170419 /nfs/dbraw/zinc/17/04/19/250170419.db2.gz ITYROYMYJKVPHQ-UHFFFAOYSA-N -1 1 320.393 1.526 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@](O)(C(F)(F)F)CC1 ZINC000287992663 296290005 /nfs/dbraw/zinc/29/00/05/296290005.db2.gz VHWXCEKHAFTDDN-GFCCVEGCSA-N -1 1 304.268 1.707 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1sccc1F ZINC000338958268 250218036 /nfs/dbraw/zinc/21/80/36/250218036.db2.gz UFWQDWDPQJMUSL-HTQZYQBOSA-N -1 1 309.384 1.753 20 0 DDADMM CCOc1cc(C(=O)NCCC(=O)NC)cc(Cl)c1[O-] ZINC000273810123 211079685 /nfs/dbraw/zinc/07/96/85/211079685.db2.gz ATBWXLAMFBOPFS-UHFFFAOYSA-N -1 1 300.742 1.310 20 0 DDADMM CN(C)C(=O)Cn1cc(NCc2cccc([O-])c2Cl)cn1 ZINC000339223050 250349036 /nfs/dbraw/zinc/34/90/36/250349036.db2.gz KJCCGVWINIBZBW-UHFFFAOYSA-N -1 1 308.769 1.942 20 0 DDADMM Cc1ccc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cn1 ZINC000339195241 250338668 /nfs/dbraw/zinc/33/86/68/250338668.db2.gz RJUOZZDUXITQLP-UHFFFAOYSA-N -1 1 302.334 1.427 20 0 DDADMM CC(C)c1nc2n(n1)CCC[C@H]2NC(=O)c1cncc([O-])c1 ZINC000176845524 306687749 /nfs/dbraw/zinc/68/77/49/306687749.db2.gz DHPGQDNMNWAGNF-GFCCVEGCSA-N -1 1 301.350 1.767 20 0 DDADMM Cc1nc([C@@H](C)NS(=O)(=O)c2ccc(C(=O)[O-])c(C)c2)n[nH]1 ZINC000285328412 218382599 /nfs/dbraw/zinc/38/25/99/218382599.db2.gz GAKUXBHVROTUDA-MRVPVSSYSA-N -1 1 324.362 1.159 20 0 DDADMM Cc1noc(C2CC2)c1[N-]S(=O)(=O)N1C[C@@H](C)OC[C@@H]1C ZINC000340975385 251312763 /nfs/dbraw/zinc/31/27/63/251312763.db2.gz SYEQWIVBCSPPEV-DTWKUNHWSA-N -1 1 315.395 1.626 20 0 DDADMM O=C([O-])[C@@H]1CC[N@@H+](Cc2cc(=O)n3cc(Cl)ccc3n2)C1 ZINC000062133873 184208587 /nfs/dbraw/zinc/20/85/87/184208587.db2.gz OLKZUAFLRDGYSF-SECBINFHSA-N -1 1 307.737 1.254 20 0 DDADMM Cc1cc2cc(NC(=O)c3cc(=O)n4[n-]cnc4n3)ccc2[nH]1 ZINC000352413564 285035004 /nfs/dbraw/zinc/03/50/04/285035004.db2.gz AMQNZDCIKUDROW-UHFFFAOYSA-N -1 1 308.301 1.460 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1Cl ZINC000352421114 285039162 /nfs/dbraw/zinc/03/91/62/285039162.db2.gz AQSUIZIICTYRQI-QMMMGPOBSA-N -1 1 317.736 1.562 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H]2C(C)C)co1 ZINC000270006213 208060468 /nfs/dbraw/zinc/06/04/68/208060468.db2.gz AGEHUXRPGGGRDP-LLVKDONJSA-N -1 1 300.380 1.448 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](CCO)C(C)(C)C)c1 ZINC000270025550 208080072 /nfs/dbraw/zinc/08/00/72/208080072.db2.gz PFIOELSNDJYAHA-SNVBAGLBSA-N -1 1 319.379 1.142 20 0 DDADMM COc1cccc2[nH]cc(CC(=O)NC(C)(C)c3nn[n-]n3)c21 ZINC000352477718 285079323 /nfs/dbraw/zinc/07/93/23/285079323.db2.gz OGPNHRCIFVYLSD-UHFFFAOYSA-N -1 1 314.349 1.284 20 0 DDADMM CC(C)CN(CC(C)C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000352550178 285133769 /nfs/dbraw/zinc/13/37/69/285133769.db2.gz KVQNLLKKVRLSCR-UHFFFAOYSA-N -1 1 307.394 1.658 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2cncc(Cl)c2)s1 ZINC000352561430 285142798 /nfs/dbraw/zinc/14/27/98/285142798.db2.gz WVSIDFBAPXDRFK-UHFFFAOYSA-N -1 1 318.811 1.627 20 0 DDADMM C[C@H](CNC(=O)C1(C(=O)[O-])CC1)N1CCc2ccccc2C1 ZINC000567986868 304259344 /nfs/dbraw/zinc/25/93/44/304259344.db2.gz HEBXFBQNCYBLKD-GFCCVEGCSA-N -1 1 302.374 1.414 20 0 DDADMM O=c1nc(NCC2([C@H]3CCCCO3)CCC2)nc2[nH][n-]cc1-2 ZINC000631511511 422807075 /nfs/dbraw/zinc/80/70/75/422807075.db2.gz ADFIJXJGBPBIFF-LLVKDONJSA-N -1 1 303.366 1.583 20 0 DDADMM CC(C)OCc1nc([C@H](C)[N-]S(=O)(=O)c2ccoc2)no1 ZINC000289492729 221015453 /nfs/dbraw/zinc/01/54/53/221015453.db2.gz JZHUTFUXRKUMSZ-VIFPVBQESA-N -1 1 315.351 1.627 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C[C@@H]2CCCC(=O)N2)c1 ZINC000289521427 221039248 /nfs/dbraw/zinc/03/92/48/221039248.db2.gz PSXKBNWQLCBOPN-JTQLQIEISA-N -1 1 306.318 1.176 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2ccc(F)c(F)c2)s1 ZINC000289498009 221020400 /nfs/dbraw/zinc/02/04/00/221020400.db2.gz KOZQLWGAXZQGSB-UHFFFAOYSA-N -1 1 319.358 1.857 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN(CC(F)F)CC1 ZINC000289531336 221046092 /nfs/dbraw/zinc/04/60/92/221046092.db2.gz FEOBYWBHDHYVGP-UHFFFAOYSA-N -1 1 306.259 1.693 20 0 DDADMM CO[C@H]1COC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000289671908 221145264 /nfs/dbraw/zinc/14/52/64/221145264.db2.gz UYDDHFDDHSONRZ-UWVGGRQHSA-N -1 1 309.746 1.171 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C12CCC2 ZINC000414406528 224333709 /nfs/dbraw/zinc/33/37/09/224333709.db2.gz VYDONGLJSAFHPY-CHWSQXEVSA-N -1 1 313.357 1.611 20 0 DDADMM CCC[C@@H](NC(=O)C1=Cc2cc(F)ccc2OC1)c1nn[n-]n1 ZINC000121853654 195361686 /nfs/dbraw/zinc/36/16/86/195361686.db2.gz JZJKIQDKSJXPGM-GFCCVEGCSA-N -1 1 317.324 1.772 20 0 DDADMM C[C@@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352943070 285402768 /nfs/dbraw/zinc/40/27/68/285402768.db2.gz PUTFEOKTWODDST-OIBJUYFYSA-N -1 1 324.196 1.888 20 0 DDADMM COC[C@@](C)(O)CC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000451659705 533380715 /nfs/dbraw/zinc/38/07/15/533380715.db2.gz OXOWCYITTYYFCM-LBPRGKRZSA-N -1 1 309.334 1.031 20 0 DDADMM COC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C1 ZINC000416618242 533538542 /nfs/dbraw/zinc/53/85/42/533538542.db2.gz OKZFDVMKVLLYOQ-OCAPTIKFSA-N -1 1 321.757 1.709 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(OCC2CCCCC2)CC1 ZINC000631525750 422812576 /nfs/dbraw/zinc/81/25/76/422812576.db2.gz QQDTWRHVZWIPAN-UHFFFAOYSA-N -1 1 321.425 1.720 20 0 DDADMM O=C(N=c1[n-]nc([C@@H]2CCCO2)s1)c1[nH]nc2c1CCCC2 ZINC000636351281 422814797 /nfs/dbraw/zinc/81/47/97/422814797.db2.gz DGVYHRRMIQEQJI-JTQLQIEISA-N -1 1 319.390 1.666 20 0 DDADMM Cc1cc([C@@H]2CCCN(C(=O)[C@@H]3CC[C@H](C(=O)[O-])O3)C2)n[nH]1 ZINC000569120294 304337472 /nfs/dbraw/zinc/33/74/72/304337472.db2.gz SNPOYHJBORPILM-KGYLQXTDSA-N -1 1 307.350 1.056 20 0 DDADMM C[C@@H](N=c1[n-]c(C(N)=O)cs1)[C@@H](C)NC(=O)OC(C)(C)C ZINC000496835346 307363306 /nfs/dbraw/zinc/36/33/06/307363306.db2.gz NRCFWZPQTFUYNJ-HTQZYQBOSA-N -1 1 314.411 1.378 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@@H](OC)C2CC2)c(O)c1 ZINC000569576993 304365572 /nfs/dbraw/zinc/36/55/72/304365572.db2.gz HHMLTIAIHJLENG-CYBMUJFWSA-N -1 1 301.364 1.568 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)Cc1ccon1)[C@@H](C)CC ZINC000360744942 299735411 /nfs/dbraw/zinc/73/54/11/299735411.db2.gz KREKFVAPSHCRSO-GXSJLCMTSA-N -1 1 304.368 1.072 20 0 DDADMM CC(C)[C@@H](SCc1ccccc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000266591093 407571041 /nfs/dbraw/zinc/57/10/41/407571041.db2.gz OBZUURDMHIPLGG-CYBMUJFWSA-N -1 1 321.402 1.527 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C)c3ccccc32)o1 ZINC000077216277 406980776 /nfs/dbraw/zinc/98/07/76/406980776.db2.gz GZNGBFQQKBCZSP-SNVBAGLBSA-N -1 1 320.370 1.952 20 0 DDADMM CCNC(=O)[C@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000044491052 407024268 /nfs/dbraw/zinc/02/42/68/407024268.db2.gz RGJXCMUUZPEOTN-ZETCQYMHSA-N -1 1 315.167 1.409 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2ccc(CC(N)=O)cc2)c1 ZINC000049510080 407121901 /nfs/dbraw/zinc/12/19/01/407121901.db2.gz PSCBKJUCDNWNMK-UHFFFAOYSA-N -1 1 320.370 1.524 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)NC(C)(C)C ZINC000067717640 407281617 /nfs/dbraw/zinc/28/16/17/407281617.db2.gz HEYKGBVYPBQUTB-QMMMGPOBSA-N -1 1 320.361 1.546 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCCC[C@@H]1C ZINC000103938548 407344370 /nfs/dbraw/zinc/34/43/70/407344370.db2.gz BDWCJVOCGOGCME-IINYFYTJSA-N -1 1 316.405 1.877 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N(C)CC[C@@H](C)O ZINC000125992399 407402138 /nfs/dbraw/zinc/40/21/38/407402138.db2.gz QCVVVEYWXQCTRQ-SNVBAGLBSA-N -1 1 320.393 1.214 20 0 DDADMM CC[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@@H](C)O1 ZINC000111422249 407410064 /nfs/dbraw/zinc/41/00/64/407410064.db2.gz QPDGDTIASSJBCT-MNOVXSKESA-N -1 1 301.346 1.563 20 0 DDADMM CC[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@@H](C)O1 ZINC000111422246 407410162 /nfs/dbraw/zinc/41/01/62/407410162.db2.gz QPDGDTIASSJBCT-GHMZBOCLSA-N -1 1 301.346 1.563 20 0 DDADMM NC(=O)[C@H](CNC(=O)c1cncc([O-])c1)Cc1ccc(F)cc1 ZINC000111579489 407412288 /nfs/dbraw/zinc/41/22/88/407412288.db2.gz INPZYLOUJUIUPS-NSHDSACASA-N -1 1 317.320 1.000 20 0 DDADMM C[C@@H](CS(C)(=O)=O)N(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000112192970 407422373 /nfs/dbraw/zinc/42/23/73/407422373.db2.gz CEDDWSGWNFQLKL-QMMMGPOBSA-N -1 1 305.783 1.551 20 0 DDADMM C[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CCOCC1 ZINC000127967477 407485638 /nfs/dbraw/zinc/48/56/38/407485638.db2.gz CJWJUJGXXIYWQY-SNVBAGLBSA-N -1 1 301.346 1.468 20 0 DDADMM COC(=O)C(C)(C)NC(=O)c1ccc(Br)cc1[O-] ZINC000271210865 407575614 /nfs/dbraw/zinc/57/56/14/407575614.db2.gz DDZJFXFZDWRMOG-UHFFFAOYSA-N -1 1 316.151 1.836 20 0 DDADMM COc1ccc(C(=O)NC[C@@H]2C[N@@H+]3CCCC[C@@H]3CO2)c(O)c1 ZINC000128521861 407545106 /nfs/dbraw/zinc/54/51/06/407545106.db2.gz FBGGBUUHELXTJL-TZMCWYRMSA-N -1 1 320.389 1.384 20 0 DDADMM Cc1ccc(O[C@H](C)C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000129478830 407611981 /nfs/dbraw/zinc/61/19/81/407611981.db2.gz BIYRKIAOWOLWLN-CHWSQXEVSA-N -1 1 315.377 1.682 20 0 DDADMM CCOC(=O)CC[C@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000178846024 407624588 /nfs/dbraw/zinc/62/45/88/407624588.db2.gz AUEXZNBRHDGUSI-GFCCVEGCSA-N -1 1 306.362 1.983 20 0 DDADMM CN1C(=O)C[C@H](NC(=O)c2cnn[nH]2)[C@H]1c1ccc(Cl)cc1 ZINC000130037323 407646240 /nfs/dbraw/zinc/64/62/40/407646240.db2.gz RHJOLPBCBJQFPK-GXFFZTMASA-N -1 1 319.752 1.160 20 0 DDADMM CC(C)[C@@]1(C)C[C@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000186645990 407729524 /nfs/dbraw/zinc/72/95/24/407729524.db2.gz GDOIEMZXRQCBOO-IINYFYTJSA-N -1 1 312.391 1.660 20 0 DDADMM O=S(=O)([N-][C@H]1CCCOC1)c1ccc(Cl)nc1Cl ZINC000171540228 407697182 /nfs/dbraw/zinc/69/71/82/407697182.db2.gz KEHULIAYIQSUIJ-ZETCQYMHSA-N -1 1 311.190 1.846 20 0 DDADMM COc1cccc(S(=O)(=O)CCN2CCC[C@@H]2C(=O)[O-])c1 ZINC000262102527 407741263 /nfs/dbraw/zinc/74/12/63/407741263.db2.gz JARMNYJDWKAFLZ-CYBMUJFWSA-N -1 1 313.375 1.018 20 0 DDADMM COC[C@@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CCO1 ZINC000267139945 407763915 /nfs/dbraw/zinc/76/39/15/407763915.db2.gz QFRZCAGYIDAYFH-NSHDSACASA-N -1 1 323.393 1.276 20 0 DDADMM COc1ccc(NC(=O)c2cnc3n(C)[n-]cc-3c2=O)c(C)n1 ZINC000179545360 407804064 /nfs/dbraw/zinc/80/40/64/407804064.db2.gz HJYAILINBDFYDS-UHFFFAOYSA-N -1 1 313.317 1.638 20 0 DDADMM O=S(=O)([N-]c1ccccc1)c1ccc2c(c1)S(=O)(=O)CC2 ZINC000132945205 407809798 /nfs/dbraw/zinc/80/97/98/407809798.db2.gz IAAWQYKYISDVAH-UHFFFAOYSA-N -1 1 323.395 1.817 20 0 DDADMM CC[C@@]1(CO)CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000187121018 407822783 /nfs/dbraw/zinc/82/27/83/407822783.db2.gz ZGFZCXXDTALAHJ-QGZVFWFLSA-N -1 1 315.373 1.548 20 0 DDADMM COc1ccc(C)cc1NC(=O)[C@@H](C)N1CC[C@H](C(=O)[O-])C1 ZINC000262684700 407901824 /nfs/dbraw/zinc/90/18/24/407901824.db2.gz XIFFERQMMRZDGF-NEPJUHHUSA-N -1 1 306.362 1.737 20 0 DDADMM Cc1occc1CN(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119176787 408009927 /nfs/dbraw/zinc/00/99/27/408009927.db2.gz UKABDDCWMJPDDQ-UHFFFAOYSA-N -1 1 300.318 1.848 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCCOC1CCCC1)c2=O ZINC000119191215 408013046 /nfs/dbraw/zinc/01/30/46/408013046.db2.gz IGIOVHPLRSUXQA-UHFFFAOYSA-N -1 1 318.377 1.753 20 0 DDADMM COc1ccc(-c2cc(C(=O)N(C)CC(=O)[O-])n[nH]2)cc1OC ZINC000263077452 408018335 /nfs/dbraw/zinc/01/83/35/408018335.db2.gz KLMQPSBSVFBSMG-UHFFFAOYSA-N -1 1 319.317 1.251 20 0 DDADMM CSc1n[nH]c(NC(=O)c2cnn(CCC(F)(F)F)c2)n1 ZINC000273104916 408058726 /nfs/dbraw/zinc/05/87/26/408058726.db2.gz JOTJCOWIRCVLDO-UHFFFAOYSA-N -1 1 320.300 1.928 20 0 DDADMM O=C(c1ccco1)N1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000154627380 408062246 /nfs/dbraw/zinc/06/22/46/408062246.db2.gz ZYQQGDXGKILRFU-UHFFFAOYSA-N -1 1 318.304 1.723 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000268713241 408170347 /nfs/dbraw/zinc/17/03/47/408170347.db2.gz MJVYPOHSFVSMPD-LLVKDONJSA-N -1 1 321.377 1.869 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H]3[C@H](C(=O)[O-])C3(C)C)[nH]c2c1 ZINC000263554855 408172508 /nfs/dbraw/zinc/17/25/08/408172508.db2.gz NPUYGRDHIXGGFU-QWHCGFSZSA-N -1 1 301.346 1.844 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1cscn1 ZINC000176258348 408325033 /nfs/dbraw/zinc/32/50/33/408325033.db2.gz BCUQWTWYMBDDQV-UHFFFAOYSA-N -1 1 320.418 1.805 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@H]1C[C@H]1c1cc(F)ccc1F ZINC000176269851 408330002 /nfs/dbraw/zinc/33/00/02/408330002.db2.gz KASCVQAGEGCVDY-ONGXEEELSA-N -1 1 322.315 1.229 20 0 DDADMM COCCN1C[C@H](C(=O)Nc2ccc([O-])c(Cl)c2)CC1=O ZINC000182869928 408272512 /nfs/dbraw/zinc/27/25/12/408272512.db2.gz TYZMXJUODNMXHC-SECBINFHSA-N -1 1 312.753 1.479 20 0 DDADMM COC(=O)c1cc(OC)c(OC)cc1[N-]S(=O)(=O)C1CC1 ZINC000263849261 408284753 /nfs/dbraw/zinc/28/47/53/408284753.db2.gz MLYJFXFKXICLGL-UHFFFAOYSA-N -1 1 315.347 1.395 20 0 DDADMM C[C@@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1ccc(Cl)s1 ZINC000176177457 408301699 /nfs/dbraw/zinc/30/16/99/408301699.db2.gz DLQXJCVCOGGBMU-SSDOTTSWSA-N -1 1 314.798 1.665 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@@H]1Cn1ccnc1 ZINC000176342550 408344177 /nfs/dbraw/zinc/34/41/77/408344177.db2.gz KYSCEAIURQKUQM-GFCCVEGCSA-N -1 1 323.356 1.424 20 0 DDADMM CC(C)COC[C@@H](O)CNC(=O)c1ccc(Cl)cc1[O-] ZINC000176342583 408344922 /nfs/dbraw/zinc/34/49/22/408344922.db2.gz JYZNSHLXWBTQIU-NSHDSACASA-N -1 1 301.770 1.809 20 0 DDADMM C[C@H](Oc1cccc(Br)c1)C(=O)Nc1nnn[n-]1 ZINC000173809460 162365666 /nfs/dbraw/zinc/36/56/66/162365666.db2.gz TUQHDHBEESETHQ-LURJTMIESA-N -1 1 312.127 1.368 20 0 DDADMM C[C@H](Oc1cccc(Br)c1)C(=O)Nc1nn[n-]n1 ZINC000173809460 162365670 /nfs/dbraw/zinc/36/56/70/162365670.db2.gz TUQHDHBEESETHQ-LURJTMIESA-N -1 1 312.127 1.368 20 0 DDADMM CC(C)C[C@@H](CO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000269658422 408379734 /nfs/dbraw/zinc/37/97/34/408379734.db2.gz AQFGFLCQWADZDC-QMMMGPOBSA-N -1 1 311.325 1.789 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccnc(OC2CCC2)c1)c1nn[n-]n1 ZINC000183415791 408404764 /nfs/dbraw/zinc/40/47/64/408404764.db2.gz PMONCUFYOJKKJU-SNVBAGLBSA-N -1 1 316.365 1.402 20 0 DDADMM COc1ccccc1C=CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183408841 408405583 /nfs/dbraw/zinc/40/55/83/408405583.db2.gz ULAXUDNIWHONDC-FBOQAHMBSA-N -1 1 301.350 1.484 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)OC1CCCCCC1)c1nn[n-]n1 ZINC000183454776 408415223 /nfs/dbraw/zinc/41/52/23/408415223.db2.gz JQPQTBZEBOVXSA-RYUDHWBXSA-N -1 1 309.414 1.890 20 0 DDADMM COc1cccc([C@@H](C)CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183454495 408415775 /nfs/dbraw/zinc/41/57/75/408415775.db2.gz XCUKRADXAJRSJV-RYUDHWBXSA-N -1 1 317.393 1.964 20 0 DDADMM CCc1nc([C@H](C)NS(=O)(=O)c2ccc(F)cc2F)n[nH]1 ZINC000270561332 408580521 /nfs/dbraw/zinc/58/05/21/408580521.db2.gz JUUYYHLSMUQTAA-ZETCQYMHSA-N -1 1 316.333 1.685 20 0 DDADMM COc1ccc(Cl)c(S(=O)(=O)[N-]CC(F)(F)CO)c1 ZINC000184298621 408584828 /nfs/dbraw/zinc/58/48/28/408584828.db2.gz ATBRBCZNXYDVFA-UHFFFAOYSA-N -1 1 315.725 1.255 20 0 DDADMM COc1ccc(C=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000274802479 408538622 /nfs/dbraw/zinc/53/86/22/408538622.db2.gz STMHQNVJSSYDGH-LAHYYIKRSA-N -1 1 301.350 1.484 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-]CC2(C)OCCO2)c(F)c1 ZINC000192821290 408656184 /nfs/dbraw/zinc/65/61/84/408656184.db2.gz QRBORXMSYMAZGZ-UHFFFAOYSA-N -1 1 303.355 1.317 20 0 DDADMM Cc1nn(C)c(C)c1[C@H]1OCC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000275822395 408687513 /nfs/dbraw/zinc/68/75/13/408687513.db2.gz YTSYOZANLQPGJW-NHYWBVRUSA-N -1 1 316.361 1.398 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(C2CCC(C)CC2)C1 ZINC000184787214 408687654 /nfs/dbraw/zinc/68/76/54/408687654.db2.gz MFSJVQROYISPJW-MOKVOYLWSA-N -1 1 312.410 1.498 20 0 DDADMM CC[C@H]1[C@H](C)CCN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000185405002 408805199 /nfs/dbraw/zinc/80/51/99/408805199.db2.gz BXFJKHAKGCVXKQ-ZJUUUORDSA-N -1 1 300.380 1.448 20 0 DDADMM CCCc1cc(=O)[n-]c(SC[C@@H](O)CC(=O)OCC)n1 ZINC000166804643 408816284 /nfs/dbraw/zinc/81/62/84/408816284.db2.gz DBRAFZWNRMJNLP-JTQLQIEISA-N -1 1 300.380 1.129 20 0 DDADMM C[C@H](O)[C@H]1CCN(C(=O)c2ccc(Br)cc2[O-])C1 ZINC000169189155 408819746 /nfs/dbraw/zinc/81/97/46/408819746.db2.gz KWFCMWUVZZBFEO-IUCAKERBSA-N -1 1 314.179 1.998 20 0 DDADMM COC(=O)c1c[n-]c(S[C@H](C)c2nc(-c3nc[nH]n3)no2)n1 ZINC000276160694 408823385 /nfs/dbraw/zinc/82/33/85/408823385.db2.gz FKKMOHPZQNQGQX-RXMQYKEDSA-N -1 1 321.322 1.218 20 0 DDADMM O=c1nc([C@@H]2CCCN(c3ccc(C(F)(F)F)cn3)C2)[nH][n-]1 ZINC000285763076 408858373 /nfs/dbraw/zinc/85/83/73/408858373.db2.gz VPECSVJAWXQMJR-MRVPVSSYSA-N -1 1 313.283 1.896 20 0 DDADMM O=C(c1nn(-c2ccccc2)cc1[O-])N1CCN2CCC1CC2 ZINC000188849603 163073061 /nfs/dbraw/zinc/07/30/61/163073061.db2.gz ITTKPQLOUMNLRI-UHFFFAOYSA-N -1 1 312.373 1.498 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)C(C)(C)[N-]C(=O)C(F)(F)F)n[nH]1 ZINC000277436938 408940418 /nfs/dbraw/zinc/94/04/18/408940418.db2.gz WKBJRLCTWFAFEG-ZETCQYMHSA-N -1 1 320.315 1.222 20 0 DDADMM C[C@@H](CC(N)=O)NC(=O)c1ccc(Br)c([O-])c1 ZINC000231348360 163349919 /nfs/dbraw/zinc/34/99/19/163349919.db2.gz LRPGWUMHBKAPFB-LURJTMIESA-N -1 1 301.140 1.148 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C3CC=CC3)CC2)n1 ZINC000277662466 408987311 /nfs/dbraw/zinc/98/73/11/408987311.db2.gz JEZAQBBDSHLPLX-UHFFFAOYSA-N -1 1 318.377 1.654 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@H](C)C3CC3)CC2)n1 ZINC000277787358 409011941 /nfs/dbraw/zinc/01/19/41/409011941.db2.gz SGZWVTIRFGYLAY-SNVBAGLBSA-N -1 1 320.393 1.734 20 0 DDADMM C[C@@H]1C[C@H]1c1cc(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)n[nH]1 ZINC000287295752 409015554 /nfs/dbraw/zinc/01/55/54/409015554.db2.gz UUVOQIDEWADCLC-HBBFGBPSSA-N -1 1 311.349 1.832 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2cc(C(=O)OC)co2)CCC1 ZINC000282285600 409025840 /nfs/dbraw/zinc/02/58/40/409025840.db2.gz LBDJVTQLAAJJPJ-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@H](O)C3CCCCC3)ccnc1-2 ZINC000287554551 409054175 /nfs/dbraw/zinc/05/41/75/409054175.db2.gz JXBDPOBROCEJJB-DABDWFRDSA-N -1 1 317.393 1.405 20 0 DDADMM O=C(N[C@]1(CCO)CCOC1)c1ccc2ccccc2c1[O-] ZINC000278033085 409054226 /nfs/dbraw/zinc/05/42/26/409054226.db2.gz HLWOONXUJQSIRT-QGZVFWFLSA-N -1 1 301.342 1.817 20 0 DDADMM CC[C@@H](CSC)N(C)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287731753 409083394 /nfs/dbraw/zinc/08/33/94/409083394.db2.gz TWLVLEHXBGSWGN-JCROAMGPSA-N -1 1 307.423 1.947 20 0 DDADMM Cc1nn(C)cc1[C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283082368 409084747 /nfs/dbraw/zinc/08/47/47/409084747.db2.gz OCTRPOBQXKHRBO-QMMMGPOBSA-N -1 1 316.390 1.551 20 0 DDADMM C[C@]1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCCC[C@@H]1O ZINC000283242328 409119706 /nfs/dbraw/zinc/11/97/06/409119706.db2.gz CCTLKISPFAQYDH-XHDPSFHLSA-N -1 1 320.418 1.689 20 0 DDADMM C[C@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000287963289 409123124 /nfs/dbraw/zinc/12/31/24/409123124.db2.gz SNWSLYRKKQKUIV-SNVBAGLBSA-N -1 1 322.361 1.604 20 0 DDADMM Cc1ncc(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)c(C(C)C)n1 ZINC000283333576 409139129 /nfs/dbraw/zinc/13/91/29/409139129.db2.gz XYUFDERFCSGYMM-BKUYFWCQSA-N -1 1 310.361 1.816 20 0 DDADMM CCCN(C(=O)c1ccc(Cl)cc1[O-])[C@@H]1CC(=O)N(C)C1=O ZINC000283699310 409199188 /nfs/dbraw/zinc/19/91/88/409199188.db2.gz YCTPTDCRXUIVEK-LLVKDONJSA-N -1 1 324.764 1.655 20 0 DDADMM COc1cccc([C@H](C)CCCC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000279063856 409142217 /nfs/dbraw/zinc/14/22/17/409142217.db2.gz SJIVFVWSEIBIEH-LLVKDONJSA-N -1 1 319.361 1.552 20 0 DDADMM Cc1cc(CNC(=O)c2ncccc2[O-])nc(N2CCCC2)n1 ZINC000294034621 409230346 /nfs/dbraw/zinc/23/03/46/409230346.db2.gz IGASMMQVLHBLHA-UHFFFAOYSA-N -1 1 313.361 1.416 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC(C)(C)c3nccs3)ccnc1-2 ZINC000279518272 409230882 /nfs/dbraw/zinc/23/08/82/409230882.db2.gz ZTGYDBLASCHDFD-ZDLGFXPLSA-N -1 1 316.390 1.855 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@](C)(O)CCc1ccccc1)c1nn[n-]n1 ZINC000283722179 409203646 /nfs/dbraw/zinc/20/36/46/409203646.db2.gz DJLCJANKQOSMNR-WBMJQRKESA-N -1 1 317.393 1.145 20 0 DDADMM O=c1nc([C@H]2CCCCN2Cc2nnc(-c3ccco3)o2)[nH][n-]1 ZINC000289432291 409269626 /nfs/dbraw/zinc/26/96/26/409269626.db2.gz VURFIMCVTLGIQM-SECBINFHSA-N -1 1 316.321 1.468 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCCC[C@H]1CCO ZINC000294277768 409271236 /nfs/dbraw/zinc/27/12/36/409271236.db2.gz HNFVRJLDBVAFEL-NSHDSACASA-N -1 1 306.391 1.395 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@](C)(F)C2)o1 ZINC000295214374 409379144 /nfs/dbraw/zinc/37/91/44/409379144.db2.gz AYOZPVIYZZQUGO-GFCCVEGCSA-N -1 1 304.343 1.152 20 0 DDADMM Cc1ccc([C@@H]2OCCC[C@@H]2NC(=O)CCc2nn[n-]n2)cc1 ZINC000631589673 422841879 /nfs/dbraw/zinc/84/18/79/422841879.db2.gz PTAKJPPJMLVILC-BBRMVZONSA-N -1 1 315.377 1.477 20 0 DDADMM Cn1ccnc1[C@H]1N(C(=O)C(=O)c2ccc([O-])cc2)CC1(C)C ZINC000296009474 409398723 /nfs/dbraw/zinc/39/87/23/409398723.db2.gz WGOUXJNZMXHQAM-CQSZACIVSA-N -1 1 313.357 1.918 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1ccc(F)c(F)c1F)C1CC1 ZINC000312633272 164009022 /nfs/dbraw/zinc/00/90/22/164009022.db2.gz CHNAIVSYYHCPSU-GFCCVEGCSA-N -1 1 309.309 1.543 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H](CO)C1)c1ccc(F)c(F)c1F ZINC000313692627 164010704 /nfs/dbraw/zinc/01/07/04/164010704.db2.gz FTCBSNWRQKFDCZ-BDAKNGLRSA-N -1 1 323.336 1.933 20 0 DDADMM C[C@H](CC(N)=O)[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000360507628 164149247 /nfs/dbraw/zinc/14/92/47/164149247.db2.gz LCQXRTFPSCJYJE-SCSAIBSYSA-N -1 1 317.219 1.597 20 0 DDADMM COCCn1ccc([N-]S(=O)(=O)N2C[C@@H](C)C[C@H](C)C2)n1 ZINC000352201735 164119353 /nfs/dbraw/zinc/11/93/53/164119353.db2.gz VARYFTDRHIEGPR-RYUDHWBXSA-N -1 1 316.427 1.164 20 0 DDADMM CO[C@H]1CC[C@@H]([N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000408284984 164284955 /nfs/dbraw/zinc/28/49/55/164284955.db2.gz BWPPZEPSORDZEL-SFYZADRCSA-N -1 1 324.196 1.888 20 0 DDADMM Cc1nccc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000290672647 409481889 /nfs/dbraw/zinc/48/18/89/409481889.db2.gz KQBQPMCHTHXODJ-SNVBAGLBSA-N -1 1 302.300 1.680 20 0 DDADMM CSc1n[nH]c(NC(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)n1 ZINC000348859936 409560495 /nfs/dbraw/zinc/56/04/95/409560495.db2.gz SHVSENAQBSGCBF-UHFFFAOYSA-N -1 1 318.318 1.122 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1nc(C2CC2)cs1 ZINC000290732407 409502534 /nfs/dbraw/zinc/50/25/34/409502534.db2.gz LJSALJDPXMTGSU-UHFFFAOYSA-N -1 1 314.392 1.378 20 0 DDADMM CC1(C)CCC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000353905548 409553422 /nfs/dbraw/zinc/55/34/22/409553422.db2.gz RFGUCHWURNIEFS-LLVKDONJSA-N -1 1 305.378 1.602 20 0 DDADMM CO[C@H](C)c1nsc(=NC[C@@H]2CN(CC(C)C)CCO2)[n-]1 ZINC000337906393 409554667 /nfs/dbraw/zinc/55/46/67/409554667.db2.gz CNFIIVZWZBPWHM-VXGBXAGGSA-N -1 1 314.455 1.436 20 0 DDADMM CO[C@H](C)c1nc(=NC[C@@H]2CN(CC(C)C)CCO2)s[n-]1 ZINC000337906393 409554678 /nfs/dbraw/zinc/55/46/78/409554678.db2.gz CNFIIVZWZBPWHM-VXGBXAGGSA-N -1 1 314.455 1.436 20 0 DDADMM Cn1cc([N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)ccc1=O ZINC000356751489 409574289 /nfs/dbraw/zinc/57/42/89/409574289.db2.gz KQWYHBWVINQXCM-UHFFFAOYSA-N -1 1 318.276 1.603 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cccc2ncccc21 ZINC000337948532 409594584 /nfs/dbraw/zinc/59/45/84/409594584.db2.gz IIJYQVZNVFZTFT-UHFFFAOYSA-N -1 1 322.324 1.704 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@H]1CCNC(=O)C1 ZINC000338203821 409797043 /nfs/dbraw/zinc/79/70/43/409797043.db2.gz NFDADGRZZNGDCH-JTQLQIEISA-N -1 1 300.318 1.247 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccncc1Cl ZINC000342675454 409804549 /nfs/dbraw/zinc/80/45/49/409804549.db2.gz ZGLIOGKRRWKWSY-UHFFFAOYSA-N -1 1 308.725 1.319 20 0 DDADMM COCCC(C)(C)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000338229643 409819594 /nfs/dbraw/zinc/81/95/94/409819594.db2.gz QNUUISBBWQALAN-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)N=c2nc3cc(Cl)ccn3[n-]2)CCN1C ZINC000332126311 409906789 /nfs/dbraw/zinc/90/67/89/409906789.db2.gz DDDPNLSZTHOTMC-ZJUUUORDSA-N -1 1 322.800 1.361 20 0 DDADMM O=C(Nc1nnn[n-]1)C1(c2cccc(Cl)c2)CCOCC1 ZINC000346315867 409911744 /nfs/dbraw/zinc/91/17/44/409911744.db2.gz ATTULRFVMJMODY-UHFFFAOYSA-N -1 1 307.741 1.540 20 0 DDADMM O=C(Nc1nn[n-]n1)C1(c2cccc(Cl)c2)CCOCC1 ZINC000346315867 409911748 /nfs/dbraw/zinc/91/17/48/409911748.db2.gz ATTULRFVMJMODY-UHFFFAOYSA-N -1 1 307.741 1.540 20 0 DDADMM O=C(N[C@@H](CCO)c1ccccc1)c1nc2ccccc2c(=O)[n-]1 ZINC000354531246 409920292 /nfs/dbraw/zinc/92/02/92/409920292.db2.gz QWJKYVABXXKFPQ-AWEZNQCLSA-N -1 1 323.352 1.777 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCCc1cccc(F)c1 ZINC000349585878 409877946 /nfs/dbraw/zinc/87/79/46/409877946.db2.gz MMWVCIDFVKILFF-UHFFFAOYSA-N -1 1 313.354 1.446 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCCc1cccc(F)c1 ZINC000349585878 409877953 /nfs/dbraw/zinc/87/79/53/409877953.db2.gz MMWVCIDFVKILFF-UHFFFAOYSA-N -1 1 313.354 1.446 20 0 DDADMM CCCCn1ncc([N-]S(=O)(=O)CCC(=O)OC)c1C ZINC000357143458 409900637 /nfs/dbraw/zinc/90/06/37/409900637.db2.gz DHZXTGSZRLZCOK-UHFFFAOYSA-N -1 1 303.384 1.296 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@@H]3CC(=O)N(C)C3)[nH][n-]2)c1 ZINC000332185617 409959339 /nfs/dbraw/zinc/95/93/39/409959339.db2.gz ONVQTDIOIQVBTI-SNVBAGLBSA-N -1 1 316.336 1.363 20 0 DDADMM C[C@@H](Oc1cccnc1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332167675 409943063 /nfs/dbraw/zinc/94/30/63/409943063.db2.gz KXTZXHKJAKHGSZ-MNOVXSKESA-N -1 1 318.333 1.344 20 0 DDADMM O=S(=O)([N-]Cc1ccccn1)c1cc(F)c(F)cc1F ZINC000357385861 410008571 /nfs/dbraw/zinc/00/85/71/410008571.db2.gz YIKUCKJSWQQKNX-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM O=C(NC1CC1)c1[nH]nnc1NC(=O)C(C1CCC1)C1CCC1 ZINC000297870344 410031425 /nfs/dbraw/zinc/03/14/25/410031425.db2.gz ZLZDBJBSCAUENE-UHFFFAOYSA-N -1 1 317.393 1.852 20 0 DDADMM COC(=O)[C@@H](CS(=O)(=O)[N-]c1cnn(C)c1)c1ccccc1 ZINC000357468088 410057048 /nfs/dbraw/zinc/05/70/48/410057048.db2.gz FRXZJCVHYCBLAD-ZDUSSCGKSA-N -1 1 323.374 1.119 20 0 DDADMM COC(=O)c1cnc(=NCCOCC(F)(F)C(F)F)[n-]c1 ZINC000343055877 410107049 /nfs/dbraw/zinc/10/70/49/410107049.db2.gz UOYIEMZMGURBRD-UHFFFAOYSA-N -1 1 311.235 1.014 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)c1nc(C)cs1 ZINC000357575807 410114018 /nfs/dbraw/zinc/11/40/18/410114018.db2.gz CBGVTAMMCRCPGM-LURJTMIESA-N -1 1 310.335 1.152 20 0 DDADMM CCCCN(CCCC)C(=O)CNC(=O)c1ncccc1[O-] ZINC000343134931 410173448 /nfs/dbraw/zinc/17/34/48/410173448.db2.gz UWNJRZOTJSMYQP-UHFFFAOYSA-N -1 1 307.394 1.946 20 0 DDADMM CCOC1(C)CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000298549545 410287286 /nfs/dbraw/zinc/28/72/86/410287286.db2.gz XWJWBHYZJPKWLX-UYRXBGFRSA-N -1 1 317.393 1.765 20 0 DDADMM Cc1n[nH]c(C(F)F)c1[N-]S(=O)(=O)c1cnc2n1CCC2 ZINC000333037201 410322821 /nfs/dbraw/zinc/32/28/21/410322821.db2.gz IWEAMAWCZQLQFW-UHFFFAOYSA-N -1 1 317.321 1.599 20 0 DDADMM O=C1[C@@H](Sc2nc(C(F)F)cc(=O)[n-]2)CCN1C1CC1 ZINC000351880946 410314825 /nfs/dbraw/zinc/31/48/25/410314825.db2.gz JGTZAMDHEWZEMH-QMMMGPOBSA-N -1 1 301.318 1.975 20 0 DDADMM Cn1[n-]c(CN2CCC(Oc3ccccc3Cl)CC2)nc1=O ZINC000329695532 410416882 /nfs/dbraw/zinc/41/68/82/410416882.db2.gz XNZLEKOISUMFKL-UHFFFAOYSA-N -1 1 322.796 1.805 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000343392917 410417326 /nfs/dbraw/zinc/41/73/26/410417326.db2.gz QVQGAPOQAWOUQL-UTUOFQBUSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)CN2CCCC2=O)sc1C ZINC000333124916 410372050 /nfs/dbraw/zinc/37/20/50/410372050.db2.gz HRPRVFSLAOFVBR-QMMMGPOBSA-N -1 1 317.436 1.049 20 0 DDADMM C[S@@](=O)c1ccc(CNC2(c3nnn[n-]3)CCCC2)cc1 ZINC000351981585 410389056 /nfs/dbraw/zinc/38/90/56/410389056.db2.gz KYLMIYVNUSZLBD-OAQYLSRUSA-N -1 1 305.407 1.496 20 0 DDADMM O=C(N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1)C(F)(F)c1ccccc1 ZINC000298872034 410432669 /nfs/dbraw/zinc/43/26/69/410432669.db2.gz GVRQQBSMAJMWNP-SNVBAGLBSA-N -1 1 322.315 1.596 20 0 DDADMM CCN(Cc1nc(=O)n(C)[n-]1)Cc1ccc(OC)cc1OC ZINC000347387396 410516717 /nfs/dbraw/zinc/51/67/17/410516717.db2.gz CCHGFFIZXZOQHW-UHFFFAOYSA-N -1 1 306.366 1.148 20 0 DDADMM Cc1cc(=NC(=O)N2CCC(c3cnc[nH]3)CC2)[n-]nc1C ZINC000343629848 410583683 /nfs/dbraw/zinc/58/36/83/410583683.db2.gz WPKBHOXAVADTRS-UHFFFAOYSA-N -1 1 300.366 1.650 20 0 DDADMM C[C@H]1C[C@H](CCN2Cc3n[nH]c(=O)n3C[C@@H]2C(=O)[O-])C[C@@H](C)C1 ZINC000333618839 410588288 /nfs/dbraw/zinc/58/82/88/410588288.db2.gz TWXHYVQHRPUUQR-MROQNXINSA-N -1 1 322.409 1.715 20 0 DDADMM Cc1cccc(C[C@@H](CO)NC(=O)c2ncc(C)cc2[O-])c1 ZINC000347690012 410668584 /nfs/dbraw/zinc/66/85/84/410668584.db2.gz LXQWZIPRNIMQLA-AWEZNQCLSA-N -1 1 300.358 1.737 20 0 DDADMM CC(=O)NCC[C@@H]1CCC[N@@H+]([C@@H](C)c2nc(C)cc(=O)[n-]2)C1 ZINC000347637007 410640116 /nfs/dbraw/zinc/64/01/16/410640116.db2.gz HCZCULABMBQBDV-JSGCOSHPSA-N -1 1 306.410 1.790 20 0 DDADMM COc1ccc(C(=O)N=c2ccc([O-])n[nH]2)c(OCC(C)C)c1 ZINC000355886884 410718774 /nfs/dbraw/zinc/71/87/74/410718774.db2.gz TWIFXBCUFMRCPG-UHFFFAOYSA-N -1 1 317.345 1.900 20 0 DDADMM COc1ccc(C(=O)N=c2ccc(O)n[n-]2)c(OCC(C)C)c1 ZINC000355886884 410718781 /nfs/dbraw/zinc/71/87/81/410718781.db2.gz TWIFXBCUFMRCPG-UHFFFAOYSA-N -1 1 317.345 1.900 20 0 DDADMM CNC(=O)CCCCC(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000337570363 410868926 /nfs/dbraw/zinc/86/89/26/410868926.db2.gz CPHVWIOGFZXLHJ-UHFFFAOYSA-N -1 1 308.334 1.424 20 0 DDADMM COCCCCS(=O)(=O)[N-][C@H](C(=O)OC)c1ccsc1 ZINC000356248976 410920167 /nfs/dbraw/zinc/92/01/67/410920167.db2.gz OYDSFBWHXIZKBK-NSHDSACASA-N -1 1 321.420 1.308 20 0 DDADMM Cc1cn(-c2ccccc2)nc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000353377269 410950260 /nfs/dbraw/zinc/95/02/60/410950260.db2.gz DVRIWBKIUDABOT-UHFFFAOYSA-N -1 1 311.349 1.359 20 0 DDADMM O=S(=O)([N-]Cc1ccncc1)c1ccc(Br)o1 ZINC000353412876 410967997 /nfs/dbraw/zinc/96/79/97/410967997.db2.gz NJYKCOIFXXJSPM-UHFFFAOYSA-N -1 1 317.164 1.916 20 0 DDADMM Cc1cc(=O)[nH]cc1NS(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000353830166 411133923 /nfs/dbraw/zinc/13/39/23/411133923.db2.gz VBQWYKQDQSHOFE-UHFFFAOYSA-N -1 1 324.314 1.300 20 0 DDADMM C[C@@H]1CCC[C@H](CN=c2ccc(C(=O)NCCO)n[n-]2)[C@H]1C ZINC000360272399 411118141 /nfs/dbraw/zinc/11/81/41/411118141.db2.gz BZWLUBDDVCHFHT-FRRDWIJNSA-N -1 1 306.410 1.105 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)C(=O)CCc2nn[n-]n2)C1(CC)CC ZINC000631678200 422875892 /nfs/dbraw/zinc/87/58/92/422875892.db2.gz AXRRIUPKVLALFY-VXGBXAGGSA-N -1 1 309.414 1.575 20 0 DDADMM C[C@@H](CO[C@@H]1CCOC1)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631712223 422889560 /nfs/dbraw/zinc/88/95/60/422889560.db2.gz IQMKYZOAWXSBIO-CMPLNLGQSA-N -1 1 313.781 1.898 20 0 DDADMM Cc1cnc2cc(C(=O)NC3(c4nn[n-]n4)CCCC3)ccn12 ZINC000580568911 422943026 /nfs/dbraw/zinc/94/30/26/422943026.db2.gz UHSUMFIRSDMDDB-UHFFFAOYSA-N -1 1 311.349 1.355 20 0 DDADMM CC(CO)(CO)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000652352197 422972056 /nfs/dbraw/zinc/97/20/56/422972056.db2.gz RKVTWVZBPINNKN-UHFFFAOYSA-N -1 1 307.268 1.132 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](CO)CC(C)C ZINC000131712486 196192795 /nfs/dbraw/zinc/19/27/95/196192795.db2.gz YECTUIGVOLBNDL-LLVKDONJSA-N -1 1 309.410 1.513 20 0 DDADMM O=C(N=c1ccc([O-])n[nH]1)[C@H](C[C@@H]1CCCO1)C(F)(F)F ZINC000649935102 422997538 /nfs/dbraw/zinc/99/75/38/422997538.db2.gz ODGUDSBCJFDWHD-YUMQZZPRSA-N -1 1 305.256 1.290 20 0 DDADMM O=C(N=c1ccc(O)n[n-]1)[C@H](C[C@@H]1CCCO1)C(F)(F)F ZINC000649935102 422997544 /nfs/dbraw/zinc/99/75/44/422997544.db2.gz ODGUDSBCJFDWHD-YUMQZZPRSA-N -1 1 305.256 1.290 20 0 DDADMM O=S(=O)([N-]CCc1cn[nH]c1)c1cc(F)c(F)cc1F ZINC000647884719 423098389 /nfs/dbraw/zinc/09/83/89/423098389.db2.gz FRAIUHYDEXMKED-UHFFFAOYSA-N -1 1 305.281 1.348 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H](CO)C1)c1cc(F)c(F)cc1F ZINC000416636087 225013514 /nfs/dbraw/zinc/01/35/14/225013514.db2.gz KBWKTSSAVDPOJT-DTWKUNHWSA-N -1 1 323.336 1.933 20 0 DDADMM COCC1(C(=O)NCc2cc(=O)[n-]c(SC)n2)CCCC1 ZINC000640655400 423116371 /nfs/dbraw/zinc/11/63/71/423116371.db2.gz LSXHYANWQLNPMR-UHFFFAOYSA-N -1 1 311.407 1.727 20 0 DDADMM CSc1nc(CNC(=O)CCn2cc(C)cn2)cc(=O)[n-]1 ZINC000640659291 423119845 /nfs/dbraw/zinc/11/98/45/423119845.db2.gz WEXPBFZFZCEOIC-UHFFFAOYSA-N -1 1 307.379 1.116 20 0 DDADMM CCOCCOC[C@@H](O)CN=c1[n-]c(C(F)(F)F)cs1 ZINC000358058979 299060233 /nfs/dbraw/zinc/06/02/33/299060233.db2.gz QNAWATSSHPPHAY-QMMMGPOBSA-N -1 1 314.329 1.410 20 0 DDADMM CNC(=O)[C@@H]1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000643359721 423124101 /nfs/dbraw/zinc/12/41/01/423124101.db2.gz ISNUSJAUVRHRIN-SECBINFHSA-N -1 1 316.279 1.619 20 0 DDADMM O=S(=O)([N-]CC[C@@H]1CCOC1)c1ncccc1C(F)(F)F ZINC000645648670 423125922 /nfs/dbraw/zinc/12/59/22/423125922.db2.gz IQAKHKITGYJYJI-SECBINFHSA-N -1 1 324.324 1.805 20 0 DDADMM NC(=O)[C@H]1C[C@@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000652791984 423135579 /nfs/dbraw/zinc/13/55/79/423135579.db2.gz JDLYSRQUFROYFP-OCAPTIKFSA-N -1 1 302.252 1.405 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)Cc1ccon1)[C@H](C)CC ZINC000360744944 418505451 /nfs/dbraw/zinc/50/54/51/418505451.db2.gz KREKFVAPSHCRSO-MWLCHTKSSA-N -1 1 304.368 1.072 20 0 DDADMM Cn1cc(C(=O)C[C@H]2CCCN2C(=O)c2cncc([O-])c2)cn1 ZINC000366815821 418522061 /nfs/dbraw/zinc/52/20/61/418522061.db2.gz BCOXJXXUFPYQTD-CYBMUJFWSA-N -1 1 314.345 1.398 20 0 DDADMM CCOC(=O)c1cnc(C(C)=Cc2cn(C)nc2CC)[n-]c1=O ZINC000193265199 418529941 /nfs/dbraw/zinc/52/99/41/418529941.db2.gz MDMZTOKLBOEQBW-JXMROGBWSA-N -1 1 316.361 1.803 20 0 DDADMM COc1n[n-]c(=NC(=O)N2CCCC[C@H]2c2n[nH]c(C)n2)s1 ZINC000374684351 418555775 /nfs/dbraw/zinc/55/57/75/418555775.db2.gz FEKIGZXQZUXJRC-QMMMGPOBSA-N -1 1 323.382 1.154 20 0 DDADMM CCOC(=O)C1(F)CN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000367240190 418575749 /nfs/dbraw/zinc/57/57/49/418575749.db2.gz UDQFGGKQRUMPPP-UHFFFAOYSA-N -1 1 301.701 1.773 20 0 DDADMM CCc1ccc(C(=O)Nc2nc(CC(N)=O)cs2)c([O-])c1 ZINC000190203439 222070726 /nfs/dbraw/zinc/07/07/26/222070726.db2.gz WHHPIYWPTOAXIS-UHFFFAOYSA-N -1 1 305.359 1.691 20 0 DDADMM C[C@H]1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCS1 ZINC000191935453 222113413 /nfs/dbraw/zinc/11/34/13/222113413.db2.gz KJGURJIXEHQVQS-VIFPVBQESA-N -1 1 306.391 1.642 20 0 DDADMM FC(F)(F)c1nsc(=NC[C@H]2CN(C3CC3)CCO2)[n-]1 ZINC000367425351 418599870 /nfs/dbraw/zinc/59/98/70/418599870.db2.gz CZZKRSZFVFBMFX-QMMMGPOBSA-N -1 1 308.329 1.254 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(c2cncc3nncn32)CC1 ZINC000375494241 418648656 /nfs/dbraw/zinc/64/86/56/418648656.db2.gz FWOHOALRNUTPOB-UHFFFAOYSA-N -1 1 323.356 1.929 20 0 DDADMM Cc1cc(Cl)c(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1C ZINC000368004068 418672113 /nfs/dbraw/zinc/67/21/13/418672113.db2.gz CKVTWHVPZBEUQZ-LBPRGKRZSA-N -1 1 321.768 1.684 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC2(C1)CCCC2 ZINC000364459642 418777063 /nfs/dbraw/zinc/77/70/63/418777063.db2.gz BLUXHLHSHOEKIY-UHFFFAOYSA-N -1 1 314.389 1.997 20 0 DDADMM C[C@H](CO)C1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000650370541 423143395 /nfs/dbraw/zinc/14/33/95/423143395.db2.gz IKCVOKYXIMVOKT-LLVKDONJSA-N -1 1 315.373 1.404 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC1(C3CC3)CCOCC1)c2=O ZINC000364877614 418820884 /nfs/dbraw/zinc/82/08/84/418820884.db2.gz HIVVULCKFQKBRT-UHFFFAOYSA-N -1 1 316.361 1.363 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1C[C@H]1C1CCC1 ZINC000424903602 228330608 /nfs/dbraw/zinc/33/06/08/228330608.db2.gz FPNFSLXUWVULPM-RYUDHWBXSA-N -1 1 303.362 1.069 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)c2nncn2C)c(F)c1 ZINC000425140597 228371893 /nfs/dbraw/zinc/37/18/93/228371893.db2.gz GBDKZBSDYFZBGZ-QMMMGPOBSA-N -1 1 316.333 1.441 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](OC)c1ccc(O)cc1)c1nn[n-]n1 ZINC000426636108 419521623 /nfs/dbraw/zinc/52/16/23/419521623.db2.gz YRBVUYKXCZPDQS-RYUDHWBXSA-N -1 1 305.338 1.250 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(C(=O)OC)cc1)OC ZINC000421145970 419504359 /nfs/dbraw/zinc/50/43/59/419504359.db2.gz YKCJJTWNABHBJP-LBPRGKRZSA-N -1 1 301.364 1.640 20 0 DDADMM NC(=O)CCCC(=O)N=c1cc(-c2ccc(Cl)s2)[n-][nH]1 ZINC000426982097 419575292 /nfs/dbraw/zinc/57/52/92/419575292.db2.gz IIZYFQBNPQDUCY-UHFFFAOYSA-N -1 1 312.782 1.808 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](CO)Cc2ccc(F)cc2)c([O-])c1 ZINC000427139109 419598095 /nfs/dbraw/zinc/59/80/95/419598095.db2.gz CCCOLNAHEIAZPG-CYBMUJFWSA-N -1 1 318.348 1.816 20 0 DDADMM Cc1cnc(C(=O)N2CCNC(=O)[C@H]2c2cccs2)c([O-])c1 ZINC000427228452 419623320 /nfs/dbraw/zinc/62/33/20/419623320.db2.gz WFPKNJWCWSBBQK-CYBMUJFWSA-N -1 1 317.370 1.470 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](CO)Cc2ccccc2)c([O-])c1 ZINC000427462393 419666084 /nfs/dbraw/zinc/66/60/84/419666084.db2.gz LIOALEBRQLUKCG-CQSZACIVSA-N -1 1 300.358 1.677 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1ncccc1C(F)(F)F)OC ZINC000645718810 423153630 /nfs/dbraw/zinc/15/36/30/423153630.db2.gz JSCZKMXMIQLWGY-MRVPVSSYSA-N -1 1 312.313 1.804 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)c1ccc(CN2CCSCC2)cc1 ZINC000427990833 419783225 /nfs/dbraw/zinc/78/32/25/419783225.db2.gz WWCFIOUCZUAHAZ-LBPRGKRZSA-N -1 1 322.430 1.781 20 0 DDADMM Cn1nccc1-c1ccccc1/C=C/c1cc(=O)n2[n-]cnc2n1 ZINC000431150497 229010964 /nfs/dbraw/zinc/01/09/64/229010964.db2.gz LKTBHFQMOHORON-VOTSOKGWSA-N -1 1 318.340 1.989 20 0 DDADMM Cc1nc(C(C)(C)[N-]S(=O)(=O)c2ccc(F)cc2F)no1 ZINC000299619285 229268086 /nfs/dbraw/zinc/26/80/86/229268086.db2.gz ILTGYAJLNSPKDO-UHFFFAOYSA-N -1 1 317.317 1.870 20 0 DDADMM Cn1nccc1C1=CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000436841212 229532795 /nfs/dbraw/zinc/53/27/95/229532795.db2.gz SWAVMHYTAJQLMU-UHFFFAOYSA-N -1 1 311.341 1.624 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2c(C)c(C)n[nH]c2=O)c1 ZINC000436502833 420334111 /nfs/dbraw/zinc/33/41/11/420334111.db2.gz DUVVYFMMJPASML-UHFFFAOYSA-N -1 1 317.301 1.544 20 0 DDADMM C[C@H](CNC(=O)C(=O)c1ccc([O-])cc1)N1CCCCC1=O ZINC000436590630 420340987 /nfs/dbraw/zinc/34/09/87/420340987.db2.gz UACQZFREDCOHQY-LLVKDONJSA-N -1 1 304.346 1.092 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-]C[C@](C)(O)C(F)(F)F ZINC000420690957 420358356 /nfs/dbraw/zinc/35/83/56/420358356.db2.gz PURRSVHFJSMHIG-ZJUUUORDSA-N -1 1 321.361 1.280 20 0 DDADMM O=C(NCCOc1ccc(Cl)cn1)C(=O)c1ccc([O-])cc1 ZINC000436707023 420358796 /nfs/dbraw/zinc/35/87/96/420358796.db2.gz SPRFRRIBBQZRGU-UHFFFAOYSA-N -1 1 320.732 1.819 20 0 DDADMM CON1CCC([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CC1 ZINC000420747852 420377685 /nfs/dbraw/zinc/37/76/85/420377685.db2.gz IKOUCWMZBZOFJE-UHFFFAOYSA-N -1 1 324.324 1.408 20 0 DDADMM COc1ccc(Cl)cc1C(C)(C)NCc1nc(=O)n(C)[n-]1 ZINC000425512801 420404639 /nfs/dbraw/zinc/40/46/39/420404639.db2.gz NOMLZFFJAMGNDU-UHFFFAOYSA-N -1 1 310.785 1.795 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)sc2Cl)CN1 ZINC000444211778 230064602 /nfs/dbraw/zinc/06/46/02/230064602.db2.gz KZWJWFGKKYLJCB-SCSAIBSYSA-N -1 1 315.203 1.222 20 0 DDADMM Cc1cc(CC(=O)N=c2nc(Cc3ccccc3)[n-]s2)n[nH]1 ZINC000446051008 230220418 /nfs/dbraw/zinc/22/04/18/230220418.db2.gz FACWDEUKIMCOPI-UHFFFAOYSA-N -1 1 313.386 1.764 20 0 DDADMM Cc1cnc(C(=O)N2CCC3(CCN(C)C3=O)CC2)c([O-])c1 ZINC000446517291 230275223 /nfs/dbraw/zinc/27/52/23/230275223.db2.gz FODPLDQWTJDLFS-UHFFFAOYSA-N -1 1 303.362 1.180 20 0 DDADMM C[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)[C@H](C)[C@H](C)O1 ZINC000438405186 420454176 /nfs/dbraw/zinc/45/41/76/420454176.db2.gz VOISRKRDWAEXAY-BBBLOLIVSA-N -1 1 304.350 1.312 20 0 DDADMM CC[C@@H](O)[C@@H](CC)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000456725418 420534725 /nfs/dbraw/zinc/53/47/25/420534725.db2.gz QRZQKWWOAYRXAS-CHWSQXEVSA-N -1 1 320.393 1.260 20 0 DDADMM C[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1cccc(F)c1 ZINC000439145110 420482909 /nfs/dbraw/zinc/48/29/09/420482909.db2.gz CSJZRCRIKRXYIP-SSDOTTSWSA-N -1 1 312.326 1.090 20 0 DDADMM C[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1cccc(F)c1 ZINC000439145110 420482912 /nfs/dbraw/zinc/48/29/12/420482912.db2.gz CSJZRCRIKRXYIP-SSDOTTSWSA-N -1 1 312.326 1.090 20 0 DDADMM COCc1nc(=NC[C@@H](c2nc[nH]n2)c2ccccc2)s[n-]1 ZINC000450874919 420548455 /nfs/dbraw/zinc/54/84/55/420548455.db2.gz MNWGATKACPWJEP-LLVKDONJSA-N -1 1 316.390 1.469 20 0 DDADMM CCC[C@H]1CCCC[C@H]1CN=c1ccc(C(=O)NCCO)n[n-]1 ZINC000450907092 420557465 /nfs/dbraw/zinc/55/74/65/420557465.db2.gz JUVRRTUGZLQHAC-KBPBESRZSA-N -1 1 320.437 1.639 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CC[C@H](C(N)=O)C2)cc(Cl)c1[O-] ZINC000456881143 420560396 /nfs/dbraw/zinc/56/03/96/420560396.db2.gz DTCOISQHYIJIRY-IONNQARKSA-N -1 1 312.753 1.438 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1ccc2c(c1)CCO2)c1nn[n-]n1 ZINC000492553357 420590264 /nfs/dbraw/zinc/59/02/64/420590264.db2.gz RHOHEPXDEHQUKK-QZPNVGJNSA-N -1 1 313.361 1.410 20 0 DDADMM COc1cc(C(=O)N2CC[S@](=O)[C@@H](C)C2)cc(Cl)c1[O-] ZINC000442673062 420697866 /nfs/dbraw/zinc/69/78/66/420697866.db2.gz SAFJVYGOFPCOIW-FHZGZLOMSA-N -1 1 317.794 1.647 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CO)CC(C)C)o1 ZINC000443145516 420746017 /nfs/dbraw/zinc/74/60/17/420746017.db2.gz JILYBVIOBSPQQZ-SNVBAGLBSA-N -1 1 319.379 1.142 20 0 DDADMM CCc1nc(C(C)(C)[N-]S(=O)(=O)c2cnc(C)s2)no1 ZINC000443157141 420747612 /nfs/dbraw/zinc/74/76/12/420747612.db2.gz XQUVZDITBUMYAD-UHFFFAOYSA-N -1 1 316.408 1.611 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC(C)(C)C)c1cccs1 ZINC000442953202 420733339 /nfs/dbraw/zinc/73/33/39/420733339.db2.gz NNUZPTPFFWYNNO-SNVBAGLBSA-N -1 1 305.421 1.928 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc(C=CC(=O)Nc2nn[nH]c2C(N)=O)o1 ZINC000493680755 420914335 /nfs/dbraw/zinc/91/43/35/420914335.db2.gz GPEOKAXZNGUAIW-LVZKSCKVSA-N -1 1 301.306 1.272 20 0 DDADMM Cc1cc(C(=O)N=c2nc(C(F)(F)F)[n-][nH]2)nn1C(C)C ZINC000448772772 420893619 /nfs/dbraw/zinc/89/36/19/420893619.db2.gz SBYZWZMZRRKORH-UHFFFAOYSA-N -1 1 302.260 1.584 20 0 DDADMM CNC(=O)C[C@@H](C)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000456475164 421172783 /nfs/dbraw/zinc/17/27/83/421172783.db2.gz IHTMFYALXFKAIR-SSDOTTSWSA-N -1 1 300.742 1.309 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC12CCC(CC1)CC2 ZINC000456269725 421135714 /nfs/dbraw/zinc/13/57/14/421135714.db2.gz FODUSPDPEHMQBF-UHFFFAOYSA-N -1 1 303.362 1.356 20 0 DDADMM C[C@@H](Oc1ccc(C(=O)Nc2nnn[n-]2)cn1)c1ccccc1 ZINC000522930885 421228840 /nfs/dbraw/zinc/22/88/40/421228840.db2.gz QXEXLGMZYRAEAV-SNVBAGLBSA-N -1 1 310.317 1.987 20 0 DDADMM C[C@@H](Oc1ccc(C(=O)Nc2nn[n-]n2)cn1)c1ccccc1 ZINC000522930885 421228843 /nfs/dbraw/zinc/22/88/43/421228843.db2.gz QXEXLGMZYRAEAV-SNVBAGLBSA-N -1 1 310.317 1.987 20 0 DDADMM C[C@H]1CC[C@@]2(CCN(C(=O)c3cc(=O)n4nc[n-]c4n3)C2)C1 ZINC000545452046 421259576 /nfs/dbraw/zinc/25/95/76/421259576.db2.gz LZCMYKOYQFZFCE-ZUZCIYMTSA-N -1 1 301.350 1.070 20 0 DDADMM CC(C)Oc1cccnc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000547488949 421337115 /nfs/dbraw/zinc/33/71/15/421337115.db2.gz GAQGOFAKIWNJHO-JTQLQIEISA-N -1 1 304.354 1.258 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1coc2cc(F)ccc12)c1nn[n-]n1 ZINC000548138636 421405170 /nfs/dbraw/zinc/40/51/70/421405170.db2.gz ZNOQNBHBLOQRDO-VIFPVBQESA-N -1 1 317.324 1.890 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1nc2ccccc2o1)c1nn[n-]n1 ZINC000548138755 421405667 /nfs/dbraw/zinc/40/56/67/421405667.db2.gz ASCJMJPHCVTVEL-SNVBAGLBSA-N -1 1 314.349 1.536 20 0 DDADMM COc1cc(C)ccc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000548368037 421429127 /nfs/dbraw/zinc/42/91/27/421429127.db2.gz MQWPTWKQICEJFZ-UHFFFAOYSA-N -1 1 301.350 1.716 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)[C@@H]2CCOC2)n[n-]1 ZINC000548385626 421431755 /nfs/dbraw/zinc/43/17/55/421431755.db2.gz KBEAUFVACVKQIY-GHMZBOCLSA-N -1 1 324.381 1.221 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)[C@@H]2CCOC2)[n-]1 ZINC000548385626 421431757 /nfs/dbraw/zinc/43/17/57/421431757.db2.gz KBEAUFVACVKQIY-GHMZBOCLSA-N -1 1 324.381 1.221 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)[C@@H]2CCOC2)n1 ZINC000548385626 421431758 /nfs/dbraw/zinc/43/17/58/421431758.db2.gz KBEAUFVACVKQIY-GHMZBOCLSA-N -1 1 324.381 1.221 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3cc(F)ccc3[O-])[C@H]2C1 ZINC000563488188 421513772 /nfs/dbraw/zinc/51/37/72/421513772.db2.gz JBGTZULKMWZHOU-TUKIKUTGSA-N -1 1 320.364 1.908 20 0 DDADMM Cc1cc(F)cc([C@@]2(F)CCN(CC(=O)Nc3nnn[n-]3)C2)c1 ZINC000563516947 421515821 /nfs/dbraw/zinc/51/58/21/421515821.db2.gz QPLBFACOTKAJMU-CQSZACIVSA-N -1 1 322.319 1.156 20 0 DDADMM Cc1cc(F)cc([C@@]2(F)CCN(CC(=O)Nc3nn[n-]n3)C2)c1 ZINC000563516947 421515824 /nfs/dbraw/zinc/51/58/24/421515824.db2.gz QPLBFACOTKAJMU-CQSZACIVSA-N -1 1 322.319 1.156 20 0 DDADMM CC1(C2CC2)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000514898908 421463560 /nfs/dbraw/zinc/46/35/60/421463560.db2.gz JZBPAVALKYZQOK-UHFFFAOYSA-N -1 1 301.350 1.070 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000530191969 421585640 /nfs/dbraw/zinc/58/56/40/421585640.db2.gz BVZAEXGFXUMXFD-XHSYRHOGSA-N -1 1 301.346 1.465 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN(C(=O)C2CC2)CC1 ZINC000552586794 421591549 /nfs/dbraw/zinc/59/15/49/421591549.db2.gz NAAAEUAQGFBOLW-UHFFFAOYSA-N -1 1 310.300 1.365 20 0 DDADMM Cc1cnc(SCC(=O)N2CCO[C@H]3CCCC[C@H]32)[n-]c1=O ZINC000563636689 421530806 /nfs/dbraw/zinc/53/08/06/421530806.db2.gz NBOASNPVHDIUKQ-NEPJUHHUSA-N -1 1 323.418 1.753 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)c1nn[n-]n1)c1ccc2c(c1)OCO2 ZINC000551477968 421533816 /nfs/dbraw/zinc/53/38/16/421533816.db2.gz YUNQKKRZFRLUOJ-MRVPVSSYSA-N -1 1 303.322 1.083 20 0 DDADMM Cn1nc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1C1CC1 ZINC000570203186 421631476 /nfs/dbraw/zinc/63/14/76/421631476.db2.gz TWCYLSQLVLTRTP-SNVBAGLBSA-N -1 1 317.349 1.406 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)nc1 ZINC000518095441 421638670 /nfs/dbraw/zinc/63/86/70/421638670.db2.gz CBQYVEXHYJULKZ-LBPRGKRZSA-N -1 1 300.366 1.738 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CC[C@@H](CO)O1 ZINC000554473212 421641954 /nfs/dbraw/zinc/64/19/54/421641954.db2.gz PRBHEERGDYXCSV-MNOVXSKESA-N -1 1 323.393 1.058 20 0 DDADMM O=C(N1CCC[C@@H](c2nn[n-]n2)C1)C1(c2ccc(F)cc2)CC1 ZINC000533572712 421679212 /nfs/dbraw/zinc/67/92/12/421679212.db2.gz KOSZHZSKHKAZKX-LLVKDONJSA-N -1 1 315.352 1.777 20 0 DDADMM O=C(c1cnc(C2CC2)s1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538223598 421737862 /nfs/dbraw/zinc/73/78/62/421737862.db2.gz SVHFBNJPTRRMPQ-SECBINFHSA-N -1 1 304.379 1.553 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc2c([nH]1)CCCC2 ZINC000571987360 421758064 /nfs/dbraw/zinc/75/80/64/421758064.db2.gz SYOYGYDVTDFFNG-UHFFFAOYSA-N -1 1 300.366 1.606 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@H]2CN1Cc1ncn(-c2ccccc2)n1 ZINC000572653626 421809868 /nfs/dbraw/zinc/80/98/68/421809868.db2.gz OVEZYTZIMKVJLP-NOLJZWGESA-N -1 1 312.373 1.952 20 0 DDADMM NC(=O)c1csc(=NC[C@H]2CC[C@@H](c3ccccc3)O2)[n-]1 ZINC000572700482 421811844 /nfs/dbraw/zinc/81/18/44/421811844.db2.gz FKJYRWFCIGWYJG-YPMHNXCESA-N -1 1 303.387 1.996 20 0 DDADMM COC[C@](C)(O)CNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000558748087 421824758 /nfs/dbraw/zinc/82/47/58/421824758.db2.gz XKTPAFNANAKPQM-OAHLLOKOSA-N -1 1 324.764 1.721 20 0 DDADMM O=C(Cc1ccc(O)cc1Cl)NC1(c2nn[n-]n2)CCCC1 ZINC000540961151 421780230 /nfs/dbraw/zinc/78/02/30/421780230.db2.gz YEXDORDGGYKQCK-UHFFFAOYSA-N -1 1 321.768 1.687 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000630097181 421886576 /nfs/dbraw/zinc/88/65/76/421886576.db2.gz VUHCYUHQCRTZNV-RISCZKNCSA-N -1 1 301.346 1.400 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C(=O)c1cccc2n[nH]cc21 ZINC000630129030 421905842 /nfs/dbraw/zinc/90/58/42/421905842.db2.gz FDCGFJQTZBBXAU-VIFPVBQESA-N -1 1 305.359 1.595 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@@H]2CCCN2C2CC2)C(=O)[O-])cc1 ZINC000630140362 421914389 /nfs/dbraw/zinc/91/43/89/421914389.db2.gz WBAMXFMLEWQIJW-CVEARBPZSA-N -1 1 316.401 1.906 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccccc2F)CC1 ZINC000630221784 421974363 /nfs/dbraw/zinc/97/43/63/421974363.db2.gz BZKWSQCPZQLYLM-ZDUSSCGKSA-N -1 1 322.380 1.766 20 0 DDADMM O=C(NC1CC(N2CCOCC2)C1)c1c(F)ccc([O-])c1F ZINC000627941546 421962795 /nfs/dbraw/zinc/96/27/95/421962795.db2.gz JCYNDWPWTLUXHT-UHFFFAOYSA-N -1 1 312.316 1.263 20 0 DDADMM Cc1ccc(OCC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1 ZINC000630222074 421972037 /nfs/dbraw/zinc/97/20/37/421972037.db2.gz CRJIMVXMRPTSTH-AWEZNQCLSA-N -1 1 320.389 1.381 20 0 DDADMM Cc1cc(CNC(=O)c2[nH]nc3c2CCCC3)oc1C(=O)[O-] ZINC000630328279 422024969 /nfs/dbraw/zinc/02/49/69/422024969.db2.gz CNMYXXXQQUIUAY-UHFFFAOYSA-N -1 1 303.318 1.818 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)CC(C)(C)OC)c1 ZINC000632034171 422037824 /nfs/dbraw/zinc/03/78/24/422037824.db2.gz MYACBFWIEQVDMT-JTQLQIEISA-N -1 1 317.407 1.883 20 0 DDADMM Cc1cccc(C)c1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630224279 421975945 /nfs/dbraw/zinc/97/59/45/421975945.db2.gz MSNJIJXFWXRRNB-AWEZNQCLSA-N -1 1 304.390 1.924 20 0 DDADMM CC(C)c1ncncc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630225114 421976257 /nfs/dbraw/zinc/97/62/57/421976257.db2.gz DXSWHMOXIFCVFM-UHFFFAOYSA-N -1 1 320.393 1.221 20 0 DDADMM Cc1nc2ccc([N-]S(=O)(=O)[C@H](C)C(=O)N(C)C)cc2o1 ZINC000581548445 422000421 /nfs/dbraw/zinc/00/04/21/422000421.db2.gz IISVHJGQGOKAIV-MRVPVSSYSA-N -1 1 311.363 1.355 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1(c2ccc(F)cc2)CCC1 ZINC000635462419 422011382 /nfs/dbraw/zinc/01/13/82/422011382.db2.gz REFKJUVLBFCSCC-UHFFFAOYSA-N -1 1 317.368 1.900 20 0 DDADMM CCC(O)(CC)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632005446 422015895 /nfs/dbraw/zinc/01/58/95/422015895.db2.gz RYSQYLWGBWNZJJ-UHFFFAOYSA-N -1 1 303.380 1.230 20 0 DDADMM COCCC(C)(C)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632061036 422060625 /nfs/dbraw/zinc/06/06/25/422060625.db2.gz DLKHNSNMWJBKNU-UHFFFAOYSA-N -1 1 303.380 1.494 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)CN1C1CC1 ZINC000632066090 422064232 /nfs/dbraw/zinc/06/42/32/422064232.db2.gz SIZOJRSLQTZTKW-ZETCQYMHSA-N -1 1 320.317 1.259 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCSC(C)(C)C2)c1 ZINC000632081443 422072666 /nfs/dbraw/zinc/07/26/66/422072666.db2.gz HOQFTOVNVDVVFA-UHFFFAOYSA-N -1 1 317.432 1.917 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN2CC[C@@](C)(C(=O)[O-])C2)cc1 ZINC000574329873 422094048 /nfs/dbraw/zinc/09/40/48/422094048.db2.gz OTDUZOLBSJOGPO-MRXNPFEDSA-N -1 1 320.345 1.208 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(S(=O)(=O)c2cc(O)cc(F)c2)C1 ZINC000630444415 422107253 /nfs/dbraw/zinc/10/72/53/422107253.db2.gz YELUCYROWSJNNU-RKDXNWHRSA-N -1 1 317.338 1.263 20 0 DDADMM C[C@@H]1CN(S(=O)(=O)c2cc(O)cc(F)c2)CC[C@@H]1C(=O)[O-] ZINC000630447563 422108078 /nfs/dbraw/zinc/10/80/78/422108078.db2.gz SMLBDTHXNIDBHX-PELKAZGASA-N -1 1 317.338 1.263 20 0 DDADMM CN(C)C(=O)[C@@H]1CCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633682820 422046353 /nfs/dbraw/zinc/04/63/53/422046353.db2.gz RKPGKWBWBXICES-LBPRGKRZSA-N -1 1 310.781 1.667 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCO[C@H](C)C2)c1 ZINC000632175540 422138046 /nfs/dbraw/zinc/13/80/46/422138046.db2.gz WMVHILIGDLDPDP-MNOVXSKESA-N -1 1 315.391 1.494 20 0 DDADMM O=S(=O)([N-][C@H]1CCCOCC1)c1c[nH]nc1C(F)(F)F ZINC000632184994 422147856 /nfs/dbraw/zinc/14/78/56/422147856.db2.gz OTXMYMREMBSSKK-ZETCQYMHSA-N -1 1 313.301 1.276 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCC34CC4)nc2n1 ZINC000637418948 422202670 /nfs/dbraw/zinc/20/26/70/422202670.db2.gz NLPVQVCNOGXUDN-NSHDSACASA-N -1 1 315.377 1.889 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)c1ccc(OC(F)F)cc1 ZINC000630681674 422266159 /nfs/dbraw/zinc/26/61/59/422266159.db2.gz NZKUGDASUYECIH-MRVPVSSYSA-N -1 1 311.292 1.611 20 0 DDADMM CC(C)CN(CCc1ccc(F)cc1)C(=O)CCc1nn[n-]n1 ZINC000630706928 422280961 /nfs/dbraw/zinc/28/09/61/422280961.db2.gz ZCRNGBSWZLCEJT-UHFFFAOYSA-N -1 1 319.384 1.999 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C)ns1)[C@H](O)C(F)F ZINC000632390778 422300170 /nfs/dbraw/zinc/30/01/70/422300170.db2.gz KAWBXZIMOOUCQO-XPUUQOCRSA-N -1 1 300.352 1.134 20 0 DDADMM COc1ccc(C[C@@H](C)CNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635743788 422303724 /nfs/dbraw/zinc/30/37/24/422303724.db2.gz PFPLTYNFFDJIIG-GFCCVEGCSA-N -1 1 317.393 1.526 20 0 DDADMM CO[C@@H]1C[C@H]([N-]S(=O)(=O)c2cc(C)ns2)C12CCC2 ZINC000632440219 422346266 /nfs/dbraw/zinc/34/62/66/422346266.db2.gz VZYCVYRDEISHTH-VHSXEESVSA-N -1 1 302.421 1.687 20 0 DDADMM NC(=O)[C@@H]1CCCN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000628834381 422347456 /nfs/dbraw/zinc/34/74/56/422347456.db2.gz TWGNEZWCVHOWDJ-VIFPVBQESA-N -1 1 302.252 1.501 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C)c2c(C)n[nH]c2C)sn1 ZINC000632447049 422350448 /nfs/dbraw/zinc/35/04/48/422350448.db2.gz HPZVGOPGRBAGSY-VIFPVBQESA-N -1 1 300.409 1.831 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCO[C@@H](C2CCCCC2)C1 ZINC000635799256 422354447 /nfs/dbraw/zinc/35/44/47/422354447.db2.gz VJWXYFIWGMINBN-CYBMUJFWSA-N -1 1 307.398 1.330 20 0 DDADMM CCn1ccc(CNS(=O)(=O)c2cc(OC)ccc2[O-])n1 ZINC000632454250 422357298 /nfs/dbraw/zinc/35/72/98/422357298.db2.gz UZGJIRBQAHFLPP-UHFFFAOYSA-N -1 1 311.363 1.096 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC[C@@H]1C(=O)NC1CC1 ZINC000630756878 422317155 /nfs/dbraw/zinc/31/71/55/422317155.db2.gz NUVRUMISEXQDRH-CYBMUJFWSA-N -1 1 322.792 1.858 20 0 DDADMM CO[C@H](c1ccc(F)cc1)[C@@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635761147 422320548 /nfs/dbraw/zinc/32/05/48/422320548.db2.gz JSYWJWBABXZASO-BMIGLBTASA-N -1 1 321.356 1.554 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1C[C@H]1c1cccc(Cl)c1 ZINC000635886074 422442525 /nfs/dbraw/zinc/44/25/25/422442525.db2.gz AVCGWMCBZWZEJM-NWDGAFQWSA-N -1 1 305.769 1.848 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1C[C@H]1c1c(F)cccc1Cl ZINC000635888960 422444391 /nfs/dbraw/zinc/44/43/91/422444391.db2.gz ZEHMBPZXEONTAE-LDYMZIIASA-N -1 1 323.759 1.987 20 0 DDADMM COc1cc(OC)cc(N(C)C(=O)CCCc2nn[n-]n2)c1 ZINC000635839350 422396745 /nfs/dbraw/zinc/39/67/45/422396745.db2.gz AJUNHAKPXSNNNA-UHFFFAOYSA-N -1 1 305.338 1.203 20 0 DDADMM CC1(C)[C@H](C(F)(F)F)CCN1C(=O)CCCc1nn[n-]n1 ZINC000635846934 422402866 /nfs/dbraw/zinc/40/28/66/422402866.db2.gz CGJZBVOGAPMHKP-MRVPVSSYSA-N -1 1 305.304 1.712 20 0 DDADMM CN(C(=O)CCc1nn[n-]n1)c1cccc(OC(F)(F)F)c1 ZINC000630922263 422415398 /nfs/dbraw/zinc/41/53/98/422415398.db2.gz LPVOZASWGVWUCO-UHFFFAOYSA-N -1 1 315.255 1.694 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCO[C@@H](c2ccccc2Cl)C1 ZINC000630924811 422418591 /nfs/dbraw/zinc/41/85/91/422418591.db2.gz WBOOHLNRAUNYCF-GFCCVEGCSA-N -1 1 321.768 1.386 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCN(C2CC2)c2ccc(F)cc21 ZINC000632547881 422427931 /nfs/dbraw/zinc/42/79/31/422427931.db2.gz YJIYKBONAUIDIC-UHFFFAOYSA-N -1 1 316.340 1.287 20 0 DDADMM O=C(N[C@@H]1CCO[C@H]1c1ccc(=O)[nH]c1)c1ccc([O-])c(F)c1 ZINC000628987682 422430943 /nfs/dbraw/zinc/43/09/43/422430943.db2.gz CNRBOBFGSJHCLL-DOMZBBRYSA-N -1 1 318.304 1.892 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(=O)C[C@H]1c1ccccc1 ZINC000635951123 422504584 /nfs/dbraw/zinc/50/45/84/422504584.db2.gz WIHKVJSAXGJTMI-AWEZNQCLSA-N -1 1 313.361 1.455 20 0 DDADMM Cc1nnc(CCNC(=O)Cc2ccc([O-])c(Cl)c2)n1C ZINC000632739166 422551893 /nfs/dbraw/zinc/55/18/93/422551893.db2.gz HGUSBNVMNPYZMR-UHFFFAOYSA-N -1 1 308.769 1.384 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCCn3nccc32)c1 ZINC000632787922 422578926 /nfs/dbraw/zinc/57/89/26/422578926.db2.gz APCCDMHQWFFOPK-NSHDSACASA-N -1 1 323.374 1.411 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1cnn(CC2CC2)c1)C1CC1 ZINC000629291011 422585490 /nfs/dbraw/zinc/58/54/90/422585490.db2.gz WXKOKCBLJOGMPM-CQSZACIVSA-N -1 1 313.423 1.850 20 0 DDADMM O=C([O-])[C@@H]1CCN(Cc2cn(CC3CC3)nn2)[C@H]2CCC[C@@H]21 ZINC000629375215 422635923 /nfs/dbraw/zinc/63/59/23/422635923.db2.gz YIIPLFNPRSFZIH-KFWWJZLASA-N -1 1 304.394 1.763 20 0 DDADMM C[C@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1ccncc1 ZINC000632882353 422641057 /nfs/dbraw/zinc/64/10/57/422641057.db2.gz ZENXEYDOXMIKPE-JTQLQIEISA-N -1 1 302.334 1.680 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2c(C)nn(C)c2C)c(F)c1 ZINC000634794854 422651124 /nfs/dbraw/zinc/65/11/24/422651124.db2.gz UVZLMPFFVRNMTQ-UHFFFAOYSA-N -1 1 313.354 1.985 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn2c1CCCC2)C(C)C ZINC000634796644 422651592 /nfs/dbraw/zinc/65/15/92/422651592.db2.gz ZXEHWLNPOJUFAJ-CYBMUJFWSA-N -1 1 301.412 1.632 20 0 DDADMM O=S(=O)(CCOCC1CCC1)[N-]c1cnn2c1CCCC2 ZINC000634796572 422652126 /nfs/dbraw/zinc/65/21/26/422652126.db2.gz WSDVKTPJIHDHPC-UHFFFAOYSA-N -1 1 313.423 1.778 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCSC[C@H]1c1ccccc1 ZINC000631324640 422686932 /nfs/dbraw/zinc/68/69/32/422686932.db2.gz PWBMGGNBXXWTPS-LBPRGKRZSA-N -1 1 303.391 1.449 20 0 DDADMM Cc1ncc(C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)o1 ZINC000645755089 423173532 /nfs/dbraw/zinc/17/35/32/423173532.db2.gz JJCIQGBVDMFZKS-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM CCc1ccc(C(=O)N2CCN(Cc3nnc[nH]3)CC2)c(O)c1 ZINC000652900169 423202411 /nfs/dbraw/zinc/20/24/11/423202411.db2.gz DAWZBVYNEPMTLO-UHFFFAOYSA-N -1 1 315.377 1.031 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CO[C@H](C)C2)c(F)c1 ZINC000645861123 423218376 /nfs/dbraw/zinc/21/83/76/423218376.db2.gz YYDUUOQRPRXHHL-SFYZADRCSA-N -1 1 307.318 1.429 20 0 DDADMM O=C([O-])[C@]1(C(=O)N2CCC(c3cnc[nH]3)CC2)CC=CCC1 ZINC000653307462 423433003 /nfs/dbraw/zinc/43/30/03/423433003.db2.gz NUIDMBZPYJFRSG-MRXNPFEDSA-N -1 1 303.362 1.927 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000646413399 423480163 /nfs/dbraw/zinc/48/01/63/423480163.db2.gz XHYWLKCWJWYYJL-LBPRGKRZSA-N -1 1 314.345 1.411 20 0 DDADMM Cn1[n-]c(CN2CCC(c3ccc4cccnc4n3)CC2)nc1=O ZINC000653806148 423616055 /nfs/dbraw/zinc/61/60/55/423616055.db2.gz KAVJCZBPYQNCSV-UHFFFAOYSA-N -1 1 324.388 1.431 20 0 DDADMM CCN1C[C@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)CC1=O ZINC000653832057 423623059 /nfs/dbraw/zinc/62/30/59/423623059.db2.gz SLWKIYMCVRCQME-SECBINFHSA-N -1 1 316.279 1.762 20 0 DDADMM c1nnn(-c2ccccc2)c1CNC1(c2nnn[n-]2)CCCC1 ZINC000651749142 423668741 /nfs/dbraw/zinc/66/87/41/423668741.db2.gz METRRAZLCIANOA-UHFFFAOYSA-N -1 1 310.365 1.340 20 0 DDADMM N=c1[n-]nc(C2CCN(C[C@@H]3COc4ccccc4O3)CC2)o1 ZINC000639725505 423692064 /nfs/dbraw/zinc/69/20/64/423692064.db2.gz YBYCUHUUPFTLOU-GFCCVEGCSA-N -1 1 316.361 1.502 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(CC(F)F)c1)C(C)C ZINC000647127377 423763645 /nfs/dbraw/zinc/76/36/45/423763645.db2.gz KZEABTUDTYVIMZ-JTQLQIEISA-N -1 1 311.354 1.561 20 0 DDADMM C[C@H](C(=O)N1CCCCC1)N1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639825738 423761529 /nfs/dbraw/zinc/76/15/29/423761529.db2.gz QGIPORZQYJDVQH-VXGBXAGGSA-N -1 1 307.398 1.063 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(-c2ccccn2)c1)C(C)C ZINC000647127254 423765999 /nfs/dbraw/zinc/76/59/99/423765999.db2.gz CCGFEEINJGEPSZ-ZDUSSCGKSA-N -1 1 324.406 1.680 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)CC[C@H]1CCc2ccccc21 ZINC000641632823 423783162 /nfs/dbraw/zinc/78/31/62/423783162.db2.gz QJTDTBWEKPUBFC-HIFRSBDPSA-N -1 1 323.414 1.384 20 0 DDADMM COCCC1CN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000644765017 423868842 /nfs/dbraw/zinc/86/88/42/423868842.db2.gz UXQYOUHFILBMDR-UHFFFAOYSA-N -1 1 318.377 1.089 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC000649465104 423931529 /nfs/dbraw/zinc/93/15/29/423931529.db2.gz OHVBABRPXSKHGL-ZIAGYGMSSA-N -1 1 317.389 1.406 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccnc1-n1cccn1)C(C)C ZINC000647265760 423928793 /nfs/dbraw/zinc/92/87/93/423928793.db2.gz ADLQZXDRDLHEED-CYBMUJFWSA-N -1 1 324.406 1.680 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644884439 424010674 /nfs/dbraw/zinc/01/06/74/424010674.db2.gz ZHUFXIKOVDKAAL-GZMMTYOYSA-N -1 1 319.365 1.625 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1cccc(F)c1F)[C@H]1CCOC1 ZINC000657047520 424036427 /nfs/dbraw/zinc/03/64/27/424036427.db2.gz QXXQUKKKMKLOQX-GXSJLCMTSA-N -1 1 321.345 1.295 20 0 DDADMM O=C(NCc1nc([C@H]2CCCO2)n[nH]1)c1ccc([O-])cc1F ZINC000655232800 424322227 /nfs/dbraw/zinc/32/22/27/424322227.db2.gz YZJWCKAQPUEKHQ-LLVKDONJSA-N -1 1 306.297 1.431 20 0 DDADMM COCCN(C(=O)N=c1[n-]sc2ccccc21)[C@H]1CCOC1 ZINC000640338265 424358583 /nfs/dbraw/zinc/35/85/83/424358583.db2.gz AKZSECQUSJRKGE-NSHDSACASA-N -1 1 321.402 1.987 20 0 DDADMM COC[C@@]1(CO)CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640337985 424358692 /nfs/dbraw/zinc/35/86/92/424358692.db2.gz RAOASTGBBSOACG-HNNXBMFYSA-N -1 1 321.402 1.581 20 0 DDADMM C[C@H]1C(=O)N(C)CCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640339547 424358923 /nfs/dbraw/zinc/35/89/23/424358923.db2.gz RZWBGXYTMAYTNF-VIFPVBQESA-N -1 1 304.375 1.413 20 0 DDADMM C[C@H]1CN([C@@H]2CCN(CC(=O)[O-])C2=O)CCc2ccc(F)cc21 ZINC000662215730 424483337 /nfs/dbraw/zinc/48/33/37/424483337.db2.gz VLODOCBKKKRLNP-XHDPSFHLSA-N -1 1 320.364 1.473 20 0 DDADMM C[C@@H](c1nc(C(C)(C)C)no1)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000662219837 424485773 /nfs/dbraw/zinc/48/57/73/424485773.db2.gz LOBPFQZQAIYKMV-FEUHOPSXSA-N -1 1 309.366 1.461 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2C(=O)NCC2(C)C)c(F)c1 ZINC000660014968 424460691 /nfs/dbraw/zinc/46/06/91/424460691.db2.gz PGDJITIHSZUGRN-NSHDSACASA-N -1 1 318.345 1.076 20 0 DDADMM COc1ccccc1C[C@H](C)N(C)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662207093 424472426 /nfs/dbraw/zinc/47/24/26/424472426.db2.gz UZLNHMSVXKGWQX-GXTWGEPZSA-N -1 1 320.389 1.244 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)c2ccc(C(=O)[O-])cn2)CC1 ZINC000655559479 424565351 /nfs/dbraw/zinc/56/53/51/424565351.db2.gz ZUJPXNQNNUPUBV-UHFFFAOYSA-N -1 1 305.378 1.726 20 0 DDADMM Nc1nc(-n2cccn2)cc(N2CCC[C@@H](CCC(=O)[O-])C2)n1 ZINC000662887611 424798275 /nfs/dbraw/zinc/79/82/75/424798275.db2.gz KSWLANLYGCKFGP-NSHDSACASA-N -1 1 316.365 1.326 20 0 DDADMM C[C@H]1C[C@H](CCNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000345537846 272276391 /nfs/dbraw/zinc/27/63/91/272276391.db2.gz CURXENUHFRLBLD-WDEREUQCSA-N -1 1 318.377 1.609 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1C[C@@H]1C(F)F ZINC000345808553 272340099 /nfs/dbraw/zinc/34/00/99/272340099.db2.gz NUUDGBDWXQWJKO-WDEREUQCSA-N -1 1 324.331 1.754 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccncc2)o1 ZINC000346682322 272517155 /nfs/dbraw/zinc/51/71/55/272517155.db2.gz NGVBNEVEADFEGV-UHFFFAOYSA-N -1 1 323.374 1.426 20 0 DDADMM COc1cc(C(=O)[N-]c2nc(-c3nccn3C)cs2)on1 ZINC000153680109 277802291 /nfs/dbraw/zinc/80/22/91/277802291.db2.gz QSRZFTRNWALWIC-UHFFFAOYSA-N -1 1 305.319 1.793 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)c3cc4c(s3)CCOC4)ccnc1-2 ZINC000283254699 279300406 /nfs/dbraw/zinc/30/04/06/279300406.db2.gz RJYLVSCZDIOKKH-WQRHYEAKSA-N -1 1 314.370 1.728 20 0 DDADMM C[C@H]1C[C@@H](NS(=O)(=O)c2cc(F)cc(F)c2)C[N@@H+]1C1CC1 ZINC000126278453 281233047 /nfs/dbraw/zinc/23/30/47/281233047.db2.gz ZFTFGHINHNCETJ-JOYOIKCWSA-N -1 1 316.373 1.868 20 0 DDADMM C[C@H]1CO[C@H](CO)CN1C(=O)c1ccc2ccccc2c1[O-] ZINC000129258984 281338410 /nfs/dbraw/zinc/33/84/10/281338410.db2.gz QGLZKKZOQXLKBB-AAEUAGOBSA-N -1 1 301.342 1.767 20 0 DDADMM CN(C)C(=O)c1ccnc(NC(=O)c2cc(F)ccc2[O-])c1 ZINC000163215514 281812277 /nfs/dbraw/zinc/81/22/77/281812277.db2.gz QMZSUVOKBPQRFI-UHFFFAOYSA-N -1 1 303.293 1.880 20 0 DDADMM C[C@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000293594430 299874397 /nfs/dbraw/zinc/87/43/97/299874397.db2.gz URFBGSDDSQMPII-YUMQZZPRSA-N -1 1 311.329 1.906 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC[C@@H]1CCCCO1 ZINC000572392824 304523012 /nfs/dbraw/zinc/52/30/12/304523012.db2.gz NWTOWJMZZQVWPF-JTQLQIEISA-N -1 1 311.407 1.902 20 0 DDADMM C[C@H]1C[NH+](C(C)(C)CNC(=O)c2csc(=O)[nH]2)C[C@H](C)O1 ZINC000332938810 298299661 /nfs/dbraw/zinc/29/96/61/298299661.db2.gz PIBUGXNRAHDMLU-UWVGGRQHSA-N -1 1 313.423 1.466 20 0 DDADMM CCC(C)(C)[C@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353982196 298329024 /nfs/dbraw/zinc/32/90/24/298329024.db2.gz FGFWMLYKAFOUCB-LLVKDONJSA-N -1 1 303.366 1.458 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2cc(C(C)C)[nH]n2)cn1 ZINC000354214921 298367576 /nfs/dbraw/zinc/36/75/76/298367576.db2.gz ALWDPGMZOXWKDK-UHFFFAOYSA-N -1 1 324.362 1.516 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@@H]1CCOC1)c1c(F)cccc1Cl ZINC000362134640 300012974 /nfs/dbraw/zinc/01/29/74/300012974.db2.gz PGLFTWUKHVMZBA-LDYMZIIASA-N -1 1 323.773 1.155 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccc(F)cc2F)cn(C)c1=O ZINC000362862221 300163744 /nfs/dbraw/zinc/16/37/44/300163744.db2.gz ILWCSLGJDGQJSF-UHFFFAOYSA-N -1 1 314.313 1.773 20 0 DDADMM O=C(N[C@@H]1CCN(Cc2ccccc2)C1=O)c1ncccc1[O-] ZINC000363120371 300214977 /nfs/dbraw/zinc/21/49/77/300214977.db2.gz RRRKKHCKIJGLQP-CYBMUJFWSA-N -1 1 311.341 1.318 20 0 DDADMM C[C@@H]1[C@@H]([N-]C(=O)C(F)(F)F)CC(=O)N1Cc1ccccc1 ZINC000375993110 302020399 /nfs/dbraw/zinc/02/03/99/302020399.db2.gz HKOBRUCEQMLWID-KOLCDFICSA-N -1 1 300.280 1.855 20 0 DDADMM COCCN(C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C1CC1 ZINC000355517761 306806654 /nfs/dbraw/zinc/80/66/54/306806654.db2.gz HFXAYZFWQMGLDG-UHFFFAOYSA-N -1 1 318.377 1.232 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@@H]1CCCO1)c1cc(Cl)ccc1F ZINC000528483569 303032953 /nfs/dbraw/zinc/03/29/53/303032953.db2.gz GSEMYJORGYDAAY-MNOVXSKESA-N -1 1 323.773 1.297 20 0 DDADMM CC1(C)OC[C@@H]2C[C@@]21[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000528490760 303034354 /nfs/dbraw/zinc/03/43/54/303034354.db2.gz FAKRYFPYRKNKLV-WPPNPWJKSA-N -1 1 321.320 1.950 20 0 DDADMM CCC[C@@H](NC(=O)c1ncc2c(F)cccn21)c1nn[n-]n1 ZINC000528798326 303065159 /nfs/dbraw/zinc/06/51/59/303065159.db2.gz LFYSBYMBZNJDNV-SECBINFHSA-N -1 1 303.301 1.258 20 0 DDADMM O=C(CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)Nc1cccc(F)c1[O-] ZINC000530005618 303169684 /nfs/dbraw/zinc/16/96/84/303169684.db2.gz JOCJBIALLMMNRS-AOOOYVTPSA-N -1 1 320.320 1.645 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cnn(C2CC2)c1 ZINC000530262079 303188918 /nfs/dbraw/zinc/18/89/18/303188918.db2.gz KMMWWHNXXRCCKT-CYBMUJFWSA-N -1 1 309.333 1.251 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2sc(C)nc2C)on1 ZINC000530956555 303222285 /nfs/dbraw/zinc/22/22/85/303222285.db2.gz DBXVISZAYXNNTM-UHFFFAOYSA-N -1 1 301.393 1.789 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1ccc(C)nc1 ZINC000531531871 303248298 /nfs/dbraw/zinc/24/82/98/303248298.db2.gz MLZKPAWWDYSPCQ-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM COc1cc(C(=O)N(C)CC(=O)NC2CC2)cc(Cl)c1[O-] ZINC000357688496 306906669 /nfs/dbraw/zinc/90/66/69/306906669.db2.gz DJNRMOMCIVNKMQ-UHFFFAOYSA-N -1 1 312.753 1.405 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@H]1c1cccs1 ZINC000545372868 303493827 /nfs/dbraw/zinc/49/38/27/303493827.db2.gz YJKUXHLHLWIGRO-JTQLQIEISA-N -1 1 315.358 1.456 20 0 DDADMM O=C(COc1ccccc1Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366651968 307078647 /nfs/dbraw/zinc/07/86/47/307078647.db2.gz IZOGUCPESGDYOG-JTQLQIEISA-N -1 1 321.768 1.638 20 0 DDADMM CN(C)c1noc([C@H]2CCCN2C(=O)c2cncc([O-])c2)n1 ZINC000370576175 307130199 /nfs/dbraw/zinc/13/01/99/307130199.db2.gz QBQALNTXTJIHIZ-LLVKDONJSA-N -1 1 303.322 1.214 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCOCC(F)(F)C1 ZINC000377555263 307272855 /nfs/dbraw/zinc/27/28/55/307272855.db2.gz SBPIUIGQRARRHP-UHFFFAOYSA-N -1 1 309.272 1.031 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)[N-]c2ccn(Cc3ccccc3)n2)C1 ZINC000537566824 307671280 /nfs/dbraw/zinc/67/12/80/307671280.db2.gz JORBOHNODSTPFI-ZDUSSCGKSA-N -1 1 320.418 1.930 20 0 DDADMM CN(C)[C@@H](CNC(=O)CSCC(=O)[O-])c1cccc(F)c1 ZINC000556728520 307853738 /nfs/dbraw/zinc/85/37/38/307853738.db2.gz NVMLWFLYVPUQCD-LBPRGKRZSA-N -1 1 314.382 1.362 20 0 DDADMM Cc1ccc(-c2n[n-]c(S(=O)(=O)Cc3ccn(C)n3)n2)cc1 ZINC000566718610 308061295 /nfs/dbraw/zinc/06/12/95/308061295.db2.gz WTQNUJNZHJHUST-UHFFFAOYSA-N -1 1 317.374 1.488 20 0 DDADMM Cc1ccc(-c2nc(S(=O)(=O)Cc3ccn(C)n3)n[n-]2)cc1 ZINC000566718610 308061296 /nfs/dbraw/zinc/06/12/96/308061296.db2.gz WTQNUJNZHJHUST-UHFFFAOYSA-N -1 1 317.374 1.488 20 0 DDADMM CC(C)(C)N1CC[C@H](NC(=O)c2c(F)ccc([O-])c2F)C1=O ZINC000567952195 308102252 /nfs/dbraw/zinc/10/22/52/308102252.db2.gz YUPHHRNZHWZCPL-VIFPVBQESA-N -1 1 312.316 1.800 20 0 DDADMM CN1CCN(C(=O)c2cnc(C(=O)[O-])cn2)C2(CCCCC2)C1 ZINC000574210069 308264675 /nfs/dbraw/zinc/26/46/75/308264675.db2.gz MTZDLAVBCNTUSU-UHFFFAOYSA-N -1 1 318.377 1.265 20 0 DDADMM CCn1c2ccc(S(=O)(=O)[N-]c3cnc[nH]3)cc2oc1=O ZINC000577032581 308357136 /nfs/dbraw/zinc/35/71/36/308357136.db2.gz QTOOMIDVPNLJJK-UHFFFAOYSA-N -1 1 308.319 1.138 20 0 DDADMM C[C@H]1CCN(Cc2cn(-c3ccccc3)nn2)C[C@H]1C(=O)[O-] ZINC000577179130 308368547 /nfs/dbraw/zinc/36/85/47/308368547.db2.gz ZBKJGNCEGFXYAE-SWLSCSKDSA-N -1 1 300.362 1.810 20 0 DDADMM O=C(c1cc2ccncc2s1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000577339896 308379638 /nfs/dbraw/zinc/37/96/38/308379638.db2.gz KTIFWOCGASSZMQ-JTQLQIEISA-N -1 1 314.374 1.829 20 0 DDADMM COCC(COC)NC(=O)c1ccc(Br)c([O-])c1 ZINC000388449202 325772089 /nfs/dbraw/zinc/77/20/89/325772089.db2.gz NXVKDPULTRYALE-UHFFFAOYSA-N -1 1 318.167 1.546 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cncc(C(=O)OC)c2)c1C ZINC000582762760 337138167 /nfs/dbraw/zinc/13/81/67/337138167.db2.gz LRPFDLXLKODRGP-UHFFFAOYSA-N -1 1 324.362 1.263 20 0 DDADMM Cc1nc([C@H]2CN(C(=O)c3ccc(C(=O)[O-])cc3)CCO2)n[nH]1 ZINC000583204020 337269893 /nfs/dbraw/zinc/26/98/93/337269893.db2.gz BTKWHJZANUOSIX-GFCCVEGCSA-N -1 1 316.317 1.025 20 0 DDADMM C[C@@H](N=c1ccc(N(C)[C@@H]2COC[C@H]2O)n[n-]1)c1ccccc1 ZINC000583808564 337335845 /nfs/dbraw/zinc/33/58/45/337335845.db2.gz JKJKHKAZIXEVHS-BPLDGKMQSA-N -1 1 314.389 1.268 20 0 DDADMM O=C([O-])CCC1CCN(CC(=O)NCc2cccs2)CC1 ZINC000050926496 483931739 /nfs/dbraw/zinc/93/17/39/483931739.db2.gz DHUPEPPYRHHKMB-UHFFFAOYSA-N -1 1 310.419 1.941 20 0 DDADMM Cn1cccc1S(=O)(=O)[N-]c1ccc(OC2COC2)cc1 ZINC000414381450 484059778 /nfs/dbraw/zinc/05/97/78/484059778.db2.gz LZVBTHHGUIYVEQ-UHFFFAOYSA-N -1 1 308.359 1.604 20 0 DDADMM CC(C)CCO[C@@H]1CCN(Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC000177386834 484106518 /nfs/dbraw/zinc/10/65/18/484106518.db2.gz OUBHUHNXXJURRM-CQSZACIVSA-N -1 1 304.394 1.660 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)no1 ZINC000425304174 484117486 /nfs/dbraw/zinc/11/74/86/484117486.db2.gz PPLJHWLIXGNAGU-VIFPVBQESA-N -1 1 306.322 1.736 20 0 DDADMM CO[C@@H](C)c1noc(CN(C)C(=O)C(=O)c2ccc([O-])cc2)n1 ZINC000436941162 484129921 /nfs/dbraw/zinc/12/99/21/484129921.db2.gz OYEZTSAYZDAJFT-VIFPVBQESA-N -1 1 319.317 1.324 20 0 DDADMM CO[C@@H]1CC[C@@H]2OCCN(C(=O)C(=O)c3ccc([O-])cc3)[C@H]2C1 ZINC000436990368 484133009 /nfs/dbraw/zinc/13/30/09/484133009.db2.gz RBJLHSJIANNBOM-ILXRZTDVSA-N -1 1 319.357 1.370 20 0 DDADMM CC(C)(C)NC(=O)N1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000436987423 484133579 /nfs/dbraw/zinc/13/35/79/484133579.db2.gz IXMWZICHQDZYHK-UHFFFAOYSA-N -1 1 323.368 1.797 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccc(C)c1F)[C@@H]1CCOC1 ZINC000656626615 484249903 /nfs/dbraw/zinc/24/99/03/484249903.db2.gz MZBFWQPWAHHEGR-DGCLKSJQSA-N -1 1 317.382 1.927 20 0 DDADMM C[C@@H]1CN([C@@H]2CC(=O)N(c3cccc(C(=O)[O-])c3)C2=O)C[C@H]1C ZINC000530965964 484297416 /nfs/dbraw/zinc/29/74/16/484297416.db2.gz GQZZQCFUOOLCQY-JTNHKYCSSA-N -1 1 316.357 1.605 20 0 DDADMM CN(Cc1ccccc1F)C(=O)CN1CCC(C)(C(=O)[O-])CC1 ZINC000320487537 484402739 /nfs/dbraw/zinc/40/27/39/484402739.db2.gz VAABSAYCKYEVCY-UHFFFAOYSA-N -1 1 322.380 1.971 20 0 DDADMM O=C([O-])C1(CNc2nc(C(F)(F)F)nc3[nH]cnc32)CCC1 ZINC000662896839 484528418 /nfs/dbraw/zinc/52/84/18/484528418.db2.gz CQGBDNQCUUBUKL-UHFFFAOYSA-N -1 1 315.255 1.990 20 0 DDADMM CC(C)[C@H](C(=O)Nc1ccn(CC(=O)[O-])n1)N1CCCCC1 ZINC000663145410 484703336 /nfs/dbraw/zinc/70/33/36/484703336.db2.gz SVZYDHHDFAOMAH-CQSZACIVSA-N -1 1 308.382 1.417 20 0 DDADMM CC(C)(C)c1nsc([N-]C(=O)c2ccc3n[nH]nc3n2)n1 ZINC000667949191 484864159 /nfs/dbraw/zinc/86/41/59/484864159.db2.gz KUSGVJLRUXOTJN-UHFFFAOYSA-N -1 1 303.351 1.754 20 0 DDADMM C[C@H](CNC(=O)c1cc(=O)n(C)[n-]1)N1CCc2ccccc2C1 ZINC000666168373 485109913 /nfs/dbraw/zinc/10/99/13/485109913.db2.gz VZBBZIQPFKVUNE-GFCCVEGCSA-N -1 1 314.389 1.302 20 0 DDADMM CNc1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cn1 ZINC000672770995 485346101 /nfs/dbraw/zinc/34/61/01/485346101.db2.gz YBKZNBLPLQUUKV-ZDUSSCGKSA-N -1 1 309.333 1.156 20 0 DDADMM Cc1noc(C(F)F)c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000678755703 485676164 /nfs/dbraw/zinc/67/61/64/485676164.db2.gz YSWHEFSRWNIWCI-SSDOTTSWSA-N -1 1 312.280 1.454 20 0 DDADMM O=S(=O)(Cc1ccc(F)cc1F)[N-]C[C@@H](O)C(F)(F)F ZINC000682935388 485832264 /nfs/dbraw/zinc/83/22/64/485832264.db2.gz IHLAVBAGMLRLOH-SECBINFHSA-N -1 1 319.251 1.307 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCc3sccc3C2)CCCC1 ZINC000676230973 486153770 /nfs/dbraw/zinc/15/37/70/486153770.db2.gz ACSYAJHOOYPYBI-UHFFFAOYSA-N -1 1 308.403 1.620 20 0 DDADMM C[C@@H](NC(=O)CNC1(C(=O)[O-])CCCC1)c1ccc(F)cc1 ZINC000676230912 486153777 /nfs/dbraw/zinc/15/37/77/486153777.db2.gz WUCRNEYVUNOQCF-LLVKDONJSA-N -1 1 308.353 1.990 20 0 DDADMM CO[C@@H]1C[C@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C1(C)C ZINC000676416619 486221799 /nfs/dbraw/zinc/22/17/99/486221799.db2.gz UUZNNKRLMSDRDW-VHSXEESVSA-N -1 1 304.437 1.852 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2cccc(Cl)c2F)CCC1 ZINC000676643131 486278305 /nfs/dbraw/zinc/27/83/05/486278305.db2.gz RRDABISQTKSGBM-UHFFFAOYSA-N -1 1 306.746 1.165 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCCC[C@H]1CN1CCOCC1 ZINC000681045345 486329615 /nfs/dbraw/zinc/32/96/15/486329615.db2.gz QGEOMJPQMSVIKG-AWEZNQCLSA-N -1 1 322.380 1.858 20 0 DDADMM CN(CC(=O)NCc1ccccc1)C(=O)c1ccc([O-])c(F)c1 ZINC000681048840 486330899 /nfs/dbraw/zinc/33/08/99/486330899.db2.gz PVIXGVCWZSXXGT-UHFFFAOYSA-N -1 1 316.332 1.920 20 0 DDADMM CCc1nn(C)c(OC)c1CNC(=O)c1ccc([O-])c(F)c1 ZINC000681063878 486335703 /nfs/dbraw/zinc/33/57/03/486335703.db2.gz JVIRVMDQXOITIT-UHFFFAOYSA-N -1 1 307.325 1.766 20 0 DDADMM CC[C@H](O)CCC[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676864194 486349999 /nfs/dbraw/zinc/34/99/99/486349999.db2.gz XWBKNECKWIKHEF-VIFPVBQESA-N -1 1 323.361 1.803 20 0 DDADMM Cc1nc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c(C)s1 ZINC000676999887 486377828 /nfs/dbraw/zinc/37/78/28/486377828.db2.gz FTLVFYWGBPVPGA-LBPRGKRZSA-N -1 1 314.374 1.792 20 0 DDADMM O=C(N1CCC[C@@H](c2nn[n-]n2)C1)C1(c2ccccc2F)CC1 ZINC000677047747 486385409 /nfs/dbraw/zinc/38/54/09/486385409.db2.gz IOXMIVDTWQWTGO-LLVKDONJSA-N -1 1 315.352 1.777 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2ccn(C)n2)[nH]nc1C(F)(F)F ZINC000677234268 486421735 /nfs/dbraw/zinc/42/17/35/486421735.db2.gz ZBUFBZIHSRPVDJ-UHFFFAOYSA-N -1 1 309.273 1.271 20 0 DDADMM CC(C)NC(=O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684870036 486449365 /nfs/dbraw/zinc/44/93/65/486449365.db2.gz HZNDSTHZBNXBTC-UHFFFAOYSA-N -1 1 304.268 1.665 20 0 DDADMM CC(C)(CC(N)=O)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684877802 486450436 /nfs/dbraw/zinc/45/04/36/486450436.db2.gz YGDNWMWPKJQVBI-UHFFFAOYSA-N -1 1 304.268 1.795 20 0 DDADMM O=S(=O)([N-]c1ccn(-c2ncccc2F)n1)N1CCCC1 ZINC000677550816 486495165 /nfs/dbraw/zinc/49/51/65/486495165.db2.gz MXLVGBYDWHGOPY-UHFFFAOYSA-N -1 1 311.342 1.159 20 0 DDADMM CCc1c(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)ccn1C ZINC000685637348 486579803 /nfs/dbraw/zinc/57/98/03/486579803.db2.gz IMLWVPZUQUHXAN-AWEZNQCLSA-N -1 1 310.361 1.620 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCc3ccc(C)cc32)co1 ZINC000124691004 490644160 /nfs/dbraw/zinc/64/41/60/490644160.db2.gz UFEHAIGQESQQEV-UHFFFAOYSA-N -1 1 320.370 1.699 20 0 DDADMM C[C@@H](O)[C@@H]1CN(C(=O)c2cc3ccccc3cc2[O-])CCO1 ZINC000332538141 533816618 /nfs/dbraw/zinc/81/66/18/533816618.db2.gz WFOLODASNBCTJN-BZNIZROVSA-N -1 1 301.342 1.767 20 0 DDADMM C[C@H]1C[C@@H](CO)CCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000332522147 534088301 /nfs/dbraw/zinc/08/83/01/534088301.db2.gz MSNXGTKEZNFDCK-QWRGUYRKSA-N -1 1 301.346 1.156 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2cnn(C)c2C)sc1C ZINC000328877726 534518461 /nfs/dbraw/zinc/51/84/61/534518461.db2.gz ISWDUPBZKSTNNK-UHFFFAOYSA-N -1 1 300.409 1.280 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2cc(C)nc(C)n2)sc1C ZINC000328840869 534518514 /nfs/dbraw/zinc/51/85/14/534518514.db2.gz PDBWXISDXSGVGZ-UHFFFAOYSA-N -1 1 312.420 1.645 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1CC1(C)C ZINC000299669130 534646853 /nfs/dbraw/zinc/64/68/53/534646853.db2.gz OXCFIYFXPQQJMM-LBPRGKRZSA-N -1 1 302.378 1.899 20 0 DDADMM CN1C(=S)N=NC1CCCNC(=O)c1ccc([O-])cc1F ZINC000293805753 534704326 /nfs/dbraw/zinc/70/43/26/534704326.db2.gz AKMKMAPLRKPMAU-UHFFFAOYSA-N -1 1 310.354 1.311 20 0 DDADMM O=S(=O)([N-]CCC1(CO)CC1)c1c(F)cccc1Cl ZINC000451941489 534866492 /nfs/dbraw/zinc/86/64/92/534866492.db2.gz YYLRHJAQCIXSMA-UHFFFAOYSA-N -1 1 307.774 1.920 20 0 DDADMM C[C@@](NC(=O)c1ncccc1[O-])(C(N)=O)c1cccc(Cl)c1 ZINC000153953910 525756153 /nfs/dbraw/zinc/75/61/53/525756153.db2.gz YFQRLWAFJAVTQI-HNNXBMFYSA-N -1 1 319.748 1.571 20 0 DDADMM CC(=O)Nc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1F ZINC000434250323 526938051 /nfs/dbraw/zinc/93/80/51/526938051.db2.gz QYJJMELQOATRGK-QMMMGPOBSA-N -1 1 320.328 1.173 20 0 DDADMM CC(=O)Nc1ccc(C)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000444290173 526949011 /nfs/dbraw/zinc/94/90/11/526949011.db2.gz HGGNIYMDFAWSOA-SNVBAGLBSA-N -1 1 316.365 1.342 20 0 DDADMM CC(C)(NC(=O)C=Cc1ccc(OC(F)F)cc1)c1nn[n-]n1 ZINC000492044035 527263600 /nfs/dbraw/zinc/26/36/00/527263600.db2.gz RXSDYUPWPJEXOW-YVMONPNESA-N -1 1 323.303 1.866 20 0 DDADMM CCN(C)C(=O)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425179436 527725114 /nfs/dbraw/zinc/72/51/14/527725114.db2.gz UQMGFXZITFWTIB-UHFFFAOYSA-N -1 1 306.334 1.030 20 0 DDADMM CC(C)OC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CCCCO1)C(C)C ZINC000451832770 527846258 /nfs/dbraw/zinc/84/62/58/527846258.db2.gz HAFBPXVXLCNVBY-OLZOCXBDSA-N -1 1 321.439 1.451 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1cccc2c1CCNC2=O ZINC000414400071 527995114 /nfs/dbraw/zinc/99/51/14/527995114.db2.gz UHBQSWPMDPZHOX-UHFFFAOYSA-N -1 1 312.391 1.139 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(-n2cncn2)cc1)c1nn[n-]n1 ZINC000434786891 528203739 /nfs/dbraw/zinc/20/37/39/528203739.db2.gz JBFVMTGIUNOFNX-LBPRGKRZSA-N -1 1 312.337 1.052 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@](C)(O)C(C)C)o1 ZINC000443301779 528244021 /nfs/dbraw/zinc/24/40/21/528244021.db2.gz BDPWJMUYKICYDE-CYBMUJFWSA-N -1 1 319.379 1.142 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cc(F)ccc1OC ZINC000295755447 528559956 /nfs/dbraw/zinc/55/99/56/528559956.db2.gz VGEBEYUQDFPFBP-UHFFFAOYSA-N -1 1 313.325 1.766 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc2n[nH]cc2c1 ZINC000295740693 528562249 /nfs/dbraw/zinc/56/22/49/528562249.db2.gz SOYIDXIUCAZAOX-UHFFFAOYSA-N -1 1 305.334 1.495 20 0 DDADMM CCC(C)(C)OCCNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000457335595 528591402 /nfs/dbraw/zinc/59/14/02/528591402.db2.gz BVBZZQJLLSTDJA-UHFFFAOYSA-N -1 1 318.395 1.123 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cnc(C)s1)[C@@H](O)C(F)F ZINC000295489315 529198275 /nfs/dbraw/zinc/19/82/75/529198275.db2.gz AXACCYSINZDMDK-HTRCEHHLSA-N -1 1 300.352 1.134 20 0 DDADMM CC[C@H](CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1)C(C)C ZINC000330991267 529303086 /nfs/dbraw/zinc/30/30/86/529303086.db2.gz MYIJSLBSNVKKLT-LLVKDONJSA-N -1 1 311.455 1.672 20 0 DDADMM CCSCC[C@H](C)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821453591 599547753 /nfs/dbraw/zinc/54/77/53/599547753.db2.gz AYCUQBBBPIHJSI-JTQLQIEISA-N -1 1 320.422 1.865 20 0 DDADMM CCSCC[C@H](C)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821453591 599547754 /nfs/dbraw/zinc/54/77/54/599547754.db2.gz AYCUQBBBPIHJSI-JTQLQIEISA-N -1 1 320.422 1.865 20 0 DDADMM C[C@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)CCS1 ZINC000736290952 598964829 /nfs/dbraw/zinc/96/48/29/598964829.db2.gz SFCTWNLWGWMAID-VIFPVBQESA-N -1 1 313.390 1.752 20 0 DDADMM C[C@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)CCS1 ZINC000736290952 598964831 /nfs/dbraw/zinc/96/48/31/598964831.db2.gz SFCTWNLWGWMAID-VIFPVBQESA-N -1 1 313.390 1.752 20 0 DDADMM C[C@@]1(CNc2c3ccccc3nnc2-c2nnn[n-]2)CCOC1 ZINC000736217402 598968761 /nfs/dbraw/zinc/96/87/61/598968761.db2.gz UVDAYAFTHHHWFZ-HNNXBMFYSA-N -1 1 311.349 1.648 20 0 DDADMM C[C@@]1(CNc2c3ccccc3nnc2-c2nn[n-]n2)CCOC1 ZINC000736217402 598968762 /nfs/dbraw/zinc/96/87/62/598968762.db2.gz UVDAYAFTHHHWFZ-HNNXBMFYSA-N -1 1 311.349 1.648 20 0 DDADMM O=C(NCCCc1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738076572 598980931 /nfs/dbraw/zinc/98/09/31/598980931.db2.gz UCOAYIPYNHCSJB-UHFFFAOYSA-N -1 1 308.345 1.624 20 0 DDADMM O=C(NCCCc1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738076572 598980933 /nfs/dbraw/zinc/98/09/33/598980933.db2.gz UCOAYIPYNHCSJB-UHFFFAOYSA-N -1 1 308.345 1.624 20 0 DDADMM O=C(NCCc1ccccc1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738089068 598984137 /nfs/dbraw/zinc/98/41/37/598984137.db2.gz TWDUCUSJGJNNQD-UHFFFAOYSA-N -1 1 312.308 1.373 20 0 DDADMM O=C(NCCc1ccccc1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738089068 598984140 /nfs/dbraw/zinc/98/41/40/598984140.db2.gz TWDUCUSJGJNNQD-UHFFFAOYSA-N -1 1 312.308 1.373 20 0 DDADMM O=C(NCCCC1CCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738055648 598988269 /nfs/dbraw/zinc/98/82/69/598988269.db2.gz OFSHMFUYELWTEK-UHFFFAOYSA-N -1 1 300.366 1.962 20 0 DDADMM O=C(NCCCC1CCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738055648 598988270 /nfs/dbraw/zinc/98/82/70/598988270.db2.gz OFSHMFUYELWTEK-UHFFFAOYSA-N -1 1 300.366 1.962 20 0 DDADMM O=C(N[C@@H]1CCc2ccc(F)cc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738035849 599004890 /nfs/dbraw/zinc/00/48/90/599004890.db2.gz NBQSWHSKJRHCBM-CYBMUJFWSA-N -1 1 324.319 1.818 20 0 DDADMM O=C(N[C@@H]1CCc2ccc(F)cc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738035849 599004891 /nfs/dbraw/zinc/00/48/91/599004891.db2.gz NBQSWHSKJRHCBM-CYBMUJFWSA-N -1 1 324.319 1.818 20 0 DDADMM CC(C)Cn1ccnc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735850314 599027914 /nfs/dbraw/zinc/02/79/14/599027914.db2.gz BCJONAYXZYTEMP-UHFFFAOYSA-N -1 1 312.337 1.367 20 0 DDADMM CC(C)Cn1ccnc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735850314 599027916 /nfs/dbraw/zinc/02/79/16/599027916.db2.gz BCJONAYXZYTEMP-UHFFFAOYSA-N -1 1 312.337 1.367 20 0 DDADMM C[C@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)N(C)CCC(=O)[O-] ZINC000817743692 597003816 /nfs/dbraw/zinc/00/38/16/597003816.db2.gz DGEMDVYOJQWZDU-MRVPVSSYSA-N -1 1 306.322 1.002 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CCc2ccccc2C1 ZINC000262296961 597006343 /nfs/dbraw/zinc/00/63/43/597006343.db2.gz ZZJABTXBSDRAIT-CYBMUJFWSA-N -1 1 316.401 1.756 20 0 DDADMM CC(C)(C)[C@@H]1OCCC[C@H]1CNc1nccnc1-c1nnn[n-]1 ZINC000735595497 599304831 /nfs/dbraw/zinc/30/48/31/599304831.db2.gz GCLCFHSHHSAUFU-CMPLNLGQSA-N -1 1 317.397 1.910 20 0 DDADMM CC(C)(C)[C@@H]1OCCC[C@H]1CNc1nccnc1-c1nn[n-]n1 ZINC000735595497 599304834 /nfs/dbraw/zinc/30/48/34/599304834.db2.gz GCLCFHSHHSAUFU-CMPLNLGQSA-N -1 1 317.397 1.910 20 0 DDADMM O=C([O-])[C@@H]1CCCN1C(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000819236525 597514323 /nfs/dbraw/zinc/51/43/23/597514323.db2.gz AVYHAXILUFALGN-JTQLQIEISA-N -1 1 319.342 1.313 20 0 DDADMM CO[C@H](c1ccccc1Cl)[C@@H](C)NCC(=O)NCC(=O)[O-] ZINC000820696391 597810248 /nfs/dbraw/zinc/81/02/48/597810248.db2.gz FBOUOGVKQMQTJT-OTYXRUKQSA-N -1 1 314.769 1.206 20 0 DDADMM CC(C)(CO)CCCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000819984419 607324010 /nfs/dbraw/zinc/32/40/10/607324010.db2.gz VMMXDENJYXIXNY-UHFFFAOYSA-N -1 1 309.395 1.457 20 0 DDADMM CC(C)(CO)CCCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000819984419 607324011 /nfs/dbraw/zinc/32/40/11/607324011.db2.gz VMMXDENJYXIXNY-UHFFFAOYSA-N -1 1 309.395 1.457 20 0 DDADMM CN(CC(=O)NC1(C(=O)[O-])CCCCC1)[C@H]1CCSC1 ZINC000797431912 598088919 /nfs/dbraw/zinc/08/89/19/598088919.db2.gz ZXAKLRGJRUHUHM-NSHDSACASA-N -1 1 300.424 1.327 20 0 DDADMM O=C([O-])c1cccc(C(=O)N[C@@H](c2nn[nH]n2)c2ccccc2)c1 ZINC000821548440 598153606 /nfs/dbraw/zinc/15/36/06/598153606.db2.gz TUQAPLWQROSGEU-CYBMUJFWSA-N -1 1 323.312 1.417 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)Nc1ccc(NC(C)=O)cc1)C(=O)[O-] ZINC000820465781 598187737 /nfs/dbraw/zinc/18/77/37/598187737.db2.gz SCUOJUGGQZJXRB-BONVTDFDSA-N -1 1 321.377 1.672 20 0 DDADMM C[C@H]1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC(C)(C)O1 ZINC000736289748 598276648 /nfs/dbraw/zinc/27/66/48/598276648.db2.gz NAFBGQPLXLXTEI-QMMMGPOBSA-N -1 1 308.773 1.919 20 0 DDADMM C[C@H]1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC(C)(C)O1 ZINC000736289748 598276649 /nfs/dbraw/zinc/27/66/49/598276649.db2.gz NAFBGQPLXLXTEI-QMMMGPOBSA-N -1 1 308.773 1.919 20 0 DDADMM CCCNc1nnc(Sc2nccnc2-c2nn[n-]n2)s1 ZINC000736488433 598340411 /nfs/dbraw/zinc/34/04/11/598340411.db2.gz PWBLOHWJHUVKTA-UHFFFAOYSA-N -1 1 321.395 1.481 20 0 DDADMM COc1ccc(CNc2nccnc2-c2nnn[n-]2)c(OC)c1 ZINC000737095678 598345614 /nfs/dbraw/zinc/34/56/14/598345614.db2.gz NEYCCONXQQEZLN-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM COc1ccc(CNc2nccnc2-c2nn[n-]n2)c(OC)c1 ZINC000737095678 598345616 /nfs/dbraw/zinc/34/56/16/598345616.db2.gz NEYCCONXQQEZLN-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM COc1ccc(OC)c(CNc2cnc(-c3nnn[n-]3)cn2)c1 ZINC000737110054 598385216 /nfs/dbraw/zinc/38/52/16/598385216.db2.gz RQHZENCJHQJEGP-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM COc1ccc(OC)c(CNc2cnc(-c3nn[n-]n3)cn2)c1 ZINC000737110054 598385218 /nfs/dbraw/zinc/38/52/18/598385218.db2.gz RQHZENCJHQJEGP-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM CCc1nn(CC2CCCC2)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736704947 598641417 /nfs/dbraw/zinc/64/14/17/598641417.db2.gz AYIPLJNOFFVPGU-UHFFFAOYSA-N -1 1 302.382 1.738 20 0 DDADMM CCc1nc(Cn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)no1 ZINC000736703629 598719186 /nfs/dbraw/zinc/71/91/86/598719186.db2.gz FHLGJMHGNGITQH-UHFFFAOYSA-N -1 1 323.316 1.175 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC(OCC3CC3)CC2)c1 ZINC000823742480 599359025 /nfs/dbraw/zinc/35/90/25/599359025.db2.gz HCKICXBKVOVEIE-UHFFFAOYSA-N -1 1 300.366 1.657 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC(OCC3CC3)CC2)c1 ZINC000823742480 599359026 /nfs/dbraw/zinc/35/90/26/599359026.db2.gz HCKICXBKVOVEIE-UHFFFAOYSA-N -1 1 300.366 1.657 20 0 DDADMM O=C1CCCCCN1CCCNc1cccc(-c2nnn[n-]2)n1 ZINC000738301628 599399192 /nfs/dbraw/zinc/39/91/92/599399192.db2.gz PWRXEUXFPJRADZ-UHFFFAOYSA-N -1 1 315.381 1.466 20 0 DDADMM O=C1CCCCCN1CCCNc1cccc(-c2nn[n-]n2)n1 ZINC000738301628 599399196 /nfs/dbraw/zinc/39/91/96/599399196.db2.gz PWRXEUXFPJRADZ-UHFFFAOYSA-N -1 1 315.381 1.466 20 0 DDADMM c1cc(NCc2ccc(N3CCCC3)nc2)nc(-c2nn[n-]n2)c1 ZINC000738418454 599405978 /nfs/dbraw/zinc/40/59/78/599405978.db2.gz IEXONCULJCUHMJ-UHFFFAOYSA-N -1 1 322.376 1.869 20 0 DDADMM c1cnc(N2CCC(Nc3cccc(-c4nnn[n-]4)n3)CC2)nc1 ZINC000738445359 599410892 /nfs/dbraw/zinc/41/08/92/599410892.db2.gz HVBCLLOFBIQBMJ-UHFFFAOYSA-N -1 1 323.364 1.133 20 0 DDADMM c1cnc(N2CCC(Nc3cccc(-c4nn[n-]n4)n3)CC2)nc1 ZINC000738445359 599410896 /nfs/dbraw/zinc/41/08/96/599410896.db2.gz HVBCLLOFBIQBMJ-UHFFFAOYSA-N -1 1 323.364 1.133 20 0 DDADMM COCCOCCCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821692916 599467244 /nfs/dbraw/zinc/46/72/44/599467244.db2.gz JGXOKNGODCOCIM-UHFFFAOYSA-N -1 1 312.761 1.380 20 0 DDADMM COCCOCCCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821692916 599467246 /nfs/dbraw/zinc/46/72/46/599467246.db2.gz JGXOKNGODCOCIM-UHFFFAOYSA-N -1 1 312.761 1.380 20 0 DDADMM NC(=O)c1ccc(CN[C@H](C(=O)[O-])c2cc(F)ccc2F)cc1 ZINC000739176539 599788546 /nfs/dbraw/zinc/78/85/46/599788546.db2.gz HRYOPCOUEIJAOP-AWEZNQCLSA-N -1 1 320.295 1.979 20 0 DDADMM CCN1CCN(C2CCN(Cc3ccc(C(=O)[O-])o3)CC2)C1=O ZINC000737116023 599917823 /nfs/dbraw/zinc/91/78/23/599917823.db2.gz RXCFZGGREGHMEO-UHFFFAOYSA-N -1 1 321.377 1.700 20 0 DDADMM C[C@H](CN(CN1C(=O)CC2(CCCCC2)C1=O)C1CC1)C(=O)[O-] ZINC000736577330 599933199 /nfs/dbraw/zinc/93/31/99/599933199.db2.gz AICYFVAKJGUIDU-GFCCVEGCSA-N -1 1 322.405 1.838 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN1CCCC[C@H]1[C@@H](C)O ZINC000738532836 600091727 /nfs/dbraw/zinc/09/17/27/600091727.db2.gz ODAPIIRQXDDJEV-DOMZBBRYSA-N -1 1 320.389 1.867 20 0 DDADMM COc1cccc([C@H](CNC(=O)[C@H]2C[C@H]2C(=O)[O-])N(C)C)c1 ZINC000315574884 600105917 /nfs/dbraw/zinc/10/59/17/600105917.db2.gz PXGBJDHMHRJLKD-MJBXVCDLSA-N -1 1 306.362 1.135 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@H]2C[C@H]2C(=O)[O-])N2CCCC2)o1 ZINC000738554850 600118599 /nfs/dbraw/zinc/11/85/99/600118599.db2.gz WOTPSIHAYIUBRX-XQQFMLRXSA-N -1 1 306.362 1.562 20 0 DDADMM O=C([O-])C1(NCC(=O)NCCOc2ccccc2)CCCCC1 ZINC000739307473 600149301 /nfs/dbraw/zinc/14/93/01/600149301.db2.gz AGVMULDNEAZIPE-UHFFFAOYSA-N -1 1 320.389 1.559 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000736327186 600151181 /nfs/dbraw/zinc/15/11/81/600151181.db2.gz CDNUYHHINIQEOM-LLVKDONJSA-N -1 1 309.366 1.579 20 0 DDADMM CCC[C@](C)(NCC(=O)Nc1ccc(NC(C)=O)cc1)C(=O)[O-] ZINC000736964406 600153030 /nfs/dbraw/zinc/15/30/30/600153030.db2.gz NUMVQAHZXBLCSC-INIZCTEOSA-N -1 1 321.377 1.817 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1C[C@@H](c2ccc(F)cc2)C[C@H]1C ZINC000736763615 600263911 /nfs/dbraw/zinc/26/39/11/600263911.db2.gz TWSHKYNKCJDIJE-MDZLAQPJSA-N -1 1 308.353 1.593 20 0 DDADMM C[C@@H](CN(C)[C@@H](C)C(=O)N1CC(=O)Nc2ccccc21)C(=O)[O-] ZINC000736575790 600377215 /nfs/dbraw/zinc/37/72/15/600377215.db2.gz SGMSNXMJKYIAKD-QWRGUYRKSA-N -1 1 319.361 1.013 20 0 DDADMM C[C@@H](CNC(=O)N1CC[C@H](C(=O)[O-])C1)[N@@H+](C)Cc1ccccc1 ZINC000390602165 600412440 /nfs/dbraw/zinc/41/24/40/600412440.db2.gz BNILXEILUBLLJI-ZFWWWQNUSA-N -1 1 319.405 1.623 20 0 DDADMM O=C([O-])[C@@H](c1ccc(F)cc1)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000739517691 600429838 /nfs/dbraw/zinc/42/98/38/600429838.db2.gz QIBAFBMQHYFFEO-JKSUJKDBSA-N -1 1 322.380 1.748 20 0 DDADMM COCc1cccc(S(=O)(=O)Nc2cnccc2C(=O)[O-])c1 ZINC000737834752 600514709 /nfs/dbraw/zinc/51/47/09/600514709.db2.gz HIQOVTXIHCUIBX-UHFFFAOYSA-N -1 1 322.342 1.727 20 0 DDADMM NC(=O)COc1ccc(CNCc2ccc(C(=O)[O-])o2)cc1 ZINC000739163042 600525181 /nfs/dbraw/zinc/52/51/81/600525181.db2.gz LRDZJNAMFIJMHB-UHFFFAOYSA-N -1 1 304.302 1.132 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000262699303 600586594 /nfs/dbraw/zinc/58/65/94/600586594.db2.gz YLCCIZXQDNTJKT-HIFRSBDPSA-N -1 1 304.390 1.830 20 0 DDADMM CCO[C@@H](C)c1nc(CN2CCN(CC(=O)[O-])CC2)cs1 ZINC000262630156 600838651 /nfs/dbraw/zinc/83/86/51/600838651.db2.gz GXNXVWMSIIYNGC-NSHDSACASA-N -1 1 313.423 1.443 20 0 DDADMM CC[C@H](O)CN1CCN(Cc2ccc(F)cc2C(=O)[O-])CC1 ZINC000829004176 600841160 /nfs/dbraw/zinc/84/11/60/600841160.db2.gz BQGOVXHCYZQCJC-AWEZNQCLSA-N -1 1 310.369 1.412 20 0 DDADMM Cn1cc(N2CCC[C@@H](N3CCC(CC(=O)[O-])CC3)C2=O)cn1 ZINC000738412361 600950488 /nfs/dbraw/zinc/95/04/88/600950488.db2.gz XRRBLNWQXSKYKQ-CQSZACIVSA-N -1 1 320.393 1.102 20 0 DDADMM O=C([O-])C1(NC(=O)CN2CCC[C@H]2CCCO)CCCCC1 ZINC000739284809 600955640 /nfs/dbraw/zinc/95/56/40/600955640.db2.gz UFLZCBAQUPFHQG-ZDUSSCGKSA-N -1 1 312.410 1.127 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)N1CC[C@H](CCO)C1 ZINC000738518096 600971057 /nfs/dbraw/zinc/97/10/57/600971057.db2.gz HVTXSHMTTJPYFO-QWHCGFSZSA-N -1 1 320.389 1.725 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCCC[C@@H]1[C@@H](O)CC)C(=O)[O-] ZINC000736878135 600978425 /nfs/dbraw/zinc/97/84/25/600978425.db2.gz LSNSPUGIVCKNNA-XFMPKHEZSA-N -1 1 314.426 1.227 20 0 DDADMM COCCN1CCN([C@H](C(=O)[O-])c2ccccc2F)C[C@H]1C ZINC000831745450 601000791 /nfs/dbraw/zinc/00/07/91/601000791.db2.gz QQNOUYNYWPRFFL-DOMZBBRYSA-N -1 1 310.369 1.604 20 0 DDADMM CC(=O)c1cccc(NC(=O)CN[C@@](C)(C(=O)[O-])C2CC2)c1 ZINC000825960940 601035094 /nfs/dbraw/zinc/03/50/94/601035094.db2.gz TYWVWKLNLZIHRL-MRXNPFEDSA-N -1 1 304.346 1.671 20 0 DDADMM Cc1ccccc1C[C@@H](C)NC(=O)CN[C@@](C)(C(=O)[O-])C1CC1 ZINC000832980581 601035945 /nfs/dbraw/zinc/03/59/45/601035945.db2.gz HJEFTTHMMSIIAX-FZKQIMNGSA-N -1 1 318.417 1.885 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C[C@H](C)c2cccnc2)CC1 ZINC000827226770 601105328 /nfs/dbraw/zinc/10/53/28/601105328.db2.gz PXRLTBCULZUDKM-UONOGXRCSA-N -1 1 319.405 1.583 20 0 DDADMM COc1cccc(NC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000832561889 601123592 /nfs/dbraw/zinc/12/35/92/601123592.db2.gz FAUVAZBZPXHISC-GFCCVEGCSA-N -1 1 321.377 1.708 20 0 DDADMM COC(=O)/C(C)=C\CN(CC(=O)[O-])Cc1ccc(F)c(F)c1 ZINC000831052852 601166234 /nfs/dbraw/zinc/16/62/34/601166234.db2.gz CPFHBSONMJQFID-YHYXMXQVSA-N -1 1 313.300 1.971 20 0 DDADMM COc1ccc(CN(C/C=C(/C)C(=O)[O-])CCO)c(OC)c1 ZINC000832313787 601167101 /nfs/dbraw/zinc/16/71/01/601167101.db2.gz BSXDMPJGCGJJPK-SDQBBNPISA-N -1 1 309.362 1.529 20 0 DDADMM C[C@](NCC(=O)Nc1ccc2c(c1)CCC2)(C(=O)[O-])C1CC1 ZINC000827727972 601175141 /nfs/dbraw/zinc/17/51/41/601175141.db2.gz NVKUJIFPZUMDRI-QGZVFWFLSA-N -1 1 302.374 1.957 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCN(C3CCOCC3)CC2)cc1 ZINC000821543439 601271708 /nfs/dbraw/zinc/27/17/08/601271708.db2.gz NSYSUEJTVQDYCL-UHFFFAOYSA-N -1 1 318.373 1.322 20 0 DDADMM O=C([O-])[C@]1(F)CCN(Cc2ccc(C(=O)N3CCCC3)cc2)C1 ZINC000833354438 601447963 /nfs/dbraw/zinc/44/79/63/601447963.db2.gz VHKIBRKPFJUACB-KRWDZBQOSA-N -1 1 320.364 1.921 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCc1ccn(-c2cccc(F)c2)n1 ZINC000831585376 601488677 /nfs/dbraw/zinc/48/86/77/601488677.db2.gz MSRDIZIRLBSYEI-MRXNPFEDSA-N -1 1 321.352 1.981 20 0 DDADMM Cc1cc(Cc2nc(COc3cccc(C(=O)[O-])c3)no2)[nH]n1 ZINC000832885672 601773387 /nfs/dbraw/zinc/77/33/87/601773387.db2.gz JAGAGWXNIBYGNG-UHFFFAOYSA-N -1 1 314.301 1.969 20 0 DDADMM C[C@H]1CN(C(=O)Nc2cnn(-c3ccncc3)c2)C[C@H]1C(=O)[O-] ZINC000828388073 601795522 /nfs/dbraw/zinc/79/55/22/601795522.db2.gz VJEBNNFPOKCAHD-GXFFZTMASA-N -1 1 315.333 1.452 20 0 DDADMM O=C([O-])c1sccc1CN1CCC[C@@H](N2CCNC2=O)C1 ZINC000833348942 601810032 /nfs/dbraw/zinc/81/00/32/601810032.db2.gz VWQIRGAMQXXJFQ-LLVKDONJSA-N -1 1 309.391 1.436 20 0 DDADMM CC(C)C[C@]1(C)CC(=O)N(CN2C[C@H](C(=O)[O-])[C@H](C)C2)C1=O ZINC000826827088 601816111 /nfs/dbraw/zinc/81/61/11/601816111.db2.gz YXXABKMCBXEBEW-BFQNTYOBSA-N -1 1 310.394 1.408 20 0 DDADMM Cc1ccccc1S(=O)(=O)Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826307368 607530835 /nfs/dbraw/zinc/53/08/35/607530835.db2.gz FQBJEHLBFQSCLS-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM Cc1ccccc1S(=O)(=O)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826307368 607530838 /nfs/dbraw/zinc/53/08/38/607530838.db2.gz FQBJEHLBFQSCLS-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM CC(C)C[C@H]1CCC(=O)N(CN2CC[C@](C)(C(=O)[O-])C2)C1=O ZINC000826832545 601888228 /nfs/dbraw/zinc/88/82/28/601888228.db2.gz SZHPCCSNJMMOMB-WBMJQRKESA-N -1 1 310.394 1.552 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000739584783 601898092 /nfs/dbraw/zinc/89/80/92/601898092.db2.gz RGPDCHGSZCFWMK-NSHDSACASA-N -1 1 318.333 1.884 20 0 DDADMM CCN(Cc1ccccc1)C(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000739443983 601952277 /nfs/dbraw/zinc/95/22/77/601952277.db2.gz MDHZYVKDHSILKJ-OAHLLOKOSA-N -1 1 319.405 1.625 20 0 DDADMM O=C([O-])NC[C@@H]1CC[N@H+](Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000740643135 601961587 /nfs/dbraw/zinc/96/15/87/601961587.db2.gz NGUZZCRYHLANBF-JTQLQIEISA-N -1 1 318.329 1.588 20 0 DDADMM O=C([O-])NC[C@@H]1CC[N@@H+](Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000740643135 601961589 /nfs/dbraw/zinc/96/15/89/601961589.db2.gz NGUZZCRYHLANBF-JTQLQIEISA-N -1 1 318.329 1.588 20 0 DDADMM O=C([O-])[C@H](OC(=O)CCc1nc[nH]n1)c1cccc(Cl)c1 ZINC000833104614 601977774 /nfs/dbraw/zinc/97/77/74/601977774.db2.gz PIMHWWFZXFWBGW-GFCCVEGCSA-N -1 1 309.709 1.760 20 0 DDADMM O=C([O-])COc1cccc(NC(=O)[C@@H]2CCCc3[nH]ncc32)c1 ZINC000833262186 602021145 /nfs/dbraw/zinc/02/11/45/602021145.db2.gz WLHPUVXLCKZWSJ-GFCCVEGCSA-N -1 1 315.329 1.932 20 0 DDADMM Cc1ccccc1-c1nnn(Cc2ccnc(-c3nnn[n-]3)c2)n1 ZINC000826305118 607539991 /nfs/dbraw/zinc/53/99/91/607539991.db2.gz LLXIWIQIVVJNQT-UHFFFAOYSA-N -1 1 319.332 1.272 20 0 DDADMM Cc1ccccc1-c1nnn(Cc2ccnc(-c3nn[n-]n3)c2)n1 ZINC000826305118 607539992 /nfs/dbraw/zinc/53/99/92/607539992.db2.gz LLXIWIQIVVJNQT-UHFFFAOYSA-N -1 1 319.332 1.272 20 0 DDADMM CC(C)N1CCN(C(=O)NC[C@H]2CCCN(C(=O)[O-])C2)CC1 ZINC000738855504 602167610 /nfs/dbraw/zinc/16/76/10/602167610.db2.gz WXQLKFFGOICDFA-CYBMUJFWSA-N -1 1 312.414 1.112 20 0 DDADMM C[C@H](NC(=O)OC(C)(C)C)[C@H]1CN(CCCC(=O)[O-])CCO1 ZINC000820129521 602235238 /nfs/dbraw/zinc/23/52/38/602235238.db2.gz LIYKGRZXMDVRQJ-NWDGAFQWSA-N -1 1 316.398 1.465 20 0 DDADMM CCO[C@@H](C)c1noc(CNC2(CC(=O)[O-])CCOCC2)n1 ZINC000829913056 602256142 /nfs/dbraw/zinc/25/61/42/602256142.db2.gz STKCMBLPENWXIT-JTQLQIEISA-N -1 1 313.354 1.281 20 0 DDADMM CC(C)c1cccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)n1 ZINC000827129185 602391399 /nfs/dbraw/zinc/39/13/99/602391399.db2.gz IADBJCLHDNSDMH-ZDUSSCGKSA-N -1 1 319.405 1.826 20 0 DDADMM COC(=O)c1ccc(CN2CCC([C@H](C)NC(=O)[O-])CC2)o1 ZINC000739785422 602447262 /nfs/dbraw/zinc/44/72/62/602447262.db2.gz JFFDZOUNBKVYAY-JTQLQIEISA-N -1 1 310.350 1.934 20 0 DDADMM CN(C[C@@H]1CCN(C[C@H](O)c2cc(F)ccc2F)C1)C(=O)[O-] ZINC000739665124 602529334 /nfs/dbraw/zinc/52/93/34/602529334.db2.gz LADBJWTTXXPYEJ-HZMBPMFUSA-N -1 1 314.332 1.930 20 0 DDADMM CC[C@H]1CCCCN1C(=O)CN1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000739265768 602536260 /nfs/dbraw/zinc/53/62/60/602536260.db2.gz DMSLFKNTSCDGHB-KGLIPLIRSA-N -1 1 311.426 1.709 20 0 DDADMM CCC[C@@](C)(NC(=O)[O-])C(=O)N[C@H]1CCCN(CCOC)C1 ZINC000739286547 602677022 /nfs/dbraw/zinc/67/70/22/602677022.db2.gz ACQBFLXEOKKUDW-SWLSCSKDSA-N -1 1 315.414 1.040 20 0 DDADMM Cc1cc(CN2CCN(C[C@H]3CCCN(C(=O)[O-])C3)CC2)no1 ZINC000740073227 602702351 /nfs/dbraw/zinc/70/23/51/602702351.db2.gz MJQMUQZOSKWRCY-CQSZACIVSA-N -1 1 322.409 1.491 20 0 DDADMM O=C([O-])NC[C@@H]1CCN([C@@H]2CCCN(c3ccccc3)C2=O)C1 ZINC000740642720 602783131 /nfs/dbraw/zinc/78/31/31/602783131.db2.gz PGZOHCGOAZDARZ-DZGCQCFKSA-N -1 1 317.389 1.772 20 0 DDADMM C[C@H]1CN(Cc2cc(=O)n3ccccc3n2)CC[C@H]1NC(=O)[O-] ZINC000739179327 602796790 /nfs/dbraw/zinc/79/67/90/602796790.db2.gz AXVVFSDZQOUUJT-WCQYABFASA-N -1 1 316.361 1.173 20 0 DDADMM CCCC[N@H+](C)CCNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739331074 602864791 /nfs/dbraw/zinc/86/47/91/602864791.db2.gz ULHCDHUIEPNJBQ-UHFFFAOYSA-N -1 1 309.366 1.944 20 0 DDADMM CCCC[N@@H+](C)CCNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739331074 602864793 /nfs/dbraw/zinc/86/47/93/602864793.db2.gz ULHCDHUIEPNJBQ-UHFFFAOYSA-N -1 1 309.366 1.944 20 0 DDADMM CCc1cc(CNC(=O)NC[C@@H]2CCCCN2C(=O)[O-])[nH]n1 ZINC000739540630 602886867 /nfs/dbraw/zinc/88/68/67/602886867.db2.gz XFESTQPTMHYRQZ-LBPRGKRZSA-N -1 1 309.370 1.304 20 0 DDADMM COc1ccccc1[C@@H](NC(=O)[O-])C(=O)NCc1cc(C)[nH]n1 ZINC000739968737 602909514 /nfs/dbraw/zinc/90/95/14/602909514.db2.gz VTMMHXKLRXBINB-CYBMUJFWSA-N -1 1 318.333 1.352 20 0 DDADMM Cc1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c(O)c1 ZINC000826282394 607594185 /nfs/dbraw/zinc/59/41/85/607594185.db2.gz MTWNQSFQVZIVGS-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM Cc1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c(O)c1 ZINC000826282394 607594189 /nfs/dbraw/zinc/59/41/89/607594189.db2.gz MTWNQSFQVZIVGS-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM Cc1c(N)cccc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826241264 607606472 /nfs/dbraw/zinc/60/64/72/607606472.db2.gz XDTIXSWQWMVHCM-UHFFFAOYSA-N -1 1 310.317 1.509 20 0 DDADMM Cc1c(N)cccc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826241264 607606474 /nfs/dbraw/zinc/60/64/74/607606474.db2.gz XDTIXSWQWMVHCM-UHFFFAOYSA-N -1 1 310.317 1.509 20 0 DDADMM CC(C)[C@@](C)(CNC(=O)[O-])NC(=O)Cc1[nH]nc2ccccc21 ZINC000738772473 603124592 /nfs/dbraw/zinc/12/45/92/603124592.db2.gz OBVZXICPTTTYTL-MRXNPFEDSA-N -1 1 318.377 1.904 20 0 DDADMM CC(C)[C@](C)(CNC(=O)[O-])NCc1nnc2n1CCCCC2 ZINC000738768209 603136485 /nfs/dbraw/zinc/13/64/85/603136485.db2.gz CEEVLIHRIUDEMR-HNNXBMFYSA-N -1 1 309.414 1.776 20 0 DDADMM CC(=O)c1cccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000824029426 607613466 /nfs/dbraw/zinc/61/34/66/607613466.db2.gz KNFHFCNYBZWDGP-UHFFFAOYSA-N -1 1 323.312 1.821 20 0 DDADMM CC(=O)c1cccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000824029426 607613467 /nfs/dbraw/zinc/61/34/67/607613467.db2.gz KNFHFCNYBZWDGP-UHFFFAOYSA-N -1 1 323.312 1.821 20 0 DDADMM C[C@H](CC(=O)NC[C@@H](c1ccco1)N1CCCC1)NC(=O)[O-] ZINC000824627989 603232736 /nfs/dbraw/zinc/23/27/36/603232736.db2.gz BWYLFYXYTNCAQW-NEPJUHHUSA-N -1 1 309.366 1.579 20 0 DDADMM C[C@H](NC(=O)[C@H](C)N(C)CCCNC(=O)[O-])c1ccccc1 ZINC000738952175 603253119 /nfs/dbraw/zinc/25/31/19/603253119.db2.gz MAOVGFAFIGOSSV-STQMWFEESA-N -1 1 307.394 1.842 20 0 DDADMM C[C@H](NC(=O)CN(C)CCCNC(=O)[O-])c1ccc(F)cc1 ZINC000738959214 603257496 /nfs/dbraw/zinc/25/74/96/603257496.db2.gz SPRNUMZZOQUHEE-NSHDSACASA-N -1 1 311.357 1.592 20 0 DDADMM C[C@H](CC(=O)Nc1ccccc1N1CCN(C)CC1)NC(=O)[O-] ZINC000824702138 603278706 /nfs/dbraw/zinc/27/87/06/603278706.db2.gz ASNPHSACSZGJDC-GFCCVEGCSA-N -1 1 320.393 1.423 20 0 DDADMM CCn1ccnc1CN1CCN(C[C@@H]2CCN(C(=O)[O-])C2)CC1 ZINC000739569729 603337967 /nfs/dbraw/zinc/33/79/67/603337967.db2.gz HPMJGVYJHLQEMH-AWEZNQCLSA-N -1 1 321.425 1.021 20 0 DDADMM Cc1ncc(CN2CCN(C[C@@H]3CCN(C(=O)[O-])C3)CC2)s1 ZINC000740232629 603338771 /nfs/dbraw/zinc/33/87/71/603338771.db2.gz QRGCYYNTDVQTOR-ZDUSSCGKSA-N -1 1 324.450 1.569 20 0 DDADMM O=C([O-])N1CCC(C(=O)NCc2nc3ccc(F)cc3[nH]2)CC1 ZINC000831730790 603551237 /nfs/dbraw/zinc/55/12/37/603551237.db2.gz MOQDYDBTGDGHPY-UHFFFAOYSA-N -1 1 320.324 1.708 20 0 DDADMM C[C@@H](CNC(=O)[O-])N(C)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000824967550 603555754 /nfs/dbraw/zinc/55/57/54/603555754.db2.gz SPPFYPVZUXAMQI-JTQLQIEISA-N -1 1 318.337 1.387 20 0 DDADMM CC(C)C[C@H]1CC(=O)N(CN2CC[C@H](CN(C)C(=O)[O-])C2)C1 ZINC000824224360 603588603 /nfs/dbraw/zinc/58/86/03/603588603.db2.gz JXYYOURMENAFEP-KGLIPLIRSA-N -1 1 311.426 1.770 20 0 DDADMM O=C([O-])NC[C@H](NC(=O)N1CCC(c2cnc[nH]2)CC1)C1CC1 ZINC000832420347 603638993 /nfs/dbraw/zinc/63/89/93/603638993.db2.gz BORMMGVGRHWUAI-ZDUSSCGKSA-N -1 1 321.381 1.345 20 0 DDADMM C[C@@H](C(=O)N(C)Cc1ccccc1)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823655757 603790427 /nfs/dbraw/zinc/79/04/27/603790427.db2.gz VKSZDTFDZGVFCO-ZFWWWQNUSA-N -1 1 319.405 1.718 20 0 DDADMM O=C([O-])N1CC[C@](F)(C(=O)Nc2ccn(-c3ccncc3)n2)C1 ZINC000831907921 603895327 /nfs/dbraw/zinc/89/53/27/603895327.db2.gz KRVIRWFPOXXFFG-CQSZACIVSA-N -1 1 319.296 1.298 20 0 DDADMM O=C([O-])N1CCN(CCN2CCCC2=O)C2(CCCCC2)C1 ZINC000832030931 603914151 /nfs/dbraw/zinc/91/41/51/603914151.db2.gz DAGFONRSIFSCDX-UHFFFAOYSA-N -1 1 309.410 1.607 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc(CNC(=O)[O-])o2)CN1C1CC1 ZINC000825852561 603934171 /nfs/dbraw/zinc/93/41/71/603934171.db2.gz OQBTUYFXGNACHZ-NXEZZACHSA-N -1 1 307.350 1.402 20 0 DDADMM C[N@@H+](Cc1nc(=O)c2sccc2[n-]1)C1CCN(C(=O)[O-])CC1 ZINC000828421966 603985039 /nfs/dbraw/zinc/98/50/39/603985039.db2.gz QHNMVPNFNFKKJY-UHFFFAOYSA-N -1 1 322.390 1.971 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1C[C@H]1CN(c2ccccc2)C(=O)O1 ZINC000832572747 604064672 /nfs/dbraw/zinc/06/46/72/604064672.db2.gz GUOBKTMBNOZTHE-KGLIPLIRSA-N -1 1 319.361 1.744 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@@H]2CCCN(C(=O)[O-])C2)c1 ZINC000828047073 604066556 /nfs/dbraw/zinc/06/65/56/604066556.db2.gz YARUBPHAXGYREY-GFCCVEGCSA-N -1 1 306.366 1.472 20 0 DDADMM C[C@H](CN(C)C(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000824863318 604136413 /nfs/dbraw/zinc/13/64/13/604136413.db2.gz UMAHCHXWDLJPFC-SNVBAGLBSA-N -1 1 317.349 1.334 20 0 DDADMM C[C@H](CN(C)C(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000824863318 604136415 /nfs/dbraw/zinc/13/64/15/604136415.db2.gz UMAHCHXWDLJPFC-SNVBAGLBSA-N -1 1 317.349 1.334 20 0 DDADMM O=S(=O)(NCCCF)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000826490947 607675820 /nfs/dbraw/zinc/67/58/20/607675820.db2.gz NSWUZMBNZMMTFM-UHFFFAOYSA-N -1 1 319.749 1.158 20 0 DDADMM O=S(=O)(NCCCF)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000826490947 607675822 /nfs/dbraw/zinc/67/58/22/607675822.db2.gz NSWUZMBNZMMTFM-UHFFFAOYSA-N -1 1 319.749 1.158 20 0 DDADMM Cc1cc(CC(=O)N2CCN(C(=O)[O-])Cc3ccccc32)n[nH]1 ZINC000830030905 604204978 /nfs/dbraw/zinc/20/49/78/604204978.db2.gz RDPPGQLKHPFOTB-UHFFFAOYSA-N -1 1 314.345 1.787 20 0 DDADMM CC(C)(CNC(=O)[O-])NC(=O)Nc1cnn(-c2ccncc2)c1 ZINC000823990491 604394243 /nfs/dbraw/zinc/39/42/43/604394243.db2.gz FDRUDUSNHQEFLF-UHFFFAOYSA-N -1 1 318.337 1.435 20 0 DDADMM C[C@@H](CC(=O)OCCc1cnn[nH]1)[C@H]1CCCN(C(=O)[O-])C1 ZINC000824735403 604418106 /nfs/dbraw/zinc/41/81/06/604418106.db2.gz FRRSSNYOGFUSTC-QWRGUYRKSA-N -1 1 310.354 1.307 20 0 DDADMM C[C@@H](CC(=O)OCCc1c[nH]nn1)[C@H]1CCCN(C(=O)[O-])C1 ZINC000824735403 604418105 /nfs/dbraw/zinc/41/81/05/604418105.db2.gz FRRSSNYOGFUSTC-QWRGUYRKSA-N -1 1 310.354 1.307 20 0 DDADMM O=C([O-])N[C@@H]1CCN(CCCn2c(=O)[nH]c3ccccc32)C1 ZINC000740595707 604429521 /nfs/dbraw/zinc/42/95/21/604429521.db2.gz HTJORHOVMLYLFK-LLVKDONJSA-N -1 1 304.350 1.474 20 0 DDADMM NC(=O)c1csc(CN2Cc3ccccc3C[C@H]2C(=O)[O-])c1 ZINC000833087347 604468148 /nfs/dbraw/zinc/46/81/48/604468148.db2.gz CMUPXUPTYOCCMH-AWEZNQCLSA-N -1 1 316.382 1.859 20 0 DDADMM COc1cc(OC)cc([C@@H](C(=O)[O-])N2CCC3(C2)OCCO3)c1 ZINC000832041719 604468258 /nfs/dbraw/zinc/46/82/58/604468258.db2.gz ZHCUNDPOYJPHNK-AWEZNQCLSA-N -1 1 323.345 1.278 20 0 DDADMM Cc1ccc(-c2cc(C(=O)N3CC[C@@H](NC(=O)[O-])C3)n[nH]2)cc1 ZINC000740093850 604482787 /nfs/dbraw/zinc/48/27/87/604482787.db2.gz BXMMNWIAIUNUQQ-GFCCVEGCSA-N -1 1 314.345 1.867 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)CCCN(C)C(=O)[O-])C2)n[nH]1 ZINC000830737433 604644962 /nfs/dbraw/zinc/64/49/62/604644962.db2.gz IRJWMHMAHICPEY-NSHDSACASA-N -1 1 309.370 1.209 20 0 DDADMM CCc1[nH]c(C(=O)N[C@H](C)Cc2cc(C)[nH]n2)c(C)c1C(=O)[O-] ZINC000830192446 604742410 /nfs/dbraw/zinc/74/24/10/604742410.db2.gz PPGGPNNLWWTNEQ-MRVPVSSYSA-N -1 1 318.377 1.976 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000833588960 604854928 /nfs/dbraw/zinc/85/49/28/604854928.db2.gz SVHLALDYZMOXMD-NWDGAFQWSA-N -1 1 305.378 1.348 20 0 DDADMM CC(C)(C(=O)[O-])[C@H]1CCCN(CC(=O)NCC(F)(F)F)C1 ZINC000135029753 604948691 /nfs/dbraw/zinc/94/86/91/604948691.db2.gz ODZDIBTYJMAJHF-VIFPVBQESA-N -1 1 310.316 1.488 20 0 DDADMM O=C([O-])C[C@H]1CCCN1CN1C(=O)CN(c2ccccc2)C1=O ZINC000833211284 604983508 /nfs/dbraw/zinc/98/35/08/604983508.db2.gz XULSJQKESAAKEC-CYBMUJFWSA-N -1 1 317.345 1.352 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2ccc(NC(=O)[O-])cc2)c1 ZINC000833753846 605006694 /nfs/dbraw/zinc/00/66/94/605006694.db2.gz GQJYQNTUZRMXQA-UHFFFAOYSA-N -1 1 322.346 1.863 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)c2[nH]c(C)c(C(=O)[O-])c2C)n[nH]1 ZINC000833674047 605023867 /nfs/dbraw/zinc/02/38/67/605023867.db2.gz OCSWXAHJLOTJGX-MRVPVSSYSA-N -1 1 305.338 1.501 20 0 DDADMM C[C@H](OC1CCCCCC1)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833535150 605079608 /nfs/dbraw/zinc/07/96/08/605079608.db2.gz DTFAUVJOQOUJKK-FZMZJTMJSA-N -1 1 323.393 1.650 20 0 DDADMM CCO[C@@H]1C[C@@H](NCc2cn(CC(=O)[O-])nn2)C12CCCC2 ZINC000833662232 605091741 /nfs/dbraw/zinc/09/17/41/605091741.db2.gz ZAKSDIDGVUNIAM-CHWSQXEVSA-N -1 1 308.382 1.190 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1COc2ccccc21 ZINC000833624338 605100258 /nfs/dbraw/zinc/10/02/58/605100258.db2.gz ULGQSUTZFWFAOK-JSGCOSHPSA-N -1 1 318.373 1.170 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C/c1cccs1 ZINC000833619490 605114417 /nfs/dbraw/zinc/11/44/17/605114417.db2.gz ICJFVGYDTGFIOC-ZYOFXKKJSA-N -1 1 308.403 1.769 20 0 DDADMM Cn1ccnc1[C@@H](O)C1CCN(Cc2occc2C(=O)[O-])CC1 ZINC000833760746 605180720 /nfs/dbraw/zinc/18/07/20/605180720.db2.gz UECXPIXXFIBJOH-AWEZNQCLSA-N -1 1 319.361 1.657 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2cc[nH]c21 ZINC000833622561 605209609 /nfs/dbraw/zinc/20/96/09/605209609.db2.gz BTWBZXAGKUEEQN-LBPRGKRZSA-N -1 1 315.373 1.789 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(F)cc2F)CCN1CCC(=O)[O-] ZINC000833620951 605250485 /nfs/dbraw/zinc/25/04/85/605250485.db2.gz ISAJDUNGSDKSTL-JTQLQIEISA-N -1 1 312.316 1.586 20 0 DDADMM Cc1nc(COC(=O)C[C@H](C)C2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000830760854 605263221 /nfs/dbraw/zinc/26/32/21/605263221.db2.gz JIOWGMXCMVIQAI-VIFPVBQESA-N -1 1 310.354 1.573 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NC[C@@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000830036337 605301468 /nfs/dbraw/zinc/30/14/68/605301468.db2.gz MYDPHUKPBGJTKD-PWSUYJOCSA-N -1 1 323.397 1.338 20 0 DDADMM O=C(C[C@H]1CSCCN1C(=O)[O-])Nc1ccc2[nH]nnc2c1 ZINC000831419735 605327936 /nfs/dbraw/zinc/32/79/36/605327936.db2.gz YEBYBMQNKCNZNR-VIFPVBQESA-N -1 1 321.362 1.382 20 0 DDADMM O=C(CC[C@@H]1CCCN1C(=O)[O-])NCCN1CCSCC1 ZINC000833996392 605467170 /nfs/dbraw/zinc/46/71/70/605467170.db2.gz NCQHHNPWLABJFG-LBPRGKRZSA-N -1 1 315.439 1.074 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)C[C@@H]21 ZINC000834181169 605577994 /nfs/dbraw/zinc/57/79/94/605577994.db2.gz QBMREGUGKAXEQA-SDDRHHMPSA-N -1 1 318.377 1.795 20 0 DDADMM O=C([O-])N1CC(C(=O)OC[C@@H]2CCCN2Cc2ccco2)C1 ZINC000834285785 605594879 /nfs/dbraw/zinc/59/48/79/605594879.db2.gz QZWYNTZPZKTKGC-LBPRGKRZSA-N -1 1 308.334 1.397 20 0 DDADMM Cn1c(CN[C@@H]2CCCN(C(=O)[O-])C2)nc2cc(F)ccc21 ZINC000833942246 605639044 /nfs/dbraw/zinc/63/90/44/605639044.db2.gz OFSZJBRJEJVOMG-LLVKDONJSA-N -1 1 306.341 1.944 20 0 DDADMM C[C@H](NC(=O)[C@@H]1c2ccccc2CCN1C(=O)[O-])c1nnc[nH]1 ZINC000825100357 605758814 /nfs/dbraw/zinc/75/88/14/605758814.db2.gz CEZRFOAKODULEH-CABZTGNLSA-N -1 1 315.333 1.259 20 0 DDADMM CCCN(C(=O)CCc1nc[nH]n1)C1CCN(C(=O)[O-])CC1 ZINC000826862846 605822709 /nfs/dbraw/zinc/82/27/09/605822709.db2.gz QFRHLBNPNIRXDV-UHFFFAOYSA-N -1 1 309.370 1.118 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CC[C@@H](N(C)C(=O)[O-])C1)C2 ZINC000833915284 605856370 /nfs/dbraw/zinc/85/63/70/605856370.db2.gz FZCGSLODIGTASR-GHMZBOCLSA-N -1 1 306.366 1.034 20 0 DDADMM O=C([O-])Nc1cccc(CN2CCN([C@@H]3CCOC3)CC2)c1 ZINC000834269142 605887705 /nfs/dbraw/zinc/88/77/05/605887705.db2.gz QIPVPJSNCZAWHP-OAHLLOKOSA-N -1 1 305.378 1.683 20 0 DDADMM O=C([O-])N1CCC2(C[C@H]2C(=O)N2CCN(C3CCC3)CC2)CC1 ZINC000834088692 605904583 /nfs/dbraw/zinc/90/45/83/605904583.db2.gz NDERTOVMVIOCCY-AWEZNQCLSA-N -1 1 321.421 1.463 20 0 DDADMM COC1CCC(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000821680023 606117028 /nfs/dbraw/zinc/11/70/28/606117028.db2.gz WPGYDRLYUXVHFC-UHFFFAOYSA-N -1 1 316.365 1.197 20 0 DDADMM COC1CCC(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000821680023 606117030 /nfs/dbraw/zinc/11/70/30/606117030.db2.gz WPGYDRLYUXVHFC-UHFFFAOYSA-N -1 1 316.365 1.197 20 0 DDADMM O=C(Nc1ccc(CCO)cc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823323140 606131889 /nfs/dbraw/zinc/13/18/89/606131889.db2.gz CWSOLOAQCAYMPX-UHFFFAOYSA-N -1 1 315.358 1.715 20 0 DDADMM O=C(Nc1ccc(CCO)cc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823323140 606131890 /nfs/dbraw/zinc/13/18/90/606131890.db2.gz CWSOLOAQCAYMPX-UHFFFAOYSA-N -1 1 315.358 1.715 20 0 DDADMM CC[C@@H]1C[C@H](C)CN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820966666 606169320 /nfs/dbraw/zinc/16/93/20/606169320.db2.gz GLTHLNKFTOJZGH-DTWKUNHWSA-N -1 1 304.358 1.253 20 0 DDADMM CC[C@@H]1C[C@H](C)CN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820966666 606169322 /nfs/dbraw/zinc/16/93/22/606169322.db2.gz GLTHLNKFTOJZGH-DTWKUNHWSA-N -1 1 304.358 1.253 20 0 DDADMM Cc1noc(C)c1CC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822520271 606194778 /nfs/dbraw/zinc/19/47/78/606194778.db2.gz WGVVVFDUJLVSPN-UHFFFAOYSA-N -1 1 314.305 1.358 20 0 DDADMM Clc1ccc(NCc2nc(C3CC3)no2)nc1-c1nnn[n-]1 ZINC000822578086 606463077 /nfs/dbraw/zinc/46/30/77/606463077.db2.gz CRCZSRXCPTZNLO-UHFFFAOYSA-N -1 1 318.728 1.788 20 0 DDADMM Clc1ccc(NCc2nc(C3CC3)no2)nc1-c1nn[n-]n1 ZINC000822578086 606463078 /nfs/dbraw/zinc/46/30/78/606463078.db2.gz CRCZSRXCPTZNLO-UHFFFAOYSA-N -1 1 318.728 1.788 20 0 DDADMM COc1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000821798726 606500648 /nfs/dbraw/zinc/50/06/48/606500648.db2.gz LHQBRAFKGLURDO-UHFFFAOYSA-N -1 1 316.346 1.262 20 0 DDADMM COc1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000821798726 606500649 /nfs/dbraw/zinc/50/06/49/606500649.db2.gz LHQBRAFKGLURDO-UHFFFAOYSA-N -1 1 316.346 1.262 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)c1cccnc1 ZINC000820397329 606520105 /nfs/dbraw/zinc/52/01/05/606520105.db2.gz OGTYELMASHKLSG-MRVPVSSYSA-N -1 1 300.347 1.814 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)c1cccnc1 ZINC000820397329 606520107 /nfs/dbraw/zinc/52/01/07/606520107.db2.gz OGTYELMASHKLSG-MRVPVSSYSA-N -1 1 300.347 1.814 20 0 DDADMM O=C(Cc1cccc2ccccc21)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000823111743 606531681 /nfs/dbraw/zinc/53/16/81/606531681.db2.gz MMCYMVJOOZIVEZ-UHFFFAOYSA-N -1 1 319.328 1.924 20 0 DDADMM O=C(Cc1cccc2ccccc21)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000823111743 606531682 /nfs/dbraw/zinc/53/16/82/606531682.db2.gz MMCYMVJOOZIVEZ-UHFFFAOYSA-N -1 1 319.328 1.924 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCCn2nccc21 ZINC000823483742 606545659 /nfs/dbraw/zinc/54/56/59/606545659.db2.gz LSBZKGBLPMSQHY-UHFFFAOYSA-N -1 1 301.335 1.175 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCCn2nccc21 ZINC000823483742 606545662 /nfs/dbraw/zinc/54/56/62/606545662.db2.gz LSBZKGBLPMSQHY-UHFFFAOYSA-N -1 1 301.335 1.175 20 0 DDADMM CN(Cc1nccs1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821618199 606581601 /nfs/dbraw/zinc/58/16/01/606581601.db2.gz UZRXCKUTIYKOKP-UHFFFAOYSA-N -1 1 306.376 1.657 20 0 DDADMM CN(Cc1nccs1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821618199 606581603 /nfs/dbraw/zinc/58/16/03/606581603.db2.gz UZRXCKUTIYKOKP-UHFFFAOYSA-N -1 1 306.376 1.657 20 0 DDADMM CC[C@H]1CCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820979131 606835869 /nfs/dbraw/zinc/83/58/69/606835869.db2.gz FOIRTXFDFWWPHQ-WPRPVWTQSA-N -1 1 304.358 1.300 20 0 DDADMM CC[C@H]1CCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820979131 606835870 /nfs/dbraw/zinc/83/58/70/606835870.db2.gz FOIRTXFDFWWPHQ-WPRPVWTQSA-N -1 1 304.358 1.300 20 0 DDADMM O=C(OC[C@@H]1CC12CCOCC2)c1sccc1-c1nn[n-]n1 ZINC000823396292 606900019 /nfs/dbraw/zinc/90/00/19/606900019.db2.gz IECYSECKPRRMTN-VIFPVBQESA-N -1 1 320.374 1.902 20 0 DDADMM CCC[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000821040845 606908013 /nfs/dbraw/zinc/90/80/13/606908013.db2.gz SZQMBRMKAWZGIA-JTQLQIEISA-N -1 1 318.385 1.644 20 0 DDADMM CCC[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000821040845 606908014 /nfs/dbraw/zinc/90/80/14/606908014.db2.gz SZQMBRMKAWZGIA-JTQLQIEISA-N -1 1 318.385 1.644 20 0 DDADMM CC(C)(C)c1nnc(CNc2nccnc2-c2nnn[n-]2)s1 ZINC000819971366 606945778 /nfs/dbraw/zinc/94/57/78/606945778.db2.gz JDTPMPHPEJMJCC-UHFFFAOYSA-N -1 1 317.382 1.418 20 0 DDADMM CC(C)(C)c1nnc(CNc2nccnc2-c2nn[n-]n2)s1 ZINC000819971366 606945780 /nfs/dbraw/zinc/94/57/80/606945780.db2.gz JDTPMPHPEJMJCC-UHFFFAOYSA-N -1 1 317.382 1.418 20 0 DDADMM Cc1ccc(CC(=O)Nc2ncc(-c3nnn[n-]3)s2)cn1 ZINC000822234928 607103571 /nfs/dbraw/zinc/10/35/71/607103571.db2.gz LYQSZDOORNTVDY-UHFFFAOYSA-N -1 1 301.335 1.208 20 0 DDADMM Cc1ccc(CC(=O)Nc2ncc(-c3nn[n-]n3)s2)cn1 ZINC000822234928 607103573 /nfs/dbraw/zinc/10/35/73/607103573.db2.gz LYQSZDOORNTVDY-UHFFFAOYSA-N -1 1 301.335 1.208 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])c1ccc(-c2nn[nH]n2)cn1 ZINC000823345732 607160487 /nfs/dbraw/zinc/16/04/87/607160487.db2.gz CVUFRXLWMBHHLK-UHFFFAOYSA-N -1 1 300.253 1.359 20 0 DDADMM CCOC(=O)CC1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000825506196 607896358 /nfs/dbraw/zinc/89/63/58/607896358.db2.gz HCPJWZOARVJBAW-UHFFFAOYSA-N -1 1 316.365 1.431 20 0 DDADMM CCOC(=O)CC1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000825506196 607896359 /nfs/dbraw/zinc/89/63/59/607896359.db2.gz HCPJWZOARVJBAW-UHFFFAOYSA-N -1 1 316.365 1.431 20 0 DDADMM C[C@H](Nc1cccc(-c2nnn[n-]2)n1)[C@@H](O)c1ccc(F)cc1 ZINC000824662127 607898634 /nfs/dbraw/zinc/89/86/34/607898634.db2.gz CZZAWLWHFICTQR-LKFCYVNXSA-N -1 1 314.324 1.935 20 0 DDADMM C[C@H](Nc1cccc(-c2nn[n-]n2)n1)[C@@H](O)c1ccc(F)cc1 ZINC000824662127 607898635 /nfs/dbraw/zinc/89/86/35/607898635.db2.gz CZZAWLWHFICTQR-LKFCYVNXSA-N -1 1 314.324 1.935 20 0 DDADMM NC(=O)C[C@@H](Nc1cccc(-c2nnn[n-]2)n1)C1CCCCC1 ZINC000826390644 607934565 /nfs/dbraw/zinc/93/45/65/607934565.db2.gz HFPDRZFJPWTHAR-GFCCVEGCSA-N -1 1 315.381 1.498 20 0 DDADMM NC(=O)C[C@@H](Nc1cccc(-c2nn[n-]n2)n1)C1CCCCC1 ZINC000826390644 607934566 /nfs/dbraw/zinc/93/45/66/607934566.db2.gz HFPDRZFJPWTHAR-GFCCVEGCSA-N -1 1 315.381 1.498 20 0 DDADMM C[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@H](c2ccccc2)O1 ZINC000824992891 607989701 /nfs/dbraw/zinc/98/97/01/607989701.db2.gz OEURJTSIKOPNRF-BXUZGUMPSA-N -1 1 323.360 1.623 20 0 DDADMM C[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@H](c2ccccc2)O1 ZINC000824992891 607989702 /nfs/dbraw/zinc/98/97/02/607989702.db2.gz OEURJTSIKOPNRF-BXUZGUMPSA-N -1 1 323.360 1.623 20 0 DDADMM COc1cc(CN(C)c2nccnc2-c2nnn[n-]2)ccc1O ZINC000826179272 608076406 /nfs/dbraw/zinc/07/64/06/608076406.db2.gz HLOGUOMIVVOSGW-UHFFFAOYSA-N -1 1 313.321 1.007 20 0 DDADMM COc1cc(CN(C)c2nccnc2-c2nn[n-]n2)ccc1O ZINC000826179272 608076407 /nfs/dbraw/zinc/07/64/07/608076407.db2.gz HLOGUOMIVVOSGW-UHFFFAOYSA-N -1 1 313.321 1.007 20 0 DDADMM O=C(Nc1nc2ccccn2n1)c1ccc(-c2nn[n-]n2)s1 ZINC000826460095 608091467 /nfs/dbraw/zinc/09/14/67/608091467.db2.gz MRLSHIIIMUHMIR-UHFFFAOYSA-N -1 1 312.318 1.223 20 0 DDADMM CC(C)(C)n1cnc([N-]C(=O)c2sccc2-c2nn[nH]n2)n1 ZINC000824152787 608127048 /nfs/dbraw/zinc/12/70/48/608127048.db2.gz MZANGFKIHHVGQG-UHFFFAOYSA-N -1 1 318.366 1.527 20 0 DDADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N1CCc2ccccc21 ZINC000824427804 608396041 /nfs/dbraw/zinc/39/60/41/608396041.db2.gz PDXMVEZIVVXWPP-NSHDSACASA-N -1 1 322.376 1.520 20 0 DDADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N1CCc2ccccc21 ZINC000824427804 608396042 /nfs/dbraw/zinc/39/60/42/608396042.db2.gz PDXMVEZIVVXWPP-NSHDSACASA-N -1 1 322.376 1.520 20 0 DDADMM CCSCCn1cc(-c2nn[n-]n2)c(=O)c2nc(C)ccc21 ZINC000825674468 608399371 /nfs/dbraw/zinc/39/93/71/608399371.db2.gz MBGUHLOSIMQGBT-UHFFFAOYSA-N -1 1 316.390 1.638 20 0 DDADMM Fc1cccc(CN(c2ccc(-c3nnn[n-]3)nn2)C2CC2)c1 ZINC000826376617 608402175 /nfs/dbraw/zinc/40/21/75/608402175.db2.gz LJVVOEMQLLKQPR-UHFFFAOYSA-N -1 1 311.324 1.965 20 0 DDADMM Fc1cccc(CN(c2ccc(-c3nn[n-]n3)nn2)C2CC2)c1 ZINC000826376617 608402177 /nfs/dbraw/zinc/40/21/77/608402177.db2.gz LJVVOEMQLLKQPR-UHFFFAOYSA-N -1 1 311.324 1.965 20 0 DDADMM CN(CCc1ccc(Cl)cc1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825946419 608418110 /nfs/dbraw/zinc/41/81/10/608418110.db2.gz XIOPOEUBANLKCE-UHFFFAOYSA-N -1 1 315.768 1.989 20 0 DDADMM CN(CCc1ccc(Cl)cc1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825946419 608418111 /nfs/dbraw/zinc/41/81/11/608418111.db2.gz XIOPOEUBANLKCE-UHFFFAOYSA-N -1 1 315.768 1.989 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1ncc(Cl)cc1Cl ZINC000826501179 609175422 /nfs/dbraw/zinc/17/54/22/609175422.db2.gz DAPUYNKAIIEBBP-UHFFFAOYSA-N -1 1 323.143 1.779 20 0 DDADMM CON(Cc1ccccc1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826166420 609176073 /nfs/dbraw/zinc/17/60/73/609176073.db2.gz JPWBERFMNBTMBH-UHFFFAOYSA-N -1 1 310.317 1.466 20 0 DDADMM CON(Cc1ccccc1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826166420 609176074 /nfs/dbraw/zinc/17/60/74/609176074.db2.gz JPWBERFMNBTMBH-UHFFFAOYSA-N -1 1 310.317 1.466 20 0 DDADMM C[C@@H]1C[C@@H](COC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000824882393 609389496 /nfs/dbraw/zinc/38/94/96/609389496.db2.gz AZMYTYOKZBFMCC-ZJUUUORDSA-N -1 1 303.322 1.234 20 0 DDADMM C[C@@H]1C[C@@H](COC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000824882393 609389498 /nfs/dbraw/zinc/38/94/98/609389498.db2.gz AZMYTYOKZBFMCC-ZJUUUORDSA-N -1 1 303.322 1.234 20 0 DDADMM C[C@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)c1ccco1 ZINC000745126566 699972495 /nfs/dbraw/zinc/97/24/95/699972495.db2.gz PEYTXVBXZVXEKK-NSHDSACASA-N -1 1 301.298 1.883 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000972903335 695358035 /nfs/dbraw/zinc/35/80/35/695358035.db2.gz YJUMLBJBXWSNCS-MGCOHNPYSA-N -1 1 301.302 1.071 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCO[C@H]([C@@H]3CCOC3)C2)[n-]1 ZINC000797086110 699993019 /nfs/dbraw/zinc/99/30/19/699993019.db2.gz RABJBBMBPKZYRH-GYSYKLTISA-N -1 1 323.345 1.542 20 0 DDADMM Cc1ccc(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC000973838644 695561148 /nfs/dbraw/zinc/56/11/48/695561148.db2.gz BVMCNHZLOISZJB-PHIMTYICSA-N -1 1 315.329 1.379 20 0 DDADMM CCOc1cccc(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)n1 ZINC000797838537 700026849 /nfs/dbraw/zinc/02/68/49/700026849.db2.gz UHPSSUXXEIWQLV-UHFFFAOYSA-N -1 1 315.255 1.798 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975229641 695817359 /nfs/dbraw/zinc/81/73/59/695817359.db2.gz ZBPSFELNJTXZMI-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM CC1(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)CCC1 ZINC000976947740 696024200 /nfs/dbraw/zinc/02/42/00/696024200.db2.gz DFVQEVJHBGAPOO-PJXYFTJBSA-N -1 1 315.373 1.164 20 0 DDADMM O=C(Nc1ccc(-n2nccn2)cc1)C(=O)c1ccc([O-])cc1 ZINC000798282867 700054544 /nfs/dbraw/zinc/05/45/44/700054544.db2.gz KQQOVOBMVZKPBO-UHFFFAOYSA-N -1 1 308.297 1.794 20 0 DDADMM CC1(C)C(=O)NCCN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000798289850 700055109 /nfs/dbraw/zinc/05/51/09/700055109.db2.gz OICVXHICLOUGRQ-UHFFFAOYSA-N -1 1 316.279 1.762 20 0 DDADMM CC(=O)N(C)C[C@@H]1CCN1C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000977242497 696086253 /nfs/dbraw/zinc/08/62/53/696086253.db2.gz BMJYUJLUSOQYRI-LBPRGKRZSA-N -1 1 320.393 1.307 20 0 DDADMM O=C([N-]C[C@H](c1cccs1)N1CCOCC1)C(F)(F)F ZINC000025325762 696091203 /nfs/dbraw/zinc/09/12/03/696091203.db2.gz GBLFBVLWNKQAHX-SECBINFHSA-N -1 1 308.325 1.800 20 0 DDADMM COc1cc[n-]c(=NNC(=O)Nc2cccc([N+](=O)[O-])c2)n1 ZINC000031663687 696122233 /nfs/dbraw/zinc/12/22/33/696122233.db2.gz KOLAZSYPEYLJQM-UHFFFAOYSA-N -1 1 304.266 1.542 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)CCN1CCCC1=O ZINC000747223910 700060876 /nfs/dbraw/zinc/06/08/76/700060876.db2.gz KKIGTZOYNFCAHL-UHFFFAOYSA-N -1 1 320.393 1.308 20 0 DDADMM Cc1ccc(C=CC(=O)NCc2nn[n-]n2)c(Br)c1 ZINC000044928180 696169572 /nfs/dbraw/zinc/16/95/72/696169572.db2.gz AWAROLFZUILENV-SNAWJCMRSA-N -1 1 322.166 1.600 20 0 DDADMM CN(C[C@H]1CCN1C(=O)[C@@H]1CC12CC2)C(=O)c1ncccc1[O-] ZINC000977601941 696230607 /nfs/dbraw/zinc/23/06/07/696230607.db2.gz QCCFGVCLBKGSLY-NEPJUHHUSA-N -1 1 315.373 1.260 20 0 DDADMM CCC(NCCc1ccc(F)cc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000054504883 696276588 /nfs/dbraw/zinc/27/65/88/696276588.db2.gz BULKDCAHNTVLCZ-UHFFFAOYSA-N -1 1 321.377 1.153 20 0 DDADMM CCC(NCc1ccc(F)cc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000054504910 696276674 /nfs/dbraw/zinc/27/66/74/696276674.db2.gz VYUYXGSXEIMYQQ-UHFFFAOYSA-N -1 1 307.350 1.110 20 0 DDADMM CNC(=O)N[N-]C(=O)c1cc(C2CC2)nc(SC)c1C#N ZINC000056375510 696290150 /nfs/dbraw/zinc/29/01/50/696290150.db2.gz VTTGYKAZJCOZGH-UHFFFAOYSA-N -1 1 305.363 1.126 20 0 DDADMM O=C(COC(=O)Cc1cccs1)[N-]C(=O)c1ccccc1 ZINC000058853497 696307254 /nfs/dbraw/zinc/30/72/54/696307254.db2.gz XSECJLPLKFXLGB-UHFFFAOYSA-N -1 1 303.339 1.790 20 0 DDADMM COCCN1C(=O)C(=CNc2ccc(F)cc2)C(=O)[N-]C1=S ZINC000060860866 696314203 /nfs/dbraw/zinc/31/42/03/696314203.db2.gz WEAIMTNWWGABIP-FLIBITNWSA-N -1 1 323.349 1.011 20 0 DDADMM Cn1c(CNC(=O)c2cc(-c3ccco3)on2)n[n-]c1=S ZINC000067050069 696358632 /nfs/dbraw/zinc/35/86/32/696358632.db2.gz YKFXMTCCSJYPSV-UHFFFAOYSA-N -1 1 305.319 1.656 20 0 DDADMM Cc1ncccc1C(=O)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067079126 696358816 /nfs/dbraw/zinc/35/88/16/696358816.db2.gz LFXXTOSVZLRGJH-UHFFFAOYSA-N -1 1 303.391 1.952 20 0 DDADMM CCn1c(CCNC(=O)c2ccc3c(c2)COC3)n[n-]c1=S ZINC000067076555 696358826 /nfs/dbraw/zinc/35/88/26/696358826.db2.gz BHTCIXLKHCYBGM-UHFFFAOYSA-N -1 1 318.402 1.963 20 0 DDADMM CC1N=NC(C(=O)Nc2ccc(N3CCO[C@H](C)C3)cc2)=C1[O-] ZINC000747659352 700080468 /nfs/dbraw/zinc/08/04/68/700080468.db2.gz NWQXCTJQIJYKDU-SNVBAGLBSA-N -1 1 316.361 1.901 20 0 DDADMM O=C(N[C@H]1C[C@@H](CNC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000978496022 696394865 /nfs/dbraw/zinc/39/48/65/696394865.db2.gz IHFDFKMKUIYFQH-KLPPZKSPSA-N -1 1 303.362 1.212 20 0 DDADMM O=C(NNC(=O)c1cc2ccccc2cc1[O-])c1cc[n+]([O-])cc1 ZINC000073138752 696401164 /nfs/dbraw/zinc/40/11/64/696401164.db2.gz RPEAUDKWQXIAGB-UHFFFAOYSA-N -1 1 323.308 1.254 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)c1cccc2n[nH]cc21 ZINC000073942414 696409275 /nfs/dbraw/zinc/40/92/75/696409275.db2.gz SBMCQFMWDNZJMN-UHFFFAOYSA-N -1 1 302.363 1.555 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2cc3ccccc3[nH]2)s1 ZINC000079817979 696463791 /nfs/dbraw/zinc/46/37/91/696463791.db2.gz VKOOONNRGOMTOE-LLVKDONJSA-N -1 1 302.359 1.131 20 0 DDADMM CCn1c(CCNC(=O)Cc2ccn(C(C)C)n2)n[n-]c1=S ZINC000080173485 696531315 /nfs/dbraw/zinc/53/13/15/696531315.db2.gz LSEJFVOUMHFDBL-UHFFFAOYSA-N -1 1 322.438 1.639 20 0 DDADMM Cc1cc(N(C)C)ccc1CNC(=O)Cc1sc(N)nc1[O-] ZINC000080321288 696533164 /nfs/dbraw/zinc/53/31/64/696533164.db2.gz WAFJRPRCDZDDGW-LBPRGKRZSA-N -1 1 320.418 1.024 20 0 DDADMM CC[C@H](c1ccncc1)N(CC)C(=O)Cc1sc(N)nc1[O-] ZINC000080420501 696534563 /nfs/dbraw/zinc/53/45/63/696534563.db2.gz UDNRMNGIJKEKBK-NEPJUHHUSA-N -1 1 320.418 1.728 20 0 DDADMM CC[C@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@@H](C)O1 ZINC000081718084 696547045 /nfs/dbraw/zinc/54/70/45/696547045.db2.gz NNAZVSFIILGXOH-PWSUYJOCSA-N -1 1 307.394 1.841 20 0 DDADMM CCc1cccc(C(=O)NCCc2n[n-]c(=S)n2C)c1O ZINC000081958904 696548832 /nfs/dbraw/zinc/54/88/32/696548832.db2.gz VJKLTAZAKKRBAJ-UHFFFAOYSA-N -1 1 306.391 1.718 20 0 DDADMM CCc1ccc(S(=O)(=O)N[N-]C(=O)c2ccc(C)o2)cc1 ZINC000084461442 696557802 /nfs/dbraw/zinc/55/78/02/696557802.db2.gz YNCLIBICJNSGJX-UHFFFAOYSA-N -1 1 308.359 1.774 20 0 DDADMM CC1(CC[N-]S(=O)(=O)c2cc(Cl)ccc2F)OCCO1 ZINC000096744175 696604387 /nfs/dbraw/zinc/60/43/87/696604387.db2.gz VCHULBVLPLUMAA-UHFFFAOYSA-N -1 1 323.773 1.911 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979667258 696622562 /nfs/dbraw/zinc/62/25/62/696622562.db2.gz HMFQRUJKPNXJOC-BJDJZHNGSA-N -1 1 303.362 1.068 20 0 DDADMM CCC(=O)N[C@H]1C[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000979778012 696642588 /nfs/dbraw/zinc/64/25/88/696642588.db2.gz ODBXNCSCQWNZSE-HOMQSWHASA-N -1 1 318.377 1.094 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCc2ccc(Cl)cc2)s1 ZINC000120788058 696701177 /nfs/dbraw/zinc/70/11/77/696701177.db2.gz SZZLOMQGMHUHGF-SNVBAGLBSA-N -1 1 311.794 1.346 20 0 DDADMM Cc1cc([C@@H](C)NC(=O)Cc2sc(N)nc2[O-])c(C)s1 ZINC000120891456 696703290 /nfs/dbraw/zinc/70/32/90/696703290.db2.gz RFSCVPAATMBTGO-XCBNKYQSSA-N -1 1 311.432 1.889 20 0 DDADMM CC(C)C1CCC(CNC(=O)Cc2sc(N)nc2[O-])CC1 ZINC000124125736 696739454 /nfs/dbraw/zinc/73/94/54/696739454.db2.gz ALHHSMZRGPWAMM-HTAVTVPLSA-N -1 1 311.451 1.912 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2[n-]c(=S)oc2c1)c1nn[nH]n1 ZINC000136646853 696855341 /nfs/dbraw/zinc/85/53/41/696855341.db2.gz RAXPSPRFQHRBHN-SECBINFHSA-N -1 1 318.362 1.901 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)c2cc(Cl)nc(Cl)c2)n[nH]1 ZINC000153114110 696910049 /nfs/dbraw/zinc/91/00/49/696910049.db2.gz IZQRICQPPMHWOD-UHFFFAOYSA-N -1 1 314.132 1.495 20 0 DDADMM Cc1oncc1CN1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000981026892 696983059 /nfs/dbraw/zinc/98/30/59/696983059.db2.gz PPSUOLHXMNPROA-UHFFFAOYSA-N -1 1 316.361 1.432 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CC=CC[C@H]2C)co1 ZINC000165946460 697340352 /nfs/dbraw/zinc/34/03/52/697340352.db2.gz MQCUMFUWBCPREZ-GHMZBOCLSA-N -1 1 313.375 1.947 20 0 DDADMM CN(C(=O)CC1CC1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984105854 697340949 /nfs/dbraw/zinc/34/09/49/697340949.db2.gz MHKCSZASJODHSL-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1nn(C)cc1[C@@H](C)NC(=O)c1nnc2ccccc2c1O ZINC000171869776 697362983 /nfs/dbraw/zinc/36/29/83/697362983.db2.gz TYDRSMQPRHOBHY-SECBINFHSA-N -1 1 311.345 1.456 20 0 DDADMM CC(=CC(=O)NCCCc1nc(=O)[n-][nH]1)c1ccccc1Cl ZINC000176262829 697403653 /nfs/dbraw/zinc/40/36/53/697403653.db2.gz NEBBYHGMXWYCKZ-MDZDMXLPSA-N -1 1 320.780 1.904 20 0 DDADMM O=C([N-]OCc1ccccc1)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000177646067 697414867 /nfs/dbraw/zinc/41/48/67/697414867.db2.gz PQXXRPPDSWAHLW-GFCCVEGCSA-N -1 1 300.318 1.011 20 0 DDADMM CCCn1cc(C(=O)NNC(=O)c2cc(Cl)ccc2[O-])cn1 ZINC000180325000 697447320 /nfs/dbraw/zinc/44/73/20/697447320.db2.gz BSAKDXMOLACUDJ-UHFFFAOYSA-N -1 1 322.752 1.727 20 0 DDADMM COCCO[C@H]1CCCN(CC(=O)[N-]OCc2ccccc2)C1 ZINC000180787032 697452216 /nfs/dbraw/zinc/45/22/16/697452216.db2.gz VXBNIWVNRMFCPM-INIZCTEOSA-N -1 1 322.405 1.362 20 0 DDADMM CC(C)[C@H](OC(=O)c1c([O-])cc(F)cc1F)C(=O)NC(N)=O ZINC000749279787 700154768 /nfs/dbraw/zinc/15/47/68/700154768.db2.gz PQICNKNCUIQTPU-JTQLQIEISA-N -1 1 316.260 1.047 20 0 DDADMM O=C(COC(=O)c1c([O-])cc(F)cc1F)NC[C@H]1CCCO1 ZINC000749284656 700154860 /nfs/dbraw/zinc/15/48/60/700154860.db2.gz YPUUIPYRPYEAHQ-SECBINFHSA-N -1 1 315.272 1.122 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@H]1CSCCS1)c1nn[n-]n1 ZINC000183415664 697488051 /nfs/dbraw/zinc/48/80/51/697488051.db2.gz GNKARQSFHKUCLG-RKDXNWHRSA-N -1 1 301.441 1.000 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(F)cc1 ZINC000985878819 697593274 /nfs/dbraw/zinc/59/32/74/697593274.db2.gz SBGBMONWEGSCAN-BXKDBHETSA-N -1 1 319.340 1.042 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2C[C@H]2C(C)C)cc1 ZINC000772494642 697635976 /nfs/dbraw/zinc/63/59/76/697635976.db2.gz TZUFIXFEVYBDMH-UONOGXRCSA-N -1 1 319.357 1.787 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ccc(C)nc2)o1 ZINC000772668370 697659005 /nfs/dbraw/zinc/65/90/05/697659005.db2.gz WAPQJBRWAHBMOT-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM O=C(NOCc1ccc(F)cc1)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000193985356 697687051 /nfs/dbraw/zinc/68/70/51/697687051.db2.gz UYWJQZJVVOQJKM-UHFFFAOYSA-N -1 1 307.237 1.696 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)oc1C ZINC000986160110 697711947 /nfs/dbraw/zinc/71/19/47/697711947.db2.gz NEXPRZUPWXOXDH-KOLCDFICSA-N -1 1 319.365 1.113 20 0 DDADMM O=C(NCCc1n[n-]c(=S)o1)c1c[nH]c2ccccc2c1=O ZINC000773090650 697712035 /nfs/dbraw/zinc/71/20/35/697712035.db2.gz WQBSSZYZTFNRRL-UHFFFAOYSA-N -1 1 316.342 1.172 20 0 DDADMM O=C(c1ccc2c(c1)CCC2)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773160914 697722309 /nfs/dbraw/zinc/72/23/09/697722309.db2.gz WSIXTKQRTPJPGT-ZDUSSCGKSA-N -1 1 315.402 1.619 20 0 DDADMM O=C(Cn1ccc(=O)c2ccccc21)[N-]O[C@@H]1CCCCO1 ZINC000749614661 700173715 /nfs/dbraw/zinc/17/37/15/700173715.db2.gz BGLCPBAXYYTEEX-MRXNPFEDSA-N -1 1 302.330 1.576 20 0 DDADMM Cc1cncc(C=CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000773494234 697768025 /nfs/dbraw/zinc/76/80/25/697768025.db2.gz XPJJMBWLPOSICO-NSCUHMNNSA-N -1 1 314.345 1.898 20 0 DDADMM COC(OC)[C@@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000234589614 697792114 /nfs/dbraw/zinc/79/21/14/697792114.db2.gz PGXDZVDLZCJHHE-SSDOTTSWSA-N -1 1 318.167 1.892 20 0 DDADMM CCc1nc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)cs1 ZINC000773928810 697823883 /nfs/dbraw/zinc/82/38/83/697823883.db2.gz CHPQMEBNAMVWHV-UHFFFAOYSA-N -1 1 319.415 1.967 20 0 DDADMM O=C(Nc1ccc(CCO)cc1)c1ccc2n[n-]c(=S)n2c1 ZINC000774041023 697836327 /nfs/dbraw/zinc/83/63/27/697836327.db2.gz QZOCXTBMPGKLRG-UHFFFAOYSA-N -1 1 314.370 1.805 20 0 DDADMM Cc1cccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1O ZINC000774910743 697941191 /nfs/dbraw/zinc/94/11/91/697941191.db2.gz XBXIWGQCJUPONK-UHFFFAOYSA-N -1 1 305.252 1.926 20 0 DDADMM O=C([N-]CCCOC(=O)c1n[nH]c2c1CCC2)C(F)(F)F ZINC000774922603 697942458 /nfs/dbraw/zinc/94/24/58/697942458.db2.gz AGCFFTLQKUYIHC-UHFFFAOYSA-N -1 1 305.256 1.124 20 0 DDADMM CC1(C)C[S@@](=O)CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000775930108 698053651 /nfs/dbraw/zinc/05/36/51/698053651.db2.gz GSLMZSKLVORAKP-QFIPXVFZSA-N -1 1 321.398 1.842 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCCCCCO ZINC000776383870 698101959 /nfs/dbraw/zinc/10/19/59/698101959.db2.gz SVFNLMLTFFICIM-UHFFFAOYSA-N -1 1 321.377 1.690 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2CC[C@H](C)C2)cc1 ZINC000776409686 698104069 /nfs/dbraw/zinc/10/40/69/698104069.db2.gz BNPXCHUHJYJPFQ-WCQYABFASA-N -1 1 319.357 1.931 20 0 DDADMM Cc1ccc([C@H](C)C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])o1 ZINC000777444698 698191616 /nfs/dbraw/zinc/19/16/16/698191616.db2.gz UYQHQEDOKLDUQG-VIFPVBQESA-N -1 1 324.358 1.683 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCc1cccc(=O)[nH]1 ZINC000777459027 698192642 /nfs/dbraw/zinc/19/26/42/698192642.db2.gz QLGZCMXSHAEKNB-UHFFFAOYSA-N -1 1 302.334 1.645 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ccoc1 ZINC000987672157 698193092 /nfs/dbraw/zinc/19/30/92/698193092.db2.gz PDOMINIZTWNKKT-PWSUYJOCSA-N -1 1 315.329 1.413 20 0 DDADMM Cn1nnnc1COC(=O)c1ccc(Br)c([O-])c1 ZINC000778828505 698373325 /nfs/dbraw/zinc/37/33/25/698373325.db2.gz NHPJBSXGCJGHHX-UHFFFAOYSA-N -1 1 313.111 1.035 20 0 DDADMM Cc1cc(C)c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)o1 ZINC000988514255 698395143 /nfs/dbraw/zinc/39/51/43/698395143.db2.gz COPISCXRNVNGHI-MWLCHTKSSA-N -1 1 319.365 1.113 20 0 DDADMM C[C@H]1CCN(Cc2cc(=O)oc3cc([O-])ccc23)[C@@H](C(N)=O)C1 ZINC000249599092 698395305 /nfs/dbraw/zinc/39/53/05/698395305.db2.gz XRQWEDSSBBAOHF-IINYFYTJSA-N -1 1 316.357 1.584 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C)CNC(=O)OC(C)(C)C)c([O-])c1 ZINC000779097806 698395819 /nfs/dbraw/zinc/39/58/19/698395819.db2.gz UNPNURUHEXGPSZ-SNVBAGLBSA-N -1 1 309.366 1.739 20 0 DDADMM CCC[C@@H](NC(=O)NC[C@H](c1ccsc1)N(C)C)C(=O)[O-] ZINC000780387053 698514212 /nfs/dbraw/zinc/51/42/12/698514212.db2.gz YXQJBWSWJGTGRR-VXGBXAGGSA-N -1 1 313.423 1.903 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](CCO)C1CC1 ZINC000305101955 698633762 /nfs/dbraw/zinc/63/37/62/698633762.db2.gz AKLVLVQZXWTGGB-NSHDSACASA-N -1 1 307.394 1.679 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCSc2ccccc2)C1 ZINC000314190266 698687423 /nfs/dbraw/zinc/68/74/23/698687423.db2.gz GFTXMHNSDURLMC-CYBMUJFWSA-N -1 1 322.430 1.692 20 0 DDADMM CCOC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1ccccc1 ZINC000750942556 700259650 /nfs/dbraw/zinc/25/96/50/700259650.db2.gz BPHALSHYEXGROD-UHFFFAOYSA-N -1 1 310.331 1.663 20 0 DDADMM Cc1cc(C(=O)Nc2nc(Br)ccc2[O-])ncn1 ZINC000783905335 698890574 /nfs/dbraw/zinc/89/05/74/698890574.db2.gz RZMHPNYKTWLITB-UHFFFAOYSA-N -1 1 309.123 1.900 20 0 DDADMM O=C([N-]C1CN(C(=O)c2ccoc2C(F)(F)F)C1)C(F)F ZINC000990026297 698904184 /nfs/dbraw/zinc/90/41/84/698904184.db2.gz NNQTVTQFPIQSBX-UHFFFAOYSA-N -1 1 312.194 1.504 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])[C@H](C)O1 ZINC000384794101 699073242 /nfs/dbraw/zinc/07/32/42/699073242.db2.gz RNQWVMJQTFKRPO-KHQFGBGNSA-N -1 1 318.326 1.578 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCCC1(O)CC1 ZINC000785671682 699090461 /nfs/dbraw/zinc/09/04/61/699090461.db2.gz IBCKTCKDXINEKF-UHFFFAOYSA-N -1 1 319.361 1.443 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])CCOC1 ZINC000397222333 699115018 /nfs/dbraw/zinc/11/50/18/699115018.db2.gz KVUHIBVPWZJRJL-LBPRGKRZSA-N -1 1 318.326 1.439 20 0 DDADMM CC(=O)CCC(C)(C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000786218294 699134499 /nfs/dbraw/zinc/13/44/99/699134499.db2.gz FAGYDKKHIGRNTR-UHFFFAOYSA-N -1 1 319.357 1.882 20 0 DDADMM O=C(NC1CN(C(=O)c2sccc2F)C1)c1ncccc1[O-] ZINC000990969085 699195619 /nfs/dbraw/zinc/19/56/19/699195619.db2.gz JUIJNBFOFPNXLY-UHFFFAOYSA-N -1 1 321.333 1.242 20 0 DDADMM O=C(NC1CN(C(=O)[C@H]2CC=CCC2)C1)c1ncccc1[O-] ZINC000990970002 699195629 /nfs/dbraw/zinc/19/56/29/699195629.db2.gz RGOJGZVMZKDWFS-NSHDSACASA-N -1 1 301.346 1.084 20 0 DDADMM CCCCc1ccc(N2C[C@@H](C(=O)[N-]OCCO)CC2=O)cc1 ZINC000787291077 699203063 /nfs/dbraw/zinc/20/30/63/699203063.db2.gz GFIDHHDOSGRPHW-AWEZNQCLSA-N -1 1 320.389 1.422 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000788119003 699280654 /nfs/dbraw/zinc/28/06/54/699280654.db2.gz BQSZUSHPGARKDF-NEPJUHHUSA-N -1 1 322.336 1.263 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]CCOC(F)(F)F ZINC000788917660 699347358 /nfs/dbraw/zinc/34/73/58/699347358.db2.gz RRRKWIAKTDADNC-UHFFFAOYSA-N -1 1 321.708 1.197 20 0 DDADMM CC[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000727850410 699428121 /nfs/dbraw/zinc/42/81/21/699428121.db2.gz XSGLVBUAVXEUDB-ZDUSSCGKSA-N -1 1 301.346 1.194 20 0 DDADMM CCOc1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)ccc1C ZINC000727856789 699428744 /nfs/dbraw/zinc/42/87/44/699428744.db2.gz ICWIRRHGHCBVPW-UHFFFAOYSA-N -1 1 317.345 1.273 20 0 DDADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)Cc1cc(-c2ccccc2)no1 ZINC000728291342 699443753 /nfs/dbraw/zinc/44/37/53/699443753.db2.gz IMDGZPZXDVUCRF-LBPRGKRZSA-N -1 1 322.342 1.077 20 0 DDADMM CCCCOC(=O)CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000730286649 699507103 /nfs/dbraw/zinc/50/71/03/699507103.db2.gz UDTVVXIZOMFMKN-UHFFFAOYSA-N -1 1 317.345 1.717 20 0 DDADMM CCCCOC(=O)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000730286649 699507104 /nfs/dbraw/zinc/50/71/04/699507104.db2.gz UDTVVXIZOMFMKN-UHFFFAOYSA-N -1 1 317.345 1.717 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3COc4ccccc4C3)ccnc1-2 ZINC000791163868 699613793 /nfs/dbraw/zinc/61/37/93/699613793.db2.gz GIOFSHSUKUDTTD-GFCCVEGCSA-N -1 1 308.341 1.532 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@]2(CC=CCC2)C1 ZINC000733990410 699630925 /nfs/dbraw/zinc/63/09/25/699630925.db2.gz VKBYRAFNDMEXIC-QGZVFWFLSA-N -1 1 317.389 1.276 20 0 DDADMM Cc1cnn(CCOC(=O)c2nn(-c3ccccc3)cc2[O-])c1 ZINC000791538179 699639858 /nfs/dbraw/zinc/63/98/58/699639858.db2.gz QGKCPEPQSRJGIV-UHFFFAOYSA-N -1 1 312.329 1.940 20 0 DDADMM CC(=O)OC(C)(C)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000792365459 699694179 /nfs/dbraw/zinc/69/41/79/699694179.db2.gz WTGFCXWXRBWDLB-UHFFFAOYSA-N -1 1 320.297 1.884 20 0 DDADMM C[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000736117960 699718409 /nfs/dbraw/zinc/71/84/09/699718409.db2.gz OZSJJHLVXDVMDB-UPJWGTAASA-N -1 1 305.378 1.106 20 0 DDADMM CC(C)(C)CCN1CCN(S(=O)(=O)CCCC(=O)[O-])CC1 ZINC000736248590 699721867 /nfs/dbraw/zinc/72/18/67/699721867.db2.gz LAIFLRUOWINDJM-UHFFFAOYSA-N -1 1 320.455 1.235 20 0 DDADMM O=C(CCCC1CCCCC1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737827619 699745582 /nfs/dbraw/zinc/74/55/82/699745582.db2.gz ZYOKWKFYQCBEOD-ZDUSSCGKSA-N -1 1 307.398 1.850 20 0 DDADMM CN1CCCC[C@H]1C(=O)NC[C@H](Cc1ccccc1)C(=O)[O-] ZINC000738014348 699749793 /nfs/dbraw/zinc/74/97/93/699749793.db2.gz BCRAZBCBXZKQJF-GJZGRUSLSA-N -1 1 304.390 1.530 20 0 DDADMM O=C(c1cnc2ccsc2c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000738284740 699756652 /nfs/dbraw/zinc/75/66/52/699756652.db2.gz WPVGNFZUEYSNHR-SNVBAGLBSA-N -1 1 316.346 1.023 20 0 DDADMM COC/C=C/C(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000793466993 699759141 /nfs/dbraw/zinc/75/91/41/699759141.db2.gz HCABFPXRDJMJBP-GFAPAMAISA-N -1 1 308.300 1.106 20 0 DDADMM C[C@H](O)CN1CCN(C(=O)c2c([O-])cccc2Cl)[C@@H](C)C1 ZINC000793875441 699785008 /nfs/dbraw/zinc/78/50/08/699785008.db2.gz QURDXCDDBWGKSZ-QWRGUYRKSA-N -1 1 312.797 1.573 20 0 DDADMM C[C@H](O)CCCOC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000795452125 699869273 /nfs/dbraw/zinc/86/92/73/699869273.db2.gz NQAXKTVDLIFYHK-QMMMGPOBSA-N -1 1 307.393 1.437 20 0 DDADMM COc1ccc2sc(C(=O)NN3CC(=O)[N-]C3=O)c(C)c2c1 ZINC000743286331 699905778 /nfs/dbraw/zinc/90/57/78/699905778.db2.gz ANQQJVAJGGIPLY-UHFFFAOYSA-N -1 1 319.342 1.415 20 0 DDADMM CC1N=NC(=S)N1CCNC(=O)c1c([O-])cccc1Cl ZINC000743500768 699915476 /nfs/dbraw/zinc/91/54/76/699915476.db2.gz SPBNTVLYXYOZEJ-UHFFFAOYSA-N -1 1 312.782 1.664 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N2[C@H](C)CCC[C@@H]2C)[n-]1 ZINC000796347192 699928478 /nfs/dbraw/zinc/92/84/78/699928478.db2.gz XTNQBZHRYZXYOA-PHIMTYICSA-N -1 1 322.361 1.748 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C(=O)N2CCCC2)C(C)C)[n-]1 ZINC000796370220 699929801 /nfs/dbraw/zinc/92/98/01/699929801.db2.gz WAIHPPTZBNTEJV-CYBMUJFWSA-N -1 1 322.361 1.605 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nsc(N(C)C)n2)[n-]1 ZINC000796369535 699929856 /nfs/dbraw/zinc/92/98/56/699929856.db2.gz PDGNJRRRJVYMQP-UHFFFAOYSA-N -1 1 310.335 1.076 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@H]2C)[n-]1 ZINC000796368898 699929930 /nfs/dbraw/zinc/92/99/30/699929930.db2.gz IMMVBTGWGDYOLE-SNVBAGLBSA-N -1 1 308.334 1.359 20 0 DDADMM CCCc1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)n[nH]1 ZINC000744639150 699956888 /nfs/dbraw/zinc/95/68/88/699956888.db2.gz QUJKONDNKGZCPC-UHFFFAOYSA-N -1 1 315.329 1.476 20 0 DDADMM CSCCCOC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000744954462 699966571 /nfs/dbraw/zinc/96/65/71/699966571.db2.gz OTQMZDORTFNESJ-UHFFFAOYSA-N -1 1 309.391 1.891 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1Cl)C(C)(C)O ZINC000751283970 700278582 /nfs/dbraw/zinc/27/85/82/700278582.db2.gz WOFKJWOWKGXHIU-LURJTMIESA-N -1 1 313.206 1.826 20 0 DDADMM COC(=O)[C@@H](C)OC(=O)c1nn(-c2ccc(OC)cc2)cc1[O-] ZINC000801364777 700300743 /nfs/dbraw/zinc/30/07/43/700300743.db2.gz FQGQETQKVYQJPI-SECBINFHSA-N -1 1 320.301 1.305 20 0 DDADMM CC[C@@H](OC(=O)c1nn(-c2ccc(C)cc2)cc1[O-])C(N)=O ZINC000801369759 700301630 /nfs/dbraw/zinc/30/16/30/700301630.db2.gz AUIZRJIMGJPYGL-GFCCVEGCSA-N -1 1 303.318 1.307 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCC(=O)CC(C)C ZINC000751660107 700304989 /nfs/dbraw/zinc/30/49/89/700304989.db2.gz NLNHGJQTHACETA-UHFFFAOYSA-N -1 1 319.361 1.753 20 0 DDADMM CCOC(=O)COC(=O)c1nn(-c2cccc(C)c2C)cc1[O-] ZINC000801416694 700305783 /nfs/dbraw/zinc/30/57/83/700305783.db2.gz KZVZUOSJBFNVHS-UHFFFAOYSA-N -1 1 318.329 1.915 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])OCc1ccc(Cl)cc1 ZINC000751757579 700313710 /nfs/dbraw/zinc/31/37/10/700313710.db2.gz ZDLBVYWRMPHOTO-UHFFFAOYSA-N -1 1 320.732 1.914 20 0 DDADMM CC(=O)c1ccc(CC(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000801703785 700332930 /nfs/dbraw/zinc/33/29/30/700332930.db2.gz WOWXXYKCANGYIO-UHFFFAOYSA-N -1 1 308.341 1.726 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)Cc2cc(C)n[nH]2)c1 ZINC000756704015 700636816 /nfs/dbraw/zinc/63/68/16/700636816.db2.gz CXYOKHOAFBYLSK-UHFFFAOYSA-N -1 1 302.330 1.955 20 0 DDADMM CNC(=O)[C@@H]([N-]C(=O)C(F)(F)c1c(F)cccc1F)C(C)C ZINC000757123550 700658466 /nfs/dbraw/zinc/65/84/66/700658466.db2.gz ZDDIBWNIIKFHCW-NSHDSACASA-N -1 1 320.286 1.943 20 0 DDADMM CC1(C)CN(C(=O)c2c([O-])cccc2Cl)CCS1(=O)=O ZINC000757437811 700662783 /nfs/dbraw/zinc/66/27/83/700662783.db2.gz SHVIRBUBMLZONO-UHFFFAOYSA-N -1 1 317.794 1.695 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCC2(C)OCCO2)sc1C ZINC000759115644 700737897 /nfs/dbraw/zinc/73/78/97/700737897.db2.gz NHWQICFIUASPPY-UHFFFAOYSA-N -1 1 306.409 1.191 20 0 DDADMM CC(C)c1ccc(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000763746122 700947527 /nfs/dbraw/zinc/94/75/27/700947527.db2.gz NBQMXOWGCUIKGK-UHFFFAOYSA-N -1 1 315.373 1.713 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCOCC2CCC2)c1Cl ZINC000765169099 701001533 /nfs/dbraw/zinc/00/15/33/701001533.db2.gz GEXFTKGRRXJDEH-UHFFFAOYSA-N -1 1 307.803 1.169 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2c(Cl)cccc2Cl)nc1=O ZINC000765399298 701009750 /nfs/dbraw/zinc/00/97/50/701009750.db2.gz TXRIXMBPFMNGCT-UHFFFAOYSA-N -1 1 316.144 1.701 20 0 DDADMM Cc1[nH]c(-c2ccccc2)nc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765446561 701013060 /nfs/dbraw/zinc/01/30/60/701013060.db2.gz SYACNAMYEAWKSM-UHFFFAOYSA-N -1 1 313.317 1.164 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(N)cc(Cl)c2Cl)nc1=O ZINC000765487035 701015425 /nfs/dbraw/zinc/01/54/25/701015425.db2.gz OKARBKSJZYJACE-UHFFFAOYSA-N -1 1 317.132 1.354 20 0 DDADMM Cc1nc(-c2ccccc2)oc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765493779 701015994 /nfs/dbraw/zinc/01/59/94/701015994.db2.gz YREIRZYTLZSMQT-UHFFFAOYSA-N -1 1 314.301 1.429 20 0 DDADMM CC1(C)CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC(C)(C)C1 ZINC000767190453 701089352 /nfs/dbraw/zinc/08/93/52/701089352.db2.gz MASHVFJWOFPAJY-UHFFFAOYSA-N -1 1 307.394 1.211 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC2CCC(=O)CC2)co1 ZINC000803407973 701111521 /nfs/dbraw/zinc/11/15/21/701111521.db2.gz UKUYBKVSPVMQJL-UHFFFAOYSA-N -1 1 315.347 1.104 20 0 DDADMM O=C(C[C@@H]1COC(=O)C1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000767600097 701120852 /nfs/dbraw/zinc/12/08/52/701120852.db2.gz MVKFGTPPDBOLTK-SECBINFHSA-N -1 1 318.281 1.495 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc2c(c1)CC(=O)N2C ZINC000767635288 701124634 /nfs/dbraw/zinc/12/46/34/701124634.db2.gz DYWXDUDGAMTKPZ-UHFFFAOYSA-N -1 1 307.331 1.005 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2c(Cl)cccc2Cl)CCOC1=O ZINC000810102827 701729393 /nfs/dbraw/zinc/72/93/93/701729393.db2.gz KWGRCHZBKYWAFX-NSHDSACASA-N -1 1 324.185 1.977 20 0 DDADMM CC(C)NC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000804857743 701217130 /nfs/dbraw/zinc/21/71/30/701217130.db2.gz ILOOQALPJCQINM-GUBZILKMSA-N -1 1 309.332 1.882 20 0 DDADMM Cc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1-c1ncco1 ZINC000770595939 701285364 /nfs/dbraw/zinc/28/53/64/701285364.db2.gz JFMXKBLWAXQWHZ-UHFFFAOYSA-N -1 1 315.289 1.238 20 0 DDADMM Cc1cn(C2CCCCC2)nc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000770706628 701291224 /nfs/dbraw/zinc/29/12/24/701291224.db2.gz AWLNSWNHUURNIX-UHFFFAOYSA-N -1 1 320.353 1.285 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)N1CCC[C@H]1c1cccs1 ZINC000805603415 701397313 /nfs/dbraw/zinc/39/73/13/701397313.db2.gz MQEPIBMYDXDKPA-KOLCDFICSA-N -1 1 320.374 1.775 20 0 DDADMM O=C(OCCOc1ccccc1Br)c1cn[n-]n1 ZINC000805606813 701399441 /nfs/dbraw/zinc/39/94/41/701399441.db2.gz NRZMDUYFZKKQPH-UHFFFAOYSA-N -1 1 312.123 1.803 20 0 DDADMM CC(C)[C@@H]1CC[C@@H](C)C[C@H]1OC(=O)COC(=O)c1cn[n-]n1 ZINC000805609410 701399835 /nfs/dbraw/zinc/39/98/35/701399835.db2.gz QMVIWYJIYOLLFJ-NTZNESFSSA-N -1 1 309.366 1.966 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@H](c4ccco4)C3)ccnc1-2 ZINC000806484082 701437266 /nfs/dbraw/zinc/43/72/66/701437266.db2.gz BLSKMWDKQDRSRP-NSHDSACASA-N -1 1 311.345 1.956 20 0 DDADMM Cn1c(Cl)nc(Cl)c1/C=C/c1cc(=O)n2[n-]cnc2n1 ZINC000806570982 701441583 /nfs/dbraw/zinc/44/15/83/701441583.db2.gz OLAOSDXVSHTVTQ-NSCUHMNNSA-N -1 1 311.132 1.628 20 0 DDADMM C[C@@H]1C[C@H](C(C)(C)C)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000807886247 701489639 /nfs/dbraw/zinc/48/96/39/701489639.db2.gz OHDOCUYODVYBCI-CHWSQXEVSA-N -1 1 321.421 1.600 20 0 DDADMM Cc1nc([C@@H](C)N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)no1 ZINC000810071021 701721304 /nfs/dbraw/zinc/72/13/04/701721304.db2.gz ZSKMVCJOOQIKDH-SCZZXKLOSA-N -1 1 320.315 1.830 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H]1C[C@@H]1C1CCCC1 ZINC000830952122 706609343 /nfs/dbraw/zinc/60/93/43/706609343.db2.gz BWNBEFPMGDGDPZ-VXGBXAGGSA-N -1 1 317.389 1.697 20 0 DDADMM COC(=O)C[C@@H](C)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000867867140 701802536 /nfs/dbraw/zinc/80/25/36/701802536.db2.gz PSEWWTKUOJMSPA-SSDOTTSWSA-N -1 1 323.317 1.203 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2cc(Cl)c(F)cc2Cl)C1=O ZINC000815907253 701964206 /nfs/dbraw/zinc/96/42/06/701964206.db2.gz WOSYNENYESHKJD-UHFFFAOYSA-N -1 1 320.107 1.671 20 0 DDADMM C[C@H](CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)[C@H]1CCCO1 ZINC000831116909 706637604 /nfs/dbraw/zinc/63/76/04/706637604.db2.gz GWTKUWMHUALNMP-MWLCHTKSSA-N -1 1 322.327 1.329 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(C)COC(C)(C)OC2)n1 ZINC000816535910 702109109 /nfs/dbraw/zinc/10/91/09/702109109.db2.gz FOJQEJBWZZOBIM-UHFFFAOYSA-N -1 1 311.338 1.314 20 0 DDADMM CC1(C)CC[C@@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868611089 702224285 /nfs/dbraw/zinc/22/42/85/702224285.db2.gz PQZAPSSBYAWFHS-NSHDSACASA-N -1 1 306.366 1.243 20 0 DDADMM CCOCn1nc(C)c(CC(=O)[N-]O[C@@H](CO)C(C)C)c1C ZINC000868726396 702288809 /nfs/dbraw/zinc/28/88/09/702288809.db2.gz IKTFRLSWMZFPAI-AWEZNQCLSA-N -1 1 313.398 1.101 20 0 DDADMM C[C@H](O)CN1c2ccccc2C[C@H]1C[N-]C(=O)C(F)(F)F ZINC000817295315 702332875 /nfs/dbraw/zinc/33/28/75/702332875.db2.gz YGUNRNVQQUEKFH-ONGXEEELSA-N -1 1 302.296 1.477 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1c[nH]nc1Cl)C1CCCCC1 ZINC000831249489 706669413 /nfs/dbraw/zinc/66/94/13/706669413.db2.gz TVOPTFJMAVBUPH-GFCCVEGCSA-N -1 1 321.830 1.673 20 0 DDADMM CC1(C)CCc2cccc(NC(=O)CCc3nn[n-]n3)c2O1 ZINC000869450701 702611203 /nfs/dbraw/zinc/61/12/03/702611203.db2.gz LGXCHCPVLUDBEK-UHFFFAOYSA-N -1 1 301.350 1.875 20 0 DDADMM CC(C)C[C@@H](C)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869473621 702618192 /nfs/dbraw/zinc/61/81/92/702618192.db2.gz GKKWYKDUYPHJIP-SECBINFHSA-N -1 1 311.300 1.850 20 0 DDADMM CON(C)C(=O)[C@@H](C)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869494015 702624214 /nfs/dbraw/zinc/62/42/14/702624214.db2.gz BLABBGHNTHSEEH-MRVPVSSYSA-N -1 1 300.742 1.113 20 0 DDADMM CC(C)(C)CC(=O)[N-]OCC(=O)NC[C@@H]1Cc2ccccc2O1 ZINC000842062752 702665493 /nfs/dbraw/zinc/66/54/93/702665493.db2.gz ACCBWUSONUADJU-ZDUSSCGKSA-N -1 1 320.389 1.590 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CC(=O)NC3(CCC3)C2)[n-]1 ZINC000842459234 702723073 /nfs/dbraw/zinc/72/30/73/702723073.db2.gz LSBRSNWGDSZQAT-SECBINFHSA-N -1 1 306.318 1.159 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2nccnc2Cl)sc1C ZINC000831472748 706713634 /nfs/dbraw/zinc/71/36/34/706713634.db2.gz LTRMRLSQKUDNBT-UHFFFAOYSA-N -1 1 318.811 1.682 20 0 DDADMM CC[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)[C@H](CC)CO1 ZINC000844649779 703051296 /nfs/dbraw/zinc/05/12/96/703051296.db2.gz CZBQDOYQEUUURH-VXGBXAGGSA-N -1 1 317.393 1.763 20 0 DDADMM O=C([O-])[C@@H]1CCCCN1CCS(=O)(=O)Cc1ccccc1 ZINC000846225254 703253374 /nfs/dbraw/zinc/25/33/74/703253374.db2.gz LPSJHCMJIZTBEY-AWEZNQCLSA-N -1 1 311.403 1.541 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N(C)[C@@H](C)CCO ZINC000846600369 703302031 /nfs/dbraw/zinc/30/20/31/703302031.db2.gz JUQOTEVGRIFMOL-JTQLQIEISA-N -1 1 320.393 1.214 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ccccn1 ZINC000847080241 703375271 /nfs/dbraw/zinc/37/52/71/703375271.db2.gz MWHVOYKKKBQHAA-QWRGUYRKSA-N -1 1 302.334 1.680 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCCc2ccsc2)n[n-]1 ZINC000879655044 706750740 /nfs/dbraw/zinc/75/07/40/706750740.db2.gz ZIBMIKKAANIHFA-UHFFFAOYSA-N -1 1 322.390 1.682 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCCc2ccsc2)n1 ZINC000879655044 706750743 /nfs/dbraw/zinc/75/07/43/706750743.db2.gz ZIBMIKKAANIHFA-UHFFFAOYSA-N -1 1 322.390 1.682 20 0 DDADMM C[C@H]1C[C@@H](C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)CO1 ZINC000848340268 703540701 /nfs/dbraw/zinc/54/07/01/703540701.db2.gz PKUHOMIHAOIIQI-LNFKQOIKSA-N -1 1 322.327 1.469 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C[C@@H]1CCCO1 ZINC000848342524 703541111 /nfs/dbraw/zinc/54/11/11/703541111.db2.gz RUKKOFDMTQORJD-OUAUKWLOSA-N -1 1 322.327 1.614 20 0 DDADMM CCn1ncnc1C[N-]c1nnc([C@H]2Cc3ccccc3O2)o1 ZINC000849166869 703623086 /nfs/dbraw/zinc/62/30/86/703623086.db2.gz TVQOGMCJTKTSEM-GFCCVEGCSA-N -1 1 312.333 1.969 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(OC)OC ZINC000849407685 703644684 /nfs/dbraw/zinc/64/46/84/703644684.db2.gz VOEGUXZYDCNKAV-SECBINFHSA-N -1 1 309.334 1.641 20 0 DDADMM O=S(=O)([N-][C@H]1COC2(CCC2)C1)c1cc(F)ccc1F ZINC000849449363 703649317 /nfs/dbraw/zinc/64/93/17/703649317.db2.gz DGLBMMPMMAPXQS-SNVBAGLBSA-N -1 1 303.330 1.955 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2CC3(CC3)C2)cc1 ZINC000850216656 703714600 /nfs/dbraw/zinc/71/46/00/703714600.db2.gz YVLUHPWKRURLRZ-UHFFFAOYSA-N -1 1 317.341 1.685 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2cnc(Cl)c(C)c2)on1 ZINC000851813787 703862345 /nfs/dbraw/zinc/86/23/45/703862345.db2.gz XBGJAIRRDDNKIX-UHFFFAOYSA-N -1 1 317.754 1.519 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN[C@H](C)c2csnn2)cc1 ZINC000852175991 703955699 /nfs/dbraw/zinc/95/56/99/703955699.db2.gz PIRFCLGPGFSDAH-SECBINFHSA-N -1 1 320.374 1.154 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC000870277983 703983248 /nfs/dbraw/zinc/98/32/48/703983248.db2.gz AYXXHZJYDXGGQP-VXGBXAGGSA-N -1 1 318.377 1.494 20 0 DDADMM C[C@@H](C(=O)NC1CC1)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852759108 704117445 /nfs/dbraw/zinc/11/74/45/704117445.db2.gz LNZBHQLRKFHCDT-YWVKMMECSA-N -1 1 321.343 1.042 20 0 DDADMM COC[C@@H](O)CNc1cc(C)cc(C[N-]C(=O)C(F)(F)F)c1 ZINC000819491015 704133083 /nfs/dbraw/zinc/13/30/83/704133083.db2.gz TXBUYWLFTOFZGC-LBPRGKRZSA-N -1 1 320.311 1.593 20 0 DDADMM C[C@@H]1CCN(C(=S)NCC2CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000853051350 704189137 /nfs/dbraw/zinc/18/91/37/704189137.db2.gz CKASXRJEJHDLFS-SCZZXKLOSA-N -1 1 323.384 1.660 20 0 DDADMM O=C([N-]c1nnc2n1CCCC2)c1conc1C(F)(F)F ZINC000831893376 706799217 /nfs/dbraw/zinc/79/92/17/706799217.db2.gz CNNVNXWVUZFIIL-UHFFFAOYSA-N -1 1 301.228 1.874 20 0 DDADMM O=C([N-]S(=O)(=O)CC1CCC1)c1cc2[nH]cnc2cc1F ZINC000820381022 704269601 /nfs/dbraw/zinc/26/96/01/704269601.db2.gz GJAMQVNYYKQAHJ-UHFFFAOYSA-N -1 1 311.338 1.562 20 0 DDADMM CCOC(=O)[C@@H](CC=C(C)C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000871478838 704301978 /nfs/dbraw/zinc/30/19/78/704301978.db2.gz WTUQARREWZETIW-CQSZACIVSA-N -1 1 319.357 1.979 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC(C)(C)CCCO ZINC000867051002 706882352 /nfs/dbraw/zinc/88/23/52/706882352.db2.gz KHCGEOFGPPREQN-UHFFFAOYSA-N -1 1 314.473 1.127 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC2CCN(C#N)CC2)c1 ZINC000821241117 704383106 /nfs/dbraw/zinc/38/31/06/704383106.db2.gz JVINWVWGDGSZEE-QFIPXVFZSA-N -1 1 322.386 1.291 20 0 DDADMM CN1CCC[C@H](Nc2snc(Cl)c2-c2nnn[n-]2)C1=O ZINC000821623063 704427962 /nfs/dbraw/zinc/42/79/62/704427962.db2.gz BKUSCXATGDZCGF-YFKPBYRVSA-N -1 1 313.774 1.009 20 0 DDADMM CN1CCC[C@H](Nc2snc(Cl)c2-c2nn[n-]n2)C1=O ZINC000821623063 704427965 /nfs/dbraw/zinc/42/79/65/704427965.db2.gz BKUSCXATGDZCGF-YFKPBYRVSA-N -1 1 313.774 1.009 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H]1c1ccc(F)cc1 ZINC000855565086 704491651 /nfs/dbraw/zinc/49/16/51/704491651.db2.gz CZNBESLJENRTQA-CYBMUJFWSA-N -1 1 317.320 1.039 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCOC(=O)N(C)C ZINC000855649118 704495156 /nfs/dbraw/zinc/49/51/56/704495156.db2.gz ITPPFXMDXNRGLH-UHFFFAOYSA-N -1 1 310.354 1.042 20 0 DDADMM O=C([N-]OCC1CCC1)[C@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000856345702 704523752 /nfs/dbraw/zinc/52/37/52/704523752.db2.gz NWRAIFOBSQRHRR-ZDUSSCGKSA-N -1 1 303.362 1.278 20 0 DDADMM Cc1[nH]ccc1C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417833 704528130 /nfs/dbraw/zinc/52/81/30/704528130.db2.gz KECYCRQAMYZIHQ-KCJUWKMLSA-N -1 1 317.311 1.852 20 0 DDADMM C=CC(=O)Nc1cc(NC(=O)c2n[nH]c(C)c2[O-])ccc1F ZINC000857462945 704592778 /nfs/dbraw/zinc/59/27/78/704592778.db2.gz RPSVHYKKDPOSHL-UHFFFAOYSA-N -1 1 304.281 1.940 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858516545 704721116 /nfs/dbraw/zinc/72/11/16/704721116.db2.gz HQQSVEMJRVNXJH-MRVPVSSYSA-N -1 1 305.787 1.239 20 0 DDADMM CC1(C)C(=O)N[C@@H]1C1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858555510 704726743 /nfs/dbraw/zinc/72/67/43/704726743.db2.gz HIXDQGCHCRYXQY-LLVKDONJSA-N -1 1 310.785 1.577 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2cc(Cl)sc2Cl)CO1 ZINC000867285988 706948364 /nfs/dbraw/zinc/94/83/64/706948364.db2.gz OJXLWKMSPGQIBN-BYPYZUCNSA-N -1 1 316.187 1.649 20 0 DDADMM C[C@H](F)CC[N-]S(=O)(=O)c1cn(C)nc1Br ZINC000867308341 706955177 /nfs/dbraw/zinc/95/51/77/706955177.db2.gz HQHPBLBDPVHULK-LURJTMIESA-N -1 1 314.180 1.209 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCCC1 ZINC000867316798 706958324 /nfs/dbraw/zinc/95/83/24/706958324.db2.gz WVYSBTHPTZGFDE-UHFFFAOYSA-N -1 1 306.334 1.597 20 0 DDADMM CC[C@H](C)[C@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)OC ZINC000867329649 706962960 /nfs/dbraw/zinc/96/29/60/706962960.db2.gz HUOXINASBRHGGF-IUCAKERBSA-N -1 1 308.350 1.699 20 0 DDADMM Cc1cc(C(F)F)oc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000859278091 704846241 /nfs/dbraw/zinc/84/62/41/704846241.db2.gz KCIAZMXBGLHHCE-MRVPVSSYSA-N -1 1 313.264 1.252 20 0 DDADMM CSc1nc(C)c(CCC(=O)N=c2sccn2C)c(=O)[n-]1 ZINC000822036313 704865884 /nfs/dbraw/zinc/86/58/84/704865884.db2.gz KKIMMXIHQJNJIU-UHFFFAOYSA-N -1 1 324.431 1.673 20 0 DDADMM CCO[C@H](COC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C1CC1 ZINC000859497488 704918465 /nfs/dbraw/zinc/91/84/65/704918465.db2.gz LTYMTJWCIFUSOY-LLVKDONJSA-N -1 1 317.363 1.160 20 0 DDADMM O=C(N1CCC[C@@H](c2n[n-]c(=O)o2)C1)C1(Br)CC1 ZINC000822657691 704998628 /nfs/dbraw/zinc/99/86/28/704998628.db2.gz PWNSWHWNTVJJJC-SSDOTTSWSA-N -1 1 316.155 1.409 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1ccc(C(F)(F)F)s1 ZINC000834345573 707033956 /nfs/dbraw/zinc/03/39/56/707033956.db2.gz UBFRNPPMAZGEKU-UHFFFAOYSA-N -1 1 319.312 1.919 20 0 DDADMM CCn1cc(CN[C@H](C(=O)[O-])c2ccc3c(c2)CCO3)cn1 ZINC000859817335 705011945 /nfs/dbraw/zinc/01/19/45/705011945.db2.gz OSKKAYCERPYWRL-HNNXBMFYSA-N -1 1 301.346 1.753 20 0 DDADMM CN(Cc1ccccc1)C1CN(C(=O)N[C@H]2C[C@@H](C(=O)[O-])C2)C1 ZINC000874416843 705051772 /nfs/dbraw/zinc/05/17/72/705051772.db2.gz CNPFIYYNDUNJOZ-OKILXGFUSA-N -1 1 317.389 1.375 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1cc2cccc(F)c2o1 ZINC000822904418 705078372 /nfs/dbraw/zinc/07/83/72/705078372.db2.gz YJXOARPSQGTSNT-UHFFFAOYSA-N -1 1 313.252 1.219 20 0 DDADMM C[C@H]1CN(C(=O)CCCc2nn[n-]n2)CC[C@@H](C(F)(F)F)O1 ZINC000874557601 705103961 /nfs/dbraw/zinc/10/39/61/705103961.db2.gz VGXHGAAYMPOPNZ-IUCAKERBSA-N -1 1 321.303 1.091 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000860144889 705112251 /nfs/dbraw/zinc/11/22/51/705112251.db2.gz YLFIMYLDZDZOFT-UUIJZJDISA-N -1 1 319.357 1.546 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2sccc2F)n[n-]1 ZINC000880665830 707051978 /nfs/dbraw/zinc/05/19/78/707051978.db2.gz HPNKNWPXVHMJTI-LURJTMIESA-N -1 1 312.326 1.673 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2sccc2F)[n-]1 ZINC000880665830 707051980 /nfs/dbraw/zinc/05/19/80/707051980.db2.gz HPNKNWPXVHMJTI-LURJTMIESA-N -1 1 312.326 1.673 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2sccc2F)n1 ZINC000880665830 707051981 /nfs/dbraw/zinc/05/19/81/707051981.db2.gz HPNKNWPXVHMJTI-LURJTMIESA-N -1 1 312.326 1.673 20 0 DDADMM CCC(CC)Oc1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000823736556 705305256 /nfs/dbraw/zinc/30/52/56/705305256.db2.gz GERQNTJLTUETDK-UHFFFAOYSA-N -1 1 305.334 1.451 20 0 DDADMM O=C(CS(=O)(=O)C1CC1)NCc1ccc([O-])c(Cl)c1 ZINC000834821566 707130132 /nfs/dbraw/zinc/13/01/32/707130132.db2.gz BPQQDMKZERINDO-UHFFFAOYSA-N -1 1 303.767 1.239 20 0 DDADMM CON(C)CCCNC(=O)c1cc(Br)ccc1[O-] ZINC000824510299 705468729 /nfs/dbraw/zinc/46/87/29/705468729.db2.gz SZLXTRDXTIECCD-UHFFFAOYSA-N -1 1 317.183 1.768 20 0 DDADMM CSCCCCCCC(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000875735036 705493249 /nfs/dbraw/zinc/49/32/49/705493249.db2.gz SCGOGJDACPPCIP-UHFFFAOYSA-N -1 1 323.422 1.978 20 0 DDADMM CCCO[C@@H](C(=O)N(C)c1nn[n-]n1)c1ccc(F)cc1C ZINC000825532727 705688719 /nfs/dbraw/zinc/68/87/19/705688719.db2.gz ZWYLHEIGCBBTHL-GFCCVEGCSA-N -1 1 307.329 1.778 20 0 DDADMM C[C@@H](O)[C@@H]1CN(C(=O)NCc2ccc([O-])c(Cl)c2)CCO1 ZINC000876396264 705708540 /nfs/dbraw/zinc/70/85/40/705708540.db2.gz BHQWMAAOISZKRD-RNCFNFMXSA-N -1 1 314.769 1.337 20 0 DDADMM Cc1ncsc1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826331542 705792315 /nfs/dbraw/zinc/79/23/15/705792315.db2.gz MXOILMRLMWZNSG-UHFFFAOYSA-N -1 1 305.323 1.109 20 0 DDADMM Cc1ncsc1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826331542 705792316 /nfs/dbraw/zinc/79/23/16/705792316.db2.gz MXOILMRLMWZNSG-UHFFFAOYSA-N -1 1 305.323 1.109 20 0 DDADMM Cc1onc(CC(=O)N(C)Cc2ccsc2)c1-c1nnn[n-]1 ZINC000826343064 705792892 /nfs/dbraw/zinc/79/28/92/705792892.db2.gz LBMRMNAAOHXDKB-UHFFFAOYSA-N -1 1 318.362 1.426 20 0 DDADMM Cc1onc(CC(=O)N(C)Cc2ccsc2)c1-c1nn[n-]n1 ZINC000826343064 705792894 /nfs/dbraw/zinc/79/28/94/705792894.db2.gz LBMRMNAAOHXDKB-UHFFFAOYSA-N -1 1 318.362 1.426 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)C[C@H](C)C2)c1-c1nnn[n-]1 ZINC000826343882 705793005 /nfs/dbraw/zinc/79/30/05/705793005.db2.gz PVKKTORMXORASQ-IUCAKERBSA-N -1 1 304.354 1.210 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)C[C@H](C)C2)c1-c1nn[n-]n1 ZINC000826343882 705793006 /nfs/dbraw/zinc/79/30/06/705793006.db2.gz PVKKTORMXORASQ-IUCAKERBSA-N -1 1 304.354 1.210 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)CC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826343661 705793126 /nfs/dbraw/zinc/79/31/26/705793126.db2.gz JJJOOFIYVCGZDQ-IUCAKERBSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)CC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826343661 705793131 /nfs/dbraw/zinc/79/31/31/705793131.db2.gz JJJOOFIYVCGZDQ-IUCAKERBSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N2CCCC(C)(C)C2)c1-c1nnn[n-]1 ZINC000826344625 705794014 /nfs/dbraw/zinc/79/40/14/705794014.db2.gz MWJOINLARBOQNS-UHFFFAOYSA-N -1 1 304.354 1.354 20 0 DDADMM Cc1onc(CC(=O)N2CCCC(C)(C)C2)c1-c1nn[n-]n1 ZINC000826344625 705794015 /nfs/dbraw/zinc/79/40/15/705794015.db2.gz MWJOINLARBOQNS-UHFFFAOYSA-N -1 1 304.354 1.354 20 0 DDADMM CCC[C@H](O)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000826686390 705845887 /nfs/dbraw/zinc/84/58/87/705845887.db2.gz QDMARPIKYKKSFI-UTLUCORTSA-N -1 1 310.316 1.063 20 0 DDADMM Cc1ccc(C(=O)[N-]N2C(=O)[C@H](C)N(C)C2=O)c2ncccc12 ZINC000826829930 705879978 /nfs/dbraw/zinc/87/99/78/705879978.db2.gz AQHOHCKMLSKBOS-JTQLQIEISA-N -1 1 312.329 1.471 20 0 DDADMM O=C(C1[C@@H]2CCCCCC[C@@H]12)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826833425 705880820 /nfs/dbraw/zinc/88/08/20/705880820.db2.gz CUNGVOXYIMLINN-UTUOFQBUSA-N -1 1 305.382 1.316 20 0 DDADMM C[C@H](O)CN1CCN(C(=O)Nc2cccc(C(=O)[O-])c2)C[C@@H]1C ZINC000827796417 706069107 /nfs/dbraw/zinc/06/91/07/706069107.db2.gz UFCPPHBPWXFXEO-RYUDHWBXSA-N -1 1 321.377 1.304 20 0 DDADMM CCc1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)s1 ZINC000864159684 706084553 /nfs/dbraw/zinc/08/45/53/706084553.db2.gz YEZMRWCVTNGOGO-UHFFFAOYSA-N -1 1 310.419 1.932 20 0 DDADMM O=C([N-]CCc1csc(N2CCOCC2)n1)C(F)(F)F ZINC000864366275 706148029 /nfs/dbraw/zinc/14/80/29/706148029.db2.gz PCHGDXPAPAVASB-UHFFFAOYSA-N -1 1 309.313 1.201 20 0 DDADMM C[C@@H](NC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000864428096 706166069 /nfs/dbraw/zinc/16/60/69/706166069.db2.gz AKHOBUOOFYPIRM-PELKAZGASA-N -1 1 307.316 1.637 20 0 DDADMM CC(C)[C@@H]1C[C@H](C(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000828416407 706175366 /nfs/dbraw/zinc/17/53/66/706175366.db2.gz IYDMAFLTOKRNNG-OLZOCXBDSA-N -1 1 307.398 1.357 20 0 DDADMM CCOc1cc(F)cc(CN[C@H](C(=O)[O-])c2ccnn2C)c1 ZINC000864516543 706186113 /nfs/dbraw/zinc/18/61/13/706186113.db2.gz OQQYTCIBUZNPRY-AWEZNQCLSA-N -1 1 307.325 1.873 20 0 DDADMM CCOC(=O)[C@H]1CCCCN1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000877943665 706247412 /nfs/dbraw/zinc/24/74/12/706247412.db2.gz NTQUJCYTLWRGGK-CYBMUJFWSA-N -1 1 321.377 1.173 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]C(C)(C)C(C)(C)O ZINC000872466638 707428599 /nfs/dbraw/zinc/42/85/99/707428599.db2.gz AUDNMNWVAYUFTB-SFHVURJKSA-N -1 1 314.473 1.267 20 0 DDADMM C[C@H](CSc1nc(C(F)(F)F)cc(=O)[n-]1)S(C)(=O)=O ZINC000829184260 706299544 /nfs/dbraw/zinc/29/95/44/706299544.db2.gz ZFXVPWISBVQLQG-RXMQYKEDSA-N -1 1 316.326 1.726 20 0 DDADMM CO[C@@H](C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CCC1 ZINC000830788393 706579861 /nfs/dbraw/zinc/57/98/61/706579861.db2.gz CLUIMKHEMWKLCH-ZWNOBZJWSA-N -1 1 322.327 1.471 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CCC2(O)CCC2)C1 ZINC000830796230 706581525 /nfs/dbraw/zinc/58/15/25/706581525.db2.gz YFDOTXSBJQAFHV-GFCCVEGCSA-N -1 1 322.327 1.351 20 0 DDADMM CS[C@@H](C)CC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816481 706585529 /nfs/dbraw/zinc/58/55/29/706585529.db2.gz PRDINFYGLIGOES-GZMMTYOYSA-N -1 1 312.357 1.798 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@@H]2CCCO2)C1 ZINC000830832900 706589401 /nfs/dbraw/zinc/58/94/01/706589401.db2.gz KJKUIRYZXLYORX-CABZTGNLSA-N -1 1 308.300 1.225 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](O)c2cccc(F)c2)sn1 ZINC000866837242 706820289 /nfs/dbraw/zinc/82/02/89/706820289.db2.gz BNXIGLYCFNKCMA-NSHDSACASA-N -1 1 316.379 1.603 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1c(C)nn(C)c1C ZINC000866889728 706836312 /nfs/dbraw/zinc/83/63/12/706836312.db2.gz XDGGDDQAMSJYRC-JTQLQIEISA-N -1 1 322.456 1.050 20 0 DDADMM O=C(N1CCC[C@H](c2nn[n-]n2)C1)C1([C@@H]2CCCCO2)CCC1 ZINC000866901682 706839899 /nfs/dbraw/zinc/83/98/99/706839899.db2.gz LTEIAKPXKLFPJN-STQMWFEESA-N -1 1 319.409 1.645 20 0 DDADMM O=C([O-])[C@@]1(NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CCc2ccccc21 ZINC000908979609 712907612 /nfs/dbraw/zinc/90/76/12/712907612.db2.gz UWWKIDQTGLFFIV-PSTGCABASA-N -1 1 311.341 1.556 20 0 DDADMM O=S(=O)([N-]CCc1ccncc1)c1c[nH]nc1C(F)(F)F ZINC000866965971 706858124 /nfs/dbraw/zinc/85/81/24/706858124.db2.gz ROGJBYFWOJXXKJ-UHFFFAOYSA-N -1 1 320.296 1.345 20 0 DDADMM CCOC(=O)[C@H](C)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866965930 706858359 /nfs/dbraw/zinc/85/83/59/706858359.db2.gz QDIKJFNBQXOABR-SSDOTTSWSA-N -1 1 324.761 1.352 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCOC2(CCCC2)C1 ZINC000866983029 706863992 /nfs/dbraw/zinc/86/39/92/706863992.db2.gz CJUVZJBDBFLIHV-WLRWDXFRSA-N -1 1 324.468 1.430 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1CCOC2(CCC2)C1 ZINC000866984333 706864555 /nfs/dbraw/zinc/86/45/55/706864555.db2.gz QDYAKEZCYGYQBF-LLVKDONJSA-N -1 1 322.452 1.184 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1[C@@H]2CCc3ccccc3[C@@H]21 ZINC000866990848 706866449 /nfs/dbraw/zinc/86/64/49/706866449.db2.gz BUGDNZDISYDTAM-FRRDWIJNSA-N -1 1 314.432 1.277 20 0 DDADMM CCOC[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C(C)C ZINC000867174095 706915581 /nfs/dbraw/zinc/91/55/81/706915581.db2.gz IEKHEBDOUICOSP-VIFPVBQESA-N -1 1 308.350 1.699 20 0 DDADMM CCCO[N-]C(=O)[C@H]1CO[C@H](CCC(=O)OC(C)(C)C)C1 ZINC000880418719 706968331 /nfs/dbraw/zinc/96/83/31/706968331.db2.gz DBTCLADDORFRDI-VXGBXAGGSA-N -1 1 301.383 1.971 20 0 DDADMM CN(CCC(C)(C)C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000832790507 706985045 /nfs/dbraw/zinc/98/50/45/706985045.db2.gz ZZBDEIXKUYRUHD-UHFFFAOYSA-N -1 1 317.393 1.175 20 0 DDADMM C[C@H](C(=O)[O-])N(C(=O)N[C@@H]1CCc2nc[nH]c2C1)C1CCC1 ZINC000909021650 712919110 /nfs/dbraw/zinc/91/91/10/712919110.db2.gz YMIJHVAVSXIKAQ-NXEZZACHSA-N -1 1 306.366 1.304 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H](O)C12CCC2)c1ccc(Cl)nc1F ZINC000867519515 707026024 /nfs/dbraw/zinc/02/60/24/707026024.db2.gz RFFGVXWOTCJYSY-BDAKNGLRSA-N -1 1 320.773 1.456 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC000834397019 707045057 /nfs/dbraw/zinc/04/50/57/707045057.db2.gz TWFUDHLGMXTCBV-GARJFASQSA-N -1 1 323.418 1.900 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)C2=COCC2)n[n-]1 ZINC000834686498 707102797 /nfs/dbraw/zinc/10/27/97/707102797.db2.gz HYIRATATSLKXGD-LLVKDONJSA-N -1 1 322.365 1.489 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)C2=COCC2)[n-]1 ZINC000834686498 707102799 /nfs/dbraw/zinc/10/27/99/707102799.db2.gz HYIRATATSLKXGD-LLVKDONJSA-N -1 1 322.365 1.489 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)C2=COCC2)n1 ZINC000834686498 707102802 /nfs/dbraw/zinc/10/28/02/707102802.db2.gz HYIRATATSLKXGD-LLVKDONJSA-N -1 1 322.365 1.489 20 0 DDADMM CCCCCc1cc(C(=O)[N-]N2C(=O)N[C@@](C)(CC)C2=O)n[nH]1 ZINC000834788412 707118320 /nfs/dbraw/zinc/11/83/20/707118320.db2.gz AOPZETDVAAUIBZ-HNNXBMFYSA-N -1 1 321.381 1.508 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@H]1CCCCS1(=O)=O ZINC000834825167 707131209 /nfs/dbraw/zinc/13/12/09/707131209.db2.gz HVXMVBJGAGZLPR-GFCCVEGCSA-N -1 1 317.794 1.629 20 0 DDADMM CCCCNC(=O)[C@H](C)O[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871797878 707207793 /nfs/dbraw/zinc/20/77/93/707207793.db2.gz HNCPJXAWSJPREA-ZDUSSCGKSA-N -1 1 322.405 1.980 20 0 DDADMM CO[C@@H]1CN(C[C@@H]2CC3(CO2)CCOCC3)[C@](C)(C(=O)[O-])C1 ZINC000872160230 707310124 /nfs/dbraw/zinc/31/01/24/707310124.db2.gz BGFXQPQACYJYDF-YDHLFZDLSA-N -1 1 313.394 1.136 20 0 DDADMM O=S(=O)(CCOCC1CCC1)[N-]c1cnn(C(F)F)c1 ZINC000872363254 707391400 /nfs/dbraw/zinc/39/14/00/707391400.db2.gz VDMNHUFFWRNUKQ-UHFFFAOYSA-N -1 1 309.338 1.837 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1c[nH]nc1Cl)CC1CCCCC1 ZINC000872517365 707458748 /nfs/dbraw/zinc/45/87/48/707458748.db2.gz YAZABEZKWDJBNW-UHFFFAOYSA-N -1 1 319.814 1.881 20 0 DDADMM COCCOC(=O)N(C)CSc1nc(C)c(C)c(=O)[n-]1 ZINC000882151564 707517743 /nfs/dbraw/zinc/51/77/43/707517743.db2.gz DLFNCHLIONIYFZ-UHFFFAOYSA-N -1 1 301.368 1.563 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]C1(C)CC(F)(F)C1 ZINC000872668049 707549894 /nfs/dbraw/zinc/54/98/94/707549894.db2.gz UIKJPYYDJAMPHM-UHFFFAOYSA-N -1 1 313.757 1.848 20 0 DDADMM C[C@@]1(O)C[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C2CCCCC2)C1 ZINC000882269715 707565088 /nfs/dbraw/zinc/56/50/88/707565088.db2.gz ZTOPWMOXMYXCNT-DJHSXXLPSA-N -1 1 324.468 1.165 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C1 ZINC000872765028 707590102 /nfs/dbraw/zinc/59/01/02/707590102.db2.gz MSHHSMSYMWVMQQ-JEZHCXPESA-N -1 1 319.283 1.310 20 0 DDADMM CCO[C@@H]1COC[C@H]1NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873037281 707703272 /nfs/dbraw/zinc/70/32/72/707703272.db2.gz SJVYMHBPFFZILL-DGCLKSJQSA-N -1 1 314.769 1.649 20 0 DDADMM CC1(C[N-]S(=O)(=O)N=S2(=O)CCCC2)CC(F)(F)C1 ZINC000882572472 707704169 /nfs/dbraw/zinc/70/41/69/707704169.db2.gz LWVNKGGZFKELRV-UHFFFAOYSA-N -1 1 316.395 1.518 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2(C)CC(F)(F)C2)c1 ZINC000882573598 707704444 /nfs/dbraw/zinc/70/44/44/707704444.db2.gz DEQDYPDQELLZRX-UHFFFAOYSA-N -1 1 323.317 1.780 20 0 DDADMM C=C/C=C/CC[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000882584247 707707964 /nfs/dbraw/zinc/70/79/64/707707964.db2.gz MYSSJJPNFAWFMR-SNAWJCMRSA-N -1 1 309.313 1.850 20 0 DDADMM COC(=O)N(C)CCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873073031 707719873 /nfs/dbraw/zinc/71/98/73/707719873.db2.gz HWVMYWGWZZIPNY-UHFFFAOYSA-N -1 1 315.757 1.543 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2C[C@@H]3CCCC[C@@H]3NC2=O)[n-]1 ZINC000837740299 707734452 /nfs/dbraw/zinc/73/44/52/707734452.db2.gz MESVSQKBYMACJP-OUJBWJOFSA-N -1 1 320.345 1.405 20 0 DDADMM CCN(C)S(=O)(=O)[N-]CC(F)(F)c1cc(F)cc(F)c1 ZINC000882659392 707735652 /nfs/dbraw/zinc/73/56/52/707735652.db2.gz CIIBRESPZHAGSX-UHFFFAOYSA-N -1 1 314.304 1.843 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@H]2CC23CCC3)C1 ZINC000873267237 707791744 /nfs/dbraw/zinc/79/17/44/707791744.db2.gz AEOCYQCOXDJLFP-CABZTGNLSA-N -1 1 319.327 1.782 20 0 DDADMM CC[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H]1CCCOC1 ZINC000883153160 707942270 /nfs/dbraw/zinc/94/22/70/707942270.db2.gz USSSASSYJPMPOX-DGCLKSJQSA-N -1 1 315.373 1.858 20 0 DDADMM O=C([O-])C1(NC(=O)[C@H]2CCC[N@@H+](Cc3cccnc3)C2)CCC1 ZINC000909338351 712995202 /nfs/dbraw/zinc/99/52/02/712995202.db2.gz RUSHQKCXYWQXGQ-AWEZNQCLSA-N -1 1 317.389 1.417 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)c1ccccc1F ZINC000909359317 712999872 /nfs/dbraw/zinc/99/98/72/712999872.db2.gz ICMUPSQXIZIDTA-XPTSAGLGSA-N -1 1 317.320 1.596 20 0 DDADMM O=c1nc(N2CCC(N3CCCOC3=O)CC2)cc(Cl)[n-]1 ZINC000896865676 708167784 /nfs/dbraw/zinc/16/77/84/708167784.db2.gz KRECIORABDVINQ-UHFFFAOYSA-N -1 1 312.757 1.647 20 0 DDADMM O=C(C=CC=C(Cl)Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000897162026 708241750 /nfs/dbraw/zinc/24/17/50/708241750.db2.gz RZOVSSPNHJFBKT-VRFQNRHZSA-N -1 1 302.165 1.781 20 0 DDADMM CCN1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])CC1=O ZINC000897382360 708311144 /nfs/dbraw/zinc/31/11/44/708311144.db2.gz GFPKBFGFDAUZPA-UHFFFAOYSA-N -1 1 314.341 1.555 20 0 DDADMM CCN(CC(=O)NCCOc1ccc(C(=O)[O-])cc1)C1CC1 ZINC000909441195 713019054 /nfs/dbraw/zinc/01/90/54/713019054.db2.gz WKYPYIZPTDDKQK-UHFFFAOYSA-N -1 1 306.362 1.364 20 0 DDADMM C[C@H]1OCC[C@@]1(O)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897604338 708390442 /nfs/dbraw/zinc/39/04/42/708390442.db2.gz MUZDWLPXDMDYQZ-BMLIUANNSA-N -1 1 317.341 1.521 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCC(C)(C)O1)C(C)C ZINC000884877043 708404251 /nfs/dbraw/zinc/40/42/51/708404251.db2.gz SWHJDPGZGNTBNI-RYUDHWBXSA-N -1 1 321.439 1.451 20 0 DDADMM O=S(=O)([N-]CCSCC(F)(F)F)c1ccns1 ZINC000885081944 708466345 /nfs/dbraw/zinc/46/63/45/708466345.db2.gz HNVDMUQPJHLNEN-UHFFFAOYSA-N -1 1 306.356 1.717 20 0 DDADMM O=S(=O)(NC[C@@H]1CC[N@@H+]1C1CCCCC1)c1ccns1 ZINC000885319477 708518662 /nfs/dbraw/zinc/51/86/62/708518662.db2.gz MCOXITUCDBXODY-LBPRGKRZSA-N -1 1 315.464 1.828 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1C[C@@H]2C[C@H]1C[S@]2=O ZINC000898293266 708599813 /nfs/dbraw/zinc/59/98/13/708599813.db2.gz MCOYRTWECXWBBJ-VIBIGMRBSA-N -1 1 317.366 1.596 20 0 DDADMM NC(=O)CCCCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266559 708732389 /nfs/dbraw/zinc/73/23/89/708732389.db2.gz PWJXCEGVMNJVAN-UHFFFAOYSA-N -1 1 300.305 1.375 20 0 DDADMM COCCCN(C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927781400 713054485 /nfs/dbraw/zinc/05/44/85/713054485.db2.gz XEHNICHFMBIXOS-UHFFFAOYSA-N -1 1 302.321 1.891 20 0 DDADMM CN(C(=O)NCCc1c(F)cc([O-])cc1F)[C@H]1CCOC1 ZINC000927782040 713054544 /nfs/dbraw/zinc/05/45/44/713054544.db2.gz XNKRNXXHMLSCEH-VIFPVBQESA-N -1 1 300.305 1.643 20 0 DDADMM CCOC(=O)[C@@H](F)[C@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000898548419 708785824 /nfs/dbraw/zinc/78/58/24/708785824.db2.gz OXEYMYXYGFQHNB-AAEUAGOBSA-N -1 1 323.320 1.325 20 0 DDADMM Cc1cccc(CO[N-]C(=O)CCc2nc(N(C)C)no2)n1 ZINC000912631571 713056020 /nfs/dbraw/zinc/05/60/20/713056020.db2.gz STSPBWKCIDRJEH-UHFFFAOYSA-N -1 1 305.338 1.020 20 0 DDADMM CC1(C)[C@@H](O)CCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927788365 713056515 /nfs/dbraw/zinc/05/65/15/713056515.db2.gz JHDQCKFKSQTCTQ-ZDUSSCGKSA-N -1 1 314.332 1.768 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@H]1C[C@@H](O)C1 ZINC000927788423 713056601 /nfs/dbraw/zinc/05/66/01/713056601.db2.gz IIIFZKCFXLNZSW-DTORHVGOSA-N -1 1 300.305 1.283 20 0 DDADMM O=C(CC[C@@H]1CC1(Cl)Cl)NCc1nc([O-])cc(=O)[nH]1 ZINC000898754024 708855254 /nfs/dbraw/zinc/85/52/54/708855254.db2.gz DGPDJWSQCXUOER-ZCFIWIBFSA-N -1 1 306.149 1.478 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCc1noc2c1COCC2 ZINC000886962449 708905366 /nfs/dbraw/zinc/90/53/66/708905366.db2.gz UYXMCSXXMRIOLZ-UHFFFAOYSA-N -1 1 322.748 1.965 20 0 DDADMM Cn1cncc1[C@@H](CO)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000898894642 708912434 /nfs/dbraw/zinc/91/24/34/708912434.db2.gz CLUHOBKNYKTCKF-LLVKDONJSA-N -1 1 309.753 1.171 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC(N2CCCOC2=O)CC1 ZINC000887716009 709110197 /nfs/dbraw/zinc/11/01/97/709110197.db2.gz OXJMPZCBDCBHPY-UHFFFAOYSA-N -1 1 322.336 1.978 20 0 DDADMM CN1C[C@@H]2CC[C@H](CC1=O)N2C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000899830806 709208829 /nfs/dbraw/zinc/20/88/29/709208829.db2.gz NWIDABXIDZPMTK-NEPJUHHUSA-N -1 1 322.792 1.810 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)n[nH]1 ZINC000888120283 709218363 /nfs/dbraw/zinc/21/83/63/709218363.db2.gz IDQXUCWSBRPYKJ-QYTUQVAYSA-N -1 1 309.366 1.973 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1COCC[C@H]1c1ccccc1 ZINC000888196610 709241610 /nfs/dbraw/zinc/24/16/10/709241610.db2.gz HDVUODPZVIOMDT-ZDUSSCGKSA-N -1 1 301.350 1.470 20 0 DDADMM NC(=O)[C@H]1CCCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900159541 709311186 /nfs/dbraw/zinc/31/11/86/709311186.db2.gz JSBKDLRNLAOHTJ-LLVKDONJSA-N -1 1 317.320 1.560 20 0 DDADMM O=C([N-]OCc1ccccn1)[C@@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000909523477 709500754 /nfs/dbraw/zinc/50/07/54/709500754.db2.gz KESHXAYWOHQFJZ-SECBINFHSA-N -1 1 317.267 1.040 20 0 DDADMM O=C([N-]OCc1ccccn1)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000909523196 709500911 /nfs/dbraw/zinc/50/09/11/709500911.db2.gz HOIUNVVQEVJNIN-ZDUSSCGKSA-N -1 1 317.389 1.811 20 0 DDADMM O=C([O-])CC[C@H](Cc1ccccc1)NC(=O)CCc1cnc[nH]1 ZINC000909534839 709505166 /nfs/dbraw/zinc/50/51/66/709505166.db2.gz IUMYZOCTOMTJIJ-CQSZACIVSA-N -1 1 315.373 1.935 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C[C@H]1[C@@H]1C[C@H]1C ZINC000928046386 713109173 /nfs/dbraw/zinc/10/91/73/713109173.db2.gz BDKYWPFKFGHKLY-DQBQHTNRSA-N -1 1 314.389 1.755 20 0 DDADMM C[C@]1(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCCS1 ZINC000909595208 709533624 /nfs/dbraw/zinc/53/36/24/709533624.db2.gz UKXGGOLFWSXXMP-BXUZGUMPSA-N -1 1 300.424 1.185 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1CC2CCC1CC2)c1nn[n-]n1 ZINC000912863139 713111583 /nfs/dbraw/zinc/11/15/83/713111583.db2.gz MUAIJERBEIPUIQ-HCWSGVFWSA-N -1 1 309.439 1.936 20 0 DDADMM O=C([O-])C[C@]1(NC(=O)c2ccc3cncn3c2)CCCOC1 ZINC000909692846 709580989 /nfs/dbraw/zinc/58/09/89/709580989.db2.gz OYDPMKAOJITLNF-OAHLLOKOSA-N -1 1 303.318 1.088 20 0 DDADMM CCN(CC)CC(=O)Nc1ccc(C(=O)N[C@H](C)C(=O)[O-])cc1 ZINC000909744337 709603205 /nfs/dbraw/zinc/60/32/05/709603205.db2.gz LHMRFNHFTJUOGZ-LLVKDONJSA-N -1 1 321.377 1.170 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc([C@@H]3CCCN3C)n[nH]2)[C@@H](C(=O)[O-])C1 ZINC000909783550 709618592 /nfs/dbraw/zinc/61/85/92/709618592.db2.gz UHCJAZQLYXVBCE-DDTOSNHZSA-N -1 1 320.393 1.502 20 0 DDADMM Cc1cc(CC(=O)N[C@H](C)[C@H](Cc2ccccc2)C(=O)[O-])[nH]n1 ZINC000909823108 709640875 /nfs/dbraw/zinc/64/08/75/709640875.db2.gz NWHLWMCUXAXBAS-DOMZBBRYSA-N -1 1 315.373 1.709 20 0 DDADMM CC[C@@](CNC(=O)CCc1cnc[nH]1)(C(=O)[O-])c1ccccc1 ZINC000909846528 709649960 /nfs/dbraw/zinc/64/99/60/709649960.db2.gz SGYGVWFBMMLRPL-KRWDZBQOSA-N -1 1 315.373 1.891 20 0 DDADMM CC[C@H](NC(=O)CN1CCC[C@H](C(=O)[O-])C1)[C@@H]1CCCCO1 ZINC000909919571 709689228 /nfs/dbraw/zinc/68/92/28/709689228.db2.gz JLHHBGNMPBLOKS-IHRRRGAJSA-N -1 1 312.410 1.247 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cccc3[nH]cnc32)[C@@H](C(=O)[O-])C1 ZINC000909983421 709718755 /nfs/dbraw/zinc/71/87/55/709718755.db2.gz WRBUSDPNBLJQBI-BXKDBHETSA-N -1 1 303.318 1.267 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@@H]1CCCS(=O)(=O)C1 ZINC000909987560 709722544 /nfs/dbraw/zinc/72/25/44/709722544.db2.gz YNWNGXGWHSEIEQ-SSDOTTSWSA-N -1 1 305.302 1.434 20 0 DDADMM O=C([O-])[C@@H](F)C1CN(C(=O)c2cn[nH]c2-c2ccccc2F)C1 ZINC000910122726 709780407 /nfs/dbraw/zinc/78/04/07/709780407.db2.gz DBTPHVXAYFUXBX-LBPRGKRZSA-N -1 1 321.283 1.711 20 0 DDADMM CC(C)c1nccn1CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910172995 709797497 /nfs/dbraw/zinc/79/74/97/709797497.db2.gz IMTCBIORSFBWGZ-ZDUSSCGKSA-N -1 1 322.409 1.014 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)cc1C ZINC000910180400 709799991 /nfs/dbraw/zinc/79/99/91/709799991.db2.gz UDHIFLDKWWXRNT-UHFFFAOYSA-N -1 1 305.378 1.319 20 0 DDADMM C[C@@H](CC[S@](C)=O)NC(=O)c1ncc2ccccc2c1[O-] ZINC000900881858 709807700 /nfs/dbraw/zinc/80/77/00/709807700.db2.gz KGUHERMDSFRMBB-CWKPULSASA-N -1 1 306.387 1.827 20 0 DDADMM CO[C@@]1(C)C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1(C)C ZINC000900910606 709823876 /nfs/dbraw/zinc/82/38/76/709823876.db2.gz RXPOZROGFXYRGX-BZNIZROVSA-N -1 1 305.378 1.993 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@]2(C)CCNC(=O)C2)c1 ZINC000910274565 709857808 /nfs/dbraw/zinc/85/78/08/709857808.db2.gz OSSFMHIEOBORAD-QGZVFWFLSA-N -1 1 319.357 1.597 20 0 DDADMM C[C@@H]1CN(C[C@H]2CCCN2c2cc(C(=O)[O-])ncn2)C[C@@H](C)O1 ZINC000910352669 709906205 /nfs/dbraw/zinc/90/62/05/709906205.db2.gz ROFUYGXHYJDTAK-JHJVBQTASA-N -1 1 320.393 1.253 20 0 DDADMM C[C@]1(C2CCN(C(=O)c3ccc([O-])c(F)c3)CC2)COC(=O)N1 ZINC000928158965 713141688 /nfs/dbraw/zinc/14/16/88/713141688.db2.gz ASFQPELJPQCKOX-MRXNPFEDSA-N -1 1 322.336 1.882 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)CC(F)F ZINC000910409834 709927152 /nfs/dbraw/zinc/92/71/52/709927152.db2.gz FORILAYEYJBNSQ-SNVBAGLBSA-N -1 1 306.353 1.581 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2CC(F)(F)C[C@H]2C(=O)[O-])[nH]1 ZINC000910432865 709938600 /nfs/dbraw/zinc/93/86/00/709938600.db2.gz CMCJRPAXFRTLNT-JTQLQIEISA-N -1 1 309.272 1.806 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@](C)(Cc2ccc(F)cc2)C(=O)[O-])c1C ZINC000910513761 709978162 /nfs/dbraw/zinc/97/81/62/709978162.db2.gz WFODNMYDIZDDEL-MRXNPFEDSA-N -1 1 319.336 1.981 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC[C@H]1CCC2(CCC2)O1 ZINC000910514504 709978821 /nfs/dbraw/zinc/97/88/21/709978821.db2.gz WGZUJTWBVKIAPV-QWHCGFSZSA-N -1 1 310.394 1.001 20 0 DDADMM CC(C)[N@@H+](C)CC(=O)N[C@@](C)(Cc1ccc(F)cc1)C(=O)[O-] ZINC000910517662 709979773 /nfs/dbraw/zinc/97/97/73/709979773.db2.gz VWLHNSCACIGTQO-INIZCTEOSA-N -1 1 310.369 1.668 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@H]2C[C@@H](C)Cc3c[nH]nc32)C[C@@]1(F)C(=O)[O-] ZINC000910549716 709995288 /nfs/dbraw/zinc/99/52/88/709995288.db2.gz SNSSXZSKHGEWLI-QMABLASFSA-N -1 1 323.368 1.737 20 0 DDADMM C[C@@]1(CCCO)CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901289246 709998965 /nfs/dbraw/zinc/99/89/65/709998965.db2.gz NYOVMXOWZMTNPM-INIZCTEOSA-N -1 1 305.378 1.827 20 0 DDADMM COCC1(C)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000901317426 710008198 /nfs/dbraw/zinc/00/81/98/710008198.db2.gz JVYDAFLVQBFUCA-UHFFFAOYSA-N -1 1 305.378 1.948 20 0 DDADMM CCN(CC(=O)[O-])Cc1c(C)nn(C)c1OCC(F)(F)F ZINC000901426345 710035889 /nfs/dbraw/zinc/03/58/89/710035889.db2.gz GTAUQMNNCHEITF-UHFFFAOYSA-N -1 1 309.288 1.576 20 0 DDADMM CCn1cc(CSc2nc([O-])cc(=O)n2C2CCCC2)nn1 ZINC000901459684 710046479 /nfs/dbraw/zinc/04/64/79/710046479.db2.gz AHZPLRVAYDIYDI-UHFFFAOYSA-N -1 1 321.406 1.968 20 0 DDADMM CSC[C@H](NC(=O)c1c(C)n[nH]c1C(F)(F)F)C(=O)[O-] ZINC000910875041 710103526 /nfs/dbraw/zinc/10/35/26/710103526.db2.gz GQIHWMKFTCDHBA-YFKPBYRVSA-N -1 1 311.285 1.283 20 0 DDADMM CCCOC(=O)[C@@H](C)Sc1nc([O-])cc(=O)n1CCOC ZINC000901655495 710110372 /nfs/dbraw/zinc/11/03/72/710110372.db2.gz GXILTDGKIBYRHT-SECBINFHSA-N -1 1 316.379 1.029 20 0 DDADMM C[C@H](NCc1cccc(OC(C)(C)C)c1)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901743416 710138542 /nfs/dbraw/zinc/13/85/42/710138542.db2.gz JNEGCCPWCUWGHA-RYUDHWBXSA-N -1 1 322.405 1.931 20 0 DDADMM COc1cccc([C@H](CNC(=O)[C@]2(C(=O)[O-])C[C@H]2C)N(C)C)c1 ZINC000901797026 710150168 /nfs/dbraw/zinc/15/01/68/710150168.db2.gz YITKJJWBFTYCPB-WHCBVINPSA-N -1 1 320.389 1.525 20 0 DDADMM C[C@@H]1CN(Cc2cnc(-c3ccccc3)[nH]2)C[C@H](C(=O)[O-])O1 ZINC000901909727 710171143 /nfs/dbraw/zinc/17/11/43/710171143.db2.gz KAIWOUJVPKOYLR-BXUZGUMPSA-N -1 1 301.346 1.751 20 0 DDADMM Cc1ccccc1-n1cc(CN[C@@](C)(CCF)C(=O)[O-])nn1 ZINC000901918933 710174054 /nfs/dbraw/zinc/17/40/54/710174054.db2.gz KPBJZJJGGDRZDK-HNNXBMFYSA-N -1 1 306.341 1.868 20 0 DDADMM O=C([O-])CC[C@H]1C[C@@H](C(=O)N2CCN(C3CCCC3)CC2)CO1 ZINC000901942623 710180844 /nfs/dbraw/zinc/18/08/44/710180844.db2.gz VBPLXPQHIZZJQU-HIFRSBDPSA-N -1 1 324.421 1.343 20 0 DDADMM O=C([O-])CC[C@H]1C[C@@H](C(=O)N2CCC(c3cnc[nH]3)CC2)CO1 ZINC000901953664 710183872 /nfs/dbraw/zinc/18/38/72/710183872.db2.gz AUQNQIZPAMYTLE-OLZOCXBDSA-N -1 1 321.377 1.386 20 0 DDADMM Cn1cc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)c(Cl)n1 ZINC000891614522 710249025 /nfs/dbraw/zinc/24/90/25/710249025.db2.gz MNKBSGCPMKXLCG-UHFFFAOYSA-N -1 1 307.741 1.700 20 0 DDADMM C[C@H](CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)n1ccnc1 ZINC000891615022 710249096 /nfs/dbraw/zinc/24/90/96/710249096.db2.gz OVXZZKZUHBAMDU-SNVBAGLBSA-N -1 1 301.350 1.847 20 0 DDADMM C[C@H](OC[C@H]1CCCO1)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891624027 710251335 /nfs/dbraw/zinc/25/13/35/710251335.db2.gz OLNGTDYXZPXGLE-WDEREUQCSA-N -1 1 321.377 1.584 20 0 DDADMM COc1ccc(C[C@@H](C)C(=O)N(C)c2nn[n-]n2)cc1OC ZINC000892954286 710531348 /nfs/dbraw/zinc/53/13/48/710531348.db2.gz HROAUZAOJGROHC-SECBINFHSA-N -1 1 305.338 1.059 20 0 DDADMM Cn1cc(C[C@@H](CO)NC(=O)c2cc(Cl)ccc2[O-])cn1 ZINC000911105754 710635797 /nfs/dbraw/zinc/63/57/97/710635797.db2.gz FDAYVIOWEYUNNB-NSHDSACASA-N -1 1 309.753 1.113 20 0 DDADMM C[C@@H](CC(=O)[O-])CC(=O)Nc1ccccc1N1CCN(C)CC1 ZINC000911109329 710637373 /nfs/dbraw/zinc/63/73/73/710637373.db2.gz LWEBWSBHQSYPEU-CYBMUJFWSA-N -1 1 319.405 1.878 20 0 DDADMM Cn1cc(C[C@H](CO)NC(=O)c2c([O-])cccc2Cl)cn1 ZINC000911119385 710644020 /nfs/dbraw/zinc/64/40/20/710644020.db2.gz HYHFNDIBRGFKGC-SNVBAGLBSA-N -1 1 309.753 1.113 20 0 DDADMM O=C(NC1CC(CO)(CO)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC000913316634 713198224 /nfs/dbraw/zinc/19/82/24/713198224.db2.gz SVUROMMKNUPKQU-UHFFFAOYSA-N -1 1 319.279 1.274 20 0 DDADMM Cc1ccccc1C1(CNCc2cn(CC(=O)[O-])nn2)CC1 ZINC000902218270 710669268 /nfs/dbraw/zinc/66/92/68/710669268.db2.gz HUQREQRGENIAEA-UHFFFAOYSA-N -1 1 300.362 1.493 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)c1cc(C(=O)[O-])cnc1C ZINC000911572110 710860649 /nfs/dbraw/zinc/86/06/49/710860649.db2.gz MNDWPUAXHFVRTB-CYBMUJFWSA-N -1 1 305.378 1.645 20 0 DDADMM C[C@H]1CN(CC(C)(C)O)CCN1C(=O)c1cccc(C(=O)[O-])c1 ZINC000911581799 710866096 /nfs/dbraw/zinc/86/60/96/710866096.db2.gz ZWOJRIMHRGWGME-LBPRGKRZSA-N -1 1 320.389 1.302 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@H]([C@H]2CCCO2)C1 ZINC000902780635 710899196 /nfs/dbraw/zinc/89/91/96/710899196.db2.gz MYYJMAACJOIHGO-SMDDNHRTSA-N -1 1 313.357 1.564 20 0 DDADMM CC(C)[C@@H](CC(F)(F)F)NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000911666843 710917018 /nfs/dbraw/zinc/91/70/18/710917018.db2.gz FUHILKJTZOZDNS-GHMZBOCLSA-N -1 1 324.343 1.876 20 0 DDADMM CC(C)[C@@H](CC(F)(F)F)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911666843 710917023 /nfs/dbraw/zinc/91/70/23/710917023.db2.gz FUHILKJTZOZDNS-GHMZBOCLSA-N -1 1 324.343 1.876 20 0 DDADMM O=C([O-])CCc1ccccc1C(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000911770618 710975415 /nfs/dbraw/zinc/97/54/15/710975415.db2.gz CWRLFAAQEDZEDH-GFCCVEGCSA-N -1 1 314.345 1.452 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1ccnc(N(C)C)c1 ZINC000903092607 711029700 /nfs/dbraw/zinc/02/97/00/711029700.db2.gz CELHXSRPDHVHHC-UHFFFAOYSA-N -1 1 320.440 1.312 20 0 DDADMM Cn1cc([C@@H]2CN(C(=O)c3ccc([O-])c(F)c3)CCN2)cn1 ZINC000913462275 713226889 /nfs/dbraw/zinc/22/68/89/713226889.db2.gz GXROXWJGGKIOQH-ZDUSSCGKSA-N -1 1 304.325 1.052 20 0 DDADMM COCc1nc(N[C@H]2CCCc3c2ccc(=O)n3C)cc(=O)[n-]1 ZINC000894414988 711110215 /nfs/dbraw/zinc/11/02/15/711110215.db2.gz UPAOKESMJGWDEO-NSHDSACASA-N -1 1 316.361 1.517 20 0 DDADMM Cc1cn2c(nc(CN3CCCC[C@@H]3CC(=O)[O-])cc2=O)s1 ZINC000903408740 711118186 /nfs/dbraw/zinc/11/81/86/711118186.db2.gz KZYSNTFQTDYTCO-GFCCVEGCSA-N -1 1 321.402 1.894 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]2C[C@H]([N-]S(=O)(=O)c3ccns3)[C@@H]2C1 ZINC000903580748 711206263 /nfs/dbraw/zinc/20/62/63/711206263.db2.gz APOZKWYPMQRIAM-KYXWUPHJSA-N -1 1 316.404 1.009 20 0 DDADMM C[C@@H]1COCC[N@@H+](C[C@H](O)COc2ccc(C(=O)[O-])cc2)C1 ZINC000903633209 711230762 /nfs/dbraw/zinc/23/07/62/711230762.db2.gz PURYFEGMKRKKPE-JSGCOSHPSA-N -1 1 309.362 1.093 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1cncc(C(C)C)c1 ZINC000903661519 711238597 /nfs/dbraw/zinc/23/85/97/711238597.db2.gz PFFCRELHZDDMPF-SFHVURJKSA-N -1 1 305.425 1.979 20 0 DDADMM C[C@]1(CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)CC1(Cl)Cl ZINC000913497529 713239031 /nfs/dbraw/zinc/23/90/31/713239031.db2.gz LHDYJYGHEGYJFD-XCBNKYQSSA-N -1 1 320.180 1.074 20 0 DDADMM O=C(c1nccc2ccsc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913498497 713239245 /nfs/dbraw/zinc/23/92/45/713239245.db2.gz HUSPLAANBQXZIV-VIFPVBQESA-N -1 1 316.346 1.023 20 0 DDADMM O=c1nc(N[C@@H](CO)[C@@H](O)C2CCCCC2)cc(Cl)[n-]1 ZINC000894745436 711256094 /nfs/dbraw/zinc/25/60/94/711256094.db2.gz MTXVBZHPGRJEPI-CABZTGNLSA-N -1 1 301.774 1.550 20 0 DDADMM CSc1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c(C)o1 ZINC000913499948 713239692 /nfs/dbraw/zinc/23/96/92/713239692.db2.gz SWJDMOPZPUVNNL-SECBINFHSA-N -1 1 309.351 1.037 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CC2(Cl)Cl)n[n-]1 ZINC000912363100 711292644 /nfs/dbraw/zinc/29/26/44/711292644.db2.gz JPTQWXYYZVICNW-NTSWFWBYSA-N -1 1 321.164 1.352 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CC2(Cl)Cl)[n-]1 ZINC000912363100 711292649 /nfs/dbraw/zinc/29/26/49/711292649.db2.gz JPTQWXYYZVICNW-NTSWFWBYSA-N -1 1 321.164 1.352 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CC2(Cl)Cl)n1 ZINC000912363100 711292653 /nfs/dbraw/zinc/29/26/53/711292653.db2.gz JPTQWXYYZVICNW-NTSWFWBYSA-N -1 1 321.164 1.352 20 0 DDADMM C[C@]1(NC(=O)c2s[n-]c(=O)c2Cl)CN2CCC1CC2 ZINC000912380062 711302144 /nfs/dbraw/zinc/30/21/44/711302144.db2.gz ZKSYLNKMDUEICD-LBPRGKRZSA-N -1 1 301.799 1.716 20 0 DDADMM CC(C)OC[C@H](O)CN1CCOc2cc(C(=O)[O-])ccc2C1 ZINC000903957210 711347641 /nfs/dbraw/zinc/34/76/41/711347641.db2.gz NOFVVSOTISBZJP-CQSZACIVSA-N -1 1 309.362 1.365 20 0 DDADMM CNC(=O)C1(NC(=O)c2ccc(Cl)cc2[O-])CCOCC1 ZINC000895108111 711415972 /nfs/dbraw/zinc/41/59/72/711415972.db2.gz YBKYKGHVCNCOQO-UHFFFAOYSA-N -1 1 312.753 1.071 20 0 DDADMM CC(C)[C@H](N[C@H]1C[C@H](NC(=O)[O-])C12CCC2)c1nncn1C ZINC000904240808 711416225 /nfs/dbraw/zinc/41/62/25/711416225.db2.gz XOGRGNXZUJXPRM-SRVKXCTJSA-N -1 1 307.398 1.681 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2c(Cl)cnn2C)n1 ZINC000895240038 711461646 /nfs/dbraw/zinc/46/16/46/711461646.db2.gz ZSVSNXUEZQVDQE-ZETCQYMHSA-N -1 1 312.761 1.219 20 0 DDADMM C[C@@H](NC(=O)c1cccc(Cl)c1[O-])[C@@H]1CN(C)CCN1C ZINC000896549379 711747649 /nfs/dbraw/zinc/74/76/49/711747649.db2.gz NUYASLCMPWSURR-MFKMUULPSA-N -1 1 311.813 1.410 20 0 DDADMM COc1nn(C)cc1C(=O)[N-]c1c(C)onc1OC(F)F ZINC000904886131 711900175 /nfs/dbraw/zinc/90/01/75/711900175.db2.gz YUPZBQKIJTWYNS-UHFFFAOYSA-N -1 1 302.237 1.579 20 0 DDADMM COC(=O)c1nn(C)c(C)c1C=Cc1ncc(OC)c(=O)[n-]1 ZINC000905077213 711928758 /nfs/dbraw/zinc/92/87/58/711928758.db2.gz SFGYKOQCWJBQAK-AATRIKPKSA-N -1 1 304.306 1.190 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(F)c1 ZINC000913744616 713293348 /nfs/dbraw/zinc/29/33/48/713293348.db2.gz WQJMKXCHNRCCSM-UHFFFAOYSA-N -1 1 317.324 1.561 20 0 DDADMM O=C(c1cnc2n1CCCCC2)N1CCC(c2nn[n-]n2)CC1 ZINC000913746197 713293878 /nfs/dbraw/zinc/29/38/78/713293878.db2.gz WNAGMUNYUCSOQN-UHFFFAOYSA-N -1 1 315.381 1.142 20 0 DDADMM CN(C[C@H](O)COCc1ccco1)[C@@H](C(=O)[O-])c1ccccc1 ZINC000905369301 712018109 /nfs/dbraw/zinc/01/81/09/712018109.db2.gz KOYVPPQYKISEKS-GOEBONIOSA-N -1 1 319.357 1.915 20 0 DDADMM CC[C@H](O)[C@@H](C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000913780413 713299608 /nfs/dbraw/zinc/29/96/08/713299608.db2.gz VGPCDNDNXZFQFW-VDDIYKPWSA-N -1 1 310.316 1.063 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@@H]1CCCO1 ZINC000913834105 713307800 /nfs/dbraw/zinc/30/78/00/713307800.db2.gz TYQOZWINWCEAPD-JTQLQIEISA-N -1 1 300.305 1.691 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@@H]1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000906692294 712391743 /nfs/dbraw/zinc/39/17/43/712391743.db2.gz JRWZIIKYCOXXKP-UKXDHYTESA-N -1 1 318.377 1.339 20 0 DDADMM C[C@@H](O)[C@@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000907264278 712527000 /nfs/dbraw/zinc/52/70/00/712527000.db2.gz KLDGMRNVGIRFBF-NXEZZACHSA-N -1 1 304.321 1.922 20 0 DDADMM C[C@H](CC(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccncc1 ZINC000907472859 712581044 /nfs/dbraw/zinc/58/10/44/712581044.db2.gz DKDNXJIXBKLZBM-PWSUYJOCSA-N -1 1 318.406 1.405 20 0 DDADMM Cc1csc([C@@H](C)C(=O)N2CCSC[C@@H]2c2nn[n-]n2)n1 ZINC000907480297 712583661 /nfs/dbraw/zinc/58/36/61/712583661.db2.gz RVQMLXCDWNBBSK-RKDXNWHRSA-N -1 1 324.435 1.385 20 0 DDADMM O=C(C=CC=C(Cl)Cl)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481602 712584123 /nfs/dbraw/zinc/58/41/23/712584123.db2.gz JJOPDTNPMWLFQN-BYXPXVBTSA-N -1 1 320.205 1.691 20 0 DDADMM COC(=O)[C@H]1C[C@@H]2C[C@H]([N-]S(=O)(=O)c3ccns3)[C@@H]2C1 ZINC000907673425 712612451 /nfs/dbraw/zinc/61/24/51/712612451.db2.gz APOZKWYPMQRIAM-RGOKHQFPSA-N -1 1 316.404 1.009 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2cc(Cl)ccc2F)CCNC1=O ZINC000907810503 712629548 /nfs/dbraw/zinc/62/95/48/712629548.db2.gz FALIEQFVSXTEFN-NSHDSACASA-N -1 1 306.746 1.036 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)CCF)n[n-]1 ZINC000907828585 712632146 /nfs/dbraw/zinc/63/21/46/712632146.db2.gz DCYFAZKBLGGVDB-SECBINFHSA-N -1 1 300.334 1.544 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)CCF)[n-]1 ZINC000907828585 712632147 /nfs/dbraw/zinc/63/21/47/712632147.db2.gz DCYFAZKBLGGVDB-SECBINFHSA-N -1 1 300.334 1.544 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)CCF)n1 ZINC000907828585 712632148 /nfs/dbraw/zinc/63/21/48/712632148.db2.gz DCYFAZKBLGGVDB-SECBINFHSA-N -1 1 300.334 1.544 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@@H]1CC[C@H]2CCC[C@H]2C1 ZINC000907889159 712642493 /nfs/dbraw/zinc/64/24/93/712642493.db2.gz WWXRBTZPPDYGLW-CBBWQLFWSA-N -1 1 308.422 1.868 20 0 DDADMM O=C([C@H]1CCC=CCCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907942819 712652622 /nfs/dbraw/zinc/65/26/22/712652622.db2.gz AYVIBSURKUUELA-LBPRGKRZSA-N -1 1 305.382 1.146 20 0 DDADMM CC1(C)C[C@@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)CCS1 ZINC000907985331 712660639 /nfs/dbraw/zinc/66/06/39/712660639.db2.gz ZIRNALCCHNJJRM-RYUDHWBXSA-N -1 1 314.451 1.573 20 0 DDADMM COc1cnc([C@@H]2CCCN2S(=O)(=O)CC2CC2)[n-]c1=O ZINC000908017254 712666875 /nfs/dbraw/zinc/66/68/75/712666875.db2.gz SMCJKLFXBUZUKT-JTQLQIEISA-N -1 1 313.379 1.068 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C(=O)NCCF ZINC000908017922 712667185 /nfs/dbraw/zinc/66/71/85/712667185.db2.gz SYZOTTRDDYXLRR-MRVPVSSYSA-N -1 1 323.299 1.284 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2cnc(OC)s2)cs1 ZINC000914077301 713339576 /nfs/dbraw/zinc/33/95/76/713339576.db2.gz FQZFZJZGXVZQRF-UHFFFAOYSA-N -1 1 319.433 1.649 20 0 DDADMM CC(C)(NC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000908716867 712840426 /nfs/dbraw/zinc/84/04/26/712840426.db2.gz QHAXWZGOMONNMR-ZDUSSCGKSA-N -1 1 304.390 1.835 20 0 DDADMM C[C@@H](CN(Cc1ccccc1)C(=O)Cc1ncn[nH]1)C(=O)[O-] ZINC000908840522 712866301 /nfs/dbraw/zinc/86/63/01/712866301.db2.gz ILFICFIAANHMOH-NSHDSACASA-N -1 1 302.334 1.097 20 0 DDADMM C[C@H]([N-]S(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2)C(F)(F)F ZINC000915681488 713427544 /nfs/dbraw/zinc/42/75/44/713427544.db2.gz ABODMKIMIHZXKC-UWVGGRQHSA-N -1 1 300.346 1.343 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)CC2(F)CC2)CC[C@@H](C)CC1 ZINC000917258923 713489772 /nfs/dbraw/zinc/48/97/72/713489772.db2.gz XQAFQOMZNJKWRD-MJHDQNEOSA-N -1 1 307.387 1.530 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)[C@@H]1COC(C)(C)C1 ZINC000918061461 713527733 /nfs/dbraw/zinc/52/77/33/713527733.db2.gz RPUWGVUOSIISBO-NWDGAFQWSA-N -1 1 321.439 1.405 20 0 DDADMM O=S(=O)([N-]CC1(Br)CC1)c1c[nH]nc1Cl ZINC000920053557 713647515 /nfs/dbraw/zinc/64/75/15/713647515.db2.gz WOCLIRHJNVUCHT-UHFFFAOYSA-N -1 1 314.592 1.269 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)c2cn(C)nn2)c(F)c1 ZINC000921483699 713783632 /nfs/dbraw/zinc/78/36/32/713783632.db2.gz SSBXVFPEEILIPV-QMMMGPOBSA-N -1 1 316.333 1.441 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C(C)(C)/C=C\Cl)c1 ZINC000921501304 713791273 /nfs/dbraw/zinc/79/12/73/713791273.db2.gz HMZKTVPLLVXZTE-PLNGDYQASA-N -1 1 307.755 1.876 20 0 DDADMM O=C1C[C@@H](CC[N-]S(=O)(=O)c2cc3ccccc3o2)CN1 ZINC000921651003 713831659 /nfs/dbraw/zinc/83/16/59/713831659.db2.gz KCPLIWULFDNRBL-SNVBAGLBSA-N -1 1 308.359 1.237 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@]2(C)CCCCO2)o1 ZINC000921676629 713839256 /nfs/dbraw/zinc/83/92/56/713839256.db2.gz VIALESBAFQBUNU-CYBMUJFWSA-N -1 1 317.363 1.304 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C2CC2)[C@@H]2CCCOC2)sn1 ZINC000921849049 713884999 /nfs/dbraw/zinc/88/49/99/713884999.db2.gz JEZURPLNRGKDFR-DGCLKSJQSA-N -1 1 316.448 1.935 20 0 DDADMM C[C@H](C[S@](C)=O)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000921925659 713910748 /nfs/dbraw/zinc/91/07/48/713910748.db2.gz RMAOCRFTEWCTHW-ZEDNOMKYSA-N -1 1 320.361 1.279 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1C(C)(C)C1(F)F)C(C)C ZINC000921975364 713925801 /nfs/dbraw/zinc/92/58/01/713925801.db2.gz OHCRFMLXKASEQU-RKDXNWHRSA-N -1 1 313.366 1.395 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1csc(C)n1)C(C)C ZINC000921977038 713926494 /nfs/dbraw/zinc/92/64/94/713926494.db2.gz CHBYVWLFVBAZGU-SNVBAGLBSA-N -1 1 306.409 1.069 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3CCCc4cccnc43)ccnc1-2 ZINC000931131732 714010403 /nfs/dbraw/zinc/01/04/03/714010403.db2.gz MFFLHGHKCNEAFB-AWEZNQCLSA-N -1 1 322.372 1.936 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)c1c[nH]c(=O)c(C(F)(F)F)c1 ZINC000931318377 714065124 /nfs/dbraw/zinc/06/51/24/714065124.db2.gz RWXWOUJNJOMCEE-SECBINFHSA-N -1 1 306.240 1.994 20 0 DDADMM C[C@H](CN1CCCn2nncc2C1)C(=O)c1ccc([O-])cc1 ZINC000931743435 714173422 /nfs/dbraw/zinc/17/34/22/714173422.db2.gz FXNAGJXWVLXNCN-GFCCVEGCSA-N -1 1 300.362 1.708 20 0 DDADMM CC[C@H](CCO)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932043711 714244843 /nfs/dbraw/zinc/24/48/43/714244843.db2.gz QXKFHIGYXNMTHC-LLVKDONJSA-N -1 1 304.350 1.860 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)NC1(CO)CCC1 ZINC000932048406 714246260 /nfs/dbraw/zinc/24/62/60/714246260.db2.gz VPAAJGFUPKWDEG-UHFFFAOYSA-N -1 1 302.334 1.614 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000923155077 714257084 /nfs/dbraw/zinc/25/70/84/714257084.db2.gz HPVZXTIDHVOJMD-RNFRBKRXSA-N -1 1 305.256 1.754 20 0 DDADMM CC(C)C[C@@](C)(CNC(=O)[C@H](C)CN1CCOCC1)C(=O)[O-] ZINC000923184402 714264250 /nfs/dbraw/zinc/26/42/50/714264250.db2.gz BDYCSIQVAZLDHI-CJNGLKHVSA-N -1 1 314.426 1.208 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC1([C@@H]2CCCCO2)CC1 ZINC000923219058 714275753 /nfs/dbraw/zinc/27/57/53/714275753.db2.gz CEAFLMGDBJGAHA-STQMWFEESA-N -1 1 310.394 1.001 20 0 DDADMM CC1=NO[C@H](CNC(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000923528742 714391799 /nfs/dbraw/zinc/39/17/99/714391799.db2.gz XQPMDMRLPKNJOR-JTQLQIEISA-N -1 1 313.304 1.677 20 0 DDADMM COc1cc(C(=O)N2CCCn3nncc3C2)cc(Cl)c1[O-] ZINC000923799853 714472987 /nfs/dbraw/zinc/47/29/87/714472987.db2.gz BWHYSDASACEYFU-UHFFFAOYSA-N -1 1 322.752 1.692 20 0 DDADMM O=S1(=O)CCC[C@@H]1Cc1nc(-c2ccc([O-])c(F)c2)no1 ZINC000924009829 714506472 /nfs/dbraw/zinc/50/64/72/714506472.db2.gz ZCOXELHXRDOWAH-SECBINFHSA-N -1 1 312.322 1.701 20 0 DDADMM COc1cnc([C@H]2CCCN2CC(=O)N(C)CC2CC2)[n-]c1=O ZINC000934271724 714782409 /nfs/dbraw/zinc/78/24/09/714782409.db2.gz GFDVVDRMEMKMMS-GFCCVEGCSA-N -1 1 320.393 1.196 20 0 DDADMM COc1cnc([C@@H]2CCCN2CC(=O)OCC(C)C)[n-]c1=O ZINC000934271228 714782530 /nfs/dbraw/zinc/78/25/30/714782530.db2.gz DCABWVQFASHJHT-NSHDSACASA-N -1 1 309.366 1.527 20 0 DDADMM COc1cnc([C@@H]2CCCN2CC(=O)NCC(C)C)[n-]c1=O ZINC000934280571 714785804 /nfs/dbraw/zinc/78/58/04/714785804.db2.gz RBQRYQZQGHHADD-NSHDSACASA-N -1 1 308.382 1.100 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@]1(c2ccccc2)CCCO1)c1nn[n-]n1 ZINC000935368349 715038648 /nfs/dbraw/zinc/03/86/48/715038648.db2.gz QVVGYTMRXXUSCX-WBMJQRKESA-N -1 1 315.377 1.468 20 0 DDADMM Cc1cccc(C(=O)C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000935457475 715060374 /nfs/dbraw/zinc/06/03/74/715060374.db2.gz TUHLLLFDTVVFPA-NSHDSACASA-N -1 1 317.374 1.008 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000936478532 715206023 /nfs/dbraw/zinc/20/60/23/715206023.db2.gz ONPJVRURJXMEIF-LBPRGKRZSA-N -1 1 303.362 1.426 20 0 DDADMM CN(C(=O)C1(C)CC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216823 715294034 /nfs/dbraw/zinc/29/40/34/715294034.db2.gz MTNMCWPPMKXFOR-LLVKDONJSA-N -1 1 303.362 1.260 20 0 DDADMM O=C([N-][C@H]1CCN(C(=O)c2cc(F)c(F)cc2F)C1)C(F)F ZINC000937586765 715422830 /nfs/dbraw/zinc/42/28/30/715422830.db2.gz USFATOPLXHAAPH-LURJTMIESA-N -1 1 322.233 1.700 20 0 DDADMM C[C@]1(NC(=O)C2CC=CC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956783523 715601307 /nfs/dbraw/zinc/60/13/07/715601307.db2.gz ROZBAMHIRFCMLQ-KRWDZBQOSA-N -1 1 315.373 1.474 20 0 DDADMM CC(=O)N[C@@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@H]1C ZINC000957565334 715954862 /nfs/dbraw/zinc/95/48/62/715954862.db2.gz FUXBDBMZFRTXBJ-BXKDBHETSA-N -1 1 320.393 1.211 20 0 DDADMM CC(=O)N1CCC(F)(F)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957764751 716033642 /nfs/dbraw/zinc/03/36/42/716033642.db2.gz RHFISTCROUFXIC-JTQLQIEISA-N -1 1 313.304 1.021 20 0 DDADMM Cc1ccc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC000939269266 716181337 /nfs/dbraw/zinc/18/13/37/716181337.db2.gz RJFJCIVKRDHPLA-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccsc1 ZINC000939301778 716187362 /nfs/dbraw/zinc/18/73/62/716187362.db2.gz PMHFCYCFIVCSQS-LLVKDONJSA-N -1 1 317.370 1.493 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000940131582 716642562 /nfs/dbraw/zinc/64/25/62/716642562.db2.gz FAXOZZLQWRHMJZ-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C(C)(C)F)C1 ZINC000959021761 716829419 /nfs/dbraw/zinc/82/94/19/716829419.db2.gz GUMHYJGEDBLIJV-GHMZBOCLSA-N -1 1 323.368 1.502 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]([C@@H]2CC[N@@H+](CCF)C2)C1 ZINC000961144308 716832147 /nfs/dbraw/zinc/83/21/47/716832147.db2.gz KBNQDYBLJBYQAX-UONOGXRCSA-N -1 1 321.396 1.931 20 0 DDADMM CC(C)=CC(=O)N1C[C@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959355904 716980781 /nfs/dbraw/zinc/98/07/81/716980781.db2.gz VWXUNFVNLVNEOL-STQMWFEESA-N -1 1 317.389 1.578 20 0 DDADMM CC[C@H](F)C(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964555474 717302492 /nfs/dbraw/zinc/30/24/92/717302492.db2.gz FPKQCQXFCVHVDY-NEPJUHHUSA-N -1 1 323.368 1.646 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964851926 717454278 /nfs/dbraw/zinc/45/42/78/717454278.db2.gz ZKYFHLVVJPSPLK-QQFGACLNSA-N -1 1 317.389 1.864 20 0 DDADMM C/C=C(\C)C(=O)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943212712 718048669 /nfs/dbraw/zinc/04/86/69/718048669.db2.gz HXFXALPLMMGHGH-QQFGACLNSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966815350 718672036 /nfs/dbraw/zinc/67/20/36/718672036.db2.gz YYVOZJLGJOKRAI-WDEREUQCSA-N -1 1 305.378 1.410 20 0 DDADMM O=C(CC1CCC1)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946532909 718821620 /nfs/dbraw/zinc/82/16/20/718821620.db2.gz HZKXWQFIOSCKCI-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccccc2O)CCN1CC(=O)N(C)C ZINC000947071589 719010251 /nfs/dbraw/zinc/01/02/51/719010251.db2.gz WNZZDNRVQFOMBX-QWHCGFSZSA-N -1 1 319.405 1.063 20 0 DDADMM CC[C@H](F)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000947820632 719278897 /nfs/dbraw/zinc/27/88/97/719278897.db2.gz REIMWDZMPDWPCN-NSHDSACASA-N -1 1 309.341 1.256 20 0 DDADMM CC1(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC000968580919 719676231 /nfs/dbraw/zinc/67/62/31/719676231.db2.gz GDJCGDJFTTZEHQ-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)C1(CF)CCC1)c1ncccc1[O-] ZINC000949538730 720146700 /nfs/dbraw/zinc/14/67/00/720146700.db2.gz PXZXZULGRFGHEV-LLVKDONJSA-N -1 1 321.352 1.258 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(Cc2cscn2)C1 ZINC000969546858 720183976 /nfs/dbraw/zinc/18/39/76/720183976.db2.gz UNZSJHYNVSQNKZ-SNVBAGLBSA-N -1 1 318.402 1.494 20 0 DDADMM C[C@@H](CC1CCCC1)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970160237 720647622 /nfs/dbraw/zinc/64/76/22/720647622.db2.gz BEINXPMLCWSILG-WCQYABFASA-N -1 1 321.425 1.417 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000951644691 721113148 /nfs/dbraw/zinc/11/31/48/721113148.db2.gz PRYFSQHMDCHCFL-WDEREUQCSA-N -1 1 309.341 1.256 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000951661885 721123472 /nfs/dbraw/zinc/12/34/72/721123472.db2.gz YGEMKLHFZJXXRW-TUAOUCFPSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1n[nH]c(C(=O)NCCNCc2ccc(F)cc2F)c1[O-] ZINC001125729330 735445106 /nfs/dbraw/zinc/44/51/06/735445106.db2.gz XOABRHPIKYXOCS-UHFFFAOYSA-N -1 1 310.304 1.222 20 0 DDADMM O=C(NC[C@@H]1CCN1CCC(F)(F)F)c1ncccc1[O-] ZINC001038192954 733182881 /nfs/dbraw/zinc/18/28/81/733182881.db2.gz GKPYXHLOBSNGAJ-VIFPVBQESA-N -1 1 303.284 1.544 20 0 DDADMM CC[C@@H](F)C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021442725 733207653 /nfs/dbraw/zinc/20/76/53/733207653.db2.gz WOZUJPKBILMIPJ-QJPTWQEYSA-N -1 1 321.352 1.208 20 0 DDADMM C[C@H]1CC[C@H](C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001167382435 733348215 /nfs/dbraw/zinc/34/82/15/733348215.db2.gz VJAILKDIZSZDKU-RYUDHWBXSA-N -1 1 321.425 1.323 20 0 DDADMM C[C@H](CCO)CC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692871099 738711502 /nfs/dbraw/zinc/71/15/02/738711502.db2.gz DUJOSQAJFLEMKX-QMMMGPOBSA-N -1 1 310.778 1.561 20 0 DDADMM CS[C@@H]1CC[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1 ZINC000230625075 733579581 /nfs/dbraw/zinc/57/95/81/733579581.db2.gz PMZUVPRBFSDKAE-JGVFFNPUSA-N -1 1 309.844 1.636 20 0 DDADMM CCc1cnc(CN[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001021999444 733709805 /nfs/dbraw/zinc/70/98/05/733709805.db2.gz YDNOPYHHDCOLEW-PHIMTYICSA-N -1 1 316.361 1.388 20 0 DDADMM CC[C@@H](F)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC001017499899 751354495 /nfs/dbraw/zinc/35/44/95/751354495.db2.gz SQBOIJVCNJWTSI-UPJWGTAASA-N -1 1 307.369 1.824 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025078098 736282610 /nfs/dbraw/zinc/28/26/10/736282610.db2.gz MOQBYYUULMNQFM-JHJVBQTASA-N -1 1 321.425 1.417 20 0 DDADMM Cc1cc(C(=O)NCC[C@H](C)NC(=O)c2ncccc2[O-])no1 ZINC001075532247 736800237 /nfs/dbraw/zinc/80/02/37/736800237.db2.gz WLTHFUKSJALIKU-VIFPVBQESA-N -1 1 318.333 1.022 20 0 DDADMM O=C(NCCNCc1csc(Cl)n1)c1ncccc1[O-] ZINC001126124491 738342665 /nfs/dbraw/zinc/34/26/65/738342665.db2.gz AYOCUPSRBRDQTF-UHFFFAOYSA-N -1 1 312.782 1.417 20 0 DDADMM Cn1c(=O)cccc1NC1([P@](=O)([O-])O)Cc2ccccc2C1 ZINC001167939031 739750554 /nfs/dbraw/zinc/75/05/54/739750554.db2.gz NGKWLIVEXBZDJK-UHFFFAOYSA-N -1 1 320.285 1.470 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059187729 740348497 /nfs/dbraw/zinc/34/84/97/740348497.db2.gz JAWTXIGJLWVPPX-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM C[N@H+](Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2ccccc2)C1 ZINC001029630036 741158035 /nfs/dbraw/zinc/15/80/35/741158035.db2.gz IKDPFNGYGDUNNV-GFCCVEGCSA-N -1 1 315.377 1.104 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2ccccc2)C1 ZINC001029630036 741158039 /nfs/dbraw/zinc/15/80/39/741158039.db2.gz IKDPFNGYGDUNNV-GFCCVEGCSA-N -1 1 315.377 1.104 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1Cc1csnn1 ZINC001088538760 741712537 /nfs/dbraw/zinc/71/25/37/741712537.db2.gz PRPDTUQBJIUOJP-KOLCDFICSA-N -1 1 319.390 1.032 20 0 DDADMM CN(C(=O)c1cc(-c2ccccc2Cl)[nH]n1)c1nn[n-]n1 ZINC001168359743 742302670 /nfs/dbraw/zinc/30/26/70/742302670.db2.gz TYTLWHPALMIKDJ-UHFFFAOYSA-N -1 1 303.713 1.520 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)/C=C\C1CC1 ZINC001076244166 742623137 /nfs/dbraw/zinc/62/31/37/742623137.db2.gz KQRPHTZFQIIQPM-ZADCQDASSA-N -1 1 303.362 1.378 20 0 DDADMM CC(C)C1(C(=O)N[C@@H](C)CCNC(=O)c2ncccc2[O-])CC1 ZINC001076245035 742624645 /nfs/dbraw/zinc/62/46/45/742624645.db2.gz LOLOMTCVFIPWBT-LBPRGKRZSA-N -1 1 319.405 1.848 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)Cc2ccc(O)cc2F)[n-]1 ZINC001180648584 742873469 /nfs/dbraw/zinc/87/34/69/742873469.db2.gz UBLJWGOCXVJOPX-UHFFFAOYSA-N -1 1 307.281 1.612 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(O)cc2F)n1 ZINC001180648584 742873481 /nfs/dbraw/zinc/87/34/81/742873481.db2.gz UBLJWGOCXVJOPX-UHFFFAOYSA-N -1 1 307.281 1.612 20 0 DDADMM CN(C)c1nc(NC(=O)[C@H]2CCCC[C@H]2O)c(N=O)c(=O)[n-]1 ZINC001181081539 743068817 /nfs/dbraw/zinc/06/88/17/743068817.db2.gz BDMXAYJCNKMCFT-JGVFFNPUSA-N -1 1 309.326 1.136 20 0 DDADMM Nc1cc(CC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)ccn1 ZINC001181265151 743130741 /nfs/dbraw/zinc/13/07/41/743130741.db2.gz PVZWUNVRGMOIPA-UHFFFAOYSA-N -1 1 309.329 1.736 20 0 DDADMM O=C(Cc1ccc2cc[nH]c2c1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001181809549 743343145 /nfs/dbraw/zinc/34/31/45/743343145.db2.gz LMAKNOBTNFNFGR-UHFFFAOYSA-N -1 1 308.301 1.721 20 0 DDADMM COC1(OC)CC(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC001182474921 743646459 /nfs/dbraw/zinc/64/64/59/743646459.db2.gz BBJNYUKWNZQDOK-UHFFFAOYSA-N -1 1 317.345 1.916 20 0 DDADMM CN(C)c1nc(NC(=O)[C@@H]2C[C@]23CCCOC3)c(N=O)c(=O)[n-]1 ZINC001183700378 743889561 /nfs/dbraw/zinc/88/95/61/743889561.db2.gz BMZAHFFZQNEQEX-RTHLEPHNSA-N -1 1 321.337 1.401 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@H]3CCN3Cc3ccccc3)c1-2 ZINC001183744088 743903587 /nfs/dbraw/zinc/90/35/87/743903587.db2.gz BMHINCWBTJXSJG-CYBMUJFWSA-N -1 1 322.372 1.248 20 0 DDADMM Cc1ccc(CNC(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001185420746 744219384 /nfs/dbraw/zinc/21/93/84/744219384.db2.gz ZAPHEWUJWCGWGI-UHFFFAOYSA-N -1 1 300.295 1.752 20 0 DDADMM CN(C)c1nc(NC(=O)NCC2CCOCC2)c(N=O)c(=O)[n-]1 ZINC001186910845 744472002 /nfs/dbraw/zinc/47/20/02/744472002.db2.gz LVOZMAQOORRNJE-UHFFFAOYSA-N -1 1 324.341 1.194 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(-c2noc(C)n2)cc1 ZINC001187286484 744534591 /nfs/dbraw/zinc/53/45/91/744534591.db2.gz FJNOGWLTOORQLY-UHFFFAOYSA-N -1 1 311.363 1.823 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cnc2c(C3CCC3)cnn2c1 ZINC001187257046 744537886 /nfs/dbraw/zinc/53/78/86/744537886.db2.gz QFIOSRNNABOJJU-UHFFFAOYSA-N -1 1 324.406 1.775 20 0 DDADMM O=C(NCc1ccn(CC(F)(F)F)n1)c1ccncc1[O-] ZINC001188644407 744735417 /nfs/dbraw/zinc/73/54/17/744735417.db2.gz DIRPXOIETZITLY-UHFFFAOYSA-N -1 1 300.240 1.476 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC000992425594 744868830 /nfs/dbraw/zinc/86/88/30/744868830.db2.gz INYXBUZUGSREGA-POOIEITISA-N -1 1 317.389 1.458 20 0 DDADMM O=S(=O)(Cc1cccc(F)c1F)[N-]c1ccn2cnnc2c1 ZINC001189425539 744893702 /nfs/dbraw/zinc/89/37/02/744893702.db2.gz HYBDHKNPIORQMI-UHFFFAOYSA-N -1 1 324.312 1.949 20 0 DDADMM C[C@@]12CN(C(=O)c3ncccc3[O-])C[C@@H]1CN(C(=O)C1CC1)C2 ZINC000992478241 744905501 /nfs/dbraw/zinc/90/55/01/744905501.db2.gz VUPKLVWFEMRYAD-YVEFUNNKSA-N -1 1 315.373 1.118 20 0 DDADMM COc1ccc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)c(Cl)n1 ZINC001190085770 745107894 /nfs/dbraw/zinc/10/78/94/745107894.db2.gz PZJONNHMJMCWSH-UHFFFAOYSA-N -1 1 318.724 1.344 20 0 DDADMM O=C(NCc1ncccc1O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629145 745279163 /nfs/dbraw/zinc/27/91/63/745279163.db2.gz KLAYYZZPFXEOPO-UHFFFAOYSA-N -1 1 323.312 1.275 20 0 DDADMM COCCOc1cccc(F)c1NS(=O)(=O)c1ncc[n-]1 ZINC001190729040 745309039 /nfs/dbraw/zinc/30/90/39/745309039.db2.gz GCBLJHMHBXLCEI-UHFFFAOYSA-N -1 1 315.326 1.375 20 0 DDADMM CSc1ncc(C(=O)Nc2cn(C)nc2C(C)=O)c(=O)[n-]1 ZINC001191429780 745509184 /nfs/dbraw/zinc/50/91/84/745509184.db2.gz ROUVKGVPYGSUJL-UHFFFAOYSA-N -1 1 307.335 1.093 20 0 DDADMM CSc1ncc(C(=O)Nc2ccn3cnnc3c2)c(=O)[n-]1 ZINC001191429030 745509548 /nfs/dbraw/zinc/50/95/48/745509548.db2.gz IRTDNYATIONBNH-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM CSc1ncc(C(=O)Nc2n[nH]c3c2CCCC3)c(=O)[n-]1 ZINC001191429778 745509607 /nfs/dbraw/zinc/50/96/07/745509607.db2.gz RLJCABVWRLAXFF-UHFFFAOYSA-N -1 1 305.363 1.758 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2NC(=O)c3ccccc32)c(=O)[n-]1 ZINC001191441346 745513893 /nfs/dbraw/zinc/51/38/93/745513893.db2.gz VEECRCMEZHAOBZ-SNVBAGLBSA-N -1 1 316.342 1.076 20 0 DDADMM CNC(=O)c1cnc([N-][C@H](c2ccccn2)C(F)(F)F)nc1 ZINC001168479386 745567445 /nfs/dbraw/zinc/56/74/45/745567445.db2.gz SHEAZKOBUUZSLO-SNVBAGLBSA-N -1 1 311.267 1.947 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(CCO)cc2)n1 ZINC001191752610 745601735 /nfs/dbraw/zinc/60/17/35/745601735.db2.gz OVGCAERKEARYMX-UHFFFAOYSA-N -1 1 303.318 1.374 20 0 DDADMM O=S(=O)([N-]CCc1cnccn1)C(Cl)(Cl)Cl ZINC001192042270 745680703 /nfs/dbraw/zinc/68/07/03/745680703.db2.gz AVFBSKYFNBVTCM-UHFFFAOYSA-N -1 1 304.586 1.266 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CCC[C@@H](n2ccnn2)C1 ZINC001192665914 745868948 /nfs/dbraw/zinc/86/89/48/745868948.db2.gz XVWLURVKXXBOHY-SECBINFHSA-N -1 1 308.288 1.739 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc2nccn2c1 ZINC001193017346 745961701 /nfs/dbraw/zinc/96/17/01/745961701.db2.gz VUJPGYBOIKSJTH-UHFFFAOYSA-N -1 1 311.363 1.418 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)c(C)c1 ZINC001193253056 746031540 /nfs/dbraw/zinc/03/15/40/746031540.db2.gz OOSMWLOTWHTFCU-GFCCVEGCSA-N -1 1 313.375 1.702 20 0 DDADMM C[C@H]1CCCC[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998620431 752057121 /nfs/dbraw/zinc/05/71/21/752057121.db2.gz GUKNKHHLPFQKMF-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2sc(OC)nc2C)n1 ZINC001193482004 746117141 /nfs/dbraw/zinc/11/71/41/746117141.db2.gz IEVMMNZDPFXQNB-UHFFFAOYSA-N -1 1 310.335 1.612 20 0 DDADMM COc1nc(C)c(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)s1 ZINC001193492732 746119396 /nfs/dbraw/zinc/11/93/96/746119396.db2.gz BGLAFRGPDMJWEL-UHFFFAOYSA-N -1 1 306.307 1.084 20 0 DDADMM COC(=O)c1scnc1NC(=O)c1nccc(OC)c1[O-] ZINC001193524905 746133958 /nfs/dbraw/zinc/13/39/58/746133958.db2.gz OWXZFEHIVIHNGU-UHFFFAOYSA-N -1 1 309.303 1.291 20 0 DDADMM NC(=O)Cc1ccc([N-]S(=O)(=O)c2ccccc2N)cc1 ZINC001193930075 746224610 /nfs/dbraw/zinc/22/46/10/746224610.db2.gz TXZANBDRZPKRGV-UHFFFAOYSA-N -1 1 305.359 1.097 20 0 DDADMM Cc1cc(O)cc(O)c1C(=O)NCCCC[P@](=O)([O-])O ZINC001193946494 746248434 /nfs/dbraw/zinc/24/84/34/746248434.db2.gz UVVHEYVDPOINHZ-UHFFFAOYSA-N -1 1 303.251 1.094 20 0 DDADMM CC(C)(C)c1ncc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cn1 ZINC001194013948 746253157 /nfs/dbraw/zinc/25/31/57/746253157.db2.gz SIUZRGYGCLQDFH-UHFFFAOYSA-N -1 1 313.321 1.398 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2cnc(C3CC3)[nH]c2=O)CCC[N@@H+]1CCF ZINC000993937086 746381099 /nfs/dbraw/zinc/38/10/99/746381099.db2.gz RSFOGYOJDKZNBV-GWCFXTLKSA-N -1 1 322.384 1.612 20 0 DDADMM Cc1cnc(C)c(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)n1 ZINC001194907880 746484468 /nfs/dbraw/zinc/48/44/68/746484468.db2.gz UNZDHQAQVVPZMM-UHFFFAOYSA-N -1 1 317.309 1.305 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC(N3CCCOC3=O)CC2)n1 ZINC001195327205 746566913 /nfs/dbraw/zinc/56/69/13/746566913.db2.gz XSLWSMFASYIIJM-UHFFFAOYSA-N -1 1 319.361 1.543 20 0 DDADMM Nc1cnc(Cl)c(NC(=O)c2cc([O-])cnc2Cl)n1 ZINC001195298325 746570440 /nfs/dbraw/zinc/57/04/40/746570440.db2.gz VXMXRMNTCSNKQO-UHFFFAOYSA-N -1 1 300.105 1.719 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cc([O-])cnc2Cl)c[nH]1 ZINC001195299317 746570835 /nfs/dbraw/zinc/57/08/35/746570835.db2.gz LZMYNSQVKPEWJS-UHFFFAOYSA-N -1 1 310.697 1.593 20 0 DDADMM O=C1Cc2c(cccc2[N-]S(=O)(=O)c2ccc(O)cc2)CN1 ZINC001195810598 746713222 /nfs/dbraw/zinc/71/32/22/746713222.db2.gz JTSZWRWVSNTIQG-UHFFFAOYSA-N -1 1 318.354 1.365 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc(N4CCCC4)n3)c1-2 ZINC001196660292 746927049 /nfs/dbraw/zinc/92/70/49/746927049.db2.gz OMTRPXVYADKGSF-UHFFFAOYSA-N -1 1 323.360 1.282 20 0 DDADMM COc1ccnc(F)c1C(=O)[N-]c1ncn(C)c2ncnc1-2 ZINC001197135355 747061697 /nfs/dbraw/zinc/06/16/97/747061697.db2.gz UTKXXMPTGIYNQS-UHFFFAOYSA-N -1 1 302.269 1.110 20 0 DDADMM COc1cc(O)ccc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001197181512 747078673 /nfs/dbraw/zinc/07/86/73/747078673.db2.gz QPLOTYBBHPDSQF-UHFFFAOYSA-N -1 1 301.262 1.025 20 0 DDADMM COC(=O)CNC(=S)Nc1cccc([O-])c1Br ZINC001197198204 747100506 /nfs/dbraw/zinc/10/05/06/747100506.db2.gz WWOWXMAIQQNWRU-UHFFFAOYSA-N -1 1 319.180 1.614 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)Cc2cccnc2)cn1 ZINC001197422806 747160065 /nfs/dbraw/zinc/16/00/65/747160065.db2.gz QFVFYMQUTWQBLG-UHFFFAOYSA-N -1 1 321.358 1.595 20 0 DDADMM Cc1cc(CN2CC(CNC(=O)c3[nH]nc(C)c3[O-])C2)cs1 ZINC001031615882 747196517 /nfs/dbraw/zinc/19/65/17/747196517.db2.gz IEWDFRFOQIAJOD-UHFFFAOYSA-N -1 1 320.418 1.655 20 0 DDADMM O=C(NCC1CN(Cc2ccc(F)cc2)C1)c1ncccc1[O-] ZINC001031627404 747247781 /nfs/dbraw/zinc/24/77/81/747247781.db2.gz LHCRIJYJQBFDOB-UHFFFAOYSA-N -1 1 315.348 1.788 20 0 DDADMM CSc1nc(NC(=O)c2cnc3ncc(C)cn32)cc(=O)[n-]1 ZINC001198360324 747477336 /nfs/dbraw/zinc/47/73/36/747477336.db2.gz GXEZXNYAIDBWHR-UHFFFAOYSA-N -1 1 316.346 1.508 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2c(F)c(F)ccc2CO)n1C ZINC001198403470 747481020 /nfs/dbraw/zinc/48/10/20/747481020.db2.gz DSYZOVJHLSCBMP-UHFFFAOYSA-N -1 1 317.317 1.300 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cnoc1C(F)(F)F ZINC001199062253 747689279 /nfs/dbraw/zinc/68/92/79/747689279.db2.gz UHAANEHBNLQQTB-UHFFFAOYSA-N -1 1 314.183 1.318 20 0 DDADMM COCCOc1ccnc(C(=O)[N-]c2noc3cnccc32)n1 ZINC001199189515 747733802 /nfs/dbraw/zinc/73/38/02/747733802.db2.gz JFXQWRSRUNHFSE-UHFFFAOYSA-N -1 1 315.289 1.290 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C)nn2C(C)C)n1 ZINC001199220524 747747639 /nfs/dbraw/zinc/74/76/39/747747639.db2.gz CQUMMHLYSLZYMX-UHFFFAOYSA-N -1 1 305.338 1.925 20 0 DDADMM CC(=O)C[N-]S(=O)(=O)c1c(F)cccc1Br ZINC001201018704 748389684 /nfs/dbraw/zinc/38/96/84/748389684.db2.gz JRGOEGWDKSFWEB-UHFFFAOYSA-N -1 1 310.144 1.456 20 0 DDADMM CCCC(=O)N1CC[C@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001004748580 748714652 /nfs/dbraw/zinc/71/46/52/748714652.db2.gz GTPJUTKKMNRFTF-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(NC/C=C/CNc1cncc(Cl)n1)c1ncccc1[O-] ZINC001107139773 749351281 /nfs/dbraw/zinc/35/12/81/749351281.db2.gz RHBCCFGZHXZVLX-OWOJBTEDSA-N -1 1 319.752 1.629 20 0 DDADMM C/C=C(/C)C(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107355624 749842482 /nfs/dbraw/zinc/84/24/82/749842482.db2.gz KBTYUZPMMWMHRX-GJOADDDFSA-N -1 1 319.409 1.337 20 0 DDADMM CCC(=O)N1CCCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001005407032 750005959 /nfs/dbraw/zinc/00/59/59/750005959.db2.gz UGVBCWMHIIUXLQ-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM C[C@H]1CCC[C@@H](C[N-]S(=O)(=O)c2ncn(C)c2Cl)O1 ZINC000688952267 750621780 /nfs/dbraw/zinc/62/17/80/750621780.db2.gz GMKIDESLOLHUHX-IUCAKERBSA-N -1 1 307.803 1.309 20 0 DDADMM CC(C)C1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001035042916 751145142 /nfs/dbraw/zinc/14/51/42/751145142.db2.gz OBIYPRWLCOCZCU-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1conc1CN[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000998999246 752423685 /nfs/dbraw/zinc/42/36/85/752423685.db2.gz FNXGGGFPEYNNLC-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM C[C@H]1CCCN(C(=O)C2CC=CC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036528165 752521632 /nfs/dbraw/zinc/52/16/32/752521632.db2.gz OYJUIVWYWNFHIG-WCQYABFASA-N -1 1 319.409 1.193 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036533181 752524191 /nfs/dbraw/zinc/52/41/91/752524191.db2.gz NMARDBQMULBJPZ-UWMOLUOFSA-N -1 1 307.398 1.193 20 0 DDADMM C[C@@H]1CCCN(C(=O)C2=CCCC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036534904 752525684 /nfs/dbraw/zinc/52/56/84/752525684.db2.gz NMIMBWMBAUQSHS-DGCLKSJQSA-N -1 1 319.409 1.337 20 0 DDADMM CC[C@@H](F)C(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062150993 752794281 /nfs/dbraw/zinc/79/42/81/752794281.db2.gz SYBCRVDLMUWFKA-VXGBXAGGSA-N -1 1 323.368 1.646 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1c1ncccn1 ZINC001039734001 762191586 /nfs/dbraw/zinc/19/15/86/762191586.db2.gz SHDRPYVJLNPBGN-NEPJUHHUSA-N -1 1 313.361 1.365 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)/C=C/C2CC2)C1 ZINC001005947643 753407334 /nfs/dbraw/zinc/40/73/34/753407334.db2.gz AUVSODNLADESBQ-MLRMMBSGSA-N -1 1 315.373 1.330 20 0 DDADMM CC(C)=C(F)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005951755 753412857 /nfs/dbraw/zinc/41/28/57/753412857.db2.gz OXGWGQJTLDSGPL-SNVBAGLBSA-N -1 1 321.352 1.627 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2ccoc2)C1 ZINC001005953622 753414675 /nfs/dbraw/zinc/41/46/75/753414675.db2.gz UJQRQJCFIIYZMK-SNVBAGLBSA-N -1 1 315.329 1.271 20 0 DDADMM C[C@@H](CCNC(=O)C1(CF)CC1)NC(=O)c1ncccc1[O-] ZINC001078294162 753941229 /nfs/dbraw/zinc/94/12/29/753941229.db2.gz FUYOIFHPUQSFHM-JTQLQIEISA-N -1 1 309.341 1.162 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)/C=C/C1CC1 ZINC001011606872 754627761 /nfs/dbraw/zinc/62/77/61/754627761.db2.gz HISCMFRDMSUEOY-BKVNPXPRSA-N -1 1 315.373 1.473 20 0 DDADMM C[C@H]1[C@H](NC(=O)C2=CCCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011675021 754670550 /nfs/dbraw/zinc/67/05/50/754670550.db2.gz YAKPSNLATCAVSJ-WCQYABFASA-N -1 1 315.373 1.617 20 0 DDADMM CCC(=O)N1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1C ZINC001012107272 754848848 /nfs/dbraw/zinc/84/88/48/754848848.db2.gz HMIJPZVSUGOOBT-MWLCHTKSSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C2CCCC2)CN1C(=O)c1ncccc1[O-] ZINC001012509178 755114673 /nfs/dbraw/zinc/11/46/73/755114673.db2.gz HFJLHJBLHBAITG-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM O=C(N[C@@H]1CC[C@@H](CNC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC001015411288 756287604 /nfs/dbraw/zinc/28/76/04/756287604.db2.gz NNPYMUIHAPQUSD-DGCLKSJQSA-N -1 1 317.389 1.602 20 0 DDADMM C/C=C(\C)C(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082022700 756586557 /nfs/dbraw/zinc/58/65/57/756586557.db2.gz LWKXTVIFXVNQMD-QQFGACLNSA-N -1 1 317.389 1.864 20 0 DDADMM CC[C@H](C)CN1CC2(C1)C[C@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001053774609 758797386 /nfs/dbraw/zinc/79/73/86/758797386.db2.gz GXDFDXTWSNTBRF-JQWIXIFHSA-N -1 1 322.409 1.043 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)C1CC1 ZINC001018555957 758970694 /nfs/dbraw/zinc/97/06/94/758970694.db2.gz RPQGZFHMNHHBML-AAEUAGOBSA-N -1 1 317.389 1.412 20 0 DDADMM O=C(N[C@H]1CCc2c[nH]nc2C1)c1ccc2n[n-]c(=S)n2c1 ZINC000826889841 759427346 /nfs/dbraw/zinc/42/73/46/759427346.db2.gz CPNVGMCBOZOXMT-JTQLQIEISA-N -1 1 314.374 1.028 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CO1 ZINC000828054667 759464098 /nfs/dbraw/zinc/46/40/98/759464098.db2.gz MRCUBZXLYUAYJH-CMPLNLGQSA-N -1 1 302.334 1.877 20 0 DDADMM CC1(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCCCCC1 ZINC001018979744 759473374 /nfs/dbraw/zinc/47/33/74/759473374.db2.gz MGHXABAVEVMUJF-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC(=O)C[C@H]1C1CC1 ZINC000828269979 759582867 /nfs/dbraw/zinc/58/28/67/759582867.db2.gz WVJPIZWGHFTNEQ-ZDUSSCGKSA-N -1 1 301.346 1.643 20 0 DDADMM CCC1(C(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001054987580 760263691 /nfs/dbraw/zinc/26/36/91/760263691.db2.gz XJGQFQDOLXMEBF-WDEREUQCSA-N -1 1 307.398 1.027 20 0 DDADMM CCC(=O)NC1(CC)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001069322327 767964557 /nfs/dbraw/zinc/96/45/57/767964557.db2.gz ALOIINBRAJABCF-UHFFFAOYSA-N -1 1 305.378 1.698 20 0 DDADMM Cc1noc([C@H](C)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001038184590 760901015 /nfs/dbraw/zinc/90/10/15/760901015.db2.gz SXRWNIBMUHYRMO-GXSJLCMTSA-N -1 1 317.349 1.044 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)c1cnco1 ZINC001067254929 761065897 /nfs/dbraw/zinc/06/58/97/761065897.db2.gz VNKPXIFZYLMBER-UHFFFAOYSA-N -1 1 318.333 1.010 20 0 DDADMM CC(C)C(=O)N1CCCC[C@@H]1[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039190355 761814896 /nfs/dbraw/zinc/81/48/96/761814896.db2.gz LLANTHCNIXSZJN-CHWSQXEVSA-N -1 1 321.425 1.369 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001001547049 762950187 /nfs/dbraw/zinc/95/01/87/762950187.db2.gz YIEMOQGPRPTRBM-AAEUAGOBSA-N -1 1 317.389 1.412 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(Nc2cc(F)ncn2)C1 ZINC001069731663 768120196 /nfs/dbraw/zinc/12/01/96/768120196.db2.gz PGGYGYFKIQNCGN-UHFFFAOYSA-N -1 1 317.324 1.431 20 0 DDADMM CC(C)=CC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531638 763718603 /nfs/dbraw/zinc/71/86/03/763718603.db2.gz UTXNOHXLWYIXRK-QWHCGFSZSA-N -1 1 317.389 1.720 20 0 DDADMM Cc1ncc(C)c(N[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112984729 765084321 /nfs/dbraw/zinc/08/43/21/765084321.db2.gz YDLLHIQXUHKBGW-WDEREUQCSA-N -1 1 315.377 1.813 20 0 DDADMM CCCCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052422535 765809664 /nfs/dbraw/zinc/80/96/64/765809664.db2.gz RTIGLLYFTOHFJS-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc4[nH]cnc4c3F)c2[nH]1 ZINC001170219278 766178156 /nfs/dbraw/zinc/17/81/56/766178156.db2.gz MYNHFAJHPOAWHD-UHFFFAOYSA-N -1 1 301.241 1.475 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccc(Cn3ccnc3)cn2)[n-]1 ZINC001170226546 766199913 /nfs/dbraw/zinc/19/99/13/766199913.db2.gz NUAXEOCHRGHGGM-UHFFFAOYSA-N -1 1 308.305 1.442 20 0 DDADMM COc1ccnc2cc(Nc3[n-]c(=O)nc4nc[nH]c43)cnc21 ZINC001170229836 766203620 /nfs/dbraw/zinc/20/36/20/766203620.db2.gz FOZFOJNMCAAGKS-UHFFFAOYSA-N -1 1 309.289 1.754 20 0 DDADMM C[C@@H]1CCN(c2ncccn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001067954448 766836536 /nfs/dbraw/zinc/83/65/36/766836536.db2.gz MQPPVDDNIJHEGK-NEPJUHHUSA-N -1 1 313.361 1.222 20 0 DDADMM Cc1cc(CN2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)no1 ZINC001046261714 767320207 /nfs/dbraw/zinc/32/02/07/767320207.db2.gz GKBKWXHPBGXHGR-MRXNPFEDSA-N -1 1 316.361 1.478 20 0 DDADMM Cc1nccc(N[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)n1 ZINC001068821302 767638905 /nfs/dbraw/zinc/63/89/05/767638905.db2.gz ATDDKMOYNYMMIH-ZYHUDNBSSA-N -1 1 313.361 1.601 20 0 DDADMM C[C@@H]1C[C@H](Nc2cc(F)ncn2)CN1C(=O)c1ncccc1[O-] ZINC001069065191 767814248 /nfs/dbraw/zinc/81/42/48/767814248.db2.gz HUCMPAGIQKQULU-ZJUUUORDSA-N -1 1 317.324 1.431 20 0 DDADMM O=C(CCCF)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648818 768644130 /nfs/dbraw/zinc/64/41/30/768644130.db2.gz YJVYEZVWPOMFDW-LLVKDONJSA-N -1 1 309.341 1.258 20 0 DDADMM COC(=O)CN(C)C(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC001136153874 771906483 /nfs/dbraw/zinc/90/64/83/771906483.db2.gz YASVNEXASNXNIV-UHFFFAOYSA-N -1 1 317.297 1.710 20 0 DDADMM Cc1ccccc1[C@@H](O)C(=O)NCCCC[P@](=O)([O-])O ZINC001144542614 772562265 /nfs/dbraw/zinc/56/22/65/772562265.db2.gz CZVNJAVBTZKGBD-GFCCVEGCSA-N -1 1 301.279 1.103 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cccc([S@@](C)=O)c1 ZINC001144650772 772587773 /nfs/dbraw/zinc/58/77/73/772587773.db2.gz PZKCBHYNZJYYHE-OAQYLSRUSA-N -1 1 323.399 1.769 20 0 DDADMM COc1cc(C(=O)[N-]c2nc(Cl)c(Cl)nc2CO)on1 ZINC001146932626 772978772 /nfs/dbraw/zinc/97/87/72/772978772.db2.gz SPWPHPSPKIMVFU-UHFFFAOYSA-N -1 1 319.104 1.525 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCc2ccc3nonc3c2)c1[O-] ZINC001147842671 773266919 /nfs/dbraw/zinc/26/69/19/773266919.db2.gz INMVXOAEYOPZHI-UHFFFAOYSA-N -1 1 314.301 1.054 20 0 DDADMM Cc1ncc(CO)c(C(=O)NC(=N)c2cccnc2Cl)c1[O-] ZINC001147844504 773267917 /nfs/dbraw/zinc/26/79/17/773267917.db2.gz RCBDKBSONJLSOE-UHFFFAOYSA-N -1 1 320.736 1.392 20 0 DDADMM CSCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073676614 773294976 /nfs/dbraw/zinc/29/49/76/773294976.db2.gz SMJJALHUDYBVSU-WDEREUQCSA-N -1 1 323.418 1.117 20 0 DDADMM Cn1[n-]c(C(=O)NCCc2nc3ccc(F)cc3[nH]2)cc1=O ZINC001148005360 773314941 /nfs/dbraw/zinc/31/49/41/773314941.db2.gz AECOQFPCYGTBSK-UHFFFAOYSA-N -1 1 303.297 1.114 20 0 DDADMM O=C(NCc1cn[nH]c1)c1cc([O-])c(Br)c(O)c1 ZINC001148989356 773666691 /nfs/dbraw/zinc/66/66/91/773666691.db2.gz FBPCTIRULIPAHL-UHFFFAOYSA-N -1 1 312.123 1.513 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001074926099 774246645 /nfs/dbraw/zinc/24/66/45/774246645.db2.gz DDMDWIUDQITHFD-CLFQDTNISA-N -1 1 317.389 1.863 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(N[C@H]3CCc4c(F)cccc4C3)c2[nH]1 ZINC001171407395 774623563 /nfs/dbraw/zinc/62/35/63/774623563.db2.gz GXXDCIVCDBOAOS-QMMMGPOBSA-N -1 1 315.308 1.824 20 0 DDADMM CCOC(=O)C[C@H]1CC[C@H](Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)C1 ZINC001173635615 777297181 /nfs/dbraw/zinc/29/71/81/777297181.db2.gz IIVNZBJVQSQHOS-YUMQZZPRSA-N -1 1 321.337 1.250 20 0 DDADMM Cc1cc(Nc2cc(S(=O)(=O)C(N)=O)ccc2[O-])n(C)n1 ZINC001174831766 777593724 /nfs/dbraw/zinc/59/37/24/777593724.db2.gz ZDDREEHCWLVQSN-UHFFFAOYSA-N -1 1 310.335 1.444 20 0 DDADMM CSc1nc(Nc2cnc3c(c2)OCC(=O)N3C)cc(=O)[n-]1 ZINC001174837448 777597310 /nfs/dbraw/zinc/59/73/10/777597310.db2.gz JLXDKZOVAKIBNV-UHFFFAOYSA-N -1 1 319.346 1.398 20 0 DDADMM Cc1nnc(CCC(=O)Nc2n[n-]c(C(F)(F)F)n2)s1 ZINC001176275220 778098547 /nfs/dbraw/zinc/09/85/47/778098547.db2.gz IKQAWETYGZOURY-UHFFFAOYSA-N -1 1 306.273 1.555 20 0 DDADMM CCCCOc1c(NC(=O)Cc2nn[n-]n2)ccc(F)c1F ZINC001176844720 778299856 /nfs/dbraw/zinc/29/98/56/778299856.db2.gz HRFZNEJXEDRPON-UHFFFAOYSA-N -1 1 311.292 1.838 20 0 DDADMM CSc1nc(NC(=O)CCc2c(C)n[nH]c2C)cc(=O)[n-]1 ZINC001177033214 778401267 /nfs/dbraw/zinc/40/12/67/778401267.db2.gz OQVNUAICFXGFMB-UHFFFAOYSA-N -1 1 307.379 1.815 20 0 DDADMM Cc1c[nH]c(C)c1CCC(=O)NCCCC[P@](=O)([O-])O ZINC001177592560 778660632 /nfs/dbraw/zinc/66/06/32/778660632.db2.gz FWOVGOITILRSRW-UHFFFAOYSA-N -1 1 302.311 1.638 20 0 DDADMM O=C(c1cccc2nccnc21)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001177866256 778748102 /nfs/dbraw/zinc/74/81/02/778748102.db2.gz JBAXJJKFEVHFHF-NSHDSACASA-N -1 1 323.360 1.238 20 0 DDADMM CC(C)(Cc1ccccn1)C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001178181702 778926876 /nfs/dbraw/zinc/92/68/76/778926876.db2.gz BQQBWCQRMKRPEJ-UHFFFAOYSA-N -1 1 317.305 1.888 20 0 DDADMM CC(C)(C(=O)Nc1n[n-]c(C(F)(F)F)n1)c1cnccn1 ZINC001178191699 778934063 /nfs/dbraw/zinc/93/40/63/778934063.db2.gz YGLQJIIYZGYBCW-UHFFFAOYSA-N -1 1 300.244 1.530 20 0 DDADMM CC(C)C[C@H](C)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179907962 779555581 /nfs/dbraw/zinc/55/55/81/779555581.db2.gz IRGHHWPYPUZFAQ-AVGNSLFASA-N -1 1 323.441 1.662 20 0 DDADMM CC[C@@H](CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)C(C)C ZINC001179963715 779581633 /nfs/dbraw/zinc/58/16/33/779581633.db2.gz TXLXBJFUULHNFW-AVGNSLFASA-N -1 1 323.441 1.662 20 0 DDADMM CN1CC[C@H](NC(=O)NCCCC(=O)[O-])[C@@H]1c1ccc(F)cc1 ZINC001118199533 781059701 /nfs/dbraw/zinc/05/97/01/781059701.db2.gz MWZVCXHEPGSAMI-ZFWWWQNUSA-N -1 1 323.368 1.735 20 0 DDADMM O=C([O-])CNC(=O)CCNCc1cccc(OC2CC2)c1F ZINC001118437861 781121844 /nfs/dbraw/zinc/12/18/44/781121844.db2.gz YEYWMKMSZNRQOU-UHFFFAOYSA-N -1 1 310.325 1.047 20 0 DDADMM COc1cc(Cl)c(CNCCOCC(=O)[O-])cc1OC ZINC001118978460 781289323 /nfs/dbraw/zinc/28/93/23/781289323.db2.gz CBCHRBRNTVMZRH-UHFFFAOYSA-N -1 1 303.742 1.548 20 0 DDADMM CC(C)(C)CCCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001267011625 837184815 /nfs/dbraw/zinc/18/48/15/837184815.db2.gz YOCRVKCZLGVMAZ-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM Cc1cc(C(=O)N(C)CCNC(=O)c2ncccc2[O-])c(C)[nH]1 ZINC001408555056 837257422 /nfs/dbraw/zinc/25/74/22/837257422.db2.gz OUIJIOOXVNGAEL-UHFFFAOYSA-N -1 1 316.361 1.234 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@@H](CF)c2ccc(F)cc2)nn1 ZINC001414323289 844418866 /nfs/dbraw/zinc/41/88/66/844418866.db2.gz FFUYFKUCTMOIFW-LBPRGKRZSA-N -1 1 313.329 1.913 20 0 DDADMM CCC[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001409190930 844490501 /nfs/dbraw/zinc/49/05/01/844490501.db2.gz FQHAGQDOVVCIMK-AGIUHOORSA-N -1 1 317.389 1.649 20 0 DDADMM CC1=C(C)C[C@H](C(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001268646563 840629289 /nfs/dbraw/zinc/62/92/89/840629289.db2.gz DUCAWBTYENHXSV-VXGBXAGGSA-N -1 1 307.398 1.241 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)Cc1nocc1C)C(=O)OC ZINC001414252933 841438466 /nfs/dbraw/zinc/43/84/66/841438466.db2.gz DVGITHMGCHZQKB-UHFFFAOYSA-N -1 1 304.368 1.134 20 0 DDADMM CN1CC2(CCC1=O)CCN(C(=O)c1ccc([O-])cn1)CC2 ZINC001269354509 841556943 /nfs/dbraw/zinc/55/69/43/841556943.db2.gz LRQAVTILWYZJIX-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM CC(C)CN1CC[C@@]2(CCN(C(=O)c3ccc([O-])cn3)C2)C1=O ZINC001269355079 841559644 /nfs/dbraw/zinc/55/96/44/841559644.db2.gz VQODHADCOVVCTI-KRWDZBQOSA-N -1 1 317.389 1.508 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CN(C(=O)Cc1ccc([O-])c(Cl)c1)C2 ZINC001269508781 841712403 /nfs/dbraw/zinc/71/24/03/841712403.db2.gz FKJJPPGIEPZYPQ-BDJLRTHQSA-N -1 1 322.792 1.525 20 0 DDADMM NC(=O)[C@@H]1CC12CCN(C(=O)c1ccc([O-])cc1Cl)CC2 ZINC001269762404 842019100 /nfs/dbraw/zinc/01/91/00/842019100.db2.gz PPYVTHNIPIKULM-NSHDSACASA-N -1 1 308.765 1.773 20 0 DDADMM CC(C)(C)NC(=O)C12CC(NC(=O)c3ccc([O-])cn3)(C1)C2 ZINC001270139183 842325288 /nfs/dbraw/zinc/32/52/88/842325288.db2.gz SQWPUJCLWZKRIT-UHFFFAOYSA-N -1 1 303.362 1.354 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CCCC1CC1 ZINC001409261932 844727816 /nfs/dbraw/zinc/72/78/16/844727816.db2.gz VZMOOPILKBVKAS-ZDUSSCGKSA-N -1 1 319.405 1.992 20 0 DDADMM C=C/C(C)=C/CC(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001280461349 844768006 /nfs/dbraw/zinc/76/80/06/844768006.db2.gz YVQQLSVRSBEFGO-RPHSKFLZSA-N -1 1 317.389 1.886 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nc3ccccn3c2C)n1 ZINC001149259000 861503327 /nfs/dbraw/zinc/50/33/27/861503327.db2.gz NYYLJUWRSWLINK-UHFFFAOYSA-N -1 1 313.317 1.795 20 0 DDADMM CN1C[C@]2(CC1=O)CCCCN2C(=O)c1c(F)ccc([O-])c1F ZINC001272246643 844882741 /nfs/dbraw/zinc/88/27/41/844882741.db2.gz PQGWQTOTEIFMQR-MRXNPFEDSA-N -1 1 324.327 1.897 20 0 DDADMM COc1cccc(C(C)=CC(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC001149283744 861518585 /nfs/dbraw/zinc/51/85/85/861518585.db2.gz FNSFBGYMVIIRRG-UXBLZVDNSA-N -1 1 315.329 1.616 20 0 DDADMM CCCCC(=O)N[C@](C)(CNC(=O)c1ncccc1[O-])C1CC1 ZINC001409508121 845211151 /nfs/dbraw/zinc/21/11/51/845211151.db2.gz XWEKBABWGOZLGR-QGZVFWFLSA-N -1 1 319.405 1.992 20 0 DDADMM O=C(NCCCc1c[nH][nH]c1=O)c1ccc2cccnc2c1[O-] ZINC001149327016 861548357 /nfs/dbraw/zinc/54/83/57/861548357.db2.gz OSCLGTSBPZPMMH-NSHDSACASA-N -1 1 312.329 1.182 20 0 DDADMM CS[C@@H](C)C(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001409664873 845524364 /nfs/dbraw/zinc/52/43/64/845524364.db2.gz SNBIPEWSFCWORO-UWVGGRQHSA-N -1 1 311.407 1.021 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC[C@@]3(CCN(C)C3=O)CC2)n1 ZINC001272386184 846091878 /nfs/dbraw/zinc/09/18/78/846091878.db2.gz BMJQFNAWSAHPTP-QGZVFWFLSA-N -1 1 317.389 1.570 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cccc(O)c1F ZINC001149500047 861661563 /nfs/dbraw/zinc/66/15/63/861661563.db2.gz FVRLLHSAUVDOCY-CYBMUJFWSA-N -1 1 313.292 1.564 20 0 DDADMM CC(C)NC(=O)N1CC[C@H]2[C@@H]1CCN2C(=O)c1cncc([O-])c1 ZINC001272673584 846567727 /nfs/dbraw/zinc/56/77/27/846567727.db2.gz ZVGGVJLGBMIAJS-KBPBESRZSA-N -1 1 318.377 1.194 20 0 DDADMM NC(=O)c1cnoc1NC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149650872 861782572 /nfs/dbraw/zinc/78/25/72/861782572.db2.gz RAWVRWQUXSBZNL-UHFFFAOYSA-N -1 1 313.269 1.590 20 0 DDADMM O=C([O-])COCCNCc1cc(Br)cnc1Cl ZINC001327073953 861854647 /nfs/dbraw/zinc/85/46/47/861854647.db2.gz QIDWWYLAIFNOTN-UHFFFAOYSA-N -1 1 323.574 1.688 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCC[C@](F)(CO)CC1 ZINC001155261875 861906585 /nfs/dbraw/zinc/90/65/85/861906585.db2.gz ZTVCARILNJMIMH-OAHLLOKOSA-N -1 1 307.325 1.595 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1[nH]nc2cccc(F)c21 ZINC001155276922 861925135 /nfs/dbraw/zinc/92/51/35/861925135.db2.gz MIWHULXWZVVJTC-UHFFFAOYSA-N -1 1 318.224 1.553 20 0 DDADMM CN(C(=O)c1cccs1)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001410278421 849000318 /nfs/dbraw/zinc/00/03/18/849000318.db2.gz GGESVYCTFZKRHQ-JTQLQIEISA-N -1 1 321.406 1.212 20 0 DDADMM O=C1COCC2(CN(Cc3ncccc3[O-])C2)N1C1CCCC1 ZINC001273325049 849533994 /nfs/dbraw/zinc/53/39/94/849533994.db2.gz HCBZKUVFEFXUDR-UHFFFAOYSA-N -1 1 317.389 1.143 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C(C)C)C(=O)OCc1ccccc1 ZINC001252570330 851621473 /nfs/dbraw/zinc/62/14/73/851621473.db2.gz AOHJZUSJDDYZCH-ZDUSSCGKSA-N -1 1 315.391 1.073 20 0 DDADMM CC(C)(C)NC(=O)c1cccc(NC(=O)CCc2nn[n-]n2)c1 ZINC001411664328 853544209 /nfs/dbraw/zinc/54/42/09/853544209.db2.gz HZVLYTGKZQNTDJ-UHFFFAOYSA-N -1 1 316.365 1.299 20 0 DDADMM COC[C@H](CCO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001411908671 853960426 /nfs/dbraw/zinc/96/04/26/853960426.db2.gz MKXDEWKKKDKEBZ-VIFPVBQESA-N -1 1 307.268 1.538 20 0 DDADMM COCCOCN1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc([O-])c(F)c1 ZINC001275950520 854007449 /nfs/dbraw/zinc/00/74/49/854007449.db2.gz ZOIQFFJVGFRVLF-BETUJISGSA-N -1 1 324.352 1.051 20 0 DDADMM C[C@@H]1CCS(=O)(=O)CCN1C(=O)c1cccc([O-])c1F ZINC001412031569 854132563 /nfs/dbraw/zinc/13/25/63/854132563.db2.gz SZLSKERKHKLYNH-SECBINFHSA-N -1 1 301.339 1.181 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)c1c(C)noc1C)c1nn[n-]n1 ZINC001412110088 854229072 /nfs/dbraw/zinc/22/90/72/854229072.db2.gz OAJJZWZHJPFOFD-QMMMGPOBSA-N -1 1 306.370 1.740 20 0 DDADMM CC(C)(C)C(=O)NCCC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001412162066 854279405 /nfs/dbraw/zinc/27/94/05/854279405.db2.gz IPUKCGCKEJSVLQ-UHFFFAOYSA-N -1 1 320.393 1.552 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3ccc(F)c([O-])c3)[C@H]2C1 ZINC001412201226 854328146 /nfs/dbraw/zinc/32/81/46/854328146.db2.gz GUABWKRYUSBNCT-KCQAQPDRSA-N -1 1 320.364 1.908 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)[C@@H]2[C@H]3CCCO[C@H]32)[n-]1 ZINC001412278791 854402513 /nfs/dbraw/zinc/40/25/13/854402513.db2.gz MACPCCBPYLBCSF-GGZOMVNGSA-N -1 1 306.318 1.367 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc([O-])cn1)[C@H]1CCC[C@H](OC)C1 ZINC001412315828 854436899 /nfs/dbraw/zinc/43/68/99/854436899.db2.gz ZONOSSWHEYROAU-VHRBIJSZSA-N -1 1 322.361 1.264 20 0 DDADMM CNC(=O)Cn1cc(NC(=O)c2cc(SC)ccc2[O-])cn1 ZINC001412448105 854565119 /nfs/dbraw/zinc/56/51/19/854565119.db2.gz XMKCRHCTMBWKEG-UHFFFAOYSA-N -1 1 320.374 1.309 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001412564256 854728293 /nfs/dbraw/zinc/72/82/93/854728293.db2.gz ZCVIWHPKHMQFKG-UWVGGRQHSA-N -1 1 313.350 1.038 20 0 DDADMM CCC(CC)c1cc(CNC(=O)CCCc2nn[n-]n2)on1 ZINC001412611758 854808511 /nfs/dbraw/zinc/80/85/11/854808511.db2.gz QDMQWBQJSJSFPW-UHFFFAOYSA-N -1 1 306.370 1.730 20 0 DDADMM COC[C@H](NC(=O)[C@@H]1CCC[C@@H]2CCCC[C@@H]12)c1nn[n-]n1 ZINC001412614308 854814887 /nfs/dbraw/zinc/81/48/87/854814887.db2.gz VSHDJBAXOSIBRP-LOWDOPEQSA-N -1 1 307.398 1.610 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC001412648340 854876708 /nfs/dbraw/zinc/87/67/08/854876708.db2.gz GWDSMFWPZZVECD-LLVKDONJSA-N -1 1 305.334 1.131 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2c(C)nn(C)c2C)[n-]c1=O ZINC001412657591 854891402 /nfs/dbraw/zinc/89/14/02/854891402.db2.gz CHMHEYLYFOYTIL-UHFFFAOYSA-N -1 1 319.365 1.206 20 0 DDADMM COC(=O)Cc1ccccc1CNC(=O)c1cncc([O-])c1 ZINC001412930736 855791437 /nfs/dbraw/zinc/79/14/37/855791437.db2.gz JFUWAALVWBFLGP-UHFFFAOYSA-N -1 1 300.314 1.433 20 0 DDADMM CCC(CC)(NC(=O)C1CCC(NC(C)=O)CC1)c1nn[n-]n1 ZINC001412937812 855793395 /nfs/dbraw/zinc/79/33/95/855793395.db2.gz TUFLQYIDCRPKKL-UHFFFAOYSA-N -1 1 322.413 1.026 20 0 DDADMM CCC(CC)(NC(=O)CNC(=O)CC1CCCC1)c1nn[n-]n1 ZINC001412935754 855799309 /nfs/dbraw/zinc/79/93/09/855799309.db2.gz NCAQCAADAOUBMZ-UHFFFAOYSA-N -1 1 322.413 1.028 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)N1CC[C@H](F)C1 ZINC001412977948 855858094 /nfs/dbraw/zinc/85/80/94/855858094.db2.gz ASCPZGZUZUUBJU-JTQLQIEISA-N -1 1 317.324 1.061 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cnccc2Cl)n1 ZINC001413051455 856174779 /nfs/dbraw/zinc/17/47/79/856174779.db2.gz QASOYUDDTODENN-UHFFFAOYSA-N -1 1 308.725 1.816 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](C)CC(F)(F)F ZINC001413143907 856480154 /nfs/dbraw/zinc/48/01/54/856480154.db2.gz KJJCTLUDVBORGL-RXMQYKEDSA-N -1 1 309.244 1.215 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(CCC(C)C)C2CC2)[n-]n1 ZINC001413271864 856598761 /nfs/dbraw/zinc/59/87/61/856598761.db2.gz BLNQZJVUYGTVOE-UHFFFAOYSA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(CCC(C)C)C2CC2)n[n-]1 ZINC001413271864 856598766 /nfs/dbraw/zinc/59/87/66/856598766.db2.gz BLNQZJVUYGTVOE-UHFFFAOYSA-N -1 1 315.395 1.396 20 0 DDADMM CCOC(=O)CC[C@H](C)[N-]S(=O)(=O)c1csnc1OC ZINC001413318297 856641364 /nfs/dbraw/zinc/64/13/64/856641364.db2.gz KMOZVTFOTHFBIC-QMMMGPOBSA-N -1 1 322.408 1.162 20 0 DDADMM O=C(CCCc1c(F)cccc1F)NC1(c2nn[n-]n2)CCC1 ZINC001413502045 856897861 /nfs/dbraw/zinc/89/78/61/856897861.db2.gz APVNSBPCMFWZOV-UHFFFAOYSA-N -1 1 321.331 1.996 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CCC2)nn1[C@@H](C)C1CC1 ZINC001413511386 856912861 /nfs/dbraw/zinc/91/28/61/856912861.db2.gz UILVIBWLXFAPRR-JTQLQIEISA-N -1 1 315.381 1.485 20 0 DDADMM O=C(c1snnc1C1CC1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001413558742 857068432 /nfs/dbraw/zinc/06/84/32/857068432.db2.gz JAIAUIJYAVYNHL-MRVPVSSYSA-N -1 1 319.394 1.024 20 0 DDADMM COc1cnc(C2(NC(=O)Cc3ccon3)CCCC2)[n-]c1=O ZINC001413705187 857376734 /nfs/dbraw/zinc/37/67/34/857376734.db2.gz HWKSEDIAOJZRGR-UHFFFAOYSA-N -1 1 318.333 1.307 20 0 DDADMM CC(F)(F)C1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC1 ZINC001328344863 862915684 /nfs/dbraw/zinc/91/56/84/862915684.db2.gz RPGHRDILZXGJNC-UHFFFAOYSA-N -1 1 320.317 1.414 20 0 DDADMM Cn1ccc2c1nccc2C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001151782229 862965267 /nfs/dbraw/zinc/96/52/67/862965267.db2.gz KAEROGFYFPKQFM-UHFFFAOYSA-N -1 1 314.261 1.425 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc2n[nH]cc2c1F ZINC001151845434 863005773 /nfs/dbraw/zinc/00/57/73/863005773.db2.gz UMKYJQDKMOXZLH-UHFFFAOYSA-N -1 1 313.252 1.326 20 0 DDADMM CC[C@@H](C)C(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001381265012 881399233 /nfs/dbraw/zinc/39/92/33/881399233.db2.gz LVZHOHRKCFQXJO-YPMHNXCESA-N -1 1 319.405 1.800 20 0 DDADMM CCOC(CCNC(=O)c1c(C)[nH]c(=O)[n-]c1=S)OCC ZINC001123715938 859375861 /nfs/dbraw/zinc/37/58/61/859375861.db2.gz PCODOGYOGIOLJQ-UHFFFAOYSA-N -1 1 315.395 1.298 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCOC[C@@H](C2CCC2)C1 ZINC001123888543 859457186 /nfs/dbraw/zinc/45/71/86/859457186.db2.gz RDOFIWSXCKGSCF-NSHDSACASA-N -1 1 323.418 1.668 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC[C@H]1CC(C)(C)CO1 ZINC001124006010 859520314 /nfs/dbraw/zinc/52/03/14/859520314.db2.gz PMMVCPRSZOFOTB-VIFPVBQESA-N -1 1 311.407 1.714 20 0 DDADMM CN1CCN(Cc2cn(CC(=O)[O-])c3ccccc23)CCC1=O ZINC001138194392 860041121 /nfs/dbraw/zinc/04/11/21/860041121.db2.gz MPUNTGZERAPJCP-UHFFFAOYSA-N -1 1 315.373 1.390 20 0 DDADMM COc1cccc(CN(C)CCC(=O)N(C)C)c1OCC(=O)[O-] ZINC001139271712 860346989 /nfs/dbraw/zinc/34/69/89/860346989.db2.gz QZWHVQGNWPMMTR-UHFFFAOYSA-N -1 1 324.377 1.069 20 0 DDADMM COC[C@@H]1Cn2nccc2CN(Cc2ccccc2C(=O)[O-])C1 ZINC001140502866 860647352 /nfs/dbraw/zinc/64/73/52/860647352.db2.gz UMRUKCYHMXOQDH-ZDUSSCGKSA-N -1 1 315.373 1.860 20 0 DDADMM [O-]c1ccc(CN2CCN(c3ncccn3)CC2)c(F)c1F ZINC001140889228 860724980 /nfs/dbraw/zinc/72/49/80/860724980.db2.gz CAGHMBPSXJSARK-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM CC(=O)N1CCO[C@@H]2CN(Cc3ccc(C(=O)[O-])o3)CC[C@@H]2C1 ZINC001140943649 860742173 /nfs/dbraw/zinc/74/21/73/860742173.db2.gz WNXOKXIXKBXSOR-IUODEOHRSA-N -1 1 322.361 1.047 20 0 DDADMM COc1cnc(O[C@@H]2CCN(Cc3ccc(C(=O)[O-])o3)C2)nc1 ZINC001140949022 860745074 /nfs/dbraw/zinc/74/50/74/860745074.db2.gz KPYIUTBOPBHBMZ-LLVKDONJSA-N -1 1 319.317 1.430 20 0 DDADMM O=C(N[C@H]1CCn2cncc21)c1ccc2n[n-]c(=S)n2c1 ZINC001149163488 860778072 /nfs/dbraw/zinc/77/80/72/860778072.db2.gz JIDUKRFBTULPJE-VIFPVBQESA-N -1 1 300.347 1.089 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cnc2c(F)cccc21)c1nncn1C ZINC001141717353 860918652 /nfs/dbraw/zinc/91/86/52/860918652.db2.gz MRHANIHYNYMQEC-MRVPVSSYSA-N -1 1 315.308 1.699 20 0 DDADMM O=CNc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1 ZINC001328622767 863113346 /nfs/dbraw/zinc/11/33/46/863113346.db2.gz TURFEORZDHCLDF-LLVKDONJSA-N -1 1 316.317 1.363 20 0 DDADMM Cc1cccc(O)c1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC001152168323 863197529 /nfs/dbraw/zinc/19/75/29/863197529.db2.gz MDVUQEDZRYIZJA-UHFFFAOYSA-N -1 1 322.342 1.306 20 0 DDADMM CCN(Cc1nnc(C)o1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152974618 863627741 /nfs/dbraw/zinc/62/77/41/863627741.db2.gz CRQCBTZWXADWAK-SNVBAGLBSA-N -1 1 319.365 1.119 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ncn2ccccc12 ZINC001153035526 863668224 /nfs/dbraw/zinc/66/82/24/863668224.db2.gz ZEBSJOKUUQLCEB-UHFFFAOYSA-N -1 1 300.234 1.186 20 0 DDADMM CSc1nc(NC(=O)c2cn3c(n2)CCCC3)cc(=O)[n-]1 ZINC001153392633 863868241 /nfs/dbraw/zinc/86/82/41/863868241.db2.gz UNXPOHLMQTVLRD-UHFFFAOYSA-N -1 1 305.363 1.689 20 0 DDADMM COc1cc(NC(=O)c2cnc3cccnc3c2[O-])c(C)cn1 ZINC001153855625 864164233 /nfs/dbraw/zinc/16/42/33/864164233.db2.gz ATAWITTYQNNDMI-UHFFFAOYSA-N -1 1 310.313 1.887 20 0 DDADMM CN(C)c1nc(NC(=O)c2cnn3c2CCC3)c(N=O)c(=O)[n-]1 ZINC001154049753 864361096 /nfs/dbraw/zinc/36/10/96/864361096.db2.gz SHDGTBCFTVMFIL-UHFFFAOYSA-N -1 1 317.309 1.041 20 0 DDADMM COC[C@@H](C)CNC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001330555397 864448829 /nfs/dbraw/zinc/44/88/29/864448829.db2.gz OCVLHQQRUOWBBH-VIFPVBQESA-N -1 1 314.769 1.061 20 0 DDADMM Cc1ccc(C)c(C(=O)N[C@@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)c1 ZINC001381452965 881817844 /nfs/dbraw/zinc/81/78/44/881817844.db2.gz NENLSMMRYAFNHQ-NEPJUHHUSA-N -1 1 317.393 1.424 20 0 DDADMM Cc1cc2c(c(N[C@H]3c4ccccc4CNC3=O)n1)C(=O)[N-]C2=O ZINC001158902123 864964980 /nfs/dbraw/zinc/96/49/80/864964980.db2.gz BBGJQIRIVGNTJK-ZDUSSCGKSA-N -1 1 322.324 1.057 20 0 DDADMM COc1nc(Nc2[n-]c(=O)nc3nc[nH]c32)nc2c1ccn2C ZINC001159205358 865166541 /nfs/dbraw/zinc/16/65/41/865166541.db2.gz XWFPIJDKWSZFCI-UHFFFAOYSA-N -1 1 312.293 1.092 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC001332165846 865631137 /nfs/dbraw/zinc/63/11/37/865631137.db2.gz PBBQSNPIBQIJAJ-ZDUSSCGKSA-N -1 1 319.405 1.878 20 0 DDADMM O=C([O-])C1CC[NH+](CCCNC(=O)c2ccc([O-])c(F)c2)CC1 ZINC001332652079 866026026 /nfs/dbraw/zinc/02/60/26/866026026.db2.gz CKXVPXGPALQQAC-UHFFFAOYSA-N -1 1 324.352 1.448 20 0 DDADMM CC(=O)c1ccc([O-])cc1OC[C@H]1OC(=O)[C@@H]2OC(C)(C)O[C@H]12 ZINC001225619119 881939762 /nfs/dbraw/zinc/93/97/62/881939762.db2.gz LRUBDPHWFYREBX-MGPQQGTHSA-N -1 1 322.313 1.419 20 0 DDADMM CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1C(=O)c1ccc([O-])cn1 ZINC001362196127 883265589 /nfs/dbraw/zinc/26/55/89/883265589.db2.gz ARCOLNFPPGUYLS-QWHCGFSZSA-N -1 1 305.378 1.649 20 0 DDADMM CSc1nc(CNC(=O)c2ccc(Cl)nn2)cc(=O)[n-]1 ZINC001161561128 866771354 /nfs/dbraw/zinc/77/13/54/866771354.db2.gz BVQWBKJPKJKOAA-UHFFFAOYSA-N -1 1 311.754 1.278 20 0 DDADMM C[C@@H](NC/C=C/CNC(=O)c1ncccc1[O-])c1ncccn1 ZINC001321026321 867229292 /nfs/dbraw/zinc/22/92/92/867229292.db2.gz AQJVDMANJITORQ-QAVQXKDTSA-N -1 1 313.361 1.214 20 0 DDADMM CC=C(C)C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001324463797 867291089 /nfs/dbraw/zinc/29/10/89/867291089.db2.gz FFJIBNIQRREMAI-FALLNDSDSA-N -1 1 307.398 1.241 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H](C)CO[C@@H](C)COC ZINC001225901852 882102418 /nfs/dbraw/zinc/10/24/18/882102418.db2.gz WBLCYGDPFGAVQE-VHSXEESVSA-N -1 1 314.338 1.178 20 0 DDADMM COCCCOC1CCN(Cc2cncc(C(=O)[O-])c2)CC1 ZINC001335272151 868192502 /nfs/dbraw/zinc/19/25/02/868192502.db2.gz IDYKUSZXPZPQOY-UHFFFAOYSA-N -1 1 308.378 1.797 20 0 DDADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)C1CCCCC1 ZINC001163206173 868214028 /nfs/dbraw/zinc/21/40/28/868214028.db2.gz IIIMCWLKTSQBFF-UHFFFAOYSA-N -1 1 321.425 1.467 20 0 DDADMM NC(=O)CCc1cccc(NCC[N-]C(=O)C(F)(F)F)c1 ZINC001164246007 869055665 /nfs/dbraw/zinc/05/56/65/869055665.db2.gz JAWLJCWJSHLFMA-UHFFFAOYSA-N -1 1 303.284 1.195 20 0 DDADMM C[C@H](O)C[C@H](C)[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001337594314 869558576 /nfs/dbraw/zinc/55/85/76/869558576.db2.gz GUQIMTDFHHTSBT-BQBZGAKWSA-N -1 1 313.206 1.826 20 0 DDADMM COC[C@@]12CCC[C@@H]1CN(c1nc(C)cc3c1C(=O)[N-]C3=O)C2 ZINC001165928210 869769091 /nfs/dbraw/zinc/76/90/91/869769091.db2.gz AKOGFXNSVBNLEX-DIFFPNOSSA-N -1 1 315.373 1.527 20 0 DDADMM CCC(CC)(NC(=O)C(C)=Cc1cccnc1)c1nn[n-]n1 ZINC001297487718 870073004 /nfs/dbraw/zinc/07/30/04/870073004.db2.gz MOMVSINEODIZRF-LUAWRHEFSA-N -1 1 300.366 1.830 20 0 DDADMM CCOCCC(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C(C)C ZINC001297953065 870213394 /nfs/dbraw/zinc/21/33/94/870213394.db2.gz XIYRLNTWVMXPGX-GFCCVEGCSA-N -1 1 323.393 1.084 20 0 DDADMM COCCOc1ccccc1CNCc1cn(C)nc1C(=O)[O-] ZINC001339118874 870353016 /nfs/dbraw/zinc/35/30/16/870353016.db2.gz VMEBQVGRNMLIDI-UHFFFAOYSA-N -1 1 319.361 1.433 20 0 DDADMM C[C@H](CC(=O)NC/C=C/CNC(=O)c1ncccc1[O-])C1CC1 ZINC001298356507 870497734 /nfs/dbraw/zinc/49/77/34/870497734.db2.gz JMKUDOPIBWNCJE-QAVQXKDTSA-N -1 1 317.389 1.626 20 0 DDADMM CC(C)[C@H]1CN(c2nnc(Cc3nnn[n-]3)n2C)c2ccccc21 ZINC001339602324 870576029 /nfs/dbraw/zinc/57/60/29/870576029.db2.gz FILNXKHOZYUPRQ-GFCCVEGCSA-N -1 1 324.392 1.810 20 0 DDADMM CC(C)[C@H]1CN(c2nnc(Cc3nn[n-]n3)n2C)c2ccccc21 ZINC001339602324 870576039 /nfs/dbraw/zinc/57/60/39/870576039.db2.gz FILNXKHOZYUPRQ-GFCCVEGCSA-N -1 1 324.392 1.810 20 0 DDADMM COc1ncc([N-]S(=O)(=O)c2ccc(N)c(C)c2)cc1N ZINC001203509126 870606446 /nfs/dbraw/zinc/60/64/46/870606446.db2.gz ZNSDBRZLUJGROK-UHFFFAOYSA-N -1 1 308.363 1.364 20 0 DDADMM Cn1cc(Cl)c(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)n1 ZINC001301650080 871013635 /nfs/dbraw/zinc/01/36/35/871013635.db2.gz OUJQFBGHDJTYDI-JTQLQIEISA-N -1 1 317.740 1.106 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1nc2ccccc2n1CC1CC1 ZINC001303812882 871157662 /nfs/dbraw/zinc/15/76/62/871157662.db2.gz TUTANKLBZIBTPK-UHFFFAOYSA-N -1 1 311.349 1.531 20 0 DDADMM C/C=C\[C@@H](O)C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001304136054 871193429 /nfs/dbraw/zinc/19/34/29/871193429.db2.gz QHBXRGDBVFMGBM-XICOKMJESA-N -1 1 307.350 1.013 20 0 DDADMM CC1(C)CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC(C)(C)C1 ZINC001341368348 871624685 /nfs/dbraw/zinc/62/46/85/871624685.db2.gz PXDKNVNDVNEZBY-INIZCTEOSA-N -1 1 312.410 1.104 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC12CCCCC2 ZINC001341811974 871838613 /nfs/dbraw/zinc/83/86/13/871838613.db2.gz RIDPRJXKDPHGKG-DYVFJYSZSA-N -1 1 324.421 1.249 20 0 DDADMM CC(F)(F)c1cccc(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)c1 ZINC001341810266 871838679 /nfs/dbraw/zinc/83/86/79/871838679.db2.gz KIGPXPUSUBPEAB-CQSZACIVSA-N -1 1 314.288 1.180 20 0 DDADMM CN(C)c1nc(Nc2cnn3cccnc23)c(N=O)c(=O)[n-]1 ZINC001205958756 871955711 /nfs/dbraw/zinc/95/57/11/871955711.db2.gz LABJWEDMEMULAA-UHFFFAOYSA-N -1 1 300.282 1.432 20 0 DDADMM CCCCCC(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001318617855 872170101 /nfs/dbraw/zinc/17/01/01/872170101.db2.gz VPYZQTZOUSSCGW-UHFFFAOYSA-N -1 1 309.414 1.609 20 0 DDADMM CCN(CCCNC(=O)[C@@H]1CC=CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001316885388 872212529 /nfs/dbraw/zinc/21/25/29/872212529.db2.gz ZSZCUDUPZREIPD-GFCCVEGCSA-N -1 1 307.398 1.195 20 0 DDADMM CCN(CCCNC(=O)c1[nH]nc(C)c1[O-])Cc1cncs1 ZINC001316890880 872243081 /nfs/dbraw/zinc/24/30/81/872243081.db2.gz PMVOXTOWKKPZRE-UHFFFAOYSA-N -1 1 323.422 1.522 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N(C)Cc1cccc(F)c1 ZINC001343590808 872680462 /nfs/dbraw/zinc/68/04/62/872680462.db2.gz BPZQGZFBOXTDNH-UHFFFAOYSA-N -1 1 318.312 1.417 20 0 DDADMM Cc1nc(=O)[n-]c(O[C@@H]2CCn3ccnc32)c1Br ZINC001226781334 882648822 /nfs/dbraw/zinc/64/88/22/882648822.db2.gz FAYJNHBKYMNTKQ-SSDOTTSWSA-N -1 1 311.139 1.973 20 0 DDADMM O=C(NCC1CC1)C(=O)Nc1ccc(Br)c([O-])c1 ZINC001344466047 873012110 /nfs/dbraw/zinc/01/21/10/873012110.db2.gz XFRAUXYMINRZHY-UHFFFAOYSA-N -1 1 313.151 1.619 20 0 DDADMM CC(C)(CNC(=O)c1ccc2ccc(O)cc2c1[O-])C(N)=O ZINC001361937674 882673898 /nfs/dbraw/zinc/67/38/98/882673898.db2.gz ROPQTFHYSRJESU-UHFFFAOYSA-N -1 1 302.330 1.492 20 0 DDADMM COC(=O)N(C)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001361969144 882731199 /nfs/dbraw/zinc/73/11/99/882731199.db2.gz UQQVFWFJXLHXBV-UHFFFAOYSA-N -1 1 318.337 1.364 20 0 DDADMM Cc1cccc(C)c1OC[C@@H](C)NC(=O)c1cnncc1[O-] ZINC001361970201 882732897 /nfs/dbraw/zinc/73/28/97/882732897.db2.gz NKFLQJSZBOUELS-GFCCVEGCSA-N -1 1 301.346 1.996 20 0 DDADMM CCC(=CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)CC ZINC001207800583 873578739 /nfs/dbraw/zinc/57/87/39/873578739.db2.gz IVEFIVDXGNWSKO-LBPRGKRZSA-N -1 1 307.398 1.337 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC([C@H]2CCOC2)CC1 ZINC001346737231 873870440 /nfs/dbraw/zinc/87/04/40/873870440.db2.gz WDZJDZZDFTVVPI-NSHDSACASA-N -1 1 323.418 1.668 20 0 DDADMM O=C1O[C@@H](COc2cnc[n-]c2=O)CN1c1cccc(F)c1 ZINC001227049569 882794766 /nfs/dbraw/zinc/79/47/66/882794766.db2.gz VYRFEGOSIXWTHM-LLVKDONJSA-N -1 1 305.265 1.726 20 0 DDADMM O=C(c1ccc(Cn2ccnc2)cc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347472352 874155476 /nfs/dbraw/zinc/15/54/76/874155476.db2.gz AZPRXWKVUAVREB-AWEZNQCLSA-N -1 1 323.360 1.074 20 0 DDADMM CCC[C@@](C)(CC)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001378134745 874218824 /nfs/dbraw/zinc/21/88/24/874218824.db2.gz QLNXOHDYLJBXRH-MLGOLLRUSA-N -1 1 323.441 1.015 20 0 DDADMM COC(CNC(=O)c1ncc(C)cc1[O-])(OC)c1ccccn1 ZINC001347888722 874298577 /nfs/dbraw/zinc/29/85/77/874298577.db2.gz DPSGWJYPDWSUKS-UHFFFAOYSA-N -1 1 317.345 1.366 20 0 DDADMM Cc1cc2ccccc2nc1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347973370 874323672 /nfs/dbraw/zinc/32/36/72/874323672.db2.gz CSMJUHQSYLSROM-GFCCVEGCSA-N -1 1 308.345 1.686 20 0 DDADMM O=C(C(=O)N1CC[C@H](c2nn[n-]n2)C1)c1ccc(Cl)cc1 ZINC001347974189 874324738 /nfs/dbraw/zinc/32/47/38/874324738.db2.gz IOSXOUPFDVSRKH-VIFPVBQESA-N -1 1 305.725 1.052 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]1c1cccnc1 ZINC001362024226 882854671 /nfs/dbraw/zinc/85/46/71/882854671.db2.gz VNZPMAKFABDAIO-JTQLQIEISA-N -1 1 316.317 1.269 20 0 DDADMM Cc1nc(C)c(CCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)s1 ZINC001348805346 874791176 /nfs/dbraw/zinc/79/11/76/874791176.db2.gz XNKSTCJUGMQFGH-UHFFFAOYSA-N -1 1 324.431 1.825 20 0 DDADMM CO[C@H](CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S)C1CCCC1 ZINC001348880712 874850857 /nfs/dbraw/zinc/85/08/57/874850857.db2.gz SSBDWXXWEXZYEC-SNVBAGLBSA-N -1 1 311.407 1.714 20 0 DDADMM O=C(c1cnn2cccc(Cl)c12)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001349001704 874918361 /nfs/dbraw/zinc/91/83/61/874918361.db2.gz BTCNJIASNSPTDF-MRVPVSSYSA-N -1 1 317.740 1.131 20 0 DDADMM COC(=O)C(C)(C)c1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001362039601 882894090 /nfs/dbraw/zinc/89/40/90/882894090.db2.gz DXEIMXCJFQAEQL-UHFFFAOYSA-N -1 1 315.329 1.885 20 0 DDADMM Nc1n[nH]c2ccc([N-]S(=O)(=O)c3cc(N)ccc3N)cc12 ZINC001209864576 875147127 /nfs/dbraw/zinc/14/71/27/875147127.db2.gz IYIYKFUHPFQBDB-UHFFFAOYSA-N -1 1 318.362 1.110 20 0 DDADMM C[C@@H](CC(C)(C)C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209972763 875182616 /nfs/dbraw/zinc/18/26/16/875182616.db2.gz IQPYLXQGRRMAPD-RYUDHWBXSA-N -1 1 323.441 1.663 20 0 DDADMM COc1cc(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)on1 ZINC000090706863 875389006 /nfs/dbraw/zinc/38/90/06/875389006.db2.gz IULQWRPFSRKPIS-UHFFFAOYSA-N -1 1 300.274 1.827 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001378749256 875543027 /nfs/dbraw/zinc/54/30/27/875543027.db2.gz NHDNYTRXBXOTKC-LLVKDONJSA-N -1 1 317.389 1.602 20 0 DDADMM CON(C)C(=O)c1cccc(Nc2cc(=O)[n-]c(N(C)C)n2)c1 ZINC001211392428 875788078 /nfs/dbraw/zinc/78/80/78/875788078.db2.gz PJIIDKUPDWTJNW-UHFFFAOYSA-N -1 1 317.349 1.625 20 0 DDADMM COc1nc(N=C2CCN(C(=O)C(F)(F)F)CC2)cc(=O)[n-]1 ZINC001213731547 876035578 /nfs/dbraw/zinc/03/55/78/876035578.db2.gz KCGZCHCPNYCQIF-UHFFFAOYSA-N -1 1 318.255 1.281 20 0 DDADMM CC(C)Oc1cc(COc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)ccn1 ZINC001227432757 883014664 /nfs/dbraw/zinc/01/46/64/883014664.db2.gz RVQCCUMVVYQXTP-UHFFFAOYSA-N -1 1 317.305 1.525 20 0 DDADMM CCS(=O)(=O)c1ccc(CNC(=O)c2ccncc2[O-])cc1 ZINC000181914160 876603429 /nfs/dbraw/zinc/60/34/29/876603429.db2.gz MBINYAFVVTZOLM-UHFFFAOYSA-N -1 1 320.370 1.511 20 0 DDADMM CCCOc1ccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)cn1 ZINC001216586626 876988413 /nfs/dbraw/zinc/98/84/13/876988413.db2.gz PCRDZKIAMNZLKC-UHFFFAOYSA-N -1 1 323.374 1.959 20 0 DDADMM CC[C@H](C)C(=O)N(C)CCOCCNC(=O)c1ncccc1[O-] ZINC001287400467 912227591 /nfs/dbraw/zinc/22/75/91/912227591.db2.gz LBRTTWZHEXQNGF-LBPRGKRZSA-N -1 1 323.393 1.038 20 0 DDADMM CCC1(C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001379590601 877462343 /nfs/dbraw/zinc/46/23/43/877462343.db2.gz BXWWTRMBNRBBMD-NSHDSACASA-N -1 1 309.414 1.465 20 0 DDADMM O=C1[N-]C(=O)[C@H](Cc2ccc(OC[C@H]3C[C@@H](O)C3)cc2)S1 ZINC001218434412 877485324 /nfs/dbraw/zinc/48/53/24/877485324.db2.gz ZBMQZYPIEBWPMH-LOWVWBTDSA-N -1 1 307.371 1.730 20 0 DDADMM CCC(CC)C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001379633535 877592633 /nfs/dbraw/zinc/59/26/33/877592633.db2.gz RDBRLAHSCDMWFC-KRWDZBQOSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@@H](C)CC(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001380060182 878686950 /nfs/dbraw/zinc/68/69/50/878686950.db2.gz CVENGXNOTGKCBC-MNOVXSKESA-N -1 1 311.430 1.567 20 0 DDADMM [O-]c1ccc(Br)c(CN2C[C@@H](O)[C@H](CO)C2)c1F ZINC001238305006 890163592 /nfs/dbraw/zinc/16/35/92/890163592.db2.gz JCMNIGQVJKSKIM-WRWORJQWSA-N -1 1 320.158 1.079 20 0 DDADMM CCC(CC)CC(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001381110324 881051353 /nfs/dbraw/zinc/05/13/53/881051353.db2.gz GSFLOWPXYFWPGW-PWSUYJOCSA-N -1 1 309.414 1.273 20 0 DDADMM Cc1cc(=O)[nH]c([C@@H](C)[N@H+]2C[C@@]3(CO)CCCC[C@@]3(CO)C2)n1 ZINC001414055852 881215812 /nfs/dbraw/zinc/21/58/12/881215812.db2.gz FOMKDUWNTURICP-XYPHTWIQSA-N -1 1 321.421 1.399 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1ccc(CNC(N)=O)cc1 ZINC001362161840 883184762 /nfs/dbraw/zinc/18/47/62/883184762.db2.gz IUYNAZBFOAPECU-UHFFFAOYSA-N -1 1 315.329 1.821 20 0 DDADMM O=C(Nc1cccn(CC(F)(F)F)c1=O)c1ccc([O-])cn1 ZINC001362192619 883255885 /nfs/dbraw/zinc/25/58/85/883255885.db2.gz MQJLDBBYIJRKNM-UHFFFAOYSA-N -1 1 313.235 1.764 20 0 DDADMM C[C@H](NC(=O)c1cccc([O-])c1F)C(=O)NCc1ccco1 ZINC001362193816 883262656 /nfs/dbraw/zinc/26/26/56/883262656.db2.gz CBLPKMAFJWKBBT-VIFPVBQESA-N -1 1 306.293 1.559 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H](c2nn[n-]n2)C1)C1CCC(O)CC1 ZINC001362209587 883303360 /nfs/dbraw/zinc/30/33/60/883303360.db2.gz PXMMKXYZTLYXOU-HIFPTAJRSA-N -1 1 321.425 1.483 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCOC[C@H]1C[C@H](O)c1ccco1 ZINC001362227856 883353144 /nfs/dbraw/zinc/35/31/44/883353144.db2.gz BRVQOTNXGIVITA-RISCZKNCSA-N -1 1 318.329 1.345 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CCCC2)[C@H]1C(=O)Nc1nnn[n-]1 ZINC001362256281 883421195 /nfs/dbraw/zinc/42/11/95/883421195.db2.gz KQTYFLUJJQJIGM-SECBINFHSA-N -1 1 322.369 1.318 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CCCC2)[C@H]1C(=O)Nc1nn[n-]n1 ZINC001362256281 883421204 /nfs/dbraw/zinc/42/12/04/883421204.db2.gz KQTYFLUJJQJIGM-SECBINFHSA-N -1 1 322.369 1.318 20 0 DDADMM CN(C(=O)c1ncc2ccccc2c1[O-])C1CCS(=O)CC1 ZINC001362278783 883468401 /nfs/dbraw/zinc/46/84/01/883468401.db2.gz NHMJDHVCPFIFFQ-UHFFFAOYSA-N -1 1 318.398 1.924 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](OC1=NC(=O)CC([O-])=N1)C(F)(F)F ZINC001228391606 883479228 /nfs/dbraw/zinc/47/92/28/883479228.db2.gz XEYNGPPEOSTUDA-SVGQVSJJSA-N -1 1 310.228 1.397 20 0 DDADMM O=C(N[C@H](CO)C[C@H](O)c1ccccc1)c1ccc(F)c([O-])c1 ZINC001362315086 883547812 /nfs/dbraw/zinc/54/78/12/883547812.db2.gz CJMVALYLTWWZEM-ZFWWWQNUSA-N -1 1 319.332 1.746 20 0 DDADMM CCNC(=O)C1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCC1 ZINC001362404058 883731581 /nfs/dbraw/zinc/73/15/81/883731581.db2.gz OOXICHYSOUDKMO-UHFFFAOYSA-N -1 1 318.377 1.096 20 0 DDADMM CSc1ncc(C(=O)N2CCO[C@H](C(C)(C)C)C2)c(=O)[n-]1 ZINC001362421680 883772455 /nfs/dbraw/zinc/77/24/55/883772455.db2.gz TUTXWAWOTVZTHR-JTQLQIEISA-N -1 1 311.407 1.791 20 0 DDADMM COc1cc2ccc(=O)oc2c(OC[C@H]2COC(=O)O2)c1[O-] ZINC001229219935 883877316 /nfs/dbraw/zinc/87/73/16/883877316.db2.gz YQNGJWRGMQKEFD-QMMMGPOBSA-N -1 1 308.242 1.421 20 0 DDADMM CCC(=O)c1ccc([O-])cc1O[C@@H]1C[C@@H](C(=O)OC)N(C)C1 ZINC001229475583 884002584 /nfs/dbraw/zinc/00/25/84/884002584.db2.gz ACUUIGBAWBCRAJ-YPMHNXCESA-N -1 1 307.346 1.609 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)c1cnccc1C ZINC001362550977 884072421 /nfs/dbraw/zinc/07/24/21/884072421.db2.gz XCBUVPLMSIWESM-MRVPVSSYSA-N -1 1 304.306 1.091 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCOCC2)C1)c1cccc([O-])c1F ZINC001362574119 884125209 /nfs/dbraw/zinc/12/52/09/884125209.db2.gz MYLOAMBPZQXBPR-LLVKDONJSA-N -1 1 309.337 1.989 20 0 DDADMM CCCOc1ccc(C(=O)CNC(=O)c2cnncc2[O-])cc1 ZINC001362599307 884186611 /nfs/dbraw/zinc/18/66/11/884186611.db2.gz RKZANHTXJCLDGS-UHFFFAOYSA-N -1 1 315.329 1.584 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H](Oc2nc(=O)[n-]cc2C(=O)OC)C1 ZINC001229870060 884203133 /nfs/dbraw/zinc/20/31/33/884203133.db2.gz FACFUGIIWQZRIE-IUCAKERBSA-N -1 1 310.306 1.079 20 0 DDADMM CSc1nc(CNC(=O)c2nnc(C3CC3)o2)cc(=O)[n-]1 ZINC001362610498 884213858 /nfs/dbraw/zinc/21/38/58/884213858.db2.gz IBDVYWQHTXKHKU-UHFFFAOYSA-N -1 1 307.335 1.095 20 0 DDADMM COc1c(=O)[n-]c(C)nc1OC[C@H]1CC[C@@]2(CCCCO2)O1 ZINC001230020627 884281853 /nfs/dbraw/zinc/28/18/53/884281853.db2.gz FYKBUTSEXMOGPK-IAQYHMDHSA-N -1 1 310.350 1.954 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2cccc(O)c2)n[n-]1 ZINC001362658395 884343422 /nfs/dbraw/zinc/34/34/22/884343422.db2.gz HEGYMSIMEFOGEX-VIFPVBQESA-N -1 1 318.333 1.107 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2cccc(O)c2)[n-]1 ZINC001362658395 884343435 /nfs/dbraw/zinc/34/34/35/884343435.db2.gz HEGYMSIMEFOGEX-VIFPVBQESA-N -1 1 318.333 1.107 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2cccc(O)c2)n1 ZINC001362658395 884343452 /nfs/dbraw/zinc/34/34/52/884343452.db2.gz HEGYMSIMEFOGEX-VIFPVBQESA-N -1 1 318.333 1.107 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(F)c([O-])c2)CCS(=O)(=O)C1 ZINC001362660552 884349131 /nfs/dbraw/zinc/34/91/31/884349131.db2.gz SUQYYBULFXQXBS-SECBINFHSA-N -1 1 301.339 1.038 20 0 DDADMM CC[C@@H]1CCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)[C@@H]1C ZINC001362684885 884409614 /nfs/dbraw/zinc/40/96/14/884409614.db2.gz OCVVMEGMMLIXRU-NXEZZACHSA-N -1 1 300.380 1.448 20 0 DDADMM CCCC[C@@H](O)CCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001362702462 884446896 /nfs/dbraw/zinc/44/68/96/884446896.db2.gz FQPPTCWQULFNIC-NWDGAFQWSA-N -1 1 311.382 1.813 20 0 DDADMM CSc1ncc(C(=O)NCCc2ccc(C)cn2)c(=O)[n-]1 ZINC001362813790 884702254 /nfs/dbraw/zinc/70/22/54/884702254.db2.gz UEYWDHHJKNOTIB-UHFFFAOYSA-N -1 1 304.375 1.580 20 0 DDADMM Cc1nc(N(C)C)sc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001362830043 884744887 /nfs/dbraw/zinc/74/48/87/884744887.db2.gz IYMSSXWWQBRWNK-UHFFFAOYSA-N -1 1 323.426 1.329 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cccc([O-])c1F)c1ccc(O)cc1 ZINC001362843032 884776887 /nfs/dbraw/zinc/77/68/87/884776887.db2.gz ABWUBLISBGKCBS-AWEZNQCLSA-N -1 1 319.288 1.881 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](C)c1ncc(C)s1 ZINC001362865959 884831340 /nfs/dbraw/zinc/83/13/40/884831340.db2.gz VGCPHAYTBPXTQQ-ZCFIWIBFSA-N -1 1 324.362 1.195 20 0 DDADMM CN(C(=O)c1ccc([O-])cn1)C1CCN(CC(F)(F)F)CC1 ZINC001362868703 884838767 /nfs/dbraw/zinc/83/87/67/884838767.db2.gz OLIVVEVSNIEUPI-UHFFFAOYSA-N -1 1 317.311 1.886 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1coc(C)n1 ZINC001362892054 884899157 /nfs/dbraw/zinc/89/91/57/884899157.db2.gz WTRFPQSDYSZOEB-JTQLQIEISA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1coc(C)n1 ZINC001362892054 884899161 /nfs/dbraw/zinc/89/91/61/884899161.db2.gz WTRFPQSDYSZOEB-JTQLQIEISA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1coc(C)n1 ZINC001362892054 884899165 /nfs/dbraw/zinc/89/91/65/884899165.db2.gz WTRFPQSDYSZOEB-JTQLQIEISA-N -1 1 319.321 1.255 20 0 DDADMM Cc1noc(C(C)C)c1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001362903871 884940914 /nfs/dbraw/zinc/94/09/14/884940914.db2.gz HOVMSTYPSUMWRH-LLVKDONJSA-N -1 1 318.381 1.714 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(O)c(C)c2)n[n-]1 ZINC001362936595 885029229 /nfs/dbraw/zinc/02/92/29/885029229.db2.gz ONXCRMAVYAYXAQ-VIFPVBQESA-N -1 1 318.333 1.486 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(O)c(C)c2)n1 ZINC001362936595 885029236 /nfs/dbraw/zinc/02/92/36/885029236.db2.gz ONXCRMAVYAYXAQ-VIFPVBQESA-N -1 1 318.333 1.486 20 0 DDADMM CC(C)(C)c1nc[nH]c1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001363017037 885238984 /nfs/dbraw/zinc/23/89/84/885238984.db2.gz IJLPEPRIAZSJFE-SECBINFHSA-N -1 1 319.365 1.816 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCOC[C@H]1C[C@@H]1CCOC1 ZINC001363029217 885267518 /nfs/dbraw/zinc/26/75/18/885267518.db2.gz KPLONFHQHWDRQH-WCQYABFASA-N -1 1 309.337 1.799 20 0 DDADMM O=C(N[C@H]1CCN(c2cc(=O)[nH]cn2)C1)c1cccc([O-])c1F ZINC001363045390 885316436 /nfs/dbraw/zinc/31/64/36/885316436.db2.gz SFXBHLVNALRAEX-VIFPVBQESA-N -1 1 318.308 1.036 20 0 DDADMM COc1ccc(C(C)(C)NC(=O)c2cnncc2[O-])cc1F ZINC001363086834 885431070 /nfs/dbraw/zinc/43/10/70/885431070.db2.gz AXZHVWXJMXIVRQ-UHFFFAOYSA-N -1 1 305.309 1.995 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC[C@H](Nc2ncccn2)C1 ZINC001363122982 885523432 /nfs/dbraw/zinc/52/34/32/885523432.db2.gz ONFSJWPSPWWEAX-JTQLQIEISA-N -1 1 302.309 1.648 20 0 DDADMM CN(C(=O)C1=CCCN(C(=O)OC(C)(C)C)CC1)c1nn[n-]n1 ZINC001363153628 885595001 /nfs/dbraw/zinc/59/50/01/885595001.db2.gz VSQHIRVPWNNSRY-UHFFFAOYSA-N -1 1 322.369 1.120 20 0 DDADMM COCc1ccc(NC(=O)CCCc2nn[n-]n2)cc1OC ZINC001363187988 885668767 /nfs/dbraw/zinc/66/87/67/885668767.db2.gz DNOHXFOCOKBKFE-UHFFFAOYSA-N -1 1 305.338 1.316 20 0 DDADMM COc1ccc(CN2CCN([C@@H]3CCOC3)CC2)cc1C(=O)[O-] ZINC001231749755 885854571 /nfs/dbraw/zinc/85/45/71/885854571.db2.gz POGDDDNQVMFNPS-CQSZACIVSA-N -1 1 320.389 1.300 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](C)c1c(C)n[nH]c1C)c1nn[n-]n1 ZINC001363279728 885899014 /nfs/dbraw/zinc/89/90/14/885899014.db2.gz MPOXIEDHJIZMCJ-XCBNKYQSSA-N -1 1 323.426 1.254 20 0 DDADMM CNC(=O)NCC(=O)Nc1cccc([O-])c1Br ZINC001363300397 885951363 /nfs/dbraw/zinc/95/13/63/885951363.db2.gz UJSDHVDCVPQEMX-UHFFFAOYSA-N -1 1 302.128 1.022 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)c1cc2n(n1)CCC[C@@H]2O ZINC001363311738 885986345 /nfs/dbraw/zinc/98/63/45/885986345.db2.gz MGDBXCYLBSZWQM-AWEZNQCLSA-N -1 1 321.764 1.999 20 0 DDADMM CN(C)C(=O)N(C)[C@@H]1CCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001363331553 886043858 /nfs/dbraw/zinc/04/38/58/886043858.db2.gz FHZPPUQTOJQRNG-LLVKDONJSA-N -1 1 309.341 1.359 20 0 DDADMM Cn1cnnc1[C@@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC001363360145 886130482 /nfs/dbraw/zinc/13/04/82/886130482.db2.gz UNXHEPKLHXAUSS-LLVKDONJSA-N -1 1 320.780 1.733 20 0 DDADMM CCCC[C@@H](NC(N)=O)C(=O)Nc1cc([O-])c(F)cc1F ZINC001363383881 886187223 /nfs/dbraw/zinc/18/72/23/886187223.db2.gz HHSDNMTXOCXHPD-SECBINFHSA-N -1 1 301.293 1.836 20 0 DDADMM CCCC[C@H](NC(N)=O)C(=O)Nc1cc([O-])c(F)cc1F ZINC001363383883 886187257 /nfs/dbraw/zinc/18/72/57/886187257.db2.gz HHSDNMTXOCXHPD-VIFPVBQESA-N -1 1 301.293 1.836 20 0 DDADMM CC(C)c1n[nH]c(C(N)=O)c1NC(=O)c1cc(F)c([O-])c(F)c1 ZINC001363444195 886352508 /nfs/dbraw/zinc/35/25/08/886352508.db2.gz WPYKRIGLIPBXMW-UHFFFAOYSA-N -1 1 324.287 1.868 20 0 DDADMM COc1cccc(CCC(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC001363488797 886471896 /nfs/dbraw/zinc/47/18/96/886471896.db2.gz JFTUJRYEGFJALL-UHFFFAOYSA-N -1 1 303.318 1.145 20 0 DDADMM COC(=O)c1cccc2c1CN(C(=O)c1ccc([O-])cn1)CC2 ZINC001363521102 886544734 /nfs/dbraw/zinc/54/47/34/886544734.db2.gz LXWDPTORVUYYLU-UHFFFAOYSA-N -1 1 312.325 1.772 20 0 DDADMM Cn1nccc1C1(O)CN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC001363528806 886557674 /nfs/dbraw/zinc/55/76/74/886557674.db2.gz ZNEHSPFGGHJJDL-UHFFFAOYSA-N -1 1 321.764 1.052 20 0 DDADMM CSc1ncc(C(=O)N=[S@@](C)(=O)C(C)(C)C)c(=O)[n-]1 ZINC001363577865 886680363 /nfs/dbraw/zinc/68/03/63/886680363.db2.gz NOWIIAZGZDBUSS-IBGZPJMESA-N -1 1 303.409 1.941 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC2(CCOCC2)CC[C@H]1CO ZINC001363580198 886684265 /nfs/dbraw/zinc/68/42/65/886684265.db2.gz DMLPJYJZLBVVKW-LBPRGKRZSA-N -1 1 323.364 1.925 20 0 DDADMM O=C([N-][C@@H](CO)c1ncc[nH]1)C(F)(F)c1c(F)cccc1F ZINC001363637862 886842123 /nfs/dbraw/zinc/84/21/23/886842123.db2.gz DUXCTMVJKRSIFZ-VIFPVBQESA-N -1 1 317.242 1.630 20 0 DDADMM O=S(=O)([N-]Cc1ccc(F)cc1F)c1c[nH]nc1Cl ZINC001363762515 887162212 /nfs/dbraw/zinc/16/22/12/887162212.db2.gz CBCYFCPBGSZVOA-UHFFFAOYSA-N -1 1 307.709 1.820 20 0 DDADMM CCCC(=O)N(C)CCN(C(=O)c1ncccc1[O-])C(C)C ZINC001384305037 887224896 /nfs/dbraw/zinc/22/48/96/887224896.db2.gz LXJAMJKGOOQFBZ-UHFFFAOYSA-N -1 1 307.394 1.896 20 0 DDADMM O=C(CNC(=O)c1cnncc1[O-])c1cc2c(s1)CCCC2 ZINC001363817382 887301440 /nfs/dbraw/zinc/30/14/40/887301440.db2.gz RZWBGAYQUKCIQA-UHFFFAOYSA-N -1 1 317.370 1.735 20 0 DDADMM CN(C[C@@H](O)c1cccnc1)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363887163 887473411 /nfs/dbraw/zinc/47/34/11/887473411.db2.gz QLVJEDSWMLWNJC-CYBMUJFWSA-N -1 1 314.345 1.260 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1ccc(F)cc1)c1c[nH]nc1Cl ZINC001363922263 887547564 /nfs/dbraw/zinc/54/75/64/887547564.db2.gz ZSMHDVJOPQKGBB-SECBINFHSA-N -1 1 319.745 1.214 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)c2ccccc2)[n-]n1 ZINC001363960978 887617556 /nfs/dbraw/zinc/61/75/56/887617556.db2.gz CVHPKHVKAJXADL-VIFPVBQESA-N -1 1 309.347 1.236 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)c2ccccc2)n[n-]1 ZINC001363960978 887617564 /nfs/dbraw/zinc/61/75/64/887617564.db2.gz CVHPKHVKAJXADL-VIFPVBQESA-N -1 1 309.347 1.236 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)C1CC2(CC2)C1 ZINC001363965034 887626507 /nfs/dbraw/zinc/62/65/07/887626507.db2.gz OOJHJQZOENBZKE-NSHDSACASA-N -1 1 318.377 1.835 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)C1CC2(CC2)C1 ZINC001363965034 887626516 /nfs/dbraw/zinc/62/65/16/887626516.db2.gz OOJHJQZOENBZKE-NSHDSACASA-N -1 1 318.377 1.835 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)C1CC2(CC2)C1 ZINC001363965034 887626521 /nfs/dbraw/zinc/62/65/21/887626521.db2.gz OOJHJQZOENBZKE-NSHDSACASA-N -1 1 318.377 1.835 20 0 DDADMM COC(=O)[C@@H](C[C@H]1CCCO1)NC(=O)c1ccc(F)c([O-])c1 ZINC001363963991 887626861 /nfs/dbraw/zinc/62/68/61/887626861.db2.gz DRTVAZDVDVYBKM-ZYHUDNBSSA-N -1 1 311.309 1.372 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc2c(c1)COC(=O)N2 ZINC001363989397 887675792 /nfs/dbraw/zinc/67/57/92/887675792.db2.gz COUILQUEYZUQFF-UHFFFAOYSA-N -1 1 302.294 1.223 20 0 DDADMM CCC[C@@H](C[N-]S(=O)(=O)c1csnc1OC)OCC ZINC001364004602 887707091 /nfs/dbraw/zinc/70/70/91/887707091.db2.gz RHFIFTAIXKVUAW-VIFPVBQESA-N -1 1 308.425 1.635 20 0 DDADMM CCCCC(=O)NC[C@@H](C)N(CC)C(=O)c1ncccc1[O-] ZINC001384589652 887730995 /nfs/dbraw/zinc/73/09/95/887730995.db2.gz WBURTSBIXMXLJK-GFCCVEGCSA-N -1 1 307.394 1.944 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)c2cnc(C)s2)sn1 ZINC001364034558 887765831 /nfs/dbraw/zinc/76/58/31/887765831.db2.gz WSTNBUASSRQXGG-LURJTMIESA-N -1 1 319.433 1.956 20 0 DDADMM C[C@H](O)C(C)(C)C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC001364059235 887819115 /nfs/dbraw/zinc/81/91/15/887819115.db2.gz UUXDWZCKMWQMSY-NIVTXAMTSA-N -1 1 314.473 1.124 20 0 DDADMM Cn1nc(C(=O)Nc2c[n-][nH]c2=O)cc1NC(=O)OC(C)(C)C ZINC001364093310 887900824 /nfs/dbraw/zinc/90/08/24/887900824.db2.gz NPGUVRSYQSMYJZ-UHFFFAOYSA-N -1 1 322.325 1.448 20 0 DDADMM Cc1cncc(S(=O)(=O)[N-][C@@H]([C@@H]2CCOC2)C(F)(F)F)c1 ZINC001364127725 887980736 /nfs/dbraw/zinc/98/07/36/887980736.db2.gz GCTAYWDCWZQFRY-KOLCDFICSA-N -1 1 324.324 1.636 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1OC1CC2(COC2)C1 ZINC001234560327 888092356 /nfs/dbraw/zinc/09/23/56/888092356.db2.gz KXSKRLSBCPAOPX-UHFFFAOYSA-N -1 1 308.334 1.650 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@@H](Oc2c(=O)[n-]c(C)nc2C(=O)OC)C1 ZINC001234564541 888102352 /nfs/dbraw/zinc/10/23/52/888102352.db2.gz LPKGWRBSXDVWEK-NXEZZACHSA-N -1 1 324.333 1.388 20 0 DDADMM CO[C@H]1CCCC[C@@H]1Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O ZINC001234566618 888104498 /nfs/dbraw/zinc/10/44/98/888104498.db2.gz YVOONJQDHOJVNB-UWVGGRQHSA-N -1 1 309.366 1.741 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCC3(CC3)C2)[n-]n1 ZINC001364194638 888123605 /nfs/dbraw/zinc/12/36/05/888123605.db2.gz MOAQYDACJRAMNI-SECBINFHSA-N -1 1 313.379 1.197 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCC3(CC3)C2)n[n-]1 ZINC001364194638 888123611 /nfs/dbraw/zinc/12/36/11/888123611.db2.gz MOAQYDACJRAMNI-SECBINFHSA-N -1 1 313.379 1.197 20 0 DDADMM COc1ccccc1[C@@H](C)CC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001364198752 888132560 /nfs/dbraw/zinc/13/25/60/888132560.db2.gz AYXGKXWSRXMLOM-JTQLQIEISA-N -1 1 317.345 1.706 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1OC1CCN(C(C)=O)CC1 ZINC001234608419 888137481 /nfs/dbraw/zinc/13/74/81/888137481.db2.gz LOQUQSVKZZGZBB-UHFFFAOYSA-N -1 1 312.297 1.103 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)CCO1 ZINC001364245856 888212875 /nfs/dbraw/zinc/21/28/75/888212875.db2.gz MKAMRQPLKXCARV-VXGBXAGGSA-N -1 1 323.393 1.402 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC(c2ccccc2)C1 ZINC001364421581 888601688 /nfs/dbraw/zinc/60/16/88/888601688.db2.gz QODODWZUWJPGJK-UHFFFAOYSA-N -1 1 311.341 1.143 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)Nc3c[n-][nH]c3=O)CC2=O)cc1C ZINC001364507505 888797494 /nfs/dbraw/zinc/79/74/94/888797494.db2.gz OSWIDCDQFMVQBU-NSHDSACASA-N -1 1 314.345 1.724 20 0 DDADMM COc1cccc(C2=NO[C@@H](C(=O)Nc3c[n-][nH]c3=O)C2)c1 ZINC001364514223 888815840 /nfs/dbraw/zinc/81/58/40/888815840.db2.gz ZXCIKYIWXLAAJH-GFCCVEGCSA-N -1 1 302.290 1.256 20 0 DDADMM O=C(CNC(=O)c1ccccn1)NCc1ccc([O-])c(Cl)c1 ZINC001364525805 888847002 /nfs/dbraw/zinc/84/70/02/888847002.db2.gz YKOBMWRBUQLRTG-UHFFFAOYSA-N -1 1 319.748 1.487 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2C[C@H]2C2CCOCC2)c(=O)[n-]1 ZINC001364571438 888950343 /nfs/dbraw/zinc/95/03/43/888950343.db2.gz SFZUKPYBHMOAGD-GXSJLCMTSA-N -1 1 309.391 1.449 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H]1C[C@H]1OCC ZINC001364772295 889381337 /nfs/dbraw/zinc/38/13/37/889381337.db2.gz ZCSXRBRWQAUQAK-GARJFASQSA-N -1 1 322.365 1.070 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H]1C[C@H]1OCC ZINC001364772295 889381348 /nfs/dbraw/zinc/38/13/48/889381348.db2.gz ZCSXRBRWQAUQAK-GARJFASQSA-N -1 1 322.365 1.070 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H]1C[C@H]1OCC ZINC001364772295 889381362 /nfs/dbraw/zinc/38/13/62/889381362.db2.gz ZCSXRBRWQAUQAK-GARJFASQSA-N -1 1 322.365 1.070 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)C2CCCC2)[n-]n1 ZINC001364832601 889505181 /nfs/dbraw/zinc/50/51/81/889505181.db2.gz AJMDITWGXKQVAX-MRVPVSSYSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)C2CCCC2)n[n-]1 ZINC001364832601 889505189 /nfs/dbraw/zinc/50/51/89/889505189.db2.gz AJMDITWGXKQVAX-MRVPVSSYSA-N -1 1 301.368 1.053 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C1CCC1 ZINC001364881685 889600308 /nfs/dbraw/zinc/60/03/08/889600308.db2.gz XQLSOGKJMAVNTF-NSHDSACASA-N -1 1 315.395 1.443 20 0 DDADMM Cc1cncc(CC[N-]S(=O)(=O)c2cc(F)ccc2F)n1 ZINC001364889317 889612806 /nfs/dbraw/zinc/61/28/06/889612806.db2.gz UIZGJYGHMJPKRV-UHFFFAOYSA-N -1 1 313.329 1.584 20 0 DDADMM CCOC(=O)c1n[n-]c(CNCc2nc3c(s2)CCCC3)n1 ZINC001364995122 889844335 /nfs/dbraw/zinc/84/43/35/889844335.db2.gz OSVGSROTBIFKLC-UHFFFAOYSA-N -1 1 321.406 1.607 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)c1noc(C)n1 ZINC001365135678 890175031 /nfs/dbraw/zinc/17/50/31/890175031.db2.gz XXYHPQNGFZZWLO-JTQLQIEISA-N -1 1 300.340 1.245 20 0 DDADMM O=C(COc1ccc(Br)cn1)Nc1ccncc1[O-] ZINC001365184034 890282029 /nfs/dbraw/zinc/28/20/29/890282029.db2.gz AZCLLZUVZJANTQ-UHFFFAOYSA-N -1 1 324.134 1.384 20 0 DDADMM CC[C@@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)[C@@H]1C ZINC001365428169 890780844 /nfs/dbraw/zinc/78/08/44/890780844.db2.gz JMJHSPUISZZSCE-GHMZBOCLSA-N -1 1 320.393 1.585 20 0 DDADMM CC[C@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)[C@H]1C ZINC001365428173 890780966 /nfs/dbraw/zinc/78/09/66/890780966.db2.gz JMJHSPUISZZSCE-QWRGUYRKSA-N -1 1 320.393 1.585 20 0 DDADMM CN1CCN(c2nccnc2-c2ccc(F)c(C(=O)[O-])c2)CC1 ZINC001241243233 891136560 /nfs/dbraw/zinc/13/65/60/891136560.db2.gz ZWLYEUBBXDNIKZ-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM CCCC1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1 ZINC001365678439 891302179 /nfs/dbraw/zinc/30/21/79/891302179.db2.gz DGILINXJAXFLLL-UHFFFAOYSA-N -1 1 315.395 1.397 20 0 DDADMM CCCC1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1 ZINC001365678439 891302196 /nfs/dbraw/zinc/30/21/96/891302196.db2.gz DGILINXJAXFLLL-UHFFFAOYSA-N -1 1 315.395 1.397 20 0 DDADMM C[C@H](CCNC(=O)c1ccco1)NC(=O)c1ncccc1[O-] ZINC001386631103 891350478 /nfs/dbraw/zinc/35/04/78/891350478.db2.gz LAASWHAMBXXSLL-SNVBAGLBSA-N -1 1 303.318 1.319 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC2(CF)CCOCC2)sn1 ZINC001365707421 891373147 /nfs/dbraw/zinc/37/31/47/891373147.db2.gz ZCLVOOOIMJASQT-UHFFFAOYSA-N -1 1 324.399 1.196 20 0 DDADMM COC(=O)Cc1ccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)cc1 ZINC001243602923 891680324 /nfs/dbraw/zinc/68/03/24/891680324.db2.gz JIEBJLPZKPJZDP-UHFFFAOYSA-N -1 1 309.321 1.882 20 0 DDADMM COC(=O)c1c(F)cccc1-c1nc(C)cc2c1C(=O)[N-]C2=O ZINC001244344700 891839275 /nfs/dbraw/zinc/83/92/75/891839275.db2.gz VBFGHIIVRPASGN-UHFFFAOYSA-N -1 1 314.272 1.866 20 0 DDADMM COc1ncc(-c2cc(C)cc(F)n2)cc1[N-]S(C)(=O)=O ZINC001244795204 891931968 /nfs/dbraw/zinc/93/19/68/891931968.db2.gz ZREMPXNPBRDJLV-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM C[C@@H](CNC(=O)C12CCC(CC1)CC2)NCc1n[nH]c(=O)[n-]1 ZINC001366372997 893353815 /nfs/dbraw/zinc/35/38/15/893353815.db2.gz DLILBDJQSPXYRP-NLTNOIMHSA-N -1 1 307.398 1.075 20 0 DDADMM O=C([O-])c1c(F)ccc(CN2CCC(=O)N3CCC[C@H]3C2)c1F ZINC001249781223 894124394 /nfs/dbraw/zinc/12/43/94/894124394.db2.gz OATNZIQGKPYXQV-NSHDSACASA-N -1 1 324.327 1.860 20 0 DDADMM C[C@H](C(=O)Nc1ccc(OCc2nn[n-]n2)cc1)n1cccn1 ZINC000346123833 894162189 /nfs/dbraw/zinc/16/21/89/894162189.db2.gz QNRKDGCNYUHGGG-SNVBAGLBSA-N -1 1 313.321 1.175 20 0 DDADMM CN(C)c1nc(Nc2ccc3nncn3c2)c(N=O)c(=O)[n-]1 ZINC001250000214 894183356 /nfs/dbraw/zinc/18/33/56/894183356.db2.gz KXKJXKYGVJCKTG-UHFFFAOYSA-N -1 1 300.282 1.432 20 0 DDADMM CC(C)(C)c1ccc(OC[C@H](O)CNCc2nnn[n-]2)cc1 ZINC001252763950 895439297 /nfs/dbraw/zinc/43/92/97/895439297.db2.gz WXMYEAQWDDRTPM-GFCCVEGCSA-N -1 1 305.382 1.027 20 0 DDADMM CCS(=O)(=O)[N-][C@H](C(=O)OC(C)(C)C)[C@@H](C)OC(C)(C)C ZINC001253267352 895759615 /nfs/dbraw/zinc/75/96/15/895759615.db2.gz NPQDEAJSDZIMAB-MNOVXSKESA-N -1 1 323.455 1.840 20 0 DDADMM CCCN(CCC)Cc1cn(-c2cncc(C(=O)[O-])c2)nn1 ZINC001254096070 896255081 /nfs/dbraw/zinc/25/50/81/896255081.db2.gz PFDADNXUDPOYHQ-UHFFFAOYSA-N -1 1 303.366 1.983 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccccc1Cl ZINC001374834902 914079756 /nfs/dbraw/zinc/07/97/56/914079756.db2.gz QAWNYQJFFKQMBB-MRVPVSSYSA-N -1 1 309.757 1.072 20 0 DDADMM C[C@@H](CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001389247696 896750114 /nfs/dbraw/zinc/75/01/14/896750114.db2.gz JUNQCFKCXZBVEO-JTQLQIEISA-N -1 1 303.362 1.164 20 0 DDADMM CC[C@@H](C)CC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001389662223 897745447 /nfs/dbraw/zinc/74/54/47/897745447.db2.gz VVKDWXQICCBJKG-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM O=S(=O)([N-]CC1OCCO1)c1cc(Cl)c(Cl)s1 ZINC001257515183 897870419 /nfs/dbraw/zinc/87/04/19/897870419.db2.gz FUONSXLJKJEGEO-UHFFFAOYSA-N -1 1 318.203 1.706 20 0 DDADMM CCC[C@](C)(CC)C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001367967314 898346373 /nfs/dbraw/zinc/34/63/73/898346373.db2.gz KOJFPSLFJKSUTL-WBMJQRKESA-N -1 1 323.441 1.015 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]Cc1c(F)ccc(F)c1Cl ZINC001259028967 898429004 /nfs/dbraw/zinc/42/90/04/898429004.db2.gz YYRWSNFQSZMFRO-UHFFFAOYSA-N -1 1 313.709 1.211 20 0 DDADMM O=S(=O)([N-]c1ccnc(CO)c1)c1ccc2c(c1)CCO2 ZINC001259350412 898621346 /nfs/dbraw/zinc/62/13/46/898621346.db2.gz LUPACSBZULFBBN-UHFFFAOYSA-N -1 1 306.343 1.310 20 0 DDADMM Cc1cnc(=O)[nH]c1[N-]S(=O)(=O)c1cc(F)c(F)c(F)c1 ZINC001259502678 898705051 /nfs/dbraw/zinc/70/50/51/898705051.db2.gz MHULGXNIMRDGNC-UHFFFAOYSA-N -1 1 319.264 1.709 20 0 DDADMM COC(=O)c1ncc([N-]S(=O)(=O)CC2CCCCC2)cn1 ZINC001259614204 898745456 /nfs/dbraw/zinc/74/54/56/898745456.db2.gz SOOFKXCEBSCFQH-UHFFFAOYSA-N -1 1 313.379 1.585 20 0 DDADMM COc1c(F)ccc([N-]S(=O)(=O)c2cnn(C)c2)c1F ZINC001259826662 898836157 /nfs/dbraw/zinc/83/61/57/898836157.db2.gz HCAVHLGQCBGPSD-UHFFFAOYSA-N -1 1 303.290 1.508 20 0 DDADMM Cc1nc([N-]S(=O)(=O)CC(C)C)cc(N2CCOCC2)n1 ZINC001259878156 898890269 /nfs/dbraw/zinc/89/02/69/898890269.db2.gz FKYPCLSQLLSYDF-UHFFFAOYSA-N -1 1 314.411 1.019 20 0 DDADMM O=S(=O)([N-]CC1(c2ncccc2F)CCOCC1)C(F)F ZINC001259964596 898989201 /nfs/dbraw/zinc/98/92/01/898989201.db2.gz YXVIVZHSBLDVAG-UHFFFAOYSA-N -1 1 324.324 1.411 20 0 DDADMM O=S(=O)([N-]Cc1ncon1)c1cc(Cl)c(F)cc1F ZINC001260196110 899084980 /nfs/dbraw/zinc/08/49/80/899084980.db2.gz TYTNJKVCSDFCQA-UHFFFAOYSA-N -1 1 309.681 1.480 20 0 DDADMM CN1CCC[C@H]2CN(S(=O)(=O)c3ccc(C(=O)[O-])cc3)C[C@H]21 ZINC001260226560 899099612 /nfs/dbraw/zinc/09/96/12/899099612.db2.gz LNPHHWKDBIZBSA-GXTWGEPZSA-N -1 1 324.402 1.100 20 0 DDADMM Cc1cccc(S(=O)(=O)NCC[N-]C(=O)C(F)(F)F)c1C ZINC001261001079 899318670 /nfs/dbraw/zinc/31/86/70/899318670.db2.gz ZHIJZOQWENYSOA-UHFFFAOYSA-N -1 1 324.324 1.260 20 0 DDADMM CC(C)C(C)(C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396745087 914369544 /nfs/dbraw/zinc/36/95/44/914369544.db2.gz UASNYIAWUMELRV-GFCCVEGCSA-N -1 1 319.405 1.800 20 0 DDADMM CN(c1nnc(-c2c[n-][nH]c2=O)n1C)[C@@H]1CCc2ccccc2C1 ZINC001262888132 900408431 /nfs/dbraw/zinc/40/84/31/900408431.db2.gz MFDBVMMCBPNHJR-CYBMUJFWSA-N -1 1 324.388 1.905 20 0 DDADMM C[C@@H](NC(=O)NCC[N-]C(=O)C(F)(F)F)c1ccccc1 ZINC000586429794 900635642 /nfs/dbraw/zinc/63/56/42/900635642.db2.gz XFEIXGFWJMIZJF-SECBINFHSA-N -1 1 303.284 1.725 20 0 DDADMM Cc1ccccc1[C@@H](O)CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001263767124 900698801 /nfs/dbraw/zinc/69/88/01/900698801.db2.gz MRWJIVXCLRDJRP-NSHDSACASA-N -1 1 319.386 1.551 20 0 DDADMM O=C(CC1CCC1)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001265157576 901644555 /nfs/dbraw/zinc/64/45/55/901644555.db2.gz HWXQEKDSZRYYJV-UHFFFAOYSA-N -1 1 307.398 1.171 20 0 DDADMM C[C@@H](CNC(=O)c1ccoc1)N(C)C(=O)c1ncccc1[O-] ZINC001369790165 901953985 /nfs/dbraw/zinc/95/39/85/901953985.db2.gz VUKIDZDPRQMXLA-JTQLQIEISA-N -1 1 303.318 1.271 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]Cc1ccc(=O)[nH]c1 ZINC000392772503 902162284 /nfs/dbraw/zinc/16/22/84/902162284.db2.gz YPLKVUATHORCNX-UHFFFAOYSA-N -1 1 319.795 1.684 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001369944504 902253891 /nfs/dbraw/zinc/25/38/91/902253891.db2.gz KPSLHVUSKVWUTR-JHJVBQTASA-N -1 1 319.405 1.800 20 0 DDADMM O=C(CC1CCCC1)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001391994953 903062361 /nfs/dbraw/zinc/06/23/61/903062361.db2.gz DRJLAUJFYMQKSH-UHFFFAOYSA-N -1 1 321.425 1.609 20 0 DDADMM CC/C=C(/C)C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001280986933 904281993 /nfs/dbraw/zinc/28/19/93/904281993.db2.gz XKJKIPXYSRXLFL-RDQDRAATSA-N -1 1 305.378 1.720 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)CCC1CC1 ZINC001371330585 904715270 /nfs/dbraw/zinc/71/52/70/904715270.db2.gz FIYYIHOVQKTBJQ-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CN(CCCNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)C(=O)c1ncccc1[O-] ZINC001294010647 914848044 /nfs/dbraw/zinc/84/80/44/914848044.db2.gz DOYDUBVREXQPKX-IMRBUKKESA-N -1 1 317.389 1.412 20 0 DDADMM O=C(NCCNC(=O)c1ncccc1[O-])c1ccc(F)c(F)c1 ZINC001282983187 906398009 /nfs/dbraw/zinc/39/80/09/906398009.db2.gz HJHXSUNKHQDMNS-UHFFFAOYSA-N -1 1 321.283 1.225 20 0 DDADMM CCCC(C)(C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001372484624 907414798 /nfs/dbraw/zinc/41/47/98/907414798.db2.gz KPJMVYIFELTJIN-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001393610821 907474843 /nfs/dbraw/zinc/47/48/43/907474843.db2.gz OBAZMWHWMKLYBZ-JTQLQIEISA-N -1 1 303.362 1.212 20 0 DDADMM CCC[C@@H](C)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001394270475 909224934 /nfs/dbraw/zinc/22/49/34/909224934.db2.gz WMHMBAYPDNRGBZ-SJKOYZFVSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1occc1C(=O)NCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001373238475 909278125 /nfs/dbraw/zinc/27/81/25/909278125.db2.gz PCYOUAYVYNJVPK-SNVBAGLBSA-N -1 1 317.345 1.627 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1ccsc1)NCc1n[nH]c(=O)[n-]1 ZINC001394502365 909836321 /nfs/dbraw/zinc/83/63/21/909836321.db2.gz WOMZDZBRQFJTQN-SNVBAGLBSA-N -1 1 323.422 1.141 20 0 DDADMM Cc1nc(C)c(CC(=O)NCC2=CC[N@H+](CCF)CC2)c(=O)[nH]1 ZINC001284986902 909890445 /nfs/dbraw/zinc/89/04/45/909890445.db2.gz UTEBKALSTRNNAI-UHFFFAOYSA-N -1 1 322.384 1.059 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CCCC1CC1 ZINC001395070874 911291546 /nfs/dbraw/zinc/29/15/46/911291546.db2.gz WXKJEMLJSNAXSH-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@](CNC(=O)C1(C)CC1)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001375355725 915696305 /nfs/dbraw/zinc/69/63/05/915696305.db2.gz DBSVWBIDCWZDQL-KRWDZBQOSA-N -1 1 317.389 1.602 20 0 DDADMM CN(CCN(C)C(=O)C1(CF)CC1)C(=O)c1ncccc1[O-] ZINC001376261892 918094741 /nfs/dbraw/zinc/09/47/41/918094741.db2.gz QNLYQYNBKITPJO-UHFFFAOYSA-N -1 1 309.341 1.067 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CCC1CCCC1 ZINC001377754297 922901089 /nfs/dbraw/zinc/90/10/89/922901089.db2.gz MWXXNEZSAVOELS-QWRGUYRKSA-N -1 1 309.414 1.464 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000622869624 365548722 /nfs/dbraw/zinc/54/87/22/365548722.db2.gz AAIVHHBNUYAHDU-SSDOTTSWSA-N -1 1 317.271 1.643 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ncsc3C(C)C)nc2n1 ZINC000622870148 365550171 /nfs/dbraw/zinc/55/01/71/365550171.db2.gz RZWCGPKTBWUSPF-UHFFFAOYSA-N -1 1 318.362 1.558 20 0 DDADMM C[C@@H](Cc1ccco1)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000622882927 365555502 /nfs/dbraw/zinc/55/55/02/365555502.db2.gz GTUCCBRAWWCXRI-QMMMGPOBSA-N -1 1 303.322 1.107 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cccnc3C3CC3)nc2n1 ZINC000622994215 365587148 /nfs/dbraw/zinc/58/71/48/365587148.db2.gz HSDWKQBQZGFMJX-UHFFFAOYSA-N -1 1 324.344 1.505 20 0 DDADMM CCC(CC)(CNC(=O)N[C@@H]1CCCc2cn[nH]c21)C(=O)[O-] ZINC000424402449 282104337 /nfs/dbraw/zinc/10/43/37/282104337.db2.gz DIJNOOMLZBHBDC-LLVKDONJSA-N -1 1 308.382 1.977 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CC[C@@H]3CCOC3)nc2n1 ZINC000622997151 365589373 /nfs/dbraw/zinc/58/93/73/365589373.db2.gz MWUHUOGXMIVZIW-SNVBAGLBSA-N -1 1 319.365 1.125 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@@H](C)[C@H](CO)C3)nc2)[n-]c(=O)c1C ZINC000302712199 230818159 /nfs/dbraw/zinc/81/81/59/230818159.db2.gz LAZCEGAADBAQMK-YGRLFVJLSA-N -1 1 314.389 1.926 20 0 DDADMM CCc1ccc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)nc1 ZINC000614389869 361833618 /nfs/dbraw/zinc/83/36/18/361833618.db2.gz QGHZNMAEXNTPKD-LBPRGKRZSA-N -1 1 316.361 1.681 20 0 DDADMM C[C@H](Cc1ccco1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614475110 361876332 /nfs/dbraw/zinc/87/63/32/361876332.db2.gz IHHPTYFFZWESKV-SNVBAGLBSA-N -1 1 305.334 1.953 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)F)c1sccc1Cl ZINC000451458822 231103430 /nfs/dbraw/zinc/10/34/30/231103430.db2.gz GGKSHKIRTLICBP-RXMQYKEDSA-N -1 1 305.755 1.696 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C[C@H]1CCCCO1 ZINC000087363261 185222761 /nfs/dbraw/zinc/22/27/61/185222761.db2.gz YQPNGILOXXLUKE-GFCCVEGCSA-N -1 1 318.377 1.215 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@H](c2ccccc2)[C@@](F)(CO)C1 ZINC000452509191 231389272 /nfs/dbraw/zinc/38/92/72/231389272.db2.gz WGNMXXYOUXEVOC-WBVHZDCISA-N -1 1 316.332 1.727 20 0 DDADMM O=c1[n-]c(CN(Cc2ccccc2)CC2CCOCC2)n[nH]1 ZINC000092618615 185329142 /nfs/dbraw/zinc/32/91/42/185329142.db2.gz GGOVRBXZVJNWDN-UHFFFAOYSA-N -1 1 302.378 1.939 20 0 DDADMM CCc1n[nH]c(C(=O)Nc2c(C)[n-][nH]c2=O)c1Br ZINC000615219741 362200817 /nfs/dbraw/zinc/20/08/17/362200817.db2.gz BRXXRJJLVFORPG-UHFFFAOYSA-N -1 1 314.143 1.724 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ccc([O-])c(F)c1)c1ccncc1 ZINC000615836169 362459572 /nfs/dbraw/zinc/45/95/72/362459572.db2.gz LGOOEHXAKCUGFO-CYBMUJFWSA-N -1 1 318.304 1.961 20 0 DDADMM CCCCS[C@@H](C)C(=O)NCc1n[n-]c(C(=O)OCC)n1 ZINC000615916531 362484658 /nfs/dbraw/zinc/48/46/58/362484658.db2.gz BLYJXVCKTYYUEL-VIFPVBQESA-N -1 1 314.411 1.519 20 0 DDADMM CCCCS[C@@H](C)C(=O)NCc1nc(C(=O)OCC)n[n-]1 ZINC000615916531 362484661 /nfs/dbraw/zinc/48/46/61/362484661.db2.gz BLYJXVCKTYYUEL-VIFPVBQESA-N -1 1 314.411 1.519 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2ccc(C)cc2)n[n-]1 ZINC000615918684 362485473 /nfs/dbraw/zinc/48/54/73/362485473.db2.gz WVRXYQLSDZCMON-UHFFFAOYSA-N -1 1 302.334 1.149 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2ccc(C)cc2)n1 ZINC000615918684 362485480 /nfs/dbraw/zinc/48/54/80/362485480.db2.gz WVRXYQLSDZCMON-UHFFFAOYSA-N -1 1 302.334 1.149 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H](C)C2CCC2)c1 ZINC000457833108 530031897 /nfs/dbraw/zinc/03/18/97/530031897.db2.gz RWFJVHLRLVSPGW-VIFPVBQESA-N -1 1 312.391 1.675 20 0 DDADMM O=c1cc(/C=C\c2cnn(CC(F)(F)F)c2)nc2nc[n-]n21 ZINC000352039984 539425988 /nfs/dbraw/zinc/42/59/88/539425988.db2.gz LSTVIZNQJANFDW-UPHRSURJSA-N -1 1 310.239 1.347 20 0 DDADMM Cc1cnc(C(=O)N(C)CC(C)(C)CN2CCOCC2)c([O-])c1 ZINC000330880027 232134639 /nfs/dbraw/zinc/13/46/39/232134639.db2.gz MQSCMSQEXYYQTL-UHFFFAOYSA-N -1 1 321.421 1.526 20 0 DDADMM CN(C(=O)c1cc(=O)[nH][n-]1)C1CCN(CCC(F)(F)F)CC1 ZINC000616156971 362567610 /nfs/dbraw/zinc/56/76/10/362567610.db2.gz IOCPIGQRQZIWMZ-UHFFFAOYSA-N -1 1 320.315 1.604 20 0 DDADMM CCOC(=O)[C@@H](CNC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000339905485 282437418 /nfs/dbraw/zinc/43/74/18/282437418.db2.gz KUTHQUXMCHQFSS-HNNXBMFYSA-N -1 1 314.341 1.864 20 0 DDADMM COc1ccc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)c(O)c1 ZINC000442841698 539530929 /nfs/dbraw/zinc/53/09/29/539530929.db2.gz OMKIECUEHSNKMU-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC2(CCC2)[C@@H]1c1ccncc1 ZINC000636238762 422737639 /nfs/dbraw/zinc/73/76/39/422737639.db2.gz HLFZTOWONPQQJO-HNNXBMFYSA-N -1 1 312.377 1.671 20 0 DDADMM Cn1cc(CS(=O)(=O)c2n[n-]c(CCC3CCCC3)n2)cn1 ZINC000286882792 219209430 /nfs/dbraw/zinc/20/94/30/219209430.db2.gz OFDXMERJFPCQDL-UHFFFAOYSA-N -1 1 323.422 1.635 20 0 DDADMM COC(=O)[C@@H](CF)[N-]S(=O)(=O)c1cc(C)c(Cl)s1 ZINC000287177659 219362066 /nfs/dbraw/zinc/36/20/66/219362066.db2.gz DWQRDGJNIUHFHB-ZCFIWIBFSA-N -1 1 315.775 1.499 20 0 DDADMM O=C(N=c1cc(-c2cccs2)[n-][nH]1)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000617365659 363074662 /nfs/dbraw/zinc/07/46/62/363074662.db2.gz FATAEAQYDUPNMB-SECBINFHSA-N -1 1 316.386 1.510 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H]2CC=CCC2)c(=O)[n-]1 ZINC000172488438 198104602 /nfs/dbraw/zinc/10/46/02/198104602.db2.gz MSTIOIUBWDAZLO-LLVKDONJSA-N -1 1 307.419 1.958 20 0 DDADMM Cc1nnsc1C[N-]S(=O)(=O)c1ccc(F)cc1F ZINC000490420033 234010413 /nfs/dbraw/zinc/01/04/13/234010413.db2.gz HRSNWMKLUFELDY-UHFFFAOYSA-N -1 1 305.331 1.603 20 0 DDADMM Cc1nnsc1C[N-]S(=O)(=O)c1cc(F)cc(F)c1 ZINC000490449853 234011359 /nfs/dbraw/zinc/01/13/59/234011359.db2.gz RHXLEVSTVRJEDB-UHFFFAOYSA-N -1 1 305.331 1.603 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)C(=O)[C@@H](N)C(C)(C)C ZINC000040467220 352300232 /nfs/dbraw/zinc/30/02/32/352300232.db2.gz BZCDVBGHVBZPCJ-LLVKDONJSA-N -1 1 308.407 1.316 20 0 DDADMM CC(C)c1cccc(O[C@H](C)C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000048248611 352532077 /nfs/dbraw/zinc/53/20/77/352532077.db2.gz HFJZJKOPRYTNNK-SNVBAGLBSA-N -1 1 305.334 1.160 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N(C)CC(C)C ZINC000056869249 352787668 /nfs/dbraw/zinc/78/76/68/352787668.db2.gz DPBQXXLWEMIYJE-UHFFFAOYSA-N -1 1 314.389 1.797 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)CCCOc2ccccc2)n1 ZINC000060242192 352866197 /nfs/dbraw/zinc/86/61/97/352866197.db2.gz HBUKXZZREFYIFN-UHFFFAOYSA-N -1 1 324.362 1.006 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)CCCOc2ccccc2)[n-]1 ZINC000060242192 352866200 /nfs/dbraw/zinc/86/62/00/352866200.db2.gz HBUKXZZREFYIFN-UHFFFAOYSA-N -1 1 324.362 1.006 20 0 DDADMM O=C(CCc1ccc(Br)cc1F)Nc1nnn[n-]1 ZINC000060380461 352869756 /nfs/dbraw/zinc/86/97/56/352869756.db2.gz LEUWXVVTENRZRC-UHFFFAOYSA-N -1 1 314.118 1.673 20 0 DDADMM O=C(CCc1ccc(Br)cc1F)Nc1nn[n-]n1 ZINC000060380461 352869759 /nfs/dbraw/zinc/86/97/59/352869759.db2.gz LEUWXVVTENRZRC-UHFFFAOYSA-N -1 1 314.118 1.673 20 0 DDADMM CCn1c(CCNC(=O)COc2ccccc2F)n[n-]c1=S ZINC000067077626 353033155 /nfs/dbraw/zinc/03/31/55/353033155.db2.gz RUMQADMKUHWBGS-UHFFFAOYSA-N -1 1 324.381 1.837 20 0 DDADMM CCn1cc([C@H]2OCC[C@@H]2NC(=O)c2ncc(C)cc2[O-])cn1 ZINC000331911515 234266959 /nfs/dbraw/zinc/26/69/59/234266959.db2.gz ARQMEZQDTJWAEG-SWLSCSKDSA-N -1 1 316.361 1.572 20 0 DDADMM COC(=O)C[C@H](C)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081120277 353652708 /nfs/dbraw/zinc/65/27/08/353652708.db2.gz AHOWMPKFTAFSJB-QMMMGPOBSA-N -1 1 309.366 1.057 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCCn2cccc2)c(=O)[n-]1 ZINC000080797314 353629397 /nfs/dbraw/zinc/62/93/97/353629397.db2.gz HZDWDHJFTSBZIX-UHFFFAOYSA-N -1 1 320.418 1.351 20 0 DDADMM CC[C@H](C)[C@](C)(O)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081944271 353699237 /nfs/dbraw/zinc/69/92/37/353699237.db2.gz OPQATTHOOPXKFY-DYZYQPBXSA-N -1 1 323.437 1.903 20 0 DDADMM O=S(=O)(Cc1noc2c1CCCC2)c1n[n-]c(C2CC2)n1 ZINC000108933779 353955949 /nfs/dbraw/zinc/95/59/49/353955949.db2.gz XBNKMEUWRPCXNB-UHFFFAOYSA-N -1 1 308.363 1.523 20 0 DDADMM COCCOc1ncccc1CNC(=O)c1ncc(C)cc1[O-] ZINC000358645047 299212738 /nfs/dbraw/zinc/21/27/38/299212738.db2.gz HDGGDLSTJWJSQX-UHFFFAOYSA-N -1 1 317.345 1.446 20 0 DDADMM COc1cc2[n-]cc(C(=O)NC[C@H]3C[C@H]3C)c(=O)c2c(OC)c1 ZINC000624108301 366189224 /nfs/dbraw/zinc/18/92/24/366189224.db2.gz PDHHNOPKVHMENP-NXEZZACHSA-N -1 1 316.357 1.931 20 0 DDADMM C[C@@H]1C[N@H+]2CCCC[C@@H]2CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC000317273682 354509276 /nfs/dbraw/zinc/50/92/76/354509276.db2.gz SUQBJACESWVVGX-DGCLKSJQSA-N -1 1 303.362 1.479 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC000317273682 354509278 /nfs/dbraw/zinc/50/92/78/354509278.db2.gz SUQBJACESWVVGX-DGCLKSJQSA-N -1 1 303.362 1.479 20 0 DDADMM O=C(Cc1cccc(O)c1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000586754355 354857045 /nfs/dbraw/zinc/85/70/45/354857045.db2.gz MSWFMEUHEUBWAN-HNNXBMFYSA-N -1 1 309.329 1.354 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2nc(CC)oc2C)s1 ZINC000588677829 354927172 /nfs/dbraw/zinc/92/71/72/354927172.db2.gz YYELUGPNHOMMJA-UHFFFAOYSA-N -1 1 310.335 1.826 20 0 DDADMM CO[C@H]1CCCC[C@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000332475931 235010164 /nfs/dbraw/zinc/01/01/64/235010164.db2.gz UXKPFVCCXRLKFJ-NEPJUHHUSA-N -1 1 309.366 1.686 20 0 DDADMM O=C(C[N-]S(=O)(=O)C1=Cc2ccccc2OC1)OCC1CC1 ZINC000276984152 213219779 /nfs/dbraw/zinc/21/97/79/213219779.db2.gz VGVZHFPUSICSKZ-UHFFFAOYSA-N -1 1 323.370 1.293 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@H](C(=O)OC)C1CCCCC1)OC ZINC000591465375 355317338 /nfs/dbraw/zinc/31/73/38/355317338.db2.gz VNGPLZXQLJOVLY-OLZOCXBDSA-N -1 1 321.439 1.453 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(C)ccnc2OCC)n1 ZINC000591302352 355285171 /nfs/dbraw/zinc/28/51/71/355285171.db2.gz IMGLCVVHRFCBRF-UHFFFAOYSA-N -1 1 318.333 1.941 20 0 DDADMM CS(=O)(=O)c1cc(-c2nc3cccc(F)c3c(=O)[n-]2)ccn1 ZINC000591726131 355373063 /nfs/dbraw/zinc/37/30/63/355373063.db2.gz FKCSKOFKGYYZPC-UHFFFAOYSA-N -1 1 319.317 1.940 20 0 DDADMM Cc1nsc(C)c1CN1CCN(c2nc(=N)[n-]s2)CC1 ZINC000565155818 304046692 /nfs/dbraw/zinc/04/66/92/304046692.db2.gz GEZQTQYADWRIRX-UHFFFAOYSA-N -1 1 310.452 1.346 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3n2[C@@H](C)CCC3)n1 ZINC000593149718 355811875 /nfs/dbraw/zinc/81/18/75/355811875.db2.gz CYGXTISXMLXOHM-VIFPVBQESA-N -1 1 317.349 1.933 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCCC[C@H]1SC ZINC000593784662 355994073 /nfs/dbraw/zinc/99/40/73/355994073.db2.gz ZVLGMUNGTIZGSX-HTQZYQBOSA-N -1 1 313.379 1.300 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)[C@@H]2CC23CC3)n[n-]1 ZINC000593894964 356046128 /nfs/dbraw/zinc/04/61/28/356046128.db2.gz ONXYFVYMHXSWGH-WDEREUQCSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)[C@@H]2CC23CC3)[n-]1 ZINC000593894964 356046130 /nfs/dbraw/zinc/04/61/30/356046130.db2.gz ONXYFVYMHXSWGH-WDEREUQCSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)[C@@H]2CC23CC3)n1 ZINC000593894964 356046132 /nfs/dbraw/zinc/04/61/32/356046132.db2.gz ONXYFVYMHXSWGH-WDEREUQCSA-N -1 1 320.393 1.985 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCCOCC2)cc1C ZINC000595318168 356447436 /nfs/dbraw/zinc/44/74/36/356447436.db2.gz HTIVOODEUGRMLM-SNVBAGLBSA-N -1 1 317.363 1.222 20 0 DDADMM CCOC(=O)C[C@@H](NC(=O)c1cncc([O-])c1)C(F)(F)F ZINC000598055223 357446777 /nfs/dbraw/zinc/44/67/77/357446777.db2.gz UIOWONKGIOMUPC-SECBINFHSA-N -1 1 306.240 1.401 20 0 DDADMM CCC(C)(C)CS(=O)(=O)[N-][C@H](CC(F)F)C(=O)OC ZINC000599268598 357868758 /nfs/dbraw/zinc/86/87/58/357868758.db2.gz GEIFZFMAFXYAEB-MRVPVSSYSA-N -1 1 301.355 1.539 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccnc1Sc1ccccc1 ZINC000599334045 357897685 /nfs/dbraw/zinc/89/76/85/357897685.db2.gz AGXQORYZLXQRGQ-UHFFFAOYSA-N -1 1 312.358 1.676 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)o1 ZINC000599460361 357946514 /nfs/dbraw/zinc/94/65/14/357946514.db2.gz XCWWCQZOGFXTKV-ARHDFHRDSA-N -1 1 317.363 1.156 20 0 DDADMM C[C@H]1CN(CC(=O)NC(=O)Nc2ccccc2)CC[C@H]1C(=O)[O-] ZINC000600701502 358287111 /nfs/dbraw/zinc/28/71/11/358287111.db2.gz KJLJEEYVCXDEIO-WCQYABFASA-N -1 1 319.361 1.377 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC2(SC)CC2)c1 ZINC000601405870 358539059 /nfs/dbraw/zinc/53/90/59/358539059.db2.gz UQKHYEDUUSHVIX-UHFFFAOYSA-N -1 1 303.405 1.575 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCCC[C@H]2C2CC2)n[n-]1 ZINC000603019684 359362148 /nfs/dbraw/zinc/36/21/48/359362148.db2.gz CNALXYNTXZTLOB-RYUDHWBXSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCCC[C@H]2C2CC2)n1 ZINC000603019684 359362153 /nfs/dbraw/zinc/36/21/53/359362153.db2.gz CNALXYNTXZTLOB-RYUDHWBXSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCCCC2(F)F)n[n-]1 ZINC000603020636 359362716 /nfs/dbraw/zinc/36/27/16/359362716.db2.gz HWOVMYKLSSJUQK-QMMMGPOBSA-N -1 1 316.308 1.423 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCCCC2(F)F)n1 ZINC000603020636 359362719 /nfs/dbraw/zinc/36/27/19/359362719.db2.gz HWOVMYKLSSJUQK-QMMMGPOBSA-N -1 1 316.308 1.423 20 0 DDADMM C[C@@H](CC[S@](C)=O)NC(=O)N=c1[n-]sc2ccccc21 ZINC000603052766 359378010 /nfs/dbraw/zinc/37/80/10/359378010.db2.gz VJBGJLYQMQYSDK-LXGOIASLSA-N -1 1 311.432 1.997 20 0 DDADMM CN(C)c1cccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000618487344 363649072 /nfs/dbraw/zinc/64/90/72/363649072.db2.gz ABPAZFVWTYHYKB-HNNXBMFYSA-N -1 1 322.372 1.785 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)n[n-]1 ZINC000603153387 359440359 /nfs/dbraw/zinc/44/03/59/359440359.db2.gz IBIKRWYLIQCUPP-KFRQHLNQSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)[n-]1 ZINC000603153387 359440366 /nfs/dbraw/zinc/44/03/66/359440366.db2.gz IBIKRWYLIQCUPP-KFRQHLNQSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)n1 ZINC000603153387 359440374 /nfs/dbraw/zinc/44/03/74/359440374.db2.gz IBIKRWYLIQCUPP-KFRQHLNQSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCCC[C@H]2C)n[n-]1 ZINC000603157975 359444376 /nfs/dbraw/zinc/44/43/76/359444376.db2.gz XPWNMXXAPONRDD-VWYCJHECSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCCC[C@H]2C)[n-]1 ZINC000603157975 359444379 /nfs/dbraw/zinc/44/43/79/359444379.db2.gz XPWNMXXAPONRDD-VWYCJHECSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCCC[C@H]2C)n1 ZINC000603157975 359444383 /nfs/dbraw/zinc/44/43/83/359444383.db2.gz XPWNMXXAPONRDD-VWYCJHECSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2ccc(C)cc2)n[n-]1 ZINC000603163206 359448167 /nfs/dbraw/zinc/44/81/67/359448167.db2.gz HFFGVSNGDJNHBY-LLVKDONJSA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2ccc(C)cc2)[n-]1 ZINC000603163206 359448170 /nfs/dbraw/zinc/44/81/70/359448170.db2.gz HFFGVSNGDJNHBY-LLVKDONJSA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2ccc(C)cc2)n1 ZINC000603163206 359448173 /nfs/dbraw/zinc/44/81/73/359448173.db2.gz HFFGVSNGDJNHBY-LLVKDONJSA-N -1 1 316.361 1.710 20 0 DDADMM CC(C)(C)OC(=O)C[N-]S(=O)(=O)C[C@H]1C(C)(C)C1(F)F ZINC000603286274 359543299 /nfs/dbraw/zinc/54/32/99/359543299.db2.gz MUCYFCLTDJKJKU-QMMMGPOBSA-N -1 1 313.366 1.539 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2)C(=O)OC ZINC000603365831 359596167 /nfs/dbraw/zinc/59/61/67/359596167.db2.gz HZYUQDKRGQPNER-KIJLLGNVSA-N -1 1 319.423 1.204 20 0 DDADMM Cc1cc(CNC(=O)c2ccccc2[N-]S(C)(=O)=O)n[nH]1 ZINC000603489632 359647940 /nfs/dbraw/zinc/64/79/40/359647940.db2.gz XDXDAXZMGFGFHD-UHFFFAOYSA-N -1 1 308.363 1.020 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccn(C)c1C1CCC1 ZINC000451425409 283463121 /nfs/dbraw/zinc/46/31/21/283463121.db2.gz HBOFTSQYFWFVSL-UHFFFAOYSA-N -1 1 315.377 1.358 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2c(c1)OCCCO2)c1nn[n-]n1 ZINC000187261447 200084597 /nfs/dbraw/zinc/08/45/97/200084597.db2.gz CNKSMCMZGODMOT-SNVBAGLBSA-N -1 1 317.349 1.237 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3CCC[C@@H]3CCO)cnc2n1 ZINC000187933531 200179314 /nfs/dbraw/zinc/17/93/14/200179314.db2.gz FRXVUYNBLCRTBP-BXUZGUMPSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@@H]3CCC[C@@H]3CCO)c[n-]c2n1 ZINC000187933531 200179317 /nfs/dbraw/zinc/17/93/17/200179317.db2.gz FRXVUYNBLCRTBP-BXUZGUMPSA-N -1 1 315.373 1.925 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1ccccc1-n1cncn1 ZINC000605290958 359842374 /nfs/dbraw/zinc/84/23/74/359842374.db2.gz DQWLQHUSZJBEQL-NSHDSACASA-N -1 1 308.363 1.188 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1csc(C2CC2)n1 ZINC000605529988 359866590 /nfs/dbraw/zinc/86/65/90/359866590.db2.gz GFMAQCBRQOPXFD-UHFFFAOYSA-N -1 1 304.379 1.733 20 0 DDADMM COc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c(C)c1 ZINC000607910583 360067246 /nfs/dbraw/zinc/06/72/46/360067246.db2.gz OPEHZSRZYZSGPK-UHFFFAOYSA-N -1 1 301.350 1.716 20 0 DDADMM COCCN(CC(=O)OC(C)(C)C)C(=O)c1cncc([O-])c1 ZINC000609549617 360323108 /nfs/dbraw/zinc/32/31/08/360323108.db2.gz SEUZUNDYJPJNHZ-UHFFFAOYSA-N -1 1 310.350 1.218 20 0 DDADMM C[S@@](=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000609881746 360360869 /nfs/dbraw/zinc/36/08/69/360360869.db2.gz IYPMDGIYFLPMIO-JOCHJYFZSA-N -1 1 319.390 1.136 20 0 DDADMM CN(C(=O)c1ccc(C(F)(F)F)cc1[O-])[C@@H]1CCN(C)C1=O ZINC000271966756 209345995 /nfs/dbraw/zinc/34/59/95/209345995.db2.gz GWQRTVGKZQSDBP-SNVBAGLBSA-N -1 1 316.279 1.714 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC000612382777 360992080 /nfs/dbraw/zinc/99/20/80/360992080.db2.gz QDGRQXLXSWUCFI-ZSHCYNCHSA-N -1 1 315.369 1.706 20 0 DDADMM CC1(C)CCC[C@](O)(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1 ZINC000612817313 361156565 /nfs/dbraw/zinc/15/65/65/361156565.db2.gz PXALSTUDDLXGTE-XHDPSFHLSA-N -1 1 307.398 1.237 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC000619519802 364048339 /nfs/dbraw/zinc/04/83/39/364048339.db2.gz QORDRZXWYFFCBZ-GFCCVEGCSA-N -1 1 317.320 1.436 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)[C@@](C)(CO)C1 ZINC000620459139 364428049 /nfs/dbraw/zinc/42/80/49/364428049.db2.gz ZFLQETYHDHCPIO-BMLIUANNSA-N -1 1 321.421 1.879 20 0 DDADMM Fc1ccc(Cc2nc(=NCc3n[nH]c(C4CC4)n3)[n-]o2)cc1 ZINC000621322367 364801683 /nfs/dbraw/zinc/80/16/83/364801683.db2.gz TVQCCZRBLAVKOM-UHFFFAOYSA-N -1 1 314.324 1.829 20 0 DDADMM Cc1nn(C)c(C)c1[C@H]1OCC[C@@H]1[N-]C(=O)C(F)(F)C(F)F ZINC000275415552 212319613 /nfs/dbraw/zinc/31/96/13/212319613.db2.gz SQVHQZYGNQEEGA-WPRPVWTQSA-N -1 1 323.290 1.884 20 0 DDADMM O=C([O-])C1CN(C(=O)NC[C@@H](c2ccco2)N2CCCCC2)C1 ZINC000621828874 365045732 /nfs/dbraw/zinc/04/57/32/365045732.db2.gz WOXBLQCWUNLYKV-ZDUSSCGKSA-N -1 1 321.377 1.533 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)C[C@H]1CCCO1 ZINC000091161161 193009414 /nfs/dbraw/zinc/00/94/14/193009414.db2.gz CJDSHEXZDLFTAB-SNVBAGLBSA-N -1 1 316.354 1.705 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H](CO)CC3CC3)cnc2n1 ZINC000622174989 365311764 /nfs/dbraw/zinc/31/17/64/365311764.db2.gz VLTRDACEYNLYFO-LBPRGKRZSA-N -1 1 315.373 1.782 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@@H](CO)CC3CC3)c[n-]c2n1 ZINC000622174989 365311775 /nfs/dbraw/zinc/31/17/75/365311775.db2.gz VLTRDACEYNLYFO-LBPRGKRZSA-N -1 1 315.373 1.782 20 0 DDADMM O=C(N[C@@H]1CCCN(CCCO)C1)c1s[n-]c(=O)c1Cl ZINC000622631004 365456684 /nfs/dbraw/zinc/45/66/84/365456684.db2.gz DEBSBUOHXUCYLH-MRVPVSSYSA-N -1 1 319.814 1.079 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H](CCc1ccccc1)C(F)F ZINC000626136422 367356348 /nfs/dbraw/zinc/35/63/48/367356348.db2.gz WQBIRIIMXNLVRK-LBPRGKRZSA-N -1 1 310.348 1.807 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c2nccn2c1 ZINC000626238119 367433423 /nfs/dbraw/zinc/43/34/23/367433423.db2.gz VJWZNUDLZFAVGC-LLVKDONJSA-N -1 1 311.349 1.176 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3CC(C)(F)C3)c(=O)c2c(OC)c1 ZINC000626726491 367712904 /nfs/dbraw/zinc/71/29/04/367712904.db2.gz SNFVAYCWXZFBNK-UHFFFAOYSA-N -1 1 320.320 1.729 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCC(=O)[C@@H](C)C2)c(=O)[n-]1 ZINC000275243641 212220651 /nfs/dbraw/zinc/22/06/51/212220651.db2.gz LRIHURYXKQNIKF-VIFPVBQESA-N -1 1 323.418 1.583 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)c1ccc2[nH]nnc2c1 ZINC000248891389 304142122 /nfs/dbraw/zinc/14/21/22/304142122.db2.gz BRCIXXUFYXXHDY-HERUPUMHSA-N -1 1 314.345 1.816 20 0 DDADMM COC(=O)C(C)(C)C(=O)CSc1nc(C2CC2)cc(=O)[n-]1 ZINC000349836752 284071990 /nfs/dbraw/zinc/07/19/90/284071990.db2.gz BZSSOZNQKMGITD-UHFFFAOYSA-N -1 1 310.375 1.920 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H](CO)CC2CCCC2)[n-]n1 ZINC000359285137 299395600 /nfs/dbraw/zinc/39/56/00/299395600.db2.gz GFZBKBNKXJOFDL-ZDUSSCGKSA-N -1 1 306.410 1.249 20 0 DDADMM Cc1ccc(N2CC[C@@H](N3CCC(C(=O)[O-])CC3)C2=O)cc1 ZINC000262652946 203367954 /nfs/dbraw/zinc/36/79/54/203367954.db2.gz LSRIEHNQVIPBCE-OAHLLOKOSA-N -1 1 302.374 1.897 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCNC(=O)[C@@H]1c1ccccc1 ZINC000094076677 193343771 /nfs/dbraw/zinc/34/37/71/193343771.db2.gz RMPVBBBCWJDSQM-HNNXBMFYSA-N -1 1 314.316 1.845 20 0 DDADMM CCN(C(=O)c1cncc([O-])c1)[C@@H](C)CS(=O)(=O)CC ZINC000265086583 204386572 /nfs/dbraw/zinc/38/65/72/204386572.db2.gz RUZRICHRIVDWFT-JTQLQIEISA-N -1 1 300.380 1.073 20 0 DDADMM CCc1nc(SC[C@@H]2CCS(=O)(=O)C2)[n-]c(=O)c1C ZINC000566499571 304164497 /nfs/dbraw/zinc/16/44/97/304164497.db2.gz YMPFFZRAAUMGDB-VIFPVBQESA-N -1 1 302.421 1.580 20 0 DDADMM CNc1nc(C)cc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)n1 ZINC000351356980 284321959 /nfs/dbraw/zinc/32/19/59/284321959.db2.gz WHGQKGQIPNGQBN-UHFFFAOYSA-N -1 1 324.344 1.597 20 0 DDADMM COC[C@](C)([N-]S(=O)(=O)c1ccsc1)C(F)(F)F ZINC000351398837 284323344 /nfs/dbraw/zinc/32/33/44/284323344.db2.gz PMFZLHKNKDHHPT-QMMMGPOBSA-N -1 1 303.327 1.994 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccc2c1OCCO2)C(F)(F)F ZINC000288275700 220057578 /nfs/dbraw/zinc/05/75/78/220057578.db2.gz DTUGATNXYSBFIL-ZETCQYMHSA-N -1 1 311.281 1.687 20 0 DDADMM COc1cc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)ccc1C ZINC000282887551 217301656 /nfs/dbraw/zinc/30/16/56/217301656.db2.gz KEDUEDUKDGLQIS-LBPRGKRZSA-N -1 1 316.361 1.435 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CNC(=O)CCC(C)C)c1 ZINC000282903237 217313343 /nfs/dbraw/zinc/31/33/43/217313343.db2.gz XBJQXDFZFJQYSO-UHFFFAOYSA-N -1 1 322.361 1.670 20 0 DDADMM CC(C)Cc1nsc([N-]c2cnn(CC(=O)NC3CC3)c2)n1 ZINC000274626847 211772810 /nfs/dbraw/zinc/77/28/10/211772810.db2.gz WPXUONIUJATNRN-UHFFFAOYSA-N -1 1 320.422 1.955 20 0 DDADMM O=C(c1nc2cccnc2s1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000566867856 304185195 /nfs/dbraw/zinc/18/51/95/304185195.db2.gz ZJFPWUVVDWNFOR-QMMMGPOBSA-N -1 1 315.362 1.224 20 0 DDADMM O=S1(=O)CCCC[C@H]1CN=c1nc(C2CCCC2)[n-]s1 ZINC000333893174 249141180 /nfs/dbraw/zinc/14/11/80/249141180.db2.gz XOIIBKUPTJQTCV-NSHDSACASA-N -1 1 315.464 1.997 20 0 DDADMM COC(=O)C[C@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000336790398 249233693 /nfs/dbraw/zinc/23/36/93/249233693.db2.gz WQXABHJGAFMXSK-ZETCQYMHSA-N -1 1 316.151 1.836 20 0 DDADMM COCC[C@H]1COCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633177600 422792338 /nfs/dbraw/zinc/79/23/38/422792338.db2.gz IQYWLOVVIOYZBJ-LBPRGKRZSA-N -1 1 313.781 1.852 20 0 DDADMM COCCn1cc(S(=O)(=O)[N-]c2c(C)cccc2F)cn1 ZINC000274054604 211311535 /nfs/dbraw/zinc/31/15/35/211311535.db2.gz LUHUVUGKCXPVER-UHFFFAOYSA-N -1 1 313.354 1.778 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2cnc3onc(C)c3c2)n1 ZINC000338902323 250190929 /nfs/dbraw/zinc/19/09/29/250190929.db2.gz IBHQSXKHDCHSQR-ZETCQYMHSA-N -1 1 323.334 1.262 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1ccc(Br)o1)C1CC1 ZINC000339055383 250272301 /nfs/dbraw/zinc/27/23/01/250272301.db2.gz CMYYYOQSUMATBX-MRVPVSSYSA-N -1 1 324.196 1.745 20 0 DDADMM NC(=O)NC(=O)c1cccc([N-]S(=O)(=O)c2ccccc2)c1 ZINC000339096023 250291691 /nfs/dbraw/zinc/29/16/91/250291691.db2.gz MAQAIDHKSQHKTR-UHFFFAOYSA-N -1 1 319.342 1.296 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2c(C)nn(C)c2C)n1 ZINC000339164730 250324109 /nfs/dbraw/zinc/32/41/09/250324109.db2.gz IPUREMMHGPBXIJ-UHFFFAOYSA-N -1 1 305.338 1.118 20 0 DDADMM CCCn1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cn1 ZINC000339186593 250333980 /nfs/dbraw/zinc/33/39/80/250333980.db2.gz WSRYDWBKFLMGHY-UHFFFAOYSA-N -1 1 305.338 1.402 20 0 DDADMM O=C(c1ccc2nccn2c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339194324 250337423 /nfs/dbraw/zinc/33/74/23/250337423.db2.gz LYLITAXNLOEHDA-UHFFFAOYSA-N -1 1 313.317 1.443 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1ccc(F)c(C)c1 ZINC000352184452 284848435 /nfs/dbraw/zinc/84/84/35/284848435.db2.gz RBYVRSJWFOBSAJ-UHFFFAOYSA-N -1 1 307.281 1.274 20 0 DDADMM COC(=O)[C@@H](Cc1ccccc1)NC(=O)c1ncccc1[O-] ZINC000340748795 251191805 /nfs/dbraw/zinc/19/18/05/251191805.db2.gz HLIGHUGGMXRCQR-GFCCVEGCSA-N -1 1 300.314 1.301 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NCc1ccccn1)c2=O ZINC000058489866 184120629 /nfs/dbraw/zinc/12/06/29/184120629.db2.gz IMMHHWWXHALJJV-UHFFFAOYSA-N -1 1 309.325 1.862 20 0 DDADMM CN(C)C[C@H]1CCCN1S(=O)(=O)c1ccsc1C(=O)[O-] ZINC000061643555 184180120 /nfs/dbraw/zinc/18/01/20/184180120.db2.gz AOOAWLLJHDIWCW-SECBINFHSA-N -1 1 318.420 1.161 20 0 DDADMM O=S(=O)([N-]CCCn1ccnn1)c1cc(Cl)ccc1F ZINC000340965700 251308737 /nfs/dbraw/zinc/30/87/37/251308737.db2.gz XYBDBKLHLWATQP-UHFFFAOYSA-N -1 1 318.761 1.439 20 0 DDADMM C[C@@H]1CN(S(=O)(=O)Nc2cccc(Cl)c2[O-])[C@H](C)CO1 ZINC000340983708 251318049 /nfs/dbraw/zinc/31/80/49/251318049.db2.gz RGQLGJDOFUEVDO-RKDXNWHRSA-N -1 1 320.798 1.812 20 0 DDADMM O=C(OC[C@@H]1CNC(=O)O1)c1ccc(Br)c([O-])c1 ZINC000495719066 307315516 /nfs/dbraw/zinc/31/55/16/307315516.db2.gz SPEXYIOMHRGUJJ-ZETCQYMHSA-N -1 1 316.107 1.420 20 0 DDADMM CC(C)(C)[C@@H]1CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352353518 284987573 /nfs/dbraw/zinc/98/75/73/284987573.db2.gz YIKLDFQGFWYZEV-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM CSc1ccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000352422385 285039799 /nfs/dbraw/zinc/03/97/99/285039799.db2.gz IUXAOZFXUGUKCT-UHFFFAOYSA-N -1 1 301.331 1.392 20 0 DDADMM CS(=O)(=O)c1csc(C(=O)[N-]c2nc3ccccn3n2)c1 ZINC000119812751 195041372 /nfs/dbraw/zinc/04/13/72/195041372.db2.gz AEDAEVCHGXVAEN-UHFFFAOYSA-N -1 1 322.371 1.447 20 0 DDADMM CN(Cc1ccccc1Cl)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352429128 285044773 /nfs/dbraw/zinc/04/47/73/285044773.db2.gz ABDNOSZNEOTKIF-UHFFFAOYSA-N -1 1 317.736 1.343 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](CO)CC(C)(C)C)c1 ZINC000269977419 208029455 /nfs/dbraw/zinc/02/94/55/208029455.db2.gz ZGYNTAIGZDPZDM-SNVBAGLBSA-N -1 1 319.379 1.142 20 0 DDADMM CO[C@@]1(C[N-]S(=O)(=O)c2sccc2Cl)CCOC1 ZINC000451393329 533035107 /nfs/dbraw/zinc/03/51/07/533035107.db2.gz PAGFOHVJTMMKAZ-SNVBAGLBSA-N -1 1 311.812 1.485 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCc3cnn(C)c3)cnc2n1 ZINC000120180148 195113311 /nfs/dbraw/zinc/11/33/11/195113311.db2.gz AOXQVBLKIGKMSW-UHFFFAOYSA-N -1 1 311.345 1.350 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCc1cnn(C)c1)c2=O ZINC000120180148 195113312 /nfs/dbraw/zinc/11/33/12/195113312.db2.gz AOXQVBLKIGKMSW-UHFFFAOYSA-N -1 1 311.345 1.350 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccnc(N(C)C)c2)cn1 ZINC000442620847 533072333 /nfs/dbraw/zinc/07/23/33/533072333.db2.gz XJTAUOCXYCOZDU-UHFFFAOYSA-N -1 1 308.363 1.352 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(N)=O ZINC000352538610 285125501 /nfs/dbraw/zinc/12/55/01/285125501.db2.gz MQBYZNQIYPLLPM-SSDOTTSWSA-N -1 1 310.297 1.036 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2nc(C)c(C)s2)co1 ZINC000120267469 195131534 /nfs/dbraw/zinc/13/15/34/195131534.db2.gz AHALFZRAHIZRDO-UHFFFAOYSA-N -1 1 315.376 1.513 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](CC)OC)o1 ZINC000352594010 285163887 /nfs/dbraw/zinc/16/38/87/285163887.db2.gz ZLVPIWKHBOLYMU-VIFPVBQESA-N -1 1 305.352 1.160 20 0 DDADMM CO[C@H](C)c1nsc(N=C([O-])[C@H](C)C(=O)OC(C)(C)C)n1 ZINC000414266966 533119406 /nfs/dbraw/zinc/11/94/06/533119406.db2.gz SLZKTZYCXSCTCQ-JGVFFNPUSA-N -1 1 315.395 1.584 20 0 DDADMM O=C(C(=O)N1C[C@@]2(CCCO2)[C@@H]1C1CC1)c1ccc([O-])cc1 ZINC000294781325 224216940 /nfs/dbraw/zinc/21/69/40/224216940.db2.gz KCGCQQWJSYGHRX-RDJZCZTQSA-N -1 1 301.342 1.745 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCC[C@@H]1CCCCO1 ZINC000289740037 221193046 /nfs/dbraw/zinc/19/30/46/221193046.db2.gz FUMZTYQISISYKF-JTQLQIEISA-N -1 1 303.384 1.193 20 0 DDADMM Cc1ccc([C@H]2CCCN2C(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC000353522230 285782745 /nfs/dbraw/zinc/78/27/45/285782745.db2.gz PPSUPLCDJRCATN-LLVKDONJSA-N -1 1 313.317 1.296 20 0 DDADMM CN(CCCc1ccccc1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353649352 285850969 /nfs/dbraw/zinc/85/09/69/285850969.db2.gz NELUILUXMWPIBA-UHFFFAOYSA-N -1 1 311.345 1.122 20 0 DDADMM CC(C)Cc1nnc([N-]C(=O)c2ccnc3n[nH]nc32)s1 ZINC000569829748 304380774 /nfs/dbraw/zinc/38/07/74/304380774.db2.gz MOAJDLSKQQPRJA-UHFFFAOYSA-N -1 1 303.351 1.655 20 0 DDADMM CCN(C(=O)c1cc(F)ccc1[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000271188440 407563315 /nfs/dbraw/zinc/56/33/15/407563315.db2.gz FFIMZLDXEJYHFF-SNVBAGLBSA-N -1 1 301.339 1.181 20 0 DDADMM COc1cc(NC(=O)c2cc[nH]c2)ccc1[N-]S(C)(=O)=O ZINC000068265113 406628317 /nfs/dbraw/zinc/62/83/17/406628317.db2.gz OUVQXGJWHMWFGB-UHFFFAOYSA-N -1 1 309.347 1.647 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(C)cc2O)o1 ZINC000073897530 406897279 /nfs/dbraw/zinc/89/72/79/406897279.db2.gz FLWSRMUXBFBRIN-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H](C)C[C@@H]1C ZINC000078006323 407016043 /nfs/dbraw/zinc/01/60/43/407016043.db2.gz VQNPFJBGZICKMP-MNOVXSKESA-N -1 1 316.405 1.829 20 0 DDADMM C[C@H](CN1CCOCC1)NC(=O)c1ccc2ccccc2c1[O-] ZINC000078360400 407026797 /nfs/dbraw/zinc/02/67/97/407026797.db2.gz NIJNAXGBVRLSGM-CYBMUJFWSA-N -1 1 314.385 1.996 20 0 DDADMM O=C(CO)Nc1cccc([N-]S(=O)(=O)c2cccc(F)c2)c1 ZINC000078469885 407030149 /nfs/dbraw/zinc/03/01/49/407030149.db2.gz XEZRFVOLIKDVNZ-UHFFFAOYSA-N -1 1 324.333 1.557 20 0 DDADMM O=C(CCc1ccc(Cl)cc1Cl)NCc1nn[n-]n1 ZINC000044928081 407034079 /nfs/dbraw/zinc/03/40/79/407034079.db2.gz VVOYCVVZISUPQR-UHFFFAOYSA-N -1 1 300.149 1.756 20 0 DDADMM CCc1ccc(NC(=O)[C@@H](C)S(=O)(=O)c2ncn[n-]2)cc1 ZINC000086169993 407109089 /nfs/dbraw/zinc/10/90/89/407109089.db2.gz WIGFQGIHIAQHRU-SECBINFHSA-N -1 1 308.363 1.168 20 0 DDADMM CCc1ccc(NC(=O)[C@@H](C)S(=O)(=O)c2nc[n-]n2)cc1 ZINC000086169993 407109091 /nfs/dbraw/zinc/10/90/91/407109091.db2.gz WIGFQGIHIAQHRU-SECBINFHSA-N -1 1 308.363 1.168 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1nncs1 ZINC000049423671 407120036 /nfs/dbraw/zinc/12/00/36/407120036.db2.gz FHQATCYJPZZJKV-UHFFFAOYSA-N -1 1 312.376 1.552 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2cc(F)ccc2[O-])cc1 ZINC000080002793 407068464 /nfs/dbraw/zinc/06/84/64/407068464.db2.gz KBIVJIIYSJJKLN-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H](C)C[C@H](C)O)cnc2n1 ZINC000081796536 407079177 /nfs/dbraw/zinc/07/91/77/407079177.db2.gz BATNNEBLLCALGS-KOLCDFICSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@H](C)C[C@H](C)O)c2=O ZINC000081796536 407079179 /nfs/dbraw/zinc/07/91/79/407079179.db2.gz BATNNEBLLCALGS-KOLCDFICSA-N -1 1 303.362 1.781 20 0 DDADMM COC(=O)c1cccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC000051266213 407138543 /nfs/dbraw/zinc/13/85/43/407138543.db2.gz HGMZNMQPARXCTB-UHFFFAOYSA-N -1 1 314.223 1.862 20 0 DDADMM CCN(C)CCNS(=O)(=O)c1cc(C(=O)[O-])c(C)cc1C ZINC000054127475 407179050 /nfs/dbraw/zinc/17/90/50/407179050.db2.gz GNCHJGBXUFTTDT-UHFFFAOYSA-N -1 1 314.407 1.232 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]2c2ccc[nH]2)o1 ZINC000123556888 407331852 /nfs/dbraw/zinc/33/18/52/407331852.db2.gz AFZZYQIHFVIZDN-LLVKDONJSA-N -1 1 323.374 1.493 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)C(=O)OC(C)(C)C ZINC000125320722 407385616 /nfs/dbraw/zinc/38/56/16/407385616.db2.gz XSTOPAPOFSLEDV-RYUDHWBXSA-N -1 1 321.439 1.451 20 0 DDADMM CCN(CC1CC1)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000112178764 407422570 /nfs/dbraw/zinc/42/25/70/407422570.db2.gz WRHMWFHTNNGBNZ-UHFFFAOYSA-N -1 1 309.435 1.991 20 0 DDADMM O=C(N[C@H]1CCCN(c2ccccc2)C1=O)c1ncccc1[O-] ZINC000114558458 407586794 /nfs/dbraw/zinc/58/67/94/407586794.db2.gz PFGFFANZMNALMK-ZDUSSCGKSA-N -1 1 311.341 1.713 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@@H](O)C[C@@H]1c1cccc(F)c1 ZINC000225490112 407589032 /nfs/dbraw/zinc/58/90/32/407589032.db2.gz ZGOAGJSKIFMKEM-LSDHHAIUSA-N -1 1 302.305 1.874 20 0 DDADMM CCOc1ccc(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000129343107 407605575 /nfs/dbraw/zinc/60/55/75/407605575.db2.gz UMIMBPADOBEYTM-CYBMUJFWSA-N -1 1 315.377 1.547 20 0 DDADMM O=C([O-])[C@H]1CCN(CC(=O)N[C@@H](c2ccccc2)C2CC2)C1 ZINC000178902629 407649688 /nfs/dbraw/zinc/64/96/88/407649688.db2.gz BSLCREWLFDZMIT-HOCLYGCPSA-N -1 1 302.374 1.660 20 0 DDADMM CCC(CC)[C@@H](O)CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000230241958 407686504 /nfs/dbraw/zinc/68/65/04/407686504.db2.gz OODMXXJFHBXWMO-JTQLQIEISA-N -1 1 313.423 1.327 20 0 DDADMM COC[C@@H](CO)[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000234881885 407717252 /nfs/dbraw/zinc/71/72/52/407717252.db2.gz JWUBWPUFCAEJCY-RXMQYKEDSA-N -1 1 320.219 1.341 20 0 DDADMM CCCCOCCNC(=O)C[N@H+]1C[C@@H]2CCC[C@@]2(C(=O)[O-])C1 ZINC000262133569 407748039 /nfs/dbraw/zinc/74/80/39/407748039.db2.gz LCJXNPDDPCHWIA-XJKSGUPXSA-N -1 1 312.410 1.106 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)CC(=O)NCC(=O)[O-])C12CCCCC2 ZINC000262261039 407778417 /nfs/dbraw/zinc/77/84/17/407778417.db2.gz SGCGYFWYPOBBSP-QWHCGFSZSA-N -1 1 312.410 1.247 20 0 DDADMM CCCNC(=O)CNC(=O)c1ccc(Br)c([O-])c1 ZINC000132875238 407808301 /nfs/dbraw/zinc/80/83/01/407808301.db2.gz DQVKMKDZIRCCLZ-UHFFFAOYSA-N -1 1 315.167 1.411 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(C(=O)c2cccc(O)c2)cc1 ZINC000187090159 407818917 /nfs/dbraw/zinc/81/89/17/407818917.db2.gz AFDUFILFAAPWBZ-UHFFFAOYSA-N -1 1 309.285 1.389 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(C(=O)c2cccc(O)c2)cc1 ZINC000187090159 407818922 /nfs/dbraw/zinc/81/89/22/407818922.db2.gz AFDUFILFAAPWBZ-UHFFFAOYSA-N -1 1 309.285 1.389 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)Oc1cccc(CO)c1)c1nn[n-]n1 ZINC000180553274 407898702 /nfs/dbraw/zinc/89/87/02/407898702.db2.gz CZYFGEGXPFOOON-GWCFXTLKSA-N -1 1 319.365 1.117 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@]2(C)CCCS2)o1 ZINC000268142089 407908941 /nfs/dbraw/zinc/90/89/41/407908941.db2.gz CQZZRUCVIWXDCH-GFCCVEGCSA-N -1 1 319.404 1.630 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncccc1[O-])c1ccc(F)c(C)c1 ZINC000188256900 407929326 /nfs/dbraw/zinc/92/93/26/407929326.db2.gz OWBJMHHZDFZLLC-ZDUSSCGKSA-N -1 1 318.304 1.879 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N(Cc1cccnc1)C1CC1)c2=O ZINC000181751938 408035258 /nfs/dbraw/zinc/03/52/58/408035258.db2.gz WVIBYSAWIUJEAV-UHFFFAOYSA-N -1 1 323.356 1.874 20 0 DDADMM CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cn(CC(C)C)cn2)C1 ZINC000174985884 408020536 /nfs/dbraw/zinc/02/05/36/408020536.db2.gz XZIJSERAGNKFLA-ZDUSSCGKSA-N -1 1 314.455 1.302 20 0 DDADMM O=C(NCC[N@H+]1CCCOCC1)c1ccc2c(c1O)CCCC2 ZINC000189447622 408022510 /nfs/dbraw/zinc/02/25/10/408022510.db2.gz UYAPIWNTNQAOHY-UHFFFAOYSA-N -1 1 318.417 1.723 20 0 DDADMM C[C@H](O)C[C@H]1CCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119423397 408066861 /nfs/dbraw/zinc/06/68/61/408066861.db2.gz AMUIFUQAYHEPNK-VHSXEESVSA-N -1 1 304.350 1.049 20 0 DDADMM CSc1nc(C(C)(C)C)nc(C)c1C(=O)Nc1nn[n-]n1 ZINC000268492170 408066829 /nfs/dbraw/zinc/06/68/29/408066829.db2.gz MGWOFJIMWQEXSZ-UHFFFAOYSA-N -1 1 307.383 1.570 20 0 DDADMM COCc1nnc(S(=O)(=O)[C@H](C)c2ccc(F)c(F)c2)[n-]1 ZINC000268499542 408069534 /nfs/dbraw/zinc/06/95/34/408069534.db2.gz RRSGEBUNYFHYRC-SSDOTTSWSA-N -1 1 317.317 1.764 20 0 DDADMM COCc1nc(S(=O)(=O)[C@H](C)c2ccc(F)c(F)c2)n[n-]1 ZINC000268499542 408069539 /nfs/dbraw/zinc/06/95/39/408069539.db2.gz RRSGEBUNYFHYRC-SSDOTTSWSA-N -1 1 317.317 1.764 20 0 DDADMM CC[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)[C@H]1CCCO1 ZINC000119436624 408070869 /nfs/dbraw/zinc/07/08/69/408070869.db2.gz JIHGQHBKLXIWDX-VXGBXAGGSA-N -1 1 304.350 1.361 20 0 DDADMM CC[C@@H](Oc1ccccc1C)C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000175413824 408123967 /nfs/dbraw/zinc/12/39/67/408123967.db2.gz HPXRUJDYRPFGGG-GFCCVEGCSA-N -1 1 318.377 1.313 20 0 DDADMM CN(C[C@@H]1CCCC[C@@H]1O)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000182361089 408134335 /nfs/dbraw/zinc/13/43/35/408134335.db2.gz AOSPOCQHAOCPSP-GWCFXTLKSA-N -1 1 318.377 1.297 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)NC(C)(C)C ZINC000263648998 408189271 /nfs/dbraw/zinc/18/92/71/408189271.db2.gz CRQFNVAOQZGASL-QMMMGPOBSA-N -1 1 320.361 1.546 20 0 DDADMM CCCc1noc(CCCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)n1 ZINC000273571750 408252150 /nfs/dbraw/zinc/25/21/50/408252150.db2.gz ZMVQVJKRYCVDKC-SNVBAGLBSA-N -1 1 321.385 1.120 20 0 DDADMM CC(C)N1C(=O)C[C@H](NC(=O)c2ccc(Cl)cc2[O-])C1=O ZINC000176247584 408322963 /nfs/dbraw/zinc/32/29/63/408322963.db2.gz VHMJVQVEQJECFA-JTQLQIEISA-N -1 1 310.737 1.311 20 0 DDADMM CN(C)C(=O)CC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131270089 162011538 /nfs/dbraw/zinc/01/15/38/162011538.db2.gz MHWSHRVSFITGCI-UHFFFAOYSA-N -1 1 308.762 1.236 20 0 DDADMM CC(C)(CC(N)=O)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000133374340 162057818 /nfs/dbraw/zinc/05/78/18/162057818.db2.gz MCMDWTJFCOONAG-UHFFFAOYSA-N -1 1 308.762 1.411 20 0 DDADMM O=C1NCCc2ccc(S(=O)(=O)[N-]c3cccc(O)c3)cc21 ZINC000134614710 162082381 /nfs/dbraw/zinc/08/23/81/162082381.db2.gz XCDSLVYCWLKZRN-UHFFFAOYSA-N -1 1 318.354 1.479 20 0 DDADMM CC(=O)N[C@H](CC(=O)Nc1nnn[n-]1)c1ccc(Cl)cc1 ZINC000264034041 408349138 /nfs/dbraw/zinc/34/91/38/408349138.db2.gz TUQOSBYLHCWUIL-SNVBAGLBSA-N -1 1 308.729 1.059 20 0 DDADMM CC(=O)N[C@H](CC(=O)Nc1nn[n-]n1)c1ccc(Cl)cc1 ZINC000264034041 408349142 /nfs/dbraw/zinc/34/91/42/408349142.db2.gz TUQOSBYLHCWUIL-SNVBAGLBSA-N -1 1 308.729 1.059 20 0 DDADMM O=C(CCNC(=O)c1cc(F)ccc1[O-])NCc1ccccn1 ZINC000159175843 408387785 /nfs/dbraw/zinc/38/77/85/408387785.db2.gz XRGHXLNDKSUOFS-UHFFFAOYSA-N -1 1 317.320 1.363 20 0 DDADMM COc1ccc(Cl)cc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183398650 408398314 /nfs/dbraw/zinc/39/83/14/408398314.db2.gz NRQDLTQJWAMUDZ-MRVPVSSYSA-N -1 1 309.757 1.737 20 0 DDADMM Cc1ccc(O[C@@H](C)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183409241 408404332 /nfs/dbraw/zinc/40/43/32/408404332.db2.gz APVLLOYJQHXWSD-NEPJUHHUSA-N -1 1 303.366 1.538 20 0 DDADMM CCCOc1cccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183443159 408413885 /nfs/dbraw/zinc/41/38/85/408413885.db2.gz QFCPOMBPEKPYGF-NSHDSACASA-N -1 1 303.366 1.864 20 0 DDADMM C[C@H](O)C[C@H](C)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000269748851 408416226 /nfs/dbraw/zinc/41/62/26/408416226.db2.gz GZAUIHDMBIJSQX-YUMQZZPRSA-N -1 1 311.325 1.789 20 0 DDADMM O=S(=O)([N-]CC1(O)CCCCC1)c1cccc(F)c1F ZINC000269778213 408425073 /nfs/dbraw/zinc/42/50/73/408425073.db2.gz JSMGYWURZSEEEF-UHFFFAOYSA-N -1 1 305.346 1.938 20 0 DDADMM Cc1ccn(C)c(=O)c1[N-]S(=O)(=O)c1ccc(F)cc1F ZINC000176933731 408480035 /nfs/dbraw/zinc/48/00/35/408480035.db2.gz ZSIOKMHYTQDAFL-UHFFFAOYSA-N -1 1 314.313 1.773 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CCCO[C@H]1C ZINC000191797132 408494619 /nfs/dbraw/zinc/49/46/19/408494619.db2.gz WEJRMRZGLWVTEZ-RYUDHWBXSA-N -1 1 318.377 1.071 20 0 DDADMM COc1ccc(C(=O)Nc2nnn[n-]2)c(OCCC(C)C)c1 ZINC000191892399 408503410 /nfs/dbraw/zinc/50/34/10/408503410.db2.gz JBHSBOVOTHUPQT-UHFFFAOYSA-N -1 1 305.338 1.886 20 0 DDADMM COc1ccc(C(=O)Nc2nn[n-]n2)c(OCCC(C)C)c1 ZINC000191892399 408503413 /nfs/dbraw/zinc/50/34/13/408503413.db2.gz JBHSBOVOTHUPQT-UHFFFAOYSA-N -1 1 305.338 1.886 20 0 DDADMM O=C(CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)Nc1ccc(F)cc1[O-] ZINC000270377746 408510813 /nfs/dbraw/zinc/51/08/13/408510813.db2.gz NUSAVCHKKIEIDU-GHMZBOCLSA-N -1 1 320.320 1.645 20 0 DDADMM CO[C@](C)(C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccc1F ZINC000192209617 408557917 /nfs/dbraw/zinc/55/79/17/408557917.db2.gz BRXFXKOYHZUNTM-BONVTDFDSA-N -1 1 321.356 1.463 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(Cl)ccc2[O-])CCS1(=O)=O ZINC000192311422 408571493 /nfs/dbraw/zinc/57/14/93/408571493.db2.gz IGEPMNYRJVBUFH-SECBINFHSA-N -1 1 317.794 1.695 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CC[C@](C)(O)C1)c2=O ZINC000177392610 408598456 /nfs/dbraw/zinc/59/84/56/408598456.db2.gz WZVXHEBMONEUBH-INIZCTEOSA-N -1 1 302.330 1.546 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)C[C@H]2CCCO2)cc1OC ZINC000265360267 408623773 /nfs/dbraw/zinc/62/37/73/408623773.db2.gz BAXYTHBSIIIBEF-SECBINFHSA-N -1 1 319.354 1.764 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC2=NO[C@H](C)C2)c(=O)[n-]1 ZINC000270855232 408708182 /nfs/dbraw/zinc/70/81/82/408708182.db2.gz RKLBHFZGPJJBBK-SSDOTTSWSA-N -1 1 310.379 1.384 20 0 DDADMM COC(=O)CCN(CC(C)C)C(=O)c1ccc2[nH]nnc2c1 ZINC000193625192 408733455 /nfs/dbraw/zinc/73/34/55/408733455.db2.gz JLSTUKBCOXJNSN-UHFFFAOYSA-N -1 1 304.350 1.619 20 0 DDADMM COCC[C@](C)(O)CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631585320 422839546 /nfs/dbraw/zinc/83/95/46/422839546.db2.gz OVQAAVGAVPYVHQ-AWEZNQCLSA-N -1 1 301.770 1.492 20 0 DDADMM CC[C@@H](C)NC(=O)c1cccc(CNC(=O)c2cnn[nH]2)c1 ZINC000194194438 408771436 /nfs/dbraw/zinc/77/14/36/408771436.db2.gz WGAROHKFXUGSKQ-SNVBAGLBSA-N -1 1 301.350 1.263 20 0 DDADMM CO[C@H]1CN(C(=O)c2c(C)[n-]c(=O)nc2SC)CC[C@H]1C ZINC000276264731 408828158 /nfs/dbraw/zinc/82/81/58/408828158.db2.gz NDVRRRDWCFAESB-SCZZXKLOSA-N -1 1 311.407 1.710 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000276272423 408828345 /nfs/dbraw/zinc/82/83/45/408828345.db2.gz KEDVNKHVHNEQRK-NEPJUHHUSA-N -1 1 322.336 1.263 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](Cc1ccccc1)C1CC1 ZINC000290802861 408835731 /nfs/dbraw/zinc/83/57/31/408835731.db2.gz RNGTYVIZWKHKTO-CYBMUJFWSA-N -1 1 321.402 1.865 20 0 DDADMM CCOCc1nc([C@H](C)NC(=O)c2cc(F)ccc2[O-])no1 ZINC000291811127 408926936 /nfs/dbraw/zinc/92/69/36/408926936.db2.gz HMRFAFRQUCMJDE-QMMMGPOBSA-N -1 1 309.297 1.942 20 0 DDADMM COc1ccccc1O[C@@H]1CCCN(Cc2nc(=O)[n-][nH]2)C1 ZINC000286266784 408952161 /nfs/dbraw/zinc/95/21/61/408952161.db2.gz BOAZVCCERDGBNK-LLVKDONJSA-N -1 1 304.350 1.150 20 0 DDADMM CCOCC1(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)CC1 ZINC000282226128 409015068 /nfs/dbraw/zinc/01/50/68/409015068.db2.gz DCDSFQBOLQMDHA-UHFFFAOYSA-N -1 1 318.377 1.072 20 0 DDADMM C[C@H]1SCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)[C@@H]1C ZINC000287382542 409028205 /nfs/dbraw/zinc/02/82/05/409028205.db2.gz FMJYVGCJELSXDT-XZSHHPRZSA-N -1 1 305.407 1.700 20 0 DDADMM Cc1nc(CC(C)C)c(NC(=O)NN2CC(=O)[N-]C2=O)s1 ZINC000278041944 409056174 /nfs/dbraw/zinc/05/61/74/409056174.db2.gz CEKLLSDPFKTDLP-UHFFFAOYSA-N -1 1 311.367 1.238 20 0 DDADMM O=C(N[C@]1(CCO)CCOC1)c1cc2ccccc2cc1[O-] ZINC000278096777 409065245 /nfs/dbraw/zinc/06/52/45/409065245.db2.gz OAUNXXNHCCURPT-QGZVFWFLSA-N -1 1 301.342 1.817 20 0 DDADMM Cc1ccc2[nH]cc(C(=O)N[C@@H]3C(=O)NCC[C@@H]3C)c(=O)c2c1 ZINC000287680576 409075706 /nfs/dbraw/zinc/07/57/06/409075706.db2.gz JLVQTKOGUVSFNV-HZMBPMFUSA-N -1 1 313.357 1.503 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2cncc3ccccc32)C1=O ZINC000278906912 409110754 /nfs/dbraw/zinc/11/07/54/409110754.db2.gz VRCLOOKUCWXTPR-INIZCTEOSA-N -1 1 312.329 1.600 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@H]2CC=CCC2)c(C(F)(F)F)n1 ZINC000293445180 409114395 /nfs/dbraw/zinc/11/43/95/409114395.db2.gz KGDFIYZCDIUDRS-QMMMGPOBSA-N -1 1 309.313 1.826 20 0 DDADMM COCCOCC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000283926854 409241455 /nfs/dbraw/zinc/24/14/55/409241455.db2.gz QBGGFNQGSLRWAC-UHFFFAOYSA-N -1 1 311.762 1.420 20 0 DDADMM CC(C)Nc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cn1 ZINC000280159967 409261126 /nfs/dbraw/zinc/26/11/26/409261126.db2.gz ICEIKXOOBPZCCH-JTQLQIEISA-N -1 1 303.370 1.291 20 0 DDADMM Cc1cc(C(=O)N(C)Cc2ccc(OCC(=O)[O-])cc2)n[nH]1 ZINC000262362705 163814258 /nfs/dbraw/zinc/81/42/58/163814258.db2.gz IWXBNWNMSGJWRW-UHFFFAOYSA-N -1 1 303.318 1.454 20 0 DDADMM Cn1ccnc1[C@@H]1N(C(=O)C(=O)c2ccc([O-])cc2)CC1(C)C ZINC000296009471 409399853 /nfs/dbraw/zinc/39/98/53/409399853.db2.gz WGOUXJNZMXHQAM-AWEZNQCLSA-N -1 1 313.357 1.918 20 0 DDADMM CCC1([N-]S(=O)(=O)c2nc(C)n(C)c2Br)CC1 ZINC000295231048 409388324 /nfs/dbraw/zinc/38/83/24/409388324.db2.gz ILHHBXURMQXARS-UHFFFAOYSA-N -1 1 322.228 1.712 20 0 DDADMM COC[C@]1(C(=O)OC)CCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000295534411 409336874 /nfs/dbraw/zinc/33/68/74/409336874.db2.gz JZJIADHCJIBQHU-HNNXBMFYSA-N -1 1 311.309 1.183 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2ccc3nccnc3c2)c[nH]1 ZINC000315552649 164019759 /nfs/dbraw/zinc/01/97/59/164019759.db2.gz IWRBMBIBWMZOAE-UHFFFAOYSA-N -1 1 318.314 1.457 20 0 DDADMM C[C@@H]1COCC[C@H]1C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000285497551 409465643 /nfs/dbraw/zinc/46/56/43/409465643.db2.gz FACLLOPGRAZGFM-BDAKNGLRSA-N -1 1 307.803 1.024 20 0 DDADMM CO[C@@H]1CCC[C@@H]1[C@H]1COCCN1C(=O)c1ncc(C)cc1[O-] ZINC000408062566 164213850 /nfs/dbraw/zinc/21/38/50/164213850.db2.gz HMVHKXYSUACJMB-UMVBOHGHSA-N -1 1 320.389 1.752 20 0 DDADMM CN(C)C(=O)O[C@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000295440534 409483253 /nfs/dbraw/zinc/48/32/53/409483253.db2.gz LJQIMEAQAZNOGC-QMMMGPOBSA-N -1 1 314.288 1.583 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCCC(C)(C)C2)o1 ZINC000408301187 164289897 /nfs/dbraw/zinc/28/98/97/164289897.db2.gz PMNCGVIZRQSBRK-UHFFFAOYSA-N -1 1 314.407 1.840 20 0 DDADMM CCC[C@H]1SCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000337906868 409560311 /nfs/dbraw/zinc/56/03/11/409560311.db2.gz NJZSMTKCNJYDPF-GFCCVEGCSA-N -1 1 309.391 1.219 20 0 DDADMM CS(=O)(=O)N[C@H]1CCN(Cc2cccc([O-])c2Cl)C1 ZINC000295493043 409506284 /nfs/dbraw/zinc/50/62/84/409506284.db2.gz XVFMMWAYRPZJSI-JTQLQIEISA-N -1 1 304.799 1.169 20 0 DDADMM CO[C@H](C)c1nc(=NC(C)(C)CCS(C)(=O)=O)s[n-]1 ZINC000337865693 409522681 /nfs/dbraw/zinc/52/26/81/409522681.db2.gz KQMJDUAMAXDVQZ-MRVPVSSYSA-N -1 1 307.441 1.293 20 0 DDADMM CSc1ccccc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337950698 409597521 /nfs/dbraw/zinc/59/75/21/409597521.db2.gz NGFHKCRUMQZUNU-UHFFFAOYSA-N -1 1 317.370 1.878 20 0 DDADMM COc1ccc(C2(C(=O)Nc3nnn[n-]3)CCC2)cc1OC ZINC000349132700 409713383 /nfs/dbraw/zinc/71/33/83/409713383.db2.gz SQZCFIOEXYFNCG-UHFFFAOYSA-N -1 1 303.322 1.277 20 0 DDADMM COc1ccc(C2(C(=O)Nc3nn[n-]n3)CCC2)cc1OC ZINC000349132700 409713388 /nfs/dbraw/zinc/71/33/88/409713388.db2.gz SQZCFIOEXYFNCG-UHFFFAOYSA-N -1 1 303.322 1.277 20 0 DDADMM CS(=O)(=O)CC1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000314675793 409830564 /nfs/dbraw/zinc/83/05/64/409830564.db2.gz YVWGMSUVJONHJG-UHFFFAOYSA-N -1 1 315.366 1.428 20 0 DDADMM Cc1ccc(S[C@H](C)C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357065468 409832638 /nfs/dbraw/zinc/83/26/38/409832638.db2.gz FADRMDLHGWRDQV-SNVBAGLBSA-N -1 1 303.391 1.794 20 0 DDADMM CC(C)C[C@@H](CNC(=O)N1CCN(CC(C)C)CC1)C(=O)[O-] ZINC000316442330 409853320 /nfs/dbraw/zinc/85/33/20/409853320.db2.gz NBIAJQANIMOMHL-AWEZNQCLSA-N -1 1 313.442 1.717 20 0 DDADMM COC(=O)Cc1cccc(S(=O)(=O)[N-]c2cccc(O)c2)c1 ZINC000357015074 409795134 /nfs/dbraw/zinc/79/51/34/409795134.db2.gz CQSYQGILOIHPIB-UHFFFAOYSA-N -1 1 321.354 1.909 20 0 DDADMM CCN(c1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1)C(C)C ZINC000357039368 409809117 /nfs/dbraw/zinc/80/91/17/409809117.db2.gz BQOGGZJCCPUSAD-UHFFFAOYSA-N -1 1 314.393 1.854 20 0 DDADMM COc1ccccc1SCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000357044037 409815827 /nfs/dbraw/zinc/81/58/27/409815827.db2.gz HTAXQQARMQCTPJ-UHFFFAOYSA-N -1 1 305.363 1.106 20 0 DDADMM CC(=O)Nc1cc(S(=O)(=O)Nc2cccc(O)c2)ccc1[O-] ZINC000342795578 409910343 /nfs/dbraw/zinc/91/03/43/409910343.db2.gz XDMZSUQHUICECY-UHFFFAOYSA-N -1 1 322.342 1.857 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)[C@H]3CCCO3)cnc2n1 ZINC000332115776 409897729 /nfs/dbraw/zinc/89/77/29/409897729.db2.gz KFBZFLVSPSKWBV-ZWNOBZJWSA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)[C@H]1CCCO1)c2=O ZINC000332115776 409897737 /nfs/dbraw/zinc/89/77/37/409897737.db2.gz KFBZFLVSPSKWBV-ZWNOBZJWSA-N -1 1 301.346 1.941 20 0 DDADMM COCCOCCNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338395277 409957069 /nfs/dbraw/zinc/95/70/69/409957069.db2.gz KWKACOAVCXGZMQ-UHFFFAOYSA-N -1 1 324.764 1.987 20 0 DDADMM CCNC(=O)c1ccc(=NCC[C@@H]2C[C@@H](C)O[C@@H](C)C2)[n-]n1 ZINC000338489524 410022330 /nfs/dbraw/zinc/02/23/30/410022330.db2.gz PHTDUPLSPOJVOI-CLLJXQQHSA-N -1 1 306.410 1.654 20 0 DDADMM CC1(C)C(=O)NC(=O)N1CC(=O)Nc1ccc([O-])c(Cl)c1 ZINC000357373737 409999721 /nfs/dbraw/zinc/99/97/21/409999721.db2.gz LTZNCYWXKOHNRW-UHFFFAOYSA-N -1 1 311.725 1.315 20 0 DDADMM Cc1nc(-c2ccccc2)oc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354757515 410063774 /nfs/dbraw/zinc/06/37/74/410063774.db2.gz CFZWMGYARVVOJB-UHFFFAOYSA-N -1 1 312.333 1.828 20 0 DDADMM CO[C@H]1C[C@@H](CN(C)C(=O)c2s[n-]c(=O)c2Cl)N(C)C1 ZINC000329176170 410148345 /nfs/dbraw/zinc/14/83/45/410148345.db2.gz LRTMEHWMUNRWQM-YUMQZZPRSA-N -1 1 319.814 1.293 20 0 DDADMM CCO[C@H](C)c1ncc(C(=O)NC(C)(C)c2nn[n-]n2)s1 ZINC000354807358 410099467 /nfs/dbraw/zinc/09/94/67/410099467.db2.gz VQCDCYANKXGMML-SSDOTTSWSA-N -1 1 310.383 1.419 20 0 DDADMM O=C(c1ccc(Cl)cn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332386817 410116237 /nfs/dbraw/zinc/11/62/37/410116237.db2.gz YGWIYEUZQKZADT-QMMMGPOBSA-N -1 1 308.725 1.843 20 0 DDADMM Cc1cnc(C(=O)N[C@H](CO)Cc2ccccc2C)c([O-])c1 ZINC000346822556 410174320 /nfs/dbraw/zinc/17/43/20/410174320.db2.gz GXFPFQCWVCUGOS-AWEZNQCLSA-N -1 1 300.358 1.737 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cccc3c2CCO3)o1 ZINC000357684039 410186824 /nfs/dbraw/zinc/18/68/24/410186824.db2.gz BIMJOQOYUPNSFX-UHFFFAOYSA-N -1 1 322.342 1.375 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCc3nnc(C(C)C)n3C2)c([O-])c1 ZINC000332663760 410194409 /nfs/dbraw/zinc/19/44/09/410194409.db2.gz XPLMAUXHUVLEAC-LLVKDONJSA-N -1 1 315.377 1.555 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(OC2CCC2)c(F)c1 ZINC000631617818 422856008 /nfs/dbraw/zinc/85/60/08/422856008.db2.gz JIPBPULGFMFETE-UHFFFAOYSA-N -1 1 305.313 1.841 20 0 DDADMM Cc1nc(S(=O)(=O)NC2CCN(C3CC3)CC2)sc1C ZINC000333063445 410336991 /nfs/dbraw/zinc/33/69/91/410336991.db2.gz SVJLKKHKAOLYRV-UHFFFAOYSA-N -1 1 315.464 1.665 20 0 DDADMM C[C@@H]1CN(Cc2nn(C)c(=O)[n-]2)C[C@@H](c2ccc(F)cc2)O1 ZINC000329565880 410363321 /nfs/dbraw/zinc/36/33/21/410363321.db2.gz HHXKTASYLRJXOI-MFKMUULPSA-N -1 1 306.341 1.210 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC1CCC2(CC2)CC1 ZINC000347196555 410381839 /nfs/dbraw/zinc/38/18/39/410381839.db2.gz UNSXXCJIXGHYDG-UHFFFAOYSA-N -1 1 303.362 1.356 20 0 DDADMM Cc1cnc(C(=O)NCc2cc3ccccc3n(C)c2=O)c([O-])c1 ZINC000343379228 410407665 /nfs/dbraw/zinc/40/76/65/410407665.db2.gz HNOAERITIBIJJC-UHFFFAOYSA-N -1 1 323.352 1.878 20 0 DDADMM O=C(Nc1ccncc1[O-])c1cccc(C(=O)N2CCCC2)n1 ZINC000358361073 410453494 /nfs/dbraw/zinc/45/34/94/410453494.db2.gz WDGWQRFANNHVSU-UHFFFAOYSA-N -1 1 312.329 1.092 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 ZINC000343440026 410455777 /nfs/dbraw/zinc/45/57/77/410455777.db2.gz BHNKMGWNNNTZBV-GMSGAONNSA-N -1 1 311.704 1.709 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 ZINC000343440026 410455783 /nfs/dbraw/zinc/45/57/83/410455783.db2.gz BHNKMGWNNNTZBV-GMSGAONNSA-N -1 1 311.704 1.709 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@@H]4CC[C@H](O)[C@@H]4C3)c[n-]c2[nH+]1 ZINC000329726576 410424800 /nfs/dbraw/zinc/42/48/00/410424800.db2.gz DWGHKMWUQQQJCW-GDLCADMTSA-N -1 1 313.357 1.487 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H]4CC[C@H](O)[C@@H]4C3)cnc2n1 ZINC000329726576 410424805 /nfs/dbraw/zinc/42/48/05/410424805.db2.gz DWGHKMWUQQQJCW-GDLCADMTSA-N -1 1 313.357 1.487 20 0 DDADMM COC(=O)CCOc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000339893640 410535986 /nfs/dbraw/zinc/53/59/86/410535986.db2.gz WJOSWCOKNJZBGW-UHFFFAOYSA-N -1 1 316.313 1.981 20 0 DDADMM CNC(=O)CCOc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000339939807 410563651 /nfs/dbraw/zinc/56/36/51/410563651.db2.gz UJRVXGSHHIRZGP-UHFFFAOYSA-N -1 1 315.329 1.554 20 0 DDADMM Cc1cnc(C(=O)N2CCC(N3CCCNC3=O)CC2)c([O-])c1 ZINC000330303309 410621984 /nfs/dbraw/zinc/62/19/84/410621984.db2.gz JVHHQSNNEYDMPA-UHFFFAOYSA-N -1 1 318.377 1.320 20 0 DDADMM COC(=O)[C@H]1CCCC[C@@H]1S(=O)(=O)[N-]C1(C(F)F)CC1 ZINC000337034373 410653712 /nfs/dbraw/zinc/65/37/12/410653712.db2.gz FIGLTKGUFORINI-IUCAKERBSA-N -1 1 311.350 1.435 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccc(C)nc2)c1 ZINC000359673247 410809216 /nfs/dbraw/zinc/80/92/16/410809216.db2.gz BDBSBAFQTDSHCI-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM CCN1CCN(C(=O)[C@H](C)Sc2nc(C)c(C)c(=O)[n-]2)CC1 ZINC000330667276 410863823 /nfs/dbraw/zinc/86/38/23/410863823.db2.gz BIGNIGXJQVWPDE-LBPRGKRZSA-N -1 1 324.450 1.444 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cnccc1Oc1ccccc1 ZINC000337569931 410870543 /nfs/dbraw/zinc/87/05/43/410870543.db2.gz BFOMMCMBDNBSEE-UHFFFAOYSA-N -1 1 322.328 1.806 20 0 DDADMM CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1NC(=O)c1cnn[nH]1 ZINC000343997497 410874924 /nfs/dbraw/zinc/87/49/24/410874924.db2.gz XWYKHCQGMCDDDQ-VNHYZAJKSA-N -1 1 300.362 1.886 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)Cc2ccccc2)c1 ZINC000359696619 410821613 /nfs/dbraw/zinc/82/16/13/410821613.db2.gz DAYFTBXEFXVNJO-UHFFFAOYSA-N -1 1 320.370 1.482 20 0 DDADMM CCOc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1OC ZINC000348276541 410890357 /nfs/dbraw/zinc/89/03/57/410890357.db2.gz OOLFTUQJRSGJBX-UHFFFAOYSA-N -1 1 303.322 1.026 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cccc2c3c([nH]c21)CCCC3 ZINC000348275314 410890725 /nfs/dbraw/zinc/89/07/25/410890725.db2.gz KYJHGZBOOJIWIT-UHFFFAOYSA-N -1 1 322.372 1.979 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CC2)cnn1-c1ccccc1 ZINC000348281700 410894326 /nfs/dbraw/zinc/89/43/26/410894326.db2.gz ULWWXKWYWGOFMX-UHFFFAOYSA-N -1 1 309.333 1.113 20 0 DDADMM CSc1ccc(O[C@@H](C)C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348284665 410898519 /nfs/dbraw/zinc/89/85/19/410898519.db2.gz WEEFEWHIOVGSSD-VIFPVBQESA-N -1 1 319.390 1.494 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cccnc1N1CCCCC1 ZINC000348289630 410899907 /nfs/dbraw/zinc/89/99/07/410899907.db2.gz CNMBNYWDJYXBKE-UHFFFAOYSA-N -1 1 313.365 1.004 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@@H]1c1cc(F)ccc1F ZINC000348297021 410904264 /nfs/dbraw/zinc/90/42/64/410904264.db2.gz IYTBASYROCFFNK-PSASIEDQSA-N -1 1 305.288 1.387 20 0 DDADMM CC(C)(C)n1nc(C(=O)NC2(c3nn[n-]n3)CC2)cc1C1CC1 ZINC000348298263 410906860 /nfs/dbraw/zinc/90/68/60/410906860.db2.gz PGZIFMQWBQVMBE-UHFFFAOYSA-N -1 1 315.381 1.448 20 0 DDADMM C[C@@H](CCc1cccc(F)c1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348298932 410907011 /nfs/dbraw/zinc/90/70/11/410907011.db2.gz USOWVPLTJJXUGQ-JTQLQIEISA-N -1 1 303.341 1.713 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1SCCc2ccccc21 ZINC000348299333 410907137 /nfs/dbraw/zinc/90/71/37/410907137.db2.gz WHLDZVVMAKUNOI-NSHDSACASA-N -1 1 301.375 1.336 20 0 DDADMM CC(C)(C)OCc1cc(C(=O)NC(C)(C)c2nn[n-]n2)no1 ZINC000353360542 410940548 /nfs/dbraw/zinc/94/05/48/410940548.db2.gz ZZKSGVFAPSQOLK-UHFFFAOYSA-N -1 1 308.342 1.168 20 0 DDADMM Cc1nc(-c2ccc(NC[C@](C)(O)CO)nc2)[n-]c(=O)c1C ZINC000360117234 411056565 /nfs/dbraw/zinc/05/65/65/411056565.db2.gz WKXSRECWWWFPFP-HNNXBMFYSA-N -1 1 304.350 1.016 20 0 DDADMM CN(C[C@@H]1CCS(=O)(=O)C1)C(=O)c1c([O-])cccc1F ZINC000331237445 411033867 /nfs/dbraw/zinc/03/38/67/411033867.db2.gz NCCSDUQTCIOBCJ-VIFPVBQESA-N -1 1 301.339 1.038 20 0 DDADMM O=C1CCCN1CCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631696433 422883966 /nfs/dbraw/zinc/88/39/66/422883966.db2.gz QVLLIXLMTMFKER-UHFFFAOYSA-N -1 1 322.333 1.508 20 0 DDADMM O=C1NCC[C@H]1CCSc1nc([O-])cc(=O)n1C1CCCC1 ZINC000580096312 422888652 /nfs/dbraw/zinc/88/86/52/422888652.db2.gz AYSWLHLKOQZCHJ-JTQLQIEISA-N -1 1 323.418 1.682 20 0 DDADMM O=C1NCC[C@H]1CCSc1nc(=O)cc([O-])n1C1CCCC1 ZINC000580096312 422888657 /nfs/dbraw/zinc/88/86/57/422888657.db2.gz AYSWLHLKOQZCHJ-JTQLQIEISA-N -1 1 323.418 1.682 20 0 DDADMM Cc1cccc2c1O[C@@H](C(=O)N1CCC[C@@H](c3nn[n-]n3)C1)C2 ZINC000580397025 422925371 /nfs/dbraw/zinc/92/53/71/422925371.db2.gz XIXNOXDUMCDZPK-CHWSQXEVSA-N -1 1 313.361 1.218 20 0 DDADMM CSC[C@@H](C)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000131515015 196176625 /nfs/dbraw/zinc/17/66/25/196176625.db2.gz ZMKHBWLUXFLWMF-MRVPVSSYSA-N -1 1 315.464 1.601 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CCS1(=O)=O ZINC000131848106 196203886 /nfs/dbraw/zinc/20/38/86/196203886.db2.gz GVRVQGMPFSUPDF-MRVPVSSYSA-N -1 1 303.767 1.305 20 0 DDADMM Cc1ccoc1C(=O)NCCCNC(=O)c1cncc([O-])c1 ZINC000132410195 196253325 /nfs/dbraw/zinc/25/33/25/196253325.db2.gz LOWNBOKYBNRSSA-UHFFFAOYSA-N -1 1 303.318 1.239 20 0 DDADMM CO[C@@H]1C[C@@H](CC(=O)[O-])N(Cc2cn3c(cccc3C)n2)C1 ZINC000652503594 423047822 /nfs/dbraw/zinc/04/78/22/423047822.db2.gz VUTKAYOAEOBAIK-UONOGXRCSA-N -1 1 303.362 1.707 20 0 DDADMM CO[C@H](C)CC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645513396 423060825 /nfs/dbraw/zinc/06/08/25/423060825.db2.gz YVMXLWMCYCJLLG-MRVPVSSYSA-N -1 1 312.313 1.804 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C)NC(=O)c1ccc(Cl)cc1 ZINC000647816438 423058824 /nfs/dbraw/zinc/05/88/24/423058824.db2.gz IZOKGUTUEYNFON-MRVPVSSYSA-N -1 1 322.752 1.834 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H]1CC(C)(C)C ZINC000416143230 224810008 /nfs/dbraw/zinc/81/00/08/224810008.db2.gz JJKKWTLOCLVCMW-SECBINFHSA-N -1 1 309.366 1.937 20 0 DDADMM Cn1cc([C@H](NCc2ccccc2OC(F)F)C(=O)[O-])cn1 ZINC000417603903 225375514 /nfs/dbraw/zinc/37/55/14/225375514.db2.gz ZIELRVWTRABGEI-LBPRGKRZSA-N -1 1 311.288 1.937 20 0 DDADMM CSc1nc(CNC(=O)CCc2cncs2)cc(=O)[n-]1 ZINC000640656252 423118535 /nfs/dbraw/zinc/11/85/35/423118535.db2.gz WFXCFKUXGCVIRL-UHFFFAOYSA-N -1 1 310.404 1.610 20 0 DDADMM CNC(=O)[C@H]1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000643359722 423123555 /nfs/dbraw/zinc/12/35/55/423123555.db2.gz ISNUSJAUVRHRIN-VIFPVBQESA-N -1 1 316.279 1.619 20 0 DDADMM C/C=C\C[C@@H](CO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645652871 423127748 /nfs/dbraw/zinc/12/77/48/423127748.db2.gz HLDGJGNCYLPVFB-XADBCAIWSA-N -1 1 324.324 1.706 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN[C@H](c2cccnc2)C1 ZINC000374365235 418523238 /nfs/dbraw/zinc/52/32/38/418523238.db2.gz OGHQPQXPQOTVFH-AWEZNQCLSA-N -1 1 301.321 1.713 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC3(CCC3)C2)o1 ZINC000366868931 418528908 /nfs/dbraw/zinc/52/89/08/418528908.db2.gz OSEYIWKDNYHVNH-UHFFFAOYSA-N -1 1 312.391 1.594 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](C)[C@@H](CO)C1 ZINC000360838270 418528942 /nfs/dbraw/zinc/52/89/42/418528942.db2.gz BMSIMARBENASQS-GXSJLCMTSA-N -1 1 307.394 1.489 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H](C(N)=O)c2ccc(F)cc2)n1 ZINC000188088805 222006851 /nfs/dbraw/zinc/00/68/51/222006851.db2.gz ISBWOYUHMJEFPM-LBPRGKRZSA-N -1 1 307.350 1.790 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H](C)c2nc(N)nc(N(C)C)n2)n1 ZINC000195072501 222190306 /nfs/dbraw/zinc/19/03/06/222190306.db2.gz CZMNXLFYGRQXAF-ZETCQYMHSA-N -1 1 321.410 1.019 20 0 DDADMM O=S(=O)([N-]CCC1CC(O)C1)c1ncccc1C(F)(F)F ZINC000645682067 423139873 /nfs/dbraw/zinc/13/98/73/423139873.db2.gz AJLZXKXBDWYAMH-UHFFFAOYSA-N -1 1 324.324 1.540 20 0 DDADMM CCOC(=O)[C@H](NC(=O)c1ncccc1[O-])c1ccccc1 ZINC000194713712 222182390 /nfs/dbraw/zinc/18/23/90/222182390.db2.gz NVSYRWBJRQNIDY-CYBMUJFWSA-N -1 1 300.314 1.821 20 0 DDADMM CCN(CC(C)(C)C)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000291259822 222265895 /nfs/dbraw/zinc/26/58/95/222265895.db2.gz SCNSFGYTDBRVCO-UHFFFAOYSA-N -1 1 302.396 1.696 20 0 DDADMM CC[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@@H](CC)CO1 ZINC000650362450 423140509 /nfs/dbraw/zinc/14/05/09/423140509.db2.gz GAEKAHRPHXBCPG-RYUDHWBXSA-N -1 1 315.373 1.953 20 0 DDADMM O=S(=O)([N-]C[C@@H]1[C@H]2COC[C@H]21)c1ccc(F)c(F)c1F ZINC000375662776 418669299 /nfs/dbraw/zinc/66/92/99/418669299.db2.gz FWEWXDCDWOBJIN-WHUPJOBBSA-N -1 1 307.293 1.275 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC[C@@H](N2CCOCC2)C1 ZINC000382530860 418731430 /nfs/dbraw/zinc/73/14/30/418731430.db2.gz SKZJIHWIRNAOKC-CYBMUJFWSA-N -1 1 324.808 1.982 20 0 DDADMM O=C([O-])C[C@H]1CCCN(C(=O)[C@H]2NCCc3ccccc32)C1 ZINC000387143252 418743019 /nfs/dbraw/zinc/74/30/19/418743019.db2.gz DPAFMSYPMXPXAK-WBMJQRKESA-N -1 1 302.374 1.587 20 0 DDADMM O=C(NCc1c[nH]c(=O)[nH]1)c1ccc(Br)cc1[O-] ZINC000379765277 418726956 /nfs/dbraw/zinc/72/69/56/418726956.db2.gz HQHCRSBGCVWNID-UHFFFAOYSA-N -1 1 312.123 1.513 20 0 DDADMM Cc1nnc([C@@H]2COCCN2C(=O)c2ncc(C)cc2[O-])o1 ZINC000408110441 418788587 /nfs/dbraw/zinc/78/85/87/418788587.db2.gz QCULVSAXYLTOAZ-JTQLQIEISA-N -1 1 304.306 1.001 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CC(C)(C)C1 ZINC000365009444 418831918 /nfs/dbraw/zinc/83/19/18/418831918.db2.gz FNJPRSIEYQFEAR-GRYCIOLGSA-N -1 1 307.398 1.562 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1CCn2c(cnc2-c2ccccc2)C1 ZINC000364878425 418819973 /nfs/dbraw/zinc/81/99/73/418819973.db2.gz HLBOCXGQGPMUQO-LLVKDONJSA-N -1 1 309.333 1.264 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1CCn2c(cnc2-c2ccccc2)C1 ZINC000364878425 418819975 /nfs/dbraw/zinc/81/99/75/418819975.db2.gz HLBOCXGQGPMUQO-LLVKDONJSA-N -1 1 309.333 1.264 20 0 DDADMM O=C([N-][C@H]1CCN(c2ccccc2OC(F)F)C1=O)C(F)F ZINC000365341699 418852489 /nfs/dbraw/zinc/85/24/89/418852489.db2.gz FQVCVUFZXGPYHF-ZETCQYMHSA-N -1 1 320.242 1.775 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC[C@@]1(O)CCOC1 ZINC000652806360 423146217 /nfs/dbraw/zinc/14/62/17/423146217.db2.gz PUVOHVILBPMTNI-MRXNPFEDSA-N -1 1 323.393 1.059 20 0 DDADMM O=S(=O)([N-][C@@H]1CC[C@H]1O)c1sccc1Br ZINC000425204761 228390600 /nfs/dbraw/zinc/39/06/00/228390600.db2.gz HXQZVHJYAOTIJV-RNFRBKRXSA-N -1 1 312.210 1.312 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1nc(C(F)(F)F)c[nH]1)OC ZINC000421244359 419536336 /nfs/dbraw/zinc/53/63/36/419536336.db2.gz FRYMLGFDLMDOGX-LURJTMIESA-N -1 1 301.290 1.595 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)NCc2ccc(C(=O)[O-])cc2)CCN1C ZINC000414606379 419816474 /nfs/dbraw/zinc/81/64/74/419816474.db2.gz FAYPMMPJQWLTMT-HNNXBMFYSA-N -1 1 319.405 1.866 20 0 DDADMM Cc1ccc(F)cc1-c1n[n-]c(=NC(=O)N2CCNCC2)s1 ZINC000415633863 420131095 /nfs/dbraw/zinc/13/10/95/420131095.db2.gz RIMYNFNVHXVZDQ-UHFFFAOYSA-N -1 1 321.381 1.512 20 0 DDADMM CCc1ccc(CN(C)C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)cc1 ZINC000416122575 420248964 /nfs/dbraw/zinc/24/89/64/420248964.db2.gz JLVPMIOFWZEXQM-UHFFFAOYSA-N -1 1 317.345 1.731 20 0 DDADMM COc1cc(F)ccc1[N-]S(=O)(=O)c1c[nH]c(=O)s1 ZINC000436480761 420329984 /nfs/dbraw/zinc/32/99/84/420329984.db2.gz XQQMJGXSMDVKGS-UHFFFAOYSA-N -1 1 304.324 1.797 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccc(Cl)c(C(N)=O)c1 ZINC000436478705 420330180 /nfs/dbraw/zinc/33/01/80/420330180.db2.gz NXCVCIAJDIMKDV-UHFFFAOYSA-N -1 1 320.798 1.606 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc(C(N)=O)cc2)c1 ZINC000436503357 420333913 /nfs/dbraw/zinc/33/39/13/420333913.db2.gz KADJFBSLNPMANF-UHFFFAOYSA-N -1 1 314.297 1.530 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC(O[C@H]2CCOC2)CC1 ZINC000436571672 420342792 /nfs/dbraw/zinc/34/27/92/420342792.db2.gz DECXOTGPQXNCCC-ZDUSSCGKSA-N -1 1 309.337 1.941 20 0 DDADMM O=C(N=c1cc([C@@H]2CCCO2)[nH][nH]1)C(=O)c1ccc([O-])cc1 ZINC000436633518 420345658 /nfs/dbraw/zinc/34/56/58/420345658.db2.gz ZUKFUCMQADQHBW-LBPRGKRZSA-N -1 1 301.302 1.210 20 0 DDADMM CC(C)OC(=O)CC[C@@H](C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436624196 420346512 /nfs/dbraw/zinc/34/65/12/420346512.db2.gz ATJOJYIYHFMTBE-LLVKDONJSA-N -1 1 307.346 1.811 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@](C)(O)C2CCOCC2)c1 ZINC000436726667 420360395 /nfs/dbraw/zinc/36/03/95/420360395.db2.gz VPIBZIRZSIOAAJ-MRXNPFEDSA-N -1 1 323.345 1.295 20 0 DDADMM CCOC(=O)C(CC)(CC)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436815344 420370144 /nfs/dbraw/zinc/37/01/44/420370144.db2.gz NYFHRXZHBQCBIT-UHFFFAOYSA-N -1 1 307.346 1.813 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1)c1cncnc1 ZINC000436918714 420383425 /nfs/dbraw/zinc/38/34/25/420383425.db2.gz JRYUYJCSFJPNSR-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM O=C(Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1)c1cncnc1 ZINC000436918714 420383429 /nfs/dbraw/zinc/38/34/29/420383429.db2.gz JRYUYJCSFJPNSR-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM COCC[C@H](Cc1ccco1)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000437001594 420392108 /nfs/dbraw/zinc/39/21/08/420392108.db2.gz WCAAJTUSWVWDIG-CYBMUJFWSA-N -1 1 317.341 1.932 20 0 DDADMM CCC(C)(C)OCC[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000416525983 420407356 /nfs/dbraw/zinc/40/73/56/420407356.db2.gz RSTUSBYDNYDTPB-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM CCNC(=O)C(C)(C)[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC000416516495 420402872 /nfs/dbraw/zinc/40/28/72/420402872.db2.gz FYMQDWNOESAZEF-UHFFFAOYSA-N -1 1 322.789 1.672 20 0 DDADMM O=C(NC1(CO)Cc2ccccc2C1)c1c([O-])cccc1F ZINC000437971239 420432064 /nfs/dbraw/zinc/43/20/64/420432064.db2.gz BDDXUIFWDWFORB-UHFFFAOYSA-N -1 1 301.317 1.791 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2ccc(C)c(C(N)=O)c2)c1C ZINC000438225597 420447304 /nfs/dbraw/zinc/44/73/04/420447304.db2.gz NRNJZQYWVMDBEM-UHFFFAOYSA-N -1 1 322.390 1.489 20 0 DDADMM CC(C)c1nnc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)o1 ZINC000492384472 420540910 /nfs/dbraw/zinc/54/09/10/420540910.db2.gz OOGOGZVFRWBGNK-WWQCOOJYSA-N -1 1 317.353 1.126 20 0 DDADMM Cn1nnnc1SCCC(=O)Nc1ccc([O-])c(F)c1F ZINC000456794511 420546010 /nfs/dbraw/zinc/54/60/10/420546010.db2.gz RCQKEOJVIVWSRA-UHFFFAOYSA-N -1 1 315.305 1.315 20 0 DDADMM CCC[C@@H]1CCCC[C@@H]1CN=c1ccc(C(=O)NCCO)n[n-]1 ZINC000450907095 420557045 /nfs/dbraw/zinc/55/70/45/420557045.db2.gz JUVRRTUGZLQHAC-ZIAGYGMSSA-N -1 1 320.437 1.639 20 0 DDADMM COC(=O)c1cnc(S[C@@H]2C[C@H]3CCCC[C@@H]3NC2=O)[n-]1 ZINC000450908135 420557775 /nfs/dbraw/zinc/55/77/75/420557775.db2.gz UHQGIMXQEPZRJS-WCABBAIRSA-N -1 1 309.391 1.736 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H]2C[C@H]3CCCC[C@@H]3NC2=O)n1 ZINC000450908135 420557779 /nfs/dbraw/zinc/55/77/79/420557779.db2.gz UHQGIMXQEPZRJS-WCABBAIRSA-N -1 1 309.391 1.736 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)C1CCN(CC(F)(F)F)CC1 ZINC000456926484 420570500 /nfs/dbraw/zinc/57/05/00/420570500.db2.gz CDVUBMPUZLDSPE-UHFFFAOYSA-N -1 1 317.311 1.886 20 0 DDADMM COC(=O)c1ccc(Cl)c([N-]S(=O)(=O)C[C@@H](C)OC)c1 ZINC000450968019 420571966 /nfs/dbraw/zinc/57/19/66/420571966.db2.gz JFISFFSCGWEPJJ-MRVPVSSYSA-N -1 1 321.782 1.903 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2cc(C)cc(C)c2)n1 ZINC000448536320 420858535 /nfs/dbraw/zinc/85/85/35/420858535.db2.gz DZOBAJMXGANYNU-UHFFFAOYSA-N -1 1 308.359 1.787 20 0 DDADMM COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000495546601 421020859 /nfs/dbraw/zinc/02/08/59/421020859.db2.gz TYBSNRHDBRHKLP-GHMZBOCLSA-N -1 1 307.350 1.384 20 0 DDADMM Cn1nccc1S(=O)(=O)[N-]c1cc2c(cc1F)NC(=O)CC2 ZINC000489019446 421132845 /nfs/dbraw/zinc/13/28/45/421132845.db2.gz WLTLYNIXERKSRX-UHFFFAOYSA-N -1 1 324.337 1.245 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)Nc1cc(C)c(=O)n(C)c1)c2=O ZINC000489132404 421137802 /nfs/dbraw/zinc/13/78/02/421137802.db2.gz BCYKDEMJADVTMO-UHFFFAOYSA-N -1 1 324.340 1.903 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)Nc3cc(C)c(=O)n(C)c3)cnc2n1 ZINC000489132404 421137805 /nfs/dbraw/zinc/13/78/05/421137805.db2.gz BCYKDEMJADVTMO-UHFFFAOYSA-N -1 1 324.340 1.903 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1Cc2c(cccc2C)O1)c1nn[n-]n1 ZINC000450122744 421145958 /nfs/dbraw/zinc/14/59/58/421145958.db2.gz RELCLBDQGQVVRH-DGCLKSJQSA-N -1 1 301.350 1.469 20 0 DDADMM Cc1ccc(-c2n[n-]c(S(=O)(=O)Cc3ccon3)n2)cc1 ZINC000559985374 421231163 /nfs/dbraw/zinc/23/11/63/421231163.db2.gz YITKDFAAJRFVLK-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM Cc1ccc(-c2nc(S(=O)(=O)Cc3ccon3)n[n-]2)cc1 ZINC000559985374 421231166 /nfs/dbraw/zinc/23/11/66/421231166.db2.gz YITKDFAAJRFVLK-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM CC(=O)c1[n-]c(=N[C@@H]2CCC(=O)N[C@@H]2[C@@H]2CCCO2)sc1C ZINC000450531577 421207818 /nfs/dbraw/zinc/20/78/18/421207818.db2.gz JHYLECYGVPCKNB-SUNKGSAMSA-N -1 1 323.418 1.314 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCC[C@H]1C1CC1 ZINC000561082248 421304553 /nfs/dbraw/zinc/30/45/53/421304553.db2.gz HIVYNJIBJAYUDT-ZJUUUORDSA-N -1 1 307.350 1.453 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000560347562 421251228 /nfs/dbraw/zinc/25/12/28/421251228.db2.gz TYBBBOOBLBSFQL-JOYOIKCWSA-N -1 1 317.397 1.439 20 0 DDADMM O=C1NCCC[N@H+](Cc2ncccc2O)[C@H]1Cc1ccccc1 ZINC000560595823 421283872 /nfs/dbraw/zinc/28/38/72/421283872.db2.gz UYPSYIZQYCSMIY-INIZCTEOSA-N -1 1 311.385 1.720 20 0 DDADMM Cc1cnc(C(=O)N2CCOC3(CCOCC3)CC2)c([O-])c1 ZINC000548307022 421419393 /nfs/dbraw/zinc/41/93/93/421419393.db2.gz JNBHYMGSVDLSDP-UHFFFAOYSA-N -1 1 306.362 1.507 20 0 DDADMM O=C(N[C@@H]1C[C@H]2CCCCN2C1=O)c1csc(=NC2CC2)[n-]1 ZINC000562641041 421391497 /nfs/dbraw/zinc/39/14/97/421391497.db2.gz WUDZWUCEMKPTNJ-GHMZBOCLSA-N -1 1 320.418 1.023 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1ccc2c[nH]nc2c1 ZINC000563564082 421521418 /nfs/dbraw/zinc/52/14/18/421521418.db2.gz LDLBIFLWAFTTCQ-UHFFFAOYSA-N -1 1 311.363 1.504 20 0 DDADMM CO[C@H](C(=O)NC1(c2nn[n-]n2)CCCC1)c1ccc(F)cc1 ZINC000528599267 421499536 /nfs/dbraw/zinc/49/95/36/421499536.db2.gz PFKFTJRVMMJJIX-LBPRGKRZSA-N -1 1 319.340 1.612 20 0 DDADMM O=C(NCCN1CC=CCC1)c1ccc(-c2nc(=O)o[n-]2)cc1 ZINC000516658371 421560369 /nfs/dbraw/zinc/56/03/69/421560369.db2.gz KZYDVADGAJJHHZ-UHFFFAOYSA-N -1 1 314.345 1.022 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1nc2c(s1)CCC2 ZINC000516855884 421571451 /nfs/dbraw/zinc/57/14/51/421571451.db2.gz JZEIKNFUOGGUMN-UHFFFAOYSA-N -1 1 304.379 1.344 20 0 DDADMM CCCCn1cc(C(=O)Nc2nc(SCCO)n[nH]2)c(C)n1 ZINC000563802847 421543179 /nfs/dbraw/zinc/54/31/79/421543179.db2.gz ATDWAMMAYUQHSO-UHFFFAOYSA-N -1 1 324.410 1.446 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC1(CO)CCCC1 ZINC000554416962 421639004 /nfs/dbraw/zinc/63/90/04/421639004.db2.gz NAAJKRNJEGVNIX-UHFFFAOYSA-N -1 1 318.377 1.016 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)CCOC(C)C)cn1 ZINC000531768162 421645273 /nfs/dbraw/zinc/64/52/73/421645273.db2.gz GVYPJVWCCICJBQ-UHFFFAOYSA-N -1 1 316.379 1.425 20 0 DDADMM CO[C@@H](C)c1nc(=NC[C@H]2CCCCS2(=O)=O)s[n-]1 ZINC000554687257 421658630 /nfs/dbraw/zinc/65/86/30/421658630.db2.gz CPHBJUNARSFBRF-DTWKUNHWSA-N -1 1 305.425 1.047 20 0 DDADMM O=C(CC1(C(F)(F)F)CC1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000571354674 421708245 /nfs/dbraw/zinc/70/82/45/421708245.db2.gz XDBVNHQPXRKJIF-QMMMGPOBSA-N -1 1 303.288 1.638 20 0 DDADMM CNC(=O)C[C@H](C)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000571758739 421742763 /nfs/dbraw/zinc/74/27/63/421742763.db2.gz UVNYFAJYHSXNNG-QMMMGPOBSA-N -1 1 313.404 1.099 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@@H]1NS(=O)(=O)c1c(C)o[n-]c1=N ZINC000520291046 421745269 /nfs/dbraw/zinc/74/52/69/421745269.db2.gz NMZNJCKJTHYPEJ-VHSXEESVSA-N -1 1 317.411 1.268 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000520291046 421745273 /nfs/dbraw/zinc/74/52/73/421745273.db2.gz NMZNJCKJTHYPEJ-VHSXEESVSA-N -1 1 317.411 1.268 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)c2cc3c([nH]2)CCOC3)[nH]n1 ZINC000520362486 421747198 /nfs/dbraw/zinc/74/71/98/421747198.db2.gz VZBIHGVZLVEHPZ-UHFFFAOYSA-N -1 1 312.333 1.463 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCc2n[nH]c(=O)cc2C1 ZINC000572047343 421760636 /nfs/dbraw/zinc/76/06/36/421760636.db2.gz CTCVGWWJRCAVJJ-UHFFFAOYSA-N -1 1 307.256 1.365 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2cccc3c2OCO3)o1 ZINC000557692912 421788240 /nfs/dbraw/zinc/78/82/40/421788240.db2.gz XQZSKDDJOMSFNY-ZETCQYMHSA-N -1 1 311.319 1.146 20 0 DDADMM COc1cc(Cl)c(F)c(C(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000572581329 421802198 /nfs/dbraw/zinc/80/21/98/421802198.db2.gz MACIMUJZIITKRM-UHFFFAOYSA-N -1 1 311.704 1.420 20 0 DDADMM O=C([O-])c1cccc(CN2CC[C@H](NC(=O)NC3CC3)C2)c1 ZINC000635308003 421896912 /nfs/dbraw/zinc/89/69/12/421896912.db2.gz IIPQMDFJTGYGTD-AWEZNQCLSA-N -1 1 303.362 1.421 20 0 DDADMM Cn1[n-]c(CN2CCC3(CC2)OCCc2ccsc23)nc1=O ZINC000544442295 421844907 /nfs/dbraw/zinc/84/49/07/421844907.db2.gz IISJSIIYJKJLJY-UHFFFAOYSA-N -1 1 320.418 1.234 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)[C@@H]1COc2cc(C)c(C)cc21 ZINC000580841651 421849782 /nfs/dbraw/zinc/84/97/82/421849782.db2.gz JYHVZFDOXFXOGH-LLVKDONJSA-N -1 1 315.329 1.928 20 0 DDADMM CC[C@@H](C)C[C@H](N=c1nc(CCOC)[n-]s1)C(=O)OC ZINC000573115231 421924025 /nfs/dbraw/zinc/92/40/25/421924025.db2.gz MTWDFBLDXCHXGL-ZJUUUORDSA-N -1 1 301.412 1.539 20 0 DDADMM CC(=O)Nc1ccc(S(=O)(=O)[N-]c2cnc[nH]2)cc1Cl ZINC000573146424 421928724 /nfs/dbraw/zinc/92/87/24/421928724.db2.gz CTUSDCAYMLNINN-UHFFFAOYSA-N -1 1 314.754 1.822 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H](C)c2cccc(F)c2)CC1 ZINC000630223341 421973958 /nfs/dbraw/zinc/97/39/58/421973958.db2.gz IJFOXDFCFXDLRR-OLZOCXBDSA-N -1 1 322.380 1.937 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630223602 421974350 /nfs/dbraw/zinc/97/43/50/421974350.db2.gz JYPBMENXDDUDLV-KBPBESRZSA-N -1 1 320.389 1.461 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)Cc2ccc(Cl)cc2)CC1 ZINC000630221774 421972201 /nfs/dbraw/zinc/97/22/01/421972201.db2.gz BXKSAOGKYQMLKT-LBPRGKRZSA-N -1 1 324.808 1.890 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2COc3ccccc32)CC1 ZINC000630223407 421972358 /nfs/dbraw/zinc/97/23/58/421972358.db2.gz KPYQKSCYRCEFQP-JSGCOSHPSA-N -1 1 318.373 1.170 20 0 DDADMM CNC(=O)[C@@H]1CCCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000630348142 422035609 /nfs/dbraw/zinc/03/56/09/422035609.db2.gz LUBXAMFLNJSFLP-SNVBAGLBSA-N -1 1 318.402 1.708 20 0 DDADMM CC[C@H](OCCNC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC000633569498 421975897 /nfs/dbraw/zinc/97/58/97/421975897.db2.gz VPKVYWQCDSKUDY-ZDUSSCGKSA-N -1 1 303.366 1.416 20 0 DDADMM COc1cccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1F ZINC000630227106 421978930 /nfs/dbraw/zinc/97/89/30/421978930.db2.gz WERSGUKLWABOEQ-UHFFFAOYSA-N -1 1 324.352 1.455 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CCc2occc2[C@@H]1C(=O)[O-] ZINC000630236007 421982103 /nfs/dbraw/zinc/98/21/03/421982103.db2.gz CHMALWIIFGYVGH-NOZJJQNGSA-N -1 1 303.318 1.392 20 0 DDADMM CCOc1cccc([C@@H](C)NC(=O)CCCc2nn[n-]n2)c1 ZINC000635446146 421993755 /nfs/dbraw/zinc/99/37/55/421993755.db2.gz IRNGCRKDGPXZSL-LLVKDONJSA-N -1 1 303.366 1.799 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1cc(Cl)cc(Cl)c1 ZINC000633603022 421994127 /nfs/dbraw/zinc/99/41/27/421994127.db2.gz AIWHWXBAWCANEG-UHFFFAOYSA-N -1 1 300.149 1.756 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCO[C@H]1c1ccc(F)c(F)c1 ZINC000633716382 422065877 /nfs/dbraw/zinc/06/58/77/422065877.db2.gz LTXNTIKGIJMDEF-RISCZKNCSA-N -1 1 323.303 1.057 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)Cc2ccncc2)c1 ZINC000632074298 422070869 /nfs/dbraw/zinc/07/08/69/422070869.db2.gz YUPCZPJNAHOYNA-NSHDSACASA-N -1 1 322.386 1.705 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCOCc1ccc(Cl)cc1 ZINC000632086598 422077166 /nfs/dbraw/zinc/07/71/66/422077166.db2.gz PJZNKCAQFJBWEE-UHFFFAOYSA-N -1 1 309.757 1.119 20 0 DDADMM Cc1cc(NC(=O)CCc2nn[n-]n2)ccc1Br ZINC000630411447 422084790 /nfs/dbraw/zinc/08/47/90/422084790.db2.gz GLGHFHQEFXXNOL-UHFFFAOYSA-N -1 1 310.155 1.842 20 0 DDADMM CCC(CC)(CO)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632137863 422113371 /nfs/dbraw/zinc/11/33/71/422113371.db2.gz JOYIOAQXEANNCK-UHFFFAOYSA-N -1 1 317.407 1.478 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](O)CC1)c1c[nH]nc1C(F)(F)F ZINC000632137846 422113542 /nfs/dbraw/zinc/11/35/42/422113542.db2.gz VBGNLPYKNKKIDT-LJGSYFOKSA-N -1 1 313.301 1.010 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2c(C)nn(C)c2C)sn1 ZINC000632047491 422048555 /nfs/dbraw/zinc/04/85/55/422048555.db2.gz LHMCSSZTFOGXHW-UHFFFAOYSA-N -1 1 300.409 1.280 20 0 DDADMM O=C([O-])CCCCNC(=O)NCCN1CCc2ccccc2C1 ZINC000635505499 422050928 /nfs/dbraw/zinc/05/09/28/422050928.db2.gz WITSFCJHTVLYJB-UHFFFAOYSA-N -1 1 319.405 1.599 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)Cc2nccs2)c1 ZINC000632058254 422059565 /nfs/dbraw/zinc/05/95/65/422059565.db2.gz DCLZLTKVEZPWSW-UHFFFAOYSA-N -1 1 314.388 1.678 20 0 DDADMM Cn1ncc(C(F)(F)F)c1CNC(=O)c1ncccc1[O-] ZINC000628355102 422145250 /nfs/dbraw/zinc/14/52/50/422145250.db2.gz KJZKVWCQIMAOAY-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](C)[C@@H](F)C2)c1 ZINC000632187185 422149789 /nfs/dbraw/zinc/14/97/89/422149789.db2.gz WTUFCQMWCOMLIB-KOLCDFICSA-N -1 1 303.355 1.769 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@H](C[C@@H](C)CC)C(=O)OC)OC ZINC000583497408 422173615 /nfs/dbraw/zinc/17/36/15/422173615.db2.gz MJEBMMSDDBDBBO-QJPTWQEYSA-N -1 1 309.428 1.309 20 0 DDADMM CC(C)[C@@H](CC(F)(F)F)[N-]S(=O)(=O)c1nncn1C ZINC000632259624 422200032 /nfs/dbraw/zinc/20/00/32/422200032.db2.gz PRTWDYWJPRVFML-SSDOTTSWSA-N -1 1 300.306 1.070 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](C)[C@H](OC)C2)c1 ZINC000632260824 422202176 /nfs/dbraw/zinc/20/21/76/422202176.db2.gz WOTNMCVQERMFAB-ZWNOBZJWSA-N -1 1 315.391 1.446 20 0 DDADMM CC1(c2nc(=NC(=O)c3cnc4nc[nH]c4c3)s[n-]2)CC1 ZINC000628540047 422211761 /nfs/dbraw/zinc/21/17/61/422211761.db2.gz LIOFLPQYAHJLTA-UHFFFAOYSA-N -1 1 300.347 1.535 20 0 DDADMM O=C(N=c1[n-]nc(C2CC2)s1)N1CCN(C2CCC2)CC1 ZINC000574935454 422221182 /nfs/dbraw/zinc/22/11/82/422221182.db2.gz GOMGAYPWGKFNDX-UHFFFAOYSA-N -1 1 307.423 1.539 20 0 DDADMM O=C([O-])C[C@H]1CCCN1c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000583937547 422222360 /nfs/dbraw/zinc/22/23/60/422222360.db2.gz QPJMVCYHVFIXEW-ZCFIWIBFSA-N -1 1 315.255 1.815 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCc2c(F)cc(F)cc2C1 ZINC000635702776 422255260 /nfs/dbraw/zinc/25/52/60/422255260.db2.gz FJTZUWGQMDKVOQ-UHFFFAOYSA-N -1 1 307.304 1.386 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](N)c1ccc(C(F)(F)F)cc1)C(=O)[O-] ZINC000630573319 422189479 /nfs/dbraw/zinc/18/94/79/422189479.db2.gz CZTNSDLTDAEYDG-LDYMZIIASA-N -1 1 318.295 1.884 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H](N)c2cccc(Cl)c2)CC[C@H]1C(=O)[O-] ZINC000630575120 422191294 /nfs/dbraw/zinc/19/12/94/422191294.db2.gz LEPFFUIAFOZRCZ-OASPWFOLSA-N -1 1 310.781 1.909 20 0 DDADMM CN(C)c1cccc(CN(C)C(=O)CCCc2nn[n-]n2)c1 ZINC000635712698 422270760 /nfs/dbraw/zinc/27/07/60/422270760.db2.gz RYCDQJRQJZLFCF-UHFFFAOYSA-N -1 1 302.382 1.247 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCO[C@H](c2ccc(F)cc2)C1 ZINC000632442118 422349362 /nfs/dbraw/zinc/34/93/62/422349362.db2.gz TYEABTRYJBVRJX-STQMWFEESA-N -1 1 319.340 1.308 20 0 DDADMM CC(=O)C1([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CC1 ZINC000632449300 422351629 /nfs/dbraw/zinc/35/16/29/422351629.db2.gz ZGQWJBJPKCRYBL-UHFFFAOYSA-N -1 1 308.281 1.500 20 0 DDADMM CO[C@@](C)([C@@H](C)[N-]S(=O)(=O)c1cc(C)ns1)C1CC1 ZINC000632455895 422359448 /nfs/dbraw/zinc/35/94/48/422359448.db2.gz OWYQSSUIOHVMHF-SKDRFNHKSA-N -1 1 304.437 1.933 20 0 DDADMM COc1cccc(N(CC(C)C)C(=O)CCc2nn[n-]n2)c1 ZINC000630851214 422372841 /nfs/dbraw/zinc/37/28/41/422372841.db2.gz XINDRNZFCQUUNM-UHFFFAOYSA-N -1 1 303.366 1.830 20 0 DDADMM C[C@H]1[C@@H](C(F)(F)F)CCCN1C(=O)CCCc1nn[n-]n1 ZINC000635817773 422374020 /nfs/dbraw/zinc/37/40/20/422374020.db2.gz PGCIHUCANICAEX-IUCAKERBSA-N -1 1 305.304 1.712 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@]2(C1)OCc1ccccc12 ZINC000632555235 422434045 /nfs/dbraw/zinc/43/40/45/422434045.db2.gz BSNQDTUUGIBNCU-MRXNPFEDSA-N -1 1 313.361 1.180 20 0 DDADMM CCn1ccnc1[C@H]1CCCCN1C(=O)CCCc1nn[n-]n1 ZINC000635889748 422445277 /nfs/dbraw/zinc/44/52/77/422445277.db2.gz ZTERIISXXXBMEM-GFCCVEGCSA-N -1 1 317.397 1.493 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCCc2ccco2)c1 ZINC000632571318 422446116 /nfs/dbraw/zinc/44/61/16/422446116.db2.gz OIWJBJUTLGTVNU-UHFFFAOYSA-N -1 1 311.359 1.905 20 0 DDADMM COCC1(NS(=O)(=O)c2cc(OC)ccc2[O-])CCCC1 ZINC000632546002 422428136 /nfs/dbraw/zinc/42/81/36/422428136.db2.gz LBIIEPUGJQFMQX-UHFFFAOYSA-N -1 1 315.391 1.638 20 0 DDADMM O=C(NCCN1CC[C@@H](O)C1)c1c([O-])cc(Cl)cc1Cl ZINC000629171892 422504162 /nfs/dbraw/zinc/50/41/62/422504162.db2.gz FQVXZAOMMLAJPR-SECBINFHSA-N -1 1 319.188 1.495 20 0 DDADMM C[C@H](C(=O)N1CC[C@](C)(C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000578132028 422507393 /nfs/dbraw/zinc/50/73/93/422507393.db2.gz IZXQTGXIYLLQOJ-ACJLOTCBSA-N -1 1 316.401 1.756 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@@H]2CC2(F)F)c1 ZINC000632586671 422455092 /nfs/dbraw/zinc/45/50/92/422455092.db2.gz VJNVMNVCWOPJNW-MRVPVSSYSA-N -1 1 307.318 1.724 20 0 DDADMM Cc1nc(SCC(=O)N2CCNC2=O)[n-]c(=O)c1C1CC1 ZINC000631025493 422476428 /nfs/dbraw/zinc/47/64/28/422476428.db2.gz VJFZJVPDTUZJFC-UHFFFAOYSA-N -1 1 308.363 1.012 20 0 DDADMM CCc1c(C(C)C)[n-]n(-c2ccc(S(N)(=O)=O)cc2)c1=O ZINC000634582541 422491501 /nfs/dbraw/zinc/49/15/01/422491501.db2.gz VSKWLHNUUWQHCQ-GFCCVEGCSA-N -1 1 309.391 1.719 20 0 DDADMM O=c1c2c([n-]n1-c1ncccn1)CN(Cc1ccccc1)CC2 ZINC000634589951 422496015 /nfs/dbraw/zinc/49/60/15/422496015.db2.gz MWSOKMNZASHZCT-CQSZACIVSA-N -1 1 307.357 1.701 20 0 DDADMM CC1CCC(OC2CCN(C(=O)CCc3nn[n-]n3)CC2)CC1 ZINC000631157431 422569510 /nfs/dbraw/zinc/56/95/10/422569510.db2.gz LOJGIWWVPLVPHN-UHFFFAOYSA-N -1 1 321.425 1.719 20 0 DDADMM CC(=O)Nc1ccccc1[N-]S(=O)(=O)CCOC(C)C ZINC000629314477 422601400 /nfs/dbraw/zinc/60/14/00/422601400.db2.gz UFBKJMQMYAREIA-UHFFFAOYSA-N -1 1 300.380 1.812 20 0 DDADMM COC1(OC)CCN(C(=O)c2cc(Cl)ccc2[O-])C[C@H]1O ZINC000636084250 422626537 /nfs/dbraw/zinc/62/65/37/422626537.db2.gz ZLMOXNYNUUBUOM-GFCCVEGCSA-N -1 1 315.753 1.242 20 0 DDADMM O=S(=O)(CCOCC1CCC1)[N-]c1cnn(CC2CC2)c1 ZINC000634789570 422649086 /nfs/dbraw/zinc/64/90/86/422649086.db2.gz UHXFQIXETUOQNX-UHFFFAOYSA-N -1 1 313.423 1.852 20 0 DDADMM Cc1c([N-]S(=O)(=O)C[C@@]2(OC(C)C)CCOC2)cnn1C ZINC000634789927 422649598 /nfs/dbraw/zinc/64/95/98/422649598.db2.gz KTFJZAINAFAAPP-CYBMUJFWSA-N -1 1 317.411 1.054 20 0 DDADMM C[C@H]1CN(c2ccc(C(=O)Nc3nnn[n-]3)cc2)C[C@H](C)O1 ZINC000631359059 422711592 /nfs/dbraw/zinc/71/15/92/422711592.db2.gz DZIJLDCIRPHRCA-UWVGGRQHSA-N -1 1 302.338 1.066 20 0 DDADMM C[C@H]1CN(c2ccc(C(=O)Nc3nn[n-]n3)cc2)C[C@H](C)O1 ZINC000631359059 422711598 /nfs/dbraw/zinc/71/15/98/422711598.db2.gz DZIJLDCIRPHRCA-UWVGGRQHSA-N -1 1 302.338 1.066 20 0 DDADMM O=C(CCc1nn[n-]n1)N1C[C@H](c2ccccc2)[C@@H]2CCC[C@H]21 ZINC000633016045 422712243 /nfs/dbraw/zinc/71/22/43/422712243.db2.gz CLYFNNJDPOFYAB-RRFJBIMHSA-N -1 1 311.389 1.927 20 0 DDADMM C[C@@H]1CN(C(C)(C)CNc2ccnc(C(=O)[O-])c2)C[C@H](C)O1 ZINC000650764973 423250247 /nfs/dbraw/zinc/25/02/47/423250247.db2.gz VFKBOFCAZWJVNQ-TXEJJXNPSA-N -1 1 307.394 1.501 20 0 DDADMM O=C(c1cccc2c[nH]nc21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000646067729 423321641 /nfs/dbraw/zinc/32/16/41/423321641.db2.gz MAOIYSHYTOJINI-JTQLQIEISA-N -1 1 313.317 1.671 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1CC[C@H](C(=O)[O-])C1)c1ccsc1 ZINC000653308974 423434447 /nfs/dbraw/zinc/43/44/47/423434447.db2.gz UUDXXNXUELJAQT-NTZNESFSSA-N -1 1 310.419 1.968 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@]1(O)CCSC1 ZINC000648732386 423435213 /nfs/dbraw/zinc/43/52/13/423435213.db2.gz XDFAAEPSCHQWBQ-AWEZNQCLSA-N -1 1 305.359 1.589 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cccc(NC(=O)NC2CC2)c1 ZINC000646409715 423477674 /nfs/dbraw/zinc/47/76/74/423477674.db2.gz UJIKMJLHADHPHX-UHFFFAOYSA-N -1 1 315.333 1.960 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000646412717 423479648 /nfs/dbraw/zinc/47/96/48/423479648.db2.gz KWBPOEZGCGOHIY-CYBMUJFWSA-N -1 1 316.361 1.263 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN2CC(F)(F)C[C@H]2C1 ZINC000648942973 423559181 /nfs/dbraw/zinc/55/91/81/423559181.db2.gz YELQHJNUEZUIEP-QMMMGPOBSA-N -1 1 318.270 1.836 20 0 DDADMM O=S(=O)([N-]C1COCCOC1)c1cc(Cl)ccc1F ZINC000644288337 423588059 /nfs/dbraw/zinc/58/80/59/423588059.db2.gz YIRHGMZXYWHRNT-UHFFFAOYSA-N -1 1 309.746 1.173 20 0 DDADMM O=C(Nc1cccc(-c2nnc[nH]2)c1)c1ccc2oc(=O)nc-2[n-]1 ZINC000646814931 423620307 /nfs/dbraw/zinc/62/03/07/423620307.db2.gz XCJQVWOGTOQCLE-UHFFFAOYSA-N -1 1 322.284 1.966 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)Cc1ccc2c(c1)OC(F)(F)O2 ZINC000653849529 423629443 /nfs/dbraw/zinc/62/94/43/423629443.db2.gz ILUPKIWDQOAZES-UHFFFAOYSA-N -1 1 312.276 1.062 20 0 DDADMM Nc1nc(NCC2(C(=O)[O-])CCCC2)cc(-n2cccn2)n1 ZINC000649401849 423862048 /nfs/dbraw/zinc/86/20/48/423862048.db2.gz KZJMUZOGDZXKRU-UHFFFAOYSA-N -1 1 302.338 1.132 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccc2[nH]nnc21)C1CCCC1 ZINC000641687468 423863549 /nfs/dbraw/zinc/86/35/49/423863549.db2.gz CHMOAIJOMTUVPV-CYBMUJFWSA-N -1 1 324.406 1.905 20 0 DDADMM CC[C@](C)(O)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656909934 423859914 /nfs/dbraw/zinc/85/99/14/423859914.db2.gz KLQBQXUGKSDKPW-JTQLQIEISA-N -1 1 323.212 1.283 20 0 DDADMM COc1ccc(NC(=O)CCCF)cc1[N-]S(C)(=O)=O ZINC000665965226 423970996 /nfs/dbraw/zinc/97/09/96/423970996.db2.gz IURDARDDQSFXPT-UHFFFAOYSA-N -1 1 304.343 1.755 20 0 DDADMM CCC[C@H](NC(=O)C[C@H]1OCc2ccccc21)c1nn[n-]n1 ZINC000654869794 423986722 /nfs/dbraw/zinc/98/67/22/423986722.db2.gz AASLDDOVRBYDNZ-QWHCGFSZSA-N -1 1 301.350 1.819 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cc(CCc2ccccc2)[nH]n1 ZINC000649588881 424061975 /nfs/dbraw/zinc/06/19/75/424061975.db2.gz OLNOCIKUAHNXSW-GFCCVEGCSA-N -1 1 323.418 1.972 20 0 DDADMM O=C(Nc1ccc(C2CCC2)cn1)c1cc(=O)n2[n-]cnc2n1 ZINC000647488111 424061924 /nfs/dbraw/zinc/06/19/24/424061924.db2.gz DGBLVIXCNNTWMJ-UHFFFAOYSA-N -1 1 310.317 1.332 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCC1(CO)CCOCC1 ZINC000640341195 424363420 /nfs/dbraw/zinc/36/34/20/424363420.db2.gz FKHCPYKHPDHVOX-UHFFFAOYSA-N -1 1 321.402 1.629 20 0 DDADMM CN(CC(C)(CO)CO)C(=O)N=c1[n-]sc2ccccc21 ZINC000640341177 424365049 /nfs/dbraw/zinc/36/50/49/424365049.db2.gz FEUPXRFGBBGWAY-UHFFFAOYSA-N -1 1 309.391 1.173 20 0 DDADMM CC(C)(C)c1nc(CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)cs1 ZINC000662220333 424489101 /nfs/dbraw/zinc/48/91/01/424489101.db2.gz WJINBIULRKZEBM-MEBBXXQBSA-N -1 1 310.419 1.974 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1c(C)onc1N)C1CCCC1 ZINC000660055003 424490654 /nfs/dbraw/zinc/49/06/54/424490654.db2.gz YWNVAFBONSKEOB-JTQLQIEISA-N -1 1 303.384 1.049 20 0 DDADMM C[C@@H]1C[C@H](c2cccc(F)c2)N([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662204488 424468590 /nfs/dbraw/zinc/46/85/90/424468590.db2.gz HTTVGCILLCOSGT-BYCMXARLSA-N -1 1 320.364 1.894 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(c1ccnc3cc(F)ccc31)C2 ZINC000662885841 424798658 /nfs/dbraw/zinc/79/86/58/424798658.db2.gz NLYPDJCQXAPGOD-QLJPJBMISA-N -1 1 302.305 1.911 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](N3CCOCC3)C[C@@H]2C)c([O-])c1 ZINC000342245652 271352134 /nfs/dbraw/zinc/35/21/34/271352134.db2.gz JYLAFMKDXBIASM-QWHCGFSZSA-N -1 1 305.378 1.031 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C)CC(C)(C)C2)o1 ZINC000344493505 272038754 /nfs/dbraw/zinc/03/87/54/272038754.db2.gz HQPCOFULFGBWEN-JTQLQIEISA-N -1 1 314.407 1.696 20 0 DDADMM C[C@@](O)(Cn1ccccc1=O)C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000344517502 272046658 /nfs/dbraw/zinc/04/66/58/272046658.db2.gz OQLPKGCIDZWYRE-OAHLLOKOSA-N -1 1 322.748 1.597 20 0 DDADMM Cc1nc(NC(=O)c2[n-]cnc2C(=O)c2ccccc2)nn1C ZINC000344531498 272052219 /nfs/dbraw/zinc/05/22/19/272052219.db2.gz DKJAQAZYIVIDIT-UHFFFAOYSA-N -1 1 310.317 1.330 20 0 DDADMM NC(=O)CCSc1ccccc1[N-]C(=O)c1csnn1 ZINC000344604278 272068731 /nfs/dbraw/zinc/06/87/31/272068731.db2.gz MBCFAAZMLLNWQD-UHFFFAOYSA-N -1 1 308.388 1.758 20 0 DDADMM CCc1ncsc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000344646172 272078536 /nfs/dbraw/zinc/07/85/36/272078536.db2.gz ZBTLLIQOAXBIEK-UHFFFAOYSA-N -1 1 306.347 1.175 20 0 DDADMM CCOC(=O)CCC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000348057225 272794884 /nfs/dbraw/zinc/79/48/84/272794884.db2.gz SVOWLJXLJWXJED-UHFFFAOYSA-N -1 1 306.409 1.382 20 0 DDADMM CCc1ccc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)o1 ZINC000127878845 281289435 /nfs/dbraw/zinc/28/94/35/281289435.db2.gz JCMIRIICYAZXSB-WSKFYRRCSA-N -1 1 301.350 1.775 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3CCOC(C)(C)C3)ccnc1-2 ZINC000286987366 294131855 /nfs/dbraw/zinc/13/18/55/294131855.db2.gz AJZSDWLDBKQRHN-IFYDOICBSA-N -1 1 303.366 1.421 20 0 DDADMM Cc1cnccc1CCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000165773294 298217196 /nfs/dbraw/zinc/21/71/96/298217196.db2.gz TVPHSCUBLLRXTQ-UHFFFAOYSA-N -1 1 308.341 1.599 20 0 DDADMM C[C@@H]1C[C@@H](C)[C@H](C)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000354735257 298509662 /nfs/dbraw/zinc/50/96/62/298509662.db2.gz PKZUYJHUJREIGJ-UTUOFQBUSA-N -1 1 305.378 1.410 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC[C@@H]1[C@@H]1CCCC[C@@H]1O ZINC000408064887 304610965 /nfs/dbraw/zinc/61/09/65/304610965.db2.gz MCQAXGHPIOMOJR-XQQFMLRXSA-N -1 1 306.362 1.179 20 0 DDADMM COC(=O)c1cccc(Oc2nc3c(cnn3C)c(=O)[n-]2)c1 ZINC000356505216 298809792 /nfs/dbraw/zinc/80/97/92/298809792.db2.gz IRAALGIRTBIRMO-UHFFFAOYSA-N -1 1 300.274 1.648 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@@H]2CCC(C)(C)C2)o1 ZINC000358061928 299061635 /nfs/dbraw/zinc/06/16/35/299061635.db2.gz MKCWQOURVZCFEJ-SNVBAGLBSA-N -1 1 314.407 1.838 20 0 DDADMM COCC[C@@H](C)S(=O)(=O)[N-][C@@H](C(=O)OC)c1cccs1 ZINC000362115722 300010076 /nfs/dbraw/zinc/01/00/76/300010076.db2.gz DKXPOHPZTVKJRO-MWLCHTKSSA-N -1 1 321.420 1.307 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[O-])CN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000263232865 300054058 /nfs/dbraw/zinc/05/40/58/300054058.db2.gz QSFAUERLQUHNAF-GWCFXTLKSA-N -1 1 314.345 1.797 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)[C@@](C)(O)C3CCC3)[nH]c21 ZINC000362908876 300175795 /nfs/dbraw/zinc/17/57/95/300175795.db2.gz LMANYUYFTOSCRT-INIZCTEOSA-N -1 1 317.345 1.839 20 0 DDADMM O=C(NC[C@@H]1CCCSC1)c1nc2ccccc2c(=O)[n-]1 ZINC000574670028 304674155 /nfs/dbraw/zinc/67/41/55/304674155.db2.gz SJKMZYCXRMDEDK-JTQLQIEISA-N -1 1 303.387 1.796 20 0 DDADMM CO[C@H](C)C(=O)N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000368505152 301043550 /nfs/dbraw/zinc/04/35/50/301043550.db2.gz XNLCISVGBOGCHX-LHLIQPBNSA-N -1 1 313.720 1.233 20 0 DDADMM C[C@H]1CC(=O)NCCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000371470740 301469474 /nfs/dbraw/zinc/46/94/74/301469474.db2.gz OCZOGOLZHJFWNS-QMMMGPOBSA-N -1 1 316.279 1.762 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@H]1c1cc[nH]n1 ZINC000375076031 301889225 /nfs/dbraw/zinc/88/92/25/301889225.db2.gz WIDDEPVBEZJAQK-ZDUSSCGKSA-N -1 1 309.329 1.624 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H]3CC(F)(F)CN3)[nH][n-]2)s1 ZINC000375422078 301936958 /nfs/dbraw/zinc/93/69/58/301936958.db2.gz PSVALEDNMPZEAF-SECBINFHSA-N -1 1 312.345 1.804 20 0 DDADMM O=C(NCc1cccc(CO)c1)c1nc2ccccc2c(=O)[n-]1 ZINC000355480234 306804072 /nfs/dbraw/zinc/80/40/72/306804072.db2.gz OWPDJLFOFVPKHR-UHFFFAOYSA-N -1 1 309.325 1.345 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@@H]1c1cccc(C)n1 ZINC000377850975 302242845 /nfs/dbraw/zinc/24/28/45/302242845.db2.gz HXCWNRSYWNYTCX-GFCCVEGCSA-N -1 1 322.390 1.625 20 0 DDADMM COc1cccc([C@H](CN=c2[n-]c(C(N)=O)cs2)OC)c1 ZINC000528071943 303001484 /nfs/dbraw/zinc/00/14/84/303001484.db2.gz VPXFEMHTQAWVLN-LBPRGKRZSA-N -1 1 307.375 1.472 20 0 DDADMM O=S(=O)([N-]C[C@@H](n1cccn1)C(F)(F)F)c1ccco1 ZINC000528454404 303028395 /nfs/dbraw/zinc/02/83/95/303028395.db2.gz ZPDDHMUDKNUOFS-MRVPVSSYSA-N -1 1 309.269 1.558 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C[C@@H](n2cccn2)C(F)(F)F)o1 ZINC000528453697 303028603 /nfs/dbraw/zinc/02/86/03/303028603.db2.gz UIFWBYVFYFAFBZ-SECBINFHSA-N -1 1 323.296 1.866 20 0 DDADMM CCC(CC)N(CCOC)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000357309491 306875582 /nfs/dbraw/zinc/87/55/82/306875582.db2.gz TXNAPSNGTVJKRL-UHFFFAOYSA-N -1 1 313.354 1.174 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1c3ccccc3C[C@@H]1O)c2=O ZINC000179708664 303060600 /nfs/dbraw/zinc/06/06/00/303060600.db2.gz XHCXDBHKSZCKPU-UONOGXRCSA-N -1 1 324.340 1.062 20 0 DDADMM O=C([O-])[C@H]1c2ccoc2CCN1CCN1C[C@H]2CC[C@@H](C1)O2 ZINC000530166353 303177987 /nfs/dbraw/zinc/17/79/87/303177987.db2.gz ZNVZZJAQCAXXGI-TYNCELHUSA-N -1 1 306.362 1.127 20 0 DDADMM Cc1ccccc1C[C@@H](C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000530261437 303188951 /nfs/dbraw/zinc/18/89/51/303188951.db2.gz CFPZRKADNIFKBQ-SNVBAGLBSA-N -1 1 322.390 1.334 20 0 DDADMM Cc1ccccc1C[C@@H](C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000530261437 303188952 /nfs/dbraw/zinc/18/89/52/303188952.db2.gz CFPZRKADNIFKBQ-SNVBAGLBSA-N -1 1 322.390 1.334 20 0 DDADMM O=C(Cc1ccc(F)c(F)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000531268201 303237411 /nfs/dbraw/zinc/23/74/11/303237411.db2.gz QEXDOQLDECECDB-UHFFFAOYSA-N -1 1 307.304 1.606 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2c(n1)CCCC2)c1nn[n-]n1 ZINC000533087436 303307953 /nfs/dbraw/zinc/30/79/53/303307953.db2.gz KISITPTXYFUSPT-GFCCVEGCSA-N -1 1 300.366 1.745 20 0 DDADMM COC(=O)[C@@H](CC(F)F)[N-]S(=O)(=O)CCC(C)(C)C ZINC000536421121 303360254 /nfs/dbraw/zinc/36/02/54/303360254.db2.gz RFRBNHDSXGKGJH-MRVPVSSYSA-N -1 1 301.355 1.539 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC(N2CN=NC2=O)CC1 ZINC000537731984 303379129 /nfs/dbraw/zinc/37/91/29/303379129.db2.gz FHVNYUDTXOIVSM-UHFFFAOYSA-N -1 1 306.297 1.306 20 0 DDADMM C[C@@H](C[S@](C)=O)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000541308627 303418955 /nfs/dbraw/zinc/41/89/55/303418955.db2.gz KZRDMOKLVVXWMR-YYSFKGJASA-N -1 1 301.389 1.478 20 0 DDADMM C[C@H](c1ccccc1)n1cnnc1SCc1nc(=O)n(C)[n-]1 ZINC000542710609 303445690 /nfs/dbraw/zinc/44/56/90/303445690.db2.gz CKCXFDVNPVRIOZ-SNVBAGLBSA-N -1 1 316.390 1.602 20 0 DDADMM O=C(NC1(c2ccccc2)CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000545389947 303493990 /nfs/dbraw/zinc/49/39/90/303493990.db2.gz WOLAJLHAJQTALA-UHFFFAOYSA-N -1 1 309.329 1.227 20 0 DDADMM COCCOc1ccc(CNC(=O)c2ncccc2[O-])cn1 ZINC000547647003 303544885 /nfs/dbraw/zinc/54/48/85/303544885.db2.gz OVVRMTRBFXFXRO-UHFFFAOYSA-N -1 1 303.318 1.137 20 0 DDADMM CN(C)[C@]1(C(=O)[N-]O[C@H]2CCCCO2)CCc2ccccc21 ZINC000369175903 307117357 /nfs/dbraw/zinc/11/73/57/307117357.db2.gz GCICPRVHEQPHBN-DOTOQJQBSA-N -1 1 304.390 1.964 20 0 DDADMM Cc1ccc(-c2ncco2)cc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000373096206 307178419 /nfs/dbraw/zinc/17/84/19/307178419.db2.gz CDIYMQYNSPLIJQ-UHFFFAOYSA-N -1 1 315.289 1.238 20 0 DDADMM C[C@@H]1C[C@H]1N1C[C@@H](N=c2nc(C(F)(F)F)[n-]s2)CC1=O ZINC000376454540 307244479 /nfs/dbraw/zinc/24/44/79/307244479.db2.gz LOMILWAHJVJUEB-DSYKOEDSSA-N -1 1 306.313 1.400 20 0 DDADMM CC1CCC2(CCN(CCS(=O)(=O)CC(=O)[O-])C2)CC1 ZINC000377348440 307268487 /nfs/dbraw/zinc/26/84/87/307268487.db2.gz VADWCINOFYLLKN-UHFFFAOYSA-N -1 1 303.424 1.388 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2cc3ccccc3cc2[O-])CCO1 ZINC000391267400 307294163 /nfs/dbraw/zinc/29/41/63/307294163.db2.gz CQFRKYGWWRTRDK-OAHLLOKOSA-N -1 1 315.325 1.559 20 0 DDADMM CCCO[N-]C(=O)CN1CCC(NC(=O)OC(C)(C)C)CC1 ZINC000497265564 307386788 /nfs/dbraw/zinc/38/67/88/307386788.db2.gz YUJINSSBLFSWQY-UHFFFAOYSA-N -1 1 315.414 1.433 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)CC[C@@H]1CCCO1 ZINC000527047940 307499268 /nfs/dbraw/zinc/49/92/68/307499268.db2.gz KDDBZVXRYMERKB-JTQLQIEISA-N -1 1 311.407 1.854 20 0 DDADMM Cc1c[nH]c(NC(=O)Cc2c(C)nc(-c3cccnc3)[n-]c2=O)n1 ZINC000532389407 307630562 /nfs/dbraw/zinc/63/05/62/307630562.db2.gz MKEDXOCXECSMHA-UHFFFAOYSA-N -1 1 324.344 1.765 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cccc(C)c2Cl)[n-]n1C ZINC000548477734 307771613 /nfs/dbraw/zinc/77/16/13/307771613.db2.gz IJBMJJSZRSUAGS-UHFFFAOYSA-N -1 1 315.782 1.613 20 0 DDADMM CCS(=O)(=O)CC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000552023631 307815397 /nfs/dbraw/zinc/81/53/97/307815397.db2.gz MIBMQRHDAIRZEC-UHFFFAOYSA-N -1 1 309.347 1.156 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@]2(O)CCC[C@@H]2C)sc1C ZINC000555429223 307843868 /nfs/dbraw/zinc/84/38/68/307843868.db2.gz JGZURQSTYBDGCN-UFBFGSQYSA-N -1 1 304.437 1.589 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2cncc([O-])c2)c2ccccc2O1 ZINC000559538685 307902689 /nfs/dbraw/zinc/90/26/89/307902689.db2.gz RLQRRYYCFQMZBJ-AWEZNQCLSA-N -1 1 314.297 1.368 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3cncc([O-])c3)[C@H]2C1 ZINC000565572726 308033849 /nfs/dbraw/zinc/03/38/49/308033849.db2.gz ZOTPQLFXEWHREF-CQDKDKBSSA-N -1 1 303.362 1.164 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)Cc2cc(C)on2)s1 ZINC000565978731 308040018 /nfs/dbraw/zinc/04/00/18/308040018.db2.gz RXDSVWUADAHRHT-UHFFFAOYSA-N -1 1 302.381 1.017 20 0 DDADMM C[C@@H](CNC(=O)CSCC(=O)[O-])N1CCc2ccccc2C1 ZINC000569102978 308133312 /nfs/dbraw/zinc/13/33/12/308133312.db2.gz YCZFAEOEMPOYLP-LBPRGKRZSA-N -1 1 322.430 1.367 20 0 DDADMM O=C1NCc2c1cccc2[N-]S(=O)(=O)CCOCC1CC1 ZINC000570271853 308165605 /nfs/dbraw/zinc/16/56/05/308165605.db2.gz CYJCXNQIZWFHQD-UHFFFAOYSA-N -1 1 310.375 1.098 20 0 DDADMM C[C@@H]1OCC[C@@H]1N(CCO)Cc1nc(=O)c2sccc2[n-]1 ZINC000570340306 308166883 /nfs/dbraw/zinc/16/68/83/308166883.db2.gz KZTOARHKJUVFLX-ONGXEEELSA-N -1 1 309.391 1.369 20 0 DDADMM N=c1nc(N2CCN([C@@H]3C[C@H]3c3cccc(F)c3)CC2)s[n-]1 ZINC000572098479 308210517 /nfs/dbraw/zinc/21/05/17/308210517.db2.gz BLORVWQPOKSIBU-QWHCGFSZSA-N -1 1 319.409 1.768 20 0 DDADMM CC(C)c1nc(CS(=O)(=O)c2nc3ccccc3[n-]2)n[nH]1 ZINC000576686335 308331906 /nfs/dbraw/zinc/33/19/06/308331906.db2.gz JORDVHRRFWUUBV-UHFFFAOYSA-N -1 1 305.363 1.778 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2CCCC[C@@H]2C2CC2)n1 ZINC000577398508 308385813 /nfs/dbraw/zinc/38/58/13/308385813.db2.gz CDFHGHJCZWVDJA-ZJUUUORDSA-N -1 1 312.395 1.363 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2CCCC[C@@H]2C2CC2)[n-]1 ZINC000577398508 308385814 /nfs/dbraw/zinc/38/58/14/308385814.db2.gz CDFHGHJCZWVDJA-ZJUUUORDSA-N -1 1 312.395 1.363 20 0 DDADMM O=C([O-])CCCNC(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000577727006 308410093 /nfs/dbraw/zinc/41/00/93/308410093.db2.gz RPZNJGAHFDTJRU-LBPRGKRZSA-N -1 1 309.366 1.581 20 0 DDADMM CC[C@H](C)C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)OC ZINC000578509982 308466821 /nfs/dbraw/zinc/46/68/21/308466821.db2.gz GBVNRQJEPRWGPU-GXFFZTMASA-N -1 1 307.346 1.669 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1cc2ccccc2o1)OCCO ZINC000579518759 308537662 /nfs/dbraw/zinc/53/76/62/308537662.db2.gz QOOGIDQIFRYLIE-UHFFFAOYSA-N -1 1 313.375 1.499 20 0 DDADMM C[C@H]1[C@H](CO)CCN1C(=O)c1ccc(Br)cc1[O-] ZINC000386308138 325759588 /nfs/dbraw/zinc/75/95/88/325759588.db2.gz SBNXKDLUSAWFBQ-IUCAKERBSA-N -1 1 314.179 1.998 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(Br)ccc2[O-])C[C@H]1O ZINC000387865858 325768197 /nfs/dbraw/zinc/76/81/97/325768197.db2.gz MMMFVFOVPRIBSU-WRWORJQWSA-N -1 1 300.152 1.608 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H]3CCCN(C)C3=O)[nH][n-]2)s1 ZINC000581291087 325823714 /nfs/dbraw/zinc/82/37/14/325823714.db2.gz AQPGKYWIGJTROX-SNVBAGLBSA-N -1 1 318.402 1.675 20 0 DDADMM CC[C@@H](C)C[C@H]([N-]S(=O)(=O)Cc1ccon1)C(=O)OC ZINC000581367376 325839025 /nfs/dbraw/zinc/83/90/25/325839025.db2.gz WIIPZKQZXYFMBD-KOLCDFICSA-N -1 1 304.368 1.072 20 0 DDADMM CCOC[C@@H](O)CN(CCC(=O)[O-])Cc1cccc(F)c1F ZINC000582306551 326009614 /nfs/dbraw/zinc/00/96/14/326009614.db2.gz TXPLFYPRNUBUIP-LBPRGKRZSA-N -1 1 317.332 1.639 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc2c1O[C@@H](C)C2)c1nn[n-]n1 ZINC000583422160 332399160 /nfs/dbraw/zinc/39/91/60/332399160.db2.gz GKXQNNBQNAZXTP-UWVGGRQHSA-N -1 1 301.350 1.399 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C2(CF)CCC2)s1 ZINC000582961921 337219154 /nfs/dbraw/zinc/21/91/54/337219154.db2.gz JLYSYZOEKJUEFL-UHFFFAOYSA-N -1 1 307.368 1.705 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2ccc3c(c2)OCO3)[n-]n1 ZINC000583799130 337334573 /nfs/dbraw/zinc/33/45/73/337334573.db2.gz WHPUCOKFIJSVOV-UHFFFAOYSA-N -1 1 314.345 1.032 20 0 DDADMM O=C([O-])c1coc(=NC[C@@]2(CO)C[C@H]2c2ccc(F)cc2)[nH]1 ZINC000584616138 337372880 /nfs/dbraw/zinc/37/28/80/337372880.db2.gz DMTDKQPXNZSMLI-XHDPSFHLSA-N -1 1 306.293 1.512 20 0 DDADMM O=C([O-])c1cccnc1N1CCC[C@@H](N2CCOCC2)CC1 ZINC000656092760 483940498 /nfs/dbraw/zinc/94/04/98/483940498.db2.gz QJSNPGXBFGZBNF-CYBMUJFWSA-N -1 1 305.378 1.471 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)N[C@@H](CCF)C(=O)[O-])c(F)c1 ZINC000656164000 483988760 /nfs/dbraw/zinc/98/87/60/483988760.db2.gz DWDNKPCUAIXFQI-VIFPVBQESA-N -1 1 311.281 1.364 20 0 DDADMM NC(=O)[C@H]1CCCCC[C@H]1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436948799 484129343 /nfs/dbraw/zinc/12/93/43/484129343.db2.gz VRPZPDJJDFNQHI-QWHCGFSZSA-N -1 1 304.346 1.125 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1CC(=O)Nc1ccc2c(c1)OCO2 ZINC000320976573 484404362 /nfs/dbraw/zinc/40/43/62/484404362.db2.gz KVQLJQMNTCYBAU-LBPRGKRZSA-N -1 1 320.345 1.683 20 0 DDADMM O=C([O-])[C@H](C(=O)NCCc1nnc(-c2ccccc2)[nH]1)C1CC1 ZINC000663047658 484625711 /nfs/dbraw/zinc/62/57/11/484625711.db2.gz FGECPLWPHVBYBP-ZDUSSCGKSA-N -1 1 314.345 1.241 20 0 DDADMM CCN1CC[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1=O ZINC000669983811 484705565 /nfs/dbraw/zinc/70/55/65/484705565.db2.gz CHBUATJXXPLNCS-SNVBAGLBSA-N -1 1 322.308 1.003 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1cc(F)cc2nc[nH]c21)C1CCC1 ZINC000663152638 484706706 /nfs/dbraw/zinc/70/67/06/484706706.db2.gz GQEHAOHLRRKEPI-NSHDSACASA-N -1 1 305.309 1.933 20 0 DDADMM O=C([O-])[C@H](CNS(=O)(=O)c1c(F)cccc1F)C1CCC1 ZINC000663256574 484769899 /nfs/dbraw/zinc/76/98/99/484769899.db2.gz WQHMJNGTUKZCSE-SECBINFHSA-N -1 1 319.329 1.744 20 0 DDADMM Cc1ccc([C@H](CNC(=O)c2cc(=O)n(C)[n-]2)N2CCCC2)o1 ZINC000667863791 484827428 /nfs/dbraw/zinc/82/74/28/484827428.db2.gz HWSIKTAVGVSCCD-ZDUSSCGKSA-N -1 1 318.377 1.594 20 0 DDADMM O=C(N[C@H]1CCNC(=O)CC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000665659274 484992396 /nfs/dbraw/zinc/99/23/96/484992396.db2.gz KOOKSOMBPGYRHO-SECBINFHSA-N -1 1 316.279 1.810 20 0 DDADMM CC(C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000668334065 485112830 /nfs/dbraw/zinc/11/28/30/485112830.db2.gz OZZMKRADBDWGEV-JTQLQIEISA-N -1 1 310.375 1.624 20 0 DDADMM C[C@@H](c1ccccc1)[C@@H](CO)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000673056762 485383532 /nfs/dbraw/zinc/38/35/32/485383532.db2.gz HLPUUMHJKLCPCN-BLLLJJGKSA-N -1 1 313.353 1.856 20 0 DDADMM CCN(C)[C@@H](C(=O)N(C)Cc1n[n-]c(=O)o1)c1ccccc1 ZINC000673478286 485416852 /nfs/dbraw/zinc/41/68/52/485416852.db2.gz KFPSJAOMUHHVRH-CYBMUJFWSA-N -1 1 304.350 1.427 20 0 DDADMM CC(C)c1nc(S(=O)(=O)NCCN2CC[C@H](C)C2)c[nH]1 ZINC000673825970 485453090 /nfs/dbraw/zinc/45/30/90/485453090.db2.gz SHFIWCPNDHFBHQ-NSHDSACASA-N -1 1 300.428 1.153 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)[C@@H]2CCCCO2)sc1C ZINC000679011552 485755356 /nfs/dbraw/zinc/75/53/56/485755356.db2.gz DNOULAJLCCDRHC-KOLCDFICSA-N -1 1 304.437 1.996 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)cc1Cl ZINC000679202547 485806543 /nfs/dbraw/zinc/80/65/43/485806543.db2.gz JGBUUJFXEZCCPF-UHFFFAOYSA-N -1 1 314.754 1.271 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H](C)[C@@H](F)C1 ZINC000679260921 485824708 /nfs/dbraw/zinc/82/47/08/485824708.db2.gz ZNOLJTKSPUNODM-ZANVPECISA-N -1 1 320.368 1.801 20 0 DDADMM O=C(NC[C@H](CO)Cc1cccnc1)c1ccc([O-])cc1F ZINC000683370051 485970978 /nfs/dbraw/zinc/97/09/78/485970978.db2.gz WPNWRKWPUWKYJY-GFCCVEGCSA-N -1 1 304.321 1.507 20 0 DDADMM O=C(NCc1nn[n-]n1)c1nccnc1Sc1ccccc1 ZINC000680196375 486110482 /nfs/dbraw/zinc/11/04/82/486110482.db2.gz LPIFTJKLVRCLMC-UHFFFAOYSA-N -1 1 313.346 1.071 20 0 DDADMM COCCN(Cc1ccnn1C)C(=O)c1ccc([O-])c(F)c1 ZINC000683892148 486148717 /nfs/dbraw/zinc/14/87/17/486148717.db2.gz IWAFBUCJRIWDEZ-UHFFFAOYSA-N -1 1 307.325 1.554 20 0 DDADMM CC1(C)CC[C@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000683893141 486149256 /nfs/dbraw/zinc/14/92/56/486149256.db2.gz WVJPBDNTOMHUCK-NEPJUHHUSA-N -1 1 301.350 1.363 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCC[C@@H](C(N)=O)C2)sc1C ZINC000676415326 486221755 /nfs/dbraw/zinc/22/17/55/486221755.db2.gz XWMCISNVKHEMCF-NXEZZACHSA-N -1 1 317.436 1.082 20 0 DDADMM CN(CCN1CCOC(C)(C)C1)C(=O)c1ccc([O-])c(F)c1 ZINC000684069953 486235736 /nfs/dbraw/zinc/23/57/36/486235736.db2.gz YNVKPDCDKVTZOO-UHFFFAOYSA-N -1 1 310.369 1.714 20 0 DDADMM Cc1nc(CS(=O)(=O)[N-]C[C@@](C)(O)C(F)(F)F)cs1 ZINC000684104024 486250282 /nfs/dbraw/zinc/25/02/82/486250282.db2.gz YJLIGPLHZCBBNC-MRVPVSSYSA-N -1 1 318.342 1.184 20 0 DDADMM NC(=O)N1CC[C@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000684873992 486449657 /nfs/dbraw/zinc/44/96/57/486449657.db2.gz HTONLHLRPGEDBM-QMMMGPOBSA-N -1 1 317.267 1.294 20 0 DDADMM CNC(=O)[C@H]1CCCN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684874353 486449789 /nfs/dbraw/zinc/44/97/89/486449789.db2.gz QONUYKSFZRZTRT-SNVBAGLBSA-N -1 1 316.279 1.762 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCO[C@H](COCC2CC2)C1 ZINC000681628690 486475596 /nfs/dbraw/zinc/47/55/96/486475596.db2.gz RKMDMXAOHRFFSA-ZDUSSCGKSA-N -1 1 309.337 1.799 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCC1=O ZINC000677868658 486561130 /nfs/dbraw/zinc/56/11/30/486561130.db2.gz LLNRKQMRGXIWJI-SCDSUCTJSA-N -1 1 313.361 1.411 20 0 DDADMM CN(CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000677929360 486582148 /nfs/dbraw/zinc/58/21/48/486582148.db2.gz VVQUTUDOLPAGSA-GFCCVEGCSA-N -1 1 300.366 1.042 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)C(=O)N[C@@H](C(=O)[O-])C(C)C ZINC000422662834 534126260 /nfs/dbraw/zinc/12/62/60/534126260.db2.gz RSVAKGMSIDIQJI-GFCCVEGCSA-N -1 1 318.333 1.035 20 0 DDADMM COC(=O)[C@@H](C)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451317379 534216911 /nfs/dbraw/zinc/21/69/11/534216911.db2.gz VLHXFYQROOJCKV-QMMMGPOBSA-N -1 1 315.322 1.659 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000451968775 534266108 /nfs/dbraw/zinc/26/61/08/534266108.db2.gz PZJWRJJWCBNMBF-VIFPVBQESA-N -1 1 315.345 1.608 20 0 DDADMM COC(=O)c1c[n-]c(SCC2(CS(C)(=O)=O)CC2)n1 ZINC000432674268 534307371 /nfs/dbraw/zinc/30/73/71/534307371.db2.gz YJYOJVGZLJXPEK-UHFFFAOYSA-N -1 1 304.393 1.113 20 0 DDADMM COC(=O)c1cnc(S[C@@H](Cc2ccc(F)cc2)C(N)=O)[n-]1 ZINC000432669830 534307706 /nfs/dbraw/zinc/30/77/06/534307706.db2.gz MXIPIAQPVPESIB-NSHDSACASA-N -1 1 323.349 1.524 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H](Cc2ccc(F)cc2)C(N)=O)n1 ZINC000432669830 534307707 /nfs/dbraw/zinc/30/77/07/534307707.db2.gz MXIPIAQPVPESIB-NSHDSACASA-N -1 1 323.349 1.524 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)Cn2ccnc2)sc1C ZINC000435221391 534518663 /nfs/dbraw/zinc/51/86/63/534518663.db2.gz BQGASUXTUHDRTJ-QMMMGPOBSA-N -1 1 300.409 1.323 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@@H](CO)O1)c1cc(Cl)ccc1F ZINC000292904942 534868701 /nfs/dbraw/zinc/86/87/01/534868701.db2.gz FQLKSLAGKPBMDP-ZJUUUORDSA-N -1 1 323.773 1.297 20 0 DDADMM CC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000451316526 526652132 /nfs/dbraw/zinc/65/21/32/526652132.db2.gz UBTGZNQBUPEBAO-MRVPVSSYSA-N -1 1 308.812 1.301 20 0 DDADMM CC(=O)Nc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1F ZINC000434250322 526939420 /nfs/dbraw/zinc/93/94/20/526939420.db2.gz QYJJMELQOATRGK-MRVPVSSYSA-N -1 1 320.328 1.173 20 0 DDADMM CC(=O)Nc1ccc(C)c(C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000444079160 526951172 /nfs/dbraw/zinc/95/11/72/526951172.db2.gz FRBFDAHRYFZTJN-UHFFFAOYSA-N -1 1 302.338 1.132 20 0 DDADMM CCN(C)C(=O)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451403349 527726308 /nfs/dbraw/zinc/72/63/08/527726308.db2.gz DJEMUNORNKJLBR-UHFFFAOYSA-N -1 1 314.338 1.329 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)on1 ZINC000331761876 528018833 /nfs/dbraw/zinc/01/88/33/528018833.db2.gz BEJUYBPRSVFGDH-VIFPVBQESA-N -1 1 306.322 1.906 20 0 DDADMM CCNC(=O)C(C)(C)C[N-]S(=O)(=O)c1sccc1Cl ZINC000451335206 528026515 /nfs/dbraw/zinc/02/65/15/528026515.db2.gz BMSFTVBXUGRXNV-UHFFFAOYSA-N -1 1 324.855 1.842 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H](CCO)c2ccccc2)[n-]n1 ZINC000413150604 528231119 /nfs/dbraw/zinc/23/11/19/528231119.db2.gz DMACLOYZZYPYAG-AWEZNQCLSA-N -1 1 314.389 1.226 20 0 DDADMM CC(C)n1nccc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000331757197 528672232 /nfs/dbraw/zinc/67/22/32/528672232.db2.gz ABCHTJNJEFOMSQ-JTQLQIEISA-N -1 1 305.338 1.572 20 0 DDADMM CCOC(=O)CCC[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425140237 528712198 /nfs/dbraw/zinc/71/21/98/528712198.db2.gz FDTUJRLGPXQVKP-UHFFFAOYSA-N -1 1 321.345 1.895 20 0 DDADMM CC1(C)CC=C(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CC1 ZINC000332469668 528891167 /nfs/dbraw/zinc/89/11/67/528891167.db2.gz SCPVYZAGIBKYLF-UHFFFAOYSA-N -1 1 321.450 1.736 20 0 DDADMM CCC[C@@H](NC(=O)CCCC(=O)N(CC)CC)c1nn[n-]n1 ZINC000434765625 528971196 /nfs/dbraw/zinc/97/11/96/528971196.db2.gz RDEACZRVKXSQNR-LLVKDONJSA-N -1 1 310.402 1.196 20 0 DDADMM CCN(C(=O)c1ccc(S(=O)(=O)[N-]C)o1)C1CC(C)(C)C1 ZINC000412817078 529085701 /nfs/dbraw/zinc/08/57/01/529085701.db2.gz ZBZWHFZKRBIDRG-UHFFFAOYSA-N -1 1 314.407 1.838 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(=O)OC ZINC000425170264 529194606 /nfs/dbraw/zinc/19/46/06/529194606.db2.gz RHNOIKPHBXYGAA-SNVBAGLBSA-N -1 1 307.318 1.503 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)[C@H](O)C(F)F ZINC000295688716 529197180 /nfs/dbraw/zinc/19/71/80/529197180.db2.gz IUVYNTNFWQGMQB-XCBNKYQSSA-N -1 1 315.288 1.648 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NC[C@@H]1CCCCO1 ZINC000738437274 598962947 /nfs/dbraw/zinc/96/29/47/598962947.db2.gz NLIAYKCFQZREJU-JTQLQIEISA-N -1 1 311.349 1.791 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NC[C@@H]1CCCCO1 ZINC000738437274 598962949 /nfs/dbraw/zinc/96/29/49/598962949.db2.gz NLIAYKCFQZREJU-JTQLQIEISA-N -1 1 311.349 1.791 20 0 DDADMM c1cnn(CCNc2c3ccccc3nnc2-c2nnn[n-]2)c1 ZINC000738435830 598962998 /nfs/dbraw/zinc/96/29/98/598962998.db2.gz AQWFKFBRUALNCY-UHFFFAOYSA-N -1 1 307.321 1.119 20 0 DDADMM c1cnn(CCNc2c3ccccc3nnc2-c2nn[n-]n2)c1 ZINC000738435830 598963000 /nfs/dbraw/zinc/96/30/00/598963000.db2.gz AQWFKFBRUALNCY-UHFFFAOYSA-N -1 1 307.321 1.119 20 0 DDADMM C[C@@H]1CCC[C@@H](C)C1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736244216 598987881 /nfs/dbraw/zinc/98/78/81/598987881.db2.gz FKYVYQQASLDQNS-NXEZZACHSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@@H]1CCC[C@@H](C)C1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736244216 598987882 /nfs/dbraw/zinc/98/78/82/598987882.db2.gz FKYVYQQASLDQNS-NXEZZACHSA-N -1 1 300.366 1.816 20 0 DDADMM CCN(CC(F)(F)F)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736521785 598991327 /nfs/dbraw/zinc/99/13/27/598991327.db2.gz HVVFAKCMXWEXDT-UHFFFAOYSA-N -1 1 300.244 1.286 20 0 DDADMM CCN(CC(F)(F)F)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736521785 598991334 /nfs/dbraw/zinc/99/13/34/598991334.db2.gz HVVFAKCMXWEXDT-UHFFFAOYSA-N -1 1 300.244 1.286 20 0 DDADMM O=C(N[C@@H]1C[C@@H]1c1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738018976 598997740 /nfs/dbraw/zinc/99/77/40/598997740.db2.gz HAJBRRLRGGVUKQ-TZMCWYRMSA-N -1 1 306.329 1.548 20 0 DDADMM O=C(N[C@@H]1C[C@@H]1c1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738018976 598997742 /nfs/dbraw/zinc/99/77/42/598997742.db2.gz HAJBRRLRGGVUKQ-TZMCWYRMSA-N -1 1 306.329 1.548 20 0 DDADMM Cc1cccc2c1CCN2C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737408545 598998909 /nfs/dbraw/zinc/99/89/09/598998909.db2.gz IZFYFQYUUUEEHP-UHFFFAOYSA-N -1 1 306.329 1.773 20 0 DDADMM Cc1cccc2c1CCN2C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737408545 598998910 /nfs/dbraw/zinc/99/89/10/598998910.db2.gz IZFYFQYUUUEEHP-UHFFFAOYSA-N -1 1 306.329 1.773 20 0 DDADMM CC(C)OC1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000735894118 599004001 /nfs/dbraw/zinc/00/40/01/599004001.db2.gz FLGYUIIFKDCODK-UHFFFAOYSA-N -1 1 316.365 1.291 20 0 DDADMM CC(C)OC1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000735894118 599004003 /nfs/dbraw/zinc/00/40/03/599004003.db2.gz FLGYUIIFKDCODK-UHFFFAOYSA-N -1 1 316.365 1.291 20 0 DDADMM Cc1cccc(CN(C)C(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000737394682 599055541 /nfs/dbraw/zinc/05/55/41/599055541.db2.gz UBXLZYWGDVSVKV-UHFFFAOYSA-N -1 1 309.333 1.237 20 0 DDADMM Cc1cccc(CN(C)C(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000737394682 599055544 /nfs/dbraw/zinc/05/55/44/599055544.db2.gz UBXLZYWGDVSVKV-UHFFFAOYSA-N -1 1 309.333 1.237 20 0 DDADMM CC1(C)CCC(NC(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736211277 599084353 /nfs/dbraw/zinc/08/43/53/599084353.db2.gz NEXRTLUWDHBVGW-UHFFFAOYSA-N -1 1 300.366 1.960 20 0 DDADMM CC1(C)CCC(NC(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736211277 599084355 /nfs/dbraw/zinc/08/43/55/599084355.db2.gz NEXRTLUWDHBVGW-UHFFFAOYSA-N -1 1 300.366 1.960 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)NC[C@H]1CCN(c2ccccc2)C1 ZINC000737991959 596996810 /nfs/dbraw/zinc/99/68/10/596996810.db2.gz VQAXJYDAOAJMLN-CQSZACIVSA-N -1 1 319.405 1.036 20 0 DDADMM O=C([O-])C1(NCC(=O)Nc2nnc(-c3ccco3)o2)CCCC1 ZINC000821388853 597417090 /nfs/dbraw/zinc/41/70/90/597417090.db2.gz OZBMSFLCJJBOKO-UHFFFAOYSA-N -1 1 320.305 1.255 20 0 DDADMM CN1CC[C@@H](NC(=O)CSCC(=O)[O-])[C@@H]1c1ccccc1 ZINC000820620722 597452014 /nfs/dbraw/zinc/45/20/14/597452014.db2.gz RETQRFYSDBHCES-DOMZBBRYSA-N -1 1 308.403 1.366 20 0 DDADMM O=C([O-])[C@@H]1CCN(CN2C[C@@H](c3ccccc3F)CC2=O)C1 ZINC000821511889 597759223 /nfs/dbraw/zinc/75/92/23/597759223.db2.gz VHHLIUXNBXBLAV-NEPJUHHUSA-N -1 1 306.337 1.506 20 0 DDADMM O=C([O-])[C@H]1CCN([C@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000821511824 598044712 /nfs/dbraw/zinc/04/47/12/598044712.db2.gz RQUZHUJXYSARAS-FZMZJTMJSA-N -1 1 306.337 1.728 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NC(=O)NCc1ccccc1)C(=O)[O-] ZINC000263067568 598187766 /nfs/dbraw/zinc/18/77/66/598187766.db2.gz GHSFIUPRUOQCTG-FZMZJTMJSA-N -1 1 321.377 1.101 20 0 DDADMM CC(C)[C@@H](NCC(=O)Nc1cccc(C(=O)N(C)C)c1)C(=O)[O-] ZINC000820041574 598188756 /nfs/dbraw/zinc/18/87/56/598188756.db2.gz JWFODXFACUMSPG-CQSZACIVSA-N -1 1 321.377 1.026 20 0 DDADMM C[C@H]1CCCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736279465 598269858 /nfs/dbraw/zinc/26/98/58/598269858.db2.gz XXRISNMNDUOXFP-JTQLQIEISA-N -1 1 322.394 1.072 20 0 DDADMM C[C@H]1CCCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736279465 598269860 /nfs/dbraw/zinc/26/98/60/598269860.db2.gz XXRISNMNDUOXFP-JTQLQIEISA-N -1 1 322.394 1.072 20 0 DDADMM c1ccc2c(c1)C[C@@H](CNc1nccnc1-c1nnn[n-]1)CO2 ZINC000738436440 598340582 /nfs/dbraw/zinc/34/05/82/598340582.db2.gz IKSGASBSGUSIER-JTQLQIEISA-N -1 1 309.333 1.320 20 0 DDADMM c1ccc2c(c1)C[C@@H](CNc1nccnc1-c1nn[n-]n1)CO2 ZINC000738436440 598340583 /nfs/dbraw/zinc/34/05/83/598340583.db2.gz IKSGASBSGUSIER-JTQLQIEISA-N -1 1 309.333 1.320 20 0 DDADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)c1ccccc1 ZINC000736026731 598343268 /nfs/dbraw/zinc/34/32/68/598343268.db2.gz QMWGVKHWKXAPQX-LLVKDONJSA-N -1 1 310.365 1.594 20 0 DDADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)c1ccccc1 ZINC000736026731 598343270 /nfs/dbraw/zinc/34/32/70/598343270.db2.gz QMWGVKHWKXAPQX-LLVKDONJSA-N -1 1 310.365 1.594 20 0 DDADMM Fc1cc2c(cc1F)N(c1nccnc1-c1nnn[n-]1)CC2 ZINC000737650392 598344303 /nfs/dbraw/zinc/34/43/03/598344303.db2.gz HYTQUKGCKBBGJT-UHFFFAOYSA-N -1 1 301.260 1.629 20 0 DDADMM Fc1cc2c(cc1F)N(c1nccnc1-c1nn[n-]n1)CC2 ZINC000737650392 598344305 /nfs/dbraw/zinc/34/43/05/598344305.db2.gz HYTQUKGCKBBGJT-UHFFFAOYSA-N -1 1 301.260 1.629 20 0 DDADMM C[C@H](Nc1nccnc1-c1nnn[n-]1)c1nc2ccccc2[nH]1 ZINC000736138955 598348498 /nfs/dbraw/zinc/34/84/98/598348498.db2.gz SOXSHBRFCPXHLP-QMMMGPOBSA-N -1 1 307.321 1.706 20 0 DDADMM C[C@H](Nc1nccnc1-c1nn[n-]n1)c1nc2ccccc2[nH]1 ZINC000736138955 598348499 /nfs/dbraw/zinc/34/84/99/598348499.db2.gz SOXSHBRFCPXHLP-QMMMGPOBSA-N -1 1 307.321 1.706 20 0 DDADMM c1cc(N2CCCC2)ncc1Nc1cnc(-c2nn[n-]n2)cn1 ZINC000738413058 598386079 /nfs/dbraw/zinc/38/60/79/598386079.db2.gz MMKJWLPTXYJMIQ-UHFFFAOYSA-N -1 1 309.337 1.396 20 0 DDADMM c1ccc(N2CC[C@@H](CNc3cnc(-c4nnn[n-]4)cn3)C2)cc1 ZINC000738434653 598387803 /nfs/dbraw/zinc/38/78/03/598387803.db2.gz HOYIVDHTHDNPGK-LBPRGKRZSA-N -1 1 322.376 1.595 20 0 DDADMM c1ccc(N2CC[C@@H](CNc3cnc(-c4nn[n-]n4)cn3)C2)cc1 ZINC000738434653 598387805 /nfs/dbraw/zinc/38/78/05/598387805.db2.gz HOYIVDHTHDNPGK-LBPRGKRZSA-N -1 1 322.376 1.595 20 0 DDADMM Clc1ccc(N2CCn3ccnc3C2)nc1-c1nnn[n-]1 ZINC000737574081 598669541 /nfs/dbraw/zinc/66/95/41/598669541.db2.gz KYCOAYNAECAVMX-UHFFFAOYSA-N -1 1 302.729 1.132 20 0 DDADMM Clc1ccc(N2CCn3ccnc3C2)nc1-c1nn[n-]n1 ZINC000737574081 598669543 /nfs/dbraw/zinc/66/95/43/598669543.db2.gz KYCOAYNAECAVMX-UHFFFAOYSA-N -1 1 302.729 1.132 20 0 DDADMM Cc1cccn2cc(Cn3cccc(-c4nn[n-]n4)c3=O)nc12 ZINC000737437229 598675540 /nfs/dbraw/zinc/67/55/40/598675540.db2.gz YLSJAFJJSPAISY-UHFFFAOYSA-N -1 1 307.317 1.033 20 0 DDADMM COc1ccc(OCCn2cccc(-c3nn[n-]n3)c2=O)cc1 ZINC000737112690 598677415 /nfs/dbraw/zinc/67/74/15/598677415.db2.gz YHMMVHSYCNOLEU-UHFFFAOYSA-N -1 1 313.317 1.116 20 0 DDADMM CC(C)C(=O)NC1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000735684150 599407953 /nfs/dbraw/zinc/40/79/53/599407953.db2.gz CBRGTPHSPMMXGE-UHFFFAOYSA-N -1 1 315.381 1.003 20 0 DDADMM CC(C)C(=O)NC1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000735684150 599407954 /nfs/dbraw/zinc/40/79/54/599407954.db2.gz CBRGTPHSPMMXGE-UHFFFAOYSA-N -1 1 315.381 1.003 20 0 DDADMM CCO[C@H]1C[C@@H](OC(=O)c2ccc(-c3nnn[n-]3)nc2)C1(C)C ZINC000736615894 599618010 /nfs/dbraw/zinc/61/80/10/599618010.db2.gz YWAPCFFQUNGNEZ-NWDGAFQWSA-N -1 1 317.349 1.622 20 0 DDADMM CCO[C@H]1C[C@@H](OC(=O)c2ccc(-c3nn[n-]n3)nc2)C1(C)C ZINC000736615894 599618012 /nfs/dbraw/zinc/61/80/12/599618012.db2.gz YWAPCFFQUNGNEZ-NWDGAFQWSA-N -1 1 317.349 1.622 20 0 DDADMM CCCC[C@@H]1NC(=O)N(CN2CCCC[C@H]2CC(=O)[O-])C1=O ZINC000736984212 599838646 /nfs/dbraw/zinc/83/86/46/599838646.db2.gz JXUCSLREDYXHOY-RYUDHWBXSA-N -1 1 311.382 1.384 20 0 DDADMM Cn1cc(CN2CCCN(Cc3occc3C(=O)[O-])CC2)cn1 ZINC000739033088 599918658 /nfs/dbraw/zinc/91/86/58/599918658.db2.gz FDMVLFJEJGGENA-UHFFFAOYSA-N -1 1 318.377 1.419 20 0 DDADMM CN1CCN(Cc2cccc(NC(=O)[C@H]3C[C@@H]3C(=O)[O-])c2)CC1 ZINC000737529478 600076954 /nfs/dbraw/zinc/07/69/54/600076954.db2.gz XXPLAYPOGXXWLP-GJZGRUSLSA-N -1 1 317.389 1.093 20 0 DDADMM Cc1ccc(CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)C2CC2)o1 ZINC000738593265 600130097 /nfs/dbraw/zinc/13/00/97/600130097.db2.gz VWQBMBBFQZRYOD-CYBMUJFWSA-N -1 1 320.389 1.876 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCO[C@H]3CCCC[C@@H]32)CCCCC1 ZINC000739308637 600148813 /nfs/dbraw/zinc/14/88/13/600148813.db2.gz SDHMXDQYAVGJMP-KBPBESRZSA-N -1 1 324.421 1.534 20 0 DDADMM CC(C)[C@@]1(C)CC(=O)N(CN2CCCC[C@H]2CC(=O)[O-])C1=O ZINC000736390981 600259630 /nfs/dbraw/zinc/25/96/30/600259630.db2.gz MNIMXJZLCVUCIO-BLLLJJGKSA-N -1 1 310.394 1.694 20 0 DDADMM CC(C)(C)CN(CCO)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000736261478 600302791 /nfs/dbraw/zinc/30/27/91/600302791.db2.gz OQWWTJNJJORQSH-UHFFFAOYSA-N -1 1 314.426 1.231 20 0 DDADMM O=C([O-])CCNC(=O)CN1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000739735617 600335543 /nfs/dbraw/zinc/33/55/43/600335543.db2.gz ZZEMUEQZHHUNKW-LBPRGKRZSA-N -1 1 310.781 1.720 20 0 DDADMM Cc1cc(CNC(=O)Nc2ccc(CNC(=O)[O-])cc2)n[nH]1 ZINC000738388761 600349373 /nfs/dbraw/zinc/34/93/73/600349373.db2.gz MGHPBKNHERRWAV-UHFFFAOYSA-N -1 1 303.322 1.807 20 0 DDADMM CCCN(Cn1cnc(C(=O)[O-])n1)[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000737021579 600706170 /nfs/dbraw/zinc/70/61/70/600706170.db2.gz XRTSTECKJHUGDM-IJLUTSLNSA-N -1 1 308.382 1.459 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)CN(C)CCc1cccs1)C(=O)[O-] ZINC000828707628 600847411 /nfs/dbraw/zinc/84/74/11/600847411.db2.gz HLVRBVJJBCESHR-BXUZGUMPSA-N -1 1 312.435 1.838 20 0 DDADMM Cc1nnc(SCC(=O)N2Cc3ccc(C(=O)[O-])cc3C2)[nH]1 ZINC000832990544 600938425 /nfs/dbraw/zinc/93/84/25/600938425.db2.gz FILCDLCPQBCSGE-UHFFFAOYSA-N -1 1 318.358 1.446 20 0 DDADMM Cc1n[nH]c(SCC(=O)N2Cc3ccc(C(=O)[O-])cc3C2)n1 ZINC000832990544 600938427 /nfs/dbraw/zinc/93/84/27/600938427.db2.gz FILCDLCPQBCSGE-UHFFFAOYSA-N -1 1 318.358 1.446 20 0 DDADMM Cc1cc(S(=O)(=O)Nc2ccc(C#N)cn2)ccc1C(=O)[O-] ZINC000318897200 600980856 /nfs/dbraw/zinc/98/08/56/600980856.db2.gz PFLQYQGKMCGJNK-UHFFFAOYSA-N -1 1 317.326 1.761 20 0 DDADMM Cc1ccc(CN(C(=O)CN[C@](C)(C(=O)[O-])C2CC2)C2CC2)o1 ZINC000832931363 601033375 /nfs/dbraw/zinc/03/33/75/601033375.db2.gz YHLBOOPNDGOING-KRWDZBQOSA-N -1 1 320.389 1.922 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)CCc1cccs1 ZINC000825978081 601034553 /nfs/dbraw/zinc/03/45/53/601034553.db2.gz WWNUUAKKMMGKAI-GFCCVEGCSA-N -1 1 324.446 1.934 20 0 DDADMM CCc1ccc(O)c(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000830254078 601122332 /nfs/dbraw/zinc/12/23/32/601122332.db2.gz TZBDZVIEUHSNKP-LBPRGKRZSA-N -1 1 320.389 1.576 20 0 DDADMM Cc1n[nH]c(CCNC(=O)CCc2ccc(C(=O)[O-])cc2)n1 ZINC000832997441 601193114 /nfs/dbraw/zinc/19/31/14/601193114.db2.gz CPAJAAYUAXCFEF-UHFFFAOYSA-N -1 1 302.334 1.103 20 0 DDADMM CC1(OCC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCC1 ZINC000824843348 607512997 /nfs/dbraw/zinc/51/29/97/607512997.db2.gz CNIJXLWFPZEHEB-UHFFFAOYSA-N -1 1 303.322 1.470 20 0 DDADMM Cc1cc(CC(=O)NC[C@H](C(=O)[O-])c2ccc(C)cc2)[nH]n1 ZINC000832922320 601680759 /nfs/dbraw/zinc/68/07/59/601680759.db2.gz PDXWVXOQAXOCAR-AWEZNQCLSA-N -1 1 301.346 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H](Cc2ccccc2)N(C)C)C[C@H]1C(=O)[O-] ZINC000828317468 601698611 /nfs/dbraw/zinc/69/86/11/601698611.db2.gz GCFDQIRDJWGZKP-BPLDGKMQSA-N -1 1 304.390 1.338 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@@](F)(C(=O)[O-])C1 ZINC000832847169 601711308 /nfs/dbraw/zinc/71/13/08/601711308.db2.gz ATBOKHSYXNPFRW-JTQLQIEISA-N -1 1 309.219 1.376 20 0 DDADMM CCN(Cc1cccc(F)c1)C(=O)CN[C@H](C(=O)[O-])C(C)C ZINC000829722534 601825025 /nfs/dbraw/zinc/82/50/25/601825025.db2.gz MHFPJEJDPXAMQT-HNNXBMFYSA-N -1 1 310.369 1.873 20 0 DDADMM Cc1csc(S(=O)(=O)Cc2ccnc(-c3nnn[n-]3)c2)n1 ZINC000826320775 607530842 /nfs/dbraw/zinc/53/08/42/607530842.db2.gz DBZYYPWVGZHREL-UHFFFAOYSA-N -1 1 322.375 1.001 20 0 DDADMM Cc1csc(S(=O)(=O)Cc2ccnc(-c3nn[n-]n3)c2)n1 ZINC000826320775 607530844 /nfs/dbraw/zinc/53/08/44/607530844.db2.gz DBZYYPWVGZHREL-UHFFFAOYSA-N -1 1 322.375 1.001 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@@H](C)NC(=O)[O-])N2CCCC2)o1 ZINC000740111817 601888543 /nfs/dbraw/zinc/88/85/43/601888543.db2.gz AAITUKDIHYOTGR-NEPJUHHUSA-N -1 1 309.366 1.497 20 0 DDADMM CC(C)(C)NC(=O)CN1CCCC[C@@H]1[C@H]1CCCN1C(=O)[O-] ZINC000738702797 601928350 /nfs/dbraw/zinc/92/83/50/601928350.db2.gz ZXDFZBHCAJJWTL-CHWSQXEVSA-N -1 1 311.426 1.898 20 0 DDADMM COc1ccccc1NC(=O)[C@@H](C)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000832622630 601930562 /nfs/dbraw/zinc/93/05/62/601930562.db2.gz DWPIPHIOBMKRJS-BDJLRTHQSA-N -1 1 306.362 1.819 20 0 DDADMM CCOCCN1CCN(Cc2ccc(C(=O)[O-])s2)C[C@H]1C ZINC000830011916 601952032 /nfs/dbraw/zinc/95/20/32/601952032.db2.gz QEDLXRQJGQLCKC-GFCCVEGCSA-N -1 1 312.435 1.989 20 0 DDADMM CCN(C(=O)CN1CC[C@@H](CNC(=O)[O-])C1)c1ccccc1 ZINC000739380406 601952836 /nfs/dbraw/zinc/95/28/36/601952836.db2.gz JKZMWNPFQBVNJD-ZDUSSCGKSA-N -1 1 305.378 1.629 20 0 DDADMM Cc1nc([C@H]2CN(Cc3ccc(C(=O)[O-])s3)CCO2)n[nH]1 ZINC000832991762 601960322 /nfs/dbraw/zinc/96/03/22/601960322.db2.gz PVTNBEBHNJKHOP-SNVBAGLBSA-N -1 1 308.363 1.446 20 0 DDADMM Cc1cc(NCc2ccnc(-c3nnn[n-]3)c2)ccc1C(N)=O ZINC000826271238 607550809 /nfs/dbraw/zinc/55/08/09/607550809.db2.gz JFVQENJWQSRBAW-UHFFFAOYSA-N -1 1 309.333 1.281 20 0 DDADMM Cc1cc(NCc2ccnc(-c3nn[n-]n3)c2)ccc1C(N)=O ZINC000826271238 607550814 /nfs/dbraw/zinc/55/08/14/607550814.db2.gz JFVQENJWQSRBAW-UHFFFAOYSA-N -1 1 309.333 1.281 20 0 DDADMM CC(C)C(=O)N1CCN(CCC2CCN(C(=O)[O-])CC2)CC1 ZINC000738764169 602282221 /nfs/dbraw/zinc/28/22/21/602282221.db2.gz LRXBUORUNNDVOU-UHFFFAOYSA-N -1 1 311.426 1.567 20 0 DDADMM CCN(C)[C@H](C(=O)NCCCN(C)C(=O)[O-])c1ccccc1 ZINC000739385216 602318634 /nfs/dbraw/zinc/31/86/34/602318634.db2.gz PYBQQRACCGBSOX-AWEZNQCLSA-N -1 1 307.394 1.796 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(CC(=O)N2CCCC[C@H]2C)CC1 ZINC000739030314 602464524 /nfs/dbraw/zinc/46/45/24/602464524.db2.gz ZTYUQYMUUFXYRZ-OLZOCXBDSA-N -1 1 311.426 1.756 20 0 DDADMM CCN(CCNC(=O)[C@@H]1CCCN1Cc1ccccc1)C(=O)[O-] ZINC000739424200 602472308 /nfs/dbraw/zinc/47/23/08/602472308.db2.gz NASMJAIZMJFYNU-HNNXBMFYSA-N -1 1 319.405 1.767 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000739265769 602536252 /nfs/dbraw/zinc/53/62/52/602536252.db2.gz DMSLFKNTSCDGHB-UONOGXRCSA-N -1 1 311.426 1.709 20 0 DDADMM CN(C[C@H]1CCN(CC(=O)NC2CCCCCC2)C1)C(=O)[O-] ZINC000739661885 602538721 /nfs/dbraw/zinc/53/87/21/602538721.db2.gz UODTVNJEQDNGSS-CYBMUJFWSA-N -1 1 311.426 1.757 20 0 DDADMM Cc1cccn2c(=O)cc(CN(C)[C@H](C)CNC(=O)[O-])nc12 ZINC000740189963 602558821 /nfs/dbraw/zinc/55/88/21/602558821.db2.gz GAQOBJGTLKZBKV-LLVKDONJSA-N -1 1 304.350 1.091 20 0 DDADMM CCCN(CC(=O)N(C)Cc1ccco1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739347304 602578064 /nfs/dbraw/zinc/57/80/64/602578064.db2.gz DVMSEVHOCTUDEU-CYBMUJFWSA-N -1 1 323.393 1.702 20 0 DDADMM CN1CCC[C@H]1C(=O)Nc1ccc2c(c1)CN(C(=O)[O-])CC2 ZINC000739728113 602595479 /nfs/dbraw/zinc/59/54/79/602595479.db2.gz RAZVULUWMAMLIA-AWEZNQCLSA-N -1 1 303.362 1.755 20 0 DDADMM CCN(C)[C@H](C(=O)NC[C@H]1CCCN1C(=O)[O-])c1ccccc1 ZINC000739385690 602606336 /nfs/dbraw/zinc/60/63/36/602606336.db2.gz TUZYUHWUPYHUCA-CABCVRRESA-N -1 1 319.405 1.938 20 0 DDADMM C[C@@H]1C[C@H](C)CN(C(=O)CN2CC[C@H](NC(=O)[O-])[C@@H](C)C2)C1 ZINC000739073167 602770672 /nfs/dbraw/zinc/77/06/72/602770672.db2.gz FOURTOPQANWTDY-CRWXNKLISA-N -1 1 311.426 1.469 20 0 DDADMM CC[N@@H+]1CCC[C@@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739458462 602855242 /nfs/dbraw/zinc/85/52/42/602855242.db2.gz XZWOGQYBRRSJGS-NSHDSACASA-N -1 1 321.377 1.944 20 0 DDADMM CC[N@H+]1CCC[C@@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739458462 602855244 /nfs/dbraw/zinc/85/52/44/602855244.db2.gz XZWOGQYBRRSJGS-NSHDSACASA-N -1 1 321.377 1.944 20 0 DDADMM Cc1cc(CNC(=O)[C@@H]2C[C@@H]3CCCC[C@@H]3N2C(=O)[O-])n[nH]1 ZINC000740074261 602857340 /nfs/dbraw/zinc/85/73/40/602857340.db2.gz WGCXLYCNABPLHX-DRZSPHRISA-N -1 1 306.366 1.645 20 0 DDADMM CCN(CCCNC(=O)N1CCN(C)C[C@@H]1C(C)C)C(=O)[O-] ZINC000739417788 602906952 /nfs/dbraw/zinc/90/69/52/602906952.db2.gz UKRDEUUPKGNJHG-CYBMUJFWSA-N -1 1 314.430 1.358 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(-c3ccccc3)[nH]n2)CCN1C(=O)[O-] ZINC000739164312 602938835 /nfs/dbraw/zinc/93/88/35/602938835.db2.gz JMVDTLVIUVNUHE-NSHDSACASA-N -1 1 314.345 1.901 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CCN(C(=O)[O-])[C@H](C)C1)c1ccccc1 ZINC000739385657 602941555 /nfs/dbraw/zinc/94/15/55/602941555.db2.gz SHEDSPSKDHZOGT-UKRRQHHQSA-N -1 1 319.405 1.890 20 0 DDADMM O=C([O-])N1CCC(C(=O)Nc2ccn(-c3ccncc3)n2)CC1 ZINC000738514799 603033072 /nfs/dbraw/zinc/03/30/72/603033072.db2.gz NGPPYMPDOXWNQD-UHFFFAOYSA-N -1 1 315.333 1.596 20 0 DDADMM CN(CCNC(=O)[C@@H]1CCCCN1Cc1ccccc1)C(=O)[O-] ZINC000739689459 603092194 /nfs/dbraw/zinc/09/21/94/603092194.db2.gz VFBPPOXBKLJVHO-HNNXBMFYSA-N -1 1 319.405 1.767 20 0 DDADMM C[C@H](C(=O)N(C)CCCNC(=O)[O-])N(C)Cc1ccccc1 ZINC000738598567 603181586 /nfs/dbraw/zinc/18/15/86/603181586.db2.gz AUKVLSLKTQLCAH-CYBMUJFWSA-N -1 1 307.394 1.623 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)N[C@H]1CCOc2ccccc21 ZINC000739683043 603250095 /nfs/dbraw/zinc/25/00/95/603250095.db2.gz YCVGQOVYORNVHJ-ZDUSSCGKSA-N -1 1 321.377 1.216 20 0 DDADMM O=C([O-])NCCCC(=O)NC1CCN(Cc2cccnc2)CC1 ZINC000740642465 603332303 /nfs/dbraw/zinc/33/23/03/603332303.db2.gz DVCGNWOXSRZRBM-UHFFFAOYSA-N -1 1 320.393 1.210 20 0 DDADMM C[C@@H]1CN(Cn2nccc2-c2ccncc2)CC[C@@H]1NC(=O)[O-] ZINC000739187981 603393354 /nfs/dbraw/zinc/39/33/54/603393354.db2.gz LBEVFSBYIAVKRG-OCCSQVGLSA-N -1 1 315.377 1.881 20 0 DDADMM CC(C)[C@H](NCc1ccc(NC(=O)[O-])nc1)C(=O)N1CCCC1 ZINC000824453449 603551888 /nfs/dbraw/zinc/55/18/88/603551888.db2.gz KUEHEPUTFNJMIT-AWEZNQCLSA-N -1 1 320.393 1.908 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)C2(CNC(=O)[O-])CCCC2)c1 ZINC000828046376 603700887 /nfs/dbraw/zinc/70/08/87/603700887.db2.gz LOEJMUDMSKFYHJ-UHFFFAOYSA-N -1 1 320.393 1.910 20 0 DDADMM O=C([O-])N1CCC[C@H](C(=O)NCc2nc3ccc(F)cc3[nH]2)C1 ZINC000831731115 603737914 /nfs/dbraw/zinc/73/79/14/603737914.db2.gz QPOYWIRGYZOVNV-VIFPVBQESA-N -1 1 320.324 1.708 20 0 DDADMM O=C([O-])Nc1ccc(CC(=O)OCCN2CCSCC2)cc1 ZINC000832841722 603920689 /nfs/dbraw/zinc/92/06/89/603920689.db2.gz OJFUCTMEHBVPLZ-UHFFFAOYSA-N -1 1 324.402 1.911 20 0 DDADMM C[C@@H](NC(=O)CC[C@@H](NC(=O)[O-])c1ccccc1)c1nnc[nH]1 ZINC000825114004 603939033 /nfs/dbraw/zinc/93/90/33/603939033.db2.gz BFMWHUBRXRYMSQ-ZYHUDNBSSA-N -1 1 317.349 1.771 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NC[C@H]1CCCN1C(=O)[O-] ZINC000829834016 603940995 /nfs/dbraw/zinc/94/09/95/603940995.db2.gz PGKFIDXUIXZEQT-SSDOTTSWSA-N -1 1 320.271 1.609 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000827816473 603943755 /nfs/dbraw/zinc/94/37/55/603943755.db2.gz VJZNVJYYHLPHGD-QWRGUYRKSA-N -1 1 306.366 1.430 20 0 DDADMM O=C([O-])N1CCC(CNC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000831645588 603944966 /nfs/dbraw/zinc/94/49/66/603944966.db2.gz DEYVQNBHAJLYCK-NSHDSACASA-N -1 1 306.366 1.336 20 0 DDADMM C[C@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1CNc1ncnc2[nH]cnc21 ZINC000826125409 604018520 /nfs/dbraw/zinc/01/85/20/604018520.db2.gz HQKJUAZVWNNDHI-HTQZYQBOSA-N -1 1 306.326 1.220 20 0 DDADMM CN(C)C(=O)c1cccc(CN2CCC[C@@H]2CNC(=O)[O-])c1 ZINC000827988682 604084930 /nfs/dbraw/zinc/08/49/30/604084930.db2.gz KLEWKZLNVHOCRY-CQSZACIVSA-N -1 1 305.378 1.620 20 0 DDADMM CC(C)S(=O)(=O)CCCN1CCC[C@@H]([C@@H](C)NC(=O)[O-])C1 ZINC000825266850 604161993 /nfs/dbraw/zinc/16/19/93/604161993.db2.gz WYWKPCRAGYXCHU-CHWSQXEVSA-N -1 1 320.455 1.568 20 0 DDADMM CCc1cc(C(=O)N2CCC(N(CC3CC3)C(=O)[O-])CC2)n[nH]1 ZINC000827557434 604245185 /nfs/dbraw/zinc/24/51/85/604245185.db2.gz SYFKPVGIBOHGPE-UHFFFAOYSA-N -1 1 320.393 1.967 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(Cc2cnc3ccnn3c2)C1 ZINC000825272610 604251703 /nfs/dbraw/zinc/25/17/03/604251703.db2.gz MNIPPDHVPRHJRL-AAEUAGOBSA-N -1 1 303.366 1.597 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)c1ccc(C(=O)[O-])c(F)c1 ZINC000826741700 604282277 /nfs/dbraw/zinc/28/22/77/604282277.db2.gz LYYVEPSXQXJEJF-AWEZNQCLSA-N -1 1 308.353 1.936 20 0 DDADMM Cc1cc(CNC(=O)C[C@H](C)[C@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000830041755 604355389 /nfs/dbraw/zinc/35/53/89/604355389.db2.gz SJBBSIPZGGRJQK-JQWIXIFHSA-N -1 1 308.382 1.751 20 0 DDADMM O=C([O-])c1cc(C(=O)N2CCN(C3CCCCC3)CC2)ccn1 ZINC000833295963 604412439 /nfs/dbraw/zinc/41/24/39/604412439.db2.gz HPYRBFMZGPTMSJ-UHFFFAOYSA-N -1 1 317.389 1.870 20 0 DDADMM Cn1ccnc1[C@H](O)[C@@H]1CCCN(Cc2cc(C(=O)[O-])co2)C1 ZINC000833059415 604425167 /nfs/dbraw/zinc/42/51/67/604425167.db2.gz SRPTXLCRPRGSJN-BXUZGUMPSA-N -1 1 319.361 1.657 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@@H]3CCCN(C(=O)[O-])C3)n2)o1 ZINC000830159566 604585234 /nfs/dbraw/zinc/58/52/34/604585234.db2.gz GELRXXZMUONXSZ-SECBINFHSA-N -1 1 319.321 1.702 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)N1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000826742632 604646156 /nfs/dbraw/zinc/64/61/56/604646156.db2.gz DCKCJNWEYQBJNE-GHMZBOCLSA-N -1 1 309.370 1.255 20 0 DDADMM COc1ccccc1[C@@H](C)NCC(=O)N1CCN(C(=O)[O-])CC1 ZINC000829592404 604770899 /nfs/dbraw/zinc/77/08/99/604770899.db2.gz QIUIQPCGBKILPR-GFCCVEGCSA-N -1 1 321.377 1.168 20 0 DDADMM CC[C@]1(C)CC(=O)N(CN2CCC[C@H](C(C)(C)C(=O)[O-])C2)C1=O ZINC000833646533 604865127 /nfs/dbraw/zinc/86/51/27/604865127.db2.gz PHSPIPMNPPNWEG-YVEFUNNKSA-N -1 1 324.421 1.942 20 0 DDADMM Cc1sc2[nH]c(CN(CC(=O)[O-])C(C)C)nc(=O)c2c1C ZINC000037995332 604919164 /nfs/dbraw/zinc/91/91/64/604919164.db2.gz IEEUBKCSBIMTRW-UHFFFAOYSA-N -1 1 309.391 1.896 20 0 DDADMM CC(C)N(CCN(C)C)S(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000833498933 604931186 /nfs/dbraw/zinc/93/11/86/604931186.db2.gz ZJHSFTPRXRRRJK-UHFFFAOYSA-N -1 1 314.407 1.346 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1CN1C[C@@H](c2ccccn2)CC1=O ZINC000833210278 604978730 /nfs/dbraw/zinc/97/87/30/604978730.db2.gz GEORFAVHCLAFAA-STQMWFEESA-N -1 1 303.362 1.294 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)Cc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000833673384 605023534 /nfs/dbraw/zinc/02/35/34/605023534.db2.gz KKEOGQYUNHCUMM-SECBINFHSA-N -1 1 302.334 1.485 20 0 DDADMM C[C@@H](C[C@@H](O)c1cccs1)Nc1nccnc1-c1nnn[n-]1 ZINC000824394173 607732979 /nfs/dbraw/zinc/73/29/79/607732979.db2.gz WDHKORFSGLYFBY-DTWKUNHWSA-N -1 1 317.378 1.642 20 0 DDADMM C[C@@H](C[C@@H](O)c1cccs1)Nc1nccnc1-c1nn[n-]n1 ZINC000824394173 607732981 /nfs/dbraw/zinc/73/29/81/607732981.db2.gz WDHKORFSGLYFBY-DTWKUNHWSA-N -1 1 317.378 1.642 20 0 DDADMM CCN1C[C@H](C)N(C(=O)N[C@H]2CCCN(C(=O)[O-])C2)C[C@H]1C ZINC000833658575 605121432 /nfs/dbraw/zinc/12/14/32/605121432.db2.gz TZIYPHARVZWZNU-AGIUHOORSA-N -1 1 312.414 1.253 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc3cn[nH]c32)CCN1CCC(=O)[O-] ZINC000833624107 605256832 /nfs/dbraw/zinc/25/68/32/605256832.db2.gz TWQWEWLQLYSANQ-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc3c[nH]nc32)CCN1CCC(=O)[O-] ZINC000833624107 605256833 /nfs/dbraw/zinc/25/68/33/605256833.db2.gz TWQWEWLQLYSANQ-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N[C@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000830036102 605293320 /nfs/dbraw/zinc/29/33/20/605293320.db2.gz JJSRLOYCNQPZTO-ONGXEEELSA-N -1 1 309.370 1.091 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCC[C@H](NC(=O)C(C)C)C2)O1 ZINC000833584604 605328394 /nfs/dbraw/zinc/32/83/94/605328394.db2.gz OHQCNUSUECCOCZ-QWHCGFSZSA-N -1 1 310.394 1.371 20 0 DDADMM O=C([O-])N[C@H]1CC[C@H](C(=O)Nc2ccccc2-c2nnc[nH]2)C1 ZINC000834169281 605381772 /nfs/dbraw/zinc/38/17/72/605381772.db2.gz KURWAZTXKJNZMB-UWVGGRQHSA-N -1 1 315.333 1.847 20 0 DDADMM O=C([O-])N[C@H]1CCCN(Cc2ccc(-n3cncn3)c(F)c2)C1 ZINC000834182925 605486940 /nfs/dbraw/zinc/48/69/40/605486940.db2.gz OFMDADZBIWDRMN-LBPRGKRZSA-N -1 1 319.340 1.638 20 0 DDADMM O=C([O-])N1CC[C@@H](CCC(=O)N2CCC(c3nc[nH]n3)CC2)C1 ZINC000834071965 605501098 /nfs/dbraw/zinc/50/10/98/605501098.db2.gz MDBWIPNABGOTIF-LLVKDONJSA-N -1 1 321.381 1.291 20 0 DDADMM Cn1cc([C@H](N[C@H]2CCN(C(=O)[O-])C2)c2cccc(F)c2)cn1 ZINC000833946942 605612444 /nfs/dbraw/zinc/61/24/44/605612444.db2.gz OVCBRZLACQMAFM-LSDHHAIUSA-N -1 1 318.352 1.991 20 0 DDADMM C[C@@H](O)[C@@H]1CCN(CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC000833526220 605698382 /nfs/dbraw/zinc/69/83/82/605698382.db2.gz GUERDIBCCOQDIW-VXGBXAGGSA-N -1 1 306.362 1.050 20 0 DDADMM O=C([O-])N1CC[C@H](NC[C@](O)(c2ccccc2)C(F)(F)F)C1 ZINC000831952933 605847233 /nfs/dbraw/zinc/84/72/33/605847233.db2.gz CWQJTBGELQCCRB-AAEUAGOBSA-N -1 1 318.295 1.778 20 0 DDADMM O=C([O-])N[C@@H](CNC(=O)NCCN1CCC1)c1ccccc1 ZINC000834144689 605953449 /nfs/dbraw/zinc/95/34/49/605953449.db2.gz LWAKQRNBOWWONT-ZDUSSCGKSA-N -1 1 306.366 1.000 20 0 DDADMM O=C([O-])NC1CCC(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CC1 ZINC000834171801 605960183 /nfs/dbraw/zinc/96/01/83/605960183.db2.gz XMAPGDRHBPACKI-VQXHTEKXSA-N -1 1 306.366 1.210 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2[nH]cnc21 ZINC000834094337 605986489 /nfs/dbraw/zinc/98/64/89/605986489.db2.gz HPWCUMLVDICYFY-MNOVXSKESA-N -1 1 314.345 1.920 20 0 DDADMM O=C(CN(C(=O)[O-])C1CC1)OC[C@@H]1CCCN1Cc1ccco1 ZINC000834002942 606092153 /nfs/dbraw/zinc/09/21/53/606092153.db2.gz PNJQBQOBOXVMIH-ZDUSSCGKSA-N -1 1 322.361 1.930 20 0 DDADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)OC1CCC1 ZINC000822009671 606169743 /nfs/dbraw/zinc/16/97/43/606169743.db2.gz AWJRRJOYYOZRQS-UHFFFAOYSA-N -1 1 317.349 1.612 20 0 DDADMM CC[C@H]1CCC[C@@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820971929 606171883 /nfs/dbraw/zinc/17/18/83/606171883.db2.gz IFCKJDFETGUUGR-VHSXEESVSA-N -1 1 318.385 1.691 20 0 DDADMM CC[C@H]1CCC[C@@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820971929 606171884 /nfs/dbraw/zinc/17/18/84/606171884.db2.gz IFCKJDFETGUUGR-VHSXEESVSA-N -1 1 318.385 1.691 20 0 DDADMM CCCCOCCCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821073013 606517951 /nfs/dbraw/zinc/51/79/51/606517951.db2.gz XJZBUEHNOIUWQQ-UHFFFAOYSA-N -1 1 309.395 1.865 20 0 DDADMM CCCCOCCCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821073013 606517953 /nfs/dbraw/zinc/51/79/53/606517953.db2.gz XJZBUEHNOIUWQQ-UHFFFAOYSA-N -1 1 309.395 1.865 20 0 DDADMM CN(C)c1ccc(NC(=O)c2ccc(-c3nnn[n-]3)s2)nc1 ZINC000821589481 606538091 /nfs/dbraw/zinc/53/80/91/606538091.db2.gz PAYYXPMVFOBTNL-UHFFFAOYSA-N -1 1 315.362 1.642 20 0 DDADMM CN(C)c1ccc(NC(=O)c2ccc(-c3nn[n-]n3)s2)nc1 ZINC000821589481 606538093 /nfs/dbraw/zinc/53/80/93/606538093.db2.gz PAYYXPMVFOBTNL-UHFFFAOYSA-N -1 1 315.362 1.642 20 0 DDADMM O=C(NCc1nc(C2CC2)no1)c1ccc(-c2nnn[n-]2)s1 ZINC000823269220 606538709 /nfs/dbraw/zinc/53/87/09/606538709.db2.gz ATWKVGPXLUZBND-UHFFFAOYSA-N -1 1 317.334 1.119 20 0 DDADMM O=C(NCc1nc(C2CC2)no1)c1ccc(-c2nn[n-]n2)s1 ZINC000823269220 606538711 /nfs/dbraw/zinc/53/87/11/606538711.db2.gz ATWKVGPXLUZBND-UHFFFAOYSA-N -1 1 317.334 1.119 20 0 DDADMM CCN(Cc1ccncc1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821230523 606542551 /nfs/dbraw/zinc/54/25/51/606542551.db2.gz MNDCVOLBDJHSTB-UHFFFAOYSA-N -1 1 314.374 1.986 20 0 DDADMM CCN(Cc1ccncc1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821230523 606542553 /nfs/dbraw/zinc/54/25/53/606542553.db2.gz MNDCVOLBDJHSTB-UHFFFAOYSA-N -1 1 314.374 1.986 20 0 DDADMM Cc1nn(C)cc1[C@H](C)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822496408 606547562 /nfs/dbraw/zinc/54/75/62/606547562.db2.gz MBLNMHGZKNANSS-ZETCQYMHSA-N -1 1 317.378 1.461 20 0 DDADMM Cc1nn(C)cc1[C@H](C)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822496408 606547563 /nfs/dbraw/zinc/54/75/63/606547563.db2.gz MBLNMHGZKNANSS-ZETCQYMHSA-N -1 1 317.378 1.461 20 0 DDADMM CC(C)C[C@H](C)OCCC(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000820057351 606694751 /nfs/dbraw/zinc/69/47/51/606694751.db2.gz YVXXGVSETHBCAR-VIFPVBQESA-N -1 1 307.358 1.127 20 0 DDADMM CCO[C@H](CC(=O)OCc1ccnc(-c2nnn[n-]2)c1)C(C)C ZINC000821351631 606762453 /nfs/dbraw/zinc/76/24/53/606762453.db2.gz UGQLUPNNOUMTNZ-CYBMUJFWSA-N -1 1 319.365 1.756 20 0 DDADMM CCO[C@H](CC(=O)OCc1ccnc(-c2nn[n-]n2)c1)C(C)C ZINC000821351631 606762454 /nfs/dbraw/zinc/76/24/54/606762454.db2.gz UGQLUPNNOUMTNZ-CYBMUJFWSA-N -1 1 319.365 1.756 20 0 DDADMM CC[C@@H]1CC[C@@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])O1 ZINC000820971694 606849913 /nfs/dbraw/zinc/84/99/13/606849913.db2.gz DWNQXQXUAVAIQP-SKDRFNHKSA-N -1 1 303.322 1.468 20 0 DDADMM COCC[C@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)C ZINC000821685336 606897449 /nfs/dbraw/zinc/89/74/49/606897449.db2.gz AHFHCSQQTJYVBZ-LBPRGKRZSA-N -1 1 305.338 1.480 20 0 DDADMM COCC[C@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)C ZINC000821685336 606897452 /nfs/dbraw/zinc/89/74/52/606897452.db2.gz AHFHCSQQTJYVBZ-LBPRGKRZSA-N -1 1 305.338 1.480 20 0 DDADMM C[C@@H](C[C@@H](O)c1ccco1)Nc1nccnc1-c1nnn[n-]1 ZINC000820231121 607045412 /nfs/dbraw/zinc/04/54/12/607045412.db2.gz ASDNYVNPTMXYDT-DTWKUNHWSA-N -1 1 301.310 1.174 20 0 DDADMM C[C@@H](C[C@@H](O)c1ccco1)Nc1nccnc1-c1nn[n-]n1 ZINC000820231121 607045414 /nfs/dbraw/zinc/04/54/14/607045414.db2.gz ASDNYVNPTMXYDT-DTWKUNHWSA-N -1 1 301.310 1.174 20 0 DDADMM Fc1ccc2c(c1F)CN(c1nccnc1-c1nnn[n-]1)CC2 ZINC000822786071 607131494 /nfs/dbraw/zinc/13/14/94/607131494.db2.gz CDMSRYOMUORWDI-UHFFFAOYSA-N -1 1 315.287 1.498 20 0 DDADMM Fc1ccc2c(c1F)CN(c1nccnc1-c1nn[n-]n1)CC2 ZINC000822786071 607131495 /nfs/dbraw/zinc/13/14/95/607131495.db2.gz CDMSRYOMUORWDI-UHFFFAOYSA-N -1 1 315.287 1.498 20 0 DDADMM OCc1ccc(CNc2cccc(-c3nnn[n-]3)n2)cc1F ZINC000826510338 607897664 /nfs/dbraw/zinc/89/76/64/607897664.db2.gz LAXMMELMTAQUFX-UHFFFAOYSA-N -1 1 300.297 1.505 20 0 DDADMM OCc1ccc(CNc2cccc(-c3nn[n-]n3)n2)cc1F ZINC000826510338 607897665 /nfs/dbraw/zinc/89/76/65/607897665.db2.gz LAXMMELMTAQUFX-UHFFFAOYSA-N -1 1 300.297 1.505 20 0 DDADMM Cc1ncc2c(n1)CC[C@@H](Nc1cccc(-c3nnn[n-]3)n1)C2 ZINC000826330089 607898327 /nfs/dbraw/zinc/89/83/27/607898327.db2.gz FEUYKIGFQMZQHK-LLVKDONJSA-N -1 1 308.349 1.330 20 0 DDADMM Cc1ncc2c(n1)CC[C@@H](Nc1cccc(-c3nn[n-]n3)n1)C2 ZINC000826330089 607898328 /nfs/dbraw/zinc/89/83/28/607898328.db2.gz FEUYKIGFQMZQHK-LLVKDONJSA-N -1 1 308.349 1.330 20 0 DDADMM CN1C(=O)CCc2cc(Nc3cccc(-c4nnn[n-]4)n3)ccc21 ZINC000826011140 607898997 /nfs/dbraw/zinc/89/89/97/607898997.db2.gz YTWUDEWGKTWJIG-UHFFFAOYSA-N -1 1 321.344 1.914 20 0 DDADMM CN1C(=O)CCc2cc(Nc3cccc(-c4nn[n-]n4)n3)ccc21 ZINC000826011140 607898998 /nfs/dbraw/zinc/89/89/98/607898998.db2.gz YTWUDEWGKTWJIG-UHFFFAOYSA-N -1 1 321.344 1.914 20 0 DDADMM CO[C@@H]1CC[C@H]2OCCN(c3cccc(-c4nnn[n-]4)n3)[C@H]2C1 ZINC000826146136 607903393 /nfs/dbraw/zinc/90/33/93/607903393.db2.gz QTSBASOANRKTIM-KGYLQXTDSA-N -1 1 316.365 1.034 20 0 DDADMM CO[C@@H]1CC[C@H]2OCCN(c3cccc(-c4nn[n-]n4)n3)[C@H]2C1 ZINC000826146136 607903394 /nfs/dbraw/zinc/90/33/94/607903394.db2.gz QTSBASOANRKTIM-KGYLQXTDSA-N -1 1 316.365 1.034 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cccc2n[nH]cc21 ZINC000826450945 607998217 /nfs/dbraw/zinc/99/82/17/607998217.db2.gz JOSLCWQWAVCAML-UHFFFAOYSA-N -1 1 321.300 1.701 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)C=Cc1cc2ccccc2o1 ZINC000826394889 608078186 /nfs/dbraw/zinc/07/81/86/608078186.db2.gz CVXHEEIILRXHPJ-WAYWQWQTSA-N -1 1 321.300 1.745 20 0 DDADMM C[C@H]1CCCC[C@H]1N(C)C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000824938239 608148286 /nfs/dbraw/zinc/14/82/86/608148286.db2.gz UZNSAYWEBXWVNU-WDEREUQCSA-N -1 1 303.370 1.100 20 0 DDADMM C[C@H]1CCCC[C@H]1N(C)C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000824938239 608148288 /nfs/dbraw/zinc/14/82/88/608148288.db2.gz UZNSAYWEBXWVNU-WDEREUQCSA-N -1 1 303.370 1.100 20 0 DDADMM CC(C)(O)[C@@H]1CCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000824171542 608193821 /nfs/dbraw/zinc/19/38/21/608193821.db2.gz BMZQURUTFNDDAI-LURJTMIESA-N -1 1 314.802 1.716 20 0 DDADMM CC(C)(O)[C@@H]1CCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000824171542 608193822 /nfs/dbraw/zinc/19/38/22/608193822.db2.gz BMZQURUTFNDDAI-LURJTMIESA-N -1 1 314.802 1.716 20 0 DDADMM c1cnc(N2CCC[C@H](C[C@H]3CCOC3)C2)c(-c2nnn[n-]2)n1 ZINC000826525301 608296974 /nfs/dbraw/zinc/29/69/74/608296974.db2.gz DTXVLLOFRAUULE-VXGBXAGGSA-N -1 1 315.381 1.300 20 0 DDADMM c1cnc(N2CCC[C@H](C[C@H]3CCOC3)C2)c(-c2nn[n-]n2)n1 ZINC000826525301 608296976 /nfs/dbraw/zinc/29/69/76/608296976.db2.gz DTXVLLOFRAUULE-VXGBXAGGSA-N -1 1 315.381 1.300 20 0 DDADMM CC(C)c1nc(CCNc2ccc(-c3nnn[n-]3)nn2)cs1 ZINC000824358473 608410276 /nfs/dbraw/zinc/41/02/76/608410276.db2.gz KZQPSFSUDYFPLW-UHFFFAOYSA-N -1 1 316.394 1.891 20 0 DDADMM CC(C)c1nc(CCNc2ccc(-c3nn[n-]n3)nn2)cs1 ZINC000824358473 608410278 /nfs/dbraw/zinc/41/02/78/608410278.db2.gz KZQPSFSUDYFPLW-UHFFFAOYSA-N -1 1 316.394 1.891 20 0 DDADMM Fc1ccc2[nH]cc(CCNc3ccc(-c4nnn[n-]4)nn3)c2c1 ZINC000826376352 608420239 /nfs/dbraw/zinc/42/02/39/608420239.db2.gz ZPKOAHRJVHNORY-UHFFFAOYSA-N -1 1 324.323 1.932 20 0 DDADMM Fc1ccc2[nH]cc(CCNc3ccc(-c4nn[n-]n4)nn3)c2c1 ZINC000826376352 608420241 /nfs/dbraw/zinc/42/02/41/608420241.db2.gz ZPKOAHRJVHNORY-UHFFFAOYSA-N -1 1 324.323 1.932 20 0 DDADMM Cc1ccccc1[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)CCO1 ZINC000826307178 608423556 /nfs/dbraw/zinc/42/35/56/608423556.db2.gz YIYWANQZXOMJEA-AWEZNQCLSA-N -1 1 323.360 1.543 20 0 DDADMM Cc1ccccc1[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)CCO1 ZINC000826307178 608423557 /nfs/dbraw/zinc/42/35/57/608423557.db2.gz YIYWANQZXOMJEA-AWEZNQCLSA-N -1 1 323.360 1.543 20 0 DDADMM Cc1ccc([C@H]2COCCN2c2ccc(-c3nnn[n-]3)nn2)o1 ZINC000826286025 608429482 /nfs/dbraw/zinc/42/94/82/608429482.db2.gz QHBYOTIREIDKGO-LLVKDONJSA-N -1 1 313.321 1.136 20 0 DDADMM Cc1ccc([C@H]2COCCN2c2ccc(-c3nn[n-]n3)nn2)o1 ZINC000826286025 608429484 /nfs/dbraw/zinc/42/94/84/608429484.db2.gz QHBYOTIREIDKGO-LLVKDONJSA-N -1 1 313.321 1.136 20 0 DDADMM c1ccc([C@H]2COCCN2c2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826520122 608429556 /nfs/dbraw/zinc/42/95/56/608429556.db2.gz AXWODIYXYUHNNT-CYBMUJFWSA-N -1 1 309.333 1.235 20 0 DDADMM c1ccc([C@H]2COCCN2c2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826520122 608429558 /nfs/dbraw/zinc/42/95/58/608429558.db2.gz AXWODIYXYUHNNT-CYBMUJFWSA-N -1 1 309.333 1.235 20 0 DDADMM CCCCC[C@H](Nc1ccc(-c2nnn[n-]2)nn1)C(=O)OC ZINC000825265880 608430060 /nfs/dbraw/zinc/43/00/60/608430060.db2.gz CFAKFZAOEVGZCU-JTQLQIEISA-N -1 1 305.342 1.191 20 0 DDADMM CCCCC[C@H](Nc1ccc(-c2nn[n-]n2)nn1)C(=O)OC ZINC000825265880 608430062 /nfs/dbraw/zinc/43/00/62/608430062.db2.gz CFAKFZAOEVGZCU-JTQLQIEISA-N -1 1 305.342 1.191 20 0 DDADMM CN(Cc1ccc(-c2nnn[n-]2)o1)Cc1nccn1C(F)F ZINC000825962151 608534096 /nfs/dbraw/zinc/53/40/96/608534096.db2.gz SNOIBNPCLQSYEJ-UHFFFAOYSA-N -1 1 309.280 1.683 20 0 DDADMM CN(Cc1ccc(-c2nn[n-]n2)o1)Cc1nccn1C(F)F ZINC000825962151 608534097 /nfs/dbraw/zinc/53/40/97/608534097.db2.gz SNOIBNPCLQSYEJ-UHFFFAOYSA-N -1 1 309.280 1.683 20 0 DDADMM Clc1cc2c(c(CNc3n[nH]cc3-c3nnn[n-]3)c1)OCC2 ZINC000826351630 609488485 /nfs/dbraw/zinc/48/84/85/609488485.db2.gz TXEQLTFEJVDEQC-UHFFFAOYSA-N -1 1 317.740 1.790 20 0 DDADMM Clc1cc2c(c(CNc3n[nH]cc3-c3nn[n-]n3)c1)OCC2 ZINC000826351630 609488487 /nfs/dbraw/zinc/48/84/87/609488487.db2.gz TXEQLTFEJVDEQC-UHFFFAOYSA-N -1 1 317.740 1.790 20 0 DDADMM Cc1nn(C[C@H]2CCc3ccccc32)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334085 609512524 /nfs/dbraw/zinc/51/25/24/609512524.db2.gz HJNKOVGMZAWQLM-CYBMUJFWSA-N -1 1 322.372 1.770 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]N=c2nccc[nH]2)cc1F ZINC000121487883 696711070 /nfs/dbraw/zinc/71/10/70/696711070.db2.gz JAGHSBSFJQBQEO-UHFFFAOYSA-N -1 1 300.290 1.369 20 0 DDADMM CC(C)CO[N-]C(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000123147159 696728755 /nfs/dbraw/zinc/72/87/55/696728755.db2.gz LDSNKNZQVSMLRG-JTQLQIEISA-N -1 1 304.350 1.385 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)/C=C\C2CC2)cc1 ZINC000745549423 699985027 /nfs/dbraw/zinc/98/50/27/699985027.db2.gz AWRMJEWSGRMTSX-WTKPLQERSA-N -1 1 303.314 1.461 20 0 DDADMM CC[C@]1(C)C[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973197327 695443722 /nfs/dbraw/zinc/44/37/22/695443722.db2.gz DFTSZPSSSUOZCJ-GUUCOKDPSA-N -1 1 317.389 1.600 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1)c1ncccc1[O-] ZINC000973200158 695444541 /nfs/dbraw/zinc/44/45/41/695444541.db2.gz YNNZPFFVXLMTLI-NJZULAAPSA-N -1 1 315.373 1.210 20 0 DDADMM O=C([O-])[C@]1(NC(=O)N[C@@H]2CCCc3cn[nH]c32)CCSC1 ZINC000797725397 700021282 /nfs/dbraw/zinc/02/12/82/700021282.db2.gz UMFMPLSULIUNLB-RNCFNFMXSA-N -1 1 310.379 1.047 20 0 DDADMM O=C(NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000974426949 695670566 /nfs/dbraw/zinc/67/05/66/695670566.db2.gz VRUAUXAHNUWVTK-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM CC[C@@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)c1ccccc1 ZINC000798145456 700044122 /nfs/dbraw/zinc/04/41/22/700044122.db2.gz MATORWUIZGUWTC-CYBMUJFWSA-N -1 1 315.377 1.204 20 0 DDADMM CCC1(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])CC1 ZINC000976406450 695971552 /nfs/dbraw/zinc/97/15/52/695971552.db2.gz VWJBHNLUUCQECQ-PJXYFTJBSA-N -1 1 315.373 1.164 20 0 DDADMM O=C(COC(=O)Cc1ccccc1F)[N-]C(=O)c1ccccc1 ZINC000007562333 696028601 /nfs/dbraw/zinc/02/86/01/696028601.db2.gz SJXDQDSKVXDSBK-UHFFFAOYSA-N -1 1 315.300 1.868 20 0 DDADMM Cc1cccc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)c1Cl ZINC000798270664 700053843 /nfs/dbraw/zinc/05/38/43/700053843.db2.gz UNWTZXCEQBKBAE-UHFFFAOYSA-N -1 1 321.768 1.285 20 0 DDADMM CCC(Nc1ccc(C)c(C)c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009770491 696037652 /nfs/dbraw/zinc/03/76/52/696037652.db2.gz WHOGAQAJERJTSB-UHFFFAOYSA-N -1 1 303.387 1.910 20 0 DDADMM COCCN(CCOC)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747199628 700059859 /nfs/dbraw/zinc/05/98/59/700059859.db2.gz RCUYDMVPJFQANB-UHFFFAOYSA-N -1 1 311.382 1.349 20 0 DDADMM CC[S@@](=O)CCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000747385931 700067016 /nfs/dbraw/zinc/06/70/16/700067016.db2.gz INVSBIUVOIEDOQ-OAQYLSRUSA-N -1 1 313.423 1.287 20 0 DDADMM Cc1onc(-c2ccc(F)cc2)c1C(=O)[N-]NC(=O)C1CC1 ZINC000046124994 696180713 /nfs/dbraw/zinc/18/07/13/696180713.db2.gz ZDSGQSVZBGPWPE-UHFFFAOYSA-N -1 1 303.293 1.960 20 0 DDADMM CC(=O)N1CC[C@H]1CN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000978124797 696326823 /nfs/dbraw/zinc/32/68/23/696326823.db2.gz BBJFFBPIZDMIOE-LBPRGKRZSA-N -1 1 320.393 1.307 20 0 DDADMM COCCOCCC(=O)NCCc1n[n-]c(=S)n1C(C)C ZINC000067073704 696358867 /nfs/dbraw/zinc/35/88/67/696358867.db2.gz IIMWSWFHKNLEGC-UHFFFAOYSA-N -1 1 316.427 1.233 20 0 DDADMM CSC[C@H](C)C(=O)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067078598 696359014 /nfs/dbraw/zinc/35/90/14/696359014.db2.gz IFPANBYONLTFCJ-QMMMGPOBSA-N -1 1 300.453 1.933 20 0 DDADMM Cc1cc(N(C)C)ccc1NC(=O)Cc1sc(N)nc1[O-] ZINC000079565620 696461708 /nfs/dbraw/zinc/46/17/08/696461708.db2.gz VMUAJWLYTRWTTO-LLVKDONJSA-N -1 1 306.391 1.346 20 0 DDADMM Cc1ccccc1CC(C)(C)NC(=O)Cc1sc(N)nc1[O-] ZINC000079994396 696465914 /nfs/dbraw/zinc/46/59/14/696465914.db2.gz CQCXSUOSGGSUSK-GFCCVEGCSA-N -1 1 319.430 1.779 20 0 DDADMM CC(C)[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccn1 ZINC000080386524 696533729 /nfs/dbraw/zinc/53/37/29/696533729.db2.gz RRPFVBDFUCWGTR-JQWIXIFHSA-N -1 1 306.391 1.242 20 0 DDADMM CC(C)n1c(CNC(=O)Nc2cccn(C)c2=O)n[n-]c1=S ZINC000085601830 696563624 /nfs/dbraw/zinc/56/36/24/696563624.db2.gz GEJRYPHGUOOKHH-UHFFFAOYSA-N -1 1 322.394 1.542 20 0 DDADMM O=C([N-]CCCSCCOC[C@H]1CCCO1)C(F)(F)F ZINC000091618503 696590075 /nfs/dbraw/zinc/59/00/75/696590075.db2.gz DPVWQIUHXHSDMY-SNVBAGLBSA-N -1 1 315.357 1.984 20 0 DDADMM CO[C@]1(C[N-]S(=O)(=O)c2cc(F)ccc2F)CCSC1 ZINC000096364534 696603700 /nfs/dbraw/zinc/60/37/00/696603700.db2.gz AZDCESUCSBJMIB-LBPRGKRZSA-N -1 1 323.386 1.765 20 0 DDADMM O=C(NCC[S@@](=O)Cc1ccccc1)c1ncccc1[O-] ZINC000116976222 696669675 /nfs/dbraw/zinc/66/96/75/696669675.db2.gz ZIDOIFMLOBQKGK-NRFANRHFSA-N -1 1 304.371 1.466 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC[C@H]2CCCc3ccccc32)s1 ZINC000120879722 696703100 /nfs/dbraw/zinc/70/31/00/696703100.db2.gz HSLMSDZVOAFGLD-DGCLKSJQSA-N -1 1 317.414 1.570 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C[C@@H]1CC[C@@H](C)C1 ZINC000130601062 696799559 /nfs/dbraw/zinc/79/95/59/696799559.db2.gz YRNQDKMPPIDDDQ-ZYHUDNBSSA-N -1 1 318.377 1.638 20 0 DDADMM O=C(N[C@@H]1CC[C@H](CNC(=O)C2CC2)C1)c1ncccc1[O-] ZINC000980740875 696868517 /nfs/dbraw/zinc/86/85/17/696868517.db2.gz UPAWAKGSQFVAOI-CMPLNLGQSA-N -1 1 303.362 1.212 20 0 DDADMM COc1ccccc1CO[N-]C(=O)Cc1c(C)nn(C)c1C ZINC000799096970 700117917 /nfs/dbraw/zinc/11/79/17/700117917.db2.gz DMEFUSLRCSTNGB-UHFFFAOYSA-N -1 1 303.362 1.836 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982646928 697164348 /nfs/dbraw/zinc/16/43/48/697164348.db2.gz GNUCZACLFHHUPA-NWDGAFQWSA-N -1 1 317.389 1.412 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982669871 697167150 /nfs/dbraw/zinc/16/71/50/697167150.db2.gz GNQIYCYGFYFOET-NWDGAFQWSA-N -1 1 317.389 1.412 20 0 DDADMM CC(C)C[C@@H](O)C[N-]S(=O)(=O)c1ccc([N+](=O)[O-])s1 ZINC000160413958 697317063 /nfs/dbraw/zinc/31/70/63/697317063.db2.gz LPCMEOUAMIHKEC-MRVPVSSYSA-N -1 1 308.381 1.342 20 0 DDADMM O=C([N-]OCc1cccnc1)[C@H]1CC(=O)N(C2CCCC2)C1 ZINC000160771676 697320068 /nfs/dbraw/zinc/32/00/68/697320068.db2.gz ATSQRMVZLCXAJL-ZDUSSCGKSA-N -1 1 303.362 1.421 20 0 DDADMM O=C(C[N-]S(=O)(=O)Cc1c(F)cccc1F)OCC1CC1 ZINC000161960084 697325641 /nfs/dbraw/zinc/32/56/41/697325641.db2.gz HWHDEAKXIXXACE-UHFFFAOYSA-N -1 1 319.329 1.337 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2C1)c1nnc2ccccc2c1O ZINC000171863541 697363195 /nfs/dbraw/zinc/36/31/95/697363195.db2.gz LITJNRMETHSHHV-LBPRGKRZSA-N -1 1 321.336 1.657 20 0 DDADMM O=C(CCCc1csc2ccccc12)NN1CC(=O)[N-]C1=O ZINC000176339156 697403959 /nfs/dbraw/zinc/40/39/59/697403959.db2.gz AUAVCSCXQRVGNQ-UHFFFAOYSA-N -1 1 317.370 1.807 20 0 DDADMM Cn1nccc1CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000186420021 697532925 /nfs/dbraw/zinc/53/29/25/697532925.db2.gz XJVNDTDSDCICJY-UHFFFAOYSA-N -1 1 301.318 1.219 20 0 DDADMM O=C(NNC(=O)c1cc(Cl)ccc1[O-])c1c[nH]nc1C1CC1 ZINC000188125638 697554532 /nfs/dbraw/zinc/55/45/32/697554532.db2.gz ZGSFUSKBFJEDDK-UHFFFAOYSA-N -1 1 320.736 1.721 20 0 DDADMM Cn1nnc2cc(C(=O)Nc3nc(Cl)ccc3[O-])cnc21 ZINC000191976404 697617239 /nfs/dbraw/zinc/61/72/39/697617239.db2.gz JTGDPFQLCMBDTK-UHFFFAOYSA-N -1 1 304.697 1.370 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)c1cc[nH]c(=O)c1Br ZINC000772620508 697653677 /nfs/dbraw/zinc/65/36/77/697653677.db2.gz KMFQGIDFYRBEGU-SSDOTTSWSA-N -1 1 317.139 1.248 20 0 DDADMM N#Cc1cc(C(=O)N[N-]C(=O)c2ccc(Cl)cc2F)ccn1 ZINC000193430663 697666271 /nfs/dbraw/zinc/66/62/71/697666271.db2.gz DNTSEXKJWCNPPZ-UHFFFAOYSA-N -1 1 318.695 1.821 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cc(C)sn2)o1 ZINC000193490872 697669159 /nfs/dbraw/zinc/66/91/59/697669159.db2.gz FACXTYAMFDLMJH-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CNC(=O)[C@@H](NC(=O)c1c(C)nc(C(C)C)[n-]c1=O)C(C)C ZINC000772730801 697669787 /nfs/dbraw/zinc/66/97/87/697669787.db2.gz XXZDRJVZVAAOQE-NSHDSACASA-N -1 1 308.382 1.114 20 0 DDADMM O=C(CCc1c[nH]cn1)Nc1nc(Br)ccc1[O-] ZINC000194319701 697704642 /nfs/dbraw/zinc/70/46/42/697704642.db2.gz VKAUQVJYBCKQDV-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM CCc1ncc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)s1 ZINC000773157222 697720612 /nfs/dbraw/zinc/72/06/12/697720612.db2.gz ADMUCPCHVLWRQK-SSDOTTSWSA-N -1 1 310.408 1.149 20 0 DDADMM CCCc1ncc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)s1 ZINC000773165731 697723262 /nfs/dbraw/zinc/72/32/62/697723262.db2.gz ZZINGTOMBIQDFL-MRVPVSSYSA-N -1 1 324.435 1.539 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)C=Cc2ccccc2F)n1 ZINC000799914909 700174973 /nfs/dbraw/zinc/17/49/73/700174973.db2.gz DUCHMYIVCSWBBM-CLFYSBASSA-N -1 1 324.337 1.542 20 0 DDADMM O=C(c1ccnc(Cl)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000773492081 697767796 /nfs/dbraw/zinc/76/77/96/697767796.db2.gz KYRGUKWOHMINAT-UHFFFAOYSA-N -1 1 308.725 1.843 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000227861910 697772191 /nfs/dbraw/zinc/77/21/91/697772191.db2.gz ADRXHWSKKUQGMZ-SSDOTTSWSA-N -1 1 316.151 1.836 20 0 DDADMM Cc1nc(CNC(=O)C(=O)c2ccc([O-])cc2)ccc1[C@H](C)O ZINC000774087628 697841807 /nfs/dbraw/zinc/84/18/07/697841807.db2.gz FTTJASUCCACVNW-NSHDSACASA-N -1 1 314.341 1.648 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@H](O)c1ccccn1 ZINC000774097861 697843732 /nfs/dbraw/zinc/84/37/32/697843732.db2.gz VJDFJIPHPUAWHD-SNVBAGLBSA-N -1 1 324.134 1.617 20 0 DDADMM CN(C)c1ccnc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000749736328 700180947 /nfs/dbraw/zinc/18/09/47/700180947.db2.gz AJVHKJROMLENMH-AWEZNQCLSA-N -1 1 323.360 1.180 20 0 DDADMM COC(C)(C)C[C@@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774440168 697879553 /nfs/dbraw/zinc/87/95/53/697879553.db2.gz VCAFNGZMFIRSIZ-SECBINFHSA-N -1 1 308.407 1.951 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CC(C)(C)C1 ZINC000986702233 697880766 /nfs/dbraw/zinc/88/07/66/697880766.db2.gz JWIBIVHOVMTPFM-PWSUYJOCSA-N -1 1 321.425 1.416 20 0 DDADMM CN(C)c1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)cc1 ZINC000774888403 697938526 /nfs/dbraw/zinc/93/85/26/697938526.db2.gz VWDSTKQMVAWBTH-UHFFFAOYSA-N -1 1 318.295 1.978 20 0 DDADMM Cn1cc(/C=C\C(=O)OCCC[N-]C(=O)C(F)(F)F)cn1 ZINC000774907252 697940559 /nfs/dbraw/zinc/94/05/59/697940559.db2.gz JOENNLPKTMMKFI-ARJAWSKDSA-N -1 1 305.256 1.045 20 0 DDADMM COc1ncccc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774913803 697941728 /nfs/dbraw/zinc/94/17/28/697941728.db2.gz LPESXUFWFHDDQE-UHFFFAOYSA-N -1 1 306.240 1.316 20 0 DDADMM O=C([N-]OC1CCCC1)[C@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000775273474 697977598 /nfs/dbraw/zinc/97/75/98/697977598.db2.gz HUWQAJHWWWVCFG-LBPRGKRZSA-N -1 1 303.362 1.421 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccccn1)c1cc(F)ccc1F ZINC000775594729 698013096 /nfs/dbraw/zinc/01/30/96/698013096.db2.gz RTIXLSLCBUSSFL-LBPRGKRZSA-N -1 1 314.313 1.372 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H](F)C(F)(F)F)co1 ZINC000800188988 700200118 /nfs/dbraw/zinc/20/01/18/700200118.db2.gz ASAHPDZTWKEWRO-ZCFIWIBFSA-N -1 1 319.232 1.245 20 0 DDADMM O=C(CSC(F)(F)F)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776565052 698117988 /nfs/dbraw/zinc/11/79/88/698117988.db2.gz QYCGQDZTDMDNFC-RXMQYKEDSA-N -1 1 313.330 1.069 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776566834 698118259 /nfs/dbraw/zinc/11/82/59/698118259.db2.gz YHANHLOFICBGJR-GXFZAYBSSA-N -1 1 320.353 1.064 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H](C)C1CCCC1 ZINC000777361147 698182349 /nfs/dbraw/zinc/18/23/49/698182349.db2.gz OOUZPCPLWQNHEM-SNVBAGLBSA-N -1 1 318.377 1.638 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1Cc2ccccc21 ZINC000777361577 698182508 /nfs/dbraw/zinc/18/25/08/698182508.db2.gz VJHLPAVRLKAPNC-CQSZACIVSA-N -1 1 324.340 1.152 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)[N-]c2ccn(Cc3ccccn3)n2)C1 ZINC000777933468 698232240 /nfs/dbraw/zinc/23/22/40/698232240.db2.gz NSMNTFOAQJMGQS-GFCCVEGCSA-N -1 1 321.406 1.325 20 0 DDADMM CC(C)(CO)C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000778025014 698238315 /nfs/dbraw/zinc/23/83/15/698238315.db2.gz UJBDWXVDVRNSEF-UHFFFAOYSA-N -1 1 313.206 1.685 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CCCC1 ZINC000987863324 698250503 /nfs/dbraw/zinc/25/05/03/698250503.db2.gz FZMYRCYWCRFFDR-PWSUYJOCSA-N -1 1 307.398 1.170 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](N3CCCCC3=O)C2)c([O-])c1 ZINC000778803808 698370073 /nfs/dbraw/zinc/37/00/73/698370073.db2.gz RRWMYQQOJSKMDW-CYBMUJFWSA-N -1 1 317.389 1.713 20 0 DDADMM Cc1nonc1[N-]C(=O)c1nc(C)n(-c2ccc(F)cc2)n1 ZINC000750552571 700231281 /nfs/dbraw/zinc/23/12/81/700231281.db2.gz WIOZHYJAUJBSMQ-UHFFFAOYSA-N -1 1 302.269 1.659 20 0 DDADMM O=C([N-]N1CCCC1=O)c1cc(F)c(F)cc1Br ZINC000780700498 698551263 /nfs/dbraw/zinc/55/12/63/698551263.db2.gz PKCXGDMSVPUFRF-UHFFFAOYSA-N -1 1 319.105 1.995 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1c[nH]nc1-c1ccccc1F ZINC000783599689 698856172 /nfs/dbraw/zinc/85/61/72/698856172.db2.gz ILEVUCPCXSERDI-UHFFFAOYSA-N -1 1 303.297 1.276 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1cn[nH]c1-c1ccccc1F ZINC000783599689 698856175 /nfs/dbraw/zinc/85/61/75/698856175.db2.gz ILEVUCPCXSERDI-UHFFFAOYSA-N -1 1 303.297 1.276 20 0 DDADMM O=C(Cc1cccs1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000990073813 698937154 /nfs/dbraw/zinc/93/71/54/698937154.db2.gz DOXLUUJCAZOHMS-UHFFFAOYSA-N -1 1 317.370 1.032 20 0 DDADMM Cn1ncc(CNC(=O)c2cc(Br)ccc2[O-])n1 ZINC000389947646 699091323 /nfs/dbraw/zinc/09/13/23/699091323.db2.gz RESCSPGNBYEWMK-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM O=C(/C=C\c1cncc(F)c1)OCCC[N-]C(=O)C(F)(F)F ZINC000785853027 699106464 /nfs/dbraw/zinc/10/64/64/699106464.db2.gz YZLJZGHASLZQSF-IHWYPQMZSA-N -1 1 320.242 1.846 20 0 DDADMM C[C@H](CC(=O)OCc1nc(=O)n(C)[n-]1)c1c[nH]c2ccccc21 ZINC000787231287 699198216 /nfs/dbraw/zinc/19/82/16/699198216.db2.gz ZDZJCTKKHBUKOR-SNVBAGLBSA-N -1 1 314.345 1.827 20 0 DDADMM O=C(NCC[C@@H]1CCCS1(=O)=O)c1c([O-])cccc1F ZINC000714376891 699271412 /nfs/dbraw/zinc/27/14/12/699271412.db2.gz QGVKQGLKAUOQGJ-VIFPVBQESA-N -1 1 301.339 1.228 20 0 DDADMM O=S(=O)([N-][C@]12C[C@H]1COC2)c1sccc1Br ZINC000718003378 699292853 /nfs/dbraw/zinc/29/28/53/699292853.db2.gz VZCQSLFZKIHLDM-RCOVLWMOSA-N -1 1 324.221 1.578 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2cccc3c2COC3=O)n1 ZINC000726795731 699381343 /nfs/dbraw/zinc/38/13/43/699381343.db2.gz QEHUVUVEIXGBNN-SSDOTTSWSA-N -1 1 323.330 1.088 20 0 DDADMM CC(C)c1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000726866505 699386032 /nfs/dbraw/zinc/38/60/32/699386032.db2.gz WAUNMPSNWBQQCD-UHFFFAOYSA-N -1 1 301.346 1.689 20 0 DDADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccc(F)cc1F ZINC000726865580 699386122 /nfs/dbraw/zinc/38/61/22/699386122.db2.gz BYAFOWZCTQZLMI-SECBINFHSA-N -1 1 323.299 1.082 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)c1ccccc1Cl)N(C)C ZINC000789800680 699411121 /nfs/dbraw/zinc/41/11/21/699411121.db2.gz YPXLLIQPPJAOFF-GFCCVEGCSA-N -1 1 318.826 1.731 20 0 DDADMM Cc1cc(C)c(CNC(=O)CCn2cc[n-]c(=O)c2=O)c(C)c1 ZINC000727849664 699428145 /nfs/dbraw/zinc/42/81/45/699428145.db2.gz JNKMRZMMEPOMJQ-UHFFFAOYSA-N -1 1 315.373 1.168 20 0 DDADMM Cc1nn(-c2ccccc2)c2ncc(C(=O)Nc3nnn[n-]3)cc12 ZINC000728207428 699441610 /nfs/dbraw/zinc/44/16/10/699441610.db2.gz AEGUPZSARAAZOH-UHFFFAOYSA-N -1 1 320.316 1.494 20 0 DDADMM Cc1nn(-c2ccccc2)c2ncc(C(=O)Nc3nn[n-]n3)cc12 ZINC000728207428 699441613 /nfs/dbraw/zinc/44/16/13/699441613.db2.gz AEGUPZSARAAZOH-UHFFFAOYSA-N -1 1 320.316 1.494 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cccc1Cl)[C@H](C)N1CCOCC1 ZINC000729540562 699482048 /nfs/dbraw/zinc/48/20/48/699482048.db2.gz HKESFWYOYIVUNH-MNOVXSKESA-N -1 1 312.797 1.885 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(O)c1 ZINC000731855599 699538175 /nfs/dbraw/zinc/53/81/75/699538175.db2.gz VPENRIQVSSHBCB-CYBMUJFWSA-N -1 1 317.349 1.242 20 0 DDADMM O=C(/C=C\Sc1ccccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732089088 699545915 /nfs/dbraw/zinc/54/59/15/699545915.db2.gz AWNVLQQOZVPLFL-PWWFUAJNSA-N -1 1 317.374 1.406 20 0 DDADMM CC(=CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccc(F)cc1 ZINC000735450349 699694400 /nfs/dbraw/zinc/69/44/00/699694400.db2.gz YTSOUYRYSTWVFQ-CHOZFAJLSA-N -1 1 317.324 1.342 20 0 DDADMM CC(=O)NC[C@@H]1CN(C(=O)c2c([O-])cccc2Cl)CCO1 ZINC000735522787 699696374 /nfs/dbraw/zinc/69/63/74/699696374.db2.gz YXZRUQWGCXUQHU-SNVBAGLBSA-N -1 1 312.753 1.023 20 0 DDADMM C[C@@H]1CCC[C@H](OCC(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC000736258934 699721943 /nfs/dbraw/zinc/72/19/43/699721943.db2.gz CTPVXWJNOXNTDU-YPMHNXCESA-N -1 1 307.398 1.501 20 0 DDADMM O=C([C@@H]1CCCc2ccccc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737792276 699744790 /nfs/dbraw/zinc/74/47/90/699744790.db2.gz OWXVFBDCIOODAR-KGLIPLIRSA-N -1 1 313.361 1.220 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccc(F)cc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737782459 699744997 /nfs/dbraw/zinc/74/49/97/699744997.db2.gz GFVHJBLLNYYUKK-XQQFMLRXSA-N -1 1 317.324 1.042 20 0 DDADMM O=C([O-])CCCc1nc(COC(=O)c2[nH]nc3c2CCC3)no1 ZINC000739702634 699777980 /nfs/dbraw/zinc/77/79/80/699777980.db2.gz OSXFKDSHSXMIFS-UHFFFAOYSA-N -1 1 320.305 1.046 20 0 DDADMM CSCCO[N-]C(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000794191885 699796871 /nfs/dbraw/zinc/79/68/71/699796871.db2.gz IFZXFPYMGVNVMZ-VIFPVBQESA-N -1 1 322.390 1.092 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H](F)CC2CCCCC2)n1 ZINC000795058131 699844366 /nfs/dbraw/zinc/84/43/66/699844366.db2.gz XCRWCJHYEKSWKR-VIFPVBQESA-N -1 1 318.374 1.455 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H](F)CC2CCCCC2)[n-]1 ZINC000795058131 699844370 /nfs/dbraw/zinc/84/43/70/699844370.db2.gz XCRWCJHYEKSWKR-VIFPVBQESA-N -1 1 318.374 1.455 20 0 DDADMM O=C(c1cccc(F)c1Cl)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000742650576 699884861 /nfs/dbraw/zinc/88/48/61/699884861.db2.gz UTVGVKWWFDKCOA-VIFPVBQESA-N -1 1 311.704 1.206 20 0 DDADMM CC[C@H](Oc1ccccc1C(C)C)C(=O)NN1CC(=O)[N-]C1=O ZINC000743290529 699906123 /nfs/dbraw/zinc/90/61/23/699906123.db2.gz MGPIRXAKULUGJB-LBPRGKRZSA-N -1 1 319.361 1.550 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2ccc3c(c2)OCCO3)[n-]1 ZINC000796731385 699949702 /nfs/dbraw/zinc/94/97/02/699949702.db2.gz ICRRPUWNRDEFLF-UHFFFAOYSA-N -1 1 303.270 1.792 20 0 DDADMM Cn1nnc2c1nccc2C(=O)Nc1nc(Cl)ccc1[O-] ZINC000801222824 700279945 /nfs/dbraw/zinc/27/99/45/700279945.db2.gz IQHHBTNCLRDUAJ-UHFFFAOYSA-N -1 1 304.697 1.370 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)Cc1cc(C)no1 ZINC000751980279 700333096 /nfs/dbraw/zinc/33/30/96/700333096.db2.gz CXCYLXWCMOANOG-GFCCVEGCSA-N -1 1 316.379 1.134 20 0 DDADMM COCc1nsc([N-]C(=O)c2nnc3ccccc3c2O)n1 ZINC000753188838 700413301 /nfs/dbraw/zinc/41/33/01/700413301.db2.gz KZMUYVNCEMHUDE-UHFFFAOYSA-N -1 1 317.330 1.586 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H]2COc2ccc(Cl)cc2)nc1=O ZINC000753995217 700475054 /nfs/dbraw/zinc/47/50/54/700475054.db2.gz PGUQVUCRFHGNDA-LBPRGKRZSA-N -1 1 322.796 1.805 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)c1ccco1 ZINC000762308230 700888756 /nfs/dbraw/zinc/88/87/56/700888756.db2.gz MVVLTXACMNYNQQ-SNVBAGLBSA-N -1 1 305.334 1.670 20 0 DDADMM CCC(=O)N1CC[C@@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000762565957 700898347 /nfs/dbraw/zinc/89/83/47/700898347.db2.gz YBASUIYAKLBFEM-LLVKDONJSA-N -1 1 320.393 1.355 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N1CCC[C@@H]1C(=O)[O-] ZINC000763016206 700919775 /nfs/dbraw/zinc/91/97/75/700919775.db2.gz BKNBLLBABSLBFT-QWHCGFSZSA-N -1 1 306.362 1.551 20 0 DDADMM COc1cc(C(=O)OCc2nc(=O)n(C)[n-]2)ccc1OC(C)C ZINC000765387632 701009308 /nfs/dbraw/zinc/00/93/08/701009308.db2.gz XLPKROLCIDVVLW-UHFFFAOYSA-N -1 1 321.333 1.261 20 0 DDADMM Cc1cc(C)c2cc(C(=O)OCc3nc(=O)n(C)[n-]3)sc2n1 ZINC000765420099 701011386 /nfs/dbraw/zinc/01/13/86/701011386.db2.gz RYVXAPATCWZKTL-UHFFFAOYSA-N -1 1 318.358 1.692 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(OC3CCCC3)cc2)nc1=O ZINC000765433959 701012577 /nfs/dbraw/zinc/01/25/77/701012577.db2.gz BKKCSUSTCMHFIJ-UHFFFAOYSA-N -1 1 317.345 1.787 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@]2(C)CCc3ccccc3C2)nc1=O ZINC000765486432 701015391 /nfs/dbraw/zinc/01/53/91/701015391.db2.gz HOFNGVSHYPEFGV-INIZCTEOSA-N -1 1 301.346 1.347 20 0 DDADMM C[C@H](CC(=O)c1ccccc1F)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765490228 701015771 /nfs/dbraw/zinc/01/57/71/701015771.db2.gz YKAPADXQIGQZSG-SECBINFHSA-N -1 1 321.308 1.200 20 0 DDADMM O=C([N-]S(=O)(=O)C[C@H]1CCCOC1)c1cccc2cn[nH]c21 ZINC000803004465 701076029 /nfs/dbraw/zinc/07/60/29/701076029.db2.gz GTLNZPYJKVMHOJ-JTQLQIEISA-N -1 1 323.374 1.049 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC2CCC(=O)CC2)o1 ZINC000803277796 701097363 /nfs/dbraw/zinc/09/73/63/701097363.db2.gz FBNQIZUQIILKCQ-UHFFFAOYSA-N -1 1 315.347 1.104 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC2(C)OCCO2)c(F)c1 ZINC000768546130 701185787 /nfs/dbraw/zinc/18/57/87/701185787.db2.gz IFEHGEPDDDAELG-UHFFFAOYSA-N -1 1 323.317 1.015 20 0 DDADMM O=C([O-])C[C@H]1CCC[N@@H+](CCOc2ccccc2C(=O)[O-])C1 ZINC000768767985 701200647 /nfs/dbraw/zinc/20/06/47/701200647.db2.gz KYPIDLMSZHBZOB-GFCCVEGCSA-N -1 1 307.346 1.950 20 0 DDADMM O=C([O-])c1ccccc1OCCN1CCSC[C@H]1CCO ZINC000768781886 701202284 /nfs/dbraw/zinc/20/22/84/701202284.db2.gz POAFPCCFGBLQPN-GFCCVEGCSA-N -1 1 311.403 1.563 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)c2ncc(C)o2)o1 ZINC000770948414 701301329 /nfs/dbraw/zinc/30/13/29/701301329.db2.gz WOPGVAIWOSJBPH-QMMMGPOBSA-N -1 1 314.319 1.402 20 0 DDADMM CC(C)[C@H](OC(=O)c1cn[n-]n1)C(=O)N1C[C@H](C)C[C@H](C)C1 ZINC000805604559 701397800 /nfs/dbraw/zinc/39/78/00/701397800.db2.gz BDCYIIPKXLVHQG-LOWVWBTDSA-N -1 1 308.382 1.491 20 0 DDADMM COc1ccc(NC(=O)COC(=O)c2cn[n-]n2)cc1Cl ZINC000805606479 701399133 /nfs/dbraw/zinc/39/91/33/701399133.db2.gz YAEHXCSRZQKZKB-UHFFFAOYSA-N -1 1 310.697 1.262 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)Nc1cccc2ncccc21 ZINC000805607851 701399431 /nfs/dbraw/zinc/39/94/31/701399431.db2.gz SGAOHPACXJIOIX-SECBINFHSA-N -1 1 311.301 1.537 20 0 DDADMM O=C(CCc1cccnc1Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000830905908 706600415 /nfs/dbraw/zinc/60/04/15/706600415.db2.gz HFMVQPXLPQFNRS-LLVKDONJSA-N -1 1 320.784 1.587 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCCNc3ccccc3)ccnc1-2 ZINC000806480908 701436686 /nfs/dbraw/zinc/43/66/86/701436686.db2.gz BMZQBYBRPWROFN-UHFFFAOYSA-N -1 1 324.388 1.966 20 0 DDADMM CO[C@@H](CNC(=O)N=c1ccnc2n(C)[n-]cc1-2)C(F)(F)F ZINC000806490866 701437753 /nfs/dbraw/zinc/43/77/53/701437753.db2.gz OYTGNNIMPADOAZ-VIFPVBQESA-N -1 1 317.271 1.041 20 0 DDADMM CCSc1ccccc1CCNC(=O)CCCc1nn[n-]n1 ZINC000830911354 706601608 /nfs/dbraw/zinc/60/16/08/706601608.db2.gz BZLSFWDTNNDUOD-UHFFFAOYSA-N -1 1 319.434 1.993 20 0 DDADMM O=C(Nc1ccc(C2(O)COC2)cc1)C(=O)c1ccc([O-])cc1 ZINC000807869812 701489175 /nfs/dbraw/zinc/48/91/75/701489175.db2.gz IYRWIXOVSQQPEE-UHFFFAOYSA-N -1 1 313.309 1.431 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2c3ccccc3CN2C)sn1 ZINC000867793936 701753125 /nfs/dbraw/zinc/75/31/25/701753125.db2.gz MMNMTVVWSMRAKD-CYBMUJFWSA-N -1 1 323.443 1.917 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](O)CC(C)(C)C2)c1 ZINC000867823512 701775848 /nfs/dbraw/zinc/77/58/48/701775848.db2.gz LCGOYJVTZFBIBF-JTQLQIEISA-N -1 1 315.391 1.182 20 0 DDADMM C[C@H]1CCCC[C@@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000867968157 701861389 /nfs/dbraw/zinc/86/13/89/701861389.db2.gz ABISYKWYFDGVEH-JQWIXIFHSA-N -1 1 320.393 1.633 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C(C)(C)C(C)(C)C ZINC000816014091 701991884 /nfs/dbraw/zinc/99/18/84/701991884.db2.gz MZVUAABRIYKYMI-UHFFFAOYSA-N -1 1 320.393 1.884 20 0 DDADMM CC1=C(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)SCCO1 ZINC000816525430 702105959 /nfs/dbraw/zinc/10/59/59/702105959.db2.gz SSKRQDAINVZTMO-UHFFFAOYSA-N -1 1 311.363 1.476 20 0 DDADMM CCc1cccnc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831135562 706641641 /nfs/dbraw/zinc/64/16/41/706641641.db2.gz ZGURZCRZJAHEKU-UHFFFAOYSA-N -1 1 315.295 1.395 20 0 DDADMM Cc1conc1C(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000868444432 702131445 /nfs/dbraw/zinc/13/14/45/702131445.db2.gz MBBFWENOMWBWQS-VIFPVBQESA-N -1 1 319.283 1.514 20 0 DDADMM O=C(N[C@@H]1COC(=O)C1)c1ccc(Br)cc1[O-] ZINC000816677574 702146150 /nfs/dbraw/zinc/14/61/50/702146150.db2.gz XMKMKEGKHWQLTP-ZETCQYMHSA-N -1 1 300.108 1.200 20 0 DDADMM CO[C@@H](C(=O)OCc1cc(=O)oc2cc([O-])ccc12)C1CC1 ZINC000840537255 702146469 /nfs/dbraw/zinc/14/64/69/702146469.db2.gz JALSUGRDJAFAFR-OAHLLOKOSA-N -1 1 304.298 1.967 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NOC2CCCC2)C1 ZINC000868476958 702151207 /nfs/dbraw/zinc/15/12/07/702151207.db2.gz GPAOACNVWFDRMA-GFCCVEGCSA-N -1 1 323.315 1.713 20 0 DDADMM Cc1ccc(-c2nc(C)c(C(=O)Nn3c(=O)[n-][nH]c3=O)s2)o1 ZINC000816733229 702169076 /nfs/dbraw/zinc/16/90/76/702169076.db2.gz NXAKSXCDXWWETG-UHFFFAOYSA-N -1 1 321.318 1.407 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2(F)CCCC2)c1 ZINC000812362129 702169329 /nfs/dbraw/zinc/16/93/29/702169329.db2.gz QRMVQVBSMFUYCZ-UHFFFAOYSA-N -1 1 316.354 1.521 20 0 DDADMM COc1ccc(N)cc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000840648619 702184735 /nfs/dbraw/zinc/18/47/35/702184735.db2.gz ULNATEKTYOKDIU-UHFFFAOYSA-N -1 1 320.267 1.503 20 0 DDADMM CC1(C)CN(C(=O)CCc2nn[n-]n2)C[C@](C)(C(F)(F)F)O1 ZINC000868571481 702205112 /nfs/dbraw/zinc/20/51/12/702205112.db2.gz KYZSIYRIEANLDH-LLVKDONJSA-N -1 1 321.303 1.091 20 0 DDADMM Cc1nc([N-]C(=O)c2scnc2Br)nn1C ZINC000817018884 702256817 /nfs/dbraw/zinc/25/68/17/702256817.db2.gz BZQQZTOZFJMODA-UHFFFAOYSA-N -1 1 302.157 1.595 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000841534371 702484311 /nfs/dbraw/zinc/48/43/11/702484311.db2.gz MFUFGBVFDBWCDL-SNVBAGLBSA-N -1 1 323.292 1.334 20 0 DDADMM CC[C@@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CCCOC1 ZINC000879414455 706673585 /nfs/dbraw/zinc/67/35/85/706673585.db2.gz KXYPKWFWWUCIAS-WCQYABFASA-N -1 1 317.393 1.669 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)CC12CCC(CC1)CC2 ZINC000841536010 702486293 /nfs/dbraw/zinc/48/62/93/702486293.db2.gz YMYFDXUKOUVPNM-AVERBVTBSA-N -1 1 315.435 1.828 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3CCCn4nccc43)ccnc1-2 ZINC000879415859 706673903 /nfs/dbraw/zinc/67/39/03/706673903.db2.gz GOLJDBDPELGHHW-LBPRGKRZSA-N -1 1 311.349 1.195 20 0 DDADMM CO[C@@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C12CCC2 ZINC000841549393 702493752 /nfs/dbraw/zinc/49/37/52/702493752.db2.gz PGSKJKPMMNYHDH-DTWKUNHWSA-N -1 1 305.787 1.299 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@@H]4CSC[C@H]4C3)ccnc1-2 ZINC000879416521 706674388 /nfs/dbraw/zinc/67/43/88/706674388.db2.gz SYHWCONOUOELEP-GHMZBOCLSA-N -1 1 317.418 1.559 20 0 DDADMM C[C@H](O[N-]C(=O)CC(C)(C)C)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000842063400 702665954 /nfs/dbraw/zinc/66/59/54/702665954.db2.gz MTLKZHXAXSPKLU-JTQLQIEISA-N -1 1 321.377 1.597 20 0 DDADMM Cc1cc(C[N-]C(=O)C(F)(F)F)cc(N[C@@H](C)C(N)=O)c1 ZINC000842156077 702677757 /nfs/dbraw/zinc/67/77/57/702677757.db2.gz KTSDRYHYGSEFSF-QMMMGPOBSA-N -1 1 303.284 1.459 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(C)c1C1CC1)C(C)C ZINC000842587210 702737107 /nfs/dbraw/zinc/73/71/07/702737107.db2.gz ACZJBFUUZYMDSY-LBPRGKRZSA-N -1 1 301.412 1.710 20 0 DDADMM CCNCc1noc([C@H](C)[N-]S(=O)(=O)c2cccs2)n1 ZINC000843143688 702828279 /nfs/dbraw/zinc/82/82/79/702828279.db2.gz JSJUTRVQVYOSAS-QMMMGPOBSA-N -1 1 316.408 1.280 20 0 DDADMM CCCO[N-]C(=O)[C@@H]1CC(=O)N(c2cc(OC)cc(OC)c2)C1 ZINC000844085803 702969828 /nfs/dbraw/zinc/96/98/28/702969828.db2.gz RTNPPJHINZKHGM-LLVKDONJSA-N -1 1 322.361 1.515 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3CCO[C@H]3c3ccncc3)ccnc1-2 ZINC000844503134 703039200 /nfs/dbraw/zinc/03/92/00/703039200.db2.gz RKYFJUCTWXWVHW-DOMZBBRYSA-N -1 1 323.356 1.453 20 0 DDADMM CCCC[C@@](C)(F)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000831581785 706733818 /nfs/dbraw/zinc/73/38/18/706733818.db2.gz QPIRCZUXSMVJDA-CYBMUJFWSA-N -1 1 318.370 1.897 20 0 DDADMM CCCC[C@](C)(F)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000831581786 706733843 /nfs/dbraw/zinc/73/38/43/706733843.db2.gz QPIRCZUXSMVJDA-ZDUSSCGKSA-N -1 1 318.370 1.897 20 0 DDADMM CS(=O)(=O)c1ccc(CN2C[C@H]3CCC[C@]3(C(=O)[O-])C2)cc1 ZINC000846285809 703261672 /nfs/dbraw/zinc/26/16/72/703261672.db2.gz VHEBEHQRHBSSRO-CJNGLKHVSA-N -1 1 323.414 1.777 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@@H](C)CO1 ZINC000846435746 703275947 /nfs/dbraw/zinc/27/59/47/703275947.db2.gz ZJGPVTNAIWMADE-GXSJLCMTSA-N -1 1 306.391 1.658 20 0 DDADMM CC(C)(CO)[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C1CC1 ZINC000831597554 706736592 /nfs/dbraw/zinc/73/65/92/706736592.db2.gz LIKNERSSFWLBDU-SECBINFHSA-N -1 1 307.803 1.139 20 0 DDADMM O=C(C=Cc1cncc(O)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847081777 703375242 /nfs/dbraw/zinc/37/52/42/703375242.db2.gz VKFFHGHYCIJNGU-UFFNRZRYSA-N -1 1 316.317 1.295 20 0 DDADMM O=C(C1CC2(CC2(F)F)C1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847094179 703377182 /nfs/dbraw/zinc/37/71/82/703377182.db2.gz XTSXHFUJFUFRLB-BVDKZHGWSA-N -1 1 313.304 1.917 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCCO1)c1nc[nH]c1Br ZINC000866600806 706741931 /nfs/dbraw/zinc/74/19/31/706741931.db2.gz HGPOLDAMTWWJLB-SSDOTTSWSA-N -1 1 324.200 1.020 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@H]2CC(=O)N(C(C)C)C2)[n-]1 ZINC000847765558 703464234 /nfs/dbraw/zinc/46/42/34/703464234.db2.gz IFXMXYMBBDGYKN-JTQLQIEISA-N -1 1 308.334 1.215 20 0 DDADMM CN(C)C(=O)OCC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000849446542 703648675 /nfs/dbraw/zinc/64/86/75/703648675.db2.gz WJAHAJKWSQVNFP-UHFFFAOYSA-N -1 1 324.761 1.456 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)/C=C/Cn2cncn2)c1 ZINC000850162131 703709276 /nfs/dbraw/zinc/70/92/76/703709276.db2.gz DFEPWYHADHACSU-NSCUHMNNSA-N -1 1 315.329 1.528 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@]2(C)CC2(F)F)o1 ZINC000851261513 703788860 /nfs/dbraw/zinc/78/88/60/703788860.db2.gz QUTNTRRROVFZCN-SNVBAGLBSA-N -1 1 309.290 1.390 20 0 DDADMM CCOC1(COC(=O)c2ccc(S(=O)(=O)[N-]C)o2)CCC1 ZINC000851553982 703808717 /nfs/dbraw/zinc/80/87/17/703808717.db2.gz IYQXFAFYFVNYOS-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM C[C@@H]1C[C@H]1CNC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000851960037 703891631 /nfs/dbraw/zinc/89/16/31/703891631.db2.gz UJNFQODMGHSPNV-ZNSHCXBVSA-N -1 1 321.343 1.741 20 0 DDADMM Cc1ccccc1C1(C[N-]S(=O)(=O)N=S(C)(C)=O)CC1 ZINC000866714553 706773623 /nfs/dbraw/zinc/77/36/23/706773623.db2.gz RQUBUXCNILIFFL-UHFFFAOYSA-N -1 1 316.448 1.589 20 0 DDADMM O=C(c1ncc[nH]1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870163398 703939645 /nfs/dbraw/zinc/93/96/45/703939645.db2.gz CPNDPQOYVAPAQF-UHFFFAOYSA-N -1 1 320.780 1.727 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@H]2CC2(C)C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852381756 704030049 /nfs/dbraw/zinc/03/00/49/704030049.db2.gz ZUUUCJOCWKAKLO-UTLUCORTSA-N -1 1 321.343 1.883 20 0 DDADMM CCCCC[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000852735130 704108834 /nfs/dbraw/zinc/10/88/34/704108834.db2.gz GIFDTMMWAGPWLV-ZJUUUORDSA-N -1 1 310.316 1.773 20 0 DDADMM Cc1nc(CCC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cs1 ZINC000819968628 704193991 /nfs/dbraw/zinc/19/39/91/704193991.db2.gz FHISAONYGRQICN-SNVBAGLBSA-N -1 1 322.390 1.879 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H]2CCSC2)c1 ZINC000820003891 704201059 /nfs/dbraw/zinc/20/10/59/704201059.db2.gz IYHPQYWCCZPLBG-WYRIXSBYSA-N -1 1 300.401 1.852 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC[C@@H]2CCCS2)o1 ZINC000871027029 704203819 /nfs/dbraw/zinc/20/38/19/704203819.db2.gz KXZXSQFBKNGIMG-VIFPVBQESA-N -1 1 318.420 1.203 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2nc(C)no2)c1 ZINC000820053574 704211315 /nfs/dbraw/zinc/21/13/15/704211315.db2.gz YJTVJGZPPVGRAP-PVBMHHQDSA-N -1 1 324.358 1.799 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]CCSc1ccccc1 ZINC000820781434 704330191 /nfs/dbraw/zinc/33/01/91/704330191.db2.gz UZAPGSJGZTYAKG-UHFFFAOYSA-N -1 1 308.450 1.341 20 0 DDADMM Cn1[n-]c(C(=O)Nc2ccc(N3CCN(C)CC3)cc2)cc1=O ZINC000854259449 704372853 /nfs/dbraw/zinc/37/28/53/704372853.db2.gz MHGJLLFOUUGHQX-UHFFFAOYSA-N -1 1 315.377 1.130 20 0 DDADMM COc1cccc([N-]S(=O)(=O)N=S2(=O)CCCC2)c1 ZINC000821116703 704373534 /nfs/dbraw/zinc/37/35/34/704373534.db2.gz VLFADWPUSMXTDT-UHFFFAOYSA-N -1 1 304.393 1.614 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]c1cccc(F)c1F ZINC000821163140 704377445 /nfs/dbraw/zinc/37/74/45/704377445.db2.gz HOKBQPFQFJLVNQ-UHFFFAOYSA-N -1 1 310.347 1.883 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2c([O-])cccc2Cl)CS1(=O)=O ZINC000855704036 704498490 /nfs/dbraw/zinc/49/84/90/704498490.db2.gz RQWDVQARWLOJBY-RKDXNWHRSA-N -1 1 317.794 1.741 20 0 DDADMM CO[C@H](C)CCC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417358 704528068 /nfs/dbraw/zinc/52/80/68/704528068.db2.gz RQZKXJYWVMSHKV-MXWKQRLJSA-N -1 1 324.343 1.717 20 0 DDADMM CCC1(C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)COC1 ZINC000856417762 704528148 /nfs/dbraw/zinc/52/81/48/704528148.db2.gz IGLMKFKPQSSLMD-ZJUUUORDSA-N -1 1 322.327 1.329 20 0 DDADMM CC(C)(CNC(=O)CCn1cc[n-]c(=O)c1=O)CC(F)(F)F ZINC000856520037 704532012 /nfs/dbraw/zinc/53/20/12/704532012.db2.gz QMYLLZHMLDELRW-UHFFFAOYSA-N -1 1 321.299 1.022 20 0 DDADMM CC(C)(CO)CCC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866865628 706829983 /nfs/dbraw/zinc/82/99/83/706829983.db2.gz WUNGNVIADSCYDP-UHFFFAOYSA-N -1 1 308.350 1.437 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCn2cncc21 ZINC000857983533 704655773 /nfs/dbraw/zinc/65/57/73/704655773.db2.gz BGNNICAOVFVIPB-JTQLQIEISA-N -1 1 301.350 1.685 20 0 DDADMM CCCCNC(=O)C1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858345715 704697906 /nfs/dbraw/zinc/69/79/06/704697906.db2.gz FJAMLWJEERTKIQ-UHFFFAOYSA-N -1 1 312.801 1.968 20 0 DDADMM C[C@@H](N1CCN(c2cc(Cl)[n-]c(=O)n2)CC1)C(F)(F)F ZINC000858436970 704710819 /nfs/dbraw/zinc/71/08/19/704710819.db2.gz ULDKDDDJWRTWSZ-SSDOTTSWSA-N -1 1 310.707 1.908 20 0 DDADMM O=c1nc(N2CCSC3(CCOCC3)C2)cc(Cl)[n-]1 ZINC000858485559 704717154 /nfs/dbraw/zinc/71/71/54/704717154.db2.gz FWGGAKZVAPPDNB-UHFFFAOYSA-N -1 1 301.799 1.938 20 0 DDADMM CC1(C)CCc2onc(CN3C[C@@H]4COC[C@]4(C(=O)[O-])C3)c2C1 ZINC000873644093 704815054 /nfs/dbraw/zinc/81/50/54/704815054.db2.gz UMTSOOIZMQPGHT-PIGZYNQJSA-N -1 1 320.389 1.723 20 0 DDADMM CO[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C12CCC2 ZINC000867386348 706983748 /nfs/dbraw/zinc/98/37/48/706983748.db2.gz JOESRVKQHKDDKJ-ZJUUUORDSA-N -1 1 318.345 1.596 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@@H](O)C(C)C ZINC000867406606 706990761 /nfs/dbraw/zinc/99/07/61/706990761.db2.gz WRTTVZKPOMDPBI-KWQFWETISA-N -1 1 308.350 1.434 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C2CC(C)(C)C2)c1C(F)(F)F ZINC000867535498 707032392 /nfs/dbraw/zinc/03/23/92/707032392.db2.gz JXGRFDDLMUVTBK-UHFFFAOYSA-N -1 1 311.329 1.906 20 0 DDADMM Cc1ccccc1-n1cc(CN2CC[C@@](C)(C(=O)[O-])C2)nn1 ZINC000859810431 705010502 /nfs/dbraw/zinc/01/05/02/705010502.db2.gz NXQUJWAJVMTEMT-MRXNPFEDSA-N -1 1 300.362 1.872 20 0 DDADMM O=S(=O)([N-]CC1CCC(O)CC1)c1ccc(F)nc1F ZINC000867527199 707029415 /nfs/dbraw/zinc/02/94/15/707029415.db2.gz BTGLBQCBMFPUDL-UHFFFAOYSA-N -1 1 306.334 1.189 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CC2=CCCC2)c1C(F)(F)F ZINC000867530525 707030668 /nfs/dbraw/zinc/03/06/68/707030668.db2.gz YQCGNTHLYAVMSH-UHFFFAOYSA-N -1 1 309.313 1.828 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCc2cc(OC)ccc21)c1nn[n-]n1 ZINC000859945293 705049545 /nfs/dbraw/zinc/04/95/45/705049545.db2.gz ONCXFRPPQZBSTD-ZIAGYGMSSA-N -1 1 315.377 1.896 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc2scnc21)c1nn[n-]n1 ZINC000860786178 705282234 /nfs/dbraw/zinc/28/22/34/705282234.db2.gz XUNIQBLXSIIKNR-QMMMGPOBSA-N -1 1 302.363 1.685 20 0 DDADMM CC(C)[C@@H]1C[C@H]1NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875659950 705466633 /nfs/dbraw/zinc/46/66/33/705466633.db2.gz CSPOJXXLRDCXIB-VHSXEESVSA-N -1 1 307.316 1.351 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]3[C@H]3C[C@H]3C)nc2n1 ZINC000875838345 705532026 /nfs/dbraw/zinc/53/20/26/705532026.db2.gz KMDMDTCHZLMEMQ-SASUGWTJSA-N -1 1 315.377 1.601 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@@H]1CNC(=O)C[C@H]1C(F)(F)F ZINC000824989878 705573064 /nfs/dbraw/zinc/57/30/64/705573064.db2.gz JPMJPNQBHZBNRR-RNFRBKRXSA-N -1 1 320.242 1.784 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCc1ncnn1C ZINC000862087792 705648126 /nfs/dbraw/zinc/64/81/26/705648126.db2.gz FDASVRVZHDJZJZ-UHFFFAOYSA-N -1 1 318.381 1.105 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)[C@H]1C[C@@]1(C)Br ZINC000862152332 705665141 /nfs/dbraw/zinc/66/51/41/705665141.db2.gz BZXMUOURYWBFCK-RDDDGLTNSA-N -1 1 308.172 1.549 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCN(c2nccnn2)CC1 ZINC000862435279 705717654 /nfs/dbraw/zinc/71/76/54/705717654.db2.gz UNIYUJCUTGHGQO-UHFFFAOYSA-N -1 1 319.752 1.193 20 0 DDADMM CO[C@H]1CCCN(c2snc(Cl)c2-c2nnn[n-]2)CC1 ZINC000826147152 705778937 /nfs/dbraw/zinc/77/89/37/705778937.db2.gz OMYIALWBICCHJN-ZETCQYMHSA-N -1 1 314.802 1.982 20 0 DDADMM CO[C@H]1CCCN(c2snc(Cl)c2-c2nn[n-]n2)CC1 ZINC000826147152 705778939 /nfs/dbraw/zinc/77/89/39/705778939.db2.gz OMYIALWBICCHJN-ZETCQYMHSA-N -1 1 314.802 1.982 20 0 DDADMM Cc1onc(CC(=O)N2CCCCC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826344201 705793843 /nfs/dbraw/zinc/79/38/43/705793843.db2.gz ADPDAXVVCRMCIN-VIFPVBQESA-N -1 1 304.354 1.497 20 0 DDADMM Cc1onc(CC(=O)N2CCCCC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826344201 705793845 /nfs/dbraw/zinc/79/38/45/705793845.db2.gz ADPDAXVVCRMCIN-VIFPVBQESA-N -1 1 304.354 1.497 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@H](C)C2)c1-c1nnn[n-]1 ZINC000826346752 705794366 /nfs/dbraw/zinc/79/43/66/705794366.db2.gz UYUKIKVPGOHXEC-WCBMZHEXSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@H](C)C2)c1-c1nn[n-]n1 ZINC000826346752 705794367 /nfs/dbraw/zinc/79/43/67/705794367.db2.gz UYUKIKVPGOHXEC-WCBMZHEXSA-N -1 1 304.354 1.401 20 0 DDADMM O=C(NCc1ccc(Cl)cn1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826441597 705800515 /nfs/dbraw/zinc/80/05/15/705800515.db2.gz LOLJZKGNAIALOC-UHFFFAOYSA-N -1 1 315.724 1.240 20 0 DDADMM O=C(NCc1ccc(Cl)cn1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826441597 705800518 /nfs/dbraw/zinc/80/05/18/705800518.db2.gz LOLJZKGNAIALOC-UHFFFAOYSA-N -1 1 315.724 1.240 20 0 DDADMM CC1=C(C(=O)Nc2cccc(F)c2[O-])[C@@H](C)n2nnnc2N1C ZINC000863008551 705849319 /nfs/dbraw/zinc/84/93/19/705849319.db2.gz WHWZRTMESSZQAP-MRVPVSSYSA-N -1 1 318.312 1.441 20 0 DDADMM O=C(CNC(=O)c1ccc2n[n-]c(=S)n2c1)C1CCCC1 ZINC000863059866 705858041 /nfs/dbraw/zinc/85/80/41/705858041.db2.gz SSFXUCVHWDUQPK-UHFFFAOYSA-N -1 1 304.375 1.507 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2CCC[C@]2(CO)C1 ZINC000863226068 705884905 /nfs/dbraw/zinc/88/49/05/705884905.db2.gz OIIFSKYHIXRJPC-YVEFUNNKSA-N -1 1 319.405 1.849 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccc(Cl)nc2)CC[C@@H]1C(=O)[O-] ZINC000863532224 705950289 /nfs/dbraw/zinc/95/02/89/705950289.db2.gz KYPMAYDSQUNRCB-KOLCDFICSA-N -1 1 311.769 1.716 20 0 DDADMM O=C(c1ccsc1C(F)F)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000827961537 706094424 /nfs/dbraw/zinc/09/44/24/706094424.db2.gz PMIDDMVAHWMLCY-SSDOTTSWSA-N -1 1 315.305 1.413 20 0 DDADMM CC(C)(C)n1cnc(NC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC000828299897 706156757 /nfs/dbraw/zinc/15/67/57/706156757.db2.gz BOLPPQHKZZZAIZ-UHFFFAOYSA-N -1 1 317.378 1.617 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)c1sccc1Cl)N(C)C ZINC000835949403 707356026 /nfs/dbraw/zinc/35/60/26/707356026.db2.gz YFLAPQYJUCUWDC-SECBINFHSA-N -1 1 324.855 1.793 20 0 DDADMM O=C([N-]OCC(F)F)[C@@H]1CCc2nnc(-c3ccccc3)n2C1 ZINC000828461326 706184255 /nfs/dbraw/zinc/18/42/55/706184255.db2.gz SIHWXUGVKVZLJX-LLVKDONJSA-N -1 1 322.315 1.820 20 0 DDADMM O=C([N-]CC1CN(Cc2nc3ccccc3o2)C1)C(F)(F)F ZINC000877776237 706206362 /nfs/dbraw/zinc/20/63/62/706206362.db2.gz BUSZTOXXEKLEMC-UHFFFAOYSA-N -1 1 313.279 1.938 20 0 DDADMM O=C(C[C@H]1CC=CCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000828688606 706217511 /nfs/dbraw/zinc/21/75/11/706217511.db2.gz HCOXUIKANIQMTB-NSHDSACASA-N -1 1 303.362 1.618 20 0 DDADMM CCn1nc(C)c(C(=O)CSc2nc(C)c(C)c(=O)[n-]2)n1 ZINC000829426497 706331983 /nfs/dbraw/zinc/33/19/83/706331983.db2.gz MKUCJYJGQFNOPB-UHFFFAOYSA-N -1 1 307.379 1.694 20 0 DDADMM O=C([N-]CCCSc1ncc(CCO)cn1)C(F)(F)F ZINC000865392006 706420087 /nfs/dbraw/zinc/42/00/87/706420087.db2.gz MKYSTCRMWXNOKT-UHFFFAOYSA-N -1 1 309.313 1.172 20 0 DDADMM COc1ccc(F)cc1CS(=O)(=O)[N-]Cc1nc(C)no1 ZINC000882119346 707506724 /nfs/dbraw/zinc/50/67/24/707506724.db2.gz GMCMXHFEHKFESY-UHFFFAOYSA-N -1 1 315.326 1.145 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1C[C@@H]2C[C@]2(CO)C1 ZINC000830638770 706551139 /nfs/dbraw/zinc/55/11/39/706551139.db2.gz ROUVEOOJCKVEIH-TVQRCGJNSA-N -1 1 301.264 1.865 20 0 DDADMM CC[C@](C)(CC(=O)OC)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830783180 706579054 /nfs/dbraw/zinc/57/90/54/706579054.db2.gz VHOPQRKGPKPICV-SNVBAGLBSA-N -1 1 309.775 1.073 20 0 DDADMM CO[C@H](C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CCC1 ZINC000830788391 706579810 /nfs/dbraw/zinc/57/98/10/706579810.db2.gz CLUIMKHEMWKLCH-GXFFZTMASA-N -1 1 322.327 1.471 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC000830790281 706580017 /nfs/dbraw/zinc/58/00/17/706580017.db2.gz QYXNXAAKWLQPLL-WTBMIXGQSA-N -1 1 304.312 1.702 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)[C@H](C)O1 ZINC000830789311 706580093 /nfs/dbraw/zinc/58/00/93/706580093.db2.gz IZVWFKZIFMFLQG-KEPMVKOISA-N -1 1 322.327 1.469 20 0 DDADMM CCc1cc(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)n[nH]1 ZINC000830833658 706589543 /nfs/dbraw/zinc/58/95/43/706589543.db2.gz RVVLKEYSTPIHBE-LBPRGKRZSA-N -1 1 318.299 1.255 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1ccccc1O ZINC000866835994 706820145 /nfs/dbraw/zinc/82/01/45/706820145.db2.gz VUJFNYIHTDDLPU-UHFFFAOYSA-N -1 1 320.436 1.277 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-][C@@H]1CCSC1)c1ccccc1 ZINC000866885334 706834546 /nfs/dbraw/zinc/83/45/46/706834546.db2.gz FQNGPSHBESXUAE-MLCYQJTMSA-N -1 1 320.461 1.483 20 0 DDADMM COC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866962617 706856890 /nfs/dbraw/zinc/85/68/90/706856890.db2.gz PIGQOZCQGJCAMR-RXMQYKEDSA-N -1 1 313.162 1.228 20 0 DDADMM CC(C)OCC(C)(C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867407472 706991111 /nfs/dbraw/zinc/99/11/11/706991111.db2.gz SFFHHWIRGBJBJL-UHFFFAOYSA-N -1 1 308.350 1.842 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H](CO)C1)c1ccc(F)nc1F ZINC000867410377 706991905 /nfs/dbraw/zinc/99/19/05/706991905.db2.gz ZQEYCOYCNHZLMP-BDAKNGLRSA-N -1 1 306.334 1.189 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1N1CCOCC1 ZINC000880496882 706995797 /nfs/dbraw/zinc/99/57/97/706995797.db2.gz AJLLNEAFJFJCKT-OLZOCXBDSA-N -1 1 305.378 1.016 20 0 DDADMM O=C([O-])NCC1(C(=O)N[C@H]2CCc3[nH]cnc3C2)CCCC1 ZINC000834205839 707021755 /nfs/dbraw/zinc/02/17/55/707021755.db2.gz DQQRJWHDSRTAGS-JTQLQIEISA-N -1 1 306.366 1.211 20 0 DDADMM O=S(=O)([N-][C@H]1CO[C@H](C2CC2)C1)c1ccc(F)nc1F ZINC000867564052 707040947 /nfs/dbraw/zinc/04/09/47/707040947.db2.gz GCTFLAWXKLKSRS-BDAKNGLRSA-N -1 1 304.318 1.206 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2CSCCS2)cc(=O)[n-]1 ZINC000880652204 707048443 /nfs/dbraw/zinc/04/84/43/707048443.db2.gz GMAXIUFCROSRTC-QMMMGPOBSA-N -1 1 317.461 1.369 20 0 DDADMM C[C@@H](C(=O)NCc1ccc([O-])c(Cl)c1)[C@H](C)S(C)(=O)=O ZINC000834825269 707131501 /nfs/dbraw/zinc/13/15/01/707131501.db2.gz JFTHWPDCFCLZOP-BDAKNGLRSA-N -1 1 319.810 1.731 20 0 DDADMM CCn1cnnc1CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000871626266 707143951 /nfs/dbraw/zinc/14/39/51/707143951.db2.gz CUKAEXXQHSHHOW-UHFFFAOYSA-N -1 1 309.757 1.656 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCC(=O)NC(C)(C)C)cc1 ZINC000871799964 707209368 /nfs/dbraw/zinc/20/93/68/707209368.db2.gz VNKDDQOPQXOSJB-UHFFFAOYSA-N -1 1 308.378 1.590 20 0 DDADMM O=C1[C@H]2[C@@H](O)CCCN2C(=O)N1Cc1ccc([O-])c(Cl)c1 ZINC000871912080 707242559 /nfs/dbraw/zinc/24/25/59/707242559.db2.gz PSPKPOVERHHLBG-NWDGAFQWSA-N -1 1 310.737 1.333 20 0 DDADMM CS(=O)(=O)CCn1[n-]c2c(c1=O)CCCc1ccccc1-2 ZINC000871951975 707253431 /nfs/dbraw/zinc/25/34/31/707253431.db2.gz UNUIORNSJXKEFQ-CYBMUJFWSA-N -1 1 306.387 1.230 20 0 DDADMM CCOCC(C)(C)[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872491628 707443137 /nfs/dbraw/zinc/44/31/37/707443137.db2.gz XUSBNBVDZUKAGM-GOSISDBHSA-N -1 1 314.473 1.532 20 0 DDADMM CC(C)(F)CC[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872504257 707450853 /nfs/dbraw/zinc/45/08/53/707450853.db2.gz ICKRVBXGYPIGEK-QGZVFWFLSA-N -1 1 302.437 1.855 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@H](O)C1)c1ccc(Br)o1 ZINC000872578785 707491529 /nfs/dbraw/zinc/49/15/29/707491529.db2.gz MWTMASSWGSJZOM-LJGSYFOKSA-N -1 1 310.169 1.091 20 0 DDADMM CC1CCN(CC(=O)NC[C@@H](Cc2ccccc2)C(=O)[O-])CC1 ZINC000909178731 712955617 /nfs/dbraw/zinc/95/56/17/712955617.db2.gz BVIRZTKATKJGGB-MRXNPFEDSA-N -1 1 318.417 1.778 20 0 DDADMM Cc1cc(N2C[C@@H](C(=O)[N-]OC(C)(C)CO)CC2=O)ccc1F ZINC000836804876 707519808 /nfs/dbraw/zinc/51/98/08/707519808.db2.gz LMEPTGAXKJQCFI-NSHDSACASA-N -1 1 324.352 1.306 20 0 DDADMM Cc1cnc(C(=O)N2CCN(c3cccc(F)n3)CC2)c([O-])c1 ZINC000836836675 707527055 /nfs/dbraw/zinc/52/70/55/707527055.db2.gz OCVNXGNNGQQMJW-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000836901773 707546581 /nfs/dbraw/zinc/54/65/81/707546581.db2.gz USSUBWNAOLFZRI-JSGCOSHPSA-N -1 1 306.362 1.104 20 0 DDADMM C[C@@]1(CS(=O)(=O)[N-][C@]2(C)CC(C)(C)OC2=O)CC1(F)F ZINC000882224459 707546566 /nfs/dbraw/zinc/54/65/66/707546566.db2.gz XTFGADOFGDNWSY-WDEREUQCSA-N -1 1 311.350 1.435 20 0 DDADMM CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000837298373 707615252 /nfs/dbraw/zinc/61/52/52/707615252.db2.gz LRLNTLMHDCZGTQ-NWDGAFQWSA-N -1 1 324.381 1.307 20 0 DDADMM CCN(CC)C(=O)CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872853528 707625696 /nfs/dbraw/zinc/62/56/96/707625696.db2.gz UTOHHUJKBRLUMA-UHFFFAOYSA-N -1 1 313.785 1.713 20 0 DDADMM C[C@H]1CC[C@@](C)(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)C1(C)C ZINC000837403988 707638319 /nfs/dbraw/zinc/63/83/19/707638319.db2.gz IKMYSRYGYPRCNO-RWSFTLGLSA-N -1 1 307.398 1.562 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000882480687 707650276 /nfs/dbraw/zinc/65/02/76/707650276.db2.gz KZMPFHACHYGCDL-CYBMUJFWSA-N -1 1 300.362 1.402 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000872930284 707659347 /nfs/dbraw/zinc/65/93/47/707659347.db2.gz HPBOCHQUEFLJHA-SNVBAGLBSA-N -1 1 323.780 1.608 20 0 DDADMM CC1(C)[C@H](C(=O)NCc2nc3ccc(F)cc3[nH]2)[C@@H]1C(=O)[O-] ZINC000872931288 707659702 /nfs/dbraw/zinc/65/97/02/707659702.db2.gz ZYHMGJAPISPHCJ-NWDGAFQWSA-N -1 1 305.309 1.675 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)NC[C@H]1CCCNC1=O ZINC000873042592 707705753 /nfs/dbraw/zinc/70/57/53/707705753.db2.gz SAFCGHNQNBSWES-SNVBAGLBSA-N -1 1 311.769 1.371 20 0 DDADMM COc1cccc([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)c1C ZINC000882767500 707784555 /nfs/dbraw/zinc/78/45/55/707784555.db2.gz PVHGAXCNNWZNSX-LJQANCHMSA-N -1 1 321.424 1.235 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2CC2(F)F)cc1 ZINC000837987738 707805117 /nfs/dbraw/zinc/80/51/17/707805117.db2.gz KNPCBISNSRYWGZ-SNVBAGLBSA-N -1 1 313.256 1.150 20 0 DDADMM COc1cccc2c1n[nH]c2C(=O)OCCNC(=O)C1CC1 ZINC000909330040 712993161 /nfs/dbraw/zinc/99/31/61/712993161.db2.gz KXGJFGXWINLICM-UHFFFAOYSA-N -1 1 303.318 1.255 20 0 DDADMM CN1CCCC[C@H]1C(=O)NC[C@H](Oc1ccc(F)cc1)C(=O)[O-] ZINC000909385846 713005691 /nfs/dbraw/zinc/00/56/91/713005691.db2.gz BHMDPLISILEMAD-KBPBESRZSA-N -1 1 324.352 1.258 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CC[C@@H](O)[C@@H](F)C1 ZINC000896754624 708142569 /nfs/dbraw/zinc/14/25/69/708142569.db2.gz KTQBAAMWNZGKOV-QWHCGFSZSA-N -1 1 318.295 1.327 20 0 DDADMM COCc1nc(N2CC[C@H](Cc3nccs3)C2)cc(=O)[n-]1 ZINC000897279678 708278277 /nfs/dbraw/zinc/27/82/77/708278277.db2.gz WPDMWGKRHCCKTA-SNVBAGLBSA-N -1 1 306.391 1.854 20 0 DDADMM Cc1csc(NCCNC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC000909439661 713018932 /nfs/dbraw/zinc/01/89/32/713018932.db2.gz CQQQYDXPAQMCLL-UHFFFAOYSA-N -1 1 319.390 1.666 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(C(=O)c2cncc([O-])c2)[C@H]2C[C@H]21 ZINC000884842788 708391908 /nfs/dbraw/zinc/39/19/08/708391908.db2.gz FICYCUFNSAKENY-QWHCGFSZSA-N -1 1 319.361 1.621 20 0 DDADMM O=C([N-]n1ccccc1=O)c1ccnc2[nH]c(CC3CC3)nc21 ZINC000897609754 708392779 /nfs/dbraw/zinc/39/27/79/708392779.db2.gz KRXPJEPKUTVVCU-UHFFFAOYSA-N -1 1 309.329 1.456 20 0 DDADMM C[C@H](C[C@@H](O)c1ccccc1)[N-]S(=O)(=O)c1ccns1 ZINC000885055148 708457703 /nfs/dbraw/zinc/45/77/03/708457703.db2.gz ROGNFZVKMIPIIN-ZYHUDNBSSA-N -1 1 312.416 1.934 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC(=O)CC[C@@H]2C)c(=O)[n-]1 ZINC000885099051 708471775 /nfs/dbraw/zinc/47/17/75/708471775.db2.gz DOZDVDXYQRWKBA-VIFPVBQESA-N -1 1 323.418 1.725 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1CCC(F)(F)CC1 ZINC000912542371 713033120 /nfs/dbraw/zinc/03/31/20/713033120.db2.gz NQXQTOAPOSEFNJ-UHFFFAOYSA-N -1 1 323.321 1.053 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)c1ccns1 ZINC000885269871 708506305 /nfs/dbraw/zinc/50/63/05/708506305.db2.gz ZUAXQKHBGXAFMY-QMMMGPOBSA-N -1 1 306.409 1.399 20 0 DDADMM C[C@H](C(=O)Nc1cc(F)c([O-])cc1Cl)N1C(=O)CCC1=O ZINC000885674603 708594348 /nfs/dbraw/zinc/59/43/48/708594348.db2.gz RWDMAZPEPFEKBV-ZCFIWIBFSA-N -1 1 314.700 1.661 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cnn2c1CCC2 ZINC000886268563 708732773 /nfs/dbraw/zinc/73/27/73/708732773.db2.gz VAPHPKAYPVGSRQ-UHFFFAOYSA-N -1 1 307.300 1.786 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cc2n(n1)CCC2 ZINC000886268430 708733006 /nfs/dbraw/zinc/73/30/06/708733006.db2.gz PAEPFYLNKBSGEF-UHFFFAOYSA-N -1 1 307.300 1.786 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-][C@](C)(CC)C(F)(F)F ZINC000886402747 708754214 /nfs/dbraw/zinc/75/42/14/708754214.db2.gz YCZISHIMDKZFNZ-SNVBAGLBSA-N -1 1 313.345 1.992 20 0 DDADMM CC(C)[C@H](CCO)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927783230 713054915 /nfs/dbraw/zinc/05/49/15/713054915.db2.gz WKWDVRRJCOAERM-AWEZNQCLSA-N -1 1 316.348 1.919 20 0 DDADMM CC(C)[C@@H](C(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccccc1 ZINC000898754984 708855347 /nfs/dbraw/zinc/85/53/47/708855347.db2.gz DTCYNXIASAFICG-OAHLLOKOSA-N -1 1 301.346 1.944 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CC[C@@H]1c1ccc(F)cc1 ZINC000898755114 708855854 /nfs/dbraw/zinc/85/58/54/708855854.db2.gz MBADDGINSLLISE-NEPJUHHUSA-N -1 1 317.320 1.837 20 0 DDADMM CC(C)C[C@H]1C(=O)N[C@@H](c2n[nH]c3cc(C(=O)[O-])ccc32)N1C ZINC000887333035 709020700 /nfs/dbraw/zinc/02/07/00/709020700.db2.gz TWOFBYGJRAJZFW-GXTWGEPZSA-N -1 1 316.361 1.736 20 0 DDADMM Cn1nccc1[C@H]1CN(C(=O)c2c([O-])cccc2Cl)CCO1 ZINC000887596492 709078583 /nfs/dbraw/zinc/07/85/83/709078583.db2.gz WORJZCDGTRKCGT-CYBMUJFWSA-N -1 1 321.764 1.993 20 0 DDADMM Cc1noc(C[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000887634706 709087501 /nfs/dbraw/zinc/08/75/01/709087501.db2.gz ARHDBLSRTSKCDT-NSHDSACASA-N -1 1 302.334 1.574 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@H]1C[C@@H]1CCOC1 ZINC000887811422 709130506 /nfs/dbraw/zinc/13/05/06/709130506.db2.gz STJLWHBSELLBQD-NWDGAFQWSA-N -1 1 309.337 1.799 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@H]([C@@H]4CCCO4)C3)ccnc1-2 ZINC000888055640 709202254 /nfs/dbraw/zinc/20/22/54/709202254.db2.gz CCFWMMSFWJUOHV-FZMZJTMJSA-N -1 1 315.377 1.375 20 0 DDADMM CN=[S@@](C)(=O)CCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000912781312 713090948 /nfs/dbraw/zinc/09/09/48/713090948.db2.gz JALILDMGEPJVSL-QFIPXVFZSA-N -1 1 322.386 1.708 20 0 DDADMM CC[C@@](O)(CC(=O)[N-]OCCCC(=O)OC)c1ccccc1 ZINC000888817163 709385006 /nfs/dbraw/zinc/38/50/06/709385006.db2.gz YAXVGMYIXSCFDV-MRXNPFEDSA-N -1 1 309.362 1.675 20 0 DDADMM CN(Cc1ccc(OCC(=O)[O-])cc1)C(=O)[C@H]1CCCCN1C ZINC000909506608 709494192 /nfs/dbraw/zinc/49/41/92/709494192.db2.gz LTGVIJRSIOPADM-OAHLLOKOSA-N -1 1 320.389 1.593 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)Nc1ccc(CC(=O)[O-])cc1 ZINC000909507093 709494466 /nfs/dbraw/zinc/49/44/66/709494466.db2.gz SZMQNUCFBXLUEP-UHFFFAOYSA-N -1 1 320.389 1.611 20 0 DDADMM O=C([O-])C1(CNC(=O)[C@H]2CCCc3[nH]ncc32)CCCCC1 ZINC000909526649 709502108 /nfs/dbraw/zinc/50/21/08/709502108.db2.gz SRXXWVNVUNDBIV-NSHDSACASA-N -1 1 305.378 1.981 20 0 DDADMM COC(=O)[C@@](C)(CO)NC(=O)c1ccc2ccccc2c1[O-] ZINC000909585532 709528351 /nfs/dbraw/zinc/52/83/51/709528351.db2.gz TZJUBEBTRIVBOV-MRXNPFEDSA-N -1 1 303.314 1.199 20 0 DDADMM CO[C@H]1CCCC[C@H]1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912863427 713111655 /nfs/dbraw/zinc/11/16/55/713111655.db2.gz XONYWQQJKFEGBQ-VWYCJHECSA-N -1 1 313.427 1.315 20 0 DDADMM C[C@H]1NCCn2c(C(=O)N[C@H](C(=O)[O-])c3ccccc3)ccc21 ZINC000900456155 709603072 /nfs/dbraw/zinc/60/30/72/709603072.db2.gz FXQLTBJUKIRFLZ-ABAIWWIYSA-N -1 1 313.357 1.708 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CC2(O)CCCC2)[n-]c1=O ZINC000889792382 709638091 /nfs/dbraw/zinc/63/80/91/709638091.db2.gz XZCZLWFCLYJJQN-LLVKDONJSA-N -1 1 321.377 1.550 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccc(C)n2C)[n-]c1=O ZINC000889794802 709639266 /nfs/dbraw/zinc/63/92/66/709639266.db2.gz RFNBWCVOIBUJSK-NSHDSACASA-N -1 1 316.361 1.815 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccc(C)n2C)[n-]c1=O ZINC000889794801 709639669 /nfs/dbraw/zinc/63/96/69/709639669.db2.gz RFNBWCVOIBUJSK-LLVKDONJSA-N -1 1 316.361 1.815 20 0 DDADMM C[C@H]1CC[C@H](c2nc(CC(=O)NCc3nn[n-]n3)cs2)CC1 ZINC000900659632 709699339 /nfs/dbraw/zinc/69/93/39/709699339.db2.gz PZDKEYUXXIFZHD-MGCOHNPYSA-N -1 1 320.422 1.809 20 0 DDADMM C[C@H](C(=O)Nc1cc([O-])c(F)cc1F)[C@@H](C)S(C)(=O)=O ZINC000909986909 709721751 /nfs/dbraw/zinc/72/17/51/709721751.db2.gz XHKKXBQOMSGGPP-NKWVEPMBSA-N -1 1 307.318 1.678 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCCc2cccs2)C1 ZINC000910011366 709734821 /nfs/dbraw/zinc/73/48/21/709734821.db2.gz FMEFEZCPWFPEBX-GFCCVEGCSA-N -1 1 310.419 1.594 20 0 DDADMM Cn1cc([C@@]2(CNC(=O)c3ccc([O-])cc3F)CCCO2)cn1 ZINC000890152815 709770155 /nfs/dbraw/zinc/77/01/55/709770155.db2.gz WJVTUQOWOIYSKD-INIZCTEOSA-N -1 1 319.336 1.701 20 0 DDADMM O=C([O-])c1csc([C@@H]2CCCN2C(=O)CCc2cnc[nH]2)n1 ZINC000910108023 709774579 /nfs/dbraw/zinc/77/45/79/709774579.db2.gz FAKMPLOALXVZPE-NSHDSACASA-N -1 1 320.374 1.861 20 0 DDADMM CN(CC(=O)N[C@@H]1CCCC[C@@H]1C(=O)[O-])[C@@H]1CCSC1 ZINC000910139170 709788305 /nfs/dbraw/zinc/78/83/05/709788305.db2.gz PURLNOJYJYLEJE-GRYCIOLGSA-N -1 1 300.424 1.183 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C/C2(C)CCOCC2)CC1 ZINC000910172268 709797542 /nfs/dbraw/zinc/79/75/42/709797542.db2.gz ADKGWFXTHKXRNV-XGACYXMMSA-N -1 1 324.421 1.367 20 0 DDADMM Cc1[nH]nc(C(C)C)c1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910174140 709797735 /nfs/dbraw/zinc/79/77/35/709797735.db2.gz RAGADVXMYCOEDS-LLVKDONJSA-N -1 1 322.409 1.463 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910174140 709797738 /nfs/dbraw/zinc/79/77/38/709797738.db2.gz RAGADVXMYCOEDS-LLVKDONJSA-N -1 1 322.409 1.463 20 0 DDADMM O=C([O-])Cc1ccc(CNC(=O)[C@H]2CCc3[nH]cnc3C2)cc1 ZINC000910195671 709807847 /nfs/dbraw/zinc/80/78/47/709807847.db2.gz GWYUUICQEGPMBU-ZDUSSCGKSA-N -1 1 313.357 1.458 20 0 DDADMM CC(C)[N@@H+](C)CC(=O)N1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000910206825 709814669 /nfs/dbraw/zinc/81/46/69/709814669.db2.gz ZGKPAHCCEKALFM-UHFFFAOYSA-N -1 1 308.353 1.749 20 0 DDADMM CCCCCc1cc(C(=O)N2CCO[C@](C)(C(=O)[O-])C2)n[nH]1 ZINC000910330929 709896881 /nfs/dbraw/zinc/89/68/81/709896881.db2.gz ORHUVKJYJUYRSG-HNNXBMFYSA-N -1 1 309.366 1.458 20 0 DDADMM C/C=C\[C@H](O)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000901052748 709902363 /nfs/dbraw/zinc/90/23/63/709902363.db2.gz LBAPJZLSMKPZFA-GWQWAINWSA-N -1 1 303.358 1.751 20 0 DDADMM C[C@@H]1CN(C[C@H]2CCCN2c2ncc(C(=O)[O-])cn2)C[C@@H](C)O1 ZINC000910351440 709906495 /nfs/dbraw/zinc/90/64/95/709906495.db2.gz GDCDCKCFZOUSQF-YRGRVCCFSA-N -1 1 320.393 1.253 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]2OCC[C@H]21)c1cnc(C2CC2)[n-]c1=O ZINC000901067413 709911023 /nfs/dbraw/zinc/91/10/23/709911023.db2.gz VJENFAOZVMTXJI-CYZMBNFOSA-N -1 1 303.362 1.747 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]1CNC(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000910592683 710014851 /nfs/dbraw/zinc/01/48/51/710014851.db2.gz GSOYCRQNYYXBDU-RBSFLKMASA-N -1 1 302.374 1.488 20 0 DDADMM O=C([O-])/C=C/c1ccc(CN2CCC[C@@H](N3CCCC3=O)C2)o1 ZINC000901428912 710037052 /nfs/dbraw/zinc/03/70/52/710037052.db2.gz DLBLACUTCKFNJW-SBDDDAINSA-N -1 1 318.373 1.964 20 0 DDADMM CCN(CC)CC(=O)Nc1nc2c(s1)CC[C@H](C(=O)[O-])C2 ZINC000910676240 710043986 /nfs/dbraw/zinc/04/39/86/710043986.db2.gz CBKKKACPXDOYDN-VIFPVBQESA-N -1 1 311.407 1.613 20 0 DDADMM CCN(CC(=O)N(C)[C@@H](C(=O)[O-])c1cccc(OC)c1)C1CC1 ZINC000910769543 710069911 /nfs/dbraw/zinc/06/99/11/710069911.db2.gz AKJAULCHQYPOAJ-MRXNPFEDSA-N -1 1 320.389 1.764 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)Cc2ccccc2C(=O)[O-])n[nH]1 ZINC000901610069 710094102 /nfs/dbraw/zinc/09/41/02/710094102.db2.gz INCQHJBCMKMMAW-SNVBAGLBSA-N -1 1 301.346 1.706 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)CN(C)CCc1ccccc1 ZINC000901657612 710111464 /nfs/dbraw/zinc/11/14/64/710111464.db2.gz QCNHTXXQJGQRKW-ZBFHGGJFSA-N -1 1 318.417 1.873 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)CN(C)CCc2ccccc2)C1 ZINC000901660860 710112587 /nfs/dbraw/zinc/11/25/87/710112587.db2.gz KFHLOTUCOPATHH-ZBFHGGJFSA-N -1 1 318.417 1.730 20 0 DDADMM CCOc1cc(C(=O)NCCNC(=O)NC)cc(Cl)c1[O-] ZINC000910908097 710114644 /nfs/dbraw/zinc/11/46/44/710114644.db2.gz RFXWNUUCJGATPA-UHFFFAOYSA-N -1 1 315.757 1.103 20 0 DDADMM CC(C)n1cc(Cl)c([N-]S(=O)(=O)N=S(C)(C)=O)n1 ZINC000901715064 710130324 /nfs/dbraw/zinc/13/03/24/710130324.db2.gz SEGPYTYHMWSRKS-UHFFFAOYSA-N -1 1 314.820 1.502 20 0 DDADMM C[C@H](NCc1cc(F)cc2cccnc21)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901743994 710138088 /nfs/dbraw/zinc/13/80/88/710138088.db2.gz TWIKNIYVGYCSOC-UWVGGRQHSA-N -1 1 319.336 1.441 20 0 DDADMM O=C([O-])C1(C(=O)N[C@H]2CCCN3CCSC[C@H]23)CCCC1 ZINC000910990528 710143714 /nfs/dbraw/zinc/14/37/14/710143714.db2.gz YTDDFWGOUHVCNB-NWDGAFQWSA-N -1 1 312.435 1.327 20 0 DDADMM COc1nccnc1CN[C@H](Cc1cccc(Cl)c1)C(=O)[O-] ZINC000901868341 710163028 /nfs/dbraw/zinc/16/30/28/710163028.db2.gz LJGONRYWHYRSRG-GFCCVEGCSA-N -1 1 321.764 1.924 20 0 DDADMM C[C@@](CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)(C(=O)[O-])c1ccccc1 ZINC000902011412 710201255 /nfs/dbraw/zinc/20/12/55/710201255.db2.gz LJGFFRTVHJTGGQ-XNJGSVPQSA-N -1 1 313.357 1.672 20 0 DDADMM O=C(COCc1ccccn1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891618165 710249655 /nfs/dbraw/zinc/24/96/55/710249655.db2.gz YJGJTUJJTMZMSM-UHFFFAOYSA-N -1 1 314.345 1.611 20 0 DDADMM COCc1nc(NC[C@@H](C(=O)OC)c2ccccc2)cc(=O)[n-]1 ZINC000892686878 710481741 /nfs/dbraw/zinc/48/17/41/710481741.db2.gz FAJXGIZLRVKFRE-GFCCVEGCSA-N -1 1 317.345 1.697 20 0 DDADMM COCc1nc(N(C)C2CCC(NC(C)=O)CC2)cc(=O)[n-]1 ZINC000893148808 710562772 /nfs/dbraw/zinc/56/27/72/710562772.db2.gz WSZLAEMDJCYCAL-UHFFFAOYSA-N -1 1 308.382 1.212 20 0 DDADMM COCc1nc(N2CCN(c3ccccc3)C(=O)C2)cc(=O)[n-]1 ZINC000893199809 710570032 /nfs/dbraw/zinc/57/00/32/710570032.db2.gz OUVSJNDKFHGXNV-UHFFFAOYSA-N -1 1 314.345 1.182 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)C2(C(=O)[O-])CCCC2)C[C@@H](C)O1 ZINC000911150644 710655434 /nfs/dbraw/zinc/65/54/34/710655434.db2.gz PPSWKBKLTUMADV-CHWSQXEVSA-N -1 1 312.410 1.247 20 0 DDADMM O=C([O-])Cn1cc(CNCC2(c3cccc(F)c3)CC2)nn1 ZINC000902299076 710703314 /nfs/dbraw/zinc/70/33/14/710703314.db2.gz WKJKRRDFWOGKGH-UHFFFAOYSA-N -1 1 304.325 1.323 20 0 DDADMM Cc1nc2cc(NCc3cn(CC(=O)[O-])nn3)ccc2n1C ZINC000902328243 710718324 /nfs/dbraw/zinc/71/83/24/710718324.db2.gz PACXNYVNCYWNLZ-UHFFFAOYSA-N -1 1 300.322 1.170 20 0 DDADMM COCc1nc(N2C[C@H](C)O[C@@]3(CCO[C@H]3C)C2)cc(=O)[n-]1 ZINC000893581815 710723238 /nfs/dbraw/zinc/72/32/38/710723238.db2.gz ZJVKVKVVJRECKF-PGUXBMHVSA-N -1 1 309.366 1.101 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000911325898 710742604 /nfs/dbraw/zinc/74/26/04/710742604.db2.gz WOYZOFMUIBSQCS-GXSJLCMTSA-N -1 1 306.366 1.499 20 0 DDADMM O=C([O-])c1ccc(C(=O)N[C@@H]2CCc3nc[nH]c3C2)c(F)c1 ZINC000911392186 710776113 /nfs/dbraw/zinc/77/61/13/710776113.db2.gz OIYVGZINBAQMSN-SECBINFHSA-N -1 1 303.293 1.534 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCCc2ccc(O)cc2)C1 ZINC000911414656 710787626 /nfs/dbraw/zinc/78/76/26/710787626.db2.gz HEOOLDGGSOTVAV-CQSZACIVSA-N -1 1 320.389 1.238 20 0 DDADMM CC[C@H](c1ccncc1)N(C)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911416437 710788760 /nfs/dbraw/zinc/78/87/60/710788760.db2.gz MOWIGRMTDOSBHD-HUUCEWRRSA-N -1 1 319.405 1.788 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)N2CC[C@H](C3CCCC3)C2)C1 ZINC000911497621 710824074 /nfs/dbraw/zinc/82/40/74/710824074.db2.gz YAGFZUPIDKCLFU-GJZGRUSLSA-N -1 1 308.422 1.822 20 0 DDADMM C[N@@H+](CCc1ccccc1)CC(=O)Nc1cc(C(=O)[O-])n[nH]1 ZINC000902676880 710857308 /nfs/dbraw/zinc/85/73/08/710857308.db2.gz WKBJNJLDXZOOIP-UHFFFAOYSA-N -1 1 302.334 1.221 20 0 DDADMM CN(CCc1ccccc1)CC(=O)Nc1cc(C(=O)[O-])n[nH]1 ZINC000902676880 710857313 /nfs/dbraw/zinc/85/73/13/710857313.db2.gz WKBJNJLDXZOOIP-UHFFFAOYSA-N -1 1 302.334 1.221 20 0 DDADMM O=C([O-])c1cc(C(=O)N[C@H]2CCCN3CCSC[C@@H]23)ccn1 ZINC000902817716 710914552 /nfs/dbraw/zinc/91/45/52/710914552.db2.gz BHWHDPLAPYRMIT-AAEUAGOBSA-N -1 1 321.402 1.089 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913439138 713216553 /nfs/dbraw/zinc/21/65/53/713216553.db2.gz ZOLWNAVFCAEUPG-MJBXVCDLSA-N -1 1 315.377 1.611 20 0 DDADMM CN1CCN(C(=O)c2ccccc2CCC(=O)[O-])CC1(C)C ZINC000911723212 710951842 /nfs/dbraw/zinc/95/18/42/710951842.db2.gz UJBLGTRYSSVBHX-UHFFFAOYSA-N -1 1 304.390 1.870 20 0 DDADMM Cc1nc([C@H]2CCN(C(=O)C34CCC(C(=O)[O-])(CC3)C4)C2)n[nH]1 ZINC000911772879 710976863 /nfs/dbraw/zinc/97/68/63/710976863.db2.gz IYGCHVOJWKGMNW-SOISORPOSA-N -1 1 318.377 1.464 20 0 DDADMM Cc1ccn2ncnc2c1[N-]S(=O)(=O)CCOCC1CC1 ZINC000903129137 711041500 /nfs/dbraw/zinc/04/15/00/711041500.db2.gz PVLSYXNGEOCMEL-UHFFFAOYSA-N -1 1 310.379 1.206 20 0 DDADMM Cc1nccc2c([N-]S(=O)(=O)[C@H](C)C(=O)N(C)C)cccc12 ZINC000903228012 711072830 /nfs/dbraw/zinc/07/28/30/711072830.db2.gz YCTNKNUIZAVPNV-LLVKDONJSA-N -1 1 321.402 1.762 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC[C@H](Cc3nccs3)C2)C1=O ZINC000903418350 711120180 /nfs/dbraw/zinc/12/01/80/711120180.db2.gz MJONXJLPPLKGFI-NEPJUHHUSA-N -1 1 323.418 1.083 20 0 DDADMM COCc1nc(NCc2ccc(CNC(C)=O)cc2)cc(=O)[n-]1 ZINC000894482607 711143659 /nfs/dbraw/zinc/14/36/59/711143659.db2.gz CFAJTYLPVWGRLI-UHFFFAOYSA-N -1 1 316.361 1.577 20 0 DDADMM CC(C)n1nnc([N-]C(=O)c2nscc2Br)n1 ZINC000913483738 713234834 /nfs/dbraw/zinc/23/48/34/713234834.db2.gz KUBIWQNRUULJPI-UHFFFAOYSA-N -1 1 317.172 1.725 20 0 DDADMM O=C(c1cc(Cl)c(Cl)[nH]1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913493412 713236979 /nfs/dbraw/zinc/23/69/79/713236979.db2.gz UJXCIRVYNBYPIQ-SSDOTTSWSA-N -1 1 317.136 1.048 20 0 DDADMM O=C([O-])[C@]1(O)CCN(CCOc2cc(Cl)ccc2Cl)C1 ZINC000903623636 711227726 /nfs/dbraw/zinc/22/77/26/711227726.db2.gz QPYJIOBHGWSVCO-ZDUSSCGKSA-N -1 1 320.172 1.894 20 0 DDADMM Cc1ccc(C[C@H](C)C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000913494969 713237881 /nfs/dbraw/zinc/23/78/81/713237881.db2.gz DZTHEWFVZZZKJP-GXTWGEPZSA-N -1 1 315.377 1.287 20 0 DDADMM Cc1ccccc1[C@@H]1C[C@H]1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913498164 713239200 /nfs/dbraw/zinc/23/92/00/713239200.db2.gz GAUJMBIVDIXFPB-BFHYXJOUSA-N -1 1 313.361 1.212 20 0 DDADMM CC1(C)CC(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)CC(C)(C)C1 ZINC000913498445 713239314 /nfs/dbraw/zinc/23/93/14/713239314.db2.gz HNRKNHNNDWDYLZ-GFCCVEGCSA-N -1 1 321.425 1.952 20 0 DDADMM O=C([C@@H]1CC[C@H](C(F)(F)F)C1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913498988 713239706 /nfs/dbraw/zinc/23/97/06/713239706.db2.gz SUUJAJLQFNVNEX-HRDYMLBCSA-N -1 1 319.287 1.078 20 0 DDADMM C/C(=C/C1CCC(C)CC1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499956 713239783 /nfs/dbraw/zinc/23/97/83/713239783.db2.gz TVKPJLHHZAADIS-HDMAEMSYSA-N -1 1 319.409 1.872 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=Cc2cccs2)n[n-]1 ZINC000912362430 711291894 /nfs/dbraw/zinc/29/18/94/711291894.db2.gz FXUCESUCLGVISQ-NMTCXDENSA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=Cc2cccs2)[n-]1 ZINC000912362430 711291899 /nfs/dbraw/zinc/29/18/99/711291899.db2.gz FXUCESUCLGVISQ-NMTCXDENSA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C=Cc2cccs2)n1 ZINC000912362430 711291901 /nfs/dbraw/zinc/29/19/01/711291901.db2.gz FXUCESUCLGVISQ-NMTCXDENSA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@]2(C)CCC[C@@H]2C)n[n-]1 ZINC000912363391 711292703 /nfs/dbraw/zinc/29/27/03/711292703.db2.gz NZKQQVXABGJUJG-AMJWSMQMSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@]2(C)CCC[C@@H]2C)[n-]1 ZINC000912363391 711292706 /nfs/dbraw/zinc/29/27/06/711292706.db2.gz NZKQQVXABGJUJG-AMJWSMQMSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@]2(C)CCC[C@@H]2C)n1 ZINC000912363391 711292709 /nfs/dbraw/zinc/29/27/09/711292709.db2.gz NZKQQVXABGJUJG-AMJWSMQMSA-N -1 1 308.382 1.985 20 0 DDADMM CC[C@@H](CN1CCOCC1)NC(=O)c1c([O-])cccc1Cl ZINC000928642424 713246916 /nfs/dbraw/zinc/24/69/16/713246916.db2.gz HCMGDNICPUDSQV-NSHDSACASA-N -1 1 312.797 1.886 20 0 DDADMM CC(=O)N1CCO[C@@H](c2nc(-c3ccc([O-])cc3F)no2)C1 ZINC000904050842 711370774 /nfs/dbraw/zinc/37/07/74/711370774.db2.gz NVBUWVSICCWKJU-GFCCVEGCSA-N -1 1 307.281 1.501 20 0 DDADMM Cn1nc(C(=O)[O-])cc1C[NH2+]CCc1c(F)cc([O-])cc1F ZINC000904261929 711420745 /nfs/dbraw/zinc/42/07/45/711420745.db2.gz SAXZJFOYQFHBIH-UHFFFAOYSA-N -1 1 311.288 1.434 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2cnn(C)c2Cl)n1 ZINC000895236199 711460561 /nfs/dbraw/zinc/46/05/61/711460561.db2.gz BPHGEVIPJVSKHA-ZETCQYMHSA-N -1 1 312.761 1.219 20 0 DDADMM CCNC(=O)CN(C)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895786761 711605959 /nfs/dbraw/zinc/60/59/59/711605959.db2.gz ANTXJRSWECNSKZ-UHFFFAOYSA-N -1 1 302.330 1.459 20 0 DDADMM Cc1nc(Cl)ccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743729 713292571 /nfs/dbraw/zinc/29/25/71/713292571.db2.gz IWEKIRLNOWQWNH-UHFFFAOYSA-N -1 1 306.757 1.576 20 0 DDADMM CC[C@H]1C[C@@H](CC(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000913744639 713293203 /nfs/dbraw/zinc/29/32/03/713293203.db2.gz XNFDFGZYLRFFTR-AAEUAGOBSA-N -1 1 307.398 1.501 20 0 DDADMM CSc1cc(C)c(C(=O)N2CCC(c3nn[n-]n3)CC2)o1 ZINC000913745498 713293580 /nfs/dbraw/zinc/29/35/80/713293580.db2.gz HMJNCRDKFBXDNM-UHFFFAOYSA-N -1 1 307.379 1.843 20 0 DDADMM CC(C)(C)n1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913745499 713293681 /nfs/dbraw/zinc/29/36/81/713293681.db2.gz HMWLHZYCVLSGEE-UHFFFAOYSA-N -1 1 303.370 1.171 20 0 DDADMM C[C@]1(Br)C[C@@H]1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745854 713294052 /nfs/dbraw/zinc/29/40/52/713294052.db2.gz QPWWKUOMQOYZTB-KCJUWKMLSA-N -1 1 314.187 1.079 20 0 DDADMM O=C(NC[C@@H]1C[C@H]1C1CCOCC1)c1cnc(C2CC2)[n-]c1=O ZINC000913754831 713295815 /nfs/dbraw/zinc/29/58/15/713295815.db2.gz ZKMZKVNMTIYKNW-STQMWFEESA-N -1 1 317.389 1.852 20 0 DDADMM C[C@@]1(F)CCCN(S(=O)(=O)c2cc(C(=O)[O-])ccc2O)C1 ZINC000906995062 712459016 /nfs/dbraw/zinc/45/90/16/712459016.db2.gz IOYFEBPQAUEGQF-CYBMUJFWSA-N -1 1 317.338 1.603 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC([C@H]2CCOC2)CC1 ZINC000907323342 712543408 /nfs/dbraw/zinc/54/34/08/712543408.db2.gz GAQMYKMFLZAEFR-ZDUSSCGKSA-N -1 1 317.389 1.948 20 0 DDADMM CCNc1ccc(C)cc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000907349323 712549755 /nfs/dbraw/zinc/54/97/55/712549755.db2.gz DYBLKTBYJMIQNV-LLVKDONJSA-N -1 1 302.382 1.816 20 0 DDADMM O=C(N[C@@H]1CCO[C@@H](C2CC2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000907403112 712562199 /nfs/dbraw/zinc/56/21/99/712562199.db2.gz DNMSTVOWYCYQFO-DGCLKSJQSA-N -1 1 303.362 1.747 20 0 DDADMM Cc1c(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cnn1C(C)C ZINC000907479120 712583102 /nfs/dbraw/zinc/58/31/02/712583102.db2.gz JMMNPPPACKBEGL-LLVKDONJSA-N -1 1 321.410 1.216 20 0 DDADMM O=C(C[C@H]1CCC(F)(F)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480953 712583854 /nfs/dbraw/zinc/58/38/54/712583854.db2.gz YHWQJMJPTFUTPP-RKDXNWHRSA-N -1 1 317.365 1.642 20 0 DDADMM O=C([C@@H]1C[C@H]1c1cccnc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480755 712583978 /nfs/dbraw/zinc/58/39/78/712583978.db2.gz RBUUIZDAWUWUHE-QJPTWQEYSA-N -1 1 316.390 1.015 20 0 DDADMM CSc1cccnc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481782 712584179 /nfs/dbraw/zinc/58/41/79/712584179.db2.gz YUJKVOAVKVSHGH-MRVPVSSYSA-N -1 1 322.419 1.247 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(N)=O ZINC000907702099 712615938 /nfs/dbraw/zinc/61/59/38/712615938.db2.gz IADPQYULLRJHJH-LBPRGKRZSA-N -1 1 306.334 1.206 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@@]1(C(=O)[O-])C[C@@H]1c1ccccc1 ZINC000907825144 712631570 /nfs/dbraw/zinc/63/15/70/712631570.db2.gz IMJPYGOGFZIETE-IAQYHMDHSA-N -1 1 300.318 1.022 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@]1(C(=O)[O-])C[C@@H]1c1ccccc1 ZINC000907825141 712631642 /nfs/dbraw/zinc/63/16/42/712631642.db2.gz IMJPYGOGFZIETE-ABAIWWIYSA-N -1 1 300.318 1.022 20 0 DDADMM O=C(C1CCC(C2CC2)CC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907939325 712652125 /nfs/dbraw/zinc/65/21/25/712652125.db2.gz CKMNJJPLXBIATG-UHFFFAOYSA-N -1 1 319.409 1.226 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@H]3CCC[C@@H]32)c(C(F)(F)F)n1 ZINC000907957518 712655066 /nfs/dbraw/zinc/65/50/66/712655066.db2.gz ISKVMVLAKUPNSP-HRDYMLBCSA-N -1 1 323.340 1.906 20 0 DDADMM CC1(C)C[C@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)CCS1 ZINC000907985330 712660521 /nfs/dbraw/zinc/66/05/21/712660521.db2.gz ZIRNALCCHNJJRM-NWDGAFQWSA-N -1 1 314.451 1.573 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@]2(C1)CCCOC2 ZINC000908082643 712681369 /nfs/dbraw/zinc/68/13/69/712681369.db2.gz BLVDVVOCGPSFIU-INIZCTEOSA-N -1 1 303.362 1.702 20 0 DDADMM COCCCCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000914097176 713342513 /nfs/dbraw/zinc/34/25/13/713342513.db2.gz OGIKJDVMWPWCLL-UHFFFAOYSA-N -1 1 302.321 1.939 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2C[C@@H]2c2cccc(F)c2)C1 ZINC000908785051 712854237 /nfs/dbraw/zinc/85/42/37/712854237.db2.gz FIVDGLNBESWGJQ-CFVMTHIKSA-N -1 1 320.364 1.594 20 0 DDADMM O=C([O-])[C@@H](NC(=O)NCCN1CCc2ccccc2C1)C1CC1 ZINC000908809762 712858859 /nfs/dbraw/zinc/85/88/59/712858859.db2.gz YJNCZCFYPWMNOA-HNNXBMFYSA-N -1 1 317.389 1.207 20 0 DDADMM C[C@H](c1ccccc1)N(CC(=O)[O-])C(=O)N[C@@H]1CCCN(C)C1 ZINC000908871844 712875344 /nfs/dbraw/zinc/87/53/44/712875344.db2.gz WGUQLUYRSQQPAM-UKRRQHHQSA-N -1 1 319.405 1.938 20 0 DDADMM CCN(CC(=O)N[C@H](C(=O)[O-])c1cc(F)ccc1F)C1CC1 ZINC000908919296 712887163 /nfs/dbraw/zinc/88/71/63/712887163.db2.gz BALOCISQKLTWLK-AWEZNQCLSA-N -1 1 312.316 1.691 20 0 DDADMM O=C([O-])[C@]12C[C@H]1CCCN2C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000929010612 713525967 /nfs/dbraw/zinc/52/59/67/713525967.db2.gz MZPZSCQIDSIJHH-HFAKWTLXSA-N -1 1 304.350 1.436 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)CC1(F)CCC1 ZINC000918061727 713527706 /nfs/dbraw/zinc/52/77/06/713527706.db2.gz UTEOJYVBIWZFAI-LLVKDONJSA-N -1 1 309.403 1.730 20 0 DDADMM CCN(C(=O)[C@H]1C[C@@H]1C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942914370 717909559 /nfs/dbraw/zinc/90/95/59/717909559.db2.gz MTRBSGPDQVCRKD-XQQFMLRXSA-N -1 1 317.389 1.506 20 0 DDADMM COCCOCCC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000920710268 713688815 /nfs/dbraw/zinc/68/88/15/713688815.db2.gz DYJHIUIKZOSQDR-UHFFFAOYSA-N -1 1 322.313 1.595 20 0 DDADMM CON1CC[C@@H](N2CCC(C(=O)c3ccc([O-])cc3)CC2)C1=O ZINC000929871786 713710804 /nfs/dbraw/zinc/71/08/04/713710804.db2.gz XPZZLZKEGBKJKO-OAHLLOKOSA-N -1 1 318.373 1.449 20 0 DDADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1C2 ZINC000921378420 713748987 /nfs/dbraw/zinc/74/89/87/713748987.db2.gz XTLSWJIICPGEOF-KXNHARMFSA-N -1 1 321.464 1.389 20 0 DDADMM O=S(=O)([N-][C@H](C1CC1)[C@@H]1CCCOC1)c1c[nH]nc1Cl ZINC000921850417 713885481 /nfs/dbraw/zinc/88/54/81/713885481.db2.gz NATPFYCNNVSCQK-MWLCHTKSSA-N -1 1 319.814 1.547 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-]C1CSC1)C1CCCCC1 ZINC000921870682 713891670 /nfs/dbraw/zinc/89/16/70/713891670.db2.gz QZLWZUHIOFKNFL-KRWDZBQOSA-N -1 1 312.482 1.367 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@]23C[C@H]2COC32CCC2)c1Cl ZINC000922107403 713963873 /nfs/dbraw/zinc/96/38/73/713963873.db2.gz VNOPWABQBQGEHZ-QPUJVOFHSA-N -1 1 317.798 1.063 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N[C@H]1CC12CCC2 ZINC000931211133 714038020 /nfs/dbraw/zinc/03/80/20/714038020.db2.gz CABKFZLMRMCGAS-ZDUSSCGKSA-N -1 1 324.327 1.388 20 0 DDADMM CCC[C@@H](O)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932043631 714244847 /nfs/dbraw/zinc/24/48/47/714244847.db2.gz ZNHSDDYGKBFXQG-CYBMUJFWSA-N -1 1 304.350 1.860 20 0 DDADMM CO[C@@]1(C)C[C@H]1NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932058901 714248827 /nfs/dbraw/zinc/24/88/27/714248827.db2.gz CBONEFRRCWUUPJ-DOMZBBRYSA-N -1 1 302.334 1.877 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCC2(COC2)C1 ZINC000932060536 714249538 /nfs/dbraw/zinc/24/95/38/714249538.db2.gz RVCWCDUFHZYVQH-UHFFFAOYSA-N -1 1 314.345 1.832 20 0 DDADMM CO[C@H](C(=O)NCc1nn[n-]n1)c1ccccc1C(F)(F)F ZINC000932533092 714356759 /nfs/dbraw/zinc/35/67/59/714356759.db2.gz LTVPUTIMRPVLAX-JTQLQIEISA-N -1 1 315.255 1.222 20 0 DDADMM O=C(NC[C@H]1CC2(CCC2)CO1)c1cnc(C2CC2)[n-]c1=O ZINC000924111401 714529063 /nfs/dbraw/zinc/52/90/63/714529063.db2.gz MFLCJAMSFWVCHB-LLVKDONJSA-N -1 1 303.362 1.749 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CC[C@]3(CO[C@H](C)[C@H]3C)O2)c([O-])c1 ZINC000924276817 714554961 /nfs/dbraw/zinc/55/49/61/714554961.db2.gz HWPYANDYYYZSGT-KOFHJDLBSA-N -1 1 320.389 1.798 20 0 DDADMM CCC[C@H](O)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000934708124 714885024 /nfs/dbraw/zinc/88/50/24/714885024.db2.gz MZIUWPWMYFLXHD-HNNXBMFYSA-N -1 1 305.374 1.975 20 0 DDADMM O=C(c1cnn(C2CCC2)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000935036365 714960914 /nfs/dbraw/zinc/96/09/14/714960914.db2.gz ANBOOZBVWRYNQK-JTQLQIEISA-N -1 1 301.354 1.141 20 0 DDADMM CCC[C@H](O)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000935231379 715008675 /nfs/dbraw/zinc/00/86/75/715008675.db2.gz FLLUVQPWENXBLC-NSHDSACASA-N -1 1 304.343 1.297 20 0 DDADMM COc1ccc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c(C)c1 ZINC000935365221 715037742 /nfs/dbraw/zinc/03/77/42/715037742.db2.gz GDFDIEKDWJOBRB-NSHDSACASA-N -1 1 303.366 1.321 20 0 DDADMM CN(C(=O)C1CC=CC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211409 715292989 /nfs/dbraw/zinc/29/29/89/715292989.db2.gz JJFZKXXJCSZNJY-CYBMUJFWSA-N -1 1 315.373 1.426 20 0 DDADMM CN(C(=O)[C@]12C[C@H]1CCC2)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954982863 715570605 /nfs/dbraw/zinc/57/06/05/715570605.db2.gz RCFFIFZIBPARLM-PIGZYNQJSA-N -1 1 315.373 1.260 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C2CC2)CCN1C(=O)c1ncccc1[O-] ZINC000955640357 715889615 /nfs/dbraw/zinc/88/96/15/715889615.db2.gz CDBJVHWWMXQPDG-PWSUYJOCSA-N -1 1 303.362 1.307 20 0 DDADMM CC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCC1(F)F ZINC000957732299 716021569 /nfs/dbraw/zinc/02/15/69/716021569.db2.gz HBYFJMLDMJPIKZ-JTQLQIEISA-N -1 1 313.304 1.021 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000940138656 716646770 /nfs/dbraw/zinc/64/67/70/716646770.db2.gz KNYKXFHELLLPOH-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CC2CC2)C1 ZINC000959010000 716820517 /nfs/dbraw/zinc/82/05/17/716820517.db2.gz XCFZOSSREJIMQO-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CCCC(=O)N1CCC[C@H]2[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962689633 717556536 /nfs/dbraw/zinc/55/65/36/717556536.db2.gz HOAGGDBMHVEMLP-QWHCGFSZSA-N -1 1 317.389 1.793 20 0 DDADMM CCC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000945544344 718482776 /nfs/dbraw/zinc/48/27/76/718482776.db2.gz PNIMUNJIXPCFQR-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946320740 718744341 /nfs/dbraw/zinc/74/43/41/718744341.db2.gz FDERCVQJUSOQOE-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)C(=O)N(C)[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000967496679 718917214 /nfs/dbraw/zinc/91/72/14/718917214.db2.gz OQGTVRUIZNSEQB-CYBMUJFWSA-N -1 1 319.405 1.896 20 0 DDADMM CCCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](CC)C1 ZINC000948239177 719410486 /nfs/dbraw/zinc/41/04/86/719410486.db2.gz MPCGCAWJXBLOMQ-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H](SC)C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949534801 720145149 /nfs/dbraw/zinc/14/51/49/720145149.db2.gz GMDPNLBGSGJFOD-PWSUYJOCSA-N -1 1 323.418 1.260 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)C1(C2CC2)CC1)c1ncccc1[O-] ZINC000949537999 720146749 /nfs/dbraw/zinc/14/67/49/720146749.db2.gz FABOHBBUXFDKGP-GFCCVEGCSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@H](C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC000949541734 720149502 /nfs/dbraw/zinc/14/95/02/720149502.db2.gz ONDXEDANXIIAOP-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)(C)CC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950032037 720460446 /nfs/dbraw/zinc/46/04/46/720460446.db2.gz VBZAQIXAPNFLKI-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CC12CC2 ZINC000950543119 720676564 /nfs/dbraw/zinc/67/65/64/720676564.db2.gz RDIUFJAWABMXJZ-VXGBXAGGSA-N -1 1 315.373 1.308 20 0 DDADMM CN(Cc1nccs1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971395408 721276234 /nfs/dbraw/zinc/27/62/34/721276234.db2.gz VKGQROCVPMKXKL-LLVKDONJSA-N -1 1 318.402 1.590 20 0 DDADMM Cc1cc(C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)co1 ZINC000954144003 721730152 /nfs/dbraw/zinc/73/01/52/721730152.db2.gz RMVFHLCKDXEUEJ-UHFFFAOYSA-N -1 1 315.329 1.285 20 0 DDADMM C/C(=C\C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001010516978 732518134 /nfs/dbraw/zinc/51/81/34/732518134.db2.gz XICWTQFZKFJHDE-VAWYXSNFSA-N -1 1 315.373 1.428 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021385349 733175068 /nfs/dbraw/zinc/17/50/68/733175068.db2.gz UGHBUDVGWIJOGJ-JVGWCMFKSA-N -1 1 319.409 1.168 20 0 DDADMM CC(C)(F)C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021442463 733207577 /nfs/dbraw/zinc/20/75/77/733207577.db2.gz XEQMWPFAANMUPG-WDEREUQCSA-N -1 1 321.352 1.208 20 0 DDADMM Cc1nnc([C@H](C)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001022000915 733712624 /nfs/dbraw/zinc/71/26/24/733712624.db2.gz MBOGVHSILJUIFR-INTQDDNPSA-N -1 1 317.349 1.090 20 0 DDADMM CCC[C@H](CC)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167542703 734677647 /nfs/dbraw/zinc/67/76/47/734677647.db2.gz BVUFTQWTBZAVJZ-LBPRGKRZSA-N -1 1 323.441 1.713 20 0 DDADMM CCc1ccc2c(c1)CN(c1nnc(Cc3nnn[n-]3)n1C)CC2 ZINC001121316894 782455137 /nfs/dbraw/zinc/45/51/37/782455137.db2.gz HLLKSGASDMLYHM-UHFFFAOYSA-N -1 1 324.392 1.044 20 0 DDADMM CCc1ccc2c(c1)CN(c1nnc(Cc3nn[n-]n3)n1C)CC2 ZINC001121316894 782455143 /nfs/dbraw/zinc/45/51/43/782455143.db2.gz HLLKSGASDMLYHM-UHFFFAOYSA-N -1 1 324.392 1.044 20 0 DDADMM CN(CCc1nccs1)c1nnc(-c2c[n-][nH]c2=O)n1C ZINC001121362015 782472860 /nfs/dbraw/zinc/47/28/60/782472860.db2.gz BPBAXTWAHIXKJE-UHFFFAOYSA-N -1 1 305.367 1.046 20 0 DDADMM CCc1ccc2c(c1)CCN(c1nnc(Cc3nnn[n-]3)n1C)C2 ZINC001121409024 782496870 /nfs/dbraw/zinc/49/68/70/782496870.db2.gz RWXSHBOMGRZLCU-UHFFFAOYSA-N -1 1 324.392 1.044 20 0 DDADMM CCc1ccc2c(c1)CCN(c1nnc(Cc3nn[n-]n3)n1C)C2 ZINC001121409024 782496874 /nfs/dbraw/zinc/49/68/74/782496874.db2.gz RWXSHBOMGRZLCU-UHFFFAOYSA-N -1 1 324.392 1.044 20 0 DDADMM CC1(C)CC(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001024950548 736181568 /nfs/dbraw/zinc/18/15/68/736181568.db2.gz GIQFKWJZMUCDAL-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)[C@H]1CCCS1(=O)=O ZINC001143466801 736214647 /nfs/dbraw/zinc/21/46/47/736214647.db2.gz AGTFZCZZFNJMNP-LLVKDONJSA-N -1 1 321.358 1.094 20 0 DDADMM CC[C@@]1(C)C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974055928 737353117 /nfs/dbraw/zinc/35/31/17/737353117.db2.gz DFTSZPSSSUOZCJ-JJHQXXDFSA-N -1 1 317.389 1.600 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@H](O)C1)c1ccc(Cl)nc1F ZINC000692888749 738959894 /nfs/dbraw/zinc/95/98/94/738959894.db2.gz MSWVGHLFGPQZID-SFYZADRCSA-N -1 1 308.762 1.313 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](Nc2cccc(F)n2)C1 ZINC001058879273 739007744 /nfs/dbraw/zinc/00/77/44/739007744.db2.gz WURBCDVMFRVILZ-JTQLQIEISA-N -1 1 302.309 1.648 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059187730 740348398 /nfs/dbraw/zinc/34/83/98/740348398.db2.gz JAWTXIGJLWVPPX-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)=CC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001012235053 740443406 /nfs/dbraw/zinc/44/34/06/740443406.db2.gz MECIVODSZVQXAJ-NWDGAFQWSA-N -1 1 303.362 1.473 20 0 DDADMM Cc1cc(C)n2nc(C(=O)Nc3cc(F)cc(F)c3[O-])nc2n1 ZINC001142542856 742152834 /nfs/dbraw/zinc/15/28/34/742152834.db2.gz VZWDUFGLEXOLAV-UHFFFAOYSA-N -1 1 319.271 1.977 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cccn2nccc12 ZINC001168693203 743051755 /nfs/dbraw/zinc/05/17/55/743051755.db2.gz HGYCWBUVOKWOJW-CQSZACIVSA-N -1 1 319.328 1.367 20 0 DDADMM O=C(Cc1cccc(F)c1C(F)(F)F)NCc1nn[n-]n1 ZINC001181678282 743298571 /nfs/dbraw/zinc/29/85/71/743298571.db2.gz ZFMJBUQRBJNENF-UHFFFAOYSA-N -1 1 303.219 1.217 20 0 DDADMM Cc1ccccc1C(C)(C)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001182463871 743641060 /nfs/dbraw/zinc/64/10/60/743641060.db2.gz UVDJRKMULBUUJQ-UHFFFAOYSA-N -1 1 302.334 1.695 20 0 DDADMM CC1(C)OC(=O)C[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001182752763 743705052 /nfs/dbraw/zinc/70/50/52/743705052.db2.gz FOFSDMASPTZBPX-LBPRGKRZSA-N -1 1 315.329 1.858 20 0 DDADMM O=C(NC1CN(Cc2n[nH]c(C3CC3)n2)C1)c1ccccc1O ZINC001030112263 743849876 /nfs/dbraw/zinc/84/98/76/743849876.db2.gz JXFRZVQPACRGOM-UHFFFAOYSA-N -1 1 313.361 1.002 20 0 DDADMM CN(C)c1nc(NC(=O)NCCc2ccccc2)cc(=O)[n-]1 ZINC001184910873 744125744 /nfs/dbraw/zinc/12/57/44/744125744.db2.gz YUGSEJWUQXVQPC-UHFFFAOYSA-N -1 1 301.350 1.612 20 0 DDADMM O=S(=O)([N-]c1cc(CO)ccc1F)c1cnc(Cl)nc1 ZINC001185043841 744150110 /nfs/dbraw/zinc/15/01/10/744150110.db2.gz LMJVVQYMLUTRDF-UHFFFAOYSA-N -1 1 317.729 1.562 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc([C@@H]4C[C@H]4C)nc3)c1-2 ZINC001185115279 744163379 /nfs/dbraw/zinc/16/33/79/744163379.db2.gz LCXMOKZXHKASPM-GMSGAONNSA-N -1 1 309.333 1.201 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ncccc3C3CCC3)c1-2 ZINC001185253528 744190361 /nfs/dbraw/zinc/19/03/61/744190361.db2.gz LHQOEAMQINXNMM-UHFFFAOYSA-N -1 1 308.345 1.950 20 0 DDADMM O=C(NCc1ccc(F)cc1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001185489740 744233461 /nfs/dbraw/zinc/23/34/61/744233461.db2.gz UPKGAKLOYYFJRA-UHFFFAOYSA-N -1 1 302.269 1.519 20 0 DDADMM NC(=O)c1ccc(NC(=O)NCc2cccc(F)c2)cc1[O-] ZINC001185838336 744293612 /nfs/dbraw/zinc/29/36/12/744293612.db2.gz WVQSCYXIEQEGQN-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM CC(C)(C(=O)Nc1[n-]c(=O)nc2nc[nH]c21)C1CCOCC1 ZINC001185999194 744330608 /nfs/dbraw/zinc/33/06/08/744330608.db2.gz YXUFMAYOQMEEFB-UHFFFAOYSA-N -1 1 305.338 1.450 20 0 DDADMM COc1cc(C)[nH]c(=O)c1CNC(=O)c1ccc([O-])c(F)c1 ZINC001186233244 744360750 /nfs/dbraw/zinc/36/07/50/744360750.db2.gz GDTNRNVPNZCCKM-UHFFFAOYSA-N -1 1 306.293 1.879 20 0 DDADMM COC(=O)[C@H](COC(C)(C)C)NC(=O)c1ccc([O-])cc1F ZINC001186337434 744388761 /nfs/dbraw/zinc/38/87/61/744388761.db2.gz IIPWIRXLPBLNGM-LBPRGKRZSA-N -1 1 313.325 1.618 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccn(CC)c1C(=O)OCC ZINC001187250985 744536720 /nfs/dbraw/zinc/53/67/20/744536720.db2.gz WMFSARGTPZPKOC-UHFFFAOYSA-N -1 1 318.395 1.463 20 0 DDADMM Cc1nc2cc(C[N-]S(=O)(=O)c3cnoc3C)ccc2o1 ZINC001187390599 744563000 /nfs/dbraw/zinc/56/30/00/744563000.db2.gz IDKQRIXJOCMTQA-UHFFFAOYSA-N -1 1 307.331 1.911 20 0 DDADMM O=C(NCCOc1ccccc1F)c1n[n-]nc1C(F)(F)F ZINC001187770067 744599426 /nfs/dbraw/zinc/59/94/26/744599426.db2.gz HFYFGSFDKNIMBW-UHFFFAOYSA-N -1 1 318.230 1.771 20 0 DDADMM O=C(NCc1cn(CC2CC2)cn1)c1n[n-]nc1C(F)(F)F ZINC001187774059 744600738 /nfs/dbraw/zinc/60/07/38/744600738.db2.gz PEJBEOSEXHHRCF-UHFFFAOYSA-N -1 1 314.271 1.360 20 0 DDADMM O=S(=O)([N-]CCc1ccc2c(c1)OCO2)c1nccs1 ZINC001187846488 744617907 /nfs/dbraw/zinc/61/79/07/744617907.db2.gz JVNDPHKPUXKXAB-UHFFFAOYSA-N -1 1 312.372 1.393 20 0 DDADMM CCOC(=O)N1CCC([N-]S(=O)(=O)c2nccs2)CC1 ZINC001187908558 744628092 /nfs/dbraw/zinc/62/80/92/744628092.db2.gz KGTYAYVQERKRHI-UHFFFAOYSA-N -1 1 319.408 1.042 20 0 DDADMM CCCc1onc(C)c1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001187947034 744638355 /nfs/dbraw/zinc/63/83/55/744638355.db2.gz OEJZBBPHMZRNJP-UHFFFAOYSA-N -1 1 302.294 1.560 20 0 DDADMM COC(=O)Cn1cc(NC(=O)c2ncc(C(F)(F)F)[n-]2)cn1 ZINC001188278354 744685340 /nfs/dbraw/zinc/68/53/40/744685340.db2.gz QNMHHBNFDBXUEV-UHFFFAOYSA-N -1 1 317.227 1.050 20 0 DDADMM COC(=O)Cn1cc(NC(=O)c2nc(C(F)(F)F)c[n-]2)cn1 ZINC001188278354 744685341 /nfs/dbraw/zinc/68/53/41/744685341.db2.gz QNMHHBNFDBXUEV-UHFFFAOYSA-N -1 1 317.227 1.050 20 0 DDADMM Cc1cc(C)c(NC(=O)c2ccncc2O)c(S(=O)(=O)[O-])c1 ZINC001188635902 744743627 /nfs/dbraw/zinc/74/36/27/744743627.db2.gz AGOIVXUOFBETGK-UHFFFAOYSA-N -1 1 322.342 1.903 20 0 DDADMM CN(C)c1cc(NC(=O)c2cnc(-c3ccccc3)[n-]c2=O)[nH]n1 ZINC001190261365 745165547 /nfs/dbraw/zinc/16/55/47/745165547.db2.gz UQBIDGRRFWCXDC-UHFFFAOYSA-N -1 1 324.344 1.891 20 0 DDADMM Cc1nocc1C[N-]S(=O)(=O)c1cc(F)cc(F)c1F ZINC001190402589 745201588 /nfs/dbraw/zinc/20/15/88/745201588.db2.gz DARFHSOWDGVHOP-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM C[C@@H](NC(=O)c1cnc(-c2ccccn2)[n-]c1=O)c1cncnc1 ZINC001190629447 745279177 /nfs/dbraw/zinc/27/91/77/745279177.db2.gz QXKHUVPJJZPVJB-SNVBAGLBSA-N -1 1 322.328 1.525 20 0 DDADMM O=C1Cc2ccc([N-]S(=O)(=O)c3ccncc3)cc2CN1 ZINC001190903253 745379972 /nfs/dbraw/zinc/37/99/72/745379972.db2.gz ACKDYDPWUHWQID-UHFFFAOYSA-N -1 1 303.343 1.055 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@](F)(CO)CC2)c(=O)[n-]1 ZINC001191426573 745508359 /nfs/dbraw/zinc/50/83/59/745508359.db2.gz DCNZUUYNVGSQJM-ZDUSSCGKSA-N -1 1 315.370 1.231 20 0 DDADMM COC(C)(C)[C@@H]1CCCN1C(=O)c1cnc(SC)[n-]c1=O ZINC001191431061 745510004 /nfs/dbraw/zinc/51/00/04/745510004.db2.gz PLDICWUPURXSNH-JTQLQIEISA-N -1 1 311.407 1.934 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](O)c2ccc(O)cc2)c(=O)[n-]1 ZINC001191436696 745512122 /nfs/dbraw/zinc/51/21/22/745512122.db2.gz IMAWFHFSSYXIHW-LLVKDONJSA-N -1 1 321.358 1.073 20 0 DDADMM COC(=O)c1cncc(CNC(=O)c2c(F)ccc([O-])c2F)c1 ZINC001192556412 745814924 /nfs/dbraw/zinc/81/49/24/745814924.db2.gz UHYCHJWDMBGVQE-UHFFFAOYSA-N -1 1 322.267 1.782 20 0 DDADMM COC(=O)[C@H](CCSC)NC(=O)c1cc([O-])cc(F)c1F ZINC001192679526 745859182 /nfs/dbraw/zinc/85/91/82/745859182.db2.gz WLAVSYNNNSWCAN-JTQLQIEISA-N -1 1 319.329 1.695 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cc(SC)nn1C ZINC001193024682 745962787 /nfs/dbraw/zinc/96/27/87/745962787.db2.gz PHXTZNIPAIXTCM-UHFFFAOYSA-N -1 1 321.424 1.225 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cc(F)cc(F)c1O ZINC001193064763 745965700 /nfs/dbraw/zinc/96/57/00/745965700.db2.gz XQGHFSPUNCBUJC-UHFFFAOYSA-N -1 1 323.317 1.754 20 0 DDADMM CCN(CCNc1nc(C)cc(C)n1)C(=O)c1ncccc1[O-] ZINC001106727080 745970606 /nfs/dbraw/zinc/97/06/06/745970606.db2.gz IAFWJPUIJYZRSD-UHFFFAOYSA-N -1 1 315.377 1.768 20 0 DDADMM Cc1cc2nsnc2cc1[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC001193275437 746041477 /nfs/dbraw/zinc/04/14/77/746041477.db2.gz SYNHKAYPSZWRFO-VIFPVBQESA-N -1 1 313.404 1.920 20 0 DDADMM CC(C)c1csc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001193519001 746131570 /nfs/dbraw/zinc/13/15/70/746131570.db2.gz HZLXWYMBYRRSAX-UHFFFAOYSA-N -1 1 304.335 1.891 20 0 DDADMM COc1ccnc(C(=O)Nc2cnc3ccc(Cl)nn32)c1[O-] ZINC001193524455 746133375 /nfs/dbraw/zinc/13/33/75/746133375.db2.gz AXLZKVBSQMJTKD-UHFFFAOYSA-N -1 1 319.708 1.744 20 0 DDADMM CC(=O)Nc1cccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)n1 ZINC001193707866 746187443 /nfs/dbraw/zinc/18/74/43/746187443.db2.gz MRBSBZFVXQXVSG-UHFFFAOYSA-N -1 1 314.227 1.429 20 0 DDADMM COc1cc(CO)cc([N-]S(=O)(=O)c2ccccc2N)c1 ZINC001193937590 746227657 /nfs/dbraw/zinc/22/76/57/746227657.db2.gz LBTFRLFETJKTBI-UHFFFAOYSA-N -1 1 308.359 1.571 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc3n(n2)CC(C)(C)CO3)[n-]n1 ZINC001194281828 746315060 /nfs/dbraw/zinc/31/50/60/746315060.db2.gz AEJZJJCYXXEVQZ-UHFFFAOYSA-N -1 1 319.321 1.064 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2cc3n(n2)CC(C)(C)CO3)n1 ZINC001195323473 746565294 /nfs/dbraw/zinc/56/52/94/746565294.db2.gz WESVGTWTVNCVBB-UHFFFAOYSA-N -1 1 302.334 1.963 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC3CC2(C(=O)N2CCCC2)C3)n1 ZINC001195323945 746565395 /nfs/dbraw/zinc/56/53/95/746565395.db2.gz YYDOYZZNYIYNNX-UHFFFAOYSA-N -1 1 315.373 1.323 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC[C@H](Oc3ncccn3)C2)n1 ZINC001195325310 746566034 /nfs/dbraw/zinc/56/60/34/746566034.db2.gz AAOBNUJWJZAWAG-LBPRGKRZSA-N -1 1 314.345 1.569 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CCC2(CCC(=O)N2)CC1 ZINC001195310029 746572987 /nfs/dbraw/zinc/57/29/87/746572987.db2.gz ZDKGNUJZVNFRIH-UHFFFAOYSA-N -1 1 309.753 1.325 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc2c(c1)[nH]c(=O)[nH]c2=O ZINC001195490050 746624745 /nfs/dbraw/zinc/62/47/45/746624745.db2.gz BTMUQXNABLZQGQ-UHFFFAOYSA-N -1 1 308.319 1.484 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(F)c(CO)c2)cn1 ZINC001195723696 746686267 /nfs/dbraw/zinc/68/62/67/746686267.db2.gz XEQPOKNHPXATDL-UHFFFAOYSA-N -1 1 312.322 1.522 20 0 DDADMM Cc1cccc2[nH]c(NC(=O)c3c[nH]c(=S)[n-]c3=O)nc21 ZINC001196009052 746754682 /nfs/dbraw/zinc/75/46/82/746754682.db2.gz SLOACSJJQYZMDR-UHFFFAOYSA-N -1 1 301.331 1.908 20 0 DDADMM COc1ccc(F)c(S(=O)(=O)[N-][C@@H]2CCOC[C@@H]2F)c1 ZINC001196789373 746963031 /nfs/dbraw/zinc/96/30/31/746963031.db2.gz TYUFFPOKKDEMEL-WDEREUQCSA-N -1 1 307.318 1.240 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cccc(C(C)=O)n1 ZINC001196929327 747000657 /nfs/dbraw/zinc/00/06/57/747000657.db2.gz VMLTYUMJHNQOKU-UHFFFAOYSA-N -1 1 314.363 1.369 20 0 DDADMM COC(=O)c1scnc1[N-]C(=O)c1c(F)nccc1OC ZINC001197135929 747063078 /nfs/dbraw/zinc/06/30/78/747063078.db2.gz NYOGMGDPDDYIHU-UHFFFAOYSA-N -1 1 311.294 1.725 20 0 DDADMM CCc1cnn2cc([N-]S(=O)(=O)Cc3ccccn3)cnc12 ZINC001197790488 747265952 /nfs/dbraw/zinc/26/59/52/747265952.db2.gz VBRHSWBRJQKCTK-UHFFFAOYSA-N -1 1 317.374 1.629 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccncc2N(C)C)n1 ZINC001198157517 747394536 /nfs/dbraw/zinc/39/45/36/747394536.db2.gz HURCVDQQNPVYCC-UHFFFAOYSA-N -1 1 303.322 1.300 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cccc(Cl)n2)cn(C)c1=O ZINC001198263891 747419031 /nfs/dbraw/zinc/41/90/31/747419031.db2.gz UPAVAWPUPXKLJV-UHFFFAOYSA-N -1 1 313.766 1.543 20 0 DDADMM O=C(NC[C@H]1CCCN1c1ncc(F)cn1)c1ncccc1[O-] ZINC001061345140 747684135 /nfs/dbraw/zinc/68/41/35/747684135.db2.gz ZKXGJWCOUFWKCY-LLVKDONJSA-N -1 1 317.324 1.115 20 0 DDADMM O=C([N-]c1noc2nccnc12)c1cnc(-c2cnccn2)nc1 ZINC001199286698 747775425 /nfs/dbraw/zinc/77/54/25/747775425.db2.gz STRJFCCZDDIFHH-UHFFFAOYSA-N -1 1 320.272 1.117 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1ccc(F)s1 ZINC000994900759 747851263 /nfs/dbraw/zinc/85/12/63/747851263.db2.gz UBWWBVHILRLMPO-UHFFFAOYSA-N -1 1 321.333 1.242 20 0 DDADMM CCOc1nccc(C(=O)Nc2cc(=O)[n-]c(SC)n2)n1 ZINC001199635589 747926901 /nfs/dbraw/zinc/92/69/01/747926901.db2.gz JZBSJVNUWRRIPY-UHFFFAOYSA-N -1 1 307.335 1.345 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](S(=O)(=O)[N-]CC(F)F)C1 ZINC001201650104 748571972 /nfs/dbraw/zinc/57/19/72/748571972.db2.gz SSRCPXCXHDCGOV-MRVPVSSYSA-N -1 1 314.354 1.180 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@H]1CC(C)(C)O1 ZINC001201766123 748598182 /nfs/dbraw/zinc/59/81/82/748598182.db2.gz BERKSFDILIICPJ-SSDOTTSWSA-N -1 1 310.828 1.951 20 0 DDADMM CC1(C)CN(C(=O)C2CCCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995376906 748630194 /nfs/dbraw/zinc/63/01/94/748630194.db2.gz AIFGLRAAIGJTLU-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(Nc1ccc(F)cc1F)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001202027109 748662364 /nfs/dbraw/zinc/66/23/64/748662364.db2.gz CNMPJFKVZFDGRP-UHFFFAOYSA-N -1 1 322.231 1.638 20 0 DDADMM CC(C)(C)CC(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202499898 748984740 /nfs/dbraw/zinc/98/47/40/748984740.db2.gz IMPSHJVTVVAVHH-GHMZBOCLSA-N -1 1 321.425 1.464 20 0 DDADMM O=C(CC1CC1)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107255330 749687878 /nfs/dbraw/zinc/68/78/78/749687878.db2.gz YGVARKZXRCRDDH-MLGOLLRUSA-N -1 1 319.409 1.171 20 0 DDADMM C[C@@H]1C[C@@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)[C@@H](C)O1 ZINC000686393451 750366410 /nfs/dbraw/zinc/36/64/10/750366410.db2.gz JIUPZVYHLQAKJW-HLTSFMKQSA-N -1 1 322.789 1.966 20 0 DDADMM Cc1cnc(C)nc1N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001115719397 750907105 /nfs/dbraw/zinc/90/71/05/750907105.db2.gz PDTRBJIKMNVZMH-LLVKDONJSA-N -1 1 315.377 1.767 20 0 DDADMM CC(=O)N[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1(C)C ZINC001007761142 752325814 /nfs/dbraw/zinc/32/58/14/752325814.db2.gz JZQDOJXUPRRPEP-LBPRGKRZSA-N -1 1 318.377 1.046 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1CCC1 ZINC001007777345 752341429 /nfs/dbraw/zinc/34/14/29/752341429.db2.gz UJJYJYBICWZGRD-ZDUSSCGKSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(C1=CCCC1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036686357 753353112 /nfs/dbraw/zinc/35/31/12/753353112.db2.gz NPEYINZPIIXWTD-QWHCGFSZSA-N -1 1 317.393 1.043 20 0 DDADMM CC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001009827175 753394694 /nfs/dbraw/zinc/39/46/94/753394694.db2.gz COEHHEADQUQXKN-RWMBFGLXSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)c1ccsc1 ZINC001000848100 762263771 /nfs/dbraw/zinc/26/37/71/762263771.db2.gz QRMXGUXPWSBHPB-UHFFFAOYSA-N -1 1 317.370 1.351 20 0 DDADMM O=C(N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)C1=CCCC1 ZINC001078597044 754216666 /nfs/dbraw/zinc/21/66/66/754216666.db2.gz QDUFPNGQRQQBRR-NEPJUHHUSA-N -1 1 317.393 1.138 20 0 DDADMM O=C(NC1(CNC(=O)C2(CF)CC2)CCC1)c1ncccc1[O-] ZINC001064466074 754685818 /nfs/dbraw/zinc/68/58/18/754685818.db2.gz UYSCSDIECFVZMU-UHFFFAOYSA-N -1 1 321.352 1.306 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2cc[nH]c2)CN1C(=O)c1ncccc1[O-] ZINC001012508123 755113698 /nfs/dbraw/zinc/11/36/98/755113698.db2.gz YJXHGVBNUOKSSM-ZYHUDNBSSA-N -1 1 314.345 1.148 20 0 DDADMM CC[C@H](C)C(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079324890 755220611 /nfs/dbraw/zinc/22/06/11/755220611.db2.gz IXUSNCXHHPIBFZ-NSHDSACASA-N -1 1 305.378 1.506 20 0 DDADMM C/C=C(/C)C(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079578536 755452861 /nfs/dbraw/zinc/45/28/61/755452861.db2.gz KNXMJDPPEGJCAP-WCIBSUBMSA-N -1 1 303.362 1.426 20 0 DDADMM O=C(N[C@H]1CC[C@H](CNC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC001015411287 756287648 /nfs/dbraw/zinc/28/76/48/756287648.db2.gz NNPYMUIHAPQUSD-AAEUAGOBSA-N -1 1 317.389 1.602 20 0 DDADMM CC(=O)N1CC[C@@H](Nc2c(C)c(=O)[n-]n2-c2ccccc2)C1 ZINC001169530796 762490533 /nfs/dbraw/zinc/49/05/33/762490533.db2.gz AGABCGPZZSKHIR-CYBMUJFWSA-N -1 1 300.362 1.919 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)CCC2CC2)C1 ZINC001017076501 757619405 /nfs/dbraw/zinc/61/94/05/757619405.db2.gz ILHCURJMOMVNTB-ZDUSSCGKSA-N -1 1 321.425 1.371 20 0 DDADMM CC(=O)NCc1ccc(CNC(=O)c2ncccc2[O-])cc1F ZINC001017778586 758229381 /nfs/dbraw/zinc/22/93/81/758229381.db2.gz AGLXWUINKGMTTK-UHFFFAOYSA-N -1 1 317.320 1.492 20 0 DDADMM CC[C@@H](F)CN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053231886 758287397 /nfs/dbraw/zinc/28/73/97/758287397.db2.gz DUSJHGAZMGPQLK-GFCCVEGCSA-N -1 1 323.368 1.062 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC2(CN(C/C=C/Cl)C2)C1 ZINC001053232073 758287820 /nfs/dbraw/zinc/28/78/20/758287820.db2.gz IEMZIMLJVKZOOZ-DUXPYHPUSA-N -1 1 323.780 1.067 20 0 DDADMM CCc1ccc(CC(=O)NCc2cc(=O)[n-]c(SC)n2)nc1 ZINC000823527606 758323931 /nfs/dbraw/zinc/32/39/31/758323931.db2.gz QHOICVCENPVCSI-UHFFFAOYSA-N -1 1 318.402 1.720 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2COC3(CN(CCC(C)C)C3)C2)c1[O-] ZINC001053773483 758796101 /nfs/dbraw/zinc/79/61/01/758796101.db2.gz AXLMBOVXICHCLC-GFCCVEGCSA-N -1 1 322.409 1.043 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(F)cc1C)c1nn[n-]n1 ZINC000824911506 759212482 /nfs/dbraw/zinc/21/24/82/759212482.db2.gz RZTAHXGZSPGMLS-NSHDSACASA-N -1 1 309.370 1.871 20 0 DDADMM Cc1nc(CN[C@H]2CCN(C(=O)c3ncccc3[O-])C2)c(C)o1 ZINC001018756942 759228836 /nfs/dbraw/zinc/22/88/36/759228836.db2.gz ZSBYKKUTTPZJMW-LBPRGKRZSA-N -1 1 316.361 1.396 20 0 DDADMM Cc1cscc1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054536704 759782292 /nfs/dbraw/zinc/78/22/92/759782292.db2.gz FGLAQTFASCEQPA-LDYMZIIASA-N -1 1 321.406 1.131 20 0 DDADMM Cc1cc(CN[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)no1 ZINC001054589232 759853356 /nfs/dbraw/zinc/85/33/56/759853356.db2.gz NKMIXLSWPSSDSO-MFKMUULPSA-N -1 1 316.361 1.334 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NCc1ccon1 ZINC001054592517 759858590 /nfs/dbraw/zinc/85/85/90/759858590.db2.gz YYXVHKVFZSXQKA-PWSUYJOCSA-N -1 1 302.334 1.026 20 0 DDADMM O=C(CCC1CCCCC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019732728 760214425 /nfs/dbraw/zinc/21/44/25/760214425.db2.gz ORZMUWBQDCCREC-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM CC(C)C1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001000134376 761530853 /nfs/dbraw/zinc/53/08/53/761530853.db2.gz HEZLWYJPJNSDSZ-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@H](CN(C)c1ncc(Cl)cn1)NC(=O)c1ncccc1[O-] ZINC001108932731 763142149 /nfs/dbraw/zinc/14/21/49/763142149.db2.gz CZUJLJWCCLSANJ-SECBINFHSA-N -1 1 321.768 1.485 20 0 DDADMM Cc1ccc(NCCCN(C)C(=O)c2ncccc2[O-])nn1 ZINC001109436913 763705552 /nfs/dbraw/zinc/70/55/52/763705552.db2.gz OZEVWPZDYRFHAU-UHFFFAOYSA-N -1 1 301.350 1.460 20 0 DDADMM O=C(N[C@H](CNc1cnc(F)cn1)C1CC1)c1ncccc1[O-] ZINC001109821654 764104962 /nfs/dbraw/zinc/10/49/62/764104962.db2.gz MDYOBXMLWOUBKS-SNVBAGLBSA-N -1 1 317.324 1.337 20 0 DDADMM Cc1nccc(N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001057623259 764155832 /nfs/dbraw/zinc/15/58/32/764155832.db2.gz JKFUZDUIUIVYEI-LBPRGKRZSA-N -1 1 313.361 1.602 20 0 DDADMM COc1ccnc(N[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112984813 765084474 /nfs/dbraw/zinc/08/44/74/765084474.db2.gz YJMRCOXYEAYQEU-VHSXEESVSA-N -1 1 317.349 1.205 20 0 DDADMM CCc1nsc(N[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983079 765099063 /nfs/dbraw/zinc/09/90/63/765099063.db2.gz FUNJSFAKWIJXHA-DTWKUNHWSA-N -1 1 321.406 1.820 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047188459 768304433 /nfs/dbraw/zinc/30/44/33/768304433.db2.gz JXQSIAJGYBVMTJ-AXFHLTTASA-N -1 1 307.398 1.026 20 0 DDADMM Cc1nc2ccccc2nc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170217316 766176498 /nfs/dbraw/zinc/17/64/98/766176498.db2.gz BTIZFLWJBBQDJA-UHFFFAOYSA-N -1 1 309.289 1.711 20 0 DDADMM O=C1C=CC(=O)N1c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001170234077 766188701 /nfs/dbraw/zinc/18/87/01/766188701.db2.gz QDRDQSSCOXNMID-UHFFFAOYSA-N -1 1 322.284 1.232 20 0 DDADMM Cc1nccc(N[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)n1 ZINC001068821300 767639152 /nfs/dbraw/zinc/63/91/52/767639152.db2.gz ATDDKMOYNYMMIH-CMPLNLGQSA-N -1 1 313.361 1.601 20 0 DDADMM Cc1ccc(-c2nc(CC(=O)N(C)c3nn[n-]n3)cs2)o1 ZINC001130971762 767824232 /nfs/dbraw/zinc/82/42/32/767824232.db2.gz BTNSEMKHKNBOGF-UHFFFAOYSA-N -1 1 304.335 1.430 20 0 DDADMM CSCCC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071559330 769623248 /nfs/dbraw/zinc/62/32/48/769623248.db2.gz IBCUIXYXUUTXMM-WDEREUQCSA-N -1 1 323.418 1.117 20 0 DDADMM CCC(C)(C)C(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952299 770336136 /nfs/dbraw/zinc/33/61/36/770336136.db2.gz KKNXJQXVHZSSJM-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM COc1ncc(Nc2cccc(N)c2)cc1[N-]S(C)(=O)=O ZINC001159465465 771455738 /nfs/dbraw/zinc/45/57/38/771455738.db2.gz VYXSHAUXCPQQRA-UHFFFAOYSA-N -1 1 308.363 1.788 20 0 DDADMM COC(=O)CO[C@H]1CCN(Cc2cc(F)c([O-])cc2F)C1 ZINC001144664097 772590323 /nfs/dbraw/zinc/59/03/23/772590323.db2.gz VBLXAYLIMYKPLS-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM CC(C)N1C(=S)N=NC1CCNC(=O)c1ccc(F)c([O-])c1 ZINC001146647628 772912620 /nfs/dbraw/zinc/91/26/20/772912620.db2.gz XXDZPMRXTMFDFB-UHFFFAOYSA-N -1 1 324.381 1.965 20 0 DDADMM CCCN1CCCC[C@@H]1C(=O)[N-]S(=O)(=O)CCCC#N ZINC000127481714 773038968 /nfs/dbraw/zinc/03/89/68/773038968.db2.gz NXOPPDNGXFWDPA-GFCCVEGCSA-N -1 1 301.412 1.001 20 0 DDADMM Cc1ccccc1-n1ncc(C(=O)Nc2nn[n-]n2)c1C(F)F ZINC001147751903 773232935 /nfs/dbraw/zinc/23/29/35/773232935.db2.gz JHNIEHKSIXQFPY-UHFFFAOYSA-N -1 1 319.275 1.884 20 0 DDADMM COc1cnc(Cl)c(NC(=O)c2c([O-])c(C)ncc2CO)n1 ZINC001147833585 773259667 /nfs/dbraw/zinc/25/96/67/773259667.db2.gz ILHQKUYWVRYHRD-UHFFFAOYSA-N -1 1 324.724 1.292 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cc(Cl)c[nH]c2=O)c1[O-] ZINC001147833430 773260155 /nfs/dbraw/zinc/26/01/55/773260155.db2.gz GEBZONMPXXZMTI-UHFFFAOYSA-N -1 1 309.709 1.594 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cc(C(F)(F)F)no2)c1[O-] ZINC001147834363 773261115 /nfs/dbraw/zinc/26/11/15/773261115.db2.gz QWYDNXVBDZHBKO-UHFFFAOYSA-N -1 1 317.223 1.847 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCc2ccc(O)cc2F)c1[O-] ZINC001147843796 773267478 /nfs/dbraw/zinc/26/74/78/773267478.db2.gz IHJUDRFSCHBWCA-UHFFFAOYSA-N -1 1 306.293 1.363 20 0 DDADMM Cc1c(F)c(F)cc(C(=O)[N-]c2ncnc3n[nH]nc32)c1F ZINC001148722568 773577174 /nfs/dbraw/zinc/57/71/74/773577174.db2.gz DLEBWNSWKWPJLU-UHFFFAOYSA-N -1 1 308.223 1.726 20 0 DDADMM O=C(NC[C@H]1CNC(=O)O1)c1ccc(C(F)(F)F)cc1[O-] ZINC001148805614 773605859 /nfs/dbraw/zinc/60/58/59/773605859.db2.gz BVSONGLNOQPGAK-ZETCQYMHSA-N -1 1 304.224 1.249 20 0 DDADMM O=C(NC[C@H]1CNC(=O)O1)c1cccc(-c2ccccc2)c1[O-] ZINC001148871861 773622531 /nfs/dbraw/zinc/62/25/31/773622531.db2.gz BGINDUJLYVBLOF-LBPRGKRZSA-N -1 1 312.325 1.897 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cccs1 ZINC001092442464 774120142 /nfs/dbraw/zinc/12/01/42/774120142.db2.gz NQISTOXGSJWXRZ-NXEZZACHSA-N -1 1 321.406 1.070 20 0 DDADMM O=C(N[C@H](CO)C(F)(F)F)c1cc2cccc(O)c2cc1[O-] ZINC001149656781 774211087 /nfs/dbraw/zinc/21/10/87/774211087.db2.gz NBTFTOFQFZXIKF-GFCCVEGCSA-N -1 1 315.247 1.904 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001074899448 774227970 /nfs/dbraw/zinc/22/79/70/774227970.db2.gz CDTHUWYEFUUORE-LOWDOPEQSA-N -1 1 321.425 1.272 20 0 DDADMM CC(=O)N1CCC([C@H]2N(Cc3n[nH]c(=O)[n-]3)CC23CCC3)CC1 ZINC001093347122 774626973 /nfs/dbraw/zinc/62/69/73/774626973.db2.gz IXIPHGJNKNXTAF-CQSZACIVSA-N -1 1 319.409 1.123 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])Nc1cnc(F)cn1 ZINC001099104400 774834960 /nfs/dbraw/zinc/83/49/60/774834960.db2.gz FRYWCKXHPMOJFG-SECBINFHSA-N -1 1 305.313 1.337 20 0 DDADMM CC(C)c1cc(NCCCNC(=O)c2ncccc2[O-])ncn1 ZINC001094375605 775819149 /nfs/dbraw/zinc/81/91/49/775819149.db2.gz HCLNMLCNUALUGP-UHFFFAOYSA-N -1 1 315.377 1.933 20 0 DDADMM CC(C)NC(=O)c1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1 ZINC001174148676 777415669 /nfs/dbraw/zinc/41/56/69/777415669.db2.gz CJXIQOIZVZQYHF-UHFFFAOYSA-N -1 1 319.390 1.935 20 0 DDADMM Cn1ncc2ccc([N-]S(=O)(=O)c3cc(N)ccc3N)cc21 ZINC001174342274 777461100 /nfs/dbraw/zinc/46/11/00/777461100.db2.gz KILXBSRFHBEOPV-UHFFFAOYSA-N -1 1 317.374 1.539 20 0 DDADMM [O-]c1cc(F)cc(F)c1Nc1cnn(CCN2CCOCC2)c1 ZINC001174491945 777486432 /nfs/dbraw/zinc/48/64/32/777486432.db2.gz XZZUYHDJIPQXEC-UHFFFAOYSA-N -1 1 324.331 1.943 20 0 DDADMM COc1cc(F)cc([N-]S(=O)(=O)c2ccc(N)c(N)c2)c1 ZINC001175009042 777656324 /nfs/dbraw/zinc/65/63/24/777656324.db2.gz HFMZHKWYUBOOCU-UHFFFAOYSA-N -1 1 311.338 1.800 20 0 DDADMM C=C/C(C)=C\CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102244298 777970506 /nfs/dbraw/zinc/97/05/06/777970506.db2.gz FEISZMPGIRUNLD-WNIKWDQDSA-N -1 1 319.409 1.217 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2cnn(C3CC3)c2)c1 ZINC001175963332 777978927 /nfs/dbraw/zinc/97/89/27/777978927.db2.gz AGXCKURGGFZDCA-UHFFFAOYSA-N -1 1 322.346 1.934 20 0 DDADMM CC(=O)N1CC=C(Nc2cc(S(C)(=O)=O)ccc2[O-])CC1 ZINC001176035597 778007484 /nfs/dbraw/zinc/00/74/84/778007484.db2.gz HYEHARZJZMTMPM-UHFFFAOYSA-N -1 1 310.375 1.344 20 0 DDADMM CN1CCN(c2ccccc2Nc2cnn(CC(=O)[O-])c2)CC1 ZINC001176266902 778111569 /nfs/dbraw/zinc/11/15/69/778111569.db2.gz KIRTWKMAUYRLAO-UHFFFAOYSA-N -1 1 315.377 1.463 20 0 DDADMM CCn1c(C(=O)NC2(c3nn[n-]n3)CCC2)cc2ccccc21 ZINC001176725786 778221690 /nfs/dbraw/zinc/22/16/90/778221690.db2.gz OEZFCOFHCDWJNC-UHFFFAOYSA-N -1 1 310.361 1.984 20 0 DDADMM CC(C)(NC(=O)Cc1nn[n-]n1)c1ccc(C(F)(F)F)cc1 ZINC001176845830 778300363 /nfs/dbraw/zinc/30/03/63/778300363.db2.gz SCZYVMXGZJKDTA-UHFFFAOYSA-N -1 1 313.283 1.813 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1ccc(C)nn1 ZINC001103068933 778616519 /nfs/dbraw/zinc/61/65/19/778616519.db2.gz LWCSMEQAVPWOGZ-NSHDSACASA-N -1 1 301.350 1.506 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C(F)(F)C(F)(F)Cl)c1-2 ZINC001179774121 779472980 /nfs/dbraw/zinc/47/29/80/779472980.db2.gz GRXFYNHVTZONEF-UHFFFAOYSA-N -1 1 311.626 1.440 20 0 DDADMM CCCCNC(=O)[C@H]1CC[C@@H](C)N(Cc2cc(C(=O)[O-])n[nH]2)C1 ZINC001119539837 781500876 /nfs/dbraw/zinc/50/08/76/781500876.db2.gz JDKOMNUBCOENLB-NEPJUHHUSA-N -1 1 322.409 1.625 20 0 DDADMM CCOCC[C@@H](NCc1cnn(-c2ccccc2)n1)C(=O)[O-] ZINC001119615976 781537794 /nfs/dbraw/zinc/53/77/94/781537794.db2.gz YPVHYLUJJSTWGV-CQSZACIVSA-N -1 1 304.350 1.237 20 0 DDADMM CC(C)C[C@H](C)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001409136424 844410642 /nfs/dbraw/zinc/41/06/42/844410642.db2.gz MVGFPDIKAGSACG-LBPRGKRZSA-N -1 1 319.405 1.658 20 0 DDADMM CCCC[C@H](CCC)NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001414232266 844542012 /nfs/dbraw/zinc/54/20/12/844542012.db2.gz VQWMSWHBEACPAM-JTQLQIEISA-N -1 1 317.411 1.834 20 0 DDADMM CCCC[C@H](CCC)NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001414232266 844542016 /nfs/dbraw/zinc/54/20/16/844542016.db2.gz VQWMSWHBEACPAM-JTQLQIEISA-N -1 1 317.411 1.834 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CCN2C(=O)c1cc(Cl)ccc1[O-] ZINC001269576777 841783603 /nfs/dbraw/zinc/78/36/03/841783603.db2.gz VYZVNMBOMZZVDY-BJOHPYRUSA-N -1 1 308.765 1.738 20 0 DDADMM NC(=O)c1[nH]nc2c1CN(C(=O)c1ccc([O-])cc1Cl)C2 ZINC001269762242 842023928 /nfs/dbraw/zinc/02/39/28/842023928.db2.gz LDIJDFCMIYNZNR-UHFFFAOYSA-N -1 1 306.709 1.024 20 0 DDADMM CN(C)c1nc(Nc2cnn(Cc3ccncc3)c2)cc(=O)[n-]1 ZINC001203128780 861342737 /nfs/dbraw/zinc/34/27/37/861342737.db2.gz DJWKIRRBXUAYGR-UHFFFAOYSA-N -1 1 311.349 1.632 20 0 DDADMM Cc1cc2c(c(N[C@@H](C)c3nnc4ccccn43)n1)C(=O)[N-]C2=O ZINC001154815085 861478985 /nfs/dbraw/zinc/47/89/85/861478985.db2.gz JVDJIUBHDULOEI-VIFPVBQESA-N -1 1 322.328 1.489 20 0 DDADMM CCc1ncc(CNS(=O)(=O)c2cccc(Cl)c2[O-])[nH]1 ZINC001414360775 844874109 /nfs/dbraw/zinc/87/41/09/844874109.db2.gz VKYDTKFGWQDZBC-UHFFFAOYSA-N -1 1 315.782 1.810 20 0 DDADMM Cc1cc(CN(C)[C@@H](C)CNC(=O)c2ncccc2[O-])nn1C ZINC001409528556 845252612 /nfs/dbraw/zinc/25/26/12/845252612.db2.gz DZAOBAOIFCCNKN-LBPRGKRZSA-N -1 1 317.393 1.079 20 0 DDADMM Cc1ncc(CN(C)[C@@H](C)CNC(=O)c2ncccc2[O-])cn1 ZINC001409540741 845280763 /nfs/dbraw/zinc/28/07/63/845280763.db2.gz OHUPGCKMGXCFHZ-NSHDSACASA-N -1 1 315.377 1.136 20 0 DDADMM CCC(CC)CN1CC(CCO)(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001409707686 845593987 /nfs/dbraw/zinc/59/39/87/845593987.db2.gz KJXICTQHJZAIIO-UHFFFAOYSA-N -1 1 324.425 1.027 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccoc1 ZINC001409883730 846035786 /nfs/dbraw/zinc/03/57/86/846035786.db2.gz YFGIHNFCWUHQCJ-GFCCVEGCSA-N -1 1 317.345 1.565 20 0 DDADMM CN1C(=O)C[C@@]2(CCCN(C(=O)c3cc(F)ccc3[O-])C2)C1=O ZINC001272387780 846092889 /nfs/dbraw/zinc/09/28/89/846092889.db2.gz CECHXSAZRHOUPL-MRXNPFEDSA-N -1 1 320.320 1.142 20 0 DDADMM CCOC(=O)[C@H](CSC)NC(=O)c1cccc([O-])c1F ZINC001434364835 846579773 /nfs/dbraw/zinc/57/97/73/846579773.db2.gz RBMQVLMWYVCXDQ-VIFPVBQESA-N -1 1 301.339 1.556 20 0 DDADMM COC[C@@H](NC(=O)C=C(C)c1ccc(OC)cc1)c1nn[n-]n1 ZINC001155070449 861699155 /nfs/dbraw/zinc/69/91/55/861699155.db2.gz WMFLYTOMFOHHOO-CHOZFAJLSA-N -1 1 317.349 1.116 20 0 DDADMM COC[C@@H](NC(=O)CCCCCc1cccs1)c1nn[n-]n1 ZINC001155070490 861702235 /nfs/dbraw/zinc/70/22/35/861702235.db2.gz XQRAWPCFVBZAIV-GFCCVEGCSA-N -1 1 323.422 1.868 20 0 DDADMM Cc1cncc(CN2C[C@@H]3C[C@H]2CN3C(=O)c2ncccc2[O-])c1 ZINC001032369491 847200268 /nfs/dbraw/zinc/20/02/68/847200268.db2.gz FJFOWTGSPQLXPY-GJZGRUSLSA-N -1 1 324.384 1.590 20 0 DDADMM Cn1cc(CCNC(=O)c2cc3cccc(O)c3cc2[O-])cn1 ZINC001149655951 861788919 /nfs/dbraw/zinc/78/89/19/861788919.db2.gz ALIZVKHCHCNOTA-UHFFFAOYSA-N -1 1 311.341 1.957 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1c[nH]c2cc(=O)ccc-2c1 ZINC001155169379 861794892 /nfs/dbraw/zinc/79/48/92/861794892.db2.gz SCAVYWIZRGADPH-UHFFFAOYSA-N -1 1 324.273 1.628 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC001005958956 848609701 /nfs/dbraw/zinc/60/97/01/848609701.db2.gz RQGSYNIGWIQKPA-UMNHJUIQSA-N -1 1 303.362 1.020 20 0 DDADMM CCOC(=O)C[C@H](C)C[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001364814153 848802755 /nfs/dbraw/zinc/80/27/55/848802755.db2.gz KKDCOCCTKLUZJB-QMMMGPOBSA-N -1 1 322.408 1.019 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H](C)C(F)(F)F ZINC001410274436 848993035 /nfs/dbraw/zinc/99/30/35/848993035.db2.gz VIRKQLRULGYDSY-SFYZADRCSA-N -1 1 319.283 1.220 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ccns2)c1 ZINC001434606030 849437002 /nfs/dbraw/zinc/43/70/02/849437002.db2.gz CPQYNXRDCKPBPR-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM C[C@@H](NC(=O)C1CC1)[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001411336883 850855238 /nfs/dbraw/zinc/85/52/38/850855238.db2.gz BUZZUFIVZRVSKE-BDAKNGLRSA-N -1 1 318.377 1.093 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@H]3CCCNC(=O)C3)c([O-])c2n1 ZINC001155661515 862349954 /nfs/dbraw/zinc/34/99/54/862349954.db2.gz YWBYSSZDXZQRJW-LBPRGKRZSA-N -1 1 313.357 1.647 20 0 DDADMM CN(C)C(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1ccc([O-])cc1F ZINC001275398793 853063931 /nfs/dbraw/zinc/06/39/31/853063931.db2.gz GSWGLSPJIFFRBJ-KTJYFRGRSA-N -1 1 320.364 1.764 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)C[C@@H](C)n2ccnc2)[n-]1 ZINC001411532780 853313705 /nfs/dbraw/zinc/31/37/05/853313705.db2.gz AHABKAKASXPNDG-SECBINFHSA-N -1 1 318.333 1.790 20 0 DDADMM CCC[C@H](NC(=O)c1cnc(C(C)C)nc1C)c1nn[n-]n1 ZINC001411861159 853850913 /nfs/dbraw/zinc/85/09/13/853850913.db2.gz ZGRPFWLGNNJHTO-NSHDSACASA-N -1 1 303.370 1.693 20 0 DDADMM CCCC[C@H](CNC(=O)CC1CC1)NC(=O)c1ncccc1[O-] ZINC001411863956 853854943 /nfs/dbraw/zinc/85/49/43/853854943.db2.gz CIWBEGXQMWLIHL-CYBMUJFWSA-N -1 1 319.405 1.992 20 0 DDADMM CCc1cnc(CNCCCN(C)C(=O)c2n[nH]c(C)c2[O-])o1 ZINC001275954979 854010542 /nfs/dbraw/zinc/01/05/42/854010542.db2.gz PJLVVBQTBURGEM-UHFFFAOYSA-N -1 1 321.381 1.226 20 0 DDADMM COCCOCN1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc([O-])cc1F ZINC001275975589 854054939 /nfs/dbraw/zinc/05/49/39/854054939.db2.gz UXJIEYPRARRAOE-TXEJJXNPSA-N -1 1 324.352 1.051 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CCN(C(=O)c1cccc([O-])c1F)CC2 ZINC001275984073 854080894 /nfs/dbraw/zinc/08/08/94/854080894.db2.gz IBPWNUZGGHODIQ-LBPRGKRZSA-N -1 1 320.364 1.862 20 0 DDADMM C[C@H]1c2nc(C(N)=O)cn2CCN1C(=O)c1cccc([O-])c1F ZINC001275984400 854082107 /nfs/dbraw/zinc/08/21/07/854082107.db2.gz MWKGJRLTXHNTGE-QMMMGPOBSA-N -1 1 318.308 1.044 20 0 DDADMM Cn1ccnc1[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCO1 ZINC001412224956 854356880 /nfs/dbraw/zinc/35/68/80/854356880.db2.gz JVRMCMXKGJRBOY-ZYHUDNBSSA-N -1 1 302.334 1.171 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ccc([O-])cn1)c1ccncc1 ZINC001412294659 854416330 /nfs/dbraw/zinc/41/63/30/854416330.db2.gz DHVCLXWYISNAQW-ZDUSSCGKSA-N -1 1 301.302 1.216 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc[nH]c2CC)n[n-]1 ZINC001412325040 854443127 /nfs/dbraw/zinc/44/31/27/854443127.db2.gz ASHQPUGLPVZCAT-MRVPVSSYSA-N -1 1 305.338 1.363 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc[nH]c2CC)[n-]1 ZINC001412325040 854443129 /nfs/dbraw/zinc/44/31/29/854443129.db2.gz ASHQPUGLPVZCAT-MRVPVSSYSA-N -1 1 305.338 1.363 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc[nH]c2CC)n1 ZINC001412325040 854443131 /nfs/dbraw/zinc/44/31/31/854443131.db2.gz ASHQPUGLPVZCAT-MRVPVSSYSA-N -1 1 305.338 1.363 20 0 DDADMM O=C(NC1CCN(C(=O)C2CC2)CC1)c1cccc([O-])c1F ZINC001412388066 854497092 /nfs/dbraw/zinc/49/70/92/854497092.db2.gz CQDYDCOCDKFZDV-UHFFFAOYSA-N -1 1 306.337 1.662 20 0 DDADMM Cc1cc(C)n(CCC(=O)N(Cc2nn[n-]n2)CC(C)C)n1 ZINC001412526946 854660191 /nfs/dbraw/zinc/66/01/91/854660191.db2.gz HTLJURJTSTWARK-UHFFFAOYSA-N -1 1 305.386 1.088 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cnc([C@H](C)O)s1 ZINC001412533600 854675603 /nfs/dbraw/zinc/67/56/03/854675603.db2.gz XRPVEFFZKVERSK-QMMMGPOBSA-N -1 1 310.383 1.008 20 0 DDADMM NC(=O)[C@@H]1Cc2ccccc2CN1C(=O)c1ccc(F)c([O-])c1 ZINC001412556028 854720960 /nfs/dbraw/zinc/72/09/60/854720960.db2.gz ILXCFPCKMBZSCO-AWEZNQCLSA-N -1 1 314.316 1.584 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc([O-])cn2)C(=O)N1c1ccccc1 ZINC001412589624 854778694 /nfs/dbraw/zinc/77/86/94/854778694.db2.gz MNAYWPATTGKYKN-IAQYHMDHSA-N -1 1 311.341 1.711 20 0 DDADMM COC[C@@H](NC(=O)C/C=C/c1ccc(C)cc1)c1nn[n-]n1 ZINC001412609966 854808565 /nfs/dbraw/zinc/80/85/65/854808565.db2.gz PSXPKVZRDZCKHL-ITDFMYJTSA-N -1 1 301.350 1.415 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1C[C@H]1NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001412640571 854865403 /nfs/dbraw/zinc/86/54/03/854865403.db2.gz JZMJVOJWRLNFNN-IVZWLZJFSA-N -1 1 324.385 1.070 20 0 DDADMM COc1cnc(C2(NC(=O)[C@H](O)C(C)C)CCCC2)[n-]c1=O ZINC001412709933 854994369 /nfs/dbraw/zinc/99/43/69/854994369.db2.gz WRRBFIUMTRHESE-LLVKDONJSA-N -1 1 309.366 1.093 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cc(C)no2)[n-]c1=O ZINC001412768151 855239392 /nfs/dbraw/zinc/23/93/92/855239392.db2.gz HVLQCDVHYYLMLU-VIFPVBQESA-N -1 1 304.306 1.465 20 0 DDADMM CCc1nc[nH]c1C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC001412771109 855244176 /nfs/dbraw/zinc/24/41/76/855244176.db2.gz MSSDTILWKXNQRB-SNVBAGLBSA-N -1 1 317.349 1.454 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1c[nH]c(=O)c2ccccc12 ZINC001151162788 862658467 /nfs/dbraw/zinc/65/84/67/862658467.db2.gz KCGLNJOSNADHND-UHFFFAOYSA-N -1 1 322.284 1.565 20 0 DDADMM C[C@H](CN(C)C(=O)c1noc2c1CC(C)(C)CC2)c1nn[n-]n1 ZINC001412797865 855281574 /nfs/dbraw/zinc/28/15/74/855281574.db2.gz SHEJXDWKSFKGBW-SECBINFHSA-N -1 1 318.381 1.578 20 0 DDADMM CN(Cc1n[nH]c(=O)o1)C(=O)c1cc(F)c([O-])c(Cl)c1 ZINC001412858488 855730661 /nfs/dbraw/zinc/73/06/61/855730661.db2.gz IAUASUDIMGTSEW-UHFFFAOYSA-N -1 1 301.661 1.546 20 0 DDADMM Cn1ccnc1[C@@H]1C[C@H](NC(=O)c2cccc([O-])c2F)CCO1 ZINC001413092421 856408759 /nfs/dbraw/zinc/40/87/59/856408759.db2.gz ZRBZYBRUWBOMPE-MFKMUULPSA-N -1 1 319.336 1.915 20 0 DDADMM Cc1cnc(C(=O)N[C@@](C)(CO)c2ccc(O)cc2)c([O-])c1 ZINC001413179261 856519139 /nfs/dbraw/zinc/51/91/39/856519139.db2.gz ILFQGXSXDWZBOT-INIZCTEOSA-N -1 1 302.330 1.439 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2cnc(C)s2)sn1 ZINC001413322107 856651091 /nfs/dbraw/zinc/65/10/91/856651091.db2.gz RQWFMMWWHCJFPK-UHFFFAOYSA-N -1 1 319.433 1.438 20 0 DDADMM CCOc1ccc(CC(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC001413325452 856661489 /nfs/dbraw/zinc/66/14/89/856661489.db2.gz IIPIXODIUMEOKM-UHFFFAOYSA-N -1 1 303.318 1.145 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NS(=O)(=O)CCC(C)C)n[n-]1 ZINC001413401259 856765754 /nfs/dbraw/zinc/76/57/54/856765754.db2.gz BHZJXDHQABQASR-VIFPVBQESA-N -1 1 318.399 1.008 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NS(=O)(=O)CCC(C)C)[n-]1 ZINC001413401259 856765759 /nfs/dbraw/zinc/76/57/59/856765759.db2.gz BHZJXDHQABQASR-VIFPVBQESA-N -1 1 318.399 1.008 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NS(=O)(=O)CCC(C)C)n1 ZINC001413401259 856765763 /nfs/dbraw/zinc/76/57/63/856765763.db2.gz BHZJXDHQABQASR-VIFPVBQESA-N -1 1 318.399 1.008 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cnc(C2CC2)nc1 ZINC001413506929 856906215 /nfs/dbraw/zinc/90/62/15/856906215.db2.gz WKOXMAIVJGCFRM-UHFFFAOYSA-N -1 1 301.354 1.166 20 0 DDADMM CCOC(=O)[C@H](CCCC(C)C)NC(=O)c1cnncc1[O-] ZINC001413543240 857040186 /nfs/dbraw/zinc/04/01/86/857040186.db2.gz OKTHUEHVJQIIHA-LBPRGKRZSA-N -1 1 309.366 1.670 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc3c(c2)NC(=O)C3)c(=O)[n-]1 ZINC001413543268 857040630 /nfs/dbraw/zinc/04/06/30/857040630.db2.gz OUICPWHIVFASRE-UHFFFAOYSA-N -1 1 316.342 1.651 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC3(C2)CCN(C/C=C/Cl)C3)c1[O-] ZINC001072628521 857527770 /nfs/dbraw/zinc/52/77/70/857527770.db2.gz UEORNSGOZPNCAP-DUXPYHPUSA-N -1 1 310.785 1.324 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)Cc1cccs1 ZINC001121776029 858594387 /nfs/dbraw/zinc/59/43/87/858594387.db2.gz GHVFIFWMEHPMOS-UHFFFAOYSA-N -1 1 304.383 1.100 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)Cc1cccs1 ZINC001121776029 858594389 /nfs/dbraw/zinc/59/43/89/858594389.db2.gz GHVFIFWMEHPMOS-UHFFFAOYSA-N -1 1 304.383 1.100 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@H](OCC[P@](=O)([O-])O)[C@@H]2C1 ZINC001224601121 881463542 /nfs/dbraw/zinc/46/35/42/881463542.db2.gz DOYHTBUSPZEHPX-AXFHLTTASA-N -1 1 321.310 1.436 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](OCC[P@](=O)([O-])O)C1 ZINC001224606401 881465635 /nfs/dbraw/zinc/46/56/35/881465635.db2.gz RRIYSQUUHQTUCP-SNVBAGLBSA-N -1 1 309.299 1.580 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2nc3cccnc3[nH]2)c1 ZINC001138342647 860064221 /nfs/dbraw/zinc/06/42/21/860064221.db2.gz NEYYNJJSNLUSPO-UHFFFAOYSA-N -1 1 310.313 1.714 20 0 DDADMM [O-]c1cccnc1CN1CCN(c2nccnc2Cl)CC1 ZINC001138390862 860084900 /nfs/dbraw/zinc/08/49/00/860084900.db2.gz JLCPAXMNWLOESM-UHFFFAOYSA-N -1 1 305.769 1.553 20 0 DDADMM [O-]c1cccnc1CN1CCn2c(Br)nnc2C1 ZINC001138394967 860089666 /nfs/dbraw/zinc/08/96/66/860089666.db2.gz QRGKFLOBXSPMPE-UHFFFAOYSA-N -1 1 310.155 1.157 20 0 DDADMM Cc1cc(NCCNC(=O)c2cc(C)cc(C=O)c2[O-])n(C)n1 ZINC001139031558 860258964 /nfs/dbraw/zinc/25/89/64/860258964.db2.gz AAJKVKJLNUJLRT-UHFFFAOYSA-N -1 1 316.361 1.397 20 0 DDADMM CCc1cccc(NC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001202891110 860273592 /nfs/dbraw/zinc/27/35/92/860273592.db2.gz PNLBUUQHPIREEC-UHFFFAOYSA-N -1 1 314.305 1.922 20 0 DDADMM CCOC(=O)c1cnc2n1CCN(Cc1ccncc1[O-])[C@@H]2C ZINC001140281672 860611696 /nfs/dbraw/zinc/61/16/96/860611696.db2.gz HEANABHICRSGNL-LLVKDONJSA-N -1 1 316.361 1.737 20 0 DDADMM COCCn1cc(C(=O)Nc2ccc([O-])c(F)c2F)ccc1=O ZINC001140652341 860667091 /nfs/dbraw/zinc/66/70/91/860667091.db2.gz JXFHHCYMMHUHMK-UHFFFAOYSA-N -1 1 324.283 1.731 20 0 DDADMM O=C([O-])c1ccc(CN2CCC(NC(=O)N3CCCC3)CC2)o1 ZINC001140948843 860744549 /nfs/dbraw/zinc/74/45/49/860744549.db2.gz DEFLXXQLZSQWGU-UHFFFAOYSA-N -1 1 321.377 1.748 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H](C)C(=O)NC(C)(C)C)c1 ZINC001142392782 861142992 /nfs/dbraw/zinc/14/29/92/861142992.db2.gz HUPUORANUSYPFM-JTQLQIEISA-N -1 1 306.362 1.546 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cccc2cnsc21 ZINC001156661309 863240696 /nfs/dbraw/zinc/24/06/96/863240696.db2.gz TWFUBMGUXVOEJG-UHFFFAOYSA-N -1 1 303.303 1.332 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CC[C@@H]2CCO[C@H]2C1 ZINC001152670806 863482605 /nfs/dbraw/zinc/48/26/05/863482605.db2.gz FODCLFMNBDRGIH-BMIGLBTASA-N -1 1 314.341 1.897 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCCC(=O)[C@@H](F)C1 ZINC001152672142 863485248 /nfs/dbraw/zinc/48/52/48/863485248.db2.gz YKIPMEFOXPUFHE-JTQLQIEISA-N -1 1 318.304 1.789 20 0 DDADMM CC(C)OC1CN(C(=O)c2cc(=O)c3cccc(O)c3[n-]2)C1 ZINC001152678982 863487377 /nfs/dbraw/zinc/48/73/77/863487377.db2.gz GUGYNRFLSFDOCZ-UHFFFAOYSA-N -1 1 302.330 1.895 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cccc2ccc(=O)[nH]c21 ZINC001153872194 864185454 /nfs/dbraw/zinc/18/54/54/864185454.db2.gz LLVODJPZUSXAHA-UHFFFAOYSA-N -1 1 310.317 1.263 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)[C@@H]1CC[C@@H](C)CC1 ZINC001381436714 881768725 /nfs/dbraw/zinc/76/87/25/881768725.db2.gz LPJVTPVEPWEXNV-DDHJBXDOSA-N -1 1 309.414 1.319 20 0 DDADMM CN(C(=O)c1nn(-c2ccccc2)c2c1CCC2)c1nn[n-]n1 ZINC001331481514 865111025 /nfs/dbraw/zinc/11/10/25/865111025.db2.gz IMQVKNDYZFBBGE-UHFFFAOYSA-N -1 1 309.333 1.151 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ncnc(-c3cccc(F)c3)n2)[n-]1 ZINC001159199832 865163956 /nfs/dbraw/zinc/16/39/56/865163956.db2.gz TWPQHILJLLIVRC-UHFFFAOYSA-N -1 1 324.279 1.793 20 0 DDADMM Cc1cc2c(c(NCC(F)(F)c3ccccn3)n1)C(=O)[N-]C2=O ZINC001159793988 865529770 /nfs/dbraw/zinc/52/97/70/865529770.db2.gz HPXZFWDODHDTNH-UHFFFAOYSA-N -1 1 318.283 1.873 20 0 DDADMM CN(C(=O)COc1cc(Cl)cc(Cl)c1)c1nn[n-]n1 ZINC001361554821 881886617 /nfs/dbraw/zinc/88/66/17/881886617.db2.gz PEJUJZMWIWSSNQ-UHFFFAOYSA-N -1 1 302.121 1.548 20 0 DDADMM CC(C)c1c(Cl)ncnc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160858249 866153312 /nfs/dbraw/zinc/15/33/12/866153312.db2.gz OHUOYPDTDJYSGO-LURJTMIESA-N -1 1 313.770 1.201 20 0 DDADMM Cc1cc2c(c(NCc3cccc(CCO)c3)n1)C(=O)[N-]C2=O ZINC001161018231 866277053 /nfs/dbraw/zinc/27/70/53/866277053.db2.gz WQVDNADFKMANTK-UHFFFAOYSA-N -1 1 311.341 1.421 20 0 DDADMM Cc1ccc(-c2ncc[nH]2)cc1NC(=O)CCCc1nn[n-]n1 ZINC001161260742 866476911 /nfs/dbraw/zinc/47/69/11/866476911.db2.gz GJGNPRSUHYEVKV-UHFFFAOYSA-N -1 1 311.349 1.860 20 0 DDADMM O=C(C(=O)N1CCC2(CC1)C[C@H](O)CCO2)c1ccc([O-])cc1 ZINC001333527576 866813156 /nfs/dbraw/zinc/81/31/56/866813156.db2.gz WWSICYLHMHYCDX-CQSZACIVSA-N -1 1 319.357 1.107 20 0 DDADMM CCc1oc2ccccc2c1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC001320542909 866814557 /nfs/dbraw/zinc/81/45/57/866814557.db2.gz IOOOOMZFVGLSEN-UHFFFAOYSA-N -1 1 301.302 1.774 20 0 DDADMM C/C=C(\C)C(=O)NCC(C)(C)CN(C)C(=O)c1ncccc1[O-] ZINC001334040518 867257543 /nfs/dbraw/zinc/25/75/43/867257543.db2.gz WVWHBKQMXKTLOC-WUXMJOGZSA-N -1 1 319.405 1.968 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CC[C@@]3(CC(F)(F)C3(F)F)C2)C1=O ZINC001334039564 867258740 /nfs/dbraw/zinc/25/87/40/867258740.db2.gz FWRFUEKLAIJSMM-GZMMTYOYSA-N -1 1 324.274 1.038 20 0 DDADMM Cc1ccc(C(=O)N(C)C)cc1NC(=O)c1cnncc1[O-] ZINC001361651281 882090256 /nfs/dbraw/zinc/09/02/56/882090256.db2.gz HSEXIDPEMNHTPW-UHFFFAOYSA-N -1 1 300.318 1.445 20 0 DDADMM CC[C@@H](C)CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225898542 882099583 /nfs/dbraw/zinc/09/95/83/882099583.db2.gz IZGHBBZVHRGKFG-IJLUTSLNSA-N -1 1 309.414 1.464 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(CS(C)(=O)=O)cc2)c1[O-] ZINC001361688777 882163291 /nfs/dbraw/zinc/16/32/91/882163291.db2.gz UUGKJCCRPDYNRS-UHFFFAOYSA-N -1 1 309.347 1.221 20 0 DDADMM O=C([N-]CCNc1ccc2c(c1)OCCCO2)C(F)(F)F ZINC001164246113 869055100 /nfs/dbraw/zinc/05/51/00/869055100.db2.gz OMTAZHWXHJTROF-UHFFFAOYSA-N -1 1 304.268 1.938 20 0 DDADMM COC(=O)c1nccc(NCC[N-]C(=O)C(F)(F)F)c1C ZINC001164250938 869059201 /nfs/dbraw/zinc/05/92/01/869059201.db2.gz HKFIWTSXKVSBLL-UHFFFAOYSA-N -1 1 305.256 1.267 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC1CCCCCCC1 ZINC001361744759 882281475 /nfs/dbraw/zinc/28/14/75/882281475.db2.gz JTWGUAVZAZNRBR-UHFFFAOYSA-N -1 1 305.378 1.746 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NOc2ccc(F)cc2)o1 ZINC001165799843 869716602 /nfs/dbraw/zinc/71/66/02/869716602.db2.gz BZXHHDHUXCIGGA-UHFFFAOYSA-N -1 1 314.294 1.051 20 0 DDADMM CCc1ncoc1C(=O)[N-]c1ncn(CC(=O)OC(C)(C)C)n1 ZINC001361771787 882339614 /nfs/dbraw/zinc/33/96/14/882339614.db2.gz AMXSIBNWIGWURF-UHFFFAOYSA-N -1 1 321.337 1.423 20 0 DDADMM O=C(Nc1ccc(O[C@H]2CC(=O)N2)cc1)c1ccc(O)cc1[O-] ZINC001297808643 870180783 /nfs/dbraw/zinc/18/07/83/870180783.db2.gz UHQBYTKDNZTVNT-HNNXBMFYSA-N -1 1 314.297 1.575 20 0 DDADMM O=C(c1cnncc1[O-])N(C[C@H]1CCCO1)[C@@H]1CCSC1 ZINC001361798098 882394949 /nfs/dbraw/zinc/39/49/49/882394949.db2.gz KKPANWGNWGXCJG-GHMZBOCLSA-N -1 1 309.391 1.309 20 0 DDADMM CS(=O)(=O)Nc1ccccc1CNC(=O)c1ccc([O-])cn1 ZINC001361806860 882409677 /nfs/dbraw/zinc/40/96/77/882409677.db2.gz NTUKDYRQLOSIAZ-UHFFFAOYSA-N -1 1 321.358 1.089 20 0 DDADMM O=C(NC/C=C/CNC(=O)C1CC2(CC2)C1)c1ncccc1[O-] ZINC001298357773 870499741 /nfs/dbraw/zinc/49/97/41/870499741.db2.gz XWIHUDHJYGRMOZ-OWOJBTEDSA-N -1 1 315.373 1.380 20 0 DDADMM Cc1ccc([C@H]2C[C@H](C)N(c3nnc(-c4nnn[n-]4)n3C)C2)cc1 ZINC001339545122 870550518 /nfs/dbraw/zinc/55/05/18/870550518.db2.gz YCYPTLOVHIZGDW-AAEUAGOBSA-N -1 1 324.392 1.686 20 0 DDADMM Cc1ccc([C@H]2C[C@H](C)N(c3nnc(-c4nn[n-]n4)n3C)C2)cc1 ZINC001339545122 870550526 /nfs/dbraw/zinc/55/05/26/870550526.db2.gz YCYPTLOVHIZGDW-AAEUAGOBSA-N -1 1 324.392 1.686 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C(=O)OC)ccn2)n1 ZINC001361815998 882427035 /nfs/dbraw/zinc/42/70/35/882427035.db2.gz RGFXQSZGFNSLNM-UHFFFAOYSA-N -1 1 318.289 1.020 20 0 DDADMM CC(=CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)c1cnccn1 ZINC001301650436 871016629 /nfs/dbraw/zinc/01/66/29/871016629.db2.gz SSWAZFXPRDSQEI-GDXASINISA-N -1 1 321.344 1.299 20 0 DDADMM CC(=CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)c1cnccn1 ZINC001301650636 871016913 /nfs/dbraw/zinc/01/69/13/871016913.db2.gz SSWAZFXPRDSQEI-SLZMIMFISA-N -1 1 321.344 1.299 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2ccn3ccnc3c2)cc1N ZINC001204983074 871310150 /nfs/dbraw/zinc/31/01/50/871310150.db2.gz OQTVRVBXSWAEHI-UHFFFAOYSA-N -1 1 303.347 1.300 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CSc2nnc(C)o2)cc1 ZINC001317692752 871416733 /nfs/dbraw/zinc/41/67/33/871416733.db2.gz BZLMTNGAKQOEQW-UHFFFAOYSA-N -1 1 307.331 1.435 20 0 DDADMM CC(=O)Nc1cc(NC(=O)CCCc2nn[n-]n2)ccc1Cl ZINC001361858940 882515025 /nfs/dbraw/zinc/51/50/25/882515025.db2.gz ZIKSPSNIORXNQX-UHFFFAOYSA-N -1 1 322.756 1.773 20 0 DDADMM O=C([O-])c1sccc1-c1ncccc1C(=O)N1CCNCC1 ZINC001205542480 871618852 /nfs/dbraw/zinc/61/88/52/871618852.db2.gz GUZVTOVVRTYREI-UHFFFAOYSA-N -1 1 317.370 1.554 20 0 DDADMM Cn1ncc2cc(S(=O)(=O)[N-]c3cccc(O)c3)cnc21 ZINC001342988984 872461503 /nfs/dbraw/zinc/46/15/03/872461503.db2.gz BBJZKYXBDJPYIQ-UHFFFAOYSA-N -1 1 304.331 1.475 20 0 DDADMM O=c1cc(O[C@@H]2CC(F)(F)C2(F)F)nc(N2CCOCC2)[n-]1 ZINC001226727719 882615585 /nfs/dbraw/zinc/61/55/85/882615585.db2.gz HQUUSAVXUKDWFC-SSDOTTSWSA-N -1 1 323.246 1.441 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C(F)=C1CCCC1 ZINC001319440501 872630810 /nfs/dbraw/zinc/63/08/10/872630810.db2.gz CQYSJHWADBGQPD-VIFPVBQESA-N -1 1 311.361 1.244 20 0 DDADMM CN(c1nnc(-c2nnn[n-]2)n1Cc1cccs1)C1CC1 ZINC001343547196 872667164 /nfs/dbraw/zinc/66/71/64/872667164.db2.gz DTSDOVQWTZITHX-UHFFFAOYSA-N -1 1 302.367 1.167 20 0 DDADMM CN(c1nnc(-c2nn[n-]n2)n1Cc1cccs1)C1CC1 ZINC001343547196 872667168 /nfs/dbraw/zinc/66/71/68/872667168.db2.gz DTSDOVQWTZITHX-UHFFFAOYSA-N -1 1 302.367 1.167 20 0 DDADMM CC(=O)[C@@H](C)Oc1[n-]c(=O)ncc1I ZINC001226771068 882641050 /nfs/dbraw/zinc/64/10/50/882641050.db2.gz PLEDTLOEVMQFLI-RXMQYKEDSA-N -1 1 308.075 1.143 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1c[nH]c2cnccc12 ZINC001344390579 872992309 /nfs/dbraw/zinc/99/23/09/872992309.db2.gz AJONJLVYHPWMCR-UHFFFAOYSA-N -1 1 305.334 1.495 20 0 DDADMM CCS(=O)(=O)CCCCCN1CCSC[C@@H]1CC(=O)[O-] ZINC001346256448 873670705 /nfs/dbraw/zinc/67/07/05/873670705.db2.gz RICQQPXSDRXDRG-LBPRGKRZSA-N -1 1 323.480 1.484 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H](C)n2cccc2)c1 ZINC001347426048 874135748 /nfs/dbraw/zinc/13/57/48/874135748.db2.gz SWUHAYXEHVKKLN-JTQLQIEISA-N -1 1 323.374 1.302 20 0 DDADMM O=S(=O)([N-]Cc1ccn(-c2ccccc2)n1)c1ccns1 ZINC001208760304 874444406 /nfs/dbraw/zinc/44/44/06/874444406.db2.gz WUHPSCLTFFGNLO-UHFFFAOYSA-N -1 1 320.399 1.807 20 0 DDADMM O=C(c1cnn2cccc(Cl)c12)N1CC[C@H](c2nn[n-]n2)C1 ZINC001349001705 874917735 /nfs/dbraw/zinc/91/77/35/874917735.db2.gz BTCNJIASNSPTDF-QMMMGPOBSA-N -1 1 317.740 1.131 20 0 DDADMM COCC(=O)c1ccc([O-])cc1O[C@@H]1CCCN(C(C)=O)C1 ZINC001227169288 882890265 /nfs/dbraw/zinc/89/02/65/882890265.db2.gz QYRJBNAXELHMPJ-CYBMUJFWSA-N -1 1 307.346 1.611 20 0 DDADMM COc1cc(N)n(-c2ccc([O-])c(C(F)(F)F)c2)c(=N)n1 ZINC001209984222 875186316 /nfs/dbraw/zinc/18/63/16/875186316.db2.gz HGONVZILIRRGNH-UHFFFAOYSA-N -1 1 300.240 1.667 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(NC(=O)NC)cc1)c1nn[n-]n1 ZINC001362051170 882917359 /nfs/dbraw/zinc/91/73/59/882917359.db2.gz ITSSPMLYCJJKQQ-NSHDSACASA-N -1 1 317.353 1.222 20 0 DDADMM COc1cc(Nc2cc(S(C)(=O)=O)ccc2[O-])c(OC)cn1 ZINC001210312930 875325741 /nfs/dbraw/zinc/32/57/41/875325741.db2.gz QMGPVFBFXFJOSD-UHFFFAOYSA-N -1 1 324.358 1.952 20 0 DDADMM COCCCn1cc(Nc2[nH]c(=S)[n-]c(=O)c2N=O)cn1 ZINC001210418143 875379641 /nfs/dbraw/zinc/37/96/41/875379641.db2.gz DXTDGUNRQJMYDX-UHFFFAOYSA-N -1 1 310.339 1.845 20 0 DDADMM OC[C@@H]1C=C[C@H](n2cnc3c2ncnc3[N-]c2nccs2)O1 ZINC001210990725 875619711 /nfs/dbraw/zinc/61/97/11/875619711.db2.gz ILKMHJCLOJPSJD-DTWKUNHWSA-N -1 1 316.346 1.472 20 0 DDADMM COc1cc(C)ccc1[N-]S(=O)(=O)c1ccc(N)c(N)c1 ZINC001211116419 875666552 /nfs/dbraw/zinc/66/65/52/875666552.db2.gz NLNFBFRSUNKCRG-UHFFFAOYSA-N -1 1 307.375 1.969 20 0 DDADMM Cc1nc(CCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cs1 ZINC001350850092 875924557 /nfs/dbraw/zinc/92/45/57/875924557.db2.gz GIDWRZIFBFSUHK-UHFFFAOYSA-N -1 1 310.404 1.517 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)c1ccc[nH]1 ZINC001351407144 876236520 /nfs/dbraw/zinc/23/65/20/876236520.db2.gz PUMVGWWEGWUHMI-UHFFFAOYSA-N -1 1 302.334 1.007 20 0 DDADMM CCCC[C@](C)(F)C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001379156449 876531896 /nfs/dbraw/zinc/53/18/96/876531896.db2.gz WMPYNHADTJLJRU-HZMBPMFUSA-N -1 1 315.393 1.365 20 0 DDADMM Cc1c(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)cnc2ccccc12 ZINC001352586776 876816951 /nfs/dbraw/zinc/81/69/51/876816951.db2.gz VBSIACJVCJFNGO-LLVKDONJSA-N -1 1 308.345 1.686 20 0 DDADMM CN(C)c1cc(F)cc([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001216164121 876883149 /nfs/dbraw/zinc/88/31/49/876883149.db2.gz UBQBCLMWPRTHRJ-UHFFFAOYSA-N -1 1 324.381 1.857 20 0 DDADMM COc1ccc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cc1N ZINC001216255092 876903904 /nfs/dbraw/zinc/90/39/04/876903904.db2.gz KQASRADILAKVEA-UHFFFAOYSA-N -1 1 304.310 1.981 20 0 DDADMM Cc1cc(NS(=O)(=O)c2cncc(N)c2)cc(C)c1C(=O)[O-] ZINC001216309464 876916900 /nfs/dbraw/zinc/91/69/00/876916900.db2.gz XIAKCBNZOFGLHW-UHFFFAOYSA-N -1 1 321.358 1.780 20 0 DDADMM CC(C)C[C@H](C)CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216339385 876922670 /nfs/dbraw/zinc/92/26/70/876922670.db2.gz AVCMZDXXCLKESP-YNEHKIRRSA-N -1 1 323.441 1.519 20 0 DDADMM CC[C@@H](CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001216468294 876951719 /nfs/dbraw/zinc/95/17/19/876951719.db2.gz CELOQDWEUFKWNK-FRRDWIJNSA-N -1 1 323.441 1.519 20 0 DDADMM O=C(Nc1cc(=O)[n-]c(Cl)n1)[C@H]1NCCC[C@H]1C(F)(F)F ZINC001218647136 877635415 /nfs/dbraw/zinc/63/54/15/877635415.db2.gz FGTDZZCBZNOCKR-XRGYYRRGSA-N -1 1 324.690 1.705 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)[C@@H]1CCc2ccccc2N1 ZINC001218672356 877656140 /nfs/dbraw/zinc/65/61/40/877656140.db2.gz VIZASHHORCQGFI-VIFPVBQESA-N -1 1 315.289 1.651 20 0 DDADMM CC(=O)CCCC(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001395560195 912277281 /nfs/dbraw/zinc/27/72/81/912277281.db2.gz JYCXICOXSAWXOH-NSHDSACASA-N -1 1 321.377 1.123 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)C1(CCF)CC1 ZINC001395563424 912287346 /nfs/dbraw/zinc/28/73/46/912287346.db2.gz XYTPGOCEPBFSCE-NSHDSACASA-N -1 1 323.368 1.504 20 0 DDADMM CC(C)CC(=O)NC[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001379846363 878146132 /nfs/dbraw/zinc/14/61/32/878146132.db2.gz PXLAOLGLFOQRSU-KRWDZBQOSA-N -1 1 319.405 1.802 20 0 DDADMM CC1(C)CC[C@H](C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001379900962 878267215 /nfs/dbraw/zinc/26/72/15/878267215.db2.gz HOQSSERUMVUQMZ-NWDGAFQWSA-N -1 1 321.425 1.321 20 0 DDADMM Cc1cccc([C@H]2CCN(c3nnc(-c4nnn[n-]4)n3C)C2)c1 ZINC001355334793 878509336 /nfs/dbraw/zinc/50/93/36/878509336.db2.gz QXRMPLYMWJPFER-LBPRGKRZSA-N -1 1 310.365 1.298 20 0 DDADMM Cc1cccc([C@H]2CCN(c3nnc(-c4nn[n-]n4)n3C)C2)c1 ZINC001355334793 878509345 /nfs/dbraw/zinc/50/93/45/878509345.db2.gz QXRMPLYMWJPFER-LBPRGKRZSA-N -1 1 310.365 1.298 20 0 DDADMM Cc1ccc(C(=O)N2CCN(C)CCN(C)CC2)cc1C(=O)[O-] ZINC001356195304 878916052 /nfs/dbraw/zinc/91/60/52/878916052.db2.gz SQJMMWGSZJMTKS-UHFFFAOYSA-N -1 1 319.405 1.013 20 0 DDADMM CNC(=O)c1cccc([N-]S(=O)(=O)c2ccc(C)c(N)c2)c1 ZINC000315283757 879022215 /nfs/dbraw/zinc/02/22/15/879022215.db2.gz MRORCVQQAADTMG-UHFFFAOYSA-N -1 1 319.386 1.738 20 0 DDADMM C[C@@H](CCNS(=O)(=O)c1c(F)cccc1[N+](=O)[O-])C(=O)[O-] ZINC000315388736 879063336 /nfs/dbraw/zinc/06/33/36/879063336.db2.gz SHVPZKLHWJEEIJ-ZETCQYMHSA-N -1 1 320.298 1.123 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)C1CC2(CC2)C1 ZINC001380446448 879672000 /nfs/dbraw/zinc/67/20/00/879672000.db2.gz ZFTPXIQYTVKUCT-QWRGUYRKSA-N -1 1 317.389 1.600 20 0 DDADMM CC(C)c1cccc(N(C)C(=O)[C@@]2(C(=O)[O-])CNCCO2)c1 ZINC001357213584 879863093 /nfs/dbraw/zinc/86/30/93/879863093.db2.gz GGMHNARHTRWPRC-MRXNPFEDSA-N -1 1 306.362 1.216 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C(C)(C)C(F)(F)F ZINC001374320081 912534001 /nfs/dbraw/zinc/53/40/01/912534001.db2.gz JPNDDDBSIPDERN-SSDOTTSWSA-N -1 1 323.319 1.035 20 0 DDADMM Cc1cc2c(c(-c3cnc(N4CCCCC4)nc3)n1)C(=O)[N-]C2=O ZINC001222107647 880259988 /nfs/dbraw/zinc/25/99/88/880259988.db2.gz SMOINUYXISLQFS-UHFFFAOYSA-N -1 1 323.356 1.721 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(C[C@H]3COC4(CCCCC4)O3)C2)n[nH]1 ZINC001414000891 880779922 /nfs/dbraw/zinc/77/99/22/880779922.db2.gz IZEYLKRSBNJUHI-OLZOCXBDSA-N -1 1 322.409 1.766 20 0 DDADMM Clc1ncc(CN2CCC[C@@H](OCc3nn[n-]n3)C2)s1 ZINC001223027338 880788557 /nfs/dbraw/zinc/78/85/57/880788557.db2.gz ODXVHLNNRIJKNM-MRVPVSSYSA-N -1 1 314.802 1.491 20 0 DDADMM CS(=O)(=O)C[C@H](OCc1nn[n-]n1)c1ccccc1Cl ZINC001223027353 880788630 /nfs/dbraw/zinc/78/86/30/880788630.db2.gz OIOAQFQVIPJUTL-JTQLQIEISA-N -1 1 316.770 1.156 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)c2ccc([O-])cc2F)C1 ZINC001362165320 883193095 /nfs/dbraw/zinc/19/30/95/883193095.db2.gz OJZOWONUZQWZNB-WDEREUQCSA-N -1 1 308.353 1.908 20 0 DDADMM CN(C(=O)CCC1CCC1)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001382024994 883195744 /nfs/dbraw/zinc/19/57/44/883195744.db2.gz YZNMTGLDLUBBIX-CYBMUJFWSA-N -1 1 321.425 1.417 20 0 DDADMM CCO[C@H](C)c1noc(CN(C)C(=O)c2ccc([O-])cn2)n1 ZINC001362177086 883218314 /nfs/dbraw/zinc/21/83/14/883218314.db2.gz LSXHWWBTKZVCEF-SECBINFHSA-N -1 1 306.322 1.540 20 0 DDADMM CCC[C@H](NC(=O)c1cc(F)cc(C(=O)OC)c1)c1nn[n-]n1 ZINC001362211248 883308511 /nfs/dbraw/zinc/30/85/11/883308511.db2.gz NPOVDWANXSJLFV-NSHDSACASA-N -1 1 321.312 1.397 20 0 DDADMM C[C@H](CON)Oc1ccc(S(=O)(=O)c2ccc([O-])cc2)cc1 ZINC001228174533 883377272 /nfs/dbraw/zinc/37/72/72/883377272.db2.gz RBXKVKYHLAYEKM-LLVKDONJSA-N -1 1 323.370 1.883 20 0 DDADMM CCC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228304561 883433543 /nfs/dbraw/zinc/43/35/43/883433543.db2.gz CAUOQZKJTWMDID-GHMZBOCLSA-N -1 1 309.414 1.464 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H]2CCCN(c3ccccc3)C2)n1 ZINC001228312238 883441719 /nfs/dbraw/zinc/44/17/19/883441719.db2.gz QLHHDLCXMGFWBZ-LBPRGKRZSA-N -1 1 302.334 1.639 20 0 DDADMM COC(=O)c1nc(O[C@H]2CCCN(c3ccccc3)C2)n[n-]1 ZINC001228312238 883441727 /nfs/dbraw/zinc/44/17/27/883441727.db2.gz QLHHDLCXMGFWBZ-LBPRGKRZSA-N -1 1 302.334 1.639 20 0 DDADMM CN(C)C(=O)N1CCN(C(=O)c2ccc(F)c([O-])c2)C(C)(C)C1 ZINC001362285639 883481396 /nfs/dbraw/zinc/48/13/96/883481396.db2.gz MPWJXTCFEKZUFW-UHFFFAOYSA-N -1 1 323.368 1.749 20 0 DDADMM O=C(N[C@@H](CO)Cc1ccc(O)cc1)c1cc(F)ccc1[O-] ZINC001362313303 883543603 /nfs/dbraw/zinc/54/36/03/883543603.db2.gz YVDORDHQGHFPHT-GFCCVEGCSA-N -1 1 305.305 1.570 20 0 DDADMM CSc1ncc(C(=O)N2CCOc3ncccc3C2)c(=O)[n-]1 ZINC001362322116 883561009 /nfs/dbraw/zinc/56/10/09/883561009.db2.gz ZQSHJTVKOVLMGL-UHFFFAOYSA-N -1 1 318.358 1.334 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)Cc1ncc[nH]1 ZINC001362353786 883631649 /nfs/dbraw/zinc/63/16/49/883631649.db2.gz LZMWWIFHRQHZKY-UHFFFAOYSA-N -1 1 312.326 1.102 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC[S@]1=O ZINC001362356760 883636722 /nfs/dbraw/zinc/63/67/22/883636722.db2.gz RXPVIKWGCMXWIS-AOUSDQRYSA-N -1 1 309.391 1.043 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2c(F)ccc([O-])c2F)cn1 ZINC001362360372 883643141 /nfs/dbraw/zinc/64/31/41/883643141.db2.gz QORRBLVKSXFXAQ-UHFFFAOYSA-N -1 1 322.267 1.782 20 0 DDADMM O=C(c1cc(C(F)F)n[nH]1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001362377981 883675940 /nfs/dbraw/zinc/67/59/40/883675940.db2.gz ZUSBRMQMMJYCRO-LURJTMIESA-N -1 1 313.264 1.456 20 0 DDADMM Cc1ccc(NC(=O)c2cnncc2[O-])cc1C(=O)N(C)C ZINC001362383321 883686456 /nfs/dbraw/zinc/68/64/56/883686456.db2.gz DIYVHWJYBGPVMA-UHFFFAOYSA-N -1 1 300.318 1.445 20 0 DDADMM CCC(CC)(NC(=O)c1cnc(C2CC2)nc1)c1nn[n-]n1 ZINC001362420280 883768748 /nfs/dbraw/zinc/76/87/48/883768748.db2.gz BCZYHZCCDDBELY-UHFFFAOYSA-N -1 1 301.354 1.312 20 0 DDADMM CCC(CC)(NC(=O)Cc1c(F)cccc1F)c1nn[n-]n1 ZINC001362427467 883784426 /nfs/dbraw/zinc/78/44/26/883784426.db2.gz RMMJUVSZIRKTAX-UHFFFAOYSA-N -1 1 309.320 1.852 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C(=O)NCCc1ccccc1 ZINC001362532064 884019996 /nfs/dbraw/zinc/01/99/96/884019996.db2.gz FBZUMDCDZQNGCW-GFCCVEGCSA-N -1 1 313.357 1.264 20 0 DDADMM Cc1ccsc1[C@@H](CO)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362534361 884025536 /nfs/dbraw/zinc/02/55/36/884025536.db2.gz YLMJLRZRSSWDCF-LLVKDONJSA-N -1 1 319.386 1.893 20 0 DDADMM CCn1nc(C2CC2)cc1C(=O)NC(CC)(CC)c1nn[n-]n1 ZINC001362626718 884260567 /nfs/dbraw/zinc/26/05/67/884260567.db2.gz UFRUBPRMJJCRDS-UHFFFAOYSA-N -1 1 317.397 1.739 20 0 DDADMM COC[C@@H](NC(=O)CCCc1nn[n-]n1)c1cccc(OC)c1 ZINC001362650386 884320006 /nfs/dbraw/zinc/32/00/06/884320006.db2.gz RIJQJGDKEBBFMP-CYBMUJFWSA-N -1 1 319.365 1.035 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](OC)C2CCCC2)n[n-]1 ZINC001362658371 884342855 /nfs/dbraw/zinc/34/28/55/884342855.db2.gz GOSHYMICZWKTQI-MWLCHTKSSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](OC)C2CCCC2)[n-]1 ZINC001362658371 884342879 /nfs/dbraw/zinc/34/28/79/884342879.db2.gz GOSHYMICZWKTQI-MWLCHTKSSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](OC)C2CCCC2)n1 ZINC001362658371 884342900 /nfs/dbraw/zinc/34/29/00/884342900.db2.gz GOSHYMICZWKTQI-MWLCHTKSSA-N -1 1 324.381 1.364 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCn2ncc(Br)c2C1 ZINC001362670874 884375325 /nfs/dbraw/zinc/37/53/25/884375325.db2.gz JQQHXLFTWHTEIJ-UHFFFAOYSA-N -1 1 323.150 1.402 20 0 DDADMM CC(C)n1cc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)nn1 ZINC001362750416 884547251 /nfs/dbraw/zinc/54/72/51/884547251.db2.gz ZBNPSWPMGQJYPR-UHFFFAOYSA-N -1 1 302.338 1.162 20 0 DDADMM CC(C)[C@H](NC(=O)c1cccc([O-])c1F)C(=O)N1CCCC1 ZINC001362841990 884772948 /nfs/dbraw/zinc/77/29/48/884772948.db2.gz XUBSPGBAFFPQFP-AWEZNQCLSA-N -1 1 308.353 1.908 20 0 DDADMM CCC(CC)(NC(=O)Cc1cccc(F)c1F)c1nn[n-]n1 ZINC001362855954 884807148 /nfs/dbraw/zinc/80/71/48/884807148.db2.gz KOPVELWBYPRCSK-UHFFFAOYSA-N -1 1 309.320 1.852 20 0 DDADMM Cc1noc([C@H](C)N(C)C(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC001362856122 884809564 /nfs/dbraw/zinc/80/95/64/884809564.db2.gz FVRSXMJHXBQAQQ-ZETCQYMHSA-N -1 1 303.322 1.584 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)Oc1ccc(F)cc1)c1nn[n-]n1 ZINC001362858859 884816735 /nfs/dbraw/zinc/81/67/35/884816735.db2.gz RVYQHVQRSCWVPC-JTQLQIEISA-N -1 1 321.356 1.938 20 0 DDADMM CCCNC(=O)OC[C@H]1CCCCN1C(=O)c1cnncc1[O-] ZINC001362873304 884851713 /nfs/dbraw/zinc/85/17/13/884851713.db2.gz NTFSLBVHRCNERE-LLVKDONJSA-N -1 1 322.365 1.313 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1cc[nH]c1C ZINC001362890730 884897063 /nfs/dbraw/zinc/89/70/63/884897063.db2.gz RGCUWFNSDVNVRG-NSHDSACASA-N -1 1 317.349 1.595 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1cc[nH]c1C ZINC001362890730 884897075 /nfs/dbraw/zinc/89/70/75/884897075.db2.gz RGCUWFNSDVNVRG-NSHDSACASA-N -1 1 317.349 1.595 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1cc[nH]c1C ZINC001362890730 884897091 /nfs/dbraw/zinc/89/70/91/884897091.db2.gz RGCUWFNSDVNVRG-NSHDSACASA-N -1 1 317.349 1.595 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(C(C)(F)F)cc1)c1nn[n-]n1 ZINC001362906160 884946896 /nfs/dbraw/zinc/94/68/96/884946896.db2.gz DRWPPVFPIBZRIX-SNVBAGLBSA-N -1 1 311.292 1.429 20 0 DDADMM CC[C@@H](C)NC(=O)c1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001362930032 885014608 /nfs/dbraw/zinc/01/46/08/885014608.db2.gz DXFONJREHHJGTD-SNVBAGLBSA-N -1 1 316.365 1.299 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CNC(=O)Nc1cccc(Cl)c1 ZINC001362949827 885061499 /nfs/dbraw/zinc/06/14/99/885061499.db2.gz NXKZTMVAUKHTHL-UHFFFAOYSA-N -1 1 323.740 1.837 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(C)n(C)c2C)n[n-]1 ZINC001363048059 885327239 /nfs/dbraw/zinc/32/72/39/885327239.db2.gz MHEHSXLJHXHIGP-VIFPVBQESA-N -1 1 319.365 1.428 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(C)n(C)c2C)[n-]1 ZINC001363048059 885327255 /nfs/dbraw/zinc/32/72/55/885327255.db2.gz MHEHSXLJHXHIGP-VIFPVBQESA-N -1 1 319.365 1.428 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(C)n(C)c2C)n1 ZINC001363048059 885327271 /nfs/dbraw/zinc/32/72/71/885327271.db2.gz MHEHSXLJHXHIGP-VIFPVBQESA-N -1 1 319.365 1.428 20 0 DDADMM C[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)[C@H](C)C(C)(C)C ZINC001363107108 885481895 /nfs/dbraw/zinc/48/18/95/885481895.db2.gz IDYGZYXTDHTDKH-SFYZADRCSA-N -1 1 302.400 1.465 20 0 DDADMM C[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)[C@H](C)C(C)(C)C ZINC001363107108 885481900 /nfs/dbraw/zinc/48/19/00/885481900.db2.gz IDYGZYXTDHTDKH-SFYZADRCSA-N -1 1 302.400 1.465 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccc(C)c(OC)c1)c1nn[n-]n1 ZINC001363135523 885553482 /nfs/dbraw/zinc/55/34/82/885553482.db2.gz JCSMNGSDHYQJRL-UHFFFAOYSA-N -1 1 317.393 1.891 20 0 DDADMM O=C(N[C@@H](CO)[C@H](O)c1cccnc1)c1ccc(Cl)cc1[O-] ZINC001363146025 885576961 /nfs/dbraw/zinc/57/69/61/885576961.db2.gz MJLNJUQOVZVIRL-GXTWGEPZSA-N -1 1 322.748 1.265 20 0 DDADMM Cn1ncc2c1CC(C)(C)CN(C(=O)c1ccc([O-])cn1)C2 ZINC001363150550 885587130 /nfs/dbraw/zinc/58/71/30/885587130.db2.gz JBUXTWBFIVTQSZ-UHFFFAOYSA-N -1 1 300.362 1.745 20 0 DDADMM COc1cccc([C@H](C)CC(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC001363156059 885600781 /nfs/dbraw/zinc/60/07/81/885600781.db2.gz ZRXCOWASEKVODG-SNVBAGLBSA-N -1 1 317.345 1.706 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1ccc(C(C)(C)C)o1 ZINC001363169237 885629894 /nfs/dbraw/zinc/62/98/94/885629894.db2.gz WLVVSIXFIOGOAX-UHFFFAOYSA-N -1 1 321.333 1.717 20 0 DDADMM O=C(c1conc1C(F)(F)F)N1CCC(c2nn[n-]n2)CC1 ZINC001363196266 885688689 /nfs/dbraw/zinc/68/86/89/885688689.db2.gz OXJLTOAZBCRTBW-UHFFFAOYSA-N -1 1 316.243 1.226 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ncoc2C2CC2)n[n-]1 ZINC001363229261 885768127 /nfs/dbraw/zinc/76/81/27/885768127.db2.gz AMTCSVSGKYEKPZ-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ncoc2C2CC2)[n-]1 ZINC001363229261 885768137 /nfs/dbraw/zinc/76/81/37/885768137.db2.gz AMTCSVSGKYEKPZ-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ncoc2C2CC2)n1 ZINC001363229261 885768148 /nfs/dbraw/zinc/76/81/48/885768148.db2.gz AMTCSVSGKYEKPZ-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM COc1ccc(CN2CCC[C@@H](n3cncn3)C2)cc1C(=O)[O-] ZINC001231754463 885857640 /nfs/dbraw/zinc/85/76/40/885857640.db2.gz YZNZEMIFDQARRX-CYBMUJFWSA-N -1 1 316.361 1.822 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@@H]1CC[C@@H](C2CC2)O1 ZINC001363276752 885891167 /nfs/dbraw/zinc/89/11/67/885891167.db2.gz OWFRQGJRBHSACA-STQMWFEESA-N -1 1 307.398 1.532 20 0 DDADMM NC(=O)[C@H](NC(=O)c1ccc(Cl)cc1[O-])c1ccccn1 ZINC001363304831 885967828 /nfs/dbraw/zinc/96/78/28/885967828.db2.gz FWCHRISCGFHJTP-GFCCVEGCSA-N -1 1 305.721 1.397 20 0 DDADMM COC(=O)[C@@H](Cc1cncs1)NC(=O)c1ccc([O-])c(F)c1 ZINC001363385686 886189850 /nfs/dbraw/zinc/18/98/50/886189850.db2.gz OWUJIVLGNPZEMB-LLVKDONJSA-N -1 1 324.333 1.502 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H](C)[C@H](O)CC ZINC001363399512 886226698 /nfs/dbraw/zinc/22/66/98/886226698.db2.gz LBCXKOPIYNNVDI-OUAUKWLOSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H](C)[C@H](O)CC ZINC001363399512 886226718 /nfs/dbraw/zinc/22/67/18/886226718.db2.gz LBCXKOPIYNNVDI-OUAUKWLOSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H](C)[C@H](O)CC ZINC001363399512 886226738 /nfs/dbraw/zinc/22/67/38/886226738.db2.gz LBCXKOPIYNNVDI-OUAUKWLOSA-N -1 1 324.381 1.052 20 0 DDADMM COC[C@@H](NC(=O)CCCc1ccc(F)cc1)c1nn[n-]n1 ZINC001363412393 886267990 /nfs/dbraw/zinc/26/79/90/886267990.db2.gz JFYRJUCLEWUUPG-GFCCVEGCSA-N -1 1 307.329 1.165 20 0 DDADMM CC[C@@H](CC(=O)N[C@H](COC)c1nn[n-]n1)c1ccc(C)cc1 ZINC001363437390 886335857 /nfs/dbraw/zinc/33/58/57/886335857.db2.gz RBOQUYXMFBHCSR-GXTWGEPZSA-N -1 1 317.393 1.896 20 0 DDADMM Cn1[n-]c(C(=O)Nc2nccc(Br)c2O)cc1=O ZINC001363493225 886482301 /nfs/dbraw/zinc/48/23/01/886482301.db2.gz BXSMRHZFZREVNZ-UHFFFAOYSA-N -1 1 313.111 1.241 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H](c3nccs3)C2)c(=O)[n-]1 ZINC001363538897 886582360 /nfs/dbraw/zinc/58/23/60/886582360.db2.gz JIUBSSXQDMXEMK-MRVPVSSYSA-N -1 1 322.415 1.990 20 0 DDADMM CC(C)C[C@H](C(=O)N(Cc1nn[n-]n1)CC(C)C)n1ccnc1 ZINC001363543886 886596244 /nfs/dbraw/zinc/59/62/44/886596244.db2.gz KLZISAFVLDZJFH-CYBMUJFWSA-N -1 1 319.413 1.668 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H](C)Oc1ccccc1 ZINC001363545693 886602044 /nfs/dbraw/zinc/60/20/44/886602044.db2.gz PZRQGWCEQKGZMR-LBPRGKRZSA-N -1 1 303.366 1.652 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H](c3nnc[nH]3)C2)c(=O)[n-]1 ZINC001363553856 886622346 /nfs/dbraw/zinc/62/23/46/886622346.db2.gz URNFLWSGNHNXOM-QMMMGPOBSA-N -1 1 320.378 1.042 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)C1=COCCC1 ZINC001363561843 886640255 /nfs/dbraw/zinc/64/02/55/886640255.db2.gz UQTXAWCKAHHQJJ-NSHDSACASA-N -1 1 320.349 1.339 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)C1=COCCC1 ZINC001363561843 886640265 /nfs/dbraw/zinc/64/02/65/886640265.db2.gz UQTXAWCKAHHQJJ-NSHDSACASA-N -1 1 320.349 1.339 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)C1=COCCC1 ZINC001363561843 886640275 /nfs/dbraw/zinc/64/02/75/886640275.db2.gz UQTXAWCKAHHQJJ-NSHDSACASA-N -1 1 320.349 1.339 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ncc(C)cc1[O-])C1CCCC1 ZINC001363594836 886724144 /nfs/dbraw/zinc/72/41/44/886724144.db2.gz NKEFMAITTGKMPY-GFCCVEGCSA-N -1 1 306.362 1.947 20 0 DDADMM COC(=O)[C@]1(C)COCCN1Cc1cc(Cl)ncc1[O-] ZINC001233037366 886744406 /nfs/dbraw/zinc/74/44/06/886744406.db2.gz CUGPMWBSEDDGGM-ZDUSSCGKSA-N -1 1 300.742 1.205 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)CC2(C)CC2)CN1C(=O)c1ncccc1[O-] ZINC001384087085 886940951 /nfs/dbraw/zinc/94/09/51/886940951.db2.gz DVJBGTBKOKVCOZ-NWDGAFQWSA-N -1 1 317.389 1.697 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2Cc3ccccc32)sn1 ZINC001363756315 887150897 /nfs/dbraw/zinc/15/08/97/887150897.db2.gz WKXBXRMSIVHIBN-JTQLQIEISA-N -1 1 310.400 1.770 20 0 DDADMM COC(=O)C[C@H]1CCC[C@H](Oc2c(=O)[n-]cnc2C(=O)OC)C1 ZINC001233758891 887285309 /nfs/dbraw/zinc/28/53/09/887285309.db2.gz MQNNTZZZDAHDFX-UWVGGRQHSA-N -1 1 324.333 1.470 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@@H](Oc2c(=O)[n-]cnc2C(=O)OC)C1 ZINC001233758887 887286099 /nfs/dbraw/zinc/28/60/99/887286099.db2.gz MQNNTZZZDAHDFX-NXEZZACHSA-N -1 1 324.333 1.470 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@@H](C)Oc1ccccc1 ZINC001233760233 887287240 /nfs/dbraw/zinc/28/72/40/887287240.db2.gz VTYCUKGTKVDBBC-SNVBAGLBSA-N -1 1 304.302 1.815 20 0 DDADMM O=C(Cc1c(F)cccc1Cl)NC1(c2nn[n-]n2)CCC1 ZINC001363816075 887298558 /nfs/dbraw/zinc/29/85/58/887298558.db2.gz CHDCAVZAWPQRBD-UHFFFAOYSA-N -1 1 309.732 1.730 20 0 DDADMM O=C(COc1ccccc1Cl)NC1(c2nn[n-]n2)CCC1 ZINC001363823419 887319479 /nfs/dbraw/zinc/31/94/79/887319479.db2.gz WBXQEMVENUKKAP-UHFFFAOYSA-N -1 1 307.741 1.428 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1Cc2cccc(Cl)c2C1 ZINC001363865355 887424564 /nfs/dbraw/zinc/42/45/64/887424564.db2.gz MDQLYPGOMIGJTQ-UHFFFAOYSA-N -1 1 321.720 1.706 20 0 DDADMM CC[C@@H]1CCC[C@H]1CNS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001363977131 887652732 /nfs/dbraw/zinc/65/27/32/887652732.db2.gz JAEGIYVKSVENCP-ZJUUUORDSA-N -1 1 315.395 1.301 20 0 DDADMM CC[C@@H]1CCC[C@H]1CNS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001363977131 887652742 /nfs/dbraw/zinc/65/27/42/887652742.db2.gz JAEGIYVKSVENCP-ZJUUUORDSA-N -1 1 315.395 1.301 20 0 DDADMM Nc1ncc(Br)cc1CNC(=O)c1ccc([O-])cn1 ZINC001364017087 887729211 /nfs/dbraw/zinc/72/92/11/887729211.db2.gz CHXOKSPTLHUVHW-UHFFFAOYSA-N -1 1 323.150 1.457 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)[C@@H](C)C(C)(C)C)n[n-]1 ZINC001364062477 887831358 /nfs/dbraw/zinc/83/13/58/887831358.db2.gz MBFBNDZURHDFGP-NXEZZACHSA-N -1 1 310.398 1.916 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)[C@@H](C)C(C)(C)C)n1 ZINC001364062477 887831370 /nfs/dbraw/zinc/83/13/70/887831370.db2.gz MBFBNDZURHDFGP-NXEZZACHSA-N -1 1 310.398 1.916 20 0 DDADMM CC(C)Oc1cc(COc2c(C(N)=O)nc[n-]c2=O)ccn1 ZINC001234472438 888004518 /nfs/dbraw/zinc/00/45/18/888004518.db2.gz QBNMPMYCPPJPHN-UHFFFAOYSA-N -1 1 304.306 1.042 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1OC[C@H](C)OC[C@H](C)OC ZINC001234560679 888098796 /nfs/dbraw/zinc/09/87/96/888098796.db2.gz HEHDZSAYWSDURV-IUCAKERBSA-N -1 1 314.338 1.096 20 0 DDADMM COCC(C)(C)CC(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001364248261 888215523 /nfs/dbraw/zinc/21/55/23/888215523.db2.gz VYEJYPNILODLFC-UHFFFAOYSA-N -1 1 311.382 1.260 20 0 DDADMM Cc1cc(C)c(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)cn1 ZINC001364339511 888414967 /nfs/dbraw/zinc/41/49/67/888414967.db2.gz WMLQYJZMDJKPEP-UHFFFAOYSA-N -1 1 310.361 1.675 20 0 DDADMM CC1(C)[C@H](C(=O)N2CC[C@@H](c3nn[n-]n3)C2)[C@H]1c1ccccc1 ZINC001364569866 888947920 /nfs/dbraw/zinc/94/79/20/888947920.db2.gz XXOWJIWMNARIJM-MCIONIFRSA-N -1 1 311.389 1.955 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCC(=O)OC(C)C)c1 ZINC001364750461 889335203 /nfs/dbraw/zinc/33/52/03/889335203.db2.gz MZEOMPXLXIIWRX-UHFFFAOYSA-N -1 1 317.363 1.021 20 0 DDADMM CCC(CC)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385601951 889398667 /nfs/dbraw/zinc/39/86/67/889398667.db2.gz QYYSNFPBFLWVKX-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM O=c1[n-]c(CNC2(c3ncccn3)CCC2)nc2c1COCC2 ZINC001364782235 889403987 /nfs/dbraw/zinc/40/39/87/889403987.db2.gz DDECLHRMQQOBFO-UHFFFAOYSA-N -1 1 313.361 1.214 20 0 DDADMM O=C(CCC1CCCC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001374580775 913352348 /nfs/dbraw/zinc/35/23/48/913352348.db2.gz HQDXVCCDSACXEP-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM O=S(=O)([N-]CC(F)(F)CO)c1ccc2ccccc2c1F ZINC001364839047 889518061 /nfs/dbraw/zinc/51/80/61/889518061.db2.gz STYAXWFYDQLKNK-UHFFFAOYSA-N -1 1 319.304 1.885 20 0 DDADMM COc1cc(C(=O)NCCc2c(F)cc([O-])cc2F)ncn1 ZINC001364950682 889743161 /nfs/dbraw/zinc/74/31/61/889743161.db2.gz UFDJZYNAQRVXIM-UHFFFAOYSA-N -1 1 309.272 1.442 20 0 DDADMM CCC[C@H](NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C(N)=O ZINC001365068811 890031653 /nfs/dbraw/zinc/03/16/53/890031653.db2.gz WKVVSQMEDUFTPE-LBPRGKRZSA-N -1 1 317.349 1.353 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC001365131112 890166418 /nfs/dbraw/zinc/16/64/18/890166418.db2.gz HZFLYWOWCLRNJM-SFYZADRCSA-N -1 1 322.333 1.540 20 0 DDADMM CCc1ncoc1C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC001365142075 890185245 /nfs/dbraw/zinc/18/52/45/890185245.db2.gz CJFMRBAJJUJWLJ-JTQLQIEISA-N -1 1 318.333 1.719 20 0 DDADMM CC(C)(CC(N)=O)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001365236689 890411419 /nfs/dbraw/zinc/41/14/19/890411419.db2.gz HUIYLWLZXUEEQQ-UHFFFAOYSA-N -1 1 317.349 1.353 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCCC1(C)C ZINC001386243251 890630243 /nfs/dbraw/zinc/63/02/43/890630243.db2.gz RUFRMBUYFHJDLS-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM CCO[C@H](C)n1cc(-c2nc(C)cc3c2C(=O)[N-]C3=O)cn1 ZINC001240751976 891032263 /nfs/dbraw/zinc/03/22/63/891032263.db2.gz FPPQOULLVWAAQR-SECBINFHSA-N -1 1 300.318 1.692 20 0 DDADMM COCCOc1ccc(-c2nc(C)cc3c2C(=O)[N-]C3=O)cc1 ZINC001241746598 891255342 /nfs/dbraw/zinc/25/53/42/891255342.db2.gz FXPGUIPIRGEGSH-UHFFFAOYSA-N -1 1 312.325 1.966 20 0 DDADMM CCCCC1([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)CC1 ZINC001365746548 891447310 /nfs/dbraw/zinc/44/73/10/891447310.db2.gz HDVIGFGJLADCQB-UHFFFAOYSA-N -1 1 301.368 1.197 20 0 DDADMM Cc1cnccc1C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001386745001 891615204 /nfs/dbraw/zinc/61/52/04/891615204.db2.gz UIRGJPVSMFORRP-LLVKDONJSA-N -1 1 314.345 1.039 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1-c1nccnc1N1CCN(C)CC1 ZINC001243202580 891620142 /nfs/dbraw/zinc/62/01/42/891620142.db2.gz COIKJYXXDZODPN-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM CC(C)=C(C)CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001278396824 891987846 /nfs/dbraw/zinc/98/78/46/891987846.db2.gz CNJPCXPEEBBTJN-RYUDHWBXSA-N -1 1 307.398 1.336 20 0 DDADMM CN1Cc2c(cccc2-c2ccc3c(c2)CC(=O)[N-]C3=O)C1=O ZINC001245628328 892203898 /nfs/dbraw/zinc/20/38/98/892203898.db2.gz USHYVDSBYBLANQ-UHFFFAOYSA-N -1 1 306.321 1.752 20 0 DDADMM Cc1cc(-c2noc(Cc3nnn[n-]3)n2)ncc1Br ZINC001247139633 893121365 /nfs/dbraw/zinc/12/13/65/893121365.db2.gz LGEFZIMYWMUJQQ-UHFFFAOYSA-N -1 1 322.126 1.306 20 0 DDADMM Cc1cc(-c2noc(Cc3nn[n-]n3)n2)ncc1Br ZINC001247139633 893121376 /nfs/dbraw/zinc/12/13/76/893121376.db2.gz LGEFZIMYWMUJQQ-UHFFFAOYSA-N -1 1 322.126 1.306 20 0 DDADMM c1cc(-c2noc(Cc3nnn[n-]3)n2)cc(-c2ccncc2)c1 ZINC001247140190 893121757 /nfs/dbraw/zinc/12/17/57/893121757.db2.gz QTXSFOFARCKDOX-UHFFFAOYSA-N -1 1 305.301 1.903 20 0 DDADMM c1cc(-c2noc(Cc3nn[n-]n3)n2)cc(-c2ccncc2)c1 ZINC001247140190 893121766 /nfs/dbraw/zinc/12/17/66/893121766.db2.gz QTXSFOFARCKDOX-UHFFFAOYSA-N -1 1 305.301 1.903 20 0 DDADMM CCC[C@H](C)C(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001387482413 893203525 /nfs/dbraw/zinc/20/35/25/893203525.db2.gz PHWIQZYPEZMBKB-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CCCC(C)(C)C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001374747796 913786291 /nfs/dbraw/zinc/78/62/91/913786291.db2.gz CXHNEWMNISBDGO-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM CC(=O)Nc1ccc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cn1 ZINC001249744883 894114211 /nfs/dbraw/zinc/11/42/11/894114211.db2.gz WNOMSBZZZNMSQT-UHFFFAOYSA-N -1 1 317.309 1.743 20 0 DDADMM CCC(C)(C)CC(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001366661275 894476743 /nfs/dbraw/zinc/47/67/43/894476743.db2.gz ODQKTLINUHRGEN-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM CCN(CCNC(=O)c1ccoc1)C(=O)c1ncccc1[O-] ZINC001388178292 894726163 /nfs/dbraw/zinc/72/61/63/894726163.db2.gz KZHLMKRPWRNDHA-UHFFFAOYSA-N -1 1 303.318 1.272 20 0 DDADMM CO[C@H](C)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])CC(C)C ZINC001388521180 895382118 /nfs/dbraw/zinc/38/21/18/895382118.db2.gz PFAJMRSBHLZYKQ-NEPJUHHUSA-N -1 1 323.393 1.083 20 0 DDADMM Cn1nc(Br)c2cccc([N-]S(C)(=O)=O)c21 ZINC001252719259 895416677 /nfs/dbraw/zinc/41/66/77/895416677.db2.gz RGWXLBZHBRMESL-UHFFFAOYSA-N -1 1 304.169 1.707 20 0 DDADMM CCC(C)(C)CC(=O)N[C@@](C)(CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001367185499 896096491 /nfs/dbraw/zinc/09/64/91/896096491.db2.gz NBYKHNCTECBQPL-INIZCTEOSA-N -1 1 323.441 1.711 20 0 DDADMM Cc1cnc(CNC[C@H](C)N(C)C(=O)c2n[nH]c(C)c2[O-])s1 ZINC001367345420 896502574 /nfs/dbraw/zinc/50/25/74/896502574.db2.gz LWCDUIYJZCNNAB-QMMMGPOBSA-N -1 1 323.422 1.439 20 0 DDADMM Cc1ncoc1CN[C@@H](CNC(=O)c1[nH]nc(C)c1[O-])C1CC1 ZINC001389590791 897582952 /nfs/dbraw/zinc/58/29/52/897582952.db2.gz GNUCIVYHQNIRCK-NSHDSACASA-N -1 1 319.365 1.018 20 0 DDADMM CCC(CC)C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001389623224 897660332 /nfs/dbraw/zinc/66/03/32/897660332.db2.gz RLCCUCAGOVNDCK-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@@H](CN(C)Cc1cncc(F)c1)NC(=O)c1ncccc1[O-] ZINC001367798654 897838486 /nfs/dbraw/zinc/83/84/86/897838486.db2.gz ZKXLVTYIRLTBBR-NSHDSACASA-N -1 1 318.352 1.572 20 0 DDADMM O=C(CC[N-]S(=O)(=O)c1cc(F)ccc1F)N1CCCC1 ZINC001258948633 898374808 /nfs/dbraw/zinc/37/48/08/898374808.db2.gz BJLFMQLMCHDJBF-UHFFFAOYSA-N -1 1 318.345 1.256 20 0 DDADMM Cc1ccnc(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)n1 ZINC001259073751 898452767 /nfs/dbraw/zinc/45/27/67/898452767.db2.gz BDKVBHROHZFFKU-UHFFFAOYSA-N -1 1 317.292 1.681 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])c1cn(C(F)(F)CO)nn1 ZINC001299585774 898669819 /nfs/dbraw/zinc/66/98/19/898669819.db2.gz FUVKGCRPWVKLDW-UHFFFAOYSA-N -1 1 320.202 1.056 20 0 DDADMM CC(=O)NC[C@H](C)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259461368 898679038 /nfs/dbraw/zinc/67/90/38/898679038.db2.gz QECKLFYFACNUBI-QMMMGPOBSA-N -1 1 322.789 1.530 20 0 DDADMM Cc1nnc2cc([N-]S(=O)(=O)CCC(F)(F)F)ccn12 ZINC001259866562 898878431 /nfs/dbraw/zinc/87/84/31/898878431.db2.gz FQEAZFYSKRDSKL-UHFFFAOYSA-N -1 1 308.285 1.732 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]CC(F)(F)C(F)(F)F ZINC001260112588 899060769 /nfs/dbraw/zinc/06/07/69/899060769.db2.gz YUNANFMBWUVTOY-UHFFFAOYSA-N -1 1 321.271 1.513 20 0 DDADMM O=S(=O)([N-]Cc1ccnnc1)c1cc(F)c(F)cc1Cl ZINC001260288383 899111565 /nfs/dbraw/zinc/11/15/65/899111565.db2.gz NXLZRPOPMWASRT-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM O=S(=O)([N-]CCC1COC1)c1cc(F)c(F)cc1Cl ZINC001260287896 899112498 /nfs/dbraw/zinc/11/24/98/899112498.db2.gz QLUMNERBGJDFLK-UHFFFAOYSA-N -1 1 311.737 1.933 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2ccc(O)c(C(=O)[O-])c2)c(C)n1 ZINC001260393201 899134652 /nfs/dbraw/zinc/13/46/52/899134652.db2.gz BTLNWJUKOKATAL-UHFFFAOYSA-N -1 1 323.330 1.298 20 0 DDADMM CCCCCOC(=O)C[N-]S(=O)(=O)Cc1ccccc1F ZINC001260631216 899189020 /nfs/dbraw/zinc/18/90/20/899189020.db2.gz AQDWZXXCGMNHOU-UHFFFAOYSA-N -1 1 317.382 1.979 20 0 DDADMM O=[P@]([O-])(O)CCNS(=O)(=O)c1ccc2c(c1)CCCC2 ZINC001260983852 899311944 /nfs/dbraw/zinc/31/19/44/899311944.db2.gz XZTGIAUBHQROAY-UHFFFAOYSA-N -1 1 319.319 1.021 20 0 DDADMM Cc1ncccc1C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001293262059 914375243 /nfs/dbraw/zinc/37/52/43/914375243.db2.gz GGJXOXCZSMYCLC-UHFFFAOYSA-N -1 1 314.345 1.041 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCc2cc(Cl)ccc2C1 ZINC001262852104 900396747 /nfs/dbraw/zinc/39/67/47/900396747.db2.gz GEJZGFZCTWKQQE-UHFFFAOYSA-N -1 1 316.756 1.211 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCc2cc(Cl)ccc2C1 ZINC001262852104 900396758 /nfs/dbraw/zinc/39/67/58/900396758.db2.gz GEJZGFZCTWKQQE-UHFFFAOYSA-N -1 1 316.756 1.211 20 0 DDADMM COc1ccc(CNC(=O)NCC[N-]C(=O)C(F)(F)F)cc1 ZINC000586428316 900636116 /nfs/dbraw/zinc/63/61/16/900636116.db2.gz JOJMTKPMLOZXGK-UHFFFAOYSA-N -1 1 319.283 1.173 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](C1CCC1)[C@H]1CCOC1 ZINC001263872902 900788612 /nfs/dbraw/zinc/78/86/12/900788612.db2.gz AZDVDXMYPJKBNE-CMPLNLGQSA-N -1 1 323.418 1.714 20 0 DDADMM CCC[C@H](C)CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369441970 901304729 /nfs/dbraw/zinc/30/47/29/901304729.db2.gz OKMNPYNSXWNYLG-NWDGAFQWSA-N -1 1 323.441 1.663 20 0 DDADMM Cc1ccccc1C(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370123259 902586643 /nfs/dbraw/zinc/58/66/43/902586643.db2.gz DSRSIMUYBOFMSI-ZDUSSCGKSA-N -1 1 315.377 1.117 20 0 DDADMM C[C@@H](CN(C)[C@@H](C)c1cnccn1)NC(=O)c1ncccc1[O-] ZINC001375033160 914721280 /nfs/dbraw/zinc/72/12/80/914721280.db2.gz ONSMROBAOBQWIW-RYUDHWBXSA-N -1 1 315.377 1.389 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccccc1O ZINC001392220922 903577048 /nfs/dbraw/zinc/57/70/48/903577048.db2.gz GWXXMIYLZVFETJ-SNVBAGLBSA-N -1 1 315.329 1.041 20 0 DDADMM CC(C)[C@H](C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001396920079 914735216 /nfs/dbraw/zinc/73/52/16/914735216.db2.gz XTXNQZBIADCIMW-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM CCC(=O)N[C@@H](C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001371152775 904362513 /nfs/dbraw/zinc/36/25/13/904362513.db2.gz AZFPPQRHHFNHBB-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ncoc1C ZINC001375061406 914824919 /nfs/dbraw/zinc/82/49/19/914824919.db2.gz UTILIPLCXMAAEP-JTQLQIEISA-N -1 1 318.333 1.022 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)C=C(C)C ZINC001281470912 904898199 /nfs/dbraw/zinc/89/81/99/904898199.db2.gz AMZJDJDFLDIXKO-GFCCVEGCSA-N -1 1 305.378 1.720 20 0 DDADMM CC(C)C1(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001372011563 906239853 /nfs/dbraw/zinc/23/98/53/906239853.db2.gz KINVTYZAWYNFIQ-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(C)(C)C(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001393372414 906823634 /nfs/dbraw/zinc/82/36/34/906823634.db2.gz HRLLEIZNICPLEC-TUAOUCFPSA-N -1 1 321.425 1.416 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)CC1CCC1 ZINC001283712269 907834919 /nfs/dbraw/zinc/83/49/19/907834919.db2.gz YRBAZUTWGKGXFP-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM O=C(/C=C\C1CC1)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001284730953 909414158 /nfs/dbraw/zinc/41/41/58/909414158.db2.gz YBSIHEHTTFZEHI-YVMONPNESA-N -1 1 315.373 1.474 20 0 DDADMM CN(C(=O)CCC1CC1)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001394413685 909567079 /nfs/dbraw/zinc/56/70/79/909567079.db2.gz DYOUFSFAHMJRIP-LBPRGKRZSA-N -1 1 307.398 1.027 20 0 DDADMM C=C/C(C)=C\CC(=O)N[C@@H](CC)CNC(=O)c1ncccc1[O-] ZINC001285047776 909994787 /nfs/dbraw/zinc/99/47/87/909994787.db2.gz YODVLAJUVZWQAD-FSBNRTBOSA-N -1 1 317.389 1.934 20 0 DDADMM CCCC(C)(C)C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001375253928 915388816 /nfs/dbraw/zinc/38/88/16/915388816.db2.gz UZBICASBYZPVOP-NSHDSACASA-N -1 1 309.414 1.321 20 0 DDADMM CC(C)(F)C(=O)NC[C@@H]1CCC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001376412706 918444917 /nfs/dbraw/zinc/44/49/17/918444917.db2.gz YMSPDVKALOGLIV-QWRGUYRKSA-N -1 1 323.368 1.550 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccccc1F ZINC001376716124 919151768 /nfs/dbraw/zinc/15/17/68/919151768.db2.gz FVIBPDUODVZBTC-SNVBAGLBSA-N -1 1 317.320 1.475 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCC[C@@H]3C3CC3)nc2n1 ZINC000622870233 365550038 /nfs/dbraw/zinc/55/00/38/365550038.db2.gz VFGRBNQOBRHADN-VXGBXAGGSA-N -1 1 315.377 1.881 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCC(F)(F)C3)nc2n1 ZINC000622870169 365550476 /nfs/dbraw/zinc/55/04/76/365550476.db2.gz SRPKQWVBDFZENH-QMMMGPOBSA-N -1 1 311.292 1.490 20 0 DDADMM CC[C@H]1CCCC[C@H]1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000622870092 365550726 /nfs/dbraw/zinc/55/07/26/365550726.db2.gz QWCRDVVHVYPRDQ-WDEREUQCSA-N -1 1 303.366 1.881 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(O)cc2C)o1 ZINC000096783513 185387659 /nfs/dbraw/zinc/38/76/59/185387659.db2.gz MMDWNJSCLPRFPA-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM CC1(C)CCC[C@@](O)(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000614475693 361875829 /nfs/dbraw/zinc/87/58/29/361875829.db2.gz QWNGNXOTVBZICM-INIZCTEOSA-N -1 1 323.393 1.813 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)F)c1cc2cc(F)ccc2o1 ZINC000451285262 231056876 /nfs/dbraw/zinc/05/68/76/231056876.db2.gz LJTALHYLSNKCRW-MRVPVSSYSA-N -1 1 309.265 1.476 20 0 DDADMM O=S(=O)(CCOCc1ccccc1)[N-]C1(C(F)F)CC1 ZINC000451501189 231112395 /nfs/dbraw/zinc/11/23/95/231112395.db2.gz OLJHOUNSVWQQFZ-UHFFFAOYSA-N -1 1 305.346 1.920 20 0 DDADMM CNS(=O)(=O)c1ccc(NC(=O)c2ccccc2[O-])cc1 ZINC000093905097 539178013 /nfs/dbraw/zinc/17/80/13/539178013.db2.gz KOWVOICDNGNLNB-UHFFFAOYSA-N -1 1 306.343 1.553 20 0 DDADMM Cc1cc(NS(=O)(=O)c2ccc(CC(=O)[O-])cc2)ccn1 ZINC000092349045 185313585 /nfs/dbraw/zinc/31/35/85/185313585.db2.gz MIMKGZSRNSAONG-UHFFFAOYSA-N -1 1 306.343 1.818 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CC(=O)NCc2ccccc2F)C1 ZINC000315900938 539295536 /nfs/dbraw/zinc/29/55/36/539295536.db2.gz LZZKXEADVYESKG-GFCCVEGCSA-N -1 1 308.353 1.629 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CSc1ccc2c(c1)OCCO2 ZINC000615226322 362204521 /nfs/dbraw/zinc/20/45/21/362204521.db2.gz JSTSFDXIHSEEQR-UHFFFAOYSA-N -1 1 321.358 1.926 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H](O)C1)c1ccc(F)c(F)c1F ZINC000615263470 362217104 /nfs/dbraw/zinc/21/71/04/362217104.db2.gz ZCQMHEMFKOPKIO-HTQZYQBOSA-N -1 1 309.309 1.686 20 0 DDADMM COc1cc(C(=O)N2Cc3cnn(C)c3C2)cc(Cl)c1[O-] ZINC000623251088 365748813 /nfs/dbraw/zinc/74/88/13/365748813.db2.gz IBXMPRGWPXZMIR-UHFFFAOYSA-N -1 1 307.737 1.944 20 0 DDADMM Cc1ccccc1CC(=O)N1CCN(CCCCC(=O)[O-])CC1 ZINC000181552108 199315751 /nfs/dbraw/zinc/31/57/51/199315751.db2.gz DHSKEIYSERSFSV-UHFFFAOYSA-N -1 1 318.417 1.937 20 0 DDADMM CCOC(=O)c1c[n-]nc1S(=O)(=O)Oc1cccnc1C ZINC000272787145 210190647 /nfs/dbraw/zinc/19/06/47/210190647.db2.gz PCEQXKNJVYGTKS-UHFFFAOYSA-N -1 1 311.319 1.058 20 0 DDADMM C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000272787926 210190642 /nfs/dbraw/zinc/19/06/42/210190642.db2.gz WIKJBFUFXSFFQD-JMCQJSRRSA-N -1 1 312.753 1.260 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCS(=O)(=O)C[C@H]1C1CC1 ZINC000615785936 362438645 /nfs/dbraw/zinc/43/86/45/362438645.db2.gz IPAIUNZEBVKCIA-LBPRGKRZSA-N -1 1 313.350 1.181 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1cncc([O-])c1)c1ccncc1 ZINC000615932658 362492918 /nfs/dbraw/zinc/49/29/18/362492918.db2.gz QTKPUQXFOXXJGV-ZDUSSCGKSA-N -1 1 301.302 1.216 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(F)ccc2F)n[n-]1 ZINC000615920336 362488077 /nfs/dbraw/zinc/48/80/77/362488077.db2.gz WRCPMELWRSKBQW-UHFFFAOYSA-N -1 1 310.260 1.190 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(F)ccc2F)n1 ZINC000615920336 362488083 /nfs/dbraw/zinc/48/80/83/362488083.db2.gz WRCPMELWRSKBQW-UHFFFAOYSA-N -1 1 310.260 1.190 20 0 DDADMM C[C@@]1(CNC(=O)c2ccc(C(F)(F)F)cc2[O-])CCC(=O)N1 ZINC000457205871 232014088 /nfs/dbraw/zinc/01/40/88/232014088.db2.gz ZSFMWWMYYHXACP-ZDUSSCGKSA-N -1 1 316.279 1.810 20 0 DDADMM COc1cc(C(=O)NC[C@@]2(C)CCC(=O)N2)cc(Cl)c1[O-] ZINC000457187874 232010262 /nfs/dbraw/zinc/01/02/62/232010262.db2.gz NANFWELDWBYSRE-CQSZACIVSA-N -1 1 312.753 1.453 20 0 DDADMM CCO[C@H]1C[C@](NC(=O)c2cncc([O-])c2)(C(=O)OC)C1(C)C ZINC000286749454 219146125 /nfs/dbraw/zinc/14/61/25/219146125.db2.gz JQAGKAARUXHEBY-LRDDRELGSA-N -1 1 322.361 1.264 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCc3cncn3C2)c1Br ZINC000616001381 362515393 /nfs/dbraw/zinc/51/53/93/362515393.db2.gz BFKMOPBOQCGASD-QMMMGPOBSA-N -1 1 324.182 1.300 20 0 DDADMM COCC1(C(=O)N=c2[nH][n-]c(C)c2Br)CCC1 ZINC000616002167 362516983 /nfs/dbraw/zinc/51/69/83/362516983.db2.gz IEVYHLFICOEGAJ-UHFFFAOYSA-N -1 1 302.172 1.658 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCc3n[nH]cc3C2)c1Br ZINC000616006887 362518167 /nfs/dbraw/zinc/51/81/67/362518167.db2.gz MDLBRJWIJCKJHX-SSDOTTSWSA-N -1 1 324.182 1.369 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2C[C@@]23CCOC3)c1Br ZINC000616009110 362519280 /nfs/dbraw/zinc/51/92/80/362519280.db2.gz OSIBHAZTGNQLNY-RDDDGLTNSA-N -1 1 300.156 1.268 20 0 DDADMM CC(C)[C@H]1C[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000358322589 299120462 /nfs/dbraw/zinc/12/04/62/299120462.db2.gz DEQQUWWJIALRRF-GXFFZTMASA-N -1 1 318.377 1.607 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1CC[C@@H](C(F)(F)F)C1 ZINC000357682246 539477918 /nfs/dbraw/zinc/47/79/18/539477918.db2.gz AHOQAZDAXWCVON-HTQZYQBOSA-N -1 1 318.299 1.417 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@H]3CCNC3=O)[nH][n-]2)c1 ZINC000616250971 362601780 /nfs/dbraw/zinc/60/17/80/362601780.db2.gz GUENSQHLRNJKMT-VIFPVBQESA-N -1 1 302.309 1.021 20 0 DDADMM C[C@@H](C[C@@H]1CCCO1)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358331079 299122675 /nfs/dbraw/zinc/12/26/75/299122675.db2.gz LJJHLGSSSRXROP-UWVGGRQHSA-N -1 1 304.350 1.361 20 0 DDADMM C[C@H]1COCC[C@@H]1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000286789967 219168495 /nfs/dbraw/zinc/16/84/95/219168495.db2.gz JQVDRMBDTIKHDM-VHSXEESVSA-N -1 1 306.391 1.420 20 0 DDADMM CC(C)n1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1C1CC1 ZINC000358379430 299136988 /nfs/dbraw/zinc/13/69/88/299136988.db2.gz FJFHEDRGWNAWDQ-SNVBAGLBSA-N -1 1 317.397 1.730 20 0 DDADMM CC(C)(CO)[C@@H](NC(=O)c1ncccc1[O-])c1cccnc1 ZINC000616840575 362841290 /nfs/dbraw/zinc/84/12/90/362841290.db2.gz BJQHVSYJJFLCFK-AWEZNQCLSA-N -1 1 301.346 1.672 20 0 DDADMM Cn1nc([C@H]2CCCOC2)cc1NC(=O)[N-]O[C@@H]1CCCCO1 ZINC000279819787 215173415 /nfs/dbraw/zinc/17/34/15/215173415.db2.gz CDDIDIRCCUIUCG-SMDDNHRTSA-N -1 1 324.381 1.894 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1ccccn1 ZINC000080469593 192159745 /nfs/dbraw/zinc/15/97/45/192159745.db2.gz NNHCXTVXGATQFK-UHFFFAOYSA-N -1 1 314.389 1.743 20 0 DDADMM CCNC(=O)CC(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000564720031 304010129 /nfs/dbraw/zinc/01/01/29/304010129.db2.gz IOQXOMRKGDTGGW-UHFFFAOYSA-N -1 1 308.288 1.242 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCS[C@@H](C)[C@@H]2C)co1 ZINC000152465441 186075739 /nfs/dbraw/zinc/07/57/39/186075739.db2.gz IORUEOLGUZLHHT-IUCAKERBSA-N -1 1 318.420 1.154 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@@H](Cn2ccnn2)C1 ZINC000617266576 363027999 /nfs/dbraw/zinc/02/79/99/363027999.db2.gz XNCMNLLNHSVGDS-SNVBAGLBSA-N -1 1 306.753 1.799 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)C2CCCC2)o1 ZINC000172135433 198044795 /nfs/dbraw/zinc/04/47/95/198044795.db2.gz MUSZWGCIKXCXLY-SECBINFHSA-N -1 1 300.380 1.496 20 0 DDADMM CCNC(=O)c1ccc(S(=O)(=O)[N-]c2ccncc2)cc1 ZINC000028973790 352233453 /nfs/dbraw/zinc/23/34/53/352233453.db2.gz DEJHJFYTPBELKZ-UHFFFAOYSA-N -1 1 305.359 1.632 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@H](CO)NC(=O)c2cc(F)ccc2[O-])C1 ZINC000617535174 363162610 /nfs/dbraw/zinc/16/26/10/363162610.db2.gz OYYNIBOFIUQZLW-QKCSRTOESA-N -1 1 311.353 1.827 20 0 DDADMM COc1ccc(CCNC(=O)NN=c2nc(OC)cc[n-]2)cc1 ZINC000042927529 352355613 /nfs/dbraw/zinc/35/56/13/352355613.db2.gz WCRHTRDDUNVSGV-UHFFFAOYSA-N -1 1 317.349 1.363 20 0 DDADMM C[C@H](NC(=O)OC(C)(C)C)C(=O)NCc1n[n-]c(=S)n1C ZINC000066625242 353008439 /nfs/dbraw/zinc/00/84/39/353008439.db2.gz HMBRPGAWFRKLJW-ZETCQYMHSA-N -1 1 315.399 1.007 20 0 DDADMM Cc1nnc([C@@H]2COCCN2C(=O)c2ccc([O-])cc2F)o1 ZINC000331958111 234321438 /nfs/dbraw/zinc/32/14/38/234321438.db2.gz UKYYFRDFTKCQSZ-LBPRGKRZSA-N -1 1 307.281 1.436 20 0 DDADMM O=C(CN[C@@H](c1ccccc1)C(F)(F)F)Nc1nnn[n-]1 ZINC000073794776 353271563 /nfs/dbraw/zinc/27/15/63/353271563.db2.gz NJBFGYWTMQYFSH-VIFPVBQESA-N -1 1 300.244 1.031 20 0 DDADMM O=C(CN[C@@H](c1ccccc1)C(F)(F)F)Nc1nn[n-]n1 ZINC000073794776 353271567 /nfs/dbraw/zinc/27/15/67/353271567.db2.gz NJBFGYWTMQYFSH-VIFPVBQESA-N -1 1 300.244 1.031 20 0 DDADMM COC(=O)CCC(C)(C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000081064353 353650027 /nfs/dbraw/zinc/65/00/27/353650027.db2.gz KGHSNASLGAXWAZ-UHFFFAOYSA-N -1 1 321.345 1.975 20 0 DDADMM O=C1NCCCC[C@@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084936535 353730640 /nfs/dbraw/zinc/73/06/40/353730640.db2.gz OIARLMUUPCDZGK-NSHDSACASA-N -1 1 308.359 1.380 20 0 DDADMM O=C(NCCCc1ccc(O)cc1)c1nc2ccccc2c(=O)[n-]1 ZINC000345147632 282936394 /nfs/dbraw/zinc/93/63/94/282936394.db2.gz IQOYMLPMGCMYCY-UHFFFAOYSA-N -1 1 323.352 1.991 20 0 DDADMM CC(C)(C)CC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000586750286 354856023 /nfs/dbraw/zinc/85/60/23/354856023.db2.gz FHPGUEYZWAMXRB-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(c2nnc3n2CCC3)CC1 ZINC000586752472 354857127 /nfs/dbraw/zinc/85/71/27/354857127.db2.gz ZLLBLGLWRUZEDI-UHFFFAOYSA-N -1 1 313.361 1.345 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)CC2(OC)CCCC2)CCCC1 ZINC000590588502 355121608 /nfs/dbraw/zinc/12/16/08/355121608.db2.gz HVBUYEQDUZTYNM-UHFFFAOYSA-N -1 1 319.423 1.351 20 0 DDADMM C[C@@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)n1cccc1 ZINC000591441262 355312208 /nfs/dbraw/zinc/31/22/08/355312208.db2.gz DJKAAHQOUKBYLI-WFASDCNBSA-N -1 1 310.361 1.858 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CN2CCc3ccccc32)n1 ZINC000591303568 355285157 /nfs/dbraw/zinc/28/51/57/355285157.db2.gz WXZSJLCFNKPLIM-UHFFFAOYSA-N -1 1 314.345 1.588 20 0 DDADMM CCOC(=O)c1ccc(C[N-]S(=O)(=O)c2cscn2)o1 ZINC000592036874 355473590 /nfs/dbraw/zinc/47/35/90/355473590.db2.gz BKGJZRXGBCFPEP-UHFFFAOYSA-N -1 1 316.360 1.391 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)Cc1cc(C)on1 ZINC000592074404 355484083 /nfs/dbraw/zinc/48/40/83/355484083.db2.gz CFPKUJLMYVJMPT-NSHDSACASA-N -1 1 318.395 1.380 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1nc(C)c(C)s1)C(=O)OC ZINC000592077026 355486196 /nfs/dbraw/zinc/48/61/96/355486196.db2.gz HSSWYTPFALXCHI-SECBINFHSA-N -1 1 306.409 1.237 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nn(C)c(C)c2Cl)n1 ZINC000593901324 356047815 /nfs/dbraw/zinc/04/78/15/356047815.db2.gz LSVQNODPYIZJHN-UHFFFAOYSA-N -1 1 311.729 1.534 20 0 DDADMM CC(C)(C)OC(=O)CN(CC1CC1)C(=O)c1ncccc1[O-] ZINC000593820516 356009915 /nfs/dbraw/zinc/00/99/15/356009915.db2.gz YCDKKYQBRFSWJZ-UHFFFAOYSA-N -1 1 306.362 1.981 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2ccc(Cl)cc2[O-])C[C@H](C)O1 ZINC000593838389 356018389 /nfs/dbraw/zinc/01/83/89/356018389.db2.gz CVQXQORMDNTNHQ-UFBFGSQYSA-N -1 1 313.737 1.448 20 0 DDADMM COC(=O)[C@](C)([N-]S(=O)(=O)c1ccc(C(F)F)o1)C1CC1 ZINC000601349817 358510636 /nfs/dbraw/zinc/51/06/36/358510636.db2.gz KHYRVWHYNHABSU-GFCCVEGCSA-N -1 1 323.317 1.837 20 0 DDADMM COC(=O)[C@@H](CC(C)C)N=c1nc(C2CCOCC2)[n-]s1 ZINC000596113649 356776499 /nfs/dbraw/zinc/77/64/99/356776499.db2.gz VHXLJKKEPUIGJA-LLVKDONJSA-N -1 1 313.423 1.854 20 0 DDADMM C[C@@H]1[C@@H](C)[S@@](=O)CCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000597276700 357118408 /nfs/dbraw/zinc/11/84/08/357118408.db2.gz SFXPDRRRDBAEDN-RUYXUOGBSA-N -1 1 318.398 1.922 20 0 DDADMM CCOC(=O)C[C@H](CO)NC(=O)c1ccc2ccccc2c1[O-] ZINC000597679809 357282177 /nfs/dbraw/zinc/28/21/77/357282177.db2.gz CUOGIEXZNUAIFA-GFCCVEGCSA-N -1 1 317.341 1.589 20 0 DDADMM COC(=O)[C@H]1C[C@H](C)CCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000598775382 357725188 /nfs/dbraw/zinc/72/51/88/357725188.db2.gz MZKJHTJDLUCCIB-ZWNOBZJWSA-N -1 1 305.330 1.375 20 0 DDADMM CCCCS[C@@H](C)C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000598838464 357740974 /nfs/dbraw/zinc/74/09/74/357740974.db2.gz KQQPRRGRDQYGRS-VIFPVBQESA-N -1 1 309.395 1.586 20 0 DDADMM CCOC(=O)c1cc(=NC(=O)[C@@H]2C[C@@H](C)Cc3cn[nH]c32)[nH][n-]1 ZINC000598942225 357767235 /nfs/dbraw/zinc/76/72/35/357767235.db2.gz NWUUVQFGWCXJRS-WCBMZHEXSA-N -1 1 317.349 1.036 20 0 DDADMM COc1cc2[n-]cc(C(=O)NCCSC)c(=O)c2c(OC)c1 ZINC000598975294 357780011 /nfs/dbraw/zinc/78/00/11/357780011.db2.gz VPMGIIAZMHRLCB-UHFFFAOYSA-N -1 1 322.386 1.638 20 0 DDADMM COC(=O)C1C[C@H](C)N(Cc2cc(=O)n3[n-]ccc3n2)[C@@H](C)C1 ZINC000599694449 358028671 /nfs/dbraw/zinc/02/86/71/358028671.db2.gz HIHCRFQUZFVPHW-QWRGUYRKSA-N -1 1 318.377 1.185 20 0 DDADMM CC(C)Cc1nc(=NC(=O)[C@H]2CCCc3[nH]ncc32)s[n-]1 ZINC000618348517 363599527 /nfs/dbraw/zinc/59/95/27/363599527.db2.gz QHBAKIMKWQJGDJ-VIFPVBQESA-N -1 1 305.407 1.940 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCn3cc(C)nc3C2)n1 ZINC000600824570 358326055 /nfs/dbraw/zinc/32/60/55/358326055.db2.gz IHFZMYBXNRIALT-SNVBAGLBSA-N -1 1 317.349 1.292 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601440591 358554554 /nfs/dbraw/zinc/55/45/54/358554554.db2.gz YCHZCNPOZGOTOH-VIFPVBQESA-N -1 1 304.393 1.072 20 0 DDADMM CC[C@@H](CSC)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000601386979 358529549 /nfs/dbraw/zinc/52/95/49/358529549.db2.gz JZULADBGXIUVDJ-LURJTMIESA-N -1 1 317.358 1.848 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601389148 358530573 /nfs/dbraw/zinc/53/05/73/358530573.db2.gz FLTPRTUWBWAZNW-RKDXNWHRSA-N -1 1 306.409 1.318 20 0 DDADMM CCOC(=O)[C@]1(C(C)C)CCN(Cc2cc(C(=O)[O-])nn2C)C1 ZINC000602068544 358800084 /nfs/dbraw/zinc/80/00/84/358800084.db2.gz XPHDQMWIFWLSMW-MRXNPFEDSA-N -1 1 323.393 1.530 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cscc1Cl ZINC000602302089 358920977 /nfs/dbraw/zinc/92/09/77/358920977.db2.gz YBZCOCIEFXVCFP-UHFFFAOYSA-N -1 1 313.766 1.986 20 0 DDADMM COCc1cccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000618487830 363648794 /nfs/dbraw/zinc/64/87/94/363648794.db2.gz YEEVAPGQUNABPL-OAHLLOKOSA-N -1 1 323.356 1.866 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2CCC(C)(C)C2)n[n-]1 ZINC000603152245 359439601 /nfs/dbraw/zinc/43/96/01/359439601.db2.gz BTYDSTJFKNOZCT-ZJUUUORDSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2CCC(C)(C)C2)[n-]1 ZINC000603152245 359439603 /nfs/dbraw/zinc/43/96/03/359439603.db2.gz BTYDSTJFKNOZCT-ZJUUUORDSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2CCC(C)(C)C2)n1 ZINC000603152245 359439606 /nfs/dbraw/zinc/43/96/06/359439606.db2.gz BTYDSTJFKNOZCT-ZJUUUORDSA-N -1 1 308.382 1.985 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2c(C)cc(C)cc2OC)n1 ZINC000358951009 299290246 /nfs/dbraw/zinc/29/02/46/299290246.db2.gz JGDPJQBPAPGGDR-UHFFFAOYSA-N -1 1 310.379 1.793 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H]2CCC[C@H]2C)n[n-]1 ZINC000603157192 359444340 /nfs/dbraw/zinc/44/43/40/359444340.db2.gz UQVKYISWBTWVFC-OUAUKWLOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H]2CCC[C@H]2C)[n-]1 ZINC000603157192 359444343 /nfs/dbraw/zinc/44/43/43/359444343.db2.gz UQVKYISWBTWVFC-OUAUKWLOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H]2CCC[C@H]2C)n1 ZINC000603157192 359444348 /nfs/dbraw/zinc/44/43/48/359444348.db2.gz UQVKYISWBTWVFC-OUAUKWLOSA-N -1 1 308.382 1.985 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000187864804 200167950 /nfs/dbraw/zinc/16/79/50/200167950.db2.gz ZPPALRLBBDFZQA-CABCVRRESA-N -1 1 318.373 1.119 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000187864864 200168498 /nfs/dbraw/zinc/16/84/98/200168498.db2.gz ZPPALRLBBDFZQA-GJZGRUSLSA-N -1 1 318.373 1.119 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC(Cn2ccnn2)CC1 ZINC000281081678 216065425 /nfs/dbraw/zinc/06/54/25/216065425.db2.gz UOYONKWQBUXCQF-UHFFFAOYSA-N -1 1 322.315 1.814 20 0 DDADMM C[C@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)c1cccnc1 ZINC000604601105 359774533 /nfs/dbraw/zinc/77/45/33/359774533.db2.gz HGXDRBLFLPSREM-MLGOLLRUSA-N -1 1 322.372 1.994 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1ccn(-c2ccncc2)n1 ZINC000605291686 359842347 /nfs/dbraw/zinc/84/23/47/359842347.db2.gz OFZKSCKFBUADGG-LBPRGKRZSA-N -1 1 308.363 1.188 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cnn(-c2ccccn2)c1C(F)(F)F ZINC000605532881 359868147 /nfs/dbraw/zinc/86/81/47/359868147.db2.gz ZCBNLWJLYACFNG-UHFFFAOYSA-N -1 1 324.226 1.052 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnn(-c2ccccn2)c1C(F)(F)F ZINC000605532881 359868150 /nfs/dbraw/zinc/86/81/50/359868150.db2.gz ZCBNLWJLYACFNG-UHFFFAOYSA-N -1 1 324.226 1.052 20 0 DDADMM O=C(Nc1c([O-])cccc1F)[C@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000605440712 359858857 /nfs/dbraw/zinc/85/88/57/359858857.db2.gz YWZXOQLWRXHMIS-ZETCQYMHSA-N -1 1 320.242 1.881 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCC1CC1)c1ccccc1F ZINC000611291731 360691018 /nfs/dbraw/zinc/69/10/18/360691018.db2.gz BIZHQUNYZPRSML-CYBMUJFWSA-N -1 1 315.366 1.759 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2nccnc2C)c1Br ZINC000611774061 360821060 /nfs/dbraw/zinc/82/10/60/360821060.db2.gz KHWDHWUQZYFECN-UHFFFAOYSA-N -1 1 310.155 1.507 20 0 DDADMM CO[C@@](C)(CNC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000292439936 223081065 /nfs/dbraw/zinc/08/10/65/223081065.db2.gz NHDFCFAIKQTOCZ-AWEZNQCLSA-N -1 1 306.391 1.563 20 0 DDADMM CCC[C@@H](NC(=O)c1ncnc2sccc21)c1nn[n-]n1 ZINC000612062178 360905898 /nfs/dbraw/zinc/90/58/98/360905898.db2.gz UAFOTPBWUNTQEY-MRVPVSSYSA-N -1 1 303.351 1.476 20 0 DDADMM O=C(NC[C@H](O)C1CCOCC1)c1ncc2ccccc2c1[O-] ZINC000612425256 361009010 /nfs/dbraw/zinc/00/90/10/361009010.db2.gz FRUBAGUKBZRSKR-AWEZNQCLSA-N -1 1 316.357 1.458 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@]2(O)CCCC2(C)C)c1Cl ZINC000292776611 223241030 /nfs/dbraw/zinc/24/10/30/223241030.db2.gz KCSYVGJBJVIZFY-GFCCVEGCSA-N -1 1 321.830 1.293 20 0 DDADMM O=C(N[C@@H](CO)CC(F)(F)F)c1ncc2ccccc2c1[O-] ZINC000613213451 361328134 /nfs/dbraw/zinc/32/81/34/361328134.db2.gz DVCQASUAYWYHKK-SECBINFHSA-N -1 1 314.263 1.984 20 0 DDADMM COC(=O)c1cnc(S[C@H](C(=O)N2CCCC2)C(C)C)[n-]1 ZINC000193539349 201071217 /nfs/dbraw/zinc/07/12/17/201071217.db2.gz LEDYKDNPJNMNAP-NSHDSACASA-N -1 1 311.407 1.935 20 0 DDADMM COC(=O)c1c[n-]c(S[C@H](C(=O)N2CCCC2)C(C)C)n1 ZINC000193539349 201071220 /nfs/dbraw/zinc/07/12/20/201071220.db2.gz LEDYKDNPJNMNAP-NSHDSACASA-N -1 1 311.407 1.935 20 0 DDADMM COC[C@](C)(CCO)NC(=O)c1ncc2ccccc2c1[O-] ZINC000613300254 361372148 /nfs/dbraw/zinc/37/21/48/361372148.db2.gz HZIXZTVWPQQKLQ-INIZCTEOSA-N -1 1 304.346 1.458 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC[C@H]3CCCO3)cnc2n1 ZINC000613305692 361375750 /nfs/dbraw/zinc/37/57/50/361375750.db2.gz PCWQQQBXBYIARW-LLVKDONJSA-N -1 1 301.346 1.943 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC[C@H]1CCCO1)c2=O ZINC000613305692 361375756 /nfs/dbraw/zinc/37/57/56/361375756.db2.gz PCWQQQBXBYIARW-LLVKDONJSA-N -1 1 301.346 1.943 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cnnn1-c1ccccc1)C(F)F ZINC000625233716 366792259 /nfs/dbraw/zinc/79/22/59/366792259.db2.gz UIMJYAFHBMRVFX-SNVBAGLBSA-N -1 1 316.333 1.589 20 0 DDADMM COC(=O)[C@H]1CC[C@@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC000194145950 201186139 /nfs/dbraw/zinc/18/61/39/201186139.db2.gz FPNNUXKCQCLLRH-WCBMZHEXSA-N -1 1 319.329 1.585 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1ccc(C)cc1F)C1CC1 ZINC000281529457 216362197 /nfs/dbraw/zinc/36/21/97/216362197.db2.gz QYKHGJKVZAPZRO-CYBMUJFWSA-N -1 1 315.366 1.505 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1cccc(N(C)C)c1)c1nn[n-]n1 ZINC000194560252 201253190 /nfs/dbraw/zinc/25/31/90/201253190.db2.gz VMUKLIBPDXWVRW-LBPRGKRZSA-N -1 1 316.409 1.460 20 0 DDADMM Cn1cnnc1CCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000271854762 209270038 /nfs/dbraw/zinc/27/00/38/209270038.db2.gz KEVSVKBHSBZMHB-UHFFFAOYSA-N -1 1 314.267 1.512 20 0 DDADMM Cn1ncc(C2CCC2)c1CNC(=O)C(=O)c1ccc([O-])cc1 ZINC000619240350 363941600 /nfs/dbraw/zinc/94/16/00/363941600.db2.gz GFVRILBWUODTBP-UHFFFAOYSA-N -1 1 313.357 1.892 20 0 DDADMM CC(C)NC(=O)[C@@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000620399005 364398708 /nfs/dbraw/zinc/39/87/08/364398708.db2.gz CXFRFRZWOONQDP-LLVKDONJSA-N -1 1 308.353 1.908 20 0 DDADMM Cn1[n-]c(C(=O)NC[C@H]2CCN2Cc2ccccc2)cc1=O ZINC000620782421 364551633 /nfs/dbraw/zinc/55/16/33/364551633.db2.gz PRMBIJAYBMSTHU-CYBMUJFWSA-N -1 1 300.362 1.130 20 0 DDADMM Cc1cc2nccc(NC(=O)c3csc(=NC4CC4)[n-]3)n2n1 ZINC000621083754 364665623 /nfs/dbraw/zinc/66/56/23/364665623.db2.gz IFQYXYIPYKTPPB-UHFFFAOYSA-N -1 1 314.374 1.743 20 0 DDADMM O=C(Cn1cc(C2CC2)cn1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000621708947 364994925 /nfs/dbraw/zinc/99/49/25/364994925.db2.gz FWAUPDYFCPYQPP-OAHLLOKOSA-N -1 1 323.360 1.179 20 0 DDADMM CCCC[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C(C)C ZINC000621791569 365031922 /nfs/dbraw/zinc/03/19/22/365031922.db2.gz AVLUFFJWAWICAJ-VIFPVBQESA-N -1 1 302.400 1.609 20 0 DDADMM CCCC[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C(C)C ZINC000621791569 365031926 /nfs/dbraw/zinc/03/19/26/365031926.db2.gz AVLUFFJWAWICAJ-VIFPVBQESA-N -1 1 302.400 1.609 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@@H]1CCCOC1 ZINC000091160852 193009603 /nfs/dbraw/zinc/00/96/03/193009603.db2.gz FPABYKPBKMNIOW-SECBINFHSA-N -1 1 316.354 1.562 20 0 DDADMM Cn1cc([C@H]2OCCC[C@@H]2NC(=O)c2ncccc2[O-])cn1 ZINC000275337885 212279638 /nfs/dbraw/zinc/27/96/38/212279638.db2.gz GOCWAVCMULUZJZ-SMDDNHRTSA-N -1 1 302.334 1.171 20 0 DDADMM CCc1ccc(C)nc1NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000622139522 365286110 /nfs/dbraw/zinc/28/61/10/365286110.db2.gz KXMMWQSFVYECML-UHFFFAOYSA-N -1 1 323.374 1.706 20 0 DDADMM O=C(NCCN1CCC(O)CC1)c1cc(F)c([O-])c(Cl)c1 ZINC000622660152 365473201 /nfs/dbraw/zinc/47/32/01/365473201.db2.gz ZKUGMHKOWJTYIV-UHFFFAOYSA-N -1 1 316.760 1.371 20 0 DDADMM CCN(CC)CCS(=O)(=O)NC1(C(=O)[O-])CCCCCC1 ZINC000566184991 304125773 /nfs/dbraw/zinc/12/57/73/304125773.db2.gz XNZKHYPPKVNXGQ-UHFFFAOYSA-N -1 1 320.455 1.425 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)C2CC(OC)C2)c1Br ZINC000625963912 367245985 /nfs/dbraw/zinc/24/59/85/367245985.db2.gz OAHDDJBVHXMVDT-UHFFFAOYSA-N -1 1 302.172 1.520 20 0 DDADMM C[C@H](CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1)C(F)(F)F ZINC000626045590 367296739 /nfs/dbraw/zinc/29/67/39/367296739.db2.gz TZXXUYJXAIQMAF-SSDOTTSWSA-N -1 1 323.344 1.188 20 0 DDADMM COc1cc(C(=O)NC[C@H]2CC[C@@H](CO)O2)cc(Cl)c1[O-] ZINC000349591356 283978092 /nfs/dbraw/zinc/97/80/92/283978092.db2.gz UVXLGGXVIZESJG-ZJUUUORDSA-N -1 1 315.753 1.324 20 0 DDADMM O=C([O-])[C@H]1CN([C@@H]2CCN(C3CCC3)C2=O)Cc2ccccc21 ZINC000635017440 422770961 /nfs/dbraw/zinc/77/09/61/422770961.db2.gz IPZXGANRXIWMQN-JKSUJKDBSA-N -1 1 314.385 1.824 20 0 DDADMM Cc1ccc(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])c(C)c1 ZINC000275091045 212115199 /nfs/dbraw/zinc/11/51/99/212115199.db2.gz OYCZWAHSVKKBQE-GFCCVEGCSA-N -1 1 323.374 1.001 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2sccc2C)o1 ZINC000264612016 204027805 /nfs/dbraw/zinc/02/78/05/204027805.db2.gz PULGGRPVSLMLCT-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM O=C([O-])c1cccc(NS(=O)(=O)[C@H]2CCCOC2)c1O ZINC000274877411 212002201 /nfs/dbraw/zinc/00/22/01/212002201.db2.gz BYNITZIFKOXTDO-QMMMGPOBSA-N -1 1 301.320 1.011 20 0 DDADMM CO[C@H]1CCCC[C@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000266243689 205212350 /nfs/dbraw/zinc/21/23/50/205212350.db2.gz WLSKXYGRARLNSZ-BDAKNGLRSA-N -1 1 307.803 1.309 20 0 DDADMM Cc1c([C@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)cnn1C ZINC000282864042 217286410 /nfs/dbraw/zinc/28/64/10/217286410.db2.gz CXKBFAYEOPPDKN-QMMMGPOBSA-N -1 1 316.390 1.551 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@@H]1O)c1cncc([O-])c1 ZINC000282919799 217324738 /nfs/dbraw/zinc/32/47/38/217324738.db2.gz DYJRMZWOGWXDCI-BXUZGUMPSA-N -1 1 306.362 1.085 20 0 DDADMM Cc1noc(C)c1C(C)(C)C(=O)/N=c1\ccnc2n(C)[n-]cc1-2 ZINC000282998735 217378691 /nfs/dbraw/zinc/37/86/91/217378691.db2.gz CTKAJBYYAVMWKH-XDHOZWIPSA-N -1 1 313.361 1.863 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)C(=O)OC ZINC000566736144 304177563 /nfs/dbraw/zinc/17/75/63/304177563.db2.gz WDBVKZWNGINJEN-NEPJUHHUSA-N -1 1 307.412 1.063 20 0 DDADMM CC(C)c1ncsc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000566778288 304180868 /nfs/dbraw/zinc/18/08/68/304180868.db2.gz RRXGDMKRQQHNLP-SECBINFHSA-N -1 1 306.395 1.799 20 0 DDADMM CN(CCCn1ccnc1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283246404 217518751 /nfs/dbraw/zinc/51/87/51/217518751.db2.gz BECUMTMTVDCNGC-UHFFFAOYSA-N -1 1 316.390 1.377 20 0 DDADMM Cc1nn(C)c(C)c1NS(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000006975335 248174392 /nfs/dbraw/zinc/17/43/92/248174392.db2.gz WBBSPKCKHJVZRH-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM CCC[C@H](NC(=O)Cc1c(OC)cccc1OC)c1nn[n-]n1 ZINC000136740959 248292779 /nfs/dbraw/zinc/29/27/79/248292779.db2.gz ACOUJTXPPFKDJL-NSHDSACASA-N -1 1 319.365 1.417 20 0 DDADMM COc1cn(-c2ccccc2)nc1C(=O)Nc1ccncc1[O-] ZINC000336894130 249284794 /nfs/dbraw/zinc/28/47/94/249284794.db2.gz LPVLDFQOYLWDNZ-UHFFFAOYSA-N -1 1 310.313 1.656 20 0 DDADMM CC[C@H](C)n1nc(C(=O)N=c2[nH][n-]c(C)c2C(=O)NC)cc1C ZINC000337127376 249357900 /nfs/dbraw/zinc/35/79/00/249357900.db2.gz HBHUMDNGNURPJY-QMMMGPOBSA-N -1 1 318.381 1.228 20 0 DDADMM CN(CCCC(=O)[O-])CC(=O)Nc1cccc2nsnc21 ZINC000042718004 183301625 /nfs/dbraw/zinc/30/16/25/183301625.db2.gz GTSQYQDMYDAABX-UHFFFAOYSA-N -1 1 308.363 1.426 20 0 DDADMM C[C@H](C[S@](C)=O)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284177132 217917332 /nfs/dbraw/zinc/91/73/32/217917332.db2.gz GLMOMFUENJVGCF-GJEGPGMTSA-N -1 1 313.803 1.524 20 0 DDADMM O=S(=O)([N-][C@@H]1C=C[C@H](CO)C1)c1cc(Cl)ccc1F ZINC000284399150 218011137 /nfs/dbraw/zinc/01/11/37/218011137.db2.gz TXCJUJCIWOSVFP-WCBMZHEXSA-N -1 1 305.758 1.694 20 0 DDADMM COCCN1CC[C@@H](NC(=O)c2cc(F)c([O-])c(F)c2)C1 ZINC000273923196 211177358 /nfs/dbraw/zinc/17/73/58/211177358.db2.gz GKAHWNKBMSGKQD-SNVBAGLBSA-N -1 1 300.305 1.121 20 0 DDADMM Cc1ccc(NS(=O)(=O)c2cc(C(=O)[O-])ccc2O)c(=O)[nH]1 ZINC000359643083 299505481 /nfs/dbraw/zinc/50/54/81/299505481.db2.gz UKDKDADSJJVPKE-UHFFFAOYSA-N -1 1 324.314 1.300 20 0 DDADMM CC(=O)N1CCC(C[N-]S(=O)(=O)c2sccc2F)CC1 ZINC000338890377 250183389 /nfs/dbraw/zinc/18/33/89/250183389.db2.gz QOTQHVXJJDNEOG-UHFFFAOYSA-N -1 1 320.411 1.424 20 0 DDADMM O=S(=O)([N-]CCCO[C@H]1CCOC1)c1sccc1F ZINC000338929651 250202001 /nfs/dbraw/zinc/20/20/01/250202001.db2.gz OOFMZTQJXNPHRL-VIFPVBQESA-N -1 1 309.384 1.361 20 0 DDADMM O=S(=O)([N-]Cc1nncn1C1CC1)c1sccc1F ZINC000338971505 250226912 /nfs/dbraw/zinc/22/69/12/250226912.db2.gz BXLSRAWRHWZAOV-UHFFFAOYSA-N -1 1 302.356 1.292 20 0 DDADMM COC(=O)[C@H]1CC[C@H]([N-]S(=O)(=O)c2sccc2F)C1 ZINC000338972476 250227924 /nfs/dbraw/zinc/22/79/24/250227924.db2.gz GVBRYHIEGRZUMZ-YUMQZZPRSA-N -1 1 307.368 1.507 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)CC[C@@H](O)c2ccccc2)n1 ZINC000285009822 218254525 /nfs/dbraw/zinc/25/45/25/218254525.db2.gz DCWFMTJCUCFGJA-GFCCVEGCSA-N -1 1 324.358 1.094 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(NC(C)=O)cc2)n1 ZINC000339166218 250324661 /nfs/dbraw/zinc/32/46/61/250324661.db2.gz DIDXOROSEZELOX-UHFFFAOYSA-N -1 1 316.317 1.797 20 0 DDADMM CCCCc1nnc([N-]C(=O)c2ccnc3n[nH]nc32)s1 ZINC000339266269 250378276 /nfs/dbraw/zinc/37/82/76/250378276.db2.gz WUEDNEVADZEKCA-UHFFFAOYSA-N -1 1 303.351 1.799 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC23CCCC3)c1 ZINC000359686686 299515335 /nfs/dbraw/zinc/51/53/35/299515335.db2.gz VVOWZSMXSMXISM-NSHDSACASA-N -1 1 324.402 1.819 20 0 DDADMM CCCc1cc(NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)n[nH]1 ZINC000109911849 194297231 /nfs/dbraw/zinc/29/72/31/194297231.db2.gz DNSNMRZISSYHCF-UHFFFAOYSA-N -1 1 312.351 1.116 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)C(=O)CCc2nn[n-]n2)C12CCCCC2 ZINC000631496207 422798535 /nfs/dbraw/zinc/79/85/35/422798535.db2.gz BAJURSHZKHCHEP-CHWSQXEVSA-N -1 1 321.425 1.719 20 0 DDADMM Cc1cccc(CS(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000340366786 251029308 /nfs/dbraw/zinc/02/93/08/251029308.db2.gz NXWLRMBHNSRKRZ-UHFFFAOYSA-N -1 1 316.386 1.592 20 0 DDADMM CCc1nc(C)c(C(=O)[N-]c2nnc(CCC(=O)OC)s2)o1 ZINC000340538792 251103703 /nfs/dbraw/zinc/10/37/03/251103703.db2.gz FQFSBTJDKXOLBK-UHFFFAOYSA-N -1 1 324.362 1.755 20 0 DDADMM Cc1ccccc1Cc1noc(CNC(=O)c2ncccc2[O-])n1 ZINC000340646427 251150698 /nfs/dbraw/zinc/15/06/98/251150698.db2.gz AAXHLXYUIPGCSC-UHFFFAOYSA-N -1 1 324.340 1.999 20 0 DDADMM CN(Cc1ccc(OCC(=O)[O-])cc1)C[C@@](C)(O)C(F)(F)F ZINC000579603917 422800488 /nfs/dbraw/zinc/80/04/88/422800488.db2.gz TYKIJABDQQEMEL-CYBMUJFWSA-N -1 1 321.295 1.895 20 0 DDADMM O=C(CS(=O)(=O)c1ccc([O-])cc1)NCc1ccccc1 ZINC000063927255 184290237 /nfs/dbraw/zinc/29/02/37/184290237.db2.gz HVPDNZDZZCXZAK-UHFFFAOYSA-N -1 1 305.355 1.482 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H](C)c1ccccc1 ZINC000352386070 285013749 /nfs/dbraw/zinc/01/37/49/285013749.db2.gz RNPOLQTXIUAUEZ-GHMZBOCLSA-N -1 1 311.345 1.340 20 0 DDADMM CS[C@@H](CO)[C@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000119973847 195073045 /nfs/dbraw/zinc/07/30/45/195073045.db2.gz PFPUVUYEQKYMGG-CBAPKCEASA-N -1 1 311.375 1.356 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2CCCCC2)c1 ZINC000269987396 208040780 /nfs/dbraw/zinc/04/07/80/208040780.db2.gz FKZCVJOCWPAQBI-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM COCC(C)(C)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000292628407 533089442 /nfs/dbraw/zinc/08/94/42/533089442.db2.gz MMGQXGSWNFPGGO-UHFFFAOYSA-N -1 1 305.352 1.017 20 0 DDADMM CCCc1nc(=NCC2(S(C)(=O)=O)CCCC2)s[n-]1 ZINC000359965899 299572618 /nfs/dbraw/zinc/57/26/18/299572618.db2.gz HDZSJJCSBFZUOE-UHFFFAOYSA-N -1 1 303.453 1.682 20 0 DDADMM O=C([O-])c1cnc2ccccc2c1N1CCO[C@@]2(CCOC2)C1 ZINC000567977950 304257560 /nfs/dbraw/zinc/25/75/60/304257560.db2.gz RTWHXWMDUOFZOS-KRWDZBQOSA-N -1 1 314.341 1.929 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)n1cnc2ccccc21)c1nn[n-]n1 ZINC000156563797 197123388 /nfs/dbraw/zinc/12/33/88/197123388.db2.gz UEYZNMFMSWPQRN-QWRGUYRKSA-N -1 1 313.365 1.373 20 0 DDADMM COc1cc(C(=O)N2CCO[C@@H]([C@H](C)O)C2)cc(Cl)c1[O-] ZINC000414059679 533523083 /nfs/dbraw/zinc/52/30/83/533523083.db2.gz VGXPKAMOYWAMQR-QPUJVOFHSA-N -1 1 315.753 1.276 20 0 DDADMM COC(=O)c1[n-]c(=NCc2ccc(NC(C)=O)nc2)sc1C ZINC000432847383 533646991 /nfs/dbraw/zinc/64/69/91/533646991.db2.gz OFRUKHREXBJCFC-UHFFFAOYSA-N -1 1 320.374 1.626 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CC[C@@H](F)C1 ZINC000360373161 299647247 /nfs/dbraw/zinc/64/72/47/299647247.db2.gz QDECCBJRRIIXSB-GHMZBOCLSA-N -1 1 306.341 1.601 20 0 DDADMM CCO/C=C\C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000493145468 285626823 /nfs/dbraw/zinc/62/68/23/285626823.db2.gz DGGYDGQIHWYBHO-FKZURWDRSA-N -1 1 322.327 1.841 20 0 DDADMM CC(=O)NCCN(C)c1ccc(=N[C@@H](C)c2ccccc2)[n-]n1 ZINC000568860610 304316296 /nfs/dbraw/zinc/31/62/96/304316296.db2.gz CAGYLMZITNXNAO-ZDUSSCGKSA-N -1 1 313.405 1.644 20 0 DDADMM CC(C)(C)n1cc(C(=O)[N-]c2nnc([C@H]3CCCO3)s2)nn1 ZINC000636359261 422819505 /nfs/dbraw/zinc/81/95/05/422819505.db2.gz OYZIBDKTSHAOPQ-SECBINFHSA-N -1 1 322.394 1.988 20 0 DDADMM CC(C)CC[C@@H]1CCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353739389 285900898 /nfs/dbraw/zinc/90/08/98/285900898.db2.gz PITYZCPUFICUKI-NWDGAFQWSA-N -1 1 317.393 1.752 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc2c1O[C@H](C)C2)c1nn[n-]n1 ZINC000579796019 422830314 /nfs/dbraw/zinc/83/03/14/422830314.db2.gz GKXBHNUULFKULJ-BXKDBHETSA-N -1 1 301.350 1.794 20 0 DDADMM C[C@H](NC(=O)OC(C)(C)C)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000045571256 407045511 /nfs/dbraw/zinc/04/55/11/407045511.db2.gz GEGNJDMGNCBOPR-YFKPBYRVSA-N -1 1 323.275 1.675 20 0 DDADMM COC(C)(C)c1noc(C[N-]S(=O)(=O)c2cccs2)n1 ZINC000085236066 407097781 /nfs/dbraw/zinc/09/77/81/407097781.db2.gz VDXJFLMGDUBTEB-UHFFFAOYSA-N -1 1 317.392 1.491 20 0 DDADMM CC(C)c1ccccc1NC(=O)[C@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000086170009 407108478 /nfs/dbraw/zinc/10/84/78/407108478.db2.gz UFVVVQACIHXPQA-JTQLQIEISA-N -1 1 322.390 1.729 20 0 DDADMM CC(C)c1ccccc1NC(=O)[C@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000086170009 407108480 /nfs/dbraw/zinc/10/84/80/407108480.db2.gz UFVVVQACIHXPQA-JTQLQIEISA-N -1 1 322.390 1.729 20 0 DDADMM Cn1c(CCNC(=O)Cc2ccc(F)cc2F)n[n-]c1=S ZINC000087301913 407120119 /nfs/dbraw/zinc/12/01/19/407120119.db2.gz MEOPTNUMXADSQA-UHFFFAOYSA-N -1 1 312.345 1.657 20 0 DDADMM COC[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)c1ccco1 ZINC000083055918 407080449 /nfs/dbraw/zinc/08/04/49/407080449.db2.gz XXLWNHCPTRMRSY-GFCCVEGCSA-N -1 1 313.313 1.634 20 0 DDADMM Cc1n[nH]cc1CCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000050183777 407128975 /nfs/dbraw/zinc/12/89/75/407128975.db2.gz WALGTYSWGQJISZ-UHFFFAOYSA-N -1 1 315.345 1.907 20 0 DDADMM CCn1c(C)cc(C(=O)NCCc2n[n-]c(=S)n2C)c1C ZINC000067051444 407266547 /nfs/dbraw/zinc/26/65/47/407266547.db2.gz IILAVAOQQTVWET-UHFFFAOYSA-N -1 1 307.423 1.888 20 0 DDADMM CC[C@H](Oc1cccc(C)c1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000124145377 407349739 /nfs/dbraw/zinc/34/97/39/407349739.db2.gz QZWRYXJNQHULDE-JSGCOSHPSA-N -1 1 317.393 1.928 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N(C)[C@@H]1CCOC1 ZINC000106840795 407362740 /nfs/dbraw/zinc/36/27/40/407362740.db2.gz DPPTXPFJVSACGM-GFCCVEGCSA-N -1 1 318.377 1.232 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H]2CC(C)C)co1 ZINC000125610894 407393138 /nfs/dbraw/zinc/39/31/38/407393138.db2.gz BXESQDHURJFEPX-LBPRGKRZSA-N -1 1 314.407 1.838 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCc2cccc(C)c2)co1 ZINC000125816152 407398570 /nfs/dbraw/zinc/39/85/70/407398570.db2.gz WHMWZNMJWDLBCR-UHFFFAOYSA-N -1 1 322.386 1.469 20 0 DDADMM CC(=O)N1CC[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000126756893 407420934 /nfs/dbraw/zinc/42/09/34/407420934.db2.gz IDYQCVZOKGEBGJ-QMMMGPOBSA-N -1 1 322.308 1.003 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccnc(SCC(F)(F)F)c1 ZINC000112724678 407437337 /nfs/dbraw/zinc/43/73/37/407437337.db2.gz KZFAIVKGTWPISK-UHFFFAOYSA-N -1 1 304.257 1.501 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccnc(SCC(F)(F)F)c1 ZINC000112724678 407437338 /nfs/dbraw/zinc/43/73/38/407437338.db2.gz KZFAIVKGTWPISK-UHFFFAOYSA-N -1 1 304.257 1.501 20 0 DDADMM CNC(=O)CCN(C)C(=O)c1cc(Br)ccc1[O-] ZINC000170797804 407509063 /nfs/dbraw/zinc/50/90/63/407509063.db2.gz WSHBNONTVPHGOQ-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)C2CCCCC2)o1 ZINC000127937649 407480431 /nfs/dbraw/zinc/48/04/31/407480431.db2.gz YQZKWUDTTIWHDR-SNVBAGLBSA-N -1 1 314.407 1.886 20 0 DDADMM CC(C)(C)S(=O)(=O)CCNC(=O)c1ccc(Cl)cc1[O-] ZINC000113436244 407502991 /nfs/dbraw/zinc/50/29/91/407502991.db2.gz BXFQQQYAEYUERW-UHFFFAOYSA-N -1 1 319.810 1.989 20 0 DDADMM CC[C@@H](CCO)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000128341490 407523600 /nfs/dbraw/zinc/52/36/00/407523600.db2.gz JBTBJMWSAGJREU-NSHDSACASA-N -1 1 309.410 1.514 20 0 DDADMM C[C@@H](c1cccnc1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000114800883 407616266 /nfs/dbraw/zinc/61/62/66/407616266.db2.gz AQZGOMQBLMIQOO-ZDUSSCGKSA-N -1 1 312.373 1.701 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC[C@@H](C)CC1 ZINC000171472735 407691620 /nfs/dbraw/zinc/69/16/20/407691620.db2.gz DORYFDQOMBTAGT-LLVKDONJSA-N -1 1 316.405 1.831 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](O)C(F)(F)F)sc1Cl ZINC000235781200 407722331 /nfs/dbraw/zinc/72/23/31/407722331.db2.gz ZXLJWANZTQINLT-YFKPBYRVSA-N -1 1 323.745 1.911 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCC2CCCC2)c1 ZINC000179202146 407752235 /nfs/dbraw/zinc/75/22/35/407752235.db2.gz QZZKIQOTFWOOPR-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM CCOc1cc(C(=O)NC(C)(C)C(N)=O)cc(Cl)c1[O-] ZINC000186679367 407742090 /nfs/dbraw/zinc/74/20/90/407742090.db2.gz HQJVAMPGIUVPQX-UHFFFAOYSA-N -1 1 300.742 1.438 20 0 DDADMM O=C([N-][C@@H]1CCN(Cc2ccccc2)C1=O)C(F)(F)C(F)F ZINC000267207665 407790171 /nfs/dbraw/zinc/79/01/71/407790171.db2.gz QMHFKOLHCRCEOC-SNVBAGLBSA-N -1 1 318.270 1.804 20 0 DDADMM C[C@H](c1ccccn1)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179459453 407792097 /nfs/dbraw/zinc/79/20/97/407792097.db2.gz RWNDDEJAXSRGCX-SNVBAGLBSA-N -1 1 311.345 1.902 20 0 DDADMM CCc1nncn1CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000571100958 304437173 /nfs/dbraw/zinc/43/71/73/304437173.db2.gz KWFMWTFTZCZINA-UHFFFAOYSA-N -1 1 316.333 1.097 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C(C)(C)O1 ZINC000180025370 407849784 /nfs/dbraw/zinc/84/97/84/407849784.db2.gz ISFPCJLDCOHXTL-LBPRGKRZSA-N -1 1 315.373 1.999 20 0 DDADMM CC[C@H](CSC)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000181172721 407961408 /nfs/dbraw/zinc/96/14/08/407961408.db2.gz MNYVYEATZCFELT-SECBINFHSA-N -1 1 306.409 1.059 20 0 DDADMM CNC(=O)[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[O-])C(C)C ZINC000180767217 407918615 /nfs/dbraw/zinc/91/86/15/407918615.db2.gz VUBBSOMWAYQGFF-NSHDSACASA-N -1 1 318.295 1.911 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC[C@@H]2CCCCO2)o1 ZINC000272476982 407941902 /nfs/dbraw/zinc/94/19/02/407941902.db2.gz XXSRPUIBDOIRKL-JTQLQIEISA-N -1 1 317.363 1.304 20 0 DDADMM CO[C@@H]1CC[C@@H](NC(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000188441943 407943056 /nfs/dbraw/zinc/94/30/56/407943056.db2.gz PDOYHSURGTXDSX-GHMZBOCLSA-N -1 1 301.346 1.941 20 0 DDADMM CO[C@@H]1CC[C@@H](NC(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000188441943 407943064 /nfs/dbraw/zinc/94/30/64/407943064.db2.gz PDOYHSURGTXDSX-GHMZBOCLSA-N -1 1 301.346 1.941 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cnc3onc(C)c3c2)n[nH]1 ZINC000181751510 408034124 /nfs/dbraw/zinc/03/41/24/408034124.db2.gz XYLRQAQVUKIZAB-UHFFFAOYSA-N -1 1 315.289 1.683 20 0 DDADMM CN1C(=O)C[C@@H](C(=O)Nc2nnn[n-]2)[C@H]1c1cccc(Cl)c1 ZINC000135465083 408019855 /nfs/dbraw/zinc/01/98/55/408019855.db2.gz CPILWACUPWEBKT-MWLCHTKSSA-N -1 1 320.740 1.011 20 0 DDADMM CN1C(=O)C[C@@H](C(=O)Nc2nn[n-]n2)[C@H]1c1cccc(Cl)c1 ZINC000135465083 408019861 /nfs/dbraw/zinc/01/98/61/408019861.db2.gz CPILWACUPWEBKT-MWLCHTKSSA-N -1 1 320.740 1.011 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H]2c2ccc[nH]2)co1 ZINC000119247880 408022132 /nfs/dbraw/zinc/02/21/32/408022132.db2.gz SVRBPYMVVIXYCX-GFCCVEGCSA-N -1 1 323.374 1.493 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@H]1C(=O)[O-] ZINC000263198788 408057086 /nfs/dbraw/zinc/05/70/86/408057086.db2.gz MCWFGOAKGWTCQK-BXKDBHETSA-N -1 1 300.318 1.264 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@]4(CCOC4)C3)cnc2n1 ZINC000155202344 408135270 /nfs/dbraw/zinc/13/52/70/408135270.db2.gz ODLZUZDCVYLABV-KRWDZBQOSA-N -1 1 313.357 1.896 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC[C@]3(CCOC3)C1)c2=O ZINC000155202344 408135276 /nfs/dbraw/zinc/13/52/76/408135276.db2.gz ODLZUZDCVYLABV-KRWDZBQOSA-N -1 1 313.357 1.896 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000263439888 408140390 /nfs/dbraw/zinc/14/03/90/408140390.db2.gz DFYPSVGWMOAAIL-HNNXBMFYSA-N -1 1 319.405 1.866 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCCC1=O ZINC000190004324 408144711 /nfs/dbraw/zinc/14/47/11/408144711.db2.gz VJYZBICYZPIGCR-JTQLQIEISA-N -1 1 305.378 1.475 20 0 DDADMM CO[C@@H]1C[C@@H](c2nc(C)no2)N(C(=O)c2ccc([O-])cc2F)C1 ZINC000190040169 408155169 /nfs/dbraw/zinc/15/51/69/408155169.db2.gz GKHPIVSHVDRBEQ-MFKMUULPSA-N -1 1 321.308 1.825 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccccc1F ZINC000155488099 408170369 /nfs/dbraw/zinc/17/03/69/408170369.db2.gz WFPJUYSTIICUHN-QWRGUYRKSA-N -1 1 303.341 1.849 20 0 DDADMM CCN(CC(F)F)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000182527800 408186065 /nfs/dbraw/zinc/18/60/65/408186065.db2.gz UCWMXAMDWACAEB-UHFFFAOYSA-N -1 1 319.377 1.846 20 0 DDADMM CC[N@@H+]1CCC[C@@H](NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000176235841 408320461 /nfs/dbraw/zinc/32/04/61/408320461.db2.gz XIRPEMSRMAPXEO-GFCCVEGCSA-N -1 1 320.437 1.590 20 0 DDADMM O=S(=O)([N-]CCOCC(F)F)c1cc(F)ccc1F ZINC000190961997 408347858 /nfs/dbraw/zinc/34/78/58/408347858.db2.gz HXOFIWJSQHAMBR-UHFFFAOYSA-N -1 1 301.261 1.525 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCOC1)c1cc(F)c(F)cc1F ZINC000170940162 162200333 /nfs/dbraw/zinc/20/03/33/162200333.db2.gz SEKODHZBDXDPHJ-MRVPVSSYSA-N -1 1 309.309 1.809 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)c1ccccc1 ZINC000269634707 408370132 /nfs/dbraw/zinc/37/01/32/408370132.db2.gz BEIMQAUSDRMOSN-SNVBAGLBSA-N -1 1 323.374 1.626 20 0 DDADMM CCC[C@H](NC(=O)CCOc1ccccc1F)c1nn[n-]n1 ZINC000176787929 408446665 /nfs/dbraw/zinc/44/66/65/408446665.db2.gz JWXQJRVKDMKJQO-NSHDSACASA-N -1 1 307.329 1.765 20 0 DDADMM CCOc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1OC ZINC000183399529 408397865 /nfs/dbraw/zinc/39/78/65/408397865.db2.gz FWSJETOIRPLLHL-SNVBAGLBSA-N -1 1 319.365 1.483 20 0 DDADMM Cc1nn(C)c(Cl)c1C=CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183397280 408398608 /nfs/dbraw/zinc/39/86/08/408398608.db2.gz VYCIYWMQJYEMLE-GJIOHYHPSA-N -1 1 323.788 1.170 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCSC[C@@H](C)C2)o1 ZINC000191338394 408409117 /nfs/dbraw/zinc/40/91/17/408409117.db2.gz OUHTYGICTAIEHG-VIFPVBQESA-N -1 1 318.420 1.013 20 0 DDADMM CCC[C@@H](NC(=O)c1[nH]c(C)c(C(C)=O)c1C)c1nn[n-]n1 ZINC000176769752 408438518 /nfs/dbraw/zinc/43/85/18/408438518.db2.gz XEZYYXPLCOFMKR-SNVBAGLBSA-N -1 1 304.354 1.618 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(F)cc2nccnc21)c1nn[n-]n1 ZINC000274784408 408532068 /nfs/dbraw/zinc/53/20/68/408532068.db2.gz OMISZICZPLACCR-QMMMGPOBSA-N -1 1 315.312 1.158 20 0 DDADMM Cc1ccc(S(=O)(=O)N2CCN3CCC[C@H]3C2)cc1C(=O)[O-] ZINC000249383622 408720688 /nfs/dbraw/zinc/72/06/88/408720688.db2.gz WGRFMBRAGUHSOI-LBPRGKRZSA-N -1 1 324.402 1.162 20 0 DDADMM O=C(C[C@H]1CCS(=O)(=O)C1)Nc1nc(Cl)ccc1[O-] ZINC000276564932 408883526 /nfs/dbraw/zinc/88/35/26/408883526.db2.gz KKTXGLGWUVXPIB-SSDOTTSWSA-N -1 1 304.755 1.204 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc([C@H](C)O)cc1 ZINC000290811812 408836959 /nfs/dbraw/zinc/83/69/59/408836959.db2.gz SHOSTFMRBXBCHF-QMMMGPOBSA-N -1 1 311.363 1.097 20 0 DDADMM CC(C)[C@H](Sc1nc(C2CC2)cc(=O)[n-]1)C(=O)NC(N)=O ZINC000276393398 408847648 /nfs/dbraw/zinc/84/76/48/408847648.db2.gz VDUMKDYWAASIDU-JTQLQIEISA-N -1 1 310.379 1.371 20 0 DDADMM CC[C@H](O)CN1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000280926761 408860278 /nfs/dbraw/zinc/86/02/78/408860278.db2.gz JYMHARFNMGUSCZ-JTQLQIEISA-N -1 1 314.332 1.199 20 0 DDADMM O=C(c1cc(F)c(F)c([O-])c1F)N1CCN2CCC1CC2 ZINC000188819948 163071999 /nfs/dbraw/zinc/07/19/99/163071999.db2.gz ZJGGFMFBRKBQAJ-UHFFFAOYSA-N -1 1 300.280 1.730 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(Nc2nccs2)CC1 ZINC000190398275 163140062 /nfs/dbraw/zinc/14/00/62/163140062.db2.gz KBUYOIIYSPNPBP-UHFFFAOYSA-N -1 1 304.375 1.960 20 0 DDADMM C[C@H]1C[C@@H](CO)CCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000291792941 408924643 /nfs/dbraw/zinc/92/46/43/408924643.db2.gz BHGLHUXZJIBJBE-UWVGGRQHSA-N -1 1 306.391 1.251 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)Cc2cccc(F)c2)c1 ZINC000192731262 163235286 /nfs/dbraw/zinc/23/52/86/163235286.db2.gz HXDGIETUMWLVLT-UHFFFAOYSA-N -1 1 324.333 1.360 20 0 DDADMM CC(C)([N-]C(=O)C(F)(F)F)C(=O)Nc1ccc2nc[nH]c2c1 ZINC000277483021 408949769 /nfs/dbraw/zinc/94/97/69/408949769.db2.gz KNEAOHZTPUEKSU-UHFFFAOYSA-N -1 1 314.267 1.959 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(Cl)cccc1Cl)C(N)=O ZINC000292048628 408969585 /nfs/dbraw/zinc/96/95/85/408969585.db2.gz GLMUFUFUSLJCSF-MRVPVSSYSA-N -1 1 311.190 1.536 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]([C@H](O)C(F)(F)F)C1 ZINC000277604975 408975597 /nfs/dbraw/zinc/97/55/97/408975597.db2.gz PBQQSNVBPAFAHT-KWQFWETISA-N -1 1 304.268 1.563 20 0 DDADMM O=C(Cc1ccc(F)c(F)c1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282852518 409066769 /nfs/dbraw/zinc/06/67/69/409066769.db2.gz GLOXJYJHKKFBJJ-JTQLQIEISA-N -1 1 322.315 1.325 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCc2ccc(OC)cc21)c1nn[n-]n1 ZINC000277802015 409014216 /nfs/dbraw/zinc/01/42/16/409014216.db2.gz ZEPUSDJLTWZEIK-TZMCWYRMSA-N -1 1 315.377 1.896 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)OC ZINC000293112681 409051550 /nfs/dbraw/zinc/05/15/50/409051550.db2.gz OQLCJVJXNVOGLK-ZETCQYMHSA-N -1 1 315.317 1.142 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)Oc1ccc(C=O)cc1)c1nn[n-]n1 ZINC000287682900 409076732 /nfs/dbraw/zinc/07/67/32/409076732.db2.gz WHVOWALFAVVHPE-GXFFZTMASA-N -1 1 317.349 1.437 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H](CO)CC(C)(C)C)ccnc1-2 ZINC000287705443 409079631 /nfs/dbraw/zinc/07/96/31/409079631.db2.gz RUELDACQHVWCJD-FZUCCHQLSA-N -1 1 305.382 1.260 20 0 DDADMM CC(C)C[C@H]1COCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283148665 409097393 /nfs/dbraw/zinc/09/73/93/409097393.db2.gz SMXHHNLCRWQUGO-LBPRGKRZSA-N -1 1 320.418 1.905 20 0 DDADMM C[C@@H](NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C1CCOCC1 ZINC000279320957 409192011 /nfs/dbraw/zinc/19/20/11/409192011.db2.gz MXZWATUGAHBPHH-ICHPLGEASA-N -1 1 303.366 1.278 20 0 DDADMM O=C(NC[C@H]1CC[C@@H](CO)O1)c1cc2ccccc2cc1[O-] ZINC000293666232 409156581 /nfs/dbraw/zinc/15/65/81/409156581.db2.gz LYIGRRFSNNSIRO-KGLIPLIRSA-N -1 1 301.342 1.815 20 0 DDADMM CCC(CC)[C@H](O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283800229 409218741 /nfs/dbraw/zinc/21/87/41/409218741.db2.gz WAVXQWJJJBXPTP-LLVKDONJSA-N -1 1 308.407 1.545 20 0 DDADMM COC(=O)[C@H](c1ccsc1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000289398721 409262682 /nfs/dbraw/zinc/26/26/82/409262682.db2.gz KVDZXKFNXZCXLY-ONGXEEELSA-N -1 1 322.390 1.253 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)[C@@H]2CCO[C@H]21 ZINC000295987354 409391856 /nfs/dbraw/zinc/39/18/56/409391856.db2.gz WWHFAPBYIWXKME-MVWJERBFSA-N -1 1 318.402 1.561 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])CN(C(=O)NCc2ccc3cncn3c2)C1 ZINC000263104627 163916242 /nfs/dbraw/zinc/91/62/42/163916242.db2.gz BFDAJGJIRHTJEK-AAEUAGOBSA-N -1 1 316.361 1.587 20 0 DDADMM Nc1ncccc1C[N@H+]1CCC[C@H](CNC(=O)C(F)(F)F)C1 ZINC000295158199 409348809 /nfs/dbraw/zinc/34/88/09/409348809.db2.gz CWRYNASFSGYFRS-SNVBAGLBSA-N -1 1 316.327 1.554 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]2C(F)F)o1 ZINC000343397138 164086558 /nfs/dbraw/zinc/08/65/58/164086558.db2.gz INWWMAOPBQMETC-SSDOTTSWSA-N -1 1 308.306 1.057 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCCC[C@@H]2C)co1 ZINC000407997118 164193548 /nfs/dbraw/zinc/19/35/48/164193548.db2.gz ODDASGIPAUJKLA-JTQLQIEISA-N -1 1 300.380 1.592 20 0 DDADMM Cc1cc(CN2CCSCC2)ccc1NC(=O)CNC(=O)[O-] ZINC000285555965 409486854 /nfs/dbraw/zinc/48/68/54/409486854.db2.gz FJZGSTXYBLFTNC-UHFFFAOYSA-N -1 1 323.418 1.750 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H](O)C(C)(C)C3)cnc2n1 ZINC000408452951 164335926 /nfs/dbraw/zinc/33/59/26/164335926.db2.gz OYNQKNMYVARYKB-LBPRGKRZSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](O)C(C)(C)C3)c[n-]c2n1 ZINC000408452951 164335929 /nfs/dbraw/zinc/33/59/29/164335929.db2.gz OYNQKNMYVARYKB-LBPRGKRZSA-N -1 1 301.346 1.487 20 0 DDADMM C[C@H]1CCC[C@H](C)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000337893867 409548299 /nfs/dbraw/zinc/54/82/99/409548299.db2.gz BTACBTOMRNLBRQ-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCc2ccccc2C1 ZINC000337936384 409581405 /nfs/dbraw/zinc/58/14/05/409581405.db2.gz ABOSSBQGIQSXTM-UHFFFAOYSA-N -1 1 311.341 1.102 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1nc(C)c(C)s1)C(=O)OC ZINC000337964098 409610198 /nfs/dbraw/zinc/61/01/98/409610198.db2.gz KDXHGADEYBGUHH-UHFFFAOYSA-N -1 1 320.436 1.770 20 0 DDADMM COC(=O)NCCNC(=O)c1cc(Br)ccc1[O-] ZINC000308171177 409782699 /nfs/dbraw/zinc/78/26/99/409782699.db2.gz RMGHNHBAHFSROW-UHFFFAOYSA-N -1 1 317.139 1.241 20 0 DDADMM O=C(Nc1ccc(-n2nn[n-]c2=O)cc1)c1cn[nH]c1C1CC1 ZINC000357001370 409779748 /nfs/dbraw/zinc/77/97/48/409779748.db2.gz SCNIITWORWPKHK-UHFFFAOYSA-N -1 1 311.305 1.221 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CC3CCC2CC3)c1 ZINC000338231000 409821778 /nfs/dbraw/zinc/82/17/78/409821778.db2.gz SKKPFJXSZHCNAN-CBINBANVSA-N -1 1 313.375 1.923 20 0 DDADMM CC[C@@H](C)c1cc(C(=O)[N-]c2n[nH]c(CC(=O)OC)n2)on1 ZINC000357067523 409835113 /nfs/dbraw/zinc/83/51/13/409835113.db2.gz FGFCPRYJEWMMRU-SSDOTTSWSA-N -1 1 307.310 1.274 20 0 DDADMM CC[C@H](O)[C@H](CC)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000313140010 409799916 /nfs/dbraw/zinc/79/99/16/409799916.db2.gz HOKALVWOBXVYPI-IUCAKERBSA-N -1 1 311.325 1.932 20 0 DDADMM COc1ccccc1CNC(=O)CN1CCC[C@@H](CC(=O)[O-])C1 ZINC000320471149 409906260 /nfs/dbraw/zinc/90/62/60/409906260.db2.gz MLXGVGKIVXTWQS-ZDUSSCGKSA-N -1 1 320.389 1.498 20 0 DDADMM C[C@H]1CN(CC(=O)N2CCc3ccccc32)CC[C@H]1C(=O)[O-] ZINC000318876141 409880708 /nfs/dbraw/zinc/88/07/08/409880708.db2.gz LIAWQKUTGIMUFZ-GXTWGEPZSA-N -1 1 302.374 1.618 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC[C@H]1CCC[C@H](C)C1 ZINC000349631591 409891426 /nfs/dbraw/zinc/89/14/26/409891426.db2.gz XFMOOPFMTKZCEX-GXSJLCMTSA-N -1 1 301.412 1.890 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@H]1CCC[C@H](C)C1 ZINC000349631591 409891432 /nfs/dbraw/zinc/89/14/32/409891432.db2.gz XFMOOPFMTKZCEX-GXSJLCMTSA-N -1 1 301.412 1.890 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc(C)cc2C)C1 ZINC000324579170 409926217 /nfs/dbraw/zinc/92/62/17/409926217.db2.gz WZGFXDYRGBCPMI-QGZVFWFLSA-N -1 1 320.389 1.665 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3cncs3)no2)o1 ZINC000350926143 410015512 /nfs/dbraw/zinc/01/55/12/410015512.db2.gz PIUQQHLROVXFNE-UHFFFAOYSA-N -1 1 312.332 1.361 20 0 DDADMM NC(=O)c1[nH]nnc1NC(=O)C1(Cc2ccc(F)cc2)CC1 ZINC000297776439 409996890 /nfs/dbraw/zinc/99/68/90/409996890.db2.gz NELBPZKQEVTKDG-UHFFFAOYSA-N -1 1 303.297 1.004 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)C[C@H](C)[C@H]2C)co1 ZINC000354739070 410053929 /nfs/dbraw/zinc/05/39/29/410053929.db2.gz VAQWCZMSGYZGMW-OUAUKWLOSA-N -1 1 314.407 1.694 20 0 DDADMM C[C@@H]1CSCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000192272096 306725240 /nfs/dbraw/zinc/72/52/40/306725240.db2.gz ZKVDIZKECCSZOX-JTQLQIEISA-N -1 1 303.387 1.748 20 0 DDADMM CC(C)OCc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354757539 410061905 /nfs/dbraw/zinc/06/19/05/410061905.db2.gz COJJMTOGCLXVEV-UHFFFAOYSA-N -1 1 303.366 1.790 20 0 DDADMM CC(C)(NC(=O)Cc1ccccc1OC(F)F)c1nn[n-]n1 ZINC000354778094 410080237 /nfs/dbraw/zinc/08/02/37/410080237.db2.gz SNQWWCHSYIYIJO-UHFFFAOYSA-N -1 1 311.292 1.395 20 0 DDADMM CC(C)(C)[C@@H](O)C[C@@H](CO)N=c1nc(C(F)(F)F)[n-]s1 ZINC000343059093 410110416 /nfs/dbraw/zinc/11/04/16/410110416.db2.gz GJEUOIZMLQSYEI-BQBZGAKWSA-N -1 1 313.345 1.549 20 0 DDADMM CN(C)c1nnc(Sc2nc3c(cnn3C)c(=O)[n-]2)s1 ZINC000329124433 410116203 /nfs/dbraw/zinc/11/62/03/410116203.db2.gz SGVLLIKRUCPAFB-UHFFFAOYSA-N -1 1 309.380 1.138 20 0 DDADMM COC1(CCNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCC1 ZINC000298269170 410159412 /nfs/dbraw/zinc/15/94/12/410159412.db2.gz AWJQAAGBUDBYPZ-UNOMPAQXSA-N -1 1 303.366 1.423 20 0 DDADMM CC(C)c1nc2n(n1)C[C@H](NC(=O)c1c([O-])cccc1F)CC2 ZINC000332728908 410237085 /nfs/dbraw/zinc/23/70/85/410237085.db2.gz ZHKSKZGZUUBVFC-SNVBAGLBSA-N -1 1 318.352 1.991 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@H](O)CC(C)(C)C3)ccnc1-2 ZINC000298468826 410242684 /nfs/dbraw/zinc/24/26/84/410242684.db2.gz OUELICARMBXQEJ-UQGHUHRHSA-N -1 1 317.393 1.357 20 0 DDADMM COc1ccc(NC(=O)c2c[nH]nc2C)cc1[N-]S(C)(=O)=O ZINC000357784636 410246113 /nfs/dbraw/zinc/24/61/13/410246113.db2.gz MZJABYUUKAXAAY-UHFFFAOYSA-N -1 1 324.362 1.351 20 0 DDADMM O=C(N[C@H]1CCC[C@H](CO)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000298492421 410257196 /nfs/dbraw/zinc/25/71/96/410257196.db2.gz VCIHDDKNTJWWBX-ONGXEEELSA-N -1 1 306.391 1.299 20 0 DDADMM O=C(NCCN1CCC(O)CC1)c1cc(F)cc(Cl)c1[O-] ZINC000298530846 410276509 /nfs/dbraw/zinc/27/65/09/410276509.db2.gz VNKCLAJPUPKXIH-UHFFFAOYSA-N -1 1 316.760 1.371 20 0 DDADMM O=C(c1conc1C1CC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351856286 410294465 /nfs/dbraw/zinc/29/44/65/410294465.db2.gz RARUJDKHYSNOOH-UHFFFAOYSA-N -1 1 304.306 1.660 20 0 DDADMM [O-]C(=Cc1ccc(O)cc1)Nc1nc(-c2cccnc2)ns1 ZINC000339600087 410319983 /nfs/dbraw/zinc/31/99/83/410319983.db2.gz ZEFCHDVQRMJCRN-UHFFFAOYSA-N -1 1 312.354 1.909 20 0 DDADMM C[C@@H]1CCCC[C@@H]1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000343324872 410358361 /nfs/dbraw/zinc/35/83/61/410358361.db2.gz RKXDDNFDXGIMRJ-VXGBXAGGSA-N -1 1 305.378 1.460 20 0 DDADMM CC[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C1CCOCC1 ZINC000358389662 410458996 /nfs/dbraw/zinc/45/89/96/410458996.db2.gz AAAPHISYIZMKDH-CYBMUJFWSA-N -1 1 318.377 1.609 20 0 DDADMM CSC[C@H]1CCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000347313188 410464645 /nfs/dbraw/zinc/46/46/45/410464645.db2.gz QKCFQQLAEUYLBS-JTQLQIEISA-N -1 1 320.418 1.889 20 0 DDADMM C[C@H]1CCCC[C@@H]1CCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000343408954 410428948 /nfs/dbraw/zinc/42/89/48/410428948.db2.gz ZIJKPTBMSZKLAY-QWHCGFSZSA-N -1 1 319.405 1.850 20 0 DDADMM COc1cc2c(cc1/C=C/c1cc(=O)n3[n-]cnc3n1)OCO2 ZINC000352034842 410434153 /nfs/dbraw/zinc/43/41/53/410434153.db2.gz IKWPNNHIHCBTAJ-NSCUHMNNSA-N -1 1 312.285 1.325 20 0 DDADMM CCC1CCC(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CC1 ZINC000352151582 410513874 /nfs/dbraw/zinc/51/38/74/410513874.db2.gz ZGDSYEIURCSCAD-UHFFFAOYSA-N -1 1 309.366 1.843 20 0 DDADMM O=C(NCc1ccc(Cn2cncn2)cc1)c1cncc([O-])c1 ZINC000339837334 410491953 /nfs/dbraw/zinc/49/19/53/410491953.db2.gz UBLRHTMSSWXBOX-UHFFFAOYSA-N -1 1 309.329 1.357 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@@H]1CCCO1 ZINC000333424805 410540556 /nfs/dbraw/zinc/54/05/56/410540556.db2.gz JBHFBRWQISGGPJ-MNOVXSKESA-N -1 1 320.436 1.232 20 0 DDADMM O=S(=O)([N-]C1CCC(CO)CC1)c1cc(F)c(F)cc1F ZINC000340057931 410646091 /nfs/dbraw/zinc/64/60/91/410646091.db2.gz MDOILASEBBMKCO-UHFFFAOYSA-N -1 1 323.336 1.933 20 0 DDADMM CC(=O)Nc1cccc(OCCNC(=O)c2ncccc2[O-])c1 ZINC000355701149 410648163 /nfs/dbraw/zinc/64/81/63/410648163.db2.gz UGXLGHFUHYMNHT-UHFFFAOYSA-N -1 1 315.329 1.554 20 0 DDADMM CCC[C@H](C)CS(=O)(=O)[N-][C@]1(C(=O)OC)CCSC1 ZINC000340070910 410655857 /nfs/dbraw/zinc/65/58/57/410655857.db2.gz NZULQOARZBRJMW-CMPLNLGQSA-N -1 1 309.453 1.391 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CC(=O)N(C(CC)CC)C1)c1nn[n-]n1 ZINC000343739087 410657852 /nfs/dbraw/zinc/65/78/52/410657852.db2.gz YPVAVLQNWUPBJU-JQWIXIFHSA-N -1 1 322.413 1.194 20 0 DDADMM Cc1nc(-c2ccc(NCC(C)(C)C(N)=O)nc2)[n-]c(=O)c1C ZINC000301379585 410708503 /nfs/dbraw/zinc/70/85/03/410708503.db2.gz TVNKXUHGRSPJIB-UHFFFAOYSA-N -1 1 315.377 1.784 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc(CCC(C)C)no1 ZINC000340196712 410742872 /nfs/dbraw/zinc/74/28/72/410742872.db2.gz PYBBDRCGMGNFHY-UHFFFAOYSA-N -1 1 305.400 1.114 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@H]2CCCC[C@@H]2C1 ZINC000343773578 410687812 /nfs/dbraw/zinc/68/78/12/410687812.db2.gz YUQDIQWTGOLCMZ-VXGBXAGGSA-N -1 1 303.362 1.166 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2c[nH]cn2)c(=O)[n-]1 ZINC000359686186 410813967 /nfs/dbraw/zinc/81/39/67/410813967.db2.gz UXTAGIZXKCVVPL-UHFFFAOYSA-N -1 1 307.379 1.185 20 0 DDADMM COc1ccc2nc(C)nc(NCCCc3nc(=O)[n-][nH]3)c2c1 ZINC000301889709 410823362 /nfs/dbraw/zinc/82/33/62/410823362.db2.gz STIJJFBCVPLJMD-UHFFFAOYSA-N -1 1 314.349 1.403 20 0 DDADMM CC[C@H](C)[C@@H](OC)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000356115278 410845822 /nfs/dbraw/zinc/84/58/22/410845822.db2.gz ICQIMABPKFUBFM-BJOHPYRUSA-N -1 1 320.393 1.729 20 0 DDADMM CC(C)(C)c1nc(CC(=O)NC(C)(C)c2nn[n-]n2)cs1 ZINC000359781117 410875633 /nfs/dbraw/zinc/87/56/33/410875633.db2.gz DBISIAKDXLICSL-UHFFFAOYSA-N -1 1 308.411 1.548 20 0 DDADMM CC(C)(NC(=O)CCOc1ccccc1Cl)c1nn[n-]n1 ZINC000359782134 410877375 /nfs/dbraw/zinc/87/73/75/410877375.db2.gz HDHAYTGSIUTNMM-UHFFFAOYSA-N -1 1 309.757 1.674 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc2c(s1)CCCCC2 ZINC000348275813 410888673 /nfs/dbraw/zinc/88/86/73/410888673.db2.gz JNEUITIQJYESST-UHFFFAOYSA-N -1 1 303.391 1.949 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc2c(c(Cl)c1)OCO2 ZINC000348273964 410889715 /nfs/dbraw/zinc/88/97/15/410889715.db2.gz HUFGRVQOWUKMMY-UHFFFAOYSA-N -1 1 307.697 1.001 20 0 DDADMM Cc1ccc(C(C)C)c(OCC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000348289992 410900540 /nfs/dbraw/zinc/90/05/40/410900540.db2.gz DDRHYRVICAGCQQ-UHFFFAOYSA-N -1 1 315.377 1.816 20 0 DDADMM O=C(Cc1cc(Cl)ccc1Cl)NC1(c2nn[n-]n2)CC1 ZINC000348296857 410904755 /nfs/dbraw/zinc/90/47/55/410904755.db2.gz IHJLMUIVUGKHKZ-UHFFFAOYSA-N -1 1 312.160 1.855 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(C(=O)c2cc[nH]c2)cc1 ZINC000348298593 410906438 /nfs/dbraw/zinc/90/64/38/410906438.db2.gz RIIJIXISVYDQPF-UHFFFAOYSA-N -1 1 322.328 1.178 20 0 DDADMM Cc1cc2c(cc1C)[C@H](C(=O)NC(C)(C)c1nn[n-]n1)CO2 ZINC000353349274 410935660 /nfs/dbraw/zinc/93/56/60/410935660.db2.gz GJYXVDOSSCBMFB-LLVKDONJSA-N -1 1 301.350 1.344 20 0 DDADMM COCCCOC1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000331151395 410979612 /nfs/dbraw/zinc/97/96/12/410979612.db2.gz ARIXZZNAQBSMCO-UHFFFAOYSA-N -1 1 308.378 1.753 20 0 DDADMM CC[C@@H](CNC(=O)CCc1nn[n-]n1)Oc1cccc(C)c1 ZINC000631639872 422862699 /nfs/dbraw/zinc/86/26/99/422862699.db2.gz ROOGNXOGNJXTKD-LBPRGKRZSA-N -1 1 303.366 1.415 20 0 DDADMM CCN(CC)C(=O)N1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000331179745 410998577 /nfs/dbraw/zinc/99/85/77/410998577.db2.gz YPOLEHWFFYQRDP-UHFFFAOYSA-N -1 1 320.393 1.315 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)c3nccn4ccnc34)n2)o1 ZINC000353472182 411008647 /nfs/dbraw/zinc/00/86/47/411008647.db2.gz AOWHFAKYIIBIEE-UHFFFAOYSA-N -1 1 309.289 1.668 20 0 DDADMM CCC1(CC)CN(C(=O)c2ncccc2[O-])CCS1(=O)=O ZINC000331204748 411015326 /nfs/dbraw/zinc/01/53/26/411015326.db2.gz XEAPNHAAWAAWHP-UHFFFAOYSA-N -1 1 312.391 1.217 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)NCC[C@H](C)F ZINC000356658017 411119070 /nfs/dbraw/zinc/11/90/70/411119070.db2.gz UNYUIFITIYFWNR-VIFPVBQESA-N -1 1 319.340 1.354 20 0 DDADMM NC(=O)c1cc(C(=O)[N-]c2nnc(-c3ccco3)s2)co1 ZINC000353750122 411123257 /nfs/dbraw/zinc/12/32/57/411123257.db2.gz ARSNFRFRFKITAL-UHFFFAOYSA-N -1 1 304.287 1.742 20 0 DDADMM COc1ccc(C[N-]S(=O)(=O)c2conc2C)cc1OC ZINC000631643741 422865036 /nfs/dbraw/zinc/86/50/36/422865036.db2.gz NDAMIHNRAIFXCC-UHFFFAOYSA-N -1 1 312.347 1.479 20 0 DDADMM O=C([O-])CCCNC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000580048999 422877314 /nfs/dbraw/zinc/87/73/14/422877314.db2.gz NQHIMMBKEHTZLN-UHFFFAOYSA-N -1 1 321.312 1.275 20 0 DDADMM O=C([O-])CCCNC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000580048999 422877318 /nfs/dbraw/zinc/87/73/18/422877318.db2.gz NQHIMMBKEHTZLN-UHFFFAOYSA-N -1 1 321.312 1.275 20 0 DDADMM Cc1ccc(CC[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)o1 ZINC000631741723 422901323 /nfs/dbraw/zinc/90/13/23/422901323.db2.gz BXMJKXXQPDFHSY-UHFFFAOYSA-N -1 1 323.296 1.851 20 0 DDADMM CCCN(CCC)C(=O)CCC(=O)Nc1[nH]cnc1C(=O)OC ZINC000580582671 422943432 /nfs/dbraw/zinc/94/34/32/422943432.db2.gz RYEZAUBZNJYVIK-UHFFFAOYSA-N -1 1 324.381 1.564 20 0 DDADMM Cc1cccc(S(=O)(=O)CCCN(C)C[C@@H](C)C(=O)[O-])c1 ZINC000652358845 422975724 /nfs/dbraw/zinc/97/57/24/422975724.db2.gz QXVSPIDPHDEJMV-CYBMUJFWSA-N -1 1 313.419 1.811 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H]1O)c1c(F)cccc1Cl ZINC000132896668 196294859 /nfs/dbraw/zinc/29/48/59/196294859.db2.gz SXSQBJFHPZSWNM-KCJUWKMLSA-N -1 1 307.774 1.918 20 0 DDADMM Nc1n[nH]c(C2CCN(C(=O)c3ccc([O-])cc3F)CC2)n1 ZINC000647732281 423017883 /nfs/dbraw/zinc/01/78/83/423017883.db2.gz ZSVHXNYLUYTQLU-UHFFFAOYSA-N -1 1 305.313 1.082 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)[C@H]1CCCO1 ZINC000645461138 423042309 /nfs/dbraw/zinc/04/23/09/423042309.db2.gz NCMORXUARAKTHN-PSASIEDQSA-N -1 1 324.324 1.946 20 0 DDADMM COC[C@H](C)[C@H](C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000643165783 423048709 /nfs/dbraw/zinc/04/87/09/423048709.db2.gz GOAXFMCAAJCATE-GMXVVIOVSA-N -1 1 303.366 1.324 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cccc2c1O[C@@H](C)C(=O)N2 ZINC000647816776 423061403 /nfs/dbraw/zinc/06/14/03/423061403.db2.gz VFLMUKDMMWGUTE-ZETCQYMHSA-N -1 1 302.290 1.395 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2ccccc2F)CCOCC1 ZINC000650148544 423061547 /nfs/dbraw/zinc/06/15/47/423061547.db2.gz PWDDLRANJNBWGR-UHFFFAOYSA-N -1 1 303.355 1.763 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CSCN1C(=O)C1CCCC1 ZINC000647816797 423062138 /nfs/dbraw/zinc/06/21/38/423062138.db2.gz VZYGQVCWMWMIDF-JTQLQIEISA-N -1 1 324.406 1.454 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CNC(=O)c1cc2ccccc2[nH]1 ZINC000647816418 423058222 /nfs/dbraw/zinc/05/82/22/423058222.db2.gz IAVAQNOQINOECI-UHFFFAOYSA-N -1 1 313.317 1.274 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCOC1)c1ncccc1C(F)(F)F ZINC000645523201 423065715 /nfs/dbraw/zinc/06/57/15/423065715.db2.gz TXEXVOJIYLLERE-MRVPVSSYSA-N -1 1 310.297 1.558 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3ccc(O)cc3)no2)o1 ZINC000350586547 306753685 /nfs/dbraw/zinc/75/36/85/306753685.db2.gz WHNOWJXFEVMJHX-UHFFFAOYSA-N -1 1 321.314 1.610 20 0 DDADMM COCc1ncc(-c2noc(-c3ccc([O-])cc3F)n2)c(N)n1 ZINC000350765651 306756498 /nfs/dbraw/zinc/75/64/98/306756498.db2.gz VJKAICGWYUCKKA-UHFFFAOYSA-N -1 1 317.280 1.597 20 0 DDADMM C[C@H]1CCCN(S(=O)(=O)[N-]c2cc(OC(F)F)n(C)n2)C1 ZINC000488333664 416559626 /nfs/dbraw/zinc/55/96/26/416559626.db2.gz LWWDXDFMZUCRQR-QMMMGPOBSA-N -1 1 324.353 1.410 20 0 DDADMM C[C@H](NC(=O)c1csc(=NC2CC2)[n-]1)c1nccc(N)n1 ZINC000615718075 416894811 /nfs/dbraw/zinc/89/48/11/416894811.db2.gz TUMITGBPWNHPPB-ZETCQYMHSA-N -1 1 304.379 1.003 20 0 DDADMM CSc1nc(CNC(=O)C[C@H](C)n2cccn2)cc(=O)[n-]1 ZINC000640655765 423115314 /nfs/dbraw/zinc/11/53/14/423115314.db2.gz RSTKBGCXXLDBNB-VIFPVBQESA-N -1 1 307.379 1.368 20 0 DDADMM CO[C@@H](C(=O)NCc1cc(=O)[n-]c(SC)n1)C1CCCC1 ZINC000640655085 423115967 /nfs/dbraw/zinc/11/59/67/423115967.db2.gz KIKRFELIXHCGIS-GFCCVEGCSA-N -1 1 311.407 1.726 20 0 DDADMM CSc1nc(CNC(=O)CCCc2cccnc2)cc(=O)[n-]1 ZINC000640656386 423118391 /nfs/dbraw/zinc/11/83/91/423118391.db2.gz YWFWAUBTOAMJOY-UHFFFAOYSA-N -1 1 318.402 1.938 20 0 DDADMM Cc1noc(C)c1NS(=O)(=O)Cc1ccc(C(=O)[O-])cc1 ZINC000647922877 423119138 /nfs/dbraw/zinc/11/91/38/423119138.db2.gz PANQNJRZQZGQER-UHFFFAOYSA-N -1 1 310.331 1.932 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1 ZINC000630208478 417810766 /nfs/dbraw/zinc/81/07/66/417810766.db2.gz JVFZURSQTPDEQM-VIFPVBQESA-N -1 1 305.338 1.243 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]Cc1nnc(C2CC2)o1)C(C)C ZINC000645658340 423129418 /nfs/dbraw/zinc/12/94/18/423129418.db2.gz JHBBMERYFPPLLJ-JTQLQIEISA-N -1 1 303.384 1.037 20 0 DDADMM COC[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000652782745 423131806 /nfs/dbraw/zinc/13/18/06/423131806.db2.gz VNLLMJUMIBHCDA-VHSXEESVSA-N -1 1 319.279 1.633 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@H]1Oc2ccccc2[C@H]1C ZINC000366128059 418430668 /nfs/dbraw/zinc/43/06/68/418430668.db2.gz MZUZCTFBVVGDDW-PRHODGIISA-N -1 1 323.374 1.239 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1Oc2ccccc2[C@H]1C ZINC000366128059 418430670 /nfs/dbraw/zinc/43/06/70/418430670.db2.gz MZUZCTFBVVGDDW-PRHODGIISA-N -1 1 323.374 1.239 20 0 DDADMM O=c1cc(CN2CCC(OCC3CC3)CC2)nc2cc[n-]n21 ZINC000374096006 418487179 /nfs/dbraw/zinc/48/71/79/418487179.db2.gz WQOKBFZNLLSAQF-UHFFFAOYSA-N -1 1 302.378 1.414 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)NCc2ccccc2F)n1 ZINC000188115930 222006443 /nfs/dbraw/zinc/00/64/43/222006443.db2.gz YXCIFJBWZVCPFD-UHFFFAOYSA-N -1 1 321.377 1.880 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H](C)C(=O)Nc2cc(C)no2)n1 ZINC000188123429 222007381 /nfs/dbraw/zinc/00/73/81/222007381.db2.gz LKVIYNVDLZYKIC-QMMMGPOBSA-N -1 1 308.363 1.748 20 0 DDADMM CC(C)OC[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000368141748 418695059 /nfs/dbraw/zinc/69/50/59/418695059.db2.gz GASVQAXNLSOSIJ-JTQLQIEISA-N -1 1 315.316 1.936 20 0 DDADMM Cc1ccc(C(F)(F)C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000365009083 418831627 /nfs/dbraw/zinc/83/16/27/418831627.db2.gz FDABGNHUGNACJB-LLVKDONJSA-N -1 1 323.303 1.200 20 0 DDADMM CC1(C)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCCO1 ZINC000371739202 418813360 /nfs/dbraw/zinc/81/33/60/418813360.db2.gz KHIBGEYAKOBKGL-UHFFFAOYSA-N -1 1 301.346 1.564 20 0 DDADMM C[C@H](c1nc(-c2cccc(F)c2)no1)S(=O)(=O)c1nnc[n-]1 ZINC000364877456 418820853 /nfs/dbraw/zinc/82/08/53/418820853.db2.gz MRDXAUNHWAUPAK-SSDOTTSWSA-N -1 1 323.309 1.529 20 0 DDADMM C[C@H](c1nc(-c2cccc(F)c2)no1)S(=O)(=O)c1ncn[n-]1 ZINC000364877456 418820854 /nfs/dbraw/zinc/82/08/54/418820854.db2.gz MRDXAUNHWAUPAK-SSDOTTSWSA-N -1 1 323.309 1.529 20 0 DDADMM C[C@H](c1nc(-c2cccc(F)c2)no1)S(=O)(=O)c1nc[n-]n1 ZINC000364877456 418820856 /nfs/dbraw/zinc/82/08/56/418820856.db2.gz MRDXAUNHWAUPAK-SSDOTTSWSA-N -1 1 323.309 1.529 20 0 DDADMM CC(C)c1cccc(OCC(=O)Nc2nn[nH]c2C(N)=O)c1 ZINC000410856066 418852453 /nfs/dbraw/zinc/85/24/53/418852453.db2.gz DNYSJZZRTNFQII-UHFFFAOYSA-N -1 1 303.322 1.045 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CC[C@H]2C(=O)NC[C@H]2C1 ZINC000365726093 418899927 /nfs/dbraw/zinc/89/99/27/418899927.db2.gz INCOUMBDNLWJIZ-GXTWGEPZSA-N -1 1 310.353 1.754 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CC[C@H]2C(=O)NC[C@@H]2C1 ZINC000365726096 418900143 /nfs/dbraw/zinc/90/01/43/418900143.db2.gz INCOUMBDNLWJIZ-TZMCWYRMSA-N -1 1 310.353 1.754 20 0 DDADMM O=C(CSc1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000372155399 418840720 /nfs/dbraw/zinc/84/07/20/418840720.db2.gz FOGWYOKXIFBMNT-NSHDSACASA-N -1 1 303.391 1.698 20 0 DDADMM Cc1cccc(CC(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1Cl ZINC000372828402 418906873 /nfs/dbraw/zinc/90/68/73/418906873.db2.gz BSKSTTZFHJMVPS-NSHDSACASA-N -1 1 321.768 1.304 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCNC(=O)C(C)C)c(F)c1 ZINC000425146541 228373349 /nfs/dbraw/zinc/37/33/49/228373349.db2.gz XARDWDOYNHYJOU-UHFFFAOYSA-N -1 1 320.361 1.324 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@]2(O)CCO[C@@H]2C)c(F)c1 ZINC000425194895 228386598 /nfs/dbraw/zinc/38/65/98/228386598.db2.gz ROSDVGOWOWLYMH-NOZJJQNGSA-N -1 1 321.345 1.091 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2COc3ccc(F)cc3C2)c([O-])c1 ZINC000426381243 419453163 /nfs/dbraw/zinc/45/31/63/419453163.db2.gz NHMMDBJHTNKUGR-LBPRGKRZSA-N -1 1 302.305 1.968 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(-c2ncn(C)n2)cc1)OC ZINC000421151608 419503638 /nfs/dbraw/zinc/50/36/38/419503638.db2.gz KDXQLRTXBNFHSK-ZDUSSCGKSA-N -1 1 324.406 1.649 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cccc2c1ccn(C)c2=O)OC ZINC000421164232 419510627 /nfs/dbraw/zinc/51/06/27/419510627.db2.gz KDPKILNRPWXIGX-LLVKDONJSA-N -1 1 324.402 1.705 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2ccc(C)nn2)c1Br ZINC000412169633 419706927 /nfs/dbraw/zinc/70/69/27/419706927.db2.gz YTAIBIJPSGMIHZ-UHFFFAOYSA-N -1 1 310.155 1.507 20 0 DDADMM Cc1c(S(=O)(=O)[N-][C@@](C)(C2CC2)C(F)(F)F)cnn1C ZINC000427782470 419734459 /nfs/dbraw/zinc/73/44/59/419734459.db2.gz VFKTUYRJRHVFSN-JTQLQIEISA-N -1 1 311.329 1.738 20 0 DDADMM O=C([O-])CCCNC(=O)c1ccc(CN2CCSCC2)cc1 ZINC000428093050 419809511 /nfs/dbraw/zinc/80/95/11/419809511.db2.gz CUCDNJLNZOBWGV-UHFFFAOYSA-N -1 1 322.430 1.830 20 0 DDADMM Cc1cnc(C(=O)N[C@H](c2nc[nH]n2)c2ccccc2)c([O-])c1 ZINC000428023990 419789413 /nfs/dbraw/zinc/78/94/13/419789413.db2.gz RXZDIZXMXIUUSP-ZDUSSCGKSA-N -1 1 309.329 1.733 20 0 DDADMM CC[C@H]1C[C@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000421971898 419790611 /nfs/dbraw/zinc/79/06/11/419790611.db2.gz SBIVENTXXHYIQJ-ZJUUUORDSA-N -1 1 304.350 1.361 20 0 DDADMM C[C@H](N=c1[n-]c(C(N)=O)cs1)C(=O)N1Cc2ccccc2C1 ZINC000432136775 229081849 /nfs/dbraw/zinc/08/18/49/229081849.db2.gz DZLMOPSFFTUXAG-VIFPVBQESA-N -1 1 316.386 1.007 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(C)(C)COCC(F)F)n1 ZINC000415650186 420136136 /nfs/dbraw/zinc/13/61/36/420136136.db2.gz RKZDDWAMELHXGL-UHFFFAOYSA-N -1 1 319.308 1.833 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1c2ccccc2C[C@@H]1C ZINC000416157998 420260552 /nfs/dbraw/zinc/26/05/52/420260552.db2.gz JSIZWQHMHGTNFI-GZMMTYOYSA-N -1 1 315.329 1.560 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCc2ccccc21 ZINC000416155635 420261717 /nfs/dbraw/zinc/26/17/17/420261717.db2.gz DTLBJYSZGXDMGN-SNVBAGLBSA-N -1 1 315.329 1.356 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-][C@H]1C[NH2+]CCC1(F)F ZINC000420437455 420285692 /nfs/dbraw/zinc/28/56/92/420285692.db2.gz COGNZNXALARMHQ-QMMMGPOBSA-N -1 1 312.363 1.032 20 0 DDADMM CCCN1C[C@H](CN=c2nc(C(F)(F)F)[n-]s2)CC1=O ZINC000420647626 420340716 /nfs/dbraw/zinc/34/07/16/420340716.db2.gz CEVHHJSUNOEUHE-ZETCQYMHSA-N -1 1 308.329 1.649 20 0 DDADMM O=C(N[C@@H](CCO)C1CCOCC1)c1ccc(Cl)cc1[O-] ZINC000436613742 420343292 /nfs/dbraw/zinc/34/32/92/420343292.db2.gz XGYOMSYHGMKGAA-ZDUSSCGKSA-N -1 1 313.781 1.953 20 0 DDADMM Cc1cnn(CCC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000425301518 420343909 /nfs/dbraw/zinc/34/39/09/420343909.db2.gz MHEFNFKRCUIDNZ-LLVKDONJSA-N -1 1 305.338 1.076 20 0 DDADMM NC(=O)CCOc1ccc(NC(=O)c2ccc(O)cc2[O-])cc1 ZINC000436620851 420345366 /nfs/dbraw/zinc/34/53/66/420345366.db2.gz CDLKGFZDYKIVRZ-UHFFFAOYSA-N -1 1 316.313 1.604 20 0 DDADMM O=C(CNC(=O)C(=O)c1ccc([O-])cc1)N1Cc2ccccc2C1 ZINC000436633599 420346243 /nfs/dbraw/zinc/34/62/43/420346243.db2.gz IKYOWTMVLMUCAK-UHFFFAOYSA-N -1 1 324.336 1.234 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cn(C(C)C)nn2)c1 ZINC000436718944 420360250 /nfs/dbraw/zinc/36/02/50/420360250.db2.gz CBQGLWKGVIMFRH-UHFFFAOYSA-N -1 1 304.306 1.604 20 0 DDADMM COC(=O)[C@H](C)N(C)C(=O)c1ccc(Br)c([O-])c1 ZINC000435955597 420297642 /nfs/dbraw/zinc/29/76/42/420297642.db2.gz QKEUTMARJWLIIX-ZETCQYMHSA-N -1 1 316.151 1.788 20 0 DDADMM CON1CCC([N-]S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC000420749843 420378048 /nfs/dbraw/zinc/37/80/48/420378048.db2.gz MPHDKCXHSJBHBA-UHFFFAOYSA-N -1 1 306.334 1.269 20 0 DDADMM O=S(=O)(Cc1ncnn1CC(F)F)c1ccc([O-])cc1 ZINC000447190100 230342501 /nfs/dbraw/zinc/34/25/01/230342501.db2.gz BMMQJSHVPHUALI-UHFFFAOYSA-N -1 1 303.290 1.223 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCc3ncsc3C1)c2=O ZINC000438692177 420462489 /nfs/dbraw/zinc/46/24/89/420462489.db2.gz OYRDWBHBGOYJEP-UHFFFAOYSA-N -1 1 315.358 1.329 20 0 DDADMM O=C(CSc1ncc(-c2ccc(F)cc2)o1)Nc1nnn[n-]1 ZINC000439274796 420505327 /nfs/dbraw/zinc/50/53/27/420505327.db2.gz POSDBNUFDCJEHD-UHFFFAOYSA-N -1 1 320.309 1.725 20 0 DDADMM O=C(CSc1ncc(-c2ccc(F)cc2)o1)Nc1nn[n-]n1 ZINC000439274796 420505328 /nfs/dbraw/zinc/50/53/28/420505328.db2.gz POSDBNUFDCJEHD-UHFFFAOYSA-N -1 1 320.309 1.725 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C\CN(C)C)c1 ZINC000492343347 420529948 /nfs/dbraw/zinc/52/99/48/420529948.db2.gz SSWOUHGZOIBFFN-WAYWQWQTSA-N -1 1 312.391 1.242 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cnccc1-n1cc(C)cn1 ZINC000450982411 420575091 /nfs/dbraw/zinc/57/50/91/420575091.db2.gz CELNKNCOWMXTHF-NSHDSACASA-N -1 1 310.379 1.352 20 0 DDADMM NC(=O)C[C@@H]1COCCN1C(=O)c1cc2ccccc2cc1[O-] ZINC000457015858 420588088 /nfs/dbraw/zinc/58/80/88/420588088.db2.gz BKSZLTNQHMYLPC-CYBMUJFWSA-N -1 1 314.341 1.262 20 0 DDADMM COC(=O)c1c[n-]c(SCc2nnn(CC(F)(F)F)n2)n1 ZINC000440587153 420590716 /nfs/dbraw/zinc/59/07/16/420590716.db2.gz YMZDBRKJGFVLTQ-UHFFFAOYSA-N -1 1 322.272 1.037 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[O-])C(=O)NC ZINC000457057375 420598137 /nfs/dbraw/zinc/59/81/37/420598137.db2.gz RENCDGNDHQOEEM-SECBINFHSA-N -1 1 304.268 1.665 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(Br)c([O-])c1)C(=O)NC ZINC000457054979 420598927 /nfs/dbraw/zinc/59/89/27/420598927.db2.gz PXSLUXQCBLWXCG-SECBINFHSA-N -1 1 315.167 1.409 20 0 DDADMM O=C(C=Cc1ccc(Br)o1)NC1(c2nn[n-]n2)CC1 ZINC000493096008 420756213 /nfs/dbraw/zinc/75/62/13/420756213.db2.gz VDRJQKQLTJMIOE-RQOWECAXSA-N -1 1 324.138 1.374 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C=Cc1c[nH]cn1 ZINC000493214802 420789740 /nfs/dbraw/zinc/78/97/40/420789740.db2.gz GPSDVKOXXCTXMZ-ONEGZZNKSA-N -1 1 312.333 1.104 20 0 DDADMM Cc1ccc2nc(-c3ccc(S(N)(=O)=O)cn3)[n-]c(=O)c2c1 ZINC000487498865 421031371 /nfs/dbraw/zinc/03/13/71/421031371.db2.gz GYSFAAHNHWALDM-UHFFFAOYSA-N -1 1 316.342 1.353 20 0 DDADMM O=C(CC[C@H]1CCC(=O)N1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000449803511 421086515 /nfs/dbraw/zinc/08/65/15/421086515.db2.gz OCZVQHDOQQDGEC-LBPRGKRZSA-N -1 1 314.345 1.780 20 0 DDADMM CC[C@@](C)(CNC(=O)OC(C)(C)C)C(=O)[N-]OCC(C)(C)O ZINC000495914553 421091899 /nfs/dbraw/zinc/09/18/99/421091899.db2.gz ZNMOSRFWYXSVNM-HNNXBMFYSA-N -1 1 318.414 1.746 20 0 DDADMM CCC[C@@H]1CCC[C@@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000449833248 421092547 /nfs/dbraw/zinc/09/25/47/421092547.db2.gz GSFTZKBYAJNFOX-BDAKNGLRSA-N -1 1 300.384 1.363 20 0 DDADMM CCC[C@@H]1CCC[C@@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000449833248 421092549 /nfs/dbraw/zinc/09/25/49/421092549.db2.gz GSFTZKBYAJNFOX-BDAKNGLRSA-N -1 1 300.384 1.363 20 0 DDADMM CC(C)(C)C(=O)CCCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000449926625 421112828 /nfs/dbraw/zinc/11/28/28/421112828.db2.gz MPZUDFBZUJZJJW-UHFFFAOYSA-N -1 1 307.398 1.871 20 0 DDADMM CC(C)[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])[C@H]1C(C)C ZINC000456358689 421149621 /nfs/dbraw/zinc/14/96/21/421149621.db2.gz YOQVWXUIFFNIHR-WBMJQRKESA-N -1 1 319.405 1.656 20 0 DDADMM CSCc1cccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000546791159 421311639 /nfs/dbraw/zinc/31/16/39/421311639.db2.gz KAXOZHCLCNAFJL-UHFFFAOYSA-N -1 1 315.358 1.533 20 0 DDADMM CCS[C@@H]1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000545332311 421254533 /nfs/dbraw/zinc/25/45/33/421254533.db2.gz CCMYBWCZINXJGK-NXEZZACHSA-N -1 1 321.406 1.212 20 0 DDADMM O=C(NC[C@@H](O)[C@@H]1CCOC1)c1ccc2ccccc2c1[O-] ZINC000524244446 421255833 /nfs/dbraw/zinc/25/58/33/421255833.db2.gz AXAOYHXTQLKWCK-IUODEOHRSA-N -1 1 301.342 1.673 20 0 DDADMM CC(C)[C@H](C(=O)Nc1nnn[n-]1)[C@H](C)NC(=O)OC(C)(C)C ZINC000496762829 421313408 /nfs/dbraw/zinc/31/34/08/421313408.db2.gz GZWQMULJPRHNSJ-IUCAKERBSA-N -1 1 312.374 1.324 20 0 DDADMM CC(C)[C@H](C(=O)Nc1nn[n-]n1)[C@H](C)NC(=O)OC(C)(C)C ZINC000496762829 421313411 /nfs/dbraw/zinc/31/34/11/421313411.db2.gz GZWQMULJPRHNSJ-IUCAKERBSA-N -1 1 312.374 1.324 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(-c2ccccn2)no1)c1nn[n-]n1 ZINC000547488238 421336601 /nfs/dbraw/zinc/33/66/01/421336601.db2.gz PTVHJXQNUYDOGS-SECBINFHSA-N -1 1 313.321 1.125 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnc([C@H]2CCCO2)s1)c1nn[n-]n1 ZINC000547488386 421336730 /nfs/dbraw/zinc/33/67/30/421336730.db2.gz YGOJMUNBFUAZMC-DTWKUNHWSA-N -1 1 322.394 1.383 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)N[C@@H]2CCCc3cn[nH]c32)s[n-]1 ZINC000562017789 421340145 /nfs/dbraw/zinc/34/01/45/421340145.db2.gz JSCDSBDQIJDGKR-IONNQARKSA-N -1 1 322.394 1.590 20 0 DDADMM O=C(c1c[nH]c(=O)c2ccccc12)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000527050829 421352085 /nfs/dbraw/zinc/35/20/85/421352085.db2.gz UMHNDLGMDWJMGK-SNVBAGLBSA-N -1 1 324.344 1.473 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccccc2SC)[n-]n1C ZINC000547702539 421356473 /nfs/dbraw/zinc/35/64/73/421356473.db2.gz LEFGXIYZEJYUBP-UHFFFAOYSA-N -1 1 313.404 1.373 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(Br)c[nH]1)c1nn[n-]n1 ZINC000547811330 421370903 /nfs/dbraw/zinc/37/09/03/421370903.db2.gz WYXOREILLBQVHU-LURJTMIESA-N -1 1 313.159 1.166 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccnc(OC(F)F)c1 ZINC000562526352 421376703 /nfs/dbraw/zinc/37/67/03/421376703.db2.gz NESPLWAPVWCQIE-UHFFFAOYSA-N -1 1 324.291 1.395 20 0 DDADMM O=C(Nc1cc(F)cc(Cl)c1[O-])[C@H]1C[N@H+]2CCN1CCC2 ZINC000548442451 421440184 /nfs/dbraw/zinc/44/01/84/421440184.db2.gz QSLQNCBSDQSOOC-GFCCVEGCSA-N -1 1 313.760 1.513 20 0 DDADMM CO[C@H]1C[C@H](C(=O)[O-])N(CCOCCOc2ccccc2)C1 ZINC000515855934 421519438 /nfs/dbraw/zinc/51/94/38/421519438.db2.gz MMPRVTNIGRBFHN-LSDHHAIUSA-N -1 1 309.362 1.256 20 0 DDADMM COc1ccccc1[C@H](CNc1ccc(C(=O)[O-])nn1)N(C)C ZINC000563205061 421471647 /nfs/dbraw/zinc/47/16/47/421471647.db2.gz DGSGPUXFTHRKFF-ZDUSSCGKSA-N -1 1 316.361 1.320 20 0 DDADMM COc1nc(NCCC[N@H+]2CCCO[C@H](C)C2)ccc1C(=O)[O-] ZINC000563208137 421472407 /nfs/dbraw/zinc/47/24/07/421472407.db2.gz PWZCYRDZHGZQCP-GFCCVEGCSA-N -1 1 323.393 1.123 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1n[nH]cc1Br ZINC000563224283 421475529 /nfs/dbraw/zinc/47/55/29/421475529.db2.gz AMKHOJCJURCRES-UHFFFAOYSA-N -1 1 324.200 1.341 20 0 DDADMM CO[C@@H]1C[C@H](CC(=O)[O-])N(Cc2cn3cc(C)ccc3n2)C1 ZINC000563289418 421482067 /nfs/dbraw/zinc/48/20/67/421482067.db2.gz NBNKUCANIFUEFK-ZIAGYGMSSA-N -1 1 303.362 1.707 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)N2CCNC[C@H]2C(C)C)s1 ZINC000528666744 421505234 /nfs/dbraw/zinc/50/52/34/421505234.db2.gz YVVFBKWSFFVAMF-NSHDSACASA-N -1 1 311.455 1.764 20 0 DDADMM O=C([C@H]1CCC[N@@H+]1Cc1ncccc1O)N1CCSCC1 ZINC000517262498 421606361 /nfs/dbraw/zinc/60/63/61/421606361.db2.gz QWUUAHORHHUHBE-CYBMUJFWSA-N -1 1 307.419 1.327 20 0 DDADMM CN(C)c1cccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000556663611 421718959 /nfs/dbraw/zinc/71/89/59/421718959.db2.gz VTTXKTYOYDTZIL-LBPRGKRZSA-N -1 1 300.366 1.286 20 0 DDADMM O=C(Cc1cccc(F)c1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000537122672 421727958 /nfs/dbraw/zinc/72/79/58/421727958.db2.gz IQCOKVGWFMSXEQ-SNVBAGLBSA-N -1 1 307.304 1.427 20 0 DDADMM Cc1oc(C(C)C)nc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000556944059 421752793 /nfs/dbraw/zinc/75/27/93/421752793.db2.gz UOZXHFSVGSLTDW-SNVBAGLBSA-N -1 1 304.354 1.639 20 0 DDADMM Cn1[n-]c(CNC2(c3ccc(F)cc3F)CCCC2)nc1=O ZINC000542537416 421824584 /nfs/dbraw/zinc/82/45/84/421824584.db2.gz FJQGZQMURQNMAM-UHFFFAOYSA-N -1 1 308.332 1.946 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1nc2ccccc2s1)[C@H]1CCOC1 ZINC000572479212 421791718 /nfs/dbraw/zinc/79/17/18/421791718.db2.gz MGOKFMZMFIEOAS-ZJUUUORDSA-N -1 1 312.416 2.000 20 0 DDADMM C[C@H]([C@@H]1Cc2ccccc2O1)N(C)Cc1cc(C(=O)[O-])nn1C ZINC000635303767 421891755 /nfs/dbraw/zinc/89/17/55/421891755.db2.gz NOJKBWXZQJCRDW-BZNIZROVSA-N -1 1 315.373 1.942 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@@H](CCCO)c1ccccc1 ZINC000635309912 421897298 /nfs/dbraw/zinc/89/72/98/421897298.db2.gz VOLNMVBHAFGFJJ-AWEZNQCLSA-N -1 1 303.362 1.722 20 0 DDADMM C[C@H](CNC(=O)CCCc1nn[n-]n1)N1CCc2ccccc21 ZINC000635252692 421860884 /nfs/dbraw/zinc/86/08/84/421860884.db2.gz HJWBORAJNVMOJL-GFCCVEGCSA-N -1 1 314.393 1.090 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1Cc2ccccc2C[C@H]1C(=O)[O-] ZINC000630127317 421904876 /nfs/dbraw/zinc/90/48/76/421904876.db2.gz RCDSHOZJLMYYIG-NHYWBVRUSA-N -1 1 313.357 1.626 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)Cc2cccc(Cl)c2)CC1 ZINC000630222681 421972684 /nfs/dbraw/zinc/97/26/84/421972684.db2.gz HWIJMLIJFCIERA-LBPRGKRZSA-N -1 1 324.808 1.890 20 0 DDADMM Cc1oc(CN(C)C(=O)[C@H]2CCCN2C2CC2)cc1C(=O)[O-] ZINC000630132802 421908614 /nfs/dbraw/zinc/90/86/14/421908614.db2.gz PPYXRMJCSSFVRT-CQSZACIVSA-N -1 1 306.362 1.871 20 0 DDADMM CCOc1ccccc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630222473 421973101 /nfs/dbraw/zinc/97/31/01/421973101.db2.gz OHWNLVNHMPVEII-UHFFFAOYSA-N -1 1 320.389 1.706 20 0 DDADMM Cc1cccc(C)c1OCCNC(=O)CCCc1nn[n-]n1 ZINC000635331868 421918810 /nfs/dbraw/zinc/91/88/10/421918810.db2.gz VUVBDDROOPZHGP-UHFFFAOYSA-N -1 1 303.366 1.334 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NC[C@H](C(=O)[O-])c1ccc(F)cc1 ZINC000630177226 421938964 /nfs/dbraw/zinc/93/89/64/421938964.db2.gz HRKXNBZBTLURSD-YGRLFVJLSA-N -1 1 319.336 1.712 20 0 DDADMM COc1cc(OC2CC2)ccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000573301604 421944427 /nfs/dbraw/zinc/94/44/27/421944427.db2.gz JORHRGGCRHRMJR-UHFFFAOYSA-N -1 1 315.333 1.169 20 0 DDADMM C[C@H](CNC(=O)CCCc1nn[n-]n1)COCc1ccccc1 ZINC000635411993 421968475 /nfs/dbraw/zinc/96/84/75/421968475.db2.gz SJKOTCGUJGEBCP-CYBMUJFWSA-N -1 1 317.393 1.492 20 0 DDADMM CC(C)[C@@H]1CCO[C@@H]1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630221091 421971133 /nfs/dbraw/zinc/97/11/33/421971133.db2.gz SUHPTOONCFNMFL-IPYPFGDCSA-N -1 1 312.410 1.055 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCCC(C)(C)CO)c1 ZINC000632024910 422030372 /nfs/dbraw/zinc/03/03/72/422030372.db2.gz WQKPWERPFYQXNL-UHFFFAOYSA-N -1 1 317.407 1.478 20 0 DDADMM Cn1cc(Cl)cc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630225188 421976848 /nfs/dbraw/zinc/97/68/48/421976848.db2.gz HNLBOTRPMQSGRL-UHFFFAOYSA-N -1 1 313.785 1.300 20 0 DDADMM CCCc1cc(C(=O)N2CCc3occc3[C@H]2C(=O)[O-])n[nH]1 ZINC000630234790 421982741 /nfs/dbraw/zinc/98/27/41/421982741.db2.gz FWKNKNDBNCLDEK-ZDUSSCGKSA-N -1 1 303.318 1.779 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@H](O)C(F)(F)C1 ZINC000633619511 422004918 /nfs/dbraw/zinc/00/49/18/422004918.db2.gz ZMKGIDHWCLGZPV-NSHDSACASA-N -1 1 305.708 1.817 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1cccc(OC2CC2)c1F ZINC000633622983 422008286 /nfs/dbraw/zinc/00/82/86/422008286.db2.gz DONPKJWTUDTRNX-UHFFFAOYSA-N -1 1 305.313 1.129 20 0 DDADMM COc1ccc(-c2n[n-]c(S(=O)(=O)CC[C@H](C)O)n2)cc1 ZINC000581649269 422018558 /nfs/dbraw/zinc/01/85/58/422018558.db2.gz ONYJIJDKAUQWTR-VIFPVBQESA-N -1 1 311.363 1.025 20 0 DDADMM COc1ccc(-c2nc(S(=O)(=O)CC[C@H](C)O)n[n-]2)cc1 ZINC000581649269 422018562 /nfs/dbraw/zinc/01/85/62/422018562.db2.gz ONYJIJDKAUQWTR-VIFPVBQESA-N -1 1 311.363 1.025 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCSC1)c1c[nH]nc1C(F)(F)F ZINC000632069467 422064838 /nfs/dbraw/zinc/06/48/38/422064838.db2.gz VWXVLUFPXCDYNE-ZCFIWIBFSA-N -1 1 315.342 1.602 20 0 DDADMM CN(CCN(C)c1ccccc1)C(=O)CCCc1nn[n-]n1 ZINC000635536666 422084489 /nfs/dbraw/zinc/08/44/89/422084489.db2.gz QLCZBADVJNNVMF-UHFFFAOYSA-N -1 1 302.382 1.117 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@@H](O)[C@H](Oc2ccccc2)C1 ZINC000633807069 422092575 /nfs/dbraw/zinc/09/25/75/422092575.db2.gz FJTIVPNDGVYXAI-HUUCEWRRSA-N -1 1 300.314 1.052 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1C[C@@]1(F)c1ccccc1 ZINC000582109091 422110942 /nfs/dbraw/zinc/11/09/42/422110942.db2.gz LNJFAWYAONXMBC-MLGOLLRUSA-N -1 1 315.352 1.970 20 0 DDADMM COC[C@](C)(NCc1ncc(Br)cc1F)C(=O)[O-] ZINC000630456287 422113473 /nfs/dbraw/zinc/11/34/73/422113473.db2.gz NXCLSJHMUWZJQC-NSHDSACASA-N -1 1 321.146 1.563 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(OCC2CC2)cc1 ZINC000635561309 422114380 /nfs/dbraw/zinc/11/43/80/422114380.db2.gz SYIVHMKOLUWXPT-UHFFFAOYSA-N -1 1 301.350 1.950 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1c(C)cc(C)cc1C ZINC000633692772 422053355 /nfs/dbraw/zinc/05/33/55/422053355.db2.gz RQUCQXLLTHZAIX-UHFFFAOYSA-N -1 1 300.362 1.677 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)[C@@H]1CCCCO1 ZINC000632054216 422053677 /nfs/dbraw/zinc/05/36/77/422053677.db2.gz PQXMPPMKPFIEAP-NEPJUHHUSA-N -1 1 307.398 1.501 20 0 DDADMM O=C(CCc1nn[n-]n1)NC1(CCOCc2ccccc2)CC1 ZINC000632174124 422137868 /nfs/dbraw/zinc/13/78/68/422137868.db2.gz RZBUUAZYLIVCMU-UHFFFAOYSA-N -1 1 315.377 1.388 20 0 DDADMM CC(C)(C)[C@@H]1OCCC[C@H]1CNC(=O)CCCc1nn[n-]n1 ZINC000635597308 422147561 /nfs/dbraw/zinc/14/75/61/422147561.db2.gz QUJSNZGJPVHVOC-SMDDNHRTSA-N -1 1 309.414 1.480 20 0 DDADMM C[C@@H]1CN(C)c2ccccc2N(C(=O)CCCc2nn[n-]n2)C1 ZINC000635609691 422163935 /nfs/dbraw/zinc/16/39/35/422163935.db2.gz LPFDVEVRDIHVAW-GFCCVEGCSA-N -1 1 314.393 1.642 20 0 DDADMM CO[C@@]1(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)CCOC1 ZINC000632214624 422168953 /nfs/dbraw/zinc/16/89/53/422168953.db2.gz GPZFAQFRTLBSGW-LLVKDONJSA-N -1 1 311.306 1.301 20 0 DDADMM COC1(CS(=O)(=O)[N-]C[C@@](C)(O)C(F)(F)F)CCCC1 ZINC000632154389 422125383 /nfs/dbraw/zinc/12/53/83/422125383.db2.gz GNBHYUYIMOMLMJ-SECBINFHSA-N -1 1 319.345 1.178 20 0 DDADMM O=C(NC[C@@H](n1cncn1)C(F)(F)F)c1ccc([O-])c(F)c1 ZINC000633984117 422199094 /nfs/dbraw/zinc/19/90/94/422199094.db2.gz KOGBGWSDMWLWQX-SNVBAGLBSA-N -1 1 318.230 1.656 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](C)[C@@H](OC)C2)c1 ZINC000632260823 422202602 /nfs/dbraw/zinc/20/26/02/422202602.db2.gz WOTNMCVQERMFAB-MFKMUULPSA-N -1 1 315.391 1.446 20 0 DDADMM CC[C@@H](CNC(=O)CCCc1nn[n-]n1)Oc1ccccc1C ZINC000635654385 422208081 /nfs/dbraw/zinc/20/80/81/422208081.db2.gz DXEIYGALRKSSCA-ZDUSSCGKSA-N -1 1 317.393 1.805 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCS[C@H](C)CC2)c1 ZINC000632275201 422215042 /nfs/dbraw/zinc/21/50/42/422215042.db2.gz NGZAOXFOVQZPBS-SNVBAGLBSA-N -1 1 317.432 1.917 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)CC1(OC)CCCC1)[C@@H]1CCCO1 ZINC000632289307 422224359 /nfs/dbraw/zinc/22/43/59/422224359.db2.gz SBMNMIAQWHMDRV-OLZOCXBDSA-N -1 1 321.439 1.059 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C(=O)N1CCCC1 ZINC000632301791 422235083 /nfs/dbraw/zinc/23/50/83/422235083.db2.gz NIWLEVWJSCJUQB-QMMMGPOBSA-N -1 1 322.333 1.506 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(Cc1cccc(O)c1)CC1CC1 ZINC000635684574 422239083 /nfs/dbraw/zinc/23/90/83/422239083.db2.gz VBZXMRNCIXEWTR-UHFFFAOYSA-N -1 1 315.377 1.667 20 0 DDADMM Cc1ccsc1CNC(=O)CN1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000575059520 422247943 /nfs/dbraw/zinc/24/79/43/422247943.db2.gz ZLBUFXRYXIUTDI-PWSUYJOCSA-N -1 1 310.419 1.715 20 0 DDADMM CO[C@@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)[C@@](C)(CO)C1 ZINC000634077056 422257315 /nfs/dbraw/zinc/25/73/15/422257315.db2.gz ZTJNSINOADLFCX-XHDPSFHLSA-N -1 1 313.781 1.586 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCC[C@H]2CCO)c1 ZINC000632243995 422190500 /nfs/dbraw/zinc/19/05/00/422190500.db2.gz BTGDGDZRTWJQSU-JQWIXIFHSA-N -1 1 315.391 1.230 20 0 DDADMM COc1ccc2c(c1)C[C@H](C)N(C(=O)CCc1nn[n-]n1)[C@H]2C ZINC000632376091 422289689 /nfs/dbraw/zinc/28/96/89/422289689.db2.gz FFMWENLGPVWWHO-QWRGUYRKSA-N -1 1 315.377 1.675 20 0 DDADMM O=C(NC[C@@H]1CCCN1CC(F)F)C(=O)c1ccc([O-])cc1 ZINC000628620366 422262770 /nfs/dbraw/zinc/26/27/70/422262770.db2.gz OIWKWCFHQRTYNO-NSHDSACASA-N -1 1 312.316 1.421 20 0 DDADMM COc1ccc2ccccc2c1CNC(=O)CCc1nn[n-]n1 ZINC000630683717 422265904 /nfs/dbraw/zinc/26/59/04/422265904.db2.gz GQYNMONMVSJVNE-UHFFFAOYSA-N -1 1 311.345 1.611 20 0 DDADMM CN1C[C@H]2[C@@H](CCN2S(=O)(=O)c2cccc(Cl)c2[O-])C1 ZINC000632359510 422273311 /nfs/dbraw/zinc/27/33/11/422273311.db2.gz CKUXPVZOHALANC-ONGXEEELSA-N -1 1 316.810 1.370 20 0 DDADMM O=C(C[C@@H]1CCCS1(=O)=O)Nc1ccc([O-])c(Cl)c1 ZINC000635720947 422279777 /nfs/dbraw/zinc/27/97/77/422279777.db2.gz DSZHURZHNDUQDP-VIFPVBQESA-N -1 1 303.767 1.951 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC(C(F)(F)F)C2)c1 ZINC000632384200 422295530 /nfs/dbraw/zinc/29/55/30/422295530.db2.gz YPRVZTYJCMUUNN-UHFFFAOYSA-N -1 1 311.281 1.584 20 0 DDADMM Cc1cc2c(o1)CC(C)(C)C[C@H]2NC(=O)CCc1nn[n-]n1 ZINC000630754174 422314921 /nfs/dbraw/zinc/31/49/21/422314921.db2.gz NZHCYDQZZSMKRC-LLVKDONJSA-N -1 1 303.366 1.864 20 0 DDADMM C[C@@H]1CN(C(=O)CCc2nn[n-]n2)C[C@H](c2ccccc2)O1 ZINC000630826586 422357953 /nfs/dbraw/zinc/35/79/53/422357953.db2.gz KIWAOVVBUAPIRK-DGCLKSJQSA-N -1 1 301.350 1.121 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1nncn1C)CC(F)(F)F ZINC000632456705 422359091 /nfs/dbraw/zinc/35/90/91/422359091.db2.gz MGLFMNYKRXNKOA-ZETCQYMHSA-N -1 1 300.306 1.072 20 0 DDADMM COc1ccc(CC[C@@H](C)NC(=O)CCc2nn[n-]n2)cc1 ZINC000630839822 422366860 /nfs/dbraw/zinc/36/68/60/422366860.db2.gz LQTOSQAWRPSQNN-LLVKDONJSA-N -1 1 303.366 1.278 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1sccc1Br ZINC000630857227 422378075 /nfs/dbraw/zinc/37/80/75/422378075.db2.gz OIGBZDTXKWIYFG-UHFFFAOYSA-N -1 1 316.184 1.273 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)CC2=CCCOC2)c1 ZINC000632556322 422434165 /nfs/dbraw/zinc/43/41/65/422434165.db2.gz FTXPTQPYMMVKGU-UHFFFAOYSA-N -1 1 313.375 1.368 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC(O)(C2CC2)C2CC2)sn1 ZINC000632562074 422438225 /nfs/dbraw/zinc/43/82/25/422438225.db2.gz FSGPZMLVDCOZHU-UHFFFAOYSA-N -1 1 302.421 1.281 20 0 DDADMM Cc1cncc(CC[N-]S(=O)(=O)c2ccc(C(F)F)o2)n1 ZINC000632563495 422439529 /nfs/dbraw/zinc/43/95/29/422439529.db2.gz UVUZGUUPSYOITR-UHFFFAOYSA-N -1 1 317.317 1.837 20 0 DDADMM COCC1(CCNS(=O)(=O)c2cc(OC)ccc2[O-])CC1 ZINC000632497874 422393334 /nfs/dbraw/zinc/39/33/34/422393334.db2.gz SHMCVZGWIGDZOX-UHFFFAOYSA-N -1 1 315.391 1.496 20 0 DDADMM C[C@@H]1CCN([C@@H]2CCN(CC(F)(F)F)C2=O)C[C@@H]1C(=O)[O-] ZINC000578131511 422506037 /nfs/dbraw/zinc/50/60/37/422506037.db2.gz FNNWYEWXJAMQNQ-KXUCPTDWSA-N -1 1 308.300 1.192 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC(F)(F)C[C@H]1CO ZINC000632671641 422510478 /nfs/dbraw/zinc/51/04/78/422510478.db2.gz RDNPGVKGILHTLU-VIFPVBQESA-N -1 1 305.708 1.817 20 0 DDADMM C[C@@H]1CN(C(=O)CCCc2nn[n-]n2)Cc2ccccc2O1 ZINC000635957715 422512395 /nfs/dbraw/zinc/51/23/95/422512395.db2.gz OHOUQIWHDZHPDP-LLVKDONJSA-N -1 1 301.350 1.332 20 0 DDADMM O=C(Cc1ccc(O)c(Cl)c1)NCCN1CCCOCC1 ZINC000632686395 422520658 /nfs/dbraw/zinc/52/06/58/422520658.db2.gz OWGFWOOCRUKMCX-UHFFFAOYSA-N -1 1 312.797 1.427 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc([C@H]2CCOC2)cc1 ZINC000635968127 422520723 /nfs/dbraw/zinc/52/07/23/422520723.db2.gz SFLNXWMZCJZQGC-LBPRGKRZSA-N -1 1 301.350 1.665 20 0 DDADMM CCCc1[n-]n(-c2ccc(S(N)(=O)=O)cc2)c(=O)c1CC ZINC000634582135 422491944 /nfs/dbraw/zinc/49/19/44/422491944.db2.gz LERRFTNTMGCCSU-LBPRGKRZSA-N -1 1 309.391 1.863 20 0 DDADMM CN(C[C@H]1C[C@@H](O)C1)C(=O)c1ccc(Br)cc1[O-] ZINC000629257365 422562766 /nfs/dbraw/zinc/56/27/66/422562766.db2.gz HQLGYXNGTFLARG-WAAGHKOSSA-N -1 1 314.179 1.998 20 0 DDADMM COCCN(C(=O)Cc1ccc([O-])c(Cl)c1)[C@@H]1CCOC1 ZINC000632786801 422576711 /nfs/dbraw/zinc/57/67/11/422576711.db2.gz MPYMWTIDAZKPNE-GFCCVEGCSA-N -1 1 313.781 1.852 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC(Cn2cnnc2)CC1 ZINC000652848249 423171052 /nfs/dbraw/zinc/17/10/52/423171052.db2.gz RJVHJWJQOIFTKO-UHFFFAOYSA-N -1 1 322.315 1.814 20 0 DDADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-]c1cc(F)cc(N(C)C)c1 ZINC000650490892 423177574 /nfs/dbraw/zinc/17/75/74/423177574.db2.gz LPLTXSHBKSWKPS-VIFPVBQESA-N -1 1 317.386 1.110 20 0 DDADMM O=S(=O)(Cc1ccn(-c2ccc(Cl)cc2)n1)c1ncn[n-]1 ZINC000184803524 263390295 /nfs/dbraw/zinc/39/02/95/263390295.db2.gz JHUDHXSEZFOYHZ-UHFFFAOYSA-N -1 1 323.765 1.618 20 0 DDADMM O=S(=O)(Cc1ccn(-c2ccc(Cl)cc2)n1)c1nc[n-]n1 ZINC000184803524 263390296 /nfs/dbraw/zinc/39/02/96/263390296.db2.gz JHUDHXSEZFOYHZ-UHFFFAOYSA-N -1 1 323.765 1.618 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](C(=O)N[C@@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000653288297 423423165 /nfs/dbraw/zinc/42/31/65/423423165.db2.gz QBQUZTDPORYDIC-YNEHKIRRSA-N -1 1 314.345 1.511 20 0 DDADMM CC1(C(=O)[O-])CCN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CC1 ZINC000646158398 423372072 /nfs/dbraw/zinc/37/20/72/423372072.db2.gz PMVJVJRMKFQRCJ-SNVBAGLBSA-N -1 1 306.366 1.163 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000653306483 423434071 /nfs/dbraw/zinc/43/40/71/423434071.db2.gz ORLUDGJLWCXYKY-WDEREUQCSA-N -1 1 300.318 1.707 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H](C)CC(F)F)o1 ZINC000648963037 423567108 /nfs/dbraw/zinc/56/71/08/423567108.db2.gz LDOJRDBEIPVQIZ-SSDOTTSWSA-N -1 1 310.322 1.209 20 0 DDADMM C[C@H]1C(=O)N(C)CN1C(=O)c1ccc(Br)cc1[O-] ZINC000649159831 423654015 /nfs/dbraw/zinc/65/40/15/423654015.db2.gz WTXCATHBDVGGHY-ZETCQYMHSA-N -1 1 313.151 1.415 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(-c2nc[nH]n2)cc1)C(C)C ZINC000647127481 423762669 /nfs/dbraw/zinc/76/26/69/423762669.db2.gz PZUAEGGOZBUITA-ZDUSSCGKSA-N -1 1 324.406 1.884 20 0 DDADMM C[C@@H]1CCC[C@H](C)N1C(=O)CN1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639824535 423764335 /nfs/dbraw/zinc/76/43/35/423764335.db2.gz ISSZCBBXLHJHPQ-FRRDWIJNSA-N -1 1 321.425 1.451 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(C(=O)[O-])cc2)CCN1C[C@H](C)O ZINC000647142653 423784654 /nfs/dbraw/zinc/78/46/54/423784654.db2.gz VBDITCOKYGEQME-SWLSCSKDSA-N -1 1 320.389 1.302 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]Cc1noc(C)n1)C1CCCC1 ZINC000656918021 423875378 /nfs/dbraw/zinc/87/53/78/423875378.db2.gz JESLLYPOAIZDRW-LLVKDONJSA-N -1 1 303.384 1.003 20 0 DDADMM NC(=O)C[C@@H](NC(=O)c1ccc([O-])c(F)c1)c1ccccc1 ZINC000647376288 424008368 /nfs/dbraw/zinc/00/83/68/424008368.db2.gz REDUHUSLHCEKBB-CYBMUJFWSA-N -1 1 302.305 1.878 20 0 DDADMM O=C([O-])c1ccc(N[C@H]2CCCC[C@H]2N2CCOCC2)nc1 ZINC000647452431 424031334 /nfs/dbraw/zinc/03/13/34/424031334.db2.gz ZLLOXDWDRVOTLK-UONOGXRCSA-N -1 1 305.378 1.835 20 0 DDADMM COc1cc(F)cc(C[C@H](C)Nc2nc3[nH][n-]cc-3c(=O)n2)c1 ZINC000649565420 424039409 /nfs/dbraw/zinc/03/94/09/424039409.db2.gz PZLHITMPXOORBO-QMMMGPOBSA-N -1 1 317.324 1.623 20 0 DDADMM COCC[C@@H](COC)NC(=O)N=c1[n-]sc2ccccc21 ZINC000640337151 424355936 /nfs/dbraw/zinc/35/59/36/424355936.db2.gz AVGOVXCFVUWILI-JTQLQIEISA-N -1 1 309.391 1.891 20 0 DDADMM CO[C@@]1(CNC(=O)N=c2[n-]sc3ccccc32)CCOC1 ZINC000640339263 424360447 /nfs/dbraw/zinc/36/04/47/424360447.db2.gz OYDIQZFMNBPIFJ-CQSZACIVSA-N -1 1 307.375 1.645 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1cc(-c3cccs3)on1)C2 ZINC000662220697 424486232 /nfs/dbraw/zinc/48/62/32/424486232.db2.gz RXWIMINIQAPHNN-MEBBXXQBSA-N -1 1 320.370 1.936 20 0 DDADMM O=C([O-])CN1CC[C@@H](NC2(c3cccc(F)c3)CCC2)C1=O ZINC000662213478 424478902 /nfs/dbraw/zinc/47/89/02/424478902.db2.gz YIRDIBMYPBXLPQ-CYBMUJFWSA-N -1 1 306.337 1.480 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NC[C@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665307522 424778325 /nfs/dbraw/zinc/77/83/25/424778325.db2.gz JBBKRZBBBXJFIF-RNCFNFMXSA-N -1 1 308.382 1.449 20 0 DDADMM CCO[C@H](CC)c1noc(-c2ccc(S(=O)(=O)[N-]C)o2)n1 ZINC000665032435 424733972 /nfs/dbraw/zinc/73/39/72/424733972.db2.gz HRSWGQOYOMRXKU-MRVPVSSYSA-N -1 1 315.351 1.725 20 0 DDADMM Cn1ncc(Cl)c1S(=O)(=O)[N-][C@@H](C1CC1)C(F)(F)F ZINC000341890381 271233714 /nfs/dbraw/zinc/23/37/14/271233714.db2.gz UVAAXNIZGWCFBB-ZETCQYMHSA-N -1 1 317.720 1.693 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@@H]1c1ccccc1 ZINC000343163635 271666922 /nfs/dbraw/zinc/66/69/22/271666922.db2.gz NJSASUXKKJBZJS-CYBMUJFWSA-N -1 1 311.341 1.491 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc3c(c2)OCCO3)c([O-])c1 ZINC000344355138 272006365 /nfs/dbraw/zinc/00/63/65/272006365.db2.gz YUKOPGBRKSEBEA-UHFFFAOYSA-N -1 1 300.314 1.797 20 0 DDADMM CNC(=O)c1sc2[n-]c(-c3cscn3)nc(=O)c2c1C ZINC000432521278 277262419 /nfs/dbraw/zinc/26/24/19/277262419.db2.gz WEAXCDQQWQTKBO-UHFFFAOYSA-N -1 1 306.372 1.776 20 0 DDADMM CC(C)C[C@H](CNC(=O)N[C@@H]1CCc2[nH]cnc2C1)C(=O)[O-] ZINC000424393752 279155820 /nfs/dbraw/zinc/15/58/20/279155820.db2.gz BHUZVVIFGDPXSS-GHMZBOCLSA-N -1 1 308.382 1.313 20 0 DDADMM CC[C@H]1CN(C(=O)CCC(=O)[O-])CCN1Cc1ccccc1 ZINC000263408238 281130612 /nfs/dbraw/zinc/13/06/12/281130612.db2.gz IESKUOSNLXTZDU-HNNXBMFYSA-N -1 1 304.390 1.974 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1COc2ccc(F)cc2C1)c1nn[n-]n1 ZINC000121855908 281145677 /nfs/dbraw/zinc/14/56/77/281145677.db2.gz FETZICXATMVSNC-CMPLNLGQSA-N -1 1 319.340 1.548 20 0 DDADMM O=C(NCc1ccccc1N1CCOCC1)c1ncccc1[O-] ZINC000171279760 298231209 /nfs/dbraw/zinc/23/12/09/298231209.db2.gz DUYDSIHUASAATH-UHFFFAOYSA-N -1 1 313.357 1.554 20 0 DDADMM Cc1cc(CNC(=O)c2cc(=O)n3[n-]cnc3n2)ccc1Cl ZINC000354338672 298405183 /nfs/dbraw/zinc/40/51/83/298405183.db2.gz IKGCGNSYJMSVAU-UHFFFAOYSA-N -1 1 317.736 1.309 20 0 DDADMM COc1cc(NC(=O)c2c[nH]nc2C)ccc1[N-]S(C)(=O)=O ZINC000357883445 299012514 /nfs/dbraw/zinc/01/25/14/299012514.db2.gz RKEPCRCRKMCLIV-UHFFFAOYSA-N -1 1 324.362 1.351 20 0 DDADMM C[C@@H](COc1cccc(F)c1)Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000362569763 300099069 /nfs/dbraw/zinc/09/90/69/300099069.db2.gz ORMVOEFWHLHNLX-QMMMGPOBSA-N -1 1 303.297 1.451 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000363112240 300213176 /nfs/dbraw/zinc/21/31/76/300213176.db2.gz VJAAUZKUNWLXSE-LLVKDONJSA-N -1 1 316.279 1.645 20 0 DDADMM CC[C@@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000363790291 300308051 /nfs/dbraw/zinc/30/80/51/300308051.db2.gz RJZYAUAFMXZCMA-OLZOCXBDSA-N -1 1 319.340 1.433 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@H]1C[C@H]1c1nnc[nH]1 ZINC000363901294 300323165 /nfs/dbraw/zinc/32/31/65/300323165.db2.gz ZPZXRBIBNYTYIB-RITPCOANSA-N -1 1 324.138 1.410 20 0 DDADMM COc1ccc(NC(=O)C(C)(C)CO)cc1[N-]S(C)(=O)=O ZINC000575060473 304710291 /nfs/dbraw/zinc/71/02/91/304710291.db2.gz VDLMBILAIPLQIS-UHFFFAOYSA-N -1 1 316.379 1.024 20 0 DDADMM CS(=O)(=O)Nc1c[nH]nc1[C@@H]1CCC[N@@H+](Cc2ccco2)C1 ZINC000370074274 301271566 /nfs/dbraw/zinc/27/15/66/301271566.db2.gz UNAISJMVSDTGDI-LLVKDONJSA-N -1 1 324.406 1.754 20 0 DDADMM O=C(c1ccc(-n2cccc2)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370870497 301398480 /nfs/dbraw/zinc/39/84/80/301398480.db2.gz MKNJRLLBOHKVRM-CQSZACIVSA-N -1 1 324.344 1.204 20 0 DDADMM CN(C)c1noc([C@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC000373576214 301710936 /nfs/dbraw/zinc/71/09/36/301710936.db2.gz XMYRLVUNGZIIRL-SECBINFHSA-N -1 1 303.322 1.214 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@@](F)(CO)C3)cnc2n1 ZINC000377089702 302142593 /nfs/dbraw/zinc/14/25/93/302142593.db2.gz OFXQSSHHUZTNOX-INIZCTEOSA-N -1 1 319.336 1.580 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@@](F)(CO)C3)c[n-]c2n1 ZINC000377089702 302142595 /nfs/dbraw/zinc/14/25/95/302142595.db2.gz OFXQSSHHUZTNOX-INIZCTEOSA-N -1 1 319.336 1.580 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000408189917 302560820 /nfs/dbraw/zinc/56/08/20/302560820.db2.gz ZENLVYODTJXHOV-SRVKXCTJSA-N -1 1 319.405 1.631 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](O)C(C)(C)C1 ZINC000279969929 302561032 /nfs/dbraw/zinc/56/10/32/302561032.db2.gz YRVGKAJFZIQZQF-JTQLQIEISA-N -1 1 307.394 1.631 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ccc(C(C)=O)c(Cl)c1 ZINC000357081263 306870405 /nfs/dbraw/zinc/87/04/05/306870405.db2.gz NNZOJIVVVKKKDT-UHFFFAOYSA-N -1 1 319.766 1.847 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc([C@@H]3CC[C@H](C)O3)no2)co1 ZINC000528244965 303012213 /nfs/dbraw/zinc/01/22/13/303012213.db2.gz UYLJNARBXHHGDJ-CBAPKCEASA-N -1 1 313.335 1.478 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc([C@@H]3CC[C@@H](C)O3)no2)o1 ZINC000528254790 303012800 /nfs/dbraw/zinc/01/28/00/303012800.db2.gz WJVNCRVGORSDFL-SFYZADRCSA-N -1 1 313.335 1.478 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@H]1CCCO1)c1cc2ccccc2o1 ZINC000528487395 303033722 /nfs/dbraw/zinc/03/37/22/303033722.db2.gz MCMRVSPQIYSMHG-DGCLKSJQSA-N -1 1 311.359 1.251 20 0 DDADMM C[C@@]1(CNC(=O)c2ncccc2[O-])COc2ccccc2O1 ZINC000529618388 303142057 /nfs/dbraw/zinc/14/20/57/303142057.db2.gz SOGKWJLDCSFKAM-MRXNPFEDSA-N -1 1 300.314 1.747 20 0 DDADMM CCOC[C@@H]1CN(CC[C@@H](C(=O)[O-])c2ccccc2)CCO1 ZINC000530173040 303179726 /nfs/dbraw/zinc/17/97/26/303179726.db2.gz SAHIPNKWZZSLOT-JKSUJKDBSA-N -1 1 307.390 1.982 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1CC(=O)c2ccccc21 ZINC000530560988 303203531 /nfs/dbraw/zinc/20/35/31/303203531.db2.gz JYDWCHWAHIWINU-LBPRGKRZSA-N -1 1 311.345 1.455 20 0 DDADMM COCCOC[C@@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000531010475 303225142 /nfs/dbraw/zinc/22/51/42/303225142.db2.gz WETRVYFCXMZHOZ-LLVKDONJSA-N -1 1 313.375 1.763 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@@H]1CCOC1)c1cc2ccccc2o1 ZINC000532771462 303295743 /nfs/dbraw/zinc/29/57/43/303295743.db2.gz MXLNCILXGMWFKR-VXGBXAGGSA-N -1 1 311.359 1.109 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCN2CCSCC2)sc1C ZINC000532932497 303302349 /nfs/dbraw/zinc/30/23/49/303302349.db2.gz UTGVRSLFGFTBHH-UHFFFAOYSA-N -1 1 321.493 1.087 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)Cc2c(F)cccc2F)o1 ZINC000535040034 303341415 /nfs/dbraw/zinc/34/14/15/303341415.db2.gz VPJXTGZCYAHJFO-SSDOTTSWSA-N -1 1 317.317 1.837 20 0 DDADMM CC(C)[C@@H]1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000544737257 303477097 /nfs/dbraw/zinc/47/70/97/303477097.db2.gz HUVGHAFUWMIXMM-MNOVXSKESA-N -1 1 303.366 1.362 20 0 DDADMM CCS[C@@H]1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000545332313 303492605 /nfs/dbraw/zinc/49/26/05/303492605.db2.gz CCMYBWCZINXJGK-VHSXEESVSA-N -1 1 321.406 1.212 20 0 DDADMM CCC[C@@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363396893 307027192 /nfs/dbraw/zinc/02/71/92/307027192.db2.gz ZQYIDBRAHSGDLJ-ZIAGYGMSSA-N -1 1 315.377 1.684 20 0 DDADMM COCc1ccc(C(=O)[N-]c2nnc(-c3nccn3C)s2)o1 ZINC000366805682 307081425 /nfs/dbraw/zinc/08/14/25/307081425.db2.gz GWVSMCRQOAENQE-UHFFFAOYSA-N -1 1 319.346 1.930 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(C)cc1Cl ZINC000369123722 307116553 /nfs/dbraw/zinc/11/65/53/307116553.db2.gz BFNCDXWNIWOIDX-LBPRGKRZSA-N -1 1 321.768 1.684 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)C[C@H]3CCCCC(=O)N3)n2)o1 ZINC000373829804 307196179 /nfs/dbraw/zinc/19/61/79/307196179.db2.gz ADANEQNIHFUXMW-SNVBAGLBSA-N -1 1 317.349 1.761 20 0 DDADMM O=C(CCc1cc(F)ccc1F)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000375789452 307231125 /nfs/dbraw/zinc/23/11/25/307231125.db2.gz BUVVRLAXZPUXFV-LBPRGKRZSA-N -1 1 323.303 1.011 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC([C@H]2CCOC2)C1 ZINC000376912174 307258510 /nfs/dbraw/zinc/25/85/10/307258510.db2.gz VBFAWHMYOVBBPL-NSHDSACASA-N -1 1 319.405 1.897 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(C[C@H]3CC4(CCC4)C(=O)O3)C2)n[nH]1 ZINC000377522404 307271621 /nfs/dbraw/zinc/27/16/21/307271621.db2.gz DRUUSKHOQVXXJT-WDEREUQCSA-N -1 1 306.366 1.176 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC(C)=C(c2ccco2)C1 ZINC000377843622 307277151 /nfs/dbraw/zinc/27/71/51/307277151.db2.gz QKMBSLUBJFTTLK-UHFFFAOYSA-N -1 1 323.374 1.857 20 0 DDADMM CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)c2cncc([O-])c2)C1 ZINC000495521670 307309034 /nfs/dbraw/zinc/30/90/34/307309034.db2.gz WTMYYKRJCPMZSM-NEPJUHHUSA-N -1 1 321.377 1.774 20 0 DDADMM COCCCO[N-]C(=O)C(C)(C)CNC(=O)OC(C)(C)C ZINC000495838757 307319990 /nfs/dbraw/zinc/31/99/90/307319990.db2.gz XCEYKQDNFFFHCE-UHFFFAOYSA-N -1 1 304.387 1.622 20 0 DDADMM COc1ccc(NC(=O)COC(F)F)cc1[N-]S(C)(=O)=O ZINC000505207923 307397230 /nfs/dbraw/zinc/39/72/30/307397230.db2.gz XTFWJRCXZCEQMU-UHFFFAOYSA-N -1 1 324.305 1.244 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCC[C@H](F)C2)o1 ZINC000516566583 307417308 /nfs/dbraw/zinc/41/73/08/307417308.db2.gz GVDJVQUATNLVFB-IUCAKERBSA-N -1 1 305.327 1.625 20 0 DDADMM COc1ccccc1[C@@H](CN=c1nn[n-]n1C)N1CCCCC1 ZINC000518594358 307444110 /nfs/dbraw/zinc/44/41/10/307444110.db2.gz YXIGQMPCQFOAQE-CQSZACIVSA-N -1 1 316.409 1.280 20 0 DDADMM Cc1cnc(C(=O)N2CCc3nc(C4CC4)ncc3C2)c([O-])c1 ZINC000529927818 307575768 /nfs/dbraw/zinc/57/57/68/307575768.db2.gz VCDIWEHOEJSVKX-UHFFFAOYSA-N -1 1 310.357 1.962 20 0 DDADMM Cc1cccc(CCN2CCN(c3nc(=N)[n-]s3)CC2)n1 ZINC000566169513 308048160 /nfs/dbraw/zinc/04/81/60/308048160.db2.gz CYZGCCZORWRLEX-UHFFFAOYSA-N -1 1 304.423 1.019 20 0 DDADMM COC1(CN=c2[n-]ncn2Cc2ccccc2)CCOCC1 ZINC000567563546 308084120 /nfs/dbraw/zinc/08/41/20/308084120.db2.gz PONJMOSQVCZOFH-UHFFFAOYSA-N -1 1 302.378 1.356 20 0 DDADMM C[C@@H]1CCN(CC(=O)N2CCc3ccccc32)C[C@@H]1C(=O)[O-] ZINC000574157286 308263394 /nfs/dbraw/zinc/26/33/94/308263394.db2.gz VIQFQXIURIGJJX-OCCSQVGLSA-N -1 1 302.374 1.618 20 0 DDADMM CCCc1nc(=NC(=O)N[C@@H]2CCCc3cn[nH]c32)s[n-]1 ZINC000574322841 308267904 /nfs/dbraw/zinc/26/79/04/308267904.db2.gz PQDXWNHHKUPRQZ-SECBINFHSA-N -1 1 306.395 1.835 20 0 DDADMM CC(C)[C@@]1(C)C[C@@H]1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000576362801 308309918 /nfs/dbraw/zinc/30/99/18/308309918.db2.gz VZJJSKIRBOFLTA-IINYFYTJSA-N -1 1 324.454 1.217 20 0 DDADMM C[C@@H]1CN(CCS(=O)(=O)c2ccccc2)C[C@]1(C)C(=O)[O-] ZINC000576473292 308317347 /nfs/dbraw/zinc/31/73/47/308317347.db2.gz SDFHMBTZMMZFEU-DOMZBBRYSA-N -1 1 311.403 1.503 20 0 DDADMM CC[C@H](C)C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)OC ZINC000578509981 308466865 /nfs/dbraw/zinc/46/68/65/308466865.db2.gz GBVNRQJEPRWGPU-GWCFXTLKSA-N -1 1 307.346 1.669 20 0 DDADMM C[C@@H]1C[C@H](O)CN1C(=O)c1ccc(Br)cc1[O-] ZINC000384858143 325752320 /nfs/dbraw/zinc/75/23/20/325752320.db2.gz HDGFMNOSLPNDAL-APPZFPTMSA-N -1 1 300.152 1.750 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1cc(=O)[nH]c(C(C)C)c1 ZINC000583389812 332382314 /nfs/dbraw/zinc/38/23/14/332382314.db2.gz XNFLQEDXYOVKSJ-UHFFFAOYSA-N -1 1 304.306 1.673 20 0 DDADMM O=C(N[C@H]1CCN(C2CC2)C1)c1nn(-c2ccccc2)cc1[O-] ZINC000583119227 337243667 /nfs/dbraw/zinc/24/36/67/337243667.db2.gz NMKZSOHXNPSSIM-LBPRGKRZSA-N -1 1 312.373 1.544 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)no1 ZINC000425304173 484117699 /nfs/dbraw/zinc/11/76/99/484117699.db2.gz PPLJHWLIXGNAGU-SECBINFHSA-N -1 1 306.322 1.736 20 0 DDADMM COC1CCN(S(=O)(=O)Nc2cccc(Cl)c2[O-])CC1 ZINC000656623998 484247495 /nfs/dbraw/zinc/24/74/95/484247495.db2.gz XMLIXJSUPOBTIM-UHFFFAOYSA-N -1 1 320.798 1.813 20 0 DDADMM CC(C)(C(=O)[O-])n1cc(NC(=O)[C@@H]2CCCc3[nH]ncc32)cn1 ZINC000663128548 484689889 /nfs/dbraw/zinc/68/98/89/484689889.db2.gz LRBPWCUJOYDOCH-SNVBAGLBSA-N -1 1 317.349 1.485 20 0 DDADMM O=C([O-])C1(NC(=O)c2cc(F)cc3nc[nH]c32)CCOCC1 ZINC000663143325 484701156 /nfs/dbraw/zinc/70/11/56/484701156.db2.gz SLUHWKSMDRWBJQ-UHFFFAOYSA-N -1 1 307.281 1.066 20 0 DDADMM N[C@H](C(=O)NC[C@H](C(=O)[O-])C1CCC1)c1cccc(Cl)c1 ZINC000663280061 484783061 /nfs/dbraw/zinc/78/30/61/484783061.db2.gz NPKBFITYJLREBB-STQMWFEESA-N -1 1 310.781 1.957 20 0 DDADMM COc1ccc(C)cc1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000667936997 484859836 /nfs/dbraw/zinc/85/98/36/484859836.db2.gz JFJNPDGALWEWLM-UHFFFAOYSA-N -1 1 319.317 1.143 20 0 DDADMM C[C@H]1CC[C@H](C(N)=O)CN1C(=O)c1ncc2ccccc2c1[O-] ZINC000666662717 485319446 /nfs/dbraw/zinc/31/94/46/485319446.db2.gz YQEVKFAIYNANRO-JQWIXIFHSA-N -1 1 313.357 1.666 20 0 DDADMM CC(C)Cc1ccc([C@H](CN=c2nn[n-]n2C)N(C)C)cc1 ZINC000673480414 485417884 /nfs/dbraw/zinc/41/78/84/485417884.db2.gz LEGAKLDVSULSCX-HNNXBMFYSA-N -1 1 302.426 1.545 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)Cc1cn[nH]c1 ZINC000673839345 485454541 /nfs/dbraw/zinc/45/45/41/485454541.db2.gz ZONWTWNJENPEQD-UHFFFAOYSA-N -1 1 303.366 1.783 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)C[C@H](O)C1CC1 ZINC000674814774 485755638 /nfs/dbraw/zinc/75/56/38/485755638.db2.gz UIJHSWHZPPWGAG-NSHDSACASA-N -1 1 307.394 1.631 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C2(C(F)(F)F)CC2)c1 ZINC000679114218 485780310 /nfs/dbraw/zinc/78/03/10/485780310.db2.gz UGIRYTVVLSSKPV-UHFFFAOYSA-N -1 1 324.280 1.321 20 0 DDADMM COCCC[C@H](C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000679267320 485827588 /nfs/dbraw/zinc/82/75/88/485827588.db2.gz AOMJPVIBRFWSMM-AAEUAGOBSA-N -1 1 303.366 1.468 20 0 DDADMM O=C(Nc1ccc(-n2cncn2)cn1)c1cc(-c2ccco2)n[nH]1 ZINC000682965648 485841586 /nfs/dbraw/zinc/84/15/86/485841586.db2.gz BMIURWLKDCRLEO-UHFFFAOYSA-N -1 1 321.300 1.898 20 0 DDADMM CC[C@@]1(NC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CCOC1 ZINC000682970989 485844115 /nfs/dbraw/zinc/84/41/15/485844115.db2.gz AALBGDDRGXLROZ-MRXNPFEDSA-N -1 1 318.377 1.280 20 0 DDADMM CC(C)n1nccc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000676038202 486094640 /nfs/dbraw/zinc/09/46/40/486094640.db2.gz PMDBNJIOINCSPD-ZDUSSCGKSA-N -1 1 311.349 1.497 20 0 DDADMM C[C@H](CC(=O)NCc1nn[n-]n1)c1ccc(Br)cc1 ZINC000676335783 486198177 /nfs/dbraw/zinc/19/81/77/486198177.db2.gz JQJJMCQFOMDHOA-MRVPVSSYSA-N -1 1 324.182 1.772 20 0 DDADMM O=C(NCCNC(=O)c1ccc([O-])c(F)c1)c1ccc(F)cc1 ZINC000681020779 486324551 /nfs/dbraw/zinc/32/45/51/486324551.db2.gz JQGOYWQOQMYGRD-UHFFFAOYSA-N -1 1 320.295 1.830 20 0 DDADMM CCN(C(=O)c1ccc([O-])c(F)c1)[C@@H](C)CS(C)(=O)=O ZINC000681047513 486331261 /nfs/dbraw/zinc/33/12/61/486331261.db2.gz ZKXPGONUEQHCOS-VIFPVBQESA-N -1 1 303.355 1.427 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](CCO)C(C)C)c(F)c1 ZINC000676862562 486349060 /nfs/dbraw/zinc/34/90/60/486349060.db2.gz OMUVBGCVVVZKCS-GFCCVEGCSA-N -1 1 323.361 1.659 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC2(CO)CC2)c(F)c1 ZINC000676864429 486350553 /nfs/dbraw/zinc/35/05/53/486350553.db2.gz YIAVFGYERXTDDF-UHFFFAOYSA-N -1 1 307.318 1.024 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(OC(C)C)c(C(N)=O)c2)c1[O-] ZINC000684528388 486377055 /nfs/dbraw/zinc/37/70/55/486377055.db2.gz RFIAPHTYCKAVHW-UHFFFAOYSA-N -1 1 318.333 1.562 20 0 DDADMM CC(=O)Nc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000677047529 486386020 /nfs/dbraw/zinc/38/60/20/486386020.db2.gz AACMKPXSWGEGJL-GFCCVEGCSA-N -1 1 314.349 1.178 20 0 DDADMM O=C(N1CCC[C@H](c2nn[n-]n2)C1)C1(c2cccc(F)c2)CC1 ZINC000677048031 486386164 /nfs/dbraw/zinc/38/61/64/486386164.db2.gz OULZCWTXRUBZGD-NSHDSACASA-N -1 1 315.352 1.777 20 0 DDADMM CCOc1nc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)co1 ZINC000681513459 486438215 /nfs/dbraw/zinc/43/82/15/486438215.db2.gz AZBMSWZIHGVXPC-NSHDSACASA-N -1 1 314.305 1.106 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccncc1 ZINC000677927956 486580980 /nfs/dbraw/zinc/58/09/80/486580980.db2.gz SMUSKCNIGANRIL-YPMHNXCESA-N -1 1 300.366 1.495 20 0 DDADMM COc1ccc([N-]S(=O)(=O)CCOCC2CC2)c(OC)c1 ZINC000424067588 534186874 /nfs/dbraw/zinc/18/68/74/534186874.db2.gz PTRVLDDJNXXNNZ-UHFFFAOYSA-N -1 1 315.391 1.872 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cc(C)cs2)c1 ZINC000451798206 534322616 /nfs/dbraw/zinc/32/26/16/534322616.db2.gz BIJMLYITPWPGHG-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3CCC[C@H](CO)C3)cnc2n1 ZINC000412910948 534399711 /nfs/dbraw/zinc/39/97/11/534399711.db2.gz JOCMLUJEBVTGPV-RYUDHWBXSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H]3CCC[C@H](CO)C3)c[n-]c2n1 ZINC000412910948 534399713 /nfs/dbraw/zinc/39/97/13/534399713.db2.gz JOCMLUJEBVTGPV-RYUDHWBXSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)C(=O)OC(C)C)cnc2n1 ZINC000412394472 534400957 /nfs/dbraw/zinc/40/09/57/534400957.db2.gz JYPXYWDEVXMHED-JTQLQIEISA-N -1 1 317.345 1.714 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)C(=O)OC(C)C)c2=O ZINC000412394472 534400961 /nfs/dbraw/zinc/40/09/61/534400961.db2.gz JYPXYWDEVXMHED-JTQLQIEISA-N -1 1 317.345 1.714 20 0 DDADMM NC(=O)[C@H]1CCCC[C@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451285144 534447734 /nfs/dbraw/zinc/44/77/34/534447734.db2.gz MRVLDJCMOLAHPU-IONNQARKSA-N -1 1 322.839 1.724 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC(CF)CC1 ZINC000295828926 534637640 /nfs/dbraw/zinc/63/76/40/534637640.db2.gz UUZQDGLDEUDHSU-UHFFFAOYSA-N -1 1 320.368 1.390 20 0 DDADMM CC[C@@H]1CCCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337945273 523215673 /nfs/dbraw/zinc/21/56/73/523215673.db2.gz IYBMSAAGYFTMCE-VXGBXAGGSA-N -1 1 305.378 1.602 20 0 DDADMM Cn1nc(NC(=O)c2ccc3n[n-]c(=S)n3c2)cc1C1CC1 ZINC000292876771 534825497 /nfs/dbraw/zinc/82/54/97/534825497.db2.gz ZYQNXQFVYDAXQW-UHFFFAOYSA-N -1 1 314.374 1.881 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000299658008 526408745 /nfs/dbraw/zinc/40/87/45/526408745.db2.gz NCRPHDCVXCAADX-UHFFFAOYSA-N -1 1 307.350 1.480 20 0 DDADMM CC(C)(C)OC(=O)NC1(CNC(=O)c2cncc([O-])c2)CCC1 ZINC000495175620 526436940 /nfs/dbraw/zinc/43/69/40/526436940.db2.gz RYXIAOLIJKFNMM-UHFFFAOYSA-N -1 1 321.377 1.964 20 0 DDADMM CO[C@H](C)c1nc(=NC[C@@H]2CCN(CC(F)(F)F)C2)s[n-]1 ZINC000336847179 536497550 /nfs/dbraw/zinc/49/75/50/536497550.db2.gz UHPGWTVYSCWHKN-BDAKNGLRSA-N -1 1 324.372 1.964 20 0 DDADMM CC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)c1ccccc1F ZINC000416651614 527130606 /nfs/dbraw/zinc/13/06/06/527130606.db2.gz RRQPIYCGMQOHRD-SMDDNHRTSA-N -1 1 315.366 1.554 20 0 DDADMM CC(C)CN(Cc1cnn(C)c1)C(=O)C(=O)c1ccc([O-])cc1 ZINC000457340496 527951128 /nfs/dbraw/zinc/95/11/28/527951128.db2.gz IFNJRGFNZIEUCI-UHFFFAOYSA-N -1 1 315.373 1.993 20 0 DDADMM CC(C)Oc1ccc(C(=O)N=c2nc(C3CCC3)[nH][n-]2)nc1 ZINC000444360962 528141783 /nfs/dbraw/zinc/14/17/83/528141783.db2.gz GKLQRGHGKKVIJT-UHFFFAOYSA-N -1 1 301.350 1.929 20 0 DDADMM C[C@@](O)(CNc1cccc(-c2nnn[n-]2)n1)c1ccsc1 ZINC000736144365 598976433 /nfs/dbraw/zinc/97/64/33/598976433.db2.gz ZBSWRDNJXZYLGL-CYBMUJFWSA-N -1 1 302.363 1.643 20 0 DDADMM C[C@@](O)(CNc1cccc(-c2nn[n-]n2)n1)c1ccsc1 ZINC000736144365 598976435 /nfs/dbraw/zinc/97/64/35/598976435.db2.gz ZBSWRDNJXZYLGL-CYBMUJFWSA-N -1 1 302.363 1.643 20 0 DDADMM Cc1cccc(OCCNC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737412097 598983882 /nfs/dbraw/zinc/98/38/82/598983882.db2.gz TXTOHLRBGNMTGW-UHFFFAOYSA-N -1 1 324.344 1.379 20 0 DDADMM Cc1cccc(OCCNC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737412097 598983884 /nfs/dbraw/zinc/98/38/84/598983884.db2.gz TXTOHLRBGNMTGW-UHFFFAOYSA-N -1 1 324.344 1.379 20 0 DDADMM O=C(N[C@@H]1CCOc2ccccc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738035038 598983965 /nfs/dbraw/zinc/98/39/65/598983965.db2.gz IIMWTCPGFSYXOO-GFCCVEGCSA-N -1 1 322.328 1.515 20 0 DDADMM O=C(N[C@@H]1CCOc2ccccc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738035038 598983967 /nfs/dbraw/zinc/98/39/67/598983967.db2.gz IIMWTCPGFSYXOO-GFCCVEGCSA-N -1 1 322.328 1.515 20 0 DDADMM C[C@@H]1Cc2ccccc2[C@@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736296827 599005899 /nfs/dbraw/zinc/00/58/99/599005899.db2.gz JYJAHTUDDFZGAT-MEBBXXQBSA-N -1 1 320.356 1.925 20 0 DDADMM C[C@@H]1Cc2ccccc2[C@@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736296827 599005900 /nfs/dbraw/zinc/00/59/00/599005900.db2.gz JYJAHTUDDFZGAT-MEBBXXQBSA-N -1 1 320.356 1.925 20 0 DDADMM CC(C)N(C1CCC1)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735859313 599007780 /nfs/dbraw/zinc/00/77/80/599007780.db2.gz RFBKAWPDJCQQPN-UHFFFAOYSA-N -1 1 322.394 1.213 20 0 DDADMM CC(C)N(C1CCC1)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735859313 599007782 /nfs/dbraw/zinc/00/77/82/599007782.db2.gz RFBKAWPDJCQQPN-UHFFFAOYSA-N -1 1 322.394 1.213 20 0 DDADMM O=C(Nc1ccc2c[nH]nc2c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738175984 599021542 /nfs/dbraw/zinc/02/15/42/599021542.db2.gz SMVSLYNCGMISQK-UHFFFAOYSA-N -1 1 306.289 1.390 20 0 DDADMM O=C(Nc1ccc2c[nH]nc2c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738175984 599021543 /nfs/dbraw/zinc/02/15/43/599021543.db2.gz SMVSLYNCGMISQK-UHFFFAOYSA-N -1 1 306.289 1.390 20 0 DDADMM Cc1ccc([C@@H](O)CNc2ccc(Cl)c(-c3nnn[n-]3)n2)o1 ZINC000737320067 599047118 /nfs/dbraw/zinc/04/71/18/599047118.db2.gz MKTRCEVXQJBAOF-VIFPVBQESA-N -1 1 320.740 1.962 20 0 DDADMM Cc1ccc([C@@H](O)CNc2ccc(Cl)c(-c3nn[n-]n3)n2)o1 ZINC000737320067 599047121 /nfs/dbraw/zinc/04/71/21/599047121.db2.gz MKTRCEVXQJBAOF-VIFPVBQESA-N -1 1 320.740 1.962 20 0 DDADMM Cc1ccc(O[C@@H](C)CNc2nccnc2-c2nnn[n-]2)cc1 ZINC000822259051 599068339 /nfs/dbraw/zinc/06/83/39/599068339.db2.gz LAYNQIHSSFZQFC-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM Cc1ccc(O[C@@H](C)CNc2nccnc2-c2nn[n-]n2)cc1 ZINC000822259051 599068342 /nfs/dbraw/zinc/06/83/42/599068342.db2.gz LAYNQIHSSFZQFC-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM CCCCCNC(=O)[C@@H](C)N1CCSC[C@H]1CC(=O)[O-] ZINC000249780891 601921384 /nfs/dbraw/zinc/92/13/84/601921384.db2.gz FQXZOLHOBSEGAF-VXGBXAGGSA-N -1 1 302.440 1.573 20 0 DDADMM Nc1nc(CN2CCC[C@H](CC(=O)[O-])C2)nc2ccccc12 ZINC000819130521 597073850 /nfs/dbraw/zinc/07/38/50/597073850.db2.gz AJRPZBPBDCZXBK-LLVKDONJSA-N -1 1 300.362 1.899 20 0 DDADMM Cc1ccc(CN(C(=O)CN2CC[C@H](C(=O)[O-])C2)C2CC2)o1 ZINC000818890837 597528412 /nfs/dbraw/zinc/52/84/12/597528412.db2.gz VCIRMUWMRVVEAW-LBPRGKRZSA-N -1 1 306.362 1.486 20 0 DDADMM O=C([O-])CNC(=O)CSc1nnc(CCC2CCCC2)[nH]1 ZINC000821533487 597752847 /nfs/dbraw/zinc/75/28/47/597752847.db2.gz PVOBHSNBJDBLGJ-UHFFFAOYSA-N -1 1 312.395 1.220 20 0 DDADMM O=C([O-])CNC(=O)CSc1nc(CCC2CCCC2)n[nH]1 ZINC000821533487 597752848 /nfs/dbraw/zinc/75/28/48/597752848.db2.gz PVOBHSNBJDBLGJ-UHFFFAOYSA-N -1 1 312.395 1.220 20 0 DDADMM Cc1ccc([C@H]2CC(=O)N(CN3CC[C@H](C(=O)[O-])C3)C2)cc1 ZINC000821075609 597759467 /nfs/dbraw/zinc/75/94/67/597759467.db2.gz CSJLHCYYTLYRMR-GJZGRUSLSA-N -1 1 302.374 1.675 20 0 DDADMM O=[S@]1CC[C@H](Nc2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000823612562 607327583 /nfs/dbraw/zinc/32/75/83/607327583.db2.gz BAHKRFPSSBIVRG-AUADJRAKSA-N -1 1 314.374 1.348 20 0 DDADMM O=[S@]1CC[C@H](Nc2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000823612562 607327584 /nfs/dbraw/zinc/32/75/84/607327584.db2.gz BAHKRFPSSBIVRG-AUADJRAKSA-N -1 1 314.374 1.348 20 0 DDADMM C[C@@H](O)CN1CCN(C(=O)Nc2ccccc2C(=O)[O-])C[C@H]1C ZINC000820161952 598178898 /nfs/dbraw/zinc/17/88/98/598178898.db2.gz UZXRBVAVAPKWOC-VXGBXAGGSA-N -1 1 321.377 1.304 20 0 DDADMM Cc1cnn([C@@H]2CCCN(c3nccnc3-c3nnn[n-]3)C2)c1 ZINC000737443925 598340034 /nfs/dbraw/zinc/34/00/34/598340034.db2.gz JNZDUNMZYZONQO-LLVKDONJSA-N -1 1 311.353 1.003 20 0 DDADMM Cc1cnn([C@@H]2CCCN(c3nccnc3-c3nn[n-]n3)C2)c1 ZINC000737443925 598340036 /nfs/dbraw/zinc/34/00/36/598340036.db2.gz JNZDUNMZYZONQO-LLVKDONJSA-N -1 1 311.353 1.003 20 0 DDADMM Fc1ccc2c(CCNc3nccnc3-c3nnn[n-]3)c[nH]c2c1 ZINC000737664095 598350012 /nfs/dbraw/zinc/35/00/12/598350012.db2.gz BFEAVSLKQJXNGL-UHFFFAOYSA-N -1 1 324.323 1.932 20 0 DDADMM Fc1ccc2c(CCNc3nccnc3-c3nn[n-]n3)c[nH]c2c1 ZINC000737664095 598350014 /nfs/dbraw/zinc/35/00/14/598350014.db2.gz BFEAVSLKQJXNGL-UHFFFAOYSA-N -1 1 324.323 1.932 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1ccc(C(F)F)cc1 ZINC000737776698 598358135 /nfs/dbraw/zinc/35/81/35/598358135.db2.gz PYRGGPABBYWGEB-UHFFFAOYSA-N -1 1 305.248 1.272 20 0 DDADMM CN(C[C@@H]1CCCOC1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000736792537 598468600 /nfs/dbraw/zinc/46/86/00/598468600.db2.gz RVWPHBSSFKKAJE-ZETCQYMHSA-N -1 1 314.802 1.839 20 0 DDADMM CN(C[C@@H]1CCCOC1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000736792537 598468601 /nfs/dbraw/zinc/46/86/01/598468601.db2.gz RVWPHBSSFKKAJE-ZETCQYMHSA-N -1 1 314.802 1.839 20 0 DDADMM Clc1ccc(NCCCn2cncn2)nc1-c1nnn[n-]1 ZINC000737574807 598573811 /nfs/dbraw/zinc/57/38/11/598573811.db2.gz PPTUNGDZKKGRMY-UHFFFAOYSA-N -1 1 305.733 1.009 20 0 DDADMM Clc1ccc(NCCCn2cncn2)nc1-c1nn[n-]n1 ZINC000737574807 598573814 /nfs/dbraw/zinc/57/38/14/598573814.db2.gz PPTUNGDZKKGRMY-UHFFFAOYSA-N -1 1 305.733 1.009 20 0 DDADMM CN(C[C@H]1CCCOC1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736791376 598580274 /nfs/dbraw/zinc/58/02/74/598580274.db2.gz MINZSTRSTOYADN-SECBINFHSA-N -1 1 308.773 1.778 20 0 DDADMM CN(C[C@H]1CCCOC1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736791376 598580275 /nfs/dbraw/zinc/58/02/75/598580275.db2.gz MINZSTRSTOYADN-SECBINFHSA-N -1 1 308.773 1.778 20 0 DDADMM CC[C@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)C[C@H](C)O1 ZINC000736409547 598678210 /nfs/dbraw/zinc/67/82/10/598678210.db2.gz WFMHIFYNBOQIKP-BQBZGAKWSA-N -1 1 314.802 1.980 20 0 DDADMM Cc1ccc(NC(=O)Cn2cccc(-c3nn[n-]n3)c2=O)cc1C ZINC000737357664 598676888 /nfs/dbraw/zinc/67/68/88/598676888.db2.gz QWVWFMMGXCTAAH-UHFFFAOYSA-N -1 1 324.344 1.284 20 0 DDADMM CC[C@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)C[C@H](C)O1 ZINC000736409547 598678208 /nfs/dbraw/zinc/67/82/08/598678208.db2.gz WFMHIFYNBOQIKP-BQBZGAKWSA-N -1 1 314.802 1.980 20 0 DDADMM CN(C[C@H]1CCC[C@H]1O)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825908783 607851032 /nfs/dbraw/zinc/85/10/32/607851032.db2.gz MABFFZFKKTUSDM-RNFRBKRXSA-N -1 1 314.802 1.574 20 0 DDADMM CN(C[C@H]1CCC[C@H]1O)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825908783 607851033 /nfs/dbraw/zinc/85/10/33/607851033.db2.gz MABFFZFKKTUSDM-RNFRBKRXSA-N -1 1 314.802 1.574 20 0 DDADMM c1ccc(N[C@H]2CCN(c3cccc(-c4nnn[n-]4)n3)C2)cc1 ZINC000738434303 599425852 /nfs/dbraw/zinc/42/58/52/599425852.db2.gz CPPJQXWTGKELAZ-ZDUSSCGKSA-N -1 1 307.361 1.953 20 0 DDADMM c1ccc(N[C@H]2CCN(c3cccc(-c4nn[n-]n4)n3)C2)cc1 ZINC000738434303 599425853 /nfs/dbraw/zinc/42/58/53/599425853.db2.gz CPPJQXWTGKELAZ-ZDUSSCGKSA-N -1 1 307.361 1.953 20 0 DDADMM OC[C@H](NCc1ccc(-c2nnn[n-]2)o1)c1c(F)cccc1F ZINC000823706803 599443082 /nfs/dbraw/zinc/44/30/82/599443082.db2.gz PCQIOLNMGAUGDD-NSHDSACASA-N -1 1 321.287 1.561 20 0 DDADMM OC[C@H](NCc1ccc(-c2nn[n-]n2)o1)c1c(F)cccc1F ZINC000823706803 599443085 /nfs/dbraw/zinc/44/30/85/599443085.db2.gz PCQIOLNMGAUGDD-NSHDSACASA-N -1 1 321.287 1.561 20 0 DDADMM CSc1[nH]c(=O)c(C(=O)N(C)C2CCC2)cc1-c1nn[n-]n1 ZINC000821969824 599489428 /nfs/dbraw/zinc/48/94/28/599489428.db2.gz FQXFVAURARLGBW-UHFFFAOYSA-N -1 1 320.378 1.314 20 0 DDADMM CC[C@@H](O)CCCNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000820933759 599581743 /nfs/dbraw/zinc/58/17/43/599581743.db2.gz YUISMZFXFSSGKT-SNVBAGLBSA-N -1 1 313.365 1.773 20 0 DDADMM CC[C@@H](O)CCCNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000820933759 599581745 /nfs/dbraw/zinc/58/17/45/599581745.db2.gz YUISMZFXFSSGKT-SNVBAGLBSA-N -1 1 313.365 1.773 20 0 DDADMM COC(=O)C[C@@H]1CCCN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821659173 607385665 /nfs/dbraw/zinc/38/56/65/607385665.db2.gz UOVUQBITIPORQV-QMMMGPOBSA-N -1 1 322.756 1.447 20 0 DDADMM COC(=O)C[C@@H]1CCCN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821659173 607385667 /nfs/dbraw/zinc/38/56/67/607385667.db2.gz UOVUQBITIPORQV-QMMMGPOBSA-N -1 1 322.756 1.447 20 0 DDADMM CCOC(=O)[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)C(C)(C)C ZINC000825553010 608433228 /nfs/dbraw/zinc/43/32/28/608433228.db2.gz HQPYKIBDGNBMGQ-SNVBAGLBSA-N -1 1 305.342 1.046 20 0 DDADMM CCOC(=O)[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)C(C)(C)C ZINC000825553010 608433230 /nfs/dbraw/zinc/43/32/30/608433230.db2.gz HQPYKIBDGNBMGQ-SNVBAGLBSA-N -1 1 305.342 1.046 20 0 DDADMM O=C([O-])[C@H](c1ccc(F)cc1)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000739519064 599713287 /nfs/dbraw/zinc/71/32/87/599713287.db2.gz VLLGJPAGAITUCZ-JYJNAYRXSA-N -1 1 322.380 1.482 20 0 DDADMM O=C([O-])CSCCC(=O)NCCN1CCc2ccccc2C1 ZINC000739846176 599744755 /nfs/dbraw/zinc/74/47/55/599744755.db2.gz KLOIPNMKYZIQFD-UHFFFAOYSA-N -1 1 322.430 1.369 20 0 DDADMM COC(=O)c1ccc(C)c(NC(=O)CNC(C)(C)C(=O)[O-])c1 ZINC000737694487 599926057 /nfs/dbraw/zinc/92/60/57/599926057.db2.gz CUQUWJGYOFCODA-UHFFFAOYSA-N -1 1 308.334 1.173 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC000399550624 599954110 /nfs/dbraw/zinc/95/41/10/599954110.db2.gz BWVVSUVZTXPJBJ-CQSZACIVSA-N -1 1 306.362 1.225 20 0 DDADMM CN1CCN(c2ccc(NC(=O)[C@H]3C[C@@H]3C(=O)[O-])cc2)CC1 ZINC000737530919 600062154 /nfs/dbraw/zinc/06/21/54/600062154.db2.gz ZJDXRSFFZWQFFW-KBPBESRZSA-N -1 1 303.362 1.098 20 0 DDADMM C[C@H](O)[C@@H]1CCCCN1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000736678500 600091065 /nfs/dbraw/zinc/09/10/65/600091065.db2.gz UCJFVSDFOHVQDD-FZMZJTMJSA-N -1 1 306.362 1.559 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)CNC1(C(=O)[O-])CCCCC1 ZINC000738912520 600149297 /nfs/dbraw/zinc/14/92/97/600149297.db2.gz YPDLYXLUJKOQBF-UHFFFAOYSA-N -1 1 308.382 1.353 20 0 DDADMM CC(C)N(CCC(=O)[O-])CC(=O)Nc1nc2c(s1)CCC2 ZINC000736466241 600209080 /nfs/dbraw/zinc/20/90/80/600209080.db2.gz TVFLYFRYHHLXAN-UHFFFAOYSA-N -1 1 311.407 1.755 20 0 DDADMM C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCC3(C[C@@H]3C(=O)[O-])CC2)C1 ZINC000405501694 600307220 /nfs/dbraw/zinc/30/72/20/600307220.db2.gz SXTGOZLTUKAMAF-MGPQQGTHSA-N -1 1 308.422 1.678 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCC(n2ccnc2)CC1)C(=O)[O-] ZINC000736877942 600317905 /nfs/dbraw/zinc/31/79/05/600317905.db2.gz KWIHECULCCDOIG-WFASDCNBSA-N -1 1 322.409 1.136 20 0 DDADMM Cc1oc(CN2CCN([C@@H]3CCC[C@H]3O)CC2)cc1C(=O)[O-] ZINC000738978454 600509445 /nfs/dbraw/zinc/50/94/45/600509445.db2.gz HRGSPFBGMVRBJL-HUUCEWRRSA-N -1 1 308.378 1.317 20 0 DDADMM COCCN1CC[C@@H](NC(=O)c2ccccc2NC(=O)[O-])C1 ZINC000737811332 600589789 /nfs/dbraw/zinc/58/97/89/600589789.db2.gz LDXJACTXHUTXKZ-LLVKDONJSA-N -1 1 307.350 1.227 20 0 DDADMM C[C@]1(c2ccccc2)CCCN(Cn2cc(C(=O)[O-])nn2)C1 ZINC000736741756 600636410 /nfs/dbraw/zinc/63/64/10/600636410.db2.gz NWQJWQBQQYFQRM-INIZCTEOSA-N -1 1 300.362 1.988 20 0 DDADMM Cc1nnc(SCC(=O)Nc2ccc(OCC(=O)[O-])cc2)[nH]1 ZINC000832991302 600891017 /nfs/dbraw/zinc/89/10/17/600891017.db2.gz TWAKHKRBFUBVAC-UHFFFAOYSA-N -1 1 322.346 1.307 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2ccc(OCC(=O)[O-])cc2)n1 ZINC000832991302 600891018 /nfs/dbraw/zinc/89/10/18/600891018.db2.gz TWAKHKRBFUBVAC-UHFFFAOYSA-N -1 1 322.346 1.307 20 0 DDADMM Cc1nnc(SCC(=O)N2[C@@H]3CCCC[C@H]3C[C@H]2C(=O)[O-])[nH]1 ZINC000832990467 600896657 /nfs/dbraw/zinc/89/66/57/600896657.db2.gz CBYHVXRDGHBWJM-AXFHLTTASA-N -1 1 324.406 1.450 20 0 DDADMM Cc1n[nH]c(SCC(=O)N2[C@@H]3CCCC[C@H]3C[C@H]2C(=O)[O-])n1 ZINC000832990467 600896659 /nfs/dbraw/zinc/89/66/59/600896659.db2.gz CBYHVXRDGHBWJM-AXFHLTTASA-N -1 1 324.406 1.450 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](C2CCCCC2)CN1C(=O)Cc1ccn[nH]1 ZINC000833177537 600981094 /nfs/dbraw/zinc/98/10/94/600981094.db2.gz PDMNLRUKQRYSHN-JSGCOSHPSA-N -1 1 305.378 1.834 20 0 DDADMM C[C@@](NCc1csc(CS(C)(=O)=O)n1)(C(=O)[O-])C1CC1 ZINC000827741133 601031022 /nfs/dbraw/zinc/03/10/22/601031022.db2.gz IXQRTBMDCDAJMK-LBPRGKRZSA-N -1 1 318.420 1.031 20 0 DDADMM COc1cccc(CNC(=O)CN[C@](C)(C(=O)[O-])C2CC2)c1 ZINC000832553626 601036028 /nfs/dbraw/zinc/03/60/28/601036028.db2.gz BPLUBRMOVPTKMG-INIZCTEOSA-N -1 1 306.362 1.154 20 0 DDADMM CCc1nc([C@@H]2CN(Cc3cc(C(=O)[O-])co3)CCO2)n[nH]1 ZINC000737273867 601105968 /nfs/dbraw/zinc/10/59/68/601105968.db2.gz CDWYAHFXNIWMHV-NSHDSACASA-N -1 1 306.322 1.232 20 0 DDADMM COc1cccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1O ZINC000832518510 601122313 /nfs/dbraw/zinc/12/23/13/601122313.db2.gz IQWPHTVZIGCGRS-NSHDSACASA-N -1 1 322.361 1.022 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(OCCCC(=O)[O-])cc1)N(C)C ZINC000832803781 601137206 /nfs/dbraw/zinc/13/72/06/601137206.db2.gz WJYKRNVGFQKFRY-LBPRGKRZSA-N -1 1 308.378 1.497 20 0 DDADMM C/C(=C/CN1CCN(CCOc2ccccc2)CC1)C(=O)[O-] ZINC000825741550 601154928 /nfs/dbraw/zinc/15/49/28/601154928.db2.gz PAXQNPWYEJTCTL-CHHVJCJISA-N -1 1 304.390 1.714 20 0 DDADMM C/C(=C\CN1CCN(Cc2cnn(C(C)(C)C)c2)CC1)C(=O)[O-] ZINC000825747581 601164495 /nfs/dbraw/zinc/16/44/95/601164495.db2.gz VHMHUTLQOAGWOL-LHHJGKSTSA-N -1 1 320.437 1.787 20 0 DDADMM C[C@@H]1Cc2ccccc2N1C(=O)CN[C@@](C)(C(=O)[O-])C1CC1 ZINC000828516437 601173505 /nfs/dbraw/zinc/17/35/05/601173505.db2.gz MEHHEQKGPQQSFR-PIGZYNQJSA-N -1 1 302.374 1.807 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCc1ccn(-c2ccc(F)cc2)n1 ZINC000831584019 601491368 /nfs/dbraw/zinc/49/13/68/601491368.db2.gz CBRYIKYAYGFOAX-INIZCTEOSA-N -1 1 321.352 1.981 20 0 DDADMM C[C@H]1C[N@H+](Cc2cc(=O)oc3cc([O-])ccc23)C[C@H]1C(=O)[O-] ZINC000315665248 601526376 /nfs/dbraw/zinc/52/63/76/601526376.db2.gz ZPDDOXAGSAIUTJ-TVQRCGJNSA-N -1 1 303.314 1.651 20 0 DDADMM C[C@H]1C[N@@H+](Cc2cc(=O)oc3cc([O-])ccc23)C[C@H]1C(=O)[O-] ZINC000315665248 601526378 /nfs/dbraw/zinc/52/63/78/601526378.db2.gz ZPDDOXAGSAIUTJ-TVQRCGJNSA-N -1 1 303.314 1.651 20 0 DDADMM COc1ccc(C)cc1NC(=O)[C@H](C)N1C[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000832258345 601528936 /nfs/dbraw/zinc/52/89/36/601528936.db2.gz HQPOPZNXLKTJAE-AVGNSLFASA-N -1 1 320.389 1.983 20 0 DDADMM Cc1sc(C(=O)[O-])cc1CN1CCC[C@H](N2CCNC2=O)C1 ZINC000833032995 601622624 /nfs/dbraw/zinc/62/26/24/601622624.db2.gz SLMRVVBALNECQE-LBPRGKRZSA-N -1 1 323.418 1.744 20 0 DDADMM C[C@@H](C(=O)N1CC[C@](F)(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000825982317 601714836 /nfs/dbraw/zinc/71/48/36/601714836.db2.gz AMEQDVUIEJLCEH-BLLLJJGKSA-N -1 1 308.353 1.532 20 0 DDADMM O=C([O-])[C@H]1COCCN1C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000823840862 601722200 /nfs/dbraw/zinc/72/22/00/601722200.db2.gz CNGYEJRCFLKGRN-GFCCVEGCSA-N -1 1 301.302 1.002 20 0 DDADMM Cc1nnc(SCC(=O)N[C@@H](C(=O)[O-])c2ccccc2F)[nH]1 ZINC000832990498 601743433 /nfs/dbraw/zinc/74/34/33/601743433.db2.gz DFKQVSVGZIILKH-LLVKDONJSA-N -1 1 324.337 1.286 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@@H](C(=O)[O-])c2ccccc2F)n1 ZINC000832990498 601743434 /nfs/dbraw/zinc/74/34/34/601743434.db2.gz DFKQVSVGZIILKH-LLVKDONJSA-N -1 1 324.337 1.286 20 0 DDADMM C[C@@H](NC(=O)c1ccc2[nH]nnc2c1)[C@H]1CCCN(C(=O)[O-])C1 ZINC000739022207 601871620 /nfs/dbraw/zinc/87/16/20/601871620.db2.gz DDMAKCKKAKZHFT-KOLCDFICSA-N -1 1 317.349 1.466 20 0 DDADMM COCc1ccc(CNC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)cc1 ZINC000831812971 601875722 /nfs/dbraw/zinc/87/57/22/601875722.db2.gz IFSUTOIYMDAENT-HNNXBMFYSA-N -1 1 322.405 1.538 20 0 DDADMM Cc1ccccc1CNC(=O)[C@H](C)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000740185531 601945830 /nfs/dbraw/zinc/94/58/30/601945830.db2.gz UYFNNBMESORWSI-KBPBESRZSA-N -1 1 319.405 1.589 20 0 DDADMM C[C@H](NC(=O)[C@H](C)N1CC[C@H](CNC(=O)[O-])C1)c1ccccc1 ZINC000738953320 601960275 /nfs/dbraw/zinc/96/02/75/601960275.db2.gz PNUGJTYIEJOFDC-MELADBBJSA-N -1 1 319.405 1.842 20 0 DDADMM CC[C@@H](C[C@@H](C)CO)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825082049 607994026 /nfs/dbraw/zinc/99/40/26/607994026.db2.gz PHGMOGBESXDMBF-BDAKNGLRSA-N -1 1 309.395 1.455 20 0 DDADMM CC[C@@H](C[C@@H](C)CO)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825082049 607994027 /nfs/dbraw/zinc/99/40/27/607994027.db2.gz PHGMOGBESXDMBF-BDAKNGLRSA-N -1 1 309.395 1.455 20 0 DDADMM O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000833232655 602019775 /nfs/dbraw/zinc/01/97/75/602019775.db2.gz FMUVCYXQNKCQDB-RYUDHWBXSA-N -1 1 321.377 1.312 20 0 DDADMM O=c1c2ccc(F)cc2ncn1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826501348 607542863 /nfs/dbraw/zinc/54/28/63/607542863.db2.gz LVVGHAOERFOMIT-UHFFFAOYSA-N -1 1 323.291 1.159 20 0 DDADMM O=c1c2ccc(F)cc2ncn1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826501348 607542865 /nfs/dbraw/zinc/54/28/65/607542865.db2.gz LVVGHAOERFOMIT-UHFFFAOYSA-N -1 1 323.291 1.159 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)NC1CCN(Cc2cccnc2)CC1 ZINC000827284015 602298841 /nfs/dbraw/zinc/29/88/41/602298841.db2.gz XQORKHBGTNBLTE-LBPRGKRZSA-N -1 1 305.378 1.273 20 0 DDADMM CS(=O)(=O)CCCN(C1CC1)C1CCN(C(=O)[O-])CC1 ZINC000739984251 602314877 /nfs/dbraw/zinc/31/48/77/602314877.db2.gz RCORYORQZYRZGQ-UHFFFAOYSA-N -1 1 304.412 1.028 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(CC(=O)NC(C2CC2)C2CC2)CC1 ZINC000739026560 602448850 /nfs/dbraw/zinc/44/88/50/602448850.db2.gz MGHMFGZROAVOLT-NSHDSACASA-N -1 1 323.437 1.659 20 0 DDADMM O=C([O-])N1CCCC[C@H]1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000740475468 602512786 /nfs/dbraw/zinc/51/27/86/602512786.db2.gz LLDPNYQGGKJNDP-ZDUSSCGKSA-N -1 1 315.333 1.738 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC000736333162 602544891 /nfs/dbraw/zinc/54/48/91/602544891.db2.gz ZXYKCPIIIREVRJ-UHFFFAOYSA-N -1 1 310.741 1.879 20 0 DDADMM NC(=O)[C@@H]1c2ccccc2CCN1CC1CCN(C(=O)[O-])CC1 ZINC000740329345 602591833 /nfs/dbraw/zinc/59/18/33/602591833.db2.gz QFFBCEDKKXGHIU-HNNXBMFYSA-N -1 1 317.389 1.461 20 0 DDADMM CC(C)N1CCN(C(=O)NCC[C@@H]2CCN(C(=O)[O-])C2)CC1 ZINC000738854063 602717795 /nfs/dbraw/zinc/71/77/95/602717795.db2.gz LWWHXTKUHLQHQP-CYBMUJFWSA-N -1 1 312.414 1.112 20 0 DDADMM CC[N@H+](CCNC(=O)c1ccc(NC(=O)[O-])cc1[O-])C(C)C ZINC000739434015 602858684 /nfs/dbraw/zinc/85/86/84/602858684.db2.gz KXBGMGPRQOASGI-UHFFFAOYSA-N -1 1 309.366 1.942 20 0 DDADMM CC[N@@H+](CCNC(=O)c1ccc(NC(=O)[O-])cc1[O-])C(C)C ZINC000739434015 602858686 /nfs/dbraw/zinc/85/86/86/602858686.db2.gz KXBGMGPRQOASGI-UHFFFAOYSA-N -1 1 309.366 1.942 20 0 DDADMM COc1ccccc1[C@H](NC(=O)[O-])C(=O)N[C@H]1CCCN(C)C1 ZINC000739979339 602905256 /nfs/dbraw/zinc/90/52/56/602905256.db2.gz RQIKORZOWKBNKW-FZMZJTMJSA-N -1 1 321.377 1.214 20 0 DDADMM O=C([O-])N1CCCC[C@H](NC(=O)N2CCN(C3CCC3)CC2)C1 ZINC000740517050 602977824 /nfs/dbraw/zinc/97/78/24/602977824.db2.gz CCEWZGCJUQLMJG-ZDUSSCGKSA-N -1 1 324.425 1.399 20 0 DDADMM CCc1cccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1N ZINC000825717998 607605068 /nfs/dbraw/zinc/60/50/68/607605068.db2.gz SQYZXXMDYWEYRE-UHFFFAOYSA-N -1 1 324.344 1.763 20 0 DDADMM CCc1cccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1N ZINC000825717998 607605070 /nfs/dbraw/zinc/60/50/70/607605070.db2.gz SQYZXXMDYWEYRE-UHFFFAOYSA-N -1 1 324.344 1.763 20 0 DDADMM CN(CCCNC(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000828297929 603556203 /nfs/dbraw/zinc/55/62/03/603556203.db2.gz JWRZZVIGRXADQF-UHFFFAOYSA-N -1 1 318.337 1.389 20 0 DDADMM O=C([O-])N1CCC[C@H]1[C@@H]1CCCN(CN2C(=O)CC23CCC3)C1 ZINC000832004023 603600491 /nfs/dbraw/zinc/60/04/91/603600491.db2.gz CIBWDJPJFCFXCG-KGLIPLIRSA-N -1 1 321.421 1.953 20 0 DDADMM CC(C)[C@@H](CCN(C)C(=O)N[C@H]1CCCN(C)C1)NC(=O)[O-] ZINC000824084898 603632493 /nfs/dbraw/zinc/63/24/93/603632493.db2.gz NEWHJMZPFOXNLS-QWHCGFSZSA-N -1 1 314.430 1.404 20 0 DDADMM C[C@H](CCNC(=O)[C@H](C)N(C)Cc1ccccc1)NC(=O)[O-] ZINC000824784954 603720467 /nfs/dbraw/zinc/72/04/67/603720467.db2.gz BKDPGAJWEBIOBQ-OLZOCXBDSA-N -1 1 307.394 1.669 20 0 DDADMM C[C@H](C(=O)N(C)Cc1ccccc1)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823655754 603790445 /nfs/dbraw/zinc/79/04/45/603790445.db2.gz VKSZDTFDZGVFCO-HIFRSBDPSA-N -1 1 319.405 1.718 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCN(C[C@@H]2CN(c3ccccc3)C(=O)O2)C1 ZINC000827883425 603820064 /nfs/dbraw/zinc/82/00/64/603820064.db2.gz XZZYVBTYMLWWKR-ZIAGYGMSSA-N -1 1 319.361 1.696 20 0 DDADMM CC(C)[C@H](CCN(C)CC(=O)N1CCC[C@H](C)C1)NC(=O)[O-] ZINC000825906888 603824715 /nfs/dbraw/zinc/82/47/15/603824715.db2.gz UUIPCULGHDCDRM-KBPBESRZSA-N -1 1 313.442 1.859 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000827816472 603943869 /nfs/dbraw/zinc/94/38/69/603943869.db2.gz VJZNVJYYHLPHGD-MNOVXSKESA-N -1 1 306.366 1.430 20 0 DDADMM CN(C[C@@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1)C(=O)[O-] ZINC000828176122 603944127 /nfs/dbraw/zinc/94/41/27/603944127.db2.gz OOOOOIQJZUMTQU-RYUDHWBXSA-N -1 1 320.393 1.678 20 0 DDADMM Cc1cc(CNC(=O)[C@H]2CCCCCC[C@H]2NC(=O)[O-])n[nH]1 ZINC000830040851 603986925 /nfs/dbraw/zinc/98/69/25/603986925.db2.gz DZJBBUCKDLWTNF-QWHCGFSZSA-N -1 1 308.382 1.941 20 0 DDADMM COc1ccc(OC)c([C@@H](O)CN2CCC[C@H]2CNC(=O)[O-])c1 ZINC000829494582 604088326 /nfs/dbraw/zinc/08/83/26/604088326.db2.gz QRNWMVJUMVUEKH-FZMZJTMJSA-N -1 1 324.377 1.469 20 0 DDADMM COc1ccc(NC(=O)CCc2nc[nH]n2)cc1NC(=O)[O-] ZINC000829454282 604150667 /nfs/dbraw/zinc/15/06/67/604150667.db2.gz RBPNEGKGJIBDBA-UHFFFAOYSA-N -1 1 305.294 1.475 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC000825238020 604261480 /nfs/dbraw/zinc/26/14/80/604261480.db2.gz WZVCBRXKLFIFSN-MWLCHTKSSA-N -1 1 306.366 1.795 20 0 DDADMM O=C([O-])c1ccc(NCCc2nc3ccc(F)cc3[nH]2)nn1 ZINC000833320750 604374111 /nfs/dbraw/zinc/37/41/11/604374111.db2.gz FPKVAGVACNUZKY-UHFFFAOYSA-N -1 1 301.281 1.845 20 0 DDADMM COC(=O)N1CC(NCc2cc(C)c(OCC(=O)[O-])c(C)c2)C1 ZINC000831198292 604420396 /nfs/dbraw/zinc/42/03/96/604420396.db2.gz FOKVKECOCZZLEL-UHFFFAOYSA-N -1 1 322.361 1.307 20 0 DDADMM Cc1nc(C)n([C@H]2CCCN(Cc3c[nH]c(C(=O)[O-])c3)C2)n1 ZINC000833755533 604638076 /nfs/dbraw/zinc/63/80/76/604638076.db2.gz QKVBZDXHBBUNIQ-ZDUSSCGKSA-N -1 1 303.366 1.758 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)CN(CC3CC3)C(=O)[O-])C2)n[nH]1 ZINC000830738055 604644809 /nfs/dbraw/zinc/64/48/09/604644809.db2.gz NXECNAJOCDFDJD-LBPRGKRZSA-N -1 1 321.381 1.209 20 0 DDADMM COC(=O)c1cc(CN2CCc3ccccc3[C@H]2C(=O)[O-])c[nH]1 ZINC000833713248 604676164 /nfs/dbraw/zinc/67/61/64/604676164.db2.gz UNSQOLNEFWNXOW-HNNXBMFYSA-N -1 1 314.341 1.985 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CN(C(=O)c2cccc3c[nH]nc32)CCO1 ZINC000825334048 604713251 /nfs/dbraw/zinc/71/32/51/604713251.db2.gz FBCDHSGFTRJOIP-SKDRFNHKSA-N -1 1 318.333 1.060 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)N1CC[C@@H](CO)C1 ZINC000832915523 604767863 /nfs/dbraw/zinc/76/78/63/604767863.db2.gz JDIPXLJZUJTJAU-VXGBXAGGSA-N -1 1 306.362 1.334 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)Cc1ccccc1C(=O)[O-] ZINC000833471520 604787228 /nfs/dbraw/zinc/78/72/28/604787228.db2.gz MAUJXESNJUXRLU-HNNXBMFYSA-N -1 1 304.390 1.726 20 0 DDADMM Cc1cc(CNC(=O)N2CCc3ccc(C(=O)[O-])cc3C2)n[nH]1 ZINC000833745459 604812668 /nfs/dbraw/zinc/81/26/68/604812668.db2.gz JKZKGZNDNBVXCP-UHFFFAOYSA-N -1 1 314.345 1.684 20 0 DDADMM CC(=O)c1ccccc1OCCN1CCC([C@H](O)C(=O)[O-])CC1 ZINC000833403176 604975810 /nfs/dbraw/zinc/97/58/10/604975810.db2.gz CXQQBSIQUXSZGO-INIZCTEOSA-N -1 1 321.373 1.426 20 0 DDADMM O=C([O-])[C@@H](O)C1CCN(Cc2cccc3c2OCCO3)CC1 ZINC000833770232 604982629 /nfs/dbraw/zinc/98/26/29/604982629.db2.gz ASDPIRODGOAGQC-AWEZNQCLSA-N -1 1 307.346 1.115 20 0 DDADMM CN(CC(=O)N[C@@H](Cc1ccccc1)C(=O)[O-])[C@H]1CCSC1 ZINC000820562192 605025995 /nfs/dbraw/zinc/02/59/95/605025995.db2.gz AVOLJVOUCYDKKZ-KBPBESRZSA-N -1 1 322.430 1.236 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)c1cncc(C(=O)[O-])c1 ZINC000833635934 605031143 /nfs/dbraw/zinc/03/11/43/605031143.db2.gz ZTFFXDMCIXJBPP-RISCZKNCSA-N -1 1 303.362 1.479 20 0 DDADMM CCN1C[C@H](NS(=O)(=O)c2ccsc2C(=O)[O-])[C@@H](C)C1 ZINC000833658009 605041700 /nfs/dbraw/zinc/04/17/00/605041700.db2.gz PMZUSFQNRMCKGY-IUCAKERBSA-N -1 1 318.420 1.065 20 0 DDADMM Cc1[nH]c(C(=O)N[C@@H]2CCc3nc[nH]c3C2)c(C)c1C(=O)[O-] ZINC000833739968 605057713 /nfs/dbraw/zinc/05/77/13/605057713.db2.gz KPNRUHAXJXUOKP-SECBINFHSA-N -1 1 302.334 1.340 20 0 DDADMM CC(C)Oc1ccccc1[C@@H](C)N[C@H](C)C(=O)NCC(=O)[O-] ZINC000833507644 605069718 /nfs/dbraw/zinc/06/97/18/605069718.db2.gz ZBFSLXKTISTWDN-VXGBXAGGSA-N -1 1 308.378 1.714 20 0 DDADMM CCO[C@H]1C[C@@H](NCc2cn(CC(=O)[O-])nn2)C1(CC)CC ZINC000833659977 605090949 /nfs/dbraw/zinc/09/09/49/605090949.db2.gz KELMAMRCDXRKBR-OLZOCXBDSA-N -1 1 310.398 1.436 20 0 DDADMM CCO[C@H]1C[C@H](NCc2cn(CC(=O)[O-])nn2)C12CCCCC2 ZINC000833662223 605092017 /nfs/dbraw/zinc/09/20/17/605092017.db2.gz YZICKUSFQYHTND-KBPBESRZSA-N -1 1 322.409 1.580 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN1CCC[C@H](OC)CC1)C(=O)[O-] ZINC000833640295 605272090 /nfs/dbraw/zinc/27/20/90/605272090.db2.gz WSCYOKIIGHYPCS-SGMGOOAPSA-N -1 1 300.399 1.103 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N[C@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000830036098 605293291 /nfs/dbraw/zinc/29/32/91/605293291.db2.gz JJSRLOYCNQPZTO-KOLCDFICSA-N -1 1 309.370 1.091 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCN(Cc3ccncc3)CC2)O1 ZINC000833581255 605374726 /nfs/dbraw/zinc/37/47/26/605374726.db2.gz VSEUZMSNSDIXCW-HNNXBMFYSA-N -1 1 317.389 1.347 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN(C)C[C@H]1CCCC[C@@H]1O)C(=O)[O-] ZINC000833640543 605393411 /nfs/dbraw/zinc/39/34/11/605393411.db2.gz ZPAFTHDVEAMPAW-XFMPKHEZSA-N -1 1 314.426 1.085 20 0 DDADMM CC(C)C[C@H](NC(=O)CN(C)C[C@H]1CCCC[C@@H]1O)C(=O)[O-] ZINC000833478934 605394423 /nfs/dbraw/zinc/39/44/23/605394423.db2.gz WLSLCWOBLBFHOU-RDBSUJKOSA-N -1 1 314.426 1.085 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(NC(=O)[O-])c(C)c1)c1nn[nH]n1 ZINC000826764903 605440712 /nfs/dbraw/zinc/44/07/12/605440712.db2.gz MEMDKTLADBUMPK-LLVKDONJSA-N -1 1 318.337 1.869 20 0 DDADMM O=C([O-])NCC1CCN(Cc2cnc(N3CCCC3)nc2)CC1 ZINC000834225900 605488563 /nfs/dbraw/zinc/48/85/63/605488563.db2.gz OEJJIRKAYKTDJD-UHFFFAOYSA-N -1 1 319.409 1.556 20 0 DDADMM Cn1cnnc1CN[C@H]1CN(C(=O)[O-])CC[C@@H]1c1ccccc1 ZINC000833958357 605575011 /nfs/dbraw/zinc/57/50/11/605575011.db2.gz YQAGDSZWCDFQDM-KGLIPLIRSA-N -1 1 315.377 1.441 20 0 DDADMM NC(=O)CN(CCC1CCN(C(=O)[O-])CC1)Cc1ccccc1 ZINC000833985522 605594488 /nfs/dbraw/zinc/59/44/88/605594488.db2.gz QRNLQWFBWZYVRU-UHFFFAOYSA-N -1 1 319.405 1.754 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCS[C@@H]3COCC[C@H]32)cn1 ZINC000834259726 605672416 /nfs/dbraw/zinc/67/24/16/605672416.db2.gz GLUULTMFRXQBKN-VXGBXAGGSA-N -1 1 309.391 1.878 20 0 DDADMM C[C@H](O)[C@@H]1CCN(CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC000833526216 605698340 /nfs/dbraw/zinc/69/83/40/605698340.db2.gz GUERDIBCCOQDIW-NWDGAFQWSA-N -1 1 306.362 1.050 20 0 DDADMM Cc1ccc(C)c(OC[C@H](O)CN2CC[C@H](NC(=O)[O-])C2)c1C ZINC000740119322 605929019 /nfs/dbraw/zinc/92/90/19/605929019.db2.gz NUAGZNOCKZWQSO-LSDHHAIUSA-N -1 1 322.405 1.693 20 0 DDADMM O=C([O-])N1CCC[C@@H](NCc2n[nH]cc2Br)CC1 ZINC000834101533 606040597 /nfs/dbraw/zinc/04/05/97/606040597.db2.gz SVHDEDYVHGCBJG-MRVPVSSYSA-N -1 1 317.187 1.794 20 0 DDADMM C[C@H]1CN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C(C)(C)C1 ZINC000820768244 606145587 /nfs/dbraw/zinc/14/55/87/606145587.db2.gz LWIAEVNKSONVFR-SECBINFHSA-N -1 1 322.394 1.071 20 0 DDADMM C[C@H]1CN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C(C)(C)C1 ZINC000820768244 606145588 /nfs/dbraw/zinc/14/55/88/606145588.db2.gz LWIAEVNKSONVFR-SECBINFHSA-N -1 1 322.394 1.071 20 0 DDADMM C[C@@H]1CCCCCN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820705696 606160675 /nfs/dbraw/zinc/16/06/75/606160675.db2.gz WJUKXVAWHWIDHC-SECBINFHSA-N -1 1 304.358 1.397 20 0 DDADMM C[C@@H]1CCCCCN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820705696 606160676 /nfs/dbraw/zinc/16/06/76/606160676.db2.gz WJUKXVAWHWIDHC-SECBINFHSA-N -1 1 304.358 1.397 20 0 DDADMM NC(=O)c1ccc(Oc2ccc(Cl)c(-c3nnn[n-]3)n2)cc1 ZINC000822885989 606441117 /nfs/dbraw/zinc/44/11/17/606441117.db2.gz XJCWBBKGRAOOJT-UHFFFAOYSA-N -1 1 316.708 1.806 20 0 DDADMM NC(=O)c1ccc(Oc2ccc(Cl)c(-c3nn[n-]n3)n2)cc1 ZINC000822885989 606441119 /nfs/dbraw/zinc/44/11/19/606441119.db2.gz XJCWBBKGRAOOJT-UHFFFAOYSA-N -1 1 316.708 1.806 20 0 DDADMM C[C@@H](N(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C1(C)CC1 ZINC000820306718 606473383 /nfs/dbraw/zinc/47/33/83/606473383.db2.gz CYNRKDTWTKTLJO-SECBINFHSA-N -1 1 322.394 1.071 20 0 DDADMM C[C@@H](N(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C1(C)CC1 ZINC000820306718 606473385 /nfs/dbraw/zinc/47/33/85/606473385.db2.gz CYNRKDTWTKTLJO-SECBINFHSA-N -1 1 322.394 1.071 20 0 DDADMM O=C(NCCSC(F)(F)F)c1ccc(-c2nnn[n-]2)s1 ZINC000823220119 606516114 /nfs/dbraw/zinc/51/61/14/606516114.db2.gz XZYPVRARCUKTCU-UHFFFAOYSA-N -1 1 323.325 1.911 20 0 DDADMM O=C(NCCSC(F)(F)F)c1ccc(-c2nn[n-]n2)s1 ZINC000823220119 606516116 /nfs/dbraw/zinc/51/61/16/606516116.db2.gz XZYPVRARCUKTCU-UHFFFAOYSA-N -1 1 323.325 1.911 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)c1ccccn1 ZINC000820396826 606517835 /nfs/dbraw/zinc/51/78/35/606517835.db2.gz IMHBLLANGNHPAP-MRVPVSSYSA-N -1 1 300.347 1.814 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)c1ccccn1 ZINC000820396826 606517836 /nfs/dbraw/zinc/51/78/36/606517836.db2.gz IMHBLLANGNHPAP-MRVPVSSYSA-N -1 1 300.347 1.814 20 0 DDADMM O=C(Cc1c(F)cccc1Cl)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000823074451 606531298 /nfs/dbraw/zinc/53/12/98/606531298.db2.gz SBZBKSSWOXYNJA-UHFFFAOYSA-N -1 1 321.703 1.564 20 0 DDADMM O=C(Cc1c(F)cccc1Cl)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000823074451 606531301 /nfs/dbraw/zinc/53/13/01/606531301.db2.gz SBZBKSSWOXYNJA-UHFFFAOYSA-N -1 1 321.703 1.564 20 0 DDADMM C[C@H]1CCCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820705579 606595292 /nfs/dbraw/zinc/59/52/92/606595292.db2.gz VKRZELKQKABPRG-WPRPVWTQSA-N -1 1 304.358 1.300 20 0 DDADMM C[C@H]1CCCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820705579 606595294 /nfs/dbraw/zinc/59/52/94/606595294.db2.gz VKRZELKQKABPRG-WPRPVWTQSA-N -1 1 304.358 1.300 20 0 DDADMM C[C@](O)(CNC(=O)c1ccc(-c2nnn[n-]2)s1)c1ccco1 ZINC000820467663 606598333 /nfs/dbraw/zinc/59/83/33/606598333.db2.gz LCZAVOOTVDRPES-ZDUSSCGKSA-N -1 1 319.346 1.159 20 0 DDADMM C[C@](O)(CNC(=O)c1ccc(-c2nn[n-]n2)s1)c1ccco1 ZINC000820467663 606598335 /nfs/dbraw/zinc/59/83/35/606598335.db2.gz LCZAVOOTVDRPES-ZDUSSCGKSA-N -1 1 319.346 1.159 20 0 DDADMM CCC[C@H](CCO)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821021937 606598627 /nfs/dbraw/zinc/59/86/27/606598627.db2.gz QDXMZGOXLFVGJZ-SECBINFHSA-N -1 1 309.395 1.457 20 0 DDADMM CCC[C@H](CCO)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821021937 606598628 /nfs/dbraw/zinc/59/86/28/606598628.db2.gz QDXMZGOXLFVGJZ-SECBINFHSA-N -1 1 309.395 1.457 20 0 DDADMM O=C(OCC[C@@H]1CCOC1=O)c1ccc(-c2nnn[n-]2)s1 ZINC000823400693 606635564 /nfs/dbraw/zinc/63/55/64/606635564.db2.gz IBVLPTQDVOXUAX-ZETCQYMHSA-N -1 1 308.319 1.038 20 0 DDADMM O=C(OCC[C@@H]1CCOC1=O)c1ccc(-c2nn[n-]n2)s1 ZINC000823400693 606635565 /nfs/dbraw/zinc/63/55/65/606635565.db2.gz IBVLPTQDVOXUAX-ZETCQYMHSA-N -1 1 308.319 1.038 20 0 DDADMM Clc1cc(Cn2ccnc2-c2nnn[n-]2)cc2c1OCCO2 ZINC000822568485 606654958 /nfs/dbraw/zinc/65/49/58/606654958.db2.gz SFVPRPUFSKQZKM-UHFFFAOYSA-N -1 1 318.724 1.536 20 0 DDADMM Clc1cc(Cn2ccnc2-c2nn[n-]n2)cc2c1OCCO2 ZINC000822568485 606654959 /nfs/dbraw/zinc/65/49/59/606654959.db2.gz SFVPRPUFSKQZKM-UHFFFAOYSA-N -1 1 318.724 1.536 20 0 DDADMM CSc1[nH]c(=O)c(C(=O)N(C)C(C)C)cc1-c1nn[n-]n1 ZINC000821969497 606658332 /nfs/dbraw/zinc/65/83/32/606658332.db2.gz AWKAQBMPNYUHAQ-UHFFFAOYSA-N -1 1 308.367 1.170 20 0 DDADMM Clc1ccc(NCCCO[C@H]2CCOC2)nc1-c1nnn[n-]1 ZINC000822578284 606801726 /nfs/dbraw/zinc/80/17/26/606801726.db2.gz GECSPAHSWSPYON-VIFPVBQESA-N -1 1 324.772 1.523 20 0 DDADMM Clc1ccc(NCCCO[C@H]2CCOC2)nc1-c1nn[n-]n1 ZINC000822578284 606801728 /nfs/dbraw/zinc/80/17/28/606801728.db2.gz GECSPAHSWSPYON-VIFPVBQESA-N -1 1 324.772 1.523 20 0 DDADMM Cc1cccc([C@@H](O)CNC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000822288617 607162452 /nfs/dbraw/zinc/16/24/52/607162452.db2.gz DDJUIXLTHUUGJA-AWEZNQCLSA-N -1 1 324.344 1.034 20 0 DDADMM Cc1cccc([C@@H](O)CNC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000822288617 607162453 /nfs/dbraw/zinc/16/24/53/607162453.db2.gz DDJUIXLTHUUGJA-AWEZNQCLSA-N -1 1 324.344 1.034 20 0 DDADMM O=C(N[C@H]1CCCC(F)(F)C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823151037 607169365 /nfs/dbraw/zinc/16/93/65/607169365.db2.gz VYCAJPXERLIUPC-VIFPVBQESA-N -1 1 308.292 1.569 20 0 DDADMM O=C(N[C@H]1CCCC(F)(F)C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823151037 607169366 /nfs/dbraw/zinc/16/93/66/607169366.db2.gz VYCAJPXERLIUPC-VIFPVBQESA-N -1 1 308.292 1.569 20 0 DDADMM CNc1nc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])cs1 ZINC000821637479 607234403 /nfs/dbraw/zinc/23/44/03/607234403.db2.gz HLVNLOJDGMHMAC-UHFFFAOYSA-N -1 1 317.334 1.323 20 0 DDADMM CN(C)c1ncc(NC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000825883377 607775056 /nfs/dbraw/zinc/77/50/56/607775056.db2.gz GGLFMHMDNRLBRS-UHFFFAOYSA-N -1 1 316.350 1.037 20 0 DDADMM CN(C)c1ncc(NC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000825883377 607775057 /nfs/dbraw/zinc/77/50/57/607775057.db2.gz GGLFMHMDNRLBRS-UHFFFAOYSA-N -1 1 316.350 1.037 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)c2cccnc2O1 ZINC000824976442 607791258 /nfs/dbraw/zinc/79/12/58/607791258.db2.gz OTXNIFICUDVLAH-VIFPVBQESA-N -1 1 323.316 1.084 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)c2cccnc2O1 ZINC000824976442 607791259 /nfs/dbraw/zinc/79/12/59/607791259.db2.gz OTXNIFICUDVLAH-VIFPVBQESA-N -1 1 323.316 1.084 20 0 DDADMM Fc1cccc(OCc2nc(C3CC3)no2)c1-c1nnn[n-]1 ZINC000826378038 607867262 /nfs/dbraw/zinc/86/72/62/607867262.db2.gz RUWCBWMRPNSEGM-UHFFFAOYSA-N -1 1 302.269 1.845 20 0 DDADMM Fc1cccc(OCc2nc(C3CC3)no2)c1-c1nn[n-]n1 ZINC000826378038 607867263 /nfs/dbraw/zinc/86/72/63/607867263.db2.gz RUWCBWMRPNSEGM-UHFFFAOYSA-N -1 1 302.269 1.845 20 0 DDADMM Cn1c2ccc(Nc3cccc(-c4nnn[n-]4)n3)cc2n(C)c1=O ZINC000826354864 607898299 /nfs/dbraw/zinc/89/82/99/607898299.db2.gz YURIUFLYIAFGGB-UHFFFAOYSA-N -1 1 322.332 1.196 20 0 DDADMM Cn1c2ccc(Nc3cccc(-c4nn[n-]n4)n3)cc2n(C)c1=O ZINC000826354864 607898300 /nfs/dbraw/zinc/89/83/00/607898300.db2.gz YURIUFLYIAFGGB-UHFFFAOYSA-N -1 1 322.332 1.196 20 0 DDADMM Cc1nnc([C@@H]2CCCN(c3cccc(-c4nnn[n-]4)n3)C2)o1 ZINC000826335323 607898447 /nfs/dbraw/zinc/89/84/47/607898447.db2.gz ITCZLBLKENYKGD-SNVBAGLBSA-N -1 1 312.337 1.337 20 0 DDADMM Cc1nnc([C@@H]2CCCN(c3cccc(-c4nn[n-]n4)n3)C2)o1 ZINC000826335323 607898448 /nfs/dbraw/zinc/89/84/48/607898448.db2.gz ITCZLBLKENYKGD-SNVBAGLBSA-N -1 1 312.337 1.337 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000826394877 607928823 /nfs/dbraw/zinc/92/88/23/607928823.db2.gz COPLBAXXEKVELV-GARJFASQSA-N -1 1 315.381 1.892 20 0 DDADMM c1cn(Cc2nc(CCc3ccccc3)no2)c(-c2nnn[n-]2)n1 ZINC000826521745 608012976 /nfs/dbraw/zinc/01/29/76/608012976.db2.gz XHXFKLONRMMZGG-UHFFFAOYSA-N -1 1 322.332 1.280 20 0 DDADMM c1cn(Cc2nc(CCc3ccccc3)no2)c(-c2nn[n-]n2)n1 ZINC000826521745 608012977 /nfs/dbraw/zinc/01/29/77/608012977.db2.gz XHXFKLONRMMZGG-UHFFFAOYSA-N -1 1 322.332 1.280 20 0 DDADMM COc1cc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)ccn1 ZINC000826179985 608104340 /nfs/dbraw/zinc/10/43/40/608104340.db2.gz NUFURWMEGORGMV-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM COc1cc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)ccn1 ZINC000826179985 608104341 /nfs/dbraw/zinc/10/43/41/608104341.db2.gz NUFURWMEGORGMV-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM O=CNc1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)cc1 ZINC000826483882 608104947 /nfs/dbraw/zinc/10/49/47/608104947.db2.gz DJNKYXYZRLGACT-UHFFFAOYSA-N -1 1 324.300 1.187 20 0 DDADMM O=CNc1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)cc1 ZINC000826483882 608104948 /nfs/dbraw/zinc/10/49/48/608104948.db2.gz DJNKYXYZRLGACT-UHFFFAOYSA-N -1 1 324.300 1.187 20 0 DDADMM CC(C)OC(=O)CCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000824309845 608171428 /nfs/dbraw/zinc/17/14/28/608171428.db2.gz XDODZRVBPQIRLY-UHFFFAOYSA-N -1 1 316.774 1.730 20 0 DDADMM CC(C)OC(=O)CCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000824309845 608171430 /nfs/dbraw/zinc/17/14/30/608171430.db2.gz XDODZRVBPQIRLY-UHFFFAOYSA-N -1 1 316.774 1.730 20 0 DDADMM Clc1nsc(N2CCc3c[nH]nc3C2)c1-c1nn[n-]n1 ZINC000826354120 608192958 /nfs/dbraw/zinc/19/29/58/608192958.db2.gz QDNPSMHZEVRQBT-UHFFFAOYSA-N -1 1 308.758 1.262 20 0 DDADMM c1cc(N[C@@H]2CCO[C@@]3(CCOC3)C2)nc(-c2nnn[n-]2)c1 ZINC000826517181 608199187 /nfs/dbraw/zinc/19/91/87/608199187.db2.gz UKXGFQBRPJXLBU-YGRLFVJLSA-N -1 1 302.338 1.012 20 0 DDADMM c1cc(N[C@@H]2CCO[C@@]3(CCOC3)C2)nc(-c2nn[n-]n2)c1 ZINC000826517181 608199189 /nfs/dbraw/zinc/19/91/89/608199189.db2.gz UKXGFQBRPJXLBU-YGRLFVJLSA-N -1 1 302.338 1.012 20 0 DDADMM c1nnc(-c2ccc(Oc3ccc(-c4nnn[n-]4)nn3)cc2)o1 ZINC000826527084 608390795 /nfs/dbraw/zinc/39/07/95/608390795.db2.gz YHXAGZFLKXAQCF-UHFFFAOYSA-N -1 1 308.261 1.499 20 0 DDADMM c1nnc(-c2ccc(Oc3ccc(-c4nn[n-]n4)nn3)cc2)o1 ZINC000826527084 608390796 /nfs/dbraw/zinc/39/07/96/608390796.db2.gz YHXAGZFLKXAQCF-UHFFFAOYSA-N -1 1 308.261 1.499 20 0 DDADMM c1ccc2sc(CCNc3ccc(-c4nnn[n-]4)nn3)nc2c1 ZINC000826523933 608392491 /nfs/dbraw/zinc/39/24/91/608392491.db2.gz CIOMJDTVDCUOIG-UHFFFAOYSA-N -1 1 324.373 1.921 20 0 DDADMM c1ccc2sc(CCNc3ccc(-c4nn[n-]n4)nn3)nc2c1 ZINC000826523933 608392493 /nfs/dbraw/zinc/39/24/93/608392493.db2.gz CIOMJDTVDCUOIG-UHFFFAOYSA-N -1 1 324.373 1.921 20 0 DDADMM CN(Cc1ccc(F)cc1F)c1ccc(-c2nnn[n-]2)nn1 ZINC000825966218 608395215 /nfs/dbraw/zinc/39/52/15/608395215.db2.gz TUKZETXYWVYZPU-UHFFFAOYSA-N -1 1 303.276 1.571 20 0 DDADMM CN(Cc1ccc(F)cc1F)c1ccc(-c2nn[n-]n2)nn1 ZINC000825966218 608395217 /nfs/dbraw/zinc/39/52/17/608395217.db2.gz TUKZETXYWVYZPU-UHFFFAOYSA-N -1 1 303.276 1.571 20 0 DDADMM Cc1nc(CCn2nc(C)c(C)c(-c3nn[n-]n3)c2=O)cs1 ZINC000826326069 608395707 /nfs/dbraw/zinc/39/57/07/608395707.db2.gz YJXSIBYIIJNWLZ-UHFFFAOYSA-N -1 1 317.378 1.048 20 0 DDADMM c1ccc([C@@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCO2)cc1 ZINC000826520282 608396338 /nfs/dbraw/zinc/39/63/38/608396338.db2.gz FATYRFFZVWLHFA-ZDUSSCGKSA-N -1 1 309.333 1.235 20 0 DDADMM c1ccc([C@@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCO2)cc1 ZINC000826520282 608396339 /nfs/dbraw/zinc/39/63/39/608396339.db2.gz FATYRFFZVWLHFA-ZDUSSCGKSA-N -1 1 309.333 1.235 20 0 DDADMM Fc1cccc([C@@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)c1 ZINC000826376664 608413859 /nfs/dbraw/zinc/41/38/59/608413859.db2.gz NCLNVYDBEXQFBV-LLVKDONJSA-N -1 1 311.324 1.790 20 0 DDADMM Fc1cccc([C@@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)c1 ZINC000826376664 608413860 /nfs/dbraw/zinc/41/38/60/608413860.db2.gz NCLNVYDBEXQFBV-LLVKDONJSA-N -1 1 311.324 1.790 20 0 DDADMM OCC[C@H](Nc1ccc(-c2nnn[n-]2)nn1)C1CCCCC1 ZINC000826509519 608420030 /nfs/dbraw/zinc/42/00/30/608420030.db2.gz XVCUVHOZOUDFSH-NSHDSACASA-N -1 1 303.370 1.400 20 0 DDADMM OCC[C@H](Nc1ccc(-c2nn[n-]n2)nn1)C1CCCCC1 ZINC000826509519 608420032 /nfs/dbraw/zinc/42/00/32/608420032.db2.gz XVCUVHOZOUDFSH-NSHDSACASA-N -1 1 303.370 1.400 20 0 DDADMM CCc1ccc([C@@H](COC)Nc2ccc(-c3nnn[n-]3)nn2)o1 ZINC000825704955 608422658 /nfs/dbraw/zinc/42/26/58/608422658.db2.gz RMMJGVZILWSPBI-LLVKDONJSA-N -1 1 315.337 1.612 20 0 DDADMM CCc1ccc([C@@H](COC)Nc2ccc(-c3nn[n-]n3)nn2)o1 ZINC000825704955 608422659 /nfs/dbraw/zinc/42/26/59/608422659.db2.gz RMMJGVZILWSPBI-LLVKDONJSA-N -1 1 315.337 1.612 20 0 DDADMM O=C1CCCc2cc(Oc3ccc(-c4nnn[n-]4)nn3)ccc2N1 ZINC000826480797 608439593 /nfs/dbraw/zinc/43/95/93/608439593.db2.gz RGBRGPMIEPIMFR-UHFFFAOYSA-N -1 1 323.316 1.724 20 0 DDADMM O=C1CCCc2cc(Oc3ccc(-c4nn[n-]n4)nn3)ccc2N1 ZINC000826480797 608439595 /nfs/dbraw/zinc/43/95/95/608439595.db2.gz RGBRGPMIEPIMFR-UHFFFAOYSA-N -1 1 323.316 1.724 20 0 DDADMM c1coc(CN2CCN(Cc3ccc(-c4nnn[n-]4)o3)CC2)c1 ZINC000826526269 608507715 /nfs/dbraw/zinc/50/77/15/608507715.db2.gz RMLVXVZWFZDOID-UHFFFAOYSA-N -1 1 314.349 1.371 20 0 DDADMM c1coc(CN2CCN(Cc3ccc(-c4nn[n-]n4)o3)CC2)c1 ZINC000826526269 608507716 /nfs/dbraw/zinc/50/77/16/608507716.db2.gz RMLVXVZWFZDOID-UHFFFAOYSA-N -1 1 314.349 1.371 20 0 DDADMM c1cc(-c2nn[n-]n2)sc1CN1CCC[C@H]1c1ncon1 ZINC000826527058 608665789 /nfs/dbraw/zinc/66/57/89/608665789.db2.gz XNYPRRYKYOQVAJ-VIFPVBQESA-N -1 1 303.351 1.648 20 0 DDADMM c1csc([C@@H]2CN(Cc3ccc(-c4nnn[n-]4)o3)CCO2)n1 ZINC000826525866 608668349 /nfs/dbraw/zinc/66/83/49/608668349.db2.gz CBTZVWBZUJOLKU-NSHDSACASA-N -1 1 318.362 1.490 20 0 DDADMM c1csc([C@@H]2CN(Cc3ccc(-c4nn[n-]n4)o3)CCO2)n1 ZINC000826525866 608668351 /nfs/dbraw/zinc/66/83/51/608668351.db2.gz CBTZVWBZUJOLKU-NSHDSACASA-N -1 1 318.362 1.490 20 0 DDADMM C[C@H](C(=O)OC(C)(C)C)N(C)Cc1ccc(-c2nnn[n-]2)o1 ZINC000824052980 608730254 /nfs/dbraw/zinc/73/02/54/608730254.db2.gz UMKZSYRMZJWMGA-SECBINFHSA-N -1 1 307.354 1.622 20 0 DDADMM C[C@H](C(=O)OC(C)(C)C)N(C)Cc1ccc(-c2nn[n-]n2)o1 ZINC000824052980 608730255 /nfs/dbraw/zinc/73/02/55/608730255.db2.gz UMKZSYRMZJWMGA-SECBINFHSA-N -1 1 307.354 1.622 20 0 DDADMM FC(F)(F)CSCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826367470 608797034 /nfs/dbraw/zinc/79/70/34/608797034.db2.gz AHOBOPPMGQIXMK-UHFFFAOYSA-N -1 1 305.289 1.364 20 0 DDADMM FC(F)(F)CSCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826367470 608797035 /nfs/dbraw/zinc/79/70/35/608797035.db2.gz AHOBOPPMGQIXMK-UHFFFAOYSA-N -1 1 305.289 1.364 20 0 DDADMM Cc1ccccc1-c1nc(Cn2ccnc2-c2nnn[n-]2)no1 ZINC000826305166 608888854 /nfs/dbraw/zinc/88/88/54/608888854.db2.gz NCEWOJMFLDFLNY-UHFFFAOYSA-N -1 1 308.305 1.470 20 0 DDADMM Cc1ccccc1-c1nc(Cn2ccnc2-c2nn[n-]n2)no1 ZINC000826305166 608888858 /nfs/dbraw/zinc/88/88/58/608888858.db2.gz NCEWOJMFLDFLNY-UHFFFAOYSA-N -1 1 308.305 1.470 20 0 DDADMM COCCC[C@@H](C)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826155757 609354020 /nfs/dbraw/zinc/35/40/20/609354020.db2.gz ASDOUEYMGBRIOO-SNVBAGLBSA-N -1 1 305.338 1.368 20 0 DDADMM COCCC[C@@H](C)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826155757 609354023 /nfs/dbraw/zinc/35/40/23/609354023.db2.gz ASDOUEYMGBRIOO-SNVBAGLBSA-N -1 1 305.338 1.368 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc(O)c2F)c1-c1nn[n-]n1 ZINC000826348986 609469447 /nfs/dbraw/zinc/46/94/47/609469447.db2.gz OZTARRDMPNEDKB-UHFFFAOYSA-N -1 1 318.268 1.189 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973200043 695444449 /nfs/dbraw/zinc/44/44/49/695444449.db2.gz XBLQEOKLYMBBCX-IJLUTSLNSA-N -1 1 317.389 1.600 20 0 DDADMM Cc1cc[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974058423 695629563 /nfs/dbraw/zinc/62/95/63/695629563.db2.gz XUGAXVPCFKGDDY-PHIMTYICSA-N -1 1 314.345 1.115 20 0 DDADMM CO[C@@H]1CCN(C(=O)N[C@H]2CCCc3cn[nH]c32)[C@H](C(=O)[O-])C1 ZINC000797725947 700021334 /nfs/dbraw/zinc/02/13/34/700021334.db2.gz XPGNWBOKDGARGK-WOPDTQHZSA-N -1 1 322.365 1.061 20 0 DDADMM O=C(C1CCCCCCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798147636 700044162 /nfs/dbraw/zinc/04/41/62/700044162.db2.gz CVFRVASFJUOCFE-UHFFFAOYSA-N -1 1 307.398 1.370 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)C1CCCC1 ZINC000977240090 696084673 /nfs/dbraw/zinc/08/46/73/696084673.db2.gz QPECKIYJWQTDJQ-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM COc1ncccc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000031481702 696120868 /nfs/dbraw/zinc/12/08/68/696120868.db2.gz CJHUNNOHRLHMQD-UHFFFAOYSA-N -1 1 314.297 1.204 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)NC1CCN(C)CC1 ZINC000037249711 696132187 /nfs/dbraw/zinc/13/21/87/696132187.db2.gz YVRUGNYWGNSTPN-UHFFFAOYSA-N -1 1 312.391 1.066 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)CC(=O)N1CCCC1 ZINC000747351253 700065701 /nfs/dbraw/zinc/06/57/01/700065701.db2.gz ZFBQSNZRMLZANW-UHFFFAOYSA-N -1 1 320.393 1.308 20 0 DDADMM CCOC(=O)C1=C(NC(=O)COC)[N-]c2ccccc2N=C1C ZINC000042619775 696149680 /nfs/dbraw/zinc/14/96/80/696149680.db2.gz SQBBEZXVJGDDKH-UHFFFAOYSA-N -1 1 317.345 1.742 20 0 DDADMM CCc1nncn1CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747401914 700068050 /nfs/dbraw/zinc/06/80/50/700068050.db2.gz FXDPSXUKAWWVTJ-UHFFFAOYSA-N -1 1 318.381 1.198 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCC[C@H](C(N)=O)C1 ZINC000747400343 700068179 /nfs/dbraw/zinc/06/81/79/700068179.db2.gz ZCADGGUIESFZFL-WDEREUQCSA-N -1 1 320.393 1.388 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977621679 696238052 /nfs/dbraw/zinc/23/80/52/696238052.db2.gz UKEFTVRTKQDNDG-TUAOUCFPSA-N -1 1 303.362 1.116 20 0 DDADMM CC(=O)[C@H](C)OC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000057604395 696298435 /nfs/dbraw/zinc/29/84/35/696298435.db2.gz PXSVBWSDOSRJCW-NSHDSACASA-N -1 1 305.334 1.505 20 0 DDADMM CCn1c(CNC(=O)c2cc(-c3ccco3)n[nH]2)n[n-]c1=S ZINC000066636860 696354385 /nfs/dbraw/zinc/35/43/85/696354385.db2.gz NWIYJAMJOLKPSQ-UHFFFAOYSA-N -1 1 318.362 1.874 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cccc(N3CCOC3=O)c2)c1[O-] ZINC000747650237 700079713 /nfs/dbraw/zinc/07/97/13/700079713.db2.gz GUFCFHHAMRNKTR-UHFFFAOYSA-N -1 1 302.290 1.633 20 0 DDADMM O=C(C=C1CCC1)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741850 696450358 /nfs/dbraw/zinc/45/03/58/696450358.db2.gz KIDLUAPRJWWVON-BETUJISGSA-N -1 1 315.373 1.522 20 0 DDADMM O=C(N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1)c1cc[nH]c1 ZINC000978754930 696452518 /nfs/dbraw/zinc/45/25/18/696452518.db2.gz RRNHQSOINKMBOU-KLPPZKSPSA-N -1 1 314.345 1.054 20 0 DDADMM CCCc1cc(C(=O)[N-]NC(=O)c2cccc(N(C)C)c2)n[nH]1 ZINC000078869755 696456005 /nfs/dbraw/zinc/45/60/05/696456005.db2.gz DFCDRWGFGSWULA-UHFFFAOYSA-N -1 1 315.377 1.503 20 0 DDADMM CN(Cc1ccc(F)c(F)c1)C(=O)Cc1sc(N)nc1[O-] ZINC000079455335 696460826 /nfs/dbraw/zinc/46/08/26/696460826.db2.gz WVWWZHVYPQFRFB-SNVBAGLBSA-N -1 1 313.329 1.270 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cnn(-c3ccccc3)c2)s1 ZINC000079765305 696463497 /nfs/dbraw/zinc/46/34/97/696463497.db2.gz SFIWDIVBDSIANQ-LLVKDONJSA-N -1 1 315.358 1.158 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H]2CCc3ccc(F)cc32)s1 ZINC000080087950 696530364 /nfs/dbraw/zinc/53/03/64/696530364.db2.gz OLWLGLAZSRYXQX-QWRGUYRKSA-N -1 1 307.350 1.276 20 0 DDADMM Cc1cccnc1[C@H](NC(=O)Cc1sc(N)nc1[O-])C(C)C ZINC000080306034 696532814 /nfs/dbraw/zinc/53/28/14/696532814.db2.gz GCIHVWFCXLDPAT-ZYHUDNBSSA-N -1 1 320.418 1.550 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCC2(c3cccc(F)c3)CC2)s1 ZINC000080417923 696534708 /nfs/dbraw/zinc/53/47/08/696534708.db2.gz PINHLCCVYZNBJR-NSHDSACASA-N -1 1 321.377 1.320 20 0 DDADMM CCc1cccc(C(=O)NN=c2nc(OC)cc(C)[n-]2)c1O ZINC000081804243 696548255 /nfs/dbraw/zinc/54/82/55/696548255.db2.gz UBNKVLIYZVOMFP-UHFFFAOYSA-N -1 1 302.334 1.819 20 0 DDADMM CC1(C)CN(CC(=O)N[N-]C(=O)c2cccs2)CCS1 ZINC000083416588 696552212 /nfs/dbraw/zinc/55/22/12/696552212.db2.gz XOTXECLIVUIUNI-UHFFFAOYSA-N -1 1 313.448 1.337 20 0 DDADMM CCc1ccccc1S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C ZINC000798863247 700102762 /nfs/dbraw/zinc/10/27/62/700102762.db2.gz XQAORIOTYMFMFF-AWEZNQCLSA-N -1 1 312.435 1.640 20 0 DDADMM CC[C@H](CNC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000120831392 696702122 /nfs/dbraw/zinc/70/21/22/696702122.db2.gz LTYCEBFUPSOPIA-ZYHUDNBSSA-N -1 1 305.403 1.643 20 0 DDADMM CC(=O)N1CSC[C@H]1C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000129525467 696789589 /nfs/dbraw/zinc/78/95/89/696789589.db2.gz MHKAXWSVGPAWHY-JTQLQIEISA-N -1 1 300.767 1.906 20 0 DDADMM CC[C@H](C)OCC(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799023355 700110680 /nfs/dbraw/zinc/11/06/80/700110680.db2.gz ZJFMUVVOFRIBOJ-JTQLQIEISA-N -1 1 324.343 1.719 20 0 DDADMM CC(C)OC(=O)CNC(=O)c1ccc(Br)c([O-])c1 ZINC000152526281 696900930 /nfs/dbraw/zinc/90/09/30/696900930.db2.gz AOJMCIDENAAFGU-UHFFFAOYSA-N -1 1 316.151 1.836 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)c2ccc[nH]2)C1)c1ncccc1[O-] ZINC000982431260 697127511 /nfs/dbraw/zinc/12/75/11/697127511.db2.gz KISDPCWQLCJZLG-NSHDSACASA-N -1 1 314.345 1.007 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)c2ccoc2)C1)c1ncccc1[O-] ZINC000982442786 697129456 /nfs/dbraw/zinc/12/94/56/697129456.db2.gz BUSLVTYKMNRTRJ-LLVKDONJSA-N -1 1 315.329 1.272 20 0 DDADMM CCCc1ccc(N2C[C@H](C(=O)[N-]OCCOC)CC2=O)cc1 ZINC000748770464 700133737 /nfs/dbraw/zinc/13/37/37/700133737.db2.gz UTJROAGWWGZUHY-CQSZACIVSA-N -1 1 320.389 1.686 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)C2CC=CC2)C1)c1ncccc1[O-] ZINC000982573124 697152160 /nfs/dbraw/zinc/15/21/60/697152160.db2.gz BTWOTBLHCRWIPT-LBPRGKRZSA-N -1 1 315.373 1.332 20 0 DDADMM CCCCC[C@H]([N-]S(=O)(=O)CC1(C#N)CC1)C(=O)OC ZINC000165900810 697340506 /nfs/dbraw/zinc/34/05/06/697340506.db2.gz VHSZNVTYUYSVIU-NSHDSACASA-N -1 1 302.396 1.331 20 0 DDADMM CN([N-]C(=O)c1ccc([N+](=O)[O-])cc1Br)C(N)=O ZINC000182644468 697477691 /nfs/dbraw/zinc/47/76/91/697477691.db2.gz HURXTONZUMXSBS-UHFFFAOYSA-N -1 1 317.099 1.013 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2[nH]nc(C3CC3)c2C)cn1 ZINC000799642059 700155888 /nfs/dbraw/zinc/15/58/88/700155888.db2.gz TYSBWGRHVXSYGH-UHFFFAOYSA-N -1 1 308.363 1.800 20 0 DDADMM Cc1cc(C(=O)[O-])cc(S(=O)(=O)NCCN(C)C)c1Cl ZINC000184851867 697508960 /nfs/dbraw/zinc/50/89/60/697508960.db2.gz WBDNIFNTCKMFDJ-UHFFFAOYSA-N -1 1 320.798 1.187 20 0 DDADMM C[C@H]1C(=O)N(C)CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000187023498 697540420 /nfs/dbraw/zinc/54/04/20/697540420.db2.gz NQOYGBQMEIFWPG-JTQLQIEISA-N -1 1 302.330 1.161 20 0 DDADMM N#Cc1ccc(C(=O)NC[C@@H](O)c2cccc(F)c2)cc1[O-] ZINC000188379672 697558055 /nfs/dbraw/zinc/55/80/55/697558055.db2.gz WRTAHHJECHIVFA-OAHLLOKOSA-N -1 1 300.289 1.866 20 0 DDADMM COc1cccc([C@@H](O)CNC(=O)c2ccc(C#N)c([O-])c2)c1 ZINC000188476911 697560101 /nfs/dbraw/zinc/56/01/01/697560101.db2.gz XSGOPAIFVNVOAL-INIZCTEOSA-N -1 1 312.325 1.736 20 0 DDADMM CCc1cc2c(CN3CC(=O)N(CC)C3)cc(=O)oc2cc1[O-] ZINC000191534379 697609559 /nfs/dbraw/zinc/60/95/59/697609559.db2.gz FJWFLSRBHCIJBS-UHFFFAOYSA-N -1 1 316.357 1.683 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)c(C)o1 ZINC000985947843 697609784 /nfs/dbraw/zinc/60/97/84/697609784.db2.gz AZBPJUSTPYSPAH-CABZTGNLSA-N -1 1 319.365 1.113 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1C(C)(C)C1(C)C ZINC000986127873 697695203 /nfs/dbraw/zinc/69/52/03/697695203.db2.gz XRUUKXDQVYJBDI-UWVGGRQHSA-N -1 1 321.425 1.272 20 0 DDADMM COc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1 ZINC000773159870 697721100 /nfs/dbraw/zinc/72/11/00/697721100.db2.gz TZCXLHPFWZJJGN-LLVKDONJSA-N -1 1 305.363 1.139 20 0 DDADMM O=C(Cc1cccc(F)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773159632 697721522 /nfs/dbraw/zinc/72/15/22/697721522.db2.gz KOOSTDPGMLIRBA-NSHDSACASA-N -1 1 307.354 1.198 20 0 DDADMM Cn1nccc1COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000773498421 697768647 /nfs/dbraw/zinc/76/86/47/697768647.db2.gz YCIARAGKIWVMTQ-UHFFFAOYSA-N -1 1 315.376 1.210 20 0 DDADMM CON(C)C(=O)c1ccc(I)c([O-])c1 ZINC000230887439 697785292 /nfs/dbraw/zinc/78/52/92/697785292.db2.gz DKCVKRMDRMFMQC-UHFFFAOYSA-N -1 1 307.087 1.630 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)nc1Cl ZINC000774008572 697833046 /nfs/dbraw/zinc/83/30/46/697833046.db2.gz YYOQKLMIYHLMPG-ZETCQYMHSA-N -1 1 310.745 1.132 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CC2(CCC2)C1 ZINC000986660335 697867500 /nfs/dbraw/zinc/86/75/00/697867500.db2.gz ABVDYOBNMKJNOY-ZYHUDNBSSA-N -1 1 319.409 1.170 20 0 DDADMM COCCC1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000774444040 697880124 /nfs/dbraw/zinc/88/01/24/697880124.db2.gz RJJRBLFCVLAYQR-UHFFFAOYSA-N -1 1 306.391 1.565 20 0 DDADMM CCc1ccoc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986870245 697934297 /nfs/dbraw/zinc/93/42/97/697934297.db2.gz LSGFFNGVWKECJA-MWLCHTKSSA-N -1 1 319.365 1.059 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2C[C@H]2C(C)C)o1 ZINC000774858661 697935825 /nfs/dbraw/zinc/93/58/25/697935825.db2.gz FAFLLHPCFSMFBO-ZJUUUORDSA-N -1 1 301.364 1.637 20 0 DDADMM Cc1cc(O)ccc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774993134 697951368 /nfs/dbraw/zinc/95/13/68/697951368.db2.gz ZNNUZTYKRONGQA-UHFFFAOYSA-N -1 1 305.252 1.926 20 0 DDADMM Cn1cc(O)c(=O)cc1CNC(=O)c1cc(Cl)ccc1[O-] ZINC000750014033 700198428 /nfs/dbraw/zinc/19/84/28/700198428.db2.gz SSXLIIFZOYDELN-UHFFFAOYSA-N -1 1 308.721 1.380 20 0 DDADMM O=C(c1cccc(Cl)n1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776564633 698117841 /nfs/dbraw/zinc/11/78/41/698117841.db2.gz OLTZSSBBAKQYBJ-QMMMGPOBSA-N -1 1 310.770 1.178 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776566833 698118193 /nfs/dbraw/zinc/11/81/93/698118193.db2.gz YHANHLOFICBGJR-FMBMQGQPSA-N -1 1 320.353 1.064 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)Cc1ccc(F)cc1F ZINC000779892471 698477906 /nfs/dbraw/zinc/47/79/06/698477906.db2.gz YFLSWFMTBLKSNW-UHFFFAOYSA-N -1 1 307.318 1.336 20 0 DDADMM CO[C@H]1C[C@@H](CC(=O)[O-])N(C(=O)c2cc(C)cc3c[nH]nc32)C1 ZINC000263001729 698487259 /nfs/dbraw/zinc/48/72/59/698487259.db2.gz KVSZWACOQHUSQN-RYUDHWBXSA-N -1 1 317.345 1.576 20 0 DDADMM CC(C)[C@H](NC(=O)NC[C@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000780344918 698509308 /nfs/dbraw/zinc/50/93/08/698509308.db2.gz YDLZAVPUOITWHY-OCCSQVGLSA-N -1 1 323.393 1.825 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CCC[C@@H]1C(=O)[O-])c1ccc(F)cc1 ZINC000780452300 698519586 /nfs/dbraw/zinc/51/95/86/698519586.db2.gz VAUKLXLFIYWXLV-KGLIPLIRSA-N -1 1 323.368 1.687 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)N[C@H](C)C(=O)[O-])N2CCCC2)o1 ZINC000780513758 698527193 /nfs/dbraw/zinc/52/71/93/698527193.db2.gz VOTBIFNQQMVVJJ-VXGBXAGGSA-N -1 1 309.366 1.497 20 0 DDADMM O=C([N-]N1CCCC1=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC000780700541 698551285 /nfs/dbraw/zinc/55/12/85/698551285.db2.gz UAQKOMOXRVXZJB-UHFFFAOYSA-N -1 1 304.737 1.997 20 0 DDADMM CN(C[C@@H]1CCCCN1C(=O)C1CC1)C(=O)c1ncccc1[O-] ZINC000990449962 699056365 /nfs/dbraw/zinc/05/63/65/699056365.db2.gz XSYGYRPSLYWREO-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-])[C@H](C)O ZINC000386826212 699079254 /nfs/dbraw/zinc/07/92/54/699079254.db2.gz GZRCRAYXCWUSAQ-CBAPKCEASA-N -1 1 306.315 1.172 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC1(CO)CCCC1 ZINC000387507318 699081840 /nfs/dbraw/zinc/08/18/40/699081840.db2.gz BPCQVKUWASOUGD-UHFFFAOYSA-N -1 1 307.394 1.897 20 0 DDADMM C[C@@H]1CC[C@H](C)N1CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000785736323 699096870 /nfs/dbraw/zinc/09/68/70/699096870.db2.gz XYABJPXKUZTDDC-BETUJISGSA-N -1 1 318.373 1.359 20 0 DDADMM NC(=O)[C@H]([N-]C(=O)C(F)F)c1cccc(Br)c1 ZINC000787269893 699200854 /nfs/dbraw/zinc/20/08/54/699200854.db2.gz ZELRUKCJPBNEMN-SSDOTTSWSA-N -1 1 307.094 1.357 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)c1ccccn1 ZINC000709556704 699252527 /nfs/dbraw/zinc/25/25/27/699252527.db2.gz VSHMEXZVJCSNGI-VIFPVBQESA-N -1 1 314.798 1.551 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000788117697 699280845 /nfs/dbraw/zinc/28/08/45/699280845.db2.gz KPAMLPIUYMORPX-OLZOCXBDSA-N -1 1 304.346 1.124 20 0 DDADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccc(F)c(F)c1 ZINC000727848129 699427938 /nfs/dbraw/zinc/42/79/38/699427938.db2.gz ZADCIVJXVZWHET-VIFPVBQESA-N -1 1 323.299 1.082 20 0 DDADMM C[C@H](c1ccco1)N(C(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC000728152107 699439761 /nfs/dbraw/zinc/43/97/61/699439761.db2.gz PPMJHUPXPOSFNM-LLVKDONJSA-N -1 1 317.345 1.272 20 0 DDADMM Cn1nccc1S(=O)(=O)[N-]c1c(F)cccc1-n1cccn1 ZINC000730204126 699503691 /nfs/dbraw/zinc/50/36/91/699503691.db2.gz KJBJRXROEKCCGD-UHFFFAOYSA-N -1 1 321.337 1.546 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000730843644 699517158 /nfs/dbraw/zinc/51/71/58/699517158.db2.gz XUGDQNLLNJEDQI-LLVKDONJSA-N -1 1 321.377 1.917 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000730843645 699517184 /nfs/dbraw/zinc/51/71/84/699517184.db2.gz XUGDQNLLNJEDQI-NSHDSACASA-N -1 1 321.377 1.917 20 0 DDADMM Cc1ccccc1CCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732091454 699546176 /nfs/dbraw/zinc/54/61/76/699546176.db2.gz FBGBZUHVHPQNBG-ZDUSSCGKSA-N -1 1 301.350 1.041 20 0 DDADMM COc1ccc(F)cc1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791166103 699613605 /nfs/dbraw/zinc/61/36/05/699613605.db2.gz VGBAMAOPAAELOE-UHFFFAOYSA-N -1 1 300.293 1.742 20 0 DDADMM Cc1ccc(OCC(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1C ZINC000791165944 699613796 /nfs/dbraw/zinc/61/37/96/699613796.db2.gz HWJXLITUTIAXIH-UHFFFAOYSA-N -1 1 310.357 1.976 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC2(OC)CCCC2)o1 ZINC000791319271 699622470 /nfs/dbraw/zinc/62/24/70/699622470.db2.gz GFRIAIPSWDLEDB-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM CON(C)C(=O)c1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000791348845 699625905 /nfs/dbraw/zinc/62/59/05/699625905.db2.gz KGYJCHUWZGZGOB-UHFFFAOYSA-N -1 1 301.302 1.673 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cccc1Cl)c1nnnn1C1CC1 ZINC000791928492 699663548 /nfs/dbraw/zinc/66/35/48/699663548.db2.gz LAQMIRXLEJTUAJ-ZETCQYMHSA-N -1 1 307.741 1.858 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)[C@@H]1CCOC1)c1nc(C2CC2)no1 ZINC000735674481 699700009 /nfs/dbraw/zinc/70/00/09/699700009.db2.gz OMTPOTUNHGSVJB-GHMZBOCLSA-N -1 1 315.395 1.352 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C(=O)c2ccc3cncn3c2)c(C)o1 ZINC000793188627 699738646 /nfs/dbraw/zinc/73/86/46/699738646.db2.gz DDJNEVPBBGOMBD-UHFFFAOYSA-N -1 1 319.342 1.663 20 0 DDADMM CCc1ocnc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000740267822 699789099 /nfs/dbraw/zinc/78/90/99/699789099.db2.gz QBTBJUMCBLIROJ-UHFFFAOYSA-N -1 1 302.286 1.350 20 0 DDADMM O=C(Nc1nc2ccc(Br)cc2[nH]1)c1cc(=O)[nH][n-]1 ZINC000743773314 699926431 /nfs/dbraw/zinc/92/64/31/699926431.db2.gz VPSNVIOXAZRVBM-UHFFFAOYSA-N -1 1 322.122 1.140 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)c2cccc(F)c2)[n-]1 ZINC000796368659 699929353 /nfs/dbraw/zinc/92/93/53/699929353.db2.gz HEBGWFNHAUPJRE-UHFFFAOYSA-N -1 1 305.261 1.980 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C)c2nc(C3CC3)no2)[n-]1 ZINC000796370051 699929943 /nfs/dbraw/zinc/92/99/43/699929943.db2.gz UUEWIIZIZSEYKE-ZETCQYMHSA-N -1 1 305.290 1.980 20 0 DDADMM CC(C)O[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000796419000 699932830 /nfs/dbraw/zinc/93/28/30/699932830.db2.gz VDJFGHPTXXDMOY-CYBMUJFWSA-N -1 1 305.334 1.318 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CC1)c1ccc(Cl)nc1Cl ZINC000751417576 700286556 /nfs/dbraw/zinc/28/65/56/700286556.db2.gz IVQAZTWPEVIHOH-SSDOTTSWSA-N -1 1 311.190 1.438 20 0 DDADMM CC(C)Oc1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)ccn1 ZINC000801701420 700331820 /nfs/dbraw/zinc/33/18/20/700331820.db2.gz NJZLVWJYYZOWGY-UHFFFAOYSA-N -1 1 311.345 1.776 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2n[nH]c(C3CC3)c2C)co1 ZINC000755860793 700588404 /nfs/dbraw/zinc/58/84/04/700588404.db2.gz POTBDKJMKCQIED-UHFFFAOYSA-N -1 1 324.362 1.349 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCCC(C)=O)co1 ZINC000756401608 700619811 /nfs/dbraw/zinc/61/98/11/700619811.db2.gz ALGSEVHWKWBVSN-UHFFFAOYSA-N -1 1 303.336 1.104 20 0 DDADMM NC(=O)C1([N-]C(=O)C(F)(F)c2c(F)cccc2F)CCCC1 ZINC000756998259 700651746 /nfs/dbraw/zinc/65/17/46/700651746.db2.gz SYIDVVDWXJOMOB-UHFFFAOYSA-N -1 1 318.270 1.971 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)c2ccc[nH]2)o1 ZINC000760406555 700806897 /nfs/dbraw/zinc/80/68/97/700806897.db2.gz PFXDMBKLVWRTMS-SECBINFHSA-N -1 1 312.347 1.824 20 0 DDADMM O=C(NC1CC1)N[C@H]1CCN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000761024193 700835620 /nfs/dbraw/zinc/83/56/20/700835620.db2.gz GBQQDPRYRMZANZ-JTQLQIEISA-N -1 1 323.780 1.722 20 0 DDADMM CCNC(=O)N1CC[C@@H](NC(=O)c2c([O-])cccc2Cl)C1 ZINC000761601249 700865301 /nfs/dbraw/zinc/86/53/01/700865301.db2.gz DYSMYWHFQCLRCB-SECBINFHSA-N -1 1 311.769 1.579 20 0 DDADMM CC(=O)CCCCCCC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000764062325 700959495 /nfs/dbraw/zinc/95/94/95/700959495.db2.gz JXMCHVDEUWEYKC-UHFFFAOYSA-N -1 1 316.383 1.076 20 0 DDADMM CC(=O)CCCCCCC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000764062325 700959497 /nfs/dbraw/zinc/95/94/97/700959497.db2.gz JXMCHVDEUWEYKC-UHFFFAOYSA-N -1 1 316.383 1.076 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCN2C(=O)OCC[C@@H]2C1 ZINC000765404568 701010090 /nfs/dbraw/zinc/01/00/90/701010090.db2.gz PHLCTANUICUNCU-SECBINFHSA-N -1 1 310.737 1.712 20 0 DDADMM Cn1[n-]c(COC(=O)c2csc(Br)c2)nc1=O ZINC000765438884 701012841 /nfs/dbraw/zinc/01/28/41/701012841.db2.gz QEVJLTSYMDHBNA-UHFFFAOYSA-N -1 1 318.152 1.289 20 0 DDADMM CC(C)c1ncc(Cl)c(C(=O)OCc2nc(=O)n(C)[n-]2)n1 ZINC000765457872 701013652 /nfs/dbraw/zinc/01/36/52/701013652.db2.gz BTKYDTOKUFIRIE-UHFFFAOYSA-N -1 1 311.729 1.032 20 0 DDADMM CCCc1c(C(=O)OCc2nc(=O)n(C)[n-]2)[nH]c(C)c1C(C)=O ZINC000765464429 701014125 /nfs/dbraw/zinc/01/41/25/701014125.db2.gz SJRGKUQTVJGFCD-UHFFFAOYSA-N -1 1 320.349 1.257 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc3cccc(Cl)c3o2)nc1=O ZINC000765497891 701016374 /nfs/dbraw/zinc/01/63/74/701016374.db2.gz XXSAEBMVSSTSPU-UHFFFAOYSA-N -1 1 307.693 1.865 20 0 DDADMM Cc1[nH]c2ccc(Cl)cc2c1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765504249 701016675 /nfs/dbraw/zinc/01/66/75/701016675.db2.gz YMGMBDMUCOVPSX-UHFFFAOYSA-N -1 1 320.736 1.909 20 0 DDADMM O=C(NCC1(N2CCOCC2)CC1)c1c([O-])cccc1Cl ZINC000765697379 701024122 /nfs/dbraw/zinc/02/41/22/701024122.db2.gz IDIJWKSPWAOROJ-UHFFFAOYSA-N -1 1 310.781 1.640 20 0 DDADMM C[C@H](Cn1ccc2ccccc21)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000803793374 701144604 /nfs/dbraw/zinc/14/46/04/701144604.db2.gz AQZKAYCZECNBPE-LLVKDONJSA-N -1 1 314.345 1.443 20 0 DDADMM O=C([N-]OC1CCCCC1)C(=O)NC[C@@H]1CCCCN1C1CC1 ZINC000809821890 701693199 /nfs/dbraw/zinc/69/31/99/701693199.db2.gz ADWXFKBZGQFHQJ-AWEZNQCLSA-N -1 1 323.437 1.500 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CCC[C@H]2C[C@H]21 ZINC000804301769 701177725 /nfs/dbraw/zinc/17/77/25/701177725.db2.gz VBCPERYYVSSMEC-DZGCQCFKSA-N -1 1 324.384 1.694 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCOC[C@@H]1CCO ZINC000770134295 701268280 /nfs/dbraw/zinc/26/82/80/701268280.db2.gz KXVXXKMMKMGVIR-AWEZNQCLSA-N -1 1 301.342 1.769 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCOC[C@H]1CCO ZINC000770134296 701268385 /nfs/dbraw/zinc/26/83/85/701268385.db2.gz KXVXXKMMKMGVIR-CQSZACIVSA-N -1 1 301.342 1.769 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)C1CCOCC1 ZINC000771609033 701325307 /nfs/dbraw/zinc/32/53/07/701325307.db2.gz BVKOSSYIMNGTNG-LBPRGKRZSA-N -1 1 323.393 1.131 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)Nc1ccc(F)cc1Cl ZINC000805602704 701397440 /nfs/dbraw/zinc/39/74/40/701397440.db2.gz AGHRIDBXBSDPHR-ZCFIWIBFSA-N -1 1 312.688 1.781 20 0 DDADMM Cn1ccnc1COc1ccc(COC(=O)c2cn[n-]n2)cc1 ZINC000805603919 701397478 /nfs/dbraw/zinc/39/74/78/701397478.db2.gz XTDBQIYQKKYBMA-UHFFFAOYSA-N -1 1 313.317 1.474 20 0 DDADMM CC[C@@H](OC(=O)c1cn[n-]n1)C(=O)c1ccc(NC(C)=O)cc1 ZINC000805604770 701397763 /nfs/dbraw/zinc/39/77/63/701397763.db2.gz QQYDTXCPBHRHAO-CYBMUJFWSA-N -1 1 316.317 1.581 20 0 DDADMM CN(C)C(=O)Sc1cccc(COC(=O)c2cn[n-]n2)c1 ZINC000805605908 701398461 /nfs/dbraw/zinc/39/84/61/701398461.db2.gz PZVQWMONXINSAE-UHFFFAOYSA-N -1 1 306.347 1.935 20 0 DDADMM Cc1ccc([C@@H](NC(=O)COC(=O)c2cn[n-]n2)C2CC2)cc1 ZINC000805605801 701398709 /nfs/dbraw/zinc/39/87/09/701398709.db2.gz OAEVJXLUKRNQPW-OAHLLOKOSA-N -1 1 314.345 1.537 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)NC12CC3CC(CC(C3)C1)C2 ZINC000805607881 701399493 /nfs/dbraw/zinc/39/94/93/701399493.db2.gz TVVUXFBRYLIHEJ-LDWCXNJISA-N -1 1 318.377 1.435 20 0 DDADMM O=C(c1conc1C(F)(F)F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000830905887 706600377 /nfs/dbraw/zinc/60/03/77/706600377.db2.gz GTNXILDTZCVRQF-LURJTMIESA-N -1 1 316.243 1.226 20 0 DDADMM Cc1ccccc1NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806990742 701457722 /nfs/dbraw/zinc/45/77/22/701457722.db2.gz OLNBWNXVLHGQTP-UHFFFAOYSA-N -1 1 309.329 1.227 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]CC(F)(F)Br)cn1 ZINC000808573126 701525014 /nfs/dbraw/zinc/52/50/14/701525014.db2.gz UQTPZPWSGHSTLY-UHFFFAOYSA-N -1 1 318.143 1.169 20 0 DDADMM O=C(C=Cc1ccsc1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830966355 706612729 /nfs/dbraw/zinc/61/27/29/706612729.db2.gz STQUELWLQOEGFH-UPHRSURJSA-N -1 1 317.370 1.646 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC[C@@H](O)C2CCCC2)sc1C ZINC000867932710 701840009 /nfs/dbraw/zinc/84/00/09/701840009.db2.gz FYYMBDJTXJJFMS-GFCCVEGCSA-N -1 1 318.464 1.979 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC2(COC)CCC2)o1 ZINC000811056253 701904893 /nfs/dbraw/zinc/90/48/93/701904893.db2.gz DOWUHIJKRKHLOU-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@](O)(CC)c1ccccc1)c1nn[n-]n1 ZINC000840387584 702093737 /nfs/dbraw/zinc/09/37/37/702093737.db2.gz PMFDRWZBALBMKC-CJNGLKHVSA-N -1 1 317.393 1.845 20 0 DDADMM CSc1ccc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)cc1 ZINC000816492981 702096616 /nfs/dbraw/zinc/09/66/16/702096616.db2.gz KUZACPIFEAJMIK-UHFFFAOYSA-N -1 1 319.390 1.045 20 0 DDADMM CCc1ccnc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1 ZINC000831134026 706641124 /nfs/dbraw/zinc/64/11/24/706641124.db2.gz HDKNGVNDDBHLCR-UHFFFAOYSA-N -1 1 315.295 1.395 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2c[nH]nc2Cl)CCCCC1 ZINC000831135642 706641521 /nfs/dbraw/zinc/64/15/21/706641521.db2.gz JDSFNRGMCCZCME-UHFFFAOYSA-N -1 1 307.803 1.691 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3C[C@H](C)OC3=O)cnc2n1 ZINC000816623733 702129476 /nfs/dbraw/zinc/12/94/76/702129476.db2.gz IVFZTFDJEXGPCP-KWQFWETISA-N -1 1 301.302 1.078 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1C[C@H](C)OC1=O)c2=O ZINC000816623733 702129481 /nfs/dbraw/zinc/12/94/81/702129481.db2.gz IVFZTFDJEXGPCP-KWQFWETISA-N -1 1 301.302 1.078 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)c1 ZINC000868462667 702142271 /nfs/dbraw/zinc/14/22/71/702142271.db2.gz SANNHCQSKGEDPG-HJQYOEGKSA-N -1 1 324.402 1.531 20 0 DDADMM CC(C)C[C@H]1OCCC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000831148635 706644457 /nfs/dbraw/zinc/64/44/57/706644457.db2.gz WHSSBPMHVYPXCR-NXEZZACHSA-N -1 1 321.830 1.935 20 0 DDADMM O=C(/C=C\c1ccccc1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162088 706646835 /nfs/dbraw/zinc/64/68/35/706646835.db2.gz ASQWLLDDVZUIDC-SREVYHEPSA-N -1 1 312.291 1.837 20 0 DDADMM O=C(CCc1ccccc1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162667 706647613 /nfs/dbraw/zinc/64/76/13/706647613.db2.gz VVQIMJRYFKZNRY-UHFFFAOYSA-N -1 1 314.307 1.756 20 0 DDADMM C[C@H](C(=O)N=c1ccnc2n(C)[n-]cc1-2)C(=O)OC(C)(C)C ZINC000841202514 702386853 /nfs/dbraw/zinc/38/68/53/702386853.db2.gz CXCWUSAJMKLOHK-SECBINFHSA-N -1 1 304.350 1.258 20 0 DDADMM Cc1ccc(NC(=O)c2c(=O)[nH]c(=O)n(CC(C)C)c2[O-])s1 ZINC000817571155 702414569 /nfs/dbraw/zinc/41/45/69/702414569.db2.gz OBWCHIAENVGVCL-JTQLQIEISA-N -1 1 323.374 1.346 20 0 DDADMM CN(C)CCO[N-]C(=O)c1cc(=O)c2ccc(Cl)cc2o1 ZINC000817609704 702423861 /nfs/dbraw/zinc/42/38/61/702423861.db2.gz YNKJJXAXUDMOFE-UHFFFAOYSA-N -1 1 310.737 1.669 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@@H]1CC=CCC1 ZINC000869323148 702567940 /nfs/dbraw/zinc/56/79/40/702567940.db2.gz NYZSKESYHFDYHY-SECBINFHSA-N -1 1 307.268 1.524 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCCOCC1CCC1 ZINC000842017231 702656368 /nfs/dbraw/zinc/65/63/68/702656368.db2.gz KKQQAPAFPXKQPD-UHFFFAOYSA-N -1 1 314.407 1.504 20 0 DDADMM C[C@H]1CCCC[C@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014612 702803315 /nfs/dbraw/zinc/80/33/15/702803315.db2.gz PCZSZNYHOKAGQV-QWHCGFSZSA-N -1 1 316.467 1.743 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2CC=CCC2)CCC1 ZINC000843018710 702804204 /nfs/dbraw/zinc/80/42/04/702804204.db2.gz AFFGYLOXUVVEFY-LBPRGKRZSA-N -1 1 300.424 1.273 20 0 DDADMM C[C@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)c1ccco1 ZINC000843017625 702804307 /nfs/dbraw/zinc/80/43/07/702804307.db2.gz HBSYPHWDMUPOHJ-NSHDSACASA-N -1 1 314.407 1.313 20 0 DDADMM CCN(OC)C(=O)C[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000844067242 702966213 /nfs/dbraw/zinc/96/62/13/702966213.db2.gz JTUZFOTVUBOUEB-UHFFFAOYSA-N -1 1 322.258 1.583 20 0 DDADMM CCCONC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000844082170 702969216 /nfs/dbraw/zinc/96/92/16/702969216.db2.gz JJNZIQSJULQAKO-UHFFFAOYSA-N -1 1 304.368 1.428 20 0 DDADMM CCCO[N-]C(=O)[C@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC000844090564 702970480 /nfs/dbraw/zinc/97/04/80/702970480.db2.gz WKCULWWSOBTTGO-ZDUSSCGKSA-N -1 1 308.353 1.675 20 0 DDADMM COC(=O)[C@@H]1C[C@H](O)CN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000844120023 702974469 /nfs/dbraw/zinc/97/44/69/702974469.db2.gz RUVXHTKSXORBGC-BDAKNGLRSA-N -1 1 313.737 1.042 20 0 DDADMM CN(C)[C@@H](CNC(=O)COCC(=O)[O-])c1ccc(Cl)cc1 ZINC000844251727 703000719 /nfs/dbraw/zinc/00/07/19/703000719.db2.gz BKPJEDQLXFXBFA-LBPRGKRZSA-N -1 1 314.769 1.160 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)CO1 ZINC000848340267 703540705 /nfs/dbraw/zinc/54/07/05/703540705.db2.gz PKUHOMIHAOIIQI-GWOFURMSSA-N -1 1 322.327 1.469 20 0 DDADMM COC[C@H](CC(C)(C)C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000848494263 703557701 /nfs/dbraw/zinc/55/77/01/703557701.db2.gz UEXABSHDHLFJLX-QMMMGPOBSA-N -1 1 309.819 1.793 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)N[C@H]1CC12CC2 ZINC000848661884 703573349 /nfs/dbraw/zinc/57/33/49/703573349.db2.gz ALFNWPJCUPVPSM-BBBLOLIVSA-N -1 1 319.327 1.780 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@H]1CCCC12CC2 ZINC000869562510 703813318 /nfs/dbraw/zinc/81/33/18/703813318.db2.gz JBMQHPCCTVYNSV-SNVBAGLBSA-N -1 1 321.295 1.748 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCC2CCC2)cc1C ZINC000851771454 703851721 /nfs/dbraw/zinc/85/17/21/703851721.db2.gz FNBPPKWYOZYSJM-UHFFFAOYSA-N -1 1 301.364 1.843 20 0 DDADMM CC[C@H](C)C[C@H](CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000831748940 706770483 /nfs/dbraw/zinc/77/04/83/706770483.db2.gz SGILMRILGVIJFB-WDEREUQCSA-N -1 1 305.382 1.260 20 0 DDADMM CC[C@@H](C)NC(=O)CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879762151 706783894 /nfs/dbraw/zinc/78/38/94/706783894.db2.gz BXNZKHGCFNAIEC-BXKDBHETSA-N -1 1 309.332 1.044 20 0 DDADMM COC(=O)[C@@H](CC(C)C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879765172 706785768 /nfs/dbraw/zinc/78/57/68/706785768.db2.gz BMTRGRZFHDCKLB-MFKMUULPSA-N -1 1 324.343 1.717 20 0 DDADMM COC(=O)[C@@H](CC(C)C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879765173 706785951 /nfs/dbraw/zinc/78/59/51/706785951.db2.gz BMTRGRZFHDCKLB-ZWNOBZJWSA-N -1 1 324.343 1.717 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@@H]2C=CCC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852504262 704064764 /nfs/dbraw/zinc/06/47/64/704064764.db2.gz BZIVYSBXFMOGMT-MXWKQRLJSA-N -1 1 319.327 1.804 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCCC3(C2)OCCO3)[n-]1 ZINC000870628749 704090944 /nfs/dbraw/zinc/09/09/44/704090944.db2.gz AZQZTXLKXLWNLH-SNVBAGLBSA-N -1 1 309.318 1.644 20 0 DDADMM CC[C@]1(O)CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000870679315 704102428 /nfs/dbraw/zinc/10/24/28/704102428.db2.gz INZPVDGHBBGIQD-AWEZNQCLSA-N -1 1 306.391 1.395 20 0 DDADMM Cc1cc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)ncn1 ZINC000852756252 704116168 /nfs/dbraw/zinc/11/61/68/704116168.db2.gz APYLPLUUVVDJPX-SKDRFNHKSA-N -1 1 316.327 1.674 20 0 DDADMM CC[C@@H](C)NC(=O)CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852758784 704117292 /nfs/dbraw/zinc/11/72/92/704117292.db2.gz JDTIJNKPFQUREP-MXWKQRLJSA-N -1 1 323.359 1.290 20 0 DDADMM COC(=O)C1(C)CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000819756964 704168264 /nfs/dbraw/zinc/16/82/64/704168264.db2.gz BEUASPUIGYHLAK-UHFFFAOYSA-N -1 1 307.350 1.249 20 0 DDADMM CCn1nc(C)c(C[N-]S(=O)(=O)c2ccc(F)cc2F)n1 ZINC000819887445 704181046 /nfs/dbraw/zinc/18/10/46/704181046.db2.gz JZXXZPNSHSWMDR-UHFFFAOYSA-N -1 1 316.333 1.363 20 0 DDADMM CCn1c(C)nnc1N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000871062473 704211719 /nfs/dbraw/zinc/21/17/19/704211719.db2.gz YJRPZKWYCKYKHZ-LLVKDONJSA-N -1 1 305.304 1.254 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H]2CCC(C)(C)C2)co1 ZINC000820463137 704283425 /nfs/dbraw/zinc/28/34/25/704283425.db2.gz PQTHFIYYSMERLZ-SNVBAGLBSA-N -1 1 301.364 1.923 20 0 DDADMM COC(=O)c1ccc(C)c([N-]S(=O)(=O)N=S(C)(C)=O)c1 ZINC000821127597 704374361 /nfs/dbraw/zinc/37/43/61/704374361.db2.gz JYFRQRYNDNSSRI-UHFFFAOYSA-N -1 1 320.392 1.166 20 0 DDADMM CSCCC[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866813214 706811783 /nfs/dbraw/zinc/81/17/83/706811783.db2.gz WCNUUCFQCNGKOG-UHFFFAOYSA-N -1 1 317.358 1.470 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)C(OC)OC)cc(Cl)c1[O-] ZINC000854465761 704402039 /nfs/dbraw/zinc/40/20/39/704402039.db2.gz VUTQVKGVCAAGGU-ZETCQYMHSA-N -1 1 303.742 1.791 20 0 DDADMM CN(C)c1ncccc1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000821590885 704425713 /nfs/dbraw/zinc/42/57/13/704425713.db2.gz KJRFGJNUXDAWLQ-UHFFFAOYSA-N -1 1 316.350 1.037 20 0 DDADMM CN(C)c1ncccc1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000821590885 704425714 /nfs/dbraw/zinc/42/57/14/704425714.db2.gz KJRFGJNUXDAWLQ-UHFFFAOYSA-N -1 1 316.350 1.037 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C)[C@@H](C)NC(=O)OC(C)(C)C ZINC000854635765 704430818 /nfs/dbraw/zinc/43/08/18/704430818.db2.gz XOCSXSFWGLSWFJ-HTQZYQBOSA-N -1 1 312.370 1.912 20 0 DDADMM CC1=NO[C@H](CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000854798376 704453962 /nfs/dbraw/zinc/45/39/62/704453962.db2.gz UREMIYCJMUYXJI-JTQLQIEISA-N -1 1 306.366 1.683 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC3(Cl)Cl)nc2n1 ZINC000857683311 704613419 /nfs/dbraw/zinc/61/34/19/704613419.db2.gz ANJQPZPLPCJTDB-LURJTMIESA-N -1 1 316.148 1.112 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CCCOC3)nc2n1 ZINC000857683294 704613453 /nfs/dbraw/zinc/61/34/53/704613453.db2.gz ABFQVWABTBNCCA-JTQLQIEISA-N -1 1 319.365 1.125 20 0 DDADMM CN(c1cc(Cl)[n-]c(=O)n1)C1CCN(c2cnccn2)CC1 ZINC000858521579 704721903 /nfs/dbraw/zinc/72/19/03/704721903.db2.gz RISQLQWRNJHKOB-UHFFFAOYSA-N -1 1 320.784 1.731 20 0 DDADMM O=C1N(CCNc2cc(Cl)[n-]c(=O)n2)C[C@H]2CCCCN12 ZINC000858632819 704737819 /nfs/dbraw/zinc/73/78/19/704737819.db2.gz HUQMVITXOFSVMM-SECBINFHSA-N -1 1 311.773 1.538 20 0 DDADMM C/C=C/CNC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000858884925 704768227 /nfs/dbraw/zinc/76/82/27/704768227.db2.gz GRFUBPHHZXISOU-HIHHVFROSA-N -1 1 307.316 1.804 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC(Cc2ccc(Cl)cc2)CC1 ZINC000873642785 704813988 /nfs/dbraw/zinc/81/39/88/704813988.db2.gz CBRBYUWSIRBKOH-UHFFFAOYSA-N -1 1 324.808 1.795 20 0 DDADMM O=C(c1nccc2ccsc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000859278052 704845970 /nfs/dbraw/zinc/84/59/70/704845970.db2.gz IYHNYLBTEJYMJI-SECBINFHSA-N -1 1 316.346 1.023 20 0 DDADMM CN(C)C(=O)c1ccc(CNCc2ccccc2C(=O)[O-])[nH]1 ZINC000874043821 704925081 /nfs/dbraw/zinc/92/50/81/704925081.db2.gz VRUZQWHRTIDXPP-UHFFFAOYSA-N -1 1 301.346 1.705 20 0 DDADMM COC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000867405244 706990190 /nfs/dbraw/zinc/99/01/90/706990190.db2.gz JDEHHFUZAIPBFT-KNVOCYPGSA-N -1 1 322.745 1.104 20 0 DDADMM Cc1ccc2occ(CC(=O)OCc3nc(=O)n(C)[n-]3)c2c1 ZINC000859797154 705006199 /nfs/dbraw/zinc/00/61/99/705006199.db2.gz OTXBFAQWTYNBLU-UHFFFAOYSA-N -1 1 301.302 1.449 20 0 DDADMM CC[C@H](COCC1CC1)[N-]S(=O)(=O)N=[S@@](C)(=O)CC ZINC000867499986 707020266 /nfs/dbraw/zinc/02/02/66/707020266.db2.gz YZAAZVGSLJLNIW-ZMZPIMSZSA-N -1 1 312.457 1.144 20 0 DDADMM CC[C@H](C)CC[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867504222 707021587 /nfs/dbraw/zinc/02/15/87/707021587.db2.gz GEBOJMILHZZXLI-ZETCQYMHSA-N -1 1 310.217 1.887 20 0 DDADMM CCC[C@H](OCC)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000859836526 705016666 /nfs/dbraw/zinc/01/66/66/705016666.db2.gz STGPSWWRYGZKIQ-ZDUSSCGKSA-N -1 1 307.346 1.691 20 0 DDADMM O=C(NC[C@H](c1ccco1)N1CCCC1)N[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000874382411 705028764 /nfs/dbraw/zinc/02/87/64/705028764.db2.gz IJLMIAKIEKTWIB-FRRDWIJNSA-N -1 1 321.377 1.579 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@@H]1CNC(=O)C[C@@H]1C(F)(F)F ZINC000823046795 705123048 /nfs/dbraw/zinc/12/30/48/705123048.db2.gz ORESNVDIVQMYDO-RIKBEKRRSA-N -1 1 300.664 1.101 20 0 DDADMM COCC1(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)CCCCC1 ZINC000823058785 705127442 /nfs/dbraw/zinc/12/74/42/705127442.db2.gz RPYWOJWTODWTBJ-UHFFFAOYSA-N -1 1 317.393 1.813 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825194009 705621941 /nfs/dbraw/zinc/62/19/41/705621941.db2.gz OJLFTDPVKZWSFL-SNVBAGLBSA-N -1 1 304.354 1.497 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825194009 705621944 /nfs/dbraw/zinc/62/19/44/705621944.db2.gz OJLFTDPVKZWSFL-SNVBAGLBSA-N -1 1 304.354 1.497 20 0 DDADMM CC[C@](C)(NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CC1 ZINC000876300912 705683387 /nfs/dbraw/zinc/68/33/87/705683387.db2.gz LPSJLYGRVMSQPW-INIZCTEOSA-N -1 1 320.393 1.633 20 0 DDADMM C[C@@H]1CC(=O)NCCN1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876393134 705707862 /nfs/dbraw/zinc/70/78/62/705707862.db2.gz DASLLGPVNNCBFZ-SECBINFHSA-N -1 1 311.769 1.466 20 0 DDADMM CCc1ncsc1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825755328 705727046 /nfs/dbraw/zinc/72/70/46/705727046.db2.gz UBUOLNSYQRSGEM-UHFFFAOYSA-N -1 1 319.350 1.363 20 0 DDADMM CCc1ncsc1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825755328 705727048 /nfs/dbraw/zinc/72/70/48/705727048.db2.gz UBUOLNSYQRSGEM-UHFFFAOYSA-N -1 1 319.350 1.363 20 0 DDADMM COC[C@@H]1CCCN1NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000862604689 705749937 /nfs/dbraw/zinc/74/99/37/705749937.db2.gz SRHCGIDIXLVRCR-JTQLQIEISA-N -1 1 314.769 1.810 20 0 DDADMM CO[C@H]1CCC[C@@H]1Sc1nc(C)nc(C)c1-c1nn[n-]n1 ZINC000826145434 705777845 /nfs/dbraw/zinc/77/78/45/705777845.db2.gz GLRXKLMYOSTAKI-UWVGGRQHSA-N -1 1 306.395 1.933 20 0 DDADMM Cc1onc(CC(=O)NCc2ccc(Cl)o2)c1-c1nnn[n-]1 ZINC000826347979 705794454 /nfs/dbraw/zinc/79/44/54/705794454.db2.gz OMQYUGTXGZKYRM-UHFFFAOYSA-N -1 1 322.712 1.268 20 0 DDADMM Cc1onc(CC(=O)NCc2ccc(Cl)o2)c1-c1nn[n-]n1 ZINC000826347979 705794457 /nfs/dbraw/zinc/79/44/57/705794457.db2.gz OMQYUGTXGZKYRM-UHFFFAOYSA-N -1 1 322.712 1.268 20 0 DDADMM Cc1onc(CC(=O)NCC2(C(C)C)CC2)c1-c1nnn[n-]1 ZINC000826347012 705794501 /nfs/dbraw/zinc/79/45/01/705794501.db2.gz BBEQCIZIHSYBGC-UHFFFAOYSA-N -1 1 304.354 1.258 20 0 DDADMM Cc1onc(CC(=O)NCC2(C(C)C)CC2)c1-c1nn[n-]n1 ZINC000826347012 705794505 /nfs/dbraw/zinc/79/45/05/705794505.db2.gz BBEQCIZIHSYBGC-UHFFFAOYSA-N -1 1 304.354 1.258 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2C[C@@H]2c2ccccc2)c1-c1nnn[n-]1 ZINC000826346290 705794741 /nfs/dbraw/zinc/79/47/41/705794741.db2.gz INQZYESWQBUKDD-NEPJUHHUSA-N -1 1 324.344 1.378 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2C[C@@H]2c2ccccc2)c1-c1nn[n-]n1 ZINC000826346290 705794743 /nfs/dbraw/zinc/79/47/43/705794743.db2.gz INQZYESWQBUKDD-NEPJUHHUSA-N -1 1 324.344 1.378 20 0 DDADMM COCCN(CCC(=O)[O-])C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000908958670 712903428 /nfs/dbraw/zinc/90/34/28/712903428.db2.gz HOQOGMIQWWQBRF-ZYHUDNBSSA-N -1 1 309.366 1.025 20 0 DDADMM CC1(O)CC(C(=O)Nc2nc(Br)ccc2[O-])C1 ZINC000827148167 705939940 /nfs/dbraw/zinc/93/99/40/705939940.db2.gz DVLUZNDKXHQQPC-UHFFFAOYSA-N -1 1 301.140 1.649 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-][C@@H]2COCCC2=O)cnc1Cl ZINC000827370934 705987503 /nfs/dbraw/zinc/98/75/03/705987503.db2.gz GVWLCYJKQRIBAD-SECBINFHSA-N -1 1 318.707 1.609 20 0 DDADMM O=C(c1ccc(F)c2occc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000827961438 706094433 /nfs/dbraw/zinc/09/44/33/706094433.db2.gz ONQBKCHBCBFIHG-NSHDSACASA-N -1 1 317.280 1.299 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Cl)nc2Cl)CO1 ZINC000828301399 706157131 /nfs/dbraw/zinc/15/71/31/706157131.db2.gz JGTGZSDRMWMWLL-RQJHMYQMSA-N -1 1 311.190 1.844 20 0 DDADMM CCc1nnc(N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)n1C ZINC000828351577 706165275 /nfs/dbraw/zinc/16/52/75/706165275.db2.gz QPUKRSQGYJUCHJ-BDAKNGLRSA-N -1 1 319.331 1.271 20 0 DDADMM COC[C@H](NCc1cc(OC)c(OC)cc1Cl)C(=O)[O-] ZINC000864513587 706185768 /nfs/dbraw/zinc/18/57/68/706185768.db2.gz GPAILQPVQWTARW-JTQLQIEISA-N -1 1 303.742 1.546 20 0 DDADMM O=C([O-])C12CCC(CC1)N2C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000864525761 706187954 /nfs/dbraw/zinc/18/79/54/706187954.db2.gz KXZWABGUXQXLGM-LOIXOFCESA-N -1 1 304.350 1.578 20 0 DDADMM CC[C@@H](CO)[N-]S(=O)(=O)N=[S@](C)(=O)C1CCCCC1 ZINC000881912279 707420444 /nfs/dbraw/zinc/42/04/44/707420444.db2.gz HFHBGYCKIPGXMH-XTZNXHDOSA-N -1 1 312.457 1.022 20 0 DDADMM CC[C@@H](CCO)C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872461024 707424902 /nfs/dbraw/zinc/42/49/02/707424902.db2.gz NIMCASPKBKAJTE-XTZNXHDOSA-N -1 1 314.473 1.126 20 0 DDADMM CC[C@H]1CN2CCCC[C@H]2CN1C(=O)c1cccc(C(=O)[O-])n1 ZINC000829159996 706295756 /nfs/dbraw/zinc/29/57/56/706295756.db2.gz BJBJCDRYMWOMFB-STQMWFEESA-N -1 1 317.389 1.869 20 0 DDADMM COC(=O)[C@H]1C[C@@H](C)CCN1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878452937 706391654 /nfs/dbraw/zinc/39/16/54/706391654.db2.gz FMKDJXRMJAWCKA-GXFFZTMASA-N -1 1 321.377 1.028 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@@H](C)[C@H](C)O1 ZINC000829817499 706401555 /nfs/dbraw/zinc/40/15/55/706401555.db2.gz NPRWSAOXCOKMRM-UTLUCORTSA-N -1 1 306.391 1.656 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1C[C@H]1C1CC1 ZINC000872494918 707446047 /nfs/dbraw/zinc/44/60/47/707446047.db2.gz LQEJDDBVLMCVOY-LDLUMPKVSA-N -1 1 308.469 1.763 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCc1ccoc1 ZINC000872505239 707451509 /nfs/dbraw/zinc/45/15/09/707451509.db2.gz XDLTYSSFMHFORV-SFHVURJKSA-N -1 1 308.425 1.553 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CSC2CC2)C1 ZINC000830795979 706581472 /nfs/dbraw/zinc/58/14/72/706581472.db2.gz TXIUWVQWCHMQIM-NSHDSACASA-N -1 1 310.341 1.552 20 0 DDADMM CCC(C)(C)OCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830795641 706581486 /nfs/dbraw/zinc/58/14/86/706581486.db2.gz MRCGIIVFFQEEGA-ZDUSSCGKSA-N -1 1 324.343 1.861 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CO[C@H](CCC(=O)OC(C)(C)C)C1 ZINC000879087963 706584987 /nfs/dbraw/zinc/58/49/87/706584987.db2.gz QIGZZRXVGRRNJA-NWDGAFQWSA-N -1 1 317.382 1.208 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CCOCC2CC2)C1 ZINC000830815780 706585091 /nfs/dbraw/zinc/58/50/91/706585091.db2.gz FRPDWRDWJTZYRK-ZDUSSCGKSA-N -1 1 322.327 1.473 20 0 DDADMM O=C(N[C@@H]([C@H](CO)C1CC1)C1CC1)c1cnc(C2CC2)[n-]c1=O ZINC000908985940 712909170 /nfs/dbraw/zinc/90/91/70/712909170.db2.gz LRLXWDIXRNRVBM-ZIAGYGMSSA-N -1 1 317.389 1.587 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)N=S2(=O)CCCC2)CCCC[C@H]1O ZINC000866915978 706844090 /nfs/dbraw/zinc/84/40/90/706844090.db2.gz OLPKVVFMHZXZEH-VXGBXAGGSA-N -1 1 324.468 1.024 20 0 DDADMM CCC1(CC)[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)C[C@@H]1OC ZINC000866971276 706860418 /nfs/dbraw/zinc/86/04/18/706860418.db2.gz AJBJSEFEIVEWMK-UWVGGRQHSA-N -1 1 312.457 1.142 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1CCCC(F)(F)C1 ZINC000866983527 706864553 /nfs/dbraw/zinc/86/45/53/706864553.db2.gz LAQFRHQFMAGTOL-SECBINFHSA-N -1 1 316.395 1.661 20 0 DDADMM Cc1ccsc1CC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867053417 706883120 /nfs/dbraw/zinc/88/31/20/706883120.db2.gz FKCYORVWBAVLGY-UHFFFAOYSA-N -1 1 322.477 1.695 20 0 DDADMM CO[C@@H]1CCC[C@H]1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867058219 706885397 /nfs/dbraw/zinc/88/53/97/706885397.db2.gz PFGCLGNZVGKMKP-DTWKUNHWSA-N -1 1 306.334 1.453 20 0 DDADMM O=S(=O)([N-][C@H]1CCCOCC1)c1nc[nH]c1Br ZINC000867060183 706885989 /nfs/dbraw/zinc/88/59/89/706885989.db2.gz JSWICXVFEMQOJK-ZETCQYMHSA-N -1 1 324.200 1.020 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@]1(C)CCCCO1 ZINC000832484129 706924084 /nfs/dbraw/zinc/92/40/84/706924084.db2.gz VBUXBUBIOLAAQT-CQSZACIVSA-N -1 1 311.407 1.902 20 0 DDADMM CC1=NO[C@H](C[N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC000867349302 706970086 /nfs/dbraw/zinc/97/00/86/706970086.db2.gz WFWJTJJDRXBPBS-VIFPVBQESA-N -1 1 306.746 1.922 20 0 DDADMM CC(C)OCC(C)(C)[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867407371 706991207 /nfs/dbraw/zinc/99/12/07/706991207.db2.gz PZNDQHNIPSNSEU-UHFFFAOYSA-N -1 1 312.457 1.286 20 0 DDADMM CC(=O)CCCCCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000867443904 707003148 /nfs/dbraw/zinc/00/31/48/707003148.db2.gz OUZRWXJZGCGLBY-UHFFFAOYSA-N -1 1 321.830 1.891 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@H](O)c2ccccc21 ZINC000867513991 707024342 /nfs/dbraw/zinc/02/43/42/707024342.db2.gz MPGLYEYOIACQCG-UFYHVXEKSA-N -1 1 318.420 1.117 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCCN(CCF)C2)sn1 ZINC000867713270 707082791 /nfs/dbraw/zinc/08/27/91/707082791.db2.gz OMHXHIZMDIZWLR-SNVBAGLBSA-N -1 1 307.416 1.164 20 0 DDADMM COc1ccc(C2CC2)c(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000880860635 707086948 /nfs/dbraw/zinc/08/69/48/707086948.db2.gz XCZMCCVUIHQKMC-UHFFFAOYSA-N -1 1 304.306 1.161 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)C=CCOC)n[n-]1 ZINC000834686194 707102993 /nfs/dbraw/zinc/10/29/93/707102993.db2.gz FLDRHPVMZZPHBB-MLRMMBSGSA-N -1 1 324.381 1.387 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)C=CCOC)[n-]1 ZINC000834686194 707102994 /nfs/dbraw/zinc/10/29/94/707102994.db2.gz FLDRHPVMZZPHBB-MLRMMBSGSA-N -1 1 324.381 1.387 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)/C=C/COC)n1 ZINC000834686194 707102995 /nfs/dbraw/zinc/10/29/95/707102995.db2.gz FLDRHPVMZZPHBB-MLRMMBSGSA-N -1 1 324.381 1.387 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C[C@@H](O)COC(C)C)CC2 ZINC000872166942 707311391 /nfs/dbraw/zinc/31/13/91/707311391.db2.gz XRUROFUKKPNIRH-GFCCVEGCSA-N -1 1 323.389 1.537 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)CCSC)co1 ZINC000835819507 707333082 /nfs/dbraw/zinc/33/30/82/707333082.db2.gz YYYYUVXVPBANEA-MRVPVSSYSA-N -1 1 307.393 1.486 20 0 DDADMM C[C@H](Cn1cccn1)[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872436308 707409220 /nfs/dbraw/zinc/40/92/20/707409220.db2.gz YNAXQVULIYAFRM-DGIBIBHMSA-N -1 1 322.456 1.002 20 0 DDADMM CC(C)C[C@H](C(=O)[O-])N(C)C(=O)NCc1ccc2cncn2c1 ZINC000909173255 712953996 /nfs/dbraw/zinc/95/39/96/712953996.db2.gz ZOKNGLRCOMTUAT-CQSZACIVSA-N -1 1 318.377 1.975 20 0 DDADMM Cc1cnc(C(=O)N2CCN(c3ccnc(F)c3)CC2)c([O-])c1 ZINC000836832754 707526894 /nfs/dbraw/zinc/52/68/94/707526894.db2.gz NTTUCQCPSACGEX-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C1(C)CC(F)(F)C1 ZINC000872662719 707543093 /nfs/dbraw/zinc/54/30/93/707543093.db2.gz BCTJAPANCQFUBX-UHFFFAOYSA-N -1 1 304.384 1.516 20 0 DDADMM C[C@@]1(O)C[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)C2CCCCC2)C1 ZINC000882269714 707565025 /nfs/dbraw/zinc/56/50/25/707565025.db2.gz ZTOPWMOXMYXCNT-AXTBBGANSA-N -1 1 324.468 1.165 20 0 DDADMM CCCNC(=O)CCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872847314 707624415 /nfs/dbraw/zinc/62/44/15/707624415.db2.gz UTWFIGOPYOGOMO-UHFFFAOYSA-N -1 1 313.785 1.761 20 0 DDADMM CN1CCC[C@@H](NC(=O)NCc2ccc([O-])c(Cl)c2)C1=O ZINC000872930346 707658948 /nfs/dbraw/zinc/65/89/48/707658948.db2.gz JGZZGRVKDGYRSU-LLVKDONJSA-N -1 1 311.769 1.466 20 0 DDADMM C[S@](=O)C1(CNC(=O)NCc2ccc([O-])c(Cl)c2)CC1 ZINC000873001439 707689641 /nfs/dbraw/zinc/68/96/41/707689641.db2.gz MXCJFJNPZCTMGP-FQEVSTJZSA-N -1 1 316.810 1.756 20 0 DDADMM CCOC(=O)[C@H](F)[C@@H]1CCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000882570580 707703651 /nfs/dbraw/zinc/70/36/51/707703651.db2.gz FNKPEEXGHSNINV-GMSGAONNSA-N -1 1 303.721 1.563 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nnc(C3CC3)s2)[n-]1 ZINC000837740494 707734152 /nfs/dbraw/zinc/73/41/52/707734152.db2.gz OTUSRBVWODBMMN-UHFFFAOYSA-N -1 1 307.331 1.887 20 0 DDADMM CC(=O)c1cccc([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)c1 ZINC000882659645 707735897 /nfs/dbraw/zinc/73/58/97/707735897.db2.gz FMORBGCGFQNILB-IBGZPJMESA-N -1 1 319.408 1.120 20 0 DDADMM Cc1ncc(C(F)(F)F)cc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000873417646 707844297 /nfs/dbraw/zinc/84/42/97/707844297.db2.gz KUBBXYWIHWNFFL-UHFFFAOYSA-N -1 1 316.239 1.188 20 0 DDADMM Cn1[n-]c(COC(=O)[C@]2(c3ccc(F)cc3)CC2(C)C)nc1=O ZINC000873438309 707851119 /nfs/dbraw/zinc/85/11/19/707851119.db2.gz IQVBOHYQWLFDNW-MRXNPFEDSA-N -1 1 319.336 1.659 20 0 DDADMM CN(CC(=O)NC[C@H](C(=O)[O-])c1ccccc1)[C@H]1CCSC1 ZINC000909296515 712984832 /nfs/dbraw/zinc/98/48/32/712984832.db2.gz OOQLOSREBOISLH-KBPBESRZSA-N -1 1 322.430 1.408 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CC[C@](F)(C(=O)[O-])C1 ZINC000909309642 712987869 /nfs/dbraw/zinc/98/78/69/712987869.db2.gz OBRFZIPCLZEHFD-MRXNPFEDSA-N -1 1 308.353 1.186 20 0 DDADMM O=C([O-])c1ccc(F)c(NC(=O)[C@H]2CCc3[nH]cnc3C2)c1 ZINC000909320325 712990695 /nfs/dbraw/zinc/99/06/95/712990695.db2.gz IDYOWNCQMDBIJS-QMMMGPOBSA-N -1 1 303.293 1.991 20 0 DDADMM O=C([O-])c1ccccc1OCCN1CCC[C@@H]2CCNC(=O)[C@@H]21 ZINC000883412988 707994835 /nfs/dbraw/zinc/99/48/35/707994835.db2.gz WGZRMSVVFUAKIA-IUODEOHRSA-N -1 1 318.373 1.364 20 0 DDADMM Cc1noc(C[C@H]2CCCN(c3cc(Cl)[n-]c(=O)n3)C2)n1 ZINC000896846557 708162801 /nfs/dbraw/zinc/16/28/01/708162801.db2.gz POCNJADOLSHQIN-SECBINFHSA-N -1 1 309.757 1.986 20 0 DDADMM COCc1nc(N2CCC[C@H](Cc3nc(C)no3)C2)cc(=O)[n-]1 ZINC000896846711 708162985 /nfs/dbraw/zinc/16/29/85/708162985.db2.gz QGTURTCRQXPVFH-LLVKDONJSA-N -1 1 319.365 1.479 20 0 DDADMM Cc1ccc2c(c1)CC[C@@H]2NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909401250 713008744 /nfs/dbraw/zinc/00/87/44/713008744.db2.gz OLVXLLHESXLVRR-ZBFHGGJFSA-N -1 1 316.401 1.895 20 0 DDADMM C[C@H](Cc1ccccc1F)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909402007 713009029 /nfs/dbraw/zinc/00/90/29/713009029.db2.gz JATFYUCBVUIXDF-OCCSQVGLSA-N -1 1 322.380 1.670 20 0 DDADMM Cn1[n-]c(COC(=O)C(C)(C)c2ccc(F)cc2F)nc1=O ZINC000884787062 708378347 /nfs/dbraw/zinc/37/83/47/708378347.db2.gz FSUJURLGDLJFQD-UHFFFAOYSA-N -1 1 311.288 1.408 20 0 DDADMM CC(C)(C)N1CC[C@@H]1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000897677279 708416130 /nfs/dbraw/zinc/41/61/30/708416130.db2.gz LAJIDSKXQXYOFA-GHMZBOCLSA-N -1 1 307.398 1.089 20 0 DDADMM Cc1ncc2c(n1)CC[C@H]([N-]S(=O)(=O)c1ccns1)C2 ZINC000884926810 708422664 /nfs/dbraw/zinc/42/26/64/708422664.db2.gz FEOKFDHHZRGAHP-JTQLQIEISA-N -1 1 310.404 1.077 20 0 DDADMM O=S(=O)([N-]Cc1cccc2c1OCCO2)c1ccns1 ZINC000884997161 708437768 /nfs/dbraw/zinc/43/77/68/708437768.db2.gz LVTFCXJIHIKWPC-UHFFFAOYSA-N -1 1 312.372 1.393 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccc(F)c(F)c1 ZINC000912527245 713030884 /nfs/dbraw/zinc/03/08/84/713030884.db2.gz BOUHSMBEASSXQA-UHFFFAOYSA-N -1 1 318.257 1.242 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1sccc1Cl ZINC000885776252 708625598 /nfs/dbraw/zinc/62/55/98/708625598.db2.gz MIOSPWQTFUUPHK-RNFRBKRXSA-N -1 1 309.796 1.489 20 0 DDADMM CCN1CCOC[C@H]1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266261 708732472 /nfs/dbraw/zinc/73/24/72/708732472.db2.gz FCXSEGWVKJSWSA-AWEZNQCLSA-N -1 1 314.332 1.050 20 0 DDADMM Cc1nc(C(=O)NCCc2c(F)cc([O-])cc2F)c(=O)[nH]c1C ZINC000886266783 708732612 /nfs/dbraw/zinc/73/26/12/708732612.db2.gz YQZASDCBFFMAGF-UHFFFAOYSA-N -1 1 323.299 1.755 20 0 DDADMM C[C@H](C(=O)NCCc1c(F)cc([O-])cc1F)c1cncnc1 ZINC000886268183 708732879 /nfs/dbraw/zinc/73/28/79/708732879.db2.gz GLBSUDPMCUCKAL-VIFPVBQESA-N -1 1 307.300 1.923 20 0 DDADMM Cn1ncc(CCC(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC000886268443 708733121 /nfs/dbraw/zinc/73/31/21/708733121.db2.gz PJXOKGLLTDJGNL-UHFFFAOYSA-N -1 1 310.304 1.090 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H](CO)CCF ZINC000927786942 713056203 /nfs/dbraw/zinc/05/62/03/713056203.db2.gz KJGOGXCXYAKUEJ-MRVPVSSYSA-N -1 1 306.284 1.233 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@@H](O)C1CCC1 ZINC000927787116 713056280 /nfs/dbraw/zinc/05/62/80/713056280.db2.gz MVVGSUINQWJUMK-CQSZACIVSA-N -1 1 314.332 1.673 20 0 DDADMM Cc1csc(C[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000886691892 708814800 /nfs/dbraw/zinc/81/48/00/708814800.db2.gz ORVNBRMMQMPNIO-NSHDSACASA-N -1 1 307.423 1.328 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1C[C@@H]1c1ccc(F)cc1 ZINC000898753880 708855355 /nfs/dbraw/zinc/85/53/55/708855355.db2.gz SJHHVHVDCAEITJ-MNOVXSKESA-N -1 1 303.293 1.447 20 0 DDADMM C[C@H](CC(=O)NCc1nc([O-])cc(=O)[nH]1)c1cccc(F)c1 ZINC000898756498 708856490 /nfs/dbraw/zinc/85/64/90/708856490.db2.gz KCLZFIJJPRCUGE-SECBINFHSA-N -1 1 305.309 1.837 20 0 DDADMM O=C(NC1(c2ncccn2)CCOCC1)c1ccc([O-])cc1F ZINC000886940788 708898081 /nfs/dbraw/zinc/89/80/81/708898081.db2.gz JPDMGDPEMPMCBU-UHFFFAOYSA-N -1 1 317.320 1.757 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H]1CCCC12OCCO2 ZINC000898957110 708937430 /nfs/dbraw/zinc/93/74/30/708937430.db2.gz KFEQUULHQPJANM-ZDUSSCGKSA-N -1 1 311.765 2.000 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)[C@@H](N)Cc1cc2ccccc2o1 ZINC000887396616 709035920 /nfs/dbraw/zinc/03/59/20/709035920.db2.gz GNBSCTKPPBXQJC-ZDUSSCGKSA-N -1 1 304.346 1.624 20 0 DDADMM CCOC(=O)C12CC(C1)CN2C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000912714346 713073566 /nfs/dbraw/zinc/07/35/66/713073566.db2.gz VVEZNJCYHHNFHY-UHFFFAOYSA-N -1 1 317.345 1.227 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC(CN2CCNC2=O)CC1 ZINC000887655603 709092568 /nfs/dbraw/zinc/09/25/68/709092568.db2.gz MZMUCJWNEHMIKV-UHFFFAOYSA-N -1 1 321.352 1.409 20 0 DDADMM Cn1ncc(C2CC2)c1CN[C@@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000900074304 709281933 /nfs/dbraw/zinc/28/19/33/709281933.db2.gz RCCFBOKNVUOCMZ-GFCCVEGCSA-N -1 1 315.377 1.817 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCS(=O)(=O)C(C)(C)C2)c([O-])c1 ZINC000888384183 709294708 /nfs/dbraw/zinc/29/47/08/709294708.db2.gz ALOSVXLCSKQFHJ-SNVBAGLBSA-N -1 1 312.391 1.181 20 0 DDADMM C[C@H]1CC2(CC(NC(=O)c3cnc(C4CC4)[n-]c3=O)C2)CO1 ZINC000888713670 709365965 /nfs/dbraw/zinc/36/59/65/709365965.db2.gz SEIGHPVRCQMQLL-BAJKAOOYSA-N -1 1 303.362 1.747 20 0 DDADMM C[C@@H]1CC2(CC(NC(=O)c3cnc(C4CC4)[n-]c3=O)C2)CO1 ZINC000888713671 709366104 /nfs/dbraw/zinc/36/61/04/709366104.db2.gz SEIGHPVRCQMQLL-GRBIUAATSA-N -1 1 303.362 1.747 20 0 DDADMM CC(C)(C(=O)[O-])[C@@H]1CCCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC000909492826 709487378 /nfs/dbraw/zinc/48/73/78/709487378.db2.gz WDFGEIDNSJLILM-GFCCVEGCSA-N -1 1 322.409 1.867 20 0 DDADMM O=C([N-]OCc1ccccn1)[C@@H]1CC(=O)N(Cc2ccco2)C1 ZINC000909523725 709500891 /nfs/dbraw/zinc/50/08/91/709500891.db2.gz OWGVFNUSHOTFEH-GFCCVEGCSA-N -1 1 315.329 1.271 20 0 DDADMM O=C([N-]OCc1ccccn1)[C@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000909523478 709500953 /nfs/dbraw/zinc/50/09/53/709500953.db2.gz KESHXAYWOHQFJZ-VIFPVBQESA-N -1 1 317.267 1.040 20 0 DDADMM O=C([N-]OCCOc1ccccc1)[C@H]1CCCc2nn[nH]c21 ZINC000889445261 709505394 /nfs/dbraw/zinc/50/53/94/709505394.db2.gz ULEFVTXHLNKNEJ-LBPRGKRZSA-N -1 1 302.334 1.351 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1ccsc1)c1nn[n-]n1 ZINC000912858152 713109043 /nfs/dbraw/zinc/10/90/43/713109043.db2.gz DXRZNBYSGQAJKI-PBKGFPTLSA-N -1 1 309.420 1.885 20 0 DDADMM O=C([O-])[C@]12CN(C(=O)c3cccc4[nH]cnc43)C[C@H]1COCC2 ZINC000909561884 709516850 /nfs/dbraw/zinc/51/68/50/709516850.db2.gz DCJKQOGLYZFEKG-MGPLVRAMSA-N -1 1 315.329 1.126 20 0 DDADMM CSCC[C@H](NC(=O)c1c(C)cc(C)nc1C)c1nn[n-]n1 ZINC000912860496 713110035 /nfs/dbraw/zinc/11/00/35/713110035.db2.gz WOYFXOBONVNMDD-NSHDSACASA-N -1 1 320.422 1.744 20 0 DDADMM CSCC[C@H](NC(=O)c1cccc2cc[nH]c21)c1nn[n-]n1 ZINC000912861732 713110486 /nfs/dbraw/zinc/11/04/86/713110486.db2.gz WBXHMITUGLCCPY-NSHDSACASA-N -1 1 316.390 1.905 20 0 DDADMM CCCc1occc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912862187 713110605 /nfs/dbraw/zinc/11/06/05/713110605.db2.gz KQXDTLMJNNTICQ-JTQLQIEISA-N -1 1 309.395 1.969 20 0 DDADMM NC(=O)CC1CC(NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000928050924 713111033 /nfs/dbraw/zinc/11/10/33/713111033.db2.gz DOFKDNVALMIINS-UHFFFAOYSA-N -1 1 316.279 1.795 20 0 DDADMM O=C(NCCn1cnc2ccccc21)c1cnc(C2CC2)[n-]c1=O ZINC000900429520 709590838 /nfs/dbraw/zinc/59/08/38/709590838.db2.gz MUIDDSZXTYRGLX-UHFFFAOYSA-N -1 1 323.356 1.839 20 0 DDADMM C[C@@H]1[C@@H](C(=O)[O-])CCN1C(=O)c1cc(-c2ccn(C)c2)[nH]n1 ZINC000909811345 709633169 /nfs/dbraw/zinc/63/31/69/709633169.db2.gz UJXMNPGNYXPOLE-KOLCDFICSA-N -1 1 302.334 1.350 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H](OC)C2CCC2)[n-]c1=O ZINC000889786901 709635484 /nfs/dbraw/zinc/63/54/84/709635484.db2.gz OQEQCBUTTUKKBK-DGCLKSJQSA-N -1 1 321.377 1.669 20 0 DDADMM CC[C@@H]1CC[C@@H](C(=O)N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)O1 ZINC000889792185 709638336 /nfs/dbraw/zinc/63/83/36/709638336.db2.gz UDYPOHDYIRLDRR-UTUOFQBUSA-N -1 1 321.377 1.812 20 0 DDADMM C[C@@H](NC(=O)Cc1cnc[nH]1)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909819109 709638758 /nfs/dbraw/zinc/63/87/58/709638758.db2.gz HVAMLWRJDIQFSX-RISCZKNCSA-N -1 1 301.346 1.400 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCOC[C@H](C3CCC3)C2)C1 ZINC000909855261 709653938 /nfs/dbraw/zinc/65/39/38/709653938.db2.gz MIJKTQCIFNYKRN-LSDHHAIUSA-N -1 1 324.421 1.058 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1[nH]nc2c1CCCCC2)CC1CC1 ZINC000909881524 709667181 /nfs/dbraw/zinc/66/71/81/709667181.db2.gz CQQHCHQSFFFTDP-LLVKDONJSA-N -1 1 305.378 1.909 20 0 DDADMM Cc1ccc2c(c1)[C@H](NC(=O)CN1CCC[C@H](C(=O)[O-])C1)CC2 ZINC000909900348 709678592 /nfs/dbraw/zinc/67/85/92/709678592.db2.gz GWHYVQKKDPOFDQ-GOEBONIOSA-N -1 1 316.401 1.895 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@H](NC(=O)[C@@H]2CCCCN2C)C(=O)[O-])C1 ZINC000909912103 709685096 /nfs/dbraw/zinc/68/50/96/709685096.db2.gz RZMIIFMLHWTICR-MQYQWHSLSA-N -1 1 312.410 1.245 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCC=CCCC1 ZINC000890051952 709719868 /nfs/dbraw/zinc/71/98/68/709719868.db2.gz XPYQDGRBPTVANC-ZDUSSCGKSA-N -1 1 305.378 1.179 20 0 DDADMM CC1(C(=O)[O-])CN(C(=O)[C@H]2CCCN2Cc2ccccc2)C1 ZINC000910057560 709758552 /nfs/dbraw/zinc/75/85/52/709758552.db2.gz XYFZTTUYHGYCFI-CQSZACIVSA-N -1 1 302.374 1.584 20 0 DDADMM Cn1[n-]c(COC(=O)c2cnc(CC(C)(C)C)s2)nc1=O ZINC000910067815 709762662 /nfs/dbraw/zinc/76/26/62/709762662.db2.gz VFVTUZRNQQLJMY-UHFFFAOYSA-N -1 1 310.379 1.511 20 0 DDADMM Cn1cc(C(C)(C)NC(=O)c2cnc(C3CC3)[n-]c2=O)cn1 ZINC000900826805 709779934 /nfs/dbraw/zinc/77/99/34/709779934.db2.gz CTYNXISIMAAWEG-UHFFFAOYSA-N -1 1 301.350 1.458 20 0 DDADMM O=C(NCCCOC1CCOCC1)c1cnc(C2CC2)[n-]c1=O ZINC000900828092 709780531 /nfs/dbraw/zinc/78/05/31/709780531.db2.gz RNIYLABVTUHSNR-UHFFFAOYSA-N -1 1 321.377 1.375 20 0 DDADMM O=C([O-])[C@@H](F)C1CN(C(=O)c2cc(-c3ccccc3)[nH]n2)C1 ZINC000910137735 709787721 /nfs/dbraw/zinc/78/77/21/709787721.db2.gz ZCCJIRYLFLIONH-ZDUSSCGKSA-N -1 1 303.293 1.571 20 0 DDADMM CO[C@H](CC(C)C)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910177001 709798577 /nfs/dbraw/zinc/79/85/77/709798577.db2.gz GSFLLINOMKMGAG-GFCCVEGCSA-N -1 1 300.399 1.055 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@@H]1CC=C(C(=O)[O-])C1 ZINC000910198396 709809192 /nfs/dbraw/zinc/80/91/92/709809192.db2.gz GEWYHSFRTLANDW-SSDOTTSWSA-N -1 1 303.240 1.640 20 0 DDADMM CN(C)c1nc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)cs1 ZINC000900925388 709831355 /nfs/dbraw/zinc/83/13/55/709831355.db2.gz ASDYOENFUVUXCB-UHFFFAOYSA-N -1 1 319.390 1.512 20 0 DDADMM Cc1c(C(=O)[O-])sc2[nH]cnc(=N[C@H]3CC[N@@H+](C)[C@@H]3C)c12 ZINC000910355365 709907602 /nfs/dbraw/zinc/90/76/02/709907602.db2.gz KSOWYUWUZRIGJT-BDAKNGLRSA-N -1 1 306.391 1.624 20 0 DDADMM Cc1c(C(=O)[O-])sc2[nH]cnc(=N[C@H]3CCN(C)[C@@H]3C)c12 ZINC000910355365 709907604 /nfs/dbraw/zinc/90/76/04/709907604.db2.gz KSOWYUWUZRIGJT-BDAKNGLRSA-N -1 1 306.391 1.624 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(Cc3ccoc3)C2)co1 ZINC000890572022 709917813 /nfs/dbraw/zinc/91/78/13/709917813.db2.gz QBCWURKXIUTXGC-UHFFFAOYSA-N -1 1 324.358 1.095 20 0 DDADMM C[C@@H](C(=O)N1CC(F)(F)C[C@H]1C(=O)[O-])N1CCCCCC1 ZINC000910433910 709939162 /nfs/dbraw/zinc/93/91/62/709939162.db2.gz WTUGNWGTJRHPTJ-QWRGUYRKSA-N -1 1 304.337 1.572 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CCc2cc(C(=O)[O-])ccc2C1 ZINC000910501486 709974760 /nfs/dbraw/zinc/97/47/60/709974760.db2.gz HNHSYNFELSQQBD-LLVKDONJSA-N -1 1 313.357 1.871 20 0 DDADMM C[C@](Cc1ccc(F)cc1)(NC(=O)Cc1cnc[nH]1)C(=O)[O-] ZINC000910513748 709978176 /nfs/dbraw/zinc/97/81/76/709978176.db2.gz VZFJIBFGGXPMJB-OAHLLOKOSA-N -1 1 305.309 1.294 20 0 DDADMM O=C([O-])c1ccc(CNCc2cc3c(cn2)OCCO3)cc1 ZINC000901487448 710053363 /nfs/dbraw/zinc/05/33/63/710053363.db2.gz BOEMQHCRYYJAQZ-UHFFFAOYSA-N -1 1 300.314 1.841 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCCCCCC(C)=O ZINC000901655664 710110544 /nfs/dbraw/zinc/11/05/44/710110544.db2.gz UVTUPNLEDMIEIV-UHFFFAOYSA-N -1 1 314.407 1.837 20 0 DDADMM COc1ccc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])cc1Cl ZINC000901743918 710138137 /nfs/dbraw/zinc/13/81/37/710138137.db2.gz QHVCMEVACNOCPV-IUCAKERBSA-N -1 1 314.769 1.416 20 0 DDADMM C[C@H](NCc1cscc1C(F)(F)F)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901745753 710139368 /nfs/dbraw/zinc/13/93/68/710139368.db2.gz OYCLUQUQNPWJOY-BQBZGAKWSA-N -1 1 324.324 1.834 20 0 DDADMM Cc1nc2ccc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])cc2s1 ZINC000901745560 710139418 /nfs/dbraw/zinc/13/94/18/710139418.db2.gz MFLISWCVKLAMBU-IUCAKERBSA-N -1 1 321.402 1.672 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000910989320 710143405 /nfs/dbraw/zinc/14/34/05/710143405.db2.gz VTOKLYZPOKDHAR-NWDGAFQWSA-N -1 1 314.451 1.573 20 0 DDADMM O=C([O-])C[C@]1(NCc2ccn(-c3ccccc3)n2)CCOC1 ZINC000901835588 710157382 /nfs/dbraw/zinc/15/73/82/710157382.db2.gz MAUCLFLTWGNNPA-MRXNPFEDSA-N -1 1 301.346 1.596 20 0 DDADMM CCn1nnc(C)c1CN[C@@H](C(=O)[O-])c1ccc(OC)c(F)c1 ZINC000901860354 710161035 /nfs/dbraw/zinc/16/10/35/710161035.db2.gz JBYFOWXBTZXAHX-CQSZACIVSA-N -1 1 322.340 1.670 20 0 DDADMM O=C([O-])[C@@H]1OCC[C@@H]1NCc1ccccc1Br ZINC000901922331 710175872 /nfs/dbraw/zinc/17/58/72/710175872.db2.gz SEGHDSJADYRZNP-WDEREUQCSA-N -1 1 300.152 1.781 20 0 DDADMM O=C([O-])[C@H]1C[C@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)c2ccccc21 ZINC000902015538 710202138 /nfs/dbraw/zinc/20/21/38/710202138.db2.gz GGZGNHVPFVAREQ-MQYQWHSLSA-N -1 1 311.341 1.943 20 0 DDADMM COCc1ncc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)s1 ZINC000891612743 710248453 /nfs/dbraw/zinc/24/84/53/710248453.db2.gz BBJHAXMEJQDMGS-UHFFFAOYSA-N -1 1 320.374 1.916 20 0 DDADMM Cc1ccn(C[C@@H](C)C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)n1 ZINC000891614140 710249038 /nfs/dbraw/zinc/24/90/38/710249038.db2.gz KZBNPNUBJGJGEE-SNVBAGLBSA-N -1 1 315.377 1.841 20 0 DDADMM C[C@@H](Oc1ccccn1)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891615416 710249199 /nfs/dbraw/zinc/24/91/99/710249199.db2.gz RBEZUSFIQGZNFK-SNVBAGLBSA-N -1 1 314.345 1.862 20 0 DDADMM Cn1c(=O)cccc1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891622507 710251030 /nfs/dbraw/zinc/25/10/30/710251030.db2.gz PFPZRJBMYXIGLH-UHFFFAOYSA-N -1 1 300.318 1.012 20 0 DDADMM COCc1nc(NC[C@@H](O)c2cccc(OC)c2)cc(=O)[n-]1 ZINC000892460078 710440741 /nfs/dbraw/zinc/44/07/41/710440741.db2.gz JAXCHCCDAWVCAE-GFCCVEGCSA-N -1 1 305.334 1.483 20 0 DDADMM COCc1nc(N[C@H](COC)Cc2ccccc2)cc(=O)[n-]1 ZINC000892774883 710496493 /nfs/dbraw/zinc/49/64/93/710496493.db2.gz QLAKJVAKTZENQL-ZDUSSCGKSA-N -1 1 303.362 1.998 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)nn1-c1cc(C)cc(C)c1 ZINC000892954182 710531310 /nfs/dbraw/zinc/53/13/10/710531310.db2.gz DWHWRQVDCMFFKB-UHFFFAOYSA-N -1 1 311.349 1.587 20 0 DDADMM COc1ccc(COCCCC(=O)N(C)c2nn[n-]n2)cc1 ZINC000892954037 710531418 /nfs/dbraw/zinc/53/14/18/710531418.db2.gz AHRCDDWUFZTMON-UHFFFAOYSA-N -1 1 305.338 1.168 20 0 DDADMM O=C([O-])c1coc(/C=C/C(=O)N2CCN(CC3CC3)CC2)c1 ZINC000911221149 710693494 /nfs/dbraw/zinc/69/34/94/710693494.db2.gz PGLCBOYHCWRDKU-ONEGZZNKSA-N -1 1 304.346 1.545 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)NCCCC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000911232121 710700295 /nfs/dbraw/zinc/70/02/95/710700295.db2.gz HJRHDTXKFQZFPA-XQQFMLRXSA-N -1 1 300.399 1.103 20 0 DDADMM C[C@@H](CNCc1cn(CC(=O)[O-])nn1)Sc1ccccc1 ZINC000902316668 710713138 /nfs/dbraw/zinc/71/31/38/710713138.db2.gz LYNPTWWIYAUOKB-NSHDSACASA-N -1 1 306.391 1.633 20 0 DDADMM COCCN1CCC[C@H]1CNC(=O)c1ccc(/C=C\C(=O)[O-])o1 ZINC000911334588 710747112 /nfs/dbraw/zinc/74/71/12/710747112.db2.gz DUCWJSNKRGQKFJ-HWPRWLFBSA-N -1 1 322.361 1.218 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2ccc(/C=C\C(=O)[O-])o2)C1 ZINC000911351363 710756071 /nfs/dbraw/zinc/75/60/71/710756071.db2.gz BMXVTNNWSFRHLW-SMYYYNMVSA-N -1 1 322.361 1.218 20 0 DDADMM O=C([O-])c1cncc(C(=O)N2CCC(c3cnc[nH]3)CC2)c1 ZINC000911385208 710772830 /nfs/dbraw/zinc/77/28/30/710772830.db2.gz PTMIZJVJOBDMER-UHFFFAOYSA-N -1 1 300.318 1.523 20 0 DDADMM O=C([O-])CCc1ccccc1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000911390796 710774929 /nfs/dbraw/zinc/77/49/29/710774929.db2.gz AQMYDNJXKRNLBG-GFCCVEGCSA-N -1 1 313.357 1.714 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)c1cccc(CC(=O)[O-])c1 ZINC000911408860 710785485 /nfs/dbraw/zinc/78/54/85/710785485.db2.gz BHOCWOFZPRXUTR-OAHLLOKOSA-N -1 1 304.390 1.726 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc(C(=O)[O-])c2)CCN1CC(C)(C)O ZINC000911501632 710825894 /nfs/dbraw/zinc/82/58/94/710825894.db2.gz WSZPCYCKOPXOKP-LBPRGKRZSA-N -1 1 320.389 1.302 20 0 DDADMM CN1CC[C@H](NC(=O)c2cc(C(=O)[O-])on2)[C@@H]1c1ccccc1 ZINC000911508959 710828839 /nfs/dbraw/zinc/82/88/39/710828839.db2.gz PFOFUZZZNNRPHJ-FZMZJTMJSA-N -1 1 315.329 1.548 20 0 DDADMM Cc1oc(C(=O)[O-])cc1C(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000911580852 710865532 /nfs/dbraw/zinc/86/55/32/710865532.db2.gz URBZRFIIVISYNJ-SNVBAGLBSA-N -1 1 324.377 1.203 20 0 DDADMM COCc1nc(N[C@H](CO)Cc2ccc(C)c(C)c2)cc(=O)[n-]1 ZINC000894142961 710983672 /nfs/dbraw/zinc/98/36/72/710983672.db2.gz CRTKLJSRXZNXRF-AWEZNQCLSA-N -1 1 317.389 1.961 20 0 DDADMM COCc1nc(N[C@@H](CO)Cc2ccc(C)c(C)c2)cc(=O)[n-]1 ZINC000894142962 710984097 /nfs/dbraw/zinc/98/40/97/710984097.db2.gz CRTKLJSRXZNXRF-CQSZACIVSA-N -1 1 317.389 1.961 20 0 DDADMM CCn1cnc2c1CCN(C(=O)c1nc3ccccc3c(=O)[n-]1)C2 ZINC000902985639 710985232 /nfs/dbraw/zinc/98/52/32/710985232.db2.gz PAQUHHDYKCBIJN-UHFFFAOYSA-N -1 1 323.356 1.338 20 0 DDADMM Cc1ccncc1S(=O)(=O)[N-]c1cc(CN(C)C)ccn1 ZINC000903092562 711029705 /nfs/dbraw/zinc/02/97/05/711029705.db2.gz DPHCNMCRKMHGDO-UHFFFAOYSA-N -1 1 306.391 1.647 20 0 DDADMM Cc1nn(C)c([N-]S(=O)(=O)c2c(C)nn(C)c2C)c1Cl ZINC000903167094 711053356 /nfs/dbraw/zinc/05/33/56/711053356.db2.gz YRPGRESEHDDZEJ-UHFFFAOYSA-N -1 1 317.802 1.533 20 0 DDADMM Cn1cc([C@H]2CN(C(=O)c3ccc([O-])c(F)c3)CCN2)cn1 ZINC000913462274 713226902 /nfs/dbraw/zinc/22/69/02/713226902.db2.gz GXROXWJGGKIOQH-CYBMUJFWSA-N -1 1 304.325 1.052 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC(=O)C[C@@H]1C1CC1 ZINC000912185386 711208167 /nfs/dbraw/zinc/20/81/67/711208167.db2.gz RIMJKMJDTHAICW-GFCCVEGCSA-N -1 1 316.386 1.602 20 0 DDADMM O=C(c1ccc(C(F)F)cc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494270 713236976 /nfs/dbraw/zinc/23/69/76/713236976.db2.gz CUWPWWICPALJSR-JTQLQIEISA-N -1 1 309.276 1.351 20 0 DDADMM O=C([C@H]1Cc2ccc(Cl)cc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494338 713237434 /nfs/dbraw/zinc/23/74/34/713237434.db2.gz WYKJXIPCGYKOAB-NWDGAFQWSA-N -1 1 319.752 1.093 20 0 DDADMM COc1c(C)cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1C ZINC000913495508 713237969 /nfs/dbraw/zinc/23/79/69/713237969.db2.gz KXZYDLXOAGRMEY-LBPRGKRZSA-N -1 1 317.349 1.039 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)N1CCCCCC1 ZINC000903711492 711250369 /nfs/dbraw/zinc/25/03/69/711250369.db2.gz ACZPKIQTJNRTAK-ZDUSSCGKSA-N -1 1 300.399 1.201 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1c(C)nc(CC)nc1C ZINC000912266546 711252076 /nfs/dbraw/zinc/25/20/76/711252076.db2.gz GSZFVLDRPRWCMQ-UHFFFAOYSA-N -1 1 323.393 1.588 20 0 DDADMM O=C([C@@H]1CC[C@@H](C(F)(F)F)C1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913498987 713239676 /nfs/dbraw/zinc/23/96/76/713239676.db2.gz SUUJAJLQFNVNEX-HLTSFMKQSA-N -1 1 319.287 1.078 20 0 DDADMM O=C([O-])[C@H]1c2ccccc2OCCN1C[C@@H](O)CC(F)(F)F ZINC000903927275 711335564 /nfs/dbraw/zinc/33/55/64/711335564.db2.gz KBSCMPDBVOVKQP-JOYOIKCWSA-N -1 1 319.279 1.820 20 0 DDADMM CCCn1cc(CN[C@]2(C(=O)[O-])C[C@H](OCC)C2(C)C)nn1 ZINC000904009853 711363579 /nfs/dbraw/zinc/36/35/79/711363579.db2.gz ATPOEKKNLMZDOU-WFASDCNBSA-N -1 1 310.398 1.436 20 0 DDADMM CN1CCN(C(=O)c2ccc3ccccc3c2[O-])C[C@@H]1CO ZINC000913554010 713257811 /nfs/dbraw/zinc/25/78/11/713257811.db2.gz WRSYSBMCMIOEPI-CYBMUJFWSA-N -1 1 300.358 1.294 20 0 DDADMM c1ccc(-n2[n-]nnc2=N[C@@H]2CCCN3CCSC[C@H]23)cc1 ZINC000895571463 711546402 /nfs/dbraw/zinc/54/64/02/711546402.db2.gz GLEYFXVRPQNJKT-ZIAGYGMSSA-N -1 1 316.434 1.076 20 0 DDADMM COCc1nc(N2CCC[C@H]2C(=O)NC(C)(C)C)cc(=O)[n-]1 ZINC000895851867 711625241 /nfs/dbraw/zinc/62/52/41/711625241.db2.gz XUCXZSZHEZALIW-JTQLQIEISA-N -1 1 308.382 1.212 20 0 DDADMM CN(C)c1ccnc(CNC(=O)CC2(CC(=O)[O-])CCC2)c1 ZINC000905128227 711944965 /nfs/dbraw/zinc/94/49/65/711944965.db2.gz IFHSQYVRXUXNOY-UHFFFAOYSA-N -1 1 305.378 1.799 20 0 DDADMM COc1ccc(CC(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000913742106 713291768 /nfs/dbraw/zinc/29/17/68/713291768.db2.gz HHLKBNFYQXCXKS-UHFFFAOYSA-N -1 1 301.350 1.157 20 0 DDADMM O=C(c1cccc2cnccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913744031 713292965 /nfs/dbraw/zinc/29/29/65/713292965.db2.gz WVCOOXCXJDLSJI-UHFFFAOYSA-N -1 1 308.345 1.768 20 0 DDADMM O=S(=O)(c1nc(-c2ccc3c(c2)CCC3)n[n-]1)[C@@H]1CCOC1 ZINC000905253174 711980699 /nfs/dbraw/zinc/98/06/99/711980699.db2.gz OIPGRLQUPHEKCH-CYBMUJFWSA-N -1 1 319.386 1.523 20 0 DDADMM O=S(=O)(c1n[n-]c(-c2ccc3c(c2)CCC3)n1)[C@@H]1CCOC1 ZINC000905253174 711980700 /nfs/dbraw/zinc/98/07/00/711980700.db2.gz OIPGRLQUPHEKCH-CYBMUJFWSA-N -1 1 319.386 1.523 20 0 DDADMM CO[C@@H]1CCC[C@@H](CC(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC000913744793 713293252 /nfs/dbraw/zinc/29/32/52/713293252.db2.gz FBPVFXBVMIKWBI-DGCLKSJQSA-N -1 1 307.398 1.501 20 0 DDADMM C[C@@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1c(F)cccc1F ZINC000913744646 713293300 /nfs/dbraw/zinc/29/33/00/713293300.db2.gz XRGVVCKMPAFHOV-SECBINFHSA-N -1 1 321.331 1.988 20 0 DDADMM COCC1(C(=O)N2CCC(c3nn[n-]n3)CC2)CCCCC1 ZINC000913745557 713293717 /nfs/dbraw/zinc/29/37/17/713293717.db2.gz JLIAWHHZANITIR-UHFFFAOYSA-N -1 1 307.398 1.503 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC(C)(C)/C=C/Cl)co1 ZINC000928934839 713513520 /nfs/dbraw/zinc/51/35/20/713513520.db2.gz WYJRIUNXKHZDFI-SNAWJCMRSA-N -1 1 306.771 1.449 20 0 DDADMM CC1(/C=C/C(=O)N2CCC(c3nn[n-]n3)CC2)CCOCC1 ZINC000913746496 713294404 /nfs/dbraw/zinc/29/44/04/713294404.db2.gz XCMIPDMJUUYGHN-GORDUTHDSA-N -1 1 305.382 1.279 20 0 DDADMM O=C([O-])C(F)(F)CNS(=O)(=O)[C@@H]1C[C@@H]1c1cccc(F)c1 ZINC000906000465 712216707 /nfs/dbraw/zinc/21/67/07/712216707.db2.gz ZHDUFVOZAGPYDB-NXEZZACHSA-N -1 1 323.292 1.321 20 0 DDADMM C[C@@]1(C(=O)Nc2ccc([O-])c(Cl)c2)CCCS1(=O)=O ZINC000907092596 712485778 /nfs/dbraw/zinc/48/57/78/712485778.db2.gz IREFLOHDJXGNBP-LBPRGKRZSA-N -1 1 303.767 1.951 20 0 DDADMM CCn1cc(Cl)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000907103848 712488027 /nfs/dbraw/zinc/48/80/27/712488027.db2.gz IKPXZPMAXRISLV-VIFPVBQESA-N -1 1 308.773 1.694 20 0 DDADMM Cn1nc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)c2ccccc21 ZINC000907376960 712555277 /nfs/dbraw/zinc/55/52/77/712555277.db2.gz FEKJCQMRHZYKEV-UHFFFAOYSA-N -1 1 323.356 1.876 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](C3CC3)O2)sn1 ZINC000907471161 712580283 /nfs/dbraw/zinc/58/02/83/712580283.db2.gz MIWRFTLEDZZZPU-QWRGUYRKSA-N -1 1 302.421 1.687 20 0 DDADMM C[C@H](CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccncc1 ZINC000907472861 712581129 /nfs/dbraw/zinc/58/11/29/712581129.db2.gz DKDNXJIXBKLZBM-ZYHUDNBSSA-N -1 1 318.406 1.405 20 0 DDADMM O=C(C[C@H]1CCC2(CCC2)O1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907474338 712581537 /nfs/dbraw/zinc/58/15/37/712581537.db2.gz GWXPPMWHDCNGPL-MNOVXSKESA-N -1 1 323.422 1.308 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)nn1C(C)C ZINC000907479281 712583207 /nfs/dbraw/zinc/58/32/07/712583207.db2.gz AYNURPGSYNRGEI-NSHDSACASA-N -1 1 321.410 1.216 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479451 712583236 /nfs/dbraw/zinc/58/32/36/712583236.db2.gz DXJGALNHFATIPY-OANVXVOSSA-N -1 1 316.390 1.315 20 0 DDADMM O=C(c1cc2c([nH]1)CCCC2)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480737 712583930 /nfs/dbraw/zinc/58/39/30/712583930.db2.gz QULDEZAXLPPLLV-GFCCVEGCSA-N -1 1 318.406 1.337 20 0 DDADMM CCc1cccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)n1 ZINC000907481278 712583951 /nfs/dbraw/zinc/58/39/51/712583951.db2.gz UZGALSODYCBQCK-LLVKDONJSA-N -1 1 304.379 1.087 20 0 DDADMM Cc1ncoc1C[N-]S(=O)(=O)c1cccnc1C(F)(F)F ZINC000907596719 712600164 /nfs/dbraw/zinc/60/01/64/712600164.db2.gz HUFVSFGFESXIFV-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2c(Cl)cccc2Cl)CCNC1=O ZINC000907807647 712629267 /nfs/dbraw/zinc/62/92/67/712629267.db2.gz RNQQZZKOWZNEFV-LLVKDONJSA-N -1 1 323.201 1.550 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1C[C@@H](O)Cc2ccccc21 ZINC000907836226 712633309 /nfs/dbraw/zinc/63/33/09/712633309.db2.gz KJQYWPRNPUJXLJ-LBPRGKRZSA-N -1 1 311.341 1.623 20 0 DDADMM O=C(CC(F)(F)C(F)F)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000907956771 712654945 /nfs/dbraw/zinc/65/49/45/712654945.db2.gz HQSBAOVMDRZMLD-LURJTMIESA-N -1 1 311.235 1.772 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)O[C@@H]2CCOC2)[n-]c1=O ZINC000908018632 712667112 /nfs/dbraw/zinc/66/71/12/712667112.db2.gz MTFCOTJUUIBNRJ-NXEZZACHSA-N -1 1 309.322 1.253 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](CC(=O)[O-])Cc2ccccc2)[nH]n1 ZINC000908229131 712714559 /nfs/dbraw/zinc/71/45/59/712714559.db2.gz MJFCVPXYEQXQIA-CYBMUJFWSA-N -1 1 301.346 1.463 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C(C)(C)CSC)c1 ZINC000908381981 712756895 /nfs/dbraw/zinc/75/68/95/712756895.db2.gz GAWIPJIWYLGHEJ-UHFFFAOYSA-N -1 1 307.393 1.486 20 0 DDADMM CC(C)O[N-]C(=O)[C@@H](C)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC000908570346 712809506 /nfs/dbraw/zinc/80/95/06/712809506.db2.gz QPPUYLOWMOCONS-QWRGUYRKSA-N -1 1 304.387 1.307 20 0 DDADMM O=C([O-])[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000908583319 712814548 /nfs/dbraw/zinc/81/45/48/712814548.db2.gz RIIUITVNTGOXGY-DOMZBBRYSA-N -1 1 313.357 1.327 20 0 DDADMM CCC(=O)c1cccc(NC(=O)N[C@@H](CN(C)C)C(=O)[O-])c1 ZINC000908619143 712823946 /nfs/dbraw/zinc/82/39/46/712823946.db2.gz MROCXOYDOPQTEO-LBPRGKRZSA-N -1 1 307.350 1.416 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)N2CC[C@@H](c3ccccc3)C2)C1 ZINC000908730271 712842865 /nfs/dbraw/zinc/84/28/65/712842865.db2.gz REHGAOPGDANMGA-CVEARBPZSA-N -1 1 316.401 1.799 20 0 DDADMM CCCC(O)(CCC)CNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908820568 712861921 /nfs/dbraw/zinc/86/19/21/712861921.db2.gz OVWYJJNLEQOKPF-ZDUSSCGKSA-N -1 1 314.426 1.231 20 0 DDADMM O=C([O-])CCN(CC1CC1)C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000908877504 712877358 /nfs/dbraw/zinc/87/73/58/712877358.db2.gz PSCDQLWDUWFSBY-UHFFFAOYSA-N -1 1 314.345 1.799 20 0 DDADMM O=C([O-])[C@@H]1Cc2ccccc2CN1C(=O)c1cc(C(F)F)[nH]n1 ZINC000908899397 712882948 /nfs/dbraw/zinc/88/29/48/712882948.db2.gz WSRUVIRKPXLUMR-LBPRGKRZSA-N -1 1 321.283 1.999 20 0 DDADMM CN1C[C@@H](C(=O)OCc2cc(=O)oc3cc([O-])ccc23)CC1=O ZINC000914920683 713404109 /nfs/dbraw/zinc/40/41/09/713404109.db2.gz CGNIBIUDPIEZIZ-VIFPVBQESA-N -1 1 317.297 1.020 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)CC1(F)CCC1 ZINC000916614793 713460771 /nfs/dbraw/zinc/46/07/71/713460771.db2.gz LCIIYEZCIYENFM-SNVBAGLBSA-N -1 1 309.403 1.776 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2cscc2C(F)F)n1 ZINC000917947804 713520838 /nfs/dbraw/zinc/52/08/38/713520838.db2.gz OLSUDYOXXYBKQJ-UHFFFAOYSA-N -1 1 322.362 1.776 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000918061455 713527654 /nfs/dbraw/zinc/52/76/54/713527654.db2.gz ROUJKZZBZDJRJL-VIFPVBQESA-N -1 1 323.802 1.273 20 0 DDADMM CC(C)[C@H]1C(=O)NCCN1C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000929606466 713650622 /nfs/dbraw/zinc/65/06/22/713650622.db2.gz NNQZWUUJNNTGAJ-DOMZBBRYSA-N -1 1 304.390 1.667 20 0 DDADMM C[C@@H](CN(C)[C@@H](C)CS(C)(=O)=O)C(=O)c1ccc([O-])cc1 ZINC000929627606 713654292 /nfs/dbraw/zinc/65/42/92/713654292.db2.gz ZUCRFEFGGJSUHW-RYUDHWBXSA-N -1 1 313.419 1.576 20 0 DDADMM CC[C@H](CO)NC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC000930034117 713740284 /nfs/dbraw/zinc/74/02/84/713740284.db2.gz LEZVVHCXMMYFQG-LLVKDONJSA-N -1 1 303.314 1.965 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2C[C@@H]3CCCC[C@H]23)o1 ZINC000921373966 713747700 /nfs/dbraw/zinc/74/77/00/713747700.db2.gz WUUJZNSBYXMNGM-GARJFASQSA-N -1 1 312.391 1.496 20 0 DDADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)CC1CCCC1 ZINC000921378667 713749335 /nfs/dbraw/zinc/74/93/35/713749335.db2.gz FJIXISCJGMVEBF-NSHDSACASA-N -1 1 309.453 1.391 20 0 DDADMM CCN(CCS(C)(=O)=O)C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000930081861 713751575 /nfs/dbraw/zinc/75/15/75/713751575.db2.gz HRUUVQQJUVPAMM-LBPRGKRZSA-N -1 1 313.419 1.578 20 0 DDADMM CCS(=O)(=O)c1ccccc1CC[N-]C(=O)C(F)(F)F ZINC000921580404 713812353 /nfs/dbraw/zinc/81/23/53/713812353.db2.gz YWSINVRBAYKEOY-UHFFFAOYSA-N -1 1 309.309 1.701 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-]C(C)(C)C(N)=O)cnc1Cl ZINC000930457720 713839900 /nfs/dbraw/zinc/83/99/00/713839900.db2.gz FVGUVURKRDKHHL-UHFFFAOYSA-N -1 1 305.712 1.515 20 0 DDADMM CC(C)CC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000966084633 717939077 /nfs/dbraw/zinc/93/90/77/717939077.db2.gz PWECFODNMBLYAC-NEPJUHHUSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)[C@H]1CCCO1 ZINC000923053289 714223424 /nfs/dbraw/zinc/22/34/24/714223424.db2.gz WJLPGAYHADQXSE-WCQYABFASA-N -1 1 305.330 1.301 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@H](CCO)C1CC1 ZINC000932041796 714244334 /nfs/dbraw/zinc/24/43/34/714244334.db2.gz AVGYSYFTNCKUHJ-CQSZACIVSA-N -1 1 316.361 1.860 20 0 DDADMM O=C(NC[C@H]1COCCO1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932041032 714244510 /nfs/dbraw/zinc/24/45/10/714244510.db2.gz YILBFBVRASJWGQ-ZDUSSCGKSA-N -1 1 318.333 1.115 20 0 DDADMM C[C@H](O)C(C)(C)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932047433 714245853 /nfs/dbraw/zinc/24/58/53/714245853.db2.gz MQXSKCOXJAXNHV-JTQLQIEISA-N -1 1 304.350 1.859 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C[C@@]2(C(F)(F)F)CCCN2)o1 ZINC000923684132 714450579 /nfs/dbraw/zinc/45/05/79/714450579.db2.gz AHGPKAVTZHONNT-SNVBAGLBSA-N -1 1 312.313 1.551 20 0 DDADMM O=C(NCCO[C@@H]1CCOC1)NCc1ccc([O-])c(Cl)c1 ZINC000923983254 714500333 /nfs/dbraw/zinc/50/03/33/714500333.db2.gz YDOQNOWYDSAGSI-LLVKDONJSA-N -1 1 314.769 1.650 20 0 DDADMM CCc1nnc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)[nH]1 ZINC000934272717 714783142 /nfs/dbraw/zinc/78/31/42/714783142.db2.gz LMPXRTMMVABEMD-VIFPVBQESA-N -1 1 304.354 1.208 20 0 DDADMM COc1cnc([C@@H]2CCCN2CC(=O)N2CCCCC2)[n-]c1=O ZINC000934277663 714785500 /nfs/dbraw/zinc/78/55/00/714785500.db2.gz PGQXYHSVYJDJIT-LBPRGKRZSA-N -1 1 320.393 1.340 20 0 DDADMM COCC[C@@H]1NC(=O)N(CCc2c(F)cc([O-])cc2F)C1=O ZINC000925462726 714864523 /nfs/dbraw/zinc/86/45/23/714864523.db2.gz MSBXEWPVRMNETE-LBPRGKRZSA-N -1 1 314.288 1.170 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@@H]2CCO[C@H](C(C)C)C2)n[nH]1 ZINC000935260064 715014287 /nfs/dbraw/zinc/01/42/87/715014287.db2.gz FWXVAAXAXLVJBF-PWSUYJOCSA-N -1 1 309.366 1.976 20 0 DDADMM C[C@@H](CN(C)C(=O)COc1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000935369779 715039152 /nfs/dbraw/zinc/03/91/52/715039152.db2.gz YCGNMWYNMQDTRX-QMMMGPOBSA-N -1 1 311.292 1.119 20 0 DDADMM O=C([C@H]1CC[C@@H]2C[C@@H]2CC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000935457447 715060462 /nfs/dbraw/zinc/06/04/62/715060462.db2.gz SCRYFNJZFGIUPR-WRWGMCAJSA-N -1 1 307.423 1.643 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CC(C)(C)C)C1 ZINC000936633717 715225481 /nfs/dbraw/zinc/22/54/81/715225481.db2.gz JYMDVXSAIVSDTG-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM CN(C(=O)C=C1CCC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937212656 715293022 /nfs/dbraw/zinc/29/30/22/715293022.db2.gz XXRVFQWPBPAYHS-CYBMUJFWSA-N -1 1 315.373 1.570 20 0 DDADMM CC[C@H](F)C(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937451341 715375157 /nfs/dbraw/zinc/37/51/57/715375157.db2.gz FPKQCQXFCVHVDY-RYUDHWBXSA-N -1 1 323.368 1.646 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccoc1 ZINC000937680695 715499140 /nfs/dbraw/zinc/49/91/40/715499140.db2.gz BHDNKXHLDHZXQF-NSHDSACASA-N -1 1 301.302 1.025 20 0 DDADMM C[C@@]1(NC(=O)C2CCC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956624992 715504521 /nfs/dbraw/zinc/50/45/21/715504521.db2.gz LEXJUBZFDYALPB-MRXNPFEDSA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937860328 715615987 /nfs/dbraw/zinc/61/59/87/715615987.db2.gz GEROCOICQAWQJO-LBPRGKRZSA-N -1 1 319.405 1.800 20 0 DDADMM CCCC(=O)N1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000937966262 715667603 /nfs/dbraw/zinc/66/76/03/715667603.db2.gz LJRSVJRRTMNICZ-NSHDSACASA-N -1 1 318.377 1.190 20 0 DDADMM CSCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC000955522422 715835519 /nfs/dbraw/zinc/83/55/19/715835519.db2.gz MIJDVZWYWLBWCR-QWRGUYRKSA-N -1 1 323.418 1.260 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000957862305 716202818 /nfs/dbraw/zinc/20/28/18/716202818.db2.gz MHKHMTAQJRUZMU-NQBHXWOUSA-N -1 1 323.368 1.358 20 0 DDADMM C[C@@H]1CN(C(=O)C2CC2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959305361 716940645 /nfs/dbraw/zinc/94/06/45/716940645.db2.gz QOTNDDIYPSATKC-PWSUYJOCSA-N -1 1 303.362 1.022 20 0 DDADMM CCC(=O)N[C@@H]1CC12CCN(C(=O)c1ncccc1[O-])CC2 ZINC000962223943 717360576 /nfs/dbraw/zinc/36/05/76/717360576.db2.gz NQKKCWZNAWSALG-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)CC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964850062 717453398 /nfs/dbraw/zinc/45/33/98/717453398.db2.gz PFLJNPONEQMBSC-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)C2CC2)CC1)c1ncccc1[O-] ZINC000964850727 717453532 /nfs/dbraw/zinc/45/35/32/717453532.db2.gz SKTHREGYPHEBRD-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC000942754837 717857084 /nfs/dbraw/zinc/85/70/84/717857084.db2.gz QUEMSDYZBBKBSM-AVGNSLFASA-N -1 1 317.389 1.506 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC000942758695 717858474 /nfs/dbraw/zinc/85/84/74/717858474.db2.gz QHCXCTDDKGXIAK-VEIWZVCTSA-N -1 1 317.389 1.816 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)c1ccoc1)c1ncccc1[O-] ZINC000943862941 718210260 /nfs/dbraw/zinc/21/02/60/718210260.db2.gz ULWLQQNZTRQWPH-GFCCVEGCSA-N -1 1 315.329 1.415 20 0 DDADMM O=C(NC1CCN(C(=O)c2ccc[nH]2)CC1)c1ncccc1[O-] ZINC000944181295 718266871 /nfs/dbraw/zinc/26/68/71/718266871.db2.gz URFLFRSSANENND-UHFFFAOYSA-N -1 1 314.345 1.150 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000945137861 718407091 /nfs/dbraw/zinc/40/70/91/718407091.db2.gz HTRWBZJKOABHGT-OLZOCXBDSA-N -1 1 317.389 1.720 20 0 DDADMM O=C(NC[C@H]1CCC[C@H]1NC(=O)C(F)F)c1ncccc1[O-] ZINC000946076086 718666562 /nfs/dbraw/zinc/66/65/62/718666562.db2.gz CXHMPGWUWXVYFU-RKDXNWHRSA-N -1 1 313.304 1.067 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949535324 720145137 /nfs/dbraw/zinc/14/51/37/720145137.db2.gz RYEUPGFBWSGTAR-MNOVXSKESA-N -1 1 303.362 1.164 20 0 DDADMM Cc1coc(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])c1 ZINC000949541149 720149013 /nfs/dbraw/zinc/14/90/13/720149013.db2.gz BELODIWMQWSJKY-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949541498 720149590 /nfs/dbraw/zinc/14/95/90/720149590.db2.gz ITXXAQMKTWUXAG-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2cncs2)C1 ZINC000949952573 720428240 /nfs/dbraw/zinc/42/82/40/720428240.db2.gz SNAZCZNNLRKXQD-UHFFFAOYSA-N -1 1 318.402 1.590 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000952102969 721314090 /nfs/dbraw/zinc/31/40/90/721314090.db2.gz JAMUIUHMFRDBSZ-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM CN(C(=O)CC1CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953962563 721684456 /nfs/dbraw/zinc/68/44/56/721684456.db2.gz PEAXOZBNEWLWIP-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM CC[C@H](SC)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954124437 721723687 /nfs/dbraw/zinc/72/36/87/721723687.db2.gz ZNJBWFYJQMKONO-LBPRGKRZSA-N -1 1 323.418 1.212 20 0 DDADMM CC(C)C1(C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)CC1 ZINC000954128032 721724908 /nfs/dbraw/zinc/72/49/08/721724908.db2.gz PLQQVFNAIJFWIE-UHFFFAOYSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1n[nH]c(C(=O)NCCNCc2cc(C)ccc2F)c1[O-] ZINC001125734498 735447990 /nfs/dbraw/zinc/44/79/90/735447990.db2.gz RZOVHYYVDWRWPN-UHFFFAOYSA-N -1 1 306.341 1.391 20 0 DDADMM CC(C)CC(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021442618 733207630 /nfs/dbraw/zinc/20/76/30/733207630.db2.gz ZYHZBRUISQAPEO-QWHCGFSZSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1cnc([C@H](C)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038195657 733217135 /nfs/dbraw/zinc/21/71/35/733217135.db2.gz WZELRYWIBWMUEX-NWDGAFQWSA-N -1 1 316.361 1.649 20 0 DDADMM C[C@@H]1CCN(C(=O)/C=C/C2CC2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087141148 733525750 /nfs/dbraw/zinc/52/57/50/733525750.db2.gz CVPGEFVABWTTLO-FVKZJRLBSA-N -1 1 319.409 1.193 20 0 DDADMM Cn1nc(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)c2ccccc21 ZINC001121237809 782420113 /nfs/dbraw/zinc/42/01/13/782420113.db2.gz PUJBXUPBQMUITG-UHFFFAOYSA-N -1 1 324.266 1.891 20 0 DDADMM C[C@@H](CCNC(=O)c1ccccc1)NC(=O)c1ncccc1[O-] ZINC001075440877 734613065 /nfs/dbraw/zinc/61/30/65/734613065.db2.gz MBHFBEQPPOKMHN-LBPRGKRZSA-N -1 1 313.357 1.726 20 0 DDADMM CCC[C@@H](CC)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167542702 734677390 /nfs/dbraw/zinc/67/73/90/734677390.db2.gz BVUFTQWTBZAVJZ-GFCCVEGCSA-N -1 1 323.441 1.713 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCCN(C/C=C/Cl)C2)c1[O-] ZINC001023307099 735147044 /nfs/dbraw/zinc/14/70/44/735147044.db2.gz RVJAZMJOGIGFGT-JOAKQRRISA-N -1 1 312.801 1.618 20 0 DDADMM C[C@H](CNc1ncncc1Cl)N(C)C(=O)c1ncccc1[O-] ZINC001104535855 736198832 /nfs/dbraw/zinc/19/88/32/736198832.db2.gz BFQRLDOZKZSCTP-SECBINFHSA-N -1 1 321.768 1.803 20 0 DDADMM O=C([C@H]1C[C@H]2C[C@H]2C1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025053128 736259160 /nfs/dbraw/zinc/25/91/60/736259160.db2.gz MZVRPFXNJISVAQ-MROQNXINSA-N -1 1 319.409 1.027 20 0 DDADMM CC1(C)[C@H](O)C[C@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692892753 738963681 /nfs/dbraw/zinc/96/36/81/738963681.db2.gz KKYFYBAIXJEDFP-HTQZYQBOSA-N -1 1 308.762 1.312 20 0 DDADMM Nc1cccc(N(c2ccc(Cl)cc2[O-])S(N)(=O)=O)c1 ZINC001214411833 751441683 /nfs/dbraw/zinc/44/16/83/751441683.db2.gz PPNSCHNUAXSASL-UHFFFAOYSA-N -1 1 313.766 1.969 20 0 DDADMM CCCCCCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167960692 740138837 /nfs/dbraw/zinc/13/88/37/740138837.db2.gz HWFKHKGZLQIDAS-UHFFFAOYSA-N -1 1 323.441 1.857 20 0 DDADMM O=C(NCCC1(CNc2ncccn2)CC1)c1ncccc1[O-] ZINC001089927007 751597544 /nfs/dbraw/zinc/59/75/44/751597544.db2.gz GWJSTLQYRFMVBF-UHFFFAOYSA-N -1 1 313.361 1.589 20 0 DDADMM CC(C)C1(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001029832598 741371492 /nfs/dbraw/zinc/37/14/92/741371492.db2.gz RDYLREJMMGVTDE-GFCCVEGCSA-N -1 1 321.425 1.227 20 0 DDADMM CCOC(=O)c1cc(NC(=O)C(=O)CCc2ccccc2)n[nH]1 ZINC001180962904 742999675 /nfs/dbraw/zinc/99/96/75/742999675.db2.gz RAFOGQPRSOOFJZ-UHFFFAOYSA-N -1 1 315.329 1.727 20 0 DDADMM Cc1n[nH]c(C(=O)NC2CCN(Cc3conc3C)CC2)c1[O-] ZINC001002616107 743279299 /nfs/dbraw/zinc/27/92/99/743279299.db2.gz WVMJRTKKCWAFID-UHFFFAOYSA-N -1 1 319.365 1.115 20 0 DDADMM O=C(CCC1OCCO1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001182731348 743711788 /nfs/dbraw/zinc/71/17/88/743711788.db2.gz PEAYAHJXIHVHJB-UHFFFAOYSA-N -1 1 303.318 1.670 20 0 DDADMM CC(C)(C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O)c1ccc(O)cc1 ZINC001183777779 743902767 /nfs/dbraw/zinc/90/27/67/743902767.db2.gz ZHJZIKGHYKNOJN-UHFFFAOYSA-N -1 1 318.289 1.908 20 0 DDADMM CSc1nc(NC(=O)[C@@H](O)Cc2cccc(F)c2)cc(=O)[n-]1 ZINC001184144511 743975807 /nfs/dbraw/zinc/97/58/07/743975807.db2.gz WDKUYFIFAKICIY-JTQLQIEISA-N -1 1 323.349 1.585 20 0 DDADMM O=C(NC1CN(CCc2cccs2)C1)c1ncccc1[O-] ZINC001030241249 743977050 /nfs/dbraw/zinc/97/70/50/743977050.db2.gz AXFYDXMLMSAWEA-UHFFFAOYSA-N -1 1 303.387 1.505 20 0 DDADMM O=C(NC1CN(CCc2ccccc2F)C1)c1ncccc1[O-] ZINC001030242796 743978604 /nfs/dbraw/zinc/97/86/04/743978604.db2.gz WTYUPDBFPXTUCL-UHFFFAOYSA-N -1 1 315.348 1.583 20 0 DDADMM CN(C)c1nc(NC(=O)[C@@H]2CCCC[C@@H]2CO)c(N=O)c(=O)[n-]1 ZINC001184160294 743987306 /nfs/dbraw/zinc/98/73/06/743987306.db2.gz RXSILKULDFDKRK-RKDXNWHRSA-N -1 1 323.353 1.383 20 0 DDADMM O=S(=O)([N-]c1cc(O)c(F)cc1F)c1cnc(Cl)nc1 ZINC001185042953 744150143 /nfs/dbraw/zinc/15/01/43/744150143.db2.gz CRUDZWYVBSHPIP-UHFFFAOYSA-N -1 1 321.692 1.915 20 0 DDADMM O=S(=O)([N-]c1ccc(F)cc1)c1ccc(-c2nn[nH]n2)cc1 ZINC001185555932 744246751 /nfs/dbraw/zinc/24/67/51/744246751.db2.gz KWSOTOFRBDJXLH-UHFFFAOYSA-N -1 1 319.321 1.807 20 0 DDADMM COC(=O)[C@@H](Cc1cccnc1)NC(=O)c1ccc([O-])c(F)c1 ZINC001186242888 744362694 /nfs/dbraw/zinc/36/26/94/744362694.db2.gz SSNFNWNNOVQWAC-CYBMUJFWSA-N -1 1 318.304 1.440 20 0 DDADMM CCOC(=O)c1nnc(CNC(=O)c2ccc([O-])cc2F)o1 ZINC001186337628 744388883 /nfs/dbraw/zinc/38/88/83/744388883.db2.gz OHBUEVNZBMPLME-UHFFFAOYSA-N -1 1 309.253 1.021 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]Cc1cccnc1C(F)(F)F ZINC001187401545 744556980 /nfs/dbraw/zinc/55/69/80/744556980.db2.gz SMLDHXQSKDQLFY-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM CC(=O)Nc1ccc([C@H](C)[N-]S(=O)(=O)c2cnoc2C)cc1 ZINC001187421493 744560666 /nfs/dbraw/zinc/56/06/66/744560666.db2.gz VQIZQWXZDKWQPS-VIFPVBQESA-N -1 1 323.374 1.981 20 0 DDADMM COC(=O)c1cccc(C[N-]S(=O)(=O)c2cnoc2C)c1 ZINC001187389281 744563041 /nfs/dbraw/zinc/56/30/41/744563041.db2.gz QHNZMKMASHCVCB-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM CCOC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1nccs1 ZINC001187908599 744627846 /nfs/dbraw/zinc/62/78/46/744627846.db2.gz MJJNJIUFYNGDAS-VIFPVBQESA-N -1 1 306.409 1.399 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)c1nccs1)C(=O)OC(C)(C)C ZINC001187902303 744627055 /nfs/dbraw/zinc/62/70/55/744627055.db2.gz PGNGSTJTPCMEFX-SECBINFHSA-N -1 1 320.436 1.788 20 0 DDADMM O=C(Nc1cc(=O)[n-]c(Cl)n1)c1ncc(C(F)(F)F)[nH]1 ZINC001188281141 744686736 /nfs/dbraw/zinc/68/67/36/744686736.db2.gz QUVXPTJOAMXMIV-UHFFFAOYSA-N -1 1 307.619 1.830 20 0 DDADMM C[C@H](C[C@@H](C)Nc1nccnc1F)NC(=O)c1ncccc1[O-] ZINC001089278953 744874958 /nfs/dbraw/zinc/87/49/58/744874958.db2.gz WZNOFENEXGOOCM-NXEZZACHSA-N -1 1 319.340 1.725 20 0 DDADMM O=C(c1cnc(-c2ccccn2)[n-]c1=O)N1C[C@@H]2C[C@H]1C[C@H]2F ZINC001190619706 745260519 /nfs/dbraw/zinc/26/05/19/745260519.db2.gz AFSSUSHLLYBHGU-JBLDHEPKSA-N -1 1 314.320 1.817 20 0 DDADMM CN(C)c1cncc(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)n1 ZINC001190721190 745305152 /nfs/dbraw/zinc/30/51/52/745305152.db2.gz AEXNBVQQNOXPQD-UHFFFAOYSA-N -1 1 324.344 1.686 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@](C)(CO)CC2)c(=O)[n-]1 ZINC001191428104 745509485 /nfs/dbraw/zinc/50/94/85/745509485.db2.gz AAQQUFTURLSGLS-CQSZACIVSA-N -1 1 311.407 1.529 20 0 DDADMM CSc1ncc(C(=O)Nc2cc(C(N)=O)ccc2C)c(=O)[n-]1 ZINC001191438509 745512862 /nfs/dbraw/zinc/51/28/62/745512862.db2.gz ZCTBEOSDXPRSLQ-UHFFFAOYSA-N -1 1 318.358 1.564 20 0 DDADMM COC(=O)c1ccc([O-])c(C(=O)Nc2ccc3[nH]nnc3c2)c1 ZINC001192398288 745784230 /nfs/dbraw/zinc/78/42/30/745784230.db2.gz WIDMDEOKRPVUQK-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cc(OC)nc(OC)c1 ZINC001192409201 745788763 /nfs/dbraw/zinc/78/87/63/745788763.db2.gz XWHNRJNWHCKIIS-UHFFFAOYSA-N -1 1 321.289 1.434 20 0 DDADMM Cc1cc(NC(=O)c2cc([O-])cc(F)c2F)n2ncnc2n1 ZINC001192651200 745845532 /nfs/dbraw/zinc/84/55/32/745845532.db2.gz ATPKYHKHFORITA-UHFFFAOYSA-N -1 1 305.244 1.669 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc(F)c(OC)c1 ZINC001193052561 745973708 /nfs/dbraw/zinc/97/37/08/745973708.db2.gz VGVCJOJQBKCRFV-UHFFFAOYSA-N -1 1 319.354 1.918 20 0 DDADMM CCOC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC001193279748 746043537 /nfs/dbraw/zinc/04/35/37/746043537.db2.gz WLIHXPDLRLYNIS-NEPJUHHUSA-N -1 1 307.412 1.063 20 0 DDADMM COc1ccc2[nH]c(NC(=O)c3nccc(OC)c3[O-])nc2c1 ZINC001193520728 746132380 /nfs/dbraw/zinc/13/23/80/746132380.db2.gz QPLFIQKQUVTPNR-UHFFFAOYSA-N -1 1 314.301 1.933 20 0 DDADMM Nc1ccccc1S(=O)(=O)NCC(=O)c1ccc([O-])cc1 ZINC001193964558 746242185 /nfs/dbraw/zinc/24/21/85/746242185.db2.gz QBZFSFFPVOZCKD-UHFFFAOYSA-N -1 1 306.343 1.136 20 0 DDADMM CCc1cc2ncc(NC(=O)c3cc(C(=O)OC)n[n-]3)cn2n1 ZINC001194283678 746339959 /nfs/dbraw/zinc/33/99/59/746339959.db2.gz PXFOAHYQZPWWMQ-UHFFFAOYSA-N -1 1 314.305 1.054 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2nsc3ncccc23)[n-]n1 ZINC001194284496 746340307 /nfs/dbraw/zinc/34/03/07/746340307.db2.gz YUDALWWTKYVABL-UHFFFAOYSA-N -1 1 303.303 1.453 20 0 DDADMM COc1cc(Cl)nc([N-]S(=O)(=O)C2CCC(=O)CC2)c1 ZINC001194764380 746460542 /nfs/dbraw/zinc/46/05/42/746460542.db2.gz UIMKRQVMODVZPE-UHFFFAOYSA-N -1 1 318.782 1.997 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cnc3sccn23)n[n-]1 ZINC001152213801 746602320 /nfs/dbraw/zinc/60/23/20/746602320.db2.gz XTZXIGTUPLUMAR-UHFFFAOYSA-N -1 1 305.319 1.548 20 0 DDADMM O=C(Nc1ccc2[nH]c(=O)[nH]c2c1)c1c[nH]c(=S)[n-]c1=O ZINC001196015454 746757727 /nfs/dbraw/zinc/75/77/27/746757727.db2.gz AIMCHASPUGMCIZ-UHFFFAOYSA-N -1 1 303.303 1.305 20 0 DDADMM NC(=O)c1ccc(NC(=O)c2c[nH]c(=S)[n-]c2=O)cc1Cl ZINC001196022141 746759049 /nfs/dbraw/zinc/75/90/49/746759049.db2.gz XKXYDUHUVIVJKZ-UHFFFAOYSA-N -1 1 324.749 1.476 20 0 DDADMM O=C(Nc1ccc2c(c1)CCNC2=O)c1c[nH]c(=S)[n-]c1=O ZINC001196020564 746759204 /nfs/dbraw/zinc/75/92/04/746759204.db2.gz KBTDOQQZZUSCKA-UHFFFAOYSA-N -1 1 316.342 1.009 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cc(OC)c(C)cn1 ZINC001196928818 747000838 /nfs/dbraw/zinc/00/08/38/747000838.db2.gz IVIIJCNVPSIKMJ-UHFFFAOYSA-N -1 1 316.379 1.484 20 0 DDADMM COc1nc(NC(=S)Nc2ccc(C(=O)[O-])cc2)cc(=O)[nH]1 ZINC001197074767 747055878 /nfs/dbraw/zinc/05/58/78/747055878.db2.gz CSAKONJOCVFMGH-UHFFFAOYSA-N -1 1 320.330 1.698 20 0 DDADMM O=C(NCC1CN(C/C(Cl)=C/Cl)C1)c1ncccc1[O-] ZINC001031627261 747247073 /nfs/dbraw/zinc/24/70/73/747247073.db2.gz ICIDDLVXXXHVIU-WMZJFQQLSA-N -1 1 316.188 1.768 20 0 DDADMM C=CS(=O)(=O)[N-]c1cc(OC)c(OC)cc1C(=O)OCC ZINC001198621771 747566856 /nfs/dbraw/zinc/56/68/56/747566856.db2.gz USORUURDMAMVFR-UHFFFAOYSA-N -1 1 315.347 1.766 20 0 DDADMM O=C(NC[C@@H]1CCCN1c1ncc(F)cn1)c1ncccc1[O-] ZINC001061345141 747684096 /nfs/dbraw/zinc/68/40/96/747684096.db2.gz ZKXGJWCOUFWKCY-NSHDSACASA-N -1 1 317.324 1.115 20 0 DDADMM Cc1nc2[nH]cnc2c([N-]C(=O)c2cnoc2C(F)(F)F)n1 ZINC001199049954 747685778 /nfs/dbraw/zinc/68/57/78/747685778.db2.gz RGEDMPOQCIJMAY-UHFFFAOYSA-N -1 1 312.211 1.920 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC[C@H](F)C1 ZINC001003896610 747697469 /nfs/dbraw/zinc/69/74/69/747697469.db2.gz KTOFGTOHFLVUTO-NEPJUHHUSA-N -1 1 321.352 1.114 20 0 DDADMM Cc1conc1CN1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001033035939 748001254 /nfs/dbraw/zinc/00/12/54/748001254.db2.gz KOOBRMBTDLPIBM-LBPRGKRZSA-N -1 1 316.361 1.430 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998818083 752255689 /nfs/dbraw/zinc/25/56/89/752255689.db2.gz PPLRPQMLIGXNSI-RYUDHWBXSA-N -1 1 303.362 1.020 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1CCC1 ZINC001004326597 748325174 /nfs/dbraw/zinc/32/51/74/748325174.db2.gz FUFHZNPQGILXOH-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cccc(S(=O)(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1F ZINC001200856045 748360127 /nfs/dbraw/zinc/36/01/27/748360127.db2.gz ZBVJKPPRVKJVQR-UHFFFAOYSA-N -1 1 323.309 1.307 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1CC[C@H](CO)CC1 ZINC001201767155 748598651 /nfs/dbraw/zinc/59/86/51/748598651.db2.gz PKHZBCBCDACTDO-KYZUINATSA-N -1 1 324.855 1.934 20 0 DDADMM Cc1cnc(Cl)c(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC001128531734 748743567 /nfs/dbraw/zinc/74/35/67/748743567.db2.gz ALBJNAKFUSUSGJ-UHFFFAOYSA-N -1 1 301.737 1.951 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1NCc1ccon1 ZINC000995706688 749152405 /nfs/dbraw/zinc/15/24/05/749152405.db2.gz YTPXQVRVPMHCAT-CYBMUJFWSA-N -1 1 316.361 1.416 20 0 DDADMM CC(C)C(C)(C)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995864490 749263846 /nfs/dbraw/zinc/26/38/46/749263846.db2.gz PSGNOAMTULZZPG-NSHDSACASA-N -1 1 323.441 1.519 20 0 DDADMM CSCC(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996141661 749393601 /nfs/dbraw/zinc/39/36/01/749393601.db2.gz HWOGBGYDYAYPCD-VWYCJHECSA-N -1 1 321.402 1.012 20 0 DDADMM CC(C)(C)C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996147712 749396926 /nfs/dbraw/zinc/39/69/26/749396926.db2.gz REWDXPUGTKVUDK-WOPDTQHZSA-N -1 1 317.389 1.695 20 0 DDADMM C[N@H+]1CC[C@@H](c2ccc(NCCOP(=O)([O-])[O-])cc2)C1 ZINC001168596157 751270906 /nfs/dbraw/zinc/27/09/06/751270906.db2.gz PXVWUJNKTBUICD-GFCCVEGCSA-N -1 1 300.295 1.627 20 0 DDADMM C[C@@H]1CCCN(C(=O)CC(C)(C)C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036514478 752501197 /nfs/dbraw/zinc/50/11/97/752501197.db2.gz VFMUAIHHFBFVNA-NEPJUHHUSA-N -1 1 323.441 1.663 20 0 DDADMM CC1(C)CN(C(=O)C2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001008082466 752539745 /nfs/dbraw/zinc/53/97/45/752539745.db2.gz KWOJFSLIKVFNQD-GFCCVEGCSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(CC1CCC1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036678713 753278682 /nfs/dbraw/zinc/27/86/82/753278682.db2.gz VKJCSYJVZXSXCG-QWHCGFSZSA-N -1 1 319.409 1.123 20 0 DDADMM CC1(C)CC(CC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000999989960 753300393 /nfs/dbraw/zinc/30/03/93/753300393.db2.gz HMZXEORAIUQANN-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC2(C)C)C1 ZINC001005947669 753407442 /nfs/dbraw/zinc/40/74/42/753407442.db2.gz AZCUQSFZKXKIRJ-ZYHUDNBSSA-N -1 1 317.389 1.410 20 0 DDADMM COC(=O)C1(C)CCC(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC1 ZINC001168762933 753639152 /nfs/dbraw/zinc/63/91/52/753639152.db2.gz AGOBCAZAFJHAIV-UHFFFAOYSA-N -1 1 321.337 1.250 20 0 DDADMM CCC(=O)NC1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCC1 ZINC001063086549 753965364 /nfs/dbraw/zinc/96/53/64/753965364.db2.gz DXWIBGHUAQLEFL-UHFFFAOYSA-N -1 1 318.377 1.238 20 0 DDADMM C[C@H](c1cnccn1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010731724 754128686 /nfs/dbraw/zinc/12/86/86/754128686.db2.gz KXGSCRQEPXJEFH-VXGBXAGGSA-N -1 1 313.361 1.143 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)/C=C\C1CC1 ZINC001011606873 754627771 /nfs/dbraw/zinc/62/77/71/754627771.db2.gz HISCMFRDMSUEOY-GGCNOCFKSA-N -1 1 315.373 1.473 20 0 DDADMM C[C@H]1C[C@H](Nc2ncccn2)CCN1C(=O)c1ncccc1[O-] ZINC001064765323 754833382 /nfs/dbraw/zinc/83/33/82/754833382.db2.gz HRVDOFNIKFMMPF-NWDGAFQWSA-N -1 1 313.361 1.682 20 0 DDADMM CCCC(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082022604 756586696 /nfs/dbraw/zinc/58/66/96/756586696.db2.gz IUOLURMDNZGIBZ-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)C2CCCC2)C1 ZINC001016430268 757038322 /nfs/dbraw/zinc/03/83/22/757038322.db2.gz HUHXKOFENJMGBD-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@H]2CC2(C)C)C1 ZINC001016430341 757038477 /nfs/dbraw/zinc/03/84/77/757038477.db2.gz ISYBEMLBMKQMFR-HTAVTVPLSA-N -1 1 317.389 1.553 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)C(C)(F)F)C1 ZINC001016432467 757039424 /nfs/dbraw/zinc/03/94/24/757039424.db2.gz WNIZUTUIRSFYBL-UHFFFAOYSA-N -1 1 313.304 1.162 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)C2CCCC2)C1 ZINC001017014025 757564951 /nfs/dbraw/zinc/56/49/51/757564951.db2.gz KVTJYBYHBFXQIG-GFCCVEGCSA-N -1 1 321.425 1.371 20 0 DDADMM CCC(=O)N1CCC[C@@H]([C@@H]2CCCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001052995985 758055695 /nfs/dbraw/zinc/05/56/95/758055695.db2.gz DBHJXZVZOYXFPT-CHWSQXEVSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NCc1cscn1 ZINC001054604412 759878950 /nfs/dbraw/zinc/87/89/50/759878950.db2.gz JAIFOOLRBVWBBN-ZYHUDNBSSA-N -1 1 318.402 1.494 20 0 DDADMM Cc1cnc(CN[C@H]2C[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)s1 ZINC001020079091 760524484 /nfs/dbraw/zinc/52/44/84/760524484.db2.gz FDHBVEYZNNHAOT-MGCOHNPYSA-N -1 1 321.406 1.239 20 0 DDADMM Cc1cc(CN2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)on1 ZINC001007188296 761684539 /nfs/dbraw/zinc/68/45/39/761684539.db2.gz HVEVCRBPWNZBST-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM O=C(NC[C@@H]1COCCN1CCC1CC1)c1ncccc1[O-] ZINC001050888508 764236475 /nfs/dbraw/zinc/23/64/75/764236475.db2.gz WWJZYGUQVGYMOA-CYBMUJFWSA-N -1 1 305.378 1.018 20 0 DDADMM Cc1cnc(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)s1 ZINC001042646731 764309698 /nfs/dbraw/zinc/30/96/98/764309698.db2.gz ZOZRWCXMNBDFBK-UHFFFAOYSA-N -1 1 318.402 1.509 20 0 DDADMM Cc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)ccc1N(C)C ZINC001170217499 766176639 /nfs/dbraw/zinc/17/66/39/766176639.db2.gz DANSBZJGESTXAA-UHFFFAOYSA-N -1 1 300.322 1.834 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccn(C4CCC4)c(=O)c3)c2[nH]1 ZINC001170219255 766177459 /nfs/dbraw/zinc/17/74/59/766177459.db2.gz LXRIMOOHMDQGPP-UHFFFAOYSA-N -1 1 314.305 1.346 20 0 DDADMM CN(C)C(=O)c1cc(Nc2[n-]c(=O)nc3nc[nH]c32)cnc1F ZINC001170230000 766203451 /nfs/dbraw/zinc/20/34/51/766203451.db2.gz JKBUPOJDODNQBR-UHFFFAOYSA-N -1 1 317.284 1.038 20 0 DDADMM CCn1nnc2c1ccc(Nc1[n-]c(=O)nc3nc[nH]c31)c2C ZINC001170230176 766203845 /nfs/dbraw/zinc/20/38/45/766203845.db2.gz MMRJWSLPLZIZNG-UHFFFAOYSA-N -1 1 310.321 1.875 20 0 DDADMM O=C1CC[C@@H](c2ccc(Nc3[n-]c(=O)nc4nc[nH]c43)cc2)N1 ZINC001170230350 766204814 /nfs/dbraw/zinc/20/48/14/766204814.db2.gz PMPSMJRZTKJNRQ-JTQLQIEISA-N -1 1 310.317 1.753 20 0 DDADMM CC1(NC(=O)c2ccc3oc(=O)nc-3[n-]2)CCN(CCF)CC1 ZINC001045620179 766452632 /nfs/dbraw/zinc/45/26/32/766452632.db2.gz PFZARHYXUAXBOW-UHFFFAOYSA-N -1 1 322.340 1.482 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCC[C@@]3(CCN(CCF)C3)C2)c1[O-] ZINC001045931331 766714285 /nfs/dbraw/zinc/71/42/85/766714285.db2.gz ITYYMBIGXTZEIA-INIZCTEOSA-N -1 1 324.400 1.711 20 0 DDADMM CSc1cc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)co1 ZINC001129408615 766871607 /nfs/dbraw/zinc/87/16/07/766871607.db2.gz FISBGZVNIIBCHT-UHFFFAOYSA-N -1 1 305.319 1.293 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(Cc2nncs2)C1 ZINC001046259197 767313924 /nfs/dbraw/zinc/31/39/24/767313924.db2.gz GNSQHJHTDDEGDC-CQSZACIVSA-N -1 1 319.390 1.033 20 0 DDADMM CCC(=O)N1CCC(CC)(NC(=O)c2ncccc2[O-])CC1 ZINC001069057936 767807201 /nfs/dbraw/zinc/80/72/01/767807201.db2.gz QPWCCCXKPDMXGD-UHFFFAOYSA-N -1 1 305.378 1.698 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)CC(C)(C)C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131817647 768401718 /nfs/dbraw/zinc/40/17/18/768401718.db2.gz PCXCJDHKSCIELC-VXGBXAGGSA-N -1 1 323.441 1.014 20 0 DDADMM CCC(C)(C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952300 770336749 /nfs/dbraw/zinc/33/67/49/770336749.db2.gz KKNXJQXVHZSSJM-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM CC[C@](N)(CO)Nc1cc2c(CC(=O)[O-])c[nH]c2cc1Cl ZINC001170865928 771786723 /nfs/dbraw/zinc/78/67/23/771786723.db2.gz KWNNXQWLILLONX-CQSZACIVSA-N -1 1 311.769 1.918 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H](C(N)=O)c2ccccc2)c1 ZINC001136104982 771886937 /nfs/dbraw/zinc/88/69/37/771886937.db2.gz ZVVXAVBYCDNVNF-CQSZACIVSA-N -1 1 312.325 1.470 20 0 DDADMM CCOC(=O)N1CCN(Cc2c(F)cc([O-])cc2F)CC1 ZINC001144555029 772564205 /nfs/dbraw/zinc/56/42/05/772564205.db2.gz RJBWYURXPLUVDA-UHFFFAOYSA-N -1 1 300.305 1.945 20 0 DDADMM [O-]c1cc(F)c(CN2Cc3ccnn3CC[C@@H]2CO)cc1F ZINC001144663791 772590294 /nfs/dbraw/zinc/59/02/94/772590294.db2.gz JHTLLIYXBJREBW-GFCCVEGCSA-N -1 1 309.316 1.634 20 0 DDADMM CCS(=O)(=O)N1CCN(Cc2cc(F)c([O-])cc2F)CC1 ZINC001144659348 772591974 /nfs/dbraw/zinc/59/19/74/772591974.db2.gz FFAYWWVPTALJKW-UHFFFAOYSA-N -1 1 320.361 1.138 20 0 DDADMM CCOC(=O)c1oc2nccnc2c1[N-]C(=O)c1cnn(C)c1 ZINC001147279813 773099537 /nfs/dbraw/zinc/09/95/37/773099537.db2.gz REUOHZBDQOJBKX-UHFFFAOYSA-N -1 1 315.289 1.385 20 0 DDADMM CCOC(=O)c1oc2nccnc2c1[N-]C(=O)c1ccn(C)n1 ZINC001147343354 773111616 /nfs/dbraw/zinc/11/16/16/773111616.db2.gz YGZVRJVOYLTXNQ-UHFFFAOYSA-N -1 1 315.289 1.385 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2ccc3[nH][nH]c(=O)c3c2)c1[O-] ZINC001147840511 773265507 /nfs/dbraw/zinc/26/55/07/773265507.db2.gz KTPJZPWBHBSNAZ-UHFFFAOYSA-N -1 1 314.301 1.422 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2ccn(C(C)C)c(=O)c2)c1[O-] ZINC001147848638 773268776 /nfs/dbraw/zinc/26/87/76/773268776.db2.gz PHAYLFAZRHGISM-UHFFFAOYSA-N -1 1 317.345 1.583 20 0 DDADMM CC1(C)CCC[C@H](CC(=O)NCCNCc2n[nH]c(=O)[n-]2)C1 ZINC001147865116 773277400 /nfs/dbraw/zinc/27/74/00/773277400.db2.gz NJIYDWMOBOPNML-LLVKDONJSA-N -1 1 309.414 1.323 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H](F)CC1CCCCC1 ZINC001147949608 773309118 /nfs/dbraw/zinc/30/91/18/773309118.db2.gz FXNLMLHLQUBFJU-NSHDSACASA-N -1 1 313.377 1.025 20 0 DDADMM CSCC[C@H](NC(=O)c1cc2cccn2cn1)c1nn[n-]n1 ZINC001148261603 773414481 /nfs/dbraw/zinc/41/44/81/773414481.db2.gz YJQZTHGABJQJJC-JTQLQIEISA-N -1 1 317.378 1.072 20 0 DDADMM CO[C@@H](C)CNC(=O)c1cc([O-])c(Br)c(O)c1 ZINC001148997217 773654831 /nfs/dbraw/zinc/65/48/31/773654831.db2.gz XIVAXQFPHCBTJU-LURJTMIESA-N -1 1 304.140 1.625 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])c1nc(C)cc(C)n1 ZINC001100515400 776261401 /nfs/dbraw/zinc/26/14/01/776261401.db2.gz OFMWLQAIXXBQFO-UHFFFAOYSA-N -1 1 315.377 1.450 20 0 DDADMM O=P([O-])([O-])CC[NH2+][C@H]1CCc2cc(F)c(F)c(F)c2C1 ZINC001171506737 776324469 /nfs/dbraw/zinc/32/44/69/776324469.db2.gz ZNWJKKPYQWUXFJ-QMMMGPOBSA-N -1 1 309.224 1.729 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H](O)C(=O)Nc1cccc(F)c1[O-] ZINC001171638525 776405706 /nfs/dbraw/zinc/40/57/06/776405706.db2.gz XNZVYGQPEQZITC-JTQLQIEISA-N -1 1 314.313 1.355 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])c1cc(C)ncn1 ZINC001101125546 776926240 /nfs/dbraw/zinc/92/62/40/776926240.db2.gz DNNNHHXZBOLWCN-UHFFFAOYSA-N -1 1 315.377 1.532 20 0 DDADMM O=C([O-])CNC(=O)c1ccc(Nc2ccc3nccn3c2)cc1 ZINC001174280835 777439386 /nfs/dbraw/zinc/43/93/86/777439386.db2.gz XZELKVXPRMIVGH-UHFFFAOYSA-N -1 1 310.313 1.892 20 0 DDADMM COc1ncc(Nc2[nH]c(=S)[n-]c(=O)c2N=O)c(OC)n1 ZINC001174870896 777621431 /nfs/dbraw/zinc/62/14/31/777621431.db2.gz IHWJXAYHIMCQNX-UHFFFAOYSA-N -1 1 310.295 1.420 20 0 DDADMM COc1cccc(CCC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)n1 ZINC001175313249 777748138 /nfs/dbraw/zinc/74/81/38/777748138.db2.gz LOQMENQSZFEVMA-UHFFFAOYSA-N -1 1 319.277 1.261 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)COc1ccc(C=O)cc1 ZINC001176594638 778177807 /nfs/dbraw/zinc/17/78/07/778177807.db2.gz SUZCPDZEYDANGG-UHFFFAOYSA-N -1 1 317.349 1.076 20 0 DDADMM CCCCCC[C@@H](O)CCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001176724281 778221445 /nfs/dbraw/zinc/22/14/45/778221445.db2.gz BIWNOIVHMYUOFI-GFCCVEGCSA-N -1 1 309.414 1.807 20 0 DDADMM CN(C(=O)Cc1nn[n-]n1)C(c1ccccc1)c1ccccc1 ZINC001176837893 778268668 /nfs/dbraw/zinc/26/86/68/778268668.db2.gz MCGRTJGTAWXCAP-UHFFFAOYSA-N -1 1 307.357 1.990 20 0 DDADMM CCOC(=O)c1[nH]c2ccccc2c1NC(=O)Cc1nn[n-]n1 ZINC001176838155 778269223 /nfs/dbraw/zinc/26/92/23/778269223.db2.gz QRQUJXQGEUXJRB-UHFFFAOYSA-N -1 1 314.305 1.039 20 0 DDADMM CC(C)=CC(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102648105 778271855 /nfs/dbraw/zinc/27/18/55/778271855.db2.gz QFSUVVNQSMCMDV-VXGBXAGGSA-N -1 1 307.398 1.051 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)CC1(C)CC1 ZINC001103244106 778732219 /nfs/dbraw/zinc/73/22/19/778732219.db2.gz NHYBLADNJOECCC-VXGBXAGGSA-N -1 1 321.425 1.275 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@H](c1ccccn1)C1CC1 ZINC001178244243 778948455 /nfs/dbraw/zinc/94/84/55/778948455.db2.gz USRDSNDUPBTAJK-JTQLQIEISA-N -1 1 310.317 1.586 20 0 DDADMM CC(C)CC(=O)NCCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001408514736 836015050 /nfs/dbraw/zinc/01/50/50/836015050.db2.gz ZAEPGNSIFVTWBK-UHFFFAOYSA-N -1 1 320.393 1.294 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(CC(F)(F)F)C1 ZINC001351644304 837976897 /nfs/dbraw/zinc/97/68/97/837976897.db2.gz ACRQPPMPJGJVLR-UHFFFAOYSA-N -1 1 307.297 1.804 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc2ccncc2c1 ZINC001154600684 861264880 /nfs/dbraw/zinc/26/48/80/861264880.db2.gz KNAJZZVZFNPYEW-UHFFFAOYSA-N -1 1 308.274 1.923 20 0 DDADMM CN(C)c1nc(NC(=O)CCC(F)(F)F)c(N=O)c(=O)[n-]1 ZINC001143135635 861384314 /nfs/dbraw/zinc/38/43/14/861384314.db2.gz WKVSRKGEHGQQEW-UHFFFAOYSA-N -1 1 307.232 1.927 20 0 DDADMM CCOC(=O)[C@@H](Oc1[n-]c(=O)nc2c1COC2)C(F)(F)F ZINC001227686478 843611878 /nfs/dbraw/zinc/61/18/78/843611878.db2.gz QKMGNCZAMCELDH-SSDOTTSWSA-N -1 1 308.212 1.085 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cc(F)c[nH]1 ZINC001409261327 844726640 /nfs/dbraw/zinc/72/66/40/844726640.db2.gz IGDAJEPTIXCFHV-JTQLQIEISA-N -1 1 320.324 1.193 20 0 DDADMM COC(=O)C[C@@H]1CCCN(c2nc(C)cc3c2C(=O)[N-]C3=O)C1 ZINC001154840201 861503326 /nfs/dbraw/zinc/50/33/26/861503326.db2.gz UHFBCGDEHMTCIO-JTQLQIEISA-N -1 1 317.345 1.053 20 0 DDADMM CC(C)CC(=O)N1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC001326672434 861532159 /nfs/dbraw/zinc/53/21/59/861532159.db2.gz PBOLHJSLKBGLOM-UHFFFAOYSA-N -1 1 318.373 1.292 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cnn(C2CCC2)c1 ZINC001149500230 861662854 /nfs/dbraw/zinc/66/28/54/861662854.db2.gz JUSHPURUAKUVJE-CQSZACIVSA-N -1 1 323.360 1.641 20 0 DDADMM NC(=O)c1n[nH]cc1NC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149645590 861776723 /nfs/dbraw/zinc/77/67/23/861776723.db2.gz ZYIJNWIPDQRECC-UHFFFAOYSA-N -1 1 312.285 1.325 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCO[C@H](C(F)(F)F)C1 ZINC001155267141 861915319 /nfs/dbraw/zinc/91/53/19/861915319.db2.gz VYLWMFXVCWIIBA-JTQLQIEISA-N -1 1 315.251 1.672 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCC(n2ccnc2)CC1 ZINC001155266761 861915763 /nfs/dbraw/zinc/91/57/63/861915763.db2.gz PCLYAUKEUYMSPX-UHFFFAOYSA-N -1 1 311.345 1.942 20 0 DDADMM CCC[C@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001150044950 862048176 /nfs/dbraw/zinc/04/81/76/862048176.db2.gz QPWTUGZOUPHXDL-ZDUSSCGKSA-N -1 1 317.393 1.300 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCC(C)(F)F ZINC001410854629 849866823 /nfs/dbraw/zinc/86/68/23/849866823.db2.gz OZPCFZRIGAZIED-RKDXNWHRSA-N -1 1 317.340 1.025 20 0 DDADMM [O-]c1cc(NC[C@@H](O)CN2CCOCC2)c(F)cc1Cl ZINC001251026493 851277532 /nfs/dbraw/zinc/27/75/32/851277532.db2.gz HBLJCORRBORDRX-SECBINFHSA-N -1 1 304.749 1.290 20 0 DDADMM O=c1cc([N-]S(=O)(=O)C(F)(F)F)[nH]n1-c1ccccc1 ZINC001252286261 851549342 /nfs/dbraw/zinc/54/93/42/851549342.db2.gz NSFABYJWMPICKE-UHFFFAOYSA-N -1 1 307.253 1.839 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)COc1ccc(OC)cc1 ZINC001411371799 851774504 /nfs/dbraw/zinc/77/45/04/851774504.db2.gz PLUBGNZQOFAEIZ-UHFFFAOYSA-N -1 1 305.290 1.222 20 0 DDADMM COC(=O)[C@H]1C[C@@H]1CNC(=O)c1ccc2ccc(C)nc2c1[O-] ZINC001155660042 862352815 /nfs/dbraw/zinc/35/28/15/862352815.db2.gz AHYKMDUSLXQAPB-YPMHNXCESA-N -1 1 314.341 1.788 20 0 DDADMM CN1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc([O-])cc1Br ZINC001275306081 852968828 /nfs/dbraw/zinc/96/88/28/852968828.db2.gz SFKTYOJNKARJAA-DTORHVGOSA-N -1 1 311.179 1.683 20 0 DDADMM CC1N=NC(C(=O)Nc2ccc(CN3CCCC3=O)cc2)=C1[O-] ZINC001411644423 853512333 /nfs/dbraw/zinc/51/23/33/853512333.db2.gz PHOGVEARDKRRQH-UHFFFAOYSA-N -1 1 314.345 1.798 20 0 DDADMM C[C@H](CNC(=O)OC(C)(C)C)N(C)C(=O)c1cnncc1[O-] ZINC001411785203 853732865 /nfs/dbraw/zinc/73/28/65/853732865.db2.gz MOHPCUMMIIGXEG-SECBINFHSA-N -1 1 310.354 1.167 20 0 DDADMM CN(C)C(=O)c1cccc(CCNC(=O)c2ccc([O-])cn2)c1 ZINC001411795403 853750068 /nfs/dbraw/zinc/75/00/68/853750068.db2.gz RQWUNKBIWDNDHG-UHFFFAOYSA-N -1 1 313.357 1.462 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCNC(=O)[C@@H]1c1cccs1 ZINC001411865466 853858000 /nfs/dbraw/zinc/85/80/00/853858000.db2.gz ZPHJNMUEZMBHFL-ZDUSSCGKSA-N -1 1 320.345 1.906 20 0 DDADMM CCOC(=O)C(C)(C)n1cc(NC(=O)c2n[nH]c(C)c2[O-])cn1 ZINC001411899962 853942235 /nfs/dbraw/zinc/94/22/35/853942235.db2.gz LPBUOVVAXYEBSP-UHFFFAOYSA-N -1 1 321.337 1.171 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccccc1[C@@H](O)C(F)(F)F ZINC001411965900 854045352 /nfs/dbraw/zinc/04/53/52/854045352.db2.gz FMONXRINKNBUIK-LLVKDONJSA-N -1 1 315.255 1.367 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cncnc2C2CC2)n[nH]1 ZINC001411982748 854067693 /nfs/dbraw/zinc/06/76/93/854067693.db2.gz BDMQDSOJXLRSQG-UHFFFAOYSA-N -1 1 301.306 1.506 20 0 DDADMM NC(=O)[C@H]1CCC[C@]12CCN(C(=O)c1cccc([O-])c1F)C2 ZINC001275984165 854080670 /nfs/dbraw/zinc/08/06/70/854080670.db2.gz LKDWJXJPVYCTDJ-BDJLRTHQSA-N -1 1 306.337 1.649 20 0 DDADMM CCOc1nc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)co1 ZINC001412161237 854278697 /nfs/dbraw/zinc/27/86/97/854278697.db2.gz CYJISXZRVSREPU-UHFFFAOYSA-N -1 1 304.306 1.700 20 0 DDADMM Cn1ccc(=O)c(C(=O)Nc2cc(C(=O)OC(C)(C)C)[nH]n2)c1 ZINC001412266548 854393630 /nfs/dbraw/zinc/39/36/30/854393630.db2.gz CDMJDJFUIBAVNB-UHFFFAOYSA-N -1 1 318.333 1.316 20 0 DDADMM CSc1nc(CNC(=O)c2conc2C(C)C)cc(=O)[n-]1 ZINC001412287933 854409038 /nfs/dbraw/zinc/40/90/38/854409038.db2.gz RMKPMAJUKGVPAZ-UHFFFAOYSA-N -1 1 308.363 1.946 20 0 DDADMM CN(C)C(=O)Cn1ccc(NC(=O)c2cccc([O-])c2F)n1 ZINC001412480051 854604540 /nfs/dbraw/zinc/60/45/40/854604540.db2.gz BRYNSKTZORFFBP-UHFFFAOYSA-N -1 1 306.297 1.068 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCN(c2cccnn2)CC1 ZINC001412481389 854605482 /nfs/dbraw/zinc/60/54/82/854605482.db2.gz HNTWKCQYLRRLGG-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM O=C(NC[C@H]1CCN(CC(F)F)C1)c1c(F)ccc([O-])c1F ZINC001412495291 854624806 /nfs/dbraw/zinc/62/48/06/854624806.db2.gz DPBAONOXJFESDY-MRVPVSSYSA-N -1 1 320.286 1.987 20 0 DDADMM Cc1noc(Cl)c1CC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001412524807 854657026 /nfs/dbraw/zinc/65/70/26/854657026.db2.gz BXOQYAORAACCQI-UHFFFAOYSA-N -1 1 312.761 1.377 20 0 DDADMM NC(=O)c1noc2c1CN(C(=O)c1cccc([O-])c1Cl)CC2 ZINC001276049549 854673159 /nfs/dbraw/zinc/67/31/59/854673159.db2.gz CRCPAXGCJJIZAX-UHFFFAOYSA-N -1 1 321.720 1.331 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1ccn(C(C)C)n1 ZINC001412537151 854677562 /nfs/dbraw/zinc/67/75/62/854677562.db2.gz FOWBHBMMVQLDJZ-UHFFFAOYSA-N -1 1 305.386 1.204 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)CCc2ccc(=O)[nH]c2)n[nH]1 ZINC001412710181 854995121 /nfs/dbraw/zinc/99/51/21/854995121.db2.gz WNMQIHMTFMZRKZ-UHFFFAOYSA-N -1 1 318.333 1.647 20 0 DDADMM NC(=O)[C@H]1CCC2(C1)CCN(C(=O)c1ccc(F)c(=O)[n-]1)CC2 ZINC001276302340 855488847 /nfs/dbraw/zinc/48/88/47/855488847.db2.gz GMMGVKUEMUYKPX-JTQLQIEISA-N -1 1 321.352 1.434 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCO[C@@H](COc2ccccc2)C1 ZINC001412834872 855543382 /nfs/dbraw/zinc/54/33/82/855543382.db2.gz ZGFZEMSDUOGVOW-OAHLLOKOSA-N -1 1 314.341 1.707 20 0 DDADMM Cc1nc2c(C)cccn2c1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001151227994 862695410 /nfs/dbraw/zinc/69/54/10/862695410.db2.gz ZHEPXOBSRGAVIZ-UHFFFAOYSA-N -1 1 323.316 1.575 20 0 DDADMM CCO[C@@H]1C[C@](NC(=O)c2ccc([O-])cn2)(C(=O)OC)C1(C)C ZINC001412854109 855725620 /nfs/dbraw/zinc/72/56/20/855725620.db2.gz VFILMRDAFXYOAN-WBMJQRKESA-N -1 1 322.361 1.264 20 0 DDADMM CCOC(=O)c1nc([C@H](C)Nc2cc(C3CC3)ncn2)n[n-]1 ZINC001412899288 855768772 /nfs/dbraw/zinc/76/87/72/855768772.db2.gz NMUUCFOFYAPWOU-QMMMGPOBSA-N -1 1 302.338 1.822 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)Nc2cc(C3CC3)ncn2)n1 ZINC001412899288 855768777 /nfs/dbraw/zinc/76/87/77/855768777.db2.gz NMUUCFOFYAPWOU-QMMMGPOBSA-N -1 1 302.338 1.822 20 0 DDADMM CC(C)NC(=O)OC[C@H]1CCCCN1C(=O)c1ccc([O-])cn1 ZINC001412939203 855794765 /nfs/dbraw/zinc/79/47/65/855794765.db2.gz UTWSDMSLNOVHNY-GFCCVEGCSA-N -1 1 321.377 1.917 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H]1CC12CCOCC2 ZINC001412981531 855870010 /nfs/dbraw/zinc/87/00/10/855870010.db2.gz JIVUCDCHMTWONC-VIFPVBQESA-N -1 1 309.391 1.511 20 0 DDADMM Cc1cnc(C(=O)N[C@](C)(CO)c2ccc(O)cc2)c([O-])c1 ZINC001413179278 856519425 /nfs/dbraw/zinc/51/94/25/856519425.db2.gz ILFQGXSXDWZBOT-MRXNPFEDSA-N -1 1 302.330 1.439 20 0 DDADMM CC(C)(C)OC(=O)c1n[nH]cc1NC(=O)c1cnncc1[O-] ZINC001413182772 856523796 /nfs/dbraw/zinc/52/37/96/856523796.db2.gz MZMVDJGRKURALK-UHFFFAOYSA-N -1 1 305.294 1.113 20 0 DDADMM CC(C)(C)[C@H](NC(=O)c1[nH]nc2c1CCC2)c1nc(=O)o[n-]1 ZINC001413187215 856527210 /nfs/dbraw/zinc/52/72/10/856527210.db2.gz IUUVHGKXRJWJQO-SNVBAGLBSA-N -1 1 305.338 1.092 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@H](O)C(C)C)c1 ZINC001413302616 856623485 /nfs/dbraw/zinc/62/34/85/856623485.db2.gz YKJRKCXOFDHDLE-NSHDSACASA-N -1 1 303.380 1.086 20 0 DDADMM CC(C)(C)OC(=O)C1(CNC(=O)CCCc2nn[n-]n2)CCC1 ZINC001413320701 856649009 /nfs/dbraw/zinc/64/90/09/856649009.db2.gz ZHXSLVLRDPLNKH-UHFFFAOYSA-N -1 1 323.397 1.151 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2cccc([O-])c2F)ccn1 ZINC001413325659 856661387 /nfs/dbraw/zinc/66/13/87/856661387.db2.gz JGFKWKBPBIXUSG-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)c1ccc(F)c([O-])c1 ZINC001413378310 856735433 /nfs/dbraw/zinc/73/54/33/856735433.db2.gz WDPUQILWPBIJJQ-CYBMUJFWSA-N -1 1 322.336 1.041 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C/C=C/c2cccnc2)o1 ZINC001413407083 856774193 /nfs/dbraw/zinc/77/41/93/856774193.db2.gz RWSYZYHHDFMHAR-HWKANZROSA-N -1 1 322.342 1.453 20 0 DDADMM Cc1cc(S(N)(=O)=O)c(C)cc1NC(=O)c1ccc([O-])cn1 ZINC001413541371 856990126 /nfs/dbraw/zinc/99/01/26/856990126.db2.gz IGMSDNDXORNICB-UHFFFAOYSA-N -1 1 321.358 1.304 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1ccco1 ZINC001413546798 857044568 /nfs/dbraw/zinc/04/45/68/857044568.db2.gz UITBEVGOHSIPGX-SECBINFHSA-N -1 1 304.306 1.552 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1ccco1 ZINC001413546798 857044572 /nfs/dbraw/zinc/04/45/72/857044572.db2.gz UITBEVGOHSIPGX-SECBINFHSA-N -1 1 304.306 1.552 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1ccco1 ZINC001413546798 857044577 /nfs/dbraw/zinc/04/45/77/857044577.db2.gz UITBEVGOHSIPGX-SECBINFHSA-N -1 1 304.306 1.552 20 0 DDADMM COC[C@H](NC(=O)C[C@H](C)c1ccc(F)cc1)c1nn[n-]n1 ZINC001413552011 857055206 /nfs/dbraw/zinc/05/52/06/857055206.db2.gz HXLLZURMRKCNFM-CABZTGNLSA-N -1 1 307.329 1.336 20 0 DDADMM CCCC[C@@H](C)C(=O)N(C)C1CC(NC(=O)c2cnn[nH]2)C1 ZINC001413564833 857076545 /nfs/dbraw/zinc/07/65/45/857076545.db2.gz VLVVTCBIBWVFIK-VOMCLLRMSA-N -1 1 307.398 1.350 20 0 DDADMM CSc1ncc(C(=O)NCc2cnn3c2CCCC3)c(=O)[n-]1 ZINC001413678510 857324406 /nfs/dbraw/zinc/32/44/06/857324406.db2.gz XRBQOYWHLKGHGA-UHFFFAOYSA-N -1 1 319.390 1.367 20 0 DDADMM CSc1nc(NC(=O)c2nnc3c(C)cccn23)cc(=O)[n-]1 ZINC001156346348 862955297 /nfs/dbraw/zinc/95/52/97/862955297.db2.gz VWDUJMWHVYHMEB-UHFFFAOYSA-N -1 1 316.346 1.508 20 0 DDADMM COc1cccc2c1CN(C(=O)c1c(C)[nH]c(=O)[n-]c1=S)C2 ZINC001123840928 859436256 /nfs/dbraw/zinc/43/62/56/859436256.db2.gz QXWASPMGCUCPLW-UHFFFAOYSA-N -1 1 317.370 1.944 20 0 DDADMM Cc1[nH]c2c(cccc2C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c1C ZINC001123962567 859499368 /nfs/dbraw/zinc/49/93/68/859499368.db2.gz ULPZMDQSKAKUGW-LLVKDONJSA-N -1 1 310.361 1.928 20 0 DDADMM O=C([O-])COc1ccc(CN2CCC[C@]3(CNC(=O)O3)C2)cc1 ZINC001138927726 860233738 /nfs/dbraw/zinc/23/37/38/860233738.db2.gz YJESBCMATFSXFW-INIZCTEOSA-N -1 1 320.345 1.224 20 0 DDADMM CC(C)c1ccc(NC(=O)Nc2c(O)[nH]c(=O)[n-]c2=S)cc1 ZINC001202929358 860386042 /nfs/dbraw/zinc/38/60/42/860386042.db2.gz FGVSYVSMHYZGFL-JTQLQIEISA-N -1 1 320.374 1.467 20 0 DDADMM CC(C)NC(=O)c1noc2c1CN(Cc1ccncc1[O-])CC2 ZINC001140277463 860608435 /nfs/dbraw/zinc/60/84/35/860608435.db2.gz AIAILKRTCDLYFH-UHFFFAOYSA-N -1 1 316.361 1.472 20 0 DDADMM COc1cc(C(=O)NC23CC(C(N)=O)(C2)C3)cc(Cl)c1[O-] ZINC001276402494 860608461 /nfs/dbraw/zinc/60/84/61/860608461.db2.gz ZBHDDCJTOWSMBZ-UHFFFAOYSA-N -1 1 310.737 1.192 20 0 DDADMM CNC(=O)c1cnc2n1CCN(Cc1ccc([O-])c(F)c1F)C2 ZINC001140888801 860725478 /nfs/dbraw/zinc/72/54/78/860725478.db2.gz IOTBTWWXHIQGIY-UHFFFAOYSA-N -1 1 322.315 1.242 20 0 DDADMM C[C@@H](CC(N)=O)NC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC001140901712 860729280 /nfs/dbraw/zinc/72/92/80/860729280.db2.gz SZUNLMGKEVAQBH-VIFPVBQESA-N -1 1 316.313 1.458 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc4c3CCN4C)c1-2 ZINC001154150470 860822080 /nfs/dbraw/zinc/82/20/80/860822080.db2.gz PDHRESVUQKNSGU-UHFFFAOYSA-N -1 1 308.345 1.279 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cn2cccc(F)c2n1 ZINC001154538811 861188933 /nfs/dbraw/zinc/18/89/33/861188933.db2.gz BNXYPLDUKRGRII-UHFFFAOYSA-N -1 1 314.202 1.863 20 0 DDADMM C[C@H](CC(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccc(F)cc1 ZINC001152116166 863172318 /nfs/dbraw/zinc/17/23/18/863172318.db2.gz WAKNIUUYPNQXGH-SNVBAGLBSA-N -1 1 321.356 1.049 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1C[C@H](F)C[C@H](CO)C1 ZINC001152670433 863482474 /nfs/dbraw/zinc/48/24/74/863482474.db2.gz CWCGEVSBGHJXFU-VHSXEESVSA-N -1 1 320.320 1.439 20 0 DDADMM CSc1nc(NC(=O)c2ccn3nccc3c2)cc(=O)[n-]1 ZINC001152829303 863556232 /nfs/dbraw/zinc/55/62/32/863556232.db2.gz HSMKRUQOZNGAEX-UHFFFAOYSA-N -1 1 301.331 1.804 20 0 DDADMM Cn1ccc2c1ccnc2NC(=N)SCCS(=O)(=O)[O-] ZINC001157322154 863736022 /nfs/dbraw/zinc/73/60/22/863736022.db2.gz SIUVKHWZVWFHQV-UHFFFAOYSA-N -1 1 314.392 1.541 20 0 DDADMM Cc1nnc(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)n1C1CC1 ZINC001157427628 863814122 /nfs/dbraw/zinc/81/41/22/863814122.db2.gz GXKDOZXNLXUSIB-UHFFFAOYSA-N -1 1 306.351 1.266 20 0 DDADMM C[C@@]1(CO)CCN(C(=O)c2c([O-])ccc3ccccc32)C[C@H]1O ZINC001153499400 863915843 /nfs/dbraw/zinc/91/58/43/863915843.db2.gz VFJADCWGEACULJ-QAPCUYQASA-N -1 1 315.369 1.751 20 0 DDADMM COc1cccc2c(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)coc21 ZINC001153677068 864011610 /nfs/dbraw/zinc/01/16/10/864011610.db2.gz UYIGPDIVGTYUHS-SECBINFHSA-N -1 1 315.333 1.830 20 0 DDADMM CCOc1cnc(NC(=O)c2cnc3cccnc3c2[O-])cn1 ZINC001153851513 864158049 /nfs/dbraw/zinc/15/80/49/864158049.db2.gz PAIMHPIHHCVHIQ-UHFFFAOYSA-N -1 1 311.301 1.364 20 0 DDADMM O=C(NCC1(F)CCOCC1)c1c[n-]c2cccnc2c1=O ZINC001153860961 864169036 /nfs/dbraw/zinc/16/90/36/864169036.db2.gz SPSLLEROXXOOFQ-UHFFFAOYSA-N -1 1 305.309 1.172 20 0 DDADMM N=C(NC(=O)c1cc(=O)c2cc(Cl)ccc2[n-]1)c1ncc[nH]1 ZINC001153909808 864214147 /nfs/dbraw/zinc/21/41/47/864214147.db2.gz ZRSXFCCOIMYQEK-UHFFFAOYSA-N -1 1 315.720 1.660 20 0 DDADMM Cc1ccc(/C=C/CC(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001153980758 864278369 /nfs/dbraw/zinc/27/83/69/864278369.db2.gz PRCWSHCTOQGUMD-NSCUHMNNSA-N -1 1 315.377 1.128 20 0 DDADMM Cc1c[nH]c2cccc(C(=O)Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)c12 ZINC001154086468 864396917 /nfs/dbraw/zinc/39/69/17/864396917.db2.gz ZQZWENKDMZKKQN-UHFFFAOYSA-N -1 1 324.300 1.758 20 0 DDADMM Cc1nc([C@@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)no1 ZINC001330575350 864462662 /nfs/dbraw/zinc/46/26/62/864462662.db2.gz LXUDHSMZVHOPHI-ZCFIWIBFSA-N -1 1 304.335 1.200 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@@H]1CC=CCC1 ZINC001330699413 864540118 /nfs/dbraw/zinc/54/01/18/864540118.db2.gz WUAVHJWASDEICN-SNVBAGLBSA-N -1 1 304.350 1.163 20 0 DDADMM NC(=O)c1cc2ccccc2c(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159199139 865162123 /nfs/dbraw/zinc/16/21/23/865162123.db2.gz FZMPNIMNYVQACL-UHFFFAOYSA-N -1 1 321.300 1.449 20 0 DDADMM C[C@]1(c2nc(-c3ccccc3/C=C/C(=O)[O-])no2)CNCCO1 ZINC001332279518 865722146 /nfs/dbraw/zinc/72/21/46/865722146.db2.gz WEERLAUQDCBGOU-FYCOFBDGSA-N -1 1 315.329 1.669 20 0 DDADMM CCCNC(=O)c1cc(Cl)nc([N-]c2nccnc2CN)n1 ZINC001160250691 865747775 /nfs/dbraw/zinc/74/77/75/865747775.db2.gz CSBTYEUUSJNTKL-UHFFFAOYSA-N -1 1 321.772 1.262 20 0 DDADMM NCc1nccnc1[N-]C(F)(F)Oc1cnc(Cl)cn1 ZINC001160251194 865747831 /nfs/dbraw/zinc/74/78/31/865747831.db2.gz IWGSIXYQNCKVSB-UHFFFAOYSA-N -1 1 302.672 1.420 20 0 DDADMM O=S(=O)([N-]CCN1CC=CC1)c1c(Cl)ccnc1Cl ZINC001320126946 866492472 /nfs/dbraw/zinc/49/24/72/866492472.db2.gz QCJHQRPIFRUVNL-UHFFFAOYSA-N -1 1 322.217 1.539 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC001333365980 866673292 /nfs/dbraw/zinc/67/32/92/866673292.db2.gz NWZZHPVEORACMR-AWEZNQCLSA-N -1 1 305.378 1.273 20 0 DDADMM C[C@@H](NC/C=C\CNC(=O)c1ncccc1[O-])c1ncccn1 ZINC001321026322 867227815 /nfs/dbraw/zinc/22/78/15/867227815.db2.gz AQJVDMANJITORQ-ZZKXABKFSA-N -1 1 313.361 1.214 20 0 DDADMM NCc1nc2cnccc2n1-c1nc[n-]c2nc(=S)sc1-2 ZINC001162219333 867351751 /nfs/dbraw/zinc/35/17/51/867351751.db2.gz ANSZFHHZOCUXLW-UHFFFAOYSA-N -1 1 315.387 1.568 20 0 DDADMM Cc1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)nc2ccccc12 ZINC001334250290 867426696 /nfs/dbraw/zinc/42/66/96/867426696.db2.gz FKRKYTNJCWBQPP-CQSZACIVSA-N -1 1 324.344 1.270 20 0 DDADMM CC(C)(C)c1nc2nc[nH]c2c(N[C@@H]2SC(=O)[N-]C2=O)n1 ZINC001163663015 868603984 /nfs/dbraw/zinc/60/39/84/868603984.db2.gz SGDPQORXYUQIRR-SECBINFHSA-N -1 1 306.351 1.371 20 0 DDADMM CC(C)[C@@H](C)CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163756658 868684081 /nfs/dbraw/zinc/68/40/81/868684081.db2.gz IMFQWCARWUJDLT-LBPRGKRZSA-N -1 1 323.441 1.569 20 0 DDADMM O=C([N-]CCNc1cccc2c1CNC(=O)N2)C(F)(F)F ZINC001164250915 869059076 /nfs/dbraw/zinc/05/90/76/869059076.db2.gz GMPKGQCADKJUSC-UHFFFAOYSA-N -1 1 302.256 1.412 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(N2CCCCCC2)cc1 ZINC001336667990 869083129 /nfs/dbraw/zinc/08/31/29/869083129.db2.gz GYUSDIUBZUUHAY-UHFFFAOYSA-N -1 1 316.361 1.264 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)C=CCC1 ZINC001337137002 869342597 /nfs/dbraw/zinc/34/25/97/869342597.db2.gz YRNUJMSGHVBXIS-SJCJKPOMSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)C=CCC1 ZINC001337137001 869343395 /nfs/dbraw/zinc/34/33/95/869343395.db2.gz YRNUJMSGHVBXIS-PXAZEXFGSA-N -1 1 317.389 1.720 20 0 DDADMM CC1(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CCC1 ZINC001166614992 870099668 /nfs/dbraw/zinc/09/96/68/870099668.db2.gz WRPSQRLUWYNAQN-UHFFFAOYSA-N -1 1 307.398 1.077 20 0 DDADMM Cc1cc2c(c(N3CC(OCc4ccccc4)C3)n1)C(=O)[N-]C2=O ZINC001166629285 870110266 /nfs/dbraw/zinc/11/02/66/870110266.db2.gz JUQXXYVEZCLTJP-UHFFFAOYSA-N -1 1 323.352 1.679 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CC(C)(C)c2ccccc21 ZINC001339516426 870535575 /nfs/dbraw/zinc/53/55/75/870535575.db2.gz ZCSYFSLFCCZFDK-UHFFFAOYSA-N -1 1 324.392 1.831 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CC(C)(C)c2ccccc21 ZINC001339516426 870535581 /nfs/dbraw/zinc/53/55/81/870535581.db2.gz ZCSYFSLFCCZFDK-UHFFFAOYSA-N -1 1 324.392 1.831 20 0 DDADMM c1cc(CN2CCOCC2)ncc1[N-]c1nonc1C1CC1 ZINC001203470255 870576287 /nfs/dbraw/zinc/57/62/87/870576287.db2.gz CEGPEHXTSRDSGP-UHFFFAOYSA-N -1 1 301.350 1.918 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C1(Br)CC1 ZINC001303202079 871106845 /nfs/dbraw/zinc/10/68/45/871106845.db2.gz UKIWRKPYQRXOPH-UHFFFAOYSA-N -1 1 302.176 1.112 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cccc2c1ccn2C ZINC001303201962 871107063 /nfs/dbraw/zinc/10/70/63/871107063.db2.gz RDNQTMSITCZHFD-UHFFFAOYSA-N -1 1 312.377 1.990 20 0 DDADMM COc1ccc(NCc2cc(C)n(C)n2)cc1[N-]S(C)(=O)=O ZINC001308313864 871490687 /nfs/dbraw/zinc/49/06/87/871490687.db2.gz VDQWJJKQMKPARH-UHFFFAOYSA-N -1 1 324.406 1.721 20 0 DDADMM CN1CCN(c2cc(-c3ccsc3C(=O)[O-])ncn2)CC1 ZINC001205542881 871620263 /nfs/dbraw/zinc/62/02/63/871620263.db2.gz WIYYYZFPQWYTGK-UHFFFAOYSA-N -1 1 304.375 1.655 20 0 DDADMM Cn1ccc2cc([N-]S(=O)(=O)c3cc(N)ccc3N)cnc21 ZINC001205780388 871804980 /nfs/dbraw/zinc/80/49/80/871804980.db2.gz HETVYCSWHQZLAM-UHFFFAOYSA-N -1 1 317.374 1.539 20 0 DDADMM CCN(Cc1ccccc1)c1nnc(-c2noc(=O)[n-]2)n1CC ZINC001341770970 871815595 /nfs/dbraw/zinc/81/55/95/871815595.db2.gz DSLBIKVAHIPKDL-UHFFFAOYSA-N -1 1 314.349 1.668 20 0 DDADMM CCS(=O)(=O)CCCCCN1CCC(CC(=O)[O-])CC1 ZINC001328759599 871885612 /nfs/dbraw/zinc/88/56/12/871885612.db2.gz BATOGCDBVZELEL-UHFFFAOYSA-N -1 1 305.440 1.778 20 0 DDADMM COC(=O)COc1ccc(NC(=O)c2ccc([O-])cn2)c(C)c1 ZINC001361883102 882569162 /nfs/dbraw/zinc/56/91/62/882569162.db2.gz BJICJEWEVMRYKI-UHFFFAOYSA-N -1 1 316.313 1.900 20 0 DDADMM O=C(CCN1C(=O)CCc2cc(F)ccc21)Nc1c[n-][nH]c1=O ZINC001413846722 872156454 /nfs/dbraw/zinc/15/64/54/872156454.db2.gz OISQKJXANCCOOK-UHFFFAOYSA-N -1 1 318.308 1.562 20 0 DDADMM CN1CCN(c2nccnc2-c2csc(C(=O)[O-])c2)CC1 ZINC001206264729 872170490 /nfs/dbraw/zinc/17/04/90/872170490.db2.gz DESOUIPTFOMOJK-UHFFFAOYSA-N -1 1 304.375 1.655 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCC[C@@]2(CC=CCC2)C1 ZINC001342593125 872284113 /nfs/dbraw/zinc/28/41/13/872284113.db2.gz YSMUNZAILVTROA-HNNXBMFYSA-N -1 1 314.397 1.805 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCC[C@@]2(CC=CCC2)C1 ZINC001342593125 872284132 /nfs/dbraw/zinc/28/41/32/872284132.db2.gz YSMUNZAILVTROA-HNNXBMFYSA-N -1 1 314.397 1.805 20 0 DDADMM O=c1cc(OCCOC2CCCC2)nc(N2CCOCC2)[n-]1 ZINC001226728851 882616482 /nfs/dbraw/zinc/61/64/82/882616482.db2.gz VKSCFSQFKVZULX-UHFFFAOYSA-N -1 1 309.366 1.357 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1ncccc1[O-] ZINC001344066094 872849172 /nfs/dbraw/zinc/84/91/72/872849172.db2.gz JPSFXVBHRKFMLS-XKFUZFNKSA-N -1 1 317.389 1.911 20 0 DDADMM Cc1c(C(=O)OCc2nn[n-]n2)cnn1-c1cc(C)ccc1C ZINC001345163074 873281972 /nfs/dbraw/zinc/28/19/72/873281972.db2.gz RSXAKYXFDCEQHF-UHFFFAOYSA-N -1 1 312.333 1.668 20 0 DDADMM COCC[C@H]1CNCCN1C(=O)c1ccc2[n-]c(=S)oc2c1 ZINC001345578232 873444750 /nfs/dbraw/zinc/44/47/50/873444750.db2.gz SRGZCSZXLNDHSZ-NSHDSACASA-N -1 1 321.402 1.567 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1(C)C ZINC001346509603 873782898 /nfs/dbraw/zinc/78/28/98/873782898.db2.gz OSMRDFSOSWIRBJ-BDODKLCJSA-N -1 1 311.407 1.569 20 0 DDADMM O=C(Cc1nnc(C2CCOCC2)[nH]1)[N-]OC/C=C/Cl ZINC001346997565 873967354 /nfs/dbraw/zinc/96/73/54/873967354.db2.gz PEFFWJLHORWPAE-DAFODLJHSA-N -1 1 300.746 1.042 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCC1CC(F)(F)C1 ZINC001347034138 873985484 /nfs/dbraw/zinc/98/54/84/873985484.db2.gz OFMKBEGJMQGUST-UHFFFAOYSA-N -1 1 303.334 1.945 20 0 DDADMM Cc1nc(CS(C)(=O)=O)sc1CC(=O)[N-]OC1CCC1 ZINC001347135270 874027934 /nfs/dbraw/zinc/02/79/34/874027934.db2.gz LLOLNCABYSSESN-UHFFFAOYSA-N -1 1 318.420 1.139 20 0 DDADMM O=C(Cc1cccc2ncccc12)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347199829 874052458 /nfs/dbraw/zinc/05/24/58/874052458.db2.gz ZYOHGEHEWNFPQJ-LBPRGKRZSA-N -1 1 308.345 1.307 20 0 DDADMM Cc1cnc(F)c(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)c1 ZINC001349209972 875036984 /nfs/dbraw/zinc/03/69/84/875036984.db2.gz DFWHTQNMSDHFKZ-SNVBAGLBSA-N -1 1 304.329 1.137 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2cc(F)c(N)c(F)c2)c1 ZINC001210912550 875596765 /nfs/dbraw/zinc/59/67/65/875596765.db2.gz OBNBQEUEBJZXPK-UHFFFAOYSA-N -1 1 314.317 1.512 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C1(C)CCCCCC1 ZINC001378779025 875617826 /nfs/dbraw/zinc/61/78/26/875617826.db2.gz VXHCQIWXXGEAPK-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM CCNC(=O)c1ccc(NC(=O)c2n[nH]c(C)c2[O-])c(C)c1 ZINC001362072456 882966578 /nfs/dbraw/zinc/96/65/78/882966578.db2.gz LJZADFTXCGATGC-UHFFFAOYSA-N -1 1 302.334 1.734 20 0 DDADMM CCC[C@H](C)CC(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001378893879 875862550 /nfs/dbraw/zinc/86/25/50/875862550.db2.gz GHWPLMWPGXUBEE-LBPRGKRZSA-N -1 1 323.441 1.759 20 0 DDADMM O=C([O-])[C@@]1(N2CCN(C(=O)C[C@H]3CC=CCC3)CC2)CCOC1 ZINC001350903548 875951311 /nfs/dbraw/zinc/95/13/11/875951311.db2.gz PWABBDIFHQXKOM-WMLDXEAASA-N -1 1 322.405 1.121 20 0 DDADMM COC(=O)c1c(F)cccc1Nc1c(N)[nH]c(=S)[n-]c1=O ZINC001214045758 876148888 /nfs/dbraw/zinc/14/88/88/876148888.db2.gz RNSFYWLJYLOMCI-UHFFFAOYSA-N -1 1 310.310 1.722 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1c(F)cc(CO)cc1F ZINC001214090789 876169008 /nfs/dbraw/zinc/16/90/08/876169008.db2.gz WWXPKMXMGUKCJD-UHFFFAOYSA-N -1 1 300.290 1.567 20 0 DDADMM CC(C)c1[nH]ccc1C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001379209919 876619559 /nfs/dbraw/zinc/61/95/59/876619559.db2.gz AUYNPEXHLVVPJX-SNVBAGLBSA-N -1 1 320.397 1.212 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)NC[C@H]1CCC=CO1 ZINC001352476865 876765077 /nfs/dbraw/zinc/76/50/77/876765077.db2.gz JDOHQZFINLPILL-LLVKDONJSA-N -1 1 324.764 1.471 20 0 DDADMM COc1cc(F)c(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1OC ZINC001215720261 876793378 /nfs/dbraw/zinc/79/33/78/876793378.db2.gz RHLGSVDJHVKNTO-UHFFFAOYSA-N -1 1 312.326 1.953 20 0 DDADMM O=C(c1cc(F)c(F)c(F)c1F)N1CC[C@H](c2nn[n-]n2)C1 ZINC001352595835 876823533 /nfs/dbraw/zinc/82/35/33/876823533.db2.gz GJSRMMLPUHKVRW-YFKPBYRVSA-N -1 1 315.230 1.386 20 0 DDADMM Cc1ccnn1-c1ccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)cc1 ZINC001352596685 876823706 /nfs/dbraw/zinc/82/37/06/876823706.db2.gz TXIXOKJGXZYLLS-CYBMUJFWSA-N -1 1 323.360 1.324 20 0 DDADMM COCCOc1ccc(Nc2cc(=O)[n-]c(N(C)C)n2)cn1 ZINC001216064025 876852898 /nfs/dbraw/zinc/85/28/98/876852898.db2.gz NYVIBTTWMMVRFG-UHFFFAOYSA-N -1 1 305.338 1.412 20 0 DDADMM COc1ncc(Nc2cc(C)ccn2)cc1[N-]S(C)(=O)=O ZINC001216151510 876877771 /nfs/dbraw/zinc/87/77/71/876877771.db2.gz MXNMFOBXIRGMJA-UHFFFAOYSA-N -1 1 308.363 1.909 20 0 DDADMM O=C(C=Cc1cccs1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001352792941 876935126 /nfs/dbraw/zinc/93/51/26/876935126.db2.gz DOFKVFONHKUWQP-MVIFTORASA-N -1 1 303.391 1.756 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2cnn(COC)c2)c1 ZINC001216575039 876986579 /nfs/dbraw/zinc/98/65/79/876986579.db2.gz BULWSHGXZCUUDA-UHFFFAOYSA-N -1 1 311.363 1.730 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc2c(c1)CCCC(=O)N2 ZINC001362118595 883084754 /nfs/dbraw/zinc/08/47/54/883084754.db2.gz LQOQOKCFYYIEGW-UHFFFAOYSA-N -1 1 300.322 1.046 20 0 DDADMM O=c1nc(O[C@H]2Cc3cccc(Br)c3C2)[n-]c(=O)[nH]1 ZINC001227571421 883087554 /nfs/dbraw/zinc/08/75/54/883087554.db2.gz UEVIARBYJXDRIM-ZETCQYMHSA-N -1 1 324.134 1.592 20 0 DDADMM CC(C)[C@H]1CC[C@H](C(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001379454771 877118856 /nfs/dbraw/zinc/11/88/56/877118856.db2.gz XDSFHAMZUMRCEH-JHJVBQTASA-N -1 1 323.441 1.567 20 0 DDADMM COC1(OC)CC2(CN(C(=O)c3cnncc3[O-])[C@H]2C(C)C)C1 ZINC001353724917 877511638 /nfs/dbraw/zinc/51/16/38/877511638.db2.gz FFCJMPWYSLZAAW-ZDUSSCGKSA-N -1 1 321.377 1.432 20 0 DDADMM COCCOc1ccc(CNCc2cc(C(=O)[O-])n[nH]2)cc1 ZINC001353922921 877648258 /nfs/dbraw/zinc/64/82/58/877648258.db2.gz RYXZFIOSWFYTIF-UHFFFAOYSA-N -1 1 305.334 1.423 20 0 DDADMM C[C@H](CN(C)Cc1cncs1)NC(=O)c1ncccc1[O-] ZINC001379656362 877665129 /nfs/dbraw/zinc/66/51/29/877665129.db2.gz JDKRBYVJIMNQLN-SNVBAGLBSA-N -1 1 306.391 1.494 20 0 DDADMM Cc1cn2nnc(C(=O)Nc3c([O-])cccc3F)c2c(=O)[nH]1 ZINC001300489392 877701181 /nfs/dbraw/zinc/70/11/81/877701181.db2.gz SJPYEVIVLKXEAN-UHFFFAOYSA-N -1 1 303.253 1.235 20 0 DDADMM COC(=O)[C@@H](N)CC(=O)Nc1cccc(C(F)(F)F)c1[O-] ZINC001219310451 878107782 /nfs/dbraw/zinc/10/77/82/878107782.db2.gz DZXBIERYLPSVQF-ZETCQYMHSA-N -1 1 306.240 1.240 20 0 DDADMM Cn1c(-c2nc(Cl)n[n-]2)nnc1N1CC[C@H](c2ccco2)C1 ZINC001355325305 878501978 /nfs/dbraw/zinc/50/19/78/878501978.db2.gz IVJYGRYCLOOSDC-QMMMGPOBSA-N -1 1 319.756 1.841 20 0 DDADMM Cc1ccc2c(c1)CN(c1nnc(-c3c[n-][nH]c3=O)n1C)CC2 ZINC001355570121 878624214 /nfs/dbraw/zinc/62/42/14/878624214.db2.gz GGHXDJPNILLDFP-UHFFFAOYSA-N -1 1 310.361 1.782 20 0 DDADMM CC(C)C[C@H](CNCc1cnon1)NC(=O)c1ncccc1[O-] ZINC001374350515 912617560 /nfs/dbraw/zinc/61/75/60/912617560.db2.gz VFQBXRUWMALIEJ-LLVKDONJSA-N -1 1 319.365 1.105 20 0 DDADMM COC(=O)[C@@H]1[C@H](O)CCCN1Cc1ccc([O-])c(F)c1F ZINC001414133640 881266846 /nfs/dbraw/zinc/26/68/46/881266846.db2.gz BMNZJJKXWFRLIL-MFKMUULPSA-N -1 1 301.289 1.169 20 0 DDADMM O=C(CCNC(=O)c1ccc([O-])cc1F)Nc1ccccn1 ZINC001362182197 883232310 /nfs/dbraw/zinc/23/23/10/883232310.db2.gz UHRXFSCJWSOXGV-UHFFFAOYSA-N -1 1 303.293 1.685 20 0 DDADMM O=C(N[C@H](CO)[C@@H]1CCOC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362284031 883479976 /nfs/dbraw/zinc/47/99/76/883479976.db2.gz NDEGARJZPKJCEI-MWLCHTKSSA-N -1 1 319.279 1.538 20 0 DDADMM Cc1nnc([C@H]2CCCN(C(=O)c3ccc(F)c([O-])c3)C2)[nH]1 ZINC001362289339 883489612 /nfs/dbraw/zinc/48/96/12/883489612.db2.gz YNVRFSZJSTWBFO-NSHDSACASA-N -1 1 304.325 1.978 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCNC(=O)[C@H]1c1cccs1 ZINC001362379905 883679476 /nfs/dbraw/zinc/67/94/76/883679476.db2.gz SPQWDYNCCKTKSA-CYBMUJFWSA-N -1 1 320.345 1.906 20 0 DDADMM C[C@@H](NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(=O)NCCF ZINC001362385291 883691522 /nfs/dbraw/zinc/69/15/22/883691522.db2.gz HPAMFWPNIDGNJT-SSDOTTSWSA-N -1 1 322.258 1.615 20 0 DDADMM CCc1cc(C(=O)NC(CC)(CC)c2nn[n-]n2)cc(=O)[nH]1 ZINC001362430616 883791377 /nfs/dbraw/zinc/79/13/77/883791377.db2.gz YXLRUUJOAUAYSG-UHFFFAOYSA-N -1 1 304.354 1.308 20 0 DDADMM CCc1ncc(Cl)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)n1 ZINC001362450838 883842217 /nfs/dbraw/zinc/84/22/17/883842217.db2.gz GHWJAVAEWCSQNE-ZETCQYMHSA-N -1 1 309.761 1.081 20 0 DDADMM COC[C@H]1CCCCN(C(=O)c2cnc(SC)[n-]c2=O)C1 ZINC001362490558 883926445 /nfs/dbraw/zinc/92/64/45/883926445.db2.gz QWRXSRQPLCZJNE-JTQLQIEISA-N -1 1 311.407 1.793 20 0 DDADMM COC(=O)[C@@H](CC(F)F)NC(=O)c1cc(Cl)ccc1[O-] ZINC001362599841 884188917 /nfs/dbraw/zinc/18/89/17/884188917.db2.gz JMUQAQQLTMPUHG-MRVPVSSYSA-N -1 1 307.680 1.972 20 0 DDADMM CCOC(=O)[C@H]1CC[C@@H](Oc2nc(=O)[n-]cc2C(=O)OC)C1 ZINC001229870059 884202084 /nfs/dbraw/zinc/20/20/84/884202084.db2.gz FACFUGIIWQZRIE-DTWKUNHWSA-N -1 1 310.306 1.079 20 0 DDADMM CCC(CC)(NC(=O)CO[C@H]1CCCC[C@H]1C)c1nn[n-]n1 ZINC001362632113 884272156 /nfs/dbraw/zinc/27/21/56/884272156.db2.gz MYKJPTCXYGLYIL-NEPJUHHUSA-N -1 1 309.414 1.927 20 0 DDADMM CCC(CC)(NC(=O)CO[C@H]1CCCC[C@@H]1C)c1nn[n-]n1 ZINC001362632115 884272818 /nfs/dbraw/zinc/27/28/18/884272818.db2.gz MYKJPTCXYGLYIL-RYUDHWBXSA-N -1 1 309.414 1.927 20 0 DDADMM CS(C)(=O)=Nc1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001362653110 884329902 /nfs/dbraw/zinc/32/99/02/884329902.db2.gz DHZMIILDHBPXKH-UHFFFAOYSA-N -1 1 308.367 1.130 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2cccc(O)c2)n[n-]1 ZINC001362658394 884343758 /nfs/dbraw/zinc/34/37/58/884343758.db2.gz HEGYMSIMEFOGEX-SECBINFHSA-N -1 1 318.333 1.107 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2cccc(O)c2)[n-]1 ZINC001362658394 884343779 /nfs/dbraw/zinc/34/37/79/884343779.db2.gz HEGYMSIMEFOGEX-SECBINFHSA-N -1 1 318.333 1.107 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2cccc(O)c2)n1 ZINC001362658394 884343801 /nfs/dbraw/zinc/34/38/01/884343801.db2.gz HEGYMSIMEFOGEX-SECBINFHSA-N -1 1 318.333 1.107 20 0 DDADMM COC(=O)c1c[n-]c(O[C@H]2CCCn3c2cnc3C(=O)OC)n1 ZINC001230281886 884408329 /nfs/dbraw/zinc/40/83/29/884408329.db2.gz KEXZPNJUXNOULC-JTQLQIEISA-N -1 1 320.305 1.093 20 0 DDADMM CNc1ncccc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001362703079 884447323 /nfs/dbraw/zinc/44/73/23/884447323.db2.gz HOAJVMCJWXUZOA-VIFPVBQESA-N -1 1 303.322 1.232 20 0 DDADMM CCCC[C@@H](O)CCC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001362710958 884463922 /nfs/dbraw/zinc/46/39/22/884463922.db2.gz BWBDJBFGAULXHX-HIFRSBDPSA-N -1 1 317.393 1.737 20 0 DDADMM CS(=O)(=O)c1ccccc1CNC(=O)c1ccc([O-])cn1 ZINC001362751474 884552157 /nfs/dbraw/zinc/55/21/57/884552157.db2.gz DGGHSEYKQZHHSG-UHFFFAOYSA-N -1 1 306.343 1.121 20 0 DDADMM NS(=O)(=O)c1cc([N-]C(=O)c2ncc(F)cc2F)cs1 ZINC001362794508 884656606 /nfs/dbraw/zinc/65/66/06/884656606.db2.gz SNHOVTRZFYCIIR-UHFFFAOYSA-N -1 1 319.314 1.321 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nc[nH]c2C(C)(C)C)n1 ZINC001362818767 884713652 /nfs/dbraw/zinc/71/36/52/884713652.db2.gz OEOFOELTBRWVQI-UHFFFAOYSA-N -1 1 305.338 1.859 20 0 DDADMM Cc1ccc(O[C@@H](C)C(=O)N(Cc2nn[n-]n2)CC(C)C)cc1 ZINC001362828284 884737088 /nfs/dbraw/zinc/73/70/88/884737088.db2.gz AYOORPJSMLMKSF-ZDUSSCGKSA-N -1 1 317.393 1.960 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCC(=O)NC1CCCC1 ZINC001362829370 884741983 /nfs/dbraw/zinc/74/19/83/884741983.db2.gz HBVWSMWZFYWSSC-UHFFFAOYSA-N -1 1 322.413 1.023 20 0 DDADMM CC(C)(CO)[C@@H](NC(=O)c1ccc([O-])cn1)c1cccnc1 ZINC001362847259 884783297 /nfs/dbraw/zinc/78/32/97/884783297.db2.gz MWTMFAWJVFBOIS-AWEZNQCLSA-N -1 1 301.346 1.672 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccccc2NC)n[n-]1 ZINC001362938318 885032699 /nfs/dbraw/zinc/03/26/99/885032699.db2.gz SEWJOXICIIHRMY-VIFPVBQESA-N -1 1 317.349 1.514 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccccc2NC)[n-]1 ZINC001362938318 885032710 /nfs/dbraw/zinc/03/27/10/885032710.db2.gz SEWJOXICIIHRMY-VIFPVBQESA-N -1 1 317.349 1.514 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccccc2NC)n1 ZINC001362938318 885032726 /nfs/dbraw/zinc/03/27/26/885032726.db2.gz SEWJOXICIIHRMY-VIFPVBQESA-N -1 1 317.349 1.514 20 0 DDADMM Cn1cc([C@H]2C[C@@H](NC(=O)c3ccc(F)c([O-])c3)CCO2)cn1 ZINC001362971415 885117206 /nfs/dbraw/zinc/11/72/06/885117206.db2.gz ANXRGYWNOJBZST-SWLSCSKDSA-N -1 1 319.336 1.915 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCN(c2cc(F)ncn2)CC1 ZINC001363020640 885247013 /nfs/dbraw/zinc/24/70/13/885247013.db2.gz SWOXGMHDFRJDRI-UHFFFAOYSA-N -1 1 320.299 1.423 20 0 DDADMM C[C@@H](CNC(=O)CC(C)(F)F)N(C)C(=O)c1ncccc1[O-] ZINC001383106054 885259081 /nfs/dbraw/zinc/25/90/81/885259081.db2.gz SVPCPZGAHYWKIQ-VIFPVBQESA-N -1 1 315.320 1.409 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC(CN2CCNC2=O)CC1 ZINC001363026554 885259329 /nfs/dbraw/zinc/25/93/29/885259329.db2.gz DSPSKMXKDKRZSX-UHFFFAOYSA-N -1 1 321.352 1.409 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CCC[C@@H]1NC(=O)CCCc1nn[n-]n1 ZINC001363039124 885296680 /nfs/dbraw/zinc/29/66/80/885296680.db2.gz HGGHRSIIXKYPAV-MNOVXSKESA-N -1 1 323.397 1.149 20 0 DDADMM CCN(Cc1c(CO)cnc(C)c1O)C(=O)c1ncccc1[O-] ZINC001363042295 885307627 /nfs/dbraw/zinc/30/76/27/885307627.db2.gz ZZMIDPYXWZRUHR-UHFFFAOYSA-N -1 1 317.345 1.351 20 0 DDADMM O=C(N[C@H]1CCN(c2ccc(F)cc2)C1=O)c1ccc([O-])cn1 ZINC001363054598 885345571 /nfs/dbraw/zinc/34/55/71/885345571.db2.gz DPUBRXWGDMKDGP-AWEZNQCLSA-N -1 1 315.304 1.462 20 0 DDADMM Cc1cc(O)cc(NC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC001363096767 885454211 /nfs/dbraw/zinc/45/42/11/885454211.db2.gz CSNOTNUHAVSBSD-UHFFFAOYSA-N -1 1 301.302 1.170 20 0 DDADMM CCOC(=O)C(CC)(CC)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363104202 885472945 /nfs/dbraw/zinc/47/29/45/885472945.db2.gz CEKKTKDXAZJUSD-UHFFFAOYSA-N -1 1 321.377 1.911 20 0 DDADMM CCCn1ncc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c1C ZINC001363114546 885498080 /nfs/dbraw/zinc/49/80/80/885498080.db2.gz UYYJNHFDFLMQLU-NSHDSACASA-N -1 1 323.426 1.339 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)Sc1ccccn1)c1nn[n-]n1 ZINC001363138781 885562038 /nfs/dbraw/zinc/56/20/38/885562038.db2.gz UXCGSXVQPWEDFY-JTQLQIEISA-N -1 1 320.422 1.907 20 0 DDADMM COC(C)(C)CC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001363151198 885589776 /nfs/dbraw/zinc/58/97/76/885589776.db2.gz IELAHSOZXBKLAA-UWVGGRQHSA-N -1 1 324.343 1.860 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)c1cc2n(n1)CCC[C@@H]2O ZINC001363186863 885665609 /nfs/dbraw/zinc/66/56/09/885665609.db2.gz ZSHXEMZJQJTCNF-LBPRGKRZSA-N -1 1 309.272 1.946 20 0 DDADMM O=C([O-])c1ccc(F)c(CN2CCC(=O)N3CCC[C@H]3C2)c1 ZINC001231461177 885682248 /nfs/dbraw/zinc/68/22/48/885682248.db2.gz UZPFYVYVSOBZQR-ZDUSSCGKSA-N -1 1 306.337 1.721 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc([S@@](C)=O)cc2)n1 ZINC001363209444 885719838 /nfs/dbraw/zinc/71/98/38/885719838.db2.gz AHCSUAYPZVFIBH-JOCHJYFZSA-N -1 1 321.358 1.576 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(COC)CCCC2)n[n-]1 ZINC001363232685 885777210 /nfs/dbraw/zinc/77/72/10/885777210.db2.gz SCOHTDGOSAFABU-SNVBAGLBSA-N -1 1 324.381 1.365 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(COC)CCCC2)[n-]1 ZINC001363232685 885777223 /nfs/dbraw/zinc/77/72/23/885777223.db2.gz SCOHTDGOSAFABU-SNVBAGLBSA-N -1 1 324.381 1.365 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(COC)CCCC2)n1 ZINC001363232685 885777241 /nfs/dbraw/zinc/77/72/41/885777241.db2.gz SCOHTDGOSAFABU-SNVBAGLBSA-N -1 1 324.381 1.365 20 0 DDADMM Cc1noc(C)c1C(C)(C)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363281466 885904568 /nfs/dbraw/zinc/90/45/68/885904568.db2.gz IIXVFSMBPMLJSF-UHFFFAOYSA-N -1 1 318.381 1.488 20 0 DDADMM CC(C)(C)C[C@@H](O)CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001363343515 886079674 /nfs/dbraw/zinc/07/96/74/886079674.db2.gz HVAMUGVAJGUWNN-GXTWGEPZSA-N -1 1 317.393 1.593 20 0 DDADMM O=C(N[C@H]1Cc2cnccc2NC1=O)c1ccc([O-])cc1F ZINC001363360287 886130254 /nfs/dbraw/zinc/13/02/54/886130254.db2.gz PWEBYODQZGDCMH-ZDUSSCGKSA-N -1 1 301.277 1.220 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1c(C)[nH]nc1Br ZINC001363375691 886169519 /nfs/dbraw/zinc/16/95/19/886169519.db2.gz BOEOHGKKAWRWIO-UHFFFAOYSA-N -1 1 300.116 1.470 20 0 DDADMM C[C@@H](OC1CCCCCC1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363376363 886170344 /nfs/dbraw/zinc/17/03/44/886170344.db2.gz SOMZCXSYRSVJIR-LLVKDONJSA-N -1 1 307.398 1.823 20 0 DDADMM COC[C@H](C)CC(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001383701656 886355717 /nfs/dbraw/zinc/35/57/17/886355717.db2.gz MBMQEFFXUQYVQT-VXGBXAGGSA-N -1 1 323.393 1.037 20 0 DDADMM C[C@@H](NC(=O)c1cncc([O-])c1)c1noc(C(F)(F)F)n1 ZINC001363449569 886369335 /nfs/dbraw/zinc/36/93/35/886369335.db2.gz MCLUFIZSUSPICR-RXMQYKEDSA-N -1 1 302.212 1.680 20 0 DDADMM CSc1ncc(C(=O)N(C[C@H]2CCC(=O)N2)C(C)C)c(=O)[n-]1 ZINC001363450244 886373096 /nfs/dbraw/zinc/37/30/96/886373096.db2.gz DGZOCKLEDRECOR-SECBINFHSA-N -1 1 324.406 1.033 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(OCC)CCCC2)n[n-]1 ZINC001363472436 886431914 /nfs/dbraw/zinc/43/19/14/886431914.db2.gz DCJJTECHFDXTQU-SNVBAGLBSA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(OCC)CCCC2)[n-]1 ZINC001363472436 886431925 /nfs/dbraw/zinc/43/19/25/886431925.db2.gz DCJJTECHFDXTQU-SNVBAGLBSA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(OCC)CCCC2)n1 ZINC001363472436 886431930 /nfs/dbraw/zinc/43/19/30/886431930.db2.gz DCJJTECHFDXTQU-SNVBAGLBSA-N -1 1 324.381 1.508 20 0 DDADMM CSCC[C@H](NC(=O)c1cnn(CC(C)C)c1)c1nn[n-]n1 ZINC001363540832 886587836 /nfs/dbraw/zinc/58/78/36/886587836.db2.gz RPRRLXHHLQXQNR-NSHDSACASA-N -1 1 323.426 1.276 20 0 DDADMM COC[C@@H]1CN(Cc2ccc(F)c([O-])c2F)Cc2nnn(C)c21 ZINC001232934906 886682861 /nfs/dbraw/zinc/68/28/61/886682861.db2.gz XWOJAJGWNCRTIL-JTQLQIEISA-N -1 1 324.331 1.545 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC(CCO)(CCO)CC1 ZINC001363589610 886707010 /nfs/dbraw/zinc/70/70/10/886707010.db2.gz DJXXGDMAKGKZCR-UHFFFAOYSA-N -1 1 311.353 1.519 20 0 DDADMM COC[C@@H](NC(=O)[C@@H](C)c1cccc(Cl)c1)c1nn[n-]n1 ZINC001363591220 886712213 /nfs/dbraw/zinc/71/22/13/886712213.db2.gz SNKPEUFEYQTSDY-GZMMTYOYSA-N -1 1 309.757 1.461 20 0 DDADMM COC[C@H](NC(=O)[C@@H](C)c1c(F)cccc1F)c1nn[n-]n1 ZINC001363592607 886716162 /nfs/dbraw/zinc/71/61/62/886716162.db2.gz VQWKQTMCYXOIDM-XVKPBYJWSA-N -1 1 311.292 1.085 20 0 DDADMM O=C1CN(Cc2cc(Cl)ncc2[O-])CCN1c1ccccn1 ZINC001233038644 886747382 /nfs/dbraw/zinc/74/73/82/886747382.db2.gz XZHPGACIZBMVBS-UHFFFAOYSA-N -1 1 318.764 1.684 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc(F)c([O-])c2)CCS1(=O)=O ZINC001363609741 886757245 /nfs/dbraw/zinc/75/72/45/886757245.db2.gz KRHZGQLBLPUSQO-SCZZXKLOSA-N -1 1 301.339 1.227 20 0 DDADMM NC(=O)c1ccc(C(=O)NCCc2c(F)cc([O-])cc2F)[nH]1 ZINC001363635092 886829303 /nfs/dbraw/zinc/82/93/03/886829303.db2.gz WXKSTNLMZSANQC-UHFFFAOYSA-N -1 1 309.272 1.070 20 0 DDADMM O=C(c1conc1C1CCCC1)N1CCC(c2nn[n-]n2)CC1 ZINC001363658375 886904938 /nfs/dbraw/zinc/90/49/38/886904938.db2.gz GXYCQFFUYUKIDJ-UHFFFAOYSA-N -1 1 316.365 1.865 20 0 DDADMM CSc1ncc(C(=O)NC2CC3(C2)COC(C)(C)C3)c(=O)[n-]1 ZINC001363674324 886946217 /nfs/dbraw/zinc/94/62/17/886946217.db2.gz OCYGVHYTKDIQDA-UHFFFAOYSA-N -1 1 323.418 1.982 20 0 DDADMM C[C@H]1C[C@H](NC(=O)CC(C)(C)C)CN1C(=O)c1ncccc1[O-] ZINC001384104892 886971407 /nfs/dbraw/zinc/97/14/07/886971407.db2.gz XHLKUJYMRPALKQ-RYUDHWBXSA-N -1 1 319.405 1.943 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)C1(c2ccc3c(c2)OCCO3)CC1 ZINC001363710872 887043620 /nfs/dbraw/zinc/04/36/20/887043620.db2.gz SEJILAIPAZSMPT-UHFFFAOYSA-N -1 1 301.302 1.557 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)O1)c1cccc([O-])c1F ZINC001363798377 887247648 /nfs/dbraw/zinc/24/76/48/887247648.db2.gz XCRLRCFIHTVMRV-NSHDSACASA-N -1 1 309.337 1.989 20 0 DDADMM COC(=O)CC1CCC(Oc2c(=O)[n-]cnc2C(=O)OC)CC1 ZINC001233762553 887295977 /nfs/dbraw/zinc/29/59/77/887295977.db2.gz SHIOIJCYISABRN-UHFFFAOYSA-N -1 1 324.333 1.470 20 0 DDADMM O=C(CCCc1ccc(O)c(F)c1)NC1(c2nn[n-]n2)CCC1 ZINC001363819543 887308333 /nfs/dbraw/zinc/30/83/33/887308333.db2.gz IXVLKDVVYSYDJT-UHFFFAOYSA-N -1 1 319.340 1.563 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CCc2cn[nH]c2)[n-]c1=O ZINC001363846804 887383466 /nfs/dbraw/zinc/38/34/66/887383466.db2.gz HZLOKXCRSYQGNR-NSHDSACASA-N -1 1 317.349 1.210 20 0 DDADMM Cn1cc([C@]2(CNC(=O)c3ccc(F)c([O-])c3)CCCO2)cn1 ZINC001363853041 887400779 /nfs/dbraw/zinc/40/07/79/887400779.db2.gz QOECOAPUZMVUIE-MRXNPFEDSA-N -1 1 319.336 1.701 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2ccc(F)c([O-])c2)CS1(=O)=O ZINC001363860249 887415830 /nfs/dbraw/zinc/41/58/30/887415830.db2.gz ZUASOTXMFVKACS-WPRPVWTQSA-N -1 1 301.339 1.227 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2cccc(C)n2)sn1 ZINC001363862008 887417909 /nfs/dbraw/zinc/41/79/09/887417909.db2.gz CBZZDEGUBNJRDR-UHFFFAOYSA-N -1 1 313.404 1.376 20 0 DDADMM CN(C)C(=O)O[C@@H]1CCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001363908970 887517282 /nfs/dbraw/zinc/51/72/82/887517282.db2.gz MCJHWOLOLIXJJP-SNVBAGLBSA-N -1 1 300.305 1.943 20 0 DDADMM CCn1cncc1CN1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001363918065 887537166 /nfs/dbraw/zinc/53/71/66/887537166.db2.gz FIIAVEJXLJHXOT-JQWIXIFHSA-N -1 1 318.343 1.934 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CCC1CC1 ZINC001363958944 887615356 /nfs/dbraw/zinc/61/53/56/887615356.db2.gz FTLVIKLEFXJAMK-NSHDSACASA-N -1 1 306.366 1.835 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CCC1CC1 ZINC001363958944 887615362 /nfs/dbraw/zinc/61/53/62/887615362.db2.gz FTLVIKLEFXJAMK-NSHDSACASA-N -1 1 306.366 1.835 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CCC1CC1 ZINC001363958944 887615371 /nfs/dbraw/zinc/61/53/71/887615371.db2.gz FTLVIKLEFXJAMK-NSHDSACASA-N -1 1 306.366 1.835 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1csnc1OC)[C@H]1CCCO1 ZINC001363965587 887629360 /nfs/dbraw/zinc/62/93/60/887629360.db2.gz FZLMEQHEPFYICU-DTWKUNHWSA-N -1 1 306.409 1.388 20 0 DDADMM O=S(=O)([N-]Cc1ccnc(OC(F)F)c1)c1ccns1 ZINC001363983470 887664226 /nfs/dbraw/zinc/66/42/26/887664226.db2.gz VIYBXKANVGODIE-UHFFFAOYSA-N -1 1 321.330 1.618 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@]1(O)CCCC1(C)C ZINC001363987912 887674010 /nfs/dbraw/zinc/67/40/10/887674010.db2.gz WPSFUXHMACBGLA-GFCCVEGCSA-N -1 1 320.436 1.371 20 0 DDADMM O=C(NC[C@H]1CCCN1CC(F)F)c1ccc(F)c([O-])c1 ZINC001363989117 887676259 /nfs/dbraw/zinc/67/62/59/887676259.db2.gz CQBQFYNOMFOJAC-SNVBAGLBSA-N -1 1 302.296 1.991 20 0 DDADMM COc1nscc1S(=O)(=O)N[C@@H]1CC[N@H+](C(C)(C)C)C1 ZINC001364005605 887708591 /nfs/dbraw/zinc/70/85/91/887708591.db2.gz CPKZSPIOWPIJAF-SECBINFHSA-N -1 1 319.452 1.303 20 0 DDADMM COC(=O)c1cnc(C[N-]S(=O)(=O)c2cccs2)s1 ZINC001364030844 887758170 /nfs/dbraw/zinc/75/81/70/887758170.db2.gz MIISTDIJEZMXKW-UHFFFAOYSA-N -1 1 318.401 1.470 20 0 DDADMM COC[C@@H](NC(=O)CCc1ccc(SC)cc1)c1nn[n-]n1 ZINC001364037310 887770446 /nfs/dbraw/zinc/77/04/46/887770446.db2.gz ULOABMAWZLAZPG-GFCCVEGCSA-N -1 1 321.406 1.358 20 0 DDADMM O=C(COc1ccc(Br)cc1)Nc1c[n-][nH]c1=O ZINC001364130188 887947879 /nfs/dbraw/zinc/94/78/79/887947879.db2.gz ADHBKIXDOKUARS-UHFFFAOYSA-N -1 1 312.123 1.895 20 0 DDADMM NC(=O)c1nc[n-]c(=O)c1O[C@@H]1CCCN(c2ccccc2)C1 ZINC001234465429 887995429 /nfs/dbraw/zinc/99/54/29/887995429.db2.gz FNTUDLUASUILRA-GFCCVEGCSA-N -1 1 314.345 1.329 20 0 DDADMM Cc1cccc(OC[C@H](C)Oc2c(C(N)=O)nc(C)[n-]c2=O)c1 ZINC001234477539 888011785 /nfs/dbraw/zinc/01/17/85/888011785.db2.gz NJQAYTZDOLHEAF-JTQLQIEISA-N -1 1 317.345 1.744 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2nocc2C)c(F)c1 ZINC001364187432 888109125 /nfs/dbraw/zinc/10/91/25/888109125.db2.gz USCABPHMVVVYDE-UHFFFAOYSA-N -1 1 318.301 1.748 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H]2COc3cnccc32)c(=O)[n-]1 ZINC001234572648 888111264 /nfs/dbraw/zinc/11/12/64/888111264.db2.gz OKYWPGNVABKBGE-JTQLQIEISA-N -1 1 316.317 1.312 20 0 DDADMM CC[C@H](O)[C@@H](C)C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001364322341 888377342 /nfs/dbraw/zinc/37/73/42/888377342.db2.gz SCPCFEGIXBONKS-MNOVXSKESA-N -1 1 323.393 1.483 20 0 DDADMM Cc1cccc(N2C[C@@H](C(=O)Nc3c[n-][nH]c3=O)CC2=O)c1C ZINC001364503472 888789160 /nfs/dbraw/zinc/78/91/60/888789160.db2.gz DUVDQCCNZGNPOG-NSHDSACASA-N -1 1 314.345 1.724 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1CC[C@H]2[C@@H](C1)C2(F)F ZINC001364650271 889120773 /nfs/dbraw/zinc/12/07/73/889120773.db2.gz GASUDSVGGGDVBP-OUAUKWLOSA-N -1 1 313.352 1.866 20 0 DDADMM Cc1c(Cl)c(C(=O)N(Cc2nn[n-]n2)CC(C)C)nn1C ZINC001364651455 889121800 /nfs/dbraw/zinc/12/18/00/889121800.db2.gz NUYLJCFYXFOFBB-UHFFFAOYSA-N -1 1 311.777 1.193 20 0 DDADMM CS[C@@H](C)C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001396186095 913322683 /nfs/dbraw/zinc/32/26/83/913322683.db2.gz YDUZPTVJBULRAR-WHXUTIOJSA-N -1 1 323.418 1.258 20 0 DDADMM CCc1c[nH]c(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n1 ZINC001364819399 889481414 /nfs/dbraw/zinc/48/14/14/889481414.db2.gz PRAZRWAQJQOSII-UHFFFAOYSA-N -1 1 303.366 1.830 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001385865919 889888333 /nfs/dbraw/zinc/88/83/33/889888333.db2.gz PEGLOFOTCSJUPQ-ZMLRMANQSA-N -1 1 303.362 1.068 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCC2(CC(=O)CO2)CC1 ZINC001238232522 890142331 /nfs/dbraw/zinc/14/23/31/890142331.db2.gz FBDMEWYQHMPGIH-UHFFFAOYSA-N -1 1 307.321 1.848 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C[C@H]2CCOC2)[n-]c1=O ZINC001365142178 890187438 /nfs/dbraw/zinc/18/74/38/890187438.db2.gz DFKIAJYGDVUOKQ-GHMZBOCLSA-N -1 1 307.350 1.281 20 0 DDADMM O=c1[n-]c(CN2CCC[C@@H]2c2ncccn2)nc2c1COCC2 ZINC001365319319 890579229 /nfs/dbraw/zinc/57/92/29/890579229.db2.gz QCZNURFSYPCGGK-CYBMUJFWSA-N -1 1 313.361 1.382 20 0 DDADMM CC[C@@](C)(NC(=O)N1CCC(c2nn[n-]n2)CC1)C(F)(F)F ZINC001365535202 891007225 /nfs/dbraw/zinc/00/72/25/891007225.db2.gz MRMOUBLMKZHQNS-LLVKDONJSA-N -1 1 320.319 1.820 20 0 DDADMM CN(C)c1cnc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)cn1 ZINC001290777431 913512247 /nfs/dbraw/zinc/51/22/47/913512247.db2.gz IEICOSMRRYBJSL-UHFFFAOYSA-N -1 1 324.344 1.891 20 0 DDADMM Cc1cnc(S(C)(=O)=O)nc1-c1c(F)ccc([O-])c1F ZINC001241289388 891142072 /nfs/dbraw/zinc/14/20/72/891142072.db2.gz UFJOXUZMZXIMET-UHFFFAOYSA-N -1 1 300.286 1.839 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NCc2cn(C(C)(C)C)nn2)n[n-]1 ZINC001365605070 891145073 /nfs/dbraw/zinc/14/50/73/891145073.db2.gz SLHKGDGOSQBUMO-VIFPVBQESA-N -1 1 321.385 1.179 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2cn(C(C)(C)C)nn2)n1 ZINC001365605070 891145076 /nfs/dbraw/zinc/14/50/76/891145076.db2.gz SLHKGDGOSQBUMO-VIFPVBQESA-N -1 1 321.385 1.179 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)c2ccc(C)cc2)[n-]n1 ZINC001365635440 891219597 /nfs/dbraw/zinc/21/95/97/891219597.db2.gz PURFZBFHMWFVRH-JTQLQIEISA-N -1 1 323.374 1.544 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)c2ccc(C)cc2)n[n-]1 ZINC001365635440 891219609 /nfs/dbraw/zinc/21/96/09/891219609.db2.gz PURFZBFHMWFVRH-JTQLQIEISA-N -1 1 323.374 1.544 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H]1CCCC[C@H]1C ZINC001365640840 891233265 /nfs/dbraw/zinc/23/32/65/891233265.db2.gz MPHWHYZACKFILN-ZJUUUORDSA-N -1 1 315.395 1.301 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@]2(C)CCCS2)sn1 ZINC001365681592 891307151 /nfs/dbraw/zinc/30/71/51/891307151.db2.gz QYHAPQYMHBXKSC-JTQLQIEISA-N -1 1 308.450 1.716 20 0 DDADMM CCC[C@@H](C)[C@@H](CO)[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001365719355 891393060 /nfs/dbraw/zinc/39/30/60/891393060.db2.gz OXSAGOQSLSYUKK-RKDXNWHRSA-N -1 1 308.425 1.227 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCC2CSC2)o1 ZINC001365751533 891460080 /nfs/dbraw/zinc/46/00/80/891460080.db2.gz SNCFRRVZYOTOKN-UHFFFAOYSA-N -1 1 305.377 1.098 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CCC(C)(F)F ZINC001386739678 891605204 /nfs/dbraw/zinc/60/52/04/891605204.db2.gz GXTAKQLCHOKWGY-VIFPVBQESA-N -1 1 315.320 1.457 20 0 DDADMM CN1CCN(c2cccc(-c3nccnc3CC(=O)[O-])c2)CC1 ZINC001243665329 891705125 /nfs/dbraw/zinc/70/51/25/891705125.db2.gz BSNVGGJWNZAUNK-UHFFFAOYSA-N -1 1 312.373 1.523 20 0 DDADMM Cc1nc2ccc(-c3ccc4c(c3)S(=O)(=O)[N-]C4=O)cc2[nH]1 ZINC001243965973 891764473 /nfs/dbraw/zinc/76/44/73/891764473.db2.gz ADYYKDRVCJEWCN-UHFFFAOYSA-N -1 1 313.338 1.970 20 0 DDADMM O=C1Cc2cc(-c3c(F)ccc(CO)c3F)ccc2C(=O)[N-]1 ZINC001245854729 892250868 /nfs/dbraw/zinc/25/08/68/892250868.db2.gz SAUYMGLCXKOGLC-UHFFFAOYSA-N -1 1 303.264 1.937 20 0 DDADMM O=C(c1ccncn1)n1[n-]c(COCc2ccccc2)cc1=O ZINC001245922630 892271934 /nfs/dbraw/zinc/27/19/34/892271934.db2.gz GRWSKNXUXIGUBY-UHFFFAOYSA-N -1 1 310.313 1.784 20 0 DDADMM CCN(CCNC(=O)C1CCCC1)C(=O)c1ncccc1[O-] ZINC001387144155 892478952 /nfs/dbraw/zinc/47/89/52/892478952.db2.gz XTFBMPCYRRGJAG-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM CCN(CCNC(=O)c1ccc(C)o1)C(=O)c1ncccc1[O-] ZINC001387150146 892494065 /nfs/dbraw/zinc/49/40/65/892494065.db2.gz JRIWUWIKUKYEQQ-UHFFFAOYSA-N -1 1 317.345 1.581 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)Cc1ccco1 ZINC001387440731 893106748 /nfs/dbraw/zinc/10/67/48/893106748.db2.gz KOXOOHYSHLVKGW-LLVKDONJSA-N -1 1 317.345 1.248 20 0 DDADMM C[C@H](CNC(=O)C[C@@H](C)C1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001366333243 893200394 /nfs/dbraw/zinc/20/03/94/893200394.db2.gz QDOJESQGSCZZTO-VXGBXAGGSA-N -1 1 323.441 1.711 20 0 DDADMM COc1ncc(C[C@@H]2COC(C)(C)O2)cc1[N-]S(C)(=O)=O ZINC001250183209 894209566 /nfs/dbraw/zinc/20/95/66/894209566.db2.gz IXKOXDWIKWQEGU-SNVBAGLBSA-N -1 1 316.379 1.156 20 0 DDADMM CC(C)c1ccc(OC[C@H](O)C[NH2+]CCP(=O)([O-])[O-])cc1 ZINC001250772442 894408268 /nfs/dbraw/zinc/40/82/68/894408268.db2.gz QONBWQBBVCLTFM-CYBMUJFWSA-N -1 1 317.322 1.317 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)Cc1ccco1 ZINC001388060455 894480738 /nfs/dbraw/zinc/48/07/38/894480738.db2.gz ZQFYQSFUCWGIAZ-NSHDSACASA-N -1 1 317.345 1.248 20 0 DDADMM COc1cc(Br)cnc1[N-]S(=O)(=O)N(C)C ZINC001251050295 894590657 /nfs/dbraw/zinc/59/06/57/894590657.db2.gz QGDZWZMFAKOWSI-UHFFFAOYSA-N -1 1 310.173 1.071 20 0 DDADMM Cc1cc(C)c(S(=O)(=O)Nc2c([O-])nc[nH]c2=O)c(C)c1 ZINC001251464730 894711380 /nfs/dbraw/zinc/71/13/80/894711380.db2.gz DPOFQGXCQDFKCC-UHFFFAOYSA-N -1 1 309.347 1.614 20 0 DDADMM CC(C)CCC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001388622293 895561784 /nfs/dbraw/zinc/56/17/84/895561784.db2.gz JYCKIHMYXVLJIZ-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM CN(C)c1nc(NC[C@](C)(O)c2ccccc2)c(N=O)c(=O)[n-]1 ZINC001253093023 895631662 /nfs/dbraw/zinc/63/16/62/895631662.db2.gz PPMZSDOLFXWBRM-HNNXBMFYSA-N -1 1 317.349 1.966 20 0 DDADMM CCCS(=O)(=O)[N-]c1cc(OC)c(OC)cc1C(=O)OC ZINC001253685298 895994922 /nfs/dbraw/zinc/99/49/22/895994922.db2.gz WQSIAZSKYHFWPS-UHFFFAOYSA-N -1 1 317.363 1.642 20 0 DDADMM C[C@H](CC(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1)C1CC1 ZINC001367166639 896053706 /nfs/dbraw/zinc/05/37/06/896053706.db2.gz UWZVCLDEWJFRMY-LLVKDONJSA-N -1 1 321.425 1.465 20 0 DDADMM Cc1cc(C)c(C)c(S(=O)(=O)Nc2c([O-])nc[nH]c2=O)c1C ZINC001254120858 896263622 /nfs/dbraw/zinc/26/36/22/896263622.db2.gz DJAXWKNRFKVVRC-UHFFFAOYSA-N -1 1 323.374 1.922 20 0 DDADMM CNC(=O)Cc1cccc([N-]S(=O)(=O)c2cccc(F)c2)c1 ZINC001255648084 897069281 /nfs/dbraw/zinc/06/92/81/897069281.db2.gz PDXPTCBACJDSKD-UHFFFAOYSA-N -1 1 322.361 1.915 20 0 DDADMM C[C@H](Cc1ccc(F)cc1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001255863336 897145280 /nfs/dbraw/zinc/14/52/80/897145280.db2.gz JBMGNKLEAVKJEB-SSDOTTSWSA-N -1 1 303.297 1.898 20 0 DDADMM O=S(=O)([N-]Cc1ncon1)c1cc(Cl)c(Cl)s1 ZINC001257438272 897847295 /nfs/dbraw/zinc/84/72/95/897847295.db2.gz OMGDTBVQNXAPOE-UHFFFAOYSA-N -1 1 314.175 1.916 20 0 DDADMM COC(CCC[N-]S(=O)(=O)c1cc(F)ccc1F)OC ZINC001258950193 898376295 /nfs/dbraw/zinc/37/62/95/898376295.db2.gz PCBGPOHIXRDAJJ-UHFFFAOYSA-N -1 1 309.334 1.642 20 0 DDADMM Cc1cnc([N-]S(=O)(=O)c2cc(F)ccc2F)c(=O)[nH]1 ZINC001258950910 898377879 /nfs/dbraw/zinc/37/78/79/898377879.db2.gz DIYCTDVBCNXIJE-UHFFFAOYSA-N -1 1 301.274 1.157 20 0 DDADMM O=C1CCC([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CC1 ZINC001259043095 898437444 /nfs/dbraw/zinc/43/74/44/898437444.db2.gz OOBCQROXZFGZNN-UHFFFAOYSA-N -1 1 307.293 1.894 20 0 DDADMM C=CC(=O)NCC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259460527 898679873 /nfs/dbraw/zinc/67/98/73/898679873.db2.gz KLIFRQHOPPTZJR-UHFFFAOYSA-N -1 1 306.746 1.060 20 0 DDADMM CC1(C)C(=O)NC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259463117 898681893 /nfs/dbraw/zinc/68/18/93/898681893.db2.gz SGMHLKOHDNJYIB-JTQLQIEISA-N -1 1 320.773 1.282 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cc(F)nc(F)c2F)cn1 ZINC001259833116 898846783 /nfs/dbraw/zinc/84/67/83/898846783.db2.gz JGVLPWXSIOUMED-UHFFFAOYSA-N -1 1 306.269 1.516 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC(C)C)c1ccccc1OC ZINC001259890558 898901496 /nfs/dbraw/zinc/90/14/96/898901496.db2.gz CNPKJWLIVGOCHT-ZDUSSCGKSA-N -1 1 315.391 1.485 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(Cl)c(F)cc1F)C(N)=O ZINC001260195860 899086041 /nfs/dbraw/zinc/08/60/41/899086041.db2.gz LDBHMOPHPDORNA-QMMMGPOBSA-N -1 1 312.725 1.160 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C(=O)Nc3cccc(C)c32)cn1C ZINC001260549284 899165675 /nfs/dbraw/zinc/16/56/75/899165675.db2.gz HESUPBALIZOFFK-ZDUSSCGKSA-N -1 1 320.374 1.009 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)c2cn[nH]c2)c(Cl)c1 ZINC001260961691 899301322 /nfs/dbraw/zinc/30/13/22/899301322.db2.gz NCKXWNBMZBRBFZ-UHFFFAOYSA-N -1 1 315.738 1.651 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CN(C)Cc1ccccc1 ZINC001261271244 899442988 /nfs/dbraw/zinc/44/29/88/899442988.db2.gz YHXGEUQOZZOCGW-UHFFFAOYSA-N -1 1 308.378 1.508 20 0 DDADMM C[C@H](CNC(=O)CCCc1ccccc1)NCc1n[nH]c(=O)[n-]1 ZINC001390472182 899484291 /nfs/dbraw/zinc/48/42/91/899484291.db2.gz YHZJARLDCIHBEQ-GFCCVEGCSA-N -1 1 317.393 1.128 20 0 DDADMM O=C([O-])COCCNC(=O)c1ccc(CN2CCCCC2)o1 ZINC001262177181 900001261 /nfs/dbraw/zinc/00/12/61/900001261.db2.gz NPDUUBGKHJHRSE-UHFFFAOYSA-N -1 1 310.350 1.097 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC001262286758 900074659 /nfs/dbraw/zinc/07/46/59/900074659.db2.gz LWBRZCGMKOCSHW-MSRIBSCDSA-N -1 1 324.327 1.244 20 0 DDADMM C[C@@H]1CCN(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)[C@H]1C ZINC001263164379 900520818 /nfs/dbraw/zinc/52/08/18/900520818.db2.gz UFFUZOHGBXSSJC-NEPJUHHUSA-N -1 1 324.392 1.741 20 0 DDADMM C[C@@H]1CCN(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)[C@H]1C ZINC001263164379 900520824 /nfs/dbraw/zinc/52/08/24/900520824.db2.gz UFFUZOHGBXSSJC-NEPJUHHUSA-N -1 1 324.392 1.741 20 0 DDADMM O=C([O-])c1ccc(CN2CCC(NC(=O)C3CCC3)CC2)o1 ZINC000586050251 900617995 /nfs/dbraw/zinc/61/79/95/900617995.db2.gz HGWZPHASONXQME-UHFFFAOYSA-N -1 1 306.362 1.859 20 0 DDADMM COC(CNC(=O)c1cncc([O-])c1)(OC)c1ccccn1 ZINC001263873403 900790260 /nfs/dbraw/zinc/79/02/60/900790260.db2.gz RYMIAFSJKRWAGW-UHFFFAOYSA-N -1 1 303.318 1.058 20 0 DDADMM Cc1n[nH]c(C(=O)NCCCN(C/C=C/Cl)C2CC2)c1[O-] ZINC001265159368 901645155 /nfs/dbraw/zinc/64/51/55/901645155.db2.gz UURAKOLERFRNBF-QHHAFSJGSA-N -1 1 312.801 1.761 20 0 DDADMM C[C@@H](NC(=O)c1cccnc1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369654612 901709750 /nfs/dbraw/zinc/70/97/50/901709750.db2.gz UEHDUODBNZFPRB-MNOVXSKESA-N -1 1 314.345 1.119 20 0 DDADMM COC[C@@H](C)CC(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001370620458 903528252 /nfs/dbraw/zinc/52/82/52/903528252.db2.gz UCSUSGQVZSDRSD-RYUDHWBXSA-N -1 1 323.393 1.037 20 0 DDADMM O=C(N[C@@H]1CC[C@@H](CNC(=O)C(F)F)C1)c1ncccc1[O-] ZINC001370960011 904057897 /nfs/dbraw/zinc/05/78/97/904057897.db2.gz QIEXEVXLAWSPFN-RKDXNWHRSA-N -1 1 313.304 1.067 20 0 DDADMM CCC[C@H](C)C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001371177703 904423417 /nfs/dbraw/zinc/42/34/17/904423417.db2.gz FCIXCCLYGHRMBC-HIFPTAJRSA-N -1 1 319.405 1.943 20 0 DDADMM CCC1(C(=O)N[C@H](C)C[C@@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001371285559 904634482 /nfs/dbraw/zinc/63/44/82/904634482.db2.gz XMKQSILBOWSWGY-VXGBXAGGSA-N -1 1 319.405 1.991 20 0 DDADMM Cc1occc1C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001392774934 905216859 /nfs/dbraw/zinc/21/68/59/905216859.db2.gz RDIFLIXLPYYXCU-VIFPVBQESA-N -1 1 303.318 1.237 20 0 DDADMM C[C@@H](CNC(=O)[C@H]1CC12CCC2)NC(=O)c1ncccc1[O-] ZINC001392774861 905218118 /nfs/dbraw/zinc/21/81/18/905218118.db2.gz QPSGIMAUHZFYSF-WDEREUQCSA-N -1 1 303.362 1.212 20 0 DDADMM CCCN(CCNC(=O)c1[nH]nc(C)c1[O-])C[C@H](F)CC ZINC001372691585 907988623 /nfs/dbraw/zinc/98/86/23/907988623.db2.gz UKFMSRCVBZEMGN-LLVKDONJSA-N -1 1 300.378 1.614 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1CCCCCC1 ZINC001393920502 908252289 /nfs/dbraw/zinc/25/22/89/908252289.db2.gz DEXNQIVDPVOSSO-QWRGUYRKSA-N -1 1 309.414 1.464 20 0 DDADMM C=C/C(C)=C\CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001284025091 908335179 /nfs/dbraw/zinc/33/51/79/908335179.db2.gz DOHZDPCIUPTFCS-TZQNSNDFSA-N -1 1 315.373 1.640 20 0 DDADMM CC[C@H](CNC(=O)[C@@H](C)c1ccccc1)NCc1n[nH]c(=O)[n-]1 ZINC001373822450 911106783 /nfs/dbraw/zinc/10/67/83/911106783.db2.gz RJIKPAPSNSNFHZ-WCQYABFASA-N -1 1 317.393 1.298 20 0 DDADMM CC[C@H](C)OCC(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001395069720 911289069 /nfs/dbraw/zinc/28/90/69/911289069.db2.gz UEWBCLCDZHRICR-NEPJUHHUSA-N -1 1 323.393 1.179 20 0 DDADMM CC(C)CCC(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001373973587 911503268 /nfs/dbraw/zinc/50/32/68/911503268.db2.gz IWCWNJNMCHUYQV-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H](CCCNC(=O)CCCF)NC(=O)c1ncccc1[O-] ZINC001286661522 912081378 /nfs/dbraw/zinc/08/13/78/912081378.db2.gz WGGUHDKXLCBMTO-LLVKDONJSA-N -1 1 311.357 1.552 20 0 DDADMM NC(=O)c1cc(NC(=O)c2[n-]cnc2C(=O)c2ccccc2)c[nH]1 ZINC001294894589 915445090 /nfs/dbraw/zinc/44/50/90/915445090.db2.gz CXAFTLNWZLBQPZ-UHFFFAOYSA-N -1 1 323.312 1.320 20 0 DDADMM CSC[C@@H](C)C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001397397242 915922339 /nfs/dbraw/zinc/92/23/39/915922339.db2.gz SIVLNDWHHKHQNP-ZJUUUORDSA-N -1 1 311.407 1.021 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CC(F)(F)C1 ZINC001397417342 915981992 /nfs/dbraw/zinc/98/19/92/915981992.db2.gz WULICOGQHYIHDM-MRVPVSSYSA-N -1 1 313.304 1.067 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H](CO)Cc2ccncc2)c1 ZINC001296621062 916509357 /nfs/dbraw/zinc/50/93/57/916509357.db2.gz SGEPJYFNIBXQSQ-CQSZACIVSA-N -1 1 314.341 1.242 20 0 DDADMM Nc1cc(F)c(F)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001296865057 916604453 /nfs/dbraw/zinc/60/44/53/916604453.db2.gz YWBZBBMHNUYUSF-ZETCQYMHSA-N -1 1 324.287 1.655 20 0 DDADMM CCCC[C@@](C)(F)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001376331011 918250547 /nfs/dbraw/zinc/25/05/47/918250547.db2.gz PGMVICFDEPLTIY-IINYFYTJSA-N -1 1 313.377 1.119 20 0 DDADMM O=C(CC1CC1)NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001376358849 918315123 /nfs/dbraw/zinc/31/51/23/918315123.db2.gz KGNNHLOANUUYFG-CLLJXQQHSA-N -1 1 319.409 1.170 20 0 DDADMM CC(C)(F)C(=O)NC[C@H]1CCC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001376412704 918443691 /nfs/dbraw/zinc/44/36/91/918443691.db2.gz YMSPDVKALOGLIV-MNOVXSKESA-N -1 1 323.368 1.550 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](C)NCc2ccccc2Cl)c1[O-] ZINC001376717861 919153145 /nfs/dbraw/zinc/15/31/45/919153145.db2.gz XDJQTLCWFRBNLP-SECBINFHSA-N -1 1 322.796 1.985 20 0 DDADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)[C@@H]1CC12CCCC2 ZINC001376798659 919415165 /nfs/dbraw/zinc/41/51/65/919415165.db2.gz QKAADGVUXRBTPS-NSHDSACASA-N -1 1 319.409 1.219 20 0 DDADMM CCC[C@@H](CC(C)C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001376920335 919785418 /nfs/dbraw/zinc/78/54/18/919785418.db2.gz CIMHMNOVJDZVIS-STQMWFEESA-N -1 1 323.441 1.663 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1(C2CCC2)CCC1 ZINC001377814596 923119702 /nfs/dbraw/zinc/11/97/02/923119702.db2.gz FIJJZPGSARWBNV-MNOVXSKESA-N -1 1 321.425 1.464 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@H](C)n3cccc3)nc2n1 ZINC000622871125 365551798 /nfs/dbraw/zinc/55/17/98/365551798.db2.gz RIPNTBACVWTFSY-JTQLQIEISA-N -1 1 300.322 1.117 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]3C3CCCC3)nc2n1 ZINC000622993764 365587335 /nfs/dbraw/zinc/58/73/35/365587335.db2.gz ZSFRIURMSSUJCE-VXGBXAGGSA-N -1 1 315.377 1.745 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)c3ccsc3)nc2n1 ZINC000622994691 365588569 /nfs/dbraw/zinc/58/85/69/365588569.db2.gz YPXKPJBPEACBGD-QMMMGPOBSA-N -1 1 317.374 1.784 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)C(C)(F)F)nc2n1 ZINC000622997725 365591778 /nfs/dbraw/zinc/59/17/78/365591778.db2.gz JBRMQTRTDKFEFH-ZETCQYMHSA-N -1 1 313.308 1.600 20 0 DDADMM C[C@@H]1OCC[C@]1(O)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000614203092 361749609 /nfs/dbraw/zinc/74/96/09/361749609.db2.gz JOXAENHQNVQKTP-QFYYESIMSA-N -1 1 302.330 1.210 20 0 DDADMM C[C@@H](Cc1ccco1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614391816 361835878 /nfs/dbraw/zinc/83/58/78/361835878.db2.gz VYEALWIZQNLOAN-QWRGUYRKSA-N -1 1 305.334 1.953 20 0 DDADMM CSC[C@](C)(O)C[N-]S(=O)(=O)c1sccc1Cl ZINC000451234447 231041442 /nfs/dbraw/zinc/04/14/42/231041442.db2.gz KYPGMIOVWPJLQA-SECBINFHSA-N -1 1 315.869 1.794 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CCCC1(F)F)C1CC1 ZINC000451275636 231054535 /nfs/dbraw/zinc/05/45/35/231054535.db2.gz RQOMOIFBPHNXTP-ZJUUUORDSA-N -1 1 311.350 1.293 20 0 DDADMM NC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000452059377 231286031 /nfs/dbraw/zinc/28/60/31/231286031.db2.gz OULFHLADQFXYAT-RQJHMYQMSA-N -1 1 308.812 1.334 20 0 DDADMM Cc1cccc(C)c1NC(=O)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000187258853 539249241 /nfs/dbraw/zinc/24/92/41/539249241.db2.gz PUHAGGGYEHMIME-LLVKDONJSA-N -1 1 316.365 1.017 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C[C@@H]1COCCO1)C(F)(F)F ZINC000615226639 362203809 /nfs/dbraw/zinc/20/38/09/362203809.db2.gz BESFICQQUOADIZ-HTQZYQBOSA-N -1 1 323.271 1.346 20 0 DDADMM C[C@@H]1C[C@H](c2ccccc2)N(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000343612942 539358230 /nfs/dbraw/zinc/35/82/30/539358230.db2.gz BBWNOXHKXQPTLH-BXUZGUMPSA-N -1 1 323.356 1.641 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](N3CCOCC3)C2)c([O-])c1 ZINC000615545122 362330381 /nfs/dbraw/zinc/33/03/81/362330381.db2.gz VFQSEMYIFIWHSD-ZDUSSCGKSA-N -1 1 305.378 1.032 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@@H]2C(C)C)c1 ZINC000292367220 530031867 /nfs/dbraw/zinc/03/18/67/530031867.db2.gz XPENDEPWEZPRBF-GHMZBOCLSA-N -1 1 312.391 1.531 20 0 DDADMM CN(C)Cc1csc(NC(=O)c2csc(=NC3CC3)[n-]2)n1 ZINC000457289250 232030609 /nfs/dbraw/zinc/03/06/09/232030609.db2.gz BFSBTFHWCMHUIZ-UHFFFAOYSA-N -1 1 323.447 1.910 20 0 DDADMM CO[C@H](CC(C)C)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616011845 362519912 /nfs/dbraw/zinc/51/99/12/362519912.db2.gz UNPPZATVTSGPMB-MRVPVSSYSA-N -1 1 304.188 1.902 20 0 DDADMM Cc1[nH][nH]c(=NC(=O)[C@H](C)Cc2cnc[nH]2)c1Br ZINC000616012028 362520006 /nfs/dbraw/zinc/52/00/06/362520006.db2.gz WSYONWRTPQPRDN-ZCFIWIBFSA-N -1 1 312.171 1.443 20 0 DDADMM CCc1nnsc1C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616012489 362520180 /nfs/dbraw/zinc/52/01/80/362520180.db2.gz LTIXIPSCMGRSMO-UHFFFAOYSA-N -1 1 316.184 1.569 20 0 DDADMM COC[C@@H](OC)C(=O)N=c1cc(-c2cc(C)ccc2F)[n-][nH]1 ZINC000616251389 362602826 /nfs/dbraw/zinc/60/28/26/362602826.db2.gz TZOVEMWFGXHCKI-CYBMUJFWSA-N -1 1 307.325 1.546 20 0 DDADMM COC[C@H](OC)C(=O)N=c1cc(-c2cc(C)ccc2F)[n-][nH]1 ZINC000616251390 362603347 /nfs/dbraw/zinc/60/33/47/362603347.db2.gz TZOVEMWFGXHCKI-ZDUSSCGKSA-N -1 1 307.325 1.546 20 0 DDADMM C[C@H](C[C@H]1CCCO1)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358331078 299122883 /nfs/dbraw/zinc/12/28/83/299122883.db2.gz LJJHLGSSSRXROP-NXEZZACHSA-N -1 1 304.350 1.361 20 0 DDADMM CC[C@]1(C)COCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358334755 299123811 /nfs/dbraw/zinc/12/38/11/299123811.db2.gz HINBQPUGAZPLID-OAHLLOKOSA-N -1 1 304.350 1.315 20 0 DDADMM C[C@H]1COCC[C@H]1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000286789964 219167654 /nfs/dbraw/zinc/16/76/54/219167654.db2.gz JQVDRMBDTIKHDM-UWVGGRQHSA-N -1 1 306.391 1.420 20 0 DDADMM O=C(N[C@H]1CCOC2(CCOCC2)C1)c1cc(F)ccc1[O-] ZINC000331377405 233234332 /nfs/dbraw/zinc/23/43/32/233234332.db2.gz FHXOFKHKMHMYCV-LBPRGKRZSA-N -1 1 309.337 1.989 20 0 DDADMM CSCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(C)cc2)C1 ZINC000279996915 215299219 /nfs/dbraw/zinc/29/92/19/215299219.db2.gz MCYLVDWEZXQLMC-GFCCVEGCSA-N -1 1 308.403 1.759 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@]1(C)C(C)C ZINC000186045048 186235262 /nfs/dbraw/zinc/23/52/62/186235262.db2.gz MFKFSMRACAAVQS-SUMWQHHRSA-N -1 1 316.405 1.938 20 0 DDADMM C[C@@H](CO)CCC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000564910823 304026152 /nfs/dbraw/zinc/02/61/52/304026152.db2.gz CZCHLWNBCONOJN-SNVBAGLBSA-N -1 1 314.432 1.983 20 0 DDADMM CCC(CC)NC(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC000042092251 352329296 /nfs/dbraw/zinc/32/92/96/352329296.db2.gz LXYRLCRYDDSHOP-UHFFFAOYSA-N -1 1 314.389 1.988 20 0 DDADMM C[C@H](Oc1ccc(F)cc1)C(=O)NCCc1n[n-]c(=S)n1C ZINC000067051621 353032527 /nfs/dbraw/zinc/03/25/27/353032527.db2.gz IDYJYJHCWPWMCM-VIFPVBQESA-N -1 1 324.381 1.743 20 0 DDADMM CCn1c(CCNC(=O)c2cn3ccccc3n2)n[n-]c1=S ZINC000067076089 353032757 /nfs/dbraw/zinc/03/27/57/353032757.db2.gz YSHDEUDIQATUNI-UHFFFAOYSA-N -1 1 316.390 1.581 20 0 DDADMM O=C(NCc1n[n-]c(=S)n1C1CC1)c1cc(C2CC2)n[nH]1 ZINC000066637726 353009739 /nfs/dbraw/zinc/00/97/39/353009739.db2.gz LZYUVCKEGGVRTO-UHFFFAOYSA-N -1 1 304.379 1.806 20 0 DDADMM O=S(=O)([N-]CCOC[C@H]1CCOC1)c1cccc(F)c1F ZINC000068942481 353137837 /nfs/dbraw/zinc/13/78/37/353137837.db2.gz KHKRMAXYXABUHU-JTQLQIEISA-N -1 1 321.345 1.296 20 0 DDADMM COC[C@H]1CCN(C(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000331942941 234304478 /nfs/dbraw/zinc/30/44/78/234304478.db2.gz GUBOTTNMEBJRFH-NSHDSACASA-N -1 1 301.346 1.752 20 0 DDADMM COC[C@H]1CCN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000331942941 234304480 /nfs/dbraw/zinc/30/44/80/234304480.db2.gz GUBOTTNMEBJRFH-NSHDSACASA-N -1 1 301.346 1.752 20 0 DDADMM CCOc1ccccc1C=CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000491911782 234332987 /nfs/dbraw/zinc/33/29/87/234332987.db2.gz DTKPQOSSGNEEEL-KTKRTIGZSA-N -1 1 301.350 1.663 20 0 DDADMM O=S(=O)(C[C@H]1CCCCO1)[N-]c1ccccc1-n1cncn1 ZINC000076935823 353443018 /nfs/dbraw/zinc/44/30/18/353443018.db2.gz UFUCLOPEZCOJOJ-GFCCVEGCSA-N -1 1 322.390 1.578 20 0 DDADMM O=S(=O)(Cc1sccc1Br)c1ncn[n-]1 ZINC000086169639 353738569 /nfs/dbraw/zinc/73/85/69/353738569.db2.gz JFDHKGAJVXMAMT-UHFFFAOYSA-N -1 1 308.182 1.603 20 0 DDADMM O=S(=O)(Cc1sccc1Br)c1nc[n-]n1 ZINC000086169639 353738571 /nfs/dbraw/zinc/73/85/71/353738571.db2.gz JFDHKGAJVXMAMT-UHFFFAOYSA-N -1 1 308.182 1.603 20 0 DDADMM COc1ccc(CCC2CCN(Cc3n[nH]c(=O)[n-]3)CC2)cc1 ZINC000091537301 353829990 /nfs/dbraw/zinc/82/99/90/353829990.db2.gz AVOXKRKYFPSLLC-UHFFFAOYSA-N -1 1 316.405 1.951 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)c1ccc[nH]1 ZINC000292224626 222922649 /nfs/dbraw/zinc/92/26/49/222922649.db2.gz AUHNHRMYXWIBSA-RKDXNWHRSA-N -1 1 303.284 1.686 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCCC(=O)N(CC)CC)[n-]1 ZINC000585682577 354827326 /nfs/dbraw/zinc/82/73/26/354827326.db2.gz VUHQWPVHAXJVDP-UHFFFAOYSA-N -1 1 324.381 1.564 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCCC(=O)N(CC)CC)n1 ZINC000585682577 354827330 /nfs/dbraw/zinc/82/73/30/354827330.db2.gz VUHQWPVHAXJVDP-UHFFFAOYSA-N -1 1 324.381 1.564 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cncc([O-])c1)c1ccccc1F ZINC000585700596 354829343 /nfs/dbraw/zinc/82/93/43/354829343.db2.gz UJTFLXZDVNACSS-CYBMUJFWSA-N -1 1 304.277 1.570 20 0 DDADMM COC(=O)C1(CNC(=O)C(=O)c2ccc([O-])cc2)CCCC1 ZINC000588633296 354921892 /nfs/dbraw/zinc/92/18/92/354921892.db2.gz JXCVUZSKOJSMSB-UHFFFAOYSA-N -1 1 305.330 1.425 20 0 DDADMM CCc1c([N-]S(=O)(=O)CCC(=O)OC)cnn1CC(C)C ZINC000589554438 355001422 /nfs/dbraw/zinc/00/14/22/355001422.db2.gz RVDPHFHRSHBHFS-UHFFFAOYSA-N -1 1 317.411 1.406 20 0 DDADMM COC(=O)C[C@H](C)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000565075397 304042803 /nfs/dbraw/zinc/04/28/03/304042803.db2.gz ILHNUVIZPWEGMG-QMMMGPOBSA-N -1 1 315.322 1.802 20 0 DDADMM CCOC(=O)c1ccc(C(=O)Nc2c(C)[n-][nH]c2=O)nc1C ZINC000590564084 355115115 /nfs/dbraw/zinc/11/51/15/355115115.db2.gz CKSVSDFVDABPJM-UHFFFAOYSA-N -1 1 304.306 1.556 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000592911025 355737321 /nfs/dbraw/zinc/73/73/21/355737321.db2.gz MKQVHNLOTNDBMS-PSASIEDQSA-N -1 1 313.737 1.042 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](OC)c2ccc(O)cc2)n1 ZINC000593901326 356047500 /nfs/dbraw/zinc/04/75/00/356047500.db2.gz LUTUBWJRKIBZHF-GFCCVEGCSA-N -1 1 319.317 1.618 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)Cc2ccccn2)o1 ZINC000594479663 356231628 /nfs/dbraw/zinc/23/16/28/356231628.db2.gz UZJPFZHGSPRXDW-JTQLQIEISA-N -1 1 324.358 1.371 20 0 DDADMM Cc1cnc(C(=O)NCC2CCN(CC(F)F)CC2)c([O-])c1 ZINC000565202732 304051978 /nfs/dbraw/zinc/05/19/78/304051978.db2.gz AYAHMHHSNAXWFE-UHFFFAOYSA-N -1 1 313.348 1.803 20 0 DDADMM CS[C@H](CO)[C@@H](C)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601333777 358503198 /nfs/dbraw/zinc/50/31/98/358503198.db2.gz UYCRMPLLXRXDQO-PRHODGIISA-N -1 1 303.811 1.817 20 0 DDADMM COC(=O)C1CCC([N-]S(=O)(=O)c2cc(C)ns2)CC1 ZINC000601340110 358506159 /nfs/dbraw/zinc/50/61/59/358506159.db2.gz MDUSYUFGMCPZDJ-UHFFFAOYSA-N -1 1 318.420 1.462 20 0 DDADMM CCc1nc(S[C@@H](C(=O)NC(N)=O)C(C)C)[n-]c(=O)c1C ZINC000565242349 304057512 /nfs/dbraw/zinc/05/75/12/304057512.db2.gz NWANAIUJEUDMBT-SECBINFHSA-N -1 1 312.395 1.365 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H]2CCC[C@@H]([S@](C)=O)C2)s[n-]1 ZINC000596372691 356866460 /nfs/dbraw/zinc/86/64/60/356866460.db2.gz MOYBYPYDPQMPGK-ITCWWNTESA-N -1 1 303.453 1.769 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)c2ncc[nH]2)n[n-]1 ZINC000597579817 357236399 /nfs/dbraw/zinc/23/63/99/357236399.db2.gz MDCPTUSQKQVFPP-VIFPVBQESA-N -1 1 320.353 1.222 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)c2ncc[nH]2)[n-]1 ZINC000597579817 357236404 /nfs/dbraw/zinc/23/64/04/357236404.db2.gz MDCPTUSQKQVFPP-VIFPVBQESA-N -1 1 320.353 1.222 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)c2ncc[nH]2)n1 ZINC000597579817 357236408 /nfs/dbraw/zinc/23/64/08/357236408.db2.gz MDCPTUSQKQVFPP-VIFPVBQESA-N -1 1 320.353 1.222 20 0 DDADMM CSCC1(CC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)CC1 ZINC000598838823 357741006 /nfs/dbraw/zinc/74/10/06/357741006.db2.gz OVYCFPSHJWEKFF-UHFFFAOYSA-N -1 1 307.379 1.198 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)[C@@H]1C[C@H]1c1ccc(OC)cc1 ZINC000598847447 357743584 /nfs/dbraw/zinc/74/35/84/357743584.db2.gz MHOLVMCRXPYKLI-NWDGAFQWSA-N -1 1 315.329 1.947 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]C[C@@H](C)OC)c1F ZINC000599288934 357877101 /nfs/dbraw/zinc/87/71/01/357877101.db2.gz SIUWCSSBKXNOQQ-SSDOTTSWSA-N -1 1 323.317 1.065 20 0 DDADMM Cc1ccc(C)c(OCCCCC(=O)NCc2nn[n-]n2)c1 ZINC000599335061 357899276 /nfs/dbraw/zinc/89/92/76/357899276.db2.gz YWFJULUGVFXKIA-UHFFFAOYSA-N -1 1 303.366 1.682 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2ccc(Cl)cc2[O-])C[C@@H](C)O1 ZINC000600376236 358203526 /nfs/dbraw/zinc/20/35/26/358203526.db2.gz CVQXQORMDNTNHQ-PELKAZGASA-N -1 1 313.737 1.448 20 0 DDADMM COC(=O)C[C@@H](O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000600455875 358226143 /nfs/dbraw/zinc/22/61/43/358226143.db2.gz AVDHMIBFRCSAHJ-MRVPVSSYSA-N -1 1 321.251 1.065 20 0 DDADMM COC(=O)[C@H](C[C@H]1CCCOC1)NC(=O)c1ncc(C)cc1[O-] ZINC000600455303 358226238 /nfs/dbraw/zinc/22/62/38/358226238.db2.gz JIVUREMSIUOVHT-NEPJUHHUSA-N -1 1 322.361 1.184 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(OCc2cccnc2)cc1 ZINC000600497151 358241043 /nfs/dbraw/zinc/24/10/43/358241043.db2.gz JFJWVVFPCAISBR-UHFFFAOYSA-N -1 1 310.317 1.104 20 0 DDADMM COC(=O)c1cnc(CSc2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000600533297 358250040 /nfs/dbraw/zinc/25/00/40/358250040.db2.gz JJNABYMMJCIALT-UHFFFAOYSA-N -1 1 306.347 1.668 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCn3cc(C)nc3C2)n1 ZINC000600824567 358326155 /nfs/dbraw/zinc/32/61/55/358326155.db2.gz IHFZMYBXNRIALT-JTQLQIEISA-N -1 1 317.349 1.292 20 0 DDADMM O=C(CNC(=O)c1ccc2ccccc2c1[O-])N1CCOCC1 ZINC000181042852 199244219 /nfs/dbraw/zinc/24/42/19/199244219.db2.gz OSHUCGUUIVGBPX-UHFFFAOYSA-N -1 1 314.341 1.134 20 0 DDADMM CS[C@H](CO)[C@H](C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601378868 358524413 /nfs/dbraw/zinc/52/44/13/358524413.db2.gz QDEPSHPVESBTDL-POYBYMJQSA-N -1 1 315.363 1.608 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2cncc(Br)c2)c1=O ZINC000601958803 358753565 /nfs/dbraw/zinc/75/35/65/358753565.db2.gz PGDINGDPJMTRDX-ZETCQYMHSA-N -1 1 312.123 1.356 20 0 DDADMM C[C@H](S[C@@H](C)C(=O)NC[C@H]1CCN1C(C)(C)C)C(=O)[O-] ZINC000602002530 358772621 /nfs/dbraw/zinc/77/26/21/358772621.db2.gz UIVFRMXYJLAJPA-GARJFASQSA-N -1 1 302.440 1.570 20 0 DDADMM CCOC(=O)c1ccc(C[N@H+]2CC[C@@](COC)(C(=O)[O-])C2)o1 ZINC000602062408 358797049 /nfs/dbraw/zinc/79/70/49/358797049.db2.gz BCMRLALESWXKBJ-OAHLLOKOSA-N -1 1 311.334 1.379 20 0 DDADMM CC(C)=C[C@@H]1[C@@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1(C)C ZINC000624722486 366521399 /nfs/dbraw/zinc/52/13/99/366521399.db2.gz JVEWQLDFBIBMOQ-BDAKNGLRSA-N -1 1 312.395 1.385 20 0 DDADMM CC(C)=C[C@@H]1[C@@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1(C)C ZINC000624722486 366521402 /nfs/dbraw/zinc/52/14/02/366521402.db2.gz JVEWQLDFBIBMOQ-BDAKNGLRSA-N -1 1 312.395 1.385 20 0 DDADMM COc1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cn1 ZINC000618488498 363650509 /nfs/dbraw/zinc/65/05/09/363650509.db2.gz WDCOYUVNWYNMSJ-CYBMUJFWSA-N -1 1 310.317 1.123 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCC(F)(F)F)n[n-]1 ZINC000603154298 359440433 /nfs/dbraw/zinc/44/04/33/359440433.db2.gz KHMTVMFWYRVIIM-ZCFIWIBFSA-N -1 1 308.260 1.501 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCC(F)(F)F)[n-]1 ZINC000603154298 359440441 /nfs/dbraw/zinc/44/04/41/359440441.db2.gz KHMTVMFWYRVIIM-ZCFIWIBFSA-N -1 1 308.260 1.501 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCC(F)(F)F)n1 ZINC000603154298 359440445 /nfs/dbraw/zinc/44/04/45/359440445.db2.gz KHMTVMFWYRVIIM-ZCFIWIBFSA-N -1 1 308.260 1.501 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H](C)SC)n[n-]1 ZINC000603156694 359444357 /nfs/dbraw/zinc/44/43/57/359444357.db2.gz SOXDTOJXYBUYFR-YUMQZZPRSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H](C)SC)[n-]1 ZINC000603156694 359444361 /nfs/dbraw/zinc/44/43/61/359444361.db2.gz SOXDTOJXYBUYFR-YUMQZZPRSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H](C)SC)n1 ZINC000603156694 359444365 /nfs/dbraw/zinc/44/43/65/359444365.db2.gz SOXDTOJXYBUYFR-YUMQZZPRSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H]2CC[C@H](C)C2)n[n-]1 ZINC000603157234 359444456 /nfs/dbraw/zinc/44/44/56/359444456.db2.gz VDXCXOGFISYWSS-GARJFASQSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H]2CC[C@H](C)C2)[n-]1 ZINC000603157234 359444463 /nfs/dbraw/zinc/44/44/63/359444463.db2.gz VDXCXOGFISYWSS-GARJFASQSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H]2CC[C@H](C)C2)n1 ZINC000603157234 359444466 /nfs/dbraw/zinc/44/44/66/359444466.db2.gz VDXCXOGFISYWSS-GARJFASQSA-N -1 1 308.382 1.985 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cccc3cccnc32)n1 ZINC000358952620 299290314 /nfs/dbraw/zinc/29/03/14/299290314.db2.gz LAFIHUCYANYRER-UHFFFAOYSA-N -1 1 303.347 1.716 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]Cc1nc(C(C)(C)C)no1 ZINC000358951353 299290143 /nfs/dbraw/zinc/29/01/43/299290143.db2.gz JTBWNMAWFRAGDO-UHFFFAOYSA-N -1 1 305.400 1.212 20 0 DDADMM C[C@@H](O)CN1CCN(C(=O)c2ccc3ccccc3c2[O-])CC1 ZINC000186783996 200010343 /nfs/dbraw/zinc/01/03/43/200010343.db2.gz NXLYEYIRIKLUJI-CYBMUJFWSA-N -1 1 314.385 1.684 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccccc3)[nH]n2)C[C@H](C(=O)[O-])O1 ZINC000237647881 202316553 /nfs/dbraw/zinc/31/65/53/202316553.db2.gz BMTBWBYAPRUDNB-QMTHXVAHSA-N -1 1 315.329 1.391 20 0 DDADMM CN(C)C(=O)N1CCC(C(=O)Nc2c([O-])cccc2F)CC1 ZINC000605440145 359858705 /nfs/dbraw/zinc/85/87/05/359858705.db2.gz LKAVYKLNBLAEHJ-UHFFFAOYSA-N -1 1 309.341 1.863 20 0 DDADMM CC(C)(NC(=O)Cc1cc2ccccc2[nH]c1=O)c1nn[n-]n1 ZINC000607953101 360077163 /nfs/dbraw/zinc/07/71/63/360077163.db2.gz QNSAYBOGSTZGCM-UHFFFAOYSA-N -1 1 312.333 1.048 20 0 DDADMM COc1ccc([O-])c(C(=O)c2cnn(-c3cc(C)ncn3)c2)c1 ZINC000348494249 283530652 /nfs/dbraw/zinc/53/06/52/283530652.db2.gz OXZBSMMFHVRNPO-UHFFFAOYSA-N -1 1 310.313 1.916 20 0 DDADMM CN1CCC[C@@H](NC(=O)c2nn(-c3ccccc3)cc2[O-])C1 ZINC000608356772 360165087 /nfs/dbraw/zinc/16/50/87/360165087.db2.gz VLNKAQXSICAXEP-GFCCVEGCSA-N -1 1 300.362 1.402 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1Cc2ccccc21 ZINC000608356936 360165344 /nfs/dbraw/zinc/16/53/44/360165344.db2.gz YMHJYVUCQPPMSG-LSDHHAIUSA-N -1 1 305.341 1.745 20 0 DDADMM COC(=O)c1sc2nc(C)nc(NCc3nn[n-]n3)c2c1C ZINC000609513750 360316132 /nfs/dbraw/zinc/31/61/32/360316132.db2.gz KETBYQYLBGPKKM-UHFFFAOYSA-N -1 1 319.350 1.220 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)c1cc(C2CC2)n(C)n1 ZINC000610231257 360393399 /nfs/dbraw/zinc/39/33/99/360393399.db2.gz HXMRNFGSEPJMKU-UHFFFAOYSA-N -1 1 317.349 1.758 20 0 DDADMM COC(=O)[C@H](C)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000610898433 360566421 /nfs/dbraw/zinc/56/64/21/360566421.db2.gz VNWWKAYCTACPRR-SSDOTTSWSA-N -1 1 323.317 1.061 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]C[C@@](C)(O)C(F)(F)F)C1CC1 ZINC000625092809 366708044 /nfs/dbraw/zinc/70/80/44/366708044.db2.gz HOLGIFTZWDGGSL-VHSXEESVSA-N -1 1 319.345 1.034 20 0 DDADMM COC(=O)c1c[n-]c(SCCCNC(=O)C2CCCC2)n1 ZINC000193549490 201074297 /nfs/dbraw/zinc/07/42/97/201074297.db2.gz OKTAQUQASRZZDF-UHFFFAOYSA-N -1 1 311.407 1.985 20 0 DDADMM CC(F)(F)C[N-]S(=O)(=O)c1cnnn1-c1ccccc1 ZINC000625229038 366788866 /nfs/dbraw/zinc/78/88/66/366788866.db2.gz LSWSULZBJWYOMO-UHFFFAOYSA-N -1 1 302.306 1.201 20 0 DDADMM CCNC(=O)[C@@H](C)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000271868535 209282228 /nfs/dbraw/zinc/28/22/28/209282228.db2.gz NITDVWDEQQYZQV-SSDOTTSWSA-N -1 1 304.268 1.665 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCC[C@H]2Cc2cnn(C)c2)c([O-])c1 ZINC000618936363 363811464 /nfs/dbraw/zinc/81/14/64/363811464.db2.gz ZSQNHCRYHYVGNI-UONOGXRCSA-N -1 1 314.389 1.970 20 0 DDADMM CC(C)(CNC(=O)c1cc(F)ccc1[O-])[C@@]1(O)CCCOC1 ZINC000620113749 364293360 /nfs/dbraw/zinc/29/33/60/364293360.db2.gz GNUXKOOMTNNEPK-MRXNPFEDSA-N -1 1 311.353 1.829 20 0 DDADMM CCS(=O)(=O)c1ccc(S(=O)(=O)[N-]c2cnc[nH]2)cc1 ZINC000579430732 422766438 /nfs/dbraw/zinc/76/64/38/422766438.db2.gz CNLCSRPERIVSGD-UHFFFAOYSA-N -1 1 315.376 1.004 20 0 DDADMM O=C([O-])C1CN(C(=O)NC[C@H](c2ccco2)N2CCCC2)C1 ZINC000621828911 365046100 /nfs/dbraw/zinc/04/61/00/365046100.db2.gz YREGDOPQQITSMO-GFCCVEGCSA-N -1 1 307.350 1.143 20 0 DDADMM O=C(COCc1ccccc1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622612603 365452638 /nfs/dbraw/zinc/45/26/38/365452638.db2.gz CAYAAJVDCFWTFS-INIZCTEOSA-N -1 1 323.356 1.622 20 0 DDADMM CS(=O)(=O)CC1CCC(NC(=O)c2cncc([O-])c2)CC1 ZINC000622627468 365455693 /nfs/dbraw/zinc/45/56/93/365455693.db2.gz NWACSUMHOFOJHC-UHFFFAOYSA-N -1 1 312.391 1.120 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc(OC(C)(C)C)n1)c1nn[n-]n1 ZINC000626443539 367547832 /nfs/dbraw/zinc/54/78/32/367547832.db2.gz JSCMWSZSFCRHGI-JTQLQIEISA-N -1 1 318.381 1.648 20 0 DDADMM COC1CC(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)C1 ZINC000626358361 367501713 /nfs/dbraw/zinc/50/17/13/367501713.db2.gz HRFZHOLFEZFYLX-UHFFFAOYSA-N -1 1 316.354 1.561 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)c1ccc2cc[nH]c2c1 ZINC000349663898 284006357 /nfs/dbraw/zinc/00/63/57/284006357.db2.gz KYUWFTQSRYYXAJ-UHFFFAOYSA-N -1 1 306.347 1.702 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1CC[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000635017132 422770409 /nfs/dbraw/zinc/77/04/09/422770409.db2.gz FLVKESBLHNJJSI-UWVGGRQHSA-N -1 1 310.316 1.440 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)[C@H]1CCc2nnnn2CC1 ZINC000566333031 304145910 /nfs/dbraw/zinc/14/59/10/304145910.db2.gz YWPCIYSDUFRNAO-QMMMGPOBSA-N -1 1 307.741 1.623 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2CCN(C)C[C@@H]2C(C)C)s1 ZINC000330775128 284115739 /nfs/dbraw/zinc/11/57/39/284115739.db2.gz YROBRAUXYNIQPO-LLVKDONJSA-N -1 1 311.455 1.716 20 0 DDADMM Cc1cccc(NC(=O)NC(=O)CN2CC[C@@H](C(=O)[O-])C2)c1C ZINC000262644328 203364009 /nfs/dbraw/zinc/36/40/09/203364009.db2.gz JUCLVFCUQCHBNV-GFCCVEGCSA-N -1 1 319.361 1.358 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1C[C@@H](C)C[C@H](C(=O)[O-])C1 ZINC000635019807 422774336 /nfs/dbraw/zinc/77/43/36/422774336.db2.gz YPOWCXUCVIHASF-UWVGGRQHSA-N -1 1 310.316 1.440 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cc(F)cc1F)c1cnn(C)c1 ZINC000266214960 205189594 /nfs/dbraw/zinc/18/95/94/205189594.db2.gz RDBAGEMKHWRIOO-ZETCQYMHSA-N -1 1 319.308 1.877 20 0 DDADMM C[C@H]1[C@@H](NC(=O)OC(C)(C)C)CCN1C(=O)c1ncccc1[O-] ZINC000288396966 220132611 /nfs/dbraw/zinc/13/26/11/220132611.db2.gz SIJWXGAZZMWABN-QWRGUYRKSA-N -1 1 321.377 1.915 20 0 DDADMM CCn1nc(C)c([N-]S(=O)(=O)CC(C)(C)C(=O)OC)c1C ZINC000288471043 220163643 /nfs/dbraw/zinc/16/36/43/220163643.db2.gz KNXBNTDBDYXTLW-UHFFFAOYSA-N -1 1 317.411 1.461 20 0 DDADMM COC(=O)c1ccsc1N1CC[C@@H]([N-]c2nnc(C)o2)C1=O ZINC000288491805 220177523 /nfs/dbraw/zinc/17/75/23/220177523.db2.gz ROXCRODEUMKQKM-SECBINFHSA-N -1 1 322.346 1.444 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCCC(C)(C)CO ZINC000393436605 307295073 /nfs/dbraw/zinc/29/50/73/307295073.db2.gz RLFSUMQKOTWQFD-UHFFFAOYSA-N -1 1 313.423 1.741 20 0 DDADMM Cc1nnsc1C(=O)[N-]c1ncn(Cc2ccccc2)n1 ZINC000567101013 304197543 /nfs/dbraw/zinc/19/75/43/304197543.db2.gz CBZICLQUYSDYLR-UHFFFAOYSA-N -1 1 300.347 1.739 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2nccs2)c(=O)[n-]1 ZINC000298793157 248819968 /nfs/dbraw/zinc/81/99/68/248819968.db2.gz FLOPJBWAXDWVNV-UHFFFAOYSA-N -1 1 324.431 1.918 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc2ccccc2c1 ZINC000337137062 249361572 /nfs/dbraw/zinc/36/15/72/249361572.db2.gz PCOACAJLSHNJMN-UHFFFAOYSA-N -1 1 308.341 1.905 20 0 DDADMM CCOc1cc(C(=O)NC[C@@]2(O)CCOC2)cc(Cl)c1[O-] ZINC000273837839 211102098 /nfs/dbraw/zinc/10/20/98/211102098.db2.gz IQYVRRNPDXSAHX-AWEZNQCLSA-N -1 1 315.753 1.326 20 0 DDADMM O=S(=O)([N-]Cc1nnc(C2CC2)o1)c1sccc1F ZINC000338960478 250220321 /nfs/dbraw/zinc/22/03/21/250220321.db2.gz KWABYKMQNLHKEA-UHFFFAOYSA-N -1 1 303.340 1.626 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C2(C(C)C)CC2)o1 ZINC000339036869 250262375 /nfs/dbraw/zinc/26/23/75/250262375.db2.gz FLXVZPYVEMDLSB-UHFFFAOYSA-N -1 1 301.364 1.923 20 0 DDADMM Cn1nc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)ccc1=O ZINC000339137641 250309465 /nfs/dbraw/zinc/30/94/65/250309465.db2.gz SQSBPGRCVRTCRY-UHFFFAOYSA-N -1 1 311.301 1.128 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2c(C)noc2C)[n-]1 ZINC000339171958 250326762 /nfs/dbraw/zinc/32/67/62/250326762.db2.gz TUJKTHSDYPPUJZ-UHFFFAOYSA-N -1 1 306.322 1.763 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2c(C)noc2C)n1 ZINC000339171958 250326765 /nfs/dbraw/zinc/32/67/65/250326765.db2.gz TUJKTHSDYPPUJZ-UHFFFAOYSA-N -1 1 306.322 1.763 20 0 DDADMM COC(=O)c1coc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000339193329 250338078 /nfs/dbraw/zinc/33/80/78/250338078.db2.gz CAFOYOYHRQOHKC-UHFFFAOYSA-N -1 1 321.289 1.175 20 0 DDADMM CC(C)Cn1cnc(S(=O)(=O)[N-]c2cc(C3CC3)n[nH]2)c1 ZINC000268449741 206722691 /nfs/dbraw/zinc/72/26/91/206722691.db2.gz AGEOTOJZVNEHJT-UHFFFAOYSA-N -1 1 309.395 1.940 20 0 DDADMM COCc1noc(-c2ccc(S(=O)(=O)[N-]C(C)(C)C)o2)n1 ZINC000109603507 194276898 /nfs/dbraw/zinc/27/68/98/194276898.db2.gz ITRDYJIZTYLNDQ-UHFFFAOYSA-N -1 1 315.351 1.553 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)C(=O)CCc2nn[n-]n2)C12CCCCC2 ZINC000631496212 422798447 /nfs/dbraw/zinc/79/84/47/422798447.db2.gz BAJURSHZKHCHEP-QWHCGFSZSA-N -1 1 321.425 1.719 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCc2cc(F)ccc21 ZINC000352184393 284848614 /nfs/dbraw/zinc/84/86/14/284848614.db2.gz QJPZUCJVTLQCFI-JTQLQIEISA-N -1 1 319.292 1.453 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)CC2CCC2)c(=O)[n-]1 ZINC000110465193 194332227 /nfs/dbraw/zinc/33/22/27/194332227.db2.gz JXPZFEXZFKZZJA-UHFFFAOYSA-N -1 1 309.435 1.991 20 0 DDADMM C[C@H](CN1CCCC1=O)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000055280193 183976849 /nfs/dbraw/zinc/97/68/49/183976849.db2.gz ZYOMHGRMBFAXCO-SECBINFHSA-N -1 1 318.345 1.254 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@H](CO)C3)cnc2n1 ZINC000124725863 207357687 /nfs/dbraw/zinc/35/76/87/207357687.db2.gz ROEMVEVRYBCREI-NSHDSACASA-N -1 1 301.346 1.488 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@H](CO)C3)c[n-]c2n1 ZINC000124725863 207357692 /nfs/dbraw/zinc/35/76/92/207357692.db2.gz ROEMVEVRYBCREI-NSHDSACASA-N -1 1 301.346 1.488 20 0 DDADMM Cc1c(C(=O)[O-])cccc1S(=O)(=O)N1CC[C@H](N(C)C)C1 ZINC000113457876 194594651 /nfs/dbraw/zinc/59/46/51/194594651.db2.gz RVLQQCNISDJYSD-NSHDSACASA-N -1 1 312.391 1.018 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCOCCC(C)C)c1 ZINC000269988380 208039701 /nfs/dbraw/zinc/03/97/01/208039701.db2.gz FULKQUCKBXQECY-UHFFFAOYSA-N -1 1 319.379 1.407 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](CCO)C(C)(C)C)c1 ZINC000270025548 208079065 /nfs/dbraw/zinc/07/90/65/208079065.db2.gz PFIOELSNDJYAHA-JTQLQIEISA-N -1 1 319.379 1.142 20 0 DDADMM CN1CC[C@H]([N-]C(=O)C(F)(F)c2c(F)cccc2F)C1=O ZINC000270173399 208237936 /nfs/dbraw/zinc/23/79/36/208237936.db2.gz CPVRAAOACBRNRV-VIFPVBQESA-N -1 1 304.243 1.404 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cscn1)[C@H](O)C(F)(F)F ZINC000567895298 304253184 /nfs/dbraw/zinc/25/31/84/304253184.db2.gz ZBQZYDCZGCEKKQ-VDTYLAMSSA-N -1 1 304.315 1.123 20 0 DDADMM O=C(NCc1noc2c1CCCC2)C(=O)c1ccc([O-])cc1 ZINC000288609713 220286398 /nfs/dbraw/zinc/28/63/98/220286398.db2.gz OSVIBGWMLBPGLA-UHFFFAOYSA-N -1 1 300.314 1.758 20 0 DDADMM COc1ccc([C@@H](NCc2cnn(C)c2C)C(=O)[O-])cc1F ZINC000417576216 533133484 /nfs/dbraw/zinc/13/34/84/533133484.db2.gz UKGUSQYBGMFTKQ-CQSZACIVSA-N -1 1 307.325 1.792 20 0 DDADMM COC(=O)C(C)(C)c1csc(NC(=O)c2cncc([O-])c2)n1 ZINC000294033518 533165105 /nfs/dbraw/zinc/16/51/05/533165105.db2.gz NCINNPNYXGVSTF-UHFFFAOYSA-N -1 1 321.358 1.947 20 0 DDADMM COc1cc(C=CC(=O)Nc2nnn[n-]2)ccc1OCC(C)C ZINC000120566330 195191201 /nfs/dbraw/zinc/19/12/01/195191201.db2.gz SCJAOETZFSPZNU-FNORWQNLSA-N -1 1 317.349 1.895 20 0 DDADMM COc1cc(C=CC(=O)Nc2nn[n-]n2)ccc1OCC(C)C ZINC000120566330 195191203 /nfs/dbraw/zinc/19/12/03/195191203.db2.gz SCJAOETZFSPZNU-FNORWQNLSA-N -1 1 317.349 1.895 20 0 DDADMM CCNS(=O)(=O)[C@@H]1CCN(Cc2cccc([O-])c2Cl)C1 ZINC000352840758 285330523 /nfs/dbraw/zinc/33/05/23/285330523.db2.gz XEHHRPRYXRQAMN-LLVKDONJSA-N -1 1 318.826 1.559 20 0 DDADMM COc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)c(OC)c1 ZINC000491975154 533245748 /nfs/dbraw/zinc/24/57/48/533245748.db2.gz WYXDXORAZIYBDL-XQRVVYSFSA-N -1 1 315.333 1.036 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ncc(C)cc2[O-])[C@H]1n1cccn1 ZINC000569267109 304345177 /nfs/dbraw/zinc/34/51/77/304345177.db2.gz VMGQPGLWQXJGON-UXIGCNINSA-N -1 1 316.361 1.441 20 0 DDADMM O=C(NCCSCC(F)(F)F)C(=O)c1ccc([O-])cc1 ZINC000436993439 484134361 /nfs/dbraw/zinc/13/43/61/484134361.db2.gz CCYSGEQLRFOMQZ-UHFFFAOYSA-N -1 1 307.293 1.987 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCc2ccccc2O1)c1nn[n-]n1 ZINC000569688613 304374776 /nfs/dbraw/zinc/37/47/76/304374776.db2.gz YSPQUUGJUPQTAE-WCQYABFASA-N -1 1 301.350 1.551 20 0 DDADMM COc1ccc(NC(=O)c2ccc(O)cc2[O-])cc1NC(N)=O ZINC000436818719 286335643 /nfs/dbraw/zinc/33/56/43/286335643.db2.gz BHWOSYNVHFLKJG-UHFFFAOYSA-N -1 1 317.301 1.849 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@@H]1c1ccccc1 ZINC000579785321 422828964 /nfs/dbraw/zinc/82/89/64/422828964.db2.gz AXTJOBRYWNTBNC-NXEZZACHSA-N -1 1 301.302 1.182 20 0 DDADMM Cc1nc(-c2ccc(NC[C@H]3CCOC3)nc2)[n-]c(=O)c1C ZINC000360973418 299786071 /nfs/dbraw/zinc/78/60/71/299786071.db2.gz IWZFPWNMPNQKSH-GFCCVEGCSA-N -1 1 300.362 1.731 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)C1CCCC1 ZINC000070306405 406814113 /nfs/dbraw/zinc/81/41/13/406814113.db2.gz NXJPUADQVALTMY-VIFPVBQESA-N -1 1 315.395 1.443 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc3c(c2)CCO3)o1 ZINC000033018028 406959055 /nfs/dbraw/zinc/95/90/55/406959055.db2.gz DILIKVLIPXISKM-UHFFFAOYSA-N -1 1 322.342 1.375 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2ccccc2)ccc1O ZINC000044352090 407022550 /nfs/dbraw/zinc/02/25/50/407022550.db2.gz UWMYLBXTHRILIP-UHFFFAOYSA-N -1 1 307.327 1.980 20 0 DDADMM COC(=O)CN(C)C(=O)c1ccc(Br)cc1[O-] ZINC000044489991 407024551 /nfs/dbraw/zinc/02/45/51/407024551.db2.gz HNKWWHFEKZASJD-UHFFFAOYSA-N -1 1 302.124 1.400 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCC1CCCC1 ZINC000037492705 406989250 /nfs/dbraw/zinc/98/92/50/406989250.db2.gz MCKCUZUXRNIFCG-UHFFFAOYSA-N -1 1 315.395 1.445 20 0 DDADMM CCC1CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1 ZINC000048808545 407108021 /nfs/dbraw/zinc/10/80/21/407108021.db2.gz SMZXDKZWRSCOLT-UHFFFAOYSA-N -1 1 300.380 1.450 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(C)ccc2O)o1 ZINC000050177607 407128588 /nfs/dbraw/zinc/12/85/88/407128588.db2.gz GVXXECOBHOBKQH-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM CCOC(=O)CC[C@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000089783450 407148990 /nfs/dbraw/zinc/14/89/90/407148990.db2.gz LIVFRPKBMMTNLM-VIFPVBQESA-N -1 1 321.345 1.975 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cnn(C)c1 ZINC000063769940 407235793 /nfs/dbraw/zinc/23/57/93/407235793.db2.gz WCFBTCUPOJIXFO-UHFFFAOYSA-N -1 1 322.390 1.824 20 0 DDADMM CCc1n[nH]c(NC(=O)c2cnc(-c3ncccn3)s2)n1 ZINC000123275082 407324497 /nfs/dbraw/zinc/32/44/97/407324497.db2.gz UNPQUAXMQYCCQZ-UHFFFAOYSA-N -1 1 301.335 1.533 20 0 DDADMM O=C(CS(=O)(=O)c1ccc([O-])cc1)Nc1ccccc1F ZINC000125516203 407390256 /nfs/dbraw/zinc/39/02/56/407390256.db2.gz WGOUMPJIDXNMEI-UHFFFAOYSA-N -1 1 309.318 1.944 20 0 DDADMM COCCS(=O)(=O)[N-]c1nc2cc(C(F)(F)F)ccc2[nH]1 ZINC000126902798 407423845 /nfs/dbraw/zinc/42/38/45/407423845.db2.gz QJVINZSITFVGLX-UHFFFAOYSA-N -1 1 323.296 1.970 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CC[C@H](C)C[C@H]2C)co1 ZINC000151741263 407489353 /nfs/dbraw/zinc/48/93/53/407489353.db2.gz DZQSIMSQVSDWDS-UMNHJUIQSA-N -1 1 314.407 1.742 20 0 DDADMM CC(C)c1ccsc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000113421892 407502823 /nfs/dbraw/zinc/50/28/23/407502823.db2.gz PTNHVFZGSNPIBS-UHFFFAOYSA-N -1 1 314.392 1.645 20 0 DDADMM CC(C)c1ccsc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000113421892 407502829 /nfs/dbraw/zinc/50/28/29/407502829.db2.gz PTNHVFZGSNPIBS-UHFFFAOYSA-N -1 1 314.392 1.645 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cccc3ccccc32)n1 ZINC000113421509 407502847 /nfs/dbraw/zinc/50/28/47/407502847.db2.gz KSOKNCWNGPNOHB-UHFFFAOYSA-N -1 1 316.342 1.614 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cccc3ccccc32)[n-]1 ZINC000113421509 407502851 /nfs/dbraw/zinc/50/28/51/407502851.db2.gz KSOKNCWNGPNOHB-UHFFFAOYSA-N -1 1 316.342 1.614 20 0 DDADMM CC(C)[N@@H+](C)CC(=O)Nc1ccc(F)c(NS(C)(=O)=O)c1 ZINC000195307699 407449160 /nfs/dbraw/zinc/44/91/60/407449160.db2.gz SXKZLOLUSCHTLO-UHFFFAOYSA-N -1 1 317.386 1.476 20 0 DDADMM CC(C)[C@](C)(O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000178745097 407578221 /nfs/dbraw/zinc/57/82/21/407578221.db2.gz MZKINMOPYZDFPS-GFCCVEGCSA-N -1 1 311.325 1.789 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](OC)C(C)(C)C)o1 ZINC000266712544 407607820 /nfs/dbraw/zinc/60/78/20/407607820.db2.gz HUXNPDDXIBTHDR-SNVBAGLBSA-N -1 1 319.379 1.406 20 0 DDADMM O=C([C@@H]1CCOc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129386473 407608351 /nfs/dbraw/zinc/60/83/51/407608351.db2.gz PWDDEOOHOMWKDA-DGCLKSJQSA-N -1 1 313.361 1.472 20 0 DDADMM O=C(c1cc(-c2ccccc2)n[nH]1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129442209 407610217 /nfs/dbraw/zinc/61/02/17/407610217.db2.gz KOEJSYBEJRCBHF-GFCCVEGCSA-N -1 1 323.360 1.610 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(-c2nc[nH]n2)c1)c1nn[n-]n1 ZINC000271277248 407612103 /nfs/dbraw/zinc/61/21/03/407612103.db2.gz YUOTYGWJZCOHDE-LLVKDONJSA-N -1 1 312.337 1.256 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CCCCC1 ZINC000186275759 407625308 /nfs/dbraw/zinc/62/53/08/407625308.db2.gz HLYCRTQZTIIERE-UHFFFAOYSA-N -1 1 321.830 1.701 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccc3c(c2)C(=O)NC3=O)cc1 ZINC000226860472 407625279 /nfs/dbraw/zinc/62/52/79/407625279.db2.gz QETGFOHCRQLHMF-UHFFFAOYSA-N -1 1 316.338 1.679 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]2OCC[C@@H]21)c1nc2ccccc2c(=O)[n-]1 ZINC000152442736 407635604 /nfs/dbraw/zinc/63/56/04/407635604.db2.gz GLSUFPPEHWBURT-QKCSRTOESA-N -1 1 313.357 1.611 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2csc(C(C)C)n2)n[n-]1 ZINC000153120110 407756792 /nfs/dbraw/zinc/75/67/92/407756792.db2.gz BVGCDTZTCMRTOK-UHFFFAOYSA-N -1 1 300.409 1.921 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCCSC1 ZINC000267173948 407779201 /nfs/dbraw/zinc/77/92/01/407779201.db2.gz ABGBJZZLNBCUDV-LLVKDONJSA-N -1 1 320.418 1.194 20 0 DDADMM Cn1[n-]cc2c(=O)c(C(=O)Nc3ccc4n[nH]cc4c3)cnc1-2 ZINC000179411932 407786571 /nfs/dbraw/zinc/78/65/71/407786571.db2.gz UXFNBKRHHDKSJH-UHFFFAOYSA-N -1 1 308.301 1.803 20 0 DDADMM C[C@@H](C[S@](C)=O)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000133369012 407834538 /nfs/dbraw/zinc/83/45/38/407834538.db2.gz RNSPONZMRMWQTM-BWKAKNAASA-N -1 1 313.803 1.524 20 0 DDADMM COc1cc(C(=O)NC[C@H]2CN(C)CCO2)cc(Cl)c1[O-] ZINC000153697626 407879875 /nfs/dbraw/zinc/87/98/75/407879875.db2.gz UQIFHYLDXBEARZ-JTQLQIEISA-N -1 1 314.769 1.115 20 0 DDADMM CO[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC[C@@H]1C ZINC000187751811 407888104 /nfs/dbraw/zinc/88/81/04/407888104.db2.gz BZBBFEPRJNXOAD-CABZTGNLSA-N -1 1 304.350 1.171 20 0 DDADMM COc1ccccc1NC(=O)[C@H](C)N1CCC[C@H](C(=O)[O-])C1 ZINC000262665861 407893538 /nfs/dbraw/zinc/89/35/38/407893538.db2.gz NGSXTMGCSAPINP-RYUDHWBXSA-N -1 1 306.362 1.819 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCCC[C@H]1c1nc[nH]n1 ZINC000181274793 407977016 /nfs/dbraw/zinc/97/70/16/407977016.db2.gz PVIZEPOTGXVFIU-LBPRGKRZSA-N -1 1 324.344 1.409 20 0 DDADMM C[C@H]1SCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@H]1C ZINC000118460598 407920052 /nfs/dbraw/zinc/92/00/52/407920052.db2.gz RVUDCUBEQFZYLF-VHSXEESVSA-N -1 1 303.387 1.889 20 0 DDADMM CCC[C@H](NC(=O)CC1(CC(=O)OC)CCCC1)c1nn[n-]n1 ZINC000188278995 407929535 /nfs/dbraw/zinc/92/95/35/407929535.db2.gz VFPZAAUDFABICB-NSHDSACASA-N -1 1 323.397 1.671 20 0 DDADMM CCCC[C@@H](COC)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119269244 408028101 /nfs/dbraw/zinc/02/81/01/408028101.db2.gz TZMGDDQYCZMECT-JTQLQIEISA-N -1 1 306.366 1.609 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N(C)Cc1ccc(OC)cc1)C(=O)[O-] ZINC000263149198 408039898 /nfs/dbraw/zinc/03/98/98/408039898.db2.gz PEOHVPRZGZHNHG-LRDDRELGSA-N -1 1 322.405 1.743 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NC[C@@H]1Cc2ccccc2O1)C(=O)[O-] ZINC000263170233 408044912 /nfs/dbraw/zinc/04/49/12/408044912.db2.gz RYWMBSMWGNHTQU-RBOXIYTFSA-N -1 1 320.389 1.195 20 0 DDADMM CSc1n[nH]c(NC(=O)C2CN(C(=O)OC(C)(C)C)C2)n1 ZINC000273087404 408050546 /nfs/dbraw/zinc/05/05/46/408050546.db2.gz DCATZCQLUKHJGL-UHFFFAOYSA-N -1 1 313.383 1.332 20 0 DDADMM C[C@H](NC(=O)c1ccc(C(C)(C)C)cc1)C(=O)Nc1nnn[n-]1 ZINC000135464626 408018641 /nfs/dbraw/zinc/01/86/41/408018641.db2.gz WLCVQSBWUXVBTQ-VIFPVBQESA-N -1 1 316.365 1.254 20 0 DDADMM C[C@H](NC(=O)c1ccc(C(C)(C)C)cc1)C(=O)Nc1nn[n-]n1 ZINC000135464626 408018649 /nfs/dbraw/zinc/01/86/49/408018649.db2.gz WLCVQSBWUXVBTQ-VIFPVBQESA-N -1 1 316.365 1.254 20 0 DDADMM CCCc1nc(CS(=O)(=O)c2nnc(COC)[n-]2)cs1 ZINC000268506051 408073822 /nfs/dbraw/zinc/07/38/22/408073822.db2.gz UQAUPQNSBNDAPD-UHFFFAOYSA-N -1 1 316.408 1.334 20 0 DDADMM CCCc1nc(CS(=O)(=O)c2n[n-]c(COC)n2)cs1 ZINC000268506051 408073826 /nfs/dbraw/zinc/07/38/26/408073826.db2.gz UQAUPQNSBNDAPD-UHFFFAOYSA-N -1 1 316.408 1.334 20 0 DDADMM CCC[C@H](NC(=O)CSC[C@H]1CCCCO1)c1nn[n-]n1 ZINC000136629706 408116678 /nfs/dbraw/zinc/11/66/78/408116678.db2.gz RQCLXXZHBGLWOX-MNOVXSKESA-N -1 1 313.427 1.459 20 0 DDADMM CSc1nsc([N-]C(=O)c2ccc(C(N)=O)s2)n1 ZINC000175532258 408155593 /nfs/dbraw/zinc/15/55/93/408155593.db2.gz OQBYQYDELLOWHT-UHFFFAOYSA-N -1 1 300.390 1.673 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H](O)c2ccsc2)c1Cl ZINC000182463478 408169189 /nfs/dbraw/zinc/16/91/89/408169189.db2.gz POCCEOQOJIOEJR-MRVPVSSYSA-N -1 1 321.811 1.147 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)N1CCC[C@H](c2ncc(C(F)(F)F)[nH]2)C1 ZINC000263562573 408175563 /nfs/dbraw/zinc/17/55/63/408175563.db2.gz SUPJVRWKEFLGMX-SFYZADRCSA-N -1 1 319.283 1.855 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1Cc1nc(N(C)C)no1 ZINC000269277969 408250056 /nfs/dbraw/zinc/25/00/56/408250056.db2.gz JLDHQIIVBZOBNP-UHFFFAOYSA-N -1 1 312.333 1.592 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)c2ccc(=O)[nH]n2)c1 ZINC000182905514 408280448 /nfs/dbraw/zinc/28/04/48/408280448.db2.gz RVHLBVXGXYRLDQ-UHFFFAOYSA-N -1 1 324.134 1.799 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@@H]2COCCO2)c1 ZINC000182925921 408286633 /nfs/dbraw/zinc/28/66/33/408286633.db2.gz KRIUIMWYYLTGJD-JTQLQIEISA-N -1 1 316.151 1.817 20 0 DDADMM CN(CC(C)(CO)CO)C(=O)c1cc2ccccc2cc1[O-] ZINC000182936628 408288397 /nfs/dbraw/zinc/28/83/97/408288397.db2.gz JCWFYFYJOVKKRI-UHFFFAOYSA-N -1 1 303.358 1.608 20 0 DDADMM COC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1ccc([O-])cc1F ZINC000190695444 408306663 /nfs/dbraw/zinc/30/66/63/408306663.db2.gz JASORUOQCCZKEE-PUYPPJJSSA-N -1 1 307.321 1.945 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1cc(F)ccc1F)c2=O ZINC000132671565 162042474 /nfs/dbraw/zinc/04/24/74/162042474.db2.gz LMNQUDZNXRLWLS-UHFFFAOYSA-N -1 1 318.283 1.882 20 0 DDADMM COc1ccc2nc(-c3ccc4nnc(C)n4c3)[n-]c(=O)c2c1 ZINC000172997752 162315980 /nfs/dbraw/zinc/31/59/80/162315980.db2.gz DIOPRLBRYZUXCK-UHFFFAOYSA-N -1 1 307.313 1.950 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(OC)ccc1OC)c1nn[n-]n1 ZINC000176788970 408446201 /nfs/dbraw/zinc/44/62/01/408446201.db2.gz JQJRMLVTEYFFJN-LLVKDONJSA-N -1 1 305.338 1.488 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c2ccccc2n1 ZINC000183398495 408397685 /nfs/dbraw/zinc/39/76/85/408397685.db2.gz VWYRBRXEMZPMGV-SNVBAGLBSA-N -1 1 310.361 1.932 20 0 DDADMM CC(C)Oc1cccc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183393332 408398764 /nfs/dbraw/zinc/39/87/64/408398764.db2.gz WHDHIUPIKUTQHQ-LBPRGKRZSA-N -1 1 317.393 1.792 20 0 DDADMM C[C@H](CN(C)C(=O)C12CC3CC(CC(C3)C1)C2)c1nn[n-]n1 ZINC000183418319 408407130 /nfs/dbraw/zinc/40/71/30/408407130.db2.gz KKOSRBFYUZJVQF-GYPVXTSCSA-N -1 1 303.410 1.978 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1cn(C)c2ccccc12)c1nn[n-]n1 ZINC000183445920 408413712 /nfs/dbraw/zinc/41/37/12/408413712.db2.gz DSXDAMCKZAIVHP-BCPZQOPPSA-N -1 1 324.388 1.967 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1COc2ccccc2C1)c1nn[n-]n1 ZINC000176760990 408434945 /nfs/dbraw/zinc/43/49/45/408434945.db2.gz KKXRCZMPHOOHPR-NWDGAFQWSA-N -1 1 301.350 1.408 20 0 DDADMM CCC[C@H](NC(=O)COc1ccc(F)cc1F)c1nn[n-]n1 ZINC000176773270 408439814 /nfs/dbraw/zinc/43/98/14/408439814.db2.gz PTXPJLREFSEGOP-JTQLQIEISA-N -1 1 311.292 1.514 20 0 DDADMM Cc1c(C(=O)[O-])cccc1S(=O)(=O)N1CCN2CCC[C@H]2C1 ZINC000247274681 408442223 /nfs/dbraw/zinc/44/22/23/408442223.db2.gz YJQDICLCGZUTSB-LBPRGKRZSA-N -1 1 324.402 1.162 20 0 DDADMM CCOC(=O)C1CCN(CC(=O)[N-]OCc2ccccc2)CC1 ZINC000162079734 408666222 /nfs/dbraw/zinc/66/62/22/408666222.db2.gz SSNBQFMKDUUUGK-UHFFFAOYSA-N -1 1 320.389 1.510 20 0 DDADMM CCC[C@H](NC(=O)COc1ccc(OCC)cc1)c1nn[n-]n1 ZINC000177651025 408677741 /nfs/dbraw/zinc/67/77/41/408677741.db2.gz TUJJYMDPSTVKEZ-ZDUSSCGKSA-N -1 1 319.365 1.635 20 0 DDADMM CC1(C)C[C@H]1C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000162306295 408688996 /nfs/dbraw/zinc/68/89/96/408688996.db2.gz UZMJWKYSZVLQQZ-NSHDSACASA-N -1 1 324.402 1.818 20 0 DDADMM O=C(CN[C@H](CCO)c1ccco1)[N-]OCc1ccccc1 ZINC000185066125 408749575 /nfs/dbraw/zinc/74/95/75/408749575.db2.gz UHHWWKKISZUPTI-CQSZACIVSA-N -1 1 304.346 1.541 20 0 DDADMM O=S(=O)(CCn1cccn1)c1nc(-c2ccccc2F)n[n-]1 ZINC000195129337 408813385 /nfs/dbraw/zinc/81/33/85/408813385.db2.gz PTDFUFMHRZYTNE-UHFFFAOYSA-N -1 1 321.337 1.281 20 0 DDADMM O=C(NCCc1ccc(OC(F)(F)F)cc1)c1cnn[nH]1 ZINC000194194633 408771398 /nfs/dbraw/zinc/77/13/98/408771398.db2.gz NXAVYSVPGXZSPA-UHFFFAOYSA-N -1 1 300.240 1.676 20 0 DDADMM CC[C@@](NCc1nc(=O)[n-][nH]1)(C(=O)OC)c1ccc(Cl)cc1 ZINC000285688279 408843209 /nfs/dbraw/zinc/84/32/09/408843209.db2.gz VHLXOKZKKDLUIX-AWEZNQCLSA-N -1 1 324.768 1.320 20 0 DDADMM CN1CCN(C(=O)c2ncccc2[O-])C[C@H]1Cc1ccccc1 ZINC000285719889 408849007 /nfs/dbraw/zinc/84/90/07/408849007.db2.gz FDSQNSZXQWNILH-OAHLLOKOSA-N -1 1 311.385 1.786 20 0 DDADMM COc1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1Cl ZINC000286098240 408922565 /nfs/dbraw/zinc/92/25/65/408922565.db2.gz OKYNTGFVXDFNMH-SSDOTTSWSA-N -1 1 310.745 1.132 20 0 DDADMM CCc1cc(O)ccc1NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000191040728 163169563 /nfs/dbraw/zinc/16/95/63/163169563.db2.gz QVCACQQDGOQQHS-UHFFFAOYSA-N -1 1 324.358 1.708 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)F)c1ccc(Cl)cc1F ZINC000228621860 163343424 /nfs/dbraw/zinc/34/34/24/163343424.db2.gz OBJHMXKFTOUFCT-SSDOTTSWSA-N -1 1 303.689 1.383 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)CCC(C)=O)CC2)n1 ZINC000281915449 408953813 /nfs/dbraw/zinc/95/38/13/408953813.db2.gz ABJLKFVQJVIGNF-UHFFFAOYSA-N -1 1 322.365 1.057 20 0 DDADMM CCCCC(=O)N1CCC(c2nc(C(=O)OCC)n[n-]2)CC1 ZINC000277672837 408989222 /nfs/dbraw/zinc/98/92/22/408989222.db2.gz JYOFWAGSRSMQMJ-UHFFFAOYSA-N -1 1 308.382 1.878 20 0 DDADMM C[C@H]1C[C@@H]1c1cc(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)n[nH]1 ZINC000287295754 409015016 /nfs/dbraw/zinc/01/50/16/409015016.db2.gz UUVOQIDEWADCLC-QEHLTYGDSA-N -1 1 311.349 1.832 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CCC1 ZINC000282236951 409017008 /nfs/dbraw/zinc/01/70/08/409017008.db2.gz IFFAOFSWDNNSSJ-UHFFFAOYSA-N -1 1 307.803 1.169 20 0 DDADMM CC(C)[C@@H](O)C(C)(C)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287311417 409017710 /nfs/dbraw/zinc/01/77/10/409017710.db2.gz VUMCNGXLMMMRJH-UAAGNOOKSA-N -1 1 319.409 1.506 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC(=O)N(C)[C@H](C)C1 ZINC000282411964 409049982 /nfs/dbraw/zinc/04/99/82/409049982.db2.gz PUBOSFDURUDOSG-SECBINFHSA-N -1 1 320.393 1.091 20 0 DDADMM O=C(Nc1ccccc1OCC1CC1)NN1CC(=O)[N-]C1=O ZINC000278129352 409070342 /nfs/dbraw/zinc/07/03/42/409070342.db2.gz MNSGUQQKMOIFDI-UHFFFAOYSA-N -1 1 304.306 1.064 20 0 DDADMM O=C1[C@H]([N-]c2nnc(C3CC3)o2)CCCN1Cc1ccccn1 ZINC000278136652 409071188 /nfs/dbraw/zinc/07/11/88/409071188.db2.gz AGPISUUBIGLZCY-CYBMUJFWSA-N -1 1 313.361 1.945 20 0 DDADMM CCOC(=O)c1cnn(C)c1[N-]S(=O)(=O)Cc1ccccc1 ZINC000278404587 409081664 /nfs/dbraw/zinc/08/16/64/409081664.db2.gz OXUPNOBKEIQKLL-UHFFFAOYSA-N -1 1 323.374 1.539 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2(C)CCC2)c(Br)n1C ZINC000287833121 409101007 /nfs/dbraw/zinc/10/10/07/409101007.db2.gz NUESBYSKYYTENP-UHFFFAOYSA-N -1 1 322.228 1.712 20 0 DDADMM C[C@H]1C[N@H+](C2CC2)C[C@H]1NC(=O)c1ccc2n[nH]c(=S)n2c1 ZINC000283636892 409188877 /nfs/dbraw/zinc/18/88/77/409188877.db2.gz CBQFTPXKMHOODF-JOYOIKCWSA-N -1 1 317.418 1.231 20 0 DDADMM O=c1cc(C2CC2)nc(SC[C@@H]2CCCS(=O)(=O)C2)[n-]1 ZINC000284250968 409304402 /nfs/dbraw/zinc/30/44/02/409304402.db2.gz OJQIFFQIQXGSBZ-VIFPVBQESA-N -1 1 314.432 1.977 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCCc2nccs2)c1Cl ZINC000290142438 409309081 /nfs/dbraw/zinc/30/90/81/409309081.db2.gz MVMIFRNMMDKUJH-UHFFFAOYSA-N -1 1 320.827 1.441 20 0 DDADMM Cc1nnc(CN2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)s1 ZINC000289433709 409271883 /nfs/dbraw/zinc/27/18/83/409271883.db2.gz JHSDCQWATIXXDB-VIFPVBQESA-N -1 1 322.356 1.737 20 0 DDADMM O=C(NC[C@H](CO)[C@H]1CCOC1)c1ccc2ccccc2c1[O-] ZINC000280583843 409368472 /nfs/dbraw/zinc/36/84/72/409368472.db2.gz XBWUTTXKRQQDHN-UONOGXRCSA-N -1 1 315.369 1.920 20 0 DDADMM C[C@H](NCc1nc(=O)n(C)[n-]1)c1ccc(Br)cn1 ZINC000361399292 164153105 /nfs/dbraw/zinc/15/31/05/164153105.db2.gz DBYYLSMWJQRFCB-ZETCQYMHSA-N -1 1 312.171 1.117 20 0 DDADMM Cn1cc(Cl)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000407996456 164192514 /nfs/dbraw/zinc/19/25/14/164192514.db2.gz LDJMSCWVRYVCRA-MRVPVSSYSA-N -1 1 310.741 1.787 20 0 DDADMM CCO[C@H]1C[C@@H](O)C12CCN(C(=O)c1ncc(C)cc1[O-])CC2 ZINC000408228894 164268452 /nfs/dbraw/zinc/26/84/52/164268452.db2.gz KNXSHMOPLJHNHD-KGLIPLIRSA-N -1 1 320.389 1.488 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)CC(=O)c1ccccc1 ZINC000290668242 409481809 /nfs/dbraw/zinc/48/18/09/409481809.db2.gz GENHFWPQDKAIHS-SECBINFHSA-N -1 1 323.374 1.505 20 0 DDADMM O=C([N-]S(=O)(=O)CC1CCC1)[C@@H](C1CC1)N1CCCC1 ZINC000408482085 164345970 /nfs/dbraw/zinc/34/59/70/164345970.db2.gz JUAPYZWRGICRAI-CYBMUJFWSA-N -1 1 300.424 1.107 20 0 DDADMM Cc1cn2nc(N3CCC(c4n[n-]c(=O)o4)CC3)sc2n1 ZINC000285588363 409499215 /nfs/dbraw/zinc/49/92/15/409499215.db2.gz LAPLUBREXPLFOF-UHFFFAOYSA-N -1 1 306.351 1.160 20 0 DDADMM Cc1nc(=NC(=O)c2nccnc2Sc2ccccc2)[n-][nH]1 ZINC000344879276 409540510 /nfs/dbraw/zinc/54/05/10/409540510.db2.gz JMOIPHIRHASJAP-UHFFFAOYSA-N -1 1 312.358 1.729 20 0 DDADMM C[C@@H]1CCC[C@H](C)[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337897358 409552616 /nfs/dbraw/zinc/55/26/16/409552616.db2.gz FEYJFNRWILYQMG-YABSGUDNSA-N -1 1 305.378 1.458 20 0 DDADMM CO[C@H](C)c1nsc(=NCCCCN2CCOCC2)[n-]1 ZINC000337919464 409570652 /nfs/dbraw/zinc/57/06/52/409570652.db2.gz XAIWZUBCYYVBCZ-LLVKDONJSA-N -1 1 300.428 1.192 20 0 DDADMM CCOC(=O)c1cnc(C(C)=Cc2c(C)cnn2C)[n-]c1=O ZINC000349105251 409696623 /nfs/dbraw/zinc/69/66/23/409696623.db2.gz GOQJRKQGSDDZQM-TWGQIWQCSA-N -1 1 302.334 1.961 20 0 DDADMM CC[C@H](C)[C@@H](O)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000305060055 409665026 /nfs/dbraw/zinc/66/50/26/409665026.db2.gz KRVCFFPJPYHDHO-ONGXEEELSA-N -1 1 309.410 1.925 20 0 DDADMM C[C@@]1(CO)CCC[C@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000305100003 409681209 /nfs/dbraw/zinc/68/12/09/409681209.db2.gz AWJADDHXNDNKEN-YPMHNXCESA-N -1 1 305.346 1.794 20 0 DDADMM C/C=C/C[C@H]1CCCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000629870724 422847765 /nfs/dbraw/zinc/84/77/65/422847765.db2.gz GPYJIQVGQOBQGX-FSIBCCDJSA-N -1 1 307.350 1.715 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cccn1Cc1cccs1 ZINC000357077753 409846120 /nfs/dbraw/zinc/84/61/20/409846120.db2.gz XGHSCZMVJHABHG-UHFFFAOYSA-N -1 1 314.374 1.530 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCc3cc(F)ccc32)co1 ZINC000338198546 409796221 /nfs/dbraw/zinc/79/62/21/409796221.db2.gz YPNPYZADQBUTEY-UHFFFAOYSA-N -1 1 324.333 1.530 20 0 DDADMM NC(=O)c1ccc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)nc1 ZINC000354371174 409802586 /nfs/dbraw/zinc/80/25/86/409802586.db2.gz GLSRBSBXPGNZOU-UHFFFAOYSA-N -1 1 323.312 1.529 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)Cc2ccncc2)c1 ZINC000338230536 409818370 /nfs/dbraw/zinc/81/83/70/409818370.db2.gz NUSCEBRHKLCNLF-JTQLQIEISA-N -1 1 324.358 1.371 20 0 DDADMM O=C(CCc1ccncc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332133560 409912622 /nfs/dbraw/zinc/91/26/22/409912622.db2.gz FJMJABDNICGDPB-LBPRGKRZSA-N -1 1 302.334 1.509 20 0 DDADMM O=C(Cc1cccc(Br)c1)NC1(c2nn[n-]n2)CC1 ZINC000357100706 409875394 /nfs/dbraw/zinc/87/53/94/409875394.db2.gz XVZVSJDEIWOMIF-UHFFFAOYSA-N -1 1 322.166 1.310 20 0 DDADMM CCCOc1ccc(C(=O)CCC(=O)Nc2nnn[n-]2)cc1 ZINC000342860718 409954701 /nfs/dbraw/zinc/95/47/01/409954701.db2.gz TVSWHQZDRRWZFI-UHFFFAOYSA-N -1 1 303.322 1.590 20 0 DDADMM CCCOc1ccc(C(=O)CCC(=O)Nc2nn[n-]n2)cc1 ZINC000342860718 409954706 /nfs/dbraw/zinc/95/47/06/409954706.db2.gz TVSWHQZDRRWZFI-UHFFFAOYSA-N -1 1 303.322 1.590 20 0 DDADMM COCc1ncc(-c2noc(-c3cc(F)ccc3[O-])n2)c(N)n1 ZINC000350565645 409964041 /nfs/dbraw/zinc/96/40/41/409964041.db2.gz LIYCAZARHRHUIW-UHFFFAOYSA-N -1 1 317.280 1.597 20 0 DDADMM CCCCOc1ccc(C(=O)Nc2nn[nH]c2C(=O)NC)cc1 ZINC000297718179 409972336 /nfs/dbraw/zinc/97/23/36/409972336.db2.gz LGMMZHPKBLSARR-UHFFFAOYSA-N -1 1 317.349 1.596 20 0 DDADMM Cc1nnc2ccc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)cn12 ZINC000338374495 409945337 /nfs/dbraw/zinc/94/53/37/409945337.db2.gz XXGTWDHPKDGUID-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM CCc1ccc(CCC(=O)Nc2nn[nH]c2C(=O)NC)cc1 ZINC000297835916 410016747 /nfs/dbraw/zinc/01/67/47/410016747.db2.gz WDMDDRMYXGQJPP-UHFFFAOYSA-N -1 1 301.350 1.298 20 0 DDADMM C[C@H]1CC(CCN=c2[n-]cc(S(N)(=O)=O)s2)C[C@H](C)O1 ZINC000338483630 410021357 /nfs/dbraw/zinc/02/13/57/410021357.db2.gz MLDSLKXHRJAYLL-IUCAKERBSA-N -1 1 319.452 1.218 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(Cc2ccc(F)cc2)CC1)c1nn[n-]n1 ZINC000579911191 422851965 /nfs/dbraw/zinc/85/19/65/422851965.db2.gz SBPSJORYWLKSMT-NSHDSACASA-N -1 1 317.368 1.924 20 0 DDADMM COc1n[n-]c(=NC(=O)NCc2nc3ccc(C)cc3[nH]2)s1 ZINC000338456083 410003773 /nfs/dbraw/zinc/00/37/73/410003773.db2.gz MQZLFRDMJODTNI-UHFFFAOYSA-N -1 1 318.362 1.475 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H](CO)C[C@H](O)C(C)(C)C)sc1C ZINC000343050330 410104439 /nfs/dbraw/zinc/10/44/39/410104439.db2.gz JUJURXVKRDZQIF-ZJUUUORDSA-N -1 1 316.423 1.230 20 0 DDADMM O=C(N[C@H]1CCO[C@@H]1c1ccc(=O)[nH]c1)c1ncccc1[O-] ZINC000357642866 410157131 /nfs/dbraw/zinc/15/71/31/410157131.db2.gz KSTBQVMFEFMFJJ-IINYFYTJSA-N -1 1 301.302 1.148 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cnn(-c2cccnc2)c1 ZINC000346843650 410190938 /nfs/dbraw/zinc/19/09/38/410190938.db2.gz JBSGUOLFLDAZBD-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM Cc1cc([N-]S(=O)(=O)N2CCOCC2)nn1-c1ccccc1 ZINC000332670508 410199550 /nfs/dbraw/zinc/19/95/50/410199550.db2.gz MVUBRPNQOZMDHA-UHFFFAOYSA-N -1 1 322.390 1.170 20 0 DDADMM COc1ccc(NC(=O)NCC(C)C)cc1[N-]S(C)(=O)=O ZINC000298625771 410317689 /nfs/dbraw/zinc/31/76/89/410317689.db2.gz YDCAXLJZZDBTSX-UHFFFAOYSA-N -1 1 315.395 1.844 20 0 DDADMM O=C(Nc1cn(Cc2ccccc2)nn1)c1ccc(O)cc1[O-] ZINC000194528324 306728982 /nfs/dbraw/zinc/72/89/82/306728982.db2.gz ZYOAIOYUSQGOLA-UHFFFAOYSA-N -1 1 310.313 1.990 20 0 DDADMM CCn1cnc(S(=O)(=O)NC[C@@H]2CCCC[N@@H+]2C2CC2)c1 ZINC000333185902 410410803 /nfs/dbraw/zinc/41/08/03/410410803.db2.gz UFHKEEDUICWSAP-ZDUSSCGKSA-N -1 1 312.439 1.198 20 0 DDADMM Cc1cnc(C(=O)N(CC(N)=O)[C@H](C)c2ccccc2)c([O-])c1 ZINC000358560329 410483696 /nfs/dbraw/zinc/48/36/96/410483696.db2.gz SBJGJRXBPQLNNM-GFCCVEGCSA-N -1 1 313.357 1.784 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H]1c1ccco1 ZINC000343405159 410426701 /nfs/dbraw/zinc/42/67/01/410426701.db2.gz ZUDQBLVQZSDXHH-LLVKDONJSA-N -1 1 315.329 1.474 20 0 DDADMM CC[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(OC)OC ZINC000298857730 410427312 /nfs/dbraw/zinc/42/73/12/410427312.db2.gz FUPDZQYDPKFMBO-VIFPVBQESA-N -1 1 310.379 1.145 20 0 DDADMM CC(C)c1nnc([C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC000329782542 410443544 /nfs/dbraw/zinc/44/35/44/410443544.db2.gz NYXBMHRBLLMYMY-SNVBAGLBSA-N -1 1 305.386 1.077 20 0 DDADMM CC1(C)CCCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343576030 410546756 /nfs/dbraw/zinc/54/67/56/410546756.db2.gz YCUNYTVVPDTWOJ-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM COC(=O)c1[n-]c(=NC[C@@H]2COc3ccccc3O2)sc1C ZINC000359449505 410667066 /nfs/dbraw/zinc/66/70/66/410667066.db2.gz PWWXKCYKWPSIOS-SNVBAGLBSA-N -1 1 320.370 1.912 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2coc3cc(F)ccc23)n1 ZINC000359370222 410627014 /nfs/dbraw/zinc/62/70/14/410627014.db2.gz MRBWZPDGZPHQDG-UHFFFAOYSA-N -1 1 324.293 1.346 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2coc3cc(F)ccc23)[n-]1 ZINC000359370222 410627019 /nfs/dbraw/zinc/62/70/19/410627019.db2.gz MRBWZPDGZPHQDG-UHFFFAOYSA-N -1 1 324.293 1.346 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2CCCOC2)c1Br ZINC000359479898 410682741 /nfs/dbraw/zinc/68/27/41/410682741.db2.gz HIFQNLCSQCUXTG-SSDOTTSWSA-N -1 1 302.172 1.522 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)Oc1ccc(F)cc1)c1nn[n-]n1 ZINC000343771270 410684382 /nfs/dbraw/zinc/68/43/82/410684382.db2.gz XSLZTXRORNGGFT-SKDRFNHKSA-N -1 1 307.329 1.764 20 0 DDADMM O=C(CCCc1ccccc1Cl)NC1(c2nn[n-]n2)CC1 ZINC000356030252 410785088 /nfs/dbraw/zinc/78/50/88/410785088.db2.gz ADIGLZCMFWXQSN-UHFFFAOYSA-N -1 1 305.769 1.981 20 0 DDADMM Cc1nc(C(C)(C)[N-]S(=O)(=O)c2cncc(F)c2)no1 ZINC000330622126 410834375 /nfs/dbraw/zinc/83/43/75/410834375.db2.gz NKESJXBXWMZBJW-UHFFFAOYSA-N -1 1 300.315 1.126 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)C[C@H]2CCCO2)o1 ZINC000341147717 410897733 /nfs/dbraw/zinc/89/77/33/410897733.db2.gz SPDPKFXDLHCQDN-VHSXEESVSA-N -1 1 317.363 1.302 20 0 DDADMM CC(C)c1ccc(OCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348291737 410901485 /nfs/dbraw/zinc/90/14/85/410901485.db2.gz BWESUEGAOVUSCO-UHFFFAOYSA-N -1 1 301.350 1.507 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2[C@H](C)C2(F)F)c1Br ZINC000359884799 410934425 /nfs/dbraw/zinc/93/44/25/410934425.db2.gz SJRPHGVUAVJVLM-NJGYIYPDSA-N -1 1 308.126 1.996 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@@H]1COc3ccccc31)c2=O ZINC000356537803 411079153 /nfs/dbraw/zinc/07/91/53/411079153.db2.gz HLVSEXDQHZOSEV-SNVBAGLBSA-N -1 1 324.340 1.580 20 0 DDADMM O=C(Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-])c1ccon1 ZINC000356410457 411017695 /nfs/dbraw/zinc/01/76/95/411017695.db2.gz IRLMLTTYRQLFFQ-UHFFFAOYSA-N -1 1 323.330 1.073 20 0 DDADMM CCNC(=O)c1cccc(Oc2nc3c(cnn3C)c(=O)[n-]2)c1 ZINC000356609285 411105651 /nfs/dbraw/zinc/10/56/51/411105651.db2.gz NUXZXRACKQAHRW-UHFFFAOYSA-N -1 1 313.317 1.611 20 0 DDADMM CC(C)(NC(=O)[C@@H]1CCOc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000353703202 411113875 /nfs/dbraw/zinc/11/38/75/411113875.db2.gz AUYZMHRNSLXMMW-SECBINFHSA-N -1 1 321.768 1.771 20 0 DDADMM C[C@@H]1CCc2c(F)cccc2[C@H]1NC(=O)CCc1nn[n-]n1 ZINC000631656696 422870193 /nfs/dbraw/zinc/87/01/93/422870193.db2.gz KIQZLWHCEURZFY-PSLIRLAXSA-N -1 1 303.341 1.711 20 0 DDADMM O=C(N[C@H]1CCN(C2CCC2)C1=O)c1c(F)ccc([O-])c1F ZINC000629921045 422877329 /nfs/dbraw/zinc/87/73/29/422877329.db2.gz JNZPKNAQMLPBQD-JTQLQIEISA-N -1 1 310.300 1.554 20 0 DDADMM CN1CCC(NS(=O)(=O)c2cccc(Cl)c2[O-])CC1 ZINC000631677019 422875925 /nfs/dbraw/zinc/87/59/25/422875925.db2.gz MPJBTZCILCOUPR-UHFFFAOYSA-N -1 1 304.799 1.418 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000580157474 422894982 /nfs/dbraw/zinc/89/49/82/422894982.db2.gz ARGSDHMJHABAGY-IACUBPJLSA-N -1 1 321.446 1.905 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCc2c(C)nn(C)c2C)sn1 ZINC000631728761 422896831 /nfs/dbraw/zinc/89/68/31/422896831.db2.gz XMQVLEAXSIGSBL-UHFFFAOYSA-N -1 1 314.436 1.323 20 0 DDADMM C[C@@H](Cn1cccn1)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631736521 422898032 /nfs/dbraw/zinc/89/80/32/422898032.db2.gz MZFCHMIUMPQEDK-QMMMGPOBSA-N -1 1 305.306 1.781 20 0 DDADMM CCOC(=O)C(C)(C)CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000580733270 422951012 /nfs/dbraw/zinc/95/10/12/422951012.db2.gz SWZNFXIPTJPWMQ-UHFFFAOYSA-N -1 1 308.260 1.741 20 0 DDADMM O=C1Nc2ccc(S(=O)(=O)[N-]c3cnc[nH]3)c3cccc1c23 ZINC000580682763 422947986 /nfs/dbraw/zinc/94/79/86/422947986.db2.gz DRKYYPWWZITAMK-UHFFFAOYSA-N -1 1 314.326 1.929 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cnc2ccccc2c1)c1nn[n-]n1 ZINC000131615440 196184193 /nfs/dbraw/zinc/18/41/93/196184193.db2.gz AQHYHMFRSLRFLC-CQSZACIVSA-N -1 1 310.361 1.948 20 0 DDADMM C[C@@H](CO)[C@@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000133973520 196350500 /nfs/dbraw/zinc/35/05/00/196350500.db2.gz CKDVRIHXEBIPSE-JGVFFNPUSA-N -1 1 302.168 1.901 20 0 DDADMM CCOC(=O)C1(C[N-]S(=O)(=O)C(Cl)(Cl)Cl)CC1 ZINC001192044486 745681540 /nfs/dbraw/zinc/68/15/40/745681540.db2.gz UQOOMBHKSDPWCV-UHFFFAOYSA-N -1 1 324.613 1.577 20 0 DDADMM C[C@@H]1CN(CCc2nc3ccccc3n2C)C[C@H](C(=O)[O-])O1 ZINC000652508851 423049042 /nfs/dbraw/zinc/04/90/42/423049042.db2.gz LHTXRCMHZYLMSN-BXUZGUMPSA-N -1 1 303.362 1.290 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCCO1)c1ncccc1C(F)(F)F ZINC000645491865 423054076 /nfs/dbraw/zinc/05/40/76/423054076.db2.gz FAOUUZKFCQEERD-VIFPVBQESA-N -1 1 324.324 1.948 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](C)CC(C)(C)O)c(F)c1 ZINC000650129992 423056662 /nfs/dbraw/zinc/05/66/62/423056662.db2.gz GAUMSRJQJCUWCF-QMMMGPOBSA-N -1 1 323.361 1.801 20 0 DDADMM COc1cc(CC(=O)Nc2c(C)[n-][nH]c2=O)cc(OC)c1O ZINC000647816656 423061155 /nfs/dbraw/zinc/06/11/55/423061155.db2.gz OYKAHOXOKVLJQD-UHFFFAOYSA-N -1 1 307.306 1.328 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@@H]1O)c1ncccc1C(F)(F)F ZINC000645568782 423089699 /nfs/dbraw/zinc/08/96/99/423089699.db2.gz ZCUDMRVSQAGNFB-WPRPVWTQSA-N -1 1 324.324 1.540 20 0 DDADMM Cc1cnc2nc(C(=O)[N-]c3ncn(C(C)(C)C)n3)nn2c1 ZINC000613451074 416678733 /nfs/dbraw/zinc/67/87/33/416678733.db2.gz KCXDRDYOMTXCKO-UHFFFAOYSA-N -1 1 300.326 1.032 20 0 DDADMM CCCn1nccc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640656036 423114996 /nfs/dbraw/zinc/11/49/96/423114996.db2.gz VCINUBGPUHYWQI-UHFFFAOYSA-N -1 1 307.379 1.441 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCO1 ZINC000645637507 423119338 /nfs/dbraw/zinc/11/93/38/423119338.db2.gz SSVHDHNMLVHLRF-DTWKUNHWSA-N -1 1 324.324 1.946 20 0 DDADMM CSc1nc(CNC(=O)[C@H](C)OCCC(C)C)cc(=O)[n-]1 ZINC000640659551 423120444 /nfs/dbraw/zinc/12/04/44/423120444.db2.gz YUMDQVLCSVQMOW-JTQLQIEISA-N -1 1 313.423 1.972 20 0 DDADMM NC(=O)[C@@]1(NC(=O)c2cc3ccccc3cc2[O-])CCCOC1 ZINC000366886558 418531252 /nfs/dbraw/zinc/53/12/52/418531252.db2.gz WSNLZGXUJKTQTQ-QGZVFWFLSA-N -1 1 314.341 1.310 20 0 DDADMM CCN(CC)C(=O)[C@@H](C)S(=O)(=O)c1nnc(C(C)(C)C)[n-]1 ZINC000195171887 222193134 /nfs/dbraw/zinc/19/31/34/222193134.db2.gz GEVQFAXFGXCWME-SECBINFHSA-N -1 1 316.427 1.133 20 0 DDADMM CCN(CC)C(=O)[C@@H](C)S(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000195171887 222193138 /nfs/dbraw/zinc/19/31/38/222193138.db2.gz GEVQFAXFGXCWME-SECBINFHSA-N -1 1 316.427 1.133 20 0 DDADMM CCN(CC)C(=O)[C@@H](C)S(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195171887 222193141 /nfs/dbraw/zinc/19/31/41/222193141.db2.gz GEVQFAXFGXCWME-SECBINFHSA-N -1 1 316.427 1.133 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000316029540 418598876 /nfs/dbraw/zinc/59/88/76/418598876.db2.gz MYWWMMZEGSYWKJ-SLEUVZQESA-N -1 1 304.390 1.974 20 0 DDADMM O=C(N[C@H]1CN(c2ccc(F)cc2)C1=O)c1ccc([O-])cc1F ZINC000375093218 418603230 /nfs/dbraw/zinc/60/32/30/418603230.db2.gz AZBILLDUILJUHA-AWEZNQCLSA-N -1 1 318.279 1.816 20 0 DDADMM Cc1n[n-]c(=NCCN2CCN(C)C[C@H]2c2ccccc2)o1 ZINC000367718271 418626017 /nfs/dbraw/zinc/62/60/17/418626017.db2.gz XRBBIMLQTYTXBV-HNNXBMFYSA-N -1 1 301.394 1.201 20 0 DDADMM Cc1cnc(C(=O)N[C@H](CO)Cc2ccc(F)cc2)c([O-])c1 ZINC000361486187 418662091 /nfs/dbraw/zinc/66/20/91/418662091.db2.gz QRIYJEYAQROKGG-ZDUSSCGKSA-N -1 1 304.321 1.568 20 0 DDADMM O=C1CC[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCN1 ZINC000396738113 418761393 /nfs/dbraw/zinc/76/13/93/418761393.db2.gz ZCHREFSAPLOJRO-ZETCQYMHSA-N -1 1 322.308 1.051 20 0 DDADMM O=C([O-])[C@@H](CNS(=O)(=O)c1c(F)cccc1F)CC1CC1 ZINC000405682308 418776263 /nfs/dbraw/zinc/77/62/63/418776263.db2.gz MLEWRCBPRAVULP-SECBINFHSA-N -1 1 319.329 1.744 20 0 DDADMM O=C([C@@H]1C[C@@H]1c1ccc(F)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000372732001 418897318 /nfs/dbraw/zinc/89/73/18/418897318.db2.gz ZHLKAFGHQMCXEO-MRVWCRGKSA-N -1 1 315.352 1.849 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1CC2CCC1CC2 ZINC000410663204 418836543 /nfs/dbraw/zinc/83/65/43/418836543.db2.gz NFIIRYVMGFFHAO-MCIGGMRASA-N -1 1 305.378 1.784 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCOC[C@@H](C)C3)cnc2n1 ZINC000372218646 418846222 /nfs/dbraw/zinc/84/62/22/418846222.db2.gz QCSYPTPOYFUNJY-JTQLQIEISA-N -1 1 301.346 1.752 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CCOC[C@@H](C)C1)c2=O ZINC000372218646 418846223 /nfs/dbraw/zinc/84/62/23/418846223.db2.gz QCSYPTPOYFUNJY-JTQLQIEISA-N -1 1 301.346 1.752 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCC2CC(O)C2)c(F)c1 ZINC000425187473 228385175 /nfs/dbraw/zinc/38/51/75/228385175.db2.gz JSNCSOGCJQJPQW-UHFFFAOYSA-N -1 1 305.346 1.713 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2ccc3[nH]nnc3c2)o1 ZINC000426303186 419432307 /nfs/dbraw/zinc/43/23/07/419432307.db2.gz WSJJSKIVHYHEOE-UHFFFAOYSA-N -1 1 322.302 1.138 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2ccc3nn[nH]c3c2)o1 ZINC000426303186 419432313 /nfs/dbraw/zinc/43/23/13/419432313.db2.gz WSJJSKIVHYHEOE-UHFFFAOYSA-N -1 1 322.302 1.138 20 0 DDADMM COCc1csc(NC(=O)c2csc(=NC3CC3)[n-]2)n1 ZINC000426365144 419447504 /nfs/dbraw/zinc/44/75/04/419447504.db2.gz KSLOOJANWOSMFO-UHFFFAOYSA-N -1 1 310.404 1.995 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1n[nH]c(SC(C)C)n1)OC ZINC000421229002 419527843 /nfs/dbraw/zinc/52/78/43/419527843.db2.gz RKMQHOMLUJZSSQ-MRVPVSSYSA-N -1 1 308.429 1.472 20 0 DDADMM C[C@@H](NC(=O)c1ccc(CN2CCSCC2)cc1)C(=O)[O-] ZINC000426711349 419536183 /nfs/dbraw/zinc/53/61/83/419536183.db2.gz ZSKXCMWPUFGCKE-LLVKDONJSA-N -1 1 308.403 1.438 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cc(OC)cc(OC)c1)OC ZINC000421138123 419499947 /nfs/dbraw/zinc/49/99/47/419499947.db2.gz HIGKFIFXRAOYHV-NSHDSACASA-N -1 1 303.380 1.871 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc([S@@](C)=O)cc1)OC ZINC000421151628 419504057 /nfs/dbraw/zinc/50/40/57/419504057.db2.gz KFYJZDYTRCSYFY-ADLMAVQZSA-N -1 1 305.421 1.591 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccccc1-n1ccnn1)OC ZINC000421159872 419506276 /nfs/dbraw/zinc/50/62/76/419506276.db2.gz XIFFRTZCGPMOAI-NSHDSACASA-N -1 1 310.379 1.434 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cc(C(=O)OC)ccc1F)OC ZINC000421160837 419509712 /nfs/dbraw/zinc/50/97/12/419509712.db2.gz YXKCINGYJOQEQS-JTQLQIEISA-N -1 1 319.354 1.779 20 0 DDADMM O=C(Nc1nc2cccnc2[nH]1)c1csc(=NC2CC2)[n-]1 ZINC000426591245 419514748 /nfs/dbraw/zinc/51/47/48/419514748.db2.gz OKTNZORRXQGDJR-UHFFFAOYSA-N -1 1 300.347 1.663 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1c[nH]cc1-c1ccccc1F ZINC000427495589 419672283 /nfs/dbraw/zinc/67/22/83/419672283.db2.gz FEXFKXZKGHWWMS-UHFFFAOYSA-N -1 1 312.308 1.753 20 0 DDADMM COc1ccc([C@@H](CO)N(C)C(=O)c2ncc(C)cc2[O-])cc1 ZINC000428026075 419790736 /nfs/dbraw/zinc/79/07/36/419790736.db2.gz XNLDIEPJYZDKHL-CQSZACIVSA-N -1 1 316.357 1.910 20 0 DDADMM O=C(Cc1cncnc1)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000431853999 229063416 /nfs/dbraw/zinc/06/34/16/229063416.db2.gz MCYVFPIARZIXMQ-UHFFFAOYSA-N -1 1 315.283 1.748 20 0 DDADMM CCc1cc(=O)[n-]c(SCCCN2C(=O)NC(C)(C)C2=O)n1 ZINC000428701945 419915983 /nfs/dbraw/zinc/91/59/83/419915983.db2.gz TUWHNVCYVKKQNB-UHFFFAOYSA-N -1 1 324.406 1.557 20 0 DDADMM C[C@H](CN(C)C(=O)c1noc2c1C[C@H](C)CC2)c1nn[n-]n1 ZINC000434248768 229273384 /nfs/dbraw/zinc/27/33/84/229273384.db2.gz KNWROLRGDBQOKV-RKDXNWHRSA-N -1 1 304.354 1.188 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCCC[C@@H]1C(C)C ZINC000416150447 420259051 /nfs/dbraw/zinc/25/90/51/420259051.db2.gz KDNSFCOOTFNWRV-NXEZZACHSA-N -1 1 309.366 1.841 20 0 DDADMM CN1CCC[C@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1=O ZINC000436751547 420363054 /nfs/dbraw/zinc/36/30/54/420363054.db2.gz MGECFHLBNFVUED-JTQLQIEISA-N -1 1 316.279 1.762 20 0 DDADMM COC[C@@H](C)n1ccc(=NC(=O)C(=O)c2ccc([O-])cc2)[nH]1 ZINC000436524068 420337575 /nfs/dbraw/zinc/33/75/75/420337575.db2.gz FLRWNRYLURTILN-SNVBAGLBSA-N -1 1 303.318 1.039 20 0 DDADMM COC[C@@](C)(CC(=O)OC)NC(=O)c1ccc(Cl)cc1[O-] ZINC000436575865 420341122 /nfs/dbraw/zinc/34/11/22/420341122.db2.gz NZZZEEVKZGWXAI-CQSZACIVSA-N -1 1 315.753 1.744 20 0 DDADMM Cc1n[nH]c(C)c1CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425295765 420341152 /nfs/dbraw/zinc/34/11/52/420341152.db2.gz GCZRISUPNFYNCV-JTQLQIEISA-N -1 1 305.338 1.064 20 0 DDADMM CCc1c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)ccn1C ZINC000425294620 420341416 /nfs/dbraw/zinc/34/14/16/420341416.db2.gz DROGURXPNQHRSS-SNVBAGLBSA-N -1 1 304.350 1.696 20 0 DDADMM Cn1c(=O)oc2c1cccc2NC(=O)c1ccc(O)cc1[O-] ZINC000436587619 420341886 /nfs/dbraw/zinc/34/18/86/420341886.db2.gz YVFDGOQUQJRMLY-UHFFFAOYSA-N -1 1 300.270 1.795 20 0 DDADMM COC(=O)[C@@H](CC(F)F)NC(=O)c1ccc(Cl)cc1[O-] ZINC000436599600 420343349 /nfs/dbraw/zinc/34/33/49/420343349.db2.gz DWDQXNVPVXOOFN-MRVPVSSYSA-N -1 1 307.680 1.972 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425301534 420343706 /nfs/dbraw/zinc/34/37/06/420343706.db2.gz MJCVHHDXWJQFTG-JQWIXIFHSA-N -1 1 319.365 1.094 20 0 DDADMM NC(=O)C[C@H](NC(=O)c1cc(F)ccc1[O-])c1ccccc1 ZINC000436676954 420353651 /nfs/dbraw/zinc/35/36/51/420353651.db2.gz AZRGSWSJEZAOSU-ZDUSSCGKSA-N -1 1 302.305 1.878 20 0 DDADMM O=C(NCCCCNc1ccccn1)C(=O)c1ccc([O-])cc1 ZINC000436688020 420356458 /nfs/dbraw/zinc/35/64/58/420356458.db2.gz MXCQIBXTXVAOQX-UHFFFAOYSA-N -1 1 313.357 1.978 20 0 DDADMM CON1CCC([N-]S(=O)(=O)c2sccc2Cl)CC1 ZINC000420755858 420380738 /nfs/dbraw/zinc/38/07/38/420380738.db2.gz AFCVEKXFQMLBST-UHFFFAOYSA-N -1 1 310.828 1.706 20 0 DDADMM C[C@@]1(CNC(=O)C(=O)c2ccc([O-])cc2)CCO[C@H]1C1CC1 ZINC000436903765 420382421 /nfs/dbraw/zinc/38/24/21/420382421.db2.gz ODHDMZIDBJYFTK-RDJZCZTQSA-N -1 1 303.358 1.896 20 0 DDADMM CC(=O)NCc1ccc(NC(=O)c2ccc(O)cc2[O-])cc1 ZINC000437005885 420391827 /nfs/dbraw/zinc/39/18/27/420391827.db2.gz WVEOCLHOLFXQLZ-UHFFFAOYSA-N -1 1 300.314 1.986 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-][C@H](CO)c1c(F)cccc1F ZINC000443261628 230001377 /nfs/dbraw/zinc/00/13/77/230001377.db2.gz KXEHAZDBNPICDN-BXKDBHETSA-N -1 1 321.345 1.097 20 0 DDADMM C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)c1nnc2ccccn21 ZINC000436768959 420365586 /nfs/dbraw/zinc/36/55/86/420365586.db2.gz IXUSKYGYMWTVFZ-JTQLQIEISA-N -1 1 310.313 1.495 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@@H]1CCCC[C@H]1F ZINC000416589971 420427006 /nfs/dbraw/zinc/42/70/06/420427006.db2.gz KGWIZVORFJWOJZ-HTQZYQBOSA-N -1 1 322.383 1.489 20 0 DDADMM CCc1cnc(CCN=c2ccc(C(=O)N(C)C)n[n-]2)s1 ZINC000439846387 420540905 /nfs/dbraw/zinc/54/09/05/420540905.db2.gz PWBDGQDHUUILNK-UHFFFAOYSA-N -1 1 305.407 1.274 20 0 DDADMM CCC(=O)N1CSC[C@H]1C(=O)Nc1ccc([O-])c(F)c1F ZINC000456792247 420545532 /nfs/dbraw/zinc/54/55/32/420545532.db2.gz HYFDFSZZJFQFOB-QMMMGPOBSA-N -1 1 316.329 1.920 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCC1(F)F)C(C)C ZINC000450968258 420573244 /nfs/dbraw/zinc/57/32/44/420573244.db2.gz JMZMYVYFRALVBX-UWVGGRQHSA-N -1 1 313.366 1.539 20 0 DDADMM N=c1nc(N2CCN(CCOc3ccccc3F)CC2)s[n-]1 ZINC000440568149 420587672 /nfs/dbraw/zinc/58/76/72/420587672.db2.gz YAVOUXWSXPNLMU-UHFFFAOYSA-N -1 1 323.397 1.291 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC000492881324 420696849 /nfs/dbraw/zinc/69/68/49/420696849.db2.gz BGPFXZIDMRDNLC-RKDXNWHRSA-N -1 1 312.374 1.070 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CCC[C@@H](O)C2)sc1C ZINC000443232988 420750885 /nfs/dbraw/zinc/75/08/85/420750885.db2.gz GLRPBVSKRCLRGV-WDEREUQCSA-N -1 1 304.437 1.589 20 0 DDADMM O=C(/C=C/COCC(F)(F)F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000493145493 420768784 /nfs/dbraw/zinc/76/87/84/420768784.db2.gz DIYCTOSFTPERHJ-HDMKULJXSA-N -1 1 319.287 1.041 20 0 DDADMM COC[C@H]1CCCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454350564 420843717 /nfs/dbraw/zinc/84/37/17/420843717.db2.gz NIWXKMMTHUHEJS-LLVKDONJSA-N -1 1 301.346 1.564 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2nc(CC)c(C)s2)[n-]n1 ZINC000447643073 420788998 /nfs/dbraw/zinc/78/89/98/420788998.db2.gz KIDHBZLYWUOIDM-UHFFFAOYSA-N -1 1 319.434 1.630 20 0 DDADMM CCO/C=C\C(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000493856526 420959344 /nfs/dbraw/zinc/95/93/44/420959344.db2.gz ZWTNXQAAWXWSMC-BXKUYDPTSA-N -1 1 308.300 1.454 20 0 DDADMM Cc1nn(C)c(C)c1[C@H](C)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000479585096 420981661 /nfs/dbraw/zinc/98/16/61/420981661.db2.gz PBYSRZIYLINKEZ-YFKPBYRVSA-N -1 1 316.287 1.916 20 0 DDADMM O=C(C=Cc1ccc(F)c(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000493803237 420944975 /nfs/dbraw/zinc/94/49/75/420944975.db2.gz NTIJUMGMFVATJG-QZPNVGJNSA-N -1 1 319.315 1.897 20 0 DDADMM CO[C@H](Cc1ccccc1)C(=O)Nc1nc(SCCO)n[nH]1 ZINC000450124026 421145014 /nfs/dbraw/zinc/14/50/14/421145014.db2.gz CTCUHJPOFHCXAE-LLVKDONJSA-N -1 1 322.390 1.085 20 0 DDADMM Cc1cccc(N2CCN(CCCN=c3[n-]ncs3)CC2)c1 ZINC000450238518 421169439 /nfs/dbraw/zinc/16/94/39/421169439.db2.gz AUKOLLAZJPRZIM-UHFFFAOYSA-N -1 1 317.462 1.893 20 0 DDADMM C[C@H](Oc1ccc2ccccc2c1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000525937354 421313939 /nfs/dbraw/zinc/31/39/39/421313939.db2.gz NAYOQPFVAONASM-NSHDSACASA-N -1 1 323.356 1.926 20 0 DDADMM CCc1[nH]nc([N-]S(C)(=O)=O)c1-c1ccc2c(c1)OCO2 ZINC000547819200 421371556 /nfs/dbraw/zinc/37/15/56/421371556.db2.gz WRJPGQXHFXDMEX-UHFFFAOYSA-N -1 1 309.347 1.739 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000548125749 421404458 /nfs/dbraw/zinc/40/44/58/421404458.db2.gz QXQNOGSVVQFXTK-SECBINFHSA-N -1 1 317.397 1.619 20 0 DDADMM O=S(=O)([N-]c1nc(C2CCC2)n[nH]1)c1cccc2c1OCC2 ZINC000562938461 421428209 /nfs/dbraw/zinc/42/82/09/421428209.db2.gz SJHBAQDTZIRMLJ-UHFFFAOYSA-N -1 1 320.374 1.808 20 0 DDADMM Cc1c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cnn1CC(C)C ZINC000548466201 421442187 /nfs/dbraw/zinc/44/21/87/421442187.db2.gz JAZDNDOSABYMPB-SNVBAGLBSA-N -1 1 305.386 1.236 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(-c2ccoc2)n[nH]1)c1nn[n-]n1 ZINC000548465344 421442480 /nfs/dbraw/zinc/44/24/80/421442480.db2.gz OJRSUOQQKIPENX-QMMMGPOBSA-N -1 1 301.310 1.059 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1CCc2ccccc2C1 ZINC000563334286 421486918 /nfs/dbraw/zinc/48/69/18/421486918.db2.gz OXIAOGBAGHCWFO-ZDUSSCGKSA-N -1 1 312.373 1.243 20 0 DDADMM CCC(NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)(C1CC1)C1CC1 ZINC000529800349 421559501 /nfs/dbraw/zinc/55/95/01/421559501.db2.gz OEDHKKCEVFWYOQ-UHFFFAOYSA-N -1 1 307.350 1.595 20 0 DDADMM C[C@@H](NCc1nc(Cc2cccc(F)c2)no1)[C@H](C)C(=O)[O-] ZINC000516657035 421560570 /nfs/dbraw/zinc/56/05/70/421560570.db2.gz SOYNNIDTUVHGQK-VHSXEESVSA-N -1 1 307.325 1.998 20 0 DDADMM COCc1ccc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)cc1 ZINC000564045705 421564653 /nfs/dbraw/zinc/56/46/53/421564653.db2.gz CCVYQPUWCDQESR-UHFFFAOYSA-N -1 1 307.375 1.802 20 0 DDADMM CCOC[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CCO1 ZINC000529892927 421564763 /nfs/dbraw/zinc/56/47/63/421564763.db2.gz OXNYDDVUHRQZBC-CYBMUJFWSA-N -1 1 316.357 1.818 20 0 DDADMM CC(C)c1ccccc1OCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000530619889 421608703 /nfs/dbraw/zinc/60/87/03/421608703.db2.gz LYBVIRTYLMKOQH-UHFFFAOYSA-N -1 1 303.366 1.753 20 0 DDADMM Cc1nn(C(C)C)cc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000517756244 421628488 /nfs/dbraw/zinc/62/84/88/421628488.db2.gz FOPILHFFSBBZOQ-UHFFFAOYSA-N -1 1 303.370 1.485 20 0 DDADMM O=S(=O)([N-][C@@H]1C=C[C@H](CO)C1)c1cc2cc(F)ccc2o1 ZINC000518087530 421638270 /nfs/dbraw/zinc/63/82/70/421638270.db2.gz FBDKDSFOYUOULH-JOYOIKCWSA-N -1 1 311.334 1.787 20 0 DDADMM NC(=O)CC[C@@H]1CCCN(C(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000532645202 421664575 /nfs/dbraw/zinc/66/45/75/421664575.db2.gz MMMNFKQVRJXWNW-JTQLQIEISA-N -1 1 322.434 1.257 20 0 DDADMM Cc1nc(CCNc2ncnc3sc(C(=O)[O-])c(C)c32)n[nH]1 ZINC000571213377 421697334 /nfs/dbraw/zinc/69/73/34/421697334.db2.gz FAJXOTXFMANGAK-UHFFFAOYSA-N -1 1 318.362 1.779 20 0 DDADMM Cc1nnc(CCNc2ncnc3sc(C(=O)[O-])c(C)c32)[nH]1 ZINC000571213377 421697336 /nfs/dbraw/zinc/69/73/36/421697336.db2.gz FAJXOTXFMANGAK-UHFFFAOYSA-N -1 1 318.362 1.779 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@H]1CCCO1 ZINC000535898280 421715417 /nfs/dbraw/zinc/71/54/17/421715417.db2.gz IFSTWVDXDVPXTG-WDEREUQCSA-N -1 1 304.437 1.996 20 0 DDADMM CCO[C@H](CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)C1=CCCC1 ZINC000537123874 421728436 /nfs/dbraw/zinc/72/84/36/421728436.db2.gz MIUKXGVYQRARAX-ZIAGYGMSSA-N -1 1 319.409 1.811 20 0 DDADMM Cc1nn(C)c(C)c1[C@H](C)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538225798 421737289 /nfs/dbraw/zinc/73/72/89/421737289.db2.gz WZFJUSJXKZABDW-CABZTGNLSA-N -1 1 317.397 1.060 20 0 DDADMM O=C(N=c1[n-]ncs1)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000520639353 421758802 /nfs/dbraw/zinc/75/88/02/421758802.db2.gz OXPUHZRJZVLJFU-UHFFFAOYSA-N -1 1 319.325 1.206 20 0 DDADMM O=C(N=c1[n-]ncs1)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000520639353 421758805 /nfs/dbraw/zinc/75/88/05/421758805.db2.gz OXPUHZRJZVLJFU-UHFFFAOYSA-N -1 1 319.325 1.206 20 0 DDADMM O=C(c1scnc1C1CC1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000540423712 421763734 /nfs/dbraw/zinc/76/37/34/421763734.db2.gz KEGHRBLFSQWAOL-SECBINFHSA-N -1 1 304.379 1.553 20 0 DDADMM CCc1cccc(OCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000540425549 421763611 /nfs/dbraw/zinc/76/36/11/421763611.db2.gz VLPSHMPVSRWFEW-ZDUSSCGKSA-N -1 1 315.377 1.547 20 0 DDADMM O=C(c1cnn2c1CCCC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000521278843 421781277 /nfs/dbraw/zinc/78/12/77/421781277.db2.gz SARDRAQLCORGKS-JTQLQIEISA-N -1 1 317.349 1.328 20 0 DDADMM COCC[N@H+](C)CCNC(=O)c1cccc(C(F)(F)F)c1O ZINC000521456896 421789274 /nfs/dbraw/zinc/78/92/74/421789274.db2.gz JIBPBWKRKDXWEP-UHFFFAOYSA-N -1 1 320.311 1.719 20 0 DDADMM CC(C)(C)OCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000631836654 421870565 /nfs/dbraw/zinc/87/05/65/421870565.db2.gz DNQPDZDJXMNLLH-UHFFFAOYSA-N -1 1 315.317 1.522 20 0 DDADMM CC(=O)NCc1ccc(CNCc2cc(C(=O)[O-])nn2C)cc1 ZINC000635315604 421902828 /nfs/dbraw/zinc/90/28/28/421902828.db2.gz ZUCGZNLSHIKSPQ-UHFFFAOYSA-N -1 1 316.361 1.044 20 0 DDADMM C[C@H]1CCc2onc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)c2C1 ZINC000572919180 421883454 /nfs/dbraw/zinc/88/34/54/421883454.db2.gz WGMUOSXUIHPCLW-UWVGGRQHSA-N -1 1 316.365 1.332 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1[C@@H]2CCCC[C@H]2C[C@H]1C(=O)[O-] ZINC000630097608 421885979 /nfs/dbraw/zinc/88/59/79/421885979.db2.gz KHSXOXQPRODTKC-WVWOOGAGSA-N -1 1 305.378 1.833 20 0 DDADMM CC1(C)CNCCN1S(=O)(=O)c1cccc(Cl)c1[O-] ZINC000631906222 421930830 /nfs/dbraw/zinc/93/08/30/421930830.db2.gz HDRBAQRHTJTMSK-UHFFFAOYSA-N -1 1 304.799 1.418 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC[C@@H]1CSc2ccccc2O1 ZINC000633549133 421961039 /nfs/dbraw/zinc/96/10/39/421961039.db2.gz JBJGNXICYWDRQJ-SNVBAGLBSA-N -1 1 319.390 1.192 20 0 DDADMM CN1CCCC[C@@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1=O ZINC000635408102 421965309 /nfs/dbraw/zinc/96/53/09/421965309.db2.gz GYGQDKUMJSCFDZ-LURJTMIESA-N -1 1 305.260 1.021 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCc3cc(N)ccc32)sn1 ZINC000631951499 421968642 /nfs/dbraw/zinc/96/86/42/421968642.db2.gz IYRPNQGZLVWDQT-LBPRGKRZSA-N -1 1 309.416 2.000 20 0 DDADMM CO[C@@H](C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)C1CCCC1 ZINC000630220018 421970072 /nfs/dbraw/zinc/97/00/72/421970072.db2.gz LCGANBJPDKWFEK-IUODEOHRSA-N -1 1 312.410 1.199 20 0 DDADMM CCC[C@@H](OCC)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630221407 421970108 /nfs/dbraw/zinc/97/01/08/421970108.db2.gz ZTRWQOHPVLDEOG-CHWSQXEVSA-N -1 1 300.399 1.199 20 0 DDADMM CO[C@@H](C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)c1ccccc1 ZINC000630219985 421970279 /nfs/dbraw/zinc/97/02/79/421970279.db2.gz KOOROLONHUVCEM-CZUORRHYSA-N -1 1 320.389 1.382 20 0 DDADMM O=C([O-])[C@]1(CNC(=O)Cc2[nH]nc3ccccc32)CCCOC1 ZINC000630334803 422026658 /nfs/dbraw/zinc/02/66/58/422026658.db2.gz CZIIGKPYXBVFRA-INIZCTEOSA-N -1 1 317.345 1.103 20 0 DDADMM COC[C@H](NS(=O)(=O)c1cc(OC)ccc1[O-])C(C)C ZINC000632029597 422036003 /nfs/dbraw/zinc/03/60/03/422036003.db2.gz JXZVXUXUIPYBDU-NSHDSACASA-N -1 1 303.380 1.350 20 0 DDADMM O=C([C@@H]1COc2ccccc21)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000573938454 422036596 /nfs/dbraw/zinc/03/65/96/422036596.db2.gz JDRTZGHQSKIEMY-GFCCVEGCSA-N -1 1 315.329 1.657 20 0 DDADMM O=C([C@@H]1COc2ccccc21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000573938454 422036600 /nfs/dbraw/zinc/03/66/00/422036600.db2.gz JDRTZGHQSKIEMY-GFCCVEGCSA-N -1 1 315.329 1.657 20 0 DDADMM CC[C@H](C)[C@@H](OC)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630227160 421978797 /nfs/dbraw/zinc/97/87/97/421978797.db2.gz IBCUXSBFUDHIER-NWDGAFQWSA-N -1 1 300.399 1.055 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)[C@H]2CCC[C@H]21 ZINC000630271175 421999427 /nfs/dbraw/zinc/99/94/27/421999427.db2.gz FDVZTQYBCNHWMX-WDMOLILDSA-N -1 1 314.345 1.673 20 0 DDADMM CN(C)c1noc(CN2C[C@@H](C(=O)[O-])[C@@H](c3ccccc3)C2)n1 ZINC000581889937 422064045 /nfs/dbraw/zinc/06/40/45/422064045.db2.gz RVTNSXKFQXXSJW-CHWSQXEVSA-N -1 1 316.361 1.436 20 0 DDADMM C[C@H]1Cc2cccc(C(=O)NC3(c4nn[n-]n4)CCCC3)c2O1 ZINC000581924812 422069553 /nfs/dbraw/zinc/06/95/53/422069553.db2.gz HLPPMBNQRLBQCK-JTQLQIEISA-N -1 1 313.361 1.722 20 0 DDADMM O=C([N-]c1nnc(C(F)(F)C(F)(F)F)s1)c1cn[nH]n1 ZINC000633728259 422069699 /nfs/dbraw/zinc/06/96/99/422069699.db2.gz QAUSTMGWVULQGQ-UHFFFAOYSA-N -1 1 314.199 1.563 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)[C@H]1CN(C)CCO1 ZINC000632123880 422105398 /nfs/dbraw/zinc/10/53/98/422105398.db2.gz LPHCEWHBEQUXDN-WCBMZHEXSA-N -1 1 324.349 1.215 20 0 DDADMM O=C(Nc1c([O-])cccc1F)c1cc(N2CCOCC2)ncn1 ZINC000632139623 422113120 /nfs/dbraw/zinc/11/31/20/422113120.db2.gz UKKZHJJZVCJHJR-UHFFFAOYSA-N -1 1 318.308 1.410 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1(C(F)(F)F)CCCC1 ZINC000633691298 422052131 /nfs/dbraw/zinc/05/21/31/422052131.db2.gz LPRSUIVXDOABBV-UHFFFAOYSA-N -1 1 318.299 1.561 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C(C)(C)[C@@H]1CCCCO1 ZINC000633691852 422052684 /nfs/dbraw/zinc/05/26/84/422052684.db2.gz SNYJPRZKQXRDTG-JTQLQIEISA-N -1 1 308.382 1.033 20 0 DDADMM O=C(c1cccc2c1C(=O)CC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000632053998 422054682 /nfs/dbraw/zinc/05/46/82/422054682.db2.gz JIKAUOWLQXYLGF-NSHDSACASA-N -1 1 311.345 1.348 20 0 DDADMM COc1nnc([N-]C(=O)c2c(F)ccc(OC)c2OC)s1 ZINC000633909247 422144765 /nfs/dbraw/zinc/14/47/65/422144765.db2.gz JGQFYNFQXKBHRA-UHFFFAOYSA-N -1 1 313.310 1.955 20 0 DDADMM CC[C@](C)(NC(=O)CCCc1nn[n-]n1)c1nc(C)cs1 ZINC000635607083 422159069 /nfs/dbraw/zinc/15/90/69/422159069.db2.gz NIPUYKJQOFXAQK-ZDUSSCGKSA-N -1 1 308.411 1.729 20 0 DDADMM C[C@]12CN(C(=O)c3cc(Cl)ccc3[O-])C[C@@]1(C)C(=O)NC2=O ZINC000633878974 422126622 /nfs/dbraw/zinc/12/66/22/422126622.db2.gz XCFOUIWLEVTYAI-GASCZTMLSA-N -1 1 322.748 1.170 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC1(Cc2ccc(F)cc2)CC1 ZINC000632270774 422210739 /nfs/dbraw/zinc/21/07/39/422210739.db2.gz XSXAZJDLVXMATE-UHFFFAOYSA-N -1 1 303.341 1.411 20 0 DDADMM CCCOc1cccc(CCNC(=O)CCCc2nn[n-]n2)c1 ZINC000635713853 422271778 /nfs/dbraw/zinc/27/17/78/422271778.db2.gz HHUSWISQOOAZRC-UHFFFAOYSA-N -1 1 317.393 1.670 20 0 DDADMM Cc1cccc([C@H](NC(=O)CCc2nn[n-]n2)C(F)(F)F)c1 ZINC000632369039 422283382 /nfs/dbraw/zinc/28/33/82/422283382.db2.gz KJLXCRIGHUDXIH-LBPRGKRZSA-N -1 1 313.283 1.861 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCCOc2c(F)cccc21 ZINC000635777284 422335027 /nfs/dbraw/zinc/33/50/27/422335027.db2.gz FXXLRISFAHRVGV-LBPRGKRZSA-N -1 1 319.340 1.692 20 0 DDADMM Cc1cc(NCCNC(=O)c2ncccc2[O-])nc(C(C)C)n1 ZINC000628825797 422345418 /nfs/dbraw/zinc/34/54/18/422345418.db2.gz XIAZRDBFXOGVFQ-UHFFFAOYSA-N -1 1 315.377 1.273 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCCOc2ccc(F)cc21 ZINC000630812290 422351176 /nfs/dbraw/zinc/35/11/76/422351176.db2.gz OOKBTTJDTUPSNM-LLVKDONJSA-N -1 1 305.313 1.302 20 0 DDADMM CC(=O)C1([N-]S(=O)(=O)c2sccc2Br)CC1 ZINC000632448161 422353345 /nfs/dbraw/zinc/35/33/45/422353345.db2.gz GTBGENYYFRUWFO-UHFFFAOYSA-N -1 1 324.221 1.911 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC000635802395 422361002 /nfs/dbraw/zinc/36/10/02/422361002.db2.gz OZVXLPUTJKDSQF-ZIAGYGMSSA-N -1 1 315.377 1.559 20 0 DDADMM C[C@](CO)(Cc1ccccc1F)NC(=O)c1cncc([O-])c1 ZINC000634281062 422363647 /nfs/dbraw/zinc/36/36/47/422363647.db2.gz KQWLLSHTERNTRH-MRXNPFEDSA-N -1 1 304.321 1.650 20 0 DDADMM O=C(N[C@H]1COCCC1=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000628889921 422370314 /nfs/dbraw/zinc/37/03/14/422370314.db2.gz UZLYMBHVXSDVEG-VIFPVBQESA-N -1 1 303.236 1.499 20 0 DDADMM CN(C)[C@H]1CCOc2c(NC(=O)c3n[nH]c(=O)[n-]3)cccc21 ZINC000575792833 422326435 /nfs/dbraw/zinc/32/64/35/422326435.db2.gz CRGFXUXGWKUXDK-JTQLQIEISA-N -1 1 303.322 1.148 20 0 DDADMM COc1ccccc1[C@H]1CCCCN1C(=O)CCc1nn[n-]n1 ZINC000630944701 422434359 /nfs/dbraw/zinc/43/43/59/422434359.db2.gz WMZBGSAMRFJBJG-CYBMUJFWSA-N -1 1 315.377 1.895 20 0 DDADMM CO[C@]1(C(F)(F)F)CCCN(C(=O)CCCc2nn[n-]n2)C1 ZINC000635833251 422388552 /nfs/dbraw/zinc/38/85/52/422388552.db2.gz TZXIPBVMAYLITR-LLVKDONJSA-N -1 1 321.303 1.092 20 0 DDADMM CCCCS(=O)(=O)NCC(=O)Nc1cccc(F)c1[O-] ZINC000628960636 422421638 /nfs/dbraw/zinc/42/16/38/422421638.db2.gz NTRLUUQXNCHZQE-UHFFFAOYSA-N -1 1 304.343 1.189 20 0 DDADMM CC1(C)CN(C(=O)CCCc2nn[n-]n2)[C@H]1c1cccnc1 ZINC000635953967 422508571 /nfs/dbraw/zinc/50/85/71/422508571.db2.gz OPYKYTHDWMKAHA-AWEZNQCLSA-N -1 1 300.366 1.527 20 0 DDADMM COCC(COC)n1[n-]c2c(c1=O)CCCc1ccccc1-2 ZINC000634636292 422519029 /nfs/dbraw/zinc/51/90/29/422519029.db2.gz ZYLSFIHBOFBTRQ-HNNXBMFYSA-N -1 1 302.374 1.847 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@]1(C)C[C@@H]1c1ccccc1 ZINC000578301487 422541754 /nfs/dbraw/zinc/54/17/54/422541754.db2.gz WERWEETVBOFKKG-PXAZEXFGSA-N -1 1 312.373 1.632 20 0 DDADMM Cc1cccc(-c2nc(=NC[C@H](C)S(C)(=O)=O)s[n-]2)c1 ZINC000631180092 422587376 /nfs/dbraw/zinc/58/73/76/422587376.db2.gz BFKCXLVENNPVCY-JTQLQIEISA-N -1 1 311.432 1.780 20 0 DDADMM Cc1cccc(-c2nc(=NC[C@@]3(O)CCN(C)C3)s[n-]2)c1 ZINC000631222388 422613600 /nfs/dbraw/zinc/61/36/00/422613600.db2.gz WZMIAJBUZCEPCC-HNNXBMFYSA-N -1 1 304.419 1.414 20 0 DDADMM CC(C)(C)n1nnc(CCN2CCc3occc3[C@H]2C(=O)[O-])n1 ZINC000629366340 422632772 /nfs/dbraw/zinc/63/27/72/422632772.db2.gz SIAPGVKBXHJVGT-ZDUSSCGKSA-N -1 1 319.365 1.248 20 0 DDADMM O=C1NCc2c1cccc2[N-]S(=O)(=O)CCOCC1CCC1 ZINC000634793182 422650487 /nfs/dbraw/zinc/65/04/87/422650487.db2.gz ZCHZUJGAISMMJU-UHFFFAOYSA-N -1 1 324.402 1.488 20 0 DDADMM O=C(N[C@H](CCO)c1ccco1)c1nc2ccccc2c(=O)[n-]1 ZINC000184572649 263388222 /nfs/dbraw/zinc/38/82/22/263388222.db2.gz JHXMDJPTBVQJOG-GFCCVEGCSA-N -1 1 313.313 1.370 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC(=O)N(CCC(F)(F)F)C1 ZINC000650839914 423281820 /nfs/dbraw/zinc/28/18/20/423281820.db2.gz DNEUGJKXUOMSME-UHFFFAOYSA-N -1 1 320.242 1.726 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CCCCN2)o1 ZINC000653171443 423361568 /nfs/dbraw/zinc/36/15/68/423361568.db2.gz WKVCNKDZZRCLLL-UHFFFAOYSA-N -1 1 315.395 1.097 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)n[nH]1 ZINC000646176687 423380104 /nfs/dbraw/zinc/38/01/04/423380104.db2.gz LXCUYIHNBOUNBH-KXUCPTDWSA-N -1 1 309.370 1.542 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)CCC2CCOCC2)n[n-]1 ZINC000651153147 423415643 /nfs/dbraw/zinc/41/56/43/423415643.db2.gz ZVSNDCNSZXCLIS-UHFFFAOYSA-N -1 1 301.412 1.693 20 0 DDADMM CN(CCNC(=O)[C@@H]1CC[C@H](C(=O)[O-])C1)Cc1ccc(F)cc1 ZINC000653306633 423433019 /nfs/dbraw/zinc/43/30/19/423433019.db2.gz SFMVDQXHPKFYCC-KGLIPLIRSA-N -1 1 322.380 1.875 20 0 DDADMM CCC[C@@H](NC(=O)Cn1ccc2ccc(F)cc21)c1nn[n-]n1 ZINC000648815594 423476664 /nfs/dbraw/zinc/47/66/64/423476664.db2.gz XMRPKQBSKROYRJ-GFCCVEGCSA-N -1 1 316.340 1.951 20 0 DDADMM CSc1cccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000648838060 423491878 /nfs/dbraw/zinc/49/18/78/423491878.db2.gz RKJUCSKLCRNIHP-SECBINFHSA-N -1 1 304.379 1.336 20 0 DDADMM CC(=O)NC(C)(C)C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000648928875 423548220 /nfs/dbraw/zinc/54/82/20/423548220.db2.gz FBYQODIGFBHOFU-UHFFFAOYSA-N -1 1 322.315 1.630 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)C[C@@H]1CCc2ncnn21 ZINC000639589197 423592534 /nfs/dbraw/zinc/59/25/34/423592534.db2.gz NNTKECWWPIGOMR-VIFPVBQESA-N -1 1 316.390 1.608 20 0 DDADMM COc1cc(C(=O)NC2COCCOC2)cc(Cl)c1[O-] ZINC000646802029 423613561 /nfs/dbraw/zinc/61/35/61/423613561.db2.gz XVSKXSBRXZNCNF-UHFFFAOYSA-N -1 1 301.726 1.199 20 0 DDADMM COCCOc1ccc(CN[C@@H](C(=O)[O-])c2ccnn2C)cc1 ZINC000644598439 423718888 /nfs/dbraw/zinc/71/88/88/423718888.db2.gz ZDCXZMQPMVBRGZ-OAHLLOKOSA-N -1 1 319.361 1.361 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2c2cccnc2)sn1 ZINC000641594698 423742821 /nfs/dbraw/zinc/74/28/21/423742821.db2.gz PUPOJEACYREYKW-RYUDHWBXSA-N -1 1 309.416 1.929 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1CC(C2CC2)C1 ZINC000644685259 423765918 /nfs/dbraw/zinc/76/59/18/423765918.db2.gz JDSMZUIJLHZULJ-UHFFFAOYSA-N -1 1 324.384 1.964 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCO1)c1ncccc1Br ZINC000656859445 423809715 /nfs/dbraw/zinc/80/97/15/423809715.db2.gz PYBSEACASJTJSK-MRVPVSSYSA-N -1 1 321.196 1.301 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)Cc2cc(F)ccc2Cl)no1 ZINC000656920792 423875704 /nfs/dbraw/zinc/87/57/04/423875704.db2.gz WPXLJZXQZMVUAE-UHFFFAOYSA-N -1 1 319.745 1.790 20 0 DDADMM CNC(=O)c1ccc(CS(=O)(=O)[N-][C@@H](C)C(F)F)cc1 ZINC000641704955 423888269 /nfs/dbraw/zinc/88/82/69/423888269.db2.gz JFOVXLOSKLRVGW-QMMMGPOBSA-N -1 1 306.334 1.119 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cnn(-c3ccccc3)c2)CC1 ZINC000641681559 423853456 /nfs/dbraw/zinc/85/34/56/423853456.db2.gz ONJRBNLFJAXJAH-UHFFFAOYSA-N -1 1 307.375 1.793 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccn(-c3ccncc3)n2)CC1 ZINC000641682533 423855845 /nfs/dbraw/zinc/85/58/45/423855845.db2.gz DOFLNECAJNJYPF-UHFFFAOYSA-N -1 1 308.363 1.188 20 0 DDADMM Cc1ccccc1CNC(=O)CN1CCC(C)(C(=O)[O-])CC1 ZINC000647372332 424002655 /nfs/dbraw/zinc/00/26/55/424002655.db2.gz KCSXJSUNMAVBNP-UHFFFAOYSA-N -1 1 304.390 1.798 20 0 DDADMM CC(C)(CO)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000654896439 424008595 /nfs/dbraw/zinc/00/85/95/424008595.db2.gz URHYUPQEBWGFEK-UHFFFAOYSA-N -1 1 305.374 1.832 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ncccc1Br)[C@@H](C)O ZINC000657003066 423979934 /nfs/dbraw/zinc/97/99/34/423979934.db2.gz LHJKFIJYNKYYHS-VXNVDRBHSA-N -1 1 323.212 1.282 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1cccc(F)c1F)[C@H]1CCOC1 ZINC000657047523 424037223 /nfs/dbraw/zinc/03/72/23/424037223.db2.gz QXXQUKKKMKLOQX-ONGXEEELSA-N -1 1 321.345 1.295 20 0 DDADMM Cn1nc(C2CC2)nc1CN1C[C@@H]2CCCC[C@]2(C(=O)[O-])C1 ZINC000659799903 424260833 /nfs/dbraw/zinc/26/08/33/424260833.db2.gz KFNNNCJXNZTRPA-LRDDRELGSA-N -1 1 304.394 1.769 20 0 DDADMM Cn1[n-]c(CN2CCC3(CCc4ccccc4O3)CC2)nc1=O ZINC000662050556 424355245 /nfs/dbraw/zinc/35/52/45/424355245.db2.gz QUQKREJOAAYMKX-UHFFFAOYSA-N -1 1 314.389 1.468 20 0 DDADMM CCN([C@H](C)c1ccc(F)cc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662201123 424466290 /nfs/dbraw/zinc/46/62/90/424466290.db2.gz GYDQIDOTZFOYGE-BXUZGUMPSA-N -1 1 308.353 1.894 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)NC[C@@H](C(=O)[O-])C(C)C)cn1 ZINC000655566232 424572935 /nfs/dbraw/zinc/57/29/35/424572935.db2.gz QENNOEBSVDAAMC-LLVKDONJSA-N -1 1 319.365 1.380 20 0 DDADMM C[C@H](NC(=O)c1[nH]nc2c1CCC2)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000655580500 424580613 /nfs/dbraw/zinc/58/06/13/424580613.db2.gz ADTNBZQVUHKWRF-INTQDDNPSA-N -1 1 305.378 1.764 20 0 DDADMM CC(C)c1nc(CNC(=O)NC[C@@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665312092 424779840 /nfs/dbraw/zinc/77/98/40/424779840.db2.gz CLTKBFYZNNAENV-SNVBAGLBSA-N -1 1 309.370 1.228 20 0 DDADMM CC(C)(CCS(C)(=O)=O)N=c1nc(C(F)(F)F)[n-]s1 ZINC000342295707 271369565 /nfs/dbraw/zinc/36/95/65/271369565.db2.gz ONUDKWPYSXXHKG-UHFFFAOYSA-N -1 1 317.358 1.604 20 0 DDADMM C(Sc1nnc(N2CCCCC2)n1C1CC1)c1nn[n-]n1 ZINC000345014480 272157490 /nfs/dbraw/zinc/15/74/90/272157490.db2.gz QQZVJFSAOZAVTP-UHFFFAOYSA-N -1 1 306.399 1.409 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)nc1 ZINC000345216939 272193016 /nfs/dbraw/zinc/19/30/16/272193016.db2.gz ZJNJNRUFHLUCDI-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)nc1 ZINC000345216939 272193019 /nfs/dbraw/zinc/19/30/19/272193019.db2.gz ZJNJNRUFHLUCDI-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM O=C([O-])COc1cccc(C(=O)Nc2ccc3[nH]nnc3c2)c1 ZINC000345798683 272337803 /nfs/dbraw/zinc/33/78/03/272337803.db2.gz AFNRZWNLRABJSR-UHFFFAOYSA-N -1 1 312.285 1.674 20 0 DDADMM C[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)c1ncccc1[O-] ZINC000274215279 278406047 /nfs/dbraw/zinc/40/60/47/278406047.db2.gz POEYNYAAZKKSGV-NSHDSACASA-N -1 1 321.377 1.869 20 0 DDADMM C[C@H](C(=O)N1CCSc2ccccc21)N(C)CCC(=O)[O-] ZINC000430652262 279201919 /nfs/dbraw/zinc/20/19/19/279201919.db2.gz NGASLFHAOTXUHM-LLVKDONJSA-N -1 1 308.403 1.920 20 0 DDADMM CCC[C@H](NC(=O)c1cccc2c1CCCN2)c1nn[n-]n1 ZINC000130060766 281366393 /nfs/dbraw/zinc/36/63/93/281366393.db2.gz MVMHLKYKPHGFAD-ZDUSSCGKSA-N -1 1 300.366 1.829 20 0 DDADMM C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000128555189 289023556 /nfs/dbraw/zinc/02/35/56/289023556.db2.gz XADHISAEUYULBC-BDAKNGLRSA-N -1 1 317.794 1.693 20 0 DDADMM CN(C)c1cccc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)n1 ZINC000165884784 298216918 /nfs/dbraw/zinc/21/69/18/298216918.db2.gz XJVWVYCDPFZEQJ-UHFFFAOYSA-N -1 1 323.356 1.314 20 0 DDADMM CN1CCC2(CCN(C(=O)c3ccc([O-])cc3F)CC2)C1=O ZINC000365697843 300563131 /nfs/dbraw/zinc/56/31/31/300563131.db2.gz YBHNXFDPKDWHOJ-UHFFFAOYSA-N -1 1 306.337 1.616 20 0 DDADMM O=C(NCc1nnc2n1CCOC2)c1ccc(Cl)cc1[O-] ZINC000375445422 301937870 /nfs/dbraw/zinc/93/78/70/301937870.db2.gz BEGGKKZIWWCDQE-UHFFFAOYSA-N -1 1 308.725 1.097 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)c3cc4n(n3)CCO4)[nH][n-]2)s1 ZINC000377776557 302231383 /nfs/dbraw/zinc/23/13/83/302231383.db2.gz VQDZJEKZZIRDBM-UHFFFAOYSA-N -1 1 315.358 1.710 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@@H](Cc2ccncc2)C1 ZINC000377887637 302247277 /nfs/dbraw/zinc/24/72/77/302247277.db2.gz GIBPQASLWKJDEE-LBPRGKRZSA-N -1 1 322.390 1.044 20 0 DDADMM CC[C@@H](C)[C@H](OC)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000356628166 306858489 /nfs/dbraw/zinc/85/84/89/306858489.db2.gz TWXFATWOVUHOKM-PELKAZGASA-N -1 1 316.379 1.039 20 0 DDADMM COc1cccc([C@@H](CNc2nc3[nH][n-]cc-3c(=O)n2)OC)c1 ZINC000528068476 303001088 /nfs/dbraw/zinc/00/10/88/303001088.db2.gz FTXGFFXRUDKTQE-GFCCVEGCSA-N -1 1 315.333 1.240 20 0 DDADMM N=c1nc(N2CCN(Cc3ncccc3Cl)CC2)s[n-]1 ZINC000528428154 303025327 /nfs/dbraw/zinc/02/53/27/303025327.db2.gz YQWOCDWYULDNPK-UHFFFAOYSA-N -1 1 310.814 1.321 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(Cc3ccco3)C2)co1 ZINC000529862091 303159381 /nfs/dbraw/zinc/15/93/81/303159381.db2.gz LQLMSEKBQDCALC-UHFFFAOYSA-N -1 1 324.358 1.095 20 0 DDADMM COC[C@@]1(NC(=O)c2cncc([O-])c2)COc2ccccc2C1 ZINC000529959710 303165981 /nfs/dbraw/zinc/16/59/81/303165981.db2.gz QOKFLICABONFMW-QGZVFWFLSA-N -1 1 314.341 1.537 20 0 DDADMM Cc1cccc(=O)n1C[C@@](C)(O)C(=O)Nc1cccc(F)c1[O-] ZINC000530004771 303169888 /nfs/dbraw/zinc/16/98/88/303169888.db2.gz GIUUVTFPKDHNST-MRXNPFEDSA-N -1 1 320.320 1.391 20 0 DDADMM CC(C)[C@@H](NS(C)(=O)=O)C(=O)Nc1cccc(F)c1[O-] ZINC000530007173 303170204 /nfs/dbraw/zinc/17/02/04/303170204.db2.gz ZFKAFNNIJFKIKA-SNVBAGLBSA-N -1 1 304.343 1.044 20 0 DDADMM Cn1cc(N2C[C@H](C(=O)Nc3cccc(F)c3[O-])CC2=O)cn1 ZINC000530005700 303170238 /nfs/dbraw/zinc/17/02/38/303170238.db2.gz LRHWDJLNWFOGQH-SECBINFHSA-N -1 1 318.308 1.256 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(F)(F)C[C@H]2C)o1 ZINC000530016004 303170449 /nfs/dbraw/zinc/17/04/49/303170449.db2.gz PTVPWWATHGGHBM-MRVPVSSYSA-N -1 1 322.333 1.448 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000530261358 303188699 /nfs/dbraw/zinc/18/86/99/303188699.db2.gz AFJYGNLYWKFLAF-APLVYKGISA-N -1 1 315.377 1.465 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]Cc2nnc(C(C)C)s2)on1 ZINC000531823343 303259571 /nfs/dbraw/zinc/25/95/71/303259571.db2.gz NOIGXSOHBGZHRU-UHFFFAOYSA-N -1 1 316.408 1.578 20 0 DDADMM Cc1noc2ncc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)cc12 ZINC000533118743 303310524 /nfs/dbraw/zinc/31/05/24/303310524.db2.gz JJUPUSYHOZHTIG-SECBINFHSA-N -1 1 313.321 1.064 20 0 DDADMM COCc1cccc(CNC(=O)CNC2(C(=O)[O-])CCCC2)c1 ZINC000533202680 303313554 /nfs/dbraw/zinc/31/35/54/303313554.db2.gz QXXJPUNDTURXQH-UHFFFAOYSA-N -1 1 320.389 1.436 20 0 DDADMM C[C@]1(CCC(=O)Nc2n[n-]c(C(F)(F)F)n2)CCC(=O)N1 ZINC000536142784 303356796 /nfs/dbraw/zinc/35/67/96/303356796.db2.gz WZOLEYLRIWONJR-JTQLQIEISA-N -1 1 305.260 1.211 20 0 DDADMM CC1CCC(N(C(=O)c2cc(=O)n3[n-]cnc3n2)C2CC2)CC1 ZINC000543699114 303461555 /nfs/dbraw/zinc/46/15/55/303461555.db2.gz CCAYTNRIAKEGQG-UHFFFAOYSA-N -1 1 315.377 1.601 20 0 DDADMM CCCc1csc(NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC000546829332 303520849 /nfs/dbraw/zinc/52/08/49/303520849.db2.gz JIGYSCPATPIYAG-UHFFFAOYSA-N -1 1 304.335 1.079 20 0 DDADMM O=C(NCc1nnnn1CC1CC1)c1ccc2ccccc2c1[O-] ZINC000547460250 303532304 /nfs/dbraw/zinc/53/23/04/303532304.db2.gz VAVPEBYUEZXRJG-UHFFFAOYSA-N -1 1 323.356 1.872 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1c(F)cccc1Cl)C1CC1 ZINC000362129941 307014826 /nfs/dbraw/zinc/01/48/26/307014826.db2.gz OYQCDWNXEQIVAR-GFCCVEGCSA-N -1 1 307.774 1.918 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1Cc2ccccc2N(C)C1)c1nn[n-]n1 ZINC000363746957 307034909 /nfs/dbraw/zinc/03/49/09/307034909.db2.gz RGEMRLHWKIKXBC-AAEUAGOBSA-N -1 1 314.393 1.070 20 0 DDADMM CCOc1cccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000366663932 307079285 /nfs/dbraw/zinc/07/92/85/307079285.db2.gz ROVCTMWAMVDUIZ-LBPRGKRZSA-N -1 1 301.350 1.618 20 0 DDADMM COC(=O)[C@H]1C[C@H](NC(=O)c2ncccc2[O-])c2ccccc21 ZINC000368615580 307106487 /nfs/dbraw/zinc/10/64/87/307106487.db2.gz UVQVTGDQQCJLGX-STQMWFEESA-N -1 1 312.325 1.919 20 0 DDADMM O=C(N[C@H]1CCCC[C@@H]1N1CCOCC1)c1ncccc1[O-] ZINC000372498946 307168789 /nfs/dbraw/zinc/16/87/89/307168789.db2.gz ZBKNKNGOGOMXIA-STQMWFEESA-N -1 1 305.378 1.160 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CC[C@H](C(=O)OC)CC1)c1nn[n-]n1 ZINC000436166926 307305588 /nfs/dbraw/zinc/30/55/88/307305588.db2.gz DYXBDHZZJXTDSF-GMTAPVOTSA-N -1 1 309.370 1.137 20 0 DDADMM CC(C)[C@H](C(=O)[N-]OCCO)[C@@H](C)NC(=O)OC(C)(C)C ZINC000496508670 307347019 /nfs/dbraw/zinc/34/70/19/307347019.db2.gz RWEMDFHABLNISU-MNOVXSKESA-N -1 1 304.387 1.212 20 0 DDADMM C[C@@H](NC(=O)OC(C)(C)C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC000497305239 307390342 /nfs/dbraw/zinc/39/03/42/307390342.db2.gz OFZSWGBIDAMLMO-NXEZZACHSA-N -1 1 309.366 1.819 20 0 DDADMM O=C([O-])c1ccc(C(=O)NCCCCN2CCOCC2)cc1 ZINC000516866377 307423565 /nfs/dbraw/zinc/42/35/65/307423565.db2.gz TUPWCQOIWUXKJW-UHFFFAOYSA-N -1 1 306.362 1.227 20 0 DDADMM CN(C)Cc1cc(CNC(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)ccc1F ZINC000519154585 307449468 /nfs/dbraw/zinc/44/94/68/307449468.db2.gz WBQAEPBFQGWYFI-UONOGXRCSA-N -1 1 322.380 1.860 20 0 DDADMM CC(C)(C)c1nc(=NC(=O)N2C[C@H]3CCC[C@@H](C2)N3)s[n-]1 ZINC000556964163 307860500 /nfs/dbraw/zinc/86/05/00/307860500.db2.gz HZEXSHXTTRPDCX-AOOOYVTPSA-N -1 1 309.439 1.616 20 0 DDADMM Cc1cc(C)cc(OCC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000570244376 308163980 /nfs/dbraw/zinc/16/39/80/308163980.db2.gz NXLUZDGDNZNXCA-UHFFFAOYSA-N -1 1 315.377 1.781 20 0 DDADMM C[C@H]1OCC[C@@H]1N(CCO)Cc1nc(=O)c2sccc2[n-]1 ZINC000570340302 308166900 /nfs/dbraw/zinc/16/69/00/308166900.db2.gz KZTOARHKJUVFLX-KOLCDFICSA-N -1 1 309.391 1.369 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1(CF)CCC1 ZINC000570517650 308171860 /nfs/dbraw/zinc/17/18/60/308171860.db2.gz NJRYWWSBIIROIZ-UHFFFAOYSA-N -1 1 306.341 1.808 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN2C[C@@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000570970833 308186757 /nfs/dbraw/zinc/18/67/57/308186757.db2.gz SEDGWKLRWVKLRO-ZWNOBZJWSA-N -1 1 320.345 1.064 20 0 DDADMM CCS(=O)(=O)c1ccc(CN(CC(=O)[O-])C2CCC2)cc1 ZINC000572618757 308221121 /nfs/dbraw/zinc/22/11/21/308221121.db2.gz IHRKJNSQBPFRQH-UHFFFAOYSA-N -1 1 311.403 1.919 20 0 DDADMM CC[C@@H](C)C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)OC ZINC000578509983 308466914 /nfs/dbraw/zinc/46/69/14/308466914.db2.gz GBVNRQJEPRWGPU-MFKMUULPSA-N -1 1 307.346 1.669 20 0 DDADMM CCn1cccc(CNC(=O)c2c(F)ccc([O-])c2F)c1=O ZINC000578699711 308478383 /nfs/dbraw/zinc/47/83/83/308478383.db2.gz VICOMGJMCSTGQT-UHFFFAOYSA-N -1 1 308.284 1.782 20 0 DDADMM O=C(N[C@H]1CN2CCC1CC2)c1ccc2[n-]c(=S)oc2c1 ZINC001120687050 782093768 /nfs/dbraw/zinc/09/37/68/782093768.db2.gz HFDGQKAGVFOAII-LBPRGKRZSA-N -1 1 303.387 1.941 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@@H]2c2nc3ccccc3[nH]2)nc1=O ZINC000582886765 337215136 /nfs/dbraw/zinc/21/51/36/337215136.db2.gz LFMFGLIDMDLNID-CYBMUJFWSA-N -1 1 312.377 1.712 20 0 DDADMM O=C([O-])C[C@@H]1CN(CCCOCCc2ccccc2)CCO1 ZINC000583817433 337338348 /nfs/dbraw/zinc/33/83/48/337338348.db2.gz LPRWVINMSZOBEU-MRXNPFEDSA-N -1 1 307.390 1.811 20 0 DDADMM CCN(C)C(=O)[C@@H](C)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436988663 484133209 /nfs/dbraw/zinc/13/32/09/484133209.db2.gz XHIVPHRBKVEZMI-MRVPVSSYSA-N -1 1 314.769 1.651 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)[C@H](C(=O)[O-])C(C)(C)C)C[C@@H](C)O1 ZINC000663110464 484675294 /nfs/dbraw/zinc/67/52/94/484675294.db2.gz SDRYJYROEGZSST-JHJVBQTASA-N -1 1 314.426 1.349 20 0 DDADMM CCCN1CCC[C@H]1C(=O)Nc1cnn(C(C)(C)C(=O)[O-])c1 ZINC000663128056 484690008 /nfs/dbraw/zinc/69/00/08/484690008.db2.gz APCUFMSWXWYQGS-LBPRGKRZSA-N -1 1 308.382 1.516 20 0 DDADMM CCC1(CNC(=O)c2cnc3nc(C)ccc3c2[O-])COC1 ZINC000665561593 484938331 /nfs/dbraw/zinc/93/83/31/484938331.db2.gz ABRVKOODLQKNOW-UHFFFAOYSA-N -1 1 301.346 1.800 20 0 DDADMM CCC1(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)COC1 ZINC000665561593 484938335 /nfs/dbraw/zinc/93/83/35/484938335.db2.gz ABRVKOODLQKNOW-UHFFFAOYSA-N -1 1 301.346 1.800 20 0 DDADMM Cc1cn2c(/C=C/c3cc(=O)n4[n-]cnc4n3)c(C)nc2s1 ZINC000672775435 485346278 /nfs/dbraw/zinc/34/62/78/485346278.db2.gz ASCXSHZTXJLCJC-ONEGZZNKSA-N -1 1 312.358 1.914 20 0 DDADMM Cc1nccc([N-]S(=O)(=O)c2cnc3n2CCC3)c1Cl ZINC000682156634 485505748 /nfs/dbraw/zinc/50/57/48/485505748.db2.gz MJUSCZSHCGKYPW-UHFFFAOYSA-N -1 1 312.782 1.409 20 0 DDADMM CCN(CC(=O)N(C)C)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000682361895 485567637 /nfs/dbraw/zinc/56/76/37/485567637.db2.gz RQOCFHSXHLKESZ-UHFFFAOYSA-N -1 1 314.769 1.605 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2cc(F)cc(Cl)c2)n[nH]1 ZINC000679203207 485805969 /nfs/dbraw/zinc/80/59/69/485805969.db2.gz WQWYJPLAQCGRPK-UHFFFAOYSA-N -1 1 318.717 1.102 20 0 DDADMM CC[C@@]1([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCOC1 ZINC000682937449 485832160 /nfs/dbraw/zinc/83/21/60/485832160.db2.gz LPSWHNPBSOHSKQ-GFCCVEGCSA-N -1 1 309.309 1.951 20 0 DDADMM CCO[C@@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C(C)C ZINC000675515970 485965190 /nfs/dbraw/zinc/96/51/90/485965190.db2.gz SHETWIXJOTUACC-ZFWWWQNUSA-N -1 1 317.393 1.857 20 0 DDADMM CC(C)(C)c1n[n-]c(S(=O)(=O)Cc2cnoc2C2CC2)n1 ZINC000683902407 486153549 /nfs/dbraw/zinc/15/35/49/486153549.db2.gz YIMJMWWCQOGSED-UHFFFAOYSA-N -1 1 310.379 1.942 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2cnoc2C2CC2)n[n-]1 ZINC000683902407 486153554 /nfs/dbraw/zinc/15/35/54/486153554.db2.gz YIMJMWWCQOGSED-UHFFFAOYSA-N -1 1 310.379 1.942 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C1(C)C ZINC000676416618 486221408 /nfs/dbraw/zinc/22/14/08/486221408.db2.gz UUZNNKRLMSDRDW-UWVGGRQHSA-N -1 1 304.437 1.852 20 0 DDADMM O=C(NC[C@H](O)COc1ccccc1)c1ccc([O-])c(F)c1 ZINC000681055886 486333993 /nfs/dbraw/zinc/33/39/93/486333993.db2.gz HEKJTZPWXQGPRY-LBPRGKRZSA-N -1 1 305.305 1.701 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cnc2n1CCCC2 ZINC000676830011 486338037 /nfs/dbraw/zinc/33/80/37/486338037.db2.gz YREDYXBWFIVOBA-AWEZNQCLSA-N -1 1 323.360 1.252 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](C)C(C)(C)O)c(F)c1 ZINC000676852373 486345777 /nfs/dbraw/zinc/34/57/77/486345777.db2.gz NXXKWNZWKGFOQB-ZETCQYMHSA-N -1 1 309.334 1.411 20 0 DDADMM CC[C@H](CCO)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676860286 486348703 /nfs/dbraw/zinc/34/87/03/486348703.db2.gz DMEQNNSRIXPERB-MRVPVSSYSA-N -1 1 309.334 1.413 20 0 DDADMM C/C=C/C[C@@H](CO)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000681157304 486361629 /nfs/dbraw/zinc/36/16/29/486361629.db2.gz ZVZGYVNUDNYLCJ-NWALNABHSA-N -1 1 321.345 1.579 20 0 DDADMM O=C(CNC(=O)c1cccc(O)c1)Nc1c([O-])cccc1F ZINC000681204783 486371114 /nfs/dbraw/zinc/37/11/14/486371114.db2.gz DYHXYFZJLOSYGG-UHFFFAOYSA-N -1 1 304.277 1.605 20 0 DDADMM COc1cncc(S(=O)(=O)[N-]c2ccc3nccn3c2)c1 ZINC000685005747 486486361 /nfs/dbraw/zinc/48/63/61/486486361.db2.gz PYIHXVMRVCDDKX-UHFFFAOYSA-N -1 1 304.331 1.539 20 0 DDADMM O=C(Nc1c([O-])cccc1F)c1cnc2n(c1=O)CCS2 ZINC000677647576 486513568 /nfs/dbraw/zinc/51/35/68/486513568.db2.gz LDFFKGJXFRZRBV-UHFFFAOYSA-N -1 1 307.306 1.446 20 0 DDADMM COc1ccc(Cl)cc1C=CC(=O)NC1(c2nn[n-]n2)CC1 ZINC000491989255 533833007 /nfs/dbraw/zinc/83/30/07/533833007.db2.gz GDHIMWHJYDJQFW-GORDUTHDSA-N -1 1 319.752 1.680 20 0 DDADMM COc1cccc2c1CCN(S(=O)(=O)c1c(C)o[n-]c1=N)C2 ZINC000331950508 533861149 /nfs/dbraw/zinc/86/11/49/533861149.db2.gz HXQQTPBYCQQLQR-UHFFFAOYSA-N -1 1 323.374 1.151 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]C2CC(C)(F)C2)oc1C ZINC000451633626 533912849 /nfs/dbraw/zinc/91/28/49/533912849.db2.gz QYAZSFPHZMCULL-UHFFFAOYSA-N -1 1 305.327 1.544 20 0 DDADMM COC(=O)[C@@H](CC1CC1)NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000412515080 534249786 /nfs/dbraw/zinc/24/97/86/534249786.db2.gz HCPLYMGGMZCNIK-SNVBAGLBSA-N -1 1 309.391 1.211 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]C2CC(C)(F)C2)s1 ZINC000331755003 527103295 /nfs/dbraw/zinc/10/32/95/527103295.db2.gz HJLMFSSTBIEFBX-UHFFFAOYSA-N -1 1 307.372 1.270 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1sccc1F ZINC000451944360 527855563 /nfs/dbraw/zinc/85/55/63/527855563.db2.gz GSVVBFUEERFVGB-SCZZXKLOSA-N -1 1 321.395 1.897 20 0 DDADMM CCC[C@H](C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1)OC ZINC000451639433 528154022 /nfs/dbraw/zinc/15/40/22/528154022.db2.gz MIANSFVPDZBBFB-SECBINFHSA-N -1 1 305.352 1.160 20 0 DDADMM CCC[C@H](NC(=O)CCCC(=O)N(CC)CC)c1nn[n-]n1 ZINC000434765631 528173375 /nfs/dbraw/zinc/17/33/75/528173375.db2.gz RDEACZRVKXSQNR-NSHDSACASA-N -1 1 310.402 1.196 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)c1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000295023066 528199425 /nfs/dbraw/zinc/19/94/25/528199425.db2.gz QONAIUOJNGMELW-KOLCDFICSA-N -1 1 317.349 1.690 20 0 DDADMM CC[C@H]1CCC[C@@H]1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000452527279 529226417 /nfs/dbraw/zinc/22/64/17/529226417.db2.gz LVDIJRROCJXRKU-NWDGAFQWSA-N -1 1 305.378 1.460 20 0 DDADMM CCCN(CC(N)=O)C(=O)c1ccc(Br)c([O-])c1 ZINC000336795934 535293689 /nfs/dbraw/zinc/29/36/89/535293689.db2.gz OIEGWGZLUFAJJK-UHFFFAOYSA-N -1 1 315.167 1.492 20 0 DDADMM CCSCC[C@H](C)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000154293761 535691211 /nfs/dbraw/zinc/69/12/11/535691211.db2.gz RNAYYPRVCITKBX-VIFPVBQESA-N -1 1 320.436 1.449 20 0 DDADMM CCN(C)S(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000736509748 598874225 /nfs/dbraw/zinc/87/42/25/598874225.db2.gz LCJABIFTSCOYGN-UHFFFAOYSA-N -1 1 301.759 1.161 20 0 DDADMM CCN(C)S(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000736509748 598874226 /nfs/dbraw/zinc/87/42/26/598874226.db2.gz LCJABIFTSCOYGN-UHFFFAOYSA-N -1 1 301.759 1.161 20 0 DDADMM COC(=O)CCSc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736919885 598954652 /nfs/dbraw/zinc/95/46/52/598954652.db2.gz YANYYWYUPNGIOX-UHFFFAOYSA-N -1 1 316.346 1.465 20 0 DDADMM CSC[C@H](CCO)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000737178237 598977250 /nfs/dbraw/zinc/97/72/50/598977250.db2.gz YPYKMFHEMMHCNP-ZETCQYMHSA-N -1 1 314.802 1.441 20 0 DDADMM CSC[C@H](CCO)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000737178237 598977251 /nfs/dbraw/zinc/97/72/51/598977251.db2.gz YPYKMFHEMMHCNP-ZETCQYMHSA-N -1 1 314.802 1.441 20 0 DDADMM COc1ccccc1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737153445 598980408 /nfs/dbraw/zinc/98/04/08/598980408.db2.gz RMHGRKHLAHVGGN-UHFFFAOYSA-N -1 1 310.317 1.200 20 0 DDADMM COc1ccccc1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737153445 598980410 /nfs/dbraw/zinc/98/04/10/598980410.db2.gz RMHGRKHLAHVGGN-UHFFFAOYSA-N -1 1 310.317 1.200 20 0 DDADMM C[C@@H](Cc1cccs1)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736065646 598990726 /nfs/dbraw/zinc/99/07/26/598990726.db2.gz GDNFZRBPIVBRIV-VIFPVBQESA-N -1 1 314.374 1.684 20 0 DDADMM C[C@@H](Cc1cccs1)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736065646 598990728 /nfs/dbraw/zinc/99/07/28/598990728.db2.gz GDNFZRBPIVBRIV-VIFPVBQESA-N -1 1 314.374 1.684 20 0 DDADMM C[C@H](CCO)Sc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000820249548 599082251 /nfs/dbraw/zinc/08/22/51/599082251.db2.gz WPKLNXQKKXDDLC-MRVPVSSYSA-N -1 1 302.363 1.673 20 0 DDADMM O=C(NCc1ccc2c(c1)COC2)c1ccc(-c2nnn[n-]2)nc1 ZINC000823254454 607265741 /nfs/dbraw/zinc/26/57/41/607265741.db2.gz VHGIDALEQILHNU-UHFFFAOYSA-N -1 1 322.328 1.222 20 0 DDADMM O=C(NCc1ccc2c(c1)COC2)c1ccc(-c2nn[n-]n2)nc1 ZINC000823254454 607265742 /nfs/dbraw/zinc/26/57/42/607265742.db2.gz VHGIDALEQILHNU-UHFFFAOYSA-N -1 1 322.328 1.222 20 0 DDADMM Cc1nnc(SCCC(=O)N2CCC[C@@H](CC(=O)[O-])C2)[nH]1 ZINC000819035885 597103881 /nfs/dbraw/zinc/10/38/81/597103881.db2.gz FCAZHHXUDKTJOY-JTQLQIEISA-N -1 1 312.395 1.309 20 0 DDADMM CC(C)[C@@H](NC(=O)Nc1cnn(-c2ccncc2)c1)C(=O)[O-] ZINC000817942968 597209294 /nfs/dbraw/zinc/20/92/94/597209294.db2.gz CAFISGNIBRANLA-GFCCVEGCSA-N -1 1 303.322 1.498 20 0 DDADMM CCNC(=O)c1cccc(NCc2ccc(-c3nnn[n-]3)o2)c1 ZINC000821294822 607310450 /nfs/dbraw/zinc/31/04/50/607310450.db2.gz YQCYPLCEOMCCTI-UHFFFAOYSA-N -1 1 312.333 1.822 20 0 DDADMM CCNC(=O)c1cccc(NCc2ccc(-c3nn[n-]n3)o2)c1 ZINC000821294822 607310451 /nfs/dbraw/zinc/31/04/51/607310451.db2.gz YQCYPLCEOMCCTI-UHFFFAOYSA-N -1 1 312.333 1.822 20 0 DDADMM O=C1NCc2ccc(NCc3ccc(-c4nn[n-]n4)s3)cc21 ZINC000823547833 607315483 /nfs/dbraw/zinc/31/54/83/607315483.db2.gz PLTQLHSCRSVLTE-UHFFFAOYSA-N -1 1 312.358 1.784 20 0 DDADMM C[C@H](CC(=O)[O-])N[C@@H](C(N)=O)c1cccc(Br)c1 ZINC000820071737 597513479 /nfs/dbraw/zinc/51/34/79/597513479.db2.gz GEFSFZOPKPIJPU-RDDDGLTNSA-N -1 1 315.167 1.428 20 0 DDADMM C[C@@H](CN1CCN(C)CC1)NC(=O)Nc1ccccc1C(=O)[O-] ZINC000820095694 598176762 /nfs/dbraw/zinc/17/67/62/598176762.db2.gz MRJFHQQQXOUWGR-LBPRGKRZSA-N -1 1 320.393 1.142 20 0 DDADMM CC(C)[C@@H](NCC(=O)Nc1ccc(C(=O)N(C)C)cc1)C(=O)[O-] ZINC000820041714 598189262 /nfs/dbraw/zinc/18/92/62/598189262.db2.gz OFWIDMHEORCQEI-CQSZACIVSA-N -1 1 321.377 1.026 20 0 DDADMM O=c1ccc2ccc(Oc3nccnc3-c3nn[n-]n3)cc2o1 ZINC000738380637 598336573 /nfs/dbraw/zinc/33/65/73/598336573.db2.gz QFQSUYZFYDBYSF-UHFFFAOYSA-N -1 1 308.257 1.555 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)C[C@H]1CCCc2ccccc21 ZINC000737778041 598513119 /nfs/dbraw/zinc/51/31/19/598513119.db2.gz VZNUNFVGONSLHZ-LLVKDONJSA-N -1 1 323.360 1.796 20 0 DDADMM O[C@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)c1ccco1 ZINC000738385856 598573487 /nfs/dbraw/zinc/57/34/87/598573487.db2.gz ZFEGKJBZTCVZAT-MRVPVSSYSA-N -1 1 306.713 1.654 20 0 DDADMM O[C@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)c1ccco1 ZINC000738385856 598573488 /nfs/dbraw/zinc/57/34/88/598573488.db2.gz ZFEGKJBZTCVZAT-MRVPVSSYSA-N -1 1 306.713 1.654 20 0 DDADMM C[C@@](O)(CNc1snc(Cl)c1-c1nnn[n-]1)C1CC1 ZINC000736140839 598756139 /nfs/dbraw/zinc/75/61/39/598756139.db2.gz DHKUFMBZSAECAK-SNVBAGLBSA-N -1 1 300.775 1.550 20 0 DDADMM C[C@@](O)(CNc1snc(Cl)c1-c1nn[n-]n1)C1CC1 ZINC000736140839 598756141 /nfs/dbraw/zinc/75/61/41/598756141.db2.gz DHKUFMBZSAECAK-SNVBAGLBSA-N -1 1 300.775 1.550 20 0 DDADMM Cc1cccc2[nH]cc(CC(=O)n3ncc(-c4nn[n-]n4)c3N)c21 ZINC000737407791 598778220 /nfs/dbraw/zinc/77/82/20/598778220.db2.gz GVIOXZUATIDTNJ-UHFFFAOYSA-N -1 1 322.332 1.318 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@@H]1C[C@H]1C1CCCCC1 ZINC000822904314 599319679 /nfs/dbraw/zinc/31/96/79/599319679.db2.gz VSGYGQYUGBYJMX-VHSXEESVSA-N -1 1 301.354 1.502 20 0 DDADMM O[C@@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)C1CCOCC1 ZINC000738385736 599473966 /nfs/dbraw/zinc/47/39/66/599473966.db2.gz XYYXPPDOVSIAHY-JTQLQIEISA-N -1 1 324.772 1.115 20 0 DDADMM O[C@@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)C1CCOCC1 ZINC000738385736 599473968 /nfs/dbraw/zinc/47/39/68/599473968.db2.gz XYYXPPDOVSIAHY-JTQLQIEISA-N -1 1 324.772 1.115 20 0 DDADMM COc1ccc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)cn1 ZINC000821823770 599626989 /nfs/dbraw/zinc/62/69/89/599626989.db2.gz CBMYJWZZHWOKNP-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM COc1ccc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)cn1 ZINC000821823770 599626990 /nfs/dbraw/zinc/62/69/90/599626990.db2.gz CBMYJWZZHWOKNP-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM c1ccc2c(c1)nc(-c1nnn[n-]1)cc2N1C[C@H]2CC[C@@H](C1)O2 ZINC000823791668 599685949 /nfs/dbraw/zinc/68/59/49/599685949.db2.gz HQKGKTVEXMARFA-PHIMTYICSA-N -1 1 308.345 1.783 20 0 DDADMM c1ccc2c(c1)nc(-c1nn[n-]n1)cc2N1C[C@H]2CC[C@@H](C1)O2 ZINC000823791668 599685950 /nfs/dbraw/zinc/68/59/50/599685950.db2.gz HQKGKTVEXMARFA-PHIMTYICSA-N -1 1 308.345 1.783 20 0 DDADMM CN1CCN(c2ccccc2NC(=O)CCNC(=O)[O-])CC1 ZINC000737528676 599710877 /nfs/dbraw/zinc/71/08/77/599710877.db2.gz BOENLNJLRXWEIY-UHFFFAOYSA-N -1 1 306.366 1.035 20 0 DDADMM O=C([O-])c1coc(CN2CCCN(c3cccnn3)CC2)c1 ZINC000740271123 599915701 /nfs/dbraw/zinc/91/57/01/599915701.db2.gz ZQRHYLARGPLXRK-UHFFFAOYSA-N -1 1 302.334 1.480 20 0 DDADMM CC(C)(NCC(=O)NCCc1c(F)cccc1F)C(=O)[O-] ZINC000736339767 599924776 /nfs/dbraw/zinc/92/47/76/599924776.db2.gz SEFJJGLIEMSXDJ-UHFFFAOYSA-N -1 1 300.305 1.076 20 0 DDADMM O=C([O-])c1cn(CN2CCC[C@H](Cc3ccccc3)C2)nn1 ZINC000740253125 599955092 /nfs/dbraw/zinc/95/50/92/599955092.db2.gz IKBCKMJIFIJIBF-CQSZACIVSA-N -1 1 300.362 1.889 20 0 DDADMM CCC[C@](C)(NCC(=O)NCc1ccc(C)c(F)c1)C(=O)[O-] ZINC000314403929 600156598 /nfs/dbraw/zinc/15/65/98/600156598.db2.gz XWHDHVKPFQFTQY-INIZCTEOSA-N -1 1 310.369 1.983 20 0 DDADMM CCn1c(=O)[nH]nc1SCc1noc(CCCC(=O)[O-])n1 ZINC000737299169 600184338 /nfs/dbraw/zinc/18/43/38/600184338.db2.gz KUDAVQKIDDPCHO-UHFFFAOYSA-N -1 1 313.339 1.086 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN1CCC(n2ccnc2)CC1)C(=O)[O-] ZINC000736877937 600317581 /nfs/dbraw/zinc/31/75/81/600317581.db2.gz KWIHECULCCDOIG-SWLSCSKDSA-N -1 1 322.409 1.136 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000736210645 600334684 /nfs/dbraw/zinc/33/46/84/600334684.db2.gz YSBOFZXJEBJLIF-JQWIXIFHSA-N -1 1 310.781 1.719 20 0 DDADMM Cc1cc(CNC(=O)C(=O)Nc2cccc(NC(=O)[O-])c2)n[nH]1 ZINC000738384063 600348589 /nfs/dbraw/zinc/34/85/89/600348589.db2.gz GQYSNCSVVFHNID-UHFFFAOYSA-N -1 1 317.305 1.063 20 0 DDADMM COCCN(CC(=O)[O-])C[C@@H](O)c1ccc2ccccc2c1 ZINC000737777630 600447071 /nfs/dbraw/zinc/44/70/71/600447071.db2.gz IWVBZGMDTFTHMA-MRXNPFEDSA-N -1 1 303.358 1.906 20 0 DDADMM C[C@@H]1CCCN(C(=O)CN(CCC(=O)[O-])C[C@H]2CCCO2)C1 ZINC000736789265 600538160 /nfs/dbraw/zinc/53/81/60/600538160.db2.gz LPBROBMOOGMSJC-ZIAGYGMSSA-N -1 1 312.410 1.201 20 0 DDADMM C[C@@H]1CCCCN1C(=O)CN(CCC(=O)[O-])C[C@@H]1CCCO1 ZINC000736785644 600539630 /nfs/dbraw/zinc/53/96/30/600539630.db2.gz URVWOHVLEHBFLQ-KGLIPLIRSA-N -1 1 312.410 1.343 20 0 DDADMM C[C@@H]1CCCCN1C(=O)CN(CCC(=O)[O-])C[C@H]1CCCO1 ZINC000736785646 600539791 /nfs/dbraw/zinc/53/97/91/600539791.db2.gz URVWOHVLEHBFLQ-ZIAGYGMSSA-N -1 1 312.410 1.343 20 0 DDADMM COC[C@H]1CCCN(CC(=O)Nc2cc(C(=O)[O-])ccc2C)C1 ZINC000737755099 600614150 /nfs/dbraw/zinc/61/41/50/600614150.db2.gz VDGFZIKPHZCMNC-ZDUSSCGKSA-N -1 1 320.389 1.990 20 0 DDADMM CN(C)Cc1cc(CNc2ccc(C(=O)[O-])nn2)ccc1F ZINC000737368425 600624132 /nfs/dbraw/zinc/62/41/32/600624132.db2.gz PIDACUANHVFVNX-UHFFFAOYSA-N -1 1 304.325 1.988 20 0 DDADMM Cc1ccsc1[C@H]1C[C@H]1C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000826316414 607460429 /nfs/dbraw/zinc/46/04/29/607460429.db2.gz NLFADKAXEQXKBA-JGVFFNPUSA-N -1 1 315.362 1.459 20 0 DDADMM O=C([O-])c1ccsc1N1CC[C@@H](N2CC[C@H](CCO)C2)C1=O ZINC000833335872 600798112 /nfs/dbraw/zinc/79/81/12/600798112.db2.gz FNZMBMOXZXZWBL-ZYHUDNBSSA-N -1 1 324.402 1.256 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)N(C)CC[C@@H](C)O ZINC000832914943 600833691 /nfs/dbraw/zinc/83/36/91/600833691.db2.gz HDTVGWKBGMSCMN-VXGBXAGGSA-N -1 1 308.378 1.723 20 0 DDADMM O=C([O-])[C@H]1CCC[C@H](C(=O)Nc2nc(-c3ccccn3)n[nH]2)C1 ZINC000833189533 600907436 /nfs/dbraw/zinc/90/74/36/600907436.db2.gz SRKVLWKGERQBCQ-UWVGGRQHSA-N -1 1 315.333 1.696 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1[nH]nc2c1CCCC2)c1ccccn1 ZINC000833126207 600913190 /nfs/dbraw/zinc/91/31/90/600913190.db2.gz NVORUHNGTHWOAJ-ZDUSSCGKSA-N -1 1 300.318 1.239 20 0 DDADMM CC[C@H](O)[C@@H]1CCCCN1CC(=O)N[C@H](CC(C)C)C(=O)[O-] ZINC000736925794 600977775 /nfs/dbraw/zinc/97/77/75/600977775.db2.gz WYYFESAKCCOCHK-RDBSUJKOSA-N -1 1 314.426 1.227 20 0 DDADMM CC[C@@H]1CN([C@@H](C(=O)[O-])c2ccccc2F)CCN1C[C@H](C)O ZINC000829141711 600999743 /nfs/dbraw/zinc/99/97/43/600999743.db2.gz OMASTPLNUYXXEO-WOSRLPQWSA-N -1 1 324.396 1.728 20 0 DDADMM COCCN1CCN([C@H](C(=O)[O-])c2ccccc2F)C[C@@H]1C ZINC000831745455 601000923 /nfs/dbraw/zinc/00/09/23/601000923.db2.gz QQNOUYNYWPRFFL-WFASDCNBSA-N -1 1 310.369 1.604 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)CN1CCC2(C1)CCOCC2 ZINC000833106048 601052080 /nfs/dbraw/zinc/05/20/80/601052080.db2.gz PALHSZGVKVTTAQ-UHFFFAOYSA-N -1 1 318.373 1.826 20 0 DDADMM COc1ccc(NCc2ccc(-c3nn[n-]n3)s2)c(OC)n1 ZINC000821835384 607490870 /nfs/dbraw/zinc/49/08/70/607490870.db2.gz JCLXGKLLHAYSQE-UHFFFAOYSA-N -1 1 318.362 1.953 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000833019263 601088796 /nfs/dbraw/zinc/08/87/96/601088796.db2.gz IIWRJGBNKTUWJB-QWRGUYRKSA-N -1 1 323.393 1.402 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CC2(Cl)Cl)CC1 ZINC000827357420 601089815 /nfs/dbraw/zinc/08/98/15/601089815.db2.gz XZWUEZMNYKAGMN-DTWKUNHWSA-N -1 1 309.193 1.188 20 0 DDADMM C[C@@H](C(=O)N(CCC(=O)[O-])Cc1ccc(F)c(F)c1)N(C)C ZINC000832797860 601136995 /nfs/dbraw/zinc/13/69/95/601136995.db2.gz DWJQHGBTBOOGNG-JTQLQIEISA-N -1 1 314.332 1.718 20 0 DDADMM C/C(=C/CN1CCO[C@H](c2nc(C(C)(C)C)n[nH]2)C1)C(=O)[O-] ZINC000825746868 601177707 /nfs/dbraw/zinc/17/77/07/601177707.db2.gz LWGCFPFEXYMHQD-VQNWOSHQSA-N -1 1 308.382 1.506 20 0 DDADMM C/C(=C/CN1CCO[C@H](c2nnc(C(C)(C)C)[nH]2)C1)C(=O)[O-] ZINC000825746868 601177708 /nfs/dbraw/zinc/17/77/08/601177708.db2.gz LWGCFPFEXYMHQD-VQNWOSHQSA-N -1 1 308.382 1.506 20 0 DDADMM COC[C@@]1(C)CC(=O)N(CN2CCC3(C[C@@H]3C(=O)[O-])CC2)C1 ZINC000831660444 601301128 /nfs/dbraw/zinc/30/11/28/601301128.db2.gz IKOXVIQPSUXGON-DOMZBBRYSA-N -1 1 310.394 1.016 20 0 DDADMM CCC1(O)CCN(Cn2nc(C(=O)[O-])c3ccccc32)CC1 ZINC000829070147 601401275 /nfs/dbraw/zinc/40/12/75/601401275.db2.gz ZEZNZJFELGMIBR-UHFFFAOYSA-N -1 1 303.362 1.929 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCN(C[C@H](O)CC)CC1 ZINC000830374912 601419824 /nfs/dbraw/zinc/41/98/24/601419824.db2.gz IVHUKUZBLRAVIN-CYBMUJFWSA-N -1 1 310.394 1.429 20 0 DDADMM Cc1ccc(C)c(OC[C@H](O)CN2CC[C@](F)(C(=O)[O-])C2)c1 ZINC000832926271 601440454 /nfs/dbraw/zinc/44/04/54/601440454.db2.gz KYDYKFCOMYQTBU-CZUORRHYSA-N -1 1 311.353 1.542 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCC(c2ccc(F)cc2)CC1 ZINC000833236880 601466810 /nfs/dbraw/zinc/46/68/10/601466810.db2.gz OKKLAQVHMIKKOM-UHFFFAOYSA-N -1 1 308.353 1.596 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CN2C[C@H](C(=O)[O-])[C@H](C)C2)c1 ZINC000825912929 601515616 /nfs/dbraw/zinc/51/56/16/601515616.db2.gz HCSMHDUMCOFWEF-YGRLFVJLSA-N -1 1 319.361 1.236 20 0 DDADMM C[C@H](C(=O)Nc1cc(F)ccc1F)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828422122 601528138 /nfs/dbraw/zinc/52/81/38/601528138.db2.gz HVXCYFITSYMSOW-FXPVBKGRSA-N -1 1 312.316 1.944 20 0 DDADMM Cc1cc(C(=O)CN(CCC(=O)[O-])C2CCOCC2)c(C)n1C ZINC000832857811 601596284 /nfs/dbraw/zinc/59/62/84/601596284.db2.gz BDVDQWNLETUCKT-UHFFFAOYSA-N -1 1 322.405 1.780 20 0 DDADMM C[C@H](NC(=O)c1[nH]nc2c1CCCC2)[C@H]1CCCN(C(=O)[O-])C1 ZINC000739025920 601870735 /nfs/dbraw/zinc/87/07/35/601870735.db2.gz LDVAGPXQIRZUFX-QWRGUYRKSA-N -1 1 320.393 1.797 20 0 DDADMM C[C@H](NC(=O)c1[nH]nc2c1CCCC2)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000739025922 601870803 /nfs/dbraw/zinc/87/08/03/601870803.db2.gz LDVAGPXQIRZUFX-WDEREUQCSA-N -1 1 320.393 1.797 20 0 DDADMM C[C@@H](NC(=O)c1ccc2[nH]nnc2c1)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000739022211 601871608 /nfs/dbraw/zinc/87/16/08/601871608.db2.gz DDMAKCKKAKZHFT-MWLCHTKSSA-N -1 1 317.349 1.466 20 0 DDADMM Cc1ccc([C@H](CNC(=O)CCNC(=O)[O-])N2CCCC2)o1 ZINC000738261487 601887563 /nfs/dbraw/zinc/88/75/63/601887563.db2.gz LKXBCGYSQXJIRU-LBPRGKRZSA-N -1 1 309.366 1.499 20 0 DDADMM COC(=O)C[C@H]1CSCCN1Cc1ccsc1C(=O)[O-] ZINC000831147940 601892393 /nfs/dbraw/zinc/89/23/93/601892393.db2.gz LWMITRLHVAZVEH-JTQLQIEISA-N -1 1 315.416 1.927 20 0 DDADMM C[C@H]1CCCN(C(=O)CN2CCSC[C@H]2CC(=O)[O-])C1 ZINC000252218085 601919954 /nfs/dbraw/zinc/91/99/54/601919954.db2.gz YGYZMPWRICEFBI-NWDGAFQWSA-N -1 1 300.424 1.137 20 0 DDADMM Cc1nnc(SCCC(=O)Nc2cccc(CC(=O)[O-])c2)[nH]1 ZINC000819036149 602077760 /nfs/dbraw/zinc/07/77/60/602077760.db2.gz YVGPBRLMMYHXOK-UHFFFAOYSA-N -1 1 320.374 1.861 20 0 DDADMM CCOC(=O)[C@@H](CC)SCc1ccnc(-c2nnn[n-]2)c1 ZINC000825486003 607550449 /nfs/dbraw/zinc/55/04/49/607550449.db2.gz LNAYVOKPUNDGTI-LLVKDONJSA-N -1 1 307.379 1.837 20 0 DDADMM CCOC(=O)[C@@H](CC)SCc1ccnc(-c2nn[n-]n2)c1 ZINC000825486003 607550450 /nfs/dbraw/zinc/55/04/50/607550450.db2.gz LNAYVOKPUNDGTI-LLVKDONJSA-N -1 1 307.379 1.837 20 0 DDADMM Cc1ccc([C@H](CNC(=O)CN(C)C(=O)[O-])N2CCCC2)o1 ZINC000738562371 602196879 /nfs/dbraw/zinc/19/68/79/602196879.db2.gz WAQBYIISIIXXJW-LBPRGKRZSA-N -1 1 309.366 1.451 20 0 DDADMM CC[C@@H](C)[C@@H](NCC(=O)NCc1ccc(OC)cc1)C(=O)[O-] ZINC000828735970 602381350 /nfs/dbraw/zinc/38/13/50/602381350.db2.gz DPDLBJXUYOVEMK-IAQYHMDHSA-N -1 1 308.378 1.400 20 0 DDADMM O=S(=O)(NCc1ccco1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826492249 607571925 /nfs/dbraw/zinc/57/19/25/607571925.db2.gz ZOLDKEGCBPNRMI-UHFFFAOYSA-N -1 1 323.309 1.077 20 0 DDADMM O=S(=O)(NCc1ccco1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826492249 607571926 /nfs/dbraw/zinc/57/19/26/607571926.db2.gz ZOLDKEGCBPNRMI-UHFFFAOYSA-N -1 1 323.309 1.077 20 0 DDADMM CC(C)C[C@@H](CNC(=O)[O-])NC(=O)c1n[nH]c2ccccc21 ZINC000738809702 602530552 /nfs/dbraw/zinc/53/05/52/602530552.db2.gz HYBAJGXLJVLDGT-JTQLQIEISA-N -1 1 304.350 1.975 20 0 DDADMM CN(C(=O)[O-])C1CCN(C[C@@H]2COc3ccccc3O2)CC1 ZINC000737939565 602556619 /nfs/dbraw/zinc/55/66/19/602556619.db2.gz PAZFYSBPMQBADT-CYBMUJFWSA-N -1 1 306.362 1.901 20 0 DDADMM Cc1c(O)ccc2c(CN(C)[C@H](C)CNC(=O)[O-])cc(=O)oc12 ZINC000740049753 602561856 /nfs/dbraw/zinc/56/18/56/602561856.db2.gz OBJYYGMCKBCJTA-SECBINFHSA-N -1 1 320.345 1.895 20 0 DDADMM Cc1csc2nc(CN(C)[C@@H](C)CNC(=O)[O-])cc(=O)n12 ZINC000740207410 602561881 /nfs/dbraw/zinc/56/18/81/602561881.db2.gz JUGHOYHRVHOEAL-QMMMGPOBSA-N -1 1 310.379 1.152 20 0 DDADMM CCCN(CC(=O)N1CCC[C@H](C)C1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739352690 602578383 /nfs/dbraw/zinc/57/83/83/602578383.db2.gz VSGDFLOFHPEJLA-UONOGXRCSA-N -1 1 311.426 1.709 20 0 DDADMM O=C([O-])N1CCC(NC(=O)N2CCN3CCCC[C@H]3C2)CC1 ZINC000738637468 602616901 /nfs/dbraw/zinc/61/69/01/602616901.db2.gz BQHMGGNDXLWZOT-ZDUSSCGKSA-N -1 1 310.398 1.009 20 0 DDADMM Cc1[nH]ncc1C(=O)Nc1nc2c(s1)CN(C(=O)[O-])CC2 ZINC000740034586 602713921 /nfs/dbraw/zinc/71/39/21/602713921.db2.gz XFRKQEARJHMSKP-UHFFFAOYSA-N -1 1 307.335 1.463 20 0 DDADMM C[C@@H](C1CC1)N(C(=O)CN1CC[C@@H](NC(=O)[O-])[C@H](C)C1)C1CC1 ZINC000739171593 602789492 /nfs/dbraw/zinc/78/94/92/602789492.db2.gz IUKZFXLIVHQWKG-TYNCELHUSA-N -1 1 323.437 1.754 20 0 DDADMM CC(C)CN(C(=O)CN1CC[C@@H](NC(=O)[O-])[C@@H](C)C1)C1CC1 ZINC000738819194 602793328 /nfs/dbraw/zinc/79/33/28/602793328.db2.gz DMZLGMSKECCZSU-GXTWGEPZSA-N -1 1 311.426 1.611 20 0 DDADMM CSCC[C@@H](NCCNC(=O)[O-])c1nnc2ccccn21 ZINC000740006480 602874685 /nfs/dbraw/zinc/87/46/85/602874685.db2.gz ALLIZSRWMKYBNZ-SNVBAGLBSA-N -1 1 309.395 1.381 20 0 DDADMM Cc1cc(CNC(=O)[C@@H](Cc2ccccc2)NC(=O)[O-])n[nH]1 ZINC000740074810 602909441 /nfs/dbraw/zinc/90/94/41/602909441.db2.gz ZSDKNRPORDORAP-CYBMUJFWSA-N -1 1 302.334 1.213 20 0 DDADMM CCN(C(C)C)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825391051 607603073 /nfs/dbraw/zinc/60/30/73/607603073.db2.gz TVZIWJCZEBDKCW-UHFFFAOYSA-N -1 1 313.358 1.425 20 0 DDADMM CCN(C(C)C)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825391051 607603074 /nfs/dbraw/zinc/60/30/74/607603074.db2.gz TVZIWJCZEBDKCW-UHFFFAOYSA-N -1 1 313.358 1.425 20 0 DDADMM CC(C)[C@@](C)(CNC(=O)[O-])NCc1nnnn1-c1ccccc1 ZINC000738774925 603134817 /nfs/dbraw/zinc/13/48/17/603134817.db2.gz ZDCWDDBNJOZMET-OAHLLOKOSA-N -1 1 318.381 1.434 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H](C(=O)N2CCN3CCCC[C@@H]3C2)C1 ZINC000740565499 603183685 /nfs/dbraw/zinc/18/36/85/603183685.db2.gz QVSMSVQNPWCTIV-BFHYXJOUSA-N -1 1 309.410 1.510 20 0 DDADMM CC(=O)c1ccccc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824029349 607613430 /nfs/dbraw/zinc/61/34/30/607613430.db2.gz IUHFACVXLVVTGB-UHFFFAOYSA-N -1 1 323.312 1.821 20 0 DDADMM CC(=O)c1ccccc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824029349 607613432 /nfs/dbraw/zinc/61/34/32/607613432.db2.gz IUHFACVXLVVTGB-UHFFFAOYSA-N -1 1 323.312 1.821 20 0 DDADMM C[C@H](CNC(=O)[O-])N(C)C(=O)[C@H](C)N1CCc2ccccc2C1 ZINC000738605770 603197563 /nfs/dbraw/zinc/19/75/63/603197563.db2.gz XTPIYVRMVIVZIS-OLZOCXBDSA-N -1 1 319.405 1.548 20 0 DDADMM CN(CCCNC(=O)[O-])C(=O)c1cc(-c2ccc(F)cc2)[nH]n1 ZINC000739676131 603239680 /nfs/dbraw/zinc/23/96/80/603239680.db2.gz AESOTQKMGTVDLZ-UHFFFAOYSA-N -1 1 320.324 1.946 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(F)cc1F)N(C)CCCNC(=O)[O-] ZINC000738631260 603249156 /nfs/dbraw/zinc/24/91/56/603249156.db2.gz NEAXXQDTPASWON-VIFPVBQESA-N -1 1 315.320 1.881 20 0 DDADMM O=C([O-])N1CC[C@H](C(=O)Nc2ccn(-c3ccncc3)n2)C1 ZINC000740474750 603251532 /nfs/dbraw/zinc/25/15/32/603251532.db2.gz BIINJDBXDFDMGS-JTQLQIEISA-N -1 1 301.306 1.206 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCN(Cc3ccsc3)CC2)C1 ZINC000740504000 603324425 /nfs/dbraw/zinc/32/44/25/603324425.db2.gz SAUMIFHIITZLMJ-ZDUSSCGKSA-N -1 1 309.435 1.866 20 0 DDADMM Cc1cc(C)n(C[C@@H]2CN(C[C@H]3CCN(C(=O)[O-])C3)CCO2)n1 ZINC000740069296 603340297 /nfs/dbraw/zinc/34/02/97/603340297.db2.gz NFNMVGLNHSPBRV-CABCVRRESA-N -1 1 322.409 1.201 20 0 DDADMM C[C@H](CC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C)NC(=O)[O-] ZINC000824630589 603368669 /nfs/dbraw/zinc/36/86/69/603368669.db2.gz XIXXYKGMFFAFEX-MFKMUULPSA-N -1 1 311.357 1.591 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc3n[nH]cc32)CC[C@@H]1NC(=O)[O-] ZINC000739165886 603371198 /nfs/dbraw/zinc/37/11/98/603371198.db2.gz IVTDIIQVEDTRNC-SKDRFNHKSA-N -1 1 302.334 1.681 20 0 DDADMM CCN(CC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C)C(=O)[O-] ZINC000739391375 603425907 /nfs/dbraw/zinc/42/59/07/603425907.db2.gz DNINATJFKRGNJM-ZDUSSCGKSA-N -1 1 311.357 1.545 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@@H](C(=O)Nc2nc(-c3ccccn3)n[nH]2)C1 ZINC000832293982 603484444 /nfs/dbraw/zinc/48/44/44/603484444.db2.gz AARXPOZAGXRWAX-RKDXNWHRSA-N -1 1 316.321 1.242 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCN(C(=O)c2cc(-c3cccs3)[nH]n2)C1 ZINC000827865665 603544514 /nfs/dbraw/zinc/54/45/14/603544514.db2.gz SBEJTIUYDFQABN-VIFPVBQESA-N -1 1 320.374 1.963 20 0 DDADMM C[C@H]1CN(C(=O)[O-])CCN1CCC(=O)Nc1cc(N)ccc1F ZINC000826027768 603568851 /nfs/dbraw/zinc/56/88/51/603568851.db2.gz WBDDMOXGGVWUHO-JTQLQIEISA-N -1 1 324.356 1.421 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H]3CC[C@@H](NC(=O)[O-])C3)[nH]c2c1 ZINC000830433430 603764708 /nfs/dbraw/zinc/76/47/08/603764708.db2.gz UFJGDRCHZCBSDA-WDEREUQCSA-N -1 1 316.361 1.924 20 0 DDADMM O=C([O-])N1CC(CC(=O)Nc2ccn(-c3ccncc3)n2)C1 ZINC000831369800 603798722 /nfs/dbraw/zinc/79/87/22/603798722.db2.gz JGDGREDPUQCTQV-UHFFFAOYSA-N -1 1 301.306 1.206 20 0 DDADMM C[C@H](C(=O)NCC(C)(C)NC(=O)[O-])N(C)Cc1ccccc1 ZINC000823688094 603869616 /nfs/dbraw/zinc/86/96/16/603869616.db2.gz ZJPSFFKCGVSCMU-GFCCVEGCSA-N -1 1 307.394 1.669 20 0 DDADMM C[C@H](CN1CCCC[C@H]1[C@@H](C)NC(=O)[O-])CS(C)(=O)=O ZINC000824908260 603901006 /nfs/dbraw/zinc/90/10/06/603901006.db2.gz SBZFSQYTDRFLKP-UTUOFQBUSA-N -1 1 306.428 1.178 20 0 DDADMM O=C([O-])NC[C@@H]1CCCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000832522481 603947274 /nfs/dbraw/zinc/94/72/74/603947274.db2.gz WILZUMUIWSVUAT-WDEREUQCSA-N -1 1 306.366 1.478 20 0 DDADMM CN(Cc1cccc(NC(=O)CCc2nc[nH]n2)c1)C(=O)[O-] ZINC000828375887 603949207 /nfs/dbraw/zinc/94/92/07/603949207.db2.gz WKDLKPXAOPWDIQ-UHFFFAOYSA-N -1 1 303.322 1.486 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)CN(CC2CC2)C(=O)[O-])c1 ZINC000828046326 604066904 /nfs/dbraw/zinc/06/69/04/604066904.db2.gz JTBDTAWVOOELBY-UHFFFAOYSA-N -1 1 306.366 1.472 20 0 DDADMM CN(C[C@H]1CCCN1CC(=O)N(C1CC1)C1CCCC1)C(=O)[O-] ZINC000828224499 604098807 /nfs/dbraw/zinc/09/88/07/604098807.db2.gz YPUJAISAQAHFJC-OAHLLOKOSA-N -1 1 323.437 1.994 20 0 DDADMM CN(C)C(=O)c1cccc(CN2CCC[C@H]2CN(C)C(=O)[O-])c1 ZINC000827989254 604109124 /nfs/dbraw/zinc/10/91/24/604109124.db2.gz UJBZLRHJEZJJIS-HNNXBMFYSA-N -1 1 319.405 1.963 20 0 DDADMM O=C([O-])N1CCC[C@@H]1[C@@H]1CCCN(C(=O)CCc2nc[nH]n2)C1 ZINC000831441109 604153041 /nfs/dbraw/zinc/15/30/41/604153041.db2.gz DNZNDDVXAYALLL-VXGBXAGGSA-N -1 1 321.381 1.118 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)N(C)Cc2ccco2)C1 ZINC000825251769 604176231 /nfs/dbraw/zinc/17/62/31/604176231.db2.gz AVLUYOUAUCIHEP-CHWSQXEVSA-N -1 1 323.393 1.606 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)c1c(C)[nH]c(C(=O)[O-])c1C ZINC000316666612 604369315 /nfs/dbraw/zinc/36/93/15/604369315.db2.gz UQMCGLXIYSSXIN-UHFFFAOYSA-N -1 1 304.350 1.724 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](NC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823664959 604466068 /nfs/dbraw/zinc/46/60/68/604466068.db2.gz YRHPTUZFXTVLCT-TZMCWYRMSA-N -1 1 305.378 1.375 20 0 DDADMM O=C([O-])N[C@@H]1CCN(C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000832376376 604553531 /nfs/dbraw/zinc/55/35/31/604553531.db2.gz VJNMLDUKJWREJY-SNVBAGLBSA-N -1 1 316.321 1.141 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)c2ccc(C(=O)[O-])cc2)[nH]n1 ZINC000821304883 604645498 /nfs/dbraw/zinc/64/54/98/604645498.db2.gz JADXUWPDZUENHQ-UHFFFAOYSA-N -1 1 311.301 1.761 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@H](C)CN(C)C(=O)[O-])C2)n[nH]1 ZINC000830735900 604646648 /nfs/dbraw/zinc/64/66/48/604646648.db2.gz XHCINTWTWSYEEW-MWLCHTKSSA-N -1 1 309.370 1.065 20 0 DDADMM CCOC[C@@H](C(=O)[O-])N(C)C(=O)[C@H](C)N(C)Cc1ccccc1 ZINC000829940537 604712479 /nfs/dbraw/zinc/71/24/79/604712479.db2.gz UEZCQFIUKJSJEG-ZFWWWQNUSA-N -1 1 322.405 1.455 20 0 DDADMM C[C@@H](NC(=O)CN1CCC(C)CC1)[C@H]1CCCN(C(=O)[O-])C1 ZINC000825944998 604851266 /nfs/dbraw/zinc/85/12/66/604851266.db2.gz RXWXFNQYNYPIIM-KGLIPLIRSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@@H](O)[C@@H]1CCCN(CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC000833528149 604924574 /nfs/dbraw/zinc/92/45/74/604924574.db2.gz NHAUXVMUXNTONK-CHWSQXEVSA-N -1 1 320.389 1.440 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC1(C2CC2)CCC1 ZINC000833627127 605056370 /nfs/dbraw/zinc/05/63/70/605056370.db2.gz UMDLXNYQDGBIAY-ZDUSSCGKSA-N -1 1 323.437 1.757 20 0 DDADMM CC(C)Oc1cccc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])n1 ZINC000833506594 605079998 /nfs/dbraw/zinc/07/99/98/605079998.db2.gz GEJCNOFIJRMUQH-LBPRGKRZSA-N -1 1 318.333 1.018 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)C1CCSCC1 ZINC000833625688 605103191 /nfs/dbraw/zinc/10/31/91/605103191.db2.gz XKCKCCAZNVIMMY-NSHDSACASA-N -1 1 300.424 1.137 20 0 DDADMM CCOC(=O)N(C)C1CCN(Cc2ccc(C(=O)[O-])[nH]2)CC1 ZINC000833658492 605106725 /nfs/dbraw/zinc/10/67/25/605106725.db2.gz DOPUBVPCMRXNCK-UHFFFAOYSA-N -1 1 309.366 1.766 20 0 DDADMM C[C@@H]1[C@@H](c2ccccc2)CCN1CN1C[C@@H](C(=O)[O-])CC1=O ZINC000833586357 605176488 /nfs/dbraw/zinc/17/64/88/605176488.db2.gz FLIYFURGKVXYEY-SNPRPXQTSA-N -1 1 302.374 1.755 20 0 DDADMM CCCN(CCC)C(=O)CN1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833651327 605195799 /nfs/dbraw/zinc/19/57/99/605195799.db2.gz WUXBEXMJKHOSPR-CQSZACIVSA-N -1 1 313.442 1.116 20 0 DDADMM C[C@H]1CN(C(=O)[C@H](F)Cc2ccccc2)CCN1CCC(=O)[O-] ZINC000833620458 605407844 /nfs/dbraw/zinc/40/78/44/605407844.db2.gz XTSYGSXQFLGDGG-DZGCQCFKSA-N -1 1 322.380 1.575 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@H](NC(=O)[O-])C[C@@H]2C)n[nH]1 ZINC000830029093 605571425 /nfs/dbraw/zinc/57/14/25/605571425.db2.gz FGIIXHDUCBMFJH-DLOVCJGASA-N -1 1 323.397 1.479 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1Cc1ccc(-n2cncn2)c(F)c1 ZINC000834221948 605613899 /nfs/dbraw/zinc/61/38/99/605613899.db2.gz VGSWIOXGBVRONZ-LBPRGKRZSA-N -1 1 319.340 1.638 20 0 DDADMM Cc1nn2c(CN3C[C@@H](CNC(=O)[O-])C[C@@H]3C)c(C)nc2s1 ZINC000833922015 605684894 /nfs/dbraw/zinc/68/48/94/605684894.db2.gz XOKZCJHAESAPEQ-GZMMTYOYSA-N -1 1 323.422 1.886 20 0 DDADMM O=C([O-])N1CC[C@@H](C(=O)N[C@@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000834016690 605691477 /nfs/dbraw/zinc/69/14/77/605691477.db2.gz WYUSMUQNBMHNQA-VXGBXAGGSA-N -1 1 315.333 1.010 20 0 DDADMM O=C([O-])[C@H]1C[C@H]1C(=O)N1CCC(CCCN2CCOCC2)CC1 ZINC000833771759 605727402 /nfs/dbraw/zinc/72/74/02/605727402.db2.gz PDNJEZJKBGRNAQ-CABCVRRESA-N -1 1 324.421 1.058 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NCc1ccc(F)cc1 ZINC000833829732 605886590 /nfs/dbraw/zinc/88/65/90/605886590.db2.gz JAVKSJJHTMHZDO-WCQYABFASA-N -1 1 323.368 1.420 20 0 DDADMM O=C([O-])NC1C[C@H]2CCC[C@@H](C1)N2CC(=O)N1CCCCC1 ZINC000832235125 605942712 /nfs/dbraw/zinc/94/27/12/605942712.db2.gz XIINNHBDFMSOTD-AGUYFDCRSA-N -1 1 309.410 1.652 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])CN1CN1CC2(CCCC2)CC1=O ZINC000833829160 605956128 /nfs/dbraw/zinc/95/61/28/605956128.db2.gz FWMZESDNZZFTHZ-QWHCGFSZSA-N -1 1 309.410 1.715 20 0 DDADMM O=C([O-])N1CCCN1C(=O)c1ccc(Nc2ccncc2)cc1 ZINC000834112354 605983256 /nfs/dbraw/zinc/98/32/56/605983256.db2.gz UZMYPTYXPWYOOP-UHFFFAOYSA-N -1 1 312.329 1.988 20 0 DDADMM C[C@]1(NC(=O)[O-])CCCC[C@@H]1C(=O)NO[C@@H]1CCCCO1 ZINC000833829790 606030159 /nfs/dbraw/zinc/03/01/59/606030159.db2.gz KXCKLFNYPBFQDE-GYSYKLTISA-N -1 1 300.355 1.777 20 0 DDADMM Cc1nc2ccc(NC(=O)CCOC3CN(C(=O)[O-])C3)cc2[nH]1 ZINC000833916120 606090433 /nfs/dbraw/zinc/09/04/33/606090433.db2.gz HKQQKQZEYDVEFO-UHFFFAOYSA-N -1 1 318.333 1.579 20 0 DDADMM Cc1ccc(CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)s1 ZINC000822237496 606157159 /nfs/dbraw/zinc/15/71/59/606157159.db2.gz WTVPGTCTXIUNFU-UHFFFAOYSA-N -1 1 318.366 1.292 20 0 DDADMM Cc1ccc(CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)s1 ZINC000822237496 606157162 /nfs/dbraw/zinc/15/71/62/606157162.db2.gz WTVPGTCTXIUNFU-UHFFFAOYSA-N -1 1 318.366 1.292 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@H]2C[C@H]2c2ccccc2)n1 ZINC000822614260 606163023 /nfs/dbraw/zinc/16/30/23/606163023.db2.gz KRDMAAMEJMNMKZ-CMPLNLGQSA-N -1 1 324.348 1.278 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@H]2C[C@H]2c2ccccc2)n1 ZINC000822614260 606163025 /nfs/dbraw/zinc/16/30/25/606163025.db2.gz KRDMAAMEJMNMKZ-CMPLNLGQSA-N -1 1 324.348 1.278 20 0 DDADMM CCCc1nnc(NC(=O)c2ccc(-c3nn[n-]n3)s2)s1 ZINC000821143751 606493383 /nfs/dbraw/zinc/49/33/83/606493383.db2.gz XADZVURJTBLXBD-UHFFFAOYSA-N -1 1 321.391 1.985 20 0 DDADMM O=C(NCC1(O)CCCCC1)c1ccc(-c2nnn[n-]2)s1 ZINC000823171520 606568644 /nfs/dbraw/zinc/56/86/44/606568644.db2.gz WYVGTYCDDVZXAU-UHFFFAOYSA-N -1 1 307.379 1.353 20 0 DDADMM O=C(NCC1(O)CCCCC1)c1ccc(-c2nn[n-]n2)s1 ZINC000823171520 606568645 /nfs/dbraw/zinc/56/86/45/606568645.db2.gz WYVGTYCDDVZXAU-UHFFFAOYSA-N -1 1 307.379 1.353 20 0 DDADMM CC(C)[C@H]1OCC[C@@H]1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820046793 606583734 /nfs/dbraw/zinc/58/37/34/606583734.db2.gz UHCPKHBSVQIGID-ZWNOBZJWSA-N -1 1 316.365 1.053 20 0 DDADMM CC(C)[C@H]1OCC[C@@H]1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820046793 606583736 /nfs/dbraw/zinc/58/37/36/606583736.db2.gz UHCPKHBSVQIGID-ZWNOBZJWSA-N -1 1 316.365 1.053 20 0 DDADMM Cc1ccc(CC(=O)n2ncc(-c3nn[n-]n3)c2N)c(Cl)c1 ZINC000822234625 606815861 /nfs/dbraw/zinc/81/58/61/606815861.db2.gz BPRLEGXHPXSVRG-UHFFFAOYSA-N -1 1 317.740 1.490 20 0 DDADMM COc1cccc(CCCn2cccc(-c3nn[n-]n3)c2=O)c1 ZINC000821867914 607124348 /nfs/dbraw/zinc/12/43/48/607124348.db2.gz LCSGIIFMUXAVIF-UHFFFAOYSA-N -1 1 311.345 1.670 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)[C@H]2CC23CCC3)n1 ZINC000819971104 607143725 /nfs/dbraw/zinc/14/37/25/607143725.db2.gz CZUVAAQZQPHTHT-SNVBAGLBSA-N -1 1 315.381 1.947 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)[C@H]2CC23CCC3)n1 ZINC000819971104 607143728 /nfs/dbraw/zinc/14/37/28/607143728.db2.gz CZUVAAQZQPHTHT-SNVBAGLBSA-N -1 1 315.381 1.947 20 0 DDADMM O=c1c(-c2nn[n-]n2)cn(Cc2cscn2)c2ccccc12 ZINC000823658017 607237713 /nfs/dbraw/zinc/23/77/13/607237713.db2.gz YGQBEJLHKBVIPC-UHFFFAOYSA-N -1 1 310.342 1.686 20 0 DDADMM CCN1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C[C@@H]1C ZINC000825459056 607825147 /nfs/dbraw/zinc/82/51/47/607825147.db2.gz HMVZQZIWIRCLTE-VIFPVBQESA-N -1 1 306.395 1.094 20 0 DDADMM CC(=O)N1CCc2cc(Nc3cccc(-c4nnn[n-]4)n3)ccc21 ZINC000823989113 607895311 /nfs/dbraw/zinc/89/53/11/607895311.db2.gz MZZHGJSCDBXLIY-UHFFFAOYSA-N -1 1 321.344 1.914 20 0 DDADMM CC(=O)N1CCc2cc(Nc3cccc(-c4nn[n-]n4)n3)ccc21 ZINC000823989113 607895312 /nfs/dbraw/zinc/89/53/12/607895312.db2.gz MZZHGJSCDBXLIY-UHFFFAOYSA-N -1 1 321.344 1.914 20 0 DDADMM CC1=CCN(S(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)CC1 ZINC000824860914 607906193 /nfs/dbraw/zinc/90/61/93/607906193.db2.gz ZOEFQTKSPORPDU-UHFFFAOYSA-N -1 1 323.353 1.347 20 0 DDADMM c1nn(-c2ccccc2)cc1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826519695 607988925 /nfs/dbraw/zinc/98/89/25/607988925.db2.gz KEOQAPCPAPVFMP-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1nn(-c2ccccc2)cc1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826519695 607988926 /nfs/dbraw/zinc/98/89/26/607988926.db2.gz KEOQAPCPAPVFMP-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM O=C(C=Cc1cccnc1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826403056 607993145 /nfs/dbraw/zinc/99/31/45/607993145.db2.gz IYKMMSQSMJXUSC-ONEGZZNKSA-N -1 1 308.301 1.413 20 0 DDADMM O=C(C=Cc1cccnc1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826403056 607993146 /nfs/dbraw/zinc/99/31/46/607993146.db2.gz IYKMMSQSMJXUSC-ONEGZZNKSA-N -1 1 308.301 1.413 20 0 DDADMM CC[C@@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)C[C@H]1O ZINC000825221108 608018266 /nfs/dbraw/zinc/01/82/66/608018266.db2.gz YATSZGIPAPVIFN-PHDIDXHHSA-N -1 1 300.775 1.184 20 0 DDADMM CC[C@@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)C[C@H]1O ZINC000825221108 608018267 /nfs/dbraw/zinc/01/82/67/608018267.db2.gz YATSZGIPAPVIFN-PHDIDXHHSA-N -1 1 300.775 1.184 20 0 DDADMM C[C@H]1CC(=O)CC[C@@H]1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824869109 608029337 /nfs/dbraw/zinc/02/93/37/608029337.db2.gz YISMXVGUBDKFPW-CABZTGNLSA-N -1 1 315.333 1.310 20 0 DDADMM C[C@H]1CC(=O)CC[C@@H]1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824869109 608029338 /nfs/dbraw/zinc/02/93/38/608029338.db2.gz YISMXVGUBDKFPW-CABZTGNLSA-N -1 1 315.333 1.310 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825485187 608139554 /nfs/dbraw/zinc/13/95/54/608139554.db2.gz DXHRBFZJDCWYNH-HTQZYQBOSA-N -1 1 323.378 1.246 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825485187 608139555 /nfs/dbraw/zinc/13/95/55/608139555.db2.gz DXHRBFZJDCWYNH-HTQZYQBOSA-N -1 1 323.378 1.246 20 0 DDADMM OCC[C@@H]1CCCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000826508730 608173630 /nfs/dbraw/zinc/17/36/30/608173630.db2.gz AFNMACVSVQFTLR-ZETCQYMHSA-N -1 1 314.802 1.718 20 0 DDADMM OCC[C@@H]1CCCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000826508730 608173633 /nfs/dbraw/zinc/17/36/33/608173633.db2.gz AFNMACVSVQFTLR-ZETCQYMHSA-N -1 1 314.802 1.718 20 0 DDADMM COC[C@@H]1CCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000826153394 608188638 /nfs/dbraw/zinc/18/86/38/608188638.db2.gz MBYVCAHBGAJDKX-LURJTMIESA-N -1 1 300.775 1.592 20 0 DDADMM COC[C@@H]1CCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000826153394 608188640 /nfs/dbraw/zinc/18/86/40/608188640.db2.gz MBYVCAHBGAJDKX-LURJTMIESA-N -1 1 300.775 1.592 20 0 DDADMM CC(C)[C@H]1C[C@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824213857 608248505 /nfs/dbraw/zinc/24/85/05/608248505.db2.gz DGDBLTZDZFPABD-NXEZZACHSA-N -1 1 321.406 1.862 20 0 DDADMM CC(C)[C@H]1C[C@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824213857 608248507 /nfs/dbraw/zinc/24/85/07/608248507.db2.gz DGDBLTZDZFPABD-NXEZZACHSA-N -1 1 321.406 1.862 20 0 DDADMM Cc1ccc(F)c(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)c1F ZINC000826288939 608309613 /nfs/dbraw/zinc/30/96/13/608309613.db2.gz CQNUJSJDWUUJCA-UHFFFAOYSA-N -1 1 319.275 1.439 20 0 DDADMM Cc1ccc(F)c(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)c1F ZINC000826288939 608309614 /nfs/dbraw/zinc/30/96/14/608309614.db2.gz CQNUJSJDWUUJCA-UHFFFAOYSA-N -1 1 319.275 1.439 20 0 DDADMM c1cn(-c2ccccc2CNc2ccc(-c3nn[n-]n3)nn2)cn1 ZINC000826519663 608383069 /nfs/dbraw/zinc/38/30/69/608383069.db2.gz IOEDSJWPMCHMKW-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)Oc1cccc(F)c1 ZINC000824429460 608424257 /nfs/dbraw/zinc/42/42/57/608424257.db2.gz XJOZGKCPFWVALA-VIFPVBQESA-N -1 1 315.312 1.675 20 0 DDADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)Oc1cccc(F)c1 ZINC000824429460 608424258 /nfs/dbraw/zinc/42/42/58/608424258.db2.gz XJOZGKCPFWVALA-VIFPVBQESA-N -1 1 315.312 1.675 20 0 DDADMM CCOC(=O)c1ccc(CNc2ccc(-c3nnn[n-]3)nn2)o1 ZINC000825559499 608430190 /nfs/dbraw/zinc/43/01/90/608430190.db2.gz GQOIKTFMHUZFNS-UHFFFAOYSA-N -1 1 315.293 1.039 20 0 DDADMM CCOC(=O)c1ccc(CNc2ccc(-c3nn[n-]n3)nn2)o1 ZINC000825559499 608430191 /nfs/dbraw/zinc/43/01/91/608430191.db2.gz GQOIKTFMHUZFNS-UHFFFAOYSA-N -1 1 315.293 1.039 20 0 DDADMM CC(=O)N(C)C1CCN(c2nc(-c3nn[n-]n3)ccc2C)CC1 ZINC000823968116 608543562 /nfs/dbraw/zinc/54/35/62/608543562.db2.gz WEQJZVOABCMNAD-UHFFFAOYSA-N -1 1 315.381 1.017 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)Nc1ccc2ccccc2c1 ZINC000826425223 608888236 /nfs/dbraw/zinc/88/82/36/608888236.db2.gz VBWNSZIEVCDCFG-UHFFFAOYSA-N -1 1 319.328 1.855 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)Nc1ccc2ccccc2c1 ZINC000826425223 608888240 /nfs/dbraw/zinc/88/82/40/608888240.db2.gz VBWNSZIEVCDCFG-UHFFFAOYSA-N -1 1 319.328 1.855 20 0 DDADMM CCS[C@@H](C)c1noc(Cn2ccnc2-c2nnn[n-]2)n1 ZINC000825669092 608889709 /nfs/dbraw/zinc/88/97/09/608889709.db2.gz PVKOYNWILDSDIS-ZETCQYMHSA-N -1 1 306.355 1.309 20 0 DDADMM CCS[C@@H](C)c1noc(Cn2ccnc2-c2nn[n-]n2)n1 ZINC000825669092 608889711 /nfs/dbraw/zinc/88/97/11/608889711.db2.gz PVKOYNWILDSDIS-ZETCQYMHSA-N -1 1 306.355 1.309 20 0 DDADMM CCC(C)(C)NC(=O)c1cc(-c2nn[n-]n2)c(SC)[nH]c1=O ZINC000825045212 609295807 /nfs/dbraw/zinc/29/58/07/609295807.db2.gz YKSSQILHBMGRRZ-UHFFFAOYSA-N -1 1 322.394 1.608 20 0 DDADMM CC(C)(C)OC(=O)N1CCCN1c1ccc(-c2nnn[n-]2)nn1 ZINC000824103453 609551601 /nfs/dbraw/zinc/55/16/01/609551601.db2.gz ASGLWHUXUYOFET-UHFFFAOYSA-N -1 1 318.341 1.019 20 0 DDADMM CC(C)(C)OC(=O)N1CCCN1c1ccc(-c2nn[n-]n2)nn1 ZINC000824103453 609551603 /nfs/dbraw/zinc/55/16/03/609551603.db2.gz ASGLWHUXUYOFET-UHFFFAOYSA-N -1 1 318.341 1.019 20 0 DDADMM C[C@H](C[S@](C)=O)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000824450692 609598610 /nfs/dbraw/zinc/59/86/10/609598610.db2.gz YCFPZICUKYOHRX-YIVBRQOJSA-N -1 1 300.775 1.094 20 0 DDADMM C[C@H](C[S@](C)=O)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000824450692 609598612 /nfs/dbraw/zinc/59/86/12/609598612.db2.gz YCFPZICUKYOHRX-YIVBRQOJSA-N -1 1 300.775 1.094 20 0 DDADMM O=C(C=C1CCC1)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974014840 695620866 /nfs/dbraw/zinc/62/08/66/695620866.db2.gz NCDPQWDQMMGXGI-TXEJJXNPSA-N -1 1 301.346 1.275 20 0 DDADMM CCOCCOCC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000746735521 700038414 /nfs/dbraw/zinc/03/84/14/700038414.db2.gz NSFPREGIFZRXIB-UHFFFAOYSA-N -1 1 322.313 1.595 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976403825 695971787 /nfs/dbraw/zinc/97/17/87/695971787.db2.gz PAWBIZZDVXMFTR-SRRSOLGSSA-N -1 1 315.373 1.020 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CC(=O)N(C(C)C)C1 ZINC000747372583 700066390 /nfs/dbraw/zinc/06/63/90/700066390.db2.gz MAXYSBSTSUMJMJ-LLVKDONJSA-N -1 1 320.393 1.353 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ccoc1)C(=O)c1ncccc1[O-] ZINC000977617829 696236074 /nfs/dbraw/zinc/23/60/74/696236074.db2.gz MDNWPTWXNIEETO-LBPRGKRZSA-N -1 1 315.329 1.367 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCc2nccs2)c1Cl ZINC000051162951 696237604 /nfs/dbraw/zinc/23/76/04/696237604.db2.gz JQQUGIUQCOINRF-UHFFFAOYSA-N -1 1 306.800 1.051 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)c2cc3c(s2)CC[C@H](C)C3)n[nH]1 ZINC000054146918 696273543 /nfs/dbraw/zinc/27/35/43/696273543.db2.gz NJIRFIGFGWRNMV-QMMMGPOBSA-N -1 1 318.402 1.979 20 0 DDADMM COCCNC(=S)N[N-]C(=O)c1c(Cl)cccc1Cl ZINC000057971013 696301650 /nfs/dbraw/zinc/30/16/50/696301650.db2.gz OAFPUOPZOLNJIE-UHFFFAOYSA-N -1 1 322.217 1.749 20 0 DDADMM CC(C)(C)C(=O)N1CCC[C@@H](C(=O)[N-]O[C@@H]2CCCCO2)C1 ZINC000060336434 696309805 /nfs/dbraw/zinc/30/98/05/696309805.db2.gz GHUIAPPSDOIWIE-CHWSQXEVSA-N -1 1 312.410 1.846 20 0 DDADMM Cn1c(CNC(=O)c2ccc([N+](=O)[O-])cc2F)n[n-]c1=S ZINC000066626253 696354440 /nfs/dbraw/zinc/35/44/40/696354440.db2.gz CMOGEIVLGJFHGW-UHFFFAOYSA-N -1 1 311.298 1.455 20 0 DDADMM Cc1nccc(C(=O)NCCc2n[n-]c(=S)n2C2CC2)n1 ZINC000067078949 696358744 /nfs/dbraw/zinc/35/87/44/696358744.db2.gz KKOFXUZEEGMGGR-UHFFFAOYSA-N -1 1 304.379 1.347 20 0 DDADMM Cc1cc(C)n(CCC(=O)NCCc2n[n-]c(=S)n2C)n1 ZINC000067070022 696358968 /nfs/dbraw/zinc/35/89/68/696358968.db2.gz UXCWPJHDFUAAJW-UHFFFAOYSA-N -1 1 308.411 1.040 20 0 DDADMM Cc1nc(-c2ccccc2)ccc1C(=O)NN1CC(=O)[N-]C1=O ZINC000073491699 696404513 /nfs/dbraw/zinc/40/45/13/696404513.db2.gz OAMLNPIHKNXFCL-UHFFFAOYSA-N -1 1 310.313 1.254 20 0 DDADMM Cc1nc(-c2ccc(NCC[S@](C)=O)nc2)[n-]c(=O)c1C ZINC000078265704 696449264 /nfs/dbraw/zinc/44/92/64/696449264.db2.gz YDLOUQKFSDMGJJ-OAQYLSRUSA-N -1 1 306.391 1.239 20 0 DDADMM CCNC(=O)N[N-]C(=O)c1cc2c(C(C)C)nn(C)c2s1 ZINC000079761991 696463560 /nfs/dbraw/zinc/46/35/60/696463560.db2.gz KGXWCDYIBXONNO-UHFFFAOYSA-N -1 1 309.395 1.722 20 0 DDADMM Cc1ccc(C(C)(C)CNC(=O)Cc2sc(N)nc2[O-])cc1 ZINC000080011622 696466107 /nfs/dbraw/zinc/46/61/07/696466107.db2.gz ZKHSQLUPGXDGQI-LBPRGKRZSA-N -1 1 319.430 1.736 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)Cc1ccc(F)cc1)N(C)C ZINC000798814648 700099080 /nfs/dbraw/zinc/09/90/80/700099080.db2.gz ZNHRCHNHFSJIDY-CYBMUJFWSA-N -1 1 316.398 1.358 20 0 DDADMM Cc1ccc(C(=O)[N-]NC(=O)c2ccc(Cn3cccn3)o2)o1 ZINC000084373837 696557568 /nfs/dbraw/zinc/55/75/68/696557568.db2.gz PNVPZZWXPYVGHP-UHFFFAOYSA-N -1 1 314.301 1.501 20 0 DDADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)c1ccoc1 ZINC000979470867 696567796 /nfs/dbraw/zinc/56/77/96/696567796.db2.gz NHAFDKSLHCJASK-UMSPYCQHSA-N -1 1 315.329 1.319 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H]2CCCc3ccc(F)cc32)s1 ZINC000089391907 696576514 /nfs/dbraw/zinc/57/65/14/696576514.db2.gz PNKXKZYGECYYQJ-VXGBXAGGSA-N -1 1 321.377 1.666 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCc3cc(F)ccc3C2)s1 ZINC000092618100 696596196 /nfs/dbraw/zinc/59/61/96/696596196.db2.gz TYPWEZABYMNSTC-NSHDSACASA-N -1 1 307.350 1.057 20 0 DDADMM O=S(=O)([N-]CCOc1cccnc1)c1cccc(F)c1F ZINC000114497912 696660846 /nfs/dbraw/zinc/66/08/46/696660846.db2.gz CYPCDWCZDWEYOG-UHFFFAOYSA-N -1 1 314.313 1.717 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cnc3ccccc3c2)s1 ZINC000120854556 696702436 /nfs/dbraw/zinc/70/24/36/696702436.db2.gz LOUAPVGDNWOVRQ-NSHDSACASA-N -1 1 300.343 1.520 20 0 DDADMM O=C(CCC(F)F)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799019509 700110278 /nfs/dbraw/zinc/11/02/78/700110278.db2.gz NPPONOAHTAJJHS-UHFFFAOYSA-N -1 1 316.270 1.949 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(Cl)nc(N(C)C)c1)c1nn[n-]n1 ZINC000136735477 696855713 /nfs/dbraw/zinc/85/57/13/696855713.db2.gz LOPBXJNAQLNRNG-SECBINFHSA-N -1 1 323.788 1.585 20 0 DDADMM CO[C@H](C)C(=O)N[N-]C(=O)c1cc(-c2ccc(C)c(C)c2)[nH]n1 ZINC000151074504 696880452 /nfs/dbraw/zinc/88/04/52/696880452.db2.gz XSZKKAILRMCOMM-LLVKDONJSA-N -1 1 316.361 1.489 20 0 DDADMM O=C(NC[C@H]1CC[C@@H](NC(=O)C(F)F)C1)c1ncccc1[O-] ZINC000981207564 697034613 /nfs/dbraw/zinc/03/46/13/697034613.db2.gz JPJNLONBCZSBCA-DTWKUNHWSA-N -1 1 313.304 1.067 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000982592457 697155163 /nfs/dbraw/zinc/15/51/63/697155163.db2.gz ZTOKOYYSIHMEAM-NEPJUHHUSA-N -1 1 317.389 1.412 20 0 DDADMM CN(c1ccccc1)c1ccccc1C(=O)NN1CC(=O)[N-]C1=O ZINC000175016760 697394050 /nfs/dbraw/zinc/39/40/50/697394050.db2.gz YIFVWIKCWDUWQP-UHFFFAOYSA-N -1 1 324.340 1.651 20 0 DDADMM Nc1ccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cc1F ZINC000749193146 700150187 /nfs/dbraw/zinc/15/01/87/700150187.db2.gz ATOHPIDXXXCPHU-UHFFFAOYSA-N -1 1 316.288 1.521 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(F)F ZINC000984801288 697430842 /nfs/dbraw/zinc/43/08/42/697430842.db2.gz NGNYLCWXUGTGIQ-DTWKUNHWSA-N -1 1 313.304 1.162 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(Cl)c(C(F)(F)F)c1 ZINC000179007864 697432568 /nfs/dbraw/zinc/43/25/68/697432568.db2.gz OZNMOEPENGLSPE-UHFFFAOYSA-N -1 1 321.642 1.555 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2sccc2C)co1 ZINC000182211953 697471562 /nfs/dbraw/zinc/47/15/62/697471562.db2.gz UCNOTVNYYZBWKD-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)Nc2ccc(Cl)cc2F)n[nH]1 ZINC000182768776 697479322 /nfs/dbraw/zinc/47/93/22/697479322.db2.gz VVQVGULNVCOELE-UHFFFAOYSA-N -1 1 311.704 1.977 20 0 DDADMM C[C@@H](C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1)C(C)(C)C ZINC000985492163 697518799 /nfs/dbraw/zinc/51/87/99/697518799.db2.gz CRDXMCYZNHEEED-NSHDSACASA-N -1 1 323.441 1.615 20 0 DDADMM O=C(Nc1ccc(F)c(C(F)(F)F)c1)NN1CC(=O)[N-]C1=O ZINC000190955517 697599369 /nfs/dbraw/zinc/59/93/69/697599369.db2.gz ZVCVMEJYXPFZQF-UHFFFAOYSA-N -1 1 320.202 1.433 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1=CCCCC1 ZINC000986052720 697658573 /nfs/dbraw/zinc/65/85/73/697658573.db2.gz FWYIPZBXQOPORP-AAEUAGOBSA-N -1 1 319.409 1.480 20 0 DDADMM O=C(Nc1ccc2c3c(cccc31)CC2)NN1CC(=O)[N-]C1=O ZINC000193371367 697661924 /nfs/dbraw/zinc/66/19/24/697661924.db2.gz PPYPPOBCQQXFSC-UHFFFAOYSA-N -1 1 310.313 1.527 20 0 DDADMM COc1cc(C2(C(=O)NN3CC(=O)[N-]C3=O)CCC2)ccc1C ZINC000749523837 700168523 /nfs/dbraw/zinc/16/85/23/700168523.db2.gz PLLDNWDHBULQHZ-UHFFFAOYSA-N -1 1 317.345 1.008 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@H](NCc3nccs3)[C@@H]2C)c1[O-] ZINC000986162217 697712172 /nfs/dbraw/zinc/71/21/72/697712172.db2.gz SQDYQFMNKUNAIB-UWVGGRQHSA-N -1 1 321.406 1.273 20 0 DDADMM O=C(c1cc2ccccc2o1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773156476 697720779 /nfs/dbraw/zinc/72/07/79/697720779.db2.gz BMLNGTYWWDBJKQ-JTQLQIEISA-N -1 1 315.358 1.876 20 0 DDADMM O=C(NC[C@H](O)COc1cccc(F)c1)c1c([O-])cccc1F ZINC000773348195 697747841 /nfs/dbraw/zinc/74/78/41/697747841.db2.gz ASBPXMIXQPBSFE-NSHDSACASA-N -1 1 323.295 1.840 20 0 DDADMM O=C(CCN1C(=O)COc2ccccc21)[N-]Oc1ccccc1 ZINC000773461389 697763454 /nfs/dbraw/zinc/76/34/54/697763454.db2.gz KOVONVJRNZJHGG-UHFFFAOYSA-N -1 1 312.325 1.912 20 0 DDADMM O=C(O[C@@H]1CCN(CC(F)(F)F)C1=O)c1ccc([O-])cc1F ZINC000773565435 697782095 /nfs/dbraw/zinc/78/20/95/697782095.db2.gz IDBDHGVJZRLQJD-SNVBAGLBSA-N -1 1 321.226 1.851 20 0 DDADMM O=C(CCc1ccccn1)OCCC[N-]C(=O)C(F)(F)F ZINC000774935862 697944203 /nfs/dbraw/zinc/94/42/03/697944203.db2.gz TVKWBBNOCHWNBV-UHFFFAOYSA-N -1 1 304.268 1.626 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2cccc(Cl)c2[O-])C1 ZINC000775726109 698026207 /nfs/dbraw/zinc/02/62/07/698026207.db2.gz WIFGJWBSQYXTJM-LLVKDONJSA-N -1 1 312.797 1.886 20 0 DDADMM C[C@H]1C[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCO1 ZINC000776419080 698105153 /nfs/dbraw/zinc/10/51/53/698105153.db2.gz QPLDEWOOBSFPJR-AAEUAGOBSA-N -1 1 305.330 1.301 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cc1 ZINC000987402222 698109386 /nfs/dbraw/zinc/10/93/86/698109386.db2.gz DYUFLHSSTNNUKR-AAEUAGOBSA-N -1 1 315.377 1.212 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)CC2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC000987509547 698133329 /nfs/dbraw/zinc/13/33/29/698133329.db2.gz GROCJOFYHUNBJB-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC[C@](O)(c2ccc(F)cc2)C1 ZINC000777534579 698198735 /nfs/dbraw/zinc/19/87/35/698198735.db2.gz RTKUGUKPHHVLEN-MRXNPFEDSA-N -1 1 302.305 1.660 20 0 DDADMM CCc1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)cc(=O)[nH]1 ZINC000778171382 698246923 /nfs/dbraw/zinc/24/69/23/698246923.db2.gz BQCOIXKKNTZGQR-UHFFFAOYSA-N -1 1 320.267 1.575 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc(F)c1 ZINC000987922656 698266725 /nfs/dbraw/zinc/26/67/25/698266725.db2.gz XYMYZRHUGIWNFR-BXKDBHETSA-N -1 1 319.340 1.042 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)CC1CCC1)N1CCCCC1 ZINC000778693807 698360023 /nfs/dbraw/zinc/36/00/23/698360023.db2.gz AKSPPMVQYPIIJQ-CQSZACIVSA-N -1 1 316.467 1.743 20 0 DDADMM CC(C)C1(C)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000779223422 698402989 /nfs/dbraw/zinc/40/29/89/698402989.db2.gz FBSUDIZZQJVTDH-UHFFFAOYSA-N -1 1 307.394 1.211 20 0 DDADMM CC[C@H](CO)C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000779734742 698457933 /nfs/dbraw/zinc/45/79/33/698457933.db2.gz XOQQMOOULKAELQ-SECBINFHSA-N -1 1 316.379 1.024 20 0 DDADMM CCCC[C@@H](NC(=O)c1ccc(-c2nnc[nH]2)cc1)C(=O)[O-] ZINC000263459333 698508132 /nfs/dbraw/zinc/50/81/32/698508132.db2.gz VHACJABJVCZOHJ-GFCCVEGCSA-N -1 1 302.334 1.845 20 0 DDADMM CC(C)(NC(=O)NC[C@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000780343203 698508901 /nfs/dbraw/zinc/50/89/01/698508901.db2.gz GIRPPQQSYOVHAB-GFCCVEGCSA-N -1 1 323.393 1.969 20 0 DDADMM C[C@@H](CNC(=O)NC(C)(C)C(=O)[O-])N1CCc2ccccc2C1 ZINC000780481686 698523682 /nfs/dbraw/zinc/52/36/82/698523682.db2.gz RWCIXDVQNJEQJB-LBPRGKRZSA-N -1 1 319.405 1.596 20 0 DDADMM CCc1cc(CNC(=O)N[C@H](C(=O)[O-])C2CCCCC2)n[nH]1 ZINC000780571178 698533612 /nfs/dbraw/zinc/53/36/12/698533612.db2.gz RUPSMZZANCXCII-ZDUSSCGKSA-N -1 1 308.382 1.805 20 0 DDADMM COc1ccc(O)cc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000781277493 698604858 /nfs/dbraw/zinc/60/48/58/698604858.db2.gz CIIZLSFNTUXMKR-UHFFFAOYSA-N -1 1 321.251 1.626 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)c1ncn(C)n1 ZINC000781405695 698615648 /nfs/dbraw/zinc/61/56/48/698615648.db2.gz YIFQETHNVDTIRI-SECBINFHSA-N -1 1 304.354 1.234 20 0 DDADMM CCn1cc(CC(=O)OCCC[N-]C(=O)C(F)(F)F)cn1 ZINC000781418629 698617811 /nfs/dbraw/zinc/61/78/11/698617811.db2.gz VMWPZMOVKQHQKC-UHFFFAOYSA-N -1 1 307.272 1.057 20 0 DDADMM Cc1ccsc1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000990078708 698940570 /nfs/dbraw/zinc/94/05/70/698940570.db2.gz QMUQSFMOCPTUFU-UHFFFAOYSA-N -1 1 317.370 1.412 20 0 DDADMM CCCN1CC[C@H](NS(=O)(=O)c2cc(C(=O)[O-])oc2C)C1 ZINC000389129981 699088046 /nfs/dbraw/zinc/08/80/46/699088046.db2.gz KIYRBRCQPVNUHC-JTQLQIEISA-N -1 1 316.379 1.049 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC(C)(C)OCCO ZINC000389797182 699090191 /nfs/dbraw/zinc/09/01/91/699090191.db2.gz IRHZDTWAWRMXSF-UHFFFAOYSA-N -1 1 311.382 1.131 20 0 DDADMM Cn1ccc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)n1 ZINC000785695428 699093362 /nfs/dbraw/zinc/09/33/62/699093362.db2.gz ZECQHBHCILRZGY-UHFFFAOYSA-N -1 1 300.270 1.589 20 0 DDADMM CN1CCC[C@H](NC(=O)N2CCc3ccccc3[C@H]2C(=O)[O-])C1 ZINC000390836905 699096555 /nfs/dbraw/zinc/09/65/55/699096555.db2.gz ABNMJTIYDCKAQC-ZFWWWQNUSA-N -1 1 317.389 1.474 20 0 DDADMM CC(C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703035540 699235277 /nfs/dbraw/zinc/23/52/77/699235277.db2.gz CESMLCBZTVBAKR-SSDOTTSWSA-N -1 1 323.777 1.066 20 0 DDADMM CCc1ccc(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1 ZINC000788146456 699284228 /nfs/dbraw/zinc/28/42/28/699284228.db2.gz BBMHGHCKXDREOH-ZDUSSCGKSA-N -1 1 317.418 1.621 20 0 DDADMM CC[C@@H](C[C@H](C)O)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000716793921 699284912 /nfs/dbraw/zinc/28/49/12/699284912.db2.gz RHLVXGUHANPASZ-YUMQZZPRSA-N -1 1 310.778 1.702 20 0 DDADMM C[C@@H]1CCN(CCCS(=O)(=O)C(C)(C)C)C[C@H]1C(=O)[O-] ZINC000718735211 699294983 /nfs/dbraw/zinc/29/49/83/699294983.db2.gz PAQZBCYWBDBAAO-VXGBXAGGSA-N -1 1 305.440 1.632 20 0 DDADMM CCOC1CC2(C[C@H]2[N-]S(=O)(=O)c2ncn(C)c2Cl)C1 ZINC000721272733 699312706 /nfs/dbraw/zinc/31/27/06/699312706.db2.gz OVGMOZPVRMEWOS-NBBOBHDQSA-N -1 1 319.814 1.309 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cnc2ccccc2c1 ZINC000726866086 699385943 /nfs/dbraw/zinc/38/59/43/699385943.db2.gz LHPUEENJLKJGDH-UHFFFAOYSA-N -1 1 310.313 1.114 20 0 DDADMM COC[C@@H](NC(=O)c1c(C)nc(C(C)C)[n-]c1=O)[C@H]1CCCO1 ZINC000790283097 699451858 /nfs/dbraw/zinc/45/18/58/699451858.db2.gz SNUQERWGSRJDHX-VXGBXAGGSA-N -1 1 323.393 1.538 20 0 DDADMM CC(C)[C@@H](OC(=O)c1c([O-])cc(F)cc1F)C(=O)N(C)C ZINC000729709581 699486020 /nfs/dbraw/zinc/48/60/20/699486020.db2.gz UHMHHQBJVSKBRX-GFCCVEGCSA-N -1 1 301.289 1.940 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)Cc2ccco2)o1 ZINC000730809329 699516501 /nfs/dbraw/zinc/51/65/01/699516501.db2.gz HRKAPAOXAKUSOI-VIFPVBQESA-N -1 1 313.331 1.569 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@@H]1CCCO1 ZINC000731446362 699528964 /nfs/dbraw/zinc/52/89/64/699528964.db2.gz HPHHWNFYXSXMJN-NSHDSACASA-N -1 1 305.334 1.316 20 0 DDADMM Cc1noc(C[N-]C(=O)C(F)(F)Oc2ccc(C=O)cc2)n1 ZINC000731614655 699531704 /nfs/dbraw/zinc/53/17/04/699531704.db2.gz ZHIOFNHZZTXGAU-UHFFFAOYSA-N -1 1 311.244 1.479 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H](c1cccs1)C1CC1 ZINC000733128895 699579765 /nfs/dbraw/zinc/57/97/65/699579765.db2.gz XRKVYCFCQYKKQO-CYBMUJFWSA-N -1 1 319.386 1.256 20 0 DDADMM CC[C@H](C)[C@@H](C)N(C(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC000733152683 699581114 /nfs/dbraw/zinc/58/11/14/699581114.db2.gz ZXWXMEYCYGNBSM-NWDGAFQWSA-N -1 1 307.394 1.352 20 0 DDADMM CCNc1cc(C)ccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000790976400 699605832 /nfs/dbraw/zinc/60/58/32/699605832.db2.gz OODMXTAOSLDZDL-UHFFFAOYSA-N -1 1 316.361 1.306 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cnc(C(F)(F)F)nc3)ccnc1-2 ZINC000791166918 699613778 /nfs/dbraw/zinc/61/37/78/699613778.db2.gz LXSZHTIZJAAVHS-UHFFFAOYSA-N -1 1 322.250 1.403 20 0 DDADMM C[C@H](Oc1ccc2ccccc2c1)C(=O)NN1CC(=O)[N-]C1=O ZINC000792062948 699673316 /nfs/dbraw/zinc/67/33/16/699673316.db2.gz WNMORBNCTVQGGK-JTQLQIEISA-N -1 1 313.313 1.190 20 0 DDADMM O=C(c1cc2cc(F)ccc2o1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000735469354 699694898 /nfs/dbraw/zinc/69/48/98/699694898.db2.gz FKFIPLNUDAZSTF-LBPRGKRZSA-N -1 1 317.280 1.299 20 0 DDADMM C[C@@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)C[C@@H](C)S1=O ZINC000792395832 699695528 /nfs/dbraw/zinc/69/55/28/699695528.db2.gz UKYPHWGSRBNYTQ-GHMZBOCLSA-N -1 1 321.398 1.840 20 0 DDADMM CCC[C@H](O)CC(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000735951751 699713135 /nfs/dbraw/zinc/71/31/35/699713135.db2.gz WKRVYFWHHJNKPX-LBPRGKRZSA-N -1 1 323.345 1.046 20 0 DDADMM C[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000736117957 699718397 /nfs/dbraw/zinc/71/83/97/699718397.db2.gz OZSJJHLVXDVMDB-JHJVBQTASA-N -1 1 305.378 1.106 20 0 DDADMM CC(C)CCS(=O)(=O)[N-]C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000793142901 699735013 /nfs/dbraw/zinc/73/50/13/699735013.db2.gz RDYAZCLUOLUZAV-UHFFFAOYSA-N -1 1 322.390 1.577 20 0 DDADMM CN1CCC[C@H]1C(=O)Nc1ccccc1-n1ccc(C(=O)[O-])n1 ZINC000738014343 699749748 /nfs/dbraw/zinc/74/97/48/699749748.db2.gz BBCLXYLTIOWSDY-AWEZNQCLSA-N -1 1 314.345 1.603 20 0 DDADMM O=C(c1ccc2c(c1)CCC=C2)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000796264001 699922235 /nfs/dbraw/zinc/92/22/35/699922235.db2.gz IZRJKTCIJXJOHM-AWEZNQCLSA-N -1 1 311.345 1.373 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N(C)Cc2ccco2)[n-]1 ZINC000796363512 699929389 /nfs/dbraw/zinc/92/93/89/699929389.db2.gz TWEGYGOVSNGOQP-UHFFFAOYSA-N -1 1 320.301 1.210 20 0 DDADMM CNC(=O)c1cccc(COC(=O)c2ccc(C(=O)OC)[n-]2)c1 ZINC000796364962 699929518 /nfs/dbraw/zinc/92/95/18/699929518.db2.gz HGDABSJFPKPOEX-UHFFFAOYSA-N -1 1 316.313 1.518 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2ccc(=O)n(C)c2)c1 ZINC000744762942 699960376 /nfs/dbraw/zinc/96/03/76/699960376.db2.gz FMWQOLSHBYEWJL-UHFFFAOYSA-N -1 1 315.325 1.693 20 0 DDADMM CC(C)COC(=O)COC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801203000 700277151 /nfs/dbraw/zinc/27/71/51/700277151.db2.gz MJLSXRFRXFDXRK-UHFFFAOYSA-N -1 1 318.329 1.934 20 0 DDADMM CN1CCC[C@H](OC(=O)c2nn(-c3ccccc3)cc2[O-])C1=O ZINC000801202606 700277568 /nfs/dbraw/zinc/27/75/68/700277568.db2.gz HOMQDWGUTZOOJM-ZDUSSCGKSA-N -1 1 315.329 1.356 20 0 DDADMM CN1CC[C@@H](OC(=O)c2nn(-c3ccc(F)cc3)cc2[O-])C1=O ZINC000801326401 700297694 /nfs/dbraw/zinc/29/76/94/700297694.db2.gz QPTWPVKCCPXESC-GFCCVEGCSA-N -1 1 319.292 1.105 20 0 DDADMM COCCC(=O)COC(=O)c1nn(-c2ccc(C)cc2)cc1[O-] ZINC000801368860 700301270 /nfs/dbraw/zinc/30/12/70/700301270.db2.gz DKEMYKGXYPZKOR-UHFFFAOYSA-N -1 1 318.329 1.649 20 0 DDADMM Cc1cc(CO)oc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000801421824 700306730 /nfs/dbraw/zinc/30/67/30/700306730.db2.gz GGUFAIUAHUFGMK-UHFFFAOYSA-N -1 1 317.297 1.194 20 0 DDADMM O=c1cc(CN2C[C@@H]3COC[C@H](C2)O3)c2ccc([O-])cc2o1 ZINC000801591227 700324949 /nfs/dbraw/zinc/32/49/49/700324949.db2.gz ZXCCNHGLLLCPEK-BETUJISGSA-N -1 1 303.314 1.098 20 0 DDADMM O=C(c1c([O-])cnc2ccc(Cl)cc21)N1CCCNC(=O)C1 ZINC000801613345 700326773 /nfs/dbraw/zinc/32/67/73/700326773.db2.gz UHKIDYXKRAHRMS-UHFFFAOYSA-N -1 1 319.748 1.556 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C(C)(C)COCC(F)F)ccnc1-2 ZINC000801700357 700331899 /nfs/dbraw/zinc/33/18/99/700331899.db2.gz KABBCPMTMYMMIR-UHFFFAOYSA-N -1 1 312.320 1.588 20 0 DDADMM CCOC(=O)[C@H](C)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000752752870 700385192 /nfs/dbraw/zinc/38/51/92/700385192.db2.gz RDOUBVPVKVYCFR-SECBINFHSA-N -1 1 309.366 1.543 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2cnn(C)c2N)c1 ZINC000754542335 700509972 /nfs/dbraw/zinc/50/99/72/700509972.db2.gz JZJPENXQPSGGIP-UHFFFAOYSA-N -1 1 303.318 1.310 20 0 DDADMM O=C([N-][C@H](c1nnc[nH]1)c1ccccc1)C(F)(F)C(F)F ZINC000756121626 700604409 /nfs/dbraw/zinc/60/44/09/700604409.db2.gz KBOTXAVLCYWNNO-QMMMGPOBSA-N -1 1 302.231 1.911 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCOC(C)(C)C)co1 ZINC000756392154 700619441 /nfs/dbraw/zinc/61/94/41/700619441.db2.gz WUHBGQGTFFPJJG-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM O=C([N-]OCc1ccc(F)cc1)c1cccn2c(=O)[nH]nc12 ZINC000757615105 700666325 /nfs/dbraw/zinc/66/63/25/700666325.db2.gz WKPMZXVIWOZMQQ-UHFFFAOYSA-N -1 1 302.265 1.436 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CC1)c1ccc(Br)o1 ZINC000759045166 700735101 /nfs/dbraw/zinc/73/51/01/700735101.db2.gz NGQWAFLHXNKFAD-ZETCQYMHSA-N -1 1 310.169 1.091 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N(C)C[C@@H](C)C(=O)[O-] ZINC000763082347 700924919 /nfs/dbraw/zinc/92/49/19/700924919.db2.gz KTCDAGWMRGDPQF-YPMHNXCESA-N -1 1 308.378 1.654 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCN2C(=O)OCC[C@H]2C1 ZINC000765404570 701010107 /nfs/dbraw/zinc/01/01/07/701010107.db2.gz PHLCTANUICUNCU-VIFPVBQESA-N -1 1 310.737 1.712 20 0 DDADMM Cn1[n-]c(COC(=O)C[C@@H]2CCOc3ccccc32)nc1=O ZINC000765479856 701014779 /nfs/dbraw/zinc/01/47/79/701014779.db2.gz NWCVUEFQKPUWTP-JTQLQIEISA-N -1 1 303.318 1.108 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc3ccc(Cl)cc3n2)nc1=O ZINC000765492690 701015900 /nfs/dbraw/zinc/01/59/00/701015900.db2.gz PZHWQMQWMMQNSD-UHFFFAOYSA-N -1 1 318.720 1.667 20 0 DDADMM C/C(=C/c1ccc(Cl)cc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765494624 701016204 /nfs/dbraw/zinc/01/62/04/701016204.db2.gz CQXJIVCEMRIBOK-CLFYSBASSA-N -1 1 307.737 1.909 20 0 DDADMM C[C@@H](CSCc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765504354 701016696 /nfs/dbraw/zinc/01/66/96/701016696.db2.gz ZVJRHGBOKAJBQG-NSHDSACASA-N -1 1 321.402 1.721 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2Cc3ccccc32)o1 ZINC000803279065 701098035 /nfs/dbraw/zinc/09/80/35/701098035.db2.gz XLOVMRWNJHLLAY-NSHDSACASA-N -1 1 321.354 1.684 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(F)(F)F ZINC000769098315 701230595 /nfs/dbraw/zinc/23/05/95/701230595.db2.gz XHQBCVLFBUDHAX-WCBMZHEXSA-N -1 1 321.299 1.020 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC[S@@](=O)C(C)(C)C)sc1C ZINC000771951758 701338070 /nfs/dbraw/zinc/33/80/70/701338070.db2.gz GXOJFGJTEQOWAJ-GOSISDBHSA-N -1 1 324.493 1.585 20 0 DDADMM O=C(OCc1cc(=O)oc2cc3c(cc12)CCC3)c1cn[n-]n1 ZINC000805604937 701397767 /nfs/dbraw/zinc/39/77/67/701397767.db2.gz RZBNGTJHYHBHIJ-UHFFFAOYSA-N -1 1 311.297 1.757 20 0 DDADMM CCc1ccc([C@@H](C)NC(=O)[C@@H](C)OC(=O)c2cn[n-]n2)cc1 ZINC000805604326 701398136 /nfs/dbraw/zinc/39/81/36/701398136.db2.gz FBHDOOUWWPUUFL-GHMZBOCLSA-N -1 1 316.361 1.790 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805606449 701398973 /nfs/dbraw/zinc/39/89/73/701398973.db2.gz IUAGGWGZUMTWCE-NXEZZACHSA-N -1 1 318.333 1.236 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)NCc1ccccc1Cl ZINC000805606211 701399055 /nfs/dbraw/zinc/39/90/55/701399055.db2.gz UUDWOHGIAXXDAO-QMMMGPOBSA-N -1 1 308.725 1.320 20 0 DDADMM O=C(CC[C@H]1CC1(Cl)Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000830905914 706600454 /nfs/dbraw/zinc/60/04/54/706600454.db2.gz HKMXQTZVHPWNNM-BDAKNGLRSA-N -1 1 318.208 1.880 20 0 DDADMM C[C@@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CCCOC1 ZINC000806483644 701437285 /nfs/dbraw/zinc/43/72/85/701437285.db2.gz LRPOEHNQMDBBNC-MNOVXSKESA-N -1 1 303.366 1.278 20 0 DDADMM CC[C@H](C)C[C@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806922995 701455103 /nfs/dbraw/zinc/45/51/03/701455103.db2.gz YBXRFHIJIFHTQX-QWRGUYRKSA-N -1 1 317.393 1.221 20 0 DDADMM CCOc1cc(C(=O)N[C@@H]2C[C@@H](C)OC2=O)cc(Cl)c1[O-] ZINC000808205362 701507466 /nfs/dbraw/zinc/50/74/66/701507466.db2.gz CLBSNPGOEXQKSC-GMSGAONNSA-N -1 1 313.737 1.878 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C2CCC2)[C@H]2CCOC2)sn1 ZINC000867733748 701720059 /nfs/dbraw/zinc/72/00/59/701720059.db2.gz OLDKIZRYOAXMJA-WCQYABFASA-N -1 1 316.448 1.935 20 0 DDADMM CCCS(=O)(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000810087887 701726544 /nfs/dbraw/zinc/72/65/44/701726544.db2.gz CIMRJSDKZWWGQO-SECBINFHSA-N -1 1 316.345 1.117 20 0 DDADMM COC(=O)C1CC([N-]S(=O)(=O)c2c(F)cccc2Cl)C1 ZINC000867769297 701739367 /nfs/dbraw/zinc/73/93/67/701739367.db2.gz OKZFDVMKVLLYOQ-UHFFFAOYSA-N -1 1 321.757 1.709 20 0 DDADMM Cc1cccc(CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c1 ZINC000830966206 706612828 /nfs/dbraw/zinc/61/28/28/706612828.db2.gz QMXJEPRXSZDCBI-UHFFFAOYSA-N -1 1 313.357 1.422 20 0 DDADMM O=C(NCCS(=O)(=O)C1CC1)c1c([O-])cccc1Cl ZINC000839857709 701847969 /nfs/dbraw/zinc/84/79/69/701847969.db2.gz BBLMGFIFZYHQGC-UHFFFAOYSA-N -1 1 303.767 1.353 20 0 DDADMM CC(C)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[N-]OC1CCCC1 ZINC000810890919 701869166 /nfs/dbraw/zinc/86/91/66/701869166.db2.gz WMEARDQRXULRRL-AWEZNQCLSA-N -1 1 322.409 1.474 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2ncc3ccccc3c2[O-])C(=O)O1 ZINC000831036522 706624702 /nfs/dbraw/zinc/62/47/02/706624702.db2.gz IYHTVMLZKWURTQ-LLVKDONJSA-N -1 1 300.314 1.764 20 0 DDADMM FC[C@@H]1CN(Cn2[n-]c(-c3ccccn3)nc2=S)CCO1 ZINC000840171568 702008951 /nfs/dbraw/zinc/00/89/51/702008951.db2.gz GMGBRPBCMGPOEZ-SNVBAGLBSA-N -1 1 309.370 1.630 20 0 DDADMM O=C([N-]CC1CN(C(=O)[C@@H]2C[C@@H]2C2CCCC2)C1)C(F)(F)F ZINC000831120625 706638416 /nfs/dbraw/zinc/63/84/16/706638416.db2.gz BQYQLYMATSFPPW-VXGBXAGGSA-N -1 1 318.339 1.950 20 0 DDADMM COC(=O)c1ccc(C(=O)Nc2nc(Cl)ccc2[O-])n1C ZINC000816426457 702084906 /nfs/dbraw/zinc/08/49/06/702084906.db2.gz JGKHMEMOOZCGGV-UHFFFAOYSA-N -1 1 309.709 1.818 20 0 DDADMM C[C@@H](CNC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000868365572 702088424 /nfs/dbraw/zinc/08/84/24/702088424.db2.gz OHXAKMSURVJHHQ-ZANVPECISA-N -1 1 321.343 1.885 20 0 DDADMM O=C(Cc1ccccc1O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831134045 706641288 /nfs/dbraw/zinc/64/12/88/706641288.db2.gz HIJZJMSZWHQTRR-UHFFFAOYSA-N -1 1 316.279 1.072 20 0 DDADMM Cc1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)nc1C ZINC000840598290 702169058 /nfs/dbraw/zinc/16/90/58/702169058.db2.gz OEJSGQDTXOPBIO-UHFFFAOYSA-N -1 1 304.268 1.924 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000812399400 702175264 /nfs/dbraw/zinc/17/52/64/702175264.db2.gz NVOYZHPVTUOKDZ-HIXXPVPXSA-N -1 1 300.664 1.198 20 0 DDADMM C[C@H]1CC[C@H](CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868567333 702202034 /nfs/dbraw/zinc/20/20/34/702202034.db2.gz NATHHXPSICMLAN-QWRGUYRKSA-N -1 1 320.393 1.490 20 0 DDADMM C[C@H]1CCC[C@H]1CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868577306 702206987 /nfs/dbraw/zinc/20/69/87/702206987.db2.gz QRFQYFULRJCIDM-QWRGUYRKSA-N -1 1 320.393 1.490 20 0 DDADMM C[C@@H]1CCC[C@@H]1CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868577304 702207225 /nfs/dbraw/zinc/20/72/25/702207225.db2.gz QRFQYFULRJCIDM-GHMZBOCLSA-N -1 1 320.393 1.490 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000812807170 702244638 /nfs/dbraw/zinc/24/46/38/702244638.db2.gz ZTGFHADDNIFHFB-LBPRGKRZSA-N -1 1 324.352 1.651 20 0 DDADMM O=C([N-]CC1CN(c2ncnc3c2CCC3)C1)C(F)(F)F ZINC000866294913 706667476 /nfs/dbraw/zinc/66/74/76/706667476.db2.gz XZUAPQFNYLCZOO-UHFFFAOYSA-N -1 1 300.284 1.080 20 0 DDADMM CCOc1cc(N2CC(C[N-]C(=O)C(F)(F)F)C2)nc(C)n1 ZINC000866294556 706667592 /nfs/dbraw/zinc/66/75/92/706667592.db2.gz RVYGDGQRKXKYCX-UHFFFAOYSA-N -1 1 318.299 1.298 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC(C)(C)c1ccc(F)cc1 ZINC000841390711 702434019 /nfs/dbraw/zinc/43/40/19/702434019.db2.gz QOECSWUVKITQAH-UHFFFAOYSA-N -1 1 321.308 1.701 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C(=O)O1 ZINC000841523640 702479231 /nfs/dbraw/zinc/47/92/31/702479231.db2.gz MHAGJUDPHCISNK-HZGVNTEJSA-N -1 1 307.730 1.461 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@@H](O)C(C)C ZINC000841562188 702499106 /nfs/dbraw/zinc/49/91/06/702499106.db2.gz VDFNUQFFLYLTJY-BDAKNGLRSA-N -1 1 309.819 1.147 20 0 DDADMM C[C@@H]1CCN(C(=O)CCCCO)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000869312586 702565124 /nfs/dbraw/zinc/56/51/24/702565124.db2.gz PWFTWQYEYQNKGP-ZJUUUORDSA-N -1 1 310.316 1.065 20 0 DDADMM CC(C)(C)/C=C\C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869394063 702591404 /nfs/dbraw/zinc/59/14/04/702591404.db2.gz NFZMBFTWQFLSON-XQRVVYSFSA-N -1 1 309.284 1.770 20 0 DDADMM CC[C@@]1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCNC1=O ZINC000869481656 702620916 /nfs/dbraw/zinc/62/09/16/702620916.db2.gz BAFPBTYCWOTZNY-MRXNPFEDSA-N -1 1 314.345 1.433 20 0 DDADMM CC[C@H](COC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C)OC ZINC000842069719 702666735 /nfs/dbraw/zinc/66/67/35/702666735.db2.gz AFROGRIWXPLBGV-GFCCVEGCSA-N -1 1 321.377 1.953 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)NCCCCC(=O)[O-])cn1 ZINC000842728823 702763800 /nfs/dbraw/zinc/76/38/00/702763800.db2.gz IBZNHCJOJHXWED-UHFFFAOYSA-N -1 1 305.338 1.278 20 0 DDADMM O=S(=O)([N-]c1cccnc1F)c1cnn([C@@H]2CCOC2)c1 ZINC000842824821 702780441 /nfs/dbraw/zinc/78/04/41/702780441.db2.gz DSIHIYSZZURTSZ-SECBINFHSA-N -1 1 312.326 1.179 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)/C=C\c2ccccc2)CCC1 ZINC000843019539 702804583 /nfs/dbraw/zinc/80/45/83/702804583.db2.gz VFIHBPSMOUTVGO-KTKRTIGZSA-N -1 1 322.430 1.630 20 0 DDADMM Cc1ccccc1CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843019469 702804608 /nfs/dbraw/zinc/80/46/08/702804608.db2.gz SOHKQQGOPRFKII-UHFFFAOYSA-N -1 1 324.446 1.468 20 0 DDADMM COC1(C(=O)N2CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)CC1 ZINC000843595804 702906390 /nfs/dbraw/zinc/90/63/90/702906390.db2.gz XAOGSTQKSSVVCG-GUBZILKMSA-N -1 1 322.327 1.469 20 0 DDADMM O=S(=O)([N-]CC1(C(F)(F)F)CC1)c1c[nH]nc1Cl ZINC000831516661 706723796 /nfs/dbraw/zinc/72/37/96/706723796.db2.gz IOLSKOSKHPCFNJ-UHFFFAOYSA-N -1 1 303.693 1.684 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000847078410 703374878 /nfs/dbraw/zinc/37/48/78/703374878.db2.gz IJRNVSZRJTXZSS-KTRBRXNASA-N -1 1 314.345 1.898 20 0 DDADMM Cc1ccc(OC[C@H](C)[N-]S(=O)(=O)N=S(C)(C)=O)cc1 ZINC000866641635 706749060 /nfs/dbraw/zinc/74/90/60/706749060.db2.gz TWDIBUYJAQBBRM-NSHDSACASA-N -1 1 320.436 1.324 20 0 DDADMM CCC[C@@H](OC)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848339509 703540515 /nfs/dbraw/zinc/54/05/15/703540515.db2.gz FZHONTNMEWSUPH-GMTAPVOTSA-N -1 1 324.343 1.860 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@]1(C)CCOC1 ZINC000848340218 703540749 /nfs/dbraw/zinc/54/07/49/703540749.db2.gz NENFUGNDTKBWDI-BREBYQMCSA-N -1 1 322.327 1.471 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]C[C@H](O)C(F)(F)F)c1F ZINC000848437312 703550565 /nfs/dbraw/zinc/55/05/65/703550565.db2.gz HKRILUXCRJUVTL-QMMMGPOBSA-N -1 1 317.260 1.036 20 0 DDADMM C[C@H]([N-]S(=O)(=O)Cc1ccon1)C(=O)OCc1ccccc1 ZINC000848465379 703553362 /nfs/dbraw/zinc/55/33/62/703553362.db2.gz QXYSLPHAEPEUNV-NSHDSACASA-N -1 1 324.358 1.226 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2ccc(Br)o2)CC1 ZINC000848478156 703554867 /nfs/dbraw/zinc/55/48/67/703554867.db2.gz GYYUEDFXQJTNKQ-UHFFFAOYSA-N -1 1 324.196 1.747 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cccc2c1OCCCO2 ZINC000849403084 703643880 /nfs/dbraw/zinc/64/38/80/703643880.db2.gz CBAWUIXJFCRRCX-UHFFFAOYSA-N -1 1 310.331 1.647 20 0 DDADMM O=S(=O)([N-][C@@H]1COC2(CCC2)C1)c1cc(F)ccc1F ZINC000849449362 703649441 /nfs/dbraw/zinc/64/94/41/703649441.db2.gz DGLBMMPMMAPXQS-JTQLQIEISA-N -1 1 303.330 1.955 20 0 DDADMM COC[C@H](COC(=O)c1nn(-c2ccccc2)cc1[O-])OC ZINC000849639783 703662223 /nfs/dbraw/zinc/66/22/23/703662223.db2.gz KEVLGJUMOJEFDB-GFCCVEGCSA-N -1 1 306.318 1.396 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)OCC[C@@H](C)O ZINC000849705086 703669737 /nfs/dbraw/zinc/66/97/37/703669737.db2.gz JAMPBHOEYBQWGD-LLVKDONJSA-N -1 1 315.391 1.766 20 0 DDADMM O=C([N-]CCCOC(=O)c1ncnc2[nH]ccc21)C(F)(F)F ZINC000850008386 703696067 /nfs/dbraw/zinc/69/60/67/703696067.db2.gz VPQAZDLOWBWMJB-UHFFFAOYSA-N -1 1 316.239 1.135 20 0 DDADMM CCCn1nccc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000850238681 703716478 /nfs/dbraw/zinc/71/64/78/703716478.db2.gz CXOWMKGHQGOMPR-UHFFFAOYSA-N -1 1 315.329 1.407 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@]2(c3ccccc3)CC2(C)C)nc1=O ZINC000850291037 703721180 /nfs/dbraw/zinc/72/11/80/703721180.db2.gz AVLFFRSWLMUFSN-INIZCTEOSA-N -1 1 301.346 1.520 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1Cc1ccc(-c2cc[nH]n2)o1 ZINC000818629403 703947038 /nfs/dbraw/zinc/94/70/38/703947038.db2.gz DQMPMDQVWSTRPO-HNNXBMFYSA-N -1 1 305.334 1.735 20 0 DDADMM CC1=C(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)C(=O)OC1(C)C ZINC000819970332 704194781 /nfs/dbraw/zinc/19/47/81/704194781.db2.gz KIHIJNFGCAOOIP-SECBINFHSA-N -1 1 321.333 1.133 20 0 DDADMM O=C([N-][C@@H]1COCC[C@H]1O)C(F)(F)c1c(F)cccc1F ZINC000871190400 704241117 /nfs/dbraw/zinc/24/11/17/704241117.db2.gz HAQNSSOTIVJLAI-NXEZZACHSA-N -1 1 307.243 1.323 20 0 DDADMM Cc1ccc2c(c1)O[C@@H](C)CN2C(=O)CCCc1nn[n-]n1 ZINC000820589596 704306000 /nfs/dbraw/zinc/30/60/00/704306000.db2.gz RWDWNOPBPUORMW-NSHDSACASA-N -1 1 301.350 1.645 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2ncnn2C)c1 ZINC000821302743 704387657 /nfs/dbraw/zinc/38/76/57/704387657.db2.gz KBNJPWIYNBEREY-MGUXCZDKSA-N -1 1 323.374 1.236 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2ncnn2C)c1 ZINC000821302745 704387678 /nfs/dbraw/zinc/38/76/78/704387678.db2.gz KBNJPWIYNBEREY-MLMJSJRWSA-N -1 1 323.374 1.236 20 0 DDADMM O=C(NCCO[C@@H]1CCCCO1)c1ccc2n[n-]c(=S)n2c1 ZINC000854552169 704416977 /nfs/dbraw/zinc/41/69/77/704416977.db2.gz QFDAAYWBTMWFPR-GFCCVEGCSA-N -1 1 322.390 1.291 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccccc1)c1cc2[nH]cnc2cc1F ZINC000821619807 704427524 /nfs/dbraw/zinc/42/75/24/704427524.db2.gz NLOGBWBTJMBEMA-UHFFFAOYSA-N -1 1 319.317 1.821 20 0 DDADMM CS[C@H](C)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418629 704528205 /nfs/dbraw/zinc/52/82/05/704528205.db2.gz HOFTVHGJAMQXIG-HLTSFMKQSA-N -1 1 312.357 1.653 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@](C)(O)c2ccccc2)sn1 ZINC000866843851 706822654 /nfs/dbraw/zinc/82/26/54/706822654.db2.gz NPKMVROKRYFDKY-ZDUSSCGKSA-N -1 1 312.416 1.638 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@]3(C)C[C@H]4C[C@H]4C3)nc2n1 ZINC000857684279 704613461 /nfs/dbraw/zinc/61/34/61/704613461.db2.gz KURVYKFUFFYVTH-WRBXQSRGSA-N -1 1 315.377 1.745 20 0 DDADMM C[C@]1(c2ccccc2)C[C@@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866872272 706830751 /nfs/dbraw/zinc/83/07/51/706830751.db2.gz KHKUJWBRNHYACS-NWDGAFQWSA-N -1 1 302.421 1.279 20 0 DDADMM C[C@H]1CCC[C@@H]1C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867224077 706930055 /nfs/dbraw/zinc/93/00/55/706930055.db2.gz FICOSVHGBIVFNJ-JGVFFNPUSA-N -1 1 322.228 1.887 20 0 DDADMM C[C@@H]1CN(c2cc(Cl)[n-]c(=O)n2)C[C@@]2(CCO[C@H](C)C2)O1 ZINC000858526185 704722446 /nfs/dbraw/zinc/72/24/46/704722446.db2.gz VGRWWSIJHUKHGZ-RULNRJAQSA-N -1 1 313.785 1.998 20 0 DDADMM Cc1cc(C(=O)NN2CC(=O)[N-]C2=O)ccc1OC(F)(F)F ZINC000858542064 704724530 /nfs/dbraw/zinc/72/45/30/704724530.db2.gz IOVREXAZMCUOSF-UHFFFAOYSA-N -1 1 317.223 1.090 20 0 DDADMM Cc1nc2sccn2c1C(=O)NCCc1nnc([S-])n1C ZINC000859208907 704821712 /nfs/dbraw/zinc/82/17/12/704821712.db2.gz OYNHFBOQFQLSPN-UHFFFAOYSA-N -1 1 322.419 1.094 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCOc1ccccc1F ZINC000873872231 704877173 /nfs/dbraw/zinc/87/71/73/704877173.db2.gz NTBCYYOUKRSHJW-UHFFFAOYSA-N -1 1 307.329 1.247 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CC1(C2CCC2)CCC1 ZINC000867376951 706980372 /nfs/dbraw/zinc/98/03/72/706980372.db2.gz DFHAFHLAJHPINS-GOSISDBHSA-N -1 1 308.469 1.909 20 0 DDADMM COC(=O)CC[C@@H]1CCCN(Cc2cc(C(=O)[O-])nn2C)C1 ZINC000874055562 704928208 /nfs/dbraw/zinc/92/82/08/704928208.db2.gz CSEMCBUCJKZUQQ-NSHDSACASA-N -1 1 309.366 1.284 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867388219 706984422 /nfs/dbraw/zinc/98/44/22/706984422.db2.gz JPPOKFXEUCCBKQ-HTQZYQBOSA-N -1 1 322.228 1.885 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1C[C@@H](C)O[C@H]1C ZINC000867404574 706989831 /nfs/dbraw/zinc/98/98/31/706989831.db2.gz RIBCRBZNWJGTSP-VWYCJHECSA-N -1 1 312.457 1.142 20 0 DDADMM Cc1nsc(N(C)C(=O)c2ccccc2)c1-c1nnn[n-]1 ZINC000822531175 704969081 /nfs/dbraw/zinc/96/90/81/704969081.db2.gz WAXPXFAFEJNPHT-UHFFFAOYSA-N -1 1 300.347 1.908 20 0 DDADMM Cc1nsc(N(C)C(=O)c2ccccc2)c1-c1nn[n-]n1 ZINC000822531175 704969082 /nfs/dbraw/zinc/96/90/82/704969082.db2.gz WAXPXFAFEJNPHT-UHFFFAOYSA-N -1 1 300.347 1.908 20 0 DDADMM COC1(CS(=O)(=O)[N-][C@@H]2CCCC23OCCO3)CCCC1 ZINC000867529247 707030105 /nfs/dbraw/zinc/03/01/05/707030105.db2.gz ZXNXABGVCNOQCZ-GFCCVEGCSA-N -1 1 319.423 1.161 20 0 DDADMM COC(=O)CC[C@@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000874531803 705096916 /nfs/dbraw/zinc/09/69/16/705096916.db2.gz KEOUFDPXSPJTBY-LBPRGKRZSA-N -1 1 306.362 1.901 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(C(F)F)CC2)n[n-]1 ZINC000880665753 707051663 /nfs/dbraw/zinc/05/16/63/707051663.db2.gz GVXKIWVBUDKWFI-ZCFIWIBFSA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(C(F)F)CC2)[n-]1 ZINC000880665753 707051664 /nfs/dbraw/zinc/05/16/64/707051664.db2.gz GVXKIWVBUDKWFI-ZCFIWIBFSA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(C(F)F)CC2)n1 ZINC000880665753 707051666 /nfs/dbraw/zinc/05/16/66/707051666.db2.gz GVXKIWVBUDKWFI-ZCFIWIBFSA-N -1 1 302.281 1.204 20 0 DDADMM c1cc(NCCCOC[C@@H]2CCCO2)nc(-c2nnn[n-]2)c1 ZINC000823757612 705310877 /nfs/dbraw/zinc/31/08/77/705310877.db2.gz SCTHJSVUDJVVIO-NSHDSACASA-N -1 1 304.354 1.259 20 0 DDADMM c1cc(NCCCOC[C@@H]2CCCO2)nc(-c2nn[n-]n2)c1 ZINC000823757612 705310879 /nfs/dbraw/zinc/31/08/79/705310879.db2.gz SCTHJSVUDJVVIO-NSHDSACASA-N -1 1 304.354 1.259 20 0 DDADMM CC1(C)OC[C@H](CNC(=O)NCc2ccc([O-])c(Cl)c2)O1 ZINC000875478686 705402345 /nfs/dbraw/zinc/40/23/45/705402345.db2.gz MXWCKHPWMGRGGF-JTQLQIEISA-N -1 1 314.769 1.996 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2nccc3ccsc32)C1=O ZINC000861446222 705470263 /nfs/dbraw/zinc/47/02/63/705470263.db2.gz FNSJOTDXWFTLJF-CQSZACIVSA-N -1 1 318.358 1.662 20 0 DDADMM CC[C@H]1CCC[C@H]1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825194398 705621964 /nfs/dbraw/zinc/62/19/64/705621964.db2.gz QMYFTVAFURYZJZ-VHSXEESVSA-N -1 1 304.354 1.401 20 0 DDADMM CC[C@H]1CCC[C@H]1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825194398 705621967 /nfs/dbraw/zinc/62/19/67/705621967.db2.gz QMYFTVAFURYZJZ-VHSXEESVSA-N -1 1 304.354 1.401 20 0 DDADMM CC[C@@H]1CCC[C@@H]1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825194399 705622033 /nfs/dbraw/zinc/62/20/33/705622033.db2.gz QMYFTVAFURYZJZ-ZJUUUORDSA-N -1 1 304.354 1.401 20 0 DDADMM CC[C@@H]1CCC[C@@H]1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825194399 705622034 /nfs/dbraw/zinc/62/20/34/705622034.db2.gz QMYFTVAFURYZJZ-ZJUUUORDSA-N -1 1 304.354 1.401 20 0 DDADMM O=C(NC[C@H]1CC2(CO1)CCOCC2)c1ccc([O-])cc1F ZINC000825433072 705670472 /nfs/dbraw/zinc/67/04/72/705670472.db2.gz SLZMYFBLWKKHCY-GFCCVEGCSA-N -1 1 309.337 1.847 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(F)cc2C)c1-c1nnn[n-]1 ZINC000826269041 705786568 /nfs/dbraw/zinc/78/65/68/705786568.db2.gz YJCXCOKIYLAYEY-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(F)cc2C)c1-c1nn[n-]n1 ZINC000826269041 705786573 /nfs/dbraw/zinc/78/65/73/705786573.db2.gz YJCXCOKIYLAYEY-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCC(F)(F)C2)c1-c1nnn[n-]1 ZINC000826346575 705794432 /nfs/dbraw/zinc/79/44/32/705794432.db2.gz PPQSSJLTGSICJU-ZETCQYMHSA-N -1 1 312.280 1.010 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCC(F)(F)C2)c1-c1nn[n-]n1 ZINC000826346575 705794437 /nfs/dbraw/zinc/79/44/37/705794437.db2.gz PPQSSJLTGSICJU-ZETCQYMHSA-N -1 1 312.280 1.010 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(F)cc2)c1-c1nnn[n-]1 ZINC000826348700 705795287 /nfs/dbraw/zinc/79/52/87/705795287.db2.gz ZWVLQEYAUIVPBF-UHFFFAOYSA-N -1 1 302.269 1.483 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(F)cc2)c1-c1nn[n-]n1 ZINC000826348700 705795288 /nfs/dbraw/zinc/79/52/88/705795288.db2.gz ZWVLQEYAUIVPBF-UHFFFAOYSA-N -1 1 302.269 1.483 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2N1CCOCC2(CC2)C1 ZINC000826523247 705808226 /nfs/dbraw/zinc/80/82/26/705808226.db2.gz GMQMNIKKQKCGMB-UHFFFAOYSA-N -1 1 323.360 1.427 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2N1CCOCC2(CC2)C1 ZINC000826523247 705808230 /nfs/dbraw/zinc/80/82/30/705808230.db2.gz GMQMNIKKQKCGMB-UHFFFAOYSA-N -1 1 323.360 1.427 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1CC[C@@](C)(C(=O)[O-])C1 ZINC000863529268 705949712 /nfs/dbraw/zinc/94/97/12/705949712.db2.gz LLGGXMVXWSBKIE-GFCCVEGCSA-N -1 1 310.316 1.584 20 0 DDADMM C[C@@H]1CC(NC(=O)c2ccc3n[n-]c(=S)n3c2)C[C@@H](C)O1 ZINC000827628522 706040064 /nfs/dbraw/zinc/04/00/64/706040064.db2.gz ANRIWFMLULZCGD-RKDXNWHRSA-N -1 1 306.391 1.704 20 0 DDADMM CO[C@@H](C)CN(C(=O)c1ccc2n[n-]c(=S)n2c1)C(C)C ZINC000863943248 706042690 /nfs/dbraw/zinc/04/26/90/706042690.db2.gz UNCYTCWUASNJOW-JTQLQIEISA-N -1 1 308.407 1.904 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC1CCCCC1 ZINC000864428587 706165930 /nfs/dbraw/zinc/16/59/30/706165930.db2.gz HAZSDIBVVPBXAB-UHFFFAOYSA-N -1 1 306.366 1.387 20 0 DDADMM O=C(c1cc(Cl)ncc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000828417035 706175609 /nfs/dbraw/zinc/17/56/09/706175609.db2.gz RKTCPIJABYIONU-UHFFFAOYSA-N -1 1 310.720 1.407 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-]C1CCOCC1)C1CCCCC1 ZINC000881799449 707369603 /nfs/dbraw/zinc/36/96/03/707369603.db2.gz BFKRHIBSVGZTCN-IBGZPJMESA-N -1 1 324.468 1.430 20 0 DDADMM CCc1ncc(CN2CC(C[N-]C(=O)C(F)(F)F)C2)cn1 ZINC000877776735 706207411 /nfs/dbraw/zinc/20/74/11/706207411.db2.gz XKCGSCPPZCFHMV-UHFFFAOYSA-N -1 1 302.300 1.149 20 0 DDADMM O=C([N-]CC1CN(Cc2nc3c(s2)CCC3)C1)C(F)(F)F ZINC000877776973 706207455 /nfs/dbraw/zinc/20/74/55/706207455.db2.gz IYBXGKHDJKPXPZ-UHFFFAOYSA-N -1 1 319.352 1.742 20 0 DDADMM COC[C@H]1CCCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000828686884 706217524 /nfs/dbraw/zinc/21/75/24/706217524.db2.gz AAUZGZOSNDHJHI-NSHDSACASA-N -1 1 320.418 1.907 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000828690053 706218177 /nfs/dbraw/zinc/21/81/77/706218177.db2.gz XLHCSUHOKDMXMO-DVOKIVJVSA-N -1 1 315.373 1.163 20 0 DDADMM COC(=O)[C@@]1(F)CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000829467072 706338680 /nfs/dbraw/zinc/33/86/80/706338680.db2.gz GCQFZBQJEUIXHU-MRXNPFEDSA-N -1 1 318.304 1.668 20 0 DDADMM COC(=O)c1cc(F)c([N-]S(=O)(=O)C[C@H](C)OC)c(F)c1 ZINC000829833436 706404125 /nfs/dbraw/zinc/40/41/25/706404125.db2.gz FAKXSYXEVZXFDM-ZETCQYMHSA-N -1 1 323.317 1.528 20 0 DDADMM CC(=O)c1sccc1OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000865362870 706413500 /nfs/dbraw/zinc/41/35/00/706413500.db2.gz DWHHBNKNGQXOIS-UHFFFAOYSA-N -1 1 323.292 1.967 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@H]1C ZINC000830083182 706451319 /nfs/dbraw/zinc/45/13/19/706451319.db2.gz ZRJZKLWHZQYJOV-WCBMZHEXSA-N -1 1 320.374 1.042 20 0 DDADMM COc1cc(CCC(=O)[N-]OCC(F)(F)F)cc(OC)c1O ZINC000830444207 706518681 /nfs/dbraw/zinc/51/86/81/706518681.db2.gz XWYMDHBPLBIVIT-UHFFFAOYSA-N -1 1 323.267 1.952 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CC1)c1cc(Cl)cnc1Cl ZINC000866840865 706821727 /nfs/dbraw/zinc/82/17/27/706821727.db2.gz OTHYGOVSEPCNPB-MRVPVSSYSA-N -1 1 311.190 1.438 20 0 DDADMM CCOC1(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000830790461 706580414 /nfs/dbraw/zinc/58/04/14/706580414.db2.gz VPKWGJHQEKVTJR-GFCCVEGCSA-N -1 1 322.327 1.615 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@]1(C)CCCS1 ZINC000866955088 706855492 /nfs/dbraw/zinc/85/54/92/706855492.db2.gz OMDGUPCZXGYADG-JDNHERCYSA-N -1 1 300.471 1.224 20 0 DDADMM C[S@@](=N)(=O)N1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000832201454 706869032 /nfs/dbraw/zinc/86/90/32/706869032.db2.gz HSTFIVKLEDGXHW-FQEVSTJZSA-N -1 1 317.798 1.395 20 0 DDADMM Cc1cnccc1CC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867014131 706872400 /nfs/dbraw/zinc/87/24/00/706872400.db2.gz WUPJOEZKMBRUAD-UHFFFAOYSA-N -1 1 317.436 1.029 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1(C)C ZINC000867059278 706885861 /nfs/dbraw/zinc/88/58/61/706885861.db2.gz UGBIQOCLPNASMH-GMTAPVOTSA-N -1 1 324.468 1.142 20 0 DDADMM CCOC(=O)C1(S(=O)(=O)[N-]Cc2ncc(CC)o2)CCC1 ZINC000867119730 706902289 /nfs/dbraw/zinc/90/22/89/706902289.db2.gz RIXROIAEKVPLGS-UHFFFAOYSA-N -1 1 316.379 1.142 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)c1ncnn1C ZINC000867174984 706915999 /nfs/dbraw/zinc/91/59/99/706915999.db2.gz WFMYYNCWJIQEFX-LURJTMIESA-N -1 1 319.749 1.042 20 0 DDADMM CC1(C)C[C@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867378282 706980776 /nfs/dbraw/zinc/98/07/76/706980776.db2.gz ROIRJQIHDBQGLE-SECBINFHSA-N -1 1 320.361 1.843 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCCC[C@@H]2F)c1 ZINC000867612705 707054263 /nfs/dbraw/zinc/05/42/63/707054263.db2.gz LCSPYGLKJIKSFB-WDEREUQCSA-N -1 1 303.355 1.960 20 0 DDADMM O=S(=O)([N-][C@H]1CCCN(CCF)C1)c1cc(F)ccc1F ZINC000867712109 707082478 /nfs/dbraw/zinc/08/24/78/707082478.db2.gz UDSLWNXIDINOPS-NSHDSACASA-N -1 1 322.352 1.677 20 0 DDADMM O=S(=O)([N-][C@H]1CCCN(CCF)C1)c1ccc(F)nc1F ZINC000867714127 707083211 /nfs/dbraw/zinc/08/32/11/707083211.db2.gz YDUKWIVKSUNSGA-VIFPVBQESA-N -1 1 323.340 1.072 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)C[C@H](O)C(Cl)(Cl)Cl ZINC000909054447 712926694 /nfs/dbraw/zinc/92/66/94/712926694.db2.gz OOXISEPKNVNPLN-BQBZGAKWSA-N -1 1 308.589 1.172 20 0 DDADMM CC(C)(O)[C@@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000871766361 707192561 /nfs/dbraw/zinc/19/25/61/707192561.db2.gz OCKOHPUYTSSHRE-SNVBAGLBSA-N -1 1 301.346 1.156 20 0 DDADMM CO[C@H]1CCN2C(=O)N(Cc3ccc([O-])c(Cl)c3)C(=O)[C@H]2C1 ZINC000871912904 707242621 /nfs/dbraw/zinc/24/26/21/707242621.db2.gz YXVFEAPELLDVAK-CMPLNLGQSA-N -1 1 324.764 1.987 20 0 DDADMM O=C1N[C@H]([C@H]2CCCOC2)C(=O)N1Cc1ccc([O-])c(Cl)c1 ZINC000871911924 707242895 /nfs/dbraw/zinc/24/28/95/707242895.db2.gz NVURARXTUOORAA-GXFFZTMASA-N -1 1 324.764 1.893 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)CC=C(Cl)Cl)C(F)(F)F ZINC000882027592 707471432 /nfs/dbraw/zinc/47/14/32/707471432.db2.gz XUCZSLVVUDJZJI-LURJTMIESA-N -1 1 316.128 1.538 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@H]2CCC[C@@H]2O1)c1ccc(F)nc1F ZINC000872555634 707477067 /nfs/dbraw/zinc/47/70/67/707477067.db2.gz MMARBNUQJSORPW-UTLUCORTSA-N -1 1 318.345 1.596 20 0 DDADMM CCCn1ccc(C[N-]S(=O)(=O)N=S2(=O)CCCC2)c1 ZINC000882120420 707507516 /nfs/dbraw/zinc/50/75/16/707507516.db2.gz VWUJQORXFDHRIW-UHFFFAOYSA-N -1 1 319.452 1.494 20 0 DDADMM C[C@]1(CS(=O)(=O)[N-][C@@]2(C)CC(C)(C)OC2=O)CC1(F)F ZINC000882224457 707546585 /nfs/dbraw/zinc/54/65/85/707546585.db2.gz XTFGADOFGDNWSY-MNOVXSKESA-N -1 1 311.350 1.435 20 0 DDADMM CCOC(=O)[C@H](C)N(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000837181931 707592975 /nfs/dbraw/zinc/59/29/75/707592975.db2.gz NBTMLLILNKEEOL-QMMMGPOBSA-N -1 1 308.363 1.042 20 0 DDADMM CC[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@H]1CCCOC1 ZINC000882462592 707642784 /nfs/dbraw/zinc/64/27/84/707642784.db2.gz UUZJDZVUCZAKJA-RYUDHWBXSA-N -1 1 320.418 1.953 20 0 DDADMM O=C([O-])[C@H](CNC(=O)CN1CCCC1)Cc1cccc(F)c1 ZINC000909240572 712971358 /nfs/dbraw/zinc/97/13/58/712971358.db2.gz XINWLVTYMGQSPE-ZDUSSCGKSA-N -1 1 308.353 1.281 20 0 DDADMM O=C(N[C@@H]1CCC[C@]12CCCO2)c1ccc2n[n-]c(=S)n2c1 ZINC000882601849 707714016 /nfs/dbraw/zinc/71/40/16/707714016.db2.gz SZADDRPNODCGTA-ABAIWWIYSA-N -1 1 318.402 1.850 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)O[C@@H]3CCOC3)n2)cc1 ZINC000837707081 707721944 /nfs/dbraw/zinc/72/19/44/707721944.db2.gz IDWHIZVXQWARPU-GFCCVEGCSA-N -1 1 304.302 1.532 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)O[C@H]3CCOC3)n2)cc1 ZINC000837707088 707721964 /nfs/dbraw/zinc/72/19/64/707721964.db2.gz IDWHIZVXQWARPU-LBPRGKRZSA-N -1 1 304.302 1.532 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C(=O)[O-])cc1 ZINC000909252995 712974822 /nfs/dbraw/zinc/97/48/22/712974822.db2.gz OZBGOZUCMQFLDD-MGPQQGTHSA-N -1 1 313.357 1.806 20 0 DDADMM Cc1occ(C(F)(F)F)c1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000873482604 707869458 /nfs/dbraw/zinc/86/94/58/707869458.db2.gz RFJAFIYPFVTLED-UHFFFAOYSA-N -1 1 305.212 1.386 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@]23C[C@@H]2CCCC3)o1 ZINC000927450014 712986758 /nfs/dbraw/zinc/98/67/58/712986758.db2.gz LUTNJLDZAZQOLE-IINYFYTJSA-N -1 1 312.391 1.498 20 0 DDADMM O=C([N-]CCCOC(=O)c1cc2c([nH]1)CCOC2)C(F)(F)F ZINC000838459972 707924042 /nfs/dbraw/zinc/92/40/42/707924042.db2.gz NCEWBOGCPHXNOL-UHFFFAOYSA-N -1 1 320.267 1.313 20 0 DDADMM O=C([O-])CNC(=O)CN1CCCSC[C@H]1c1ccccc1 ZINC000883412315 707994865 /nfs/dbraw/zinc/99/48/65/707994865.db2.gz XAFSIUAQWZKLMY-ZDUSSCGKSA-N -1 1 308.403 1.367 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3CCN(c4ccccc4)C3)ccnc1-2 ZINC000839345876 708043422 /nfs/dbraw/zinc/04/34/22/708043422.db2.gz KFXYYWNGFMMGHX-CYBMUJFWSA-N -1 1 321.384 1.807 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@@H](C(=O)[O-])c1ccc(Cl)c(F)c1 ZINC000909391840 713006728 /nfs/dbraw/zinc/00/67/28/713006728.db2.gz XYKMGJYYBCDNDO-NWDGAFQWSA-N -1 1 314.744 1.815 20 0 DDADMM O=C([O-])[C@H](NC(=O)CN1CCCC1)c1ccc(Cl)c(F)c1 ZINC000909392803 713007026 /nfs/dbraw/zinc/00/70/26/713007026.db2.gz OLYBYYFUAYZWTK-CYBMUJFWSA-N -1 1 314.744 1.817 20 0 DDADMM Cc1noc(C[C@@H]2CCCN(c3cc(Cl)[n-]c(=O)n3)C2)n1 ZINC000896846569 708162753 /nfs/dbraw/zinc/16/27/53/708162753.db2.gz POCNJADOLSHQIN-VIFPVBQESA-N -1 1 309.757 1.986 20 0 DDADMM O=C([O-])c1ccc2c(c1)CN(C(=O)CCc1c[nH]nn1)CC2 ZINC000909454000 713022068 /nfs/dbraw/zinc/02/20/68/713022068.db2.gz GENPBQOZKMXXDN-UHFFFAOYSA-N -1 1 300.318 1.020 20 0 DDADMM O=C([O-])c1ccc2c(c1)CN(C(=O)CCc1cnn[nH]1)CC2 ZINC000909454000 713022071 /nfs/dbraw/zinc/02/20/71/713022071.db2.gz GENPBQOZKMXXDN-UHFFFAOYSA-N -1 1 300.318 1.020 20 0 DDADMM O=C([O-])c1cccc(CCNC(=O)[C@H]2CCc3[nH]cnc3C2)c1 ZINC000909463924 713024885 /nfs/dbraw/zinc/02/48/85/713024885.db2.gz VQGNUEGEKRNNLJ-LBPRGKRZSA-N -1 1 313.357 1.572 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(c3ccccn3)CC2)n1 ZINC000897675069 708415559 /nfs/dbraw/zinc/41/55/59/708415559.db2.gz PZRBZVYKTWIIRB-UHFFFAOYSA-N -1 1 300.318 1.652 20 0 DDADMM Cc1cnc(C(=O)N2CCC(CO)(C(F)(F)F)CC2)c([O-])c1 ZINC000885004966 708439691 /nfs/dbraw/zinc/43/96/91/708439691.db2.gz BGUUGHVCFZSYHL-UHFFFAOYSA-N -1 1 318.295 1.873 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2ccns2)[C@@H]2CCCO[C@H]21 ZINC000885032634 708449326 /nfs/dbraw/zinc/44/93/26/708449326.db2.gz AIHKWWPIXRTNHL-JMJZKYOTSA-N -1 1 302.421 1.625 20 0 DDADMM CC(C)[C@H]1C[C@H](C[N-]S(=O)(=O)c2ccns2)CCO1 ZINC000885217588 708496477 /nfs/dbraw/zinc/49/64/77/708496477.db2.gz KDPWYGCPOVWECV-GHMZBOCLSA-N -1 1 304.437 1.873 20 0 DDADMM COC(=O)[C@@H](C[N-]S(=O)(=O)c1ccns1)CC1CC1 ZINC000885272133 708507232 /nfs/dbraw/zinc/50/72/32/708507232.db2.gz LMWWUASJSGAYEM-SECBINFHSA-N -1 1 304.393 1.011 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccns1)CC1CCCCC1 ZINC000885349442 708524683 /nfs/dbraw/zinc/52/46/83/708524683.db2.gz XLOJTTGTBOCJBM-UHFFFAOYSA-N -1 1 302.421 1.961 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C[C@H]1CCCO1)c1cc(F)ccc1F ZINC000885530250 708568570 /nfs/dbraw/zinc/56/85/70/708568570.db2.gz QAXYHFYRIRTVIW-GHMZBOCLSA-N -1 1 321.345 1.173 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1nsc2ccccc12 ZINC000898293766 708600274 /nfs/dbraw/zinc/60/02/74/708600274.db2.gz XNUOLHXXWKXBFW-UHFFFAOYSA-N -1 1 302.363 1.449 20 0 DDADMM CO[C@@]1(C(=O)OCCC[N-]C(=O)C(F)(F)F)CCSC1 ZINC000885755730 708619138 /nfs/dbraw/zinc/61/91/38/708619138.db2.gz QGPDLHRQKIDPJE-JTQLQIEISA-N -1 1 315.313 1.120 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000885773928 708624872 /nfs/dbraw/zinc/62/48/72/708624872.db2.gz AIEFDZIDFKZNCY-RNFRBKRXSA-N -1 1 323.292 1.191 20 0 DDADMM CSc1nc(CNC(=O)C[C@@H]2CC(C)(C)CO2)cc(=O)[n-]1 ZINC000898525677 708668132 /nfs/dbraw/zinc/66/81/32/708668132.db2.gz NEFVCULBDLBSJA-SNVBAGLBSA-N -1 1 311.407 1.726 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H](C2CCOCC2)C1 ZINC000886161647 708708333 /nfs/dbraw/zinc/70/83/33/708708333.db2.gz VHEOPJODVPEOOJ-ZDUSSCGKSA-N -1 1 307.398 1.188 20 0 DDADMM Cc1cc(OCC(=O)NCCc2c(F)cc([O-])cc2F)no1 ZINC000886263271 708731569 /nfs/dbraw/zinc/73/15/69/708731569.db2.gz QNTGAFIKOFJCPD-UHFFFAOYSA-N -1 1 312.272 1.705 20 0 DDADMM COCc1nc(C(=O)NCCc2c(F)cc([O-])cc2F)no1 ZINC000886266264 708732479 /nfs/dbraw/zinc/73/24/79/708732479.db2.gz FENJHRVPSVSAMD-UHFFFAOYSA-N -1 1 313.260 1.172 20 0 DDADMM CSCC[C@H](O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266272 708732508 /nfs/dbraw/zinc/73/25/08/708732508.db2.gz FMSULLCOMPURRI-LBPRGKRZSA-N -1 1 305.346 1.443 20 0 DDADMM O=C(CCCCc1c[nH]nn1)NCCc1c(F)cc([O-])cc1F ZINC000886266462 708732513 /nfs/dbraw/zinc/73/25/13/708732513.db2.gz NGFOTTZCDUKQQT-UHFFFAOYSA-N -1 1 324.331 1.860 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000886306545 708739381 /nfs/dbraw/zinc/73/93/81/708739381.db2.gz QOPXPFYUSGRCNF-NEPJUHHUSA-N -1 1 300.314 1.622 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCc2c[nH]nc2C1 ZINC000927783909 713055280 /nfs/dbraw/zinc/05/52/80/713055280.db2.gz DPQXFOSSHGYQKP-UHFFFAOYSA-N -1 1 322.315 1.704 20 0 DDADMM C[C@]1(CO)C[C@@H](O)CN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000912652726 713061099 /nfs/dbraw/zinc/06/10/99/713061099.db2.gz BZBDYEPDFTWTPO-JDNHERCYSA-N -1 1 320.320 1.037 20 0 DDADMM C[C@@H](CCc1ccccc1F)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898756391 708856418 /nfs/dbraw/zinc/85/64/18/708856418.db2.gz IHXWFTMKTWNWLA-JTQLQIEISA-N -1 1 319.336 1.912 20 0 DDADMM O=C(NC1(c2ncccn2)CCOCC1)c1cc(F)ccc1[O-] ZINC000886940665 708898128 /nfs/dbraw/zinc/89/81/28/708898128.db2.gz FAZLQRJPGFJCTK-UHFFFAOYSA-N -1 1 317.320 1.757 20 0 DDADMM COC(=O)Cc1c(C)nn(-c2nc3ccccc3c(=O)[n-]2)c1C ZINC000887288916 709008176 /nfs/dbraw/zinc/00/81/76/709008176.db2.gz HWYWTDRIXILEIZ-UHFFFAOYSA-N -1 1 312.329 1.853 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1CC[C@@H](C2CC2)O1 ZINC000887486773 709054424 /nfs/dbraw/zinc/05/44/24/709054424.db2.gz ACRCRHSTSPUSGH-QWRGUYRKSA-N -1 1 323.418 1.900 20 0 DDADMM O=C(NCC1(C2(O)CCC2)CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000887534738 709064725 /nfs/dbraw/zinc/06/47/25/709064725.db2.gz XULPBYNMWJYAJB-UHFFFAOYSA-N -1 1 318.402 1.443 20 0 DDADMM C[C@@H]1C[C@@]2(CC[C@H](CNC(=O)c3ccc([O-])c(F)c3)O2)CO1 ZINC000899480981 709094625 /nfs/dbraw/zinc/09/46/25/709094625.db2.gz VXMGARKNBXLSAN-SIVJFFJCSA-N -1 1 309.337 1.988 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC(N2CCCOC2=O)CC1 ZINC000887715793 709110326 /nfs/dbraw/zinc/11/03/26/709110326.db2.gz HVDIOQNSCNLRIS-UHFFFAOYSA-N -1 1 322.336 1.978 20 0 DDADMM COC(=O)[C@@H]1CCN1C(=O)c1ccc(Br)cc1[O-] ZINC000888061469 709204328 /nfs/dbraw/zinc/20/43/28/709204328.db2.gz FFJATBLDHISBCK-VIFPVBQESA-N -1 1 314.135 1.542 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@H]1COc1ccc(F)cc1 ZINC000888521694 709331171 /nfs/dbraw/zinc/33/11/71/709331171.db2.gz QMLZGNZYWPITEE-LBPRGKRZSA-N -1 1 319.340 1.341 20 0 DDADMM C[C@H]1C(=O)N([N-]C(=O)c2cc(F)c(F)c(F)c2)C(=O)N1C ZINC000912822963 713100823 /nfs/dbraw/zinc/10/08/23/713100823.db2.gz CEXOYKVUUOQXNN-YFKPBYRVSA-N -1 1 301.224 1.031 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CC12CC(O)C2 ZINC000888963501 709414451 /nfs/dbraw/zinc/41/44/51/709414451.db2.gz XOYROYAVQNSBHC-IAZHFHGHSA-N -1 1 305.378 1.431 20 0 DDADMM CSCC[C@H](NC(=O)Cc1cccc(C)c1)c1nn[n-]n1 ZINC000912860014 713109580 /nfs/dbraw/zinc/10/95/80/713109580.db2.gz HOMRQSYTXZQCIB-LBPRGKRZSA-N -1 1 305.407 1.661 20 0 DDADMM CSCC[C@H](NC(=O)CCOc1ccccc1)c1nn[n-]n1 ZINC000912860106 713109653 /nfs/dbraw/zinc/10/96/53/713109653.db2.gz NLHXMAJQBHADPJ-LBPRGKRZSA-N -1 1 321.406 1.579 20 0 DDADMM O=C([O-])[C@H]1CN(C(=O)[C@@H]2CCc3nc[nH]c3C2)c2ccccc21 ZINC000909581962 709525931 /nfs/dbraw/zinc/52/59/31/709525931.db2.gz UCIMSMBMVYRPDJ-PWSUYJOCSA-N -1 1 311.341 1.730 20 0 DDADMM COCCC[C@H](C)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912861862 713110569 /nfs/dbraw/zinc/11/05/69/713110569.db2.gz ANNIEQRNLRDMPO-UWVGGRQHSA-N -1 1 301.416 1.173 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1C[C@@H]1C1CCCC1)c1nn[n-]n1 ZINC000912863317 713111665 /nfs/dbraw/zinc/11/16/65/713111665.db2.gz SWRYCJNJAWKBGP-WOPDTQHZSA-N -1 1 309.439 1.936 20 0 DDADMM C[C@H]1CCN(C(=O)CN(C)CCc2ccccc2)[C@@H](C(=O)[O-])C1 ZINC000909783895 709618844 /nfs/dbraw/zinc/61/88/44/709618844.db2.gz VYCMEQJNOFKAKH-GOEBONIOSA-N -1 1 318.417 1.873 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CCCNC(=O)C1 ZINC000900512303 709630807 /nfs/dbraw/zinc/63/08/07/709630807.db2.gz WLOSONCKPPGDNG-UHFFFAOYSA-N -1 1 303.293 1.042 20 0 DDADMM C[C@H]1[C@H](C(=O)[O-])CCN1C(=O)c1cn[nH]c1-c1ccccn1 ZINC000909809451 709632287 /nfs/dbraw/zinc/63/22/87/709632287.db2.gz NDOBSZMEPPVSJH-VHSXEESVSA-N -1 1 300.318 1.407 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H](CC(C)C)OC)[n-]c1=O ZINC000889785258 709634979 /nfs/dbraw/zinc/63/49/79/709634979.db2.gz CUIKKYGKYLRXGS-RYUDHWBXSA-N -1 1 323.393 1.915 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)COC(C)(C)C)[n-]c1=O ZINC000889790859 709637608 /nfs/dbraw/zinc/63/76/08/709637608.db2.gz VSTBEWWHJYEEMP-JTQLQIEISA-N -1 1 309.366 1.669 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C=Cc2ccc[nH]2)[n-]c1=O ZINC000889791431 709637675 /nfs/dbraw/zinc/63/76/75/709637675.db2.gz ZHDWFBFHIPNOOB-NNNHXZLVSA-N -1 1 314.345 1.896 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@@H]2CCc3ccccc32)C1 ZINC000909952937 709705819 /nfs/dbraw/zinc/70/58/19/709705819.db2.gz CDYNEDMOIINZHJ-UKRRQHHQSA-N -1 1 302.374 1.587 20 0 DDADMM Cn1nc(CNC(=O)c2ncccc2[O-])cc1C(F)(F)F ZINC000890012623 709706181 /nfs/dbraw/zinc/70/61/81/709706181.db2.gz AWFGLYNHCSYRMT-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM CCN(CC(=O)N1CC[C@H](C(=O)[O-])[C@H]2CCCC[C@H]21)C1CC1 ZINC000909962570 709709984 /nfs/dbraw/zinc/70/99/84/709709984.db2.gz PANGADQPVCIJSA-QLFBSQMISA-N -1 1 308.422 1.963 20 0 DDADMM CC(C)(C)OC(=O)COCC(=O)Nc1cc([O-])c(F)cc1F ZINC000909987750 709722309 /nfs/dbraw/zinc/72/23/09/709722309.db2.gz FXAGGHHAZOOIHV-UHFFFAOYSA-N -1 1 317.288 1.967 20 0 DDADMM Cc1nn[nH]c1C(=O)N1C[C@H](C2CCCCC2)C[C@H]1C(=O)[O-] ZINC000910086813 709766806 /nfs/dbraw/zinc/76/68/06/709766806.db2.gz AJBGPLZVZRHZQR-NEPJUHHUSA-N -1 1 306.366 1.609 20 0 DDADMM COC(C)(C)C[C@@H](C)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000910250338 709840935 /nfs/dbraw/zinc/84/09/35/709840935.db2.gz HKQOUEJKEUITHR-NEPJUHHUSA-N -1 1 300.399 1.103 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)C[C@@]1(C)C(=O)[O-] ZINC000910261153 709846060 /nfs/dbraw/zinc/84/60/60/709846060.db2.gz UZFNOLYIBCTQFP-NKTGWMFESA-N -1 1 305.378 1.645 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc3cncn3c2)[C@](C)(C(=O)[O-])C1 ZINC000910264130 709847970 /nfs/dbraw/zinc/84/79/70/709847970.db2.gz NQKFXOAXJGRVNB-WFASDCNBSA-N -1 1 303.318 1.039 20 0 DDADMM Cc1scc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1C ZINC000910280713 709862229 /nfs/dbraw/zinc/86/22/29/709862229.db2.gz MQTPAFVCXUWSCI-GFCCVEGCSA-N -1 1 310.419 1.778 20 0 DDADMM CCC(CC)[C@H](CNc1ccc(C(=O)[O-])nn1)N1CCOCC1 ZINC000910339482 709901527 /nfs/dbraw/zinc/90/15/27/709901527.db2.gz FJYDSSIWOHCHQK-AWEZNQCLSA-N -1 1 322.409 1.724 20 0 DDADMM C[C@@]1(C2CCN(C(=O)c3ncccc3[O-])CC2)COC(=O)N1 ZINC000928161586 713142523 /nfs/dbraw/zinc/14/25/23/713142523.db2.gz DGJGRCSBRVXBTB-HNNXBMFYSA-N -1 1 305.334 1.138 20 0 DDADMM O=C([O-])[C@]1(F)CCN(c2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000910447624 709946797 /nfs/dbraw/zinc/94/67/97/709946797.db2.gz DGFZKCPXBMGCRL-JTQLQIEISA-N -1 1 319.218 1.375 20 0 DDADMM Cc1cc(CN2CC[C@](F)(C(=O)[O-])C2)ccc1-n1cncn1 ZINC000901520660 710065168 /nfs/dbraw/zinc/06/51/68/710065168.db2.gz YNGGMBGAAQAGOF-OAHLLOKOSA-N -1 1 304.325 1.574 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC000901569401 710077495 /nfs/dbraw/zinc/07/74/95/710077495.db2.gz MIVCGYVSUTWIOL-KRWDZBQOSA-N -1 1 304.390 1.484 20 0 DDADMM Cc1nn(C)c(OCC(F)(F)F)c1CN(C)C[C@H](C)C(=O)[O-] ZINC000901598837 710089844 /nfs/dbraw/zinc/08/98/44/710089844.db2.gz ZDIXGINVQVBQMP-QMMMGPOBSA-N -1 1 323.315 1.822 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCC[C@H](C)[C@H]1C(=O)[O-] ZINC000901696145 710121842 /nfs/dbraw/zinc/12/18/42/710121842.db2.gz WTMHUNGZPWEFMF-QOBDMFJFSA-N -1 1 305.378 1.787 20 0 DDADMM CC(C)(C)n1nnc(CC(=O)Nc2cc(F)cc(F)c2[O-])n1 ZINC000910986865 710142315 /nfs/dbraw/zinc/14/23/15/710142315.db2.gz OROGJYWBTHUWKO-UHFFFAOYSA-N -1 1 311.292 1.593 20 0 DDADMM CCn1ncc(CN[C@@H](Cc2cccc(OC)c2)C(=O)[O-])n1 ZINC000901755463 710142861 /nfs/dbraw/zinc/14/28/61/710142861.db2.gz KKQCZVOKURIHGL-AWEZNQCLSA-N -1 1 304.350 1.092 20 0 DDADMM O=C([O-])C1(C(=O)N[C@@H]2CCCN3CCSC[C@H]23)CCCC1 ZINC000910990548 710143870 /nfs/dbraw/zinc/14/38/70/710143870.db2.gz YTDDFWGOUHVCNB-VXGBXAGGSA-N -1 1 312.435 1.327 20 0 DDADMM COc1cc2c(cc1CN1CC[C@@](O)(C(=O)[O-])C1)O[C@H](C)C2 ZINC000901900236 710168796 /nfs/dbraw/zinc/16/87/96/710168796.db2.gz DMCJMYBODQBTQD-HWPZZCPQSA-N -1 1 307.346 1.040 20 0 DDADMM COCCCOCCC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891614778 710248928 /nfs/dbraw/zinc/24/89/28/710248928.db2.gz NJXFZXRCGYGTNY-UHFFFAOYSA-N -1 1 309.366 1.443 20 0 DDADMM CCOC(=O)[C@H](COC)NC(=O)c1c([O-])cccc1Cl ZINC000928299609 713168235 /nfs/dbraw/zinc/16/82/35/713168235.db2.gz FHYFRZYWPAFTPA-VIFPVBQESA-N -1 1 301.726 1.354 20 0 DDADMM COCc1nc(N2CCN(C(=O)OC(C)(C)C)CC2)cc(=O)[n-]1 ZINC000891654452 710258268 /nfs/dbraw/zinc/25/82/68/710258268.db2.gz SRRFCHWQKYKVSX-UHFFFAOYSA-N -1 1 324.381 1.386 20 0 DDADMM COCc1nc(N2CCN(C(=O)CC(C)(C)C)CC2)cc(=O)[n-]1 ZINC000891729083 710277585 /nfs/dbraw/zinc/27/75/85/710277585.db2.gz QGIAZSFUUAMFRF-UHFFFAOYSA-N -1 1 322.409 1.413 20 0 DDADMM COCc1nc(N2CCC[C@H](C(=O)NCC3CC3)C2)cc(=O)[n-]1 ZINC000891871616 710314578 /nfs/dbraw/zinc/31/45/78/710314578.db2.gz RSPHROUUZFSNOF-LBPRGKRZSA-N -1 1 320.393 1.071 20 0 DDADMM COC(CNC(=O)c1ncccc1[O-])(OC)c1ccccc1 ZINC000913225219 713182950 /nfs/dbraw/zinc/18/29/50/713182950.db2.gz YFTLCTQHSHXGDC-UHFFFAOYSA-N -1 1 302.330 1.663 20 0 DDADMM COCc1nc(NC[C@@H](CCO)c2ccccc2)cc(=O)[n-]1 ZINC000892650397 710476219 /nfs/dbraw/zinc/47/62/19/710476219.db2.gz WTGULFRXEZLIKQ-CYBMUJFWSA-N -1 1 303.362 1.907 20 0 DDADMM CCC(CC)S(=O)(=O)[N-]C(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC000892692376 710483003 /nfs/dbraw/zinc/48/30/03/710483003.db2.gz WFZUNFNDSHZHCZ-VIFPVBQESA-N -1 1 313.423 1.783 20 0 DDADMM O=C(C[C@H]1CCNC1=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000913268479 713186887 /nfs/dbraw/zinc/18/68/87/713186887.db2.gz GUGHYBIHDZHRRD-SNVBAGLBSA-N -1 1 300.318 1.043 20 0 DDADMM COCc1nc(NCc2ccc([S@@](C)=O)cc2)cc(=O)[n-]1 ZINC000892852321 710512952 /nfs/dbraw/zinc/51/29/52/710512952.db2.gz XMZKCRJABFCPHT-OAQYLSRUSA-N -1 1 307.375 1.678 20 0 DDADMM COCc1nc(N2CCC[C@H]2c2cn(C)nc2C)cc(=O)[n-]1 ZINC000892910196 710524360 /nfs/dbraw/zinc/52/43/60/710524360.db2.gz PBJFIYRKFNIXJE-LBPRGKRZSA-N -1 1 303.366 1.712 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000902100195 710615364 /nfs/dbraw/zinc/61/53/64/710615364.db2.gz XSCRWMRUFBHBIG-LSDHHAIUSA-N -1 1 302.374 1.681 20 0 DDADMM O=C([O-])c1coc(CNCCn2ccc(C(F)(F)F)n2)c1 ZINC000902164310 710642500 /nfs/dbraw/zinc/64/25/00/710642500.db2.gz CZRUTUUVYMOHSQ-UHFFFAOYSA-N -1 1 303.240 1.983 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)NCc1ccc(OCC(=O)[O-])cc1 ZINC000902193348 710658339 /nfs/dbraw/zinc/65/83/39/710658339.db2.gz YRWCGDCAHBKGBI-NEPJUHHUSA-N -1 1 308.378 1.543 20 0 DDADMM O=C([O-])C1(C(=O)N2CCC(CN3CCOCC3)CC2)CCCC1 ZINC000911200747 710681754 /nfs/dbraw/zinc/68/17/54/710681754.db2.gz LZQZHZPZGJJOJA-UHFFFAOYSA-N -1 1 324.421 1.202 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1CC[C@@H]1C(=O)[O-])N1CCc2ccccc2C1 ZINC000911304626 710735668 /nfs/dbraw/zinc/73/56/68/710735668.db2.gz WYYGQAOCPFJTTO-WQVCFCJDSA-N -1 1 316.401 1.660 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2ccc(C)c(C(=O)[O-])c2)C1 ZINC000911352612 710757281 /nfs/dbraw/zinc/75/72/81/710757281.db2.gz OMNOEVXVLNWISD-AWEZNQCLSA-N -1 1 320.389 1.534 20 0 DDADMM CC[C@H]1CN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CCN1Cc1ccccc1 ZINC000911531065 710839295 /nfs/dbraw/zinc/83/92/95/710839295.db2.gz GZDWLKXLWUQEOH-XHSDSOJGSA-N -1 1 316.401 1.830 20 0 DDADMM CCCN(C(=O)c1cc([C@@H]2CCCN2C)n[nH]1)[C@H](C)C(=O)[O-] ZINC000911715031 710945603 /nfs/dbraw/zinc/94/56/03/710945603.db2.gz UFJDMRNQDHDENV-MFKMUULPSA-N -1 1 308.382 1.502 20 0 DDADMM O=C([O-])C12CCC(C(=O)NCCN3CC=CCC3)(CC1)CC2 ZINC000911717911 710948109 /nfs/dbraw/zinc/94/81/09/710948109.db2.gz MHJJQNIZIQMIJS-UHFFFAOYSA-N -1 1 306.406 1.790 20 0 DDADMM COCc1nc(N2CCc3cc(OC)ccc3C2)cc(=O)[n-]1 ZINC000894570350 711186496 /nfs/dbraw/zinc/18/64/96/711186496.db2.gz XRQANMTVAYZTJX-UHFFFAOYSA-N -1 1 301.346 1.900 20 0 DDADMM CC(C)(CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)CC(F)F ZINC000913493852 713237000 /nfs/dbraw/zinc/23/70/00/713237000.db2.gz KMDVRKSLHPMDOY-MRVPVSSYSA-N -1 1 303.313 1.171 20 0 DDADMM CC[C@H](CC1CCCC1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494673 713237249 /nfs/dbraw/zinc/23/72/49/713237249.db2.gz IRRFZJXZMGNKRN-OLZOCXBDSA-N -1 1 307.398 1.706 20 0 DDADMM O=C([C@@H]1CC[C@@H]2CCCC[C@H]2C1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496091 713238211 /nfs/dbraw/zinc/23/82/11/713238211.db2.gz SDILRPSYXIOJKD-IGQOVBAYSA-N -1 1 319.409 1.706 20 0 DDADMM C[C@@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)c1cccc(Cl)c1 ZINC000913496270 713238411 /nfs/dbraw/zinc/23/84/11/713238411.db2.gz WWZKAYFKATYIBM-SKDRFNHKSA-N -1 1 321.768 1.557 20 0 DDADMM CC(C)(C)c1ccc([N-]S(=O)(=O)N=S(C)(C)=O)cn1 ZINC000903688341 711245083 /nfs/dbraw/zinc/24/50/83/711245083.db2.gz LADBQYCFSICUBJ-UHFFFAOYSA-N -1 1 305.425 1.763 20 0 DDADMM CCOC(=O)[C@H](CCc1ccccc1)N1CC[C@@H](C(=O)[O-])C1 ZINC000903692783 711246470 /nfs/dbraw/zinc/24/64/70/711246470.db2.gz BGEXIAARRALFLI-CABCVRRESA-N -1 1 305.374 1.957 20 0 DDADMM O=C([O-])C1(CCN2CCN(C(=O)N3CCCCC3)CC2)CCC1 ZINC000903696129 711247219 /nfs/dbraw/zinc/24/72/19/711247219.db2.gz BRNIKSVAUBMDRL-UHFFFAOYSA-N -1 1 323.437 1.855 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)NCC1CCCCC1 ZINC000903711854 711250258 /nfs/dbraw/zinc/25/02/58/711250258.db2.gz MYVHZRNTJMZBAT-CYBMUJFWSA-N -1 1 314.426 1.495 20 0 DDADMM O=C([O-])[C@H]1c2ccccc2OCCN1CCO[C@H]1CC1(F)F ZINC000903931052 711338489 /nfs/dbraw/zinc/33/84/89/711338489.db2.gz NOZAOZMUCAXLNK-QWHCGFSZSA-N -1 1 313.300 1.931 20 0 DDADMM O=C([O-])[C@]12C[C@H]1CCCN2Cc1nc(-c2ccccn2)no1 ZINC000903938559 711342745 /nfs/dbraw/zinc/34/27/45/711342745.db2.gz UQYKEYJQYNOTLG-BMIGLBTASA-N -1 1 300.318 1.571 20 0 DDADMM COc1ccc(CN[C@@H](C(=O)[O-])c2cnn(C)c2C)c(OC)c1 ZINC000904048422 711370144 /nfs/dbraw/zinc/37/01/44/711370144.db2.gz QZUILPWGLHYXBC-OAHLLOKOSA-N -1 1 319.361 1.661 20 0 DDADMM COCc1nc(N2CC[C@]3(C(=O)OC)CCC[C@H]23)cc(=O)[n-]1 ZINC000895098596 711410281 /nfs/dbraw/zinc/41/02/81/711410281.db2.gz VUYNGNICOOTHEF-ZUZCIYMTSA-N -1 1 307.350 1.251 20 0 DDADMM C[C@]1([C@H]2CCCN(c3cc(Cl)[n-]c(=O)n3)C2)COC(=O)N1 ZINC000895529713 711534553 /nfs/dbraw/zinc/53/45/53/711534553.db2.gz AYMPVOWARHGQIS-ISVAXAHUSA-N -1 1 312.757 1.551 20 0 DDADMM CCCCn1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(C)n1 ZINC000913744148 713292800 /nfs/dbraw/zinc/29/28/00/713292800.db2.gz BUTLTEZCGYBUOZ-UHFFFAOYSA-N -1 1 317.397 1.525 20 0 DDADMM CC(C)(C(=O)N1CCC(c2nn[n-]n2)CC1)C1CCC(O)CC1 ZINC000913745139 713293197 /nfs/dbraw/zinc/29/31/97/713293197.db2.gz QDISSFUMCCRCHU-UHFFFAOYSA-N -1 1 321.425 1.483 20 0 DDADMM Cc1cn2ccc(C(=O)N3CCC(c4nn[n-]n4)CC3)cc2n1 ZINC000913745812 713293666 /nfs/dbraw/zinc/29/36/66/713293666.db2.gz PDKIEBBUYBPVBZ-UHFFFAOYSA-N -1 1 311.349 1.176 20 0 DDADMM C[C@@H]1CCCc2ncc(C(=O)N3CCC(c4nn[n-]n4)CC3)n21 ZINC000913746012 713293846 /nfs/dbraw/zinc/29/38/46/713293846.db2.gz SYAQPXNAHVDOSP-SNVBAGLBSA-N -1 1 315.381 1.313 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@@H]4C[C@@H]4CC3)nc2n1 ZINC000905633178 712101521 /nfs/dbraw/zinc/10/15/21/712101521.db2.gz KICDYYNGPYOUMV-RTCCRHLQSA-N -1 1 301.350 1.491 20 0 DDADMM Cc1ncccc1[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000906058487 712233228 /nfs/dbraw/zinc/23/32/28/712233228.db2.gz VVHWWRJWTGEVRS-SFHVURJKSA-N -1 1 305.425 1.943 20 0 DDADMM O=C([C@@H]1CCOC2(CCCC2)C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000907103464 712488129 /nfs/dbraw/zinc/48/81/29/712488129.db2.gz GCBCDYIMDXZNJV-CHWSQXEVSA-N -1 1 319.409 1.645 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccsc1 ZINC000907472381 712580963 /nfs/dbraw/zinc/58/09/63/712580963.db2.gz CDPHZJGGLXWLAV-WPRPVWTQSA-N -1 1 309.420 1.681 20 0 DDADMM O=C(C=C(C1CC1)C1CC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907473567 712581205 /nfs/dbraw/zinc/58/12/05/712581205.db2.gz BWIRLAHAISGHTM-LBPRGKRZSA-N -1 1 305.407 1.563 20 0 DDADMM CC(=CC(=O)N1CCSC[C@H]1c1nn[n-]n1)c1cccs1 ZINC000907482953 712584543 /nfs/dbraw/zinc/58/45/43/712584543.db2.gz UTGKWNOIZYTBRF-RNKPRXRFSA-N -1 1 321.431 1.981 20 0 DDADMM CCCN1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1=O ZINC000907666324 712611500 /nfs/dbraw/zinc/61/15/00/712611500.db2.gz UZFDQHRZABFNBM-UHFFFAOYSA-N -1 1 318.377 1.144 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C[C@@H]1OC ZINC000907722841 712618502 /nfs/dbraw/zinc/61/85/02/712618502.db2.gz XWIZNHMGRYCMBJ-BETUJISGSA-N -1 1 320.320 1.565 20 0 DDADMM CN1CCC[C@H](NC(=O)[C@]2(C(=O)[O-])C[C@H]2c2ccccc2)C1 ZINC000907799762 712628286 /nfs/dbraw/zinc/62/82/86/712628286.db2.gz NIHDPMXAXFLSBE-ZQIUZPCESA-N -1 1 302.374 1.455 20 0 DDADMM COc1cnc([C@H]2CCCN2S(=O)(=O)CC(C)C)[n-]c1=O ZINC000908015785 712666654 /nfs/dbraw/zinc/66/66/54/712666654.db2.gz GDZKJPOUARBGPU-SNVBAGLBSA-N -1 1 315.395 1.314 20 0 DDADMM CCCS(=O)(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000908017536 712666908 /nfs/dbraw/zinc/66/69/08/712666908.db2.gz URODEPRLTVUNNK-VIFPVBQESA-N -1 1 301.368 1.068 20 0 DDADMM CCc1cc(C(=O)N2CCOc3cc(C(=O)[O-])ccc3C2)n[nH]1 ZINC000908141084 712696651 /nfs/dbraw/zinc/69/66/51/712696651.db2.gz JBXKBWVBVIXFLD-UHFFFAOYSA-N -1 1 315.329 1.705 20 0 DDADMM CN(C)C1(C(=O)N2CCOc3cc(C(=O)[O-])ccc3C2)CC1 ZINC000908148388 712698183 /nfs/dbraw/zinc/69/81/83/712698183.db2.gz NNTLDKUCAWPPGT-UHFFFAOYSA-N -1 1 304.346 1.200 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@@H]1C1CC1)c1ccc(C(F)F)o1 ZINC000908402543 712761737 /nfs/dbraw/zinc/76/17/37/712761737.db2.gz ANBMLNTWZHVGCO-RKDXNWHRSA-N -1 1 307.318 1.921 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(C(=O)CCCCc1cn[nH]n1)CC2 ZINC000908655763 712830481 /nfs/dbraw/zinc/83/04/81/712830481.db2.gz QWRJTNNEKIALCK-LBPRGKRZSA-N -1 1 306.366 1.231 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000908751980 712847668 /nfs/dbraw/zinc/84/76/68/712847668.db2.gz ICDCZSJISGNMGP-MFKMUULPSA-N -1 1 311.357 1.543 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2C[C@@H]2c2ccccc2)C1 ZINC000908787820 712854982 /nfs/dbraw/zinc/85/49/82/712854982.db2.gz HXFUUJJUISOSNI-RRFJBIMHSA-N -1 1 302.374 1.455 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC2(c3ccc(F)cc3)CC2)C1 ZINC000908807911 712858239 /nfs/dbraw/zinc/85/82/39/712858239.db2.gz VJDDBXWXSUZUPB-GFCCVEGCSA-N -1 1 320.364 1.728 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)NC[C@H](c1ccsc1)N(C)C ZINC000908814766 712860405 /nfs/dbraw/zinc/86/04/05/712860405.db2.gz UJLSWRRPRNGTKO-GFCCVEGCSA-N -1 1 313.423 1.855 20 0 DDADMM O=C([O-])[C@H]1Cc2ccccc2CN1C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000908895797 712881995 /nfs/dbraw/zinc/88/19/95/712881995.db2.gz JVNFFLFXAJNYFZ-UMVBOHGHSA-N -1 1 311.341 1.551 20 0 DDADMM COC(=O)[C@@H](CC(F)F)[N-]S(=O)(=O)CCCC(C)(F)F ZINC000914305479 713373953 /nfs/dbraw/zinc/37/39/53/713373953.db2.gz FRMVLJIPNXKFAM-SSDOTTSWSA-N -1 1 323.308 1.538 20 0 DDADMM COC(=O)c1ccnc(S(=O)(=O)[N-][C@H]2CCCC2(F)F)c1 ZINC000915673840 713427192 /nfs/dbraw/zinc/42/71/92/713427192.db2.gz BMYABHXGGLMVGE-VIFPVBQESA-N -1 1 320.317 1.334 20 0 DDADMM CCOC(=O)C(CC)(CC)[N-]S(=O)(=O)CC1(F)CCC1 ZINC000915859776 713433895 /nfs/dbraw/zinc/43/38/95/713433895.db2.gz NCIDHDIODSMJMI-UHFFFAOYSA-N -1 1 309.403 1.920 20 0 DDADMM CO[C@@H](C)CCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000916176034 713446118 /nfs/dbraw/zinc/44/61/18/713446118.db2.gz DOKCTEUYIOZTGX-VIFPVBQESA-N -1 1 302.321 1.937 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H]1CCCOC1 ZINC000917123958 713483515 /nfs/dbraw/zinc/48/35/15/713483515.db2.gz HFQCCLGGQOGGBW-SECBINFHSA-N -1 1 300.305 1.691 20 0 DDADMM C[C@H](CN(C)CC(=O)N1CCCC1)C(=O)c1ccc([O-])cc1 ZINC000929098400 713545739 /nfs/dbraw/zinc/54/57/39/713545739.db2.gz UGWIGNONTQUWCX-CYBMUJFWSA-N -1 1 304.390 1.765 20 0 DDADMM O=S(=O)([N-]Cc1cc2n(n1)CCCC2)c1c[nH]nc1Cl ZINC000919622693 713622376 /nfs/dbraw/zinc/62/23/76/713622376.db2.gz PGYYVMHXXBBZSJ-UHFFFAOYSA-N -1 1 315.786 1.074 20 0 DDADMM C[C@@H]1C[C@H]1[C@@H]1C[C@H]1[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000921308233 713728694 /nfs/dbraw/zinc/72/86/94/713728694.db2.gz XKHUZIQTFLPJNW-LURQLKTLSA-N -1 1 323.340 1.762 20 0 DDADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)Cc1ccccc1 ZINC000921378683 713749299 /nfs/dbraw/zinc/74/92/99/713749299.db2.gz GARXTMQFDCFWOZ-GFCCVEGCSA-N -1 1 317.432 1.401 20 0 DDADMM CN1CC[C@H]1C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000921413974 713762498 /nfs/dbraw/zinc/76/24/98/713762498.db2.gz YUFAGAPZVPVPKH-ZETCQYMHSA-N -1 1 309.185 1.025 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCCCO1 ZINC000921677695 713840191 /nfs/dbraw/zinc/84/01/91/713840191.db2.gz UENGMLYIERKHEQ-GFCCVEGCSA-N -1 1 306.334 1.597 20 0 DDADMM CCC[C@H](O)CC[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000921683950 713842208 /nfs/dbraw/zinc/84/22/08/713842208.db2.gz QSRSRZBMGVYJQI-VIFPVBQESA-N -1 1 323.361 1.803 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H](COC)C(C)C ZINC000921898663 713901514 /nfs/dbraw/zinc/90/15/14/713901514.db2.gz SPQWCWXPGZDFSE-NSHDSACASA-N -1 1 314.473 1.247 20 0 DDADMM Cn1nccc1[C@@H](O)C[N-]S(=O)(=O)c1sccc1Cl ZINC000921964647 713921921 /nfs/dbraw/zinc/92/19/21/713921921.db2.gz NMFZIFVJAMARJV-VIFPVBQESA-N -1 1 321.811 1.147 20 0 DDADMM COC(C)(C)CS(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921965885 713922146 /nfs/dbraw/zinc/92/21/46/713922146.db2.gz SCASQZCRUOAEDQ-UHFFFAOYSA-N -1 1 323.361 1.557 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3CC[C@H](C4CC4)O3)ccnc1-2 ZINC000931131363 714010500 /nfs/dbraw/zinc/01/05/00/714010500.db2.gz FSGDUZSRGJBJRB-SMDDNHRTSA-N -1 1 315.377 1.421 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000932052644 714247127 /nfs/dbraw/zinc/24/71/27/714247127.db2.gz BSTONHXVQITHDQ-WDNDVIMCSA-N -1 1 314.345 1.280 20 0 DDADMM CO[C@@H]1COC[C@@H]1NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932053479 714247525 /nfs/dbraw/zinc/24/75/25/714247525.db2.gz FUFCLZOWYJLIPB-QWHCGFSZSA-N -1 1 318.333 1.113 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@]12C[C@H]1COC2 ZINC000932062469 714250027 /nfs/dbraw/zinc/25/00/27/714250027.db2.gz ZUSYKMPFYMCHBV-BONVTDFDSA-N -1 1 300.318 1.488 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N1CC[C@@H](C(=O)[O-])C[C@H]1C)C2 ZINC000923155116 714256974 /nfs/dbraw/zinc/25/69/74/714256974.db2.gz IDVAFLYNSUEOHV-ADEWGFFLSA-N -1 1 305.378 1.535 20 0 DDADMM O=C(Cc1n[nH]c2c1CCCC2)N[C@H]1C[C@@H](C(=O)[O-])[C@@H]2C[C@@H]21 ZINC000923196216 714268672 /nfs/dbraw/zinc/26/86/72/714268672.db2.gz TYSVNHUFBQFSSI-XZUYRWCXSA-N -1 1 303.362 1.056 20 0 DDADMM Cc1ccccc1[C@@H](C)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000932281706 714299903 /nfs/dbraw/zinc/29/99/03/714299903.db2.gz BVFQNNYCLLDHAP-YPMHNXCESA-N -1 1 301.350 1.212 20 0 DDADMM COC(=O)N[C@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000924483851 714592456 /nfs/dbraw/zinc/59/24/56/714592456.db2.gz QOWLRHMARGSJCH-NSHDSACASA-N -1 1 315.329 1.511 20 0 DDADMM COc1cc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)on1 ZINC000934279178 714785545 /nfs/dbraw/zinc/78/55/45/714785545.db2.gz LEWWMLVKBVOFHN-SNVBAGLBSA-N -1 1 306.322 1.525 20 0 DDADMM COc1ccc(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(C)c1 ZINC000935036866 714960939 /nfs/dbraw/zinc/96/09/39/714960939.db2.gz JSINNESLJMCWIL-ZDUSSCGKSA-N -1 1 315.377 1.465 20 0 DDADMM CC(C)S(=O)(=O)C=C([O-])N=[S@@](C)(=O)C1CCCCC1 ZINC000926007196 714987823 /nfs/dbraw/zinc/98/78/23/714987823.db2.gz LHPYXIJXICELAP-SFHVURJKSA-N -1 1 309.453 1.767 20 0 DDADMM O=S(=O)(C=C([O-])N=[S@]1(=O)CCc2ccccc21)C1CC1 ZINC000926037247 714994958 /nfs/dbraw/zinc/99/49/58/714994958.db2.gz OXFKYJOWIMFVEC-IBGZPJMESA-N -1 1 313.400 1.173 20 0 DDADMM Cn1cc(Br)c(C(=O)[N-]OCC(F)F)cc1=O ZINC000935387385 715043236 /nfs/dbraw/zinc/04/32/36/715043236.db2.gz CQOONEWEKLFTQT-UHFFFAOYSA-N -1 1 311.082 1.074 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc(F)c2[O-])CCN1CC(C)(C)O ZINC000935541578 715081580 /nfs/dbraw/zinc/08/15/80/715081580.db2.gz BZSLBLHTTYSUKY-LLVKDONJSA-N -1 1 310.369 1.449 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)C[C@@H]1C ZINC000926608434 715096461 /nfs/dbraw/zinc/09/64/61/715096461.db2.gz LYYSPQLFNUOBNM-GXSJLCMTSA-N -1 1 311.765 1.856 20 0 DDADMM CC1(NC(=O)C2CCC2)CCN(C(=O)c2ncccc2[O-])CC1 ZINC000956258740 715375410 /nfs/dbraw/zinc/37/54/10/715375410.db2.gz CBADRFJGSHZVFV-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937864278 715619101 /nfs/dbraw/zinc/61/91/01/715619101.db2.gz OFPJPFIKBHMZIG-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1CC1 ZINC000955486151 715814670 /nfs/dbraw/zinc/81/46/70/715814670.db2.gz MGVKPGZGZNEBMY-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C2CC2)CCN1C(=O)c1ncccc1[O-] ZINC000955640353 715889782 /nfs/dbraw/zinc/88/97/82/715889782.db2.gz CDBJVHWWMXQPDG-CMPLNLGQSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C(C)(C)C)CCN1C(=O)c1ncccc1[O-] ZINC000955656473 715895209 /nfs/dbraw/zinc/89/52/09/715895209.db2.gz XUKROQXMVTXPRM-NWDGAFQWSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2CC[C@@H](C)CC2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC000957484872 715922530 /nfs/dbraw/zinc/92/25/30/715922530.db2.gz JJOOWVQYHLQSNX-HKUMRIAESA-N -1 1 319.409 1.208 20 0 DDADMM CC[C@H](C)C(=O)N1CCC(CN(CC)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000957679127 715999277 /nfs/dbraw/zinc/99/92/77/715999277.db2.gz DZDIBVFUXZQZOU-LBPRGKRZSA-N -1 1 323.441 1.617 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C(C)(C)F)C1 ZINC000959021762 716829590 /nfs/dbraw/zinc/82/95/90/716829590.db2.gz GUMHYJGEDBLIJV-MNOVXSKESA-N -1 1 323.368 1.502 20 0 DDADMM CCN(C(=O)C1CC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942614472 717779665 /nfs/dbraw/zinc/77/96/65/717779665.db2.gz KDACSVGGXLCCSG-LBPRGKRZSA-N -1 1 303.362 1.260 20 0 DDADMM CCCC(=O)N1CCC2(C[C@H]2NC(=O)c2ncccc2[O-])CC1 ZINC000963686520 717879991 /nfs/dbraw/zinc/87/99/91/717879991.db2.gz ZDIGTKUUBAZVSX-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM CSCC(=O)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943212479 718048754 /nfs/dbraw/zinc/04/87/54/718048754.db2.gz HFCZDCHWPGIAQO-NSHDSACASA-N -1 1 323.418 1.261 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1(C)CCC1 ZINC000966332323 718518392 /nfs/dbraw/zinc/51/83/92/718518392.db2.gz SNJDANYJDHIJQE-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C(=O)N1CC[C@@H]2[C@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945884868 718604404 /nfs/dbraw/zinc/60/44/04/718604404.db2.gz GUMJGVKREGHRCV-CHWSQXEVSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](NCc2cscn2)C1 ZINC000968486139 719615360 /nfs/dbraw/zinc/61/53/60/719615360.db2.gz RXDPAEQXKVARJF-SNVBAGLBSA-N -1 1 304.375 1.248 20 0 DDADMM C[C@@H](CC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000949541950 720150250 /nfs/dbraw/zinc/15/02/50/720150250.db2.gz SKRLVEMWRHGDCY-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1conc1CN1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000969541239 720180307 /nfs/dbraw/zinc/18/03/07/720180307.db2.gz AFAXYSXHRXKKGJ-LLVKDONJSA-N -1 1 316.361 1.334 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)C2CCCC2)C1)c1ncccc1[O-] ZINC000949646207 720236127 /nfs/dbraw/zinc/23/61/27/720236127.db2.gz HDXZDMUSMORVFI-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ccc[nH]2)C1)c1ncccc1[O-] ZINC000949984064 720440147 /nfs/dbraw/zinc/44/01/47/720440147.db2.gz GYZDQIGMRGYAME-NSHDSACASA-N -1 1 314.345 1.150 20 0 DDADMM CC1CC(C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000950375472 720603097 /nfs/dbraw/zinc/60/30/97/720603097.db2.gz IXSOUTHVUSJCOW-WXRRBKDZSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1C[C@H]2C[C@H]2C1 ZINC000951677467 721132364 /nfs/dbraw/zinc/13/23/64/721132364.db2.gz CDVKLJUHYBSHNY-LOWDOPEQSA-N -1 1 315.373 1.164 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@H](N(C)Cc3ccsc3)C2)c1[O-] ZINC000971366941 721260657 /nfs/dbraw/zinc/26/06/57/721260657.db2.gz OMCWGUWBJPMDBX-LBPRGKRZSA-N -1 1 320.418 1.832 20 0 DDADMM CC(C)(C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000951972274 721261787 /nfs/dbraw/zinc/26/17/87/721261787.db2.gz JVXIGTRCRGGYBA-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM CN(Cc1nccs1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971395409 721276051 /nfs/dbraw/zinc/27/60/51/721276051.db2.gz VKGQROCVPMKXKL-NSHDSACASA-N -1 1 318.402 1.590 20 0 DDADMM CN(C(=O)CC1CCCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953594202 721629443 /nfs/dbraw/zinc/62/94/43/721629443.db2.gz VFAYTVLDYAGXBZ-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954125217 721723909 /nfs/dbraw/zinc/72/39/09/721723909.db2.gz GRUJDFPWSCCBAL-OLZOCXBDSA-N -1 1 317.389 1.362 20 0 DDADMM O=C(NC[C@H]1CCCN(CCF)C1)c1ccc2oc(=O)nc-2[n-]1 ZINC001023700268 735407341 /nfs/dbraw/zinc/40/73/41/735407341.db2.gz LKAWEHQJULGYSB-SNVBAGLBSA-N -1 1 322.340 1.340 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001167382436 733348066 /nfs/dbraw/zinc/34/80/66/733348066.db2.gz VJAILKDIZSZDKU-VXGBXAGGSA-N -1 1 321.425 1.323 20 0 DDADMM C[C@@H]1CCN(C(=O)CC2CCC2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087142612 733527909 /nfs/dbraw/zinc/52/79/09/733527909.db2.gz XLGJOFYZLHQTHF-YPMHNXCESA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H](c1ccc(F)c(F)c1)N(C)c1nnc(-c2nnn[n-]2)n1C ZINC001121268527 782433646 /nfs/dbraw/zinc/43/36/46/782433646.db2.gz QMRGAJMFCIGGAE-SSDOTTSWSA-N -1 1 320.307 1.471 20 0 DDADMM C[C@H](c1ccc(F)c(F)c1)N(C)c1nnc(-c2nn[n-]n2)n1C ZINC001121268527 782433652 /nfs/dbraw/zinc/43/36/52/782433652.db2.gz QMRGAJMFCIGGAE-SSDOTTSWSA-N -1 1 320.307 1.471 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N(Cc1ccccc1)CC1CCC1 ZINC001121359408 782470758 /nfs/dbraw/zinc/47/07/58/782470758.db2.gz HFBRFZLYUPWHPI-UHFFFAOYSA-N -1 1 324.392 1.802 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N(Cc1ccccc1)CC1CCC1 ZINC001121359408 782470765 /nfs/dbraw/zinc/47/07/65/782470765.db2.gz HFBRFZLYUPWHPI-UHFFFAOYSA-N -1 1 324.392 1.802 20 0 DDADMM Cc1c[nH]cc1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974055914 737353064 /nfs/dbraw/zinc/35/30/64/737353064.db2.gz CPLNDRRIASNMEB-PHIMTYICSA-N -1 1 314.345 1.115 20 0 DDADMM CCCCOCC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692821092 738057668 /nfs/dbraw/zinc/05/76/68/738057668.db2.gz FMCXMHRQNNBHGI-UHFFFAOYSA-N -1 1 310.778 1.969 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017030705 751400934 /nfs/dbraw/zinc/40/09/34/751400934.db2.gz OIIOOXMRWGUKEE-LBPRGKRZSA-N -1 1 307.398 1.147 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(CCC(C)(C)C)CCO2)c1[O-] ZINC001035344903 751427479 /nfs/dbraw/zinc/42/74/79/751427479.db2.gz YDNDKVDFGYVPEC-LBPRGKRZSA-N -1 1 324.425 1.291 20 0 DDADMM COc1ccc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)c(CO)c1 ZINC001211740270 739324422 /nfs/dbraw/zinc/32/44/22/739324422.db2.gz XDBHEDKIYNGLKU-UHFFFAOYSA-N -1 1 319.321 1.891 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2[nH]nc(C)c2[O-])CCN1C/C=C/Cl ZINC001087540121 740615075 /nfs/dbraw/zinc/61/50/75/740615075.db2.gz CTEMYUYTWZCKAK-XDFYEUQUSA-N -1 1 312.801 1.759 20 0 DDADMM O=C(NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(F)F ZINC001059516626 741090189 /nfs/dbraw/zinc/09/01/89/741090189.db2.gz QOJUOAVVEWLVJU-SECBINFHSA-N -1 1 313.304 1.021 20 0 DDADMM O=C(C=C1CCC1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088294012 741341227 /nfs/dbraw/zinc/34/12/27/741341227.db2.gz MSGCXOURYKAYOE-ZDUSSCGKSA-N -1 1 319.409 1.481 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001029998132 741678858 /nfs/dbraw/zinc/67/88/58/741678858.db2.gz MOLZVLUIJHRZOV-CHWSQXEVSA-N -1 1 321.425 1.227 20 0 DDADMM CC1(C(=O)N2CC[C@@H](CCNC(=O)c3ncccc3[O-])C2)CC1 ZINC001059766325 741720059 /nfs/dbraw/zinc/72/00/59/741720059.db2.gz NNOGITDURVKQOJ-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM CN(CC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O)c1ccccc1 ZINC001180943382 742990350 /nfs/dbraw/zinc/99/03/50/742990350.db2.gz JQQMFRRXCFJYPT-UHFFFAOYSA-N -1 1 303.278 1.361 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccc(Cl)[nH]1 ZINC001168695711 743187187 /nfs/dbraw/zinc/18/71/87/743187187.db2.gz SEXDWMZUYSYNDM-NSHDSACASA-N -1 1 302.725 1.701 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002781664 743423163 /nfs/dbraw/zinc/42/31/63/743423163.db2.gz OQQQJIFWDBYUFT-JHJVBQTASA-N -1 1 317.389 1.506 20 0 DDADMM O=C([N-]C1CN(Cc2nc(C(F)(F)F)cs2)C1)C(F)F ZINC001030036588 743781759 /nfs/dbraw/zinc/78/17/59/743781759.db2.gz DBUITRUUTZNZRH-UHFFFAOYSA-N -1 1 315.267 1.727 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(C)cnc2Cl)n[n-]1 ZINC001183194435 743794435 /nfs/dbraw/zinc/79/44/35/743794435.db2.gz YIGVIBKGQHWTEQ-UHFFFAOYSA-N -1 1 323.740 1.268 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(C)cnc2Cl)n1 ZINC001183194435 743794436 /nfs/dbraw/zinc/79/44/36/743794436.db2.gz YIGVIBKGQHWTEQ-UHFFFAOYSA-N -1 1 323.740 1.268 20 0 DDADMM CCCC[C@H](CC)CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001184425757 744042401 /nfs/dbraw/zinc/04/24/01/744042401.db2.gz YFGFYWMKIILALK-QWHCGFSZSA-N -1 1 323.441 1.807 20 0 DDADMM COc1cncc(NS(=O)(=O)c2cc(C(=O)[O-])ccc2C)c1 ZINC001185877154 744307298 /nfs/dbraw/zinc/30/72/98/744307298.db2.gz AVZNRUVLWVGJKJ-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM O=C(NCc1cccc(-c2nn[nH]n2)c1)c1ccc([O-])c(F)c1 ZINC001186236618 744361754 /nfs/dbraw/zinc/36/17/54/744361754.db2.gz JDSIFMXAILLMCC-UHFFFAOYSA-N -1 1 313.292 1.641 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc([O-])c(F)c1)c1ccc(O)cc1 ZINC001186237681 744362403 /nfs/dbraw/zinc/36/24/03/744362403.db2.gz VRMOPRSQNHUWIZ-CQSZACIVSA-N -1 1 319.288 1.881 20 0 DDADMM CCOC(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1ccc([O-])c(F)c1 ZINC001186241750 744362902 /nfs/dbraw/zinc/36/29/02/744362902.db2.gz AGZGMGSDWGVHBG-LBPRGKRZSA-N -1 1 321.308 1.159 20 0 DDADMM CCNC(=O)CO[C@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC001186326805 744374918 /nfs/dbraw/zinc/37/49/18/744374918.db2.gz IKJZRTPLPSEYFZ-LBPRGKRZSA-N -1 1 324.352 1.289 20 0 DDADMM COC[C@@H]1CN(C(=O)c2ccc([O-])cc2F)Cc2cn(C)nc21 ZINC001186327128 744375283 /nfs/dbraw/zinc/37/52/83/744375283.db2.gz KHXURANBJDQGNC-NSHDSACASA-N -1 1 319.336 1.651 20 0 DDADMM CSc1ccccc1NC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001186766516 744446705 /nfs/dbraw/zinc/44/67/05/744446705.db2.gz RPVCFFBNTJWYMZ-MRVPVSSYSA-N -1 1 324.387 1.065 20 0 DDADMM Cc1cc(C)c([N-]S(=O)(=O)c2ccc3c(c2)CC(=O)N3)cn1 ZINC001186896248 744462761 /nfs/dbraw/zinc/46/27/61/744462761.db2.gz MGSKBLCWTKDJLC-UHFFFAOYSA-N -1 1 317.370 1.994 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)NCC2CCOCC2)c1 ZINC001186938018 744468873 /nfs/dbraw/zinc/46/88/73/744468873.db2.gz TWELLGQEGLIBDF-UHFFFAOYSA-N -1 1 308.334 1.727 20 0 DDADMM O=C(CN1CCC(=O)C1)Nc1cccc(C(F)(F)F)c1[O-] ZINC001187330711 744541223 /nfs/dbraw/zinc/54/12/23/744541223.db2.gz XKFAZKVXCOMHGI-UHFFFAOYSA-N -1 1 302.252 1.624 20 0 DDADMM O=C(Nc1cccc(-n2nccn2)c1)c1n[n-]nc1C(F)(F)F ZINC001187766015 744607833 /nfs/dbraw/zinc/60/78/33/744607833.db2.gz BJLDRLHOJUCJHD-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1nnsc1C1CC1 ZINC001188083340 744657874 /nfs/dbraw/zinc/65/78/74/744657874.db2.gz KMGBCRXJGJDDKO-UHFFFAOYSA-N -1 1 308.279 1.267 20 0 DDADMM O=C1OCC=C1[N-]S(=O)(=O)CCc1ccc(Cl)cc1 ZINC001189133340 744831803 /nfs/dbraw/zinc/83/18/03/744831803.db2.gz HGPWIZYHCAUGES-UHFFFAOYSA-N -1 1 301.751 1.243 20 0 DDADMM C[C@H](C[C@H](C)Nc1ncc(F)cn1)NC(=O)c1ncccc1[O-] ZINC001089272771 744847229 /nfs/dbraw/zinc/84/72/29/744847229.db2.gz QRZQQOMGEOHQEN-ZJUUUORDSA-N -1 1 319.340 1.725 20 0 DDADMM O=S(=O)(Cc1cccc(F)c1F)[N-]c1cnc2ccnn2c1 ZINC001189425976 744893687 /nfs/dbraw/zinc/89/36/87/744893687.db2.gz MLEOKUWKODQPSI-UHFFFAOYSA-N -1 1 324.312 1.949 20 0 DDADMM CCN(C(=O)c1cnc(-c2ccccn2)[n-]c1=O)C1CN(C)C1 ZINC001190628030 745278632 /nfs/dbraw/zinc/27/86/32/745278632.db2.gz GUBSDWPXWMQDDV-UHFFFAOYSA-N -1 1 313.361 1.020 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1ccc(OCOC)cc1 ZINC001191264345 745458265 /nfs/dbraw/zinc/45/82/65/745458265.db2.gz ZZECNCDGPYEBPQ-UHFFFAOYSA-N -1 1 319.317 1.821 20 0 DDADMM CSc1ncc(C(=O)NC2(c3cnccn3)CC2)c(=O)[n-]1 ZINC001191441244 745513834 /nfs/dbraw/zinc/51/38/34/745513834.db2.gz RMFXUJPWOPEZEQ-UHFFFAOYSA-N -1 1 303.347 1.113 20 0 DDADMM O=C(c1ccc([O-])cc1)c1ccccc1C(=O)N1CC(CO)C1 ZINC001191498879 745538877 /nfs/dbraw/zinc/53/88/77/745538877.db2.gz USXFRCNUHDFAFI-UHFFFAOYSA-N -1 1 311.337 1.688 20 0 DDADMM CC(C)N1C[C@@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)CC1=O ZINC001192043468 745680687 /nfs/dbraw/zinc/68/06/87/745680687.db2.gz VYLIERKKIDRIGQ-LURJTMIESA-N -1 1 323.629 1.243 20 0 DDADMM CC(=O)N1CC[C@@H](C[N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192053861 745686366 /nfs/dbraw/zinc/68/63/66/745686366.db2.gz KLGNGIZDEBINQQ-ZETCQYMHSA-N -1 1 323.629 1.102 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2ccnc(Cl)c2)c1Cl ZINC001192512409 745801663 /nfs/dbraw/zinc/80/16/63/745801663.db2.gz PTYLZLUJGOOJOK-UHFFFAOYSA-N -1 1 321.189 1.600 20 0 DDADMM CCOC(=O)[C@@H]1COCCN1C(=O)c1cc([O-])cc(F)c1F ZINC001192665058 745868252 /nfs/dbraw/zinc/86/82/52/745868252.db2.gz JFRPTFFHEIUYJY-NSHDSACASA-N -1 1 315.272 1.075 20 0 DDADMM Cn1ncc(Cl)c1[N-]S(=O)(=O)c1cnccc1Cl ZINC001192854854 745917200 /nfs/dbraw/zinc/91/72/00/745917200.db2.gz LOXCBHHGPLOLJX-UHFFFAOYSA-N -1 1 307.162 1.923 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc([C@@H](C)O)cc1 ZINC001193052568 745973507 /nfs/dbraw/zinc/97/35/07/745973507.db2.gz VMBMBAGGHUGJQO-SNVBAGLBSA-N -1 1 315.391 1.823 20 0 DDADMM O=c1cc([N-]S(=O)(=O)C[C@@H]2CCCO2)c2ccccc2[nH]1 ZINC001193205952 746013233 /nfs/dbraw/zinc/01/32/33/746013233.db2.gz OKBRSQNZZWDGAJ-JTQLQIEISA-N -1 1 308.359 1.861 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3nc(Cl)ncc3C)c1-2 ZINC001193234986 746025131 /nfs/dbraw/zinc/02/51/31/746025131.db2.gz GOKGJKAHMFLFIG-UHFFFAOYSA-N -1 1 303.713 1.039 20 0 DDADMM COCc1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)cc1F ZINC001193260187 746035181 /nfs/dbraw/zinc/03/51/81/746035181.db2.gz ATWQTZBEMAWXTL-GFCCVEGCSA-N -1 1 303.355 1.893 20 0 DDADMM COc1cc([N-]S(=O)(=O)C[C@@H]2CCCO2)cc(F)c1F ZINC001193281195 746046385 /nfs/dbraw/zinc/04/63/85/746046385.db2.gz VVULZMCGXBNRCD-VIFPVBQESA-N -1 1 307.318 1.894 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CCCO1)[C@@H](C)CC ZINC001193291393 746049805 /nfs/dbraw/zinc/04/98/05/746049805.db2.gz WDSANQBBIFSUBT-TUAOUCFPSA-N -1 1 307.412 1.063 20 0 DDADMM O=c1[nH]cnc(NS(=O)(=O)CC2CCC2)c1Br ZINC001193480050 746116733 /nfs/dbraw/zinc/11/67/33/746116733.db2.gz PYQKJAWVVIAFBR-UHFFFAOYSA-N -1 1 322.184 1.487 20 0 DDADMM COc1ccnc(C(=O)Nc2ccc(C(N)=O)c(Cl)c2)c1[O-] ZINC001193532300 746122476 /nfs/dbraw/zinc/12/24/76/746122476.db2.gz UQAOIHKMOYUFIK-UHFFFAOYSA-N -1 1 321.720 1.800 20 0 DDADMM COc1ccnc(C(=O)Nc2cnc(Cl)nc2OC)c1[O-] ZINC001193524867 746133121 /nfs/dbraw/zinc/13/31/21/746133121.db2.gz OBWZYPJOTGBTHD-UHFFFAOYSA-N -1 1 310.697 1.500 20 0 DDADMM CSc1cncc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)c1 ZINC001194082969 746275157 /nfs/dbraw/zinc/27/51/57/746275157.db2.gz HPAMQTGOLVYVBK-UHFFFAOYSA-N -1 1 300.347 1.404 20 0 DDADMM COc1nccc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)c1Cl ZINC001195184038 746539945 /nfs/dbraw/zinc/53/99/45/746539945.db2.gz YGAWHNGJRPQWSI-UHFFFAOYSA-N -1 1 318.724 1.344 20 0 DDADMM COc1ccccc1OC(=O)NCC[N-]C(=O)C(F)(F)F ZINC001195273733 746562003 /nfs/dbraw/zinc/56/20/03/746562003.db2.gz ABPRWXZGNNUHRK-UHFFFAOYSA-N -1 1 306.240 1.462 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2C[C@@H]3C(=O)Nc4ccccc4[C@H]3C2)n1 ZINC001195323104 746564596 /nfs/dbraw/zinc/56/45/96/746564596.db2.gz UOPYVZLCBCRIJU-OLZOCXBDSA-N -1 1 323.352 1.904 20 0 DDADMM Cc1cccnc1CCCNC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196008394 746754186 /nfs/dbraw/zinc/75/41/86/746754186.db2.gz APEARQBMBAWAJT-UHFFFAOYSA-N -1 1 304.375 1.537 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCc2nc(Cl)ncc2C1 ZINC001196013805 746756507 /nfs/dbraw/zinc/75/65/07/746756507.db2.gz LPMUFCSYTYRSTG-UHFFFAOYSA-N -1 1 323.765 1.113 20 0 DDADMM Cn1nc(C(C)(C)C)cc1NC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196013850 746756992 /nfs/dbraw/zinc/75/69/92/746756992.db2.gz HSNOKUDMIHKGFN-UHFFFAOYSA-N -1 1 307.379 1.754 20 0 DDADMM O=C(Nc1cccc(-n2nccn2)c1)c1c[nH]c(=S)[n-]c1=O ZINC001196021908 746759434 /nfs/dbraw/zinc/75/94/34/746759434.db2.gz VLAOYWFKZLVKGI-UHFFFAOYSA-N -1 1 314.330 1.304 20 0 DDADMM N=C(N)N(C(=O)c1c[nH]c(=S)[n-]c1=O)c1ccccc1F ZINC001196023199 746760138 /nfs/dbraw/zinc/76/01/38/746760138.db2.gz FLKHGTLUHFOQGR-UHFFFAOYSA-N -1 1 307.310 1.150 20 0 DDADMM Cc1cncnc1NC(=O)c1sccc1[N-]S(C)(=O)=O ZINC001196723900 746944692 /nfs/dbraw/zinc/94/46/92/746944692.db2.gz XINLSWAWXZSKKS-UHFFFAOYSA-N -1 1 312.376 1.470 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cc(C)nc(C)n1 ZINC001196913686 747008229 /nfs/dbraw/zinc/00/82/29/747008229.db2.gz UBEUBRBYMOHNOT-UHFFFAOYSA-N -1 1 301.368 1.178 20 0 DDADMM COc1cccc(CS(=O)(=O)[N-]c2cn(C)nc2C(C)=O)c1 ZINC001197354944 747135596 /nfs/dbraw/zinc/13/55/96/747135596.db2.gz KQUOWIDURWBEDH-UHFFFAOYSA-N -1 1 323.374 1.573 20 0 DDADMM CCCCS[C@@H](C)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123911468 747135874 /nfs/dbraw/zinc/13/58/74/747135874.db2.gz DHLABJOPVZDURT-RYUDHWBXSA-N -1 1 311.455 1.903 20 0 DDADMM O=S(=O)(Cc1cccnc1)[N-]c1ccnc2cccnc12 ZINC001197423448 747160854 /nfs/dbraw/zinc/16/08/54/747160854.db2.gz YZFMIQMINDRWBQ-UHFFFAOYSA-N -1 1 300.343 1.389 20 0 DDADMM CCc1cnn2cc([N-]S(=O)(=O)Cc3cccnc3)cnc12 ZINC001197430680 747162691 /nfs/dbraw/zinc/16/26/91/747162691.db2.gz PLZVXSFKZCUYGL-UHFFFAOYSA-N -1 1 317.374 1.629 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)Nc1cccn2nccc12 ZINC001198751199 747598875 /nfs/dbraw/zinc/59/88/75/747598875.db2.gz WGAYOCAURXUVIN-UHFFFAOYSA-N -1 1 317.326 1.833 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nccn2CC2CC2)c[n-]1 ZINC001199448843 747843686 /nfs/dbraw/zinc/84/36/86/747843686.db2.gz ADHSDOZYDIAFAF-UHFFFAOYSA-N -1 1 303.322 1.445 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2nccn2CC2CC2)n[nH]1 ZINC001199449588 747844535 /nfs/dbraw/zinc/84/45/35/747844535.db2.gz FECFTPINALIFHT-UHFFFAOYSA-N -1 1 303.322 1.445 20 0 DDADMM COc1cnc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c(C)c1 ZINC001199750753 747978882 /nfs/dbraw/zinc/97/88/82/747978882.db2.gz DXIORYRVRVIUDD-UHFFFAOYSA-N -1 1 305.250 1.250 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](S(=O)(=O)[N-]CC(F)F)C1 ZINC001201650113 748571489 /nfs/dbraw/zinc/57/14/89/748571489.db2.gz SSRCPXCXHDCGOV-QMMMGPOBSA-N -1 1 314.354 1.180 20 0 DDADMM CC1(C)CN(C(=O)C[C@H]2C=CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995432910 748719330 /nfs/dbraw/zinc/71/93/30/748719330.db2.gz UCXGIQKEPXEMFM-NWDGAFQWSA-N -1 1 319.409 1.193 20 0 DDADMM C[C@H](C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C(C)(C)C ZINC000995980908 749314902 /nfs/dbraw/zinc/31/49/02/749314902.db2.gz LTQOYJYZSCDQIA-GHMZBOCLSA-N -1 1 323.441 1.519 20 0 DDADMM CCCC(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996141611 749393093 /nfs/dbraw/zinc/39/30/93/749393093.db2.gz GOYGQBOZZJTLLV-WOPDTQHZSA-N -1 1 303.362 1.449 20 0 DDADMM CCC(C)(C)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996204395 749434689 /nfs/dbraw/zinc/43/46/89/749434689.db2.gz CNVVMLPQOIWPLK-JTQLQIEISA-N -1 1 309.414 1.273 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1CN(C(=O)C(C)C)C1 ZINC000997327067 750761274 /nfs/dbraw/zinc/76/12/74/750761274.db2.gz VHZVMACHWIMUHW-UHFFFAOYSA-N -1 1 320.393 1.211 20 0 DDADMM O=C(c1ccc(F)cc1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034842815 750958874 /nfs/dbraw/zinc/95/88/74/750958874.db2.gz BHXINVRBNPMLLA-GFCCVEGCSA-N -1 1 319.340 1.044 20 0 DDADMM Cc1nsc(NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001060856914 751028115 /nfs/dbraw/zinc/02/81/15/751028115.db2.gz UZHYVGCZLXSPRS-JTQLQIEISA-N -1 1 319.390 1.521 20 0 DDADMM CC1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001035036719 751139526 /nfs/dbraw/zinc/13/95/26/751139526.db2.gz RFXIVUSBFUVEIJ-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM O=C(C(C1CC1)C1CC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035097128 751193784 /nfs/dbraw/zinc/19/37/84/751193784.db2.gz PDXQDHLNJKPEPC-GFCCVEGCSA-N -1 1 319.409 1.027 20 0 DDADMM Cc1cnc(CN[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)o1 ZINC000998997007 752420466 /nfs/dbraw/zinc/42/04/66/752420466.db2.gz SGWNFQQDODYRQW-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM CC(C)CC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036494932 752485062 /nfs/dbraw/zinc/48/50/62/752485062.db2.gz RZWWKXPOKYQQSX-NEPJUHHUSA-N -1 1 309.414 1.273 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC000999507183 752957354 /nfs/dbraw/zinc/95/73/54/752957354.db2.gz DFDXTMQNIXQUEE-GHMZBOCLSA-N -1 1 309.414 1.273 20 0 DDADMM Cc1cccc(CONC(=O)c2c(C)[nH]c(=O)[n-]c2=S)n1 ZINC001168634886 753117874 /nfs/dbraw/zinc/11/78/74/753117874.db2.gz XIBKFHFIFNLPQV-UHFFFAOYSA-N -1 1 306.347 1.344 20 0 DDADMM CC[C@H](F)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062840376 753753059 /nfs/dbraw/zinc/75/30/59/753753059.db2.gz YVBADYMXLPLIRY-JTQLQIEISA-N -1 1 309.341 1.304 20 0 DDADMM O=C(NCC1(NC(=O)C2CC=CC2)CCC1)c1ncccc1[O-] ZINC001062839302 753760382 /nfs/dbraw/zinc/76/03/82/753760382.db2.gz LABWAYSZRFOYBB-UHFFFAOYSA-N -1 1 315.373 1.522 20 0 DDADMM CC(C)[C@H](F)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062840174 753762236 /nfs/dbraw/zinc/76/22/36/753762236.db2.gz UOKFVNJSBQHLLM-LBPRGKRZSA-N -1 1 323.368 1.550 20 0 DDADMM O=C(/C=C/C1CC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010881220 754226612 /nfs/dbraw/zinc/22/66/12/754226612.db2.gz IAXJOGYDOIMEBA-AATRIKPKSA-N -1 1 301.346 1.038 20 0 DDADMM CC1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CCCC1 ZINC001011035846 754319078 /nfs/dbraw/zinc/31/90/78/754319078.db2.gz IAVSRUCNSJWMSN-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM C[C@H]1[C@H](NC(=O)C2CCCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011676640 754671522 /nfs/dbraw/zinc/67/15/22/754671522.db2.gz GMCNAVZYQKAMCF-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)C(=O)N[C@]12CCC[C@@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001014188857 755639702 /nfs/dbraw/zinc/63/97/02/755639702.db2.gz NHLBGEPTUCFOQA-GUYCJALGSA-N -1 1 317.389 1.697 20 0 DDADMM O=C(C1CCC=CCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000959315 762413978 /nfs/dbraw/zinc/41/39/78/762413978.db2.gz KLUKSLQAUPERSD-CYBMUJFWSA-N -1 1 319.409 1.337 20 0 DDADMM CC(=O)N(C)C1CC(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC001016143119 756782234 /nfs/dbraw/zinc/78/22/34/756782234.db2.gz QDKJLTDVUBHDMQ-UHFFFAOYSA-N -1 1 320.393 1.353 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)c2ccc[nH]2)C1 ZINC001016430942 757037517 /nfs/dbraw/zinc/03/75/17/757037517.db2.gz NJSPNIISQJQJGU-UHFFFAOYSA-N -1 1 314.345 1.148 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC2(CN(CCC3CC3)C2)C1 ZINC001053232241 758287612 /nfs/dbraw/zinc/28/76/12/758287612.db2.gz JPYPLHXADOFGGE-UHFFFAOYSA-N -1 1 317.389 1.114 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(F)F ZINC001018105738 758551557 /nfs/dbraw/zinc/55/15/57/758551557.db2.gz ULOQZVYYQYGWQX-IUCAKERBSA-N -1 1 313.304 1.162 20 0 DDADMM C[C@H](Nc1ncccn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001065696894 758713315 /nfs/dbraw/zinc/71/33/15/758713315.db2.gz ASAZLDQEYBWFDI-RYUDHWBXSA-N -1 1 313.361 1.540 20 0 DDADMM Cc1cnc(CN[C@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)s1 ZINC001018720915 759183364 /nfs/dbraw/zinc/18/33/64/759183364.db2.gz DJRKFJZYHWKBLR-JTQLQIEISA-N -1 1 321.406 1.193 20 0 DDADMM Cc1cocc1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085298653 759242515 /nfs/dbraw/zinc/24/25/15/759242515.db2.gz GFTWVCWAVJIZPY-VXGBXAGGSA-N -1 1 319.365 1.243 20 0 DDADMM Cc1c(Cl)cccc1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000828172285 759511384 /nfs/dbraw/zinc/51/13/84/759511384.db2.gz XVDJPWMPHPYKLM-LLVKDONJSA-N -1 1 307.741 1.375 20 0 DDADMM Cc1cc(CN[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)no1 ZINC001054589233 759853971 /nfs/dbraw/zinc/85/39/71/759853971.db2.gz NKMIXLSWPSSDSO-ZWNOBZJWSA-N -1 1 316.361 1.334 20 0 DDADMM C[C@H]1CN(C(=O)CC2(C)CCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054629564 759919895 /nfs/dbraw/zinc/91/98/95/759919895.db2.gz RJGDDIBTRKVYBA-NWDGAFQWSA-N -1 1 321.425 1.417 20 0 DDADMM CC(C)C(C)(C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054707043 760000691 /nfs/dbraw/zinc/00/06/91/760000691.db2.gz UMCRUJUTAUKMOP-GHMZBOCLSA-N -1 1 309.414 1.129 20 0 DDADMM O=C(CC(C1CC1)C1CC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019831794 760279884 /nfs/dbraw/zinc/27/98/84/760279884.db2.gz QUQCYBVFWJXCBT-LBPRGKRZSA-N -1 1 319.409 1.027 20 0 DDADMM CS[C@@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001020082614 760532451 /nfs/dbraw/zinc/53/24/51/760532451.db2.gz NETQXIRQBUIJRK-DCAQKATOSA-N -1 1 323.418 1.258 20 0 DDADMM Cc1cc(C)nc(N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001056612564 761288154 /nfs/dbraw/zinc/28/81/54/761288154.db2.gz PWZJIAHJANCYCJ-GFCCVEGCSA-N -1 1 313.361 1.521 20 0 DDADMM Cc1ncoc1CN[C@H]1C[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001086342505 761450407 /nfs/dbraw/zinc/45/04/07/761450407.db2.gz QRWOGJKXOOSFDL-XYPYZODXSA-N -1 1 319.365 1.018 20 0 DDADMM Cc1nsc(NC2CC(N(C)C(=O)c3ncccc3[O-])C2)n1 ZINC001069731691 768120165 /nfs/dbraw/zinc/12/01/65/768120165.db2.gz QPZNMGMLDPWORW-UHFFFAOYSA-N -1 1 319.390 1.662 20 0 DDADMM C[C@@H](NC(=O)C(C)(C)F)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530366 763715747 /nfs/dbraw/zinc/71/57/47/763715747.db2.gz MNFUADMKEDFONK-GHMZBOCLSA-N -1 1 323.368 1.502 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)cc1 ZINC000809066064 768179959 /nfs/dbraw/zinc/17/99/59/768179959.db2.gz ZUSXQRCDFPVLMZ-AWEZNQCLSA-N -1 1 312.435 1.527 20 0 DDADMM Cc1nsc(NC[C@H](NC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001109822203 764106131 /nfs/dbraw/zinc/10/61/31/764106131.db2.gz YWYAKWMDXAMFOS-JTQLQIEISA-N -1 1 319.390 1.568 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1cncc(Cl)n1 ZINC001112983720 765082396 /nfs/dbraw/zinc/08/23/96/765082396.db2.gz PMJYIXUQEZJUQE-IUCAKERBSA-N -1 1 321.768 1.850 20 0 DDADMM C[C@@H](Nc1cc(F)ncn1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001112982802 765097488 /nfs/dbraw/zinc/09/74/88/765097488.db2.gz BWCJDMMVRHJVLC-BDAKNGLRSA-N -1 1 305.313 1.335 20 0 DDADMM Cc1cc(CNC[C@@H]2CCCN2C(=O)c2ncccc2[O-])no1 ZINC001045008174 766025191 /nfs/dbraw/zinc/02/51/91/766025191.db2.gz TYLGRABDRGJSSH-ZDUSSCGKSA-N -1 1 316.361 1.478 20 0 DDADMM Cc1nsc2nc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)ccc12 ZINC001170216403 766175367 /nfs/dbraw/zinc/17/53/67/766175367.db2.gz ACRZHMVNKKSOQS-UHFFFAOYSA-N -1 1 315.318 1.772 20 0 DDADMM Cc1ccc2c(ncnc2Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170217883 766176258 /nfs/dbraw/zinc/17/62/58/766176258.db2.gz NXINCESVEHRBNE-UHFFFAOYSA-N -1 1 310.277 1.106 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccc3oc(=O)[nH]c(=O)c3c2)[n-]1 ZINC001170234766 766190022 /nfs/dbraw/zinc/19/00/22/766190022.db2.gz ZQTPMKBOINGLGI-UHFFFAOYSA-N -1 1 312.245 1.009 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cccc(-c4cccnc4)n3)c2[nH]1 ZINC001170222463 766196125 /nfs/dbraw/zinc/19/61/25/766196125.db2.gz DTXUVXCUWKKETP-UHFFFAOYSA-N -1 1 321.300 1.916 20 0 DDADMM O=C(NCC1(Nc2ncc(F)cn2)CC1)c1ncccc1[O-] ZINC001110098213 766246394 /nfs/dbraw/zinc/24/63/94/766246394.db2.gz ZCKFDTPEUDKQTJ-UHFFFAOYSA-N -1 1 303.297 1.091 20 0 DDADMM O=C(N[C@H]1CCCN(c2cccc(F)n2)C1)c1ncccc1[O-] ZINC001058147334 766273335 /nfs/dbraw/zinc/27/33/35/766273335.db2.gz FJSUIRUSRQFUJG-NSHDSACASA-N -1 1 316.336 1.720 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1c1ncccn1 ZINC001067527355 766601255 /nfs/dbraw/zinc/60/12/55/766601255.db2.gz ADDALAIZQZLRML-VXGBXAGGSA-N -1 1 313.361 1.365 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(Cc2cnsn2)C1 ZINC001046261908 767320481 /nfs/dbraw/zinc/32/04/81/767320481.db2.gz HXAJJJAEOWLVCD-AWEZNQCLSA-N -1 1 319.390 1.033 20 0 DDADMM CC(C)CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001071335964 769297614 /nfs/dbraw/zinc/29/76/14/769297614.db2.gz MCXVGRPDCWCJDO-GFCCVEGCSA-N -1 1 321.425 1.598 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CCC1CC1 ZINC001071952156 770336284 /nfs/dbraw/zinc/33/62/84/770336284.db2.gz ISJOGFHHTMXUOK-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](CC1CCCCC1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134678117 771116056 /nfs/dbraw/zinc/11/60/56/771116056.db2.gz QLUVKCUBMYKEDM-NSHDSACASA-N -1 1 309.414 1.323 20 0 DDADMM CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@@H+]2Cc1cc(=O)[nH]c(C2CC2)n1 ZINC001096698471 771424160 /nfs/dbraw/zinc/42/41/60/771424160.db2.gz LCVHVJWYBJLOBK-MCIONIFRSA-N -1 1 316.405 1.691 20 0 DDADMM O=C(NCCc1cnn(-c2ccccc2)c1)c1ccc([O-])cn1 ZINC001135987783 771827171 /nfs/dbraw/zinc/82/71/71/771827171.db2.gz CBQWDCZQHBUFTR-UHFFFAOYSA-N -1 1 308.341 1.945 20 0 DDADMM CSc1nc(CNC(=O)C=Cc2ccc(C)nc2)cc(=O)[n-]1 ZINC001143620757 772279935 /nfs/dbraw/zinc/27/99/35/772279935.db2.gz WBUFSOYVAUTGKV-AATRIKPKSA-N -1 1 316.386 1.937 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2c(F)cc([O-])cc2F)CCC1=O ZINC001144545111 772559416 /nfs/dbraw/zinc/55/94/16/772559416.db2.gz SGUSYKDPTFXKNA-LLVKDONJSA-N -1 1 313.300 1.625 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)[C@H](O)c1cccc(Cl)c1 ZINC001144822423 772631817 /nfs/dbraw/zinc/63/18/17/772631817.db2.gz ZWDPPHQVIUYHHD-MRVPVSSYSA-N -1 1 324.680 1.611 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[C@@H](N3CCOC3=O)C2)c1 ZINC001146248363 772825388 /nfs/dbraw/zinc/82/53/88/772825388.db2.gz YUTCMZQAISFTNS-GFCCVEGCSA-N -1 1 318.329 1.180 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCc2cc3ccncc3[nH]2)c1[O-] ZINC001147843193 773266452 /nfs/dbraw/zinc/26/64/52/773266452.db2.gz ACVXTLHJSSLKBF-UHFFFAOYSA-N -1 1 312.329 1.394 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CC[C@](C)(O)C3)cc2)[n-]1 ZINC001148328932 773437636 /nfs/dbraw/zinc/43/76/36/773437636.db2.gz BNHMPKPJLLXLGD-INIZCTEOSA-N -1 1 301.346 1.396 20 0 DDADMM CC(C)CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001074786666 774131040 /nfs/dbraw/zinc/13/10/40/774131040.db2.gz GVTFORJWZOYBML-STQMWFEESA-N -1 1 319.405 1.943 20 0 DDADMM CC(C)=CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001074796479 774143364 /nfs/dbraw/zinc/14/33/64/774143364.db2.gz DEPQQZNKAMLUDH-STQMWFEESA-N -1 1 317.389 1.863 20 0 DDADMM C[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)Nc1ncccn1 ZINC001098313427 774531136 /nfs/dbraw/zinc/53/11/36/774531136.db2.gz IKFFQUBPYYIGRZ-VIFPVBQESA-N -1 1 314.349 1.080 20 0 DDADMM CCc1nsc(NCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001101561145 777250774 /nfs/dbraw/zinc/25/07/74/777250774.db2.gz LDJVRWVQUQBHFA-UHFFFAOYSA-N -1 1 307.379 1.385 20 0 DDADMM CCOC(=O)C[C@H]1CC[C@H](Nc2[n-]c(=O)nc3nc[nH]c32)C1 ZINC001173636642 777298453 /nfs/dbraw/zinc/29/84/53/777298453.db2.gz MOWQRCMAEAPTQI-IUCAKERBSA-N -1 1 305.338 1.592 20 0 DDADMM CCC[C@@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101829598 777575737 /nfs/dbraw/zinc/57/57/37/777575737.db2.gz ZKXHZYGASBVUNH-IJLUTSLNSA-N -1 1 309.414 1.131 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cnc3cccnc3c2)cc1N ZINC001175358413 777762112 /nfs/dbraw/zinc/76/21/12/777762112.db2.gz MWWFSHGMWHAJTB-UHFFFAOYSA-N -1 1 315.358 1.595 20 0 DDADMM C[S@](=O)c1ccc([N-]S(=O)(=O)c2cccc(N)c2)cc1 ZINC001175483692 777801455 /nfs/dbraw/zinc/80/14/55/777801455.db2.gz OLQLALLRXOXDCX-IBGZPJMESA-N -1 1 310.400 1.807 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)c2ccc(N)c(N)c2)cn1 ZINC001175741932 777886949 /nfs/dbraw/zinc/88/69/49/777886949.db2.gz OPKVHCOUYIRGRZ-UHFFFAOYSA-N -1 1 308.363 1.446 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H](c2ncon2)C2CC2)c1 ZINC001178446030 779033235 /nfs/dbraw/zinc/03/32/35/779033235.db2.gz LTLIDPZPQCUGIF-GFCCVEGCSA-N -1 1 301.302 1.777 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNc1cccc(F)n1 ZINC001103924564 779175762 /nfs/dbraw/zinc/17/57/62/779175762.db2.gz NVFBMYITRGHEEY-JTQLQIEISA-N -1 1 304.325 1.799 20 0 DDADMM O=S(=O)([N-]CCC[C@H]1CCOC1)c1ccc(Cl)nc1F ZINC000692843152 779490052 /nfs/dbraw/zinc/49/00/52/779490052.db2.gz VZZBSMVJLROEQV-VIFPVBQESA-N -1 1 322.789 1.969 20 0 DDADMM Cc1cc(C)nc(N[C@H](C)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001115719247 780374091 /nfs/dbraw/zinc/37/40/91/780374091.db2.gz LTHNXVLRWGRKHD-GFCCVEGCSA-N -1 1 315.377 1.767 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])Nc1ncncc1Cl ZINC001115719454 780375402 /nfs/dbraw/zinc/37/54/02/780375402.db2.gz QPJUCNZWYQWFCY-VIFPVBQESA-N -1 1 321.768 1.803 20 0 DDADMM Cc1nsc(N[C@@H](C)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001115719486 780375835 /nfs/dbraw/zinc/37/58/35/780375835.db2.gz RMKNJMCOAYEDEW-QMMMGPOBSA-N -1 1 307.379 1.520 20 0 DDADMM O=C([N-]N1CC(=O)NC1=O)c1ccc(-c2cccs2)s1 ZINC001116536089 780519875 /nfs/dbraw/zinc/51/98/75/780519875.db2.gz ZHRVGNYNUJIRKL-UHFFFAOYSA-N -1 1 307.356 1.673 20 0 DDADMM C[C@@H]1C[N@H+](CCCCNC(=O)[C@@H]2CC[C@@H]2C(=O)[O-])C[C@H](C)O1 ZINC001119649941 781549046 /nfs/dbraw/zinc/54/90/46/781549046.db2.gz FGQFCKDZERVILQ-RQJABVFESA-N -1 1 312.410 1.103 20 0 DDADMM C/C(=C/C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)C1CC1 ZINC001268033495 839494056 /nfs/dbraw/zinc/49/40/56/839494056.db2.gz RXVWYUIMISZHJC-FLIBITNWSA-N -1 1 319.409 1.385 20 0 DDADMM O=C(NC[C@H]1CC[N@@H+]1CCOCC1CC1)c1ncccc1[O-] ZINC001269223180 841374464 /nfs/dbraw/zinc/37/44/64/841374464.db2.gz LZVLHEWUPQRLIN-CYBMUJFWSA-N -1 1 305.378 1.018 20 0 DDADMM CCC(C)(C)C(=O)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001269760040 842016821 /nfs/dbraw/zinc/01/68/21/842016821.db2.gz HIYJPMGMOIIIHT-GFCCVEGCSA-N -1 1 323.441 1.665 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc2ccncc2c1 ZINC001154600624 861263585 /nfs/dbraw/zinc/26/35/85/861263585.db2.gz HIQUODPLHHFOMQ-UHFFFAOYSA-N -1 1 306.285 1.859 20 0 DDADMM CC(C)(C)NC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ccc([O-])cn1 ZINC001270139251 842325749 /nfs/dbraw/zinc/32/57/49/842325749.db2.gz SYFUAQJTRMDOFQ-MGPLVRAMSA-N -1 1 303.362 1.307 20 0 DDADMM CC[C@@H](C)N1CC[C@@]2(CCN(C(=O)c3cncc([O-])c3)C2)C1=O ZINC001271385235 843544778 /nfs/dbraw/zinc/54/47/78/843544778.db2.gz FUSPTOJSKAWBHJ-SJKOYZFVSA-N -1 1 317.389 1.650 20 0 DDADMM CCCCN1CC[C@]2(CCN(C(=O)c3cncc([O-])c3)C2)C1=O ZINC001271386122 843548642 /nfs/dbraw/zinc/54/86/42/843548642.db2.gz KHVIEIGFFXDXJO-QGZVFWFLSA-N -1 1 317.389 1.652 20 0 DDADMM CNC(=O)c1cccnc1NC(=O)c1ccc2cccnc2c1[O-] ZINC001149318417 861544628 /nfs/dbraw/zinc/54/46/28/861544628.db2.gz COSXGMJHQDXEBG-UHFFFAOYSA-N -1 1 322.324 1.947 20 0 DDADMM CC(C)C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CC1 ZINC001409761520 845674314 /nfs/dbraw/zinc/67/43/14/845674314.db2.gz GOMJHLKNYRHPTH-LBPRGKRZSA-N -1 1 305.378 1.458 20 0 DDADMM CN1C(=O)C[C@@]2(CCC[N@H+](Cc3ccc(O)c(F)c3F)C2)C1=O ZINC001272724697 846723391 /nfs/dbraw/zinc/72/33/91/846723391.db2.gz MPHMBLJGMLCXKR-MRXNPFEDSA-N -1 1 324.327 1.641 20 0 DDADMM O=C(NC[C@@H]1CCC(=O)N1)c1cc2cccc(O)c2cc1[O-] ZINC001149653772 861785890 /nfs/dbraw/zinc/78/58/90/861785890.db2.gz QKCNHOPUAYZCBY-JTQLQIEISA-N -1 1 300.314 1.259 20 0 DDADMM CC(=O)c1nn(C)cc1NC(=O)c1nc2ccc(Cl)nc2[n-]1 ZINC001155176886 861800760 /nfs/dbraw/zinc/80/07/60/861800760.db2.gz KDDAPKHIIODEDZ-UHFFFAOYSA-N -1 1 318.724 1.800 20 0 DDADMM CN1CC[C@]2(CCCN2Cc2ccc(OCC(=O)[O-])cc2)C1=O ZINC001272907135 847618510 /nfs/dbraw/zinc/61/85/10/847618510.db2.gz BPKCYASOBDCDLX-QGZVFWFLSA-N -1 1 318.373 1.347 20 0 DDADMM Cn1nc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c2ccccc21 ZINC001149783641 861897844 /nfs/dbraw/zinc/89/78/44/861897844.db2.gz WSKCDVWINGUKFE-UHFFFAOYSA-N -1 1 314.261 1.425 20 0 DDADMM Cc1c[nH]cc1C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001409983307 848401258 /nfs/dbraw/zinc/40/12/58/848401258.db2.gz OVNZVYXIEGNDPA-UHFFFAOYSA-N -1 1 316.361 1.268 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3nccnc3c2)n1 ZINC001128945845 848431687 /nfs/dbraw/zinc/43/16/87/848431687.db2.gz CXCRRCAKSSWSQH-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM Cc1nc(CCN(C)C(=O)c2[n-][nH]c3cc(=O)ccc2-3)no1 ZINC001155261647 861907280 /nfs/dbraw/zinc/90/72/80/861907280.db2.gz UQZFFFWWVGKABD-UHFFFAOYSA-N -1 1 301.306 1.275 20 0 DDADMM O=C(NCc1cnc(Cl)cn1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155275996 861923834 /nfs/dbraw/zinc/92/38/34/861923834.db2.gz IWJPKKJXJSIENK-UHFFFAOYSA-N -1 1 303.709 1.642 20 0 DDADMM CCC[C@@H](C)CC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001410334099 849092834 /nfs/dbraw/zinc/09/28/34/849092834.db2.gz PNWJDXYVKBPIBU-NEPJUHHUSA-N -1 1 307.394 1.848 20 0 DDADMM C[C@@H](CNC(=O)[C@H]1CCCC12CC2)NC(=O)c1ncccc1[O-] ZINC001410348385 849124157 /nfs/dbraw/zinc/12/41/57/849124157.db2.gz UVTAZXACIXYNOT-NWDGAFQWSA-N -1 1 317.389 1.602 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CCCCC1 ZINC001411202708 850390171 /nfs/dbraw/zinc/39/01/71/850390171.db2.gz ZHMOSZKVZAFEJI-LBPRGKRZSA-N -1 1 323.441 1.711 20 0 DDADMM CCOC(=O)CCCc1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001249975478 850982240 /nfs/dbraw/zinc/98/22/40/850982240.db2.gz AVLNFOYCSYEUPG-UHFFFAOYSA-N -1 1 316.379 1.348 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@@H](CO)C(F)(F)F)c([O-])c2n1 ZINC001155660428 862351487 /nfs/dbraw/zinc/35/14/87/862351487.db2.gz SBFCPWXSUBLNIE-JTQLQIEISA-N -1 1 314.263 1.902 20 0 DDADMM O=C(NC12CC(C(=O)N3CC=CC3)(C1)C2)c1c([O-])cccc1F ZINC001275362821 853020973 /nfs/dbraw/zinc/02/09/73/853020973.db2.gz QYKDPRKOHYUBAJ-UHFFFAOYSA-N -1 1 316.332 1.582 20 0 DDADMM NC(=O)[C@H]1CCC[C@]12CCN(C(=O)c1ccc([O-])c(F)c1)C2 ZINC001275373062 853033105 /nfs/dbraw/zinc/03/31/05/853033105.db2.gz AYWDNSRVWNWEGP-BDJLRTHQSA-N -1 1 306.337 1.649 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ccc(OC)o2)[n-]1 ZINC001411540903 853332145 /nfs/dbraw/zinc/33/21/45/853332145.db2.gz YBJFGUIESXSJJU-UHFFFAOYSA-N -1 1 306.274 1.858 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CN(C(=O)c1c(F)ccc([O-])c1F)C2 ZINC001275611981 853432454 /nfs/dbraw/zinc/43/24/54/853432454.db2.gz NONFSUOIKIQPIZ-QMMMGPOBSA-N -1 1 310.300 1.221 20 0 DDADMM CN1C[C@H]2C[C@@H](C1)N2C(=O)c1c([O-])cccc1Br ZINC001275753538 853688966 /nfs/dbraw/zinc/68/89/66/853688966.db2.gz VMINSRPFRUXJHS-DTORHVGOSA-N -1 1 311.179 1.683 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccccc1O[C@@H]1CCOC1 ZINC001411890747 853932159 /nfs/dbraw/zinc/93/21/59/853932159.db2.gz UMUPELPSDCIWPJ-LLVKDONJSA-N -1 1 317.349 1.329 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC2(CN(Cc3cn[nH]c3)C2)C1 ZINC001275950158 854003993 /nfs/dbraw/zinc/00/39/93/854003993.db2.gz RGSZPWWXLVRZPM-UHFFFAOYSA-N -1 1 316.336 1.212 20 0 DDADMM O=C(CCCF)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001412218492 854345863 /nfs/dbraw/zinc/34/58/63/854345863.db2.gz UZRVVUNTTYQMJC-XYPYZODXSA-N -1 1 309.341 1.162 20 0 DDADMM CNc1ncccc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001412299874 854421659 /nfs/dbraw/zinc/42/16/59/854421659.db2.gz VQJQPNJITQQZPR-UHFFFAOYSA-N -1 1 305.363 1.271 20 0 DDADMM CO[C@H](CNC(=O)c1cnc(SC)[n-]c1=O)C1CCCC1 ZINC001412369882 854482715 /nfs/dbraw/zinc/48/27/15/854482715.db2.gz MABNQASERFWFIJ-LLVKDONJSA-N -1 1 311.407 1.839 20 0 DDADMM CCOc1ccc(C(=O)CCC(=O)N(C)c2nn[n-]n2)cc1 ZINC001412377190 854484726 /nfs/dbraw/zinc/48/47/26/854484726.db2.gz PQDAIWFCKJVHGS-UHFFFAOYSA-N -1 1 303.322 1.224 20 0 DDADMM C[C@]1(CO)C[C@@H](O)CN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001412379595 854489803 /nfs/dbraw/zinc/48/98/03/854489803.db2.gz MACVNFFNDARMST-SJKOYZFVSA-N -1 1 317.341 1.209 20 0 DDADMM O=C(NCc1nnc[nH]1)c1cc(Br)cc(F)c1[O-] ZINC001412453720 854568264 /nfs/dbraw/zinc/56/82/64/854568264.db2.gz GHECWZINSZJORW-UHFFFAOYSA-N -1 1 315.102 1.342 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H](C)CCSC ZINC001412576004 854744890 /nfs/dbraw/zinc/74/48/90/854744890.db2.gz LKCGBJULGPFYFQ-SSDOTTSWSA-N -1 1 301.368 1.110 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H]1C[C@@H]1C(C)C ZINC001412584521 854755253 /nfs/dbraw/zinc/75/52/53/854755253.db2.gz HXJKRTANFQURTM-WOPDTQHZSA-N -1 1 320.393 1.937 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H]1C[C@@H]1C(C)C ZINC001412584521 854755256 /nfs/dbraw/zinc/75/52/56/854755256.db2.gz HXJKRTANFQURTM-WOPDTQHZSA-N -1 1 320.393 1.937 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H]1C[C@@H]1C(C)C ZINC001412584521 854755262 /nfs/dbraw/zinc/75/52/62/854755262.db2.gz HXJKRTANFQURTM-WOPDTQHZSA-N -1 1 320.393 1.937 20 0 DDADMM CCNC(=O)Nc1cc(F)ccc1NC(=O)c1cnncc1[O-] ZINC001412630787 854846584 /nfs/dbraw/zinc/84/65/84/854846584.db2.gz SFYMGZITJVMIQX-UHFFFAOYSA-N -1 1 319.296 1.715 20 0 DDADMM COc1c(Cl)ccc([O-])c1C(=O)N1CC2(C[C@H]2C(N)=O)C1 ZINC001276167021 854867809 /nfs/dbraw/zinc/86/78/09/854867809.db2.gz WURQEVUYMVLYCX-ZETCQYMHSA-N -1 1 310.737 1.002 20 0 DDADMM COc1cnc(C2(NC(=O)c3csnn3)CCCC2)[n-]c1=O ZINC001412697345 854974958 /nfs/dbraw/zinc/97/49/58/854974958.db2.gz CHQVDHNCPZLDIY-UHFFFAOYSA-N -1 1 321.362 1.242 20 0 DDADMM Cc1ccc2nc(NC(=O)CCCc3nn[n-]n3)sc2n1 ZINC001156014365 862634007 /nfs/dbraw/zinc/63/40/07/862634007.db2.gz KOCLCOURCCYDDI-UHFFFAOYSA-N -1 1 303.351 1.474 20 0 DDADMM O=C(Cc1ccsc1)N1CCN(Cc2ncccc2[O-])CC1 ZINC001138397300 855210850 /nfs/dbraw/zinc/21/08/50/855210850.db2.gz ZOFLAFLCGKPUTD-UHFFFAOYSA-N -1 1 317.414 1.736 20 0 DDADMM O=S(=O)([N-]CCOCCF)c1cnc(Cl)cc1Cl ZINC001327553303 855492453 /nfs/dbraw/zinc/49/24/53/855492453.db2.gz FPOVWFLUWVDCHY-UHFFFAOYSA-N -1 1 317.169 1.653 20 0 DDADMM CC(C)(C)NC(=O)[C@@H]1CC12CN(C(=O)c1ccc(F)c(=O)[n-]1)C2 ZINC001276303788 855503052 /nfs/dbraw/zinc/50/30/52/855503052.db2.gz QYOYKTADIHPYNX-VIFPVBQESA-N -1 1 321.352 1.303 20 0 DDADMM O=C(NCCc1nc[nH]n1)c1cc(Cl)cc(Cl)c1[O-] ZINC001412999549 855898824 /nfs/dbraw/zinc/89/88/24/855898824.db2.gz FIGIZSUPELYOOE-UHFFFAOYSA-N -1 1 301.133 1.790 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)Cc1ccno1)C(=O)OC(C)(C)C ZINC001413310198 856629595 /nfs/dbraw/zinc/62/95/95/856629595.db2.gz UESPKAFRNHHHNM-NSHDSACASA-N -1 1 318.395 1.460 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](C[N-]S(=O)(=O)C(F)F)C1 ZINC001259958564 856787403 /nfs/dbraw/zinc/78/74/03/856787403.db2.gz BIJCNRNXJKWMSE-QMMMGPOBSA-N -1 1 314.354 1.385 20 0 DDADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)C[C@H](C)C1CC1 ZINC001413438995 856811370 /nfs/dbraw/zinc/81/13/70/856811370.db2.gz RTMWLSWSMPVDEG-GXSJLCMTSA-N -1 1 309.453 1.247 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1COc2ccc(F)cc2C1 ZINC001413504463 856900398 /nfs/dbraw/zinc/90/03/98/856900398.db2.gz AJKFGWLEGFSYSP-SNVBAGLBSA-N -1 1 317.324 1.086 20 0 DDADMM CC[C@@H](Oc1ccccc1F)C(=O)N[C@@H](COC)c1nn[n-]n1 ZINC001413553666 857060940 /nfs/dbraw/zinc/06/09/40/857060940.db2.gz LZBWNIJYJBKHSZ-WDEREUQCSA-N -1 1 323.328 1.000 20 0 DDADMM COCc1nn(C)cc1C(=O)Nc1cc(C(=O)OC(C)C)[nH]n1 ZINC001413674520 857315872 /nfs/dbraw/zinc/31/58/72/857315872.db2.gz LXPSNXDPTQVGGG-UHFFFAOYSA-N -1 1 321.337 1.107 20 0 DDADMM CC(C)c1ccc(N(C)C(=O)[C@@]2(C(=O)[O-])CNCCO2)cc1 ZINC001121968647 858648990 /nfs/dbraw/zinc/64/89/90/858648990.db2.gz XLCHPRHYDYRDFB-MRXNPFEDSA-N -1 1 306.362 1.216 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(F)(F)[C@@H](C)C1 ZINC001123879520 859452612 /nfs/dbraw/zinc/45/26/12/859452612.db2.gz DWZYICRSHGZQDN-LURJTMIESA-N -1 1 303.334 1.897 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H]2CCO[C@@]3(CCOC3)C2)c1 ZINC001137888624 859988879 /nfs/dbraw/zinc/98/88/79/859988879.db2.gz BTTRJODPGGUEIB-GUYCJALGSA-N -1 1 319.357 1.581 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1nc2ccc(Cl)nc2s1 ZINC001139569833 860432815 /nfs/dbraw/zinc/43/28/15/860432815.db2.gz GXTRZLITTXQQLN-UHFFFAOYSA-N -1 1 309.742 1.429 20 0 DDADMM CCc1ncc(Cl)c(C(=O)[N-]c2nc(COC)ns2)n1 ZINC001361393143 881558192 /nfs/dbraw/zinc/55/81/92/881558192.db2.gz CKAHNKOSEQUKLF-UHFFFAOYSA-N -1 1 313.770 1.943 20 0 DDADMM O=C(CNC(=O)Cc1ccccc1)Nc1ccc([O-])c(F)c1F ZINC001140651870 860667207 /nfs/dbraw/zinc/66/72/07/860667207.db2.gz CFASEZOCBRHAKY-UHFFFAOYSA-N -1 1 320.295 1.968 20 0 DDADMM COc1cnc(Cl)c(NC(=O)c2ccc3[nH][n-]c(=O)c3c2)n1 ZINC001154206483 860869873 /nfs/dbraw/zinc/86/98/73/860869873.db2.gz WDTJWEXOMLJCSM-UHFFFAOYSA-N -1 1 319.708 1.973 20 0 DDADMM CSc1nc(NC(=O)c2cn3cccc(F)c3n2)cc(=O)[n-]1 ZINC001154539244 861188310 /nfs/dbraw/zinc/18/83/10/861188310.db2.gz SGLHYIRMGPMNJE-UHFFFAOYSA-N -1 1 319.321 1.943 20 0 DDADMM O=C(c1cc2ccccc2c(=O)[nH]1)N1CCC(c2nn[n-]n2)CC1 ZINC001152486419 863387856 /nfs/dbraw/zinc/38/78/56/863387856.db2.gz SMDQFQUJBKFUBW-UHFFFAOYSA-N -1 1 324.344 1.473 20 0 DDADMM CSc1nc(NC(=O)c2cnn3cccc(F)c23)cc(=O)[n-]1 ZINC001156911642 863440838 /nfs/dbraw/zinc/44/08/38/863440838.db2.gz VWQKSDMYAHXOPG-UHFFFAOYSA-N -1 1 319.321 1.943 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCc2[nH]cnc2C1 ZINC001152671706 863485026 /nfs/dbraw/zinc/48/50/26/863485026.db2.gz RVEIOHOGOMVMOK-UHFFFAOYSA-N -1 1 310.313 1.568 20 0 DDADMM COc1ccc(NC(=O)c2cnc3cccnc3c2[O-])c(C)n1 ZINC001153851147 864155686 /nfs/dbraw/zinc/15/56/86/864155686.db2.gz JQOMFQPMENKXNN-UHFFFAOYSA-N -1 1 310.313 1.887 20 0 DDADMM O=C(Nc1ccn2cnnc2c1)c1cnc2cccnc2c1[O-] ZINC001153852076 864157931 /nfs/dbraw/zinc/15/79/31/864157931.db2.gz VTESWCOZPKOAHM-UHFFFAOYSA-N -1 1 306.285 1.218 20 0 DDADMM C[C@@H](CCCC(C)(C)O)NC(=O)c1c[n-]c2cccnc2c1=O ZINC001153862542 864174415 /nfs/dbraw/zinc/17/44/15/864174415.db2.gz YFAYBFLBTSDATA-NSHDSACASA-N -1 1 317.389 1.983 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@H]1CC=CCC1 ZINC001330699412 864539801 /nfs/dbraw/zinc/53/98/01/864539801.db2.gz WUAVHJWASDEICN-JTQLQIEISA-N -1 1 304.350 1.163 20 0 DDADMM [O-]c1cccnc1CNC(F)(F)COc1cncc(Cl)n1 ZINC001158774921 864899152 /nfs/dbraw/zinc/89/91/52/864899152.db2.gz WZKQIIKNNOAPGW-UHFFFAOYSA-N -1 1 316.695 1.992 20 0 DDADMM Cc1cc2c(c(NCc3ccc4c(c3)C(=O)NC4)n1)C(=O)[N-]C2=O ZINC001158801693 864913161 /nfs/dbraw/zinc/91/31/61/864913161.db2.gz LINWTWYUBOMGTH-UHFFFAOYSA-N -1 1 322.324 1.129 20 0 DDADMM CCOC(=O)c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)nc1C ZINC001159192770 865158164 /nfs/dbraw/zinc/15/81/64/865158164.db2.gz XZOZQHRFSCYAKZ-UHFFFAOYSA-N -1 1 314.305 1.682 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ncnc3c2ncn3C2CC2)[n-]1 ZINC001159199769 865164193 /nfs/dbraw/zinc/16/41/93/865164193.db2.gz RNKKRBKIBNSTRK-UHFFFAOYSA-N -1 1 309.293 1.277 20 0 DDADMM CC(C)(C)N1CCN(C(=O)/C=C\c2ccc(C(=O)[O-])o2)CC1 ZINC001331934187 865442999 /nfs/dbraw/zinc/44/29/99/865442999.db2.gz LCNJMIQPUYZROY-ALCCZGGFSA-N -1 1 306.362 1.934 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)ccc1NC(=O)CC(C)C ZINC001361554299 881882549 /nfs/dbraw/zinc/88/25/49/881882549.db2.gz CYOCARGLIASDGC-UHFFFAOYSA-N -1 1 316.365 1.769 20 0 DDADMM Cc1oc(C(=O)[O-])cc1C(=O)NCCCCN1CCOCC1 ZINC001332205469 865665604 /nfs/dbraw/zinc/66/56/04/865665604.db2.gz ZESHGXHVNAGGFC-UHFFFAOYSA-N -1 1 310.350 1.128 20 0 DDADMM C[C@H]1C[C@H]1C(=O)Nc1ccc(C(=O)N(C)c2nn[n-]n2)cc1 ZINC001361566276 881907362 /nfs/dbraw/zinc/90/73/62/881907362.db2.gz SQUQTWGIUOAEAB-GZMMTYOYSA-N -1 1 300.322 1.071 20 0 DDADMM CCOc1ccc(Cl)nc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160848590 866148116 /nfs/dbraw/zinc/14/81/16/866148116.db2.gz KNQCWRSOLFTONL-SSDOTTSWSA-N -1 1 314.754 1.081 20 0 DDADMM Cc1[n-]n(-c2ccccc2)c(=O)c1C(=O)N1CCN[C@H](C)C1 ZINC001161022015 866280599 /nfs/dbraw/zinc/28/05/99/866280599.db2.gz DEWATJYOWKITSI-LLVKDONJSA-N -1 1 300.362 1.320 20 0 DDADMM CC(=O)N[C@@H](CC(C)C)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001361603993 881988506 /nfs/dbraw/zinc/98/85/06/881988506.db2.gz AOPHYOSYWAKTSZ-ZDUSSCGKSA-N -1 1 320.393 1.550 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@]12C[C@@H]1CCCC2 ZINC001320837149 867065632 /nfs/dbraw/zinc/06/56/32/867065632.db2.gz KKPZTNVEIVPJRB-NHYWBVRUSA-N -1 1 307.398 1.029 20 0 DDADMM Cc1cc(F)ccc1N1CC(=O)C(C(=O)[N-]OCCN(C)C)=N1 ZINC001334408146 867548129 /nfs/dbraw/zinc/54/81/29/867548129.db2.gz LNBWDAFCENAOSZ-UHFFFAOYSA-N -1 1 322.340 1.248 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)Cc1ccsc1 ZINC001361673055 882132647 /nfs/dbraw/zinc/13/26/47/882132647.db2.gz HAHOEPUZVFKSRU-ZETCQYMHSA-N -1 1 309.347 1.319 20 0 DDADMM O=C(C[C@@H]1C=CCC1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163368743 868400869 /nfs/dbraw/zinc/40/08/69/868400869.db2.gz BNCXNSQJWSHHHW-GFCCVEGCSA-N -1 1 319.409 1.243 20 0 DDADMM O=c1ccc2c([nH]1)CC[C@H](Nc1ccc([P@](=O)([O-])O)cc1)C2 ZINC001163565020 868536858 /nfs/dbraw/zinc/53/68/58/868536858.db2.gz ZMTUMPYCHSSMKK-LBPRGKRZSA-N -1 1 320.285 1.560 20 0 DDADMM O=c1ccc2c([nH]1)CC[C@H](Nc1ccc([P@@](=O)([O-])O)cc1)C2 ZINC001163565020 868536862 /nfs/dbraw/zinc/53/68/62/868536862.db2.gz ZMTUMPYCHSSMKK-LBPRGKRZSA-N -1 1 320.285 1.560 20 0 DDADMM CSc1c[nH]c2ncnc(NCC[N-]C(=O)C(F)(F)F)c12 ZINC001164244340 869050317 /nfs/dbraw/zinc/05/03/17/869050317.db2.gz GXDGIXFXXIUTLZ-UHFFFAOYSA-N -1 1 319.312 1.722 20 0 DDADMM CC(=O)Nc1ncc(NCC[N-]C(=O)C(F)(F)F)cc1C ZINC001164245953 869054363 /nfs/dbraw/zinc/05/43/63/869054363.db2.gz GLXSDINSFSACID-UHFFFAOYSA-N -1 1 304.272 1.439 20 0 DDADMM Cc1cc2c(cc1NCC[N-]C(=O)C(F)(F)F)CC(=O)N2 ZINC001164251561 869060711 /nfs/dbraw/zinc/06/07/11/869060711.db2.gz XVSNWXFLJNUCQJ-UHFFFAOYSA-N -1 1 301.268 1.580 20 0 DDADMM CCCCCNC(=O)C1CCN(C(=O)c2cnncc2[O-])CC1 ZINC001337051461 869292496 /nfs/dbraw/zinc/29/24/96/869292496.db2.gz CLFMMNLJRPZRCX-UHFFFAOYSA-N -1 1 320.393 1.341 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@H]1c1cccs1 ZINC001338072130 869785221 /nfs/dbraw/zinc/78/52/21/869785221.db2.gz BFOPIIGQRSABNY-QMMMGPOBSA-N -1 1 302.367 1.398 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@H]1c1cccs1 ZINC001338072130 869785225 /nfs/dbraw/zinc/78/52/25/869785225.db2.gz BFOPIIGQRSABNY-QMMMGPOBSA-N -1 1 302.367 1.398 20 0 DDADMM CC(C)(NC(=O)c1ccc2n[n-]c(=S)n2c1)c1ncc[nH]1 ZINC001297869388 870191122 /nfs/dbraw/zinc/19/11/22/870191122.db2.gz WXSAGSZEEHJWNR-UHFFFAOYSA-N -1 1 302.363 1.406 20 0 DDADMM CC[C@@H](SC)C(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001298349146 870493073 /nfs/dbraw/zinc/49/30/73/870493073.db2.gz UJHSJPUEHSOWJJ-ZYOFXKKJSA-N -1 1 323.418 1.331 20 0 DDADMM CN(Cc1nncn1C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC001298814230 870738730 /nfs/dbraw/zinc/73/87/30/870738730.db2.gz UGUGJZLUFQCKKZ-UHFFFAOYSA-N -1 1 315.308 1.480 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]CCCC(F)F)s1 ZINC001339857869 870738841 /nfs/dbraw/zinc/73/88/41/870738841.db2.gz KEBINCMPKZACLZ-UHFFFAOYSA-N -1 1 313.351 1.425 20 0 DDADMM Cc1n[nH]c(C(=O)NCc2nc3ccc(Cl)cc3[nH]2)c1[O-] ZINC001298980764 870797610 /nfs/dbraw/zinc/79/76/10/870797610.db2.gz RHCVCHGLKCJTIJ-UHFFFAOYSA-N -1 1 305.725 1.883 20 0 DDADMM CC1(CC(=O)NCCC[C@@H]2CCCN2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001317427387 870813948 /nfs/dbraw/zinc/81/39/48/870813948.db2.gz NSJCXPLJTOJMGW-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM C/C=C(/C)C(=O)NCCC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001317428493 870815487 /nfs/dbraw/zinc/81/54/87/870815487.db2.gz XRQSPLIROCSWCL-CTPBAPBTSA-N -1 1 307.398 1.337 20 0 DDADMM Cn1c(=O)oc2cc([N-]C(=O)c3cccc[n+]3[O-])c(F)cc21 ZINC001299125371 870918733 /nfs/dbraw/zinc/91/87/33/870918733.db2.gz STQYVJJVKQHGSP-UHFFFAOYSA-N -1 1 303.249 1.156 20 0 DDADMM Cn1ccc(Nc2ccccc2NC(=O)c2cnncc2[O-])n1 ZINC001303043137 871097081 /nfs/dbraw/zinc/09/70/81/871097081.db2.gz WHRQWODQBDBISL-UHFFFAOYSA-N -1 1 310.317 1.912 20 0 DDADMM COc1ccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)nc1Cl ZINC001303200943 871106961 /nfs/dbraw/zinc/10/69/61/871106961.db2.gz GEJANGAARHNVJE-UHFFFAOYSA-N -1 1 324.772 1.555 20 0 DDADMM Cc1c(NC(=O)NN2CC(=O)[N-]C2=O)cccc1-c1ncco1 ZINC001205738442 871768773 /nfs/dbraw/zinc/76/87/73/871768773.db2.gz BAXJSVAUZMLKKZ-UHFFFAOYSA-N -1 1 315.289 1.238 20 0 DDADMM CC[C@@H](O)CC[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001341701029 871782973 /nfs/dbraw/zinc/78/29/73/871782973.db2.gz VQFQOMNOQGZDOE-SSDOTTSWSA-N -1 1 313.206 1.828 20 0 DDADMM COc1nc(-c2ccc([O-])cc2F)nc(N2CCOCC2)n1 ZINC001206038023 872009087 /nfs/dbraw/zinc/00/90/87/872009087.db2.gz POOARHDZRSIIEA-UHFFFAOYSA-N -1 1 306.297 1.229 20 0 DDADMM Cc1cc(Br)c(F)c(C(=O)N(C)c2nn[n-]n2)c1 ZINC001361907964 882587046 /nfs/dbraw/zinc/58/70/46/882587046.db2.gz VTNNWRSGUCFZBH-UHFFFAOYSA-N -1 1 314.118 1.686 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1C[C@H](C)C[C@H]1C ZINC001342472696 872225124 /nfs/dbraw/zinc/22/51/24/872225124.db2.gz CZXQKORMCHTKCH-GHMZBOCLSA-N -1 1 320.401 1.120 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1C[C@H](C)C[C@H]1C ZINC001342472696 872225144 /nfs/dbraw/zinc/22/51/44/872225144.db2.gz CZXQKORMCHTKCH-GHMZBOCLSA-N -1 1 320.401 1.120 20 0 DDADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccccc1 ZINC001381750799 882592951 /nfs/dbraw/zinc/59/29/51/882592951.db2.gz XPOQDGQJZKYIDV-ZDUSSCGKSA-N -1 1 317.393 1.589 20 0 DDADMM COC(=O)Nc1ccc(C(=O)N(C)c2nn[n-]n2)c(Cl)c1 ZINC001361904652 882613735 /nfs/dbraw/zinc/61/37/35/882613735.db2.gz KITPGLRXWCMAJQ-UHFFFAOYSA-N -1 1 310.701 1.308 20 0 DDADMM C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1c(F)ccc([O-])c1F ZINC001361984428 882760733 /nfs/dbraw/zinc/76/07/33/882760733.db2.gz XCNZYNBTODBIAZ-SFYZADRCSA-N -1 1 319.329 1.318 20 0 DDADMM CS(=O)(=O)[C@@H]1CSCCN1C(=O)c1cccc([O-])c1F ZINC001361995133 882783823 /nfs/dbraw/zinc/78/38/23/882783823.db2.gz QRXMYBIHXFDKRS-SNVBAGLBSA-N -1 1 319.379 1.091 20 0 DDADMM CC(C)OC(=O)C[C@@H](Oc1cnc[n-]c1=O)C(=O)OC(C)C ZINC001227049805 882797290 /nfs/dbraw/zinc/79/72/90/882797290.db2.gz XGPGHGDCMPZGGB-SNVBAGLBSA-N -1 1 312.322 1.223 20 0 DDADMM COC/C(C)=C/C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001347468747 874153043 /nfs/dbraw/zinc/15/30/43/874153043.db2.gz ZJMHUGPGQKYDTP-DHZHZOJOSA-N -1 1 321.377 1.669 20 0 DDADMM O=C(NCCCC1CCOCC1)c1ccc2n[n-]c(=S)n2c1 ZINC001347860637 874291956 /nfs/dbraw/zinc/29/19/56/874291956.db2.gz OIFOSTMTMFNYFC-UHFFFAOYSA-N -1 1 320.418 1.955 20 0 DDADMM Cc1cccc2c(CCC(=O)N3CC[C@@H](c4nn[n-]n4)C3)c[nH]c21 ZINC001347976237 874325824 /nfs/dbraw/zinc/32/58/24/874325824.db2.gz XEOVIMVGLVAPTQ-CYBMUJFWSA-N -1 1 324.388 1.938 20 0 DDADMM CC/C(C)=C\C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001348208983 874423340 /nfs/dbraw/zinc/42/33/40/874423340.db2.gz FJUVXWGXJQJMDO-QXMHVHEDSA-N -1 1 303.362 1.428 20 0 DDADMM COCC(=O)c1ccc([O-])cc1O[C@H](C)CN1CCOCC1 ZINC001227169025 882890203 /nfs/dbraw/zinc/89/02/03/882890203.db2.gz OWBINMISWIZGQF-GFCCVEGCSA-N -1 1 309.362 1.321 20 0 DDADMM CCC[C@@](C)(CC)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210347140 875340811 /nfs/dbraw/zinc/34/08/11/875340811.db2.gz AIBDHLRXSREEDC-BLLLJJGKSA-N -1 1 323.441 1.807 20 0 DDADMM CCC(CC)C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001378671519 875384411 /nfs/dbraw/zinc/38/44/11/875384411.db2.gz ATLIDPNUFKCEQC-UHFFFAOYSA-N -1 1 309.414 1.465 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H]1[C@H]1CCCOC1 ZINC001349824486 875396288 /nfs/dbraw/zinc/39/62/88/875396288.db2.gz IRDXSXDZUCLRSP-RYUDHWBXSA-N -1 1 318.402 1.659 20 0 DDADMM Cc1cnc2cc(C(=O)[N-]n3cnc4ccccc4c3=O)ccn12 ZINC001350035716 875508426 /nfs/dbraw/zinc/50/84/26/875508426.db2.gz CLRSTVFFQLWSTL-UHFFFAOYSA-N -1 1 319.324 1.737 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2c[nH]c3ncccc23)cc1N ZINC001210941371 875605034 /nfs/dbraw/zinc/60/50/34/875605034.db2.gz SVODKWSBXFFSNB-UHFFFAOYSA-N -1 1 303.347 1.528 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001378805001 875670168 /nfs/dbraw/zinc/67/01/68/875670168.db2.gz CNPYTUXNAWTJMS-HBNTYKKESA-N -1 1 309.414 1.129 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCCC[C@H]1C(=O)OC)c1nn[n-]n1 ZINC001362067753 882954969 /nfs/dbraw/zinc/95/49/69/882954969.db2.gz ASFCSVZLALBMKV-AXFHLTTASA-N -1 1 309.370 1.137 20 0 DDADMM Cc1noc(C)c1CN1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001362084140 882994627 /nfs/dbraw/zinc/99/46/27/882994627.db2.gz CLAGEELWBLBMAR-UHFFFAOYSA-N -1 1 316.361 1.350 20 0 DDADMM NC(=O)[C@H]1CCCCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001362090005 883007819 /nfs/dbraw/zinc/00/78/19/883007819.db2.gz HEHYHCOQEMWHLO-CQSZACIVSA-N -1 1 314.341 1.731 20 0 DDADMM COC(=O)c1nsnc1[N-]c1cccc(C(=O)OC)c1F ZINC001214574394 876397132 /nfs/dbraw/zinc/39/71/32/876397132.db2.gz RKBVRPYQWIYNPC-UHFFFAOYSA-N -1 1 311.294 1.994 20 0 DDADMM COCc1cnc(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)s1 ZINC001352189882 876639125 /nfs/dbraw/zinc/63/91/25/876639125.db2.gz NTHCVOYIHCDPKZ-UHFFFAOYSA-N -1 1 312.376 1.635 20 0 DDADMM CCOC(=O)c1nnsc1[N-]c1cc(C(N)=O)ccc1OC ZINC001215327938 876651869 /nfs/dbraw/zinc/65/18/69/876651869.db2.gz BNCJNLWXLKAVPS-UHFFFAOYSA-N -1 1 322.346 1.566 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)CC1CCC1 ZINC001381958580 883058536 /nfs/dbraw/zinc/05/85/36/883058536.db2.gz CPOHDHFKNFLKAI-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM COc1cncc(Nc2cnc(OC)c([N-]S(C)(=O)=O)c2)c1 ZINC001216151637 876877431 /nfs/dbraw/zinc/87/74/31/876877431.db2.gz DKRBDGQHLXSXFC-UHFFFAOYSA-N -1 1 324.362 1.609 20 0 DDADMM CN(C(=O)OC(C)(C)C)[C@H]1C[C@H](Oc2nc(=O)[nH]c(=O)[n-]2)C1 ZINC001227569267 883084909 /nfs/dbraw/zinc/08/49/09/883084909.db2.gz XJLJUQNSBPBUQX-ZKCHVHJHSA-N -1 1 312.326 1.060 20 0 DDADMM CCOc1cc([N-]S(=O)(=O)c2ccc(O)c(N)c2)ccn1 ZINC001216626666 877000557 /nfs/dbraw/zinc/00/05/57/877000557.db2.gz BOBFJADDDOKWHI-UHFFFAOYSA-N -1 1 309.347 1.569 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(O[C@@H]2CCOC2)cc1 ZINC001362124972 883102458 /nfs/dbraw/zinc/10/24/58/883102458.db2.gz ASPYYVBYCOLPFF-CYBMUJFWSA-N -1 1 317.349 1.329 20 0 DDADMM CC(C)[C@@H](F)C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001379733832 877857819 /nfs/dbraw/zinc/85/78/19/877857819.db2.gz BNCSJSBNQFGHOW-MLGOLLRUSA-N -1 1 323.368 1.502 20 0 DDADMM CON(C)c1nnc(-c2c[n-][nH]c2=O)n1CCc1ccccc1 ZINC001354581050 878086749 /nfs/dbraw/zinc/08/67/49/878086749.db2.gz MMLYZBWDMXFMFP-UHFFFAOYSA-N -1 1 314.349 1.614 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)C(=O)C1=NC(=O)N(C)C1 ZINC001355098278 878424254 /nfs/dbraw/zinc/42/42/54/878424254.db2.gz BXIXNQVIMRWTTA-UHFFFAOYSA-N -1 1 319.346 1.108 20 0 DDADMM Cc1ccc([C@H]2CCN(c3nnc(-c4nnn[n-]4)n3C)C2)c(C)c1 ZINC001355336132 878510214 /nfs/dbraw/zinc/51/02/14/878510214.db2.gz YUJOJOYCCDQTTN-LBPRGKRZSA-N -1 1 324.392 1.606 20 0 DDADMM Cc1ccc([C@H]2CCN(c3nnc(-c4nn[n-]n4)n3C)C2)c(C)c1 ZINC001355336132 878510222 /nfs/dbraw/zinc/51/02/22/878510222.db2.gz YUJOJOYCCDQTTN-LBPRGKRZSA-N -1 1 324.392 1.606 20 0 DDADMM CC(=O)NC(=O)CSc1nc(-c2ccccc2)c(C)c(=O)[n-]1 ZINC001473014674 878921886 /nfs/dbraw/zinc/92/18/86/878921886.db2.gz BFDKWYNOUMTTJT-UHFFFAOYSA-N -1 1 317.370 1.912 20 0 DDADMM O=C(N[C@@H]1CNc2ccccc2C1)c1c[nH]c(=S)[n-]c1=O ZINC001220605120 879132571 /nfs/dbraw/zinc/13/25/71/879132571.db2.gz OUXZABSRBFZGID-VIFPVBQESA-N -1 1 302.359 1.238 20 0 DDADMM COCC[N@@H+](C)CCNc1ncnc2sc(C(=O)[O-])c(C)c21 ZINC000316255027 879319817 /nfs/dbraw/zinc/31/98/17/879319817.db2.gz YSFFKPVKGNDGKG-UHFFFAOYSA-N -1 1 324.406 1.688 20 0 DDADMM C[C@@H]1C(=O)NCCN1Cc1c(Br)ccc([O-])c1F ZINC001238307992 890163947 /nfs/dbraw/zinc/16/39/47/890163947.db2.gz WPTCQCFYLSUJNI-SSDOTTSWSA-N -1 1 317.158 1.614 20 0 DDADMM CC1(CCC(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001380837440 880494412 /nfs/dbraw/zinc/49/44/12/880494412.db2.gz JUUOFOQCRWOHSJ-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM O=C(C[C@H]1C=CCC1)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358584226 880556907 /nfs/dbraw/zinc/55/69/07/880556907.db2.gz JNAJOQCGTGUELO-STQMWFEESA-N -1 1 315.373 1.474 20 0 DDADMM O[C@@H](/C=C(\OCc1nn[n-]n1)c1cccs1)C(F)(F)F ZINC001223027346 880788493 /nfs/dbraw/zinc/78/84/93/880788493.db2.gz OFMXKNXSKPNZCM-HORMHSFOSA-N -1 1 306.269 1.742 20 0 DDADMM CCC(=O)N[C@@H](C)CN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001381991778 883133484 /nfs/dbraw/zinc/13/34/84/883133484.db2.gz UYJXEYAJFSPJIJ-VIFPVBQESA-N -1 1 306.366 1.046 20 0 DDADMM CCC[C@H](NC(=O)c1nc(CC)ncc1Cl)c1nn[n-]n1 ZINC001362209503 883303676 /nfs/dbraw/zinc/30/36/76/883303676.db2.gz DQQSHMVZBFLBDS-QMMMGPOBSA-N -1 1 309.761 1.477 20 0 DDADMM CN(C(=O)c1s[n-]c(=O)c1Cl)[C@@H]1CN2CCC1CC2 ZINC001362238157 883379933 /nfs/dbraw/zinc/37/99/33/883379933.db2.gz RDISFRFLPQUWEN-MRVPVSSYSA-N -1 1 301.799 1.668 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H]2C=CCN(C(=O)OC(C)(C)C)C2)n1 ZINC001228311622 883439892 /nfs/dbraw/zinc/43/98/92/883439892.db2.gz LVNKMZLFLHJNDU-VIFPVBQESA-N -1 1 324.337 1.146 20 0 DDADMM COC(=O)c1nc(O[C@H]2C=CCN(C(=O)OC(C)(C)C)C2)n[n-]1 ZINC001228311622 883439900 /nfs/dbraw/zinc/43/99/00/883439900.db2.gz LVNKMZLFLHJNDU-VIFPVBQESA-N -1 1 324.337 1.146 20 0 DDADMM CCC[C@@H](C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1)C(C)C ZINC001382130722 883479043 /nfs/dbraw/zinc/47/90/43/883479043.db2.gz GCIXMVCUEYJGQF-VXGBXAGGSA-N -1 1 311.430 1.519 20 0 DDADMM CNC(=O)[C@H](Cc1ccccc1)NC(=O)c1ccc(F)c([O-])c1 ZINC001362346702 883615296 /nfs/dbraw/zinc/61/52/96/883615296.db2.gz XFGVFJWUZQMNPG-AWEZNQCLSA-N -1 1 316.332 1.618 20 0 DDADMM CCOC(=O)Cc1cnc(=S)[n-]c1OC1=C(C)[C@H](C)OC1=O ZINC001228712602 883634570 /nfs/dbraw/zinc/63/45/70/883634570.db2.gz BLESOFHVASXXJM-QMMMGPOBSA-N -1 1 324.358 1.469 20 0 DDADMM CCO[C@@H]1C[C@@](CO)(NC(=O)c2ccc(F)c([O-])c2)C1(C)C ZINC001362366208 883654840 /nfs/dbraw/zinc/65/48/40/883654840.db2.gz DYDGINBORUFJEC-CJNGLKHVSA-N -1 1 311.353 1.827 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001362381583 883682761 /nfs/dbraw/zinc/68/27/61/883682761.db2.gz NNHVFYFFGSIGNB-JTQLQIEISA-N -1 1 315.366 1.571 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@@H]1O)c1ccc(F)c([O-])c1 ZINC001362385544 883691304 /nfs/dbraw/zinc/69/13/04/883691304.db2.gz NUNZJIDLQAACGJ-SWLSCSKDSA-N -1 1 323.364 1.829 20 0 DDADMM CC(C)[C@H](C)C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382262619 883708088 /nfs/dbraw/zinc/70/80/88/883708088.db2.gz UWJOBPZRSZSIBW-RYUDHWBXSA-N -1 1 307.394 1.656 20 0 DDADMM NC(=O)N[C@H]1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC001362438008 883809014 /nfs/dbraw/zinc/80/90/14/883809014.db2.gz MBHRKLHNICJSBK-JTQLQIEISA-N -1 1 315.329 1.134 20 0 DDADMM CC(C)c1nnc([C@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)[nH]1 ZINC001362485891 883917921 /nfs/dbraw/zinc/91/79/21/883917921.db2.gz SIFLEQDSQLGTSE-QMMMGPOBSA-N -1 1 316.365 1.792 20 0 DDADMM O=C(NC1(CO)CCOCC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362555110 884083455 /nfs/dbraw/zinc/08/34/55/884083455.db2.gz KSCSYJMMLZOJNW-UHFFFAOYSA-N -1 1 319.279 1.682 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1C[C@H]1C1CCOCC1)c1nn[n-]n1 ZINC001362623275 884249035 /nfs/dbraw/zinc/24/90/35/884249035.db2.gz DJHMIFJHHOLBAP-NWDGAFQWSA-N -1 1 307.398 1.394 20 0 DDADMM COc1c(=O)[n-]c(C)nc1O[C@@H]1C=CO[C@@H]2COC(C)(C)O[C@@H]12 ZINC001230026071 884286344 /nfs/dbraw/zinc/28/63/44/884286344.db2.gz OVAJWWBUNOWGOZ-MXWKQRLJSA-N -1 1 324.333 1.311 20 0 DDADMM O=C(c1cc2c(o1)CCCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362683463 884407340 /nfs/dbraw/zinc/40/73/40/884407340.db2.gz AJDGBOGHCYENKM-LLVKDONJSA-N -1 1 301.350 1.691 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1Cc2ccc(Cl)cc21 ZINC001362727711 884495902 /nfs/dbraw/zinc/49/59/02/884495902.db2.gz WBULNGJFQGFQAY-JTQLQIEISA-N -1 1 305.721 1.497 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cc(C(F)F)ncn2)n[nH]1 ZINC001362783469 884626942 /nfs/dbraw/zinc/62/69/42/884626942.db2.gz WDLGTJVOGAINRA-UHFFFAOYSA-N -1 1 311.248 1.566 20 0 DDADMM CCCC(=O)N[C@]12CCC[C@@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001382786830 884690870 /nfs/dbraw/zinc/69/08/70/884690870.db2.gz NOCZDQRWGBFJSC-GUYCJALGSA-N -1 1 317.389 1.841 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2cccc(O)c2)n1 ZINC001362820054 884715381 /nfs/dbraw/zinc/71/53/81/884715381.db2.gz QGVCSHDJPYNFNH-UHFFFAOYSA-N -1 1 303.318 1.863 20 0 DDADMM Cc1ccc(F)c(OCC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001362836635 884758525 /nfs/dbraw/zinc/75/85/25/884758525.db2.gz RCRRHPYISZKEQN-UHFFFAOYSA-N -1 1 305.313 1.222 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)c1nccc(C)n1 ZINC001362907805 884952426 /nfs/dbraw/zinc/95/24/26/884952426.db2.gz WASOVCNZAZQHPS-SECBINFHSA-N -1 1 319.390 1.802 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@@H]1CC12CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001374443378 912881138 /nfs/dbraw/zinc/88/11/38/912881138.db2.gz RSGYLRYJWNGJNS-RYUDHWBXSA-N -1 1 321.425 1.321 20 0 DDADMM CCO[C@H](CC)C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001382925110 884967919 /nfs/dbraw/zinc/96/79/19/884967919.db2.gz SUNMXJNCCAYCEP-DGCLKSJQSA-N -1 1 323.393 1.179 20 0 DDADMM CCO[C@@H](CC)C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001382925117 884968585 /nfs/dbraw/zinc/96/85/85/884968585.db2.gz SUNMXJNCCAYCEP-YPMHNXCESA-N -1 1 323.393 1.179 20 0 DDADMM CSc1ncc(C(=O)NCc2c(C3CC3)cnn2C)c(=O)[n-]1 ZINC001362976989 885128852 /nfs/dbraw/zinc/12/88/52/885128852.db2.gz KSCISYGMUSLJAJ-UHFFFAOYSA-N -1 1 319.390 1.445 20 0 DDADMM CSCC[C@H](NC(=O)c1ccnn1C1CCC1)c1nn[n-]n1 ZINC001362996938 885184255 /nfs/dbraw/zinc/18/42/55/885184255.db2.gz DWHLCACFEVKAFF-JTQLQIEISA-N -1 1 321.410 1.345 20 0 DDADMM CC1(C)OC(=O)c2ccccc2[C@H]1C(=O)Nc1c[n-][nH]c1=O ZINC001363120554 885515202 /nfs/dbraw/zinc/51/52/02/885515202.db2.gz ROTQXWJIIGDKCD-NSHDSACASA-N -1 1 301.302 1.787 20 0 DDADMM COC(=O)c1c(NC(=O)C2=CC[C@H](C)CC2)n[n-]c1OCCO ZINC001363121770 885517695 /nfs/dbraw/zinc/51/76/95/885517695.db2.gz XGAYJLKYKKUYJK-VIFPVBQESA-N -1 1 323.349 1.252 20 0 DDADMM COC(=O)c1c(NC(=O)C2=CC[C@H](C)CC2)[n-]nc1OCCO ZINC001363121770 885517712 /nfs/dbraw/zinc/51/77/12/885517712.db2.gz XGAYJLKYKKUYJK-VIFPVBQESA-N -1 1 323.349 1.252 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N(CCC1CC1)CC1CC1 ZINC001363127628 885533359 /nfs/dbraw/zinc/53/33/59/885533359.db2.gz YGZSQODQZLGQHA-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM O=C(N[C@H]1Cc2ccccc2NC1=O)c1cccc([O-])c1F ZINC001363135260 885551186 /nfs/dbraw/zinc/55/11/86/885551186.db2.gz OMLNYKVVFZBJFV-LBPRGKRZSA-N -1 1 300.289 1.825 20 0 DDADMM CC1(C)CCC[C@](O)(CNC(=O)CCCc2nn[n-]n2)CC1 ZINC001363138758 885562160 /nfs/dbraw/zinc/56/21/60/885562160.db2.gz PJHKQYSPWSQMNB-OAHLLOKOSA-N -1 1 309.414 1.360 20 0 DDADMM O=C(N[C@@H](C1CC1)C1(CO)CCOCC1)c1ccc(F)c([O-])c1 ZINC001363156677 885600365 /nfs/dbraw/zinc/60/03/65/885600365.db2.gz JTWLNMWIRJNODN-HNNXBMFYSA-N -1 1 323.364 1.829 20 0 DDADMM COC(=O)C[C@@]1(NCc2nc(=O)c3sccc3[n-]2)CCOC1 ZINC001363226545 885762120 /nfs/dbraw/zinc/76/21/20/885762120.db2.gz PPOVINZJVBMZPA-AWEZNQCLSA-N -1 1 323.374 1.209 20 0 DDADMM O=C(N[C@@H]1CC(=O)N(CC2CC2)C1)c1cc(Cl)ccc1[O-] ZINC001363242298 885800858 /nfs/dbraw/zinc/80/08/58/885800858.db2.gz XZDOQYLVMSXAOD-LLVKDONJSA-N -1 1 308.765 1.786 20 0 DDADMM CCOC(=O)[C@H]1[C@@H]2CN(Cc3ccc(OC)c(C(=O)[O-])c3)C[C@@H]21 ZINC001231753144 885856544 /nfs/dbraw/zinc/85/65/44/885856544.db2.gz ACJHXOYKPBOZJD-NHAGDIPZSA-N -1 1 319.357 1.634 20 0 DDADMM Cc1nc(CC(=O)N(Cc2nn[n-]n2)CC(C)C)c(C)s1 ZINC001363275442 885883119 /nfs/dbraw/zinc/88/31/19/885883119.db2.gz HLRHAXYJWPENQG-UHFFFAOYSA-N -1 1 308.411 1.500 20 0 DDADMM CSCC[C@H](NC(=O)CCc1ccc(O)cc1)c1nn[n-]n1 ZINC001363281772 885904824 /nfs/dbraw/zinc/90/48/24/885904824.db2.gz ZKIOIFYTWSRQHK-LBPRGKRZSA-N -1 1 321.406 1.449 20 0 DDADMM CN1C(=O)COc2cccc(NC(=O)c3ccc(O)cc3[O-])c21 ZINC001363321873 886018355 /nfs/dbraw/zinc/01/83/55/886018355.db2.gz AGCZRBKHTOSYLY-UHFFFAOYSA-N -1 1 314.297 1.705 20 0 DDADMM COC(=O)c1cnc(C(=O)Nc2cc([O-])c(F)cc2F)cn1 ZINC001363384726 886190982 /nfs/dbraw/zinc/19/09/82/886190982.db2.gz RPIRDVGOFRGBHX-UHFFFAOYSA-N -1 1 309.228 1.499 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(O)Cc3ccccc3C2)n1 ZINC001363385393 886191028 /nfs/dbraw/zinc/19/10/28/886191028.db2.gz XSGHRUQRCPIPPF-UHFFFAOYSA-N -1 1 315.329 1.055 20 0 DDADMM CCC(CC)n1nc(C(=O)N[C@H](COC)c2nn[n-]n2)cc1C ZINC001363409347 886257423 /nfs/dbraw/zinc/25/74/23/886257423.db2.gz CHSBTELOSQNJLO-GFCCVEGCSA-N -1 1 321.385 1.183 20 0 DDADMM COC[C@H](NC(=O)CC(C1CCC1)C1CCC1)c1nn[n-]n1 ZINC001363431327 886320844 /nfs/dbraw/zinc/32/08/44/886320844.db2.gz HICOIWCMWHBVAE-ZDUSSCGKSA-N -1 1 307.398 1.610 20 0 DDADMM COC(=O)[C@@H](C)c1cccc(NC(=O)CCCc2nn[n-]n2)c1 ZINC001363443023 886349534 /nfs/dbraw/zinc/34/95/34/886349534.db2.gz NQEILLFYKSUEOD-JTQLQIEISA-N -1 1 317.349 1.438 20 0 DDADMM O=C(NCc1ccc(Br)cn1)c1ncccc1[O-] ZINC001363519211 886537818 /nfs/dbraw/zinc/53/78/18/886537818.db2.gz JTQNBZLVALJKFO-UHFFFAOYSA-N -1 1 308.135 1.875 20 0 DDADMM CC(=O)Nc1cccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001363541835 886590626 /nfs/dbraw/zinc/59/06/26/886590626.db2.gz FGFFXMULFXTQCK-UHFFFAOYSA-N -1 1 316.365 1.457 20 0 DDADMM COC[C@H]1c2nnn(C)c2CCN1Cc1ccc(F)c([O-])c1F ZINC001232935613 886682348 /nfs/dbraw/zinc/68/23/48/886682348.db2.gz IIBDTBIVOSUMBR-LBPRGKRZSA-N -1 1 324.331 1.545 20 0 DDADMM CCCc1cc(C(=O)N[C@H](COC)c2nn[n-]n2)sc1C ZINC001363584253 886695187 /nfs/dbraw/zinc/69/51/87/886695187.db2.gz DKZRVEURSJZNSY-SNVBAGLBSA-N -1 1 309.395 1.640 20 0 DDADMM COC(=O)CC[C@H]1COCCN1Cc1cc(Cl)ncc1[O-] ZINC001233041357 886747161 /nfs/dbraw/zinc/74/71/61/886747161.db2.gz VRFDBGISUKMQQF-NSHDSACASA-N -1 1 314.769 1.595 20 0 DDADMM COC(=O)[C@@H]1COCCC12CN(Cc1ccc([S-])cc1)C2 ZINC001233262293 886879796 /nfs/dbraw/zinc/87/97/96/886879796.db2.gz ZQDMDKMJBROJNO-AWEZNQCLSA-N -1 1 307.415 1.987 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2coc(CO)c2)[n-]c1=O ZINC001363670962 886936681 /nfs/dbraw/zinc/93/66/81/886936681.db2.gz DPIKQUUTMZSJDW-LLVKDONJSA-N -1 1 319.317 1.253 20 0 DDADMM O=C(CCC(=O)c1ccc2c(c1)OCCO2)Nc1c[n-][nH]c1=O ZINC001363710959 887042074 /nfs/dbraw/zinc/04/20/74/887042074.db2.gz TZBQWDUWPZMIFV-UHFFFAOYSA-N -1 1 317.301 1.488 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1nn(C)c2c1CCCC2 ZINC001363809581 887278597 /nfs/dbraw/zinc/27/85/97/887278597.db2.gz VOLNPYGPELPSBD-UHFFFAOYSA-N -1 1 317.397 1.110 20 0 DDADMM CCOC(=O)[C@H]1CC[C@@H](Oc2c(=O)[n-]cnc2C(=O)OC)C1 ZINC001233757609 887285899 /nfs/dbraw/zinc/28/58/99/887285899.db2.gz FZVXRNFAZXLUJK-DTWKUNHWSA-N -1 1 310.306 1.079 20 0 DDADMM O=C(N[C@@H]1Cc2cccnc2NC1=O)c1cc(F)ccc1[O-] ZINC001363824727 887324212 /nfs/dbraw/zinc/32/42/12/887324212.db2.gz WKDYNEFKWXMAIV-LLVKDONJSA-N -1 1 301.277 1.220 20 0 DDADMM CCO[C@H](C)c1noc(CN2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC001363881437 887461270 /nfs/dbraw/zinc/46/12/70/887461270.db2.gz XMEMOKPDPAOEBL-SECBINFHSA-N -1 1 323.353 1.635 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2c(C)noc2C)[n-]c1=O ZINC001363890672 887483572 /nfs/dbraw/zinc/48/35/72/887483572.db2.gz YYEVCMWSNKVHLN-SNVBAGLBSA-N -1 1 318.333 1.773 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2cccnc2)cc1C ZINC001363931406 887563030 /nfs/dbraw/zinc/56/30/30/887563030.db2.gz NLLBPEXAWZROSA-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM NC(=O)[C@H]1CC[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1 ZINC001363937191 887573744 /nfs/dbraw/zinc/57/37/44/887573744.db2.gz ITVXCNFQQWOOLA-NKWVEPMBSA-N -1 1 308.306 1.150 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-][C@@H](C)c1nc(C)no1 ZINC001363939739 887578967 /nfs/dbraw/zinc/57/89/67/887578967.db2.gz XENTUWRLAGMTBV-WCBMZHEXSA-N -1 1 319.383 1.090 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-][C@@H]1COc2cc(F)cc(F)c21 ZINC001363960541 887617855 /nfs/dbraw/zinc/61/78/55/887617855.db2.gz UEFYKDLNOYBXRJ-SNVBAGLBSA-N -1 1 316.285 1.506 20 0 DDADMM COC(=O)[C@]1([N-]S(=O)(=O)C[C@H](C)C2CC2)CCSC1 ZINC001363963626 887624282 /nfs/dbraw/zinc/62/42/82/887624282.db2.gz BPAWYXHHRJGSAX-CABZTGNLSA-N -1 1 307.437 1.001 20 0 DDADMM C[C@H]1CCCN(C(=O)CCNC(=O)c2ccc(F)c([O-])c2)C1 ZINC001363975319 887648533 /nfs/dbraw/zinc/64/85/33/887648533.db2.gz OUPDRDFIIXFCNR-NSHDSACASA-N -1 1 308.353 1.910 20 0 DDADMM Cc1nc(C(C)C)oc1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364024016 887744377 /nfs/dbraw/zinc/74/43/77/887744377.db2.gz HWZXQHKSUZZFCO-NSHDSACASA-N -1 1 318.381 1.714 20 0 DDADMM CCCc1ccc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)s1 ZINC001364045220 887787524 /nfs/dbraw/zinc/78/75/24/887787524.db2.gz CCSKZNDFIIFACN-UHFFFAOYSA-N -1 1 314.392 1.475 20 0 DDADMM CCCc1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)s1 ZINC001364045220 887787534 /nfs/dbraw/zinc/78/75/34/887787534.db2.gz CCSKZNDFIIFACN-UHFFFAOYSA-N -1 1 314.392 1.475 20 0 DDADMM CCc1noc(C)c1CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001364115787 887957649 /nfs/dbraw/zinc/95/76/49/887957649.db2.gz OKYIJGFXIOLGRZ-UHFFFAOYSA-N -1 1 314.349 1.522 20 0 DDADMM C[C@@H](COc1c(C(N)=O)nc[n-]c1=O)OCc1ccccc1 ZINC001234467092 887999522 /nfs/dbraw/zinc/99/95/22/887999522.db2.gz LJODRHBPRWOMOU-JTQLQIEISA-N -1 1 303.318 1.265 20 0 DDADMM CO[C@@H](COc1c(C(N)=O)nc(C)[n-]c1=O)c1ccccc1 ZINC001234469192 888000661 /nfs/dbraw/zinc/00/06/61/888000661.db2.gz FORZNAOUMPUUIT-NSHDSACASA-N -1 1 303.318 1.356 20 0 DDADMM COc1ccc2c(c1)CC[C@H](Oc1c(C(N)=O)nc[n-]c1=O)C2 ZINC001234472665 888003548 /nfs/dbraw/zinc/00/35/48/888003548.db2.gz WOXHSBAWYUEBKG-LBPRGKRZSA-N -1 1 315.329 1.226 20 0 DDADMM CCCC(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1ncccc1[O-] ZINC001384842240 888084227 /nfs/dbraw/zinc/08/42/27/888084227.db2.gz SBPSTPWAZDXRQO-VXGBXAGGSA-N -1 1 305.378 1.745 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(c2cc(Cl)ccn2)CC1 ZINC001364187066 888109172 /nfs/dbraw/zinc/10/91/72/888109172.db2.gz NGVWOTIVCZJWFU-UHFFFAOYSA-N -1 1 318.764 1.798 20 0 DDADMM COC[C@@H](C)OC[C@H](C)Oc1[n-]c(=O)c(F)cc1C(=O)OC ZINC001234606622 888136724 /nfs/dbraw/zinc/13/67/24/888136724.db2.gz HHQXCJKFYIGBLD-BDAKNGLRSA-N -1 1 317.313 1.532 20 0 DDADMM C[C@H]1[C@H](C(=O)Nc2c[n-][nH]c2=O)CCN1C(=O)OC(C)(C)C ZINC001364514132 888816724 /nfs/dbraw/zinc/81/67/24/888816724.db2.gz ZMTRFCVJCLMKHF-DTWKUNHWSA-N -1 1 310.354 1.699 20 0 DDADMM O=C(C[C@@H]1C[C@H]1C1CC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364549354 888905838 /nfs/dbraw/zinc/90/58/38/888905838.db2.gz NFALBXWYRNPDDR-QWRGUYRKSA-N -1 1 303.362 1.307 20 0 DDADMM CN(C(=O)CCC1CC1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001396177035 913304198 /nfs/dbraw/zinc/30/41/98/913304198.db2.gz CGLIYDNLHGSFCC-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM COc1cccc(CCC(=O)NC2(c3nn[n-]n3)CCC2)c1F ZINC001364663404 889149981 /nfs/dbraw/zinc/14/99/81/889149981.db2.gz PBEWJLYSMWBHCK-UHFFFAOYSA-N -1 1 319.340 1.476 20 0 DDADMM CC[C@H](F)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001385493541 889212540 /nfs/dbraw/zinc/21/25/40/889212540.db2.gz UBNFXIQBUTUJGL-QWRGUYRKSA-N -1 1 309.341 1.256 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2c3ccccc3C[C@H]2C)[n-]n1 ZINC001364748963 889332478 /nfs/dbraw/zinc/33/24/78/889332478.db2.gz DKAWJRXSQBZZRZ-SECBINFHSA-N -1 1 321.358 1.336 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2c3ccccc3C[C@H]2C)n[n-]1 ZINC001364748963 889332488 /nfs/dbraw/zinc/33/24/88/889332488.db2.gz DKAWJRXSQBZZRZ-SECBINFHSA-N -1 1 321.358 1.336 20 0 DDADMM CS[C@@H](C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001385566423 889334466 /nfs/dbraw/zinc/33/44/66/889334466.db2.gz FWSYOAGTHWKBRL-QWRGUYRKSA-N -1 1 323.418 1.260 20 0 DDADMM CC[C@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)[C@@H]1CC1(C)C ZINC001364884981 889606629 /nfs/dbraw/zinc/60/66/29/889606629.db2.gz DGMKMIBKLMKLLY-IUCAKERBSA-N -1 1 315.395 1.299 20 0 DDADMM CC[C@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)[C@@H]1CC1(C)C ZINC001364884981 889606637 /nfs/dbraw/zinc/60/66/37/889606637.db2.gz DGMKMIBKLMKLLY-IUCAKERBSA-N -1 1 315.395 1.299 20 0 DDADMM CC[C@@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001386005258 890142112 /nfs/dbraw/zinc/14/21/12/890142112.db2.gz SGJCSXIEDQOMBM-XQHKEYJVSA-N -1 1 319.405 1.846 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C)cc2OC)n[n-]1 ZINC001365191758 890306595 /nfs/dbraw/zinc/30/65/95/890306595.db2.gz BMGMSNYPWPJVFZ-UHFFFAOYSA-N -1 1 318.333 1.228 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C)cc2OC)n1 ZINC001365191758 890306615 /nfs/dbraw/zinc/30/66/15/890306615.db2.gz BMGMSNYPWPJVFZ-UHFFFAOYSA-N -1 1 318.333 1.228 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)CC1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001396245771 913455888 /nfs/dbraw/zinc/45/58/88/913455888.db2.gz KAGCBWBQMGLYHC-NWDGAFQWSA-N -1 1 319.405 1.991 20 0 DDADMM CC/C(C)=C\C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001290609222 913474437 /nfs/dbraw/zinc/47/44/37/913474437.db2.gz WIDTYRTUZWXOGY-OBXXYZRDSA-N -1 1 317.389 1.863 20 0 DDADMM Cc1cc2c(c(-c3ccccc3S(C)(=O)=O)n1)C(=O)[N-]C2=O ZINC001239772338 890737642 /nfs/dbraw/zinc/73/76/42/890737642.db2.gz HIJFJHPRYUZRRN-UHFFFAOYSA-N -1 1 316.338 1.344 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1cncc(F)c1 ZINC001365460972 890837042 /nfs/dbraw/zinc/83/70/42/890837042.db2.gz RKKNKOVHXQRCIT-UHFFFAOYSA-N -1 1 303.340 1.164 20 0 DDADMM O=S(=O)([N-]Cc1cc[nH]n1)c1ccc(Br)o1 ZINC001365634617 891216971 /nfs/dbraw/zinc/21/69/71/891216971.db2.gz MIKXGOVBXPWQHU-UHFFFAOYSA-N -1 1 306.141 1.244 20 0 DDADMM CC[C@@H]1CCCCCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365661070 891268637 /nfs/dbraw/zinc/26/86/37/891268637.db2.gz XLCLBPKOCMCDKV-SNVBAGLBSA-N -1 1 315.395 1.540 20 0 DDADMM CC[C@@H]1CCCCCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365661070 891268652 /nfs/dbraw/zinc/26/86/52/891268652.db2.gz XLCLBPKOCMCDKV-SNVBAGLBSA-N -1 1 315.395 1.540 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C1CC1 ZINC001365722264 891397765 /nfs/dbraw/zinc/39/77/65/891397765.db2.gz VZVMOMDNMLTOGF-GFCCVEGCSA-N -1 1 301.368 1.053 20 0 DDADMM COc1ncc(-c2cc(C)nc(C)n2)cc1[N-]S(C)(=O)=O ZINC001244790792 891923319 /nfs/dbraw/zinc/92/33/19/891923319.db2.gz UEUJPISKVINYLX-UHFFFAOYSA-N -1 1 308.363 1.536 20 0 DDADMM CCCCC(=O)N(C)CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001386887908 891941585 /nfs/dbraw/zinc/94/15/85/891941585.db2.gz JAALGYKVGDXKIY-UHFFFAOYSA-N -1 1 320.393 1.438 20 0 DDADMM CC(C)=C(C)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001278396825 891987479 /nfs/dbraw/zinc/98/74/79/891987479.db2.gz CNJPCXPEEBBTJN-VXGBXAGGSA-N -1 1 307.398 1.336 20 0 DDADMM C[C@H]1CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C[C@@H]1O ZINC001366261868 892929028 /nfs/dbraw/zinc/92/90/28/892929028.db2.gz HUJHBRXBKOXOHL-FZMZJTMJSA-N -1 1 316.361 1.813 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCC(=O)Nc2cccnc2)c1 ZINC001292515325 913755300 /nfs/dbraw/zinc/75/53/00/913755300.db2.gz PCLUFOODHIOVCI-UHFFFAOYSA-N -1 1 313.313 1.277 20 0 DDADMM CC[C@@H](CNC(=O)CC(F)(F)F)NC(=O)c1ncccc1[O-] ZINC001388033674 894411731 /nfs/dbraw/zinc/41/17/31/894411731.db2.gz NNRODMSJNJHUAJ-QMMMGPOBSA-N -1 1 319.283 1.364 20 0 DDADMM O=C1N=CN=C([O-])C1c1nc2cc(F)c(-n3cccn3)cc2[nH]1 ZINC001250873778 894481049 /nfs/dbraw/zinc/48/10/49/894481049.db2.gz BZNAECBUMISSNH-UHFFFAOYSA-N -1 1 312.264 1.344 20 0 DDADMM COCCn1c2ccc(F)cc2nc1-c1c([O-])nc[nH]c1=O ZINC001250874723 894481155 /nfs/dbraw/zinc/48/11/55/894481155.db2.gz OGGIQWQKKDJUIZ-UHFFFAOYSA-N -1 1 304.281 1.690 20 0 DDADMM COCCOc1cc([N-]S(=O)(=O)c2ccccc2)ccn1 ZINC001251117521 894641632 /nfs/dbraw/zinc/64/16/32/894641632.db2.gz KWIQTKGNICEWPN-UHFFFAOYSA-N -1 1 308.359 1.908 20 0 DDADMM O=C(NCCNC(=O)[C@]12C[C@H]1CCCC2)c1ncccc1[O-] ZINC001292666203 913908658 /nfs/dbraw/zinc/90/86/58/913908658.db2.gz IHQWNYAWAKTLTC-BDJLRTHQSA-N -1 1 303.362 1.214 20 0 DDADMM Cc1cnc([N-]S(C)(=O)=O)cc1I ZINC001252629200 895322805 /nfs/dbraw/zinc/32/28/05/895322805.db2.gz IOERZZQANYNWQU-UHFFFAOYSA-N -1 1 312.132 1.366 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H]1CC12CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001367142289 895988028 /nfs/dbraw/zinc/98/80/28/895988028.db2.gz MYDZHNIJJCHWFQ-QWRGUYRKSA-N -1 1 307.398 1.075 20 0 DDADMM CCCS(=O)(=O)[N-][C@@H](COCc1ccccc1)C(=O)OC ZINC001253739419 896052699 /nfs/dbraw/zinc/05/26/99/896052699.db2.gz GWCOYQGKWTXWOB-ZDUSSCGKSA-N -1 1 315.391 1.074 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H](Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)C1 ZINC001255345712 896855585 /nfs/dbraw/zinc/85/55/85/896855585.db2.gz ZKFAUXCPKOVZON-HTQZYQBOSA-N -1 1 321.337 1.250 20 0 DDADMM O=C1OCC=C1[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC001257795629 897953186 /nfs/dbraw/zinc/95/31/86/897953186.db2.gz VIGNKCJKQZZBDC-UHFFFAOYSA-N -1 1 308.142 1.712 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]C[C@@H]1CCC[C@H]1F ZINC001258448631 898191913 /nfs/dbraw/zinc/19/19/13/898191913.db2.gz BVPDUNGMSWLEER-DTWKUNHWSA-N -1 1 309.794 1.798 20 0 DDADMM COc1nccc([N-]S(=O)(=O)c2c(C)noc2C)c1F ZINC001258536129 898239613 /nfs/dbraw/zinc/23/96/13/898239613.db2.gz CUYHICCYNMVLKA-UHFFFAOYSA-N -1 1 301.299 1.635 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1cc(-c2ccc(C)cc2)nn1C ZINC001259020449 898419438 /nfs/dbraw/zinc/41/94/38/898419438.db2.gz UGWUVCNHVZFESQ-UHFFFAOYSA-N -1 1 323.374 1.310 20 0 DDADMM COc1nc([N-]S(=O)(=O)c2c(F)cccc2F)cc(=O)[nH]1 ZINC001259063987 898443120 /nfs/dbraw/zinc/44/31/20/898443120.db2.gz WXLQLWZKRNRGAM-UHFFFAOYSA-N -1 1 317.273 1.270 20 0 DDADMM CSc1nc(NS(=O)(=O)C2CCCCC2)cc(=O)[n-]1 ZINC001259808830 898819003 /nfs/dbraw/zinc/81/90/03/898819003.db2.gz HHHQYHWWGBOQPE-UHFFFAOYSA-N -1 1 303.409 1.979 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cnnc(Cl)c2Cl)cn1 ZINC001259833110 898848021 /nfs/dbraw/zinc/84/80/21/898848021.db2.gz JEOMXYIXPULVDP-UHFFFAOYSA-N -1 1 322.177 1.801 20 0 DDADMM Cc1cnn2cc([N-]S(=O)(=O)CCC(F)(F)F)cnc12 ZINC001259866897 898877567 /nfs/dbraw/zinc/87/75/67/898877567.db2.gz XEXCBZOVWSJNME-UHFFFAOYSA-N -1 1 308.285 1.732 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)C(F)F ZINC001259961481 898984324 /nfs/dbraw/zinc/98/43/24/898984324.db2.gz KDIBKSNNYUBQIM-SFYZADRCSA-N -1 1 314.354 1.574 20 0 DDADMM CCOC(=O)CCc1ccc(C[N-]S(=O)(=O)C(F)F)cc1 ZINC001259963576 898985496 /nfs/dbraw/zinc/98/54/96/898985496.db2.gz FEVHJKXDYHHEOC-UHFFFAOYSA-N -1 1 321.345 1.824 20 0 DDADMM CC(=O)c1nn(C)cc1[N-]S(=O)(=O)Cc1ccccc1C ZINC001260016623 899013913 /nfs/dbraw/zinc/01/39/13/899013913.db2.gz BGJHEDHRGYQCAG-UHFFFAOYSA-N -1 1 307.375 1.873 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](CO)C1)c1cc(Cl)c(F)cc1F ZINC001260206717 899087356 /nfs/dbraw/zinc/08/73/56/899087356.db2.gz ZEZXEUNQJPGNHQ-KNVOCYPGSA-N -1 1 311.737 1.667 20 0 DDADMM Cc1cnn(C)c1NS(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC001260210458 899091710 /nfs/dbraw/zinc/09/17/10/899091710.db2.gz WYSCUSOBWVGQRY-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM Cc1cc([N-]S(=O)(=O)CS(C)(=O)=O)cc2scnc21 ZINC001260592803 899180138 /nfs/dbraw/zinc/18/01/38/899180138.db2.gz RBHRZEHOSIERDU-UHFFFAOYSA-N -1 1 320.417 1.349 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2cnc(Cl)cc2Cl)CO1 ZINC001261457359 899582020 /nfs/dbraw/zinc/58/20/20/899582020.db2.gz GVVBCEZLTMCIDR-RQJHMYQMSA-N -1 1 311.190 1.844 20 0 DDADMM CCCC(=O)NCC1(NC(=O)c2ncccc2[O-])CCCC1 ZINC001390742524 900074878 /nfs/dbraw/zinc/07/48/78/900074878.db2.gz VCCSAZPTCGIXGQ-UHFFFAOYSA-N -1 1 305.378 1.746 20 0 DDADMM CCN(Cc1ccccc1)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001262922996 900419540 /nfs/dbraw/zinc/41/95/40/900419540.db2.gz GDQMSKHLZDGWNL-UHFFFAOYSA-N -1 1 300.322 1.185 20 0 DDADMM CCN(CCc1cccs1)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001262923101 900420049 /nfs/dbraw/zinc/42/00/49/900420049.db2.gz IZWXGLHSDNKDIJ-UHFFFAOYSA-N -1 1 320.378 1.289 20 0 DDADMM CN(C)C(=O)N[C@@H]1CCCN(Cc2ccc(C(=O)[O-])cc2)C1 ZINC000586069641 900619489 /nfs/dbraw/zinc/61/94/89/900619489.db2.gz CQJLVIFSYAZGJY-CQSZACIVSA-N -1 1 305.378 1.620 20 0 DDADMM Cc1ccc2cccc(C(=O)N3CC[C@H](c4nn[n-]n4)C3)c2n1 ZINC001263886709 900800157 /nfs/dbraw/zinc/80/01/57/900800157.db2.gz SSZVYLAIQSDVAE-LBPRGKRZSA-N -1 1 308.345 1.686 20 0 DDADMM CCCc1[nH]ccc1C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001369273534 900938600 /nfs/dbraw/zinc/93/86/00/900938600.db2.gz YFOSGZYJLLGTEU-SNVBAGLBSA-N -1 1 320.397 1.041 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2ccc3nccnc3c2)ccc1O ZINC000385857245 900991163 /nfs/dbraw/zinc/99/11/63/900991163.db2.gz FUCDLEWNTFHDLP-UHFFFAOYSA-N -1 1 316.342 1.718 20 0 DDADMM COCCN(C/C=C/Cl)CCNC(=O)c1ncccc1[O-] ZINC001369552423 901519677 /nfs/dbraw/zinc/51/96/77/901519677.db2.gz WCWCJCOCZJKZMU-HWKANZROSA-N -1 1 313.785 1.218 20 0 DDADMM C[C@H](CCCNC(=O)c1ncccc1[O-])NC(=O)c1ccoc1 ZINC001280789641 904038058 /nfs/dbraw/zinc/03/80/58/904038058.db2.gz KPQSHKDOGGOOJM-LLVKDONJSA-N -1 1 317.345 1.709 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CCCC1 ZINC001375057605 914810128 /nfs/dbraw/zinc/81/01/28/914810128.db2.gz FQDIVZOUXUKDMO-LBPRGKRZSA-N -1 1 305.378 1.602 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CCCC1 ZINC001375057604 914811179 /nfs/dbraw/zinc/81/11/79/914811179.db2.gz FQDIVZOUXUKDMO-GFCCVEGCSA-N -1 1 305.378 1.602 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])Cc1ncc(Cl)n1C ZINC001371952329 906086969 /nfs/dbraw/zinc/08/69/69/906086969.db2.gz NNDOWHWIOJJHNZ-UHFFFAOYSA-N -1 1 323.784 1.036 20 0 DDADMM CN(CCCNC(=O)c1cccnc1)C(=O)c1ncccc1[O-] ZINC001283478232 907421731 /nfs/dbraw/zinc/42/17/31/907421731.db2.gz YZFUGBMOUREERT-UHFFFAOYSA-N -1 1 314.345 1.074 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)c1cccn1C ZINC001283526271 907538778 /nfs/dbraw/zinc/53/87/78/907538778.db2.gz PISXRVVKALHAFL-UHFFFAOYSA-N -1 1 316.361 1.018 20 0 DDADMM CC[C@H](C)C(=O)NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001372640168 907842742 /nfs/dbraw/zinc/84/27/42/907842742.db2.gz PNYJTDJDACDHTK-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)[C@H](C)CC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001372839454 908397334 /nfs/dbraw/zinc/39/73/34/908397334.db2.gz YFUZOUUNZGTXGK-NEPJUHHUSA-N -1 1 307.394 1.704 20 0 DDADMM CC[C@H](C)[C@@H](C)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001393980082 908445274 /nfs/dbraw/zinc/44/52/74/908445274.db2.gz MEDQKNOKFYHKPM-NWDGAFQWSA-N -1 1 319.405 1.752 20 0 DDADMM C[C@H](CNC(=O)[C@H](C)C(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001373102766 908966121 /nfs/dbraw/zinc/96/61/21/908966121.db2.gz IVYJKGBZYNLSBX-BDAKNGLRSA-N -1 1 315.320 1.313 20 0 DDADMM CC(C)(C(=O)NC/C=C\CNC(=O)c1ncccc1[O-])C1CC1 ZINC001285534581 910753286 /nfs/dbraw/zinc/75/32/86/910753286.db2.gz YPGIPERJJZNVGX-ARJAWSKDSA-N -1 1 317.389 1.626 20 0 DDADMM CCCC[C@@H](C)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001373842961 911169462 /nfs/dbraw/zinc/16/94/62/911169462.db2.gz GRHLSSGBRFOZDD-GFCCVEGCSA-N -1 1 323.441 1.855 20 0 DDADMM CCC[C@@](C)(CC)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001373967923 911485833 /nfs/dbraw/zinc/48/58/33/911485833.db2.gz CSILQGUUILXVEE-MLGOLLRUSA-N -1 1 323.441 1.807 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CCCC1 ZINC001375283510 915465536 /nfs/dbraw/zinc/46/55/36/915465536.db2.gz QPWQQIQHIWHFFQ-RYUDHWBXSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H](C)C(C)(C)C ZINC001397413472 915971147 /nfs/dbraw/zinc/97/11/47/915971147.db2.gz IMDJNWHEYRBSLR-MNOVXSKESA-N -1 1 307.394 1.704 20 0 DDADMM CC(C)[C@H](F)C(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001375691977 916720725 /nfs/dbraw/zinc/72/07/25/916720725.db2.gz AEZCAGYSKPBKEG-PWSUYJOCSA-N -1 1 311.357 1.263 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1cc[nH]c1)NC(=O)c1ncccc1[O-] ZINC001376004765 917459095 /nfs/dbraw/zinc/45/90/95/917459095.db2.gz SNSGATAJKIKSKF-GFCCVEGCSA-N -1 1 316.361 1.300 20 0 DDADMM C[C@@H]1CC[C@H](C[N@H+](CCNC(=O)c2ncccc2[O-])C2CC2)O1 ZINC001377528865 922234606 /nfs/dbraw/zinc/23/46/06/922234606.db2.gz YKNGCPDLOZYXPZ-TZMCWYRMSA-N -1 1 319.405 1.549 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C(C)(C)C(C)(F)F ZINC001377923728 923431642 /nfs/dbraw/zinc/43/16/42/923431642.db2.gz YBAOLHRAEWDIPJ-SFYZADRCSA-N -1 1 319.356 1.175 20 0 DDADMM Cc1noc([C@H](C)N(C)[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001378035717 923772080 /nfs/dbraw/zinc/77/20/80/923772080.db2.gz BFNVXHDLAUVZEQ-ZJUUUORDSA-N -1 1 319.365 1.290 20 0 DDADMM CCC[C@H]1CCC[C@@H]1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000622869777 365549204 /nfs/dbraw/zinc/54/92/04/365549204.db2.gz FYYJBWWSHWNBKP-QWRGUYRKSA-N -1 1 303.366 1.881 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CO[C@H]3CCCC[C@@H]3C)nc2n1 ZINC000622870868 365549962 /nfs/dbraw/zinc/54/99/62/365549962.db2.gz JSPMVQFOIVMHPC-ONGXEEELSA-N -1 1 319.365 1.260 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3[C@H](c4ccccc4)[C@@H]3C)nc2n1 ZINC000622870320 365550566 /nfs/dbraw/zinc/55/05/66/365550566.db2.gz XVVOQBLVISTMGT-LEWSCRJBSA-N -1 1 323.356 1.714 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@@H](C)[C@@H](C)C3)nc2n1 ZINC000622869990 365550772 /nfs/dbraw/zinc/55/07/72/365550772.db2.gz OFJZUUQYPYUEPP-WCABBAIRSA-N -1 1 303.366 1.737 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H](c3ccccc3)C3CC3)nc2n1 ZINC000622871323 365551751 /nfs/dbraw/zinc/55/17/51/365551751.db2.gz YFYHMOPCFBTVQI-CQSZACIVSA-N -1 1 323.356 1.858 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCSC3)nc2n1 ZINC000622997239 365589387 /nfs/dbraw/zinc/58/93/87/365589387.db2.gz QJZUOPJUWFGAIF-MRVPVSSYSA-N -1 1 307.379 1.062 20 0 DDADMM Cc1nc(-c2ccc(N[C@@H](C)C(=O)N(C)C)nc2)[n-]c(=O)c1C ZINC000302736486 230826086 /nfs/dbraw/zinc/82/60/86/230826086.db2.gz WWNJXIGYZDSUAL-NSHDSACASA-N -1 1 315.377 1.750 20 0 DDADMM COc1cc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)ccn1 ZINC000614473877 361874809 /nfs/dbraw/zinc/87/48/09/361874809.db2.gz NBNHDOQWOWOKEO-UHFFFAOYSA-N -1 1 318.333 1.128 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CCC1)c1ccc(Br)o1 ZINC000452054483 231283879 /nfs/dbraw/zinc/28/38/79/231283879.db2.gz RAWRGPFBFQKINI-QMMMGPOBSA-N -1 1 324.196 1.481 20 0 DDADMM CCc1cc(C(=O)N2C[C@@H](C(=O)[O-])[C@H](C(F)(F)F)C2)n[nH]1 ZINC000299744528 529515112 /nfs/dbraw/zinc/51/51/12/529515112.db2.gz PATGNKBJNTYZBL-HTQZYQBOSA-N -1 1 305.256 1.307 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)C2CCCC2)C1)c1cncc([O-])c1 ZINC000178094429 539231875 /nfs/dbraw/zinc/23/18/75/539231875.db2.gz VSBHLABRPJIPAV-ZDUSSCGKSA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NCCCF)o1 ZINC000153966446 290658479 /nfs/dbraw/zinc/65/84/79/290658479.db2.gz UXUBFZXSASWGPV-UHFFFAOYSA-N -1 1 306.359 1.446 20 0 DDADMM O=C([O-])[C@@H](Cc1ccccc1)NC(=O)c1[nH]nc2c1CCCC2 ZINC000237982867 539267978 /nfs/dbraw/zinc/26/79/78/539267978.db2.gz HVMQSCIERFHVLZ-CQSZACIVSA-N -1 1 313.357 1.714 20 0 DDADMM COCCN1C[C@H](c2nc(-c3ccc([O-])c(F)c3)no2)CC1=O ZINC000278212808 214027015 /nfs/dbraw/zinc/02/70/15/214027015.db2.gz QHOLYIAFZTXACE-SNVBAGLBSA-N -1 1 321.308 1.544 20 0 DDADMM Cn1cc([C@@H]2CSCCN2C(=O)c2cncc([O-])c2)cn1 ZINC000286603109 219077767 /nfs/dbraw/zinc/07/77/67/219077767.db2.gz XFFIYDAJXCUZAT-ZDUSSCGKSA-N -1 1 304.375 1.451 20 0 DDADMM CCCOc1ccc(C=CC(=O)Nc2nnn[n-]2)cc1OC ZINC000255507036 282261391 /nfs/dbraw/zinc/26/13/91/282261391.db2.gz MUAKBXMKNGFJHU-ALCCZGGFSA-N -1 1 303.322 1.649 20 0 DDADMM CCCOc1ccc(C=CC(=O)Nc2nn[n-]n2)cc1OC ZINC000255507036 282261395 /nfs/dbraw/zinc/26/13/95/282261395.db2.gz MUAKBXMKNGFJHU-ALCCZGGFSA-N -1 1 303.322 1.649 20 0 DDADMM CCc1nnc([C@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)[nH]1 ZINC000295600936 529746565 /nfs/dbraw/zinc/74/65/65/529746565.db2.gz UIZWNBTXCAWNPK-ZETCQYMHSA-N -1 1 317.378 1.190 20 0 DDADMM CCS(=O)(=O)c1cccc(C(=O)Nc2ccncc2[O-])c1 ZINC000155744749 290701279 /nfs/dbraw/zinc/70/12/79/290701279.db2.gz FEGMAWPEWXTGFN-UHFFFAOYSA-N -1 1 306.343 1.833 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@H]1c1cn[nH]c1 ZINC000615895967 362479313 /nfs/dbraw/zinc/47/93/13/362479313.db2.gz XUUAUTPRLGMLQB-LBPRGKRZSA-N -1 1 303.391 1.840 20 0 DDADMM O=C(NC1(CO)CCSCC1)c1csc(=NC2CC2)[n-]1 ZINC000458069224 232206672 /nfs/dbraw/zinc/20/66/72/232206672.db2.gz GIVGEMOVVVPWIZ-UHFFFAOYSA-N -1 1 313.448 1.127 20 0 DDADMM O=C(N[C@H](CO)[C@@H]1CCCOC1)c1ncc2ccccc2c1[O-] ZINC000616284043 362616347 /nfs/dbraw/zinc/61/63/47/362616347.db2.gz AWYJIFQBQIFZFC-TZMCWYRMSA-N -1 1 316.357 1.458 20 0 DDADMM CCN(Cc1ccccc1)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000161622109 290787404 /nfs/dbraw/zinc/78/74/04/290787404.db2.gz YLDVEHJJOUNVED-UHFFFAOYSA-N -1 1 322.386 1.850 20 0 DDADMM CC(=O)c1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccc1C ZINC000279641668 215025237 /nfs/dbraw/zinc/02/52/37/215025237.db2.gz COPRZCPVFVBREG-SNVBAGLBSA-N -1 1 301.350 1.586 20 0 DDADMM NS(=O)(=O)Nc1cccc(-c2nc3cccnc3c(=O)[n-]2)c1 ZINC000491758939 539545272 /nfs/dbraw/zinc/54/52/72/539545272.db2.gz FZEMMKZSIDNLHH-UHFFFAOYSA-N -1 1 317.330 1.013 20 0 DDADMM C[C@@H](Cc1ccncc1)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358396153 299142204 /nfs/dbraw/zinc/14/22/04/299142204.db2.gz ITTQTRLPYXRCRY-JTQLQIEISA-N -1 1 311.345 1.430 20 0 DDADMM Cc1c(C(=O)[O-])cc(Cl)cc1S(=O)(=O)NCCN(C)C ZINC000123643199 185828443 /nfs/dbraw/zinc/82/84/43/185828443.db2.gz RPRAPHPTIKHEBU-UHFFFAOYSA-N -1 1 320.798 1.187 20 0 DDADMM Cn1nc([C@@H]2CCCOC2)cc1NC(=O)[N-]O[C@@H]1CCCCO1 ZINC000279819773 215174195 /nfs/dbraw/zinc/17/41/95/215174195.db2.gz CDDIDIRCCUIUCG-BXUZGUMPSA-N -1 1 324.381 1.894 20 0 DDADMM CCC[C@H]1C[C@H](C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000279872968 215197058 /nfs/dbraw/zinc/19/70/58/215197058.db2.gz SZFBASRCXDJQNM-OMLJAZAOSA-N -1 1 302.378 1.876 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCSC2)c1 ZINC000279878521 215201874 /nfs/dbraw/zinc/20/18/74/215201874.db2.gz UHEMZWFZUBZVSI-MRVPVSSYSA-N -1 1 305.377 1.098 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCCn1cccn1 ZINC000080542443 192165597 /nfs/dbraw/zinc/16/55/97/192165597.db2.gz DGLYFFWATDJCDY-UHFFFAOYSA-N -1 1 317.393 1.392 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@](C)(C(N)=O)C1 ZINC000279920919 215238289 /nfs/dbraw/zinc/23/82/89/215238289.db2.gz DWTUJTVTSYDYAO-INIZCTEOSA-N -1 1 320.393 1.126 20 0 DDADMM CCO[C@H]1C[C@@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000189980482 186258323 /nfs/dbraw/zinc/25/83/23/186258323.db2.gz FYVBHOMXOOAMLC-XPUUQOCRSA-N -1 1 301.140 1.913 20 0 DDADMM CS(=O)(=O)CC1(CCNC(=O)c2c([O-])cccc2F)CC1 ZINC000617181207 362988822 /nfs/dbraw/zinc/98/88/22/362988822.db2.gz ZHBQUQJHJNGCOZ-UHFFFAOYSA-N -1 1 315.366 1.476 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)c1cccnc1 ZINC000172186622 198054291 /nfs/dbraw/zinc/05/42/91/198054291.db2.gz NHTMKBWMQPSAGW-UHFFFAOYSA-N -1 1 311.345 1.240 20 0 DDADMM CC(C)C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccc1[O-] ZINC000021006289 352171910 /nfs/dbraw/zinc/17/19/10/352171910.db2.gz XJUGQBXNBFVFKV-UHFFFAOYSA-N -1 1 312.391 1.771 20 0 DDADMM CSc1n[nH]c(NC(=O)CNC(=O)OCc2ccccc2)n1 ZINC000491074757 234080589 /nfs/dbraw/zinc/08/05/89/234080589.db2.gz CSAZNGJYKOXWPA-UHFFFAOYSA-N -1 1 321.362 1.392 20 0 DDADMM COc1ccc(Br)cc1C=CC(=O)Nc1nnn[n-]1 ZINC000072678392 191212853 /nfs/dbraw/zinc/21/28/53/191212853.db2.gz XVKKFMDWNASMCU-GORDUTHDSA-N -1 1 324.138 1.623 20 0 DDADMM COc1ccc(Br)cc1C=CC(=O)Nc1nn[n-]n1 ZINC000072678392 191212855 /nfs/dbraw/zinc/21/28/55/191212855.db2.gz XVKKFMDWNASMCU-GORDUTHDSA-N -1 1 324.138 1.623 20 0 DDADMM CO[C@H]1CN(C(=O)c2cnc3nc(C)ccc3c2[O-])C[C@@H]1OC ZINC000331912570 234267357 /nfs/dbraw/zinc/26/73/57/234267357.db2.gz CUGJNBNQNBELJB-STQMWFEESA-N -1 1 317.345 1.130 20 0 DDADMM CO[C@H]1CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C[C@@H]1OC ZINC000331912570 234267361 /nfs/dbraw/zinc/26/73/61/234267361.db2.gz CUGJNBNQNBELJB-STQMWFEESA-N -1 1 317.345 1.130 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-][C@@H](C(C)C)C(F)(F)F ZINC000345623869 282988173 /nfs/dbraw/zinc/98/81/73/282988173.db2.gz DJEUNWJJJGLUSX-JTQLQIEISA-N -1 1 313.345 1.902 20 0 DDADMM COC(=O)C1(NC(=O)c2ccc(C(F)(F)F)cc2[O-])CC1 ZINC000182080002 199383677 /nfs/dbraw/zinc/38/36/77/199383677.db2.gz IABCMQUITCQEHA-UHFFFAOYSA-N -1 1 303.236 1.846 20 0 DDADMM COC(=O)CCC(C)(C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000081064366 353650163 /nfs/dbraw/zinc/65/01/63/353650163.db2.gz JXDOAJQTKBKERK-UHFFFAOYSA-N -1 1 321.345 1.975 20 0 DDADMM Cc1nnc2n1CCC[C@H]2NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000080376113 353604679 /nfs/dbraw/zinc/60/46/79/353604679.db2.gz BWNQJMANCZNDQS-GFCCVEGCSA-N -1 1 324.344 1.088 20 0 DDADMM CCc1nc(S(=O)(=O)[C@@H](C)C(=O)N(C)c2ccccc2)n[n-]1 ZINC000086170383 353738907 /nfs/dbraw/zinc/73/89/07/353738907.db2.gz CWBKHRYTRUVXCN-JTQLQIEISA-N -1 1 322.390 1.192 20 0 DDADMM O=C(CN1CCC[C@H](c2ncc[nH]2)C1)[N-]OCc1ccccc1 ZINC000093193718 353888162 /nfs/dbraw/zinc/88/81/62/353888162.db2.gz CEFNXNKNVOOFSN-HNNXBMFYSA-N -1 1 314.389 1.837 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](CC(C)C)C2)o1 ZINC000073186366 191289182 /nfs/dbraw/zinc/28/91/82/191289182.db2.gz SEPBYCXJINEHHN-LLVKDONJSA-N -1 1 314.407 1.696 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)CC2CCCC2)n[n-]1 ZINC000617840292 363337880 /nfs/dbraw/zinc/33/78/80/363337880.db2.gz OXRNEGFKXIKQAX-JTQLQIEISA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)CC2CCCC2)n1 ZINC000617840292 363337884 /nfs/dbraw/zinc/33/78/84/363337884.db2.gz OXRNEGFKXIKQAX-JTQLQIEISA-N -1 1 308.382 1.814 20 0 DDADMM CC(C)(C)C(=O)N1CCC(NC(=O)c2cncc([O-])c2)CC1 ZINC000315646998 354495590 /nfs/dbraw/zinc/49/55/90/354495590.db2.gz HHHKWICSSLYVMH-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM CO[C@H]1CCN(Cc2ccn(CC(F)(F)F)n2)[C@H](C(=O)[O-])C1 ZINC000584793101 354771469 /nfs/dbraw/zinc/77/14/69/354771469.db2.gz HOVRCFQNOUXNMI-QWRGUYRKSA-N -1 1 321.299 1.509 20 0 DDADMM Cc1ccc(Br)c(C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000357277148 291043500 /nfs/dbraw/zinc/04/35/00/291043500.db2.gz YFLSJHIADQAPIQ-UHFFFAOYSA-N -1 1 324.182 1.936 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cccc(F)c1F)NC1CCCC1 ZINC000585796670 354848016 /nfs/dbraw/zinc/84/80/16/354848016.db2.gz UMPLLQFYLZCUIG-UHFFFAOYSA-N -1 1 318.345 1.302 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cc4ccccc4o3)nc2n1 ZINC000588006521 354892570 /nfs/dbraw/zinc/89/25/70/354892570.db2.gz MJAWPKRPJHPSGP-UHFFFAOYSA-N -1 1 309.285 1.725 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCCCF)c1ccccc1F ZINC000588119552 354903372 /nfs/dbraw/zinc/90/33/72/354903372.db2.gz OQZLSIZAFMXXPK-LBPRGKRZSA-N -1 1 321.345 1.709 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)Cc3cccs3)nc2n1 ZINC000588744526 354932675 /nfs/dbraw/zinc/93/26/75/354932675.db2.gz JVLIZKGXMUIVLG-UHFFFAOYSA-N -1 1 317.374 1.613 20 0 DDADMM Cn1ccc(C(=O)N=c2nc(-c3ccccc3Cl)[nH][n-]2)n1 ZINC000589687708 355012305 /nfs/dbraw/zinc/01/23/05/355012305.db2.gz MFTKEMZZGFZEAB-UHFFFAOYSA-N -1 1 302.725 1.533 20 0 DDADMM CSCC[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000590152596 355054029 /nfs/dbraw/zinc/05/40/29/355054029.db2.gz ZAXUSNYSZSIRQR-UHFFFAOYSA-N -1 1 303.331 1.080 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCSC2)o1 ZINC000358853752 291056456 /nfs/dbraw/zinc/05/64/56/291056456.db2.gz FNESDOFQJSICDG-MRVPVSSYSA-N -1 1 305.377 1.240 20 0 DDADMM CCO[C@@H]1C[C@@H](N=c2[n-]c(C(=O)OC)c(C)s2)[C@@H]1SC ZINC000591991026 355455421 /nfs/dbraw/zinc/45/54/21/355455421.db2.gz PQWNDOHHOIMPKO-KKZNHRDASA-N -1 1 316.448 1.981 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)C[C@H](OC)C(C)C ZINC000592011884 355463910 /nfs/dbraw/zinc/46/39/10/355463910.db2.gz GNTIMPKSDWXJMI-NEPJUHHUSA-N -1 1 309.428 1.165 20 0 DDADMM CC[C@H](C)C[C@H](CO)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000592081797 355488740 /nfs/dbraw/zinc/48/87/40/355488740.db2.gz CYEGVXGQMJYGDU-GXSJLCMTSA-N -1 1 319.379 1.142 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CC[C@@H](O)C1 ZINC000276961637 213204860 /nfs/dbraw/zinc/20/48/60/213204860.db2.gz ZGDMUJUFRQGERX-GHMZBOCLSA-N -1 1 307.394 1.679 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C(=O)OCC)no2)n1 ZINC000593901720 356048985 /nfs/dbraw/zinc/04/89/85/356048985.db2.gz RJSSIBAUJPQUEL-UHFFFAOYSA-N -1 1 322.277 1.003 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000618096431 363459508 /nfs/dbraw/zinc/45/95/08/363459508.db2.gz PSEPGLSCMCWCOL-HTQZYQBOSA-N -1 1 309.391 1.510 20 0 DDADMM COC(=O)[C@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000080299541 192022610 /nfs/dbraw/zinc/02/26/10/192022610.db2.gz KWVSNNLWNJVFKU-LURJTMIESA-N -1 1 302.124 1.446 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCc2ccoc2)o1 ZINC000594853587 356339059 /nfs/dbraw/zinc/33/90/59/356339059.db2.gz TVJBRTJBLANFCJ-UHFFFAOYSA-N -1 1 313.331 1.570 20 0 DDADMM O=C(CN1CCc2cc[nH]c(=O)c2C1)[N-]OCc1ccccc1 ZINC000276921398 213177695 /nfs/dbraw/zinc/17/76/95/213177695.db2.gz KVODCLYZHCHHRX-UHFFFAOYSA-N -1 1 313.357 1.393 20 0 DDADMM COC[C@@]1(C(=O)OC)CCCN1C(=O)c1cc(F)ccc1[O-] ZINC000597961962 357400644 /nfs/dbraw/zinc/40/06/44/357400644.db2.gz JYSIXUYEWBPNRO-OAHLLOKOSA-N -1 1 311.309 1.326 20 0 DDADMM CCOC(=O)C[C@H](NC(=O)c1cncc([O-])c1)C(F)(F)F ZINC000598055224 357447408 /nfs/dbraw/zinc/44/74/08/357447408.db2.gz UIOWONKGIOMUPC-VIFPVBQESA-N -1 1 306.240 1.401 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2Cc3ccccc32)c1 ZINC000347729369 283266934 /nfs/dbraw/zinc/26/69/34/283266934.db2.gz GEYZFCJZWZXNQU-GFCCVEGCSA-N -1 1 318.354 1.318 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(C3CCC3)cnn2C)n1 ZINC000598843644 357742572 /nfs/dbraw/zinc/74/25/72/357742572.db2.gz DDJLXRBJEWUGAX-UHFFFAOYSA-N -1 1 317.349 1.840 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)n1 ZINC000598935988 357763358 /nfs/dbraw/zinc/76/33/58/357763358.db2.gz IMFQLCYAUNCOPN-PSASIEDQSA-N -1 1 317.349 1.614 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-][C@H](CC1CC1)C(=O)OC)C1CC1 ZINC000599325179 357893908 /nfs/dbraw/zinc/89/39/08/357893908.db2.gz AVNGTDNKSRZWEW-CHWSQXEVSA-N -1 1 319.423 1.063 20 0 DDADMM O=C(COc1ccc(Cl)c2cccnc12)NCc1nn[n-]n1 ZINC000599334978 357898739 /nfs/dbraw/zinc/89/87/39/357898739.db2.gz WBKGHBXWPSSWMP-UHFFFAOYSA-N -1 1 318.724 1.097 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2[C@H](C)O[C@H](C)[C@H]2C)o1 ZINC000599460364 357946258 /nfs/dbraw/zinc/94/62/58/357946258.db2.gz XCWWCQZOGFXTKV-XBWDGYHZSA-N -1 1 317.363 1.156 20 0 DDADMM COCCOc1ccc(CNC(=O)c2cncc([O-])c2)cn1 ZINC000600954676 358355521 /nfs/dbraw/zinc/35/55/21/358355521.db2.gz MTLOMGUQHILNBT-UHFFFAOYSA-N -1 1 303.318 1.137 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601440590 358554653 /nfs/dbraw/zinc/55/46/53/358554653.db2.gz YCHZCNPOZGOTOH-SECBINFHSA-N -1 1 304.393 1.072 20 0 DDADMM CC(C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])=C1CCCC1 ZINC000181033289 199243080 /nfs/dbraw/zinc/24/30/80/199243080.db2.gz SVFLXUGMSPNZRF-UHFFFAOYSA-N -1 1 310.375 1.869 20 0 DDADMM COC(=O)c1oc(C[N-]S(=O)(=O)c2cscn2)cc1C ZINC000601512593 358582501 /nfs/dbraw/zinc/58/25/01/358582501.db2.gz XWVYHLCWWBVXBH-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM COC(=O)Cc1ccc(C[N-]S(=O)(=O)c2conc2C)cc1 ZINC000601471923 358568325 /nfs/dbraw/zinc/56/83/25/358568325.db2.gz KVUBAQHHPHWKES-UHFFFAOYSA-N -1 1 324.358 1.177 20 0 DDADMM Cc1ncncc1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000358920111 299281136 /nfs/dbraw/zinc/28/11/36/299281136.db2.gz PDQOLOGCKIYWHL-UHFFFAOYSA-N -1 1 324.337 1.548 20 0 DDADMM C[C@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)C[C@H](C)S1=O ZINC000601531573 358590647 /nfs/dbraw/zinc/59/06/47/358590647.db2.gz ZZRRHVGPBRVXGN-UWVGGRQHSA-N -1 1 315.822 1.956 20 0 DDADMM COC(=O)C12CCC(CC1)N2C(=O)c1csc(=NC2CC2)[n-]1 ZINC000601831420 358707372 /nfs/dbraw/zinc/70/73/72/358707372.db2.gz OZIJAFHPRQRQIP-UHFFFAOYSA-N -1 1 321.402 1.450 20 0 DDADMM CCOC(=O)CC1CCN(Cc2cc(C(=O)[O-])nn2C)CC1 ZINC000602064219 358797151 /nfs/dbraw/zinc/79/71/51/358797151.db2.gz HDKIFLYIEBSBNW-UHFFFAOYSA-N -1 1 309.366 1.284 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCC(C)=C(C)C2)n[n-]1 ZINC000603022004 359363332 /nfs/dbraw/zinc/36/33/32/359363332.db2.gz MEWLMOURJALXAZ-LLVKDONJSA-N -1 1 306.366 1.734 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCC(C)=C(C)C2)n1 ZINC000603022004 359363335 /nfs/dbraw/zinc/36/33/35/359363335.db2.gz MEWLMOURJALXAZ-LLVKDONJSA-N -1 1 306.366 1.734 20 0 DDADMM C[C@@H](CCNC(=O)N=c1[n-]sc2ccccc21)[S@@](C)=O ZINC000603050511 359376802 /nfs/dbraw/zinc/37/68/02/359376802.db2.gz IXBQNGVMJCIPLF-GWNMQOMSSA-N -1 1 311.432 1.997 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCc2cccs2)n[n-]1 ZINC000603163461 359448066 /nfs/dbraw/zinc/44/80/66/359448066.db2.gz IUFSVGDPOSSKPF-VIFPVBQESA-N -1 1 322.390 1.853 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCc2cccs2)[n-]1 ZINC000603163461 359448070 /nfs/dbraw/zinc/44/80/70/359448070.db2.gz IUFSVGDPOSSKPF-VIFPVBQESA-N -1 1 322.390 1.853 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCc2cccs2)n1 ZINC000603163461 359448073 /nfs/dbraw/zinc/44/80/73/359448073.db2.gz IUFSVGDPOSSKPF-VIFPVBQESA-N -1 1 322.390 1.853 20 0 DDADMM COC(=O)[C@]1([N-]S(=O)(=O)CC2(C)CCC2)CCSC1 ZINC000603305327 359555861 /nfs/dbraw/zinc/55/58/61/359555861.db2.gz NVEQLHIZVQYCPC-LBPRGKRZSA-N -1 1 307.437 1.145 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@@]1(C)CC1(F)F ZINC000603356952 359591531 /nfs/dbraw/zinc/59/15/31/359591531.db2.gz FFNORRUXAWZDNI-GXSJLCMTSA-N -1 1 311.350 1.293 20 0 DDADMM CCOC(=O)c1sc2ncnc(NCc3nn[n-]n3)c2c1C ZINC000609513752 360316046 /nfs/dbraw/zinc/31/60/46/360316046.db2.gz KLPGKSOGIVWTAY-UHFFFAOYSA-N -1 1 319.350 1.302 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2cn(CC)cn2)c1Br ZINC000611773849 360821792 /nfs/dbraw/zinc/82/17/92/360821792.db2.gz GJBLFSFIZJAPSQ-UHFFFAOYSA-N -1 1 312.171 1.625 20 0 DDADMM COC(=O)C1(NC(=O)C(=O)c2ccc([O-])cc2)CCCCCC1 ZINC000281308669 216229343 /nfs/dbraw/zinc/22/93/43/216229343.db2.gz ZJNGMCHPWAWESZ-UHFFFAOYSA-N -1 1 319.357 1.957 20 0 DDADMM CNC(=O)[C@H](C)CN(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000611904010 360852939 /nfs/dbraw/zinc/85/29/39/360852939.db2.gz KDCXJAYUNKMGDH-SNVBAGLBSA-N -1 1 301.346 1.395 20 0 DDADMM COCC1(O)CCN(C(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000613057357 361255141 /nfs/dbraw/zinc/25/51/41/361255141.db2.gz DOCPAXUOUNXGEM-UHFFFAOYSA-N -1 1 316.357 1.554 20 0 DDADMM COc1ccc([C@@H]2C[C@H]2C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000613474725 361433488 /nfs/dbraw/zinc/43/34/88/361433488.db2.gz JHQXDGGQDIQJOC-DDTOSNHZSA-N -1 1 315.377 1.574 20 0 DDADMM C[C@H](CN(C)C(=O)c1cn(C)nc1-c1ccco1)c1nn[n-]n1 ZINC000613474044 361433832 /nfs/dbraw/zinc/43/38/32/361433832.db2.gz FCMULKYGIHHQGW-SECBINFHSA-N -1 1 315.337 1.069 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc([C@H]3C[C@@H]3C)[nH]n2)o1 ZINC000194552370 201249664 /nfs/dbraw/zinc/24/96/64/201249664.db2.gz MXKRQPLBVVVUDS-YUMQZZPRSA-N -1 1 324.362 1.287 20 0 DDADMM Cn1cc(C[C@H]2CCC[C@H]2NC(=O)c2ncccc2[O-])cn1 ZINC000618932098 363809200 /nfs/dbraw/zinc/80/92/00/363809200.db2.gz OIJLAZOEXZNNTI-CHWSQXEVSA-N -1 1 300.362 1.662 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]C(C)(C)C(F)F)c(C)o1 ZINC000619503076 364041749 /nfs/dbraw/zinc/04/17/49/364041749.db2.gz JEVLVRFRALWYDP-UHFFFAOYSA-N -1 1 311.306 1.697 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](C)C1CCCCC1 ZINC000620368733 364390435 /nfs/dbraw/zinc/39/04/35/364390435.db2.gz QFCNAHDSCBMHMB-VIFPVBQESA-N -1 1 309.366 1.843 20 0 DDADMM COCCC1CN(C(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000622137587 365286125 /nfs/dbraw/zinc/28/61/25/365286125.db2.gz JDUVNPVOOJZXNE-UHFFFAOYSA-N -1 1 301.346 1.752 20 0 DDADMM COCCC1CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000622137587 365286131 /nfs/dbraw/zinc/28/61/31/365286131.db2.gz JDUVNPVOOJZXNE-UHFFFAOYSA-N -1 1 301.346 1.752 20 0 DDADMM Cn1cnc(CNC(=O)c2cc(Br)ccc2[O-])n1 ZINC000091865813 193120127 /nfs/dbraw/zinc/12/01/27/193120127.db2.gz QFGVARZFZAUQQV-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1COc2cc(F)ccc2C1)c1nn[n-]n1 ZINC000626443753 367548579 /nfs/dbraw/zinc/54/85/79/367548579.db2.gz SYWLVLWGXSKFBD-MWLCHTKSSA-N -1 1 319.340 1.152 20 0 DDADMM Cc1cccc(CC(=O)NCc2nn[n-]n2)c1Br ZINC000626465169 367561292 /nfs/dbraw/zinc/56/12/92/367561292.db2.gz UCBQWYHFVPSSHH-UHFFFAOYSA-N -1 1 310.155 1.130 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1CCCN1Cc1ccc(Cl)cc1 ZINC000626465309 367562030 /nfs/dbraw/zinc/56/20/30/367562030.db2.gz ZIWSQXVIWBJRLA-LBPRGKRZSA-N -1 1 320.784 1.134 20 0 DDADMM O=C([O-])[C@@H]1C=C[C@H](NS(=O)(=O)c2c(F)cc(F)cc2F)C1 ZINC000092181980 193171138 /nfs/dbraw/zinc/17/11/38/193171138.db2.gz LINBNEGENOJMON-SVRRBLITSA-N -1 1 321.276 1.412 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)[C@@H]1CCc2nnnn2CC1 ZINC000566333030 304145872 /nfs/dbraw/zinc/14/58/72/304145872.db2.gz YWPCIYSDUFRNAO-MRVPVSSYSA-N -1 1 307.741 1.623 20 0 DDADMM CCCCc1noc([C@@H](C)N2CC[C@](COC)(C(=O)[O-])C2)n1 ZINC000566360050 304149060 /nfs/dbraw/zinc/14/90/60/304149060.db2.gz GEGHUBUIMJCITM-ABAIWWIYSA-N -1 1 311.382 1.896 20 0 DDADMM O=C([O-])CNC(=O)CN1CC[C@@H](CSc2ccccc2)C1 ZINC000262296573 203253297 /nfs/dbraw/zinc/25/32/97/203253297.db2.gz ZRCOXVNXZUXUSU-GFCCVEGCSA-N -1 1 308.403 1.301 20 0 DDADMM COC(=O)c1cnc(S[C@H](C)C(=O)Nc2cc(C)no2)[n-]1 ZINC000274959454 212043432 /nfs/dbraw/zinc/04/34/32/212043432.db2.gz UVQWDLVHDMETFQ-SSDOTTSWSA-N -1 1 310.335 1.612 20 0 DDADMM COC(=O)c1c[n-]c(S[C@H](C)C(=O)Nc2cc(C)no2)n1 ZINC000274959454 212043434 /nfs/dbraw/zinc/04/34/34/212043434.db2.gz UVQWDLVHDMETFQ-SSDOTTSWSA-N -1 1 310.335 1.612 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N2CCN(C(C)C)CC2)s[n-]1 ZINC000350636596 284230348 /nfs/dbraw/zinc/23/03/48/284230348.db2.gz GSMVTMZPRFCMNB-SNVBAGLBSA-N -1 1 313.427 1.225 20 0 DDADMM CN(C)c1ccc([N-]S(=O)(=O)c2ccc3c(c2)OCO3)cn1 ZINC000351508214 284353084 /nfs/dbraw/zinc/35/30/84/284353084.db2.gz CANMQCPGZRQSLU-UHFFFAOYSA-N -1 1 321.358 1.677 20 0 DDADMM Cc1noc2ncc([N-]S(=O)(=O)C[C@H]3CCCCO3)cc12 ZINC000266405019 205321952 /nfs/dbraw/zinc/32/19/52/205321952.db2.gz FPLGVFSEMOKDCM-LLVKDONJSA-N -1 1 311.363 1.842 20 0 DDADMM C/C=C\C[C@H](CO)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000351594588 284400819 /nfs/dbraw/zinc/40/08/19/284400819.db2.gz HKXPPXGQJJDARC-YAJNLLPGSA-N -1 1 324.196 1.648 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CSc2ccccc21 ZINC000566765786 304179957 /nfs/dbraw/zinc/17/99/57/304179957.db2.gz JOXOTMFJKISTHB-SECBINFHSA-N -1 1 311.388 1.521 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CSc2ccccc21 ZINC000566765786 304179959 /nfs/dbraw/zinc/17/99/59/304179959.db2.gz JOXOTMFJKISTHB-SECBINFHSA-N -1 1 311.388 1.521 20 0 DDADMM O=S(=O)([N-][C@@H]1CC[C@H]1O)c1ccc(Cl)c(F)c1Cl ZINC000425208764 307302011 /nfs/dbraw/zinc/30/20/11/307302011.db2.gz PPXYGXCSYLKDQO-RNFRBKRXSA-N -1 1 314.165 1.934 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]1OC(F)F)c1nc2ccccc2c(=O)[n-]1 ZINC000337039408 249324584 /nfs/dbraw/zinc/32/45/84/249324584.db2.gz LLNWAOPEPQDIOT-GHMZBOCLSA-N -1 1 323.299 1.813 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1[C@H](c2ccccc2)[C@@H]1C ZINC000337126384 249357917 /nfs/dbraw/zinc/35/79/17/249357917.db2.gz FVZSYUIRSIFNPR-TVYUQYBPSA-N -1 1 312.373 1.488 20 0 DDADMM C[C@@H](O)C[N-]S(=O)(=O)c1sccc1Br ZINC000042524480 183280135 /nfs/dbraw/zinc/28/01/35/183280135.db2.gz XXAJFYSACXHQJQ-RXMQYKEDSA-N -1 1 300.199 1.170 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)c2cc(F)ccc2F)[C@H]1OC ZINC000274000332 211259183 /nfs/dbraw/zinc/25/91/83/211259183.db2.gz JSEMDBPVPCFTKQ-DMDPSCGWSA-N -1 1 321.345 1.436 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1sccc1F)C(=O)OC(C)(C)C ZINC000338891434 250184215 /nfs/dbraw/zinc/18/42/15/250184215.db2.gz HYXAJBPROLDXMP-ZETCQYMHSA-N -1 1 309.384 1.896 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)CCCCc2cn[nH]n2)s[n-]1 ZINC000338926478 250200599 /nfs/dbraw/zinc/20/05/99/250200599.db2.gz QZAXIHLDXJBMPC-MRVPVSSYSA-N -1 1 310.383 1.137 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338939209 250207137 /nfs/dbraw/zinc/20/71/37/250207137.db2.gz PZPRVZGOOSZDRK-APPZFPTMSA-N -1 1 306.384 1.080 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2nc(C)c(C)s2)n1 ZINC000285017698 218258249 /nfs/dbraw/zinc/25/82/49/218258249.db2.gz DSSWBWLRGRKECX-UHFFFAOYSA-N -1 1 315.376 1.244 20 0 DDADMM C[C@@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)C1CCOCC1 ZINC000339194476 250337981 /nfs/dbraw/zinc/33/79/81/250337981.db2.gz DPKIXXHDSLQZOG-SNVBAGLBSA-N -1 1 309.366 1.544 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000285118910 218297570 /nfs/dbraw/zinc/29/75/70/218297570.db2.gz VIVCBMBXRYAQGR-BNOWGMLFSA-N -1 1 309.337 1.533 20 0 DDADMM CC(C)[C@](C)([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(N)=O ZINC000285197662 218329820 /nfs/dbraw/zinc/32/98/20/218329820.db2.gz CVRZFGVAQXNGBU-LBPRGKRZSA-N -1 1 324.324 1.282 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)CCCC2CCCC2)n1 ZINC000285240361 218347110 /nfs/dbraw/zinc/34/71/10/218347110.db2.gz YQMQUVYWPMOULG-UHFFFAOYSA-N -1 1 300.380 1.940 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1ccc(F)cc1 ZINC000352136080 284813604 /nfs/dbraw/zinc/81/36/04/284813604.db2.gz UJUDHXCEKKECTE-UHFFFAOYSA-N -1 1 307.281 1.008 20 0 DDADMM COC[C@@H]1CCN1c1ccc(=NCc2ccccc2F)[n-]n1 ZINC000567597060 304228619 /nfs/dbraw/zinc/22/86/19/304228619.db2.gz FWBVEVWZMXCYCQ-ZDUSSCGKSA-N -1 1 302.353 1.875 20 0 DDADMM C[C@H](CSc1ccc(F)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000269091562 207234590 /nfs/dbraw/zinc/23/45/90/207234590.db2.gz LKNYQISERZELRY-MRVPVSSYSA-N -1 1 311.338 1.137 20 0 DDADMM COc1ccnc(C[N-]S(=O)(=O)c2nc(C)c(C)s2)n1 ZINC000567720906 304236999 /nfs/dbraw/zinc/23/69/99/304236999.db2.gz NNZFEELRFRVZBU-UHFFFAOYSA-N -1 1 314.392 1.037 20 0 DDADMM Cc1ccsc1CNC(=O)CN1C[C@@H](C(=O)[O-])CC[C@H]1C ZINC000567857609 304248568 /nfs/dbraw/zinc/24/85/68/304248568.db2.gz JNHKSVHBIHSVNJ-NEPJUHHUSA-N -1 1 310.419 1.858 20 0 DDADMM CCC1(CC)CCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000270161005 208220324 /nfs/dbraw/zinc/22/03/24/208220324.db2.gz JLYXWMSVCGWFRS-UHFFFAOYSA-N -1 1 314.407 1.840 20 0 DDADMM Cn1nc(C2CC2)nc1CS(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000567881357 304251199 /nfs/dbraw/zinc/25/11/99/304251199.db2.gz WWTSPUZSJXTZHH-UHFFFAOYSA-N -1 1 324.410 1.082 20 0 DDADMM Cn1nc(C2CC2)nc1CS(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000567881357 304251201 /nfs/dbraw/zinc/25/12/01/304251201.db2.gz WWTSPUZSJXTZHH-UHFFFAOYSA-N -1 1 324.410 1.082 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(N)=O ZINC000352512992 285104111 /nfs/dbraw/zinc/10/41/11/285104111.db2.gz TWZVQBLNLNCQJA-SECBINFHSA-N -1 1 308.762 1.411 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](C(F)(F)F)[C@@H](CO)C1 ZINC000286464824 219003557 /nfs/dbraw/zinc/00/35/57/219003557.db2.gz YCRYDKBFXJGGPE-BDAKNGLRSA-N -1 1 304.268 1.420 20 0 DDADMM Cc1nc(C)c(CCN=c2ccc(C(=O)N(C)C)n[n-]2)s1 ZINC000413142140 224142888 /nfs/dbraw/zinc/14/28/88/224142888.db2.gz USGYQQBQCKBDJS-UHFFFAOYSA-N -1 1 305.407 1.328 20 0 DDADMM O=C([O-])C[C@@H]1CN(CCN2CCCc3ccccc32)CCO1 ZINC000568059198 304265514 /nfs/dbraw/zinc/26/55/14/304265514.db2.gz ZJAQHMMPYMTDLK-OAHLLOKOSA-N -1 1 304.390 1.615 20 0 DDADMM CC(C)(C)OC(=O)NCC1(C(=O)[N-]OCCCO)CCCC1 ZINC000496136194 307327900 /nfs/dbraw/zinc/32/79/00/307327900.db2.gz FZYRBIPAJARBOV-UHFFFAOYSA-N -1 1 316.398 1.502 20 0 DDADMM COc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc1OC ZINC000491987498 533245933 /nfs/dbraw/zinc/24/59/33/533245933.db2.gz AJXWKNUQOHUZEJ-XQRVVYSFSA-N -1 1 315.333 1.036 20 0 DDADMM CS(=O)(=O)CC1(CNC(=O)c2ccc([O-])cc2F)CCC1 ZINC000412879792 533328889 /nfs/dbraw/zinc/32/88/89/533328889.db2.gz DWNWPBPXNDJDGK-UHFFFAOYSA-N -1 1 315.366 1.476 20 0 DDADMM O=C(CNc1ccccc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000569218933 304342245 /nfs/dbraw/zinc/34/22/45/304342245.db2.gz IFVHWQWAIKHBLK-UHFFFAOYSA-N -1 1 302.334 1.593 20 0 DDADMM Cc1cccc(S(=O)(=O)CCCN2CC[C@H](C(=O)[O-])C2)c1 ZINC000569251084 304344045 /nfs/dbraw/zinc/34/40/45/304344045.db2.gz IBIMYJBCMYCITD-ZDUSSCGKSA-N -1 1 311.403 1.565 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCCC23CC3)o1 ZINC000629833761 422829708 /nfs/dbraw/zinc/82/97/08/422829708.db2.gz APHDMBOISJHAQM-SNVBAGLBSA-N -1 1 312.391 1.498 20 0 DDADMM CO[C@H](CC[N-]S(=O)(=O)c1cscn1)C(F)(F)F ZINC000570566700 304415322 /nfs/dbraw/zinc/41/53/22/304415322.db2.gz FXJLUVHJGAAHCA-ZCFIWIBFSA-N -1 1 304.315 1.389 20 0 DDADMM CCn1cc(NC(=O)CCc2c(C)nc(SC)[n-]c2=O)cn1 ZINC000027921089 406913865 /nfs/dbraw/zinc/91/38/65/406913865.db2.gz BOMVQDQJZSGHQT-UHFFFAOYSA-N -1 1 321.406 1.588 20 0 DDADMM O=C(NC[C@@H]1CCC[C@H](O)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000075418669 406931518 /nfs/dbraw/zinc/93/15/18/406931518.db2.gz BDPQUWVVSRTJRB-MNOVXSKESA-N -1 1 301.346 1.204 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)Cc1ccccc1F ZINC000078496377 407030633 /nfs/dbraw/zinc/03/06/33/407030633.db2.gz PNRCNMUDUUONRO-CYBMUJFWSA-N -1 1 317.382 1.833 20 0 DDADMM COc1cc(C(=O)NCCc2n[n-]c(=S)n2C)ccc1C ZINC000078502360 407031331 /nfs/dbraw/zinc/03/13/31/407031331.db2.gz YMCDJBLXADJBKY-UHFFFAOYSA-N -1 1 306.391 1.767 20 0 DDADMM COc1cccc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)c1 ZINC000077687703 407001990 /nfs/dbraw/zinc/00/19/90/407001990.db2.gz IPEBWULHXREJAD-UHFFFAOYSA-N -1 1 306.343 1.595 20 0 DDADMM O=C([O-])[C@@H]1CCCC[C@@H]1NS(=O)(=O)c1c(F)cccc1F ZINC000085327726 407099137 /nfs/dbraw/zinc/09/91/37/407099137.db2.gz WCRSPPPBJZJYKJ-KCJUWKMLSA-N -1 1 319.329 1.887 20 0 DDADMM Cn1nccc1CNC(=O)c1ccc(Br)cc1[O-] ZINC000049447929 407121271 /nfs/dbraw/zinc/12/12/71/407121271.db2.gz FIMMSDDHCBCGLP-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM CN(C)Cc1nc(C(C)(C)NC(=O)c2cc(F)ccc2[O-])no1 ZINC000094087043 407203434 /nfs/dbraw/zinc/20/34/34/407203434.db2.gz DZZKMFJRSDFWEN-UHFFFAOYSA-N -1 1 322.340 1.641 20 0 DDADMM CC(=O)Nc1cccc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)c1 ZINC000102071714 407319930 /nfs/dbraw/zinc/31/99/30/407319930.db2.gz HYRHJOOSXRJKQZ-UHFFFAOYSA-N -1 1 320.374 1.614 20 0 DDADMM O=C(NCCc1n[n-]c(=S)n1C1CC1)c1ccccc1O ZINC000067119276 407267354 /nfs/dbraw/zinc/26/73/54/407267354.db2.gz MMKSXFSCXVWXMJ-UHFFFAOYSA-N -1 1 304.375 1.954 20 0 DDADMM CN(CC(F)F)C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000103397313 407338239 /nfs/dbraw/zinc/33/82/39/407338239.db2.gz SJOAVBTVQFUEND-UHFFFAOYSA-N -1 1 324.349 1.694 20 0 DDADMM Cc1c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cnn1CCC(C)C ZINC000124349184 407355636 /nfs/dbraw/zinc/35/56/36/407355636.db2.gz BWNGGCSVHNSKSZ-NSHDSACASA-N -1 1 319.413 1.626 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C2CCC(C)CC2)o1 ZINC000107698055 407378934 /nfs/dbraw/zinc/37/89/34/407378934.db2.gz DTCQPHIPCRLEAY-UHFFFAOYSA-N -1 1 301.364 1.923 20 0 DDADMM O=C([O-])c1cccc(CCNC(=O)c2ccc3[nH]nnc3c2)c1 ZINC000107928919 407381213 /nfs/dbraw/zinc/38/12/13/407381213.db2.gz HZTACSYHGSGLCY-UHFFFAOYSA-N -1 1 310.313 1.629 20 0 DDADMM O=C(NCCCS(=O)(=O)c1ccccc1)c1cncc([O-])c1 ZINC000111583416 407412027 /nfs/dbraw/zinc/41/20/27/407412027.db2.gz MGYIZOFHGYKLEB-UHFFFAOYSA-N -1 1 320.370 1.381 20 0 DDADMM O=C(CN1CCCS1(=O)=O)Nc1ccc([O-])c(Cl)c1 ZINC000111666504 407414570 /nfs/dbraw/zinc/41/45/70/407414570.db2.gz LLXFLTHFGUZTEZ-UHFFFAOYSA-N -1 1 304.755 1.020 20 0 DDADMM O=S(=O)([N-]CC1(CCO)CCC1)c1cc(F)ccc1F ZINC000127363980 407434965 /nfs/dbraw/zinc/43/49/65/407434965.db2.gz KGKYCUCNJPWIDQ-UHFFFAOYSA-N -1 1 305.346 1.796 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCC1(C)COC1 ZINC000112694042 407436001 /nfs/dbraw/zinc/43/60/01/407436001.db2.gz NTTVMBFLQZFBCW-UHFFFAOYSA-N -1 1 318.377 1.137 20 0 DDADMM CC(C)(C)C(=O)NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000112727162 407437463 /nfs/dbraw/zinc/43/74/63/407437463.db2.gz BQUFQMMMWCSBQL-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cnn2c1CCCC2 ZINC000127962529 407485340 /nfs/dbraw/zinc/48/53/40/407485340.db2.gz RZUAZWYKLHMXRS-UHFFFAOYSA-N -1 1 300.244 1.609 20 0 DDADMM O=S(=O)([N-][C@@H]1C=C[C@H](CO)C1)c1ccc(Cl)nc1Cl ZINC000178835834 407618361 /nfs/dbraw/zinc/61/83/61/407618361.db2.gz QXGVYAPNISHVBP-JGVFFNPUSA-N -1 1 323.201 1.604 20 0 DDADMM C[C@@H](c1nccs1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000186455643 407670496 /nfs/dbraw/zinc/67/04/96/407670496.db2.gz MWMDAAPTOGBLBN-NSHDSACASA-N -1 1 318.402 1.763 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]CC(=O)OC(C)(C)C ZINC000115588258 407694829 /nfs/dbraw/zinc/69/48/29/407694829.db2.gz WHGGLAHKBXSUQR-UHFFFAOYSA-N -1 1 323.802 1.002 20 0 DDADMM CCCCC(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000130514115 407697041 /nfs/dbraw/zinc/69/70/41/407697041.db2.gz ICJMNQKXLKRLCI-UHFFFAOYSA-N -1 1 312.391 1.962 20 0 DDADMM COc1ccc(-c2noc(CN3CC[C@@H](C(=O)[O-])C3)n2)cc1 ZINC000262005647 407707534 /nfs/dbraw/zinc/70/75/34/407707534.db2.gz VTXYVZNFHKHSEH-LLVKDONJSA-N -1 1 303.318 1.652 20 0 DDADMM COc1ccc(NC(=O)N(C)C2CC2)cc1[N-]S(C)(=O)=O ZINC000267023916 407720167 /nfs/dbraw/zinc/72/01/67/407720167.db2.gz CIIOEWIPMWVZAI-UHFFFAOYSA-N -1 1 313.379 1.693 20 0 DDADMM O=C([O-])[C@@]12CCC[C@H]1CN(Cc1nnnn1-c1ccccc1)C2 ZINC000262243292 407772427 /nfs/dbraw/zinc/77/24/27/407772427.db2.gz YFAUVSHNTXYQAS-BLLLJJGKSA-N -1 1 313.361 1.349 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1cnn(Cc2ccccn2)c1 ZINC000172368006 407821517 /nfs/dbraw/zinc/82/15/17/407821517.db2.gz WHBAHYCHIPHTIA-CQSZACIVSA-N -1 1 322.390 1.247 20 0 DDADMM O=C(NCCc1csc(N2CCCC2)n1)c1cncc([O-])c1 ZINC000117984763 407875674 /nfs/dbraw/zinc/87/56/74/407875674.db2.gz GJSCCZLWIRWCMH-UHFFFAOYSA-N -1 1 318.402 1.816 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(C[S@@](C)=O)c1)c1nn[n-]n1 ZINC000268215955 407952625 /nfs/dbraw/zinc/95/26/25/407952625.db2.gz PKCNUHZRJMKBFY-VERVWZFWSA-N -1 1 321.406 1.349 20 0 DDADMM COc1cc(C(=O)NCC[C@H](C)[S@@](C)=O)cc(Cl)c1[O-] ZINC000154008700 407952966 /nfs/dbraw/zinc/95/29/66/407952966.db2.gz IWJGJXGOYJLYIF-FHZGZLOMSA-N -1 1 319.810 1.941 20 0 DDADMM CC[C@@H]1CCCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000119264002 408026823 /nfs/dbraw/zinc/02/68/23/408026823.db2.gz IDUIGSIITZJYIR-SNVBAGLBSA-N -1 1 300.380 1.450 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc(N(C)C)nc2)n[nH]1 ZINC000181755865 408033800 /nfs/dbraw/zinc/03/38/00/408033800.db2.gz PTJQKSAEYCNGSB-UHFFFAOYSA-N -1 1 303.322 1.300 20 0 DDADMM CCO[C@H](CCNC(=O)c1c[n-]c2c(cnn2C)c1=O)C(C)C ZINC000119307102 408037026 /nfs/dbraw/zinc/03/70/26/408037026.db2.gz GHRWASJILDHFFX-CYBMUJFWSA-N -1 1 320.393 1.855 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(CCc2ccccc2C)[nH]n1 ZINC000182571882 306705488 /nfs/dbraw/zinc/70/54/88/306705488.db2.gz FMMOFZWQTAOSFZ-UHFFFAOYSA-N -1 1 323.418 1.891 20 0 DDADMM Cc1[nH]nc2ncc(NC(=O)c3cnc4n(C)[n-]cc-4c3=O)cc12 ZINC000119179820 408009881 /nfs/dbraw/zinc/00/98/81/408009881.db2.gz ZYGUCQIABQROPI-UHFFFAOYSA-N -1 1 323.316 1.506 20 0 DDADMM CSc1nc(C2CC2)cc(C(=O)Nc2nn[n-]n2)c1C#N ZINC000135475181 408019250 /nfs/dbraw/zinc/01/92/50/408019250.db2.gz SCYNYXDVAQJYRN-UHFFFAOYSA-N -1 1 301.335 1.318 20 0 DDADMM CN(CC1CCOCC1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119465088 408077586 /nfs/dbraw/zinc/07/75/86/408077586.db2.gz SQXWRTFVTMWAAX-UHFFFAOYSA-N -1 1 304.350 1.173 20 0 DDADMM C[C@@H]1CN=C(N2CCN(C(=O)c3cc(F)ccc3[O-])CC2)S1 ZINC000175253564 408087847 /nfs/dbraw/zinc/08/78/47/408087847.db2.gz REJDRGARRHWZLM-SNVBAGLBSA-N -1 1 323.393 1.780 20 0 DDADMM CS(=O)(=O)Cc1ccccc1CNC(=O)c1ncccc1[O-] ZINC000175437422 408129648 /nfs/dbraw/zinc/12/96/48/408129648.db2.gz FNHJXKVOUJUXFC-UHFFFAOYSA-N -1 1 320.370 1.262 20 0 DDADMM C[C@H](Cc1cccc(F)c1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155485143 408170477 /nfs/dbraw/zinc/17/04/77/408170477.db2.gz TUTSJEYMWZIABK-YPMHNXCESA-N -1 1 317.368 1.924 20 0 DDADMM NC(=O)[C@H]1CC[C@@H](CNC(=O)c2ccc3ccccc3c2[O-])O1 ZINC000150895065 408221731 /nfs/dbraw/zinc/22/17/31/408221731.db2.gz RBZGYYHXQKHRDE-SMDDNHRTSA-N -1 1 314.341 1.308 20 0 DDADMM CC(C)N(C)C(=O)[C@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000274207240 408325022 /nfs/dbraw/zinc/32/50/22/408325022.db2.gz OGGNHXQAPOMWLB-SNVBAGLBSA-N -1 1 309.391 1.169 20 0 DDADMM CC(=O)NCCC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131091917 162004176 /nfs/dbraw/zinc/00/41/76/162004176.db2.gz NQTGTTUZGWCFRC-UHFFFAOYSA-N -1 1 308.762 1.284 20 0 DDADMM C[C@@H](Cn1cncn1)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131621218 162019671 /nfs/dbraw/zinc/01/96/71/162019671.db2.gz AYRJYGISONMVGJ-QMMMGPOBSA-N -1 1 318.761 1.438 20 0 DDADMM CN(CC(=O)Nc1n[n-]c(C(F)(F)F)n1)C(=O)OC(C)(C)C ZINC000264053893 408353683 /nfs/dbraw/zinc/35/36/83/408353683.db2.gz NALLPEDRSCURHQ-UHFFFAOYSA-N -1 1 323.275 1.629 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2ccc(Cl)cc2F)no1 ZINC000173400285 162337142 /nfs/dbraw/zinc/33/71/42/162337142.db2.gz NZOSEGYYQZOVIP-UHFFFAOYSA-N -1 1 305.718 1.649 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)Oc1cccc(F)c1)c1nn[n-]n1 ZINC000183450426 408416620 /nfs/dbraw/zinc/41/66/20/408416620.db2.gz JKBYMBIKQXGSEC-UWVGGRQHSA-N -1 1 307.329 1.368 20 0 DDADMM C[C@H](O)C[C@H](C)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000269769363 408421353 /nfs/dbraw/zinc/42/13/53/408421353.db2.gz MAMTZFUDRVFFCF-YUMQZZPRSA-N -1 1 311.325 1.789 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2ccc(C)cc2C)n1 ZINC000176719632 408422454 /nfs/dbraw/zinc/42/24/54/408422454.db2.gz SLAHVRCSLKAEIP-UHFFFAOYSA-N -1 1 322.390 1.716 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(-n2ccnc2)c1)c1nn[n-]n1 ZINC000176781726 408443835 /nfs/dbraw/zinc/44/38/35/408443835.db2.gz DQXKKPVJYLHSAG-ZDUSSCGKSA-N -1 1 311.349 1.657 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Cl)c(F)c1Cl)C(N)=O ZINC000191862848 408500023 /nfs/dbraw/zinc/50/00/23/408500023.db2.gz MNTQWWZCRYMREX-SCSAIBSYSA-N -1 1 315.153 1.285 20 0 DDADMM C[C@H](CN(C)C(=O)CCSCc1ccccn1)c1nn[n-]n1 ZINC000274786156 408531783 /nfs/dbraw/zinc/53/17/83/408531783.db2.gz OWYCAEUUVRZRSW-LLVKDONJSA-N -1 1 320.422 1.480 20 0 DDADMM Cc1nc(C)c(S(=O)(=O)[N-]CC(F)(F)C(F)F)s1 ZINC000192133634 408548226 /nfs/dbraw/zinc/54/82/26/408548226.db2.gz BVCGRIRPSUIWRG-UHFFFAOYSA-N -1 1 306.306 1.939 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)C[C@@H]2CCCO2)ccc1Cl ZINC000265450003 408658127 /nfs/dbraw/zinc/65/81/27/408658127.db2.gz ZMZDUESOZZNFGE-VIFPVBQESA-N -1 1 318.782 1.360 20 0 DDADMM Cc1c(=O)[n-]c(S[C@@H]2CCNC2=O)nc1-c1ccccc1 ZINC000184881179 408709579 /nfs/dbraw/zinc/70/95/79/408709579.db2.gz RWNYVPGVBSSGCH-LLVKDONJSA-N -1 1 301.371 1.726 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(N2CCCCC2=O)CC1 ZINC000177827323 408723433 /nfs/dbraw/zinc/72/34/33/408723433.db2.gz QPELGSJFMGLLRU-UHFFFAOYSA-N -1 1 303.362 1.404 20 0 DDADMM Cn1cncc1[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCO1 ZINC000276164106 408823681 /nfs/dbraw/zinc/82/36/81/408823681.db2.gz GEAPFYCMCOYWNS-GWCFXTLKSA-N -1 1 302.334 1.171 20 0 DDADMM CC[C@@H](O)CN1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000280926763 408859876 /nfs/dbraw/zinc/85/98/76/408859876.db2.gz JYMHARFNMGUSCZ-SNVBAGLBSA-N -1 1 314.332 1.199 20 0 DDADMM Cc1cc(N2CCN(C(=O)c3cc(F)ccc3[O-])CC2)n[nH]1 ZINC000189737144 163113819 /nfs/dbraw/zinc/11/38/19/163113819.db2.gz SPSIAPVUZDGWNS-UHFFFAOYSA-N -1 1 304.325 1.525 20 0 DDADMM O=C(c1cc(F)cc2nn[nH]c21)N1CCO[C@]2(CCCOC2)C1 ZINC000286247220 408948184 /nfs/dbraw/zinc/94/81/84/408948184.db2.gz GTYFZZRKFIVCQE-OAHLLOKOSA-N -1 1 320.324 1.119 20 0 DDADMM CCC[C@H](NC(=O)c1cc(-n2cccc2)ccn1)c1nn[n-]n1 ZINC000291941780 408949058 /nfs/dbraw/zinc/94/90/58/408949058.db2.gz NLFAIFNFWGRWAC-LBPRGKRZSA-N -1 1 311.349 1.657 20 0 DDADMM COC(=O)[C@@]1(C(F)(F)F)CCN(C(=O)c2cncc([O-])c2)C1 ZINC000286233481 408946604 /nfs/dbraw/zinc/94/66/04/408946604.db2.gz HSAZZMJQKNGFBF-GFCCVEGCSA-N -1 1 318.251 1.355 20 0 DDADMM COCCS(=O)(=O)Nc1cccc(C(=O)[O-])c1OC(C)C ZINC000281992258 408967746 /nfs/dbraw/zinc/96/77/46/408967746.db2.gz MXAUVUKOKQQBNG-UHFFFAOYSA-N -1 1 317.363 1.560 20 0 DDADMM COCC[C@H](NC(=O)c1ccc(Cl)cc1[O-])C(=O)OC ZINC000292196123 408998807 /nfs/dbraw/zinc/99/88/07/408998807.db2.gz OIDBCRJHGKIYDZ-JTQLQIEISA-N -1 1 301.726 1.354 20 0 DDADMM CC(C)(NS(C)(=O)=O)c1noc(-c2ccc([O-])cc2F)n1 ZINC000277796735 409014083 /nfs/dbraw/zinc/01/40/83/409014083.db2.gz GFBLZCDVGLVJNS-UHFFFAOYSA-N -1 1 315.326 1.366 20 0 DDADMM CN(Cc1nc(-c2ccc([O-])cc2Cl)no1)S(C)(=O)=O ZINC000277891679 409030973 /nfs/dbraw/zinc/03/09/73/409030973.db2.gz DAMLYTUULKDNTQ-UHFFFAOYSA-N -1 1 317.754 1.487 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(OC(C)(C)C)cn1)c1nn[n-]n1 ZINC000293445563 409115140 /nfs/dbraw/zinc/11/51/40/409115140.db2.gz IUSGWSVQELZDOT-JTQLQIEISA-N -1 1 318.381 1.648 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1cc(F)c(F)cc1F)C1CC1 ZINC000293843086 409192185 /nfs/dbraw/zinc/19/21/85/409192185.db2.gz QRSWKPVUHYPXOV-LLVKDONJSA-N -1 1 309.309 1.807 20 0 DDADMM O=C1CN(Cc2cc(=O)oc3cc([O-])ccc23)CN1CC1CC1 ZINC000279062091 409141429 /nfs/dbraw/zinc/14/14/29/409141429.db2.gz LSEKQHFIPDRIRM-UHFFFAOYSA-N -1 1 314.341 1.510 20 0 DDADMM O=C(NCc1cccc(N2CCOCC2)c1)c1cncc([O-])c1 ZINC000293688242 409160984 /nfs/dbraw/zinc/16/09/84/409160984.db2.gz BXSMOYVFKXKLGN-UHFFFAOYSA-N -1 1 313.357 1.554 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c(O)c1 ZINC000283782684 409216307 /nfs/dbraw/zinc/21/63/07/409216307.db2.gz VALPOXFNVSHCMW-SNVBAGLBSA-N -1 1 302.334 1.132 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCCC2(C)C)o1 ZINC000294030417 409229251 /nfs/dbraw/zinc/22/92/51/409229251.db2.gz CZMBDNCKDCRSMD-SNVBAGLBSA-N -1 1 314.407 1.744 20 0 DDADMM C[C@@H]1OCC[C@@]12CN(C(=O)c1ccc([O-])cc1F)C[C@@H](C)O2 ZINC000279408323 409208320 /nfs/dbraw/zinc/20/83/20/409208320.db2.gz SFTHUQOLKRNGQK-OHUAYANFSA-N -1 1 309.337 1.940 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc2sc(N3CCCC3)nc2s1 ZINC000280321704 409292016 /nfs/dbraw/zinc/29/20/16/409292016.db2.gz ZECHVKQRLNLJJD-UHFFFAOYSA-N -1 1 321.391 1.723 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(F)c(C(=O)OC)c1F ZINC000290492412 409421953 /nfs/dbraw/zinc/42/19/53/409421953.db2.gz JJGYHZXQKKPCOK-UHFFFAOYSA-N -1 1 309.290 1.140 20 0 DDADMM CN(C(=O)c1ccc(Br)cc1[O-])C(C)(C)C(N)=O ZINC000296141104 409442852 /nfs/dbraw/zinc/44/28/52/409442852.db2.gz PPKQWPXODGPAFY-UHFFFAOYSA-N -1 1 315.167 1.491 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)c1Br ZINC000631590111 422842859 /nfs/dbraw/zinc/84/28/59/422842859.db2.gz HANNCVAPIPSJBF-BWZBUEFSSA-N -1 1 316.199 1.909 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCCS(=O)(=O)CC1 ZINC000317967306 164030013 /nfs/dbraw/zinc/03/00/13/164030013.db2.gz ZRZQPDNINYGTPE-UHFFFAOYSA-N -1 1 319.382 1.806 20 0 DDADMM COc1ccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1Cl ZINC000352424904 164119332 /nfs/dbraw/zinc/11/93/32/164119332.db2.gz VIUOONRJBUVAEZ-UHFFFAOYSA-N -1 1 319.708 1.332 20 0 DDADMM CCOc1cc(C(=O)N2CCOC[C@@H](O)C2)cc(Cl)c1[O-] ZINC000296230268 409471390 /nfs/dbraw/zinc/47/13/90/409471390.db2.gz OCMMJLKYVLKMQO-JTQLQIEISA-N -1 1 315.753 1.278 20 0 DDADMM Cc1nc(C)c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)nc1C ZINC000408199586 164258285 /nfs/dbraw/zinc/25/82/85/164258285.db2.gz ULXOELFTGLNLMZ-LLVKDONJSA-N -1 1 317.349 1.510 20 0 DDADMM CC(=O)NC[C@@H]1CCCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000629860217 422844289 /nfs/dbraw/zinc/84/42/89/422844289.db2.gz HHVKLCOCQPBQSE-LBPRGKRZSA-N -1 1 322.434 1.270 20 0 DDADMM Cc1onc(C2CC2)c1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000408466681 164340358 /nfs/dbraw/zinc/34/03/58/164340358.db2.gz JORPICOXJOPDBR-JTQLQIEISA-N -1 1 318.333 1.969 20 0 DDADMM CO[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000342093871 409556074 /nfs/dbraw/zinc/55/60/74/409556074.db2.gz GIBCQVVSGTXTLO-BQBZGAKWSA-N -1 1 312.185 1.744 20 0 DDADMM CC[C@H](C)[C@@H](C)N(C(=O)CNC(=O)c1ncccc1[O-])C1CC1 ZINC000337920315 409569581 /nfs/dbraw/zinc/56/95/81/409569581.db2.gz YIWRUJRVFWWYLX-NWDGAFQWSA-N -1 1 319.405 1.943 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2ncc(C)cc2[O-])[C@@H]1C(C)C ZINC000345101903 409627491 /nfs/dbraw/zinc/62/74/91/409627491.db2.gz NROLVMPOVFUGJS-SMDDNHRTSA-N -1 1 306.362 1.755 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CCCC1 ZINC000296533990 409603402 /nfs/dbraw/zinc/60/34/02/409603402.db2.gz ASPCNHHDEGTYDN-UHFFFAOYSA-N -1 1 307.803 1.311 20 0 DDADMM CSc1n[nH]c(NC(=O)CNC(=O)c2ccc(C)c(C)c2)n1 ZINC000354121815 409669935 /nfs/dbraw/zinc/66/99/35/409669935.db2.gz ZRWBDEYKZPTVFB-UHFFFAOYSA-N -1 1 319.390 1.512 20 0 DDADMM CC[C@H](C)N(CC(=O)OC)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000296959411 409729017 /nfs/dbraw/zinc/72/90/17/409729017.db2.gz PNHROJDKDVLIJI-VIFPVBQESA-N -1 1 322.390 1.432 20 0 DDADMM COC(=O)NCCNC(=O)c1ccc(Br)c([O-])c1 ZINC000308172680 409780714 /nfs/dbraw/zinc/78/07/14/409780714.db2.gz VUYFQTKOCRKKSZ-UHFFFAOYSA-N -1 1 317.139 1.241 20 0 DDADMM CSc1ccc(Cl)c(C(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000357071931 409840939 /nfs/dbraw/zinc/84/09/39/409840939.db2.gz GAPLPBHJWWGIHO-UHFFFAOYSA-N -1 1 309.782 1.994 20 0 DDADMM COC(=O)[C@H]1C[C@H](C2CCCCC2)CN1Cc1nc(=O)n(C)[n-]1 ZINC000332099270 409880746 /nfs/dbraw/zinc/88/07/46/409880746.db2.gz CROYENZXGKUZLA-QWHCGFSZSA-N -1 1 322.409 1.052 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NCc1ccn(C)c1)c2=O ZINC000357308594 409967787 /nfs/dbraw/zinc/96/77/87/409967787.db2.gz SVJHXUGBEDOJBE-UHFFFAOYSA-N -1 1 311.341 1.805 20 0 DDADMM C[C@H](Oc1cccnc1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332167687 409943962 /nfs/dbraw/zinc/94/39/62/409943962.db2.gz KXTZXHKJAKHGSZ-WDEREUQCSA-N -1 1 318.333 1.344 20 0 DDADMM CCN1C[C@@H](c2noc(-c3ccc(OC)cc3[O-])n2)CC1=O ZINC000351446556 410084260 /nfs/dbraw/zinc/08/42/60/410084260.db2.gz UXVDEVRKZWHVHG-VIFPVBQESA-N -1 1 303.318 1.787 20 0 DDADMM COC1(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCCCC1 ZINC000332426619 410149637 /nfs/dbraw/zinc/14/96/37/410149637.db2.gz CELKVFCMNBKMBZ-UHFFFAOYSA-N -1 1 309.366 1.831 20 0 DDADMM CC[C@@H](C)NC(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000346728447 410118289 /nfs/dbraw/zinc/11/82/89/410118289.db2.gz YYJLMOFQFGGMDT-SECBINFHSA-N -1 1 315.395 1.987 20 0 DDADMM CCOC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccc(CC)cc1 ZINC000298118240 410120413 /nfs/dbraw/zinc/12/04/13/410120413.db2.gz QYWTYAGTKHOXRB-UHFFFAOYSA-N -1 1 324.358 1.917 20 0 DDADMM Cc1ccc(C)c(N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000332417041 410141454 /nfs/dbraw/zinc/14/14/54/410141454.db2.gz NUGJEBNAVBMOIN-UHFFFAOYSA-N -1 1 301.394 1.047 20 0 DDADMM COc1ccc(OC)c([C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC000329285746 410213066 /nfs/dbraw/zinc/21/30/66/410213066.db2.gz OKQNFHGFTVJVIO-CYBMUJFWSA-N -1 1 318.377 1.463 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccccc1I ZINC000343178569 410215850 /nfs/dbraw/zinc/21/58/50/410215850.db2.gz FALGOAWPSRUSMB-UHFFFAOYSA-N -1 1 315.074 1.057 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccccc1I ZINC000343178569 410215855 /nfs/dbraw/zinc/21/58/55/410215855.db2.gz FALGOAWPSRUSMB-UHFFFAOYSA-N -1 1 315.074 1.057 20 0 DDADMM Cc1ccc([C@H](C)[C@@H](O)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000358030913 410344862 /nfs/dbraw/zinc/34/48/62/410344862.db2.gz YLUUGHCPFUADPK-MBNYWOFBSA-N -1 1 317.393 1.235 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CCOCC2)c1ccc(F)c(F)c1F ZINC000333118885 410366651 /nfs/dbraw/zinc/36/66/51/410366651.db2.gz UOINYCNZSRXTQW-SNVBAGLBSA-N -1 1 321.320 1.951 20 0 DDADMM COc1ccc(NC(=O)NCC2CC2)cc1[N-]S(C)(=O)=O ZINC000298735070 410371408 /nfs/dbraw/zinc/37/14/08/410371408.db2.gz NOFGPPIAELVEQR-UHFFFAOYSA-N -1 1 313.379 1.598 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CC[C@H](C(N)=O)O2)sc1C ZINC000333163126 410397459 /nfs/dbraw/zinc/39/74/59/410397459.db2.gz OAMKBQORQIWMKU-DTWKUNHWSA-N -1 1 319.408 1.121 20 0 DDADMM COCc1ncsc1C(=O)[N-]c1n[nH]c(-c2ccccn2)n1 ZINC000339815673 410480486 /nfs/dbraw/zinc/48/04/86/410480486.db2.gz ZDENRMIZPMIBSJ-UHFFFAOYSA-N -1 1 316.346 1.722 20 0 DDADMM O=c1cc(/C=C\c2ccn(-c3ccccc3)n2)nc2nc[n-]n21 ZINC000352034595 410431621 /nfs/dbraw/zinc/43/16/21/410431621.db2.gz DZLAPUGUXQUYKV-SREVYHEPSA-N -1 1 304.313 1.774 20 0 DDADMM CCc1c(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)ccn1C ZINC000298894031 410440985 /nfs/dbraw/zinc/44/09/85/410440985.db2.gz JQXXJFUAINFUOX-SNVBAGLBSA-N -1 1 303.366 1.019 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c(O)c1C ZINC000299039276 410493498 /nfs/dbraw/zinc/49/34/98/410493498.db2.gz SCAOPQBXXAZWBU-NSHDSACASA-N -1 1 316.361 1.440 20 0 DDADMM CCc1ocnc1C(=O)[N-]c1nnc(CCCC(=O)OC)s1 ZINC000352193253 410537048 /nfs/dbraw/zinc/53/70/48/410537048.db2.gz ZFJIMAMKMFZRGC-UHFFFAOYSA-N -1 1 324.362 1.837 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2cc3[nH]ccc3s2)n[n-]1 ZINC000359370196 410626305 /nfs/dbraw/zinc/62/63/05/410626305.db2.gz MKGHLLUARLRYOE-UHFFFAOYSA-N -1 1 311.348 1.003 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cc3[nH]ccc3s2)n1 ZINC000359370196 410626310 /nfs/dbraw/zinc/62/63/10/410626310.db2.gz MKGHLLUARLRYOE-UHFFFAOYSA-N -1 1 311.348 1.003 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@H]1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631628479 422859645 /nfs/dbraw/zinc/85/96/45/422859645.db2.gz IHYRLRGFMPJUPR-ZYHUDNBSSA-N -1 1 310.781 1.748 20 0 DDADMM Cc1cnc([C@H](C)NC(=O)CNC(=O)c2ncccc2[O-])s1 ZINC000343809173 410715280 /nfs/dbraw/zinc/71/52/80/410715280.db2.gz WJBZGPXXYOYTIV-VIFPVBQESA-N -1 1 320.374 1.159 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1cc(C)no1)[C@H](O)C(F)(F)F ZINC000330543010 410761967 /nfs/dbraw/zinc/76/19/67/410761967.db2.gz IWWFTOGFMBAJJZ-BDAKNGLRSA-N -1 1 316.301 1.104 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccc(F)cc2)c1 ZINC000359683811 410816178 /nfs/dbraw/zinc/81/61/78/410816178.db2.gz QXRBRKJPECHUHV-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@H]1CC[C@@H]1C ZINC000343983456 410865036 /nfs/dbraw/zinc/86/50/36/410865036.db2.gz LFAMAJOCNRVAAH-HZMBPMFUSA-N -1 1 312.373 1.598 20 0 DDADMM COc1ccc2nc(C(=O)NC(C)(C)c3nn[n-]n3)ccc2c1 ZINC000359796562 410886221 /nfs/dbraw/zinc/88/62/21/410886221.db2.gz XBKSAFZRNHBCHW-UHFFFAOYSA-N -1 1 312.333 1.422 20 0 DDADMM COc1cc(C(=O)N2CCC[C@](F)(CO)C2)cc(Cl)c1[O-] ZINC000330683030 410876705 /nfs/dbraw/zinc/87/67/05/410876705.db2.gz QUYBKIYSDSANOU-CQSZACIVSA-N -1 1 317.744 1.991 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2CCCCC2)c1 ZINC000359695866 410822244 /nfs/dbraw/zinc/82/22/44/410822244.db2.gz WXKHDMYSHCYLJJ-UHFFFAOYSA-N -1 1 312.391 1.819 20 0 DDADMM CC(C)(NC(=O)c1ccccc1Br)c1nn[n-]n1 ZINC000359800782 410888863 /nfs/dbraw/zinc/88/88/63/410888863.db2.gz QBUODGHFMRFOFY-UHFFFAOYSA-N -1 1 310.155 1.627 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@H]1c1ccc(F)cc1F ZINC000348298502 410906524 /nfs/dbraw/zinc/90/65/24/410906524.db2.gz QVFCLLWEFUFTJS-VHSXEESVSA-N -1 1 305.288 1.387 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NCc1c[nH]nc1C)c2=O ZINC000353334508 410926357 /nfs/dbraw/zinc/92/63/57/410926357.db2.gz HMZAQPFXWVRCGC-UHFFFAOYSA-N -1 1 312.329 1.498 20 0 DDADMM COc1ccccc1-c1nc(=NC(=O)c2cc(C)nc(C)n2)[n-][nH]1 ZINC000348580896 411026657 /nfs/dbraw/zinc/02/66/57/411026657.db2.gz ZCWLAZMXVYRNJC-UHFFFAOYSA-N -1 1 324.344 1.561 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@H]2Cc2ccccc2Cl)nc1=O ZINC000353504239 411036845 /nfs/dbraw/zinc/03/68/45/411036845.db2.gz UGIPKOBPFQMKSU-GFCCVEGCSA-N -1 1 306.797 1.969 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CC[C@H](C)C2)c1 ZINC000360347003 411130172 /nfs/dbraw/zinc/13/01/72/411130172.db2.gz RBZYXSIQXRNHIL-UWVGGRQHSA-N -1 1 301.364 1.781 20 0 DDADMM CO[C@@](C)(C[N-]S(=O)(=O)c1nc(C)c(C)s1)C1CC1 ZINC000360245949 411112047 /nfs/dbraw/zinc/11/20/47/411112047.db2.gz RBEZTQZVURACDC-LBPRGKRZSA-N -1 1 304.437 1.853 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC[C@@H]1CCc2ccccc2N1 ZINC000638388705 422878484 /nfs/dbraw/zinc/87/84/84/422878484.db2.gz HLRHZUOPUCBBRK-LBPRGKRZSA-N -1 1 300.366 1.066 20 0 DDADMM COc1cc(C(=O)N2CC[N@@H+](C)C(C)(C)C2)cc(OC)c1O ZINC000580093384 422887594 /nfs/dbraw/zinc/88/75/94/422887594.db2.gz FCJRYAZNTHBVBO-UHFFFAOYSA-N -1 1 308.378 1.576 20 0 DDADMM C[C@H](CO[C@@H]1CCOC1)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631712226 422889392 /nfs/dbraw/zinc/88/93/92/422889392.db2.gz IQMKYZOAWXSBIO-ZYHUDNBSSA-N -1 1 313.781 1.898 20 0 DDADMM CCOC1CCN(S(=O)(=O)c2cc(OC)ccc2[O-])CC1 ZINC000631783390 422920549 /nfs/dbraw/zinc/92/05/49/422920549.db2.gz RNNYNXGJLBBIGT-UHFFFAOYSA-N -1 1 315.391 1.590 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C(=O)NC(C)(C)C ZINC000631796384 422925312 /nfs/dbraw/zinc/92/53/12/422925312.db2.gz VRNFTYXLWVZTDA-SSDOTTSWSA-N -1 1 324.349 1.799 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2cccc(O)c2F)CCOCC1 ZINC000650192366 423080811 /nfs/dbraw/zinc/08/08/11/423080811.db2.gz BVWWDGSIZOMBNW-UHFFFAOYSA-N -1 1 319.354 1.469 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@@]3(O)CCOC3)[nH][n-]2)c1 ZINC000416251438 224852869 /nfs/dbraw/zinc/85/28/69/224852869.db2.gz JOELDPDQRVATDZ-OAHLLOKOSA-N -1 1 305.309 1.036 20 0 DDADMM O=S(=O)([N-][C@@H](CO)[C@@H]1CCCOC1)c1cc(F)ccc1F ZINC000416613721 225004520 /nfs/dbraw/zinc/00/45/20/225004520.db2.gz RKMZIJAXPWHUMW-SKDRFNHKSA-N -1 1 321.345 1.031 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCO1 ZINC000645637506 423119184 /nfs/dbraw/zinc/11/91/84/423119184.db2.gz SSVHDHNMLVHLRF-BDAKNGLRSA-N -1 1 324.324 1.946 20 0 DDADMM O=S(=O)([N-]CC1(CO)CCC1)c1ncccc1C(F)(F)F ZINC000645648459 423125522 /nfs/dbraw/zinc/12/55/22/423125522.db2.gz CFQCXVDVLIPZQE-UHFFFAOYSA-N -1 1 324.324 1.541 20 0 DDADMM CCOC[C@H](C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645661215 423130863 /nfs/dbraw/zinc/13/08/63/423130863.db2.gz VHTKDLORVGVTDC-QMMMGPOBSA-N -1 1 312.313 1.804 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H](C)NC(=O)C1CCCC1)c1nn[n-]n1 ZINC000176762425 221834665 /nfs/dbraw/zinc/83/46/65/221834665.db2.gz OCFHSYUJEMWBOQ-PWSUYJOCSA-N -1 1 322.413 1.242 20 0 DDADMM O=C(Cc1cc(F)ccc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366638755 418499153 /nfs/dbraw/zinc/49/91/53/418499153.db2.gz JQUYMHBYVXWTSF-VIFPVBQESA-N -1 1 307.304 1.427 20 0 DDADMM CC[C@H](OC1CCCC1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366712619 418507453 /nfs/dbraw/zinc/50/74/53/418507453.db2.gz YVXDYGKDJYSONP-AAEUAGOBSA-N -1 1 307.398 1.644 20 0 DDADMM CC[C@H](OC1CCCC1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366712622 418507938 /nfs/dbraw/zinc/50/79/38/418507938.db2.gz YVXDYGKDJYSONP-YPMHNXCESA-N -1 1 307.398 1.644 20 0 DDADMM CC1(C)CCC[C@@H]1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000360862690 418536249 /nfs/dbraw/zinc/53/62/49/418536249.db2.gz ZOXJVXHFXQJQAN-LLVKDONJSA-N -1 1 305.378 1.460 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCCc2nn(C)cc21)c1nn[n-]n1 ZINC000366972459 418546358 /nfs/dbraw/zinc/54/63/58/418546358.db2.gz FRJNUIBWQWEREW-SKDRFNHKSA-N -1 1 303.370 1.011 20 0 DDADMM COCc1nc(=NC[C@@H]2CCC3(CCOCC3)[C@@H]2O)s[n-]1 ZINC000374635818 418550298 /nfs/dbraw/zinc/55/02/98/418550298.db2.gz GQLCWAQELPVPBW-CMPLNLGQSA-N -1 1 313.423 1.086 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2cccc(C(=O)NC)c2)n1 ZINC000188101066 222006294 /nfs/dbraw/zinc/00/62/94/222006294.db2.gz MHOPGROHFDYLJP-UHFFFAOYSA-N -1 1 303.387 1.984 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CC2CCC2)C(C)C)o1 ZINC000192782448 222136523 /nfs/dbraw/zinc/13/65/23/222136523.db2.gz QASUBDMFBMVXRU-UHFFFAOYSA-N -1 1 314.407 1.838 20 0 DDADMM O=S(=O)(Cc1ccon1)c1nc(Cc2cccs2)n[n-]1 ZINC000195160437 222193008 /nfs/dbraw/zinc/19/30/08/222193008.db2.gz LQTFYOHZGGUCDH-UHFFFAOYSA-N -1 1 310.360 1.419 20 0 DDADMM O=S(=O)(Cc1ccon1)c1n[n-]c(Cc2cccs2)n1 ZINC000195160437 222193013 /nfs/dbraw/zinc/19/30/13/222193013.db2.gz LQTFYOHZGGUCDH-UHFFFAOYSA-N -1 1 310.360 1.419 20 0 DDADMM O=C([O-])[C@H]1CN(C[C@@H](O)CC(F)(F)F)Cc2ccccc21 ZINC000307990626 418589985 /nfs/dbraw/zinc/58/99/85/418589985.db2.gz WMUPLLNENNVKHU-JQWIXIFHSA-N -1 1 303.280 1.984 20 0 DDADMM CC(C)OCc1nc([C@H](C)NC(=O)c2cncc([O-])c2)no1 ZINC000291379294 222352203 /nfs/dbraw/zinc/35/22/03/222352203.db2.gz WRJTXLFBTBMGHH-VIFPVBQESA-N -1 1 306.322 1.586 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N[C@H]2CCc3nc[nH]c3C2)s1 ZINC000368071689 418684250 /nfs/dbraw/zinc/68/42/50/418684250.db2.gz NPBMTSNPNKKHAI-QMMMGPOBSA-N -1 1 306.395 1.315 20 0 DDADMM O=C([O-])c1cccc(CNC(=O)N2CCN(C3CCC3)CC2)c1 ZINC000378700235 418725832 /nfs/dbraw/zinc/72/58/32/418725832.db2.gz FMDNHKDFCVQLCO-UHFFFAOYSA-N -1 1 317.389 1.765 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2[C@@H]1O)c1ccc([O-])cc1F ZINC000365613449 418882685 /nfs/dbraw/zinc/88/26/85/418882685.db2.gz PTLRFFCPWHZHSM-HIFRSBDPSA-N -1 1 303.289 1.756 20 0 DDADMM CCCN1C[C@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)CC1=O ZINC000411293336 418889671 /nfs/dbraw/zinc/88/96/71/418889671.db2.gz QKERTKZAEBGQDC-ZCFIWIBFSA-N -1 1 305.260 1.021 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H](CC)CC(F)F ZINC000410666145 418837186 /nfs/dbraw/zinc/83/71/86/418837186.db2.gz YDMGWCDZVMTRND-MRVPVSSYSA-N -1 1 303.309 1.639 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1CCC[C@@H](OC)C1 ZINC000410664880 418837231 /nfs/dbraw/zinc/83/72/31/418837231.db2.gz TVDXEXLHLSWFFS-WDEREUQCSA-N -1 1 309.366 1.162 20 0 DDADMM Cc1ccc(OCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1C ZINC000372156861 418840590 /nfs/dbraw/zinc/84/05/90/418840590.db2.gz QEMWUNGVPWSULS-CYBMUJFWSA-N -1 1 315.377 1.602 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1COc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000372835537 418907207 /nfs/dbraw/zinc/90/72/07/418907207.db2.gz HWVZSQYGAOTAFC-KCJUWKMLSA-N -1 1 321.768 1.591 20 0 DDADMM COc1cc(O)cc([N-]S(=O)(=O)CCOCC2CC2)c1 ZINC000424061730 228223959 /nfs/dbraw/zinc/22/39/59/228223959.db2.gz JNZUAQOFVFDCDQ-UHFFFAOYSA-N -1 1 301.364 1.569 20 0 DDADMM C[C@H]1CCCN(Cc2nc3ccccc3c(=O)n2C)[C@H]1C(=O)[O-] ZINC000424264186 228252826 /nfs/dbraw/zinc/25/28/26/228252826.db2.gz GRYNQKQDWBMGCJ-XHDPSFHLSA-N -1 1 315.373 1.619 20 0 DDADMM COc1cc(NC(=O)[C@@]2(C)C[C@H]2F)ccc1[N-]S(C)(=O)=O ZINC000424583335 228292477 /nfs/dbraw/zinc/29/24/77/228292477.db2.gz MJIUSFOPCZEUEZ-YPMHNXCESA-N -1 1 316.354 1.753 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CCC[C@H](C2CC2)C1 ZINC000425149650 228373149 /nfs/dbraw/zinc/37/31/49/228373149.db2.gz RMSLYUNLQRBFOQ-QWHCGFSZSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)c2ncn(C)n2)c(F)c1 ZINC000425175565 228380547 /nfs/dbraw/zinc/38/05/47/228380547.db2.gz KJPMFMXXZJAMCV-QMMMGPOBSA-N -1 1 316.333 1.441 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](CO)C(C)(C)C)c(F)c1 ZINC000425175188 228380695 /nfs/dbraw/zinc/38/06/95/228380695.db2.gz HJWUROKXEMZYGU-NSHDSACASA-N -1 1 307.362 1.959 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CC[C@H](C(N)=O)C2)c(F)c1 ZINC000425192567 228387285 /nfs/dbraw/zinc/38/72/85/228387285.db2.gz ZUAISJHPLPUHHY-DTWKUNHWSA-N -1 1 318.345 1.206 20 0 DDADMM O=C(NC[C@H](CO)c1ccccc1)c1csc(=NC2CC2)[n-]1 ZINC000426634549 419520876 /nfs/dbraw/zinc/52/08/76/419520876.db2.gz NQDGKTHNQGOHCM-GFCCVEGCSA-N -1 1 317.414 1.645 20 0 DDADMM CCO[C@@H](C)c1noc(CN(C)C(=O)c2ncccc2[O-])n1 ZINC000427224792 419623480 /nfs/dbraw/zinc/62/34/80/419623480.db2.gz SYQDIUNDLYBJNF-VIFPVBQESA-N -1 1 306.322 1.540 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cccc(F)c1C ZINC000421512799 419625538 /nfs/dbraw/zinc/62/55/38/419625538.db2.gz PJKDRHRZLUHOHD-UHFFFAOYSA-N -1 1 305.309 1.719 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C(N)=O)c2ccc(F)cc2)c([O-])c1 ZINC000427828530 419743792 /nfs/dbraw/zinc/74/37/92/419743792.db2.gz UVWQILMNYAYRNZ-GFCCVEGCSA-N -1 1 303.293 1.191 20 0 DDADMM CC(=O)N[C@H]1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000421947001 419784603 /nfs/dbraw/zinc/78/46/03/419784603.db2.gz JAYYGEFYFYJPIA-JTQLQIEISA-N -1 1 316.279 1.762 20 0 DDADMM Cc1ccnc(-c2n[nH]c([C@H](C)NC(=O)c3ncccc3[O-])n2)c1 ZINC000428363705 419855653 /nfs/dbraw/zinc/85/56/53/419855653.db2.gz NAYXNSOYAZRCFS-JTQLQIEISA-N -1 1 324.344 1.767 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](CO)CC(F)F)c(F)cc1F ZINC000428413082 419862741 /nfs/dbraw/zinc/86/27/41/419862741.db2.gz NUCGBSSNTDAQIU-SSDOTTSWSA-N -1 1 315.288 1.568 20 0 DDADMM O=c1nc(NCCc2cccc(C(F)(F)F)n2)nc2[nH][n-]cc1-2 ZINC000432179899 229085360 /nfs/dbraw/zinc/08/53/60/229085360.db2.gz SQROWPYZQZKFRQ-UHFFFAOYSA-N -1 1 324.266 1.500 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H](C(C)(C)C)C1 ZINC000416228131 420288098 /nfs/dbraw/zinc/28/80/98/420288098.db2.gz QWDZFTOVMBVZLN-SECBINFHSA-N -1 1 309.366 1.795 20 0 DDADMM Nc1ncncc1CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436749621 420362871 /nfs/dbraw/zinc/36/28/71/420362871.db2.gz BJLGGPRKYZSORG-UHFFFAOYSA-N -1 1 312.251 1.544 20 0 DDADMM CCNC(=O)c1ccc(NC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000436513223 420333896 /nfs/dbraw/zinc/33/38/96/420333896.db2.gz MCIROUFVBIXBNM-UHFFFAOYSA-N -1 1 312.325 1.963 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(Cc2ncc[nH]2)CC1 ZINC000436545963 420340153 /nfs/dbraw/zinc/34/01/53/420340153.db2.gz CZRPDRWNQFBALH-UHFFFAOYSA-N -1 1 304.325 1.212 20 0 DDADMM O=C(Cc1ccc(O)c(F)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425300421 420344567 /nfs/dbraw/zinc/34/45/67/420344567.db2.gz LIJWRPLUYQWGEX-SNVBAGLBSA-N -1 1 321.308 1.569 20 0 DDADMM CSc1c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cnn1C ZINC000425317336 420347601 /nfs/dbraw/zinc/34/76/01/420347601.db2.gz FNMUGLVHDJLESU-UHFFFAOYSA-N -1 1 323.378 1.250 20 0 DDADMM O=C(NCc1nc2cc(F)ccc2[nH]1)C(=O)c1ccc([O-])cc1 ZINC000436702016 420357586 /nfs/dbraw/zinc/35/75/86/420357586.db2.gz GKCASUMHICBVJI-UHFFFAOYSA-N -1 1 313.288 1.907 20 0 DDADMM CC(C)(C)CC[C@@H](Nc1cc(-n2cccn2)nc(N)n1)C(=O)[O-] ZINC000416444795 420374078 /nfs/dbraw/zinc/37/40/78/420374078.db2.gz ZPHZFAARDFACBL-SNVBAGLBSA-N -1 1 318.381 1.766 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@H](C(=O)OC(C)C)C(C)C)OC ZINC000420760067 420382043 /nfs/dbraw/zinc/38/20/43/420382043.db2.gz WZUSSXPZAZRXJB-RYUDHWBXSA-N -1 1 309.428 1.307 20 0 DDADMM O=C(CCNC(=O)c1c([O-])cccc1F)Nc1cccnc1 ZINC000436964209 420386543 /nfs/dbraw/zinc/38/65/43/420386543.db2.gz PYESBECUURFCHB-UHFFFAOYSA-N -1 1 303.293 1.685 20 0 DDADMM COC(=O)[C@H]1CC12CCN(C(=O)C(=O)c1ccc([O-])cc1)CC2 ZINC000437005053 420392452 /nfs/dbraw/zinc/39/24/52/420392452.db2.gz VCBVUBQHHMCWFA-CYBMUJFWSA-N -1 1 317.341 1.377 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)c1sccc1F ZINC000416575590 420422194 /nfs/dbraw/zinc/42/21/94/420422194.db2.gz BFPBVXLQKCLQSO-SECBINFHSA-N -1 1 307.368 1.507 20 0 DDADMM COCC[C@]1(NC(=O)c2csc(=NC3CC3)[n-]2)CCOC1 ZINC000446579361 230279922 /nfs/dbraw/zinc/27/99/22/230279922.db2.gz CMWINWMJPLFBDH-AWEZNQCLSA-N -1 1 311.407 1.065 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CC1 ZINC000438643299 420462607 /nfs/dbraw/zinc/46/26/07/420462607.db2.gz DRMNYUQIWHIASZ-KYZUINATSA-N -1 1 300.384 1.363 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CC1 ZINC000438643299 420462609 /nfs/dbraw/zinc/46/26/09/420462609.db2.gz DRMNYUQIWHIASZ-KYZUINATSA-N -1 1 300.384 1.363 20 0 DDADMM C[C@@H](O)CN(CC(F)F)C(=O)c1csc(=NC2CC2)[nH]1 ZINC000456589719 420509558 /nfs/dbraw/zinc/50/95/58/420509558.db2.gz OBGCWOCRSUBJPR-SSDOTTSWSA-N -1 1 305.350 1.227 20 0 DDADMM C[C@@H](O)CN(CC(F)F)C(=O)c1csc(=NC2CC2)[n-]1 ZINC000456589719 420509561 /nfs/dbraw/zinc/50/95/61/420509561.db2.gz OBGCWOCRSUBJPR-SSDOTTSWSA-N -1 1 305.350 1.227 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2CO[C@@H](C)C2)c1Br ZINC000439515115 420520664 /nfs/dbraw/zinc/52/06/64/420520664.db2.gz VAMBIWVXEYRMPY-BQBZGAKWSA-N -1 1 302.172 1.520 20 0 DDADMM C[C@]1(C(=O)[N-]OCCO)CCN(C(=O)OCc2ccccc2)C1 ZINC000492486283 420570958 /nfs/dbraw/zinc/57/09/58/420570958.db2.gz YFKFQOJJDVBGSS-INIZCTEOSA-N -1 1 322.361 1.075 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cc(C)cc(C)c2OC)n1 ZINC000451027487 420582422 /nfs/dbraw/zinc/58/24/22/420582422.db2.gz MVNHSAQCRUTTAN-UHFFFAOYSA-N -1 1 310.379 1.793 20 0 DDADMM Cn1ccc(/C=C/C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1 ZINC000493319710 420817980 /nfs/dbraw/zinc/81/79/80/420817980.db2.gz LLNPGNGZAIYYEP-GORDUTHDSA-N -1 1 321.358 1.030 20 0 DDADMM COc1ccc(/C=C\C(=O)N=c2ccnc3n(C)[n-]cc2-3)nc1 ZINC000493433174 420848274 /nfs/dbraw/zinc/84/82/74/420848274.db2.gz NRGMDBTUVWPYJL-JOFMKBJXSA-N -1 1 309.329 1.397 20 0 DDADMM CC(C)Oc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000493485562 420863106 /nfs/dbraw/zinc/86/31/06/420863106.db2.gz KNLIVRZBFFQEKM-VMPITWQZSA-N -1 1 313.361 1.806 20 0 DDADMM CCOC(=O)c1cc(CNC2(c3nnn[n-]3)CCCC2)[nH]c1C ZINC000449252659 420977242 /nfs/dbraw/zinc/97/72/42/420977242.db2.gz RCKGQTQVBYGCAS-UHFFFAOYSA-N -1 1 318.381 1.572 20 0 DDADMM CC(C)[C@H]1CN(C(=O)N=c2ncn(C(C)(C)C)[n-]2)CCN1C ZINC000487243079 421012577 /nfs/dbraw/zinc/01/25/77/421012577.db2.gz ZYNXZSSJUBUXAM-GFCCVEGCSA-N -1 1 308.430 1.259 20 0 DDADMM CCS(=O)(=O)C1CN(C(=O)c2ccc3ccccc3c2[O-])C1 ZINC000456288285 421137354 /nfs/dbraw/zinc/13/73/54/421137354.db2.gz IZYHREQBRGUAOT-UHFFFAOYSA-N -1 1 319.382 1.805 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)c1cnc2c(cnn2C)c1O ZINC000456386287 421156822 /nfs/dbraw/zinc/15/68/22/421156822.db2.gz RNORRGFZFLHOEJ-NSHDSACASA-N -1 1 317.393 1.230 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000456386287 421156825 /nfs/dbraw/zinc/15/68/25/421156825.db2.gz RNORRGFZFLHOEJ-NSHDSACASA-N -1 1 317.393 1.230 20 0 DDADMM C[C@H](OC[C@@H]1CCCCO1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000522855244 421227605 /nfs/dbraw/zinc/22/76/05/421227605.db2.gz XIKQIEYQHDCSQE-RYUDHWBXSA-N -1 1 323.397 1.059 20 0 DDADMM Cc1nc(C2CC2)oc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000546832818 421313309 /nfs/dbraw/zinc/31/33/09/421313309.db2.gz QTINFWYXWBWYHL-JTQLQIEISA-N -1 1 302.338 1.393 20 0 DDADMM COC(=O)c1[n-]c(=NC[C@@H](O)COc2ccccc2)sc1C ZINC000562418246 421363665 /nfs/dbraw/zinc/36/36/65/421363665.db2.gz WKXQDGYVGYRGJO-LLVKDONJSA-N -1 1 322.386 1.512 20 0 DDADMM O=S(=O)([N-][C@@H]1CCn2ccnc2C1)c1cccc(F)c1F ZINC000547968196 421382514 /nfs/dbraw/zinc/38/25/14/421382514.db2.gz ROGMKBXTSTVTEC-SECBINFHSA-N -1 1 313.329 1.455 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000563496892 421513307 /nfs/dbraw/zinc/51/33/07/421513307.db2.gz JOMVZWHQUQEQAJ-RNFRBKRXSA-N -1 1 309.782 1.824 20 0 DDADMM Cc1cc(C(=O)Nc2ccncc2[O-])c(C)n1[C@H]1CCOC1 ZINC000528915112 421524399 /nfs/dbraw/zinc/52/43/99/421524399.db2.gz HXBZHQSETGWFIU-LBPRGKRZSA-N -1 1 301.346 1.841 20 0 DDADMM Cc1noc(C2CCC(N=c3[n-]c(C(N)=O)cs3)CC2)n1 ZINC000514989248 421471020 /nfs/dbraw/zinc/47/10/20/421471020.db2.gz YPXHZIRTTWKISE-UHFFFAOYSA-N -1 1 307.379 1.494 20 0 DDADMM Cc1n[n-]c(=NCc2ccc3c(c2)sc(=O)n3C)n1C1CC1 ZINC000551090959 421527674 /nfs/dbraw/zinc/52/76/74/421527674.db2.gz KNXNAVXNWLYYHA-UHFFFAOYSA-N -1 1 315.402 1.869 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncc2cc(Cl)ccn21)c1nn[n-]n1 ZINC000563390648 421499668 /nfs/dbraw/zinc/49/96/68/421499668.db2.gz VJHKSIVUDNSVEC-QMMMGPOBSA-N -1 1 319.756 1.377 20 0 DDADMM O=C([O-])[C@]1(c2ccccc2)CCN(Cc2nnnn2C2CC2)C1 ZINC000564093577 421569117 /nfs/dbraw/zinc/56/91/17/421569117.db2.gz SSPGBXCDIWBURE-MRXNPFEDSA-N -1 1 313.361 1.236 20 0 DDADMM O=C(c1cc(F)cc2nn[nH]c21)N1CCOC[C@H]1C1CCC1 ZINC000564216083 421575860 /nfs/dbraw/zinc/57/58/60/421575860.db2.gz ZKHXMFVCYVQVIO-ZDUSSCGKSA-N -1 1 304.325 1.738 20 0 DDADMM CC[C@H](Cc1ccccc1)C(=O)N=c1[nH][n-]c(C)c1C(=O)NC ZINC000518768951 421665841 /nfs/dbraw/zinc/66/58/41/421665841.db2.gz VRJLQFISECOTOK-CYBMUJFWSA-N -1 1 314.389 1.707 20 0 DDADMM O=S(=O)(Cc1ccccc1F)N=c1cc2ccccn2[n-]1 ZINC000517227111 421602490 /nfs/dbraw/zinc/60/24/90/421602490.db2.gz ZUKVZHSESIUHQN-UHFFFAOYSA-N -1 1 305.334 1.837 20 0 DDADMM C[C@@H]1Cc2cccc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)c2O1 ZINC000571032438 421682072 /nfs/dbraw/zinc/68/20/72/421682072.db2.gz RRFGMYBCOWFZNY-PWSUYJOCSA-N -1 1 313.361 1.543 20 0 DDADMM O=C1NC(=O)N2CCN(C3c4ccccc4-c4ccccc43)C[C@H]12 ZINC000534216958 421684703 /nfs/dbraw/zinc/68/47/03/421684703.db2.gz JACJPJVTVCJMCD-MRXNPFEDSA-N -1 1 319.364 1.992 20 0 DDADMM COC(=O)c1ccccc1NC(=O)CN1CC[C@@](C)(C(=O)[O-])C1 ZINC000571688000 421736564 /nfs/dbraw/zinc/73/65/64/421736564.db2.gz LKMYGSMNBGXMQC-MRXNPFEDSA-N -1 1 320.345 1.208 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC[C@H](CC(=O)[O-])C2)n[nH]1 ZINC000556907497 421750042 /nfs/dbraw/zinc/75/00/42/421750042.db2.gz HGAWOJXTNQDDSQ-CMPLNLGQSA-N -1 1 308.382 1.545 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cccc(C3CCC3)c2)n1 ZINC000522106382 421814917 /nfs/dbraw/zinc/81/49/17/421814917.db2.gz IDARFTBFDLEVTC-UHFFFAOYSA-N -1 1 320.374 1.728 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cccc(C3CCC3)c2)[n-]1 ZINC000522106382 421814921 /nfs/dbraw/zinc/81/49/21/421814921.db2.gz IDARFTBFDLEVTC-UHFFFAOYSA-N -1 1 320.374 1.728 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000521428423 421786575 /nfs/dbraw/zinc/78/65/75/421786575.db2.gz UABPPJPHCOTEMB-BTTYYORXSA-N -1 1 324.384 1.598 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cccnc1N1CCCC1 ZINC000635316980 421903300 /nfs/dbraw/zinc/90/33/00/421903300.db2.gz QIOFGEJDBCGUPG-UHFFFAOYSA-N -1 1 301.354 1.156 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C)COCC(F)(F)F)sn1 ZINC000631810978 421850478 /nfs/dbraw/zinc/85/04/78/421850478.db2.gz JZFSAKUOCIMWCY-SSDOTTSWSA-N -1 1 318.342 1.697 20 0 DDADMM COc1cccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1F ZINC000630223873 421973180 /nfs/dbraw/zinc/97/31/80/421973180.db2.gz KOHPBDCHPRLZTJ-LLVKDONJSA-N -1 1 324.352 1.455 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCCO[C@@H](C)C2)c1 ZINC000631891511 421917740 /nfs/dbraw/zinc/91/77/40/421917740.db2.gz CENVBOGSZJTECA-JTQLQIEISA-N -1 1 301.364 1.200 20 0 DDADMM Cc1cccc(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000630221867 421973371 /nfs/dbraw/zinc/97/33/71/421973371.db2.gz DEQYEWCZBNHAGB-AWEZNQCLSA-N -1 1 304.390 1.545 20 0 DDADMM COC[C@H]1CCCN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000631958705 421974229 /nfs/dbraw/zinc/97/42/29/421974229.db2.gz ARZMVVQVYRGSJN-NSHDSACASA-N -1 1 315.391 1.448 20 0 DDADMM Cn1ncnc1CCCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633548633 421960617 /nfs/dbraw/zinc/96/06/17/421960617.db2.gz VUGCSMKOIFQJTO-UHFFFAOYSA-N -1 1 308.769 1.466 20 0 DDADMM C[C@]1(c2cccc(F)c2)CCN(C(=O)CCc2nn[n-]n2)C1 ZINC000633565542 421970406 /nfs/dbraw/zinc/97/04/06/421970406.db2.gz LPCPWIMTIQCTLJ-HNNXBMFYSA-N -1 1 303.341 1.462 20 0 DDADMM COc1ccccc1CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630225348 421975668 /nfs/dbraw/zinc/97/56/68/421975668.db2.gz SFXNCMRAAMAOTF-CYBMUJFWSA-N -1 1 320.389 1.245 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc2c1CC(=O)CC2)c1nn[n-]n1 ZINC000581464125 421977837 /nfs/dbraw/zinc/97/78/37/421977837.db2.gz APHJZVQQBBLZBI-JTQLQIEISA-N -1 1 313.361 1.133 20 0 DDADMM Cc1nnc([C@H](C)N2CC[C@@](C(=O)[O-])(c3ccccc3)C2)[nH]1 ZINC000573619000 421988994 /nfs/dbraw/zinc/98/89/94/421988994.db2.gz NWIQMPVLMXJQGR-ZBEGNZNMSA-N -1 1 300.362 1.902 20 0 DDADMM O=S(=O)([N-]CC1(O)CCCC1)c1c[nH]nc1C(F)(F)F ZINC000632000046 422011913 /nfs/dbraw/zinc/01/19/13/422011913.db2.gz AUIJSSNFHATRMM-UHFFFAOYSA-N -1 1 313.301 1.012 20 0 DDADMM O=C(N=c1ccc([O-])n[nH]1)[C@@H]1[C@@H]2Cc3c(cccc3Cl)[C@@H]21 ZINC000637127790 422018138 /nfs/dbraw/zinc/01/81/38/422018138.db2.gz MDTBMTMPJPFFDE-BIGNPOOSSA-N -1 1 301.733 1.782 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)NCc1cccc(C(=O)[O-])c1 ZINC000635525951 422075690 /nfs/dbraw/zinc/07/56/90/422075690.db2.gz MGAJBLMEBXFQQL-AWEZNQCLSA-N -1 1 305.378 1.620 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCN(c2ccccc2Cl)CC1 ZINC000630411151 422084193 /nfs/dbraw/zinc/08/41/93/422084193.db2.gz BDVCAJFTGSHHAP-UHFFFAOYSA-N -1 1 320.784 1.135 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)C12CC3CC(CC(C3)C1)C2 ZINC000630421593 422088789 /nfs/dbraw/zinc/08/87/89/422088789.db2.gz RAVJCEZELXCCGL-HXTFMFRQSA-N -1 1 303.410 1.853 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])CN(S(=O)(=O)c2cc(O)cc(F)c2)C1 ZINC000630444413 422106566 /nfs/dbraw/zinc/10/65/66/422106566.db2.gz YELUCYROWSJNNU-IUCAKERBSA-N -1 1 317.338 1.263 20 0 DDADMM COc1cc(Cl)c(CN[C@]2(C(=O)[O-])CCOC2)cc1OC ZINC000630455524 422113745 /nfs/dbraw/zinc/11/37/45/422113745.db2.gz HBQHJNBFIFTMCD-CQSZACIVSA-N -1 1 315.753 1.691 20 0 DDADMM CC[C@@H](NC(C)=O)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000633691187 422051917 /nfs/dbraw/zinc/05/19/17/422051917.db2.gz DRJWNNDMZJLJAU-CYBMUJFWSA-N -1 1 302.334 1.431 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1(c2ccccc2)CCC1 ZINC000633691239 422052593 /nfs/dbraw/zinc/05/25/93/422052593.db2.gz GMASSOSDJBSSHN-UHFFFAOYSA-N -1 1 312.373 1.560 20 0 DDADMM CCc1ccc(F)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000632054482 422052689 /nfs/dbraw/zinc/05/26/89/422052689.db2.gz YSNBCEWSUCOITC-LLVKDONJSA-N -1 1 303.341 1.921 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](c1ccccc1)C1CC1 ZINC000633692811 422055862 /nfs/dbraw/zinc/05/58/62/422055862.db2.gz UMHMNXOMSTYDBQ-AWEZNQCLSA-N -1 1 312.373 1.632 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCC(=O)c2cccn21)c1nn[n-]n1 ZINC000574596554 422142147 /nfs/dbraw/zinc/14/21/47/422142147.db2.gz VVDIQKMUPGCOPP-KOLCDFICSA-N -1 1 302.338 1.176 20 0 DDADMM COc1cc(CCNC(=O)CCCc2nn[n-]n2)ccc1C ZINC000635599164 422150752 /nfs/dbraw/zinc/15/07/52/422150752.db2.gz JYMGIMXHMBAQPJ-UHFFFAOYSA-N -1 1 303.366 1.198 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@H](OC)CC2)c1 ZINC000632201019 422157841 /nfs/dbraw/zinc/15/78/41/422157841.db2.gz NUOPUOJUUVVHEY-LLVKDONJSA-N -1 1 315.391 1.590 20 0 DDADMM C[C@H]1CN(C(=O)CCCc2nn[n-]n2)c2ccc(F)cc2O1 ZINC000635609740 422162283 /nfs/dbraw/zinc/16/22/83/422162283.db2.gz MROWNAPPZSFQGL-VIFPVBQESA-N -1 1 305.313 1.476 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@]2(C)CCO[C@@H]2C2CC2)sn1 ZINC000632224106 422175597 /nfs/dbraw/zinc/17/55/97/422175597.db2.gz CJKQQOVRKJCOKN-OLZOCXBDSA-N -1 1 316.448 1.935 20 0 DDADMM COc1ccc2c(c1)CCCCN2C(=O)CCc1nn[n-]n1 ZINC000632223457 422176608 /nfs/dbraw/zinc/17/66/08/422176608.db2.gz ZOYYYPYTLCHQIL-UHFFFAOYSA-N -1 1 301.350 1.510 20 0 DDADMM CSc1ccc(F)cc1CNC(=O)CCCc1nn[n-]n1 ZINC000635632986 422184317 /nfs/dbraw/zinc/18/43/17/422184317.db2.gz AAKLTPZXBIYKFR-UHFFFAOYSA-N -1 1 309.370 1.700 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(CC(C)(C)O)C2CC2)c1 ZINC000632240185 422186724 /nfs/dbraw/zinc/18/67/24/422186724.db2.gz NPAZLSZMUJJOJZ-UHFFFAOYSA-N -1 1 315.391 1.325 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCO1 ZINC000632172203 422136627 /nfs/dbraw/zinc/13/66/27/422136627.db2.gz OHIJDEWXJXASMC-RQJHMYQMSA-N -1 1 313.301 1.274 20 0 DDADMM C[C@H]1COCC[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632278443 422216963 /nfs/dbraw/zinc/21/69/63/422216963.db2.gz RGJTUCMHDVZONY-BQBZGAKWSA-N -1 1 313.301 1.132 20 0 DDADMM CC(C)N(C)CC[N-]S(=O)(=O)c1onc(C2CC2)c1Cl ZINC000632255386 422196671 /nfs/dbraw/zinc/19/66/71/422196671.db2.gz QVQATEWGERBWTO-UHFFFAOYSA-N -1 1 321.830 1.824 20 0 DDADMM CCOC(C)(C)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632256620 422196850 /nfs/dbraw/zinc/19/68/50/422196850.db2.gz XKZLMLGAIQVMDH-UHFFFAOYSA-N -1 1 303.380 1.494 20 0 DDADMM CN(C(=O)C(=O)c1ccc([O-])cc1)[C@H]1CCCCN(C)C1=O ZINC000634136446 422277317 /nfs/dbraw/zinc/27/73/17/422277317.db2.gz FEOVWUYRWWOHNW-ZDUSSCGKSA-N -1 1 304.346 1.044 20 0 DDADMM CCOCC(C)(C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632369068 422282837 /nfs/dbraw/zinc/28/28/37/422282837.db2.gz LVHDBBJAJSMZJD-UHFFFAOYSA-N -1 1 315.317 1.522 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@]2(O)CCCC2(C)C)sn1 ZINC000632370846 422284430 /nfs/dbraw/zinc/28/44/30/422284430.db2.gz LUBLXFZQFOXAHE-LBPRGKRZSA-N -1 1 304.437 1.671 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)C(C)(C)O ZINC000632372943 422286604 /nfs/dbraw/zinc/28/66/04/422286604.db2.gz JIJDCVFVECIYAE-SSDOTTSWSA-N -1 1 315.317 1.256 20 0 DDADMM COCCOc1ccc(S(=O)(=O)[N-]c2cnc[nH]2)cc1C ZINC000575376708 422293359 /nfs/dbraw/zinc/29/33/59/422293359.db2.gz DQUQYMGGGFTHON-UHFFFAOYSA-N -1 1 311.363 1.544 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccccc1OC1CCCC1 ZINC000630773369 422329492 /nfs/dbraw/zinc/32/94/92/422329492.db2.gz LSQDXBMQYUXMJT-UHFFFAOYSA-N -1 1 315.377 1.770 20 0 DDADMM C[C@H](CN1CCCC1=O)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630785818 422335005 /nfs/dbraw/zinc/33/50/05/422335005.db2.gz KXSFRWYEVSRXCW-SNVBAGLBSA-N -1 1 310.781 1.715 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C1CC1)C1CC1 ZINC000628814925 422339070 /nfs/dbraw/zinc/33/90/70/422339070.db2.gz CSUZVXYGPMFDPQ-UHFFFAOYSA-N -1 1 300.362 1.748 20 0 DDADMM C[C@H]1C[C@@H](c2cccnc2)N(C(=O)CCCc2nn[n-]n2)C1 ZINC000635794300 422349189 /nfs/dbraw/zinc/34/91/89/422349189.db2.gz JSTNXUHAOAEGQP-AAEUAGOBSA-N -1 1 300.366 1.527 20 0 DDADMM Cc1cccc(C)c1OC[C@H](C)NC(=O)CCc1nn[n-]n1 ZINC000630818933 422354545 /nfs/dbraw/zinc/35/45/45/422354545.db2.gz LSTGNXLKTZKPOA-LBPRGKRZSA-N -1 1 303.366 1.333 20 0 DDADMM COCc1ccc([C@@H]2CCCN2C(=O)CCCc2nn[n-]n2)o1 ZINC000635807299 422361588 /nfs/dbraw/zinc/36/15/88/422361588.db2.gz MONCVGIIBGHODL-LBPRGKRZSA-N -1 1 319.365 1.626 20 0 DDADMM CCC1(CC)[C@H](NC(=O)CCCc2nn[n-]n2)[C@H](C)[C@@H]1OC ZINC000635764069 422321854 /nfs/dbraw/zinc/32/18/54/422321854.db2.gz ZQTVYZJLTHZIEX-GDLCADMTSA-N -1 1 309.414 1.478 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCc1nnc2n1CCCC2 ZINC000630763260 422323811 /nfs/dbraw/zinc/32/38/11/422323811.db2.gz UMPPMKZYYYGSBA-UHFFFAOYSA-N -1 1 320.780 1.832 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H](c1ccccc1)[C@H]1CCOC1 ZINC000635765621 422324973 /nfs/dbraw/zinc/32/49/73/422324973.db2.gz SBEPHBBFRGEOMF-XJKSGUPXSA-N -1 1 315.377 1.416 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCN(C(C)(C)C)C2=O)sn1 ZINC000632496446 422392672 /nfs/dbraw/zinc/39/26/72/422392672.db2.gz GPNSWCOWDHBPNX-VIFPVBQESA-N -1 1 317.436 1.129 20 0 DDADMM CSc1cc2c(cc1[N-]C(=O)c1cc(CO)on1)OCCO2 ZINC000630885828 422396370 /nfs/dbraw/zinc/39/63/70/422396370.db2.gz UUDARIVQUCNEFE-UHFFFAOYSA-N -1 1 322.342 1.912 20 0 DDADMM C[C@@H](N=c1ccc(N2CCNC(=O)[C@@H]2C)n[n-]1)c1ccccc1 ZINC000577723677 422414902 /nfs/dbraw/zinc/41/49/02/422414902.db2.gz GCZVCHWJWXHLFI-OLZOCXBDSA-N -1 1 311.389 1.396 20 0 DDADMM COC[C@H](NC(=O)CCCc1nn[n-]n1)c1ccc(Cl)cc1 ZINC000635864241 422420062 /nfs/dbraw/zinc/42/00/62/422420062.db2.gz DWPVKUDQYPFABE-LBPRGKRZSA-N -1 1 323.784 1.680 20 0 DDADMM Cc1cc(CCNC(=O)CCCc2nn[n-]n2)ccc1Cl ZINC000635948487 422500472 /nfs/dbraw/zinc/50/04/72/422500472.db2.gz NQABPPZFENPBSE-UHFFFAOYSA-N -1 1 307.785 1.843 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC2(CCOCC2)[C@@H]2CCC[C@@H]21 ZINC000635991885 422544696 /nfs/dbraw/zinc/54/46/96/422544696.db2.gz OZAJNGIHXHYDHG-OLZOCXBDSA-N -1 1 319.409 1.330 20 0 DDADMM COCC[C@]1(NC(=O)Cc2ccc([O-])c(Cl)c2)CCOC1 ZINC000632789996 422580294 /nfs/dbraw/zinc/58/02/94/422580294.db2.gz CKEULLABIIEXBO-HNNXBMFYSA-N -1 1 313.781 1.900 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1(c2ccccc2F)CCC1 ZINC000636118768 422655625 /nfs/dbraw/zinc/65/56/25/422655625.db2.gz LYMLNTBFOBGDBH-UHFFFAOYSA-N -1 1 317.368 1.900 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CC[C@@H](c2ccc(F)cc2)C1 ZINC000632807396 422594367 /nfs/dbraw/zinc/59/43/67/422594367.db2.gz QVLYOFSEFNBZNA-YPMHNXCESA-N -1 1 303.341 1.724 20 0 DDADMM Cc1cc(NCCNC(=O)Cc2ccc([O-])c(Cl)c2)n(C)n1 ZINC000632817640 422601267 /nfs/dbraw/zinc/60/12/67/422601267.db2.gz XIKAYFWXOADGLL-UHFFFAOYSA-N -1 1 322.796 1.858 20 0 DDADMM O=C(C[C@@H]1CCCC(=O)N1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629380581 422640987 /nfs/dbraw/zinc/64/09/87/422640987.db2.gz SNBDDRJJINVDDO-LBPRGKRZSA-N -1 1 314.345 1.575 20 0 DDADMM C[C@@H](NC(=O)Cc1ccc([O-])c(Cl)c1)C(=O)NCCF ZINC000632882210 422641848 /nfs/dbraw/zinc/64/18/48/422641848.db2.gz AOKMLKSZOFKQBQ-MRVPVSSYSA-N -1 1 302.733 1.179 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]c1ccn(C2CCSCC2)n1 ZINC000634795323 422649613 /nfs/dbraw/zinc/64/96/13/422649613.db2.gz RNFXUGHIRXMUPO-UHFFFAOYSA-N -1 1 318.468 1.950 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCC(C)C)c1ccsc1 ZINC000184256098 263386092 /nfs/dbraw/zinc/38/60/92/263386092.db2.gz HPBDMFCMBZGOHC-LLVKDONJSA-N -1 1 305.421 1.928 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1C[C@@H]2CC[C@@H](O)C[C@H]2C1 ZINC000643666089 423254687 /nfs/dbraw/zinc/25/46/87/423254687.db2.gz ZSJCCGGUTQTDPG-SDDRHHMPSA-N -1 1 313.357 1.156 20 0 DDADMM Cc1ccoc1CN1CCC(N2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC000650792079 423262769 /nfs/dbraw/zinc/26/27/69/423262769.db2.gz XDGZZLUDFFMCDY-GFCCVEGCSA-N -1 1 306.362 1.486 20 0 DDADMM CNS(=O)(=O)[C@@H]1CCCN(Cc2cccc([O-])c2Cl)C1 ZINC000646087699 423330638 /nfs/dbraw/zinc/33/06/38/423330638.db2.gz BAQYAKIGJFFZLU-LLVKDONJSA-N -1 1 318.826 1.559 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CNC(=O)c1cc(F)cc(F)c1 ZINC000646413117 423480129 /nfs/dbraw/zinc/48/01/29/423480129.db2.gz OUZSTMHXBUFOQN-UHFFFAOYSA-N -1 1 310.260 1.070 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CNC(=O)c1cccc(Cl)c1 ZINC000646413442 423480489 /nfs/dbraw/zinc/48/04/89/423480489.db2.gz ZBMVFMLXOQGSQZ-UHFFFAOYSA-N -1 1 308.725 1.446 20 0 DDADMM C[C@@H]1CCCc2ncc(C(=O)NC3(c4nn[n-]n4)CCCC3)n21 ZINC000651353394 423504306 /nfs/dbraw/zinc/50/43/06/423504306.db2.gz DQQQUUMTLJMDTE-SNVBAGLBSA-N -1 1 315.381 1.493 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C1CCC1)C1CCCC1 ZINC000649004926 423586740 /nfs/dbraw/zinc/58/67/40/423586740.db2.gz KTDCKFNXGFTBFW-NSHDSACASA-N -1 1 321.377 1.985 20 0 DDADMM Cn1nnc2cc(NC(=O)c3csc(=NC4CC4)[n-]3)ccc21 ZINC000649012985 423588145 /nfs/dbraw/zinc/58/81/45/423588145.db2.gz SMHZAAXXEYCSKK-UHFFFAOYSA-N -1 1 314.374 1.673 20 0 DDADMM CC[C@@H](C)C[C@@H](CO)NC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000649054689 423602262 /nfs/dbraw/zinc/60/22/62/423602262.db2.gz DCCJHFSUIQFFIT-SCZZXKLOSA-N -1 1 313.423 1.739 20 0 DDADMM CN1CC[C@@H](CSc2nc([O-])cc(=O)n2C2CCCC2)C1=O ZINC000641439028 423638037 /nfs/dbraw/zinc/63/80/37/423638037.db2.gz GNBPJFDOFYLDRW-JTQLQIEISA-N -1 1 323.418 1.634 20 0 DDADMM CN1CC[C@@H](CSc2nc(=O)cc([O-])n2C2CCCC2)C1=O ZINC000641439028 423638040 /nfs/dbraw/zinc/63/80/40/423638040.db2.gz GNBPJFDOFYLDRW-JTQLQIEISA-N -1 1 323.418 1.634 20 0 DDADMM CC(C)N(C(=O)[C@H](C)N1CCC(c2n[n-]c(=N)o2)CC1)C(C)C ZINC000639726885 423695177 /nfs/dbraw/zinc/69/51/77/423695177.db2.gz PVNDVFAKLFULDQ-LBPRGKRZSA-N -1 1 323.441 1.695 20 0 DDADMM Cc1sc(C(=O)NCc2nn[n-]n2)cc1Br ZINC000644634452 423736321 /nfs/dbraw/zinc/73/63/21/423736321.db2.gz DEJNTYHFYHOMCX-UHFFFAOYSA-N -1 1 302.157 1.262 20 0 DDADMM CC(C)(C)c1cc(NCCN2CCSCC2)nc(C(=O)[O-])n1 ZINC000649385813 423834355 /nfs/dbraw/zinc/83/43/55/423834355.db2.gz OTMPEGPXEVPEHM-UHFFFAOYSA-N -1 1 324.450 1.355 20 0 DDADMM Cc1ccsc1CNC(=O)CN1CCC(C)(C(=O)[O-])CC1 ZINC000647375428 424008475 /nfs/dbraw/zinc/00/84/75/424008475.db2.gz ZDZZCOQKSWZVBT-UHFFFAOYSA-N -1 1 310.419 1.859 20 0 DDADMM CN1C(=O)NCC12CCN(C(=O)c1cc(Cl)ccc1[O-])CC2 ZINC000644844977 423973534 /nfs/dbraw/zinc/97/35/34/423973534.db2.gz BZADULDTNFSLQW-UHFFFAOYSA-N -1 1 323.780 1.675 20 0 DDADMM NC(=O)c1csc(=NC2CC(OCc3ccccc3)C2)[n-]1 ZINC000644939621 424062949 /nfs/dbraw/zinc/06/29/49/424062949.db2.gz CGVLWKROXOIBBX-UHFFFAOYSA-N -1 1 303.387 1.824 20 0 DDADMM O=C(Nc1nc(-c2ccco2)n[nH]1)[C@H]1CC(=O)N(C2CC2)C1 ZINC000641893758 424068606 /nfs/dbraw/zinc/06/86/06/424068606.db2.gz FOVWQEHSAWGYNT-QMMMGPOBSA-N -1 1 301.306 1.014 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C[C@H](O)C23CCC3)sc1C ZINC000657187647 424199329 /nfs/dbraw/zinc/19/93/29/424199329.db2.gz PGVLWMXYEHNQPC-ZJUUUORDSA-N -1 1 302.421 1.342 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2ccc(OCC(=O)[O-])cc2)n[nH]1 ZINC000659758306 424225381 /nfs/dbraw/zinc/22/53/81/424225381.db2.gz PQTXDQOYYZUUDT-JTQLQIEISA-N -1 1 317.345 1.543 20 0 DDADMM COCCN(C[C@H](O)C(F)(F)F)C(=O)c1ncc(C)cc1[O-] ZINC000655200141 424287332 /nfs/dbraw/zinc/28/73/32/424287332.db2.gz XDVHBFADWCOQIC-JTQLQIEISA-N -1 1 322.283 1.107 20 0 DDADMM NC(=O)CC1(NC(=O)N=c2[n-]sc3ccccc32)CCC1 ZINC000640342805 424362705 /nfs/dbraw/zinc/36/27/05/424362705.db2.gz MMDZMNRUBLAUIH-UHFFFAOYSA-N -1 1 304.375 1.638 20 0 DDADMM CN1CCOC[C@H]1CNC(=O)N=c1[n-]sc2ccccc21 ZINC000640342815 424364059 /nfs/dbraw/zinc/36/40/59/424364059.db2.gz MMWJBSPSDUIIKQ-SNVBAGLBSA-N -1 1 306.391 1.170 20 0 DDADMM COCC1(O)CCN(C(=O)N=c2[n-]sc3ccccc32)CC1 ZINC000640343510 424367654 /nfs/dbraw/zinc/36/76/54/424367654.db2.gz VYZSXAWYELQKBS-UHFFFAOYSA-N -1 1 321.402 1.723 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@](O)(C2CC2)C1 ZINC000664368938 424562918 /nfs/dbraw/zinc/56/29/18/424562918.db2.gz CSTGCQKCDQOZAJ-QGZVFWFLSA-N -1 1 313.357 1.300 20 0 DDADMM CN(CCCOc1ccc2c(c1)OCO2)Cc1n[n-]c(=O)o1 ZINC000660849797 424789270 /nfs/dbraw/zinc/78/92/70/424789270.db2.gz FXFSCKPRHYUFRS-UHFFFAOYSA-N -1 1 307.306 1.405 20 0 DDADMM O=C(c1nc[n-]c1-c1nc(Cn2cccn2)no1)c1ccccc1 ZINC000345998738 272385948 /nfs/dbraw/zinc/38/59/48/272385948.db2.gz WWWSGGGSNOFDBA-UHFFFAOYSA-N -1 1 320.312 1.936 20 0 DDADMM C[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)[C@@H](C)[C@H](C)O1 ZINC000438405189 288056071 /nfs/dbraw/zinc/05/60/71/288056071.db2.gz VOISRKRDWAEXAY-UTLUCORTSA-N -1 1 304.350 1.312 20 0 DDADMM C[C@@H](Cn1cncn1)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084937798 281014525 /nfs/dbraw/zinc/01/45/25/281014525.db2.gz FSEQBVIAXRRBTA-JTQLQIEISA-N -1 1 306.347 1.391 20 0 DDADMM O=C(C=Cc1c(F)cccc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129338294 281340488 /nfs/dbraw/zinc/34/04/88/281340488.db2.gz WBNZUOAOPMVSAU-VQCYPWCPSA-N -1 1 319.315 1.897 20 0 DDADMM C[C@@H]1CCC[C@@H](OCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1 ZINC000129501774 281347089 /nfs/dbraw/zinc/34/70/89/281347089.db2.gz JEAYWFPGAOGYJS-FRRDWIJNSA-N -1 1 307.398 1.501 20 0 DDADMM COC(=O)CCCNC(=O)c1ccc(Br)c([O-])c1 ZINC000132806200 281467594 /nfs/dbraw/zinc/46/75/94/281467594.db2.gz JUZJYPTUAKOBCN-UHFFFAOYSA-N -1 1 316.151 1.838 20 0 DDADMM O=C(NCCc1ccc(-n2cccn2)cc1)c1ncccc1[O-] ZINC000171241572 298230308 /nfs/dbraw/zinc/23/03/08/298230308.db2.gz HHBDJGXQQLIKAG-UHFFFAOYSA-N -1 1 308.341 1.945 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@@H]3CCc4nccn4C3)n2)o1 ZINC000364267512 300365246 /nfs/dbraw/zinc/36/52/46/300365246.db2.gz INNCQCXXTHNVAB-SNVBAGLBSA-N -1 1 312.333 1.771 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@@H]1OCCc2ccsc21)c1nn[n-]n1 ZINC000368525814 301048507 /nfs/dbraw/zinc/04/85/07/301048507.db2.gz QFDXRYCCDHHBEV-ONGXEEELSA-N -1 1 321.406 1.527 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](N2CCCCC2=O)C1 ZINC000369247253 301149639 /nfs/dbraw/zinc/14/96/39/301149639.db2.gz BCVZUAJBOGRBAN-LBPRGKRZSA-N -1 1 303.362 1.404 20 0 DDADMM O=C(CCCC1CCCCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370874599 301399061 /nfs/dbraw/zinc/39/90/61/301399061.db2.gz ZHFQYAXFMSRVBI-ZDUSSCGKSA-N -1 1 307.398 1.850 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000376391806 302071440 /nfs/dbraw/zinc/07/14/40/302071440.db2.gz NXAFEIBAUNNESJ-QPUJVOFHSA-N -1 1 315.778 1.305 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H]3CCCCC[C@H]32)o1 ZINC000377234577 302158044 /nfs/dbraw/zinc/15/80/44/302158044.db2.gz AJNZWIUSMVVZMB-GHMZBOCLSA-N -1 1 312.391 1.592 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1CCC2(C1)CCCCCC2 ZINC000377313942 302167332 /nfs/dbraw/zinc/16/73/32/302167332.db2.gz ANADUFDHNHRFGZ-UHFFFAOYSA-N -1 1 303.424 1.532 20 0 DDADMM Cc1cn2c(n1)CC[C@@H](NC(=O)c1c(F)ccc([O-])c1F)C2 ZINC000377688599 302212654 /nfs/dbraw/zinc/21/26/54/302212654.db2.gz ACFUFNXPEMMNSZ-SECBINFHSA-N -1 1 307.300 1.920 20 0 DDADMM Cc1ccc(NS(=O)(=O)c2cc(C(=O)[O-])n(C)c2)c(O)c1 ZINC000356041116 306828780 /nfs/dbraw/zinc/82/87/80/306828780.db2.gz ZIEFLRFUEPPYNB-UHFFFAOYSA-N -1 1 310.331 1.538 20 0 DDADMM CCc1cc(=O)[n-]c(SCCCOC(=O)NC(N)=O)n1 ZINC000496710909 302614539 /nfs/dbraw/zinc/61/45/39/302614539.db2.gz XVEJCFZBORHIBP-UHFFFAOYSA-N -1 1 300.340 1.032 20 0 DDADMM NC(=O)c1csc(=NC[C@H](n2cccn2)C(F)(F)F)[n-]1 ZINC000528083199 303002523 /nfs/dbraw/zinc/00/25/23/303002523.db2.gz SQCDTYUDJVIHAQ-ZETCQYMHSA-N -1 1 305.285 1.076 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@@H]1CCCO1)c1c(F)cccc1Cl ZINC000528484877 303033121 /nfs/dbraw/zinc/03/31/21/303033121.db2.gz IUWMVQWOYUWZOW-MNOVXSKESA-N -1 1 323.773 1.297 20 0 DDADMM CSCCCCCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000357384138 306880287 /nfs/dbraw/zinc/88/02/87/306880287.db2.gz SNXJJSCTYJHEJO-UHFFFAOYSA-N -1 1 311.407 1.167 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])c1cn(C2CCOCC2)nn1 ZINC000530006160 303170018 /nfs/dbraw/zinc/17/00/18/303170018.db2.gz QHOYYGIZDSCKFK-UHFFFAOYSA-N -1 1 306.297 1.727 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000530048482 303170780 /nfs/dbraw/zinc/17/07/80/303170780.db2.gz AMRZACIIXOOLHW-MNOVXSKESA-N -1 1 313.350 1.323 20 0 DDADMM O=C(CSCc1cscn1)NC1(c2nn[n-]n2)CCCC1 ZINC000533471687 303318538 /nfs/dbraw/zinc/31/85/38/303318538.db2.gz CTWJLWDZSSUHRP-UHFFFAOYSA-N -1 1 324.435 1.475 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)nn1C(F)F ZINC000536394012 303360202 /nfs/dbraw/zinc/36/02/02/303360202.db2.gz DXIJEMVCCPGIJP-UHFFFAOYSA-N -1 1 311.296 1.299 20 0 DDADMM O=C(NC[C@@H]1CCCc2ccccc21)c1cc(=O)n2[n-]cnc2n1 ZINC000543700625 303461858 /nfs/dbraw/zinc/46/18/58/303461858.db2.gz OTZBBOXJSNPZMC-LBPRGKRZSA-N -1 1 323.356 1.268 20 0 DDADMM O=C(NCC1(c2ccccc2)CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000544685956 303476151 /nfs/dbraw/zinc/47/61/51/303476151.db2.gz LVPKFHBNADOWHC-UHFFFAOYSA-N -1 1 323.356 1.269 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCO[C@H](C3CC3)C2)sc1C ZINC000545909734 303503582 /nfs/dbraw/zinc/50/35/82/303503582.db2.gz VGERDQNMVVSEFY-NEPJUHHUSA-N -1 1 316.448 1.996 20 0 DDADMM C[C@H]([C@@H](NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-])C1CC1 ZINC000548992440 303601710 /nfs/dbraw/zinc/60/17/10/303601710.db2.gz OZNPVARPPNXOCS-WRWORJQWSA-N -1 1 319.329 1.742 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)Cc2cnccc2C)co1 ZINC000357809899 306916370 /nfs/dbraw/zinc/91/63/70/306916370.db2.gz NGYJFAXHQMOKIS-UHFFFAOYSA-N -1 1 323.374 1.163 20 0 DDADMM CC(C)[C@@](C)([N-]S(=O)(=O)c1cc2ccccc2o1)C(N)=O ZINC000560482826 303817684 /nfs/dbraw/zinc/81/76/84/303817684.db2.gz UBIGLSDPDXRQRF-CQSZACIVSA-N -1 1 310.375 1.611 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](O)CC1)c1ccc(Br)o1 ZINC000562074567 303849509 /nfs/dbraw/zinc/84/95/09/303849509.db2.gz UGWSZMKFOFSQDV-ZKCHVHJHSA-N -1 1 324.196 1.624 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(C(F)F)CC2)o1 ZINC000362090959 307013999 /nfs/dbraw/zinc/01/39/99/307013999.db2.gz QIYGSFKXAVAJKE-UHFFFAOYSA-N -1 1 322.333 1.305 20 0 DDADMM O=C(N[C@H]1CCCC[C@H]1N1CCOCC1)c1ncccc1[O-] ZINC000372498945 307168759 /nfs/dbraw/zinc/16/87/59/307168759.db2.gz ZBKNKNGOGOMXIA-QWHCGFSZSA-N -1 1 305.378 1.160 20 0 DDADMM CC[C@@H](CO)N(C)C(=O)c1cc(Br)ccc1[O-] ZINC000379013468 307292670 /nfs/dbraw/zinc/29/26/70/307292670.db2.gz PHEGJGMGOGPKTH-VIFPVBQESA-N -1 1 302.168 1.998 20 0 DDADMM O=C(CNC(=O)c1cc2ccccc2cc1[O-])NCC(F)F ZINC000393756699 307295255 /nfs/dbraw/zinc/29/52/55/307295255.db2.gz GIHPQCHSFUJJQQ-UHFFFAOYSA-N -1 1 308.284 1.657 20 0 DDADMM CC(C)(C)CCS(=O)(=O)[N-]Cc1nc(C(F)(F)F)no1 ZINC000545183662 307721589 /nfs/dbraw/zinc/72/15/89/307721589.db2.gz VEQWLMXNYXNDFV-UHFFFAOYSA-N -1 1 315.317 1.944 20 0 DDADMM CN1CCOC[C@@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000551752342 307806748 /nfs/dbraw/zinc/80/67/48/307806748.db2.gz MNKUXVHJQLFQGR-CYBMUJFWSA-N -1 1 302.334 1.051 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)[C@@H](C)CC ZINC000564912042 308011368 /nfs/dbraw/zinc/01/13/68/308011368.db2.gz CLPZTWCZMCHCJV-CYZMBNFOSA-N -1 1 321.439 1.309 20 0 DDADMM CO[C@@H]1COC[C@H]1NC(=O)c1ccc(Br)cc1[O-] ZINC000565423715 308030939 /nfs/dbraw/zinc/03/09/39/308030939.db2.gz ORIIRZFSIDMANV-MWLCHTKSSA-N -1 1 316.151 1.298 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000566233663 308050850 /nfs/dbraw/zinc/05/08/50/308050850.db2.gz FTAXDVQFNNENPW-CYBMUJFWSA-N -1 1 307.325 1.718 20 0 DDADMM O=C1CCCN1[C@H]1CC[N@H+](Cc2cc(=O)[nH]c(C3CC3)n2)C1 ZINC000570926485 308185877 /nfs/dbraw/zinc/18/58/77/308185877.db2.gz YADYLNJLXUOYON-ZDUSSCGKSA-N -1 1 302.378 1.256 20 0 DDADMM CC[C@H](Cc1ccccc1)N(C)Cc1cn(CC(=O)[O-])nn1 ZINC000571530160 308197619 /nfs/dbraw/zinc/19/76/19/308197619.db2.gz LTLCZWGYMCUNEN-OAHLLOKOSA-N -1 1 302.378 1.816 20 0 DDADMM O=c1[nH]c2cc(F)c(S(=O)(=O)[N-]C3(CF)CCC3)cc2o1 ZINC000572956956 308228691 /nfs/dbraw/zinc/22/86/91/308228691.db2.gz UESYTOJUDCKOMH-UHFFFAOYSA-N -1 1 318.301 1.431 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)c(C)c1)C1CC1 ZINC000573206811 308233838 /nfs/dbraw/zinc/23/38/38/308233838.db2.gz OPYLKPAMNWWTGK-ZDUSSCGKSA-N -1 1 312.391 1.261 20 0 DDADMM O=C(c1ccccc1O)N1CCN(C[C@@H]2CCCC[C@@H]2O)CC1 ZINC000573905640 308254636 /nfs/dbraw/zinc/25/46/36/308254636.db2.gz PPZXNXCYALJIRS-HOCLYGCPSA-N -1 1 318.417 1.701 20 0 DDADMM CC[C@H](NS(=O)(=O)c1c(Cl)ccc(F)c1F)C(=O)[O-] ZINC000576874693 308346095 /nfs/dbraw/zinc/34/60/95/308346095.db2.gz ARHDEBRMHBSCNT-ZETCQYMHSA-N -1 1 313.709 1.760 20 0 DDADMM C[C@@]1(C(=O)[O-])CCCN(Cc2nncn2-c2ccccc2)C1 ZINC000576999328 308355275 /nfs/dbraw/zinc/35/52/75/308355275.db2.gz XDZCTPYYLGSUJZ-MRXNPFEDSA-N -1 1 300.362 1.954 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000577180792 308368504 /nfs/dbraw/zinc/36/85/04/308368504.db2.gz IZTYZUKPXFYMBZ-CJNGLKHVSA-N -1 1 318.417 1.906 20 0 DDADMM O=C(c1ncccc1[O-])N1CCn2c(nnc2C2CCCC2)C1 ZINC000582098693 325969107 /nfs/dbraw/zinc/96/91/07/325969107.db2.gz HQFVUVGTCJRJGT-UHFFFAOYSA-N -1 1 313.361 1.692 20 0 DDADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-]c1cncc2ccccc21 ZINC000584365377 337102186 /nfs/dbraw/zinc/10/21/86/337102186.db2.gz RNMZSNPXJPNLIO-SNVBAGLBSA-N -1 1 307.375 1.453 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1CC(=O)Nc1ccc2c(c1)OCO2 ZINC000397510236 337269450 /nfs/dbraw/zinc/26/94/50/337269450.db2.gz WZYAKICAHMYAOS-MNOVXSKESA-N -1 1 320.345 1.539 20 0 DDADMM O=C(c1cncc([O-])c1)N(C[C@H]1CCCO1)[C@@H]1CCSC1 ZINC000111775636 484029295 /nfs/dbraw/zinc/02/92/95/484029295.db2.gz DMJIIWPDFQSLJV-TZMCWYRMSA-N -1 1 308.403 1.914 20 0 DDADMM Cc1ccc2nc(CNC(=O)C[C@]3(C(=O)[O-])CCOC3)[nH]c2c1 ZINC000656480263 484160800 /nfs/dbraw/zinc/16/08/00/484160800.db2.gz MAVVKDCAIIJFOJ-MRXNPFEDSA-N -1 1 317.345 1.369 20 0 DDADMM CCc1nc([C@@H](C)S(=O)(=O)c2nc3ccccc3[n-]2)n[nH]1 ZINC000656554225 484206448 /nfs/dbraw/zinc/20/64/48/484206448.db2.gz GHSHWTOIQBFJGX-MRVPVSSYSA-N -1 1 305.363 1.778 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccc2[nH]ccc21)[C@H]1CCOC1 ZINC000656621731 484245335 /nfs/dbraw/zinc/24/53/35/484245335.db2.gz WJLWXTFLVJXNSE-NHYWBVRUSA-N -1 1 324.402 1.961 20 0 DDADMM CCOc1cnc(C(=O)[N-]c2nc([C@@H](C)OC)ns2)cn1 ZINC000669852426 484666565 /nfs/dbraw/zinc/66/65/65/484666565.db2.gz JBQFNIMMWLYQLV-SSDOTTSWSA-N -1 1 309.351 1.687 20 0 DDADMM O=C([O-])[C@]1(C(=O)N[C@@H]2CCC[N@H+]3CCCC[C@@H]23)CC=CCC1 ZINC000663120235 484684449 /nfs/dbraw/zinc/68/44/49/484684449.db2.gz UKRNOEOWSHQEJW-JKIFEVAISA-N -1 1 306.406 1.931 20 0 DDADMM O=C(Nc1ccccc1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405330 484808946 /nfs/dbraw/zinc/80/89/46/484808946.db2.gz FNMAPADCEYIUPB-UHFFFAOYSA-N -1 1 316.317 1.120 20 0 DDADMM Nc1nccc([C@@H]2CCCN(C(=O)c3ccc([O-])c(F)c3)C2)n1 ZINC000671825534 485197096 /nfs/dbraw/zinc/19/70/96/485197096.db2.gz VVJBPNPGTFTKBZ-LLVKDONJSA-N -1 1 316.336 1.923 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1csc(C(F)(F)F)n1 ZINC000672715029 485338252 /nfs/dbraw/zinc/33/82/52/485338252.db2.gz FKAZAKFRXNWSDZ-UHFFFAOYSA-N -1 1 320.281 1.913 20 0 DDADMM O=C(Nc1cnn(Cc2ccccn2)c1)C(=O)c1ccc([O-])cc1 ZINC000672825640 485355729 /nfs/dbraw/zinc/35/57/29/485355729.db2.gz NSKQTTMYSGUOHU-UHFFFAOYSA-N -1 1 322.324 1.853 20 0 DDADMM CC(C)c1scnc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000685795089 485461332 /nfs/dbraw/zinc/46/13/32/485461332.db2.gz CMSPTKGDLQXKDF-VIFPVBQESA-N -1 1 306.395 1.799 20 0 DDADMM CC1(C)CN(C(=O)C(=O)c2ccc([O-])cc2)[C@H]1[C@H]1CCCO1 ZINC000685885729 485474970 /nfs/dbraw/zinc/47/49/70/485474970.db2.gz AYRUMOYHEWZCOR-HIFRSBDPSA-N -1 1 303.358 1.991 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc3nnc(C(C)C)n3c2)c1[O-] ZINC000682171252 485516149 /nfs/dbraw/zinc/51/61/49/485516149.db2.gz ZOKDNPGHVCSPQT-UHFFFAOYSA-N -1 1 300.322 1.842 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(c2cncc3ncnn32)CC1 ZINC000682466101 485608509 /nfs/dbraw/zinc/60/85/09/485608509.db2.gz PZAIINFWYPXHCD-UHFFFAOYSA-N -1 1 323.356 1.929 20 0 DDADMM CO[C@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CCO1 ZINC000682597465 485695170 /nfs/dbraw/zinc/69/51/70/485695170.db2.gz NACOLWGMEZLNEG-SNVBAGLBSA-N -1 1 309.366 1.233 20 0 DDADMM COc1nnc([N-]C(=O)c2ncn(-c3ccccc3)n2)s1 ZINC000682681351 485737910 /nfs/dbraw/zinc/73/79/10/485737910.db2.gz YLOMBNVRUYFQCO-UHFFFAOYSA-N -1 1 302.319 1.380 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c[nH]nc1-c1ccccc1Cl ZINC000674799514 485747493 /nfs/dbraw/zinc/74/74/93/485747493.db2.gz VUKDGUCYWMXYHF-UHFFFAOYSA-N -1 1 303.713 1.173 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c[nH]nc1-c1cccc(Cl)c1 ZINC000674798365 485747517 /nfs/dbraw/zinc/74/75/17/485747517.db2.gz APDMEHUZRTUUBW-UHFFFAOYSA-N -1 1 303.713 1.173 20 0 DDADMM CCC[C@H](NC(=O)CCOc1ccc(OC)cc1)c1nn[n-]n1 ZINC000675144606 485866460 /nfs/dbraw/zinc/86/64/60/485866460.db2.gz RJKALKLSZNVPAI-ZDUSSCGKSA-N -1 1 319.365 1.635 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCCCS1 ZINC000680009014 486073363 /nfs/dbraw/zinc/07/33/63/486073363.db2.gz LYISWKLOXUGUHP-RYUDHWBXSA-N -1 1 303.391 1.691 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)o1 ZINC000676237893 486157722 /nfs/dbraw/zinc/15/77/22/486157722.db2.gz LCQQAMMUWHRJGG-RXMQYKEDSA-N -1 1 321.280 1.835 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2ccnc(C)c2Cl)nc1C ZINC000680951239 486312022 /nfs/dbraw/zinc/31/20/22/486312022.db2.gz SKNINMWYLKIIOK-UHFFFAOYSA-N -1 1 314.798 1.791 20 0 DDADMM CCn1nccc1CN(CCO)C(=O)c1ccc([O-])c(F)c1 ZINC000684499713 486371595 /nfs/dbraw/zinc/37/15/95/486371595.db2.gz DNBSXHIQOHILJW-UHFFFAOYSA-N -1 1 307.325 1.382 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCC(F)(F)C2)co1 ZINC000677316224 486438612 /nfs/dbraw/zinc/43/86/12/486438612.db2.gz JAMDIUQDFMHORE-QMMMGPOBSA-N -1 1 308.306 1.105 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCN(C3CCCCC3)C2=O)c([O-])c1 ZINC000681738656 486506748 /nfs/dbraw/zinc/50/67/48/486506748.db2.gz BOXLRHXFXMMIQI-ZDUSSCGKSA-N -1 1 317.389 1.759 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C[C@H]1C ZINC000332469789 534130179 /nfs/dbraw/zinc/13/01/79/534130179.db2.gz UKYZWVXKCBAJAG-IJLUTSLNSA-N -1 1 323.466 1.672 20 0 DDADMM CC(C)(NC(=O)C=Cc1ccc2c(c1)OCCO2)c1nn[n-]n1 ZINC000492039237 527264460 /nfs/dbraw/zinc/26/44/60/527264460.db2.gz IUSSXTQVUSUTFS-XQRVVYSFSA-N -1 1 315.333 1.036 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2ccc(Br)o2)COC1 ZINC000451953224 527749070 /nfs/dbraw/zinc/74/90/70/527749070.db2.gz RTEWXNCKWXYDKX-UHFFFAOYSA-N -1 1 324.196 1.747 20 0 DDADMM CCOC(=O)[C@H](C)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425163730 527951702 /nfs/dbraw/zinc/95/17/02/527951702.db2.gz DBBXVTMCJQHWHD-SECBINFHSA-N -1 1 321.345 1.751 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)on1 ZINC000331761873 528018514 /nfs/dbraw/zinc/01/85/14/528018514.db2.gz BEJUYBPRSVFGDH-SECBINFHSA-N -1 1 306.322 1.906 20 0 DDADMM CCOC(=O)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)c2ccccc21 ZINC000444702526 528074103 /nfs/dbraw/zinc/07/41/03/528074103.db2.gz JEEDRFQFQIFFFG-LBPRGKRZSA-N -1 1 316.361 1.165 20 0 DDADMM CCOc1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000491946480 528364294 /nfs/dbraw/zinc/36/42/94/528364294.db2.gz VEFBTGOUCGDTFJ-JXMROGBWSA-N -1 1 301.350 1.663 20 0 DDADMM CCOC(C)(C)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000444286187 528432175 /nfs/dbraw/zinc/43/21/75/528432175.db2.gz ZCGFJXZHWYDFKD-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM CC(C)(CC(=O)NCCCc1nc(=O)[n-][nH]1)c1ccccc1 ZINC000174576077 534985827 /nfs/dbraw/zinc/98/58/27/534985827.db2.gz UIUCDLVMQUTSDS-UHFFFAOYSA-N -1 1 302.378 1.515 20 0 DDADMM O=C(Nc1nnc(C2CC2)s1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738206327 598981006 /nfs/dbraw/zinc/98/10/06/598981006.db2.gz CEJUYMSILZKEGB-UHFFFAOYSA-N -1 1 314.334 1.243 20 0 DDADMM O=C(Nc1nnc(C2CC2)s1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738206327 598981009 /nfs/dbraw/zinc/98/10/09/598981009.db2.gz CEJUYMSILZKEGB-UHFFFAOYSA-N -1 1 314.334 1.243 20 0 DDADMM Cc1cc(C)c(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c(C)n1 ZINC000737249127 598986508 /nfs/dbraw/zinc/98/65/08/598986508.db2.gz SASAZFVXCKGSSZ-UHFFFAOYSA-N -1 1 309.333 1.834 20 0 DDADMM Cc1cccc(CN(C)C(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737392965 598990128 /nfs/dbraw/zinc/99/01/28/598990128.db2.gz CNITYBDSRMJHIZ-UHFFFAOYSA-N -1 1 308.345 1.842 20 0 DDADMM Cc1cccc(CN(C)C(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737392965 598990129 /nfs/dbraw/zinc/99/01/29/598990129.db2.gz CNITYBDSRMJHIZ-UHFFFAOYSA-N -1 1 308.345 1.842 20 0 DDADMM O=C(NCCc1ccsc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738087498 598991240 /nfs/dbraw/zinc/99/12/40/598991240.db2.gz HDQLBWSQZKLQKK-UHFFFAOYSA-N -1 1 300.347 1.296 20 0 DDADMM O=C(NCCc1ccsc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738087498 598991243 /nfs/dbraw/zinc/99/12/43/598991243.db2.gz HDQLBWSQZKLQKK-UHFFFAOYSA-N -1 1 300.347 1.296 20 0 DDADMM CCc1cnc(CCNc2nccnc2-c2nnn[n-]2)s1 ZINC000821497768 599083147 /nfs/dbraw/zinc/08/31/47/599083147.db2.gz ZTMRTTXHIMILKQ-UHFFFAOYSA-N -1 1 302.367 1.330 20 0 DDADMM CCc1cnc(CCNc2nccnc2-c2nn[n-]n2)s1 ZINC000821497768 599083150 /nfs/dbraw/zinc/08/31/50/599083150.db2.gz ZTMRTTXHIMILKQ-UHFFFAOYSA-N -1 1 302.367 1.330 20 0 DDADMM CCO[C@@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)C12CCC2 ZINC000736613741 599119705 /nfs/dbraw/zinc/11/97/05/599119705.db2.gz SXVRDEJYRZGZEZ-NXEZZACHSA-N -1 1 301.354 1.416 20 0 DDADMM CCO[C@@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)C12CCC2 ZINC000736613741 599119707 /nfs/dbraw/zinc/11/97/07/599119707.db2.gz SXVRDEJYRZGZEZ-NXEZZACHSA-N -1 1 301.354 1.416 20 0 DDADMM C[C@@H](CNC(=O)[O-])N(C)Cc1cncc(Br)c1 ZINC000738941209 602562026 /nfs/dbraw/zinc/56/20/26/602562026.db2.gz LSQAQYIEGVIYGD-QMMMGPOBSA-N -1 1 302.172 1.932 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccccc1 ZINC000737909255 599135263 /nfs/dbraw/zinc/13/52/63/599135263.db2.gz RBEJSZWENKGOOM-UHFFFAOYSA-N -1 1 309.285 1.301 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccccc1 ZINC000737909255 599135266 /nfs/dbraw/zinc/13/52/66/599135266.db2.gz RBEJSZWENKGOOM-UHFFFAOYSA-N -1 1 309.285 1.301 20 0 DDADMM C[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)c1ccccc1 ZINC000736159969 599138559 /nfs/dbraw/zinc/13/85/59/599138559.db2.gz ZKLCDLTXGZWFDA-SNVBAGLBSA-N -1 1 323.312 1.690 20 0 DDADMM C[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)c1ccccc1 ZINC000736159969 599138562 /nfs/dbraw/zinc/13/85/62/599138562.db2.gz ZKLCDLTXGZWFDA-SNVBAGLBSA-N -1 1 323.312 1.690 20 0 DDADMM CN(Cc1ccc(F)cc1)C(=O)CN1CCC(C(=O)[O-])CC1 ZINC000262685379 596937577 /nfs/dbraw/zinc/93/75/77/596937577.db2.gz XRFPYWYLANKNCV-UHFFFAOYSA-N -1 1 308.353 1.581 20 0 DDADMM Cc1ccc([C@H](CNC(=O)N(C)CCC(=O)[O-])N2CCCC2)o1 ZINC000818879408 596994136 /nfs/dbraw/zinc/99/41/36/596994136.db2.gz YWIBPKRPSVPFLC-ZDUSSCGKSA-N -1 1 323.393 1.841 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)[C@@H](C)N1CCC[C@H](CC(=O)[O-])C1 ZINC000819023568 597080531 /nfs/dbraw/zinc/08/05/31/597080531.db2.gz RDXYDMJZXQJTNP-CHWSQXEVSA-N -1 1 322.409 1.551 20 0 DDADMM CC(C)N1CCN(C(=O)Nc2cccc(CC(=O)[O-])c2)CC1 ZINC000316123550 597191044 /nfs/dbraw/zinc/19/10/44/597191044.db2.gz SWJOCDQZDJGJND-UHFFFAOYSA-N -1 1 305.378 1.872 20 0 DDADMM C[C@H](NCC(=O)NCC(=O)[O-])c1ccc(OC(F)(F)F)cc1 ZINC000820142653 597807111 /nfs/dbraw/zinc/80/71/11/597807111.db2.gz ZQMLKORZSHUWMW-QMMMGPOBSA-N -1 1 320.267 1.437 20 0 DDADMM O=C([O-])CNC(=O)CN[C@H](c1ccccc1F)C1CCCC1 ZINC000821530386 597809643 /nfs/dbraw/zinc/80/96/43/597809643.db2.gz IGEZPCFTLBHDIT-INIZCTEOSA-N -1 1 308.353 1.847 20 0 DDADMM CC(C)[C@@H](NCC(=O)NC(=O)N[C@H]1CCCC[C@@H]1C)C(=O)[O-] ZINC000820236016 598188937 /nfs/dbraw/zinc/18/89/37/598188937.db2.gz ZTRZUPQYOZMCOH-GMXVVIOVSA-N -1 1 313.398 1.090 20 0 DDADMM C[C@H]1CCCC[C@H]1NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736273812 598258664 /nfs/dbraw/zinc/25/86/64/598258664.db2.gz RWWJKNFPOFYRAY-GXSJLCMTSA-N -1 1 322.394 1.119 20 0 DDADMM C[C@H]1CCCC[C@H]1NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736273812 598258667 /nfs/dbraw/zinc/25/86/67/598258667.db2.gz RWWJKNFPOFYRAY-GXSJLCMTSA-N -1 1 322.394 1.119 20 0 DDADMM c1ccc(NCCCCNc2nccnc2-c2nnn[n-]2)nc1 ZINC000738435256 598394443 /nfs/dbraw/zinc/39/44/43/598394443.db2.gz JVXITNMNSWGMBW-UHFFFAOYSA-N -1 1 311.353 1.356 20 0 DDADMM c1ccc(NCCCCNc2nccnc2-c2nn[n-]n2)nc1 ZINC000738435256 598394445 /nfs/dbraw/zinc/39/44/45/598394445.db2.gz JVXITNMNSWGMBW-UHFFFAOYSA-N -1 1 311.353 1.356 20 0 DDADMM c1ccc2c(c1)OCC[C@H]2CNc1nccnc1-c1nnn[n-]1 ZINC000738442521 598538460 /nfs/dbraw/zinc/53/84/60/598538460.db2.gz PDYQWGCNKPCGJV-JTQLQIEISA-N -1 1 309.333 1.635 20 0 DDADMM c1ccc2c(c1)OCC[C@H]2CNc1nccnc1-c1nn[n-]n1 ZINC000738442521 598538461 /nfs/dbraw/zinc/53/84/61/598538461.db2.gz PDYQWGCNKPCGJV-JTQLQIEISA-N -1 1 309.333 1.635 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1cccc(OC(F)F)c1 ZINC000738371854 598676252 /nfs/dbraw/zinc/67/62/52/598676252.db2.gz KUJJTVTYYLBNEE-UHFFFAOYSA-N -1 1 319.271 1.678 20 0 DDADMM O=S(=O)(c1ccc(F)c(-c2nn[n-]n2)c1)N1CCCCC1 ZINC000738354629 598685709 /nfs/dbraw/zinc/68/57/09/598685709.db2.gz IJZOKTWCHULVOD-UHFFFAOYSA-N -1 1 311.342 1.180 20 0 DDADMM COC[C@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000736970021 598817794 /nfs/dbraw/zinc/81/77/94/598817794.db2.gz AIPOOAMRWMMCGY-VIFPVBQESA-N -1 1 308.773 1.778 20 0 DDADMM COC[C@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000736970021 598817795 /nfs/dbraw/zinc/81/77/95/598817795.db2.gz AIPOOAMRWMMCGY-VIFPVBQESA-N -1 1 308.773 1.778 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@@H]1C[C@@]12CCc1ccccc12 ZINC000822903729 599319142 /nfs/dbraw/zinc/31/91/42/599319142.db2.gz ODHWNHZWALHFNT-BLLLJJGKSA-N -1 1 321.344 1.190 20 0 DDADMM C[C@@H](Nc1cccc(-c2nnn[n-]2)n1)C(=O)Nc1ccccc1 ZINC000736135993 599436272 /nfs/dbraw/zinc/43/62/72/599436272.db2.gz CRNQVSOVYKOCNM-SNVBAGLBSA-N -1 1 309.333 1.701 20 0 DDADMM C[C@@H](Nc1cccc(-c2nn[n-]n2)n1)C(=O)Nc1ccccc1 ZINC000736135993 599436277 /nfs/dbraw/zinc/43/62/77/599436277.db2.gz CRNQVSOVYKOCNM-SNVBAGLBSA-N -1 1 309.333 1.701 20 0 DDADMM CCO[C@H]1C[C@H](OC(=O)c2ccc(-c3nnn[n-]3)nc2)C1(C)C ZINC000736615895 599618117 /nfs/dbraw/zinc/61/81/17/599618117.db2.gz YWAPCFFQUNGNEZ-RYUDHWBXSA-N -1 1 317.349 1.622 20 0 DDADMM CCO[C@H]1C[C@H](OC(=O)c2ccc(-c3nn[n-]n3)nc2)C1(C)C ZINC000736615895 599618119 /nfs/dbraw/zinc/61/81/19/599618119.db2.gz YWAPCFFQUNGNEZ-RYUDHWBXSA-N -1 1 317.349 1.622 20 0 DDADMM CN(C)[C@@H](CNC(=O)C(C)(C)NC(=O)[O-])c1ccc(F)cc1 ZINC000737360285 599736802 /nfs/dbraw/zinc/73/68/02/599736802.db2.gz HPWWOQRYBRHMFS-LBPRGKRZSA-N -1 1 311.357 1.591 20 0 DDADMM C[C@@H](Cc1c(F)cccc1F)NC(=O)CNC(C)(C)C(=O)[O-] ZINC000736616863 599927679 /nfs/dbraw/zinc/92/76/79/599927679.db2.gz BJWZQKNHVNTULC-VIFPVBQESA-N -1 1 314.332 1.465 20 0 DDADMM C[C@@H](C[N@@H+](Cc1c([O-])nnn1-c1ccccc1)C1CC1)C(=O)[O-] ZINC000736585142 599932520 /nfs/dbraw/zinc/93/25/20/599932520.db2.gz VDNYMQVESFXPMQ-NSHDSACASA-N -1 1 316.361 1.658 20 0 DDADMM C[C@@H](CN1CCN(C)CC1)NC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000736586983 600011231 /nfs/dbraw/zinc/01/12/31/600011231.db2.gz RPNKOHMAMBUYEP-LBPRGKRZSA-N -1 1 320.393 1.142 20 0 DDADMM Cc1cccc(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)c1Cl ZINC000826296467 607861595 /nfs/dbraw/zinc/86/15/95/607861595.db2.gz YDJDDYWEYYVZRM-UHFFFAOYSA-N -1 1 317.740 1.814 20 0 DDADMM Cc1cccc(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)c1Cl ZINC000826296467 607861596 /nfs/dbraw/zinc/86/15/96/607861596.db2.gz YDJDDYWEYYVZRM-UHFFFAOYSA-N -1 1 317.740 1.814 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC000738406389 600061249 /nfs/dbraw/zinc/06/12/49/600061249.db2.gz OHVBABRPXSKHGL-KBPBESRZSA-N -1 1 317.389 1.406 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCC[C@@H](N2CCNC2=O)C1 ZINC000740079326 600090021 /nfs/dbraw/zinc/09/00/21/600090021.db2.gz PNYVMDDQUSSBSZ-CYBMUJFWSA-N -1 1 321.352 1.514 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)NCCSCC(=O)[O-] ZINC000737357500 600102460 /nfs/dbraw/zinc/10/24/60/600102460.db2.gz BRJFLSKLLSGRIH-ZDUSSCGKSA-N -1 1 310.419 1.093 20 0 DDADMM O=C([O-])c1cn(CN2CC[C@H](Cc3ccc(F)cc3)C2)nn1 ZINC000740253426 600259488 /nfs/dbraw/zinc/25/94/88/600259488.db2.gz JXSVHHCYDPGSMA-GFCCVEGCSA-N -1 1 304.325 1.638 20 0 DDADMM O=C([O-])CCNC(=O)CN(CCc1ccc(F)cc1)C1CC1 ZINC000739733862 600268433 /nfs/dbraw/zinc/26/84/33/600268433.db2.gz FPDUXKAKKKGOFG-UHFFFAOYSA-N -1 1 308.353 1.424 20 0 DDADMM CCC[C@](C)(NCC(=O)NC[C@H]1Cc2ccccc2O1)C(=O)[O-] ZINC000736962978 600323983 /nfs/dbraw/zinc/32/39/83/600323983.db2.gz IJFYYFFVPWBOIC-DYVFJYSZSA-N -1 1 320.389 1.339 20 0 DDADMM CC[C@@H](O)[C@H]1CCCCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000736925491 600441884 /nfs/dbraw/zinc/44/18/84/600441884.db2.gz UCKHJLRSIPSLCN-HUUCEWRRSA-N -1 1 320.389 1.949 20 0 DDADMM C[C@@H](c1ccc(C(=O)[O-])o1)N1CCC[C@H](CS(N)(=O)=O)C1 ZINC000736718798 600442066 /nfs/dbraw/zinc/44/20/66/600442066.db2.gz UFXWNSVDFLNUIP-UWVGGRQHSA-N -1 1 316.379 1.039 20 0 DDADMM Cc1cc(CNC(=O)NCCc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000738389680 600456259 /nfs/dbraw/zinc/45/62/59/600456259.db2.gz UOFILUYECMXBHP-UHFFFAOYSA-N -1 1 302.334 1.458 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1cnn[nH]1)Cc1cccc2ccccc21 ZINC000739404241 600599024 /nfs/dbraw/zinc/59/90/24/600599024.db2.gz UHWWRBOHQAJWOB-ZDUSSCGKSA-N -1 1 324.340 1.631 20 0 DDADMM C[C@@H]1C[C@@H](N(C)S(=O)(=O)c2ccc(C(=O)[O-])o2)CCN1C ZINC000828167354 600760065 /nfs/dbraw/zinc/76/00/65/600760065.db2.gz FSDSEQHUBAESAH-ZJUUUORDSA-N -1 1 316.379 1.081 20 0 DDADMM Cc1ccc([C@H]2C[C@H](C)CCN2CC(=O)NCCC(=O)[O-])o1 ZINC000832930048 600858358 /nfs/dbraw/zinc/85/83/58/600858358.db2.gz PEJNPPBYRBLJGZ-DGCLKSJQSA-N -1 1 308.378 1.952 20 0 DDADMM O=C([O-])[C@H]1CCC[C@H](C(=O)Nc2nc(-c3ccco3)n[nH]2)C1 ZINC000833190187 600927897 /nfs/dbraw/zinc/92/78/97/600927897.db2.gz WIUUMHDAUIGMSP-IUCAKERBSA-N -1 1 304.306 1.894 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@H]1CCC[C@H](C(=O)[O-])C1 ZINC000317205880 600958431 /nfs/dbraw/zinc/95/84/31/600958431.db2.gz KEYRHAFQXFCFCI-CBBWQLFWSA-N -1 1 308.422 1.963 20 0 DDADMM C[C@@H](O)CCN(C)[C@@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000827796348 601018216 /nfs/dbraw/zinc/01/82/16/601018216.db2.gz RONLQJTVOJPSTP-NEPJUHHUSA-N -1 1 308.378 1.092 20 0 DDADMM CN(Cc1ccccc1)C(=O)CN1CCSC[C@@H]1CC(=O)[O-] ZINC000381635348 601022306 /nfs/dbraw/zinc/02/23/06/601022306.db2.gz VDBRFOISPBDEBN-AWEZNQCLSA-N -1 1 322.430 1.537 20 0 DDADMM C[C@@](NCC(=O)NCCOc1ccccc1)(C(=O)[O-])C1CC1 ZINC000827726702 601032101 /nfs/dbraw/zinc/03/21/01/601032101.db2.gz BZULIULNKOQDIP-INIZCTEOSA-N -1 1 306.362 1.025 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2ccccc2F)CC1 ZINC000827356136 601099261 /nfs/dbraw/zinc/09/92/61/601099261.db2.gz PLNMLBYBTYPLKJ-FWWRYZNZSA-N -1 1 320.364 1.846 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2cccc(F)c2)CC1 ZINC000827357386 601099599 /nfs/dbraw/zinc/09/95/99/601099599.db2.gz XOXQLVRJYRKUQB-CFHLNLSMSA-N -1 1 320.364 1.846 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2c(O)cccc2F)o1 ZINC000740177670 601117025 /nfs/dbraw/zinc/11/70/25/601117025.db2.gz REIUTMCEGHSSHG-UHFFFAOYSA-N -1 1 301.251 1.623 20 0 DDADMM C/C(=C/CN1CCN(C[C@H](O)c2ccccc2)CC1)C(=O)[O-] ZINC000825741251 601164215 /nfs/dbraw/zinc/16/42/15/601164215.db2.gz KVXXEYFBADJBLS-VFXTVZEFSA-N -1 1 304.390 1.369 20 0 DDADMM CCN(CCCO)CC(=O)NCc1ccc(NC(=O)[O-])cc1 ZINC000829709726 601179535 /nfs/dbraw/zinc/17/95/35/601179535.db2.gz SKRRZMBCXCKLJM-UHFFFAOYSA-N -1 1 309.366 1.097 20 0 DDADMM O=C([O-])N1CCN(C(=O)Nc2cnn(-c3ccncc3)c2)CC1 ZINC000832024432 601313486 /nfs/dbraw/zinc/31/34/86/601313486.db2.gz KTFCPATZTVIGCD-UHFFFAOYSA-N -1 1 316.321 1.095 20 0 DDADMM C[C@@H]1CN(Cc2nc3ccccc3c(=O)n2C)C[C@H]1C(=O)[O-] ZINC000828461814 601534574 /nfs/dbraw/zinc/53/45/74/601534574.db2.gz CUCBWZGUQXBVLC-ZYHUDNBSSA-N -1 1 301.346 1.086 20 0 DDADMM Cc1cc(NC(=O)CN2C[C@@H](C)[C@H](C(=O)[O-])C2)n(C(C)(C)C)n1 ZINC000832892715 601536197 /nfs/dbraw/zinc/53/61/97/601536197.db2.gz KGXFWGNCTZMSBF-ZYHUDNBSSA-N -1 1 322.409 1.538 20 0 DDADMM OCCCN(Cc1ccnc(-c2nnn[n-]2)c1)c1ccccc1 ZINC000826509729 607514045 /nfs/dbraw/zinc/51/40/45/607514045.db2.gz HIIXECLWUUTCIM-UHFFFAOYSA-N -1 1 310.361 1.651 20 0 DDADMM OCCCN(Cc1ccnc(-c2nn[n-]n2)c1)c1ccccc1 ZINC000826509729 607514046 /nfs/dbraw/zinc/51/40/46/607514046.db2.gz HIIXECLWUUTCIM-UHFFFAOYSA-N -1 1 310.361 1.651 20 0 DDADMM NC(=O)c1ccc(CN[C@@H](C(=O)[O-])c2ccc(F)cc2)cn1 ZINC000833084965 601560755 /nfs/dbraw/zinc/56/07/55/601560755.db2.gz CJCVVEFIRRJQOS-CYBMUJFWSA-N -1 1 303.293 1.235 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)Nc1cnn(-c2ccncc2)c1)C1CC1 ZINC000833198802 601627154 /nfs/dbraw/zinc/62/71/54/601627154.db2.gz LGIMYHPEUPEUAD-CYBMUJFWSA-N -1 1 315.333 1.642 20 0 DDADMM O=C([O-])c1ccc(CN2CC[C@@H](N3CCOCC3)C2)cc1F ZINC000318439932 601643580 /nfs/dbraw/zinc/64/35/80/601643580.db2.gz PJPDQUWQPHHAPR-CYBMUJFWSA-N -1 1 308.353 1.430 20 0 DDADMM COc1ccc(CNC(=O)Cc2cc(C)n[nH]2)cc1C(=O)[O-] ZINC000832324367 601650752 /nfs/dbraw/zinc/65/07/52/601650752.db2.gz VSWWRVAKPVFPTH-UHFFFAOYSA-N -1 1 303.318 1.284 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCC[C@H]2COCC[C@@H]21)C(=O)[O-] ZINC000828715033 601662098 /nfs/dbraw/zinc/66/20/98/601662098.db2.gz CIQQTSYIJJPLEP-ABHRYQDASA-N -1 1 312.410 1.103 20 0 DDADMM Cc1cc(C(=O)N2CCNC(C)(C)C2)cc(C)c1OCC(=O)[O-] ZINC000832862132 601732477 /nfs/dbraw/zinc/73/24/77/601732477.db2.gz USDKDMPTWODPPQ-UHFFFAOYSA-N -1 1 320.389 1.591 20 0 DDADMM C[C@]1(C(=O)[O-])CCN([C@H]2CC(=O)N(c3ccccc3)C2=O)C1 ZINC000316693867 601849983 /nfs/dbraw/zinc/84/99/83/601849983.db2.gz OTHNZGZXOACBEG-LRDDRELGSA-N -1 1 302.330 1.115 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)Sc1c[nH]nn1 ZINC000832914291 601897026 /nfs/dbraw/zinc/89/70/26/601897026.db2.gz BJORWFSTKBWEQS-MRVPVSSYSA-N -1 1 306.347 1.931 20 0 DDADMM Cc1c(C(=O)[O-])sc2[nH]c(CSc3c[nH]nn3)nc(=O)c12 ZINC000832854038 601897098 /nfs/dbraw/zinc/89/70/98/601897098.db2.gz RSCWFXINNNWCTL-UHFFFAOYSA-N -1 1 323.359 1.402 20 0 DDADMM C[C@@H]1CCCC[C@@H]1N(C)C(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000739097479 601938888 /nfs/dbraw/zinc/93/88/88/601938888.db2.gz HUWYNSBDRBLFGS-MCIONIFRSA-N -1 1 311.426 1.613 20 0 DDADMM CCOc1ccccc1NC(=O)CN1CC[C@@](C)(C(=O)[O-])C1 ZINC000315995248 601939706 /nfs/dbraw/zinc/93/97/06/601939706.db2.gz DADQLEIDIBCMCQ-MRXNPFEDSA-N -1 1 306.362 1.820 20 0 DDADMM Cc1ccccc1CNC(=O)[C@H](C)N1CC[C@H](CNC(=O)[O-])C1 ZINC000740185533 601945876 /nfs/dbraw/zinc/94/58/76/601945876.db2.gz UYFNNBMESORWSI-UONOGXRCSA-N -1 1 319.405 1.589 20 0 DDADMM C[C@@H](NC(=O)CN1CC[C@@H](CNC(=O)[O-])C1)c1ccc(F)cc1 ZINC000738959532 601957598 /nfs/dbraw/zinc/95/75/98/601957598.db2.gz CTKWTDCXVHOKHF-NEPJUHHUSA-N -1 1 323.368 1.592 20 0 DDADMM C[C@H](C(=O)N[C@@H](C)c1ccccc1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738953321 601960113 /nfs/dbraw/zinc/96/01/13/601960113.db2.gz PNUGJTYIEJOFDC-MJBXVCDLSA-N -1 1 319.405 1.842 20 0 DDADMM CN1CCC[C@H](NC(=O)[C@H](Cc2ccccc2)NC(=O)[O-])C1 ZINC000739726441 602067874 /nfs/dbraw/zinc/06/78/74/602067874.db2.gz AEPATODZSUGRKF-KBPBESRZSA-N -1 1 305.378 1.076 20 0 DDADMM COc1ccc(C=O)c(OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000826190896 607543386 /nfs/dbraw/zinc/54/33/86/607543386.db2.gz QIKLKWWVTIJGAN-UHFFFAOYSA-N -1 1 311.301 1.662 20 0 DDADMM COc1ccc(C=O)c(OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000826190896 607543387 /nfs/dbraw/zinc/54/33/87/607543387.db2.gz QIKLKWWVTIJGAN-UHFFFAOYSA-N -1 1 311.301 1.662 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCC[C@H](CNC(=O)[O-])C2)c1 ZINC000740055927 602247686 /nfs/dbraw/zinc/24/76/86/602247686.db2.gz RBBAQEYRVDBULQ-LLVKDONJSA-N -1 1 316.361 1.991 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCCN1Cc1cnc2ccnn2c1 ZINC000738997771 602261519 /nfs/dbraw/zinc/26/15/19/602261519.db2.gz CWEGPRAWWITUPU-DGCLKSJQSA-N -1 1 303.366 1.740 20 0 DDADMM C[C@H](CCNC(=O)[C@H](Cc1ccccc1)N(C)C)NC(=O)[O-] ZINC000738896518 602458134 /nfs/dbraw/zinc/45/81/34/602458134.db2.gz FITCFYGNPUXWPZ-OCCSQVGLSA-N -1 1 307.394 1.322 20 0 DDADMM CCCc1cc(C(=O)N(CCC)[C@@H]2CCN(C(=O)[O-])C2)n[nH]1 ZINC000739376714 602515982 /nfs/dbraw/zinc/51/59/82/602515982.db2.gz XBCYADKHHQBRBE-GFCCVEGCSA-N -1 1 308.382 1.967 20 0 DDADMM CN(C[C@H]1CCN(C[C@H](O)c2cc(F)ccc2F)C1)C(=O)[O-] ZINC000739665129 602529379 /nfs/dbraw/zinc/52/93/79/602529379.db2.gz LADBJWTTXXPYEJ-YGRLFVJLSA-N -1 1 314.332 1.930 20 0 DDADMM Cc1c(O)ccc2c(CN(C)[C@@H](C)CNC(=O)[O-])cc(=O)oc12 ZINC000740049762 602561839 /nfs/dbraw/zinc/56/18/39/602561839.db2.gz OBJYYGMCKBCJTA-VIFPVBQESA-N -1 1 320.345 1.895 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCC(N(C)C(=O)[O-])CC1 ZINC000738166919 602561974 /nfs/dbraw/zinc/56/19/74/602561974.db2.gz MRZUEWAKAVBUMS-UHFFFAOYSA-N -1 1 323.397 1.435 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)c2c(F)cccc2F)CC[C@@H]1NC(=O)[O-] ZINC000739178792 602779253 /nfs/dbraw/zinc/77/92/53/602779253.db2.gz KJOQDJTXLZZAOF-JIMOISOXSA-N -1 1 314.332 1.976 20 0 DDADMM O=C([O-])N1CCC[C@H](CNC(=O)N2CCN(C3CCC3)CC2)C1 ZINC000740515400 602963674 /nfs/dbraw/zinc/96/36/74/602963674.db2.gz JXSNXRBOPGDCGE-CYBMUJFWSA-N -1 1 324.425 1.256 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1CCCC[C@@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000739729897 603047798 /nfs/dbraw/zinc/04/77/98/603047798.db2.gz NTPFRZDSMBZAGB-HZSPNIEDSA-N -1 1 309.410 1.604 20 0 DDADMM O=C([O-])NCCN1CCC(NC(=O)Nc2ccccc2)CC1 ZINC000740657769 603084683 /nfs/dbraw/zinc/08/46/83/603084683.db2.gz HBPDDRMNAIVMKL-UHFFFAOYSA-N -1 1 306.366 1.540 20 0 DDADMM CCCC[C@@H](OC(=O)c1sccc1-c1nn[n-]n1)C(=O)OC ZINC000825267637 608104109 /nfs/dbraw/zinc/10/41/09/608104109.db2.gz LETJPUMXEMMZFZ-SECBINFHSA-N -1 1 324.362 1.817 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)[C@H]1CCC[C@@H](NC(=O)[O-])C1 ZINC000738801552 603211128 /nfs/dbraw/zinc/21/11/28/603211128.db2.gz QULOVKJSJAOJSB-MJBXVCDLSA-N -1 1 311.426 1.611 20 0 DDADMM C[C@H](C(=O)Nc1ccc2c(c1)OCO2)N(C)CCCNC(=O)[O-] ZINC000738632716 603249179 /nfs/dbraw/zinc/24/91/79/603249179.db2.gz UWUYIGWJJAMUHV-SNVBAGLBSA-N -1 1 323.349 1.332 20 0 DDADMM O=C([O-])N1CC[C@@H](CSc2nc(-c3cccnc3)n[nH]2)C1 ZINC000740502958 603374558 /nfs/dbraw/zinc/37/45/58/603374558.db2.gz VWUUKMVYJBRYHD-SECBINFHSA-N -1 1 305.363 1.959 20 0 DDADMM O=C([O-])N[C@@H](Cc1ccccc1)[C@@H](O)CN1CCSCC1 ZINC000832757762 603399376 /nfs/dbraw/zinc/39/93/76/603399376.db2.gz OEYUKZQBGXREQQ-KBPBESRZSA-N -1 1 310.419 1.275 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](N(C)C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823660769 603506961 /nfs/dbraw/zinc/50/69/61/603506961.db2.gz CYNJUYPKAYBSAR-HIFRSBDPSA-N -1 1 319.405 1.718 20 0 DDADMM O=C([O-])NC1(CC(=O)N2CCC(c3cnc[nH]3)CC2)CCC1 ZINC000832194122 603549353 /nfs/dbraw/zinc/54/93/53/603549353.db2.gz NVGGPZQCZKEPHI-UHFFFAOYSA-N -1 1 306.366 1.696 20 0 DDADMM O=C([O-])N[C@H]1CC[C@@H](C(=O)Nc2ccn(-c3ccncc3)n2)C1 ZINC000832298650 603618104 /nfs/dbraw/zinc/61/81/04/603618104.db2.gz UZVWMWVXIWZKRA-MNOVXSKESA-N -1 1 315.333 1.642 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H]3CCC[C@H]3NC(=O)[O-])[nH]c2c1 ZINC000830432304 603763912 /nfs/dbraw/zinc/76/39/12/603763912.db2.gz CSVCBXSSRXSVIM-GHMZBOCLSA-N -1 1 316.361 1.924 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCN(C[C@H](O)COc2ccccc2F)C1 ZINC000827881614 603814992 /nfs/dbraw/zinc/81/49/92/603814992.db2.gz GDVGXGGEQJXXOE-RYUDHWBXSA-N -1 1 312.341 1.250 20 0 DDADMM C[C@@H](CN(C)C(=O)[O-])C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000824862512 603934549 /nfs/dbraw/zinc/93/45/49/603934549.db2.gz MNGDMXJZZMARBR-QMMMGPOBSA-N -1 1 308.313 1.564 20 0 DDADMM CCCN(C(=O)[C@@H]1CCCc2[nH]ncc21)[C@@H]1CCN(C(=O)[O-])C1 ZINC000826860005 603981996 /nfs/dbraw/zinc/98/19/96/603981996.db2.gz YDTRCJGECCXSQZ-VXGBXAGGSA-N -1 1 320.393 1.821 20 0 DDADMM CCN(CC)CC(=O)Nc1cc2c(cc1NC(=O)[O-])OCO2 ZINC000827039811 604011664 /nfs/dbraw/zinc/01/16/64/604011664.db2.gz GNKOPHPDCWEDQA-UHFFFAOYSA-N -1 1 309.322 1.786 20 0 DDADMM C[C@@H]1CN(C[C@H](O)COCc2ccccc2)C[C@H](C)N1C(=O)[O-] ZINC000739177114 604016731 /nfs/dbraw/zinc/01/67/31/604016731.db2.gz FUZCJTBQKYEESO-LZWOXQAQSA-N -1 1 322.405 1.637 20 0 DDADMM CCc1cnc(N2CCN(C[C@@H]3CCN(C(=O)[O-])C3)CC2)s1 ZINC000827616163 604059008 /nfs/dbraw/zinc/05/90/08/604059008.db2.gz IIZUKOBKECRJGJ-LBPRGKRZSA-N -1 1 324.450 1.827 20 0 DDADMM O=C([O-])NC1(CC(=O)Nc2nc(-c3ccco3)n[nH]2)CCC1 ZINC000832216014 604149751 /nfs/dbraw/zinc/14/97/51/604149751.db2.gz LLMBCKLFYMJICN-UHFFFAOYSA-N -1 1 305.294 1.584 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC[C@@H]([C@@H]3CCOC3)C2)c1 ZINC000826514563 607682765 /nfs/dbraw/zinc/68/27/65/607682765.db2.gz VUNQRSWAMDCVTO-VXGBXAGGSA-N -1 1 300.366 1.515 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC[C@@H]([C@@H]3CCOC3)C2)c1 ZINC000826514563 607682766 /nfs/dbraw/zinc/68/27/66/607682766.db2.gz VUNQRSWAMDCVTO-VXGBXAGGSA-N -1 1 300.366 1.515 20 0 DDADMM O=C([O-])c1ccc(C(=O)NC2(c3nn[nH]n3)CCCC2)cc1F ZINC000833129828 604318914 /nfs/dbraw/zinc/31/89/14/604318914.db2.gz SBXZRYOOPXBJNH-UHFFFAOYSA-N -1 1 319.296 1.236 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(=O)[O-])c(F)c1)c1nn[n-]n1 ZINC000829231019 604332799 /nfs/dbraw/zinc/33/27/99/604332799.db2.gz RWKKVIYOUFQNRF-JTQLQIEISA-N -1 1 307.285 1.308 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(=O)[O-])c(F)c1)c1nn[nH]n1 ZINC000829231019 604332801 /nfs/dbraw/zinc/33/28/01/604332801.db2.gz RWKKVIYOUFQNRF-JTQLQIEISA-N -1 1 307.285 1.308 20 0 DDADMM C[C@@H]1CCCN(CCNC(=O)OC(C)(C)C)[C@H]1CNC(=O)[O-] ZINC000825926901 604369264 /nfs/dbraw/zinc/36/92/64/604369264.db2.gz ZCBMOISTSDBAKL-NEPJUHHUSA-N -1 1 315.414 1.879 20 0 DDADMM Cc1ccc2c(CN3CC[C@@H](NC(=O)[O-])C3)cc(=O)oc2c1 ZINC000740152081 604430720 /nfs/dbraw/zinc/43/07/20/604430720.db2.gz CAEYWDGNOTVCOT-GFCCVEGCSA-N -1 1 302.330 1.943 20 0 DDADMM CN(C)[C@H](CNC(=O)C1(NC(=O)[O-])CC1)c1ccc(F)cc1 ZINC000827994705 604435147 /nfs/dbraw/zinc/43/51/47/604435147.db2.gz NKUJQXFKAAIWHZ-GFCCVEGCSA-N -1 1 309.341 1.345 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(OCC(=O)[O-])cc2)[C@H](C)CN1C ZINC000833622800 604579845 /nfs/dbraw/zinc/57/98/45/604579845.db2.gz OEGQMOLEMLMXHF-VXGBXAGGSA-N -1 1 306.362 1.315 20 0 DDADMM Cc1cc(CN2CCNC(=O)CC2)cc(C)c1OCC(=O)[O-] ZINC000833745621 604633943 /nfs/dbraw/zinc/63/39/43/604633943.db2.gz QGHCCEOAZWKAQB-UHFFFAOYSA-N -1 1 306.362 1.089 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)C3(NC(=O)[O-])CCCC3)C2)n[nH]1 ZINC000830734672 604644505 /nfs/dbraw/zinc/64/45/05/604644505.db2.gz NQQKAKWHHKOFNY-NSHDSACASA-N -1 1 321.381 1.400 20 0 DDADMM C[C@@H](Oc1ccc(CN2CCc3cn[nH]c3C2)cc1)C(=O)[O-] ZINC000833538223 604657555 /nfs/dbraw/zinc/65/75/55/604657555.db2.gz BXAYXZDNRHRFRY-LLVKDONJSA-N -1 1 301.346 1.820 20 0 DDADMM CCOC[C@H](C(=O)[O-])N(C)C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000829960332 604732900 /nfs/dbraw/zinc/73/29/00/604732900.db2.gz FZJFMDSYSXEFBJ-LLVKDONJSA-N -1 1 323.374 1.700 20 0 DDADMM O=C([O-])CC1(NCc2nc(-c3ccccc3)no2)CCOCC1 ZINC000833205662 604791491 /nfs/dbraw/zinc/79/14/91/604791491.db2.gz KHOKZJCWZSBFNI-UHFFFAOYSA-N -1 1 317.345 1.850 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1CC(=O)N(C)c1ccccc1C(=O)[O-] ZINC000833585908 604851603 /nfs/dbraw/zinc/85/16/03/604851603.db2.gz CGVQWAAIRCHXAW-QWHCGFSZSA-N -1 1 319.405 1.372 20 0 DDADMM CC(C)Nc1ncccc1C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833504596 604900894 /nfs/dbraw/zinc/90/08/94/604900894.db2.gz LGCHPFHDOIBMOY-LBPRGKRZSA-N -1 1 317.349 1.051 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)Nc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000833674521 605019176 /nfs/dbraw/zinc/01/91/76/605019176.db2.gz SNTPHPFOJHQDPF-MRVPVSSYSA-N -1 1 303.322 1.948 20 0 DDADMM CN(CC(=O)N1C[C@H](C(=O)[O-])c2ccccc21)[C@H]1CCSC1 ZINC000833691641 605044823 /nfs/dbraw/zinc/04/48/23/605044823.db2.gz RGMOGDRKYGQXSV-AAEUAGOBSA-N -1 1 320.414 1.639 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1C[C@@H]1C1CCCCC1 ZINC000833767947 605083955 /nfs/dbraw/zinc/08/39/55/605083955.db2.gz VIAFTWWIYHIULK-RDBSUJKOSA-N -1 1 305.378 1.738 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)C2CCC(C(=O)[O-])CC2)C1 ZINC000399630035 605086208 /nfs/dbraw/zinc/08/62/08/605086208.db2.gz FYGSYIRXPUDWFB-JXQTWKCFSA-N -1 1 312.410 1.104 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3CC[C@H](NC(=O)[O-])C3)[nH]c2c1 ZINC000830433516 605108068 /nfs/dbraw/zinc/10/80/68/605108068.db2.gz VUGBURAKQCPCCS-JTQLQIEISA-N -1 1 317.349 1.423 20 0 DDADMM CCS[C@@H]1CC[C@H](N(C)CN2C[C@@H](C(=O)[O-])CC2=O)C1 ZINC000833668551 605176555 /nfs/dbraw/zinc/17/65/55/605176555.db2.gz SLEQHAAGFQHOIB-SDDRHHMPSA-N -1 1 300.424 1.483 20 0 DDADMM CS(=O)(=O)Nc1cccc(CNCc2ccoc2C(=O)[O-])c1 ZINC000833738179 605274016 /nfs/dbraw/zinc/27/40/16/605274016.db2.gz QRQNBCHAOLQVHR-UHFFFAOYSA-N -1 1 324.358 1.639 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2C[C@@H](C)N(C(=O)[O-])[C@@H](C)C2)n[nH]1 ZINC000830029463 605301120 /nfs/dbraw/zinc/30/11/20/605301120.db2.gz GZUWCRDOCBIJEK-JLLWLGSASA-N -1 1 323.397 1.431 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)[C@H]2CC[C@@H](NC(=O)[O-])C2)[nH]n1 ZINC000831031943 605337860 /nfs/dbraw/zinc/33/78/60/605337860.db2.gz YOMXPTAQPVMYOV-DTWKUNHWSA-N -1 1 318.337 1.185 20 0 DDADMM O=C([O-])N1CCN(C(=O)c2cc(C3CCCCC3)[nH]n2)CC1 ZINC000834112617 605395795 /nfs/dbraw/zinc/39/57/95/605395795.db2.gz BBQRGUMJRJRVPA-UHFFFAOYSA-N -1 1 306.366 1.893 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CC2(CS(C)(=O)=O)CC2)C1 ZINC000833813685 605427662 /nfs/dbraw/zinc/42/76/62/605427662.db2.gz PZIKXEAPQHYJOU-NWDGAFQWSA-N -1 1 318.439 1.179 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)[O-])CCN1C(=O)c1cccc2c[nH]nc21 ZINC000825838796 605457889 /nfs/dbraw/zinc/45/78/89/605457889.db2.gz SPABZGQOUREKKL-MWLCHTKSSA-N -1 1 302.334 1.824 20 0 DDADMM Cn1cc(CN2CCC[C@@H](NC(=O)[O-])C2)c(-c2ccncc2)n1 ZINC000833948596 605490100 /nfs/dbraw/zinc/49/01/00/605490100.db2.gz IGLAYBJOVCJBOB-CQSZACIVSA-N -1 1 315.377 1.714 20 0 DDADMM CC(C)(C)[C@H](NC(=O)[O-])C(=O)N1CCN(C2CCCC2)CC1 ZINC000823843910 605612729 /nfs/dbraw/zinc/61/27/29/605612729.db2.gz VMKOOZOKOIQDDQ-CYBMUJFWSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@@H](C(=O)N[C@H]1CCCN(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823685838 605711539 /nfs/dbraw/zinc/71/15/39/605711539.db2.gz MTCAYIULMJRKNR-ZFWWWQNUSA-N -1 1 319.405 1.766 20 0 DDADMM CCC[C@H](NC(=O)CN(C(=O)[O-])c1ccccc1)c1nn[nH]n1 ZINC000826742849 605771675 /nfs/dbraw/zinc/77/16/75/605771675.db2.gz GCPZLQUVFNBLHH-NSHDSACASA-N -1 1 318.337 1.342 20 0 DDADMM CC(=O)NCC1CCN(Cc2cc(C(=O)[O-])ccc2F)CC1 ZINC000389360251 605828544 /nfs/dbraw/zinc/82/85/44/605828544.db2.gz XEYNZPPYOHZLEF-UHFFFAOYSA-N -1 1 308.353 1.872 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CCCC[C@@H]1CNC(=O)[O-])C2 ZINC000833915221 605853786 /nfs/dbraw/zinc/85/37/86/605853786.db2.gz DTDMITIFICMGFT-VXGBXAGGSA-N -1 1 320.393 1.472 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N1CCC[C@@H]1CN(C)C(=O)[O-])C2 ZINC000833916454 605856587 /nfs/dbraw/zinc/85/65/87/605856587.db2.gz RJUCYFPVYVPGLI-NWDGAFQWSA-N -1 1 320.393 1.424 20 0 DDADMM O=C([O-])N[C@H]1CCN(C(=O)c2cc(-c3ccc(F)cc3)[nH]n2)C1 ZINC000740588443 605952516 /nfs/dbraw/zinc/95/25/16/605952516.db2.gz VHTVDLWZVYJMTF-NSHDSACASA-N -1 1 318.308 1.698 20 0 DDADMM O=C([O-])Nc1ccccc1C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000834268603 605976395 /nfs/dbraw/zinc/97/63/95/605976395.db2.gz QZFSNNQIQVGALT-VIFPVBQESA-N -1 1 316.321 1.309 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@H]1NCc1nccn1CC(F)(F)F ZINC000834175249 605982305 /nfs/dbraw/zinc/98/23/05/605982305.db2.gz MKZFUOADDYVEGM-BDAKNGLRSA-N -1 1 306.288 1.724 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)[O-])CCN1C[C@@H](O)COCc1ccccc1 ZINC000833825584 606037444 /nfs/dbraw/zinc/03/74/44/606037444.db2.gz CGCREYNFXFGNCN-IJEWVQPXSA-N -1 1 322.405 1.637 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)C2CCOCC2)n1 ZINC000819971456 606063643 /nfs/dbraw/zinc/06/36/43/606063643.db2.gz LUDXWLFDNOSJEV-UHFFFAOYSA-N -1 1 319.369 1.183 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)C2CCOCC2)n1 ZINC000819971456 606063647 /nfs/dbraw/zinc/06/36/47/606063647.db2.gz LUDXWLFDNOSJEV-UHFFFAOYSA-N -1 1 319.369 1.183 20 0 DDADMM O=C([O-])N1CCC[C@@H]1C(=O)OC[C@@H]1CCCN1Cc1ccco1 ZINC000834284763 606092588 /nfs/dbraw/zinc/09/25/88/606092588.db2.gz INFHHLRYBBWTIQ-GXTWGEPZSA-N -1 1 322.361 1.930 20 0 DDADMM CCC(CC)CN(CC)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820892563 606171855 /nfs/dbraw/zinc/17/18/55/606171855.db2.gz BRYHEBNJOOMZFJ-UHFFFAOYSA-N -1 1 320.401 1.890 20 0 DDADMM CCC(CC)CN(CC)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820892563 606171856 /nfs/dbraw/zinc/17/18/56/606171856.db2.gz BRYHEBNJOOMZFJ-UHFFFAOYSA-N -1 1 320.401 1.890 20 0 DDADMM Cc1nsc(N(C)C(=O)[C@@H]2CC=CCC2)c1-c1nnn[n-]1 ZINC000822530800 606238698 /nfs/dbraw/zinc/23/86/98/606238698.db2.gz PBPUOSUXRBEDDC-SECBINFHSA-N -1 1 304.379 1.951 20 0 DDADMM Cc1nsc(N(C)C(=O)[C@@H]2CC=CCC2)c1-c1nn[n-]n1 ZINC000822530800 606238700 /nfs/dbraw/zinc/23/87/00/606238700.db2.gz PBPUOSUXRBEDDC-SECBINFHSA-N -1 1 304.379 1.951 20 0 DDADMM CCCCCOC1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000821055888 606291170 /nfs/dbraw/zinc/29/11/70/606291170.db2.gz JESWHHVJJGVWIR-UHFFFAOYSA-N -1 1 316.365 1.293 20 0 DDADMM CCCCCOC1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000821055888 606291172 /nfs/dbraw/zinc/29/11/72/606291172.db2.gz JESWHHVJJGVWIR-UHFFFAOYSA-N -1 1 316.365 1.293 20 0 DDADMM Clc1ccc(NCCc2cccnc2)nc1-c1nnn[n-]1 ZINC000822579170 606448986 /nfs/dbraw/zinc/44/89/86/606448986.db2.gz WTAQVFJRXNVFBA-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM Clc1ccc(NCCc2cccnc2)nc1-c1nn[n-]n1 ZINC000822579170 606448989 /nfs/dbraw/zinc/44/89/89/606448989.db2.gz WTAQVFJRXNVFBA-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM CC(C)n1cnnc1CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820214493 606465315 /nfs/dbraw/zinc/46/53/15/606465315.db2.gz FJULTHMHUYBZNJ-UHFFFAOYSA-N -1 1 319.760 1.700 20 0 DDADMM CC(C)n1cnnc1CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820214493 606465317 /nfs/dbraw/zinc/46/53/17/606465317.db2.gz FJULTHMHUYBZNJ-UHFFFAOYSA-N -1 1 319.760 1.700 20 0 DDADMM O=C(NCCc1cccs1)c1ccc(-c2nnn[n-]2)s1 ZINC000823223810 606493948 /nfs/dbraw/zinc/49/39/48/606493948.db2.gz KGIRWGHFWSADHP-UHFFFAOYSA-N -1 1 305.388 1.962 20 0 DDADMM O=C(NCCc1cccs1)c1ccc(-c2nn[n-]n2)s1 ZINC000823223810 606493949 /nfs/dbraw/zinc/49/39/49/606493949.db2.gz KGIRWGHFWSADHP-UHFFFAOYSA-N -1 1 305.388 1.962 20 0 DDADMM NC(=O)c1cccc(OC(=O)c2ccc(-c3nnn[n-]3)s2)c1 ZINC000822889679 606508575 /nfs/dbraw/zinc/50/85/75/606508575.db2.gz AZRYCQWIDVXLDO-UHFFFAOYSA-N -1 1 315.314 1.246 20 0 DDADMM NC(=O)c1cccc(OC(=O)c2ccc(-c3nn[n-]n3)s2)c1 ZINC000822889679 606508576 /nfs/dbraw/zinc/50/85/76/606508576.db2.gz AZRYCQWIDVXLDO-UHFFFAOYSA-N -1 1 315.314 1.246 20 0 DDADMM O=C(CCCOc1ccccc1)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000822958863 606532537 /nfs/dbraw/zinc/53/25/37/606532537.db2.gz KLFOCGXQVWUDIZ-UHFFFAOYSA-N -1 1 313.321 1.388 20 0 DDADMM O=C(CCCOc1ccccc1)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000822958863 606532539 /nfs/dbraw/zinc/53/25/39/606532539.db2.gz KLFOCGXQVWUDIZ-UHFFFAOYSA-N -1 1 313.321 1.388 20 0 DDADMM Cc1nn(C)c(NC(=O)c2ccc(-c3nnn[n-]3)s2)c1C ZINC000822497086 606555670 /nfs/dbraw/zinc/55/56/70/606555670.db2.gz XYIJJFDFBVOVRS-UHFFFAOYSA-N -1 1 303.351 1.531 20 0 DDADMM Cc1nn(C)c(NC(=O)c2ccc(-c3nn[n-]n3)s2)c1C ZINC000822497086 606555672 /nfs/dbraw/zinc/55/56/72/606555672.db2.gz XYIJJFDFBVOVRS-UHFFFAOYSA-N -1 1 303.351 1.531 20 0 DDADMM COC1CCC(N(C)C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000821680056 606563800 /nfs/dbraw/zinc/56/38/00/606563800.db2.gz YBAUHNQPJXVZSZ-UHFFFAOYSA-N -1 1 321.406 1.958 20 0 DDADMM COC1CCC(N(C)C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000821680056 606563801 /nfs/dbraw/zinc/56/38/01/606563801.db2.gz YBAUHNQPJXVZSZ-UHFFFAOYSA-N -1 1 321.406 1.958 20 0 DDADMM CC(C)OC(=O)[C@H](C)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820136780 606575485 /nfs/dbraw/zinc/57/54/85/606575485.db2.gz BTYMGMLMWUDFEA-MRVPVSSYSA-N -1 1 323.378 1.246 20 0 DDADMM CC(C)OC(=O)[C@H](C)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820136780 606575487 /nfs/dbraw/zinc/57/54/87/606575487.db2.gz BTYMGMLMWUDFEA-MRVPVSSYSA-N -1 1 323.378 1.246 20 0 DDADMM O=C(NC[C@H](O)c1ccccc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823166875 606591229 /nfs/dbraw/zinc/59/12/29/606591229.db2.gz RLTROFHVXURUPX-JTQLQIEISA-N -1 1 315.358 1.392 20 0 DDADMM O=C(NC[C@H](O)c1ccccc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823166875 606591230 /nfs/dbraw/zinc/59/12/30/606591230.db2.gz RLTROFHVXURUPX-JTQLQIEISA-N -1 1 315.358 1.392 20 0 DDADMM Clc1ccc(N[C@@H]2CCCn3ncnc32)nc1-c1nnn[n-]1 ZINC000822578519 606592520 /nfs/dbraw/zinc/59/25/20/606592520.db2.gz KEYOQFRBYLXTQG-MRVPVSSYSA-N -1 1 317.744 1.454 20 0 DDADMM Clc1ccc(N[C@@H]2CCCn3ncnc32)nc1-c1nn[n-]n1 ZINC000822578519 606592522 /nfs/dbraw/zinc/59/25/22/606592522.db2.gz KEYOQFRBYLXTQG-MRVPVSSYSA-N -1 1 317.744 1.454 20 0 DDADMM COC(=O)C(C)(C)CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821639109 606804585 /nfs/dbraw/zinc/80/45/85/606804585.db2.gz PWLOILNBPPPPLZ-UHFFFAOYSA-N -1 1 310.745 1.526 20 0 DDADMM COC(=O)C(C)(C)CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821639109 606804587 /nfs/dbraw/zinc/80/45/87/606804587.db2.gz PWLOILNBPPPPLZ-UHFFFAOYSA-N -1 1 310.745 1.526 20 0 DDADMM C[C@@H]1N(c2snc(Cl)c2-c2nnn[n-]2)CCOC1(C)C ZINC000820822167 606876685 /nfs/dbraw/zinc/87/66/85/606876685.db2.gz XYHBUWMJLXJLQM-LURJTMIESA-N -1 1 314.802 1.980 20 0 DDADMM C[C@@H]1N(c2snc(Cl)c2-c2nn[n-]n2)CCOC1(C)C ZINC000820822167 606876686 /nfs/dbraw/zinc/87/66/86/606876686.db2.gz XYHBUWMJLXJLQM-LURJTMIESA-N -1 1 314.802 1.980 20 0 DDADMM c1ccc2c(c1)C[C@@H]1[C@@H](CNc3nccnc3-c3nnn[n-]3)[C@H]21 ZINC000823798786 607127373 /nfs/dbraw/zinc/12/73/73/607127373.db2.gz YMHBNTZRCQDRAI-JHJVBQTASA-N -1 1 305.345 1.655 20 0 DDADMM c1ccc2c(c1)C[C@@H]1[C@@H](CNc3nccnc3-c3nn[n-]n3)[C@H]21 ZINC000823798786 607127374 /nfs/dbraw/zinc/12/73/74/607127374.db2.gz YMHBNTZRCQDRAI-JHJVBQTASA-N -1 1 305.345 1.655 20 0 DDADMM C[C@H]1COCCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000825006494 607822672 /nfs/dbraw/zinc/82/26/72/607822672.db2.gz KBDAFSBSKAEULW-SNVBAGLBSA-N -1 1 311.349 1.283 20 0 DDADMM C[C@H]1COCCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000825006494 607822673 /nfs/dbraw/zinc/82/26/73/607822673.db2.gz KBDAFSBSKAEULW-SNVBAGLBSA-N -1 1 311.349 1.283 20 0 DDADMM CN(Cc1cccc(Cl)c1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825980885 607987718 /nfs/dbraw/zinc/98/77/18/607987718.db2.gz KNIBVSIMPATCMR-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM CN(Cc1cccc(Cl)c1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825980885 607987719 /nfs/dbraw/zinc/98/77/19/607987719.db2.gz KNIBVSIMPATCMR-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM c1cc(CCNc2ccc(-c3nnn[n-]3)nn2)cc2c1OCO2 ZINC000826518104 607988523 /nfs/dbraw/zinc/98/85/23/607988523.db2.gz BSVUCUOKEXEJCL-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM c1cc(CCNc2ccc(-c3nn[n-]n3)nn2)cc2c1OCO2 ZINC000826518104 607988524 /nfs/dbraw/zinc/98/85/24/607988524.db2.gz BSVUCUOKEXEJCL-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)N[C@H](c1ccccc1)C1CC1 ZINC000826425098 608013792 /nfs/dbraw/zinc/01/37/92/608013792.db2.gz PSMHLMZTJLQYTE-CQSZACIVSA-N -1 1 323.360 1.331 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)N[C@H](c1ccccc1)C1CC1 ZINC000826425098 608013793 /nfs/dbraw/zinc/01/37/93/608013793.db2.gz PSMHLMZTJLQYTE-CQSZACIVSA-N -1 1 323.360 1.331 20 0 DDADMM CN(C)c1ccccc1N(C)Cc1ccnc(-c2nnn[n-]2)c1 ZINC000825883942 608104646 /nfs/dbraw/zinc/10/46/46/608104646.db2.gz QWWMTOOMPODPKI-UHFFFAOYSA-N -1 1 309.377 1.964 20 0 DDADMM CN(C)c1ccccc1N(C)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000825883942 608104647 /nfs/dbraw/zinc/10/46/47/608104647.db2.gz QWWMTOOMPODPKI-UHFFFAOYSA-N -1 1 309.377 1.964 20 0 DDADMM COCCOC[C@@H](C)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000826160993 608185575 /nfs/dbraw/zinc/18/55/75/608185575.db2.gz PBUHOEADBRFJNI-ZCFIWIBFSA-N -1 1 318.790 1.440 20 0 DDADMM COCCOC[C@@H](C)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000826160993 608185577 /nfs/dbraw/zinc/18/55/77/608185577.db2.gz PBUHOEADBRFJNI-ZCFIWIBFSA-N -1 1 318.790 1.440 20 0 DDADMM OC[C@@H](Cc1ccc(F)cc1)Nc1cccc(-c2nnn[n-]2)n1 ZINC000826507719 608305846 /nfs/dbraw/zinc/30/58/46/608305846.db2.gz VFMSQRZOIWQAPI-GFCCVEGCSA-N -1 1 314.324 1.416 20 0 DDADMM OC[C@@H](Cc1ccc(F)cc1)Nc1cccc(-c2nn[n-]n2)n1 ZINC000826507719 608305847 /nfs/dbraw/zinc/30/58/47/608305847.db2.gz VFMSQRZOIWQAPI-GFCCVEGCSA-N -1 1 314.324 1.416 20 0 DDADMM CN(Cc1ccc(Cl)nc1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825966124 608395268 /nfs/dbraw/zinc/39/52/68/608395268.db2.gz OYWBOFVPNXLSKM-UHFFFAOYSA-N -1 1 302.729 1.342 20 0 DDADMM CN(Cc1ccc(Cl)nc1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825966124 608395270 /nfs/dbraw/zinc/39/52/70/608395270.db2.gz OYWBOFVPNXLSKM-UHFFFAOYSA-N -1 1 302.729 1.342 20 0 DDADMM CCN1CCc2ccc(Nc3ccc(-c4nnn[n-]4)nn3)cc21 ZINC000825466325 608411733 /nfs/dbraw/zinc/41/17/33/608411733.db2.gz ZGWYLKMCAYAPAE-UHFFFAOYSA-N -1 1 308.349 1.783 20 0 DDADMM CCN1CCc2ccc(Nc3ccc(-c4nn[n-]n4)nn3)cc21 ZINC000825466325 608411734 /nfs/dbraw/zinc/41/17/34/608411734.db2.gz ZGWYLKMCAYAPAE-UHFFFAOYSA-N -1 1 308.349 1.783 20 0 DDADMM Fc1cccc([C@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)c1 ZINC000826376665 608413832 /nfs/dbraw/zinc/41/38/32/608413832.db2.gz NCLNVYDBEXQFBV-NSHDSACASA-N -1 1 311.324 1.790 20 0 DDADMM Fc1cccc([C@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)c1 ZINC000826376665 608413833 /nfs/dbraw/zinc/41/38/33/608413833.db2.gz NCLNVYDBEXQFBV-NSHDSACASA-N -1 1 311.324 1.790 20 0 DDADMM Cc1cccc(O[C@H](C)CNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826300525 608424821 /nfs/dbraw/zinc/42/48/21/608424821.db2.gz YEAIAPUDDXFVMK-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM Cc1cccc(O[C@H](C)CNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826300525 608424822 /nfs/dbraw/zinc/42/48/22/608424822.db2.gz YEAIAPUDDXFVMK-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM Cc1nc(CCNc2ccc(-c3nnn[n-]3)nn2)sc1C ZINC000826325956 608433119 /nfs/dbraw/zinc/43/31/19/608433119.db2.gz SEGJVJCEXMZSAE-UHFFFAOYSA-N -1 1 302.367 1.385 20 0 DDADMM Cc1nc(CCNc2ccc(-c3nn[n-]n3)nn2)sc1C ZINC000826325956 608433120 /nfs/dbraw/zinc/43/31/20/608433120.db2.gz SEGJVJCEXMZSAE-UHFFFAOYSA-N -1 1 302.367 1.385 20 0 DDADMM CC1(CNc2nccnc2-c2nnn[n-]2)Cc2ccccc2C1 ZINC000824830283 608798613 /nfs/dbraw/zinc/79/86/13/608798613.db2.gz IZKBKHADGWFLCI-UHFFFAOYSA-N -1 1 307.361 1.874 20 0 DDADMM CC1(CNc2nccnc2-c2nn[n-]n2)Cc2ccccc2C1 ZINC000824830283 608798615 /nfs/dbraw/zinc/79/86/15/608798615.db2.gz IZKBKHADGWFLCI-UHFFFAOYSA-N -1 1 307.361 1.874 20 0 DDADMM Cn1ccc(C(=O)Nc2nn(C(C)(C)C)cc2-c2nnn[n-]2)c1 ZINC000826362630 609234587 /nfs/dbraw/zinc/23/45/87/609234587.db2.gz BKHCXPBFHAFFQM-UHFFFAOYSA-N -1 1 314.353 1.409 20 0 DDADMM Cn1ccc(C(=O)Nc2nn(C(C)(C)C)cc2-c2nn[n-]n2)c1 ZINC000826362630 609234588 /nfs/dbraw/zinc/23/45/88/609234588.db2.gz BKHCXPBFHAFFQM-UHFFFAOYSA-N -1 1 314.353 1.409 20 0 DDADMM CSc1ccccc1CC(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000826230773 609267569 /nfs/dbraw/zinc/26/75/69/609267569.db2.gz JPDUJQNRXXPFIE-UHFFFAOYSA-N -1 1 315.362 1.250 20 0 DDADMM CC(C)[C@@H]1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CCO1 ZINC000824224243 609320588 /nfs/dbraw/zinc/32/05/88/609320588.db2.gz OEXZIKAABUCTCC-JTQLQIEISA-N -1 1 308.773 1.776 20 0 DDADMM CC(C)[C@@H]1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CCO1 ZINC000824224243 609320590 /nfs/dbraw/zinc/32/05/90/609320590.db2.gz OEXZIKAABUCTCC-JTQLQIEISA-N -1 1 308.773 1.776 20 0 DDADMM O=C(Nc1ncccc1Cl)c1ccc(-c2nnn[n-]2)nc1 ZINC000826460587 609334636 /nfs/dbraw/zinc/33/46/36/609334636.db2.gz JGORFNMDJLKUKB-UHFFFAOYSA-N -1 1 301.697 1.562 20 0 DDADMM O=C(Nc1ncccc1Cl)c1ccc(-c2nn[n-]n2)nc1 ZINC000826460587 609334638 /nfs/dbraw/zinc/33/46/38/609334638.db2.gz JGORFNMDJLKUKB-UHFFFAOYSA-N -1 1 301.697 1.562 20 0 DDADMM COC(=O)[C@@H](Sc1nc(C)nc(C)c1-c1nn[n-]n1)C1CC1 ZINC000826117884 609376462 /nfs/dbraw/zinc/37/64/62/609376462.db2.gz UIPJOFAMENOEOK-JTQLQIEISA-N -1 1 320.378 1.317 20 0 DDADMM C[C@@H]1OCC[C@]1(C)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000825013722 609592422 /nfs/dbraw/zinc/59/24/22/609592422.db2.gz RFXOAYUWJBLNFB-RRAGMBSWSA-N -1 1 300.775 1.956 20 0 DDADMM C[C@@H]1OCC[C@]1(C)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000825013722 609592424 /nfs/dbraw/zinc/59/24/24/609592424.db2.gz RFXOAYUWJBLNFB-RRAGMBSWSA-N -1 1 300.775 1.956 20 0 DDADMM O=C(CCn1cncn1)Nc1nc(Br)ccc1[O-] ZINC000121559896 696712481 /nfs/dbraw/zinc/71/24/81/696712481.db2.gz BWBPERLINBIMDF-UHFFFAOYSA-N -1 1 312.127 1.170 20 0 DDADMM CC(=O)N[C@H]1C[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000972869094 695347518 /nfs/dbraw/zinc/34/75/18/695347518.db2.gz XTCJLVPYHVXJPP-XYPYZODXSA-N -1 1 306.366 1.011 20 0 DDADMM C[C@H](C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000973197020 695443724 /nfs/dbraw/zinc/44/37/24/695443724.db2.gz JZCWPYIXWLQJTL-DRZSPHRISA-N -1 1 317.389 1.600 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)[C@H]2CC[C@@H](F)C2)C1)c1ncccc1[O-] ZINC000973197291 695443815 /nfs/dbraw/zinc/44/38/15/695443815.db2.gz BBIFREKEWJDRKA-USZNOCQGSA-N -1 1 321.352 1.302 20 0 DDADMM O=C([O-])CN(C(=O)N[C@H]1CCCc2cn[nH]c21)C1CCCC1 ZINC000797723636 700021161 /nfs/dbraw/zinc/02/11/61/700021161.db2.gz CVNSNWHETRKBQT-LBPRGKRZSA-N -1 1 306.366 1.826 20 0 DDADMM O=C(COC(=O)C1CC1)[N-]C(=O)c1ccc(OC(F)F)cc1 ZINC000006058196 696016529 /nfs/dbraw/zinc/01/65/29/696016529.db2.gz KQRHTHXEAZCESJ-UHFFFAOYSA-N -1 1 313.256 1.498 20 0 DDADMM CC(Nc1ccccn1)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000009874549 696037916 /nfs/dbraw/zinc/03/79/16/696037916.db2.gz AUXOEPMWALLBBK-BENRWUELSA-N -1 1 318.402 1.667 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCCOCC2CC2)c1Cl ZINC000027961117 696101816 /nfs/dbraw/zinc/10/18/16/696101816.db2.gz YWULCYBLHDOWMB-UHFFFAOYSA-N -1 1 307.803 1.169 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCc2ccc3c(c2)OCO3)n1 ZINC000031049578 696117330 /nfs/dbraw/zinc/11/73/30/696117330.db2.gz BBXCVVZYBQRUJY-UHFFFAOYSA-N -1 1 317.305 1.040 20 0 DDADMM Cc1noc(CCCNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n1 ZINC000747382438 700067084 /nfs/dbraw/zinc/06/70/84/700067084.db2.gz UXBXATXURKAJDD-UHFFFAOYSA-N -1 1 319.365 1.668 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)OCCCC#N)o1 ZINC000046314266 696183905 /nfs/dbraw/zinc/18/39/05/696183905.db2.gz MMMLHIDMTUYZBR-UHFFFAOYSA-N -1 1 314.363 1.817 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(OC2CCCC2)cc1 ZINC000047429077 696197525 /nfs/dbraw/zinc/19/75/25/696197525.db2.gz NCUQJPOZQAIBGJ-UHFFFAOYSA-N -1 1 303.318 1.205 20 0 DDADMM CCN(CC)C(=O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000049126469 696221010 /nfs/dbraw/zinc/22/10/10/696221010.db2.gz JJBGZPAPIQICMG-UHFFFAOYSA-N -1 1 306.334 1.112 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2cc(C)ccc2F)n1 ZINC000055585253 696284815 /nfs/dbraw/zinc/28/48/15/696284815.db2.gz QZNRWZYVZHHPKQ-UHFFFAOYSA-N -1 1 312.326 1.238 20 0 DDADMM Cc1cc(SC#N)ccc1NC=C1C(=O)[N-]C(=S)NC1=O ZINC000060863640 696314518 /nfs/dbraw/zinc/31/45/18/696314518.db2.gz UEKVQNBKSJIWDF-UHFFFAOYSA-N -1 1 318.383 1.395 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)COC2CCCCC2)n1 ZINC000064348111 696338670 /nfs/dbraw/zinc/33/86/70/696338670.db2.gz WJUXBEWSQWNETN-UHFFFAOYSA-N -1 1 302.356 1.146 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)COC2CCCCC2)[n-]1 ZINC000064348111 696338671 /nfs/dbraw/zinc/33/86/71/696338671.db2.gz WJUXBEWSQWNETN-UHFFFAOYSA-N -1 1 302.356 1.146 20 0 DDADMM Cn1c(CNC(=O)COCCc2ccccc2)n[n-]c1=S ZINC000066625233 696353973 /nfs/dbraw/zinc/35/39/73/696353973.db2.gz JHSUYOHTHZZJAW-UHFFFAOYSA-N -1 1 306.391 1.353 20 0 DDADMM CCn1c(CNC(=O)c2cnn(C)c2C(F)F)n[n-]c1=S ZINC000066636932 696354448 /nfs/dbraw/zinc/35/44/48/696354448.db2.gz OWMBCEJITGTDJA-UHFFFAOYSA-N -1 1 316.337 1.562 20 0 DDADMM CCCCO[C@H](C)C(=O)NCCc1n[n-]c(=S)n1CC ZINC000067076158 696358732 /nfs/dbraw/zinc/35/87/32/696358732.db2.gz IMZYMDBILWRUFW-SNVBAGLBSA-N -1 1 300.428 1.824 20 0 DDADMM CCn1nc(C)cc1C(=O)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067079691 696358862 /nfs/dbraw/zinc/35/88/62/696358862.db2.gz ASCLCHPGYQEMQW-UHFFFAOYSA-N -1 1 320.422 1.773 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccnn2C2CCCC2)s1 ZINC000079926810 696465053 /nfs/dbraw/zinc/46/50/53/696465053.db2.gz MWFVWGPCSQSTIU-VIFPVBQESA-N -1 1 307.379 1.284 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cc(F)c(F)cc2F)s1 ZINC000080041030 696530074 /nfs/dbraw/zinc/53/00/74/696530074.db2.gz WZKVPOKTORZDOC-QMMMGPOBSA-N -1 1 303.265 1.389 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)Cc2sc(N)nc2[O-])cs1 ZINC000080207433 696531543 /nfs/dbraw/zinc/53/15/43/696531543.db2.gz IVVKSOLOEJZFFE-HTRCEHHLSA-N -1 1 312.420 1.230 20 0 DDADMM C[C@H]1OCC[C@@H]1C(=O)N[N-]C(=O)c1ccc(Cl)cc1F ZINC000092442121 696595076 /nfs/dbraw/zinc/59/50/76/696595076.db2.gz RFWSPONCLBNDBO-APPZFPTMSA-N -1 1 300.717 1.665 20 0 DDADMM O=C(N[C@@H]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]12)C1CC1 ZINC000979833770 696651225 /nfs/dbraw/zinc/65/12/25/696651225.db2.gz SJXAWYOSCXSHDV-JHJVBQTASA-N -1 1 315.373 1.164 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccccc1)c1cccc(F)c1F ZINC000120824687 696702091 /nfs/dbraw/zinc/70/20/91/696702091.db2.gz OLSMQTNCSYMVRR-LBPRGKRZSA-N -1 1 313.325 1.977 20 0 DDADMM COc1ccccc1-c1nc([N-]C(=O)c2cnsn2)n[nH]1 ZINC000129499292 696789405 /nfs/dbraw/zinc/78/94/05/696789405.db2.gz DMCDOCOYUKVOCL-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM CCO[C@H]1C[C@@H]1C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799019878 700110252 /nfs/dbraw/zinc/11/02/52/700110252.db2.gz UXYJCTNRQVRSSV-QWRGUYRKSA-N -1 1 322.327 1.329 20 0 DDADMM O=C([N-]NC(=O)c1ccc(Br)cc1)c1ccnnc1 ZINC000153396186 696914887 /nfs/dbraw/zinc/91/48/87/696914887.db2.gz YXEVURPZJXKOMH-UHFFFAOYSA-N -1 1 321.134 1.314 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC[C@@H](SC)C2)co1 ZINC000153871984 696923068 /nfs/dbraw/zinc/92/30/68/696923068.db2.gz AAUZLOCWMBXZDJ-NXEZZACHSA-N -1 1 318.420 1.202 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@@H](NCc3ccon3)C2)c1[O-] ZINC000981021593 696981761 /nfs/dbraw/zinc/98/17/61/696981761.db2.gz YBWMRARRRNOPRU-GHMZBOCLSA-N -1 1 319.365 1.243 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CCCC1 ZINC000982673088 697167707 /nfs/dbraw/zinc/16/77/07/697167707.db2.gz MPAWPTGXYICVAN-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000982675055 697167872 /nfs/dbraw/zinc/16/78/72/697167872.db2.gz ZTNWYDZDCMDZJQ-LLVKDONJSA-N -1 1 303.362 1.166 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C(=O)O1 ZINC000748862169 700139420 /nfs/dbraw/zinc/13/94/20/700139420.db2.gz NCVRTPLUXJXCPO-FSPLSTOPSA-N -1 1 309.265 1.086 20 0 DDADMM C[C@H]1CC([N-]S(=O)(=O)c2ncn(C)c2Cl)C[C@H](C)O1 ZINC000162951435 697331283 /nfs/dbraw/zinc/33/12/83/697331283.db2.gz RHVVJNVISYTEBN-YUMQZZPRSA-N -1 1 307.803 1.308 20 0 DDADMM O=C([O-])C1(CNC(=O)c2ccc(O)c(Cl)c2)CCOCC1 ZINC000165917829 697340439 /nfs/dbraw/zinc/34/04/39/697340439.db2.gz OCUBISZECVFLOB-UHFFFAOYSA-N -1 1 313.737 1.657 20 0 DDADMM O=C(OCc1nnn(CC(F)(F)F)n1)c1ccc([O-])cc1F ZINC000799502998 700146966 /nfs/dbraw/zinc/14/69/66/700146966.db2.gz GVIYOZOFHKYSHQ-UHFFFAOYSA-N -1 1 320.202 1.437 20 0 DDADMM C=C(C)C[C@@H](C)C(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000186185954 697530993 /nfs/dbraw/zinc/53/09/93/697530993.db2.gz BFIMSSZOISYCAA-SNVBAGLBSA-N -1 1 304.350 1.414 20 0 DDADMM COc1ccc([C@H](O)CNC(=O)c2ccc(C#N)c([O-])c2)cc1 ZINC000188295616 697556413 /nfs/dbraw/zinc/55/64/13/697556413.db2.gz HMYKBLAIWPEXKW-MRXNPFEDSA-N -1 1 312.325 1.736 20 0 DDADMM Cc1c([N-]S(=O)(=O)C2=Cc3ccccc3OC2)cnn1C ZINC000190321208 697589258 /nfs/dbraw/zinc/58/92/58/697589258.db2.gz ABKXBVGCIWWFJC-UHFFFAOYSA-N -1 1 305.359 1.904 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2C[C@@H]2C(C)C)cc1 ZINC000772494640 697635999 /nfs/dbraw/zinc/63/59/99/697635999.db2.gz TZUFIXFEVYBDMH-KGLIPLIRSA-N -1 1 319.357 1.787 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2C[C@H]2C(C)C)cc1 ZINC000772494637 697636179 /nfs/dbraw/zinc/63/61/79/697636179.db2.gz TZUFIXFEVYBDMH-KBPBESRZSA-N -1 1 319.357 1.787 20 0 DDADMM Cc1ccc([C@H](O)CNC(=O)c2nc3ccccc3c(=O)[n-]2)cc1 ZINC000192973041 697646003 /nfs/dbraw/zinc/64/60/03/697646003.db2.gz RFMZKABTFLQAAY-OAHLLOKOSA-N -1 1 323.352 1.695 20 0 DDADMM Cc1ccc([C@@H](O)CNC(=O)c2nc3ccccc3c(=O)[n-]2)cc1 ZINC000192973057 697646022 /nfs/dbraw/zinc/64/60/22/697646022.db2.gz RFMZKABTFLQAAY-HNNXBMFYSA-N -1 1 323.352 1.695 20 0 DDADMM Cc1cc(C)c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)o1 ZINC000986111524 697689893 /nfs/dbraw/zinc/68/98/93/697689893.db2.gz BMIRUAXBJLZNNO-GHMZBOCLSA-N -1 1 319.365 1.113 20 0 DDADMM Cc1conc1CN[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC000986167901 697715091 /nfs/dbraw/zinc/71/50/91/697715091.db2.gz DMMKFIHVSGPJHD-VXGBXAGGSA-N -1 1 316.361 1.476 20 0 DDADMM O=C(c1ccc(Cl)nc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773148468 697719262 /nfs/dbraw/zinc/71/92/62/697719262.db2.gz DUOPGERSQKPLBM-QMMMGPOBSA-N -1 1 310.770 1.178 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)sc1C ZINC000773161693 697722142 /nfs/dbraw/zinc/72/21/42/697722142.db2.gz PYRZZLLULCHFAH-SECBINFHSA-N -1 1 309.420 1.808 20 0 DDADMM O=C(NC[C@@H](O)COc1cccc(F)c1)c1c([O-])cccc1F ZINC000773348194 697748052 /nfs/dbraw/zinc/74/80/52/697748052.db2.gz ASBPXMIXQPBSFE-LLVKDONJSA-N -1 1 323.295 1.840 20 0 DDADMM C[C@@H](OC(=O)c1ccc([O-])cc1F)C(=O)NC[C@@H]1CCCO1 ZINC000773566921 697782124 /nfs/dbraw/zinc/78/21/24/697782124.db2.gz QILCSXURRXUZOR-KOLCDFICSA-N -1 1 311.309 1.372 20 0 DDADMM Cc1cnc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)s1 ZINC000774005968 697833429 /nfs/dbraw/zinc/83/34/29/697833429.db2.gz GUFORSCVQJHARJ-UHFFFAOYSA-N -1 1 305.388 1.713 20 0 DDADMM CCCC(=O)N1CCC([C@@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC000986735604 697893705 /nfs/dbraw/zinc/89/37/05/697893705.db2.gz YZBCFVRUASOJNQ-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1nc(C)c(C(=O)OCCC[N-]C(=O)C(F)(F)F)s1 ZINC000774901401 697939993 /nfs/dbraw/zinc/93/99/93/697939993.db2.gz PLLXXZHJXWDLQD-UHFFFAOYSA-N -1 1 310.297 1.985 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cccnc1Cl ZINC000775607741 698015289 /nfs/dbraw/zinc/01/52/89/698015289.db2.gz YOCSBOOYKQUPOG-LLVKDONJSA-N -1 1 314.736 1.768 20 0 DDADMM O=C(c1cccc(Cl)c1[O-])N1CCC[C@H](c2nn[nH]n2)C1 ZINC000775783681 698032067 /nfs/dbraw/zinc/03/20/67/698032067.db2.gz LUFOZGXKPIGJJM-QMMMGPOBSA-N -1 1 307.741 1.579 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C(C)(F)F)CCN1C(=O)c1ncccc1[O-] ZINC000987205920 698038302 /nfs/dbraw/zinc/03/83/02/698038302.db2.gz MZFCBVTYKXELDV-BDAKNGLRSA-N -1 1 313.304 1.162 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2CC[C@H](C)C2)cc1 ZINC000776409683 698104015 /nfs/dbraw/zinc/10/40/15/698104015.db2.gz BNPXCHUHJYJPFQ-AAEUAGOBSA-N -1 1 319.357 1.931 20 0 DDADMM O=C([C@@H](F)Cc1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000778394424 698270955 /nfs/dbraw/zinc/27/09/55/698270955.db2.gz UJLJFLUDTBTNPU-OLZOCXBDSA-N -1 1 303.341 1.487 20 0 DDADMM Cc1cnc(C(=O)N(C)Cc2cnn(-c3ccccc3)n2)c([O-])c1 ZINC000778488955 698341102 /nfs/dbraw/zinc/34/11/02/698341102.db2.gz VDTODHJHCKPBBV-UHFFFAOYSA-N -1 1 323.356 1.949 20 0 DDADMM COC(=O)[C@@H](C)OC(=O)c1ccc(Br)c([O-])c1 ZINC000778827506 698373240 /nfs/dbraw/zinc/37/32/40/698373240.db2.gz QGQQGXJLXYPORW-ZCFIWIBFSA-N -1 1 303.108 1.873 20 0 DDADMM Cn1cnnc1COC(=O)c1ccc(Br)c([O-])c1 ZINC000778827913 698373453 /nfs/dbraw/zinc/37/34/53/698373453.db2.gz KXBKYCXSTVKHSJ-UHFFFAOYSA-N -1 1 312.123 1.640 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2cc3ccc(F)cc3s2)C1=O ZINC000779541559 698433044 /nfs/dbraw/zinc/43/30/44/698433044.db2.gz NBROIWRCUBEZDG-UHFFFAOYSA-N -1 1 307.306 1.579 20 0 DDADMM C[C@H]1C[C@H](NCc2nncs2)CN1C(=O)c1ncccc1[O-] ZINC000988692532 698439679 /nfs/dbraw/zinc/43/96/79/698439679.db2.gz WDZHQSLZFNLARU-UWVGGRQHSA-N -1 1 319.390 1.032 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1(C)CCCC1 ZINC000988769593 698460499 /nfs/dbraw/zinc/46/04/99/698460499.db2.gz CBCWMQOFHJKJHH-NWDGAFQWSA-N -1 1 321.425 1.560 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000780449563 698519591 /nfs/dbraw/zinc/51/95/91/698519591.db2.gz DJFXPNOSKFUISK-CYBMUJFWSA-N -1 1 311.357 1.545 20 0 DDADMM O=C([O-])[C@@H]1CCCN1C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000780507072 698525903 /nfs/dbraw/zinc/52/59/03/698525903.db2.gz PXDXAEZJHKZOSX-NSHDSACASA-N -1 1 315.333 1.230 20 0 DDADMM O=C([O-])[C@@H]1CCCN1C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000780507072 698525904 /nfs/dbraw/zinc/52/59/04/698525904.db2.gz PXDXAEZJHKZOSX-NSHDSACASA-N -1 1 315.333 1.230 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)N[C@@H](C)C(=O)[O-])N2CCCC2)o1 ZINC000780513756 698527253 /nfs/dbraw/zinc/52/72/53/698527253.db2.gz VOTBIFNQQMVVJJ-NWDGAFQWSA-N -1 1 309.366 1.497 20 0 DDADMM CCc1cc(CNC(=O)N[C@@H](Cc2ccccc2)C(=O)[O-])n[nH]1 ZINC000780570824 698533354 /nfs/dbraw/zinc/53/33/54/698533354.db2.gz OHADVTLOLQIBES-AWEZNQCLSA-N -1 1 316.361 1.467 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2(OC)CCC2)cc1 ZINC000781730064 698644799 /nfs/dbraw/zinc/64/47/99/698644799.db2.gz PNJRFRQEJSVGPX-UHFFFAOYSA-N -1 1 321.329 1.064 20 0 DDADMM CC1(C)COC[C@@H]1[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000313038404 698680734 /nfs/dbraw/zinc/68/07/34/698680734.db2.gz BWWFCFUWFCZNLS-JTQLQIEISA-N -1 1 318.326 1.437 20 0 DDADMM N[C@H](Cc1c[nH]c2ccccc12)C(=O)N(CC(=O)[O-])CC1CC1 ZINC000322632370 698736298 /nfs/dbraw/zinc/73/62/98/698736298.db2.gz SPFBHERZBHEMSI-CQSZACIVSA-N -1 1 315.373 1.361 20 0 DDADMM COCCOCCOCCN1CCc2c(cccc2C(=O)[O-])C1 ZINC000322848143 698737506 /nfs/dbraw/zinc/73/75/06/698737506.db2.gz OJKSAFHAWIAKTB-UHFFFAOYSA-N -1 1 323.389 1.423 20 0 DDADMM O=C(C[C@@H]1C=CCC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000990189430 698988520 /nfs/dbraw/zinc/98/85/20/698988520.db2.gz NNABPMGDGVUTIV-LLVKDONJSA-N -1 1 301.346 1.084 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]CCC1(O)CCC1 ZINC000397647468 699117128 /nfs/dbraw/zinc/11/71/28/699117128.db2.gz VIWJTOKBGNRCKQ-UHFFFAOYSA-N -1 1 318.326 1.317 20 0 DDADMM CN(C)c1nc(C(=O)OCc2ncc(C(C)(C)C)o2)cc(=O)[n-]1 ZINC000786500248 699150936 /nfs/dbraw/zinc/15/09/36/699150936.db2.gz OGTDJGKWTQFPIL-UHFFFAOYSA-N -1 1 320.349 1.891 20 0 DDADMM CC(C)N(C)S(=O)(=O)[N-]c1cnn(C)c1C(F)(F)F ZINC000436154573 699162883 /nfs/dbraw/zinc/16/28/83/699162883.db2.gz RLYFRDWDWRMAFN-UHFFFAOYSA-N -1 1 300.306 1.436 20 0 DDADMM CC(C)[C@]1(C)C[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971029 699196038 /nfs/dbraw/zinc/19/60/38/699196038.db2.gz DVYUAPVFSVQQKH-PXAZEXFGSA-N -1 1 317.389 1.410 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000788119016 699280849 /nfs/dbraw/zinc/28/08/49/699280849.db2.gz BQSZUSHPGARKDF-VXGBXAGGSA-N -1 1 322.336 1.263 20 0 DDADMM COc1csc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000788147058 699284113 /nfs/dbraw/zinc/28/41/13/699284113.db2.gz GIALDKPBXQKCLX-MRVPVSSYSA-N -1 1 311.392 1.200 20 0 DDADMM C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000717109631 699286429 /nfs/dbraw/zinc/28/64/29/699286429.db2.gz MOOBMIMFIJUTDO-IMSYWVGJSA-N -1 1 303.815 1.644 20 0 DDADMM Cc1c(Cl)cccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000726865079 699386371 /nfs/dbraw/zinc/38/63/71/699386371.db2.gz PHFROJVBCIZPMX-UHFFFAOYSA-N -1 1 307.737 1.527 20 0 DDADMM C[C@@H](c1ccc(F)cc1)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000726865874 699386413 /nfs/dbraw/zinc/38/64/13/699386413.db2.gz JFVPLRLTMBGRLD-NSHDSACASA-N -1 1 319.336 1.285 20 0 DDADMM Cc1nc(CS(=O)(=O)[N-]Cc2nc(C3CC3)no2)cs1 ZINC000790350527 699455158 /nfs/dbraw/zinc/45/51/58/699455158.db2.gz HVHHROSVCSRFSO-UHFFFAOYSA-N -1 1 314.392 1.332 20 0 DDADMM C[C@@H](Cc1ccc(F)cc1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732090854 699545902 /nfs/dbraw/zinc/54/59/02/699545902.db2.gz OLQABAAFUDZCET-GXFFZTMASA-N -1 1 319.340 1.118 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1cccc(Oc2ccncc2)c1 ZINC000732997115 699576485 /nfs/dbraw/zinc/57/64/85/699576485.db2.gz UJGYXXDZSFCCIM-UHFFFAOYSA-N -1 1 312.285 1.071 20 0 DDADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CCCC[C@H]1C ZINC000733145768 699580705 /nfs/dbraw/zinc/58/07/05/699580705.db2.gz JUKMVIVSXWXTPH-CHWSQXEVSA-N -1 1 307.394 1.354 20 0 DDADMM COc1ccc(CC(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1F ZINC000791288366 699619742 /nfs/dbraw/zinc/61/97/42/699619742.db2.gz ZZSYHWFGZVVGJA-UHFFFAOYSA-N -1 1 314.320 1.671 20 0 DDADMM O=C(OC[C@@H]1CCCS(=O)(=O)C1)c1c([O-])cc(F)cc1F ZINC000791796823 699655229 /nfs/dbraw/zinc/65/52/29/699655229.db2.gz MJKXXDYERGPPMN-QMMMGPOBSA-N -1 1 320.313 1.652 20 0 DDADMM O=C(COC(=O)CC1(O)CCCC1)[N-]C(=O)c1ccccc1 ZINC000735955053 699713420 /nfs/dbraw/zinc/71/34/20/699713420.db2.gz FAJLNASCLIYEHU-UHFFFAOYSA-N -1 1 305.330 1.181 20 0 DDADMM Cc1nonc1C[N-]C(=O)C(F)(F)Oc1ccc(C=O)cc1 ZINC000736152033 699719044 /nfs/dbraw/zinc/71/90/44/699719044.db2.gz KJQPNLANUFMYLQ-UHFFFAOYSA-N -1 1 311.244 1.479 20 0 DDADMM CO[C@@](C)(C(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccc1 ZINC000736957980 699735535 /nfs/dbraw/zinc/73/55/35/699735535.db2.gz ZFMWDTOPFYTLMW-MRXNPFEDSA-N -1 1 315.377 1.468 20 0 DDADMM O=C([N-]S(=O)(=O)C1CCCC1)c1cccc(-c2nnc[nH]2)c1 ZINC000793176693 699737508 /nfs/dbraw/zinc/73/75/08/699737508.db2.gz VYPZVYJEEKBSEQ-UHFFFAOYSA-N -1 1 320.374 1.474 20 0 DDADMM O=C(C=Cc1ccc(Cl)cc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737795095 699745130 /nfs/dbraw/zinc/74/51/30/699745130.db2.gz IKJFHKYZRRFWCH-MJRJWQSSSA-N -1 1 319.752 1.466 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCc2ccccc2F)C1 ZINC000738465708 699760067 /nfs/dbraw/zinc/76/00/67/699760067.db2.gz KAVADMGUWMRMJE-ZDUSSCGKSA-N -1 1 308.353 1.281 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2c([O-])cccc2Cl)CC1 ZINC000793994435 699790890 /nfs/dbraw/zinc/79/08/90/699790890.db2.gz YWKCVQZVVYLBCC-UHFFFAOYSA-N -1 1 303.767 1.353 20 0 DDADMM Cn1nccc1-c1cc(F)ccc1OS(=O)(=O)c1c[n-]cn1 ZINC000795256436 699854181 /nfs/dbraw/zinc/85/41/81/699854181.db2.gz DQLQHRLYKBEPOY-UHFFFAOYSA-N -1 1 322.321 1.717 20 0 DDADMM CC(C)(C)c1cc([N-]S(=O)(=O)C[C@H]2CCCOC2)n[nH]1 ZINC000795333029 699859134 /nfs/dbraw/zinc/85/91/34/699859134.db2.gz XVELKTAGNZLDKS-JTQLQIEISA-N -1 1 301.412 1.876 20 0 DDADMM Cn1[n-]c(COC(=O)CCc2cnc3ccccc3c2)nc1=O ZINC000795804207 699893388 /nfs/dbraw/zinc/89/33/88/699893388.db2.gz FYVSYDZQZMOVSO-UHFFFAOYSA-N -1 1 312.329 1.333 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cccc2c1CCCC(=O)N2 ZINC000796221780 699920323 /nfs/dbraw/zinc/92/03/23/699920323.db2.gz XUKKCRAGPYPWKF-UHFFFAOYSA-N -1 1 321.358 1.761 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cc(=O)c(OC)co2)[n-]1 ZINC000796362487 699929002 /nfs/dbraw/zinc/92/90/02/699929002.db2.gz OGKBNIMTUSDDHO-UHFFFAOYSA-N -1 1 307.258 1.120 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OC[C@@H]1CCOC1 ZINC000744568098 699954080 /nfs/dbraw/zinc/95/40/80/699954080.db2.gz YVNMMEOROFFVGX-LLVKDONJSA-N -1 1 313.375 1.642 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccccc1C(=O)N(C)C ZINC000751253777 700276838 /nfs/dbraw/zinc/27/68/38/700276838.db2.gz ODGRPZJIJLLCOE-UHFFFAOYSA-N -1 1 309.347 1.188 20 0 DDADMM Cc1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c(N)cc1F ZINC000751633014 700303357 /nfs/dbraw/zinc/30/33/57/700303357.db2.gz BDQIDURIUBDCDP-UHFFFAOYSA-N -1 1 322.258 1.942 20 0 DDADMM COC(=O)[C@@H](C)OC(=O)c1nn(-c2cccc(C)c2C)cc1[O-] ZINC000801418844 700305834 /nfs/dbraw/zinc/30/58/34/700305834.db2.gz UJPVMBZMICTYOE-LLVKDONJSA-N -1 1 318.329 1.913 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C(=O)c3ccc(Cl)s3)ccnc1-2 ZINC000801705000 700333089 /nfs/dbraw/zinc/33/30/89/700333089.db2.gz YEWSICQKGISNTD-UHFFFAOYSA-N -1 1 320.761 1.878 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC/C(Cl)=C\Cl)co1 ZINC000756386872 700619159 /nfs/dbraw/zinc/61/91/59/700619159.db2.gz ALUMDAFENAUOGB-XVNBXDOJSA-N -1 1 314.146 1.664 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2CCSC2)cc1 ZINC000762033411 700877165 /nfs/dbraw/zinc/87/71/65/700877165.db2.gz WKUGRNXMZASRMK-NSHDSACASA-N -1 1 323.370 1.248 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc2c(c1)CCCC(=O)N2 ZINC000763436393 700938030 /nfs/dbraw/zinc/93/80/30/700938030.db2.gz YBNVXXKNMQADCD-UHFFFAOYSA-N -1 1 321.358 1.761 20 0 DDADMM CNc1ncc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)s1 ZINC000763977217 700955885 /nfs/dbraw/zinc/95/58/85/700955885.db2.gz NXZUTRRRZJEMJC-JTQLQIEISA-N -1 1 315.362 1.217 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(Cl)c(Cl)c2)nc1=O ZINC000765388316 701009119 /nfs/dbraw/zinc/00/91/19/701009119.db2.gz BTGHZEUUFMVBNB-UHFFFAOYSA-N -1 1 302.117 1.772 20 0 DDADMM Cc1cccc2[nH]cc(CC(=O)OCc3nc(=O)n(C)[n-]3)c21 ZINC000765463355 701013893 /nfs/dbraw/zinc/01/38/93/701013893.db2.gz DUVVFIJKOBRHPJ-UHFFFAOYSA-N -1 1 300.318 1.184 20 0 DDADMM Cc1nc(C(C)(C)C)sc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765463123 701013971 /nfs/dbraw/zinc/01/39/71/701013971.db2.gz RONXDVWCBGTUSM-UHFFFAOYSA-N -1 1 310.379 1.528 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc(OC(F)(F)F)c2)nc1=O ZINC000765494174 701015968 /nfs/dbraw/zinc/01/59/68/701015968.db2.gz CBEYSSJJNYHDNY-UHFFFAOYSA-N -1 1 317.223 1.364 20 0 DDADMM CCC(=O)c1cc(C(=O)OCc2nc(=O)n(C)[n-]2)ccc1F ZINC000765498760 701016293 /nfs/dbraw/zinc/01/62/93/701016293.db2.gz XDSAYZXTOGPYRV-UHFFFAOYSA-N -1 1 307.281 1.197 20 0 DDADMM CN(Cc1cccc(C(N)=O)c1)C(=O)c1ccc([O-])c(F)c1 ZINC000767312508 701097075 /nfs/dbraw/zinc/09/70/75/701097075.db2.gz LYLXDECVAOWRQO-UHFFFAOYSA-N -1 1 302.305 1.902 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2ccc(Cl)cc2C)n[n-]1 ZINC000768760237 701200381 /nfs/dbraw/zinc/20/03/81/701200381.db2.gz VDUKVXHGFNXVQP-UHFFFAOYSA-N -1 1 315.782 1.887 20 0 DDADMM CC(C)[C@@](C)(O)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000770557264 701283904 /nfs/dbraw/zinc/28/39/04/701283904.db2.gz OYHWSMOWDXMQIS-MRXNPFEDSA-N -1 1 306.314 1.949 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCCn1ccnc1 ZINC000771917982 701336720 /nfs/dbraw/zinc/33/67/20/701336720.db2.gz CKPCTPFYMSRPOH-UHFFFAOYSA-N -1 1 315.376 1.173 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)c1nnc(-c2ccc(F)cc2)o1 ZINC000805603595 701397611 /nfs/dbraw/zinc/39/76/11/701397611.db2.gz QSMSSMCCSCBOKZ-ZETCQYMHSA-N -1 1 303.253 1.912 20 0 DDADMM O=C(OCc1noc(-c2ccc(Cl)cc2)n1)c1cn[n-]n1 ZINC000805605624 701398457 /nfs/dbraw/zinc/39/84/57/701398457.db2.gz LRGSSZPBGHJYMI-UHFFFAOYSA-N -1 1 305.681 1.865 20 0 DDADMM Cc1ccc(S(=O)(=O)CCCOC(=O)c2cn[n-]n2)cc1 ZINC000805607463 701399386 /nfs/dbraw/zinc/39/93/86/701399386.db2.gz LEJGNHLSVFFJMT-UHFFFAOYSA-N -1 1 309.347 1.134 20 0 DDADMM O=C(OCc1cn2cc(Br)ccc2n1)c1cn[n-]n1 ZINC000805607488 701399419 /nfs/dbraw/zinc/39/94/19/701399419.db2.gz LXADEQOPUVQWSO-UHFFFAOYSA-N -1 1 322.122 1.572 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)[C@H](C)[C@@H](C)O1 ZINC000806483055 701436955 /nfs/dbraw/zinc/43/69/55/701436955.db2.gz YUEGVLQKVFZTOY-GMTAPVOTSA-N -1 1 303.366 1.371 20 0 DDADMM O=C(NN1CCc2ccccc2C1=O)C(=O)c1ccc([O-])cc1 ZINC000807185211 701468378 /nfs/dbraw/zinc/46/83/78/701468378.db2.gz KWXDYLQHTGDJFE-UHFFFAOYSA-N -1 1 310.309 1.305 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@@H]2CCCC23OCCO3)n[nH]1 ZINC000807196137 701469060 /nfs/dbraw/zinc/46/90/60/701469060.db2.gz QGXMVIPKGNVFHV-VIFPVBQESA-N -1 1 309.322 1.068 20 0 DDADMM CCOC(=O)[C@H](CC=C(C)C)[N-]S(=O)(=O)CC(C)(C)OC ZINC000867757605 701734083 /nfs/dbraw/zinc/73/40/83/701734083.db2.gz ADAIUJWVZMGCQJ-LBPRGKRZSA-N -1 1 321.439 1.619 20 0 DDADMM COC(=O)C1CC([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1 ZINC000867768546 701739353 /nfs/dbraw/zinc/73/93/53/701739353.db2.gz CBFCQMUPXRDNHE-UHFFFAOYSA-N -1 1 309.290 1.447 20 0 DDADMM CC1(C)CCC[C@@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830953378 706609936 /nfs/dbraw/zinc/60/99/36/706609936.db2.gz UCQQQWMJBXLKQG-LLVKDONJSA-N -1 1 305.378 1.697 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)C1CCC=CCC1 ZINC000830970754 706613737 /nfs/dbraw/zinc/61/37/37/706613737.db2.gz ZEIVUTLDLAGLNP-UHFFFAOYSA-N -1 1 303.362 1.618 20 0 DDADMM Cc1cc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)sc1C ZINC000830966139 706612745 /nfs/dbraw/zinc/61/27/45/706612745.db2.gz NTRWMDXPIYFRBP-UHFFFAOYSA-N -1 1 319.386 1.863 20 0 DDADMM CC(C)CN(C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000867890244 701813131 /nfs/dbraw/zinc/81/31/31/701813131.db2.gz LTEGVDXZAFYBGG-GFCCVEGCSA-N -1 1 309.332 1.837 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC(OC(C)(C)C)C2)c1 ZINC000867894035 701814510 /nfs/dbraw/zinc/81/45/10/701814510.db2.gz LJASWNYIMMHSOJ-UHFFFAOYSA-N -1 1 315.391 1.589 20 0 DDADMM O=C([N-]OCC1CC1)[C@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC000839777995 701823546 /nfs/dbraw/zinc/82/35/46/701823546.db2.gz BZBGYNMMDMHSCT-AWEZNQCLSA-N -1 1 320.364 1.675 20 0 DDADMM O=C(NCCS(=O)(=O)C1CC1)c1ccc(Cl)cc1[O-] ZINC000839853152 701844588 /nfs/dbraw/zinc/84/45/88/701844588.db2.gz BOSOJJQIQXWAJX-UHFFFAOYSA-N -1 1 303.767 1.353 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)on1 ZINC000810901816 701871409 /nfs/dbraw/zinc/87/14/09/701871409.db2.gz DSSRXDIWVIWLOY-VIFPVBQESA-N -1 1 319.283 1.514 20 0 DDADMM O=C(N[C@@H]1CCCN(CCF)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000866119229 706624534 /nfs/dbraw/zinc/62/45/34/706624534.db2.gz DBVGEAXURUUMGX-LLVKDONJSA-N -1 1 318.352 1.087 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1(C)C ZINC000831039072 706625269 /nfs/dbraw/zinc/62/52/69/706625269.db2.gz SWUITCTXEJOWES-NBEYISGCSA-N -1 1 307.803 1.401 20 0 DDADMM O=C(COC(=O)[C@@H]1CCCSC1)[N-]C(=O)c1ccccc1 ZINC000811411630 701982528 /nfs/dbraw/zinc/98/25/28/701982528.db2.gz JGQHSAAKHQCDKA-GFCCVEGCSA-N -1 1 307.371 1.629 20 0 DDADMM C[C@H](CCC1CC1)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868508817 702167977 /nfs/dbraw/zinc/16/79/77/702167977.db2.gz OODLDBSFRSBUHA-SNVBAGLBSA-N -1 1 320.393 1.633 20 0 DDADMM O=C(Cc1sc(N2CCC2)nc1[O-])Nc1cccc(O)c1 ZINC000840700538 702214507 /nfs/dbraw/zinc/21/45/07/702214507.db2.gz CGOFWRDYKZYVKU-LLVKDONJSA-N -1 1 305.359 1.425 20 0 DDADMM O=C(Cc1sc(N2CCC2)nc1[O-])Nc1ccc(F)cc1 ZINC000840700476 702214527 /nfs/dbraw/zinc/21/45/27/702214527.db2.gz BHACWOKXPYZCEB-NSHDSACASA-N -1 1 307.350 1.858 20 0 DDADMM CC[C@@H]1C[C@H]1CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868671473 702256478 /nfs/dbraw/zinc/25/64/78/702256478.db2.gz ZLCAASOFMOIPHY-ZJUUUORDSA-N -1 1 306.366 1.100 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)C(=O)O1 ZINC000841522868 702478705 /nfs/dbraw/zinc/47/87/05/702478705.db2.gz XYACATCGHVRLRN-GMSGAONNSA-N -1 1 313.306 1.554 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3CC(C)(C)CO3)ccnc1-2 ZINC000879416074 706673933 /nfs/dbraw/zinc/67/39/33/706673933.db2.gz MVVDLRQKJSAUJX-JTQLQIEISA-N -1 1 303.366 1.278 20 0 DDADMM COCC1CCC([N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000841560308 702498368 /nfs/dbraw/zinc/49/83/68/702498368.db2.gz MFJHREMUUBGBLA-UHFFFAOYSA-N -1 1 321.830 1.557 20 0 DDADMM C[C@@H]1CCC[N@@H+](CCOc2ccccc2C(=O)[O-])[C@@H]1C(=O)[O-] ZINC000818329713 702636594 /nfs/dbraw/zinc/63/65/94/702636594.db2.gz CIVQUYJYWGKYGG-RISCZKNCSA-N -1 1 307.346 1.949 20 0 DDADMM CC[C@@H]1CCC[C@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869528830 702636884 /nfs/dbraw/zinc/63/68/84/702636884.db2.gz NESUJOHXDPNKLG-MWLCHTKSSA-N -1 1 323.311 1.994 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc(OC)c1 ZINC000866390787 706688069 /nfs/dbraw/zinc/68/80/69/706688069.db2.gz STFAHCJNZRVEGW-GOSISDBHSA-N -1 1 306.409 1.147 20 0 DDADMM CC(C)OC(=O)CC[C@H](C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000831334708 706688889 /nfs/dbraw/zinc/68/88/89/706688889.db2.gz ZISYWINFLVZYOV-QMMMGPOBSA-N -1 1 323.802 1.462 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CC1(Cl)Cl ZINC000866469246 706706832 /nfs/dbraw/zinc/70/68/32/706706832.db2.gz KIPHLXXJZAFROH-NPMWZIQKSA-N -1 1 309.240 1.132 20 0 DDADMM COc1ccc(NC(=O)C2(F)CC2)cc1[N-]S(C)(=O)=O ZINC000843762421 702920757 /nfs/dbraw/zinc/92/07/57/702920757.db2.gz OTWYURYWIDTXBA-UHFFFAOYSA-N -1 1 302.327 1.507 20 0 DDADMM CCN(OC)C(=O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000844064769 702965618 /nfs/dbraw/zinc/96/56/18/702965618.db2.gz YKQDAMURZHPZDV-UHFFFAOYSA-N -1 1 320.267 1.551 20 0 DDADMM C[C@@H]1C[C@H]1C[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1F ZINC000866496821 706714082 /nfs/dbraw/zinc/71/40/82/706714082.db2.gz YLJIDXJWPIFCFW-QGRDJGHBSA-N -1 1 320.411 1.773 20 0 DDADMM Cn1[nH]c([C@@H]2CCCN2C(=O)c2c([O-])cccc2Cl)nc1=N ZINC000846068318 703233846 /nfs/dbraw/zinc/23/38/46/703233846.db2.gz QQBVEJCXLPAXIK-VIFPVBQESA-N -1 1 321.768 1.564 20 0 DDADMM CCC[C@@H](C)[C@@H](CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000846360096 703268934 /nfs/dbraw/zinc/26/89/34/703268934.db2.gz KWCGLKROLJHORA-MWLCHTKSSA-N -1 1 308.407 1.545 20 0 DDADMM CC(C)(CO)[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C1CC1 ZINC000831597555 706736444 /nfs/dbraw/zinc/73/64/44/706736444.db2.gz LIKNERSSFWLBDU-VIFPVBQESA-N -1 1 307.803 1.139 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)CCOC1=O ZINC000831598134 706736559 /nfs/dbraw/zinc/73/65/59/706736559.db2.gz ZDWCUTJCXXCJDF-ZDUSSCGKSA-N -1 1 313.306 1.556 20 0 DDADMM C[C@H]1CCCC[C@@H]1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866597495 706740710 /nfs/dbraw/zinc/74/07/10/706740710.db2.gz UFFCWTFIVZKJHI-NWDGAFQWSA-N -1 1 308.469 1.909 20 0 DDADMM O=C(c1nccc2[nH]ccc21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847094294 703376923 /nfs/dbraw/zinc/37/69/23/703376923.db2.gz ZMCPXDHPDVWXEL-SECBINFHSA-N -1 1 313.317 1.671 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)c2cscn2)o1 ZINC000847813114 703471884 /nfs/dbraw/zinc/47/18/84/703471884.db2.gz QGYWEKZHRZJPOI-ZETCQYMHSA-N -1 1 316.360 1.562 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CC(C)(C)CC(F)F)n[n-]1 ZINC000879654978 706750892 /nfs/dbraw/zinc/75/08/92/706750892.db2.gz WJJCEXXGFWKRSR-UHFFFAOYSA-N -1 1 318.324 1.669 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CC(C)(C)CC(F)F)n1 ZINC000879654978 706750894 /nfs/dbraw/zinc/75/08/94/706750894.db2.gz WJJCEXXGFWKRSR-UHFFFAOYSA-N -1 1 318.324 1.669 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@H]1CCCCO1 ZINC000848341442 703540650 /nfs/dbraw/zinc/54/06/50/703540650.db2.gz WHRYUEKTIFRNIQ-MXWKQRLJSA-N -1 1 322.327 1.614 20 0 DDADMM Cn1[n-]c(COC(=O)CCCc2c(F)cccc2F)nc1=O ZINC000848817601 703592042 /nfs/dbraw/zinc/59/20/42/703592042.db2.gz DIMRNRUZMZQELJ-UHFFFAOYSA-N -1 1 311.288 1.453 20 0 DDADMM C[C@@H](CC(=O)[O-])CC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000848874763 703596555 /nfs/dbraw/zinc/59/65/55/703596555.db2.gz IILINHJTKTWMIF-SNVBAGLBSA-N -1 1 302.334 1.589 20 0 DDADMM C[C@@H](CC(=O)[O-])CC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000848874763 703596556 /nfs/dbraw/zinc/59/65/56/703596556.db2.gz IILINHJTKTWMIF-SNVBAGLBSA-N -1 1 302.334 1.589 20 0 DDADMM CN(C)C(=O)OCC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000849446682 703648893 /nfs/dbraw/zinc/64/88/93/703648893.db2.gz ZPIUMXAAMSOLKU-UHFFFAOYSA-N -1 1 324.761 1.456 20 0 DDADMM CCn1nc(C)c(CN2C[C@H](C(=O)[O-])[C@@H](c3ccccc3)C2)n1 ZINC000851708651 703833908 /nfs/dbraw/zinc/83/39/08/703833908.db2.gz KFGKAZIXXMOEEN-CABCVRRESA-N -1 1 314.389 1.907 20 0 DDADMM COCC(C)(C)[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000866718358 706774293 /nfs/dbraw/zinc/77/42/93/706774293.db2.gz GZLLIJNTHWMKIC-IBGZPJMESA-N -1 1 320.436 1.403 20 0 DDADMM CCN(CC)C(=O)[C@@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763560 706784332 /nfs/dbraw/zinc/78/43/32/706784332.db2.gz OUQWKXIDXMKRDD-MFKMUULPSA-N -1 1 323.359 1.386 20 0 DDADMM C[C@@](O)(CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C(F)(F)F ZINC000879766303 706786740 /nfs/dbraw/zinc/78/67/40/706786740.db2.gz MPQADDUNVMABEU-RKDXNWHRSA-N -1 1 322.249 1.443 20 0 DDADMM CN(Cc1cn(C)nn1)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000852553164 704074424 /nfs/dbraw/zinc/07/44/24/704074424.db2.gz MQKOEQOXJGHHLF-UHFFFAOYSA-N -1 1 300.318 1.259 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)Cn2cccn2)c1 ZINC000820220036 704242125 /nfs/dbraw/zinc/24/21/25/704242125.db2.gz XKWCCDMCFHXRMD-VERVWZFWSA-N -1 1 322.386 1.631 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000820408031 704273727 /nfs/dbraw/zinc/27/37/27/704273727.db2.gz VGNGTLNFIISONZ-SSDOTTSWSA-N -1 1 320.271 1.163 20 0 DDADMM C[C@@H]1CC(=O)N(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)C1 ZINC000853623610 704288583 /nfs/dbraw/zinc/28/85/83/704288583.db2.gz SOIYBJUHILECTN-MXWKQRLJSA-N -1 1 321.343 1.201 20 0 DDADMM COc1ccc(CN(C)C(=O)CN[C@@H](C(=O)[O-])C(C)C)cc1 ZINC000820832741 704336596 /nfs/dbraw/zinc/33/65/96/704336596.db2.gz ZKQPUNDEVSIUCQ-OAHLLOKOSA-N -1 1 308.378 1.352 20 0 DDADMM CC[C@@H](OC1CCCC1)C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000820939076 704348754 /nfs/dbraw/zinc/34/87/54/704348754.db2.gz PVCLPOXJJCKULG-SECBINFHSA-N -1 1 322.394 2.000 20 0 DDADMM CC[C@@H](OC1CCCC1)C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000820939076 704348756 /nfs/dbraw/zinc/34/87/56/704348756.db2.gz PVCLPOXJJCKULG-SECBINFHSA-N -1 1 322.394 2.000 20 0 DDADMM COc1cnc(C=Cc2cnc(N3CCOCC3)s2)[n-]c1=O ZINC000821012587 704359383 /nfs/dbraw/zinc/35/93/83/704359383.db2.gz KNZNOLJTMNOCCE-IHWYPQMZSA-N -1 1 320.374 1.654 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]c1cc(F)ccc1F ZINC000821119226 704373564 /nfs/dbraw/zinc/37/35/64/704373564.db2.gz MVKJBELYNDFYKB-UHFFFAOYSA-N -1 1 310.347 1.883 20 0 DDADMM COc1ccc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])cn1 ZINC000821772679 704441135 /nfs/dbraw/zinc/44/11/35/704441135.db2.gz LBODQRCUXPLTNI-UHFFFAOYSA-N -1 1 312.289 1.228 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@](O)(C(F)F)C1 ZINC000854780251 704451149 /nfs/dbraw/zinc/45/11/49/704451149.db2.gz BBQHXWYTTGUQCY-OAHLLOKOSA-N -1 1 308.284 1.783 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC[C@H]2NC(=O)CC[C@@H]2C1 ZINC000855682574 704497184 /nfs/dbraw/zinc/49/71/84/704497184.db2.gz ZLYWUKGGKWUAHL-MWLCHTKSSA-N -1 1 308.765 1.786 20 0 DDADMM CO[C@@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)C1CCCC1 ZINC000856155410 704516717 /nfs/dbraw/zinc/51/67/17/704516717.db2.gz YQSRXTPOWWXJLO-LBPRGKRZSA-N -1 1 320.418 1.953 20 0 DDADMM CCO/C=C/C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856415183 704527925 /nfs/dbraw/zinc/52/79/25/704527925.db2.gz BBBBXVRMGJDPOF-NVETZNIKSA-N -1 1 308.300 1.452 20 0 DDADMM Nc1nc2nc(CN3CCCC[C@H]3c3ccccc3)cc(=O)n2[n-]1 ZINC000856735021 704535962 /nfs/dbraw/zinc/53/59/62/704535962.db2.gz IXFRIAQHHDBPNT-AWEZNQCLSA-N -1 1 324.388 1.727 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](CCC(=O)Nc2nnn[n-]2)C1 ZINC000856993685 704546230 /nfs/dbraw/zinc/54/62/30/704546230.db2.gz IISILWSXTCCEGS-JTQLQIEISA-N -1 1 324.385 1.566 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](CCC(=O)Nc2nn[n-]n2)C1 ZINC000856993685 704546231 /nfs/dbraw/zinc/54/62/31/704546231.db2.gz IISILWSXTCCEGS-JTQLQIEISA-N -1 1 324.385 1.566 20 0 DDADMM CC(C)(C)OC(=O)N1CC(Nc2cc(Cl)[n-]c(=O)n2)C1 ZINC000858413443 704707974 /nfs/dbraw/zinc/70/79/74/704707974.db2.gz LQGNIPDMXAFDBF-UHFFFAOYSA-N -1 1 300.746 1.867 20 0 DDADMM O=S(=O)([N-]CCCC1CCOCC1)c1ccc(F)nc1F ZINC000867320255 706959742 /nfs/dbraw/zinc/95/97/42/706959742.db2.gz XYWBKPAHYPTUCJ-UHFFFAOYSA-N -1 1 320.361 1.845 20 0 DDADMM COc1ccc(C)nc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821782509 704805995 /nfs/dbraw/zinc/80/59/95/704805995.db2.gz GNZVWGCICZSCTB-UHFFFAOYSA-N -1 1 311.305 1.226 20 0 DDADMM COc1ccc(C)nc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821782509 704806001 /nfs/dbraw/zinc/80/60/01/704806001.db2.gz GNZVWGCICZSCTB-UHFFFAOYSA-N -1 1 311.305 1.226 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1CCC[C@@H](C2CCC2)CC1 ZINC000873643680 704814913 /nfs/dbraw/zinc/81/49/13/704814913.db2.gz KOKCBNGYMFDGII-CYBMUJFWSA-N -1 1 303.424 1.388 20 0 DDADMM O=C([N-]OCCC(F)(F)F)[C@@H]1CNC(=O)C[C@@H]1C(F)(F)F ZINC000822161743 704888954 /nfs/dbraw/zinc/88/89/54/704888954.db2.gz DDAONMLHKXBWRK-RITPCOANSA-N -1 1 322.205 1.301 20 0 DDADMM C[C@H]1CC[C@H](C[N-]S(=O)(=O)c2nc[nH]c2Br)O1 ZINC000867379443 706981121 /nfs/dbraw/zinc/98/11/21/706981121.db2.gz OLKJWTBXNCLJOD-NKWVEPMBSA-N -1 1 324.200 1.018 20 0 DDADMM Cc1noc(C)c1CCCNc1nccnc1-c1nnn[n-]1 ZINC000822524018 704967350 /nfs/dbraw/zinc/96/73/50/704967350.db2.gz WQHDLLBIZZIABK-UHFFFAOYSA-N -1 1 300.326 1.306 20 0 DDADMM Cc1noc(C)c1CCCNc1nccnc1-c1nn[n-]n1 ZINC000822524018 704967353 /nfs/dbraw/zinc/96/73/53/704967353.db2.gz WQHDLLBIZZIABK-UHFFFAOYSA-N -1 1 300.326 1.306 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC[C@@H]2CCSC2)c1 ZINC000867574457 707044132 /nfs/dbraw/zinc/04/41/32/707044132.db2.gz BGQBYJSJRZNVJO-SECBINFHSA-N -1 1 319.404 1.488 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1C[C@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000874609714 705118538 /nfs/dbraw/zinc/11/85/38/705118538.db2.gz KQOXRJBXUNJWGQ-HAQNSBGRSA-N -1 1 319.357 1.811 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCc2ccsc2)C1)C(F)(F)F ZINC000875065063 705270296 /nfs/dbraw/zinc/27/02/96/705270296.db2.gz UCRIPENMYXDYLN-UHFFFAOYSA-N -1 1 321.324 1.568 20 0 DDADMM CO[C@@H]1C[C@H]1C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000824345959 705432294 /nfs/dbraw/zinc/43/22/94/705432294.db2.gz PPVAXUUSEGSCNI-ZYUZMQFOSA-N -1 1 308.300 1.079 20 0 DDADMM COc1ccccc1[C@@H](C)N(OC)C(=O)CCCc1nn[n-]n1 ZINC000824355483 705434834 /nfs/dbraw/zinc/43/48/34/705434834.db2.gz RRDCTWPNUNHGPD-LLVKDONJSA-N -1 1 319.365 1.682 20 0 DDADMM C[C@@H](CN(C)c1cccc(-c2nnn[n-]2)n1)c1nccs1 ZINC000824414080 705448378 /nfs/dbraw/zinc/44/83/78/705448378.db2.gz TUXPLXYEADCBGP-VIFPVBQESA-N -1 1 301.379 1.958 20 0 DDADMM C[C@@H](CN(C)c1cccc(-c2nn[n-]n2)n1)c1nccs1 ZINC000824414080 705448381 /nfs/dbraw/zinc/44/83/81/705448381.db2.gz TUXPLXYEADCBGP-VIFPVBQESA-N -1 1 301.379 1.958 20 0 DDADMM O=C([N-]CC1CN(C(=O)N[C@@H]2C[C@H]3C[C@H]3C2)C1)C(F)(F)F ZINC000875682675 705476286 /nfs/dbraw/zinc/47/62/86/705476286.db2.gz DCLISYBJPCFSCK-ILWJIGKKSA-N -1 1 305.300 1.105 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)n[n-]1 ZINC000824887125 705551385 /nfs/dbraw/zinc/55/13/85/705551385.db2.gz IABBDYQIKPHJHQ-YFKTTZPYSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)[n-]1 ZINC000824887125 705551391 /nfs/dbraw/zinc/55/13/91/705551391.db2.gz IABBDYQIKPHJHQ-YFKTTZPYSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)n1 ZINC000824887125 705551399 /nfs/dbraw/zinc/55/13/99/705551399.db2.gz IABBDYQIKPHJHQ-YFKTTZPYSA-N -1 1 320.393 1.985 20 0 DDADMM C[C@@H]1C[C@H](C)CN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000876300246 705682831 /nfs/dbraw/zinc/68/28/31/705682831.db2.gz OGCZRJVQPVAKKF-PHIMTYICSA-N -1 1 320.393 1.442 20 0 DDADMM CCOC(=O)c1cnn(Cc2cccc(F)c2)c1-c1nn[n-]n1 ZINC000825571362 705695160 /nfs/dbraw/zinc/69/51/60/705695160.db2.gz ZYJAOEFJNMKZMT-UHFFFAOYSA-N -1 1 316.296 1.427 20 0 DDADMM C[C@@H]1CN(C)C(=O)CN1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876395983 705707970 /nfs/dbraw/zinc/70/79/70/705707970.db2.gz SSIFHMGLUDYIQV-SECBINFHSA-N -1 1 311.769 1.418 20 0 DDADMM COCC[C@@H](CO)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876396850 705708495 /nfs/dbraw/zinc/70/84/95/705708495.db2.gz PXSSVXUIEBELEN-JTQLQIEISA-N -1 1 302.758 1.242 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCSCCC(F)(F)F ZINC000825718494 705721265 /nfs/dbraw/zinc/72/12/65/705721265.db2.gz JYKODIQRBJASFL-UHFFFAOYSA-N -1 1 311.333 1.324 20 0 DDADMM Cc1nc(C)c(-c2nn[n-]n2)c(SCC(=O)OCC(C)C)n1 ZINC000826324223 705790999 /nfs/dbraw/zinc/79/09/99/705790999.db2.gz JBALFIBIQUSUMA-UHFFFAOYSA-N -1 1 322.394 1.565 20 0 DDADMM Cc1onc(CC(=O)N(CC(C)C)C(C)C)c1-c1nnn[n-]1 ZINC000826343240 705793090 /nfs/dbraw/zinc/79/30/90/705793090.db2.gz SEZLKZVQOFNGCR-UHFFFAOYSA-N -1 1 306.370 1.599 20 0 DDADMM Cc1onc(CC(=O)N(CC(C)C)C(C)C)c1-c1nn[n-]n1 ZINC000826343240 705793094 /nfs/dbraw/zinc/79/30/94/705793094.db2.gz SEZLKZVQOFNGCR-UHFFFAOYSA-N -1 1 306.370 1.599 20 0 DDADMM Cc1onc(CC(=O)N2Cc3ccccc3[C@@H]2C)c1-c1nnn[n-]1 ZINC000826345318 705793933 /nfs/dbraw/zinc/79/39/33/705793933.db2.gz IVJHPHCNAQOYJR-VIFPVBQESA-N -1 1 324.344 1.809 20 0 DDADMM Cc1onc(CC(=O)N2Cc3ccccc3[C@@H]2C)c1-c1nn[n-]n1 ZINC000826345318 705793935 /nfs/dbraw/zinc/79/39/35/705793935.db2.gz IVJHPHCNAQOYJR-VIFPVBQESA-N -1 1 324.344 1.809 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc3n[nH]cc3c2)c1-c1nnn[n-]1 ZINC000826348825 705795363 /nfs/dbraw/zinc/79/53/63/705795363.db2.gz HCDXCIXWUUKRDC-UHFFFAOYSA-N -1 1 324.304 1.221 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc3n[nH]cc3c2)c1-c1nn[n-]n1 ZINC000826348825 705795366 /nfs/dbraw/zinc/79/53/66/705795366.db2.gz HCDXCIXWUUKRDC-UHFFFAOYSA-N -1 1 324.304 1.221 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCC(CCCO)CC1 ZINC000826472299 705804435 /nfs/dbraw/zinc/80/44/35/705804435.db2.gz GDAOMVROKOEDPE-UHFFFAOYSA-N -1 1 321.406 1.553 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCC(CCCO)CC1 ZINC000826472299 705804437 /nfs/dbraw/zinc/80/44/37/705804437.db2.gz GDAOMVROKOEDPE-UHFFFAOYSA-N -1 1 321.406 1.553 20 0 DDADMM c1cc(C[C@@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)ccn1 ZINC000826514966 705806920 /nfs/dbraw/zinc/80/69/20/705806920.db2.gz IVFUSGRGQMCWHC-LBPRGKRZSA-N -1 1 308.349 1.121 20 0 DDADMM c1cc(C[C@@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)ccn1 ZINC000826514966 705806924 /nfs/dbraw/zinc/80/69/24/705806924.db2.gz IVFUSGRGQMCWHC-LBPRGKRZSA-N -1 1 308.349 1.121 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000863002666 705848391 /nfs/dbraw/zinc/84/83/91/705848391.db2.gz OMEVOAIXTARAOX-JXVAYASWSA-N -1 1 306.391 1.560 20 0 DDADMM C/C=C\C[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000863814304 706021578 /nfs/dbraw/zinc/02/15/78/706021578.db2.gz OESBHAMIRBTEQF-XQJDBVBESA-N -1 1 305.378 1.132 20 0 DDADMM CCOc1cc(C(=O)N2CC[C@@H](O)[C@@H](O)C2)cc(Cl)c1[O-] ZINC000827629084 706040089 /nfs/dbraw/zinc/04/00/89/706040089.db2.gz WXRIPDVTCFJULJ-MNOVXSKESA-N -1 1 315.753 1.012 20 0 DDADMM CC[C@@H](C)C[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC ZINC000827814224 706071138 /nfs/dbraw/zinc/07/11/38/706071138.db2.gz AQRPUKGJLTVVOC-HTQZYQBOSA-N -1 1 323.802 1.319 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCOC[C@H]1CCO ZINC000827926185 706089224 /nfs/dbraw/zinc/08/92/24/706089224.db2.gz ZNYIDZSIXCIRIT-SNVBAGLBSA-N -1 1 319.279 1.634 20 0 DDADMM C[C@H]1[C@H](Cc2ccccc2)CCN1Cn1cnc(C(=O)[O-])n1 ZINC000828150886 706128782 /nfs/dbraw/zinc/12/87/82/706128782.db2.gz RTKNQYIFBMEOJY-JSGCOSHPSA-N -1 1 300.362 1.887 20 0 DDADMM COCC[N-]S(=O)(=O)c1cnc(Cl)cc1C(F)(F)F ZINC000881772428 707361515 /nfs/dbraw/zinc/36/15/15/707361515.db2.gz XTPISRVTKYLOGA-UHFFFAOYSA-N -1 1 318.704 1.679 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1cccnc1 ZINC000872431826 707407417 /nfs/dbraw/zinc/40/74/17/707407417.db2.gz OOYULTJJPGLGBX-APBUJDDRSA-N -1 1 319.452 1.873 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cccc(N2CCCCC2)c1 ZINC000864987835 706320208 /nfs/dbraw/zinc/32/02/08/706320208.db2.gz QXUOEQDMDQZPQW-UHFFFAOYSA-N -1 1 300.366 1.761 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)c1nccs1 ZINC000830589623 706540088 /nfs/dbraw/zinc/54/00/88/706540088.db2.gz NHOYWKIIGBPLLZ-LURJTMIESA-N -1 1 306.800 1.949 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H]1Cc1ccccc1)c1c[nH]nc1Cl ZINC000830688210 706562288 /nfs/dbraw/zinc/56/22/88/706562288.db2.gz LRAMBZNVUBCBTB-QWRGUYRKSA-N -1 1 311.794 1.973 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)[C@H](C)O1 ZINC000830789315 706579918 /nfs/dbraw/zinc/57/99/18/706579918.db2.gz IZVWFKZIFMFLQG-QYTUQVAYSA-N -1 1 322.327 1.469 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCCSC2)C1 ZINC000830802674 706582764 /nfs/dbraw/zinc/58/27/64/706582764.db2.gz ZZVAEVFRKAEPEI-SKDRFNHKSA-N -1 1 324.368 1.799 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830815409 706584809 /nfs/dbraw/zinc/58/48/09/706584809.db2.gz AQYPQGGEXTVFNH-SBMIAAHKSA-N -1 1 308.300 1.081 20 0 DDADMM Cn1ccc(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)c1 ZINC000830815596 706585110 /nfs/dbraw/zinc/58/51/10/706585110.db2.gz CHNOOIKJQMFERQ-LBPRGKRZSA-N -1 1 303.284 1.308 20 0 DDADMM CCC[C@@H](CCO)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866896624 706838540 /nfs/dbraw/zinc/83/85/40/706838540.db2.gz DYLQIAHAFGSRIE-VIFPVBQESA-N -1 1 308.350 1.437 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCCC[C@H]1O ZINC000866914898 706843602 /nfs/dbraw/zinc/84/36/02/706843602.db2.gz FLZUDEIIWXGFCZ-ZWNOBZJWSA-N -1 1 320.361 1.579 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1CCC[C@H]2OCC[C@@H]21 ZINC000866963745 706857422 /nfs/dbraw/zinc/85/74/22/706857422.db2.gz WBRVYLHDFHDVLB-IJLUTSLNSA-N -1 1 322.452 1.040 20 0 DDADMM O=S(=O)([N-]CC1(C2CC2)CC1)c1nc[nH]c1Br ZINC000867002087 706868999 /nfs/dbraw/zinc/86/89/99/706868999.db2.gz KXLOBGGTQSYKHK-UHFFFAOYSA-N -1 1 320.212 1.641 20 0 DDADMM CC(C)[C@H](O)C(C)(C)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867007259 706870429 /nfs/dbraw/zinc/87/04/29/706870429.db2.gz OQDWKTYKSPHNII-NSHDSACASA-N -1 1 322.377 1.681 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@@H]1CCCO1 ZINC000867013651 706872533 /nfs/dbraw/zinc/87/25/33/706872533.db2.gz XWAVWWWFPNABEN-IUCAKERBSA-N -1 1 306.334 1.596 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@H]1CCCOC1 ZINC000867069391 706888548 /nfs/dbraw/zinc/88/85/48/706888548.db2.gz GVCINCJAJMLUEA-BDAKNGLRSA-N -1 1 306.334 1.453 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C1Cc2ccccc2C1 ZINC000867107385 706898079 /nfs/dbraw/zinc/89/80/79/706898079.db2.gz NXDTYAYQFPLLDF-UHFFFAOYSA-N -1 1 316.448 1.496 20 0 DDADMM C/C=C\C[C@@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867120666 706902485 /nfs/dbraw/zinc/90/24/85/706902485.db2.gz SWVHWQIAFHCRJX-KZUAUGPASA-N -1 1 308.762 1.480 20 0 DDADMM CC(C)O[N-]C(=O)[C@H]1CO[C@@H](CCC(=O)OC(C)(C)C)C1 ZINC000880185164 706904643 /nfs/dbraw/zinc/90/46/43/706904643.db2.gz HOEQFIURMTVKBM-NEPJUHHUSA-N -1 1 301.383 1.970 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@@H]2CCC[C@@H]21)c1nc[nH]c1Br ZINC000867202807 706923134 /nfs/dbraw/zinc/92/31/34/706923134.db2.gz MTHLLBYJPMGPQB-KVSKUHBBSA-N -1 1 306.185 1.249 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2C[C@H]2C2CC2)c1C(F)(F)F ZINC000867219657 706928390 /nfs/dbraw/zinc/92/83/90/706928390.db2.gz UDMWLEQUSOYGET-JGVFFNPUSA-N -1 1 309.313 1.516 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C)[C@@H](O)c2ccccc2)sn1 ZINC000867274049 706944543 /nfs/dbraw/zinc/94/45/43/706944543.db2.gz PFUBQJNLDRDJAX-GXFFZTMASA-N -1 1 312.416 1.852 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H]1CC2CCC1CC2 ZINC000867446050 707003518 /nfs/dbraw/zinc/00/35/18/707003518.db2.gz HNTFPEGFULQNIA-BPCQOVAHSA-N -1 1 320.480 1.909 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCc1ccco1 ZINC000833627335 707011814 /nfs/dbraw/zinc/01/18/14/707011814.db2.gz WJZGJJFXGAKMLH-LBPRGKRZSA-N -1 1 309.366 1.013 20 0 DDADMM O=C([O-])[C@@H](O)C1CCN(C[C@@H](O)c2ccc(F)cc2F)CC1 ZINC000833770565 707014526 /nfs/dbraw/zinc/01/45/26/707014526.db2.gz JCZYJTFXRJGUBU-KGLIPLIRSA-N -1 1 315.316 1.156 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@H]2CCc3nc[nH]c3C2)[C@@H](C(=O)[O-])C1 ZINC000909021279 712919156 /nfs/dbraw/zinc/91/91/56/712919156.db2.gz WCFRXYDXHZMCFC-GBIKHYSHSA-N -1 1 306.366 1.162 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1C[C@H](O)c2ccccc21 ZINC000867513992 707024330 /nfs/dbraw/zinc/02/43/30/707024330.db2.gz MPGLYEYOIACQCG-ZKTNFTSUSA-N -1 1 318.420 1.117 20 0 DDADMM C[C@@H]1CCC[C@@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)O1 ZINC000867516471 707025320 /nfs/dbraw/zinc/02/53/20/707025320.db2.gz FSTDOOSCBOXDMZ-BDAKNGLRSA-N -1 1 306.334 1.596 20 0 DDADMM CSc1nc(CNC(=O)C2CC3(CC3(F)F)C2)cc(=O)[n-]1 ZINC000880651569 707048691 /nfs/dbraw/zinc/04/86/91/707048691.db2.gz QVIQEEIDXJSJLW-UHFFFAOYSA-N -1 1 315.345 1.956 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C1(N2CCOCC2)CC1 ZINC000834822818 707130745 /nfs/dbraw/zinc/13/07/45/707130745.db2.gz QOOZZWNTDBVUMJ-UHFFFAOYSA-N -1 1 310.781 1.527 20 0 DDADMM Cc1[n-]n(-c2ccc(S(=O)(=O)C(F)F)cc2)c(=O)c1F ZINC000871949428 707252393 /nfs/dbraw/zinc/25/23/93/707252393.db2.gz ALOADJTYTVGUEB-VIFPVBQESA-N -1 1 306.265 1.744 20 0 DDADMM CC(C)SCC[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872487222 707439754 /nfs/dbraw/zinc/43/97/54/707439754.db2.gz OCEDPLPCKQUKQQ-QGZVFWFLSA-N -1 1 316.514 1.859 20 0 DDADMM Cc1cc(N2C[C@H](C(=O)[N-]OC(C)(C)CO)CC2=O)ccc1F ZINC000836804875 707519865 /nfs/dbraw/zinc/51/98/65/707519865.db2.gz LMEPTGAXKJQCFI-LLVKDONJSA-N -1 1 324.352 1.306 20 0 DDADMM CCOC1CC2(C[C@@H]2[N-]S(=O)(=O)c2cc(C)ns2)C1 ZINC000872657456 707538286 /nfs/dbraw/zinc/53/82/86/707538286.db2.gz DAVPAKKZWFZISX-YZRBJQDESA-N -1 1 302.421 1.687 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000836907107 707548888 /nfs/dbraw/zinc/54/88/88/707548888.db2.gz QBZBDAKOVGPUBO-BXUZGUMPSA-N -1 1 324.352 1.243 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-]CC(=O)C1CCCC1 ZINC000882456034 707640311 /nfs/dbraw/zinc/64/03/11/707640311.db2.gz IASLRWMRSAGBRU-CYBMUJFWSA-N -1 1 319.423 1.397 20 0 DDADMM COC1(COC(=O)c2sccc2[N-]S(C)(=O)=O)CCC1 ZINC000837444809 707650419 /nfs/dbraw/zinc/65/04/19/707650419.db2.gz BWAPSGMWSKRFOW-UHFFFAOYSA-N -1 1 319.404 1.846 20 0 DDADMM CCOC(Cn1cc(C(=O)Nc2ccncc2[O-])cn1)OCC ZINC000837497811 707664941 /nfs/dbraw/zinc/66/49/41/707664941.db2.gz IOECMZNQJMOGTC-UHFFFAOYSA-N -1 1 320.349 1.057 20 0 DDADMM CN(C)c1ccc(S(=O)(=O)[N-]c2nn(C)cc2Cl)cc1 ZINC000882796912 707800345 /nfs/dbraw/zinc/80/03/45/707800345.db2.gz FAFXVYRURSARRH-UHFFFAOYSA-N -1 1 314.798 1.940 20 0 DDADMM COC(=O)[C@@]1(O)CCN(C(=O)c2cc3ccccc3cc2[O-])C1 ZINC000882839766 707812776 /nfs/dbraw/zinc/81/27/76/707812776.db2.gz AQKKQOXPXMJRDX-QGZVFWFLSA-N -1 1 315.325 1.295 20 0 DDADMM CCn1cc([C@@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)cn1 ZINC000909286917 712982494 /nfs/dbraw/zinc/98/24/94/712982494.db2.gz YMKUCOSZIUUBHQ-SECBINFHSA-N -1 1 301.350 1.767 20 0 DDADMM C=C/C=C\CCNC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000883830175 708069482 /nfs/dbraw/zinc/06/94/82/708069482.db2.gz DJJOYKQDGMULNA-ZFDPJTLLSA-N -1 1 319.327 1.971 20 0 DDADMM C[C@H]1CO[C@H](C(=O)Nc2nc(Br)ccc2[O-])C1 ZINC000897112891 708232196 /nfs/dbraw/zinc/23/21/96/708232196.db2.gz SWDHYVGSROKDMF-SVRRBLITSA-N -1 1 301.140 1.913 20 0 DDADMM CCCCc1nc(C)c(CC(=O)OCc2nc(=O)n(C)[n-]2)s1 ZINC000884802587 708381053 /nfs/dbraw/zinc/38/10/53/708381053.db2.gz FCPATICZFFOMKG-UHFFFAOYSA-N -1 1 324.406 1.502 20 0 DDADMM O=S(=O)([N-]CCN1CCCc2ccccc21)c1ccns1 ZINC000884963463 708430434 /nfs/dbraw/zinc/43/04/34/708430434.db2.gz OBKHJNUCKUPHMF-UHFFFAOYSA-N -1 1 323.443 1.874 20 0 DDADMM COc1cccc([C@H](O)C[N-]S(=O)(=O)c2ccns2)c1 ZINC000885026379 708446773 /nfs/dbraw/zinc/44/67/73/708446773.db2.gz WANPNPWWMWFTHB-LLVKDONJSA-N -1 1 314.388 1.164 20 0 DDADMM Cc1nn(C)c(C)c1C[C@@H](C)[N-]S(=O)(=O)c1ccns1 ZINC000885032906 708449378 /nfs/dbraw/zinc/44/93/78/708449378.db2.gz HMPJYLITRVDOKF-MRVPVSSYSA-N -1 1 314.436 1.403 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ccns2)CCO[C@H]1C1CC1 ZINC000885108849 708474597 /nfs/dbraw/zinc/47/45/97/708474597.db2.gz AOTKNQCGDIGKFI-NWDGAFQWSA-N -1 1 302.421 1.627 20 0 DDADMM O=S(=O)([N-]Cc1noc(C(F)(F)F)n1)c1ccns1 ZINC000885150290 708480192 /nfs/dbraw/zinc/48/01/92/708480192.db2.gz RWEOHJKDOYMBJX-UHFFFAOYSA-N -1 1 314.270 1.023 20 0 DDADMM O=S(=O)([N-]C1CCC(OC(F)F)CC1)c1ccns1 ZINC000885224616 708497795 /nfs/dbraw/zinc/49/77/95/708497795.db2.gz QCOKNJOBZYWWCK-UHFFFAOYSA-N -1 1 312.363 1.972 20 0 DDADMM O=S(=O)([N-][C@H](CCO)c1ccccc1F)c1ccns1 ZINC000885268639 708506103 /nfs/dbraw/zinc/50/61/03/708506103.db2.gz VWHVPIUDEUWSGY-LLVKDONJSA-N -1 1 316.379 1.684 20 0 DDADMM O=C(N[C@H]1CCNC(=O)CC1)c1ccc2ccc(O)cc2c1[O-] ZINC000897976265 708508521 /nfs/dbraw/zinc/50/85/21/708508521.db2.gz LSLZLWFHMAKTOS-LLVKDONJSA-N -1 1 314.341 1.650 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ccns2)OCCc2ccccc21 ZINC000885356442 708526576 /nfs/dbraw/zinc/52/65/76/708526576.db2.gz OWLHOGUZNJVVOY-CQSZACIVSA-N -1 1 324.427 1.910 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@]23C[C@H]2COC3)c(F)c1 ZINC000885496100 708559653 /nfs/dbraw/zinc/55/96/53/708559653.db2.gz AWBGWORTHKXGQO-MADCSZMMSA-N -1 1 305.302 1.041 20 0 DDADMM O=C(C[C@H]1CCCC(=O)N1)NCCc1c(F)cc([O-])cc1F ZINC000886268373 708732788 /nfs/dbraw/zinc/73/27/88/708732788.db2.gz NFAMZKKFUZDYIQ-SECBINFHSA-N -1 1 312.316 1.388 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC1(O)CCCC1 ZINC000927782007 713054649 /nfs/dbraw/zinc/05/46/49/713054649.db2.gz WSBCQKASJRKYCS-UHFFFAOYSA-N -1 1 314.332 1.817 20 0 DDADMM CC[C@]1(O)CCN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927785046 713055650 /nfs/dbraw/zinc/05/56/50/713055650.db2.gz WWVXURFPVFVBAJ-HNNXBMFYSA-N -1 1 314.332 1.769 20 0 DDADMM C[C@H](NC(=O)NCCc1c(F)cc([O-])cc1F)C1(CO)CC1 ZINC000927787101 713056107 /nfs/dbraw/zinc/05/61/07/713056107.db2.gz MEMRDTXAAJEDCE-VIFPVBQESA-N -1 1 314.332 1.673 20 0 DDADMM CN(CC(=O)NCCC1=CCCCC1)C(=O)c1ncccc1[O-] ZINC000887047584 708941722 /nfs/dbraw/zinc/94/17/22/708941722.db2.gz JCHLHOASBXUNEH-UHFFFAOYSA-N -1 1 317.389 1.866 20 0 DDADMM O=c1cc(CN[C@@H](CCO)C(F)(F)F)c2ccc([O-])cc2o1 ZINC000887080797 708949911 /nfs/dbraw/zinc/94/99/11/708949911.db2.gz FXSMUSBOMYWOJC-LBPRGKRZSA-N -1 1 317.263 1.902 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)[N-]N2C(=O)[C@H](C)N(C)C2=O)o1 ZINC000899028559 708957886 /nfs/dbraw/zinc/95/78/86/708957886.db2.gz FBSSLYLZQPDWLA-JTQLQIEISA-N -1 1 322.365 1.049 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@H]1c1nc[nH]n1 ZINC000899106835 708985910 /nfs/dbraw/zinc/98/59/10/708985910.db2.gz QGGUQHDYMXGDDR-VIFPVBQESA-N -1 1 315.362 1.113 20 0 DDADMM COc1ccccc1-c1cn(CC[N-]C(=O)C(F)(F)F)nn1 ZINC000899695192 709153918 /nfs/dbraw/zinc/15/39/18/709153918.db2.gz CXDAZEIORBEHJC-UHFFFAOYSA-N -1 1 314.267 1.632 20 0 DDADMM Cc1c(Cl)ccc(CNCCC(=O)NCC(=O)[O-])c1Cl ZINC000900039623 709274389 /nfs/dbraw/zinc/27/43/89/709274389.db2.gz ADANBUWOAFLSLJ-UHFFFAOYSA-N -1 1 319.188 1.982 20 0 DDADMM COc1ccc(C(=O)Nc2ccnn2[C@H]2CCOC2)c([O-])c1 ZINC000888582584 709344410 /nfs/dbraw/zinc/34/44/10/709344410.db2.gz HSLGXAFXLFTEJS-JTQLQIEISA-N -1 1 303.318 1.811 20 0 DDADMM O=C(N[C@@H](CCO)C1CCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000889121756 709450490 /nfs/dbraw/zinc/45/04/90/709450490.db2.gz OVEZMAPZTSYOOT-ZDUSSCGKSA-N -1 1 305.378 1.731 20 0 DDADMM Cc1nc(CNC(=O)CN2[C@H](C)CC[C@H]2C)sc1C(=O)[O-] ZINC000909501123 709491283 /nfs/dbraw/zinc/49/12/83/709491283.db2.gz BKGDSCZXMJVEIA-RKDXNWHRSA-N -1 1 311.407 1.639 20 0 DDADMM COC1(OC)CCOC[C@H]1NC(=O)c1cc(Cl)ccc1[O-] ZINC000912853267 713107612 /nfs/dbraw/zinc/10/76/12/713107612.db2.gz IABXWPMVHMIZJO-GFCCVEGCSA-N -1 1 315.753 1.553 20 0 DDADMM CCCN1CCC[C@H]1C(=O)NCc1nc(C)c(C(=O)[O-])s1 ZINC000909504733 709493654 /nfs/dbraw/zinc/49/36/54/709493654.db2.gz VCQSIINOXZWDLF-JTQLQIEISA-N -1 1 311.407 1.640 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(C(F)F)o1)c1nn[n-]n1 ZINC000912858061 713109092 /nfs/dbraw/zinc/10/90/92/713109092.db2.gz CSEYXOJGZBFGKV-LURJTMIESA-N -1 1 317.321 1.955 20 0 DDADMM CSCC[C@H](NC(=O)c1cccc2c1CCC2)c1nn[n-]n1 ZINC000912860646 713110154 /nfs/dbraw/zinc/11/01/54/713110154.db2.gz SFWSKMCZSCNTML-ZDUSSCGKSA-N -1 1 317.418 1.913 20 0 DDADMM CSCC[C@H](NC(=O)C1(SC)CCC1)c1nn[n-]n1 ZINC000912862027 713110274 /nfs/dbraw/zinc/11/02/74/713110274.db2.gz HOTFDBQNAIPENF-QMMMGPOBSA-N -1 1 301.441 1.396 20 0 DDADMM CO[C@@H](CC(C)C)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912862980 713111593 /nfs/dbraw/zinc/11/15/93/713111593.db2.gz HPQJGHISJZHMGC-UWVGGRQHSA-N -1 1 301.416 1.171 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1CCCCC1(F)F)c1nn[n-]n1 ZINC000912863220 713111599 /nfs/dbraw/zinc/11/15/99/713111599.db2.gz PDKBHYSWZCIYFO-BDAKNGLRSA-N -1 1 319.381 1.936 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H](CC(C)C)OC)[n-]c1=O ZINC000889785259 709634748 /nfs/dbraw/zinc/63/47/48/709634748.db2.gz CUIKKYGKYLRXGS-VXGBXAGGSA-N -1 1 323.393 1.915 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2CCCSC2)[n-]c1=O ZINC000889788297 709636113 /nfs/dbraw/zinc/63/61/13/709636113.db2.gz ZWPCBFWGUSAJHF-MNOVXSKESA-N -1 1 323.418 1.998 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccncc2F)[n-]c1=O ZINC000889791316 709637244 /nfs/dbraw/zinc/63/72/44/709637244.db2.gz GKMHLKSTOMEXGV-NSHDSACASA-N -1 1 318.308 1.702 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H](C)CSC)[n-]c1=O ZINC000889792059 709638226 /nfs/dbraw/zinc/63/82/26/709638226.db2.gz RTJQKWJUYYPFSW-UWVGGRQHSA-N -1 1 311.407 1.853 20 0 DDADMM CCCSCC(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889793682 709638574 /nfs/dbraw/zinc/63/85/74/709638574.db2.gz BZDUFHVNGDBWDR-SNVBAGLBSA-N -1 1 311.407 1.998 20 0 DDADMM CCO[C@@H]1C[C@H]1C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889794210 709639298 /nfs/dbraw/zinc/63/92/98/709639298.db2.gz GWMHGNMPXRHUTK-GMTAPVOTSA-N -1 1 307.350 1.279 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccnc(F)c2)[n-]c1=O ZINC000889795143 709639453 /nfs/dbraw/zinc/63/94/53/709639453.db2.gz VKBRQDULFNBDTP-JTQLQIEISA-N -1 1 318.308 1.702 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CCOC(C)C)[n-]c1=O ZINC000889795584 709639565 /nfs/dbraw/zinc/63/95/65/709639565.db2.gz ZHPHXRPZIJVGMU-NSHDSACASA-N -1 1 309.366 1.669 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)Nc1cccc([C@@H](C)C(=O)[O-])c1 ZINC000909833730 709644531 /nfs/dbraw/zinc/64/45/31/709644531.db2.gz JLBZIYAOUIXPHH-CHWSQXEVSA-N -1 1 320.389 1.782 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N2CC[C@@H](N3CCC3)C2)c1 ZINC000912904052 713119340 /nfs/dbraw/zinc/11/93/40/713119340.db2.gz OQCVRXJCPNFMSQ-MCMMXHMISA-N -1 1 322.430 1.109 20 0 DDADMM C[C@@]1(C(=O)[O-])CCCN(C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC000909841382 709647882 /nfs/dbraw/zinc/64/78/82/709647882.db2.gz QQSUIODGUWWUKP-LLVKDONJSA-N -1 1 305.256 1.755 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@@H](NC(=O)[C@@H]2CCCCN2C)C(=O)[O-])C1 ZINC000909912105 709685108 /nfs/dbraw/zinc/68/51/08/709685108.db2.gz RZMIIFMLHWTICR-YIYPIFLZSA-N -1 1 312.410 1.245 20 0 DDADMM CC(C)C(=O)N1C[C@@H]2CCCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000963731447 717892564 /nfs/dbraw/zinc/89/25/64/717892564.db2.gz UCLVDTRRPOJBRV-QWHCGFSZSA-N -1 1 317.389 1.506 20 0 DDADMM CN(CC(=O)NC[C@@H](CC(=O)[O-])C1CC1)[C@@H]1CCSC1 ZINC000909968138 709712274 /nfs/dbraw/zinc/71/22/74/709712274.db2.gz HIMCXJRKBIQKIH-VXGBXAGGSA-N -1 1 300.424 1.041 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@](C)(CCF)C(=O)[O-] ZINC000909969501 709713236 /nfs/dbraw/zinc/71/32/36/709713236.db2.gz FSQONTSKWWXFLY-INIZCTEOSA-N -1 1 310.369 1.480 20 0 DDADMM Cc1ncc(C(=O)N2CCC[C@H]2c2nc(C(=O)[O-])cs2)[nH]1 ZINC000910101932 709772664 /nfs/dbraw/zinc/77/26/64/709772664.db2.gz JFAOGVMQRRAREK-JTQLQIEISA-N -1 1 306.347 1.850 20 0 DDADMM O=C([O-])[C@]12CN(C(=O)[C@H]3CCc4nc[nH]c4C3)C[C@H]1CCCC2 ZINC000910161316 709795244 /nfs/dbraw/zinc/79/52/44/709795244.db2.gz WKKYUPMFYVTHOQ-XWCIJXRUSA-N -1 1 317.389 1.618 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2ccc(O)cc2)CC1 ZINC000910169860 709796271 /nfs/dbraw/zinc/79/62/71/709796271.db2.gz GOPQEZFZUBLIBM-WXJAXGNASA-N -1 1 318.373 1.413 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)COc2ccsc2)CC1 ZINC000910172974 709797333 /nfs/dbraw/zinc/79/73/33/709797333.db2.gz IBEVJILJYMWBEH-LLVKDONJSA-N -1 1 312.391 1.134 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)[C@]23CCO[C@H]2CCCC3)CC1 ZINC000910181484 709800411 /nfs/dbraw/zinc/80/04/11/709800411.db2.gz UDTABBUFXKOKMU-SUMWQHHRSA-N -1 1 324.421 1.343 20 0 DDADMM CC1CCN(CC(=O)NCc2ccc(CC(=O)[O-])cc2)CC1 ZINC000910196691 709808505 /nfs/dbraw/zinc/80/85/05/709808505.db2.gz VGMICRLSWATSHR-UHFFFAOYSA-N -1 1 304.390 1.662 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@]3(C)OCCc4ccccc43)ccnc1-2 ZINC000890283267 709811001 /nfs/dbraw/zinc/81/10/01/709811001.db2.gz HTOBUQBGCGFRSL-GOSISDBHSA-N -1 1 322.368 1.768 20 0 DDADMM COc1cccc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1C ZINC000910223747 709823864 /nfs/dbraw/zinc/82/38/64/709823864.db2.gz AGSDBKZPQWWBAH-GFCCVEGCSA-N -1 1 306.362 1.739 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)[C@@H]2CCC[C@@H]21 ZINC000910249565 709839913 /nfs/dbraw/zinc/83/99/13/709839913.db2.gz XZTNNFYKKISKNZ-RTWAVKEYSA-N -1 1 317.389 1.931 20 0 DDADMM CC[C@H](CC(F)(F)F)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000910252564 709842153 /nfs/dbraw/zinc/84/21/53/709842153.db2.gz GCNRVURBCQRAQE-VHSXEESVSA-N -1 1 310.316 1.630 20 0 DDADMM Cc1nc([C@H]2CCCN(c3nc(C)c(C(=O)[O-])s3)C2)n[nH]1 ZINC000900991516 709867536 /nfs/dbraw/zinc/86/75/36/709867536.db2.gz VRIYUVKRKWTWGJ-VIFPVBQESA-N -1 1 307.379 1.960 20 0 DDADMM Cc1nnc([C@H]2CCCN(c3nc(C)c(C(=O)[O-])s3)C2)[nH]1 ZINC000900991516 709867542 /nfs/dbraw/zinc/86/75/42/709867542.db2.gz VRIYUVKRKWTWGJ-VIFPVBQESA-N -1 1 307.379 1.960 20 0 DDADMM O=C([O-])[C@]1(CNC(=O)c2ccc(O)c(Cl)c2)CCCOC1 ZINC000910307523 709880870 /nfs/dbraw/zinc/88/08/70/709880870.db2.gz ZIAZVFHDXSRBIP-AWEZNQCLSA-N -1 1 313.737 1.657 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1nccs1 ZINC000901178361 709956896 /nfs/dbraw/zinc/95/68/96/709956896.db2.gz WCVZKEKIWNIILB-SECBINFHSA-N -1 1 306.395 1.340 20 0 DDADMM CN(C)C1(C(=O)Nc2nccc(Br)c2[O-])CCC1 ZINC000913025717 713146599 /nfs/dbraw/zinc/14/65/99/713146599.db2.gz YMEFVGBTWIAADP-UHFFFAOYSA-N -1 1 314.183 1.973 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)N[C@H](CC(=O)[O-])C1CCCC1 ZINC000910566601 710002884 /nfs/dbraw/zinc/00/28/84/710002884.db2.gz IJSIZEGVJOSQPC-GXTWGEPZSA-N -1 1 312.410 1.104 20 0 DDADMM CC(C)CCC[C@@H](NC(=O)CN(C)[C@H]1CCSC1)C(=O)[O-] ZINC000910578179 710007831 /nfs/dbraw/zinc/00/78/31/710007831.db2.gz VMGKQVLXMFMMNN-QWHCGFSZSA-N -1 1 316.467 1.819 20 0 DDADMM COC[C@H](NCc1ccc(F)cc1Br)C(=O)[O-] ZINC000901477531 710050309 /nfs/dbraw/zinc/05/03/09/710050309.db2.gz KZUWLEQGQRHJHD-JTQLQIEISA-N -1 1 306.131 1.777 20 0 DDADMM COc1ccccc1CN1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC000901522775 710064813 /nfs/dbraw/zinc/06/48/13/710064813.db2.gz ARMFWFHSWCIRBT-ZDUSSCGKSA-N -1 1 316.361 1.822 20 0 DDADMM O=C(N[C@H]1COC[C@@H]1C1CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000891048925 710071727 /nfs/dbraw/zinc/07/17/27/710071727.db2.gz YDKNKKHPXDLPAK-MNOVXSKESA-N -1 1 304.375 1.173 20 0 DDADMM CC(=O)N1CCCC[C@H]1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891623526 710251229 /nfs/dbraw/zinc/25/12/29/710251229.db2.gz JZBYICQDZWANTO-ZDUSSCGKSA-N -1 1 318.377 1.401 20 0 DDADMM COc1ncnc(OC)c1C(=O)[N-]c1cc(C(F)(F)F)no1 ZINC000892423593 710434946 /nfs/dbraw/zinc/43/49/46/710434946.db2.gz FNPVVGHDLKQMBW-UHFFFAOYSA-N -1 1 318.211 1.753 20 0 DDADMM C[C@H]1CCc2sc(C(=O)[N-]n3ccc(=O)[nH]c3=O)cc2C1 ZINC000913241049 713184300 /nfs/dbraw/zinc/18/43/00/713184300.db2.gz ODEAKRGYSSWZAD-QMMMGPOBSA-N -1 1 305.359 1.519 20 0 DDADMM COCc1nc(N2CC[C@H](Cc3ccncc3)C2)cc(=O)[n-]1 ZINC000893124503 710558616 /nfs/dbraw/zinc/55/86/16/710558616.db2.gz YYGCFGAMSLZAEM-CYBMUJFWSA-N -1 1 300.362 1.793 20 0 DDADMM COCc1nc(N2CCC[C@@H](C3(C)OCCO3)C2)cc(=O)[n-]1 ZINC000893153056 710563551 /nfs/dbraw/zinc/56/35/51/710563551.db2.gz ZCQMXSSVJZCQJD-LLVKDONJSA-N -1 1 309.366 1.308 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@@H]2CCN(C)[C@H]2C)c1 ZINC000928434259 713193800 /nfs/dbraw/zinc/19/38/00/713193800.db2.gz WDAKCROJXSEGKA-ZWTFIKMHSA-N -1 1 310.419 1.012 20 0 DDADMM Cc1oc(CNCCNC(=O)c2ccccc2)cc1C(=O)[O-] ZINC000902091889 710611874 /nfs/dbraw/zinc/61/18/74/710611874.db2.gz CJGMNCVIQATXTA-UHFFFAOYSA-N -1 1 302.330 1.806 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)Nc1ccc(N2CCN(C)CC2)cc1C ZINC000911096068 710631664 /nfs/dbraw/zinc/63/16/64/710631664.db2.gz NVPKJQMWOJOWOT-AWEZNQCLSA-N -1 1 319.405 1.796 20 0 DDADMM C[C@@H](NCc1ccc(OCC(=O)[O-])cc1)C(=O)NC(C)(C)C ZINC000902179186 710650959 /nfs/dbraw/zinc/65/09/59/710650959.db2.gz JDUZGBFPGQHTIX-LLVKDONJSA-N -1 1 308.378 1.543 20 0 DDADMM O=C([O-])c1cncc(C(=O)N2CCN(C3CCCCC3)CC2)c1 ZINC000911155164 710658781 /nfs/dbraw/zinc/65/87/81/710658781.db2.gz RWYUAZYARWGIKW-UHFFFAOYSA-N -1 1 317.389 1.870 20 0 DDADMM CCc1oc(CN[C@H]2CC(=O)N(CC3CC3)C2)cc1C(=O)[O-] ZINC000902343342 710723201 /nfs/dbraw/zinc/72/32/01/710723201.db2.gz OBWKHTBWKMVZQB-NSHDSACASA-N -1 1 306.362 1.641 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)C(C)(C)CCC(=O)[O-])C2)n[nH]1 ZINC000911324893 710742046 /nfs/dbraw/zinc/74/20/46/710742046.db2.gz BYDAXSVZSDKWPO-NSHDSACASA-N -1 1 308.382 1.710 20 0 DDADMM C[C@@]1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCCCO1 ZINC000913436723 713215110 /nfs/dbraw/zinc/21/51/10/713215110.db2.gz GQQKNAGMEHOQQO-WFASDCNBSA-N -1 1 301.350 1.365 20 0 DDADMM CN1CCN(C(=O)c2cccc(OCC(=O)[O-])c2)CC1(C)C ZINC000902686823 710861756 /nfs/dbraw/zinc/86/17/56/710861756.db2.gz LNSYTZLFDJCING-UHFFFAOYSA-N -1 1 306.362 1.316 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)C1(C(F)(F)F)CC1 ZINC000913437344 713215726 /nfs/dbraw/zinc/21/57/26/713215726.db2.gz FHYBLXMZEKTLOF-VIFPVBQESA-N -1 1 311.267 1.748 20 0 DDADMM O=C(C=CC1CCOCC1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913438869 713215936 /nfs/dbraw/zinc/21/59/36/713215936.db2.gz XXEMQOUYYGFHBZ-LFAOLKIESA-N -1 1 313.361 1.388 20 0 DDADMM O=C(/C=C/C1CCOCC1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913438869 713215937 /nfs/dbraw/zinc/21/59/37/713215937.db2.gz XXEMQOUYYGFHBZ-LFAOLKIESA-N -1 1 313.361 1.388 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-]c1nnc(C(F)F)[nH]1 ZINC000903149703 711048357 /nfs/dbraw/zinc/04/83/57/711048357.db2.gz DABPBBZDJKHZPE-UHFFFAOYSA-N -1 1 310.307 1.613 20 0 DDADMM O=S(=O)([N-]c1nnc(C(F)F)[nH]1)c1cccc2c1OCC2 ZINC000903150349 711048445 /nfs/dbraw/zinc/04/84/45/711048445.db2.gz RLOKPTRHPJQRBT-UHFFFAOYSA-N -1 1 316.289 1.478 20 0 DDADMM CC[C@@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC000913493164 713236464 /nfs/dbraw/zinc/23/64/64/713236464.db2.gz FQECJXGCKAFFDF-OLZOCXBDSA-N -1 1 301.350 1.293 20 0 DDADMM COc1ccc(C(=O)[O-])cc1CN(C)CCc1cnccn1 ZINC000903599114 711218619 /nfs/dbraw/zinc/21/86/19/711218619.db2.gz ZXNYGXJGNNUMSB-UHFFFAOYSA-N -1 1 301.346 1.858 20 0 DDADMM COCc1nc(NC[C@@H](O)[C@H](C)c2ccccc2)cc(=O)[n-]1 ZINC000894626587 711221892 /nfs/dbraw/zinc/22/18/92/711221892.db2.gz XPVBZRFTQQXDEX-DGCLKSJQSA-N -1 1 303.362 1.905 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCC(F)CC1 ZINC000903608106 711221995 /nfs/dbraw/zinc/22/19/95/711221995.db2.gz RVESLBDLDYPBSU-NSHDSACASA-N -1 1 308.353 1.823 20 0 DDADMM Cc1c(Br)cccc1CN1CC[C@@](O)(C(=O)[O-])C1 ZINC000903623767 711228205 /nfs/dbraw/zinc/22/82/05/711228205.db2.gz RLVVKRDUMGGBTG-ZDUSSCGKSA-N -1 1 314.179 1.779 20 0 DDADMM CC(=CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000913494882 713237548 /nfs/dbraw/zinc/23/75/48/713237548.db2.gz MOMKGGJHBAALNQ-UTSBKAFOSA-N -1 1 317.324 1.342 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@H+]1CCO[C@H](C)CC1 ZINC000903633167 711230833 /nfs/dbraw/zinc/23/08/33/711230833.db2.gz NFTPJCGXGDHBTI-CHWSQXEVSA-N -1 1 320.389 1.500 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCO[C@H](C)CC1 ZINC000903633167 711230835 /nfs/dbraw/zinc/23/08/35/711230835.db2.gz NFTPJCGXGDHBTI-CHWSQXEVSA-N -1 1 320.389 1.500 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnc(F)c(F)c1)[C@@H]1CCOC1 ZINC000903673210 711241857 /nfs/dbraw/zinc/24/18/57/711241857.db2.gz HHVJVOGBJIQLAZ-LDYMZIIASA-N -1 1 322.333 1.153 20 0 DDADMM CCc1nccc(C)c1[N-]S(=O)(=O)N=[S@](C)(=O)CC ZINC000903675754 711242849 /nfs/dbraw/zinc/24/28/49/711242849.db2.gz QMLQVZJDZRZHAP-GOSISDBHSA-N -1 1 305.425 1.727 20 0 DDADMM O=C(NC[C@@H]1CCc2cccnc21)c1cnc(C2CC2)[n-]c1=O ZINC000912252659 711247537 /nfs/dbraw/zinc/24/75/37/711247537.db2.gz ZTARASSZLOFCPV-LBPRGKRZSA-N -1 1 310.357 1.914 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N1C[C@H](C)C[C@H](C)C1 ZINC000903711611 711250408 /nfs/dbraw/zinc/25/04/08/711250408.db2.gz DWPBHKTXMHRYNI-HZSPNIEDSA-N -1 1 314.426 1.303 20 0 DDADMM CC[C@H]1C(=O)NCCCN1CCOc1ccccc1C(=O)[O-] ZINC000903712748 711251693 /nfs/dbraw/zinc/25/16/93/711251693.db2.gz RSFWADVXMCEUAD-ZDUSSCGKSA-N -1 1 306.362 1.364 20 0 DDADMM O=C(CCC1CCCCCC1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913500316 713239604 /nfs/dbraw/zinc/23/96/04/713239604.db2.gz VYBRSKTYJPXULV-ZDUSSCGKSA-N -1 1 307.398 1.850 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)CCOCc2ccccc2)C[C@@H](C(=O)[O-])O1 ZINC000903911599 711328322 /nfs/dbraw/zinc/32/83/22/711328322.db2.gz KIVPYMCVGFJSSW-KBMXLJTQSA-N -1 1 323.389 1.128 20 0 DDADMM CN(CCN1C[C@H]2CC[C@@H](C1)O2)c1cccc(CC(=O)[O-])c1 ZINC000903952050 711346191 /nfs/dbraw/zinc/34/61/91/711346191.db2.gz JKTKJXNJWBJABL-IYBDPMFKSA-N -1 1 304.390 1.613 20 0 DDADMM CN(C)c1c(CNCc2cnn(C)c2C(=O)[O-])ccc(F)c1F ZINC000904068785 711373593 /nfs/dbraw/zinc/37/35/93/711373593.db2.gz XRZYRIOINAYNOP-UHFFFAOYSA-N -1 1 324.331 1.752 20 0 DDADMM CCc1cc(N2CC(C[N-]C(=O)C(F)(F)F)C2)nc(CC)n1 ZINC000895041564 711381778 /nfs/dbraw/zinc/38/17/78/711381778.db2.gz IMMYPDQSZKEDRZ-UHFFFAOYSA-N -1 1 316.327 1.716 20 0 DDADMM CCOC(=O)CCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895907462 711643237 /nfs/dbraw/zinc/64/32/37/711643237.db2.gz CMIPPTAWYLPIRF-UHFFFAOYSA-N -1 1 303.314 1.934 20 0 DDADMM CC(C)[C@@H]1C[C@H](C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000904939956 711905186 /nfs/dbraw/zinc/90/51/86/711905186.db2.gz HTCDZGJQFWDLLT-RISCZKNCSA-N -1 1 302.378 1.732 20 0 DDADMM O=C(Cc1ccc2c(c1)CCO2)N1CCC(c2nn[n-]n2)CC1 ZINC000913742873 713292249 /nfs/dbraw/zinc/29/22/49/713292249.db2.gz ZDRUAMJKCWCQKM-UHFFFAOYSA-N -1 1 313.361 1.083 20 0 DDADMM O=C(CCc1cc(F)ccc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913743450 713292460 /nfs/dbraw/zinc/29/24/60/713292460.db2.gz WJRVYPOZJIHYQF-UHFFFAOYSA-N -1 1 321.331 1.817 20 0 DDADMM Cc1csc([C@@H](C)C(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913745305 713293615 /nfs/dbraw/zinc/29/36/15/713293615.db2.gz XZMLADPCTIKGOF-SECBINFHSA-N -1 1 306.395 1.474 20 0 DDADMM Cc1ccc(COCC(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000913746207 713294037 /nfs/dbraw/zinc/29/40/37/713294037.db2.gz XBMSYVRLCNGWQY-UHFFFAOYSA-N -1 1 315.377 1.431 20 0 DDADMM O=C(C[C@@H]1CCC2(CCC2)CO1)N1CCC(c2nn[n-]n2)CC1 ZINC000913747101 713294641 /nfs/dbraw/zinc/29/46/41/713294641.db2.gz QKQAYHAIQACHHT-ZDUSSCGKSA-N -1 1 319.409 1.645 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC[C@@H]4C[C@@H]4CC3)nc2n1 ZINC000905633177 712101599 /nfs/dbraw/zinc/10/15/99/712101599.db2.gz KICDYYNGPYOUMV-JGPRNRPPSA-N -1 1 301.350 1.491 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCC=CCCC3)nc2n1 ZINC000905634480 712102000 /nfs/dbraw/zinc/10/20/00/712102000.db2.gz QSLUECGPUWGBAQ-NSHDSACASA-N -1 1 301.350 1.801 20 0 DDADMM O=C([O-])[C@@H]1CC=C[C@@H](NS(=O)(=O)c2c(F)cccc2F)C1 ZINC000905999348 712216440 /nfs/dbraw/zinc/21/64/40/712216440.db2.gz QCKYXOOKALZYRD-RKDXNWHRSA-N -1 1 317.313 1.663 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CCC(F)(F)C2)c1 ZINC000906919993 712438929 /nfs/dbraw/zinc/43/89/29/712438929.db2.gz SXNUSEUSSSQNHK-UHFFFAOYSA-N -1 1 307.274 1.120 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CCC(F)(F)CC2)c1 ZINC000906966144 712451597 /nfs/dbraw/zinc/45/15/97/712451597.db2.gz XRERNXVEANGCFK-UHFFFAOYSA-N -1 1 321.301 1.510 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccsc1 ZINC000907472377 712580919 /nfs/dbraw/zinc/58/09/19/712580919.db2.gz CDPHZJGGLXWLAV-SCZZXKLOSA-N -1 1 309.420 1.681 20 0 DDADMM Cc1nc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)sc1C ZINC000907479502 712583175 /nfs/dbraw/zinc/58/31/75/712583175.db2.gz KLQNDYAIVUWAOE-QMMMGPOBSA-N -1 1 310.408 1.203 20 0 DDADMM CC(=O)CC(C)(C)CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480130 712583667 /nfs/dbraw/zinc/58/36/67/712583667.db2.gz QHGAVPXDVJCWCW-SNVBAGLBSA-N -1 1 311.411 1.212 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2cc(Cl)ccc2F)CCNC1=O ZINC000907810501 712629658 /nfs/dbraw/zinc/62/96/58/712629658.db2.gz FALIEQFVSXTEFN-LLVKDONJSA-N -1 1 306.746 1.036 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)C1CCCC1)c1c[nH]nc1Cl ZINC000907823778 712631327 /nfs/dbraw/zinc/63/13/27/712631327.db2.gz TXYRAEBKSWWXQR-VIFPVBQESA-N -1 1 307.803 1.283 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@@H]1CC[C@@H]2CCC[C@@H]2C1 ZINC000907889160 712642485 /nfs/dbraw/zinc/64/24/85/712642485.db2.gz WWXRBTZPPDYGLW-LJISPDSOSA-N -1 1 308.422 1.868 20 0 DDADMM O=C(c1sccc1Cl)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907943245 712652474 /nfs/dbraw/zinc/65/24/74/712652474.db2.gz FACWBFVMCLSFBV-UHFFFAOYSA-N -1 1 313.770 1.038 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@@H]3CCC[C@H]23)o1 ZINC000907971854 712658319 /nfs/dbraw/zinc/65/83/19/712658319.db2.gz XIPPKXBZOXNVGS-GARJFASQSA-N -1 1 313.375 1.923 20 0 DDADMM CCO[C@]12CCC[C@@]1([N-]S(=O)(=O)[C@@H]1CCO[C@@H]1C)CCO2 ZINC000908018168 712667119 /nfs/dbraw/zinc/66/71/19/712667119.db2.gz APXQSANHEVEPKD-SYQHCUMBSA-N -1 1 319.423 1.159 20 0 DDADMM CN(CC(=O)N[C@@H](CC(=O)[O-])C(C)(C)C)[C@@H]1CCSC1 ZINC000908033327 712669585 /nfs/dbraw/zinc/66/95/85/712669585.db2.gz LTDGWRIRIHXELD-MNOVXSKESA-N -1 1 302.440 1.429 20 0 DDADMM CCN1CC[C@@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C1=O ZINC000908064272 712675133 /nfs/dbraw/zinc/67/51/33/712675133.db2.gz PDDMJYXAXMTBJC-LLVKDONJSA-N -1 1 317.320 1.430 20 0 DDADMM O=C([O-])[C@@H](Cc1ccccc1)NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000908583320 712814541 /nfs/dbraw/zinc/81/45/41/712814541.db2.gz RIIUITVNTGOXGY-IUODEOHRSA-N -1 1 313.357 1.327 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCC(CC(=O)[O-])CC2)C1 ZINC000908682279 712834425 /nfs/dbraw/zinc/83/44/25/712834425.db2.gz YSOKZISGWUEDTJ-GWCFXTLKSA-N -1 1 305.378 1.789 20 0 DDADMM CCNC(=O)CC(=O)Nc1cccc([O-])c1Br ZINC000908710846 712839460 /nfs/dbraw/zinc/83/94/60/712839460.db2.gz UNSSVMOCKMLKNK-UHFFFAOYSA-N -1 1 301.140 1.619 20 0 DDADMM CS(=O)(=O)CCC(=O)Nc1cccc([O-])c1Br ZINC000908715216 712840081 /nfs/dbraw/zinc/84/00/81/712840081.db2.gz RJNNZVYLPZKZTD-UHFFFAOYSA-N -1 1 322.180 1.528 20 0 DDADMM CCOc1ccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000908716606 712840259 /nfs/dbraw/zinc/84/02/59/712840259.db2.gz IGBVZHWIKJPETN-CQSZACIVSA-N -1 1 320.389 1.498 20 0 DDADMM COc1ccc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c(C)c1 ZINC000908716282 712840380 /nfs/dbraw/zinc/84/03/80/712840380.db2.gz IIWBJCZPXHIYKF-GFCCVEGCSA-N -1 1 306.362 1.739 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@@H]2CCCc3occc32)C1 ZINC000908728923 712842544 /nfs/dbraw/zinc/84/25/44/712842544.db2.gz FOCDNUOOUBBAGX-DGCLKSJQSA-N -1 1 306.362 1.570 20 0 DDADMM CC[C@](C)(NC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1)C(=O)[O-] ZINC000908768160 712850733 /nfs/dbraw/zinc/85/07/33/712850733.db2.gz GMEKIDPDZQBWMO-JMSVASOKSA-N -1 1 315.414 1.038 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)C12CCC2 ZINC000908825770 712862937 /nfs/dbraw/zinc/86/29/37/712862937.db2.gz SIZHBGVUNJOAMU-MELADBBJSA-N -1 1 324.421 1.247 20 0 DDADMM Cc1nn[nH]c1C(=O)N(Cc1ccccc1)C[C@@H](C)C(=O)[O-] ZINC000908843341 712867068 /nfs/dbraw/zinc/86/70/68/712867068.db2.gz VCCGNSBICVXTGD-SNVBAGLBSA-N -1 1 302.334 1.476 20 0 DDADMM Cc1cccc2c1C[C@H](C(=O)[O-])N2C(=O)N[C@@H]1CCCN(C)C1 ZINC000908868792 712874426 /nfs/dbraw/zinc/87/44/26/712874426.db2.gz AOMJIXLHPACTIU-IUODEOHRSA-N -1 1 317.389 1.615 20 0 DDADMM CCN(CC)[C@H](CNC(=O)N[C@H](C(=O)[O-])C1CC1)c1ccco1 ZINC000908875698 712876888 /nfs/dbraw/zinc/87/68/88/712876888.db2.gz IWNYKNQTCQBYKG-OCCSQVGLSA-N -1 1 323.393 1.825 20 0 DDADMM O=C([N-]CC1CCN(C(=O)O[C@@H]2CCOC2)CC1)C(F)(F)F ZINC000915194382 713412183 /nfs/dbraw/zinc/41/21/83/713412183.db2.gz HYSIFNLTTLFXOF-SNVBAGLBSA-N -1 1 324.299 1.302 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NN2[C@@H](C)CCC[C@@H]2C)o1 ZINC000916741131 713465766 /nfs/dbraw/zinc/46/57/66/713465766.db2.gz ZNEAQWFFLTWNEZ-UWVGGRQHSA-N -1 1 315.395 1.095 20 0 DDADMM CCc1c(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cnn1C ZINC000919173336 713586431 /nfs/dbraw/zinc/58/64/31/713586431.db2.gz TZHVVNGYBVMVSW-UHFFFAOYSA-N -1 1 315.329 1.096 20 0 DDADMM C[C@@H](CN1CCS(=O)(=O)C[C@H]1C)C(=O)c1ccc([O-])cc1 ZINC000929691635 713670511 /nfs/dbraw/zinc/67/05/11/713670511.db2.gz DOBXNPUQUGZFBO-NWDGAFQWSA-N -1 1 311.403 1.330 20 0 DDADMM CC(C)C(=O)[C@@H]([N-]S(=O)(=O)c1ccn(C)n1)c1ccccc1 ZINC000920546870 713678743 /nfs/dbraw/zinc/67/87/43/713678743.db2.gz OSASASOHPNTLJW-AWEZNQCLSA-N -1 1 321.402 1.665 20 0 DDADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)c1cc(C)ns1)CCO2 ZINC000921315222 713731233 /nfs/dbraw/zinc/73/12/33/713731233.db2.gz ZFIREKNCRFCATG-NEPJUHHUSA-N -1 1 318.420 1.416 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)C(C)(C)OC)c1 ZINC000921424723 713766458 /nfs/dbraw/zinc/76/64/58/713766458.db2.gz PDEZTDJIDZACGI-VIFPVBQESA-N -1 1 303.380 1.493 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H]1C[C@H]1C(F)(F)F ZINC000921615254 713822605 /nfs/dbraw/zinc/82/26/05/713822605.db2.gz DFYLNDUTLDCVOW-JGVFFNPUSA-N -1 1 320.358 1.281 20 0 DDADMM CCC[C@@H](O)CC[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000921683617 713841905 /nfs/dbraw/zinc/84/19/05/713841905.db2.gz ILTHOWVTGRCFHM-MLCYQJTMSA-N -1 1 314.473 1.268 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@]34C[C@H]3COC43CCC3)ccnc1-2 ZINC000931131511 714010482 /nfs/dbraw/zinc/01/04/82/714010482.db2.gz ISCHMGTUOUSDJU-MGPLVRAMSA-N -1 1 313.361 1.175 20 0 DDADMM C[C@H]1CC2(CC(NC(=O)N=c3ccnc4n(C)[n-]cc3-4)C2)CO1 ZINC000931132453 714010787 /nfs/dbraw/zinc/01/07/87/714010787.db2.gz XGLSKQPQTXWZKB-SGWRBKMISA-N -1 1 315.377 1.421 20 0 DDADMM CN1CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC1 ZINC000932038813 714243879 /nfs/dbraw/zinc/24/38/79/714243879.db2.gz MMGQHKQZZYDPLB-UHFFFAOYSA-N -1 1 301.350 1.357 20 0 DDADMM CC(C)(O)CCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932047550 714245754 /nfs/dbraw/zinc/24/57/54/714245754.db2.gz BYIZNWGPQJVABV-UHFFFAOYSA-N -1 1 304.350 1.860 20 0 DDADMM COC(=O)c1cncc(CN2CCC[C@@H](CCC(=O)[O-])C2)c1 ZINC000923247445 714283480 /nfs/dbraw/zinc/28/34/80/714283480.db2.gz FXNQGINDWSTUKN-LBPRGKRZSA-N -1 1 306.362 1.945 20 0 DDADMM O=C(c1cc(F)cc2ccoc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000932282171 714300015 /nfs/dbraw/zinc/30/00/15/714300015.db2.gz HDBJIDLZXABUPD-LLVKDONJSA-N -1 1 317.280 1.299 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(Br)cnc1C1CC1 ZINC000932533674 714356904 /nfs/dbraw/zinc/35/69/04/714356904.db2.gz VGTVVARNEJLLAY-UHFFFAOYSA-N -1 1 323.154 1.165 20 0 DDADMM CCC1(CC(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)COC1 ZINC000932798112 714415970 /nfs/dbraw/zinc/41/59/70/714415970.db2.gz UISCDHADLLWAPD-UHFFFAOYSA-N -1 1 318.377 1.485 20 0 DDADMM Cn1[n-]c(COC(=O)C[C@@H]2CCC[C@H](C(F)(F)F)O2)nc1=O ZINC000924325136 714563832 /nfs/dbraw/zinc/56/38/32/714563832.db2.gz OUGQKGMOEOEWRK-JGVFFNPUSA-N -1 1 323.271 1.042 20 0 DDADMM COC(=O)/C(C)=C/CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934271595 714782435 /nfs/dbraw/zinc/78/24/35/714782435.db2.gz CLPSDXKNWKQXNM-RUYJGKKWSA-N -1 1 307.350 1.447 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2nccc(C)n2)[n-]c1=O ZINC000934273894 714783290 /nfs/dbraw/zinc/78/32/90/714783290.db2.gz PXIJPCFUDPNPKF-NSHDSACASA-N -1 1 301.350 1.626 20 0 DDADMM CCc1nc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)no1 ZINC000934277292 714784731 /nfs/dbraw/zinc/78/47/31/714784731.db2.gz LXXOEFJZVXHHNQ-VIFPVBQESA-N -1 1 305.338 1.473 20 0 DDADMM O=C(COC(=O)c1nn(-c2ccccc2)cc1[O-])NCC1CC1 ZINC000925270901 714789055 /nfs/dbraw/zinc/78/90/55/714789055.db2.gz UUHBPEDKUFSOPL-UHFFFAOYSA-N -1 1 315.329 1.261 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccc(C=O)o3)ccc2[O-])C[C@@H]1O ZINC000935189135 714998894 /nfs/dbraw/zinc/99/88/94/714998894.db2.gz JRVKIOLCGRYNSY-BMIGLBTASA-N -1 1 315.325 1.918 20 0 DDADMM C[C@@H]1C[C@@H]2C[C@@H]2C[C@@H]1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000935457615 715060606 /nfs/dbraw/zinc/06/06/06/715060606.db2.gz VLGXDMWOSSJJOK-JCIQBVFBSA-N -1 1 307.423 1.498 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2CCC2)C1 ZINC000936330591 715181003 /nfs/dbraw/zinc/18/10/03/715181003.db2.gz WDWMOLHGUXWTQF-GFCCVEGCSA-N -1 1 303.362 1.260 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2=CCCC2)C1 ZINC000937141365 715283070 /nfs/dbraw/zinc/28/30/70/715283070.db2.gz SAZITAIVPWBAJD-CYBMUJFWSA-N -1 1 315.373 1.570 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ccoc2)C1)c1ncccc1[O-] ZINC000937861540 715617557 /nfs/dbraw/zinc/61/75/57/715617557.db2.gz UMVRSOOECCBGJS-NSHDSACASA-N -1 1 301.302 1.025 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C(C)(C)C)CCN1C(=O)c1ncccc1[O-] ZINC000955656474 715895003 /nfs/dbraw/zinc/89/50/03/715895003.db2.gz XUKROQXMVTXPRM-RYUDHWBXSA-N -1 1 319.405 1.943 20 0 DDADMM O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1[O-])C2)C1CC1 ZINC000957849997 716086815 /nfs/dbraw/zinc/08/68/15/716086815.db2.gz CNZMXSNOTMFNBS-DRZSPHRISA-N -1 1 315.373 1.307 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccoc1 ZINC000939410802 716238299 /nfs/dbraw/zinc/23/82/99/716238299.db2.gz BHDNKXHLDHZXQF-LLVKDONJSA-N -1 1 301.302 1.025 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2CC[C@@H](C)CC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939567095 716328329 /nfs/dbraw/zinc/32/83/29/716328329.db2.gz HOLKPZWQMARCGK-FDYHWXHSSA-N -1 1 321.425 1.273 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960125570 716425111 /nfs/dbraw/zinc/42/51/11/716425111.db2.gz MOQBYYUULMNQFM-FRRDWIJNSA-N -1 1 321.425 1.417 20 0 DDADMM CN(Cc1ccon1)C[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000960568303 716614983 /nfs/dbraw/zinc/61/49/83/716614983.db2.gz PHSKUDRVCSKDHO-CYBMUJFWSA-N -1 1 316.361 1.512 20 0 DDADMM CCCC(=O)N1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000940494696 716808643 /nfs/dbraw/zinc/80/86/43/716808643.db2.gz LJRSVJRRTMNICZ-LLVKDONJSA-N -1 1 318.377 1.190 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC000964674119 717372815 /nfs/dbraw/zinc/37/28/15/717372815.db2.gz YMSHSWZCDUVURF-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C(C)(C)C)C1 ZINC000942757045 717857648 /nfs/dbraw/zinc/85/76/48/717857648.db2.gz UQTRTSJXMGDILC-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM CC(=O)N1CCC[C@@H]1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000943798073 718192261 /nfs/dbraw/zinc/19/22/61/718192261.db2.gz IEQQXZSBGADFGT-GFCCVEGCSA-N -1 1 320.393 1.355 20 0 DDADMM O=C(/C=C/C1CC1)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943928239 718225342 /nfs/dbraw/zinc/22/53/42/718225342.db2.gz COXBQFZJQQBERM-SBDDDAINSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000943978574 718236243 /nfs/dbraw/zinc/23/62/43/718236243.db2.gz CXANNHQNVNFESR-TUAOUCFPSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])CC2 ZINC000944917752 718364593 /nfs/dbraw/zinc/36/45/93/718364593.db2.gz YPRAXTIAZNQDTQ-UHFFFAOYSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C1CCC1 ZINC000945275418 718434997 /nfs/dbraw/zinc/43/49/97/718434997.db2.gz BBPXIVTWDGLHMF-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)=C(F)C(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000966319549 718513987 /nfs/dbraw/zinc/51/39/87/718513987.db2.gz UTBVEIMUTRFVIR-MNOVXSKESA-N -1 1 321.352 1.627 20 0 DDADMM CC(=O)N[C@H]1CCC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000946068558 718660256 /nfs/dbraw/zinc/66/02/56/718660256.db2.gz KLGXNEXWNXNCBW-AAEUAGOBSA-N -1 1 318.377 1.094 20 0 DDADMM O=C(N[C@H]1CCC[C@@H]1CNC(=O)C1CCC1)c1ncccc1[O-] ZINC000946190926 718707356 /nfs/dbraw/zinc/70/73/56/718707356.db2.gz CRYRBWLTIJUYMT-OLZOCXBDSA-N -1 1 317.389 1.602 20 0 DDADMM CCN(C(=O)C1CC=CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967847654 719055936 /nfs/dbraw/zinc/05/59/36/719055936.db2.gz QJJRGHCUTXQXGO-UHFFFAOYSA-N -1 1 315.373 1.426 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CC[C@@H](C1)N2C(=O)C1CC1 ZINC000967970092 719108171 /nfs/dbraw/zinc/10/81/71/719108171.db2.gz VXLZCQMELLWCSC-TXEJJXNPSA-N -1 1 301.346 1.013 20 0 DDADMM CC(=O)N1CCC(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000948606387 719582475 /nfs/dbraw/zinc/58/24/75/719582475.db2.gz NMQHRNBWKQSSSK-UHFFFAOYSA-N -1 1 318.377 1.048 20 0 DDADMM CC1(C)CC(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949535146 720145492 /nfs/dbraw/zinc/14/54/92/720145492.db2.gz OKIDRXKUWHRGNE-LBPRGKRZSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)c1n[nH]c(C)c1[O-])C1CN(CC2CC(C)C2)C1 ZINC000949899740 720407720 /nfs/dbraw/zinc/40/77/20/720407720.db2.gz CYQLLKMUQUZUPJ-UHFFFAOYSA-N -1 1 306.410 1.616 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C[C@H]2CC[C@H](C)O2)C1 ZINC000949952530 720428222 /nfs/dbraw/zinc/42/82/22/720428222.db2.gz QCEMKURZVGEREE-GXTWGEPZSA-N -1 1 319.405 1.501 20 0 DDADMM CCC(CC)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950093414 720487426 /nfs/dbraw/zinc/48/74/26/720487426.db2.gz OSNWYOREQXRZQS-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)C2CC=CC2)C1)c1ncccc1[O-] ZINC000950270603 720549599 /nfs/dbraw/zinc/54/95/99/720549599.db2.gz XPJMXUQICBPEQK-CYBMUJFWSA-N -1 1 315.373 1.474 20 0 DDADMM CC1(C)C[C@H]1C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950543220 720676765 /nfs/dbraw/zinc/67/67/65/720676765.db2.gz UFNDXMHGMSDSQW-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)[C@@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000952141908 721330863 /nfs/dbraw/zinc/33/08/63/721330863.db2.gz MRVJQGYSCFHOMQ-NEPJUHHUSA-N -1 1 315.373 1.308 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2(C)CCC2)C1 ZINC000954128162 721725044 /nfs/dbraw/zinc/72/50/44/721725044.db2.gz VKTULEURQJANQO-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM Cc1coc(C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)c1 ZINC000954128112 721725052 /nfs/dbraw/zinc/72/50/52/721725052.db2.gz SFENJCVPZNHDRD-UHFFFAOYSA-N -1 1 315.329 1.285 20 0 DDADMM Cc1n[nH]c(C(=O)NCCNCc2ccccc2Cl)c1[O-] ZINC001125734272 735447939 /nfs/dbraw/zinc/44/79/39/735447939.db2.gz MZKHSEQHUBTGBU-UHFFFAOYSA-N -1 1 308.769 1.597 20 0 DDADMM CC1(C)C[C@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)CO1 ZINC000692856293 738601965 /nfs/dbraw/zinc/60/19/65/738601965.db2.gz OLQMAKGMKKGTRT-ZETCQYMHSA-N -1 1 308.762 1.720 20 0 DDADMM Cc1nc([C@H](C)N2CC[C@H]2CNC(=O)c2ncccc2[O-])no1 ZINC001038195249 733214065 /nfs/dbraw/zinc/21/40/65/733214065.db2.gz UAYVQVKZUCCMEA-ONGXEEELSA-N -1 1 317.349 1.044 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C2C[C@H]3CC[C@@H](C2)S3)c1Cl ZINC000403610387 734577722 /nfs/dbraw/zinc/57/77/22/734577722.db2.gz KFIRACVSBHWCSF-CBLAIPOGSA-N -1 1 321.855 1.778 20 0 DDADMM CCC(=O)N[C@H]1C[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000972864939 735693534 /nfs/dbraw/zinc/69/35/34/735693534.db2.gz AMRRWPFXDUYJSS-XYPYZODXSA-N -1 1 320.393 1.401 20 0 DDADMM CCC(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C12CCC2 ZINC001025826573 736876702 /nfs/dbraw/zinc/87/67/02/736876702.db2.gz LJYUULSDVMBQGP-RYUDHWBXSA-N -1 1 303.362 1.354 20 0 DDADMM CCc1nsc(N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001058879205 739007687 /nfs/dbraw/zinc/00/76/87/739007687.db2.gz SWFUGEHRIZXIMW-VIFPVBQESA-N -1 1 319.390 1.528 20 0 DDADMM Nc1nccc(F)c1NC1([P@](=O)([O-])O)Cc2ccccc2C1 ZINC001167934300 739723560 /nfs/dbraw/zinc/72/35/60/739723560.db2.gz HQKICFIPLHZLSH-UHFFFAOYSA-N -1 1 323.264 1.888 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)CC(C)(C)C)C1 ZINC001029650204 741178982 /nfs/dbraw/zinc/17/89/82/741178982.db2.gz XMMVIAWDAATKOO-NSHDSACASA-N -1 1 309.414 1.227 20 0 DDADMM C[C@@H](CCNC(=O)C1=COCCC1)NC(=O)c1ncccc1[O-] ZINC001075888905 741753812 /nfs/dbraw/zinc/75/38/12/741753812.db2.gz HCOMNYBTHQZGHN-NSHDSACASA-N -1 1 319.361 1.106 20 0 DDADMM NC(=O)NC1CCN(Cc2ccc(Cl)cc2C(=O)[O-])CC1 ZINC001142643027 742473755 /nfs/dbraw/zinc/47/37/55/742473755.db2.gz ALPSRHAAPONTSF-UHFFFAOYSA-N -1 1 311.769 1.671 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CC1(C)CC1 ZINC001076248653 742620322 /nfs/dbraw/zinc/62/03/22/742620322.db2.gz XOFKDQVPRNNVLV-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM CCCC1(C(=O)N[C@@H](C)CCNC(=O)c2ncccc2[O-])CC1 ZINC001076245243 742624662 /nfs/dbraw/zinc/62/46/62/742624662.db2.gz UTIIZSGUJXSBID-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)C1CC1 ZINC001002410896 743117128 /nfs/dbraw/zinc/11/71/28/743117128.db2.gz IFESHELCJHOYAW-GFCCVEGCSA-N -1 1 303.362 1.260 20 0 DDADMM Cc1nc(Cl)cc(NCCNC(=O)c2ncccc2[O-])n1 ZINC000071893775 743591061 /nfs/dbraw/zinc/59/10/61/743591061.db2.gz CDYKWEUNXWJJDX-UHFFFAOYSA-N -1 1 307.741 1.956 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1ncccc1C1CCC1 ZINC001185253160 744190409 /nfs/dbraw/zinc/19/04/09/744190409.db2.gz JNRATTCEJOQFDY-UHFFFAOYSA-N -1 1 301.306 1.385 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2cncnc2C)cc1C(=O)[O-] ZINC001185269630 744194819 /nfs/dbraw/zinc/19/48/19/744194819.db2.gz QGIBBXSYBMAQHJ-UHFFFAOYSA-N -1 1 323.330 1.293 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(-c2nc(C)no2)cc1 ZINC001187286143 744534469 /nfs/dbraw/zinc/53/44/69/744534469.db2.gz CMTZOIXYFLQKKI-UHFFFAOYSA-N -1 1 311.363 1.823 20 0 DDADMM O=C(NCC1CC(NC(=O)[C@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000992056608 744565169 /nfs/dbraw/zinc/56/51/69/744565169.db2.gz RYXHXRDHFAWSQG-HTAVTVPLSA-N -1 1 315.373 1.212 20 0 DDADMM O=C(NCc1ccc2ocnc2c1)c1n[n-]nc1C(F)(F)F ZINC001187773219 744600296 /nfs/dbraw/zinc/60/02/96/744600296.db2.gz AWLFRBVBSXKYCI-UHFFFAOYSA-N -1 1 311.223 1.895 20 0 DDADMM COc1cccc(OCC[N-]S(=O)(=O)c2nccs2)c1 ZINC001187907317 744627880 /nfs/dbraw/zinc/62/78/80/744627880.db2.gz ANXOWQWWDPQILP-UHFFFAOYSA-N -1 1 314.388 1.509 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1cccc(Cl)c1)c1nccs1 ZINC001187918118 744628627 /nfs/dbraw/zinc/62/86/27/744628627.db2.gz SDGJYEIELRZZNG-SNVBAGLBSA-N -1 1 318.807 1.808 20 0 DDADMM O=S(=O)([N-]CCN1CCC(F)(F)CC1)c1nccs1 ZINC001187919087 744635157 /nfs/dbraw/zinc/63/51/57/744635157.db2.gz VTALGYYKWHEHHG-UHFFFAOYSA-N -1 1 311.379 1.153 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1csc2cnccc12 ZINC001188352551 744699448 /nfs/dbraw/zinc/69/94/48/744699448.db2.gz DTLZULLPUJZWGK-UHFFFAOYSA-N -1 1 300.361 1.601 20 0 DDADMM Cc1ccnc(N[C@@H](C)C[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001089272573 744846934 /nfs/dbraw/zinc/84/69/34/744846934.db2.gz PRBMQRKZZIJMCT-RYUDHWBXSA-N -1 1 315.377 1.895 20 0 DDADMM O=C(N[C@H]1SC(=O)[N-]C1=O)c1ccc(CN2CCCCC2)o1 ZINC001189750396 744967893 /nfs/dbraw/zinc/96/78/93/744967893.db2.gz IVTIPPMRTBAIMJ-ZDUSSCGKSA-N -1 1 323.374 1.304 20 0 DDADMM COC(=O)c1ccc(C(=O)[N-]c2nnc(-c3ccco3)o2)nc1 ZINC001190311515 745174659 /nfs/dbraw/zinc/17/46/59/745174659.db2.gz ZABJXMALVXBPOT-UHFFFAOYSA-N -1 1 314.257 1.764 20 0 DDADMM O=C(NC[C@H]1C[C@H](F)C1)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629762 745278849 /nfs/dbraw/zinc/27/88/49/745278849.db2.gz WTFYHPYGUDPLIN-MGCOHNPYSA-N -1 1 302.309 1.722 20 0 DDADMM CN1Cc2cc([N-]S(=O)(=O)c3ccncc3)ccc2NC1=O ZINC001190903570 745380160 /nfs/dbraw/zinc/38/01/60/745380160.db2.gz GRNDKXZHKQCIRB-UHFFFAOYSA-N -1 1 318.358 1.860 20 0 DDADMM COc1cc(C(=O)Nc2cc(C)cc(=O)n2N)cc(Cl)c1[O-] ZINC001191155387 745438024 /nfs/dbraw/zinc/43/80/24/745438024.db2.gz FQTPKXXHGBYVPF-UHFFFAOYSA-N -1 1 323.736 1.490 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cncc(C(=O)OC)c2)n1 ZINC001191208288 745448213 /nfs/dbraw/zinc/44/82/13/745448213.db2.gz DTFZNQBMOGPDDK-UHFFFAOYSA-N -1 1 318.289 1.020 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(OCOC)cc2)n1 ZINC001191263726 745458021 /nfs/dbraw/zinc/45/80/21/745458021.db2.gz NFTSCGRJSYILIN-UHFFFAOYSA-N -1 1 319.317 1.821 20 0 DDADMM CSc1ncc(C(=O)Nc2cc(C)nn2CCF)c(=O)[n-]1 ZINC001191429098 745509219 /nfs/dbraw/zinc/50/92/19/745509219.db2.gz JTIWIIMMEBEPSY-UHFFFAOYSA-N -1 1 311.342 1.631 20 0 DDADMM CSc1ncc(C(=O)NCCOc2ccccc2F)c(=O)[n-]1 ZINC001191436671 745512009 /nfs/dbraw/zinc/51/20/09/745512009.db2.gz HKJIKUWFFHSYMR-UHFFFAOYSA-N -1 1 323.349 1.852 20 0 DDADMM CSc1ncc(C(=O)NCC(=O)Cc2ccccc2)c(=O)[n-]1 ZINC001191438227 745512809 /nfs/dbraw/zinc/51/28/09/745512809.db2.gz VHMHNMNBOIKFBE-UHFFFAOYSA-N -1 1 317.370 1.446 20 0 DDADMM Cc1nc(C(=O)[N-]c2ncnc3c2cnn3-c2ccccc2)no1 ZINC001191990676 745660204 /nfs/dbraw/zinc/66/02/04/745660204.db2.gz XZEPNWRXLXOFRN-UHFFFAOYSA-N -1 1 321.300 1.759 20 0 DDADMM CN1CCCC[C@@H]1C[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192043687 745681490 /nfs/dbraw/zinc/68/14/90/745681490.db2.gz BLKKANKQAXYUDB-SSDOTTSWSA-N -1 1 309.646 1.718 20 0 DDADMM COC(=O)[C@H]1C[C@@H]1C[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192053647 745685477 /nfs/dbraw/zinc/68/54/77/745685477.db2.gz ADACKGJBCIFVTE-UHNVWZDZSA-N -1 1 310.586 1.043 20 0 DDADMM COC(=O)c1ncccc1C(=O)Nc1cc(=O)[n-]c(SC)n1 ZINC001192491665 745795975 /nfs/dbraw/zinc/79/59/75/745795975.db2.gz ALSSVIASEJYLMN-UHFFFAOYSA-N -1 1 320.330 1.338 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC(F)(F)CC[C@@H]1CO ZINC001192526488 745820558 /nfs/dbraw/zinc/82/05/58/745820558.db2.gz KSGPKPFBOGKJSF-SSDOTTSWSA-N -1 1 307.243 1.903 20 0 DDADMM CCOC(=O)CC1(NC(=O)c2cc([O-])cc(F)c2F)COC1 ZINC001192679681 745859983 /nfs/dbraw/zinc/85/99/83/745859983.db2.gz ZNSNCADRTMLRLF-UHFFFAOYSA-N -1 1 315.272 1.122 20 0 DDADMM O=C(NCc1ccc2[nH]nnc2c1)c1c(F)ccc(F)c1[O-] ZINC001192720423 745879843 /nfs/dbraw/zinc/87/98/43/745879843.db2.gz TWXPTRDBSOXZTA-UHFFFAOYSA-N -1 1 304.256 1.872 20 0 DDADMM O=C(NCc1ccc2nn[nH]c2c1)c1c(F)ccc(F)c1[O-] ZINC001192720423 745879850 /nfs/dbraw/zinc/87/98/50/745879850.db2.gz TWXPTRDBSOXZTA-UHFFFAOYSA-N -1 1 304.256 1.872 20 0 DDADMM CN(C)c1nc(NC(=O)c2ccnn2CCF)c(N=O)c(=O)[n-]1 ZINC001194528528 746402394 /nfs/dbraw/zinc/40/23/94/746402394.db2.gz FYWOJRAKJLQMRH-UHFFFAOYSA-N -1 1 323.288 1.064 20 0 DDADMM COc1ccc(OC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001194552525 746408210 /nfs/dbraw/zinc/40/82/10/746408210.db2.gz BAGQBZFVRGOWKQ-UHFFFAOYSA-N -1 1 301.262 1.678 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CC1 ZINC001194576374 746413069 /nfs/dbraw/zinc/41/30/69/746413069.db2.gz LOOSXNNDKGTMII-UHFFFAOYSA-N -1 1 324.280 1.084 20 0 DDADMM CC1(C)C[C@H](C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)O1 ZINC001194578986 746413392 /nfs/dbraw/zinc/41/33/92/746413392.db2.gz YECRFQXTNUWPMB-MRVPVSSYSA-N -1 1 324.324 1.946 20 0 DDADMM O=C1CCC(S(=O)(=O)[N-]c2cn(CC3CCC3)cn2)CC1 ZINC001194763978 746460481 /nfs/dbraw/zinc/46/04/81/746460481.db2.gz MDOGDPWMGPTRSK-UHFFFAOYSA-N -1 1 311.407 1.937 20 0 DDADMM Cc1cc(Cl)c(C(=O)NCCCC[P@](=O)([O-])O)cn1 ZINC001194901885 746483261 /nfs/dbraw/zinc/48/32/61/746483261.db2.gz QDYWEMZRCLTKFJ-UHFFFAOYSA-N -1 1 306.686 1.731 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1c[nH]c(=O)cc1Cl ZINC001194995122 746507283 /nfs/dbraw/zinc/50/72/83/746507283.db2.gz LTDNORUWZBHYQT-UHFFFAOYSA-N -1 1 307.619 1.830 20 0 DDADMM C[C@H]1COC[C@H]1NC(=O)c1ccc(Br)c([O-])c1 ZINC000699900436 746522350 /nfs/dbraw/zinc/52/23/50/746522350.db2.gz FIQOILVNTKWLHA-OIBJUYFYSA-N -1 1 300.152 1.919 20 0 DDADMM COc1cncc(Cl)c1C(=O)N=c1ncnc2[nH][n-]c(C)c1-2 ZINC001195227780 746553781 /nfs/dbraw/zinc/55/37/81/746553781.db2.gz UKVIFUZXZMIKBA-UHFFFAOYSA-N -1 1 318.724 1.344 20 0 DDADMM CN1C(=O)CC[C@H]2CN(C(=O)c3cc([O-])cnc3Cl)CC[C@@H]21 ZINC001195309949 746573150 /nfs/dbraw/zinc/57/31/50/746573150.db2.gz XSFXCZYSBSIZJQ-CABZTGNLSA-N -1 1 323.780 1.524 20 0 DDADMM COC(=O)[C@H](CCSC)NC(=O)c1cc([O-])cnc1Cl ZINC001195312818 746573952 /nfs/dbraw/zinc/57/39/52/746573952.db2.gz KLRAHZPWOGZGIE-VIFPVBQESA-N -1 1 318.782 1.465 20 0 DDADMM O=C(NCCO[C@@H]1CCCCO1)c1cc([O-])cnc1Cl ZINC001195315372 746575376 /nfs/dbraw/zinc/57/53/76/746575376.db2.gz PWRIYNBFOURFTB-LLVKDONJSA-N -1 1 300.742 1.714 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cnncc1Br ZINC001195459054 746605988 /nfs/dbraw/zinc/60/59/88/746605988.db2.gz XZCGFZNPXKDEFX-UHFFFAOYSA-N -1 1 305.157 1.682 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1ccnn1Cc1ccccc1 ZINC001195934462 746739883 /nfs/dbraw/zinc/73/98/83/746739883.db2.gz SYVQQRXSDCPQOW-UHFFFAOYSA-N -1 1 323.374 1.236 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1cccc(-n2cccn2)c1 ZINC001195973046 746743088 /nfs/dbraw/zinc/74/30/88/746743088.db2.gz IEFDQEZAOWIARC-UHFFFAOYSA-N -1 1 309.347 1.177 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1ccc(C2(C)COC2)cc1 ZINC001195983473 746745705 /nfs/dbraw/zinc/74/57/05/746745705.db2.gz URXZHRVBHKFGHY-UHFFFAOYSA-N -1 1 313.375 1.279 20 0 DDADMM COc1ccc(F)c(S(=O)(=O)[N-]C[C@@H](O)C(F)(F)F)c1 ZINC001196789138 746961592 /nfs/dbraw/zinc/96/15/92/746961592.db2.gz PNLFFFCDESKWMJ-SECBINFHSA-N -1 1 317.260 1.036 20 0 DDADMM CN1CC2(C1)CCN(C(=S)Nc1ccc(C(=O)[O-])cc1)C2 ZINC001197105360 747067751 /nfs/dbraw/zinc/06/77/51/747067751.db2.gz OMSHOKHYCGHONE-UHFFFAOYSA-N -1 1 305.403 1.719 20 0 DDADMM CC(C)Cn1cnc(C(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001198575182 747531074 /nfs/dbraw/zinc/53/10/74/747531074.db2.gz RVLSQMFITWSLAN-UHFFFAOYSA-N -1 1 303.299 1.227 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)Nc1ccc(CO)nc1 ZINC001198746350 747589070 /nfs/dbraw/zinc/58/90/70/747589070.db2.gz FHIHZTUYTMBWBX-UHFFFAOYSA-N -1 1 308.315 1.073 20 0 DDADMM O=C(Nc1cncnc1C(F)(F)F)c1ccc([O-])c(=O)[nH]1 ZINC001199196052 747736730 /nfs/dbraw/zinc/73/67/30/747736730.db2.gz HRJNDNRCEYMMPW-UHFFFAOYSA-N -1 1 300.196 1.554 20 0 DDADMM CN(C(=O)C1CC1)C1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001003963547 747815515 /nfs/dbraw/zinc/81/55/15/747815515.db2.gz PMWYBSBUMBXTDZ-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(Cc2cccnc2)C1 ZINC001033024420 747962144 /nfs/dbraw/zinc/96/21/44/747962144.db2.gz DOMMHVQYFLEGAZ-AWEZNQCLSA-N -1 1 312.373 1.529 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=S)Nc1cc(F)c([O-])c(F)c1 ZINC001199834925 748012574 /nfs/dbraw/zinc/01/25/74/748012574.db2.gz HGKDKTRHRVQYAN-ZCFIWIBFSA-N -1 1 304.318 1.908 20 0 DDADMM CSc1nc(Nc2cnn(CC3OCCO3)c2)cc(=O)[n-]1 ZINC001201250694 748445472 /nfs/dbraw/zinc/44/54/72/748445472.db2.gz SYCVCMXUBWIJOP-UHFFFAOYSA-N -1 1 309.351 1.217 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)C1CCC1 ZINC001004651710 748622604 /nfs/dbraw/zinc/62/26/04/748622604.db2.gz DFAATZNUXJDBNU-CMPLNLGQSA-N -1 1 307.398 1.170 20 0 DDADMM CCC(=O)c1ccccc1[N-]S(=O)(=O)CCCC(=O)OC ZINC001201914997 748640737 /nfs/dbraw/zinc/64/07/37/748640737.db2.gz PNLTXZPVARWWKL-UHFFFAOYSA-N -1 1 313.375 1.974 20 0 DDADMM C/C(=C\C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C1CC1 ZINC000995522915 748884873 /nfs/dbraw/zinc/88/48/73/748884873.db2.gz MMHXBKXEGGAQAP-JXPAYYINSA-N -1 1 319.409 1.193 20 0 DDADMM Cc1ncc(C)c(NC/C=C\CNC(=O)c2ncccc2[O-])n1 ZINC001107139719 749351384 /nfs/dbraw/zinc/35/13/84/749351384.db2.gz QCKFCOYDYUCABJ-ARJAWSKDSA-N -1 1 313.361 1.592 20 0 DDADMM CC1(C)CN(C(=O)[C@]23C[C@H]2CCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996067498 749357138 /nfs/dbraw/zinc/35/71/38/749357138.db2.gz ZGFAVCOOQVVVEH-OHUAYANFSA-N -1 1 319.409 1.027 20 0 DDADMM Cc1nsc(NC/C=C/CNC(=O)c2ncccc2[O-])n1 ZINC001107140308 749371735 /nfs/dbraw/zinc/37/17/35/749371735.db2.gz ZPGBWGDUZKUJOF-NSCUHMNNSA-N -1 1 305.363 1.345 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])c1cc(F)ncn1 ZINC001095863115 750602456 /nfs/dbraw/zinc/60/24/56/750602456.db2.gz WIUVTUNWCIRJKO-UHFFFAOYSA-N -1 1 319.340 1.363 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCC[C@@H]2CNCc2ccon2)c1[O-] ZINC001036984809 750666011 /nfs/dbraw/zinc/66/60/11/750666011.db2.gz XKKLCHYOTPBMCF-PWSUYJOCSA-N -1 1 319.365 1.100 20 0 DDADMM O=C(N[C@@H]1CCCN(Cc2cncs2)C1)c1ncccc1[O-] ZINC001007192307 750954692 /nfs/dbraw/zinc/95/46/92/750954692.db2.gz WJKNFOMTNJIJON-LLVKDONJSA-N -1 1 318.402 1.638 20 0 DDADMM O=C([C@@H]1C[C@H]1C1CCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035075102 751183110 /nfs/dbraw/zinc/18/31/10/751183110.db2.gz RCHDEPNAOXLODS-FRRDWIJNSA-N -1 1 319.409 1.027 20 0 DDADMM CC[C@@]1(C)C[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998866693 752310930 /nfs/dbraw/zinc/31/09/30/752310930.db2.gz TYUCXJCJWFHBSX-ZBEGNZNMSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(C1CCCC1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036622256 752579556 /nfs/dbraw/zinc/57/95/56/752579556.db2.gz HWVPUYRVGUYWFU-OLZOCXBDSA-N -1 1 319.409 1.123 20 0 DDADMM CC(=O)N1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C(C)(C)C1 ZINC001008154652 752582352 /nfs/dbraw/zinc/58/23/52/752582352.db2.gz AMMKIGABKCRZHT-LBPRGKRZSA-N -1 1 318.377 1.046 20 0 DDADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)C1CCC1 ZINC001008683059 752867407 /nfs/dbraw/zinc/86/74/07/752867407.db2.gz PFJKZWMOQDXMPH-XYYAHUGASA-N -1 1 315.373 1.022 20 0 DDADMM O=C(NC1(CNC(=O)C2CCC2)CCC1)c1ncccc1[O-] ZINC001062381326 752935316 /nfs/dbraw/zinc/93/53/16/752935316.db2.gz WDVWHMUONGJYDR-UHFFFAOYSA-N -1 1 303.362 1.356 20 0 DDADMM O=C(C[C@@H]1C[C@H]1C1CC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999739716 753114293 /nfs/dbraw/zinc/11/42/93/753114293.db2.gz FLCWOPOJDDQICI-XQQFMLRXSA-N -1 1 319.409 1.027 20 0 DDADMM CCC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001009834212 753398717 /nfs/dbraw/zinc/39/87/17/753398717.db2.gz UYJXHQBNIIMVPS-RWMBFGLXSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005952295 753413118 /nfs/dbraw/zinc/41/31/18/753413118.db2.gz AODAUFHWSIVFQC-DMDPSCGWSA-N -1 1 317.389 1.410 20 0 DDADMM O=C(N[C@H]1CCN(Cc2ccc(F)cn2)C1)c1ncccc1[O-] ZINC001010731381 754128752 /nfs/dbraw/zinc/12/87/52/754128752.db2.gz IUPAJCKWQVFWMY-ZDUSSCGKSA-N -1 1 316.336 1.326 20 0 DDADMM O=C(N[C@H]1CCN(C/C(Cl)=C/Cl)C1)c1ncccc1[O-] ZINC001010733661 754130765 /nfs/dbraw/zinc/13/07/65/754130765.db2.gz QZUDJIXISUGBAY-MBACFSSFSA-N -1 1 316.188 1.910 20 0 DDADMM Cc1cc(CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)sn1 ZINC001010734368 754130784 /nfs/dbraw/zinc/13/07/84/754130784.db2.gz SZFBRQMNMKWIEI-NSHDSACASA-N -1 1 318.402 1.556 20 0 DDADMM Cc1cnc([C@@H](C)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001010735871 754132582 /nfs/dbraw/zinc/13/25/82/754132582.db2.gz ZFHBADQNJALOHL-VXGBXAGGSA-N -1 1 316.361 1.649 20 0 DDADMM CC(C)CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001012204361 754930376 /nfs/dbraw/zinc/93/03/76/754930376.db2.gz RGRZJJFSCVMHPG-NEPJUHHUSA-N -1 1 305.378 1.553 20 0 DDADMM CCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001012616578 755161846 /nfs/dbraw/zinc/16/18/46/755161846.db2.gz KOVGZFLSDBJRIU-MWLCHTKSSA-N -1 1 318.377 1.189 20 0 DDADMM Cc1nccc(N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)n1 ZINC001067075491 755685554 /nfs/dbraw/zinc/68/55/54/755685554.db2.gz HHFRLQSNDNGONA-PWSUYJOCSA-N -1 1 313.361 1.458 20 0 DDADMM CO[C@@H]1CN(CC(F)(F)C(F)F)C[C@H]1[N-]C(=O)C(C)(F)F ZINC001081274212 756268782 /nfs/dbraw/zinc/26/87/82/756268782.db2.gz SGNUZPLYEJJWFL-RNFRBKRXSA-N -1 1 322.249 1.357 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2CCC(C)(C)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082726591 756905926 /nfs/dbraw/zinc/90/59/26/756905926.db2.gz MOJIPSULERCZSB-IJLUTSLNSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C(F)F ZINC001014894280 758261380 /nfs/dbraw/zinc/26/13/80/758261380.db2.gz OHMLOCGKORGTOZ-RKDXNWHRSA-N -1 1 313.304 1.162 20 0 DDADMM O=C(CC1CCC1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085160463 758317379 /nfs/dbraw/zinc/31/73/79/758317379.db2.gz IICGTOGHFJHQPW-VXGBXAGGSA-N -1 1 307.398 1.218 20 0 DDADMM CC(C)=CC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001018099458 758545533 /nfs/dbraw/zinc/54/55/33/758545533.db2.gz LTIYKROVVXXHPB-QWHCGFSZSA-N -1 1 317.389 1.863 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(C)(C)F ZINC001018099948 758547066 /nfs/dbraw/zinc/54/70/66/758547066.db2.gz NVILWFZUNJRWQO-GHMZBOCLSA-N -1 1 323.368 1.645 20 0 DDADMM COC(=O)C1(CNC(=O)c2ccc3ccc(O)cc3c2[O-])CC1 ZINC000824115971 759151771 /nfs/dbraw/zinc/15/17/71/759151771.db2.gz SBPORIJBDYEOPH-UHFFFAOYSA-N -1 1 315.325 1.934 20 0 DDADMM Cc1cc(C)cc(CCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1 ZINC000825132760 759226518 /nfs/dbraw/zinc/22/65/18/759226518.db2.gz JSDLVBMKTUOOHL-CQSZACIVSA-N -1 1 315.377 1.349 20 0 DDADMM C[C@H]1C[C@H](NC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CO1 ZINC000828054668 759463991 /nfs/dbraw/zinc/46/39/91/759463991.db2.gz MRCUBZXLYUAYJH-JQWIXIFHSA-N -1 1 302.334 1.877 20 0 DDADMM Cc1nocc1CN1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001085561060 759698096 /nfs/dbraw/zinc/69/80/96/759698096.db2.gz BURFJAJINWQCGK-CYBMUJFWSA-N -1 1 316.361 1.430 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCN(c2nc[nH]n2)CC1 ZINC000828928354 759843394 /nfs/dbraw/zinc/84/33/94/759843394.db2.gz KEUXHIBNAAXBLQ-UHFFFAOYSA-N -1 1 307.741 1.126 20 0 DDADMM O=C(c1ccccc1Cl)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019693196 760175379 /nfs/dbraw/zinc/17/53/79/760175379.db2.gz KQCHCSGTVZTEBS-VIFPVBQESA-N -1 1 321.768 1.168 20 0 DDADMM Cc1nc(CN2CCC[C@H](NC(=O)c3ncccc3[O-])C2)co1 ZINC001007189153 761854703 /nfs/dbraw/zinc/85/47/03/761854703.db2.gz JVYNFZYINATCTA-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM C/C(=C\C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001001537509 762943953 /nfs/dbraw/zinc/94/39/53/762943953.db2.gz POGALAFSYRJHIR-YRNVUSSQSA-N -1 1 315.373 1.332 20 0 DDADMM Cc1nocc1CN1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042645472 764308805 /nfs/dbraw/zinc/30/88/05/764308805.db2.gz UMYFIDIMQKVNNV-UHFFFAOYSA-N -1 1 302.334 1.040 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(CC[C@H]2CCCCO2)C1 ZINC001042646709 764309968 /nfs/dbraw/zinc/30/99/68/764309968.db2.gz XZXCPZREPMZGGI-CQSZACIVSA-N -1 1 319.405 1.503 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)C1(C)CC1 ZINC001052421923 765809822 /nfs/dbraw/zinc/80/98/22/765809822.db2.gz OUGIBSUEJGKUGT-NWDGAFQWSA-N -1 1 317.389 1.412 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]1CNCc1csnn1 ZINC001045008671 766025528 /nfs/dbraw/zinc/02/55/28/766025528.db2.gz NEGFACWIASOOKW-NSHDSACASA-N -1 1 319.390 1.033 20 0 DDADMM CC(C)C1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001045191844 766148198 /nfs/dbraw/zinc/14/81/98/766148198.db2.gz OBIYPRWLCOCZCU-NSHDSACASA-N -1 1 307.398 1.027 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(-c4cccnc4)nn3)c2[nH]1 ZINC001170217879 766176390 /nfs/dbraw/zinc/17/63/90/766176390.db2.gz NURRHDXRTVVEPE-UHFFFAOYSA-N -1 1 322.288 1.311 20 0 DDADMM Cc1[nH]c2ncc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)cc2c1C ZINC001170220897 766179128 /nfs/dbraw/zinc/17/91/28/766179128.db2.gz XCMJQXJSWNVDHS-UHFFFAOYSA-N -1 1 311.305 1.952 20 0 DDADMM O=C(N[C@H]1CCCN(c2nccnc2F)C1)c1ncccc1[O-] ZINC001058147301 766273115 /nfs/dbraw/zinc/27/31/15/766273115.db2.gz DPFIZPQZJRWVRL-JTQLQIEISA-N -1 1 317.324 1.115 20 0 DDADMM Cc1cc(N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)ncn1 ZINC001058147362 766273300 /nfs/dbraw/zinc/27/33/00/766273300.db2.gz GHISEPJOQKCPSB-LBPRGKRZSA-N -1 1 313.361 1.284 20 0 DDADMM O=C(N[C@@H]1CCN(c2cncc(Cl)n2)C1)c1ncccc1[O-] ZINC001058344177 766436958 /nfs/dbraw/zinc/43/69/58/766436958.db2.gz TVGACRKFNXCDST-SECBINFHSA-N -1 1 319.752 1.239 20 0 DDADMM O=C(NC1CC(CNc2nccnc2F)C1)c1ncccc1[O-] ZINC001067843260 766746232 /nfs/dbraw/zinc/74/62/32/766746232.db2.gz COTSBORETINBNE-UHFFFAOYSA-N -1 1 317.324 1.337 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3Cc4ccc(F)cc43)nc2n1 ZINC001129408623 766870899 /nfs/dbraw/zinc/87/08/99/766870899.db2.gz FQKLOFGVRDTLQH-NSHDSACASA-N -1 1 313.292 1.144 20 0 DDADMM C[C@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)C(=O)OC(C)(C)C ZINC001129639257 766954826 /nfs/dbraw/zinc/95/48/26/766954826.db2.gz KFRSTKXLYRRAQX-SECBINFHSA-N -1 1 321.377 1.977 20 0 DDADMM Cc1nsc(N[C@@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)n1 ZINC001069063349 767811688 /nfs/dbraw/zinc/81/16/88/767811688.db2.gz PTNVSTFPRTXQIA-WCBMZHEXSA-N -1 1 319.390 1.662 20 0 DDADMM C[C@H]1C[C@H](Nc2nccnc2F)CN1C(=O)c1ncccc1[O-] ZINC001069065245 767813706 /nfs/dbraw/zinc/81/37/06/767813706.db2.gz IJVLDWJNOXXKKJ-UWVGGRQHSA-N -1 1 317.324 1.431 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@]2(CCN(CCF)C2)O1 ZINC001071162621 769078143 /nfs/dbraw/zinc/07/81/43/769078143.db2.gz WFPBDRMZGRWGKH-WBMJQRKESA-N -1 1 323.368 1.062 20 0 DDADMM Cc1cc(N[C@H](CNC(=O)c2ncccc2[O-])C2CC2)ncn1 ZINC001096638682 771363405 /nfs/dbraw/zinc/36/34/05/771363405.db2.gz BXOMXFNSQOFELR-GFCCVEGCSA-N -1 1 313.361 1.506 20 0 DDADMM CC[C@@](N)(CO)Nc1cc2c(CC(=O)[O-])c[nH]c2cc1Cl ZINC001170865927 771786695 /nfs/dbraw/zinc/78/66/95/771786695.db2.gz KWNNXQWLILLONX-AWEZNQCLSA-N -1 1 311.769 1.918 20 0 DDADMM O=C(NCc1ccc(-c2nn[nH]n2)cc1)c1ccc(F)c([O-])c1 ZINC001136655100 772069133 /nfs/dbraw/zinc/06/91/33/772069133.db2.gz ICGFXVHDHPAFMG-UHFFFAOYSA-N -1 1 313.292 1.641 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001144491944 772549740 /nfs/dbraw/zinc/54/97/40/772549740.db2.gz ZNLPJTGCRDTNIW-ZETCQYMHSA-N -1 1 304.262 1.692 20 0 DDADMM CNC(=O)c1cnc2n1CCN(Cc1c(F)cc([O-])cc1F)C2 ZINC001144550581 772563075 /nfs/dbraw/zinc/56/30/75/772563075.db2.gz RLSURZOWXUPGLM-UHFFFAOYSA-N -1 1 322.315 1.242 20 0 DDADMM O=C(CNc1ccc(O)cc1)Nc1n[n-]c(C(F)(F)F)n1 ZINC001144563576 772566601 /nfs/dbraw/zinc/56/66/01/772566601.db2.gz RBYVLHHJYLRPPV-UHFFFAOYSA-N -1 1 301.228 1.580 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2cc(F)c([O-])cc2F)CCO1 ZINC001144658121 772587795 /nfs/dbraw/zinc/58/77/95/772587795.db2.gz RIYYOHJLYISZGJ-ZDUSSCGKSA-N -1 1 301.289 1.434 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(Cc2cc(F)c([O-])cc2F)C1 ZINC001144660258 772592136 /nfs/dbraw/zinc/59/21/36/772592136.db2.gz YKUYMBMMAFJYSY-SNVBAGLBSA-N -1 1 305.346 1.679 20 0 DDADMM O=C([O-])c1ccsc1CN1CCN(c2ccncn2)CC1 ZINC001144679878 772595470 /nfs/dbraw/zinc/59/54/70/772595470.db2.gz HDQJYDKVYHTNCU-UHFFFAOYSA-N -1 1 304.375 1.559 20 0 DDADMM Cc1ccc(OCCC(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001144764944 772613610 /nfs/dbraw/zinc/61/36/10/772613610.db2.gz VWCUJNXDIQCAJG-UHFFFAOYSA-N -1 1 315.306 1.838 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCc2n[nH]c3ccccc23)c1[O-] ZINC001147843470 773265970 /nfs/dbraw/zinc/26/59/70/773265970.db2.gz XEPCTRRGNQGNNB-UHFFFAOYSA-N -1 1 312.329 1.394 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCCC3COC3)cc2)[n-]1 ZINC001148337389 773440502 /nfs/dbraw/zinc/44/05/02/773440502.db2.gz YVYXDEKCXYMEJK-UHFFFAOYSA-N -1 1 301.346 1.566 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N[C@H]3C[C@H](O)C3(C)C)cc2)[n-]1 ZINC001148341281 773442876 /nfs/dbraw/zinc/44/28/76/773442876.db2.gz XAJPQGAMUAWPFR-KBPBESRZSA-N -1 1 315.373 1.688 20 0 DDADMM CCC(CO)(CO)NC(=O)c1cccc(-c2ccccc2)c1[O-] ZINC001148868745 773621668 /nfs/dbraw/zinc/62/16/68/773621668.db2.gz AMZFJCSYVHFIBE-UHFFFAOYSA-N -1 1 315.369 1.922 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2OCCN(CCCF)[C@H]2C1 ZINC001074219739 773743490 /nfs/dbraw/zinc/74/34/90/773743490.db2.gz VLUOJFPCMMMAOC-GXTWGEPZSA-N -1 1 323.368 1.062 20 0 DDADMM COc1ncc(Cl)c(NCCNC(=O)c2ncccc2[O-])n1 ZINC001093563044 774890944 /nfs/dbraw/zinc/89/09/44/774890944.db2.gz UJDLGEWOLILUOL-UHFFFAOYSA-N -1 1 323.740 1.081 20 0 DDADMM CCOc1ncc(Nc2cc(S(C)(=O)=O)ccc2[O-])cn1 ZINC001175559273 777842078 /nfs/dbraw/zinc/84/20/78/777842078.db2.gz WERUNAPRMOSLKP-UHFFFAOYSA-N -1 1 309.347 1.728 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)C1(C)CCCC1 ZINC001102201660 777932161 /nfs/dbraw/zinc/93/21/61/777932161.db2.gz HAHKJMCDFKUDFC-VXGBXAGGSA-N -1 1 321.425 1.275 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(NC2=CCN(C(C)=O)CC2)c1 ZINC001176031187 778004947 /nfs/dbraw/zinc/00/49/47/778004947.db2.gz KCMWRXNBHSXBFJ-UHFFFAOYSA-N -1 1 324.402 1.734 20 0 DDADMM O=C(Cc1nn[n-]n1)NCc1nc(-c2ccccc2)cs1 ZINC001176845498 778299418 /nfs/dbraw/zinc/29/94/18/778299418.db2.gz OHQPGNCWXKURFD-UHFFFAOYSA-N -1 1 300.347 1.182 20 0 DDADMM CCOc1ccc(C(=O)NCCc2n[n-]c(=S)n2CC)cn1 ZINC000067078241 778300906 /nfs/dbraw/zinc/30/09/06/778300906.db2.gz LBPAYQGJIFDYQZ-UHFFFAOYSA-N -1 1 321.406 1.727 20 0 DDADMM N=C(Cc1cccc(C(F)(F)F)c1)NC(=O)Cc1nn[n-]n1 ZINC001176847470 778301156 /nfs/dbraw/zinc/30/11/56/778301156.db2.gz XRZIFSKVGJKUMK-UHFFFAOYSA-N -1 1 312.255 1.097 20 0 DDADMM O=C(c1cccc2nc[nH]c21)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001177869561 778749569 /nfs/dbraw/zinc/74/95/69/778749569.db2.gz CFPXMCUUEQCDJO-SNVBAGLBSA-N -1 1 311.349 1.171 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(c3cc(C)ccn3)CC2)n1 ZINC001178065205 778846387 /nfs/dbraw/zinc/84/63/87/778846387.db2.gz XUJAHFJVFIPXEF-UHFFFAOYSA-N -1 1 314.345 1.960 20 0 DDADMM Cc1ccc(NC[C@H](C)CNC(=O)c2ncccc2[O-])nn1 ZINC001103924834 779176355 /nfs/dbraw/zinc/17/63/55/779176355.db2.gz WOYMMCYKPJSQCN-JTQLQIEISA-N -1 1 301.350 1.364 20 0 DDADMM COC(=O)C(C)(C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692838330 779490012 /nfs/dbraw/zinc/49/00/12/779490012.db2.gz IOCXSYOCTNUDHM-UHFFFAOYSA-N -1 1 310.734 1.104 20 0 DDADMM O=C([O-])[C@@]1(N2CCN(C(=O)/C=C/C3CCCC3)CC2)CCOC1 ZINC001118916016 781271812 /nfs/dbraw/zinc/27/18/12/781271812.db2.gz XXZBKDDJPYIKPO-FUTAKVPZSA-N -1 1 322.405 1.121 20 0 DDADMM O=Cc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)s1 ZINC001118969456 781286562 /nfs/dbraw/zinc/28/65/62/781286562.db2.gz BRVNLSSMBVIUAW-QMMMGPOBSA-N -1 1 307.331 1.669 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC/C=C\C2CC2)C1 ZINC001118974014 781287726 /nfs/dbraw/zinc/28/77/26/781287726.db2.gz VDLPPLJIKCDQAC-XQJDBVBESA-N -1 1 319.327 1.805 20 0 DDADMM C/C=C(/C)C(=O)N1CCC(CCN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001271766955 844095181 /nfs/dbraw/zinc/09/51/81/844095181.db2.gz XGOONIYLFAIJFG-QCDXTXTGSA-N -1 1 321.425 1.537 20 0 DDADMM C[C@H](CNC(=O)c1cc2ccccc2o1)NCc1n[nH]c(=O)[n-]1 ZINC001266990320 837157213 /nfs/dbraw/zinc/15/72/13/837157213.db2.gz ZAEXDXYHJWLQHW-SECBINFHSA-N -1 1 315.333 1.165 20 0 DDADMM CC(C)N(CCCNC(=O)[C@@H]1CC[C@@H](C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001267510171 838217544 /nfs/dbraw/zinc/21/75/44/838217544.db2.gz FRUAPJPYIDFGFA-CHWSQXEVSA-N -1 1 323.441 1.663 20 0 DDADMM CN(C(=O)c1ncccc1O)[C@H]1CC[N@@H+](CCOCC2CC2)C1 ZINC001267762241 838892118 /nfs/dbraw/zinc/89/21/18/838892118.db2.gz DQASSYXSMKOKDP-AWEZNQCLSA-N -1 1 319.405 1.360 20 0 DDADMM CN1CC(C[N-]S(=O)(=O)c2cc(Cl)c(Cl)s2)C1 ZINC001257520036 855157003 /nfs/dbraw/zinc/15/70/03/855157003.db2.gz CENKCLSIHXCONI-UHFFFAOYSA-N -1 1 315.247 1.895 20 0 DDADMM CS(=O)(=O)c1ccc(C(=O)[N-]c2noc3c2CCCC3)o1 ZINC001417590565 839604233 /nfs/dbraw/zinc/60/42/33/839604233.db2.gz HGSSJSKRFDLHRU-UHFFFAOYSA-N -1 1 310.331 1.802 20 0 DDADMM CCCCCC(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001268265202 839915800 /nfs/dbraw/zinc/91/58/00/839915800.db2.gz WLMJGKYLQLHBDY-CHWSQXEVSA-N -1 1 321.425 1.513 20 0 DDADMM CC(=O)N(C)c1ccc(NC(=O)C(=O)c2ccc([O-])cc2)cn1 ZINC001142636977 861212780 /nfs/dbraw/zinc/21/27/80/861212780.db2.gz SNZQPHUZBFSZHD-UHFFFAOYSA-N -1 1 313.313 1.591 20 0 DDADMM NC(=O)[C@]12C[C@H]1CCN2C(=O)c1cc2cccc(O)c2cc1[O-] ZINC001270617413 842722009 /nfs/dbraw/zinc/72/20/09/842722009.db2.gz BRQQVMKYJAJXMV-QGHHPUGFSA-N -1 1 312.325 1.341 20 0 DDADMM NC(=O)[C@@H]1CC12CN(C(=O)c1cc3cccc(O)c3cc1[O-])C2 ZINC001270618014 842724610 /nfs/dbraw/zinc/72/46/10/842724610.db2.gz KLJNUKLSGMVNHD-LBPRGKRZSA-N -1 1 312.325 1.198 20 0 DDADMM CSc1nc(NC(=O)c2ccc3c(c2)OCO3)cc(=O)[n-]1 ZINC001149157593 861474591 /nfs/dbraw/zinc/47/45/91/861474591.db2.gz MQRQEMAANSOPAZ-UHFFFAOYSA-N -1 1 305.315 1.885 20 0 DDADMM Cc1ccc2c(c1)nc(CNC(=O)CCCc1nn[n-]n1)n2C ZINC001154856106 861515830 /nfs/dbraw/zinc/51/58/30/861515830.db2.gz ROLSUKDGKTUXOC-UHFFFAOYSA-N -1 1 313.365 1.034 20 0 DDADMM C[C@@H](CNC(=O)CCC1(C)CC1)CNC(=O)c1ncccc1[O-] ZINC001409666376 845532788 /nfs/dbraw/zinc/53/27/88/845532788.db2.gz CVQCUOGTTQPKKU-LBPRGKRZSA-N -1 1 319.405 1.850 20 0 DDADMM CCOCC(COCC)Oc1c(=O)[n-]c(C)nc1C(=O)OC ZINC001234565679 846362610 /nfs/dbraw/zinc/36/26/10/846362610.db2.gz YVGDADZAFIXYHP-UHFFFAOYSA-N -1 1 314.338 1.098 20 0 DDADMM CC(C)NC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc([O-])c1 ZINC001272673256 846566432 /nfs/dbraw/zinc/56/64/32/846566432.db2.gz UMQHOPGZTFAIGU-BETUJISGSA-N -1 1 318.377 1.194 20 0 DDADMM Cn1nc(C(=O)NCCCC[P@](=O)([O-])O)c2ccccc21 ZINC001149793773 861907521 /nfs/dbraw/zinc/90/75/21/861907521.db2.gz GQBRCKKPLIEUAJ-UHFFFAOYSA-N -1 1 311.278 1.261 20 0 DDADMM COC(=O)[C@@]1(C)CCCN(C(=O)c2[n-][nH]c3cc(=O)ccc2-3)C1 ZINC001155266687 861911723 /nfs/dbraw/zinc/91/17/23/861911723.db2.gz NBEFEYDIXRPSTN-INIZCTEOSA-N -1 1 317.345 1.684 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1csnc1OC ZINC001364807644 848793495 /nfs/dbraw/zinc/79/34/95/848793495.db2.gz VTOZAYKYWYCMIC-YUMQZZPRSA-N -1 1 322.408 1.018 20 0 DDADMM O=S(=O)([N-]CC1CCC2(CC1)OCCO2)c1nccs1 ZINC001187912140 851086223 /nfs/dbraw/zinc/08/62/23/851086223.db2.gz BUPHOIJWLWQNIO-UHFFFAOYSA-N -1 1 318.420 1.355 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@H]3CNC(=O)C3(C)C)c([O-])c2n1 ZINC001155660995 862350593 /nfs/dbraw/zinc/35/05/93/862350593.db2.gz LFXQGSKPEMLELF-LBPRGKRZSA-N -1 1 313.357 1.503 20 0 DDADMM CCCC1(C(=O)NC[C@@H](C)N(C)C(=O)c2ncccc2[O-])CC1 ZINC001411678716 853558971 /nfs/dbraw/zinc/55/89/71/853558971.db2.gz DVWVWHBFXARCDT-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(Nc1ccc(F)cn1)c1nc[n-]c(=O)c1Br ZINC001411681275 853566170 /nfs/dbraw/zinc/56/61/70/853566170.db2.gz IPZAQFKFDAELKD-UHFFFAOYSA-N -1 1 313.086 1.731 20 0 DDADMM COC(=O)[C@H]1c2ccccc2CCN1C(=O)c1ccc([O-])cn1 ZINC001411851671 853835263 /nfs/dbraw/zinc/83/52/63/853835263.db2.gz TTXNUDOYPPKNPP-OAHLLOKOSA-N -1 1 312.325 1.700 20 0 DDADMM CSc1ncc(C(=O)N2CC(CC(F)(F)F)C2)c(=O)[n-]1 ZINC001411913403 853970498 /nfs/dbraw/zinc/97/04/98/853970498.db2.gz XBKVOBPPERKUEV-UHFFFAOYSA-N -1 1 307.297 1.929 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CN(C(=O)c1ccc(Cl)cc1[O-])C2 ZINC001275933766 853985795 /nfs/dbraw/zinc/98/57/95/853985795.db2.gz IDOMUNAJKSGXPK-RFAUZJTJSA-N -1 1 308.765 1.596 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(C)c(OC)c1)c1nn[n-]n1 ZINC001412098678 854215550 /nfs/dbraw/zinc/21/55/50/854215550.db2.gz BXILDFAFEUOLJN-UHFFFAOYSA-N -1 1 303.366 1.962 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ncc(Br)s1 ZINC001412185674 854312895 /nfs/dbraw/zinc/31/28/95/854312895.db2.gz CATOMZYYFYLSFF-UHFFFAOYSA-N -1 1 303.141 1.895 20 0 DDADMM CN(CC(=O)NCc1ccccc1)C(=O)c1ccc(F)c([O-])c1 ZINC001412265040 854391505 /nfs/dbraw/zinc/39/15/05/854391505.db2.gz MPBLFQAFTHAKEC-UHFFFAOYSA-N -1 1 316.332 1.920 20 0 DDADMM CCc1cc(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)n[nH]1 ZINC001412268209 854395518 /nfs/dbraw/zinc/39/55/18/854395518.db2.gz LOTWMEKHPTVGAO-UHFFFAOYSA-N -1 1 305.338 1.903 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[n-]1 ZINC001412280194 854403685 /nfs/dbraw/zinc/40/36/85/854403685.db2.gz PFTBMTZLFXHNJH-WAPUPBOZSA-N -1 1 318.329 1.366 20 0 DDADMM CC(=O)CSCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001412408359 854515812 /nfs/dbraw/zinc/51/58/12/854515812.db2.gz IJEACFDVJROCIP-UHFFFAOYSA-N -1 1 305.359 1.839 20 0 DDADMM CCOC(=O)c1ccc(CNC(=O)c2cnncc2[O-])cc1 ZINC001412457988 854574382 /nfs/dbraw/zinc/57/43/82/854574382.db2.gz SVBPJWQBDFJIAS-UHFFFAOYSA-N -1 1 301.302 1.289 20 0 DDADMM CN(C(=O)c1ccc([O-])cn1)[C@H]1CCN(c2ccccc2)C1=O ZINC001412525022 854657211 /nfs/dbraw/zinc/65/72/11/854657211.db2.gz ICOBBCHRJWDGSF-HNNXBMFYSA-N -1 1 311.341 1.665 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCOc1ccc(F)cc1 ZINC001412527014 854660515 /nfs/dbraw/zinc/66/05/15/854660515.db2.gz HZJJJVOFTSJLIH-UHFFFAOYSA-N -1 1 321.356 1.793 20 0 DDADMM O=C(COc1ccc(Cl)cc1)NC1(c2nn[n-]n2)CCC1 ZINC001412545170 854695010 /nfs/dbraw/zinc/69/50/10/854695010.db2.gz SNIOVKQIGXPZJS-UHFFFAOYSA-N -1 1 307.741 1.428 20 0 DDADMM COC(=O)[C@@H]1CC12CCN(C(=O)c1ccc([O-])cc1F)CC2 ZINC001412572191 854743879 /nfs/dbraw/zinc/74/38/79/854743879.db2.gz PIQVHPSBYBNINI-LBPRGKRZSA-N -1 1 307.321 1.947 20 0 DDADMM CC(C)(CCS(C)(=O)=O)NC(=O)c1ccc([O-])cc1F ZINC001412618672 854826543 /nfs/dbraw/zinc/82/65/43/854826543.db2.gz KAJDTRZEWFHYHD-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCC[C@@H](CO)[C@H]1CO ZINC001412664726 854904764 /nfs/dbraw/zinc/90/47/64/854904764.db2.gz QEWMATQGWCKYNN-GOEBONIOSA-N -1 1 315.369 1.751 20 0 DDADMM CC(C)(C)NC(=O)[C@H]1CC12CN(C(=O)c1cc([O-])cc(F)c1)C2 ZINC001276183512 854920176 /nfs/dbraw/zinc/92/01/76/854920176.db2.gz OUCRENLFNSILBL-CYBMUJFWSA-N -1 1 320.364 1.908 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cnc(Cl)cc1Cl)[C@H](C)O ZINC001328000509 862623522 /nfs/dbraw/zinc/62/35/22/862623522.db2.gz LRFQBJJFISHOPO-XPUUQOCRSA-N -1 1 313.206 1.826 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn3cc(C)cnc23)n1 ZINC001151135556 862644430 /nfs/dbraw/zinc/64/44/30/862644430.db2.gz AHRIXIMLDXQRCQ-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1c[nH]c(=O)c2ccccc12 ZINC001151163175 862657426 /nfs/dbraw/zinc/65/74/26/862657426.db2.gz OGKDYTZRYFAGDK-UHFFFAOYSA-N -1 1 324.273 1.628 20 0 DDADMM COC(=O)[C@@]1(CNC(=O)c2c([O-])cccc2Cl)CCOC1 ZINC001412800620 855282772 /nfs/dbraw/zinc/28/27/72/855282772.db2.gz ANXWROAFITVEQA-CQSZACIVSA-N -1 1 313.737 1.355 20 0 DDADMM CN(C)C(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)c1ccc(F)c(=O)[n-]1)C2 ZINC001276301490 855472402 /nfs/dbraw/zinc/47/24/02/855472402.db2.gz ANQUKCHTQUWPNC-DDFAGTSDSA-N -1 1 321.352 1.113 20 0 DDADMM O=C(NC[C@]1(CO)C[C@@H]1c1ccc(F)cc1)c1ccc([O-])cn1 ZINC001412832047 855493392 /nfs/dbraw/zinc/49/33/92/855493392.db2.gz UOKUWQWAOLLSQI-PBHICJAKSA-N -1 1 316.332 1.822 20 0 DDADMM CC1(C)C(C)(C)C1(C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001412845308 855721638 /nfs/dbraw/zinc/72/16/38/855721638.db2.gz YGMYJJRSYFXJJF-UHFFFAOYSA-N -1 1 300.384 1.219 20 0 DDADMM CC1(C)C(C)(C)C1(C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001412845308 855721643 /nfs/dbraw/zinc/72/16/43/855721643.db2.gz YGMYJJRSYFXJJF-UHFFFAOYSA-N -1 1 300.384 1.219 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC(O)(Cc2ccncc2)CC1 ZINC001412925100 855788247 /nfs/dbraw/zinc/78/82/47/855788247.db2.gz WRVJZPUCTBNCSZ-UHFFFAOYSA-N -1 1 313.357 1.392 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3ccncc3c2)n1 ZINC001151435540 862777186 /nfs/dbraw/zinc/77/71/86/862777186.db2.gz PWKMZTKQUQZLIX-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@]12CCOC2 ZINC001413131304 856472652 /nfs/dbraw/zinc/47/26/52/856472652.db2.gz LNPWKJWUGMMFNJ-MRXNPFEDSA-N -1 1 305.378 1.793 20 0 DDADMM CC1(CC(F)F)CN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001413176406 856520367 /nfs/dbraw/zinc/52/03/67/856520367.db2.gz DMDCPUHHWPXXDQ-UHFFFAOYSA-N -1 1 313.304 1.021 20 0 DDADMM CC[C@@H](CO)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001413197444 856541101 /nfs/dbraw/zinc/54/11/01/856541101.db2.gz WPEGZUIXMWHHFR-GUBZILKMSA-N -1 1 310.316 1.063 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)c1cn(C)nc1C ZINC001413292235 856614398 /nfs/dbraw/zinc/61/43/98/856614398.db2.gz VVBXGQGMRCJZOM-QMMMGPOBSA-N -1 1 316.408 1.233 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC001413311763 856631892 /nfs/dbraw/zinc/63/18/92/856631892.db2.gz XDPPNWLGGGPPSV-SFGNSQDASA-N -1 1 318.420 1.244 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)c1nnc(C)s1 ZINC001413321259 856649909 /nfs/dbraw/zinc/64/99/09/856649909.db2.gz YCUGLSSMEGSTNU-RXMQYKEDSA-N -1 1 320.421 1.351 20 0 DDADMM COC[C@H](NC(=O)c1ccc(COC(C)C)cc1)c1nn[n-]n1 ZINC001413550978 857053909 /nfs/dbraw/zinc/05/39/09/857053909.db2.gz GJAUYXCEZIKDOZ-ZDUSSCGKSA-N -1 1 319.365 1.242 20 0 DDADMM CCOC(=O)[C@](C)(NC(=O)c1cncc([O-])c1)c1ccccc1 ZINC001413601149 857218277 /nfs/dbraw/zinc/21/82/77/857218277.db2.gz VFLVMTAJMRPVOF-QGZVFWFLSA-N -1 1 314.341 1.996 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C[C@H]2CCCC[C@@H]2O)[n-]c1=O ZINC001413622017 857248657 /nfs/dbraw/zinc/24/86/57/857248657.db2.gz JPBRPHWCLNOZKM-MNOVXSKESA-N -1 1 323.393 1.483 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@@H]2C[C@H]2C(F)(F)F)[n-]c1=O ZINC001413624636 857252790 /nfs/dbraw/zinc/25/27/90/857252790.db2.gz NYJWUHGPRBJKSN-RNFRBKRXSA-N -1 1 319.283 1.741 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCn2c(cnc2C(F)(F)F)C1 ZINC001413744990 858275567 /nfs/dbraw/zinc/27/55/67/858275567.db2.gz JDKXSZZADRVYAN-UHFFFAOYSA-N -1 1 312.251 1.659 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)Cc1ccsc1 ZINC001121806837 858600643 /nfs/dbraw/zinc/60/06/43/858600643.db2.gz SLUBJJUZADAGQQ-UHFFFAOYSA-N -1 1 304.383 1.100 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)Cc1ccsc1 ZINC001121806837 858600648 /nfs/dbraw/zinc/60/06/48/858600648.db2.gz SLUBJJUZADAGQQ-UHFFFAOYSA-N -1 1 304.383 1.100 20 0 DDADMM C[C@H]1CCN(c2nnc(Cc3nnn[n-]3)n2Cc2ccccc2)C1 ZINC001122051666 858672898 /nfs/dbraw/zinc/67/28/98/858672898.db2.gz AXCRKLMZUCAHNV-LBPRGKRZSA-N -1 1 324.392 1.277 20 0 DDADMM C[C@H]1CCN(c2nnc(Cc3nn[n-]n3)n2Cc2ccccc2)C1 ZINC001122051666 858672901 /nfs/dbraw/zinc/67/29/01/858672901.db2.gz AXCRKLMZUCAHNV-LBPRGKRZSA-N -1 1 324.392 1.277 20 0 DDADMM C[C@@H]1C[C@@H](C)N(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)C1 ZINC001122081475 858679613 /nfs/dbraw/zinc/67/96/13/858679613.db2.gz FCJBUCFCYVLWOL-VXGBXAGGSA-N -1 1 324.392 1.741 20 0 DDADMM C[C@@H]1C[C@@H](C)N(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)C1 ZINC001122081475 858679616 /nfs/dbraw/zinc/67/96/16/858679616.db2.gz FCJBUCFCYVLWOL-VXGBXAGGSA-N -1 1 324.392 1.741 20 0 DDADMM CN(CC1CC1)c1nnc(Cc2nnn[n-]2)n1Cc1ccccc1 ZINC001122130307 858692849 /nfs/dbraw/zinc/69/28/49/858692849.db2.gz UVVQMJDBRJEBJC-UHFFFAOYSA-N -1 1 324.392 1.277 20 0 DDADMM CN(CC1CC1)c1nnc(Cc2nn[n-]n2)n1Cc1ccccc1 ZINC001122130307 858692852 /nfs/dbraw/zinc/69/28/52/858692852.db2.gz UVVQMJDBRJEBJC-UHFFFAOYSA-N -1 1 324.392 1.277 20 0 DDADMM c1ccc(Cn2c(-c3nnn[n-]3)nnc2N2CCCCCC2)cc1 ZINC001122161086 858699774 /nfs/dbraw/zinc/69/97/74/858699774.db2.gz XDBJGTQAOLKAMS-UHFFFAOYSA-N -1 1 324.392 1.887 20 0 DDADMM c1ccc(Cn2c(-c3nn[n-]n3)nnc2N2CCCCCC2)cc1 ZINC001122161086 858699777 /nfs/dbraw/zinc/69/97/77/858699777.db2.gz XDBJGTQAOLKAMS-UHFFFAOYSA-N -1 1 324.392 1.887 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1CC[C@@H](CC)C1 ZINC001122695249 858939915 /nfs/dbraw/zinc/93/99/15/858939915.db2.gz VFUBUWMCCFNILY-LLVKDONJSA-N -1 1 320.401 1.121 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1CC[C@@H](CC)C1 ZINC001122695249 858939918 /nfs/dbraw/zinc/93/99/18/858939918.db2.gz VFUBUWMCCFNILY-LLVKDONJSA-N -1 1 320.401 1.121 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](C1CCC1)[C@H]1CCCO1 ZINC001123846868 859439551 /nfs/dbraw/zinc/43/95/51/859439551.db2.gz HCSQKORDCWDMAH-ZYHUDNBSSA-N -1 1 323.418 1.857 20 0 DDADMM Cc1cn(CC(=O)N2CC[C@@H](c3nn[n-]n3)C2)c2ccccc12 ZINC001123868311 859447909 /nfs/dbraw/zinc/44/79/09/859447909.db2.gz DABVXEDLKYLOMR-GFCCVEGCSA-N -1 1 310.361 1.479 20 0 DDADMM O=C(c1ccn(-c2ccccc2)n1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123962650 859499688 /nfs/dbraw/zinc/49/96/88/859499688.db2.gz VNRYUMCFEKCPME-NSHDSACASA-N -1 1 309.333 1.015 20 0 DDADMM COc1nc2ccc(NC(=O)CCCc3nn[n-]n3)cc2s1 ZINC001139214088 860318307 /nfs/dbraw/zinc/31/83/07/860318307.db2.gz FRCPDARLRXWJOW-UHFFFAOYSA-N -1 1 318.362 1.779 20 0 DDADMM COc1cccc(C[N@H+]2CC[C@@H](C)[C@H](O)C2)c1OCC(=O)[O-] ZINC001139268498 860343082 /nfs/dbraw/zinc/34/30/82/860343082.db2.gz LAUIOOKQGZMBCQ-DGCLKSJQSA-N -1 1 309.362 1.361 20 0 DDADMM COc1cccc(CN2CC[C@@H](C)[C@H](O)C2)c1OCC(=O)[O-] ZINC001139268498 860343087 /nfs/dbraw/zinc/34/30/87/860343087.db2.gz LAUIOOKQGZMBCQ-DGCLKSJQSA-N -1 1 309.362 1.361 20 0 DDADMM COc1cccc(CN2CC[C@H]3OCC[C@H]32)c1OCC(=O)[O-] ZINC001139269069 860344627 /nfs/dbraw/zinc/34/46/27/860344627.db2.gz ZSOYMOBTUPHYNT-CHWSQXEVSA-N -1 1 307.346 1.522 20 0 DDADMM O=C(Cc1ccsc1)N1CCN(Cc2ccncc2[O-])CC1 ZINC001140281898 860610855 /nfs/dbraw/zinc/61/08/55/860610855.db2.gz JRVOSJXHGHXFSS-UHFFFAOYSA-N -1 1 317.414 1.736 20 0 DDADMM Nc1n[nH]c2cc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)ccc12 ZINC001154168665 860832277 /nfs/dbraw/zinc/83/22/77/860832277.db2.gz VWQRNNNYXITIPS-UHFFFAOYSA-N -1 1 311.227 1.534 20 0 DDADMM Nc1nc2ccccc2cc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001154289262 860953986 /nfs/dbraw/zinc/95/39/86/860953986.db2.gz MSFHHYCTUXZRGH-UHFFFAOYSA-N -1 1 321.300 1.441 20 0 DDADMM COc1ccc2ncc([O-])c(C(=O)Nc3cnc(N)cn3)c2c1 ZINC001154506804 861154354 /nfs/dbraw/zinc/15/43/54/861154354.db2.gz JGBOSHLLQIYCPR-UHFFFAOYSA-N -1 1 311.301 1.574 20 0 DDADMM CSc1nc(NC(=O)c2cnc3cccc(F)n32)cc(=O)[n-]1 ZINC001156624499 863201549 /nfs/dbraw/zinc/20/15/49/863201549.db2.gz GTLKHHCXMUVGMR-UHFFFAOYSA-N -1 1 319.321 1.943 20 0 DDADMM Cc1cnc([C@@H](C)NCCCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001156627198 863207938 /nfs/dbraw/zinc/20/79/38/863207938.db2.gz SYDXEQRSIWPVIB-SNVBAGLBSA-N -1 1 307.354 1.191 20 0 DDADMM O=[P@]([O-])(O)CCCCOC1CCN(c2ncccn2)CC1 ZINC001225021203 881643483 /nfs/dbraw/zinc/64/34/83/881643483.db2.gz FMYBZCHZCCOOMO-UHFFFAOYSA-N -1 1 315.310 1.420 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cccnc3C3CC3)nc2n1 ZINC001329069013 863455818 /nfs/dbraw/zinc/45/58/18/863455818.db2.gz GAXFXGNIQIFOBH-UHFFFAOYSA-N -1 1 310.317 1.251 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCc2nccn2CC1 ZINC001152672409 863486098 /nfs/dbraw/zinc/48/60/98/863486098.db2.gz NBWMQZOWKPQRFO-UHFFFAOYSA-N -1 1 324.340 1.541 20 0 DDADMM COCCOC1CN(C(=O)c2cc(=O)c3cccc(O)c3[n-]2)C1 ZINC001152680093 863488196 /nfs/dbraw/zinc/48/81/96/863488196.db2.gz TWUPNQPAJMMUEC-UHFFFAOYSA-N -1 1 318.329 1.133 20 0 DDADMM O=C([O-])c1cccc(CCNCc2cn(C3CCC3)nn2)n1 ZINC001329353563 863616287 /nfs/dbraw/zinc/61/62/87/863616287.db2.gz GXKDSHYAUOEXNM-UHFFFAOYSA-N -1 1 301.350 1.429 20 0 DDADMM CC(C)CC1(C(=O)N[C@@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001381403428 881683780 /nfs/dbraw/zinc/68/37/80/881683780.db2.gz HCIGWGTVHDYZIZ-NEPJUHHUSA-N -1 1 323.441 1.710 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nc3ccccc3n2C)n1 ZINC001153633071 863988983 /nfs/dbraw/zinc/98/89/83/863988983.db2.gz UKFXSDBGQMJOJS-UHFFFAOYSA-N -1 1 313.317 1.725 20 0 DDADMM Cn1c2ccccc2nc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001153633028 863989569 /nfs/dbraw/zinc/98/95/69/863989569.db2.gz RKRSEMNWYAUVJQ-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM COCC[C@@H](C)NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001330118785 864090845 /nfs/dbraw/zinc/09/08/45/864090845.db2.gz IMXWFOUPLCDUQI-SECBINFHSA-N -1 1 314.769 1.203 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cnc2c(cccc2C(F)(F)F)c1 ZINC001153820630 864133624 /nfs/dbraw/zinc/13/36/24/864133624.db2.gz JOCYAYRNUIHMGS-UHFFFAOYSA-N -1 1 322.250 1.697 20 0 DDADMM COC(=O)c1cc(NC(=O)c2cc(=O)cc[nH]2)c([O-])c(OC)c1 ZINC001158245047 864511035 /nfs/dbraw/zinc/51/10/35/864511035.db2.gz BJROKZWREBNBKV-UHFFFAOYSA-N -1 1 318.285 1.128 20 0 DDADMM O=C([N-]c1nc2n(n1)CCCC2)c1coc2c1C(=O)NCCC2 ZINC001361501463 881777195 /nfs/dbraw/zinc/77/71/95/881777195.db2.gz CQLFGBODUYWEFB-UHFFFAOYSA-N -1 1 315.333 1.136 20 0 DDADMM O=CN1CCC[C@@H]1C(=O)Nc1ccc(Br)c([O-])c1 ZINC001330788797 864607361 /nfs/dbraw/zinc/60/73/61/864607361.db2.gz XYKZQQGKNZLXFC-SNVBAGLBSA-N -1 1 313.151 1.714 20 0 DDADMM Cc1ccc(C)c(C(=O)N[C@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)c1 ZINC001381453066 881818784 /nfs/dbraw/zinc/81/87/84/881818784.db2.gz NENLSMMRYAFNHQ-VXGBXAGGSA-N -1 1 317.393 1.424 20 0 DDADMM CCOC(=O)Cc1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)nc1 ZINC001159198217 865161947 /nfs/dbraw/zinc/16/19/47/865161947.db2.gz LGAYZUWUZDIEBZ-UHFFFAOYSA-N -1 1 314.305 1.303 20 0 DDADMM COc1ccc2nc(Nc3[n-]c(=O)nc4nc[nH]c43)ncc2c1 ZINC001159198329 865162049 /nfs/dbraw/zinc/16/20/49/865162049.db2.gz LMRWHTUPKLRUPE-UHFFFAOYSA-N -1 1 309.289 1.754 20 0 DDADMM CC1(C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CCC1 ZINC001381474278 881872229 /nfs/dbraw/zinc/87/22/29/881872229.db2.gz BAHCOLXXLVMYTP-HAQNSBGRSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1n[nH]c(C(=O)NCCC2=CCN(C/C=C\Cl)CC2)c1[O-] ZINC001159820018 865543866 /nfs/dbraw/zinc/54/38/66/865543866.db2.gz ZBBNQMMLFYCYKL-KXFIGUGUSA-N -1 1 324.812 1.928 20 0 DDADMM CSc1nc(C(C)(C)C)nc(C)c1C(=O)N(C)c1nn[n-]n1 ZINC001361555389 881886242 /nfs/dbraw/zinc/88/62/42/881886242.db2.gz WEGQYOOWTBYEKX-UHFFFAOYSA-N -1 1 321.410 1.594 20 0 DDADMM CC(=O)c1c(O)cc([O-])cc1O[C@@H]1[C@H]2C[C@@H]3C(=O)O[C@@H]1[C@H]3C2 ZINC001225678298 881971070 /nfs/dbraw/zinc/97/10/70/881971070.db2.gz GENHUZILFLEVIA-QNYPAMCOSA-N -1 1 304.298 1.629 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H](Oc2cc([O-])cc(O)c2C(C)=O)CO1 ZINC001225679654 881971824 /nfs/dbraw/zinc/97/18/24/881971824.db2.gz QUUMIEUEEVAKHH-WCQYABFASA-N -1 1 324.329 1.790 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1cccc(F)n1 ZINC001320011651 866430041 /nfs/dbraw/zinc/43/00/41/866430041.db2.gz IDDXWZBVZHKAOL-UHFFFAOYSA-N -1 1 308.338 1.677 20 0 DDADMM CON(Cc1nc2c(c(=O)[n-]1)COCC2)CC1CCOCC1 ZINC001333402267 866711543 /nfs/dbraw/zinc/71/15/43/866711543.db2.gz RENRDESQJYGGLQ-UHFFFAOYSA-N -1 1 309.366 1.045 20 0 DDADMM O=C(c1c([O-])c(F)c(F)c(F)c1F)N1CCNC2(CC2)C1 ZINC001161556589 866765238 /nfs/dbraw/zinc/76/52/38/866765238.db2.gz SZVJWKRFFZKMNE-UHFFFAOYSA-N -1 1 304.243 1.527 20 0 DDADMM CCO[C@@H](CC)C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001416644173 866792030 /nfs/dbraw/zinc/79/20/30/866792030.db2.gz DRMYLPROJJEQNT-ZDUSSCGKSA-N -1 1 323.393 1.133 20 0 DDADMM COC(=O)[C@@H](Cc1ccc(F)cc1)Oc1cc(=O)[n-]c(=S)[nH]1 ZINC001225760974 882014851 /nfs/dbraw/zinc/01/48/51/882014851.db2.gz JPWZMWMBNHMLGF-SNVBAGLBSA-N -1 1 324.333 1.773 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(Cn2cccc2)cc1)c1nn[n-]n1 ZINC001320692765 866949695 /nfs/dbraw/zinc/94/96/95/866949695.db2.gz QUCBWTJWKDDIIB-CYBMUJFWSA-N -1 1 324.388 1.925 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)CCC1CCCCC1 ZINC001320911286 867116590 /nfs/dbraw/zinc/11/65/90/867116590.db2.gz YILVWBDRZPWSKP-UHFFFAOYSA-N -1 1 323.441 1.809 20 0 DDADMM Cn1cnnc1[C@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC001361639082 882062907 /nfs/dbraw/zinc/06/29/07/882062907.db2.gz HJEZQIZZDCEDBY-JTQLQIEISA-N -1 1 304.325 1.680 20 0 DDADMM CC(C)[C@@H](F)C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001381525385 882071571 /nfs/dbraw/zinc/07/15/71/882071571.db2.gz UGLPQHDZJBUHDJ-NQBHXWOUSA-N -1 1 323.368 1.406 20 0 DDADMM CCc1ccc(-c2nc(C(=O)NCc3nn[n-]n3)cs2)cc1 ZINC001321719113 867753424 /nfs/dbraw/zinc/75/34/24/867753424.db2.gz VUHCMLAXAVYPAG-UHFFFAOYSA-N -1 1 314.374 1.816 20 0 DDADMM COC1(OC)CC(CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001337572005 869545610 /nfs/dbraw/zinc/54/56/10/869545610.db2.gz GCZDNHRPOHKUDE-UHFFFAOYSA-N -1 1 307.350 1.189 20 0 DDADMM Cc1cccc([C@H]2CCCN2c2nnc(Cc3nnn[n-]3)n2C)c1 ZINC001338274587 869898655 /nfs/dbraw/zinc/89/86/55/869898655.db2.gz ZECJPGSKHRTAFD-CYBMUJFWSA-N -1 1 324.392 1.569 20 0 DDADMM Cc1cccc([C@H]2CCCN2c2nnc(Cc3nn[n-]n3)n2C)c1 ZINC001338274587 869898669 /nfs/dbraw/zinc/89/86/69/869898669.db2.gz ZECJPGSKHRTAFD-CYBMUJFWSA-N -1 1 324.392 1.569 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CC[C@@H](Cc2ccccc2)C1 ZINC001338722333 870133251 /nfs/dbraw/zinc/13/32/51/870133251.db2.gz WFJZBJUTFICNPE-LBPRGKRZSA-N -1 1 310.365 1.064 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CC[C@@H](Cc2ccccc2)C1 ZINC001338722333 870133261 /nfs/dbraw/zinc/13/32/61/870133261.db2.gz WFJZBJUTFICNPE-LBPRGKRZSA-N -1 1 310.365 1.064 20 0 DDADMM Cc1coc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)c1 ZINC001166737124 870207779 /nfs/dbraw/zinc/20/77/79/870207779.db2.gz PLMNHWYBNKZGRO-UHFFFAOYSA-N -1 1 319.365 1.102 20 0 DDADMM CC(C)OCCC(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001298271635 870420057 /nfs/dbraw/zinc/42/00/57/870420057.db2.gz VBTASLAWFZKJAW-ARJAWSKDSA-N -1 1 321.377 1.005 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(OC)ccnc2OC)n1 ZINC001361816348 882426180 /nfs/dbraw/zinc/42/61/80/882426180.db2.gz SPNJFENNIFCKGJ-UHFFFAOYSA-N -1 1 320.305 1.251 20 0 DDADMM CCCCN(C)C(=O)C1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001361823730 882440450 /nfs/dbraw/zinc/44/04/50/882440450.db2.gz FQHOUNIHEWTKJN-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM Cc1cccc(N(C)c2nnc(-c3noc(=O)[n-]3)n2C)c1C ZINC001340070843 870876034 /nfs/dbraw/zinc/87/60/34/870876034.db2.gz WKINRXLZAGSGLQ-UHFFFAOYSA-N -1 1 300.322 1.543 20 0 DDADMM CC(C)(C)OC(=O)CCCCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001303222704 871111226 /nfs/dbraw/zinc/11/12/26/871111226.db2.gz VFKDFGODYYHNDL-UHFFFAOYSA-N -1 1 323.397 1.597 20 0 DDADMM C/C=C/[C@@H](O)C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001304136053 871194107 /nfs/dbraw/zinc/19/41/07/871194107.db2.gz QHBXRGDBVFMGBM-QLCVYAKKSA-N -1 1 307.350 1.013 20 0 DDADMM COc1cc(C=O)ccc1OS(=O)(=O)c1c[n-]nc1Cl ZINC001309001252 871547185 /nfs/dbraw/zinc/54/71/85/871547185.db2.gz ILGAWNKSNNEBDF-UHFFFAOYSA-N -1 1 316.722 1.652 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC(C)(c2ccccc2)CC1 ZINC001341254158 871577340 /nfs/dbraw/zinc/57/73/40/871577340.db2.gz JQGPPNPCDDAKHW-UHFFFAOYSA-N -1 1 324.392 1.553 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC(C)(c2ccccc2)CC1 ZINC001341254158 871577350 /nfs/dbraw/zinc/57/73/50/871577350.db2.gz JQGPPNPCDDAKHW-UHFFFAOYSA-N -1 1 324.392 1.553 20 0 DDADMM O=S(=O)([N-]CC1(CO)CC1)c1cnc(Cl)cc1Cl ZINC001341701276 871781296 /nfs/dbraw/zinc/78/12/96/871781296.db2.gz YSUNDXCBSBGADE-UHFFFAOYSA-N -1 1 311.190 1.439 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1CCCCCC1 ZINC001342475862 872226614 /nfs/dbraw/zinc/22/66/14/872226614.db2.gz YJTODZBPNCIBQC-UHFFFAOYSA-N -1 1 320.401 1.265 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1CCCCCC1 ZINC001342475862 872226629 /nfs/dbraw/zinc/22/66/29/872226629.db2.gz YJTODZBPNCIBQC-UHFFFAOYSA-N -1 1 320.401 1.265 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1CCCC1(C)C ZINC001343955685 872820112 /nfs/dbraw/zinc/82/01/12/872820112.db2.gz AONCTTPULWAEES-UHFFFAOYSA-N -1 1 320.401 1.264 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1CCCC1(C)C ZINC001343955685 872820127 /nfs/dbraw/zinc/82/01/27/872820127.db2.gz AONCTTPULWAEES-UHFFFAOYSA-N -1 1 320.401 1.264 20 0 DDADMM C[C@H]1CCN(c2nnc(-c3nnn[n-]3)n2CCc2ccccc2)C1 ZINC001344410159 872997685 /nfs/dbraw/zinc/99/76/85/872997685.db2.gz DGKREZQUFCGJBX-LBPRGKRZSA-N -1 1 324.392 1.547 20 0 DDADMM C[C@H]1CCN(c2nnc(-c3nn[n-]n3)n2CCc2ccccc2)C1 ZINC001344410159 872997693 /nfs/dbraw/zinc/99/76/93/872997693.db2.gz DGKREZQUFCGJBX-LBPRGKRZSA-N -1 1 324.392 1.547 20 0 DDADMM O=C(COC(=O)c1ncc(Cl)s1)[N-]C(=O)c1ccccc1 ZINC001344430973 873002557 /nfs/dbraw/zinc/00/25/57/873002557.db2.gz FSQHSSSCMPDYQU-UHFFFAOYSA-N -1 1 324.745 1.910 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N(C)[C@@H](C)C1CC1 ZINC001344467680 873015671 /nfs/dbraw/zinc/01/56/71/873015671.db2.gz JJUXWHBOUZUYLQ-JTQLQIEISA-N -1 1 320.401 1.120 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N(C)[C@@H](C)C1CC1 ZINC001344467680 873015686 /nfs/dbraw/zinc/01/56/86/873015686.db2.gz JJUXWHBOUZUYLQ-JTQLQIEISA-N -1 1 320.401 1.120 20 0 DDADMM COCC[C@](C)(O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001361943202 882682861 /nfs/dbraw/zinc/68/28/61/882682861.db2.gz IGINMKXXUUCRFT-ZDUSSCGKSA-N -1 1 321.295 1.928 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)c(C)s1 ZINC001382093355 883403389 /nfs/dbraw/zinc/40/33/89/883403389.db2.gz AOPYFDJXBBPMGI-QMMMGPOBSA-N -1 1 323.422 1.439 20 0 DDADMM CS(=O)(=O)[C@H]1CSCCN1C(=O)c1cccc([O-])c1F ZINC001361995129 882784459 /nfs/dbraw/zinc/78/44/59/882784459.db2.gz QRXMYBIHXFDKRS-JTQLQIEISA-N -1 1 319.379 1.091 20 0 DDADMM C[C@]1(CC(=O)N2CC[C@H](c3nn[n-]n3)C2)CC1(Cl)Cl ZINC001347196441 874049307 /nfs/dbraw/zinc/04/93/07/874049307.db2.gz DQECHHQETHXUFI-XVKPBYJWSA-N -1 1 304.181 1.490 20 0 DDADMM CC(=Cc1ccco1)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001347307482 874093554 /nfs/dbraw/zinc/09/35/54/874093554.db2.gz SWVATCAORZAAIY-OBIHZWKSSA-N -1 1 301.350 1.677 20 0 DDADMM COC/C(C)=C\C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001347468748 874153427 /nfs/dbraw/zinc/15/34/27/874153427.db2.gz ZJMHUGPGQKYDTP-FLIBITNWSA-N -1 1 321.377 1.669 20 0 DDADMM CC(C)CCCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209774928 875114707 /nfs/dbraw/zinc/11/47/07/875114707.db2.gz GECQRYZRLPLVPJ-LBPRGKRZSA-N -1 1 309.414 1.417 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@H]2OCCC[C@H]2C1 ZINC001349331899 875115016 /nfs/dbraw/zinc/11/50/16/875115016.db2.gz VRVQJBTZVNDETC-UWVGGRQHSA-N -1 1 309.391 1.420 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1Nc1cnc(N2CCC2)nc1 ZINC001210718982 875498143 /nfs/dbraw/zinc/49/81/43/875498143.db2.gz PLTWQKQECYVNTC-UHFFFAOYSA-N -1 1 305.323 1.613 20 0 DDADMM COc1c(NS(=O)(=O)c2cccc(N)c2)cccc1C(=O)[O-] ZINC001211037118 875635818 /nfs/dbraw/zinc/63/58/18/875635818.db2.gz HFSVXMQYWSMYKL-UHFFFAOYSA-N -1 1 322.342 1.776 20 0 DDADMM COCc1cncc([N-]S(=O)(=O)c2ccc(N)c(C)c2)c1 ZINC001211079337 875650380 /nfs/dbraw/zinc/65/03/80/875650380.db2.gz ALVDKMXVCGTYCR-UHFFFAOYSA-N -1 1 307.375 1.919 20 0 DDADMM COC(=O)[N-]S(=O)(=O)c1ccc(Nc2cncn2C)cc1 ZINC001211252013 875728911 /nfs/dbraw/zinc/72/89/11/875728911.db2.gz VMKPAUKZELAMGN-UHFFFAOYSA-N -1 1 310.335 1.208 20 0 DDADMM O=c1[n-]c(=S)[nH]cc1-c1nc(-c2ccc3c(c2)OCO3)no1 ZINC001213866706 876086668 /nfs/dbraw/zinc/08/66/68/876086668.db2.gz GUZYRBRLLLCLJN-UHFFFAOYSA-N -1 1 316.298 1.917 20 0 DDADMM CC(C)[C@@H](CNC(=O)C(C1CC1)C1CC1)NCc1n[nH]c(=O)[n-]1 ZINC001378998625 876106471 /nfs/dbraw/zinc/10/64/71/876106471.db2.gz NUPRTMQWICLNBX-GFCCVEGCSA-N -1 1 321.425 1.177 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC(C)(CO)CC1 ZINC000401783990 876688969 /nfs/dbraw/zinc/68/89/69/876688969.db2.gz YGKRHYUKRJFTDJ-UHFFFAOYSA-N -1 1 307.394 1.849 20 0 DDADMM CCOC(=O)c1nonc1[N-]c1ccc(OC)c2n[nH]cc21 ZINC001215747620 876798611 /nfs/dbraw/zinc/79/86/11/876798611.db2.gz QFVAZLGQMNZBBY-UHFFFAOYSA-N -1 1 303.278 1.875 20 0 DDADMM O=C(CCc1ccc2[nH]ccc2c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001352583195 876814828 /nfs/dbraw/zinc/81/48/28/876814828.db2.gz BGTXCYSPNAVRLY-ZDUSSCGKSA-N -1 1 310.361 1.630 20 0 DDADMM CCC[C@H](C)C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001381962917 883069551 /nfs/dbraw/zinc/06/95/51/883069551.db2.gz NQKWYWVQANKQKP-NWDGAFQWSA-N -1 1 307.394 1.800 20 0 DDADMM COc1ncc(Nc2ncccc2CO)cc1[N-]S(C)(=O)=O ZINC001216152629 876880328 /nfs/dbraw/zinc/88/03/28/876880328.db2.gz XKKADIJHLOZNGV-UHFFFAOYSA-N -1 1 324.362 1.093 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@H](c2nccs2)C1 ZINC001352750856 876906630 /nfs/dbraw/zinc/90/66/30/876906630.db2.gz RQMHTMYODVAGST-MRVPVSSYSA-N -1 1 322.415 1.866 20 0 DDADMM CC(C)(C)OCCCC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001352792683 876934788 /nfs/dbraw/zinc/93/47/88/876934788.db2.gz AWBSIAZWDIMSEJ-LBPRGKRZSA-N -1 1 309.414 1.576 20 0 DDADMM CCS(=O)(=O)CCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001362119965 883089170 /nfs/dbraw/zinc/08/91/70/883089170.db2.gz YOGIZCKQKLGBBY-UHFFFAOYSA-N -1 1 323.370 1.416 20 0 DDADMM C[C@H](C[NH+](C)C)Oc1[n-]c(=O)nc2nc(Br)[n-]c21 ZINC001227594884 883095315 /nfs/dbraw/zinc/09/53/15/883095315.db2.gz RIUHALVLIODUSR-RXMQYKEDSA-N -1 1 316.159 1.150 20 0 DDADMM CCC[C@@H](C)C(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001395542873 912228315 /nfs/dbraw/zinc/22/83/15/912228315.db2.gz WYGVGPPZQLBWGM-VXGBXAGGSA-N -1 1 307.394 1.800 20 0 DDADMM CCCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)c2cnncc2[O-])C1 ZINC001353541428 877407091 /nfs/dbraw/zinc/40/70/91/877407091.db2.gz PJOXQKQKZLMQRM-RYUDHWBXSA-N -1 1 320.393 1.339 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NCCN(C(=O)c1ncccc1[O-])C1CC1 ZINC001374224475 912243892 /nfs/dbraw/zinc/24/38/92/912243892.db2.gz LWQGZCIXFMQFLN-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)[C@H](F)C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001379733826 877856960 /nfs/dbraw/zinc/85/69/60/877856960.db2.gz BNCSJSBNQFGHOW-LRDDRELGSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CCCC1(C)C ZINC001374271712 912388460 /nfs/dbraw/zinc/38/84/60/912388460.db2.gz PABZPVFQJKOYBZ-MNOVXSKESA-N -1 1 309.414 1.273 20 0 DDADMM COC(=O)[C@H](NCc1cc(=O)oc2cc([O-])ccc12)C(C)C ZINC000315121523 878960711 /nfs/dbraw/zinc/96/07/11/878960711.db2.gz SIUMLCZEBVNPAK-OAHLLOKOSA-N -1 1 305.330 1.786 20 0 DDADMM CC/C=C(/C)C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001357173637 879836264 /nfs/dbraw/zinc/83/62/64/879836264.db2.gz KPEAUSGQWCGXJA-GHXNOFRVSA-N -1 1 305.378 1.674 20 0 DDADMM CCCC[C@H](CCC)CNC(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC001357309894 879905716 /nfs/dbraw/zinc/90/57/16/879905716.db2.gz QESBIZSKOQWIIL-WFASDCNBSA-N -1 1 300.399 1.152 20 0 DDADMM CCCC[C@@H](CCC)CNC(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC001357309891 879905743 /nfs/dbraw/zinc/90/57/43/879905743.db2.gz QESBIZSKOQWIIL-IUODEOHRSA-N -1 1 300.399 1.152 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1cc[nH]c1 ZINC001380691533 880201060 /nfs/dbraw/zinc/20/10/60/880201060.db2.gz KCIRVQHGHLGASE-JTQLQIEISA-N -1 1 302.334 1.006 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](F)[C@@H](OCc2nn[n-]n2)C1 ZINC001223029604 880790394 /nfs/dbraw/zinc/79/03/94/880790394.db2.gz NSLYPZQMXKCZNU-IUCAKERBSA-N -1 1 301.322 1.064 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)c2ccc([O-])cc2F)C1 ZINC001362165317 883192884 /nfs/dbraw/zinc/19/28/84/883192884.db2.gz OJZOWONUZQWZNB-MNOVXSKESA-N -1 1 308.353 1.908 20 0 DDADMM O=C(c1cccc2c1COCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362208175 883302438 /nfs/dbraw/zinc/30/24/38/883302438.db2.gz LWIWMACTIKDAKQ-GFCCVEGCSA-N -1 1 313.361 1.292 20 0 DDADMM COC(=O)[C@H](c1ccccc1)N(C)C(=O)c1cnncc1[O-] ZINC001362223130 883339577 /nfs/dbraw/zinc/33/95/77/883339577.db2.gz VLAHNCLTSCTFGO-ZDUSSCGKSA-N -1 1 301.302 1.169 20 0 DDADMM C[C@@](NC(=O)c1cccc([O-])c1F)(C(N)=O)c1ccccc1 ZINC001362227325 883351689 /nfs/dbraw/zinc/35/16/89/883351689.db2.gz LMOBGWNZIIGENP-INIZCTEOSA-N -1 1 302.305 1.662 20 0 DDADMM CCOC(=O)CC[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362261631 883431267 /nfs/dbraw/zinc/43/12/67/883431267.db2.gz MMTCDBVZNKQYMM-SECBINFHSA-N -1 1 307.350 1.521 20 0 DDADMM COc1ccccc1O[C@H](C)CNC(=O)CCCc1nn[n-]n1 ZINC001362328005 883576343 /nfs/dbraw/zinc/57/63/43/883576343.db2.gz CYLUQRMTLMHFRM-LLVKDONJSA-N -1 1 319.365 1.115 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2ccc([O-])c(F)c2)cn1 ZINC001362380477 883681337 /nfs/dbraw/zinc/68/13/37/883681337.db2.gz UBUYZONRFUQKIR-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM NC(=O)CCC1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001362592634 884172675 /nfs/dbraw/zinc/17/26/75/884172675.db2.gz BUQJZKPRXPPNEZ-UHFFFAOYSA-N -1 1 318.377 1.177 20 0 DDADMM COC(=O)c1c[n-]c(=O)nc1OC[C@@H]1CC[C@]2(CCCCO2)O1 ZINC001229873801 884206551 /nfs/dbraw/zinc/20/65/51/884206551.db2.gz YERDAHRDZODPLI-BONVTDFDSA-N -1 1 324.333 1.423 20 0 DDADMM CO[C@@H]1C[C@H]1C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001362613380 884222820 /nfs/dbraw/zinc/22/28/20/884222820.db2.gz PPVAXUUSEGSCNI-QCLAVDOMSA-N -1 1 308.300 1.079 20 0 DDADMM CCC(CC)(NC(=O)c1cncc(C(C)=O)c1)c1nn[n-]n1 ZINC001362622336 884247430 /nfs/dbraw/zinc/24/74/30/884247430.db2.gz AHBVUKRDGSRJDK-UHFFFAOYSA-N -1 1 302.338 1.243 20 0 DDADMM CCC(CC)(NC(=O)c1cc(C2CC2)nn1C)c1nn[n-]n1 ZINC001362627209 884259090 /nfs/dbraw/zinc/25/90/90/884259090.db2.gz XDZCXQGFDGHVNE-UHFFFAOYSA-N -1 1 303.370 1.256 20 0 DDADMM CCOC(=O)[C@H](Oc1nc(C)[n-]c(=O)c1OC)C(F)(F)F ZINC001230025596 884283350 /nfs/dbraw/zinc/28/33/50/884283350.db2.gz KKYNTFDHNICGKG-ZETCQYMHSA-N -1 1 310.228 1.372 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1C ZINC001362668617 884370060 /nfs/dbraw/zinc/37/00/60/884370060.db2.gz ZQWZRKKRPUHYSJ-GFCCVEGCSA-N -1 1 301.350 1.537 20 0 DDADMM Cc1cccc(C)c1NCC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001362719747 884480030 /nfs/dbraw/zinc/48/00/30/884480030.db2.gz NMJUKAOFMXCDGC-UHFFFAOYSA-N -1 1 302.334 1.233 20 0 DDADMM C[C@]1(F)CCCN(C(=O)c2nc[n-]c(=O)c2Br)C1 ZINC001362740558 884524798 /nfs/dbraw/zinc/52/47/98/884524798.db2.gz LHFHBMXEZOIPRX-NSHDSACASA-N -1 1 318.146 1.909 20 0 DDADMM CCON1CCC(CC(=O)N(Cc2nn[n-]n2)CC(C)C)CC1 ZINC001362831035 884746808 /nfs/dbraw/zinc/74/68/08/884746808.db2.gz NLTRUTQRFRLLNK-UHFFFAOYSA-N -1 1 324.429 1.238 20 0 DDADMM Cc1cc(C)n([C@@H](C)CC(=O)N(Cc2nn[n-]n2)CC(C)C)n1 ZINC001362833520 884751210 /nfs/dbraw/zinc/75/12/10/884751210.db2.gz UYNXEWQUXKUJKT-ZDUSSCGKSA-N -1 1 319.413 1.649 20 0 DDADMM C[C@@H](Oc1ccccc1F)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001362850050 884792758 /nfs/dbraw/zinc/79/27/58/884792758.db2.gz LCBOXEUQWLFMAU-SECBINFHSA-N -1 1 305.313 1.302 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)c1c(C)nn(C)c1C)c1nn[n-]n1 ZINC001362851493 884794674 /nfs/dbraw/zinc/79/46/74/884794674.db2.gz BTFKRAMUJKJBFT-SECBINFHSA-N -1 1 319.413 1.485 20 0 DDADMM CCOc1ccc(CC(=O)NC(CC)(CC)c2nn[n-]n2)cc1 ZINC001362859021 884816029 /nfs/dbraw/zinc/81/60/29/884816029.db2.gz UDNHDRZCNYHLGF-UHFFFAOYSA-N -1 1 317.393 1.973 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CC(F)(F)F ZINC001362885153 884883661 /nfs/dbraw/zinc/88/36/61/884883661.db2.gz CGXPMFQTOVDXNS-SSDOTTSWSA-N -1 1 320.271 1.597 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CC(F)(F)F ZINC001362885153 884883678 /nfs/dbraw/zinc/88/36/78/884883678.db2.gz CGXPMFQTOVDXNS-SSDOTTSWSA-N -1 1 320.271 1.597 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CC(F)(F)F ZINC001362885153 884883687 /nfs/dbraw/zinc/88/36/87/884883687.db2.gz CGXPMFQTOVDXNS-SSDOTTSWSA-N -1 1 320.271 1.597 20 0 DDADMM Cc1cnc(OC(C)C)c(NC(=O)CCCc2nn[n-]n2)c1 ZINC001362931628 885015496 /nfs/dbraw/zinc/01/54/96/885015496.db2.gz OUCXDWYFULADEY-UHFFFAOYSA-N -1 1 304.354 1.652 20 0 DDADMM COC[C@]1(C(=O)OC)CCCN1C(=O)c1cccc([O-])c1F ZINC001362953044 885069351 /nfs/dbraw/zinc/06/93/51/885069351.db2.gz ZWLJVYVVGLOTKD-HNNXBMFYSA-N -1 1 311.309 1.326 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H]1CCn2ccnc2C1 ZINC001362968008 885110762 /nfs/dbraw/zinc/11/07/62/885110762.db2.gz CYCOZFSZPKIQIO-VIFPVBQESA-N -1 1 319.390 1.154 20 0 DDADMM COc1cncc(C(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC001362972115 885119705 /nfs/dbraw/zinc/11/97/05/885119705.db2.gz MXAMUYGIJKESKM-UHFFFAOYSA-N -1 1 309.272 1.442 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2C[C@@H](F)[C@@H](F)C2)c(=O)[n-]1 ZINC001363021055 885246786 /nfs/dbraw/zinc/24/67/86/885246786.db2.gz UZWNKVSTEDROKT-AOOOYVTPSA-N -1 1 317.361 1.664 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cccc(=O)[nH]2)[n-]c1=O ZINC001363043460 885309270 /nfs/dbraw/zinc/30/92/70/885309270.db2.gz WWEAOJUESVEVNY-SNVBAGLBSA-N -1 1 316.317 1.269 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](C)c2ccccn2)n[n-]1 ZINC001363045120 885314874 /nfs/dbraw/zinc/31/48/74/885314874.db2.gz CLEIXEIPJZLIDZ-ZJUUUORDSA-N -1 1 317.349 1.357 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](C)c2ccccn2)[n-]1 ZINC001363045120 885314891 /nfs/dbraw/zinc/31/48/91/885314891.db2.gz CLEIXEIPJZLIDZ-ZJUUUORDSA-N -1 1 317.349 1.357 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](C)c2ccccn2)n1 ZINC001363045120 885314914 /nfs/dbraw/zinc/31/49/14/885314914.db2.gz CLEIXEIPJZLIDZ-ZJUUUORDSA-N -1 1 317.349 1.357 20 0 DDADMM COC(=O)[C@@](C)(Cn1cccn1)NC(=O)c1cccc([O-])c1F ZINC001363046221 885320465 /nfs/dbraw/zinc/32/04/65/885320465.db2.gz AIYVVLJITJKIMC-OAHLLOKOSA-N -1 1 321.308 1.090 20 0 DDADMM CN(C(=O)C[C@@H]1CCC[C@H]1NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001363055527 885347461 /nfs/dbraw/zinc/34/74/61/885347461.db2.gz SVXSAYILIROGRL-VHSXEESVSA-N -1 1 324.385 1.246 20 0 DDADMM O=C([O-])c1ccc(CN2CCC(N3CCOC3=O)CC2)s1 ZINC001231464969 885685818 /nfs/dbraw/zinc/68/58/18/885685818.db2.gz XBEJLGAKAQTHDE-UHFFFAOYSA-N -1 1 310.375 1.863 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2Cc3ccccc3N(C)C2=O)c([O-])c1 ZINC001363230934 885771318 /nfs/dbraw/zinc/77/13/18/885771318.db2.gz HWVOZPCTILOWFJ-LBPRGKRZSA-N -1 1 311.341 1.413 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(COC)CCCC2)n[n-]1 ZINC001363232680 885777402 /nfs/dbraw/zinc/77/74/02/885777402.db2.gz SCOHTDGOSAFABU-JTQLQIEISA-N -1 1 324.381 1.365 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(COC)CCCC2)[n-]1 ZINC001363232680 885777414 /nfs/dbraw/zinc/77/74/14/885777414.db2.gz SCOHTDGOSAFABU-JTQLQIEISA-N -1 1 324.381 1.365 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(COC)CCCC2)n1 ZINC001363232680 885777423 /nfs/dbraw/zinc/77/74/23/885777423.db2.gz SCOHTDGOSAFABU-JTQLQIEISA-N -1 1 324.381 1.365 20 0 DDADMM CC(C)(C)C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001383457882 885827218 /nfs/dbraw/zinc/82/72/18/885827218.db2.gz GPTUKURWGJDFIL-XYPYZODXSA-N -1 1 305.378 1.458 20 0 DDADMM CCC[C@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001383459098 885829277 /nfs/dbraw/zinc/82/92/77/885829277.db2.gz LQCWHJZRCRZOOC-AVGNSLFASA-N -1 1 319.405 1.848 20 0 DDADMM O=C([C@@H]1CCCO1)N1CCN(Cc2ccc(Cl)c([O-])c2)CC1 ZINC001231732776 885841083 /nfs/dbraw/zinc/84/10/83/885841083.db2.gz FSONLIMEJCDGJQ-HNNXBMFYSA-N -1 1 324.808 1.869 20 0 DDADMM COc1ccc(CN2CCC[C@]3(CNC(=O)O3)C2)cc1C(=O)[O-] ZINC001231753914 885857521 /nfs/dbraw/zinc/85/75/21/885857521.db2.gz NNAATHUVVGRMQI-INIZCTEOSA-N -1 1 320.345 1.468 20 0 DDADMM COC(=O)[C@H]1[C@@H]2CN(Cc3ccccc3OCC(=O)[O-])C[C@@H]21 ZINC001231796109 885880094 /nfs/dbraw/zinc/88/00/94/885880094.db2.gz IAFQKADTPMSUAH-JYAVWHMHSA-N -1 1 305.330 1.001 20 0 DDADMM O=C(NC[C@@H]1CCC[C@H]1O)c1c([O-])cnc2c(F)cccc21 ZINC001363312241 885986892 /nfs/dbraw/zinc/98/68/92/885986892.db2.gz UJFLVSPYCKSWDB-JOYOIKCWSA-N -1 1 304.321 1.970 20 0 DDADMM O=C(CC1CCC2(CC1)OCCO2)NC1(c2nn[n-]n2)CCC1 ZINC001363376112 886170115 /nfs/dbraw/zinc/17/01/15/886170115.db2.gz MKXVYTOQSHEWJV-UHFFFAOYSA-N -1 1 321.381 1.019 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1cc(C)[nH]c(=O)c1 ZINC001363390467 886203335 /nfs/dbraw/zinc/20/33/35/886203335.db2.gz BAENAEBOXMFXKH-UHFFFAOYSA-N -1 1 320.374 1.552 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363400737 886233496 /nfs/dbraw/zinc/23/34/96/886233496.db2.gz RWYBYQWDDJDNGT-BXKDBHETSA-N -1 1 317.397 1.124 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(CC(C)C)cc1)c1nn[n-]n1 ZINC001363414296 886274357 /nfs/dbraw/zinc/27/43/57/886274357.db2.gz LDWLKPHWANJACZ-CYBMUJFWSA-N -1 1 303.366 1.516 20 0 DDADMM COC[C@H](NC(=O)Cc1ccc(CC(C)C)cc1)c1nn[n-]n1 ZINC001363428531 886311190 /nfs/dbraw/zinc/31/11/90/886311190.db2.gz APHMMIMAVPDUIP-AWEZNQCLSA-N -1 1 317.393 1.445 20 0 DDADMM C[C@H](NC(=O)c1cnncc1[O-])c1noc(C(F)(F)F)n1 ZINC001363452347 886378590 /nfs/dbraw/zinc/37/85/90/886378590.db2.gz VGDCJCMJCUNZIM-BYPYZUCNSA-N -1 1 303.200 1.075 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)c1csnn1 ZINC001363458732 886394531 /nfs/dbraw/zinc/39/45/31/886394531.db2.gz WYYXYPRXRLOYAQ-SSDOTTSWSA-N -1 1 307.379 1.957 20 0 DDADMM [O-]c1cccc(CN2CCN(c3ncncn3)CC2)c1Cl ZINC001232789495 886589728 /nfs/dbraw/zinc/58/97/28/886589728.db2.gz JSTYSZVDBRNSIY-UHFFFAOYSA-N -1 1 305.769 1.553 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc2c(c1)OCCCO2 ZINC001363547268 886605754 /nfs/dbraw/zinc/60/57/54/886605754.db2.gz GJMCBYRMTCBRCV-UHFFFAOYSA-N -1 1 315.333 1.170 20 0 DDADMM CCC[C@H](O)CC(=O)N1CCC[C@H]1c1nn[n-]c1C(=O)OCC ZINC001363556097 886628309 /nfs/dbraw/zinc/62/83/09/886628309.db2.gz HGYYJXCAOSJEHN-QWRGUYRKSA-N -1 1 324.381 1.196 20 0 DDADMM CCC[C@H](O)CC(=O)N1CCC[C@H]1c1[n-]nnc1C(=O)OCC ZINC001363556097 886628323 /nfs/dbraw/zinc/62/83/23/886628323.db2.gz HGYYJXCAOSJEHN-QWRGUYRKSA-N -1 1 324.381 1.196 20 0 DDADMM CCC[C@H](O)CC(=O)N1CCC[C@H]1c1n[n-]nc1C(=O)OCC ZINC001363556097 886628332 /nfs/dbraw/zinc/62/83/32/886628332.db2.gz HGYYJXCAOSJEHN-QWRGUYRKSA-N -1 1 324.381 1.196 20 0 DDADMM CN(C)C(=O)NC1CCN(Cc2cc([O-])c(F)c(F)c2)CC1 ZINC001232973531 886702008 /nfs/dbraw/zinc/70/20/08/886702008.db2.gz DKIRMPVDNDCTDR-UHFFFAOYSA-N -1 1 313.348 1.906 20 0 DDADMM CO[C@H]1CCC[C@@H]1C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001363622664 886791977 /nfs/dbraw/zinc/79/19/77/886791977.db2.gz KRHSNSDVPPYERV-QWRGUYRKSA-N -1 1 309.366 1.012 20 0 DDADMM CCCOc1ccccc1C(=O)CNC(=O)c1cnncc1[O-] ZINC001363630258 886816134 /nfs/dbraw/zinc/81/61/34/886816134.db2.gz ZEUNGHXPMYCCHO-UHFFFAOYSA-N -1 1 315.329 1.584 20 0 DDADMM COC[C@H](C)[C@H](C)C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001363647220 886876896 /nfs/dbraw/zinc/87/68/96/886876896.db2.gz DOCXYQRPQPRDDN-UWVGGRQHSA-N -1 1 311.382 1.116 20 0 DDADMM Cc1oc(NC(=O)CNC(=O)c2ncccc2[O-])nc1C1CC1 ZINC001363651680 886889019 /nfs/dbraw/zinc/88/90/19/886889019.db2.gz KLIYJQLCHIIGML-UHFFFAOYSA-N -1 1 316.317 1.330 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OCc1ccnc(OC(C)C)c1 ZINC001233762588 887297561 /nfs/dbraw/zinc/29/75/61/887297561.db2.gz SROXYPSGKRWTER-UHFFFAOYSA-N -1 1 319.317 1.730 20 0 DDADMM CC(C)(CNC(N)=O)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001363841601 887367409 /nfs/dbraw/zinc/36/74/09/887367409.db2.gz SBKWLAWFSUTAQD-UHFFFAOYSA-N -1 1 319.283 1.588 20 0 DDADMM O=C(N[C@H]1c2ccccc2OC[C@H]1CO)c1ccc([O-])cn1 ZINC001363899222 887498868 /nfs/dbraw/zinc/49/88/68/887498868.db2.gz RZRKRLKCUOLLOX-MEBBXXQBSA-N -1 1 300.314 1.259 20 0 DDADMM NC(=O)c1ncc([N-]C(=O)c2ncoc2C(F)(F)F)s1 ZINC001363961639 887619914 /nfs/dbraw/zinc/61/99/14/887619914.db2.gz BQGPYOUGZOJWBT-UHFFFAOYSA-N -1 1 306.225 1.501 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H]2OC)sn1 ZINC001363963177 887624125 /nfs/dbraw/zinc/62/41/25/887624125.db2.gz GAQPXBDPEVVSFY-DTWKUNHWSA-N -1 1 306.409 1.245 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C)c2ncc[nH]2)cc1C ZINC001364006552 887711555 /nfs/dbraw/zinc/71/15/55/887711555.db2.gz MHICHDCBYCUFRP-MRVPVSSYSA-N -1 1 313.335 1.137 20 0 DDADMM COC[C@H](NC(=O)c1cc(C)n(C2CC2)c1C)c1nn[n-]n1 ZINC001364018533 887732348 /nfs/dbraw/zinc/73/23/48/887732348.db2.gz BIDKOPBVZQBHPY-LBPRGKRZSA-N -1 1 304.354 1.070 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCOCC12CCCC2 ZINC001364073498 887853862 /nfs/dbraw/zinc/85/38/62/887853862.db2.gz QMJNDSDURSYQHQ-SNVBAGLBSA-N -1 1 315.395 1.193 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H](c3ncccn3)C3CC3)ccnc1-2 ZINC001364116713 887960861 /nfs/dbraw/zinc/96/08/61/887960861.db2.gz RYZPUDZFTUYZNN-CYBMUJFWSA-N -1 1 323.360 1.405 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1OC1CCC(=O)CC1 ZINC001234554153 888088366 /nfs/dbraw/zinc/08/83/66/888088366.db2.gz KTZZMPMZMQYRDY-UHFFFAOYSA-N -1 1 308.334 1.983 20 0 DDADMM CCCOC(=O)[C@H](C)Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O ZINC001234561371 888096754 /nfs/dbraw/zinc/09/67/54/888096754.db2.gz LVFGABDLGNOHSL-QMMMGPOBSA-N -1 1 311.338 1.125 20 0 DDADMM CC(C)c1nc(C(N)=O)c(OC2Cc3ccccc3C2)c(=O)[n-]1 ZINC001234560531 888098518 /nfs/dbraw/zinc/09/85/18/888098518.db2.gz FSWQZHVSVIWATO-UHFFFAOYSA-N -1 1 313.357 1.951 20 0 DDADMM Cc1nn(C)cc1[C@@H](C)Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O ZINC001234563428 888102214 /nfs/dbraw/zinc/10/22/14/888102214.db2.gz XYIDTVCJZIVEIZ-SECBINFHSA-N -1 1 319.365 1.586 20 0 DDADMM CCOC(=O)C[C@@H](C)Oc1[n-]c(=O)c(F)cc1C(=O)OC ZINC001234609848 888136441 /nfs/dbraw/zinc/13/64/41/888136441.db2.gz QUNRISLLGNVRER-SSDOTTSWSA-N -1 1 301.270 1.433 20 0 DDADMM CC[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001384964941 888282085 /nfs/dbraw/zinc/28/20/85/888282085.db2.gz YWXWYRLGGSSHIC-NDBYEHHHSA-N -1 1 317.389 1.695 20 0 DDADMM CC(C)n1cnnc1CN(C)Cc1nc2c(c(=O)[n-]1)COCC2 ZINC001364414859 888585030 /nfs/dbraw/zinc/58/50/30/888585030.db2.gz JRDDXWHEYXHVRK-UHFFFAOYSA-N -1 1 318.381 1.059 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCO[C@@H](C(C)C)C2)n[n-]1 ZINC001364503104 888784915 /nfs/dbraw/zinc/78/49/15/888784915.db2.gz DBUSOHAXANTSSE-WDEREUQCSA-N -1 1 324.381 1.049 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCO[C@@H](C(C)C)C2)n1 ZINC001364503104 888784928 /nfs/dbraw/zinc/78/49/28/888784928.db2.gz DBUSOHAXANTSSE-WDEREUQCSA-N -1 1 324.381 1.049 20 0 DDADMM CC(C)(C)[C@@H]1CCN(Cc2cc(=O)n3[n-]ccc3n2)CCO1 ZINC001364564135 888934287 /nfs/dbraw/zinc/93/42/87/888934287.db2.gz OOMROADMWIMROR-ZDUSSCGKSA-N -1 1 304.394 1.660 20 0 DDADMM Cn1nccc1C1(O)CN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC001364574954 888957737 /nfs/dbraw/zinc/95/77/37/888957737.db2.gz HNAQFUMFNDZGEY-UHFFFAOYSA-N -1 1 307.737 1.123 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCC[C@@H]2C)[n-]n1 ZINC001364750480 889333802 /nfs/dbraw/zinc/33/38/02/889333802.db2.gz NNCJLVQTKHHYFI-DTWKUNHWSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCC[C@@H]2C)n[n-]1 ZINC001364750480 889333814 /nfs/dbraw/zinc/33/38/14/889333814.db2.gz NNCJLVQTKHHYFI-DTWKUNHWSA-N -1 1 301.368 1.053 20 0 DDADMM CC1=CC[C@](C)(C(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)CC1 ZINC001278017822 889383571 /nfs/dbraw/zinc/38/35/71/889383571.db2.gz PTTVRFKLJZYEMV-WBMJQRKESA-N -1 1 321.425 1.583 20 0 DDADMM CC[C@H]1CCCCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364773473 889384568 /nfs/dbraw/zinc/38/45/68/889384568.db2.gz PJWSZPUZGGCSTK-VIFPVBQESA-N -1 1 301.368 1.150 20 0 DDADMM CC[C@H]1CCCCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364773473 889384577 /nfs/dbraw/zinc/38/45/77/889384577.db2.gz PJWSZPUZGGCSTK-VIFPVBQESA-N -1 1 301.368 1.150 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@](C)(O)CCC(C)C)sn1 ZINC001364782949 889406314 /nfs/dbraw/zinc/40/63/14/889406314.db2.gz SOKMRZCXUBVAPO-LBPRGKRZSA-N -1 1 322.452 1.617 20 0 DDADMM COc1cc(CS(=O)(=O)[N-][C@@H](C)c2noc(C)n2)sn1 ZINC001364806637 889456718 /nfs/dbraw/zinc/45/67/18/889456718.db2.gz RFBRFLBITZDQQS-LURJTMIESA-N -1 1 318.380 1.024 20 0 DDADMM O=S(=O)([N-]C1([C@H]2CCCO2)CCC1)c1c[nH]nc1Cl ZINC001365000325 889860368 /nfs/dbraw/zinc/86/03/68/889860368.db2.gz ABIUZBWXTKISET-SECBINFHSA-N -1 1 305.787 1.443 20 0 DDADMM CN(C1CN(Cc2ccc(F)cc2C(=O)[O-])C1)[C@H]1CCOC1 ZINC001238235384 890145022 /nfs/dbraw/zinc/14/50/22/890145022.db2.gz LVBSQXWUKGJDRM-ZDUSSCGKSA-N -1 1 308.353 1.429 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1nc(C)c(C)s1 ZINC001365136933 890176775 /nfs/dbraw/zinc/17/67/75/890176775.db2.gz ADJHZTJVHLIOKY-UHFFFAOYSA-N -1 1 319.433 1.704 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C[C@H]2CCOC2)[n-]c1=O ZINC001365142181 890187316 /nfs/dbraw/zinc/18/73/16/890187316.db2.gz DFKIAJYGDVUOKQ-MNOVXSKESA-N -1 1 307.350 1.281 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)CC[C@@H]2C)[n-]n1 ZINC001365143993 890189311 /nfs/dbraw/zinc/18/93/11/890189311.db2.gz RKZDOZDFJMJSFK-BDAKNGLRSA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)CC[C@@H]2C)n[n-]1 ZINC001365143993 890189315 /nfs/dbraw/zinc/18/93/15/890189315.db2.gz RKZDOZDFJMJSFK-BDAKNGLRSA-N -1 1 301.368 1.005 20 0 DDADMM CNC(=O)NC(=O)CSc1nc(-c2ccccc2)cc(=O)[n-]1 ZINC001365260770 890453383 /nfs/dbraw/zinc/45/33/83/890453383.db2.gz SVPFTIKDMRPZND-UHFFFAOYSA-N -1 1 318.358 1.397 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H]1C[C@@H]2CC[C@H]1O2 ZINC001365266700 890464205 /nfs/dbraw/zinc/46/42/05/890464205.db2.gz XSBDORGVLWLWLH-CUOATXAZSA-N -1 1 312.316 1.832 20 0 DDADMM Cc1nnc(CNC(=O)NCCc2c(F)cc([O-])cc2F)o1 ZINC001365309765 890560358 /nfs/dbraw/zinc/56/03/58/890560358.db2.gz FLZLMKMYCBUQBM-UHFFFAOYSA-N -1 1 312.276 1.404 20 0 DDADMM CC(=O)NCc1cccc(-c2nc(C)cc3c2C(=O)[N-]C3=O)c1 ZINC001240348274 890910378 /nfs/dbraw/zinc/91/03/78/890910378.db2.gz BBOLZCSEOBMUOS-UHFFFAOYSA-N -1 1 309.325 1.577 20 0 DDADMM CN1CCN(C(=O)c2ccnc(-c3cc(F)ccc3[O-])c2)CC1 ZINC001240694875 891021410 /nfs/dbraw/zinc/02/14/10/891021410.db2.gz ZAWXEKZZPUOEBZ-UHFFFAOYSA-N -1 1 315.348 1.981 20 0 DDADMM O=C1CN(Cc2ccnc(-c3cc(F)ccc3[O-])c2)CCN1 ZINC001240696573 891022396 /nfs/dbraw/zinc/02/23/96/891022396.db2.gz SHGNPVOTGOYQMN-UHFFFAOYSA-N -1 1 301.321 1.525 20 0 DDADMM C[C@H]1CCN(Cc2ccc([O-])c(F)c2F)CCS1(=O)=O ZINC001365577026 891085903 /nfs/dbraw/zinc/08/59/03/891085903.db2.gz WLWSMUUWFMUCAX-VIFPVBQESA-N -1 1 305.346 1.679 20 0 DDADMM O=C([O-])c1cncc(-c2cccc3nc([C@@H]4CNCCO4)cn32)c1 ZINC001241802975 891273955 /nfs/dbraw/zinc/27/39/55/891273955.db2.gz MWKHTAQOXCYQBI-HNNXBMFYSA-N -1 1 324.340 1.755 20 0 DDADMM Cc1ccc2c(CC(=O)NC3(c4nn[n-]n4)CCC3)c[nH]c2c1 ZINC000737371916 913548644 /nfs/dbraw/zinc/54/86/44/913548644.db2.gz PWUATQUIYIRPBA-UHFFFAOYSA-N -1 1 310.361 1.728 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C/C=C/c2cccnc2)c1Cl ZINC001365720115 891393490 /nfs/dbraw/zinc/39/34/90/891393490.db2.gz BHYUPPWSCHHBNT-HWKANZROSA-N -1 1 312.782 1.460 20 0 DDADMM O=S(=O)(Cc1noc2c1CCCC2)[N-]C1(c2ncon2)CC1 ZINC001365741293 891434396 /nfs/dbraw/zinc/43/43/96/891434396.db2.gz LIBJPDAWEJHCFB-UHFFFAOYSA-N -1 1 324.362 1.045 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)[C@H](C)COC ZINC001396297120 913557858 /nfs/dbraw/zinc/55/78/58/913557858.db2.gz MWVNENQCAIKZTF-VXGBXAGGSA-N -1 1 323.393 1.037 20 0 DDADMM CCOc1cc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)ccn1 ZINC001244792293 891928719 /nfs/dbraw/zinc/92/87/19/891928719.db2.gz QJCZZDTXNILFGZ-UHFFFAOYSA-N -1 1 323.374 1.922 20 0 DDADMM COc1ncc(-c2ccc3nccn3c2)cc1[N-]S(C)(=O)=O ZINC001244794536 891932082 /nfs/dbraw/zinc/93/20/82/891932082.db2.gz PVUDLXSBOHSLHN-UHFFFAOYSA-N -1 1 318.358 1.776 20 0 DDADMM CCN(CCNC(=O)C1(CC)CC1)C(=O)c1ncccc1[O-] ZINC001387305520 892800051 /nfs/dbraw/zinc/80/00/51/892800051.db2.gz QPUXXPUSVAOUAZ-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM C[S@@](=O)CCCCNC(=S)Nc1ncc(Cl)cc1[O-] ZINC001246750281 892860877 /nfs/dbraw/zinc/86/08/77/892860877.db2.gz MRSKGQBSFLDYSE-LJQANCHMSA-N -1 1 321.855 1.886 20 0 DDADMM Cc1ncc(C(=O)N(C)CCNC(=O)c2ncccc2[O-])s1 ZINC001387696675 893658538 /nfs/dbraw/zinc/65/85/38/893658538.db2.gz PJFQCRFVMALPDF-UHFFFAOYSA-N -1 1 320.374 1.054 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccc2c(c1)OCC(=O)N2 ZINC001249615198 894065178 /nfs/dbraw/zinc/06/51/78/894065178.db2.gz DEVZRCHCYAYQDE-UHFFFAOYSA-N -1 1 305.319 1.128 20 0 DDADMM CCOC(=O)c1oc2ccccc2c1[N-]S(=O)(=O)N(C)C ZINC001251052200 894612318 /nfs/dbraw/zinc/61/23/18/894612318.db2.gz ZMPJXZNTFOEDJP-UHFFFAOYSA-N -1 1 312.347 1.828 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)nc2ccccc12 ZINC001251768002 894806988 /nfs/dbraw/zinc/80/69/88/894806988.db2.gz KQRULNTUXNLSGU-CQSZACIVSA-N -1 1 324.344 1.270 20 0 DDADMM O=C(NCCNC(=O)c1ncccc1[O-])c1c[nH]c2ccccc12 ZINC001292666199 913908216 /nfs/dbraw/zinc/90/82/16/913908216.db2.gz IFPDCODXOJYDLF-UHFFFAOYSA-N -1 1 324.340 1.428 20 0 DDADMM CC(C)CCC(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001366862357 895158665 /nfs/dbraw/zinc/15/86/65/895158665.db2.gz WCVFGYFNVUAYAP-LBPRGKRZSA-N -1 1 309.414 1.227 20 0 DDADMM CC1(C(=O)NC[C@H]2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001396548373 914032984 /nfs/dbraw/zinc/03/29/84/914032984.db2.gz BPEXVQISJBDDNL-NWDGAFQWSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1cc(C(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)sc1C ZINC001367403858 896680182 /nfs/dbraw/zinc/68/01/82/896680182.db2.gz MFTHGJVEMYKVKR-VIFPVBQESA-N -1 1 323.422 1.439 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1-c1nnc([C@@H]2CCOC2)o1 ZINC001255603345 897046544 /nfs/dbraw/zinc/04/65/44/897046544.db2.gz XWDCCCQDZJKGAI-SSDOTTSWSA-N -1 1 315.376 1.674 20 0 DDADMM C[C@H](Cc1ccccc1F)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001255835524 897139404 /nfs/dbraw/zinc/13/94/04/897139404.db2.gz ZWMIDHGVJLVYPS-SSDOTTSWSA-N -1 1 303.297 1.898 20 0 DDADMM COC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257508478 897868782 /nfs/dbraw/zinc/86/87/82/897868782.db2.gz OLMDBWFOBNSCDM-BYPYZUCNSA-N -1 1 318.203 1.895 20 0 DDADMM COc1cncc(C[N-]S(=O)(=O)c2c(F)cccc2F)c1 ZINC001259072440 898449977 /nfs/dbraw/zinc/44/99/77/898449977.db2.gz XHJOQKLLRGUGBX-UHFFFAOYSA-N -1 1 314.313 1.847 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-]c2cnn3c2OCCC3)cc1 ZINC001259259715 898553383 /nfs/dbraw/zinc/55/33/83/898553383.db2.gz BMBPIFNZAUFLKM-UHFFFAOYSA-N -1 1 307.375 1.916 20 0 DDADMM Cc1ncn(C)c1[N-]S(=O)(=O)c1ccc2c(c1)OCCO2 ZINC001259340361 898615776 /nfs/dbraw/zinc/61/57/76/898615776.db2.gz QAGQIEUIEQITAM-UHFFFAOYSA-N -1 1 309.347 1.301 20 0 DDADMM Cn1ccc([N-]S(=O)(=O)c2cccc(Cl)c2F)cc1=O ZINC001259471360 898685245 /nfs/dbraw/zinc/68/52/45/898685245.db2.gz PXUQSXIDAKLTGV-UHFFFAOYSA-N -1 1 316.741 1.979 20 0 DDADMM CC(C)(O)[C@H](F)C[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC001259473595 898687340 /nfs/dbraw/zinc/68/73/40/898687340.db2.gz WOLBZLBQAGGNKW-SECBINFHSA-N -1 1 313.753 1.866 20 0 DDADMM O=S(=O)(CCc1ccc(F)cc1)[N-]c1ncccc1CCO ZINC001259756312 898783112 /nfs/dbraw/zinc/78/31/12/898783112.db2.gz VBOIELYIYPOTHH-UHFFFAOYSA-N -1 1 324.377 1.740 20 0 DDADMM CC1(CCC(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001390157510 898812228 /nfs/dbraw/zinc/81/22/28/898812228.db2.gz JUUOFOQCRWOHSJ-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM COc1nccc([N-]S(=O)(=O)C(F)F)c1Br ZINC001259950516 898969599 /nfs/dbraw/zinc/96/95/99/898969599.db2.gz YAQAMHXFSKQVDV-UHFFFAOYSA-N -1 1 317.111 1.817 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnc2cc(C(C)(C)C)nn2c1 ZINC001259966552 898990948 /nfs/dbraw/zinc/99/09/48/898990948.db2.gz JNNUHYHNTMDZKZ-UHFFFAOYSA-N -1 1 312.395 1.415 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2ccc(CO)nc2)cc1 ZINC001260222378 899094921 /nfs/dbraw/zinc/09/49/21/899094921.db2.gz ZBOMJDGLOOLERB-UHFFFAOYSA-N -1 1 308.315 1.073 20 0 DDADMM CN1C[C@H]2[C@H](C1)CCCN2S(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC001260225874 899099782 /nfs/dbraw/zinc/09/97/82/899099782.db2.gz SUISUZNOMXJFLB-JSGCOSHPSA-N -1 1 324.402 1.100 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-]c1ccc(CO)cc1Cl ZINC001261030554 899332235 /nfs/dbraw/zinc/33/22/35/899332235.db2.gz FTDXEIATNMOIPS-UHFFFAOYSA-N -1 1 315.782 1.973 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@H]1CCCCN1Cc1cccnc1 ZINC001261224404 899424522 /nfs/dbraw/zinc/42/45/22/899424522.db2.gz HEUBLHBZPCRNRP-MRXNPFEDSA-N -1 1 321.421 1.909 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001299734782 899510700 /nfs/dbraw/zinc/51/07/00/899510700.db2.gz FZBCEHABKMGEHG-AWEZNQCLSA-N -1 1 320.389 1.354 20 0 DDADMM Cc1cc(C(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)cc(C)c1F ZINC001390530974 899616919 /nfs/dbraw/zinc/61/69/19/899616919.db2.gz IUJZIDPHCNSNPF-JTQLQIEISA-N -1 1 321.356 1.174 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)NCC1CC=CC1 ZINC001261982413 899878416 /nfs/dbraw/zinc/87/84/16/899878416.db2.gz BXEZNHMCYWBXTH-UHFFFAOYSA-N -1 1 308.765 1.744 20 0 DDADMM CC(C)(C)c1ccc(NC(=O)[C@]2(C(=O)[O-])CNCCO2)cc1F ZINC001263182056 900525429 /nfs/dbraw/zinc/52/54/29/900525429.db2.gz DZVSJMTUGRPGLX-INIZCTEOSA-N -1 1 324.352 1.505 20 0 DDADMM C[C@@H]1CN2CCN1C[C@H]2C(=O)Nc1ccc2[n-]c(=S)oc2c1 ZINC001263767431 900700553 /nfs/dbraw/zinc/70/05/53/900700553.db2.gz VJLPAMJPVXSNCU-SKDRFNHKSA-N -1 1 318.402 1.443 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1C[C@]2(C)COC[C@]2(C)C1 ZINC001263860849 900776839 /nfs/dbraw/zinc/77/68/39/900776839.db2.gz JEVXTTQJFOVRFE-OKILXGFUSA-N -1 1 309.391 1.278 20 0 DDADMM O=C(c1cccc(Cl)n1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001263911711 900814764 /nfs/dbraw/zinc/81/47/64/900814764.db2.gz QIGWDUPFHGSZLN-VIFPVBQESA-N -1 1 306.757 1.343 20 0 DDADMM O=C(CCC1CC1)NC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001370577682 903441733 /nfs/dbraw/zinc/44/17/33/903441733.db2.gz KCOOBBDTQSHUOV-YHWZYXNKSA-N -1 1 319.409 1.312 20 0 DDADMM O=C(CCC1CC1)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001370577682 903441738 /nfs/dbraw/zinc/44/17/38/903441738.db2.gz KCOOBBDTQSHUOV-YHWZYXNKSA-N -1 1 319.409 1.312 20 0 DDADMM CC/C(C)=C\C(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001280033780 903495771 /nfs/dbraw/zinc/49/57/71/903495771.db2.gz ZLGNHABDZATHBP-KGTBHZDVSA-N -1 1 307.398 1.195 20 0 DDADMM CC/C(C)=C/C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001280467981 903712345 /nfs/dbraw/zinc/71/23/45/903712345.db2.gz WJERIDSBAPOVLF-ZKQHCESOSA-N -1 1 305.378 1.720 20 0 DDADMM Cc1cnc(CN(C)CCN(C)C(=O)c2ncccc2[O-])o1 ZINC001392272006 903722021 /nfs/dbraw/zinc/72/20/21/903722021.db2.gz JCJWRIJWXBZRRN-UHFFFAOYSA-N -1 1 304.350 1.288 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CCSC ZINC001375061530 914828041 /nfs/dbraw/zinc/82/80/41/914828041.db2.gz VPAFEAWRQROAMT-SNVBAGLBSA-N -1 1 311.407 1.165 20 0 DDADMM CC(C)[C@H](F)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001371504403 905122933 /nfs/dbraw/zinc/12/29/33/905122933.db2.gz RJKBXHLTQHRIRW-WZRBSPASSA-N -1 1 323.368 1.358 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001372835604 908390204 /nfs/dbraw/zinc/39/02/04/908390204.db2.gz OSFNNXVXXQRVLF-JHJVBQTASA-N -1 1 319.405 1.704 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H](C)NC(=O)C1CC1 ZINC001373023018 908771226 /nfs/dbraw/zinc/77/12/26/908771226.db2.gz YKDCCTCARUJDRU-VIFPVBQESA-N -1 1 320.393 1.259 20 0 DDADMM CCC[C@H](C)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001373159717 909114293 /nfs/dbraw/zinc/11/42/93/909114293.db2.gz ROMJWOCGBPENGX-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@@H]1CCC[C@H]1CC(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001373412440 909791090 /nfs/dbraw/zinc/79/10/90/909791090.db2.gz IUPWZDLZIDWMRS-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM CCC[C@H](C)C(=O)NCCN(CC)C(=O)c1ncccc1[O-] ZINC001373779171 910956522 /nfs/dbraw/zinc/95/65/22/910956522.db2.gz RFUFYYPEQGTDQG-LBPRGKRZSA-N -1 1 307.394 1.802 20 0 DDADMM CCCN(CCNC(=O)[C@@H](C)C1CC1)C(=O)c1ncccc1[O-] ZINC001374108555 911912267 /nfs/dbraw/zinc/91/22/67/911912267.db2.gz GDBKNGNPSKJFPF-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM CCC1(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001397129601 915239172 /nfs/dbraw/zinc/23/91/72/915239172.db2.gz ZYQBFVUNWUUTFQ-NSHDSACASA-N -1 1 303.362 1.308 20 0 DDADMM O=C(Nc1cncnc1N1CCCC1)C(=O)c1ccc([O-])cc1 ZINC001294686856 915279670 /nfs/dbraw/zinc/27/96/70/915279670.db2.gz LRGCRVWDTHQCTF-UHFFFAOYSA-N -1 1 312.329 1.604 20 0 DDADMM C[C@H](CNC(=O)[C@H]1CCC1(C)C)NC(=O)c1ncccc1[O-] ZINC001397531854 916229073 /nfs/dbraw/zinc/22/90/73/916229073.db2.gz CARVMISZKVRVQU-GHMZBOCLSA-N -1 1 305.378 1.458 20 0 DDADMM CCC(C)(CC)C(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001377726595 922817884 /nfs/dbraw/zinc/81/78/84/922817884.db2.gz PILGWYZWMKSKGY-GFCCVEGCSA-N -1 1 323.441 1.665 20 0 DDADMM CCc1nnc(CN[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001377780633 923014730 /nfs/dbraw/zinc/01/47/30/923014730.db2.gz IWUZMTLUOPYIHR-VHSXEESVSA-N -1 1 319.365 1.029 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC4CCC3CC4)nc2n1 ZINC000622993204 365585532 /nfs/dbraw/zinc/58/55/32/365585532.db2.gz FLHJUUMVOGUIOU-RTYFJBAXSA-N -1 1 315.377 1.745 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC[C@@H]3CC)nc2n1 ZINC000622993461 365585850 /nfs/dbraw/zinc/58/58/50/365585850.db2.gz OCQXWBQARGIKPY-GXSJLCMTSA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@H]3C(C)C)nc2n1 ZINC000622996875 365589501 /nfs/dbraw/zinc/58/95/01/365589501.db2.gz DEZMDPFIEJTLGC-QWRGUYRKSA-N -1 1 303.366 1.601 20 0 DDADMM COc1cc(CCC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)on1 ZINC000614389936 361833946 /nfs/dbraw/zinc/83/39/46/361833946.db2.gz CAGBUKUYRUSGPJ-VIFPVBQESA-N -1 1 322.321 1.111 20 0 DDADMM C[C@H](Cc1ccco1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614391815 361835423 /nfs/dbraw/zinc/83/54/23/361835423.db2.gz VYEALWIZQNLOAN-MNOVXSKESA-N -1 1 305.334 1.953 20 0 DDADMM O=C(c1cccc(CO)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614472962 361875480 /nfs/dbraw/zinc/87/54/80/361875480.db2.gz FHKCKSGPONTACY-UHFFFAOYSA-N -1 1 303.318 1.287 20 0 DDADMM CC1(C)OC[C@H]2C[C@]21C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474905 361876555 /nfs/dbraw/zinc/87/65/55/361876555.db2.gz FLCKXRIZMIXLSP-MEBBXXQBSA-N -1 1 307.350 1.296 20 0 DDADMM Cn1cc(CC[N-]S(=O)(=O)c2sccc2Cl)cn1 ZINC000451075613 231003979 /nfs/dbraw/zinc/00/39/79/231003979.db2.gz XKUBUWSKNRDSCN-UHFFFAOYSA-N -1 1 305.812 1.656 20 0 DDADMM O=S(=O)([N-]C1(CF)CCOCC1)c1cccc(F)c1F ZINC000451740026 231178262 /nfs/dbraw/zinc/17/82/62/231178262.db2.gz WHNRSOPIIRMROH-UHFFFAOYSA-N -1 1 309.309 1.762 20 0 DDADMM CCc1c2ccccc2oc1C(=O)[N-]N1CC(=O)N(C)C1=O ZINC000297225355 529500656 /nfs/dbraw/zinc/50/06/56/529500656.db2.gz SZDGTGMXPFEAHD-UHFFFAOYSA-N -1 1 301.302 1.534 20 0 DDADMM COC1(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCCC1 ZINC000452174728 231325119 /nfs/dbraw/zinc/32/51/19/231325119.db2.gz LNAIOWSTYSMCPO-UHFFFAOYSA-N -1 1 304.350 1.363 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)[C@H]1CC1(C)C ZINC000416271094 529670919 /nfs/dbraw/zinc/67/09/19/529670919.db2.gz AVRGUWFCILSOOG-NXEZZACHSA-N -1 1 314.407 1.742 20 0 DDADMM CN(C[C@@H]1CCCN1C)S(=O)(=O)c1csc(C(=O)[O-])c1 ZINC000092625502 185329727 /nfs/dbraw/zinc/32/97/27/185329727.db2.gz HTHDFVXASACHJI-VIFPVBQESA-N -1 1 318.420 1.161 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cc1cccc(N2CCCC2=O)c1 ZINC000615222527 362202362 /nfs/dbraw/zinc/20/23/62/362202362.db2.gz SNINFQXUKHXNPG-UHFFFAOYSA-N -1 1 314.345 1.732 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C[C@H]1COCCO1)C(F)(F)F ZINC000615226643 362203958 /nfs/dbraw/zinc/20/39/58/362203958.db2.gz BESFICQQUOADIZ-JGVFFNPUSA-N -1 1 323.271 1.346 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1 ZINC000333382654 539320516 /nfs/dbraw/zinc/32/05/16/539320516.db2.gz WKPXGZRVHZCXPO-TWTBIMQBSA-N -1 1 319.361 1.405 20 0 DDADMM CNC(=O)C[C@H](C)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000456519525 529872203 /nfs/dbraw/zinc/87/22/03/529872203.db2.gz UOKOIWHEORIECY-ZETCQYMHSA-N -1 1 304.268 1.665 20 0 DDADMM COC(=O)[C@@H]1CCCN1C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000330697039 232000992 /nfs/dbraw/zinc/00/09/92/232000992.db2.gz CVNICRNEBSVICU-JTQLQIEISA-N -1 1 313.737 1.832 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(CC)cc2)n[n-]1 ZINC000615918937 362485501 /nfs/dbraw/zinc/48/55/01/362485501.db2.gz KCFFUCSKGDRHMQ-UHFFFAOYSA-N -1 1 302.334 1.474 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(CC)cc2)n1 ZINC000615918937 362485505 /nfs/dbraw/zinc/48/55/05/362485505.db2.gz KCFFUCSKGDRHMQ-UHFFFAOYSA-N -1 1 302.334 1.474 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCC23COC3)c(=O)[n-]1 ZINC000615934328 362494554 /nfs/dbraw/zinc/49/45/54/362494554.db2.gz QPSQWTAHHQPPQX-UHFFFAOYSA-N -1 1 309.391 1.146 20 0 DDADMM C[C@H](CN(C)C(=O)COCc1cccc(Cl)c1)c1nn[n-]n1 ZINC000457702119 232108349 /nfs/dbraw/zinc/10/83/49/232108349.db2.gz BGRZXTYYSJJNPD-SNVBAGLBSA-N -1 1 323.784 1.632 20 0 DDADMM CSc1n[nH]c(NC(=O)C2CCN(CC(F)(F)F)CC2)n1 ZINC000299481639 282378763 /nfs/dbraw/zinc/37/87/63/282378763.db2.gz CWUUFGVNFBXXFN-UHFFFAOYSA-N -1 1 323.344 1.739 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2C[C@H]3CC(=O)[C@@H]2C3)c1Br ZINC000616010792 362520354 /nfs/dbraw/zinc/52/03/54/362520354.db2.gz FRWWZHGUSCTNRD-RNJXMRFFSA-N -1 1 312.167 1.456 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)Cc1ccc(F)cc1C ZINC000330876065 232132373 /nfs/dbraw/zinc/13/23/73/232132373.db2.gz FJDGMHRELCBNFX-UHFFFAOYSA-N -1 1 313.354 1.664 20 0 DDADMM CC(C)[C@H](O)CC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000305199274 282391107 /nfs/dbraw/zinc/39/11/07/282391107.db2.gz KBXJDJMAXNFXAP-LLVKDONJSA-N -1 1 311.325 1.789 20 0 DDADMM CC[N@@H+](C)CCNS(=O)(=O)c1ccc(C(F)(F)F)cn1 ZINC000360193822 539491964 /nfs/dbraw/zinc/49/19/64/539491964.db2.gz LVHBJDCTSTUIDN-UHFFFAOYSA-N -1 1 311.329 1.330 20 0 DDADMM COCCCn1ccc([N-]S(=O)(=O)N2CCC[C@H]2C)n1 ZINC000330999310 232312180 /nfs/dbraw/zinc/31/21/80/232312180.db2.gz NEBRMOGZDADAGC-LLVKDONJSA-N -1 1 302.400 1.061 20 0 DDADMM Cc1cnc(C(=O)N(CCCO)Cc2ccccn2)c([O-])c1 ZINC000358333645 299124224 /nfs/dbraw/zinc/12/42/24/299124224.db2.gz FCKYNNJILQGNCK-UHFFFAOYSA-N -1 1 301.346 1.515 20 0 DDADMM CC(C)CSCCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358341676 299125668 /nfs/dbraw/zinc/12/56/68/299125668.db2.gz SHBNUGPPNNGNAV-UHFFFAOYSA-N -1 1 308.407 1.793 20 0 DDADMM CN(C)C(=O)Nc1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC000358367463 299132132 /nfs/dbraw/zinc/13/21/32/299132132.db2.gz UJWGHDGNFDTWHZ-UHFFFAOYSA-N -1 1 314.345 1.811 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3CC(=O)N(C)C3)[nH][n-]2)s1 ZINC000623492473 365898010 /nfs/dbraw/zinc/89/80/10/365898010.db2.gz DKBVMYJBKYSCPD-SECBINFHSA-N -1 1 304.375 1.285 20 0 DDADMM CCS(=O)(=O)[N-]c1ccc(I)cn1 ZINC000083446394 192371486 /nfs/dbraw/zinc/37/14/86/192371486.db2.gz DQHHKMDCLBKXJF-UHFFFAOYSA-N -1 1 312.132 1.448 20 0 DDADMM O=S(=O)(Cc1csc(C2CC2)n1)c1n[n-]c(C2CC2)n1 ZINC000133676479 186005834 /nfs/dbraw/zinc/00/58/34/186005834.db2.gz BAOPDPJIXWBONO-UHFFFAOYSA-N -1 1 310.404 1.990 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccc3c(c2)CC(=O)N3)cc1O ZINC000360232073 306978322 /nfs/dbraw/zinc/97/83/22/306978322.db2.gz YILVRYPGNOHBBJ-UHFFFAOYSA-N -1 1 318.354 1.996 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnn(-c2ccccc2F)c1C ZINC000152622731 186090497 /nfs/dbraw/zinc/09/04/97/186090497.db2.gz PFWWYAISODTWSF-UHFFFAOYSA-N -1 1 313.354 1.708 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCSCC2)C1)c1ncccc1[O-] ZINC000269575315 186389265 /nfs/dbraw/zinc/38/92/65/186389265.db2.gz JFFXGNKKYCYMBQ-LLVKDONJSA-N -1 1 308.403 1.962 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1cnn(Cc2ccccc2)c1 ZINC000172366839 198086204 /nfs/dbraw/zinc/08/62/04/198086204.db2.gz KLQJDXAARBSCOO-OAHLLOKOSA-N -1 1 321.402 1.852 20 0 DDADMM COc1cc(C=CC(=O)Nc2nnn[n-]2)ccc1OC(C)C ZINC000072678423 191212714 /nfs/dbraw/zinc/21/27/14/191212714.db2.gz UWBPUPOGCPGEAQ-FNORWQNLSA-N -1 1 303.322 1.647 20 0 DDADMM COc1cc(C=CC(=O)Nc2nn[n-]n2)ccc1OC(C)C ZINC000072678423 191212716 /nfs/dbraw/zinc/21/27/16/191212716.db2.gz UWBPUPOGCPGEAQ-FNORWQNLSA-N -1 1 303.322 1.647 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@@H](C)c2ccccc2OC)n1 ZINC000049778726 352558332 /nfs/dbraw/zinc/55/83/32/352558332.db2.gz RYEWHGVLMQLKMQ-JTQLQIEISA-N -1 1 317.349 1.881 20 0 DDADMM Nc1ccccc1CCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000564940421 304027776 /nfs/dbraw/zinc/02/77/76/304027776.db2.gz SUYQAORVEKMTLA-LBPRGKRZSA-N -1 1 316.361 1.696 20 0 DDADMM CC(C)[C@H](NC(=O)OCc1ccccc1)C(=O)Nc1ncn[n-]1 ZINC000059898428 352863835 /nfs/dbraw/zinc/86/38/35/352863835.db2.gz KGJFPJLJJPNNRY-LBPRGKRZSA-N -1 1 317.349 1.116 20 0 DDADMM CCn1c(CCNC(=O)c2cc(C(C)C)n[nH]2)n[n-]c1=S ZINC000067078238 353033038 /nfs/dbraw/zinc/03/30/38/353033038.db2.gz DQNCMBDCZLNPNT-UHFFFAOYSA-N -1 1 308.411 1.780 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@@H](C(=O)[O-])C3)c[n-]c2[nH+]1 ZINC000564966441 304030996 /nfs/dbraw/zinc/03/09/96/304030996.db2.gz GNFMZRXTGDAVEI-SNVBAGLBSA-N -1 1 315.329 1.581 20 0 DDADMM O=C(C=Cc1ccnc2ccccc12)NC1(c2nn[n-]n2)CC1 ZINC000491996209 234355078 /nfs/dbraw/zinc/35/50/78/234355078.db2.gz XOALQPIXRFMNBI-AATRIKPKSA-N -1 1 306.329 1.567 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@H](C)c2ccco2)o1 ZINC000073919555 353278786 /nfs/dbraw/zinc/27/87/86/353278786.db2.gz BNYOFGAHGOZEFW-SECBINFHSA-N -1 1 312.347 1.614 20 0 DDADMM CCOC(=O)c1cccc([N-]S(=O)(=O)C[C@@H]2CCCO2)c1 ZINC000345001818 282897680 /nfs/dbraw/zinc/89/76/80/282897680.db2.gz WSGBDPFBEMODGT-ZDUSSCGKSA-N -1 1 313.375 1.784 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC[C@@H](O)C(C)C)cnc2n1 ZINC000081796070 353693252 /nfs/dbraw/zinc/69/32/52/353693252.db2.gz GWODJCCNLRMROM-CYBMUJFWSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC[C@@H](O)C(C)C)c2=O ZINC000081796070 353693254 /nfs/dbraw/zinc/69/32/54/353693254.db2.gz GWODJCCNLRMROM-CYBMUJFWSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](C)[C@@H](O)C3)cnc2n1 ZINC000089461305 353773141 /nfs/dbraw/zinc/77/31/41/353773141.db2.gz LUWPOJCXXSHYMN-ZANVPECISA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](C)[C@@H](O)C3)c[n-]c2n1 ZINC000089461305 353773142 /nfs/dbraw/zinc/77/31/42/353773142.db2.gz LUWPOJCXXSHYMN-ZANVPECISA-N -1 1 301.346 1.487 20 0 DDADMM Cc1nc(-c2ccc(NCc3ccnn3C)nc2)[n-]c(=O)c1C ZINC000091428380 353825416 /nfs/dbraw/zinc/82/54/16/353825416.db2.gz ZIIWRPKIVZNOQN-UHFFFAOYSA-N -1 1 310.361 1.794 20 0 DDADMM c1nn(CCN2CCOCC2)cc1[N-]c1nc(C2CC2)ns1 ZINC000092649911 353865215 /nfs/dbraw/zinc/86/52/15/353865215.db2.gz GKKUJQGIXNWUEZ-UHFFFAOYSA-N -1 1 320.422 1.688 20 0 DDADMM COc1ccc(NC(=O)COC(C)C)cc1[N-]S(C)(=O)=O ZINC000143921748 354152268 /nfs/dbraw/zinc/15/22/68/354152268.db2.gz DYMWGPDEUCXJGD-UHFFFAOYSA-N -1 1 316.379 1.430 20 0 DDADMM Cn1cnc(S(=O)(=O)Nc2c(Cl)cccc2C(=O)[O-])c1 ZINC000230027848 354324482 /nfs/dbraw/zinc/32/44/82/354324482.db2.gz VSTFNXZHGINPDD-UHFFFAOYSA-N -1 1 315.738 1.573 20 0 DDADMM C[C@@H](CN(C)C(=O)C(C)(C)COCC(F)F)c1nn[n-]n1 ZINC000346243629 283040826 /nfs/dbraw/zinc/04/08/26/283040826.db2.gz ULCHGJRYICRVMC-QMMMGPOBSA-N -1 1 305.329 1.070 20 0 DDADMM C[S@@](=O)c1ccc(CNC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000588639029 354922841 /nfs/dbraw/zinc/92/28/41/354922841.db2.gz VDVJNWDHSAGVMV-JOCHJYFZSA-N -1 1 317.366 1.629 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C)cc(OC)c2O)n1 ZINC000590355565 355075763 /nfs/dbraw/zinc/07/57/63/355075763.db2.gz VWAQRAHIJWCUEM-UHFFFAOYSA-N -1 1 319.317 1.861 20 0 DDADMM COc1ccc(OCCNC(=O)c2ncc(C)cc2[O-])cc1 ZINC000494918258 235103151 /nfs/dbraw/zinc/10/31/51/235103151.db2.gz OGSGCWSQOHDJDO-UHFFFAOYSA-N -1 1 302.330 1.913 20 0 DDADMM Cc1cc(NC(=O)CCSc2nc(C)c(C)c(=O)[n-]2)no1 ZINC000346717632 283145837 /nfs/dbraw/zinc/14/58/37/283145837.db2.gz SKXKOVNXKJUSME-UHFFFAOYSA-N -1 1 308.363 1.638 20 0 DDADMM C[C@H](CCNC(=O)Cc1ccc([O-])c(Cl)c1)[S@](C)=O ZINC000601334585 358503759 /nfs/dbraw/zinc/50/37/59/358503759.db2.gz BEDJFTBUMNNBDV-HOGDKLEQSA-N -1 1 303.811 1.861 20 0 DDADMM CCOC(=O)c1cnc(N2CCC[C@@H](c3n[n-]c(=O)o3)C2)s1 ZINC000596224921 356822465 /nfs/dbraw/zinc/82/24/65/356822465.db2.gz LDICEJDCOFKDOK-MRVPVSSYSA-N -1 1 324.362 1.792 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])CC[S@@]1=O ZINC000597564126 357229357 /nfs/dbraw/zinc/22/93/57/357229357.db2.gz OIEWVZZGIWVPCI-XFNZEKPQSA-N -1 1 318.398 1.924 20 0 DDADMM COC[C@]1(C(=O)OC)CCCN1C(=O)c1cc(F)ccc1[O-] ZINC000597961961 357400396 /nfs/dbraw/zinc/40/03/96/357400396.db2.gz JYSIXUYEWBPNRO-HNNXBMFYSA-N -1 1 311.309 1.326 20 0 DDADMM COC(=O)CN(C(=O)C(=O)c1ccc([O-])cc1)C1CCCCC1 ZINC000598004391 357420130 /nfs/dbraw/zinc/42/01/30/357420130.db2.gz QUIORTMKULJJTM-UHFFFAOYSA-N -1 1 319.357 1.909 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H]2CCCC[C@H]12 ZINC000598194447 357493082 /nfs/dbraw/zinc/49/30/82/357493082.db2.gz ZLFUMKWDRUFNQO-UPJWGTAASA-N -1 1 318.373 1.981 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c[nH]nc1-c1ccc(Cl)cc1 ZINC000599344488 357903178 /nfs/dbraw/zinc/90/31/78/357903178.db2.gz QJWYFJHAQKBRKE-UHFFFAOYSA-N -1 1 303.713 1.173 20 0 DDADMM COC(=O)N[C@H](C(=O)Nc1nc(Cl)ccc1[O-])C(C)C ZINC000276736399 213077151 /nfs/dbraw/zinc/07/71/51/213077151.db2.gz UMJXATIXBQRRPH-VIFPVBQESA-N -1 1 301.730 1.760 20 0 DDADMM CC(=O)N1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CC1 ZINC000180330807 199146026 /nfs/dbraw/zinc/14/60/26/199146026.db2.gz FMEDSUPVWZTAGI-UHFFFAOYSA-N -1 1 316.279 1.715 20 0 DDADMM Cc1nnc([N-]C(=O)c2cc(S(C)(=O)=O)oc2C)s1 ZINC000624488729 366402412 /nfs/dbraw/zinc/40/24/12/366402412.db2.gz CPLRFXPINNHDFK-UHFFFAOYSA-N -1 1 301.349 1.404 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@H]2CCCCO2)c1Cl ZINC000180764128 199206317 /nfs/dbraw/zinc/20/63/17/199206317.db2.gz BJGGCPPMYJPSOC-SECBINFHSA-N -1 1 307.803 1.311 20 0 DDADMM CC[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(F)(F)F ZINC000348103753 283369625 /nfs/dbraw/zinc/36/96/25/283369625.db2.gz FAAHKORSCAQZGS-MRVPVSSYSA-N -1 1 302.256 1.745 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCC(=O)OC(C)(C)C)sn1 ZINC000601356292 358513918 /nfs/dbraw/zinc/51/39/18/358513918.db2.gz MPBKZTGEUXGTOU-UHFFFAOYSA-N -1 1 306.409 1.462 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCSC(C)C)c1 ZINC000601414777 358542242 /nfs/dbraw/zinc/54/22/42/358542242.db2.gz HNDUTVRUPTULOP-UHFFFAOYSA-N -1 1 305.421 1.821 20 0 DDADMM CC[C@@H](C)C[C@H]([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OC ZINC000601451507 358559512 /nfs/dbraw/zinc/55/95/12/358559512.db2.gz CSOOBTNLJAZIJR-SCZZXKLOSA-N -1 1 320.436 1.708 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ccc(C)o2)cc1C ZINC000601516678 358584644 /nfs/dbraw/zinc/58/46/44/358584644.db2.gz SPCHDXOLDXITRY-UHFFFAOYSA-N -1 1 313.331 1.755 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C3CSC3)CC2)n1 ZINC000601538480 358593741 /nfs/dbraw/zinc/59/37/41/358593741.db2.gz HZNTYCAQDUMAPD-UHFFFAOYSA-N -1 1 324.406 1.050 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2cncc(Br)c2)c1=O ZINC000601958801 358753622 /nfs/dbraw/zinc/75/36/22/358753622.db2.gz PGDINGDPJMTRDX-SSDOTTSWSA-N -1 1 312.123 1.356 20 0 DDADMM CCOC(=O)[C@@]1(CNC(=O)c2ncc(C)cc2[O-])CCCOC1 ZINC000601860312 358719131 /nfs/dbraw/zinc/71/91/31/358719131.db2.gz OQACACVJHKLEQM-MRXNPFEDSA-N -1 1 322.361 1.185 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@H]2CCCC[C@H]2C)n[n-]1 ZINC000603013567 359357230 /nfs/dbraw/zinc/35/72/30/359357230.db2.gz CWRLYAVUKHCVOD-GHMZBOCLSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H]2CCCC[C@H]2C)n1 ZINC000603013567 359357234 /nfs/dbraw/zinc/35/72/34/359357234.db2.gz CWRLYAVUKHCVOD-GHMZBOCLSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CC3CCC2CC3)n[n-]1 ZINC000603014897 359358136 /nfs/dbraw/zinc/35/81/36/359358136.db2.gz HSXVIMWFNISEDT-ILDUYXDCSA-N -1 1 306.366 1.424 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CC3CCC2CC3)n1 ZINC000603014897 359358140 /nfs/dbraw/zinc/35/81/40/359358140.db2.gz HSXVIMWFNISEDT-ILDUYXDCSA-N -1 1 306.366 1.424 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(C)c(F)c(C)c2)n[n-]1 ZINC000603015639 359358233 /nfs/dbraw/zinc/35/82/33/359358233.db2.gz JVQFEIWMHGEVIM-UHFFFAOYSA-N -1 1 320.324 1.667 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(C)c(F)c(C)c2)n1 ZINC000603015639 359358235 /nfs/dbraw/zinc/35/82/35/359358235.db2.gz JVQFEIWMHGEVIM-UHFFFAOYSA-N -1 1 320.324 1.667 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(F)c(C)cc2F)n[n-]1 ZINC000603020182 359362567 /nfs/dbraw/zinc/36/25/67/359362567.db2.gz YKUDGAWHYVCYIB-UHFFFAOYSA-N -1 1 324.287 1.498 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(F)c(C)cc2F)n1 ZINC000603020182 359362570 /nfs/dbraw/zinc/36/25/70/359362570.db2.gz YKUDGAWHYVCYIB-UHFFFAOYSA-N -1 1 324.287 1.498 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cc(F)cc(F)c1 ZINC000618488011 363649095 /nfs/dbraw/zinc/64/90/95/363649095.db2.gz JKDKDSMFLRVCHG-CYBMUJFWSA-N -1 1 315.283 1.997 20 0 DDADMM COc1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000618487123 363649387 /nfs/dbraw/zinc/64/93/87/363649387.db2.gz KKEHFYIJLWJZNH-CQSZACIVSA-N -1 1 309.329 1.728 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@]1(C)CC1(F)F ZINC000603356956 359591339 /nfs/dbraw/zinc/59/13/39/359591339.db2.gz FFNORRUXAWZDNI-ONGXEEELSA-N -1 1 311.350 1.293 20 0 DDADMM Cc1ccoc1C(=O)NCCCNC(=O)c1c([O-])cccc1F ZINC000186878849 200026444 /nfs/dbraw/zinc/02/64/44/200026444.db2.gz XURPIVWPGLMPOW-UHFFFAOYSA-N -1 1 320.320 1.983 20 0 DDADMM COC(=O)c1cnc(S[C@@H](C)C(=O)N2CCC(C)CC2)[n-]1 ZINC000187588288 200130975 /nfs/dbraw/zinc/13/09/75/200130975.db2.gz MQRFDEVKYUMJLC-JTQLQIEISA-N -1 1 311.407 1.935 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H](C)C(=O)N2CCC(C)CC2)n1 ZINC000187588288 200130976 /nfs/dbraw/zinc/13/09/76/200130976.db2.gz MQRFDEVKYUMJLC-JTQLQIEISA-N -1 1 311.407 1.935 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC[C@@]2(C)CC2(F)F)o1 ZINC000618627641 363695853 /nfs/dbraw/zinc/69/58/53/363695853.db2.gz XEEHEUPGZCYNGJ-NSHDSACASA-N -1 1 322.333 1.353 20 0 DDADMM Cc1nc(-c2cccc(C(=O)NCc3nn[n-]n3)c2)cs1 ZINC000608132574 360129655 /nfs/dbraw/zinc/12/96/55/360129655.db2.gz FVZCCRPBXUMCSV-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](CCF)C2)co1 ZINC000618699641 363723956 /nfs/dbraw/zinc/72/39/56/363723956.db2.gz XWUKOSJFNFREPY-JTQLQIEISA-N -1 1 318.370 1.400 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)Cc1cccs1 ZINC000281239906 216177689 /nfs/dbraw/zinc/17/76/89/216177689.db2.gz SYGHZMANKVLOIZ-SSDOTTSWSA-N -1 1 301.393 1.536 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2nc3c(s2)CN(C)CC3)on1 ZINC000611485623 360730784 /nfs/dbraw/zinc/73/07/84/360730784.db2.gz RCOFMNZPMPCNSP-UHFFFAOYSA-N -1 1 322.346 1.158 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)[C@H](O)C2CC2)CC1 ZINC000612382629 360991950 /nfs/dbraw/zinc/99/19/50/360991950.db2.gz ONBZJDKIUCRMPX-MRXNPFEDSA-N -1 1 303.358 1.584 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000613150369 361293971 /nfs/dbraw/zinc/29/39/71/361293971.db2.gz AZXRVFKCKKIQTR-CHWSQXEVSA-N -1 1 313.357 1.585 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)c2cccc(-c3nc(=O)o[n-]3)c2)CCN1C ZINC000613227032 361337166 /nfs/dbraw/zinc/33/71/66/361337166.db2.gz PUCGVCONLOREEF-GHMZBOCLSA-N -1 1 316.361 1.195 20 0 DDADMM CN(Cc1cn(C)nn1)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000618800586 363760361 /nfs/dbraw/zinc/76/03/61/363760361.db2.gz BQLHOHFZRZBPTN-UHFFFAOYSA-N -1 1 314.267 1.812 20 0 DDADMM O=C(CSc1ccc2c(c1)OCCCO2)Nc1nnn[n-]1 ZINC000565938347 304105240 /nfs/dbraw/zinc/10/52/40/304105240.db2.gz JSGWPGFIJOLWSE-UHFFFAOYSA-N -1 1 307.335 1.092 20 0 DDADMM O=C(CSc1ccc2c(c1)OCCCO2)Nc1nn[n-]n1 ZINC000565938347 304105242 /nfs/dbraw/zinc/10/52/42/304105242.db2.gz JSGWPGFIJOLWSE-UHFFFAOYSA-N -1 1 307.335 1.092 20 0 DDADMM Cc1ccc2[nH]cc(C(=O)N3CCC(=O)N(C)CC3)c(=O)c2c1 ZINC000619060332 363877658 /nfs/dbraw/zinc/87/76/58/363877658.db2.gz YFRLKHBWHQOXAK-UHFFFAOYSA-N -1 1 313.357 1.141 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@H]2COC[C@@H]2C1 ZINC000620145989 364312301 /nfs/dbraw/zinc/31/23/01/364312301.db2.gz SKUCULWNGRCBFE-RYUDHWBXSA-N -1 1 319.405 1.897 20 0 DDADMM COc1ncccc1CS(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000621994863 365175898 /nfs/dbraw/zinc/17/58/98/365175898.db2.gz LABCOJSMUMKWJD-UHFFFAOYSA-N -1 1 310.379 1.480 20 0 DDADMM COc1ncccc1CS(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000621994863 365175901 /nfs/dbraw/zinc/17/59/01/365175901.db2.gz LABCOJSMUMKWJD-UHFFFAOYSA-N -1 1 310.379 1.480 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-]C[C@](C)(O)C(F)(F)F)s1 ZINC000359209351 299356625 /nfs/dbraw/zinc/35/66/25/299356625.db2.gz RYMJCMXCRCZZHA-QMMMGPOBSA-N -1 1 318.342 1.297 20 0 DDADMM COC1CCN(CCNC(=O)c2s[n-]c(=O)c2Cl)CC1 ZINC000622417936 365399930 /nfs/dbraw/zinc/39/99/30/365399930.db2.gz TUMUQHZLAITXJC-UHFFFAOYSA-N -1 1 319.814 1.343 20 0 DDADMM COc1ccc(NC(=O)c2csc(=NC3CC3)[n-]2)cc1CO ZINC000622449507 365408353 /nfs/dbraw/zinc/40/83/53/365408353.db2.gz QWWFGVPICHKJCK-UHFFFAOYSA-N -1 1 319.386 1.893 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1C[C@H](C(=O)[O-])CC[C@@H]1C ZINC000635016650 422769079 /nfs/dbraw/zinc/76/90/79/422769079.db2.gz ANZQVUIQNXLSFL-VHSXEESVSA-N -1 1 310.316 1.582 20 0 DDADMM Cc1ccc(CO[C@@H](C)C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000626443654 367547727 /nfs/dbraw/zinc/54/77/27/367547727.db2.gz PCXFKCXRJXZCBJ-STQMWFEESA-N -1 1 317.393 1.675 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCC(=O)[C@H](C)C2)c(=O)[n-]1 ZINC000275243640 212219954 /nfs/dbraw/zinc/21/99/54/212219954.db2.gz LRIHURYXKQNIKF-SECBINFHSA-N -1 1 323.418 1.583 20 0 DDADMM CCC[C@@H](NCC(=O)Nc1ccc(OC)cc1OC)C(=O)[O-] ZINC000262294165 203253799 /nfs/dbraw/zinc/25/37/99/203253799.db2.gz OIYIPLGPMNLZKD-GFCCVEGCSA-N -1 1 310.350 1.485 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)Nc1ccc2c(c1)OC(F)(F)O2 ZINC000262676846 203378134 /nfs/dbraw/zinc/37/81/34/203378134.db2.gz UMCZTEAOXXIWDD-UHFFFAOYSA-N -1 1 316.260 1.353 20 0 DDADMM CC(C)Cc1noc(C[N-]S(=O)(=O)CCOC(C)C)n1 ZINC000350456898 284199219 /nfs/dbraw/zinc/19/92/19/284199219.db2.gz OWVWCMYMYJTZEH-UHFFFAOYSA-N -1 1 305.400 1.113 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCc2cnn(C)c2)c1 ZINC000282690304 217179959 /nfs/dbraw/zinc/17/99/59/217179959.db2.gz DVXDFCWVJZSTEM-UHFFFAOYSA-N -1 1 303.318 1.484 20 0 DDADMM COC(=O)[C@@H](CF)NC(=O)c1cc(Br)ccc1[O-] ZINC000288275024 220056692 /nfs/dbraw/zinc/05/66/92/220056692.db2.gz MIMGPRMTKKHKOU-MRVPVSSYSA-N -1 1 320.114 1.396 20 0 DDADMM COC[C@H](CO)NC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000282756622 217227848 /nfs/dbraw/zinc/22/78/48/217227848.db2.gz HRAKAZXJZYWXNP-VIFPVBQESA-N -1 1 310.737 1.331 20 0 DDADMM CCc1ccccc1S(=O)(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000359405091 299435164 /nfs/dbraw/zinc/43/51/64/299435164.db2.gz XYOHDNRTGKTXRF-UHFFFAOYSA-N -1 1 316.386 1.705 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCN2C(C)=O)c1 ZINC000282779121 217244869 /nfs/dbraw/zinc/24/48/69/217244869.db2.gz MCXNGWJPAHWILG-GFCCVEGCSA-N -1 1 306.318 1.128 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)cc1F ZINC000282806273 217263594 /nfs/dbraw/zinc/26/35/94/217263594.db2.gz AWCNMAQBBUGRGU-LLVKDONJSA-N -1 1 304.325 1.565 20 0 DDADMM CCc1ccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)cc1 ZINC000282921180 217325347 /nfs/dbraw/zinc/32/53/47/217325347.db2.gz NTHNJURJRKLASK-CYBMUJFWSA-N -1 1 300.362 1.680 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN2C(=O)OCC[C@@H]2C1 ZINC000494034925 296209874 /nfs/dbraw/zinc/20/98/74/296209874.db2.gz UVCAQEQOJQHFNK-SNVBAGLBSA-N -1 1 310.737 1.712 20 0 DDADMM CCCNC(=O)CCCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000104043818 248246249 /nfs/dbraw/zinc/24/62/49/248246249.db2.gz PNDKCVLJQRFTOQ-UHFFFAOYSA-N -1 1 307.276 1.459 20 0 DDADMM Cc1cc(C2CCN(C(=O)N=c3[n-]nc(C)s3)CC2)n[nH]1 ZINC000631469460 422785911 /nfs/dbraw/zinc/78/59/11/422785911.db2.gz MLNWDFADPWPCTR-UHFFFAOYSA-N -1 1 306.395 1.711 20 0 DDADMM CC(=O)Nc1ccc(Cl)c([N-]S(=O)(=O)c2cn[nH]c2)c1 ZINC000048961710 248197901 /nfs/dbraw/zinc/19/79/01/248197901.db2.gz FTDUVDUTMSYNTJ-UHFFFAOYSA-N -1 1 314.754 1.822 20 0 DDADMM NC(=O)C[C@H]1CCCN(Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000175227512 248396540 /nfs/dbraw/zinc/39/65/40/248396540.db2.gz FZZBQVXEUBHJTM-SECBINFHSA-N -1 1 306.391 1.072 20 0 DDADMM O=C(N=c1ccc(C2CC2)n[n-]1)N1CCN(CC2CC2)CC1 ZINC000333630163 249048732 /nfs/dbraw/zinc/04/87/32/249048732.db2.gz ZZHHKTAPFGTEIG-UHFFFAOYSA-N -1 1 301.394 1.335 20 0 DDADMM CC[C@@H](C)C[C@H](C)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000333982503 249170017 /nfs/dbraw/zinc/17/00/17/249170017.db2.gz MRIXMRKKGWRKND-ZJUUUORDSA-N -1 1 302.396 1.742 20 0 DDADMM O=C(NC[C@H]1CCCC[N@H+]1C1CC1)c1cc(=O)[nH]c(C2CC2)n1 ZINC000567247285 304207142 /nfs/dbraw/zinc/20/71/42/304207142.db2.gz CDZBEQVVUIUFEU-CYBMUJFWSA-N -1 1 316.405 1.806 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@H]2CCCO2)c(=O)[n-]1 ZINC000041887774 183223342 /nfs/dbraw/zinc/22/33/42/183223342.db2.gz RTXQMHFNZNNXQI-SNVBAGLBSA-N -1 1 311.407 1.028 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc2oc(C)nc2c1 ZINC000337132884 249360433 /nfs/dbraw/zinc/36/04/33/249360433.db2.gz CBNRLSNMRKDTTH-UHFFFAOYSA-N -1 1 313.317 1.202 20 0 DDADMM NC(=O)c1ccc(=NCCc2cc(C(F)(F)F)ccn2)[n-]n1 ZINC000338750908 250120383 /nfs/dbraw/zinc/12/03/83/250120383.db2.gz MEOOUYOCOPOWQU-UHFFFAOYSA-N -1 1 311.267 1.066 20 0 DDADMM NC(=O)[C@H]1CCC[C@@H]([N-]S(=O)(=O)c2sccc2F)C1 ZINC000338898966 250187475 /nfs/dbraw/zinc/18/74/75/250187475.db2.gz JFAICDCGYFTEAI-JGVFFNPUSA-N -1 1 306.384 1.210 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cnc2ccc(Cl)cc21)C(=O)N(C)C ZINC000338886718 250182119 /nfs/dbraw/zinc/18/21/19/250182119.db2.gz IGAYODFBDRJKGF-MRVPVSSYSA-N -1 1 321.764 1.800 20 0 DDADMM C[C@@H]1CN(C2CC2)C[C@@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338932147 250203311 /nfs/dbraw/zinc/20/33/11/250203311.db2.gz ZTZZLDXLPJBZPV-KCJUWKMLSA-N -1 1 304.412 1.648 20 0 DDADMM CC(C)(C)[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(N)=O ZINC000106446727 194214404 /nfs/dbraw/zinc/21/44/04/194214404.db2.gz UUDPTVHEGUXAPJ-JTQLQIEISA-N -1 1 306.334 1.143 20 0 DDADMM CC(C)(C)[C@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(N)=O ZINC000106447328 194215443 /nfs/dbraw/zinc/21/54/43/194215443.db2.gz VQSNBEZOJLEDJH-SNVBAGLBSA-N -1 1 324.324 1.282 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cccnc2C)c1 ZINC000359689003 299515997 /nfs/dbraw/zinc/51/59/97/299515997.db2.gz CRPDYKMSUAOLLX-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CC=CC[C@H]2C)c1 ZINC000359699908 299516936 /nfs/dbraw/zinc/51/69/36/299516936.db2.gz MRVUJQAZOVUKDE-PWSUYJOCSA-N -1 1 324.402 1.841 20 0 DDADMM CC(C)[C@@](C)([N-]S(=O)(=O)c1cccc(F)c1F)C(N)=O ZINC000285347166 218391450 /nfs/dbraw/zinc/39/14/50/218391450.db2.gz PGWPSNQNQNWUKG-GFCCVEGCSA-N -1 1 306.334 1.143 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(c2ccc(Cl)cn2)CC1 ZINC000109958651 194300526 /nfs/dbraw/zinc/30/05/26/194300526.db2.gz MCVHTQGPJRMAMF-UHFFFAOYSA-N -1 1 318.764 1.798 20 0 DDADMM COCc1cc(-c2nc3cc(C(=O)OC)ccc3c(=O)[n-]2)no1 ZINC000352205728 284864402 /nfs/dbraw/zinc/86/44/02/284864402.db2.gz HTSQFEOPGXPBLJ-UHFFFAOYSA-N -1 1 315.285 1.923 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(C)C ZINC000340719749 251176433 /nfs/dbraw/zinc/17/64/33/251176433.db2.gz GHRBKYHABOCMGB-LLVKDONJSA-N -1 1 323.773 1.955 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)F)c1cc(Cl)ccc1F ZINC000340914922 251273099 /nfs/dbraw/zinc/27/30/99/251273099.db2.gz VEUXUKFHJQIERZ-SSDOTTSWSA-N -1 1 303.689 1.383 20 0 DDADMM COc1cc(C(=O)Nc2nc(N(C)C)n[nH]2)cc(Cl)c1[O-] ZINC000340985577 251320025 /nfs/dbraw/zinc/32/00/25/251320025.db2.gz VJHFBHGDIWNQAG-UHFFFAOYSA-N -1 1 311.729 1.491 20 0 DDADMM COCCOC[C@@H](C)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631501960 422801124 /nfs/dbraw/zinc/80/11/24/422801124.db2.gz ICUYKXSBRVVXBK-SNVBAGLBSA-N -1 1 301.770 1.756 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H]1CCC[C@H]1C1CC1 ZINC000412475606 224036727 /nfs/dbraw/zinc/03/67/27/224036727.db2.gz MWFQKUXTLNVPLC-OLZOCXBDSA-N -1 1 317.389 1.460 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccccc2COC)co1 ZINC000119787581 195036468 /nfs/dbraw/zinc/03/64/68/195036468.db2.gz DVXCTSAZCBENCB-UHFFFAOYSA-N -1 1 324.358 1.586 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C)c3ccccc32)co1 ZINC000119885497 195056253 /nfs/dbraw/zinc/05/62/53/195056253.db2.gz RMQMGJZOFDHQFO-SNVBAGLBSA-N -1 1 320.370 1.952 20 0 DDADMM CCN(CCS(C)(=O)=O)C(=O)c1cc(Cl)ccc1[O-] ZINC000158943512 197313219 /nfs/dbraw/zinc/31/32/19/197313219.db2.gz DGUANDMEGRWZKR-UHFFFAOYSA-N -1 1 305.783 1.552 20 0 DDADMM CC(C)(NC(=O)CNC(=O)c1ncccc1[O-])c1cccs1 ZINC000352550173 285133654 /nfs/dbraw/zinc/13/36/54/285133654.db2.gz KTWMBBFXFWINES-UHFFFAOYSA-N -1 1 319.386 1.630 20 0 DDADMM Cc1nc([C@@H](C)NC(=O)c2cc(F)c(F)c([O-])c2F)n[nH]1 ZINC000286492507 219017988 /nfs/dbraw/zinc/01/79/88/219017988.db2.gz VWLCXHJDKSCXQI-SCSAIBSYSA-N -1 1 300.240 1.727 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)c2cnccc2C)s1 ZINC000451440260 533089236 /nfs/dbraw/zinc/08/92/36/533089236.db2.gz VXJKELBYMPPLJV-UHFFFAOYSA-N -1 1 316.433 1.442 20 0 DDADMM CN(C)C(=O)c1ccc(=NC[C@H]2CCCO[C@H]2C(C)(C)C)[n-]n1 ZINC000413160210 224145717 /nfs/dbraw/zinc/14/57/17/224145717.db2.gz ULZJZZKWXMPQAA-IUODEOHRSA-N -1 1 320.437 1.854 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]2CSC)o1 ZINC000157238464 197172554 /nfs/dbraw/zinc/17/25/54/197172554.db2.gz GQWABWQSCATMNI-SECBINFHSA-N -1 1 318.420 1.155 20 0 DDADMM COc1ccc([C@@H](NCc2cn(C)nc2C)C(=O)[O-])cc1F ZINC000417572258 533133065 /nfs/dbraw/zinc/13/30/65/533133065.db2.gz OZXRNYPCKNFEMO-CQSZACIVSA-N -1 1 307.325 1.792 20 0 DDADMM COCCNc1ccccc1CNC(=O)c1cncc([O-])c1 ZINC000155396302 197050541 /nfs/dbraw/zinc/05/05/41/197050541.db2.gz IJVXZEUVJNWHKY-UHFFFAOYSA-N -1 1 301.346 1.776 20 0 DDADMM CN(CC1CC1)S(=O)(=O)[N-]CC(F)(F)c1ccccc1 ZINC000352734172 285264052 /nfs/dbraw/zinc/26/40/52/285264052.db2.gz JIMREVRAAIZNRJ-UHFFFAOYSA-N -1 1 304.362 1.955 20 0 DDADMM O=S(=O)(C[C@@H]1CCCCO1)[N-]c1cc(O)c(F)cc1F ZINC000414394487 224331803 /nfs/dbraw/zinc/33/18/03/224331803.db2.gz KJVHWGAHHDYUGU-QMMMGPOBSA-N -1 1 307.318 1.981 20 0 DDADMM C[C@@H](CC(=O)[O-])CC(=O)NCCN1CCc2ccccc2C1 ZINC000568246788 304280132 /nfs/dbraw/zinc/28/01/32/304280132.db2.gz XSJUYMQSDSDXKL-CYBMUJFWSA-N -1 1 304.390 1.662 20 0 DDADMM CCC[C@H](NC(=O)C1=Cc2cc(F)ccc2OC1)c1nn[n-]n1 ZINC000121853480 195361075 /nfs/dbraw/zinc/36/10/75/195361075.db2.gz JZJKIQDKSJXPGM-LBPRGKRZSA-N -1 1 317.324 1.772 20 0 DDADMM COc1cc(C)c(CN[C@@H](C(=O)[O-])c2ccnn2C)cc1OC ZINC000424629447 533574688 /nfs/dbraw/zinc/57/46/88/533574688.db2.gz XTEWUBYKZLSJBP-OAHLLOKOSA-N -1 1 319.361 1.661 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CC(C)(C)OC)[C@@H](C)CC ZINC000569171962 304339454 /nfs/dbraw/zinc/33/94/54/304339454.db2.gz FFTUMYOSPHVDAS-QWRGUYRKSA-N -1 1 309.428 1.309 20 0 DDADMM Cc1ccc(SCCNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635124741 422825637 /nfs/dbraw/zinc/82/56/37/422825637.db2.gz DAIOREQSSSXQOU-UHFFFAOYSA-N -1 1 305.407 1.739 20 0 DDADMM Cc1c(C(=O)Nc2nnn[n-]2)cnn1-c1ccccc1Cl ZINC000069966785 406796889 /nfs/dbraw/zinc/79/68/89/406796889.db2.gz BJCWOCBCXXIUEF-UHFFFAOYSA-N -1 1 303.713 1.600 20 0 DDADMM Cc1c(C(=O)Nc2nn[n-]n2)cnn1-c1ccccc1Cl ZINC000069966785 406796893 /nfs/dbraw/zinc/79/68/93/406796893.db2.gz BJCWOCBCXXIUEF-UHFFFAOYSA-N -1 1 303.713 1.600 20 0 DDADMM Cc1nc(S(=O)(=O)Nc2ccc(C(=O)[O-])cc2Cl)c[nH]1 ZINC000071392862 406838667 /nfs/dbraw/zinc/83/86/67/406838667.db2.gz DLMMROFLOIRAHD-UHFFFAOYSA-N -1 1 315.738 1.871 20 0 DDADMM CNC(=O)Cc1ccc([N-]S(=O)(=O)c2ccc(F)cc2)cc1 ZINC000013850513 406868370 /nfs/dbraw/zinc/86/83/70/406868370.db2.gz XIQGSHITUSUJLR-UHFFFAOYSA-N -1 1 322.361 1.915 20 0 DDADMM CCCSCC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000074162405 406904493 /nfs/dbraw/zinc/90/44/93/406904493.db2.gz KDJSAGNKDVFEAN-UHFFFAOYSA-N -1 1 308.407 1.399 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NC(C)(C)C)o1 ZINC000032967600 406957307 /nfs/dbraw/zinc/95/73/07/406957307.db2.gz MLMHVJDMOOFELV-UHFFFAOYSA-N -1 1 302.396 1.885 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2cccc(F)c2)o1 ZINC000078678770 407039668 /nfs/dbraw/zinc/03/96/68/407039668.db2.gz IKRQFPRJNBIUNF-UHFFFAOYSA-N -1 1 312.322 1.257 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCOC1)c1ccc(F)c(F)c1F ZINC000048673558 407104955 /nfs/dbraw/zinc/10/49/55/407104955.db2.gz UULRTQWNETULTF-QMMMGPOBSA-N -1 1 309.309 1.809 20 0 DDADMM COc1cc(NC(=O)[C@H](C)OC)ccc1[N-]S(C)(=O)=O ZINC000049114212 407115510 /nfs/dbraw/zinc/11/55/10/407115510.db2.gz JLBNHZHZSUODKS-QMMMGPOBSA-N -1 1 302.352 1.040 20 0 DDADMM C[C@H](CC(=O)[N-]OCC(N)=O)c1ccccc1C(F)(F)F ZINC000089464822 407135578 /nfs/dbraw/zinc/13/55/78/407135578.db2.gz PRPXFMTZZWBNHE-MRVPVSSYSA-N -1 1 304.268 1.732 20 0 DDADMM CC(C)Cc1ccc(C(=O)CCC(=O)[N-]OCC(N)=O)cc1 ZINC000089465788 407135799 /nfs/dbraw/zinc/13/57/99/407135799.db2.gz IKWKPSLLFGNSBA-UHFFFAOYSA-N -1 1 306.362 1.381 20 0 DDADMM NC(=O)c1cccc(C(=O)NCc2n[n-]c(=S)n2C2CC2)c1 ZINC000066638326 407256611 /nfs/dbraw/zinc/25/66/11/407256611.db2.gz NZLZGACDMPDUHW-UHFFFAOYSA-N -1 1 317.374 1.304 20 0 DDADMM O=C(Nc1nn[n-]n1)c1csc(Cc2ccc(F)cc2)n1 ZINC000102895320 407328460 /nfs/dbraw/zinc/32/84/60/407328460.db2.gz LAKQPVDRJMEJBM-UHFFFAOYSA-N -1 1 304.310 1.638 20 0 DDADMM COC(=O)CCCN(C)C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000097100600 407280731 /nfs/dbraw/zinc/28/07/31/407280731.db2.gz UPXJAYWRQJGDJW-UHFFFAOYSA-N -1 1 323.393 1.401 20 0 DDADMM CSCCCNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000123901091 407342096 /nfs/dbraw/zinc/34/20/96/407342096.db2.gz DTSXICILYLLAAL-UHFFFAOYSA-N -1 1 315.464 1.602 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H](C)c2cccnc2)co1 ZINC000123938718 407343134 /nfs/dbraw/zinc/34/31/34/407343134.db2.gz OTLUOUXYBCFPBX-JTQLQIEISA-N -1 1 323.374 1.416 20 0 DDADMM C[C@H](CN(C)C(=O)CCSc1ccccn1)c1nn[n-]n1 ZINC000124491531 407360283 /nfs/dbraw/zinc/36/02/83/407360283.db2.gz DWHWLJSKIJIHNA-SNVBAGLBSA-N -1 1 306.395 1.339 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N(C)[C@H]1CCOC1 ZINC000106840797 407362521 /nfs/dbraw/zinc/36/25/21/407362521.db2.gz DPPTXPFJVSACGM-LBPRGKRZSA-N -1 1 318.377 1.232 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2c(C)noc2C)o1 ZINC000107699998 407378877 /nfs/dbraw/zinc/37/88/77/407378877.db2.gz WSRWCGOCVFDZFU-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM CNC(=O)c1ccc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)cc1 ZINC000109033730 407394009 /nfs/dbraw/zinc/39/40/09/407394009.db2.gz FZYYQNXFFWQZQI-UHFFFAOYSA-N -1 1 320.374 1.016 20 0 DDADMM O=S(=O)(NCC[NH2+]Cc1cc[nH]n1)c1ccc(Cl)s1 ZINC000127775009 407464720 /nfs/dbraw/zinc/46/47/20/407464720.db2.gz HJPGJMGDRCWWEV-UHFFFAOYSA-N -1 1 320.827 1.193 20 0 DDADMM C[C@@H]1C[C@@H](NS(=O)(=O)c2c[nH]cn2)CN1Cc1ccccc1 ZINC000128171357 407504546 /nfs/dbraw/zinc/50/45/46/407504546.db2.gz QSJWTGVVTBTICM-TZMCWYRMSA-N -1 1 320.418 1.351 20 0 DDADMM C[C@@H](CC1CCCC1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000114538547 407588278 /nfs/dbraw/zinc/58/82/78/407588278.db2.gz HQAUTXQHTWHDJS-QMMMGPOBSA-N -1 1 300.384 1.363 20 0 DDADMM C[C@@H](CC1CCCC1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000114538547 407588281 /nfs/dbraw/zinc/58/82/81/407588281.db2.gz HQAUTXQHTWHDJS-QMMMGPOBSA-N -1 1 300.384 1.363 20 0 DDADMM Cc1cc(C)c(N2C[C@H](C(=O)Nc3nnn[n-]3)CC2=O)c(C)c1 ZINC000170852285 407531538 /nfs/dbraw/zinc/53/15/38/407531538.db2.gz NHMCBEBIDFTNRD-LLVKDONJSA-N -1 1 314.349 1.117 20 0 DDADMM Cc1cc(C)c(N2C[C@H](C(=O)Nc3nn[n-]n3)CC2=O)c(C)c1 ZINC000170852285 407531544 /nfs/dbraw/zinc/53/15/44/407531544.db2.gz NHMCBEBIDFTNRD-LLVKDONJSA-N -1 1 314.349 1.117 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2[C@H](C)CCC[C@H]2C)co1 ZINC000178928565 407660134 /nfs/dbraw/zinc/66/01/34/407660134.db2.gz ACGXZYBYLMTUAJ-NXEZZACHSA-N -1 1 314.407 1.742 20 0 DDADMM COC[C@@H](CNC(=O)c1ccc(Br)cc1[O-])OC ZINC000227699029 407637636 /nfs/dbraw/zinc/63/76/36/407637636.db2.gz NOEBNKGXAPMLBV-SECBINFHSA-N -1 1 318.167 1.546 20 0 DDADMM O=C([O-])[C@H]1CCN(CC(=O)NCC2(c3ccccc3)CC2)C1 ZINC000178898719 407646282 /nfs/dbraw/zinc/64/62/82/407646282.db2.gz GYZNXNWENGCGCX-ZDUSSCGKSA-N -1 1 302.374 1.241 20 0 DDADMM O=C(CN1CCN(C2CCC2)CC1)[N-]OCc1ccccc1 ZINC000271369954 407655095 /nfs/dbraw/zinc/65/50/95/407655095.db2.gz MSFRVYGEWXYUSE-UHFFFAOYSA-N -1 1 303.406 1.405 20 0 DDADMM CC[C@H](OC)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000271504266 407706586 /nfs/dbraw/zinc/70/65/86/407706586.db2.gz LNBWTAFBRBYCMV-NSHDSACASA-N -1 1 304.343 1.561 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)c1ccc(C)o1 ZINC000267033539 407723787 /nfs/dbraw/zinc/72/37/87/407723787.db2.gz LNUVQRDTPDAAJL-GFCCVEGCSA-N -1 1 314.363 1.692 20 0 DDADMM O=c1ccc([N-]S(=O)(=O)c2cc(F)ccc2Cl)n[nH]1 ZINC000186697902 407747516 /nfs/dbraw/zinc/74/75/16/407747516.db2.gz MOUHSZFRCMJLJQ-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM NC(=O)c1ccc(OCCC(=O)[N-]O[C@@H]2CCCCO2)cc1 ZINC000267131474 407762025 /nfs/dbraw/zinc/76/20/25/407762025.db2.gz HTCHFMQWCBBNKI-CQSZACIVSA-N -1 1 308.334 1.129 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C)CCC[C@H]2C)co1 ZINC000153361705 407809395 /nfs/dbraw/zinc/80/93/95/407809395.db2.gz SDAWLAJHRWDPFL-WDEREUQCSA-N -1 1 314.407 1.838 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)CCOC(C)C)cc1C ZINC000267309465 407831313 /nfs/dbraw/zinc/83/13/13/407831313.db2.gz LNJFLZHPKWUSRT-UHFFFAOYSA-N -1 1 315.391 1.948 20 0 DDADMM O=C(NCC1(O)CCCCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000117952913 407871903 /nfs/dbraw/zinc/87/19/03/407871903.db2.gz QXUOCXMHZRGFNF-UHFFFAOYSA-N -1 1 315.373 1.738 20 0 DDADMM C[C@@H]1[C@H](C)[S@](=O)CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000272361225 407883546 /nfs/dbraw/zinc/88/35/46/407883546.db2.gz YRQHVJUMLVWKPL-NFULHVNHSA-N -1 1 321.398 1.840 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3CCC[C@H]3CCO)cnc2n1 ZINC000187933610 407906719 /nfs/dbraw/zinc/90/67/19/407906719.db2.gz FRXVUYNBLCRTBP-FZMZJTMJSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H]3CCC[C@H]3CCO)c[n-]c2n1 ZINC000187933610 407906725 /nfs/dbraw/zinc/90/67/25/407906725.db2.gz FRXVUYNBLCRTBP-FZMZJTMJSA-N -1 1 315.373 1.925 20 0 DDADMM O=C(CCc1cc(Br)ccc1F)Nc1nnn[n-]1 ZINC000135109408 407974887 /nfs/dbraw/zinc/97/48/87/407974887.db2.gz NKIUUYZGYZDVQH-UHFFFAOYSA-N -1 1 314.118 1.673 20 0 DDADMM O=C(CCc1cc(Br)ccc1F)Nc1nn[n-]n1 ZINC000135109408 407974893 /nfs/dbraw/zinc/97/48/93/407974893.db2.gz NKIUUYZGYZDVQH-UHFFFAOYSA-N -1 1 314.118 1.673 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CCC[C@@H]2C(N)=O)cc(Cl)c1[O-] ZINC000135145307 407981627 /nfs/dbraw/zinc/98/16/27/407981627.db2.gz SVMJGZNDRZJINV-WCBMZHEXSA-N -1 1 312.753 1.438 20 0 DDADMM CCc1nnc(-c2ccc([N-]S(=O)(=O)CCOC)cc2)o1 ZINC000174767447 407990141 /nfs/dbraw/zinc/99/01/41/407990141.db2.gz KABQIDWXUIPRDM-UHFFFAOYSA-N -1 1 311.363 1.687 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1ccc(Br)cc1F ZINC000272604183 408005770 /nfs/dbraw/zinc/00/57/70/408005770.db2.gz QDPAAYJSZJENPE-UHFFFAOYSA-N -1 1 316.130 1.648 20 0 DDADMM CCc1nn(C)c(CC)c1CC(=O)[N-]OCc1cccnc1 ZINC000189657167 408074328 /nfs/dbraw/zinc/07/43/28/408074328.db2.gz MPAAJPSKLYKGBN-UHFFFAOYSA-N -1 1 302.378 1.730 20 0 DDADMM CCOC(=O)C(C)(C)NCc1cc(=O)oc2cc([O-])ccc12 ZINC000182215552 408096268 /nfs/dbraw/zinc/09/62/68/408096268.db2.gz SAIXMZWJEALGFK-UHFFFAOYSA-N -1 1 305.330 1.930 20 0 DDADMM Cc1cc(C(=O)Nc2ccc(F)cn2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000155194417 408136959 /nfs/dbraw/zinc/13/69/59/408136959.db2.gz PTNSQVZJVZGBND-UHFFFAOYSA-N -1 1 315.264 1.119 20 0 DDADMM Cc1cc(C(=O)Nc2ccc(F)cn2)[n-]c2nc(=O)[nH]c(=O)c1-2 ZINC000155194417 408136962 /nfs/dbraw/zinc/13/69/62/408136962.db2.gz PTNSQVZJVZGBND-UHFFFAOYSA-N -1 1 315.264 1.119 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H]3CCCC[C@H]3C2)co1 ZINC000155323227 408151385 /nfs/dbraw/zinc/15/13/85/408151385.db2.gz RBARNJJXXHWZRT-QWRGUYRKSA-N -1 1 312.391 1.450 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(Br)c(Cl)c1 ZINC000155345749 408155666 /nfs/dbraw/zinc/15/56/66/408155666.db2.gz JKMQQUIAUFDTQN-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(Br)c(Cl)c1 ZINC000155345749 408155671 /nfs/dbraw/zinc/15/56/71/408155671.db2.gz JKMQQUIAUFDTQN-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM COC(=O)[C@H](C)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000268725012 408176365 /nfs/dbraw/zinc/17/63/65/408176365.db2.gz DBOYCTAGHRBVNZ-ZCFIWIBFSA-N -1 1 311.281 1.191 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000155547660 408176503 /nfs/dbraw/zinc/17/65/03/408176503.db2.gz OULRHMHTFPKERZ-ORAHPGNNSA-N -1 1 304.379 1.384 20 0 DDADMM O=c1nc(CN2CC[C@@H]3OCCC[C@@H]3C2)[n-]c2ccsc21 ZINC000155572581 408181507 /nfs/dbraw/zinc/18/15/07/408181507.db2.gz YSZGUKCSOJYJAC-PWSUYJOCSA-N -1 1 305.403 1.986 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(Br)o1)c1nn[n-]n1 ZINC000273409396 408187205 /nfs/dbraw/zinc/18/72/05/408187205.db2.gz CINQMXOACUYRBR-ZCFIWIBFSA-N -1 1 314.143 1.431 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1Cc1nc(N(C)C)no1 ZINC000269318814 408268102 /nfs/dbraw/zinc/26/81/02/408268102.db2.gz YIHRKPHDJQKBMW-UHFFFAOYSA-N -1 1 312.333 1.592 20 0 DDADMM CNC(=O)[C@@H](C)C[N@@H+](C)Cc1cc(=O)oc2cc(O)ccc12 ZINC000176386182 408353556 /nfs/dbraw/zinc/35/35/56/408353556.db2.gz WUKRYSCPCJIRJY-JTQLQIEISA-N -1 1 304.346 1.313 20 0 DDADMM CO[C@@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)C(C)(C)C ZINC000174166921 162396824 /nfs/dbraw/zinc/39/68/24/162396824.db2.gz MMWXUGCHANBOEZ-LBPRGKRZSA-N -1 1 303.362 1.714 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccccc1OC(F)F)c1nn[n-]n1 ZINC000183397571 408398570 /nfs/dbraw/zinc/39/85/70/408398570.db2.gz JAHGFADZVCALNT-MRVPVSSYSA-N -1 1 311.292 1.677 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1cc(F)ccc1F)c1nn[n-]n1 ZINC000183412689 408404712 /nfs/dbraw/zinc/40/47/12/408404712.db2.gz FRHRCAFPHOVHOV-VIFPVBQESA-N -1 1 309.320 1.673 20 0 DDADMM COc1cccc(SCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183444918 408413924 /nfs/dbraw/zinc/41/39/24/408413924.db2.gz RAWDUYIFZFVRMG-SNVBAGLBSA-N -1 1 321.406 1.563 20 0 DDADMM CCC[C@H](NC(=O)CCc1nc2ccccc2[nH]1)c1nn[n-]n1 ZINC000176734372 408427564 /nfs/dbraw/zinc/42/75/64/408427564.db2.gz DOTZNRUVPVBYIB-LBPRGKRZSA-N -1 1 313.365 1.666 20 0 DDADMM CC(C)C[C@H](CCO)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000269838291 408444325 /nfs/dbraw/zinc/44/43/25/408444325.db2.gz PSAGQSMTXPVLBR-JTQLQIEISA-N -1 1 323.846 1.397 20 0 DDADMM CCSCc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)no1 ZINC000274729420 408510899 /nfs/dbraw/zinc/51/08/99/408510899.db2.gz CFOUCVACILDADN-QMMMGPOBSA-N -1 1 310.383 1.317 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)OCc1ccccc1)c1nn[n-]n1 ZINC000274766736 408525114 /nfs/dbraw/zinc/52/51/14/408525114.db2.gz KXEQPOPJESKIIU-VXGBXAGGSA-N -1 1 303.366 1.367 20 0 DDADMM O=S(=O)([N-]CCOCC1CC1)c1ccc(F)c(F)c1F ZINC000264534794 408525504 /nfs/dbraw/zinc/52/55/04/408525504.db2.gz YTOIEESUBMPEAN-UHFFFAOYSA-N -1 1 309.309 1.809 20 0 DDADMM CC(=O)c1ccc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000274775600 408527113 /nfs/dbraw/zinc/52/71/13/408527113.db2.gz MWWYHQIRBHMBRP-SNVBAGLBSA-N -1 1 301.350 1.207 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1c1c(F)cccc1F)c1nn[n-]n1 ZINC000248433015 408566146 /nfs/dbraw/zinc/56/61/46/408566146.db2.gz WTUZKVKGFBXORV-OPRDCNLKSA-N -1 1 321.331 1.844 20 0 DDADMM CC[C@@H]1CCCC[C@H]1OCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000248534843 408581452 /nfs/dbraw/zinc/58/14/52/408581452.db2.gz JQTQCRVGPDEHLA-YNEHKIRRSA-N -1 1 309.414 1.747 20 0 DDADMM COc1ccc(C=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000274802483 408538316 /nfs/dbraw/zinc/53/83/16/408538316.db2.gz STMHQNVJSSYDGH-OALRPVAKSA-N -1 1 301.350 1.484 20 0 DDADMM CN(CC1(O)CCCC1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000162152098 408674279 /nfs/dbraw/zinc/67/42/79/408674279.db2.gz FZQWXPMHVYKRTN-UHFFFAOYSA-N -1 1 301.346 1.300 20 0 DDADMM C/C=C/C[C@H](CO)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000184506546 408631381 /nfs/dbraw/zinc/63/13/81/408631381.db2.gz IFHYITYZXFFDQB-PGLGOXFNSA-N -1 1 301.346 1.701 20 0 DDADMM C/C=C/C[C@H](CO)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000184506546 408631385 /nfs/dbraw/zinc/63/13/85/408631385.db2.gz IFHYITYZXFFDQB-PGLGOXFNSA-N -1 1 301.346 1.701 20 0 DDADMM CC(C)c1nnc2n1C[C@@H](NC(=O)c1c([O-])cccc1F)CC2 ZINC000184631000 408659527 /nfs/dbraw/zinc/65/95/27/408659527.db2.gz LKUZPMOHZSNPJO-JTQLQIEISA-N -1 1 318.352 1.991 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cnc(C)s1)[C@H](O)C(F)(F)F ZINC000270867659 408716683 /nfs/dbraw/zinc/71/66/83/408716683.db2.gz SJMWZGKIVFZIHI-SVRRBLITSA-N -1 1 318.342 1.432 20 0 DDADMM COc1ccccc1N1CC[C@@H](N2CCC[C@H](C(=O)[O-])C2)C1=O ZINC000164498902 408798047 /nfs/dbraw/zinc/79/80/47/408798047.db2.gz XLEOIGYPVPWESD-GXTWGEPZSA-N -1 1 318.373 1.597 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/c2ccccc2)c1 ZINC000194026814 408759723 /nfs/dbraw/zinc/75/97/23/408759723.db2.gz YVNUHLVZZPHQFP-RMKNXTFCSA-N -1 1 318.354 1.692 20 0 DDADMM CC[C@@H](C)C(=O)Nc1cccc(CNC(=O)c2cnn[nH]2)c1 ZINC000194194362 408772075 /nfs/dbraw/zinc/77/20/75/408772075.db2.gz BHARBQQZUXCKHA-SNVBAGLBSA-N -1 1 301.350 1.719 20 0 DDADMM O=c1nc([C@H]2CCCN(c3ncnc4cccc(F)c43)C2)[nH][n-]1 ZINC000285617933 408829604 /nfs/dbraw/zinc/82/96/04/408829604.db2.gz MQARZYCVLLLZQZ-VIFPVBQESA-N -1 1 314.324 1.564 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1ncc(C(F)(F)F)[nH]1 ZINC000290885306 408849971 /nfs/dbraw/zinc/84/99/71/408849971.db2.gz CJXBYYRQZHVDFX-UHFFFAOYSA-N -1 1 323.300 1.580 20 0 DDADMM CSCCc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000276499489 408870388 /nfs/dbraw/zinc/87/03/88/408870388.db2.gz BPTYRGWUISEBIX-UHFFFAOYSA-N -1 1 308.363 1.180 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(CCS(=O)(=O)c1ccccc1)C2 ZINC000281044038 408870829 /nfs/dbraw/zinc/87/08/29/408870829.db2.gz PRZXKABXSKRAIR-BBRMVZONSA-N -1 1 323.414 1.647 20 0 DDADMM CCn1nccc1C[N@H+]1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000291619605 408896773 /nfs/dbraw/zinc/89/67/73/408896773.db2.gz ROGLGHOCRKLMRG-NSHDSACASA-N -1 1 318.343 1.794 20 0 DDADMM CCOC[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(C)C ZINC000191443967 163186834 /nfs/dbraw/zinc/18/68/34/163186834.db2.gz NGPVLTPMKRSNFB-LBPRGKRZSA-N -1 1 306.366 1.465 20 0 DDADMM O=C(NCc1cnn(Cc2ccccc2)c1)c1ncccc1[O-] ZINC000194668932 163293021 /nfs/dbraw/zinc/29/30/21/163293021.db2.gz LWYZSPJVYSLGRF-UHFFFAOYSA-N -1 1 308.341 1.962 20 0 DDADMM CCOCc1nc([C@H](C)NC(=O)c2ccc([O-])cc2F)no1 ZINC000291970737 408953956 /nfs/dbraw/zinc/95/39/56/408953956.db2.gz SDUXYXWXQMTSBL-QMMMGPOBSA-N -1 1 309.297 1.942 20 0 DDADMM CC(C)CSCCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287384642 409029403 /nfs/dbraw/zinc/02/94/03/409029403.db2.gz FOMZYJQJNLZQQM-PDGQHHTCSA-N -1 1 307.423 1.853 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCCSC2)o1 ZINC000287482463 409044381 /nfs/dbraw/zinc/04/43/81/409044381.db2.gz QLNPKVDKCYAXCO-VIFPVBQESA-N -1 1 319.404 1.488 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H](c2ccccc2)C(F)F)c1 ZINC000293117069 409052877 /nfs/dbraw/zinc/05/28/77/409052877.db2.gz XVICTFJUBNUWQF-NSHDSACASA-N -1 1 301.318 1.705 20 0 DDADMM Cn1nc([C@H]2CCCO2)cc1NC(=O)c1ccc(O)cc1[O-] ZINC000279150905 409159117 /nfs/dbraw/zinc/15/91/17/409159117.db2.gz PKUPKYOZIHJOGB-CYBMUJFWSA-N -1 1 303.318 1.935 20 0 DDADMM CN(CCC(=O)OC(C)(C)C)C(=O)C(=O)c1ccc([O-])cc1 ZINC000288429193 409167770 /nfs/dbraw/zinc/16/77/70/409167770.db2.gz OCBOWGBPCBXGLQ-UHFFFAOYSA-N -1 1 307.346 1.765 20 0 DDADMM CCN(C(=O)CCc1c(C)nc(SC)[n-]c1=O)[C@H](C)CO ZINC000293734467 409170864 /nfs/dbraw/zinc/17/08/64/409170864.db2.gz URIWRNAYGGWCIK-SECBINFHSA-N -1 1 313.423 1.374 20 0 DDADMM CCOc1ccccc1N1C[C@@H](C(=O)[N-]OCC2CC2)CC1=O ZINC000293766387 409176300 /nfs/dbraw/zinc/17/63/00/409176300.db2.gz MESZFUPXMVLDGN-ZDUSSCGKSA-N -1 1 318.373 1.896 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@]3(C)CCCS3)ccnc1-2 ZINC000279457874 409218387 /nfs/dbraw/zinc/21/83/87/409218387.db2.gz VYBKMHJSJIHHIB-DZBQEORWSA-N -1 1 305.407 1.749 20 0 DDADMM O=C(NC[C@H](O)C1CCCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000283795874 409219125 /nfs/dbraw/zinc/21/91/25/409219125.db2.gz VMDREOXXAUNQIO-LBPRGKRZSA-N -1 1 320.418 1.689 20 0 DDADMM O=S(=O)([N-]CC(F)(F)F)c1ccc(C(F)(F)F)nc1 ZINC000283869784 409230878 /nfs/dbraw/zinc/23/08/78/409230878.db2.gz BNZQSYTUGVIUIT-UHFFFAOYSA-N -1 1 308.203 1.941 20 0 DDADMM CC(C)(C)NC(=O)[C@@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000283926246 409241597 /nfs/dbraw/zinc/24/15/97/409241597.db2.gz MALZEFRSUJPQIB-NSHDSACASA-N -1 1 309.391 1.217 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H]1O)c1cc(Cl)ccc1F ZINC000284172265 409290011 /nfs/dbraw/zinc/29/00/11/409290011.db2.gz GGLOAINKYXNXRP-KCJUWKMLSA-N -1 1 307.774 1.918 20 0 DDADMM Cc1nc2c(cccc2C(=O)N(C)C[C@H](C)c2nn[n-]n2)o1 ZINC000280375977 409301597 /nfs/dbraw/zinc/30/15/97/409301597.db2.gz SVTBKEVDTHOWFR-QMMMGPOBSA-N -1 1 300.322 1.525 20 0 DDADMM CC(C)[C@H](Sc1nc(C(F)F)cc(=O)[n-]1)C(=O)NC(N)=O ZINC000289449545 409273873 /nfs/dbraw/zinc/27/38/73/409273873.db2.gz DSVAQPCGMFVKNS-ZETCQYMHSA-N -1 1 320.321 1.431 20 0 DDADMM C[C@@H](CCCO)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000295232385 409389016 /nfs/dbraw/zinc/38/90/16/409389016.db2.gz INODDZSWRGJNMO-QMMMGPOBSA-N -1 1 311.325 1.791 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@@H]3OC[C@@H]4COCC[C@@H]34)n2)o1 ZINC000290419675 409393428 /nfs/dbraw/zinc/39/34/28/409393428.db2.gz GEMMOAFNZCRWCZ-HOSYDEDBSA-N -1 1 318.333 1.363 20 0 DDADMM CCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(OC)cc2OC)C1 ZINC000280499016 409331291 /nfs/dbraw/zinc/33/12/91/409331291.db2.gz PHTLZADYYBTEHC-SNVBAGLBSA-N -1 1 308.334 1.124 20 0 DDADMM Cc1ccc([C@H]2OCCC[C@@H]2NC(=O)CCc2nn[n-]n2)cc1 ZINC000631589676 422842220 /nfs/dbraw/zinc/84/22/20/422842220.db2.gz PTAKJPPJMLVILC-XJKSGUPXSA-N -1 1 315.377 1.477 20 0 DDADMM O=C([C@@H]1CCCc2n[nH]cc21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000407999119 164193152 /nfs/dbraw/zinc/19/31/52/164193152.db2.gz UWOABLTXHHJONH-VHSXEESVSA-N -1 1 317.349 1.324 20 0 DDADMM C[C@H]1CCCN(C(=O)C[N@H+]2CCC[C@H](c3n[n-]c(=O)o3)C2)C1 ZINC000408007375 164195557 /nfs/dbraw/zinc/19/55/57/164195557.db2.gz COCNDRIYXJBSQS-RYUDHWBXSA-N -1 1 308.382 1.213 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@H]1Cc1ccccc1 ZINC000290662869 409480828 /nfs/dbraw/zinc/48/08/28/409480828.db2.gz FVMKQHJGWRMHQU-NEPJUHHUSA-N -1 1 307.375 1.475 20 0 DDADMM O=C(CC1(O)CCCCC1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000408198723 164257780 /nfs/dbraw/zinc/25/77/80/164257780.db2.gz OHSIKKVBFXPKSC-LLVKDONJSA-N -1 1 309.366 1.567 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](C(=O)N3CCCC3)C2)c([O-])c1 ZINC000408368470 164309357 /nfs/dbraw/zinc/30/93/57/164309357.db2.gz IMJZUOULLOHEIE-ZDUSSCGKSA-N -1 1 317.389 1.570 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCO[C@@]3(CCSC3)C2)c([O-])c1 ZINC000408371719 164310654 /nfs/dbraw/zinc/31/06/54/164310654.db2.gz DDTTXMIKLHGHRF-ABAIWWIYSA-N -1 1 308.403 1.880 20 0 DDADMM O=C([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1C2)c1ccc2cncn2c1 ZINC000408504150 164353576 /nfs/dbraw/zinc/35/35/76/164353576.db2.gz WQGYDUZTMDVYQL-SUNKGSAMSA-N -1 1 319.386 1.583 20 0 DDADMM CO[C@@H](C)c1nc(=NCCNC(=O)CC2CCCC2)s[n-]1 ZINC000337914946 409562968 /nfs/dbraw/zinc/56/29/68/409562968.db2.gz NYNFMRRDYIGLFA-JTQLQIEISA-N -1 1 312.439 1.776 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(C(C)(C)C)C2)o1 ZINC000331624628 409708092 /nfs/dbraw/zinc/70/80/92/409708092.db2.gz YHMPHCDJEPELCG-UHFFFAOYSA-N -1 1 300.380 1.306 20 0 DDADMM Cc1cccc(CSCC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000357080033 409851086 /nfs/dbraw/zinc/85/10/86/409851086.db2.gz ROZKHTJJOWOJME-UHFFFAOYSA-N -1 1 303.391 1.547 20 0 DDADMM O=C(CCc1ccccn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332109227 409891064 /nfs/dbraw/zinc/89/10/64/409891064.db2.gz AMPWEUUNLJCXPJ-NSHDSACASA-N -1 1 302.334 1.509 20 0 DDADMM O=c1ccccn1CC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000354487284 409891643 /nfs/dbraw/zinc/89/16/43/409891643.db2.gz GGZXURDEKAKKSP-UHFFFAOYSA-N -1 1 314.313 1.105 20 0 DDADMM CC[C@H](O[C@H]1CCC[C@H](C)C1)C(=O)Nc1nn[nH]c1C(N)=O ZINC000297673054 409951443 /nfs/dbraw/zinc/95/14/43/409951443.db2.gz GMENXXIFHGLLAQ-GUBZILKMSA-N -1 1 309.370 1.216 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc([C@H](C)SCC)no1 ZINC000357327957 409977910 /nfs/dbraw/zinc/97/79/10/409977910.db2.gz VRECJLSUIAEBGU-VIFPVBQESA-N -1 1 323.440 1.340 20 0 DDADMM CCOC(=O)c1coc(CNC2(c3nn[n-]n3)CCCC2)c1 ZINC000354549371 409934334 /nfs/dbraw/zinc/93/43/34/409934334.db2.gz VPOCTQWYPLRGDH-UHFFFAOYSA-N -1 1 305.338 1.529 20 0 DDADMM CCOC(=O)CNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338354402 409935197 /nfs/dbraw/zinc/93/51/97/409935197.db2.gz LEFRELLMNCQSFQ-UHFFFAOYSA-N -1 1 308.721 1.887 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-][C@@H](C)C(=O)OC(C)(C)C)on1 ZINC000357200826 409935354 /nfs/dbraw/zinc/93/53/54/409935354.db2.gz ISXMUQWMXLZPPR-VIFPVBQESA-N -1 1 304.368 1.133 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)CC(C)(C)c1ccccc1 ZINC000297806161 410006944 /nfs/dbraw/zinc/00/69/44/410006944.db2.gz STVFVJOWGYUYLP-UHFFFAOYSA-N -1 1 301.350 1.471 20 0 DDADMM O=C(c1ccncc1Cl)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332261422 410021910 /nfs/dbraw/zinc/02/19/10/410021910.db2.gz BECGLLSUKDNXPE-MRVPVSSYSA-N -1 1 308.725 1.843 20 0 DDADMM COCc1ccc(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)cc1 ZINC000297856668 410025627 /nfs/dbraw/zinc/02/56/27/410025627.db2.gz YEGNTBNPXKVPQD-UHFFFAOYSA-N -1 1 315.333 1.096 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@@H]1C1CCCCC1 ZINC000357376195 409999616 /nfs/dbraw/zinc/99/96/16/409999616.db2.gz JBCWFXGHHJDQLV-NXEZZACHSA-N -1 1 307.350 1.595 20 0 DDADMM CN(C)c1ccc(S(=O)(=O)[N-]c2cc(C3CC3)n[nH]2)cn1 ZINC000357385121 410004329 /nfs/dbraw/zinc/00/43/29/410004329.db2.gz IPVXLHOIVIVEGN-UHFFFAOYSA-N -1 1 307.379 1.549 20 0 DDADMM COc1ccc(=NC(=O)N[C@H](CN(C)C)c2ccccc2)[n-]n1 ZINC000346653076 410058486 /nfs/dbraw/zinc/05/84/86/410058486.db2.gz JKWOUQGDYFBRCQ-CYBMUJFWSA-N -1 1 315.377 1.332 20 0 DDADMM CNC(=O)C1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CC1 ZINC000343016110 410077222 /nfs/dbraw/zinc/07/72/22/410077222.db2.gz QKHXWIRKPNGJDM-UHFFFAOYSA-N -1 1 300.318 1.247 20 0 DDADMM CC(C)[C@H](O)C1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000343019310 410080376 /nfs/dbraw/zinc/08/03/76/410080376.db2.gz ZQCYLZWVTZVOPT-ZDUSSCGKSA-N -1 1 315.373 1.450 20 0 DDADMM COCc1nc(=NC(=O)c2cc3[nH]cnc3cc2F)s[n-]1 ZINC000343098890 410143212 /nfs/dbraw/zinc/14/32/12/410143212.db2.gz MLRWQKVVFFRVGC-UHFFFAOYSA-N -1 1 307.310 1.374 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cncs2)c1 ZINC000357652045 410165989 /nfs/dbraw/zinc/16/59/89/410165989.db2.gz SEKKUMBBICYUSZ-UHFFFAOYSA-N -1 1 313.360 1.009 20 0 DDADMM O=C(N[C@@H](CO)CN1CCCCC1)c1s[n-]c(=O)c1Cl ZINC000298569336 410292878 /nfs/dbraw/zinc/29/28/78/410292878.db2.gz KHYIOIPDONDKMA-MRVPVSSYSA-N -1 1 319.814 1.079 20 0 DDADMM COC(=O)c1cc(CNC2(c3nn[n-]n3)CCCC2)oc1C ZINC000351989044 410394748 /nfs/dbraw/zinc/39/47/48/410394748.db2.gz PFLCCGOAPPBYJP-UHFFFAOYSA-N -1 1 305.338 1.447 20 0 DDADMM CCC1(CNC(=O)CNC(=O)c2ncccc2[O-])CCCCC1 ZINC000358201211 410401359 /nfs/dbraw/zinc/40/13/59/410401359.db2.gz UDWBHAZBLWCZPV-UHFFFAOYSA-N -1 1 319.405 1.994 20 0 DDADMM COc1cccc(NC(=O)c2cnc3c(cnn3C)c2O)c1O ZINC000358354852 410453276 /nfs/dbraw/zinc/45/32/76/410453276.db2.gz OETRVDBVRIQBGU-UHFFFAOYSA-N -1 1 314.301 1.640 20 0 DDADMM COc1cccc(NC(=O)c2cnc3n(C)[n-]cc-3c2=O)c1O ZINC000358354852 410453279 /nfs/dbraw/zinc/45/32/79/410453279.db2.gz OETRVDBVRIQBGU-UHFFFAOYSA-N -1 1 314.301 1.640 20 0 DDADMM C[C@H]1CCC[C@@H](CCNC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343403422 410423598 /nfs/dbraw/zinc/42/35/98/410423598.db2.gz PDWZTPAHFKMRIK-STQMWFEESA-N -1 1 319.405 1.850 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)CO[C@@H]2CCOC2)sc1C ZINC000333243909 410439725 /nfs/dbraw/zinc/43/97/25/410439725.db2.gz IYDFVIWKCZUPCL-GZMMTYOYSA-N -1 1 320.436 1.232 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H](C(C)C)CC1 ZINC000352053447 410445136 /nfs/dbraw/zinc/44/51/36/410445136.db2.gz TVLATHMRFOYVSR-SNVBAGLBSA-N -1 1 309.366 1.795 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@@H]2CCC[C@@H](C(=O)OC)C2)[n-]1 ZINC000352159332 410516536 /nfs/dbraw/zinc/51/65/36/410516536.db2.gz VKQLSQGXVUALPB-NXEZZACHSA-N -1 1 323.349 1.504 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCC[C@@H](C(=O)OC)C2)n1 ZINC000352159332 410516542 /nfs/dbraw/zinc/51/65/42/410516542.db2.gz VKQLSQGXVUALPB-NXEZZACHSA-N -1 1 323.349 1.504 20 0 DDADMM O=C(Nc1cccnc1N1CCOCC1)c1cncc([O-])c1 ZINC000339834358 410490679 /nfs/dbraw/zinc/49/06/79/410490679.db2.gz PDTONJVBTOSMIM-UHFFFAOYSA-N -1 1 300.318 1.271 20 0 DDADMM Cn1ccnc1-c1csc(NC(=O)c2cncc([O-])c2)n1 ZINC000339959001 410578405 /nfs/dbraw/zinc/57/84/05/410578405.db2.gz JHGZLZBZSYMQKF-UHFFFAOYSA-N -1 1 301.331 1.897 20 0 DDADMM CC(C)C[C@@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000339992149 410603946 /nfs/dbraw/zinc/60/39/46/410603946.db2.gz DOFVMYJGYRSSNQ-LBPRGKRZSA-N -1 1 305.378 1.412 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-]c2ccc3n[nH]nc3c2)s1 ZINC000359100797 410554448 /nfs/dbraw/zinc/55/44/48/410554448.db2.gz JHBBJZNHDZOAQK-UHFFFAOYSA-N -1 1 309.376 1.778 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-]c2ccc3nn[nH]c3c2)s1 ZINC000359100797 410554452 /nfs/dbraw/zinc/55/44/52/410554452.db2.gz JHBBJZNHDZOAQK-UHFFFAOYSA-N -1 1 309.376 1.778 20 0 DDADMM CN(C[C@@H]1CCCCO1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000355615593 410609425 /nfs/dbraw/zinc/60/94/25/410609425.db2.gz CRTSGYCEIJLTRU-JTQLQIEISA-N -1 1 304.350 1.315 20 0 DDADMM CC[C@](C)(O)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352942419 410690575 /nfs/dbraw/zinc/69/05/75/410690575.db2.gz NGNBWMNDYNCOSK-VIFPVBQESA-N -1 1 312.185 1.481 20 0 DDADMM CC(C)Cc1ncc([N-]S(=O)(=O)C[C@@H]2CCCCO2)cn1 ZINC000355882958 410715285 /nfs/dbraw/zinc/71/52/85/410715285.db2.gz SGPONZLLXIUAIE-ZDUSSCGKSA-N -1 1 313.423 1.986 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)c1c(C)nn(C)c1C)c1nn[n-]n1 ZINC000343770059 410683952 /nfs/dbraw/zinc/68/39/52/410683952.db2.gz WCALQXMEADILBE-LDYMZIIASA-N -1 1 305.386 1.311 20 0 DDADMM COc1cccc(-c2noc([N-]Cc3nnc4n3CCC4)n2)c1 ZINC000301743779 410786751 /nfs/dbraw/zinc/78/67/51/410786751.db2.gz VZKCZNUAIIXEFZ-UHFFFAOYSA-N -1 1 312.333 1.895 20 0 DDADMM CC[C@H](C)[C@@H]([N-]S(=O)(=O)c1cnnn1C)C(F)(F)F ZINC000359729798 410841770 /nfs/dbraw/zinc/84/17/70/410841770.db2.gz LHDDUGPWOKNQMY-POYBYMJQSA-N -1 1 300.306 1.070 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@H]1CC[C@H]1C ZINC000343983460 410865124 /nfs/dbraw/zinc/86/51/24/410865124.db2.gz LFAMAJOCNRVAAH-YGRLFVJLSA-N -1 1 312.373 1.598 20 0 DDADMM COc1ccc2c(CC(=O)NC3(c4nn[n-]n4)CC3)coc2c1 ZINC000348274300 410889257 /nfs/dbraw/zinc/88/92/57/410889257.db2.gz GVAHNRPGLKXDBP-UHFFFAOYSA-N -1 1 313.317 1.303 20 0 DDADMM CC(C)(C)c1nc(CC(=O)NC2(c3nn[n-]n3)CC2)cs1 ZINC000348297279 410906419 /nfs/dbraw/zinc/90/64/19/410906419.db2.gz KORHQPRKOVOTFW-UHFFFAOYSA-N -1 1 306.395 1.302 20 0 DDADMM C[C@H]1C[C@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C[C@@H](C)C1 ZINC000356511579 411064964 /nfs/dbraw/zinc/06/49/64/411064964.db2.gz KVRBEGOHHAKFIV-BRPSZJMVSA-N -1 1 300.384 1.219 20 0 DDADMM C[C@H]1C[C@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C[C@@H](C)C1 ZINC000356511579 411064980 /nfs/dbraw/zinc/06/49/80/411064980.db2.gz KVRBEGOHHAKFIV-BRPSZJMVSA-N -1 1 300.384 1.219 20 0 DDADMM C[C@H](CC(C)(C)C)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000341924033 411082410 /nfs/dbraw/zinc/08/24/10/411082410.db2.gz JIUWDIIJHDMTJW-SNVBAGLBSA-N -1 1 311.455 1.672 20 0 DDADMM CNC(=O)c1ccc(CNC2(c3nnn[n-]3)CCCC2)cc1 ZINC000344209853 411029432 /nfs/dbraw/zinc/02/94/32/411029432.db2.gz MAZWTEVUTNYRIR-UHFFFAOYSA-N -1 1 300.366 1.118 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H]1C[C@H](C(C)=O)C1(C)C)c1nn[n-]n1 ZINC000353840583 411134526 /nfs/dbraw/zinc/13/45/26/411134526.db2.gz GKNQSXVAAJUURC-TUAOUCFPSA-N -1 1 307.398 1.799 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(=Cc2ccccc2F)CC1 ZINC000631755031 422907715 /nfs/dbraw/zinc/90/77/15/422907715.db2.gz WTMGSSQRUWZTFV-UHFFFAOYSA-N -1 1 315.352 1.977 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCCOC2)c1 ZINC000631779898 422919754 /nfs/dbraw/zinc/91/97/54/422919754.db2.gz NDMFXTQWQBVYRB-SNVBAGLBSA-N -1 1 301.364 1.106 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCOC1)c1c[nH]nc1C(F)(F)F ZINC000631779496 422919823 /nfs/dbraw/zinc/91/98/23/422919823.db2.gz GUZYAXLFRXXJGS-ZETCQYMHSA-N -1 1 313.301 1.133 20 0 DDADMM CC(C)c1nc(CNC(=O)N=c2ccc(C3CC3)n[n-]2)n[nH]1 ZINC000580400396 422927443 /nfs/dbraw/zinc/92/74/43/422927443.db2.gz BCCUZBVQDFYHLF-UHFFFAOYSA-N -1 1 301.354 1.339 20 0 DDADMM Cc1ccc(CN2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)c(C)n1 ZINC000580561358 422941507 /nfs/dbraw/zinc/94/15/07/422941507.db2.gz WKWMNYBMQKOOOI-AWEZNQCLSA-N -1 1 315.377 1.825 20 0 DDADMM CC1(C)[C@@H](NC(=O)c2ccc3ccccc3c2[O-])CS1(=O)=O ZINC000640461405 422975054 /nfs/dbraw/zinc/97/50/54/422975054.db2.gz RWXRLLPOFXHQNF-ZDUSSCGKSA-N -1 1 319.382 1.851 20 0 DDADMM CCCC[C@](C)(CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000647670207 422993078 /nfs/dbraw/zinc/99/30/78/422993078.db2.gz OXOZYLDLDAFSBS-MRXNPFEDSA-N -1 1 303.362 1.594 20 0 DDADMM O=C(N=c1ccc([O-])n[nH]1)[C@@H](C[C@@H]1CCCO1)C(F)(F)F ZINC000649935100 422997638 /nfs/dbraw/zinc/99/76/38/422997638.db2.gz ODGUDSBCJFDWHD-JGVFFNPUSA-N -1 1 305.256 1.290 20 0 DDADMM O=C(N=c1ccc(O)n[n-]1)[C@@H](C[C@@H]1CCCO1)C(F)(F)F ZINC000649935100 422997643 /nfs/dbraw/zinc/99/76/43/422997643.db2.gz ODGUDSBCJFDWHD-JGVFFNPUSA-N -1 1 305.256 1.290 20 0 DDADMM CCN(CCCN1CCOC[C@H]1CC(=O)[O-])CC(F)(F)F ZINC000652475310 423034041 /nfs/dbraw/zinc/03/40/41/423034041.db2.gz JOPFDGZKYZVWSO-LLVKDONJSA-N -1 1 312.332 1.436 20 0 DDADMM O=C(NCCO[C@@H]1CCCCO1)c1c(F)ccc([O-])c1F ZINC000647758161 423035048 /nfs/dbraw/zinc/03/50/48/423035048.db2.gz GIIFYVCUQZVRML-LLVKDONJSA-N -1 1 301.289 1.943 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cn1c(C)nc2cc(F)c(F)cc21 ZINC000647816226 423059068 /nfs/dbraw/zinc/05/90/68/423059068.db2.gz BBTXNDAZVQCRLU-UHFFFAOYSA-N -1 1 321.287 1.999 20 0 DDADMM NC(=O)c1cccc(-c2nc(-c3ccc([O-])c(F)c3)no2)n1 ZINC000350822703 306757691 /nfs/dbraw/zinc/75/76/91/306757691.db2.gz HPKFDOOKSBYQEG-UHFFFAOYSA-N -1 1 300.249 1.742 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC(C)(C)c1cccnc1 ZINC000416631576 225013057 /nfs/dbraw/zinc/01/30/57/225013057.db2.gz LIUFHNYYWUFBOX-UHFFFAOYSA-N -1 1 310.379 1.047 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)c1cccnc1 ZINC000416631576 225013062 /nfs/dbraw/zinc/01/30/62/225013062.db2.gz LIUFHNYYWUFBOX-UHFFFAOYSA-N -1 1 310.379 1.047 20 0 DDADMM CSc1nc(CNC(=O)CC2CC(F)(F)C2)cc(=O)[n-]1 ZINC000640655648 423115167 /nfs/dbraw/zinc/11/51/67/423115167.db2.gz PDWLEYXYQPFZHF-UHFFFAOYSA-N -1 1 303.334 1.956 20 0 DDADMM CSc1nc(CNC(=O)Cn2nc(C)cc2C)cc(=O)[n-]1 ZINC000640658434 423118297 /nfs/dbraw/zinc/11/82/97/423118297.db2.gz OQUBALPLMXHHKL-UHFFFAOYSA-N -1 1 307.379 1.034 20 0 DDADMM COc1ccc(CC(=O)NCc2cc(=O)[n-]c(SC)n2)cc1 ZINC000640659107 423118319 /nfs/dbraw/zinc/11/83/19/423118319.db2.gz XTXOKCSLFBAZPC-UHFFFAOYSA-N -1 1 319.386 1.772 20 0 DDADMM O=C([N-][C@H]1CC(=O)N([C@H]2C[C@@H]2c2ccccc2)C1)C(F)(F)F ZINC000374133661 418492757 /nfs/dbraw/zinc/49/27/57/418492757.db2.gz WLLDDUPWASWSMU-TUAOUCFPSA-N -1 1 312.291 1.822 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC[C@H]3OCCC[C@@H]3C1)c2=O ZINC000374284407 418513525 /nfs/dbraw/zinc/51/35/25/418513525.db2.gz TWYKZQRDGYSUOT-ZWNOBZJWSA-N -1 1 316.361 1.315 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncccc1[O-])c1ccc(F)c(C)c1 ZINC000188256926 222010882 /nfs/dbraw/zinc/01/08/82/222010882.db2.gz OWBJMHHZDFZLLC-CYBMUJFWSA-N -1 1 318.304 1.879 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-]c2c[nH]nc2C)cc1 ZINC000194634527 222180654 /nfs/dbraw/zinc/18/06/54/222180654.db2.gz HWSXMZXICFREEG-UHFFFAOYSA-N -1 1 309.347 1.447 20 0 DDADMM O=S(=O)([N-][C@H]1CCO[C@H]1C1CC1)c1cccc(F)c1F ZINC000361178310 418599108 /nfs/dbraw/zinc/59/91/08/418599108.db2.gz DSQUYQRYKKDNPJ-GWCFXTLKSA-N -1 1 303.330 1.811 20 0 DDADMM CC(C)C[C@H](CNC(=O)c1ccc2[nH]nnc2c1)CC(=O)[O-] ZINC000316025034 418599258 /nfs/dbraw/zinc/59/92/58/418599258.db2.gz UETSROSSUCRAPJ-JTQLQIEISA-N -1 1 304.350 1.825 20 0 DDADMM CCOC(=O)C1(F)CN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000367700819 418622372 /nfs/dbraw/zinc/62/23/72/418622372.db2.gz DDFLXFCINZJZJI-UHFFFAOYSA-N -1 1 303.236 1.398 20 0 DDADMM C[C@H](c1ncc(-c2ccccc2)o1)S(=O)(=O)c1nnc[n-]1 ZINC000361310737 418623781 /nfs/dbraw/zinc/62/37/81/418623781.db2.gz RFUUNEFSWBILEY-SECBINFHSA-N -1 1 304.331 1.995 20 0 DDADMM C[C@H](c1ncc(-c2ccccc2)o1)S(=O)(=O)c1ncn[n-]1 ZINC000361310737 418623782 /nfs/dbraw/zinc/62/37/82/418623782.db2.gz RFUUNEFSWBILEY-SECBINFHSA-N -1 1 304.331 1.995 20 0 DDADMM C[C@H](c1ncc(-c2ccccc2)o1)S(=O)(=O)c1nc[n-]n1 ZINC000361310737 418623785 /nfs/dbraw/zinc/62/37/85/418623785.db2.gz RFUUNEFSWBILEY-SECBINFHSA-N -1 1 304.331 1.995 20 0 DDADMM CC1(C)Cc2cccc(OCC(=O)N=c3ccc(O)n[n-]3)c2O1 ZINC000361967929 418732339 /nfs/dbraw/zinc/73/23/39/418732339.db2.gz OTJQPTOXJORXJZ-UHFFFAOYSA-N -1 1 315.329 1.335 20 0 DDADMM CC(C)(C)OC(=O)N1CCC2(C[C@H]2C(=O)Nc2nnn[n-]2)CC1 ZINC000371238040 418770407 /nfs/dbraw/zinc/77/04/07/418770407.db2.gz FXTTZYNWQWEMEK-VIFPVBQESA-N -1 1 322.369 1.175 20 0 DDADMM CC(C)(C)OC(=O)N1CCC2(C[C@H]2C(=O)Nc2nn[n-]n2)CC1 ZINC000371238040 418770409 /nfs/dbraw/zinc/77/04/09/418770409.db2.gz FXTTZYNWQWEMEK-VIFPVBQESA-N -1 1 322.369 1.175 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCN2CCOC[C@H]2C1 ZINC000371870409 418821996 /nfs/dbraw/zinc/82/19/96/418821996.db2.gz PWFJMNGTNPLOPE-CQSZACIVSA-N -1 1 312.369 1.702 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H]1OCCc2ccsc21)c1nn[n-]n1 ZINC000371927626 418825034 /nfs/dbraw/zinc/82/50/34/418825034.db2.gz HJEDNJXAMPQTTB-QWRGUYRKSA-N -1 1 321.406 1.923 20 0 DDADMM O=C(Nc1nc(-c2ccco2)n[nH]1)C1(N2CCOCC2)CCC1 ZINC000411296805 418890497 /nfs/dbraw/zinc/89/04/97/418890497.db2.gz QLWFHHRMCUVGMY-UHFFFAOYSA-N -1 1 317.349 1.258 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1CCC[C@@H](OC)C1 ZINC000410664869 418837074 /nfs/dbraw/zinc/83/70/74/418837074.db2.gz TVDXEXLHLSWFFS-GHMZBOCLSA-N -1 1 309.366 1.162 20 0 DDADMM CC(C)COc1cccc(C(=O)Nc2nn[nH]c2C(N)=O)c1 ZINC000410856742 418852199 /nfs/dbraw/zinc/85/21/99/418852199.db2.gz GOWQRGXAWAVBAP-UHFFFAOYSA-N -1 1 303.322 1.191 20 0 DDADMM CN(C)C1(C(=O)N2CCC[C@H](C(=O)[O-])C2)Cc2ccccc2C1 ZINC000372943422 418915130 /nfs/dbraw/zinc/91/51/30/418915130.db2.gz GIHWBHZMHHQXBZ-HNNXBMFYSA-N -1 1 316.401 1.409 20 0 DDADMM O=S(=O)([N-]CCC1(O)CCC1)c1ncccc1C(F)(F)F ZINC000645698307 423145533 /nfs/dbraw/zinc/14/55/33/423145533.db2.gz LJSFPPKBWUUTPV-UHFFFAOYSA-N -1 1 324.324 1.684 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC2([S@](C)=O)CC2)c(F)c1 ZINC000425170484 228379979 /nfs/dbraw/zinc/37/99/79/228379979.db2.gz AHEXIELHBQBKJA-IBGZPJMESA-N -1 1 323.386 1.463 20 0 DDADMM CCC[C@@H](CC)NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000426274615 419427427 /nfs/dbraw/zinc/42/74/27/419427427.db2.gz ALXRCAMPXXQMEA-SNVBAGLBSA-N -1 1 312.443 1.361 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)Cc2cc(C(C)(C)C)on2)o1 ZINC000429246667 419991958 /nfs/dbraw/zinc/99/19/58/419991958.db2.gz OYNDOZVHLRMITG-UHFFFAOYSA-N -1 1 313.379 1.888 20 0 DDADMM CCc1c(C(=O)NC2(c3nn[n-]n3)CC2)cnn1C(C)(C)C ZINC000430334478 420108981 /nfs/dbraw/zinc/10/89/81/420108981.db2.gz VGANPLYBSIADKZ-UHFFFAOYSA-N -1 1 303.370 1.133 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CC(F)(F)CN2)c1 ZINC000423739248 420152838 /nfs/dbraw/zinc/15/28/38/420152838.db2.gz HARMBHLSQTUNGV-SECBINFHSA-N -1 1 300.261 1.115 20 0 DDADMM Cc1ccccc1C[C@@H](C)NC(=O)CN1CCC(C(=O)[O-])CC1 ZINC000430650274 420171480 /nfs/dbraw/zinc/17/14/80/420171480.db2.gz JUCNVXFADLQQHQ-CQSZACIVSA-N -1 1 318.417 1.839 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCC[C@H](C(C)C)C1 ZINC000416150396 420258535 /nfs/dbraw/zinc/25/85/35/420258535.db2.gz JVFCLQOUVIZHKJ-UWVGGRQHSA-N -1 1 309.366 1.841 20 0 DDADMM CNC(=O)c1ccc(=NCCC(C)(C)C(=O)OC(C)(C)C)[n-]n1 ZINC000425275704 420336482 /nfs/dbraw/zinc/33/64/82/420336482.db2.gz UGRJPWZXROHLGH-UHFFFAOYSA-N -1 1 322.409 1.428 20 0 DDADMM CC(C)(C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)C1CCOCC1 ZINC000425316105 420347722 /nfs/dbraw/zinc/34/77/22/420347722.db2.gz CGMSYVDARGYNOK-UHFFFAOYSA-N -1 1 323.393 1.934 20 0 DDADMM Cc1ncsc1CCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425316085 420348033 /nfs/dbraw/zinc/34/80/33/420348033.db2.gz CDGRBGYHTRGJSR-UHFFFAOYSA-N -1 1 322.390 1.879 20 0 DDADMM CCc1nnc(C)cc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425320321 420348771 /nfs/dbraw/zinc/34/87/71/420348771.db2.gz KMPXAQLCYBSQFU-UHFFFAOYSA-N -1 1 317.349 1.456 20 0 DDADMM NC(=O)NC(=O)c1cccc(NC(=O)c2cc(F)ccc2[O-])c1 ZINC000436714623 420357752 /nfs/dbraw/zinc/35/77/52/420357752.db2.gz IVQPTLSTPMTGLY-UHFFFAOYSA-N -1 1 317.276 1.592 20 0 DDADMM O=C(N[C@H](CO)[C@@H]1CCCO1)c1cc2ccccc2cc1[O-] ZINC000436806389 420370133 /nfs/dbraw/zinc/37/01/33/420370133.db2.gz HMTWODSGZDMTII-ZBFHGGJFSA-N -1 1 301.342 1.815 20 0 DDADMM NS(=O)(=O)Cc1cccc(NC(=O)c2ccc([O-])cc2F)c1 ZINC000436867801 420378700 /nfs/dbraw/zinc/37/87/00/420378700.db2.gz DPNNKJMHHYHUON-UHFFFAOYSA-N -1 1 324.333 1.572 20 0 DDADMM Cn1c(CNC(=O)c2ccc(Cl)cc2[O-])nnc1C1CC1 ZINC000436905773 420381116 /nfs/dbraw/zinc/38/11/16/420381116.db2.gz UPNYQGCBYJNRPL-UHFFFAOYSA-N -1 1 306.753 1.982 20 0 DDADMM O=C(C(=O)N1CCN(c2ccccc2)C(=O)C1)c1ccc([O-])cc1 ZINC000436908473 420381573 /nfs/dbraw/zinc/38/15/73/420381573.db2.gz MWTPSZFZWMJORS-UHFFFAOYSA-N -1 1 324.336 1.450 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)CCOCC2CCCC2)n1 ZINC000416471047 420384917 /nfs/dbraw/zinc/38/49/17/420384917.db2.gz OLHFODIPEHAKGR-UHFFFAOYSA-N -1 1 303.384 1.004 20 0 DDADMM CCOC[C@@H](O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436767614 420365042 /nfs/dbraw/zinc/36/50/42/420365042.db2.gz GMOYGROAWYHEGN-VIFPVBQESA-N -1 1 307.268 1.538 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)c1sccc1F ZINC000416575593 420422759 /nfs/dbraw/zinc/42/27/59/420422759.db2.gz BFPBVXLQKCLQSO-VIFPVBQESA-N -1 1 307.368 1.507 20 0 DDADMM Cn1cc(NC(=O)C(=O)c2ccc([O-])cc2)cc(Cl)c1=O ZINC000438872564 420468606 /nfs/dbraw/zinc/46/86/06/420468606.db2.gz GAZLXHAHGBRGCN-UHFFFAOYSA-N -1 1 306.705 1.566 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)c2cccc(C)c2)o1 ZINC000457056977 420597819 /nfs/dbraw/zinc/59/78/19/420597819.db2.gz QSHICELBKMFURC-LLVKDONJSA-N -1 1 322.386 1.987 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCCC2(F)F)co1 ZINC000452812537 420666678 /nfs/dbraw/zinc/66/66/78/420666678.db2.gz PHJFOTXSYYFWTC-VIFPVBQESA-N -1 1 322.333 1.353 20 0 DDADMM CCN1C[C@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])CC1=O ZINC000452949461 420686704 /nfs/dbraw/zinc/68/67/04/420686704.db2.gz JSZVCYMZYNKXRA-SECBINFHSA-N -1 1 316.279 1.762 20 0 DDADMM COCC[C@@](C)(O)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442670650 420698310 /nfs/dbraw/zinc/69/83/10/420698310.db2.gz FVBRNLNNVWUIIU-CQSZACIVSA-N -1 1 317.769 1.572 20 0 DDADMM COc1cc(C(=O)N[C@H](CO)CCSC)cc(Cl)c1[O-] ZINC000442671294 420698639 /nfs/dbraw/zinc/69/86/39/420698639.db2.gz LRNOIBDYDZMGJL-VIFPVBQESA-N -1 1 319.810 1.898 20 0 DDADMM CC[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1ncc[nH]1 ZINC000442823799 420722258 /nfs/dbraw/zinc/72/22/58/420722258.db2.gz RNYLRXOZNJBJTH-JTQLQIEISA-N -1 1 300.322 1.278 20 0 DDADMM CCC(C)(C)OCCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454368662 420848423 /nfs/dbraw/zinc/84/84/23/420848423.db2.gz FMMSWMJTWZFKHU-UHFFFAOYSA-N -1 1 303.362 1.858 20 0 DDADMM COC[C@@H](C)n1ccc([N-]S(=O)(=O)N2CC[C@H](C)C2)n1 ZINC000489040434 421132670 /nfs/dbraw/zinc/13/26/70/421132670.db2.gz KXZBDCZUYLRILC-WDEREUQCSA-N -1 1 302.400 1.089 20 0 DDADMM C[C@@H]1CCC[C@@]1(C)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000450137324 421150513 /nfs/dbraw/zinc/15/05/13/421150513.db2.gz QRUAKPBQYRBXGR-QMTHXVAHSA-N -1 1 309.439 1.426 20 0 DDADMM CNC(=O)C[C@@H](C)NC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000456467803 421171360 /nfs/dbraw/zinc/17/13/60/421171360.db2.gz RTCJNXQHPGLJBG-MRVPVSSYSA-N -1 1 321.764 1.848 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cnc3n2CCC3)cn2ccnc12 ZINC000560254218 421241442 /nfs/dbraw/zinc/24/14/42/421241442.db2.gz GVLWVKHEFAEAGB-UHFFFAOYSA-N -1 1 317.374 1.586 20 0 DDADMM CC(=O)c1[n-]c(=N[C@H](CO)C[C@@H](O)C(C)(C)C)sc1C ZINC000450511965 421205406 /nfs/dbraw/zinc/20/54/06/421205406.db2.gz CLZQWGBALQGYAD-WDEREUQCSA-N -1 1 300.424 1.646 20 0 DDADMM C[C@@H]1CCC[C@]1(C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000450553759 421209300 /nfs/dbraw/zinc/20/93/00/421209300.db2.gz CJJXVUFLAXFXQT-OTYXRUKQSA-N -1 1 312.391 1.804 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(=O)n3nc[n-]c3n2)[C@@H]2CCCC[C@@H]21 ZINC000545451172 421258980 /nfs/dbraw/zinc/25/89/80/421258980.db2.gz RXWJFFYZYOKLJV-CKYFFXLPSA-N -1 1 301.350 1.068 20 0 DDADMM CC[C@@](C)(CNC(=O)OC(C)(C)C)C(=O)[N-]OCCCO ZINC000496823020 421323204 /nfs/dbraw/zinc/32/32/04/421323204.db2.gz XGWDFGJVNIOPRP-AWEZNQCLSA-N -1 1 304.387 1.358 20 0 DDADMM O=C(NCCC1CCS(=O)(=O)CC1)c1c([O-])cccc1F ZINC000547485725 421337473 /nfs/dbraw/zinc/33/74/73/421337473.db2.gz XYGBZHSQDFELFL-UHFFFAOYSA-N -1 1 315.366 1.476 20 0 DDADMM CC(C)[C@@]1(C)C[C@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000547841355 421373559 /nfs/dbraw/zinc/37/35/59/421373559.db2.gz MCTJPSGUWICLJA-IINYFYTJSA-N -1 1 309.439 1.281 20 0 DDADMM C[C@@H]1CCN2[C@H](C1)C(=O)N[C@@H]2c1n[nH]c2cc(C(=O)[O-])ccc21 ZINC000548290858 421419182 /nfs/dbraw/zinc/41/91/82/421419182.db2.gz IZGXZSDJAXZTCV-RGZBUGHASA-N -1 1 314.345 1.490 20 0 DDADMM CCc1nc(=NC[C@H](c2nc[nH]n2)c2ccccc2)s[n-]1 ZINC000514993149 421470979 /nfs/dbraw/zinc/47/09/79/421470979.db2.gz ZYEOEBFFDNZCNW-NSHDSACASA-N -1 1 300.391 1.885 20 0 DDADMM COc1ccc(-c2noc(=NCc3n[nH]c(C4CC4)n3)[n-]2)cc1 ZINC000515036988 421474220 /nfs/dbraw/zinc/47/42/20/421474220.db2.gz NNERWRXVKCAJLJ-UHFFFAOYSA-N -1 1 312.333 1.775 20 0 DDADMM Cn1c(CNC(=O)c2c(F)ccc([O-])c2F)nnc1C1CC1 ZINC000515069258 421478168 /nfs/dbraw/zinc/47/81/68/421478168.db2.gz SMEXWOCWGLJAHR-UHFFFAOYSA-N -1 1 308.288 1.606 20 0 DDADMM CC(C)[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C1(CO)CCC1 ZINC000518397275 421651352 /nfs/dbraw/zinc/65/13/52/421651352.db2.gz MECUGRDJEKDTGX-OAHLLOKOSA-N -1 1 305.374 1.878 20 0 DDADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]2)[C@@H](C)CN1Cc1ccccc1 ZINC000534313197 421689083 /nfs/dbraw/zinc/68/90/83/421689083.db2.gz FFQAQDZGLHYYEZ-NEPJUHHUSA-N -1 1 315.377 1.245 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)nn1C(F)F ZINC000537122501 421728465 /nfs/dbraw/zinc/72/84/65/421728465.db2.gz ZTDAAOZDBHTFAF-QMMMGPOBSA-N -1 1 311.296 1.120 20 0 DDADMM COc1cccc2c(C(=O)N=c3nc4n([n-]3)CCCC4)n[nH]c21 ZINC000570796538 421668859 /nfs/dbraw/zinc/66/88/59/421668859.db2.gz DFGJWSVWLDMAMR-UHFFFAOYSA-N -1 1 312.333 1.174 20 0 DDADMM CCN(CC)CCS(=O)(=O)N(C)C1(C(=O)[O-])CCCCC1 ZINC000519149580 421683214 /nfs/dbraw/zinc/68/32/14/421683214.db2.gz DANLOOPNCNTQRB-UHFFFAOYSA-N -1 1 320.455 1.377 20 0 DDADMM O=C(Cc1ccc(O)cc1Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000541888269 421812554 /nfs/dbraw/zinc/81/25/54/421812554.db2.gz WPKRDMBBEOENQS-SNVBAGLBSA-N -1 1 321.768 1.507 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@]2(CC=CCC2)C1 ZINC000542769144 421828162 /nfs/dbraw/zinc/82/81/62/421828162.db2.gz NMSOSXURSOKCOS-MRXNPFEDSA-N -1 1 313.361 1.380 20 0 DDADMM CCC[C@@H]1C[C@@H](C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCO1 ZINC000540959602 421780256 /nfs/dbraw/zinc/78/02/56/421780256.db2.gz KZRYLZDPXMOFMT-YNEHKIRRSA-N -1 1 307.398 1.501 20 0 DDADMM O=C(c1cc(F)c(F)c([O-])c1F)N1CC[C@H](c2nc[nH]n2)C1 ZINC000572394990 421784639 /nfs/dbraw/zinc/78/46/39/421784639.db2.gz KMEFJNPBOSKNGW-LURJTMIESA-N -1 1 312.251 1.557 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CNc3ccccc3C2)sn1 ZINC000631948650 421965828 /nfs/dbraw/zinc/96/58/28/421965828.db2.gz LOQUMMDKMMOGOA-LLVKDONJSA-N -1 1 309.416 1.767 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CCc2c(cccc2C(=O)[O-])C1 ZINC000630216604 421968749 /nfs/dbraw/zinc/96/87/49/421968749.db2.gz LGGWGXKBYCKERG-NSHDSACASA-N -1 1 313.357 1.871 20 0 DDADMM C[C@@]1(c2cccc(F)c2)CCN(C(=O)CCc2nn[n-]n2)C1 ZINC000633565543 421970374 /nfs/dbraw/zinc/97/03/74/421970374.db2.gz LPCPWIMTIQCTLJ-OAHLLOKOSA-N -1 1 303.341 1.462 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1c[nH]c(=O)s1)c1nccs1 ZINC000632015931 422024393 /nfs/dbraw/zinc/02/43/93/422024393.db2.gz ANJGIZSGXOXURF-JTQLQIEISA-N -1 1 319.433 1.909 20 0 DDADMM Cc1[nH]c2ccccc2c1CCNC(=O)CCCc1nn[n-]n1 ZINC000635478484 422026177 /nfs/dbraw/zinc/02/61/77/422026177.db2.gz LMNPHCRGISORLW-UHFFFAOYSA-N -1 1 312.377 1.671 20 0 DDADMM C[C@H](O)C[C@H](C)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632019251 422026933 /nfs/dbraw/zinc/02/69/33/422026933.db2.gz SFBURISWNNFHEC-BQBZGAKWSA-N -1 1 315.317 1.114 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCO[C@H](c2cccc(F)c2)C1 ZINC000635494967 422041156 /nfs/dbraw/zinc/04/11/56/422041156.db2.gz PBXRITIGXKUKIA-ZDUSSCGKSA-N -1 1 319.340 1.262 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@H]1Cc1ccccc1F ZINC000633573032 421975675 /nfs/dbraw/zinc/97/56/75/421975675.db2.gz CULRNYFVWHTSRM-ZDUSSCGKSA-N -1 1 317.368 1.895 20 0 DDADMM C[C@H]1CN(C(=O)CCc2nn[n-]n2)CCc2ccc(F)cc21 ZINC000633581340 421982468 /nfs/dbraw/zinc/98/24/68/421982468.db2.gz AHWICMHCKAHBOT-JTQLQIEISA-N -1 1 303.341 1.460 20 0 DDADMM CCCC[C@H](COC)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631988311 422001641 /nfs/dbraw/zinc/00/16/41/422001641.db2.gz BOFFIDWFBUGWLI-LLVKDONJSA-N -1 1 317.407 1.884 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H](O)CC(C)(C)C)c1 ZINC000632014518 422021587 /nfs/dbraw/zinc/02/15/87/422021587.db2.gz LNHQISOUMIZVKT-SNVBAGLBSA-N -1 1 317.407 1.476 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)C[C@@H]2CCOC2)c1 ZINC000632070258 422067834 /nfs/dbraw/zinc/06/78/34/422067834.db2.gz AZSHDHJFQDRYSS-JTQLQIEISA-N -1 1 301.364 1.058 20 0 DDADMM CC[C@H](CCO)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632087991 422079040 /nfs/dbraw/zinc/07/90/40/422079040.db2.gz MLIBUOBQFXHKKH-SNVBAGLBSA-N -1 1 303.380 1.088 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)CCOCC2CC2)c1 ZINC000632090631 422079949 /nfs/dbraw/zinc/07/99/49/422079949.db2.gz MHGDWUQEPJSTND-UHFFFAOYSA-N -1 1 315.391 1.448 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)CC(C)(C)OC)c1 ZINC000632097786 422087603 /nfs/dbraw/zinc/08/76/03/422087603.db2.gz NELWAWNBBKMGIK-UHFFFAOYSA-N -1 1 303.380 1.446 20 0 DDADMM CCOCCC1(C(=O)N=c2[nH][n-]c(C)c2C(=O)NC)CCCC1 ZINC000633691715 422052221 /nfs/dbraw/zinc/05/22/21/422052221.db2.gz JPMMVDZKTDCWSD-UHFFFAOYSA-N -1 1 322.409 1.425 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H](c2nn[n-]n2)C1)[C@H]1CCCCO1 ZINC000632054217 422054756 /nfs/dbraw/zinc/05/47/56/422054756.db2.gz PQXMPPMKPFIEAP-NWDGAFQWSA-N -1 1 307.398 1.501 20 0 DDADMM Cc1csc([C@@H](NC(=O)CCCc2nn[n-]n2)C2CC2)n1 ZINC000635606616 422157272 /nfs/dbraw/zinc/15/72/72/422157272.db2.gz FSCZGLMIPDCYID-LBPRGKRZSA-N -1 1 306.395 1.555 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(Cc1ccccc1)CC(F)F ZINC000635626552 422178090 /nfs/dbraw/zinc/17/80/90/422178090.db2.gz JZRYVVIPOJMQTK-UHFFFAOYSA-N -1 1 309.320 1.816 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCOC[C@H]1c1ccccc1 ZINC000635628620 422181584 /nfs/dbraw/zinc/18/15/84/422181584.db2.gz NYEXETWKDYUEFU-ZDUSSCGKSA-N -1 1 301.350 1.123 20 0 DDADMM O=C(NC[C@@H](n1cncn1)C(F)(F)F)c1c([O-])cccc1F ZINC000633985013 422198248 /nfs/dbraw/zinc/19/82/48/422198248.db2.gz UUBXDGIPUHDBFQ-SECBINFHSA-N -1 1 318.230 1.656 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](C)[C@@H](OC)C2)c1 ZINC000632260821 422201793 /nfs/dbraw/zinc/20/17/93/422201793.db2.gz WOTNMCVQERMFAB-GWCFXTLKSA-N -1 1 315.391 1.446 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)CCCc2nn[n-]n2)C1(CC)CC ZINC000635651666 422207013 /nfs/dbraw/zinc/20/70/13/422207013.db2.gz FSQSZDARENKLKF-VXGBXAGGSA-N -1 1 309.414 1.622 20 0 DDADMM CN(CCOCc1ccccc1)C(=O)CCCc1nn[n-]n1 ZINC000635673731 422228555 /nfs/dbraw/zinc/22/85/55/422228555.db2.gz JWPFQPIKLIFYCG-UHFFFAOYSA-N -1 1 303.366 1.198 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCCOc2cc(F)ccc21 ZINC000632318698 422246906 /nfs/dbraw/zinc/24/69/06/422246906.db2.gz TZSBKVMNOUBSNZ-NSHDSACASA-N -1 1 305.313 1.302 20 0 DDADMM Brc1cncc(CNC2(c3nnn[n-]3)CCCC2)c1 ZINC000575105947 422256373 /nfs/dbraw/zinc/25/63/73/422256373.db2.gz RLIUEKBVEMNYPZ-UHFFFAOYSA-N -1 1 323.198 1.916 20 0 DDADMM Brc1cncc(CNC2(c3nn[n-]n3)CCCC2)c1 ZINC000575105947 422256377 /nfs/dbraw/zinc/25/63/77/422256377.db2.gz RLIUEKBVEMNYPZ-UHFFFAOYSA-N -1 1 323.198 1.916 20 0 DDADMM Cn1cccc1[C@H]1CCCCN1C(=O)CCCc1nn[n-]n1 ZINC000635637832 422190286 /nfs/dbraw/zinc/19/02/86/422190286.db2.gz IQJLSXPPVCSGBQ-CYBMUJFWSA-N -1 1 302.382 1.615 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@H](COc2ccccc2)C1 ZINC000632356544 422271198 /nfs/dbraw/zinc/27/11/98/422271198.db2.gz TWMJUDISPDYGIS-LBPRGKRZSA-N -1 1 301.350 1.060 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000575154265 422271496 /nfs/dbraw/zinc/27/14/96/422271496.db2.gz CRJANRVSOIFQHJ-IONNQARKSA-N -1 1 319.317 1.543 20 0 DDADMM O=C(COCc1cccnc1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000584178878 422276614 /nfs/dbraw/zinc/27/66/14/422276614.db2.gz ORKHKKDHXDFMJJ-UHFFFAOYSA-N -1 1 301.228 1.374 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CS[C@@H](C)C2)c1 ZINC000632455550 422358795 /nfs/dbraw/zinc/35/87/95/422358795.db2.gz GYIXYEHOTCVPON-IUCAKERBSA-N -1 1 303.405 1.573 20 0 DDADMM C[C@@]1(CNC(=O)CCCc2nn[n-]n2)CCCc2ccccc21 ZINC000635764819 422324101 /nfs/dbraw/zinc/32/41/01/422324101.db2.gz CAORIKPPRXCSON-KRWDZBQOSA-N -1 1 313.405 1.933 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2COCC2(C)C)c1 ZINC000632419311 422327762 /nfs/dbraw/zinc/32/77/62/422327762.db2.gz CGMTWESOUMIQLZ-LBPRGKRZSA-N -1 1 301.364 1.104 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(Cc3ccc(C)cc3)C2)c1[O-] ZINC000634457196 422434967 /nfs/dbraw/zinc/43/49/67/422434967.db2.gz TZBSRBWRVSNDIW-AWEZNQCLSA-N -1 1 314.389 1.736 20 0 DDADMM CC[C@@H](COCC1CC1)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000632545338 422426006 /nfs/dbraw/zinc/42/60/06/422426006.db2.gz BHJRWZADIJJOMN-NSHDSACASA-N -1 1 304.437 1.935 20 0 DDADMM COc1cc(C(=O)[O-])ccc1-n1[nH]c([C@@H]2CCOC2)cc1=O ZINC000634612483 422506398 /nfs/dbraw/zinc/50/63/98/422506398.db2.gz ZLQSLLZHUOKLHH-SNVBAGLBSA-N -1 1 304.302 1.523 20 0 DDADMM CCc1c(C)[n-]n(-c2ccccc2S(=O)(=O)N(C)C)c1=O ZINC000634613674 422506558 /nfs/dbraw/zinc/50/65/58/422506558.db2.gz FMWZWBOZHGFCST-LLVKDONJSA-N -1 1 309.391 1.686 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCN(c2ccccc2F)C1 ZINC000635956101 422510179 /nfs/dbraw/zinc/51/01/79/422510179.db2.gz OOMJTOVVNMXTFX-NSHDSACASA-N -1 1 318.356 1.057 20 0 DDADMM CC(C)[C@@H]1CCc2[n-]n(C3CCS(=O)(=O)CC3)c(=O)c2C1 ZINC000634620056 422510941 /nfs/dbraw/zinc/51/09/41/422510941.db2.gz RHKWLYNTOHNFHJ-DGCLKSJQSA-N -1 1 312.435 1.834 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CO[C@@H](C3CC3)C2)c1 ZINC000632600696 422466254 /nfs/dbraw/zinc/46/62/54/422466254.db2.gz RHNQMZYRYMOYKW-ZWNOBZJWSA-N -1 1 313.375 1.247 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1cc(F)ccc1F)n1cccn1 ZINC000632734125 422550558 /nfs/dbraw/zinc/55/05/58/422550558.db2.gz UWXKHWOKVGDROQ-UHFFFAOYSA-N -1 1 315.345 1.875 20 0 DDADMM CCC(C)(C)[C@H](O)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000636001679 422557499 /nfs/dbraw/zinc/55/74/99/422557499.db2.gz SBBMVPZNPKQPFZ-MRVPVSSYSA-N -1 1 304.188 1.638 20 0 DDADMM CCn1c(CN2C[C@@H]3COC[C@@H]3C2)nc2cc(C(=O)[O-])ccc21 ZINC000634698063 422557668 /nfs/dbraw/zinc/55/76/68/422557668.db2.gz ZLGACDXFVONFDU-BETUJISGSA-N -1 1 315.373 1.833 20 0 DDADMM O=C(Cc1csc(CCC(F)(F)F)n1)NCc1nn[n-]n1 ZINC000631234467 422620653 /nfs/dbraw/zinc/62/06/53/422620653.db2.gz ZWSBZFICXCKIIM-UHFFFAOYSA-N -1 1 320.300 1.010 20 0 DDADMM O=C(NCC1CC1)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629379517 422640024 /nfs/dbraw/zinc/64/00/24/422640024.db2.gz QZLNTYZUXOJYNX-UHFFFAOYSA-N -1 1 300.318 1.043 20 0 DDADMM CS(=O)(=O)[C@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000632896631 422649512 /nfs/dbraw/zinc/64/95/12/422649512.db2.gz GRWKWLLIBFCJDR-JTQLQIEISA-N -1 1 317.794 1.234 20 0 DDADMM O=C([C@@H]1CCc2ccccc2C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000578899333 422670088 /nfs/dbraw/zinc/67/00/88/422670088.db2.gz PYYBIGKPGBHDDQ-CABCVRRESA-N -1 1 311.389 1.711 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCCN(c2cccc(F)c2)C1 ZINC000631333640 422694458 /nfs/dbraw/zinc/69/44/58/422694458.db2.gz LDVLESJIWZPAQY-LBPRGKRZSA-N -1 1 318.356 1.057 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)CCS(=O)(=O)c1cccc(OC)c1 ZINC000650516342 423185036 /nfs/dbraw/zinc/18/50/36/423185036.db2.gz XLIXVZAWWDWYTB-CYBMUJFWSA-N -1 1 315.391 1.264 20 0 DDADMM O=C(Nc1n[nH]c2ncnn12)c1c([O-])cc(Cl)cc1Cl ZINC000646264790 423426419 /nfs/dbraw/zinc/42/64/19/423426419.db2.gz GBJNRFHXNZVWPC-UHFFFAOYSA-N -1 1 313.104 1.717 20 0 DDADMM CCc1ccsc1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000643909550 423403080 /nfs/dbraw/zinc/40/30/80/423403080.db2.gz JKIFGJXDJMRDIL-UHFFFAOYSA-N -1 1 303.347 1.602 20 0 DDADMM CO[C@@H]1COC[C@H]1NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000649042189 423596309 /nfs/dbraw/zinc/59/63/09/423596309.db2.gz QKWFLTRGHXSNEQ-MWLCHTKSSA-N -1 1 305.252 1.555 20 0 DDADMM NC(=O)C[C@@H]1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000644512156 423680686 /nfs/dbraw/zinc/68/06/86/423680686.db2.gz NBTUIHXWZWZTIJ-QMMMGPOBSA-N -1 1 316.279 1.749 20 0 DDADMM Cn1nccc1[C@H](NCc1ccc2c(c1)OCCO2)C(=O)[O-] ZINC000644598218 423718668 /nfs/dbraw/zinc/71/86/68/423718668.db2.gz QFESBTRPJKEONQ-AWEZNQCLSA-N -1 1 303.318 1.107 20 0 DDADMM Cc1c(S(=O)(=O)[N-]c2cnn(-c3ccncc3)c2)cnn1C ZINC000644615982 423726784 /nfs/dbraw/zinc/72/67/84/423726784.db2.gz ZAUCVBARPQOUDA-UHFFFAOYSA-N -1 1 318.362 1.110 20 0 DDADMM COCCN1CCCN(C(=O)c2cccc(C(=O)[O-])c2)CC1 ZINC000647117590 423760924 /nfs/dbraw/zinc/76/09/24/423760924.db2.gz YCDOMOKTNKNALV-UHFFFAOYSA-N -1 1 306.362 1.179 20 0 DDADMM CO[C@H](CS(=O)(=O)Nc1cccc(C(=O)[O-])c1O)C(C)C ZINC000647130289 423768789 /nfs/dbraw/zinc/76/87/89/423768789.db2.gz OBBZUGYSVBVPCM-LLVKDONJSA-N -1 1 317.363 1.503 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccc2c1CNC2=O)C(C)C ZINC000647133004 423769803 /nfs/dbraw/zinc/76/98/03/423769803.db2.gz IPRREVGGSPBFDB-CYBMUJFWSA-N -1 1 312.391 1.343 20 0 DDADMM Cc1ccc2nc(CNC(=O)NCC3(C(=O)[O-])CCC3)[nH]c2c1 ZINC000659397658 423796539 /nfs/dbraw/zinc/79/65/39/423796539.db2.gz NDZNEHADSODRBF-UHFFFAOYSA-N -1 1 316.361 1.925 20 0 DDADMM Cc1nc([C@@](C)(NC(=O)c2ncc(C)cc2[O-])C2CC2)no1 ZINC000647139756 423778862 /nfs/dbraw/zinc/77/88/62/423778862.db2.gz MNUHURRUFQZWQA-HNNXBMFYSA-N -1 1 302.334 1.842 20 0 DDADMM COCCS(=O)(=O)[N-]c1nn(CC(F)(F)F)cc1Cl ZINC000641692637 423871789 /nfs/dbraw/zinc/87/17/89/423871789.db2.gz QMXBZFNUZCVZPA-UHFFFAOYSA-N -1 1 321.708 1.487 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)NC[C@H](CC(=O)[O-])CC(C)C ZINC000659416331 423820414 /nfs/dbraw/zinc/82/04/14/423820414.db2.gz SGTPUDGWXFVQDK-UONOGXRCSA-N -1 1 313.442 1.859 20 0 DDADMM C[C@H](NC(=O)c1csc(=NC2CC2)[n-]1)[C@H](O)C(F)(F)F ZINC000644755440 423854271 /nfs/dbraw/zinc/85/42/71/423854271.db2.gz WRYBEHIPURENRI-XNCJUZBTSA-N -1 1 309.313 1.181 20 0 DDADMM CS(=O)(=O)c1cc(C(=O)[N-]c2nnc(C3CC3)s2)co1 ZINC000649491130 423957391 /nfs/dbraw/zinc/95/73/91/423957391.db2.gz AMUHBNIKRDNAGJ-UHFFFAOYSA-N -1 1 313.360 1.664 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(C(F)(F)F)cnn1C)C(F)F ZINC000641707081 423892467 /nfs/dbraw/zinc/89/24/67/423892467.db2.gz YVLWZDIYBWLVQC-BYPYZUCNSA-N -1 1 307.244 1.371 20 0 DDADMM CN(C)C(=O)C1(NC(=O)c2ccc(C(F)(F)F)c([O-])c2)CC1 ZINC000665971974 423975316 /nfs/dbraw/zinc/97/53/16/423975316.db2.gz UVFHDIOVODTHSI-UHFFFAOYSA-N -1 1 316.279 1.762 20 0 DDADMM COc1ccc2c(c1)CC[C@H](N=c1[n-]c(C(N)=O)cs1)C2 ZINC000644939368 424064958 /nfs/dbraw/zinc/06/49/58/424064958.db2.gz NWLBSVCHOITSQQ-NSHDSACASA-N -1 1 303.387 1.642 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2C[C@H](C)n3ncnc32)sc1C ZINC000657069740 424072871 /nfs/dbraw/zinc/07/28/71/424072871.db2.gz XZZLAKIVVSFPJS-RCOVLWMOSA-N -1 1 313.408 1.336 20 0 DDADMM O=C(C(=O)N1CC2(CCC2)[C@@H]2COC[C@H]21)c1ccc([O-])cc1 ZINC000655137878 424242974 /nfs/dbraw/zinc/24/29/74/424242974.db2.gz YBTCGFTWVUEWPF-ZIAGYGMSSA-N -1 1 301.342 1.602 20 0 DDADMM O=C([O-])C1(CNC(=O)c2cccc(-c3nnc[nH]3)c2)CCC1 ZINC000659784199 424254889 /nfs/dbraw/zinc/25/48/89/424254889.db2.gz BTXYEDSYYPDGCO-UHFFFAOYSA-N -1 1 300.318 1.456 20 0 DDADMM C[C@@H](CC(F)(F)F)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000663968406 424310641 /nfs/dbraw/zinc/31/06/41/424310641.db2.gz HCEUEEQDLZKFMU-WCBMZHEXSA-N -1 1 313.283 1.994 20 0 DDADMM O=C(NCc1n[nH]c([C@H]2CCCO2)n1)c1ccc([O-])c(Cl)c1 ZINC000655238283 424326292 /nfs/dbraw/zinc/32/62/92/424326292.db2.gz ZRQAIFPZRFJSLR-LLVKDONJSA-N -1 1 322.752 1.945 20 0 DDADMM COCCN(CCOC)C(=O)N=c1[n-]sc2ccccc21 ZINC000640342707 424363258 /nfs/dbraw/zinc/36/32/58/424363258.db2.gz TZCHVWCWUBRDJK-UHFFFAOYSA-N -1 1 309.391 1.845 20 0 DDADMM Cc1ccc(-n2ccc([N-]S(=O)(=O)N3CCOCC3)n2)cc1 ZINC000655588717 424583717 /nfs/dbraw/zinc/58/37/17/424583717.db2.gz OOCGCMBFRTWMSO-UHFFFAOYSA-N -1 1 322.390 1.170 20 0 DDADMM O=S(=O)([N-][C@H](C[C@@H]1CCCO1)C(F)(F)F)c1cccnc1 ZINC000655662188 424617588 /nfs/dbraw/zinc/61/75/88/424617588.db2.gz ORPLUADMVPODQB-GXSJLCMTSA-N -1 1 324.324 1.860 20 0 DDADMM COc1ccc(O)c(S(=O)(=O)[N-]c2ccc3n[nH]nc3c2)c1 ZINC000342778810 271515163 /nfs/dbraw/zinc/51/51/63/271515163.db2.gz KGYJCBJNKUKEGJ-UHFFFAOYSA-N -1 1 320.330 1.473 20 0 DDADMM Cn1c2ccccc2cc(CNC(=O)c2cncc([O-])c2)c1=O ZINC000343404536 271760890 /nfs/dbraw/zinc/76/08/90/271760890.db2.gz JYSRKHHAOKXGMQ-UHFFFAOYSA-N -1 1 309.325 1.569 20 0 DDADMM C[C@H](CN(C)C)[N-]S(=O)(=O)c1c(F)c(F)cc(F)c1F ZINC000344899899 272137535 /nfs/dbraw/zinc/13/75/35/272137535.db2.gz FVSXDQLEFDWIAQ-ZCFIWIBFSA-N -1 1 314.304 1.471 20 0 DDADMM COC(=O)[C@H]1Cc2ccccc2CN1C(=O)c1cncc([O-])c1 ZINC000339799379 277409293 /nfs/dbraw/zinc/40/92/93/277409293.db2.gz LHHINJMTFQCPEE-OAHLLOKOSA-N -1 1 312.325 1.527 20 0 DDADMM CC(C)C[C@H](CNC(=O)N1CCN(C)[C@H](C(C)C)C1)C(=O)[O-] ZINC000424428713 279150659 /nfs/dbraw/zinc/15/06/59/279150659.db2.gz XXWQOBHMFYFHGH-KGLIPLIRSA-N -1 1 313.442 1.715 20 0 DDADMM Cc1noc(CC[N-]S(=O)(=O)c2cc3ccccc3o2)n1 ZINC000084937254 281014572 /nfs/dbraw/zinc/01/45/72/281014572.db2.gz HRRJRDUPRBSZBT-UHFFFAOYSA-N -1 1 307.331 1.645 20 0 DDADMM C[S@@](=O)CCC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084938312 281014762 /nfs/dbraw/zinc/01/47/62/281014762.db2.gz AVYCBLYXYQMLNH-SFHVURJKSA-N -1 1 301.389 1.480 20 0 DDADMM Cc1nnc(CSc2nc(C(F)(F)F)cc(=O)[n-]2)n1C ZINC000126974857 281259010 /nfs/dbraw/zinc/25/90/10/281259010.db2.gz QLKTVHDGEAAFNF-UHFFFAOYSA-N -1 1 305.285 1.930 20 0 DDADMM Cc1cnc(C(=O)N2CCSC[C@H]2c2cnn(C)c2)c([O-])c1 ZINC000408347240 292875806 /nfs/dbraw/zinc/87/58/06/292875806.db2.gz YCHDYNBRUCSTSV-LBPRGKRZSA-N -1 1 318.402 1.759 20 0 DDADMM CN(C[C@H]1CCC[C@H]1O)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000165857445 298217232 /nfs/dbraw/zinc/21/72/32/298217232.db2.gz SJCRIQGWHZZVRU-ZWNOBZJWSA-N -1 1 301.346 1.156 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2ccc(C(=O)OC)nc2)n[nH]1 ZINC000354222696 298370391 /nfs/dbraw/zinc/37/03/91/298370391.db2.gz XXIIAQYOUVMGON-UHFFFAOYSA-N -1 1 324.362 1.345 20 0 DDADMM C[C@H]1CCSCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000190110644 300027361 /nfs/dbraw/zinc/02/73/61/300027361.db2.gz JXMRRYUNQHKLDL-VIFPVBQESA-N -1 1 306.391 1.642 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1nc2ccc(F)cc2[nH]1 ZINC000362866237 300164912 /nfs/dbraw/zinc/16/49/12/300164912.db2.gz FHYPBARYMZQOBN-UHFFFAOYSA-N -1 1 309.326 1.719 20 0 DDADMM Cc1noc([C@H]([N-]S(=O)(=O)c2c[nH]cn2)c2ccccc2)n1 ZINC000365423805 300510500 /nfs/dbraw/zinc/51/05/00/300510500.db2.gz VIWUAUCJTZQBSQ-GFCCVEGCSA-N -1 1 319.346 1.169 20 0 DDADMM Cc1ccccc1C(=O)N1CCCC[C@@H]1C(=O)Nc1nnn[n-]1 ZINC000368577295 301056016 /nfs/dbraw/zinc/05/60/16/301056016.db2.gz UJJXXUZDRIOLRX-GFCCVEGCSA-N -1 1 314.349 1.142 20 0 DDADMM Cc1ccccc1C(=O)N1CCCC[C@@H]1C(=O)Nc1nn[n-]n1 ZINC000368577295 301056019 /nfs/dbraw/zinc/05/60/19/301056019.db2.gz UJJXXUZDRIOLRX-GFCCVEGCSA-N -1 1 314.349 1.142 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370163860 301286715 /nfs/dbraw/zinc/28/67/15/301286715.db2.gz UHTMAJARVQVIOI-AORWBKJGSA-N -1 1 317.324 1.342 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@H](C)C[C@H]1c1cccnc1 ZINC000377863691 302244151 /nfs/dbraw/zinc/24/41/51/302244151.db2.gz ITDMKGYRCUATET-SKDRFNHKSA-N -1 1 322.390 1.562 20 0 DDADMM Cc1cnc(C(=O)N(C)C(C)(C)CN2CCOCC2)c([O-])c1 ZINC000414045657 302330935 /nfs/dbraw/zinc/33/09/35/302330935.db2.gz XLRIFGTTWMAMCI-UHFFFAOYSA-N -1 1 307.394 1.278 20 0 DDADMM COc1ccc([C@H]2C[C@@H](C)N(CC(=O)NCC(=O)[O-])C2)cc1 ZINC000378577710 302338417 /nfs/dbraw/zinc/33/84/17/302338417.db2.gz JYRNZOYJOMUIID-YPMHNXCESA-N -1 1 306.362 1.074 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)c1cn(C[C@@H]2CCOC2)nn1 ZINC000529465250 303130465 /nfs/dbraw/zinc/13/04/65/303130465.db2.gz KGOYDYRLSBMARV-QMMMGPOBSA-N -1 1 324.287 1.551 20 0 DDADMM CS(=O)(=O)C1(C(=O)Nc2cccc(F)c2[O-])CCCC1 ZINC000530006350 303170179 /nfs/dbraw/zinc/17/01/79/303170179.db2.gz OSBJGUOQWUOMFO-UHFFFAOYSA-N -1 1 301.339 1.827 20 0 DDADMM O=C([O-])[C@@H]1c2ccoc2CCN1C[C@@H](O)COc1ccccc1 ZINC000530168137 303178198 /nfs/dbraw/zinc/17/81/98/303178198.db2.gz ILHREOCGLNHXSB-WBMJQRKESA-N -1 1 317.341 1.703 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC23CCCCC3)c1 ZINC000538606152 303385336 /nfs/dbraw/zinc/38/53/36/303385336.db2.gz SPENSPKMYKFQLQ-NSHDSACASA-N -1 1 324.402 1.949 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1c1ccccc1 ZINC000545885176 303501588 /nfs/dbraw/zinc/50/15/88/303501588.db2.gz NEJLWUXXLFSKGT-YGRLFVJLSA-N -1 1 309.329 1.251 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@H]2c2ccco2)c1 ZINC000367517076 307096241 /nfs/dbraw/zinc/09/62/41/307096241.db2.gz JLJAZIZFRCOKHZ-NXEZZACHSA-N -1 1 322.342 1.375 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(CCc2ccccc2)C1 ZINC000367772518 307103854 /nfs/dbraw/zinc/10/38/54/307103854.db2.gz FKPSCMCTQYWBSA-HNNXBMFYSA-N -1 1 320.389 1.162 20 0 DDADMM O=c1cc(CN2CC(F)(F)C[C@H]2CO)c2ccc([O-])cc2o1 ZINC000368798246 307110026 /nfs/dbraw/zinc/11/00/26/307110026.db2.gz QFZPFSOFMAUBSR-JTQLQIEISA-N -1 1 311.284 1.701 20 0 DDADMM Cc1nc([C@H](NC(=O)[C@H]2C[C@H]2C(=O)[O-])c2ccccc2)n[nH]1 ZINC000370726614 307134068 /nfs/dbraw/zinc/13/40/68/307134068.db2.gz HBQMAAKHZTWMOM-QJPTWQEYSA-N -1 1 300.318 1.039 20 0 DDADMM Cc1nc([C@H](NC(=O)[C@H]2C[C@@H]2C(=O)[O-])c2ccccc2)n[nH]1 ZINC000370726615 307134098 /nfs/dbraw/zinc/13/40/98/307134098.db2.gz HBQMAAKHZTWMOM-SDDRHHMPSA-N -1 1 300.318 1.039 20 0 DDADMM O=C(N[C@H]1CCC(=O)N[C@@H]1C1CC1)c1c(F)ccc([O-])c1F ZINC000375941993 307234659 /nfs/dbraw/zinc/23/46/59/307234659.db2.gz RBAVGPKKHZVXEN-LKFCYVNXSA-N -1 1 310.300 1.457 20 0 DDADMM CC(C)(C)OC(=O)CCCN1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000377488107 307270652 /nfs/dbraw/zinc/27/06/52/307270652.db2.gz ZENLIDQUOKQWQV-NSHDSACASA-N -1 1 310.398 1.812 20 0 DDADMM CC(=O)Oc1ccc(CN2CCC[C@@H](c3n[nH]c(=O)[n-]3)C2)cc1 ZINC000377522546 307271560 /nfs/dbraw/zinc/27/15/60/307271560.db2.gz FHUYFHMWOWIPKE-CYBMUJFWSA-N -1 1 316.361 1.815 20 0 DDADMM O=C(c1cc2c(s1)CCCC2)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000377601777 307274622 /nfs/dbraw/zinc/27/46/22/307274622.db2.gz PXFNUYSIYDZJOU-SNVBAGLBSA-N -1 1 319.390 1.354 20 0 DDADMM COCCN(CC[N-]C(=O)C(F)(F)F)C(=O)OC(C)(C)C ZINC000495806159 307318698 /nfs/dbraw/zinc/31/86/98/307318698.db2.gz AEJLFVKBVWXKGU-UHFFFAOYSA-N -1 1 314.304 1.548 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)c1cn(C[C@@H]2CCOC2)nn1 ZINC000528875718 307550670 /nfs/dbraw/zinc/55/06/70/307550670.db2.gz LCNWHKWDZQQART-VIFPVBQESA-N -1 1 322.752 1.926 20 0 DDADMM CN(C)C(=O)[C@@H]1CCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000529864807 307573148 /nfs/dbraw/zinc/57/31/48/307573148.db2.gz UYCYCYJGIYJNCT-ZDUSSCGKSA-N -1 1 313.357 1.633 20 0 DDADMM C[C@H](CCC1CCCCC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544745931 307718924 /nfs/dbraw/zinc/71/89/24/307718924.db2.gz JVUMPNVGDVKSJY-LLVKDONJSA-N -1 1 317.393 1.896 20 0 DDADMM O=C(N[C@@H]1CC[C@@H]2CCCC[C@H]2C1)c1cc(=O)n2[n-]cnc2n1 ZINC000546068497 307725269 /nfs/dbraw/zinc/72/52/69/307725269.db2.gz ZPRYFPZJHNIARB-SDDRHHMPSA-N -1 1 315.377 1.506 20 0 DDADMM O=C([O-])[C@@H]1CN(Cc2nnnn2C2CC2)C[C@H]1c1ccccc1 ZINC000565421089 308030582 /nfs/dbraw/zinc/03/05/82/308030582.db2.gz BZCABDXMSHSCND-UONOGXRCSA-N -1 1 313.361 1.308 20 0 DDADMM O=C([O-])[C@H](CNC(=O)Cc1[nH]nc2ccccc21)CC1CC1 ZINC000565657432 308035871 /nfs/dbraw/zinc/03/58/71/308035871.db2.gz NXDKMDNPENVCNW-NSHDSACASA-N -1 1 301.346 1.723 20 0 DDADMM CCCn1ncnc1CN1C[C@H](C(=O)[O-])[C@@H](c2ccccc2)C1 ZINC000566796381 308063760 /nfs/dbraw/zinc/06/37/60/308063760.db2.gz BAPXNBNNNRTIME-CABCVRRESA-N -1 1 314.389 1.988 20 0 DDADMM CCC[C@H]1[C@@H](C)CCCN1S(=O)(=O)c1c(C)o[n-]c1=N ZINC000569410758 308142711 /nfs/dbraw/zinc/14/27/11/308142711.db2.gz IQVLTDJADDMXIR-ONGXEEELSA-N -1 1 301.412 1.985 20 0 DDADMM CCC[C@@H]1[C@@H](C)CCCN1S(=O)(=O)c1c(C)o[n-]c1=N ZINC000569410755 308142907 /nfs/dbraw/zinc/14/29/07/308142907.db2.gz IQVLTDJADDMXIR-GXSJLCMTSA-N -1 1 301.412 1.985 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1ccc(OC(C)C)cn1 ZINC000569822176 308151555 /nfs/dbraw/zinc/15/15/55/308151555.db2.gz JMPSHQNDAHJJLL-UHFFFAOYSA-N -1 1 304.306 1.631 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000570635446 308174200 /nfs/dbraw/zinc/17/42/00/308174200.db2.gz HHQYXHGUYCXCLP-CQSZACIVSA-N -1 1 310.369 1.731 20 0 DDADMM CO[C@H]1CCCN([C@@H](C)C(=O)NCc2ccc(C(=O)[O-])cc2)C1 ZINC000571337331 308195150 /nfs/dbraw/zinc/19/51/50/308195150.db2.gz PJIPEMHBMIYIIF-WFASDCNBSA-N -1 1 320.389 1.500 20 0 DDADMM O=C(c1ccccc1O)N1CCN(C[C@H]2CCCC[C@@H]2O)CC1 ZINC000573905642 308254570 /nfs/dbraw/zinc/25/45/70/308254570.db2.gz PPZXNXCYALJIRS-ZBFHGGJFSA-N -1 1 318.417 1.701 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1CC(=O)Nc1ccc(Cl)cn1 ZINC000575922125 308282482 /nfs/dbraw/zinc/28/24/82/308282482.db2.gz GEVGPKVYVDKPAK-ZJUUUORDSA-N -1 1 311.769 1.859 20 0 DDADMM CO[C@@H]1C[C@H](C(=O)[O-])N(Cc2ccc(-n3cccn3)cc2)C1 ZINC000576590765 308325797 /nfs/dbraw/zinc/32/57/97/308325797.db2.gz DPXHUFHPYZEZND-HUUCEWRRSA-N -1 1 301.346 1.546 20 0 DDADMM CC(=O)NCCC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000580321598 308596321 /nfs/dbraw/zinc/59/63/21/308596321.db2.gz QJZBISWZFJGVIM-UHFFFAOYSA-N -1 1 313.404 1.101 20 0 DDADMM CC[C@@H](C)C[C@H](NS(=O)(=O)CCN(CC)CC)C(=O)[O-] ZINC000581605968 325883637 /nfs/dbraw/zinc/88/36/37/325883637.db2.gz JTELBBRPFFOKGT-NEPJUHHUSA-N -1 1 308.444 1.137 20 0 DDADMM CN(C)Cc1cc(CNC(=O)NCCCC(=O)[O-])ccc1F ZINC000583751054 337329340 /nfs/dbraw/zinc/32/93/40/337329340.db2.gz ZTIOZBUUWBKUDA-UHFFFAOYSA-N -1 1 311.357 1.551 20 0 DDADMM O=C(CSCC(F)(F)F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000372369005 483931954 /nfs/dbraw/zinc/93/19/54/483931954.db2.gz XFSFPMBYNIEGEU-SSDOTTSWSA-N -1 1 309.317 1.201 20 0 DDADMM Cn1cccc1S(=O)(=O)[N-]c1ccc(OCC(C)(C)O)cc1 ZINC000414376614 484058759 /nfs/dbraw/zinc/05/87/59/484058759.db2.gz UVBZNXGRZVKEID-UHFFFAOYSA-N -1 1 324.402 1.976 20 0 DDADMM O=C(Nc1cccc2c1OCC(=O)N2)C(=O)c1ccc([O-])cc1 ZINC000436935699 484127813 /nfs/dbraw/zinc/12/78/13/484127813.db2.gz PXTMSJYIVUOSMU-UHFFFAOYSA-N -1 1 312.281 1.545 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000667401732 484589305 /nfs/dbraw/zinc/58/93/05/484589305.db2.gz NYPSABREDROOQW-MNOVXSKESA-N -1 1 315.373 1.973 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)C3(C(=O)[O-])CC=CC3)C2)n[nH]1 ZINC000663041898 484620316 /nfs/dbraw/zinc/62/03/16/484620316.db2.gz PFHIXSGLALYGDK-LLVKDONJSA-N -1 1 304.350 1.240 20 0 DDADMM CCc1nc(C2CCN(C(=O)C3(C(=O)[O-])CCC3)CC2)n[nH]1 ZINC000663048533 484625644 /nfs/dbraw/zinc/62/56/44/484625644.db2.gz LZEWPWVTPNETGI-UHFFFAOYSA-N -1 1 306.366 1.328 20 0 DDADMM O=C([O-])[C@H](C(=O)N1CCCN(Cc2ccccc2)CC1)C1CC1 ZINC000663063323 484638495 /nfs/dbraw/zinc/63/84/95/484638495.db2.gz XCPFSMRNXXHPCQ-INIZCTEOSA-N -1 1 316.401 1.832 20 0 DDADMM CCCCCc1cc(C(=O)N2C[C@@H](OC)C[C@@H]2CC(=O)[O-])n[nH]1 ZINC000659159879 484662010 /nfs/dbraw/zinc/66/20/10/484662010.db2.gz CQKSXULJRHHOOY-OLZOCXBDSA-N -1 1 323.393 1.847 20 0 DDADMM O=C([O-])[C@@H](C(=O)N1CCN(C[C@H]2CCOC2)CC1)C1CCCC1 ZINC000663103444 484668522 /nfs/dbraw/zinc/66/85/22/484668522.db2.gz AZGZLLLUTSKBTC-UKRRQHHQSA-N -1 1 324.421 1.058 20 0 DDADMM CC(C)(NC(=O)NC[C@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663271099 484777110 /nfs/dbraw/zinc/77/71/10/484777110.db2.gz IDDDLHLLXHCABE-CYBMUJFWSA-N -1 1 305.378 1.423 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CC[C@H](C)O2)c(F)c1 ZINC000668239549 485046870 /nfs/dbraw/zinc/04/68/70/485046870.db2.gz KCGVTEBMDKJYKD-IUCAKERBSA-N -1 1 321.345 1.819 20 0 DDADMM Cc1n[nH]c(C(=O)NCCN2CC=C(C(C)(C)C)CC2)c1[O-] ZINC000671799285 485191822 /nfs/dbraw/zinc/19/18/22/485191822.db2.gz DIOCWOOLDOSVLB-UHFFFAOYSA-N -1 1 306.410 1.832 20 0 DDADMM O=C(NCCOC[C@@H]1CCCO1)c1ncc2ccccc2c1[O-] ZINC000668797978 485324923 /nfs/dbraw/zinc/32/49/23/485324923.db2.gz HIZLJIMEAXTCHR-ZDUSSCGKSA-N -1 1 316.357 1.866 20 0 DDADMM COCCOCCS(=O)(=O)[N-]C1(C(F)F)CCCC1 ZINC000673586522 485437562 /nfs/dbraw/zinc/43/75/62/485437562.db2.gz JUXQUYYHUJDJNX-UHFFFAOYSA-N -1 1 301.355 1.147 20 0 DDADMM Cc1ccc2nc(C3CC3)cc(C(=O)NCc3nn[n-]n3)c2c1 ZINC000673798265 485451008 /nfs/dbraw/zinc/45/10/08/485451008.db2.gz AYGPWIVHNWPPBL-UHFFFAOYSA-N -1 1 308.345 1.864 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCc1nc(C)cs1 ZINC000673830751 485453542 /nfs/dbraw/zinc/45/35/42/485453542.db2.gz MKPXXYFQKUTYIC-UHFFFAOYSA-N -1 1 324.431 1.950 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCN(C2CC2)C(=O)C1 ZINC000673958818 485486846 /nfs/dbraw/zinc/48/68/46/485486846.db2.gz BNBSUWDTRYFKMG-UHFFFAOYSA-N -1 1 310.353 1.992 20 0 DDADMM NC(=O)C1(NC(=O)c2ccc(Br)cc2[O-])CCC1 ZINC000678320388 485538854 /nfs/dbraw/zinc/53/88/54/485538854.db2.gz SWSJCXJBZGHJEZ-UHFFFAOYSA-N -1 1 313.151 1.293 20 0 DDADMM COc1nnc([N-]C(=O)c2cc(Cn3cc(C)cn3)on2)s1 ZINC000682690658 485743693 /nfs/dbraw/zinc/74/36/93/485743693.db2.gz PZOFZFMODLEFDH-UHFFFAOYSA-N -1 1 320.334 1.340 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)Cc2ccc(C)cn2)co1 ZINC000682770756 485769905 /nfs/dbraw/zinc/76/99/05/485769905.db2.gz SLSZHEPEEMBCIZ-UHFFFAOYSA-N -1 1 323.374 1.163 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2cccc3ccccc32)n[nH]1 ZINC000679202597 485805912 /nfs/dbraw/zinc/80/59/12/485805912.db2.gz MBQRQJXXLIMIBB-UHFFFAOYSA-N -1 1 316.342 1.463 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCC2(CO)CC2)c(=O)[n-]1 ZINC000675540359 485970728 /nfs/dbraw/zinc/97/07/28/485970728.db2.gz PBUIDQORTFJURQ-UHFFFAOYSA-N -1 1 311.407 1.034 20 0 DDADMM CCOc1nc(C(=O)[N-]c2ccccc2SCC(N)=O)co1 ZINC000680358287 486158880 /nfs/dbraw/zinc/15/88/80/486158880.db2.gz ZSIDTKKXDYDSJR-UHFFFAOYSA-N -1 1 321.358 1.903 20 0 DDADMM Cc1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)nc(C)n1 ZINC000680476802 486197684 /nfs/dbraw/zinc/19/76/84/486197684.db2.gz IGKSNVHQJHKLEK-ZDUSSCGKSA-N -1 1 309.333 1.126 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(=O)NC2CCCC2)sc1C ZINC000676415150 486222123 /nfs/dbraw/zinc/22/21/23/486222123.db2.gz GNVHJODAXFLDCD-UHFFFAOYSA-N -1 1 317.436 1.097 20 0 DDADMM CCNC(=O)CN(C)C(=O)c1cc(Cl)c([O-])c(OCC)c1 ZINC000680816044 486270937 /nfs/dbraw/zinc/27/09/37/486270937.db2.gz KTMVSWIWYUDAOE-UHFFFAOYSA-N -1 1 314.769 1.652 20 0 DDADMM Cc1cnc(C(=O)NC2CCN(c3ncccn3)CC2)c([O-])c1 ZINC000680842597 486279441 /nfs/dbraw/zinc/27/94/41/486279441.db2.gz COSVYQDBZOMKRI-UHFFFAOYSA-N -1 1 313.361 1.284 20 0 DDADMM Cc1ccc(C(=O)NCCNC(=O)c2ccc([O-])c(F)c2)cc1 ZINC000681022121 486325075 /nfs/dbraw/zinc/32/50/75/486325075.db2.gz VJOSAFRMQQUUNU-UHFFFAOYSA-N -1 1 316.332 2.000 20 0 DDADMM Cn1cc(N2CCN(C(=O)c3ccc([O-])c(F)c3)CC2)cn1 ZINC000681051690 486332094 /nfs/dbraw/zinc/33/20/94/486332094.db2.gz CQVZJSGUWWNVNM-UHFFFAOYSA-N -1 1 304.325 1.227 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@@H](NC(=O)c2ccc([O-])c(F)c2)C1 ZINC000681049840 486332872 /nfs/dbraw/zinc/33/28/72/486332872.db2.gz UUKLLIXOJPLZKE-GHMZBOCLSA-N -1 1 315.366 1.617 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1ccnc(C)c1Cl ZINC000681128623 486355641 /nfs/dbraw/zinc/35/56/41/486355641.db2.gz IMSWTFZGOZGOJI-UHFFFAOYSA-N -1 1 300.771 1.483 20 0 DDADMM CCOc1cccnc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677048120 486385509 /nfs/dbraw/zinc/38/55/09/486385509.db2.gz VOGCXNKHAKATEX-JTQLQIEISA-N -1 1 302.338 1.013 20 0 DDADMM Cc1cc(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)ncn1 ZINC000677465642 486473586 /nfs/dbraw/zinc/47/35/86/486473586.db2.gz SMBBMXWNQCPELY-UHFFFAOYSA-N -1 1 315.377 1.817 20 0 DDADMM Cn1cc([C@H]2OCCC[C@@H]2NC(=O)c2ccc([O-])c(F)c2)cn1 ZINC000681864561 486563688 /nfs/dbraw/zinc/56/36/88/486563688.db2.gz ONUMSIDQINSMHM-DZGCQCFKSA-N -1 1 319.336 1.915 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC(C(F)F)C1 ZINC000677918183 486577551 /nfs/dbraw/zinc/57/75/51/486577551.db2.gz RBJLWLZCKZZQLG-UHFFFAOYSA-N -1 1 324.331 1.708 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)C2CCCC2)C1)c1ncccc1[O-] ZINC000119670812 490606491 /nfs/dbraw/zinc/60/64/91/490606491.db2.gz UFJRFDSUXVPTCA-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM COc1cccc(S([O-])=CC(=O)NCCN2CCCCC2)c1 ZINC000424601831 533818030 /nfs/dbraw/zinc/81/80/30/533818030.db2.gz YOKDDSMLBBYLKE-JOCHJYFZSA-N -1 1 324.446 1.405 20 0 DDADMM CC(C)(C)[C@@H]([N-]S(=O)(=O)c1sccc1Cl)C(N)=O ZINC000451174351 526638880 /nfs/dbraw/zinc/63/88/80/526638880.db2.gz ZGUFDUQPAPCIHK-ZETCQYMHSA-N -1 1 310.828 1.580 20 0 DDADMM CC(C)(C)[C@@H]1CCC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000412807715 526657757 /nfs/dbraw/zinc/65/77/57/526657757.db2.gz GFWRFFCMPUIAEW-NEPJUHHUSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)(C)[C@@H]1CCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000412805245 526659662 /nfs/dbraw/zinc/65/96/62/526659662.db2.gz DGJQOPPYRJIVCY-ZJUUUORDSA-N -1 1 303.366 1.362 20 0 DDADMM CC(C)(CN1CCOCC1)[N-]S(=O)(=O)c1sccc1F ZINC000416606737 527090605 /nfs/dbraw/zinc/09/06/05/527090605.db2.gz HDICQTQKEWPFRN-UHFFFAOYSA-N -1 1 322.427 1.276 20 0 DDADMM O=C(Nc1c[nH]cc(Br)c1=O)c1ncccc1[O-] ZINC000154031714 527478088 /nfs/dbraw/zinc/47/80/88/527478088.db2.gz PPSJQBWQFVMVMS-UHFFFAOYSA-N -1 1 310.107 1.903 20 0 DDADMM CCOC(=O)[C@@H](NCc1nc(=O)n(C)[n-]1)c1ccc(Cl)cc1 ZINC000459602851 527810408 /nfs/dbraw/zinc/81/04/08/527810408.db2.gz GFPYUXWKXOTYRI-LBPRGKRZSA-N -1 1 324.768 1.156 20 0 DDADMM CCOC(=O)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)c2ccccc21 ZINC000444702524 527857165 /nfs/dbraw/zinc/85/71/65/527857165.db2.gz JEEDRFQFQIFFFG-GFCCVEGCSA-N -1 1 316.361 1.165 20 0 DDADMM CCC[C@H](CCO)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000443307137 528125781 /nfs/dbraw/zinc/12/57/81/528125781.db2.gz KRPGUIPYGHCCLS-LLVKDONJSA-N -1 1 306.453 1.837 20 0 DDADMM CCO[C@H]1C[C@](CO)(NC(=O)c2ncc(C)cc2[O-])C1(C)C ZINC000332484580 528155987 /nfs/dbraw/zinc/15/59/87/528155987.db2.gz VUKSXDWDDMWHGE-BLLLJJGKSA-N -1 1 308.378 1.392 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)OCCc1ccccc1)c1nn[n-]n1 ZINC000294978677 528197865 /nfs/dbraw/zinc/19/78/65/528197865.db2.gz OKZKWUYSGIJYAS-JSGCOSHPSA-N -1 1 317.393 1.805 20 0 DDADMM CCNC(=O)c1ccc(=NCCOc2ccc(OC)cc2)[n-]n1 ZINC000413027584 528228368 /nfs/dbraw/zinc/22/83/68/528228368.db2.gz BCMCXRUXLVAUFG-UHFFFAOYSA-N -1 1 316.361 1.148 20 0 DDADMM CCOc1cc(C(=O)N[C@H](CC)C(N)=O)cc(Cl)c1[O-] ZINC000292859560 528234913 /nfs/dbraw/zinc/23/49/13/528234913.db2.gz OIJPCLGVYYGWLC-SECBINFHSA-N -1 1 300.742 1.438 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCC(C)(C)CO)o1 ZINC000451678727 528243265 /nfs/dbraw/zinc/24/32/65/528243265.db2.gz MZYMXKMCQPRGPZ-UHFFFAOYSA-N -1 1 319.379 1.143 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C2CC2)o1 ZINC000452049618 528243671 /nfs/dbraw/zinc/24/36/71/528243671.db2.gz SOAXBLQMLXNKQT-QWRGUYRKSA-N -1 1 313.375 1.781 20 0 DDADMM CCOC(=O)COCCNC(=O)c1cc2ccccc2cc1[O-] ZINC000295756331 528836468 /nfs/dbraw/zinc/83/64/68/528836468.db2.gz PNOKESMTYBYVFY-UHFFFAOYSA-N -1 1 317.341 1.855 20 0 DDADMM CCOC(=O)COCCNC(=O)c1ccc(Cl)cc1[O-] ZINC000295711268 528837025 /nfs/dbraw/zinc/83/70/25/528837025.db2.gz JVIVCLZDVRZEDF-UHFFFAOYSA-N -1 1 301.726 1.355 20 0 DDADMM CC(C)N1C[C@H]([N-]S(=O)(=O)c2sccc2Cl)CC1=O ZINC000451157683 529105462 /nfs/dbraw/zinc/10/54/62/529105462.db2.gz XJPHCBNOVAFMKN-MRVPVSSYSA-N -1 1 322.839 1.689 20 0 DDADMM CCn1ncc([N-]S(=O)(=O)N2CCCC2)c1C(F)(F)F ZINC000337190074 535827856 /nfs/dbraw/zinc/82/78/56/535827856.db2.gz RNCPPAZINWTNGQ-UHFFFAOYSA-N -1 1 312.317 1.674 20 0 DDADMM Clc1ccc(NCCOc2cccnc2)nc1-c1nnn[n-]1 ZINC000737576161 598914770 /nfs/dbraw/zinc/91/47/70/598914770.db2.gz WSPUHMVYBIRUDQ-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM Clc1ccc(NCCOc2cccnc2)nc1-c1nn[n-]n1 ZINC000737576161 598914772 /nfs/dbraw/zinc/91/47/72/598914772.db2.gz WSPUHMVYBIRUDQ-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM CN(CCOc1ccccc1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736801414 598981798 /nfs/dbraw/zinc/98/17/98/598981798.db2.gz JYXRKAOENSEJRD-UHFFFAOYSA-N -1 1 324.344 1.413 20 0 DDADMM CN(CCOc1ccccc1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736801414 598981800 /nfs/dbraw/zinc/98/18/00/598981800.db2.gz JYXRKAOENSEJRD-UHFFFAOYSA-N -1 1 324.344 1.413 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCCc2cccc(F)c21 ZINC000738258207 598987191 /nfs/dbraw/zinc/98/71/91/598987191.db2.gz IDILGAACYYGSHN-UHFFFAOYSA-N -1 1 324.319 1.994 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCCc2cccc(F)c21 ZINC000738258207 598987192 /nfs/dbraw/zinc/98/71/92/598987192.db2.gz IDILGAACYYGSHN-UHFFFAOYSA-N -1 1 324.319 1.994 20 0 DDADMM O=C(NCCCNc1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738075965 599031797 /nfs/dbraw/zinc/03/17/97/599031797.db2.gz NZXIDATZFVFMRJ-UHFFFAOYSA-N -1 1 323.360 1.494 20 0 DDADMM O=C(NCCCNc1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738075965 599031799 /nfs/dbraw/zinc/03/17/99/599031799.db2.gz NZXIDATZFVFMRJ-UHFFFAOYSA-N -1 1 323.360 1.494 20 0 DDADMM CC(C)c1nnc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)o1 ZINC000735953710 599135847 /nfs/dbraw/zinc/13/58/47/599135847.db2.gz YKZYSDMGISRULO-UHFFFAOYSA-N -1 1 315.293 1.125 20 0 DDADMM CC(C)c1nnc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)o1 ZINC000735953710 599135851 /nfs/dbraw/zinc/13/58/51/599135851.db2.gz YKZYSDMGISRULO-UHFFFAOYSA-N -1 1 315.293 1.125 20 0 DDADMM O=C([O-])C1CCN(CC(=O)NCCCc2ccccc2)CC1 ZINC000261797528 596923431 /nfs/dbraw/zinc/92/34/31/596923431.db2.gz YLQRRCULGUNJOB-UHFFFAOYSA-N -1 1 304.390 1.532 20 0 DDADMM CN(CC(=O)NC1CC1)c1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000736782872 599259124 /nfs/dbraw/zinc/25/91/24/599259124.db2.gz PZZYFIPVDBCOJB-UHFFFAOYSA-N -1 1 323.360 1.130 20 0 DDADMM CN(CC(=O)NC1CC1)c1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000736782872 599259125 /nfs/dbraw/zinc/25/91/25/599259125.db2.gz PZZYFIPVDBCOJB-UHFFFAOYSA-N -1 1 323.360 1.130 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CC[C@H](C(=O)[O-])C1)c1cccc(F)c1 ZINC000818375169 597505871 /nfs/dbraw/zinc/50/58/71/597505871.db2.gz WNDASJIZZSHIRT-GXTWGEPZSA-N -1 1 323.368 1.545 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CC[C@H](C(=O)[O-])C1)c1cccs1 ZINC000818374861 597505947 /nfs/dbraw/zinc/50/59/47/597505947.db2.gz SQOPUKAJVSHYDN-WDEREUQCSA-N -1 1 311.407 1.467 20 0 DDADMM CC(=O)NCCN(Cc1ccc(F)cc1Cl)[C@@H](C)C(=O)[O-] ZINC000263447719 597680017 /nfs/dbraw/zinc/68/00/17/597680017.db2.gz YKYQKHXHXWANSQ-VIFPVBQESA-N -1 1 316.760 1.890 20 0 DDADMM O=C([O-])C1CCN(CN2C[C@@H](c3ccccc3F)CC2=O)CC1 ZINC000821511994 597760146 /nfs/dbraw/zinc/76/01/46/597760146.db2.gz XHFLWIMOISZTAG-ZDUSSCGKSA-N -1 1 320.364 1.896 20 0 DDADMM CS(=O)(=O)Nc1ccc(F)cc1C(=O)OCCCC(=O)[O-] ZINC000738139201 597832326 /nfs/dbraw/zinc/83/23/26/597832326.db2.gz OHCPGVRFZFQDPN-UHFFFAOYSA-N -1 1 319.310 1.219 20 0 DDADMM CC(C)[C@@H](NCC(=O)NC1(c2ccccc2)CCC1)C(=O)[O-] ZINC000820041726 598189676 /nfs/dbraw/zinc/18/96/76/598189676.db2.gz OSPDBZQUZBFMQJ-OAHLLOKOSA-N -1 1 304.390 1.881 20 0 DDADMM Cc1ccc(OCCN(C)c2nccnc2-c2nnn[n-]2)cc1 ZINC000737366812 598339665 /nfs/dbraw/zinc/33/96/65/598339665.db2.gz UFBAXWXJVANVHI-UHFFFAOYSA-N -1 1 311.349 1.480 20 0 DDADMM Cc1ccc(OCCN(C)c2nccnc2-c2nn[n-]n2)cc1 ZINC000737366812 598339666 /nfs/dbraw/zinc/33/96/66/598339666.db2.gz UFBAXWXJVANVHI-UHFFFAOYSA-N -1 1 311.349 1.480 20 0 DDADMM COc1cccc(COC(=O)c2sccc2-c2nn[n-]n2)n1 ZINC000737133289 598800567 /nfs/dbraw/zinc/80/05/67/598800567.db2.gz ZHOTYIQYOSARAL-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM Cc1nn(Cc2ncc(C(C)C)o2)c(=O)c(-c2nn[n-]n2)c1C ZINC000737523105 598820570 /nfs/dbraw/zinc/82/05/70/598820570.db2.gz PYCZZXNULPQWRR-UHFFFAOYSA-N -1 1 315.337 1.200 20 0 DDADMM O=C1OC(c2ccsc2)=NC1=Cc1ccc(-c2nnn[n-]2)o1 ZINC000823551025 599309756 /nfs/dbraw/zinc/30/97/56/599309756.db2.gz CBIPOUDQIFLDEK-UITAMQMPSA-N -1 1 313.298 1.866 20 0 DDADMM O=C1OC(c2ccsc2)=NC1=Cc1ccc(-c2nn[n-]n2)o1 ZINC000823551025 599309759 /nfs/dbraw/zinc/30/97/59/599309759.db2.gz CBIPOUDQIFLDEK-UITAMQMPSA-N -1 1 313.298 1.866 20 0 DDADMM c1ccc2c(c1)OC[C@@H](CNc1cccc(-c3nnn[n-]3)n1)O2 ZINC000738412247 599396746 /nfs/dbraw/zinc/39/67/46/599396746.db2.gz CZJMQOMOOPARDW-SNVBAGLBSA-N -1 1 310.317 1.514 20 0 DDADMM c1ccc2c(c1)OC[C@@H](CNc1cccc(-c3nn[n-]n3)n1)O2 ZINC000738412247 599396748 /nfs/dbraw/zinc/39/67/48/599396748.db2.gz CZJMQOMOOPARDW-SNVBAGLBSA-N -1 1 310.317 1.514 20 0 DDADMM c1cc(NCc2ccc3c(c2)OCCO3)nc(-c2nnn[n-]2)c1 ZINC000738417875 599396917 /nfs/dbraw/zinc/39/69/17/599396917.db2.gz DUKNRTVLLSYKRH-UHFFFAOYSA-N -1 1 310.317 1.645 20 0 DDADMM c1cc(NCc2ccc3c(c2)OCCO3)nc(-c2nn[n-]n2)c1 ZINC000738417875 599396919 /nfs/dbraw/zinc/39/69/19/599396919.db2.gz DUKNRTVLLSYKRH-UHFFFAOYSA-N -1 1 310.317 1.645 20 0 DDADMM c1ccc(NC[C@@H]2CCN(c3cccc(-c4nn[n-]n4)n3)C2)nc1 ZINC000738436907 599423971 /nfs/dbraw/zinc/42/39/71/599423971.db2.gz VOWVJIZVTPZEHP-LBPRGKRZSA-N -1 1 322.376 1.595 20 0 DDADMM NC(=O)[C@H]1CCCC[C@H]1Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000822860396 607382373 /nfs/dbraw/zinc/38/23/73/607382373.db2.gz TXTRACBWRXJZPC-IONNQARKSA-N -1 1 321.772 1.371 20 0 DDADMM NC(=O)[C@H]1CCCC[C@H]1Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000822860396 607382375 /nfs/dbraw/zinc/38/23/75/607382375.db2.gz TXTRACBWRXJZPC-IONNQARKSA-N -1 1 321.772 1.371 20 0 DDADMM O=C([O-])[C@H](NCc1cc(=O)n2ccccc2n1)c1ccccc1 ZINC000321435242 599760071 /nfs/dbraw/zinc/76/00/71/599760071.db2.gz MBPIBFMZQPMVHF-MRXNPFEDSA-N -1 1 309.325 1.610 20 0 DDADMM Cc1oc(C(=O)[O-])cc1CN1CCC(N2CCCC2=O)CC1 ZINC000738957093 599797527 /nfs/dbraw/zinc/79/75/27/599797527.db2.gz GVEXYBXCWUZVKJ-UHFFFAOYSA-N -1 1 306.362 1.873 20 0 DDADMM CN(C(=O)CN1CCC[C@@H]1CCCO)c1ccccc1C(=O)[O-] ZINC000737323552 599812304 /nfs/dbraw/zinc/81/23/04/599812304.db2.gz FRNUVPYTRJGKNY-CYBMUJFWSA-N -1 1 320.389 1.585 20 0 DDADMM CCN1CCN(C2CCN(Cc3occc3C(=O)[O-])CC2)C1=O ZINC000737116039 599918092 /nfs/dbraw/zinc/91/80/92/599918092.db2.gz SEBOPYZDERYYRG-UHFFFAOYSA-N -1 1 321.377 1.700 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCCNC(=O)CNC(C)(C)C(=O)[O-] ZINC000736784706 599925731 /nfs/dbraw/zinc/92/57/31/599925731.db2.gz NQIPJMRVZJBJNN-NEPJUHHUSA-N -1 1 300.399 1.151 20 0 DDADMM CN1CCC[C@@H]1C(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)[O-] ZINC000737518652 599984365 /nfs/dbraw/zinc/98/43/65/599984365.db2.gz FJZMGWJLPHIXHB-TZMCWYRMSA-N -1 1 308.353 1.279 20 0 DDADMM O=C([O-])[C@H]1C[C@H]1C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000323313619 600106874 /nfs/dbraw/zinc/10/68/74/600106874.db2.gz GQPBKOAADYFIGO-CABCVRRESA-N -1 1 302.374 1.442 20 0 DDADMM CCC[C@](C)(NCC(=O)N1CCc2sccc2C1)C(=O)[O-] ZINC000036807878 600156773 /nfs/dbraw/zinc/15/67/73/600156773.db2.gz QWRDBAAFYJFVGF-HNNXBMFYSA-N -1 1 310.419 1.866 20 0 DDADMM Cn1ncc2c1ncnc2Oc1cccc(F)c1-c1nnn[n-]1 ZINC000826366515 607867172 /nfs/dbraw/zinc/86/71/72/607867172.db2.gz LVFXRILTIAQJGY-UHFFFAOYSA-N -1 1 312.268 1.475 20 0 DDADMM COc1cccc(S(=O)(=O)Nc2cc(C)[nH]c2C(=O)[O-])c1 ZINC000163445988 600254565 /nfs/dbraw/zinc/25/45/65/600254565.db2.gz QBDIAGGQXAQBLA-UHFFFAOYSA-N -1 1 310.331 1.831 20 0 DDADMM CCC[C@](C)(NCC(=O)N[C@H]1CCOc2ccccc21)C(=O)[O-] ZINC000736964272 600323934 /nfs/dbraw/zinc/32/39/34/600323934.db2.gz MGIDJLVWMNUVPS-GUYCJALGSA-N -1 1 320.389 1.859 20 0 DDADMM C[C@@H]1[C@H](C)SCCN1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000166997015 600489178 /nfs/dbraw/zinc/48/91/78/600489178.db2.gz HXXWGHQWCMUSMB-MNOVXSKESA-N -1 1 300.424 1.135 20 0 DDADMM O=C([O-])CCN(Cc1cnc2ccnn2c1)C[C@@H]1CCCO1 ZINC000739723517 600512340 /nfs/dbraw/zinc/51/23/40/600512340.db2.gz LHIATVAZCPBZJM-ZDUSSCGKSA-N -1 1 304.350 1.185 20 0 DDADMM Cc1ccc(N2C(=O)C[C@@H](N3CCC[C@H](C(=O)[O-])C3)C2=O)cc1 ZINC000738608695 600684841 /nfs/dbraw/zinc/68/48/41/600684841.db2.gz RXLJNTCTFGQYDK-GXTWGEPZSA-N -1 1 316.357 1.424 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)Cn1cc(C(=O)[O-])c2ccccc21 ZINC000830884009 600753824 /nfs/dbraw/zinc/75/38/24/600753824.db2.gz YRCHMPWSPRTZTL-UHFFFAOYSA-N -1 1 313.317 1.116 20 0 DDADMM COc1ccc(C2CCN([C@H](C)C(=O)NCC(=O)[O-])CC2)cc1 ZINC000832272446 600824103 /nfs/dbraw/zinc/82/41/03/600824103.db2.gz FXNJOAJOAYHAKV-GFCCVEGCSA-N -1 1 320.389 1.464 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCC(C(=O)[O-])CC1 ZINC000818758860 601079427 /nfs/dbraw/zinc/07/94/27/601079427.db2.gz VWJDZOMBOBLOJA-UHFFFAOYSA-N -1 1 305.256 1.674 20 0 DDADMM COCc1cccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000831817773 601102317 /nfs/dbraw/zinc/10/23/17/601102317.db2.gz WCBFTOPXQGBWMB-ZDUSSCGKSA-N -1 1 320.389 1.454 20 0 DDADMM C[C@@H](CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1cccnc1 ZINC000827226766 601105238 /nfs/dbraw/zinc/10/52/38/601105238.db2.gz PXRLTBCULZUDKM-KBPBESRZSA-N -1 1 319.405 1.583 20 0 DDADMM Cc1nc([C@@H]2CN(Cc3ccc(C(=O)[O-])cc3)CCO2)n[nH]1 ZINC000738823903 601105636 /nfs/dbraw/zinc/10/56/36/601105636.db2.gz QSDIZGXWXWBNLF-ZDUSSCGKSA-N -1 1 302.334 1.385 20 0 DDADMM Cc1cccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1O ZINC000832963926 601122246 /nfs/dbraw/zinc/12/22/46/601122246.db2.gz XZPQCTCGKKYOMP-LBPRGKRZSA-N -1 1 306.362 1.322 20 0 DDADMM CN(CCCC(=O)[O-])C(=O)CSc1n[nH]c(=S)s1 ZINC000830763449 601275957 /nfs/dbraw/zinc/27/59/57/601275957.db2.gz XLEVLSIVQXQBBS-UHFFFAOYSA-N -1 1 307.422 1.242 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)c2ccnc(C(=O)[O-])c2)c1 ZINC000830624155 601293590 /nfs/dbraw/zinc/29/35/90/601293590.db2.gz ZIAAHUKCUNYNPV-UHFFFAOYSA-N -1 1 300.318 1.489 20 0 DDADMM Cc1nc(NC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)oc1C ZINC000833004114 601294626 /nfs/dbraw/zinc/29/46/26/601294626.db2.gz RQAURVBKYUOABW-SECBINFHSA-N -1 1 310.354 1.304 20 0 DDADMM CCC[C@@H](NC(=O)CCc1ccc(C(=O)[O-])cc1)c1nn[nH]n1 ZINC000829222792 601303906 /nfs/dbraw/zinc/30/39/06/601303906.db2.gz FTVSPVLOMOSSEO-GFCCVEGCSA-N -1 1 317.349 1.488 20 0 DDADMM Cc1ccc(OC[C@@H](O)CN2CC[C@@](F)(C(=O)[O-])C2)c(C)c1 ZINC000832951671 601444252 /nfs/dbraw/zinc/44/42/52/601444252.db2.gz TZOCLYFATZFNCM-BBRMVZONSA-N -1 1 311.353 1.542 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCc1nnc(-c2ccccc2)n1C ZINC000831585356 601488736 /nfs/dbraw/zinc/48/87/36/601488736.db2.gz MIUCQQCPKKVGGD-MRXNPFEDSA-N -1 1 318.377 1.452 20 0 DDADMM CC(C)(NCC(=O)Nc1nc(-c2ccco2)cs1)C(=O)[O-] ZINC000826630895 601621142 /nfs/dbraw/zinc/62/11/42/601621142.db2.gz YTOVYSGXGVXMIJ-UHFFFAOYSA-N -1 1 309.347 1.794 20 0 DDADMM Cc1cc(CC(=O)N[C@H](CCC(=O)[O-])c2ccccc2)[nH]n1 ZINC000832884489 601680324 /nfs/dbraw/zinc/68/03/24/601680324.db2.gz ZYZRPHOLAFQVSA-CQSZACIVSA-N -1 1 301.346 1.983 20 0 DDADMM CCOC(=O)c1nc(CN[C@@H](C(=O)[O-])C(C)(C)C)cs1 ZINC000829895381 601870914 /nfs/dbraw/zinc/87/09/14/601870914.db2.gz QMEMQUCLQFSAGG-VIFPVBQESA-N -1 1 300.380 1.909 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C[C@@H](O)c1ccc(F)cc1F ZINC000833221763 601892092 /nfs/dbraw/zinc/89/20/92/601892092.db2.gz PFDBYFKLOJPZDJ-GXFFZTMASA-N -1 1 317.357 1.890 20 0 DDADMM CCCCCNC(=O)[C@H](C)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091132563 601921301 /nfs/dbraw/zinc/92/13/01/601921301.db2.gz FQXZOLHOBSEGAF-RYUDHWBXSA-N -1 1 302.440 1.573 20 0 DDADMM O=C([O-])NCCc1ccc(CNc2ncnc3[nH]cnc32)cc1 ZINC000740671851 601922778 /nfs/dbraw/zinc/92/27/78/601922778.db2.gz WPYULIFNDXFAFF-UHFFFAOYSA-N -1 1 312.333 1.727 20 0 DDADMM C[C@H](C(=O)N1CCc2ccccc21)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738619666 601959364 /nfs/dbraw/zinc/95/93/64/601959364.db2.gz OEPZYXPXKHORMO-CHWSQXEVSA-N -1 1 317.389 1.554 20 0 DDADMM CCn1nc2c(cc1=O)CN(Cc1ccc(C(=O)[O-])s1)CC2 ZINC000830445635 601962349 /nfs/dbraw/zinc/96/23/49/601962349.db2.gz PJWGGRRHYMQCBS-UHFFFAOYSA-N -1 1 319.386 1.581 20 0 DDADMM c1cc(CSCCOC[C@@H]2CCCO2)cc(-c2nnn[n-]2)n1 ZINC000826516698 607537112 /nfs/dbraw/zinc/53/71/12/607537112.db2.gz WUUQOHGSUXYVDF-LBPRGKRZSA-N -1 1 321.406 1.691 20 0 DDADMM c1cc(CSCCOC[C@@H]2CCCO2)cc(-c2nn[n-]n2)n1 ZINC000826516698 607537114 /nfs/dbraw/zinc/53/71/14/607537114.db2.gz WUUQOHGSUXYVDF-LBPRGKRZSA-N -1 1 321.406 1.691 20 0 DDADMM C[C@H](CNC(=O)[C@@H](C)CC(=O)[O-])N1CCc2ccccc2C1 ZINC000827281561 602090537 /nfs/dbraw/zinc/09/05/37/602090537.db2.gz PFRCLUXBNDUQTH-QWHCGFSZSA-N -1 1 304.390 1.660 20 0 DDADMM COC(C)(C)CN(C)CC(=O)NCc1ccc(NC(=O)[O-])cc1 ZINC000831484850 602246640 /nfs/dbraw/zinc/24/66/40/602246640.db2.gz RTXVPBSQQCJOEG-UHFFFAOYSA-N -1 1 323.393 1.750 20 0 DDADMM COC(=O)c1coc(CN2CCC[C@@H](CN(C)C(=O)[O-])C2)c1 ZINC000739791841 602273326 /nfs/dbraw/zinc/27/33/26/602273326.db2.gz HVTVXRQTBQBPCC-NSHDSACASA-N -1 1 310.350 1.888 20 0 DDADMM CC1CCN(CC(=O)N[C@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000828284720 602396071 /nfs/dbraw/zinc/39/60/71/602396071.db2.gz HKXBJKCTNNOJCF-HNNXBMFYSA-N -1 1 308.353 1.800 20 0 DDADMM O=C([O-])N1CCC[C@@H](CN(C2CC2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000740507615 602431044 /nfs/dbraw/zinc/43/10/44/602431044.db2.gz SUBWSUSKKGAIML-DGCLKSJQSA-N -1 1 316.423 1.028 20 0 DDADMM C[C@H]1CCCC[C@@]1(CNC(=O)[O-])NCc1nnnn1C1CC1 ZINC000739105545 602433155 /nfs/dbraw/zinc/43/31/55/602433155.db2.gz YMPIOKUTQVNQRR-HZMBPMFUSA-N -1 1 308.386 1.314 20 0 DDADMM O=C([O-])NCCCC(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC000739957333 602450092 /nfs/dbraw/zinc/45/00/92/602450092.db2.gz RCJWTUGAHKQYGS-UHFFFAOYSA-N -1 1 310.741 1.880 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CCC[C@H](NC(=O)[O-])C1 ZINC000737951670 602457902 /nfs/dbraw/zinc/45/79/02/602457902.db2.gz WCEVIDJBMPEBNZ-GJZGRUSLSA-N -1 1 319.405 1.418 20 0 DDADMM CCCN(CC(=O)NC1CCCCC1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739353261 602545793 /nfs/dbraw/zinc/54/57/93/602545793.db2.gz IUCJPPBKPCZTSH-CQSZACIVSA-N -1 1 311.426 1.900 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(CC(=O)NCc2cccnc2)CC1 ZINC000739030093 602552318 /nfs/dbraw/zinc/55/23/18/602552318.db2.gz YHFSMSMRYMPHIN-GFCCVEGCSA-N -1 1 320.393 1.066 20 0 DDADMM C[C@H]1CCCC[C@]1(CNC(=O)[O-])NCc1nnc(C2CC2)n1C ZINC000739103154 602553914 /nfs/dbraw/zinc/55/39/14/602553914.db2.gz TUMKZJVZVKNNNU-MEDUHNTESA-N -1 1 321.425 1.999 20 0 DDADMM CN(C(=O)[O-])C1CCN(C[C@H]2COc3ccccc3O2)CC1 ZINC000737939567 602556848 /nfs/dbraw/zinc/55/68/48/602556848.db2.gz PAZFYSBPMQBADT-ZDUSSCGKSA-N -1 1 306.362 1.901 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000739016631 602754400 /nfs/dbraw/zinc/75/44/00/602754400.db2.gz QOCPNZJSADGUAM-SECBINFHSA-N -1 1 317.349 1.466 20 0 DDADMM CC[C@H](SC)C(=O)Nc1nn(C(C)(C)C)cc1-c1nnn[n-]1 ZINC000825159954 607587137 /nfs/dbraw/zinc/58/71/37/607587137.db2.gz VJUSOYHZVULYSY-VIFPVBQESA-N -1 1 323.426 1.898 20 0 DDADMM CC[C@H](SC)C(=O)Nc1nn(C(C)(C)C)cc1-c1nn[n-]n1 ZINC000825159954 607587138 /nfs/dbraw/zinc/58/71/38/607587138.db2.gz VJUSOYHZVULYSY-VIFPVBQESA-N -1 1 323.426 1.898 20 0 DDADMM CC(C)[C@@H](CNC(=O)N1CCN(CC2CC2)CC1)NC(=O)[O-] ZINC000738786185 602849502 /nfs/dbraw/zinc/84/95/02/602849502.db2.gz PPIZNCKQKIZJAA-CYBMUJFWSA-N -1 1 312.414 1.016 20 0 DDADMM CC(C)[C@@H](CN[C@@H](C(=O)N(C)C)c1ccccc1)NC(=O)[O-] ZINC000738795975 602929854 /nfs/dbraw/zinc/92/98/54/602929854.db2.gz ZRDSQSBWJCVTET-ZIAGYGMSSA-N -1 1 307.394 1.698 20 0 DDADMM O=C([O-])NC[C@@H]1CCCC[C@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC000740635435 602992210 /nfs/dbraw/zinc/99/22/10/602992210.db2.gz VBWWQQXGIJUNRS-GXSJLCMTSA-N -1 1 306.366 1.455 20 0 DDADMM C[C@H](CCNC(=O)N1C[C@@H]2CCCCN2C[C@H]1C)NC(=O)[O-] ZINC000738901330 602994581 /nfs/dbraw/zinc/99/45/81/602994581.db2.gz VFIAOVFMMMTJPW-UPJWGTAASA-N -1 1 312.414 1.301 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)NC1CCC(NC(=O)[O-])CC1 ZINC000740032502 603144093 /nfs/dbraw/zinc/14/40/93/603144093.db2.gz SZYDHFWNPPPMFU-UHFFFAOYSA-N -1 1 323.397 1.529 20 0 DDADMM C[C@@H](C(=O)N1CCCN(C)CC1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823670641 603221109 /nfs/dbraw/zinc/22/11/09/603221109.db2.gz YNYJIZBHZHACEY-UKRRQHHQSA-N -1 1 319.405 1.796 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@H]1CCN(C(=O)[O-])C1)c1cccc(F)c1 ZINC000739601495 603268075 /nfs/dbraw/zinc/26/80/75/603268075.db2.gz FWBSQJIRDRJMBS-GXTWGEPZSA-N -1 1 323.368 1.545 20 0 DDADMM CCc1cc(CNC(=O)NCC[C@H]2CCN(C(=O)[O-])C2)n[nH]1 ZINC000739540152 603306624 /nfs/dbraw/zinc/30/66/24/603306624.db2.gz SLMRSPJKYYQUGY-JTQLQIEISA-N -1 1 309.370 1.161 20 0 DDADMM C[C@@H](CNC(=O)[O-])N(C)C[C@H]1CN(c2ccccc2)C(=O)O1 ZINC000738942681 603342990 /nfs/dbraw/zinc/34/29/90/603342990.db2.gz WUYJJROUDXTGSB-AAEUAGOBSA-N -1 1 307.350 1.600 20 0 DDADMM C[C@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)[C@@H]1CNC(=O)[O-] ZINC000825919050 603689358 /nfs/dbraw/zinc/68/93/58/603689358.db2.gz JJAHCCLBDYSOGP-TVQRCGJNSA-N -1 1 317.349 1.466 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](CNC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823663830 603728930 /nfs/dbraw/zinc/72/89/30/603728930.db2.gz UYPLBOGYQZRGAD-UKRRQHHQSA-N -1 1 319.405 1.623 20 0 DDADMM O=C([O-])N1CC[C@H](C(=O)NCc2nc3ccc(F)cc3[nH]2)C1 ZINC000831731400 603737925 /nfs/dbraw/zinc/73/79/25/603737925.db2.gz XEJYNWUUVWKNHD-QMMMGPOBSA-N -1 1 306.297 1.318 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H]3CCCN(C(=O)[O-])C3)[nH]c2c1 ZINC000830432806 603763855 /nfs/dbraw/zinc/76/38/55/603763855.db2.gz MBYKRBHPIFMQBR-LLVKDONJSA-N -1 1 316.361 1.878 20 0 DDADMM C[C@H](C(=O)N1C[C@H](C)C[C@H](C)C1)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000825784135 603805373 /nfs/dbraw/zinc/80/53/73/603805373.db2.gz WCJDJOVABKYBAD-RQJABVFESA-N -1 1 311.426 1.564 20 0 DDADMM C[C@H](C(=O)N(C)C1CCCCC1)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823654616 603818118 /nfs/dbraw/zinc/81/81/18/603818118.db2.gz LAZRQDGPRRXVEX-OCCSQVGLSA-N -1 1 311.426 1.850 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000825280702 603948222 /nfs/dbraw/zinc/94/82/22/603948222.db2.gz JOUWQRURPBVFKM-ZYHUDNBSSA-N -1 1 320.393 1.724 20 0 DDADMM C[C@]1(C(=O)NCc2nc3ccc(F)cc3[nH]2)CCN(C(=O)[O-])C1 ZINC000825673735 603990872 /nfs/dbraw/zinc/99/08/72/603990872.db2.gz UHZGZJKTGXHSGA-HNNXBMFYSA-N -1 1 320.324 1.708 20 0 DDADMM O=C([O-])NC1(CNC(=O)CCc2nc[nH]n2)CCCCCC1 ZINC000832218899 604056040 /nfs/dbraw/zinc/05/60/40/604056040.db2.gz ANWZMWVTNIQMPR-UHFFFAOYSA-N -1 1 309.370 1.214 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000825201058 604248936 /nfs/dbraw/zinc/24/89/36/604248936.db2.gz JMFMMTDQOQLVAY-SDDRHHMPSA-N -1 1 320.393 1.724 20 0 DDADMM Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000832845042 604341967 /nfs/dbraw/zinc/34/19/67/604341967.db2.gz SFJJAVBHKNFZLS-JTQLQIEISA-N -1 1 302.334 1.860 20 0 DDADMM Cc1cccc(C)c1-n1nnnc1CNC(C)(C)CC(=O)[O-] ZINC000832964333 604443776 /nfs/dbraw/zinc/44/37/76/604443776.db2.gz GZCAEUFRUKZZAF-UHFFFAOYSA-N -1 1 303.366 1.622 20 0 DDADMM CCCCc1nnc(NC(=O)CN(C)C[C@H](C)C(=O)[O-])s1 ZINC000829441273 604474598 /nfs/dbraw/zinc/47/45/98/604474598.db2.gz SHRMTNUGLOMZQW-VIFPVBQESA-N -1 1 314.411 1.472 20 0 DDADMM COc1coc(CN(C)CC[C@@H](NC(=O)[O-])C(C)C)cc1=O ZINC000829654694 604579488 /nfs/dbraw/zinc/57/94/88/604579488.db2.gz JGIORUGEERCXEG-GFCCVEGCSA-N -1 1 312.366 1.763 20 0 DDADMM CN(CCCC(=O)Nc1cc(-c2nccn2C)n[nH]1)C(=O)[O-] ZINC000828285793 604644141 /nfs/dbraw/zinc/64/41/41/604644141.db2.gz TZOLFBUIAYANKQ-UHFFFAOYSA-N -1 1 306.326 1.139 20 0 DDADMM CC(C)c1cc(C(=O)N2CCO[C@H]([C@@H](C)NC(=O)[O-])C2)n[nH]1 ZINC000824453004 604710890 /nfs/dbraw/zinc/71/08/90/604710890.db2.gz DLYLCITWXDZOCZ-SKDRFNHKSA-N -1 1 310.354 1.030 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CCC[C@@H]1c1cccc(N(C)C)c1 ZINC000826000823 604768779 /nfs/dbraw/zinc/76/87/79/604768779.db2.gz RNDTWKDAIMNXKA-SWLSCSKDSA-N -1 1 319.405 1.479 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1C[C@H]1c1ccc(F)cc1 ZINC000833767674 604897525 /nfs/dbraw/zinc/89/75/25/604897525.db2.gz LYEUBTSOGQTQLY-IHRRRGAJSA-N -1 1 317.320 1.464 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1CN1C(=O)CN(c2ccccc2)C1=O ZINC000833211286 604983327 /nfs/dbraw/zinc/98/33/27/604983327.db2.gz XULSJQKESAAKEC-ZDUSSCGKSA-N -1 1 317.345 1.352 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)c2ccc(C(=O)[O-])cn2)n[nH]1 ZINC000833512164 605022944 /nfs/dbraw/zinc/02/29/44/605022944.db2.gz JWISVINDWVDXKY-QMMMGPOBSA-N -1 1 303.322 1.512 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)c1cncc(C(=O)[O-])c1 ZINC000833635936 605031128 /nfs/dbraw/zinc/03/11/28/605031128.db2.gz ZTFFXDMCIXJBPP-SMDDNHRTSA-N -1 1 303.362 1.479 20 0 DDADMM CC(C)Oc1cccc([C@H](C)N[C@H](C)C(=O)NCC(=O)[O-])c1 ZINC000833507166 605069737 /nfs/dbraw/zinc/06/97/37/605069737.db2.gz XEJYLYUXUBTXKR-NWDGAFQWSA-N -1 1 308.378 1.714 20 0 DDADMM O=C([O-])N[C@H]1CCN(C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000832376375 605088123 /nfs/dbraw/zinc/08/81/23/605088123.db2.gz VJNMLDUKJWREJY-JTQLQIEISA-N -1 1 316.321 1.141 20 0 DDADMM C[C@H](CC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C)c1ccncc1 ZINC000833514146 605110482 /nfs/dbraw/zinc/11/04/82/605110482.db2.gz PCKXVBOUOKNJNH-KGLIPLIRSA-N -1 1 319.405 1.583 20 0 DDADMM O=C([O-])N[C@H]1CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC000740591163 605133748 /nfs/dbraw/zinc/13/37/48/605133748.db2.gz XPZSQBXNNZJUII-NSHDSACASA-N -1 1 300.318 1.559 20 0 DDADMM CCN1C[C@H](C)N(CC(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C[C@@H]1C ZINC000833658046 605145266 /nfs/dbraw/zinc/14/52/66/605145266.db2.gz QFRNCERNMIUHRC-QWRGUYRKSA-N -1 1 321.421 1.927 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccccc2Cl)CCN1CCC(=O)[O-] ZINC000833620511 605256646 /nfs/dbraw/zinc/25/66/46/605256646.db2.gz GGACSHAILOZAJV-LLVKDONJSA-N -1 1 310.781 1.961 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCN(C(=O)[O-])[C@@H](C)C2)n[nH]1 ZINC000830031767 605301438 /nfs/dbraw/zinc/30/14/38/605301438.db2.gz YIIPZMSHJYQVRW-KOLCDFICSA-N -1 1 309.370 1.043 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NC[C@@H]2CCCCN2C(=O)[O-])n[nH]1 ZINC000830036320 605301572 /nfs/dbraw/zinc/30/15/72/605301572.db2.gz MUFXPDVWGFGRNU-MFKMUULPSA-N -1 1 323.397 1.481 20 0 DDADMM COCCC[C@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833723525 605302533 /nfs/dbraw/zinc/30/25/33/605302533.db2.gz PRPGMMUXCBGXRJ-STQMWFEESA-N -1 1 300.399 1.057 20 0 DDADMM Cn1cccc1C(=O)CN1C[C@@H]2CCC[C@H](NC(=O)[O-])[C@H]2C1 ZINC000833952656 605454680 /nfs/dbraw/zinc/45/46/80/605454680.db2.gz OHJPGWFEWOHUKE-AVGNSLFASA-N -1 1 305.378 1.576 20 0 DDADMM COc1cc(CN(C)[C@H]2CCN(C(=O)[O-])C2)cc(OC)c1OC ZINC000833886999 605583264 /nfs/dbraw/zinc/58/32/64/605583264.db2.gz JKSGFPOGGQBVSZ-LBPRGKRZSA-N -1 1 324.377 1.897 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCC[C@@H](n3cncn3)C2)cn1 ZINC000834260334 605591753 /nfs/dbraw/zinc/59/17/53/605591753.db2.gz PUXMJHAPIZEXQE-GFCCVEGCSA-N -1 1 302.338 1.600 20 0 DDADMM C[C@@H](O)[C@H]1CCN(CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC000833526214 605698289 /nfs/dbraw/zinc/69/82/89/605698289.db2.gz GUERDIBCCOQDIW-NEPJUHHUSA-N -1 1 306.362 1.050 20 0 DDADMM Cc1cc(CNC(=O)[C@H]2c3ccccc3CCN2C(=O)[O-])n[nH]1 ZINC000830041720 605722137 /nfs/dbraw/zinc/72/21/37/605722137.db2.gz RCUKURDZLUHZAZ-CQSZACIVSA-N -1 1 314.345 1.612 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)N1CCc2ccccc21 ZINC000833828784 605883238 /nfs/dbraw/zinc/88/32/38/605883238.db2.gz FNASYUQQSXEDDR-QWHCGFSZSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NCC1CCCCC1 ZINC000833827615 605905783 /nfs/dbraw/zinc/90/57/83/605905783.db2.gz BTJMJXXBJCACEA-TZMCWYRMSA-N -1 1 311.426 1.661 20 0 DDADMM CC(C)C[C@H](CNC(=O)[O-])NC(=O)CN(C)[C@@H]1CCSC1 ZINC000833793000 605944071 /nfs/dbraw/zinc/94/40/71/605944071.db2.gz BJIMQACGFQVCQZ-VXGBXAGGSA-N -1 1 317.455 1.222 20 0 DDADMM O=C([O-])Nc1cccc(C(=O)N[C@@H]2CCc3nc[nH]c3C2)c1 ZINC000833290773 605959660 /nfs/dbraw/zinc/95/96/60/605959660.db2.gz RUDMKPVEBZQZLP-LLVKDONJSA-N -1 1 300.318 1.787 20 0 DDADMM C[C@@H]1[C@H](C)N(C[C@@H](O)COCc2ccccc2)CCN1C(=O)[O-] ZINC000833825587 606037814 /nfs/dbraw/zinc/03/78/14/606037814.db2.gz CGCREYNFXFGNCN-SQWLQELKSA-N -1 1 322.405 1.637 20 0 DDADMM O=C([O-])N[C@@H](CC(F)F)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000834131395 606075134 /nfs/dbraw/zinc/07/51/34/606075134.db2.gz HUOIQGLHMHZEBI-SFYZADRCSA-N -1 1 302.281 1.195 20 0 DDADMM Cc1nc(COC(=O)c2ccc(-c3nnn[n-]3)s2)oc1C ZINC000822444695 606139359 /nfs/dbraw/zinc/13/93/59/606139359.db2.gz XUJJCFYTAHMQHP-UHFFFAOYSA-N -1 1 305.319 1.890 20 0 DDADMM Cc1nc(COC(=O)c2ccc(-c3nn[n-]n3)s2)oc1C ZINC000822444695 606139361 /nfs/dbraw/zinc/13/93/61/606139361.db2.gz XUJJCFYTAHMQHP-UHFFFAOYSA-N -1 1 305.319 1.890 20 0 DDADMM CCc1cnc(CN(C)c2nccnc2-c2nnn[n-]2)s1 ZINC000821497161 606164140 /nfs/dbraw/zinc/16/41/40/606164140.db2.gz QCUZABZWGHRCAX-UHFFFAOYSA-N -1 1 302.367 1.312 20 0 DDADMM CCc1cnc(CN(C)c2nccnc2-c2nn[n-]n2)s1 ZINC000821497161 606164141 /nfs/dbraw/zinc/16/41/41/606164141.db2.gz QCUZABZWGHRCAX-UHFFFAOYSA-N -1 1 302.367 1.312 20 0 DDADMM C[C@H](CC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-])n1cccc1 ZINC000820226005 606194894 /nfs/dbraw/zinc/19/48/94/606194894.db2.gz RQFOZCVNGDEWEB-SNVBAGLBSA-N -1 1 312.333 1.964 20 0 DDADMM CCOC(=O)c1ccc(CNc2cccc(-c3nnn[n-]3)n2)o1 ZINC000821342807 606428523 /nfs/dbraw/zinc/42/85/23/606428523.db2.gz IFDIGDKDOSZMTM-UHFFFAOYSA-N -1 1 314.305 1.644 20 0 DDADMM CCOC(=O)c1ccc(CNc2cccc(-c3nn[n-]n3)n2)o1 ZINC000821342807 606428525 /nfs/dbraw/zinc/42/85/25/606428525.db2.gz IFDIGDKDOSZMTM-UHFFFAOYSA-N -1 1 314.305 1.644 20 0 DDADMM COc1ccccc1CCC(=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000821890876 606531932 /nfs/dbraw/zinc/53/19/32/606531932.db2.gz QGPDDHRZQQLBJL-UHFFFAOYSA-N -1 1 313.321 1.170 20 0 DDADMM COc1ccccc1CCC(=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000821890876 606531933 /nfs/dbraw/zinc/53/19/33/606531933.db2.gz QGPDDHRZQQLBJL-UHFFFAOYSA-N -1 1 313.321 1.170 20 0 DDADMM O=C(N[C@H]1COc2ccccc21)c1ccc(-c2nnn[n-]2)s1 ZINC000823162398 606540618 /nfs/dbraw/zinc/54/06/18/606540618.db2.gz UUHLUGUHAKQMOG-VIFPVBQESA-N -1 1 313.342 1.792 20 0 DDADMM O=C(N[C@H]1COc2ccccc21)c1ccc(-c2nn[n-]n2)s1 ZINC000823162398 606540620 /nfs/dbraw/zinc/54/06/20/606540620.db2.gz UUHLUGUHAKQMOG-VIFPVBQESA-N -1 1 313.342 1.792 20 0 DDADMM CO[C@@H](C)c1nc(CNc2nccnc2-c2nnn[n-]2)cs1 ZINC000821676012 606594376 /nfs/dbraw/zinc/59/43/76/606594376.db2.gz YWXMUWPNZIIKKJ-ZETCQYMHSA-N -1 1 318.366 1.433 20 0 DDADMM CO[C@@H](C)c1nc(CNc2nccnc2-c2nn[n-]n2)cs1 ZINC000821676012 606594377 /nfs/dbraw/zinc/59/43/77/606594377.db2.gz YWXMUWPNZIIKKJ-ZETCQYMHSA-N -1 1 318.366 1.433 20 0 DDADMM Cc1ccccc1CCCn1nc(C)c(C)c(-c2nn[n-]n2)c1=O ZINC000822356032 606608195 /nfs/dbraw/zinc/60/81/95/606608195.db2.gz GSZKJNDIVVJZNK-UHFFFAOYSA-N -1 1 324.388 1.981 20 0 DDADMM Cc1nn(Cc2ccc(F)cc2F)c(=O)c(-c2nn[n-]n2)c1C ZINC000822501091 606627333 /nfs/dbraw/zinc/62/73/33/606627333.db2.gz VMWCZHIQOAMGOT-UHFFFAOYSA-N -1 1 318.287 1.367 20 0 DDADMM CC(C)CO[C@H](C)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000820096792 606648014 /nfs/dbraw/zinc/64/80/14/606648014.db2.gz HCHGVOXTMUAUCH-SNVBAGLBSA-N -1 1 305.338 1.366 20 0 DDADMM CC(C)CO[C@H](C)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000820096792 606648015 /nfs/dbraw/zinc/64/80/15/606648015.db2.gz HCHGVOXTMUAUCH-SNVBAGLBSA-N -1 1 305.338 1.366 20 0 DDADMM COc1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)cn1 ZINC000821772718 606649345 /nfs/dbraw/zinc/64/93/45/606649345.db2.gz MXOLANSCJIARBV-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM COc1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)cn1 ZINC000821772718 606649346 /nfs/dbraw/zinc/64/93/46/606649346.db2.gz MXOLANSCJIARBV-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@@H]1C[C@H]1Cc1ccccc1 ZINC000822903393 606695389 /nfs/dbraw/zinc/69/53/89/606695389.db2.gz KWGMMGDKUVRGBZ-GHMZBOCLSA-N -1 1 309.333 1.164 20 0 DDADMM C[C@H](CC[S@@](C)=O)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820249634 606722777 /nfs/dbraw/zinc/72/27/77/606722777.db2.gz XWGOZUJOGFQKNF-JLPJYYFKSA-N -1 1 314.802 1.484 20 0 DDADMM C[C@H](CC[S@@](C)=O)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820249634 606722779 /nfs/dbraw/zinc/72/27/79/606722779.db2.gz XWGOZUJOGFQKNF-JLPJYYFKSA-N -1 1 314.802 1.484 20 0 DDADMM Clc1ccc(OCCNc2nccnc2-c2nnn[n-]2)nc1 ZINC000822577991 606722941 /nfs/dbraw/zinc/72/29/41/606722941.db2.gz ACOFOSWJZNKWSP-UHFFFAOYSA-N -1 1 318.728 1.196 20 0 DDADMM Clc1ccc(OCCNc2nccnc2-c2nn[n-]n2)nc1 ZINC000822577991 606722943 /nfs/dbraw/zinc/72/29/43/606722943.db2.gz ACOFOSWJZNKWSP-UHFFFAOYSA-N -1 1 318.728 1.196 20 0 DDADMM OCC[C@]1(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)CCOC1 ZINC000823718494 606791212 /nfs/dbraw/zinc/79/12/12/606791212.db2.gz WXCPKFNVBHQKDN-CYBMUJFWSA-N -1 1 324.772 1.116 20 0 DDADMM OCC[C@]1(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)CCOC1 ZINC000823718494 606791214 /nfs/dbraw/zinc/79/12/14/606791214.db2.gz WXCPKFNVBHQKDN-CYBMUJFWSA-N -1 1 324.772 1.116 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)[C@H]2CCSC2)n1 ZINC000819971298 606810146 /nfs/dbraw/zinc/81/01/46/606810146.db2.gz HWNXFCGGTUNXLC-QMMMGPOBSA-N -1 1 321.410 1.510 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)[C@H]2CCSC2)n1 ZINC000819971298 606810147 /nfs/dbraw/zinc/81/01/47/606810147.db2.gz HWNXFCGGTUNXLC-QMMMGPOBSA-N -1 1 321.410 1.510 20 0 DDADMM Fc1ccc(CNc2c3ccccc3nnc2-c2nnn[n-]2)nc1 ZINC000822771434 606882302 /nfs/dbraw/zinc/88/23/02/606882302.db2.gz HLEUWZALKXDYFN-UHFFFAOYSA-N -1 1 322.307 1.956 20 0 DDADMM Fc1ccc(CNc2c3ccccc3nnc2-c2nn[n-]n2)nc1 ZINC000822771434 606882303 /nfs/dbraw/zinc/88/23/03/606882303.db2.gz HLEUWZALKXDYFN-UHFFFAOYSA-N -1 1 322.307 1.956 20 0 DDADMM COCC[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)C ZINC000821685335 606897691 /nfs/dbraw/zinc/89/76/91/606897691.db2.gz AHFHCSQQTJYVBZ-GFCCVEGCSA-N -1 1 305.338 1.480 20 0 DDADMM COCC[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)C ZINC000821685335 606897692 /nfs/dbraw/zinc/89/76/92/606897692.db2.gz AHFHCSQQTJYVBZ-GFCCVEGCSA-N -1 1 305.338 1.480 20 0 DDADMM c1cc([C@H]2CN(c3nccnc3-c3nnn[n-]3)CCO2)cs1 ZINC000823809967 606949771 /nfs/dbraw/zinc/94/97/71/606949771.db2.gz TZLBPADOTAXBAU-SNVBAGLBSA-N -1 1 315.362 1.296 20 0 DDADMM c1cc([C@H]2CN(c3nccnc3-c3nn[n-]n3)CCO2)cs1 ZINC000823809967 606949772 /nfs/dbraw/zinc/94/97/72/606949772.db2.gz TZLBPADOTAXBAU-SNVBAGLBSA-N -1 1 315.362 1.296 20 0 DDADMM COc1ccc(CNc2nccnc2-c2nnn[n-]2)cc1Cl ZINC000821823808 607038174 /nfs/dbraw/zinc/03/81/74/607038174.db2.gz CVIPHWSXHKOJLV-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM COc1ccc(CNc2nccnc2-c2nn[n-]n2)cc1Cl ZINC000821823808 607038175 /nfs/dbraw/zinc/03/81/75/607038175.db2.gz CVIPHWSXHKOJLV-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM CCCc1ncc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)s1 ZINC000821142705 607109538 /nfs/dbraw/zinc/10/95/38/607109538.db2.gz MDLVPROFVRAUTR-UHFFFAOYSA-N -1 1 321.391 1.985 20 0 DDADMM CCCc1ncc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)s1 ZINC000821142705 607109540 /nfs/dbraw/zinc/10/95/40/607109540.db2.gz MDLVPROFVRAUTR-UHFFFAOYSA-N -1 1 321.391 1.985 20 0 DDADMM CN(C[C@@H]1CCC[C@H]1O)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825909342 607863008 /nfs/dbraw/zinc/86/30/08/607863008.db2.gz WTHQSYIVDJXYFG-DTWKUNHWSA-N -1 1 307.379 1.161 20 0 DDADMM CN(C[C@@H]1CCC[C@H]1O)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825909342 607863009 /nfs/dbraw/zinc/86/30/09/607863009.db2.gz WTHQSYIVDJXYFG-DTWKUNHWSA-N -1 1 307.379 1.161 20 0 DDADMM Cn1ncc2c1ncnc2Oc1cccc(F)c1-c1nn[n-]n1 ZINC000826366515 607867173 /nfs/dbraw/zinc/86/71/73/607867173.db2.gz LVFXRILTIAQJGY-UHFFFAOYSA-N -1 1 312.268 1.475 20 0 DDADMM c1ncn(-c2ccc(CNc3cccc(-c4nnn[n-]4)n3)cc2)n1 ZINC000826517261 607896821 /nfs/dbraw/zinc/89/68/21/607896821.db2.gz WPDGDFYSVRDEOP-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1ncn(-c2ccc(CNc3cccc(-c4nn[n-]n4)n3)cc2)n1 ZINC000826517261 607896822 /nfs/dbraw/zinc/89/68/22/607896822.db2.gz WPDGDFYSVRDEOP-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM Cn1cc([C@H]2CCCN(c3cccc(-c4nnn[n-]4)n3)C2)cn1 ZINC000826360159 607898284 /nfs/dbraw/zinc/89/82/84/607898284.db2.gz ZGIPZOPLWPTDIO-NSHDSACASA-N -1 1 310.365 1.379 20 0 DDADMM Cn1cc([C@H]2CCCN(c3cccc(-c4nn[n-]n4)n3)C2)cn1 ZINC000826360159 607898285 /nfs/dbraw/zinc/89/82/85/607898285.db2.gz ZGIPZOPLWPTDIO-NSHDSACASA-N -1 1 310.365 1.379 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)C(F)(F)c1ccccc1 ZINC000826395140 607928857 /nfs/dbraw/zinc/92/88/57/607928857.db2.gz OKCVXFWTUVRZTC-UHFFFAOYSA-N -1 1 305.248 1.078 20 0 DDADMM Cc1nc2ccccc2n1CCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826329260 607988767 /nfs/dbraw/zinc/98/87/67/607988767.db2.gz WJTWUMXIOURZES-UHFFFAOYSA-N -1 1 321.348 1.427 20 0 DDADMM Cc1nc2ccccc2n1CCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826329260 607988768 /nfs/dbraw/zinc/98/87/68/607988768.db2.gz WJTWUMXIOURZES-UHFFFAOYSA-N -1 1 321.348 1.427 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cccc(F)n1 ZINC000826451228 608171489 /nfs/dbraw/zinc/17/14/89/608171489.db2.gz WNCJYGYNCGZEAD-UHFFFAOYSA-N -1 1 300.253 1.359 20 0 DDADMM FC(F)COC1CN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000826369976 608189947 /nfs/dbraw/zinc/18/99/47/608189947.db2.gz YGPQAYWSVXBCPV-UHFFFAOYSA-N -1 1 322.728 1.447 20 0 DDADMM FC(F)COC1CN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000826369976 608189948 /nfs/dbraw/zinc/18/99/48/608189948.db2.gz YGPQAYWSVXBCPV-UHFFFAOYSA-N -1 1 322.728 1.447 20 0 DDADMM CCC1(CC)[C@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@@H](C)[C@@H]1OC ZINC000825171408 608197019 /nfs/dbraw/zinc/19/70/19/608197019.db2.gz PAMOMIFDSDGYDP-WQAKAFBOSA-N -1 1 317.397 1.908 20 0 DDADMM CCC1(CC)[C@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@@H](C)[C@@H]1OC ZINC000825171408 608197020 /nfs/dbraw/zinc/19/70/20/608197020.db2.gz PAMOMIFDSDGYDP-WQAKAFBOSA-N -1 1 317.397 1.908 20 0 DDADMM CCC1(O)CCC(NC(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000825176337 608258162 /nfs/dbraw/zinc/25/81/62/608258162.db2.gz PTXJTHVEYMZDOP-UHFFFAOYSA-N -1 1 316.365 1.075 20 0 DDADMM CCC1(O)CCC(NC(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000825176337 608258164 /nfs/dbraw/zinc/25/81/64/608258164.db2.gz PTXJTHVEYMZDOP-UHFFFAOYSA-N -1 1 316.365 1.075 20 0 DDADMM CCCCn1cc(CNc2ccc(-c3nnn[n-]3)nn2)c(C)n1 ZINC000825301156 608293850 /nfs/dbraw/zinc/29/38/50/608293850.db2.gz IJISFCAJZLSLHO-UHFFFAOYSA-N -1 1 313.369 1.574 20 0 DDADMM CCCCn1cc(CNc2ccc(-c3nn[n-]n3)nn2)c(C)n1 ZINC000825301156 608293869 /nfs/dbraw/zinc/29/38/69/608293869.db2.gz IJISFCAJZLSLHO-UHFFFAOYSA-N -1 1 313.369 1.574 20 0 DDADMM CCc1cccnc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000825728390 608382716 /nfs/dbraw/zinc/38/27/16/608382716.db2.gz ODXRQGAZCZDGNR-UHFFFAOYSA-N -1 1 310.317 1.782 20 0 DDADMM Cc1ccc2nc(CNc3ccc(-c4nnn[n-]4)nn3)cn2c1 ZINC000826295772 608401951 /nfs/dbraw/zinc/40/19/51/608401951.db2.gz XFMLVIDKBQDTAF-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM Cc1ccc2nc(CNc3ccc(-c4nn[n-]n4)nn3)cn2c1 ZINC000826295772 608401953 /nfs/dbraw/zinc/40/19/53/608401953.db2.gz XFMLVIDKBQDTAF-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM Cc1cc(C)cc(OCCNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826264803 608402969 /nfs/dbraw/zinc/40/29/69/608402969.db2.gz ARHDDAKZRYMPOI-UHFFFAOYSA-N -1 1 311.349 1.764 20 0 DDADMM Cc1cc(C)cc(OCCNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826264803 608402971 /nfs/dbraw/zinc/40/29/71/608402971.db2.gz ARHDDAKZRYMPOI-UHFFFAOYSA-N -1 1 311.349 1.764 20 0 DDADMM Cc1ccc(OC[C@@H](C)Nc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826292434 608405546 /nfs/dbraw/zinc/40/55/46/608405546.db2.gz VEKRIIZXLIBZHA-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM Cc1ccc(OC[C@@H](C)Nc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826292434 608405548 /nfs/dbraw/zinc/40/55/48/608405548.db2.gz VEKRIIZXLIBZHA-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM CC(=O)c1ccc(CNc2ccc(-c3nnn[n-]3)nn2)nc1C ZINC000824024403 608405656 /nfs/dbraw/zinc/40/56/56/608405656.db2.gz CWMAYZMGSSKXQT-UHFFFAOYSA-N -1 1 310.321 1.175 20 0 DDADMM CC(=O)c1ccc(CNc2ccc(-c3nn[n-]n3)nn2)nc1C ZINC000824024403 608405657 /nfs/dbraw/zinc/40/56/57/608405657.db2.gz CWMAYZMGSSKXQT-UHFFFAOYSA-N -1 1 310.321 1.175 20 0 DDADMM Cc1ccc2c(c1C)OC[C@@H]2Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826294494 608412056 /nfs/dbraw/zinc/41/20/56/608412056.db2.gz SWEDKFUHBCOWBR-LBPRGKRZSA-N -1 1 309.333 1.819 20 0 DDADMM Cc1ccc2c(c1C)OC[C@@H]2Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826294494 608412059 /nfs/dbraw/zinc/41/20/59/608412059.db2.gz SWEDKFUHBCOWBR-LBPRGKRZSA-N -1 1 309.333 1.819 20 0 DDADMM COc1ccccc1[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000826219303 608421557 /nfs/dbraw/zinc/42/15/57/608421557.db2.gz XZGHXTSTCMYJEZ-NSHDSACASA-N -1 1 323.360 1.659 20 0 DDADMM COc1ccccc1[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000826219303 608421559 /nfs/dbraw/zinc/42/15/59/608421559.db2.gz XZGHXTSTCMYJEZ-NSHDSACASA-N -1 1 323.360 1.659 20 0 DDADMM C[C@H](C[C@@H](O)c1ccco1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824391101 608431127 /nfs/dbraw/zinc/43/11/27/608431127.db2.gz HGUFIRMJPVZYKE-PSASIEDQSA-N -1 1 301.310 1.174 20 0 DDADMM C[C@H](C[C@@H](O)c1ccco1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824391101 608431128 /nfs/dbraw/zinc/43/11/28/608431128.db2.gz HGUFIRMJPVZYKE-PSASIEDQSA-N -1 1 301.310 1.174 20 0 DDADMM Cc1noc(C)c1[C@@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826338692 608435423 /nfs/dbraw/zinc/43/54/23/608435423.db2.gz DXSGAWOTAAIQPI-ZETCQYMHSA-N -1 1 300.326 1.477 20 0 DDADMM Cc1noc(C)c1[C@@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826338692 608435425 /nfs/dbraw/zinc/43/54/25/608435425.db2.gz DXSGAWOTAAIQPI-ZETCQYMHSA-N -1 1 300.326 1.477 20 0 DDADMM CC(C)C(=O)N1CCN(Cc2ccc(-c3nn[n-]n3)s2)CC1 ZINC000824178149 608501264 /nfs/dbraw/zinc/50/12/64/608501264.db2.gz NJQWTUXDVRXAEP-UHFFFAOYSA-N -1 1 320.422 1.228 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1N1CCC(c2cc[nH]n2)CC1 ZINC000826279984 608544010 /nfs/dbraw/zinc/54/40/10/608544010.db2.gz KCICFBFOULXTBW-UHFFFAOYSA-N -1 1 310.365 1.677 20 0 DDADMM CN(c1nc(Cn2ccnc2-c2nnn[n-]2)cs1)C1CC1 ZINC000826010306 608889635 /nfs/dbraw/zinc/88/96/35/608889635.db2.gz HZUKYLAZACDWFZ-UHFFFAOYSA-N -1 1 302.367 1.167 20 0 DDADMM CN(c1nc(Cn2ccnc2-c2nn[n-]n2)cs1)C1CC1 ZINC000826010306 608889638 /nfs/dbraw/zinc/88/96/38/608889638.db2.gz HZUKYLAZACDWFZ-UHFFFAOYSA-N -1 1 302.367 1.167 20 0 DDADMM CCS[C@H](C)c1noc(Cn2ccnc2-c2nnn[n-]2)n1 ZINC000825669091 608889851 /nfs/dbraw/zinc/88/98/51/608889851.db2.gz PVKOYNWILDSDIS-SSDOTTSWSA-N -1 1 306.355 1.309 20 0 DDADMM CCS[C@H](C)c1noc(Cn2ccnc2-c2nn[n-]n2)n1 ZINC000825669091 608889853 /nfs/dbraw/zinc/88/98/53/608889853.db2.gz PVKOYNWILDSDIS-SSDOTTSWSA-N -1 1 306.355 1.309 20 0 DDADMM C[C@H](O)[C@@H]1CCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000824690177 609569948 /nfs/dbraw/zinc/56/99/48/609569948.db2.gz QJJXBLKRDGHYEC-WDEREUQCSA-N -1 1 310.361 1.622 20 0 DDADMM C[C@H](O)[C@@H]1CCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000824690177 609569950 /nfs/dbraw/zinc/56/99/50/609569950.db2.gz QJJXBLKRDGHYEC-WDEREUQCSA-N -1 1 310.361 1.622 20 0 DDADMM Cc1cc(Nc2ccc(-c3nnn[n-]3)nn2)nn1[C@@H](C)C1CC1 ZINC000826271538 609587618 /nfs/dbraw/zinc/58/76/18/609587618.db2.gz WBYGEJSXBCSCDD-VIFPVBQESA-N -1 1 311.353 1.876 20 0 DDADMM Cc1cc(Nc2ccc(-c3nn[n-]n3)nn2)nn1[C@@H](C)C1CC1 ZINC000826271538 609587621 /nfs/dbraw/zinc/58/76/21/609587621.db2.gz WBYGEJSXBCSCDD-VIFPVBQESA-N -1 1 311.353 1.876 20 0 DDADMM CCC[C@@H]1C[C@H](C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCO1 ZINC000825252555 609591113 /nfs/dbraw/zinc/59/11/13/609591113.db2.gz JFVKKYLSQKIEAG-NXEZZACHSA-N -1 1 319.369 1.134 20 0 DDADMM CCC[C@@H]1C[C@H](C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCO1 ZINC000825252555 609591115 /nfs/dbraw/zinc/59/11/15/609591115.db2.gz JFVKKYLSQKIEAG-NXEZZACHSA-N -1 1 319.369 1.134 20 0 DDADMM CO[C@H]1Cc2ccc(Nc3nccnc3-c3nnn[n-]3)cc2C1 ZINC000826147474 609593117 /nfs/dbraw/zinc/59/31/17/609593117.db2.gz YSFHNLFVLUAWFH-LBPRGKRZSA-N -1 1 309.333 1.514 20 0 DDADMM CO[C@H]1Cc2ccc(Nc3nccnc3-c3nn[n-]n3)cc2C1 ZINC000826147474 609593118 /nfs/dbraw/zinc/59/31/18/609593118.db2.gz YSFHNLFVLUAWFH-LBPRGKRZSA-N -1 1 309.333 1.514 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCc2nccs2)o1 ZINC000796939231 699987257 /nfs/dbraw/zinc/98/72/57/699987257.db2.gz UHZZEFAIRZEXNP-UHFFFAOYSA-N -1 1 316.360 1.044 20 0 DDADMM CC1(C)C[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973183570 695441500 /nfs/dbraw/zinc/44/15/00/695441500.db2.gz KSNPDNBKVCQFDV-DCAQKATOSA-N -1 1 303.362 1.210 20 0 DDADMM CC(C)(C)C(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975219679 695816204 /nfs/dbraw/zinc/81/62/04/695816204.db2.gz KRMXVDMEINTVPK-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM COc1csc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000746884524 700043457 /nfs/dbraw/zinc/04/34/57/700043457.db2.gz IOHRMUDOYDDOCP-LBPRGKRZSA-N -1 1 315.358 1.789 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ccccc2F)o1 ZINC000042263900 696147362 /nfs/dbraw/zinc/14/73/62/696147362.db2.gz PWERFARRKUSNRP-UHFFFAOYSA-N -1 1 313.306 1.684 20 0 DDADMM CCC1(C(=O)N2CC[C@@H]2CN(C)C(=O)c2ncccc2[O-])CC1 ZINC000977604147 696231178 /nfs/dbraw/zinc/23/11/78/696231178.db2.gz VNCZBKJYEUFQBY-GFCCVEGCSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1nc(SCC(=O)N[N-]C(=O)c2cccs2)oc1C ZINC000055237041 696283097 /nfs/dbraw/zinc/28/30/97/696283097.db2.gz KWMMYEUMUGXUGX-UHFFFAOYSA-N -1 1 311.388 1.906 20 0 DDADMM Cc1cnn(CCC(=O)NCc2n[n-]c(=S)n2C(C)C)c1 ZINC000066640253 696354651 /nfs/dbraw/zinc/35/46/51/696354651.db2.gz OCLMFDMXAXQHSL-UHFFFAOYSA-N -1 1 308.411 1.733 20 0 DDADMM Cn1ccc2ccc(NC(=O)Cc3sc(N)nc3[O-])cc21 ZINC000073049712 696400042 /nfs/dbraw/zinc/40/00/42/696400042.db2.gz LLSZFIAMPWRJHO-NSHDSACASA-N -1 1 302.359 1.464 20 0 DDADMM COc1cc[n-]c(=NNC(=O)c2cc(-c3cccs3)on2)n1 ZINC000073546026 696405670 /nfs/dbraw/zinc/40/56/70/696405670.db2.gz JCFFGIAIRXHWNA-UHFFFAOYSA-N -1 1 317.330 1.959 20 0 DDADMM CC[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(C)cc1 ZINC000079304358 696459671 /nfs/dbraw/zinc/45/96/71/696459671.db2.gz DDWVEJCUCIVMGA-NWDGAFQWSA-N -1 1 305.403 1.909 20 0 DDADMM CC(C)(CNC(=O)Cc1sc(N)nc1[O-])c1ccccc1F ZINC000079881706 696464667 /nfs/dbraw/zinc/46/46/67/696464667.db2.gz PNJXJJBJOUGACV-LLVKDONJSA-N -1 1 323.393 1.566 20 0 DDADMM O=C(NCc1cnc[nH]1)c1ccc2c(=O)[nH]c(=S)[n-]c2c1 ZINC000747974182 700094205 /nfs/dbraw/zinc/09/42/05/700094205.db2.gz FXHASXPNODEOGE-UHFFFAOYSA-N -1 1 301.331 1.277 20 0 DDADMM CCCn1cc(NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)cn1 ZINC000080729206 696536925 /nfs/dbraw/zinc/53/69/25/696536925.db2.gz VJQXIFLFVZXODT-UHFFFAOYSA-N -1 1 312.351 1.047 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)Nc1cccn(C)c1=O ZINC000084332376 696557056 /nfs/dbraw/zinc/55/70/56/696557056.db2.gz ZVGBLCRVWFJYJE-UHFFFAOYSA-N -1 1 322.394 1.023 20 0 DDADMM CCc1nn(C)cc1C(=O)NCCc1n[n-]c(=S)n1C1CC1 ZINC000092441821 696594844 /nfs/dbraw/zinc/59/48/44/696594844.db2.gz IBCNIKJCLOVMAQ-UHFFFAOYSA-N -1 1 320.422 1.544 20 0 DDADMM CC(C)C(=O)N1C[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000979696007 696629237 /nfs/dbraw/zinc/62/92/37/696629237.db2.gz UCTHTFFHGUHIKV-XQQFMLRXSA-N -1 1 317.389 1.410 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H](C)C2CC2)cc1 ZINC000748131227 700101334 /nfs/dbraw/zinc/10/13/34/700101334.db2.gz GCOQBWLJNQDRIA-JTQLQIEISA-N -1 1 305.330 1.541 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1cccc(Cl)c1 ZINC000120802282 696701606 /nfs/dbraw/zinc/70/16/06/696701606.db2.gz KAAZMPXNJAELSR-XCBNKYQSSA-N -1 1 311.794 1.864 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(Cc2ccccc2)C2CC2)s1 ZINC000120868438 696702861 /nfs/dbraw/zinc/70/28/61/696702861.db2.gz IWHSJGSNDVPNNM-GFCCVEGCSA-N -1 1 303.387 1.524 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)C1CCCC1)N1CCCCC1 ZINC000125367550 696752332 /nfs/dbraw/zinc/75/23/32/696752332.db2.gz FEYANSIUYBFUGL-CQSZACIVSA-N -1 1 316.467 1.886 20 0 DDADMM O=C(N[C@H]1C[C@H](CNC(=O)[C@@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000980634962 696821869 /nfs/dbraw/zinc/82/18/69/696821869.db2.gz BHODMFUGERVRAR-SRVKXCTJSA-N -1 1 315.373 1.212 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000135225288 696845967 /nfs/dbraw/zinc/84/59/67/696845967.db2.gz BVBWOWULKOXJIM-YFKPBYRVSA-N -1 1 308.178 1.007 20 0 DDADMM O=C(NCC1(O)CCCCCC1)c1nnc2ccccc2c1O ZINC000135635544 696851220 /nfs/dbraw/zinc/85/12/20/696851220.db2.gz CRFASHZAMQDAJH-UHFFFAOYSA-N -1 1 315.373 1.738 20 0 DDADMM CC(C)c1csc(CNC(=O)Cc2sc(N)nc2[O-])n1 ZINC000151371202 696884222 /nfs/dbraw/zinc/88/42/22/696884222.db2.gz GXJASKPDVHJIPH-QMMMGPOBSA-N -1 1 312.420 1.230 20 0 DDADMM O=C(CCNC(=O)c1ncccc1[O-])OCc1ccccc1 ZINC000157881690 696982682 /nfs/dbraw/zinc/98/26/82/696982682.db2.gz GWCHBCCBXWNPSU-UHFFFAOYSA-N -1 1 300.314 1.651 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C1(C)CCCCC1 ZINC000158693185 696993371 /nfs/dbraw/zinc/99/33/71/696993371.db2.gz GAUINVWLUBHELD-UHFFFAOYSA-N -1 1 318.377 1.782 20 0 DDADMM COc1ccc(Cl)cc1NC(=O)N[N-]C(=O)c1cc(C)[nH]n1 ZINC000160419051 697316914 /nfs/dbraw/zinc/31/69/14/697316914.db2.gz UDBKAGNKOVTUTC-UHFFFAOYSA-N -1 1 323.740 1.847 20 0 DDADMM CN(C(=O)C(C)(C)C)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984114151 697341924 /nfs/dbraw/zinc/34/19/24/697341924.db2.gz MPJQOERDENVDJI-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM CC(=O)N[C@@H]1[C@@H]2CCCN(C(=O)c3ncccc3[O-])[C@H]2C1(C)C ZINC000984162307 697348674 /nfs/dbraw/zinc/34/86/74/697348674.db2.gz ZFGNLJPFDGQJHY-NILFDRSVSA-N -1 1 317.389 1.553 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCCc2cn[nH]c2)c(=O)[n-]1 ZINC000178441816 697424582 /nfs/dbraw/zinc/42/45/82/697424582.db2.gz OIHLRFDSDKDLNZ-UHFFFAOYSA-N -1 1 322.390 1.242 20 0 DDADMM COC(=O)C[C@@H]1CN(C(=O)c2n[nH]c(C)c2[O-])c2ccccc21 ZINC000749239731 700152732 /nfs/dbraw/zinc/15/27/32/700152732.db2.gz FVYJOFCWBWTHFH-SNVBAGLBSA-N -1 1 315.329 1.731 20 0 DDADMM CSc1nc(C)c(CCC(=O)Oc2cnn(C)c2)c(=O)[n-]1 ZINC000179895958 697442555 /nfs/dbraw/zinc/44/25/55/697442555.db2.gz MVIKLKFHEWZOJG-UHFFFAOYSA-N -1 1 308.363 1.072 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@H]2CC23CCC3)CC1 ZINC000985688683 697556239 /nfs/dbraw/zinc/55/62/39/697556239.db2.gz XINBKQVNAYACCO-GFCCVEGCSA-N -1 1 319.409 1.123 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000749386457 700160690 /nfs/dbraw/zinc/16/06/90/700160690.db2.gz UHTMAJARVQVIOI-KJJQSCHISA-N -1 1 317.324 1.342 20 0 DDADMM N#Cc1ccc(C(=O)NC[C@@]2(O)CCc3ccccc32)cc1[O-] ZINC000188720537 697563413 /nfs/dbraw/zinc/56/34/13/697563413.db2.gz BBZGZPAWVHZOOB-SFHVURJKSA-N -1 1 308.337 1.828 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@]1(O)CCSC1 ZINC000194573103 697714171 /nfs/dbraw/zinc/71/41/71/697714171.db2.gz NLTFWYARZGRXRA-SNVBAGLBSA-N -1 1 319.180 1.356 20 0 DDADMM O=C(c1cc(F)ccc1F)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773150778 697719028 /nfs/dbraw/zinc/71/90/28/697719028.db2.gz KFUKNPCFGYZABL-SNVBAGLBSA-N -1 1 311.317 1.408 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)ccc1F ZINC000773155358 697719933 /nfs/dbraw/zinc/71/99/33/697719933.db2.gz URGNPVLOZUBQRJ-LLVKDONJSA-N -1 1 307.354 1.578 20 0 DDADMM O=C(CCCc1ccccn1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773159816 697721623 /nfs/dbraw/zinc/72/16/23/697721623.db2.gz MPKODRNTNHXQKF-GFCCVEGCSA-N -1 1 318.406 1.234 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)[C@@H]1CCCN(CC(F)(F)F)C1 ZINC000195458343 697743833 /nfs/dbraw/zinc/74/38/33/697743833.db2.gz KWLHNECBTPTPEM-MNOVXSKESA-N -1 1 310.316 1.835 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NN2[C@@H](C)CCC[C@@H]2C)co1 ZINC000773386213 697752952 /nfs/dbraw/zinc/75/29/52/697752952.db2.gz BZKJNKHGRIGEMZ-UWVGGRQHSA-N -1 1 315.395 1.095 20 0 DDADMM CC(C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C)=C1CCC1 ZINC000986288846 697768665 /nfs/dbraw/zinc/76/86/65/697768665.db2.gz VWTBRPPZINWKEQ-ZYHUDNBSSA-N -1 1 305.382 1.090 20 0 DDADMM O=C(OCc1nnnn1-c1ccccc1)c1ccc([O-])cc1F ZINC000773574685 697782731 /nfs/dbraw/zinc/78/27/31/697782731.db2.gz UMYVRYKYWRNPDY-UHFFFAOYSA-N -1 1 314.276 1.864 20 0 DDADMM C[C@H](CN(C)C(=O)C1=NO[C@@H](c2ccccc2)C1)c1nn[n-]n1 ZINC000774001548 697832788 /nfs/dbraw/zinc/83/27/88/697832788.db2.gz PMAKDGIDXAWEHM-ZWNOBZJWSA-N -1 1 314.349 1.279 20 0 DDADMM O=C(NC[C@@H]1CCC[C@@H](O)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000774431043 697878363 /nfs/dbraw/zinc/87/83/63/697878363.db2.gz JITDPILCBWSCPU-MWLCHTKSSA-N -1 1 306.391 1.299 20 0 DDADMM C[C@@H](CNC(=O)c1cccc(Cl)c1[O-])N1CCN(C)CC1 ZINC000775742837 698028039 /nfs/dbraw/zinc/02/80/39/698028039.db2.gz XIEIVURALQIEPS-NSHDSACASA-N -1 1 311.813 1.411 20 0 DDADMM C[C@H]1C[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCO1 ZINC000776419085 698105031 /nfs/dbraw/zinc/10/50/31/698105031.db2.gz QPLDEWOOBSFPJR-WCQYABFASA-N -1 1 305.330 1.301 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCOC[C@@H]1CCO ZINC000776711864 698132577 /nfs/dbraw/zinc/13/25/77/698132577.db2.gz VPUSPYOXTMKGAK-JTQLQIEISA-N -1 1 319.279 1.634 20 0 DDADMM COCc1noc(COC(=O)c2c([O-])cc(F)cc2F)n1 ZINC000777071155 698160393 /nfs/dbraw/zinc/16/03/93/698160393.db2.gz DRSLFBZOLMRENK-UHFFFAOYSA-N -1 1 300.217 1.557 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(F)cc1 ZINC000987842203 698244896 /nfs/dbraw/zinc/24/48/96/698244896.db2.gz NHGLJMMSFFNZNF-SKDRFNHKSA-N -1 1 319.340 1.042 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)C[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000987932240 698268513 /nfs/dbraw/zinc/26/85/13/698268513.db2.gz RZOUOULYDFUSGR-JHJVBQTASA-N -1 1 317.389 1.364 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N[C@H](C)c1ccccc1OC)C(=O)[O-] ZINC000263170193 698494254 /nfs/dbraw/zinc/49/42/54/698494254.db2.gz RXPLEUGLOMVSKI-OZVIIMIRSA-N -1 1 322.405 1.961 20 0 DDADMM O=C([O-])[C@@H]1CCCN1C(=O)NCCN1CCc2ccccc2C1 ZINC000780381359 698513683 /nfs/dbraw/zinc/51/36/83/698513683.db2.gz JVIVBYZIVVXXFQ-HNNXBMFYSA-N -1 1 317.389 1.303 20 0 DDADMM CCN(CC)[C@H](CNC(=O)N1CCC[C@@H]1C(=O)[O-])c1ccco1 ZINC000780478549 698523237 /nfs/dbraw/zinc/52/32/37/698523237.db2.gz CTCAPMUZXCZSQY-CHWSQXEVSA-N -1 1 323.393 1.921 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCC=CO2)o1 ZINC000780903657 698569719 /nfs/dbraw/zinc/56/97/19/698569719.db2.gz YLXAHQIUPNKWOJ-JTQLQIEISA-N -1 1 315.347 1.427 20 0 DDADMM O=C(Cc1ccco1)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000290430814 698570816 /nfs/dbraw/zinc/57/08/16/698570816.db2.gz SKJFLFROORNCLE-SNVBAGLBSA-N -1 1 318.295 1.739 20 0 DDADMM O=C(NN1Cc2ccccc2C1=O)c1cc(=O)c2ccccc2[n-]1 ZINC000782071989 698681203 /nfs/dbraw/zinc/68/12/03/698681203.db2.gz QJSIUJOVIMCWGJ-UHFFFAOYSA-N -1 1 319.320 1.829 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCc2nc[nH]c(=O)c2C1 ZINC000750796221 700247151 /nfs/dbraw/zinc/24/71/51/700247151.db2.gz VMLSOAKVEDEJKN-UHFFFAOYSA-N -1 1 305.721 1.740 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)CC1(O)CCCC1 ZINC000318014369 698702637 /nfs/dbraw/zinc/70/26/37/698702637.db2.gz IDVTUDYVCHNVNT-UHFFFAOYSA-N -1 1 307.394 1.991 20 0 DDADMM COCC[C@H](C)OC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000783297760 698831868 /nfs/dbraw/zinc/83/18/68/698831868.db2.gz LWHYRIZNSBDKER-JTQLQIEISA-N -1 1 321.377 1.953 20 0 DDADMM CC(F)(F)C(=O)[N-]C1CN(C(=O)c2cc(F)c(F)c(F)c2)C1 ZINC000990059687 698928587 /nfs/dbraw/zinc/92/85/87/698928587.db2.gz BHLQCCBKNKBCMP-UHFFFAOYSA-N -1 1 322.233 1.700 20 0 DDADMM Cc1noc(C)c1CCOC(=O)c1cc(=O)[n-]c(N(C)C)n1 ZINC000786499806 699151008 /nfs/dbraw/zinc/15/10/08/699151008.db2.gz KFFTXXZCZZZJCE-UHFFFAOYSA-N -1 1 306.322 1.253 20 0 DDADMM CCC[C@H](NC(=O)c1ccnc2[nH]c(C)nc21)c1nn[n-]n1 ZINC000436163441 699162935 /nfs/dbraw/zinc/16/29/35/699162935.db2.gz HLBCRNBJINZGHH-VIFPVBQESA-N -1 1 300.326 1.051 20 0 DDADMM CC(C)(C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000990975345 699197161 /nfs/dbraw/zinc/19/71/61/699197161.db2.gz QAVZCYGQHFXCBF-UHFFFAOYSA-N -1 1 303.362 1.164 20 0 DDADMM CC1(O)CCC([N-]S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC000703578375 699236441 /nfs/dbraw/zinc/23/64/41/699236441.db2.gz XRMHRZZDZYSWIH-UHFFFAOYSA-N -1 1 305.346 1.937 20 0 DDADMM CNc1ccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cn1 ZINC000787954440 699259606 /nfs/dbraw/zinc/25/96/06/699259606.db2.gz WPPQKUJUBWFWLW-UHFFFAOYSA-N -1 1 313.313 1.237 20 0 DDADMM O=S(=O)([N-][C@H]1CCCCC12OCCO2)c1ccns1 ZINC000717664110 699289771 /nfs/dbraw/zinc/28/97/71/699289771.db2.gz SJPUBNUPGCRFFC-VIFPVBQESA-N -1 1 304.393 1.107 20 0 DDADMM O=c1nc(CN2CC[C@@H](C3OCCO3)C2)[n-]c2ccsc21 ZINC000718972530 699296266 /nfs/dbraw/zinc/29/62/66/699296266.db2.gz DVVODKBUVRRDAA-SECBINFHSA-N -1 1 307.375 1.592 20 0 DDADMM CC(C)(CNC(=O)c1ccc([O-])cc1F)CS(C)(=O)=O ZINC000723861380 699322274 /nfs/dbraw/zinc/32/22/74/699322274.db2.gz XEVFCYPDRBECSE-UHFFFAOYSA-N -1 1 303.355 1.332 20 0 DDADMM CC(C)(CNC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000726866169 699386331 /nfs/dbraw/zinc/38/63/31/699386331.db2.gz UMSYCONBIVAVAB-UHFFFAOYSA-N -1 1 315.373 1.021 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cccc(-n2cccc2)c1 ZINC000727754381 699424909 /nfs/dbraw/zinc/42/49/09/699424909.db2.gz QWQVDDMDZNGXJS-UHFFFAOYSA-N -1 1 324.340 1.356 20 0 DDADMM C[C@H](CN1CCOCC1)N(C)C(=O)c1c([O-])cccc1Cl ZINC000790133755 699444718 /nfs/dbraw/zinc/44/47/18/699444718.db2.gz ZONKXRRZIWSESB-LLVKDONJSA-N -1 1 312.797 1.838 20 0 DDADMM O=C(NCCS(=O)(=O)Cc1ccccc1)c1cncc([O-])c1 ZINC000732277565 699553196 /nfs/dbraw/zinc/55/31/96/699553196.db2.gz KZWJZPPTTCOYCT-UHFFFAOYSA-N -1 1 320.370 1.132 20 0 DDADMM Cc1nc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)sc1C ZINC000791097777 699611370 /nfs/dbraw/zinc/61/13/70/699611370.db2.gz YKFSCWDIPOZBIT-UHFFFAOYSA-N -1 1 316.390 1.737 20 0 DDADMM C[C@H](Oc1cccc(F)c1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791167880 699614074 /nfs/dbraw/zinc/61/40/74/699614074.db2.gz LDUCGEFZCKQEQN-JTQLQIEISA-N -1 1 314.320 1.887 20 0 DDADMM O=C(OC[C@H]1CCCS(=O)(=O)C1)c1ccc([O-])cc1F ZINC000792087967 699674718 /nfs/dbraw/zinc/67/47/18/699674718.db2.gz ABCVORCDECUQOG-SECBINFHSA-N -1 1 302.323 1.513 20 0 DDADMM Cc1nonc1C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000736667193 699729681 /nfs/dbraw/zinc/72/96/81/699729681.db2.gz MRCUAGOVBGGBCV-UHFFFAOYSA-N -1 1 315.329 1.819 20 0 DDADMM CCc1nn(CCCOC(C)C)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736705585 699730547 /nfs/dbraw/zinc/73/05/47/699730547.db2.gz KZCQKYMMEQKDMB-UHFFFAOYSA-N -1 1 320.397 1.363 20 0 DDADMM CC[C@H](C)[C@@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000737869339 699747102 /nfs/dbraw/zinc/74/71/02/699747102.db2.gz ZIFUQWZZNMEGJM-STQMWFEESA-N -1 1 307.394 1.354 20 0 DDADMM COC/C=C\C(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000793466991 699759251 /nfs/dbraw/zinc/75/92/51/699759251.db2.gz HCABFPXRDJMJBP-ATPLWMGHSA-N -1 1 308.300 1.106 20 0 DDADMM O=C([O-])C1(C(=O)NC[C@H](c2ccco2)N2CCCCC2)CC1 ZINC000738524239 699761736 /nfs/dbraw/zinc/76/17/36/699761736.db2.gz LPNSEWGXRYURHV-GFCCVEGCSA-N -1 1 306.362 1.788 20 0 DDADMM COCC[C@H](COC)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000793986864 699790618 /nfs/dbraw/zinc/79/06/18/699790618.db2.gz SQXJJQGFRHAXEC-LLVKDONJSA-N -1 1 311.382 1.395 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3COc4ccccc43)ccnc1-2 ZINC000808862022 701624371 /nfs/dbraw/zinc/62/43/71/701624371.db2.gz WFSJOOFEKSVWSW-LLVKDONJSA-N -1 1 323.356 1.640 20 0 DDADMM COCCOCCOC(=O)c1nn(-c2ccc(C)cc2)cc1[O-] ZINC000801369829 700301476 /nfs/dbraw/zinc/30/14/76/700301476.db2.gz BPJGXTMTNVSXLW-UHFFFAOYSA-N -1 1 320.345 1.706 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCC3(CO)COC3)n2)cc1 ZINC000801371235 700301667 /nfs/dbraw/zinc/30/16/67/700301667.db2.gz MRKSQUKBFYVJJZ-UHFFFAOYSA-N -1 1 318.329 1.052 20 0 DDADMM O=C(CC1OCCCO1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000801603423 700326341 /nfs/dbraw/zinc/32/63/41/700326341.db2.gz ZKLFSITTZHYJKM-UHFFFAOYSA-N -1 1 303.318 1.874 20 0 DDADMM CC(=O)c1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c(C)nc1C ZINC000801704434 700332817 /nfs/dbraw/zinc/33/28/17/700332817.db2.gz LSTVDNLPFMTKJF-UHFFFAOYSA-N -1 1 323.356 1.809 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H]2CCc3cccnc32)co1 ZINC000802222650 700382691 /nfs/dbraw/zinc/38/26/91/700382691.db2.gz WWVNJWXNIIMMRX-LLVKDONJSA-N -1 1 322.342 1.427 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCc2c(=O)[nH]cnc2C1 ZINC000752776927 700387233 /nfs/dbraw/zinc/38/72/33/700387233.db2.gz MLWZZADJNQZUGS-UHFFFAOYSA-N -1 1 305.721 1.740 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cc2cc[nH]c(=O)c2cc1F ZINC000754769024 700525809 /nfs/dbraw/zinc/52/58/09/700525809.db2.gz NVYSBZWWFIELEF-UHFFFAOYSA-N -1 1 323.305 1.879 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1cnc(C)s1)C(F)(F)F ZINC000754804608 700529634 /nfs/dbraw/zinc/52/96/34/700529634.db2.gz HXKYPSOCCUOPNW-LURJTMIESA-N -1 1 304.315 1.307 20 0 DDADMM Cn1cccc(C(=O)Nc2ccc3nc([S-])[nH]c3c2)c1=O ZINC000758613348 700716112 /nfs/dbraw/zinc/71/61/12/700716112.db2.gz UOSPETKOPZQHOH-UHFFFAOYSA-N -1 1 300.343 1.803 20 0 DDADMM O=C([N-]OCC(F)F)[C@@H]1CC(=O)N(c2ccc3c(c2)CCC3)C1 ZINC000759333498 700746852 /nfs/dbraw/zinc/74/68/52/700746852.db2.gz DBJWIDYNSOTSLG-GFCCVEGCSA-N -1 1 324.327 1.841 20 0 DDADMM CNc1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)cc1 ZINC000759615859 700762160 /nfs/dbraw/zinc/76/21/60/700762160.db2.gz NYVJVOKOCZUOOL-UHFFFAOYSA-N -1 1 304.268 1.954 20 0 DDADMM C[C@H]1CN(C(=O)c2c([O-])cccc2Cl)CC[S@](=O)C1 ZINC000762549242 700897621 /nfs/dbraw/zinc/89/76/21/700897621.db2.gz SVGOPVJEIYETJY-UGZDLDLSSA-N -1 1 301.795 1.886 20 0 DDADMM Cn1[n-]c(COC(=O)CCCc2c[nH]c3ccccc23)nc1=O ZINC000765392938 701009354 /nfs/dbraw/zinc/00/93/54/701009354.db2.gz OBGRNCMDQPVLOO-UHFFFAOYSA-N -1 1 314.345 1.656 20 0 DDADMM Cc1ccc(C(C)C)cc1OCC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765390350 701009493 /nfs/dbraw/zinc/00/94/93/701009493.db2.gz MECFASZHLZYECH-UHFFFAOYSA-N -1 1 319.361 1.662 20 0 DDADMM CC[C@@H](C)Oc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765493274 701015941 /nfs/dbraw/zinc/01/59/41/701015941.db2.gz VHWMZDKHXCUZRU-SNVBAGLBSA-N -1 1 305.334 1.643 20 0 DDADMM O=C([N-][C@H]1CCN(C2CCCCC2)C1=O)C(F)(F)C(F)F ZINC000766280567 701045197 /nfs/dbraw/zinc/04/51/97/701045197.db2.gz VYDSCOZWIXAUFZ-VIFPVBQESA-N -1 1 310.291 1.937 20 0 DDADMM CCOC1CC(COC(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000802946323 701069219 /nfs/dbraw/zinc/06/92/19/701069219.db2.gz OLVWOVWIAVZRKR-UHFFFAOYSA-N -1 1 317.363 1.160 20 0 DDADMM CO[C@H](C)COC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000770676556 701289236 /nfs/dbraw/zinc/28/92/36/701289236.db2.gz DVOUNTCOMHJJJQ-MRVPVSSYSA-N -1 1 300.380 1.723 20 0 DDADMM Cc1cc(C(=O)Nc2nc(Br)ccc2[O-])nn1C ZINC000771574051 701323999 /nfs/dbraw/zinc/32/39/99/701323999.db2.gz PFOOSPJVOICFLG-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM O=C(NC[C@H]1COC2(CCOCC2)O1)c1c([O-])cccc1F ZINC000772232830 701346268 /nfs/dbraw/zinc/34/62/68/701346268.db2.gz PTRDWEYLSUPGTI-JTQLQIEISA-N -1 1 311.309 1.183 20 0 DDADMM C[C@H](OC(=O)c1nn(-c2ccccc2)cc1[O-])c1nncn1C ZINC000805345888 701377170 /nfs/dbraw/zinc/37/71/70/701377170.db2.gz PRYUZSWHDSFGTO-JTQLQIEISA-N -1 1 313.317 1.624 20 0 DDADMM COC(=O)[C@@H](Cc1ccc(Cl)cc1)OC(=O)c1cn[n-]n1 ZINC000805606043 701398381 /nfs/dbraw/zinc/39/83/81/701398381.db2.gz FECPEWDLJQKSFY-LLVKDONJSA-N -1 1 309.709 1.399 20 0 DDADMM Cc1ccccc1CCNC(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805605353 701398522 /nfs/dbraw/zinc/39/85/22/701398522.db2.gz YNBQTYPCZAQWJN-NSHDSACASA-N -1 1 302.334 1.017 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)OC(=O)c2cn[n-]n2)cc1OC ZINC000805605646 701398731 /nfs/dbraw/zinc/39/87/31/701398731.db2.gz PMCKWYAKJZPRBW-MRVPVSSYSA-N -1 1 320.305 1.006 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@H](C)S1 ZINC000806479996 701436667 /nfs/dbraw/zinc/43/66/67/701436667.db2.gz AUFTUEGAAHJUDU-AOOOYVTPSA-N -1 1 305.407 1.700 20 0 DDADMM Cc1csc(CCNC(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC000806480286 701436710 /nfs/dbraw/zinc/43/67/10/701436710.db2.gz GXQXHQMGFJYECW-UHFFFAOYSA-N -1 1 316.390 1.471 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCc3ccc(O)cc3)ccnc1-2 ZINC000806481616 701436918 /nfs/dbraw/zinc/43/69/18/701436918.db2.gz GXZSBJRARVDOLN-UHFFFAOYSA-N -1 1 311.345 1.412 20 0 DDADMM CC(C)CC[C@@](C)(O)CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806488387 701437483 /nfs/dbraw/zinc/43/74/83/701437483.db2.gz GIAZWXTXVRTQOH-MRXNPFEDSA-N -1 1 319.409 1.651 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@]3(C)CCO[C@H]3C3CC3)ccnc1-2 ZINC000806493519 701438157 /nfs/dbraw/zinc/43/81/57/701438157.db2.gz XAENGCLGTWMMAC-BBRMVZONSA-N -1 1 315.377 1.421 20 0 DDADMM CCC1=C(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCCO1 ZINC000806547462 701441261 /nfs/dbraw/zinc/44/12/61/701441261.db2.gz IEQNEYZLQVMHDP-AWEZNQCLSA-N -1 1 313.361 1.880 20 0 DDADMM CN1C(=O)CCc2cc(/C=C/c3cc(=O)n4[n-]cnc4n3)ccc21 ZINC000806571671 701441656 /nfs/dbraw/zinc/44/16/56/701441656.db2.gz XXQCPBRDMCFJCT-GORDUTHDSA-N -1 1 321.340 1.497 20 0 DDADMM Cn1c(Cl)ncc1S(=O)(=O)[N-][C@H](C1CC1)C(F)(F)F ZINC000808327697 701513861 /nfs/dbraw/zinc/51/38/61/701513861.db2.gz FMJQDRCBRSADRP-SSDOTTSWSA-N -1 1 317.720 1.693 20 0 DDADMM O=C(C=CC1CCCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952151 706609623 /nfs/dbraw/zinc/60/96/23/706609623.db2.gz CSTCQVKJEPITGW-AATRIKPKSA-N -1 1 303.362 1.618 20 0 DDADMM C[C@H]1CCC[C@H]1CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830959509 706611364 /nfs/dbraw/zinc/61/13/64/706611364.db2.gz PDMDOWAPWUGKKT-QWRGUYRKSA-N -1 1 305.378 1.697 20 0 DDADMM CCCCSCC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830965749 706612616 /nfs/dbraw/zinc/61/26/16/706612616.db2.gz ISAUHHLYSDWAAU-UHFFFAOYSA-N -1 1 311.407 1.404 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CC[C@@H](O)C1CCCC1 ZINC000867932057 701839243 /nfs/dbraw/zinc/83/92/43/701839243.db2.gz UMEHDGGAKQGXTI-GFCCVEGCSA-N -1 1 324.468 1.024 20 0 DDADMM CC(C)C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO1 ZINC000831042761 706625958 /nfs/dbraw/zinc/62/59/58/706625958.db2.gz HRZXTGPGUMNWBO-VHSXEESVSA-N -1 1 321.830 1.935 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868116633 701949274 /nfs/dbraw/zinc/94/92/74/701949274.db2.gz LHVWFXXZOAGJAP-MNOVXSKESA-N -1 1 320.393 1.633 20 0 DDADMM O=c1[nH]c2cccc([N-]S(=O)(=O)C[C@H]3CCCOC3)c2[nH]1 ZINC000811717017 702031583 /nfs/dbraw/zinc/03/15/83/702031583.db2.gz VTGWOEYTDBJBEK-VIFPVBQESA-N -1 1 311.363 1.437 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OCC ZINC000831110902 706636871 /nfs/dbraw/zinc/63/68/71/706636871.db2.gz OQOXKMXWHKDFAN-NHLYECAPSA-N -1 1 321.786 1.239 20 0 DDADMM O=C(c1sccc1C1CC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000816492857 702096869 /nfs/dbraw/zinc/09/68/69/702096869.db2.gz GLLJINMVGVLSCN-UHFFFAOYSA-N -1 1 319.390 1.262 20 0 DDADMM Cc1cccnc1NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000866183821 706642651 /nfs/dbraw/zinc/64/26/51/706642651.db2.gz DGDHCVBIOJRUIQ-UHFFFAOYSA-N -1 1 316.283 1.532 20 0 DDADMM CCn1ncn([N-]C(=O)c2cc3c(s2)CC[C@@H](C)C3)c1=O ZINC000816736566 702170422 /nfs/dbraw/zinc/17/04/22/702170422.db2.gz COUPLUWULIRPRJ-SECBINFHSA-N -1 1 306.391 1.635 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OC/C=C\Cl)N1C[C@@H](C)O[C@H](C)C1 ZINC000812398544 702174447 /nfs/dbraw/zinc/17/44/47/702174447.db2.gz SRZUGCQYEQZAHK-RCAPSXEPSA-N -1 1 304.818 1.921 20 0 DDADMM COc1ccc(C)cc1N1C[C@@H](C(=O)[N-]OC2CCC2)CC1=O ZINC000812787929 702238142 /nfs/dbraw/zinc/23/81/42/702238142.db2.gz KRRSUXPXCGRNMR-LBPRGKRZSA-N -1 1 318.373 1.957 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCCC2CC2)o1 ZINC000813180711 702308956 /nfs/dbraw/zinc/30/89/56/702308956.db2.gz JSZFITZAOYFYIM-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc(Cl)nc3)nc2n1 ZINC000813377485 702340803 /nfs/dbraw/zinc/34/08/03/702340803.db2.gz GJVAEERCYRMPOY-UHFFFAOYSA-N -1 1 304.697 1.027 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](CCSC)C(=O)OC)[n-]1 ZINC000814025318 702462402 /nfs/dbraw/zinc/46/24/02/702462402.db2.gz YMYYXFCGRJUVRJ-JTQLQIEISA-N -1 1 315.347 1.253 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000841545491 702491985 /nfs/dbraw/zinc/49/19/85/702491985.db2.gz YWICCMQCHQHXQE-QMMMGPOBSA-N -1 1 313.709 1.269 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3CCC4(CCC4)O3)ccnc1-2 ZINC000879415189 706674111 /nfs/dbraw/zinc/67/41/11/706674111.db2.gz VZJTVVVWVUTRPX-NSHDSACASA-N -1 1 315.377 1.565 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CC[C@H]3C[C@H]3C2)CCC1 ZINC000843013617 702803576 /nfs/dbraw/zinc/80/35/76/702803576.db2.gz HSAGMJNDPAFWSS-XQQFMLRXSA-N -1 1 314.451 1.353 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1ccc(C)c(F)c1 ZINC000866438413 706699157 /nfs/dbraw/zinc/69/91/57/706699157.db2.gz XQRBCIUSEZOATO-UHFFFAOYSA-N -1 1 322.427 1.976 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCCc2occc21 ZINC000866464788 706705390 /nfs/dbraw/zinc/70/53/90/706705390.db2.gz LPSWXDDRFQVLGN-YPMLDQLKSA-N -1 1 306.409 1.609 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3ccc4c(c3)CCCO4)ccnc1-2 ZINC000843587232 702905497 /nfs/dbraw/zinc/90/54/97/702905497.db2.gz KHPIIJJDAJQWRD-UHFFFAOYSA-N -1 1 322.368 1.848 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)C1(O)CCSCC1 ZINC000843765597 702921218 /nfs/dbraw/zinc/92/12/18/702921218.db2.gz FCJUABBKNKGILQ-UHFFFAOYSA-N -1 1 319.386 1.979 20 0 DDADMM Cc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c(Cl)c1C ZINC000843967536 702947280 /nfs/dbraw/zinc/94/72/80/702947280.db2.gz YWYPKRGDQLJJGD-UHFFFAOYSA-N -1 1 321.764 1.836 20 0 DDADMM COCCCC[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866502627 706715566 /nfs/dbraw/zinc/71/55/66/706715566.db2.gz KTXQRIVWZLGVGT-UHFFFAOYSA-N -1 1 315.317 1.144 20 0 DDADMM O=S(=O)([N-]Cc1noc2c1CCCC2)c1c[nH]nc1Cl ZINC000831547236 706729151 /nfs/dbraw/zinc/72/91/51/706729151.db2.gz MKIDVCBDNOTKBD-UHFFFAOYSA-N -1 1 316.770 1.408 20 0 DDADMM CCn1nc(C)c(CN2CC[C@](C(=O)[O-])(c3ccccc3)C2)n1 ZINC000846281188 703260621 /nfs/dbraw/zinc/26/06/21/703260621.db2.gz UVLNRLMEOAFGDT-QGZVFWFLSA-N -1 1 314.389 1.835 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc(C3CC3)nc2N)n1 ZINC000846651253 703308693 /nfs/dbraw/zinc/30/86/93/703308693.db2.gz PCTRMGBYZPXTDY-UHFFFAOYSA-N -1 1 316.321 1.088 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ccccn1 ZINC000847080234 703375193 /nfs/dbraw/zinc/37/51/93/703375193.db2.gz MWHVOYKKKBQHAA-GHMZBOCLSA-N -1 1 302.334 1.680 20 0 DDADMM COC(=O)N(C)CC[N-]S(=O)(=O)c1sccc1Cl ZINC000849470597 703651945 /nfs/dbraw/zinc/65/19/45/703651945.db2.gz QDXDSRICTXNKCQ-UHFFFAOYSA-N -1 1 312.800 1.378 20 0 DDADMM CCN1CC[C@@H](OC(=O)c2cc(F)cc(Cl)c2[O-])C1=O ZINC000849738035 703673334 /nfs/dbraw/zinc/67/33/34/703673334.db2.gz YZKFMPLCJRIOSI-SNVBAGLBSA-N -1 1 301.701 1.962 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC[C@H]2CC2(F)F)co1 ZINC000851380714 703797348 /nfs/dbraw/zinc/79/73/48/703797348.db2.gz DMHHDCGGCFMSAF-QMMMGPOBSA-N -1 1 309.290 1.390 20 0 DDADMM O=C(c1cccc(OS(=O)(=O)c2c[n-]cn2)c1)N1CCCC1 ZINC000851837913 703867572 /nfs/dbraw/zinc/86/75/72/703867572.db2.gz QUNQESNMRBPNQA-UHFFFAOYSA-N -1 1 321.358 1.413 20 0 DDADMM C[C@@H](NC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000851925466 703884335 /nfs/dbraw/zinc/88/43/35/703884335.db2.gz WLGCWLXOGDBDSE-KKZNHRDASA-N -1 1 321.343 1.883 20 0 DDADMM CC(C)c1nnc(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)[nH]1 ZINC000879766372 706786769 /nfs/dbraw/zinc/78/67/69/706786769.db2.gz NDLNMJRAOKOPLS-LBPRGKRZSA-N -1 1 319.331 1.571 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](CCO)C2CC2)c(F)c1 ZINC000819876502 704179535 /nfs/dbraw/zinc/17/95/35/704179535.db2.gz WCRNWLGMCSPJSP-LBPRGKRZSA-N -1 1 305.346 1.713 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(C)c1 ZINC000819971122 704194583 /nfs/dbraw/zinc/19/45/83/704194583.db2.gz XUHXSCLRFHYWNL-LLVKDONJSA-N -1 1 302.334 1.807 20 0 DDADMM CO[C@H](COC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C1CC1 ZINC000820346508 704264087 /nfs/dbraw/zinc/26/40/87/704264087.db2.gz VRHGENAAHRKBSE-CYBMUJFWSA-N -1 1 319.361 1.562 20 0 DDADMM CCC[C@H](NC(=O)Cc1csc(C2CC2)n1)c1nn[n-]n1 ZINC000820673515 704318237 /nfs/dbraw/zinc/31/82/37/704318237.db2.gz CSROPJUYYDLDET-JTQLQIEISA-N -1 1 306.395 1.734 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CCc1cccs1 ZINC000820774083 704329259 /nfs/dbraw/zinc/32/92/59/704329259.db2.gz SETCBGZBRCKSPV-UHFFFAOYSA-N -1 1 308.450 1.387 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cccc(-c2cnco2)c1 ZINC000821157580 704377073 /nfs/dbraw/zinc/37/70/73/704377073.db2.gz OWUOPMBMKOEQRW-UHFFFAOYSA-N -1 1 315.376 1.726 20 0 DDADMM Nc1nc(Cl)ccc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342467 704382351 /nfs/dbraw/zinc/38/23/51/704382351.db2.gz URQTVRJDWCGZDL-UHFFFAOYSA-N -1 1 323.740 1.426 20 0 DDADMM CN1CCC[C@@H](Nc2snc(Cl)c2-c2nnn[n-]2)C1=O ZINC000821623062 704427983 /nfs/dbraw/zinc/42/79/83/704427983.db2.gz BKUSCXATGDZCGF-RXMQYKEDSA-N -1 1 313.774 1.009 20 0 DDADMM CN1CCC[C@@H](Nc2snc(Cl)c2-c2nn[n-]n2)C1=O ZINC000821623062 704427984 /nfs/dbraw/zinc/42/79/84/704427984.db2.gz BKUSCXATGDZCGF-RXMQYKEDSA-N -1 1 313.774 1.009 20 0 DDADMM Cc1cn2c(nc(C)c2CNC(=O)c2cnc([S-])[nH]2)s1 ZINC000854667543 704437001 /nfs/dbraw/zinc/43/70/01/704437001.db2.gz MNPAMTJRBSQMQD-UHFFFAOYSA-N -1 1 307.404 1.954 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC[C@@H](N2CCOC2=O)C1 ZINC000855506192 704489259 /nfs/dbraw/zinc/48/92/59/704489259.db2.gz SKXSSFHRUQGPCQ-SECBINFHSA-N -1 1 310.737 1.712 20 0 DDADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)[N-]OCC1CCC1 ZINC000856347461 704523865 /nfs/dbraw/zinc/52/38/65/704523865.db2.gz SRQOGFXECTXIQU-SNVBAGLBSA-N -1 1 316.361 1.529 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H](CCC(=O)Nc2nnn[n-]2)C1 ZINC000856993686 704546255 /nfs/dbraw/zinc/54/62/55/704546255.db2.gz IISILWSXTCCEGS-SNVBAGLBSA-N -1 1 324.385 1.566 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H](CCC(=O)Nc2nn[n-]n2)C1 ZINC000856993686 704546256 /nfs/dbraw/zinc/54/62/56/704546256.db2.gz IISILWSXTCCEGS-SNVBAGLBSA-N -1 1 324.385 1.566 20 0 DDADMM CCCCSCC(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1 ZINC000857683329 704613232 /nfs/dbraw/zinc/61/32/32/704613232.db2.gz ATLURKOKGVOBRO-UHFFFAOYSA-N -1 1 309.395 1.452 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C=C3CCCCC3)nc2n1 ZINC000857683401 704613444 /nfs/dbraw/zinc/61/34/44/704613444.db2.gz CIMVWQFNVPJLEW-UHFFFAOYSA-N -1 1 301.350 1.809 20 0 DDADMM O=c1nc(N2CCN(Cc3ccncc3)CC2)cc(Cl)[n-]1 ZINC000858320782 704694251 /nfs/dbraw/zinc/69/42/51/704694251.db2.gz ISZNBMVZSPQQOD-UHFFFAOYSA-N -1 1 305.769 1.553 20 0 DDADMM O=c1nc(N2CCC(OC[C@H]3CCCO3)CC2)cc(Cl)[n-]1 ZINC000858438791 704711304 /nfs/dbraw/zinc/71/13/04/704711304.db2.gz DUHUXIBLWSDLEJ-LLVKDONJSA-N -1 1 313.785 2.000 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C[C@H](O)C(F)(F)F)c(F)c1F ZINC000859003693 704782945 /nfs/dbraw/zinc/78/29/45/704782945.db2.gz UVOZWUGDXXQQGN-ZETCQYMHSA-N -1 1 319.251 1.475 20 0 DDADMM CCCOC(=O)N1CCC(c2nc(C(=O)OCC)n[n-]2)CC1 ZINC000859135414 704803355 /nfs/dbraw/zinc/80/33/55/704803355.db2.gz LVYZKFOIFCILDR-UHFFFAOYSA-N -1 1 310.354 1.707 20 0 DDADMM C/C=C\[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C(=O)[N-]OCCO ZINC000822062368 704869809 /nfs/dbraw/zinc/86/98/09/704869809.db2.gz LVDRPADOTPRHAJ-GKKDLHFNSA-N -1 1 314.382 1.086 20 0 DDADMM CC(C)N1C(=O)CC[C@H]1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000874147798 704952809 /nfs/dbraw/zinc/95/28/09/704952809.db2.gz NDMDSIFRNCCNME-LURJTMIESA-N -1 1 305.260 1.161 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]C1(c2ccccc2Cl)CC1 ZINC000867447664 707003837 /nfs/dbraw/zinc/00/38/37/707003837.db2.gz YXIHJKLWYFXXDY-UHFFFAOYSA-N -1 1 322.839 1.891 20 0 DDADMM Cn1[n-]c(COC(=O)C2CCc3ccccc3CC2)nc1=O ZINC000859822455 705013638 /nfs/dbraw/zinc/01/36/38/705013638.db2.gz QIQQORPKSKDVGO-UHFFFAOYSA-N -1 1 301.346 1.347 20 0 DDADMM Cc1cc(F)cc2c1CN(C(=O)CCCc1nn[n-]n1)CC2 ZINC000822712425 705016538 /nfs/dbraw/zinc/01/65/38/705016538.db2.gz GKPDAPCPPWGAFI-UHFFFAOYSA-N -1 1 303.341 1.555 20 0 DDADMM C[C@H](CNCc1cn(CC(=O)[O-])nn1)Oc1ccccc1Cl ZINC000902323492 710716734 /nfs/dbraw/zinc/71/67/34/710716734.db2.gz FJRMFYPKKUUAFD-SNVBAGLBSA-N -1 1 324.768 1.573 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CSC(F)F)n[n-]1 ZINC000880667674 707052794 /nfs/dbraw/zinc/05/27/94/707052794.db2.gz ZQEAOABNPIYZMC-YFKPBYRVSA-N -1 1 308.310 1.114 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CSC(F)F)[n-]1 ZINC000880667674 707052796 /nfs/dbraw/zinc/05/27/96/707052796.db2.gz ZQEAOABNPIYZMC-YFKPBYRVSA-N -1 1 308.310 1.114 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CSC(F)F)n1 ZINC000880667674 707052798 /nfs/dbraw/zinc/05/27/98/707052798.db2.gz ZQEAOABNPIYZMC-YFKPBYRVSA-N -1 1 308.310 1.114 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CC(C)(C)CC[C@H]2O)sn1 ZINC000867616652 707055591 /nfs/dbraw/zinc/05/55/91/707055591.db2.gz XKKCMFWXKWVHCL-NXEZZACHSA-N -1 1 304.437 1.669 20 0 DDADMM CCOC(=O)[C@@H](C)C1CN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000874729822 705153732 /nfs/dbraw/zinc/15/37/32/705153732.db2.gz DGOZAJBWSQUEMV-JTQLQIEISA-N -1 1 305.330 1.233 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccnc1Cl)[C@@H](O)C(F)(F)F ZINC000867644665 707062114 /nfs/dbraw/zinc/06/21/14/707062114.db2.gz WDBXKPSOJLKJQD-CAHLUQPWSA-N -1 1 318.704 1.325 20 0 DDADMM CC(C)(O)[C@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000874871318 705208809 /nfs/dbraw/zinc/20/88/09/705208809.db2.gz JQRMXAFFJHVCBO-JTQLQIEISA-N -1 1 306.391 1.251 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2 ZINC000861250573 705408261 /nfs/dbraw/zinc/40/82/61/705408261.db2.gz FYUMAIBFVVPYAO-QIPHIMSASA-N -1 1 324.720 1.100 20 0 DDADMM CC(C)OCCCCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000824315688 705425693 /nfs/dbraw/zinc/42/56/93/705425693.db2.gz UHCNOMMCKILINQ-UHFFFAOYSA-N -1 1 309.395 1.863 20 0 DDADMM CC(C)OCCCCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000824315688 705425695 /nfs/dbraw/zinc/42/56/95/705425695.db2.gz UHCNOMMCKILINQ-UHFFFAOYSA-N -1 1 309.395 1.863 20 0 DDADMM C[C@@H](CCO)C1(CNc2cccc(-c3nnn[n-]3)n2)CCC1 ZINC000824405959 705446503 /nfs/dbraw/zinc/44/65/03/705446503.db2.gz CCFUAZHVKOYLIZ-NSHDSACASA-N -1 1 302.382 1.862 20 0 DDADMM C[C@@H](CCO)C1(CNc2cccc(-c3nn[n-]n3)n2)CCC1 ZINC000824405959 705446508 /nfs/dbraw/zinc/44/65/08/705446508.db2.gz CCFUAZHVKOYLIZ-NSHDSACASA-N -1 1 302.382 1.862 20 0 DDADMM C[C@H](CCO)C1(CNc2ccc(-c3nnn[n-]3)nn2)CCC1 ZINC000824407681 705446682 /nfs/dbraw/zinc/44/66/82/705446682.db2.gz YPYQOESABCMTME-SNVBAGLBSA-N -1 1 303.370 1.257 20 0 DDADMM C[C@H](CCO)C1(CNc2ccc(-c3nn[n-]n3)nn2)CCC1 ZINC000824407681 705446686 /nfs/dbraw/zinc/44/66/86/705446686.db2.gz YPYQOESABCMTME-SNVBAGLBSA-N -1 1 303.370 1.257 20 0 DDADMM CCO[C@@H]1COCC[C@@H]1CC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000875632175 705456736 /nfs/dbraw/zinc/45/67/36/705456736.db2.gz AMKPGLSHKQPSEM-BXUZGUMPSA-N -1 1 318.377 1.112 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@H]1CNC(=O)C[C@H]1C(F)(F)F ZINC000824989877 705573120 /nfs/dbraw/zinc/57/31/20/705573120.db2.gz JPMJPNQBHZBNRR-NKWVEPMBSA-N -1 1 320.242 1.784 20 0 DDADMM CC[C@@H]1CCCC[C@H]1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825193396 705621365 /nfs/dbraw/zinc/62/13/65/705621365.db2.gz LJHSSIBUTHIYSU-GHMZBOCLSA-N -1 1 318.381 1.791 20 0 DDADMM CC[C@@H]1CCCC[C@H]1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825193396 705621367 /nfs/dbraw/zinc/62/13/67/705621367.db2.gz LJHSSIBUTHIYSU-GHMZBOCLSA-N -1 1 318.381 1.791 20 0 DDADMM CCC[C@](C)(NCc1ccc(-c2nn[n-]n2)s1)C(=O)OC ZINC000825233582 705630206 /nfs/dbraw/zinc/63/02/06/705630206.db2.gz QYFKOQSQBVBHLH-ZDUSSCGKSA-N -1 1 309.395 1.750 20 0 DDADMM C[C@H]1C(=O)N(C)CCN1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876395708 705707834 /nfs/dbraw/zinc/70/78/34/705707834.db2.gz NQBVJTVDHKPODI-VIFPVBQESA-N -1 1 311.769 1.418 20 0 DDADMM CCC[C@@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)OCC ZINC000862416046 705713599 /nfs/dbraw/zinc/71/35/99/705713599.db2.gz LKTBBYVVHDZDQG-NSHDSACASA-N -1 1 308.407 1.953 20 0 DDADMM CO[C@@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)C(F)(F)F ZINC000825827191 705734679 /nfs/dbraw/zinc/73/46/79/705734679.db2.gz OHLCBOUIBBNCPE-ZETCQYMHSA-N -1 1 320.296 1.325 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(Cl)cc2)c1-c1nnn[n-]1 ZINC000826348346 705795715 /nfs/dbraw/zinc/79/57/15/705795715.db2.gz IJYFMSRNZJCDFT-UHFFFAOYSA-N -1 1 318.724 1.998 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(Cl)cc2)c1-c1nn[n-]n1 ZINC000826348346 705795718 /nfs/dbraw/zinc/79/57/18/705795718.db2.gz IJYFMSRNZJCDFT-UHFFFAOYSA-N -1 1 318.724 1.998 20 0 DDADMM O=C(Nc1ccc(O)cc1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000826454992 705801798 /nfs/dbraw/zinc/80/17/98/705801798.db2.gz UVDVWMFNBQCXBH-UHFFFAOYSA-N -1 1 300.253 1.359 20 0 DDADMM O=C(Nc1ccc(O)cc1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000826454992 705801804 /nfs/dbraw/zinc/80/18/04/705801804.db2.gz UVDVWMFNBQCXBH-UHFFFAOYSA-N -1 1 300.253 1.359 20 0 DDADMM O=C([N-][C@@H]1COCCC1=O)C(F)(F)c1c(F)cccc1F ZINC000862934876 705829624 /nfs/dbraw/zinc/82/96/24/705829624.db2.gz VMTWGOFWYJHMEP-SECBINFHSA-N -1 1 305.227 1.531 20 0 DDADMM CCn1ncc(CN2C[C@@H](C(=O)[O-])[C@@H](c3ccccc3)C2)n1 ZINC000863467563 705935419 /nfs/dbraw/zinc/93/54/19/705935419.db2.gz BGUKZWJFYBWGSC-HUUCEWRRSA-N -1 1 300.362 1.598 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)c2ccns2)co1 ZINC000863611613 705967465 /nfs/dbraw/zinc/96/74/65/705967465.db2.gz WZHVGMIKZVGCKF-ZETCQYMHSA-N -1 1 315.376 1.135 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc3c(c2)COC3)CC1 ZINC000827381081 705989968 /nfs/dbraw/zinc/98/99/68/705989968.db2.gz YLAJMTSHINEJBM-LBPRGKRZSA-N -1 1 318.373 1.338 20 0 DDADMM O=C(NCc1noc(C2CC2)n1)c1ccc2n[n-]c(=S)n2c1 ZINC000827547381 706024065 /nfs/dbraw/zinc/02/40/65/706024065.db2.gz HBVLSXOLMHGIBI-UHFFFAOYSA-N -1 1 316.346 1.208 20 0 DDADMM O=C(c1cc(F)cc2ccoc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000827959860 706093738 /nfs/dbraw/zinc/09/37/38/706093738.db2.gz AIPVCWHHPWZBOV-NSHDSACASA-N -1 1 317.280 1.299 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCc2ccco2)C1)C(F)(F)F ZINC000864428936 706166254 /nfs/dbraw/zinc/16/62/54/706166254.db2.gz LKHXKQDXYMEHSS-UHFFFAOYSA-N -1 1 305.256 1.100 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C2CC2)C2CCC2)o1 ZINC000836042768 707383814 /nfs/dbraw/zinc/38/38/14/707383814.db2.gz BTLAONGEZXDPIP-CYBMUJFWSA-N -1 1 313.375 1.923 20 0 DDADMM CC(C)OCCC[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872430408 707406406 /nfs/dbraw/zinc/40/64/06/707406406.db2.gz DZBPWWYJHRIKOV-SFHVURJKSA-N -1 1 314.473 1.532 20 0 DDADMM CC(C)NC(=O)NCCOC(=O)c1c([O-])cc(F)cc1F ZINC000828791776 706233934 /nfs/dbraw/zinc/23/39/34/706233934.db2.gz YOBGTUKWGAACQA-UHFFFAOYSA-N -1 1 302.277 1.535 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2ccc(F)c(C(F)F)c2)no1 ZINC000872455552 707420959 /nfs/dbraw/zinc/42/09/59/707420959.db2.gz VIMUEYZRQWKDIE-UHFFFAOYSA-N -1 1 321.280 1.933 20 0 DDADMM O=C(N[C@H]1CCC[C@H]1CCO)c1ccc2n[n-]c(=S)n2c1 ZINC000829092574 706287287 /nfs/dbraw/zinc/28/72/87/706287287.db2.gz GRIOTRKHTCPXDF-ONGXEEELSA-N -1 1 306.391 1.299 20 0 DDADMM O=C(CCc1ccc(F)c2c1CCCC2)NN1CC(=O)[N-]C1=O ZINC000864896057 706295435 /nfs/dbraw/zinc/29/54/35/706295435.db2.gz CIOBEDGVWAOLHQ-UHFFFAOYSA-N -1 1 319.336 1.220 20 0 DDADMM CCO[C@@H]1C[C@@H]([N@H+](C)Cc2nc3c(c(=O)[nH]2)COCC3)C1(C)C ZINC000878124865 706300715 /nfs/dbraw/zinc/30/07/15/706300715.db2.gz IZMARUJYIIHVHH-ZIAGYGMSSA-N -1 1 321.421 1.890 20 0 DDADMM CCCCNC(=S)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000865275249 706394143 /nfs/dbraw/zinc/39/41/43/706394143.db2.gz ITFADLZXHVEYRL-NSHDSACASA-N -1 1 311.373 1.804 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@H](C)[C@H](C)O1 ZINC000829817493 706401710 /nfs/dbraw/zinc/40/17/10/706401710.db2.gz NPRWSAOXCOKMRM-BBBLOLIVSA-N -1 1 306.391 1.656 20 0 DDADMM CCOC(=O)[C@@H](C)N(Cc1nc2c(c(=O)[n-]1)COCC2)C1CC1 ZINC000878494393 706407229 /nfs/dbraw/zinc/40/72/29/706407229.db2.gz KAVPTJYLGOFYMF-SNVBAGLBSA-N -1 1 321.377 1.171 20 0 DDADMM CCn1nccc1C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872507503 707452917 /nfs/dbraw/zinc/45/29/17/707452917.db2.gz WMVOLXWVKPMRFV-IBGZPJMESA-N -1 1 322.456 1.134 20 0 DDADMM O=C([C@H]1Cc2ccc(Cl)cc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000865595468 706472792 /nfs/dbraw/zinc/47/27/92/706472792.db2.gz KMMWFVNIUGKBPP-NWDGAFQWSA-N -1 1 319.752 1.093 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@H]2CCC[C@@H]2O1)c1c[nH]nc1Cl ZINC000872557437 707478325 /nfs/dbraw/zinc/47/83/25/707478325.db2.gz VIVCLIJUHHNSFO-VGMNWLOBSA-N -1 1 305.787 1.299 20 0 DDADMM O=S(=O)([N-]Cc1ccc2c(c1)CCO2)c1c[nH]nc1Cl ZINC000830338543 706498553 /nfs/dbraw/zinc/49/85/53/706498553.db2.gz MDFMIJVUABWTAB-UHFFFAOYSA-N -1 1 313.766 1.477 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1c[nH]nc1Cl)c1ccccc1 ZINC000830632867 706549968 /nfs/dbraw/zinc/54/99/68/706549968.db2.gz FCGNVHNPKQUYFB-LBPRGKRZSA-N -1 1 315.782 1.249 20 0 DDADMM COC(C)(C)CC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830800995 706582308 /nfs/dbraw/zinc/58/23/08/706582308.db2.gz DYTDOBRMDRXZQH-GFCCVEGCSA-N -1 1 310.316 1.471 20 0 DDADMM CO[C@H](C)CCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807646 706583085 /nfs/dbraw/zinc/58/30/85/706583085.db2.gz NZWLQCMBYZYZTC-BXKDBHETSA-N -1 1 310.316 1.471 20 0 DDADMM Cc1cc(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)n[nH]1 ZINC000830826865 706587739 /nfs/dbraw/zinc/58/77/39/706587739.db2.gz HBHRYUFJWHJPER-NSHDSACASA-N -1 1 304.272 1.001 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H](O)CC(C)C)c1 ZINC000866838134 706820813 /nfs/dbraw/zinc/82/08/13/706820813.db2.gz IBYHPWIZTILHAD-SNVBAGLBSA-N -1 1 303.380 1.086 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CCCSC1 ZINC000866919690 706844459 /nfs/dbraw/zinc/84/44/59/706844459.db2.gz SIPANCWYRCVGEJ-SECBINFHSA-N -1 1 300.471 1.224 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC000866964404 706857837 /nfs/dbraw/zinc/85/78/37/706857837.db2.gz ZELZAWYBXXKSEI-UTUOFQBUSA-N -1 1 324.468 1.286 20 0 DDADMM CC(C)[C@H](O)C1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000867059527 706885811 /nfs/dbraw/zinc/88/58/11/706885811.db2.gz WVVUVUITPAYXPD-NSHDSACASA-N -1 1 320.361 1.435 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@H]2c2ncc[nH]2)c1 ZINC000867076755 706890469 /nfs/dbraw/zinc/89/04/69/706890469.db2.gz WCKKSPGWROFLAE-NSHDSACASA-N -1 1 323.374 1.650 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H](C)c1cncc(F)c1 ZINC000867079855 706890968 /nfs/dbraw/zinc/89/09/68/706890968.db2.gz ZBFPCLPCUPYHFW-VIFPVBQESA-N -1 1 323.415 1.624 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CC1(SC)CCC1 ZINC000867202592 706923284 /nfs/dbraw/zinc/92/32/84/706923284.db2.gz AOPJQGPWATXCQA-MRXNPFEDSA-N -1 1 300.471 1.224 20 0 DDADMM CCC[C@]1(C(=O)[O-])CCCN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000909020959 712918679 /nfs/dbraw/zinc/91/86/79/712918679.db2.gz SLZTXAYVJPNVHH-BDJLRTHQSA-N -1 1 320.393 1.696 20 0 DDADMM O=C(CN1CCC2(CC1)COCCO2)[N-]OCc1ccccc1 ZINC000880545350 707012368 /nfs/dbraw/zinc/01/23/68/707012368.db2.gz AVLQWSNBFTXUGG-UHFFFAOYSA-N -1 1 320.389 1.116 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CCC(C)(C)F)c1C(F)(F)F ZINC000867514268 707024367 /nfs/dbraw/zinc/02/43/67/707024367.db2.gz MAAKSXGUVVWPIR-UHFFFAOYSA-N -1 1 317.308 1.855 20 0 DDADMM CSc1nc(CNC(=O)Cn2cc(Cl)cn2)cc(=O)[n-]1 ZINC000880651550 707048655 /nfs/dbraw/zinc/04/86/55/707048655.db2.gz QIEHKJLAQHHWDD-UHFFFAOYSA-N -1 1 313.770 1.070 20 0 DDADMM C[C@@H](C(=O)[O-])[C@H](NC(=O)CCc1cnc[nH]1)c1ccccc1 ZINC000909068499 712930337 /nfs/dbraw/zinc/93/03/37/712930337.db2.gz LXKQCGYNLLFICD-ABAIWWIYSA-N -1 1 301.346 1.921 20 0 DDADMM CCn1ncnc1CO[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871798206 707208351 /nfs/dbraw/zinc/20/83/51/707208351.db2.gz QFGWFOAMNSBVII-UHFFFAOYSA-N -1 1 304.350 1.487 20 0 DDADMM CCCC[C@@H](NC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000909172690 712953769 /nfs/dbraw/zinc/95/37/69/712953769.db2.gz VLFYDYQOFCWFFL-CYBMUJFWSA-N -1 1 304.350 1.777 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1ccc(F)nc1F ZINC000872555631 707477080 /nfs/dbraw/zinc/47/70/80/707477080.db2.gz MMARBNUQJSORPW-GUBZILKMSA-N -1 1 318.345 1.596 20 0 DDADMM CC(C)[C@@H](CO)ONC(=O)c1cc(Br)ccc1[O-] ZINC000836902679 707547204 /nfs/dbraw/zinc/54/72/04/707547204.db2.gz ROXKISKUAKLXBB-LLVKDONJSA-N -1 1 318.167 1.833 20 0 DDADMM CC(C)(O)C(C)(C)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000837093158 707577075 /nfs/dbraw/zinc/57/70/75/707577075.db2.gz SQNYLMBVZKTIBA-UHFFFAOYSA-N -1 1 308.407 1.545 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C)ns1)[C@H]1CCCOC1 ZINC000882760764 707776226 /nfs/dbraw/zinc/77/62/26/707776226.db2.gz LLWRFIZBLXMQJC-QWRGUYRKSA-N -1 1 304.437 1.935 20 0 DDADMM COc1cccc([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)c1C ZINC000882767499 707784361 /nfs/dbraw/zinc/78/43/61/707784361.db2.gz PVHGAXCNNWZNSX-IBGZPJMESA-N -1 1 321.424 1.235 20 0 DDADMM Cn1c(C=O)ccc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000838091044 707837687 /nfs/dbraw/zinc/83/76/87/707837687.db2.gz NAODVABGWLTIAY-UHFFFAOYSA-N -1 1 306.240 1.063 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1Cc2ccc(Cl)cc2C1 ZINC000838237243 707875847 /nfs/dbraw/zinc/87/58/47/707875847.db2.gz WVMJOHNRSODCAH-UHFFFAOYSA-N -1 1 319.748 1.123 20 0 DDADMM COC[C@@]1(C(=O)OCCc2cc(C(C)=O)ccc2[O-])CCOC1 ZINC000838255198 707879281 /nfs/dbraw/zinc/87/92/81/707879281.db2.gz BJHJLPXKECXRGQ-KRWDZBQOSA-N -1 1 322.357 1.734 20 0 DDADMM COCc1nc(N2CCC[C@H](C3CCOCC3)C2)cc(=O)[n-]1 ZINC000896592602 708092005 /nfs/dbraw/zinc/09/20/05/708092005.db2.gz WLJMZGJQIJJRDJ-ZDUSSCGKSA-N -1 1 307.394 1.972 20 0 DDADMM CCOc1ccc(N(C)C(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000909415148 713012151 /nfs/dbraw/zinc/01/21/51/713012151.db2.gz SGOGESVYIALHKQ-ZDUSSCGKSA-N -1 1 320.389 1.845 20 0 DDADMM COC[C@@H](CNC(=O)c1ccc2ccc(O)cc2c1[O-])OC ZINC000897352661 708302123 /nfs/dbraw/zinc/30/21/23/708302123.db2.gz QTIHGANCQZWSCC-GFCCVEGCSA-N -1 1 305.330 1.642 20 0 DDADMM O=C([O-])CCC1CCN(C(=O)[C@H]2CCc3[nH]cnc3C2)CC1 ZINC000909438123 713018300 /nfs/dbraw/zinc/01/83/00/713018300.db2.gz AOTFAZJJANYIOS-LBPRGKRZSA-N -1 1 305.378 1.618 20 0 DDADMM O=C([O-])CC[C@@H]1CCCN(C(=O)[C@@H]2CCc3nc[nH]c3C2)C1 ZINC000909475992 713027762 /nfs/dbraw/zinc/02/77/62/713027762.db2.gz CHSZKDMXNWRUSR-NWDGAFQWSA-N -1 1 305.378 1.618 20 0 DDADMM O=S(=O)([N-]CCc1ccc2c(c1)CCO2)c1ccns1 ZINC000885012927 708442546 /nfs/dbraw/zinc/44/25/46/708442546.db2.gz TVMXGLQQUULZMI-UHFFFAOYSA-N -1 1 310.400 1.599 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C(=O)O1 ZINC000897764748 708443630 /nfs/dbraw/zinc/44/36/30/708443630.db2.gz OSQNDIFKXYOZNS-OQPBUACISA-N -1 1 301.298 1.685 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(C2CC2)CCC1 ZINC000912542846 713033172 /nfs/dbraw/zinc/03/31/72/713033172.db2.gz RTLGTVZFHLMGHL-UHFFFAOYSA-N -1 1 313.379 1.055 20 0 DDADMM CC(C)NC(=O)[C@H](C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897982610 708509913 /nfs/dbraw/zinc/50/99/13/708509913.db2.gz UYPYPQIXVFXPPN-JTQLQIEISA-N -1 1 316.357 1.894 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCC(CO)(CO)C1 ZINC000912549280 713034328 /nfs/dbraw/zinc/03/43/28/713034328.db2.gz LCKPMUFBEFQQGB-UHFFFAOYSA-N -1 1 319.279 1.228 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@H]2Cc3cc(F)ccc3[C@@H]12)c1ccns1 ZINC000885310019 708516970 /nfs/dbraw/zinc/51/69/70/708516970.db2.gz ZYLGEJJWRVIADM-CYZMBNFOSA-N -1 1 310.375 1.899 20 0 DDADMM COC(=O)C1(C[N-]S(=O)(=O)c2cccc(F)c2F)CC1 ZINC000885400937 708536156 /nfs/dbraw/zinc/53/61/56/708536156.db2.gz FVLZKVZHEHCBSE-UHFFFAOYSA-N -1 1 305.302 1.196 20 0 DDADMM CC(C)Cn1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000885500667 708560662 /nfs/dbraw/zinc/56/06/62/708560662.db2.gz IPKOOUQBKYGYCO-UHFFFAOYSA-N -1 1 321.299 1.765 20 0 DDADMM O=C(C[C@H]1CC(=O)NC1=O)Nc1cc(F)c([O-])cc1Cl ZINC000885678492 708596264 /nfs/dbraw/zinc/59/62/64/708596264.db2.gz QUKWJNQRJWRWFO-YFKPBYRVSA-N -1 1 300.673 1.176 20 0 DDADMM O=C(C[C@@H]1CCC(=O)NC1)NCCc1c(F)cc([O-])cc1F ZINC000886268303 708732931 /nfs/dbraw/zinc/73/29/31/708732931.db2.gz LEFGPJBYKPJNOC-VIFPVBQESA-N -1 1 312.316 1.245 20 0 DDADMM C[C@@]1(C(=O)NCCc2c(F)cc([O-])cc2F)CCC(=O)NC1 ZINC000886268094 708733117 /nfs/dbraw/zinc/73/31/17/708733117.db2.gz CGNVNFVZMIWXHW-OAHLLOKOSA-N -1 1 312.316 1.245 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC1(CO)CCC1 ZINC000927784079 713055284 /nfs/dbraw/zinc/05/52/84/713055284.db2.gz NBJQTPKAICXBLE-UHFFFAOYSA-N -1 1 300.305 1.427 20 0 DDADMM C[C@]1(CO)CCCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927785229 713055552 /nfs/dbraw/zinc/05/55/52/713055552.db2.gz ZGOXMAFOTMYXOX-OAHLLOKOSA-N -1 1 314.332 1.769 20 0 DDADMM CCOC(=O)[C@H](F)[C@@H]1CCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000898539436 708782293 /nfs/dbraw/zinc/78/22/93/708782293.db2.gz DTYSLTLPMLOELP-NOZJJQNGSA-N -1 1 313.300 1.895 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1C[C@H]1c1cccc(Cl)c1 ZINC000898754747 708855670 /nfs/dbraw/zinc/85/56/70/708855670.db2.gz KIROXHFPUXPBQC-WDEREUQCSA-N -1 1 319.748 1.961 20 0 DDADMM C[C@@H]1CS(=O)(=O)CCCN1C(=O)c1cc(Cl)ccc1[O-] ZINC000886828803 708855978 /nfs/dbraw/zinc/85/59/78/708855978.db2.gz MXYJWODTSVDZJI-SECBINFHSA-N -1 1 317.794 1.695 20 0 DDADMM O=C(C[C@H]1CCc2ccccc2C1)NCc1nc([O-])cc(=O)[nH]1 ZINC000898757111 708856652 /nfs/dbraw/zinc/85/66/52/708856652.db2.gz OXINRVWWELIATK-NSHDSACASA-N -1 1 313.357 1.699 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C1(c2ccc(F)cc2)CCC1 ZINC000898757619 708856831 /nfs/dbraw/zinc/85/68/31/708856831.db2.gz RVXGINNBWFNANT-UHFFFAOYSA-N -1 1 317.320 1.765 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CCSc2ccccc21 ZINC000898758187 708856855 /nfs/dbraw/zinc/85/68/55/708856855.db2.gz XCWCIIMREKIJLG-JTQLQIEISA-N -1 1 317.370 1.784 20 0 DDADMM O=C(NCc1noc2c1COCC2)c1c(F)ccc([O-])c1F ZINC000886967933 708907922 /nfs/dbraw/zinc/90/79/22/708907922.db2.gz UPAVETUAPHBCPF-UHFFFAOYSA-N -1 1 310.256 1.661 20 0 DDADMM N[C@@H](Cc1cc2ccccc2o1)C(=O)N1CCCC[C@H]1C(=O)[O-] ZINC000887395358 709035351 /nfs/dbraw/zinc/03/53/51/709035351.db2.gz BXDSGLDFODRZEA-KBPBESRZSA-N -1 1 316.357 1.768 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H]([C@H]2CCCO2)C1 ZINC000899626445 709129856 /nfs/dbraw/zinc/12/98/56/709129856.db2.gz HUURKHXARMYGJL-CMPLNLGQSA-N -1 1 318.402 1.659 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCOC[C@H]1C[C@@H]1CCCO1 ZINC000887845269 709141877 /nfs/dbraw/zinc/14/18/77/709141877.db2.gz WRZWJROJULEVMO-OLZOCXBDSA-N -1 1 309.337 1.941 20 0 DDADMM CC(C)NC(=O)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000899987005 709259125 /nfs/dbraw/zinc/25/91/25/709259125.db2.gz RYKJPGGDOXTCIO-UHFFFAOYSA-N -1 1 305.309 1.334 20 0 DDADMM CC(C)NC(=O)CCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900121043 709297773 /nfs/dbraw/zinc/29/77/73/709297773.db2.gz OPVLIEZPURDXGC-UHFFFAOYSA-N -1 1 319.336 1.724 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCC3(CC3)C2)o1 ZINC000888703608 709364502 /nfs/dbraw/zinc/36/45/02/709364502.db2.gz BFQAXWSJYOWQKO-JTQLQIEISA-N -1 1 312.391 1.640 20 0 DDADMM COC1(OC)CCOC[C@H]1NC(=O)c1c([O-])cccc1Cl ZINC000912847365 713106461 /nfs/dbraw/zinc/10/64/61/713106461.db2.gz WBUIHGKOOBEHEX-LLVKDONJSA-N -1 1 315.753 1.553 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CCCC[C@@H]3CC(=O)[O-])n[nH]2)c1 ZINC000909497680 709489630 /nfs/dbraw/zinc/48/96/30/709489630.db2.gz DXGVIFMSSDBCMQ-GFCCVEGCSA-N -1 1 316.361 1.885 20 0 DDADMM CN(C)Cc1ccc(C(=O)N[C@@]2(C)CCCC[C@@H]2C(=O)[O-])cn1 ZINC000909533512 709504862 /nfs/dbraw/zinc/50/48/62/709504862.db2.gz OBRDGZDYQDROIO-PBHICJAKSA-N -1 1 319.405 1.907 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cccc(F)c1)c1nn[n-]n1 ZINC000912859847 713109647 /nfs/dbraw/zinc/10/96/47/713109647.db2.gz GCMRTEAQKLNZJN-FYJFLYSWSA-N -1 1 321.381 1.963 20 0 DDADMM C[C@@](CNC(=O)CCc1cnc[nH]1)(C(=O)[O-])c1ccccc1 ZINC000909602640 709536905 /nfs/dbraw/zinc/53/69/05/709536905.db2.gz VAINWYPNFNXKNH-INIZCTEOSA-N -1 1 301.346 1.501 20 0 DDADMM CSCC[C@H](NC(=O)C(C)=Cc1ccco1)c1nn[n-]n1 ZINC000912863173 713111588 /nfs/dbraw/zinc/11/15/88/713111588.db2.gz NNVHEFNVCLKOLX-IQQGHNRFSA-N -1 1 307.379 1.807 20 0 DDADMM CSCC[C@H](NC(=O)C=CC=C(Cl)Cl)c1nn[n-]n1 ZINC000912863321 713111636 /nfs/dbraw/zinc/11/16/36/713111636.db2.gz TUFTYERFQASVLF-ZZZKRKDRSA-N -1 1 322.221 1.985 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(C(=O)[C@@H]2CCc3nc[nH]c3C2)C1 ZINC000909698865 709584757 /nfs/dbraw/zinc/58/47/57/709584757.db2.gz NCOCKKQMJGQPTD-BDJLRTHQSA-N -1 1 305.378 1.474 20 0 DDADMM CC[C@H](O)[C@H](C)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889787257 709636457 /nfs/dbraw/zinc/63/64/57/709636457.db2.gz RWQOIPZWAUVEIG-DCAQKATOSA-N -1 1 309.366 1.261 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CC(C)(C)OC)[n-]c1=O ZINC000889789683 709636861 /nfs/dbraw/zinc/63/68/61/709636861.db2.gz JBNDANAZLRLVPW-SNVBAGLBSA-N -1 1 309.366 1.669 20 0 DDADMM CCn1nccc1C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889789995 709637246 /nfs/dbraw/zinc/63/72/46/709637246.db2.gz MNULVAFVEZIEDD-JTQLQIEISA-N -1 1 317.349 1.385 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ncccc2C)[n-]c1=O ZINC000889791535 709638269 /nfs/dbraw/zinc/63/82/69/709638269.db2.gz IJRWJZGHDOWPAZ-NSHDSACASA-N -1 1 314.345 1.872 20 0 DDADMM C[C@@H](NC(=O)CN1CCCC1)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909821826 709640123 /nfs/dbraw/zinc/64/01/23/709640123.db2.gz XZAFHIUMYZSPJF-HIFRSBDPSA-N -1 1 304.390 1.530 20 0 DDADMM C[C@@]1(C(=O)[O-])CCCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000909840364 709647016 /nfs/dbraw/zinc/64/70/16/709647016.db2.gz IKXJAIWMZZVNAD-MRXNPFEDSA-N -1 1 314.345 1.799 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)Nc1ccc([C@H](C)C(=O)[O-])cc1 ZINC000909842399 709648479 /nfs/dbraw/zinc/64/84/79/709648479.db2.gz ZENJPIWADDSDQM-OLZOCXBDSA-N -1 1 320.389 1.782 20 0 DDADMM O=C([O-])[C@@]1(c2ccccc2)CCN(C(=O)CCc2cnc[nH]2)C1 ZINC000909861749 709657341 /nfs/dbraw/zinc/65/73/41/709657341.db2.gz JGYRWENYZOITEA-KRWDZBQOSA-N -1 1 313.357 1.597 20 0 DDADMM Cn1nc(CNC(=O)c2cncc([O-])c2)cc1C(F)(F)F ZINC000890011816 709705653 /nfs/dbraw/zinc/70/56/53/709705653.db2.gz GURUQJNWFNEWMM-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(C)(C)c2ccccn2)n1 ZINC000909989290 709723144 /nfs/dbraw/zinc/72/31/44/709723144.db2.gz HCOFLFXDNYNJCO-UHFFFAOYSA-N -1 1 302.334 1.898 20 0 DDADMM Cc1ncc(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)n1C ZINC000900711202 709723377 /nfs/dbraw/zinc/72/33/77/709723377.db2.gz PAVSEFVIANSYIW-UHFFFAOYSA-N -1 1 314.349 1.024 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)N(CCC2CC2)CC2CC2)C1 ZINC000909991869 709725777 /nfs/dbraw/zinc/72/57/77/709725777.db2.gz LMWCEMFPLFZCOC-HNNXBMFYSA-N -1 1 308.422 1.822 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)CC(F)(F)F ZINC000910014701 709735950 /nfs/dbraw/zinc/73/59/50/709735950.db2.gz VPISWMFWKRYIRZ-JTQLQIEISA-N -1 1 324.343 1.878 20 0 DDADMM O=C([O-])[C@H]1CSCCN(C(=O)c2ccc(O)c(Cl)c2)C1 ZINC000910145374 709790271 /nfs/dbraw/zinc/79/02/71/709790271.db2.gz APCWKNVWSCFEFI-SECBINFHSA-N -1 1 315.778 1.935 20 0 DDADMM CO[C@@H](CC(C)C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910171514 709796641 /nfs/dbraw/zinc/79/66/41/709796641.db2.gz XADSDXRUNUMQEA-STQMWFEESA-N -1 1 300.399 1.055 20 0 DDADMM Cc1cc(C)nc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1 ZINC000910176813 709798665 /nfs/dbraw/zinc/79/86/65/709798665.db2.gz DDZXLNMJHFBAOC-UHFFFAOYSA-N -1 1 305.378 1.319 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)CC[S@@](C)=O ZINC000900887811 709811078 /nfs/dbraw/zinc/81/10/78/709811078.db2.gz GHEOFKKPRZVSTA-AOUSDQRYSA-N -1 1 313.423 1.501 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1[nH]nc2c1CCC2)C1CCCCC1 ZINC000910234514 709829637 /nfs/dbraw/zinc/82/96/37/709829637.db2.gz TUWUSZNDNYFJGV-GFCCVEGCSA-N -1 1 305.378 1.909 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC[C@H]2CCC(F)(F)C2)C1 ZINC000910252271 709841212 /nfs/dbraw/zinc/84/12/12/709841212.db2.gz ZPBRKEQGZDAQMX-QWRGUYRKSA-N -1 1 304.337 1.335 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C[C@@]1(C)C(=O)[O-] ZINC000910255321 709842720 /nfs/dbraw/zinc/84/27/20/709842720.db2.gz CMHNRUUVPNUANO-QLJPJBMISA-N -1 1 314.345 1.655 20 0 DDADMM Cc1nc(N2CCC[C@@H](CN3CCOCC3)C2)ccc1C(=O)[O-] ZINC000910309766 709882795 /nfs/dbraw/zinc/88/27/95/709882795.db2.gz VCIQQMUOKHGLOM-AWEZNQCLSA-N -1 1 319.405 1.637 20 0 DDADMM COC(=O)C1CC2(C1)C[C@H]([N-]C(=O)C(F)(F)F)CCS2 ZINC000890605414 709926678 /nfs/dbraw/zinc/92/66/78/709926678.db2.gz GTAUUYITRRZJNA-JKDSDDBFSA-N -1 1 311.325 1.882 20 0 DDADMM C[C@H](CCNC(=O)c1c([O-])cnc2c(F)cccc21)[S@](C)=O ZINC000901111928 709930543 /nfs/dbraw/zinc/93/05/43/709930543.db2.gz ZVRABNOYFJYSIT-CLTRCRFRSA-N -1 1 324.377 1.966 20 0 DDADMM Cc1cnc(C(=O)N2CCC([C@@]3(C)COC(=O)N3)CC2)c([O-])c1 ZINC000928161547 713142397 /nfs/dbraw/zinc/14/23/97/713142397.db2.gz CMOQQIMCXZYDDZ-MRXNPFEDSA-N -1 1 319.361 1.446 20 0 DDADMM O=C(N[C@H]1CCNC(=O)CC1)c1c([O-])cnc2c(F)cccc21 ZINC000910451820 709949289 /nfs/dbraw/zinc/94/92/89/709949289.db2.gz HHLIZYVBIRSZSC-SECBINFHSA-N -1 1 317.320 1.478 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@](C)(Cc2ccc(F)cc2)C(=O)[O-])c1C ZINC000910513760 709978362 /nfs/dbraw/zinc/97/83/62/709978362.db2.gz WFODNMYDIZDDEL-INIZCTEOSA-N -1 1 319.336 1.981 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000901454912 710045251 /nfs/dbraw/zinc/04/52/51/710045251.db2.gz WEAWAZBYZODPJX-ZETOZRRWSA-N -1 1 305.378 1.789 20 0 DDADMM CN1CCN(C(=O)c2cncc(C(=O)[O-])c2)C2(CCCCC2)C1 ZINC000910688522 710048444 /nfs/dbraw/zinc/04/84/44/710048444.db2.gz UXBHNSYGRQYVFH-UHFFFAOYSA-N -1 1 317.389 1.870 20 0 DDADMM O=C([O-])[C@]1(F)CCN(Cc2ccc(O[C@@H]3CCOC3)cc2)C1 ZINC000901517990 710063901 /nfs/dbraw/zinc/06/39/01/710063901.db2.gz JUHOYQWCPPMMIJ-ZBFHGGJFSA-N -1 1 309.337 1.853 20 0 DDADMM COc1cccc([C@H](C(=O)[O-])N(C)C(=O)[C@@H]2CCCCN2C)c1 ZINC000910769146 710069624 /nfs/dbraw/zinc/06/96/24/710069624.db2.gz GTSOERHADKVRTB-LSDHHAIUSA-N -1 1 320.389 1.764 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(Cc2c(C3CC3)nc3sccn23)C1 ZINC000901543775 710070701 /nfs/dbraw/zinc/07/07/01/710070701.db2.gz PKTMTISSSMSHRH-HNNXBMFYSA-N -1 1 321.402 1.949 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)/C=C\c1ccc(C(=O)[O-])o1 ZINC000901613848 710096021 /nfs/dbraw/zinc/09/60/21/710096021.db2.gz GVGRKLHEJCBDII-VGVVRCBHSA-N -1 1 306.362 1.790 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2C[C@H](C)Cc3c[nH]nc32)C[C@H]1CC(=O)[O-] ZINC000910857853 710097322 /nfs/dbraw/zinc/09/73/22/710097322.db2.gz LHSBPFBSRFBISG-WFFHOREQSA-N -1 1 305.378 1.645 20 0 DDADMM COCC1(CN(C)CC(=O)N2CCC[C@@H](C(=O)[O-])C2)CCC1 ZINC000901622371 710099042 /nfs/dbraw/zinc/09/90/42/710099042.db2.gz BUWCCPWHVPMSKM-CYBMUJFWSA-N -1 1 312.410 1.058 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])CN(C(=O)CN(C)CCc2ccccc2)C1 ZINC000901660856 710112442 /nfs/dbraw/zinc/11/24/42/710112442.db2.gz KFHLOTUCOPATHH-GOEBONIOSA-N -1 1 318.417 1.730 20 0 DDADMM COc1ncncc1CN(CCc1ccccc1)CC(=O)[O-] ZINC000901661413 710112460 /nfs/dbraw/zinc/11/24/60/710112460.db2.gz HPMJOJZDJDWSKW-UHFFFAOYSA-N -1 1 301.346 1.615 20 0 DDADMM C[C@H]([C@@H](C)C(=O)Nc1cc(F)cc(F)c1[O-])S(C)(=O)=O ZINC000910991471 710144112 /nfs/dbraw/zinc/14/41/12/710144112.db2.gz MFLBWSYRVJQVIG-RNFRBKRXSA-N -1 1 307.318 1.678 20 0 DDADMM Cn1nc(C(F)(F)F)cc1CN1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC000901897198 710168020 /nfs/dbraw/zinc/16/80/20/710168020.db2.gz OWVZFPWHKUJTHM-WDEREUQCSA-N -1 1 317.311 1.982 20 0 DDADMM C[C@H](CN(Cc1cnnn1-c1ccccc1)C1CC1)C(=O)[O-] ZINC000901992339 710195234 /nfs/dbraw/zinc/19/52/34/710195234.db2.gz CCAIOCKSMHAWKH-GFCCVEGCSA-N -1 1 300.362 1.952 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)[C@@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC000891614519 710248996 /nfs/dbraw/zinc/24/89/96/710248996.db2.gz MMRKFVXHPJOVEH-JMJZKYOTSA-N -1 1 301.346 1.615 20 0 DDADMM O=C(CCOC1CCOCC1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891622838 710251380 /nfs/dbraw/zinc/25/13/80/710251380.db2.gz QDGCZGDADSTZNT-UHFFFAOYSA-N -1 1 321.377 1.585 20 0 DDADMM COCc1nc(NC[C@H](O)c2ccccc2OC)cc(=O)[n-]1 ZINC000891810749 710300279 /nfs/dbraw/zinc/30/02/79/710300279.db2.gz VLGQZIKPZBUEFP-NSHDSACASA-N -1 1 305.334 1.483 20 0 DDADMM COCc1nc(NC[C@]2(O)CCCc3ccccc32)cc(=O)[n-]1 ZINC000892430999 710435700 /nfs/dbraw/zinc/43/57/00/710435700.db2.gz MJBWUNNBJCMVCG-QGZVFWFLSA-N -1 1 315.373 1.965 20 0 DDADMM CCO[C@@H]1C[C@@H](O)C12CCN(c1cc(=O)[n-]c(COC)n1)CC2 ZINC000892659720 710477551 /nfs/dbraw/zinc/47/75/51/710477551.db2.gz VCZDIXQBNWUTLJ-VXGBXAGGSA-N -1 1 323.393 1.085 20 0 DDADMM C[C@@H](NCc1ncc(Br)cc1[O-])C(=O)N(C)C ZINC000893360989 710616975 /nfs/dbraw/zinc/61/69/75/710616975.db2.gz HPBZEYZGBHTVJP-SSDOTTSWSA-N -1 1 302.172 1.116 20 0 DDADMM O=C([O-])C[C@H](NC(=O)c1cc(F)cc2nc[nH]c21)C(F)(F)F ZINC000911153108 710657529 /nfs/dbraw/zinc/65/75/29/710657529.db2.gz NAJQKDDEMUPSOP-QMMMGPOBSA-N -1 1 319.214 1.837 20 0 DDADMM COc1ccccc1O[C@H](C)CNCc1cc(C(=O)[O-])no1 ZINC000902316637 710713479 /nfs/dbraw/zinc/71/34/79/710713479.db2.gz CXWWYDRDANZVCP-SNVBAGLBSA-N -1 1 306.318 1.939 20 0 DDADMM CC(C)(C)N1CC[C@H](NCc2ccc(OCC(=O)[O-])cc2)C1=O ZINC000902468613 710762605 /nfs/dbraw/zinc/76/26/05/710762605.db2.gz LIBPFDLMNNVAIT-AWEZNQCLSA-N -1 1 320.389 1.639 20 0 DDADMM Cc1cc2n[nH]cc2cc1NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000911371920 710767016 /nfs/dbraw/zinc/76/70/16/710767016.db2.gz UNGALKNOHVRKSB-LLVKDONJSA-N -1 1 316.361 1.606 20 0 DDADMM Cc1cc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc2n[nH]cc21 ZINC000911394390 710777686 /nfs/dbraw/zinc/77/76/86/710777686.db2.gz WKZBTWGBKSQUIQ-NSHDSACASA-N -1 1 316.361 1.606 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc(C(=O)[O-])c2)CCN1CC(C)(C)O ZINC000911501631 710825882 /nfs/dbraw/zinc/82/58/82/710825882.db2.gz WSZPCYCKOPXOKP-GFCCVEGCSA-N -1 1 320.389 1.302 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000902628221 710826422 /nfs/dbraw/zinc/82/64/22/710826422.db2.gz XJMZFGHDWWZJIP-MEDUHNTESA-N -1 1 323.393 1.729 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H](C(=O)[O-])c1ccc(F)cc1 ZINC000902863284 710936741 /nfs/dbraw/zinc/93/67/41/710936741.db2.gz WHRWZJCZFUAIRK-TVQRCGJNSA-N -1 1 305.309 1.670 20 0 DDADMM C[C@@H](C(=O)N1CCN(c2ccc(C(=O)[O-])s2)CC1)N(C)C ZINC000911766192 710973052 /nfs/dbraw/zinc/97/30/52/710973052.db2.gz NTYXHLPGEQKYKD-JTQLQIEISA-N -1 1 311.407 1.045 20 0 DDADMM Cc1nc([C@H]2CCN(C(=O)c3cccc(CC(=O)[O-])c3)C2)n[nH]1 ZINC000911771696 710975862 /nfs/dbraw/zinc/97/58/62/710975862.db2.gz CLMYFOBFTQJOAQ-ZDUSSCGKSA-N -1 1 314.345 1.370 20 0 DDADMM Cc1nc([C@@H]2CCN(C(=O)c3cccc(CC(=O)[O-])c3)C2)n[nH]1 ZINC000911771695 710976278 /nfs/dbraw/zinc/97/62/78/710976278.db2.gz CLMYFOBFTQJOAQ-CYBMUJFWSA-N -1 1 314.345 1.370 20 0 DDADMM COCc1nc(NC[C@H](CO)c2ccc(F)cc2)cc(=O)[n-]1 ZINC000894205849 711016528 /nfs/dbraw/zinc/01/65/28/711016528.db2.gz ZMHQDCQUDXNWKF-LLVKDONJSA-N -1 1 307.325 1.656 20 0 DDADMM O=C([O-])c1cn([C@@H]2CCCN(CCSC(F)(F)F)C2)nn1 ZINC000903604402 711220557 /nfs/dbraw/zinc/22/05/57/711220557.db2.gz VOSDMMWYFUQWIC-MRVPVSSYSA-N -1 1 324.328 1.866 20 0 DDADMM CC(C)(CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)CC(F)(F)F ZINC000913494144 713236994 /nfs/dbraw/zinc/23/69/94/713236994.db2.gz TVORIBDMERNASK-MRVPVSSYSA-N -1 1 321.303 1.468 20 0 DDADMM COc1cccc(S(=O)(=O)[N-][C@@H](C)C(F)(F)F)c1OC ZINC000903632607 711230903 /nfs/dbraw/zinc/23/09/03/711230903.db2.gz UPGBMWZAEOYQMA-ZETCQYMHSA-N -1 1 313.297 1.933 20 0 DDADMM O=C([C@@H]1CC[C@@H]2CCCC[C@H]2C1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496090 713238267 /nfs/dbraw/zinc/23/82/67/713238267.db2.gz SDILRPSYXIOJKD-FQUUOJAGSA-N -1 1 319.409 1.706 20 0 DDADMM CSc1nc(CNC(=O)[C@@H](C)c2ccccn2)cc(=O)[n-]1 ZINC000912247441 711243875 /nfs/dbraw/zinc/24/38/75/711243875.db2.gz JKJBKKFRLCLQAU-VIFPVBQESA-N -1 1 304.375 1.719 20 0 DDADMM C[C@H](CS(C)(=O)=O)N(CCC1(C(=O)[O-])CCC1)C1CC1 ZINC000903696405 711247197 /nfs/dbraw/zinc/24/71/97/711247197.db2.gz VQDGGBLHOSYCAV-LLVKDONJSA-N -1 1 303.424 1.529 20 0 DDADMM CN(C)c1ncc(CN[C@H](C(=O)[O-])c2ccc(F)cc2)cn1 ZINC000903710280 711250298 /nfs/dbraw/zinc/25/02/98/711250298.db2.gz OJGYITXBHLEFAU-ZDUSSCGKSA-N -1 1 304.325 1.597 20 0 DDADMM CC(C)(C)c1ccc(CNC(=O)[C@]2(C(=O)[O-])CNCCO2)cc1 ZINC000912262415 711250318 /nfs/dbraw/zinc/25/03/18/711250318.db2.gz UXILKQOGTDBARY-KRWDZBQOSA-N -1 1 320.389 1.044 20 0 DDADMM CCc1ccccc1NC(=O)[C@@H](C)N(CCOC)CCC(=O)[O-] ZINC000903712618 711251048 /nfs/dbraw/zinc/25/10/48/711251048.db2.gz OZNXRAZFVUWEKE-CYBMUJFWSA-N -1 1 322.405 1.999 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(C3CC3)CCC2)n[n-]1 ZINC000912363695 711292485 /nfs/dbraw/zinc/29/24/85/711292485.db2.gz PNZWJSPOQWALCL-VIFPVBQESA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(C3CC3)CCC2)[n-]1 ZINC000912363695 711292490 /nfs/dbraw/zinc/29/24/90/711292490.db2.gz PNZWJSPOQWALCL-VIFPVBQESA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(C3CC3)CCC2)n1 ZINC000912363695 711292494 /nfs/dbraw/zinc/29/24/94/711292494.db2.gz PNZWJSPOQWALCL-VIFPVBQESA-N -1 1 306.366 1.739 20 0 DDADMM Cc1nn(C)cc1CN1CCOc2ccccc2[C@@H]1C(=O)[O-] ZINC000903930732 711337977 /nfs/dbraw/zinc/33/79/77/711337977.db2.gz GMFNJNZHZKPEML-OAHLLOKOSA-N -1 1 301.346 1.749 20 0 DDADMM Cc1nnc(CN2CCN(Cc3cccc([O-])c3Cl)CC2)[nH]1 ZINC000895262606 711470270 /nfs/dbraw/zinc/47/02/70/711470270.db2.gz NCGQRQCIRNZUPP-UHFFFAOYSA-N -1 1 321.812 1.790 20 0 DDADMM O=c1nc(NC[C@@H]2CCC[C@@H](C(F)(F)F)O2)nc2[nH][n-]cc1-2 ZINC000896033274 711666469 /nfs/dbraw/zinc/66/64/69/711666469.db2.gz IMMGPQGNQPVRHQ-XPUUQOCRSA-N -1 1 317.271 1.344 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)NCCc2c(F)cc([O-])cc2F)C(=O)O1 ZINC000896383993 711715739 /nfs/dbraw/zinc/71/57/39/711715739.db2.gz XXZKMZKTWFFGFY-KRTXAFLBSA-N -1 1 314.288 1.216 20 0 DDADMM CC(C)Nc1ncccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742989 713291975 /nfs/dbraw/zinc/29/19/75/713291975.db2.gz YJVVEJIXVVLSRA-UHFFFAOYSA-N -1 1 315.381 1.435 20 0 DDADMM O=C(Cc1c(F)cccc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913744028 713292984 /nfs/dbraw/zinc/29/29/84/713292984.db2.gz WUAWVWBEUUHTEO-UHFFFAOYSA-N -1 1 307.304 1.427 20 0 DDADMM O=C([C@H]1CC(=O)c2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913745198 713293645 /nfs/dbraw/zinc/29/36/45/713293645.db2.gz SYEXWIKZAZQHIG-ZDUSSCGKSA-N -1 1 311.345 1.276 20 0 DDADMM O=C(c1cnc(CC2CC2)s1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746598 713294231 /nfs/dbraw/zinc/29/42/31/713294231.db2.gz BPBMQEXNMGBZPK-UHFFFAOYSA-N -1 1 318.406 1.629 20 0 DDADMM COc1ccc(CN[C@H](C(=O)[O-])c2ccc(F)c(C)c2)nn1 ZINC000905385944 712023975 /nfs/dbraw/zinc/02/39/75/712023975.db2.gz ONTOBNSMPRQQAU-AWEZNQCLSA-N -1 1 305.309 1.848 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H](C)c3ccccc3C)nc2n1 ZINC000905635723 712102507 /nfs/dbraw/zinc/10/25/07/712102507.db2.gz ZZUXGKZMMSNLKH-LLVKDONJSA-N -1 1 311.345 1.777 20 0 DDADMM CO[C@H](C(=O)Nc1nnn[n-]1)c1ccc(Br)s1 ZINC000906733487 712401802 /nfs/dbraw/zinc/40/18/02/712401802.db2.gz KZNMRDDSAULVSB-LURJTMIESA-N -1 1 318.156 1.350 20 0 DDADMM CO[C@H](C(=O)Nc1nn[n-]n1)c1ccc(Br)s1 ZINC000906733487 712401803 /nfs/dbraw/zinc/40/18/03/712401803.db2.gz KZNMRDDSAULVSB-LURJTMIESA-N -1 1 318.156 1.350 20 0 DDADMM O=C(c1ccc(Cl)nc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000907106063 712488800 /nfs/dbraw/zinc/48/88/00/712488800.db2.gz YPYZHKAPYPXYHS-SSDOTTSWSA-N -1 1 310.720 1.407 20 0 DDADMM O=C(NCCCOCCO)c1c([O-])cnc2c(F)cccc21 ZINC000907273324 712530257 /nfs/dbraw/zinc/53/02/57/712530257.db2.gz NZWGXUBMULOQFH-UHFFFAOYSA-N -1 1 308.309 1.208 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccnc(Cl)c1Cl)c1nn[n-]n1 ZINC000907350571 712550037 /nfs/dbraw/zinc/55/00/37/712550037.db2.gz RPBHHZQCIBBTPB-ZCFIWIBFSA-N -1 1 315.164 1.777 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1nc[nH]c1Br)C1CC1 ZINC000907453408 712575621 /nfs/dbraw/zinc/57/56/21/712575621.db2.gz PFHHXKHRTYYAGO-UHFFFAOYSA-N -1 1 322.228 1.887 20 0 DDADMM Cc1cnc(Cl)c(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000907478578 712583040 /nfs/dbraw/zinc/58/30/40/712583040.db2.gz WEWHYMAPZZBRPL-VIFPVBQESA-N -1 1 324.797 1.487 20 0 DDADMM CCC1=C(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)CCCO1 ZINC000907480324 712583556 /nfs/dbraw/zinc/58/35/56/712583556.db2.gz SFPMIPBBLJBLNF-SNVBAGLBSA-N -1 1 309.395 1.291 20 0 DDADMM O=C(c1cscc1Cl)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907944272 712652840 /nfs/dbraw/zinc/65/28/40/712652840.db2.gz SDIASWKOWXFPPS-UHFFFAOYSA-N -1 1 313.770 1.038 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@H]3CCC[C@H]32)o1 ZINC000907971855 712658224 /nfs/dbraw/zinc/65/82/24/712658224.db2.gz XIPPKXBZOXNVGS-GMTAPVOTSA-N -1 1 313.375 1.923 20 0 DDADMM CN1CCC[C@H]1C(=O)NCCc1cc2ccc(C(=O)[O-])cc2o1 ZINC000908222890 712713528 /nfs/dbraw/zinc/71/35/28/712713528.db2.gz ZVWNCYUWZIVFME-AWEZNQCLSA-N -1 1 316.357 1.884 20 0 DDADMM O=C([O-])CC1CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)CC1 ZINC000908680887 712834394 /nfs/dbraw/zinc/83/43/94/712834394.db2.gz ICPBAFDQYUOVGJ-UHFFFAOYSA-N -1 1 305.256 1.755 20 0 DDADMM Cc1nc2cc(NC(=O)CN3CCC[C@H](C(=O)[O-])C3)ccc2o1 ZINC000908699562 712837547 /nfs/dbraw/zinc/83/75/47/712837547.db2.gz BTRSAEDCNXIEHM-NSHDSACASA-N -1 1 317.345 1.871 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)C1(O)CCOCC1 ZINC000908710387 712839241 /nfs/dbraw/zinc/83/92/41/712839241.db2.gz PGROHUPBSZXXJL-UHFFFAOYSA-N -1 1 316.151 1.635 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)[C@H]1COCCO1 ZINC000908715991 712840281 /nfs/dbraw/zinc/84/02/81/712840281.db2.gz XVDYTDGCYXLONM-SECBINFHSA-N -1 1 302.124 1.509 20 0 DDADMM CCC[C@@](C)(NC(=O)CN(C)CCc1ccccc1)C(=O)[O-] ZINC000908867648 712874035 /nfs/dbraw/zinc/87/40/35/712874035.db2.gz RZBADPWBYJVXLL-QGZVFWFLSA-N -1 1 306.406 1.921 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]C[C@H]2CCC(F)(F)C2)s1 ZINC000915177032 713411711 /nfs/dbraw/zinc/41/17/11/713411711.db2.gz JCOHUIZKUNCZNA-ZETCQYMHSA-N -1 1 312.363 1.865 20 0 DDADMM C[C@@H]1CCN(C(=O)O[C@H]2CCOC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000917754395 713512555 /nfs/dbraw/zinc/51/25/55/713512555.db2.gz MUUXNESYSSTBGV-UTLUCORTSA-N -1 1 324.299 1.301 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)CCC(F)(F)F ZINC000918061162 713527717 /nfs/dbraw/zinc/52/77/17/713527717.db2.gz MSZOAFDZDCPYIO-SECBINFHSA-N -1 1 319.345 1.790 20 0 DDADMM O=C(NCCNC(=O)c1c([O-])cccc1Cl)c1cccnc1 ZINC000920449499 713670482 /nfs/dbraw/zinc/67/04/82/713670482.db2.gz YZLMLDZUNOKLKJ-UHFFFAOYSA-N -1 1 319.748 1.600 20 0 DDADMM COC(=O)C[C@@H]1CN(C[C@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929799753 713692265 /nfs/dbraw/zinc/69/22/65/713692265.db2.gz MRYBQUMWRZUIJW-SWLSCSKDSA-N -1 1 321.373 1.475 20 0 DDADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)CC1CCCCC1 ZINC000921378620 713749319 /nfs/dbraw/zinc/74/93/19/713749319.db2.gz CULVEQGGUCIZDL-LBPRGKRZSA-N -1 1 323.480 1.781 20 0 DDADMM C[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000921497800 713789673 /nfs/dbraw/zinc/78/96/73/713789673.db2.gz UCGKCIFUQPCUEQ-RNFRBKRXSA-N -1 1 310.169 1.355 20 0 DDADMM COC1(CS(=O)(=O)[N-]CC(=O)c2cncs2)CCCC1 ZINC000921678961 713840541 /nfs/dbraw/zinc/84/05/41/713840541.db2.gz RNCRNKDZUVPDBD-UHFFFAOYSA-N -1 1 318.420 1.204 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCS[C@@H](C)C2)c1 ZINC000921712727 713851268 /nfs/dbraw/zinc/85/12/68/713851268.db2.gz QVDZDCXJPMEROU-WCBMZHEXSA-N -1 1 319.404 1.629 20 0 DDADMM NC(=O)CN(C(=O)c1ccc(Cl)cc1[O-])C1CCOCC1 ZINC000921715954 713851948 /nfs/dbraw/zinc/85/19/48/713851948.db2.gz MHVUQGBOPXHEID-UHFFFAOYSA-N -1 1 312.753 1.152 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000921972482 713924601 /nfs/dbraw/zinc/92/46/01/713924601.db2.gz HIQBMZYTQXVREW-KCJUWKMLSA-N -1 1 319.329 1.503 20 0 DDADMM C[C@@H](NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C(=O)N(C)C ZINC000932044105 714245414 /nfs/dbraw/zinc/24/54/14/714245414.db2.gz AHFLXZULQKUIHN-SNVBAGLBSA-N -1 1 317.349 1.176 20 0 DDADMM O=C(NC[C@@H]1CC2(CCC2)CO1)c1cnc(C2CC2)[n-]c1=O ZINC000924111402 714528920 /nfs/dbraw/zinc/52/89/20/714528920.db2.gz MFLCJAMSFWVCHB-NSHDSACASA-N -1 1 303.362 1.749 20 0 DDADMM CCn1nncc1CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934277584 714785105 /nfs/dbraw/zinc/78/51/05/714785105.db2.gz BZYRQERZNWASKJ-LLVKDONJSA-N -1 1 304.354 1.139 20 0 DDADMM O=C(N1CCC[C@H](c2nn[n-]n2)C1)[C@@]12CCO[C@H]1CCCC2 ZINC000935036879 714960805 /nfs/dbraw/zinc/96/08/05/714960805.db2.gz KCASUMIOINUEPU-HUBLWGQQSA-N -1 1 305.382 1.255 20 0 DDADMM O=C([C@H](O)C1CCCCCCC1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000935036638 714960842 /nfs/dbraw/zinc/96/08/42/714960842.db2.gz GFRWZEUFVIZUAA-ZIAGYGMSSA-N -1 1 321.425 1.627 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N3CC(CO)C3)c2)o1 ZINC000935353799 715034895 /nfs/dbraw/zinc/03/48/95/715034895.db2.gz SWAIKQWRRQLNDJ-UHFFFAOYSA-N -1 1 301.298 1.529 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943204160 718046076 /nfs/dbraw/zinc/04/60/76/718046076.db2.gz QMDUCNLHKWUNFA-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)NC[C@@H]1CCCN1C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000956132641 715328003 /nfs/dbraw/zinc/32/80/03/715328003.db2.gz LRUFKUBKLITUAT-LBPRGKRZSA-N -1 1 320.393 1.355 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849092 715635603 /nfs/dbraw/zinc/63/56/03/715635603.db2.gz KVPQKBSZBXWLFL-INIZCTEOSA-N -1 1 321.352 1.771 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)/C=C\C2CC2)C1 ZINC000956848997 715635638 /nfs/dbraw/zinc/63/56/38/715635638.db2.gz IPPBFVFBZUACNA-TUYSUELWSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@]1(NC(=O)c2cc[nH]c2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957055203 715726469 /nfs/dbraw/zinc/72/64/69/715726469.db2.gz PKZSNGSSEZCHLT-INIZCTEOSA-N -1 1 314.345 1.150 20 0 DDADMM C[C@@]1(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000938459164 715853714 /nfs/dbraw/zinc/85/37/14/715853714.db2.gz ITVMKWKAXQYCGT-YVEFUNNKSA-N -1 1 315.373 1.474 20 0 DDADMM CCCC(=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC000957493253 715926028 /nfs/dbraw/zinc/92/60/28/715926028.db2.gz WCZYQTGYXATDEW-UHFFFAOYSA-N -1 1 321.425 1.515 20 0 DDADMM C[C@]1(NC(=O)[C@@H]2CC23CC3)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957722937 716016860 /nfs/dbraw/zinc/01/68/60/716016860.db2.gz TWQVYLOFOHCSEI-ZBEGNZNMSA-N -1 1 315.373 1.308 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939143887 716150847 /nfs/dbraw/zinc/15/08/47/716150847.db2.gz LTUFCWMRCJFOEY-YPMHNXCESA-N -1 1 323.368 1.454 20 0 DDADMM O=C([C@H]1C[C@H]2C[C@H]2C1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960101942 716417270 /nfs/dbraw/zinc/41/72/70/716417270.db2.gz MZVRPFXNJISVAQ-LOWDOPEQSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@H](NC(=O)C1CCC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000959131378 716878360 /nfs/dbraw/zinc/87/83/60/716878360.db2.gz BSROEQJRUXKWLC-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)CC2CC2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959316411 716954095 /nfs/dbraw/zinc/95/40/95/716954095.db2.gz AGGMVNWTBWLUSF-AAEUAGOBSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)C1CC1 ZINC000959444194 717018871 /nfs/dbraw/zinc/01/88/71/717018871.db2.gz HDLPMLBJKCMJBO-PWSUYJOCSA-N -1 1 303.362 1.022 20 0 DDADMM CC[C@H](F)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964846404 717451739 /nfs/dbraw/zinc/45/17/39/717451739.db2.gz AKOHYKZBEBAURW-RYUDHWBXSA-N -1 1 323.368 1.646 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2CC2)C1 ZINC000942757631 717857622 /nfs/dbraw/zinc/85/76/22/717857622.db2.gz IAEFOCJCYLQOGC-LBPRGKRZSA-N -1 1 303.362 1.260 20 0 DDADMM CC[C@H](C)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000944433681 718301864 /nfs/dbraw/zinc/30/18/64/718301864.db2.gz ZQQFFLGBVDKHQB-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000950313051 720572868 /nfs/dbraw/zinc/57/28/68/720572868.db2.gz XKXPKGWZAYTDHX-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950473059 720648382 /nfs/dbraw/zinc/64/83/82/720648382.db2.gz LURVLOSUSRDHDL-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)c1ccc[nH]1 ZINC000950539850 720675673 /nfs/dbraw/zinc/67/56/73/720675673.db2.gz YWFLMLOUAQZWRV-NSHDSACASA-N -1 1 314.345 1.150 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001087114809 732834637 /nfs/dbraw/zinc/83/46/37/732834637.db2.gz HLXZKWLBOYDGBH-NEPJUHHUSA-N -1 1 307.398 1.193 20 0 DDADMM CCOC(=O)c1nc(=NCc2cccnn2)c2sccc2[n-]1 ZINC001167319071 733153341 /nfs/dbraw/zinc/15/33/41/733153341.db2.gz HMAKHENLHFLTRM-UHFFFAOYSA-N -1 1 315.358 1.692 20 0 DDADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC12CCC2 ZINC001167343196 733213728 /nfs/dbraw/zinc/21/37/28/733213728.db2.gz KNHLWKOMSJSRCM-NSHDSACASA-N -1 1 319.409 1.077 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001011035929 734378396 /nfs/dbraw/zinc/37/83/96/734378396.db2.gz IZHUNCOCDGIRJZ-OLZOCXBDSA-N -1 1 317.389 1.508 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)/C=C\c1ccccc1 ZINC000588435096 734442380 /nfs/dbraw/zinc/44/23/80/734442380.db2.gz LOPJHFQSEQWDQH-FPLPWBNLSA-N -1 1 324.340 1.525 20 0 DDADMM CCC(CC)CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167543141 734678558 /nfs/dbraw/zinc/67/85/58/734678558.db2.gz RGMVNJOQCPWYGQ-UHFFFAOYSA-N -1 1 323.441 1.713 20 0 DDADMM C[C@H](CN(C)c1nnc(Cc2nnn[n-]2)n1C)c1ccccc1 ZINC001121316738 782455299 /nfs/dbraw/zinc/45/52/99/782455299.db2.gz FOCBHRJOVNZDEC-LLVKDONJSA-N -1 1 312.381 1.159 20 0 DDADMM C[C@H](CN(C)c1nnc(Cc2nn[n-]n2)n1C)c1ccccc1 ZINC001121316738 782455304 /nfs/dbraw/zinc/45/53/04/782455304.db2.gz FOCBHRJOVNZDEC-LLVKDONJSA-N -1 1 312.381 1.159 20 0 DDADMM Cc1cccc([C@H]2CCCN2c2nnc(-c3nnn[n-]3)n2C)c1 ZINC001121359620 782470975 /nfs/dbraw/zinc/47/09/75/782470975.db2.gz KXMBJOCUNBWXRT-GFCCVEGCSA-N -1 1 310.365 1.645 20 0 DDADMM Cc1cccc([C@H]2CCCN2c2nnc(-c3nn[n-]n3)n2C)c1 ZINC001121359620 782470982 /nfs/dbraw/zinc/47/09/82/782470982.db2.gz KXMBJOCUNBWXRT-GFCCVEGCSA-N -1 1 310.365 1.645 20 0 DDADMM COC(=O)N1CCCN(Cc2cc(F)c([O-])c(F)c2)CC1 ZINC001140988261 736806411 /nfs/dbraw/zinc/80/64/11/736806411.db2.gz UDBVTZQKFPJFHT-UHFFFAOYSA-N -1 1 300.305 1.945 20 0 DDADMM CC(C)Cn1cccc(Nc2cc([O-])nc(=O)n2C2CC2)c1=O ZINC001167764670 737908056 /nfs/dbraw/zinc/90/80/56/737908056.db2.gz KGTAMAGLDVFZIG-UHFFFAOYSA-N -1 1 316.361 1.845 20 0 DDADMM C[N@H+](Cc1ccon1)C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001029713275 741247226 /nfs/dbraw/zinc/24/72/26/741247226.db2.gz IVFKENKXWKGOCV-LBPRGKRZSA-N -1 1 316.361 1.369 20 0 DDADMM C[C@@H](CCNC(=O)[C@@H]1CC1(C)C)NC(=O)c1ncccc1[O-] ZINC001076176476 742585824 /nfs/dbraw/zinc/58/58/24/742585824.db2.gz PVSPTBXFAIDVLR-QWRGUYRKSA-N -1 1 305.378 1.458 20 0 DDADMM CC[C@H](C)CC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076289468 742645401 /nfs/dbraw/zinc/64/54/01/742645401.db2.gz LJDLEOOVYJNPAF-RYUDHWBXSA-N -1 1 307.394 1.848 20 0 DDADMM CC(C)C(=O)N[C@@H](C)CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001076564339 742786008 /nfs/dbraw/zinc/78/60/08/742786008.db2.gz MNALCVCQCMXERQ-JTQLQIEISA-N -1 1 320.393 1.340 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[C@H](c3n[nH]cc3N)C2)c1 ZINC001180774931 742932896 /nfs/dbraw/zinc/93/28/96/742932896.db2.gz UHMLVVWBHADRHR-JTQLQIEISA-N -1 1 314.345 1.448 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002346086 743065006 /nfs/dbraw/zinc/06/50/06/743065006.db2.gz QBAWBKZCQLFWJN-VFJIKVCNSA-N -1 1 317.389 1.816 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCCC1=O ZINC001181313588 743154688 /nfs/dbraw/zinc/15/46/88/743154688.db2.gz OTJRSLLVGDDEML-NSHDSACASA-N -1 1 314.345 1.527 20 0 DDADMM COC(=O)/C=C\[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001181327122 743158568 /nfs/dbraw/zinc/15/85/68/743158568.db2.gz IRZVOXQXTFHFAI-ATJFRQLMSA-N -1 1 307.350 1.462 20 0 DDADMM C[C@@H]1C(=O)OC[C@@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001182091136 743489503 /nfs/dbraw/zinc/48/95/03/743489503.db2.gz WYHUDAHUELTJLV-CABZTGNLSA-N -1 1 301.302 1.326 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)C(C)(C)C)C(=O)c1ncccc1[O-] ZINC001002982404 743629394 /nfs/dbraw/zinc/62/93/94/743629394.db2.gz IUZBXFWTKRUMCJ-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM COc1cncc(C[N-]C(=O)C(F)(F)OCC(F)(F)F)c1 ZINC001183197524 743794996 /nfs/dbraw/zinc/79/49/96/743794996.db2.gz LHKUIAWGZQZMIT-UHFFFAOYSA-N -1 1 314.210 1.878 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cnnn1-c1ccccc1Cl ZINC001183561391 743856601 /nfs/dbraw/zinc/85/66/01/743856601.db2.gz ABEUOTDVZFKKGP-UHFFFAOYSA-N -1 1 304.697 1.602 20 0 DDADMM C[C@@H]1C[C@H]1c1ncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC001185116202 744163733 /nfs/dbraw/zinc/16/37/33/744163733.db2.gz MZKAKDXVZQWBMG-IYSWYEEDSA-N -1 1 312.255 1.989 20 0 DDADMM CN1CCN(C)[C@H](C(=O)Nc2ccc3[n-]c(=S)sc3c2)C1 ZINC001185256840 744190924 /nfs/dbraw/zinc/19/09/24/744190924.db2.gz WOMWFOMYNVXRBE-NSHDSACASA-N -1 1 322.459 1.769 20 0 DDADMM CCc1coc(C[N-]S(=O)(=O)c2ccc(Cl)nc2)n1 ZINC001185639663 744261045 /nfs/dbraw/zinc/26/10/45/744261045.db2.gz RTWUTFUXJJSYQD-UHFFFAOYSA-N -1 1 301.755 1.764 20 0 DDADMM O=S(=O)([N-]c1cncnc1Cl)c1ccc2n[nH]cc2c1 ZINC001185947051 744311567 /nfs/dbraw/zinc/31/15/67/744311567.db2.gz XLCAYNJDOGLTKT-UHFFFAOYSA-N -1 1 309.738 1.807 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1CN(C/C=C\Cl)C1 ZINC001030775879 744607935 /nfs/dbraw/zinc/60/79/35/744607935.db2.gz HWTCBDSPSBYPGO-PLNGDYQASA-N -1 1 324.812 1.781 20 0 DDADMM CN(CCC[N-]S(=O)(=O)c1nccs1)c1ccccc1 ZINC001187907980 744627873 /nfs/dbraw/zinc/62/78/73/744627873.db2.gz GHPMCEKYKABDBS-UHFFFAOYSA-N -1 1 311.432 1.948 20 0 DDADMM O=C1CCC2(CCC([N-]S(=O)(=O)c3nccs3)CC2)N1 ZINC001187913088 744629324 /nfs/dbraw/zinc/62/93/24/744629324.db2.gz UOBQPDLLVOKMKI-UHFFFAOYSA-N -1 1 315.420 1.013 20 0 DDADMM O=C([N-]c1n[nH]c(-c2ccncc2)n1)c1nnsc1C1CC1 ZINC001188084988 744658294 /nfs/dbraw/zinc/65/82/94/744658294.db2.gz ZXCGOFYHRFVCJP-UHFFFAOYSA-N -1 1 313.346 1.848 20 0 DDADMM COC(=O)c1onc(C)c1NC(=O)c1ncc(C(F)(F)F)[n-]1 ZINC001188280198 744685879 /nfs/dbraw/zinc/68/58/79/744685879.db2.gz AKOZFZDXZMFPOX-UHFFFAOYSA-N -1 1 318.211 1.764 20 0 DDADMM COC(=O)c1onc(C)c1NC(=O)c1nc(C(F)(F)F)c[n-]1 ZINC001188280198 744685881 /nfs/dbraw/zinc/68/58/81/744685881.db2.gz AKOZFZDXZMFPOX-UHFFFAOYSA-N -1 1 318.211 1.764 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1csc(C)c1C(=O)OC ZINC001188355324 744700299 /nfs/dbraw/zinc/70/02/99/744700299.db2.gz KZYFRZZQMCKARB-UHFFFAOYSA-N -1 1 321.376 1.148 20 0 DDADMM COc1cc(Br)c([O-])c(NC(=O)c2nc[nH]n2)c1 ZINC001188695840 744750180 /nfs/dbraw/zinc/75/01/80/744750180.db2.gz NWEWSEYJLPPLCQ-UHFFFAOYSA-N -1 1 313.111 1.534 20 0 DDADMM C[C@H](C[C@H](C)Nc1nccnc1F)NC(=O)c1ncccc1[O-] ZINC001089278957 744874678 /nfs/dbraw/zinc/87/46/78/744874678.db2.gz WZNOFENEXGOOCM-VHSXEESVSA-N -1 1 319.340 1.725 20 0 DDADMM O=S(=O)(Cc1cc(F)ccc1F)[N-]c1cnn(CCO)c1 ZINC001189651160 744947756 /nfs/dbraw/zinc/94/77/56/744947756.db2.gz MJAAYZWWWGSLMD-UHFFFAOYSA-N -1 1 317.317 1.096 20 0 DDADMM COc1nc(S(=O)(=O)[N-]CC2CC(F)(F)C2)ccc1F ZINC001190108551 745103267 /nfs/dbraw/zinc/10/32/67/745103267.db2.gz TZUGGDCLONMGSN-UHFFFAOYSA-N -1 1 310.297 1.553 20 0 DDADMM C[C@H]1CC[C@@H](CO)N1C(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190260524 745166109 /nfs/dbraw/zinc/16/61/09/745166109.db2.gz HOJQBUHBKHMHMD-AAEUAGOBSA-N -1 1 313.357 1.835 20 0 DDADMM C[C@H](CN1CCCC1)[N-]S(=O)(=O)c1cc(F)cc(F)c1F ZINC001190404671 745201279 /nfs/dbraw/zinc/20/12/79/745201279.db2.gz XJICGVQQXQNUIC-SECBINFHSA-N -1 1 322.352 1.867 20 0 DDADMM CSc1ncc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)c(C)n1 ZINC001190504638 745232374 /nfs/dbraw/zinc/23/23/74/745232374.db2.gz ALZSYXDOHSSVLQ-UHFFFAOYSA-N -1 1 315.362 1.107 20 0 DDADMM O=C(NCc1cc[nH]c(=O)c1)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629159 745278814 /nfs/dbraw/zinc/27/88/14/745278814.db2.gz KZDXUDQMLCINOC-UHFFFAOYSA-N -1 1 323.312 1.275 20 0 DDADMM O=S(=O)([N-]c1ccccc1N1CCOCC1)c1ccncc1 ZINC001190845135 745349285 /nfs/dbraw/zinc/34/92/85/745349285.db2.gz CYAVCXLDXIIYAR-UHFFFAOYSA-N -1 1 319.386 1.719 20 0 DDADMM CN1Cc2ccc([N-]S(=O)(=O)c3ccncc3)cc2C1=O ZINC001190894292 745370308 /nfs/dbraw/zinc/37/03/08/745370308.db2.gz ZIQDIYRDQLZXEG-UHFFFAOYSA-N -1 1 303.343 1.468 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2ccncc2)ccc1OC ZINC001190893419 745370550 /nfs/dbraw/zinc/37/05/50/745370550.db2.gz JETYBYVFSATQHB-UHFFFAOYSA-N -1 1 322.342 1.678 20 0 DDADMM C[C@H](CCNc1cc(F)ncn1)NC(=O)c1ncccc1[O-] ZINC001106296095 745562461 /nfs/dbraw/zinc/56/24/61/745562461.db2.gz HDWZHDOPPOBEEE-SECBINFHSA-N -1 1 305.313 1.337 20 0 DDADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)Cc1ccc(Cl)cc1F ZINC001191905623 745645041 /nfs/dbraw/zinc/64/50/41/745645041.db2.gz LEKAFGZGZUGBJU-JTQLQIEISA-N -1 1 307.730 1.214 20 0 DDADMM CN1CCCC[C@H]1C[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192043689 745681078 /nfs/dbraw/zinc/68/10/78/745681078.db2.gz BLKKANKQAXYUDB-ZETCQYMHSA-N -1 1 309.646 1.718 20 0 DDADMM Cc1noc(CC[N-]S(=O)(=O)C(Cl)(Cl)Cl)n1 ZINC001192044586 745681637 /nfs/dbraw/zinc/68/16/37/745681637.db2.gz ZFRNAGQCPSVCNS-UHFFFAOYSA-N -1 1 308.574 1.168 20 0 DDADMM CCOC(=O)CC1([N-]S(=O)(=O)C(Cl)(Cl)Cl)CC1 ZINC001192053921 745686344 /nfs/dbraw/zinc/68/63/44/745686344.db2.gz MCUOHVAYPQIMRW-UHFFFAOYSA-N -1 1 324.613 1.719 20 0 DDADMM Cc1nc(Cl)c(N)c(=N)n1C(=O)c1c(F)ccc([O-])c1F ZINC001192523509 745806857 /nfs/dbraw/zinc/80/68/57/745806857.db2.gz BTJFLLAAUQKVRS-UHFFFAOYSA-N -1 1 314.679 1.579 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1cc(Cl)ccc1CO ZINC001193262066 746035007 /nfs/dbraw/zinc/03/50/07/746035007.db2.gz OMQBIGSPTHOWOR-LLVKDONJSA-N -1 1 305.783 1.753 20 0 DDADMM COc1ccnc(C(=O)N(C(=N)N)c2ccccc2F)c1[O-] ZINC001193543218 746125917 /nfs/dbraw/zinc/12/59/17/746125917.db2.gz QPXAQWIUEFQNDF-UHFFFAOYSA-N -1 1 304.281 1.475 20 0 DDADMM COc1cnc(Cl)c(NC(=O)c2nccc(OC)c2[O-])n1 ZINC001193524887 746133831 /nfs/dbraw/zinc/13/38/31/746133831.db2.gz OPVFRYSYUAJUJR-UHFFFAOYSA-N -1 1 310.697 1.500 20 0 DDADMM Cn1ncc2cc([N-]S(=O)(=O)c3ccccc3N)cnc21 ZINC001193895911 746230810 /nfs/dbraw/zinc/23/08/10/746230810.db2.gz MJPRXVGHNVRKRW-UHFFFAOYSA-N -1 1 303.347 1.351 20 0 DDADMM CN(C)S(=O)(=O)c1ccccc1[N-]c1noc2nccnc12 ZINC001194136539 746300622 /nfs/dbraw/zinc/30/06/22/746300622.db2.gz MLBRIVBFEZFCCA-UHFFFAOYSA-N -1 1 319.346 1.612 20 0 DDADMM Cn1c(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)c[nH]c1=S ZINC001194255291 746322627 /nfs/dbraw/zinc/32/26/27/746322627.db2.gz XRDMXXCRBJQPIJ-UHFFFAOYSA-N -1 1 315.358 1.852 20 0 DDADMM O=S(=O)([N-]CCc1cnoc1)c1ccc(F)c(F)c1F ZINC001194264593 746325065 /nfs/dbraw/zinc/32/50/65/746325065.db2.gz LYUAGIVHEDOJPH-UHFFFAOYSA-N -1 1 306.265 1.613 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc(Cl)[nH]c1=O ZINC001195127950 746534358 /nfs/dbraw/zinc/53/43/58/746534358.db2.gz NPANSHAXWOYOGY-UHFFFAOYSA-N -1 1 311.641 1.292 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2cc(C(N)=O)cc3[nH]ccc32)n1 ZINC001195329479 746571934 /nfs/dbraw/zinc/57/19/34/746571934.db2.gz DTPNUKWUIVFVGS-UHFFFAOYSA-N -1 1 310.313 1.928 20 0 DDADMM COc1ncnc(OC)c1CNC(=O)c1cc([O-])cnc1Cl ZINC001195313171 746574633 /nfs/dbraw/zinc/57/46/33/746574633.db2.gz RAOPDSKJUIBFFO-UHFFFAOYSA-N -1 1 324.724 1.178 20 0 DDADMM CCOC([O-])=NC(=S)N=c1ccc(N2CCN(C)CC2)c[nH]1 ZINC001195454691 746605123 /nfs/dbraw/zinc/60/51/23/746605123.db2.gz KVGVECPOFPKNNN-UHFFFAOYSA-N -1 1 323.422 1.276 20 0 DDADMM O=C(c1ncccc1O)N1CCC[N@@H+](CCOCC2CC2)CC1 ZINC001195579786 746645689 /nfs/dbraw/zinc/64/56/89/746645689.db2.gz AZSSTAODQKJHEH-UHFFFAOYSA-N -1 1 319.405 1.362 20 0 DDADMM O=C1Cc2cccc([N-]S(=O)(=O)c3ccc(O)cc3)c2CN1 ZINC001195810882 746712905 /nfs/dbraw/zinc/71/29/05/746712905.db2.gz OGKINTNUFIWDLY-UHFFFAOYSA-N -1 1 318.354 1.365 20 0 DDADMM Cc1cnc(CNC2CC(N(C)C(=O)c3ncccc3[O-])C2)o1 ZINC000998672994 752117830 /nfs/dbraw/zinc/11/78/30/752117830.db2.gz MWPPUXTXNXTHPK-UHFFFAOYSA-N -1 1 316.361 1.476 20 0 DDADMM COc1cc(NC(=O)c2sccc2[N-]S(C)(=O)=O)no1 ZINC001196721804 746944725 /nfs/dbraw/zinc/94/47/25/746944725.db2.gz USIXTLXHRQEXQU-UHFFFAOYSA-N -1 1 317.348 1.369 20 0 DDADMM COc1ccc(F)c(S(=O)(=O)[N-]C[C@H](O)C(F)(F)F)c1 ZINC001196789139 746961661 /nfs/dbraw/zinc/96/16/61/746961661.db2.gz PNLFFFCDESKWMJ-VIFPVBQESA-N -1 1 317.260 1.036 20 0 DDADMM CN1C[C@H]2CC[C@@H](C1)N2C(=S)Nc1ccc(C(=O)[O-])cc1 ZINC001197096642 747050047 /nfs/dbraw/zinc/05/00/47/747050047.db2.gz FDBZZRWBXOIHFJ-BETUJISGSA-N -1 1 305.403 1.860 20 0 DDADMM COC(=O)CNC(=S)Nc1cccc(C(F)(F)F)c1[O-] ZINC001197197705 747100666 /nfs/dbraw/zinc/10/06/66/747100666.db2.gz NMUREHHGPIADTE-UHFFFAOYSA-N -1 1 308.281 1.870 20 0 DDADMM CCOC(=O)C1(C(=O)Nc2n[n-]c(C(F)(F)F)n2)CCC1 ZINC000160505496 747314499 /nfs/dbraw/zinc/31/44/99/747314499.db2.gz DWIGGCSIAZUYSB-UHFFFAOYSA-N -1 1 306.244 1.495 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cncn1CCF ZINC001198031306 747355179 /nfs/dbraw/zinc/35/51/79/747355179.db2.gz FZCBFLSRLGMMBP-UHFFFAOYSA-N -1 1 315.308 1.996 20 0 DDADMM C=CS(=O)(=O)[N-]c1cc(N2C[C@@H](C)O[C@@H](C)C2)nc(C)n1 ZINC001198570295 747529996 /nfs/dbraw/zinc/52/99/96/747529996.db2.gz ZILQJNWQQFESEW-AOOOYVTPSA-N -1 1 312.395 1.284 20 0 DDADMM CN1CCC[C@@H]2CN(S(=O)(=O)c3ccccc3C(=O)[O-])C[C@@H]21 ZINC001198766882 747602922 /nfs/dbraw/zinc/60/29/22/747602922.db2.gz AIOGATNMMJPIGP-YPMHNXCESA-N -1 1 324.402 1.100 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(=O)n(C(C)C)c2)n1 ZINC001199508707 747879540 /nfs/dbraw/zinc/87/95/40/747879540.db2.gz AJVOOHSLFFWXDM-UHFFFAOYSA-N -1 1 318.333 1.581 20 0 DDADMM CCOC(=O)CCNC(=S)Nc1cc(=O)[n-]c(SC)n1 ZINC001200159527 748136105 /nfs/dbraw/zinc/13/61/05/748136105.db2.gz DSQZVYAEGFYFHM-UHFFFAOYSA-N -1 1 316.408 1.144 20 0 DDADMM CSc1ccc(NC(=S)NCC[P@](=O)([O-])O)cc1 ZINC001201190967 748431438 /nfs/dbraw/zinc/43/14/38/748431438.db2.gz HUTNJVKESRIFJT-UHFFFAOYSA-N -1 1 306.349 1.873 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc4c3cnn4C)c1-2 ZINC001201288595 748455518 /nfs/dbraw/zinc/45/55/18/748455518.db2.gz WDLIVGUZAQFWBC-UHFFFAOYSA-N -1 1 307.317 1.174 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]Cc1cn(C)cn1 ZINC001201728748 748586338 /nfs/dbraw/zinc/58/63/38/748586338.db2.gz JADQHGZBZQTZSD-UHFFFAOYSA-N -1 1 306.800 1.317 20 0 DDADMM CSCC(=O)N1CC[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001004746636 748712796 /nfs/dbraw/zinc/71/27/96/748712796.db2.gz BPJWDWDXGMTNCK-MNOVXSKESA-N -1 1 323.418 1.117 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)CCC1CC1 ZINC001004789288 748803752 /nfs/dbraw/zinc/80/37/52/748803752.db2.gz ZOLGZCGZLMPFSY-DGCLKSJQSA-N -1 1 321.425 1.560 20 0 DDADMM CN(CCCN(C)C(=O)C(C)(F)F)C(=O)c1ncccc1[O-] ZINC001066618412 749149774 /nfs/dbraw/zinc/14/97/74/749149774.db2.gz BWFLGQNZLNFIEA-UHFFFAOYSA-N -1 1 315.320 1.363 20 0 DDADMM CCc1cc(C)nc(NC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108322775 761932482 /nfs/dbraw/zinc/93/24/82/761932482.db2.gz BEICFUHUICLZNC-NSHDSACASA-N -1 1 315.377 1.678 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996141176 749392671 /nfs/dbraw/zinc/39/26/71/749392671.db2.gz DXPOUUVKFVUBGR-KVSVUVNWSA-N -1 1 315.373 1.305 20 0 DDADMM Cc1nccc(NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001060856773 751021222 /nfs/dbraw/zinc/02/12/22/751021222.db2.gz RKPLRUDCMQUIOD-LBPRGKRZSA-N -1 1 313.361 1.460 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]1CNCc1cnsn1 ZINC001034916156 751050436 /nfs/dbraw/zinc/05/04/36/751050436.db2.gz PYQFXJOTOHJDRF-LLVKDONJSA-N -1 1 319.390 1.033 20 0 DDADMM C[C@@]1(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000997848364 751322028 /nfs/dbraw/zinc/32/20/28/751322028.db2.gz VZDDNYSRBGRGRN-MRXNPFEDSA-N -1 1 301.346 1.084 20 0 DDADMM CC(C)(F)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001007785043 752348644 /nfs/dbraw/zinc/34/86/44/752348644.db2.gz HELAOQIMOMQFBO-LLVKDONJSA-N -1 1 323.368 1.502 20 0 DDADMM CCCC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008072449 752533134 /nfs/dbraw/zinc/53/31/34/752533134.db2.gz UNAUIMPEOBCRCA-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM CC1(C(=O)N2C[C@@H]3[C@@H](CNC(=O)c4ncccc4[O-])[C@@H]3C2)CC1 ZINC001008994291 753027130 /nfs/dbraw/zinc/02/71/30/753027130.db2.gz QUKYWMJTHRONLS-CNDDSTCGSA-N -1 1 315.373 1.022 20 0 DDADMM C=C/C(C)=C/CC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001077868025 753318030 /nfs/dbraw/zinc/31/80/30/753318030.db2.gz HPEJJKCAZRIUNX-WHGQRRHOSA-N -1 1 317.389 1.934 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1=CCCC1 ZINC001012485877 755104570 /nfs/dbraw/zinc/10/45/70/755104570.db2.gz YQDPMRWHIPWGTL-WCQYABFASA-N -1 1 315.373 1.617 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C(C)(C)F)CN1C(=O)c1ncccc1[O-] ZINC001012505991 755112948 /nfs/dbraw/zinc/11/29/48/755112948.db2.gz LVMKLJKNVKPIOM-VHSXEESVSA-N -1 1 309.341 1.255 20 0 DDADMM CC(=O)N[C@H]1CC[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001015276679 756206823 /nfs/dbraw/zinc/20/68/23/756206823.db2.gz RIRZEBLSKOSGJP-PWSUYJOCSA-N -1 1 318.377 1.094 20 0 DDADMM CC1(C(=O)NC[C@@H]2CCCCN2C(=O)c2ncccc2[O-])CC1 ZINC001082022509 756586920 /nfs/dbraw/zinc/58/69/20/756586920.db2.gz FLWQMEMQIFGDHW-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001016427780 757034993 /nfs/dbraw/zinc/03/49/93/757034993.db2.gz LBWRRJJMSLUJJK-HBIQZDMRSA-N -1 1 303.362 1.162 20 0 DDADMM Cc1nc(C)c(F)c(N[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097764445 757508756 /nfs/dbraw/zinc/50/87/56/757508756.db2.gz GXSUMJJREJSMSI-MRVPVSSYSA-N -1 1 319.340 1.564 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)C2CC=CC2)C1 ZINC001017085042 757626693 /nfs/dbraw/zinc/62/66/93/757626693.db2.gz SZXNVXLLRGFRQV-GFCCVEGCSA-N -1 1 319.409 1.147 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NCC1=CCN(C(=O)c2ncccc2[O-])CC1 ZINC001017258053 757766343 /nfs/dbraw/zinc/76/63/43/757766343.db2.gz WTZMQYXNUYKUGC-AAEUAGOBSA-N -1 1 315.373 1.332 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C(C)(C)F ZINC001014893648 758160003 /nfs/dbraw/zinc/16/00/03/758160003.db2.gz MQGRIVAJNYBAED-MNOVXSKESA-N -1 1 323.368 1.645 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)C2(C)CC2)CN1C(=O)c1ncccc1[O-] ZINC001018194165 758619882 /nfs/dbraw/zinc/61/98/82/758619882.db2.gz HXRSQQRXDQAUNH-RYUDHWBXSA-N -1 1 317.389 1.697 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](NCc2cscn2)C1 ZINC001018756524 759227625 /nfs/dbraw/zinc/22/76/25/759227625.db2.gz RXDPAEQXKVARJF-JTQLQIEISA-N -1 1 304.375 1.248 20 0 DDADMM C[C@H](C[C@H]1CCOC1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000826908654 759431430 /nfs/dbraw/zinc/43/14/30/759431430.db2.gz DDPGQONQYVRHMC-NXEZZACHSA-N -1 1 306.391 1.563 20 0 DDADMM Cc1ccsc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001054341295 759512185 /nfs/dbraw/zinc/51/21/85/759512185.db2.gz YXZFZSOPHAJWPG-UWVGGRQHSA-N -1 1 321.406 1.131 20 0 DDADMM C[C@@H]1CN(C(=O)CC2CCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054345825 759520839 /nfs/dbraw/zinc/52/08/39/759520839.db2.gz DAYDXLOKNLYISD-PWSUYJOCSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@@H]1CN(C(=O)CCC2CCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054347729 759522272 /nfs/dbraw/zinc/52/22/72/759522272.db2.gz XJZKUHHOIXOYNC-DGCLKSJQSA-N -1 1 321.425 1.417 20 0 DDADMM CC(C)c1ncsc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000828234702 759565655 /nfs/dbraw/zinc/56/56/55/759565655.db2.gz IRETVUOSNVRKKW-UHFFFAOYSA-N -1 1 306.395 1.799 20 0 DDADMM C[C@H]1CN(C(=O)C2CCCCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054481107 759705045 /nfs/dbraw/zinc/70/50/45/759705045.db2.gz UQKNEQOTOAQDGF-WCQYABFASA-N -1 1 321.425 1.417 20 0 DDADMM CN(C[C@@H]1CCCC[C@H]1O)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000828624650 759707800 /nfs/dbraw/zinc/70/78/00/759707800.db2.gz PJYFOLCEIFEXJE-WCQYABFASA-N -1 1 305.378 1.683 20 0 DDADMM Cc1[nH]nc(C(=O)N2C[C@H](C)[C@H](NCc3nccs3)C2)c1[O-] ZINC001054570979 759829018 /nfs/dbraw/zinc/82/90/18/759829018.db2.gz JWQMNIARPYZDTR-WCBMZHEXSA-N -1 1 321.406 1.131 20 0 DDADMM Cc1conc1CN1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038185486 760901835 /nfs/dbraw/zinc/90/18/35/760901835.db2.gz WUPRNKAAOQIRIM-NSHDSACASA-N -1 1 302.334 1.088 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541229 762946412 /nfs/dbraw/zinc/94/64/12/762946412.db2.gz JDGXMMPPWYDCDO-LLVKDONJSA-N -1 1 303.362 1.022 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001001547054 762950200 /nfs/dbraw/zinc/95/02/00/762950200.db2.gz YIEMOQGPRPTRBM-YPMHNXCESA-N -1 1 317.389 1.412 20 0 DDADMM C[C@]1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C=CCC1 ZINC001001551602 762953296 /nfs/dbraw/zinc/95/32/96/762953296.db2.gz JKJDVZONUDZOQW-KRWDZBQOSA-N -1 1 315.373 1.332 20 0 DDADMM O=C(N[C@@H](CNc1nccnc1F)C1CC1)c1ncccc1[O-] ZINC001109821245 764104228 /nfs/dbraw/zinc/10/42/28/764104228.db2.gz ADCBOYVMISSLQJ-JTQLQIEISA-N -1 1 317.324 1.337 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(CC[C@@H]2CCCO2)C1 ZINC001042646083 764308436 /nfs/dbraw/zinc/30/84/36/764308436.db2.gz IYMSUFNGCOAINR-ZDUSSCGKSA-N -1 1 305.378 1.113 20 0 DDADMM CCCCN1CCN(C(=O)C(C)(C)[N-]C(=O)C(F)(F)F)CC1 ZINC001113671759 766009450 /nfs/dbraw/zinc/00/94/50/766009450.db2.gz DUALTIZONXYXRE-UHFFFAOYSA-N -1 1 323.359 1.388 20 0 DDADMM O=C(C[C@@H]1C=CCCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045088149 766087083 /nfs/dbraw/zinc/08/70/83/766087083.db2.gz DPSVVPMQWSIDGZ-OLZOCXBDSA-N -1 1 319.409 1.337 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccnc(OC(F)F)c3)c2[nH]1 ZINC001170216780 766174873 /nfs/dbraw/zinc/17/48/73/766174873.db2.gz AOBIYBRWSJHXIR-UHFFFAOYSA-N -1 1 310.220 1.456 20 0 DDADMM C[C@@H]1Oc2ccc(Nc3[n-]c(=O)nc4nc[nH]c43)cc2NC1=O ZINC001170232370 766186537 /nfs/dbraw/zinc/18/65/37/766186537.db2.gz JCDAVJWWKZAEEM-LURJTMIESA-N -1 1 312.289 1.522 20 0 DDADMM COc1cc(Nc2[n-]c(=O)nc3nc[nH]c32)ccc1NC(C)=O ZINC001170233157 766186690 /nfs/dbraw/zinc/18/66/90/766186690.db2.gz KORGMZCDVOUWAK-UHFFFAOYSA-N -1 1 314.305 1.769 20 0 DDADMM Cc1nsc(N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001058147947 766274003 /nfs/dbraw/zinc/27/40/03/766274003.db2.gz XJOCYLDPGTVWRY-JTQLQIEISA-N -1 1 319.390 1.346 20 0 DDADMM Cc1ccc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c(Cl)c1 ZINC001129521065 766925992 /nfs/dbraw/zinc/92/59/92/766925992.db2.gz WKUKHAFNQVYVCU-UHFFFAOYSA-N -1 1 317.736 1.940 20 0 DDADMM COc1ccc2nc(Cl)c(C(=O)N(C)c3nn[n-]n3)cc2c1 ZINC001130972233 767825518 /nfs/dbraw/zinc/82/55/18/767825518.db2.gz RWJAACZDKMOYHM-UHFFFAOYSA-N -1 1 318.724 1.687 20 0 DDADMM CCCCCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071951557 770334873 /nfs/dbraw/zinc/33/48/73/770334873.db2.gz ARTIOYLVHPKXBJ-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CSCCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952415 770336319 /nfs/dbraw/zinc/33/63/19/770336319.db2.gz LVFKSCMIPCLYDS-GHMZBOCLSA-N -1 1 323.418 1.117 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])NCc1cnsn1 ZINC001134159945 770709685 /nfs/dbraw/zinc/70/96/85/770709685.db2.gz FWGCNMAGOMTMEA-ZJUUUORDSA-N -1 1 321.406 1.325 20 0 DDADMM C[C@H]1CN(c2cc(C(=O)[O-])ncn2)CCN1Cc1ccccc1 ZINC000396393924 770721017 /nfs/dbraw/zinc/72/10/17/770721017.db2.gz ULSVTQQAQVCREY-ZDUSSCGKSA-N -1 1 312.373 1.886 20 0 DDADMM CNC(=O)C1(NC(=O)c2cc(C)cc(C=O)c2[O-])CCCC1 ZINC001136523438 771994500 /nfs/dbraw/zinc/99/45/00/771994500.db2.gz RLCKTMFWKUTFBS-UHFFFAOYSA-N -1 1 304.346 1.302 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)CNc1ccc(O)cc1 ZINC001144563768 772566610 /nfs/dbraw/zinc/56/66/10/772566610.db2.gz WAKJNSNMPADBHN-UHFFFAOYSA-N -1 1 304.306 1.343 20 0 DDADMM COc1ccc([C@@H](O)C(=O)Nc2n[n-]c(C(F)(F)F)n2)cc1 ZINC001144772866 772617853 /nfs/dbraw/zinc/61/78/53/772617853.db2.gz IBAQYVYJKXXYGF-MRVPVSSYSA-N -1 1 316.239 1.504 20 0 DDADMM Cc1ncc(CO)c(C(=O)NC2CC3(C2)CC(F)(F)C3)c1[O-] ZINC001147843928 773267507 /nfs/dbraw/zinc/26/75/07/773267507.db2.gz IZXPRUWGFHFIAK-UHFFFAOYSA-N -1 1 312.316 1.896 20 0 DDADMM COc1cc(F)cc(CNC(=O)c2c([O-])c(C)ncc2CO)c1 ZINC001147844743 773267615 /nfs/dbraw/zinc/26/76/15/773267615.db2.gz XRDWEPSODFPIHY-UHFFFAOYSA-N -1 1 320.320 1.666 20 0 DDADMM CCc1nc(C)cc(NCCNC(=O)c2ncccc2[O-])n1 ZINC001093562647 774889699 /nfs/dbraw/zinc/88/96/99/774889699.db2.gz AIRLDVDDOMTDFZ-UHFFFAOYSA-N -1 1 301.350 1.290 20 0 DDADMM CCc1ncc(Cl)c(C(=O)[N-]c2nn3cnnc3s2)n1 ZINC001171654742 776388950 /nfs/dbraw/zinc/38/89/50/776388950.db2.gz DBFAZTBFVFNDFD-UHFFFAOYSA-N -1 1 309.742 1.444 20 0 DDADMM CSc1ccnc(NCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001101561112 777250294 /nfs/dbraw/zinc/25/02/94/777250294.db2.gz JCGONRHUTHYHEQ-UHFFFAOYSA-N -1 1 319.390 1.483 20 0 DDADMM CCOC(=O)c1nonc1[N-]c1ccc(C(=O)N(C)C)cc1 ZINC001174004312 777392617 /nfs/dbraw/zinc/39/26/17/777392617.db2.gz WRSZUCDPCSIQBS-UHFFFAOYSA-N -1 1 304.306 1.692 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)C[C@@H]1C=CCC1 ZINC001101714694 777427378 /nfs/dbraw/zinc/42/73/78/777427378.db2.gz LTTVQTOLDCDYKV-JHJVBQTASA-N -1 1 319.409 1.051 20 0 DDADMM CC(=O)NCc1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1 ZINC001174230233 777428916 /nfs/dbraw/zinc/42/89/16/777428916.db2.gz KPGUNBXNYMHGOW-UHFFFAOYSA-N -1 1 305.363 1.433 20 0 DDADMM COc1ccccc1[C@@H]1C[C@H]1C(=O)NCc1n[n-]c(=S)n1C ZINC000066626407 778042814 /nfs/dbraw/zinc/04/28/14/778042814.db2.gz YZUDSKOQJULYBB-WDEREUQCSA-N -1 1 318.402 1.906 20 0 DDADMM Cn1cnnc1CCC(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001177424293 778591632 /nfs/dbraw/zinc/59/16/32/778591632.db2.gz MBKUHVZNUPDHTL-UHFFFAOYSA-N -1 1 312.333 1.278 20 0 DDADMM CC(C)(Cc1ccncc1)C(=O)NCCCC[P@](=O)([O-])O ZINC001178254887 778954024 /nfs/dbraw/zinc/95/40/24/778954024.db2.gz SSLGARFNCRUGQF-UHFFFAOYSA-N -1 1 314.322 1.724 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@H](c3ccccn3)C3CC3)c1-2 ZINC001178231234 778962210 /nfs/dbraw/zinc/96/22/10/778962210.db2.gz GIHGSFFISIVEKL-ZDUSSCGKSA-N -1 1 308.345 1.562 20 0 DDADMM CSCCCNC(=S)NN=c1[n-]ncc(N)c1Cl ZINC001116290734 780494096 /nfs/dbraw/zinc/49/40/96/780494096.db2.gz PKYWVXRFZVHFEL-UHFFFAOYSA-N -1 1 306.848 1.256 20 0 DDADMM CSc1ncc(C(=O)N2CC(C)(C)OCC2(C)C)c(=O)[n-]1 ZINC001417647125 836067465 /nfs/dbraw/zinc/06/74/65/836067465.db2.gz CPSBLBJQBOSAQH-UHFFFAOYSA-N -1 1 311.407 1.934 20 0 DDADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)CCCc1ccccc1 ZINC001267423287 838019391 /nfs/dbraw/zinc/01/93/91/838019391.db2.gz ZIMMLDWOKCFKMN-UHFFFAOYSA-N -1 1 317.393 1.081 20 0 DDADMM CC(C)N(CCCNC(=O)[C@H]1CC[C@H](C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001267510174 838216916 /nfs/dbraw/zinc/21/69/16/838216916.db2.gz FRUAPJPYIDFGFA-STQMWFEESA-N -1 1 323.441 1.663 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@H](C)[C@H]2C)[n-]n1 ZINC001414185451 839006748 /nfs/dbraw/zinc/00/67/48/839006748.db2.gz DECVSLHVRZANQW-IVZWLZJFSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@H](C)[C@H]2C)n[n-]1 ZINC001414185451 839006752 /nfs/dbraw/zinc/00/67/52/839006752.db2.gz DECVSLHVRZANQW-IVZWLZJFSA-N -1 1 315.395 1.299 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2CCCc3c[nH]nc32)sn1 ZINC001414227520 844428155 /nfs/dbraw/zinc/42/81/55/844428155.db2.gz HWSLVTUNAVCWCO-QMMMGPOBSA-N -1 1 314.392 1.231 20 0 DDADMM Cc1n[nH]c(C(=O)NCC=CCNCc2cncc(F)c2)c1[O-] ZINC001268529464 840389678 /nfs/dbraw/zinc/38/96/78/840389678.db2.gz SHEHYXUEHDQTBS-IHWYPQMZSA-N -1 1 319.340 1.034 20 0 DDADMM O=C(C[C@@H]1C=CCCC1)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001269083313 841186510 /nfs/dbraw/zinc/18/65/10/841186510.db2.gz CRMFGHGGIYOFFS-DGCLKSJQSA-N -1 1 319.409 1.241 20 0 DDADMM CN(C1CN(Cc2ccc(Cl)cc2C(=O)[O-])C1)[C@H]1CCOC1 ZINC001142660126 861219567 /nfs/dbraw/zinc/21/95/67/861219567.db2.gz GFWPPBMKPGNRLV-ZDUSSCGKSA-N -1 1 324.808 1.943 20 0 DDADMM C/C=C(/C)C(=O)N[C@]1(CNCc2n[nH]c(=O)[n-]2)CCCC[C@@H]1C ZINC001269656910 841903235 /nfs/dbraw/zinc/90/32/35/841903235.db2.gz HFNHIWRMNCYZAB-CBUKKGQASA-N -1 1 321.425 1.631 20 0 DDADMM CN(C)C(=O)C12CC([N-]C(=O)C(F)(F)Br)(C1)C2 ZINC001269691602 841940032 /nfs/dbraw/zinc/94/00/32/841940032.db2.gz ZNVIWAQFPCLJQH-UHFFFAOYSA-N -1 1 311.126 1.101 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4ccncc4c3)c1-2 ZINC001154594940 861255450 /nfs/dbraw/zinc/25/54/50/861255450.db2.gz SFXVZKRHLCNVEZ-UHFFFAOYSA-N -1 1 304.313 1.835 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CC4(CCN4C)C3)cc2)[n-]1 ZINC001269813446 842073298 /nfs/dbraw/zinc/07/32/98/842073298.db2.gz KHTRQKLYYAMLJC-UHFFFAOYSA-N -1 1 312.373 1.329 20 0 DDADMM CN1C[C@@]2(CCN(C(=O)c3ccc([O-])cc3Cl)C2)OCC1=O ZINC001269983827 842200279 /nfs/dbraw/zinc/20/02/79/842200279.db2.gz ZJUWKWZBGOEUHI-OAHLLOKOSA-N -1 1 324.764 1.119 20 0 DDADMM CN1CC[C@@]2(CCCN2C(=O)c2cc([O-])cc(F)c2F)C1=O ZINC001272249846 844895602 /nfs/dbraw/zinc/89/56/02/844895602.db2.gz NNVYRRQXWKDNJA-HNNXBMFYSA-N -1 1 310.300 1.507 20 0 DDADMM Cn1cnc([N-]C(=O)c2noc3cc(Br)ccc32)n1 ZINC001154897045 861545388 /nfs/dbraw/zinc/54/53/88/861545388.db2.gz ROWRVKOYLJWTEU-UHFFFAOYSA-N -1 1 322.122 1.971 20 0 DDADMM O=C(c1nccc2cccnc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001155085094 861713424 /nfs/dbraw/zinc/71/34/24/861713424.db2.gz HFJZUTNWSMNTEL-NSHDSACASA-N -1 1 309.333 1.163 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2C[C@H]1CCCCO1 ZINC001032368448 847145274 /nfs/dbraw/zinc/14/52/74/847145274.db2.gz CJJKWBYZDDMOFC-MELADBBJSA-N -1 1 317.389 1.255 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)c1ccnc2ccc(O)cc21 ZINC001155139691 861766139 /nfs/dbraw/zinc/76/61/39/861766139.db2.gz LUAKAQPHSQZAED-UHFFFAOYSA-N -1 1 324.273 1.628 20 0 DDADMM CC(=O)NC[C@@H](C)CNC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149657097 861788449 /nfs/dbraw/zinc/78/84/49/861788449.db2.gz QTNOVDHAQRIORZ-SNVBAGLBSA-N -1 1 316.357 1.753 20 0 DDADMM O=C(N[C@@H]1CCCCN(Cc2ccon2)C1)c1ncccc1[O-] ZINC001034174412 848047587 /nfs/dbraw/zinc/04/75/87/848047587.db2.gz BITGSVLYDNLOKI-GFCCVEGCSA-N -1 1 316.361 1.560 20 0 DDADMM COC(=O)[C@]1(C)CCCN(C(=O)c2[n-][nH]c3cc(=O)ccc2-3)C1 ZINC001155266688 861911890 /nfs/dbraw/zinc/91/18/90/861911890.db2.gz NBEFEYDIXRPSTN-MRXNPFEDSA-N -1 1 317.345 1.684 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC001005958948 848610168 /nfs/dbraw/zinc/61/01/68/848610168.db2.gz RQGSYNIGWIQKPA-JFGNBEQYSA-N -1 1 303.362 1.020 20 0 DDADMM COCC1(CNC(=O)c2[n-][nH]c3cc(=O)ccc2-3)CCOCC1 ZINC001155273316 861923611 /nfs/dbraw/zinc/92/36/11/861923611.db2.gz MVDJXHDGAFCULK-UHFFFAOYSA-N -1 1 319.361 1.442 20 0 DDADMM Cc1cccnc1[C@H](C)[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC001434654389 849495669 /nfs/dbraw/zinc/49/56/69/849495669.db2.gz CPDCREWJZIBUGP-NSHDSACASA-N -1 1 317.436 1.547 20 0 DDADMM CC(C)[C@@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001134416303 850673450 /nfs/dbraw/zinc/67/34/50/850673450.db2.gz CTHSDZOTKYUMBX-CQSZACIVSA-N -1 1 317.393 1.156 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2scnc2[nH]1 ZINC001155512187 862176543 /nfs/dbraw/zinc/17/65/43/862176543.db2.gz DLPKABVISUBMNO-UHFFFAOYSA-N -1 1 306.263 1.476 20 0 DDADMM O=C(NC1([P@@](=O)([O-])O)Cc2ccccc2C1)OCCF ZINC001194980705 851229343 /nfs/dbraw/zinc/22/93/43/851229343.db2.gz VBLREEBHUKTCOV-UHFFFAOYSA-N -1 1 303.226 1.355 20 0 DDADMM CCc1nnc([N-]C(=O)c2ccc(S(C)(=O)=O)s2)s1 ZINC001411584326 853411998 /nfs/dbraw/zinc/41/19/98/853411998.db2.gz OJFDPODCNBONLO-UHFFFAOYSA-N -1 1 317.417 1.818 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc(F)c(C(N)=O)c2)c(=O)[n-]1 ZINC001411623917 853478995 /nfs/dbraw/zinc/47/89/95/853478995.db2.gz YGPQZJKUYXWOGJ-UHFFFAOYSA-N -1 1 322.321 1.394 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cc(C(=O)N(C)C)ccc2C)c1[O-] ZINC001411645188 853515202 /nfs/dbraw/zinc/51/52/02/853515202.db2.gz SXQDUOUUSJBDAC-UHFFFAOYSA-N -1 1 302.334 1.686 20 0 DDADMM CC(C)CCNC(=O)[C@@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001411751471 853681974 /nfs/dbraw/zinc/68/19/74/853681974.db2.gz NWAQAKIPPDGBTG-ZDUSSCGKSA-N -1 1 323.418 1.465 20 0 DDADMM CCC[C@@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccn1 ZINC001411785251 853733596 /nfs/dbraw/zinc/73/35/96/853733596.db2.gz ZOAVENYZJCJFJS-YPMHNXCESA-N -1 1 316.365 1.079 20 0 DDADMM COC(=O)[C@H]1C[C@@H](OC)CCN1C(=O)c1cccc([O-])c1F ZINC001411954733 854030223 /nfs/dbraw/zinc/03/02/23/854030223.db2.gz XKTJXSCSOICYBQ-GXSJLCMTSA-N -1 1 311.309 1.324 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccccc1[C@H](O)C(F)(F)F ZINC001411965905 854045486 /nfs/dbraw/zinc/04/54/86/854045486.db2.gz FMONXRINKNBUIK-NSHDSACASA-N -1 1 315.255 1.367 20 0 DDADMM COc1cc(C(N)=O)ccc1NC(=O)c1ccc([O-])c(F)c1 ZINC001412227034 854347705 /nfs/dbraw/zinc/34/77/05/854347705.db2.gz PQKBKQBQXRXOLM-UHFFFAOYSA-N -1 1 304.277 1.891 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](C)c2ccc(C)o2)n[n-]1 ZINC001412325193 854442791 /nfs/dbraw/zinc/44/27/91/854442791.db2.gz BQCILPJYHMOFFF-UWVGGRQHSA-N -1 1 320.349 1.864 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](C)c2ccc(C)o2)[n-]1 ZINC001412325193 854442795 /nfs/dbraw/zinc/44/27/95/854442795.db2.gz BQCILPJYHMOFFF-UWVGGRQHSA-N -1 1 320.349 1.864 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](C)c2ccc(C)o2)n1 ZINC001412325193 854442799 /nfs/dbraw/zinc/44/27/99/854442799.db2.gz BQCILPJYHMOFFF-UWVGGRQHSA-N -1 1 320.349 1.864 20 0 DDADMM C[C@@H]1C(=O)CC[C@@H](C(=O)NCc2nc([O-])cc(=O)[nH]2)C1(C)C ZINC001412376019 854485868 /nfs/dbraw/zinc/48/58/68/854485868.db2.gz MQXXRQBRGBCEEP-BDAKNGLRSA-N -1 1 307.350 1.145 20 0 DDADMM CCOc1ccc(OCC)c(NC(=O)CCc2nn[n-]n2)c1 ZINC001412377014 854487430 /nfs/dbraw/zinc/48/74/30/854487430.db2.gz IZXDGYQTRCCZDI-UHFFFAOYSA-N -1 1 305.338 1.568 20 0 DDADMM COC(=O)[C@]1(C)C[C@H](OC)CN1C(=O)c1cccc([O-])c1F ZINC001412443881 854559751 /nfs/dbraw/zinc/55/97/51/854559751.db2.gz JNZHGDASPHEVII-VFZGTOFNSA-N -1 1 311.309 1.324 20 0 DDADMM CSc1ncc(C(=O)NCC(=O)NC2CCCCC2)c(=O)[n-]1 ZINC001412472269 854596151 /nfs/dbraw/zinc/59/61/51/854596151.db2.gz OTEUXAAAVJILGV-UHFFFAOYSA-N -1 1 324.406 1.083 20 0 DDADMM CC[C@@H](C)c1ccc(C(=O)N[C@@H](COC)c2nn[n-]n2)cc1 ZINC001412605967 854801390 /nfs/dbraw/zinc/80/13/90/854801390.db2.gz LOIZUXIBPOTTDT-MFKMUULPSA-N -1 1 303.366 1.831 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@H](C)Cc2c[nH]cn2)[n-]c1=O ZINC001412659451 854894180 /nfs/dbraw/zinc/89/41/80/854894180.db2.gz SREHQJYXFZQGBV-SECBINFHSA-N -1 1 319.365 1.144 20 0 DDADMM O=C([N-]c1cccc(O)c1F)c1nc(-c2cnccn2)no1 ZINC001151093061 862620046 /nfs/dbraw/zinc/62/00/46/862620046.db2.gz SBEFZHHGWLNBMC-UHFFFAOYSA-N -1 1 301.237 1.624 20 0 DDADMM CSc1ncc(C(=O)NCCC(=O)OC(C)(C)C)c(=O)[n-]1 ZINC001412753605 855106248 /nfs/dbraw/zinc/10/62/48/855106248.db2.gz QLTBUKGGWDUJHT-UHFFFAOYSA-N -1 1 313.379 1.366 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)Nc1ccc(C(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001412842764 855718328 /nfs/dbraw/zinc/71/83/28/855718328.db2.gz AHCRGDXGIQHQDJ-KCJUWKMLSA-N -1 1 300.318 1.962 20 0 DDADMM COc1ccc(OC)c([C@@H]2C[C@@H]2C(=O)Nc2c[n-][nH]c2=O)c1 ZINC001412858936 855731935 /nfs/dbraw/zinc/73/19/35/855731935.db2.gz RISPHILNFXSOBF-ONGXEEELSA-N -1 1 303.318 1.875 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1COc2ccccc21)c1nn[n-]n1 ZINC001412934719 855798781 /nfs/dbraw/zinc/79/87/81/855798781.db2.gz KJRHYIGLADHDCF-NSHDSACASA-N -1 1 301.350 1.507 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCS(=O)(=O)[C@H]2CCC[C@H]21 ZINC001412954039 855811210 /nfs/dbraw/zinc/81/12/10/855811210.db2.gz CNXPGARBNPZDDO-PWSUYJOCSA-N -1 1 313.350 1.323 20 0 DDADMM C[C@@H]1[C@H](NC(=O)CC2(C)CC2)CCN1C(=O)c1ncccc1[O-] ZINC001413032071 855995470 /nfs/dbraw/zinc/99/54/70/855995470.db2.gz NNMQIRORUGVJCC-VXGBXAGGSA-N -1 1 317.389 1.697 20 0 DDADMM CNC(=O)OC[C@H]1CCCCN1C(=O)c1ccc(F)c([O-])c1 ZINC001413040122 856022051 /nfs/dbraw/zinc/02/20/51/856022051.db2.gz JLOXXJSGORKNJX-LLVKDONJSA-N -1 1 310.325 1.882 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2sccc2OC)n[n-]1 ZINC001413269892 856596533 /nfs/dbraw/zinc/59/65/33/856596533.db2.gz KCGLADCZYMIVIJ-SSDOTTSWSA-N -1 1 324.362 1.543 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2sccc2OC)[n-]1 ZINC001413269892 856596535 /nfs/dbraw/zinc/59/65/35/856596535.db2.gz KCGLADCZYMIVIJ-SSDOTTSWSA-N -1 1 324.362 1.543 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2sccc2OC)n1 ZINC001413269892 856596539 /nfs/dbraw/zinc/59/65/39/856596539.db2.gz KCGLADCZYMIVIJ-SSDOTTSWSA-N -1 1 324.362 1.543 20 0 DDADMM Cc1cn(-c2ccc(NCC[N-]C(=O)C(F)(F)F)nn2)cn1 ZINC001156203625 862815342 /nfs/dbraw/zinc/81/53/42/862815342.db2.gz UIOPFXHSPWQMQC-UHFFFAOYSA-N -1 1 314.271 1.061 20 0 DDADMM C[C@@H](CSC(F)(F)F)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001413427094 856796441 /nfs/dbraw/zinc/79/64/41/856796441.db2.gz MVEFWXVIBAKRAW-ZETCQYMHSA-N -1 1 323.344 1.795 20 0 DDADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)C[C@H](C)C1CC1 ZINC001413439009 856812114 /nfs/dbraw/zinc/81/21/14/856812114.db2.gz RTMWLSWSMPVDEG-ONGXEEELSA-N -1 1 309.453 1.247 20 0 DDADMM O=C(c1cccc([O-])c1F)N1C[C@H](O)CC2(CCOCC2)C1 ZINC001413466323 856847787 /nfs/dbraw/zinc/84/77/87/856847787.db2.gz PZXSJMRWBSEEJY-LLVKDONJSA-N -1 1 309.337 1.535 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)CC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001413502569 856897185 /nfs/dbraw/zinc/89/71/85/856897185.db2.gz JQIXNLXLXQQQRX-JTQLQIEISA-N -1 1 319.413 1.718 20 0 DDADMM O=C(Cc1ccc(Cl)c(F)c1)NC1(c2nn[n-]n2)CCC1 ZINC001413512541 856914549 /nfs/dbraw/zinc/91/45/49/856914549.db2.gz YIDLWGKKIUAXGE-UHFFFAOYSA-N -1 1 309.732 1.730 20 0 DDADMM CCn1ccc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)n1 ZINC001413625353 857254402 /nfs/dbraw/zinc/25/44/02/857254402.db2.gz QLUFEYQUUGISGY-UHFFFAOYSA-N -1 1 305.338 1.072 20 0 DDADMM COc1cnc(C2(NC(=O)c3cnc(C)[nH]3)CCCC2)[n-]c1=O ZINC001413705141 857377026 /nfs/dbraw/zinc/37/70/26/857377026.db2.gz HRIAJBPBNINBRA-UHFFFAOYSA-N -1 1 317.349 1.422 20 0 DDADMM O=C(N[C@@H](CO)C(F)(F)F)c1c([O-])cnc2c(F)cccc21 ZINC001413747062 858285981 /nfs/dbraw/zinc/28/59/81/858285981.db2.gz ULIFADIUVVTKNL-VIFPVBQESA-N -1 1 318.226 1.733 20 0 DDADMM CCN(C(=O)c1cc[nH]c1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001413784315 858528587 /nfs/dbraw/zinc/52/85/87/858528587.db2.gz JRBLVWBZDPXCGK-LLVKDONJSA-N -1 1 316.361 1.396 20 0 DDADMM COc1ccccc1C(C)=CC(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123869878 859449682 /nfs/dbraw/zinc/44/96/82/859449682.db2.gz UXAWMMZRSYZMPP-LMMOQWNQSA-N -1 1 313.361 1.628 20 0 DDADMM O=C(N[C@@H](CO)Cc1ccc(O)cc1)C(=O)c1ccc([O-])cc1 ZINC001137777916 859976856 /nfs/dbraw/zinc/97/68/56/859976856.db2.gz KSWBPIOZIYGDRF-CYBMUJFWSA-N -1 1 315.325 1.000 20 0 DDADMM O=C([O-])Cn1cc(CN2CCC3(C2)OCCO3)c2ccccc21 ZINC001138193305 860039514 /nfs/dbraw/zinc/03/95/14/860039514.db2.gz XHYHUMLRHXCGGG-UHFFFAOYSA-N -1 1 316.357 1.675 20 0 DDADMM Cn1ccc2cc(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)ccc21 ZINC001149231131 860208424 /nfs/dbraw/zinc/20/84/24/860208424.db2.gz LSFKAZSYRGDJGN-UHFFFAOYSA-N -1 1 308.301 1.803 20 0 DDADMM COc1cc2c(cn1)CC[N@H+](Cc1ccc(-c3nn[nH]n3)cc1)C2 ZINC001139095636 860284006 /nfs/dbraw/zinc/28/40/06/860284006.db2.gz CHVXNWKADLXEMA-UHFFFAOYSA-N -1 1 322.372 1.829 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1CN(Cc2ccncc2[O-])CCO1 ZINC001140274216 860605656 /nfs/dbraw/zinc/60/56/56/860605656.db2.gz XEABMFFIMLLAFM-ZDUSSCGKSA-N -1 1 323.393 1.513 20 0 DDADMM Cc1[nH]c(CN2CCC[C@]3(CCCC(=O)N3)C2)c(C)c1C(=O)[O-] ZINC001140494550 860645351 /nfs/dbraw/zinc/64/53/51/860645351.db2.gz GIINRLKZTKDKHL-QGZVFWFLSA-N -1 1 319.405 1.964 20 0 DDADMM CCS[C@H](C)c1noc(CN(C)C(=O)c2cnncc2[O-])n1 ZINC001362154047 883166805 /nfs/dbraw/zinc/16/68/05/883166805.db2.gz MIONQAYKMOVXDD-MRVPVSSYSA-N -1 1 323.378 1.652 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CCn2cncc2C1 ZINC001141738220 860924526 /nfs/dbraw/zinc/92/45/26/860924526.db2.gz ZVRPRJAVSWROPA-UHFFFAOYSA-N -1 1 312.304 1.932 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ncoc3C(C)C)nc2n1 ZINC001328713209 863164630 /nfs/dbraw/zinc/16/46/30/863164630.db2.gz ZNNGOPWVHOYATB-UHFFFAOYSA-N -1 1 316.321 1.344 20 0 DDADMM CCOC(=O)N1CCC[C@H](OCCCC[P@](=O)([O-])O)C1 ZINC001225021133 881643414 /nfs/dbraw/zinc/64/34/14/881643414.db2.gz DMTXZMSYZONWKN-NSHDSACASA-N -1 1 309.299 1.582 20 0 DDADMM O=C(c1oc2ccccc2c1CO)N1CC[C@H](c2nn[n-]n2)C1 ZINC001153001732 863644720 /nfs/dbraw/zinc/64/47/20/863644720.db2.gz NUTKBSCXNJEDKG-VIFPVBQESA-N -1 1 313.317 1.068 20 0 DDADMM CCC[C@H](OCC)C(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1 ZINC001361462467 881699907 /nfs/dbraw/zinc/69/99/07/881699907.db2.gz VJWXHJSSQPKASJ-JTQLQIEISA-N -1 1 307.354 1.124 20 0 DDADMM CCN(C)c1ncc(NC(=O)c2cnc3cccnc3c2[O-])cn1 ZINC001153850433 864155071 /nfs/dbraw/zinc/15/50/71/864155071.db2.gz BNCGMQIWIVFTOD-UHFFFAOYSA-N -1 1 324.344 1.422 20 0 DDADMM Cn1nnc2c1nccc2C(=O)Nc1ccc([O-])c(F)c1F ZINC001157811583 864162437 /nfs/dbraw/zinc/16/24/37/864162437.db2.gz JBBAYBCJPFFIGU-UHFFFAOYSA-N -1 1 305.244 1.599 20 0 DDADMM O=C(NCc1nccc(Cl)n1)c1c[n-]c2cccnc2c1=O ZINC001153862055 864171791 /nfs/dbraw/zinc/17/17/91/864171791.db2.gz NLXDDZOEHGBTIO-UHFFFAOYSA-N -1 1 315.720 1.297 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)[C@@H]1CC[C@@H](C)CC1 ZINC001381436717 881769586 /nfs/dbraw/zinc/76/95/86/881769586.db2.gz LPJVTPVEPWEXNV-WISYIIOYSA-N -1 1 309.414 1.319 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCOCc1ccccc1 ZINC001331525144 865135923 /nfs/dbraw/zinc/13/59/23/865135923.db2.gz KNUBTPNEPBUCAS-UHFFFAOYSA-N -1 1 303.366 1.246 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc4ncc(F)cc4n3)c2[nH]1 ZINC001159189975 865156121 /nfs/dbraw/zinc/15/61/21/865156121.db2.gz PYLAUCXYZLLGAA-UHFFFAOYSA-N -1 1 313.252 1.542 20 0 DDADMM Cc1cc2cccnc2c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159195976 865160425 /nfs/dbraw/zinc/16/04/25/865160425.db2.gz TZKIFMUZGFFFIQ-UHFFFAOYSA-N -1 1 309.289 1.711 20 0 DDADMM CSc1ncc(C(=O)Nc2cccc3[nH]c(=O)[nH]c32)c(=O)[n-]1 ZINC001160381685 865805825 /nfs/dbraw/zinc/80/58/25/865805825.db2.gz GQBZJSBCROYJKQ-UHFFFAOYSA-N -1 1 317.330 1.738 20 0 DDADMM C/C=C\C[C@H](CO)[N-]S(=O)(=O)c1c(F)cc(F)cc1OC ZINC001320467344 866760261 /nfs/dbraw/zinc/76/02/61/866760261.db2.gz YAROWFLJBHSAOL-UMBAGQNISA-N -1 1 321.345 1.579 20 0 DDADMM O=S(=O)([N-]Cc1ccno1)c1cnc(Cl)cc1Cl ZINC001323848314 866892863 /nfs/dbraw/zinc/89/28/63/866892863.db2.gz XJQSQICPYFMELF-UHFFFAOYSA-N -1 1 308.146 1.855 20 0 DDADMM C[C@@]1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CCC(=O)N1 ZINC001361637506 882060836 /nfs/dbraw/zinc/06/08/36/882060836.db2.gz PSTNEIONYZBPAW-HNNXBMFYSA-N -1 1 300.318 1.390 20 0 DDADMM CC=C(C)C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001324463802 867291516 /nfs/dbraw/zinc/29/15/16/867291516.db2.gz FFJIBNIQRREMAI-UOLPYZNQSA-N -1 1 307.398 1.241 20 0 DDADMM Cc1cc2c(c(NCc3cc4ccccn4n3)n1)C(=O)[N-]C2=O ZINC001162287069 867410936 /nfs/dbraw/zinc/41/09/36/867410936.db2.gz ULWDYQYGCLQRSF-UHFFFAOYSA-N -1 1 307.313 1.533 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)Cn2ccc(C)n2)n1 ZINC001361649127 882085831 /nfs/dbraw/zinc/08/58/31/882085831.db2.gz FYTDKVFDLXOAEZ-VIFPVBQESA-N -1 1 305.338 1.366 20 0 DDADMM O=C(Nc1ncc(Br)s1)c1cnncc1[O-] ZINC001361670501 882126199 /nfs/dbraw/zinc/12/61/99/882126199.db2.gz RQQPJWFVSWQMAK-UHFFFAOYSA-N -1 1 301.125 1.654 20 0 DDADMM Cn1nc(-n2c([O-])c(CC(F)(F)F)[nH]c2=O)c2ccccc21 ZINC001335199801 868129949 /nfs/dbraw/zinc/12/99/49/868129949.db2.gz PGEFFFSZPNDMNO-MRVPVSSYSA-N -1 1 312.251 1.951 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@H](Oc2nc(=O)[n-]cc2C(C)=O)C1 ZINC001226238675 882300798 /nfs/dbraw/zinc/30/07/98/882300798.db2.gz WMWWQAVADWNNRZ-QWRGUYRKSA-N -1 1 308.334 1.886 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H](CC)OCC)[n-]c1Cl ZINC001361764183 882321313 /nfs/dbraw/zinc/32/13/13/882321313.db2.gz CDNZPRZRUPNTFE-SSDOTTSWSA-N -1 1 303.746 1.993 20 0 DDADMM Cn1c(-c2nc(Cl)n[n-]2)nnc1N1C[C@@H]2CC=CC[C@@H]2C1 ZINC001337927378 869695592 /nfs/dbraw/zinc/69/55/92/869695592.db2.gz CYXKOPLFPJPAKL-DTORHVGOSA-N -1 1 305.773 1.656 20 0 DDADMM CC[C@@H]1CN(c2nnc(-c3cc(Cl)ncc3[O-])n2C)CCO1 ZINC001338182004 869854853 /nfs/dbraw/zinc/85/48/53/869854853.db2.gz ONYMCCZEWUIUMA-SECBINFHSA-N -1 1 323.784 1.851 20 0 DDADMM CO[C@H]1CC[C@H]1N(C)c1nnc(-c2cc(Cl)ncc2[O-])n1C ZINC001338337936 869929075 /nfs/dbraw/zinc/92/90/75/869929075.db2.gz GZSMEOSVQKTGLJ-KOLCDFICSA-N -1 1 323.784 1.850 20 0 DDADMM COc1ccc(NC(=O)c2ncc[nH]2)cc1[N-]S(C)(=O)=O ZINC001361779810 882358702 /nfs/dbraw/zinc/35/87/02/882358702.db2.gz GAGKYTYSSPUXRX-UHFFFAOYSA-N -1 1 310.335 1.042 20 0 DDADMM C[C@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)c1ccccn1 ZINC001166539315 870047787 /nfs/dbraw/zinc/04/77/87/870047787.db2.gz MKPTZZXTEFAITI-SNVBAGLBSA-N -1 1 313.386 1.947 20 0 DDADMM CCC(CC)(NC(=O)C=Cc1ccc(O)cc1)c1nn[n-]n1 ZINC001297487154 870073393 /nfs/dbraw/zinc/07/33/93/870073393.db2.gz DXENPYHJMJQBMK-YFHOEESVSA-N -1 1 301.350 1.750 20 0 DDADMM Cc1cc(C)cc(CN(C)c2nnc(-c3noc(=O)[n-]3)n2C)c1 ZINC001338717732 870129223 /nfs/dbraw/zinc/12/92/23/870129223.db2.gz CHGKDIUPPOUARA-UHFFFAOYSA-N -1 1 314.349 1.412 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@]2(CC=CCC2)C1 ZINC001338722459 870132789 /nfs/dbraw/zinc/13/27/89/870132789.db2.gz YMSXQJFUXRTDBA-CQSZACIVSA-N -1 1 300.370 1.322 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@]2(CC=CCC2)C1 ZINC001338722459 870132798 /nfs/dbraw/zinc/13/27/98/870132798.db2.gz YMSXQJFUXRTDBA-CQSZACIVSA-N -1 1 300.370 1.322 20 0 DDADMM C/C=C(/C)C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C(C)C ZINC001297962002 870218899 /nfs/dbraw/zinc/21/88/99/870218899.db2.gz QIARHRPLXKLFBG-QJGQKNTRSA-N -1 1 305.378 1.624 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2sccc2C1 ZINC001339259820 870426275 /nfs/dbraw/zinc/42/62/75/870426275.db2.gz GAMNJYZFTHGDLG-UHFFFAOYSA-N -1 1 302.367 1.102 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2sccc2C1 ZINC001339259820 870426285 /nfs/dbraw/zinc/42/62/85/870426285.db2.gz GAMNJYZFTHGDLG-UHFFFAOYSA-N -1 1 302.367 1.102 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1ccc([O-])cn1)c1ccc(C)cc1 ZINC001361808043 882411988 /nfs/dbraw/zinc/41/19/88/882411988.db2.gz JUXNKZOKXAQFJF-AWEZNQCLSA-N -1 1 314.341 1.782 20 0 DDADMM COC[C@H]1Cn2nccc2CN(Cc2ccc(C(=O)[O-])cc2)C1 ZINC001204155067 870966817 /nfs/dbraw/zinc/96/68/17/870966817.db2.gz SNKOHFOMCHSPLV-CQSZACIVSA-N -1 1 315.373 1.860 20 0 DDADMM O=C(c1ccc2[nH]c(=O)oc2c1)N1CCC(c2nn[n-]n2)CC1 ZINC001302752733 871082087 /nfs/dbraw/zinc/08/20/87/871082087.db2.gz CZVNIDVYNWDXAT-UHFFFAOYSA-N -1 1 314.305 1.066 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1c[nH]c2ccccc12 ZINC001304096966 871188055 /nfs/dbraw/zinc/18/80/55/871188055.db2.gz XXRKOGOOVWCPOK-UHFFFAOYSA-N -1 1 310.313 1.637 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(c2cccnn2)CC1 ZINC000162968334 871277446 /nfs/dbraw/zinc/27/74/46/871277446.db2.gz AQKUGNJWXLENBY-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM O=C(Nc1cn(-c2ccccc2)nn1)c1cnc(C2CC2)[n-]c1=O ZINC001305992875 871315090 /nfs/dbraw/zinc/31/50/90/871315090.db2.gz GGPOCCRCWREOPI-UHFFFAOYSA-N -1 1 322.328 1.893 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nnc(-c3ccccc3)o2)o1 ZINC001341230432 871565976 /nfs/dbraw/zinc/56/59/76/871565976.db2.gz UHWPXBWVCDJMCH-UHFFFAOYSA-N -1 1 305.315 1.905 20 0 DDADMM O=S(=O)([N-]Cc1cnccn1)c1cc(Cl)cnc1Cl ZINC001309949857 871611625 /nfs/dbraw/zinc/61/16/25/871611625.db2.gz YBGFQEQOCAKDST-UHFFFAOYSA-N -1 1 319.173 1.657 20 0 DDADMM COC(=O)N(C)CCCC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001361868601 882537769 /nfs/dbraw/zinc/53/77/69/882537769.db2.gz CDVODETXSUJVKH-UHFFFAOYSA-N -1 1 322.365 1.478 20 0 DDADMM O=c1nc(OC[C@@H]2CCO2)c2c([n-]1)CN(Cc1ccccc1)C2 ZINC001226619920 882543816 /nfs/dbraw/zinc/54/38/16/882543816.db2.gz SIAMLDHDYWDKNT-ZDUSSCGKSA-N -1 1 313.357 1.866 20 0 DDADMM Cc1ccc(CN(C)c2nnc(-c3noc(=O)[n-]3)n2C)cc1C ZINC001341866778 871866892 /nfs/dbraw/zinc/86/68/92/871866892.db2.gz NAUNXQPTNSMHBK-UHFFFAOYSA-N -1 1 314.349 1.412 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H](CC(F)F)C(=O)Nc1c[n-][nH]c1=O ZINC001413844749 871888095 /nfs/dbraw/zinc/88/80/95/871888095.db2.gz JNEDVPMGOUQPNJ-LURJTMIESA-N -1 1 320.296 1.602 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CC=C(C(=O)Nc2c[n-][nH]c2=O)C1 ZINC001413845935 871927732 /nfs/dbraw/zinc/92/77/32/871927732.db2.gz KXYNVVOQSUHGMB-VIFPVBQESA-N -1 1 308.338 1.667 20 0 DDADMM CCCN(CC)c1nnc(-c2nnn[n-]2)n1Cc1ccccc1 ZINC001342285316 872126324 /nfs/dbraw/zinc/12/63/24/872126324.db2.gz NRLROVYHWLDZHA-UHFFFAOYSA-N -1 1 312.381 1.743 20 0 DDADMM CCCN(CC)c1nnc(-c2nn[n-]n2)n1Cc1ccccc1 ZINC001342285316 872126332 /nfs/dbraw/zinc/12/63/32/872126332.db2.gz NRLROVYHWLDZHA-UHFFFAOYSA-N -1 1 312.381 1.743 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1Cc2cccc(OC)c2C1 ZINC001342592493 872281094 /nfs/dbraw/zinc/28/10/94/872281094.db2.gz RUUTVSJBVHYLND-UHFFFAOYSA-N -1 1 312.337 1.007 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1Cc2cccc(OC)c2C1 ZINC001342592493 872281109 /nfs/dbraw/zinc/28/11/09/872281109.db2.gz RUUTVSJBVHYLND-UHFFFAOYSA-N -1 1 312.337 1.007 20 0 DDADMM O=C(N[C@H]1CCCCNC1=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001361918305 882637750 /nfs/dbraw/zinc/63/77/50/882637750.db2.gz OLORCZFZRVBTEM-JTQLQIEISA-N -1 1 316.279 1.810 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N1CCc2ccsc2C1 ZINC001343591692 872680503 /nfs/dbraw/zinc/68/05/03/872680503.db2.gz FHLRGFGQPTYWGE-UHFFFAOYSA-N -1 1 318.362 1.266 20 0 DDADMM CCCC(=O)Nc1cccc(NC(=O)CCc2nn[n-]n2)c1C ZINC001361961296 882715749 /nfs/dbraw/zinc/71/57/49/882715749.db2.gz VKPAUCIEJMDKRP-UHFFFAOYSA-N -1 1 316.365 1.818 20 0 DDADMM Cc1c(CS(C)(=O)=O)cccc1NC(=O)c1cnncc1[O-] ZINC001361971137 882735532 /nfs/dbraw/zinc/73/55/32/882735532.db2.gz VIOGFMJZRRWBQO-UHFFFAOYSA-N -1 1 321.358 1.288 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@H](O)CC(C)(C)C1 ZINC001347026514 873981347 /nfs/dbraw/zinc/98/13/47/873981347.db2.gz UTEQAIOIJGPGIL-SECBINFHSA-N -1 1 311.407 1.402 20 0 DDADMM COCCC1(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)CCC1 ZINC001347030354 873982660 /nfs/dbraw/zinc/98/26/60/873982660.db2.gz MLFILTXKPSGMJK-UHFFFAOYSA-N -1 1 311.407 1.716 20 0 DDADMM Cc1cc(C)c2cc(C(=O)[N-]N3CC(=O)N(C)C3=O)sc2n1 ZINC001347102376 874012544 /nfs/dbraw/zinc/01/25/44/874012544.db2.gz BSZJODZNKDRQFW-UHFFFAOYSA-N -1 1 318.358 1.452 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001347661726 874231733 /nfs/dbraw/zinc/23/17/33/874231733.db2.gz SDWGGTQSBMEQJW-FMFIFOJESA-N -1 1 313.361 1.400 20 0 DDADMM O=C(Cc1cc(Br)ccc1Cl)Nc1nnn[n-]1 ZINC001362008610 882812997 /nfs/dbraw/zinc/81/29/97/882812997.db2.gz QZEBVIGKZJNBRM-UHFFFAOYSA-N -1 1 316.546 1.797 20 0 DDADMM O=C(Cc1cc(Br)ccc1Cl)Nc1nn[n-]n1 ZINC001362008610 882813006 /nfs/dbraw/zinc/81/30/06/882813006.db2.gz QZEBVIGKZJNBRM-UHFFFAOYSA-N -1 1 316.546 1.797 20 0 DDADMM Cc1cc(C(=O)NCCNC(=O)c2ncccc2[O-])c(C)o1 ZINC001348445514 874564371 /nfs/dbraw/zinc/56/43/71/874564371.db2.gz WPDDNBABLUNAEO-UHFFFAOYSA-N -1 1 303.318 1.157 20 0 DDADMM CSc1ccc(NC(=O)CNC(=O)c2ncccc2[O-])cn1 ZINC001362033436 882881995 /nfs/dbraw/zinc/88/19/95/882881995.db2.gz SIEJUPIDURBTRF-UHFFFAOYSA-N -1 1 318.358 1.273 20 0 DDADMM CC(C)CCCCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210197961 875266183 /nfs/dbraw/zinc/26/61/83/875266183.db2.gz FWXKMWHQHJCHSE-CYBMUJFWSA-N -1 1 323.441 1.807 20 0 DDADMM CN(C)c1nc(Nc2cncc(N3CCCC3)c2)cc(=O)[n-]1 ZINC001210607999 875456453 /nfs/dbraw/zinc/45/64/53/875456453.db2.gz CVCSDYYZTVXFPI-UHFFFAOYSA-N -1 1 300.366 1.987 20 0 DDADMM C[C@H](O)c1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1 ZINC001210650376 875470903 /nfs/dbraw/zinc/47/09/03/875470903.db2.gz DETXFYVEQNITSV-VIFPVBQESA-N -1 1 307.375 1.705 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H](c1ccncc1)C1CCCCC1 ZINC001362060100 882937378 /nfs/dbraw/zinc/93/73/78/882937378.db2.gz RCTJQBBGGPDNOY-CQSZACIVSA-N -1 1 300.366 1.575 20 0 DDADMM COc1cncc(-c2noc(-c3nccc(OC)c3[O-])n2)c1 ZINC001213326371 875899646 /nfs/dbraw/zinc/89/96/46/875899646.db2.gz LIVSHSSPDDUJNC-UHFFFAOYSA-N -1 1 300.274 1.916 20 0 DDADMM COc1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)ccn1 ZINC001350837741 875919099 /nfs/dbraw/zinc/91/90/99/875919099.db2.gz KEYROPIGQCIZIV-ZDUSSCGKSA-N -1 1 310.317 1.123 20 0 DDADMM CCOC(=O)C[C@H](Oc1[n-]c(=S)ncc1F)C(=O)OCC ZINC001227384096 882990824 /nfs/dbraw/zinc/99/08/24/882990824.db2.gz WJLGDDDSFCJPAG-QMMMGPOBSA-N -1 1 318.326 1.168 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@H]1CCCC1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001378987264 876080592 /nfs/dbraw/zinc/08/05/92/876080592.db2.gz ZQRISZXCXVYKLT-VXGBXAGGSA-N -1 1 323.441 1.567 20 0 DDADMM Cc1nnc([C@H](C)N[C@@H](C)CN(C)C(=O)c2ncccc2[O-])o1 ZINC001379098910 876393759 /nfs/dbraw/zinc/39/37/59/876393759.db2.gz LZMHPEIKGUKVFF-UWVGGRQHSA-N -1 1 319.365 1.290 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCOC1CCOCC1 ZINC001351810150 876442768 /nfs/dbraw/zinc/44/27/68/876442768.db2.gz PDOFQESUTJGZKR-UHFFFAOYSA-N -1 1 313.781 1.900 20 0 DDADMM CCNC(=O)c1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c(F)c1 ZINC001214885858 876494314 /nfs/dbraw/zinc/49/43/14/876494314.db2.gz BHIUYUBERZBGAD-UHFFFAOYSA-N -1 1 323.353 1.686 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)[C@@H]1CCc2ccccc21 ZINC001352386811 876720056 /nfs/dbraw/zinc/72/00/56/876720056.db2.gz OEBUQMXQYSVEBR-CYBMUJFWSA-N -1 1 324.392 1.526 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)[C@@H]1CCc2ccccc21 ZINC001352386811 876720064 /nfs/dbraw/zinc/72/00/64/876720064.db2.gz OEBUQMXQYSVEBR-CYBMUJFWSA-N -1 1 324.392 1.526 20 0 DDADMM COCCCCNC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001352415246 876733499 /nfs/dbraw/zinc/73/34/99/876733499.db2.gz GWBHOWKVMYNYDR-UHFFFAOYSA-N -1 1 314.769 1.205 20 0 DDADMM CSc1ncc(C(=O)NCc2cccc(CO)c2)c(=O)[n-]1 ZINC001362279158 883468971 /nfs/dbraw/zinc/46/89/71/883468971.db2.gz GVBBHSZAPNUAGO-UHFFFAOYSA-N -1 1 305.359 1.326 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cc(F)c(F)c(F)c1N ZINC001216011169 876842156 /nfs/dbraw/zinc/84/21/56/876842156.db2.gz WXABXRVHOLLQJT-UHFFFAOYSA-N -1 1 303.269 1.796 20 0 DDADMM COc1ncc(Nc2ncccc2O)cc1[N-]S(C)(=O)=O ZINC001216152510 876880931 /nfs/dbraw/zinc/88/09/31/876880931.db2.gz WXQPUXODAFQHSK-UHFFFAOYSA-N -1 1 310.335 1.306 20 0 DDADMM COc1cc(CO)cc([N-]S(=O)(=O)c2cccc(N)c2)c1 ZINC001216202404 876889513 /nfs/dbraw/zinc/88/95/13/876889513.db2.gz SJDVNVISPAKZIZ-UHFFFAOYSA-N -1 1 308.359 1.571 20 0 DDADMM CCOC(=O)c1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cn1 ZINC001216610199 876996646 /nfs/dbraw/zinc/99/66/46/876996646.db2.gz MQXUDDACMZWODI-UHFFFAOYSA-N -1 1 307.335 1.368 20 0 DDADMM CSc1nc(Nc2cncc(N3CCOCC3)c2)cc(=O)[n-]1 ZINC001216628274 876999698 /nfs/dbraw/zinc/99/96/98/876999698.db2.gz VHDNTOQLRWXLIN-UHFFFAOYSA-N -1 1 319.390 1.879 20 0 DDADMM CCO[C@@H](CC)C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001395562889 912283982 /nfs/dbraw/zinc/28/39/82/912283982.db2.gz WMMJVFNCWLBKLH-AAEUAGOBSA-N -1 1 323.393 1.179 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@H]1CCNc2ccccc21 ZINC001220471661 879044403 /nfs/dbraw/zinc/04/44/03/879044403.db2.gz WBWRQBBTDVYHDG-VIFPVBQESA-N -1 1 310.317 1.596 20 0 DDADMM CC[C@@H](C)CC(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001380281829 879224735 /nfs/dbraw/zinc/22/47/35/879224735.db2.gz OXZMDOPDOPHLTQ-PNESKVBLSA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C(=O)C(C)(C)C ZINC001356881116 879530641 /nfs/dbraw/zinc/53/06/41/879530641.db2.gz TUGYNHXCDCPZDK-SNVBAGLBSA-N -1 1 321.377 1.027 20 0 DDADMM C[C@@H](NC(=O)C1(C)CCCC1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001380444641 879669324 /nfs/dbraw/zinc/66/93/24/879669324.db2.gz VRGYBYREYJUPFQ-NWDGAFQWSA-N -1 1 319.405 1.991 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1ccc[nH]1 ZINC001380692149 880203990 /nfs/dbraw/zinc/20/39/90/880203990.db2.gz OVEHUGKANFAIAC-JTQLQIEISA-N -1 1 302.334 1.006 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001380938088 880695334 /nfs/dbraw/zinc/69/53/34/880695334.db2.gz LYWFOTOWERVGBS-QJPTWQEYSA-N -1 1 305.378 1.410 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(C[C@@H]3COC4(CCCCC4)O3)C2)n[nH]1 ZINC001414000897 880780199 /nfs/dbraw/zinc/78/01/99/880780199.db2.gz IZEYLKRSBNJUHI-QWHCGFSZSA-N -1 1 322.409 1.766 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(C2CC2)CC1)NC(=O)c1ncccc1[O-] ZINC001382041017 883230257 /nfs/dbraw/zinc/23/02/57/883230257.db2.gz KTECRSFQSFYTJD-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)[C@@H](F)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228069276 883316808 /nfs/dbraw/zinc/31/68/08/883316808.db2.gz IRFZEYTYBJJGEB-CKYFFXLPSA-N -1 1 313.377 1.021 20 0 DDADMM COC(=O)c1n[n-]c(OCc2cc(OC)ccc2OC(C)C)n1 ZINC001228311978 883441542 /nfs/dbraw/zinc/44/15/42/883441542.db2.gz PFIJLYFPMVNEJD-UHFFFAOYSA-N -1 1 321.333 1.966 20 0 DDADMM COC(=O)c1nc(OCc2cc(OC)ccc2OC(C)C)n[n-]1 ZINC001228311978 883441548 /nfs/dbraw/zinc/44/15/48/883441548.db2.gz PFIJLYFPMVNEJD-UHFFFAOYSA-N -1 1 321.333 1.966 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H]1CCC2(C1)OCCO2 ZINC001362283409 883478001 /nfs/dbraw/zinc/47/80/01/883478001.db2.gz GLFUGCBAFUWVPJ-NSHDSACASA-N -1 1 311.765 2.000 20 0 DDADMM CC(C)n1cccc1C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001382162745 883550224 /nfs/dbraw/zinc/55/02/24/883550224.db2.gz OGPZPTWHCMNBED-LLVKDONJSA-N -1 1 320.397 1.143 20 0 DDADMM S=c1nncc(OC[C@@H]2CN(Cc3ccccc3)CCO2)[n-]1 ZINC001228641462 883587766 /nfs/dbraw/zinc/58/77/66/883587766.db2.gz DQHVQDJUHGYAJA-ZDUSSCGKSA-N -1 1 318.402 1.440 20 0 DDADMM S=c1nncc(OC2CCN(c3ccc(Cl)nn3)CC2)[n-]1 ZINC001228640958 883590047 /nfs/dbraw/zinc/59/00/47/883590047.db2.gz WINSHXKCVYIQJF-UHFFFAOYSA-N -1 1 324.797 1.652 20 0 DDADMM COC(=O)Cn1ncc(NC(=O)c2cccc([O-])c2F)c1C ZINC001362372724 883666660 /nfs/dbraw/zinc/66/66/60/883666660.db2.gz YFVBKVMNJARFSP-UHFFFAOYSA-N -1 1 307.281 1.462 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccn(C(C)C)n1)c1nn[n-]n1 ZINC001362430666 883791957 /nfs/dbraw/zinc/79/19/57/883791957.db2.gz ZSZSMANXECUHJE-UHFFFAOYSA-N -1 1 305.386 1.351 20 0 DDADMM CSc1ncc(C(=O)NC(C)(C)c2nc(C)no2)c(=O)[n-]1 ZINC001362431796 883794901 /nfs/dbraw/zinc/79/49/01/883794901.db2.gz JQRSJZHMCGFXSB-UHFFFAOYSA-N -1 1 309.351 1.261 20 0 DDADMM Cc1cccc(OC[C@H](O)CNC(=O)c2ccc([O-])cn2)c1 ZINC001362440153 883812808 /nfs/dbraw/zinc/81/28/08/883812808.db2.gz IBNCCPJMUAHUHW-CYBMUJFWSA-N -1 1 302.330 1.265 20 0 DDADMM COc1cc2ccc(=O)oc2c(O[C@H]2C(=O)OCC2(C)C)c1[O-] ZINC001229219377 883879090 /nfs/dbraw/zinc/87/90/90/883879090.db2.gz TUWIBVSYBUNANN-AWEZNQCLSA-N -1 1 320.297 1.838 20 0 DDADMM Cc1cccnc1NC(=O)CCNC(=O)c1cccc([O-])c1F ZINC001362533286 884024351 /nfs/dbraw/zinc/02/43/51/884024351.db2.gz IKQQSBOUIWRSIB-UHFFFAOYSA-N -1 1 317.320 1.993 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1cccc(O)c1 ZINC001362558628 884092353 /nfs/dbraw/zinc/09/23/53/884092353.db2.gz AZOQFGFHZQADED-LLVKDONJSA-N -1 1 315.377 1.589 20 0 DDADMM Nc1nnc(C2CCN(C(=O)c3cccc([O-])c3F)CC2)o1 ZINC001362632325 884274268 /nfs/dbraw/zinc/27/42/68/884274268.db2.gz UVKVAVZBTAVXSA-UHFFFAOYSA-N -1 1 306.297 1.516 20 0 DDADMM COc1c(=O)[n-]c(C)nc1OC[C@@H]1CC[C@]2(CCCCO2)O1 ZINC001230020628 884280076 /nfs/dbraw/zinc/28/00/76/884280076.db2.gz FYKBUTSEXMOGPK-NHYWBVRUSA-N -1 1 310.350 1.954 20 0 DDADMM CCc1ncc(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)cn1 ZINC001362650162 884320896 /nfs/dbraw/zinc/32/08/96/884320896.db2.gz OTSGGGOLQOWOHD-UHFFFAOYSA-N -1 1 317.349 1.970 20 0 DDADMM CN(C)C(=O)OC1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001362651730 884325643 /nfs/dbraw/zinc/32/56/43/884325643.db2.gz WANUSYBMXVURFA-UHFFFAOYSA-N -1 1 310.325 1.834 20 0 DDADMM CC(C)[C@@H](CNC(=O)C1C=CC=CC=C1)NCc1n[nH]c(=O)[n-]1 ZINC001374422728 912813216 /nfs/dbraw/zinc/81/32/16/912813216.db2.gz RUFUOSZHXJTPQU-CYBMUJFWSA-N -1 1 317.393 1.039 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cnc(C(C)C)[nH]2)n1 ZINC001362660203 884348132 /nfs/dbraw/zinc/34/81/32/884348132.db2.gz LUXOXNZKRNENGL-MRVPVSSYSA-N -1 1 320.353 1.319 20 0 DDADMM CCOC(=O)[C@H](CCOC(C)(C)C)NC(=O)c1ccc([O-])cn1 ZINC001362750758 884549718 /nfs/dbraw/zinc/54/97/18/884549718.db2.gz OGCWJXWQIVQYHY-ZDUSSCGKSA-N -1 1 324.377 1.654 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@H]1CCC2N=NC(=O)N2C1 ZINC001362820109 884715558 /nfs/dbraw/zinc/71/55/58/884715558.db2.gz RFZARHINHQPHQH-LURJTMIESA-N -1 1 310.260 1.169 20 0 DDADMM CSc1ncc(C(=O)N2CCCC[C@@H]2C[C@H](C)O)c(=O)[n-]1 ZINC001362839624 884767797 /nfs/dbraw/zinc/76/77/97/884767797.db2.gz YLABXKXHCCHUBR-VHSXEESVSA-N -1 1 311.407 1.670 20 0 DDADMM CCC(CC)(NC(=O)c1cnc(C2CC2)[nH]c1=O)c1nn[n-]n1 ZINC001362859512 884816970 /nfs/dbraw/zinc/81/69/70/884816970.db2.gz WDBLFLRNTBQMAM-UHFFFAOYSA-N -1 1 317.353 1.018 20 0 DDADMM CC[C@H](OC)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001362866947 884833477 /nfs/dbraw/zinc/83/34/77/884833477.db2.gz SAYURPJIXUOKOF-GUBZILKMSA-N -1 1 310.316 1.469 20 0 DDADMM NC(=O)NC1(C(=O)Nc2cccc([O-])c2Br)CC1 ZINC001362899384 884925519 /nfs/dbraw/zinc/92/55/19/884925519.db2.gz BEOXLZIVEQKKCF-UHFFFAOYSA-N -1 1 314.139 1.294 20 0 DDADMM CCO[C@@H](CC)C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001382925107 884968425 /nfs/dbraw/zinc/96/84/25/884968425.db2.gz SUNMXJNCCAYCEP-AAEUAGOBSA-N -1 1 323.393 1.179 20 0 DDADMM COC(=O)CC(C)(C)CNC(=O)c1cnc(SC)[n-]c1=O ZINC001362916998 884980353 /nfs/dbraw/zinc/98/03/53/884980353.db2.gz GHBJPOLXURECQC-UHFFFAOYSA-N -1 1 313.379 1.223 20 0 DDADMM NC(=O)c1ccccc1NCC(=O)Nc1cc([O-])c(F)cc1F ZINC001362986509 885154351 /nfs/dbraw/zinc/15/43/51/885154351.db2.gz OLIXTGRXSYETAD-UHFFFAOYSA-N -1 1 321.283 1.820 20 0 DDADMM CN(C(=O)[C@H]1C[C@H](NC(=O)OC(C)(C)C)C1(C)C)c1nn[n-]n1 ZINC001363057529 885354157 /nfs/dbraw/zinc/35/41/57/885354157.db2.gz WSXITNDJMJBHBJ-BDAKNGLRSA-N -1 1 324.385 1.102 20 0 DDADMM CC[C@@H]1CCc2[nH]c(=O)c(C(=O)Nc3c[n-][nH]c3=O)cc2C1 ZINC001363117696 885507065 /nfs/dbraw/zinc/50/70/65/885507065.db2.gz APPBUSAWLXSJSN-MRVPVSSYSA-N -1 1 302.334 1.983 20 0 DDADMM CCCn1cc(C(=O)Nc2nc(C(=O)OCC)c[n-]2)c(C)n1 ZINC001363209771 885719021 /nfs/dbraw/zinc/71/90/21/885719021.db2.gz COZPCAPZBYFNGM-UHFFFAOYSA-N -1 1 305.338 1.754 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)c1ccn(C)n1 ZINC001363254663 885831727 /nfs/dbraw/zinc/83/17/27/885831727.db2.gz ZKXXUTDGFMLDND-ZETCQYMHSA-N -1 1 307.379 1.437 20 0 DDADMM CC(=O)N[C@@H]1CCCN(Cc2ccccc2OCC(=O)[O-])C1 ZINC001231792103 885872529 /nfs/dbraw/zinc/87/25/29/885872529.db2.gz KRCXJJFNYSGUPU-CQSZACIVSA-N -1 1 306.362 1.251 20 0 DDADMM CC(C)Oc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)nc1 ZINC001363277092 885890413 /nfs/dbraw/zinc/89/04/13/885890413.db2.gz JRKQPJSHJQELBA-UHFFFAOYSA-N -1 1 302.338 1.191 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc2c(c(Cl)c1)OCO2 ZINC001363277058 885890894 /nfs/dbraw/zinc/89/08/94/885890894.db2.gz IXBZXDXHKZQZDS-UHFFFAOYSA-N -1 1 321.724 1.391 20 0 DDADMM CCCC(=O)N[C@H](CCNC(=O)c1ncccc1[O-])C(C)C ZINC001383551514 886017701 /nfs/dbraw/zinc/01/77/01/886017701.db2.gz DPMVFFGSSJJVNX-GFCCVEGCSA-N -1 1 307.394 1.848 20 0 DDADMM O=C(C[C@H]1CC[C@H](C(F)(F)F)O1)NC1(c2nn[n-]n2)CCC1 ZINC001363375838 886169739 /nfs/dbraw/zinc/16/97/39/886169739.db2.gz GQXKACOSVNXGTA-HTQZYQBOSA-N -1 1 319.287 1.195 20 0 DDADMM CC1(C)CCc2onc(CNC(=O)c3cnncc3[O-])c2C1 ZINC001363381096 886183777 /nfs/dbraw/zinc/18/37/77/886183777.db2.gz YENAVFZYFHPKCH-UHFFFAOYSA-N -1 1 302.334 1.615 20 0 DDADMM CC[C@H](CC(=O)N[C@@H](COC)c1nn[n-]n1)c1ccc(C)cc1 ZINC001363437392 886335284 /nfs/dbraw/zinc/33/52/84/886335284.db2.gz RBOQUYXMFBHCSR-OCCSQVGLSA-N -1 1 317.393 1.896 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H](CCF)C1 ZINC001363472815 886434485 /nfs/dbraw/zinc/43/44/85/886434485.db2.gz CDFMICJDAHXGQC-LLVKDONJSA-N -1 1 309.341 1.115 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2cnccc2C)n[n-]1 ZINC001363476710 886441239 /nfs/dbraw/zinc/44/12/39/886441239.db2.gz TYGBREIIZMXNEQ-SNVBAGLBSA-N -1 1 317.349 1.105 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2cnccc2C)n1 ZINC001363476710 886441249 /nfs/dbraw/zinc/44/12/49/886441249.db2.gz TYGBREIIZMXNEQ-SNVBAGLBSA-N -1 1 317.349 1.105 20 0 DDADMM O=C(N[C@@H](CO)[C@H](O)c1cccnc1)c1c([O-])cccc1Cl ZINC001363509033 886515449 /nfs/dbraw/zinc/51/54/49/886515449.db2.gz LAUXIHCTJYOCHX-SMDDNHRTSA-N -1 1 322.748 1.265 20 0 DDADMM CSc1ncc(C(=O)NC[C@H]2CCC3(CCC3)O2)c(=O)[n-]1 ZINC001363526170 886553555 /nfs/dbraw/zinc/55/35/55/886553555.db2.gz HRMQXWIVWXEMSR-SECBINFHSA-N -1 1 309.391 1.736 20 0 DDADMM CCOC(=O)CN1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC001232784743 886586401 /nfs/dbraw/zinc/58/64/01/886586401.db2.gz SLEJZXZIMSQJCV-UHFFFAOYSA-N -1 1 312.797 1.726 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1nnc(C(C)C)s1 ZINC001363546484 886603416 /nfs/dbraw/zinc/60/34/16/886603416.db2.gz RHWJFTRHLZVCJN-UHFFFAOYSA-N -1 1 309.399 1.473 20 0 DDADMM O=C(NCC[C@@H]1CCC2(CCOCC2)CO1)c1ccc([O-])cn1 ZINC001363567222 886651739 /nfs/dbraw/zinc/65/17/39/886651739.db2.gz KZFGKQHQWXLZBO-AWEZNQCLSA-N -1 1 320.389 1.883 20 0 DDADMM COC[C@H](NC(=O)C(F)(F)c1ccccc1C)c1nn[n-]n1 ZINC001363586220 886699758 /nfs/dbraw/zinc/69/97/58/886699758.db2.gz JEEPBDUWLPRSBQ-JTQLQIEISA-N -1 1 311.292 1.104 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc(F)c([O-])c2)CCS1(=O)=O ZINC001363609748 886756924 /nfs/dbraw/zinc/75/69/24/886756924.db2.gz KRHZGQLBLPUSQO-WPRPVWTQSA-N -1 1 301.339 1.227 20 0 DDADMM CCCn1cc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)cn1 ZINC001363616104 886771996 /nfs/dbraw/zinc/77/19/96/886771996.db2.gz NBDTZDXKOZUNOS-UHFFFAOYSA-N -1 1 319.365 1.462 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCCc2cccnc21 ZINC001363655271 886899524 /nfs/dbraw/zinc/89/95/24/886899524.db2.gz VOMBOEIGASNIGY-VIFPVBQESA-N -1 1 316.317 1.099 20 0 DDADMM CC(C)(C)OC(=O)NC1(CNC(=O)c2ccc([O-])cn2)CC1 ZINC001363738538 887109612 /nfs/dbraw/zinc/10/96/12/887109612.db2.gz ASFYKQCAXBZAKF-UHFFFAOYSA-N -1 1 307.350 1.574 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H]3CCC[C@@H]32)[n-]n1 ZINC001363768811 887174483 /nfs/dbraw/zinc/17/44/83/887174483.db2.gz YOFGIVZRNJMGAM-ONGXEEELSA-N -1 1 313.379 1.150 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H]3CCC[C@@H]32)n[n-]1 ZINC001363768811 887174490 /nfs/dbraw/zinc/17/44/90/887174490.db2.gz YOFGIVZRNJMGAM-ONGXEEELSA-N -1 1 313.379 1.150 20 0 DDADMM Cc1cc2c(cc1C)O[C@H](C(=O)NC1(c3nn[n-]n3)CCC1)C2 ZINC001363822252 887316510 /nfs/dbraw/zinc/31/65/10/887316510.db2.gz QSMQQYDMKCOPSZ-ZDUSSCGKSA-N -1 1 313.361 1.316 20 0 DDADMM CC(C)(C)OC(=O)N1CC(CCC(=O)Nc2c[n-][nH]c2=O)C1 ZINC001364144827 888021798 /nfs/dbraw/zinc/02/17/98/888021798.db2.gz NHCRVSHPXBJNIN-UHFFFAOYSA-N -1 1 310.354 1.701 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1OC1Cc2ccccc2C1 ZINC001234557812 888089712 /nfs/dbraw/zinc/08/97/12/888089712.db2.gz NZKLSCGKPFMPSH-UHFFFAOYSA-N -1 1 300.314 1.823 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)N[C@H]1CCOC1 ZINC001364231019 888181855 /nfs/dbraw/zinc/18/18/55/888181855.db2.gz HFDCHPLEXABFNA-GUBZILKMSA-N -1 1 323.315 1.016 20 0 DDADMM CC(C)[C@H]1C[C@H](C(=O)Nc2nc(SCCO)n[nH]2)CCO1 ZINC001364491704 888758752 /nfs/dbraw/zinc/75/87/52/888758752.db2.gz LCCWACKZLGCGCZ-NXEZZACHSA-N -1 1 314.411 1.279 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001364530192 888859789 /nfs/dbraw/zinc/85/97/89/888859789.db2.gz UBZLOTSDHBTXDV-MRVPVSSYSA-N -1 1 304.354 1.314 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1cnc(C)s1 ZINC001364797535 889437213 /nfs/dbraw/zinc/43/72/13/889437213.db2.gz SDFWTNJTMFYLND-UHFFFAOYSA-N -1 1 305.406 1.395 20 0 DDADMM CC[C@H](C)[C@H](C[N-]S(=O)(=O)c1csnc1OC)OC ZINC001364850732 889547614 /nfs/dbraw/zinc/54/76/14/889547614.db2.gz YFFKFZMNTTXFRS-IUCAKERBSA-N -1 1 308.425 1.491 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)C2(OC)CCC2)sn1 ZINC001364873337 889586907 /nfs/dbraw/zinc/58/69/07/889586907.db2.gz WFLHGSYSQLXAAP-MRVPVSSYSA-N -1 1 306.409 1.388 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H](C)c1ccncc1 ZINC001364898008 889628414 /nfs/dbraw/zinc/62/84/14/889628414.db2.gz ZCHURKDUBFKZQC-SECBINFHSA-N -1 1 313.404 1.629 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H]2CCC3(CCC3)O2)sn1 ZINC001364927578 889682610 /nfs/dbraw/zinc/68/26/10/889682610.db2.gz AKPSXUTYVBJJRV-SECBINFHSA-N -1 1 318.420 1.532 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@@H](c1nc(C)no1)C(C)C)C(C)C ZINC001365006844 889880095 /nfs/dbraw/zinc/88/00/95/889880095.db2.gz FHNQNTBGJKDJRS-VXGBXAGGSA-N -1 1 319.427 1.666 20 0 DDADMM C[C@@H](NC(=O)c1s[n-]c(=O)c1Cl)C1=NN(C)CC1=O ZINC001365120251 890141536 /nfs/dbraw/zinc/14/15/36/890141536.db2.gz NVEQZNXQWHZILF-SCSAIBSYSA-N -1 1 302.743 1.432 20 0 DDADMM O=C(NCc1ncc(Br)cn1)c1ncccc1[O-] ZINC001365141990 890185349 /nfs/dbraw/zinc/18/53/49/890185349.db2.gz RSJDHUBTTBZNRQ-UHFFFAOYSA-N -1 1 309.123 1.270 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cc(N)ccn2)[n-]c1=O ZINC001365146478 890194158 /nfs/dbraw/zinc/19/41/58/890194158.db2.gz OXPOAWJVWVYHCT-LLVKDONJSA-N -1 1 315.333 1.145 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccsc1 ZINC001386238719 890620501 /nfs/dbraw/zinc/62/05/01/890620501.db2.gz MLZFAGUUEWBATJ-SECBINFHSA-N -1 1 305.359 1.397 20 0 DDADMM CCc1occc1C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001386241860 890628370 /nfs/dbraw/zinc/62/83/70/890628370.db2.gz FQTLJDMODZXCOI-JTQLQIEISA-N -1 1 317.345 1.491 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C/C=C/c1cccnc1 ZINC001365436628 890794955 /nfs/dbraw/zinc/79/49/55/890794955.db2.gz IYBXFXWYQHYLAS-HWKANZROSA-N -1 1 311.388 1.538 20 0 DDADMM O=S(=O)([N-]C1(c2ncon2)CC1)c1cc(Cl)ccc1F ZINC001365465742 890844990 /nfs/dbraw/zinc/84/49/90/890844990.db2.gz YNWVXFSLIJJQGU-UHFFFAOYSA-N -1 1 317.729 1.830 20 0 DDADMM CSCC[C@H](NC(=O)Nc1ccc(F)cn1)c1nn[n-]n1 ZINC001365497175 890919704 /nfs/dbraw/zinc/91/97/04/890919704.db2.gz XHOHFVHZPNTDPH-QMMMGPOBSA-N -1 1 311.346 1.350 20 0 DDADMM CC(=O)Nc1nc2nc[nH]c2c(-c2ccc(CC(=O)[O-])cc2)n1 ZINC001240972357 891104150 /nfs/dbraw/zinc/10/41/50/891104150.db2.gz QLUHAHAPRCXQGK-UHFFFAOYSA-N -1 1 311.301 1.557 20 0 DDADMM CN(C)C(=O)c1ccc(F)c(-c2ccc(-c3nnn[n-]3)nc2)c1 ZINC001241985536 891325727 /nfs/dbraw/zinc/32/57/27/891325727.db2.gz BYSKEVRZGRXOIH-UHFFFAOYSA-N -1 1 312.308 1.770 20 0 DDADMM CN(C)C(=O)c1ccc(F)c(-c2ccc(-c3nn[n-]n3)nc2)c1 ZINC001241985536 891325746 /nfs/dbraw/zinc/32/57/46/891325746.db2.gz BYSKEVRZGRXOIH-UHFFFAOYSA-N -1 1 312.308 1.770 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCC(C)(C)C1 ZINC001386745780 891616831 /nfs/dbraw/zinc/61/68/31/891616831.db2.gz WJYBNHQRWCNOSY-NEPJUHHUSA-N -1 1 319.405 1.848 20 0 DDADMM COc1ccncc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244790466 891920609 /nfs/dbraw/zinc/92/06/09/891920609.db2.gz ADGPLJKCXNHGRM-UHFFFAOYSA-N -1 1 309.347 1.532 20 0 DDADMM CCC[C@@H](CC)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001387866603 894057562 /nfs/dbraw/zinc/05/75/62/894057562.db2.gz OKQGNLWPDXPCNZ-CYBMUJFWSA-N -1 1 319.405 1.802 20 0 DDADMM CS(=O)(=O)CCn1cc(Nc2ccc(F)c(F)c2[O-])cn1 ZINC001249684663 894104376 /nfs/dbraw/zinc/10/43/76/894104376.db2.gz GHODDQDXDUNBSW-UHFFFAOYSA-N -1 1 317.317 1.655 20 0 DDADMM Nc1cccc(S(=O)(=O)[N-]c2ccc3c(c2)NC(=O)C3)c1 ZINC001250317979 894232926 /nfs/dbraw/zinc/23/29/26/894232926.db2.gz MPXALGBLQVBLMZ-UHFFFAOYSA-N -1 1 303.343 1.564 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CC1(C)CC1 ZINC001388056369 894469352 /nfs/dbraw/zinc/46/93/52/894469352.db2.gz MYGAERCVINFOGB-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM CCC[C@H](OC)C(=O)NC[C@@H](CC)NC(=O)c1ncccc1[O-] ZINC001388147846 894652167 /nfs/dbraw/zinc/65/21/67/894652167.db2.gz OYJXOEYPNWSAOQ-YPMHNXCESA-N -1 1 323.393 1.227 20 0 DDADMM CCN(CCNC(=O)[C@@H]1CC12CCC2)C(=O)c1ncccc1[O-] ZINC001388264625 894899134 /nfs/dbraw/zinc/89/91/34/894899134.db2.gz BTDWMGOPCNHEQY-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2ccc(C)cc2)ccn1 ZINC001252083659 895006005 /nfs/dbraw/zinc/00/60/05/895006005.db2.gz REDUGGMRHONCCZ-UHFFFAOYSA-N -1 1 306.343 1.399 20 0 DDADMM O[C@H](CNC1=CC=C[CH]1)[C@@H](c1ccc(F)cc1F)n1cncn1 ZINC001253902387 896154425 /nfs/dbraw/zinc/15/44/25/896154425.db2.gz RAOVOIMCAQGMSF-HZPDHXFCSA-N -1 1 317.319 1.754 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccc(Cl)cc1 ZINC001374840436 914095143 /nfs/dbraw/zinc/09/51/43/914095143.db2.gz IPBWOPMHPIITTG-SECBINFHSA-N -1 1 323.784 1.001 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H](Nc2[n-]c(=O)nc3nc[nH]c32)C1 ZINC001255341082 896849431 /nfs/dbraw/zinc/84/94/31/896849431.db2.gz AYHVLEHSQQKGCZ-RKDXNWHRSA-N -1 1 305.338 1.592 20 0 DDADMM CCc1[nH]ccc1C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001389339678 896976690 /nfs/dbraw/zinc/97/66/90/896976690.db2.gz LERHMRMZGXPBQL-JTQLQIEISA-N -1 1 316.361 1.226 20 0 DDADMM Cc1ccc([N-]S(C)(=O)=O)c(-c2nnc([C@@H]3CCOC3)o2)c1 ZINC001255622665 897060271 /nfs/dbraw/zinc/06/02/71/897060271.db2.gz GHLNKZHXOWYYIF-SNVBAGLBSA-N -1 1 323.374 1.920 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)CCN[C@@H](C)c2ncccn2)c1[O-] ZINC001367638762 897307989 /nfs/dbraw/zinc/30/79/89/897307989.db2.gz HRRCDOXIBPFNHU-ONGXEEELSA-N -1 1 318.381 1.073 20 0 DDADMM CCc1nc(C)c(CNC[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001367668197 897409530 /nfs/dbraw/zinc/40/95/30/897409530.db2.gz SJVHYBUFCVEUEY-MRVPVSSYSA-N -1 1 321.381 1.191 20 0 DDADMM CCC[C@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001389807454 898060766 /nfs/dbraw/zinc/06/07/66/898060766.db2.gz GUJYNYDTZTVRPG-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM C[C@H](C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1)C(C)(C)C ZINC001389816845 898082229 /nfs/dbraw/zinc/08/22/29/898082229.db2.gz WWGIFXRWHMTOGM-LLVKDONJSA-N -1 1 319.405 1.848 20 0 DDADMM CC1(C)[C@@H]2CC[C@@]1(CS(=O)(=O)[N-]Cc1ncco1)C(=O)C2 ZINC001258978166 898391147 /nfs/dbraw/zinc/39/11/47/898391147.db2.gz GZSCXWRRIQJHQK-QMTHXVAHSA-N -1 1 312.391 1.489 20 0 DDADMM O=S(=O)([N-]CCc1ccncn1)c1cc(F)c(F)cc1F ZINC001259045362 898440560 /nfs/dbraw/zinc/44/05/60/898440560.db2.gz BQRDVQOPSQAVFC-UHFFFAOYSA-N -1 1 317.292 1.415 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)c2cccnc2)c(F)c1 ZINC001259609062 898739219 /nfs/dbraw/zinc/73/92/19/898739219.db2.gz MHEJQMLRYPSXLA-UHFFFAOYSA-N -1 1 309.322 1.381 20 0 DDADMM CCOC(=O)c1ccc(F)c([N-]S(=O)(=O)CCOC)c1 ZINC001259971699 898995920 /nfs/dbraw/zinc/99/59/20/898995920.db2.gz LLWKBRFKXXWBNZ-UHFFFAOYSA-N -1 1 305.327 1.391 20 0 DDADMM CN1CCC[C@@H]2CN(S(=O)(=O)c3ccc(C(=O)[O-])cc3)C[C@@H]21 ZINC001260226563 899100006 /nfs/dbraw/zinc/10/00/06/899100006.db2.gz LNPHHWKDBIZBSA-OCCSQVGLSA-N -1 1 324.402 1.100 20 0 DDADMM C[C@@H](CN1CCCC1)[N-]S(=O)(=O)c1c(F)cc(F)cc1F ZINC001260355260 899127013 /nfs/dbraw/zinc/12/70/13/899127013.db2.gz MSPWTVJKLOYYTH-VIFPVBQESA-N -1 1 322.352 1.867 20 0 DDADMM Cc1cnc(CN[C@H](C)CCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001390664055 899900650 /nfs/dbraw/zinc/90/06/50/899900650.db2.gz JTHZATNOSXPTIV-MRVPVSSYSA-N -1 1 307.354 1.018 20 0 DDADMM C[C@H]1CCCN1c1nnc(-c2nnn[n-]2)n1Cc1ccccc1 ZINC001263163490 900521308 /nfs/dbraw/zinc/52/13/08/900521308.db2.gz QJDQFWOKOGOAJK-NSHDSACASA-N -1 1 310.365 1.495 20 0 DDADMM C[C@H]1CCCN1c1nnc(-c2nn[n-]n2)n1Cc1ccccc1 ZINC001263163490 900521318 /nfs/dbraw/zinc/52/13/18/900521318.db2.gz QJDQFWOKOGOAJK-NSHDSACASA-N -1 1 310.365 1.495 20 0 DDADMM CCc1cnc(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)s1 ZINC001263751398 900694120 /nfs/dbraw/zinc/69/41/20/900694120.db2.gz ADBURRIGQBOFLC-UHFFFAOYSA-N -1 1 310.404 1.728 20 0 DDADMM O=C(c1c(F)c(F)cc(F)c1F)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001263886223 900799556 /nfs/dbraw/zinc/79/95/56/900799556.db2.gz CDGGYSAJYXAGFU-RXMQYKEDSA-N -1 1 315.230 1.386 20 0 DDADMM O=C(c1cc(Cl)nc(C2CC2)c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001263886395 900800430 /nfs/dbraw/zinc/80/04/30/900800430.db2.gz IQBAQZHTPICJBT-SECBINFHSA-N -1 1 318.768 1.755 20 0 DDADMM O=S(=O)([N-]c1cccc(CO)c1)c1ccc2c(c1)OCCO2 ZINC000387189486 901032614 /nfs/dbraw/zinc/03/26/14/901032614.db2.gz YIVOHBWRLQCHSI-UHFFFAOYSA-N -1 1 321.354 1.751 20 0 DDADMM C[C@@H](c1csnn1)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001264978728 901381121 /nfs/dbraw/zinc/38/11/21/901381121.db2.gz NXGMIQDYKUGAJZ-JTQLQIEISA-N -1 1 321.406 1.452 20 0 DDADMM CS[C@H](C)C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001369627915 901657598 /nfs/dbraw/zinc/65/75/98/901657598.db2.gz ZANLJAIHRSPVEI-KPPDAEKUSA-N -1 1 323.418 1.163 20 0 DDADMM C[C@@H](NC(=O)c1cccn1C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001369660536 901722600 /nfs/dbraw/zinc/72/26/00/901722600.db2.gz HMNVNQUYFBXBGQ-GHMZBOCLSA-N -1 1 316.361 1.063 20 0 DDADMM Cc1cn(C)nc1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001293574384 914560016 /nfs/dbraw/zinc/56/00/16/914560016.db2.gz HEUIQBKJTLTMOJ-UHFFFAOYSA-N -1 1 313.321 1.073 20 0 DDADMM CCCC(=O)NC[C@H]1[C@H](C)CCCN1C(=O)c1ncccc1[O-] ZINC001391528143 901920626 /nfs/dbraw/zinc/92/06/26/901920626.db2.gz XTYZBSWFSKXANX-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1sccc1C(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370179496 902695113 /nfs/dbraw/zinc/69/51/13/902695113.db2.gz SIPIJWOEIOFCDN-LLVKDONJSA-N -1 1 321.406 1.178 20 0 DDADMM CCCC[C@@H](CC)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001370358631 903002692 /nfs/dbraw/zinc/00/26/92/903002692.db2.gz UPUKAUJWBPWGJL-NEPJUHHUSA-N -1 1 309.414 1.417 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1ccoc1)NC(=O)c1ncccc1[O-] ZINC001370472312 903239558 /nfs/dbraw/zinc/23/95/58/903239558.db2.gz MJEZDYIHQWSUJM-NSHDSACASA-N -1 1 317.345 1.200 20 0 DDADMM CCC1(C(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001392138055 903371333 /nfs/dbraw/zinc/37/13/33/903371333.db2.gz FIQQSOQSBBCNAA-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM C[C@H](CN(C)[C@@H](C)c1cnccn1)NC(=O)c1ncccc1[O-] ZINC001375033155 914718742 /nfs/dbraw/zinc/71/87/42/914718742.db2.gz ONSMROBAOBQWIW-NEPJUHHUSA-N -1 1 315.377 1.389 20 0 DDADMM CCCC(=O)NC[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001371712907 905566480 /nfs/dbraw/zinc/56/64/80/905566480.db2.gz ZFRWBLNYSZGXQU-NWDGAFQWSA-N -1 1 305.378 1.602 20 0 DDADMM CC[C@H](C)CCC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001393019612 905968380 /nfs/dbraw/zinc/96/83/80/905968380.db2.gz SPYKVELJJVKCLM-RYUDHWBXSA-N -1 1 307.394 1.848 20 0 DDADMM CC[C@H](C)CCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001372039219 906304494 /nfs/dbraw/zinc/30/44/94/906304494.db2.gz JGNMFIQRAKJOCU-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM COCCN(CC(=O)N(C)C)Cc1ccc([O-])c(F)c1F ZINC001414118729 906754409 /nfs/dbraw/zinc/75/44/09/906754409.db2.gz MHEICBPHCSAWTR-UHFFFAOYSA-N -1 1 302.321 1.207 20 0 DDADMM Cc1coc(C(=O)NCCCN(C)C(=O)c2ncccc2[O-])c1 ZINC001283625272 907701316 /nfs/dbraw/zinc/70/13/16/907701316.db2.gz KPRAUOFSVNQNNZ-UHFFFAOYSA-N -1 1 317.345 1.581 20 0 DDADMM CCN(CCCNC(=O)C1CCC1)C(=O)c1ncccc1[O-] ZINC001283685660 907790319 /nfs/dbraw/zinc/79/03/19/907790319.db2.gz SPVJTZLCLLICOE-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM CCN(CCCNC(=O)C[C@@H](C)OC)C(=O)c1ncccc1[O-] ZINC001283698628 907811868 /nfs/dbraw/zinc/81/18/68/907811868.db2.gz NNXJPFSQSGZYLQ-GFCCVEGCSA-N -1 1 323.393 1.181 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001393822872 908014280 /nfs/dbraw/zinc/01/42/80/908014280.db2.gz OXONIMUHKAAVJP-RYUDHWBXSA-N -1 1 317.389 1.458 20 0 DDADMM CCCCC1(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])CC1 ZINC001372830269 908372017 /nfs/dbraw/zinc/37/20/17/908372017.db2.gz FHNHTJXHWODVIV-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001372835740 908389684 /nfs/dbraw/zinc/38/96/84/908389684.db2.gz PQXZMDNZFZMKGH-ADEWGFFLSA-N -1 1 303.362 1.068 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)C=C1CCCCC1 ZINC001284513580 909121252 /nfs/dbraw/zinc/12/12/52/909121252.db2.gz BKZVHADVAGAVND-UHFFFAOYSA-N -1 1 317.389 1.866 20 0 DDADMM Cc1nc(C(=O)N(C)CCNC(=O)c2ncccc2[O-])cs1 ZINC001373414447 909796627 /nfs/dbraw/zinc/79/66/27/909796627.db2.gz UFDVFDRESUVYKZ-UHFFFAOYSA-N -1 1 320.374 1.054 20 0 DDADMM CC(C)[C@@H](C)C(=O)N[C@@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001395313652 911780076 /nfs/dbraw/zinc/78/00/76/911780076.db2.gz UHFVTNZLCXVSBO-NEPJUHHUSA-N -1 1 319.405 1.656 20 0 DDADMM CC/C(C)=C/C(=O)N[C@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001286193697 911808039 /nfs/dbraw/zinc/80/80/39/911808039.db2.gz ZABORZVJQJBYSX-JATZPVMKSA-N -1 1 317.389 1.720 20 0 DDADMM CCCC(=O)N[C@H](CC)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001375080866 914895458 /nfs/dbraw/zinc/89/54/58/914895458.db2.gz IXSIMZQLDNWMBK-LLVKDONJSA-N -1 1 320.393 1.484 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)/C=C/C(C)(C)C ZINC001296289849 916325206 /nfs/dbraw/zinc/32/52/06/916325206.db2.gz HBJGHFADYNEGRJ-CMDGGOBGSA-N -1 1 319.405 1.968 20 0 DDADMM Cc1oc(C=O)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001296864839 916604768 /nfs/dbraw/zinc/60/47/68/916604768.db2.gz QOQIKKGTSQDBQH-VIFPVBQESA-N -1 1 305.290 1.509 20 0 DDADMM Cc1cc(C)cc(C(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)c1 ZINC001376857689 919585208 /nfs/dbraw/zinc/58/52/08/919585208.db2.gz OIIACLUAOGTSGE-NSHDSACASA-N -1 1 303.366 1.035 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC[C@@H](C)CC1 ZINC001377197656 920606636 /nfs/dbraw/zinc/60/66/36/920606636.db2.gz GPVGWXQSGQRRDH-JHJVBQTASA-N -1 1 319.405 1.848 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H](C)Cc3ccccc3)nc2n1 ZINC000622869737 365549275 /nfs/dbraw/zinc/54/92/75/365549275.db2.gz DZUKTSSSOUGLSC-SNVBAGLBSA-N -1 1 311.345 1.543 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3CCC(C)(C)CC3)nc2n1 ZINC000622870880 365550064 /nfs/dbraw/zinc/55/00/64/365550064.db2.gz KFKAOUVCJTZSCA-UHFFFAOYSA-N -1 1 303.366 1.881 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3sccc3C3CC3)nc2n1 ZINC000622871096 365550629 /nfs/dbraw/zinc/55/06/29/365550629.db2.gz QTYRONHLXWLDKX-UHFFFAOYSA-N -1 1 315.358 1.917 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCC(C)(C)C3)nc2n1 ZINC000622994250 365586931 /nfs/dbraw/zinc/58/69/31/365586931.db2.gz IODJBCQRIYJVQE-VIFPVBQESA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3(CC)CCC3)nc2n1 ZINC000622996944 365589626 /nfs/dbraw/zinc/58/96/26/365589626.db2.gz GPQKFIMWVXTIBV-UHFFFAOYSA-N -1 1 303.366 1.889 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](CCC)OC)nc2n1 ZINC000622997609 365591080 /nfs/dbraw/zinc/59/10/80/365591080.db2.gz DVUARHWRBZKFFN-JTQLQIEISA-N -1 1 307.354 1.124 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cnn(-c2ccc(Cl)cc2Cl)c1 ZINC000076963981 185061589 /nfs/dbraw/zinc/06/15/89/185061589.db2.gz FMQPGMBLWOQWDX-UHFFFAOYSA-N -1 1 324.131 1.945 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnn(-c2ccc(Cl)cc2Cl)c1 ZINC000076963981 185061590 /nfs/dbraw/zinc/06/15/90/185061590.db2.gz FMQPGMBLWOQWDX-UHFFFAOYSA-N -1 1 324.131 1.945 20 0 DDADMM O=C([C@H](O)C1CCCCC1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614387915 361833318 /nfs/dbraw/zinc/83/33/18/361833318.db2.gz DBYYCNALCJWVRU-NWDGAFQWSA-N -1 1 309.366 1.422 20 0 DDADMM CC(C)(C)C[C@H](O)CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614388471 361831961 /nfs/dbraw/zinc/83/19/61/361831961.db2.gz HGNCZXFMKRYTON-WDEREUQCSA-N -1 1 311.382 1.668 20 0 DDADMM Cc1cc(C)n([C@@H](C)C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000614388438 361832681 /nfs/dbraw/zinc/83/26/81/361832681.db2.gz YLZFQENUYOHUHL-NWDGAFQWSA-N -1 1 319.365 1.556 20 0 DDADMM Cc1ccn([C@H](C)CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000614474646 361876603 /nfs/dbraw/zinc/87/66/03/361876603.db2.gz BVQHQGQPFUOMEJ-LLVKDONJSA-N -1 1 319.365 1.637 20 0 DDADMM CCN(CCOCCO)C(=O)c1ncc2ccccc2c1[O-] ZINC000614483745 361879590 /nfs/dbraw/zinc/87/95/90/361879590.db2.gz PVGUEPLXVIUEGG-UHFFFAOYSA-N -1 1 304.346 1.411 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1sccc1Cl)NCC(F)F ZINC000451491677 231111239 /nfs/dbraw/zinc/11/12/39/231111239.db2.gz HYRSYNJZCOXCCO-UHFFFAOYSA-N -1 1 318.754 1.061 20 0 DDADMM CC[C@@](C)(C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1)OC ZINC000451797601 529499556 /nfs/dbraw/zinc/49/95/56/529499556.db2.gz QHHFIOMCYFEHBN-LBPRGKRZSA-N -1 1 305.352 1.160 20 0 DDADMM CO[C@@H](CNC(=O)c1cnc2nc(C)ccc2c1[O-])C1CC1 ZINC000452141659 231318214 /nfs/dbraw/zinc/31/82/14/231318214.db2.gz JCJJQSPCPJLPOG-ZDUSSCGKSA-N -1 1 301.346 1.799 20 0 DDADMM CO[C@@H](CNC(=O)c1c[n-]c2nc(C)ccc2c1=O)C1CC1 ZINC000452141659 231318217 /nfs/dbraw/zinc/31/82/17/231318217.db2.gz JCJJQSPCPJLPOG-ZDUSSCGKSA-N -1 1 301.346 1.799 20 0 DDADMM C[C@@H](OCc1ccccc1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155563654 539209726 /nfs/dbraw/zinc/20/97/26/539209726.db2.gz XHTSUSWSIRNPGA-TZMCWYRMSA-N -1 1 315.377 1.511 20 0 DDADMM Cc1nc(-c2ccc(N3CCC(C)(O)CC3)nc2)[n-]c(=O)c1C ZINC000177069657 539230152 /nfs/dbraw/zinc/23/01/52/539230152.db2.gz CODLZOLZZWCAGP-UHFFFAOYSA-N -1 1 314.389 1.800 20 0 DDADMM COc1ccc2c(c1)OC[C@@H]2CC(=O)Nc1c(C)[n-][nH]c1=O ZINC000615221990 362202943 /nfs/dbraw/zinc/20/29/43/362202943.db2.gz ODPRIDDDTSFUAX-VIFPVBQESA-N -1 1 303.318 1.937 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCCN(c2ccccc2)C1=O ZINC000615217957 362199755 /nfs/dbraw/zinc/19/97/55/362199755.db2.gz IYYWDZKPWIKOAK-GFCCVEGCSA-N -1 1 314.345 1.805 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)sc1C ZINC000333298711 539319298 /nfs/dbraw/zinc/31/92/98/539319298.db2.gz SMISMHUYXAWEAE-AXFHLTTASA-N -1 1 316.448 1.852 20 0 DDADMM CCc1ncc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2C)cn1 ZINC000446992282 529758959 /nfs/dbraw/zinc/75/89/59/529758959.db2.gz BXKCHIYJJCUFQC-UHFFFAOYSA-N -1 1 321.358 1.846 20 0 DDADMM CC(C)Cn1ccc2c1cccc2C(=O)NN1CC(=O)[N-]C1=O ZINC000278169282 214001052 /nfs/dbraw/zinc/00/10/52/214001052.db2.gz PXGCTPWHZDRFPR-UHFFFAOYSA-N -1 1 314.345 1.494 20 0 DDADMM CCOc1cc(C(=O)N2CCOCCN2)cc(Cl)c1[O-] ZINC000615482379 362302382 /nfs/dbraw/zinc/30/23/82/362302382.db2.gz AILQOFJBGPULOW-UHFFFAOYSA-N -1 1 300.742 1.421 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(C)c2Cl)n[n-]1 ZINC000615916535 362484757 /nfs/dbraw/zinc/48/47/57/362484757.db2.gz BWNQJEZOCXIJAD-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(C)c2Cl)n1 ZINC000615916535 362484760 /nfs/dbraw/zinc/48/47/60/362484760.db2.gz BWNQJEZOCXIJAD-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM Cc1cc(NS(=O)(=O)c2cnc3n2CCC3)cc(C(=O)[O-])c1 ZINC000350518507 539410686 /nfs/dbraw/zinc/41/06/86/539410686.db2.gz UOBMBRGUCBMKJX-UHFFFAOYSA-N -1 1 321.358 1.637 20 0 DDADMM O=S(=O)([N-]C[C@@H]1COCCO1)c1c(F)cccc1Cl ZINC000330911254 232155792 /nfs/dbraw/zinc/15/57/92/232155792.db2.gz HGSGAHGUKITJTM-MRVPVSSYSA-N -1 1 309.746 1.173 20 0 DDADMM CN(Cc1noc(C2CC2)n1)C(=O)c1ccc2[nH][n-]c(=O)c2c1 ZINC000616325458 362638636 /nfs/dbraw/zinc/63/86/36/362638636.db2.gz GGPTXRTYJLDRHM-UHFFFAOYSA-N -1 1 313.317 1.801 20 0 DDADMM CN(C)C(=O)COc1ccc(-c2nc3cccnc3c(=O)[n-]2)cc1 ZINC000491613031 539545087 /nfs/dbraw/zinc/54/50/87/539545087.db2.gz NQRSIWMKWRQTLV-UHFFFAOYSA-N -1 1 324.340 1.452 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)CNC(=O)C3CC3)[nH][n-]2)s1 ZINC000623492498 365897815 /nfs/dbraw/zinc/89/78/15/365897815.db2.gz KOJDQVCBBPCWRU-UHFFFAOYSA-N -1 1 304.375 1.333 20 0 DDADMM CN(C[C@H]1CCCC[C@@H]1O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000286967004 219249192 /nfs/dbraw/zinc/24/91/92/219249192.db2.gz AEVLTLPSJJNPSE-VKHVKFQPSA-N -1 1 317.393 1.357 20 0 DDADMM CC(C)c1ncc(NC(=O)CNC(=O)c2ncccc2[O-])cn1 ZINC000358440872 299152641 /nfs/dbraw/zinc/15/26/41/299152641.db2.gz KVHNSSRHBPNGDG-UHFFFAOYSA-N -1 1 315.333 1.069 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H](C(C)C)C2)co1 ZINC000353851501 290857850 /nfs/dbraw/zinc/85/78/50/290857850.db2.gz LWLUDRGFDYAYCA-NSHDSACASA-N -1 1 314.407 1.696 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2nccn2C)c(=O)[n-]1 ZINC000343302577 282646902 /nfs/dbraw/zinc/64/69/02/282646902.db2.gz RNFXCSFUZLIXBC-UHFFFAOYSA-N -1 1 321.406 1.195 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2ccnn2C)n[nH]c1-c1ccccc1 ZINC000358528250 299186778 /nfs/dbraw/zinc/18/67/78/299186778.db2.gz OMRYEDDEGDKBHG-UHFFFAOYSA-N -1 1 317.374 1.919 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)nn1C(C)C ZINC000331765041 234096516 /nfs/dbraw/zinc/09/65/16/234096516.db2.gz BXBMMXSLGVDDML-NSHDSACASA-N -1 1 319.365 1.881 20 0 DDADMM CCOc1cc2c(cc1C=CC(=O)Nc1nnn[n-]1)O[C@H](C)C2 ZINC000072678429 191213397 /nfs/dbraw/zinc/21/33/97/191213397.db2.gz UXXVPFQPJNHOCQ-XNPJLODASA-N -1 1 315.333 1.574 20 0 DDADMM CCOc1cc2c(cc1C=CC(=O)Nc1nn[n-]n1)O[C@H](C)C2 ZINC000072678429 191213402 /nfs/dbraw/zinc/21/34/02/191213402.db2.gz UXXVPFQPJNHOCQ-XNPJLODASA-N -1 1 315.333 1.574 20 0 DDADMM CCOc1ccc(-c2nc(C(=O)Nc3nn[n-]n3)cs2)cc1 ZINC000060380401 352869412 /nfs/dbraw/zinc/86/94/12/352869412.db2.gz VHYAZXSPXUQXLN-UHFFFAOYSA-N -1 1 316.346 1.974 20 0 DDADMM CC(C)CNS(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000067717982 353064259 /nfs/dbraw/zinc/06/42/59/353064259.db2.gz GWWVBUPWNRJBGR-UHFFFAOYSA-N -1 1 324.399 1.132 20 0 DDADMM CCCNC(=O)CCC(=O)NCc1n[n-]c(=S)n1C1CC1 ZINC000069210672 353150977 /nfs/dbraw/zinc/15/09/77/353150977.db2.gz JMDVKBNRWFTLER-UHFFFAOYSA-N -1 1 311.411 1.198 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)C=Cc1cncc(F)c1 ZINC000073997202 353283578 /nfs/dbraw/zinc/28/35/78/353283578.db2.gz JCCRKIYHQAEQDF-ONEGZZNKSA-N -1 1 321.381 1.867 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]3CCC[C@@H]32)o1 ZINC000174364919 198325327 /nfs/dbraw/zinc/32/53/27/198325327.db2.gz BTHHXAQYGBOUJB-MNOVXSKESA-N -1 1 312.391 1.592 20 0 DDADMM C[C@@H]1CO[C@@H](C)CN1CCNC(=O)c1c(F)ccc([O-])c1F ZINC000617723248 363255585 /nfs/dbraw/zinc/25/55/85/363255585.db2.gz KRUDOZJJAJGHRQ-ZJUUUORDSA-N -1 1 314.332 1.509 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC[C@H]1CN1CCOCC1 ZINC000091980777 353839878 /nfs/dbraw/zinc/83/98/78/353839878.db2.gz FJCKXHHZCPEQRF-ZDUSSCGKSA-N -1 1 324.808 1.982 20 0 DDADMM O=C(NCCc1nnc2n1CCC2)c1cc(Cl)ccc1[O-] ZINC000094021030 353909139 /nfs/dbraw/zinc/90/91/39/353909139.db2.gz QBQXELIGWKGBHI-UHFFFAOYSA-N -1 1 306.753 1.556 20 0 DDADMM Cc1cccc(C(=O)NCCNC(=O)c2ncc(C)cc2[O-])c1 ZINC000358629706 299209502 /nfs/dbraw/zinc/20/95/02/299209502.db2.gz NKHGRELKARKWSZ-UHFFFAOYSA-N -1 1 313.357 1.564 20 0 DDADMM CCOC(=O)CCN(C(=O)C(=O)c1ccc([O-])cc1)C1CC1 ZINC000588653403 354925974 /nfs/dbraw/zinc/92/59/74/354925974.db2.gz RSXBFRMMVTYJDV-UHFFFAOYSA-N -1 1 305.330 1.519 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C2CCOCC2)c(F)c1 ZINC000588680749 354928175 /nfs/dbraw/zinc/92/81/75/354928175.db2.gz AIKZHSRJWMDJQA-UHFFFAOYSA-N -1 1 307.318 1.431 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2nccs2)c(F)c1 ZINC000588680175 354928570 /nfs/dbraw/zinc/92/85/70/354928570.db2.gz WPNDELYBGCYHJL-UHFFFAOYSA-N -1 1 320.342 1.908 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2c(F)ccc([O-])c2F)c[nH]1 ZINC000589211324 354974878 /nfs/dbraw/zinc/97/48/78/354974878.db2.gz YXFHLGDGWHHARO-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2cccc(-c3nn[nH]n3)c2)c1 ZINC000074811078 191364780 /nfs/dbraw/zinc/36/47/80/191364780.db2.gz ZAVHJCWVXBOUEY-UHFFFAOYSA-N -1 1 315.358 1.976 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)C1(C(=O)NC2CC2)CCC1 ZINC000591151304 355256012 /nfs/dbraw/zinc/25/60/12/355256012.db2.gz HLPARDSXWOFFGG-UHFFFAOYSA-N -1 1 317.271 1.211 20 0 DDADMM CN1C(=O)c2ccc([N-]S(=O)(=O)c3ccccc3)cc2C1=O ZINC000074854085 191373361 /nfs/dbraw/zinc/37/33/61/191373361.db2.gz AOYXZIULHHENBT-UHFFFAOYSA-N -1 1 316.338 1.713 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCS[C@H]2C)o1 ZINC000592112759 355503837 /nfs/dbraw/zinc/50/38/37/355503837.db2.gz LNPILYVFMGCIBH-YUMQZZPRSA-N -1 1 305.377 1.239 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1sccc1Cl)C(=O)OC ZINC000592077475 355486368 /nfs/dbraw/zinc/48/63/68/355486368.db2.gz JRMCRQXEIZLGHV-ZETCQYMHSA-N -1 1 311.812 1.879 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@@H]2CCc3ncncc3C2)[n-]1 ZINC000592630968 355648541 /nfs/dbraw/zinc/64/85/41/355648541.db2.gz WDQXOAGVMOWIAY-SECBINFHSA-N -1 1 315.333 1.120 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCc3ncncc3C2)n1 ZINC000592630968 355648545 /nfs/dbraw/zinc/64/85/45/355648545.db2.gz WDQXOAGVMOWIAY-SECBINFHSA-N -1 1 315.333 1.120 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2scnc2COC)n1 ZINC000593901877 356048857 /nfs/dbraw/zinc/04/88/57/356048857.db2.gz WEVZEGYHKUTHLR-UHFFFAOYSA-N -1 1 310.335 1.442 20 0 DDADMM Cc1cc(=O)n(C)cc1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000080310632 192023837 /nfs/dbraw/zinc/02/38/37/192023837.db2.gz VJFLBLKTEDKOBE-UHFFFAOYSA-N -1 1 314.313 1.773 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCOC(C)(C)C)o1 ZINC000594850628 356337005 /nfs/dbraw/zinc/33/70/05/356337005.db2.gz XCTRFQQNUVFCCR-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM COc1ccccc1[C@@H]1CCN(C(=O)CCc2nn[n-]n2)C1 ZINC000631420577 422752423 /nfs/dbraw/zinc/75/24/23/422752423.db2.gz GIAAFNKYUBDTMU-LLVKDONJSA-N -1 1 301.350 1.157 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@@H]2NC(=O)CC[C@H]2C1 ZINC000618205480 363508697 /nfs/dbraw/zinc/50/86/97/363508697.db2.gz RSVCAQCVUWXLTO-WPRPVWTQSA-N -1 1 310.300 1.411 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)C[C@@H]1COCCO1 ZINC000618228381 363529167 /nfs/dbraw/zinc/52/91/67/363529167.db2.gz IFEYDIPRBQDREP-LLVKDONJSA-N -1 1 323.393 1.276 20 0 DDADMM COCC1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000287552169 219581659 /nfs/dbraw/zinc/58/16/59/219581659.db2.gz JTLXPBFULGOHPS-AQTBWJFISA-N -1 1 303.366 1.232 20 0 DDADMM CCCOc1cc(C)ccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000276893190 213159861 /nfs/dbraw/zinc/15/98/61/213159861.db2.gz OHUMYIFUYUNECT-UHFFFAOYSA-N -1 1 306.322 1.372 20 0 DDADMM COC(=O)CCCN(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000596799243 356980305 /nfs/dbraw/zinc/98/03/05/356980305.db2.gz RXOUBSHZTZHBRL-UHFFFAOYSA-N -1 1 302.330 1.966 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)C(=O)[N-]OC1CCCC1 ZINC000276889790 213157505 /nfs/dbraw/zinc/15/75/05/213157505.db2.gz BTPXGWNULSFQFA-UHFFFAOYSA-N -1 1 302.334 1.800 20 0 DDADMM COC(=O)c1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)c(C)o1 ZINC000597264911 357113768 /nfs/dbraw/zinc/11/37/68/357113768.db2.gz NZKPZSQADWRWMK-UHFFFAOYSA-N -1 1 319.321 1.087 20 0 DDADMM C[C@@H]1[C@@H](C)[S@](=O)CCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000597276701 357118327 /nfs/dbraw/zinc/11/83/27/357118327.db2.gz SFXPDRRRDBAEDN-XJUBTRFSSA-N -1 1 318.398 1.922 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])CC[S@@]1=O ZINC000597564125 357229373 /nfs/dbraw/zinc/22/93/73/357229373.db2.gz OIEWVZZGIWVPCI-SAHAZLINSA-N -1 1 318.398 1.924 20 0 DDADMM C[C@@H](NCc1nc(=O)n(C)[n-]1)c1cccc(OC(F)(F)F)c1 ZINC000347670412 283250664 /nfs/dbraw/zinc/25/06/64/283250664.db2.gz KLRIHFVAOQDUDJ-MRVPVSSYSA-N -1 1 316.283 1.858 20 0 DDADMM COC(=O)[C@@H](CCF)NC(=O)c1ncc2ccccc2c1[O-] ZINC000597816030 357342568 /nfs/dbraw/zinc/34/25/68/357342568.db2.gz UEVDQUFVEXAKTR-LLVKDONJSA-N -1 1 306.293 1.571 20 0 DDADMM CCSC1(CNC(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1 ZINC000598331843 357541242 /nfs/dbraw/zinc/54/12/42/357541242.db2.gz BRVVURNFMOFSBL-UHFFFAOYSA-N -1 1 318.420 1.203 20 0 DDADMM COC(=O)[C@H]1C[C@H](NC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000598510550 357609720 /nfs/dbraw/zinc/60/97/20/357609720.db2.gz JXHSPVXNWCDNSO-XYPYZODXSA-N -1 1 300.314 1.622 20 0 DDADMM CC(C)Cc1ccc(CCC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000178821549 198936820 /nfs/dbraw/zinc/93/68/20/198936820.db2.gz WYJLKBLNPBIGSS-UHFFFAOYSA-N -1 1 303.362 1.401 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H]2CCC[C@H](C)C2)co1 ZINC000179276822 199009119 /nfs/dbraw/zinc/00/91/19/199009119.db2.gz GVBQMHUXSFXGMK-CMPLNLGQSA-N -1 1 314.407 1.838 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cnc(-c2ccccc2F)s1 ZINC000599334419 357898242 /nfs/dbraw/zinc/89/82/42/357898242.db2.gz LVHZNMBKAIJENY-UHFFFAOYSA-N -1 1 304.310 1.392 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccnc(OCc2ccccc2)c1 ZINC000599334910 357899230 /nfs/dbraw/zinc/89/92/30/357899230.db2.gz XQQUXBUKQLGGBO-UHFFFAOYSA-N -1 1 310.317 1.104 20 0 DDADMM CCC(F)(F)C[N-]S(=O)(=O)c1cc(C(=O)OC)oc1C ZINC000599433061 357933449 /nfs/dbraw/zinc/93/34/49/357933449.db2.gz AHAXJSQHXHBPOQ-UHFFFAOYSA-N -1 1 311.306 1.698 20 0 DDADMM O=C(NCc1nn[n-]n1)c1csc(Cc2ccc(F)cc2)n1 ZINC000600504403 358242551 /nfs/dbraw/zinc/24/25/51/358242551.db2.gz IYNWSIPBHJTKMR-UHFFFAOYSA-N -1 1 318.337 1.316 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2cncc([O-])c2)[C@@H]2CCC[C@H]21 ZINC000600553147 358255327 /nfs/dbraw/zinc/25/53/27/358255327.db2.gz SHLBFSOQYTUPFI-BFHYXJOUSA-N -1 1 304.346 1.591 20 0 DDADMM C[C@H]1CC[C@H](CC(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000181033895 199243087 /nfs/dbraw/zinc/24/30/87/199243087.db2.gz MZGKYDMJQADKOU-UWVGGRQHSA-N -1 1 312.391 1.804 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1[C@@H](C)[C@H](C)O[C@H]1C ZINC000602300877 358920921 /nfs/dbraw/zinc/92/09/21/358920921.db2.gz QBQDCQDHSQJDAQ-GMOBBJLQSA-N -1 1 309.366 1.017 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H](C)C(F)(F)F)n[n-]1 ZINC000603152920 359439522 /nfs/dbraw/zinc/43/95/22/359439522.db2.gz GNOHTDIHARGYHS-BQBZGAKWSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H](C)C(F)(F)F)[n-]1 ZINC000603152920 359439523 /nfs/dbraw/zinc/43/95/23/359439523.db2.gz GNOHTDIHARGYHS-BQBZGAKWSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H](C)C(F)(F)F)n1 ZINC000603152920 359439526 /nfs/dbraw/zinc/43/95/26/359439526.db2.gz GNOHTDIHARGYHS-BQBZGAKWSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2CCCC2(C)C)n[n-]1 ZINC000603154954 359441522 /nfs/dbraw/zinc/44/15/22/359441522.db2.gz NJRBLLRROBFEHH-ZJUUUORDSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2CCCC2(C)C)[n-]1 ZINC000603154954 359441530 /nfs/dbraw/zinc/44/15/30/359441530.db2.gz NJRBLLRROBFEHH-ZJUUUORDSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2CCCC2(C)C)n1 ZINC000603154954 359441535 /nfs/dbraw/zinc/44/15/35/359441535.db2.gz NJRBLLRROBFEHH-ZJUUUORDSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](CC)CC(F)F)n[n-]1 ZINC000603156682 359443240 /nfs/dbraw/zinc/44/32/40/359443240.db2.gz SKJAHGLMHZIHER-HTQZYQBOSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](CC)CC(F)F)[n-]1 ZINC000603156682 359443244 /nfs/dbraw/zinc/44/32/44/359443244.db2.gz SKJAHGLMHZIHER-HTQZYQBOSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](CC)CC(F)F)n1 ZINC000603156682 359443247 /nfs/dbraw/zinc/44/32/47/359443247.db2.gz SKJAHGLMHZIHER-HTQZYQBOSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCCSC)n[n-]1 ZINC000603167449 359451239 /nfs/dbraw/zinc/45/12/39/359451239.db2.gz XFWDMIYDIWQHOA-QMMMGPOBSA-N -1 1 300.384 1.302 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCCSC)[n-]1 ZINC000603167449 359451242 /nfs/dbraw/zinc/45/12/42/359451242.db2.gz XFWDMIYDIWQHOA-QMMMGPOBSA-N -1 1 300.384 1.302 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCCSC)n1 ZINC000603167449 359451243 /nfs/dbraw/zinc/45/12/43/359451243.db2.gz XFWDMIYDIWQHOA-QMMMGPOBSA-N -1 1 300.384 1.302 20 0 DDADMM COc1ccc(F)cc1CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000186800044 200013413 /nfs/dbraw/zinc/01/34/13/200013413.db2.gz UEKCWRSGPGSQJI-SECBINFHSA-N -1 1 307.329 1.152 20 0 DDADMM CO[C@H]1CC[C@H](NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000188282915 200222342 /nfs/dbraw/zinc/22/23/42/200222342.db2.gz FDSSGLFULPWTDK-QWRGUYRKSA-N -1 1 307.394 1.673 20 0 DDADMM Cc1cc(C)n([C@H](C)CC(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000605530733 359867743 /nfs/dbraw/zinc/86/77/43/359867743.db2.gz PWULHLXZHZOLJM-GFCCVEGCSA-N -1 1 317.397 1.550 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)nn1C(C)(C)C ZINC000605531828 359868100 /nfs/dbraw/zinc/86/81/00/359868100.db2.gz PUJFPJCUPHAAKA-UHFFFAOYSA-N -1 1 317.397 1.659 20 0 DDADMM CCO[C@@H]1C[C@@](CO)(NC(=O)c2ccc([O-])cc2F)C1(C)C ZINC000188879316 200315892 /nfs/dbraw/zinc/31/58/92/200315892.db2.gz YXHOJTQZDUVKGA-CJNGLKHVSA-N -1 1 311.353 1.827 20 0 DDADMM CCOC(=O)c1sc(CNC(=O)c2cncc([O-])c2)nc1C ZINC000610551161 360467181 /nfs/dbraw/zinc/46/71/81/360467181.db2.gz FIHMHBKTCFHXAI-UHFFFAOYSA-N -1 1 321.358 1.659 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)CCc1cccn1C ZINC000281265519 216196949 /nfs/dbraw/zinc/19/69/49/216196949.db2.gz VXWTXJMVGCVTGY-SECBINFHSA-N -1 1 312.395 1.203 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cc(F)ccc1[O-])c1ccccn1 ZINC000611281792 360689220 /nfs/dbraw/zinc/68/92/20/360689220.db2.gz GGLISUKSJSAVLY-CYBMUJFWSA-N -1 1 304.277 1.570 20 0 DDADMM CCC[C@@H](NC(=O)c1coc(-c2cccnc2)n1)c1nn[n-]n1 ZINC000612060487 360905776 /nfs/dbraw/zinc/90/57/76/360905776.db2.gz KTNRTYPPGVPYRY-SNVBAGLBSA-N -1 1 313.321 1.521 20 0 DDADMM C[C@H](CO[C@H]1CCOC1)NC(=O)c1ncc2ccccc2c1[O-] ZINC000612117025 360925229 /nfs/dbraw/zinc/92/52/29/360925229.db2.gz TWPRGEROCZWACC-YPMHNXCESA-N -1 1 316.357 1.864 20 0 DDADMM CC(=O)N1CCN(C(=O)c2ncc3ccccc3c2[O-])[C@@H](C)C1 ZINC000612467894 361031081 /nfs/dbraw/zinc/03/10/81/361031081.db2.gz SQVZERYUOFXEFD-NSHDSACASA-N -1 1 313.357 1.633 20 0 DDADMM CCNC(=O)C(C)(C)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000612718573 361120794 /nfs/dbraw/zinc/12/07/94/361120794.db2.gz CELHWVBEPCLQNT-UHFFFAOYSA-N -1 1 315.373 1.833 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCN2C(=O)CC[C@@H]2C1 ZINC000613162547 361301515 /nfs/dbraw/zinc/30/15/15/361301515.db2.gz ZLPIWFRFKDBFTA-GFCCVEGCSA-N -1 1 311.341 1.387 20 0 DDADMM CN(C[C@@H](O)C(F)(F)F)C(=O)c1ncc2ccccc2c1[O-] ZINC000613249455 361348876 /nfs/dbraw/zinc/34/88/76/361348876.db2.gz MXWQBDPNOJVYRQ-SNVBAGLBSA-N -1 1 314.263 1.936 20 0 DDADMM COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)c1ccc([O-])c(F)c1 ZINC000613524301 361455570 /nfs/dbraw/zinc/45/55/70/361455570.db2.gz BXRCBVCRTQDQQY-PWSUYJOCSA-N -1 1 311.309 1.324 20 0 DDADMM CC(=O)N[C@H](C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)C(C)C ZINC000613665071 361510581 /nfs/dbraw/zinc/51/05/81/361510581.db2.gz QIAABNTVWDVRMJ-HNNXBMFYSA-N -1 1 316.361 1.882 20 0 DDADMM COC(=O)CCSCCNC(=O)c1c([O-])cccc1F ZINC000613878905 361616086 /nfs/dbraw/zinc/61/60/86/361616086.db2.gz GPRZMMUNFGGBTM-UHFFFAOYSA-N -1 1 301.339 1.557 20 0 DDADMM CCn1ncnc1CSc1nc([O-])cc(=O)n1C1CCCC1 ZINC000195437535 201389846 /nfs/dbraw/zinc/38/98/46/201389846.db2.gz PPLUDEKLXXSDMD-UHFFFAOYSA-N -1 1 321.406 1.968 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(Cc2cccs2)C1 ZINC000629626655 422765736 /nfs/dbraw/zinc/76/57/36/422765736.db2.gz GJIYAWAEANEUAF-UHFFFAOYSA-N -1 1 321.358 1.273 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H](CC(F)(F)F)O1 ZINC000620804404 364560013 /nfs/dbraw/zinc/56/00/13/364560013.db2.gz FEJCCEFFFLOZBH-RKDXNWHRSA-N -1 1 304.268 1.969 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCCOCCF ZINC000621088972 364668809 /nfs/dbraw/zinc/66/88/09/364668809.db2.gz PNVAVZRKJFGRGF-UHFFFAOYSA-N -1 1 324.356 1.087 20 0 DDADMM C[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@](C)(C(F)F)O1 ZINC000621121950 364693718 /nfs/dbraw/zinc/69/37/18/364693718.db2.gz UHXUOIFAZSEFRI-RFAUZJTJSA-N -1 1 313.300 1.846 20 0 DDADMM Nc1ncc2c(n1)CCN(C(=O)c1c(F)ccc([O-])c1F)C2 ZINC000622550161 365435883 /nfs/dbraw/zinc/43/58/83/365435883.db2.gz AAYAAVMHJXMALV-UHFFFAOYSA-N -1 1 306.272 1.071 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H]2C[C@@H](OC)C2)c(F)c1 ZINC000625669269 367081394 /nfs/dbraw/zinc/08/13/94/367081394.db2.gz XFQYHSNLBKZDBB-DTORHVGOSA-N -1 1 321.345 1.677 20 0 DDADMM COC(=O)C[C@](C)([N-]C(=O)C(F)(F)C(F)F)c1ccncc1 ZINC000275015013 212075389 /nfs/dbraw/zinc/07/53/89/212075389.db2.gz VJPWWUXCPZVTQY-LBPRGKRZSA-N -1 1 322.258 1.877 20 0 DDADMM COC[C@H](C)S(=O)(=O)Nc1cc(C(=O)[O-])ccc1OC ZINC000274898422 212018739 /nfs/dbraw/zinc/01/87/39/212018739.db2.gz HPVNMKMZZIOQSF-QMMMGPOBSA-N -1 1 303.336 1.170 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)c1cncc([O-])c1 ZINC000264936738 204284987 /nfs/dbraw/zinc/28/49/87/204284987.db2.gz PKRFMIJXDYTMIB-LLVKDONJSA-N -1 1 321.377 1.869 20 0 DDADMM COCCCn1ccc(NC(=O)C(=O)c2ccc([O-])cc2)n1 ZINC000288213880 220022668 /nfs/dbraw/zinc/02/26/68/220022668.db2.gz ZFQIFXVHVAGFST-UHFFFAOYSA-N -1 1 303.318 1.447 20 0 DDADMM O=C(N[C@H]1CCS(=O)(=O)C1)c1cc2ccccc2cc1[O-] ZINC000017635982 182084719 /nfs/dbraw/zinc/08/47/19/182084719.db2.gz QOOJHTJRAGBMHF-LBPRGKRZSA-N -1 1 305.355 1.462 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)c2cc(F)ccc2[O-])C1 ZINC000267537050 206131709 /nfs/dbraw/zinc/13/17/09/206131709.db2.gz YYRDEJBRWVLQOY-ZYHUDNBSSA-N -1 1 308.353 1.908 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1ccc2c(c1)CCO2 ZINC000283649806 217704032 /nfs/dbraw/zinc/70/40/32/217704032.db2.gz YGFTYUVQNIDALC-UHFFFAOYSA-N -1 1 323.374 1.021 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2cc(F)ccc2F)CN1C1CC1 ZINC000175293237 248398498 /nfs/dbraw/zinc/39/84/98/248398498.db2.gz GQPUIWDBAQZXLP-VIFPVBQESA-N -1 1 316.329 1.006 20 0 DDADMM CC(C)C[C@H](CO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000040794980 183135080 /nfs/dbraw/zinc/13/50/80/183135080.db2.gz HPNQUELFHBCXRY-MRVPVSSYSA-N -1 1 311.325 1.789 20 0 DDADMM CC(C)C[C@H](C)NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000337680745 249625491 /nfs/dbraw/zinc/62/54/91/249625491.db2.gz FXVDJBJJQAMXKH-JTQLQIEISA-N -1 1 312.443 1.217 20 0 DDADMM CN(C(=O)c1c([O-])cnc2ccc(Cl)cc21)[C@@H]1CCNC1=O ZINC000338401423 249945572 /nfs/dbraw/zinc/94/55/72/249945572.db2.gz KHRCWTWICIDGRL-LLVKDONJSA-N -1 1 319.748 1.554 20 0 DDADMM COc1n[n-]c(=NC(=O)N[C@H](C)c2n[nH]c(C(C)C)n2)s1 ZINC000338512901 250005194 /nfs/dbraw/zinc/00/51/94/250005194.db2.gz RUXQSXNQNJROHQ-ZCFIWIBFSA-N -1 1 311.371 1.093 20 0 DDADMM COCCN1CCC[C@@H]1C[N-]S(=O)(=O)c1sccc1F ZINC000338887264 250181596 /nfs/dbraw/zinc/18/15/96/250181596.db2.gz PVVFQCVOZYIBPE-SNVBAGLBSA-N -1 1 322.427 1.276 20 0 DDADMM COc1cccc(COCCNC(=O)c2cncc([O-])c2)c1 ZINC000339010556 250246215 /nfs/dbraw/zinc/24/62/15/250246215.db2.gz ORBAIHOFZICGFV-UHFFFAOYSA-N -1 1 302.330 1.742 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@@H]([N-]S(=O)(=O)c2sccc2F)C1 ZINC000338975834 250230006 /nfs/dbraw/zinc/23/00/06/250230006.db2.gz ABLYQAHKDJBFFG-RKDXNWHRSA-N -1 1 321.395 1.897 20 0 DDADMM COc1cc(NC(=O)c2c[nH]cc2C)ccc1[N-]S(C)(=O)=O ZINC000339060436 250275314 /nfs/dbraw/zinc/27/53/14/250275314.db2.gz WUCTZIMTKAPJIE-UHFFFAOYSA-N -1 1 323.374 1.956 20 0 DDADMM CCn1cnc(S(=O)(=O)[N-]c2cc(-c3ccncc3)n[nH]2)c1 ZINC000339031311 250258623 /nfs/dbraw/zinc/25/86/23/250258623.db2.gz DPGHXGFKVQEWBJ-UHFFFAOYSA-N -1 1 318.362 1.489 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-][C@H]2CCC[C@@H]2F)s1 ZINC000339119116 250302954 /nfs/dbraw/zinc/30/29/54/250302954.db2.gz KIIFFMOXWZXHIB-YUMQZZPRSA-N -1 1 307.372 1.270 20 0 DDADMM CC(C)(C)CC(=O)NCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339187108 250334615 /nfs/dbraw/zinc/33/46/15/250334615.db2.gz YOOHIIWBJPIOQE-UHFFFAOYSA-N -1 1 324.381 1.034 20 0 DDADMM C[C@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)C1CCOCC1 ZINC000339194475 250337689 /nfs/dbraw/zinc/33/76/89/250337689.db2.gz DPKIXXHDSLQZOG-JTQLQIEISA-N -1 1 309.366 1.544 20 0 DDADMM C[C@H]1CN(c2cccc(F)c2)CCN1C(=O)CCc1nn[n-]n1 ZINC000633185635 422796209 /nfs/dbraw/zinc/79/62/09/422796209.db2.gz CDIBWMQRIQBDNL-NSHDSACASA-N -1 1 318.356 1.009 20 0 DDADMM Cn1cccc([N-]S(=O)(=O)c2cc(Cl)ccc2F)c1=O ZINC000340733197 251181477 /nfs/dbraw/zinc/18/14/77/251181477.db2.gz FWDZYDNPFICIEY-UHFFFAOYSA-N -1 1 316.741 1.979 20 0 DDADMM CCc1cc(NC(=O)c2cc(=O)n3[n-]cnc3n2)ccc1F ZINC000340755830 251196104 /nfs/dbraw/zinc/19/61/04/251196104.db2.gz USYGOBWBRCPJAH-UHFFFAOYSA-N -1 1 301.281 1.371 20 0 DDADMM Cn1c(COc2ccccc2)nnc1SCc1nn[n-]n1 ZINC000269028251 207176963 /nfs/dbraw/zinc/17/69/63/207176963.db2.gz SSNWERUPOFDMRU-UHFFFAOYSA-N -1 1 303.351 1.200 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1cc(C(C)(C)C)on1)C(=O)OC ZINC000340903041 251267200 /nfs/dbraw/zinc/26/72/00/251267200.db2.gz IATKJYVKWSDTOI-SNVBAGLBSA-N -1 1 318.395 1.343 20 0 DDADMM O=C(NCc1nccn1CC(F)(F)F)c1ncccc1[O-] ZINC000340924010 251280138 /nfs/dbraw/zinc/28/01/38/251280138.db2.gz HOLDRWNXFRYLCY-UHFFFAOYSA-N -1 1 300.240 1.476 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@H]2c2ccccc2)o1 ZINC000341142594 251404397 /nfs/dbraw/zinc/40/43/97/251404397.db2.gz HHVLVRPDSHUWTE-NWDGAFQWSA-N -1 1 321.354 1.901 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1ccccc1SC ZINC000269098165 207237010 /nfs/dbraw/zinc/23/70/10/207237010.db2.gz HCCDAISKNAYPNY-UHFFFAOYSA-N -1 1 305.421 1.813 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1Cl ZINC000352421108 285039300 /nfs/dbraw/zinc/03/93/00/285039300.db2.gz AQSUIZIICTYRQI-MRVPVSSYSA-N -1 1 317.736 1.562 20 0 DDADMM CCc1nnc(CN2CC[C@](C(=O)[O-])(c3ccccc3)C2)[nH]1 ZINC000579603115 422802741 /nfs/dbraw/zinc/80/27/41/422802741.db2.gz GTVHLWGNCTZCQW-MRXNPFEDSA-N -1 1 300.362 1.595 20 0 DDADMM O=c1cc(C(F)(F)F)nc(S[C@H]2CCCCNC2=O)[n-]1 ZINC000109713774 296366153 /nfs/dbraw/zinc/36/61/53/296366153.db2.gz BMQQHXBBQHBGPB-LURJTMIESA-N -1 1 307.297 1.962 20 0 DDADMM COC(=O)[C@@]1(C)CCCN(C(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000412940665 533113975 /nfs/dbraw/zinc/11/39/75/533113975.db2.gz WOFIUAHAPIZWIN-HNNXBMFYSA-N -1 1 323.418 1.555 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1ccc(Br)o1)OC ZINC000352592062 285162056 /nfs/dbraw/zinc/16/20/56/285162056.db2.gz AQAIHGPMLGZKAC-SSDOTTSWSA-N -1 1 312.185 1.745 20 0 DDADMM CC[C@H]1CCC[C@@H]1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000156224993 197097503 /nfs/dbraw/zinc/09/75/03/197097503.db2.gz GSJNDHYRNIHPIN-ONGXEEELSA-N -1 1 300.380 1.496 20 0 DDADMM CC[C@@H]1CCC[C@H]1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000156225116 197096831 /nfs/dbraw/zinc/09/68/31/197096831.db2.gz GSJNDHYRNIHPIN-MWLCHTKSSA-N -1 1 300.380 1.496 20 0 DDADMM C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1ccc([O-])cc1F ZINC000154890915 197002238 /nfs/dbraw/zinc/00/22/38/197002238.db2.gz JWTYYSJIDIEPJS-BDAKNGLRSA-N -1 1 303.355 1.425 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC(F)(F)c2ccccc2)c1 ZINC000352730006 285260964 /nfs/dbraw/zinc/26/09/64/285260964.db2.gz PTAAMOJTKSEAKQ-UHFFFAOYSA-N -1 1 301.318 1.490 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H](C)c1ccc(F)cc1 ZINC000289645677 221125818 /nfs/dbraw/zinc/12/58/18/221125818.db2.gz ZUKJOCRQYOOIBN-MRVPVSSYSA-N -1 1 313.354 1.786 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1sccc1F)Cn1ccnc1 ZINC000414462874 224340526 /nfs/dbraw/zinc/34/05/26/224340526.db2.gz UMFQICIHRJLGHW-VIFPVBQESA-N -1 1 303.384 1.698 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)[C@H]1C(=O)[O-] ZINC000424249481 533669409 /nfs/dbraw/zinc/66/94/09/533669409.db2.gz IUHZYNNRLZNDBD-ZWNOBZJWSA-N -1 1 314.345 1.797 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)Nc1cccc(CN2CCN(C)CC2)c1 ZINC000568511616 304297268 /nfs/dbraw/zinc/29/72/68/304297268.db2.gz ZRNGVIWGGKQKOD-LBPRGKRZSA-N -1 1 305.378 1.093 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2ccc(C(=O)[O-])cc2)C[C@H](C)O1 ZINC000568984480 304326784 /nfs/dbraw/zinc/32/67/84/304326784.db2.gz LGGUIMNJJVJBGI-BETUJISGSA-N -1 1 320.389 1.614 20 0 DDADMM CCC[C@H]1[C@@H](C)CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353730419 285894525 /nfs/dbraw/zinc/89/45/25/285894525.db2.gz UXOZWTJUATYUQY-JQWIXIFHSA-N -1 1 303.366 1.458 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCc2c1cccc2C ZINC000569202392 304341209 /nfs/dbraw/zinc/34/12/09/304341209.db2.gz FNEOQHVKVBXHLQ-LLVKDONJSA-N -1 1 315.329 1.622 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccon1)[C@@H](C)CC ZINC000360744945 299735453 /nfs/dbraw/zinc/73/54/53/299735453.db2.gz KREKFVAPSHCRSO-ONGXEEELSA-N -1 1 304.368 1.072 20 0 DDADMM C[C@@H]1CN(CCCN=c2[n-]nc(C(F)F)s2)C[C@@H](C)O1 ZINC000360880148 299765642 /nfs/dbraw/zinc/76/56/42/299765642.db2.gz QYRMUWIXVNQRCF-RKDXNWHRSA-N -1 1 306.382 1.809 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)c3ccccc32)o1 ZINC000077216279 406980368 /nfs/dbraw/zinc/98/03/68/406980368.db2.gz GZNGBFQQKBCZSP-JTQLQIEISA-N -1 1 320.370 1.952 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)SC)cc1[N-]S(C)(=O)=O ZINC000032635951 406950166 /nfs/dbraw/zinc/95/01/66/406950166.db2.gz KDTOGGHAMKNSKK-MRVPVSSYSA-N -1 1 318.420 1.757 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H](C)C[C@H]1C ZINC000078006328 407016113 /nfs/dbraw/zinc/01/61/13/407016113.db2.gz VQNPFJBGZICKMP-GHMZBOCLSA-N -1 1 316.405 1.829 20 0 DDADMM O=C([N-]c1nncs1)c1nc(N2CCCC2)ncc1Cl ZINC000044397492 407023675 /nfs/dbraw/zinc/02/36/75/407023675.db2.gz XZSOJSVPOYYCKV-UHFFFAOYSA-N -1 1 310.770 1.834 20 0 DDADMM Cc1nc(-c2cccs2)sc1C(=O)NCc1nn[n-]n1 ZINC000044928049 407034325 /nfs/dbraw/zinc/03/43/25/407034325.db2.gz IZFCQVXMRXEJQA-UHFFFAOYSA-N -1 1 306.376 1.623 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2ccc3[nH]ccc3c2)c[nH]1 ZINC000078677212 407039763 /nfs/dbraw/zinc/03/97/63/407039763.db2.gz WIIMBVOXISKSHW-UHFFFAOYSA-N -1 1 305.315 1.995 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)Nc2ccccc2C)n[n-]1 ZINC000086170612 407109235 /nfs/dbraw/zinc/10/92/35/407109235.db2.gz MNQHUKBEEXYOHF-UHFFFAOYSA-N -1 1 308.363 1.088 20 0 DDADMM CCC1(CNC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CCC1 ZINC000084255564 407085911 /nfs/dbraw/zinc/08/59/11/407085911.db2.gz RGCROKITENNSPC-UHFFFAOYSA-N -1 1 316.405 1.878 20 0 DDADMM CCn1c(CNC(=O)Cc2ccc(C)c(O)c2)n[n-]c1=S ZINC000089440068 407134322 /nfs/dbraw/zinc/13/43/22/407134322.db2.gz VTPDORXDNQGKGB-UHFFFAOYSA-N -1 1 306.391 1.834 20 0 DDADMM CC(C)n1c(CNC(=O)COCC(F)(F)F)n[n-]c1=S ZINC000066639699 407256045 /nfs/dbraw/zinc/25/60/45/407256045.db2.gz QAGFDAPTYSFAPJ-UHFFFAOYSA-N -1 1 312.317 1.717 20 0 DDADMM CCn1c(CNC(=O)[C@@H](OC)c2ccccc2)n[n-]c1=S ZINC000066636353 407256363 /nfs/dbraw/zinc/25/63/63/407256363.db2.gz HJJTZPPPDHVULL-LBPRGKRZSA-N -1 1 306.391 1.964 20 0 DDADMM Cn1c(CCNC(=O)c2cc(F)c(F)c(F)c2)n[n-]c1=S ZINC000067051665 407266470 /nfs/dbraw/zinc/26/64/70/407266470.db2.gz JPYAKGMBIHFVMZ-UHFFFAOYSA-N -1 1 316.308 1.867 20 0 DDADMM CC[C@@H](C)Oc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccn1 ZINC000124720208 407367874 /nfs/dbraw/zinc/36/78/74/407367874.db2.gz BSXQMVINWVGPJF-GHMZBOCLSA-N -1 1 318.381 1.648 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)c1ccncc1 ZINC000124567417 407362148 /nfs/dbraw/zinc/36/21/48/407362148.db2.gz RZYZSGJDBMHPFE-LLVKDONJSA-N -1 1 323.374 1.464 20 0 DDADMM CC(C)[C@H](NC(=O)c1cc(Br)ccc1[O-])C(N)=O ZINC000107187145 407370604 /nfs/dbraw/zinc/37/06/04/407370604.db2.gz JLDZMTITWXIXEW-JTQLQIEISA-N -1 1 315.167 1.394 20 0 DDADMM Cc1noc([C@@H]2CCCN(Cc3cc(=O)n4[n-]ccc4n3)C2)n1 ZINC000108855413 407391437 /nfs/dbraw/zinc/39/14/37/407391437.db2.gz AGXJHFSUXAXPQR-LLVKDONJSA-N -1 1 314.349 1.094 20 0 DDADMM NC(=O)[C@@H](CNC(=O)c1cncc([O-])c1)Cc1ccc(F)cc1 ZINC000111579493 407412257 /nfs/dbraw/zinc/41/22/57/407412257.db2.gz INPZYLOUJUIUPS-LLVKDONJSA-N -1 1 317.320 1.000 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2ccc(C(=O)OC)o2)n[nH]1 ZINC000126416378 407412947 /nfs/dbraw/zinc/41/29/47/407412947.db2.gz HFSQEENTMDGKTF-UHFFFAOYSA-N -1 1 313.335 1.543 20 0 DDADMM O=C(CCOCc1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129474727 407612563 /nfs/dbraw/zinc/61/25/63/407612563.db2.gz VOEODJCVILMGFN-CQSZACIVSA-N -1 1 315.377 1.513 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCCNC(=O)CN1CC[C@H](C(=O)[O-])C1 ZINC000178903214 407649988 /nfs/dbraw/zinc/64/99/88/407649988.db2.gz AKQLJLRPYFKAQR-HZSPNIEDSA-N -1 1 312.410 1.104 20 0 DDADMM C[C@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C(C)(C)C ZINC000272153875 407796249 /nfs/dbraw/zinc/79/62/49/407796249.db2.gz RIYCBJCLNXERCS-MRVPVSSYSA-N -1 1 300.380 1.660 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@@H]2CCC[C@@H]2C1 ZINC000153344978 407802573 /nfs/dbraw/zinc/80/25/73/407802573.db2.gz GRCKJLIUGDWNOT-BETUJISGSA-N -1 1 314.389 1.440 20 0 DDADMM COc1ccc(CN2C[C@@H](C(=O)[N-]OCC(C)C)CC2=O)cc1 ZINC000153379082 407812318 /nfs/dbraw/zinc/81/23/18/407812318.db2.gz GHDQPUKYPULQII-AWEZNQCLSA-N -1 1 320.389 1.748 20 0 DDADMM Cc1cnc(N2CCN(C(=O)c3cncc([O-])c3)CC2)s1 ZINC000267283758 407817708 /nfs/dbraw/zinc/81/77/08/407817708.db2.gz AFGZLUCXWUCUJK-UHFFFAOYSA-N -1 1 304.375 1.515 20 0 DDADMM CCCN1C(=O)N=NC1SCC1(CS(C)(=O)=O)CCC1 ZINC000133798202 407871503 /nfs/dbraw/zinc/87/15/03/407871503.db2.gz NCVOEVGCQNXZKS-UHFFFAOYSA-N -1 1 319.452 1.701 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1F)N1CCC(C(=O)[O-])CC1 ZINC000262690747 407902322 /nfs/dbraw/zinc/90/23/22/407902322.db2.gz ZFHJPFVWFLZGBC-LLVKDONJSA-N -1 1 308.353 1.627 20 0 DDADMM CCC[C@@H](NC(=O)c1c[nH]c(=O)c2ccccc12)c1nn[n-]n1 ZINC000188278786 407930508 /nfs/dbraw/zinc/93/05/08/407930508.db2.gz DBEXKKYUYWGVPW-GFCCVEGCSA-N -1 1 312.333 1.312 20 0 DDADMM CC(C)[C@H](NC(=O)c1ccccc1Cl)C(=O)Nc1nnn[n-]1 ZINC000118685575 407935817 /nfs/dbraw/zinc/93/58/17/407935817.db2.gz YUTLNLPCKLTMPQ-JTQLQIEISA-N -1 1 322.756 1.246 20 0 DDADMM CC(C)[C@H](NC(=O)c1ccccc1Cl)C(=O)Nc1nn[n-]n1 ZINC000118685575 407935819 /nfs/dbraw/zinc/93/58/19/407935819.db2.gz YUTLNLPCKLTMPQ-JTQLQIEISA-N -1 1 322.756 1.246 20 0 DDADMM CSc1ncc(C(=O)Nc2nn[n-]n2)n1-c1ccccc1 ZINC000118685835 407936256 /nfs/dbraw/zinc/93/62/56/407936256.db2.gz LCBOMWOTZWFSQP-UHFFFAOYSA-N -1 1 301.335 1.360 20 0 DDADMM COc1ccc(NC(=O)Cc2ccoc2)cc1[N-]S(C)(=O)=O ZINC000119181156 408006997 /nfs/dbraw/zinc/00/69/97/408006997.db2.gz KKXAUFKRCQHSSM-UHFFFAOYSA-N -1 1 324.358 1.841 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2nnc(C)n2C)c(=O)[n-]1 ZINC000273115180 408061489 /nfs/dbraw/zinc/06/14/89/408061489.db2.gz CWOQICWNZWSTKA-UHFFFAOYSA-N -1 1 322.394 1.221 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2ccc(F)cc2F)[n-]1 ZINC000268511909 408076311 /nfs/dbraw/zinc/07/63/11/408076311.db2.gz WTZXSFOFIMVYIO-UHFFFAOYSA-N -1 1 303.290 1.203 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2ccc(F)cc2F)n[n-]1 ZINC000268511909 408076318 /nfs/dbraw/zinc/07/63/18/408076318.db2.gz WTZXSFOFIMVYIO-UHFFFAOYSA-N -1 1 303.290 1.203 20 0 DDADMM Cc1ccoc1C(=O)NCCCNC(=O)c1cc(F)ccc1[O-] ZINC000175247387 408087896 /nfs/dbraw/zinc/08/78/96/408087896.db2.gz SUXIOJKLLQWDFP-UHFFFAOYSA-N -1 1 320.320 1.983 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)C[C@H]3CCOC3)cnc2n1 ZINC000175431322 408130517 /nfs/dbraw/zinc/13/05/17/408130517.db2.gz ZZFKXKHTKJKGIO-LLVKDONJSA-N -1 1 301.346 1.752 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N(C)C[C@H]1CCOC1)c2=O ZINC000175431322 408130519 /nfs/dbraw/zinc/13/05/19/408130519.db2.gz ZZFKXKHTKJKGIO-LLVKDONJSA-N -1 1 301.346 1.752 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)Cc3ccc(Cl)cc3)nc2n1 ZINC000137359972 408154205 /nfs/dbraw/zinc/15/42/05/408154205.db2.gz AEHTVXBXWAVZPZ-UHFFFAOYSA-N -1 1 317.736 1.561 20 0 DDADMM CCC[C@H](NC(=O)C[C@@](C)(O)c1ccccc1)c1nn[n-]n1 ZINC000182574164 408195456 /nfs/dbraw/zinc/19/54/56/408195456.db2.gz PHFHANOCMUVSQR-SWLSCSKDSA-N -1 1 303.366 1.455 20 0 DDADMM CCC[C@@H](NC(=O)c1csc([C@@H]2CCCO2)n1)c1nn[n-]n1 ZINC000155476485 408171360 /nfs/dbraw/zinc/17/13/60/408171360.db2.gz PAHGEYPPBJFKDT-SCZZXKLOSA-N -1 1 322.394 1.779 20 0 DDADMM CC(C)[C@H](NCC(=O)NCC(=O)[O-])c1ccc(Cl)cc1F ZINC000263571819 408178214 /nfs/dbraw/zinc/17/82/14/408178214.db2.gz JYSHQBPTHCEODT-AWEZNQCLSA-N -1 1 316.760 1.967 20 0 DDADMM CCCCS[C@H](C)C(=O)Nc1nc(SCCO)n[nH]1 ZINC000268776259 408188871 /nfs/dbraw/zinc/18/88/71/408188871.db2.gz ZMRSUKBDQOETOA-MRVPVSSYSA-N -1 1 304.441 1.749 20 0 DDADMM O=C(CN1CCCC[C@H]1c1nnc[nH]1)[N-]OCc1ccccc1 ZINC000182673688 408216988 /nfs/dbraw/zinc/21/69/88/408216988.db2.gz WICYCTSDNGZMEA-AWEZNQCLSA-N -1 1 315.377 1.580 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](CCO)C(C)C ZINC000268933620 408220285 /nfs/dbraw/zinc/22/02/85/408220285.db2.gz RFOWTPGQUNOHAC-LLVKDONJSA-N -1 1 309.410 1.925 20 0 DDADMM CC(C)N(C)C(=O)[C@@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000274207238 408324521 /nfs/dbraw/zinc/32/45/21/408324521.db2.gz OGGNHXQAPOMWLB-JTQLQIEISA-N -1 1 309.391 1.169 20 0 DDADMM C[C@@H](CC(=O)NCCCc1nc(=O)[n-][nH]1)Cc1cccc(F)c1 ZINC000176264823 408326207 /nfs/dbraw/zinc/32/62/07/408326207.db2.gz YARWAAHVVSSZKM-LLVKDONJSA-N -1 1 320.368 1.555 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC(CO)CC3)cnc2n1 ZINC000156662772 408270235 /nfs/dbraw/zinc/27/02/35/408270235.db2.gz RAGVZHDLPIWDHZ-UHFFFAOYSA-N -1 1 301.346 1.488 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC(CO)CC3)c[n-]c2n1 ZINC000156662772 408270239 /nfs/dbraw/zinc/27/02/39/408270239.db2.gz RAGVZHDLPIWDHZ-UHFFFAOYSA-N -1 1 301.346 1.488 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2ncn(C)c2Cl)s1 ZINC000273647600 408277595 /nfs/dbraw/zinc/27/75/95/408277595.db2.gz VYYKHXUORLRYNG-YFKPBYRVSA-N -1 1 321.815 1.273 20 0 DDADMM O=S(=O)([N-]CC1(CCO)CC1)c1ccc(F)c(F)c1F ZINC000157289922 408298489 /nfs/dbraw/zinc/29/84/89/408298489.db2.gz CURITKHYSUSRIU-UHFFFAOYSA-N -1 1 309.309 1.545 20 0 DDADMM Cc1noc(CC[N-]S(=O)(=O)c2c(F)cccc2Cl)n1 ZINC000131376319 162012852 /nfs/dbraw/zinc/01/28/52/162012852.db2.gz UOOHTFSYSABZJU-UHFFFAOYSA-N -1 1 319.745 1.692 20 0 DDADMM O=S(=O)([N-]CCc1nnc[nH]1)c1c(F)cccc1Cl ZINC000133005442 162048292 /nfs/dbraw/zinc/04/82/92/162048292.db2.gz WREYVMQUGSKKQO-UHFFFAOYSA-N -1 1 304.734 1.118 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)O[C@@H]1CC[C@@H](C)C1 ZINC000274352793 408374091 /nfs/dbraw/zinc/37/40/91/408374091.db2.gz STXRJLOMKOFXOR-BXKDBHETSA-N -1 1 303.362 1.914 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@H]1O)c1cc(F)c(F)cc1F ZINC000269656673 408380351 /nfs/dbraw/zinc/38/03/51/408380351.db2.gz AMOOZTCAFZDKBQ-WDEREUQCSA-N -1 1 309.309 1.686 20 0 DDADMM O=C(NCCc1nnc2n1CCCC2)c1cc(F)ccc1[O-] ZINC000159088285 408382769 /nfs/dbraw/zinc/38/27/69/408382769.db2.gz DKXWRYYPVLIYSI-UHFFFAOYSA-N -1 1 304.325 1.432 20 0 DDADMM C[C@@H](CN(C)C(=O)c1nc(Cl)ccc1Cl)c1nn[n-]n1 ZINC000183426030 408407422 /nfs/dbraw/zinc/40/74/22/408407422.db2.gz ZZKDMFSNVXKGKP-LURJTMIESA-N -1 1 315.164 1.777 20 0 DDADMM COc1cc(OC)cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183424105 408407545 /nfs/dbraw/zinc/40/75/45/408407545.db2.gz WENSHCHYISVNPS-VIFPVBQESA-N -1 1 305.338 1.093 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc2cc(F)ccc2o1)c1nn[n-]n1 ZINC000183445273 408414438 /nfs/dbraw/zinc/41/44/38/408414438.db2.gz PVMOOGVJGYFGJM-MRVPVSSYSA-N -1 1 303.297 1.961 20 0 DDADMM CC(C)COc1ncccc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183452354 408416892 /nfs/dbraw/zinc/41/68/92/408416892.db2.gz APZACISOXWBXQT-NSHDSACASA-N -1 1 318.381 1.505 20 0 DDADMM C[C@H]1C[N@@H+](Cc2ccccn2)CC[C@H]1NC(=O)C(F)(F)F ZINC000247212297 408429033 /nfs/dbraw/zinc/42/90/33/408429033.db2.gz MMSKNMQPSLUZJX-CMPLNLGQSA-N -1 1 301.312 1.971 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)Oc1ccc(C)cc1)c1nn[n-]n1 ZINC000176777981 408441546 /nfs/dbraw/zinc/44/15/46/408441546.db2.gz AFWFIUDFVYTGJG-YPMHNXCESA-N -1 1 303.366 1.933 20 0 DDADMM O=C(NCCCCN1CCOCC1)c1cc(F)c([O-])c(F)c1 ZINC000177070858 408511691 /nfs/dbraw/zinc/51/16/91/408511691.db2.gz XWUYXLRNCOFBKT-UHFFFAOYSA-N -1 1 314.332 1.513 20 0 DDADMM CCC(CC)n1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)n1 ZINC000274751597 408521046 /nfs/dbraw/zinc/52/10/46/408521046.db2.gz HNFOQGAWMYKQFW-SNVBAGLBSA-N -1 1 305.386 1.633 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CC(C)(C)OC1=O ZINC000269891522 408461315 /nfs/dbraw/zinc/46/13/15/408461315.db2.gz DGGGLMKEEPDAKY-VIFPVBQESA-N -1 1 321.377 1.612 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000264384032 408468865 /nfs/dbraw/zinc/46/88/65/408468865.db2.gz MCFXLHBINQIOAW-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCC[C@@H](C)CO ZINC000270514260 408560177 /nfs/dbraw/zinc/56/01/77/408560177.db2.gz ZAMQBEOKOUIULZ-SNVBAGLBSA-N -1 1 309.410 1.927 20 0 DDADMM CN(Cc1ccccc1)C(=O)N1Cc2[nH]cnc2C[C@@H]1C(=O)[O-] ZINC000275147091 408579046 /nfs/dbraw/zinc/57/90/46/408579046.db2.gz RAQTXDOEOMZFDJ-CQSZACIVSA-N -1 1 314.345 1.473 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccccn2)o1 ZINC000177315778 408579670 /nfs/dbraw/zinc/57/96/70/408579670.db2.gz ZXJPDMRKVILFFK-UHFFFAOYSA-N -1 1 310.331 1.330 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1ccc(F)cc1F)C(F)(F)F ZINC000270575147 408586205 /nfs/dbraw/zinc/58/62/05/408586205.db2.gz VDLCZRWUXAZCFD-VIFPVBQESA-N -1 1 319.251 1.556 20 0 DDADMM Cc1cc(=O)[nH]c([C@@H](C)N2CC[N@@H+](CC(C)(C)O)[C@H](C)C2)n1 ZINC000192804501 408653973 /nfs/dbraw/zinc/65/39/73/408653973.db2.gz IDPAFSUPGAJORL-CHWSQXEVSA-N -1 1 308.426 1.329 20 0 DDADMM O=C([N-]Cc1nc2cc(C(F)(F)F)ccc2c(=O)[nH]1)C(F)F ZINC000184613705 408655643 /nfs/dbraw/zinc/65/56/43/408655643.db2.gz SBIIJSWTPICDPB-UHFFFAOYSA-N -1 1 321.205 1.823 20 0 DDADMM CC(C)N1C(=O)C[C@H](NC(=O)c2cc(Cl)ccc2[O-])C1=O ZINC000177571803 408658795 /nfs/dbraw/zinc/65/87/95/408658795.db2.gz YJPSOWZIKHEUFP-JTQLQIEISA-N -1 1 310.737 1.311 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)Cc2ccccc2)c1 ZINC000193391880 408715225 /nfs/dbraw/zinc/71/52/25/408715225.db2.gz KPVPBOJVYATLQU-UHFFFAOYSA-N -1 1 306.343 1.221 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CC[S@](=O)C1 ZINC000354705443 298503057 /nfs/dbraw/zinc/50/30/57/298503057.db2.gz JCCVUJLOBXCGGX-BTKVJGODSA-N -1 1 311.407 1.039 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1cccc(C(=O)[O-])c1)N(C)C1CC1 ZINC000184994854 408731603 /nfs/dbraw/zinc/73/16/03/408731603.db2.gz XYYHBIHWWHMDRC-JTQLQIEISA-N -1 1 312.391 1.146 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)NCCOc1cccc(C)c1)C(=O)[O-] ZINC000185034293 408742171 /nfs/dbraw/zinc/74/21/71/408742171.db2.gz DKTGZNKSYDKQBC-ZFWWWQNUSA-N -1 1 322.405 1.721 20 0 DDADMM Cc1cc(N2CCC(NC(=O)c3c([O-])cccc3F)CC2)n[nH]1 ZINC000276017775 408750317 /nfs/dbraw/zinc/75/03/17/408750317.db2.gz PAFNHMNNQVVEID-UHFFFAOYSA-N -1 1 318.352 1.962 20 0 DDADMM C[C@@H](NC(=O)c1cncc([O-])c1)c1cn(-c2ccccc2)nn1 ZINC000178046497 408764003 /nfs/dbraw/zinc/76/40/03/408764003.db2.gz RYDXAAURVLUEAG-LLVKDONJSA-N -1 1 309.329 1.859 20 0 DDADMM CCO[C@H](CC[N-]S(=O)(=O)c1c(C)onc1N)C(C)C ZINC000290779711 408831334 /nfs/dbraw/zinc/83/13/34/408831334.db2.gz PIHOSMULHYIOCR-SNVBAGLBSA-N -1 1 305.400 1.295 20 0 DDADMM Cc1nnsc1C[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC000291868822 408937325 /nfs/dbraw/zinc/93/73/25/408937325.db2.gz JQWKWPWKDPPTSF-UHFFFAOYSA-N -1 1 319.358 1.744 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@@H](O)C1)c1cc(F)ccc1F ZINC000228596212 163341794 /nfs/dbraw/zinc/34/17/94/163341794.db2.gz PRBQEZNRGFSMCD-MWLCHTKSSA-N -1 1 305.346 1.794 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@H](C)OC)c1ccccc1 ZINC000281947784 408959350 /nfs/dbraw/zinc/95/93/50/408959350.db2.gz MZXABTICWXGECP-WCQYABFASA-N -1 1 315.391 1.245 20 0 DDADMM CCC[C@@H](NC(=O)c1[nH]nc2c1cccc2OC)c1nn[n-]n1 ZINC000292019104 408964600 /nfs/dbraw/zinc/96/46/00/408964600.db2.gz VIJOWKHXOKOIMW-SECBINFHSA-N -1 1 315.337 1.356 20 0 DDADMM CN1CCN(C(=O)c2c([O-])cnc3ccc(Cl)cc32)CC1=O ZINC000282396506 409047401 /nfs/dbraw/zinc/04/74/01/409047401.db2.gz FBEFTMKWLBZDNX-UHFFFAOYSA-N -1 1 319.748 1.508 20 0 DDADMM C[C@H](O)CCC[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000278848575 409100603 /nfs/dbraw/zinc/10/06/03/409100603.db2.gz WQSFWNXCVOIZEC-ZETCQYMHSA-N -1 1 313.206 1.828 20 0 DDADMM O=C(C[C@@H]1COCCN1C(=O)c1cncc([O-])c1)c1ccco1 ZINC000279318431 409191045 /nfs/dbraw/zinc/19/10/45/409191045.db2.gz FSNNNTYMBUQFQM-GFCCVEGCSA-N -1 1 316.313 1.494 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccnn1CC(F)F ZINC000279028522 409135307 /nfs/dbraw/zinc/13/53/07/409135307.db2.gz OWBVGGMHXUERKG-UHFFFAOYSA-N -1 1 310.186 1.537 20 0 DDADMM COC(=O)c1c[n-]c(SC[C@@H]2CCCS(=O)(=O)C2)n1 ZINC000283837098 409225278 /nfs/dbraw/zinc/22/52/78/409225278.db2.gz GUBKSLKMUJWZGS-QMMMGPOBSA-N -1 1 304.393 1.113 20 0 DDADMM C[C@@H]1OCC[C@]1(O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000279505204 409227099 /nfs/dbraw/zinc/22/70/99/409227099.db2.gz OHJWOPZAIIAEEW-SDBXPKJASA-N -1 1 319.279 1.681 20 0 DDADMM Cn1nncc1CSc1nc([O-])cc(=O)n1C1CCCC1 ZINC000289287224 409243594 /nfs/dbraw/zinc/24/35/94/409243594.db2.gz AQZHPXQLUXZCTK-UHFFFAOYSA-N -1 1 307.379 1.485 20 0 DDADMM COC[C@@H](NC(=O)c1c(F)ccc([O-])c1F)[C@H]1CCCO1 ZINC000289375404 409257466 /nfs/dbraw/zinc/25/74/66/409257466.db2.gz BFLLJFHFXGAZAF-MWLCHTKSSA-N -1 1 301.289 1.594 20 0 DDADMM CN(CC1(O)CCOCC1)C(=O)c1c(F)ccc([O-])c1F ZINC000290105622 409303139 /nfs/dbraw/zinc/30/31/39/409303139.db2.gz HXNLMDOHUKGJFN-UHFFFAOYSA-N -1 1 301.289 1.284 20 0 DDADMM CC[C@@H](CNC(=O)CCc1c(C)nc(SC)[n-]c1=O)OC ZINC000290405110 409388349 /nfs/dbraw/zinc/38/83/49/409388349.db2.gz LVOYSMXCODBESL-JTQLQIEISA-N -1 1 313.423 1.686 20 0 DDADMM COC(=O)c1cnn(C)c1[N-]S(=O)(=O)Cc1cccc(C)c1 ZINC000295249968 409396665 /nfs/dbraw/zinc/39/66/65/409396665.db2.gz WMTDLBKOSJKORB-UHFFFAOYSA-N -1 1 323.374 1.457 20 0 DDADMM CC[C@H](C)N1CCN(C(=O)NC[C@H](CC(C)C)C(=O)[O-])CC1 ZINC000316446145 164022527 /nfs/dbraw/zinc/02/25/27/164022527.db2.gz NOZSVJICWTUMSK-KBPBESRZSA-N -1 1 313.442 1.859 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(Br)c([O-])c2)C[C@H]1O ZINC000344846760 164090653 /nfs/dbraw/zinc/09/06/53/164090653.db2.gz CHGQPESQRMRHCO-LLVKDONJSA-N -1 1 314.179 1.998 20 0 DDADMM CC(C)S(=O)(=O)CCNC(=O)c1cc(Cl)ccc1[O-] ZINC000290752270 409508390 /nfs/dbraw/zinc/50/83/90/409508390.db2.gz YSLDJNBEDKFCRL-UHFFFAOYSA-N -1 1 305.783 1.599 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1=CCCCC1 ZINC000296364714 409513216 /nfs/dbraw/zinc/51/32/16/409513216.db2.gz WYFHQHAFSDGSIS-UHFFFAOYSA-N -1 1 313.379 1.365 20 0 DDADMM CO[C@H](C)c1nc(=NCCCOCC2CCOCC2)s[n-]1 ZINC000337883892 409538995 /nfs/dbraw/zinc/53/89/95/409538995.db2.gz WMILWTHGYWZSEZ-LLVKDONJSA-N -1 1 315.439 1.913 20 0 DDADMM O=C(NC[C@@]1(CCO)CCOC1)c1c(F)ccc([O-])c1F ZINC000348850556 409549598 /nfs/dbraw/zinc/54/95/98/409549598.db2.gz VEABIJBNPHPVRJ-CQSZACIVSA-N -1 1 301.289 1.189 20 0 DDADMM CC(C)COC[C@@H](O)CNC(=O)c1c(F)ccc([O-])c1F ZINC000348850807 409550495 /nfs/dbraw/zinc/55/04/95/409550495.db2.gz GBZXVLNALWEGEE-VIFPVBQESA-N -1 1 303.305 1.434 20 0 DDADMM CO[C@@H](C)c1nsc(=NC[C@@H]2CN(CC(C)C)CCO2)[n-]1 ZINC000337906391 409554486 /nfs/dbraw/zinc/55/44/86/409554486.db2.gz CNFIIVZWZBPWHM-NWDGAFQWSA-N -1 1 314.455 1.436 20 0 DDADMM CO[C@@H](C)c1nc(=NC[C@@H]2CN(CC(C)C)CCO2)s[n-]1 ZINC000337906391 409554495 /nfs/dbraw/zinc/55/44/95/409554495.db2.gz CNFIIVZWZBPWHM-NWDGAFQWSA-N -1 1 314.455 1.436 20 0 DDADMM Cc1cccc(OCCN(C)C(=O)CCCc2nn[n-]n2)c1 ZINC000635151977 422847204 /nfs/dbraw/zinc/84/72/04/422847204.db2.gz COYVDHISZWTLPB-UHFFFAOYSA-N -1 1 303.366 1.368 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(=O)[nH]c2ccc(F)cc21 ZINC000357064496 409833893 /nfs/dbraw/zinc/83/38/93/409833893.db2.gz CAOPEGUOEBOELD-UHFFFAOYSA-N -1 1 314.280 1.012 20 0 DDADMM COc1ccc(CCC(=O)NC2(c3nn[n-]n3)CC2)cc1F ZINC000357071934 409841925 /nfs/dbraw/zinc/84/19/25/409841925.db2.gz GDKVKACNAVWESM-UHFFFAOYSA-N -1 1 305.313 1.086 20 0 DDADMM Cc1ccc2c(C[N@@H+](C)[C@@H]3CCS(=O)(=O)C3)ccc(O)c2n1 ZINC000338263448 409847167 /nfs/dbraw/zinc/84/71/67/409847167.db2.gz NBYVCSBWIKTJMT-CYBMUJFWSA-N -1 1 320.414 1.868 20 0 DDADMM CN(CC[C@@H]1CCCO1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000346120219 409798898 /nfs/dbraw/zinc/79/88/98/409798898.db2.gz DCRXPLMDPDTYQL-JTQLQIEISA-N -1 1 304.350 1.315 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@@]12CCOc1ccccc12 ZINC000357050968 409819122 /nfs/dbraw/zinc/81/91/22/409819122.db2.gz IXNSDIIHLQLGQU-XHDPSFHLSA-N -1 1 311.345 1.045 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@H]1O)c1cc(Cl)sc1Cl ZINC000323097626 409921282 /nfs/dbraw/zinc/92/12/82/409921282.db2.gz BGGGXDRKUYHSFR-CRCLSJGQSA-N -1 1 318.203 1.093 20 0 DDADMM NS(=O)(=O)Cc1ccc(NC(=O)c2ccc(O)cc2[O-])cc1 ZINC000318252316 409875095 /nfs/dbraw/zinc/87/50/95/409875095.db2.gz BGVSTIBDEWAIQR-UHFFFAOYSA-N -1 1 322.342 1.139 20 0 DDADMM CC[C@@H](C)[C@H]1CCCCN1S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349631599 409893916 /nfs/dbraw/zinc/89/39/16/409893916.db2.gz XGCNWIUHFWBMIN-MWLCHTKSSA-N -1 1 301.412 1.985 20 0 DDADMM C[C@@H]1COCC[C@@H]1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000342832710 409935403 /nfs/dbraw/zinc/93/54/03/409935403.db2.gz UMBKNQJRRLLWAE-NXEZZACHSA-N -1 1 304.350 1.076 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N(CCO)C1CCCCC1 ZINC000346574420 410021135 /nfs/dbraw/zinc/02/11/35/410021135.db2.gz NVLMJBCJBJCLJF-UHFFFAOYSA-N -1 1 315.373 1.690 20 0 DDADMM COc1ccc(-c2nc(CS(=O)(=O)C3CC3)no2)c([O-])c1 ZINC000351002291 410025615 /nfs/dbraw/zinc/02/56/15/410025615.db2.gz XBRRTJBNXNNCSZ-UHFFFAOYSA-N -1 1 310.331 1.528 20 0 DDADMM COc1ccc(=NC(=O)N[C@@H](CN(C)C)c2ccccc2)[n-]n1 ZINC000346653077 410059282 /nfs/dbraw/zinc/05/92/82/410059282.db2.gz JKWOUQGDYFBRCQ-ZDUSSCGKSA-N -1 1 315.377 1.332 20 0 DDADMM C[C@H](CC(=O)NC(C)(C)c1nn[n-]n1)C(=O)c1cccc(F)c1 ZINC000354771262 410076716 /nfs/dbraw/zinc/07/67/16/410076716.db2.gz JPJGJHFXDSMJHV-SECBINFHSA-N -1 1 319.340 1.599 20 0 DDADMM CCOc1cc(C[N@@H+](C)[C@@H]2COC[C@H]2O)cc(Cl)c1O ZINC000298005329 410077687 /nfs/dbraw/zinc/07/76/87/410077687.db2.gz BMWWOALROVBVGB-VXGBXAGGSA-N -1 1 301.770 1.636 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COc2cccc(OC)c2)n1 ZINC000339389874 410150787 /nfs/dbraw/zinc/15/07/87/410150787.db2.gz ACBJFOKMJMNXAS-UHFFFAOYSA-N -1 1 319.317 1.613 20 0 DDADMM CCN(CCOC)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000298372713 410199768 /nfs/dbraw/zinc/19/97/68/410199768.db2.gz QJLOKOOLKZCCAF-UHFFFAOYSA-N -1 1 313.423 1.640 20 0 DDADMM COc1cc(NC(=O)N[C@H]2C[C@@H]2C)ccc1[N-]S(C)(=O)=O ZINC000355007019 410233986 /nfs/dbraw/zinc/23/39/86/410233986.db2.gz PRDUOPYIQDDRQF-KWQFWETISA-N -1 1 313.379 1.597 20 0 DDADMM Cc1cc(=NC(=O)c2[n-]cnc2C(=O)c2ccccc2)[nH]n1C ZINC000355147863 410344605 /nfs/dbraw/zinc/34/46/05/410344605.db2.gz BEWZVOGXHLAUSN-UHFFFAOYSA-N -1 1 309.329 1.357 20 0 DDADMM O=C(c1nc[n-]c1-c1nc(-c2nc[nH]n2)no1)c1ccccc1 ZINC000346981697 410289825 /nfs/dbraw/zinc/28/98/25/410289825.db2.gz MSWUVLBHRZYHON-UHFFFAOYSA-N -1 1 307.273 1.476 20 0 DDADMM O=C(CCc1ccc(O)cc1)NC1(c2nn[n-]n2)CCCC1 ZINC000355082918 410301617 /nfs/dbraw/zinc/30/16/17/410301617.db2.gz QZXFOFBTBRAZGV-UHFFFAOYSA-N -1 1 301.350 1.424 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(Cc2cscn2)CC1 ZINC000339812823 410477453 /nfs/dbraw/zinc/47/74/53/410477453.db2.gz LVFHCUOSOAMEIO-UHFFFAOYSA-N -1 1 304.375 1.202 20 0 DDADMM Cn1[nH]c(C[N@H+](Cc2ccccc2)CC2(O)CCCC2)nc1=O ZINC000347489440 410581179 /nfs/dbraw/zinc/58/11/79/410581179.db2.gz UJMDUPVSYBBXPK-UHFFFAOYSA-N -1 1 316.405 1.416 20 0 DDADMM COc1nn(C)cc1[N-]S(=O)(=O)N1C[C@@H](C)C[C@H](C)C1 ZINC000352318140 410619390 /nfs/dbraw/zinc/61/93/90/410619390.db2.gz VZUULRPLZCPYRQ-UWVGGRQHSA-N -1 1 302.400 1.063 20 0 DDADMM CCC[C@H](NC(=O)CCc1nc2ccccc2o1)c1nn[n-]n1 ZINC000343722261 410647381 /nfs/dbraw/zinc/64/73/81/410647381.db2.gz HBWYPCDJHFIZPH-NSHDSACASA-N -1 1 314.349 1.931 20 0 DDADMM CCC[C@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343820367 410723643 /nfs/dbraw/zinc/72/36/43/410723643.db2.gz MVNUQDWUPSOYOJ-LBPRGKRZSA-N -1 1 305.378 1.556 20 0 DDADMM Cc1cnc(C(=O)NC2CCN(c3nccs3)CC2)c([O-])c1 ZINC000343826428 410728140 /nfs/dbraw/zinc/72/81/40/410728140.db2.gz DHBUKELQIXQJGG-UHFFFAOYSA-N -1 1 318.402 1.951 20 0 DDADMM CCN(CC)C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000330498512 410732075 /nfs/dbraw/zinc/73/20/75/410732075.db2.gz BJTHVSKDKUHOIO-UHFFFAOYSA-N -1 1 302.396 1.838 20 0 DDADMM CC(C)C(=O)NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000330421791 410681864 /nfs/dbraw/zinc/68/18/64/410681864.db2.gz ASOQWOMDBBHGCP-LBPRGKRZSA-N -1 1 305.378 1.412 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc(Cl)ccc1F)N1CCCC1 ZINC000330533831 410754336 /nfs/dbraw/zinc/75/43/36/410754336.db2.gz UIDGKDQWVBQWRB-UHFFFAOYSA-N -1 1 320.773 1.380 20 0 DDADMM CC(C)NC(=O)CCCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000330577754 410793572 /nfs/dbraw/zinc/79/35/72/410793572.db2.gz HBIHFTUYDJGPIL-NSHDSACASA-N -1 1 324.381 1.176 20 0 DDADMM C[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H]1CCCOC1 ZINC000355957454 410748797 /nfs/dbraw/zinc/74/87/97/410748797.db2.gz RSXYPZXYSRHEGQ-GHMZBOCLSA-N -1 1 301.346 1.468 20 0 DDADMM Cc1nn(CC(C)C)c(C)c1CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000359793077 410885800 /nfs/dbraw/zinc/88/58/00/410885800.db2.gz KAMIRXYSZISMIT-UHFFFAOYSA-N -1 1 319.413 1.263 20 0 DDADMM CC(C)(NC(=O)c1ccc(Br)s1)c1nn[n-]n1 ZINC000359791839 410885846 /nfs/dbraw/zinc/88/58/46/410885846.db2.gz JDUQALDYFQMCRB-UHFFFAOYSA-N -1 1 316.184 1.689 20 0 DDADMM COc1ccccc1N=c1[n-]nc(SCc2nn[nH]n2)s1 ZINC000353212700 410858106 /nfs/dbraw/zinc/85/81/06/410858106.db2.gz BBFLVYJNMNYFSO-UHFFFAOYSA-N -1 1 321.391 1.518 20 0 DDADMM CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1NC(=O)c1cnn[nH]1 ZINC000343997496 410875242 /nfs/dbraw/zinc/87/52/42/410875242.db2.gz XWYKHCQGMCDDDQ-UMVBOHGHSA-N -1 1 300.362 1.886 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1csc(-c2cccs2)n1 ZINC000348282347 410897337 /nfs/dbraw/zinc/89/73/37/410897337.db2.gz WDNHPVPGQXJHBP-UHFFFAOYSA-N -1 1 318.387 1.804 20 0 DDADMM CCN(CC)C(=O)[C@H]1CCCN1C(=O)c1ncc(C)cc1[O-] ZINC000331183136 411002059 /nfs/dbraw/zinc/00/20/59/411002059.db2.gz ZVQFSLCBSSABDK-GFCCVEGCSA-N -1 1 305.378 1.569 20 0 DDADMM Cc1ccc(CCC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)s1 ZINC000353769756 411126147 /nfs/dbraw/zinc/12/61/47/411126147.db2.gz FUPIKXBGIORENA-UHFFFAOYSA-N -1 1 314.392 1.149 20 0 DDADMM Cc1ccc(CCC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)s1 ZINC000353769756 411126149 /nfs/dbraw/zinc/12/61/49/411126149.db2.gz FUPIKXBGIORENA-UHFFFAOYSA-N -1 1 314.392 1.149 20 0 DDADMM CO[N-]C(=O)[C@H]1CC(=O)N(C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000129575142 196058670 /nfs/dbraw/zinc/05/86/70/196058670.db2.gz CEBNIPZJNMUCRW-QPUJVOFHSA-N -1 1 300.717 1.676 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC000631778314 422918730 /nfs/dbraw/zinc/91/87/30/422918730.db2.gz KUTJWAUYFKOGOM-QWHCGFSZSA-N -1 1 322.792 1.858 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](C)OC(C)(C)C2)c1 ZINC000631801132 422927011 /nfs/dbraw/zinc/92/70/11/422927011.db2.gz XHAOOHFVLPCYLK-SNVBAGLBSA-N -1 1 315.391 1.589 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCO[C@H](CCF)C3)cnc2n1 ZINC000630025263 422929847 /nfs/dbraw/zinc/92/98/47/422929847.db2.gz FTCHZHZLCOJKSX-LLVKDONJSA-N -1 1 319.336 1.844 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCO[C@H](CCF)C3)c[n-]c2n1 ZINC000630025263 422929850 /nfs/dbraw/zinc/92/98/50/422929850.db2.gz FTCHZHZLCOJKSX-LLVKDONJSA-N -1 1 319.336 1.844 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H](C)[C@@H](C)C1 ZINC000131514862 196176367 /nfs/dbraw/zinc/17/63/67/196176367.db2.gz AVJOTNCTTQQRCH-MNOVXSKESA-N -1 1 316.405 1.686 20 0 DDADMM C[C@H](CO)[C@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000133974133 196350462 /nfs/dbraw/zinc/35/04/62/196350462.db2.gz CKDVRIHXEBIPSE-SFYZADRCSA-N -1 1 302.168 1.901 20 0 DDADMM CO[C@@H]1C[C@H](CC(=O)[O-])N(Cc2cn3c(cccc3C)n2)C1 ZINC000652503595 423047640 /nfs/dbraw/zinc/04/76/40/423047640.db2.gz VUTKAYOAEOBAIK-ZIAGYGMSSA-N -1 1 303.362 1.707 20 0 DDADMM NC(=O)Cc1occc1-c1nc(-c2ccc([O-])cc2F)no1 ZINC000350615011 306754141 /nfs/dbraw/zinc/75/41/41/306754141.db2.gz ZVOZLVQVDKOFBH-UHFFFAOYSA-N -1 1 303.249 1.869 20 0 DDADMM Cc1noc(C2CC2)c1[N-]S(=O)(=O)N1CCCOCC1 ZINC000650196392 423083301 /nfs/dbraw/zinc/08/33/01/423083301.db2.gz QVQGNOHVRMESIC-UHFFFAOYSA-N -1 1 301.368 1.239 20 0 DDADMM C[C@@H]1CCCN1S(=O)(=O)[N-]c1cc(OC(F)F)n(C)n1 ZINC000488338754 416559551 /nfs/dbraw/zinc/55/95/51/416559551.db2.gz MCFFJVZOXITEFA-SSDOTTSWSA-N -1 1 310.326 1.163 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2COc3ccccc32)cc(=O)[n-]1 ZINC000640658584 423118898 /nfs/dbraw/zinc/11/88/98/423118898.db2.gz PSSWSZTXRCUCFQ-LLVKDONJSA-N -1 1 317.370 1.697 20 0 DDADMM CSc1nc(CNC(=O)[C@@H](C)c2cccnc2)cc(=O)[n-]1 ZINC000640659566 423120544 /nfs/dbraw/zinc/12/05/44/423120544.db2.gz ZMTQHGPZYVUWKT-VIFPVBQESA-N -1 1 304.375 1.719 20 0 DDADMM COC[C@@H]1C[C@@H](O)CN1C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000652779506 423129833 /nfs/dbraw/zinc/12/98/33/423129833.db2.gz HJNYUMHGIJYCCQ-VHSXEESVSA-N -1 1 315.753 1.276 20 0 DDADMM NC(=O)[C@H]1C[C@@H](NC(=O)c2ccc(Br)c([O-])c2)C1 ZINC000652793004 423134930 /nfs/dbraw/zinc/13/49/30/423134930.db2.gz VFSSUFMLWKIZSL-OCAPTIKFSA-N -1 1 313.151 1.148 20 0 DDADMM CCc1cc(=O)[n-]c(SCCC(=O)Nc2cc(C)on2)n1 ZINC000188117456 222006213 /nfs/dbraw/zinc/00/62/13/222006213.db2.gz IAGXLELRTDSPIP-UHFFFAOYSA-N -1 1 308.363 1.750 20 0 DDADMM CCCC[C@@H](C)N(C)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000291043205 222092621 /nfs/dbraw/zinc/09/26/21/222092621.db2.gz UVWHOVKDTWEQKJ-SNVBAGLBSA-N -1 1 302.396 1.838 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc3cc[nH]c3c2)o1 ZINC000194854011 222185964 /nfs/dbraw/zinc/18/59/64/222185964.db2.gz PXMKJFBKDJEFOY-UHFFFAOYSA-N -1 1 319.342 1.921 20 0 DDADMM CCCc1cc(=O)[n-]c(SC[C@H](C)CS(C)(=O)=O)n1 ZINC000194967473 222186430 /nfs/dbraw/zinc/18/64/30/222186430.db2.gz DXWPMTXYVJWLOQ-VIFPVBQESA-N -1 1 304.437 1.908 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cnc3c(c2)COCC3)cc1 ZINC000367594395 418611874 /nfs/dbraw/zinc/61/18/74/418611874.db2.gz PRCFFYBJTUNODX-UHFFFAOYSA-N -1 1 320.370 1.964 20 0 DDADMM O=S(=O)([N-][C@@H]1CCN(C2CC2)C1)c1c(F)cccc1Cl ZINC000361716466 418700966 /nfs/dbraw/zinc/70/09/66/418700966.db2.gz XOCCQAXJYMGCAX-SECBINFHSA-N -1 1 318.801 1.994 20 0 DDADMM C[C@H](C(=O)[O-])N(C(=O)c1c[nH]c2ccccc2c1=O)C1CC1 ZINC000383425025 418733677 /nfs/dbraw/zinc/73/36/77/418733677.db2.gz XCMFZXNLUYXSMY-SECBINFHSA-N -1 1 300.314 1.606 20 0 DDADMM Cc1cc(=NC(=O)c2cnc3c(F)cc(F)cc3c2[O-])[nH][nH]1 ZINC000361919503 418725401 /nfs/dbraw/zinc/72/54/01/418725401.db2.gz ROIJOQWZRPUJIM-UHFFFAOYSA-N -1 1 304.256 1.512 20 0 DDADMM COCCn1ncc2c1[C@@H](NC(=O)c1cncc([O-])c1)CCC2 ZINC000371889161 418823233 /nfs/dbraw/zinc/82/32/33/418823233.db2.gz VWUXWIUHMYVTSD-AWEZNQCLSA-N -1 1 316.361 1.438 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cnc3ccccc32)CC[S@](=O)C1 ZINC000365455544 418862669 /nfs/dbraw/zinc/86/26/69/418862669.db2.gz HMYFMKJSYLNGBI-XFNZEKPQSA-N -1 1 318.398 1.781 20 0 DDADMM COCc1cccc(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000372409075 418866023 /nfs/dbraw/zinc/86/60/23/418866023.db2.gz PZKFJFGZNKUNCU-AWEZNQCLSA-N -1 1 315.377 1.295 20 0 DDADMM C[C@@H](CCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000372156963 418840649 /nfs/dbraw/zinc/84/06/49/418840649.db2.gz MMKUNUIGPKWZOU-GXTWGEPZSA-N -1 1 315.377 1.684 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CC[C@@H](c2ccccc2)O1)c1nn[n-]n1 ZINC000365971624 418926469 /nfs/dbraw/zinc/92/64/69/418926469.db2.gz IWVXGYLYRJSRIY-FPMFFAJLSA-N -1 1 315.377 1.682 20 0 DDADMM COc1ccc(NC(=O)[C@]2(C)C[C@H]2F)cc1[N-]S(C)(=O)=O ZINC000424558643 228289089 /nfs/dbraw/zinc/28/90/89/228289089.db2.gz FOLWFRHVUQEVDB-DGCLKSJQSA-N -1 1 316.354 1.753 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@]2(C)CCC(=O)N2)c(F)c1 ZINC000425184316 228384870 /nfs/dbraw/zinc/38/48/70/228384870.db2.gz IQEHMCDLPSVREB-ZDUSSCGKSA-N -1 1 318.345 1.220 20 0 DDADMM Cc1[nH]nc2cc([N-]S(=O)(=O)c3cnn(C)c3C)ccc12 ZINC000427317965 419633476 /nfs/dbraw/zinc/63/34/76/419633476.db2.gz ZKGVDICEUITEHA-UHFFFAOYSA-N -1 1 305.363 1.714 20 0 DDADMM COC(=O)c1cc(C(C)(C)NC(=O)c2ncc(C)cc2[O-])no1 ZINC000427026801 419581128 /nfs/dbraw/zinc/58/11/28/419581128.db2.gz FKQBFNGSKYHFNE-UHFFFAOYSA-N -1 1 319.317 1.535 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N[C@]1(C)CCOC1)c2=O ZINC000412213527 419726331 /nfs/dbraw/zinc/72/63/31/419726331.db2.gz IZZGLOAAVGGFKU-MRXNPFEDSA-N -1 1 302.330 1.446 20 0 DDADMM CCOC(=O)[C@@H](NC(=O)c1ncccc1[O-])c1cccc(O)c1 ZINC000427765248 419731329 /nfs/dbraw/zinc/73/13/29/419731329.db2.gz MFTSFLSAEHKBKS-ZDUSSCGKSA-N -1 1 316.313 1.527 20 0 DDADMM COc1ccc(CN[C@@H](C(=O)[O-])c2cnn(C)c2)cc1Cl ZINC000417602806 533683788 /nfs/dbraw/zinc/68/37/88/533683788.db2.gz WOBRMUCZDCDZLN-CYBMUJFWSA-N -1 1 309.753 1.998 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3c(c2)COC3)n1 ZINC000415638643 420132435 /nfs/dbraw/zinc/13/24/35/420132435.db2.gz OKQFQLYOKBJNMC-UHFFFAOYSA-N -1 1 301.302 1.869 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cccc(CC(=O)[O-])c2)cn1 ZINC000430977682 420230782 /nfs/dbraw/zinc/23/07/82/420230782.db2.gz LWUNVRZVSCZYRL-UHFFFAOYSA-N -1 1 306.343 1.818 20 0 DDADMM COc1ccc([C@@H](C)NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)cc1 ZINC000416136892 420254058 /nfs/dbraw/zinc/25/40/58/420254058.db2.gz MJUPZFWVRWPOSK-MRVPVSSYSA-N -1 1 319.317 1.396 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)F)c1cc(F)c(F)c(F)c1 ZINC000435626519 420269155 /nfs/dbraw/zinc/26/91/55/420269155.db2.gz JTANZDVKAMCTFH-SSDOTTSWSA-N -1 1 305.224 1.008 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCC(F)(F)C2)c1 ZINC000435797654 420284309 /nfs/dbraw/zinc/28/43/09/420284309.db2.gz FHFMTBNFWSMMFM-SSDOTTSWSA-N -1 1 320.317 1.414 20 0 DDADMM COC(=O)CCc1nnc(NC(=O)c2ccccc2[O-])s1 ZINC000436526409 420336672 /nfs/dbraw/zinc/33/66/72/420336672.db2.gz FTKHWIKEUAGXBR-UHFFFAOYSA-N -1 1 307.331 1.602 20 0 DDADMM O=C(COCC1CCCC1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425307529 420346753 /nfs/dbraw/zinc/34/67/53/420346753.db2.gz UHISITPDMYEEIZ-GFCCVEGCSA-N -1 1 309.366 1.688 20 0 DDADMM O=C(N[C@@H](CO)CC(F)F)c1cc(Br)ccc1[O-] ZINC000436878827 420379025 /nfs/dbraw/zinc/37/90/25/420379025.db2.gz DRMLAFBDWRIRLY-SSDOTTSWSA-N -1 1 324.121 1.901 20 0 DDADMM CCn1cc(CN(CCO)C(=O)c2cc(F)ccc2[O-])cn1 ZINC000436891749 420380571 /nfs/dbraw/zinc/38/05/71/420380571.db2.gz YPVSEYFKZGDBBV-UHFFFAOYSA-N -1 1 307.325 1.382 20 0 DDADMM O=C(C(=O)N1CCN(Cc2ccccc2)CC1)c1ccc([O-])cc1 ZINC000436966211 420386809 /nfs/dbraw/zinc/38/68/09/420386809.db2.gz LKFIQKQHEYCZCR-UHFFFAOYSA-N -1 1 324.380 1.919 20 0 DDADMM C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@@H](O)Cc1ccccc1 ZINC000436973014 420388351 /nfs/dbraw/zinc/38/83/51/420388351.db2.gz RSDASWOVTUGESY-WBMJQRKESA-N -1 1 313.353 1.683 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@H]2CC(C)C)o1 ZINC000416530164 420408162 /nfs/dbraw/zinc/40/81/62/420408162.db2.gz LNZUFFBIPOJNHF-NXEZZACHSA-N -1 1 301.364 1.779 20 0 DDADMM CCO[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000416530736 420408941 /nfs/dbraw/zinc/40/89/41/420408941.db2.gz RWBFJWGOIKFEOB-OCAPTIKFSA-N -1 1 324.196 1.888 20 0 DDADMM CC[C@](COC)([N-]S(=O)(=O)CCCC(C)(C)C)C(=O)OC ZINC000416520167 420403898 /nfs/dbraw/zinc/40/38/98/420403898.db2.gz WUBODQIOSRKWQB-CQSZACIVSA-N -1 1 323.455 1.700 20 0 DDADMM CCn1cc([C@@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)cn1 ZINC000456700539 420530433 /nfs/dbraw/zinc/53/04/33/420530433.db2.gz VYWUSQARMCVJAN-SECBINFHSA-N -1 1 314.349 1.381 20 0 DDADMM CC[C@@H](NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)[C@@H](O)CC ZINC000456725425 420534942 /nfs/dbraw/zinc/53/49/42/420534942.db2.gz QRZQKWWOAYRXAS-OLZOCXBDSA-N -1 1 320.393 1.260 20 0 DDADMM CC[C@H]1CCCC[C@H]1CN=c1[n-]cc(S(N)(=O)=O)s1 ZINC000450626555 420500120 /nfs/dbraw/zinc/50/01/20/420500120.db2.gz SBZGZXQVNVEJGE-UWVGGRQHSA-N -1 1 303.453 1.841 20 0 DDADMM COCc1nc(=NC[C@H](c2nc[nH]n2)c2ccccc2)s[n-]1 ZINC000450874920 420548663 /nfs/dbraw/zinc/54/86/63/420548663.db2.gz MNWGATKACPWJEP-NSHDSACASA-N -1 1 316.390 1.469 20 0 DDADMM O=C1CCCN1CCC[N-]S(=O)(=O)c1sccc1Cl ZINC000451010354 420579385 /nfs/dbraw/zinc/57/93/85/420579385.db2.gz OTYVQZQCNXJNOC-UHFFFAOYSA-N -1 1 322.839 1.692 20 0 DDADMM O=C(C=Cc1c[nH]cn1)Nc1nc(Br)ccc1[O-] ZINC000492828099 420681098 /nfs/dbraw/zinc/68/10/98/420681098.db2.gz YIVMWOFIBODMRB-RJRFIUFISA-N -1 1 309.123 1.925 20 0 DDADMM COc1cc(C(=O)NC[C@@H](O)[C@H]2CCOC2)cc(Cl)c1[O-] ZINC000442673004 420697733 /nfs/dbraw/zinc/69/77/33/420697733.db2.gz QDGRMNZPVBPESS-GZMMTYOYSA-N -1 1 315.753 1.181 20 0 DDADMM COC[C@](C)(CCO)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442806479 420720006 /nfs/dbraw/zinc/72/00/06/420720006.db2.gz BXKOOEQQIFWXKX-AWEZNQCLSA-N -1 1 317.769 1.572 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC(C)(C)C)c1cccs1 ZINC000442953201 420732847 /nfs/dbraw/zinc/73/28/47/420732847.db2.gz NNUZPTPFFWYNNO-JTQLQIEISA-N -1 1 305.421 1.928 20 0 DDADMM CCNC(=O)C(C)(C)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000448160693 420840997 /nfs/dbraw/zinc/84/09/97/420840997.db2.gz UPUSJGAKMNXACD-UHFFFAOYSA-N -1 1 319.452 1.201 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C\C2CCCC2)c1 ZINC000493755438 420934475 /nfs/dbraw/zinc/93/44/75/420934475.db2.gz MYGITAPTFZAPND-TWGQIWQCSA-N -1 1 324.402 1.985 20 0 DDADMM COCc1nc(=N[C@@H](C)[C@@H]2CCCN(C(=O)OC)C2)s[n-]1 ZINC000495534400 421018748 /nfs/dbraw/zinc/01/87/48/421018748.db2.gz RWNMJFBEUSSHGM-VHSXEESVSA-N -1 1 314.411 1.385 20 0 DDADMM CC[C@H](CN=c1ccc(C(=O)NCCO)n[n-]1)c1ccccc1 ZINC000488371242 421086409 /nfs/dbraw/zinc/08/64/09/421086409.db2.gz UWIFGFJEHRTAOF-CYBMUJFWSA-N -1 1 314.389 1.226 20 0 DDADMM CCC[C@@H](NC(=O)c1ccnc(OC(F)F)c1)c1nn[n-]n1 ZINC000450119651 421145396 /nfs/dbraw/zinc/14/53/96/421145396.db2.gz DFMBGQZADYBLDE-MRVPVSSYSA-N -1 1 312.280 1.467 20 0 DDADMM C[C@@H]1CCC[C@]1(C)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000450137325 421149832 /nfs/dbraw/zinc/14/98/32/421149832.db2.gz QRUAKPBQYRBXGR-YGRLFVJLSA-N -1 1 309.439 1.426 20 0 DDADMM Cc1ccccc1[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000544741184 421229103 /nfs/dbraw/zinc/22/91/03/421229103.db2.gz WKRPOXPADMVZPF-LBPRGKRZSA-N -1 1 323.356 1.356 20 0 DDADMM COCc1nc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)cs1 ZINC000525194086 421280640 /nfs/dbraw/zinc/28/06/40/421280640.db2.gz GHGOWUGWSCAPLQ-UHFFFAOYSA-N -1 1 314.392 1.259 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)c2cnccc2C)o1 ZINC000547363850 421325639 /nfs/dbraw/zinc/32/56/39/421325639.db2.gz BEWNJTXTCSVTHO-UHFFFAOYSA-N -1 1 309.347 1.168 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)[C@@H]2CCCO2)n[n-]1 ZINC000547739802 421362273 /nfs/dbraw/zinc/36/22/73/421362273.db2.gz YFCQXZSIIMERJQ-MNOVXSKESA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)[C@@H]2CCCO2)[n-]1 ZINC000547739802 421362275 /nfs/dbraw/zinc/36/22/75/421362275.db2.gz YFCQXZSIIMERJQ-MNOVXSKESA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)[C@@H]2CCCO2)n1 ZINC000547739802 421362277 /nfs/dbraw/zinc/36/22/77/421362277.db2.gz YFCQXZSIIMERJQ-MNOVXSKESA-N -1 1 324.381 1.364 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccc(CC(C)C)cc2)[n-]n1C ZINC000547822493 421370520 /nfs/dbraw/zinc/37/05/20/421370520.db2.gz QGORQOGZNAIVLW-UHFFFAOYSA-N -1 1 323.418 1.850 20 0 DDADMM CC(C)(C)n1cnc(=NC(=O)c2ccc(-c3nnc[nH]3)cc2)[n-]1 ZINC000548114708 421401341 /nfs/dbraw/zinc/40/13/41/421401341.db2.gz MRMHEZZKVWGAKY-UHFFFAOYSA-N -1 1 311.349 1.492 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@@H]1CC[C@H](C(F)(F)F)C1 ZINC000562699704 421401804 /nfs/dbraw/zinc/40/18/04/421401804.db2.gz YECYMVQSQWSKDV-RITPCOANSA-N -1 1 305.260 1.081 20 0 DDADMM C[C@@H](CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)n1cccc1 ZINC000563020766 421440642 /nfs/dbraw/zinc/44/06/42/421440642.db2.gz WASSXESVZLWHBL-NSHDSACASA-N -1 1 304.350 1.934 20 0 DDADMM COc1cc(C)cnc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000515196451 421484614 /nfs/dbraw/zinc/48/46/14/421484614.db2.gz GOIABAZUVLJXTF-UHFFFAOYSA-N -1 1 302.338 1.111 20 0 DDADMM CCC[C@@H](NC(=O)c1nn(C)c2c1CCCC2)c1nn[n-]n1 ZINC000563781636 421541406 /nfs/dbraw/zinc/54/14/06/421541406.db2.gz PBBIIRAHFJXORM-SNVBAGLBSA-N -1 1 303.370 1.083 20 0 DDADMM COc1cc(/C=C\c2cc(=O)n3[n-]cnc3n2)cc(Cl)c1O ZINC000554390318 421638797 /nfs/dbraw/zinc/63/87/97/421638797.db2.gz DESZOWOTGPNRBQ-IHWYPQMZSA-N -1 1 318.720 1.956 20 0 DDADMM Cc1c(C(=O)[O-])sc2ncnc(N[C@H]3CC[C@@H](CO)C3)c12 ZINC000518540316 421658255 /nfs/dbraw/zinc/65/82/55/421658255.db2.gz GVAIGFOQCIJNOV-BDAKNGLRSA-N -1 1 307.375 1.693 20 0 DDADMM Cc1noc(C(C)C)c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000537123634 421728427 /nfs/dbraw/zinc/72/84/27/421728427.db2.gz ARLZLCPHPAFQSY-SNVBAGLBSA-N -1 1 304.354 1.639 20 0 DDADMM CC(C)=CCC[C@@H](C)CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000572409125 421785324 /nfs/dbraw/zinc/78/53/24/421785324.db2.gz ZSVGHHZTKXSDAQ-SNVBAGLBSA-N -1 1 314.411 1.919 20 0 DDADMM CC(C)=CCC[C@@H](C)CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000572409125 421785326 /nfs/dbraw/zinc/78/53/26/421785326.db2.gz ZSVGHHZTKXSDAQ-SNVBAGLBSA-N -1 1 314.411 1.919 20 0 DDADMM C[C@@H]1C[N@H+](Cc2ccccc2)[C@H](C)CN1Cc1nc(=O)n(C)[n-]1 ZINC000541580289 421796682 /nfs/dbraw/zinc/79/66/82/421796682.db2.gz BNOJTSFFZZXTDZ-ZIAGYGMSSA-N -1 1 315.421 1.203 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1CCN(Cc2ccccc2)CC1 ZINC000635299670 421888097 /nfs/dbraw/zinc/88/80/97/421888097.db2.gz DFDOMBYLKFQSHD-UHFFFAOYSA-N -1 1 314.389 1.436 20 0 DDADMM CCO[C@H]1C[C@H](N(C)Cc2cc(C(=O)[O-])nn2C)C12CCC2 ZINC000635303753 421890662 /nfs/dbraw/zinc/89/06/62/421890662.db2.gz NLONJBDGNWNVDT-KBPBESRZSA-N -1 1 307.394 1.898 20 0 DDADMM COc1ccc2c(c1)CCN(Cc1cc(C(=O)[O-])nn1C)CC2 ZINC000635310377 421899443 /nfs/dbraw/zinc/89/94/43/421899443.db2.gz DKWJJEFLVVQKTN-UHFFFAOYSA-N -1 1 315.373 1.728 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@@H](C)[C@@H](C(=O)[O-])C2)cc1 ZINC000581251148 421930701 /nfs/dbraw/zinc/93/07/01/421930701.db2.gz ZVUWPRDZUSOPSQ-RISCZKNCSA-N -1 1 306.362 1.676 20 0 DDADMM C[C@@]1(Cc2ccccc2F)CCCN1C(=O)CCc1nn[n-]n1 ZINC000633563938 421971820 /nfs/dbraw/zinc/97/18/20/421971820.db2.gz FFPDRFCSQCBKAS-INIZCTEOSA-N -1 1 317.368 1.895 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1[C@H]2Cc3cc(Cl)ccc3[C@@H]12 ZINC000633669410 422037158 /nfs/dbraw/zinc/03/71/58/422037158.db2.gz HPFWDODPGSCICC-ZLKJLUDKSA-N -1 1 303.753 1.240 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ocnc2C2CC2)CC1 ZINC000630226148 421976860 /nfs/dbraw/zinc/97/68/60/421976860.db2.gz CBSVXZTXSVSTGL-UHFFFAOYSA-N -1 1 307.350 1.173 20 0 DDADMM O=S(=O)([N-]Cc1nncn1C1CC1)c1cc2ccccc2o1 ZINC000581467308 421980421 /nfs/dbraw/zinc/98/04/21/421980421.db2.gz YAMBIAHTSDNRGI-UHFFFAOYSA-N -1 1 318.358 1.838 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC[C@@H]1CC12CC2 ZINC000581537120 421993622 /nfs/dbraw/zinc/99/36/22/421993622.db2.gz HMCJHOXLLNULAV-LBPRGKRZSA-N -1 1 314.389 1.901 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC(CCO)CC2)c1 ZINC000632000944 422010971 /nfs/dbraw/zinc/01/09/71/422010971.db2.gz BXJSASIODRFZDO-UHFFFAOYSA-N -1 1 315.391 1.184 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2C[C@H](OC)C2(C)C)c1 ZINC000632084932 422074612 /nfs/dbraw/zinc/07/46/12/422074612.db2.gz RKZYOQKHQFEIDE-OLZOCXBDSA-N -1 1 315.391 1.493 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccccc1N1CCCCC1 ZINC000630417825 422087960 /nfs/dbraw/zinc/08/79/60/422087960.db2.gz CJZFWIGDILHBCQ-UHFFFAOYSA-N -1 1 300.366 1.761 20 0 DDADMM COc1ccnc(N2CCN(c3ncc(C(=O)[O-])s3)CC2)c1 ZINC000630456324 422114494 /nfs/dbraw/zinc/11/44/94/422114494.db2.gz QGIXRVNIVQSFOW-UHFFFAOYSA-N -1 1 320.374 1.572 20 0 DDADMM CCc1ccc([C@@H]2CCN(Cc3cn(CC(=O)[O-])nn3)C2)cc1 ZINC000581836218 422051454 /nfs/dbraw/zinc/05/14/54/422051454.db2.gz XQEUXSAJODJKFS-OAHLLOKOSA-N -1 1 314.389 1.915 20 0 DDADMM CC(C)(CCO)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632051500 422054606 /nfs/dbraw/zinc/05/46/06/422054606.db2.gz OCJXMGIZJNRUBY-UHFFFAOYSA-N -1 1 315.317 1.115 20 0 DDADMM CN(CCNC(=O)Cc1ccc([O-])c(Cl)c1)CC(F)F ZINC000633700359 422059847 /nfs/dbraw/zinc/05/98/47/422059847.db2.gz UWEFPASMWZTHMG-UHFFFAOYSA-N -1 1 306.740 1.901 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCO1 ZINC000632172202 422137306 /nfs/dbraw/zinc/13/73/06/422137306.db2.gz OHIJDEWXJXASMC-RNFRBKRXSA-N -1 1 313.301 1.274 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H]([N-]S(=O)(=O)c2cc(C)ns2)C1(C)C ZINC000632184671 422147996 /nfs/dbraw/zinc/14/79/96/422147996.db2.gz WDMJBBDUQOQVNE-FBIMIBRVSA-N -1 1 304.437 1.789 20 0 DDADMM CCCN(C(=O)CCCc1nn[n-]n1)[C@@H]1C[C@H](OCC)C1(C)C ZINC000635598669 422150290 /nfs/dbraw/zinc/15/02/90/422150290.db2.gz MBSXBRJNADQWND-OLZOCXBDSA-N -1 1 323.441 1.965 20 0 DDADMM C[C@@H](Cc1ccc(O)cc1)N(C)C(=O)CCCc1nn[n-]n1 ZINC000635705523 422258272 /nfs/dbraw/zinc/25/82/72/422258272.db2.gz FVNVHMXQEXGGDV-NSHDSACASA-N -1 1 303.366 1.318 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCSC2(CCCCC2)C1 ZINC000635658372 422212121 /nfs/dbraw/zinc/21/21/21/422212121.db2.gz JTYCDBURCLBTDV-UHFFFAOYSA-N -1 1 309.439 1.801 20 0 DDADMM CCO[C@H]1C[C@H](N(C)C(=O)CCCc2nn[n-]n2)C1(CC)CC ZINC000635659453 422215645 /nfs/dbraw/zinc/21/56/45/422215645.db2.gz OHFLUIBRZWSPGM-STQMWFEESA-N -1 1 323.441 1.965 20 0 DDADMM COc1cccc(C2(NC(=O)CCCc3nn[n-]n3)CC2)c1 ZINC000635683726 422238100 /nfs/dbraw/zinc/23/81/00/422238100.db2.gz WFPNGYPCIAOLHY-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@@H](C(C)C)[C@H](O)C(F)(F)F)o1 ZINC000632305999 422238433 /nfs/dbraw/zinc/23/84/33/422238433.db2.gz BPXMXMWOFAOSCX-UWVGGRQHSA-N -1 1 315.313 1.814 20 0 DDADMM CO[C@H](c1ccccc1F)[C@H](C)NC(=O)CCc1nn[n-]n1 ZINC000632308012 422239231 /nfs/dbraw/zinc/23/92/31/422239231.db2.gz OQJFRGZAQGESOE-XPTSAGLGSA-N -1 1 307.329 1.164 20 0 DDADMM O=C(N[C@H]1C[C@@H]2CCCCN2C1=O)c1ccc(Cl)cc1[O-] ZINC000575143887 422268413 /nfs/dbraw/zinc/26/84/13/422268413.db2.gz SUVJKDHLHGQQRP-JQWIXIFHSA-N -1 1 308.765 1.929 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C(C)C)[C@@H]2CCOC2)c1 ZINC000632352086 422270115 /nfs/dbraw/zinc/27/01/15/422270115.db2.gz XSOWEKXCIRXOQB-LLVKDONJSA-N -1 1 315.391 1.589 20 0 DDADMM CC(C)C[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)n1ccnc1 ZINC000635794758 422352906 /nfs/dbraw/zinc/35/29/06/422352906.db2.gz DAGQNJZSTZQIIW-VXGBXAGGSA-N -1 1 305.386 1.246 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(CCOc3cccc(F)c3)C2)n[nH]1 ZINC000576019843 422359102 /nfs/dbraw/zinc/35/91/02/422359102.db2.gz OOFHDGSWJZRJHG-LLVKDONJSA-N -1 1 306.341 1.908 20 0 DDADMM NC(=O)[C@H]1C[C@H](NC(=O)c2ccc(Br)c([O-])c2)C1 ZINC000628777635 422321403 /nfs/dbraw/zinc/32/14/03/422321403.db2.gz VFSSUFMLWKIZSL-ZKCHVHJHSA-N -1 1 313.151 1.148 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)c1ccc2ncsc2c1 ZINC000632560152 422437060 /nfs/dbraw/zinc/43/70/60/422437060.db2.gz RJIUYLPTOFRCRW-QMMMGPOBSA-N -1 1 302.363 1.619 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@@H](c1ccccc1)C(F)(F)F ZINC000632561338 422437921 /nfs/dbraw/zinc/43/79/21/422437921.db2.gz NLYFDZNTSVLPTD-JTQLQIEISA-N -1 1 313.283 1.595 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCC[C@@H]1[C@@H]1CCCC[C@H]1O ZINC000635884850 422441176 /nfs/dbraw/zinc/44/11/76/422441176.db2.gz MQCIVFHKKVSZGQ-BFHYXJOUSA-N -1 1 321.425 1.455 20 0 DDADMM O=C(N[C@@H]1COCC[C@H]1O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000634465323 422443093 /nfs/dbraw/zinc/44/30/93/422443093.db2.gz XHBLJCLXILZZHS-NXEZZACHSA-N -1 1 305.252 1.291 20 0 DDADMM CN(C)CCNS(=O)(=O)c1cc2ccccc2c(C(=O)[O-])c1 ZINC000630962950 422443897 /nfs/dbraw/zinc/44/38/97/422443897.db2.gz LKTWHYYUXOZQCP-UHFFFAOYSA-N -1 1 322.386 1.378 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCOC2(C)C)c1 ZINC000632573012 422446683 /nfs/dbraw/zinc/44/66/83/422446683.db2.gz NUVRBPYKBSXXHG-JTQLQIEISA-N -1 1 315.391 1.494 20 0 DDADMM COc1ccccc1[C@@H]1CCCN1C(=O)CCc1nn[n-]n1 ZINC000630912281 422411622 /nfs/dbraw/zinc/41/16/22/422411622.db2.gz LOJSUDATFSUQEP-LBPRGKRZSA-N -1 1 301.350 1.505 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@@H]1c1ccc2c(c1)OCO2 ZINC000630916130 422412975 /nfs/dbraw/zinc/41/29/75/422412975.db2.gz OZLLYIQALLKMMT-LLVKDONJSA-N -1 1 315.333 1.225 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000628961248 422420906 /nfs/dbraw/zinc/42/09/06/422420906.db2.gz XOUCKSKHDZDDTA-ZETCQYMHSA-N -1 1 320.242 1.881 20 0 DDADMM CCc1c(=O)n(-c2nccn(C)c2=O)[n-]c1-c1cccc(C)c1 ZINC000634613664 422506337 /nfs/dbraw/zinc/50/63/37/422506337.db2.gz FDXZYPDKBMFFML-ZDUSSCGKSA-N -1 1 310.357 1.866 20 0 DDADMM C[C@@H]1CCc2[n-]n(-c3ccc(S(=O)(=O)N(C)C)cc3)c(=O)c21 ZINC000634629141 422513074 /nfs/dbraw/zinc/51/30/74/422513074.db2.gz NTNVQGVSVYKVEL-YGRLFVJLSA-N -1 1 321.402 1.686 20 0 DDADMM O=C(CN1CCC[C@H]1c1ccc(F)cc1F)Nc1nnn[n-]1 ZINC000578163432 422514548 /nfs/dbraw/zinc/51/45/48/422514548.db2.gz QGZJWJLDCLQTCG-NSHDSACASA-N -1 1 308.292 1.254 20 0 DDADMM O=C(CN1CCC[C@H]1c1ccc(F)cc1F)Nc1nn[n-]n1 ZINC000578163432 422514552 /nfs/dbraw/zinc/51/45/52/422514552.db2.gz QGZJWJLDCLQTCG-NSHDSACASA-N -1 1 308.292 1.254 20 0 DDADMM CCc1c(C)[n-]n(-c2ccc(S(=O)(=O)NC3CC3)cc2)c1=O ZINC000634601729 422499824 /nfs/dbraw/zinc/49/98/24/422499824.db2.gz UYZXQAPNANUPJB-CQSZACIVSA-N -1 1 321.402 1.876 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2CCC=CCC2)c1 ZINC000635945802 422499993 /nfs/dbraw/zinc/49/99/93/422499993.db2.gz KFLNYRATAREBTQ-UHFFFAOYSA-N -1 1 324.402 1.985 20 0 DDADMM CO[C@@H]1CN(C[C@H](O)COc2cccc(C)c2)[C@](C)(C(=O)[O-])C1 ZINC000634695052 422554786 /nfs/dbraw/zinc/55/47/86/422554786.db2.gz OMPLAJUQKOUGBA-QRTARXTBSA-N -1 1 323.389 1.299 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@@H](N2CCCC2=O)C1 ZINC000632747092 422555522 /nfs/dbraw/zinc/55/55/22/422555522.db2.gz YFFYGCGSZHMHEW-GFCCVEGCSA-N -1 1 322.792 1.811 20 0 DDADMM Cc1n[nH]c(C(F)F)c1[N-]S(=O)(=O)c1cnc(C)n1C ZINC000629291192 422586673 /nfs/dbraw/zinc/58/66/73/422586673.db2.gz ADMKGTFAVORCQW-UHFFFAOYSA-N -1 1 305.310 1.498 20 0 DDADMM Cc1ccnc([C@@H](C)NC(=O)c2csc(=NC3CC3)[n-]2)n1 ZINC000636045265 422593793 /nfs/dbraw/zinc/59/37/93/422593793.db2.gz QBFKUGKOHKFMTQ-SECBINFHSA-N -1 1 303.391 1.729 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCOC[C@H]1CCOC1 ZINC000631200522 422595727 /nfs/dbraw/zinc/59/57/27/422595727.db2.gz QVFAFKGLZPCSSE-LBPRGKRZSA-N -1 1 313.781 1.757 20 0 DDADMM CC[C@@](C)(C(=O)[O-])N(CC(=O)NCc1cccnc1)CC1CC1 ZINC000629361125 422630998 /nfs/dbraw/zinc/63/09/98/422630998.db2.gz DMTWPBIUGRMDFD-KRWDZBQOSA-N -1 1 319.405 1.663 20 0 DDADMM NC(=O)[C@H]1CC[C@@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629380512 422638713 /nfs/dbraw/zinc/63/87/13/422638713.db2.gz QYRSZNOOSNZLGG-RYUDHWBXSA-N -1 1 300.318 1.028 20 0 DDADMM CCc1ccc(CNC(=O)CN2CC[C@H](C(=O)[O-])[C@@H](C)C2)s1 ZINC000629495728 422701956 /nfs/dbraw/zinc/70/19/56/422701956.db2.gz LISVTUMKCFQKEQ-FZMZJTMJSA-N -1 1 324.446 1.969 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC[C@@H](O)C(F)F)cnc2n1 ZINC000640939273 423285929 /nfs/dbraw/zinc/28/59/29/423285929.db2.gz PWLNBMMSJBNBCN-SNVBAGLBSA-N -1 1 311.288 1.390 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCC[C@@H](O)C(F)F)c[n-]c2n1 ZINC000640939273 423285933 /nfs/dbraw/zinc/28/59/33/423285933.db2.gz PWLNBMMSJBNBCN-SNVBAGLBSA-N -1 1 311.288 1.390 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN1C(=O)c1cccc2c[nH]nc21 ZINC000643849035 423377891 /nfs/dbraw/zinc/37/78/91/423377891.db2.gz RHMILANBECYQSH-OAHLLOKOSA-N -1 1 303.318 1.269 20 0 DDADMM NC(=O)c1csc(=N[C@@H]2CCO[C@H](c3ccncc3)C2)[n-]1 ZINC000651434063 423537863 /nfs/dbraw/zinc/53/78/63/423537863.db2.gz XQWNDHOLAQVXRC-PWSUYJOCSA-N -1 1 304.375 1.391 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCN2C(=O)CN(C)C2=O)n1 ZINC000641408000 423615244 /nfs/dbraw/zinc/61/52/44/423615244.db2.gz GXPGCVIBORMTDZ-UHFFFAOYSA-N -1 1 310.379 1.121 20 0 DDADMM CC(=O)Nc1cccc(CN2CCC(c3n[n-]c(=N)o3)CC2)c1 ZINC000639726308 423690595 /nfs/dbraw/zinc/69/05/95/423690595.db2.gz VEPHYQLCJFJXOK-UHFFFAOYSA-N -1 1 315.377 1.820 20 0 DDADMM COc1ccc(OCCN2CCC(c3n[n-]c(=N)o3)CC2)cc1 ZINC000639727659 423693805 /nfs/dbraw/zinc/69/38/05/423693805.db2.gz WNQUDRHKJPUBQA-UHFFFAOYSA-N -1 1 318.377 1.749 20 0 DDADMM CCC(C)(C)NC(=O)[C@@H](C)N1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639825980 423765359 /nfs/dbraw/zinc/76/53/59/423765359.db2.gz QWFAMALEKJQHFM-MNOVXSKESA-N -1 1 309.414 1.355 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N1C(=O)CN1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639824537 423764263 /nfs/dbraw/zinc/76/42/63/423764263.db2.gz ISSZCBBXLHJHPQ-UPJWGTAASA-N -1 1 321.425 1.451 20 0 DDADMM CO[C@H](CS(=O)(=O)Nc1ccc(C(=O)[O-])cc1O)C(C)C ZINC000647124683 423764355 /nfs/dbraw/zinc/76/43/55/423764355.db2.gz UGEFLMJIZZJGFE-GFCCVEGCSA-N -1 1 317.363 1.503 20 0 DDADMM COc1cc(C(=O)NC[C@@H]2CCCNC2=O)cc(Cl)c1[O-] ZINC000665732757 423782405 /nfs/dbraw/zinc/78/24/05/423782405.db2.gz HZEWPXFVHMEZSY-QMMMGPOBSA-N -1 1 312.753 1.310 20 0 DDADMM CNC(=O)C1CCN(C(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000665776691 423830358 /nfs/dbraw/zinc/83/03/58/423830358.db2.gz FHCTZOCQQMAABU-UHFFFAOYSA-N -1 1 313.357 1.539 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000649458536 423920242 /nfs/dbraw/zinc/92/02/42/423920242.db2.gz SPWCUXIHJLKUJJ-GFCCVEGCSA-N -1 1 320.324 1.584 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000649458536 423920256 /nfs/dbraw/zinc/92/02/56/423920256.db2.gz SPWCUXIHJLKUJJ-GFCCVEGCSA-N -1 1 320.324 1.584 20 0 DDADMM O=C(C[C@H]1OCc2ccccc21)NC1(c2nn[n-]n2)CCCC1 ZINC000654806642 423928057 /nfs/dbraw/zinc/92/80/57/423928057.db2.gz GSOIZXWTOSLGMG-CYBMUJFWSA-N -1 1 313.361 1.747 20 0 DDADMM O=C(c1ncoc1C1CC1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644884315 424010492 /nfs/dbraw/zinc/01/04/92/424010492.db2.gz UVPFXRRNNHNQSL-SECBINFHSA-N -1 1 304.306 1.660 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H]1OCc2ccccc21)c1nn[n-]n1 ZINC000654869795 423987267 /nfs/dbraw/zinc/98/72/67/423987267.db2.gz AASLDDOVRBYDNZ-STQMWFEESA-N -1 1 301.350 1.819 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)C1(c2ccc(C)cc2)CCC1 ZINC000644984232 424095709 /nfs/dbraw/zinc/09/57/09/424095709.db2.gz ZQDPPGIWCYQGAT-UHFFFAOYSA-N -1 1 313.361 1.533 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C1(CO)CC1 ZINC000657101534 424106261 /nfs/dbraw/zinc/10/62/61/424106261.db2.gz AZNMBXFNISZQAW-ZETCQYMHSA-N -1 1 309.309 1.543 20 0 DDADMM COC[C@H](CC(C)(C)C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000655305334 424385062 /nfs/dbraw/zinc/38/50/62/424385062.db2.gz PGAXQMHBUOKCAB-QMMMGPOBSA-N -1 1 313.354 1.077 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2ccc(C)cc2F)on1 ZINC000660010889 424454917 /nfs/dbraw/zinc/45/49/17/424454917.db2.gz QUZWJNGZKXXTLM-UHFFFAOYSA-N -1 1 300.311 1.609 20 0 DDADMM COCC1(NC(=O)c2nc3ccccc3c(=O)[n-]2)CCCC1 ZINC000664300970 424525128 /nfs/dbraw/zinc/52/51/28/424525128.db2.gz LQLROTYKXAFKQI-UHFFFAOYSA-N -1 1 301.346 1.612 20 0 DDADMM CC[C@H]1c2ccccc2CCN1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662205821 424474853 /nfs/dbraw/zinc/47/48/53/424474853.db2.gz MLVOKWOITNNMEQ-GJZGRUSLSA-N -1 1 302.374 1.681 20 0 DDADMM COc1ccccc1C[C@@H](C)N(C)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662207229 424475412 /nfs/dbraw/zinc/47/54/12/424475412.db2.gz UZLNHMSVXKGWQX-TZMCWYRMSA-N -1 1 320.389 1.244 20 0 DDADMM C[C@@H]1CCC[C@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)O1 ZINC000664378206 424565191 /nfs/dbraw/zinc/56/51/91/424565191.db2.gz FKOPSIQTLAHTBO-GHMZBOCLSA-N -1 1 301.346 1.611 20 0 DDADMM Cn1[n-]c(CN2CCCN(c3cccc(F)c3F)CC2)nc1=O ZINC000660667211 424743267 /nfs/dbraw/zinc/74/32/67/424743267.db2.gz WGQGNLVYBRLFTI-UHFFFAOYSA-N -1 1 323.347 1.099 20 0 DDADMM CN(CCC(=O)Nc1ccc(Cl)cc1)Cc1n[n-]c(=O)o1 ZINC000660846078 424787259 /nfs/dbraw/zinc/78/72/59/424787259.db2.gz WNDJFXMUGARZTQ-UHFFFAOYSA-N -1 1 310.741 1.889 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)[C@@H]1CCC[C@@H]1O ZINC000341845179 271220253 /nfs/dbraw/zinc/22/02/53/271220253.db2.gz YXSALAHEJKQHCQ-MNOVXSKESA-N -1 1 307.394 1.774 20 0 DDADMM CC(C)C[C@@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343844631 271886604 /nfs/dbraw/zinc/88/66/04/271886604.db2.gz FKKNENNLNUSUGM-ZDUSSCGKSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@](O)(Cn1ccccc1=O)C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000344517501 272046585 /nfs/dbraw/zinc/04/65/85/272046585.db2.gz OQLPKGCIDZWYRE-HNNXBMFYSA-N -1 1 322.748 1.597 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCCc2occc2C1 ZINC000345501664 272267045 /nfs/dbraw/zinc/26/70/45/272267045.db2.gz NZJVDEXRTNWTCO-UHFFFAOYSA-N -1 1 315.329 1.085 20 0 DDADMM CC1(C)CCC[C@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1 ZINC000339607844 281043657 /nfs/dbraw/zinc/04/36/57/281043657.db2.gz LNWCNUVMSONZGH-QMMMGPOBSA-N -1 1 300.384 1.363 20 0 DDADMM CC1(C)CCC[C@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1 ZINC000339607844 281043659 /nfs/dbraw/zinc/04/36/59/281043659.db2.gz LNWCNUVMSONZGH-QMMMGPOBSA-N -1 1 300.384 1.363 20 0 DDADMM C[C@H](CC[N-]S(=O)(=O)c1cc2ccccc2o1)[S@@](C)=O ZINC000124033796 281182808 /nfs/dbraw/zinc/18/28/08/281182808.db2.gz UPWVOUWKOOHRLL-DGIBIBHMSA-N -1 1 315.416 1.868 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc(C(F)(F)F)nc1)c1nn[n-]n1 ZINC000130729557 281392325 /nfs/dbraw/zinc/39/23/25/281392325.db2.gz DTLXNTCTRDSDRY-SSDOTTSWSA-N -1 1 315.259 1.280 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)CN1C1CC1 ZINC000150835535 281654957 /nfs/dbraw/zinc/65/49/57/281654957.db2.gz NLVLFVOBZVZSFJ-LLVKDONJSA-N -1 1 320.370 1.474 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)N[C@H]2CCCc3cn[nH]c32)C1 ZINC000263104729 297342086 /nfs/dbraw/zinc/34/20/86/297342086.db2.gz BFPXAJFODCTVCZ-USWWRNFRSA-N -1 1 306.366 1.539 20 0 DDADMM CCOC(=O)[C@H](Cc1ccccn1)NC(=O)c1cncc([O-])c1 ZINC000355319061 298711137 /nfs/dbraw/zinc/71/11/37/298711137.db2.gz NHKWBFIHOOJGMR-AWEZNQCLSA-N -1 1 315.329 1.086 20 0 DDADMM CCCOc1nc(C)ccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000362172574 300021564 /nfs/dbraw/zinc/02/15/64/300021564.db2.gz DYLOTPWWLGJJRA-UHFFFAOYSA-N -1 1 302.338 1.111 20 0 DDADMM COc1ccc([C@@H](C)C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000362781408 300139796 /nfs/dbraw/zinc/13/97/96/300139796.db2.gz MDYKNKQGSOTMQD-YPMHNXCESA-N -1 1 315.377 1.718 20 0 DDADMM O=C([C@@H]1CC[C@@H]2CCCC[C@@H]2C1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363443837 300268294 /nfs/dbraw/zinc/26/82/94/300268294.db2.gz ZGGVHXBJIVTXAW-DGAVXFQQSA-N -1 1 319.409 1.706 20 0 DDADMM O=C(C/C=C/c1ccc(F)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363586689 300284365 /nfs/dbraw/zinc/28/43/65/300284365.db2.gz MPKGYGVENJLFEU-AORQRIRUSA-N -1 1 317.324 1.342 20 0 DDADMM O=C1NCCCC[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000170939766 304666241 /nfs/dbraw/zinc/66/62/41/304666241.db2.gz YYDMMQJJHZSAFF-JTQLQIEISA-N -1 1 322.308 1.051 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2[C@H]1O)c1cc(F)ccc1[O-] ZINC000365613795 300545112 /nfs/dbraw/zinc/54/51/12/300545112.db2.gz CUELPTGSDPGHGH-IUODEOHRSA-N -1 1 303.289 1.756 20 0 DDADMM CSCCO[N-]C(=O)[C@H]1CC(=O)N(CCc2ccccc2)C1 ZINC000366561192 300717174 /nfs/dbraw/zinc/71/71/74/300717174.db2.gz NGHFUIRULDHATJ-AWEZNQCLSA-N -1 1 322.430 1.488 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@@H](n2cncn2)C1 ZINC000366706013 300737368 /nfs/dbraw/zinc/73/73/68/300737368.db2.gz CZOIREXGOYSTJG-SECBINFHSA-N -1 1 308.288 1.739 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1cccc(F)c1F)C(=O)OC ZINC000574986039 304702815 /nfs/dbraw/zinc/70/28/15/304702815.db2.gz QBGNFHODBJKAAE-JTQLQIEISA-N -1 1 307.318 1.336 20 0 DDADMM O=C(Nc1nc(-c2ccccn2)n[nH]1)[C@@H]1CCc2nccn2C1 ZINC000368384972 301016192 /nfs/dbraw/zinc/01/61/92/301016192.db2.gz ZERVQYNWUQTZDQ-SNVBAGLBSA-N -1 1 309.333 1.264 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cccc3c2OC(C)(C)C3)n1 ZINC000368513216 301045996 /nfs/dbraw/zinc/04/59/96/301045996.db2.gz BLQQQKOTNYWJMD-UHFFFAOYSA-N -1 1 323.374 1.570 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N2CCOC[C@@H]2C2CC2)n1 ZINC000368911641 301105029 /nfs/dbraw/zinc/10/50/29/301105029.db2.gz ACMFGTJYTGFVND-GFCCVEGCSA-N -1 1 323.418 1.474 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(C)(C2CC2)CC1 ZINC000575852129 304737243 /nfs/dbraw/zinc/73/72/43/304737243.db2.gz WPKTUKOVNRTKBG-UHFFFAOYSA-N -1 1 307.350 1.549 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CC[C@@H](n2cncn2)C1 ZINC000372476096 301578008 /nfs/dbraw/zinc/57/80/08/301578008.db2.gz WRFDGAZIKCBACA-LLVKDONJSA-N -1 1 309.329 1.619 20 0 DDADMM O=C1[C@H]([N-]S(=O)(=O)CCC(F)(F)F)CN1c1ccccc1 ZINC000375098779 301894323 /nfs/dbraw/zinc/89/43/23/301894323.db2.gz DVRHPOYRKVZNCO-SNVBAGLBSA-N -1 1 322.308 1.274 20 0 DDADMM CC(C)[C@]1(CO)CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000377981884 302262478 /nfs/dbraw/zinc/26/24/78/302262478.db2.gz FLORLWKBQIATJI-QGZVFWFLSA-N -1 1 315.373 1.404 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCSC[C@@H]1C1CCC1 ZINC000333304109 302865187 /nfs/dbraw/zinc/86/51/87/302865187.db2.gz JGDPNVXYIHLJDO-SNVBAGLBSA-N -1 1 317.436 1.302 20 0 DDADMM O=S(=O)([N-]CC1COC1)c1cc(Cl)sc1Cl ZINC000528563043 303045149 /nfs/dbraw/zinc/04/51/49/303045149.db2.gz HAYSNOIFSANYKD-UHFFFAOYSA-N -1 1 302.204 1.980 20 0 DDADMM CO[C@@]1(C(=O)[O-])CC[N@H+]([C@H](C)c2nc(-c3ccccc3)no2)C1 ZINC000530168548 303178731 /nfs/dbraw/zinc/17/87/31/303178731.db2.gz DJSISSZECMWFAO-BZNIZROVSA-N -1 1 317.345 1.973 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN([C@H](C)c2nc(-c3ccccc3)no2)C1 ZINC000530168548 303178733 /nfs/dbraw/zinc/17/87/33/303178733.db2.gz DJSISSZECMWFAO-BZNIZROVSA-N -1 1 317.345 1.973 20 0 DDADMM O=C(NCC[N-]S(=O)(=O)c1cc2ccccc2o1)C1CC1 ZINC000530771841 303211017 /nfs/dbraw/zinc/21/10/17/303211017.db2.gz NXBMANQDPNGHMD-UHFFFAOYSA-N -1 1 308.359 1.237 20 0 DDADMM CCOC(=O)CN(CC)C(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000535730298 303349979 /nfs/dbraw/zinc/34/99/79/303349979.db2.gz ASGMFFCMVJKRLY-UHFFFAOYSA-N -1 1 317.345 1.669 20 0 DDADMM CCOC(=O)CN(CC)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000535730298 303349984 /nfs/dbraw/zinc/34/99/84/303349984.db2.gz ASGMFFCMVJKRLY-UHFFFAOYSA-N -1 1 317.345 1.669 20 0 DDADMM C[C@@H](C[S@@](C)=O)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000541308626 303419012 /nfs/dbraw/zinc/41/90/12/303419012.db2.gz KZRDMOKLVVXWMR-NIVTXAMTSA-N -1 1 301.389 1.478 20 0 DDADMM O=C(N[C@@H]1C[C@H]1C1CCCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000544678525 303475783 /nfs/dbraw/zinc/47/57/83/303475783.db2.gz AHLAGEHYOIDSEL-WDEREUQCSA-N -1 1 301.350 1.116 20 0 DDADMM C[C@H]1c2ccccc2CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544808263 303478527 /nfs/dbraw/zinc/47/85/27/303478527.db2.gz JNVFQXQWUBEQRO-JTQLQIEISA-N -1 1 309.329 1.177 20 0 DDADMM CCn1nc(C)c(S(=O)(=O)N=c2cc3ccccn3[n-]2)c1C ZINC000551953387 303646768 /nfs/dbraw/zinc/64/67/68/303646768.db2.gz DFRBIFOZJOKPNK-UHFFFAOYSA-N -1 1 319.390 1.390 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CCOC[C@H]2C)sc1C ZINC000560472666 303816231 /nfs/dbraw/zinc/81/62/31/303816231.db2.gz BKBQYCHYOSCFEB-KCJUWKMLSA-N -1 1 304.437 1.711 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2[C@@H](C)C2(F)F)c1Br ZINC000359884801 306965964 /nfs/dbraw/zinc/96/59/64/306965964.db2.gz SJRPHGVUAVJVLM-XINAWCOVSA-N -1 1 308.126 1.996 20 0 DDADMM CCCc1nc(=NC[C@@H](C(=O)OC)C2CCOCC2)s[n-]1 ZINC000360084921 306972680 /nfs/dbraw/zinc/97/26/80/306972680.db2.gz VGNOHIWZRXQEEB-LLVKDONJSA-N -1 1 313.423 1.540 20 0 DDADMM O=C(N[C@H]1CN(c2ccc(F)cc2)C1=O)c1cncc([O-])c1 ZINC000363680656 307033266 /nfs/dbraw/zinc/03/32/66/307033266.db2.gz YILPLLWHBZKWFV-ZDUSSCGKSA-N -1 1 301.277 1.072 20 0 DDADMM C[C@H]1CC(=O)Oc2cc(OS(=O)(=O)c3c[n-]cn3)ccc21 ZINC000365197998 307061926 /nfs/dbraw/zinc/06/19/26/307061926.db2.gz MMPKFYOHRRHNIA-QMMMGPOBSA-N -1 1 308.315 1.590 20 0 DDADMM C[C@@H]1C[C@@H](CC(=O)N2CCO[C@H](c3nn[n-]n3)C2)CC(C)(C)C1 ZINC000370862022 307138499 /nfs/dbraw/zinc/13/84/99/307138499.db2.gz ZKFKMUDUGHXXNA-AGIUHOORSA-N -1 1 321.425 1.952 20 0 DDADMM O=C(c1ncccc1[O-])N1CC(n2cc(-c3ccccc3)nn2)C1 ZINC000374757312 307213588 /nfs/dbraw/zinc/21/35/88/307213588.db2.gz BOZLPCXLZVAQAF-UHFFFAOYSA-N -1 1 321.340 1.743 20 0 DDADMM Cn1nnc2c1C[C@@H](C(=O)Nc1ccc([O-])c(Cl)c1)CC2 ZINC000378001047 307280641 /nfs/dbraw/zinc/28/06/41/307280641.db2.gz PYACFCKIHZNFCL-QMMMGPOBSA-N -1 1 306.753 1.918 20 0 DDADMM C[C@@H](CNC(=O)OC(C)(C)C)C(=O)[N-]O[C@@H]1CCCCO1 ZINC000495678831 307314132 /nfs/dbraw/zinc/31/41/32/307314132.db2.gz CZJMHXJIHJYILG-WDEREUQCSA-N -1 1 302.371 1.722 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCCC2(CCCCC2)C1 ZINC000544686643 307718567 /nfs/dbraw/zinc/71/85/67/307718567.db2.gz DTFDEISAFDZBIL-UHFFFAOYSA-N -1 1 315.377 1.604 20 0 DDADMM C[C@@H]1CCC[C@H](CCNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000544748442 307719222 /nfs/dbraw/zinc/71/92/22/307719222.db2.gz VLGUZILHXSHVFF-GHMZBOCLSA-N -1 1 303.366 1.364 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H](N)CC(F)F)[nH][n-]2)s1 ZINC000564914353 308011728 /nfs/dbraw/zinc/01/17/28/308011728.db2.gz HWNBEXUWTKYQSV-SSDOTTSWSA-N -1 1 300.334 1.790 20 0 DDADMM CCC[C@H](C)[C@H](CO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000565083176 308019488 /nfs/dbraw/zinc/01/94/88/308019488.db2.gz LDDAMTKCYKQFIF-KWQFWETISA-N -1 1 306.453 1.835 20 0 DDADMM C[C@H]1CN(CCCNC(=O)c2cccc(C(=O)[O-])c2)C[C@H](C)O1 ZINC000567844590 308098652 /nfs/dbraw/zinc/09/86/52/308098652.db2.gz SUXLVGOLNITIFB-STQMWFEESA-N -1 1 320.389 1.614 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@@H]1CCc2nncn2C1 ZINC000567943788 308101583 /nfs/dbraw/zinc/10/15/83/308101583.db2.gz WEHRDUROBNPFIU-LLVKDONJSA-N -1 1 324.344 1.575 20 0 DDADMM CO[C@@H]1CCN(Cc2cnn(C)c2C(F)(F)F)[C@@H](C(=O)[O-])C1 ZINC000573267263 308235228 /nfs/dbraw/zinc/23/52/28/308235228.db2.gz CRZPYSLWCILDHS-NXEZZACHSA-N -1 1 321.299 1.503 20 0 DDADMM NC(=O)C1CCC([N-]S(=O)(=O)c2cc3ccccc3o2)CC1 ZINC000573284490 308235954 /nfs/dbraw/zinc/23/59/54/308235954.db2.gz RHKPALIPYNNHNK-UHFFFAOYSA-N -1 1 322.386 1.755 20 0 DDADMM CC(C)Cn1nccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000573373899 308238552 /nfs/dbraw/zinc/23/85/52/308238552.db2.gz NVZOFPLKTOGCCT-NSHDSACASA-N -1 1 303.370 1.072 20 0 DDADMM C[C@H](CNS(=O)(=O)c1c(Cl)ccc(F)c1F)C(=O)[O-] ZINC000576231397 308299434 /nfs/dbraw/zinc/29/94/34/308299434.db2.gz GIANEWXYXNMOFD-RXMQYKEDSA-N -1 1 313.709 1.617 20 0 DDADMM CO[C@H]1C[C@H](C(=O)[O-])N(Cc2ccc(-n3cccn3)cc2)C1 ZINC000576590767 308325661 /nfs/dbraw/zinc/32/56/61/308325661.db2.gz DPXHUFHPYZEZND-LSDHHAIUSA-N -1 1 301.346 1.546 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H](N)CC(F)F)c1Br ZINC000581546229 325872722 /nfs/dbraw/zinc/87/27/22/325872722.db2.gz BIIWSPSHQAEFTQ-BYPYZUCNSA-N -1 1 311.130 1.078 20 0 DDADMM Cc1nnsc1C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000583000568 337227243 /nfs/dbraw/zinc/22/72/43/337227243.db2.gz MFGRQNAAETUJJC-UHFFFAOYSA-N -1 1 304.422 1.398 20 0 DDADMM O=C(N[C@@H]1CCN(C2CC2)C1)c1nn(-c2ccccc2)cc1[O-] ZINC000583119226 337243626 /nfs/dbraw/zinc/24/36/26/337243626.db2.gz NMKZSOHXNPSSIM-GFCCVEGCSA-N -1 1 312.373 1.544 20 0 DDADMM COc1cccc2c1OCC[C@@H]2Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000656224930 484032888 /nfs/dbraw/zinc/03/28/88/484032888.db2.gz AWXYQQZYUPNTDC-JTQLQIEISA-N -1 1 313.317 1.376 20 0 DDADMM CCC[C@@H](NC(=O)C1CCN(C(=O)OCC)CC1)c1nn[n-]n1 ZINC000493512580 484236457 /nfs/dbraw/zinc/23/64/57/484236457.db2.gz SAIQATDAZGFXKG-LLVKDONJSA-N -1 1 324.385 1.026 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cc(C)ccc1F)[C@H]1CCOC1 ZINC000656614868 484244940 /nfs/dbraw/zinc/24/49/40/484244940.db2.gz RBBZTDHRIUZDJI-FZMZJTMJSA-N -1 1 317.382 1.927 20 0 DDADMM COC1CCN(S(=O)(=O)[N-]c2c(C)noc2C2CC2)CC1 ZINC000656628881 484248903 /nfs/dbraw/zinc/24/89/03/484248903.db2.gz RCRLCMRQQYOBTN-UHFFFAOYSA-N -1 1 315.395 1.628 20 0 DDADMM CCc1nn(C)cc1[N-]S(=O)(=O)C[C@H](OC)C1CCCC1 ZINC000656626679 484249417 /nfs/dbraw/zinc/24/94/17/484249417.db2.gz NWUILASKEDDVDS-AWEZNQCLSA-N -1 1 315.439 1.929 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(=O)[nH]n1)C1CCCC1 ZINC000656731725 484307062 /nfs/dbraw/zinc/30/70/62/484307062.db2.gz RMHOWHCINXDFSO-SNVBAGLBSA-N -1 1 301.368 1.129 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cc(C2CC2)no1 ZINC000669637315 484596418 /nfs/dbraw/zinc/59/64/18/484596418.db2.gz GMDHZESRIXTBOI-CYBMUJFWSA-N -1 1 310.317 1.585 20 0 DDADMM CCC[C@@H]1CN(C(=O)[C@H](C(=O)[O-])C2CC2)CCN1CCOC ZINC000663060855 484636127 /nfs/dbraw/zinc/63/61/27/484636127.db2.gz NBNYZNKHPNUZOS-ZIAGYGMSSA-N -1 1 312.410 1.057 20 0 DDADMM CC(C)CN1CCO[C@@H](CNC(=O)[C@@H](C(=O)[O-])C(C)(C)C)C1 ZINC000663100790 484666514 /nfs/dbraw/zinc/66/65/14/484666514.db2.gz PNQVCDXJJDUFEC-STQMWFEESA-N -1 1 314.426 1.206 20 0 DDADMM C[C@@H]1CC[C@H](C)N1CC(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1 ZINC000663139159 484697152 /nfs/dbraw/zinc/69/71/52/484697152.db2.gz XNIJPJNOBQVGSR-PHIMTYICSA-N -1 1 308.382 1.514 20 0 DDADMM CC(C)C(=O)c1ccc([C@@H](C)C(=O)NCc2nn[n-]n2)cc1 ZINC000670067692 484741783 /nfs/dbraw/zinc/74/17/83/484741783.db2.gz BMRAKNRJWSONTM-SNVBAGLBSA-N -1 1 301.350 1.458 20 0 DDADMM Cc1cn(C)nc1[N-]S(=O)(=O)N1CCc2ccccc2C1 ZINC000663265807 484773441 /nfs/dbraw/zinc/77/34/41/484773441.db2.gz JSRRAAPLIMICEC-UHFFFAOYSA-N -1 1 306.391 1.444 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C3CCC3)C2)o1 ZINC000665678749 485002533 /nfs/dbraw/zinc/00/25/33/485002533.db2.gz ZEJYFQLUJORCIW-NSHDSACASA-N -1 1 312.391 1.450 20 0 DDADMM COCCNC(=O)c1cccc(NC(=O)c2n[nH]c(C)c2[O-])c1 ZINC000672158627 485244572 /nfs/dbraw/zinc/24/45/72/485244572.db2.gz PUHQOYUTRVNMSL-UHFFFAOYSA-N -1 1 318.333 1.052 20 0 DDADMM C[C@H](NC(=O)c1ncc2ccccc2c1[O-])C(=O)NC(C)(C)C ZINC000667157260 485416632 /nfs/dbraw/zinc/41/66/32/485416632.db2.gz WSZWHMQEXXAZLQ-JTQLQIEISA-N -1 1 315.373 1.973 20 0 DDADMM O=C(CC1CCSCC1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000675598670 485988634 /nfs/dbraw/zinc/98/86/34/485988634.db2.gz PMITVYARKAJDKM-CQSZACIVSA-N -1 1 317.418 1.939 20 0 DDADMM CS(=O)(=O)[C@H]1CCCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000683522500 486036678 /nfs/dbraw/zinc/03/66/78/486036678.db2.gz URCRZSOFZRNUNM-NSHDSACASA-N -1 1 315.366 1.571 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2nc(C)c(C)s2)CCOCC1 ZINC000676563432 486258845 /nfs/dbraw/zinc/25/88/45/486258845.db2.gz QYYSLYIMGYMCFO-UHFFFAOYSA-N -1 1 320.436 1.234 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCN(C(=O)C3CCCC3)C2)c([O-])c1 ZINC000680845249 486280572 /nfs/dbraw/zinc/28/05/72/486280572.db2.gz JKGITLJGMAOUJT-ZDUSSCGKSA-N -1 1 317.389 1.617 20 0 DDADMM COc1ccc(OCCCS(=O)(=O)[N-]c2cncnc2)cc1 ZINC000680995213 486320676 /nfs/dbraw/zinc/32/06/76/486320676.db2.gz HHVDJZMLHRNDQU-UHFFFAOYSA-N -1 1 323.374 1.696 20 0 DDADMM CCO[C@@H]1C[C@@H](O)C12CCN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC000681048574 486330887 /nfs/dbraw/zinc/33/08/87/486330887.db2.gz BNCHBMWLCIEHHM-HUUCEWRRSA-N -1 1 323.364 1.923 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@@H](NC(=O)c2ccc([O-])c(F)c2)C1 ZINC000681049841 486332173 /nfs/dbraw/zinc/33/21/73/486332173.db2.gz UUKLLIXOJPLZKE-MNOVXSKESA-N -1 1 315.366 1.617 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cnc(Cc2ccccc2F)s1 ZINC000681271194 486393151 /nfs/dbraw/zinc/39/31/51/486393151.db2.gz MXRTUXOFTWCYCU-UHFFFAOYSA-N -1 1 318.337 1.316 20 0 DDADMM Cc1ccc(OCCCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000681353587 486411227 /nfs/dbraw/zinc/41/12/27/486411227.db2.gz PPEDJGCEQFUDAF-ZDUSSCGKSA-N -1 1 317.393 1.929 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@]2(C)CCOC2)c(F)c1 ZINC000677317033 486438555 /nfs/dbraw/zinc/43/85/55/486438555.db2.gz YYYKLIRUVFPGCT-LBPRGKRZSA-N -1 1 307.318 1.431 20 0 DDADMM CCCC(=O)NC1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000681711536 486498203 /nfs/dbraw/zinc/49/82/03/486498203.db2.gz MAXVVYWYLXZXAP-UHFFFAOYSA-N -1 1 305.378 1.617 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ocnc1C1CC1 ZINC000685424567 486536985 /nfs/dbraw/zinc/53/69/85/486536985.db2.gz DKPJIEHKMUIBLR-LBPRGKRZSA-N -1 1 310.317 1.585 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc2ccccc2cc1OC(F)F ZINC000677765854 486538761 /nfs/dbraw/zinc/53/87/61/486538761.db2.gz VHVHKTHCDGHYFE-UHFFFAOYSA-N -1 1 319.271 1.884 20 0 DDADMM O=C(Nc1ccc(-c2nc(=O)o[n-]2)cc1)c1cnc2nc[nH]c2c1 ZINC000677880419 486564810 /nfs/dbraw/zinc/56/48/10/486564810.db2.gz PLKJNHNFCDWTKM-UHFFFAOYSA-N -1 1 322.284 1.554 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NC[C@@H](CC(C)C)C(=O)[O-])n[nH]1 ZINC000424413434 533758389 /nfs/dbraw/zinc/75/83/89/533758389.db2.gz RBKPCTQEIGJXGM-CMPLNLGQSA-N -1 1 310.398 1.695 20 0 DDADMM COc1ccc(NC(=O)C(F)(F)F)cc1[N-]S(C)(=O)=O ZINC000299570060 534057537 /nfs/dbraw/zinc/05/75/37/534057537.db2.gz ARVHRGZIFMHTRA-UHFFFAOYSA-N -1 1 312.269 1.568 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2C[C@@H](C)O[C@@H]2C)o1 ZINC000416606605 534240071 /nfs/dbraw/zinc/24/00/71/534240071.db2.gz OFHVDRQUDSHUOG-BBBLOLIVSA-N -1 1 317.363 1.158 20 0 DDADMM CCOC(=O)c1ccc(/C=C\c2cc(=O)n3[n-]cnc3n2)o1 ZINC000352039624 517692944 /nfs/dbraw/zinc/69/29/44/517692944.db2.gz HSUZVSUZDROVCP-ARJAWSKDSA-N -1 1 300.274 1.358 20 0 DDADMM COC(=O)c1cnn(C)c1[N-]S(=O)(=O)Cc1ccccc1C ZINC000451061340 534312105 /nfs/dbraw/zinc/31/21/05/534312105.db2.gz KVENMQZIOLBHES-UHFFFAOYSA-N -1 1 323.374 1.457 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2C[C@H]2c2ccco2)c1 ZINC000416660617 534321770 /nfs/dbraw/zinc/32/17/70/534321770.db2.gz PSQSWOKOYDCWNW-NXEZZACHSA-N -1 1 311.315 1.494 20 0 DDADMM NC(=O)c1csc(=N[C@H]2CCCN(c3ccccc3F)C2)[n-]1 ZINC000432329093 534523296 /nfs/dbraw/zinc/52/32/96/534523296.db2.gz ZMWNMCRREKCTNH-JTQLQIEISA-N -1 1 320.393 1.884 20 0 DDADMM Cc1nnc([C@@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)s1 ZINC000295008035 534870868 /nfs/dbraw/zinc/87/08/68/534870868.db2.gz SFALFRQGSMJCFS-ZCFIWIBFSA-N -1 1 320.403 1.669 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H]1CO)c1c(F)cccc1Cl ZINC000452030187 534870290 /nfs/dbraw/zinc/87/02/90/534870290.db2.gz MCTQGQXTMLZTFO-KWQFWETISA-N -1 1 307.774 1.918 20 0 DDADMM CS[C@H]1CCC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000337919176 525903862 /nfs/dbraw/zinc/90/38/62/525903862.db2.gz XIMIUGOHIFDQJK-MNOVXSKESA-N -1 1 323.418 1.307 20 0 DDADMM CC(C)(C)[C@H]1CCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000412805244 526729475 /nfs/dbraw/zinc/72/94/75/526729475.db2.gz DGJQOPPYRJIVCY-VHSXEESVSA-N -1 1 303.366 1.362 20 0 DDADMM CC1(C)NC(=O)CC[C@@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451518979 527783632 /nfs/dbraw/zinc/78/36/32/527783632.db2.gz UHUNJJSRXGJOHC-QMMMGPOBSA-N -1 1 322.839 1.737 20 0 DDADMM CCC1(O)CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000332521528 527807777 /nfs/dbraw/zinc/80/77/77/527807777.db2.gz PTSPAUYNWVNLJS-UHFFFAOYSA-N -1 1 301.346 1.300 20 0 DDADMM CCNC(=O)[C@@H](C)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000298171232 528192857 /nfs/dbraw/zinc/19/28/57/528192857.db2.gz PWVWQSHTBXCUHX-SECBINFHSA-N -1 1 308.382 1.043 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1Oc2ccccc2O[C@@H]1C)c1nn[n-]n1 ZINC000298160852 528198492 /nfs/dbraw/zinc/19/84/92/528198492.db2.gz DOZAOJYXUGDKDO-GBIKHYSHSA-N -1 1 317.349 1.386 20 0 DDADMM CCNC(=O)c1ccc(=NCCO[C@@H]2CCCC[C@@H]2C)[n-]n1 ZINC000413062175 528228293 /nfs/dbraw/zinc/22/82/93/528228293.db2.gz QVHXTIAHKACKHC-GXTWGEPZSA-N -1 1 306.410 1.655 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H]2CCCn3cc(C)nc32)[n-]n1 ZINC000443878375 528230745 /nfs/dbraw/zinc/23/07/45/528230745.db2.gz LXPRLKQTOJYELK-GFCCVEGCSA-N -1 1 314.393 1.143 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@]2(C)CCCO2)o1 ZINC000447150067 528242692 /nfs/dbraw/zinc/24/26/92/528242692.db2.gz DRGMQPAFCBFLHZ-CYBMUJFWSA-N -1 1 317.363 1.304 20 0 DDADMM CCOC(=O)C(C)(C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425219359 528559598 /nfs/dbraw/zinc/55/95/98/528559598.db2.gz RYMKBNCTHZQLRI-UHFFFAOYSA-N -1 1 321.345 1.893 20 0 DDADMM CCCc1nnc([N-]C(=O)c2cc(Cn3cccn3)on2)s1 ZINC000292549641 528774550 /nfs/dbraw/zinc/77/45/50/528774550.db2.gz RMEXFEMDRATXKQ-UHFFFAOYSA-N -1 1 318.362 1.976 20 0 DDADMM CCCn1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000331909418 528830835 /nfs/dbraw/zinc/83/08/35/528830835.db2.gz YGIXTAPWPLBZLV-JTQLQIEISA-N -1 1 305.338 1.402 20 0 DDADMM CC1(C)C(=O)N[C@H]1C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000294734239 528867435 /nfs/dbraw/zinc/86/74/35/528867435.db2.gz LUUMWKRYBPIACZ-ZDUSSCGKSA-N -1 1 303.362 1.164 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cc(F)c(C)cc1F)c1nn[n-]n1 ZINC000294978364 528974360 /nfs/dbraw/zinc/97/43/60/528974360.db2.gz OICRJPCONDGBQM-GFCCVEGCSA-N -1 1 309.320 1.986 20 0 DDADMM CC(C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000416623061 529144726 /nfs/dbraw/zinc/14/47/26/529144726.db2.gz NPXOEYKCLZFCQN-MRVPVSSYSA-N -1 1 322.789 1.671 20 0 DDADMM CC(C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000416625134 529144892 /nfs/dbraw/zinc/14/48/92/529144892.db2.gz REOAYPDEFMRAAZ-MRVPVSSYSA-N -1 1 322.789 1.671 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-]C2(C(F)F)CC2)cc1 ZINC000337008262 536708088 /nfs/dbraw/zinc/70/80/88/536708088.db2.gz AVYXHHHMLSWKOR-UHFFFAOYSA-N -1 1 319.329 1.690 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000735488886 598978483 /nfs/dbraw/zinc/97/84/83/598978483.db2.gz PZIUQEKEZDZCTN-UHFFFAOYSA-N -1 1 323.316 1.472 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000735488886 598978485 /nfs/dbraw/zinc/97/84/85/598978485.db2.gz PZIUQEKEZDZCTN-UHFFFAOYSA-N -1 1 323.316 1.472 20 0 DDADMM COc1cccc(CN(C)C(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737130581 598982028 /nfs/dbraw/zinc/98/20/28/598982028.db2.gz HLEVKFTUHPZIRN-UHFFFAOYSA-N -1 1 324.344 1.543 20 0 DDADMM COc1cccc(CN(C)C(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737130581 598982030 /nfs/dbraw/zinc/98/20/30/598982030.db2.gz HLEVKFTUHPZIRN-UHFFFAOYSA-N -1 1 324.344 1.543 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736469088 598992735 /nfs/dbraw/zinc/99/27/35/598992735.db2.gz DYPJCMUELOTRTI-UHFFFAOYSA-N -1 1 314.271 1.676 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736469088 598992736 /nfs/dbraw/zinc/99/27/36/598992736.db2.gz DYPJCMUELOTRTI-UHFFFAOYSA-N -1 1 314.271 1.676 20 0 DDADMM COCc1cccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737016792 598992755 /nfs/dbraw/zinc/99/27/55/598992755.db2.gz GZTDTDTVLAGSHM-UHFFFAOYSA-N -1 1 324.344 1.338 20 0 DDADMM COCc1cccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737016792 598992757 /nfs/dbraw/zinc/99/27/57/598992757.db2.gz GZTDTDTVLAGSHM-UHFFFAOYSA-N -1 1 324.344 1.338 20 0 DDADMM Cc1ccccc1OCCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737431550 598995782 /nfs/dbraw/zinc/99/57/82/598995782.db2.gz KAPOAQKUHIBRNX-UHFFFAOYSA-N -1 1 324.344 1.379 20 0 DDADMM Cc1ccccc1OCCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737431550 598995783 /nfs/dbraw/zinc/99/57/83/598995783.db2.gz KAPOAQKUHIBRNX-UHFFFAOYSA-N -1 1 324.344 1.379 20 0 DDADMM CC[S@@](=O)CCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736663304 599204524 /nfs/dbraw/zinc/20/45/24/599204524.db2.gz CTLFFVCOZGNTIQ-LJQANCHMSA-N -1 1 300.775 1.096 20 0 DDADMM CC[S@@](=O)CCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736663304 599204526 /nfs/dbraw/zinc/20/45/26/599204526.db2.gz CTLFFVCOZGNTIQ-LJQANCHMSA-N -1 1 300.775 1.096 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CN2CC3(CC2=O)CCOCC3)C1 ZINC000819380497 597043587 /nfs/dbraw/zinc/04/35/87/597043587.db2.gz OQWIUCCOSLNJAF-ZDUSSCGKSA-N -1 1 310.394 1.160 20 0 DDADMM Cc1cc(N2CC[C@@H](N3CCC[C@@H](CC(=O)[O-])C3)C2=O)n(C)n1 ZINC000818829617 597076107 /nfs/dbraw/zinc/07/61/07/597076107.db2.gz BPWGEXDHYXDNCN-QWHCGFSZSA-N -1 1 320.393 1.021 20 0 DDADMM CNC(=O)c1ccc(C)c(NCc2ccc(-c3nnn[n-]3)o2)c1 ZINC000821635107 607312351 /nfs/dbraw/zinc/31/23/51/607312351.db2.gz MOTXQWFCPCZQGS-UHFFFAOYSA-N -1 1 312.333 1.740 20 0 DDADMM CNC(=O)c1ccc(C)c(NCc2ccc(-c3nn[n-]n3)o2)c1 ZINC000821635107 607312352 /nfs/dbraw/zinc/31/23/52/607312352.db2.gz MOTXQWFCPCZQGS-UHFFFAOYSA-N -1 1 312.333 1.740 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)N2CC[C@@H](C(=O)[O-])C2)c(OC)c1 ZINC000818599058 597525182 /nfs/dbraw/zinc/52/51/82/597525182.db2.gz LGPZVQUCQKPIGU-WDEREUQCSA-N -1 1 322.361 1.437 20 0 DDADMM O=C([O-])[C@@H]1CCN([C@@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000821511822 598044681 /nfs/dbraw/zinc/04/46/81/598044681.db2.gz RQUZHUJXYSARAS-BXUZGUMPSA-N -1 1 306.337 1.728 20 0 DDADMM Cc1nc(CCNC(=O)Nc2ccccc2CC(=O)[O-])n[nH]1 ZINC000392401195 598212211 /nfs/dbraw/zinc/21/22/11/598212211.db2.gz WZYYUPZWHZUQOV-UHFFFAOYSA-N -1 1 303.322 1.104 20 0 DDADMM CC(C)CN(C1CC1)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735827923 598262809 /nfs/dbraw/zinc/26/28/09/598262809.db2.gz ULVCPDAYLCOMTO-UHFFFAOYSA-N -1 1 322.394 1.071 20 0 DDADMM CC(C)CN(C1CC1)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735827923 598262811 /nfs/dbraw/zinc/26/28/11/598262811.db2.gz ULVCPDAYLCOMTO-UHFFFAOYSA-N -1 1 322.394 1.071 20 0 DDADMM COC(=O)C1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000736911715 598274304 /nfs/dbraw/zinc/27/43/04/598274304.db2.gz IXZSNHXJWHQCEM-UHFFFAOYSA-N -1 1 322.756 1.305 20 0 DDADMM COC(=O)C1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000736911715 598274306 /nfs/dbraw/zinc/27/43/06/598274306.db2.gz IXZSNHXJWHQCEM-UHFFFAOYSA-N -1 1 322.756 1.305 20 0 DDADMM CC(C)[C@H](OC(=O)c1sccc1-c1nn[n-]n1)C(=O)N(C)C ZINC000735735785 598287101 /nfs/dbraw/zinc/28/71/01/598287101.db2.gz VIYPGADRQNBCSO-VIFPVBQESA-N -1 1 323.378 1.198 20 0 DDADMM c1[nH]c2ccccc2c1CCNc1nccnc1-c1nnn[n-]1 ZINC000738432874 598337452 /nfs/dbraw/zinc/33/74/52/598337452.db2.gz JYASGEDJBPZXOI-UHFFFAOYSA-N -1 1 306.333 1.793 20 0 DDADMM c1[nH]c2ccccc2c1CCNc1nccnc1-c1nn[n-]n1 ZINC000738432874 598337454 /nfs/dbraw/zinc/33/74/54/598337454.db2.gz JYASGEDJBPZXOI-UHFFFAOYSA-N -1 1 306.333 1.793 20 0 DDADMM Cc1cccc(N2CCN(c3nccnc3-c3nnn[n-]3)CC2)c1 ZINC000737397880 598339587 /nfs/dbraw/zinc/33/95/87/598339587.db2.gz BGJJFSOXZDCXFT-UHFFFAOYSA-N -1 1 322.376 1.292 20 0 DDADMM Cc1cccc(N2CCN(c3nccnc3-c3nn[n-]n3)CC2)c1 ZINC000737397880 598339589 /nfs/dbraw/zinc/33/95/89/598339589.db2.gz BGJJFSOXZDCXFT-UHFFFAOYSA-N -1 1 322.376 1.292 20 0 DDADMM Fc1ccc(OCCCNc2nccnc2-c2nnn[n-]2)cc1 ZINC000737656131 598349742 /nfs/dbraw/zinc/34/97/42/598349742.db2.gz JOVBQZSXRIEVEO-UHFFFAOYSA-N -1 1 315.312 1.677 20 0 DDADMM Fc1ccc(OCCCNc2nccnc2-c2nn[n-]n2)cc1 ZINC000737656131 598349744 /nfs/dbraw/zinc/34/97/44/598349744.db2.gz JOVBQZSXRIEVEO-UHFFFAOYSA-N -1 1 315.312 1.677 20 0 DDADMM FC(F)Oc1ccc(CNc2cnc(-c3nnn[n-]3)cn2)cc1 ZINC000737638091 598385700 /nfs/dbraw/zinc/38/57/00/598385700.db2.gz CQNBGXHJGDJAQF-UHFFFAOYSA-N -1 1 319.275 1.870 20 0 DDADMM FC(F)Oc1ccc(CNc2cnc(-c3nn[n-]n3)cn2)cc1 ZINC000737638091 598385702 /nfs/dbraw/zinc/38/57/02/598385702.db2.gz CQNBGXHJGDJAQF-UHFFFAOYSA-N -1 1 319.275 1.870 20 0 DDADMM COc1cccc(C[C@@H](C)Nc2nccnc2-c2nnn[n-]2)c1 ZINC000737129124 598795737 /nfs/dbraw/zinc/79/57/37/598795737.db2.gz IOGNIHHTSVGOEG-SNVBAGLBSA-N -1 1 311.349 1.708 20 0 DDADMM COc1cccc(C[C@@H](C)Nc2nccnc2-c2nn[n-]n2)c1 ZINC000737129124 598795738 /nfs/dbraw/zinc/79/57/38/598795738.db2.gz IOGNIHHTSVGOEG-SNVBAGLBSA-N -1 1 311.349 1.708 20 0 DDADMM CCCCN(C)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000736452706 599331985 /nfs/dbraw/zinc/33/19/85/599331985.db2.gz HXMPXCUHLYGXGC-UHFFFAOYSA-N -1 1 313.358 1.426 20 0 DDADMM CCCCN(C)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000736452706 599331988 /nfs/dbraw/zinc/33/19/88/599331988.db2.gz HXMPXCUHLYGXGC-UHFFFAOYSA-N -1 1 313.358 1.426 20 0 DDADMM CN(CCOc1ccc(F)cc1)c1cccc(-c2nnn[n-]2)n1 ZINC000736801975 599399346 /nfs/dbraw/zinc/39/93/46/599399346.db2.gz NNOMBWFLGWMJHF-UHFFFAOYSA-N -1 1 314.324 1.916 20 0 DDADMM CN(CCOc1ccc(F)cc1)c1cccc(-c2nn[n-]n2)n1 ZINC000736801975 599399348 /nfs/dbraw/zinc/39/93/48/599399348.db2.gz NNOMBWFLGWMJHF-UHFFFAOYSA-N -1 1 314.324 1.916 20 0 DDADMM c1ccc(NCCCCNc2cccc(-c3nn[n-]n3)n2)nc1 ZINC000738436538 599426030 /nfs/dbraw/zinc/42/60/30/599426030.db2.gz SXBMMFNUXGKADT-UHFFFAOYSA-N -1 1 310.365 1.961 20 0 DDADMM NC(=O)c1cccc(CCNc2cccc(-c3nnn[n-]3)n2)c1 ZINC000737761818 599426062 /nfs/dbraw/zinc/42/60/62/599426062.db2.gz SQPLEJZCTZMJIT-UHFFFAOYSA-N -1 1 309.333 1.015 20 0 DDADMM NC(=O)c1cccc(CCNc2cccc(-c3nn[n-]n3)n2)c1 ZINC000737761818 599426064 /nfs/dbraw/zinc/42/60/64/599426064.db2.gz SQPLEJZCTZMJIT-UHFFFAOYSA-N -1 1 309.333 1.015 20 0 DDADMM O=C1Cc2ccccc2N1CCNc1cccc(-c2nnn[n-]2)n1 ZINC000738315253 599426306 /nfs/dbraw/zinc/42/63/06/599426306.db2.gz GPDHUSDFDIDYTJ-UHFFFAOYSA-N -1 1 321.344 1.263 20 0 DDADMM O=C1Cc2ccccc2N1CCNc1cccc(-c2nn[n-]n2)n1 ZINC000738315253 599426309 /nfs/dbraw/zinc/42/63/09/599426309.db2.gz GPDHUSDFDIDYTJ-UHFFFAOYSA-N -1 1 321.344 1.263 20 0 DDADMM CC[C@@H]1C[C@@H](C)CN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820973291 599683964 /nfs/dbraw/zinc/68/39/64/599683964.db2.gz VZAGIOPTPIJUCL-NXEZZACHSA-N -1 1 322.394 1.071 20 0 DDADMM CC[C@@H]1C[C@@H](C)CN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820973291 599683965 /nfs/dbraw/zinc/68/39/65/599683965.db2.gz VZAGIOPTPIJUCL-NXEZZACHSA-N -1 1 322.394 1.071 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CCC(Cc2ccc(F)cc2)CC1 ZINC000736207598 599717886 /nfs/dbraw/zinc/71/78/86/599717886.db2.gz JFOALJZNHQYIOE-GFCCVEGCSA-N -1 1 322.380 1.670 20 0 DDADMM CN(C)[C@H](CNC(=O)C(C)(C)NC(=O)[O-])c1ccc(F)cc1 ZINC000737360283 599736695 /nfs/dbraw/zinc/73/66/95/599736695.db2.gz HPWWOQRYBRHMFS-GFCCVEGCSA-N -1 1 311.357 1.591 20 0 DDADMM CC(C)(NCC(=O)NCCCOc1ccccc1F)C(=O)[O-] ZINC000736339893 599924782 /nfs/dbraw/zinc/92/47/82/599924782.db2.gz ZHEADQROMRYRLE-UHFFFAOYSA-N -1 1 312.341 1.164 20 0 DDADMM CC(C)(NCC(=O)NC[C@@H]1CCN(c2ccccc2)C1)C(=O)[O-] ZINC000736339807 599927120 /nfs/dbraw/zinc/92/71/20/599927120.db2.gz WVHZPRNWOVPXPX-ZDUSSCGKSA-N -1 1 319.405 1.082 20 0 DDADMM CC[C@@H]1CN(Cc2cc(C)c(C(=O)[O-])o2)CC[N@H+]1CCOC ZINC000736943389 599960985 /nfs/dbraw/zinc/96/09/85/599960985.db2.gz MHXXGLZSKKIAJM-CYBMUJFWSA-N -1 1 310.394 1.829 20 0 DDADMM CC[C@@H]1CN(Cc2cc(C)c(C(=O)[O-])o2)CCN1CCOC ZINC000736943389 599960986 /nfs/dbraw/zinc/96/09/86/599960986.db2.gz MHXXGLZSKKIAJM-CYBMUJFWSA-N -1 1 310.394 1.829 20 0 DDADMM COc1ccc([C@@H]2CCCN2CC(=O)NCCC(=O)[O-])cc1 ZINC000737986033 600046273 /nfs/dbraw/zinc/04/62/73/600046273.db2.gz JVPPTVJPGGLEJH-AWEZNQCLSA-N -1 1 306.362 1.423 20 0 DDADMM CCCCN(CCOC)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000736994532 600080589 /nfs/dbraw/zinc/08/05/89/600080589.db2.gz VAMSDRBLNAILII-UHFFFAOYSA-N -1 1 300.399 1.058 20 0 DDADMM CC(C)[C@]1(C)CC(=O)N(CN(C[C@@H](C)C(=O)[O-])C2CC2)C1=O ZINC000736577930 600259577 /nfs/dbraw/zinc/25/95/77/600259577.db2.gz HOXPUIUASOUPBB-BZNIZROVSA-N -1 1 310.394 1.550 20 0 DDADMM CCCOc1ccc(OCCN2C[C@H](O)C[C@H]2C(=O)[O-])cc1 ZINC000388755299 600309544 /nfs/dbraw/zinc/30/95/44/600309544.db2.gz DWOYPOYTQNHKFC-DOMZBBRYSA-N -1 1 309.362 1.374 20 0 DDADMM CC(C)C[C@H](NC(=O)CN1CC[C@H](c2ccco2)C1)C(=O)[O-] ZINC000736414617 600325565 /nfs/dbraw/zinc/32/55/65/600325565.db2.gz ZKZCREGWMJTRQE-STQMWFEESA-N -1 1 308.378 1.684 20 0 DDADMM CN([C@@H]1CCN(C2CC2)C1)S(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000737387872 600349270 /nfs/dbraw/zinc/34/92/70/600349270.db2.gz VPMNGUAXNCVBIA-CYBMUJFWSA-N -1 1 324.402 1.242 20 0 DDADMM COc1ccc([C@H](CC(=O)[O-])NC(=O)[C@H]2CCCN2C)cc1 ZINC000737953336 600376883 /nfs/dbraw/zinc/37/68/83/600376883.db2.gz WHJZSRLSSFDAGH-UONOGXRCSA-N -1 1 306.362 1.421 20 0 DDADMM CC(C)N(CCC(=O)[O-])CC(=O)Nc1ccccc1[N+](=O)[O-] ZINC000386972627 600394378 /nfs/dbraw/zinc/39/43/78/600394378.db2.gz YSUDLOSJHIREOO-UHFFFAOYSA-N -1 1 309.322 1.718 20 0 DDADMM C[C@@H](CNC(=O)c1ccccc1NC(=O)[O-])N1CCN(C)CC1 ZINC000736605415 600419400 /nfs/dbraw/zinc/41/94/00/600419400.db2.gz GKSFZPZQNYPSCU-LBPRGKRZSA-N -1 1 320.393 1.142 20 0 DDADMM CC(C)(CO)N1CCN([C@@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000736323335 600554729 /nfs/dbraw/zinc/55/47/29/600554729.db2.gz BBHHNUAQRMTYRZ-CQSZACIVSA-N -1 1 310.369 1.340 20 0 DDADMM CC[C@H](O)CN1CCN(CCOc2cccc(C(=O)[O-])c2)CC1 ZINC000736927381 600557727 /nfs/dbraw/zinc/55/77/27/600557727.db2.gz NUWVYVITHWIBSR-HNNXBMFYSA-N -1 1 322.405 1.152 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1cncc(Br)c1 ZINC000737795159 600641876 /nfs/dbraw/zinc/64/18/76/600641876.db2.gz YBDFBLHOCJGAHD-UHFFFAOYSA-N -1 1 317.183 1.767 20 0 DDADMM CC(C)(NCC(=O)NCCc1nc2ccccc2s1)C(=O)[O-] ZINC000826630667 600991291 /nfs/dbraw/zinc/99/12/91/600991291.db2.gz MYTOQTVXLRCQJB-UHFFFAOYSA-N -1 1 321.402 1.408 20 0 DDADMM O=C([O-])[C@H](c1ccccc1F)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000833174035 600998282 /nfs/dbraw/zinc/99/82/82/600998282.db2.gz LHAWMRTZDSYMBB-OAGGEKHMSA-N -1 1 322.380 1.482 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCOc2ccccc2)CC1 ZINC000827362458 601087764 /nfs/dbraw/zinc/08/77/64/601087764.db2.gz OHGBRRBEEYGPIZ-CQSZACIVSA-N -1 1 320.389 1.463 20 0 DDADMM COc1ccc(NC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1 ZINC000832398654 601123441 /nfs/dbraw/zinc/12/34/41/601123441.db2.gz PMNARPYAUZHQKX-GFCCVEGCSA-N -1 1 321.377 1.708 20 0 DDADMM Cc1n[nH]c(CCNC(=O)Nc2cc(C(=O)[O-])ccc2C)n1 ZINC000392434186 601246958 /nfs/dbraw/zinc/24/69/58/601246958.db2.gz HDRTXJQPEHZOCU-UHFFFAOYSA-N -1 1 303.322 1.484 20 0 DDADMM CCc1cccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1O ZINC000830259849 601291414 /nfs/dbraw/zinc/29/14/14/601291414.db2.gz HHPRLZMLNFZYPF-LBPRGKRZSA-N -1 1 320.389 1.576 20 0 DDADMM O=C([O-])Nc1ccccc1C(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000833289208 601296276 /nfs/dbraw/zinc/29/62/76/601296276.db2.gz JBBWHJSDXMJJMD-UHFFFAOYSA-N -1 1 315.333 1.914 20 0 DDADMM CCOc1cccc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)n1 ZINC000830104772 601362453 /nfs/dbraw/zinc/36/24/53/601362453.db2.gz RGWBJZVTIFMSRQ-UHFFFAOYSA-N -1 1 322.342 1.979 20 0 DDADMM COc1ccc(NC(=O)CN2C[C@H](C)[C@@H](C(=O)[O-])C2)c(OC)c1 ZINC000832393955 601500314 /nfs/dbraw/zinc/50/03/14/601500314.db2.gz LIUAWSJSKIZDKP-JQWIXIFHSA-N -1 1 322.361 1.295 20 0 DDADMM C[C@H]1CN(Cc2nc3ccccc3c(=O)n2C)C[C@@H]1C(=O)[O-] ZINC000828461810 601534531 /nfs/dbraw/zinc/53/45/31/601534531.db2.gz CUCBWZGUQXBVLC-JQWIXIFHSA-N -1 1 301.346 1.086 20 0 DDADMM CC(C)(CNC(=O)NC[C@@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000826579956 601665180 /nfs/dbraw/zinc/66/51/80/601665180.db2.gz CNXUKCWVXXPQPB-LBPRGKRZSA-N -1 1 323.393 1.827 20 0 DDADMM Cc1cc(CC(=O)N[C@H](C(=O)[O-])c2cc(F)ccc2F)[nH]n1 ZINC000832884287 601676256 /nfs/dbraw/zinc/67/62/56/601676256.db2.gz XIFWUXIXJWPZNN-ZDUSSCGKSA-N -1 1 309.272 1.481 20 0 DDADMM C[C@H]1CN(CN2C(=O)CN(c3ccccc3)C2=O)C[C@H]1C(=O)[O-] ZINC000828451099 601815281 /nfs/dbraw/zinc/81/52/81/601815281.db2.gz SHIBAOVSWUSGSH-WCQYABFASA-N -1 1 317.345 1.065 20 0 DDADMM C[C@]1(C(=O)[O-])CCN([C@@H]2CC(=O)N(c3ccc(F)cc3)C2=O)C1 ZINC000828020225 601850147 /nfs/dbraw/zinc/85/01/47/601850147.db2.gz MMWUNJCCCCEGGX-WBMJQRKESA-N -1 1 320.320 1.254 20 0 DDADMM Cc1nn(C)c2ncc(CN3CCSC[C@H]3CC(=O)[O-])cc12 ZINC000833016202 601888350 /nfs/dbraw/zinc/88/83/50/601888350.db2.gz SXXBHBYLIMITAP-GFCCVEGCSA-N -1 1 320.418 1.669 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(C[C@H](O)c2c(F)cccc2F)C1 ZINC000740643736 601940036 /nfs/dbraw/zinc/94/00/36/601940036.db2.gz QBPKBGZEDZMYQE-CABZTGNLSA-N -1 1 300.305 1.588 20 0 DDADMM c1cc(CSCc2noc(C3CC3)n2)cc(-c2nnn[n-]2)n1 ZINC000826516304 607537268 /nfs/dbraw/zinc/53/72/68/607537268.db2.gz FXVRYSWWSHLHKU-UHFFFAOYSA-N -1 1 315.362 1.956 20 0 DDADMM c1cc(CSCc2noc(C3CC3)n2)cc(-c2nn[n-]n2)n1 ZINC000826516304 607537270 /nfs/dbraw/zinc/53/72/70/607537270.db2.gz FXVRYSWWSHLHKU-UHFFFAOYSA-N -1 1 315.362 1.956 20 0 DDADMM COc1cccc([C@H](CNC(=O)[C@H](C)CC(=O)[O-])N(C)C)c1 ZINC000832534083 602078106 /nfs/dbraw/zinc/07/81/06/602078106.db2.gz SGSSNIDVLWPVGY-RISCZKNCSA-N -1 1 308.378 1.525 20 0 DDADMM CC(C)N1CCN(C(=O)NC[C@@H]2CCCN(C(=O)[O-])C2)CC1 ZINC000738855505 602167505 /nfs/dbraw/zinc/16/75/05/602167505.db2.gz WXQLKFFGOICDFA-ZDUSSCGKSA-N -1 1 312.414 1.112 20 0 DDADMM c1nc(-c2ccccc2)nn1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826518938 607548647 /nfs/dbraw/zinc/54/86/47/607548647.db2.gz DGKLCEFNLONDDF-UHFFFAOYSA-N -1 1 304.317 1.569 20 0 DDADMM c1nc(-c2ccccc2)nn1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826518938 607548648 /nfs/dbraw/zinc/54/86/48/607548648.db2.gz DGKLCEFNLONDDF-UHFFFAOYSA-N -1 1 304.317 1.569 20 0 DDADMM COc1ccc(CNC(=O)CN[C@H](C(=O)[O-])C(C)(C)C)cc1 ZINC000832323792 602225120 /nfs/dbraw/zinc/22/51/20/602225120.db2.gz REFSSNQTKIUENJ-CQSZACIVSA-N -1 1 308.378 1.400 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@H]3CCC[C@@H](C(=O)[O-])C3)C2)n[nH]1 ZINC000832996835 602242084 /nfs/dbraw/zinc/24/20/84/602242084.db2.gz HHHRRFDWNILQHI-YNEHKIRRSA-N -1 1 320.393 1.710 20 0 DDADMM CCCc1cc(C(=O)N2CCC(CN(C)C(=O)[O-])CC2)n[nH]1 ZINC000739372230 602368638 /nfs/dbraw/zinc/36/86/38/602368638.db2.gz FVBXUZFBNSFISC-UHFFFAOYSA-N -1 1 308.382 1.824 20 0 DDADMM O=C([O-])NCCCNC(=O)NCCN1CCc2ccccc2C1 ZINC000740653669 602392540 /nfs/dbraw/zinc/39/25/40/602392540.db2.gz UEQBEMWSTQMFSF-UHFFFAOYSA-N -1 1 320.393 1.002 20 0 DDADMM CC(C)N(C(=O)CN1CCC([C@@H](C)NC(=O)[O-])CC1)C(C)C ZINC000739030035 602451996 /nfs/dbraw/zinc/45/19/96/602451996.db2.gz XLSYVCYEJSDEFL-CYBMUJFWSA-N -1 1 313.442 2.000 20 0 DDADMM CC(C)C[C@@H](CNC(=O)[O-])NC(=O)c1[nH]nc2c1CCCC2 ZINC000738805960 602529370 /nfs/dbraw/zinc/52/93/70/602529370.db2.gz GWXYVAPOAFTFCV-JTQLQIEISA-N -1 1 308.382 1.701 20 0 DDADMM CCN(CC)[C@H](C(=O)N[C@@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000739408689 602532562 /nfs/dbraw/zinc/53/25/62/602532562.db2.gz YWIQZZYPKNFZJI-CABCVRRESA-N -1 1 319.405 1.938 20 0 DDADMM CN(C[C@H]1CCN(CC(=O)NCc2ccccc2)C1)C(=O)[O-] ZINC000739659443 602538904 /nfs/dbraw/zinc/53/89/04/602538904.db2.gz CLVZKFHNKBGYNY-CQSZACIVSA-N -1 1 305.378 1.235 20 0 DDADMM COCCN1CC[C@H](NC(=O)c2cccc(NC(=O)[O-])c2)C1 ZINC000737809107 602574423 /nfs/dbraw/zinc/57/44/23/602574423.db2.gz GMKKDVMLKPRBAZ-ZDUSSCGKSA-N -1 1 307.350 1.227 20 0 DDADMM O=C([O-])N1CC[C@@H](N[C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000740505218 602719681 /nfs/dbraw/zinc/71/96/81/602719681.db2.gz LEVQNPAEJOQUKV-PWSUYJOCSA-N -1 1 307.325 1.273 20 0 DDADMM C[C@H](C1CC1)N(C(=O)CN1CC[C@H](NC(=O)[O-])[C@H](C)C1)C1CC1 ZINC000739171584 602789366 /nfs/dbraw/zinc/78/93/66/602789366.db2.gz IUKZFXLIVHQWKG-JMSVASOKSA-N -1 1 323.437 1.754 20 0 DDADMM C[NH+](C)[C@H](CNC(=O)c1ccc(NC(=O)[O-])cc1[O-])C1CC1 ZINC000739609902 602850444 /nfs/dbraw/zinc/85/04/44/602850444.db2.gz YMOAVHDCZBKYCJ-GFCCVEGCSA-N -1 1 307.350 1.552 20 0 DDADMM O=C([O-])N1CCC[C@H](CNCc2n[nH]cc2Br)C1 ZINC000740510633 602881873 /nfs/dbraw/zinc/88/18/73/602881873.db2.gz BOEDUSTXINXHPZ-MRVPVSSYSA-N -1 1 317.187 1.652 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@@H](CNC(=O)[O-])C1CC1)c1ccccc1 ZINC000739384916 603034401 /nfs/dbraw/zinc/03/44/01/603034401.db2.gz MRGNMHYWZOALDV-LSDHHAIUSA-N -1 1 319.405 1.842 20 0 DDADMM O=C([O-])NCCCNC(=O)[C@@H]1CCCCN1Cc1ccccc1 ZINC000740650489 603094618 /nfs/dbraw/zinc/09/46/18/603094618.db2.gz NVSHNPIBDPLRFX-HNNXBMFYSA-N -1 1 319.405 1.815 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@H](C(=O)N2CCN(C3CCCC3)CC2)C1 ZINC000740566089 603237733 /nfs/dbraw/zinc/23/77/33/603237733.db2.gz SWORMFITALPHOH-KBPBESRZSA-N -1 1 323.437 1.900 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)N1CCC[C@H]2CCCC[C@H]21 ZINC000739676469 603252107 /nfs/dbraw/zinc/25/21/07/603252107.db2.gz KPNGHXLMVBJUOU-ZIAGYGMSSA-N -1 1 311.426 1.757 20 0 DDADMM CC(C)N1CCN(C(=O)[C@H](CNC(=O)[O-])c2ccccc2)CC1 ZINC000824362287 603304305 /nfs/dbraw/zinc/30/43/05/603304305.db2.gz AHGFRNZNJHBVLL-OAHLLOKOSA-N -1 1 319.405 1.590 20 0 DDADMM CCC[C@](C)(NC(=O)[O-])C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000826711643 603548700 /nfs/dbraw/zinc/54/87/00/603548700.db2.gz DRJXUBNIJJUDRY-HNNXBMFYSA-N -1 1 308.382 1.942 20 0 DDADMM CCC[C@](C)(NC(=O)[O-])C(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000826712999 603560492 /nfs/dbraw/zinc/56/04/92/603560492.db2.gz QTYCPFBCWSHZDJ-AWEZNQCLSA-N -1 1 309.370 1.337 20 0 DDADMM O=C([O-])N1CCC[C@H]1CC(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000831320730 603561964 /nfs/dbraw/zinc/56/19/64/603561964.db2.gz QZUDPKKGEWDBFA-NSHDSACASA-N -1 1 307.354 1.043 20 0 DDADMM C[N@@H+](Cc1c([O-])nnn1-c1ccccc1)[C@H]1CCN(C(=O)[O-])C1 ZINC000828346161 603595599 /nfs/dbraw/zinc/59/55/99/603595599.db2.gz SGYDVRVSVQCGPA-LBPRGKRZSA-N -1 1 317.349 1.157 20 0 DDADMM COc1ccccc1N1CC[C@H](N(C)CCCNC(=O)[O-])C1=O ZINC000829633189 603607990 /nfs/dbraw/zinc/60/79/90/603607990.db2.gz NXUZTCIJPUFYJQ-ZDUSSCGKSA-N -1 1 321.377 1.390 20 0 DDADMM Cc1nnc(SCC(=O)Nc2ccccc2NC(=O)[O-])[nH]1 ZINC000830679985 603745548 /nfs/dbraw/zinc/74/55/48/603745548.db2.gz YNBKNRBUUVJCEQ-UHFFFAOYSA-N -1 1 307.335 1.934 20 0 DDADMM C[C@]1(C(=O)N2CCN(C3CCCC3)CC2)CCN(C(=O)[O-])C1 ZINC000825657915 603873098 /nfs/dbraw/zinc/87/30/98/603873098.db2.gz YZXFRMROIBUGJX-INIZCTEOSA-N -1 1 309.410 1.463 20 0 DDADMM C[C@]1(C(=O)Nc2nc(-c3ccccn3)n[nH]2)CCN(C(=O)[O-])C1 ZINC000825684291 604060989 /nfs/dbraw/zinc/06/09/89/604060989.db2.gz DGAIKSJXMGIVPB-AWEZNQCLSA-N -1 1 316.321 1.195 20 0 DDADMM CN(C[C@H]1CCCN(CCCCS(C)(=O)=O)C1)C(=O)[O-] ZINC000828180827 604347021 /nfs/dbraw/zinc/34/70/21/604347021.db2.gz NFEPUSLVGUMFIZ-GFCCVEGCSA-N -1 1 306.428 1.133 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](NC(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000738616561 604488155 /nfs/dbraw/zinc/48/81/55/604488155.db2.gz PKAQJPNWRUOBTP-IUODEOHRSA-N -1 1 317.389 1.302 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H](C(=O)Nc2ccc3[nH]nnc3c2)C1 ZINC000832324347 604526930 /nfs/dbraw/zinc/52/69/30/604526930.db2.gz HQEJRVLTLPSCCH-DTWKUNHWSA-N -1 1 303.322 1.723 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@H]3CC[C@@H](NC(=O)[O-])C3)n2)o1 ZINC000830158806 604585450 /nfs/dbraw/zinc/58/54/50/604585450.db2.gz AQBRFQKMJPSESK-DTWKUNHWSA-N -1 1 319.321 1.748 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)CCCN(C)C(=O)[O-])C2)n[nH]1 ZINC000830737432 604644512 /nfs/dbraw/zinc/64/45/12/604644512.db2.gz IRJWMHMAHICPEY-LLVKDONJSA-N -1 1 309.370 1.209 20 0 DDADMM Cc1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c(C)c1O ZINC000826290929 607707412 /nfs/dbraw/zinc/70/74/12/607707412.db2.gz HOKGOJPIISXVLO-UHFFFAOYSA-N -1 1 310.317 1.836 20 0 DDADMM Cc1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c(C)c1O ZINC000826290929 607707414 /nfs/dbraw/zinc/70/74/14/607707414.db2.gz HOKGOJPIISXVLO-UHFFFAOYSA-N -1 1 310.317 1.836 20 0 DDADMM CC(=O)c1ccccc1NS(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC000833403117 604685335 /nfs/dbraw/zinc/68/53/35/604685335.db2.gz AQXBODVFEYZNHK-UHFFFAOYSA-N -1 1 320.326 1.783 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2[nH]c(C)c(C(=O)[O-])c2C)n[nH]1 ZINC000832884264 604743618 /nfs/dbraw/zinc/74/36/18/604743618.db2.gz WWWONEPXHCZHGE-ZETCQYMHSA-N -1 1 304.350 1.722 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)N1CC[C@H](CO)C1 ZINC000832915510 604767770 /nfs/dbraw/zinc/76/77/70/604767770.db2.gz JDIPXLJZUJTJAU-NEPJUHHUSA-N -1 1 306.362 1.334 20 0 DDADMM C[C@H]1CN(C)C[C@H](C)N1C(=O)CCc1ccccc1C(=O)[O-] ZINC000833627021 604796884 /nfs/dbraw/zinc/79/68/84/604796884.db2.gz PMQROAFVNMBWIO-STQMWFEESA-N -1 1 304.390 1.868 20 0 DDADMM Cc1sc2nc(CN3CC[C@H](C(=O)[O-])C3)nc(N)c2c1C ZINC000821257638 604907545 /nfs/dbraw/zinc/90/75/45/604907545.db2.gz SXEWBWQKYSVEKM-VIFPVBQESA-N -1 1 306.391 1.797 20 0 DDADMM C[C@@H](C(=O)NCC(F)(F)F)N1CCC[C@@H](C(C)(C)C(=O)[O-])C1 ZINC000833404139 604950039 /nfs/dbraw/zinc/95/00/39/604950039.db2.gz HNHLKBAHIAZCHO-VHSXEESVSA-N -1 1 324.343 1.876 20 0 DDADMM CCc1ccc(CNC(=O)CN2CCC[C@H]2CC(=O)[O-])s1 ZINC000833669282 604968232 /nfs/dbraw/zinc/96/82/32/604968232.db2.gz DGQJOMSJYNKHBK-NSHDSACASA-N -1 1 310.419 1.866 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)Nc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000833672959 605007477 /nfs/dbraw/zinc/00/74/77/605007477.db2.gz GPNJJZDBEZULHU-MRVPVSSYSA-N -1 1 303.322 1.948 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)c2[nH]c(CC)c(C(=O)[O-])c2C)n[nH]1 ZINC000833674108 605024095 /nfs/dbraw/zinc/02/40/95/605024095.db2.gz PYUNWMXOSREMFY-MRVPVSSYSA-N -1 1 319.365 1.755 20 0 DDADMM C[C@@H]1CN(C2CC2)C[C@@H]1NS(=O)(=O)c1ccccc1C(=O)[O-] ZINC000833624999 605047235 /nfs/dbraw/zinc/04/72/35/605047235.db2.gz MPOVYKJKXBJWAX-MFKMUULPSA-N -1 1 324.402 1.146 20 0 DDADMM C[C@@H](CC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C)c1cccnc1 ZINC000833514143 605099262 /nfs/dbraw/zinc/09/92/62/605099262.db2.gz PAFHOAIRWNLHCB-UONOGXRCSA-N -1 1 319.405 1.583 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833541846 605108615 /nfs/dbraw/zinc/10/86/15/605108615.db2.gz WBZGKJNMSAAXBN-ZIAGYGMSSA-N -1 1 320.389 1.461 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc3cn[nH]c32)CCN1CCC(=O)[O-] ZINC000833624103 605256880 /nfs/dbraw/zinc/25/68/80/605256880.db2.gz TWQWEWLQLYSANQ-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc3c[nH]nc32)CCN1CCC(=O)[O-] ZINC000833624103 605256881 /nfs/dbraw/zinc/25/68/81/605256881.db2.gz TWQWEWLQLYSANQ-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM Cc1nc(COC(=O)C[C@@H](C)[C@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000830761247 605263070 /nfs/dbraw/zinc/26/30/70/605263070.db2.gz PUKIULNREBBLJW-KOLCDFICSA-N -1 1 310.354 1.573 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2CC2(Cl)Cl)CCN1CCC(=O)[O-] ZINC000833618861 605278098 /nfs/dbraw/zinc/27/80/98/605278098.db2.gz RMKMHECXNITXJS-RKDXNWHRSA-N -1 1 309.193 1.188 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000824137762 605336581 /nfs/dbraw/zinc/33/65/81/605336581.db2.gz VRYVWJAASUUFKE-SNVBAGLBSA-N -1 1 306.326 1.041 20 0 DDADMM Cc1cccc([C@@H]2CC(=O)N(CN3CC[C@H](C(=O)[O-])C3)C2)c1 ZINC000821111948 605344913 /nfs/dbraw/zinc/34/49/13/605344913.db2.gz CGZVFXOVGUPKBK-LSDHHAIUSA-N -1 1 302.374 1.675 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCN(c3cc(C)ccn3)CC2)O1 ZINC000833577710 605354796 /nfs/dbraw/zinc/35/47/96/605354796.db2.gz GOACBFWPNQUVPT-CQSZACIVSA-N -1 1 317.389 1.660 20 0 DDADMM CN(CC(C)(C)CNC(=O)[O-])CC1(CS(C)(=O)=O)CC1 ZINC000833870835 605424379 /nfs/dbraw/zinc/42/43/79/605424379.db2.gz MSQURTWNXUKQRV-UHFFFAOYSA-N -1 1 306.428 1.037 20 0 DDADMM Cc1nnc(CN2C[C@@H]3CCC[C@@H](NC(=O)[O-])[C@H]3C2)n1C1CC1 ZINC000833922936 605430453 /nfs/dbraw/zinc/43/04/53/605430453.db2.gz BVXLHIUWYYIXOG-FPMFFAJLSA-N -1 1 319.409 1.790 20 0 DDADMM Cn1cc(CN2CCCN(C(=O)[O-])CC2)c(-c2cccnc2)n1 ZINC000833949190 605476477 /nfs/dbraw/zinc/47/64/77/605476477.db2.gz NMALXDVRVQGVHL-UHFFFAOYSA-N -1 1 315.377 1.668 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(CNC(=O)[O-])cc2)[C@@H](C)CN1C ZINC000833837849 605530778 /nfs/dbraw/zinc/53/07/78/605530778.db2.gz UJRYSZQFCUWUNT-RYUDHWBXSA-N -1 1 305.378 1.619 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CC[C@H](CN(C)C(=O)[O-])C2)n[nH]1 ZINC000830029504 605697679 /nfs/dbraw/zinc/69/76/79/605697679.db2.gz HTPRJZQEDFAXLD-ZYHUDNBSSA-N -1 1 323.397 1.290 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@@H](NC(=O)[O-])C(C)(C)C)C2)n[nH]1 ZINC000830738080 605794925 /nfs/dbraw/zinc/79/49/25/605794925.db2.gz OLBUDKODJPPDSF-WDEREUQCSA-N -1 1 323.397 1.501 20 0 DDADMM CCS(=O)(=O)CCN[C@@H]1C[C@@H](NC(=O)[O-])c2ccccc21 ZINC000833863813 605796766 /nfs/dbraw/zinc/79/67/66/605796766.db2.gz RNIFNJQUMLFUDG-CHWSQXEVSA-N -1 1 312.391 1.464 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N1CCN(C(=O)[O-])C[C@H]1C)C2 ZINC000833916325 605856179 /nfs/dbraw/zinc/85/61/79/605856179.db2.gz PUYQVDBOLWFNGS-KOLCDFICSA-N -1 1 306.366 1.034 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)Nc1ccc(NC(=O)[O-])nc1)C2 ZINC000833916164 605856387 /nfs/dbraw/zinc/85/63/87/605856387.db2.gz OHEZLLTVTDKJCD-VIFPVBQESA-N -1 1 315.333 1.947 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CN1C(=O)[C@H]2CCCCC[C@@H]21 ZINC000833833988 605958135 /nfs/dbraw/zinc/95/81/35/605958135.db2.gz LQUPACOBKCMEFI-MQYQWHSLSA-N -1 1 309.410 1.713 20 0 DDADMM C[C@]1(NC(=O)[O-])CCCC[C@H]1C(=O)NO[C@H]1CCCCO1 ZINC000833829791 606029823 /nfs/dbraw/zinc/02/98/23/606029823.db2.gz KXCKLFNYPBFQDE-MJVIPROJSA-N -1 1 300.355 1.777 20 0 DDADMM Cc1ccc(CCCC(=O)n2ncc(-c3nn[n-]n3)c2N)s1 ZINC000822236011 606196773 /nfs/dbraw/zinc/19/67/73/606196773.db2.gz GNCARPMRJACFML-UHFFFAOYSA-N -1 1 317.378 1.678 20 0 DDADMM CC(=O)N[C@@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000819802656 606456197 /nfs/dbraw/zinc/45/61/97/606456197.db2.gz HAFOJHPKGNABNC-SECBINFHSA-N -1 1 321.772 1.020 20 0 DDADMM CC(=O)N[C@@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000819802656 606456200 /nfs/dbraw/zinc/45/62/00/606456200.db2.gz HAFOJHPKGNABNC-SECBINFHSA-N -1 1 321.772 1.020 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000820076503 606515966 /nfs/dbraw/zinc/51/59/66/606515966.db2.gz KBCFLRURIWEUQJ-LLVKDONJSA-N -1 1 319.365 1.756 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000820076503 606515967 /nfs/dbraw/zinc/51/59/67/606515967.db2.gz KBCFLRURIWEUQJ-LLVKDONJSA-N -1 1 319.365 1.756 20 0 DDADMM CCOC(=O)CN(C(=O)c1ccc(-c2nnn[n-]2)s1)C(C)C ZINC000821330512 606545429 /nfs/dbraw/zinc/54/54/29/606545429.db2.gz MGYLOKXEDAPNHF-UHFFFAOYSA-N -1 1 323.378 1.342 20 0 DDADMM CCOC(=O)CN(C(=O)c1ccc(-c2nn[n-]n2)s1)C(C)C ZINC000821330512 606545431 /nfs/dbraw/zinc/54/54/31/606545431.db2.gz MGYLOKXEDAPNHF-UHFFFAOYSA-N -1 1 323.378 1.342 20 0 DDADMM O=C(CCc1cccs1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822983921 606650707 /nfs/dbraw/zinc/65/07/07/606650707.db2.gz XSBAIOVSAMRXAP-UHFFFAOYSA-N -1 1 315.358 1.999 20 0 DDADMM O=C(CCc1cccs1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822983921 606650708 /nfs/dbraw/zinc/65/07/08/606650708.db2.gz XSBAIOVSAMRXAP-UHFFFAOYSA-N -1 1 315.358 1.999 20 0 DDADMM O=C(CCC(F)(F)F)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822950542 606653022 /nfs/dbraw/zinc/65/30/22/606653022.db2.gz SAVGWJQUENJGLB-UHFFFAOYSA-N -1 1 301.228 1.647 20 0 DDADMM O=C(CCC(F)(F)F)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822950542 606653023 /nfs/dbraw/zinc/65/30/23/606653023.db2.gz SAVGWJQUENJGLB-UHFFFAOYSA-N -1 1 301.228 1.647 20 0 DDADMM Cc1ccc(NC(=O)Cn2ccnc2-c2nnn[n-]2)c(Cl)c1 ZINC000822248876 606655015 /nfs/dbraw/zinc/65/50/15/606655015.db2.gz RLDCAEYFENZHIL-UHFFFAOYSA-N -1 1 317.740 1.664 20 0 DDADMM Cc1ccc(NC(=O)Cn2ccnc2-c2nn[n-]n2)c(Cl)c1 ZINC000822248876 606655016 /nfs/dbraw/zinc/65/50/16/606655016.db2.gz RLDCAEYFENZHIL-UHFFFAOYSA-N -1 1 317.740 1.664 20 0 DDADMM Cc1cccc2nc(CNc3nccnc3-c3nnn[n-]3)n(C)c21 ZINC000822340912 606832214 /nfs/dbraw/zinc/83/22/14/606832214.db2.gz MVMGZDJACKCYMC-UHFFFAOYSA-N -1 1 321.348 1.464 20 0 DDADMM Cc1cccc2nc(CNc3nccnc3-c3nn[n-]n3)n(C)c21 ZINC000822340912 606832216 /nfs/dbraw/zinc/83/22/16/606832216.db2.gz MVMGZDJACKCYMC-UHFFFAOYSA-N -1 1 321.348 1.464 20 0 DDADMM C[C@H]1N(c2snc(Cl)c2-c2nnn[n-]2)CCOC1(C)C ZINC000820822174 606877194 /nfs/dbraw/zinc/87/71/94/606877194.db2.gz XYHBUWMJLXJLQM-ZCFIWIBFSA-N -1 1 314.802 1.980 20 0 DDADMM C[C@H]1N(c2snc(Cl)c2-c2nn[n-]n2)CCOC1(C)C ZINC000820822174 606877195 /nfs/dbraw/zinc/87/71/95/606877195.db2.gz XYHBUWMJLXJLQM-ZCFIWIBFSA-N -1 1 314.802 1.980 20 0 DDADMM O=C(CC[C@H]1CCCCO1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822950640 607104680 /nfs/dbraw/zinc/10/46/80/607104680.db2.gz WAQDJRQMQVIYOP-MRVPVSSYSA-N -1 1 308.367 1.611 20 0 DDADMM O=C(CC[C@H]1CCCCO1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822950640 607104681 /nfs/dbraw/zinc/10/46/81/607104681.db2.gz WAQDJRQMQVIYOP-MRVPVSSYSA-N -1 1 308.367 1.611 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)[C@H]1CCc2ccccc21 ZINC000823380168 607107554 /nfs/dbraw/zinc/10/75/54/607107554.db2.gz RNGBAZGAVMSSTC-JTQLQIEISA-N -1 1 312.358 1.992 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)[C@H]1CCc2ccccc21 ZINC000823380168 607107555 /nfs/dbraw/zinc/10/75/55/607107555.db2.gz RNGBAZGAVMSSTC-JTQLQIEISA-N -1 1 312.358 1.992 20 0 DDADMM Cc1cc(NC(=O)c2ccc(-c3nnn[n-]3)s2)cn(C)c1=O ZINC000822209604 607169032 /nfs/dbraw/zinc/16/90/32/607169032.db2.gz PMEJIFZGTJRCIV-UHFFFAOYSA-N -1 1 316.346 1.188 20 0 DDADMM Cc1cc(NC(=O)c2ccc(-c3nn[n-]n3)s2)cn(C)c1=O ZINC000822209604 607169033 /nfs/dbraw/zinc/16/90/33/607169033.db2.gz PMEJIFZGTJRCIV-UHFFFAOYSA-N -1 1 316.346 1.188 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)c2cccnc2O1 ZINC000824976440 607791420 /nfs/dbraw/zinc/79/14/20/607791420.db2.gz OTXNIFICUDVLAH-SECBINFHSA-N -1 1 323.316 1.084 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)c2cccnc2O1 ZINC000824976440 607791421 /nfs/dbraw/zinc/79/14/21/607791421.db2.gz OTXNIFICUDVLAH-SECBINFHSA-N -1 1 323.316 1.084 20 0 DDADMM C[C@](O)(CNc1nccnc1-c1nnn[n-]1)c1ccc(F)cc1 ZINC000824678005 607967995 /nfs/dbraw/zinc/96/79/95/607967995.db2.gz KAIIIJYDDNETDJ-AWEZNQCLSA-N -1 1 315.312 1.115 20 0 DDADMM C[C@](O)(CNc1nccnc1-c1nn[n-]n1)c1ccc(F)cc1 ZINC000824678005 607967996 /nfs/dbraw/zinc/96/79/96/607967996.db2.gz KAIIIJYDDNETDJ-AWEZNQCLSA-N -1 1 315.312 1.115 20 0 DDADMM Cc1ccc2c(Cn3ccnc3-c3nnn[n-]3)cc(=O)oc2c1 ZINC000826293337 608012746 /nfs/dbraw/zinc/01/27/46/608012746.db2.gz LHSUSRDFSADZKL-UHFFFAOYSA-N -1 1 308.301 1.526 20 0 DDADMM Cc1ccc2c(Cn3ccnc3-c3nn[n-]n3)cc(=O)oc2c1 ZINC000826293337 608012747 /nfs/dbraw/zinc/01/27/47/608012747.db2.gz LHSUSRDFSADZKL-UHFFFAOYSA-N -1 1 308.301 1.526 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)Cn2ccnc2-c2nnn[n-]2)[C@H]1C ZINC000824925804 608014041 /nfs/dbraw/zinc/01/40/41/608014041.db2.gz HPNSKKXWGLMMMI-OUAUKWLOSA-N -1 1 303.370 1.004 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)Cn2ccnc2-c2nn[n-]n2)[C@H]1C ZINC000824925804 608014042 /nfs/dbraw/zinc/01/40/42/608014042.db2.gz HPNSKKXWGLMMMI-OUAUKWLOSA-N -1 1 303.370 1.004 20 0 DDADMM c1nc(Sc2ccc(-c3nnn[n-]3)nn2)nn1-c1ccccc1 ZINC000826519867 608393783 /nfs/dbraw/zinc/39/37/83/608393783.db2.gz ROTKOQADOJJLET-UHFFFAOYSA-N -1 1 323.345 1.389 20 0 DDADMM c1nc(Sc2ccc(-c3nn[n-]n3)nn2)nn1-c1ccccc1 ZINC000826519867 608393786 /nfs/dbraw/zinc/39/37/86/608393786.db2.gz ROTKOQADOJJLET-UHFFFAOYSA-N -1 1 323.345 1.389 20 0 DDADMM CCOc1ccc(Nc2ccc(-c3nnn[n-]3)nn2)cc1F ZINC000825643597 608401241 /nfs/dbraw/zinc/40/12/41/608401241.db2.gz RMCVXMBXRSMRDH-UHFFFAOYSA-N -1 1 301.285 1.938 20 0 DDADMM CCOc1ccc(Nc2ccc(-c3nn[n-]n3)nn2)cc1F ZINC000825643597 608401243 /nfs/dbraw/zinc/40/12/43/608401243.db2.gz RMCVXMBXRSMRDH-UHFFFAOYSA-N -1 1 301.285 1.938 20 0 DDADMM COC[C@H](Cc1ccccc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826149866 608428105 /nfs/dbraw/zinc/42/81/05/608428105.db2.gz QRXKIWXSMOWTSV-LBPRGKRZSA-N -1 1 311.349 1.326 20 0 DDADMM COC[C@H](Cc1ccccc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826149866 608428106 /nfs/dbraw/zinc/42/81/06/608428106.db2.gz QRXKIWXSMOWTSV-LBPRGKRZSA-N -1 1 311.349 1.326 20 0 DDADMM CCO[C@H]1C[C@H](N(C)c2ccc(-c3nnn[n-]3)nn2)C12CCC2 ZINC000825581647 608433392 /nfs/dbraw/zinc/43/33/92/608433392.db2.gz CJUSKBKUILUCHE-RYUDHWBXSA-N -1 1 315.381 1.441 20 0 DDADMM CCO[C@H]1C[C@H](N(C)c2ccc(-c3nn[n-]n3)nn2)C12CCC2 ZINC000825581647 608433394 /nfs/dbraw/zinc/43/33/94/608433394.db2.gz CJUSKBKUILUCHE-RYUDHWBXSA-N -1 1 315.381 1.441 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)N[C@@H]1CCCc2ccccc21 ZINC000826425342 608891633 /nfs/dbraw/zinc/89/16/33/608891633.db2.gz YXKDMSFVWPQKRT-CYBMUJFWSA-N -1 1 323.360 1.257 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)N[C@@H]1CCCc2ccccc21 ZINC000826425342 608891635 /nfs/dbraw/zinc/89/16/35/608891635.db2.gz YXKDMSFVWPQKRT-CYBMUJFWSA-N -1 1 323.360 1.257 20 0 DDADMM C[C@@]1(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCCOC1 ZINC000824783152 609293798 /nfs/dbraw/zinc/29/37/98/609293798.db2.gz DMBZPPRSMIFJJA-CQSZACIVSA-N -1 1 303.322 1.122 20 0 DDADMM C[C@@]1(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCCOC1 ZINC000824783152 609293800 /nfs/dbraw/zinc/29/38/00/609293800.db2.gz DMBZPPRSMIFJJA-CQSZACIVSA-N -1 1 303.322 1.122 20 0 DDADMM NC(=O)c1cncc(Oc2ccc(Cl)c(-c3nnn[n-]3)n2)c1 ZINC000826394107 609428597 /nfs/dbraw/zinc/42/85/97/609428597.db2.gz XBNKWCKXGLPNGG-UHFFFAOYSA-N -1 1 317.696 1.201 20 0 DDADMM NC(=O)c1cncc(Oc2ccc(Cl)c(-c3nn[n-]n3)n2)c1 ZINC000826394107 609428598 /nfs/dbraw/zinc/42/85/98/609428598.db2.gz XBNKWCKXGLPNGG-UHFFFAOYSA-N -1 1 317.696 1.201 20 0 DDADMM CC[C@H](CSC)N(C)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825122431 609469290 /nfs/dbraw/zinc/46/92/90/609469290.db2.gz ZNNLPTBWYZOQEI-SECBINFHSA-N -1 1 324.410 1.306 20 0 DDADMM CC[C@H](CSC)N(C)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825122431 609469291 /nfs/dbraw/zinc/46/92/91/609469291.db2.gz ZNNLPTBWYZOQEI-SECBINFHSA-N -1 1 324.410 1.306 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CCSC2)co1 ZINC000756578208 700630143 /nfs/dbraw/zinc/63/01/43/700630143.db2.gz HPNLQDDUGWMGOE-QMMMGPOBSA-N -1 1 305.377 1.098 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974055009 695629211 /nfs/dbraw/zinc/62/92/11/695629211.db2.gz XBLQEOKLYMBBCX-TUAOUCFPSA-N -1 1 317.389 1.600 20 0 DDADMM CC1(C)C[C@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976363118 695966887 /nfs/dbraw/zinc/96/68/87/695966887.db2.gz RFOHXUBDFQNGQV-SRRSOLGSSA-N -1 1 315.373 1.020 20 0 DDADMM O=C([N-]NC(=O)C1CC1)c1cc(-c2ccccc2Cl)[nH]n1 ZINC000028834425 696107074 /nfs/dbraw/zinc/10/70/74/696107074.db2.gz QPQBQJQYZHYMEI-UHFFFAOYSA-N -1 1 304.737 1.901 20 0 DDADMM CC(=O)N[N-]C(=O)c1cc(-c2ccc(Br)cc2)[nH]n1 ZINC000048449788 696212380 /nfs/dbraw/zinc/21/23/80/696212380.db2.gz FOKMJMGXJOOAQM-UHFFFAOYSA-N -1 1 323.150 1.620 20 0 DDADMM CN(C(=O)c1c([O-])cccc1Cl)[C@@H]1CCS(=O)(=O)C1 ZINC000049085291 696220567 /nfs/dbraw/zinc/22/05/67/696220567.db2.gz ZOTJJMHNTYYDMK-MRVPVSSYSA-N -1 1 303.767 1.305 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977621670 696237836 /nfs/dbraw/zinc/23/78/36/696237836.db2.gz UKEFTVRTKQDNDG-IJLUTSLNSA-N -1 1 303.362 1.116 20 0 DDADMM CC(Nc1cccc2ncccc21)=C1C(=O)[N-]C(=S)NC1=O ZINC000053064853 696263417 /nfs/dbraw/zinc/26/34/17/696263417.db2.gz YZSSPCRUYCXZGR-UHFFFAOYSA-N -1 1 312.354 1.452 20 0 DDADMM Cc1ccc(C)c2c1NC(=O)C2=N[N-]c1ncnc2[nH]cnc21 ZINC000054890262 696279335 /nfs/dbraw/zinc/27/93/35/696279335.db2.gz PBXZAMZKEKYOBC-UHFFFAOYSA-N -1 1 307.317 1.738 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1cc[nH]c1 ZINC000977836313 696283206 /nfs/dbraw/zinc/28/32/06/696283206.db2.gz AFVHTDQIEBNXTE-LBPRGKRZSA-N -1 1 314.345 1.102 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)NOCc2cccnc2)c1 ZINC000747616434 700077712 /nfs/dbraw/zinc/07/77/12/700077712.db2.gz JPXDBUDYSKSIFG-UHFFFAOYSA-N -1 1 301.302 1.607 20 0 DDADMM CCc1cccc(CC)c1NC(=S)NN1CC(=O)[N-]C1=O ZINC000061251978 696317532 /nfs/dbraw/zinc/31/75/32/696317532.db2.gz OAOKPXRHNZPDIV-UHFFFAOYSA-N -1 1 306.391 1.565 20 0 DDADMM CCn1c(CNC(=O)c2cn3ccccc3n2)n[n-]c1=S ZINC000066636589 696354545 /nfs/dbraw/zinc/35/45/45/696354545.db2.gz PWKDONWBUIVSCZ-UHFFFAOYSA-N -1 1 302.363 1.538 20 0 DDADMM CN1C(=O)COc2ccc([N-]S(=O)(=O)c3ccccc3)cc21 ZINC000074984537 696417950 /nfs/dbraw/zinc/41/79/50/696417950.db2.gz YJEATROSSTWWGU-UHFFFAOYSA-N -1 1 318.354 1.843 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccn(-c3ccccc3)n2)s1 ZINC000079434623 696460398 /nfs/dbraw/zinc/46/03/98/696460398.db2.gz ANQSJNNPYFZYFD-JTQLQIEISA-N -1 1 315.358 1.158 20 0 DDADMM Cc1cccc([C@H](C)CNC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080439663 696534617 /nfs/dbraw/zinc/53/46/17/696534617.db2.gz CEIZIBXPMBPLCP-PWSUYJOCSA-N -1 1 305.403 1.562 20 0 DDADMM CCN(C[C@H]1CCCO1)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000080590485 696535491 /nfs/dbraw/zinc/53/54/91/696535491.db2.gz OVTLZKBTVUSGSA-GFCCVEGCSA-N -1 1 307.394 1.843 20 0 DDADMM O=C(N[C@H]1C[C@@H](CNC(=O)[C@@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000979363723 696535882 /nfs/dbraw/zinc/53/58/82/696535882.db2.gz BHODMFUGERVRAR-TUAOUCFPSA-N -1 1 315.373 1.212 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(CC2CC2)c2ccccc2)s1 ZINC000084373249 696557519 /nfs/dbraw/zinc/55/75/19/696557519.db2.gz IJUIZBIWSBMRBM-GFCCVEGCSA-N -1 1 303.387 1.776 20 0 DDADMM N#Cc1cc(Cl)nc(N[N-]C(=O)C(F)(F)C2(O)CCC2)c1 ZINC000093543102 696600892 /nfs/dbraw/zinc/60/08/92/696600892.db2.gz QFOQUWBYUIKSCF-UHFFFAOYSA-N -1 1 316.695 1.600 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCOC2CCC2)o1 ZINC000748062633 700097747 /nfs/dbraw/zinc/09/77/47/700097747.db2.gz AMTPQDKBUBFAIZ-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)c1ccc(F)cc1)N(C)C ZINC000798811541 700098713 /nfs/dbraw/zinc/09/87/13/700098713.db2.gz FQNUEULLVWQVHH-GFCCVEGCSA-N -1 1 302.371 1.217 20 0 DDADMM CC(C)(C)OC(=O)N1CCOC[C@H]1C[N-]C(=O)C(F)(F)F ZINC000116625230 696668145 /nfs/dbraw/zinc/66/81/45/696668145.db2.gz DCKBBSNGBSEFKF-MRVPVSSYSA-N -1 1 312.288 1.301 20 0 DDADMM O=S(=O)(Oc1cccnc1Br)c1c[n-]cn1 ZINC000125025323 696748711 /nfs/dbraw/zinc/74/87/11/696748711.db2.gz GOSFOPKVPDOXOI-UHFFFAOYSA-N -1 1 304.125 1.335 20 0 DDADMM CCS(=O)(=O)[N-]c1ccc(S(=O)(=O)C(F)(F)F)cc1 ZINC000130326191 696796785 /nfs/dbraw/zinc/79/67/85/696796785.db2.gz JMOUJJPKEHZCMB-UHFFFAOYSA-N -1 1 317.310 1.742 20 0 DDADMM CS(=O)(=O)c1ccc(C(=O)[N-]c2cc(C(F)(F)F)no2)o1 ZINC000748356607 700113161 /nfs/dbraw/zinc/11/31/61/700113161.db2.gz WOUYUBKHXKNYFW-UHFFFAOYSA-N -1 1 324.236 1.942 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCN(c2cnccn2)CC1 ZINC000146902928 696873629 /nfs/dbraw/zinc/87/36/29/696873629.db2.gz RHLQORFTRTWQMF-UHFFFAOYSA-N -1 1 318.764 1.798 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCN(C[C@@H]3CC[C@@H](C)O3)CC2)c1[O-] ZINC000980982155 696969769 /nfs/dbraw/zinc/96/97/69/696969769.db2.gz YRLJKJYRKORJBM-YPMHNXCESA-N -1 1 322.409 1.139 20 0 DDADMM Cc1cc(C)c(NC(=O)CCn2cc[n-]c(=O)c2=O)c(C)c1 ZINC000748533074 700124094 /nfs/dbraw/zinc/12/40/94/700124094.db2.gz MRJRQELSVSNPPE-UHFFFAOYSA-N -1 1 301.346 1.491 20 0 DDADMM Cc1cccc(C(C)C)c1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000748554413 700125110 /nfs/dbraw/zinc/12/51/10/700125110.db2.gz OBROFNULJAUOKO-UHFFFAOYSA-N -1 1 315.373 1.997 20 0 DDADMM CC1(C(=O)N[C@@H]2CC[C@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000981212740 697036554 /nfs/dbraw/zinc/03/65/54/697036554.db2.gz LGWGTVBTGBGRGG-NWDGAFQWSA-N -1 1 317.389 1.602 20 0 DDADMM CN(C)c1cc([N-]S(=O)(=O)c2ccc(Cl)nc2)ccn1 ZINC000748611773 700129021 /nfs/dbraw/zinc/12/90/21/700129021.db2.gz CXMCIRCLJQOLDJ-UHFFFAOYSA-N -1 1 312.782 1.997 20 0 DDADMM CCc1ccc(N2C[C@H](C(=O)[N-]OCCOC)CC2=O)cc1 ZINC000748770390 700133690 /nfs/dbraw/zinc/13/36/90/700133690.db2.gz SQFYXWDZXCCTTD-CYBMUJFWSA-N -1 1 306.362 1.296 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983119248 697213521 /nfs/dbraw/zinc/21/35/21/697213521.db2.gz PPLDNYVGXMIQCS-MNOVXSKESA-N -1 1 309.341 1.114 20 0 DDADMM CCN(C[C@H]1CCCO1)C(=O)c1nnc2ccccc2c1O ZINC000171765871 697361655 /nfs/dbraw/zinc/36/16/55/697361655.db2.gz VCHXTCRADWYDJI-LLVKDONJSA-N -1 1 301.346 1.564 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2ccncc2)ccc1F ZINC000178181191 697421728 /nfs/dbraw/zinc/42/17/28/697421728.db2.gz ZEAPXVUDBGCDAT-UHFFFAOYSA-N -1 1 310.306 1.808 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)c1ccncc1 ZINC000749298572 700155150 /nfs/dbraw/zinc/15/51/50/700155150.db2.gz DOHRRSPAGZSHGL-SECBINFHSA-N -1 1 314.798 1.551 20 0 DDADMM C[C@H](NC(=O)c1cc(Cl)ccc1[O-])c1nnnn1C1CC1 ZINC000190281475 697588273 /nfs/dbraw/zinc/58/82/73/697588273.db2.gz YRNCVBGFFLXEBQ-ZETCQYMHSA-N -1 1 307.741 1.858 20 0 DDADMM Cc1ccsc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000985889224 697596417 /nfs/dbraw/zinc/59/64/17/697596417.db2.gz ZGBFDWALOOCJSK-NXEZZACHSA-N -1 1 321.406 1.273 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc(F)c1 ZINC000985900612 697598777 /nfs/dbraw/zinc/59/87/77/697598777.db2.gz JRYLRTDTMPOFHG-JOYOIKCWSA-N -1 1 319.340 1.042 20 0 DDADMM CCc1cc(=O)[n-]c(SCCS(=O)(=O)c2ccccc2)n1 ZINC000191504448 697608633 /nfs/dbraw/zinc/60/86/33/697608633.db2.gz MULFZKGQSFHONN-UHFFFAOYSA-N -1 1 324.427 1.898 20 0 DDADMM CCC[C@H](NC(=O)CC1CCC2(CC1)OCCO2)c1nn[n-]n1 ZINC000191897500 697616311 /nfs/dbraw/zinc/61/63/11/697616311.db2.gz OGIPJKQXGMCQPY-LBPRGKRZSA-N -1 1 323.397 1.481 20 0 DDADMM O=C(c1scnc1C1CC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773160825 697721970 /nfs/dbraw/zinc/72/19/70/697721970.db2.gz OOIRCKFLDITNLI-MRVPVSSYSA-N -1 1 322.419 1.464 20 0 DDADMM C[C@]12CC3CC(C(=O)NN4CC(=O)[N-]C4=O)(C1)C[C@@](C)(C3)C2 ZINC000194840156 697723156 /nfs/dbraw/zinc/72/31/56/697723156.db2.gz AOEXWXGJTAJZNV-LZNCCJSUSA-N -1 1 305.378 1.566 20 0 DDADMM Cc1cc(CN[C@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)no1 ZINC000986196340 697730260 /nfs/dbraw/zinc/73/02/60/697730260.db2.gz YOOQSIFURPILSQ-YPMHNXCESA-N -1 1 316.361 1.476 20 0 DDADMM Cc1coc(C)c1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986235620 697747544 /nfs/dbraw/zinc/74/75/44/697747544.db2.gz ZCOVANQOTZHYEN-MWLCHTKSSA-N -1 1 319.365 1.113 20 0 DDADMM Cc1sccc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986269166 697760787 /nfs/dbraw/zinc/76/07/87/697760787.db2.gz QWPRPDFHLLAIFF-LDYMZIIASA-N -1 1 321.406 1.273 20 0 DDADMM Cc1cc(C)nc([S@@](=O)CCC[N-]C(=O)C(F)(F)F)c1 ZINC000773767654 697802385 /nfs/dbraw/zinc/80/23/85/697802385.db2.gz ZETPRPHXSAUHRC-FQEVSTJZSA-N -1 1 308.325 1.875 20 0 DDADMM Cc1cc(C)n(CC(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000774910825 697941022 /nfs/dbraw/zinc/94/10/22/697941022.db2.gz YUAXTEOLCVAMSH-UHFFFAOYSA-N -1 1 307.272 1.112 20 0 DDADMM Cc1coc2ccc(NC(=O)CCn3cc[n-]c(=O)c3=O)cc12 ZINC000775445579 697997338 /nfs/dbraw/zinc/99/73/38/697997338.db2.gz LWVSEMDNHWQEHJ-UHFFFAOYSA-N -1 1 313.313 1.620 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCCCCCCO ZINC000776376412 698101405 /nfs/dbraw/zinc/10/14/05/698101405.db2.gz WVUZRCQVGOSFSY-UHFFFAOYSA-N -1 1 321.420 1.829 20 0 DDADMM COc1ccc(C(=O)Nc2cncnc2-n2cccn2)c([O-])c1 ZINC000777032510 698152455 /nfs/dbraw/zinc/15/24/55/698152455.db2.gz OLERMPVVGQREME-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM CCC(CC)[C@H](C)C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000777361654 698182312 /nfs/dbraw/zinc/18/23/12/698182312.db2.gz XUZURXJTALSNCE-JTQLQIEISA-N -1 1 320.393 1.884 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C=C1CCCC1 ZINC000777361285 698182556 /nfs/dbraw/zinc/18/25/56/698182556.db2.gz PBQUGGVNCRWOKF-UHFFFAOYSA-N -1 1 302.334 1.312 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1c(F)cc(C=O)cc1F ZINC000750165910 700207737 /nfs/dbraw/zinc/20/77/37/700207737.db2.gz DQHYXJKKMSZXGH-UHFFFAOYSA-N -1 1 302.258 1.577 20 0 DDADMM Cc1[n-]ncc1S(=O)(=O)Oc1c(F)cc(C=O)cc1F ZINC000750165910 700207738 /nfs/dbraw/zinc/20/77/38/700207738.db2.gz DQHYXJKKMSZXGH-UHFFFAOYSA-N -1 1 302.258 1.577 20 0 DDADMM Cn1ccc(C[N-]S(=O)(=O)c2c(Cl)ccnc2Cl)n1 ZINC000778032594 698238601 /nfs/dbraw/zinc/23/86/01/698238601.db2.gz MZUDFQMRYHIACH-UHFFFAOYSA-N -1 1 321.189 1.600 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccccc1F ZINC000987859422 698248780 /nfs/dbraw/zinc/24/87/80/698248780.db2.gz ULGPEDDAOIOFRL-ZJUUUORDSA-N -1 1 319.340 1.042 20 0 DDADMM O=C(N[C@H](CO)C1CC1)c1ccc(Br)c([O-])c1 ZINC000778513161 698343874 /nfs/dbraw/zinc/34/38/74/698343874.db2.gz YKEJBKACVQWADZ-SNVBAGLBSA-N -1 1 300.152 1.655 20 0 DDADMM CN1CCC[C@H](OC(=O)c2cc(F)cc(Cl)c2[O-])C1=O ZINC000778815745 698371177 /nfs/dbraw/zinc/37/11/77/698371177.db2.gz RMCRHLPIQURIRH-JTQLQIEISA-N -1 1 301.701 1.962 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OC[C@H]1CCOC1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000248986460 698385142 /nfs/dbraw/zinc/38/51/42/698385142.db2.gz WZDAUFPZKAOIGY-XGUBFFRZSA-N -1 1 314.426 1.205 20 0 DDADMM Cc1[nH]c2ccnn2c(=O)c1CC(=O)[N-]OC1CCCCC1 ZINC000779322224 698413433 /nfs/dbraw/zinc/41/34/33/698413433.db2.gz LXXNDUGWWOTPCU-UHFFFAOYSA-N -1 1 304.350 1.254 20 0 DDADMM Cc1conc1CN[C@@H]1C[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC000988692040 698439435 /nfs/dbraw/zinc/43/94/35/698439435.db2.gz SGURNIKYVBJSNM-NWDGAFQWSA-N -1 1 316.361 1.476 20 0 DDADMM CC(C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C)=C1CCC1 ZINC000988816810 698475031 /nfs/dbraw/zinc/47/50/31/698475031.db2.gz CYOBMARNYGGWJE-CABZTGNLSA-N -1 1 305.382 1.090 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCO1 ZINC000780140277 698492144 /nfs/dbraw/zinc/49/21/44/698492144.db2.gz FQGXLURRFOPTSM-UONOGXRCSA-N -1 1 319.357 1.691 20 0 DDADMM C[N@H+]1CCCC(C)(C)[C@@H]1CNC(=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000263372294 698502973 /nfs/dbraw/zinc/50/29/73/698502973.db2.gz NHZIIZHDZYDKRS-BLLLJJGKSA-N -1 1 311.426 1.613 20 0 DDADMM Cc1cccc(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)n1 ZINC000280207079 698539554 /nfs/dbraw/zinc/53/95/54/698539554.db2.gz GJXXKKPFZJXMQZ-UHFFFAOYSA-N -1 1 314.798 1.298 20 0 DDADMM O=C(NC[C@H](O)c1ccsc1)c1nc2ccccc2c(=O)[n-]1 ZINC000304251008 698616247 /nfs/dbraw/zinc/61/62/47/698616247.db2.gz BUQQGVJVYONQPH-LBPRGKRZSA-N -1 1 315.354 1.860 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)CC(C)C ZINC000305046022 698627985 /nfs/dbraw/zinc/62/79/85/698627985.db2.gz AQSLHLDGBFPWEN-NSHDSACASA-N -1 1 309.410 1.925 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccco1)c1cccc(F)c1F ZINC000305175047 698637981 /nfs/dbraw/zinc/63/79/81/698637981.db2.gz AGIXIBYSVYHVHQ-VIFPVBQESA-N -1 1 303.286 1.570 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccco1)c1cc(F)ccc1F ZINC000305224388 698642438 /nfs/dbraw/zinc/64/24/38/698642438.db2.gz XRWIWSRWDZCVSX-SNVBAGLBSA-N -1 1 303.286 1.570 20 0 DDADMM CC[C@@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000305226863 698642735 /nfs/dbraw/zinc/64/27/35/698642735.db2.gz ZEWQZBIIKIBNPU-LDYMZIIASA-N -1 1 309.819 1.149 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000313935323 698685414 /nfs/dbraw/zinc/68/54/14/698685414.db2.gz YXNOXYGWAAPQHK-LBPRGKRZSA-N -1 1 318.326 1.173 20 0 DDADMM C[C@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000314538111 698689852 /nfs/dbraw/zinc/68/98/52/698689852.db2.gz WYJXIUKQNGZPDB-HIFRSBDPSA-N -1 1 304.390 1.703 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC([C@@H](C)O)CC1 ZINC000318566106 698705692 /nfs/dbraw/zinc/70/56/92/698705692.db2.gz PYEUANUSWYGGBA-LLVKDONJSA-N -1 1 307.394 1.847 20 0 DDADMM CC(F)(F)C(=O)[N-]C1CN(C(=O)c2c(F)cc(F)cc2F)C1 ZINC000990058150 698927713 /nfs/dbraw/zinc/92/77/13/698927713.db2.gz URCRQBXQTAKEOE-UHFFFAOYSA-N -1 1 322.233 1.700 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(Cc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000389984429 699091844 /nfs/dbraw/zinc/09/18/44/699091844.db2.gz LPZROJUCOLZKRK-AWEZNQCLSA-N -1 1 312.297 1.657 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1S(=O)(=O)NCC1=CCN(C)CC1 ZINC000392257768 699100134 /nfs/dbraw/zinc/10/01/34/699100134.db2.gz OKPGDKBOVQIZRT-UHFFFAOYSA-N -1 1 324.402 1.233 20 0 DDADMM CCC[C@@H](NC(=O)C(F)(F)C1(O)CCCC1)c1nn[n-]n1 ZINC000786299557 699139057 /nfs/dbraw/zinc/13/90/57/699139057.db2.gz DADZAFDRCCNNDP-MRVPVSSYSA-N -1 1 303.313 1.098 20 0 DDADMM C=Cc1ccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)cc1 ZINC000990977656 699197522 /nfs/dbraw/zinc/19/75/22/699197522.db2.gz KAKSIBOLMLOKJO-UHFFFAOYSA-N -1 1 323.352 1.685 20 0 DDADMM COC(=O)[C@H](CC(F)F)[N-]C(=O)C(F)(F)c1ncccc1C ZINC000787478356 699213661 /nfs/dbraw/zinc/21/36/61/699213661.db2.gz PVQRLGIUOUSIND-QMMMGPOBSA-N -1 1 322.258 1.795 20 0 DDADMM COC1CCC([N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000703830722 699237408 /nfs/dbraw/zinc/23/74/08/699237408.db2.gz HUAJEJVTGKBUFH-UHFFFAOYSA-N -1 1 307.803 1.309 20 0 DDADMM CN1CCN(C(=O)C2(C(=O)[O-])CCSCC2)CC1(C)C ZINC000707413890 699246706 /nfs/dbraw/zinc/24/67/06/699246706.db2.gz MKHLWBAGHWGNDD-UHFFFAOYSA-N -1 1 300.424 1.137 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)F)c1cnc(Cl)c(F)c1 ZINC000717841484 699291742 /nfs/dbraw/zinc/29/17/42/699291742.db2.gz WCQXRRRHCYCHNV-YFKPBYRVSA-N -1 1 318.704 1.169 20 0 DDADMM C[C@H]1CCN(CCCS(=O)(=O)C(C)(C)C)C[C@@H]1C(=O)[O-] ZINC000718735210 699294771 /nfs/dbraw/zinc/29/47/71/699294771.db2.gz PAQZBCYWBDBAAO-RYUDHWBXSA-N -1 1 305.440 1.632 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc(F)c(Cl)c1 ZINC000726864569 699386043 /nfs/dbraw/zinc/38/60/43/699386043.db2.gz FOURFCVQSFDNHI-UHFFFAOYSA-N -1 1 311.700 1.358 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)Oc1ccc(NC(C)=O)cc1 ZINC000789947259 699424428 /nfs/dbraw/zinc/42/44/28/699424428.db2.gz TYSDLXFDUGIGBA-UHFFFAOYSA-N -1 1 319.273 1.074 20 0 DDADMM CCc1cc(CNC(=O)[C@@H]([N-]C(=O)C(F)(F)F)C(C)C)n[nH]1 ZINC000789945670 699424529 /nfs/dbraw/zinc/42/45/29/699424529.db2.gz NQZPUTGQCIPKMM-JTQLQIEISA-N -1 1 320.315 1.291 20 0 DDADMM NS(=O)(=O)c1ccc(-c2nc3cccc(F)c3c(=O)[n-]2)cc1 ZINC000729688020 699485068 /nfs/dbraw/zinc/48/50/68/699485068.db2.gz QSCUDLZPPYBAES-UHFFFAOYSA-N -1 1 319.317 1.377 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCC(=O)C1CC1 ZINC000731718225 699534886 /nfs/dbraw/zinc/53/48/86/699534886.db2.gz MCLQUORTMKQEDR-UHFFFAOYSA-N -1 1 311.359 1.584 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000731854917 699538085 /nfs/dbraw/zinc/53/80/85/699538085.db2.gz LIMHDSJIQJICCW-CYBMUJFWSA-N -1 1 301.350 1.537 20 0 DDADMM Cc1cc([N-]C(=O)c2sccc2S(=O)(=O)N(C)C)no1 ZINC000732052020 699544958 /nfs/dbraw/zinc/54/49/58/699544958.db2.gz GKSQXBKLRABAEV-UHFFFAOYSA-N -1 1 315.376 1.547 20 0 DDADMM C[C@@H](NC(=O)c1n[nH]c(=O)[n-]1)c1nc2ccc(Cl)cc2[nH]1 ZINC000732579215 699563045 /nfs/dbraw/zinc/56/30/45/699563045.db2.gz CCZHQIOMSRAZMS-RXMQYKEDSA-N -1 1 306.713 1.531 20 0 DDADMM CC[S@](=O)CCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000734358325 699648426 /nfs/dbraw/zinc/64/84/26/699648426.db2.gz RGCCFUPKKQLEHJ-NRFANRHFSA-N -1 1 307.375 1.142 20 0 DDADMM CC[S@](=O)CCNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000734358325 699648429 /nfs/dbraw/zinc/64/84/29/699648429.db2.gz RGCCFUPKKQLEHJ-NRFANRHFSA-N -1 1 307.375 1.142 20 0 DDADMM O=C(C[C@H]1CCc2ccccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000734433459 699650743 /nfs/dbraw/zinc/65/07/43/699650743.db2.gz GGOMTFLNPOGBPO-OCCSQVGLSA-N -1 1 313.361 1.220 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)C(=O)[N-]OCC(F)(F)F ZINC000792246747 699686310 /nfs/dbraw/zinc/68/63/10/699686310.db2.gz QQLNMXCQBPKNPO-UHFFFAOYSA-N -1 1 316.239 1.420 20 0 DDADMM C[C@@H](CC(=O)N1CCOC[C@H]1c1nn[n-]n1)C1CCCCC1 ZINC000735975782 699715096 /nfs/dbraw/zinc/71/50/96/699715096.db2.gz OHYNGPYOCBRQQB-AAEUAGOBSA-N -1 1 307.398 1.706 20 0 DDADMM CC(C)[C@@H](C(=O)Nc1nnc(CCC(=O)[O-])s1)N(C)C ZINC000736348451 699724539 /nfs/dbraw/zinc/72/45/39/699724539.db2.gz AJIFVNPTLLHKJL-JTQLQIEISA-N -1 1 300.384 1.080 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(Cn2cccn2)o1)c1nn[n-]n1 ZINC000736431346 699725783 /nfs/dbraw/zinc/72/57/83/699725783.db2.gz XYBYKEPDBJOTQH-NSHDSACASA-N -1 1 315.337 1.309 20 0 DDADMM Cc1oc(-c2ccccc2F)nc1C(=O)NCc1nn[n-]n1 ZINC000737546081 699741911 /nfs/dbraw/zinc/74/19/11/699741911.db2.gz CFVOGZYLYRKFAV-UHFFFAOYSA-N -1 1 302.269 1.232 20 0 DDADMM CSc1ccsc1C(=O)N1CCCN(CC(=O)[O-])CC1 ZINC000738146549 699753742 /nfs/dbraw/zinc/75/37/42/699753742.db2.gz RHOWUYRCLVJQEN-UHFFFAOYSA-N -1 1 314.432 1.703 20 0 DDADMM O=C(N1CCC[C@@H](c2nn[n-]n2)C1)C(F)(F)Cc1ccccc1 ZINC000793717449 699774020 /nfs/dbraw/zinc/77/40/20/699774020.db2.gz LJACTMMEAJWAPZ-GFCCVEGCSA-N -1 1 321.331 1.784 20 0 DDADMM COC1(C)CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000794832209 699832137 /nfs/dbraw/zinc/83/21/37/699832137.db2.gz KSMJICJZDCKYKX-UHFFFAOYSA-N -1 1 306.391 1.659 20 0 DDADMM C[C@@H]1CCC[C@@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1C ZINC000796458573 699934885 /nfs/dbraw/zinc/93/48/85/699934885.db2.gz VBDNVABQIYZHNP-UPJWGTAASA-N -1 1 307.394 1.115 20 0 DDADMM O=C(O[C@H]1CCNC1=O)c1nn(-c2cccc(Cl)c2)cc1[O-] ZINC000801360383 700301099 /nfs/dbraw/zinc/30/10/99/700301099.db2.gz DKUZPHCETFXRCY-NSHDSACASA-N -1 1 321.720 1.277 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(Cl)c3ncccc23)nc1=O ZINC000801443346 700309645 /nfs/dbraw/zinc/30/96/45/700309645.db2.gz HPTJHEJSJOBUBY-UHFFFAOYSA-N -1 1 318.720 1.667 20 0 DDADMM CCCOc1cccnc1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801701163 700331844 /nfs/dbraw/zinc/33/18/44/700331844.db2.gz AKRBUJSKVQOSFI-UHFFFAOYSA-N -1 1 311.345 1.778 20 0 DDADMM Cc1cc(C)cc(OCC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000801700714 700332103 /nfs/dbraw/zinc/33/21/03/700332103.db2.gz QQAMVGWGWPNLOG-UHFFFAOYSA-N -1 1 310.357 1.976 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc(Cn4cccn4)o3)ccnc1-2 ZINC000801701905 700332722 /nfs/dbraw/zinc/33/27/22/700332722.db2.gz YWHNWIJVVPKOTL-UHFFFAOYSA-N -1 1 322.328 1.432 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2cccc(C)c2)co1 ZINC000756401542 700619833 /nfs/dbraw/zinc/61/98/33/700619833.db2.gz FATHJNHFIROVBT-UHFFFAOYSA-N -1 1 309.343 1.853 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC1COC(C)(C)OC1 ZINC000756709389 700637518 /nfs/dbraw/zinc/63/75/18/700637518.db2.gz LZVZOFBGWAQOMA-UHFFFAOYSA-N -1 1 323.393 1.669 20 0 DDADMM CCC[C@H](NC(=O)C(F)(F)c1nccs1)c1nn[n-]n1 ZINC000756839682 700643762 /nfs/dbraw/zinc/64/37/62/700643762.db2.gz IAXSJKZWZFRMEH-LURJTMIESA-N -1 1 302.310 1.406 20 0 DDADMM CC[C@@H]1CC[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)O1 ZINC000757132711 700658718 /nfs/dbraw/zinc/65/87/18/700658718.db2.gz LEQCLBFDZZLAFB-OLZOCXBDSA-N -1 1 305.330 1.444 20 0 DDADMM COC[C@@](C)([N-]C(=O)C(F)(F)c1ccc(F)cc1)C(=O)OC ZINC000757433673 700662819 /nfs/dbraw/zinc/66/28/19/700662819.db2.gz CFBOSXKNANEVIL-CYBMUJFWSA-N -1 1 319.279 1.612 20 0 DDADMM CCc1ccc(N2C[C@H](C(=O)[N-]OCC(F)F)CC2=O)cc1 ZINC000759342911 700747685 /nfs/dbraw/zinc/74/76/85/700747685.db2.gz MGMVCQYOUBBYDT-LLVKDONJSA-N -1 1 312.316 1.915 20 0 DDADMM Cc1cc(F)cc2c(=O)c(C(=O)[N-]OCC(F)F)c[nH]c12 ZINC000760458564 700808939 /nfs/dbraw/zinc/80/89/39/700808939.db2.gz JGNYSCFPFZGASY-UHFFFAOYSA-N -1 1 300.236 1.902 20 0 DDADMM CCOC(=O)C[C@H](C)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000762236351 700886335 /nfs/dbraw/zinc/88/63/35/700886335.db2.gz MKLNEUZTQPHMCV-JTQLQIEISA-N -1 1 323.393 1.933 20 0 DDADMM CCOC(=O)C(C)(C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000762881627 700913460 /nfs/dbraw/zinc/91/34/60/700913460.db2.gz ZQUPIOSQKJFTOF-UHFFFAOYSA-N -1 1 321.329 1.076 20 0 DDADMM CC[C@@H](NC(=O)[C@@H](N)c1cccc(Br)c1)C(=O)[O-] ZINC000763029593 700920920 /nfs/dbraw/zinc/92/09/20/700920920.db2.gz PNPRZSSYZWDHPQ-ZJUUUORDSA-N -1 1 315.167 1.428 20 0 DDADMM Cn1[n-]c(COC(=O)/C=C/c2ccc3ccccc3n2)nc1=O ZINC000765409091 701010237 /nfs/dbraw/zinc/01/02/37/701010237.db2.gz ZOXNJPZIBPKABR-CMDGGOBGSA-N -1 1 310.313 1.413 20 0 DDADMM Cn1[n-]c(COC(=O)c2cnc(-c3ccccc3)s2)nc1=O ZINC000765448482 701013207 /nfs/dbraw/zinc/01/32/07/701013207.db2.gz ZXXWDFBKMVXVKL-UHFFFAOYSA-N -1 1 316.342 1.589 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2cnc(Cl)c(F)c2)o1 ZINC000805034919 701226818 /nfs/dbraw/zinc/22/68/18/701226818.db2.gz YSEFZTFNQXPVNM-YFKPBYRVSA-N -1 1 320.733 1.605 20 0 DDADMM NC(=O)c1cc(C[N-]C(=O)C(F)(F)c2ccc(F)cc2)on1 ZINC000769195796 701235945 /nfs/dbraw/zinc/23/59/45/701235945.db2.gz BXWDRACIEKYHOF-UHFFFAOYSA-N -1 1 313.235 1.321 20 0 DDADMM COc1cc(NC(=O)NN2CC(=O)[N-]C2=O)ccc1OC(C)C ZINC000770738644 701292809 /nfs/dbraw/zinc/29/28/09/701292809.db2.gz CNQSQXDKLQRYBN-UHFFFAOYSA-N -1 1 322.321 1.071 20 0 DDADMM CC(C)(C)c1ccccc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000771468636 701320913 /nfs/dbraw/zinc/32/09/13/701320913.db2.gz AKZUGRAHELHGKS-ZDUSSCGKSA-N -1 1 315.377 1.711 20 0 DDADMM CC(=O)c1ccccc1NC(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805605086 701398768 /nfs/dbraw/zinc/39/87/68/701398768.db2.gz IUWOBRKTWPMPQN-VIFPVBQESA-N -1 1 302.290 1.191 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805606457 701399027 /nfs/dbraw/zinc/39/90/27/701399027.db2.gz IUAGGWGZUMTWCE-ZJUUUORDSA-N -1 1 318.333 1.236 20 0 DDADMM C[C@@H](NC(=O)COC(=O)c1cn[n-]n1)c1cccc2ccccc21 ZINC000805608100 701399666 /nfs/dbraw/zinc/39/96/66/701399666.db2.gz YCEZVVMJOUCJAY-LLVKDONJSA-N -1 1 324.340 1.992 20 0 DDADMM CC(C)[C@@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccc(F)cc1 ZINC000805609080 701399845 /nfs/dbraw/zinc/39/98/45/701399845.db2.gz MPMFBKVSICOCIP-CQSZACIVSA-N -1 1 320.324 1.614 20 0 DDADMM CCc1nc(CN2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)no1 ZINC000810069331 701721380 /nfs/dbraw/zinc/72/13/80/701721380.db2.gz YYZNYWGXRQBECI-SECBINFHSA-N -1 1 320.315 1.523 20 0 DDADMM CO[C@H]1CCC[C@@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)C1 ZINC000814564973 701721662 /nfs/dbraw/zinc/72/16/62/701721662.db2.gz OFZBVEIJTWKOLX-ZJUUUORDSA-N -1 1 311.300 1.803 20 0 DDADMM C[C@H]1CC=C(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000830952141 706609599 /nfs/dbraw/zinc/60/95/99/706609599.db2.gz CJSJHOIHDKILEB-JTQLQIEISA-N -1 1 303.362 1.618 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H]2CCNC(=O)CC2)c1 ZINC000815419486 701864830 /nfs/dbraw/zinc/86/48/30/701864830.db2.gz XRSHKPZCQJTFNZ-GFCCVEGCSA-N -1 1 319.357 1.597 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)[nH]n1 ZINC000810905245 701872607 /nfs/dbraw/zinc/87/26/07/701872607.db2.gz RRVKZVNNGROYBA-VIFPVBQESA-N -1 1 318.299 1.249 20 0 DDADMM Cc1conc1C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000868014976 701893198 /nfs/dbraw/zinc/89/31/98/701893198.db2.gz IPWDAKGJZAADMI-UHFFFAOYSA-N -1 1 319.283 1.514 20 0 DDADMM C/C(=C/c1ccco1)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831116518 706637724 /nfs/dbraw/zinc/63/77/24/706637724.db2.gz AQHWJMHFFBNFSN-UITAMQMPSA-N -1 1 316.279 1.820 20 0 DDADMM C[C@@H](CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC000831116911 706637762 /nfs/dbraw/zinc/63/77/62/706637762.db2.gz GWTKUWMHUALNMP-ONGXEEELSA-N -1 1 322.327 1.329 20 0 DDADMM CCOC(=O)c1nc(=NOCC(C)(C)O)cc(C(C)(C)C)[n-]1 ZINC000811839581 702070273 /nfs/dbraw/zinc/07/02/73/702070273.db2.gz KTBRYMLSQXASTC-UHFFFAOYSA-N -1 1 311.382 1.487 20 0 DDADMM O=C([N-]CC1CN(C(=O)[C@H]2C[C@@H]2C2CCCC2)C1)C(F)(F)F ZINC000831120618 706638286 /nfs/dbraw/zinc/63/82/86/706638286.db2.gz BQYQLYMATSFPPW-NEPJUHHUSA-N -1 1 318.339 1.950 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CNC(=O)OC(C)(C)C)n1 ZINC000816536644 702108959 /nfs/dbraw/zinc/10/89/59/702108959.db2.gz FRSXADMHPSUACR-UHFFFAOYSA-N -1 1 312.326 1.050 20 0 DDADMM O=C([N-]CC1CN(C(=O)Nc2cccnc2)C1)C(F)(F)F ZINC000866184365 706642789 /nfs/dbraw/zinc/64/27/89/706642789.db2.gz NNWZYVATYBJYHA-UHFFFAOYSA-N -1 1 302.256 1.224 20 0 DDADMM O=C(Cc1sc(N2CCC2)nc1[O-])Nc1ccc(F)cc1 ZINC000840700474 702214723 /nfs/dbraw/zinc/21/47/23/702214723.db2.gz BHACWOKXPYZCEB-LLVKDONJSA-N -1 1 307.350 1.858 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NOC1CCC1 ZINC000812789628 702239341 /nfs/dbraw/zinc/23/93/41/702239341.db2.gz IHZUMZQAHNHNFH-UHFFFAOYSA-N -1 1 304.350 1.585 20 0 DDADMM CC/C=C/CNC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868667939 702254527 /nfs/dbraw/zinc/25/45/27/702254527.db2.gz XNNQZAQWWHUPMK-ITKZLYELSA-N -1 1 307.316 1.805 20 0 DDADMM COC/C=C\C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000813635073 702388532 /nfs/dbraw/zinc/38/85/32/702388532.db2.gz JXDKLQWPVHSPSP-JAAKMNSKSA-N -1 1 322.327 1.493 20 0 DDADMM CNC(=S)N1c2ccccc2C[C@@H]1C[N-]C(=O)C(F)(F)F ZINC000817568600 702412969 /nfs/dbraw/zinc/41/29/69/702412969.db2.gz YARDBLPJIOPGMP-SECBINFHSA-N -1 1 317.336 1.601 20 0 DDADMM COC(=O)C(C)(C)N(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000841453678 702455118 /nfs/dbraw/zinc/45/51/18/702455118.db2.gz INYKGXNSOVIZJL-UHFFFAOYSA-N -1 1 308.363 1.042 20 0 DDADMM C[C@H]1CN(C(=O)c2c([O-])cccc2Cl)[C@@H](C)CN1CCO ZINC000841457103 702456976 /nfs/dbraw/zinc/45/69/76/702456976.db2.gz NUSZFBSMOSBLDT-QWRGUYRKSA-N -1 1 312.797 1.573 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000841533755 702483356 /nfs/dbraw/zinc/48/33/56/702483356.db2.gz GARJIKCESAVMOB-JTQLQIEISA-N -1 1 305.302 1.195 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@H](O)C(C)C ZINC000841562189 702498963 /nfs/dbraw/zinc/49/89/63/702498963.db2.gz VDFNUQFFLYLTJY-DTWKUNHWSA-N -1 1 309.819 1.147 20 0 DDADMM O=S(=O)([N-][C@H]1Cc2cccc(O)c2C1)c1c[nH]nc1Cl ZINC000831270610 706674827 /nfs/dbraw/zinc/67/48/27/706674827.db2.gz UOAIQMBFIPITSE-QMMMGPOBSA-N -1 1 313.766 1.214 20 0 DDADMM CC[C@H]1CCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869214367 702513921 /nfs/dbraw/zinc/51/39/21/702513921.db2.gz WPKJKYFJDBGDNM-JTQLQIEISA-N -1 1 306.366 1.339 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)CC1CCCC1 ZINC000869316198 702566274 /nfs/dbraw/zinc/56/62/74/702566274.db2.gz PVFZDYOMIFDIBH-UHFFFAOYSA-N -1 1 309.284 1.748 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC000842782627 702772847 /nfs/dbraw/zinc/77/28/47/702772847.db2.gz QZFXEZLBAAEESS-YIZRAAEISA-N -1 1 324.299 1.059 20 0 DDADMM C[C@@H]1CCC[C@@]1(C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843013211 702803540 /nfs/dbraw/zinc/80/35/40/702803540.db2.gz CHBOCMJBFNQXAY-TZMCWYRMSA-N -1 1 316.467 1.743 20 0 DDADMM CC(C)[C@@]1(C)C[C@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016540 702803788 /nfs/dbraw/zinc/80/37/88/702803788.db2.gz UJDBFWGSDWIJCA-GXTWGEPZSA-N -1 1 316.467 1.599 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCCc2occc21 ZINC000866464786 706705362 /nfs/dbraw/zinc/70/53/62/706705362.db2.gz LPSWXDDRFQVLGN-XTZNXHDOSA-N -1 1 306.409 1.609 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1CC[C@H](C)CC1 ZINC000845510549 703166158 /nfs/dbraw/zinc/16/61/58/703166158.db2.gz HVXNXISKINJJJS-UMSPYCQHSA-N -1 1 318.377 1.638 20 0 DDADMM CCO[N-]C(=O)CNCc1ccc(OC)cc1Br ZINC000846081145 703235465 /nfs/dbraw/zinc/23/54/65/703235465.db2.gz KTBFBMLAYRGYJB-UHFFFAOYSA-N -1 1 317.183 1.615 20 0 DDADMM Cc1[nH]c(C=O)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847078181 703375025 /nfs/dbraw/zinc/37/50/25/703375025.db2.gz HNZILMBYALMCHU-SECBINFHSA-N -1 1 304.306 1.244 20 0 DDADMM CCCONC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000847389563 703412234 /nfs/dbraw/zinc/41/22/34/703412234.db2.gz MIKRMBWHFDTSFQ-RKDXNWHRSA-N -1 1 311.304 1.569 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)[C@H]1CCC(=O)N(C)C1 ZINC000848127378 703509677 /nfs/dbraw/zinc/50/96/77/703509677.db2.gz TZQQVCYKUDKDIS-NSHDSACASA-N -1 1 320.393 1.307 20 0 DDADMM CC(=O)C1(C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)CC1 ZINC000848339712 703540624 /nfs/dbraw/zinc/54/06/24/703540624.db2.gz NNXDPOQJEPYHHA-PSASIEDQSA-N -1 1 320.311 1.414 20 0 DDADMM CC(=O)[C@H](C)CCOC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000849699157 703668972 /nfs/dbraw/zinc/66/89/72/703668972.db2.gz BUTXBZSDXOAMNT-MRVPVSSYSA-N -1 1 319.404 1.892 20 0 DDADMM CO[C@H](COC(=O)c1c([O-])cc(F)cc1F)[C@@H]1CCOC1 ZINC000849718771 703671473 /nfs/dbraw/zinc/67/14/73/703671473.db2.gz ZXDMPQJBBDDRBA-PRHODGIISA-N -1 1 302.273 1.879 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2CCC2(C)C)cc1 ZINC000850067178 703701186 /nfs/dbraw/zinc/70/11/86/703701186.db2.gz AWGIMNLOFDTLEN-CYBMUJFWSA-N -1 1 319.357 1.931 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCOC2CCC2)cc1C ZINC000851771179 703851184 /nfs/dbraw/zinc/85/11/84/703851184.db2.gz ZHKFPSPSMHJTNQ-UHFFFAOYSA-N -1 1 317.363 1.222 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCCC23OCCO3)sc1C ZINC000851817153 703863667 /nfs/dbraw/zinc/86/36/67/703863667.db2.gz ZBIZONYXQABNLC-JTQLQIEISA-N -1 1 318.420 1.334 20 0 DDADMM Cc1ccc(N(C)Cc2nc(=O)n(C)[n-]2)c(Br)c1 ZINC000852066835 703916232 /nfs/dbraw/zinc/91/62/32/703916232.db2.gz UPHGAEKEBGSYDO-UHFFFAOYSA-N -1 1 311.183 1.816 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC2(CCNC2=O)CC1 ZINC000831780517 706778289 /nfs/dbraw/zinc/77/82/89/706778289.db2.gz MOOGGRIEMBQBIC-UHFFFAOYSA-N -1 1 310.300 1.413 20 0 DDADMM C[C@@H](CF)NC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852355427 704022771 /nfs/dbraw/zinc/02/27/71/704022771.db2.gz UQVOCKDTIIHNGR-VGMNWLOBSA-N -1 1 313.295 1.443 20 0 DDADMM CCCOC(=O)[C@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764768 706785268 /nfs/dbraw/zinc/78/52/68/706785268.db2.gz IJTFUFKFMOEQIL-CABZTGNLSA-N -1 1 310.316 1.471 20 0 DDADMM Cc1nnc([C@@H](C)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)[nH]1 ZINC000852756619 704115887 /nfs/dbraw/zinc/11/58/87/704115887.db2.gz PYPTUZGWSQIUQF-MRTMQBJTSA-N -1 1 319.331 1.563 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC(Oc2nccs2)C1 ZINC000820024987 704205772 /nfs/dbraw/zinc/20/57/72/704205772.db2.gz HGGDYIYDALVUAG-UHFFFAOYSA-N -1 1 318.358 1.420 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@H]1CSc2ccccc21 ZINC000866809948 706809749 /nfs/dbraw/zinc/80/97/49/706809749.db2.gz OTHOBLWWFHZZTL-VIFPVBQESA-N -1 1 306.434 1.395 20 0 DDADMM CCN1CCO[C@H](C(=O)n2[n-]c(=O)c3ccc(OC)cc32)C1 ZINC000854505617 704409564 /nfs/dbraw/zinc/40/95/64/704409564.db2.gz CFNZROAWYZZMSQ-ZDUSSCGKSA-N -1 1 305.334 1.111 20 0 DDADMM COC(=O)[C@H]1[C@@H]2CN(C(=O)c3ncc4ccccc4c3[O-])C[C@@H]21 ZINC000854599507 704423992 /nfs/dbraw/zinc/42/39/92/704423992.db2.gz FXORIQZYDAYGNB-ITGUQSILSA-N -1 1 312.325 1.431 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CN1c2ccccc2OCCC1=O ZINC000854634614 704430368 /nfs/dbraw/zinc/43/03/68/704430368.db2.gz FKRYJWARRBYJSX-UHFFFAOYSA-N -1 1 316.317 1.178 20 0 DDADMM C[C@]1(C2CC2)CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000855295128 704477873 /nfs/dbraw/zinc/47/78/73/704477873.db2.gz IHKUIGWWQROMSY-OAHLLOKOSA-N -1 1 318.402 1.659 20 0 DDADMM O=C(N[C@@H]1COC2(CCC2)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000855658435 704495856 /nfs/dbraw/zinc/49/58/56/704495856.db2.gz KVCWRWZXOKJJRV-JTQLQIEISA-N -1 1 304.375 1.459 20 0 DDADMM C[C@H](CN(C)C(=O)OC(C)(C)C)NC(=O)c1ncccc1[O-] ZINC000856377956 704525380 /nfs/dbraw/zinc/52/53/80/704525380.db2.gz AFXNRLZNOKHVSU-SNVBAGLBSA-N -1 1 309.366 1.772 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]Cc2nccc(C)n2)c(Cl)n1 ZINC000857252554 704570585 /nfs/dbraw/zinc/57/05/85/704570585.db2.gz SINKNTPPQZKZGI-UHFFFAOYSA-N -1 1 312.782 1.620 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)/C=C/C3CCCCC3)nc2n1 ZINC000857622502 704608439 /nfs/dbraw/zinc/60/84/39/704608439.db2.gz VHKSLKKOTTVJSD-BQYQJAHWSA-N -1 1 301.350 1.801 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)[C@@H]2CCO[C@H]21 ZINC000866861262 706828752 /nfs/dbraw/zinc/82/87/52/706828752.db2.gz JYBSWCQGYQOTDH-WHGOUJPWSA-N -1 1 318.345 1.452 20 0 DDADMM CC(C)C(=O)NC[C@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858384861 704703191 /nfs/dbraw/zinc/70/31/91/704703191.db2.gz XATMEFQYMMUYCU-SNVBAGLBSA-N -1 1 312.801 1.824 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCSC1)c1ccc(F)nc1F ZINC000867271078 706943528 /nfs/dbraw/zinc/94/35/28/706943528.db2.gz VEXCQHPKECUYMW-QMMMGPOBSA-N -1 1 308.375 1.781 20 0 DDADMM C[C@@H](F)CC[N-]S(=O)(=O)c1cn(C)nc1Br ZINC000867308342 706955143 /nfs/dbraw/zinc/95/51/43/706955143.db2.gz HQHPBLBDPVHULK-ZCFIWIBFSA-N -1 1 314.180 1.209 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(Cl)cnc1Cl)[C@H](C)O ZINC000867353368 706972120 /nfs/dbraw/zinc/97/21/20/706972120.db2.gz LSSVORPUKPORJB-XPUUQOCRSA-N -1 1 313.206 1.826 20 0 DDADMM CC[C@@H](C)C[C@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867448308 707004595 /nfs/dbraw/zinc/00/45/95/707004595.db2.gz BFISABILJDWNKR-RKDXNWHRSA-N -1 1 324.805 1.950 20 0 DDADMM CC1(CNC(=O)c2ncc3ccccc3c2[O-])OCCCO1 ZINC000834393060 707044376 /nfs/dbraw/zinc/04/43/76/707044376.db2.gz GBLVLKIVTMWOGE-UHFFFAOYSA-N -1 1 302.330 1.823 20 0 DDADMM CCN(C(=O)CCCc1nn[n-]n1)[C@@H](C)[C@H](O)c1ccccc1 ZINC000823057600 705126457 /nfs/dbraw/zinc/12/64/57/705126457.db2.gz VQZARVKDOWPJLJ-LRDDRELGSA-N -1 1 317.393 1.493 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N[C@@H]3CC[C@H]3O)c2)o1 ZINC000823265140 705188065 /nfs/dbraw/zinc/18/80/65/705188065.db2.gz FJNVJSOUPJRGKH-TZMCWYRMSA-N -1 1 301.298 1.718 20 0 DDADMM CCOc1cc(C(=O)NC[C@H]2COCO2)cc(Cl)c1[O-] ZINC000861961269 705613659 /nfs/dbraw/zinc/61/36/59/705613659.db2.gz QPVYMZFOWHLGSX-VIFPVBQESA-N -1 1 301.726 1.547 20 0 DDADMM CC1(C)OC[C@@H]2C[C@@]21NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000862689668 705775654 /nfs/dbraw/zinc/77/56/54/705775654.db2.gz PCZSZZFDHBUMSL-LKFCYVNXSA-N -1 1 304.375 1.315 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@@H](C)C(C)(C)C)c1-c1nnn[n-]1 ZINC000826343307 705792562 /nfs/dbraw/zinc/79/25/62/705792562.db2.gz VMEYFWZJSYRQGJ-VIFPVBQESA-N -1 1 306.370 1.599 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@@H](C)C(C)(C)C)c1-c1nn[n-]n1 ZINC000826343307 705792567 /nfs/dbraw/zinc/79/25/67/705792567.db2.gz VMEYFWZJSYRQGJ-VIFPVBQESA-N -1 1 306.370 1.599 20 0 DDADMM Cc1onc(CC(=O)N2CCCC(C)(C)CC2)c1-c1nnn[n-]1 ZINC000826344814 705793345 /nfs/dbraw/zinc/79/33/45/705793345.db2.gz STLUKCHUZBSFQM-UHFFFAOYSA-N -1 1 318.381 1.744 20 0 DDADMM Cc1onc(CC(=O)N2CCCC(C)(C)CC2)c1-c1nn[n-]n1 ZINC000826344814 705793348 /nfs/dbraw/zinc/79/33/48/705793348.db2.gz STLUKCHUZBSFQM-UHFFFAOYSA-N -1 1 318.381 1.744 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)CCC[C@H]2C)c1-c1nnn[n-]1 ZINC000826343947 705793418 /nfs/dbraw/zinc/79/34/18/705793418.db2.gz RWQHDMBFQHZPAX-NXEZZACHSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)CCC[C@H]2C)c1-c1nn[n-]n1 ZINC000826343947 705793419 /nfs/dbraw/zinc/79/34/19/705793419.db2.gz RWQHDMBFQHZPAX-NXEZZACHSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCCCC2(C)C)c1-c1nnn[n-]1 ZINC000826346549 705794841 /nfs/dbraw/zinc/79/48/41/705794841.db2.gz PGIMPLCBEODWSE-LLVKDONJSA-N -1 1 318.381 1.791 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCCCC2(C)C)c1-c1nn[n-]n1 ZINC000826346549 705794843 /nfs/dbraw/zinc/79/48/43/705794843.db2.gz PGIMPLCBEODWSE-LLVKDONJSA-N -1 1 318.381 1.791 20 0 DDADMM O=C(NCc1ccc(Cl)cn1)c1ccc(-c2nnn[n-]2)s1 ZINC000826441404 705800531 /nfs/dbraw/zinc/80/05/31/705800531.db2.gz CHICXFBUVKHLOG-UHFFFAOYSA-N -1 1 320.765 1.907 20 0 DDADMM O=C(NCc1ccc(Cl)cn1)c1ccc(-c2nn[n-]n2)s1 ZINC000826441404 705800534 /nfs/dbraw/zinc/80/05/34/705800534.db2.gz CHICXFBUVKHLOG-UHFFFAOYSA-N -1 1 320.765 1.907 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1NC[C@@H]1COC2(CCCCC2)O1 ZINC000826515336 705807321 /nfs/dbraw/zinc/80/73/21/705807321.db2.gz VNHWWNYOXWIJBN-SNVBAGLBSA-N -1 1 317.353 1.144 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1NC[C@@H]1COC2(CCCCC2)O1 ZINC000826515336 705807323 /nfs/dbraw/zinc/80/73/23/705807323.db2.gz VNHWWNYOXWIJBN-SNVBAGLBSA-N -1 1 317.353 1.144 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000863002668 705848357 /nfs/dbraw/zinc/84/83/57/705848357.db2.gz OMEVOAIXTARAOX-UOKLYIGXSA-N -1 1 306.391 1.560 20 0 DDADMM O=C(C1[C@@H]2CCCCCC[C@@H]12)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000826833422 705880838 /nfs/dbraw/zinc/88/08/38/705880838.db2.gz CUNGVOXYIMLINN-IJLUTSLNSA-N -1 1 305.382 1.316 20 0 DDADMM O=C(CC[C@@H]1CC1(Cl)Cl)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826834030 705881331 /nfs/dbraw/zinc/88/13/31/705881331.db2.gz LJHOHQLBPCYAPF-SFYZADRCSA-N -1 1 320.180 1.074 20 0 DDADMM CCOC(=O)[C@@H]1C[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000827598859 706034762 /nfs/dbraw/zinc/03/47/62/706034762.db2.gz VUNSGBOAHFQGBY-CHWSQXEVSA-N -1 1 315.329 1.716 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)[C@@H]2CCCN2C2CC2)CC12CCC2 ZINC000864156252 706083099 /nfs/dbraw/zinc/08/30/99/706083099.db2.gz NRXMFHNKTWTWIB-BLLLJJGKSA-N -1 1 310.369 1.419 20 0 DDADMM COC(=O)C1=NO[C@@]2(CCN(Cc3cccc([O-])c3Cl)C2)C1 ZINC000877712010 706185157 /nfs/dbraw/zinc/18/51/57/706185157.db2.gz FGOLEWNKPDUGFU-HNNXBMFYSA-N -1 1 324.764 1.939 20 0 DDADMM CC(C)(C)n1cc(CN2CC(C[N-]C(=O)C(F)(F)F)C2)nn1 ZINC000877777243 706207467 /nfs/dbraw/zinc/20/74/67/706207467.db2.gz RSUQEHWYTPLZLD-UHFFFAOYSA-N -1 1 319.331 1.143 20 0 DDADMM O=c1[n-]c(CNC(=S)NC2CCCCC2)nc2c1COCC2 ZINC000865276533 706394554 /nfs/dbraw/zinc/39/45/54/706394554.db2.gz YFNAQWPWXTUVEX-UHFFFAOYSA-N -1 1 322.434 1.552 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)CSc1nc2c([nH]1)CCC2 ZINC000865368693 706415186 /nfs/dbraw/zinc/41/51/86/706415186.db2.gz ULJJNJCQLFEDHB-UHFFFAOYSA-N -1 1 321.324 1.628 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)c1cccnc1F)C(C)(C)C ZINC000881981927 707453653 /nfs/dbraw/zinc/45/36/53/707453653.db2.gz NTFCXLYXGMKLEH-SNVBAGLBSA-N -1 1 318.370 1.477 20 0 DDADMM C[N@@H+]1CCN(CC(=O)[N-]OCc2ccccc2)C[C@H]1C(C)(C)C ZINC000878839860 706513748 /nfs/dbraw/zinc/51/37/48/706513748.db2.gz HDTFTAVKSZATON-INIZCTEOSA-N -1 1 319.449 1.897 20 0 DDADMM CO[C@@H](CC[N-]S(=O)(=O)c1cccnc1F)C(F)(F)F ZINC000882112771 707503464 /nfs/dbraw/zinc/50/34/64/707503464.db2.gz BQTBBPOVCNDQGG-QMMMGPOBSA-N -1 1 316.276 1.466 20 0 DDADMM CN(C)c1cccc([C@H]2CCCN2C(=O)CCc2nn[n-]n2)c1 ZINC000865938418 706570374 /nfs/dbraw/zinc/57/03/74/706570374.db2.gz GVVAZWLMCYWJSZ-CQSZACIVSA-N -1 1 314.393 1.562 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@H]2CCCO2)C1 ZINC000830789690 706580055 /nfs/dbraw/zinc/58/00/55/706580055.db2.gz KJKUIRYZXLYORX-SKDRFNHKSA-N -1 1 308.300 1.225 20 0 DDADMM COC/C(C)=C\C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830789724 706580089 /nfs/dbraw/zinc/58/00/89/706580089.db2.gz KZNHXSQGYIGKRK-OJIASSBASA-N -1 1 308.300 1.249 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830815408 706584842 /nfs/dbraw/zinc/58/48/42/706584842.db2.gz AQYPQGGEXTVFNH-HOTUBEGUSA-N -1 1 308.300 1.081 20 0 DDADMM C[C@@H]1Cc2cc(CNC(=O)CCCc3nn[n-]n3)ccc2O1 ZINC000830822027 706587111 /nfs/dbraw/zinc/58/71/11/706587111.db2.gz NBUJAWABZZMHRR-SNVBAGLBSA-N -1 1 301.350 1.162 20 0 DDADMM O=S(=O)([N-]C1(CF)CCOCC1)c1cccnc1Cl ZINC000831992248 706820569 /nfs/dbraw/zinc/82/05/69/706820569.db2.gz FOHFXQOKTGQNDX-UHFFFAOYSA-N -1 1 308.762 1.532 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CC2(C)CCC2)c1C(F)(F)F ZINC000866927449 706847144 /nfs/dbraw/zinc/84/71/44/706847144.db2.gz BQAOIHVBKSNRCO-UHFFFAOYSA-N -1 1 311.329 1.907 20 0 DDADMM CCc1cc(CNC(=O)N2C[C@@H]3CCC[C@H]3[C@@H]2C(=O)[O-])n[nH]1 ZINC000908980726 712907985 /nfs/dbraw/zinc/90/79/85/712907985.db2.gz DZYBXPMDXUTKOX-ZWKOPEQDSA-N -1 1 306.366 1.367 20 0 DDADMM COC(=O)CCCO[N-]C(=O)Cc1cn2cc(F)ccc2n1 ZINC000880021270 706855401 /nfs/dbraw/zinc/85/54/01/706855401.db2.gz DAEVDCLZZNMBMI-UHFFFAOYSA-N -1 1 309.297 1.017 20 0 DDADMM C[C@H](C[C@H]1CCOC1)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866957462 706855942 /nfs/dbraw/zinc/85/59/42/706855942.db2.gz GHKFAUGTSPTEIH-RKDXNWHRSA-N -1 1 306.334 1.453 20 0 DDADMM CO[C@@H]1CCn2cc(C(=O)Nc3ccc(F)cc3[O-])nc2C1 ZINC000867006936 706870246 /nfs/dbraw/zinc/87/02/46/706870246.db2.gz QYUVLZLYZJQXHC-SNVBAGLBSA-N -1 1 305.309 1.941 20 0 DDADMM CCCO[N-]C(=O)C(=O)Nc1cccc2c1CN(CCC)C2 ZINC000834350746 707034978 /nfs/dbraw/zinc/03/49/78/707034978.db2.gz LOOCIKFTRVTJSE-UHFFFAOYSA-N -1 1 305.378 1.809 20 0 DDADMM CC(=O)N(C)c1ccc(C[N-]S(=O)(=O)c2conc2C)cc1 ZINC000867559804 707039419 /nfs/dbraw/zinc/03/94/19/707039419.db2.gz DRJRVAZJMBIIOI-UHFFFAOYSA-N -1 1 323.374 1.444 20 0 DDADMM O=C([O-])CN(C(=O)c1cc(C(F)(F)F)[nH]n1)C1CCOCC1 ZINC000909028702 712921036 /nfs/dbraw/zinc/92/10/36/712921036.db2.gz XDJIQVXGLJQPTK-UHFFFAOYSA-N -1 1 321.255 1.134 20 0 DDADMM CCCCCc1cc(C(=O)[N-]N2C(=O)N[C@](C)(CC)C2=O)n[nH]1 ZINC000834788413 707118063 /nfs/dbraw/zinc/11/80/63/707118063.db2.gz AOPZETDVAAUIBZ-OAHLLOKOSA-N -1 1 321.381 1.508 20 0 DDADMM CN1CCCC[C@@H](C(=O)NCc2ccc([O-])c(Cl)c2)C1=O ZINC000834823461 707130857 /nfs/dbraw/zinc/13/08/57/707130857.db2.gz XVQFHVHPOFUMRT-NSHDSACASA-N -1 1 310.781 1.920 20 0 DDADMM C[C@H](C(=O)[O-])[C@@H](NC(=O)CCc1cnc[nH]1)c1ccccc1 ZINC000909068502 712930262 /nfs/dbraw/zinc/93/02/62/712930262.db2.gz LXKQCGYNLLFICD-XHDPSFHLSA-N -1 1 301.346 1.921 20 0 DDADMM CC[C@@](C)(CC(=O)[O-])NC(=O)CN(C)CCc1ccccc1 ZINC000909054555 712927166 /nfs/dbraw/zinc/92/71/66/712927166.db2.gz QGJRWZRYDNFWEW-KRWDZBQOSA-N -1 1 306.406 1.921 20 0 DDADMM Cc1oc(C(=O)[O-])cc1S(=O)(=O)N(C)CCN(C)C1CC1 ZINC000871942973 707250616 /nfs/dbraw/zinc/25/06/16/707250616.db2.gz UNLCVRPTNRKWTH-UHFFFAOYSA-N -1 1 316.379 1.001 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)NC[C@H](C)N(C)C)cc1C(=O)[O-] ZINC000871970471 707260795 /nfs/dbraw/zinc/26/07/95/707260795.db2.gz UATIWELRBRUFJG-VIFPVBQESA-N -1 1 318.370 1.061 20 0 DDADMM COC[C@@H](CN1CCc2c(C(=O)[O-])ccc(OC)c2C1)OC ZINC000872168791 707312246 /nfs/dbraw/zinc/31/22/46/707312246.db2.gz YXIVUWHEYWAVII-LLVKDONJSA-N -1 1 309.362 1.413 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCSC1 ZINC000872458022 707422436 /nfs/dbraw/zinc/42/24/36/707422436.db2.gz HQZBLPFUYLXNHH-XLFHBGCDSA-N -1 1 314.498 1.613 20 0 DDADMM C[C@H](O)CC[N-]S(=O)(=O)N=[S@@](C)(=O)C1CCCCC1 ZINC000881913480 707421940 /nfs/dbraw/zinc/42/19/40/707421940.db2.gz PMEORAXWDUBAOG-YPMLDQLKSA-N -1 1 312.457 1.022 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCC3CC(F)(F)C3)ccnc1-2 ZINC000836573911 707482493 /nfs/dbraw/zinc/48/24/93/707482493.db2.gz KKDFKAGRQQIWCS-UHFFFAOYSA-N -1 1 309.320 1.899 20 0 DDADMM CC(C)[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C(C)C ZINC000836887930 707538733 /nfs/dbraw/zinc/53/87/33/707538733.db2.gz HWOWGQPSBSQUDV-OCCSQVGLSA-N -1 1 307.394 1.066 20 0 DDADMM CC1([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CC(F)(F)C1 ZINC000872664701 707546276 /nfs/dbraw/zinc/54/62/76/707546276.db2.gz WYBVFOASUVFIAJ-UHFFFAOYSA-N -1 1 319.255 1.895 20 0 DDADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CCC[C@H](C)C1 ZINC000837155145 707586143 /nfs/dbraw/zinc/58/61/43/707586143.db2.gz KCMIXIJYLYPUAO-AVGNSLFASA-N -1 1 307.394 1.258 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1N(C(=O)c1ccc3[nH]nnc3c1)CC2 ZINC000872771438 707592690 /nfs/dbraw/zinc/59/26/90/707592690.db2.gz VBWXKGRHGCBBOT-DOMZBBRYSA-N -1 1 300.318 1.427 20 0 DDADMM Cc1ncnc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)c1C ZINC000882382912 707615238 /nfs/dbraw/zinc/61/52/38/707615238.db2.gz KFSUIIUDUROBEL-NSHDSACASA-N -1 1 316.327 1.988 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)c1ccc2c(c1)[n-]c(=S)[nH]c2=O ZINC000882481961 707652359 /nfs/dbraw/zinc/65/23/59/707652359.db2.gz GQBXLSUOXLIAOR-SNVBAGLBSA-N -1 1 318.402 1.448 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OC[C@H]3COCCO3)n2)cc1 ZINC000837708103 707722844 /nfs/dbraw/zinc/72/28/44/707722844.db2.gz QPZNBIGNIJXKSO-CYBMUJFWSA-N -1 1 318.329 1.459 20 0 DDADMM Cc1ccc(NC(=O)[C@@H](C)CN2CCOCC2)cc1C(=O)[O-] ZINC000909298093 712985321 /nfs/dbraw/zinc/98/53/21/712985321.db2.gz XJZRKAUHYJEJLQ-LBPRGKRZSA-N -1 1 306.362 1.600 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC000909300609 712985817 /nfs/dbraw/zinc/98/58/17/712985817.db2.gz RYEZSUSQXSHZSW-HNNXBMFYSA-N -1 1 300.318 1.409 20 0 DDADMM CC[C@@H](OC(=O)c1coc(S(=O)(=O)[N-]C)c1)c1ccncc1 ZINC000839005786 708014578 /nfs/dbraw/zinc/01/45/78/708014578.db2.gz AFUZGTWDVXUKOF-GFCCVEGCSA-N -1 1 324.358 1.891 20 0 DDADMM CCc1nccc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000884496402 708316079 /nfs/dbraw/zinc/31/60/79/708316079.db2.gz VPMVHGGWEGGVOR-UHFFFAOYSA-N -1 1 316.327 1.934 20 0 DDADMM COC(=O)[C@@H](C)N(C)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897634858 708402172 /nfs/dbraw/zinc/40/21/72/708402172.db2.gz QMODZTCMCFYUCQ-SECBINFHSA-N -1 1 303.314 1.885 20 0 DDADMM CCOC(=O)N1CCC([N-]S(=O)(=O)c2ccns2)CC1 ZINC000884882366 708406046 /nfs/dbraw/zinc/40/60/46/708406046.db2.gz ATHTZMDPNBRDAQ-UHFFFAOYSA-N -1 1 319.408 1.042 20 0 DDADMM COc1ccc([C@@H](O)C[N-]S(=O)(=O)c2ccns2)cc1 ZINC000885023925 708445703 /nfs/dbraw/zinc/44/57/03/708445703.db2.gz MBIPIWXFNUYYSD-NSHDSACASA-N -1 1 314.388 1.164 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC[C@@H](C)C1 ZINC000912529260 713031025 /nfs/dbraw/zinc/03/10/25/713031025.db2.gz CGONQTAPOVXBIX-BDAKNGLRSA-N -1 1 301.368 1.053 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@H]2CCO[C@@H]2C12CCC2)c1ccns1 ZINC000885074416 708463189 /nfs/dbraw/zinc/46/31/89/708463189.db2.gz PQQVRMKOQYQLFM-IEBDPFPHSA-N -1 1 300.405 1.379 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1cccc(F)c1 ZINC000912530317 713031420 /nfs/dbraw/zinc/03/14/20/713031420.db2.gz DYWDFNAMYBMEEX-UHFFFAOYSA-N -1 1 300.267 1.103 20 0 DDADMM Cc1cccc(C[C@H](CO)[N-]S(=O)(=O)c2ccns2)c1 ZINC000885129174 708477941 /nfs/dbraw/zinc/47/79/41/708477941.db2.gz MQWUZSWABIQZAK-GFCCVEGCSA-N -1 1 312.416 1.333 20 0 DDADMM Cc1ccccc1C[C@H](CO)[N-]S(=O)(=O)c1ccns1 ZINC000885129382 708478013 /nfs/dbraw/zinc/47/80/13/708478013.db2.gz RRYQXZOSCJRCMF-GFCCVEGCSA-N -1 1 312.416 1.333 20 0 DDADMM O=S(=O)([N-]C[C@@]1(CO)C[C@H]1c1ccccc1)c1ccns1 ZINC000885195495 708491328 /nfs/dbraw/zinc/49/13/28/708491328.db2.gz MJGJEPRAVAPVDL-GXTWGEPZSA-N -1 1 324.427 1.588 20 0 DDADMM COC1([C@H](C)[N-]S(=O)(=O)c2ccns2)CCOCC1 ZINC000885252102 708502503 /nfs/dbraw/zinc/50/25/03/708502503.db2.gz UWUWWDLGNGTPSU-VIFPVBQESA-N -1 1 306.409 1.006 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)Cc1ccc(F)cc1)c1ccns1 ZINC000885544859 708572395 /nfs/dbraw/zinc/57/23/95/708572395.db2.gz MPFBUAPSBIXDPE-NSHDSACASA-N -1 1 316.379 1.164 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1nc2ccc(C)cc2[nH]1 ZINC000886406094 708755075 /nfs/dbraw/zinc/75/50/75/708755075.db2.gz KNIRSJLWEGVCHH-UHFFFAOYSA-N -1 1 319.390 1.968 20 0 DDADMM C[C@@H]1C[C@H](O)CN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782286 713054385 /nfs/dbraw/zinc/05/43/85/713054385.db2.gz BQFHWHVJIPGCQA-SCZZXKLOSA-N -1 1 300.305 1.378 20 0 DDADMM CC1(C)CNC(=O)[C@@H]1NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000912644624 713059529 /nfs/dbraw/zinc/05/95/29/713059529.db2.gz MMCNIVXSSVMYSW-AWEZNQCLSA-N -1 1 314.341 1.505 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C12CC3CC(CC(C3)C1)C2 ZINC000898754644 708855382 /nfs/dbraw/zinc/85/53/82/708855382.db2.gz XIZCAHIPKQFBDG-UHFFFAOYSA-N -1 1 303.362 1.720 20 0 DDADMM COc1cc(C(=O)N[C@H]2C(=O)NCC2(C)C)cc(Cl)c1[O-] ZINC000912637565 713057886 /nfs/dbraw/zinc/05/78/86/713057886.db2.gz YTORHXBRFMKJKD-NSHDSACASA-N -1 1 312.753 1.309 20 0 DDADMM Cc1nc(-c2cn(CC[N-]C(=O)C(F)(F)F)nn2)c(C)s1 ZINC000887340562 709023199 /nfs/dbraw/zinc/02/31/99/709023199.db2.gz DMQXSGFQLRPCSM-UHFFFAOYSA-N -1 1 319.312 1.697 20 0 DDADMM C[C@@]1(CNC(=O)CCCc2nn[n-]n2)OCCc2ccccc21 ZINC000899225089 709027029 /nfs/dbraw/zinc/02/70/29/709027029.db2.gz ZMGZYXOTOQXBPM-INIZCTEOSA-N -1 1 315.377 1.127 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1c2ccccc2CC[C@@H]1F ZINC000899229524 709028387 /nfs/dbraw/zinc/02/83/87/709028387.db2.gz XQFQLHOUMDYWIJ-WFASDCNBSA-N -1 1 303.341 1.664 20 0 DDADMM C[C@@H](C(=O)[O-])N(C(=O)[C@@H](N)Cc1cc2ccccc2o1)C1CC1 ZINC000887398131 709036411 /nfs/dbraw/zinc/03/64/11/709036411.db2.gz PYZMVXCEJPPGKT-HZMBPMFUSA-N -1 1 316.357 1.767 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)co1 ZINC000899402164 709075438 /nfs/dbraw/zinc/07/54/38/709075438.db2.gz BGNKFJPZPVAJJM-DMWPJOQESA-N -1 1 312.391 1.210 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@@H]1C[C@@H]1CCOC1 ZINC000887811423 709130541 /nfs/dbraw/zinc/13/05/41/709130541.db2.gz STJLWHBSELLBQD-RYUDHWBXSA-N -1 1 309.337 1.799 20 0 DDADMM CN(CCc1ccc2c(c1)OCO2)C(=O)c1cncc([O-])c1 ZINC000899783743 709194995 /nfs/dbraw/zinc/19/49/95/709194995.db2.gz CMEROFPFSHHPPS-UHFFFAOYSA-N -1 1 300.314 1.831 20 0 DDADMM Cn1ncc(C2CC2)c1CN[C@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000900074305 709282142 /nfs/dbraw/zinc/28/21/42/709282142.db2.gz RCCFBOKNVUOCMZ-LBPRGKRZSA-N -1 1 315.377 1.817 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2ccc([O-])cc2F)CCS1(=O)=O ZINC000888387714 709296270 /nfs/dbraw/zinc/29/62/70/709296270.db2.gz XQBBVLSYSQDBCM-SECBINFHSA-N -1 1 315.366 1.617 20 0 DDADMM Cn1ncc2c1CCC[C@@H]2NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900158775 709310402 /nfs/dbraw/zinc/31/04/02/709310402.db2.gz ZIMSUMICGFVVRH-LBPRGKRZSA-N -1 1 313.361 1.601 20 0 DDADMM COC(=O)CCCONC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000888816267 709384711 /nfs/dbraw/zinc/38/47/11/709384711.db2.gz DLUBFQNFYNKRNX-UHFFFAOYSA-N -1 1 317.725 1.669 20 0 DDADMM CO[C@@H]1CCOC[C@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000889187728 709460119 /nfs/dbraw/zinc/46/01/19/709460119.db2.gz GQTWUMCVPFJGJY-CHWSQXEVSA-N -1 1 302.330 1.474 20 0 DDADMM COc1cc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)ccc1C ZINC000912859885 713109632 /nfs/dbraw/zinc/10/96/32/713109632.db2.gz HTKIHMFJBBLGLM-NSHDSACASA-N -1 1 321.406 1.741 20 0 DDADMM CSCC[C@H](NC(=O)C[C@@H](C)n1cccc1)c1nn[n-]n1 ZINC000912860147 713109680 /nfs/dbraw/zinc/10/96/80/713109680.db2.gz ILWCMZRGAFPGBA-MNOVXSKESA-N -1 1 308.411 1.563 20 0 DDADMM CSCC[C@H](NC(=O)c1ncc(F)cc1F)c1nn[n-]n1 ZINC000912862541 713110949 /nfs/dbraw/zinc/11/09/49/713110949.db2.gz PIXKFKSZXFZEIR-QMMMGPOBSA-N -1 1 314.321 1.097 20 0 DDADMM CSCC[C@H](NC(=O)C=C(C)c1ccco1)c1nn[n-]n1 ZINC000912863131 713111603 /nfs/dbraw/zinc/11/16/03/713111603.db2.gz MCHGHRWWAWTLQE-QROTZFDESA-N -1 1 307.379 1.807 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCc3cccc(F)c3C2)C1 ZINC000909724160 709596675 /nfs/dbraw/zinc/59/66/75/709596675.db2.gz HPEYJIUVNNZNDE-CYBMUJFWSA-N -1 1 320.364 1.507 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)[C@H](C(=O)[O-])C1 ZINC000909779751 709616162 /nfs/dbraw/zinc/61/61/62/709616162.db2.gz LBOVPQCFQOPLQR-MFKMUULPSA-N -1 1 314.345 1.797 20 0 DDADMM CCN(CC(=O)N(C)c1ccc(OCC(=O)[O-])cc1)C1CC1 ZINC000909784564 709619136 /nfs/dbraw/zinc/61/91/36/709619136.db2.gz JPYQXRXUENQTFP-UHFFFAOYSA-N -1 1 306.362 1.597 20 0 DDADMM C[C@H]1CCN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)[C@H](C(=O)[O-])C1 ZINC000909784750 709619844 /nfs/dbraw/zinc/61/98/44/709619844.db2.gz ZIZLQEINOBFFDM-YGNMPJRFSA-N -1 1 305.378 1.787 20 0 DDADMM C[C@@H]1[C@@H](C(=O)[O-])CCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000909810245 709632595 /nfs/dbraw/zinc/63/25/95/709632595.db2.gz WCYFYYFMYHEXPS-SKDRFNHKSA-N -1 1 300.318 1.407 20 0 DDADMM CC[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786911 709635425 /nfs/dbraw/zinc/63/54/25/709635425.db2.gz OSGNNHPHKKCKAS-WOPDTQHZSA-N -1 1 321.377 1.669 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2nn(C)cc2C)[n-]c1=O ZINC000889788231 709636100 /nfs/dbraw/zinc/63/61/00/709636100.db2.gz YSORVESEXMXTEM-SNVBAGLBSA-N -1 1 317.349 1.210 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CC(C)(F)F)[n-]c1=O ZINC000889790363 709637455 /nfs/dbraw/zinc/63/74/55/709637455.db2.gz QHTULTIJVSWFIO-MRVPVSSYSA-N -1 1 301.293 1.900 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H](C)CSC)[n-]c1=O ZINC000889792058 709638016 /nfs/dbraw/zinc/63/80/16/709638016.db2.gz RTJQKWJUYYPFSW-NXEZZACHSA-N -1 1 311.407 1.853 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cc(C)nn2C)[n-]c1=O ZINC000889794714 709639439 /nfs/dbraw/zinc/63/94/39/709639439.db2.gz ONQJBUWKHGPURH-JTQLQIEISA-N -1 1 317.349 1.210 20 0 DDADMM O=C([O-])Cc1ccc(NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)cc1F ZINC000909847913 709650506 /nfs/dbraw/zinc/65/05/06/709650506.db2.gz NYXQAKGAPYGDQW-GHMZBOCLSA-N -1 1 303.293 1.918 20 0 DDADMM COc1ccc(N(C)C(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000909874093 709663861 /nfs/dbraw/zinc/66/38/61/709663861.db2.gz CFIAZXKKJRPGDP-LBPRGKRZSA-N -1 1 306.362 1.455 20 0 DDADMM O=C(Nc1ccnn1C1CCOCC1)c1ccc(O)cc1[O-] ZINC000889900236 709670636 /nfs/dbraw/zinc/67/06/36/709670636.db2.gz JLUZWSHOCILCTC-UHFFFAOYSA-N -1 1 303.318 1.898 20 0 DDADMM CCCCS(=O)(=O)NCC(=O)Nc1cc([O-])c(F)cc1F ZINC000909983834 709719460 /nfs/dbraw/zinc/71/94/60/709719460.db2.gz DGMROAUKBUPMPX-UHFFFAOYSA-N -1 1 322.333 1.328 20 0 DDADMM Cn1cc([C@]2(CNC(=O)c3c([O-])cccc3F)CCCO2)cn1 ZINC000890150913 709769175 /nfs/dbraw/zinc/76/91/75/709769175.db2.gz QIIFYQANGUZETM-MRXNPFEDSA-N -1 1 319.336 1.701 20 0 DDADMM O=C([O-])c1csc([C@H]2CCCN2C(=O)Cc2c[nH]cn2)n1 ZINC000910110990 709775144 /nfs/dbraw/zinc/77/51/44/709775144.db2.gz XOAASZXMVSEXOH-SNVBAGLBSA-N -1 1 306.347 1.471 20 0 DDADMM CCC[C@@]1(C(=O)[O-])CCCN1C(=O)c1cccc2nn[nH]c21 ZINC000910112671 709775666 /nfs/dbraw/zinc/77/56/66/709775666.db2.gz BSSIEGQYOJEWDA-HNNXBMFYSA-N -1 1 302.334 1.817 20 0 DDADMM CCC[C@@]1(C(=O)[O-])CCCN1C(=O)CN(C)[C@H]1CCSC1 ZINC000910115547 709776934 /nfs/dbraw/zinc/77/69/34/709776934.db2.gz PORBIMFQQKBDFQ-WFASDCNBSA-N -1 1 314.451 1.670 20 0 DDADMM Cc1nc(C)c(CC(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000890283499 709810613 /nfs/dbraw/zinc/81/06/13/709810613.db2.gz LDCANUSFZSAYQF-UHFFFAOYSA-N -1 1 301.375 1.596 20 0 DDADMM O=C(c1cc(F)c(F)c([O-])c1F)N1CCC[C@H]1c1nnc[nH]1 ZINC000912965961 713136216 /nfs/dbraw/zinc/13/62/16/713136216.db2.gz LMWXPWHJZSGDDW-QMMMGPOBSA-N -1 1 312.251 1.905 20 0 DDADMM Cc1nc(NCC(C)(C)N2C[C@@H](C)O[C@@H](C)C2)ncc1C(=O)[O-] ZINC000910277666 709860585 /nfs/dbraw/zinc/86/05/85/709860585.db2.gz ZDZHTPPIVCZRSJ-PHIMTYICSA-N -1 1 322.409 1.783 20 0 DDADMM Cc1nc(N2CCN([C@H]3CCC[C@@H]3O)CC2)sc1C(=O)[O-] ZINC000900986994 709864394 /nfs/dbraw/zinc/86/43/94/709864394.db2.gz ATMVWWMXQHGTEC-QWRGUYRKSA-N -1 1 311.407 1.185 20 0 DDADMM C[C@H](C(=O)N[C@@](C)(Cc1ccc(Cl)cc1)C(=O)[O-])N(C)C ZINC000910316392 709886187 /nfs/dbraw/zinc/88/61/87/709886187.db2.gz SUHOMHKBJRLPDE-BMIGLBTASA-N -1 1 312.797 1.792 20 0 DDADMM Cc1c(C(=O)[O-])sc2ncnc(N3CCc4cn[nH]c4C3)c12 ZINC000910363197 709909510 /nfs/dbraw/zinc/90/95/10/709909510.db2.gz NNEUKCIVOSYSNC-UHFFFAOYSA-N -1 1 315.358 1.984 20 0 DDADMM O=C(N[C@H](CCO)c1cccs1)c1cnc(C2CC2)[n-]c1=O ZINC000901093881 709922419 /nfs/dbraw/zinc/92/24/19/709922419.db2.gz AOZIZPCUGJLBLJ-LLVKDONJSA-N -1 1 319.386 1.975 20 0 DDADMM CC(C)[N@@H+](C)CC(=O)N[C@](C)(Cc1ccc(F)cc1)C(=O)[O-] ZINC000910517663 709979959 /nfs/dbraw/zinc/97/99/59/709979959.db2.gz VWLHNSCACIGTQO-MRXNPFEDSA-N -1 1 310.369 1.668 20 0 DDADMM Cn1[nH]c(=O)c2c1CN(C(=O)c1c(F)ccc([O-])c1F)CC2 ZINC000913030942 713148399 /nfs/dbraw/zinc/14/83/99/713148399.db2.gz VRJQGJLUCZWOLG-UHFFFAOYSA-N -1 1 309.272 1.308 20 0 DDADMM COC(=O)[C@@](C)(Cn1cccn1)NC(=O)c1ccc([O-])cc1F ZINC000890948480 710037980 /nfs/dbraw/zinc/03/79/80/710037980.db2.gz SSXLYMMOXDPTQS-OAHLLOKOSA-N -1 1 321.308 1.090 20 0 DDADMM CNC(=O)[C@H]1CCCCN1Cc1ccc(O[C@H](C)C(=O)[O-])cc1 ZINC000901434600 710038785 /nfs/dbraw/zinc/03/87/85/710038785.db2.gz WPZHAEJFSHKHPV-IUODEOHRSA-N -1 1 320.389 1.639 20 0 DDADMM CCc1ncc(CN2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)s1 ZINC000901527738 710066579 /nfs/dbraw/zinc/06/65/79/710066579.db2.gz YAUPEIMOBOISNC-SNVBAGLBSA-N -1 1 321.406 1.832 20 0 DDADMM Cc1nn(C)c(OCC(F)(F)F)c1CN(C)C[C@@H](C)C(=O)[O-] ZINC000901598836 710089699 /nfs/dbraw/zinc/08/96/99/710089699.db2.gz ZDIXGINVQVBQMP-MRVPVSSYSA-N -1 1 323.315 1.822 20 0 DDADMM CCn1cc([N-]S(=O)(=O)C[C@H]2CCCC(C)(C)O2)cn1 ZINC000901599944 710089917 /nfs/dbraw/zinc/08/99/17/710089917.db2.gz PRTIUZJITNRHGK-GFCCVEGCSA-N -1 1 301.412 1.992 20 0 DDADMM CCCCOc1ccccc1CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901740506 710136420 /nfs/dbraw/zinc/13/64/20/710136420.db2.gz DEDWLORRTMVYOJ-STQMWFEESA-N -1 1 322.405 1.933 20 0 DDADMM COc1cccc([C@H](NCc2cnc(N(C)C)nc2)C(=O)[O-])c1 ZINC000901756693 710142757 /nfs/dbraw/zinc/14/27/57/710142757.db2.gz ZNGNVLVDNDXLDK-AWEZNQCLSA-N -1 1 316.361 1.467 20 0 DDADMM CCc1ccc2c(c1)CCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000891384572 710180732 /nfs/dbraw/zinc/18/07/32/710180732.db2.gz KMUBEFKXZXLLLK-UHFFFAOYSA-N -1 1 313.357 1.078 20 0 DDADMM O=C([O-])C[C@H]1CCCN1Cc1cn(-c2ccc(F)cc2)nn1 ZINC000902007697 710200115 /nfs/dbraw/zinc/20/01/15/710200115.db2.gz OFGIGAWKCGVFRO-CQSZACIVSA-N -1 1 304.325 1.846 20 0 DDADMM COCc1nc(N2CCN(c3cccc(C)c3)CC2)cc(=O)[n-]1 ZINC000891611792 710247836 /nfs/dbraw/zinc/24/78/36/710247836.db2.gz BUMWXTGYKNKDBT-UHFFFAOYSA-N -1 1 314.389 1.964 20 0 DDADMM CO[C@@H]1COCC[C@@H]1CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891615033 710249053 /nfs/dbraw/zinc/24/90/53/710249053.db2.gz PDUQPRAFHSDUTG-ZWNOBZJWSA-N -1 1 321.377 1.441 20 0 DDADMM CN(C(=O)c1c([O-])cnc2c(F)cccc21)[C@H]1C[C@@H](C(N)=O)C1 ZINC000913173263 713176287 /nfs/dbraw/zinc/17/62/87/713176287.db2.gz YZHLOJXSHJQJIH-DTORHVGOSA-N -1 1 317.320 1.415 20 0 DDADMM CN(C(=O)c1cccc(OCC(F)(F)F)c1)c1nn[n-]n1 ZINC000892954676 710531780 /nfs/dbraw/zinc/53/17/80/710531780.db2.gz PRSASHJPXKHPOF-UHFFFAOYSA-N -1 1 301.228 1.417 20 0 DDADMM Cc1c(F)c(C(F)(F)F)ccc1C(=O)N(C)c1nn[n-]n1 ZINC000892954529 710531871 /nfs/dbraw/zinc/53/18/71/710531871.db2.gz LADPFBWJOLIBNO-UHFFFAOYSA-N -1 1 303.219 1.943 20 0 DDADMM COc1ccc(COCCNCc2cc(C(=O)[O-])no2)cc1 ZINC000902198653 710661362 /nfs/dbraw/zinc/66/13/62/710661362.db2.gz GXVIKFXLIPKCJI-UHFFFAOYSA-N -1 1 306.318 1.688 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC000902324118 710717315 /nfs/dbraw/zinc/71/73/15/710717315.db2.gz ZZEPAHWVSGRVBK-NKFCBESUSA-N -1 1 321.377 1.025 20 0 DDADMM O=C([O-])Cn1cc(CNCC2(c3ccc(F)cc3F)CC2)nn1 ZINC000902392903 710740328 /nfs/dbraw/zinc/74/03/28/710740328.db2.gz LGRIPIPFADZHKC-UHFFFAOYSA-N -1 1 322.315 1.462 20 0 DDADMM COCCN1CCC[C@H](NC(=O)C(C)(C)CCC(=O)[O-])C1 ZINC000911352051 710756545 /nfs/dbraw/zinc/75/65/45/710756545.db2.gz HYICAURXRVCAIL-LBPRGKRZSA-N -1 1 300.399 1.104 20 0 DDADMM CCN(C)C(=O)CNCc1ncc(Br)cc1[O-] ZINC000893685234 710777118 /nfs/dbraw/zinc/77/71/18/710777118.db2.gz LAWAUCBDWRIADN-UHFFFAOYSA-N -1 1 302.172 1.118 20 0 DDADMM CN(C(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccc2cc[nH]c2c1 ZINC000911409270 710785698 /nfs/dbraw/zinc/78/56/98/710785698.db2.gz ZXRUJHKRQVBJJE-CYBMUJFWSA-N -1 1 315.373 1.927 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N(CCC(=O)[O-])Cc1ccncc1 ZINC000911522009 710834855 /nfs/dbraw/zinc/83/48/55/710834855.db2.gz HCJULWHDBVYULM-GFCCVEGCSA-N -1 1 316.361 1.487 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@]12CCC[C@H]1OCC2 ZINC000913437925 713215705 /nfs/dbraw/zinc/21/57/05/713215705.db2.gz PHNNHZRZMDKFHN-WWGRRREGSA-N -1 1 313.361 1.365 20 0 DDADMM CO[C@@H]1CCCC[C@H]1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913439136 713216290 /nfs/dbraw/zinc/21/62/90/713216290.db2.gz ZOLWNAVFCAEUPG-MCIONIFRSA-N -1 1 315.377 1.611 20 0 DDADMM Cc1cn2c(nc(CN3C[C@H](C)C[C@@H](C(=O)[O-])C3)cc2=O)s1 ZINC000903407259 711116873 /nfs/dbraw/zinc/11/68/73/711116873.db2.gz GASBVIJFFXHDFP-MWLCHTKSSA-N -1 1 321.402 1.607 20 0 DDADMM CC(C)Cc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000913493245 713237006 /nfs/dbraw/zinc/23/70/06/713237006.db2.gz KIIFFRHOLAUKTQ-AWEZNQCLSA-N -1 1 315.377 1.612 20 0 DDADMM Cc1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc(C)c1F ZINC000913494733 713237275 /nfs/dbraw/zinc/23/72/75/713237275.db2.gz CMLRKEAYHBWURX-NSHDSACASA-N -1 1 305.313 1.169 20 0 DDADMM O=C([O-])c1ccc(CN2CCC3(CC2)COCCO3)c(F)c1 ZINC000903620549 711227154 /nfs/dbraw/zinc/22/71/54/711227154.db2.gz AUKJZIRZVNKVNL-UHFFFAOYSA-N -1 1 309.337 1.905 20 0 DDADMM O=S(=O)([N-]CC(F)(F)C(F)F)c1cnn(C2CCC2)c1 ZINC000903624823 711228512 /nfs/dbraw/zinc/22/85/12/711228512.db2.gz KHHJTPFCXKCSNK-UHFFFAOYSA-N -1 1 315.292 1.787 20 0 DDADMM CSc1nc(CNC(=O)C[C@@H](O)CC(C)(C)C)cc(=O)[n-]1 ZINC000912249322 711244822 /nfs/dbraw/zinc/24/48/22/711244822.db2.gz OTZDJXUIXQEXRE-SNVBAGLBSA-N -1 1 313.423 1.708 20 0 DDADMM COCc1nc(N2CCc3nc(C(C)C)ncc3C2)cc(=O)[n-]1 ZINC000894711905 711244908 /nfs/dbraw/zinc/24/49/08/711244908.db2.gz NRUXFYQDEMYRGF-UHFFFAOYSA-N -1 1 315.377 1.805 20 0 DDADMM O=C(Cc1coc2ccccc12)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913497069 713238773 /nfs/dbraw/zinc/23/87/73/713238773.db2.gz HYZQPJSIMAQIHN-GFCCVEGCSA-N -1 1 313.317 1.089 20 0 DDADMM CCc1ccccc1NC(=O)[C@H](C)N(CCOC)CCC(=O)[O-] ZINC000903712619 711251806 /nfs/dbraw/zinc/25/18/06/711251806.db2.gz OZNXRAZFVUWEKE-ZDUSSCGKSA-N -1 1 322.405 1.999 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=Cc2cccs2)n[n-]1 ZINC000912362427 711292218 /nfs/dbraw/zinc/29/22/18/711292218.db2.gz FXUCESUCLGVISQ-ATJFRQLMSA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=Cc2cccs2)[n-]1 ZINC000912362427 711292220 /nfs/dbraw/zinc/29/22/20/711292220.db2.gz FXUCESUCLGVISQ-ATJFRQLMSA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C=Cc2cccs2)n1 ZINC000912362427 711292222 /nfs/dbraw/zinc/29/22/22/711292222.db2.gz FXUCESUCLGVISQ-ATJFRQLMSA-N -1 1 320.374 1.934 20 0 DDADMM C[C@H](c1ccc(F)cc1)N1CCC[C@@H](N[C@@H](C)C(=O)[O-])C1=O ZINC000904242374 711416305 /nfs/dbraw/zinc/41/63/05/711416305.db2.gz LAPDLDXUQSHZNT-MISXGVKJSA-N -1 1 308.353 1.940 20 0 DDADMM COCc1nc(N2CCC[C@H]([C@@]3(C)COC(=O)N3)C2)cc(=O)[n-]1 ZINC000895530702 711535102 /nfs/dbraw/zinc/53/51/02/711535102.db2.gz JUOQAFVPEWCRHH-ZUZCIYMTSA-N -1 1 322.365 1.044 20 0 DDADMM COc1ccc(CN2CC(C[N-]C(=O)C(F)(F)F)C2)nc1 ZINC000895880383 711635930 /nfs/dbraw/zinc/63/59/30/711635930.db2.gz RUQGDVBZHKFXBK-UHFFFAOYSA-N -1 1 303.284 1.201 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CCC2(C1)OCCO2 ZINC000896158914 711684080 /nfs/dbraw/zinc/68/40/80/711684080.db2.gz ZIJGYGMRUUCVAF-UHFFFAOYSA-N -1 1 315.325 1.840 20 0 DDADMM CCn1nncc1C=Cc1cc(=O)[n-]c(-n2nc(C)cc2C)n1 ZINC000905081514 711930039 /nfs/dbraw/zinc/93/00/39/711930039.db2.gz RPQHAFYMQNWUGV-WAYWQWQTSA-N -1 1 311.349 1.767 20 0 DDADMM Cc1ccc(O)cc1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000905106751 711938553 /nfs/dbraw/zinc/93/85/53/711938553.db2.gz NEKLICOFFUUSJO-UHFFFAOYSA-N -1 1 304.393 1.619 20 0 DDADMM CN(C)c1ccnc(CNC(=O)[C@H]2CC=CC[C@@H]2C(=O)[O-])c1 ZINC000905129836 711945258 /nfs/dbraw/zinc/94/52/58/711945258.db2.gz XHUXCJUMAOQVFV-KBPBESRZSA-N -1 1 303.362 1.431 20 0 DDADMM CCOc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000913742030 713291923 /nfs/dbraw/zinc/29/19/23/713291923.db2.gz CBWUPNROTHCFSS-UHFFFAOYSA-N -1 1 301.350 1.618 20 0 DDADMM O=C(CCn1ccc2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913742553 713291963 /nfs/dbraw/zinc/29/19/63/713291963.db2.gz IUYGKVHMOMPMPG-UHFFFAOYSA-N -1 1 324.388 1.951 20 0 DDADMM O=C(CSCc1cscn1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743592 713292632 /nfs/dbraw/zinc/29/26/32/713292632.db2.gz CARCOIDOQTXBLY-UHFFFAOYSA-N -1 1 324.435 1.296 20 0 DDADMM O=C(Cc1ccc(Cl)s1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745241 713293739 /nfs/dbraw/zinc/29/37/39/713293739.db2.gz VTYPQYWZBRACNY-UHFFFAOYSA-N -1 1 311.798 1.863 20 0 DDADMM O=C(c1cn(-c2ccccc2)cn1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746227 713293852 /nfs/dbraw/zinc/29/38/52/713293852.db2.gz XXTKDRBQRTYDHB-UHFFFAOYSA-N -1 1 323.360 1.405 20 0 DDADMM O=C(CC(F)(F)C(F)(F)F)N1CCC(c2nn[n-]n2)CC1 ZINC000913746835 713294295 /nfs/dbraw/zinc/29/42/95/713294295.db2.gz IWFNGAJPLHPFDL-UHFFFAOYSA-N -1 1 313.230 1.493 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1cc2n(n1)CCN(C)C2 ZINC000913771243 713298183 /nfs/dbraw/zinc/29/81/83/713298183.db2.gz KBXSZCBAWSGZMF-UHFFFAOYSA-N -1 1 302.334 1.295 20 0 DDADMM CC[N-]S(=O)(=O)c1c(Br)ccc(OC)c1F ZINC000913826387 713306859 /nfs/dbraw/zinc/30/68/59/713306859.db2.gz OSFMBKQWPBVHNA-UHFFFAOYSA-N -1 1 312.160 1.895 20 0 DDADMM CN(C)c1cc([N-]S(=O)(=O)N=S2(=O)CCCC2)ccn1 ZINC000906057145 712232739 /nfs/dbraw/zinc/23/27/39/712232739.db2.gz HUWCBFJVDKKHOH-UHFFFAOYSA-N -1 1 318.424 1.066 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]c1ccnc(Cl)c1 ZINC000906060854 712234012 /nfs/dbraw/zinc/23/40/12/712234012.db2.gz CKFJUNOSBHWKEU-UHFFFAOYSA-N -1 1 309.800 1.654 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H](C)[C@H]1CCCO1 ZINC000906694233 712392284 /nfs/dbraw/zinc/39/22/84/712392284.db2.gz XHTCSBXFYSYDNB-NOZJJQNGSA-N -1 1 318.377 1.483 20 0 DDADMM CCCN(CC1CC1)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906799061 712414031 /nfs/dbraw/zinc/41/40/31/712414031.db2.gz OSNSFXWIDGIFAG-UHFFFAOYSA-N -1 1 313.375 1.901 20 0 DDADMM CC(C)CCN(C)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906822958 712417918 /nfs/dbraw/zinc/41/79/18/712417918.db2.gz IXLIAEMPASSNHZ-UHFFFAOYSA-N -1 1 301.364 1.757 20 0 DDADMM CN(CCN(C)S(=O)(=O)c1cc(C(=O)[O-])cs1)C1CC1 ZINC000906933577 712441910 /nfs/dbraw/zinc/44/19/10/712441910.db2.gz AAJBPUXAYGEEDC-UHFFFAOYSA-N -1 1 318.420 1.161 20 0 DDADMM CCCN(C(C)C)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000907027471 712468660 /nfs/dbraw/zinc/46/86/60/712468660.db2.gz TVYVPUQUXAENHE-UHFFFAOYSA-N -1 1 301.364 1.900 20 0 DDADMM CC(C)CCSCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477196 712582439 /nfs/dbraw/zinc/58/24/39/712582439.db2.gz SIIYMZZTDPPXMR-JTQLQIEISA-N -1 1 315.468 1.596 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477058 712582459 /nfs/dbraw/zinc/58/24/59/712582459.db2.gz DYAVNDYCMHAAHS-MJRJWQSSSA-N -1 1 317.374 1.235 20 0 DDADMM O=C(CCCC(F)(F)F)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479691 712583353 /nfs/dbraw/zinc/58/33/53/712583353.db2.gz LWJWHUSWYGNAMJ-SSDOTTSWSA-N -1 1 309.317 1.549 20 0 DDADMM C[C@H](Cc1ccco1)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907482289 712584053 /nfs/dbraw/zinc/58/40/53/712584053.db2.gz OQKAQGVWXDWLJD-MWLCHTKSSA-N -1 1 307.379 1.288 20 0 DDADMM CC1=C(C)C[C@H](C(=O)N2CCSC[C@@H]2c2nn[n-]n2)CC1 ZINC000907481402 712584107 /nfs/dbraw/zinc/58/41/07/712584107.db2.gz WDFHFOMLWULQQT-VXGBXAGGSA-N -1 1 307.423 1.953 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCCC[C@H]1O)c1ccc(F)nc1F ZINC000907486764 712584957 /nfs/dbraw/zinc/58/49/57/712584957.db2.gz RCLNMMCNFGKPRF-NXEZZACHSA-N -1 1 320.361 1.579 20 0 DDADMM CCN1CN(C(=O)c2c([O-])cnc3c(F)cccc32)CC1=O ZINC000907715465 712617911 /nfs/dbraw/zinc/61/79/11/712617911.db2.gz ISFBWDIORCPIAG-UHFFFAOYSA-N -1 1 303.293 1.341 20 0 DDADMM CN1CCC[C@H](NC(=O)[C@]2(C(=O)[O-])C[C@@H]2c2ccccc2)C1 ZINC000907799761 712628228 /nfs/dbraw/zinc/62/82/28/712628228.db2.gz NIHDPMXAXFLSBE-VBQJREDUSA-N -1 1 302.374 1.455 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2cccc(Cl)c2F)CCNC1=O ZINC000907805791 712629007 /nfs/dbraw/zinc/62/90/07/712629007.db2.gz HRXTXRWTSFEXES-LLVKDONJSA-N -1 1 306.746 1.036 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)C[C@@]3(C)CC3(F)F)CC2)n1 ZINC000907861594 712637471 /nfs/dbraw/zinc/63/74/71/712637471.db2.gz ZQXGWXJWDRFZLF-SECBINFHSA-N -1 1 307.322 1.332 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@H]1CCOC12CCCCC2 ZINC000907898419 712643752 /nfs/dbraw/zinc/64/37/52/712643752.db2.gz YPBOURVVZPKDBB-KBPBESRZSA-N -1 1 324.421 1.391 20 0 DDADMM O=C([C@H]1[C@@H]2CCCCCC[C@@H]21)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907942354 712652613 /nfs/dbraw/zinc/65/26/13/712652613.db2.gz WQHNTUDAQZSDJQ-ITGUQSILSA-N -1 1 319.409 1.226 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1nccs1 ZINC000907956738 712654954 /nfs/dbraw/zinc/65/49/54/712654954.db2.gz GPVZPELPGCXXLD-VIFPVBQESA-N -1 1 322.390 1.916 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C(C)(C)CSC)cc1C ZINC000908379810 712756459 /nfs/dbraw/zinc/75/64/59/712756459.db2.gz KUMAEDKVICRNNT-UHFFFAOYSA-N -1 1 321.420 1.795 20 0 DDADMM O=C(NC[C@@H](CO)C1CCCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000908432243 712770391 /nfs/dbraw/zinc/77/03/91/712770391.db2.gz DUEAHORHVYSEJK-ZDUSSCGKSA-N -1 1 319.405 1.978 20 0 DDADMM O=S(=O)([N-]CCOC1CCSCC1)c1ccns1 ZINC000908457964 712778883 /nfs/dbraw/zinc/77/88/83/712778883.db2.gz NCJRPIICDAOIAG-UHFFFAOYSA-N -1 1 308.450 1.334 20 0 DDADMM C[C@H](C(=O)[N-]OC1CCC1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC000908569839 712809501 /nfs/dbraw/zinc/80/95/01/712809501.db2.gz XIFWCXLCCFRULS-CMPLNLGQSA-N -1 1 316.398 1.451 20 0 DDADMM CSc1ccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000908753782 712848464 /nfs/dbraw/zinc/84/84/64/712848464.db2.gz WYFTUFKGXSEPOM-ZDUSSCGKSA-N -1 1 322.430 1.821 20 0 DDADMM Cc1ccc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)c2n[nH]cc21 ZINC000908760188 712849741 /nfs/dbraw/zinc/84/97/41/712849741.db2.gz JIRLKSHNHZEBBB-NSHDSACASA-N -1 1 316.361 1.606 20 0 DDADMM CC1(C)[C@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)[C@@H]2CCCO[C@H]21 ZINC000908824559 712862536 /nfs/dbraw/zinc/86/25/36/712862536.db2.gz IFCDAZAPTZNWJZ-DDHJSBNISA-N -1 1 324.421 1.103 20 0 DDADMM O=S(=O)(CC1(F)CC1)[N-][C@H](CO)c1c(F)cccc1F ZINC000914162377 713351072 /nfs/dbraw/zinc/35/10/72/713351072.db2.gz GMOAALASNQZDDJ-SNVBAGLBSA-N -1 1 309.309 1.420 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CC=C(Cl)Cl ZINC000920031033 713646479 /nfs/dbraw/zinc/64/64/79/713646479.db2.gz WKUYFOOKUAYAGP-UHFFFAOYSA-N -1 1 307.224 1.402 20 0 DDADMM CC(C)C(=O)[C@@H]([N-]S(=O)(=O)c1cnnn1C)c1ccccc1 ZINC000920554291 713678973 /nfs/dbraw/zinc/67/89/73/713678973.db2.gz JZFOIZOMICWDAK-ZDUSSCGKSA-N -1 1 322.390 1.060 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC[C@@H]2CC[C@@H]3C[C@@H]32)c1 ZINC000920874301 713699762 /nfs/dbraw/zinc/69/97/62/713699762.db2.gz HXISELJSLUEKTL-HOSYDEDBSA-N -1 1 313.375 1.781 20 0 DDADMM COC(=O)/C(C)=C\C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000921232627 713724100 /nfs/dbraw/zinc/72/41/00/713724100.db2.gz ISNDWPRQFAVIMQ-VURMDHGXSA-N -1 1 305.302 1.362 20 0 DDADMM CCOC(=O)[C@H](C[C@H](C)CC)[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC000921381394 713749742 /nfs/dbraw/zinc/74/97/42/713749742.db2.gz AOKGFNQQCRCPMX-AGIUHOORSA-N -1 1 321.439 1.453 20 0 DDADMM CCOC(=O)[C@H](C[C@@H](C)CC)[N-]S(=O)(=O)CC(C)(C)OC ZINC000921382607 713750211 /nfs/dbraw/zinc/75/02/11/713750211.db2.gz GNOKHQDHZVWKDC-RYUDHWBXSA-N -1 1 323.455 1.699 20 0 DDADMM C[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC000921494550 713787876 /nfs/dbraw/zinc/78/78/76/713787876.db2.gz JUWVYRCXLSOWFA-RNFRBKRXSA-N -1 1 311.190 1.702 20 0 DDADMM Cc1cc(CC[N-]S(=O)(=O)c2sccc2Cl)nn1C ZINC000921580980 713812231 /nfs/dbraw/zinc/81/22/31/713812231.db2.gz JWXQHKBZKLDBSU-UHFFFAOYSA-N -1 1 319.839 1.964 20 0 DDADMM O=S(=O)([N-]CC1CC2(C1)OCCO2)c1cccc(F)c1F ZINC000921663165 713834615 /nfs/dbraw/zinc/83/46/15/713834615.db2.gz SNIAQJKWIUXNHS-UHFFFAOYSA-N -1 1 319.329 1.396 20 0 DDADMM O=S(=O)([N-][C@@H](C1CC1)[C@@H]1CCCOC1)c1ccns1 ZINC000921846542 713884100 /nfs/dbraw/zinc/88/41/00/713884100.db2.gz GUJFCXAIWQSSLU-PWSUYJOCSA-N -1 1 302.421 1.627 20 0 DDADMM O=S(=O)([N-][C@H]([C@H]1CCOC1)C(F)(F)F)c1cccnc1 ZINC000921863562 713889547 /nfs/dbraw/zinc/88/95/47/713889547.db2.gz XKLGWZGLVHVPOE-WCBMZHEXSA-N -1 1 310.297 1.327 20 0 DDADMM CC[C@H](CNC(=O)NCCc1c(F)cc([O-])cc1F)OC ZINC000922539147 714082834 /nfs/dbraw/zinc/08/28/34/714082834.db2.gz BIYPXTOQBKTSFW-SNVBAGLBSA-N -1 1 302.321 1.937 20 0 DDADMM COc1cccc2c(C(=O)OC[C@@H]3CCC4(COC4)O3)[nH]nc21 ZINC000922738221 714132587 /nfs/dbraw/zinc/13/25/87/714132587.db2.gz RFFQORDUFQSPRO-JTQLQIEISA-N -1 1 318.329 1.676 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C[C@@H]2CC[C@H](C3CC3)O2)CC1 ZINC000923104493 714238351 /nfs/dbraw/zinc/23/83/51/714238351.db2.gz YBFYSNVDPGTQOQ-VHDGCEQUSA-N -1 1 324.421 1.342 20 0 DDADMM C[C@@H](C[S@@](C)=O)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932047229 714245710 /nfs/dbraw/zinc/24/57/10/714245710.db2.gz XSKILMLUONFAIT-MLMJSJRWSA-N -1 1 322.390 1.466 20 0 DDADMM C[C@H](C(=O)N[C@@H]1C[C@H](C(=O)[O-])[C@H]2C[C@H]21)N(C)Cc1ccccc1 ZINC000923193295 714267439 /nfs/dbraw/zinc/26/74/39/714267439.db2.gz OATAXBCVYNHAEI-MBEZOWKRSA-N -1 1 316.401 1.732 20 0 DDADMM O=C(c1ccc2scnc2c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000932281276 714300105 /nfs/dbraw/zinc/30/01/05/714300105.db2.gz FOEWLZHOQBMYGT-SNVBAGLBSA-N -1 1 316.346 1.023 20 0 DDADMM Cc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)c2c1NCCC2 ZINC000923674777 714448845 /nfs/dbraw/zinc/44/88/45/714448845.db2.gz UWPAHNSTOXIORB-UHFFFAOYSA-N -1 1 302.334 1.132 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@](CO)(C(F)(F)F)C2)c([O-])c1 ZINC000924457482 714586897 /nfs/dbraw/zinc/58/68/97/714586897.db2.gz PSFLRAWERZKDDQ-LBPRGKRZSA-N -1 1 304.268 1.483 20 0 DDADMM COc1cnc([C@H]2CCCN2CCNC(=O)NC(C)C)[n-]c1=O ZINC000934270862 714781881 /nfs/dbraw/zinc/78/18/81/714781881.db2.gz WISIYFHSCPIBBA-LLVKDONJSA-N -1 1 323.397 1.035 20 0 DDADMM CCOCCOCCN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934271072 714782416 /nfs/dbraw/zinc/78/24/16/714782416.db2.gz DQSLFDQCUPTUIA-GFCCVEGCSA-N -1 1 311.382 1.381 20 0 DDADMM O=C(Cn1nc(C(F)F)cc1C(F)F)Nc1ccncc1[O-] ZINC000935125898 714983100 /nfs/dbraw/zinc/98/31/00/714983100.db2.gz MQVSLCXDQPDCIQ-UHFFFAOYSA-N -1 1 318.230 1.920 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@@H]2CCO[C@@H](C(C)C)C2)n[nH]1 ZINC000935260067 715014309 /nfs/dbraw/zinc/01/43/09/715014309.db2.gz FWXVAAXAXLVJBF-ZYHUDNBSSA-N -1 1 309.366 1.976 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@]1(C)CCOc2ccccc21)c1nn[n-]n1 ZINC000935367368 715038724 /nfs/dbraw/zinc/03/87/24/715038724.db2.gz KYXKTBGBDKYTFZ-ZBEGNZNMSA-N -1 1 315.377 1.502 20 0 DDADMM O=C([C@H]1CC[C@@H]2C[C@@H]2CC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000935457445 715060413 /nfs/dbraw/zinc/06/04/13/715060413.db2.gz SCRYFNJZFGIUPR-USZNOCQGSA-N -1 1 307.423 1.643 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@]2(C)C=CCC2)c1 ZINC000936051806 715146235 /nfs/dbraw/zinc/14/62/35/715146235.db2.gz AWUINBOZJAJBFM-AWEZNQCLSA-N -1 1 310.375 1.595 20 0 DDADMM CS[C@@H](C)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000936722858 715235726 /nfs/dbraw/zinc/23/57/26/715235726.db2.gz GWOUKEHTROSYAR-QWRGUYRKSA-N -1 1 323.418 1.212 20 0 DDADMM CN(C(=O)[C@@H]1CC1(C)C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937210246 715292789 /nfs/dbraw/zinc/29/27/89/715292789.db2.gz AHMGRUZYENTZNG-NEPJUHHUSA-N -1 1 317.389 1.506 20 0 DDADMM CN(C(=O)C(C)(C)C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000954553522 715417797 /nfs/dbraw/zinc/41/77/97/715417797.db2.gz BFYYVXCYMJFENR-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM Cc1cc[nH]c1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937862117 715618100 /nfs/dbraw/zinc/61/81/00/715618100.db2.gz XRSATTWEJQPDJO-NSHDSACASA-N -1 1 314.345 1.068 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)[C@H]2CC=CCC2)C1)c1ncccc1[O-] ZINC000937862101 715618113 /nfs/dbraw/zinc/61/81/13/715618113.db2.gz XBEYZCZEWZHUDY-STQMWFEESA-N -1 1 315.373 1.474 20 0 DDADMM CC(F)(F)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849095 715635649 /nfs/dbraw/zinc/63/56/49/715635649.db2.gz KXGZPVZZGIVEHQ-ZDUSSCGKSA-N -1 1 313.304 1.163 20 0 DDADMM CS[C@@H](C)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849835 715636317 /nfs/dbraw/zinc/63/63/17/715636317.db2.gz ZLFFGUDRFHOWKM-BONVTDFDSA-N -1 1 323.418 1.260 20 0 DDADMM O=C(C1CC1)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC000957487114 715923787 /nfs/dbraw/zinc/92/37/87/715923787.db2.gz XCTRBHLNIDMTGR-UHFFFAOYSA-N -1 1 319.409 1.125 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955953159 716033725 /nfs/dbraw/zinc/03/37/25/716033725.db2.gz KKIINILVXGKWSB-JPOQUURFSA-N -1 1 303.362 1.474 20 0 DDADMM O=C(CC1CCCC1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000939208755 716168978 /nfs/dbraw/zinc/16/89/78/716168978.db2.gz WUJDQOXBIGNPSV-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM CCC1(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC000939443725 716255426 /nfs/dbraw/zinc/25/54/26/716255426.db2.gz QAISHOLPNPGOPB-VXGBXAGGSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)[C@]23C[C@H]2CCC3)C1)c1ncccc1[O-] ZINC000940131618 716642460 /nfs/dbraw/zinc/64/24/60/716642460.db2.gz GQYWAPUIAYNJLD-PSTGCABASA-N -1 1 315.373 1.308 20 0 DDADMM CC1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CC=CC1 ZINC000940138625 716646420 /nfs/dbraw/zinc/64/64/20/716646420.db2.gz IUFSFHOBYDRHNS-GFCCVEGCSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)C1CC1 ZINC000959444193 717018933 /nfs/dbraw/zinc/01/89/33/717018933.db2.gz HDLPMLBJKCMJBO-JQWIXIFHSA-N -1 1 303.362 1.022 20 0 DDADMM CC[C@@H](C)C(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964432021 717261779 /nfs/dbraw/zinc/26/17/79/717261779.db2.gz TUNSBUDIGUQAHE-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C/C=C/Cl)C[C@H]2C)c1[O-] ZINC000941965261 717411003 /nfs/dbraw/zinc/41/10/03/717411003.db2.gz XSSIVRDZYKNTQU-DREQKGALSA-N -1 1 312.801 1.616 20 0 DDADMM CC1(C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC000964950281 717485967 /nfs/dbraw/zinc/48/59/67/717485967.db2.gz ASCPETXBBQPAKR-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC2(CC2)C1 ZINC000942374638 717645728 /nfs/dbraw/zinc/64/57/28/717645728.db2.gz VEXDMUUIQKYFRG-GFCCVEGCSA-N -1 1 315.373 1.308 20 0 DDADMM CCC(=O)N1CCC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000965715644 717738961 /nfs/dbraw/zinc/73/89/61/717738961.db2.gz FHHXFYGRCDOZNC-GFCCVEGCSA-N -1 1 305.378 1.508 20 0 DDADMM CC(C)C(=O)N1CCC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000965980193 717844807 /nfs/dbraw/zinc/84/48/07/717844807.db2.gz SYZYQADLSHMDOE-CYBMUJFWSA-N -1 1 319.405 1.754 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C1CCC1 ZINC000945275416 718434988 /nfs/dbraw/zinc/43/49/88/718434988.db2.gz BBPXIVTWDGLHMF-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)N[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1C ZINC000945327659 718441819 /nfs/dbraw/zinc/44/18/19/718441819.db2.gz MKBYHQQCPUBJLP-NOZJJQNGSA-N -1 1 318.377 1.046 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CC1CCC1 ZINC000966341740 718520866 /nfs/dbraw/zinc/52/08/66/718520866.db2.gz BNXPFVJRGDMJOV-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccccc2O)CCN1CC(=O)N(C)C ZINC000947071588 719010190 /nfs/dbraw/zinc/01/01/90/719010190.db2.gz WNZZDNRVQFOMBX-OLZOCXBDSA-N -1 1 319.405 1.063 20 0 DDADMM CCN(C(=O)C1CC(C)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967869019 719062984 /nfs/dbraw/zinc/06/29/84/719062984.db2.gz NRWSWSCGVJMRNN-UHFFFAOYSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1nc(C)c(CN[C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)o1 ZINC000968416266 719571840 /nfs/dbraw/zinc/57/18/40/719571840.db2.gz VUYHDIAJSLISBU-LLVKDONJSA-N -1 1 319.365 1.033 20 0 DDADMM Cc1cnc(CN[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC000968478157 719608653 /nfs/dbraw/zinc/60/86/53/719608653.db2.gz GTWPUPWXNNKGFT-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM Cc1nc(CN[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)cs1 ZINC000968485812 719615368 /nfs/dbraw/zinc/61/53/68/719615368.db2.gz FRMJQWRSKSLWKJ-LLVKDONJSA-N -1 1 318.402 1.556 20 0 DDADMM CC(C)(C)CC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949531491 720143090 /nfs/dbraw/zinc/14/30/90/720143090.db2.gz QOEGOUGKPRHEIH-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM CCC1(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000949538961 720147297 /nfs/dbraw/zinc/14/72/97/720147297.db2.gz UTELGUXFGWRYDX-NSHDSACASA-N -1 1 303.362 1.308 20 0 DDADMM O=C(CC1CCC1)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950299625 720563940 /nfs/dbraw/zinc/56/39/40/720563940.db2.gz XPGVZPLGVDWFRN-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1=CCCC1 ZINC000950539548 720675228 /nfs/dbraw/zinc/67/52/28/720675228.db2.gz SEVGYPYCVVJIIG-ZDUSSCGKSA-N -1 1 315.373 1.618 20 0 DDADMM CC1(C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)CCC1 ZINC000950546476 720677849 /nfs/dbraw/zinc/67/78/49/720677849.db2.gz PCWMBNFIIDOTIU-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM CN(C(=O)[C@H]1CC1(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954077998 721711812 /nfs/dbraw/zinc/71/18/12/721711812.db2.gz DORARMGKISSQKV-LLVKDONJSA-N -1 1 303.362 1.116 20 0 DDADMM CC[C@@]1(C)C[C@@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954125608 721724332 /nfs/dbraw/zinc/72/43/32/721724332.db2.gz VGEROWISKAJCDF-PXAZEXFGSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1nc(C(C)C)oc1CNCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001125733870 735447548 /nfs/dbraw/zinc/44/75/48/735447548.db2.gz DJXSNCSYHYZOQZ-UHFFFAOYSA-N -1 1 321.381 1.363 20 0 DDADMM COc1ccc(F)cc1CNCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001125744292 735455711 /nfs/dbraw/zinc/45/57/11/735455711.db2.gz ANMHHDDWZWLDOY-UHFFFAOYSA-N -1 1 322.340 1.091 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)CCOC1 ZINC000692861131 738634255 /nfs/dbraw/zinc/63/42/55/738634255.db2.gz HXQBEQJBPIUUND-LLVKDONJSA-N -1 1 308.762 1.579 20 0 DDADMM C[C@H](c1csnn1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010730550 733189574 /nfs/dbraw/zinc/18/95/74/733189574.db2.gz GNLKYAKVOMHIBP-NXEZZACHSA-N -1 1 319.390 1.204 20 0 DDADMM CC(C)C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021442398 733207724 /nfs/dbraw/zinc/20/77/24/733207724.db2.gz MDAYKKUJNWJHPH-NWDGAFQWSA-N -1 1 303.362 1.116 20 0 DDADMM Cc1ncoc1CN1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001027843772 738726437 /nfs/dbraw/zinc/72/64/37/738726437.db2.gz HDGSQCQRIXIKTR-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM Cc1ccccc1[C@@H]1CCCN1c1nnc(-c2nnn[n-]2)n1C ZINC001121267938 782432124 /nfs/dbraw/zinc/43/21/24/782432124.db2.gz HBTQINYJWYRNEP-LBPRGKRZSA-N -1 1 310.365 1.645 20 0 DDADMM Cc1ccccc1[C@@H]1CCCN1c1nnc(-c2nn[n-]n2)n1C ZINC001121267938 782432128 /nfs/dbraw/zinc/43/21/28/782432128.db2.gz HBTQINYJWYRNEP-LBPRGKRZSA-N -1 1 310.365 1.645 20 0 DDADMM Cn1ccc(C[N@H+]2CC[C@@H](CNC(=O)c3ccccc3O)C2)n1 ZINC001028415044 739466364 /nfs/dbraw/zinc/46/63/64/739466364.db2.gz GVSUVPPEJZSMTE-ZDUSSCGKSA-N -1 1 314.389 1.378 20 0 DDADMM CCC1(C(=O)N2C[C@@H](C)[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001104635674 736477692 /nfs/dbraw/zinc/47/76/92/736477692.db2.gz AJZXAJPCAKJSKL-VXGBXAGGSA-N -1 1 321.425 1.275 20 0 DDADMM COC(=O)CC[C@@H]1COCCN1Cc1cc(F)c([O-])c(F)c1 ZINC001140993668 736818955 /nfs/dbraw/zinc/81/89/55/736818955.db2.gz CBOUMWVFVHHURO-LLVKDONJSA-N -1 1 315.316 1.824 20 0 DDADMM C[C@@H]1CCC[C@]1(O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692887844 738943676 /nfs/dbraw/zinc/94/36/76/738943676.db2.gz GGNCBXBRZCPDID-PELKAZGASA-N -1 1 322.789 1.704 20 0 DDADMM Cc1noc([C@@H](C)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001020096046 736990227 /nfs/dbraw/zinc/99/02/27/736990227.db2.gz KNUXUYZKLKDVDA-FBIMIBRVSA-N -1 1 317.349 1.090 20 0 DDADMM CC1(C)CC(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000974056783 737353610 /nfs/dbraw/zinc/35/36/10/737353610.db2.gz LUVZVDZUGXHTAF-TXEJJXNPSA-N -1 1 317.389 1.600 20 0 DDADMM CC(C)C[C@@H](CNc1ncccn1)NC(=O)c1ncccc1[O-] ZINC001104934905 737387623 /nfs/dbraw/zinc/38/76/23/737387623.db2.gz MBSHUFJQDLSWSF-LBPRGKRZSA-N -1 1 315.377 1.834 20 0 DDADMM CC1(C)[C@H](O)C[C@@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692892756 738963744 /nfs/dbraw/zinc/96/37/44/738963744.db2.gz KKYFYBAIXJEDFP-JGVFFNPUSA-N -1 1 308.762 1.312 20 0 DDADMM C[C@@H]1C[C@H](C[N-]S(=O)(=O)c2ncn(C)c2Cl)[C@H](C)O1 ZINC000686390240 737490105 /nfs/dbraw/zinc/49/01/05/737490105.db2.gz LAFKCJIBUXUVPQ-HRDYMLBCSA-N -1 1 307.803 1.165 20 0 DDADMM O=C(NCC[NH2+]Cc1ccnc(Cl)c1)c1ncccc1[O-] ZINC001126118516 738325832 /nfs/dbraw/zinc/32/58/32/738325832.db2.gz DMABQUMIGXBNDF-UHFFFAOYSA-N -1 1 306.753 1.355 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059433207 740922818 /nfs/dbraw/zinc/92/28/18/740922818.db2.gz APXPOWDIHPCBIV-ACDNVWSCSA-N -1 1 317.389 1.722 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088362981 741435181 /nfs/dbraw/zinc/43/51/81/741435181.db2.gz DRDNFACHEWUVAD-SRVKXCTJSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1cc(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)sn1 ZINC001042646133 741791012 /nfs/dbraw/zinc/79/10/12/741791012.db2.gz KYCTXEPRJBOURX-UHFFFAOYSA-N -1 1 318.402 1.509 20 0 DDADMM C[C@@H](CCNC(=O)CCC1CCC1)NC(=O)c1ncccc1[O-] ZINC001076077447 742522551 /nfs/dbraw/zinc/52/25/51/742522551.db2.gz NSXNPKLUSOBBII-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)CCNC(=O)c2ncccc2[O-])on1 ZINC001076243880 742623236 /nfs/dbraw/zinc/62/32/36/742623236.db2.gz CJJHFHIHNNHWDU-VIFPVBQESA-N -1 1 318.333 1.022 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)/C=C/C1CC1 ZINC001076244165 742623425 /nfs/dbraw/zinc/62/34/25/742623425.db2.gz KQRPHTZFQIIQPM-MLRMMBSGSA-N -1 1 303.362 1.378 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1CC(C)C1 ZINC001076244344 742623974 /nfs/dbraw/zinc/62/39/74/742623974.db2.gz PZYLJDGMPQPWFR-CXQJBGSLSA-N -1 1 305.378 1.458 20 0 DDADMM CC[C@@H](F)C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002197239 742947453 /nfs/dbraw/zinc/94/74/53/742947453.db2.gz BDDXAVKAALWQIP-KKOKHZNYSA-N -1 1 321.352 1.397 20 0 DDADMM CC(=O)N(C)C[C@H]1CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001002509877 743205626 /nfs/dbraw/zinc/20/56/26/743205626.db2.gz QUFWASNHMJXIEW-GFCCVEGCSA-N -1 1 318.377 1.143 20 0 DDADMM O=C(NC1CCN(Cc2csnn2)CC1)c1ncccc1[O-] ZINC001002665753 743324226 /nfs/dbraw/zinc/32/42/26/743324226.db2.gz UPAMIDBWTWPDHL-UHFFFAOYSA-N -1 1 319.390 1.033 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)C12CCC(CO)(CC1)CC2 ZINC001182707669 743701895 /nfs/dbraw/zinc/70/18/95/743701895.db2.gz ZGCJBJCPNYWVCQ-UHFFFAOYSA-N -1 1 319.338 1.393 20 0 DDADMM O=C(Nc1ccc(-c2nc(=O)o[n-]2)cc1)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001183209647 743804476 /nfs/dbraw/zinc/80/44/76/743804476.db2.gz GMBNDOYKOCGOHN-GHMZBOCLSA-N -1 1 311.301 1.495 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C(C)(C)c3ccc(O)cc3)c1-2 ZINC001183776076 743902649 /nfs/dbraw/zinc/90/26/49/743902649.db2.gz FWYFRMPWTUZOBQ-UHFFFAOYSA-N -1 1 311.345 1.657 20 0 DDADMM O=S(=O)([N-]Cc1cccnc1-n1cccn1)c1ccns1 ZINC001185751975 744287567 /nfs/dbraw/zinc/28/75/67/744287567.db2.gz IOJXNQBWDHZTNN-UHFFFAOYSA-N -1 1 321.387 1.202 20 0 DDADMM Cc1c(F)cccc1S(=O)(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001185854636 744300800 /nfs/dbraw/zinc/30/08/00/744300800.db2.gz ZKGDLMUHYKRURF-UHFFFAOYSA-N -1 1 323.309 1.307 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC2(CC1)CC(=O)NC(=O)C2 ZINC001186218250 744365954 /nfs/dbraw/zinc/36/59/54/744365954.db2.gz BIIWSWMXXMYDAG-UHFFFAOYSA-N -1 1 320.320 1.190 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1Cc2ccnn2CC[C@H]1CO ZINC001186220159 744366883 /nfs/dbraw/zinc/36/68/83/744366883.db2.gz YOEDKYWKQOCBNW-LBPRGKRZSA-N -1 1 305.309 1.135 20 0 DDADMM CC(=O)OCN1CCC(NC(=O)c2ccc([O-])cc2F)CC1 ZINC001186348162 744381183 /nfs/dbraw/zinc/38/11/83/744381183.db2.gz JYNYHAONDDMIQC-UHFFFAOYSA-N -1 1 310.325 1.246 20 0 DDADMM CN(C)c1nc(NC(=O)NCC(F)(F)F)c(N=O)c(=O)[n-]1 ZINC001187059250 744493584 /nfs/dbraw/zinc/49/35/84/744493584.db2.gz HYJURVQGNZLDAG-UHFFFAOYSA-N -1 1 308.220 1.330 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cc2snnc2c(C)c1 ZINC001187285942 744534503 /nfs/dbraw/zinc/53/45/03/744534503.db2.gz AQNCUYUVLWLTLX-UHFFFAOYSA-N -1 1 301.393 1.778 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(C(=O)OCC)nc1 ZINC001187250942 744536954 /nfs/dbraw/zinc/53/69/54/744536954.db2.gz VKKPTDXFDXODNW-UHFFFAOYSA-N -1 1 302.352 1.037 20 0 DDADMM O=C(NC1CC(CNC(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000992047514 744559917 /nfs/dbraw/zinc/55/99/17/744559917.db2.gz CUKJKHHRAHURON-UHFFFAOYSA-N -1 1 315.329 1.319 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2nccs2)CCCCC1 ZINC001187917682 744631363 /nfs/dbraw/zinc/63/13/63/744631363.db2.gz FPNCQFLFYIWBJV-UHFFFAOYSA-N -1 1 318.420 1.687 20 0 DDADMM O=S(=O)([N-]CCOc1cccc(F)c1)c1nccs1 ZINC001187913525 744629153 /nfs/dbraw/zinc/62/91/53/744629153.db2.gz YVPPBQONUXXXKH-UHFFFAOYSA-N -1 1 302.352 1.640 20 0 DDADMM O=S(=O)([N-][C@@H]1c2ccccc2CC[C@H]1O)c1nccs1 ZINC001187912540 744629328 /nfs/dbraw/zinc/62/93/28/744629328.db2.gz KOBVWKZPTFQUMC-VXGBXAGGSA-N -1 1 310.400 1.470 20 0 DDADMM Cc1nnsc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001187876126 744631035 /nfs/dbraw/zinc/63/10/35/744631035.db2.gz MDJZZXBWPFOTDS-UHFFFAOYSA-N -1 1 309.311 1.058 20 0 DDADMM O=c1[nH]nccc1[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC001187932093 744636768 /nfs/dbraw/zinc/63/67/68/744636768.db2.gz INAQIJLFRRXQKY-UHFFFAOYSA-N -1 1 321.145 1.685 20 0 DDADMM CC(C)(CCO)[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC001187980219 744642301 /nfs/dbraw/zinc/64/23/01/744642301.db2.gz VENKPNIDHXTIPO-UHFFFAOYSA-N -1 1 313.206 1.828 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cc(C)n(C)c2)c1 ZINC001188073136 744656444 /nfs/dbraw/zinc/65/64/44/744656444.db2.gz YQGISMAICICPDX-UHFFFAOYSA-N -1 1 323.374 1.200 20 0 DDADMM CN1CCN(c2cccc([N-]S(=O)(=O)c3ccoc3)c2)CC1 ZINC001188606601 744726317 /nfs/dbraw/zinc/72/63/17/744726317.db2.gz HZEUTXRRUWAGEJ-UHFFFAOYSA-N -1 1 321.402 1.832 20 0 DDADMM COC(=O)c1ccncc1C(=O)Nc1cc(=O)[n-]c(SC)n1 ZINC001189792187 744983435 /nfs/dbraw/zinc/98/34/35/744983435.db2.gz GPZFETMWBFLSRV-UHFFFAOYSA-N -1 1 320.330 1.338 20 0 DDADMM COCCOc1cccc(F)c1[N-]S(=O)(=O)CCCF ZINC001189919649 745036093 /nfs/dbraw/zinc/03/60/93/745036093.db2.gz KELUMWIVRQWWRE-UHFFFAOYSA-N -1 1 309.334 1.952 20 0 DDADMM COCn1cc(NC(=O)c2c([O-])cc(OC)cc2OC)cn1 ZINC001190110252 745117407 /nfs/dbraw/zinc/11/74/07/745117407.db2.gz KWAPWKSMNWNMRJ-UHFFFAOYSA-N -1 1 307.306 1.462 20 0 DDADMM O=C(c1cnc(-c2ccccc2)[n-]c1=O)N1CCn2nncc2C1 ZINC001190261578 745165955 /nfs/dbraw/zinc/16/59/55/745165955.db2.gz HONXKELQGOYHPL-UHFFFAOYSA-N -1 1 322.328 1.097 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(OCC)cn2)n1 ZINC001190777999 745328100 /nfs/dbraw/zinc/32/81/00/745328100.db2.gz OBQZBOKIBRCCMC-UHFFFAOYSA-N -1 1 304.306 1.632 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc(=O)[nH]c1Cl ZINC001190902798 745360278 /nfs/dbraw/zinc/36/02/78/745360278.db2.gz IDHXPSNKOQKFHK-UHFFFAOYSA-N -1 1 307.619 1.830 20 0 DDADMM CSc1ncc(C(=O)Nc2ccn3ccnc3c2)c(=O)[n-]1 ZINC001191429761 745509401 /nfs/dbraw/zinc/50/94/01/745509401.db2.gz QRTRFISMGMLKKX-UHFFFAOYSA-N -1 1 301.331 1.804 20 0 DDADMM CSc1ncc(C(=O)N[C@H](CO)c2cccc(C)c2)c(=O)[n-]1 ZINC001191439867 745512701 /nfs/dbraw/zinc/51/27/01/745512701.db2.gz FQIMIGGOGMOVTH-GFCCVEGCSA-N -1 1 319.386 1.676 20 0 DDADMM NC(=O)CCNC(=O)c1ccccc1C(=O)c1ccc([O-])cc1 ZINC001191509163 745530368 /nfs/dbraw/zinc/53/03/68/745530368.db2.gz DJJJJJCOHHOTDC-UHFFFAOYSA-N -1 1 312.325 1.228 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)Cc2ccc(Cl)cc2F)o1 ZINC001191926623 745647107 /nfs/dbraw/zinc/64/71/07/745647107.db2.gz KUJXMBRPMYKEON-UHFFFAOYSA-N -1 1 319.745 1.790 20 0 DDADMM O=S(=O)([N-]CCc1ccncc1)C(Cl)(Cl)Cl ZINC001191997256 745662106 /nfs/dbraw/zinc/66/21/06/745662106.db2.gz QEFNYKHTOYBHJG-UHFFFAOYSA-N -1 1 303.598 1.871 20 0 DDADMM CCS(=O)(=O)c1ccc(OC)c([N-]C(=O)c2ncon2)c1 ZINC001192025753 745676742 /nfs/dbraw/zinc/67/67/42/745676742.db2.gz WLIXBSVQRXAOHM-UHFFFAOYSA-N -1 1 311.319 1.124 20 0 DDADMM O=S(=O)([N-]CCOC(F)(F)F)C(Cl)(Cl)Cl ZINC001192042565 745680883 /nfs/dbraw/zinc/68/08/83/745680883.db2.gz GNTIAKQQUVSIQR-UHFFFAOYSA-N -1 1 310.508 1.770 20 0 DDADMM Nc1ncc(Cl)nc1NC(=O)c1c(F)ccc([O-])c1F ZINC001192524024 745806943 /nfs/dbraw/zinc/80/69/43/745806943.db2.gz IVGAKZPAZHWVAO-UHFFFAOYSA-N -1 1 300.652 1.948 20 0 DDADMM O=C(NC[C@H](O)c1ccc(O)cc1)c1c(F)ccc([O-])c1F ZINC001192551128 745812323 /nfs/dbraw/zinc/81/23/23/745812323.db2.gz CNAQLGYLKJVJBU-LBPRGKRZSA-N -1 1 309.268 1.839 20 0 DDADMM O=C(NCC1(c2cnccn2)CC1)c1c(F)ccc([O-])c1F ZINC001192556098 745814945 /nfs/dbraw/zinc/81/49/45/745814945.db2.gz NCDZWKRLSSGLJQ-UHFFFAOYSA-N -1 1 305.284 1.922 20 0 DDADMM CCN(CCNc1nccc(C)n1)C(=O)c1ncccc1[O-] ZINC001106726881 745970901 /nfs/dbraw/zinc/97/09/01/745970901.db2.gz BICVWBWGTKLXBX-UHFFFAOYSA-N -1 1 301.350 1.460 20 0 DDADMM CC(C)c1cnn2cc([N-]S(=O)(=O)C[C@H]3CCCO3)cnc12 ZINC001193213541 746016792 /nfs/dbraw/zinc/01/67/92/746016792.db2.gz MGDWSGYFJDGUDT-GFCCVEGCSA-N -1 1 324.406 1.773 20 0 DDADMM CCN1Cc2cc([N-]S(=O)(=O)C[C@H]3CCCO3)ccc2C1=O ZINC001193263297 746035609 /nfs/dbraw/zinc/03/56/09/746035609.db2.gz BTAYCBMGAVFLMS-CYBMUJFWSA-N -1 1 324.402 1.583 20 0 DDADMM COc1cc([N-]S(=O)(=O)C[C@H]2CCCO2)cc(F)c1F ZINC001193281194 746046062 /nfs/dbraw/zinc/04/60/62/746046062.db2.gz VVULZMCGXBNRCD-SECBINFHSA-N -1 1 307.318 1.894 20 0 DDADMM COc1ccnc(C(=O)Nc2ccc3c(c2)CC(=O)N3C)c1[O-] ZINC001193531186 746121657 /nfs/dbraw/zinc/12/16/57/746121657.db2.gz HCXXENPXAUFLOD-UHFFFAOYSA-N -1 1 313.313 1.567 20 0 DDADMM COc1ccnc(C(=O)Nc2nc(C)nc3nc[nH]c32)c1O ZINC001193525166 746133620 /nfs/dbraw/zinc/13/36/20/746133620.db2.gz WMVVNSVHKIEKBL-UHFFFAOYSA-N -1 1 300.278 1.023 20 0 DDADMM COc1ccnc(C(=O)Nc2nc(C)nc3[nH]cnc32)c1[O-] ZINC001193525166 746133623 /nfs/dbraw/zinc/13/36/23/746133623.db2.gz WMVVNSVHKIEKBL-UHFFFAOYSA-N -1 1 300.278 1.023 20 0 DDADMM CC[C@H](C)C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066861285 752065040 /nfs/dbraw/zinc/06/50/40/752065040.db2.gz VUUZBANXORHEEQ-LBPRGKRZSA-N -1 1 307.394 1.754 20 0 DDADMM CC(=O)c1ccc([N-]S(=O)(=O)c2ccccc2N)cc1O ZINC001193924973 746239052 /nfs/dbraw/zinc/23/90/52/746239052.db2.gz ZULCFUWYKYLAFS-UHFFFAOYSA-N -1 1 306.343 1.978 20 0 DDADMM C[C@H]1CCC[C@@H]1CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000993750940 746307769 /nfs/dbraw/zinc/30/77/69/746307769.db2.gz MNHFCQODUSCKSN-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1ccc(OC)nc1Cl ZINC001195939318 746731724 /nfs/dbraw/zinc/73/17/24/746731724.db2.gz RPDPUECVVSJGQU-UHFFFAOYSA-N -1 1 308.743 1.048 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]Cc1c(F)ccc(F)c1F ZINC001195983261 746746162 /nfs/dbraw/zinc/74/61/62/746746162.db2.gz PAFQYKXOCUIYAH-UHFFFAOYSA-N -1 1 311.281 1.086 20 0 DDADMM COc1cc(NC(=O)c2c[nH]c(=S)[n-]c2=O)cc(OC)c1 ZINC001196009120 746754913 /nfs/dbraw/zinc/75/49/13/746754913.db2.gz VXSCOWPITKDQMH-UHFFFAOYSA-N -1 1 307.331 1.740 20 0 DDADMM O=C(Nc1c(Cl)cnnc1Cl)c1c[nH]c(=S)[n-]c1=O ZINC001196015028 746757491 /nfs/dbraw/zinc/75/74/91/746757491.db2.gz WDBPNAPSHNTVAX-UHFFFAOYSA-N -1 1 318.145 1.820 20 0 DDADMM CN1C(=O)Cc2cc(NC(=O)c3c[nH]c(=S)[n-]c3=O)ccc21 ZINC001196022173 746759317 /nfs/dbraw/zinc/75/93/17/746759317.db2.gz XYWPKYHQEYRDRJ-UHFFFAOYSA-N -1 1 316.342 1.242 20 0 DDADMM Cc1cnc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1Cl ZINC001197297931 747123797 /nfs/dbraw/zinc/12/37/97/747123797.db2.gz GQBVOGOGXJLGGV-UHFFFAOYSA-N -1 1 304.697 1.668 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000994665641 747301636 /nfs/dbraw/zinc/30/16/36/747301636.db2.gz KLVOPNACUPSNIV-RTXFEEFZSA-N -1 1 315.373 1.164 20 0 DDADMM CC(C)n1cncc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001198104463 747381295 /nfs/dbraw/zinc/38/12/95/747381295.db2.gz XOOMOYMLEFIJSU-UHFFFAOYSA-N -1 1 319.325 1.676 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)Nc1ccn2ccnc2c1 ZINC001198750677 747599208 /nfs/dbraw/zinc/59/92/08/747599208.db2.gz SKXXSZNFVSUMIJ-UHFFFAOYSA-N -1 1 317.326 1.833 20 0 DDADMM Cc1nonc1[N-]C(=O)c1nccnc1OCC(F)(F)F ZINC001199178582 747729152 /nfs/dbraw/zinc/72/91/52/747729152.db2.gz UYKQFXLFXZJPRF-UHFFFAOYSA-N -1 1 303.200 1.361 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CC[C@H](CNCc3ccon3)C2)c1[O-] ZINC000994879724 747805728 /nfs/dbraw/zinc/80/57/28/747805728.db2.gz GWLLFDZABXEACE-QWRGUYRKSA-N -1 1 319.365 1.100 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001004159812 748065230 /nfs/dbraw/zinc/06/52/30/748065230.db2.gz JLRVMDSQWVIXCN-CHWSQXEVSA-N -1 1 317.389 1.412 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998818085 752255667 /nfs/dbraw/zinc/25/56/67/752255667.db2.gz PPLRPQMLIGXNSI-VXGBXAGGSA-N -1 1 303.362 1.020 20 0 DDADMM CC(C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001004539795 748543913 /nfs/dbraw/zinc/54/39/13/748543913.db2.gz LMYUABLIIGWPCA-NWDGAFQWSA-N -1 1 305.378 1.410 20 0 DDADMM O=S(=O)([N-]CCF)c1cccc(F)c1Br ZINC001201787393 748610306 /nfs/dbraw/zinc/61/03/06/748610306.db2.gz ADKDIZFOEMCVMA-UHFFFAOYSA-N -1 1 300.124 1.836 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)CC1(C)CC1 ZINC001004902055 748904736 /nfs/dbraw/zinc/90/47/36/748904736.db2.gz OFIWKROGBLJUOY-NEPJUHHUSA-N -1 1 321.425 1.560 20 0 DDADMM Cc1ccnc(N[C@H](C)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001115719557 750901484 /nfs/dbraw/zinc/90/14/84/750901484.db2.gz TWMDAQISFXTKNU-LLVKDONJSA-N -1 1 301.350 1.458 20 0 DDADMM O=C([N-]n1ccc(=O)[nH]c1=O)c1cc2ccnc(Cl)c2s1 ZINC001168869293 762080076 /nfs/dbraw/zinc/08/00/76/762080076.db2.gz QHZNUPDPBTXHCP-UHFFFAOYSA-N -1 1 322.733 1.596 20 0 DDADMM COc1ccc([C@@H]2C[C@H]([NH2+]CCP(=O)([O-])[O-])CCO2)cc1 ZINC001168599462 751302344 /nfs/dbraw/zinc/30/23/44/751302344.db2.gz YXJVSNJXEIEPSV-OCCSQVGLSA-N -1 1 315.306 1.683 20 0 DDADMM CC1(C)CN(C(=O)C2CCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001008081175 752538631 /nfs/dbraw/zinc/53/86/31/752538631.db2.gz GKLKJOHZPDEPTI-ZDUSSCGKSA-N -1 1 317.389 1.554 20 0 DDADMM CCc1ccoc1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000999124977 752551021 /nfs/dbraw/zinc/55/10/21/752551021.db2.gz GVJKYYNMZCFTAH-UHFFFAOYSA-N -1 1 315.329 1.197 20 0 DDADMM CC1(C)C[C@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062811866 753736654 /nfs/dbraw/zinc/73/66/54/753736654.db2.gz SBKOIGPQXKOPIF-NSHDSACASA-N -1 1 317.389 1.602 20 0 DDADMM CC(C)[C@H](C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062840907 753753678 /nfs/dbraw/zinc/75/36/78/753753678.db2.gz PYMAMJHFZBSZBL-LBPRGKRZSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(N[C@H]1CCN(Cc2nccs2)C1)c1ncccc1[O-] ZINC001010727230 754125806 /nfs/dbraw/zinc/12/58/06/754125806.db2.gz PDDIHFUPUZQSBG-JTQLQIEISA-N -1 1 304.375 1.248 20 0 DDADMM CCn1ccc(CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001010733788 754130859 /nfs/dbraw/zinc/13/08/59/754130859.db2.gz RFLSINMTZWLJBD-LBPRGKRZSA-N -1 1 315.377 1.008 20 0 DDADMM Cc1cnc([C@@H](C)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001010735861 754132494 /nfs/dbraw/zinc/13/24/94/754132494.db2.gz ZFHBADQNJALOHL-NEPJUHHUSA-N -1 1 316.361 1.649 20 0 DDADMM CCC(CC)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001011502823 754564103 /nfs/dbraw/zinc/56/41/03/754564103.db2.gz MZMYINLEIZBCRH-DGCLKSJQSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CC=CC1 ZINC001011594531 754620487 /nfs/dbraw/zinc/62/04/87/754620487.db2.gz WLBKUWUFGYHQIK-AAEUAGOBSA-N -1 1 315.373 1.473 20 0 DDADMM CC[C@@H](C)C(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079324889 755220627 /nfs/dbraw/zinc/22/06/27/755220627.db2.gz IXUSNCXHHPIBFZ-LLVKDONJSA-N -1 1 305.378 1.506 20 0 DDADMM Cc1ncoc1CN1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001079610653 755473020 /nfs/dbraw/zinc/47/30/20/755473020.db2.gz CSBQVTOUMZLRKL-ZYHUDNBSSA-N -1 1 316.361 1.334 20 0 DDADMM O=C(N[C@]12CCC[C@H]1N(C(=O)C1CC1)CC2)c1ncccc1[O-] ZINC001014260194 755664821 /nfs/dbraw/zinc/66/48/21/755664821.db2.gz QSXUFJIBELEQKW-DYVFJYSZSA-N -1 1 315.373 1.451 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1Nc1nccnc1F ZINC001067077096 755710840 /nfs/dbraw/zinc/71/08/40/755710840.db2.gz XTBQHKKGZDBGJF-VHSXEESVSA-N -1 1 317.324 1.289 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)CC2CC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014689419 755888231 /nfs/dbraw/zinc/88/82/31/755888231.db2.gz PJVDHUYSTFPGBC-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM CC[C@H](F)C(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082024369 756587594 /nfs/dbraw/zinc/58/75/94/756587594.db2.gz SYBCRVDLMUWFKA-RYUDHWBXSA-N -1 1 323.368 1.646 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016875348 757428494 /nfs/dbraw/zinc/42/84/94/757428494.db2.gz OFYMBPVJQAYNIQ-XKGSQUFQSA-N -1 1 303.362 1.162 20 0 DDADMM CCc1ncnc(N[C@@H](C)CNC(=O)c2ncccc2[O-])c1F ZINC001097764098 757509432 /nfs/dbraw/zinc/50/94/32/757509432.db2.gz ASCWDGIXLOHLPJ-VIFPVBQESA-N -1 1 319.340 1.509 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C(C)(C)F ZINC001014893663 758169198 /nfs/dbraw/zinc/16/91/98/758169198.db2.gz MQGRIVAJNYBAED-WDEREUQCSA-N -1 1 323.368 1.645 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001017904609 758369927 /nfs/dbraw/zinc/36/99/27/758369927.db2.gz WKWTXXZICUHOSF-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM CSCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])CC[C@H]1C ZINC001018102210 758548234 /nfs/dbraw/zinc/54/82/34/758548234.db2.gz WOZGWQUVNVVRTH-GHMZBOCLSA-N -1 1 323.418 1.260 20 0 DDADMM O=C(CCCF)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC001066079108 759048774 /nfs/dbraw/zinc/04/87/74/759048774.db2.gz UMCDHNZBQPPPQQ-GFCCVEGCSA-N -1 1 323.368 1.648 20 0 DDADMM C[C@]1(c2ccccc2)C[C@H]1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000828111356 759474754 /nfs/dbraw/zinc/47/47/54/759474754.db2.gz HYLGKUBDCXZVOD-WOSRLPQWSA-N -1 1 313.361 1.077 20 0 DDADMM Cc1cccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)c1 ZINC001054356112 759539366 /nfs/dbraw/zinc/53/93/66/759539366.db2.gz BNTLTEDEOPLSQG-AAEUAGOBSA-N -1 1 315.377 1.069 20 0 DDADMM Cc1cnc(CN[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)o1 ZINC001054592269 759858630 /nfs/dbraw/zinc/85/86/30/759858630.db2.gz YIAKICWIBLLWIH-PWSUYJOCSA-N -1 1 316.361 1.334 20 0 DDADMM O=C(c1ccc(Cl)cc1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019690624 760172105 /nfs/dbraw/zinc/17/21/05/760172105.db2.gz XGZRQBMSCBQCFN-NSHDSACASA-N -1 1 321.768 1.168 20 0 DDADMM C[C@H](C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C)C1CC1 ZINC001020205306 760676810 /nfs/dbraw/zinc/67/68/10/760676810.db2.gz LQOOKJQKSCVJGN-GVXVVHGQSA-N -1 1 317.389 1.553 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@H]3CN(C/C=C\Cl)C[C@H]32)c1[O-] ZINC001050025157 763034450 /nfs/dbraw/zinc/03/44/50/763034450.db2.gz QIBVGVQXWLNPFP-HRHAQSILSA-N -1 1 324.812 1.713 20 0 DDADMM O=C(NC[C@H]1COCCN1CCC1CC1)c1ncccc1[O-] ZINC001050888509 764235967 /nfs/dbraw/zinc/23/59/67/764235967.db2.gz WWJZYGUQVGYMOA-ZDUSSCGKSA-N -1 1 305.378 1.018 20 0 DDADMM CC(C)C(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052422248 765810239 /nfs/dbraw/zinc/81/02/39/765810239.db2.gz QORCUVASKUUDAB-NEPJUHHUSA-N -1 1 305.378 1.268 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)c1ncncc1Cl ZINC001113575608 765897698 /nfs/dbraw/zinc/89/76/98/765897698.db2.gz ZJPQYPSNAWFHSO-SECBINFHSA-N -1 1 321.768 1.485 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nc(Cl)ncc3C3CC3)c2[nH]1 ZINC001170216286 766175483 /nfs/dbraw/zinc/17/54/83/766175483.db2.gz IDCABSXCIPMHOJ-UHFFFAOYSA-N -1 1 319.712 1.780 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001045326039 766222431 /nfs/dbraw/zinc/22/24/31/766222431.db2.gz OUUHAMCYKXHREP-JQWIXIFHSA-N -1 1 307.398 1.027 20 0 DDADMM CCC[C@H](C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648758 768643395 /nfs/dbraw/zinc/64/33/95/768643395.db2.gz WHCVRCZKZSGTIO-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM O=c1nc2nc[nH]c2c(NC(F)(F)c2cnnc(Cl)c2)[n-]1 ZINC001159198377 771051628 /nfs/dbraw/zinc/05/16/28/771051628.db2.gz NQRAOIFHTLKVNN-UHFFFAOYSA-N -1 1 313.655 1.663 20 0 DDADMM CCC(=O)NCC[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001075222037 771471734 /nfs/dbraw/zinc/47/17/34/771471734.db2.gz DDRHXNHIAMXXCD-VIFPVBQESA-N -1 1 306.366 1.094 20 0 DDADMM CCOC(=O)[C@H]1COCCN1Cc1cc(F)c([O-])cc1F ZINC001144659432 772592296 /nfs/dbraw/zinc/59/22/96/772592296.db2.gz IOVWZWZZWADNNA-GFCCVEGCSA-N -1 1 301.289 1.434 20 0 DDADMM CCOc1ncc(NC(=O)c2c([O-])c(C)ncc2CO)cc1F ZINC001147833101 773259768 /nfs/dbraw/zinc/25/97/68/773259768.db2.gz DPWWFFRHTYYMBZ-UHFFFAOYSA-N -1 1 321.308 1.773 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cnn3cc(F)ccc23)c1[O-] ZINC001147835317 773261812 /nfs/dbraw/zinc/26/18/12/773261812.db2.gz DRPBPSMBMVZQHW-UHFFFAOYSA-N -1 1 316.292 1.627 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC3(C[C@H](F)CO3)CC2)c1[O-] ZINC001147838582 773263902 /nfs/dbraw/zinc/26/39/02/773263902.db2.gz TVYSQJPUGXOOHK-LBPRGKRZSA-N -1 1 324.352 1.321 20 0 DDADMM O=C(N[C@H]1COCC[C@@H]1O)c1cccc(-c2ccccc2)c1[O-] ZINC001148871743 773622518 /nfs/dbraw/zinc/62/25/18/773622518.db2.gz DAEDPUBVEBGANM-HOTGVXAUSA-N -1 1 313.353 1.939 20 0 DDADMM CC(=O)N1CCC([C@@H]2N(Cc3n[nH]c(=O)[n-]3)CC23CCC3)CC1 ZINC001093347119 774626932 /nfs/dbraw/zinc/62/69/32/774626932.db2.gz IXIPHGJNKNXTAF-AWEZNQCLSA-N -1 1 319.409 1.123 20 0 DDADMM CC1(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001036793093 774641236 /nfs/dbraw/zinc/64/12/36/774641236.db2.gz YNUCKMFWBTYECB-RYUDHWBXSA-N -1 1 319.409 1.123 20 0 DDADMM COC(=O)c1cc2ncnc([N-]c3nccnc3CN)c2s1 ZINC001171445179 775874692 /nfs/dbraw/zinc/87/46/92/775874692.db2.gz WXQUNPNZTXPXPH-UHFFFAOYSA-N -1 1 316.346 1.470 20 0 DDADMM NCc1nccnc1[N-]c1nc2ccc(Br)cn2n1 ZINC001171450111 776155346 /nfs/dbraw/zinc/15/53/46/776155346.db2.gz UJIYPZPZRPXINK-UHFFFAOYSA-N -1 1 320.154 1.484 20 0 DDADMM CN(C)c1nc(Nc2cccc(C(N)=O)c2)c(N=O)c(=O)[n-]1 ZINC001174058177 777396817 /nfs/dbraw/zinc/39/68/17/777396817.db2.gz ZOGFBGDRYRAIGD-UHFFFAOYSA-N -1 1 302.294 1.489 20 0 DDADMM CC(=O)NCc1cccc([N-]S(=O)(=O)c2ccccc2N)c1 ZINC001174369688 777468198 /nfs/dbraw/zinc/46/81/98/777468198.db2.gz XCZTVLWLWIJMFQ-UHFFFAOYSA-N -1 1 319.386 1.706 20 0 DDADMM CNc1ncc2cc(Nc3cc(=O)[n-]c(N(C)C)n3)ccc2n1 ZINC001174531164 777499887 /nfs/dbraw/zinc/49/98/87/777499887.db2.gz MVGQNRRVZDGMIR-UHFFFAOYSA-N -1 1 311.349 1.977 20 0 DDADMM Nc1cncc(S(=O)(=O)Nc2ccc(F)c(C(=O)[O-])c2)c1 ZINC001175221288 777720079 /nfs/dbraw/zinc/72/00/79/777720079.db2.gz XSTIVNVZNPUEIB-UHFFFAOYSA-N -1 1 311.294 1.302 20 0 DDADMM CCCC1(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CC1 ZINC001102342565 778080108 /nfs/dbraw/zinc/08/01/08/778080108.db2.gz NFPBKODCPATLFZ-VXGBXAGGSA-N -1 1 321.425 1.275 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc2[nH]c(=O)oc2c1 ZINC001176594571 778177600 /nfs/dbraw/zinc/17/76/00/778177600.db2.gz QWYDISCGOVOVOP-UHFFFAOYSA-N -1 1 316.321 1.345 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cccnc1N1CCCC1 ZINC001176749947 778228184 /nfs/dbraw/zinc/22/81/84/778228184.db2.gz OWBRFGZWFKUEOQ-UHFFFAOYSA-N -1 1 304.379 1.792 20 0 DDADMM COc1cc(CC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)ccc1F ZINC001180428613 779757390 /nfs/dbraw/zinc/75/73/90/779757390.db2.gz XBRHBKXENTWJNJ-UHFFFAOYSA-N -1 1 317.280 1.387 20 0 DDADMM COC(=O)CN(CC(C)C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001117795590 780901080 /nfs/dbraw/zinc/90/10/80/780901080.db2.gz VXITVDYPDVJYTD-UHFFFAOYSA-N -1 1 322.390 1.289 20 0 DDADMM CC(C)ONC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC001117817117 780904191 /nfs/dbraw/zinc/90/41/91/780904191.db2.gz UIBGLJRMTQEYSG-UHFFFAOYSA-N -1 1 304.368 1.426 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)NC[C@@H]1C=CCC1 ZINC001119898454 781672357 /nfs/dbraw/zinc/67/23/57/781672357.db2.gz ZJGUQUQLCNZCAM-SNVBAGLBSA-N -1 1 324.327 1.412 20 0 DDADMM CC[C@@H](C)CO[N-]C(=O)CC1(O)CN(C(=O)OC(C)(C)C)C1 ZINC001120287791 781852773 /nfs/dbraw/zinc/85/27/73/781852773.db2.gz AXZDIFVNRHWDOK-LLVKDONJSA-N -1 1 316.398 1.452 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2nccs2)sn1 ZINC001423658220 844433564 /nfs/dbraw/zinc/43/35/64/844433564.db2.gz VNDCEZASIGQWEN-UHFFFAOYSA-N -1 1 305.406 1.129 20 0 DDADMM CCC(C)(C)C(=O)NC1CN(CCC[N-]C(=O)C(F)(F)F)C1 ZINC001268444794 840199511 /nfs/dbraw/zinc/19/95/11/840199511.db2.gz CWNYWGYDTHDTSS-UHFFFAOYSA-N -1 1 323.359 1.292 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cc(C)cc(C)c1 ZINC001268494543 840303762 /nfs/dbraw/zinc/30/37/62/840303762.db2.gz WWNRYYKIAYQMCR-UHFFFAOYSA-N -1 1 317.393 1.379 20 0 DDADMM CCCCN1CC[C@]2(CCCN2C(=O)c2ccc([O-])cn2)C1=O ZINC001269354218 841556517 /nfs/dbraw/zinc/55/65/17/841556517.db2.gz CWFPMYIXSAGSCY-QGZVFWFLSA-N -1 1 317.389 1.794 20 0 DDADMM COc1cccc(CC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001142841860 861280425 /nfs/dbraw/zinc/28/04/25/861280425.db2.gz LZTBUSDOFICLNI-UHFFFAOYSA-N -1 1 304.262 1.476 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCCC[C@H]2C)[n-]n1 ZINC001414212355 842294305 /nfs/dbraw/zinc/29/43/05/842294305.db2.gz ZWXLSAUCYUABCU-NXEZZACHSA-N -1 1 315.395 1.301 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCCC[C@H]2C)n[n-]1 ZINC001414212355 842294317 /nfs/dbraw/zinc/29/43/17/842294317.db2.gz ZWXLSAUCYUABCU-NXEZZACHSA-N -1 1 315.395 1.301 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H](C)C1CC1 ZINC001409261174 844725212 /nfs/dbraw/zinc/72/52/12/844725212.db2.gz FDRGYODNZLPDCH-JQWIXIFHSA-N -1 1 305.378 1.458 20 0 DDADMM CO[C@H](C)C(=O)NC[C@@H](CC(C)C)NC(=O)c1ncccc1[O-] ZINC001409769443 845690027 /nfs/dbraw/zinc/69/00/27/845690027.db2.gz HTBBNNXUMKBEHU-VXGBXAGGSA-N -1 1 323.393 1.083 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc4cc(O)ccc4[nH]3)c1-2 ZINC001149495849 861656577 /nfs/dbraw/zinc/65/65/77/861656577.db2.gz YFICBGGDMUAELK-UHFFFAOYSA-N -1 1 308.301 1.474 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1sccc1F ZINC001149502060 861662731 /nfs/dbraw/zinc/66/27/31/861662731.db2.gz YWWHRGKXVWGBHK-JTQLQIEISA-N -1 1 303.322 1.920 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cncc(Cl)c1)c1noc(C)n1 ZINC001365679792 846380885 /nfs/dbraw/zinc/38/08/85/846380885.db2.gz NZOBOWPAHWNAKT-SNVBAGLBSA-N -1 1 316.770 1.856 20 0 DDADMM COC[C@@H](NC(=O)c1ccc2nc(C)sc2c1)c1nn[n-]n1 ZINC001155067747 861698761 /nfs/dbraw/zinc/69/87/61/861698761.db2.gz BQFJAZZYYCYUAP-SNVBAGLBSA-N -1 1 318.362 1.235 20 0 DDADMM C[C@H]1CN(C)C(=O)CN1C(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149651793 861784295 /nfs/dbraw/zinc/78/42/95/861784295.db2.gz VIMAKNIGKWRODG-JTQLQIEISA-N -1 1 314.341 1.554 20 0 DDADMM O=C(NC1CN(C2COC2)C1)c1cc2cccc(O)c2cc1[O-] ZINC001149656488 861787901 /nfs/dbraw/zinc/78/79/01/861787901.db2.gz KDYFXNHUODKBAK-UHFFFAOYSA-N -1 1 314.341 1.064 20 0 DDADMM CC[C@@H](F)CN1CCCC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001034169083 848064650 /nfs/dbraw/zinc/06/46/50/848064650.db2.gz JFIMXXVFDVFPRE-NEPJUHHUSA-N -1 1 312.389 1.756 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)N[C@@H]1CCCC[N@@H+](C/C=C/Cl)C1 ZINC001034365351 848420287 /nfs/dbraw/zinc/42/02/87/848420287.db2.gz UWJMOAXCPCLWSZ-FVOPLDGLSA-N -1 1 324.812 1.827 20 0 DDADMM CC[C@H](C)N1C[C@@]2(CC[N@@H+](Cc3ncccc3O)C2)OCC1=O ZINC001273325230 849536572 /nfs/dbraw/zinc/53/65/72/849536572.db2.gz JAEZKFAQNWATJI-GUYCJALGSA-N -1 1 319.405 1.389 20 0 DDADMM CO[C@@H]1CC[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)C1 ZINC001327549300 862264852 /nfs/dbraw/zinc/26/48/52/862264852.db2.gz DHCCTYISOTWTQL-QWHCGFSZSA-N -1 1 305.330 1.301 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc2cc(O)ccc2o1 ZINC001155673802 862366631 /nfs/dbraw/zinc/36/66/31/862366631.db2.gz DFZYVKVQCYQMMX-UHFFFAOYSA-N -1 1 311.257 1.763 20 0 DDADMM CN1CC2(C1)CN(C(=O)c1cc([O-])c(Cl)c(F)c1F)C2 ZINC001275330376 852987135 /nfs/dbraw/zinc/98/71/35/852987135.db2.gz JFINKQFIHRXTFA-UHFFFAOYSA-N -1 1 302.708 1.711 20 0 DDADMM NC(=O)[C@H]1CCC[C@]12CCN(C(=O)c1cc(F)ccc1[O-])C2 ZINC001275360677 853015048 /nfs/dbraw/zinc/01/50/48/853015048.db2.gz GSMCOPUVVJBEJE-MLGOLLRUSA-N -1 1 306.337 1.649 20 0 DDADMM CC(C)(C)NC(=O)[C@H]1CC12CN(C(=O)c1ccc([O-])cc1F)C2 ZINC001275399506 853065398 /nfs/dbraw/zinc/06/53/98/853065398.db2.gz SCVNFYVZICUGFK-GFCCVEGCSA-N -1 1 320.364 1.908 20 0 DDADMM NC(=O)c1ccc2c(n1)CCN(C(=O)c1ccc(F)c([O-])c1)C2 ZINC001275408581 853081626 /nfs/dbraw/zinc/08/16/26/853081626.db2.gz QESNUFDNIXPGAM-UHFFFAOYSA-N -1 1 315.304 1.224 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](C)[C@@H](C)NC(=O)c2cnn[nH]2)cc1C ZINC001411438302 853116601 /nfs/dbraw/zinc/11/66/01/853116601.db2.gz YVTWYQVJNMGNGV-NWDGAFQWSA-N -1 1 315.377 1.358 20 0 DDADMM NC(=O)C[C@H]1C[C@@H]2CN(C(=O)c3c([O-])cccc3Cl)C[C@@H]2O1 ZINC001275466752 853162910 /nfs/dbraw/zinc/16/29/10/853162910.db2.gz QRKHXNZWPVYRBE-LNLATYFQSA-N -1 1 324.764 1.151 20 0 DDADMM NC(=O)C1=CC2(CC1)CCN(C(=O)c1cncc([O-])c1)CC2 ZINC001275623144 853448847 /nfs/dbraw/zinc/44/88/47/853448847.db2.gz JZAURPNFRNYZKF-UHFFFAOYSA-N -1 1 301.346 1.215 20 0 DDADMM CC(C)(C)NC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1cncc([O-])c1 ZINC001275623796 853450132 /nfs/dbraw/zinc/45/01/32/853450132.db2.gz OTZMSBGNLCYWGE-MEDUHNTESA-N -1 1 303.362 1.307 20 0 DDADMM CN(C)C(=O)C1(CNC(=O)c2cccc([O-])c2F)CCCC1 ZINC001411787632 853736472 /nfs/dbraw/zinc/73/64/72/853736472.db2.gz WNKYIFPHFVRZDW-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM CSc1ncc(C(=O)N2CCC([C@@H]3CCCO3)CC2)c(=O)[n-]1 ZINC001412032627 854134947 /nfs/dbraw/zinc/13/49/47/854134947.db2.gz RRDMCYWVNRMKGB-LBPRGKRZSA-N -1 1 323.418 1.935 20 0 DDADMM CN(Cc1nc[nH]n1)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001412065628 854175931 /nfs/dbraw/zinc/17/59/31/854175931.db2.gz MANPANZXYYXIGX-UHFFFAOYSA-N -1 1 300.240 1.801 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cnc2nccn2c1 ZINC001155907637 862548687 /nfs/dbraw/zinc/54/86/87/862548687.db2.gz NUIBDUAORRUOIL-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM CC(C)CCC(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001412182987 854307576 /nfs/dbraw/zinc/30/75/76/854307576.db2.gz RKWBKHVOOLVZIG-JOCQHMNTSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1nc(CNC(=O)c2cc(F)ccc2[O-])sc1C(N)=O ZINC001412289342 854409816 /nfs/dbraw/zinc/40/98/16/854409816.db2.gz UXAXBAYNCIHLSA-UHFFFAOYSA-N -1 1 309.322 1.325 20 0 DDADMM Cc1ccccc1COCC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001412378199 854488443 /nfs/dbraw/zinc/48/84/43/854488443.db2.gz WCBNPYFLZCKQGO-UHFFFAOYSA-N -1 1 303.318 1.029 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc2c(cn1)OCCC2 ZINC001412525787 854659023 /nfs/dbraw/zinc/65/90/23/854659023.db2.gz FXFOQLTWJOOGFR-UHFFFAOYSA-N -1 1 316.365 1.218 20 0 DDADMM Cc1ccn(CC(=O)NCc2ccc([O-])c(Cl)c2)c(=O)c1 ZINC001412556909 854720072 /nfs/dbraw/zinc/72/00/72/854720072.db2.gz PIDROLLXSQXPCC-UHFFFAOYSA-N -1 1 306.749 1.832 20 0 DDADMM CCO[C@H](CC)C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001412575158 854744688 /nfs/dbraw/zinc/74/46/88/854744688.db2.gz ZZWZOSJOJCDDID-GFCCVEGCSA-N -1 1 305.338 1.532 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@@H]1C[C@@H]1C(C)C ZINC001412584509 854755834 /nfs/dbraw/zinc/75/58/34/854755834.db2.gz HXJKRTANFQURTM-UTUOFQBUSA-N -1 1 320.393 1.937 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@@H]1C[C@@H]1C(C)C ZINC001412584509 854755839 /nfs/dbraw/zinc/75/58/39/854755839.db2.gz HXJKRTANFQURTM-UTUOFQBUSA-N -1 1 320.393 1.937 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@@H]1C[C@@H]1C(C)C ZINC001412584509 854755844 /nfs/dbraw/zinc/75/58/44/854755844.db2.gz HXJKRTANFQURTM-UTUOFQBUSA-N -1 1 320.393 1.937 20 0 DDADMM COC[C@@H](NC(=O)Cc1c(F)cccc1Cl)c1nn[n-]n1 ZINC001412597672 854789292 /nfs/dbraw/zinc/78/92/92/854789292.db2.gz TVJKAFVSTHDHOG-SNVBAGLBSA-N -1 1 313.720 1.039 20 0 DDADMM CC[C@@H](Sc1ccccc1)C(=O)N[C@@H](COC)c1nn[n-]n1 ZINC001412600517 854791452 /nfs/dbraw/zinc/79/14/52/854791452.db2.gz DVBHIXOZXYTCJY-NWDGAFQWSA-N -1 1 321.406 1.574 20 0 DDADMM COc1cnc(C2(NC(=O)c3ccn[nH]3)CCCC2)[n-]c1=O ZINC001412702001 854983715 /nfs/dbraw/zinc/98/37/15/854983715.db2.gz IGPOPCONYNKYJW-UHFFFAOYSA-N -1 1 303.322 1.113 20 0 DDADMM Cc1sc(Cl)nc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC001412790959 855272796 /nfs/dbraw/zinc/27/27/96/855272796.db2.gz DRTKMVOZJUFXCA-RXMQYKEDSA-N -1 1 300.775 1.494 20 0 DDADMM CO[C@@H]1C[C@H]1C(=O)Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1 ZINC001412843099 855718513 /nfs/dbraw/zinc/71/85/13/855718513.db2.gz UNHIJNAZVDKIPM-GMSGAONNSA-N -1 1 308.725 1.804 20 0 DDADMM CO[C@@H]1C[C@H]1C(=O)Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1 ZINC001412843099 855718521 /nfs/dbraw/zinc/71/85/21/855718521.db2.gz UNHIJNAZVDKIPM-GMSGAONNSA-N -1 1 308.725 1.804 20 0 DDADMM CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)c2ccc([O-])cn2)C1 ZINC001412861594 855734214 /nfs/dbraw/zinc/73/42/14/855734214.db2.gz YDWACUJRCONGKT-RYUDHWBXSA-N -1 1 321.377 1.774 20 0 DDADMM CCC(CC)(NC(=O)c1cnn(C(C)C)c1C)c1nn[n-]n1 ZINC001412931427 855796230 /nfs/dbraw/zinc/79/62/30/855796230.db2.gz COGHYMOEJOAYMV-UHFFFAOYSA-N -1 1 305.386 1.731 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1(C(F)F)CCCC1 ZINC001413047481 856095712 /nfs/dbraw/zinc/09/57/12/856095712.db2.gz HIFIIWZZGINCAX-UHFFFAOYSA-N -1 1 303.265 1.204 20 0 DDADMM CC(C)CC(=O)N[C@H]1C[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001413086269 856391538 /nfs/dbraw/zinc/39/15/38/856391538.db2.gz PABIESUUBSIPEP-RYUDHWBXSA-N -1 1 305.378 1.553 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)CCCF ZINC001413128804 856453828 /nfs/dbraw/zinc/45/38/28/856453828.db2.gz CNNVXPDIXSYHBM-RYUDHWBXSA-N -1 1 323.368 1.646 20 0 DDADMM CC1=NO[C@@H](C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)C1 ZINC001413191633 856533853 /nfs/dbraw/zinc/53/38/53/856533853.db2.gz FINCTPQMIBHHCA-LPEHRKFASA-N -1 1 321.299 1.209 20 0 DDADMM CCCNC(=O)c1cccnc1NCC[N-]C(=O)C(F)(F)F ZINC001156205839 862816290 /nfs/dbraw/zinc/81/62/90/862816290.db2.gz SOAWKZKWQYWTQW-UHFFFAOYSA-N -1 1 318.299 1.312 20 0 DDADMM CCCC[C@@H](COC)[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001413296768 856619353 /nfs/dbraw/zinc/61/93/53/856619353.db2.gz BWVXSROKVSDXTF-VIFPVBQESA-N -1 1 308.425 1.635 20 0 DDADMM NS(=O)(=O)c1cc([N-]C(=O)c2cc(C3CC3)no2)cs1 ZINC001413442062 856814771 /nfs/dbraw/zinc/81/47/71/856814771.db2.gz ZFRXDAYVGDZZKP-UHFFFAOYSA-N -1 1 313.360 1.513 20 0 DDADMM CCc1nnc(C)cc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001413502518 856897649 /nfs/dbraw/zinc/89/76/49/856897649.db2.gz JCLBDKZPVHBGON-UHFFFAOYSA-N -1 1 303.370 1.159 20 0 DDADMM CC1(NC(=O)c2ncccc2[O-])CCN(C(=O)CCCF)CC1 ZINC001073195496 858173187 /nfs/dbraw/zinc/17/31/87/858173187.db2.gz LVLLZAQADLVKGJ-UHFFFAOYSA-N -1 1 323.368 1.648 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3n[nH]cc3c2F)n1 ZINC001151833049 862994498 /nfs/dbraw/zinc/99/44/98/862994498.db2.gz YXSHVLSVFWAKHM-UHFFFAOYSA-N -1 1 317.280 1.854 20 0 DDADMM COc1cc(CNC(=O)c2cnncc2[O-])cc(Cl)c1OC ZINC001413808598 858554962 /nfs/dbraw/zinc/55/49/62/858554962.db2.gz GTEAJAXYCJSFIG-UHFFFAOYSA-N -1 1 323.736 1.783 20 0 DDADMM O=C(Cc1coc2cc(F)ccc12)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123867994 859447755 /nfs/dbraw/zinc/44/77/55/859447755.db2.gz AMCAQQOJKMUNLD-SECBINFHSA-N -1 1 315.308 1.644 20 0 DDADMM O=C([C@@H]1CC1(Cl)Cl)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123998357 859517442 /nfs/dbraw/zinc/51/74/42/859517442.db2.gz BCVBJHHNJMUEGI-YUMQZZPRSA-N -1 1 304.181 1.175 20 0 DDADMM O=C([O-])Cn1cc(CN2C[C@H](F)C[C@@H](CO)C2)c2ccccc21 ZINC001138188824 860037840 /nfs/dbraw/zinc/03/78/40/860037840.db2.gz MLHGTDWQSUIMQW-TZMCWYRMSA-N -1 1 320.364 1.878 20 0 DDADMM CO[C@@H]1C[N@H+](Cc2ncccc2O)C[C@@H]1NC(=O)OC(C)(C)C ZINC001138396895 860095288 /nfs/dbraw/zinc/09/52/88/860095288.db2.gz RBBZAWGTPXSPIT-GXTWGEPZSA-N -1 1 323.393 1.511 20 0 DDADMM COc1cccc(C[N@H+]2CC[C@]3(CCCO3)C2)c1OCC(=O)[O-] ZINC001139267962 860341753 /nfs/dbraw/zinc/34/17/53/860341753.db2.gz IXZZTMAYITTWBV-QGZVFWFLSA-N -1 1 321.373 1.914 20 0 DDADMM COc1cccc(CN2CC[C@]3(CCCO3)C2)c1OCC(=O)[O-] ZINC001139267962 860341759 /nfs/dbraw/zinc/34/17/59/860341759.db2.gz IXZZTMAYITTWBV-QGZVFWFLSA-N -1 1 321.373 1.914 20 0 DDADMM Cc1[nH]c(CN2CCC(NC(=O)N(C)C)CC2)c(C)c1C(=O)[O-] ZINC001140497525 860645502 /nfs/dbraw/zinc/64/55/02/860645502.db2.gz CDCKJVHTGWIORC-UHFFFAOYSA-N -1 1 322.409 1.565 20 0 DDADMM Cc1nc(SCC(=O)Nc2ccc([O-])c(F)c2F)n[nH]1 ZINC001140652197 860666986 /nfs/dbraw/zinc/66/69/86/860666986.db2.gz IDAZIWZFLQEJHO-UHFFFAOYSA-N -1 1 300.290 1.828 20 0 DDADMM COC(=O)N1CCCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001140888340 860724844 /nfs/dbraw/zinc/72/48/44/860724844.db2.gz PJJKWRSNVBUGAA-UHFFFAOYSA-N -1 1 300.305 1.945 20 0 DDADMM CCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(NC(C)=O)cc2)C1 ZINC001141288978 860819724 /nfs/dbraw/zinc/81/97/24/860819724.db2.gz LTDCZYYZDAQMSM-NSHDSACASA-N -1 1 305.334 1.066 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1nc2ccccn2c1F ZINC001154245413 860915269 /nfs/dbraw/zinc/91/52/69/860915269.db2.gz WWMSTYVZDCNCSE-UHFFFAOYSA-N -1 1 318.224 1.325 20 0 DDADMM CN(C)c1nc(NC(=O)COc2cccnc2)c(N=O)c(=O)[n-]1 ZINC001142304441 861111561 /nfs/dbraw/zinc/11/15/61/861111561.db2.gz DZPPIJJTTTYBEA-UHFFFAOYSA-N -1 1 318.293 1.059 20 0 DDADMM Cc1nc2ccc(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)cc2o1 ZINC001152121618 863177597 /nfs/dbraw/zinc/17/75/97/863177597.db2.gz DBROEVDHYSENGI-UHFFFAOYSA-N -1 1 310.273 1.760 20 0 DDADMM CC1=CCCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001329966581 863978651 /nfs/dbraw/zinc/97/86/51/863978651.db2.gz MXVRUNUTERMBDA-UHFFFAOYSA-N -1 1 304.350 1.117 20 0 DDADMM O=C(NCc1cnc2[nH]ccc2c1)c1c[n-]c2cccnc2c1=O ZINC001153859898 864165434 /nfs/dbraw/zinc/16/54/34/864165434.db2.gz AMSJRDJUYXIRJR-UHFFFAOYSA-N -1 1 319.324 1.729 20 0 DDADMM O=C(NCc1n[nH]c2ccccc12)c1c[n-]c2cccnc2c1=O ZINC001153860293 864168248 /nfs/dbraw/zinc/16/82/48/864168248.db2.gz LDUZPSCELVWMSG-UHFFFAOYSA-N -1 1 319.324 1.729 20 0 DDADMM C[C@@H](NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1)C1CCC1 ZINC001330629019 864497229 /nfs/dbraw/zinc/49/72/29/864497229.db2.gz SWSIPYJUFIGWSZ-SECBINFHSA-N -1 1 310.781 1.967 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc(Cl)nc4c3CCC4)c2[nH]1 ZINC001159185388 865151561 /nfs/dbraw/zinc/15/15/61/865151561.db2.gz GRTCJXLTDIERES-UHFFFAOYSA-N -1 1 318.724 1.996 20 0 DDADMM CCn1ncnc1CNCCc1ccc(OCC(=O)[O-])cc1 ZINC001331961948 865463905 /nfs/dbraw/zinc/46/39/05/865463905.db2.gz BARCXNLPADOEIN-UHFFFAOYSA-N -1 1 304.350 1.094 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@@H]1Nc1nc(C)cc2c1C(=O)[N-]C2=O ZINC001159835497 865552695 /nfs/dbraw/zinc/55/26/95/865552695.db2.gz DYOSLFJALDHMTL-ONGXEEELSA-N -1 1 317.345 1.417 20 0 DDADMM CSc1cc(Cl)ncc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160848536 866148240 /nfs/dbraw/zinc/14/82/40/866148240.db2.gz KFJIUDIWWBAFIY-ZETCQYMHSA-N -1 1 316.795 1.404 20 0 DDADMM CN(Cc1ccc(C(N)=O)cc1)C(=O)C(=O)c1ccc([O-])cc1 ZINC001323508839 866651747 /nfs/dbraw/zinc/65/17/47/866651747.db2.gz LUKAXWDSCVXZHZ-UHFFFAOYSA-N -1 1 312.325 1.332 20 0 DDADMM O=C([O-])C1(C(=O)N2CCC[C@H](N3CCOCC3)CC2)CCCC1 ZINC001333370262 866678926 /nfs/dbraw/zinc/67/89/26/866678926.db2.gz OWRCRGWEPGOLQG-AWEZNQCLSA-N -1 1 324.421 1.345 20 0 DDADMM CCOCCCC(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001334158750 867339691 /nfs/dbraw/zinc/33/96/91/867339691.db2.gz PKWLSKLTXDCOSF-LBPRGKRZSA-N -1 1 323.393 1.181 20 0 DDADMM [O-]c1cc(F)c(CCNC(=S)NC[C@H]2CCOC2)c(F)c1 ZINC001334397624 867539907 /nfs/dbraw/zinc/53/99/07/867539907.db2.gz OBVTUDJHWQNASF-SECBINFHSA-N -1 1 316.373 1.714 20 0 DDADMM COc1nccc(CNCCSC[C@@H](C)C(=O)[O-])c1F ZINC001334408027 867548683 /nfs/dbraw/zinc/54/86/83/867548683.db2.gz QNUNILRKHIYOLQ-SECBINFHSA-N -1 1 302.371 1.773 20 0 DDADMM O=C([N-]CCNc1ccc2c(c1)CS(=O)(=O)N2)C(F)(F)F ZINC001164243804 869050109 /nfs/dbraw/zinc/05/01/09/869050109.db2.gz GTDIXVPXRJBTNR-UHFFFAOYSA-N -1 1 323.296 1.032 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@]1(C)C=CCC1 ZINC001337137004 869343078 /nfs/dbraw/zinc/34/30/78/869343078.db2.gz YRNUJMSGHVBXIS-YVEFUNNKSA-N -1 1 317.389 1.720 20 0 DDADMM CCOC(=O)[C@H](Oc1[n-]c(=S)ncc1OC)C(F)(F)F ZINC001226244487 882302529 /nfs/dbraw/zinc/30/25/29/882302529.db2.gz BSMTYZHUBFRPCN-LURJTMIESA-N -1 1 312.269 1.647 20 0 DDADMM Cn1cc(-c2nn(C)cc2NC(=O)c2ccc(F)c([O-])c2)cn1 ZINC001297492200 870074381 /nfs/dbraw/zinc/07/43/81/870074381.db2.gz COFCXTHHUWAEPZ-UHFFFAOYSA-N -1 1 315.308 1.918 20 0 DDADMM CC(C)=C(C)CC(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001317026454 870134839 /nfs/dbraw/zinc/13/48/39/870134839.db2.gz MHEJTFLIBQKLQV-GFCCVEGCSA-N -1 1 307.398 1.241 20 0 DDADMM O=C(c1cnncc1[O-])N(C[C@@H]1CCCO1)[C@@H]1CCSC1 ZINC001361798104 882394405 /nfs/dbraw/zinc/39/44/05/882394405.db2.gz KKPANWGNWGXCJG-MNOVXSKESA-N -1 1 309.391 1.309 20 0 DDADMM Cc1cc(C)c(N2CCC([N-]C(=O)C(F)(F)F)CC2)nn1 ZINC001166895955 870347470 /nfs/dbraw/zinc/34/74/70/870347470.db2.gz CGLTUNNWHDNTSK-UHFFFAOYSA-N -1 1 302.300 1.741 20 0 DDADMM O=C(NC/C=C/CNC(=O)[C@H]1CC12CCC2)c1ncccc1[O-] ZINC001298356780 870498065 /nfs/dbraw/zinc/49/80/65/870498065.db2.gz MPVMWFIAEPZQRS-YYRQZUAOSA-N -1 1 315.373 1.380 20 0 DDADMM Cc1cc(=O)oc2cc([O-])cc(OC[C@@H]3OCCN(C)C3=O)c12 ZINC001226485468 882461411 /nfs/dbraw/zinc/46/14/11/882461411.db2.gz LQGOPHXGSFUKDH-ZDUSSCGKSA-N -1 1 319.313 1.043 20 0 DDADMM CN(OCC(F)(F)F)C(=O)CNC(=O)c1cccc([O-])c1F ZINC001301101908 870973585 /nfs/dbraw/zinc/97/35/85/870973585.db2.gz OXOUNUNKXJZJDE-UHFFFAOYSA-N -1 1 324.230 1.213 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N3CCOCN3)c2)o1 ZINC001303807971 871158512 /nfs/dbraw/zinc/15/85/12/871158512.db2.gz YUKHPZLAGRMLAA-UHFFFAOYSA-N -1 1 302.286 1.399 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1Cc2ccccc2[C@@H]1C ZINC001341052916 871481635 /nfs/dbraw/zinc/48/16/35/871481635.db2.gz VNXXCQWDRAQUJW-JTQLQIEISA-N -1 1 310.365 1.483 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1Cc2ccccc2[C@@H]1C ZINC001341052916 871481644 /nfs/dbraw/zinc/48/16/44/871481644.db2.gz VNXXCQWDRAQUJW-JTQLQIEISA-N -1 1 310.365 1.483 20 0 DDADMM C[C@@]1(C(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C=CCC1 ZINC001317796806 871514653 /nfs/dbraw/zinc/51/46/53/871514653.db2.gz GHMMFKMVNYLRIN-BLLLJJGKSA-N -1 1 319.409 1.195 20 0 DDADMM CCn1c(-c2cc(Cl)ncc2[O-])nnc1N1CCO[C@@H](C)C1 ZINC001341184962 871546214 /nfs/dbraw/zinc/54/62/14/871546214.db2.gz FPXROSXKBZJBEM-VIFPVBQESA-N -1 1 323.784 1.944 20 0 DDADMM CC[C@H](O)CC[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001341701030 871782108 /nfs/dbraw/zinc/78/21/08/871782108.db2.gz VQFQOMNOQGZDOE-ZETCQYMHSA-N -1 1 313.206 1.828 20 0 DDADMM CC(C)(CC(=O)NCc1nc(C[NH2+]CCF)cc(=O)[nH]1)C1CC1 ZINC001316715721 871855332 /nfs/dbraw/zinc/85/53/32/871855332.db2.gz OABMCANHGNKKDW-UHFFFAOYSA-N -1 1 324.400 1.684 20 0 DDADMM CCn1c(-c2cc(Cl)ncc2[O-])nnc1N1CC(C)(OC)C1 ZINC001342836868 872407228 /nfs/dbraw/zinc/40/72/28/872407228.db2.gz WWAWXEJXJVRGLE-UHFFFAOYSA-N -1 1 323.784 1.944 20 0 DDADMM CCOC(=O)CC(=O)CSCC(=O)c1ccc([O-])cc1O ZINC001319516830 872672256 /nfs/dbraw/zinc/67/22/56/872672256.db2.gz CRLVOWSYZJJGBF-UHFFFAOYSA-N -1 1 312.343 1.536 20 0 DDADMM CCC(=O)Nc1ccc(C)c(NC(=O)CCc2nn[n-]n2)c1 ZINC001361938273 882675154 /nfs/dbraw/zinc/67/51/54/882675154.db2.gz WWNVAFBQUQWRMD-UHFFFAOYSA-N -1 1 302.338 1.428 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2CCO[C@H]2C)[n-]c1Cl ZINC001361964795 882723873 /nfs/dbraw/zinc/72/38/73/882723873.db2.gz UTKPNYASMQJYDR-NKWVEPMBSA-N -1 1 301.730 1.603 20 0 DDADMM O=C(c1ccccn1)[C@@H](Oc1cnc[n-]c1=O)c1ccccn1 ZINC001227049073 882793198 /nfs/dbraw/zinc/79/31/98/882793198.db2.gz QAIZMVOLHTZKKX-HNNXBMFYSA-N -1 1 308.297 1.975 20 0 DDADMM O=C(c1cc2ccncc2s1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347473334 874154944 /nfs/dbraw/zinc/15/49/44/874154944.db2.gz GVIRJMBQXNRFHN-SECBINFHSA-N -1 1 300.347 1.439 20 0 DDADMM O=C(c1cc2cc(F)ccc2o1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347973451 874323500 /nfs/dbraw/zinc/32/35/00/874323500.db2.gz DKSVQJALXVEHHU-QMMMGPOBSA-N -1 1 301.281 1.715 20 0 DDADMM C[C@@H](C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001227092990 882824319 /nfs/dbraw/zinc/82/43/19/882824319.db2.gz XQRSFAZVZMZQRY-QJPTWQEYSA-N -1 1 323.441 1.710 20 0 DDADMM Oc1cccnc1C[N@H+]1C[C@@H]2OCCN(Cc3ccc[nH]3)[C@H]2C1 ZINC001276591825 874498263 /nfs/dbraw/zinc/49/82/63/874498263.db2.gz QLGSRPSQJCRCSK-RDJZCZTQSA-N -1 1 314.389 1.201 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001378397864 874810213 /nfs/dbraw/zinc/81/02/13/874810213.db2.gz IVAAYHNMPAYKQP-NSHDSACASA-N -1 1 321.425 1.465 20 0 DDADMM CCOC(=O)[C@@H](Oc1cc([O-])ccc1C(=O)COC)C1CC1 ZINC001227169924 882889738 /nfs/dbraw/zinc/88/97/38/882889738.db2.gz AOHIWJALPUZGIH-HNNXBMFYSA-N -1 1 308.330 1.942 20 0 DDADMM CC(C)C[C@H](C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210367982 875349487 /nfs/dbraw/zinc/34/94/87/875349487.db2.gz FKVQJTLBCQZPDH-RYUDHWBXSA-N -1 1 309.414 1.273 20 0 DDADMM CN1CCN(c2ccc(NC(=O)c3ccncc3[O-])nc2)CC1 ZINC000176768600 876026279 /nfs/dbraw/zinc/02/62/79/876026279.db2.gz HFHGRCBQKIBOKZ-UHFFFAOYSA-N -1 1 313.361 1.186 20 0 DDADMM CCOC(=O)[C@@H](Oc1[n-]c(=S)ncc1F)C(F)(F)F ZINC001227386950 882991245 /nfs/dbraw/zinc/99/12/45/882991245.db2.gz RLXDIJARQRXFQB-RXMQYKEDSA-N -1 1 300.233 1.777 20 0 DDADMM CC(C)CCOC(=O)[C@@H](C)Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1 ZINC001227430506 883012854 /nfs/dbraw/zinc/01/28/54/883012854.db2.gz LJSDQTUQAFRSNH-SSDOTTSWSA-N -1 1 310.310 1.121 20 0 DDADMM CC(C)CCOC(=O)[C@@H](C)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227430506 883012872 /nfs/dbraw/zinc/01/28/72/883012872.db2.gz LJSDQTUQAFRSNH-SSDOTTSWSA-N -1 1 310.310 1.121 20 0 DDADMM COc1cc(C(=O)[N-]c2nnc(-c3ccco3)s2)ncn1 ZINC001351662543 876370422 /nfs/dbraw/zinc/37/04/22/876370422.db2.gz USNROMDPFVOWAM-UHFFFAOYSA-N -1 1 303.303 1.849 20 0 DDADMM COCCC[C@H](C)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC001351855739 876468867 /nfs/dbraw/zinc/46/88/67/876468867.db2.gz BHTHPMTYNSVKLN-NWDGAFQWSA-N -1 1 323.393 1.917 20 0 DDADMM O=C(Cc1cccc2cccnc21)N1CC[C@H](c2nn[n-]n2)C1 ZINC001352595935 876823515 /nfs/dbraw/zinc/82/35/15/876823515.db2.gz IDJCOENKZPZPLX-ZDUSSCGKSA-N -1 1 308.345 1.307 20 0 DDADMM CN(C)C(=O)OCCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001300425854 877607082 /nfs/dbraw/zinc/60/70/82/877607082.db2.gz GHLSZIPMCSFKHZ-UHFFFAOYSA-N -1 1 321.308 1.508 20 0 DDADMM O=C(Nc1ccc(CO)cc1)c1cc(S(=O)(=O)[O-])ccc1O ZINC001219058157 877894733 /nfs/dbraw/zinc/89/47/33/877894733.db2.gz ASDPLDFIXRWPEB-UHFFFAOYSA-N -1 1 323.326 1.384 20 0 DDADMM CN(CCNC(=O)[C@@]1(C)C=CCC1)C(=O)c1ncccc1[O-] ZINC001355827990 878735165 /nfs/dbraw/zinc/73/51/65/878735165.db2.gz URKKKUOKPAXSKG-INIZCTEOSA-N -1 1 303.362 1.332 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNC(=O)C1(C)CC=CC1 ZINC001357017739 879711934 /nfs/dbraw/zinc/71/19/34/879711934.db2.gz AXZRMFAVXDNAFF-GFCCVEGCSA-N -1 1 317.389 1.626 20 0 DDADMM C[C@H](CNC(=O)CCC(F)F)N(C)C(=O)c1ncccc1[O-] ZINC001380822999 880468777 /nfs/dbraw/zinc/46/87/77/880468777.db2.gz SDXHHVRIQJIGCD-SECBINFHSA-N -1 1 315.320 1.409 20 0 DDADMM O=S(=O)([O-])c1ccc(COC2CCN(CCF)CC2)cc1 ZINC001223745110 881083186 /nfs/dbraw/zinc/08/31/86/881083186.db2.gz WLANUEGUAUTOOG-UHFFFAOYSA-N -1 1 317.382 1.884 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)C1(CF)CCC1 ZINC001362164987 883190825 /nfs/dbraw/zinc/19/08/25/883190825.db2.gz KNHXEIVPSZJNIZ-UHFFFAOYSA-N -1 1 305.313 1.857 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H]1NC(=O)c2ccccc21)c1nn[n-]n1 ZINC001362203850 883290524 /nfs/dbraw/zinc/29/05/24/883290524.db2.gz KRUQMPMRDQDKBB-NEPJUHHUSA-N -1 1 314.349 1.032 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CC[C@@H](C(=O)OCC)C1)c1nn[n-]n1 ZINC001362212551 883313806 /nfs/dbraw/zinc/31/38/06/883313806.db2.gz YLZQVDADPOTVED-AXFHLTTASA-N -1 1 309.370 1.137 20 0 DDADMM O=C(NC[C@H](O)COc1cccc(F)c1)c1ccc([O-])cn1 ZINC001362245269 883394839 /nfs/dbraw/zinc/39/48/39/883394839.db2.gz LNTKCEIBHZEHMU-LBPRGKRZSA-N -1 1 306.293 1.096 20 0 DDADMM CCO[C@H]1C[C@H](NC(=O)c2cnc(SC)[n-]c2=O)C12CCC2 ZINC001362311395 883537129 /nfs/dbraw/zinc/53/71/29/883537129.db2.gz RKHLYABIDSGMQC-QWRGUYRKSA-N -1 1 323.418 1.982 20 0 DDADMM CSc1ncc(C(=O)NC[C@](C)(O)c2ccccc2)c(=O)[n-]1 ZINC001362312716 883542114 /nfs/dbraw/zinc/54/21/14/883542114.db2.gz SGJZRQLIZYTDKY-HNNXBMFYSA-N -1 1 319.386 1.542 20 0 DDADMM CCC(=O)c1c(O)cc([O-])cc1O[C@@H]1CCS(=O)(=O)C1 ZINC001228557143 883549805 /nfs/dbraw/zinc/54/98/05/883549805.db2.gz VJOIVWWRSUVLCP-SECBINFHSA-N -1 1 300.332 1.256 20 0 DDADMM CC[C@H](C)CC[C@H](O)CC(=O)NC(CC)(CC)c1nn[n-]n1 ZINC001362428049 883786103 /nfs/dbraw/zinc/78/61/03/883786103.db2.gz VDTKBXFDQXJZFW-RYUDHWBXSA-N -1 1 311.430 1.909 20 0 DDADMM COc1cc2ccc(=O)oc2c(OC[C@@H]2COC(=O)O2)c1[O-] ZINC001229219934 883878812 /nfs/dbraw/zinc/87/88/12/883878812.db2.gz YQNGJWRGMQKEFD-MRVPVSSYSA-N -1 1 308.242 1.421 20 0 DDADMM CCC(CC)(NC(=O)CSCC(F)(F)F)c1nn[n-]n1 ZINC001362496794 883941379 /nfs/dbraw/zinc/94/13/79/883941379.db2.gz NMKNUKBZJOXQNU-UHFFFAOYSA-N -1 1 311.333 1.627 20 0 DDADMM CCCc1ncc(CNC(=O)c2cnc(SC)[n-]c2=O)o1 ZINC001362533203 884024149 /nfs/dbraw/zinc/02/41/49/884024149.db2.gz BCMOYNVNSFEMDR-UHFFFAOYSA-N -1 1 308.363 1.775 20 0 DDADMM CCOc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cn1 ZINC001362562191 884102030 /nfs/dbraw/zinc/10/20/30/884102030.db2.gz LTNMEQXRLPJHNU-NSHDSACASA-N -1 1 302.338 1.013 20 0 DDADMM COC(=O)[C@@H](C)c1cccc(NC(=O)CCc2nn[n-]n2)c1 ZINC001362563149 884104613 /nfs/dbraw/zinc/10/46/13/884104613.db2.gz SSMINRBVBHPPJD-VIFPVBQESA-N -1 1 303.322 1.048 20 0 DDADMM CCOC(=O)C[C@@H](NC(=O)c1cnncc1O)c1ccccc1 ZINC001362603297 884195588 /nfs/dbraw/zinc/19/55/88/884195588.db2.gz LOTFBMYTPFOXMJ-CYBMUJFWSA-N -1 1 315.329 1.607 20 0 DDADMM CCOC(=O)C[C@@H](NC(=O)c1cnncc1[O-])c1ccccc1 ZINC001362603297 884195594 /nfs/dbraw/zinc/19/55/94/884195594.db2.gz LOTFBMYTPFOXMJ-CYBMUJFWSA-N -1 1 315.329 1.607 20 0 DDADMM CC(C)OC(=O)C[C@H]1CCCN(C(=O)CCCc2nn[n-]n2)C1 ZINC001362609230 884212285 /nfs/dbraw/zinc/21/22/85/884212285.db2.gz AJOAJUJMLCQONH-GFCCVEGCSA-N -1 1 323.397 1.103 20 0 DDADMM O=C(NC[C@H](CO)Cc1cccnc1)c1ccc(F)c([O-])c1 ZINC001362623949 884251995 /nfs/dbraw/zinc/25/19/95/884251995.db2.gz HZZOBTWOGFRWHN-GFCCVEGCSA-N -1 1 304.321 1.507 20 0 DDADMM COCCOc1ccc(Cl)cc1C(=O)N(C)c1nn[n-]n1 ZINC000824455354 912814422 /nfs/dbraw/zinc/81/44/22/912814422.db2.gz LLTXUFUQQKQPFK-UHFFFAOYSA-N -1 1 311.729 1.155 20 0 DDADMM COC(=O)c1c[n-]c(O[C@H]2CCN3Cc4ccccc4N=C23)n1 ZINC001230281620 884408404 /nfs/dbraw/zinc/40/84/04/884408404.db2.gz BJVNDEBDHVTBEZ-ZDUSSCGKSA-N -1 1 312.329 1.893 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1CCC(=O)c2cccn21)c1nn[n-]n1 ZINC001362782373 884624305 /nfs/dbraw/zinc/62/43/05/884624305.db2.gz CXXJISWEDALOKW-LLVKDONJSA-N -1 1 316.365 1.351 20 0 DDADMM CCC(CC)(NC(=O)c1cccc2c1O[C@H](C)C2)c1nn[n-]n1 ZINC001362784921 884632193 /nfs/dbraw/zinc/63/21/93/884632193.db2.gz KAACHFKOSGREFE-SNVBAGLBSA-N -1 1 315.377 1.968 20 0 DDADMM CC(C)(C)OC(=O)CCCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001362796415 884662567 /nfs/dbraw/zinc/66/25/67/884662567.db2.gz TVPCSYZQZHTYCV-UHFFFAOYSA-N -1 1 323.397 1.418 20 0 DDADMM COc1cccc([C@H](OC)C(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001362834826 884756446 /nfs/dbraw/zinc/75/64/46/884756446.db2.gz JZKADXFLJJONLG-LBPRGKRZSA-N -1 1 317.349 1.091 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C(C)=O)c[nH]2)cc(=O)[n-]1 ZINC001362849784 884793129 /nfs/dbraw/zinc/79/31/29/884793129.db2.gz AMQZUJIBKWIFFT-UHFFFAOYSA-N -1 1 306.347 1.365 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@H]1CC12CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001374443380 912881278 /nfs/dbraw/zinc/88/12/78/912881278.db2.gz RSGYLRYJWNGJNS-VXGBXAGGSA-N -1 1 321.425 1.321 20 0 DDADMM O=C(c1cnncc1[O-])N1C[C@@H]2CCC[C@]2(C(F)(F)F)C1 ZINC001362922596 884993675 /nfs/dbraw/zinc/99/36/75/884993675.db2.gz PPSYGNTZQUKEAB-UFBFGSQYSA-N -1 1 301.268 1.987 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CC2CC(OCC)C2)n[n-]1 ZINC001362934829 885024114 /nfs/dbraw/zinc/02/41/14/885024114.db2.gz LSAUHWHTEMAPFL-KPPDAEKUSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CC2CC(OCC)C2)[n-]1 ZINC001362934829 885024133 /nfs/dbraw/zinc/02/41/33/885024133.db2.gz LSAUHWHTEMAPFL-KPPDAEKUSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CC2CC(OCC)C2)n1 ZINC001362934829 885024148 /nfs/dbraw/zinc/02/41/48/885024148.db2.gz LSAUHWHTEMAPFL-KPPDAEKUSA-N -1 1 324.381 1.364 20 0 DDADMM COCC1(CC(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)CC1 ZINC001362971266 885117014 /nfs/dbraw/zinc/11/70/14/885117014.db2.gz KDIXARROTQGZCR-UHFFFAOYSA-N -1 1 309.366 1.014 20 0 DDADMM CC(C)(C)OC1CC(CC(=O)NCc2nc([O-])cc(=O)[nH]2)C1 ZINC001363038918 885295828 /nfs/dbraw/zinc/29/58/28/885295828.db2.gz OYGOYSRFDPMZBN-UHFFFAOYSA-N -1 1 309.366 1.488 20 0 DDADMM COc1cccc([C@@H](CNC(=O)CCCc2nn[n-]n2)OC)c1 ZINC001363131513 885544074 /nfs/dbraw/zinc/54/40/74/885544074.db2.gz SYUJLCHNPPSSPW-CYBMUJFWSA-N -1 1 319.365 1.035 20 0 DDADMM CN(C(=O)CC(C)(C)CNC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001363153722 885596685 /nfs/dbraw/zinc/59/66/85/885596685.db2.gz VXGYHTUDPBXFGX-UHFFFAOYSA-N -1 1 312.374 1.104 20 0 DDADMM COC(=O)C1=NO[C@]2(CCN(C(=O)c3cccc([O-])c3F)C2)C1 ZINC001363182116 885660151 /nfs/dbraw/zinc/66/01/51/885660151.db2.gz ZLBHWBPWNAEZIP-OAHLLOKOSA-N -1 1 322.292 1.065 20 0 DDADMM CC[C@@H](C)C(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001383409976 885740388 /nfs/dbraw/zinc/74/03/88/885740388.db2.gz KMTDARHYFRCMMR-VOMCLLRMSA-N -1 1 305.378 1.553 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H](CO)[C@@H]1C ZINC001363445193 886353702 /nfs/dbraw/zinc/35/37/02/886353702.db2.gz XIGUILMEVNSPHN-RYUDHWBXSA-N -1 1 307.394 1.847 20 0 DDADMM O=C(N[C@@H]([C@H]1CCCO1)C1(CO)CCC1)c1ccc([O-])cn1 ZINC001363462457 886405376 /nfs/dbraw/zinc/40/53/76/886405376.db2.gz VAKJVHJATXIONZ-KGLIPLIRSA-N -1 1 306.362 1.227 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(OCC)CCCC2)n[n-]1 ZINC001363472433 886430665 /nfs/dbraw/zinc/43/06/65/886430665.db2.gz DCJJTECHFDXTQU-JTQLQIEISA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(OCC)CCCC2)[n-]1 ZINC001363472433 886430677 /nfs/dbraw/zinc/43/06/77/886430677.db2.gz DCJJTECHFDXTQU-JTQLQIEISA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(OCC)CCCC2)n1 ZINC001363472433 886430684 /nfs/dbraw/zinc/43/06/84/886430684.db2.gz DCJJTECHFDXTQU-JTQLQIEISA-N -1 1 324.381 1.508 20 0 DDADMM Cc1csc(CN(C)CCN(C)C(=O)c2ncccc2[O-])n1 ZINC001374492106 913046088 /nfs/dbraw/zinc/04/60/88/913046088.db2.gz YXEXLGLOHAVJOT-UHFFFAOYSA-N -1 1 320.418 1.756 20 0 DDADMM COc1cc(CCNC(=O)c2cnc(C3CC3)[n-]c2=O)ccn1 ZINC001363515327 886531119 /nfs/dbraw/zinc/53/11/19/886531119.db2.gz ZPWPSLZYHZMJRC-UHFFFAOYSA-N -1 1 314.345 1.436 20 0 DDADMM CC(C)(C)c1nc[nH]c1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363519152 886540855 /nfs/dbraw/zinc/54/08/55/886540855.db2.gz MHDJJXXHAVYELO-UHFFFAOYSA-N -1 1 303.370 1.240 20 0 DDADMM CO[C@H](Cc1ccccc1)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363543963 886594914 /nfs/dbraw/zinc/59/49/14/886594914.db2.gz KTZFLLKGPLGMPH-CQSZACIVSA-N -1 1 317.393 1.442 20 0 DDADMM O=C(NC[C@@H]1CCN(CC(F)F)C1)c1c([O-])cccc1F ZINC001363543844 886596201 /nfs/dbraw/zinc/59/62/01/886596201.db2.gz RFUNLFMHUCZGAU-VIFPVBQESA-N -1 1 302.296 1.848 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CC(N2CCC(F)(F)C2)C1 ZINC001233034049 886739878 /nfs/dbraw/zinc/73/98/78/886739878.db2.gz FEGHANHVDGGXKI-UHFFFAOYSA-N -1 1 303.740 1.966 20 0 DDADMM COc1cnc(C2(NC(=O)c3ocnc3C)CCCC2)[n-]c1=O ZINC001363657693 886903813 /nfs/dbraw/zinc/90/38/13/886903813.db2.gz TWPZHFUWKYGYBR-UHFFFAOYSA-N -1 1 318.333 1.687 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)NC/C=C/Cl ZINC001363678127 886956277 /nfs/dbraw/zinc/95/62/77/886956277.db2.gz LIJBCCLCGQYKKX-DUXPYHPUSA-N -1 1 319.752 1.359 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cc(C)cc(S(C)(=O)=O)c2)c1[O-] ZINC001363703627 887022760 /nfs/dbraw/zinc/02/27/60/887022760.db2.gz MACMDBUOOYGJEW-UHFFFAOYSA-N -1 1 309.347 1.388 20 0 DDADMM Cc1nc(SCC(=O)NC(=O)NC2CC2)[n-]c(=O)c1C1CC1 ZINC001363756908 887151125 /nfs/dbraw/zinc/15/11/25/887151125.db2.gz AGDHRJCSCXKFLK-UHFFFAOYSA-N -1 1 322.390 1.448 20 0 DDADMM CCCC(=O)c1ccc(O)cc1OC(=O)[C@H](O)CCC(=O)[O-] ZINC001233650942 887191016 /nfs/dbraw/zinc/19/10/16/887191016.db2.gz UGYYJROUVXYUPL-GFCCVEGCSA-N -1 1 310.302 1.506 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H](Oc2c(=O)[n-]cnc2C(=O)OC)C1 ZINC001233757608 887284416 /nfs/dbraw/zinc/28/44/16/887284416.db2.gz FZVXRNFAZXLUJK-BDAKNGLRSA-N -1 1 310.306 1.079 20 0 DDADMM Cn1cc(NC(=O)c2ccc(O)cc2[O-])c(Br)n1 ZINC001363819830 887309579 /nfs/dbraw/zinc/30/95/79/887309579.db2.gz JVZCBOFYOCMGLN-UHFFFAOYSA-N -1 1 312.123 1.846 20 0 DDADMM Cc1cc(=O)[nH]c([C@@H](C)[N@@H+]2CCC[C@@H](c3cn(C)nn3)C2)n1 ZINC001363853937 887402817 /nfs/dbraw/zinc/40/28/17/887402817.db2.gz UHMYEZSIJCWIMH-VXGBXAGGSA-N -1 1 302.382 1.560 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)c1cccs1 ZINC001363878139 887454205 /nfs/dbraw/zinc/45/42/05/887454205.db2.gz DOFRGZQQXQSHTM-SSDOTTSWSA-N -1 1 315.376 1.297 20 0 DDADMM COc1c[nH]c(C(=O)NCc2ccc([O-])c(Cl)c2)cc1=O ZINC001363887253 887473110 /nfs/dbraw/zinc/47/31/10/887473110.db2.gz LNCCVVRTHBSEQW-UHFFFAOYSA-N -1 1 308.721 1.673 20 0 DDADMM CC(C)(C)/C=C\C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001277824284 887536386 /nfs/dbraw/zinc/53/63/86/887536386.db2.gz WDXGIFXCLSHWQO-ZADCQDASSA-N -1 1 307.398 1.193 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2ncc(C)cc2C)co1 ZINC001363921880 887544940 /nfs/dbraw/zinc/54/49/40/887544940.db2.gz OBSGHGCPCLNLRV-UHFFFAOYSA-N -1 1 323.374 1.130 20 0 DDADMM COC(=O)c1cccc2[n-]c(O[C@@H]3CCN(C(C)=O)C3)nc21 ZINC001234040467 887581395 /nfs/dbraw/zinc/58/13/95/887581395.db2.gz ZZRQPUHRPWMZKO-SNVBAGLBSA-N -1 1 303.318 1.349 20 0 DDADMM COC(=O)c1cccc2nc(O[C@@H]3CCN(C(C)=O)C3)[n-]c21 ZINC001234040467 887581406 /nfs/dbraw/zinc/58/14/06/887581406.db2.gz ZZRQPUHRPWMZKO-SNVBAGLBSA-N -1 1 303.318 1.349 20 0 DDADMM COC(=O)c1cccc2[n-]c(OC3CC(C(=O)OC)C3)nc21 ZINC001234040143 887582094 /nfs/dbraw/zinc/58/20/94/887582094.db2.gz WMRQJTPYANJZHZ-UHFFFAOYSA-N -1 1 304.302 1.680 20 0 DDADMM COC(=O)c1cccc2nc(OC3CC(C(=O)OC)C3)[n-]c21 ZINC001234040143 887582104 /nfs/dbraw/zinc/58/21/04/887582104.db2.gz WMRQJTPYANJZHZ-UHFFFAOYSA-N -1 1 304.302 1.680 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)c2noc(C)n2)c1 ZINC001363965387 887629450 /nfs/dbraw/zinc/62/94/50/887629450.db2.gz DVZKUBDPENRXGN-SSDOTTSWSA-N -1 1 313.335 1.132 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)C1CCCC1 ZINC001384578732 887707981 /nfs/dbraw/zinc/70/79/81/887707981.db2.gz CRCFYFUIYYJYNR-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2cncc(F)c2)sn1 ZINC001364081164 887871528 /nfs/dbraw/zinc/87/15/28/887871528.db2.gz DBHBHQUZNWOPOP-UHFFFAOYSA-N -1 1 303.340 1.164 20 0 DDADMM CCc1cc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)ccc1O ZINC001364085575 887878999 /nfs/dbraw/zinc/87/89/99/887878999.db2.gz FOXYTUODAZNIPH-NSHDSACASA-N -1 1 321.406 1.692 20 0 DDADMM CC1CCN(c2ccc(C(=O)Nc3c[n-][nH]c3=O)cn2)CC1 ZINC001364088750 887889367 /nfs/dbraw/zinc/88/93/67/887889367.db2.gz DJKNPNIOYHXEOT-UHFFFAOYSA-N -1 1 301.350 1.999 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@H](Oc2c(C(N)=O)nc(C)[n-]c2=O)C1 ZINC001234477569 888009827 /nfs/dbraw/zinc/00/98/27/888009827.db2.gz NQIJHYMNIAJTAJ-UWVGGRQHSA-N -1 1 323.349 1.090 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H](Oc2c(C(N)=O)nc(C)[n-]c2=O)C1 ZINC001234477567 888011090 /nfs/dbraw/zinc/01/10/90/888011090.db2.gz NQIJHYMNIAJTAJ-NXEZZACHSA-N -1 1 323.349 1.090 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@H]2CCc3c2cc(F)cc3F)c(=O)[n-]1 ZINC001234479216 888015042 /nfs/dbraw/zinc/01/50/42/888015042.db2.gz XYLCEWQIHXWXRC-NSHDSACASA-N -1 1 321.283 1.934 20 0 DDADMM CO[C@@](C)(CO)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001364154212 888035164 /nfs/dbraw/zinc/03/51/64/888035164.db2.gz LWJHIYUSCCMHIY-OAHLLOKOSA-N -1 1 320.349 1.097 20 0 DDADMM O=C(NC1(c2ncccn2)CCOCC1)c1ccc(F)c([O-])c1 ZINC001364225007 888175125 /nfs/dbraw/zinc/17/51/25/888175125.db2.gz XVOLZWFSNKLKAJ-UHFFFAOYSA-N -1 1 317.320 1.757 20 0 DDADMM CCCc1ncc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)o1 ZINC001364331809 888397294 /nfs/dbraw/zinc/39/72/94/888397294.db2.gz LBDRORIGZNEQLQ-UHFFFAOYSA-N -1 1 314.349 1.604 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C(C)(C)C ZINC001364471170 888713631 /nfs/dbraw/zinc/71/36/31/888713631.db2.gz FXAJYAMVUSXQAB-SNVBAGLBSA-N -1 1 307.350 1.377 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001364479082 888728813 /nfs/dbraw/zinc/72/88/13/888728813.db2.gz RRUXSLAKVDZWMP-PHIMTYICSA-N -1 1 321.377 1.820 20 0 DDADMM CC(C)C(=O)N[C@@H](C)[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001385528993 889267186 /nfs/dbraw/zinc/26/71/86/889267186.db2.gz CXRFRFQNNJSWSX-QWHCGFSZSA-N -1 1 319.405 1.943 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(CC(C)C)CC(C)C)[n-]n1 ZINC001364759738 889356423 /nfs/dbraw/zinc/35/64/23/889356423.db2.gz RHAYZHGLNWWUAJ-UHFFFAOYSA-N -1 1 317.411 1.499 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(CC(C)C)CC(C)C)n[n-]1 ZINC001364759738 889356433 /nfs/dbraw/zinc/35/64/33/889356433.db2.gz RHAYZHGLNWWUAJ-UHFFFAOYSA-N -1 1 317.411 1.499 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)c2cc(C)ccc2C)[n-]n1 ZINC001364783423 889406856 /nfs/dbraw/zinc/40/68/56/889406856.db2.gz FIQFGBUEEXRVOA-UHFFFAOYSA-N -1 1 323.374 1.638 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)c2cc(C)ccc2C)n[n-]1 ZINC001364783423 889406864 /nfs/dbraw/zinc/40/68/64/889406864.db2.gz FIQFGBUEEXRVOA-UHFFFAOYSA-N -1 1 323.374 1.638 20 0 DDADMM COC[C@H](NC(=O)C1CCc2ccccc2CC1)c1nn[n-]n1 ZINC001364816853 889474955 /nfs/dbraw/zinc/47/49/55/889474955.db2.gz XEGSVRZAZJYEDW-AWEZNQCLSA-N -1 1 315.377 1.199 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC001364914988 889658639 /nfs/dbraw/zinc/65/86/39/889658639.db2.gz KIKZZGSQVURLMV-GUBZILKMSA-N -1 1 318.420 1.388 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2cc(C)c[nH]c2=O)c1 ZINC001364972401 889788865 /nfs/dbraw/zinc/78/88/65/889788865.db2.gz NMVWSYIDKHQYLR-UHFFFAOYSA-N -1 1 324.358 1.288 20 0 DDADMM COc1cnc(C2(NC(=O)c3n[nH]cc3F)CCCC2)[n-]c1=O ZINC001365025081 889922610 /nfs/dbraw/zinc/92/26/10/889922610.db2.gz UDZQDCLNBGAXFO-UHFFFAOYSA-N -1 1 321.312 1.252 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2conc2C(C)C)n[n-]1 ZINC001365196053 890315303 /nfs/dbraw/zinc/31/53/03/890315303.db2.gz SRWFRMCTMVVLLT-UHFFFAOYSA-N -1 1 307.310 1.023 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2conc2C(C)C)n1 ZINC001365196053 890315321 /nfs/dbraw/zinc/31/53/21/890315321.db2.gz SRWFRMCTMVVLLT-UHFFFAOYSA-N -1 1 307.310 1.023 20 0 DDADMM CC(=O)N[C@H](C)C(=O)Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1 ZINC001365196345 890316575 /nfs/dbraw/zinc/31/65/75/890316575.db2.gz HKHGTNNAWHFQQS-ZCFIWIBFSA-N -1 1 323.740 1.294 20 0 DDADMM CC(=O)N[C@H](C)C(=O)Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1 ZINC001365196345 890316591 /nfs/dbraw/zinc/31/65/91/890316591.db2.gz HKHGTNNAWHFQQS-ZCFIWIBFSA-N -1 1 323.740 1.294 20 0 DDADMM CC[C@H](SC)C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001386166502 890461088 /nfs/dbraw/zinc/46/10/88/890461088.db2.gz NUNYZIZONCDEEA-NHCYSSNCSA-N -1 1 323.418 1.306 20 0 DDADMM CS[C@@H](C)C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001386553862 891199544 /nfs/dbraw/zinc/19/95/44/891199544.db2.gz ZYVNJBKRMIUBCA-WDEREUQCSA-N -1 1 323.418 1.117 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC(C)(C)[C@H](O)C(C)C)sn1 ZINC001365697828 891352569 /nfs/dbraw/zinc/35/25/69/891352569.db2.gz QMVBNDXHMGPUAH-LLVKDONJSA-N -1 1 322.452 1.473 20 0 DDADMM COc1ccc(C(=O)[O-])cc1-c1nc2nc[nH]c2c(OC)n1 ZINC001242388341 891404529 /nfs/dbraw/zinc/40/45/29/891404529.db2.gz HANHUZOMPXKVJJ-UHFFFAOYSA-N -1 1 300.274 1.735 20 0 DDADMM CCOC1CC2(C[C@@H]2[N-]S(=O)(=O)c2csnc2OC)C1 ZINC001365734934 891420577 /nfs/dbraw/zinc/42/05/77/891420577.db2.gz VKBVRHDTRLDGNX-BSTRBVHOSA-N -1 1 318.420 1.388 20 0 DDADMM COc1ncc(-c2ccnc(C)c2F)cc1[N-]S(C)(=O)=O ZINC001244795452 891931280 /nfs/dbraw/zinc/93/12/80/891931280.db2.gz CSPYWMHILOVPPE-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1CCCC1(C)C)NC(=O)c1ncccc1[O-] ZINC001387033537 892224116 /nfs/dbraw/zinc/22/41/16/892224116.db2.gz DPAHDGRCMNOMPH-NEPJUHHUSA-N -1 1 319.405 1.848 20 0 DDADMM O=c1cc(CC(F)(F)F)[nH]n1-c1ccccc1S(=O)(=O)[O-] ZINC001245953067 892285383 /nfs/dbraw/zinc/28/53/83/892285383.db2.gz WMUJGHIPDCOYOU-UHFFFAOYSA-N -1 1 322.264 1.929 20 0 DDADMM CSc1cc(F)c(-c2noc(Cc3nnn[n-]3)n2)cc1F ZINC001247139997 893120975 /nfs/dbraw/zinc/12/09/75/893120975.db2.gz ODRWIPQFRNKQJG-UHFFFAOYSA-N -1 1 310.289 1.841 20 0 DDADMM CSc1cc(F)c(-c2noc(Cc3nn[n-]n3)n2)cc1F ZINC001247139997 893120987 /nfs/dbraw/zinc/12/09/87/893120987.db2.gz ODRWIPQFRNKQJG-UHFFFAOYSA-N -1 1 310.289 1.841 20 0 DDADMM CC(C)SCC(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001387843057 894003094 /nfs/dbraw/zinc/00/30/94/894003094.db2.gz TTXGYIMHEAKGKT-UHFFFAOYSA-N -1 1 323.418 1.117 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])[C@@H](C)c1csnn1 ZINC001366604733 894223326 /nfs/dbraw/zinc/22/33/26/894223326.db2.gz HHZQKRLXWFETPP-JTQLQIEISA-N -1 1 321.406 1.452 20 0 DDADMM CC[C@H](CNC(=O)c1cc[nH]c1C)NC(=O)c1ncccc1[O-] ZINC001388043082 894435673 /nfs/dbraw/zinc/43/56/73/894435673.db2.gz NHSAHGHLBAXJAO-LLVKDONJSA-N -1 1 316.361 1.362 20 0 DDADMM CC(C)(C)C(C)(C)C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001292666887 913910071 /nfs/dbraw/zinc/91/00/71/913910071.db2.gz YLOQEWWEQOERAF-UHFFFAOYSA-N -1 1 307.394 1.706 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2ccc(F)c(Cl)c2)o1 ZINC001255003095 896692416 /nfs/dbraw/zinc/69/24/16/896692416.db2.gz QVJYYJSDUAKBGR-UHFFFAOYSA-N -1 1 305.718 1.649 20 0 DDADMM Cc1cccc(S(=O)(=O)Nc2cc(=O)[n-]c(N(C)C)n2)c1 ZINC001256868727 897614955 /nfs/dbraw/zinc/61/49/55/897614955.db2.gz FJAOCCITNQMQRR-UHFFFAOYSA-N -1 1 308.363 1.357 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@H](C)c1ccno1 ZINC001258493890 898218761 /nfs/dbraw/zinc/21/87/61/898218761.db2.gz GSNFUTJUPCGHBG-ZCFIWIBFSA-N -1 1 304.759 1.409 20 0 DDADMM CNC(=O)[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(C)(C)C ZINC001258950116 898377445 /nfs/dbraw/zinc/37/74/45/898377445.db2.gz LLPDDYPVATUOID-LLVKDONJSA-N -1 1 320.361 1.404 20 0 DDADMM COC(C)(C[N-]S(=O)(=O)c1cc(F)c(F)cc1F)OC ZINC001259043760 898436893 /nfs/dbraw/zinc/43/68/93/898436893.db2.gz ZIXYTSOICFDNCA-UHFFFAOYSA-N -1 1 313.297 1.391 20 0 DDADMM COC(=O)[C@H]1C[C@@H]1C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259044050 898437350 /nfs/dbraw/zinc/43/73/50/898437350.db2.gz QGELMJPZUKYHMQ-RQJHMYQMSA-N -1 1 323.292 1.191 20 0 DDADMM COc1cccc(S(=O)(=O)Nc2cc(=O)[n-]c(N(C)C)n2)c1 ZINC001259183988 898513224 /nfs/dbraw/zinc/51/32/24/898513224.db2.gz PSVFVGQZGBRQJQ-UHFFFAOYSA-N -1 1 324.362 1.058 20 0 DDADMM COC(=O)c1c([N-]S(=O)(=O)C2CC2)ccc2c1OC[C@H]1C[C@@H]21 ZINC001259276226 898572145 /nfs/dbraw/zinc/57/21/45/898572145.db2.gz CIYZNNTXXPCTPO-LDYMZIIASA-N -1 1 323.370 1.873 20 0 DDADMM COC(=O)[C@@](C)([N-]S(=O)(=O)c1ccccn1)c1ccccc1 ZINC001259292710 898592096 /nfs/dbraw/zinc/59/20/96/898592096.db2.gz CXQYVHXQPTZASK-HNNXBMFYSA-N -1 1 320.370 1.448 20 0 DDADMM CCN(C(=O)C(C)C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001390100182 898680503 /nfs/dbraw/zinc/68/05/03/898680503.db2.gz PMHRZQDRVZLQGR-LBPRGKRZSA-N -1 1 305.378 1.506 20 0 DDADMM Cc1cc(F)ccc1S(=O)(=O)[N-]c1ccc(C(N)=O)c(O)c1 ZINC001259490637 898695869 /nfs/dbraw/zinc/69/58/69/898695869.db2.gz HDWNEUBWDNEFEI-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC[C@@H]1F)c1ccc(Cl)cc1F ZINC001259513338 898709576 /nfs/dbraw/zinc/70/95/76/898709576.db2.gz GHVADRXFIJAAAH-UWVGGRQHSA-N -1 1 311.737 1.884 20 0 DDADMM COCCn1cnc([N-]S(=O)(=O)CC2CCCCC2)c1 ZINC001259615018 898746703 /nfs/dbraw/zinc/74/67/03/898746703.db2.gz OCWWXZJSFHPNMG-UHFFFAOYSA-N -1 1 301.412 1.852 20 0 DDADMM COc1ccc2c(c1)C[C@H](C[N-]S(=O)(=O)C(F)F)CO2 ZINC001259964287 898989181 /nfs/dbraw/zinc/98/91/81/898989181.db2.gz KQGNEINZKNLIKH-MRVPVSSYSA-N -1 1 307.318 1.388 20 0 DDADMM CC(=O)NCC[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260195454 899084444 /nfs/dbraw/zinc/08/44/44/899084444.db2.gz BICSHSKQJRCFCK-UHFFFAOYSA-N -1 1 312.725 1.033 20 0 DDADMM CN1CCC[C@H]([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)C1 ZINC001260205974 899088387 /nfs/dbraw/zinc/08/83/87/899088387.db2.gz WCDXGFLWQIWPTL-QMMMGPOBSA-N -1 1 324.780 1.991 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)CF)c1cc(Cl)c(F)cc1F ZINC001260208381 899091572 /nfs/dbraw/zinc/09/15/72/899091572.db2.gz LZCHVVAPRJECHJ-YFKPBYRVSA-N -1 1 303.689 1.227 20 0 DDADMM COc1ccnc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)c1 ZINC001260221134 899096279 /nfs/dbraw/zinc/09/62/79/899096279.db2.gz KNEBQAXPWQJYAS-UHFFFAOYSA-N -1 1 308.315 1.589 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1Cl)C(N)=O ZINC001260277649 899107151 /nfs/dbraw/zinc/10/71/51/899107151.db2.gz SGUKVZAHOFHTHH-QMMMGPOBSA-N -1 1 312.725 1.160 20 0 DDADMM O=S(=O)([N-]Cc1cnccn1)c1cc(F)c(F)cc1Cl ZINC001260287256 899112628 /nfs/dbraw/zinc/11/26/28/899112628.db2.gz YULALLBXPHGIRV-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM Cc1cc([N-]S(=O)(=O)CS(C)(=O)=O)c(F)cc1Cl ZINC001260592220 899180376 /nfs/dbraw/zinc/18/03/76/899180376.db2.gz BLJGQZKWNYHWOP-UHFFFAOYSA-N -1 1 315.775 1.531 20 0 DDADMM O=S(=O)(Cc1ccccc1Cl)[N-]c1cnn(CCO)c1 ZINC001260689984 899219908 /nfs/dbraw/zinc/21/99/08/899219908.db2.gz CZDSYGPMVKBHHI-UHFFFAOYSA-N -1 1 315.782 1.471 20 0 DDADMM CCOC(=O)c1ccc(F)c([N-]S(=O)(=O)c2cn[nH]c2)c1 ZINC001260960871 899299808 /nfs/dbraw/zinc/29/98/08/899299808.db2.gz SXMVAZDDTJPDCQ-UHFFFAOYSA-N -1 1 313.310 1.526 20 0 DDADMM CC[C@@H](CNC(=O)CCC(F)F)NC(=O)c1ncccc1[O-] ZINC001390562020 899676775 /nfs/dbraw/zinc/67/67/75/899676775.db2.gz XTNNNLFZKYAWPC-VIFPVBQESA-N -1 1 315.320 1.457 20 0 DDADMM CCN(Cc1ccccc1Cl)c1nnc(-c2nnn[n-]2)n1C ZINC001262851961 900396357 /nfs/dbraw/zinc/39/63/57/900396357.db2.gz ACCUPGGJDGWBGG-UHFFFAOYSA-N -1 1 318.772 1.675 20 0 DDADMM CCN(Cc1ccccc1Cl)c1nnc(-c2nn[n-]n2)n1C ZINC001262851961 900396370 /nfs/dbraw/zinc/39/63/70/900396370.db2.gz ACCUPGGJDGWBGG-UHFFFAOYSA-N -1 1 318.772 1.675 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cccc2nsnc21 ZINC001263859560 900776717 /nfs/dbraw/zinc/77/67/17/900776717.db2.gz QOZHQBLTHVEOOH-UHFFFAOYSA-N -1 1 323.374 1.623 20 0 DDADMM O=C(NCCN(Cc1nccs1)C1CC1)c1ncccc1[O-] ZINC001391157934 901092950 /nfs/dbraw/zinc/09/29/50/901092950.db2.gz SFJVAFHVFXCMLB-UHFFFAOYSA-N -1 1 318.402 1.638 20 0 DDADMM CC[C@H](CNC(=O)[C@H]1CCCC12CC2)NCc1n[nH]c(=O)[n-]1 ZINC001391692550 902373487 /nfs/dbraw/zinc/37/34/87/902373487.db2.gz BFYFOHQHRQWTAH-GHMZBOCLSA-N -1 1 307.398 1.075 20 0 DDADMM CC[C@H](CNC(=O)c1ccc(C)cc1)NCc1n[nH]c(=O)[n-]1 ZINC001391742213 902505458 /nfs/dbraw/zinc/50/54/58/902505458.db2.gz OEJKWZMMYZUZOG-GFCCVEGCSA-N -1 1 303.366 1.117 20 0 DDADMM CN(CCN(C)C(=O)c1ncccc1[O-])Cc1cncs1 ZINC001392269565 903713480 /nfs/dbraw/zinc/71/34/80/903713480.db2.gz QEJKEYUESNOARA-UHFFFAOYSA-N -1 1 306.391 1.448 20 0 DDADMM C[C@@H](CCCNC(=O)C1CC(C)C1)NC(=O)c1ncccc1[O-] ZINC001280813365 904075812 /nfs/dbraw/zinc/07/58/12/904075812.db2.gz UZWNOYNXWOZXRE-CPCZMJQVSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CC=CCC1)NC(=O)c1ncccc1[O-] ZINC001280979979 904266654 /nfs/dbraw/zinc/26/66/54/904266654.db2.gz WUCOPLPELYDCEF-STQMWFEESA-N -1 1 317.389 1.720 20 0 DDADMM C[C@@H](C[C@H](C)NC(=O)C1(C)CCC1)NC(=O)c1ncccc1[O-] ZINC001371278081 904625598 /nfs/dbraw/zinc/62/55/98/904625598.db2.gz PXXTXNPNTLXRBF-RYUDHWBXSA-N -1 1 319.405 1.991 20 0 DDADMM Cc1cccc(CCC(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)c1 ZINC001371304192 904660692 /nfs/dbraw/zinc/66/06/92/904660692.db2.gz FKCAVSAPAKDCGF-GFCCVEGCSA-N -1 1 317.393 1.046 20 0 DDADMM CCCC(=O)NCC1(NCc2nc(=O)c3sccc3[n-]2)CC1 ZINC001392876752 905552190 /nfs/dbraw/zinc/55/21/90/905552190.db2.gz BSIIBMUALRLBQY-UHFFFAOYSA-N -1 1 320.418 1.935 20 0 DDADMM Cc1nc(C(=O)NCCCNC(=O)c2ncccc2[O-])cs1 ZINC001283232991 906954590 /nfs/dbraw/zinc/95/45/90/906954590.db2.gz LNERTXXBBITCQE-UHFFFAOYSA-N -1 1 320.374 1.102 20 0 DDADMM O=C(NCC1(NCc2ccon2)CCC1)c1ncccc1[O-] ZINC001393542400 907276608 /nfs/dbraw/zinc/27/66/08/907276608.db2.gz CKOAPWXAZPYYNX-UHFFFAOYSA-N -1 1 302.334 1.218 20 0 DDADMM CCC[C@@H](C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001372482821 907409583 /nfs/dbraw/zinc/40/95/83/907409583.db2.gz GRCLXLRJYVSKSV-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CCC[C@@H](C)CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001372485090 907416806 /nfs/dbraw/zinc/41/68/06/907416806.db2.gz NGAPNRVNEIGERL-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CSC[C@H](C)C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001372837909 908395470 /nfs/dbraw/zinc/39/54/70/908395470.db2.gz VDBHHGLRALTKHJ-UWVGGRQHSA-N -1 1 311.407 1.021 20 0 DDADMM CCC(C)(C)C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC001394709114 910361152 /nfs/dbraw/zinc/36/11/52/910361152.db2.gz LBYHSPIBHVLATA-NWDGAFQWSA-N -1 1 323.441 1.014 20 0 DDADMM CC/C=C(\C)C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001285854685 911309321 /nfs/dbraw/zinc/30/93/21/911309321.db2.gz NPGJEXWTSKHIGH-JXDHDYMSSA-N -1 1 303.362 1.474 20 0 DDADMM CC[C@H](CNC(=O)Cc1ccco1)NC(=O)c1ncccc1[O-] ZINC001375195474 915216762 /nfs/dbraw/zinc/21/67/62/915216762.db2.gz QGZHUVADWSNTIH-LLVKDONJSA-N -1 1 317.345 1.248 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)C=CCC1 ZINC001295843176 916087925 /nfs/dbraw/zinc/08/79/25/916087925.db2.gz WEOIMURKKDQRLB-PXAZEXFGSA-N -1 1 317.389 1.768 20 0 DDADMM CC/C=C(\C)C(=O)N(CC)CCNC(=O)c1ncccc1[O-] ZINC001296290068 916326290 /nfs/dbraw/zinc/32/62/90/916326290.db2.gz IYZQAMVBEWNZLW-KPKJPENVSA-N -1 1 305.378 1.722 20 0 DDADMM Cc1n[nH]c(C(=O)NCCN(Cc2ccsc2)C2CC2)c1[O-] ZINC001377524939 922223955 /nfs/dbraw/zinc/22/39/55/922223955.db2.gz RKUPZUBKCIGWEV-UHFFFAOYSA-N -1 1 320.418 1.880 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001377886691 923333747 /nfs/dbraw/zinc/33/37/47/923333747.db2.gz PNFBQWABXKGHJR-DRZSPHRISA-N -1 1 317.389 1.458 20 0 DDADMM Cc1noc([C@H](C)N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001378035710 923771924 /nfs/dbraw/zinc/77/19/24/923771924.db2.gz BFNVXHDLAUVZEQ-UWVGGRQHSA-N -1 1 319.365 1.290 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@H](C)c3ccncc3)nc2n1 ZINC000622871318 365552027 /nfs/dbraw/zinc/55/20/27/365552027.db2.gz YDVZBQYVRGHFPZ-SECBINFHSA-N -1 1 312.333 1.253 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CO[C@H]3CCC[C@H](C)C3)nc2n1 ZINC000622871349 365552127 /nfs/dbraw/zinc/55/21/27/365552127.db2.gz YTMSONIAWUCNDC-ONGXEEELSA-N -1 1 319.365 1.260 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCC[C@@H]3C)nc2n1 ZINC000622993212 365585864 /nfs/dbraw/zinc/58/58/64/365585864.db2.gz FPSOEBCJLWXIJA-ONGXEEELSA-N -1 1 303.366 1.745 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3Cc4ccccc4C3)nc2n1 ZINC000622993511 365586904 /nfs/dbraw/zinc/58/69/04/365586904.db2.gz QFPZTQLIRVHARV-UHFFFAOYSA-N -1 1 323.356 1.334 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CCC[C@@H]3C)nc2n1 ZINC000622994156 365587617 /nfs/dbraw/zinc/58/76/17/365587617.db2.gz GABFAZXGQWDTSC-VHSXEESVSA-N -1 1 303.366 1.745 20 0 DDADMM O=C(c1ccc(CO)cc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614387292 361830965 /nfs/dbraw/zinc/83/09/65/361830965.db2.gz MUYAYOZETCVLJX-LBPRGKRZSA-N -1 1 303.318 1.287 20 0 DDADMM COC(=O)[C@H](COC(C)C)N(C)C(=O)c1ncc(C)cc1[O-] ZINC000614631314 361954734 /nfs/dbraw/zinc/95/47/34/361954734.db2.gz BFPBIHAJLNEBTI-NSHDSACASA-N -1 1 310.350 1.134 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)[C@H]1CCCO1 ZINC000278708473 214342533 /nfs/dbraw/zinc/34/25/33/214342533.db2.gz KIMRTRYSDZARTI-GHMZBOCLSA-N -1 1 321.345 1.437 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)N(C)C[C@@H](O)C1CC1)c2=O ZINC000134029555 539192021 /nfs/dbraw/zinc/19/20/21/539192021.db2.gz QYJPFWJCMODJNV-OAHLLOKOSA-N -1 1 316.357 1.792 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(CC2CC2)CC2CC2)co1 ZINC000152078192 539200274 /nfs/dbraw/zinc/20/02/74/539200274.db2.gz ATXQNIHIFSAGIJ-UHFFFAOYSA-N -1 1 312.391 1.450 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cnn(CC3CC3)c2)co1 ZINC000178974596 539233269 /nfs/dbraw/zinc/23/32/69/539233269.db2.gz BRZAMEXKZBEDOK-UHFFFAOYSA-N -1 1 324.362 1.047 20 0 DDADMM C[C@@H](CCS(C)(=O)=O)NC(=O)c1cc(Cl)ccc1[O-] ZINC000153841356 290654709 /nfs/dbraw/zinc/65/47/09/290654709.db2.gz XEZFSHIZJUUDCP-QMMMGPOBSA-N -1 1 305.783 1.599 20 0 DDADMM CCCc1cc(C(=O)N(CCC(=O)[O-])C[C@H]2CCCO2)n[nH]1 ZINC000236895919 539267357 /nfs/dbraw/zinc/26/73/57/539267357.db2.gz RPFGVIFEKJHXRK-GFCCVEGCSA-N -1 1 309.366 1.458 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(O)c2ccccc2c1)c1nn[n-]n1 ZINC000280163662 539282123 /nfs/dbraw/zinc/28/21/23/539282123.db2.gz CFGFCZWTJFSWLP-JTQLQIEISA-N -1 1 311.345 1.934 20 0 DDADMM O=C(NCCCOCCO)c1cc(Br)ccc1[O-] ZINC000181364185 199286540 /nfs/dbraw/zinc/28/65/40/199286540.db2.gz YLSNJCMETSDMNT-UHFFFAOYSA-N -1 1 318.167 1.284 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)CCOCC2CC2)cc1 ZINC000424063719 529942791 /nfs/dbraw/zinc/94/27/91/529942791.db2.gz INKLFBVCPZBVGE-UHFFFAOYSA-N -1 1 312.391 1.215 20 0 DDADMM COC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1ncc(C)cc1[O-] ZINC000615816427 362453191 /nfs/dbraw/zinc/45/31/91/362453191.db2.gz MVLXNDIPJILOEE-SUNKGSAMSA-N -1 1 304.346 1.509 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ccc([O-])cc1F)c1ccncc1 ZINC000615836221 362459332 /nfs/dbraw/zinc/45/93/32/362459332.db2.gz MNMXYJUYJACEPA-CQSZACIVSA-N -1 1 318.304 1.961 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)C[C@@H]2CC=CCC2)co1 ZINC000330849602 530024479 /nfs/dbraw/zinc/02/44/79/530024479.db2.gz ZEOHNYHYMNFOMZ-LLVKDONJSA-N -1 1 312.391 1.616 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)CCC2CC2)co1 ZINC000331459060 530025832 /nfs/dbraw/zinc/02/58/32/530025832.db2.gz KITAQUOOWYXBNN-VIFPVBQESA-N -1 1 300.380 1.496 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)CC(C)(C)C)co1 ZINC000457231249 530025980 /nfs/dbraw/zinc/02/59/80/530025980.db2.gz RAFKMIWHVVBKLD-SECBINFHSA-N -1 1 302.396 1.742 20 0 DDADMM CON1CCC(N(C)C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000457320825 232037232 /nfs/dbraw/zinc/03/72/32/232037232.db2.gz RGPFKHBNBGFDKM-UHFFFAOYSA-N -1 1 300.305 1.768 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCOC[C@H]2C)c1Br ZINC000616009689 362519148 /nfs/dbraw/zinc/51/91/48/362519148.db2.gz ZFXRJNRFGUEUIO-HTRCEHHLSA-N -1 1 302.172 1.514 20 0 DDADMM C[C@@](CO)(CNC(=O)c1cncc([O-])c1)Cc1ccccc1 ZINC000355172209 539455702 /nfs/dbraw/zinc/45/57/02/539455702.db2.gz HAAYHMWXSBAVTF-KRWDZBQOSA-N -1 1 300.358 1.758 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H]2C[C@H]2C(F)F)c(=O)[n-]1 ZINC000345532091 282966308 /nfs/dbraw/zinc/96/63/08/282966308.db2.gz MIQDJAWVNYJUEG-RKDXNWHRSA-N -1 1 317.361 1.915 20 0 DDADMM COCc1nc(OC)cc(N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000357677673 539478379 /nfs/dbraw/zinc/47/83/79/539478379.db2.gz ADCAHEFKLBPZHB-SECBINFHSA-N -1 1 321.337 1.104 20 0 DDADMM N[C@@H](Cc1ccccc1)C(=O)Nc1ccc(O)c(C(=O)[O-])c1 ZINC000385939258 539506686 /nfs/dbraw/zinc/50/66/86/539506686.db2.gz BUDZLZUCSVLRCJ-ZDUSSCGKSA-N -1 1 300.314 1.599 20 0 DDADMM C[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)[C@@H]1CCCCO1 ZINC000358334966 299124922 /nfs/dbraw/zinc/12/49/22/299124922.db2.gz IEIJLNBLYYTMEZ-CABZTGNLSA-N -1 1 304.350 1.361 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@H](c2nnc[nH]2)C1 ZINC000616420181 362688347 /nfs/dbraw/zinc/68/83/47/362688347.db2.gz ASMAHEOUERKQAV-NSHDSACASA-N -1 1 309.329 1.688 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@H](c2nc[nH]n2)C1 ZINC000616420181 362688351 /nfs/dbraw/zinc/68/83/51/362688351.db2.gz ASMAHEOUERKQAV-NSHDSACASA-N -1 1 309.329 1.688 20 0 DDADMM CS(=O)(=O)Nc1ccc(-c2nc3cccnc3c(=O)[n-]2)cc1 ZINC000491582570 539544816 /nfs/dbraw/zinc/54/48/16/539544816.db2.gz ZUWJMTJCIWWBOR-UHFFFAOYSA-N -1 1 316.342 1.357 20 0 DDADMM O=C(NC[C@@H](CO)[C@@H]1CCOC1)c1c(F)ccc([O-])c1F ZINC000564613544 304003867 /nfs/dbraw/zinc/00/38/67/304003867.db2.gz ZYOVYDQDOSCECN-BDAKNGLRSA-N -1 1 301.289 1.045 20 0 DDADMM C[C@@]1(C(N)=O)CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000279865073 215190564 /nfs/dbraw/zinc/19/05/64/215190564.db2.gz AMKHCCLHUSHIED-CYBMUJFWSA-N -1 1 316.279 1.749 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc(Cl)cc1 ZINC000072240899 191083815 /nfs/dbraw/zinc/08/38/15/191083815.db2.gz TWNUQQFGZYORDF-UHFFFAOYSA-N -1 1 301.755 1.697 20 0 DDADMM CCCCn1cc(C(=O)N[C@@H](CCC)c2nn[n-]n2)c(C)n1 ZINC000186046299 186235133 /nfs/dbraw/zinc/23/51/33/186235133.db2.gz OKTUBHCRXNAFML-LBPRGKRZSA-N -1 1 305.386 1.776 20 0 DDADMM CS(=O)(=O)CC1(CCNC(=O)c2ccc([O-])cc2F)CC1 ZINC000617181572 362988785 /nfs/dbraw/zinc/98/87/85/362988785.db2.gz GPVMGYCCAXQSMV-UHFFFAOYSA-N -1 1 315.366 1.476 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@@H]1CCCO1)c1c(F)cccc1Cl ZINC000528484878 303033045 /nfs/dbraw/zinc/03/30/45/303033045.db2.gz IUWMVQWOYUWZOW-QWRGUYRKSA-N -1 1 323.773 1.297 20 0 DDADMM O=C(NCCNC(=O)c1cc(Cl)ccc1[O-])c1ccco1 ZINC000023041606 352181338 /nfs/dbraw/zinc/18/13/38/352181338.db2.gz IHVXJJYPHINYCI-UHFFFAOYSA-N -1 1 308.721 1.798 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@@H]2CCOC2)c(=O)[n-]1 ZINC000041370352 352305603 /nfs/dbraw/zinc/30/56/03/352305603.db2.gz WZZMVJZPFIIGJH-JTQLQIEISA-N -1 1 311.407 1.298 20 0 DDADMM CCOc1cc2c(cc1C=CC(=O)Nc1nnn[n-]1)O[C@@H](C)C2 ZINC000072678430 191213292 /nfs/dbraw/zinc/21/32/92/191213292.db2.gz UXXVPFQPJNHOCQ-MOVJSRMASA-N -1 1 315.333 1.574 20 0 DDADMM CCOc1cc2c(cc1C=CC(=O)Nc1nn[n-]n1)O[C@@H](C)C2 ZINC000072678430 191213295 /nfs/dbraw/zinc/21/32/95/191213295.db2.gz UXXVPFQPJNHOCQ-MOVJSRMASA-N -1 1 315.333 1.574 20 0 DDADMM CC(C)n1c(CNC(=O)[C@H]2C[C@H]2c2cnn(C)c2)n[n-]c1=S ZINC000066639973 353009981 /nfs/dbraw/zinc/00/99/81/353009981.db2.gz AGQUGXOVWCKIOB-QWRGUYRKSA-N -1 1 320.422 1.675 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CC[C@H](F)C2)o1 ZINC000344906536 282867674 /nfs/dbraw/zinc/86/76/74/282867674.db2.gz KUGRRFGAMDKIKG-IUCAKERBSA-N -1 1 305.327 1.625 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCc2cc(C)ccc2C1 ZINC000331926514 234286269 /nfs/dbraw/zinc/28/62/69/234286269.db2.gz DFXXKKMULRUWHH-UHFFFAOYSA-N -1 1 307.375 1.451 20 0 DDADMM O=C1NCCc2ccc([N-]S(=O)(=O)c3ccc(F)cc3)cc21 ZINC000070934205 353187029 /nfs/dbraw/zinc/18/70/29/353187029.db2.gz STRGBJZNHFSYMV-UHFFFAOYSA-N -1 1 320.345 1.912 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(C)c(O)c(C)c2)co1 ZINC000358579306 299202375 /nfs/dbraw/zinc/20/23/75/299202375.db2.gz KABFFPREUWUJRO-UHFFFAOYSA-N -1 1 324.358 1.762 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H](O)c1cccc(F)c1)c1nn[n-]n1 ZINC000089307687 353765206 /nfs/dbraw/zinc/76/52/06/353765206.db2.gz VENMJBZEWFARNF-VXGBXAGGSA-N -1 1 307.329 1.420 20 0 DDADMM COC(=O)C(C)(C)[C@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000089460346 353772430 /nfs/dbraw/zinc/77/24/30/353772430.db2.gz LGKXWCRFMQIINL-LBPRGKRZSA-N -1 1 306.362 1.839 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(C)(C)C ZINC000123235491 354030867 /nfs/dbraw/zinc/03/08/67/354030867.db2.gz WLLDYNFVIUANBJ-LLVKDONJSA-N -1 1 321.345 1.831 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)[C@@H]1CCCC[C@@H]1OC ZINC000601300421 358485995 /nfs/dbraw/zinc/48/59/95/358485995.db2.gz XUMTYXCUMTVBOU-RWMBFGLXSA-N -1 1 321.439 1.451 20 0 DDADMM O=C(NCc1nc(CO)cs1)c1c(F)ccc([O-])c1F ZINC000584746345 354757860 /nfs/dbraw/zinc/75/78/60/354757860.db2.gz GGCTZCJWMYVNHB-UHFFFAOYSA-N -1 1 300.286 1.549 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@]2(C)COC[C@]2(C)C1 ZINC000618027550 363433026 /nfs/dbraw/zinc/43/30/26/363433026.db2.gz BIAODTJLEHDHJE-GASCZTMLSA-N -1 1 323.418 1.711 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCCCO2)o1 ZINC000358840700 291051686 /nfs/dbraw/zinc/05/16/86/291051686.db2.gz JJLALOUTUCVJPM-SNVBAGLBSA-N -1 1 317.363 1.304 20 0 DDADMM C[C@@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)n1cccc1 ZINC000591441261 355312195 /nfs/dbraw/zinc/31/21/95/355312195.db2.gz DJKAAHQOUKBYLI-SWLSCSKDSA-N -1 1 310.361 1.858 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCCNC(=O)C(C)(C)C)[n-]1 ZINC000591303239 355284936 /nfs/dbraw/zinc/28/49/36/355284936.db2.gz PICCNBQPSVLIAA-UHFFFAOYSA-N -1 1 324.381 1.467 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCCNC(=O)C(C)(C)C)n1 ZINC000591303239 355284939 /nfs/dbraw/zinc/28/49/39/355284939.db2.gz PICCNBQPSVLIAA-UHFFFAOYSA-N -1 1 324.381 1.467 20 0 DDADMM COC(=O)c1[nH]c(C)cc1[N-]S(=O)(=O)C[C@H](OC)C1CC1 ZINC000592350822 355565333 /nfs/dbraw/zinc/56/53/33/355565333.db2.gz HDIIWYVMKIVIQV-NSHDSACASA-N -1 1 316.379 1.276 20 0 DDADMM COC(=O)c1cncc([N-]S(=O)(=O)C[C@@H](OC)C(C)C)c1 ZINC000592382933 355571891 /nfs/dbraw/zinc/57/18/91/355571891.db2.gz VXCWURXFSUGWJL-GFCCVEGCSA-N -1 1 316.379 1.281 20 0 DDADMM CC(C)O[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccccc1 ZINC000346549638 283121951 /nfs/dbraw/zinc/12/19/51/283121951.db2.gz LAUAOWHLWGJCMT-OCCSQVGLSA-N -1 1 317.393 1.928 20 0 DDADMM CC(C)(C)OC(=O)c1ccc(C(=O)N=c2ccc([O-])n[nH]2)cn1 ZINC000593137592 355809329 /nfs/dbraw/zinc/80/93/29/355809329.db2.gz JULIGGASURUSEL-UHFFFAOYSA-N -1 1 316.317 1.207 20 0 DDADMM CC(C)(C)OC(=O)c1ccc(C(=O)N=c2ccc(O)n[n-]2)cn1 ZINC000593137592 355809334 /nfs/dbraw/zinc/80/93/34/355809334.db2.gz JULIGGASURUSEL-UHFFFAOYSA-N -1 1 316.317 1.207 20 0 DDADMM COC(=O)C[N-]S(=O)(=O)Cc1cc(Cl)sc1Cl ZINC000593527192 355915026 /nfs/dbraw/zinc/91/50/26/355915026.db2.gz DATNTHZYOCLQCW-UHFFFAOYSA-N -1 1 318.203 1.647 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)C[C@H]1CCCC1(F)F ZINC000593634061 355949033 /nfs/dbraw/zinc/94/90/33/355949033.db2.gz JNDQNDHLBZPNDL-BDAKNGLRSA-N -1 1 317.329 1.242 20 0 DDADMM Cc1nc(S[C@H](C)c2nc(N)nc(N(C)C)n2)[n-]c(=O)c1C ZINC000346718973 283146548 /nfs/dbraw/zinc/14/65/48/283146548.db2.gz XBGJFLGFZBQJGO-MRVPVSSYSA-N -1 1 321.410 1.316 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)C1CC1 ZINC000594864408 356343351 /nfs/dbraw/zinc/34/33/51/356343351.db2.gz VTDAMZYNOGTMIZ-CYBMUJFWSA-N -1 1 301.364 1.923 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCc2ccco2)cc1C ZINC000595329821 356450585 /nfs/dbraw/zinc/45/05/85/356450585.db2.gz XCCWXRJVEKQSHG-UHFFFAOYSA-N -1 1 313.331 1.489 20 0 DDADMM CCCCOC(=O)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081688023 192313313 /nfs/dbraw/zinc/31/33/13/192313313.db2.gz QVTGQVHZMMRSAG-UHFFFAOYSA-N -1 1 323.393 1.449 20 0 DDADMM CCOC(=O)[C@@H](Cc1ccncc1)NC(=O)c1ncccc1[O-] ZINC000618244061 363543001 /nfs/dbraw/zinc/54/30/01/363543001.db2.gz BOQNTGSQEACTDF-GFCCVEGCSA-N -1 1 315.329 1.086 20 0 DDADMM CSCCCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000601349262 358510689 /nfs/dbraw/zinc/51/06/89/358510689.db2.gz AFQUVIMAXZHJJG-UHFFFAOYSA-N -1 1 317.358 1.850 20 0 DDADMM CC[C@@H](C)c1nc([C@@H](C)N=c2ccc(C(=O)OC)n[n-]2)n[nH]1 ZINC000596204265 356812832 /nfs/dbraw/zinc/81/28/32/356812832.db2.gz BOXAZEBXUWBQRR-RKDXNWHRSA-N -1 1 304.354 1.490 20 0 DDADMM Cn1[n-]c(CN[C@H](c2cccc(F)c2F)C(F)(F)F)nc1=O ZINC000347605641 283241465 /nfs/dbraw/zinc/24/14/65/283241465.db2.gz FPEBWMXBKQHMIE-SNVBAGLBSA-N -1 1 322.237 1.780 20 0 DDADMM CC(C)(C)OC(=O)[C@]1(NC(=O)c2cncc([O-])c2)CCOC1 ZINC000598156585 357476554 /nfs/dbraw/zinc/47/65/54/357476554.db2.gz FACWFXLNEAELCJ-HNNXBMFYSA-N -1 1 308.334 1.018 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2c(F)ccc([O-])c2F)CS1(=O)=O ZINC000618325163 363588734 /nfs/dbraw/zinc/58/87/34/363588734.db2.gz FFMRWBFDASCRDM-SFYZADRCSA-N -1 1 319.329 1.366 20 0 DDADMM C[C@H](Oc1ccc(C(C)(C)C)cc1)C(=O)NCc1nn[n-]n1 ZINC000599334049 357898045 /nfs/dbraw/zinc/89/80/45/357898045.db2.gz APNWXKISDOETPZ-JTQLQIEISA-N -1 1 303.366 1.581 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccc(C)nc2)o1 ZINC000358818349 299267841 /nfs/dbraw/zinc/26/78/41/299267841.db2.gz BJTMWUSCBFYRQR-UHFFFAOYSA-N -1 1 324.358 1.638 20 0 DDADMM CCO[C@@H]1C[C@](O)(CNC(=O)c2c([O-])cccc2F)C1(C)C ZINC000276703928 213056430 /nfs/dbraw/zinc/05/64/30/213056430.db2.gz ZJMWJRHIBNFSAN-WBMJQRKESA-N -1 1 311.353 1.827 20 0 DDADMM CC(C)(C)OC(=O)c1n[nH]cc1NC(=O)c1cncc([O-])c1 ZINC000600046938 358107927 /nfs/dbraw/zinc/10/79/27/358107927.db2.gz CJGSXRKGXSTHSU-UHFFFAOYSA-N -1 1 304.306 1.718 20 0 DDADMM CCOC(=O)[C@@H]1c2ccoc2CCN1C(=O)c1cncc([O-])c1 ZINC000600239204 358165287 /nfs/dbraw/zinc/16/52/87/358165287.db2.gz FBPSEECADWUUPO-AWEZNQCLSA-N -1 1 316.313 1.683 20 0 DDADMM C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[O-])C(=O)N(C)C ZINC000180398273 199153987 /nfs/dbraw/zinc/15/39/87/199153987.db2.gz VTPZIBFOWMNUFL-SSDOTTSWSA-N -1 1 304.268 1.618 20 0 DDADMM C[C@H](C(=O)NCc1nn[n-]n1)c1c(Cl)cccc1Cl ZINC000600495850 358240125 /nfs/dbraw/zinc/24/01/25/358240125.db2.gz OGLNEZGKZRLOIF-LURJTMIESA-N -1 1 300.149 1.926 20 0 DDADMM CCc1nc2ccc(C(=O)NCc3nn[n-]n3)cc2nc1CC ZINC000600496620 358240924 /nfs/dbraw/zinc/24/09/24/358240924.db2.gz TZKPUTHNEUCKNZ-UHFFFAOYSA-N -1 1 311.349 1.198 20 0 DDADMM CN(C)Cc1ccc(CNc2ncc(C(=O)[O-])cn2)cc1F ZINC000565543496 304075204 /nfs/dbraw/zinc/07/52/04/304075204.db2.gz JORZIFSQJCTAES-UHFFFAOYSA-N -1 1 304.325 1.410 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(C(=O)N2CCCCC2)CC1 ZINC000600935859 358347554 /nfs/dbraw/zinc/34/75/54/358347554.db2.gz BGUBDBGZBHFUHD-UHFFFAOYSA-N -1 1 318.377 1.151 20 0 DDADMM C[S@](=O)C1(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1 ZINC000601408812 358540373 /nfs/dbraw/zinc/54/03/73/358540373.db2.gz FRCGDEMJHSYPNT-SFHVURJKSA-N -1 1 313.347 1.407 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@]23C[C@H]2CCCC3)cc1C ZINC000601499795 358576896 /nfs/dbraw/zinc/57/68/96/358576896.db2.gz KHJGTXPIXDTOJD-QMTHXVAHSA-N -1 1 313.375 1.986 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)COCC1CC1 ZINC000181055340 199245703 /nfs/dbraw/zinc/24/57/03/199245703.db2.gz SXFPRRNVJIXXID-UHFFFAOYSA-N -1 1 316.354 1.562 20 0 DDADMM COCC[C@H](NC(=O)Cc1ccc([O-])c(Cl)c1)C(=O)OC ZINC000601587092 358612848 /nfs/dbraw/zinc/61/28/48/358612848.db2.gz HDJHXVBQNCMMRH-NSHDSACASA-N -1 1 315.753 1.282 20 0 DDADMM Cn1ncc(C(=O)OC(C)(C)C)c1CNCc1ncccc1[O-] ZINC000602646501 359097155 /nfs/dbraw/zinc/09/71/55/359097155.db2.gz ACTRKXAOQUZMFY-UHFFFAOYSA-N -1 1 318.377 1.766 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2C[C@H]2CC(C)(C)C)n[n-]1 ZINC000603024173 359365999 /nfs/dbraw/zinc/36/59/99/359365999.db2.gz VMEBFHIMCUEIQM-VHSXEESVSA-N -1 1 308.382 1.670 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2C[C@H]2CC(C)(C)C)n1 ZINC000603024173 359366001 /nfs/dbraw/zinc/36/60/01/359366001.db2.gz VMEBFHIMCUEIQM-VHSXEESVSA-N -1 1 308.382 1.670 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCC(C)(C)C2)n[n-]1 ZINC000603152243 359439137 /nfs/dbraw/zinc/43/91/37/359439137.db2.gz BTYDSTJFKNOZCT-UWVGGRQHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCC(C)(C)C2)[n-]1 ZINC000603152243 359439141 /nfs/dbraw/zinc/43/91/41/359439141.db2.gz BTYDSTJFKNOZCT-UWVGGRQHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCC(C)(C)C2)n1 ZINC000603152243 359439143 /nfs/dbraw/zinc/43/91/43/359439143.db2.gz BTYDSTJFKNOZCT-UWVGGRQHSA-N -1 1 308.382 1.985 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H](CCC(=O)OC)C(=O)OC)n1 ZINC000603326063 359570429 /nfs/dbraw/zinc/57/04/29/359570429.db2.gz NFJIHOFTPVJASJ-SECBINFHSA-N -1 1 314.363 1.332 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-][C@H](C)C(F)F)cc1 ZINC000603422187 359630756 /nfs/dbraw/zinc/63/07/56/359630756.db2.gz FCPLCTAHUBECIS-MRVPVSSYSA-N -1 1 307.318 1.546 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](C)[C@H]2CCOC2)c(F)c1 ZINC000608033323 360107377 /nfs/dbraw/zinc/10/73/77/360107377.db2.gz FQMIKBHHCXZRCB-IUCAKERBSA-N -1 1 321.345 1.677 20 0 DDADMM O=C(COc1cc(Cl)cc(Cl)c1)NCc1nn[n-]n1 ZINC000608132590 360129639 /nfs/dbraw/zinc/12/96/39/360129639.db2.gz HUXXGFDRRWTNDW-UHFFFAOYSA-N -1 1 302.121 1.202 20 0 DDADMM COc1ccc(C(=O)NCC(=O)Nc2c([O-])cccc2F)cc1 ZINC000608365926 360167622 /nfs/dbraw/zinc/16/76/22/360167622.db2.gz CVLKNSXBQYQMOL-UHFFFAOYSA-N -1 1 318.304 1.908 20 0 DDADMM O=C(CN1C(=O)NC2(CCCC2)C1=O)Nc1c([O-])cccc1F ZINC000608367041 360167706 /nfs/dbraw/zinc/16/77/06/360167706.db2.gz SARNDYXKIAVIJO-UHFFFAOYSA-N -1 1 321.308 1.334 20 0 DDADMM COc1ccccc1C(C)(C)CN=c1ccc(C(N)=O)n[n-]1 ZINC000608752888 360236253 /nfs/dbraw/zinc/23/62/53/360236253.db2.gz PZLTXLCQAMFVQV-UHFFFAOYSA-N -1 1 300.362 1.396 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cccc(C[S@](C)=O)c2C)c1[O-] ZINC000610987200 360590837 /nfs/dbraw/zinc/59/08/37/360590837.db2.gz YPIMCQRUBWMFKN-NRFANRHFSA-N -1 1 307.375 1.863 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)c2cnccc2C)c1 ZINC000611292139 360690826 /nfs/dbraw/zinc/69/08/26/360690826.db2.gz VYROOMRNEBKPNX-SNVBAGLBSA-N -1 1 324.358 1.809 20 0 DDADMM COCCCOC1CN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000611889738 360850537 /nfs/dbraw/zinc/85/05/37/360850537.db2.gz COVBEUSDXDVQPP-UHFFFAOYSA-N -1 1 316.357 1.818 20 0 DDADMM CCC[C@H](NC(=O)c1ncc2ccccc2c1O)c1nn[n-]n1 ZINC000612062984 360907758 /nfs/dbraw/zinc/90/77/58/360907758.db2.gz YAFPCWDNBCJLAN-NSHDSACASA-N -1 1 312.333 1.725 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cnn(CC(F)(F)F)c1 ZINC000193329222 201030749 /nfs/dbraw/zinc/03/07/49/201030749.db2.gz BCBLZDUYGPFIPN-SSDOTTSWSA-N -1 1 301.290 1.222 20 0 DDADMM Cc1ccc2c(c1)CC[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)O2 ZINC000281524901 216361184 /nfs/dbraw/zinc/36/11/84/216361184.db2.gz IPBPDUDRGSVQCX-BXUZGUMPSA-N -1 1 315.377 1.464 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@@H](O)C12CCC2 ZINC000619084285 363892372 /nfs/dbraw/zinc/89/23/72/363892372.db2.gz XTZBENFCNQIBBW-GHMZBOCLSA-N -1 1 319.405 1.821 20 0 DDADMM Cc1nc(CS(=O)(=O)[N-]CC(=O)OC(C)(C)C)cs1 ZINC000619175523 363924678 /nfs/dbraw/zinc/92/46/78/363924678.db2.gz HWJIGVPVWCKIKL-UHFFFAOYSA-N -1 1 306.409 1.213 20 0 DDADMM CC(C)(C)N1C[C@@H](CNC(=O)c2cc(F)ccc2[O-])CC1=O ZINC000620017273 364246562 /nfs/dbraw/zinc/24/65/62/364246562.db2.gz JMJMMGMIMHGTTD-SNVBAGLBSA-N -1 1 308.353 1.908 20 0 DDADMM O=C([O-])C1(CNC(=O)[C@H]2CCCN2Cc2ccccc2)CC1 ZINC000621572663 364919181 /nfs/dbraw/zinc/91/91/81/364919181.db2.gz UVIBGLRQOWFYJH-CQSZACIVSA-N -1 1 302.374 1.632 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@@H]1S(=O)(=O)[N-][C@@H](C)C(C)(F)F ZINC000451693192 291337200 /nfs/dbraw/zinc/33/72/00/291337200.db2.gz XHYFQSAWZFTVNI-AEJSXWLSSA-N -1 1 313.366 1.681 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1C[C@H](O)C[C@H]1[C@H]1CCCOC1 ZINC000622079439 365235173 /nfs/dbraw/zinc/23/51/73/365235173.db2.gz XKAUBYGFPLSVIV-SCRDCRAPSA-N -1 1 309.337 1.533 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)Cc1ccc(Cl)cc1 ZINC000625490230 366961005 /nfs/dbraw/zinc/96/10/05/366961005.db2.gz BIFGWKBWHHZZJP-NSHDSACASA-N -1 1 303.767 1.117 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC000625489393 366961619 /nfs/dbraw/zinc/96/16/19/366961619.db2.gz AIQYEAKCTBCWJH-QMMMGPOBSA-N -1 1 307.730 1.115 20 0 DDADMM CN(CC[N-]S(=O)(=O)c1sccc1Cl)CC(F)F ZINC000625672653 367083713 /nfs/dbraw/zinc/08/37/13/367083713.db2.gz KMLIHVGDWXNKAQ-UHFFFAOYSA-N -1 1 318.798 1.877 20 0 DDADMM C[C@H](CN(C)C(=O)c1nccc2ccsc21)c1nn[n-]n1 ZINC000626443862 367547851 /nfs/dbraw/zinc/54/78/51/367547851.db2.gz YOGSKLKCDJBGLI-MRVPVSSYSA-N -1 1 302.363 1.685 20 0 DDADMM C[C@@H]1CN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CC[C@@H]1C(=O)[O-] ZINC000626764611 367733017 /nfs/dbraw/zinc/73/30/17/367733017.db2.gz RQKSQHDGZUVWKM-MXWKQRLJSA-N -1 1 306.366 1.019 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCc3cncn3C2)c1 ZINC000566291628 304139777 /nfs/dbraw/zinc/13/97/77/304139777.db2.gz JHANYKDALJCEOU-LLVKDONJSA-N -1 1 315.329 1.576 20 0 DDADMM COc1ccc(C[N@@H+](CC(=O)NCC(=O)[O-])[C@H](C)C2CC2)cc1 ZINC000262208338 203229798 /nfs/dbraw/zinc/22/97/98/203229798.db2.gz JHPNXAHDPOYJJX-GFCCVEGCSA-N -1 1 320.389 1.497 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)[C@H](C)c2ccccc2F)n1 ZINC000350241144 284172283 /nfs/dbraw/zinc/17/22/83/284172283.db2.gz OPKJQVAZEXAJEK-MRVPVSSYSA-N -1 1 312.322 1.870 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC3(CCSCC3)CC2)C1=O ZINC000635020468 422773424 /nfs/dbraw/zinc/77/34/24/422773424.db2.gz NSHUZABOWYGNLT-GFCCVEGCSA-N -1 1 312.435 1.281 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cccc3nsnc32)o1 ZINC000282477185 217024298 /nfs/dbraw/zinc/02/42/98/217024298.db2.gz DYAVSRGRTMSPMR-UHFFFAOYSA-N -1 1 324.387 1.720 20 0 DDADMM CCCC[C@@H](NC(N)=O)C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000282544071 217076401 /nfs/dbraw/zinc/07/64/01/217076401.db2.gz DDCDAVBWRJAZGZ-SNVBAGLBSA-N -1 1 323.349 1.344 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2ncccc2Cl)c[nH]1 ZINC000351511032 284354098 /nfs/dbraw/zinc/35/40/98/284354098.db2.gz OOQUVKLGBMLSCA-UHFFFAOYSA-N -1 1 315.738 1.651 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC(C)(C)C2)o1 ZINC000351545131 284370987 /nfs/dbraw/zinc/37/09/87/284370987.db2.gz KSIITHNLQAOBEF-SECBINFHSA-N -1 1 300.380 1.496 20 0 DDADMM Cc1cccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c1C ZINC000282972760 217360853 /nfs/dbraw/zinc/36/08/53/217360853.db2.gz SIJRIDUORZPMRC-GFCCVEGCSA-N -1 1 300.362 1.735 20 0 DDADMM CCCCc1c(C)nc2nc(SCc3nn[n-]n3)nn2c1C ZINC000267382520 206026100 /nfs/dbraw/zinc/02/61/00/206026100.db2.gz NRUJTDJCDOBCMF-UHFFFAOYSA-N -1 1 318.410 1.889 20 0 DDADMM O=C(CCc1cc(F)ccc1F)NCCCc1nc(=O)[n-][nH]1 ZINC000174583364 248370894 /nfs/dbraw/zinc/37/08/94/248370894.db2.gz XMOXCXPYJJLDIL-UHFFFAOYSA-N -1 1 310.304 1.058 20 0 DDADMM Cc1nc(S(=O)(=O)Nc2cccc(C(=O)[O-])c2F)cn1C ZINC000336847056 249252616 /nfs/dbraw/zinc/25/26/16/249252616.db2.gz CLJFYXRHSUSQCC-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM CCn1cc(NS(=O)(=O)c2ccc(C(=O)[O-])c(C)c2)cn1 ZINC000336847645 249255169 /nfs/dbraw/zinc/25/51/69/249255169.db2.gz XOLOMOUSSWUIAS-UHFFFAOYSA-N -1 1 309.347 1.710 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000274095828 211352061 /nfs/dbraw/zinc/35/20/61/211352061.db2.gz NZDIXMKMQDEKQF-JTQLQIEISA-N -1 1 317.794 1.695 20 0 DDADMM COCC1(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)CCC1 ZINC000284417506 218019213 /nfs/dbraw/zinc/01/92/13/218019213.db2.gz RYGLWYZLKQWHSQ-UHFFFAOYSA-N -1 1 318.377 1.072 20 0 DDADMM CN(C)c1cccc(CCC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)c1 ZINC000284543196 218072303 /nfs/dbraw/zinc/07/23/03/218072303.db2.gz CURZRAODEXNKLT-PGMHBOJBSA-N -1 1 323.400 1.979 20 0 DDADMM CC[C@@H](C)[C@@H]([N-]S(=O)(=O)c1sccc1F)C(=O)OC ZINC000338855483 250165004 /nfs/dbraw/zinc/16/50/04/250165004.db2.gz QUFYKFURENOYRQ-VXNVDRBHSA-N -1 1 309.384 1.753 20 0 DDADMM CCc1noc(C)c1NS(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000339073931 250281747 /nfs/dbraw/zinc/28/17/47/250281747.db2.gz AWMQKJXWEBBZNC-UHFFFAOYSA-N -1 1 313.335 1.383 20 0 DDADMM CC(=O)c1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n(C)c1 ZINC000339193464 250337766 /nfs/dbraw/zinc/33/77/66/250337766.db2.gz WZNZQVMQFIFTKB-UHFFFAOYSA-N -1 1 318.333 1.336 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1ccc(N(C)C)cc1C ZINC000285189105 218326679 /nfs/dbraw/zinc/32/66/79/218326679.db2.gz VKQJWRYXEPZBCR-UHFFFAOYSA-N -1 1 308.407 1.904 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2c(C)coc2C)c1 ZINC000359701418 299517667 /nfs/dbraw/zinc/51/76/67/299517667.db2.gz UKZCJJPHGZHKIK-UHFFFAOYSA-N -1 1 324.358 1.762 20 0 DDADMM Cc1ccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c2cccnc12 ZINC000352424193 285040983 /nfs/dbraw/zinc/04/09/83/285040983.db2.gz RAQVEZAAQZQVLD-UHFFFAOYSA-N -1 1 320.312 1.527 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2CCSCC2)c1 ZINC000269982558 208035880 /nfs/dbraw/zinc/03/58/80/208035880.db2.gz DBVQFQCMZCCNAD-UHFFFAOYSA-N -1 1 319.404 1.488 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC=C(C)CC1 ZINC000270087465 208144336 /nfs/dbraw/zinc/14/43/36/208144336.db2.gz KFBZEPDHIGGBRN-UHFFFAOYSA-N -1 1 300.362 1.361 20 0 DDADMM C[C@H](CO)CCC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000270222107 208287523 /nfs/dbraw/zinc/28/75/23/208287523.db2.gz HSNISVDFGYGCBK-QMMMGPOBSA-N -1 1 311.325 1.791 20 0 DDADMM COc1ccc(C[N-]S(=O)(=O)c2c(C)noc2C)cc1O ZINC000158845504 197305507 /nfs/dbraw/zinc/30/55/07/197305507.db2.gz UKAHQNZIJPHXNS-UHFFFAOYSA-N -1 1 312.347 1.484 20 0 DDADMM O=c1nc(CN2CCC[C@@H](n3ccc(C(F)(F)F)n3)C2)[nH][n-]1 ZINC000272881132 210270082 /nfs/dbraw/zinc/27/00/82/210270082.db2.gz CLCLVUIYTFOSAB-MRVPVSSYSA-N -1 1 316.287 1.150 20 0 DDADMM COCCOC[C@@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000435227274 533102685 /nfs/dbraw/zinc/10/26/85/533102685.db2.gz RXWKEBGNUBTOQU-MRVPVSSYSA-N -1 1 308.425 1.090 20 0 DDADMM COc1ccccc1[C@H](CNc1ncc(C(=O)[O-])cn1)N(C)C ZINC000567981035 304258225 /nfs/dbraw/zinc/25/82/25/304258225.db2.gz XZJZWIMBFFVVMU-ZDUSSCGKSA-N -1 1 316.361 1.898 20 0 DDADMM CC(C)(C)CCCS(=O)(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000568003001 304261296 /nfs/dbraw/zinc/26/12/96/304261296.db2.gz SZTDBSYJDGLYKR-LLVKDONJSA-N -1 1 317.411 1.151 20 0 DDADMM CC(C)(C)c1ccc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)cc1 ZINC000352748218 285273921 /nfs/dbraw/zinc/27/39/21/285273921.db2.gz JSCXEYDNWDGQHF-UHFFFAOYSA-N -1 1 322.390 1.758 20 0 DDADMM CC(C)(C)c1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1 ZINC000352748218 285273922 /nfs/dbraw/zinc/27/39/22/285273922.db2.gz JSCXEYDNWDGQHF-UHFFFAOYSA-N -1 1 322.390 1.758 20 0 DDADMM COCCCNC(=O)[C@@H](C)Sc1nc(C(F)F)cc(=O)[n-]1 ZINC000289504963 221025387 /nfs/dbraw/zinc/02/53/87/221025387.db2.gz LAZPJLXPCSVQSJ-SSDOTTSWSA-N -1 1 321.349 1.753 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H](C)CC(C)C ZINC000121648235 195322740 /nfs/dbraw/zinc/32/27/40/195322740.db2.gz RUENBUJGNIMFQZ-JTQLQIEISA-N -1 1 304.394 1.733 20 0 DDADMM COC(=O)C[N-]C(=O)C(F)(F)c1ccccc1Br ZINC000294523265 533515699 /nfs/dbraw/zinc/51/56/99/533515699.db2.gz YWLXNFZQOPBQTK-UHFFFAOYSA-N -1 1 322.105 1.830 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H](CO)Cc2ccccc2C)sc1C ZINC000433796126 533650109 /nfs/dbraw/zinc/65/01/09/533650109.db2.gz JIODRASOLBLULQ-ZDUSSCGKSA-N -1 1 320.414 1.984 20 0 DDADMM CCO/C=C/C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000493145469 285625113 /nfs/dbraw/zinc/62/51/13/285625113.db2.gz DGGYDGQIHWYBHO-PEZAXPGOSA-N -1 1 322.327 1.841 20 0 DDADMM CCC[C@H](CC)S(=O)(=O)[N-]c1ccn(CC(=O)OC)n1 ZINC000568832693 304314185 /nfs/dbraw/zinc/31/41/85/304314185.db2.gz IPYSIKNOPSFUJY-JTQLQIEISA-N -1 1 303.384 1.377 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](CO)C2CCCC2)sc1C ZINC000569771271 304377807 /nfs/dbraw/zinc/37/78/07/304377807.db2.gz UVHPUDJMVOTXRS-LLVKDONJSA-N -1 1 304.437 1.589 20 0 DDADMM COC(=O)NCC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000497266259 307387073 /nfs/dbraw/zinc/38/70/73/307387073.db2.gz RJQJAHLCYCHLBJ-UHFFFAOYSA-N -1 1 310.734 1.113 20 0 DDADMM O=C1COc2cc([N-]S(=O)(=O)c3ccccc3)ccc2N1 ZINC000011908660 406832272 /nfs/dbraw/zinc/83/22/72/406832272.db2.gz LQBLKKBBXFDJCR-UHFFFAOYSA-N -1 1 304.327 1.818 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCc2ccc(Cl)cc2)C1 ZINC000035296750 406971284 /nfs/dbraw/zinc/97/12/84/406971284.db2.gz AYUKPVWQXGYPEJ-GFCCVEGCSA-N -1 1 310.781 1.753 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cccc(C(F)(F)F)c2)n1 ZINC000044455376 407023343 /nfs/dbraw/zinc/02/33/43/407023343.db2.gz NAYBOOVVJHOXBN-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM CCNC(=O)CN(C)C(=O)c1ccc(Br)cc1[O-] ZINC000044491162 407024212 /nfs/dbraw/zinc/02/42/12/407024212.db2.gz NFNVPDSJMLTNAY-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM O=C(NC[C@@H]1COCCO1)c1ccc(Br)cc1[O-] ZINC000044490848 407024466 /nfs/dbraw/zinc/02/44/66/407024466.db2.gz QZCDHEGTZBQKBP-SECBINFHSA-N -1 1 316.151 1.300 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)Nc1ccccc1Br ZINC000037855525 406995128 /nfs/dbraw/zinc/99/51/28/406995128.db2.gz IKSLIBYASAZLFR-UHFFFAOYSA-N -1 1 315.167 1.794 20 0 DDADMM O=C(CSCc1cccc(Cl)c1)NN1CC(=O)[N-]C1=O ZINC000048448416 407098136 /nfs/dbraw/zinc/09/81/36/407098136.db2.gz AXKYIOUDLUPLIH-UHFFFAOYSA-N -1 1 313.766 1.156 20 0 DDADMM Cc1ccccc1[C@@H](C)NC(=O)[C@@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000086169940 407109525 /nfs/dbraw/zinc/10/95/25/407109525.db2.gz UOOZJGOEEMVSMI-GHMZBOCLSA-N -1 1 322.390 1.153 20 0 DDADMM Cc1ccccc1[C@@H](C)NC(=O)[C@@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000086169940 407109529 /nfs/dbraw/zinc/10/95/29/407109529.db2.gz UOOZJGOEEMVSMI-GHMZBOCLSA-N -1 1 322.390 1.153 20 0 DDADMM O=C([N-]CCCN1CCO[C@H](c2ccco2)C1)C(F)(F)F ZINC000091590801 407181308 /nfs/dbraw/zinc/18/13/08/407181308.db2.gz XGXOTBGUCZRITB-NSHDSACASA-N -1 1 306.284 1.722 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc3ccccc3n2)n1 ZINC000064348072 407238800 /nfs/dbraw/zinc/23/88/00/407238800.db2.gz WOOFMZOCRIXGDT-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2ccc3ccccc3n2)[n-]1 ZINC000064348072 407238803 /nfs/dbraw/zinc/23/88/03/407238803.db2.gz WOOFMZOCRIXGDT-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM Cc1ccccc1-n1ccnc1SCC(=O)Nc1nnn[n-]1 ZINC000102894929 407328515 /nfs/dbraw/zinc/32/85/15/407328515.db2.gz AVZZOPZZJYAVRQ-UHFFFAOYSA-N -1 1 315.362 1.425 20 0 DDADMM Cc1ccccc1-n1ccnc1SCC(=O)Nc1nn[n-]n1 ZINC000102894929 407328518 /nfs/dbraw/zinc/32/85/18/407328518.db2.gz AVZZOPZZJYAVRQ-UHFFFAOYSA-N -1 1 315.362 1.425 20 0 DDADMM O=C(c1ccc(F)cc1[O-])N1CCC[C@H](CN2CCOCC2)C1 ZINC000123778013 407338871 /nfs/dbraw/zinc/33/88/71/407338871.db2.gz NYDRWPCAKBYXRP-CYBMUJFWSA-N -1 1 322.380 1.716 20 0 DDADMM CC[C@@H](C)Oc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)ccn1 ZINC000124720602 407368025 /nfs/dbraw/zinc/36/80/25/407368025.db2.gz BSXQMVINWVGPJF-WDEREUQCSA-N -1 1 318.381 1.648 20 0 DDADMM CC(C)(C)C(=O)NC[C@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000112727139 407437780 /nfs/dbraw/zinc/43/77/80/407437780.db2.gz KFJGBFGVNWCEGG-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(c1ncccc1[O-])N1CCO[C@H](c2cccc(O)c2)C1 ZINC000151497911 407444627 /nfs/dbraw/zinc/44/46/27/407444627.db2.gz HODQLQJSJVPOEL-AWEZNQCLSA-N -1 1 300.314 1.707 20 0 DDADMM CCN(C)C(=O)[C@@H]1CSCN1C(=O)c1cc(F)ccc1[O-] ZINC000271237343 407591487 /nfs/dbraw/zinc/59/14/87/407591487.db2.gz GUYRNXMBUOUSMO-NSHDSACASA-N -1 1 312.366 1.525 20 0 DDADMM CC[C@@H](C)[N@H+](C)CC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000221861270 407550169 /nfs/dbraw/zinc/55/01/69/407550169.db2.gz YAURIEUMCWNIJG-SECBINFHSA-N -1 1 308.835 1.082 20 0 DDADMM Cc1ccc(O[C@H](C)C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000129478410 407612106 /nfs/dbraw/zinc/61/21/06/407612106.db2.gz BIYRKIAOWOLWLN-OLZOCXBDSA-N -1 1 315.377 1.682 20 0 DDADMM CSCCN(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000152493744 407644274 /nfs/dbraw/zinc/64/42/74/407644274.db2.gz PFOSZHOPFACNRL-UHFFFAOYSA-N -1 1 308.407 1.003 20 0 DDADMM O=C([N-]OCc1cccnc1)[C@H]1CC(=O)N(c2nccs2)C1 ZINC000152635424 407666899 /nfs/dbraw/zinc/66/68/99/407666899.db2.gz MTTWTMLTPIHPMZ-NSHDSACASA-N -1 1 318.358 1.139 20 0 DDADMM CCN(C(=O)[C@H](C)S(=O)(=O)c1ncn[n-]1)c1ccccc1 ZINC000153024078 407738553 /nfs/dbraw/zinc/73/85/53/407738553.db2.gz XROMOEZJRUCIKH-JTQLQIEISA-N -1 1 308.363 1.020 20 0 DDADMM CCN(C(=O)[C@H](C)S(=O)(=O)c1nc[n-]n1)c1ccccc1 ZINC000153024078 407738558 /nfs/dbraw/zinc/73/85/58/407738558.db2.gz XROMOEZJRUCIKH-JTQLQIEISA-N -1 1 308.363 1.020 20 0 DDADMM CC[C@@H](C)c1ccc(NC(=O)CS(=O)(=O)c2ncn[n-]2)cc1 ZINC000153044121 407740110 /nfs/dbraw/zinc/74/01/10/407740110.db2.gz VHTDXKBACVXDHR-SNVBAGLBSA-N -1 1 322.390 1.731 20 0 DDADMM CC[C@@H](C)c1ccc(NC(=O)CS(=O)(=O)c2nc[n-]n2)cc1 ZINC000153044121 407740115 /nfs/dbraw/zinc/74/01/15/407740115.db2.gz VHTDXKBACVXDHR-SNVBAGLBSA-N -1 1 322.390 1.731 20 0 DDADMM NC(=O)[C@H](NC(=O)c1c([O-])cccc1F)c1ccc(F)cc1 ZINC000132048061 407768454 /nfs/dbraw/zinc/76/84/54/407768454.db2.gz DVMRMAHMHQKUIB-CYBMUJFWSA-N -1 1 306.268 1.627 20 0 DDADMM COc1ccc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)cn1 ZINC000179402577 407782956 /nfs/dbraw/zinc/78/29/56/407782956.db2.gz DLCGGFKJPFNHGA-UHFFFAOYSA-N -1 1 313.317 1.008 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)c1c(F)cccc1F)NCC1CC1 ZINC000272269359 407841002 /nfs/dbraw/zinc/84/10/02/407841002.db2.gz KIQZWHFNTUTXQS-UHFFFAOYSA-N -1 1 318.270 1.699 20 0 DDADMM Cc1ccc(OCc2nnc(SCc3nn[n-]n3)o2)cc1 ZINC000267295940 407824837 /nfs/dbraw/zinc/82/48/37/407824837.db2.gz ACZGPUJRZWGMMG-UHFFFAOYSA-N -1 1 304.335 1.762 20 0 DDADMM NC(=O)COc1cccc(CNC(=O)c2c([O-])cccc2F)c1 ZINC000187359579 407849057 /nfs/dbraw/zinc/84/90/57/407849057.db2.gz NHGSFEBMBXUVPV-UHFFFAOYSA-N -1 1 318.304 1.325 20 0 DDADMM COc1cc(C(=O)N[C@H]2CC(=O)N(C3CC3)C2)cc(Cl)c1[O-] ZINC000135163283 407981539 /nfs/dbraw/zinc/98/15/39/407981539.db2.gz MJIPCWSIJZJOLC-VIFPVBQESA-N -1 1 324.764 1.547 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCS[C@@H](C)[C@@H]2C)o1 ZINC000118375593 407913979 /nfs/dbraw/zinc/91/39/79/407913979.db2.gz NUCLBPMNKCIDRZ-IUCAKERBSA-N -1 1 318.420 1.154 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1n[nH]c2ccccc21)[C@@H]1CCCOC1 ZINC000262760828 407919075 /nfs/dbraw/zinc/91/90/75/407919075.db2.gz KRALIVCABFMXAD-BXKDBHETSA-N -1 1 303.318 1.173 20 0 DDADMM Cc1ccc(CCNC(=O)c2c[n-]c3c(cnn3C)c2=O)cc1 ZINC000119259176 408024246 /nfs/dbraw/zinc/02/42/46/408024246.db2.gz BXSXWMAGOWCOFT-UHFFFAOYSA-N -1 1 310.357 1.955 20 0 DDADMM CC[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1ccncc1 ZINC000119281845 408030792 /nfs/dbraw/zinc/03/07/92/408030792.db2.gz MHZOZHKBNINLLI-ZDUSSCGKSA-N -1 1 311.345 1.950 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(Br)ccc2[O-])[C@H]1CO ZINC000189482894 408030745 /nfs/dbraw/zinc/03/07/45/408030745.db2.gz OVZMVLHKPMSLGK-KCJUWKMLSA-N -1 1 314.179 1.998 20 0 DDADMM COC(C)(C)C[C@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119287912 408032671 /nfs/dbraw/zinc/03/26/71/408032671.db2.gz BSSKRDNBJDROSD-VIFPVBQESA-N -1 1 306.366 1.607 20 0 DDADMM Cc1nn(C)cc1[C@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000181923830 408052848 /nfs/dbraw/zinc/05/28/48/408052848.db2.gz OHXYMPSZAFTZTC-QMMMGPOBSA-N -1 1 314.349 1.207 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@]2(C)CCO[C@@H]2C2CC2)c1Cl ZINC000273133093 408072647 /nfs/dbraw/zinc/07/26/47/408072647.db2.gz UDGLFDDQSJRPBZ-BXKDBHETSA-N -1 1 319.814 1.309 20 0 DDADMM O=C([O-])CCCNC(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000263465685 408147024 /nfs/dbraw/zinc/14/70/24/408147024.db2.gz KACPGRNVQLBHJN-NSHDSACASA-N -1 1 321.381 1.436 20 0 DDADMM Cc1cc([C@H]2CCCN(C(=O)[C@@H]3[C@H](C(=O)[O-])C3(C)C)C2)n[nH]1 ZINC000263557822 408172994 /nfs/dbraw/zinc/17/29/94/408172994.db2.gz PKHJBFASEOWIKN-WCFLWFBJSA-N -1 1 305.378 1.781 20 0 DDADMM CCOC(=O)CCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000263747495 408237437 /nfs/dbraw/zinc/23/74/37/408237437.db2.gz WFPHLONTZQNXDR-UHFFFAOYSA-N -1 1 307.318 1.586 20 0 DDADMM C[C@H](CN(C)C(=O)CSCc1ccncc1)c1nn[n-]n1 ZINC000273598636 408258716 /nfs/dbraw/zinc/25/87/16/408258716.db2.gz XQXFKPFRMKXFFA-SNVBAGLBSA-N -1 1 306.395 1.090 20 0 DDADMM CC(C)(C)NC(=O)[C@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000274194353 408320769 /nfs/dbraw/zinc/32/07/69/408320769.db2.gz MENXZLVVHQZGNV-SECBINFHSA-N -1 1 309.391 1.217 20 0 DDADMM O=C(CCc1cc(Cl)cs1)NCCCc1nc(=O)[n-][nH]1 ZINC000176263483 408327134 /nfs/dbraw/zinc/32/71/34/408327134.db2.gz AZMRJKVGZLPHRI-UHFFFAOYSA-N -1 1 314.798 1.495 20 0 DDADMM CSCc1cc(C(=O)NCCCc2nc(=O)[n-][nH]2)ccc1F ZINC000176264867 408327672 /nfs/dbraw/zinc/32/76/72/408327672.db2.gz QNADPRVXSLVSPD-UHFFFAOYSA-N -1 1 324.381 1.463 20 0 DDADMM CCCNC(=O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131009662 162002466 /nfs/dbraw/zinc/00/24/66/162002466.db2.gz SOBNRGYQOYQVGT-UHFFFAOYSA-N -1 1 308.762 1.284 20 0 DDADMM CN(CCc1ccccn1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132661364 162042632 /nfs/dbraw/zinc/04/26/32/162042632.db2.gz BDVSUUSMGCVDGH-UHFFFAOYSA-N -1 1 311.345 1.384 20 0 DDADMM O=S(=O)([N-]CCOCC(F)F)c1cc(F)c(F)cc1F ZINC000190962442 408348789 /nfs/dbraw/zinc/34/87/89/408348789.db2.gz WFEJAXDBMDEGGQ-UHFFFAOYSA-N -1 1 319.251 1.664 20 0 DDADMM CO[C@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)C(C)(C)C ZINC000174166912 162396967 /nfs/dbraw/zinc/39/69/67/162396967.db2.gz MMWXUGCHANBOEZ-GFCCVEGCSA-N -1 1 303.362 1.714 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(-n2cccn2)cc1)c1nn[n-]n1 ZINC000183409554 408405310 /nfs/dbraw/zinc/40/53/10/408405310.db2.gz AVHRNEINKZIOOT-LLVKDONJSA-N -1 1 311.349 1.261 20 0 DDADMM C[C@H](CN(C)C(=O)c1nc(Cl)ccc1Cl)c1nn[n-]n1 ZINC000183426049 408408694 /nfs/dbraw/zinc/40/86/94/408408694.db2.gz ZZKDMFSNVXKGKP-ZCFIWIBFSA-N -1 1 315.164 1.777 20 0 DDADMM CC(C)c1ccc(OCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183432906 408411299 /nfs/dbraw/zinc/41/12/99/408411299.db2.gz MFLYOZNIJOHCHE-LBPRGKRZSA-N -1 1 317.393 1.964 20 0 DDADMM CCC(CC)[C@H](O)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000269787769 408428665 /nfs/dbraw/zinc/42/86/65/408428665.db2.gz QBHYJKIGJOAKFG-SNVBAGLBSA-N -1 1 319.379 1.142 20 0 DDADMM Cc1ccccc1NCCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000274721707 408509314 /nfs/dbraw/zinc/50/93/14/408509314.db2.gz AQNLACUUWWBALI-LBPRGKRZSA-N -1 1 302.382 1.572 20 0 DDADMM CCCn1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1C1CC1 ZINC000274731241 408512275 /nfs/dbraw/zinc/51/22/75/408512275.db2.gz COPOCJSXRSORDA-JTQLQIEISA-N -1 1 317.397 1.559 20 0 DDADMM O=S(=O)([N-]CCCn1ccnn1)c1cc(F)c(F)cc1F ZINC000183162978 306709689 /nfs/dbraw/zinc/70/96/89/306709689.db2.gz WWZLECFAMKUWSR-UHFFFAOYSA-N -1 1 320.296 1.064 20 0 DDADMM CO[C@](C)(C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccccc1F ZINC000192209622 408557895 /nfs/dbraw/zinc/55/78/95/408557895.db2.gz BRXFXKOYHZUNTM-BMIGLBTASA-N -1 1 321.356 1.463 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(F)ccc2[O-])CCS1(=O)=O ZINC000192327232 408576338 /nfs/dbraw/zinc/57/63/38/408576338.db2.gz GJINVYXNWNAEJZ-SECBINFHSA-N -1 1 301.339 1.181 20 0 DDADMM Cn1cc([C@H]2OCCC[C@@H]2NC(=O)c2ccc([O-])cc2F)cn1 ZINC000275418651 408587211 /nfs/dbraw/zinc/58/72/11/408587211.db2.gz OQNXXONXXIOJCS-LSDHHAIUSA-N -1 1 319.336 1.915 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)c1ncn(C)n1 ZINC000275617625 408622960 /nfs/dbraw/zinc/62/29/60/408622960.db2.gz IBRHINYYHLHWTR-ZETCQYMHSA-N -1 1 302.306 1.133 20 0 DDADMM O=S(=O)(Cc1cc(Cl)c2c(c1)OCCO2)c1ncn[n-]1 ZINC000177504278 408643392 /nfs/dbraw/zinc/64/33/92/408643392.db2.gz RLZOGBWSIQSIAG-UHFFFAOYSA-N -1 1 315.738 1.203 20 0 DDADMM O=S(=O)(Cc1cc(Cl)c2c(c1)OCCO2)c1nc[n-]n1 ZINC000177504278 408643399 /nfs/dbraw/zinc/64/33/99/408643399.db2.gz RLZOGBWSIQSIAG-UHFFFAOYSA-N -1 1 315.738 1.203 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1CCCN(C(=O)Nc2ccccc2)C1 ZINC000265458646 408660444 /nfs/dbraw/zinc/66/04/44/408660444.db2.gz MPDPAFSHIKNIAH-JTQLQIEISA-N -1 1 315.337 1.082 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1CCCN(C(=O)Nc2ccccc2)C1 ZINC000265458646 408660448 /nfs/dbraw/zinc/66/04/48/408660448.db2.gz MPDPAFSHIKNIAH-JTQLQIEISA-N -1 1 315.337 1.082 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(CC(C)C)C(C)C)co1 ZINC000179402949 162725638 /nfs/dbraw/zinc/72/56/38/162725638.db2.gz QFYWFBUFPSISTI-UHFFFAOYSA-N -1 1 302.396 1.694 20 0 DDADMM Cn1ncnc1CSc1nc([O-])cc(=O)n1C1CCCC1 ZINC000177668405 408680402 /nfs/dbraw/zinc/68/04/02/408680402.db2.gz RHAZJLVUDMASJU-UHFFFAOYSA-N -1 1 307.379 1.485 20 0 DDADMM COCCC(C)(C)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000185377866 408802302 /nfs/dbraw/zinc/80/23/02/408802302.db2.gz XVPQNUVIWAXYIK-UHFFFAOYSA-N -1 1 303.362 1.716 20 0 DDADMM C[C@@H](C[S@@](C)=O)NC(=O)c1ccc(Br)cc1[O-] ZINC000168285346 408818610 /nfs/dbraw/zinc/81/86/10/408818610.db2.gz GODLUBFEMQJRKB-SKLCBMLGSA-N -1 1 320.208 1.652 20 0 DDADMM Cc1ccc(CN2CCSCC2)cc1NC(=O)CNC(=O)[O-] ZINC000285628831 408832199 /nfs/dbraw/zinc/83/21/99/408832199.db2.gz KHMSFXSGUZHADU-UHFFFAOYSA-N -1 1 323.418 1.750 20 0 DDADMM C[C@@H]1CC=CC[C@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000285709631 408848356 /nfs/dbraw/zinc/84/83/56/408848356.db2.gz DQIPZSINBTXZOO-MWLCHTKSSA-N -1 1 310.375 1.580 20 0 DDADMM O=C([O-])CCC(=O)NC[C@H]1CSCCN1Cc1ccccc1 ZINC000277365965 408927766 /nfs/dbraw/zinc/92/77/66/408927766.db2.gz MNMTVOIZPRONOW-AWEZNQCLSA-N -1 1 322.430 1.585 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C2(OC)CCOCC2)c1 ZINC000286143902 408930673 /nfs/dbraw/zinc/93/06/73/408930673.db2.gz ZCOMDFLSBASOQI-UHFFFAOYSA-N -1 1 309.318 1.313 20 0 DDADMM CCNC(=O)[C@@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000231323116 163349998 /nfs/dbraw/zinc/34/99/98/163349998.db2.gz MMMLJVNMTDOTCL-SSDOTTSWSA-N -1 1 315.167 1.409 20 0 DDADMM CN1CCO[C@H]2CCN(C(=O)c3c(F)ccc([O-])c3F)C[C@H]21 ZINC000286413801 408980971 /nfs/dbraw/zinc/98/09/71/408980971.db2.gz OOACPRIWXQXGJR-PWSUYJOCSA-N -1 1 312.316 1.216 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCCSC2)c1 ZINC000287331805 409021755 /nfs/dbraw/zinc/02/17/55/409021755.db2.gz GFXXWHXUOUJRBP-SECBINFHSA-N -1 1 319.404 1.488 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000287678754 409075503 /nfs/dbraw/zinc/07/55/03/409075503.db2.gz ALSMGFXIEMDORC-NEPJUHHUSA-N -1 1 305.330 1.423 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCCC(=O)OC(C)(C)C)ccnc1-2 ZINC000287708796 409079575 /nfs/dbraw/zinc/07/95/75/409079575.db2.gz RYQGYCBOKXSCJV-ODLFYWEKSA-N -1 1 319.365 1.195 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H]3CCO[C@H](C4CC4)C3)ccnc1-2 ZINC000279300286 409187607 /nfs/dbraw/zinc/18/76/07/409187607.db2.gz LMXMODNDDVRVLX-UFSUTXSBSA-N -1 1 315.377 1.421 20 0 DDADMM CC[C@H](C)CO[N-]C(=O)Cn1c(=O)c2ccccc2[nH]c1=S ZINC000293858061 409195755 /nfs/dbraw/zinc/19/57/55/409195755.db2.gz XOVVSBBHFSBDHU-JTQLQIEISA-N -1 1 321.402 1.779 20 0 DDADMM Cn1nccc1N1CCC[C@@H](NC(=O)c2c([O-])cccc2F)C1 ZINC000279040218 409137151 /nfs/dbraw/zinc/13/71/51/409137151.db2.gz LIVJRDUAFWBGGT-LLVKDONJSA-N -1 1 318.352 1.664 20 0 DDADMM CC[C@@](NC(=O)c1ccc2n[n-]c(=S)n2c1)(C(N)=O)C(C)C ZINC000283512862 409169713 /nfs/dbraw/zinc/16/97/13/409169713.db2.gz SBPGHLXGXZPNBV-AWEZNQCLSA-N -1 1 321.406 1.038 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc(Br)cc2[O-])C(=O)O1 ZINC000293957539 409214878 /nfs/dbraw/zinc/21/48/78/409214878.db2.gz PPRSFNJPKZYVNJ-IMTBSYHQSA-N -1 1 314.135 1.589 20 0 DDADMM CC(C)[C@@H](O)C(C)(C)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283798996 409218593 /nfs/dbraw/zinc/21/85/93/409218593.db2.gz VVEFNRKVRJIGGX-GFCCVEGCSA-N -1 1 322.434 1.791 20 0 DDADMM CC[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H](O)C(F)F ZINC000295506893 409319218 /nfs/dbraw/zinc/31/92/18/409319218.db2.gz PCAMXCCLWOQMBV-VXNVDRBHSA-N -1 1 316.333 1.152 20 0 DDADMM CCOc1cc(C(=O)N[C@H](CO)COC)cc(Cl)c1[O-] ZINC000280193399 409266764 /nfs/dbraw/zinc/26/67/64/409266764.db2.gz JIAAANPPDWTEQS-SECBINFHSA-N -1 1 303.742 1.181 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000262618906 163858612 /nfs/dbraw/zinc/85/86/12/163858612.db2.gz CFOGYXWLVBMUHC-CHWSQXEVSA-N -1 1 320.389 1.669 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)NCc2n[nH]c(C(C)(C)C)n2)C1 ZINC000263355262 163955491 /nfs/dbraw/zinc/95/54/91/163955491.db2.gz QQWYQJXIRPWYIN-ZJUUUORDSA-N -1 1 323.397 1.354 20 0 DDADMM O=S(=O)([N-]CCF)c1cc(F)ccc1Br ZINC000280707281 409420267 /nfs/dbraw/zinc/42/02/67/409420267.db2.gz HKTUCCGJQMCINV-UHFFFAOYSA-N -1 1 300.124 1.836 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]2C(F)F)o1 ZINC000343397139 164086121 /nfs/dbraw/zinc/08/61/21/164086121.db2.gz INWWMAOPBQMETC-ZETCQYMHSA-N -1 1 308.306 1.057 20 0 DDADMM C[C@@H]1CC[C@@H](CCC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)O1 ZINC000407996430 164192598 /nfs/dbraw/zinc/19/25/98/164192598.db2.gz LAHJFSNTLOBVDY-WOPDTQHZSA-N -1 1 309.366 1.829 20 0 DDADMM CC[C@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C[C@@H]1O ZINC000408021543 164201087 /nfs/dbraw/zinc/20/10/87/164201087.db2.gz QSSHBXXUXKLLMD-QWRGUYRKSA-N -1 1 307.394 1.631 20 0 DDADMM COC(=O)CCCO[N-]C(=O)c1c[nH]c2c(C)cccc2c1=O ZINC000290652361 409475734 /nfs/dbraw/zinc/47/57/34/409475734.db2.gz NKTFUSOVBUKSJJ-UHFFFAOYSA-N -1 1 318.329 1.863 20 0 DDADMM Cc1cccc2nc(CNC(=O)N=c3nc4ccccn4[n-]3)[nH]c21 ZINC000353920746 409561973 /nfs/dbraw/zinc/56/19/73/409561973.db2.gz FWHIAUIIMXZINM-UHFFFAOYSA-N -1 1 321.344 1.658 20 0 DDADMM CS[C@H]1CCC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000337919177 409568191 /nfs/dbraw/zinc/56/81/91/409568191.db2.gz XIMIUGOHIFDQJK-QWRGUYRKSA-N -1 1 323.418 1.307 20 0 DDADMM C[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)CNC(=O)c1ncccc1[O-] ZINC000337897873 409552508 /nfs/dbraw/zinc/55/25/08/409552508.db2.gz FWXZQCNWCAFEIV-AGIUHOORSA-N -1 1 317.389 1.697 20 0 DDADMM CC[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])c1cccs1 ZINC000337948761 409592713 /nfs/dbraw/zinc/59/27/13/409592713.db2.gz VFJQCOGXGHLKRE-SNVBAGLBSA-N -1 1 319.386 1.846 20 0 DDADMM Cc1ccc(-c2nsc([N-]C(=O)c3ncn(C)n3)n2)s1 ZINC000354002830 409594390 /nfs/dbraw/zinc/59/43/90/409594390.db2.gz PTYYRXZYALZMIW-UHFFFAOYSA-N -1 1 306.376 1.956 20 0 DDADMM Cn1[n-]c(CN2CCO[C@@H](c3cccc(Cl)c3)C2)nc1=O ZINC000331580888 409658173 /nfs/dbraw/zinc/65/81/73/409658173.db2.gz LVGOCHYPEXEDCY-GFCCVEGCSA-N -1 1 308.769 1.335 20 0 DDADMM CC[C@H](C)[C@H](O)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000305060052 409664970 /nfs/dbraw/zinc/66/49/70/409664970.db2.gz KRVCFFPJPYHDHO-GXSJLCMTSA-N -1 1 309.410 1.925 20 0 DDADMM CS(=O)(=O)Nc1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC000338046088 409674297 /nfs/dbraw/zinc/67/42/97/409674297.db2.gz FVUHGKAJXNHHRM-UHFFFAOYSA-N -1 1 321.358 1.089 20 0 DDADMM C[C@]1(CO)CCC[C@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000305100001 409681394 /nfs/dbraw/zinc/68/13/94/409681394.db2.gz AWJADDHXNDNKEN-DGCLKSJQSA-N -1 1 305.346 1.794 20 0 DDADMM O=C(CCCCC(=O)c1ccccc1)NC1(c2nn[n-]n2)CC1 ZINC000357076920 409844481 /nfs/dbraw/zinc/84/44/81/409844481.db2.gz NBAZTOULPLDYFQ-UHFFFAOYSA-N -1 1 313.361 1.748 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(F)cc1C(F)(F)F ZINC000357076054 409847290 /nfs/dbraw/zinc/84/72/90/409847290.db2.gz LRCYBEANEOIHSX-UHFFFAOYSA-N -1 1 315.230 1.777 20 0 DDADMM C[C@H](c1ccccc1)N1C[C@@H](C(=O)[N-]OC(C)(C)CO)CC1=O ZINC000297223966 409860913 /nfs/dbraw/zinc/86/09/13/409860913.db2.gz NZZPWFYRFSCZHR-OCCSQVGLSA-N -1 1 320.389 1.415 20 0 DDADMM Cc1ncsc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000357099437 409874348 /nfs/dbraw/zinc/87/43/48/409874348.db2.gz HKLUKNQNUWFCQA-UHFFFAOYSA-N -1 1 313.360 1.057 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc(C)c(F)c2)C1 ZINC000324339779 409926238 /nfs/dbraw/zinc/92/62/38/409926238.db2.gz DBVPGVSNPSNLHP-MRXNPFEDSA-N -1 1 324.352 1.496 20 0 DDADMM CCCNC(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000338363777 409938568 /nfs/dbraw/zinc/93/85/68/409938568.db2.gz YBTPZRNBUOPGSI-UHFFFAOYSA-N -1 1 301.368 1.598 20 0 DDADMM CN(C)C(=O)[C@@H]1CC[C@@H](CN=c2nc(C(F)(F)F)[n-]s2)O1 ZINC000342930559 410007131 /nfs/dbraw/zinc/00/71/31/410007131.db2.gz HXSTWFZOHPFOHU-BQBZGAKWSA-N -1 1 324.328 1.027 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC000297858229 410026450 /nfs/dbraw/zinc/02/64/50/410026450.db2.gz YFLAZZKZJJTUPK-YFKPBYRVSA-N -1 1 313.770 1.621 20 0 DDADMM Cc1c(Cl)cccc1OCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000354769615 410073016 /nfs/dbraw/zinc/07/30/16/410073016.db2.gz CQPKEAUOTQLVAC-UHFFFAOYSA-N -1 1 309.757 1.592 20 0 DDADMM CC(C)COc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354789755 410088659 /nfs/dbraw/zinc/08/86/59/410088659.db2.gz SRVCIMZNDIEWSY-UHFFFAOYSA-N -1 1 303.366 1.900 20 0 DDADMM Cc1nc(S[C@@H](C)c2nc(-c3nc[nH]n3)no2)[n-]c(=O)c1C ZINC000346713842 410111963 /nfs/dbraw/zinc/11/19/63/410111963.db2.gz HQFMLTHPTZEANR-ZETCQYMHSA-N -1 1 319.350 1.820 20 0 DDADMM C[C@@H]1CCCC[C@H]1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332395999 410125742 /nfs/dbraw/zinc/12/57/42/410125742.db2.gz LNVMSIYDMLLZKM-GHMZBOCLSA-N -1 1 324.454 1.361 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)C[C@H](C)N1C ZINC000332460410 410172311 /nfs/dbraw/zinc/17/23/11/410172311.db2.gz PYEWHZIAMOZOEQ-PHIMTYICSA-N -1 1 316.361 1.195 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)[C@H]1CCCO1 ZINC000339451490 410195596 /nfs/dbraw/zinc/19/55/96/410195596.db2.gz QTCYDDWZTKLTKX-NXEZZACHSA-N -1 1 317.363 1.302 20 0 DDADMM CC(C)N1C(=O)C[C@H](NC(=O)Cc2ccc([O-])c(Cl)c2)C1=O ZINC000631618588 422856021 /nfs/dbraw/zinc/85/60/21/422856021.db2.gz JWWUTVQOTMEVAV-NSHDSACASA-N -1 1 324.764 1.240 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](OCC)c1ccccc1)c1nn[n-]n1 ZINC000298562682 410291088 /nfs/dbraw/zinc/29/10/88/410291088.db2.gz JOCVHCOPXFVGLA-OLZOCXBDSA-N -1 1 303.366 1.935 20 0 DDADMM N=c1nc(N2CCN(Cc3nc4ccccc4o3)CC2)s[n-]1 ZINC000333032883 410318780 /nfs/dbraw/zinc/31/87/80/410318780.db2.gz HWZCYVNUJDUMHQ-UHFFFAOYSA-N -1 1 316.390 1.414 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(C(=O)N2CCCC2)CC1 ZINC000339829447 410488846 /nfs/dbraw/zinc/48/88/46/410488846.db2.gz ILIZVHZMGWEJHE-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM O=C(Cc1cccc(F)c1F)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000298855695 410425768 /nfs/dbraw/zinc/42/57/68/410425768.db2.gz FPXNVAUPVIPCSK-SNVBAGLBSA-N -1 1 322.315 1.325 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCC13CCC(CC1)C3)c2=O ZINC000358321984 410447921 /nfs/dbraw/zinc/44/79/21/410447921.db2.gz CDKJCXFLRAXFJG-UHFFFAOYSA-N -1 1 300.362 1.984 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)[C@@H]1OC ZINC000330012180 410516533 /nfs/dbraw/zinc/51/65/33/410516533.db2.gz KEIIPJKGLXMDRH-AXFHLTTASA-N -1 1 320.436 1.231 20 0 DDADMM O=S(=O)([N-]Cc1nc(CO)cs1)c1cccc(F)c1F ZINC000347390732 410516641 /nfs/dbraw/zinc/51/66/41/410516641.db2.gz LHLXCDNBSIYYNP-UHFFFAOYSA-N -1 1 320.342 1.392 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC[C@@H]1C[C@H]1C ZINC000299082185 410511930 /nfs/dbraw/zinc/51/19/30/410511930.db2.gz XSSNTJFHAMTMRY-MFKMUULPSA-N -1 1 312.373 1.867 20 0 DDADMM Cc1ccncc1CN(C)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000358661460 410512094 /nfs/dbraw/zinc/51/20/94/410512094.db2.gz MLROMLRTBDAQPJ-UHFFFAOYSA-N -1 1 308.341 1.899 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCn2ccnc2C1 ZINC000329946636 410492160 /nfs/dbraw/zinc/49/21/60/410492160.db2.gz YAAFDGOBPWJPMF-UHFFFAOYSA-N -1 1 315.377 1.641 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2ccc(F)c(-c3nc[nH]n3)c2)cn1 ZINC000347393176 410518001 /nfs/dbraw/zinc/51/80/01/410518001.db2.gz SMQRDPNHVITEJG-UHFFFAOYSA-N -1 1 322.325 1.145 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)Cc1ccccc1F ZINC000352183172 410529262 /nfs/dbraw/zinc/52/92/62/410529262.db2.gz ALDNFRZHIKQTNH-QMMMGPOBSA-N -1 1 321.308 1.397 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-][C@H](CO)CC(F)(F)F)s1 ZINC000359193858 410569869 /nfs/dbraw/zinc/56/98/69/410569869.db2.gz KNUUUFSSDGKSLU-LURJTMIESA-N -1 1 318.342 1.297 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@H](C(=O)N3CCCC3)C2)c([O-])c1 ZINC000330193138 410581408 /nfs/dbraw/zinc/58/14/08/410581408.db2.gz OCIVHZMKULOFRJ-LBPRGKRZSA-N -1 1 303.362 1.180 20 0 DDADMM NS(=O)(=O)Nc1ccc(-c2nc3ccccc3c(=O)[n-]2)cc1 ZINC000343658644 410600087 /nfs/dbraw/zinc/60/00/87/410600087.db2.gz JRFXXYWAFOFCNT-UHFFFAOYSA-N -1 1 316.342 1.206 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H]1CCO[C@H](C(C)C)C1)c1nn[n-]n1 ZINC000359329098 410606955 /nfs/dbraw/zinc/60/69/55/410606955.db2.gz LNYJJYCHAVGXGK-AGIUHOORSA-N -1 1 309.414 1.998 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)Oc1ccc(OC)cc1)c1nn[n-]n1 ZINC000343758692 410674291 /nfs/dbraw/zinc/67/42/91/410674291.db2.gz ZQRDOFKEZHEPFY-ZWNOBZJWSA-N -1 1 319.365 1.633 20 0 DDADMM CCOc1ccc(CCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000359798382 410889159 /nfs/dbraw/zinc/88/91/59/410889159.db2.gz MVTAQCVALRKJKX-UHFFFAOYSA-N -1 1 303.366 1.583 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CC2)cnn1Cc1ccccc1 ZINC000348280839 410893089 /nfs/dbraw/zinc/89/30/89/410893089.db2.gz IFSQFNGMVOBSBE-UHFFFAOYSA-N -1 1 323.360 1.172 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@@H]2c2ccccc2)o1 ZINC000341142596 410894726 /nfs/dbraw/zinc/89/47/26/410894726.db2.gz HHVLVRPDSHUWTE-VXGBXAGGSA-N -1 1 321.354 1.901 20 0 DDADMM Cc1cc(C)c(OCC(=O)NC2(c3nn[n-]n3)CC2)c(C)c1 ZINC000348283746 410898340 /nfs/dbraw/zinc/89/83/40/410898340.db2.gz RHXGFIDGTJUJSO-UHFFFAOYSA-N -1 1 301.350 1.309 20 0 DDADMM CCO[C@@H](CCNC(=O)CNC(=O)c1ncccc1[O-])C(C)C ZINC000344020696 410898595 /nfs/dbraw/zinc/89/85/95/410898595.db2.gz SIICCGSDMPCGRX-ZDUSSCGKSA-N -1 1 323.393 1.084 20 0 DDADMM COc1cccc(SCC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000348299666 410905905 /nfs/dbraw/zinc/90/59/05/410905905.db2.gz YSFDJPQGBBJQEI-UHFFFAOYSA-N -1 1 305.363 1.106 20 0 DDADMM Cc1cc([N-]S(=O)(=O)N(C)C)nn1-c1ccc(F)cc1F ZINC000341193131 410926661 /nfs/dbraw/zinc/92/66/61/410926661.db2.gz LIHVNOFLPDNTTC-UHFFFAOYSA-N -1 1 316.333 1.677 20 0 DDADMM CO[C@H](C)c1nsc(=NC[C@H](C)CN2CCOCC2)[n-]1 ZINC000337785259 411000182 /nfs/dbraw/zinc/00/01/82/411000182.db2.gz AVMNTZORWXTMMX-WDEREUQCSA-N -1 1 300.428 1.048 20 0 DDADMM CCN(CC)CC[N-]S(=O)(=O)c1onc(C2CC2)c1Cl ZINC000631637797 422864033 /nfs/dbraw/zinc/86/40/33/422864033.db2.gz UEWASCUCCNFMKV-UHFFFAOYSA-N -1 1 321.830 1.826 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC[C@@H](C)[S@](C)=O)sc1C ZINC000331249821 411041947 /nfs/dbraw/zinc/04/19/47/411041947.db2.gz SAKSLVCUODWHFK-GJEGPGMTSA-N -1 1 310.466 1.195 20 0 DDADMM CC(C)c1nc(CNC(=O)N2CC[C@@H](C)[C@H](C(=O)[O-])C2)n[nH]1 ZINC000580070452 422883529 /nfs/dbraw/zinc/88/35/29/422883529.db2.gz JFPZVCCGJLHPOF-NXEZZACHSA-N -1 1 309.370 1.180 20 0 DDADMM COC(=O)[C@H](Cc1ccncc1)NC(=O)c1ncc(C)cc1[O-] ZINC000580094516 422889249 /nfs/dbraw/zinc/88/92/49/422889249.db2.gz ZIDSMGVLJRTFAB-LBPRGKRZSA-N -1 1 315.329 1.005 20 0 DDADMM CC[C@@H]1CN(C)c2ccccc2CN1C(=O)CCc1nn[n-]n1 ZINC000631736673 422899185 /nfs/dbraw/zinc/89/91/85/422899185.db2.gz SSRFFOCOUSMTKQ-CYBMUJFWSA-N -1 1 314.393 1.390 20 0 DDADMM CO[C@@H](CC(C)C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000642902468 422996121 /nfs/dbraw/zinc/99/61/21/422996121.db2.gz SUJCRBLCRGPPKJ-QWHCGFSZSA-N -1 1 303.366 1.466 20 0 DDADMM Cc1cccc(C(=O)N[C@@H]2C[C@@H]([NH+]3CCOCC3)C2(C)C)c1O ZINC000640528060 423013492 /nfs/dbraw/zinc/01/34/92/423013492.db2.gz WDIRBZAKDPATEE-HUUCEWRRSA-N -1 1 318.417 1.930 20 0 DDADMM CC[C@H](NC(=O)c1cccc(CN2CCN(C)CC2)c1)C(=O)[O-] ZINC000647768667 423038524 /nfs/dbraw/zinc/03/85/24/423038524.db2.gz PGTZDPZTATZUBJ-HNNXBMFYSA-N -1 1 319.405 1.027 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cnn2c1[nH]c(=O)c1ccccc12 ZINC000647815913 423057764 /nfs/dbraw/zinc/05/77/64/423057764.db2.gz QIVNVDHIOBJUCL-UHFFFAOYSA-N -1 1 324.300 1.165 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(Cc3ccon3)no2)c1 ZINC000350568220 306753102 /nfs/dbraw/zinc/75/31/02/306753102.db2.gz OTLRWDHSFOZRCJ-UHFFFAOYSA-N -1 1 300.274 1.979 20 0 DDADMM O=S(=O)([N-]c1cnoc1-c1ccccc1)N1CCCOCC1 ZINC000650192760 423082024 /nfs/dbraw/zinc/08/20/24/423082024.db2.gz BBEIQTVROVJNQY-UHFFFAOYSA-N -1 1 323.374 1.721 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H]1O)c1ncccc1C(F)(F)F ZINC000645568780 423090696 /nfs/dbraw/zinc/09/06/96/423090696.db2.gz ZCUDMRVSQAGNFB-SCZZXKLOSA-N -1 1 324.324 1.540 20 0 DDADMM O=S(=O)([N-][C@@H]1C=C[C@H](CO)C1)c1ncccc1C(F)(F)F ZINC000645579891 423094516 /nfs/dbraw/zinc/09/45/16/423094516.db2.gz NINUCBTUDGBHFK-DTWKUNHWSA-N -1 1 322.308 1.316 20 0 DDADMM CN(C)C(=O)O[C@@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000295667433 225104076 /nfs/dbraw/zinc/10/40/76/225104076.db2.gz NXJYYTWPZSYWRA-CYBMUJFWSA-N -1 1 320.345 1.264 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)N1CCC[C@H]1c1n[nH]c(-c2ccccc2)n1 ZINC000417378243 225309843 /nfs/dbraw/zinc/30/98/43/225309843.db2.gz RANHYMBUMJJNGN-JQWIXIFHSA-N -1 1 314.345 1.856 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)N1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000417378243 225309846 /nfs/dbraw/zinc/30/98/46/225309846.db2.gz RANHYMBUMJJNGN-JQWIXIFHSA-N -1 1 314.345 1.856 20 0 DDADMM CCOC1(C(=O)NCc2cc(=O)[n-]c(SC)n2)CCCC1 ZINC000640654837 423115459 /nfs/dbraw/zinc/11/54/59/423115459.db2.gz BFJAJFVHOICUMB-UHFFFAOYSA-N -1 1 311.407 1.870 20 0 DDADMM CSc1nc(CNC(=O)CCc2nc(C)cs2)cc(=O)[n-]1 ZINC000640655364 423115627 /nfs/dbraw/zinc/11/56/27/423115627.db2.gz CSHIVKYTAHJTFI-UHFFFAOYSA-N -1 1 324.431 1.918 20 0 DDADMM CSc1nc(CNC(=O)C2(C(F)(F)F)CC2)cc(=O)[n-]1 ZINC000640658613 423118770 /nfs/dbraw/zinc/11/87/70/423118770.db2.gz QMJKVBJTFVXPQM-UHFFFAOYSA-N -1 1 307.297 1.863 20 0 DDADMM O=C(N[C@H](C1CC1)C1CCOCC1)C(=O)c1ccc([O-])cc1 ZINC000652768592 423123410 /nfs/dbraw/zinc/12/34/10/423123410.db2.gz MZCYATWDUNVYGK-OAHLLOKOSA-N -1 1 303.358 1.896 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc[nH]2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000290393035 221620246 /nfs/dbraw/zinc/62/02/46/221620246.db2.gz IDTYODIIXLSFRJ-QXEWZRGKSA-N -1 1 317.311 1.932 20 0 DDADMM COC[C@H]1CCCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000373352015 418422907 /nfs/dbraw/zinc/42/29/07/418422907.db2.gz CNFRJACUAOTXKO-NSHDSACASA-N -1 1 318.377 1.563 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@](C)(O)CC1)c2=O ZINC000366242680 418447381 /nfs/dbraw/zinc/44/73/81/418447381.db2.gz BUHBUNUYZKRUHH-HNNXBMFYSA-N -1 1 304.350 1.051 20 0 DDADMM COc1cccc(NC(=O)CN2CCC(C)(C(=O)[O-])CC2)c1 ZINC000316266798 418599564 /nfs/dbraw/zinc/59/95/64/418599564.db2.gz QQAKFWGJZJGBJQ-UHFFFAOYSA-N -1 1 306.362 1.820 20 0 DDADMM Cc1ccc(CNC(=O)CN2CCC(C)(C(=O)[O-])CC2)cc1 ZINC000316165063 418599794 /nfs/dbraw/zinc/59/97/94/418599794.db2.gz TYFNASIURZHCMH-UHFFFAOYSA-N -1 1 304.390 1.798 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC(F)(F)[C@H](CO)C1 ZINC000291248679 222258001 /nfs/dbraw/zinc/25/80/01/222258001.db2.gz NIHCRAGFLIMUDB-ZETCQYMHSA-N -1 1 307.243 1.760 20 0 DDADMM COCC[C@H]1COCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000291292975 222291559 /nfs/dbraw/zinc/29/15/59/222291559.db2.gz RIQRXTHLWCKEJB-ZDUSSCGKSA-N -1 1 319.357 1.736 20 0 DDADMM C[C@@H]1CC(=O)NCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000367871767 418650373 /nfs/dbraw/zinc/65/03/73/418650373.db2.gz USGMQQCEZYMTNX-SNVBAGLBSA-N -1 1 302.330 1.209 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@H]1[C@@H]1CCCC1=O ZINC000375289014 418625301 /nfs/dbraw/zinc/62/53/01/418625301.db2.gz WISNDSGSYBDFAJ-JSGCOSHPSA-N -1 1 307.321 1.742 20 0 DDADMM CCOc1nc(C)ccc1C(=O)N=c1nc(-c2ccccn2)[nH][n-]1 ZINC000361799453 418712073 /nfs/dbraw/zinc/71/20/73/418712073.db2.gz QBZZSEWXBKGPGB-UHFFFAOYSA-N -1 1 324.344 1.643 20 0 DDADMM Cn1nc2ccccc2c1C(=O)Nc1nc(SCCO)n[nH]1 ZINC000361501075 418663507 /nfs/dbraw/zinc/66/35/07/418663507.db2.gz MFCBPNVMYZPYGF-UHFFFAOYSA-N -1 1 318.362 1.028 20 0 DDADMM CNS(=O)(=O)c1cc(-c2nc([C@H](N)CC(C)C)no2)co1 ZINC000361607255 418690408 /nfs/dbraw/zinc/69/04/08/418690408.db2.gz NTBWWENVKYLDCC-SECBINFHSA-N -1 1 314.367 1.284 20 0 DDADMM CC(C)(C)C(=O)Nc1ccc(F)c(C(=O)Nc2nnn[n-]2)c1 ZINC000361722592 418702800 /nfs/dbraw/zinc/70/28/00/418702800.db2.gz ZWOSTABACAPKFN-UHFFFAOYSA-N -1 1 306.301 1.576 20 0 DDADMM CC(C)(C)C(=O)Nc1ccc(F)c(C(=O)Nc2nn[n-]n2)c1 ZINC000361722592 418702801 /nfs/dbraw/zinc/70/28/01/418702801.db2.gz ZWOSTABACAPKFN-UHFFFAOYSA-N -1 1 306.301 1.576 20 0 DDADMM NC(=O)c1[nH]nnc1NC(=O)c1cccc([C@@H]2CCCOC2)c1 ZINC000410856786 418852688 /nfs/dbraw/zinc/85/26/88/418852688.db2.gz GTYOGZNZAXOJRL-LLVKDONJSA-N -1 1 315.333 1.050 20 0 DDADMM CCCN1C[C@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CC1=O ZINC000411576388 419350208 /nfs/dbraw/zinc/35/02/08/419350208.db2.gz YWRXUHAZVQFJLU-SNVBAGLBSA-N -1 1 318.402 1.757 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2ccc3n[nH]nc3c2)cc1 ZINC000426300947 419429355 /nfs/dbraw/zinc/42/93/55/419429355.db2.gz KWWVRNKBSFMJAQ-UHFFFAOYSA-N -1 1 318.314 1.457 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(C(=O)N(C)C)cc1)OC ZINC000421159958 419505829 /nfs/dbraw/zinc/50/58/29/419505829.db2.gz XOBWNLCKVXOAEO-CYBMUJFWSA-N -1 1 314.407 1.555 20 0 DDADMM CC(=O)Nc1cccc(CNC2(c3nnn[n-]3)CCCC2)c1 ZINC000638843437 423152435 /nfs/dbraw/zinc/15/24/35/423152435.db2.gz QQPAUWXIODJOGO-UHFFFAOYSA-N -1 1 300.366 1.717 20 0 DDADMM Cc1cnc(C(=O)N(CCO)CCOCC(F)(F)F)c([O-])c1 ZINC000427778933 419735581 /nfs/dbraw/zinc/73/55/81/419735581.db2.gz NGPLMMWOQMXSLG-UHFFFAOYSA-N -1 1 322.283 1.109 20 0 DDADMM CCOC(=O)[C@H](NC(=O)c1ncc(C)cc1[O-])[C@@H]1CCCOC1 ZINC000428050669 419803410 /nfs/dbraw/zinc/80/34/10/419803410.db2.gz XFUTZLYAYIWRDY-DGCLKSJQSA-N -1 1 322.361 1.184 20 0 DDADMM Cc1ccnc(-c2n[nH]c([C@@H](C)NC(=O)c3ncccc3[O-])n2)c1 ZINC000428363706 419854985 /nfs/dbraw/zinc/85/49/85/419854985.db2.gz NAYXNSOYAZRCFS-SNVBAGLBSA-N -1 1 324.344 1.767 20 0 DDADMM CC(C)C1(C)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000428443160 419867957 /nfs/dbraw/zinc/86/79/57/419867957.db2.gz PYKLRZZLGNNQGN-UHFFFAOYSA-N -1 1 303.366 1.316 20 0 DDADMM O=C(NCCOc1ccc(F)cc1)C(=O)c1ccc([O-])cc1 ZINC000437006780 229554610 /nfs/dbraw/zinc/55/46/10/229554610.db2.gz SILRVVQQGHOCHO-UHFFFAOYSA-N -1 1 303.289 1.909 20 0 DDADMM COc1ccc([C@H](C)NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)cc1 ZINC000416136893 420254382 /nfs/dbraw/zinc/25/43/82/420254382.db2.gz MJUPZFWVRWPOSK-QMMMGPOBSA-N -1 1 319.317 1.396 20 0 DDADMM CC[C@H](C)[C@H]1CCCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416167736 420265737 /nfs/dbraw/zinc/26/57/37/420265737.db2.gz UHDHPMJWBJAIGJ-VHSXEESVSA-N -1 1 309.366 1.937 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cn(C)c2ccc(F)cc12)c1nn[n-]n1 ZINC000435812955 420286892 /nfs/dbraw/zinc/28/68/92/420286892.db2.gz BNKCBEMOJIMGPC-VIFPVBQESA-N -1 1 316.340 1.706 20 0 DDADMM CCN(CCOCCO)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436499677 420332936 /nfs/dbraw/zinc/33/29/36/420332936.db2.gz XVAPQYQVKFUUAB-UHFFFAOYSA-N -1 1 317.769 1.525 20 0 DDADMM O=C(NC[C@@H](CO)C1CC1)c1ccc(Br)cc1[O-] ZINC000436617433 420346203 /nfs/dbraw/zinc/34/62/03/420346203.db2.gz IHBAWZXREYZTIH-VIFPVBQESA-N -1 1 314.179 1.903 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425317611 420348416 /nfs/dbraw/zinc/34/84/16/420348416.db2.gz GNMRLCCITUFUNL-JTQLQIEISA-N -1 1 319.365 1.094 20 0 DDADMM CC(=O)c1ncc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)s1 ZINC000425328694 420353469 /nfs/dbraw/zinc/35/34/69/420353469.db2.gz YEHIYEUEGHOLCU-UHFFFAOYSA-N -1 1 322.346 1.454 20 0 DDADMM COCC[C@H](C)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000416578876 420423742 /nfs/dbraw/zinc/42/37/42/420423742.db2.gz HDFXGPVCAKBYEK-VIFPVBQESA-N -1 1 305.352 1.017 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](C)CCOC)o1 ZINC000416587600 420425455 /nfs/dbraw/zinc/42/54/55/420425455.db2.gz PYSXMBKXCVPZIF-JTQLQIEISA-N -1 1 319.379 1.407 20 0 DDADMM O=C(C=Cc1ccc(Cl)nc1)NC1(c2nn[n-]n2)CCCC1 ZINC000492272852 420508008 /nfs/dbraw/zinc/50/80/08/420508008.db2.gz YUHUECUKFBORHU-XQRVVYSFSA-N -1 1 318.768 1.847 20 0 DDADMM CC(C)(C)OC(=O)N[C@]1(C)CCCC[C@@H]1C(=O)[N-]OCCO ZINC000492306593 420518801 /nfs/dbraw/zinc/51/88/01/420518801.db2.gz HIQFPDFNMJHQHJ-IAQYHMDHSA-N -1 1 316.398 1.500 20 0 DDADMM COC(=O)c1cnc(S[C@@H]2C[C@@H]3CCCC[C@@H]3NC2=O)[n-]1 ZINC000450908132 420556673 /nfs/dbraw/zinc/55/66/73/420556673.db2.gz UHQGIMXQEPZRJS-ATZCPNFKSA-N -1 1 309.391 1.736 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H]2C[C@@H]3CCCC[C@@H]3NC2=O)n1 ZINC000450908132 420556677 /nfs/dbraw/zinc/55/66/77/420556677.db2.gz UHQGIMXQEPZRJS-ATZCPNFKSA-N -1 1 309.391 1.736 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1C[C@@]12CCc1ccccc12 ZINC000440642491 420594241 /nfs/dbraw/zinc/59/42/41/420594241.db2.gz YIGFTIHKWJBFLD-WMLDXEAASA-N -1 1 323.400 1.989 20 0 DDADMM O=C(CCCN1C(=O)CNC1=O)Nc1ccc([O-])c(Cl)c1 ZINC000440943683 420620163 /nfs/dbraw/zinc/62/01/63/420620163.db2.gz JFJRGATVIOZNCG-UHFFFAOYSA-N -1 1 311.725 1.316 20 0 DDADMM CCO/C=C\C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000492797024 420668720 /nfs/dbraw/zinc/66/87/20/420668720.db2.gz HVEJBXDSGANCAD-FPLPWBNLSA-N -1 1 314.363 1.555 20 0 DDADMM O=C(C=Cc1cc(F)c(F)c(F)c1)NC1(c2nn[n-]n2)CC1 ZINC000492986799 420728441 /nfs/dbraw/zinc/72/84/41/420728441.db2.gz RDWHCCDWBRCJKX-OWOJBTEDSA-N -1 1 309.251 1.436 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)C[C@@H](C)OC)C(=O)OC(C)(C)C ZINC000443030477 420737408 /nfs/dbraw/zinc/73/74/08/420737408.db2.gz YBZIFAROBNVRSX-TUAOUCFPSA-N -1 1 323.455 1.697 20 0 DDADMM C[C@@H]1C[C@H]1c1ccc(C=CC(=O)Nc2nn[nH]c2C(N)=O)o1 ZINC000493680753 420913670 /nfs/dbraw/zinc/91/36/70/420913670.db2.gz GPEOKAXZNGUAIW-GHVFMDICSA-N -1 1 301.306 1.272 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](CCC(=O)Nc2nnn[n-]2)C1 ZINC000494066194 420986647 /nfs/dbraw/zinc/98/66/47/420986647.db2.gz WHYYCJQMDFMPBS-VIFPVBQESA-N -1 1 310.358 1.175 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](CCC(=O)Nc2nn[n-]n2)C1 ZINC000494066194 420986652 /nfs/dbraw/zinc/98/66/52/420986652.db2.gz WHYYCJQMDFMPBS-VIFPVBQESA-N -1 1 310.358 1.175 20 0 DDADMM C[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C(=O)Nc2nnn[n-]2)C1 ZINC000495574812 421026849 /nfs/dbraw/zinc/02/68/49/421026849.db2.gz NYKYQCTVYVXPPS-BDAKNGLRSA-N -1 1 310.358 1.174 20 0 DDADMM C[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C(=O)Nc2nn[n-]n2)C1 ZINC000495574812 421026850 /nfs/dbraw/zinc/02/68/50/421026850.db2.gz NYKYQCTVYVXPPS-BDAKNGLRSA-N -1 1 310.358 1.174 20 0 DDADMM COC(=O)NCCC(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000495628044 421037427 /nfs/dbraw/zinc/03/74/27/421037427.db2.gz BSBYQZHZDYEHMM-UHFFFAOYSA-N -1 1 308.363 1.553 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C[C@H]1C ZINC000449833718 421092840 /nfs/dbraw/zinc/09/28/40/421092840.db2.gz IEUSCXKHJDJGPP-IWSPIJDZSA-N -1 1 300.384 1.219 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C[C@H]1C ZINC000449833718 421092842 /nfs/dbraw/zinc/09/28/42/421092842.db2.gz IEUSCXKHJDJGPP-IWSPIJDZSA-N -1 1 300.384 1.219 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c([O-])cnc3ccc(Cl)cc32)C[C@H]1O ZINC000456403718 421161387 /nfs/dbraw/zinc/16/13/87/421161387.db2.gz SYXQRYFBZPLQLU-CHWSQXEVSA-N -1 1 322.748 1.426 20 0 DDADMM Cc1csc(C(=O)N=c2ccc([O-])n[nH]2)c1Br ZINC000489772040 421174005 /nfs/dbraw/zinc/17/40/05/421174005.db2.gz DDEPCEIKSHBQJM-UHFFFAOYSA-N -1 1 314.164 1.989 20 0 DDADMM Cc1csc(C(=O)N=c2ccc(O)n[n-]2)c1Br ZINC000489772040 421174006 /nfs/dbraw/zinc/17/40/06/421174006.db2.gz DDEPCEIKSHBQJM-UHFFFAOYSA-N -1 1 314.164 1.989 20 0 DDADMM c1nn(Cc2ccccc2)c2ncnc(SCc3nn[n-]n3)c12 ZINC000489830806 421176220 /nfs/dbraw/zinc/17/62/20/421176220.db2.gz KBLXYWYHVSPKSR-UHFFFAOYSA-N -1 1 324.373 1.680 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1Cc2ccccc2S1 ZINC000522854049 421227217 /nfs/dbraw/zinc/22/72/17/421227217.db2.gz RTDORGVVAFEFPC-LBPRGKRZSA-N -1 1 315.402 1.802 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]2CCCC[C@@H]12 ZINC000546789064 421311096 /nfs/dbraw/zinc/31/10/96/421311096.db2.gz BXWRUSSBVUHONV-NTZNESFSSA-N -1 1 315.377 1.458 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccc(F)c(F)c1 ZINC000560347531 421251584 /nfs/dbraw/zinc/25/15/84/421251584.db2.gz QMYXKAJUOCIFLO-MWLCHTKSSA-N -1 1 321.331 1.988 20 0 DDADMM CC(C)(NC(=O)Cc1ccc(-n2cccc2)cc1)c1nn[n-]n1 ZINC000525173086 421281217 /nfs/dbraw/zinc/28/12/17/421281217.db2.gz HOVIUPLBZUJCLK-UHFFFAOYSA-N -1 1 310.361 1.584 20 0 DDADMM O=C(Cc1coc2ccccc12)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000560605004 421283750 /nfs/dbraw/zinc/28/37/50/421283750.db2.gz FFUADVFYSOHRSX-LLVKDONJSA-N -1 1 311.345 1.895 20 0 DDADMM COCc1nc(C(=O)[N-]c2n[nH]c(-c3ccccn3)n2)co1 ZINC000548176807 421410175 /nfs/dbraw/zinc/41/01/75/421410175.db2.gz DTAXNFYLBVSOPQ-UHFFFAOYSA-N -1 1 300.278 1.253 20 0 DDADMM CCOC(=O)c1nc(CC)oc1[N-]C(=O)c1coc(COC)n1 ZINC000548192565 421410786 /nfs/dbraw/zinc/41/07/86/421410786.db2.gz SATWEVQFCJIEJB-UHFFFAOYSA-N -1 1 323.305 1.800 20 0 DDADMM C[C@@H]1C(=O)CC[C@H](C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1(C)C ZINC000548378157 421430123 /nfs/dbraw/zinc/43/01/23/421430123.db2.gz NXLTVPDTQATLRK-IJLUTSLNSA-N -1 1 319.409 1.547 20 0 DDADMM CCC[C@@H](C)[C@@H](CO)NC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000563296137 421483188 /nfs/dbraw/zinc/48/31/88/421483188.db2.gz ONJPAFIBBNNSPV-PSASIEDQSA-N -1 1 313.423 1.739 20 0 DDADMM Cc1nc([C@@H](C)NC(=O)N=c2ncn(C3CCCCC3)[n-]2)n[nH]1 ZINC000554308730 421636599 /nfs/dbraw/zinc/63/65/99/421636599.db2.gz RHYNGNIFFBAJSU-SECBINFHSA-N -1 1 318.385 1.514 20 0 DDADMM O=C(CN(Cc1ncccc1[O-])C[C@@H]1CCCO1)N1CCCC1 ZINC000554855542 421662693 /nfs/dbraw/zinc/66/26/93/421662693.db2.gz SLNVAMNFOXIGJE-AWEZNQCLSA-N -1 1 319.405 1.391 20 0 DDADMM O=C(c1cc2n(n1)CCCC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000571160228 421691286 /nfs/dbraw/zinc/69/12/86/421691286.db2.gz LWIGFUSAHRRHDP-JTQLQIEISA-N -1 1 317.349 1.328 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@H]1OC(F)F)c1cscn1 ZINC000571161887 421692412 /nfs/dbraw/zinc/69/24/12/421692412.db2.gz ZLAXYGOFXJNZOM-HTQZYQBOSA-N -1 1 312.363 1.972 20 0 DDADMM O=S(=O)([N-]c1cc([C@H]2CCCOC2)n[nH]1)c1cscn1 ZINC000519535912 421698232 /nfs/dbraw/zinc/69/82/32/421698232.db2.gz HIFHYTROJJFNCZ-QMMMGPOBSA-N -1 1 314.392 1.561 20 0 DDADMM O=C(CC1CCSCC1)Nc1nc(SCCO)n[nH]1 ZINC000536545380 421720551 /nfs/dbraw/zinc/72/05/51/421720551.db2.gz PMVAZMQRFLPCEV-UHFFFAOYSA-N -1 1 302.425 1.361 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)nn1C ZINC000537125570 421728075 /nfs/dbraw/zinc/72/80/75/421728075.db2.gz UWEVBRPGGZLODH-SNVBAGLBSA-N -1 1 303.370 1.076 20 0 DDADMM CC(C)SCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000537504316 421728960 /nfs/dbraw/zinc/72/89/60/421728960.db2.gz FAEWIRISIGCDTQ-UHFFFAOYSA-N -1 1 304.393 1.120 20 0 DDADMM O=C(Cc1c[nH]c2ncccc12)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538223391 421737023 /nfs/dbraw/zinc/73/70/23/421737023.db2.gz KWNITVQVJMDQLM-SNVBAGLBSA-N -1 1 311.349 1.025 20 0 DDADMM O=C(CSCc1cscn1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538223788 421738081 /nfs/dbraw/zinc/73/80/81/421738081.db2.gz VXCOWBTVYANNII-SECBINFHSA-N -1 1 324.435 1.296 20 0 DDADMM Cc1csc(CCC(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000520715579 421760537 /nfs/dbraw/zinc/76/05/37/421760537.db2.gz QOZRQCNENDVKGG-UHFFFAOYSA-N -1 1 306.395 1.483 20 0 DDADMM Cn1[n-]nnc1=NC[C@@H](c1ccccc1Cl)N1CCCC1 ZINC000520990190 421769220 /nfs/dbraw/zinc/76/92/20/421769220.db2.gz NNTQZLOAWLGXEJ-ZDUSSCGKSA-N -1 1 306.801 1.534 20 0 DDADMM CCC[C@H](NC(=O)c1cccc2[nH]c(=O)oc21)c1nn[n-]n1 ZINC000572565546 421799874 /nfs/dbraw/zinc/79/98/74/421799874.db2.gz HWRUVWBKVVARNG-VIFPVBQESA-N -1 1 302.294 1.318 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C(C)(C)c2noc(C)n2)sn1 ZINC000631874093 421905877 /nfs/dbraw/zinc/90/58/77/421905877.db2.gz CTFAZVMFAZBVRA-UHFFFAOYSA-N -1 1 302.381 1.357 20 0 DDADMM CCN(CC)CCS(=O)(=O)NCc1cccc(C(=O)[O-])c1 ZINC000573087319 421914213 /nfs/dbraw/zinc/91/42/13/421914213.db2.gz BOYKEWGGHXBXIG-UHFFFAOYSA-N -1 1 314.407 1.146 20 0 DDADMM Cc1ccc(OCCCCNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635347597 421926403 /nfs/dbraw/zinc/92/64/03/421926403.db2.gz AJAROPBWVCKHGQ-UHFFFAOYSA-N -1 1 317.393 1.806 20 0 DDADMM C[C@@H](CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1ccco1 ZINC000630220341 421970200 /nfs/dbraw/zinc/97/02/00/421970200.db2.gz ODTQJHKNOIGATB-STQMWFEESA-N -1 1 308.378 1.781 20 0 DDADMM COc1cccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1C ZINC000630221108 421970801 /nfs/dbraw/zinc/97/08/01/421970801.db2.gz TVOVZUJTHGKXRO-LBPRGKRZSA-N -1 1 320.389 1.625 20 0 DDADMM O=C(CCc1nn[n-]n1)NC1(c2ccccc2Cl)CCC1 ZINC000633565306 421970965 /nfs/dbraw/zinc/97/09/65/421970965.db2.gz VAXKABMABYLWGH-UHFFFAOYSA-N -1 1 305.769 1.981 20 0 DDADMM CCc1ccccc1CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630221387 421971485 /nfs/dbraw/zinc/97/14/85/421971485.db2.gz ZKEZFKFVMHZWHY-AWEZNQCLSA-N -1 1 318.417 1.799 20 0 DDADMM CO[C@@]1(C)C[C@H](N(C)S(=O)(=O)c2c(C)o[n-]c2=N)C1(C)C ZINC000573847202 422025492 /nfs/dbraw/zinc/02/54/92/422025492.db2.gz MUXMNXUGPMDHQQ-ZANVPECISA-N -1 1 317.411 1.220 20 0 DDADMM CO[C@@]1(C)C[C@@H](N(C)S(=O)(=O)c2c(C)o[n-]c2=N)C1(C)C ZINC000573847200 422025936 /nfs/dbraw/zinc/02/59/36/422025936.db2.gz MUXMNXUGPMDHQQ-RNCFNFMXSA-N -1 1 317.411 1.220 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)COc2ccccc2)CC1 ZINC000630224183 421975020 /nfs/dbraw/zinc/97/50/20/421975020.db2.gz MZDJNGPZMMSCQQ-CYBMUJFWSA-N -1 1 306.362 1.073 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccsc2)CC1 ZINC000630224890 421975063 /nfs/dbraw/zinc/97/50/63/421975063.db2.gz QPPXRZLWKLSQFI-LBPRGKRZSA-N -1 1 310.419 1.688 20 0 DDADMM CO[C@@H](C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1)C1CCCC1 ZINC000630227544 421978203 /nfs/dbraw/zinc/97/82/03/421978203.db2.gz OLQRYMNBGAZHEF-CYBMUJFWSA-N -1 1 312.410 1.199 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@@H]1CCOC1)c1sccc1Cl ZINC000581439839 421978400 /nfs/dbraw/zinc/97/84/00/421978400.db2.gz KVLWFDYPBAHUAM-APPZFPTMSA-N -1 1 311.812 1.077 20 0 DDADMM Cc1cc(F)ccc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630228196 421978857 /nfs/dbraw/zinc/97/88/57/421978857.db2.gz WAIXFVUSGQQMKS-UHFFFAOYSA-N -1 1 308.353 1.755 20 0 DDADMM Cc1nc(C2CC2)oc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630228045 421979145 /nfs/dbraw/zinc/97/91/45/421979145.db2.gz SJGJIGMWWTWAJJ-UHFFFAOYSA-N -1 1 321.377 1.481 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@H](OC1CCCC1)C(F)(F)F ZINC000633614237 422000186 /nfs/dbraw/zinc/00/01/86/422000186.db2.gz CZDIGOGPTBKLPG-VIFPVBQESA-N -1 1 321.303 1.139 20 0 DDADMM O=C([O-])[C@H](C[C@H]1CCCOC1)NC(=O)c1n[nH]c2ccccc21 ZINC000630277537 422003244 /nfs/dbraw/zinc/00/32/44/422003244.db2.gz ZXLUANPXEJZDCS-MFKMUULPSA-N -1 1 317.345 1.563 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@@H](Oc2ccccc2Cl)C1 ZINC000632068062 422066338 /nfs/dbraw/zinc/06/63/38/422066338.db2.gz ZKBANKSVJMMKJT-SNVBAGLBSA-N -1 1 321.768 1.466 20 0 DDADMM O=C(N[C@H]1CCCC(F)(F)[C@@H]1O)c1csc(=NC2CC2)[n-]1 ZINC000574289123 422090757 /nfs/dbraw/zinc/09/07/57/422090757.db2.gz SDOMKNPDIXVSEZ-WCBMZHEXSA-N -1 1 317.361 1.418 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1(c2ccccc2)CCOCC1 ZINC000635551944 422102425 /nfs/dbraw/zinc/10/24/25/422102425.db2.gz QJDLEVUTXOAFOL-UHFFFAOYSA-N -1 1 315.377 1.345 20 0 DDADMM CNC(=O)C(C)(C)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632126996 422107976 /nfs/dbraw/zinc/10/79/76/422107976.db2.gz ISWDCYLLHCAPDB-UHFFFAOYSA-N -1 1 310.322 1.268 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@H]2C[C@@H](C)O)c1 ZINC000632135823 422111436 /nfs/dbraw/zinc/11/14/36/422111436.db2.gz HEWVGAJBJMFTDH-GHMZBOCLSA-N -1 1 315.391 1.325 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1scnc1C(C)C ZINC000633690525 422052056 /nfs/dbraw/zinc/05/20/56/422052056.db2.gz GDLLQEIEYGABHQ-UHFFFAOYSA-N -1 1 307.379 1.332 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)[C@H]1CCCCO1 ZINC000632054219 422054590 /nfs/dbraw/zinc/05/45/90/422054590.db2.gz PQXMPPMKPFIEAP-VXGBXAGGSA-N -1 1 307.398 1.501 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)C1CCOCC1 ZINC000632057646 422059038 /nfs/dbraw/zinc/05/90/38/422059038.db2.gz KRPRJRHZSHLNMM-LLVKDONJSA-N -1 1 304.437 1.935 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCSCC[C@@H]2C)c1 ZINC000632272411 422213270 /nfs/dbraw/zinc/21/32/70/422213270.db2.gz PTFKMICDMSVUDQ-JTQLQIEISA-N -1 1 317.432 1.917 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000634022111 422226104 /nfs/dbraw/zinc/22/61/04/422226104.db2.gz OKECGSPRCWFXCA-XTWCZFFVSA-N -1 1 318.377 1.276 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H](N)c1ccc(C(F)(F)F)cc1)C(=O)[O-] ZINC000630573318 422189417 /nfs/dbraw/zinc/18/94/17/422189417.db2.gz CZTNSDLTDAEYDG-KWQFWETISA-N -1 1 318.295 1.884 20 0 DDADMM O=C(NC[C@H]1CCCN1CC(F)F)c1c([O-])cccc1F ZINC000628621281 422262602 /nfs/dbraw/zinc/26/26/02/422262602.db2.gz OXLZDPGLWUJTQC-SECBINFHSA-N -1 1 302.296 1.991 20 0 DDADMM CO[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632346786 422266191 /nfs/dbraw/zinc/26/61/91/422266191.db2.gz FSCFHRFFJNGMJB-RITPCOANSA-N -1 1 301.290 1.130 20 0 DDADMM COC(C)(C)c1nc(=NC(=O)[C@H](C)Cc2cnc[nH]2)s[n-]1 ZINC000634149610 422287722 /nfs/dbraw/zinc/28/77/22/422287722.db2.gz ZABWSGCEBDLJDU-MRVPVSSYSA-N -1 1 309.395 1.382 20 0 DDADMM COc1ccc2c(c1)C[C@@H](C)N(C(=O)CCc1nn[n-]n1)[C@H]2C ZINC000632376090 422289113 /nfs/dbraw/zinc/28/91/13/422289113.db2.gz FFMWENLGPVWWHO-MNOVXSKESA-N -1 1 315.377 1.675 20 0 DDADMM C[C@@H](c1cc(F)ccc1F)N(C)CCc1cn(CC(=O)[O-])nn1 ZINC000575929093 422347807 /nfs/dbraw/zinc/34/78/07/422347807.db2.gz NNZVWMKTXUDGFD-JTQLQIEISA-N -1 1 324.331 1.876 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCN(CC(F)F)C2)sn1 ZINC000632469672 422370518 /nfs/dbraw/zinc/37/05/18/422370518.db2.gz LGKDQWDWIKTZSW-QMMMGPOBSA-N -1 1 311.379 1.069 20 0 DDADMM O=C(NCC1(Cc2ccccc2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000575792290 422326900 /nfs/dbraw/zinc/32/69/00/422326900.db2.gz RZCUJEVGYZWCPE-UHFFFAOYSA-N -1 1 323.356 1.170 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCC[C@@H](C)O2)c1 ZINC000632557866 422434830 /nfs/dbraw/zinc/43/48/30/422434830.db2.gz CIEFFQMUHIKDIR-PWSUYJOCSA-N -1 1 315.391 1.637 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@]2(C)CC2(F)F)c1 ZINC000632558294 422435025 /nfs/dbraw/zinc/43/50/25/422435025.db2.gz JQDRCTWWXCXEFS-LLVKDONJSA-N -1 1 307.318 1.724 20 0 DDADMM CC(C)COc1ccc(CCNC(=O)CCc2nn[n-]n2)cc1 ZINC000630949387 422438207 /nfs/dbraw/zinc/43/82/07/422438207.db2.gz YWEYVNLRGMKEGJ-UHFFFAOYSA-N -1 1 317.393 1.526 20 0 DDADMM CCO[C@H](CNC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC000635861985 422416616 /nfs/dbraw/zinc/41/66/16/422416616.db2.gz RFFWSCBNKXDQCE-CYBMUJFWSA-N -1 1 303.366 1.416 20 0 DDADMM O=C([O-])c1ccc(C(=O)NC2(c3nn[nH]n3)CCCC2)cc1 ZINC000577735086 422416882 /nfs/dbraw/zinc/41/68/82/422416882.db2.gz VAIHWVVMLMBULH-UHFFFAOYSA-N -1 1 301.306 1.097 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@@H]2CCCOC2)c1 ZINC000632589281 422457262 /nfs/dbraw/zinc/45/72/62/422457262.db2.gz JYQFPSPIKHQHPJ-NSHDSACASA-N -1 1 315.391 1.496 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1csc(C)c1C ZINC000632642639 422490463 /nfs/dbraw/zinc/49/04/63/422490463.db2.gz GUDPSDFRARPAHF-UHFFFAOYSA-N -1 1 301.393 1.552 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1csc(C)c1C ZINC000632642639 422490466 /nfs/dbraw/zinc/49/04/66/422490466.db2.gz GUDPSDFRARPAHF-UHFFFAOYSA-N -1 1 301.393 1.552 20 0 DDADMM O=S(=O)([N-][C@H]1CCn2cncc2C1)c1cccc(F)c1F ZINC000634661210 422544124 /nfs/dbraw/zinc/54/41/24/422544124.db2.gz MEIMNKZXRYBGLD-VIFPVBQESA-N -1 1 313.329 1.455 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](c1ccc(F)cc1)C(F)(F)F ZINC000632756683 422559921 /nfs/dbraw/zinc/55/99/21/422559921.db2.gz LVAMBHRTRZUGOT-NSHDSACASA-N -1 1 317.246 1.691 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cccc(NCC(F)(F)F)c1 ZINC000632797901 422587672 /nfs/dbraw/zinc/58/76/72/422587672.db2.gz OURFGXNBULLAQU-UHFFFAOYSA-N -1 1 314.271 1.745 20 0 DDADMM Cc1cc(C)n(C[C@H]2CN(Cc3ncccc3[O-])CCO2)n1 ZINC000578679935 422624331 /nfs/dbraw/zinc/62/43/31/422624331.db2.gz XDTPFIGVQXOJHM-CQSZACIVSA-N -1 1 302.378 1.502 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(Cc2cccc3c2OC(F)(F)O3)C1 ZINC000629370278 422635557 /nfs/dbraw/zinc/63/55/57/422635557.db2.gz RLMQYGFXRLJLNM-CYBMUJFWSA-N -1 1 315.272 1.684 20 0 DDADMM Cn1cc(C(C)(C)NC(=O)c2ncc3ccccc3c2[O-])nn1 ZINC000636104385 422646852 /nfs/dbraw/zinc/64/68/52/422646852.db2.gz MIWICMFBBKBBPI-UHFFFAOYSA-N -1 1 311.345 1.734 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc(C(=O)N(C)C)cc1F ZINC000634797511 422653414 /nfs/dbraw/zinc/65/34/14/422653414.db2.gz JLKGFFQQMZFOGT-VIFPVBQESA-N -1 1 318.370 1.304 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@@H]3CCC[C@H]3C(=O)[O-])[nH]c21 ZINC000634827657 422673460 /nfs/dbraw/zinc/67/34/60/422673460.db2.gz BYSPKSMJYXUYPU-GHMZBOCLSA-N -1 1 301.346 1.988 20 0 DDADMM CO[C@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)CCc1nn[n-]n1 ZINC000631383909 422730393 /nfs/dbraw/zinc/73/03/93/422730393.db2.gz MYZVAOMZAIMDMT-OTYXRUKQSA-N -1 1 323.784 1.678 20 0 DDADMM COc1cc(C(=O)NCCN2CCCC2=O)cc(Cl)c1[O-] ZINC000578996122 422684825 /nfs/dbraw/zinc/68/48/25/422684825.db2.gz CGOAVPWZEZVNAA-UHFFFAOYSA-N -1 1 312.753 1.406 20 0 DDADMM C[C@H]1CC[C@H](C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)O1 ZINC000645752844 423172395 /nfs/dbraw/zinc/17/23/95/423172395.db2.gz GPEIQEMYICEVIO-DTWKUNHWSA-N -1 1 324.324 1.946 20 0 DDADMM C[C@@]1(O)C[C@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC000645760595 423174875 /nfs/dbraw/zinc/17/48/75/423174875.db2.gz NESYOADWWKPDHH-WKFQBHICSA-N -1 1 310.297 1.292 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1nc2ccccc2o1)c1nn[n-]n1 ZINC000183448206 263379772 /nfs/dbraw/zinc/37/97/72/263379772.db2.gz AXTBPVIGUCWRRO-JARNTUPDSA-N -1 1 312.333 1.616 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)[C@@H](N)c2cccc(Cl)c2)C1 ZINC000650788919 423261259 /nfs/dbraw/zinc/26/12/59/423261259.db2.gz FWZHSCIXDDXDHU-CDMKHQONSA-N -1 1 310.781 1.909 20 0 DDADMM O=C(CCCn1ccnc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000646067505 423323324 /nfs/dbraw/zinc/32/33/24/423323324.db2.gz ADUVBODWGBXQRC-LLVKDONJSA-N -1 1 305.338 1.158 20 0 DDADMM COc1ccc(F)cc1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000643909657 423402099 /nfs/dbraw/zinc/40/20/99/423402099.db2.gz ZQKATJCXTSJTJX-UHFFFAOYSA-N -1 1 317.280 1.126 20 0 DDADMM CCCNC(=O)c1cccc(C(=O)Nc2c(C)[n-][nH]c2=O)c1 ZINC000646409087 423478786 /nfs/dbraw/zinc/47/87/86/423478786.db2.gz DOELPOCENPGDDG-UHFFFAOYSA-N -1 1 302.334 1.816 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)CCC(C)(C)C)co1 ZINC000649028314 423591509 /nfs/dbraw/zinc/59/15/09/423591509.db2.gz VZCFYBPVCYYYET-UHFFFAOYSA-N -1 1 302.396 1.696 20 0 DDADMM COc1cc(CN[C@H](C(=O)[O-])c2ccnn2C)cc2c1OCO2 ZINC000644598063 423719258 /nfs/dbraw/zinc/71/92/58/423719258.db2.gz HXFDPVYTAINKMQ-ZDUSSCGKSA-N -1 1 319.317 1.073 20 0 DDADMM O=S(=O)([N-]CC[C@]1(O)CCCOC1)c1cccc(F)c1F ZINC000651915295 423738571 /nfs/dbraw/zinc/73/85/71/423738571.db2.gz YMWCDTNLQLLWDT-CYBMUJFWSA-N -1 1 321.345 1.175 20 0 DDADMM CC(C)N(C(=O)[C@@H](C)N1CCC[C@@H](c2n[n-]c(=N)o2)C1)C(C)C ZINC000639826947 423763712 /nfs/dbraw/zinc/76/37/12/423763712.db2.gz WMSLEGQXZPXFBW-CHWSQXEVSA-N -1 1 323.441 1.695 20 0 DDADMM CCC[C@H](C)NC(=O)[C@@H](C)N1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639823936 423760622 /nfs/dbraw/zinc/76/06/22/423760622.db2.gz FJPJEJCLFZRIJT-TUAOUCFPSA-N -1 1 309.414 1.355 20 0 DDADMM CC(C)(C(=O)[O-])[C@@H]1CCCN(c2nc3[nH]ncc3c(=O)[nH]2)C1 ZINC000649405743 423866470 /nfs/dbraw/zinc/86/64/70/423866470.db2.gz KZUIXPQRLFWXMP-MRVPVSSYSA-N -1 1 305.338 1.386 20 0 DDADMM O=S(=O)(CCOCC(F)(F)F)[N-]c1cccc2[nH]nnc21 ZINC000641690465 423869848 /nfs/dbraw/zinc/86/98/48/423869848.db2.gz WRENSJVEDJCUOP-UHFFFAOYSA-N -1 1 324.284 1.279 20 0 DDADMM O=S(=O)(C[C@H]1CCCCO1)[N-]c1cc(F)cc(F)c1O ZINC000641691321 423870081 /nfs/dbraw/zinc/87/00/81/423870081.db2.gz CRIBIEZKXUNCKG-SECBINFHSA-N -1 1 307.318 1.981 20 0 DDADMM Cc1ccccc1CN1CCN(c2cncc(C(=O)[O-])n2)CC1 ZINC000649383315 423834334 /nfs/dbraw/zinc/83/43/34/423834334.db2.gz UDQPRFPLIKNUBI-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)C[C@@H]1COc2ccccc2O1)C(F)F ZINC000641707426 423895739 /nfs/dbraw/zinc/89/57/39/423895739.db2.gz ZDBBWVDFWXBBHU-BDAKNGLRSA-N -1 1 307.318 1.399 20 0 DDADMM CC1(C)CC(CC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000644800274 423901766 /nfs/dbraw/zinc/90/17/66/423901766.db2.gz WKEQLRBTSRVZGE-UHFFFAOYSA-N -1 1 309.439 1.426 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H](C)O2)sc1C ZINC000657155642 424163378 /nfs/dbraw/zinc/16/33/78/424163378.db2.gz QIOWDEGAQZQOAA-KWQFWETISA-N -1 1 304.437 1.996 20 0 DDADMM CN(CCO)c1ccc(NCc2cccc([O-])c2Cl)nc1 ZINC000645166270 424209431 /nfs/dbraw/zinc/20/94/31/424209431.db2.gz KXFVQMQOYUDSCU-UHFFFAOYSA-N -1 1 307.781 1.903 20 0 DDADMM CN1CCN(C(=O)N=c2[n-]sc3ccccc32)CCC1=O ZINC000640338206 424358893 /nfs/dbraw/zinc/35/88/93/424358893.db2.gz SGVVGDNAPCMXIB-UHFFFAOYSA-N -1 1 304.375 1.414 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@@H]1CCCC(=O)N1 ZINC000640339577 424359271 /nfs/dbraw/zinc/35/92/71/424359271.db2.gz SJEXYOZPQGJLEP-VIFPVBQESA-N -1 1 304.375 1.508 20 0 DDADMM CCN1CC[C@@H](NC(=O)N=c2[n-]sc3ccccc32)C1=O ZINC000640342479 424362246 /nfs/dbraw/zinc/36/22/46/424362246.db2.gz JQWXNEXTDKVOLE-SNVBAGLBSA-N -1 1 304.375 1.461 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2nc(C)c(C)s2)on1 ZINC000660010970 424457410 /nfs/dbraw/zinc/45/74/10/424457410.db2.gz RJRYPXOGPWLCPZ-UHFFFAOYSA-N -1 1 303.365 1.235 20 0 DDADMM COc1ccc([C@H]2CCN([C@H]3CCN(CC(=O)[O-])C3=O)C2)cc1 ZINC000662202792 424469716 /nfs/dbraw/zinc/46/97/16/424469716.db2.gz OZFJHBDAJGHKBM-ZFWWWQNUSA-N -1 1 318.373 1.170 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(C)onc1N)[C@H]1CCCCO1 ZINC000655666959 424619461 /nfs/dbraw/zinc/61/94/61/424619461.db2.gz SSOAZTLQTNISQS-VHSXEESVSA-N -1 1 303.384 1.191 20 0 DDADMM O=C(N[C@@H](CO)c1ccccc1)c1nc2ccccc2c(=O)[n-]1 ZINC000341642185 271151818 /nfs/dbraw/zinc/15/18/18/271151818.db2.gz PVFHDARFOMPSAF-AWEZNQCLSA-N -1 1 309.325 1.387 20 0 DDADMM Cc1nnc2n1C[C@@H](CN=c1nc(C(F)(F)F)[n-]s1)CC2 ZINC000342224139 271346263 /nfs/dbraw/zinc/34/62/63/271346263.db2.gz CEEWBZDPLGWAEH-SSDOTTSWSA-N -1 1 318.328 1.553 20 0 DDADMM O=C(N=c1[n-]nc(-c2ccccc2)s1)NCCc1nc[nH]n1 ZINC000342666230 271474313 /nfs/dbraw/zinc/47/43/13/271474313.db2.gz LYZVKKPRDWBNCY-UHFFFAOYSA-N -1 1 315.362 1.109 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](O)[C@H](C)c1ccc(C)cc1)c1nn[n-]n1 ZINC000344642849 272078405 /nfs/dbraw/zinc/07/84/05/272078405.db2.gz AIBKKKGAVSBJAA-XBFCOCLRSA-N -1 1 317.393 1.630 20 0 DDADMM CC(C)CSCCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000344950889 272144764 /nfs/dbraw/zinc/14/47/64/272144764.db2.gz NCGFJZHURASZBY-UHFFFAOYSA-N -1 1 311.407 1.022 20 0 DDADMM CCCCN(CC)C(=O)CN1C[C@H](C(=O)[O-])[C@H](C(F)(F)F)C1 ZINC000345502429 272267135 /nfs/dbraw/zinc/26/71/35/272267135.db2.gz GLDNWYMSNJAVPY-WDEREUQCSA-N -1 1 324.343 1.830 20 0 DDADMM COC(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000345540727 272276956 /nfs/dbraw/zinc/27/69/56/272276956.db2.gz KFNWBOFDYOHFFR-UHFFFAOYSA-N -1 1 315.333 1.186 20 0 DDADMM COc1ccc(=NC(=O)NC[C@@H](c2ccccc2)N(C)C)[n-]n1 ZINC000346685581 272518659 /nfs/dbraw/zinc/51/86/59/272518659.db2.gz YKIHAMDZVZANBG-ZDUSSCGKSA-N -1 1 315.377 1.332 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCC(=O)c2ccccc21)c1nn[n-]n1 ZINC000286098284 278177054 /nfs/dbraw/zinc/17/70/54/278177054.db2.gz OLBZRGUWPOQGQV-ZWNOBZJWSA-N -1 1 313.361 1.522 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)C1CCC1)N1CCCCC1 ZINC000408485321 279791011 /nfs/dbraw/zinc/79/10/11/279791011.db2.gz OSCSQETYRZYFFX-ZDUSSCGKSA-N -1 1 302.440 1.495 20 0 DDADMM Cc1nn(-c2ccccc2)c(C)c1C=CC(=O)Nc1nnn[n-]1 ZINC000118685462 281085389 /nfs/dbraw/zinc/08/53/89/281085389.db2.gz LSKXROSLXZZGAX-CMDGGOBGSA-N -1 1 309.333 1.654 20 0 DDADMM Cc1nn(-c2ccccc2)c(C)c1C=CC(=O)Nc1nn[n-]n1 ZINC000118685462 281085391 /nfs/dbraw/zinc/08/53/91/281085391.db2.gz LSKXROSLXZZGAX-CMDGGOBGSA-N -1 1 309.333 1.654 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H](O)C1)c1cc(F)c(F)cc1F ZINC000121823542 281144950 /nfs/dbraw/zinc/14/49/50/281144950.db2.gz ILJCCVUXOLOZRH-DTWKUNHWSA-N -1 1 323.336 1.933 20 0 DDADMM O=S(=O)([N-]C[C@]1(O)CCSC1)c1cc2ccccc2o1 ZINC000124496856 281190794 /nfs/dbraw/zinc/19/07/94/281190794.db2.gz JNKYADNPDADGSK-CYBMUJFWSA-N -1 1 313.400 1.579 20 0 DDADMM CSCC[C@H](CO)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000127078696 281262344 /nfs/dbraw/zinc/26/23/44/281262344.db2.gz RDTVTTWERQXZRL-SECBINFHSA-N -1 1 311.375 1.357 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cscn2)c1 ZINC000152455222 281743395 /nfs/dbraw/zinc/74/33/95/281743395.db2.gz ULZTWVKNVSZSQE-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])c1ccc(-n2ccnn2)cc1 ZINC000152581119 281749654 /nfs/dbraw/zinc/74/96/54/281749654.db2.gz HZTVBEKNXCHZES-LLVKDONJSA-N -1 1 309.329 1.859 20 0 DDADMM CCC(CC)c1noc(C[N-]S(=O)(=O)c2cnn(C)c2)n1 ZINC000356541075 298817869 /nfs/dbraw/zinc/81/78/69/298817869.db2.gz MEONNFILAHSXPM-UHFFFAOYSA-N -1 1 313.383 1.185 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@H]1CCOC1)c1c(F)cccc1Cl ZINC000362134639 300013094 /nfs/dbraw/zinc/01/30/94/300013094.db2.gz PGLFTWUKHVMZBA-KWQFWETISA-N -1 1 323.773 1.155 20 0 DDADMM COC[C@@H](C)[C@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000362852525 300160764 /nfs/dbraw/zinc/16/07/64/300160764.db2.gz RCTKCVFZVXJGNF-ZJUUUORDSA-N -1 1 320.393 1.587 20 0 DDADMM CNC(=O)c1ccc(=NC[C@H]2C[C@]2(C)c2cccs2)[n-]n1 ZINC000363299154 300247077 /nfs/dbraw/zinc/24/70/77/300247077.db2.gz LXDZDOPWDYNBMU-BMIGLBTASA-N -1 1 302.403 1.709 20 0 DDADMM O=C(NC[C@@H]1[C@H]2COC[C@H]21)c1cc(Br)ccc1[O-] ZINC000367349978 300833962 /nfs/dbraw/zinc/83/39/62/300833962.db2.gz WRGKDTVFIAAMEJ-RTCCRHLQSA-N -1 1 312.163 1.777 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2C[C@@H](O)C3(CC3)C2)c(=O)[n-]1 ZINC000368750272 301085570 /nfs/dbraw/zinc/08/55/70/301085570.db2.gz NZBAXEXWDZDMCA-LLVKDONJSA-N -1 1 323.418 1.128 20 0 DDADMM O=C(/C=C/Sc1ccccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370857962 301398275 /nfs/dbraw/zinc/39/82/75/301398275.db2.gz AWNVLQQOZVPLFL-UVMWJGKXSA-N -1 1 317.374 1.406 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CCC[C@@](F)(CO)C1 ZINC000377083444 302141434 /nfs/dbraw/zinc/14/14/34/302141434.db2.gz QTPDCPNRDALMBE-INIZCTEOSA-N -1 1 304.321 1.877 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-][C@@H]1COc2cc(F)cc(F)c21 ZINC000377154355 302148746 /nfs/dbraw/zinc/14/87/46/302148746.db2.gz YMBRANYDTOVPEW-SNVBAGLBSA-N -1 1 316.285 1.506 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2CCSC2)c1Br ZINC000355527723 306807545 /nfs/dbraw/zinc/80/75/45/306807545.db2.gz GYVPVYOZJJUVHR-ZCFIWIBFSA-N -1 1 304.213 1.848 20 0 DDADMM C[C@]1(Br)C[C@@H]1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000528882136 303069359 /nfs/dbraw/zinc/06/93/59/303069359.db2.gz KHJBSIQKSADURV-RNSXUZJQSA-N -1 1 314.187 1.079 20 0 DDADMM CC(C)c1nocc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000529249788 303104835 /nfs/dbraw/zinc/10/48/35/303104835.db2.gz GARUONAUVWWTMR-VIFPVBQESA-N -1 1 306.322 1.906 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(Cc2cc3c(c(Cl)c2)OCO3)C1 ZINC000530171259 303179064 /nfs/dbraw/zinc/17/90/64/303179064.db2.gz QEHQBSJTXHLHMM-CQSZACIVSA-N -1 1 313.737 1.744 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2C[C@H]2c2ccsc2)n1 ZINC000530262637 303189307 /nfs/dbraw/zinc/18/93/07/303189307.db2.gz WEPUYPNTSMMMND-JGVFFNPUSA-N -1 1 312.376 1.012 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2C[C@H]2c2ccsc2)[n-]1 ZINC000530262637 303189308 /nfs/dbraw/zinc/18/93/08/303189308.db2.gz WEPUYPNTSMMMND-JGVFFNPUSA-N -1 1 312.376 1.012 20 0 DDADMM C[C@H]1CCN(c2cc(C(=O)NC(C)(C)c3nn[n-]n3)ccn2)C1 ZINC000530745702 303210587 /nfs/dbraw/zinc/21/05/87/303210587.db2.gz KALUTMRICINOMX-JTQLQIEISA-N -1 1 315.381 1.106 20 0 DDADMM CC(C)(NC(=O)c1cnn(-c2ccccc2F)c1)c1nn[n-]n1 ZINC000531335959 303240929 /nfs/dbraw/zinc/24/09/29/303240929.db2.gz FIFSEIFYWBDOCR-UHFFFAOYSA-N -1 1 315.312 1.190 20 0 DDADMM COc1ncccc1CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000531531874 303248392 /nfs/dbraw/zinc/24/83/92/303248392.db2.gz ZONJEDJXRFGDHZ-UHFFFAOYSA-N -1 1 320.374 1.546 20 0 DDADMM CCOCCS(=O)(=O)[N-][C@@H](C(=O)OC)C1CCCCC1 ZINC000531787540 303256263 /nfs/dbraw/zinc/25/62/63/303256263.db2.gz SQUYLPGAKMLBDF-GFCCVEGCSA-N -1 1 307.412 1.064 20 0 DDADMM Cc1nnc([C@@H](C)NC(=O)c2cnc3nc(C)ccc3c2[O-])o1 ZINC000531845858 303260849 /nfs/dbraw/zinc/26/08/49/303260849.db2.gz AXFSPEGPWZUAPC-MRVPVSSYSA-N -1 1 313.317 1.826 20 0 DDADMM Cc1nnc([C@@H](C)NC(=O)c2c[n-]c3nc(C)ccc3c2=O)o1 ZINC000531845858 303260851 /nfs/dbraw/zinc/26/08/51/303260851.db2.gz AXFSPEGPWZUAPC-MRVPVSSYSA-N -1 1 313.317 1.826 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-][C@H](CO)c1ccccc1C ZINC000532774561 303296116 /nfs/dbraw/zinc/29/61/16/303296116.db2.gz KVNJYCLFFSOXEF-CYBMUJFWSA-N -1 1 310.375 1.612 20 0 DDADMM Cc1cc(S(N)(=O)=O)sc1C(=O)[N-]c1c(C)noc1C ZINC000357640053 306901520 /nfs/dbraw/zinc/90/15/20/306901520.db2.gz NFIDCMVETZLENJ-UHFFFAOYSA-N -1 1 315.376 1.561 20 0 DDADMM Cc1cc(S(=O)(=O)Nc2cnn(C)c2C(=O)[O-])ccc1F ZINC000540294069 303404860 /nfs/dbraw/zinc/40/48/60/303404860.db2.gz UOANPMIHTJWXDH-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM CCn1nc(C(=O)Nc2ccncc2[O-])c(=O)c2ccccc21 ZINC000358360987 306940407 /nfs/dbraw/zinc/94/04/07/306940407.db2.gz VVXRYLIWMFRDNW-UHFFFAOYSA-N -1 1 310.313 1.191 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ncccc2C)c1 ZINC000359693778 306960198 /nfs/dbraw/zinc/96/01/98/306960198.db2.gz QBVBPFLJXBUKDD-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@@H](n2cccn2)C1 ZINC000365115530 307059088 /nfs/dbraw/zinc/05/90/88/307059088.db2.gz VHZPPZSPULFJHM-GFCCVEGCSA-N -1 1 323.356 1.597 20 0 DDADMM CO[C@@H]1CCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000376592943 307248745 /nfs/dbraw/zinc/24/87/45/307248745.db2.gz OANVPOUFZFJAKC-SHTJFRFBSA-N -1 1 303.366 1.375 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]Cc1nc([C@@H]2C[C@H]2C)no1 ZINC000547187342 307735551 /nfs/dbraw/zinc/73/55/51/307735551.db2.gz ZYUCXNHWRPIMBD-NXEZZACHSA-N -1 1 303.384 1.037 20 0 DDADMM Nc1cccc(S(=O)(=O)Nc2cccc(C(=O)[O-])c2O)c1 ZINC000548524573 307774910 /nfs/dbraw/zinc/77/49/10/307774910.db2.gz MMAGTLGSUPBWKN-UHFFFAOYSA-N -1 1 308.315 1.473 20 0 DDADMM CCCCCS(=O)(=O)N[C@@H](CN1CCCCC1)C(=O)[O-] ZINC000548551918 307775861 /nfs/dbraw/zinc/77/58/61/307775861.db2.gz VTHMPTVOEXWYDJ-LBPRGKRZSA-N -1 1 306.428 1.035 20 0 DDADMM Cc1cnc(C(=O)NC2CCN(C(=O)C3CC3)CC2)c([O-])c1 ZINC000548631349 307780828 /nfs/dbraw/zinc/78/08/28/307780828.db2.gz KTLLDTLTYYYQKJ-UHFFFAOYSA-N -1 1 303.362 1.226 20 0 DDADMM CC[C@@H](C)C[C@H]([N-]S(=O)(=O)Cc1cc(C)no1)C(=O)OC ZINC000566167605 308047851 /nfs/dbraw/zinc/04/78/51/308047851.db2.gz SAVUTQGNRSNANR-SKDRFNHKSA-N -1 1 318.395 1.380 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)N=c1nc([C@H](C)OC)[n-]s1 ZINC000567017695 308068588 /nfs/dbraw/zinc/06/85/88/308068588.db2.gz HZTMFYUPMVGVAX-VHSXEESVSA-N -1 1 313.427 1.225 20 0 DDADMM Cc1nc(=NC(=O)c2c(C)cc(C)nc2OC2CCC2)[n-][nH]1 ZINC000568824080 308130584 /nfs/dbraw/zinc/13/05/84/308130584.db2.gz FSCJZJANTLRTKE-UHFFFAOYSA-N -1 1 301.350 1.730 20 0 DDADMM O=C(Cn1ccnc1)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000570742041 308178321 /nfs/dbraw/zinc/17/83/21/308178321.db2.gz GDSJRCMIAPUBQU-UHFFFAOYSA-N -1 1 303.272 1.612 20 0 DDADMM C[C@@]1(O)CCN(c2ccc(=NCc3ccccc3F)[n-]n2)C1 ZINC000572858125 308225855 /nfs/dbraw/zinc/22/58/55/308225855.db2.gz OHDYVWSQIFRPQD-MRXNPFEDSA-N -1 1 302.353 1.611 20 0 DDADMM C[C@@H]1CN(Cc2ncnn2-c2ccccc2)C[C@@]1(C)C(=O)[O-] ZINC000576950467 308351983 /nfs/dbraw/zinc/35/19/83/308351983.db2.gz GENFUVIVLWMFCP-MLGOLLRUSA-N -1 1 300.362 1.810 20 0 DDADMM COCc1nc(=NC(=O)c2n[nH]c3c2cccc3OC)s[n-]1 ZINC000577424278 308387719 /nfs/dbraw/zinc/38/77/19/308387719.db2.gz GHJDGHCDYSDTIG-UHFFFAOYSA-N -1 1 319.346 1.244 20 0 DDADMM CCOc1ccc(OCCN2C[C@H](OC)C[C@H]2C(=O)[O-])cc1 ZINC000577521592 308395426 /nfs/dbraw/zinc/39/54/26/308395426.db2.gz JRKVJKBABZHMGF-CABCVRRESA-N -1 1 309.362 1.638 20 0 DDADMM CCO[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000579555819 308539644 /nfs/dbraw/zinc/53/96/44/308539644.db2.gz NBZJMWNNGDVCHJ-RKDXNWHRSA-N -1 1 311.812 1.484 20 0 DDADMM CCN1CN(c2ccc(=NCc3ccccc3F)[n-]n2)CC1=O ZINC000580632976 308619024 /nfs/dbraw/zinc/61/90/24/308619024.db2.gz PGMSBXGYNXDERJ-UHFFFAOYSA-N -1 1 315.352 1.276 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2cnc(C)[nH]c2=O)sc1C ZINC000581571599 325877720 /nfs/dbraw/zinc/87/77/20/325877720.db2.gz UBXVRNPXMPLULI-UHFFFAOYSA-N -1 1 314.392 1.042 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)c1ccc(C)o1 ZINC000582663365 337117613 /nfs/dbraw/zinc/11/76/13/337117613.db2.gz OTUKFUMXUFXBGB-TZMCWYRMSA-N -1 1 317.407 1.620 20 0 DDADMM Cn1cc([C@@H](NC(=O)c2cncc([O-])c2)C2(CO)CCC2)cn1 ZINC000582849887 337210096 /nfs/dbraw/zinc/21/00/96/337210096.db2.gz PXBUBEDOHCXJKL-CQSZACIVSA-N -1 1 316.361 1.154 20 0 DDADMM C[C@@H]1C[C@H](Nc2ccc(C(=O)[O-])nn2)C[N@H+]1Cc1ccccc1 ZINC000398037804 337304917 /nfs/dbraw/zinc/30/49/17/337304917.db2.gz NXAWBZLXNJHUML-OCCSQVGLSA-N -1 1 312.373 1.672 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)[C@H]2Cc3ccccc3CN2)C1 ZINC000398726992 337310151 /nfs/dbraw/zinc/31/01/51/337310151.db2.gz UNEUMSJZIJZFKB-KCPJHIHWSA-N -1 1 302.374 1.270 20 0 DDADMM CN(CCC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000584457266 337342461 /nfs/dbraw/zinc/34/24/61/337342461.db2.gz SJGLRUWEFAKKSC-UHFFFAOYSA-N -1 1 321.312 1.227 20 0 DDADMM CN(CCC(=O)[O-])C(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000584457266 337342462 /nfs/dbraw/zinc/34/24/62/337342462.db2.gz SJGLRUWEFAKKSC-UHFFFAOYSA-N -1 1 321.312 1.227 20 0 DDADMM Cc1nc([C@H](C)NC(=O)N[C@H](C)[C@@H]2C[C@H](C(=O)[O-])C2(C)C)n[nH]1 ZINC000655900732 483992108 /nfs/dbraw/zinc/99/21/08/483992108.db2.gz WGRUVUQFWZADNG-YKDSUIRESA-N -1 1 323.397 1.609 20 0 DDADMM O=C1NCCc2cc([N-]S(=O)(=O)CCOCC3CC3)ccc21 ZINC000656632219 484251029 /nfs/dbraw/zinc/25/10/29/484251029.db2.gz BJMXPALOGQOGDA-UHFFFAOYSA-N -1 1 324.402 1.141 20 0 DDADMM O=c1[nH]c2cc(F)c([N-]S(=O)(=O)C[C@H]3CCCO3)cc2o1 ZINC000656634302 484252357 /nfs/dbraw/zinc/25/23/57/484252357.db2.gz SHHBEBJMHGJLDB-SSDOTTSWSA-N -1 1 316.310 1.593 20 0 DDADMM CN(C)c1noc(CN2CC[C@H](C(=O)[O-])[C@H]3CCCC[C@H]32)n1 ZINC000656763290 484319662 /nfs/dbraw/zinc/31/96/62/484319662.db2.gz AVALYXILYFOCTD-GRYCIOLGSA-N -1 1 308.382 1.601 20 0 DDADMM NC(=O)[C@@H]1CCCCN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000670413733 484818963 /nfs/dbraw/zinc/81/89/63/484818963.db2.gz DSJXFYXSTMKRKH-JTQLQIEISA-N -1 1 316.279 1.891 20 0 DDADMM CCOCCOCCCC(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000668108178 484957693 /nfs/dbraw/zinc/95/76/93/484957693.db2.gz UTQUCSXIKTYTIA-UHFFFAOYSA-N -1 1 308.338 1.837 20 0 DDADMM O=c1nc(CN2C[C@@H]3C[C@H](O)CC[C@@H]3C2)[n-]c2ccsc21 ZINC000661202485 484973832 /nfs/dbraw/zinc/97/38/32/484973832.db2.gz WFCVKUGOWMGQNE-OUAUKWLOSA-N -1 1 305.403 1.990 20 0 DDADMM CCc1nncn1CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000669022907 485381762 /nfs/dbraw/zinc/38/17/62/485381762.db2.gz VQKJFHOFQDTPAJ-UHFFFAOYSA-N -1 1 311.345 1.524 20 0 DDADMM O=C([O-])[C@H]1CCCN(C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000669500503 485455139 /nfs/dbraw/zinc/45/51/39/485455139.db2.gz GHZHCXREYHPMBC-NSHDSACASA-N -1 1 315.333 1.596 20 0 DDADMM CCc1cccc(C(=O)N2CC[NH+]([C@@H]3CCC[C@H]3O)CC2)c1O ZINC000674361322 485614570 /nfs/dbraw/zinc/61/45/70/485614570.db2.gz JBHULNLIHOVGNX-HZPDHXFCSA-N -1 1 318.417 1.626 20 0 DDADMM CCO[C@@H]1C[C@@](O)(CNC(=O)c2ccc([O-])c(F)c2)C1(C)C ZINC000682820670 485787209 /nfs/dbraw/zinc/78/72/09/485787209.db2.gz FUJHEZMIQQYGNK-CZUORRHYSA-N -1 1 311.353 1.827 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-][C@H](c1ccccc1)C(F)(F)F ZINC000682833781 485793088 /nfs/dbraw/zinc/79/30/88/485793088.db2.gz JFMGMNUKSJWVBQ-SNVBAGLBSA-N -1 1 320.296 1.397 20 0 DDADMM CC(C)(CO)N1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000679318156 485846642 /nfs/dbraw/zinc/84/66/42/485846642.db2.gz PJTVBGHCTZTVCZ-UHFFFAOYSA-N -1 1 312.797 1.574 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H](c3nc4ccccc4o3)C2)nc1=O ZINC000679367700 485863025 /nfs/dbraw/zinc/86/30/25/485863025.db2.gz ZIGRJUYRXDLKMX-NSHDSACASA-N -1 1 313.361 1.629 20 0 DDADMM CN(CCCNC(=O)C1CCC1)C(=O)c1ccc([O-])c(F)c1 ZINC000683262266 485925190 /nfs/dbraw/zinc/92/51/90/485925190.db2.gz QCTRIHASEXGYPG-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCC(F)(F)C1 ZINC000675691626 486014001 /nfs/dbraw/zinc/01/40/01/486014001.db2.gz BJSQFMDDBNTAJK-SNVBAGLBSA-N -1 1 324.331 1.898 20 0 DDADMM CCN(C)[C@@H](C(=O)N(CCOC)CC(=O)[O-])c1ccccc1 ZINC000676208449 486143302 /nfs/dbraw/zinc/14/33/02/486143302.db2.gz YZKLXLRNOXRRLP-OAHLLOKOSA-N -1 1 308.378 1.239 20 0 DDADMM CCN(CC)[C@@H](C(=O)N1CCO[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000676216786 486148144 /nfs/dbraw/zinc/14/81/44/486148144.db2.gz WIGVGIUZRWTSCR-LSDHHAIUSA-N -1 1 320.389 1.382 20 0 DDADMM COc1ccc(NC(C)=O)cc1NC(=O)c1n[nH]c(C)c1[O-] ZINC000676629915 486271548 /nfs/dbraw/zinc/27/15/48/486271548.db2.gz HJSSETHKUYYYAZ-UHFFFAOYSA-N -1 1 304.306 1.643 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC(C)(C)CO)c(F)c1 ZINC000676865867 486350081 /nfs/dbraw/zinc/35/00/81/486350081.db2.gz FFQKONXUEJINAE-UHFFFAOYSA-N -1 1 309.334 1.270 20 0 DDADMM CO[C@@H]1CCC[C@H](CC(=O)NC2(c3nn[n-]n3)CCCC2)C1 ZINC000684444758 486356150 /nfs/dbraw/zinc/35/61/50/486356150.db2.gz DTWAWDNKWYOJNS-NWDGAFQWSA-N -1 1 307.398 1.681 20 0 DDADMM CNS(=O)(=O)Nc1ccc(NC(=O)c2ccccc2[O-])cc1 ZINC000684824021 486435911 /nfs/dbraw/zinc/43/59/11/486435911.db2.gz BRNOIOHJAJWZSS-UHFFFAOYSA-N -1 1 321.358 1.521 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCCSC1 ZINC000685637327 486579463 /nfs/dbraw/zinc/57/94/63/486579463.db2.gz HEDCNDVQFHPZGZ-NWDGAFQWSA-N -1 1 303.391 1.549 20 0 DDADMM O=C(c1ncoc1-c1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677928266 486581007 /nfs/dbraw/zinc/58/10/07/486581007.db2.gz VSBWIDIZDHAGRJ-LBPRGKRZSA-N -1 1 324.344 1.875 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c[nH]nc1-c1cc2ccccc2o1 ZINC000682000483 486617702 /nfs/dbraw/zinc/61/77/02/486617702.db2.gz BYXHMRSZVCVRMN-UHFFFAOYSA-N -1 1 309.289 1.266 20 0 DDADMM Cc1nc(S[C@H](C)C(=O)NC(=O)NC2CC2)[n-]c(=O)c1C ZINC000611468954 490399215 /nfs/dbraw/zinc/39/92/15/490399215.db2.gz KCDUWJRPPRMRIL-MRVPVSSYSA-N -1 1 310.379 1.268 20 0 DDADMM C[C@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)c1cncc([O-])c1 ZINC000264936739 490711442 /nfs/dbraw/zinc/71/14/42/490711442.db2.gz PKRFMIJXDYTMIB-NSHDSACASA-N -1 1 321.377 1.869 20 0 DDADMM Cc1cc[nH]c1C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000292522165 534152466 /nfs/dbraw/zinc/15/24/66/534152466.db2.gz JKXNCCLIFMLECR-NXEZZACHSA-N -1 1 317.311 1.995 20 0 DDADMM CC(C)(C)n1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)nn1 ZINC000331911619 526914841 /nfs/dbraw/zinc/91/48/41/526914841.db2.gz KMYZUDIOLXLYSD-UHFFFAOYSA-N -1 1 320.353 1.142 20 0 DDADMM CC(C)(CCNC(=O)c1cc(F)ccc1[O-])S(C)(=O)=O ZINC000412634912 527045281 /nfs/dbraw/zinc/04/52/81/527045281.db2.gz BEGGFYIPSMWSIJ-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM CC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1CCO[C@H]1C)c1ccccc1F ZINC000416650121 527148781 /nfs/dbraw/zinc/14/87/81/527148781.db2.gz QHNNKJLHYKJQBR-GDLCADMTSA-N -1 1 315.366 1.553 20 0 DDADMM CCC[C@H](C)C(=O)NCCN=c1nc(C(F)(F)F)[n-]s1 ZINC000431503187 527997768 /nfs/dbraw/zinc/99/77/68/527997768.db2.gz VZAOUIOJMNWJCL-ZETCQYMHSA-N -1 1 310.345 1.943 20 0 DDADMM CCC[C@H](O)CS(=O)(=O)c1nnc(Cc2cccs2)[n-]1 ZINC000295928991 528262949 /nfs/dbraw/zinc/26/29/49/528262949.db2.gz NILWQAHLERLKOT-VIFPVBQESA-N -1 1 315.420 1.392 20 0 DDADMM CCC[C@H](O)CS(=O)(=O)c1nc(Cc2cccs2)n[n-]1 ZINC000295928991 528262956 /nfs/dbraw/zinc/26/29/56/528262956.db2.gz NILWQAHLERLKOT-VIFPVBQESA-N -1 1 315.420 1.392 20 0 DDADMM CCC[C@H](O)CS(=O)(=O)c1n[n-]c(Cc2cccs2)n1 ZINC000295928991 528262961 /nfs/dbraw/zinc/26/29/61/528262961.db2.gz NILWQAHLERLKOT-VIFPVBQESA-N -1 1 315.420 1.392 20 0 DDADMM CC(C)C[C@@H](CNC(=O)NCc1n[nH]c(C(C)C)n1)C(=O)[O-] ZINC000424396416 528324426 /nfs/dbraw/zinc/32/44/26/528324426.db2.gz HGYOEQFVJNWAIC-JTQLQIEISA-N -1 1 311.386 1.474 20 0 DDADMM CCOC(=O)Cn1cnc(NC(=O)c2ccc(C)cc2[O-])n1 ZINC000425095487 528931287 /nfs/dbraw/zinc/93/12/87/528931287.db2.gz BOYOZGTXYMXFIJ-UHFFFAOYSA-N -1 1 304.306 1.108 20 0 DDADMM CC[C@H](C)[C@@H](C)NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000494557034 529204590 /nfs/dbraw/zinc/20/45/90/529204590.db2.gz HTORDGJUDVAONP-VHSXEESVSA-N -1 1 312.443 1.217 20 0 DDADMM CC[C@@H](O)CC[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330815121 529223946 /nfs/dbraw/zinc/22/39/46/529223946.db2.gz MBQKLKKKMAMXTR-SSDOTTSWSA-N -1 1 312.185 1.481 20 0 DDADMM CC[C@@H](O)[C@H](CC)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000451973840 529237944 /nfs/dbraw/zinc/23/79/44/529237944.db2.gz HZRHUDZGVNCGGP-WDEREUQCSA-N -1 1 311.325 1.932 20 0 DDADMM Cc1noc(CCNc2c3ccccc3nnc2-c2nnn[n-]2)n1 ZINC000737534392 598936737 /nfs/dbraw/zinc/93/67/37/598936737.db2.gz LJEKXXWFKJQNGB-UHFFFAOYSA-N -1 1 323.320 1.156 20 0 DDADMM Cc1noc(CCNc2c3ccccc3nnc2-c2nn[n-]n2)n1 ZINC000737534392 598936739 /nfs/dbraw/zinc/93/67/39/598936739.db2.gz LJEKXXWFKJQNGB-UHFFFAOYSA-N -1 1 323.320 1.156 20 0 DDADMM c1csc(CNc2c3ccccc3nnc2-c2nnn[n-]2)n1 ZINC000738437630 598937823 /nfs/dbraw/zinc/93/78/23/598937823.db2.gz RJXRYBMLHGXEGU-UHFFFAOYSA-N -1 1 310.346 1.879 20 0 DDADMM c1csc(CNc2c3ccccc3nnc2-c2nn[n-]n2)n1 ZINC000738437630 598937824 /nfs/dbraw/zinc/93/78/24/598937824.db2.gz RJXRYBMLHGXEGU-UHFFFAOYSA-N -1 1 310.346 1.879 20 0 DDADMM CCC(=O)N[C@@H]1CCCN([C@@H](C(=O)[O-])c2ccc(F)cc2)C1 ZINC000736846043 599714419 /nfs/dbraw/zinc/71/44/19/599714419.db2.gz BCHVGFQFIZEVSJ-UKRRQHHQSA-N -1 1 308.353 1.942 20 0 DDADMM CSC[C@@H](CCO)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000737175621 598976946 /nfs/dbraw/zinc/97/69/46/598976946.db2.gz FIIWYHWXTULPKJ-RXMQYKEDSA-N -1 1 320.831 1.503 20 0 DDADMM CSC[C@@H](CCO)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000737175621 598976948 /nfs/dbraw/zinc/97/69/48/598976948.db2.gz FIIWYHWXTULPKJ-RXMQYKEDSA-N -1 1 320.831 1.503 20 0 DDADMM O=C(NCc1cccc(Cl)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738117142 598979119 /nfs/dbraw/zinc/97/91/19/598979119.db2.gz NMHNSNUIJZADCO-UHFFFAOYSA-N -1 1 314.736 1.845 20 0 DDADMM O=C(NCc1cccc(Cl)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738117142 598979121 /nfs/dbraw/zinc/97/91/21/598979121.db2.gz NMHNSNUIJZADCO-UHFFFAOYSA-N -1 1 314.736 1.845 20 0 DDADMM CN(Cc1ccc(F)cc1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736820608 598981832 /nfs/dbraw/zinc/98/18/32/598981832.db2.gz ZIPKOQYIJMECMK-UHFFFAOYSA-N -1 1 312.308 1.673 20 0 DDADMM CN(Cc1ccc(F)cc1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736820608 598981833 /nfs/dbraw/zinc/98/18/33/598981833.db2.gz ZIPKOQYIJMECMK-UHFFFAOYSA-N -1 1 312.308 1.673 20 0 DDADMM CCN(C(=O)c1ccc(-c2nnn[n-]2)nc1)c1cccc(OC)c1 ZINC000736504647 598989382 /nfs/dbraw/zinc/98/93/82/598989382.db2.gz CIMLOYOVSVBBSN-UHFFFAOYSA-N -1 1 324.344 1.937 20 0 DDADMM CCN(C(=O)c1ccc(-c2nn[n-]n2)nc1)c1cccc(OC)c1 ZINC000736504647 598989383 /nfs/dbraw/zinc/98/93/83/598989383.db2.gz CIMLOYOVSVBBSN-UHFFFAOYSA-N -1 1 324.344 1.937 20 0 DDADMM Cc1cccc(CCNC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737392223 599000454 /nfs/dbraw/zinc/00/04/54/599000454.db2.gz PQLDMNHJNOHHRM-UHFFFAOYSA-N -1 1 308.345 1.543 20 0 DDADMM Cc1cccc(CCNC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737392223 599000455 /nfs/dbraw/zinc/00/04/55/599000455.db2.gz PQLDMNHJNOHHRM-UHFFFAOYSA-N -1 1 308.345 1.543 20 0 DDADMM O=C(Oc1cccc(F)c1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738246605 599002787 /nfs/dbraw/zinc/00/27/87/599002787.db2.gz IQHTXYXOZQIZED-UHFFFAOYSA-N -1 1 303.228 1.759 20 0 DDADMM O=C(Oc1cccc(F)c1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738246605 599002789 /nfs/dbraw/zinc/00/27/89/599002789.db2.gz IQHTXYXOZQIZED-UHFFFAOYSA-N -1 1 303.228 1.759 20 0 DDADMM c1ccc(N2CCC[C@@H](Nc3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000738436020 599060806 /nfs/dbraw/zinc/06/08/06/599060806.db2.gz MHTIXOGKFWMTFM-GFCCVEGCSA-N -1 1 322.376 1.738 20 0 DDADMM c1ccc(N2CCC[C@@H](Nc3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000738436020 599060809 /nfs/dbraw/zinc/06/08/09/599060809.db2.gz MHTIXOGKFWMTFM-GFCCVEGCSA-N -1 1 322.376 1.738 20 0 DDADMM COc1ccc(C(=O)N=c2cc[nH]cc2-c2nn[n-]n2)c(C)c1 ZINC000737073079 599188991 /nfs/dbraw/zinc/18/89/91/599188991.db2.gz YWUQVFBAKRHGAP-UHFFFAOYSA-N -1 1 310.317 1.253 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CN2C(=O)CC3(CCCC3)C2=O)C1 ZINC000819379864 597045516 /nfs/dbraw/zinc/04/55/16/597045516.db2.gz DPZMXDAXLNTWCP-GFCCVEGCSA-N -1 1 308.378 1.450 20 0 DDADMM CC(C)[C@H](NCC(=O)N[C@@H](c1ccccc1)C1CC1)C(=O)[O-] ZINC000817977484 597108275 /nfs/dbraw/zinc/10/82/75/597108275.db2.gz BHQZDJFVVIUGJD-HOTGVXAUSA-N -1 1 304.390 1.953 20 0 DDADMM CCc1nc([C@H](C)NC(=O)Nc2ccccc2CC(=O)[O-])n[nH]1 ZINC000820492627 597511218 /nfs/dbraw/zinc/51/12/18/597511218.db2.gz OTMLDJNJWOBNIX-VIFPVBQESA-N -1 1 317.349 1.877 20 0 DDADMM CC(=O)NCCN(Cc1cc(F)ccc1F)[C@H](C)C(=O)[O-] ZINC000819770166 597677373 /nfs/dbraw/zinc/67/73/73/597677373.db2.gz IQSZYIWNZVOHMR-SECBINFHSA-N -1 1 300.305 1.376 20 0 DDADMM Cc1ccc(C=Cc2n[nH]c(SCC(=O)NCC(=O)[O-])n2)o1 ZINC000821076019 597752157 /nfs/dbraw/zinc/75/21/57/597752157.db2.gz PQJLUGYDYKEYKQ-SNAWJCMRSA-N -1 1 322.346 1.169 20 0 DDADMM FC(F)(F)COCCCNc1nccnc1-c1nnn[n-]1 ZINC000737626024 598343072 /nfs/dbraw/zinc/34/30/72/598343072.db2.gz GEFNFBHNJSMSIT-UHFFFAOYSA-N -1 1 303.248 1.038 20 0 DDADMM FC(F)(F)COCCCNc1nccnc1-c1nn[n-]n1 ZINC000737626024 598343073 /nfs/dbraw/zinc/34/30/73/598343073.db2.gz GEFNFBHNJSMSIT-UHFFFAOYSA-N -1 1 303.248 1.038 20 0 DDADMM O=C(Nc1ccc(Oc2nccnc2-c2nn[n-]n2)cc1)C1CC1 ZINC000738175543 598346176 /nfs/dbraw/zinc/34/61/76/598346176.db2.gz OQWHVAXCMPMPET-UHFFFAOYSA-N -1 1 323.316 1.798 20 0 DDADMM c1ccc(N2CCCC2)c(CNc2nccnc2-c2nnn[n-]2)c1 ZINC000738435148 598347397 /nfs/dbraw/zinc/34/73/97/598347397.db2.gz IEEPOMOCNGKWPG-UHFFFAOYSA-N -1 1 322.376 1.869 20 0 DDADMM c1ccc(N2CCCC2)c(CNc2nccnc2-c2nn[n-]n2)c1 ZINC000738435148 598347399 /nfs/dbraw/zinc/34/73/99/598347399.db2.gz IEEPOMOCNGKWPG-UHFFFAOYSA-N -1 1 322.376 1.869 20 0 DDADMM Cc1cc(F)ccc1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000822205772 607352544 /nfs/dbraw/zinc/35/25/44/607352544.db2.gz IBGDZJIGQILSGG-UHFFFAOYSA-N -1 1 301.285 1.300 20 0 DDADMM Cc1cc(F)ccc1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000822205772 607352546 /nfs/dbraw/zinc/35/25/46/607352546.db2.gz IBGDZJIGQILSGG-UHFFFAOYSA-N -1 1 301.285 1.300 20 0 DDADMM CN(Cc1ccc(F)c(F)c1)c1cnc(-c2nnn[n-]2)cn1 ZINC000736816220 598385385 /nfs/dbraw/zinc/38/53/85/598385385.db2.gz ANLDBSQXEPCYCR-UHFFFAOYSA-N -1 1 303.276 1.571 20 0 DDADMM CN(Cc1ccc(F)c(F)c1)c1cnc(-c2nn[n-]n2)cn1 ZINC000736816220 598385387 /nfs/dbraw/zinc/38/53/87/598385387.db2.gz ANLDBSQXEPCYCR-UHFFFAOYSA-N -1 1 303.276 1.571 20 0 DDADMM c1cc(-c2nc(Cn3cnc(-c4nn[n-]n4)n3)cs2)co1 ZINC000738404356 598493276 /nfs/dbraw/zinc/49/32/76/598493276.db2.gz COPAPWGZPWTAFQ-UHFFFAOYSA-N -1 1 300.307 1.223 20 0 DDADMM CC[C@H](C)NC(=O)CCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736327228 598575844 /nfs/dbraw/zinc/57/58/44/598575844.db2.gz PLVSQDMKXGIGEF-QMMMGPOBSA-N -1 1 323.788 1.632 20 0 DDADMM CC[C@H](C)NC(=O)CCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736327228 598575846 /nfs/dbraw/zinc/57/58/46/598575846.db2.gz PLVSQDMKXGIGEF-QMMMGPOBSA-N -1 1 323.788 1.632 20 0 DDADMM COc1cc(CNc2n[nH]cc2-c2nnn[n-]2)ccc1SC ZINC000737048157 598585095 /nfs/dbraw/zinc/58/50/95/598585095.db2.gz XCIJPLIKXGRQFE-UHFFFAOYSA-N -1 1 317.378 1.932 20 0 DDADMM COc1cc(CNc2n[nH]cc2-c2nn[n-]n2)ccc1SC ZINC000737048157 598585096 /nfs/dbraw/zinc/58/50/96/598585096.db2.gz XCIJPLIKXGRQFE-UHFFFAOYSA-N -1 1 317.378 1.932 20 0 DDADMM O=S(=O)([N-]c1ccc(F)c(-c2nn[nH]n2)c1)c1ccccc1 ZINC000738343293 598596010 /nfs/dbraw/zinc/59/60/10/598596010.db2.gz WKRCWDSVOBMGSH-UHFFFAOYSA-N -1 1 319.321 1.807 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1nnc(-c2ccccc2)o1 ZINC000738371305 598677566 /nfs/dbraw/zinc/67/75/66/598677566.db2.gz IQSVDYJHEKLYNG-UHFFFAOYSA-N -1 1 321.300 1.127 20 0 DDADMM CCN(C[C@H]1CCCO1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736535937 598746037 /nfs/dbraw/zinc/74/60/37/598746037.db2.gz ZAFIEPYGHDIPLY-SECBINFHSA-N -1 1 308.773 1.920 20 0 DDADMM CCN(C[C@H]1CCCO1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736535937 598746039 /nfs/dbraw/zinc/74/60/39/598746039.db2.gz ZAFIEPYGHDIPLY-SECBINFHSA-N -1 1 308.773 1.920 20 0 DDADMM O=c1[nH]c(C=Cc2ccc(-c3nn[n-]n3)s2)nc2cnccc12 ZINC000823657847 607368726 /nfs/dbraw/zinc/36/87/26/607368726.db2.gz VVWZGNBHIJSUMX-RQOWECAXSA-N -1 1 323.341 1.730 20 0 DDADMM Cn1cc(CCn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)cn1 ZINC000737601364 599340637 /nfs/dbraw/zinc/34/06/37/599340637.db2.gz ICOJKWIZEGMIMI-UHFFFAOYSA-N -1 1 321.344 1.158 20 0 DDADMM COC(=O)c1ccc(CNCc2ccc(-c3nnn[n-]3)o2)o1 ZINC000821669032 599439868 /nfs/dbraw/zinc/43/98/68/599439868.db2.gz VIUJUZIAGSZOOS-UHFFFAOYSA-N -1 1 303.278 1.129 20 0 DDADMM COC(=O)c1ccc(CNCc2ccc(-c3nn[n-]n3)o2)o1 ZINC000821669032 599439870 /nfs/dbraw/zinc/43/98/70/599439870.db2.gz VIUJUZIAGSZOOS-UHFFFAOYSA-N -1 1 303.278 1.129 20 0 DDADMM O=C(NCc1cc(-c2nn[nH]n2)ccc1F)c1ncccc1[O-] ZINC000823241808 599491293 /nfs/dbraw/zinc/49/12/93/599491293.db2.gz GTCGDNMCUOTONR-UHFFFAOYSA-N -1 1 314.280 1.036 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000737748512 599532863 /nfs/dbraw/zinc/53/28/63/599532863.db2.gz ZTMPCMYAJXHZSF-HTQZYQBOSA-N -1 1 321.772 1.371 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000737748512 599532865 /nfs/dbraw/zinc/53/28/65/599532865.db2.gz ZTMPCMYAJXHZSF-HTQZYQBOSA-N -1 1 321.772 1.371 20 0 DDADMM CC(C)C[C@@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000820067802 599652810 /nfs/dbraw/zinc/65/28/10/599652810.db2.gz LNLLKMUCUGCXJH-NSHDSACASA-N -1 1 300.366 1.770 20 0 DDADMM CC(C)C[C@@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000820067802 599652811 /nfs/dbraw/zinc/65/28/11/599652811.db2.gz LNLLKMUCUGCXJH-NSHDSACASA-N -1 1 300.366 1.770 20 0 DDADMM O=C(OCC(F)(F)C(F)F)c1ccc(-c2nnn[n-]2)nc1 ZINC000823396624 599661740 /nfs/dbraw/zinc/66/17/40/599661740.db2.gz LTVNOHNTEKTGLZ-UHFFFAOYSA-N -1 1 305.191 1.319 20 0 DDADMM O=C(OCC(F)(F)C(F)F)c1ccc(-c2nn[n-]n2)nc1 ZINC000823396624 599661741 /nfs/dbraw/zinc/66/17/41/599661741.db2.gz LTVNOHNTEKTGLZ-UHFFFAOYSA-N -1 1 305.191 1.319 20 0 DDADMM O=C([O-])Cn1ccc(NS(=O)(=O)/C=C\c2ccccc2)n1 ZINC000739919734 599739370 /nfs/dbraw/zinc/73/93/70/599739370.db2.gz IVMPZOOQOUFUOA-CLFYSBASSA-N -1 1 307.331 1.380 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O ZINC000739639380 599841741 /nfs/dbraw/zinc/84/17/41/599841741.db2.gz LNHXYOUDKNULNY-RWMBFGLXSA-N -1 1 306.362 1.224 20 0 DDADMM CN1CCC[C@H]1C(=O)NC[C@H](Cc1cccc(F)c1)C(=O)[O-] ZINC000737518650 599984610 /nfs/dbraw/zinc/98/46/10/599984610.db2.gz FJZMGWJLPHIXHB-JSGCOSHPSA-N -1 1 308.353 1.279 20 0 DDADMM CC(C)(NCC(=O)N1CCC(C(=O)[O-])CC1)c1ccc(F)cc1 ZINC000736339220 600102138 /nfs/dbraw/zinc/10/21/38/600102138.db2.gz NKRACICWZRMIMG-UHFFFAOYSA-N -1 1 322.380 1.974 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000739278532 600138852 /nfs/dbraw/zinc/13/88/52/600138852.db2.gz OUCLIYBKWXFYOK-SECBINFHSA-N -1 1 318.333 1.004 20 0 DDADMM Cc1[nH]c(C(=O)CN[C@@H](C)Cn2cccn2)c(C)c1C(=O)[O-] ZINC000738153609 600181606 /nfs/dbraw/zinc/18/16/06/600181606.db2.gz NZWPUUJJNNCUQW-VIFPVBQESA-N -1 1 304.350 1.387 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1ccc(Br)cn1 ZINC000737793951 600224433 /nfs/dbraw/zinc/22/44/33/600224433.db2.gz GKGDQOKGDAGQGF-UHFFFAOYSA-N -1 1 317.183 1.767 20 0 DDADMM CC(C)CCN1C(=O)C[C@@H](N2CCC3(C[C@H]3C(=O)[O-])CC2)C1=O ZINC000736426293 600314678 /nfs/dbraw/zinc/31/46/78/600314678.db2.gz KVMBOMNEMQDEAT-QWHCGFSZSA-N -1 1 322.405 1.347 20 0 DDADMM CN1CCC[C@@H]1C(=O)N(CC(=O)[O-])Cc1ccc(F)c(F)c1 ZINC000737518592 600377666 /nfs/dbraw/zinc/37/76/66/600377666.db2.gz DJUKHRWCQBGXBX-CYBMUJFWSA-N -1 1 312.316 1.472 20 0 DDADMM COc1ccc(CN(C)C(=O)CN2CCC(C(=O)[O-])CC2)cc1 ZINC000737995909 600474675 /nfs/dbraw/zinc/47/46/75/600474675.db2.gz SYQUSUZGTYTZHF-UHFFFAOYSA-N -1 1 320.389 1.450 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CCC(COc2ccccc2)CC1 ZINC000736210206 600540409 /nfs/dbraw/zinc/54/04/09/600540409.db2.gz WWTVZCJDPAXQBA-CYBMUJFWSA-N -1 1 320.389 1.367 20 0 DDADMM CCOCCCN(C[C@H](C)C(=O)[O-])C(=O)[C@@H]1CCCCN1C ZINC000830001461 600723103 /nfs/dbraw/zinc/72/31/03/600723103.db2.gz ZDTIUGKBRGZDRE-KBPBESRZSA-N -1 1 314.426 1.447 20 0 DDADMM C[C@H]1C[C@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)CCN1C ZINC000157603110 600766477 /nfs/dbraw/zinc/76/64/77/600766477.db2.gz SIIFSXOSURZCQW-CMPLNLGQSA-N -1 1 312.391 1.146 20 0 DDADMM Cc1cc(CNS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2)n[nH]1 ZINC000832885536 600784741 /nfs/dbraw/zinc/78/47/41/600784741.db2.gz OLEHLTYIAKQYGE-UHFFFAOYSA-N -1 1 309.347 1.203 20 0 DDADMM O=C([O-])c1sccc1NC(=O)CN1CCN2CCCC[C@@H]2C1 ZINC000833108847 600827495 /nfs/dbraw/zinc/82/74/95/600827495.db2.gz YUMSIFPDHMJIMT-LLVKDONJSA-N -1 1 323.418 1.555 20 0 DDADMM Cc1nnc(SCC(=O)Nc2cccc(NC(=O)[O-])c2)[nH]1 ZINC000832990965 600896864 /nfs/dbraw/zinc/89/68/64/600896864.db2.gz PCRPEPCPXFKBFP-UHFFFAOYSA-N -1 1 307.335 1.934 20 0 DDADMM Cc1nnc(SCCC(=O)N2CCC3(C[C@H]3C(=O)[O-])CC2)[nH]1 ZINC000833021513 600953197 /nfs/dbraw/zinc/95/31/97/600953197.db2.gz NZIOKSNDEIOETN-JTQLQIEISA-N -1 1 324.406 1.309 20 0 DDADMM C[C@H](O)CN1CCN([C@@H](C(=O)[O-])c2ccccc2F)C[C@H]1C ZINC000827796138 600999691 /nfs/dbraw/zinc/99/96/91/600999691.db2.gz MFKCBXVDWCHGSA-TYNCELHUSA-N -1 1 310.369 1.338 20 0 DDADMM CC[C@@H]1CN([C@H](C(=O)[O-])c2ccccc2F)CCN1C[C@@H](C)O ZINC000829141710 600999720 /nfs/dbraw/zinc/99/97/20/600999720.db2.gz OMASTPLNUYXXEO-IOASZLSFSA-N -1 1 324.396 1.728 20 0 DDADMM CC(C)N(C(=O)CN1CCSC[C@@H]1CC(=O)[O-])C(C)C ZINC000091131676 601014649 /nfs/dbraw/zinc/01/46/49/601014649.db2.gz LGCBORIVDUDBTB-LBPRGKRZSA-N -1 1 302.440 1.524 20 0 DDADMM O=C([O-])[C@H](c1ccc(F)cc1)N1CCN(CCCCO)CC1 ZINC000833170988 601044542 /nfs/dbraw/zinc/04/45/42/601044542.db2.gz LCTBFAZCMUHFJY-HNNXBMFYSA-N -1 1 310.369 1.342 20 0 DDADMM Cc1nc(CN(C)[C@@H]2CCN(Cc3ccc(C(=O)[O-])o3)C2)no1 ZINC000738854759 601104893 /nfs/dbraw/zinc/10/48/93/601104893.db2.gz IMAOCXVDLUNBPE-LLVKDONJSA-N -1 1 320.349 1.376 20 0 DDADMM CCN1C[C@@H](C)[C@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC000737111562 601111149 /nfs/dbraw/zinc/11/11/49/601111149.db2.gz KLOKAALNAMTTMC-ZWNOBZJWSA-N -1 1 312.391 1.003 20 0 DDADMM O=C([O-])C1CCN(C(=O)CN2CC[C@H]2Cc2ccccc2)CC1 ZINC000833190234 601390039 /nfs/dbraw/zinc/39/00/39/601390039.db2.gz UEELECRNVSCXQJ-INIZCTEOSA-N -1 1 316.401 1.627 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C[C@H](O)COc2ccccc2Cl)C1 ZINC000833175806 601448102 /nfs/dbraw/zinc/44/81/02/601448102.db2.gz UTUGGOJPGRXNFX-HZMBPMFUSA-N -1 1 317.744 1.578 20 0 DDADMM COc1ccc(NC(=O)CN2C[C@@H](C)[C@H](C(=O)[O-])C2)cc1OC ZINC000832394278 601511066 /nfs/dbraw/zinc/51/10/66/601511066.db2.gz QNAPGOPONLXBFW-ZYHUDNBSSA-N -1 1 322.361 1.295 20 0 DDADMM COc1ccc(-c2nnc(CN3C[C@H](C(=O)[O-])[C@H](C)C3)o2)cc1 ZINC000832133926 601519238 /nfs/dbraw/zinc/51/92/38/601519238.db2.gz HBKVSDRFYCVUOR-MFKMUULPSA-N -1 1 317.345 1.898 20 0 DDADMM COC(=O)c1ccccc1NC(=O)CN1C[C@H](C)[C@H](C(=O)[O-])C1 ZINC000831457528 601527661 /nfs/dbraw/zinc/52/76/61/601527661.db2.gz IVPMOGNDQPDFDH-CMPLNLGQSA-N -1 1 320.345 1.064 20 0 DDADMM COc1ccccc1NC(=O)[C@H](C)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000832622679 601533418 /nfs/dbraw/zinc/53/34/18/601533418.db2.gz FLAXFGUIOLGGJA-GRYCIOLGSA-N -1 1 306.362 1.675 20 0 DDADMM Cc1nnc(SCCC(=O)NCC2(C(=O)[O-])CCCC2)[nH]1 ZINC000833021221 601706948 /nfs/dbraw/zinc/70/69/48/601706948.db2.gz LFFXSXIZGRCPEX-UHFFFAOYSA-N -1 1 312.395 1.357 20 0 DDADMM O=C([O-])N[C@@H](C(=O)N1CCN2CCCC[C@H]2C1)c1ccccc1 ZINC000740684025 601923601 /nfs/dbraw/zinc/92/36/01/601923601.db2.gz ARGPIKWEIXIXAD-LSDHHAIUSA-N -1 1 317.389 1.692 20 0 DDADMM Cn1nc(C(C)(C)C)cc1NC(=O)CN1CC[C@](C)(C(=O)[O-])C1 ZINC000833060115 601939008 /nfs/dbraw/zinc/93/90/08/601939008.db2.gz QSGRRAXYNNNNLZ-INIZCTEOSA-N -1 1 322.409 1.453 20 0 DDADMM Cc1ccccc1NC(=O)[C@@H](C)N1CC[C@H](CNC(=O)[O-])C1 ZINC000740186562 601958652 /nfs/dbraw/zinc/95/86/52/601958652.db2.gz PYABFPUNAOZFOU-CHWSQXEVSA-N -1 1 305.378 1.912 20 0 DDADMM CN(C)[C@@H](CNC(=O)NCCCNC(=O)[O-])c1ccsc1 ZINC000739608640 602392857 /nfs/dbraw/zinc/39/28/57/602392857.db2.gz QCSXLKKKRCXFNE-NSHDSACASA-N -1 1 314.411 1.308 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)NCCCN(C)C(=O)[O-] ZINC000739669907 602457405 /nfs/dbraw/zinc/45/74/05/602457405.db2.gz JWHGXHBZIDVGTN-AWEZNQCLSA-N -1 1 307.394 1.275 20 0 DDADMM C[C@H](C(=O)Nc1ccccc1)N1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738635766 602538073 /nfs/dbraw/zinc/53/80/73/602538073.db2.gz AUCKZHUHAWKTSB-CHWSQXEVSA-N -1 1 305.378 1.945 20 0 DDADMM CCCN(CC(=O)NCc1cccnc1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739355300 602553055 /nfs/dbraw/zinc/55/30/55/602553055.db2.gz XTQQJUASKXCMHG-CQSZACIVSA-N -1 1 320.393 1.162 20 0 DDADMM COc1ccc2c(CN(C)[C@H](C)CNC(=O)[O-])cc(=O)oc2c1 ZINC000739935700 602556394 /nfs/dbraw/zinc/55/63/94/602556394.db2.gz VEDUFRAQAHHGAR-SNVBAGLBSA-N -1 1 320.345 1.890 20 0 DDADMM CC(C)[C@@H](C)NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000824193289 607574536 /nfs/dbraw/zinc/57/45/36/607574536.db2.gz WISQNAJWTAHAAO-MRVPVSSYSA-N -1 1 313.358 1.329 20 0 DDADMM CN(C(=O)c1csc(CNC(=O)[O-])n1)[C@H]1CCN(C2CC2)C1 ZINC000739594498 602704551 /nfs/dbraw/zinc/70/45/51/602704551.db2.gz VGQVQTSVSMLHDU-JTQLQIEISA-N -1 1 324.406 1.219 20 0 DDADMM CN(C(=O)c1csc(CNC(=O)[O-])n1)[C@@H]1CCN(C2CC2)C1 ZINC000739594501 602704653 /nfs/dbraw/zinc/70/46/53/602704653.db2.gz VGQVQTSVSMLHDU-SNVBAGLBSA-N -1 1 324.406 1.219 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCN(C(=O)[O-])C[C@@H]1C ZINC000740029930 602753363 /nfs/dbraw/zinc/75/33/63/602753363.db2.gz FSMQZMCETVUASE-JTQLQIEISA-N -1 1 309.370 1.044 20 0 DDADMM Cc1cccc(CNC(=O)CN2CC[C@H](NC(=O)[O-])[C@H](C)C2)c1 ZINC000740169332 602784181 /nfs/dbraw/zinc/78/41/81/602784181.db2.gz HPVFKEPYBKKZGP-HIFRSBDPSA-N -1 1 319.405 1.589 20 0 DDADMM C[C@H]1C[N@H+](Cc2nc(=O)c3sccc3[n-]2)CC[C@H]1NC(=O)[O-] ZINC000739191828 602788246 /nfs/dbraw/zinc/78/82/46/602788246.db2.gz QPBOBXAROOEYLX-DTWKUNHWSA-N -1 1 322.390 1.875 20 0 DDADMM C[C@H]1C[N@@H+](Cc2nc(=O)c3sccc3[n-]2)CC[C@H]1NC(=O)[O-] ZINC000739191828 602788251 /nfs/dbraw/zinc/78/82/51/602788251.db2.gz QPBOBXAROOEYLX-DTWKUNHWSA-N -1 1 322.390 1.875 20 0 DDADMM C[C@@H](CS(C)(=O)=O)N(CC1CCN(C(=O)[O-])CC1)C1CC1 ZINC000738943724 602862711 /nfs/dbraw/zinc/86/27/11/602862711.db2.gz AKUFKAIXYCHESG-NSHDSACASA-N -1 1 318.439 1.274 20 0 DDADMM Cc1ccc(C(=O)NC[C@H]2CN(C)CCN2C)cc1NC(=O)[O-] ZINC000830216889 602911322 /nfs/dbraw/zinc/91/13/22/602911322.db2.gz NGPATQBPXPSHFJ-ZDUSSCGKSA-N -1 1 320.393 1.061 20 0 DDADMM O=C([O-])N1CCCC[C@H]1CNC(=O)N1CCN(C2CCC2)CC1 ZINC000740414515 602962248 /nfs/dbraw/zinc/96/22/48/602962248.db2.gz QNTDNZQZNHJDCE-AWEZNQCLSA-N -1 1 324.425 1.399 20 0 DDADMM O=C([O-])N1CCCC[C@H](NC(=O)N2CCN3CCCC[C@@H]3C2)C1 ZINC000740520700 602974971 /nfs/dbraw/zinc/97/49/71/602974971.db2.gz TXXNERMXOYCVRJ-UONOGXRCSA-N -1 1 324.425 1.399 20 0 DDADMM C[C@H](C(=O)N1CCN(C(=O)[O-])C[C@@H]1C)N(C)Cc1ccccc1 ZINC000738620359 603177918 /nfs/dbraw/zinc/17/79/18/603177918.db2.gz SHFONQACEHFVBI-UONOGXRCSA-N -1 1 319.405 1.718 20 0 DDADMM C[C@H](C(=O)N[C@@H](C)c1ccccc1)N(C)CCCNC(=O)[O-] ZINC000738952174 603253541 /nfs/dbraw/zinc/25/35/41/603253541.db2.gz MAOVGFAFIGOSSV-QWHCGFSZSA-N -1 1 307.394 1.842 20 0 DDADMM Cc1ccc(CNC(=O)[C@H](C)N(C)CCCNC(=O)[O-])cc1 ZINC000740126997 603256161 /nfs/dbraw/zinc/25/61/61/603256161.db2.gz SQCWDZPYVQBVFJ-ZDUSSCGKSA-N -1 1 307.394 1.589 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@H]1CCN(C(=O)[O-])C1)c1ccsc1 ZINC000739604628 603274275 /nfs/dbraw/zinc/27/42/75/603274275.db2.gz ZHHRBEAYHYPZTH-CMPLNLGQSA-N -1 1 311.407 1.467 20 0 DDADMM Cc1nnc(NC(=O)[C@@H](NC(=O)[O-])c2cccc(Cl)c2)[nH]1 ZINC000740222715 603300773 /nfs/dbraw/zinc/30/07/73/603300773.db2.gz LMFCDNFCRNZHAR-VIFPVBQESA-N -1 1 309.713 1.714 20 0 DDADMM Cc1n[nH]c(NC(=O)[C@@H](NC(=O)[O-])c2cccc(Cl)c2)n1 ZINC000740222715 603300778 /nfs/dbraw/zinc/30/07/78/603300778.db2.gz LMFCDNFCRNZHAR-VIFPVBQESA-N -1 1 309.713 1.714 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1sccc1CN(C)C)NC(=O)[O-] ZINC000824122196 603535024 /nfs/dbraw/zinc/53/50/24/603535024.db2.gz DBGCLPLTEZZWES-LLVKDONJSA-N -1 1 313.423 1.832 20 0 DDADMM Cc1csc2nc(CN(C)[C@@H]3CCN(C(=O)[O-])C3)cc(=O)n12 ZINC000830673882 603539151 /nfs/dbraw/zinc/53/91/51/603539151.db2.gz ZNHCYUHLHSRGHB-LLVKDONJSA-N -1 1 322.390 1.249 20 0 DDADMM Cc1ccc(N2CC[C@H](N[C@@H]3CCN(C(=O)[O-])C3)C2=O)cc1 ZINC000830340421 603644097 /nfs/dbraw/zinc/64/40/97/603644097.db2.gz LHVBJOSYKDYRRT-OCCSQVGLSA-N -1 1 303.362 1.442 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)[C@@H](C)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000826669313 603811339 /nfs/dbraw/zinc/81/13/39/603811339.db2.gz VNKRVQDMJAOGFN-MCIONIFRSA-N -1 1 311.426 1.850 20 0 DDADMM O=C([O-])N1CC[C@@](F)(C(=O)Nc2nc(-c3ccco3)n[nH]2)C1 ZINC000831913920 603832609 /nfs/dbraw/zinc/83/26/09/603832609.db2.gz BOINAPODHGFRNE-LBPRGKRZSA-N -1 1 309.257 1.095 20 0 DDADMM CCN(CC(=O)NC1CCN(Cc2cccnc2)CC1)C(=O)[O-] ZINC000827002690 603895724 /nfs/dbraw/zinc/89/57/24/603895724.db2.gz PVSMQSXYBWKUAC-UHFFFAOYSA-N -1 1 320.393 1.162 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCN(C(=O)[O-])[C@H](C)C1 ZINC000829835272 603943427 /nfs/dbraw/zinc/94/34/27/603943427.db2.gz ZRBGOJQOJJEHHK-ZCFIWIBFSA-N -1 1 320.271 1.561 20 0 DDADMM CCC(CC)(CNC(=O)[O-])NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000826545045 603948268 /nfs/dbraw/zinc/94/82/68/603948268.db2.gz ZKAIFVJZSSIXNS-JTQLQIEISA-N -1 1 308.382 1.772 20 0 DDADMM C[C@@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)[C@@H]1CNC(=O)[O-] ZINC000825907250 603952425 /nfs/dbraw/zinc/95/24/25/603952425.db2.gz XKCYCVOTVNGSGZ-UHIISALHSA-N -1 1 320.393 1.724 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000824135008 604057292 /nfs/dbraw/zinc/05/72/92/604057292.db2.gz BRZPEXKZKPWFQE-ZDUSSCGKSA-N -1 1 322.340 1.653 20 0 DDADMM O=C([O-])N1CCCC[C@@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000831730240 604057955 /nfs/dbraw/zinc/05/79/55/604057955.db2.gz CMUDSASMMMMMMD-GFCCVEGCSA-N -1 1 320.324 1.851 20 0 DDADMM COc1ccc(CN2CCC(OCCCNC(=O)[O-])CC2)cn1 ZINC000829362654 604115308 /nfs/dbraw/zinc/11/53/08/604115308.db2.gz MYZMOFPPSOZGSV-UHFFFAOYSA-N -1 1 323.393 1.729 20 0 DDADMM O=C([O-])N1CCC[C@H]1[C@@H]1CCCCN1C(=O)CCc1nc[nH]n1 ZINC000831998053 604152897 /nfs/dbraw/zinc/15/28/97/604152897.db2.gz RHSINJYRDCNFLL-RYUDHWBXSA-N -1 1 321.381 1.261 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)N2CCC[C@@H](C)C2)C1 ZINC000825904403 604166303 /nfs/dbraw/zinc/16/63/03/604166303.db2.gz FURHQVPMQPULDZ-RDBSUJKOSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN([C@@H](C)C(=O)NC2CCCC2)C1 ZINC000825252702 604184899 /nfs/dbraw/zinc/18/48/99/604184899.db2.gz GDMPBONOXYVQEU-RWMBFGLXSA-N -1 1 311.426 1.802 20 0 DDADMM CN(Cc1cccc(NC(=O)NCCc2nc[nH]n2)c1)C(=O)[O-] ZINC000828375653 604280587 /nfs/dbraw/zinc/28/05/87/604280587.db2.gz ONZSWKOBXZMDGH-UHFFFAOYSA-N -1 1 318.337 1.279 20 0 DDADMM O=C([O-])N[C@@H]1CCN(C[C@@H](O)COc2ccccc2Cl)C1 ZINC000740595250 604379555 /nfs/dbraw/zinc/37/95/55/604379555.db2.gz SROLIFJOKWHCQD-GHMZBOCLSA-N -1 1 314.769 1.422 20 0 DDADMM CC(C)(C(=O)[O-])[C@@H](NC(=O)CCc1nc[nH]n1)c1ccccc1 ZINC000826090630 604387163 /nfs/dbraw/zinc/38/71/63/604387163.db2.gz VESDEXAGLVSPRT-AWEZNQCLSA-N -1 1 316.361 1.706 20 0 DDADMM C[C@@H](CNC(=O)C1(NC(=O)[O-])CC1)N1CCc2ccccc2C1 ZINC000824914617 604425966 /nfs/dbraw/zinc/42/59/66/604425966.db2.gz FUARPUBWPVMMOY-LBPRGKRZSA-N -1 1 317.389 1.350 20 0 DDADMM CC[C@H]1CO[C@H](C)CN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000825218823 608188814 /nfs/dbraw/zinc/18/88/14/608188814.db2.gz FRSUEBVDFSNUPB-RQJHMYQMSA-N -1 1 314.802 1.980 20 0 DDADMM CN(CC(=O)N(C)c1ccccc1C(=O)[O-])C[C@H]1CCC[C@H]1O ZINC000833690732 604520804 /nfs/dbraw/zinc/52/08/04/604520804.db2.gz BVZPTUAPKUDORJ-IUODEOHRSA-N -1 1 320.389 1.440 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccc(C(=O)[O-])cc2)[C@@H](C)CN1C ZINC000833627936 604571962 /nfs/dbraw/zinc/57/19/62/604571962.db2.gz XZLUEVKTSMIJIK-RYUDHWBXSA-N -1 1 305.378 1.348 20 0 DDADMM CSC[C@@H]1CCCN1CC(=O)N[C@H](CC(C)C)C(=O)[O-] ZINC000832758368 604701383 /nfs/dbraw/zinc/70/13/83/604701383.db2.gz BBYAQSUZRNWYQN-NWDGAFQWSA-N -1 1 302.440 1.429 20 0 DDADMM CCOC[C@@H](C(=O)[O-])N(C)C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000829962106 604722964 /nfs/dbraw/zinc/72/29/64/604722964.db2.gz ZCHWVYDNDFMWIG-LBPRGKRZSA-N -1 1 307.306 1.231 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833386738 604896749 /nfs/dbraw/zinc/89/67/49/604896749.db2.gz QZOKEXXTCLFZBP-XYYIANASSA-N -1 1 317.320 1.764 20 0 DDADMM CC1CCC2(CC1)NC(=O)N(CN1CCC[C@H]1CC(=O)[O-])C2=O ZINC000828232528 604980293 /nfs/dbraw/zinc/98/02/93/604980293.db2.gz GXIJVORFKWRTAR-BGMSHATGSA-N -1 1 323.393 1.384 20 0 DDADMM C[C@H](CNC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C)C(C)(C)C ZINC000833627308 605053126 /nfs/dbraw/zinc/05/31/26/605053126.db2.gz WABURCFAIRPXJW-CHWSQXEVSA-N -1 1 313.442 1.859 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN[C@H](C)c1ccc(OC)cc1)C(=O)[O-] ZINC000833636843 605067518 /nfs/dbraw/zinc/06/75/18/605067518.db2.gz AVSDPYPRBZYMKW-HWWQOWPSSA-N -1 1 322.405 1.961 20 0 DDADMM CC(C)n1cccc1C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833626134 605109025 /nfs/dbraw/zinc/10/90/25/605109025.db2.gz ODNZUMWOXUIJCF-ZDUSSCGKSA-N -1 1 307.394 1.690 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C\c1ccccc1F ZINC000833621092 605111603 /nfs/dbraw/zinc/11/16/03/605111603.db2.gz MDYMJVIVIAIROS-FWWRYZNZSA-N -1 1 320.364 1.846 20 0 DDADMM Cc1ccc(C#N)cc1S(=O)(=O)Nc1ccc(C(=O)[O-])nc1 ZINC000316866741 605162734 /nfs/dbraw/zinc/16/27/34/605162734.db2.gz WAYYXVZWNNJFHX-UHFFFAOYSA-N -1 1 317.326 1.761 20 0 DDADMM CC(C)C(=O)NCCN(C)CCOc1ccccc1C(=O)[O-] ZINC000833466558 605220124 /nfs/dbraw/zinc/22/01/24/605220124.db2.gz BGCQHIGHIIQHLM-UHFFFAOYSA-N -1 1 308.378 1.468 20 0 DDADMM CC(C)CN1CCO[C@@H](CNC(=O)CC(C)(C)CC(=O)[O-])C1 ZINC000833488074 605252351 /nfs/dbraw/zinc/25/23/51/605252351.db2.gz OIEZDRIDDQWEFU-ZDUSSCGKSA-N -1 1 314.426 1.350 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN1CCC[C@@H](OC)CC1)C(=O)[O-] ZINC000833640293 605272338 /nfs/dbraw/zinc/27/23/38/605272338.db2.gz WSCYOKIIGHYPCS-OUCADQQQSA-N -1 1 300.399 1.103 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C\c2ccsc2)CCN1CCC(=O)[O-] ZINC000833617538 605278440 /nfs/dbraw/zinc/27/84/40/605278440.db2.gz DOPQDZOCGOPCKJ-ZZKXABKFSA-N -1 1 308.403 1.769 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCN(CCOC(C)C)CC2)O1 ZINC000833576883 605345298 /nfs/dbraw/zinc/34/52/98/605345298.db2.gz AWKVUMGDMUJQRV-AWEZNQCLSA-N -1 1 312.410 1.177 20 0 DDADMM CC(C)C[C@H](NC(=O)CN(C)C[C@@H]1CCCC[C@H]1O)C(=O)[O-] ZINC000833478932 605394003 /nfs/dbraw/zinc/39/40/03/605394003.db2.gz WLSLCWOBLBFHOU-MELADBBJSA-N -1 1 314.426 1.085 20 0 DDADMM CC[N@@H+](C)[C@H](C(=O)[N-]OC[C@@H](C)NC(=O)[O-])c1ccccc1 ZINC000833856496 605397761 /nfs/dbraw/zinc/39/77/61/605397761.db2.gz KPZFGPAVAFEGGI-YPMHNXCESA-N -1 1 309.366 1.383 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+](C)CCc1ccncc1 ZINC000833402977 605545352 /nfs/dbraw/zinc/54/53/52/605545352.db2.gz BOBUOHFUIFAMGO-CYBMUJFWSA-N -1 1 319.405 1.268 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)CCc1ccncc1 ZINC000833402977 605545355 /nfs/dbraw/zinc/54/53/55/605545355.db2.gz BOBUOHFUIFAMGO-CYBMUJFWSA-N -1 1 319.405 1.268 20 0 DDADMM CC1CCC(N2CCN(C(=O)c3cc(C(=O)[O-])no3)CC2)CC1 ZINC000833603635 605872148 /nfs/dbraw/zinc/87/21/48/605872148.db2.gz MPFGDLNWYLBDIG-UHFFFAOYSA-N -1 1 321.377 1.709 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NCc1ccccc1 ZINC000833829364 605884174 /nfs/dbraw/zinc/88/41/74/605884174.db2.gz HNYCGWHEKWVELN-TZMCWYRMSA-N -1 1 305.378 1.281 20 0 DDADMM O=C([O-])N1CCC(CSCCN2C[C@H]3CC[C@@H](C2)O3)CC1 ZINC000834082448 605942403 /nfs/dbraw/zinc/94/24/03/605942403.db2.gz OYYNNQRYDOFRBF-OKILXGFUSA-N -1 1 314.451 1.973 20 0 DDADMM O=C([O-])N[C@H](CN[C@@H]1CCCS(=O)(=O)C1)c1ccccc1 ZINC000834150455 605964100 /nfs/dbraw/zinc/96/41/00/605964100.db2.gz NADIJQCHMNOLMH-CHWSQXEVSA-N -1 1 312.391 1.162 20 0 DDADMM CC(C)C[C@H](NC(=O)[O-])C(=O)N[C@H](C)c1n[nH]c(C(C)C)n1 ZINC000833478758 605969912 /nfs/dbraw/zinc/96/99/12/605969912.db2.gz HWAXTESCUXRYMH-ZJUUUORDSA-N -1 1 311.386 1.788 20 0 DDADMM Cn1cc(CN2CC[C@H](NC(=O)[O-])C2)c(-c2cccs2)n1 ZINC000833949533 605990990 /nfs/dbraw/zinc/99/09/90/605990990.db2.gz SOAWJZMTLQQQJI-NSHDSACASA-N -1 1 306.391 1.991 20 0 DDADMM O=C([O-])N[C@H](CC(F)F)C(=O)N1CCN(C2CCCC2)CC1 ZINC000834134951 606008821 /nfs/dbraw/zinc/00/88/21/606008821.db2.gz VOKUSCWTRSKHKK-LLVKDONJSA-N -1 1 319.352 1.365 20 0 DDADMM O=C([O-])N1CCC[C@H](N[C@H]2CS(=O)(=O)c3ccccc32)CC1 ZINC000834100038 606044462 /nfs/dbraw/zinc/04/44/62/606044462.db2.gz GXBKPLYUZYRGAH-AAEUAGOBSA-N -1 1 324.402 1.637 20 0 DDADMM CC[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000820981239 606163681 /nfs/dbraw/zinc/16/36/81/606163681.db2.gz PTELFRLSGVOOJB-JTQLQIEISA-N -1 1 318.385 1.644 20 0 DDADMM CC[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000820981239 606163683 /nfs/dbraw/zinc/16/36/83/606163683.db2.gz PTELFRLSGVOOJB-JTQLQIEISA-N -1 1 318.385 1.644 20 0 DDADMM C[C@@H](NC(=O)Nc1nn(C)cc1-c1nnn[n-]1)c1cccs1 ZINC000820379593 606164861 /nfs/dbraw/zinc/16/48/61/606164861.db2.gz DTQQXGPMVGIOIF-SSDOTTSWSA-N -1 1 318.366 1.544 20 0 DDADMM C[C@@H](NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)c1cccs1 ZINC000820379593 606164863 /nfs/dbraw/zinc/16/48/63/606164863.db2.gz DTQQXGPMVGIOIF-SSDOTTSWSA-N -1 1 318.366 1.544 20 0 DDADMM CC(C)C(=O)N[C@H]1CCCN(c2cccc(-c3nnn[n-]3)n2)C1 ZINC000820011743 606215875 /nfs/dbraw/zinc/21/58/75/606215875.db2.gz PKZSNJLRFWXTJI-NSHDSACASA-N -1 1 315.381 1.003 20 0 DDADMM CC(C)C(=O)N[C@H]1CCCN(c2cccc(-c3nn[n-]n3)n2)C1 ZINC000820011743 606215877 /nfs/dbraw/zinc/21/58/77/606215877.db2.gz PKZSNJLRFWXTJI-NSHDSACASA-N -1 1 315.381 1.003 20 0 DDADMM C[C@@H](C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-])C(F)(F)F ZINC000819865828 606293826 /nfs/dbraw/zinc/29/38/26/606293826.db2.gz IKPHBGROAXQQTE-YFKPBYRVSA-N -1 1 301.228 1.709 20 0 DDADMM CCN(Cc1ccccn1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821230426 606548503 /nfs/dbraw/zinc/54/85/03/606548503.db2.gz KGWHHMCBOSHYIZ-UHFFFAOYSA-N -1 1 314.374 1.986 20 0 DDADMM CCN(Cc1ccccn1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821230426 606548504 /nfs/dbraw/zinc/54/85/04/606548504.db2.gz KGWHHMCBOSHYIZ-UHFFFAOYSA-N -1 1 314.374 1.986 20 0 DDADMM Cn1cc(C(C)(C)NC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000822668528 606573627 /nfs/dbraw/zinc/57/36/27/606573627.db2.gz ABVAABDLIDRNMQ-UHFFFAOYSA-N -1 1 317.378 1.327 20 0 DDADMM Cn1cc(C(C)(C)NC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000822668528 606573629 /nfs/dbraw/zinc/57/36/29/606573629.db2.gz ABVAABDLIDRNMQ-UHFFFAOYSA-N -1 1 317.378 1.327 20 0 DDADMM CC(C)CC[C@](C)(O)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820073056 606578499 /nfs/dbraw/zinc/57/84/99/606578499.db2.gz PKUGRFOXAFPOQI-AWEZNQCLSA-N -1 1 323.422 1.845 20 0 DDADMM CC(C)CC[C@](C)(O)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820073056 606578501 /nfs/dbraw/zinc/57/85/01/606578501.db2.gz PKUGRFOXAFPOQI-AWEZNQCLSA-N -1 1 323.422 1.845 20 0 DDADMM COc1ccccc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000821882519 606647366 /nfs/dbraw/zinc/64/73/66/606647366.db2.gz CUDUCXAZIJIRJB-UHFFFAOYSA-N -1 1 311.301 1.627 20 0 DDADMM COc1ccccc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000821882519 606647367 /nfs/dbraw/zinc/64/73/67/606647367.db2.gz CUDUCXAZIJIRJB-UHFFFAOYSA-N -1 1 311.301 1.627 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccc(F)c(F)c1 ZINC000823436392 606654012 /nfs/dbraw/zinc/65/40/12/606654012.db2.gz SYGIPNROECGNAH-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc(F)c(F)c1 ZINC000823436392 606654013 /nfs/dbraw/zinc/65/40/13/606654013.db2.gz SYGIPNROECGNAH-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)Cc2ccc(F)cc2F)n1 ZINC000822604928 606684457 /nfs/dbraw/zinc/68/44/57/606684457.db2.gz QRSGEJKMRHAUBM-UHFFFAOYSA-N -1 1 319.275 1.060 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)Cc2ccc(F)cc2F)n1 ZINC000822604928 606684458 /nfs/dbraw/zinc/68/44/58/606684458.db2.gz QRSGEJKMRHAUBM-UHFFFAOYSA-N -1 1 319.275 1.060 20 0 DDADMM Nc1n[nH]c(CCCNc2ccnc(Cl)c2)c1-c1nn[n-]n1 ZINC000822899633 606910228 /nfs/dbraw/zinc/91/02/28/606910228.db2.gz MLENUGGIZDQJBK-UHFFFAOYSA-N -1 1 319.760 1.265 20 0 DDADMM Cc1cccc(CC2CN(c3nccnc3-c3nnn[n-]3)C2)c1 ZINC000822299360 606944984 /nfs/dbraw/zinc/94/49/84/606944984.db2.gz GJALUDDPJLWBSD-UHFFFAOYSA-N -1 1 307.361 1.644 20 0 DDADMM Cc1cccc(CC2CN(c3nccnc3-c3nn[n-]n3)C2)c1 ZINC000822299360 606944985 /nfs/dbraw/zinc/94/49/85/606944985.db2.gz GJALUDDPJLWBSD-UHFFFAOYSA-N -1 1 307.361 1.644 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1ccc2nccn2c1 ZINC000823379836 607108994 /nfs/dbraw/zinc/10/89/94/607108994.db2.gz KLOACZJSPZEOGI-UHFFFAOYSA-N -1 1 312.318 1.223 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1ccc2nccn2c1 ZINC000823379836 607108996 /nfs/dbraw/zinc/10/89/96/607108996.db2.gz KLOACZJSPZEOGI-UHFFFAOYSA-N -1 1 312.318 1.223 20 0 DDADMM CC1(C2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)OCCO1 ZINC000820613298 607174190 /nfs/dbraw/zinc/17/41/90/607174190.db2.gz ZIBRLGNGEDDABZ-UHFFFAOYSA-N -1 1 316.365 1.241 20 0 DDADMM CC1(C2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)OCCO1 ZINC000820613298 607174191 /nfs/dbraw/zinc/17/41/91/607174191.db2.gz ZIBRLGNGEDDABZ-UHFFFAOYSA-N -1 1 316.365 1.241 20 0 DDADMM CN(Cc1ccc(Cl)nc1)c1cccc(-c2nnn[n-]2)n1 ZINC000825965736 607895585 /nfs/dbraw/zinc/89/55/85/607895585.db2.gz FOYMWYDMTVNOBA-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM CN(Cc1ccc(Cl)nc1)c1cccc(-c2nn[n-]n2)n1 ZINC000825965736 607895586 /nfs/dbraw/zinc/89/55/86/607895586.db2.gz FOYMWYDMTVNOBA-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM COCCCn1ccc(Nc2cccc(-c3nnn[n-]3)n2)n1 ZINC000826157378 607899667 /nfs/dbraw/zinc/89/96/67/607899667.db2.gz SOQPFUMGXMKVDX-UHFFFAOYSA-N -1 1 300.326 1.238 20 0 DDADMM COCCCn1ccc(Nc2cccc(-c3nn[n-]n3)n2)n1 ZINC000826157378 607899668 /nfs/dbraw/zinc/89/96/68/607899668.db2.gz SOQPFUMGXMKVDX-UHFFFAOYSA-N -1 1 300.326 1.238 20 0 DDADMM O=C1CCCN1c1ccc(Nc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826480425 607987991 /nfs/dbraw/zinc/98/79/91/607987991.db2.gz ARWWFYVVLQQZGB-UHFFFAOYSA-N -1 1 322.332 1.527 20 0 DDADMM O=C1CCCN1c1ccc(Nc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826480425 607987992 /nfs/dbraw/zinc/98/79/92/607987992.db2.gz ARWWFYVVLQQZGB-UHFFFAOYSA-N -1 1 322.332 1.527 20 0 DDADMM C[C@H](Nc1snc(Cl)c1-c1nn[n-]n1)c1nncn1C ZINC000824670363 608176657 /nfs/dbraw/zinc/17/66/57/608176657.db2.gz MSFBLRIXVUSSIV-BYPYZUCNSA-N -1 1 311.762 1.278 20 0 DDADMM C[C@H](Nc1snc(Cl)c1-c1nnn[n-]1)c1nncn1C ZINC000824670363 608176656 /nfs/dbraw/zinc/17/66/56/608176656.db2.gz MSFBLRIXVUSSIV-BYPYZUCNSA-N -1 1 311.762 1.278 20 0 DDADMM CC[C@H]1CO[C@H](C)CN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000825218823 608188813 /nfs/dbraw/zinc/18/88/13/608188813.db2.gz FRSUEBVDFSNUPB-RQJHMYQMSA-N -1 1 314.802 1.980 20 0 DDADMM CCOc1ccc(CNc2ccc(-c3nnn[n-]3)nn2)cc1F ZINC000825638137 608415208 /nfs/dbraw/zinc/41/52/08/608415208.db2.gz GVHGWVISFXBVGI-UHFFFAOYSA-N -1 1 315.312 1.807 20 0 DDADMM CCOc1ccc(CNc2ccc(-c3nn[n-]n3)nn2)cc1F ZINC000825638137 608415210 /nfs/dbraw/zinc/41/52/10/608415210.db2.gz GVHGWVISFXBVGI-UHFFFAOYSA-N -1 1 315.312 1.807 20 0 DDADMM FC(F)(F)Cn1cc(Nc2ccc(-c3nnn[n-]3)nn2)cn1 ZINC000826367836 608421636 /nfs/dbraw/zinc/42/16/36/608421636.db2.gz QLMJMMAFPMZRBR-UHFFFAOYSA-N -1 1 311.231 1.159 20 0 DDADMM FC(F)(F)Cn1cc(Nc2ccc(-c3nn[n-]n3)nn2)cn1 ZINC000826367836 608421637 /nfs/dbraw/zinc/42/16/37/608421637.db2.gz QLMJMMAFPMZRBR-UHFFFAOYSA-N -1 1 311.231 1.159 20 0 DDADMM CN(c1ccc(-c2nnn[n-]2)nn1)[C@H](CCO)c1ccccc1 ZINC000826004077 608427866 /nfs/dbraw/zinc/42/78/66/608427866.db2.gz HUSPRVYSPUNZBA-CYBMUJFWSA-N -1 1 311.349 1.217 20 0 DDADMM CN(c1ccc(-c2nn[n-]n2)nn1)[C@H](CCO)c1ccccc1 ZINC000826004077 608427868 /nfs/dbraw/zinc/42/78/68/608427868.db2.gz HUSPRVYSPUNZBA-CYBMUJFWSA-N -1 1 311.349 1.217 20 0 DDADMM C[C@@H](C[C@H](O)c1cccs1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824391011 608435147 /nfs/dbraw/zinc/43/51/47/608435147.db2.gz GDVGFBDSANJSIL-WPRPVWTQSA-N -1 1 317.378 1.642 20 0 DDADMM C[C@@H](C[C@H](O)c1cccs1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824391011 608435149 /nfs/dbraw/zinc/43/51/49/608435149.db2.gz GDVGFBDSANJSIL-WPRPVWTQSA-N -1 1 317.378 1.642 20 0 DDADMM CCCc1csc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000825351670 608489880 /nfs/dbraw/zinc/48/98/80/608489880.db2.gz GCPBIPAEYBBLGS-UHFFFAOYSA-N -1 1 315.362 1.923 20 0 DDADMM CCCc1csc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000825351670 608489881 /nfs/dbraw/zinc/48/98/81/608489881.db2.gz GCPBIPAEYBBLGS-UHFFFAOYSA-N -1 1 315.362 1.923 20 0 DDADMM O=S(=O)([N-]c1ncccc1F)c1ccc(-c2nn[nH]n2)cc1 ZINC000826493399 609342201 /nfs/dbraw/zinc/34/22/01/609342201.db2.gz INPPBBSTQBGKAB-UHFFFAOYSA-N -1 1 320.309 1.202 20 0 DDADMM C[C@H]1C[C@@H](COC(=O)c2sccc2-c2nn[n-]n2)CCO1 ZINC000824883689 609389403 /nfs/dbraw/zinc/38/94/03/609389403.db2.gz ITCPGUDHNZKOFB-IUCAKERBSA-N -1 1 308.363 1.900 20 0 DDADMM Cc1nn(C[C@@H]2CCc3ccccc32)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334086 609512844 /nfs/dbraw/zinc/51/28/44/609512844.db2.gz HJNKOVGMZAWQLM-ZDUSSCGKSA-N -1 1 322.372 1.770 20 0 DDADMM Fc1cc(CCNc2nccnc2-c2nnn[n-]2)cc(F)c1F ZINC000826372270 609571449 /nfs/dbraw/zinc/57/14/49/609571449.db2.gz PBDAUHOBQMNVPU-UHFFFAOYSA-N -1 1 321.266 1.729 20 0 DDADMM Fc1cc(CCNc2nccnc2-c2nn[n-]n2)cc(F)c1F ZINC000826372270 609571452 /nfs/dbraw/zinc/57/14/52/609571452.db2.gz PBDAUHOBQMNVPU-UHFFFAOYSA-N -1 1 321.266 1.729 20 0 DDADMM CC(C)CCn1ccc(NC(=O)Cc2sc(N)nc2[O-])n1 ZINC000121974188 696717949 /nfs/dbraw/zinc/71/79/49/696717949.db2.gz KMGWLGTXFWXVRK-VIFPVBQESA-N -1 1 309.395 1.215 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000971729277 695074490 /nfs/dbraw/zinc/07/44/90/695074490.db2.gz VCKZVQVTWUHZMO-ZIAWVFJWSA-N -1 1 315.373 1.426 20 0 DDADMM O=C(C[C@H]1C=CCC1)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000972947102 695375659 /nfs/dbraw/zinc/37/56/59/695375659.db2.gz AYBDKCMIJXYEJF-AVGNSLFASA-N -1 1 315.373 1.521 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)[C@H](C)SC)o1 ZINC000797195756 699998459 /nfs/dbraw/zinc/99/84/59/699998459.db2.gz RHEXQZSWAYJCAR-YUMQZZPRSA-N -1 1 307.393 1.485 20 0 DDADMM O=C(COC(=O)c1ccncc1Cl)[N-]C(=O)c1ccccc1 ZINC000745767001 699993076 /nfs/dbraw/zinc/99/30/76/699993076.db2.gz JCDVVGRGEDZWRD-UHFFFAOYSA-N -1 1 318.716 1.848 20 0 DDADMM O=C([N-]OCc1cccnc1)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000746528876 700029149 /nfs/dbraw/zinc/02/91/49/700029149.db2.gz FHJCRSXRVLRSIZ-AWEZNQCLSA-N -1 1 317.389 1.811 20 0 DDADMM CN(CC(=O)NC(C)(C)C)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000007659574 696029802 /nfs/dbraw/zinc/02/98/02/696029802.db2.gz GDVDCHHWYUTHES-UHFFFAOYSA-N -1 1 318.373 1.845 20 0 DDADMM COCCNC(=O)CCn1c(=S)[n-]nc1-c1cccs1 ZINC000014683897 696056012 /nfs/dbraw/zinc/05/60/12/696056012.db2.gz IMWCJJURKWVHJG-UHFFFAOYSA-N -1 1 312.420 1.822 20 0 DDADMM CN(C)c1ncccc1C(=O)NCc1n[n-]c(=S)n1C1CC1 ZINC000066637641 696354359 /nfs/dbraw/zinc/35/43/59/696354359.db2.gz NNDRTNOKZQLCQP-UHFFFAOYSA-N -1 1 318.406 1.667 20 0 DDADMM Cn1c(CCNC(=O)COc2cccc(C#N)c2)n[n-]c1=S ZINC000067051923 696358657 /nfs/dbraw/zinc/35/86/57/696358657.db2.gz GFRLNVWHOGVHSW-UHFFFAOYSA-N -1 1 317.374 1.087 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CC12CC2 ZINC000978504636 696397572 /nfs/dbraw/zinc/39/75/72/696397572.db2.gz JZLVXBREORVUJQ-NWDGAFQWSA-N -1 1 315.373 1.260 20 0 DDADMM C[C@@H](OCc1ccccc1)C(=O)NCCc1n[n-]c(=S)n1C ZINC000078502171 696451963 /nfs/dbraw/zinc/45/19/63/696451963.db2.gz UYFIXCOEXLDSKS-LLVKDONJSA-N -1 1 320.418 1.742 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(C#N)cc1 ZINC000079484603 696460667 /nfs/dbraw/zinc/46/06/67/696460667.db2.gz UHPUNHNRIJPKTR-KCJUWKMLSA-N -1 1 302.359 1.082 20 0 DDADMM CC(C)(NC(=O)Cc1sc(N)nc1[O-])c1ccc(F)cc1 ZINC000080023045 696465939 /nfs/dbraw/zinc/46/59/39/696465939.db2.gz BVRUYJPYKVUZQN-JTQLQIEISA-N -1 1 309.366 1.524 20 0 DDADMM O=S(=O)([N-]Cc1ccon1)c1ccc(Cl)nc1Cl ZINC000080829587 696537903 /nfs/dbraw/zinc/53/79/03/696537903.db2.gz FYLAVQOBECWPJH-UHFFFAOYSA-N -1 1 308.146 1.855 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)c1cccc2n[nH]cc21 ZINC000081454830 696544048 /nfs/dbraw/zinc/54/40/48/696544048.db2.gz NNVGWWZGTPARQF-UHFFFAOYSA-N -1 1 316.390 1.809 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)N(C)c1cn[nH]c1 ZINC000085329312 696563077 /nfs/dbraw/zinc/56/30/77/696563077.db2.gz QBAFSYMXFZUEPK-UHFFFAOYSA-N -1 1 322.390 1.838 20 0 DDADMM CCc1nn(C)cc1NC(=O)NCCn1c(CC)n[n-]c1=S ZINC000091202571 696587005 /nfs/dbraw/zinc/58/70/05/696587005.db2.gz AKQDFFWWPVBSOT-UHFFFAOYSA-N -1 1 323.426 1.621 20 0 DDADMM CC(C)(CNC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000120894239 696703219 /nfs/dbraw/zinc/70/32/19/696703219.db2.gz AZRRGRGNKHZASH-LLVKDONJSA-N -1 1 305.403 1.427 20 0 DDADMM Cn1ccc(C(=O)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)c1 ZINC000799019783 700110215 /nfs/dbraw/zinc/11/02/15/700110215.db2.gz SFYDFTKEFGQKNZ-UHFFFAOYSA-N -1 1 317.311 1.556 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCN(C[C@H]3CC[C@@H](C)O3)CC2)c1[O-] ZINC000980982153 696969841 /nfs/dbraw/zinc/96/98/41/696969841.db2.gz YRLJKJYRKORJBM-DGCLKSJQSA-N -1 1 322.409 1.139 20 0 DDADMM CC1(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000982849148 697184952 /nfs/dbraw/zinc/18/49/52/697184952.db2.gz JPHHSHCIALOKRE-NSHDSACASA-N -1 1 303.362 1.166 20 0 DDADMM CN(C)c1ccc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000164511000 697336460 /nfs/dbraw/zinc/33/64/60/697336460.db2.gz QCPVDLOINZGNCR-LBPRGKRZSA-N -1 1 305.378 1.488 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2cccc(F)c2C)n1 ZINC000174673854 697390923 /nfs/dbraw/zinc/39/09/23/697390923.db2.gz WHUYDTHXDCDVOA-UHFFFAOYSA-N -1 1 312.326 1.238 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1CC ZINC000984801480 697430636 /nfs/dbraw/zinc/43/06/36/697430636.db2.gz RJJBIZWHJPLUQS-FZXKYSEESA-N -1 1 317.389 1.863 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CC1 ZINC000984800935 697430875 /nfs/dbraw/zinc/43/08/75/697430875.db2.gz GQDDKIUTFBTHKF-NWDGAFQWSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@H](OC(=O)c1c([O-])cc(F)cc1F)C(=O)Nc1ncccn1 ZINC000749283214 700154870 /nfs/dbraw/zinc/15/48/70/700154870.db2.gz SHBACUYHPAQMEK-ZETCQYMHSA-N -1 1 323.255 1.644 20 0 DDADMM CSC1(C(=O)NNC(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000188125552 697554654 /nfs/dbraw/zinc/55/46/54/697554654.db2.gz UBODRJVMVZTZJB-UHFFFAOYSA-N -1 1 300.767 1.702 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985682415 697554965 /nfs/dbraw/zinc/55/49/65/697554965.db2.gz LHNOFNFVPWCOAG-NSHDSACASA-N -1 1 309.414 1.225 20 0 DDADMM C[C@H](NC(=O)c1ccoc1)C(=O)Nc1nc(Cl)ccc1[O-] ZINC000188351588 697557573 /nfs/dbraw/zinc/55/75/73/697557573.db2.gz QWSSLXUEFLFFDP-ZETCQYMHSA-N -1 1 309.709 1.791 20 0 DDADMM Cc1ccc(-c2c[nH]cc2C(=O)NN2CC(=O)[N-]C2=O)s1 ZINC000188364622 697557593 /nfs/dbraw/zinc/55/75/93/697557593.db2.gz WBUUMDIRAMECLS-UHFFFAOYSA-N -1 1 304.331 1.248 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CCCCC1 ZINC000985890402 697596919 /nfs/dbraw/zinc/59/69/19/697596919.db2.gz NBPFCNFQMUTWET-CMPLNLGQSA-N -1 1 307.398 1.170 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccccc1F ZINC000985898681 697597899 /nfs/dbraw/zinc/59/78/99/697597899.db2.gz MIKIAMCSWLWBNB-CABZTGNLSA-N -1 1 319.340 1.042 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)c1cccnc1 ZINC000772719601 697666980 /nfs/dbraw/zinc/66/69/80/697666980.db2.gz XUQVEXXYWMVCBF-GFCCVEGCSA-N -1 1 316.361 1.472 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773161047 697721992 /nfs/dbraw/zinc/72/19/92/697721992.db2.gz KPWWICJCJWTPGU-PWSUYJOCSA-N -1 1 319.390 1.284 20 0 DDADMM O=C(c1cccc(Cl)n1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000773499519 697768418 /nfs/dbraw/zinc/76/84/18/697768418.db2.gz WMRVMWOOEGPQOT-UHFFFAOYSA-N -1 1 308.725 1.843 20 0 DDADMM CO[C@@H]1C[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1(C)C ZINC000227836304 697772163 /nfs/dbraw/zinc/77/21/63/697772163.db2.gz MDFHWIOYPNDMKC-JGVFFNPUSA-N -1 1 307.803 1.165 20 0 DDADMM CC(C)(C)NC(=O)NC(=O)COC(=O)c1ccc([O-])cc1F ZINC000773564292 697781851 /nfs/dbraw/zinc/78/18/51/697781851.db2.gz DMHXHJYKXBYGMQ-UHFFFAOYSA-N -1 1 312.297 1.312 20 0 DDADMM O=C(COC(=O)c1ccc([O-])cc1F)NC(=O)NC1CCCC1 ZINC000773578256 697782691 /nfs/dbraw/zinc/78/26/91/697782691.db2.gz XWDHSSXGQIADFB-UHFFFAOYSA-N -1 1 324.308 1.457 20 0 DDADMM CS[C@@H](CO)[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000230352785 697783138 /nfs/dbraw/zinc/78/31/38/697783138.db2.gz XJABGRVGSHRYGN-SCZZXKLOSA-N -1 1 313.423 1.044 20 0 DDADMM O=C(NCc1ccc(Cl)nc1)c1ccc2n[n-]c(=S)n2c1 ZINC000773828368 697809824 /nfs/dbraw/zinc/80/98/24/697809824.db2.gz BAXQXQDVRMMCIO-UHFFFAOYSA-N -1 1 319.777 1.996 20 0 DDADMM CCc1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)o1 ZINC000986437308 697812445 /nfs/dbraw/zinc/81/24/45/697812445.db2.gz OLRUIGYTBGZRBC-MWLCHTKSSA-N -1 1 319.365 1.059 20 0 DDADMM Cc1nc(C(C)C)[nH]c(=O)c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000773998486 697831832 /nfs/dbraw/zinc/83/18/32/697831832.db2.gz KZBJSZVYEMQKMF-QMMMGPOBSA-N -1 1 319.369 1.003 20 0 DDADMM O=C([C@@H]1CSCCS1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000774215259 697855976 /nfs/dbraw/zinc/85/59/76/697855976.db2.gz CBOAGRPACKNNQD-IUCAKERBSA-N -1 1 315.420 1.330 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2C[C@H]2C(C)C)co1 ZINC000774860788 697935643 /nfs/dbraw/zinc/93/56/43/697935643.db2.gz NUKGORZFJAIJTB-ONGXEEELSA-N -1 1 301.364 1.637 20 0 DDADMM O=C([N-]CCCOC(=O)c1n[nH]c2ccccc21)C(F)(F)F ZINC000774885220 697937965 /nfs/dbraw/zinc/93/79/65/697937965.db2.gz ZTLSRHGUVCXQAN-UHFFFAOYSA-N -1 1 315.251 1.788 20 0 DDADMM CCc1c(C(=O)OCCC[N-]C(=O)C(F)(F)F)cnn1C ZINC000774920730 697942296 /nfs/dbraw/zinc/94/22/96/697942296.db2.gz DTBVIYHARKLNKP-UHFFFAOYSA-N -1 1 307.272 1.208 20 0 DDADMM Cn1ncc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1C1CC1 ZINC000774931938 697943321 /nfs/dbraw/zinc/94/33/21/697943321.db2.gz CWGQFQHOLQSYHJ-UHFFFAOYSA-N -1 1 319.283 1.523 20 0 DDADMM CCc1ccnc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000775106148 697963189 /nfs/dbraw/zinc/96/31/89/697963189.db2.gz VACCGEQCMKBAHU-UHFFFAOYSA-N -1 1 304.268 1.869 20 0 DDADMM O=C(C[C@@H](O)c1cccc(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000775545219 698007390 /nfs/dbraw/zinc/00/73/90/698007390.db2.gz LSBZNDJBEQKJEJ-WCQYABFASA-N -1 1 319.340 1.169 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCc1cnccn1 ZINC000775584895 698012055 /nfs/dbraw/zinc/01/20/55/698012055.db2.gz MAMSWZAVQOWHCJ-UHFFFAOYSA-N -1 1 301.350 1.377 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccccn1)c1cccc(F)c1F ZINC000775591894 698012683 /nfs/dbraw/zinc/01/26/83/698012683.db2.gz FONILODQXDMHBP-LLVKDONJSA-N -1 1 314.313 1.372 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)[C@H]2CC2(C)C)CCN1C(=O)c1ncccc1[O-] ZINC000987615198 698166062 /nfs/dbraw/zinc/16/60/62/698166062.db2.gz GVXVTDHSAKVLRY-UTUOFQBUSA-N -1 1 317.389 1.553 20 0 DDADMM O=c1cc(CN2CCOC[C@H]2CCO)c2ccc([O-])cc2o1 ZINC000777712581 698216770 /nfs/dbraw/zinc/21/67/70/698216770.db2.gz IBANCSMBNHNMKZ-GFCCVEGCSA-N -1 1 305.330 1.082 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000988234086 698314303 /nfs/dbraw/zinc/31/43/03/698314303.db2.gz YSBIFOBYAQMCBR-XQQFMLRXSA-N -1 1 317.389 1.364 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)N(C)C(C)C)N1CCCCC1 ZINC000778635409 698354577 /nfs/dbraw/zinc/35/45/77/698354577.db2.gz WTLYAWLGSSHQOD-ZDUSSCGKSA-N -1 1 319.471 1.198 20 0 DDADMM CC(C)C(=O)N1CCC(CN(C)C(=O)c2ncccc2[O-])CC1 ZINC000988457416 698376880 /nfs/dbraw/zinc/37/68/80/698376880.db2.gz VBTCHHKKKBANNE-UHFFFAOYSA-N -1 1 319.405 1.754 20 0 DDADMM Cc1cnc(C(=O)N[C@H](CO)C[C@@H](O)c2ccccc2)c([O-])c1 ZINC000779024629 698391047 /nfs/dbraw/zinc/39/10/47/698391047.db2.gz NLUHNVXOJAMJLL-UONOGXRCSA-N -1 1 316.357 1.310 20 0 DDADMM CC(C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C)=C1CCCC1 ZINC000988550351 698403201 /nfs/dbraw/zinc/40/32/01/698403201.db2.gz CRGYNLJEFDVABD-GWCFXTLKSA-N -1 1 319.409 1.480 20 0 DDADMM Cc1cc(CN[C@@H]2C[C@H](C)N(C(=O)c3n[nH]c(C)c3[O-])C2)on1 ZINC000988662341 698430245 /nfs/dbraw/zinc/43/02/45/698430245.db2.gz MJBOZERDBIJDAE-GXSJLCMTSA-N -1 1 319.365 1.113 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CCC[C@@H]1C(=O)[O-])c1cccc(F)c1 ZINC000780360418 698511275 /nfs/dbraw/zinc/51/12/75/698511275.db2.gz PRUWMAHWUSEDED-KGLIPLIRSA-N -1 1 323.368 1.687 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CCC[C@@H]1C(=O)[O-])c1ccsc1 ZINC000780385219 698514078 /nfs/dbraw/zinc/51/40/78/698514078.db2.gz NXRNTLKJZBEHHB-VXGBXAGGSA-N -1 1 311.407 1.609 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)N1CCC[C@H]1C(=O)[O-])c1ccco1 ZINC000780478555 698523087 /nfs/dbraw/zinc/52/30/87/698523087.db2.gz CTCAPMUZXCZSQY-STQMWFEESA-N -1 1 323.393 1.921 20 0 DDADMM O=C([N-]N1Cc2ccccc2C1=O)c1c[nH]nc1-c1cccnc1 ZINC000782133426 698687011 /nfs/dbraw/zinc/68/70/11/698687011.db2.gz ZJACLAQOJJPOJO-UHFFFAOYSA-N -1 1 319.324 1.773 20 0 DDADMM O=C1COc2ccc(S(=O)(=O)[N-]c3ccc(F)nc3)cc2N1 ZINC000318837652 698708083 /nfs/dbraw/zinc/70/80/83/698708083.db2.gz HPVUHQVQTRKBJI-UHFFFAOYSA-N -1 1 323.305 1.352 20 0 DDADMM N#Cc1cncc(S(=O)(=O)[N-]c2cc([N+](=O)[O-])ccc2F)c1 ZINC000320469461 698720372 /nfs/dbraw/zinc/72/03/72/698720372.db2.gz ZNWGWTCGDBEURR-UHFFFAOYSA-N -1 1 322.277 1.801 20 0 DDADMM CN(C)S(=O)(=O)CCCOC(=O)c1c([O-])cc(F)cc1F ZINC000783264013 698827593 /nfs/dbraw/zinc/82/75/93/698827593.db2.gz MSMBEYZCCXRMIK-UHFFFAOYSA-N -1 1 323.317 1.109 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1C[C@H]2C[C@@]2(CO)C1 ZINC000783784617 698878810 /nfs/dbraw/zinc/87/88/10/698878810.db2.gz OQNLPFYBUDLCLI-RNCFNFMXSA-N -1 1 312.163 1.609 20 0 DDADMM COc1cc(C(=O)N2CC(=O)N(C)C[C@@H]2C)cc(Cl)c1[O-] ZINC000785424862 699075981 /nfs/dbraw/zinc/07/59/81/699075981.db2.gz DUDCATDDPGPTPV-QMMMGPOBSA-N -1 1 312.753 1.357 20 0 DDADMM CCc1cc2c(COC(=O)C3COC3)cc(=O)oc2cc1[O-] ZINC000785775465 699100619 /nfs/dbraw/zinc/10/06/19/699100619.db2.gz KCYCEJDFJBDSBK-UHFFFAOYSA-N -1 1 304.298 1.751 20 0 DDADMM CC[C@H](Sc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000785924220 699110835 /nfs/dbraw/zinc/11/08/35/699110835.db2.gz PSSMUOUEHYQZTD-NSHDSACASA-N -1 1 307.375 1.723 20 0 DDADMM COCCN1CCC[C@H](Nc2nccc(C(=O)[O-])c2[N+](=O)[O-])C1 ZINC000399396146 699123200 /nfs/dbraw/zinc/12/32/00/699123200.db2.gz PYEHOXJITYUVFQ-JTQLQIEISA-N -1 1 324.337 1.211 20 0 DDADMM O=C(Cc1ccc(Cl)cn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425301552 699151319 /nfs/dbraw/zinc/15/13/19/699151319.db2.gz MMGYTVLSSQQWGD-VIFPVBQESA-N -1 1 322.752 1.772 20 0 DDADMM O=c1cc(CN2C[C@H](O)CC(F)(F)C2)c2ccc([O-])cc2o1 ZINC000787361441 699208883 /nfs/dbraw/zinc/20/88/83/699208883.db2.gz QWPIFGJGIZLYMY-LLVKDONJSA-N -1 1 311.284 1.701 20 0 DDADMM O=C(Cc1cc[nH]n1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000787779633 699242156 /nfs/dbraw/zinc/24/21/56/699242156.db2.gz JEGWYEMVTUNZNM-UHFFFAOYSA-N -1 1 300.270 1.508 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(Cc2ccc(Br)cc2)C1 ZINC000706471150 699243790 /nfs/dbraw/zinc/24/37/90/699243790.db2.gz UXIHPPRBZPAUSJ-GFCCVEGCSA-N -1 1 300.152 1.471 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000788274660 699295963 /nfs/dbraw/zinc/29/59/63/699295963.db2.gz QIOGKODSPQWQRE-CHWSQXEVSA-N -1 1 310.394 1.252 20 0 DDADMM CC1(C)CN(CCNC(=O)c2c([O-])cccc2Cl)CCO1 ZINC000725899903 699339843 /nfs/dbraw/zinc/33/98/43/699339843.db2.gz UATMBZHALPONAG-UHFFFAOYSA-N -1 1 312.797 1.886 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)/C=C/c3ccco3)nc2n1 ZINC000726864430 699386117 /nfs/dbraw/zinc/38/61/17/699386117.db2.gz YRGBKLVTWRMFSW-VOTSOKGWSA-N -1 1 313.317 1.615 20 0 DDADMM COC(=O)c1cnc(C(=O)Nc2nc(Cl)ccc2[O-])cn1 ZINC000790047678 699437385 /nfs/dbraw/zinc/43/73/85/699437385.db2.gz MSKHQFRLTOJIQF-UHFFFAOYSA-N -1 1 308.681 1.270 20 0 DDADMM C/C=C\C(=O)NCc1cccc(-c2nc(C(=O)OCC)n[n-]2)c1 ZINC000790160278 699446427 /nfs/dbraw/zinc/44/64/27/699446427.db2.gz PTAQCCNBBFSYMU-UTCJRWHESA-N -1 1 314.345 1.841 20 0 DDADMM CON(C)C(=O)c1ccc(NC(=O)c2ccc(O)cc2[O-])cc1 ZINC000731418527 699528478 /nfs/dbraw/zinc/52/84/78/699528478.db2.gz LCJLBMVWNFWZSD-UHFFFAOYSA-N -1 1 316.313 1.984 20 0 DDADMM CCOC(=O)[C@H](C)OC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000731447989 699528891 /nfs/dbraw/zinc/52/88/91/699528891.db2.gz SIOSZFQEEHPOCR-JTQLQIEISA-N -1 1 321.333 1.089 20 0 DDADMM O=C(CCc1cccc(Cl)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000731853354 699537901 /nfs/dbraw/zinc/53/79/01/699537901.db2.gz KKWUPOXNMOOPBG-GFCCVEGCSA-N -1 1 321.768 1.386 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cccc(NC(=O)C2CC2)c1 ZINC000732216177 699550453 /nfs/dbraw/zinc/55/04/53/699550453.db2.gz OFIPUMLVNPNQCO-UHFFFAOYSA-N -1 1 321.358 1.834 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCCCOC ZINC000733470358 699598612 /nfs/dbraw/zinc/59/86/12/699598612.db2.gz IXQXROFLLSGIKS-UHFFFAOYSA-N -1 1 301.364 1.642 20 0 DDADMM Cc1nonc1CNC(=O)c1cc(Br)ccc1[O-] ZINC000735929402 699711444 /nfs/dbraw/zinc/71/14/44/699711444.db2.gz ZHLSELABWDIRRQ-UHFFFAOYSA-N -1 1 312.123 1.776 20 0 DDADMM C[C@@H](CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC000735977901 699715459 /nfs/dbraw/zinc/71/54/59/699715459.db2.gz WSBUWKKKCSAXAL-AAEUAGOBSA-N -1 1 301.350 1.293 20 0 DDADMM Nc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc(Cl)n1 ZINC000736160031 699719305 /nfs/dbraw/zinc/71/93/05/699719305.db2.gz KEDDQHFQQSDGFK-UHFFFAOYSA-N -1 1 307.745 1.030 20 0 DDADMM O=C(c1cc2c(cccc2F)[nH]1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000738249183 699755794 /nfs/dbraw/zinc/75/57/94/699755794.db2.gz SQFNWAPEFZINHC-GFCCVEGCSA-N -1 1 316.296 1.034 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cccc2Cl)CCS1(=O)=O ZINC000738542952 699762256 /nfs/dbraw/zinc/76/22/56/699762256.db2.gz CVONPPASQNBAHD-MRVPVSSYSA-N -1 1 303.767 1.305 20 0 DDADMM CC(C)N(C)S(=O)(=O)[N-]c1ccn(Cc2ccccn2)n1 ZINC000742235225 699868621 /nfs/dbraw/zinc/86/86/21/699868621.db2.gz PXOAXRCEANOHGK-UHFFFAOYSA-N -1 1 309.395 1.323 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N2[C@H](C)CCC[C@H]2C)[n-]1 ZINC000796347188 699928486 /nfs/dbraw/zinc/92/84/86/699928486.db2.gz XTNQBZHRYZXYOA-GHMZBOCLSA-N -1 1 322.361 1.748 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N2CCC[C@H](C)C2)[n-]1 ZINC000796361483 699928909 /nfs/dbraw/zinc/92/89/09/699928909.db2.gz IFALSMBZTYFTKP-JTQLQIEISA-N -1 1 308.334 1.217 20 0 DDADMM Cc1nnc(COC(=O)c2nn(-c3ccccc3)cc2[O-])o1 ZINC000801203870 700277534 /nfs/dbraw/zinc/27/75/34/700277534.db2.gz ZKCZKLQZCGDNFV-UHFFFAOYSA-N -1 1 300.274 1.626 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(=O)c2ccccc2o1)c1nn[n-]n1 ZINC000751452446 700290866 /nfs/dbraw/zinc/29/08/66/700290866.db2.gz HDUNZOLRXWZNCW-SNVBAGLBSA-N -1 1 313.317 1.577 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OCCC[C@@H](C)O)n2)cc1 ZINC000801365192 700300775 /nfs/dbraw/zinc/30/07/75/700300775.db2.gz POYMZPVFHDDYRU-LLVKDONJSA-N -1 1 320.345 1.904 20 0 DDADMM C[C@@H](OC(=O)c1nn(-c2cccc(Cl)c2)cc1[O-])C(N)=O ZINC000801358199 700301133 /nfs/dbraw/zinc/30/11/33/700301133.db2.gz IADSUXWWGKMWHW-SSDOTTSWSA-N -1 1 309.709 1.262 20 0 DDADMM O=C(COC(=O)CC[C@H]1CCOC1)[N-]C(=O)c1ccccc1 ZINC000751709922 700309252 /nfs/dbraw/zinc/30/92/52/700309252.db2.gz HEIIMWHZPCOJPE-LBPRGKRZSA-N -1 1 305.330 1.303 20 0 DDADMM CC(=O)c1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c(F)c1 ZINC000801701241 700331915 /nfs/dbraw/zinc/33/19/15/700331915.db2.gz DSQJXBNOJDCOLU-UHFFFAOYSA-N -1 1 312.304 1.936 20 0 DDADMM CCO[N-]C(=O)[C@@H](C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000752401085 700361844 /nfs/dbraw/zinc/36/18/44/700361844.db2.gz VQXNNRMYLIBNTA-JTQLQIEISA-N -1 1 300.380 1.108 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)C(C)(C)C ZINC000753373484 700427035 /nfs/dbraw/zinc/42/70/35/700427035.db2.gz LYZUKKRGCMSDJF-WDEREUQCSA-N -1 1 307.412 1.063 20 0 DDADMM O=c1[nH]ccc2cc(OS(=O)(=O)c3c[n-]cn3)c(F)cc21 ZINC000754760039 700525063 /nfs/dbraw/zinc/52/50/63/700525063.db2.gz RKIDRVFKZUHUGA-UHFFFAOYSA-N -1 1 309.278 1.570 20 0 DDADMM CC(=O)N1CC[C@@H](CN(C)C(=O)c2c([O-])cccc2Cl)C1 ZINC000755295143 700560165 /nfs/dbraw/zinc/56/01/65/700560165.db2.gz WADULHYTYCCRQX-NSHDSACASA-N -1 1 310.781 1.986 20 0 DDADMM CC(C)(C)C(=O)COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000755537938 700570434 /nfs/dbraw/zinc/57/04/34/700570434.db2.gz PZHCIOQFDJYZDS-UHFFFAOYSA-N -1 1 319.404 1.892 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)c1c(F)cccc1F)NC1CC1 ZINC000756872255 700646602 /nfs/dbraw/zinc/64/66/02/700646602.db2.gz GRKQZUJLYTXGSC-UHFFFAOYSA-N -1 1 304.243 1.451 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OC[C@H]1CCCOC1 ZINC000760755091 700821934 /nfs/dbraw/zinc/82/19/34/700821934.db2.gz YTKCMJIPYFCHDD-VIFPVBQESA-N -1 1 319.404 1.703 20 0 DDADMM CC(C)n1cccc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000763009452 700919107 /nfs/dbraw/zinc/91/91/07/700919107.db2.gz YMKHRWXMBNFFTR-LLVKDONJSA-N -1 1 306.395 1.512 20 0 DDADMM CC(C)C1CCC(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000763800409 700949475 /nfs/dbraw/zinc/94/94/75/700949475.db2.gz SUEXJAUXAJZCEV-UHFFFAOYSA-N -1 1 307.394 1.258 20 0 DDADMM O=C(NC1(c2ncon2)CCOCC1)c1c([O-])cccc1Cl ZINC000764360522 700968233 /nfs/dbraw/zinc/96/82/33/700968233.db2.gz ZHOIWMFCPRPJEX-UHFFFAOYSA-N -1 1 323.736 1.864 20 0 DDADMM CCc1cc2c(CN3CC(=O)N(C)C3)cc(=O)oc2cc1[O-] ZINC000765003906 700994887 /nfs/dbraw/zinc/99/48/87/700994887.db2.gz PMSYOVZTLYEGFD-UHFFFAOYSA-N -1 1 302.330 1.293 20 0 DDADMM Cc1ccc(SCC(=O)OCc2nc(=O)n(C)[n-]2)c(C)c1 ZINC000765394471 701009661 /nfs/dbraw/zinc/00/96/61/701009661.db2.gz ZFZNCFRYISKPPV-UHFFFAOYSA-N -1 1 307.375 1.561 20 0 DDADMM Cn1[n-]c(COC(=O)C(C)(C)Oc2ccc(F)cc2)nc1=O ZINC000765396674 701009669 /nfs/dbraw/zinc/00/96/69/701009669.db2.gz SMSOIJJOWSRXOC-UHFFFAOYSA-N -1 1 309.297 1.148 20 0 DDADMM Cn1[n-]c(COC(=O)COc2ccc(C(C)(C)C)cc2)nc1=O ZINC000765396724 701009741 /nfs/dbraw/zinc/00/97/41/701009741.db2.gz VMWMQFXORIUJMW-UHFFFAOYSA-N -1 1 319.361 1.528 20 0 DDADMM COc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)c2ccccc12 ZINC000765409304 701010214 /nfs/dbraw/zinc/01/02/14/701010214.db2.gz NYLCMITWFPYLJM-UHFFFAOYSA-N -1 1 313.313 1.627 20 0 DDADMM Cn1[n-]c(COC(=O)c2csc(-c3cccs3)n2)nc1=O ZINC000765420168 701011214 /nfs/dbraw/zinc/01/12/14/701011214.db2.gz FXDWINNQHPHQEV-UHFFFAOYSA-N -1 1 322.371 1.650 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2cc(Cl)ccc2Cl)nc1=O ZINC000765463210 701013942 /nfs/dbraw/zinc/01/39/42/701013942.db2.gz SLKCQBWLTYTQMC-UHFFFAOYSA-N -1 1 316.144 1.701 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2C[C@H]2c2c(F)cccc2F)nc1=O ZINC000765481175 701015184 /nfs/dbraw/zinc/01/51/84/701015184.db2.gz TVLNCDQGMBZXAC-SFYZADRCSA-N -1 1 309.272 1.234 20 0 DDADMM Cn1[n-]c(COC(=O)C2(Cc3ccccc3)CCC2)nc1=O ZINC000765494843 701016147 /nfs/dbraw/zinc/01/61/47/701016147.db2.gz HVEHACDGJPHEFE-UHFFFAOYSA-N -1 1 301.346 1.565 20 0 DDADMM CC(C)(C(N)=O)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000769669918 701251506 /nfs/dbraw/zinc/25/15/06/701251506.db2.gz WPFQUKRHVBDSCM-UHFFFAOYSA-N -1 1 305.286 1.053 20 0 DDADMM O=C(O[C@H]1CCCN(c2ccccc2F)C1=O)c1cn[n-]n1 ZINC000805606435 701399094 /nfs/dbraw/zinc/39/90/94/701399094.db2.gz IOGAUKARQSVHNK-LBPRGKRZSA-N -1 1 304.281 1.296 20 0 DDADMM CCc1onc(C)c1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806486852 701437542 /nfs/dbraw/zinc/43/75/42/701437542.db2.gz IKJMQQYESGTENI-UHFFFAOYSA-N -1 1 300.322 1.845 20 0 DDADMM COCCOC(=O)N(C)CSc1nc(C(F)F)cc(=O)[n-]1 ZINC000882170219 707525468 /nfs/dbraw/zinc/52/54/68/707525468.db2.gz MBVLZQROPGCUEG-UHFFFAOYSA-N -1 1 323.321 1.884 20 0 DDADMM Cn1nc(Br)cc1/C=C/c1cc(=O)n2[n-]cnc2n1 ZINC000806571635 701441612 /nfs/dbraw/zinc/44/16/12/701441612.db2.gz XNOOPSZUJDAVPM-NSCUHMNNSA-N -1 1 321.138 1.084 20 0 DDADMM CC(=Cc1ccco1)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830953561 706609904 /nfs/dbraw/zinc/60/99/04/706609904.db2.gz XMACWKQHCWGERB-JXMROGBWSA-N -1 1 315.329 1.568 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)C(=O)[N-]OC/C=C/Cl ZINC000810499653 701778996 /nfs/dbraw/zinc/77/89/96/701778996.db2.gz YKZNPZWBQZXINA-NSCUHMNNSA-N -1 1 308.725 1.610 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)OC[C@H]2CCCO2)C1 ZINC000867890227 701812824 /nfs/dbraw/zinc/81/28/24/701812824.db2.gz LJGGFRXEIBZQFS-SKDRFNHKSA-N -1 1 324.299 1.445 20 0 DDADMM C[C@@H]1CCN(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000867891205 701813274 /nfs/dbraw/zinc/81/32/74/701813274.db2.gz UHRBEXSJCYVAEC-SKDRFNHKSA-N -1 1 307.316 1.591 20 0 DDADMM COC(=O)c1ccc(Cl)c(C(=O)[N-]n2ccccc2=O)c1 ZINC000810920315 701874831 /nfs/dbraw/zinc/87/48/31/701874831.db2.gz YMCHWIVAEHTDSH-UHFFFAOYSA-N -1 1 306.705 1.672 20 0 DDADMM Cc1c(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)ccn1C(C)C ZINC000815519239 701885949 /nfs/dbraw/zinc/88/59/49/701885949.db2.gz PQTDMJZKACVYGV-LBPRGKRZSA-N -1 1 304.354 1.104 20 0 DDADMM Cn1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c(C2CC2)n1 ZINC000811390073 701977915 /nfs/dbraw/zinc/97/79/15/701977915.db2.gz WRVRECVZUCLPON-UHFFFAOYSA-N -1 1 319.283 1.523 20 0 DDADMM O[C@@H]1c2ccccc2OC[C@H]1[N-]c1nc(C2CCOCC2)no1 ZINC000840304977 702063263 /nfs/dbraw/zinc/06/32/63/702063263.db2.gz IJRKQZFAQRGAGR-TZMCWYRMSA-N -1 1 317.345 1.870 20 0 DDADMM C/C(=C\C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)c1ccco1 ZINC000831117531 706638047 /nfs/dbraw/zinc/63/80/47/706638047.db2.gz SHPAVDFFGCZIGW-WEVVVXLNSA-N -1 1 316.279 1.820 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCCC2(F)F)o1 ZINC000816395265 702081309 /nfs/dbraw/zinc/08/13/09/702081309.db2.gz MJZWJRVDKWOWHZ-MRVPVSSYSA-N -1 1 323.317 1.780 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(Cl)nc(NC)c2)n1 ZINC000816536322 702109086 /nfs/dbraw/zinc/10/90/86/702109086.db2.gz RQUNXHQVRXXPJR-UHFFFAOYSA-N -1 1 323.740 1.929 20 0 DDADMM Cc1ccc(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cc1 ZINC000866179822 706641810 /nfs/dbraw/zinc/64/18/10/706641810.db2.gz GHEHHYYYPQNOIP-UHFFFAOYSA-N -1 1 314.345 1.885 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C)CN(C)C(=O)OC(C)(C)C ZINC000816741300 702173599 /nfs/dbraw/zinc/17/35/99/702173599.db2.gz GLICRQKXWCJPJP-QMMMGPOBSA-N -1 1 312.370 1.865 20 0 DDADMM C[C@H]1CCC[C@@H]1CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868577307 702207349 /nfs/dbraw/zinc/20/73/49/702207349.db2.gz QRFQYFULRJCIDM-WDEREUQCSA-N -1 1 320.393 1.490 20 0 DDADMM CC[C@H](C)CCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868766057 702309417 /nfs/dbraw/zinc/30/94/17/702309417.db2.gz QJECVOZRVRIIQD-JTQLQIEISA-N -1 1 308.382 1.490 20 0 DDADMM CCC(C)(C)CCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868863462 702356574 /nfs/dbraw/zinc/35/65/74/702356574.db2.gz HLNMEHXHFQQQOV-UHFFFAOYSA-N -1 1 322.409 1.880 20 0 DDADMM O=C(N[C@@H](CO)c1ccsc1)c1ccc2n[n-]c(=S)n2c1 ZINC000813520700 702366472 /nfs/dbraw/zinc/36/64/72/702366472.db2.gz KUZRHHIXJZCKJY-JTQLQIEISA-N -1 1 320.399 1.543 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)Cc1ccc(F)cc1F ZINC000841533063 702482480 /nfs/dbraw/zinc/48/24/80/702482480.db2.gz BBVASJUSMQJYLY-NSHDSACASA-N -1 1 319.329 1.336 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3C[C@H]4CCC[C@@H]4O3)ccnc1-2 ZINC000879415961 706674227 /nfs/dbraw/zinc/67/42/27/706674227.db2.gz JKDCNGSQINITRP-SUNKGSAMSA-N -1 1 315.377 1.421 20 0 DDADMM CC[C@@H]1[C@H](C)CCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869213444 702513696 /nfs/dbraw/zinc/51/36/96/702513696.db2.gz JMCZBYVBINCZGJ-ZWNOBZJWSA-N -1 1 320.393 1.585 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1ccc(F)cc1 ZINC000866384951 706686991 /nfs/dbraw/zinc/68/69/91/706686991.db2.gz GWVOLARTKZYGBF-UHFFFAOYSA-N -1 1 308.400 1.668 20 0 DDADMM CCCCCCC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843017529 702804339 /nfs/dbraw/zinc/80/43/39/702804339.db2.gz IIJVBRJLHPXBSH-UHFFFAOYSA-N -1 1 304.456 1.887 20 0 DDADMM CCC/C(C)=C/C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843019390 702804622 /nfs/dbraw/zinc/80/46/22/702804622.db2.gz PESGASGXLZSOKB-ZRDIBKRKSA-N -1 1 302.440 1.663 20 0 DDADMM CCOCCCNC(=O)Cc1noc(-c2ccc(N)cc2[O-])n1 ZINC000843214197 702842821 /nfs/dbraw/zinc/84/28/21/702842821.db2.gz CNTMOMKRFZBMDI-UHFFFAOYSA-N -1 1 320.349 1.110 20 0 DDADMM O=S(=O)([N-]CCOCC(F)(F)F)c1ccc(F)nc1F ZINC000866456483 706702756 /nfs/dbraw/zinc/70/27/56/706702756.db2.gz ZIIVAAATIFUARI-UHFFFAOYSA-N -1 1 320.239 1.217 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](F)c2ccccc2)n[n-]1 ZINC000879654499 706750526 /nfs/dbraw/zinc/75/05/26/706750526.db2.gz KTYYSTYEIIVQLV-LLVKDONJSA-N -1 1 306.297 1.308 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](F)c2ccccc2)n1 ZINC000879654499 706750528 /nfs/dbraw/zinc/75/05/28/706750528.db2.gz KTYYSTYEIIVQLV-LLVKDONJSA-N -1 1 306.297 1.308 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1Cc1ccc(N)nc1 ZINC000848715747 703579614 /nfs/dbraw/zinc/57/96/14/703579614.db2.gz LGDGSHLXCCYAIM-MWLCHTKSSA-N -1 1 316.327 1.695 20 0 DDADMM O=C([N-]CCn1cc(C2(O)CCCCC2)nn1)C(F)(F)F ZINC000849140713 703619109 /nfs/dbraw/zinc/61/91/09/703619109.db2.gz BLIRFUQQZRQOBM-UHFFFAOYSA-N -1 1 306.288 1.108 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)C(OC)OC ZINC000849405125 703643992 /nfs/dbraw/zinc/64/39/92/703643992.db2.gz CYCGMCLIGMPXLN-SECBINFHSA-N -1 1 308.425 1.436 20 0 DDADMM CCc1nc(SCC(=O)N2CCO[C@H](C)C2)[n-]c(=O)c1C ZINC000849920614 703689484 /nfs/dbraw/zinc/68/94/84/703689484.db2.gz CMSGWRXAKHCQPC-SECBINFHSA-N -1 1 311.407 1.392 20 0 DDADMM O=C(COC(=O)C1CC2(CC2(F)F)C1)[N-]C(=O)c1ccccc1 ZINC000849979195 703693468 /nfs/dbraw/zinc/69/34/68/703693468.db2.gz NIYNBWZBRCGDSW-UHFFFAOYSA-N -1 1 323.295 1.922 20 0 DDADMM Cn1[n-]c(COC(=O)[C@]2(c3ccccc3)CC2(C)C)nc1=O ZINC000850291038 703721156 /nfs/dbraw/zinc/72/11/56/703721156.db2.gz AVLFFRSWLMUFSN-MRXNPFEDSA-N -1 1 301.346 1.520 20 0 DDADMM O=C([O-])C[C@H](CNC(=O)c1n[nH]nc1-c1ccccc1)C1CC1 ZINC000851705796 703833105 /nfs/dbraw/zinc/83/31/05/703833105.db2.gz RUNRJLNQQDBGSY-GFCCVEGCSA-N -1 1 314.345 1.702 20 0 DDADMM CCC(C)(C)NC(=O)CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763857 706784236 /nfs/dbraw/zinc/78/42/36/706784236.db2.gz QYZRJYADJGCHQC-ZDUSSCGKSA-N -1 1 323.359 1.434 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C ZINC000870279770 703983932 /nfs/dbraw/zinc/98/39/32/703983932.db2.gz ZXUWRKQUWOCVJC-HUXSOILUSA-N -1 1 316.361 1.104 20 0 DDADMM C[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866741890 706783858 /nfs/dbraw/zinc/78/38/58/706783858.db2.gz MJOADWPQRACJQS-RQJHMYQMSA-N -1 1 308.201 1.639 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2C[C@H]2C(C)(C)C)CCC1 ZINC000870446758 704039956 /nfs/dbraw/zinc/03/99/56/704039956.db2.gz JZQXIDYURVWOIE-VXGBXAGGSA-N -1 1 316.467 1.599 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2C[C@@H](C)C(=O)[C@H](C)C2)cc1 ZINC000852474901 704057382 /nfs/dbraw/zinc/05/73/82/704057382.db2.gz WUYVCVIXWWFMJS-VXGBXAGGSA-N -1 1 318.373 1.109 20 0 DDADMM COC(=O)[C@@](C)(CCF)NCc1cc(=O)oc2cc([O-])ccc12 ZINC000852579174 704080351 /nfs/dbraw/zinc/08/03/51/704080351.db2.gz YHNYLTCNCDGHTB-MRXNPFEDSA-N -1 1 323.320 1.880 20 0 DDADMM COC(=O)[C@H]1C[C@@H](N(C)CC(=O)[N-]OCc2ccccc2)C1 ZINC000852696435 704101771 /nfs/dbraw/zinc/10/17/71/704101771.db2.gz MMISALXOZVNBOL-OKILXGFUSA-N -1 1 306.362 1.118 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])CN(CC(=O)Nc2ccc(Cl)nc2)C1 ZINC000852732622 704108572 /nfs/dbraw/zinc/10/85/72/704108572.db2.gz VFQIRJCVMUQPCE-UWVGGRQHSA-N -1 1 311.769 1.716 20 0 DDADMM CCCCNC(=O)CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852758280 704117406 /nfs/dbraw/zinc/11/74/06/704117406.db2.gz CMHJJGSWNXJZAQ-MNOVXSKESA-N -1 1 323.359 1.292 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC2(C1)CCOCC2 ZINC000831871930 706796143 /nfs/dbraw/zinc/79/61/43/706796143.db2.gz KCMGALHJSYWAOS-UHFFFAOYSA-N -1 1 305.378 1.867 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1coc2ccccc12 ZINC000866788778 706801532 /nfs/dbraw/zinc/80/15/32/706801532.db2.gz CJAKRYXFOKXNJV-UHFFFAOYSA-N -1 1 302.377 1.495 20 0 DDADMM CCN(C[C@H](O)c1ccc(C)cc1)C(=O)CCCc1nn[n-]n1 ZINC000820576154 704303295 /nfs/dbraw/zinc/30/32/95/704303295.db2.gz UCQCBEYFSXMDBG-AWEZNQCLSA-N -1 1 317.393 1.413 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H]3CC=CC[C@@H]3C2)co1 ZINC000871520624 704307527 /nfs/dbraw/zinc/30/75/27/704307527.db2.gz MSVDNBJQHNSVOR-PHIMTYICSA-N -1 1 310.375 1.226 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1ccc(F)cc1F ZINC000820773696 704329383 /nfs/dbraw/zinc/32/93/83/704329383.db2.gz DOBVDHGAMTYUPN-SSDOTTSWSA-N -1 1 312.363 1.588 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@H]1CCSc2ccccc21 ZINC000820779083 704329717 /nfs/dbraw/zinc/32/97/17/704329717.db2.gz CAGPQLMPZOGPFO-JTQLQIEISA-N -1 1 320.461 1.786 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H]2CC[C@H](OC)C2)c1 ZINC000821005812 704358503 /nfs/dbraw/zinc/35/85/03/704358503.db2.gz JJEQQSSNJUOJQI-OYMPBJRDSA-N -1 1 312.387 1.914 20 0 DDADMM CS[C@@H](C[N-]S(=O)(=O)N=S(C)(C)=O)C(C)(C)C ZINC000867091230 706893430 /nfs/dbraw/zinc/89/34/30/706893430.db2.gz FBRVCINMNOWYMB-QMMMGPOBSA-N -1 1 302.487 1.326 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2ccnc(C)n2)c1 ZINC000821113549 704373001 /nfs/dbraw/zinc/37/30/01/704373001.db2.gz WDRGTEZJQJURBU-QFIPXVFZSA-N -1 1 320.370 1.645 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cc(C)n(C)n2)c1 ZINC000821351417 704395120 /nfs/dbraw/zinc/39/51/20/704395120.db2.gz BZZJNUFQIYCDRZ-QFIPXVFZSA-N -1 1 322.386 1.588 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1(C)CN(C(=O)OC(C)(C)C)C1 ZINC000854632218 704430076 /nfs/dbraw/zinc/43/00/76/704430076.db2.gz GUCJFAIQKZEVLN-UHFFFAOYSA-N -1 1 310.354 1.619 20 0 DDADMM CO[C@@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000855197608 704472638 /nfs/dbraw/zinc/47/26/38/704472638.db2.gz AASJFUYMKPNSKJ-LLVKDONJSA-N -1 1 306.391 1.659 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@H](C)n2ncnc21 ZINC000855326339 704478669 /nfs/dbraw/zinc/47/86/69/704478669.db2.gz CFJSZGWTYNNTKV-WCBMZHEXSA-N -1 1 316.365 1.641 20 0 DDADMM C[C@H]1CCC[C@@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)O1 ZINC000855797365 704501606 /nfs/dbraw/zinc/50/16/06/704501606.db2.gz NFHAMFTXDHDZHG-ONGXEEELSA-N -1 1 306.391 1.705 20 0 DDADMM COC/C(C)=C\C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856413949 704527909 /nfs/dbraw/zinc/52/79/09/704527909.db2.gz AAOCLAXAAIBOCH-KJNJGPFHSA-N -1 1 322.327 1.495 20 0 DDADMM COC(C)(C)C[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866882911 706833432 /nfs/dbraw/zinc/83/34/32/706833432.db2.gz CFYORWXBWAJPMN-UHFFFAOYSA-N -1 1 315.317 1.142 20 0 DDADMM CO[C@@H](C(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CCCCC1 ZINC000857614917 704607710 /nfs/dbraw/zinc/60/77/10/704607710.db2.gz NBXXWRLLNVZRIG-CQSZACIVSA-N -1 1 302.378 1.876 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)/C=C\C3CCCCC3)nc2n1 ZINC000857622503 704608441 /nfs/dbraw/zinc/60/84/41/704608441.db2.gz VHKSLKKOTTVJSD-FPLPWBNLSA-N -1 1 301.350 1.801 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@](C)(F)C2)c1 ZINC000867320295 706959617 /nfs/dbraw/zinc/95/96/17/706959617.db2.gz ZKGIEHCPISILMU-CYBMUJFWSA-N -1 1 303.355 1.914 20 0 DDADMM Cc1ccc(N2CCN(C(=O)CCCc3nn[n-]n3)CC2)cc1 ZINC000821857279 704827151 /nfs/dbraw/zinc/82/71/51/704827151.db2.gz QGZGUKIMHMZVRW-UHFFFAOYSA-N -1 1 314.393 1.180 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@@H](O)C1)c1ccc(Br)o1 ZINC000859363499 704878669 /nfs/dbraw/zinc/87/86/69/704878669.db2.gz MWTMASSWGSJZOM-KNVOCYPGSA-N -1 1 310.169 1.091 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)co1 ZINC000867361633 706974989 /nfs/dbraw/zinc/97/49/89/706974989.db2.gz UIZRPQXTKXGHDS-UHFFFAOYSA-N -1 1 319.745 1.903 20 0 DDADMM CCC(C)(C)CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000873987444 704912929 /nfs/dbraw/zinc/91/29/29/704912929.db2.gz CFGUDCOKMDFADS-UHFFFAOYSA-N -1 1 317.393 1.223 20 0 DDADMM O=C([O-])COCCNCc1c(Cl)nc2cc(Cl)ccn21 ZINC000874043178 704925101 /nfs/dbraw/zinc/92/51/01/704925101.db2.gz PRLIKZCJJGWZCH-UHFFFAOYSA-N -1 1 318.160 1.832 20 0 DDADMM CC(C)(C)CNC(=O)COC(=O)c1c([O-])cc(F)cc1F ZINC000859526690 704927988 /nfs/dbraw/zinc/92/79/88/704927988.db2.gz VWVXTWAYGVHKLL-UHFFFAOYSA-N -1 1 301.289 1.990 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1C[C@H]1c1ccco1 ZINC000867410179 706992120 /nfs/dbraw/zinc/99/21/20/706992120.db2.gz XVKJWVJETLVWRS-NXEZZACHSA-N -1 1 304.393 1.232 20 0 DDADMM O=C(Cc1cccc(F)c1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000823111408 705143225 /nfs/dbraw/zinc/14/32/25/705143225.db2.gz HERZGWAGKOOKIC-UHFFFAOYSA-N -1 1 313.292 1.893 20 0 DDADMM CCOc1cccc(C=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000860785546 705282381 /nfs/dbraw/zinc/28/23/81/705282381.db2.gz BGDZEXCCEFCXGJ-IDVQTMNDSA-N -1 1 315.377 1.874 20 0 DDADMM COCCn1cc(C(=O)NCc2ccc([O-])c(Cl)c2)nn1 ZINC000834821802 707130316 /nfs/dbraw/zinc/13/03/16/707130316.db2.gz HBJNQAOHFZCSDJ-UHFFFAOYSA-N -1 1 310.741 1.214 20 0 DDADMM O=C(C[C@@H]1CCS(=O)(=O)C1)NCc1ccc([O-])c(Cl)c1 ZINC000834833600 707134259 /nfs/dbraw/zinc/13/42/59/707134259.db2.gz FKJZOZMTRAWBLZ-JTQLQIEISA-N -1 1 317.794 1.487 20 0 DDADMM CCOC1CC2(C[C@@H]2C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000875632489 705456962 /nfs/dbraw/zinc/45/69/62/705456962.db2.gz CRIMFADBQVNCGE-YZKWQOGNSA-N -1 1 300.362 1.486 20 0 DDADMM C[C@H](Nc1cccc(-c2nnn[n-]2)n1)[C@H](O)Cc1ccccc1 ZINC000824663171 705501817 /nfs/dbraw/zinc/50/18/17/705501817.db2.gz WEZQMXRANHIVTP-SMDDNHRTSA-N -1 1 310.361 1.666 20 0 DDADMM C[C@H](Nc1cccc(-c2nn[n-]n2)n1)[C@H](O)Cc1ccccc1 ZINC000824663171 705501818 /nfs/dbraw/zinc/50/18/18/705501818.db2.gz WEZQMXRANHIVTP-SMDDNHRTSA-N -1 1 310.361 1.666 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCO[C@H](Cn2ccnn2)C1 ZINC000824666769 705502405 /nfs/dbraw/zinc/50/24/05/705502405.db2.gz OJLJUGCKZMXJIO-JTQLQIEISA-N -1 1 322.752 1.178 20 0 DDADMM CCC1(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCOCC1 ZINC000825164780 705614009 /nfs/dbraw/zinc/61/40/09/705614009.db2.gz IYAAWAKVWHFORP-UHFFFAOYSA-N -1 1 317.349 1.718 20 0 DDADMM CCC1CCC(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)CC1 ZINC000825194371 705621991 /nfs/dbraw/zinc/62/19/91/705621991.db2.gz QBYRNMKNQLJMNB-UHFFFAOYSA-N -1 1 318.381 1.791 20 0 DDADMM CCC1CCC(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)CC1 ZINC000825194371 705621992 /nfs/dbraw/zinc/62/19/92/705621992.db2.gz QBYRNMKNQLJMNB-UHFFFAOYSA-N -1 1 318.381 1.791 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H]1[C@@H](O)c1ccccc1 ZINC000825246261 705633055 /nfs/dbraw/zinc/63/30/55/705633055.db2.gz WWSMHORTLMAQFZ-CJNGLKHVSA-N -1 1 315.377 1.247 20 0 DDADMM CN(C(=O)c1ncc2ccccc2c1[O-])[C@H]1CCCCNC1=O ZINC000825475405 705677657 /nfs/dbraw/zinc/67/76/57/705677657.db2.gz PPWVRRIXSNDXIR-ZDUSSCGKSA-N -1 1 313.357 1.681 20 0 DDADMM COC[C@H]1CCCN1NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000862604691 705749801 /nfs/dbraw/zinc/74/98/01/705749801.db2.gz SRHCGIDIXLVRCR-SNVBAGLBSA-N -1 1 314.769 1.810 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(C)c(F)c2)c1-c1nnn[n-]1 ZINC000826290973 705787022 /nfs/dbraw/zinc/78/70/22/705787022.db2.gz JETWOGUOHJDHGL-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(C)c(F)c2)c1-c1nn[n-]n1 ZINC000826290973 705787024 /nfs/dbraw/zinc/78/70/24/705787024.db2.gz JETWOGUOHJDHGL-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM O=c1c2c(F)cccc2ncn1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826501501 705805463 /nfs/dbraw/zinc/80/54/63/705805463.db2.gz SWNNFFFVFYPPSU-UHFFFAOYSA-N -1 1 323.291 1.159 20 0 DDADMM O=c1c2c(F)cccc2ncn1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826501501 705805466 /nfs/dbraw/zinc/80/54/66/705805466.db2.gz SWNNFFFVFYPPSU-UHFFFAOYSA-N -1 1 323.291 1.159 20 0 DDADMM CCC(F)(F)C(C)(C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000862945392 705833197 /nfs/dbraw/zinc/83/31/97/705833197.db2.gz UVMPSZFBNBPGIL-UHFFFAOYSA-N -1 1 317.336 1.114 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1cc(Cl)cc(Cl)c1F ZINC000826949346 705897668 /nfs/dbraw/zinc/89/76/68/705897668.db2.gz MOFVCFHQLWEGGP-UHFFFAOYSA-N -1 1 318.139 1.895 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H]2CSC[C@@H]2C1 ZINC000827122173 705934314 /nfs/dbraw/zinc/93/43/14/705934314.db2.gz UQQLLKSAOSBDHD-MNOVXSKESA-N -1 1 320.443 1.843 20 0 DDADMM CC(C)(C)OC(=O)N(C1CC1)C1(C(=O)Nc2nnn[n-]2)CCC1 ZINC000828074213 706114200 /nfs/dbraw/zinc/11/42/00/706114200.db2.gz RUPODRAQNDBYJQ-UHFFFAOYSA-N -1 1 322.369 1.460 20 0 DDADMM CC(C)(C)OC(=O)N(C1CC1)C1(C(=O)Nc2nn[n-]n2)CCC1 ZINC000828074213 706114201 /nfs/dbraw/zinc/11/42/01/706114201.db2.gz RUPODRAQNDBYJQ-UHFFFAOYSA-N -1 1 322.369 1.460 20 0 DDADMM O=C(CCc1cccnc1Cl)NC1(c2nn[n-]n2)CCCC1 ZINC000828083885 706115024 /nfs/dbraw/zinc/11/50/24/706115024.db2.gz UNPNYJDKKCZWRO-UHFFFAOYSA-N -1 1 320.784 1.766 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1cc(O)ccc1C ZINC000872377389 707394795 /nfs/dbraw/zinc/39/47/95/707394795.db2.gz DNIXUAFLTZKHSK-UHFFFAOYSA-N -1 1 306.409 1.865 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1ccccn1 ZINC000872430892 707406480 /nfs/dbraw/zinc/40/64/80/707406480.db2.gz NBGBITMKYWYHCT-DGIBIBHMSA-N -1 1 319.452 1.873 20 0 DDADMM O=c1[n-]c(CN2CCOCc3ccccc32)nc2c1COCC2 ZINC000878534249 706419512 /nfs/dbraw/zinc/41/95/12/706419512.db2.gz XSNCAMXZMGAKBT-UHFFFAOYSA-N -1 1 313.357 1.792 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(C[C@@H]3CC4(CO3)CCOCC4)C2)n[nH]1 ZINC000878622191 706447763 /nfs/dbraw/zinc/44/77/63/706447763.db2.gz MDNCOYXSBLFORU-OLZOCXBDSA-N -1 1 322.409 1.275 20 0 DDADMM COc1cc(C(N)=O)ccc1[N-]S(=O)(=O)C1=CCCCC1 ZINC000830195075 706472396 /nfs/dbraw/zinc/47/23/96/706472396.db2.gz KUQCULZNKVIOFT-UHFFFAOYSA-N -1 1 310.375 1.994 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc3c(c2)CC(C)(C)O3)nc1=O ZINC000830585571 706539619 /nfs/dbraw/zinc/53/96/19/706539619.db2.gz IXPILUZJRQFQLY-UHFFFAOYSA-N -1 1 303.318 1.179 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-][C@@H](C(C)C)C(F)(F)F ZINC000882113541 707504105 /nfs/dbraw/zinc/50/41/05/707504105.db2.gz MHADJZGBRDMNMN-JTQLQIEISA-N -1 1 313.345 1.848 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1ccnn1-c1ccccn1 ZINC000836696742 707504518 /nfs/dbraw/zinc/50/45/18/707504518.db2.gz MFBKRVSCOFPKNI-ZDUSSCGKSA-N -1 1 303.322 1.847 20 0 DDADMM Cc1nnc(SCC(=O)N[C@@H](CNC(=O)[O-])CC(C)C)[nH]1 ZINC000830678438 706560126 /nfs/dbraw/zinc/56/01/26/706560126.db2.gz OPIRWWGZFPRLFG-SECBINFHSA-N -1 1 315.399 1.004 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@@H](CNC(=O)[O-])CC(C)C)n1 ZINC000830678438 706560130 /nfs/dbraw/zinc/56/01/30/706560130.db2.gz OPIRWWGZFPRLFG-SECBINFHSA-N -1 1 315.399 1.004 20 0 DDADMM C[C@H](CCc1cccn1C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830705046 706565825 /nfs/dbraw/zinc/56/58/25/706565825.db2.gz ZGJUGLLYMVLNCU-SECBINFHSA-N -1 1 316.814 1.701 20 0 DDADMM CCC(C)(C)[C@H](O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830788063 706579585 /nfs/dbraw/zinc/57/95/85/706579585.db2.gz AIAVLVNKJWVSQQ-RNCFNFMXSA-N -1 1 324.343 1.453 20 0 DDADMM CCC[C@H](OCC)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830788520 706579854 /nfs/dbraw/zinc/57/98/54/706579854.db2.gz DRVIWFSFTOSUTG-GWCFXTLKSA-N -1 1 324.343 1.861 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@]2(C)CCCO2)C1 ZINC000830815402 706584917 /nfs/dbraw/zinc/58/49/17/706584917.db2.gz AQCMGPAZAFLTDO-VXGBXAGGSA-N -1 1 308.300 1.225 20 0 DDADMM CCC[C@H](O)CC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816006 706585294 /nfs/dbraw/zinc/58/52/94/706585294.db2.gz IPBNFYVJRZJJEB-CABZTGNLSA-N -1 1 310.316 1.207 20 0 DDADMM CSCCCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830821625 706586687 /nfs/dbraw/zinc/58/66/87/706586687.db2.gz LBMTXRPPYNBHES-NSHDSACASA-N -1 1 312.357 1.799 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(Cl)cnc1Cl)C(C)(C)O ZINC000866838411 706820782 /nfs/dbraw/zinc/82/07/82/706820782.db2.gz KPKZSDIXUFEYAN-LURJTMIESA-N -1 1 313.206 1.826 20 0 DDADMM CC(C)(C)C[C@@H](CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866993136 706867299 /nfs/dbraw/zinc/86/72/99/706867299.db2.gz SLTYPHOBUTXBDL-QMMMGPOBSA-N -1 1 308.350 1.435 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1Cl)[C@H](C)O ZINC000832309645 706888863 /nfs/dbraw/zinc/88/88/63/706888863.db2.gz JFJJPXDECQTDTD-NKWVEPMBSA-N -1 1 313.206 1.826 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2nc[nH]c2Br)CCC1 ZINC000867070645 706888965 /nfs/dbraw/zinc/88/89/65/706888965.db2.gz RXOYENWTVYTSEM-UHFFFAOYSA-N -1 1 324.200 1.020 20 0 DDADMM CN(C(=O)[C@H]1CCc2[nH]cnc2C1)[C@H](C(=O)[O-])c1ccccc1 ZINC000909003342 712913529 /nfs/dbraw/zinc/91/35/29/712913529.db2.gz YXGCSVZPTGUJOK-WFASDCNBSA-N -1 1 313.357 1.799 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)c1ccccc1F ZINC000833125276 707001074 /nfs/dbraw/zinc/00/10/74/707001074.db2.gz UOXQWVNYXJNSMW-OTYXRUKQSA-N -1 1 317.320 1.911 20 0 DDADMM CCOC(=O)[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000834851855 707138934 /nfs/dbraw/zinc/13/89/34/707138934.db2.gz BVXLXFUTWWYZGY-JTQLQIEISA-N -1 1 305.334 1.085 20 0 DDADMM CCOC(=O)CCc1c(C)nc(SC[C@@H](C)CO)[n-]c1=O ZINC000871694533 707171359 /nfs/dbraw/zinc/17/13/59/707171359.db2.gz LVWVEGIOJVVXDX-VIFPVBQESA-N -1 1 314.407 1.707 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@H](CC(=O)[O-])C1CC1 ZINC000909080360 712932954 /nfs/dbraw/zinc/93/29/54/712932954.db2.gz SYGFEOCAWQEUNR-HNNXBMFYSA-N -1 1 304.390 1.530 20 0 DDADMM O=C1[C@@H]2CSCCN2C(=O)N1Cc1ccc([O-])c(Cl)c1 ZINC000871912595 707242871 /nfs/dbraw/zinc/24/28/71/707242871.db2.gz WHGDPGCOOARCDX-JTQLQIEISA-N -1 1 312.778 1.925 20 0 DDADMM CO[C@@H]1CN(Cc2ccc(-n3ccnc3)nc2)[C@@](C)(C(=O)[O-])C1 ZINC000872160265 707309813 /nfs/dbraw/zinc/30/98/13/707309813.db2.gz CAHVGXLELGHLJH-XJKSGUPXSA-N -1 1 316.361 1.331 20 0 DDADMM CC(=O)N1C[C@@H]2CN(C(=O)Cc3ccc([O-])c(Cl)c3)C[C@@H]2C1 ZINC000881694388 707326012 /nfs/dbraw/zinc/32/60/12/707326012.db2.gz LTZFMHGJSSDSOE-BETUJISGSA-N -1 1 322.792 1.525 20 0 DDADMM O=C([O-])[C@H](CC1CC1)NC(=O)NCc1ccc2cncn2c1 ZINC000909170418 712952957 /nfs/dbraw/zinc/95/29/57/712952957.db2.gz LKYMMEQBHOORLE-ZDUSSCGKSA-N -1 1 302.334 1.387 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]3CCC[C@H]3O2)sn1 ZINC000872555681 707476735 /nfs/dbraw/zinc/47/67/35/707476735.db2.gz NICFPQOFDASUIE-GARJFASQSA-N -1 1 302.421 1.687 20 0 DDADMM Cc1nn(C)c2nc(C)c(CC(=O)[N-]OC(C)(C)CO)c(C)c12 ZINC000836803741 707519022 /nfs/dbraw/zinc/51/90/22/707519022.db2.gz MJGZCILACXYCFE-UHFFFAOYSA-N -1 1 320.393 1.255 20 0 DDADMM O=C(NCCN1C[C@H]2CC[C@@H](C1)O2)c1c([O-])cccc1Cl ZINC000836865162 707535086 /nfs/dbraw/zinc/53/50/86/707535086.db2.gz AVLKSMJIEQVASF-PHIMTYICSA-N -1 1 310.781 1.639 20 0 DDADMM CC[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H]1CCCOC1 ZINC000882462588 707642934 /nfs/dbraw/zinc/64/29/34/707642934.db2.gz UUZJDZVUCZAKJA-NEPJUHHUSA-N -1 1 320.418 1.953 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCC2)O1)c1ccc2n[n-]c(=S)n2c1 ZINC000882550180 707690709 /nfs/dbraw/zinc/69/07/09/707690709.db2.gz WDNRXCDMCNJCCT-NSHDSACASA-N -1 1 318.402 1.850 20 0 DDADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]c1ccc2occc2c1 ZINC000882767849 707785255 /nfs/dbraw/zinc/78/52/55/707785255.db2.gz FQPSIYVZIVIVHA-LJQANCHMSA-N -1 1 317.392 1.664 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CCc2cnn(C)n2)c1 ZINC000838114522 707845939 /nfs/dbraw/zinc/84/59/39/707845939.db2.gz OUHHAVSBLJKRDD-UHFFFAOYSA-N -1 1 317.345 1.442 20 0 DDADMM CCc1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)n(C)n1 ZINC000873478779 707868032 /nfs/dbraw/zinc/86/80/32/707868032.db2.gz SYPDPYBYAZFBNB-UHFFFAOYSA-N -1 1 307.272 1.208 20 0 DDADMM C[C@@]1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCCCO1 ZINC000838443006 707920983 /nfs/dbraw/zinc/92/09/83/707920983.db2.gz QIKUMWUEWPSNRW-INIZCTEOSA-N -1 1 305.330 1.445 20 0 DDADMM O=C([N-]CCCOC(=O)[C@H]1C[C@H]2CC(=O)[C@@H]1C2)C(F)(F)F ZINC000838453374 707923033 /nfs/dbraw/zinc/92/30/33/707923033.db2.gz PRRVOFSPHUXNTI-YIZRAAEISA-N -1 1 307.268 1.213 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](CC(=O)[O-])c2ccc(F)cc2F)[nH]n1 ZINC000909343743 712996293 /nfs/dbraw/zinc/99/62/93/712996293.db2.gz VCRPTNWURSVAJS-ZDUSSCGKSA-N -1 1 323.299 1.871 20 0 DDADMM CC1(C)C[C@@H](Nc2cc(Cl)[n-]c(=O)n2)CCS1(=O)=O ZINC000896998041 708202944 /nfs/dbraw/zinc/20/29/44/708202944.db2.gz JDBNTWQNUQVUPF-ZETCQYMHSA-N -1 1 305.787 1.603 20 0 DDADMM O=C(NCCCOCCO)c1ccc2ccc(O)cc2c1[O-] ZINC000897349997 708301872 /nfs/dbraw/zinc/30/18/72/708301872.db2.gz WNZWXWVVNDWODY-UHFFFAOYSA-N -1 1 305.330 1.380 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC000885014834 708442888 /nfs/dbraw/zinc/44/28/88/708442888.db2.gz QDJVMSPQGZHAMQ-NXEZZACHSA-N -1 1 317.436 1.068 20 0 DDADMM CCC(O)(CC)C(C)(C)C[N-]S(=O)(=O)c1ccns1 ZINC000885292691 708512382 /nfs/dbraw/zinc/51/23/82/708512382.db2.gz ZIXVDHRPRLMHMJ-UHFFFAOYSA-N -1 1 306.453 1.999 20 0 DDADMM COc1cncc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)c1 ZINC000912584404 713039425 /nfs/dbraw/zinc/03/94/25/713039425.db2.gz HZDXYXUFPQEAKS-UHFFFAOYSA-N -1 1 300.318 1.393 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)[C@@H](O)C1CCOCC1 ZINC000885676455 708595193 /nfs/dbraw/zinc/59/51/93/708595193.db2.gz OHLIXCDHVHIRBX-LBPRGKRZSA-N -1 1 303.717 1.911 20 0 DDADMM O=C(CS(=O)(=O)C1CC1)Nc1cc(F)c([O-])cc1Cl ZINC000885678295 708595873 /nfs/dbraw/zinc/59/58/73/708595873.db2.gz MIQGGAYAXUCMQE-UHFFFAOYSA-N -1 1 307.730 1.700 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1CCc2nncn2C1 ZINC000886268186 708733128 /nfs/dbraw/zinc/73/31/28/708733128.db2.gz GSTKWZUTGSTKHQ-SECBINFHSA-N -1 1 322.315 1.183 20 0 DDADMM CCOC(=O)[C@H](CC)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886269726 708733451 /nfs/dbraw/zinc/73/34/51/708733451.db2.gz DXWRSRGUSFEUPW-SNVBAGLBSA-N -1 1 315.316 1.918 20 0 DDADMM COCCCOCCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886270170 708733807 /nfs/dbraw/zinc/73/38/07/708733807.db2.gz UZYXAKUGANXINI-UHFFFAOYSA-N -1 1 317.332 1.772 20 0 DDADMM CCCN(CCO)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927777609 713053235 /nfs/dbraw/zinc/05/32/35/713053235.db2.gz CIRCPWAUKYEVMT-UHFFFAOYSA-N -1 1 302.321 1.627 20 0 DDADMM CN(C(=O)NCCc1c(F)cc([O-])cc1F)C1CC(O)C1 ZINC000927783538 713055294 /nfs/dbraw/zinc/05/52/94/713055294.db2.gz LFJCBGTZCDTDKS-UHFFFAOYSA-N -1 1 300.305 1.378 20 0 DDADMM C[C@@H]1CC[C@@H](O)CN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927786931 713056303 /nfs/dbraw/zinc/05/63/03/713056303.db2.gz JYASDKBLNPEZTM-NXEZZACHSA-N -1 1 314.332 1.768 20 0 DDADMM CC1(C)CNC(=O)[C@@H]1NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000912642080 713058990 /nfs/dbraw/zinc/05/89/90/713058990.db2.gz MSVLGKSSQDXQPE-JTQLQIEISA-N -1 1 316.279 1.665 20 0 DDADMM C[C@@H](CC(=O)NCc1nc([O-])cc(=O)[nH]1)c1cccc(F)c1 ZINC000898756499 708856315 /nfs/dbraw/zinc/85/63/15/708856315.db2.gz KCLZFIJJPRCUGE-VIFPVBQESA-N -1 1 305.309 1.837 20 0 DDADMM CN1CC[C@@H](C(=O)NCc2nc([O-])cc(=O)[nH]2)c2ccccc21 ZINC000898758821 708857560 /nfs/dbraw/zinc/85/75/60/708857560.db2.gz ZZVICDSFUQHNAO-LLVKDONJSA-N -1 1 314.345 1.128 20 0 DDADMM CCC[C@@H](C)N1C[C@H](C(=O)[N-]OCc2cccc(C)n2)CC1=O ZINC000898936186 708929015 /nfs/dbraw/zinc/92/90/15/708929015.db2.gz PCMXJVWYTAKTPZ-ZIAGYGMSSA-N -1 1 319.405 1.975 20 0 DDADMM COc1ccc(C2CC2)cc1CNC(=O)CCCc1nn[n-]n1 ZINC000899202912 709018898 /nfs/dbraw/zinc/01/88/98/709018898.db2.gz AWSHMDQDMOOSIA-UHFFFAOYSA-N -1 1 315.377 1.725 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(C)(C)C2CC2)co1 ZINC000887375255 709032680 /nfs/dbraw/zinc/03/26/80/709032680.db2.gz JMZYLOAOSBJYII-UHFFFAOYSA-N -1 1 300.380 1.354 20 0 DDADMM CCOCCNC(=S)NCCc1c(F)cc([O-])cc1F ZINC000899609314 709124986 /nfs/dbraw/zinc/12/49/86/709124986.db2.gz LXWKCPKTOMZNFS-UHFFFAOYSA-N -1 1 304.362 1.714 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H]([C@H]2CCCO2)C1 ZINC000899626448 709129829 /nfs/dbraw/zinc/12/98/29/709129829.db2.gz HUURKHXARMYGJL-ZYHUDNBSSA-N -1 1 318.402 1.659 20 0 DDADMM COC[C@H](NC(=O)c1c(F)ccc([O-])c1F)[C@@H]1CCCOC1 ZINC000899655163 709140625 /nfs/dbraw/zinc/14/06/25/709140625.db2.gz LEENLEXMBMGFFH-KOLCDFICSA-N -1 1 315.316 1.842 20 0 DDADMM COC[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@H]1CCCOC1 ZINC000899656567 709141083 /nfs/dbraw/zinc/14/10/83/709141083.db2.gz VMFLOHSEKBZCQS-GXTWGEPZSA-N -1 1 307.346 1.133 20 0 DDADMM O=C(NCCCOCC(F)(F)F)c1cnc(C2CC2)[n-]c1=O ZINC000900168684 709314176 /nfs/dbraw/zinc/31/41/76/709314176.db2.gz ITDWPYZDFAFXIX-UHFFFAOYSA-N -1 1 319.283 1.758 20 0 DDADMM CCOc1cc(C(=O)N[C@]2(C)CCNC2=O)cc(Cl)c1[O-] ZINC000889047455 709440993 /nfs/dbraw/zinc/44/09/93/709440993.db2.gz XEEWLFZKKPNWCS-CQSZACIVSA-N -1 1 312.753 1.453 20 0 DDADMM C[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)Cn1cccn1 ZINC000900224843 709495024 /nfs/dbraw/zinc/49/50/24/709495024.db2.gz HJLRERQCNOFUQQ-SNVBAGLBSA-N -1 1 301.350 1.322 20 0 DDADMM CN(CC(=O)NC1(CC(=O)[O-])CCCC1)[C@H]1CCSC1 ZINC000909708003 709589418 /nfs/dbraw/zinc/58/94/18/709589418.db2.gz APXLADBUEADRDC-NSHDSACASA-N -1 1 300.424 1.327 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)N2CCc3c2cccc3F)C1 ZINC000909725709 709596873 /nfs/dbraw/zinc/59/68/73/709596873.db2.gz PVUCCCSTEYXXRT-NSHDSACASA-N -1 1 306.337 1.511 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCc3c2cccc3F)C1 ZINC000909725709 709596876 /nfs/dbraw/zinc/59/68/76/709596876.db2.gz PVUCCCSTEYXXRT-NSHDSACASA-N -1 1 306.337 1.511 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CC[C@@](C(=O)[O-])(C(F)(F)F)C1 ZINC000909767631 709609220 /nfs/dbraw/zinc/60/92/20/709609220.db2.gz QENONWFPRLVZFB-JOYOIKCWSA-N -1 1 308.300 1.336 20 0 DDADMM COC/C(C)=C\C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786041 709635277 /nfs/dbraw/zinc/63/52/77/709635277.db2.gz JTQIFPDMPAWBJX-ZJRUKIMVSA-N -1 1 307.350 1.447 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)COC(F)(F)F)[n-]c1=O ZINC000889787433 709636351 /nfs/dbraw/zinc/63/63/51/709636351.db2.gz TVCZZSZSRJCMLN-SSDOTTSWSA-N -1 1 321.255 1.391 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2CCSC2)[n-]c1=O ZINC000889791969 709638080 /nfs/dbraw/zinc/63/80/80/709638080.db2.gz QAZGFQSTYQOQQE-ZJUUUORDSA-N -1 1 309.391 1.607 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCC[C@](C)(C(=O)[O-])C2)C1 ZINC000909839467 709646538 /nfs/dbraw/zinc/64/65/38/709646538.db2.gz DWAHMNPZCFFJFW-XAGWURHQSA-N -1 1 305.378 1.789 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)C1 ZINC000909903467 709680223 /nfs/dbraw/zinc/68/02/23/709680223.db2.gz PXARLQRMLQKHGG-GSVCSZOMSA-N -1 1 317.389 1.645 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000909938953 709697186 /nfs/dbraw/zinc/69/71/86/709697186.db2.gz UIPQGQCGRXUCOR-NSHDSACASA-N -1 1 321.255 1.134 20 0 DDADMM CCN(CC(=O)N[C@H](C(=O)[O-])c1cccc(OC)c1)C1CC1 ZINC000909945646 709701319 /nfs/dbraw/zinc/70/13/19/709701319.db2.gz PVOYXGGWBSETMU-HNNXBMFYSA-N -1 1 306.362 1.421 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NC[C@@H](CC(=O)[O-])C1CC1 ZINC000909969191 709712839 /nfs/dbraw/zinc/71/28/39/709712839.db2.gz PLQZIBAMIMCZSC-MRVPVSSYSA-N -1 1 319.283 1.968 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCC=CCCC1 ZINC000890051951 709719788 /nfs/dbraw/zinc/71/97/88/709719788.db2.gz XPYQDGRBPTVANC-CYBMUJFWSA-N -1 1 305.378 1.179 20 0 DDADMM CS(=O)(=O)C1(C(=O)Nc2cc([O-])c(F)cc2F)CCC1 ZINC000909986997 709721656 /nfs/dbraw/zinc/72/16/56/709721656.db2.gz YJNZMKLZBOGFCG-UHFFFAOYSA-N -1 1 305.302 1.576 20 0 DDADMM CO[C@@H]1CC[C@H]1N(C)C(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000900791776 709760126 /nfs/dbraw/zinc/76/01/26/709760126.db2.gz DZFJBAMMQRGKRO-CHWSQXEVSA-N -1 1 301.346 1.893 20 0 DDADMM CO[C@@H]1CC[C@H]1N(C)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000900791776 709760128 /nfs/dbraw/zinc/76/01/28/709760128.db2.gz DZFJBAMMQRGKRO-CHWSQXEVSA-N -1 1 301.346 1.893 20 0 DDADMM CN(C)CC(=O)N[C@@H](Cc1cccc2ccccc21)C(=O)[O-] ZINC000910069896 709763449 /nfs/dbraw/zinc/76/34/49/709763449.db2.gz ASRCMPZDLARXGI-HNNXBMFYSA-N -1 1 300.358 1.513 20 0 DDADMM O=C([O-])[C@H](F)C1CN(C(=O)c2cc(-c3ccccc3)[nH]n2)C1 ZINC000910137734 709787671 /nfs/dbraw/zinc/78/76/71/709787671.db2.gz ZCCJIRYLFLIONH-CYBMUJFWSA-N -1 1 303.293 1.571 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@@]1(C)C(=O)[O-] ZINC000910260241 709844693 /nfs/dbraw/zinc/84/46/93/709844693.db2.gz LGMNREUMEJXPBS-QLJPJBMISA-N -1 1 314.345 1.655 20 0 DDADMM CO[C@H]1CN(C(=O)c2n[nH]cc2C(F)(F)F)[C@](C)(C(=O)[O-])C1 ZINC000910267640 709851865 /nfs/dbraw/zinc/85/18/65/709851865.db2.gz UTSKGAWUDMEEEH-KBUNVGBDSA-N -1 1 321.255 1.133 20 0 DDADMM Cc1cc(CNC(=O)C(C)(C)CN2CCOCC2)oc1C(=O)[O-] ZINC000910303692 709878381 /nfs/dbraw/zinc/87/83/81/709878381.db2.gz SGNUWKPRAFCBMB-UHFFFAOYSA-N -1 1 324.377 1.261 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@](C)(Cc1ccc(Cl)cc1)C(=O)[O-] ZINC000910313543 709884328 /nfs/dbraw/zinc/88/43/28/709884328.db2.gz XVZJPNPCBRNKCY-CZUORRHYSA-N -1 1 324.808 1.936 20 0 DDADMM O=C(N[C@H]1CCN(c2cc(=O)[nH]cn2)C1)c1c([O-])cccc1F ZINC000890529788 709906020 /nfs/dbraw/zinc/90/60/20/709906020.db2.gz ABOWFBRSTOCGCV-VIFPVBQESA-N -1 1 318.308 1.036 20 0 DDADMM Cc1c(C(=O)[O-])sc2[nH]cnc(=N[C@@H]3CC[N@@H+](C)[C@H]3C)c12 ZINC000910355366 709907639 /nfs/dbraw/zinc/90/76/39/709907639.db2.gz KSOWYUWUZRIGJT-DTWKUNHWSA-N -1 1 306.391 1.624 20 0 DDADMM Cc1c(C(=O)[O-])sc2[nH]cnc(=N[C@@H]3CCN(C)[C@H]3C)c12 ZINC000910355366 709907641 /nfs/dbraw/zinc/90/76/41/709907641.db2.gz KSOWYUWUZRIGJT-DTWKUNHWSA-N -1 1 306.391 1.624 20 0 DDADMM CC(C)[C@@H]1CCc2nc(NC(=O)CCc3nn[n-]n3)sc2C1 ZINC000890594325 709924723 /nfs/dbraw/zinc/92/47/23/709924723.db2.gz SMALSGIGNLQIBO-SECBINFHSA-N -1 1 320.422 1.988 20 0 DDADMM C[C@H]1CO[C@@H](CO)CN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901103665 709925908 /nfs/dbraw/zinc/92/59/08/709925908.db2.gz XXKVSZGDIXGVFJ-VHSXEESVSA-N -1 1 320.320 1.301 20 0 DDADMM CC1(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CC(F)(F)C1 ZINC000910409614 709927124 /nfs/dbraw/zinc/92/71/24/709927124.db2.gz BTCMZZDCTVJLEM-SNVBAGLBSA-N -1 1 304.337 1.335 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(C(=O)[C@H]1CCCc3[nH]ncc31)C2 ZINC000910419075 709931535 /nfs/dbraw/zinc/93/15/35/709931535.db2.gz KZKHLGAOWUOSRU-MMPTUQATSA-N -1 1 303.362 1.543 20 0 DDADMM Cc1cn2nc(N3CCN(CCC(=O)[O-])C[C@H]3C)sc2n1 ZINC000910455340 709951798 /nfs/dbraw/zinc/95/17/98/709951798.db2.gz KGSIVZJAPKJDPR-SNVBAGLBSA-N -1 1 309.395 1.084 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)NC[C@@H]3[C@H](C(=O)[O-])C3(F)F)c2C1 ZINC000910488290 709969757 /nfs/dbraw/zinc/96/97/57/709969757.db2.gz ZZAXVZWBGDHNBA-SKWCMTHISA-N -1 1 313.304 1.230 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@H]2C[C@H](C)Cc3c[nH]nc32)C[C@@]1(F)C(=O)[O-] ZINC000910549714 709994924 /nfs/dbraw/zinc/99/49/24/709994924.db2.gz SNSSXZSKHGEWLI-DVIHMLIVSA-N -1 1 323.368 1.737 20 0 DDADMM C[C@@H](O)[C@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)CCO1 ZINC000910564607 710002059 /nfs/dbraw/zinc/00/20/59/710002059.db2.gz OJCJARZETMFISZ-NOZJJQNGSA-N -1 1 320.320 1.301 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)N[C@H](CC(=O)[O-])C1CCCC1 ZINC000910566610 710002802 /nfs/dbraw/zinc/00/28/02/710002802.db2.gz IJSIZEGVJOSQPC-TZMCWYRMSA-N -1 1 312.410 1.104 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CC2(C1)CS(=O)(=O)C2 ZINC000910689675 710048766 /nfs/dbraw/zinc/04/87/66/710048766.db2.gz SBTWREDPTKAUAW-UHFFFAOYSA-N -1 1 317.366 1.416 20 0 DDADMM O=C([O-])CC[C@@H]1CCCN(Cc2cc3c(cn2)OCCO3)C1 ZINC000901551365 710073058 /nfs/dbraw/zinc/07/30/58/710073058.db2.gz FULJWQLTZPFISQ-LBPRGKRZSA-N -1 1 306.362 1.930 20 0 DDADMM O=C(N[C@H]1CCc2ncsc2C1)C(=O)c1ccc([O-])cc1 ZINC000910840050 710090868 /nfs/dbraw/zinc/09/08/68/710090868.db2.gz PUIFDGNJNIOBDK-JTQLQIEISA-N -1 1 302.355 1.705 20 0 DDADMM O=C(N[C@@H](CO)[C@H](O)C1CCCCC1)c1ccc([O-])cc1F ZINC000913050441 713155192 /nfs/dbraw/zinc/15/51/92/713155192.db2.gz ZCFJPIIPTJWWIZ-LSDHHAIUSA-N -1 1 311.353 1.563 20 0 DDADMM O=C([O-])[C@H]1C[C@@H](NCc2c(F)cncc2Br)C1 ZINC000901615093 710096754 /nfs/dbraw/zinc/09/67/54/710096754.db2.gz NGSXEVONISQPTD-KNVOCYPGSA-N -1 1 303.131 1.936 20 0 DDADMM O=C([O-])CCN(Cc1ccnn1CC1CC1)C[C@@H]1CCCO1 ZINC000901680269 710117123 /nfs/dbraw/zinc/11/71/23/710117123.db2.gz XBOZDMHIQCVPDZ-HNNXBMFYSA-N -1 1 307.394 1.749 20 0 DDADMM C[C@H](NC(=O)[C@H](C)NCc1ccc(C(F)F)cc1)C(=O)[O-] ZINC000901745909 710139410 /nfs/dbraw/zinc/13/94/10/710139410.db2.gz QWTCKRHQQRSQDU-IUCAKERBSA-N -1 1 300.305 1.692 20 0 DDADMM Cc1nnc2n1C[C@H](C(=O)Nc1cc(F)cc(F)c1[O-])CC2 ZINC000910981442 710140201 /nfs/dbraw/zinc/14/02/01/710140201.db2.gz CKWQDGMIQZZWCV-MRVPVSSYSA-N -1 1 308.288 1.771 20 0 DDADMM Cc1sc(CN2CC[C@@](O)(C(=O)[O-])C2)cc1Br ZINC000901904558 710170573 /nfs/dbraw/zinc/17/05/73/710170573.db2.gz ZJBRLXJOCQHYAB-NSHDSACASA-N -1 1 320.208 1.840 20 0 DDADMM COCc1nc(N2CCN(c3ccc(O)cc3)CC2)cc(=O)[n-]1 ZINC000891405877 710188709 /nfs/dbraw/zinc/18/87/09/710188709.db2.gz VJEGKGKYXFVMIP-UHFFFAOYSA-N -1 1 316.361 1.361 20 0 DDADMM O=C([O-])C[C@H]1COCCN1CCCc1ccc2c(c1)CCO2 ZINC000902002809 710198819 /nfs/dbraw/zinc/19/88/19/710198819.db2.gz BLXOPVHPGWPVQR-HNNXBMFYSA-N -1 1 305.374 1.730 20 0 DDADMM CC(C)[C@H](CNC(=O)CN(C)CCc1ccccc1)C(=O)[O-] ZINC000902026534 710205080 /nfs/dbraw/zinc/20/50/80/710205080.db2.gz LWZHQNBDAFBGJZ-HNNXBMFYSA-N -1 1 306.406 1.634 20 0 DDADMM CO[C@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)[C@@H]1CCOC1 ZINC000891616104 710249551 /nfs/dbraw/zinc/24/95/51/710249551.db2.gz VAZWSEOOFXOZMS-SKDRFNHKSA-N -1 1 307.350 1.051 20 0 DDADMM COCc1nc(N2CCC[C@H](c3ccnn3C)C2)cc(=O)[n-]1 ZINC000891918041 710327495 /nfs/dbraw/zinc/32/74/95/710327495.db2.gz UHRLRMVNSGVIJR-NSHDSACASA-N -1 1 303.366 1.446 20 0 DDADMM COCc1nc(NC[C@@]2(O)CCCc3ccccc32)cc(=O)[n-]1 ZINC000892430998 710435793 /nfs/dbraw/zinc/43/57/93/710435793.db2.gz MJBWUNNBJCMVCG-KRWDZBQOSA-N -1 1 315.373 1.965 20 0 DDADMM COCc1nc(N2CCO[C@H](c3cccs3)C2)cc(=O)[n-]1 ZINC000892920004 710525359 /nfs/dbraw/zinc/52/53/59/710525359.db2.gz FFDQGHSBYXRADY-JTQLQIEISA-N -1 1 307.375 1.968 20 0 DDADMM COCc1nc(NCC[C@H]2COc3ccccc3O2)cc(=O)[n-]1 ZINC000892944873 710529960 /nfs/dbraw/zinc/52/99/60/710529960.db2.gz PLPFHODDWHEFQV-NSHDSACASA-N -1 1 317.345 1.971 20 0 DDADMM CCN(CC(=O)N1CCOc2ccccc2[C@@H]1C(=O)[O-])C1CC1 ZINC000911065473 710619028 /nfs/dbraw/zinc/61/90/28/710619028.db2.gz WRKGXVLYHHZSGA-MRXNPFEDSA-N -1 1 318.373 1.518 20 0 DDADMM COCc1nc(NC[C@H](c2ccnn2C)C(C)C)cc(=O)[n-]1 ZINC000893424921 710647005 /nfs/dbraw/zinc/64/70/05/710647005.db2.gz GGBQNGSESFJUMM-NSHDSACASA-N -1 1 305.382 1.914 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)N1CCC[N@@H+](Cc2ccccc2)CC1 ZINC000911136079 710652160 /nfs/dbraw/zinc/65/21/60/710652160.db2.gz OIPQEVXVKKZNKZ-OAHLLOKOSA-N -1 1 304.390 1.832 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000911136079 710652161 /nfs/dbraw/zinc/65/21/61/710652161.db2.gz OIPQEVXVKKZNKZ-OAHLLOKOSA-N -1 1 304.390 1.832 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)C2(C(=O)[O-])CCCC2)C[C@H](C)O1 ZINC000911150642 710655468 /nfs/dbraw/zinc/65/54/68/710655468.db2.gz PPSWKBKLTUMADV-BETUJISGSA-N -1 1 312.410 1.247 20 0 DDADMM O=C([O-])c1ccccc1CCC(=O)N1CCN(CC2CC2)CC1 ZINC000911221349 710693626 /nfs/dbraw/zinc/69/36/26/710693626.db2.gz YVRLWYZXHCHNDU-UHFFFAOYSA-N -1 1 316.401 1.872 20 0 DDADMM C[C@H](CNCc1cn(CC(=O)[O-])nn1)Oc1ccc(Cl)cc1 ZINC000902319274 710715000 /nfs/dbraw/zinc/71/50/00/710715000.db2.gz WQPORERZSBJVFT-SNVBAGLBSA-N -1 1 324.768 1.573 20 0 DDADMM C[C@H]1CN(C(=O)CCc2ccccc2C(=O)[O-])[C@@H](C)CN1C ZINC000911439156 710798871 /nfs/dbraw/zinc/79/88/71/710798871.db2.gz HUXLIFCHQNGJMM-STQMWFEESA-N -1 1 304.390 1.868 20 0 DDADMM C[C@@H]1CN(C(=O)C23CCC(C(=O)[O-])(CC2)CC3)[C@H](C)CN1C ZINC000911440545 710800200 /nfs/dbraw/zinc/80/02/00/710800200.db2.gz MMMNEYNRYDYEAR-QJRTVWDNSA-N -1 1 308.422 1.963 20 0 DDADMM CN(CCCN(C)C(=O)[C@@H]1CC[C@@H]1C(=O)[O-])Cc1ccco1 ZINC000911541975 710843886 /nfs/dbraw/zinc/84/38/86/710843886.db2.gz RDDFMDMPOZRTAT-KGLIPLIRSA-N -1 1 308.378 1.671 20 0 DDADMM COC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCCC1 ZINC000913436743 713215165 /nfs/dbraw/zinc/21/51/65/713215165.db2.gz GVVZKNNMXKJYED-GFCCVEGCSA-N -1 1 301.350 1.365 20 0 DDADMM COCc1nc(NC[C@]2(CO)C[C@H]2c2ccccc2)cc(=O)[n-]1 ZINC000893908517 710883303 /nfs/dbraw/zinc/88/33/03/710883303.db2.gz RENLXENKPCMQMU-GUYCJALGSA-N -1 1 315.373 1.907 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC(N2CCOCC2)C1 ZINC000911630126 710889723 /nfs/dbraw/zinc/88/97/23/710889723.db2.gz QXYWWGGBXPSQJB-UHFFFAOYSA-N -1 1 313.357 1.097 20 0 DDADMM Cc1cc(C2CCN(C(=O)[C@H]3C[C@@H](C)[C@H](C(=O)[O-])O3)CC2)n[nH]1 ZINC000911650773 710905798 /nfs/dbraw/zinc/90/57/98/710905798.db2.gz GTJAFFNSHVZGND-OWYVNGRQSA-N -1 1 321.377 1.302 20 0 DDADMM CO[C@](C)(C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C1CC1 ZINC000913438397 713215952 /nfs/dbraw/zinc/21/59/52/713215952.db2.gz RRSZVEVATZPYFU-WFASDCNBSA-N -1 1 301.350 1.220 20 0 DDADMM O=C([O-])c1ccc(C(=O)N[C@H]2CCCN3CCSC[C@@H]23)cc1 ZINC000902818422 710915554 /nfs/dbraw/zinc/91/55/54/710915554.db2.gz NNIUJHZYBFCJCC-KBPBESRZSA-N -1 1 320.414 1.694 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)N[C@@H]1CCC[N@H+]2CCSC[C@H]12 ZINC000902819804 710916281 /nfs/dbraw/zinc/91/62/81/710916281.db2.gz XDOVNIZGPHBSFK-UEKVPHQBSA-N -1 1 312.435 1.183 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000902819804 710916284 /nfs/dbraw/zinc/91/62/84/710916284.db2.gz XDOVNIZGPHBSFK-UEKVPHQBSA-N -1 1 312.435 1.183 20 0 DDADMM CC(C)OCC(C)(C)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911773004 710976800 /nfs/dbraw/zinc/97/68/00/710976800.db2.gz XTMCRNYBVNCWPP-GFCCVEGCSA-N -1 1 300.399 1.103 20 0 DDADMM Cn1cc([C@@H]2CN(C(=O)c3ccc([O-])cc3F)CCN2)cn1 ZINC000913464317 713227746 /nfs/dbraw/zinc/22/77/46/713227746.db2.gz QOLHGYSCWRSHGL-AWEZNQCLSA-N -1 1 304.325 1.052 20 0 DDADMM O=C(COC1CC1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000913482623 713234487 /nfs/dbraw/zinc/23/44/87/713234487.db2.gz UCHCVQVUINITTJ-UHFFFAOYSA-N -1 1 324.808 1.869 20 0 DDADMM O=C([O-])c1ccc2c(c1)CCN(CCOC[C@H]1CCCO1)C2 ZINC000903619077 711226574 /nfs/dbraw/zinc/22/65/74/711226574.db2.gz QLKVNVKFBKLYPW-MRXNPFEDSA-N -1 1 305.374 1.939 20 0 DDADMM CC(C)(C)SCCCC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495804 713238545 /nfs/dbraw/zinc/23/85/45/713238545.db2.gz OZASCRCAZHFTSR-JTQLQIEISA-N -1 1 313.427 1.412 20 0 DDADMM O=C([C@@H]1CCC[C@@H]2CCCC[C@@H]12)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913497565 713238997 /nfs/dbraw/zinc/23/89/97/713238997.db2.gz LSMQJMKPLCHZJA-DGAVXFQQSA-N -1 1 319.409 1.706 20 0 DDADMM CC(C)COc1cccc(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)c1 ZINC000912325934 711279978 /nfs/dbraw/zinc/27/99/78/711279978.db2.gz MSNUADFWUMATQD-MRXNPFEDSA-N -1 1 322.361 1.103 20 0 DDADMM C[C@@](O)(CN1CC[C@@H](c2ncc(C(=O)[O-])s2)C1)C(F)(F)F ZINC000903924819 711334758 /nfs/dbraw/zinc/33/47/58/711334758.db2.gz QBJYETQZIBQSLB-RDDDGLTNSA-N -1 1 324.324 1.944 20 0 DDADMM CCO[C@H]1CCN([C@@H](C)C(=O)NCc2ccc(C(=O)[O-])cc2)C1 ZINC000903933511 711340391 /nfs/dbraw/zinc/34/03/91/711340391.db2.gz AOXPWBGWMSZHAH-WFASDCNBSA-N -1 1 320.389 1.500 20 0 DDADMM COc1cc(C)ccc1CN[C@@H](C(=O)[O-])c1cnn(C)c1C ZINC000904049462 711370745 /nfs/dbraw/zinc/37/07/45/711370745.db2.gz YBTIYBQKCAWCJL-OAHLLOKOSA-N -1 1 303.362 1.961 20 0 DDADMM O=C(NCC1(C2(O)CCOCC2)CC1)c1ccc([O-])cc1F ZINC000928671486 713258125 /nfs/dbraw/zinc/25/81/25/713258125.db2.gz DYCAUTBQWMZDHP-UHFFFAOYSA-N -1 1 309.337 1.583 20 0 DDADMM O=C(CCCc1cccs1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742399 713291640 /nfs/dbraw/zinc/29/16/40/713291640.db2.gz XXTOHYSJTZNUJO-UHFFFAOYSA-N -1 1 305.407 1.990 20 0 DDADMM Cc1cc(C)cc(OCC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913742371 713291688 /nfs/dbraw/zinc/29/16/88/713291688.db2.gz XDOXGBAOGVLLSM-UHFFFAOYSA-N -1 1 315.377 1.602 20 0 DDADMM O=C(Cc1c(F)cccc1Cl)N1CCC(c2nn[n-]n2)CC1 ZINC000913742064 713291911 /nfs/dbraw/zinc/29/19/11/713291911.db2.gz FDXJWLJDSOGQOQ-UHFFFAOYSA-N -1 1 323.759 1.941 20 0 DDADMM O=C(c1ccc(OC(F)F)cn1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744486 713292919 /nfs/dbraw/zinc/29/29/19/713292919.db2.gz QCBHDNGPNXRZHL-UHFFFAOYSA-N -1 1 324.291 1.216 20 0 DDADMM O=C(CCCc1cccnc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745460 713293618 /nfs/dbraw/zinc/29/36/18/713293618.db2.gz FWTDFOGVSGVNMG-UHFFFAOYSA-N -1 1 300.366 1.324 20 0 DDADMM C[C@]1(CC(=O)N2CCC(c3nn[n-]n3)CC2)CC1(Cl)Cl ZINC000913746309 713293992 /nfs/dbraw/zinc/29/39/92/713293992.db2.gz NSDHPQUSCZXZCE-NSHDSACASA-N -1 1 318.208 1.880 20 0 DDADMM O=C(C[C@@H]1CCC2(CCC2)O1)N1CCC(c2nn[n-]n2)CC1 ZINC000913747296 713294549 /nfs/dbraw/zinc/29/45/49/713294549.db2.gz WPMFPTKLAKHTML-LBPRGKRZSA-N -1 1 305.382 1.398 20 0 DDADMM COc1cccc([C@H](C(=O)[O-])N(C)CCCS(C)(=O)=O)c1 ZINC000905369244 712018163 /nfs/dbraw/zinc/01/81/63/712018163.db2.gz BRIIYCRDBXUTEQ-CYBMUJFWSA-N -1 1 315.391 1.187 20 0 DDADMM CN(CC1(CS(C)(=O)=O)CC1)[C@H](C(=O)[O-])c1ccccc1 ZINC000905375281 712019937 /nfs/dbraw/zinc/01/99/37/712019937.db2.gz WTVKGXKFCMGYBJ-ZDUSSCGKSA-N -1 1 311.403 1.569 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3(C)C(C)(C)C3(C)C)nc2n1 ZINC000905635624 712102530 /nfs/dbraw/zinc/10/25/30/712102530.db2.gz ZBAPFJACVCEEIJ-UHFFFAOYSA-N -1 1 303.366 1.737 20 0 DDADMM O=C(NC[C@@H]1C[C@H]2CCC[C@H]2O1)c1cnc(C2CC2)[n-]c1=O ZINC000913798298 713302200 /nfs/dbraw/zinc/30/22/00/713302200.db2.gz KPEVNKHNQVJVRV-NTZNESFSSA-N -1 1 303.362 1.747 20 0 DDADMM Cn1c(Cl)ncc1CN[C@@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000905794479 712147799 /nfs/dbraw/zinc/14/77/99/712147799.db2.gz JPDARVQTAIIZCZ-SECBINFHSA-N -1 1 309.757 1.593 20 0 DDADMM Cn1nc(C2CC2)cc1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000905948082 712197128 /nfs/dbraw/zinc/19/71/28/712197128.db2.gz INBQRBQGACICHP-UHFFFAOYSA-N -1 1 313.361 1.924 20 0 DDADMM O=C([O-])C(F)(F)CNS(=O)(=O)CCc1ccc(F)cc1 ZINC000905998760 712215865 /nfs/dbraw/zinc/21/58/65/712215865.db2.gz CIDAYEHXUPRASB-UHFFFAOYSA-N -1 1 311.281 1.008 20 0 DDADMM O=C(c1ccc(Cl)nc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000907106064 712488738 /nfs/dbraw/zinc/48/87/38/712488738.db2.gz YPYZHKAPYPXYHS-ZETCQYMHSA-N -1 1 310.720 1.407 20 0 DDADMM CCn1nc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1C1CC1 ZINC000907351158 712550286 /nfs/dbraw/zinc/55/02/86/712550286.db2.gz ZIDVCLUPXKFFOH-VIFPVBQESA-N -1 1 303.370 1.169 20 0 DDADMM CC[C@@H](CC(F)F)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480313 712583466 /nfs/dbraw/zinc/58/34/66/712583466.db2.gz OGMWFSTXRMXYKE-YUMQZZPRSA-N -1 1 305.354 1.498 20 0 DDADMM Cc1ccc(O)cc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480234 712583508 /nfs/dbraw/zinc/58/35/08/712583508.db2.gz NNEQCIMWKDAFQD-NSHDSACASA-N -1 1 305.363 1.144 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1cc(F)ccc1F ZINC000907766320 712623943 /nfs/dbraw/zinc/62/39/43/712623943.db2.gz KJZRCDJDLFPPBX-FUTFKJLYSA-N -1 1 301.314 1.419 20 0 DDADMM O=C([O-])[C@H]1C[C@@H]2C[C@H](NC(=O)[C@@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC000907965786 712656633 /nfs/dbraw/zinc/65/66/33/712656633.db2.gz OMWOFWKHHRSXFB-UKRLYRRISA-N -1 1 303.362 1.445 20 0 DDADMM Cc1cc(C(=O)[O-])cc(C(=O)N2CCN(C)CCN(C)CC2)c1 ZINC000908011052 712665859 /nfs/dbraw/zinc/66/58/59/712665859.db2.gz FQNKGHAGZXFKBV-UHFFFAOYSA-N -1 1 319.405 1.013 20 0 DDADMM CCO[C@]12CCC[C@@]1([N-]S(=O)(=O)c1ccns1)CCO2 ZINC000908018708 712667165 /nfs/dbraw/zinc/66/71/65/712667165.db2.gz NNRRLXPYKSMXCY-NEPJUHHUSA-N -1 1 318.420 1.497 20 0 DDADMM CCO[C@]12CCC[C@@]1([N-]S(=O)(=O)CC1(F)CC1)CCO2 ZINC000908018592 712667200 /nfs/dbraw/zinc/66/72/00/712667200.db2.gz LKCIHKDMDWWTQZ-OLZOCXBDSA-N -1 1 307.387 1.484 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C/C=C\Cl)c1Br ZINC000908452515 712777603 /nfs/dbraw/zinc/77/76/03/712777603.db2.gz KDWZDSHAJBTOII-IHWYPQMZSA-N -1 1 314.592 1.213 20 0 DDADMM C[C@H]1OC(=O)N[C@@H]1C(=O)Nc1cccc([O-])c1Br ZINC000908711175 712839497 /nfs/dbraw/zinc/83/94/97/712839497.db2.gz YWVUGOJQANFXBS-ANLVUFKYSA-N -1 1 315.123 1.590 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)N(C)[C@H](C)C(=O)[O-])c1ccco1 ZINC000908874916 712876679 /nfs/dbraw/zinc/87/66/79/712876679.db2.gz CDCMORVYRLBHOR-NEPJUHHUSA-N -1 1 311.382 1.777 20 0 DDADMM C[S@](=O)CCCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000928762786 713479646 /nfs/dbraw/zinc/47/96/46/713479646.db2.gz OAWBCINSIICHNQ-FQEVSTJZSA-N -1 1 309.309 1.909 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCn2cccc2C1 ZINC000928965875 713519165 /nfs/dbraw/zinc/51/91/65/713519165.db2.gz ZOAJYZCMGZARLL-UHFFFAOYSA-N -1 1 304.375 1.670 20 0 DDADMM CO[C@]1(C[N-]S(=O)(=O)c2cccc(F)c2F)CCSC1 ZINC000921402710 713757082 /nfs/dbraw/zinc/75/70/82/713757082.db2.gz FHZMQBLYUFSTLB-LBPRGKRZSA-N -1 1 323.386 1.765 20 0 DDADMM O=C1C[C@@H](CC[N-]S(=O)(=O)c2sccc2Cl)CN1 ZINC000921652775 713832065 /nfs/dbraw/zinc/83/20/65/713832065.db2.gz HYNZEYVTESKASW-SSDOTTSWSA-N -1 1 308.812 1.206 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCS[C@@H](C)C2)c1 ZINC000921712728 713851382 /nfs/dbraw/zinc/85/13/82/713851382.db2.gz QVDZDCXJPMEROU-WPRPVWTQSA-N -1 1 319.404 1.629 20 0 DDADMM CC1(C)C[C@@H](C[N-]S(=O)(=O)N=S(C)(C)=O)C(C)(C)O1 ZINC000921909665 713905308 /nfs/dbraw/zinc/90/53/08/713905308.db2.gz XEWQEZLPAXOSDZ-VIFPVBQESA-N -1 1 312.457 1.142 20 0 DDADMM CO[C@@H](C)[C@@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922538645 714082798 /nfs/dbraw/zinc/08/27/98/714082798.db2.gz UWPHGZNJYXAGJM-BDAKNGLRSA-N -1 1 302.321 1.936 20 0 DDADMM CO[C@@H](CNC(=O)NCCc1c(F)cc([O-])cc1F)C1CC1 ZINC000922627427 714104816 /nfs/dbraw/zinc/10/48/16/714104816.db2.gz KBIPGSUODNZLAF-AWEZNQCLSA-N -1 1 314.332 1.937 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)CC1 ZINC000923104480 714238366 /nfs/dbraw/zinc/23/83/66/714238366.db2.gz XUBSQMHMOSZTIF-TUVASFSCSA-N -1 1 308.422 1.820 20 0 DDADMM CN(C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H]1CCOC1 ZINC000932042413 714244895 /nfs/dbraw/zinc/24/48/95/714244895.db2.gz OODAHHGPHBGTSY-ZDUSSCGKSA-N -1 1 302.334 1.831 20 0 DDADMM O=C([O-])[C@]12C[C@H]1CCCN2C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000923149184 714255304 /nfs/dbraw/zinc/25/53/04/714255304.db2.gz DKPYUIINIPNYSU-KBUNVGBDSA-N -1 1 303.240 1.508 20 0 DDADMM CC1=C(C)C[C@](C)(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)CC1 ZINC000932284794 714300218 /nfs/dbraw/zinc/30/02/18/714300218.db2.gz YSKYIAQGRCFKKF-SWLSCSKDSA-N -1 1 305.382 1.626 20 0 DDADMM COC[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@H]1CCCOC1 ZINC000924687677 714640571 /nfs/dbraw/zinc/64/05/71/714640571.db2.gz JEYTWTLKXXSUEC-AAEUAGOBSA-N -1 1 321.377 1.231 20 0 DDADMM COc1ccc(Cl)cc1C(F)(F)C(=O)[N-]C1(C(N)=O)CC1 ZINC000934628147 714865734 /nfs/dbraw/zinc/86/57/34/714865734.db2.gz JPTXXWCZXVGRDI-UHFFFAOYSA-N -1 1 318.707 1.575 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H](O)C1CCCCCCC1)c1nn[n-]n1 ZINC000935365123 715037819 /nfs/dbraw/zinc/03/78/19/715037819.db2.gz CNGALQITHQCZEU-AAEUAGOBSA-N -1 1 309.414 1.483 20 0 DDADMM CCn1cc(O[C@@H]2CCC[C@@H]2NC(=O)c2ncccc2[O-])cn1 ZINC000926717515 715117924 /nfs/dbraw/zinc/11/79/24/715117924.db2.gz GOFSPKLUBJSYQR-GXTWGEPZSA-N -1 1 316.361 1.734 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-][C@@H]2C[C@@H](C)OC2=O)cnc1Cl ZINC000935986536 715142164 /nfs/dbraw/zinc/14/21/64/715142164.db2.gz NOLIJWKVWCBNRO-VXNVDRBHSA-N -1 1 318.707 1.955 20 0 DDADMM CN(C(=O)c1ccco1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937210268 715292824 /nfs/dbraw/zinc/29/28/24/715292824.db2.gz AWNGYTGMNWXRBM-LLVKDONJSA-N -1 1 315.329 1.367 20 0 DDADMM O=C(CC1CCC1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937783229 715568278 /nfs/dbraw/zinc/56/82/78/715568278.db2.gz PCBOBNRJIQMMSF-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@H]2CC23CC3)C1 ZINC000956852180 715638926 /nfs/dbraw/zinc/63/89/26/715638926.db2.gz SSIVCNMXRWXJLW-BZNIZROVSA-N -1 1 315.373 1.308 20 0 DDADMM CN(C(=O)[C@H]1CC[C@@H](F)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955130782 715641382 /nfs/dbraw/zinc/64/13/82/715641382.db2.gz JKXZCMSSDRJZQJ-WDEREUQCSA-N -1 1 321.352 1.208 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(C)(C)F ZINC000955475689 715806490 /nfs/dbraw/zinc/80/64/90/715806490.db2.gz DMDPQROLIWGOOF-QWRGUYRKSA-N -1 1 323.368 1.645 20 0 DDADMM CC(C)=CC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000959813530 716300786 /nfs/dbraw/zinc/30/07/86/716300786.db2.gz OHBXHVFXMBWBFY-BETUJISGSA-N -1 1 315.373 1.284 20 0 DDADMM Cc1coc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC000940130104 716640525 /nfs/dbraw/zinc/64/05/25/716640525.db2.gz GASWRCQFXHLWIN-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2CC2)C1 ZINC000959002334 716814626 /nfs/dbraw/zinc/81/46/26/716814626.db2.gz WIRFONPSKBEZFF-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)C(=O)N1CC[C@@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959064816 716852045 /nfs/dbraw/zinc/85/20/45/716852045.db2.gz JBSMIADZEVMIRJ-VXGBXAGGSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H](NC(=O)C1CC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000959131326 716878339 /nfs/dbraw/zinc/87/83/39/716878339.db2.gz BGCYSGBPZDQQND-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM CC1(C(=O)N2C[C@@H]3CN(C(=O)c4ncccc4[O-])C[C@@H]3C2)CC1 ZINC000961427142 716942166 /nfs/dbraw/zinc/94/21/66/716942166.db2.gz KHLRFUDBFKVTJI-TXEJJXNPSA-N -1 1 315.373 1.118 20 0 DDADMM CC(C)(F)C(=O)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943212755 718048749 /nfs/dbraw/zinc/04/87/49/718048749.db2.gz INAKMGMOFWTEMM-NSHDSACASA-N -1 1 323.368 1.646 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000943793331 718190273 /nfs/dbraw/zinc/19/02/73/718190273.db2.gz KJOFNZGIEDEUIW-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM CCCC(=O)N1CC[C@@H]2[C@@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945855500 718594300 /nfs/dbraw/zinc/59/43/00/718594300.db2.gz YGABTLOXPIMKBN-QWHCGFSZSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(NC[C@H]1CCC[C@H]1NC(=O)C1CCC1)c1ncccc1[O-] ZINC000946082103 718669492 /nfs/dbraw/zinc/66/94/92/718669492.db2.gz RGWDPGJENVNRHB-CHWSQXEVSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1nc([C@@H](C)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)no1 ZINC000968481706 719611436 /nfs/dbraw/zinc/61/14/36/719611436.db2.gz NYPUPQNKBXPVDL-MWLCHTKSSA-N -1 1 317.349 1.044 20 0 DDADMM CC(C)C(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000971359705 721255930 /nfs/dbraw/zinc/25/59/30/721255930.db2.gz LCUJIKQFQIQRJF-VXGBXAGGSA-N -1 1 303.362 1.116 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])C1CC2(CC2)C1 ZINC000952022227 721287517 /nfs/dbraw/zinc/28/75/17/721287517.db2.gz VJXRYYNXWHMLKC-LBPRGKRZSA-N -1 1 315.373 1.308 20 0 DDADMM CC(C)C(=O)N1CCCC[C@H]1[C@@H](C)NC(=O)c1ncccc1[O-] ZINC000953556028 721625379 /nfs/dbraw/zinc/62/53/79/721625379.db2.gz KNUBLIKZFBHTAV-OLZOCXBDSA-N -1 1 319.405 1.943 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCC2CCC2)C1 ZINC000954123853 721723275 /nfs/dbraw/zinc/72/32/75/721723275.db2.gz AQJCPFAPKHGKAT-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@H](CC(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000954127991 721724856 /nfs/dbraw/zinc/72/48/56/721724856.db2.gz NVOHNQRDEWFGEI-LLVKDONJSA-N -1 1 317.389 1.506 20 0 DDADMM CC(C)c1nsc(CNCCNC(=O)c2ncccc2[O-])n1 ZINC001126125471 738346727 /nfs/dbraw/zinc/34/67/27/738346727.db2.gz YWAWYYKFZNYYCL-UHFFFAOYSA-N -1 1 321.406 1.282 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2ccc3[nH]nc(N)c3c2)c1 ZINC001209861407 732831060 /nfs/dbraw/zinc/83/10/60/732831060.db2.gz CYWJSUQGPIGFOB-UHFFFAOYSA-N -1 1 318.358 1.998 20 0 DDADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)C1=CCCC1 ZINC001021227073 733075936 /nfs/dbraw/zinc/07/59/36/733075936.db2.gz KGFVYZJJXLYFOU-YHWZYXNKSA-N -1 1 317.393 1.232 20 0 DDADMM CS[C@@H]1CC[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1 ZINC000230625054 733579660 /nfs/dbraw/zinc/57/96/60/733579660.db2.gz PMZUVPRBFSDKAE-HTQZYQBOSA-N -1 1 309.844 1.636 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2CC2(C)C)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087151469 733594274 /nfs/dbraw/zinc/59/42/74/733594274.db2.gz RTFFZZHBWLTNMH-WOPDTQHZSA-N -1 1 321.425 1.273 20 0 DDADMM Cc1nc([C@@H](C)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001021999434 733709608 /nfs/dbraw/zinc/70/96/08/733709608.db2.gz AYLKHBHBZHAVLK-IEBDPFPHSA-N -1 1 317.349 1.090 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cc(F)nc(F)c2)cc1N ZINC001213082340 734470401 /nfs/dbraw/zinc/47/04/01/734470401.db2.gz HWSMOKZJGHEKPM-UHFFFAOYSA-N -1 1 300.290 1.325 20 0 DDADMM C[C@H]1c2sccc2CCN1c1nnc(-c2nnn[n-]2)n1C ZINC001121268339 782433085 /nfs/dbraw/zinc/43/30/85/782433085.db2.gz MMCKSRYAHTVQGZ-ZETCQYMHSA-N -1 1 302.367 1.180 20 0 DDADMM C[C@H]1c2sccc2CCN1c1nnc(-c2nn[n-]n2)n1C ZINC001121268339 782433087 /nfs/dbraw/zinc/43/30/87/782433087.db2.gz MMCKSRYAHTVQGZ-ZETCQYMHSA-N -1 1 302.367 1.180 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CCC(c2ccco2)CC1 ZINC001121377302 782479787 /nfs/dbraw/zinc/47/97/87/782479787.db2.gz MJDWJDGPLWIJQE-UHFFFAOYSA-N -1 1 314.349 1.888 20 0 DDADMM C[C@@H]1CC[C@@H](CC(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000997894526 751346073 /nfs/dbraw/zinc/34/60/73/751346073.db2.gz MHKQBESLIJZSFP-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CCC[C@H]1c1ccccc1 ZINC001121409109 782497388 /nfs/dbraw/zinc/49/73/88/782497388.db2.gz UWLNAXGETAURNX-LBPRGKRZSA-N -1 1 310.365 1.261 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCC[C@H]1c1ccccc1 ZINC001121409109 782497393 /nfs/dbraw/zinc/49/73/93/782497393.db2.gz UWLNAXGETAURNX-LBPRGKRZSA-N -1 1 310.365 1.261 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2ccc([O-])c(F)c2F)CCC1=O ZINC001140887965 736462710 /nfs/dbraw/zinc/46/27/10/736462710.db2.gz KHURZTNUQYPUPX-SNVBAGLBSA-N -1 1 313.300 1.625 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ccnc(OC)c3)no2)[n-]n1 ZINC001213463741 739239666 /nfs/dbraw/zinc/23/96/66/739239666.db2.gz OAXMBYOXLYRFTB-UHFFFAOYSA-N -1 1 301.262 1.317 20 0 DDADMM O=C(NC[C@@H]1CC[N@@H+](CCF)C1)c1nnc2ccccc2c1O ZINC001028840555 740101103 /nfs/dbraw/zinc/10/11/03/740101103.db2.gz IHQXRGXGUBHNHW-NSHDSACASA-N -1 1 318.352 1.357 20 0 DDADMM CCC(CC)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001012319403 740617404 /nfs/dbraw/zinc/61/74/04/740617404.db2.gz FKCUBSIBOQSVGN-YPMHNXCESA-N -1 1 319.405 1.943 20 0 DDADMM CC[C@@H](F)C(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059518604 741091325 /nfs/dbraw/zinc/09/13/25/741091325.db2.gz OVURZLZARPICHR-VXGBXAGGSA-N -1 1 323.368 1.504 20 0 DDADMM O=C(C1=CCCC1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088295260 741343469 /nfs/dbraw/zinc/34/34/69/741343469.db2.gz QNRAWSZDTFUMPS-ZDUSSCGKSA-N -1 1 319.409 1.481 20 0 DDADMM CSc1nc(NC(=O)Cc2ccc(O)cc2F)cc(=O)[n-]1 ZINC001180647583 742872314 /nfs/dbraw/zinc/87/23/14/742872314.db2.gz DMDAEMBQGFWKRG-UHFFFAOYSA-N -1 1 309.322 1.930 20 0 DDADMM O=C(Cc1ccc2cc[nH]c2c1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001181809224 743352063 /nfs/dbraw/zinc/35/20/63/743352063.db2.gz AGMPPRXZEIWZOQ-UHFFFAOYSA-N -1 1 324.300 1.378 20 0 DDADMM Cn1nnc([N-]C(=O)c2cc(-c3ccccc3Cl)on2)n1 ZINC001127016946 743559278 /nfs/dbraw/zinc/55/92/78/743559278.db2.gz XRVFQXLQVXSKCU-UHFFFAOYSA-N -1 1 304.697 1.771 20 0 DDADMM O=C(Cc1ccc2[nH]cnc2c1)NCCCC[P@@](=O)([O-])O ZINC001182844866 743727579 /nfs/dbraw/zinc/72/75/79/743727579.db2.gz GVMVLMQCRZEPRR-UHFFFAOYSA-N -1 1 311.278 1.180 20 0 DDADMM O=C(Cc1ccc2nc[nH]c2c1)NCCCC[P@](=O)([O-])O ZINC001182844866 743727580 /nfs/dbraw/zinc/72/75/80/743727580.db2.gz GVMVLMQCRZEPRR-UHFFFAOYSA-N -1 1 311.278 1.180 20 0 DDADMM O=C(Cc1ccc2nc[nH]c2c1)NCCCC[P@@](=O)([O-])O ZINC001182844866 743727581 /nfs/dbraw/zinc/72/75/81/743727581.db2.gz GVMVLMQCRZEPRR-UHFFFAOYSA-N -1 1 311.278 1.180 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)CCC(=O)OC1CCCCC1 ZINC001182898873 743749070 /nfs/dbraw/zinc/74/90/70/743749070.db2.gz AVIJYHYWNPNZPZ-UHFFFAOYSA-N -1 1 324.337 1.065 20 0 DDADMM O=C([N-]C[C@@H](O)c1ccco1)C(F)(F)OCC(F)(F)F ZINC001183191681 743793698 /nfs/dbraw/zinc/79/36/98/743793698.db2.gz CRVOQZGFNKFVDZ-ZCFIWIBFSA-N -1 1 303.183 1.601 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@@H]1CCN1Cc1ccccc1 ZINC001183757494 743897713 /nfs/dbraw/zinc/89/77/13/743897713.db2.gz MNXLEJDZFFENML-NSHDSACASA-N -1 1 324.344 1.272 20 0 DDADMM Cc1ccc(CN2CC(NC(=O)c3ncccc3[O-])C2)c(C)n1 ZINC001030239182 743974318 /nfs/dbraw/zinc/97/43/18/743974318.db2.gz DNIRMCOCJYSIKY-UHFFFAOYSA-N -1 1 312.373 1.413 20 0 DDADMM CCc1ccc(CN2CC(NC(=O)c3ncccc3[O-])C2)cc1 ZINC001030241808 743977274 /nfs/dbraw/zinc/97/72/74/743977274.db2.gz MNUVONWIQBHULH-UHFFFAOYSA-N -1 1 311.385 1.964 20 0 DDADMM CN1C(=O)CC[C@H]2CN(C(=O)c3ccc([O-])cc3F)CC[C@@H]21 ZINC001186326445 744375058 /nfs/dbraw/zinc/37/50/58/744375058.db2.gz DGLRNOLTWMMSNS-HZMBPMFUSA-N -1 1 306.337 1.614 20 0 DDADMM CC1(C)CN(C2CN(C(=O)c3ccc([O-])cc3F)C2)CCO1 ZINC001186327928 744375790 /nfs/dbraw/zinc/37/57/90/744375790.db2.gz XBCXAYRQINIZJQ-UHFFFAOYSA-N -1 1 308.353 1.467 20 0 DDADMM CCCCCC[C@H](C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186488673 744402409 /nfs/dbraw/zinc/40/24/09/744402409.db2.gz RFYWBPCUGTVHRW-QWHCGFSZSA-N -1 1 323.441 1.807 20 0 DDADMM CSc1nc(CNc2ncnc3c2cnn3C)cc(=O)[n-]1 ZINC001186864758 744465869 /nfs/dbraw/zinc/46/58/69/744465869.db2.gz PTEQNJNTZCMQJU-UHFFFAOYSA-N -1 1 303.351 1.193 20 0 DDADMM O=C(NC1CC(CNC(=O)c2ncccc2[O-])C1)C1=CCCC1 ZINC000992049778 744560825 /nfs/dbraw/zinc/56/08/25/744560825.db2.gz QPKQYWDLVCVQLF-UHFFFAOYSA-N -1 1 315.373 1.522 20 0 DDADMM O=C(NC1CC(CNC(=O)c2ncccc2[O-])C1)c1cc[nH]c1 ZINC000992054771 744564478 /nfs/dbraw/zinc/56/44/78/744564478.db2.gz RRNHQSOINKMBOU-UHFFFAOYSA-N -1 1 314.345 1.054 20 0 DDADMM Cc1ccccc1C[C@@H](CO)[N-]S(=O)(=O)c1nccs1 ZINC001187918685 744635149 /nfs/dbraw/zinc/63/51/49/744635149.db2.gz LFAWKSDXGVHBRI-LBPRGKRZSA-N -1 1 312.416 1.333 20 0 DDADMM O=C(CCC[N-]S(=O)(=O)c1nccs1)c1cccnc1 ZINC001187923813 744635933 /nfs/dbraw/zinc/63/59/33/744635933.db2.gz XDNPMOKADCMNIK-UHFFFAOYSA-N -1 1 311.388 1.480 20 0 DDADMM CCC[C@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC001187980847 744642314 /nfs/dbraw/zinc/64/23/14/744642314.db2.gz OOBAIDOVDVKNCY-SSDOTTSWSA-N -1 1 313.206 1.828 20 0 DDADMM COc1ccc(C(=O)NCCCC[P@](=O)([O-])O)c(C)c1C ZINC001188084501 744658267 /nfs/dbraw/zinc/65/82/67/744658267.db2.gz GKQCJCYNVOTEKJ-UHFFFAOYSA-N -1 1 315.306 2.000 20 0 DDADMM COC(=O)c1c(F)ccc([N-]S(=O)(=O)C2CCC2)c1OC ZINC001188137771 744664189 /nfs/dbraw/zinc/66/41/89/744664189.db2.gz IOYWJLORGRWQSV-UHFFFAOYSA-N -1 1 317.338 1.915 20 0 DDADMM Cn1nc(C(C)(C)C)cc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001189029170 744820028 /nfs/dbraw/zinc/82/00/28/744820028.db2.gz DNIQOGUYORVZSH-UHFFFAOYSA-N -1 1 320.309 1.569 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCCF)c1ccccc1OC ZINC001189947824 745061739 /nfs/dbraw/zinc/06/17/39/745061739.db2.gz FVBPKERQQWCJBF-GFCCVEGCSA-N -1 1 319.354 1.188 20 0 DDADMM COc1nc(S(=O)(=O)[N-]CCC(F)(F)F)ccc1F ZINC001190058564 745093946 /nfs/dbraw/zinc/09/39/46/745093946.db2.gz MXKKQFWHYACJOM-UHFFFAOYSA-N -1 1 302.249 1.460 20 0 DDADMM COc1nc(S(=O)(=O)[N-]C[C@@H]2CCC(F)(F)C2)ccc1F ZINC001190106689 745102847 /nfs/dbraw/zinc/10/28/47/745102847.db2.gz BYFXRSLKMWSLSH-MRVPVSSYSA-N -1 1 324.324 1.943 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2F)nn1C ZINC001190403096 745201430 /nfs/dbraw/zinc/20/14/30/745201430.db2.gz IDVOLBVSNUGOLE-UHFFFAOYSA-N -1 1 319.308 1.624 20 0 DDADMM COC(=O)c1cnc(NS(=O)(=O)c2ncc[n-]2)c(Cl)c1 ZINC001190689482 745297708 /nfs/dbraw/zinc/29/77/08/745297708.db2.gz JBVUVAWZVYVCQR-UHFFFAOYSA-N -1 1 316.726 1.046 20 0 DDADMM CSc1ncc(C(=O)NCc2cc3ccccn3n2)c(=O)[n-]1 ZINC001191440379 745513051 /nfs/dbraw/zinc/51/30/51/745513051.db2.gz LHHRSBDEGFUEDK-UHFFFAOYSA-N -1 1 315.358 1.482 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc(Br)o3)c1-2 ZINC001191713399 745580610 /nfs/dbraw/zinc/58/06/10/745580610.db2.gz JDJJBDVPIYHHJW-UHFFFAOYSA-N -1 1 323.110 1.038 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1ccncc1-c1ccccc1 ZINC001191894139 745641275 /nfs/dbraw/zinc/64/12/75/745641275.db2.gz XPQWTYFLCZAWOV-UHFFFAOYSA-N -1 1 323.312 1.784 20 0 DDADMM O=C([N-]c1ncnc2c1cnn2-c1ccccc1)c1ncon1 ZINC001192015172 745673987 /nfs/dbraw/zinc/67/39/87/745673987.db2.gz HEBUDSIMUQVEBS-UHFFFAOYSA-N -1 1 307.273 1.451 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1C[C@@H](CO)CC(F)(F)C1 ZINC001192526175 745821354 /nfs/dbraw/zinc/82/13/54/745821354.db2.gz GCDBDEQCXUGBFQ-ZETCQYMHSA-N -1 1 307.243 1.760 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccnc(Cl)c1F ZINC001193016721 745961061 /nfs/dbraw/zinc/96/10/61/745961061.db2.gz FLSULSBAGVNSDW-UHFFFAOYSA-N -1 1 324.761 1.958 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1c(C)cccc1CO ZINC001193060919 745976166 /nfs/dbraw/zinc/97/61/66/745976166.db2.gz OAULVDVMGCDNKU-UHFFFAOYSA-N -1 1 315.391 1.571 20 0 DDADMM CC(=O)c1ccc(F)c([N-]S(=O)(=O)C[C@H]2CCCO2)c1 ZINC001193264599 746036420 /nfs/dbraw/zinc/03/64/20/746036420.db2.gz UHSVJJYZFBSALG-LLVKDONJSA-N -1 1 301.339 1.949 20 0 DDADMM CN1CCN(c2ccc(F)cc2NC(=O)c2cc(=O)[nH][n-]2)CC1 ZINC001193470608 746113766 /nfs/dbraw/zinc/11/37/66/746113766.db2.gz ZOMGMIDAXRYLIJ-UHFFFAOYSA-N -1 1 319.340 1.259 20 0 DDADMM COc1ccnc(C(=O)Nc2c(O)cccc2C(C)=O)c1[O-] ZINC001193531981 746122505 /nfs/dbraw/zinc/12/25/05/746122505.db2.gz OYNVGKAWAXTUBS-UHFFFAOYSA-N -1 1 302.286 1.956 20 0 DDADMM COc1ccnc(C(=O)Nc2nnc(C(F)(F)F)n2C)c1[O-] ZINC001193521517 746132301 /nfs/dbraw/zinc/13/23/01/746132301.db2.gz ZKPOMGQYWJDWBP-UHFFFAOYSA-N -1 1 317.227 1.195 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)C(=O)NCC2 ZINC001193928693 746224057 /nfs/dbraw/zinc/22/40/57/746224057.db2.gz JYBSKKZFTAGHCA-UHFFFAOYSA-N -1 1 317.370 1.356 20 0 DDADMM CSc1cncc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001194084186 746274994 /nfs/dbraw/zinc/27/49/94/746274994.db2.gz WMRODZFRNVSJON-UHFFFAOYSA-N -1 1 307.291 1.655 20 0 DDADMM CSc1cncc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001194097109 746286934 /nfs/dbraw/zinc/28/69/34/746286934.db2.gz FNHKXYQMMLWBKY-UHFFFAOYSA-N -1 1 318.318 1.085 20 0 DDADMM CSc1ncc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)cc1C ZINC001194322126 746336770 /nfs/dbraw/zinc/33/67/70/746336770.db2.gz BKHDYDWKZVEHCR-UHFFFAOYSA-N -1 1 314.374 1.712 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc(C)ccc2CCCO)[n-]n1 ZINC001194288734 746343533 /nfs/dbraw/zinc/34/35/33/746343533.db2.gz MNSKUVCAEYJWDI-UHFFFAOYSA-N -1 1 317.345 1.682 20 0 DDADMM COC(=O)c1cc(C(=O)N2CCCc3cc(N)ccc32)[n-]n1 ZINC001194288722 746343575 /nfs/dbraw/zinc/34/35/75/746343575.db2.gz LTKAJWGPTLGYCK-UHFFFAOYSA-N -1 1 300.318 1.372 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cccc(-c3nnco3)c2)[n-]n1 ZINC001194289112 746344344 /nfs/dbraw/zinc/34/43/44/746344344.db2.gz XKHRXIFGSOEINX-UHFFFAOYSA-N -1 1 313.273 1.499 20 0 DDADMM COC(=O)c1cscc1[N-]S(=O)(=O)C1CCC(=O)CC1 ZINC001194757712 746458416 /nfs/dbraw/zinc/45/84/16/746458416.db2.gz APJPVNIBKNJYRF-UHFFFAOYSA-N -1 1 317.388 1.788 20 0 DDADMM CC(C)c1cccc(S(=O)(=O)[N-]c2ccnc(C(N)=O)c2)c1 ZINC001195119873 746532045 /nfs/dbraw/zinc/53/20/45/746532045.db2.gz CPRARELEJJDGNP-UHFFFAOYSA-N -1 1 319.386 1.527 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2C[C@@H](OCC3CC3)[C@H]3COC[C@H]32)n1 ZINC001195324852 746565957 /nfs/dbraw/zinc/56/59/57/746565957.db2.gz UUJUXSDDUSBFOU-GZBFAFLISA-N -1 1 318.373 1.362 20 0 DDADMM CNC(=O)c1cccnc1NC(=O)c1cc([O-])cnc1Cl ZINC001195298891 746570534 /nfs/dbraw/zinc/57/05/34/746570534.db2.gz DGRKOYVBGGVVSV-UHFFFAOYSA-N -1 1 306.709 1.448 20 0 DDADMM COC(=O)Cn1cc(NC(=O)c2cc([O-])cnc2Cl)cn1 ZINC001195297886 746570893 /nfs/dbraw/zinc/57/08/93/746570893.db2.gz JNRZKZQIPMFJRJ-UHFFFAOYSA-N -1 1 310.697 1.062 20 0 DDADMM CN(C(=O)c1cc([O-])cnc1Cl)C1CCS(=O)(=O)CC1 ZINC001195305988 746572442 /nfs/dbraw/zinc/57/24/42/746572442.db2.gz RMIPQLNESHJVGN-UHFFFAOYSA-N -1 1 318.782 1.090 20 0 DDADMM CCOC(=O)NC(=S)Nc1nc(Br)ccc1[O-] ZINC001195454133 746605750 /nfs/dbraw/zinc/60/57/50/746605750.db2.gz BMXMZHKHIIFEAX-UHFFFAOYSA-N -1 1 320.168 1.993 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1c(F)cncc1Cl ZINC001195482127 746621855 /nfs/dbraw/zinc/62/18/55/746621855.db2.gz SOSJUGDFGNRGGP-UHFFFAOYSA-N -1 1 308.660 1.498 20 0 DDADMM O=C(Nc1cc(-c2ccncc2)no1)c1c[nH]c(=S)[n-]c1=O ZINC001196014479 746756653 /nfs/dbraw/zinc/75/66/53/746756653.db2.gz QKSFNVFLLKCBNU-UHFFFAOYSA-N -1 1 315.314 1.773 20 0 DDADMM Cn1ncc2cc(NC(=O)c3c[nH]c(=S)[n-]c3=O)cnc21 ZINC001196015243 746757548 /nfs/dbraw/zinc/75/75/48/746757548.db2.gz YHNHREBRGAHYII-UHFFFAOYSA-N -1 1 302.319 1.005 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=S)NCc1ccc(F)cc1 ZINC001196458256 746877001 /nfs/dbraw/zinc/87/70/01/746877001.db2.gz HUCGRKGDILAGDY-UHFFFAOYSA-N -1 1 323.309 1.911 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cc([C@@H](C)O)ccn1 ZINC001196928696 747000502 /nfs/dbraw/zinc/00/05/02/747000502.db2.gz HYRUEKSGQDXQTK-SNVBAGLBSA-N -1 1 316.379 1.220 20 0 DDADMM COc1ccnc(F)c1C(=O)Nc1cc(=O)[n-]c(SC)n1 ZINC001197135770 747061752 /nfs/dbraw/zinc/06/17/52/747061752.db2.gz JTQGAMBYAXSDIY-UHFFFAOYSA-N -1 1 310.310 1.699 20 0 DDADMM Cc1cccc2c1[C@@H]([N-]S(=O)(=O)Cc1cccnc1)C(=O)N2 ZINC001197471707 747168177 /nfs/dbraw/zinc/16/81/77/747168177.db2.gz HALPARLROYMQKH-CQSZACIVSA-N -1 1 317.370 1.503 20 0 DDADMM O=C1OCC=C1[N-]S(=O)(=O)Cc1ccc(Cl)c(F)c1 ZINC001197708712 747246040 /nfs/dbraw/zinc/24/60/40/747246040.db2.gz FHUFKAZEGBPFJN-UHFFFAOYSA-N -1 1 305.714 1.339 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc3nccnc3c2)ccn1 ZINC001198109430 747383671 /nfs/dbraw/zinc/38/36/71/747383671.db2.gz HVJDGUXRFNBXPT-UHFFFAOYSA-N -1 1 316.342 1.834 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001003758138 747446489 /nfs/dbraw/zinc/44/64/89/747446489.db2.gz AVDDPRXKDVGKKH-ITGUQSILSA-N -1 1 315.373 1.022 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2cc(O)c(F)c(F)c2)n1C ZINC001198398876 747495222 /nfs/dbraw/zinc/49/52/22/747495222.db2.gz QXSCNVQKSUBZBW-UHFFFAOYSA-N -1 1 303.290 1.513 20 0 DDADMM COc1c(F)ccc([N-]S(=O)(=O)c2cnc(C)n2C)c1F ZINC001198398810 747495438 /nfs/dbraw/zinc/49/54/38/747495438.db2.gz OPKMCHNXTUOJNJ-UHFFFAOYSA-N -1 1 317.317 1.816 20 0 DDADMM CN(C)c1nc(NC(=S)NC2CCCC2)c(N=O)c(=O)[n-]1 ZINC001198522606 747515749 /nfs/dbraw/zinc/51/57/49/747515749.db2.gz SLFDFVRGKVXUSM-UHFFFAOYSA-N -1 1 310.383 1.875 20 0 DDADMM Cc1noc([N-]C(=O)c2cnoc2C(F)(F)F)c1C(N)=O ZINC001199048354 747685102 /nfs/dbraw/zinc/68/51/02/747685102.db2.gz CREMBDWFUFEHEF-UHFFFAOYSA-N -1 1 304.184 1.341 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cncn1-c1cccnc1 ZINC001199429428 747830287 /nfs/dbraw/zinc/83/02/87/747830287.db2.gz BBWQPAOXUZBWPD-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM Cn1ncc2c1cccc2C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001201288937 748455292 /nfs/dbraw/zinc/45/52/92/748455292.db2.gz DDJABBUMEXXEGF-UHFFFAOYSA-N -1 1 314.261 1.425 20 0 DDADMM COC(=O)CCCS(=O)(=O)Nc1cc([O-])c(F)c(F)c1 ZINC001201912287 748638753 /nfs/dbraw/zinc/63/87/53/748638753.db2.gz BCCGMAQIXPRLAH-UHFFFAOYSA-N -1 1 309.290 1.365 20 0 DDADMM CC1(C)CN(C(=O)C[C@@H]2C=CCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995432905 748719243 /nfs/dbraw/zinc/71/92/43/748719243.db2.gz UCXGIQKEPXEMFM-NEPJUHHUSA-N -1 1 319.409 1.193 20 0 DDADMM O=C(CCC1CCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998858171 752300475 /nfs/dbraw/zinc/30/04/75/752300475.db2.gz IQWJYBIXNSHXPI-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM COc1ccc(NC(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001202399653 748779947 /nfs/dbraw/zinc/77/99/47/748779947.db2.gz CKTFFRHGRYKAKK-UHFFFAOYSA-N -1 1 302.267 1.775 20 0 DDADMM C[C@@H]1CCN(C(=O)C2CCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004770956 748781366 /nfs/dbraw/zinc/78/13/66/748781366.db2.gz UYXXEJMIPRHLEC-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)C1=CCCC1 ZINC001004817620 748827600 /nfs/dbraw/zinc/82/76/00/748827600.db2.gz OXQAYJXKVWBMQC-DGCLKSJQSA-N -1 1 319.409 1.480 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1cc[nH]c1 ZINC001004867163 748876899 /nfs/dbraw/zinc/87/68/99/748876899.db2.gz DISRTURYGWYTKN-ZYHUDNBSSA-N -1 1 318.381 1.011 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)N(C)[C@@H]1CC[N@H+](C/C=C/Cl)C1 ZINC001033345013 749234825 /nfs/dbraw/zinc/23/48/25/749234825.db2.gz UKMTWDLMAQXZOL-JOAKQRRISA-N -1 1 310.785 1.389 20 0 DDADMM CCCc1nsc(NC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108323385 761933335 /nfs/dbraw/zinc/93/33/35/761933335.db2.gz OKAUGNMITFFECP-VIFPVBQESA-N -1 1 321.406 1.822 20 0 DDADMM CC1(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)CC1 ZINC000996069737 749358950 /nfs/dbraw/zinc/35/89/50/749358950.db2.gz RJTSSJQPGGKSKY-SNVBAGLBSA-N -1 1 307.398 1.027 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2cccc(=O)[nH]2)c1 ZINC001212794270 749393397 /nfs/dbraw/zinc/39/33/97/749393397.db2.gz RMPBWORYIYKDNE-UHFFFAOYSA-N -1 1 309.303 1.503 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C2CCN(C/C=C/Cl)CC2)c1[O-] ZINC001005259825 749644791 /nfs/dbraw/zinc/64/47/91/749644791.db2.gz ABDXGZWQCCCVLR-ZZXKWVIFSA-N -1 1 312.801 1.713 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(C)(C)C ZINC001005286973 749750897 /nfs/dbraw/zinc/75/08/97/749750897.db2.gz FOBBLJJYEQEPQU-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM CC1(C)C(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1(C)C ZINC001034895683 751010798 /nfs/dbraw/zinc/01/07/98/751010798.db2.gz OICYRIKQYHXMNT-SNVBAGLBSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@@H]1CCCN(C(=O)CCC2CC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036524776 752519160 /nfs/dbraw/zinc/51/91/60/752519160.db2.gz ROLNSXUCXLCVOU-DGCLKSJQSA-N -1 1 321.425 1.417 20 0 DDADMM CCCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008072451 752533165 /nfs/dbraw/zinc/53/31/65/752533165.db2.gz UNAUIMPEOBCRCA-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1ccc(Cl)[nH]1 ZINC000999226201 752680462 /nfs/dbraw/zinc/68/04/62/752680462.db2.gz XNQQWZAIHIXVDH-UHFFFAOYSA-N -1 1 320.736 1.023 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ccoc1 ZINC001011487890 754554591 /nfs/dbraw/zinc/55/45/91/754554591.db2.gz PDOMINIZTWNKKT-JQWIXIFHSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCC1CC1 ZINC001011581308 754614667 /nfs/dbraw/zinc/61/46/67/754614667.db2.gz PNPSZBDMEIQTSB-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001012448739 755078970 /nfs/dbraw/zinc/07/89/70/755078970.db2.gz IRECZTBKWLDICF-PEXLVHELSA-N -1 1 303.362 1.473 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccco2)CN1C(=O)c1ncccc1[O-] ZINC001012505304 755112636 /nfs/dbraw/zinc/11/26/36/755112636.db2.gz JHAIWPFUFGXXLB-MNOVXSKESA-N -1 1 315.329 1.413 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C2CC=CC2)CN1C(=O)c1ncccc1[O-] ZINC001012509277 755115105 /nfs/dbraw/zinc/11/51/05/755115105.db2.gz HNZYLGLGLUMRJQ-YPMHNXCESA-N -1 1 315.373 1.473 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1Nc1cnc(F)cn1 ZINC001067075895 755697592 /nfs/dbraw/zinc/69/75/92/755697592.db2.gz MXBTUUFWDYQBIF-UWVGGRQHSA-N -1 1 317.324 1.289 20 0 DDADMM CN(CCCN(C)C(=O)[C@@H]1CC1(C)C)C(=O)c1ncccc1[O-] ZINC001067207369 758242573 /nfs/dbraw/zinc/24/25/73/758242573.db2.gz FTYFZHNZISFUAH-LBPRGKRZSA-N -1 1 319.405 1.754 20 0 DDADMM Cn1cc(NCc2ncccc2[O-])c(Br)cc1=O ZINC001169732537 762639171 /nfs/dbraw/zinc/63/91/71/762639171.db2.gz KWFYSLFSXLOXDC-UHFFFAOYSA-N -1 1 310.151 1.861 20 0 DDADMM CCCC(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001065749582 758753694 /nfs/dbraw/zinc/75/36/94/758753694.db2.gz NCELAWJONDXWEZ-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM COCCN1C[C@H](C(=O)Nc2cc([O-])c(F)cc2F)CC1=O ZINC000824412454 759178047 /nfs/dbraw/zinc/17/80/47/759178047.db2.gz UHBSNQKKWOUOMW-MRVPVSSYSA-N -1 1 314.288 1.104 20 0 DDADMM COCCN1C[C@@H](C(=O)Nc2cc([O-])c(F)cc2F)CC1=O ZINC000824412455 759178793 /nfs/dbraw/zinc/17/87/93/759178793.db2.gz UHBSNQKKWOUOMW-QMMMGPOBSA-N -1 1 314.288 1.104 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018730655 759197566 /nfs/dbraw/zinc/19/75/66/759197566.db2.gz XMQGQFHRPAQZPZ-RYUDHWBXSA-N -1 1 315.373 1.118 20 0 DDADMM C[C@H](N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1csnn1 ZINC001018755968 759227276 /nfs/dbraw/zinc/22/72/76/759227276.db2.gz IZPCMCFINUYSJU-UWVGGRQHSA-N -1 1 319.390 1.204 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C12CC(C(F)(F)F)(C1)C2 ZINC000827676739 759362339 /nfs/dbraw/zinc/36/23/39/759362339.db2.gz WVXSQTSHVFZRTE-UHFFFAOYSA-N -1 1 303.240 1.237 20 0 DDADMM O=C(c1ncccc1[O-])N1CC2(C1)CCN(C(=O)C1CCC1)C2 ZINC001018962222 759453952 /nfs/dbraw/zinc/45/39/52/759453952.db2.gz KISQKJOFIRQVIM-UHFFFAOYSA-N -1 1 315.373 1.262 20 0 DDADMM CN(C[C@H]1CCN1Cc1cncs1)C(=O)c1ncccc1[O-] ZINC001085561296 759697761 /nfs/dbraw/zinc/69/77/61/759697761.db2.gz IKJQYVYRWJUZLS-LLVKDONJSA-N -1 1 318.402 1.590 20 0 DDADMM O=C(NCC1CN(C(=O)c2ccccc2)C1)c1ncccc1[O-] ZINC001001537483 762944137 /nfs/dbraw/zinc/94/41/37/762944137.db2.gz OKOCCWSDTPLWIG-UHFFFAOYSA-N -1 1 311.341 1.289 20 0 DDADMM CCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530465 763715657 /nfs/dbraw/zinc/71/56/57/763715657.db2.gz NLGSEJFSVFGCJG-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1cc(NC2CC(CNC(=O)c3ncccc3[O-])C2)ncn1 ZINC001051910920 765271267 /nfs/dbraw/zinc/27/12/67/765271267.db2.gz NXVVXAJHZQFPSW-UHFFFAOYSA-N -1 1 313.361 1.506 20 0 DDADMM CC(C)C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052422249 765809567 /nfs/dbraw/zinc/80/95/67/765809567.db2.gz QORCUVASKUUDAB-NWDGAFQWSA-N -1 1 305.378 1.268 20 0 DDADMM Cc1ccc2ncc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)nc2c1 ZINC001170213663 766172977 /nfs/dbraw/zinc/17/29/77/766172977.db2.gz OVBLMHRUBPZGIQ-UHFFFAOYSA-N -1 1 309.289 1.711 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(=O)n(C4CCC4)c3)c2[nH]1 ZINC001170219946 766177609 /nfs/dbraw/zinc/17/76/09/766177609.db2.gz PVCKGAWOTTWXPK-UHFFFAOYSA-N -1 1 314.305 1.346 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccccc3-c3ncc[nH]3)c2[nH]1 ZINC001170219212 766177864 /nfs/dbraw/zinc/17/78/64/766177864.db2.gz LHXNLCSNTPIORM-UHFFFAOYSA-N -1 1 309.289 1.849 20 0 DDADMM Cc1nccc(N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001058147304 766273291 /nfs/dbraw/zinc/27/32/91/766273291.db2.gz DPUDQVLNBAZCSO-LBPRGKRZSA-N -1 1 313.361 1.284 20 0 DDADMM Cc1cc(N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)nc(C)n1 ZINC001058343873 766435993 /nfs/dbraw/zinc/43/59/93/766435993.db2.gz KUTBBCRFDFZUEA-GFCCVEGCSA-N -1 1 313.361 1.203 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])c1ncccn1 ZINC001067755148 766680050 /nfs/dbraw/zinc/68/00/50/766680050.db2.gz TXWTWOSGGVULFX-LBPRGKRZSA-N -1 1 313.361 1.318 20 0 DDADMM CCC[C@H](C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070567279 768582997 /nfs/dbraw/zinc/58/29/97/768582997.db2.gz QJKWFHRCGFPCDD-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071951965 770334307 /nfs/dbraw/zinc/33/43/07/770334307.db2.gz GGQNGUSVLSFEPF-QJJZASRKSA-N -1 1 303.362 1.330 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)/C=C/C1CC1 ZINC001071952515 770337759 /nfs/dbraw/zinc/33/77/59/770337759.db2.gz NHWBADUXCHTBRB-BKVNPXPRSA-N -1 1 315.373 1.330 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)/C=C/C1CC1 ZINC001071952517 770337934 /nfs/dbraw/zinc/33/79/34/770337934.db2.gz NHWBADUXCHTBRB-VKYBYORPSA-N -1 1 315.373 1.330 20 0 DDADMM CCCCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071953405 770340104 /nfs/dbraw/zinc/34/01/04/770340104.db2.gz YYXSMUXCYXYNQR-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM CC/C(C)=C\C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001072031917 770452747 /nfs/dbraw/zinc/45/27/47/770452747.db2.gz MJRNGUCZSMVSSN-WDSMJVJCSA-N -1 1 317.389 1.720 20 0 DDADMM COC(=O)c1nc(C(=O)[N-]c2n[nH]c(-c3ccco3)n2)cs1 ZINC001134127753 770670647 /nfs/dbraw/zinc/67/06/47/770670647.db2.gz BHEXTPQUGSRQGT-UHFFFAOYSA-N -1 1 319.302 1.560 20 0 DDADMM O=C(NC[C@@H](Nc1cnc(F)cn1)C1CC1)c1ncccc1[O-] ZINC001096641288 771365762 /nfs/dbraw/zinc/36/57/62/771365762.db2.gz YREQDHCGBYFOOT-SNVBAGLBSA-N -1 1 317.324 1.337 20 0 DDADMM CC(C)(Br)C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001144559171 772564727 /nfs/dbraw/zinc/56/47/27/772564727.db2.gz AQIZCQUBZVIUNF-UHFFFAOYSA-N -1 1 300.116 1.171 20 0 DDADMM O=C(CCn1cccc1)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001147000626 772997977 /nfs/dbraw/zinc/99/79/77/772997977.db2.gz LGZCHDIDUIMXDT-UHFFFAOYSA-N -1 1 312.333 1.609 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2c([O-])c(C)ncc2CO)c[nH]1 ZINC001147834409 773260737 /nfs/dbraw/zinc/26/07/37/773260737.db2.gz SWFBJYZYNWKMDP-UHFFFAOYSA-N -1 1 319.317 1.345 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC(=O)[C@H]3CCCC[C@@H]32)c1[O-] ZINC001147834379 773260947 /nfs/dbraw/zinc/26/09/47/773260947.db2.gz RJZCHDGLQGGDOC-STQMWFEESA-N -1 1 318.373 1.562 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2ccc3c(c2)nnn3C)c1[O-] ZINC001147839606 773263951 /nfs/dbraw/zinc/26/39/51/773263951.db2.gz DEVLTICCQPJAIL-UHFFFAOYSA-N -1 1 313.317 1.122 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cccc3c2COC3)c1[O-] ZINC001147843292 773266496 /nfs/dbraw/zinc/26/64/96/773266496.db2.gz RUXHHQRUHZPTJX-UHFFFAOYSA-N -1 1 300.314 1.870 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCCOC(C)C)cc2)[n-]1 ZINC001148326330 773436397 /nfs/dbraw/zinc/43/63/97/773436397.db2.gz LHPVOFHWIGZOQP-UHFFFAOYSA-N -1 1 303.362 1.954 20 0 DDADMM CC[C@](C)(CC(=O)OC)NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001148925083 773651522 /nfs/dbraw/zinc/65/15/22/773651522.db2.gz ZOGHUOCLYWAATK-MRXNPFEDSA-N -1 1 307.346 1.975 20 0 DDADMM O=C(NCc1cncnc1)c1cc([O-])c(Br)c(O)c1 ZINC001148990161 773666328 /nfs/dbraw/zinc/66/63/28/773666328.db2.gz PDSXGPCOZWNLMG-UHFFFAOYSA-N -1 1 324.134 1.580 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1CCC1(C)C ZINC001074817652 774164531 /nfs/dbraw/zinc/16/45/31/774164531.db2.gz JTSRTZHHQMXSJZ-AXFHLTTASA-N -1 1 307.398 1.026 20 0 DDADMM CC1(CC(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001036776023 774575370 /nfs/dbraw/zinc/57/53/70/774575370.db2.gz FRMWJPBGRGUKES-NEPJUHHUSA-N -1 1 319.409 1.123 20 0 DDADMM CN(C)c1nc(Nc2ccc(S(C)(=O)=O)cc2)cc(=O)[n-]1 ZINC001173941716 777377626 /nfs/dbraw/zinc/37/76/26/777377626.db2.gz UKUIFQBAMQDIFC-UHFFFAOYSA-N -1 1 308.363 1.395 20 0 DDADMM O=C1[N-]S(=O)(=O)c2cc(Nc3ccc4nccn4c3)ccc21 ZINC001174277401 777452046 /nfs/dbraw/zinc/45/20/46/777452046.db2.gz DLBBZFVFLDMRGB-UHFFFAOYSA-N -1 1 314.326 1.510 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3nccnc3c2)c1 ZINC001175493110 777803783 /nfs/dbraw/zinc/80/37/83/777803783.db2.gz WBCYNAGRFHXVLJ-UHFFFAOYSA-N -1 1 315.358 1.595 20 0 DDADMM CC(=O)[N-]S(=O)(=O)c1ccc(NC2=CCCN(C)C2)cc1 ZINC001175575883 777847959 /nfs/dbraw/zinc/84/79/59/777847959.db2.gz CXFYCZLSOPPGOS-UHFFFAOYSA-N -1 1 309.391 1.143 20 0 DDADMM CCC(C)(C)C(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203351330 778059699 /nfs/dbraw/zinc/05/96/99/778059699.db2.gz UUFOROHTEZEYHJ-WDEREUQCSA-N -1 1 321.425 1.464 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001176602731 778201420 /nfs/dbraw/zinc/20/14/20/778201420.db2.gz SVLXQJFJJARFHT-WQLSENKSSA-N -1 1 300.366 1.683 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1cc(F)ncn1 ZINC001103068378 778615714 /nfs/dbraw/zinc/61/57/14/778615714.db2.gz CWJWKWHUDAVSHZ-VIFPVBQESA-N -1 1 305.313 1.337 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)[C@H](c1ccccn1)C1CC1 ZINC001178245083 778949732 /nfs/dbraw/zinc/94/97/32/778949732.db2.gz ZWNWSVSLMQFOKK-ZDUSSCGKSA-N -1 1 312.306 1.649 20 0 DDADMM CCN(CC)CC(=O)Nc1c(C)cc(C)cc1S(=O)(=O)[O-] ZINC001180390204 779743243 /nfs/dbraw/zinc/74/32/43/779743243.db2.gz ZCIDWLMBXLQIDV-UHFFFAOYSA-N -1 1 314.407 1.830 20 0 DDADMM CNc1nnc(CC(=O)Nc2cc([O-])c(F)cc2F)s1 ZINC001417889344 836833136 /nfs/dbraw/zinc/83/31/36/836833136.db2.gz PXVXRJQHAZXZEJ-UHFFFAOYSA-N -1 1 300.290 1.745 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NCc2nnc(C3CC3)s2)n[n-]1 ZINC001414166500 838228853 /nfs/dbraw/zinc/22/88/53/838228853.db2.gz PAJQCUJPWJEMOJ-SSDOTTSWSA-N -1 1 322.394 1.561 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2nnc(C3CC3)s2)n1 ZINC001414166500 838228862 /nfs/dbraw/zinc/22/88/62/838228862.db2.gz PAJQCUJPWJEMOJ-SSDOTTSWSA-N -1 1 322.394 1.561 20 0 DDADMM CCC1(C(=O)N2CCC(CN(C)Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001029992414 844380324 /nfs/dbraw/zinc/38/03/24/844380324.db2.gz XMPDKVKEQCNJMS-UHFFFAOYSA-N -1 1 321.425 1.371 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ccncc2)cc1C ZINC001414184739 838995438 /nfs/dbraw/zinc/99/54/38/838995438.db2.gz BUNAEQVJZNGYDY-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM CC/C(C)=C/C(=O)N1CCC[C@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001268183778 839808692 /nfs/dbraw/zinc/80/86/92/839808692.db2.gz FVJKRTIEHCTLIL-UAIPSJAGSA-N -1 1 321.425 1.583 20 0 DDADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C(F)=C1CCCC1 ZINC001269060573 841163153 /nfs/dbraw/zinc/16/31/53/841163153.db2.gz ILKWNWLKDXXFKL-NSHDSACASA-N -1 1 323.372 1.292 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)Cc1ccno1)c1ccc(C)o1 ZINC001414257486 842010423 /nfs/dbraw/zinc/01/04/23/842010423.db2.gz NTZGYYPKBUHEBI-LLVKDONJSA-N -1 1 300.336 1.383 20 0 DDADMM NC(=O)[C@]12C[C@H]1CCN2C(=O)c1ccc2sccc2c1[O-] ZINC001269991216 842205375 /nfs/dbraw/zinc/20/53/75/842205375.db2.gz YYJAXQGOPBRBCJ-GLEZIHRCSA-N -1 1 302.355 1.697 20 0 DDADMM CCCCCC(=O)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001270095146 842292354 /nfs/dbraw/zinc/29/23/54/842292354.db2.gz NQFXUVPFAMLYNM-UHFFFAOYSA-N -1 1 323.441 1.809 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCc2sccc2C)[n-]n1 ZINC001363935422 842873313 /nfs/dbraw/zinc/87/33/13/842873313.db2.gz JFOCKIMZTWLQRQ-UHFFFAOYSA-N -1 1 315.376 1.045 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCc2sccc2C)n[n-]1 ZINC001363935422 842873315 /nfs/dbraw/zinc/87/33/15/842873315.db2.gz JFOCKIMZTWLQRQ-UHFFFAOYSA-N -1 1 315.376 1.045 20 0 DDADMM CN1CC2(CCC1=O)CCN(C(=O)c1ccc(F)c(=O)[n-]1)CC2 ZINC001271741975 843830279 /nfs/dbraw/zinc/83/02/79/843830279.db2.gz GXENIDOOCMGHHB-UHFFFAOYSA-N -1 1 321.352 1.401 20 0 DDADMM O=C([O-])[C@]1(C(=O)N2CCN(C3CCOCC3)CC2)CC=CCC1 ZINC001326605348 861474766 /nfs/dbraw/zinc/47/47/66/861474766.db2.gz AASFGKLXEOTPJC-QGZVFWFLSA-N -1 1 322.405 1.121 20 0 DDADMM CN1CC[C@]2(CCN(C(=O)c3c(F)ccc([O-])c3F)C2)C1=O ZINC001272245997 844870019 /nfs/dbraw/zinc/87/00/19/844870019.db2.gz AOMSPJFPJWIIRK-OAHLLOKOSA-N -1 1 310.300 1.365 20 0 DDADMM CCC(CC)(CC)C(=O)N[C@H](C)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001409417371 845074009 /nfs/dbraw/zinc/07/40/09/845074009.db2.gz UKTRLKNZIJNKCE-WDEREUQCSA-N -1 1 311.430 1.710 20 0 DDADMM CC(C)[C@H](CNC(=O)CC1CC1)NC(=O)c1ncccc1[O-] ZINC001409832049 845872865 /nfs/dbraw/zinc/87/28/65/845872865.db2.gz CUFHTMBTNGMQFD-LBPRGKRZSA-N -1 1 305.378 1.458 20 0 DDADMM CC(C)NC(=O)N1C[C@H]2C[C@@H](C1)N(C(=O)c1cncc([O-])c1)C2 ZINC001272672288 846562763 /nfs/dbraw/zinc/56/27/63/846562763.db2.gz HVVBINNTHZWSOA-YPMHNXCESA-N -1 1 318.377 1.052 20 0 DDADMM COc1ccc2cc(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)[nH]c2c1 ZINC001149576009 861723033 /nfs/dbraw/zinc/72/30/33/861723033.db2.gz JCFOPJUSSKMFIO-UHFFFAOYSA-N -1 1 324.300 1.801 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2Cc1cccnc1 ZINC001032370227 847207341 /nfs/dbraw/zinc/20/73/41/847207341.db2.gz OLGBCERFVAJPDB-KBPBESRZSA-N -1 1 310.357 1.281 20 0 DDADMM CC(C)[C@H](C)C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001409983216 848400082 /nfs/dbraw/zinc/40/00/82/848400082.db2.gz OUKDBVFIWUXTDN-LBPRGKRZSA-N -1 1 307.394 1.610 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1C[C@@H](CO)CC(F)(F)C1 ZINC001155261391 861907912 /nfs/dbraw/zinc/90/79/12/861907912.db2.gz PZOMOEGDQMJDHW-QMMMGPOBSA-N -1 1 311.288 1.358 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)c1cnccc1C ZINC001364804133 848755390 /nfs/dbraw/zinc/75/53/90/848755390.db2.gz DOQOJYHOLXCSQG-VIFPVBQESA-N -1 1 313.404 1.895 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)C1C(C)(C)C1(C)C ZINC001273312271 849394195 /nfs/dbraw/zinc/39/41/95/849394195.db2.gz XZYBUVLSPDDWBZ-UHFFFAOYSA-N -1 1 309.414 1.131 20 0 DDADMM C[C@H](C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001410711925 849673360 /nfs/dbraw/zinc/67/33/60/849673360.db2.gz UKCXMOVQAXWVME-JTQLQIEISA-N -1 1 303.362 1.212 20 0 DDADMM CC[C@H](F)C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001411332103 850841756 /nfs/dbraw/zinc/84/17/56/850841756.db2.gz XPFFNWICUGOPOZ-ILDUYXDCSA-N -1 1 309.341 1.160 20 0 DDADMM CN1CCN(c2cccc([N-]S(=O)(=O)C(F)(F)F)c2)CC1 ZINC001252347285 851550993 /nfs/dbraw/zinc/55/09/93/851550993.db2.gz RCJUJGLKYTUSLD-UHFFFAOYSA-N -1 1 323.340 1.700 20 0 DDADMM CCSCCC(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001299343374 851809491 /nfs/dbraw/zinc/80/94/91/851809491.db2.gz XAUAMPVCAJLBPS-UHFFFAOYSA-N -1 1 323.418 1.213 20 0 DDADMM Cn1cnnc1[C@H]1CCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001411640814 853504114 /nfs/dbraw/zinc/50/41/14/853504114.db2.gz JTDNKOMHOQTCHO-JTQLQIEISA-N -1 1 304.325 1.680 20 0 DDADMM COc1cc(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)nn1C ZINC001412031835 854130454 /nfs/dbraw/zinc/13/04/54/854130454.db2.gz LWBDAFHTKYZEQG-UHFFFAOYSA-N -1 1 321.337 1.359 20 0 DDADMM CC1(C)Oc2ccsc2[C@@H](NC(=O)c2ccc([O-])cn2)[C@@H]1O ZINC001412227360 854347345 /nfs/dbraw/zinc/34/73/45/854347345.db2.gz JYROLBAGGMLGJY-YPMHNXCESA-N -1 1 320.370 1.852 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cnc3n2CCC3)[n-]c1Cl ZINC001412300705 854422696 /nfs/dbraw/zinc/42/26/96/854422696.db2.gz MBIHASVLNNNAPI-UHFFFAOYSA-N -1 1 323.740 1.635 20 0 DDADMM CSc1ncc(C(=O)N2C[C@@H](C)OC3(CCC3)C2)c(=O)[n-]1 ZINC001412321820 854439785 /nfs/dbraw/zinc/43/97/85/854439785.db2.gz MGAQOAQIJWKOHH-SECBINFHSA-N -1 1 309.391 1.688 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC[C@H](OCC(F)(F)F)C1 ZINC001412433957 854545376 /nfs/dbraw/zinc/54/53/76/854545376.db2.gz XLSVYVRYHZGADF-JTQLQIEISA-N -1 1 304.268 1.971 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ocnc1C(F)(F)F ZINC001412524514 854656057 /nfs/dbraw/zinc/65/60/57/854656057.db2.gz ADODUKDNRRXTEM-UHFFFAOYSA-N -1 1 318.259 1.505 20 0 DDADMM COc1cccc(CCC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001412543090 854691042 /nfs/dbraw/zinc/69/10/42/854691042.db2.gz NYGMUBAUDSIRES-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM C[C@@H]1C[C@H](C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)[C@H](C)O1 ZINC001412569504 854737398 /nfs/dbraw/zinc/73/73/98/854737398.db2.gz FONVMCKJRSZTIL-NRUUGDAUSA-N -1 1 317.349 1.531 20 0 DDADMM O=C(N[C@H]1CC[C@@H](O)[C@@H](O)C1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001412710634 854994429 /nfs/dbraw/zinc/99/44/29/854994429.db2.gz YEJFYDGXYBEVPG-XRNSZHNASA-N -1 1 319.279 1.415 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cccc2nncn21 ZINC001156061173 862686738 /nfs/dbraw/zinc/68/67/38/862686738.db2.gz HOHBKAHCJVQYST-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)Cc2ccccn2)c(=O)[n-]1 ZINC001413203181 856543088 /nfs/dbraw/zinc/54/30/88/856543088.db2.gz RMDRULNVUIHDNH-VIFPVBQESA-N -1 1 304.375 1.660 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])cn2)C[C@@H](CC(F)(F)F)O1 ZINC001413314078 856634221 /nfs/dbraw/zinc/63/42/21/856634221.db2.gz AEJJQCUIPFJCNK-WCBMZHEXSA-N -1 1 304.268 1.969 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC2([C@H](O)C(C)C)CC2)sn1 ZINC001413323451 856651675 /nfs/dbraw/zinc/65/16/75/856651675.db2.gz RFQMXMHSCCDYCQ-LLVKDONJSA-N -1 1 320.436 1.227 20 0 DDADMM O=S(=O)(C[C@@H]1CC[C@H](C(F)(F)F)O1)[N-]C(CF)CF ZINC001413434512 856806378 /nfs/dbraw/zinc/80/63/78/856806378.db2.gz UPJDKWHPXVRXTM-JGVFFNPUSA-N -1 1 311.272 1.323 20 0 DDADMM O=C(NC[C@H]1CCN(CC(F)F)C1)c1cccc([O-])c1F ZINC001413476070 856861996 /nfs/dbraw/zinc/86/19/96/856861996.db2.gz WPAVRRBNGGLSMV-SECBINFHSA-N -1 1 302.296 1.848 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC[C@H](c2ncon2)C1 ZINC001413551477 857048841 /nfs/dbraw/zinc/04/88/41/857048841.db2.gz GBSWWQSJPXDFKM-QMMMGPOBSA-N -1 1 321.362 1.225 20 0 DDADMM CC1(C)C[C@H](NC(=O)CNC(=O)c2ncccc2[O-])CCS1 ZINC001413668711 857308669 /nfs/dbraw/zinc/30/86/69/857308669.db2.gz GKVZCVVENIBGMM-SNVBAGLBSA-N -1 1 323.418 1.307 20 0 DDADMM Cc1cccc(-c2noc(-c3nc(Br)n[n-]3)n2)n1 ZINC001213002066 862929046 /nfs/dbraw/zinc/92/90/46/862929046.db2.gz MLIHQYWLIIYWFQ-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM Cc1cccc(-c2noc(-c3n[n-]c(Br)n3)n2)n1 ZINC001213002066 862929052 /nfs/dbraw/zinc/92/90/52/862929052.db2.gz MLIHQYWLIIYWFQ-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)[C@@H](C)c1cccs1 ZINC001121769280 858592187 /nfs/dbraw/zinc/59/21/87/858592187.db2.gz HOXMDXRQISPESA-QMMMGPOBSA-N -1 1 304.383 1.737 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)[C@@H](C)c1cccs1 ZINC001121769280 858592183 /nfs/dbraw/zinc/59/21/83/858592183.db2.gz HOXMDXRQISPESA-QMMMGPOBSA-N -1 1 304.383 1.737 20 0 DDADMM CC[C@@H](C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001381265000 881399612 /nfs/dbraw/zinc/39/96/12/881399612.db2.gz LVZHOHRKCFQXJO-DGCLKSJQSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(c1ccc2ccccc2n1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123911170 859468051 /nfs/dbraw/zinc/46/80/51/859468051.db2.gz BLSZQPVJDDNCFN-GFCCVEGCSA-N -1 1 322.372 1.843 20 0 DDADMM O=C(c1ccccc1F)N1CCN(Cc2ncccc2[O-])CC1 ZINC001138392986 860088639 /nfs/dbraw/zinc/08/86/39/860088639.db2.gz GGBYIXSTVVEDJC-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM CN(C(=O)c1ccc([O-])cn1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC001362147229 883151244 /nfs/dbraw/zinc/15/12/44/883151244.db2.gz LUVWRUHPNKZBLL-JTQLQIEISA-N -1 1 317.267 1.022 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(Br)cnc1F ZINC001141578541 860892185 /nfs/dbraw/zinc/89/21/85/860892185.db2.gz SBLFIHSEGQBBRL-UHFFFAOYSA-N -1 1 315.102 1.973 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccnc2cccnc21 ZINC001154303706 860968366 /nfs/dbraw/zinc/96/83/66/860968366.db2.gz RJUSGTPYJRKEEM-UHFFFAOYSA-N -1 1 312.245 1.481 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@]23C[C@@H]2CCCC3)c1 ZINC001152169798 863197932 /nfs/dbraw/zinc/19/79/32/863197932.db2.gz ZLOIHXFEYKXATC-XPTSAGLGSA-N -1 1 310.375 1.558 20 0 DDADMM O=C(NCc1cc[nH]c(=O)c1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152680277 863487440 /nfs/dbraw/zinc/48/74/40/863487440.db2.gz MQILQALKWCHCKM-UHFFFAOYSA-N -1 1 311.297 1.677 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](CO)C1)c1cnc(Cl)cc1Cl ZINC001329859638 863903263 /nfs/dbraw/zinc/90/32/63/863903263.db2.gz QEQQSSOWALPKCW-KNVOCYPGSA-N -1 1 311.190 1.438 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc3ncccc3o2)n1 ZINC001153691013 864021138 /nfs/dbraw/zinc/02/11/38/864021138.db2.gz GXWHVCXEKHWGPP-UHFFFAOYSA-N -1 1 300.274 1.980 20 0 DDADMM Cc1cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)sc1C=O ZINC001153810971 864123284 /nfs/dbraw/zinc/12/32/84/864123284.db2.gz FWGVQZIWCIEEIB-UHFFFAOYSA-N -1 1 307.379 1.681 20 0 DDADMM O=C(Nc1noc2cccnc21)c1cnc2cccnc2c1[O-] ZINC001153854180 864162073 /nfs/dbraw/zinc/16/20/73/864162073.db2.gz OZSSAUIWSOSZOM-UHFFFAOYSA-N -1 1 307.269 1.712 20 0 DDADMM O=C(Nc1ccc2[nH]c(=O)[nH]c2c1)c1cnc2cccnc2c1[O-] ZINC001153857426 864166496 /nfs/dbraw/zinc/16/64/96/864166496.db2.gz NHBRGVDSLCVPRQ-UHFFFAOYSA-N -1 1 321.296 1.757 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C/C=C\C2CC2)c1C(F)(F)F ZINC001330385917 864294767 /nfs/dbraw/zinc/29/47/67/864294767.db2.gz NYJKEMNAPLGZGI-IHWYPQMZSA-N -1 1 309.313 1.683 20 0 DDADMM CC(C)(F)CNC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001330443639 864349760 /nfs/dbraw/zinc/34/97/60/864349760.db2.gz BARPOSRBKFQHPM-UHFFFAOYSA-N -1 1 302.733 1.526 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)[C@H]1CCN(c2ccccc2)C1 ZINC001330664795 864520324 /nfs/dbraw/zinc/52/03/24/864520324.db2.gz LQQWWZQSXROFLB-ZDUSSCGKSA-N -1 1 320.389 1.902 20 0 DDADMM COC(=O)c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)nc1C ZINC001159197917 865160212 /nfs/dbraw/zinc/16/02/12/865160212.db2.gz FAZTVZFFDBNEJI-UHFFFAOYSA-N -1 1 300.278 1.292 20 0 DDADMM Cc1cc2c(c(NCc3cccc4ccnn43)n1)C(=O)[N-]C2=O ZINC001159618562 865432509 /nfs/dbraw/zinc/43/25/09/865432509.db2.gz ZNVNJTFRIJJUEO-UHFFFAOYSA-N -1 1 307.313 1.533 20 0 DDADMM COC(=O)/C=C/[C@H](C)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC001159781926 865519224 /nfs/dbraw/zinc/51/92/24/865519224.db2.gz BFTJPYYLVULASV-FLOXNTQESA-N -1 1 321.377 1.636 20 0 DDADMM Cc1cc2c(c(N[C@@](C)(CO)c3ccccc3)n1)C(=O)[N-]C2=O ZINC001160438382 865840799 /nfs/dbraw/zinc/84/07/99/865840799.db2.gz DUYNFYYTQFCVGF-KRWDZBQOSA-N -1 1 311.341 1.593 20 0 DDADMM CC(=O)OC[C@@H](COc1cc([O-])ccc1C(C)=O)OC(C)=O ZINC001225617015 881940802 /nfs/dbraw/zinc/94/08/02/881940802.db2.gz SUKDPPMYSXPCRI-ZDUSSCGKSA-N -1 1 310.302 1.468 20 0 DDADMM CCc1cc2c(ccnc2Nc2c(O)[nH]c(=O)[n-]c2=S)o1 ZINC001160857690 866153566 /nfs/dbraw/zinc/15/35/66/866153566.db2.gz IKFKONCSVNVPLM-SECBINFHSA-N -1 1 304.331 1.338 20 0 DDADMM CCOC(=O)[C@H]1CSCCN1C(=O)c1ccc(F)c([O-])c1 ZINC001361586211 881950781 /nfs/dbraw/zinc/95/07/81/881950781.db2.gz AFISMOMZSZCQCQ-LLVKDONJSA-N -1 1 313.350 1.652 20 0 DDADMM CC(C(=O)N[C@H](C)[C@@H](C)NC(=O)c1ncccc1[O-])=C1CCC1 ZINC001333887480 867118459 /nfs/dbraw/zinc/11/84/59/867118459.db2.gz RDEDGUFYHBNZLS-VXGBXAGGSA-N -1 1 317.389 1.911 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@]1(C)C=CCC1 ZINC001334234684 867409338 /nfs/dbraw/zinc/40/93/38/867409338.db2.gz KMFYQUWMJQACEK-YVEFUNNKSA-N -1 1 317.389 1.720 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@@H]1CCC[C@@H]1C(=O)OCC ZINC001225898777 882098626 /nfs/dbraw/zinc/09/86/26/882098626.db2.gz DQBCRZTXFAHVOA-GXSJLCMTSA-N -1 1 324.333 1.470 20 0 DDADMM O=C([N-]CCNc1ncnc2c1CCCCC2)C(F)(F)F ZINC001164245516 869054435 /nfs/dbraw/zinc/05/44/35/869054435.db2.gz ZWGIKFGFCYGDJW-UHFFFAOYSA-N -1 1 302.300 1.836 20 0 DDADMM CN1Cc2c(NCC[N-]C(=O)C(F)(F)F)cccc2NC1=O ZINC001164250839 869059452 /nfs/dbraw/zinc/05/94/52/869059452.db2.gz BSKBXAGHDOOZJM-UHFFFAOYSA-N -1 1 316.283 1.754 20 0 DDADMM COCC1([N-]S(=O)(=O)c2cnc(Cl)cc2Cl)CC1 ZINC001337169099 869360256 /nfs/dbraw/zinc/36/02/56/869360256.db2.gz GUSBODUIMHGDNQ-UHFFFAOYSA-N -1 1 311.190 1.846 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=CC2CCOCC2)n[n-]1 ZINC001166125984 869848553 /nfs/dbraw/zinc/84/85/53/869848553.db2.gz FNLCCFPLFKIOAB-UMCURTJPSA-N -1 1 322.365 1.141 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=CC2CCOCC2)[n-]1 ZINC001166125984 869848568 /nfs/dbraw/zinc/84/85/68/869848568.db2.gz FNLCCFPLFKIOAB-UMCURTJPSA-N -1 1 322.365 1.141 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)/C=C\C2CCOCC2)n1 ZINC001166125984 869848580 /nfs/dbraw/zinc/84/85/80/869848580.db2.gz FNLCCFPLFKIOAB-UMCURTJPSA-N -1 1 322.365 1.141 20 0 DDADMM CN(CCOCC1CC1)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001338716520 870129023 /nfs/dbraw/zinc/12/90/23/870129023.db2.gz CYIQSFMEYNLOLL-UHFFFAOYSA-N -1 1 311.777 1.116 20 0 DDADMM CCCN(Cc1ccc(F)cc1)c1nnc(-c2nnn[n-]2)n1C ZINC001338722415 870133589 /nfs/dbraw/zinc/13/35/89/870133589.db2.gz XWYNZRSOWFHNAS-UHFFFAOYSA-N -1 1 316.344 1.551 20 0 DDADMM CCCN(Cc1ccc(F)cc1)c1nnc(-c2nn[n-]n2)n1C ZINC001338722415 870133596 /nfs/dbraw/zinc/13/35/96/870133596.db2.gz XWYNZRSOWFHNAS-UHFFFAOYSA-N -1 1 316.344 1.551 20 0 DDADMM COC(=O)[C@]1(C)CCCN(c2nc(C)cc3c2C(=O)[N-]C3=O)C1 ZINC001166908944 870359892 /nfs/dbraw/zinc/35/98/92/870359892.db2.gz MNIDJJLIZQEEJD-MRXNPFEDSA-N -1 1 317.345 1.053 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N(C)[C@H]2CCC(=O)N(C)C2)c1 ZINC001298674365 870667677 /nfs/dbraw/zinc/66/76/77/870667677.db2.gz XYMWUGBYMAUQKL-LBPRGKRZSA-N -1 1 304.346 1.206 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cn(-c2ccccc2Cl)nn1 ZINC001298673642 870668595 /nfs/dbraw/zinc/66/85/95/870668595.db2.gz NKIITZZNASHQFB-UHFFFAOYSA-N -1 1 318.724 1.910 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C=Cc1ccc2c(c1)NC(=O)CO2 ZINC001298676254 870670623 /nfs/dbraw/zinc/67/06/23/870670623.db2.gz HZPREMJOLXEXRW-HWKANZROSA-N -1 1 314.301 1.407 20 0 DDADMM Cc1nnc(CCNC(=O)c2cc(C)cc(C=O)c2[O-])s1 ZINC001298823074 870743294 /nfs/dbraw/zinc/74/32/94/870743294.db2.gz YUFFZUAQFRBERG-UHFFFAOYSA-N -1 1 305.359 1.646 20 0 DDADMM CC(C)[C@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001361826355 882444713 /nfs/dbraw/zinc/44/47/13/882444713.db2.gz OTVKUNIMMIDTKF-GWCFXTLKSA-N -1 1 323.418 1.463 20 0 DDADMM CC(C)[C@@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001361826363 882445850 /nfs/dbraw/zinc/44/58/50/882445850.db2.gz OTVKUNIMMIDTKF-MFKMUULPSA-N -1 1 323.418 1.463 20 0 DDADMM O=C(Cc1ccc2n[nH]cc2c1)N1CCC(c2nn[n-]n2)CC1 ZINC001302753502 871081224 /nfs/dbraw/zinc/08/12/24/871081224.db2.gz RYYDUCURDLQKJS-UHFFFAOYSA-N -1 1 311.349 1.025 20 0 DDADMM O=C(c1ccc2cnccc2n1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001303596965 871143777 /nfs/dbraw/zinc/14/37/77/871143777.db2.gz TVWJRIZFLYJUEW-NSHDSACASA-N -1 1 323.360 1.238 20 0 DDADMM C[C@H](c1ccccc1)[C@H]1CCCN1c1nnc(-c2nnn[n-]2)n1C ZINC001341255617 871581466 /nfs/dbraw/zinc/58/14/66/871581466.db2.gz SBPWDUAZBHRLBD-DGCLKSJQSA-N -1 1 324.392 1.768 20 0 DDADMM C[C@H](c1ccccc1)[C@H]1CCCN1c1nnc(-c2nn[n-]n2)n1C ZINC001341255617 871581473 /nfs/dbraw/zinc/58/14/73/871581473.db2.gz SBPWDUAZBHRLBD-DGCLKSJQSA-N -1 1 324.392 1.768 20 0 DDADMM O=C(Nc1cccc2c1ccn2C(F)F)NN1CC(=O)[N-]C1=O ZINC001310979460 871666407 /nfs/dbraw/zinc/66/64/07/871666407.db2.gz BKLWLZQAUYEHNH-UHFFFAOYSA-N -1 1 323.259 1.625 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N1CCc2sccc2C1 ZINC001341772005 871817124 /nfs/dbraw/zinc/81/71/24/871817124.db2.gz OORJZKKBGKTIDK-UHFFFAOYSA-N -1 1 318.362 1.266 20 0 DDADMM Cc1ccc2c(c1)CN(c1nnc(-c3noc(=O)[n-]3)n1C)CC2 ZINC001341865821 871868195 /nfs/dbraw/zinc/86/81/95/871868195.db2.gz IJPXCIUVXGDWSK-UHFFFAOYSA-N -1 1 312.333 1.030 20 0 DDADMM CSc1ccc([O-])c(C(=O)Nc2ccn(CC(N)=O)n2)c1 ZINC001361893956 882591438 /nfs/dbraw/zinc/59/14/38/882591438.db2.gz NZXFXCXWYNQGJV-UHFFFAOYSA-N -1 1 306.347 1.048 20 0 DDADMM CCN(CCCNC(=O)C1CC(C)(C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001316891146 872250792 /nfs/dbraw/zinc/25/07/92/872250792.db2.gz YPMURDFWAFVZLB-UHFFFAOYSA-N -1 1 309.414 1.275 20 0 DDADMM O=C(NCc1ccnc(OCC2CC2)c1)c1cnncc1[O-] ZINC001361915203 882631789 /nfs/dbraw/zinc/63/17/89/882631789.db2.gz ZRSGHRCZXZUDRC-UHFFFAOYSA-N -1 1 300.318 1.296 20 0 DDADMM Cn1cnnc1CCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001361939604 882677210 /nfs/dbraw/zinc/67/72/10/882677210.db2.gz DJJCYPUKPMUPCY-UHFFFAOYSA-N -1 1 314.267 1.512 20 0 DDADMM NC(=O)CCOc1ccccc1NC(=O)c1ccc([O-])cn1 ZINC001361943556 882683586 /nfs/dbraw/zinc/68/35/86/882683586.db2.gz VNGYWIBWNJNLNR-UHFFFAOYSA-N -1 1 301.302 1.294 20 0 DDADMM CN(CC(=O)NCc1cccs1)C(=O)c1cccc([O-])c1F ZINC001361951911 882697540 /nfs/dbraw/zinc/69/75/40/882697540.db2.gz XWIVNADCDAWEJR-UHFFFAOYSA-N -1 1 322.361 1.981 20 0 DDADMM Cc1ccccc1[C@H](C)Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c21 ZINC001226888802 882704793 /nfs/dbraw/zinc/70/47/93/882704793.db2.gz VGZVZMDGGBSOTD-QMMMGPOBSA-N -1 1 314.301 1.985 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1cc2n(n1)CCCC2 ZINC001347291215 874086780 /nfs/dbraw/zinc/08/67/80/874086780.db2.gz MAFWVDUOCHJZKJ-UHFFFAOYSA-N -1 1 319.390 1.242 20 0 DDADMM O=C(CCOCc1ccccc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347973897 874325413 /nfs/dbraw/zinc/32/54/13/874325413.db2.gz GZJBSVJRRYBAGI-CYBMUJFWSA-N -1 1 301.350 1.123 20 0 DDADMM CC[C@@H](CNC(=O)[C@H](C)c1cccs1)NCc1n[nH]c(=O)[n-]1 ZINC001378292430 874627285 /nfs/dbraw/zinc/62/72/85/874627285.db2.gz JSSVEZGKQSAQOO-ZJUUUORDSA-N -1 1 323.422 1.360 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCCc2n[nH]cc2C1 ZINC001348887865 874855870 /nfs/dbraw/zinc/85/58/70/874855870.db2.gz PRBKIVZBQAUGRM-UHFFFAOYSA-N -1 1 305.363 1.091 20 0 DDADMM CC(C)[C@@H](O)CC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC001209779143 875117895 /nfs/dbraw/zinc/11/78/95/875117895.db2.gz GXBHEEGZBABMLK-QMMMGPOBSA-N -1 1 310.778 1.559 20 0 DDADMM CC(=O)c1ccc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cn1 ZINC001210271839 875304082 /nfs/dbraw/zinc/30/40/82/875304082.db2.gz IEOBYBKFNABNNO-UHFFFAOYSA-N -1 1 302.294 1.987 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C(F)(F)F)n[nH]1)c1nn[n-]n1 ZINC001362069880 882958517 /nfs/dbraw/zinc/95/85/17/882958517.db2.gz OOESCICGJGOFRL-YFKPBYRVSA-N -1 1 303.248 1.213 20 0 DDADMM CSc1ncc(C(=O)NC[C@]2(C)CCCC[C@@H]2O)c(=O)[n-]1 ZINC001362069641 882959071 /nfs/dbraw/zinc/95/90/71/882959071.db2.gz MLSHASCLUNOPNK-HZMBPMFUSA-N -1 1 311.407 1.575 20 0 DDADMM O=C([O-])c1cn(CCNCc2cccc(OC3CC3)c2F)nn1 ZINC001350668516 875820877 /nfs/dbraw/zinc/82/08/77/875820877.db2.gz RPLZHSGVYSUYQR-UHFFFAOYSA-N -1 1 320.324 1.446 20 0 DDADMM CO[C@H]1CCCN(c2nnc(-c3cc(Cl)ncc3[O-])n2C)C1 ZINC001350779273 875885722 /nfs/dbraw/zinc/88/57/22/875885722.db2.gz PENWWZKVSPXFNG-VIFPVBQESA-N -1 1 323.784 1.851 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@@H](Oc2[n-]c(=S)ncc2F)CO1 ZINC001227383283 882991200 /nfs/dbraw/zinc/99/12/00/882991200.db2.gz MERHNCVNTIHNHR-APPZFPTMSA-N -1 1 302.327 1.394 20 0 DDADMM O=c1[nH]c2[n-]c(OC[C@H]3CC[C@]4(CCCCO4)O3)nc2c(=O)[nH]1 ZINC001227429435 883007422 /nfs/dbraw/zinc/00/74/22/883007422.db2.gz CNIYWWVQCQHRTJ-CLAHSXSESA-N -1 1 322.321 1.219 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)c1ccsc1 ZINC001351406008 876233167 /nfs/dbraw/zinc/23/31/67/876233167.db2.gz FKBOQNFBUZNXNF-UHFFFAOYSA-N -1 1 319.386 1.741 20 0 DDADMM CCC[C@H](C)C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001351405750 876233807 /nfs/dbraw/zinc/23/38/07/876233807.db2.gz BUDIESUFFBIECZ-LBPRGKRZSA-N -1 1 307.394 1.802 20 0 DDADMM Cn1ncc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c1-c1ccccc1 ZINC001352585633 876816710 /nfs/dbraw/zinc/81/67/10/876816710.db2.gz PXHQGOIHTIGZTI-LBPRGKRZSA-N -1 1 323.360 1.230 20 0 DDADMM CSc1ncc(C(=O)NCc2ccc3c(c2)COC3)c(=O)[n-]1 ZINC001362113087 883070294 /nfs/dbraw/zinc/07/02/94/883070294.db2.gz XIDAENZATKTVNO-UHFFFAOYSA-N -1 1 317.370 1.864 20 0 DDADMM CC[C@H](CC(C)C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216271804 876907725 /nfs/dbraw/zinc/90/77/25/876907725.db2.gz WSTJTHUFEZMVRO-JHJVBQTASA-N -1 1 323.441 1.519 20 0 DDADMM CSCCC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001374222767 912240634 /nfs/dbraw/zinc/24/06/34/912240634.db2.gz RPGQBYZSNODUST-UHFFFAOYSA-N -1 1 323.418 1.261 20 0 DDADMM CC[C@@H](CNC(=O)[C@H]1CC=CCC1)NC(=O)c1ncccc1[O-] ZINC001356866793 879499214 /nfs/dbraw/zinc/49/92/14/879499214.db2.gz HSBUAHMFHYULOA-STQMWFEESA-N -1 1 317.389 1.768 20 0 DDADMM O=C(NCCCCCO)c1cnc(-c2ccccn2)[n-]c1=O ZINC001221285838 879650035 /nfs/dbraw/zinc/65/00/35/879650035.db2.gz ZWLWSIMSHNJDIG-UHFFFAOYSA-N -1 1 302.334 1.137 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)C1(C)CC=CC1 ZINC001357017740 879712016 /nfs/dbraw/zinc/71/20/16/879712016.db2.gz AXZRMFAVXDNAFF-LBPRGKRZSA-N -1 1 317.389 1.626 20 0 DDADMM CCOC(=O)[C@H](Oc1[n-]c(=O)nc2c1CO[C@H]2C)C(F)(F)F ZINC001227717786 883145219 /nfs/dbraw/zinc/14/52/19/883145219.db2.gz ZPLAZNFJDQREOK-XNCJUZBTSA-N -1 1 322.239 1.646 20 0 DDADMM COCCN(CC(=O)OC)C(=O)c1cc(Cl)ccc1[O-] ZINC001362149820 883157342 /nfs/dbraw/zinc/15/73/42/883157342.db2.gz HZQOVFJYXWEIIX-UHFFFAOYSA-N -1 1 301.726 1.307 20 0 DDADMM C[C@@H]1CN=C(N2CCN(C(=O)c3cccc([O-])c3F)CC2)S1 ZINC001362173314 883208410 /nfs/dbraw/zinc/20/84/10/883208410.db2.gz RNSNPFWRMWLZGK-SNVBAGLBSA-N -1 1 323.393 1.780 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(F)cc(C(=O)OC)c1)c1nn[n-]n1 ZINC001362211247 883308104 /nfs/dbraw/zinc/30/81/04/883308104.db2.gz NPOVDWANXSJLFV-LLVKDONJSA-N -1 1 321.312 1.397 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCCc3c[nH]nc32)c(=O)[n-]1 ZINC001362216359 883322911 /nfs/dbraw/zinc/32/29/11/883322911.db2.gz QIUMDWIBQQTKDU-SECBINFHSA-N -1 1 305.363 1.435 20 0 DDADMM C[C@H]1[C@H](NC(=O)CCCF)CCN1C(=O)c1ncccc1[O-] ZINC001395840215 912705104 /nfs/dbraw/zinc/70/51/04/912705104.db2.gz HBEHOKUEANRVTO-WDEREUQCSA-N -1 1 309.341 1.256 20 0 DDADMM CCCCOC[C@H](C)OC[C@H](C)Oc1nc(C(=O)OC)n[n-]1 ZINC001228315087 883441426 /nfs/dbraw/zinc/44/14/26/883441426.db2.gz WSVWQOGMCWTVCX-QWRGUYRKSA-N -1 1 315.370 1.580 20 0 DDADMM CCCCOC[C@H](C)OC[C@H](C)Oc1n[n-]c(C(=O)OC)n1 ZINC001228315087 883441434 /nfs/dbraw/zinc/44/14/34/883441434.db2.gz WSVWQOGMCWTVCX-QWRGUYRKSA-N -1 1 315.370 1.580 20 0 DDADMM CN(C)c1ccc(NC(=O)c2ccc([O-])cc2F)c(C(N)=O)c1 ZINC001362309643 883535987 /nfs/dbraw/zinc/53/59/87/883535987.db2.gz CWKUMLXMFRFERD-UHFFFAOYSA-N -1 1 317.320 1.949 20 0 DDADMM CCO[C@H]1C[C@@H](NC(=O)c2cnc(SC)[n-]c2=O)C12CCC2 ZINC001362311393 883537656 /nfs/dbraw/zinc/53/76/56/883537656.db2.gz RKHLYABIDSGMQC-MNOVXSKESA-N -1 1 323.418 1.982 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H]2c2nncn2C)c(=O)[n-]1 ZINC001362322856 883562989 /nfs/dbraw/zinc/56/29/89/883562989.db2.gz TZZJXVSDLNSMLT-VIFPVBQESA-N -1 1 320.378 1.010 20 0 DDADMM C[C@H](CN(C)C(=O)C(C)(C)c1cccc(O)c1)c1nn[n-]n1 ZINC001362328966 883575515 /nfs/dbraw/zinc/57/55/15/883575515.db2.gz UNLMPNQXFKLQPM-SNVBAGLBSA-N -1 1 303.366 1.445 20 0 DDADMM COc1ccccc1O[C@@H](C)CNC(=O)CCCc1nn[n-]n1 ZINC001362328007 883575916 /nfs/dbraw/zinc/57/59/16/883575916.db2.gz CYLUQRMTLMHFRM-NSHDSACASA-N -1 1 319.365 1.115 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)CCN1C(=O)c1ccc([O-])cn1 ZINC001362420354 883769105 /nfs/dbraw/zinc/76/91/05/883769105.db2.gz LBCBPPKDWWFZCT-LLVKDONJSA-N -1 1 321.377 1.869 20 0 DDADMM COC(=O)CCCc1csc(NC(=O)c2ccc([O-])cn2)n1 ZINC001362430495 883792795 /nfs/dbraw/zinc/79/27/95/883792795.db2.gz RBGRHBLFAHVYRN-UHFFFAOYSA-N -1 1 321.358 1.992 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCOC[C@H]1[C@@H]1CCCC1=O ZINC001362473586 883893162 /nfs/dbraw/zinc/89/31/62/883893162.db2.gz XFXJSOWYOUJQKN-AAEUAGOBSA-N -1 1 307.321 1.742 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)c1nnc(C)[nH]1 ZINC001362524993 884005081 /nfs/dbraw/zinc/00/50/81/884005081.db2.gz VHKWYHDXINBRIW-LURJTMIESA-N -1 1 308.367 1.130 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cccc(OC)n2)n1 ZINC001362537180 884035521 /nfs/dbraw/zinc/03/55/21/884035521.db2.gz VVNWYHKMJAWJSJ-UHFFFAOYSA-N -1 1 304.306 1.171 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)COC(C)(C)C ZINC001362613169 884220830 /nfs/dbraw/zinc/22/08/30/884220830.db2.gz DOLKLMHTXIOZEQ-UWVGGRQHSA-N -1 1 324.343 1.860 20 0 DDADMM CCn1cnnc1NC(=O)c1cc(C(=O)OC(C)(C)C)n[n-]1 ZINC001362657341 884338927 /nfs/dbraw/zinc/33/89/27/884338927.db2.gz XJJIDHPFPBMGEW-UHFFFAOYSA-N -1 1 306.326 1.229 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)C(=O)c2ccc([O-])cn2)c1 ZINC001362661341 884350479 /nfs/dbraw/zinc/35/04/79/884350479.db2.gz AEDLXMNYYGWTGT-UHFFFAOYSA-N -1 1 300.318 1.201 20 0 DDADMM CCc1nc(C)ncc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001362686950 884414779 /nfs/dbraw/zinc/41/47/79/884414779.db2.gz JQIRFSUNSBPFDV-NSHDSACASA-N -1 1 321.410 1.085 20 0 DDADMM CC(C)(Oc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001362719727 884479618 /nfs/dbraw/zinc/47/96/18/884479618.db2.gz NIGJJUKRFCHXFL-UHFFFAOYSA-N -1 1 303.318 1.362 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)c1ns[nH]c1=O ZINC001362718845 884480159 /nfs/dbraw/zinc/48/01/59/884480159.db2.gz XIXYCVHEMVLFGS-UHFFFAOYSA-N -1 1 316.136 1.964 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H]2[C@@H]2CCCOC2)c(=O)[n-]1 ZINC001362828150 884737734 /nfs/dbraw/zinc/73/77/34/884737734.db2.gz WJWSCCAOVHKYQT-ZYHUDNBSSA-N -1 1 323.418 1.935 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1nc(C2CC2)cs1 ZINC001362830851 884746464 /nfs/dbraw/zinc/74/64/64/884746464.db2.gz MWDDSJYZCQLZRB-UHFFFAOYSA-N -1 1 306.395 1.832 20 0 DDADMM Cc1cc(C)cc(OCC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001362848810 884790893 /nfs/dbraw/zinc/79/08/93/884790893.db2.gz IGADAXFBDWIDFF-UHFFFAOYSA-N -1 1 301.350 1.391 20 0 DDADMM Cn1nnnc1C1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001362863828 884826223 /nfs/dbraw/zinc/82/62/23/884826223.db2.gz YZRWIHKEASEKOS-UHFFFAOYSA-N -1 1 305.313 1.075 20 0 DDADMM C[C@@H](CNC(=O)CC(F)(F)F)N(C)C(=O)c1ncccc1[O-] ZINC001382927889 884975737 /nfs/dbraw/zinc/97/57/37/884975737.db2.gz XUWLFWLQLABZQZ-QMMMGPOBSA-N -1 1 319.283 1.316 20 0 DDADMM CC(C)(C)OC(=O)c1cc(NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)n[nH]1 ZINC001362927687 885010088 /nfs/dbraw/zinc/01/00/88/885010088.db2.gz BIOAMWZRCAFIQC-BDAKNGLRSA-N -1 1 322.365 1.205 20 0 DDADMM Cc1coc(C(=O)N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])c1 ZINC001382950223 885019531 /nfs/dbraw/zinc/01/95/31/885019531.db2.gz GTPJXYJWRBBUQX-NSHDSACASA-N -1 1 317.345 1.579 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CC[C@H]2CCCO2)n[n-]1 ZINC001362934699 885022964 /nfs/dbraw/zinc/02/29/64/885022964.db2.gz KYMZWHRHOKAZNC-NXEZZACHSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CC[C@H]2CCCO2)[n-]1 ZINC001362934699 885022971 /nfs/dbraw/zinc/02/29/71/885022971.db2.gz KYMZWHRHOKAZNC-NXEZZACHSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CC[C@H]2CCCO2)n1 ZINC001362934699 885022980 /nfs/dbraw/zinc/02/29/80/885022980.db2.gz KYMZWHRHOKAZNC-NXEZZACHSA-N -1 1 310.354 1.118 20 0 DDADMM Cc1cnc(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)nc1 ZINC001362940577 885038687 /nfs/dbraw/zinc/03/86/87/885038687.db2.gz LCDQJVJFCMGWMM-UHFFFAOYSA-N -1 1 315.377 1.817 20 0 DDADMM Cc1nc(CNC(=O)c2ccc([O-])cc2F)sc1C(N)=O ZINC001362959597 885088656 /nfs/dbraw/zinc/08/86/56/885088656.db2.gz BUGNDVHSBBWYJV-UHFFFAOYSA-N -1 1 309.322 1.325 20 0 DDADMM C[C@@](CO)(NC(=O)c1cccc([O-])c1F)c1ccc(O)cc1 ZINC001362961069 885092271 /nfs/dbraw/zinc/09/22/71/885092271.db2.gz YXAFTXBNULNVSS-INIZCTEOSA-N -1 1 305.305 1.874 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)c2cc(C(N)=O)cs2)n[nH]1 ZINC001362997734 885186797 /nfs/dbraw/zinc/18/67/97/885186797.db2.gz XLRCXULEBXAROE-UHFFFAOYSA-N -1 1 322.346 1.388 20 0 DDADMM COC1(C(=O)NCc2nc([O-])cc(=O)[nH]2)CCC(F)(F)CC1 ZINC001363042727 885309005 /nfs/dbraw/zinc/30/90/05/885309005.db2.gz YSJGCNUPHLWSAG-UHFFFAOYSA-N -1 1 317.292 1.099 20 0 DDADMM CCc1cc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c(C)nn1 ZINC001363142688 885569668 /nfs/dbraw/zinc/56/96/68/885569668.db2.gz QGCUXUGCLLWYAR-UHFFFAOYSA-N -1 1 319.390 1.495 20 0 DDADMM COc1cnc([C@@H]2CCCN2c2cc(Cl)n[nH]c2=O)[n-]c1=O ZINC001363166446 885624250 /nfs/dbraw/zinc/62/42/50/885624250.db2.gz GOWRLFHKRWZWBC-ZETCQYMHSA-N -1 1 323.740 1.681 20 0 DDADMM O=C(CCSc1ccccc1)NC1(c2nn[n-]n2)CCC1 ZINC001363276626 885887346 /nfs/dbraw/zinc/88/73/46/885887346.db2.gz HIJZBEMSGWMZDJ-UHFFFAOYSA-N -1 1 303.391 1.878 20 0 DDADMM COc1ccc([C@H](C)CC(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC001363330580 886042775 /nfs/dbraw/zinc/04/27/75/886042775.db2.gz VZKIUJUWIGLCMM-SNVBAGLBSA-N -1 1 317.345 1.706 20 0 DDADMM CCn1nc(C)c(CC(=O)N(Cc2nn[n-]n2)CC(C)C)c1C ZINC001363358746 886125073 /nfs/dbraw/zinc/12/50/73/886125073.db2.gz UXADBGXGNULNEU-UHFFFAOYSA-N -1 1 319.413 1.260 20 0 DDADMM C[C@H]1Cc2n[nH]cc2CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001363414744 886273132 /nfs/dbraw/zinc/27/31/32/886273132.db2.gz LOGUKJJUQXASAS-VIFPVBQESA-N -1 1 313.361 1.617 20 0 DDADMM COC(=O)c1cnc([C@H](C)NC(=O)c2ncccc2[O-])s1 ZINC001363449711 886370773 /nfs/dbraw/zinc/37/07/73/886370773.db2.gz QUISDVRQRGCNJL-ZETCQYMHSA-N -1 1 307.331 1.521 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)n[n-]1 ZINC001363477085 886444285 /nfs/dbraw/zinc/44/42/85/886444285.db2.gz WIZZKTICTXHDQN-XXFSISAASA-N -1 1 324.381 1.218 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)[n-]1 ZINC001363477085 886444300 /nfs/dbraw/zinc/44/43/00/886444300.db2.gz WIZZKTICTXHDQN-XXFSISAASA-N -1 1 324.381 1.218 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)n1 ZINC001363477085 886444309 /nfs/dbraw/zinc/44/43/09/886444309.db2.gz WIZZKTICTXHDQN-XXFSISAASA-N -1 1 324.381 1.218 20 0 DDADMM COc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)cc1C1CC1 ZINC001363554445 886623998 /nfs/dbraw/zinc/62/39/98/886623998.db2.gz WMCOERWNAPWQDG-UHFFFAOYSA-N -1 1 313.361 1.895 20 0 DDADMM O=C1CN(Cc2ccc(F)c([O-])c2F)CCN1c1ccccn1 ZINC001232939155 886685374 /nfs/dbraw/zinc/68/53/74/886685374.db2.gz GUCRZLGNGNMHTO-UHFFFAOYSA-N -1 1 319.311 1.914 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2cc([O-])c(F)c(F)c2)CCO1 ZINC001232973275 886700877 /nfs/dbraw/zinc/70/08/77/886700877.db2.gz RQDZZNZRPNVMKF-GFCCVEGCSA-N -1 1 301.289 1.434 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC(=O)NC[C@H]1c1ccccc1 ZINC001363593977 886719953 /nfs/dbraw/zinc/71/99/53/886719953.db2.gz FYEBSSYOVZOHCH-AWEZNQCLSA-N -1 1 314.316 1.845 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1Cc2ccnn2CC[C@H]1CO ZINC001233037256 886744614 /nfs/dbraw/zinc/74/46/14/886744614.db2.gz AHXQIBPCIFODDL-LBPRGKRZSA-N -1 1 308.769 1.404 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](c2cnn(C)c2)C(C)C)c(=O)[n-]1 ZINC001363666756 886925595 /nfs/dbraw/zinc/92/55/95/886925595.db2.gz ZOPONHSLWVSGIU-LLVKDONJSA-N -1 1 321.406 1.765 20 0 DDADMM Cc1cc([C@@H]2CCCCN2C(=O)CSc2n[nH]c(=O)[n-]2)n[nH]1 ZINC001363714325 887050507 /nfs/dbraw/zinc/05/05/07/887050507.db2.gz BKOROIUVZYHUKP-JTQLQIEISA-N -1 1 322.394 1.388 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2ccc(F)c([O-])c2)CS1(=O)=O ZINC001363860248 887416081 /nfs/dbraw/zinc/41/60/81/887416081.db2.gz ZUASOTXMFVKACS-WCBMZHEXSA-N -1 1 301.339 1.227 20 0 DDADMM Cn1cc([C@@H]2CCCN(C(=O)c3ccc([O-])cc3F)C2)nn1 ZINC001363903519 887508791 /nfs/dbraw/zinc/50/87/91/887508791.db2.gz GDAGGIABZJQIFY-SNVBAGLBSA-N -1 1 304.325 1.680 20 0 DDADMM COc1nscc1S(=O)(=O)N[C@@H]1CCC[N@@H+](C(C)C)C1 ZINC001363994816 887687583 /nfs/dbraw/zinc/68/75/83/887687583.db2.gz WOYSZBFAYGIWQR-SNVBAGLBSA-N -1 1 319.452 1.303 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC1(C2(O)CCC2)CC1 ZINC001364050056 887797291 /nfs/dbraw/zinc/79/72/91/887797291.db2.gz QGVOIHCZPLMZLA-UHFFFAOYSA-N -1 1 318.420 1.125 20 0 DDADMM Cc1nc(C(C)C)ccc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001364060787 887825728 /nfs/dbraw/zinc/82/57/28/887825728.db2.gz XEQVVXLGTPRKAX-UHFFFAOYSA-N -1 1 323.378 1.287 20 0 DDADMM Cc1nc(C(C)C)ccc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001364060787 887825738 /nfs/dbraw/zinc/82/57/38/887825738.db2.gz XEQVVXLGTPRKAX-UHFFFAOYSA-N -1 1 323.378 1.287 20 0 DDADMM CC[C@@H](CN1CCOCC1)NC(=O)c1ccc(Cl)cc1[O-] ZINC001364135065 888000259 /nfs/dbraw/zinc/00/02/59/888000259.db2.gz NRAYFAZYLFUNRX-LBPRGKRZSA-N -1 1 312.797 1.886 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@H]2CCc3c2nccc3Cl)c(=O)[n-]1 ZINC001234470397 888000453 /nfs/dbraw/zinc/00/04/53/888000453.db2.gz SBFLNNUTADPUMP-VIFPVBQESA-N -1 1 320.736 1.704 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@@H]2CCc3c2nccc3Cl)c(=O)[n-]1 ZINC001234470396 888002804 /nfs/dbraw/zinc/00/28/04/888002804.db2.gz SBFLNNUTADPUMP-SECBINFHSA-N -1 1 320.736 1.704 20 0 DDADMM CCOC(=O)[C@H](C)Oc1c(=O)[n-]c(C(C)C)nc1C(=O)OC ZINC001234554204 888087457 /nfs/dbraw/zinc/08/74/57/888087457.db2.gz LAALXFLFDXOYHF-QMMMGPOBSA-N -1 1 312.322 1.423 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H](C)c1ccncc1F ZINC001234564687 888104131 /nfs/dbraw/zinc/10/41/31/888104131.db2.gz NPKQNEPSWLOIJB-SSDOTTSWSA-N -1 1 307.281 1.951 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H]1CCC(=O)CC1(C)C ZINC001234565352 888104747 /nfs/dbraw/zinc/10/47/47/888104747.db2.gz WOWZWBZZCPHYHF-SNVBAGLBSA-N -1 1 308.334 1.804 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H]1CCC(=O)CC1(C)C ZINC001234565351 888104821 /nfs/dbraw/zinc/10/48/21/888104821.db2.gz WOWZWBZZCPHYHF-JTQLQIEISA-N -1 1 308.334 1.804 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC2(CCOCC2)CC[C@H]1CO ZINC001364195943 888125824 /nfs/dbraw/zinc/12/58/24/888125824.db2.gz UFMZXPYMTOERPK-ZDUSSCGKSA-N -1 1 323.364 1.925 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CCC(=O)c2ccccc21 ZINC001364206369 888143320 /nfs/dbraw/zinc/14/33/20/888143320.db2.gz OMKBOAUJYDIMJJ-LLVKDONJSA-N -1 1 313.313 1.264 20 0 DDADMM C[C@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1ccc[nH]1 ZINC001364243536 888208387 /nfs/dbraw/zinc/20/83/87/888208387.db2.gz DTGPUJQDMXLWRJ-VIFPVBQESA-N -1 1 317.349 1.143 20 0 DDADMM CC(C)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001384988578 888324879 /nfs/dbraw/zinc/32/48/79/888324879.db2.gz SIYVLJRZFGBYOS-UPJWGTAASA-N -1 1 317.389 1.695 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2CC[C@@H](C3CC3)O2)c(=O)[n-]1 ZINC001364362141 888459857 /nfs/dbraw/zinc/45/98/57/888459857.db2.gz BVTZGIURPLPXPX-ONGXEEELSA-N -1 1 309.391 1.592 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001364664368 889152351 /nfs/dbraw/zinc/15/23/51/889152351.db2.gz VLBUYOYPNQBCIY-NSHDSACASA-N -1 1 301.350 1.471 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2ccc(F)cc2)sn1 ZINC001364775792 889389096 /nfs/dbraw/zinc/38/90/96/889389096.db2.gz WUZNJTBXNIUACH-UHFFFAOYSA-N -1 1 316.379 1.812 20 0 DDADMM CCC1(NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCCC1 ZINC001364872914 889585187 /nfs/dbraw/zinc/58/51/87/889585187.db2.gz YEKMUSBFYOIPNF-UHFFFAOYSA-N -1 1 301.368 1.197 20 0 DDADMM CCC1(NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCCC1 ZINC001364872914 889585180 /nfs/dbraw/zinc/58/51/80/889585180.db2.gz YEKMUSBFYOIPNF-UHFFFAOYSA-N -1 1 301.368 1.197 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H]1CCC2(CCC2)O1 ZINC001364927593 889683099 /nfs/dbraw/zinc/68/30/99/889683099.db2.gz ANQHKURECOIWJA-SECBINFHSA-N -1 1 318.420 1.532 20 0 DDADMM C[C@H]1CN(Cc2ccc([O-])c(F)c2F)CCN1S(C)(=O)=O ZINC001364930441 889690080 /nfs/dbraw/zinc/69/00/80/889690080.db2.gz OMUWMCIENHVSKE-VIFPVBQESA-N -1 1 320.361 1.136 20 0 DDADMM Cc1cc(C)c(C(=O)N[C@H](C)C[C@H](C)NC(=O)c2cnn[nH]2)o1 ZINC001396264414 913494461 /nfs/dbraw/zinc/49/44/61/913494461.db2.gz WGUBZUQOQTYKFC-VHSXEESVSA-N -1 1 319.365 1.341 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1CCOC12CCCC2 ZINC001365470685 890856442 /nfs/dbraw/zinc/85/64/42/890856442.db2.gz PEEOEZXDCQBUPD-JTQLQIEISA-N -1 1 318.420 1.532 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3Cc4ccc(O)cc4C3)ccnc1-2 ZINC001365509416 890948696 /nfs/dbraw/zinc/94/86/96/890948696.db2.gz FFDJGJLDLALVAD-UHFFFAOYSA-N -1 1 309.329 1.595 20 0 DDADMM C[C@@]1(c2cccnc2-c2c(F)cc([O-])cc2F)NC(=O)NC1=O ZINC001241118906 891122159 /nfs/dbraw/zinc/12/21/59/891122159.db2.gz IOWMQDKXSCQIDS-HNNXBMFYSA-N -1 1 319.267 1.787 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)[C@H]1CCCOC1 ZINC001365683871 891311365 /nfs/dbraw/zinc/31/13/65/891311365.db2.gz HJRIKAHGTUJIAT-BDAKNGLRSA-N -1 1 306.409 1.245 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@]23C[C@H]2COC32CCC2)sn1 ZINC001365740637 891431957 /nfs/dbraw/zinc/43/19/57/891431957.db2.gz ODSQEWQYECQOHE-QPUJVOFHSA-N -1 1 316.404 1.142 20 0 DDADMM O=C(NC1CCOCC1)c1ccc(-c2ccc(F)c([O-])c2)nn1 ZINC001243047929 891591583 /nfs/dbraw/zinc/59/15/83/891591583.db2.gz BNDWCKLESPFIJA-UHFFFAOYSA-N -1 1 317.320 1.897 20 0 DDADMM Nc1ncc2cc(-c3ccc4c(c3)CC(=O)[N-]C4=O)ccc2n1 ZINC001243995907 891774356 /nfs/dbraw/zinc/77/43/56/891774356.db2.gz FWGFZQGGQQFDJN-UHFFFAOYSA-N -1 1 304.309 1.692 20 0 DDADMM O=C1Cc2cc(-c3cnn(CC(F)(F)F)c3)ccc2C(=O)[N-]1 ZINC001245388975 892102064 /nfs/dbraw/zinc/10/20/64/892102064.db2.gz YFSYTNMFJXVIAB-UHFFFAOYSA-N -1 1 309.247 1.925 20 0 DDADMM [O-]c1cccc(F)c1-c1nc2c(c(N3CCOCC3)n1)CNC2 ZINC001245654125 892225477 /nfs/dbraw/zinc/22/54/77/892225477.db2.gz SGGJYHCMLFLLLG-UHFFFAOYSA-N -1 1 316.336 1.428 20 0 DDADMM COc1ccc(OC)c(NC(=S)NCC[P@](=O)([O-])O)c1 ZINC001245717070 892236096 /nfs/dbraw/zinc/23/60/96/892236096.db2.gz BYYDVEDRCYSZJA-UHFFFAOYSA-N -1 1 320.307 1.168 20 0 DDADMM O=C(c1n[nH]c2c1CCC2)n1[n-]c(CC(F)(F)F)cc1=O ZINC001245953098 892285993 /nfs/dbraw/zinc/28/59/93/892285993.db2.gz XNGZXYBSLVPEOS-UHFFFAOYSA-N -1 1 300.240 1.594 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CCCC12CC2 ZINC001387696768 893661122 /nfs/dbraw/zinc/66/11/22/893661122.db2.gz QUZQBFNTMZJCGT-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM CN1C[C@@H]2CN(Cc3ccc(F)c(C(=O)[O-])c3F)C[C@@H]2C1=O ZINC001249769298 894122439 /nfs/dbraw/zinc/12/24/39/894122439.db2.gz KEMKTCQDKRVYEX-ZJUUUORDSA-N -1 1 310.300 1.183 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccccc1)c1ccncc1 ZINC001251170428 894655512 /nfs/dbraw/zinc/65/55/12/894655512.db2.gz ZTVPWDVSCUUGDJ-CYBMUJFWSA-N -1 1 306.343 1.274 20 0 DDADMM CCCC1(C(=O)NC[C@H](CC)NC(=O)c2ncccc2[O-])CC1 ZINC001388182679 894735803 /nfs/dbraw/zinc/73/58/03/894735803.db2.gz ZKQFEBSJSHEFQR-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@](C)(CNC(=O)c1ncccc1[O-])C1CC1 ZINC001388280069 894926198 /nfs/dbraw/zinc/92/61/98/894926198.db2.gz FVBNYRSVPBRVKS-QAGHZCBJSA-N -1 1 317.389 1.458 20 0 DDADMM CCOC(=O)c1ncn(Cc2ccccc2)c1[N-]S(C)(=O)=O ZINC001252629203 895323795 /nfs/dbraw/zinc/32/37/95/895323795.db2.gz JEGFRSICMJHCND-UHFFFAOYSA-N -1 1 323.374 1.480 20 0 DDADMM CSc1nc(NC[C@@H](O)C(=O)OC(C)(C)C)cc(=O)[n-]1 ZINC001253669626 895978471 /nfs/dbraw/zinc/97/84/71/895978471.db2.gz FNDBGQZZCMXBDX-SSDOTTSWSA-N -1 1 301.368 1.019 20 0 DDADMM CCC(C)(C)CC(=O)N[C@](C)(CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001367185513 896096861 /nfs/dbraw/zinc/09/68/61/896096861.db2.gz NBYKHNCTECBQPL-MRXNPFEDSA-N -1 1 323.441 1.711 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1cc(O)cc(C(N)=O)c1 ZINC001254625638 896538052 /nfs/dbraw/zinc/53/80/52/896538052.db2.gz GQVOMRZFDKOSRU-UHFFFAOYSA-N -1 1 306.343 1.600 20 0 DDADMM C[C@@H](c1ncccn1)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001367510207 896981611 /nfs/dbraw/zinc/98/16/11/896981611.db2.gz AKCHJOBECWCZHN-LBPRGKRZSA-N -1 1 315.377 1.342 20 0 DDADMM COC(=O)[C@H]1C[C@H](N[C@@H]2[CH]CC=C2)CN1C(=O)OC(C)(C)C ZINC001256263228 897343377 /nfs/dbraw/zinc/34/33/77/897343377.db2.gz KMARJDKCJOAGFL-RWMBFGLXSA-N -1 1 309.386 1.660 20 0 DDADMM Cc1ncn(C)c1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259035368 898435271 /nfs/dbraw/zinc/43/52/71/898435271.db2.gz BBJNHEPKEFBLQJ-UHFFFAOYSA-N -1 1 305.281 1.947 20 0 DDADMM O=S(=O)([N-]C1CC2(COC2)C1)c1cc(Cl)ccc1F ZINC001259460161 898679805 /nfs/dbraw/zinc/67/98/05/898679805.db2.gz OJKJNNGSAFXLAR-UHFFFAOYSA-N -1 1 305.758 1.936 20 0 DDADMM COC(=O)/C=C/c1cccc([N-]S(=O)(=O)C2CCCC2)n1 ZINC001259794708 898808493 /nfs/dbraw/zinc/80/84/93/898808493.db2.gz MXKHMXGVPAUTJI-MDZDMXLPSA-N -1 1 310.375 1.952 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1ccc(C(F)(F)F)cc1)C(F)F ZINC001259963340 898986574 /nfs/dbraw/zinc/98/65/74/898986574.db2.gz UUBAXQZAPPQECS-QMMMGPOBSA-N -1 1 319.251 1.881 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnc(OCC2CCC2)nc1 ZINC001259966464 898991137 /nfs/dbraw/zinc/99/11/37/898991137.db2.gz DXYGATPGGVNZSN-UHFFFAOYSA-N -1 1 301.368 1.044 20 0 DDADMM CCOC(=O)c1sc2ncccc2c1[N-]S(N)(=O)=O ZINC001260074609 899038181 /nfs/dbraw/zinc/03/81/81/899038181.db2.gz LJJOWRCRTSOVEQ-UHFFFAOYSA-N -1 1 301.349 1.088 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1cccc(CO)c1F ZINC001260110833 899058179 /nfs/dbraw/zinc/05/81/79/899058179.db2.gz CETDLIBSUIJEPD-UHFFFAOYSA-N -1 1 313.354 1.469 20 0 DDADMM CN1CC[C@@H]([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)C1 ZINC001260205515 899089048 /nfs/dbraw/zinc/08/90/48/899089048.db2.gz KXOGZONGRHOTLP-SSDOTTSWSA-N -1 1 310.753 1.601 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl)NC1CC1 ZINC001260277393 899107884 /nfs/dbraw/zinc/10/78/84/899107884.db2.gz HSSLRZVSFLXVTL-UHFFFAOYSA-N -1 1 324.736 1.175 20 0 DDADMM CCOc1cc(C(N)=O)ccc1[N-]S(=O)(=O)[C@H](C)CC ZINC001260738343 899239815 /nfs/dbraw/zinc/23/98/15/899239815.db2.gz AIKVVYFFTGZNQF-SECBINFHSA-N -1 1 300.380 1.724 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1=CCCC1 ZINC001390379486 899294190 /nfs/dbraw/zinc/29/41/90/899294190.db2.gz JMSREGZFVYMYGH-GFCCVEGCSA-N -1 1 303.362 1.522 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCc1c(C)nc2ncnn2c1C ZINC001261251942 899436883 /nfs/dbraw/zinc/43/68/83/899436883.db2.gz QVAPIJGNHZGJQT-UHFFFAOYSA-N -1 1 315.337 1.050 20 0 DDADMM C[C@H](CNC(=O)[C@@H](C)c1ccccc1F)NCc1n[nH]c(=O)[n-]1 ZINC001390490394 899530052 /nfs/dbraw/zinc/53/00/52/899530052.db2.gz OPRGSVXBSNYVNK-ZJUUUORDSA-N -1 1 321.356 1.047 20 0 DDADMM CS[C@H](C)C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396787493 914467530 /nfs/dbraw/zinc/46/75/30/914467530.db2.gz YLNPKTHSPABOMX-MNOVXSKESA-N -1 1 323.418 1.212 20 0 DDADMM Cc1ccc(CC(=O)N2CC[C@H](c3nn[n-]n3)C2)c(Cl)n1 ZINC001263886431 900800011 /nfs/dbraw/zinc/80/00/11/900800011.db2.gz JTKRHHRWUPYFLK-JTQLQIEISA-N -1 1 306.757 1.115 20 0 DDADMM Cc1ccc2nc(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)ccc2c1 ZINC001263886382 900800464 /nfs/dbraw/zinc/80/04/64/900800464.db2.gz IGNICOKMSUYBQW-GFCCVEGCSA-N -1 1 308.345 1.686 20 0 DDADMM CN(C)c1ccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)cn1 ZINC000387003940 901028988 /nfs/dbraw/zinc/02/89/88/901028988.db2.gz BLPRMGUSBOXKBF-UHFFFAOYSA-N -1 1 308.363 1.236 20 0 DDADMM CC(C)=CC(=O)N[C@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001280739451 903974433 /nfs/dbraw/zinc/97/44/33/903974433.db2.gz QCINAYHXFTWPTL-QWHCGFSZSA-N -1 1 317.389 1.911 20 0 DDADMM C[C@H](CCCNC(=O)c1ncccc1[O-])NC(=O)C1CC(C)C1 ZINC001280808520 904066132 /nfs/dbraw/zinc/06/61/32/904066132.db2.gz RNKNBDAHTLCRHA-OTTFEQOBSA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CC(C)(C)C1 ZINC001375061005 914823605 /nfs/dbraw/zinc/82/36/05/914823605.db2.gz RNLWUPMQDKNODN-GFCCVEGCSA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ncoc1C ZINC001375061409 914826373 /nfs/dbraw/zinc/82/63/73/914826373.db2.gz UTILIPLCXMAAEP-SNVBAGLBSA-N -1 1 318.333 1.022 20 0 DDADMM CC[C@H](C)C(=O)NC[C@@H](C)N(CC)C(=O)c1ncccc1[O-] ZINC001371329284 904712477 /nfs/dbraw/zinc/71/24/77/904712477.db2.gz QQTBFQSGZDDCFP-NWDGAFQWSA-N -1 1 307.394 1.800 20 0 DDADMM Cc1cc(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])co1 ZINC001392775552 905221089 /nfs/dbraw/zinc/22/10/89/905221089.db2.gz VWBFODJUHQBUNY-VIFPVBQESA-N -1 1 303.318 1.237 20 0 DDADMM C[C@@H](C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)C(C)(C)C ZINC001393543188 907281267 /nfs/dbraw/zinc/28/12/67/907281267.db2.gz BOPWGVASZNCOBQ-JTQLQIEISA-N -1 1 309.414 1.321 20 0 DDADMM C[C@@H]1CCC[C@@]1(C)C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001393554096 907316592 /nfs/dbraw/zinc/31/65/92/907316592.db2.gz HBUCIPWAUDWLJU-IAQYHMDHSA-N -1 1 321.425 1.465 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)Cc1ccsc1 ZINC001372834965 908387947 /nfs/dbraw/zinc/38/79/47/908387947.db2.gz MOETUGSHFCRDJN-JTQLQIEISA-N -1 1 319.386 1.326 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H](C)NC(=O)C1CC1 ZINC001373023013 908771733 /nfs/dbraw/zinc/77/17/33/908771733.db2.gz YKDCCTCARUJDRU-SECBINFHSA-N -1 1 320.393 1.259 20 0 DDADMM C[C@H](CCNC(=O)Cc1ccoc1)NC(=O)c1ncccc1[O-] ZINC001373261449 909339589 /nfs/dbraw/zinc/33/95/89/909339589.db2.gz NQOGAZNSYDURQO-LLVKDONJSA-N -1 1 317.345 1.248 20 0 DDADMM CN(CCNC(=O)c1cccs1)C(=O)c1ncccc1[O-] ZINC001373348611 909582261 /nfs/dbraw/zinc/58/22/61/909582261.db2.gz WBKREBFHZHSPNC-UHFFFAOYSA-N -1 1 305.359 1.351 20 0 DDADMM CN(C(=O)[C@H]1CCC1(C)C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001394430080 909610979 /nfs/dbraw/zinc/61/09/79/909610979.db2.gz BYGVOHVVNCNKQJ-NEPJUHHUSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CCCC12CC2)NCc1n[nH]c(=O)[n-]1 ZINC001394493947 909808685 /nfs/dbraw/zinc/80/86/85/909808685.db2.gz GKHNAADFGNAWJJ-WDEREUQCSA-N -1 1 307.398 1.027 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccccc1 ZINC001394813653 910634408 /nfs/dbraw/zinc/63/44/08/910634408.db2.gz KPNPNOAEJKQXEY-GFCCVEGCSA-N -1 1 317.393 1.445 20 0 DDADMM CCCCC(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001395353160 911842806 /nfs/dbraw/zinc/84/28/06/911842806.db2.gz CXVZCRXCAPWOSP-XYYAHUGASA-N -1 1 317.389 1.412 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)/C=C\c3cccnc3)nc2n1 ZINC001287289125 912162010 /nfs/dbraw/zinc/16/20/10/912162010.db2.gz LMMSMDHRGSCXFI-SREVYHEPSA-N -1 1 324.344 1.417 20 0 DDADMM CC/C=C(/C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001295006354 915500692 /nfs/dbraw/zinc/50/06/92/915500692.db2.gz OVRNCJVBMNYHIE-QJGQKNTRSA-N -1 1 303.362 1.474 20 0 DDADMM CC/C=C(/C)C(=O)N(CC)CCNC(=O)c1ncccc1[O-] ZINC001296290067 916325987 /nfs/dbraw/zinc/32/59/87/916325987.db2.gz IYZQAMVBEWNZLW-GHXNOFRVSA-N -1 1 305.378 1.722 20 0 DDADMM CC(C)(C)/C=C\C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001297039322 916681877 /nfs/dbraw/zinc/68/18/77/916681877.db2.gz UNSHOQAAPBONIF-SREVYHEPSA-N -1 1 303.362 1.330 20 0 DDADMM CCC[C@H](OC)C(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001375696211 916731189 /nfs/dbraw/zinc/73/11/89/916731189.db2.gz NUTKLUQBPCEUOB-YPMHNXCESA-N -1 1 323.393 1.084 20 0 DDADMM CCC[C@@H](CC)C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001375846161 917114385 /nfs/dbraw/zinc/11/43/85/917114385.db2.gz KMYDGRXAWAYBLU-WBMJQRKESA-N -1 1 323.441 1.015 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])[NH2+]Cc1nccs1 ZINC001377421889 921842220 /nfs/dbraw/zinc/84/22/20/921842220.db2.gz YZSUFNSNLLGTSB-JTQLQIEISA-N -1 1 306.391 1.542 20 0 DDADMM CCO[C@@H]1C[C@@H](O)C12CCN(C(=O)c1ccc([O-])cc1F)CC2 ZINC000181231998 199268999 /nfs/dbraw/zinc/26/89/99/199268999.db2.gz RBKFJVRHPOICFE-HUUCEWRRSA-N -1 1 323.364 1.923 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1ccnn1C)c1ccccc1 ZINC000278307677 214081523 /nfs/dbraw/zinc/08/15/23/214081523.db2.gz WOZFIJHSFNDXAV-CYBMUJFWSA-N -1 1 323.374 1.003 20 0 DDADMM NC(=O)c1[nH]nnc1NC(=O)[C@H]1CCC[C@@H]1Cc1ccccc1 ZINC000622868395 365549110 /nfs/dbraw/zinc/54/91/10/365549110.db2.gz LFDQQWUWAMHPEH-NEPJUHHUSA-N -1 1 313.361 1.501 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@]3(C)CCc4ccccc43)nc2n1 ZINC000622869753 365549181 /nfs/dbraw/zinc/54/91/81/365549181.db2.gz FJTVNYUBNHICJZ-KRWDZBQOSA-N -1 1 323.356 1.569 20 0 DDADMM CO[C@H](C(=O)Nc1nn[nH]c1C(=O)NC1CC1)C1CCCCC1 ZINC000622882865 365555418 /nfs/dbraw/zinc/55/54/18/365555418.db2.gz DHTSCAZATZVNRY-LBPRGKRZSA-N -1 1 321.381 1.231 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC34CCCC4)nc2n1 ZINC000622994012 365586607 /nfs/dbraw/zinc/58/66/07/365586607.db2.gz BBPSKSFJYSPCOO-SNVBAGLBSA-N -1 1 301.350 1.499 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]4C=C[C@H]3CC4)nc2n1 ZINC000622993566 365586694 /nfs/dbraw/zinc/58/66/94/365586694.db2.gz SKMNSUJFPYSTTB-JFGNBEQYSA-N -1 1 313.361 1.521 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3C3CCCC3)nc2n1 ZINC000622993762 365587318 /nfs/dbraw/zinc/58/73/18/365587318.db2.gz ZSFRIURMSSUJCE-NWDGAFQWSA-N -1 1 315.377 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCSC3)nc2n1 ZINC000622997777 365590888 /nfs/dbraw/zinc/59/08/88/365590888.db2.gz LDOGEGUJIWFDBJ-VIFPVBQESA-N -1 1 321.406 1.452 20 0 DDADMM O=C(NCCCc1nc[nH]n1)c1ccc(C(F)(F)F)c(O)c1 ZINC000614360386 361822483 /nfs/dbraw/zinc/82/24/83/361822483.db2.gz CEZVGKOOBVTAEN-UHFFFAOYSA-N -1 1 314.267 1.892 20 0 DDADMM O=C(NCCCc1nnc[nH]1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000614360386 361822485 /nfs/dbraw/zinc/82/24/85/361822485.db2.gz CEZVGKOOBVTAEN-UHFFFAOYSA-N -1 1 314.267 1.892 20 0 DDADMM Cn1ncc(Cl)c1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614388915 361833249 /nfs/dbraw/zinc/83/32/49/361833249.db2.gz JGIGHCVYYYCUCO-SSDOTTSWSA-N -1 1 311.729 1.182 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@H]1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614391585 361834003 /nfs/dbraw/zinc/83/40/03/361834003.db2.gz SOGMGRAMKFBLNY-HBNTYKKESA-N -1 1 309.366 1.685 20 0 DDADMM Cc1cc(C)n([C@@H](C)C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000614388440 361832581 /nfs/dbraw/zinc/83/25/81/361832581.db2.gz YLZFQENUYOHUHL-RYUDHWBXSA-N -1 1 319.365 1.556 20 0 DDADMM Cn1nc([C@H]2CCCO2)cc1NC(=O)[N-]O[C@H]1CCCCO1 ZINC000278641924 214296031 /nfs/dbraw/zinc/29/60/31/214296031.db2.gz CBXHEOWCZKAPAT-YPMHNXCESA-N -1 1 310.354 1.851 20 0 DDADMM CC[C@@H](C)[C@@H](C)NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000494557032 529392645 /nfs/dbraw/zinc/39/26/45/529392645.db2.gz HTORDGJUDVAONP-NXEZZACHSA-N -1 1 312.443 1.217 20 0 DDADMM CC(C)N(CCOCCO)C(=O)c1ncc2ccccc2c1[O-] ZINC000614569238 361922936 /nfs/dbraw/zinc/92/29/36/361922936.db2.gz GVGZCVXVJMDQAK-UHFFFAOYSA-N -1 1 318.373 1.800 20 0 DDADMM O=S(=O)([N-]CCSCCCO)c1sccc1Cl ZINC000451230270 231040971 /nfs/dbraw/zinc/04/09/71/231040971.db2.gz QNMQCDXKHZOJLU-UHFFFAOYSA-N -1 1 315.869 1.795 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2C[C@]23CCOC3)c1Br ZINC000329728175 529460028 /nfs/dbraw/zinc/46/00/28/529460028.db2.gz HEEMIOHTCKYWPG-KRTXAFLBSA-N -1 1 314.183 1.522 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)[C@H](O)C(F)F ZINC000295688717 529647137 /nfs/dbraw/zinc/64/71/37/529647137.db2.gz IUVYNTNFWQGMQB-XVKPBYJWSA-N -1 1 315.288 1.648 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N(CCOC)CC(=O)[O-])o1 ZINC000314767590 539294898 /nfs/dbraw/zinc/29/48/98/539294898.db2.gz ZZOISEAKQFYAQL-UHFFFAOYSA-N -1 1 312.366 1.295 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC(CN2CCOCC2)C1 ZINC000615147539 362174666 /nfs/dbraw/zinc/17/46/66/362174666.db2.gz FLPNRGWZVKWIDR-UHFFFAOYSA-N -1 1 310.781 1.450 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1C[C@@]1(F)c1ccccc1 ZINC000412318033 529930979 /nfs/dbraw/zinc/93/09/79/529930979.db2.gz PQFMQAXNHDZRSZ-BDJLRTHQSA-N -1 1 316.336 1.323 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCc2ccccc2)n[n-]1 ZINC000615920277 362488303 /nfs/dbraw/zinc/48/83/03/362488303.db2.gz QULIYXIIJVQDCE-UHFFFAOYSA-N -1 1 302.334 1.230 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCc2ccccc2)n1 ZINC000615920277 362488308 /nfs/dbraw/zinc/48/83/08/362488308.db2.gz QULIYXIIJVQDCE-UHFFFAOYSA-N -1 1 302.334 1.230 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCC[C@@H]1CCCC[C@H]1O ZINC000330871651 232125621 /nfs/dbraw/zinc/12/56/21/232125621.db2.gz CCGVXSIIVNCHTJ-WDEREUQCSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCC[C@@H]1CCCC[C@H]1O ZINC000330871651 232125625 /nfs/dbraw/zinc/12/56/25/232125625.db2.gz CCGVXSIIVNCHTJ-WDEREUQCSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2O[C@H](C)C[C@H]2C)c1Br ZINC000616009644 362518940 /nfs/dbraw/zinc/51/89/40/362518940.db2.gz YDUZLFJSXXFEQA-HCVRKRLWSA-N -1 1 302.172 1.655 20 0 DDADMM CC(C)[C@H](O)CC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000305215445 282392327 /nfs/dbraw/zinc/39/23/27/282392327.db2.gz RURVCBJPKIEMOM-SECBINFHSA-N -1 1 311.325 1.789 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1cnc(C(C)(C)C)nc1 ZINC000331349239 233145135 /nfs/dbraw/zinc/14/51/35/233145135.db2.gz NJDJLLOICGRIHO-UHFFFAOYSA-N -1 1 301.412 1.941 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2sccc2OC)o1 ZINC000354123807 290912780 /nfs/dbraw/zinc/91/27/80/290912780.db2.gz CQDZFMXKWQXNNY-UHFFFAOYSA-N -1 1 302.377 1.786 20 0 DDADMM CCOC(=O)[C@]1(CN=c2nc(C3CC3)[n-]s2)CCCOC1 ZINC000601243837 358461643 /nfs/dbraw/zinc/46/16/43/358461643.db2.gz IHXSAZYWHFHTKN-AWEZNQCLSA-N -1 1 311.407 1.609 20 0 DDADMM COC(=O)C[N-]S(=O)(=O)Cc1c(Cl)cccc1Cl ZINC000172086777 198037285 /nfs/dbraw/zinc/03/72/85/198037285.db2.gz GEMRFZAPNLELCQ-UHFFFAOYSA-N -1 1 312.174 1.586 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2cccnc2)c(=O)[n-]1 ZINC000014213292 352149804 /nfs/dbraw/zinc/14/98/04/352149804.db2.gz BXXZKRGEYLSJRE-UHFFFAOYSA-N -1 1 304.375 1.767 20 0 DDADMM Cc1nnsc1C[N-]S(=O)(=O)c1cncc(Cl)c1 ZINC000490425606 234010309 /nfs/dbraw/zinc/01/03/09/234010309.db2.gz HLBBVHFJHTWDSB-UHFFFAOYSA-N -1 1 304.784 1.373 20 0 DDADMM COCc1cccc(C[N-]S(=O)(=O)c2c(C)noc2C)c1 ZINC000056067076 352758446 /nfs/dbraw/zinc/75/84/46/352758446.db2.gz SBFPJMMLXSDBLI-UHFFFAOYSA-N -1 1 310.375 1.916 20 0 DDADMM CCCCOCCNC(=O)CS(=O)(=O)c1ccc([O-])cc1 ZINC000063927140 352928303 /nfs/dbraw/zinc/92/83/03/352928303.db2.gz MZPQNCQAUSPTTB-UHFFFAOYSA-N -1 1 315.391 1.099 20 0 DDADMM CCc1onc(C)c1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000331872552 234220848 /nfs/dbraw/zinc/22/08/48/234220848.db2.gz UBUBYWJUEUMUKI-VIFPVBQESA-N -1 1 306.322 1.654 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2ccc(C)cc2C1 ZINC000617605253 363199288 /nfs/dbraw/zinc/19/92/88/363199288.db2.gz HKCGUQHFHRZHRT-UHFFFAOYSA-N -1 1 315.329 1.403 20 0 DDADMM C[C@@]1(CO)CCC[C@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000075494949 353365831 /nfs/dbraw/zinc/36/58/31/353365831.db2.gz MIRAJDFCMIWRGR-WBMJQRKESA-N -1 1 301.346 1.204 20 0 DDADMM O=C(Nc1ncccc1[O-])C(=O)c1cnn(-c2ccccc2)c1 ZINC000073066809 191276028 /nfs/dbraw/zinc/27/60/28/191276028.db2.gz SKSRBAYGMWYNBE-UHFFFAOYSA-N -1 1 308.297 1.794 20 0 DDADMM O=S(=O)(C[C@H]1CCCCO1)[N-]c1ccn(-c2ccncc2)n1 ZINC000076937710 353443030 /nfs/dbraw/zinc/44/30/30/353443030.db2.gz DNUVRYQTVBOLGK-CYBMUJFWSA-N -1 1 322.390 1.578 20 0 DDADMM O=C(NCCc1nc[nH]n1)c1c([O-])cc(Cl)cc1Cl ZINC000080031641 353588086 /nfs/dbraw/zinc/58/80/86/353588086.db2.gz OZUJBXJQMONKJE-UHFFFAOYSA-N -1 1 301.133 1.790 20 0 DDADMM COC[C@@](C)(O)CNC(=O)c1cc(Br)ccc1[O-] ZINC000081762418 353690830 /nfs/dbraw/zinc/69/08/30/353690830.db2.gz KSIMRSKFVGXONM-LBPRGKRZSA-N -1 1 318.167 1.282 20 0 DDADMM O=C(N[C@@H]1CC[S@](=O)C1)c1ccc(Br)cc1[O-] ZINC000081788709 353692458 /nfs/dbraw/zinc/69/24/58/353692458.db2.gz SAVUYNHPBSEUHB-CQLKUDPESA-N -1 1 318.192 1.406 20 0 DDADMM CC1(C)[C@H](C(=O)[O-])[C@@H]1C(=O)N1CCNC[C@H]1c1ccccc1 ZINC000313361260 354480048 /nfs/dbraw/zinc/48/00/48/354480048.db2.gz SDHLLDABRMLBBH-MJBXVCDLSA-N -1 1 302.374 1.516 20 0 DDADMM Cc1cccc(C)c1OC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC000586755431 354858333 /nfs/dbraw/zinc/85/83/33/354858333.db2.gz TXXSLYQARHFZHG-ZDUSSCGKSA-N -1 1 316.357 1.574 20 0 DDADMM C[C@H]1N(C(=O)c2nc3ccccc3c(=O)[n-]2)CCOC1(C)C ZINC000332497134 235035155 /nfs/dbraw/zinc/03/51/55/235035155.db2.gz CALCHOZPSHHOGX-SNVBAGLBSA-N -1 1 301.346 1.563 20 0 DDADMM CCCCNC(=O)N1CCC(c2nc(C(=O)OCC)n[n-]2)CC1 ZINC000618073309 363449558 /nfs/dbraw/zinc/44/95/58/363449558.db2.gz JEKPKCWTMGFYPN-UHFFFAOYSA-N -1 1 323.397 1.671 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCC[C@H](F)C2)c1 ZINC000592063444 355480803 /nfs/dbraw/zinc/48/08/03/355480803.db2.gz TTXGDQUCOAOFQV-VHSXEESVSA-N -1 1 305.327 1.625 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)COCC2CC2)o1 ZINC000593654012 355955165 /nfs/dbraw/zinc/95/51/65/355955165.db2.gz OBLAUMTVGGEHNM-SECBINFHSA-N -1 1 317.363 1.160 20 0 DDADMM CCNc1nc(C(=O)Nc2nc(C(=O)OCC)c[n-]2)cs1 ZINC000593900887 356047594 /nfs/dbraw/zinc/04/75/94/356047594.db2.gz IIBHQHHHKORKDA-UHFFFAOYSA-N -1 1 309.351 1.149 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C1CCCC1)C1CC1 ZINC000594760361 356309760 /nfs/dbraw/zinc/30/97/60/356309760.db2.gz YQAZBTCUHAWBAB-OLZOCXBDSA-N -1 1 319.423 1.063 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](C)[C@H]2CCOC2)cc1C ZINC000595313688 356445288 /nfs/dbraw/zinc/44/52/88/356445288.db2.gz BNLQETASWMHVMT-UWVGGRQHSA-N -1 1 317.363 1.078 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]2C)cc1C ZINC000595319093 356447674 /nfs/dbraw/zinc/44/76/74/356447674.db2.gz WRZGNIMFADPVAC-WCBMZHEXSA-N -1 1 301.364 1.842 20 0 DDADMM CCN(CC(=O)[O-])C(=O)c1cc(-c2ccc(OC)cc2)[nH]n1 ZINC000236976936 202104960 /nfs/dbraw/zinc/10/49/60/202104960.db2.gz BGBKOARSTAAYAV-UHFFFAOYSA-N -1 1 303.318 1.632 20 0 DDADMM C[S@](=O)C1(CN=c2nc(C3CCOCC3)[n-]s2)CC1 ZINC000596223842 356821965 /nfs/dbraw/zinc/82/19/65/356821965.db2.gz YOYLCNVFOAQHTF-IBGZPJMESA-N -1 1 301.437 1.177 20 0 DDADMM C[C@H](C[S@](C)=O)N(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000597494123 357195497 /nfs/dbraw/zinc/19/54/97/357195497.db2.gz GZVCYWOBOJNBIO-UZJPJQLHSA-N -1 1 306.387 1.779 20 0 DDADMM COC(=O)c1ccccc1CCC(=O)Nc1c(C)[n-][nH]c1=O ZINC000597691380 357287687 /nfs/dbraw/zinc/28/76/87/357287687.db2.gz HQXPCBJOUCMJHK-UHFFFAOYSA-N -1 1 303.318 1.782 20 0 DDADMM C[S@@](=O)C1(CNC(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000597621815 357259613 /nfs/dbraw/zinc/25/96/13/357259613.db2.gz RYXBCEZHBVNXSI-OAQYLSRUSA-N -1 1 304.371 1.581 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ccc(Cl)cc2[O-])CS1(=O)=O ZINC000618313989 363579895 /nfs/dbraw/zinc/57/98/95/363579895.db2.gz BYMBTUFNKIGUQZ-SCZZXKLOSA-N -1 1 317.794 1.741 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H](OC)C1CCCCC1 ZINC000598824883 357736206 /nfs/dbraw/zinc/73/62/06/357736206.db2.gz QXDRUDFYSMTGRN-ZDUSSCGKSA-N -1 1 323.393 1.553 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-][C@H](CC(C)C)C(=O)OC)C1CC1 ZINC000599209027 357851096 /nfs/dbraw/zinc/85/10/96/357851096.db2.gz FYDQMEXYAFBVME-CHWSQXEVSA-N -1 1 321.439 1.309 20 0 DDADMM CCC(C)(C)CS(=O)(=O)[N-][C@@H](CC(F)F)C(=O)OC ZINC000599268599 357869325 /nfs/dbraw/zinc/86/93/25/357869325.db2.gz GEIFZFMAFXYAEB-QMMMGPOBSA-N -1 1 301.355 1.539 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)[N-]OC[C@H]1CCOC1)c2=O ZINC000276684658 213044459 /nfs/dbraw/zinc/04/44/59/213044459.db2.gz WPCRXJRMKILPJL-JTQLQIEISA-N -1 1 318.329 1.647 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2cc(Cl)ccc2[O-])C[C@@H](C)O1 ZINC000600376627 358203489 /nfs/dbraw/zinc/20/34/89/358203489.db2.gz JSHVYKXWEVPEBD-PELKAZGASA-N -1 1 313.737 1.448 20 0 DDADMM O=C(NCc1nn[n-]n1)c1csc(-c2ccccc2Cl)n1 ZINC000600496101 358241174 /nfs/dbraw/zinc/24/11/74/358241174.db2.gz QNENKMCDZISXAT-UHFFFAOYSA-N -1 1 320.765 1.907 20 0 DDADMM CSCC[C@H](O)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000600673311 358278773 /nfs/dbraw/zinc/27/87/73/358278773.db2.gz BNMQGRVTVOBHOC-LBPRGKRZSA-N -1 1 307.375 1.630 20 0 DDADMM CC[C@H](C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C(=O)OC ZINC000600674043 358279092 /nfs/dbraw/zinc/27/90/92/358279092.db2.gz CRTPYCNNQYIERE-GFCCVEGCSA-N -1 1 303.318 1.716 20 0 DDADMM CCc1nc(SCc2cnc(C(=O)OC)cn2)[n-]c(=O)c1C ZINC000600555887 358256918 /nfs/dbraw/zinc/25/69/18/358256918.db2.gz NDWNZNBNITXZKB-UHFFFAOYSA-N -1 1 320.374 1.922 20 0 DDADMM CC[C@H](c1cccc(OC)c1)N(C)C(=O)CCc1nn[n-]n1 ZINC000633094489 422757159 /nfs/dbraw/zinc/75/71/59/422757159.db2.gz JTNCOLVAFNNGSZ-CYBMUJFWSA-N -1 1 303.366 1.751 20 0 DDADMM CCC[C@@H]1C[C@H]1[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000601495923 358575100 /nfs/dbraw/zinc/57/51/00/358575100.db2.gz IIMXGCFEEWXUQF-NXEZZACHSA-N -1 1 301.364 1.842 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCC[C@H]3C[C@H]32)co1 ZINC000618505904 363653940 /nfs/dbraw/zinc/65/39/40/363653940.db2.gz QEBWHRAZSWTNJF-JBLDHEPKSA-N -1 1 312.391 1.354 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)Cc2ccccc2)n[n-]1 ZINC000603019267 359361605 /nfs/dbraw/zinc/36/16/05/359361605.db2.gz UGUNSZXVZCCDII-NSHDSACASA-N -1 1 316.361 1.476 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)Cc2ccccc2)n1 ZINC000603019267 359361608 /nfs/dbraw/zinc/36/16/08/359361608.db2.gz UGUNSZXVZCCDII-NSHDSACASA-N -1 1 316.361 1.476 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cc(C)c(F)cc2F)n1 ZINC000358958437 299291971 /nfs/dbraw/zinc/29/19/71/299291971.db2.gz OTKRALYUZQXXEU-UHFFFAOYSA-N -1 1 302.306 1.755 20 0 DDADMM CCOC(=O)C[C@H](C)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000089782409 192866518 /nfs/dbraw/zinc/86/65/18/192866518.db2.gz CJUWPIKMTNQXGZ-VIFPVBQESA-N -1 1 321.345 1.832 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc3nccn3c2)o1 ZINC000603808856 359680825 /nfs/dbraw/zinc/68/08/25/359680825.db2.gz KFEFOTPZBZGLCQ-UHFFFAOYSA-N -1 1 320.330 1.088 20 0 DDADMM CCCCCS(=O)(=O)CC(=O)Nc1nc(Cl)ccc1[O-] ZINC000188355278 200233312 /nfs/dbraw/zinc/23/33/12/200233312.db2.gz YYYRNSGDVHTBHJ-UHFFFAOYSA-N -1 1 320.798 1.984 20 0 DDADMM CC[C@@H](COC)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000606465540 359925694 /nfs/dbraw/zinc/92/56/94/359925694.db2.gz XLXGKSRRCXZCOF-QMMMGPOBSA-N -1 1 309.334 1.677 20 0 DDADMM CS(=O)(=O)C1(C(=O)Nc2ccc([O-])c(Cl)c2)CCC1 ZINC000281341369 216234671 /nfs/dbraw/zinc/23/46/71/216234671.db2.gz WBRSFPOKFDVZHJ-UHFFFAOYSA-N -1 1 303.767 1.951 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@@H]3CCCC4(CC4)C3)CC2)s[n-]1 ZINC000612118324 360924857 /nfs/dbraw/zinc/92/48/57/360924857.db2.gz CFUJGEPHOBOSLT-LLVKDONJSA-N -1 1 321.450 1.570 20 0 DDADMM CC1(C[N-]S(=O)(=O)Cc2c(F)cccc2F)OCCCO1 ZINC000192223746 200838488 /nfs/dbraw/zinc/83/84/88/200838488.db2.gz RZROGWHUCHBCEK-UHFFFAOYSA-N -1 1 321.345 1.537 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncccc1[O-])c1ccccc1F ZINC000613083413 361261663 /nfs/dbraw/zinc/26/16/63/361261663.db2.gz DPRRMQVCQAANGB-GFCCVEGCSA-N -1 1 304.277 1.570 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@@H]2CCNC2=O)c1 ZINC000613304901 361374487 /nfs/dbraw/zinc/37/44/87/361374487.db2.gz LJNIBDXVQJGCPH-SSDOTTSWSA-N -1 1 313.151 1.538 20 0 DDADMM C[C@H]([N-]S(=O)(=O)CCC(C)(C)S(C)(=O)=O)C(C)(F)F ZINC000416499521 299326084 /nfs/dbraw/zinc/32/60/84/299326084.db2.gz YYBOUJUMYKHGLF-QMMMGPOBSA-N -1 1 321.411 1.163 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cnnn1-c1ccccc1)C(F)(F)F ZINC000625216201 366779460 /nfs/dbraw/zinc/77/94/60/366779460.db2.gz CUGNGQXLNVDGBY-MRVPVSSYSA-N -1 1 320.296 1.496 20 0 DDADMM CCOC(=O)CN1CCCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000613502897 361446122 /nfs/dbraw/zinc/44/61/22/361446122.db2.gz YGOIIDISOGEYJQ-UHFFFAOYSA-N -1 1 324.352 1.242 20 0 DDADMM CSc1ccc(O)c(C(=O)N2CC[N@@H+](C[C@H](C)O)C[C@H]2C)c1 ZINC000613655022 361505506 /nfs/dbraw/zinc/50/55/06/361505506.db2.gz IHQNIDXOUOHOGH-NEPJUHHUSA-N -1 1 324.446 1.641 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H]1C[C@@H](O)C12CCC2 ZINC000619079711 363889812 /nfs/dbraw/zinc/88/98/12/363889812.db2.gz FXRGNNBKNXHVCW-DTWKUNHWSA-N -1 1 309.391 1.246 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC[C@@H](C)O[C@@H](C)C1 ZINC000619116315 363903888 /nfs/dbraw/zinc/90/38/88/363903888.db2.gz GJFXMGDRWRPPPG-BDAKNGLRSA-N -1 1 311.407 1.852 20 0 DDADMM O=C(C(=O)N1CCC[C@@H]1[C@@H]1CCC[C@@H]1O)c1ccc([O-])cc1 ZINC000452689791 283736942 /nfs/dbraw/zinc/73/69/42/283736942.db2.gz WOVCBXHOBTZQDH-ZNMIVQPWSA-N -1 1 303.358 1.727 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2(CC(C)C)CC2)co1 ZINC000620284096 364362262 /nfs/dbraw/zinc/36/22/62/364362262.db2.gz YJTAGOLVUZMWHS-UHFFFAOYSA-N -1 1 300.380 1.496 20 0 DDADMM CC[C@@H]1CCC[C@H](C)N1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000620575976 364473932 /nfs/dbraw/zinc/47/39/32/364473932.db2.gz LWZWPRDXFFGJKG-WDEREUQCSA-N -1 1 314.407 1.981 20 0 DDADMM CCn1ccnc1[C@H]1OCC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000275432628 212328393 /nfs/dbraw/zinc/32/83/93/212328393.db2.gz UXVPBQOAKJRHLK-GWCFXTLKSA-N -1 1 302.334 1.264 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)N2CC(C(=O)[O-])C2)N2CCCC2)o1 ZINC000621830057 365046702 /nfs/dbraw/zinc/04/67/02/365046702.db2.gz WTQAMCSRPHGWFC-CYBMUJFWSA-N -1 1 321.377 1.451 20 0 DDADMM COc1ccc2c(c1)OC[C@H](NC(=O)c1ncccc1[O-])C2 ZINC000275350855 212285184 /nfs/dbraw/zinc/28/51/84/212285184.db2.gz QNEAUVUGXAZYBY-LLVKDONJSA-N -1 1 300.314 1.529 20 0 DDADMM O=C(c1cnc2n1CCCCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000621928227 365119530 /nfs/dbraw/zinc/11/95/30/365119530.db2.gz UEUPQFCTOPPDTM-NSHDSACASA-N -1 1 315.381 1.142 20 0 DDADMM Cc1cccc(COCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000621928990 365120031 /nfs/dbraw/zinc/12/00/31/365120031.db2.gz ZUWIQYMIZOYBJL-AWEZNQCLSA-N -1 1 315.377 1.431 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@@H]1CCCO1 ZINC000091160332 193008619 /nfs/dbraw/zinc/00/86/19/193008619.db2.gz YGTFIPGLNWQKBV-NSHDSACASA-N -1 1 302.327 1.315 20 0 DDADMM CC(=O)Nc1cccc2c1CCCN2C(=O)c1n[nH]c(C)c1[O-] ZINC000622321245 365370247 /nfs/dbraw/zinc/37/02/47/365370247.db2.gz VSUUZOLRKWCKBH-UHFFFAOYSA-N -1 1 314.345 1.975 20 0 DDADMM Cn1cnc(CNC(=O)c2ccc(Br)c([O-])c2)n1 ZINC000091888479 193121771 /nfs/dbraw/zinc/12/17/71/193121771.db2.gz NYOPEXKVWZWIHA-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622609566 365449856 /nfs/dbraw/zinc/44/98/56/365449856.db2.gz BZXHZAZSAXWFSR-WCQYABFASA-N -1 1 303.366 1.466 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H](c3ccc(F)c(F)c3)C2)nc1=O ZINC000625657028 367076581 /nfs/dbraw/zinc/07/65/81/367076581.db2.gz SILDMXZVNPFMSA-NSHDSACASA-N -1 1 308.332 1.766 20 0 DDADMM COc1ccccc1[C@H](CNC(=O)[C@@H](C)C(=O)[O-])N1CCCC1 ZINC000566212390 304129947 /nfs/dbraw/zinc/12/99/47/304129947.db2.gz VOAOVZPEIDVQRC-OCCSQVGLSA-N -1 1 320.389 1.669 20 0 DDADMM CS(=O)(=O)N1CCC[C@H](C(=O)Nc2c([O-])cccc2F)C1 ZINC000626277675 367457564 /nfs/dbraw/zinc/45/75/64/367457564.db2.gz KJEBIOJIDNYBCK-VIFPVBQESA-N -1 1 316.354 1.141 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC(Cc3nccs3)CC2)C1=O ZINC000635019275 422770102 /nfs/dbraw/zinc/77/01/02/422770102.db2.gz CAEAJRNHQMFVPY-GFCCVEGCSA-N -1 1 323.418 1.083 20 0 DDADMM CCC[C@H]1[C@@H](C)CCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416153873 283992148 /nfs/dbraw/zinc/99/21/48/283992148.db2.gz GJZUUUVTHWAAGT-UWVGGRQHSA-N -1 1 309.366 1.937 20 0 DDADMM O=C([O-])[C@H]1C=C[C@@H](NS(=O)(=O)c2c(F)cc(F)cc2F)C1 ZINC000092181983 193171161 /nfs/dbraw/zinc/17/11/61/193171161.db2.gz LINBNEGENOJMON-POYBYMJQSA-N -1 1 321.276 1.412 20 0 DDADMM CCN([C@@H]1CCCC[C@@H]1C)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349721623 284029912 /nfs/dbraw/zinc/02/99/12/284029912.db2.gz MCXOYMHNPWKOHP-GXSJLCMTSA-N -1 1 301.412 1.985 20 0 DDADMM COc1ncccc1CNC(=O)c1csc(=NC2CC2)[n-]1 ZINC000349804021 284059371 /nfs/dbraw/zinc/05/93/71/284059371.db2.gz UDOIYUXZTHCIBR-UHFFFAOYSA-N -1 1 304.375 1.473 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1ccc(F)c(F)c1F ZINC000349948532 284115775 /nfs/dbraw/zinc/11/57/75/284115775.db2.gz PORIZARWMDHDSS-UHFFFAOYSA-N -1 1 305.281 1.947 20 0 DDADMM CCN(C(=O)c1cc(F)ccc1[O-])[C@@H](C)CS(C)(=O)=O ZINC000094133683 284253152 /nfs/dbraw/zinc/25/31/52/284253152.db2.gz VTPKNKJYXICJQH-VIFPVBQESA-N -1 1 303.355 1.427 20 0 DDADMM C(N=c1nc(C2CC2)[n-]s1)c1nc(C2CCOCC2)n[nH]1 ZINC000631459919 422776204 /nfs/dbraw/zinc/77/62/04/422776204.db2.gz KDUYEZIXSZRQII-UHFFFAOYSA-N -1 1 306.395 1.462 20 0 DDADMM C(N=c1nc(C2CC2)[n-]s1)c1n[nH]c(C2CCOCC2)n1 ZINC000631459919 422776209 /nfs/dbraw/zinc/77/62/09/422776209.db2.gz KDUYEZIXSZRQII-UHFFFAOYSA-N -1 1 306.395 1.462 20 0 DDADMM O=C(COCc1ccccc1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000283016905 217389354 /nfs/dbraw/zinc/38/93/54/217389354.db2.gz WYWNCXSPYRORDN-ZDUSSCGKSA-N -1 1 316.361 1.021 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(C)(C)C[C@H]2C)co1 ZINC000332679190 248891523 /nfs/dbraw/zinc/89/15/23/248891523.db2.gz JMVCWTDULAMSQJ-SECBINFHSA-N -1 1 300.380 1.448 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000274095833 211352962 /nfs/dbraw/zinc/35/29/62/211352962.db2.gz NZDIXMKMQDEKQF-SNVBAGLBSA-N -1 1 317.794 1.695 20 0 DDADMM Cc1cc(NC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)ccn1 ZINC000104827749 194044751 /nfs/dbraw/zinc/04/47/51/194044751.db2.gz FWPHSCFXLZHXPS-UHFFFAOYSA-N -1 1 311.345 1.524 20 0 DDADMM COc1n[n-]c(=NC(=O)N(C)Cc2cc(C(C)(C)C)[nH]n2)s1 ZINC000338489287 249997513 /nfs/dbraw/zinc/99/75/13/249997513.db2.gz YJHCYUYDBBMYRR-UHFFFAOYSA-N -1 1 324.410 1.653 20 0 DDADMM COC(=O)c1ccc(C[N-]S(=O)(=O)c2sccc2F)o1 ZINC000338902637 250190564 /nfs/dbraw/zinc/19/05/64/250190564.db2.gz KLBMIJPXFWIMEO-UHFFFAOYSA-N -1 1 319.335 1.745 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)c1ccco1)c1sccc1F ZINC000338954835 250215633 /nfs/dbraw/zinc/21/56/33/250215633.db2.gz MJBAVCBLGDCQHW-VIFPVBQESA-N -1 1 305.352 1.882 20 0 DDADMM CS[C@H](C)C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338934905 250205093 /nfs/dbraw/zinc/20/50/93/250205093.db2.gz NJBNBILKDYNEPR-ZCFIWIBFSA-N -1 1 317.358 1.469 20 0 DDADMM Cc1ccc(C[N-]S(=O)(=O)c2sccc2F)c(=O)n1C ZINC000338971231 250226325 /nfs/dbraw/zinc/22/63/25/250226325.db2.gz CSTJABROUGAJDR-UHFFFAOYSA-N -1 1 316.379 1.373 20 0 DDADMM Cc1nccnc1CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000339060478 250274810 /nfs/dbraw/zinc/27/48/10/250274810.db2.gz YQMMSGBMBOVJEY-UHFFFAOYSA-N -1 1 313.329 1.584 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCC[C@H]2F)s1 ZINC000339117663 250301676 /nfs/dbraw/zinc/30/16/76/250301676.db2.gz BWJLRQYXVGISHJ-HTQZYQBOSA-N -1 1 307.368 1.704 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(C(=O)OC)cc2)n1 ZINC000339166489 250324551 /nfs/dbraw/zinc/32/45/51/250324551.db2.gz BKAOVIYPAOZRMP-UHFFFAOYSA-N -1 1 317.301 1.625 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](OC)c2ccccc2)n1 ZINC000339173398 250328502 /nfs/dbraw/zinc/32/85/02/250328502.db2.gz ZIQIILFNSXWQOW-GFCCVEGCSA-N -1 1 303.318 1.913 20 0 DDADMM COc1cccc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000339188781 250335990 /nfs/dbraw/zinc/33/59/90/250335990.db2.gz KVTPCWDARJGHLY-UHFFFAOYSA-N -1 1 317.345 1.733 20 0 DDADMM O=C(Cc1cccc(O)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339188762 250336191 /nfs/dbraw/zinc/33/61/91/250336191.db2.gz LOOPAAGPDKACCR-UHFFFAOYSA-N -1 1 303.318 1.430 20 0 DDADMM Cc1nc([C@H](C)NS(=O)(=O)c2ccc(C(=O)[O-])c(C)c2)n[nH]1 ZINC000285328418 218383340 /nfs/dbraw/zinc/38/33/40/218383340.db2.gz GAKUXBHVROTUDA-QMMMGPOBSA-N -1 1 324.362 1.159 20 0 DDADMM Cn1cc(-c2nc(C(=O)Nc3ccncc3[O-])cs2)cn1 ZINC000109890250 194296836 /nfs/dbraw/zinc/29/68/36/194296836.db2.gz WGTGELXTHZZGCI-UHFFFAOYSA-N -1 1 301.331 1.897 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1cnnn1C)C(F)(F)F ZINC000359730184 299524123 /nfs/dbraw/zinc/52/41/23/299524123.db2.gz LHDDUGPWOKNQMY-XPUUQOCRSA-N -1 1 300.306 1.070 20 0 DDADMM Cn1nccc1COCC(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000352215189 284870584 /nfs/dbraw/zinc/87/05/84/284870584.db2.gz BCJAOCWMJOTGSG-UHFFFAOYSA-N -1 1 317.374 1.449 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)c2cccnc2OC)n1 ZINC000340709825 251171978 /nfs/dbraw/zinc/17/19/78/251171978.db2.gz NJIRBUMTSBLFBW-UHFFFAOYSA-N -1 1 307.331 1.575 20 0 DDADMM CC(C)n1ccc([N-]S(=O)(=O)N2C[C@H](C)OC[C@H]2C)n1 ZINC000340972046 251311629 /nfs/dbraw/zinc/31/16/29/251311629.db2.gz GELPAZBKENFGHG-MNOVXSKESA-N -1 1 302.400 1.230 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCSC(C)(C)C)c1 ZINC000270000675 208053752 /nfs/dbraw/zinc/05/37/52/208053752.db2.gz JFSKNDFCOWNFHN-UHFFFAOYSA-N -1 1 321.420 1.876 20 0 DDADMM O=S(=O)([N-]C[C@@]1(CCO)CCOC1)c1cc(F)ccc1F ZINC000120166366 195110734 /nfs/dbraw/zinc/11/07/34/195110734.db2.gz LDHOYZFCXQDNNW-CYBMUJFWSA-N -1 1 321.345 1.032 20 0 DDADMM O=S(=O)([N-]CC(F)(F)F)c1cnn(C2CCOCC2)c1 ZINC000288633402 220307931 /nfs/dbraw/zinc/30/79/31/220307931.db2.gz SAOGYRUXVFBCEC-UHFFFAOYSA-N -1 1 313.301 1.075 20 0 DDADMM Cc1ccccc1OCCCN=c1ccc(C(=O)N(C)C)n[n-]1 ZINC000413119761 224138966 /nfs/dbraw/zinc/13/89/66/224138966.db2.gz AFKQCDRVRJJPBT-UHFFFAOYSA-N -1 1 314.389 1.790 20 0 DDADMM Cc1cc(F)ccc1-n1cc([O-])c(C(=O)N2CC(N(C)C)C2)n1 ZINC000158511016 197279602 /nfs/dbraw/zinc/27/96/02/197279602.db2.gz FOZGYYRGAQLZAJ-UHFFFAOYSA-N -1 1 318.352 1.411 20 0 DDADMM O=S(=O)([N-]c1cc(O)c(F)cc1F)c1cnc2n1CCC2 ZINC000414392786 224332254 /nfs/dbraw/zinc/33/22/54/224332254.db2.gz GMMWBUPWDUABPB-UHFFFAOYSA-N -1 1 315.301 1.614 20 0 DDADMM C[C@H](NC(=O)[C@](C)(N)c1ccc(Br)cc1)C(=O)[O-] ZINC000568768784 304311452 /nfs/dbraw/zinc/31/14/52/304311452.db2.gz HMGBVKRUPXMESO-JVXZTZIISA-N -1 1 315.167 1.212 20 0 DDADMM COc1cc(C(=O)N=c2[nH][nH]cc2C(C)C)cc(OC)c1[O-] ZINC000568956447 304323347 /nfs/dbraw/zinc/32/33/47/304323347.db2.gz UPDGQEZALAHXSS-UHFFFAOYSA-N -1 1 305.334 1.930 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1nc(C(F)(F)F)c[nH]1)C1CC1 ZINC000569124029 304338258 /nfs/dbraw/zinc/33/82/58/304338258.db2.gz YQTAWZMVBBTEPH-SSDOTTSWSA-N -1 1 313.301 1.595 20 0 DDADMM CC(C)(CN=c1[nH]c(C(=O)[O-])co1)c1ccc2c(c1)OCCO2 ZINC000569490087 304357981 /nfs/dbraw/zinc/35/79/81/304357981.db2.gz YPZJUPLANRFHJW-UHFFFAOYSA-N -1 1 318.329 1.956 20 0 DDADMM CC(C)(NC(=O)[C@@H]1[C@@H](c2ccccc2)C1(F)F)c1nn[n-]n1 ZINC000569649528 304371188 /nfs/dbraw/zinc/37/11/88/304371188.db2.gz ZFRTYRORMKPANY-ZJUUUORDSA-N -1 1 307.304 1.600 20 0 DDADMM C[C@@H]1CN(C(=O)CCc2nn[n-]n2)[C@@H](c2ccccc2)CO1 ZINC000631561470 422831309 /nfs/dbraw/zinc/83/13/09/422831309.db2.gz FUFXZEUJQFKWFE-DGCLKSJQSA-N -1 1 301.350 1.121 20 0 DDADMM O=C(NCC[C@@H](O)COCc1ccccc1)c1cncc([O-])c1 ZINC000629839312 422831317 /nfs/dbraw/zinc/83/13/17/422831317.db2.gz MTQXSTVZUGHYRV-OAHLLOKOSA-N -1 1 316.357 1.485 20 0 DDADMM O=C1COc2cc([N-]S(=O)(=O)c3cccc(F)c3)ccc2N1 ZINC000011908675 406832312 /nfs/dbraw/zinc/83/23/12/406832312.db2.gz FHRYMCNNIJBLAY-UHFFFAOYSA-N -1 1 322.317 1.957 20 0 DDADMM O=C(NC[C@H]1COCCO1)c1ccc(Br)cc1[O-] ZINC000044490850 407024609 /nfs/dbraw/zinc/02/46/09/407024609.db2.gz QZCDHEGTZBQKBP-VIFPVBQESA-N -1 1 316.151 1.300 20 0 DDADMM O=C(CO)Nc1cccc([N-]S(=O)(=O)c2ccc(F)cc2)c1 ZINC000078469853 407029455 /nfs/dbraw/zinc/02/94/55/407029455.db2.gz TWYVXUITVZZPSM-UHFFFAOYSA-N -1 1 324.333 1.557 20 0 DDADMM COc1cccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1O ZINC000078672880 407039908 /nfs/dbraw/zinc/03/99/08/407039908.db2.gz AQYKACNHBIKIHM-UHFFFAOYSA-N -1 1 302.212 1.790 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC[C@H](C)C1 ZINC000037500708 406990804 /nfs/dbraw/zinc/99/08/04/406990804.db2.gz FHGWGXAWXHQYQV-UWVGGRQHSA-N -1 1 315.395 1.443 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2cn[nH]c2)c(F)cc1F ZINC000037731584 406992385 /nfs/dbraw/zinc/99/23/85/406992385.db2.gz ZMRVJELKCYIMIJ-UHFFFAOYSA-N -1 1 317.273 1.275 20 0 DDADMM CC(C)COc1ncccc1C(=O)NCc1n[n-]c(=S)n1C ZINC000066625350 407256580 /nfs/dbraw/zinc/25/65/80/407256580.db2.gz CQSHMUMBZLNMNG-UHFFFAOYSA-N -1 1 321.406 1.837 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1cccc(F)c1F)C(N)=O ZINC000101923246 407317333 /nfs/dbraw/zinc/31/73/33/407317333.db2.gz FUVHZABASVWRJV-UHFFFAOYSA-N -1 1 306.334 1.287 20 0 DDADMM COC[C@H](NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O)C1CC1 ZINC000122688703 407308645 /nfs/dbraw/zinc/30/86/45/407308645.db2.gz IUKRSEFDGARFCE-NSHDSACASA-N -1 1 307.394 1.531 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCCC[C@@H]2C)o1 ZINC000107698003 407377885 /nfs/dbraw/zinc/37/78/85/407377885.db2.gz HIIGSPQRACZTKR-VHSXEESVSA-N -1 1 301.364 1.923 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cc(C(N)=O)n(C)c2)c(C)c1 ZINC000170708336 407479966 /nfs/dbraw/zinc/47/99/66/407479966.db2.gz RCVKJLURFVYZDL-UHFFFAOYSA-N -1 1 323.374 1.242 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC[C@@H](C)C[C@H]2C)co1 ZINC000151741336 407489019 /nfs/dbraw/zinc/48/90/19/407489019.db2.gz DZQSIMSQVSDWDS-CKYFFXLPSA-N -1 1 314.407 1.742 20 0 DDADMM CCOC[C@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000113963285 407532886 /nfs/dbraw/zinc/53/28/86/407532886.db2.gz ZKHVADUNFJGNGU-LBPRGKRZSA-N -1 1 315.373 1.812 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)Cc1c(F)cccc1F)C(=O)OC ZINC000271154802 407542998 /nfs/dbraw/zinc/54/29/98/407542998.db2.gz YHONPADTVYESMU-ZDUSSCGKSA-N -1 1 321.345 1.726 20 0 DDADMM CCC[C@H](NC(=O)Cc1cc(F)ccc1OC)c1nn[n-]n1 ZINC000186328896 407637765 /nfs/dbraw/zinc/63/77/65/407637765.db2.gz HROSBBSSEQHYLM-NSHDSACASA-N -1 1 307.329 1.548 20 0 DDADMM O=C([O-])[C@H]1CCN([C@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000178895972 407643859 /nfs/dbraw/zinc/64/38/59/407643859.db2.gz ULNONJVBQLNCDR-GWCFXTLKSA-N -1 1 308.765 1.852 20 0 DDADMM COC(=O)C[C@@H]1CSCCN1C(=O)c1cc(F)ccc1[O-] ZINC000271402810 407669605 /nfs/dbraw/zinc/66/96/05/407669605.db2.gz NWYOTXWCUPCZBN-SNVBAGLBSA-N -1 1 313.350 1.652 20 0 DDADMM CC[C@@H]1CC[C@@H](C)N1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000271406692 407673599 /nfs/dbraw/zinc/67/35/99/407673599.db2.gz JOTRZHZGPTTZHS-MWLCHTKSSA-N -1 1 300.380 1.591 20 0 DDADMM CC(=O)NCCCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000266978715 407701740 /nfs/dbraw/zinc/70/17/40/407701740.db2.gz OYEDZWLVYIWUKK-UHFFFAOYSA-N -1 1 308.382 1.044 20 0 DDADMM CCOc1cc(C(=O)N(C)CC(=O)N(C)C)cc(Cl)c1[O-] ZINC000186422478 407661999 /nfs/dbraw/zinc/66/19/99/407661999.db2.gz HUHGNJSLDAQQGQ-UHFFFAOYSA-N -1 1 314.769 1.605 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C(C)C)C2CCC2)co1 ZINC000153029875 407736902 /nfs/dbraw/zinc/73/69/02/407736902.db2.gz ISWISDAVBBOSTI-UHFFFAOYSA-N -1 1 300.380 1.591 20 0 DDADMM Cc1nc(N2CCN(C(=O)c3ncccc3[O-])CC2)sc1C ZINC000153136983 407763044 /nfs/dbraw/zinc/76/30/44/407763044.db2.gz KVGHEQPMBSWACX-UHFFFAOYSA-N -1 1 318.402 1.823 20 0 DDADMM COc1ccc(CN2CCCN(CCC(=O)[O-])CC2=O)cc1 ZINC000262404555 407825412 /nfs/dbraw/zinc/82/54/12/407825412.db2.gz OHGCRASEGKMGFY-UHFFFAOYSA-N -1 1 306.362 1.204 20 0 DDADMM COc1cnccc1[C@@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000187667665 407875395 /nfs/dbraw/zinc/87/53/95/407875395.db2.gz PHUVLJQBTPZRNK-SNVBAGLBSA-N -1 1 324.340 1.818 20 0 DDADMM CC(C)c1nc(C(=O)Nc2nn[n-]n2)nn1-c1cccc(F)c1 ZINC000117971028 407876039 /nfs/dbraw/zinc/87/60/39/407876039.db2.gz IFBWTIXKJQMGHC-UHFFFAOYSA-N -1 1 316.300 1.295 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cc(Cl)ccc1F ZINC000133430296 407844964 /nfs/dbraw/zinc/84/49/64/407844964.db2.gz QWJDZVJLRKTWBS-UHFFFAOYSA-N -1 1 311.762 1.884 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@H]1CCC(C)(C)O1)c2=O ZINC000187325083 407845206 /nfs/dbraw/zinc/84/52/06/407845206.db2.gz PVOYYQLGBBCGGF-SECBINFHSA-N -1 1 304.350 1.361 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1cccnc1SC(F)(F)F ZINC000272544747 407976866 /nfs/dbraw/zinc/97/68/66/407976866.db2.gz HJNNMQWUWBQUFT-UHFFFAOYSA-N -1 1 320.296 1.754 20 0 DDADMM CC[C@H]1CN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)CCS1 ZINC000154236552 407998294 /nfs/dbraw/zinc/99/82/94/407998294.db2.gz DCUXGIRMGJLWKC-JTQLQIEISA-N -1 1 318.420 1.155 20 0 DDADMM CCCc1c(C(=O)Nc2nnn[n-]2)cnn1Cc1ccccc1 ZINC000135471102 408018588 /nfs/dbraw/zinc/01/85/88/408018588.db2.gz AKHXOUYKBNCIPX-UHFFFAOYSA-N -1 1 311.349 1.649 20 0 DDADMM CCCc1c(C(=O)Nc2nn[n-]n2)cnn1Cc1ccccc1 ZINC000135471102 408018593 /nfs/dbraw/zinc/01/85/93/408018593.db2.gz AKHXOUYKBNCIPX-UHFFFAOYSA-N -1 1 311.349 1.649 20 0 DDADMM COCCS(=O)(=O)[N-]c1cccc(Cl)c1-n1cccn1 ZINC000154342994 408020102 /nfs/dbraw/zinc/02/01/02/408020102.db2.gz LFVLNURSDUSOCR-UHFFFAOYSA-N -1 1 315.782 1.914 20 0 DDADMM CCO[C@H]1C[C@@H]1NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000571129615 304438993 /nfs/dbraw/zinc/43/89/93/304438993.db2.gz UQKIWLGOSIPHQJ-STQMWFEESA-N -1 1 318.377 1.278 20 0 DDADMM C[C@H](O)C[C@@H]1CCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119423197 408065868 /nfs/dbraw/zinc/06/58/68/408065868.db2.gz AMUIFUQAYHEPNK-UWVGGRQHSA-N -1 1 304.350 1.049 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)C2CCOCC2)o1 ZINC000268500806 408069449 /nfs/dbraw/zinc/06/94/49/408069449.db2.gz AENVDZAWGKNWSQ-VIFPVBQESA-N -1 1 317.363 1.160 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)NC(=O)C1CCCCC1)c1nn[n-]n1 ZINC000136642045 408115061 /nfs/dbraw/zinc/11/50/61/408115061.db2.gz CZFOZPOPMHSHDJ-CMPLNLGQSA-N -1 1 322.413 1.242 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000263412438 408133583 /nfs/dbraw/zinc/13/35/83/408133583.db2.gz JGFKVMYMVNQKQM-UHFFFAOYSA-N -1 1 305.338 1.230 20 0 DDADMM COc1cc(F)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1OC ZINC000273470352 408214158 /nfs/dbraw/zinc/21/41/58/408214158.db2.gz GQQDUJCWTXDEQI-QMMMGPOBSA-N -1 1 323.328 1.232 20 0 DDADMM C[C@@H]1CC[C@H](C[NH+]2CCN(C(=O)c3ccc(O)cc3)CC2)O1 ZINC000190771983 408318391 /nfs/dbraw/zinc/31/83/91/408318391.db2.gz VRWSNRLXZGQQFN-CZUORRHYSA-N -1 1 304.390 1.718 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@H]1C[C@H]1c1cccc(F)c1F ZINC000176263799 408326186 /nfs/dbraw/zinc/32/61/86/408326186.db2.gz TUAYTCJZPWWOHD-UWVGGRQHSA-N -1 1 322.315 1.229 20 0 DDADMM COCCOCC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131327882 162012658 /nfs/dbraw/zinc/01/26/58/162012658.db2.gz IZZMIPKYYBHGBB-UHFFFAOYSA-N -1 1 311.762 1.420 20 0 DDADMM CN(C)C(=O)NCC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000133293696 162055716 /nfs/dbraw/zinc/05/57/16/162055716.db2.gz COWAAXWUDAIUDP-UHFFFAOYSA-N -1 1 323.777 1.029 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1cnc2ccccc2n1)c1nn[n-]n1 ZINC000176791070 408445645 /nfs/dbraw/zinc/44/56/45/408445645.db2.gz BMPRNJNIKAHUAO-MYSGNRETSA-N -1 1 323.360 1.814 20 0 DDADMM Cn1cc(NC(=O)c2cncc([O-])c2)cc(Br)c1=O ZINC000176847626 408457843 /nfs/dbraw/zinc/45/78/43/408457843.db2.gz QAIUFCZUSINPAB-UHFFFAOYSA-N -1 1 324.134 1.501 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccccc1Br)c1nn[n-]n1 ZINC000183416056 408404783 /nfs/dbraw/zinc/40/47/83/408404783.db2.gz QQCIRXIDSFAWND-MRVPVSSYSA-N -1 1 324.182 1.838 20 0 DDADMM CC[C@H](C)CN(CC)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000176625199 408405856 /nfs/dbraw/zinc/40/58/56/408405856.db2.gz XLXGCJIIPAWVET-JTQLQIEISA-N -1 1 302.396 1.696 20 0 DDADMM CCC[C@H](NC(=O)c1cc2c(c(Cl)c1)OCO2)c1nn[n-]n1 ZINC000176752295 408431504 /nfs/dbraw/zinc/43/15/04/408431504.db2.gz GMNKMTAXHUIUTM-VIFPVBQESA-N -1 1 323.740 1.853 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)c2ccnn2C)cc1OC ZINC000264424162 408483837 /nfs/dbraw/zinc/48/38/37/408483837.db2.gz HXLKAQGBGHZAGA-UHFFFAOYSA-N -1 1 315.326 1.377 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1ccc(N(C)C)cc1)c1nn[n-]n1 ZINC000274753420 408521127 /nfs/dbraw/zinc/52/11/27/408521127.db2.gz ICIIQRQJYAAZPM-LBPRGKRZSA-N -1 1 316.409 1.460 20 0 DDADMM COCCOc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000274799385 408535415 /nfs/dbraw/zinc/53/54/15/408535415.db2.gz SASGRKBSZYQJHU-LLVKDONJSA-N -1 1 319.365 1.101 20 0 DDADMM CCNC(=O)c1ccc([N-]S(=O)(=O)C[C@@H](C)OC)cc1 ZINC000193314040 408710906 /nfs/dbraw/zinc/71/09/06/408710906.db2.gz LQUWYDANRBLCQM-SNVBAGLBSA-N -1 1 300.380 1.213 20 0 DDADMM Cc1cc(C(=O)[O-])c(F)c(S(=O)(=O)N(C)CCN(C)C)c1 ZINC000184903387 408713747 /nfs/dbraw/zinc/71/37/47/408713747.db2.gz QWMOXAAJWUUQTA-UHFFFAOYSA-N -1 1 318.370 1.014 20 0 DDADMM Cc1cc(N2CCC(NC(=O)c3cc(F)ccc3[O-])CC2)n[nH]1 ZINC000275950650 408730007 /nfs/dbraw/zinc/73/00/07/408730007.db2.gz JIGQVFKBXYOQNN-UHFFFAOYSA-N -1 1 318.352 1.962 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C)c(NC(C)=O)c1)c1nn[n-]n1 ZINC000177653650 408678236 /nfs/dbraw/zinc/67/82/36/408678236.db2.gz ZDEXZEKFDTYQCA-GFCCVEGCSA-N -1 1 316.365 1.738 20 0 DDADMM O=C(N[C@H](CO)Cc1ccc(O)cc1)c1cc(F)ccc1[O-] ZINC000185375998 408802752 /nfs/dbraw/zinc/80/27/52/408802752.db2.gz YVDORDHQGHFPHT-LBPRGKRZSA-N -1 1 305.305 1.570 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CSC2(C)C)c1 ZINC000276293687 408830212 /nfs/dbraw/zinc/83/02/12/408830212.db2.gz JKEKVNXCSGZKMM-MRVPVSSYSA-N -1 1 305.377 1.239 20 0 DDADMM CC1(C)NC(=O)N(CCSc2nc(C3CC3)cc(=O)[n-]2)C1=O ZINC000276406580 408851412 /nfs/dbraw/zinc/85/14/12/408851412.db2.gz XORPTMGULDHWAP-UHFFFAOYSA-N -1 1 322.390 1.482 20 0 DDADMM CCOC(=O)C(C)(C)C(=O)CSc1nc(C(=O)OC)c[n-]1 ZINC000280970477 408867550 /nfs/dbraw/zinc/86/75/50/408867550.db2.gz KYFJIGHLKQURJV-UHFFFAOYSA-N -1 1 314.363 1.447 20 0 DDADMM COCC[C@@H](CO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000281680472 408909347 /nfs/dbraw/zinc/90/93/47/408909347.db2.gz PJMATYYYZHVORM-VIFPVBQESA-N -1 1 307.268 1.538 20 0 DDADMM COC(=O)c1cnc(S[C@H](C(N)=O)c2ccc(F)cc2)[n-]1 ZINC000187599590 163022547 /nfs/dbraw/zinc/02/25/47/163022547.db2.gz SJPFKDGIBZZCGQ-JTQLQIEISA-N -1 1 309.322 1.654 20 0 DDADMM COC(=O)c1c[n-]c(S[C@H](C(N)=O)c2ccc(F)cc2)n1 ZINC000187599590 163022549 /nfs/dbraw/zinc/02/25/49/163022549.db2.gz SJPFKDGIBZZCGQ-JTQLQIEISA-N -1 1 309.322 1.654 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2OCCC[C@H]12)c1cc(F)ccc1F ZINC000190651568 163151280 /nfs/dbraw/zinc/15/12/80/163151280.db2.gz VXNOKAXBBMGHEH-JLLWLGSASA-N -1 1 303.330 1.811 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@@H]([C@@H](O)C(F)(F)F)C1 ZINC000277523572 408958547 /nfs/dbraw/zinc/95/85/47/408958547.db2.gz ODYMTULJICHXDR-LDYMZIIASA-N -1 1 304.268 1.563 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C2/CC[C@H](C)C2)c1 ZINC000292244970 409008522 /nfs/dbraw/zinc/00/85/22/409008522.db2.gz OGAOYLZSYCXLMI-DFYQSJSGSA-N -1 1 324.402 1.985 20 0 DDADMM O=C(NC1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1)C1CC1 ZINC000287340527 409022636 /nfs/dbraw/zinc/02/26/36/409022636.db2.gz PIHIZKGLCBZOKX-UHFFFAOYSA-N -1 1 316.357 1.092 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1cccnn1 ZINC000278051793 409057568 /nfs/dbraw/zinc/05/75/68/409057568.db2.gz XBXFXXUANZKUGM-UHFFFAOYSA-N -1 1 301.350 1.508 20 0 DDADMM COC(=O)[C@H](CF)[N-]S(=O)(=O)c1ccc(Cl)s1 ZINC000287601427 409061646 /nfs/dbraw/zinc/06/16/46/409061646.db2.gz YTFWKHKYRJWUAH-YFKPBYRVSA-N -1 1 301.748 1.191 20 0 DDADMM COCCn1ccc(C(=O)Nc2cc(C(=O)OC)ccc2[O-])n1 ZINC000282846239 409065601 /nfs/dbraw/zinc/06/56/01/409065601.db2.gz RRKFVJCDDBMSMQ-UHFFFAOYSA-N -1 1 319.317 1.274 20 0 DDADMM CC(C)(NS(C)(=O)=O)c1nc(-c2ccc([O-])c(F)c2)no1 ZINC000278168386 409076481 /nfs/dbraw/zinc/07/64/81/409076481.db2.gz NKIYLNCZJBJVKN-UHFFFAOYSA-N -1 1 315.326 1.366 20 0 DDADMM CCOC(=O)N[C@@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000287724192 409082020 /nfs/dbraw/zinc/08/20/20/409082020.db2.gz SQKSEQOAXVGQCX-GFCCVEGCSA-N -1 1 320.345 1.312 20 0 DDADMM O=C(N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)C(=O)c1ccc([O-])cc1 ZINC000283218838 409114431 /nfs/dbraw/zinc/11/44/31/409114431.db2.gz AREGQUFCGLAIRX-CFVMTHIKSA-N -1 1 301.342 1.649 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@]1(O)CCO[C@@H]1C ZINC000279040462 409137416 /nfs/dbraw/zinc/13/74/16/409137416.db2.gz AAZRRKUEBDRFEP-QLJPJBMISA-N -1 1 323.393 1.058 20 0 DDADMM CCC[C@@H](NC(=O)c1nc2cccnc2s1)c1nn[n-]n1 ZINC000283336406 409138826 /nfs/dbraw/zinc/13/88/26/409138826.db2.gz WXEVEKKHZRJSLB-SSDOTTSWSA-N -1 1 303.351 1.476 20 0 DDADMM COc1cncc(C(=O)[N-]c2nnc(C3CCOCC3)s2)n1 ZINC000294061018 409233627 /nfs/dbraw/zinc/23/36/27/409233627.db2.gz HGVLEUUBQAIKMP-UHFFFAOYSA-N -1 1 321.362 1.483 20 0 DDADMM COCCN1CCN(C(=O)c2c(F)ccc([O-])c2F)C[C@H]1C ZINC000289361808 409254744 /nfs/dbraw/zinc/25/47/44/409254744.db2.gz KMYZEWXXDMIUKK-SNVBAGLBSA-N -1 1 314.332 1.463 20 0 DDADMM Cc1nc(CC(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c(C)s1 ZINC000294191644 409257376 /nfs/dbraw/zinc/25/73/76/409257376.db2.gz KGWFHYXZDHCGCX-JTQLQIEISA-N -1 1 321.406 1.120 20 0 DDADMM O=S(=O)([N-]CC1(O)CCOCC1)c1cc(Cl)ccc1F ZINC000284147730 409285056 /nfs/dbraw/zinc/28/50/56/409285056.db2.gz FCHMNDKTIHGLNO-UHFFFAOYSA-N -1 1 323.773 1.299 20 0 DDADMM C[C@@H](CO)[N-]S(=O)(=O)c1c(Cl)cc(F)cc1Cl ZINC000280609490 409379931 /nfs/dbraw/zinc/37/99/31/409379931.db2.gz QGEKXYURJJNKPI-YFKPBYRVSA-N -1 1 302.154 1.792 20 0 DDADMM CCOC(=O)CC[C@@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295568322 409352515 /nfs/dbraw/zinc/35/25/15/409352515.db2.gz QWPXUOFYWSDPGZ-SECBINFHSA-N -1 1 322.390 1.480 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)OCc1ccc(F)cc1)c1nn[n-]n1 ZINC000296029383 409404565 /nfs/dbraw/zinc/40/45/65/409404565.db2.gz OYMXLYCVUUISNC-MNOVXSKESA-N -1 1 321.356 1.506 20 0 DDADMM CNS(=O)(=O)c1ccc(C)c(NC(=O)c2cncc([O-])c2)c1 ZINC000316378181 164021848 /nfs/dbraw/zinc/02/18/48/164021848.db2.gz WHJVETRDLSYLGF-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)[C@H]2Cc3ccccc3CN2)cc1 ZINC000321982117 164046134 /nfs/dbraw/zinc/04/61/34/164046134.db2.gz MPGNCKDLZDFJLN-MRXNPFEDSA-N -1 1 310.353 1.716 20 0 DDADMM COCCn1ccc([N-]S(=O)(=O)N2C[C@H](C)C[C@@H](C)C2)n1 ZINC000352201737 164119363 /nfs/dbraw/zinc/11/93/63/164119363.db2.gz VARYFTDRHIEGPR-VXGBXAGGSA-N -1 1 316.427 1.164 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2[C@@H]2CCC[C@H]2O)c([O-])c1 ZINC000408061934 164212862 /nfs/dbraw/zinc/21/28/62/164212862.db2.gz GKOMWEGVWZWOPH-YNEHKIRRSA-N -1 1 306.362 1.098 20 0 DDADMM O=C(CCC1CCOCC1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000408066726 164215152 /nfs/dbraw/zinc/21/51/52/164215152.db2.gz BNRBJYBKUDYBNE-LBPRGKRZSA-N -1 1 309.366 1.688 20 0 DDADMM Cc1ccc(O)c(C[N@H+]2CCN(C(=O)N(C)C)CC2(C)C)n1 ZINC000408222587 164265602 /nfs/dbraw/zinc/26/56/02/164265602.db2.gz QSKDYCNGAAMYPF-UHFFFAOYSA-N -1 1 306.410 1.673 20 0 DDADMM C[C@H](NC(=O)Cc1nn[n-]n1)c1ccc(Cl)cc1Cl ZINC000408497685 164350598 /nfs/dbraw/zinc/35/05/98/164350598.db2.gz JNQVHPQBSPJPDY-LURJTMIESA-N -1 1 300.149 1.926 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C(=O)c2[nH]nc(C)c2C)sc1C ZINC000408505054 164354015 /nfs/dbraw/zinc/35/40/15/164354015.db2.gz YHXBSKJTVGOYDE-UHFFFAOYSA-N -1 1 314.392 1.219 20 0 DDADMM Cc1ccc2ncnc(N3CCC[C@@H](c4nc(=O)[n-][nH]4)C3)c2c1 ZINC000285586104 409498779 /nfs/dbraw/zinc/49/87/79/409498779.db2.gz KULOWQCMVQEJIC-LLVKDONJSA-N -1 1 310.361 1.734 20 0 DDADMM CCS[C@H]1CCC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337918373 409567498 /nfs/dbraw/zinc/56/74/98/409567498.db2.gz WNXJVVDYCCZPGM-JQWIXIFHSA-N -1 1 323.418 1.307 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@@H](C2CC2)O1 ZINC000296359540 409512224 /nfs/dbraw/zinc/51/22/24/409512224.db2.gz QTXFHBFLPUYMPX-SKDRFNHKSA-N -1 1 318.402 1.658 20 0 DDADMM Cn1cc(C(=O)N=c2cc(-c3cccc(F)c3F)[n-][nH]2)nn1 ZINC000348853407 409554715 /nfs/dbraw/zinc/55/47/15/409554715.db2.gz QFGQMMMMRWYOAA-UHFFFAOYSA-N -1 1 304.260 1.158 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCCc1ccc(F)cc1 ZINC000337950541 409597749 /nfs/dbraw/zinc/59/77/49/409597749.db2.gz GTXVLDRDONGQKO-UHFFFAOYSA-N -1 1 317.320 1.015 20 0 DDADMM CCO[C@H]1C[C@H]1NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000571368608 304455400 /nfs/dbraw/zinc/45/54/00/304455400.db2.gz OSBWHEAIUPGYSQ-MNOVXSKESA-N -1 1 311.407 1.439 20 0 DDADMM CCOC1CC(N(C)C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000342522102 409693314 /nfs/dbraw/zinc/69/33/14/409693314.db2.gz RSAXOYGQLOQQFD-UHFFFAOYSA-N -1 1 301.346 1.563 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(OC(F)F)cn1)c1nn[n-]n1 ZINC000356937755 409731606 /nfs/dbraw/zinc/73/16/06/409731606.db2.gz RIHQGPVCSWRLIO-QMMMGPOBSA-N -1 1 312.280 1.467 20 0 DDADMM Cc1cnc(C(=O)NCCc2nnnn2-c2ccccc2)c([O-])c1 ZINC000338238822 409825117 /nfs/dbraw/zinc/82/51/17/409825117.db2.gz ONHIVYMRKWWKEH-UHFFFAOYSA-N -1 1 324.344 1.044 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ccc(F)cn2)c1 ZINC000338230474 409819258 /nfs/dbraw/zinc/81/92/58/409819258.db2.gz UCWRZWYIEWAEDM-UHFFFAOYSA-N -1 1 314.294 1.079 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(Cc2cccc(F)c2)CCC1 ZINC000357081315 409856613 /nfs/dbraw/zinc/85/66/13/409856613.db2.gz VFXDGHUBEYINMK-UHFFFAOYSA-N -1 1 315.352 1.857 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccc(F)c(F)c2)CC[C@@H]1C(=O)[O-] ZINC000318737429 409882746 /nfs/dbraw/zinc/88/27/46/409882746.db2.gz JZCASTSQWNWMOE-ONGXEEELSA-N -1 1 312.316 1.946 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC[C@@H]1CCCC[C@H]1C ZINC000349639229 409895992 /nfs/dbraw/zinc/89/59/92/409895992.db2.gz QWFFYUURHDMAKV-KOLCDFICSA-N -1 1 301.412 1.890 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@@H]1CCCC[C@H]1C ZINC000349639229 409896000 /nfs/dbraw/zinc/89/60/00/409896000.db2.gz QWFFYUURHDMAKV-KOLCDFICSA-N -1 1 301.412 1.890 20 0 DDADMM O=C(Nc1nn[n-]n1)c1csc(-c2ccc3c(c2)OCO3)n1 ZINC000342861668 409955128 /nfs/dbraw/zinc/95/51/28/409955128.db2.gz UWJDZBKLUWGSLL-UHFFFAOYSA-N -1 1 316.302 1.304 20 0 DDADMM COc1ccc(NC(=O)c2cncnc2)cc1[N-]S(C)(=O)=O ZINC000354675693 410009291 /nfs/dbraw/zinc/00/92/91/410009291.db2.gz MRRQMTAFRPDTKE-UHFFFAOYSA-N -1 1 322.346 1.109 20 0 DDADMM Cn1ccc(CC[N-]S(=O)(=O)c2cccc(F)c2F)n1 ZINC000354694705 410024176 /nfs/dbraw/zinc/02/41/76/410024176.db2.gz QRLPGVLZMXNOSL-UHFFFAOYSA-N -1 1 301.318 1.219 20 0 DDADMM CCNC(=O)CC(=O)Nc1cc(C)cc(Br)c1[O-] ZINC000357505598 410073424 /nfs/dbraw/zinc/07/34/24/410073424.db2.gz XKFJFQBZUQTAES-UHFFFAOYSA-N -1 1 315.167 1.928 20 0 DDADMM CC(C)(NC(=O)[C@H]1SCCc2ccccc21)c1nn[n-]n1 ZINC000354785467 410086870 /nfs/dbraw/zinc/08/68/70/410086870.db2.gz HULCUMDCAPCOGZ-NSHDSACASA-N -1 1 303.391 1.582 20 0 DDADMM Cc1ccc2c(CCC(=O)NC(C)(C)c3nn[n-]n3)c[nH]c2c1 ZINC000354758148 410067509 /nfs/dbraw/zinc/06/75/09/410067509.db2.gz DKUPQYNIPVZMJV-UHFFFAOYSA-N -1 1 312.377 1.974 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)Cc2cc[nH]n2)c(=O)[n-]1 ZINC000351634010 410121304 /nfs/dbraw/zinc/12/13/04/410121304.db2.gz YCXOUNMAXPTOET-UHFFFAOYSA-N -1 1 321.406 1.527 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2sc(COC)nc2C)n1 ZINC000339392589 410155320 /nfs/dbraw/zinc/15/53/20/410155320.db2.gz NIXDAORMAQZROE-UHFFFAOYSA-N -1 1 324.362 1.750 20 0 DDADMM O=S(=O)([N-]Cc1noc(C2CC2)n1)c1cc(F)ccc1F ZINC000343116048 410159921 /nfs/dbraw/zinc/15/99/21/410159921.db2.gz DJZVZWVEZTUPCB-UHFFFAOYSA-N -1 1 315.301 1.704 20 0 DDADMM CC(C)[C@@H]1OCCC[C@@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000332446031 410165190 /nfs/dbraw/zinc/16/51/90/410165190.db2.gz KBKFVAHPPLOMOQ-STQMWFEESA-N -1 1 323.393 1.932 20 0 DDADMM COc1cc(NC(=O)c2ccnnc2)ccc1[N-]S(C)(=O)=O ZINC000339588106 410306910 /nfs/dbraw/zinc/30/69/10/410306910.db2.gz WEMIIBOCHRZIAD-UHFFFAOYSA-N -1 1 322.346 1.109 20 0 DDADMM Cc1cc([C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)ccc1F ZINC000329582398 410369357 /nfs/dbraw/zinc/36/93/57/410369357.db2.gz LLKDVAVIOHVQAX-ZDUSSCGKSA-N -1 1 306.341 1.129 20 0 DDADMM Cc1nc([C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)sc1C ZINC000329591685 410375406 /nfs/dbraw/zinc/37/54/06/410375406.db2.gz NXIMEZGGUUFWGX-LLVKDONJSA-N -1 1 307.423 1.561 20 0 DDADMM Cc1ncccc1C=C([O-])Nc1nc(-c2cccnc2)ns1 ZINC000355326184 410444950 /nfs/dbraw/zinc/44/49/50/410444950.db2.gz ZQVFVQVJNMYNSF-UHFFFAOYSA-N -1 1 311.370 1.907 20 0 DDADMM Cc1cc(=NC(=O)NCCCN2C[C@@H](C)O[C@@H](C)C2)[n-]nc1C ZINC000343546588 410523345 /nfs/dbraw/zinc/52/33/45/410523345.db2.gz LOAWUVLWIHNTEU-BETUJISGSA-N -1 1 321.425 1.136 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2ncc(C)o2)c(=O)[n-]1 ZINC000343573761 410543369 /nfs/dbraw/zinc/54/33/69/410543369.db2.gz PMQXFPGUNHGMRI-UHFFFAOYSA-N -1 1 322.390 1.758 20 0 DDADMM CC[C@H](C)c1ccccc1OCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000352210765 410552171 /nfs/dbraw/zinc/55/21/71/410552171.db2.gz MQWQBHQMUZYXKU-NSHDSACASA-N -1 1 315.377 1.898 20 0 DDADMM Cc1ccc2[nH]c([C@H]3CCCN3Cc3nc(=O)n(C)[n-]3)nc2c1 ZINC000333449556 410552659 /nfs/dbraw/zinc/55/26/59/410552659.db2.gz RLOWXPIZUZUCFA-CYBMUJFWSA-N -1 1 312.377 1.630 20 0 DDADMM O=C(CNC(=O)c1ccc2c(c1)CCC2)Nc1ccncc1[O-] ZINC000347556262 410616046 /nfs/dbraw/zinc/61/60/46/410616046.db2.gz OTAMCFFLNQPYOC-UHFFFAOYSA-N -1 1 311.341 1.066 20 0 DDADMM CC1(C)CCCC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343825031 410728930 /nfs/dbraw/zinc/72/89/30/410728930.db2.gz HXALJRZRPRVUDG-LBPRGKRZSA-N -1 1 305.378 1.602 20 0 DDADMM C[C@@H](SCc1ccccc1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359784896 410878400 /nfs/dbraw/zinc/87/84/00/410878400.db2.gz IMDXAEDXWDSRQJ-SNVBAGLBSA-N -1 1 305.407 1.873 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCC1CC1)c1cccs1 ZINC000356179679 410881022 /nfs/dbraw/zinc/88/10/22/410881022.db2.gz ISSACTDGYVJSRU-LLVKDONJSA-N -1 1 303.405 1.682 20 0 DDADMM CCC1(C(=O)Nc2cc(S(=O)(=O)NC)ccc2[O-])CCC1 ZINC000359698408 410820916 /nfs/dbraw/zinc/82/09/16/410820916.db2.gz HWUZCVIHHJETAJ-UHFFFAOYSA-N -1 1 312.391 1.819 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)C[C@@H]2CCCO2)o1 ZINC000341147716 410897619 /nfs/dbraw/zinc/89/76/19/410897619.db2.gz SPDPKFXDLHCQDN-UWVGGRQHSA-N -1 1 317.363 1.302 20 0 DDADMM CO[C@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C[C@@H]1OC ZINC000331142826 410975320 /nfs/dbraw/zinc/97/53/20/410975320.db2.gz GBUQCKRLFVGRSC-QWRGUYRKSA-N -1 1 323.393 1.274 20 0 DDADMM COC(=O)[C@@]12CN(C(=O)c3ncc(C)cc3[O-])C[C@@H]1CCCC2 ZINC000356361425 410984580 /nfs/dbraw/zinc/98/45/80/410984580.db2.gz PIFJVJAGBQSNCJ-SJCJKPOMSA-N -1 1 318.373 1.901 20 0 DDADMM CCc1cc(=O)[n-]c(SCCN2C(=O)NC(C)(C)C2=O)n1 ZINC000331162746 410989134 /nfs/dbraw/zinc/98/91/34/410989134.db2.gz MMEUPLYRWQXCML-UHFFFAOYSA-N -1 1 310.379 1.372 20 0 DDADMM O=c1[nH]c(CC(F)(F)F)c([O-])n1-c1ccn(CC(F)F)n1 ZINC000348691136 411056969 /nfs/dbraw/zinc/05/69/69/411056969.db2.gz QVCTUOOGLQZJJC-YFKPBYRVSA-N -1 1 312.198 1.525 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)CC(=O)N1 ZINC000348574782 411024129 /nfs/dbraw/zinc/02/41/29/411024129.db2.gz KYTGGUWFXXXAMD-PHDIDXHHSA-N -1 1 305.260 1.067 20 0 DDADMM COc1ccc(=NC(=O)NC[C@H](c2cccs2)N(C)C)[n-]n1 ZINC000356449800 411038103 /nfs/dbraw/zinc/03/81/03/411038103.db2.gz UWLVMAJIDALZLN-SNVBAGLBSA-N -1 1 321.406 1.393 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCn3cccc3[C@H]2C)c1 ZINC000631653691 422869090 /nfs/dbraw/zinc/86/90/90/422869090.db2.gz YZLSGQDRFQUVEJ-LLVKDONJSA-N -1 1 322.386 1.968 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1ccc(C)c(C(C)=O)c1 ZINC000580145386 422893188 /nfs/dbraw/zinc/89/31/88/422893188.db2.gz RXJPLVCONGFERY-UHFFFAOYSA-N -1 1 301.302 1.960 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)c1cccc(C(F)(F)F)c1[O-] ZINC000580326473 422918713 /nfs/dbraw/zinc/91/87/13/422918713.db2.gz IFXIXKLJFBYWRG-UHFFFAOYSA-N -1 1 300.240 1.801 20 0 DDADMM O=S(=O)([N-]CCN1CCOCC1)c1c(F)cccc1Cl ZINC000130940817 196124853 /nfs/dbraw/zinc/12/48/53/196124853.db2.gz WNTMBDFJJDKCEV-UHFFFAOYSA-N -1 1 322.789 1.090 20 0 DDADMM CCc1nn(C)c(OC)c1CNC(=O)c1c([O-])cccc1F ZINC000131289274 196157532 /nfs/dbraw/zinc/15/75/32/196157532.db2.gz SFUHRRCPSVUSHR-UHFFFAOYSA-N -1 1 307.325 1.766 20 0 DDADMM O=C(c1ccc(CO)c(F)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000647658948 422988480 /nfs/dbraw/zinc/98/84/80/422988480.db2.gz CWPDFNVDXBDWJB-JTQLQIEISA-N -1 1 321.308 1.426 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2COCC2(C)C)c(=O)[n-]1 ZINC000647666812 422990665 /nfs/dbraw/zinc/99/06/65/422990665.db2.gz ZTGBKGFWJJLZOV-UHFFFAOYSA-N -1 1 311.407 1.740 20 0 DDADMM COC[C@H](C)[C@@H](C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000643165782 423049734 /nfs/dbraw/zinc/04/97/34/423049734.db2.gz GOAXFMCAAJCATE-DMDPSCGWSA-N -1 1 303.366 1.324 20 0 DDADMM O=S(=O)([N-]CC1(CO)CC1)c1ncccc1C(F)(F)F ZINC000645576159 423093799 /nfs/dbraw/zinc/09/37/99/423093799.db2.gz OEXFLWGCRVACGU-UHFFFAOYSA-N -1 1 310.297 1.151 20 0 DDADMM COc1ccc(C(=O)C2CCN(CCOCC(=O)[O-])CC2)cc1 ZINC000571691610 304480632 /nfs/dbraw/zinc/48/06/32/304480632.db2.gz DLWGTEIUXBLKFT-UHFFFAOYSA-N -1 1 321.373 1.691 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)Nc1cc[nH]n1 ZINC000621095421 417275785 /nfs/dbraw/zinc/27/57/85/417275785.db2.gz JOAYYHZATQDOBK-UHFFFAOYSA-N -1 1 310.317 1.457 20 0 DDADMM O=C(NCc1ncc2c(n1)CCOC2)c1ccc([O-])cc1F ZINC000652753751 423117480 /nfs/dbraw/zinc/11/74/80/423117480.db2.gz PUORCUYQUISXQI-UHFFFAOYSA-N -1 1 303.293 1.324 20 0 DDADMM CSc1nc(CNC(=O)[C@@H](C)Oc2cccnc2)cc(=O)[n-]1 ZINC000640657754 423117785 /nfs/dbraw/zinc/11/77/85/423117785.db2.gz ILDHDLFXCXTSFG-SECBINFHSA-N -1 1 320.374 1.383 20 0 DDADMM CSc1nc(CNC(=O)CC[C@H]2CC[C@H](C)O2)cc(=O)[n-]1 ZINC000640659279 423120610 /nfs/dbraw/zinc/12/06/10/423120610.db2.gz WEFNZCYCCWGNKQ-GXSJLCMTSA-N -1 1 311.407 1.868 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@@](C)(O)CC1)c2=O ZINC000366242681 418447264 /nfs/dbraw/zinc/44/72/64/418447264.db2.gz BUHBUNUYZKRUHH-OAHLLOKOSA-N -1 1 304.350 1.051 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC(C3CCCC3)C1)c2=O ZINC000373716896 418452058 /nfs/dbraw/zinc/45/20/58/418452058.db2.gz YMNUHBHDLHYSKG-UHFFFAOYSA-N -1 1 300.362 1.936 20 0 DDADMM O=C1CC[C@H](N=c2nc(C(F)(F)F)[n-]s2)[C@H](C2CC2)N1 ZINC000367416901 418598063 /nfs/dbraw/zinc/59/80/63/418598063.db2.gz BWKYALBAMJRCIW-XPUUQOCRSA-N -1 1 306.313 1.448 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCCOC1CCCCC1 ZINC000332548679 418615273 /nfs/dbraw/zinc/61/52/73/418615273.db2.gz DVSZWGGILUPBLH-UHFFFAOYSA-N -1 1 317.411 1.583 20 0 DDADMM CCS[C@@H]1CCCCN(CCS(=O)(=O)CC(=O)[O-])C1 ZINC000367883446 418651752 /nfs/dbraw/zinc/65/17/52/418651752.db2.gz HQAKTRBZWCEUCM-LLVKDONJSA-N -1 1 309.453 1.093 20 0 DDADMM COc1ccccc1S(=O)(=O)[N-]c1nc(C2CCC2)n[nH]1 ZINC000361527703 418671002 /nfs/dbraw/zinc/67/10/02/418671002.db2.gz IYSLOTRLSPWMEB-UHFFFAOYSA-N -1 1 308.363 1.882 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@]2(CNC(=O)O2)C1 ZINC000368144060 418696314 /nfs/dbraw/zinc/69/63/14/418696314.db2.gz VZVYMHDNWNDFFS-AWEZNQCLSA-N -1 1 312.272 1.385 20 0 DDADMM O=S(=O)([N-]CCCCCO)c1ncccc1C(F)(F)F ZINC000645688623 423142801 /nfs/dbraw/zinc/14/28/01/423142801.db2.gz XTHVUFLTKDKVPT-UHFFFAOYSA-N -1 1 312.313 1.541 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(C(=O)[C@@H]2NCCc3ccccc32)C1 ZINC000387143241 418743046 /nfs/dbraw/zinc/74/30/46/418743046.db2.gz DPAFMSYPMXPXAK-BLLLJJGKSA-N -1 1 302.374 1.587 20 0 DDADMM CN(C)S(=O)(=O)CCNC(=O)c1cc2ccccc2cc1[O-] ZINC000291642211 222524831 /nfs/dbraw/zinc/52/48/31/222524831.db2.gz VSYFTZIEXHCOIQ-UHFFFAOYSA-N -1 1 322.386 1.167 20 0 DDADMM C[C@]1(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CC1(Cl)Cl ZINC000371025450 418757099 /nfs/dbraw/zinc/75/70/99/418757099.db2.gz NPVPPBIMWFTGLC-GMSGAONNSA-N -1 1 304.181 1.490 20 0 DDADMM C[C@@H](CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC000364768465 418809706 /nfs/dbraw/zinc/80/97/06/418809706.db2.gz GJXREMXHNQDZFC-GXFFZTMASA-N -1 1 319.340 1.433 20 0 DDADMM CN(C)c1ncnc2c1CN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC000365939183 418923943 /nfs/dbraw/zinc/92/39/43/418923943.db2.gz XEGGUZCJTWHWMP-UHFFFAOYSA-N -1 1 316.336 1.586 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)C(=O)NC(C)C)c(F)c1 ZINC000425186616 228385195 /nfs/dbraw/zinc/38/51/95/228385195.db2.gz NUNKOEDQZBGFRQ-VIFPVBQESA-N -1 1 320.361 1.465 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2C[C@@]2(F)c2ccccc2)n1 ZINC000411763720 419399882 /nfs/dbraw/zinc/39/98/82/419399882.db2.gz VYIIZSIIEKZEMK-TVQRCGJNSA-N -1 1 324.337 1.032 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2C[C@@]2(F)c2ccccc2)[n-]1 ZINC000411763720 419399888 /nfs/dbraw/zinc/39/98/88/419399888.db2.gz VYIIZSIIEKZEMK-TVQRCGJNSA-N -1 1 324.337 1.032 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-]c1n[nH]c(SC)n1 ZINC000421224699 419528606 /nfs/dbraw/zinc/52/86/06/419528606.db2.gz IPNVDYVXKRFSCB-QMMMGPOBSA-N -1 1 308.429 1.329 20 0 DDADMM COc1ccc([C@H](C)CC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000426921063 419568298 /nfs/dbraw/zinc/56/82/98/419568298.db2.gz MJYIWANVZDBUGN-SNVBAGLBSA-N -1 1 303.366 1.753 20 0 DDADMM CCOCCN(Cc1nc(=O)n(C)[n-]1)c1ccc(Cl)cc1 ZINC000412052395 419594957 /nfs/dbraw/zinc/59/49/57/419594957.db2.gz CLOURZSDMZQUFH-UHFFFAOYSA-N -1 1 310.785 1.805 20 0 DDADMM Cn1c(CCNC(=O)c2ncccc2[O-])nnc1-c1ccccc1 ZINC000427815483 419740195 /nfs/dbraw/zinc/74/01/95/419740195.db2.gz ZKBSIJKIMRSKFU-UHFFFAOYSA-N -1 1 323.356 1.555 20 0 DDADMM Cc1cnc(C(=O)NC(C)(C)c2cn(C(C)C)nn2)c([O-])c1 ZINC000422068257 419829919 /nfs/dbraw/zinc/82/99/19/419829919.db2.gz BGXVNBBOGRUAPX-UHFFFAOYSA-N -1 1 303.366 1.933 20 0 DDADMM COc1ccc2c(c1)OC[C@H](NC(=O)c1ncc(C)cc1[O-])C2 ZINC000428029090 419792366 /nfs/dbraw/zinc/79/23/66/419792366.db2.gz CVJJMQLPTCSICQ-GFCCVEGCSA-N -1 1 314.341 1.838 20 0 DDADMM NC(=O)c1ccc(=NCCc2cccc(C(F)(F)F)n2)[n-]n1 ZINC000432150429 229083911 /nfs/dbraw/zinc/08/39/11/229083911.db2.gz MLPWTPRJYZUIFM-UHFFFAOYSA-N -1 1 311.267 1.066 20 0 DDADMM C[C@H](CN(C)C(=O)c1noc2c1C[C@@H](C)CC2)c1nn[n-]n1 ZINC000434248766 229273521 /nfs/dbraw/zinc/27/35/21/229273521.db2.gz KNWROLRGDBQOKV-DTWKUNHWSA-N -1 1 304.354 1.188 20 0 DDADMM Cc1nc2ccccc2c(C)c1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000422544246 419957756 /nfs/dbraw/zinc/95/77/56/419957756.db2.gz RRQDKSSHJXMMPT-UHFFFAOYSA-N -1 1 308.345 1.784 20 0 DDADMM C[C@@H](CSc1ccccc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000430328258 420107172 /nfs/dbraw/zinc/10/71/72/420107172.db2.gz ZFTBAYHBSNVTNS-JTQLQIEISA-N -1 1 303.391 1.733 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CC(=O)c3ccccc32)n1 ZINC000415646012 420134894 /nfs/dbraw/zinc/13/48/94/420134894.db2.gz JKWOVWNEBUTVEX-LLVKDONJSA-N -1 1 313.313 1.895 20 0 DDADMM Cc1ccc(C)c(CN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000430209397 420091073 /nfs/dbraw/zinc/09/10/73/420091073.db2.gz XLNDKYATGUYDSN-UHFFFAOYSA-N -1 1 311.345 1.307 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCNCC2(F)F)c1 ZINC000423745571 420156638 /nfs/dbraw/zinc/15/66/38/420156638.db2.gz PYCVDWDIRZQGJZ-VIFPVBQESA-N -1 1 314.288 1.362 20 0 DDADMM COc1cc(C)ccc1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416193229 420275301 /nfs/dbraw/zinc/27/53/01/420275301.db2.gz PVAOQZKAGWZZJG-UHFFFAOYSA-N -1 1 319.317 1.143 20 0 DDADMM O=C(CO[C@H]1CCCc2ccccc21)NC1(c2nn[n-]n2)CC1 ZINC000435850840 420288256 /nfs/dbraw/zinc/28/82/56/420288256.db2.gz IIWMJOUGGDPIIH-ZDUSSCGKSA-N -1 1 313.361 1.399 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCOC[C@H]2CCCO2)c1 ZINC000436516832 420335419 /nfs/dbraw/zinc/33/54/19/420335419.db2.gz WZOKMZCNVRXIPL-GFCCVEGCSA-N -1 1 323.345 1.703 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(CNC(N)=O)cc2)c([O-])c1 ZINC000436541077 420338485 /nfs/dbraw/zinc/33/84/85/420338485.db2.gz VRWHDPIOYZPMAU-UHFFFAOYSA-N -1 1 315.329 1.821 20 0 DDADMM CN(CC(=O)NCc1ccccc1)C(=O)c1ccc([O-])cc1F ZINC000436612823 420344620 /nfs/dbraw/zinc/34/46/20/420344620.db2.gz YYLADTAEFOXTBX-UHFFFAOYSA-N -1 1 316.332 1.920 20 0 DDADMM O=C(Nc1cccc(N2CCC2=O)c1)C(=O)c1ccc([O-])cc1 ZINC000436629824 420345381 /nfs/dbraw/zinc/34/53/81/420345381.db2.gz LLJDETUKRONTJA-UHFFFAOYSA-N -1 1 310.309 1.950 20 0 DDADMM Cc1ccc(CN(CCO)C(=O)C(=O)c2ccc([O-])cc2)o1 ZINC000436640920 420347527 /nfs/dbraw/zinc/34/75/27/420347527.db2.gz RRDQVNOITSWZDI-UHFFFAOYSA-N -1 1 303.314 1.497 20 0 DDADMM CCC(=O)c1ccc(O)c(NC(=O)c2cnc([O-])n(C)c2=O)c1 ZINC000436643511 420348013 /nfs/dbraw/zinc/34/80/13/420348013.db2.gz FMJDBVUQBPKXHW-UHFFFAOYSA-N -1 1 317.301 1.037 20 0 DDADMM COc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)ccc1F ZINC000425317544 420348858 /nfs/dbraw/zinc/34/88/58/420348858.db2.gz GGINEPHMQXFLNN-UHFFFAOYSA-N -1 1 321.308 1.943 20 0 DDADMM CC(=O)c1cccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000425322907 420351974 /nfs/dbraw/zinc/35/19/74/420351974.db2.gz OJYGPBOAQIGOGO-UHFFFAOYSA-N -1 1 315.329 1.998 20 0 DDADMM CN(C)c1nccc(CNC(=O)c2ccc(Cl)cc2[O-])n1 ZINC000436668821 420353100 /nfs/dbraw/zinc/35/31/00/420353100.db2.gz YICUMFLEOVZYIP-UHFFFAOYSA-N -1 1 306.753 1.832 20 0 DDADMM COC(=O)C[C@]1(NC(=O)c2ccc(Cl)cc2[O-])CCOC1 ZINC000436698342 420354742 /nfs/dbraw/zinc/35/47/42/420354742.db2.gz YDBONKJDMUMFAL-CQSZACIVSA-N -1 1 313.737 1.498 20 0 DDADMM CC(C)c1nc(S(=O)(=O)N[C@@H]2CC[N@@H+](C)[C@H](C)C2)cn1C ZINC000416408173 420360474 /nfs/dbraw/zinc/36/04/74/420360474.db2.gz OLLULWHHPGMEMJ-VXGBXAGGSA-N -1 1 314.455 1.305 20 0 DDADMM CC[C@H]1C[C@H]([N-]S(=O)(=O)c2cc(C(=O)OC)co2)CCO1 ZINC000420721221 420369286 /nfs/dbraw/zinc/36/92/86/420369286.db2.gz HVPSWKWYZJZDPQ-MNOVXSKESA-N -1 1 317.363 1.302 20 0 DDADMM O=C(NCCCOC[C@@H]1CCOC1)C(=O)c1ccc([O-])cc1 ZINC000436914344 420385703 /nfs/dbraw/zinc/38/57/03/420385703.db2.gz YYTTUQHTQHNZAW-LBPRGKRZSA-N -1 1 307.346 1.134 20 0 DDADMM COC(=O)c1cnc(C(=O)Nc2ccc(Cl)cc2[O-])cn1 ZINC000436956053 420387233 /nfs/dbraw/zinc/38/72/33/420387233.db2.gz KQUSTSOBCHOBFQ-UHFFFAOYSA-N -1 1 307.693 1.875 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)Cc1ccccc1F ZINC000416598021 420430376 /nfs/dbraw/zinc/43/03/76/420430376.db2.gz ZGBFDQIQWATPBQ-CYBMUJFWSA-N -1 1 315.366 1.587 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CS[C@@H](C)C2)o1 ZINC000416602965 420430709 /nfs/dbraw/zinc/43/07/09/420430709.db2.gz XQPGYMVKHSHFFO-IUCAKERBSA-N -1 1 319.404 1.629 20 0 DDADMM COC[C@H]1CCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000457082931 420604698 /nfs/dbraw/zinc/60/46/98/420604698.db2.gz MDGLTEUZISLJQB-NSHDSACASA-N -1 1 318.377 1.563 20 0 DDADMM COc1cccnc1CNC(=O)c1csc(=NC2CC2)[n-]1 ZINC000456895182 420563243 /nfs/dbraw/zinc/56/32/43/420563243.db2.gz BVPISOOXFKJIOD-UHFFFAOYSA-N -1 1 304.375 1.473 20 0 DDADMM Cc1nn(C)c(Cl)c1C=CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000492477956 420570268 /nfs/dbraw/zinc/57/02/68/420570268.db2.gz VYCIYWMQJYEMLE-SLGIHZDVSA-N -1 1 323.788 1.170 20 0 DDADMM COC(=O)CCC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000450981369 420574078 /nfs/dbraw/zinc/57/40/78/420574078.db2.gz IHMSMBIMBRMYIM-UHFFFAOYSA-N -1 1 315.322 1.803 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)C=Cc1c[nH]cn1 ZINC000492774144 420660216 /nfs/dbraw/zinc/66/02/16/420660216.db2.gz WHYUSQUGSSRKND-HWKANZROSA-N -1 1 324.337 1.572 20 0 DDADMM COc1cc(C(=O)N2CCn3cnnc3C2)cc(Cl)c1[O-] ZINC000442646130 420691790 /nfs/dbraw/zinc/69/17/90/420691790.db2.gz FXVCPPSQJSIAID-UHFFFAOYSA-N -1 1 308.725 1.302 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H](O)C2)sc1C ZINC000443232986 420750959 /nfs/dbraw/zinc/75/09/59/420750959.db2.gz GLRPBVSKRCLRGV-MNOVXSKESA-N -1 1 304.437 1.589 20 0 DDADMM CC(C)[C@@H]1C[C@H]1CNC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000459069506 420797548 /nfs/dbraw/zinc/79/75/48/420797548.db2.gz RGHALPQEGBWIMR-QWRGUYRKSA-N -1 1 324.454 1.074 20 0 DDADMM N=c1nc(N2CCN(C(=O)/C=C/C3CCCC3)CC2)s[n-]1 ZINC000493288211 420809403 /nfs/dbraw/zinc/80/94/03/420809403.db2.gz GAPGUOWCNLIREZ-AATRIKPKSA-N -1 1 307.423 1.346 20 0 DDADMM NC(=O)c1[nH]nnc1NC(=O)C=Cc1ccc(OC(F)F)cc1 ZINC000493401705 420839666 /nfs/dbraw/zinc/83/96/66/420839666.db2.gz MAOBCGDVDGKOBP-ZZXKWVIFSA-N -1 1 323.259 1.157 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc2ccc(=O)[nH]c21)c1nn[n-]n1 ZINC000487094487 421003779 /nfs/dbraw/zinc/00/37/79/421003779.db2.gz KBQXWJQPILMMHF-SECBINFHSA-N -1 1 312.333 1.329 20 0 DDADMM C[C@@H]1CCCC[C@@H]1CCN=c1ccc(C(=O)NCCO)n[n-]1 ZINC000488430153 421089920 /nfs/dbraw/zinc/08/99/20/421089920.db2.gz JUDVVEOYJOXGEU-CHWSQXEVSA-N -1 1 306.410 1.249 20 0 DDADMM COc1cc(CC(=O)NC(C)(C)c2nn[n-]n2)ccc1Cl ZINC000450006919 421125574 /nfs/dbraw/zinc/12/55/74/421125574.db2.gz WMHXCKDOROLSDQ-UHFFFAOYSA-N -1 1 309.757 1.456 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)C1CC(NC(=O)OC(C)(C)C)C1 ZINC000496156032 421140586 /nfs/dbraw/zinc/14/05/86/421140586.db2.gz DNKYPDJMUMFQKW-UHFFFAOYSA-N -1 1 321.377 1.915 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cnc2cnccc2c1 ZINC000546686901 421303814 /nfs/dbraw/zinc/30/38/14/421303814.db2.gz MDBZYFZWXAKOQJ-UHFFFAOYSA-N -1 1 309.333 1.342 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)Cc2cc(C)no2)s1 ZINC000525834603 421306215 /nfs/dbraw/zinc/30/62/15/421306215.db2.gz JEAGHZCZZVZZGS-UHFFFAOYSA-N -1 1 320.421 1.176 20 0 DDADMM C[C@@H]1CCCC[C@@H]1CCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546800350 421311031 /nfs/dbraw/zinc/31/10/31/421311031.db2.gz ZQRAIWQOLIPIFX-GHMZBOCLSA-N -1 1 303.366 1.364 20 0 DDADMM C[C@H]1CCCC[C@H]1CCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546800352 421312033 /nfs/dbraw/zinc/31/20/33/421312033.db2.gz ZQRAIWQOLIPIFX-QWRGUYRKSA-N -1 1 303.366 1.364 20 0 DDADMM Cc1cccc2c1CC[C@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000545439066 421258856 /nfs/dbraw/zinc/25/88/56/421258856.db2.gz ZTIXNIQFHNUSCG-GFCCVEGCSA-N -1 1 309.329 1.143 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)c2ccccc21 ZINC000546131030 421285999 /nfs/dbraw/zinc/28/59/99/421285999.db2.gz UHNPJDUBEVBPJE-GXFFZTMASA-N -1 1 323.356 1.786 20 0 DDADMM CCOC(=O)C1CCN(C(=O)c2csc(=NC3CC3)[n-]2)CC1 ZINC000525369455 421290344 /nfs/dbraw/zinc/29/03/44/421290344.db2.gz MLHAFUHUXWONJV-UHFFFAOYSA-N -1 1 323.418 1.555 20 0 DDADMM C[C@@H](CN(C)C(=O)c1c[nH]nc1-c1ccccc1)c1nn[n-]n1 ZINC000547811137 421371332 /nfs/dbraw/zinc/37/13/32/421371332.db2.gz OYPZDXKWPAEWBR-JTQLQIEISA-N -1 1 311.349 1.466 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)CCOC)n[n-]1 ZINC000548057626 421395002 /nfs/dbraw/zinc/39/50/02/421395002.db2.gz FKZGMMUSAGYDNS-SNVBAGLBSA-N -1 1 312.370 1.221 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)CCOC)[n-]1 ZINC000548057626 421395004 /nfs/dbraw/zinc/39/50/04/421395004.db2.gz FKZGMMUSAGYDNS-SNVBAGLBSA-N -1 1 312.370 1.221 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)CCOC)n1 ZINC000548057626 421395005 /nfs/dbraw/zinc/39/50/05/421395005.db2.gz FKZGMMUSAGYDNS-SNVBAGLBSA-N -1 1 312.370 1.221 20 0 DDADMM COC(=O)Nc1cccc(CNC(=O)c2cncc([O-])c2)c1 ZINC000497264201 421397877 /nfs/dbraw/zinc/39/78/77/421397877.db2.gz MDDGKXJZFQHDQN-UHFFFAOYSA-N -1 1 301.302 1.896 20 0 DDADMM O=S(=O)([N-]CC1(O)CCOCC1)c1cc2ccccc2o1 ZINC000548449464 421441153 /nfs/dbraw/zinc/44/11/53/421441153.db2.gz REBKMGGQDZJAIP-UHFFFAOYSA-N -1 1 311.359 1.253 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)c1cn2c(n1)CCCC2 ZINC000514774686 421452461 /nfs/dbraw/zinc/45/24/61/421452461.db2.gz NKNMSTASPJSULT-UHFFFAOYSA-N -1 1 317.349 1.680 20 0 DDADMM O=C([O-])c1cn([C@H]2CCCN(Cc3cccc(F)c3)C2)nn1 ZINC000563287938 421482734 /nfs/dbraw/zinc/48/27/34/421482734.db2.gz GVAFXSZYPRTMDY-ZDUSSCGKSA-N -1 1 304.325 1.953 20 0 DDADMM C[C@H]([C@H](NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-])C1CC1 ZINC000548992434 421489612 /nfs/dbraw/zinc/48/96/12/421489612.db2.gz OZNPVARPPNXOCS-CPCISQLKSA-N -1 1 319.329 1.742 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(C)(F)F)cc1F ZINC000549903123 421509538 /nfs/dbraw/zinc/50/95/38/421509538.db2.gz DWQYGJOYHWCPAT-UHFFFAOYSA-N -1 1 311.281 1.546 20 0 DDADMM CCc1ccc(CN(C)S(=O)(=O)c2c(C)o[n-]c2=N)cc1 ZINC000564335015 421582087 /nfs/dbraw/zinc/58/20/87/421582087.db2.gz SXABAHRLNPGNDI-UHFFFAOYSA-N -1 1 309.391 1.779 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2cc[nH]n2)c(=O)[n-]1 ZINC000517360743 421610671 /nfs/dbraw/zinc/61/06/71/421610671.db2.gz FUNYKWLUPBXSOB-UHFFFAOYSA-N -1 1 307.379 1.185 20 0 DDADMM O=C([O-])c1ccc2c(c1)CN(CCOC[C@H]1CCCO1)CC2 ZINC000517948729 421634556 /nfs/dbraw/zinc/63/45/56/421634556.db2.gz JHTHRODCGSTQPJ-MRXNPFEDSA-N -1 1 305.374 1.939 20 0 DDADMM Cc1nc(CC(=O)NC2(c3nn[n-]n3)CCCC2)c(C)s1 ZINC000531710249 421644171 /nfs/dbraw/zinc/64/41/71/421644171.db2.gz WPFUAEJKJRNWJA-UHFFFAOYSA-N -1 1 306.395 1.401 20 0 DDADMM O=C([O-])CCN(Cc1cn2ccccc2n1)C[C@H]1CCCO1 ZINC000518385948 421652023 /nfs/dbraw/zinc/65/20/23/421652023.db2.gz AGKFGYSKCMNDFG-CQSZACIVSA-N -1 1 303.362 1.790 20 0 DDADMM CCCN1C[C@@H](C(=O)Nc2[nH]c(C)nc2C(=O)OCC)CC1=O ZINC000571146553 421691582 /nfs/dbraw/zinc/69/15/82/421691582.db2.gz KYWFKRLUCJJFSD-JTQLQIEISA-N -1 1 322.365 1.092 20 0 DDADMM O=C(c1cc2ccccc2c(=O)[nH]1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000533572993 421679975 /nfs/dbraw/zinc/67/99/75/421679975.db2.gz QJURSHLMMHRAKW-LLVKDONJSA-N -1 1 324.344 1.473 20 0 DDADMM O=C([C@H]1Cc2ccccc2S1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538225667 421737882 /nfs/dbraw/zinc/73/78/82/421737882.db2.gz ZKNNQLDMJOGTEW-DGCLKSJQSA-N -1 1 315.402 1.623 20 0 DDADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCc2ccccc21 ZINC000541843681 421807768 /nfs/dbraw/zinc/80/77/68/421807768.db2.gz JAZZAKMGORMIAH-CYBMUJFWSA-N -1 1 309.329 1.177 20 0 DDADMM CSc1nnc(CNc2nc(C(=O)[O-])c(C)s2)s1 ZINC000521252259 421779115 /nfs/dbraw/zinc/77/91/15/421779115.db2.gz DMJBBBAJPZXWBU-UHFFFAOYSA-N -1 1 302.406 1.757 20 0 DDADMM CCOc1ccc2nc(SCc3nc(=O)n(C)[nH]3)[nH]c2c1 ZINC000541781870 421805224 /nfs/dbraw/zinc/80/52/24/421805224.db2.gz BBDNBVGNXNXRLN-UHFFFAOYSA-N -1 1 305.363 1.676 20 0 DDADMM CCOc1ccc2[nH]c(SCc3nc(=O)n(C)[n-]3)nc2c1 ZINC000541781870 421805226 /nfs/dbraw/zinc/80/52/26/421805226.db2.gz BBDNBVGNXNXRLN-UHFFFAOYSA-N -1 1 305.363 1.676 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000630072973 421872794 /nfs/dbraw/zinc/87/27/94/421872794.db2.gz OLZOSDXCOVDHDE-SWLSCSKDSA-N -1 1 307.394 1.899 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@@H]1CCN(c2ccccc2)C1 ZINC000635283017 421882145 /nfs/dbraw/zinc/88/21/45/421882145.db2.gz SYTQMRYVAWPFOB-ZDUSSCGKSA-N -1 1 314.393 1.165 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC1(Cc2cccc(Cl)c2)CC1 ZINC000633395986 421882520 /nfs/dbraw/zinc/88/25/20/421882520.db2.gz MIFCGAJBBLADEC-UHFFFAOYSA-N -1 1 319.796 1.925 20 0 DDADMM CC[C@@H]1C[C@H](CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCO1 ZINC000543816747 421842132 /nfs/dbraw/zinc/84/21/32/421842132.db2.gz ZFPIQPDTVFOYJM-FRRDWIJNSA-N -1 1 307.398 1.501 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCC[C@@H](n2cncn2)C1 ZINC000581137310 421908096 /nfs/dbraw/zinc/90/80/96/421908096.db2.gz PWHYWVAFDGPBPW-GFCCVEGCSA-N -1 1 304.350 1.969 20 0 DDADMM CCOC(=O)CCCNC(=O)CSc1ncc(C)c(=O)[n-]1 ZINC000581141824 421910597 /nfs/dbraw/zinc/91/05/97/421910597.db2.gz BOSZKWPIKCNGQJ-UHFFFAOYSA-N -1 1 313.379 1.042 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2c(F)cccc2F)CC1 ZINC000630221573 421972932 /nfs/dbraw/zinc/97/29/32/421972932.db2.gz CPDZEHOIUZJJHD-UHFFFAOYSA-N -1 1 312.316 1.586 20 0 DDADMM CC1(C)CN(C(=O)Cc2ccc([O-])c(Cl)c2)C[C@H](CO)O1 ZINC000633458283 421915306 /nfs/dbraw/zinc/91/53/06/421915306.db2.gz ZDKBFBXOELIWAV-LLVKDONJSA-N -1 1 313.781 1.586 20 0 DDADMM C[C@H](CNS(=O)(=O)c1cccc(Cl)c1[O-])N(C)C1CC1 ZINC000631895201 421920551 /nfs/dbraw/zinc/92/05/51/421920551.db2.gz OKIDTDVYWGQPNH-SECBINFHSA-N -1 1 318.826 1.807 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C2CCC(F)(F)CC2)CC1 ZINC000630222879 421973223 /nfs/dbraw/zinc/97/32/23/421973223.db2.gz GBGOMTKKTVEWPK-NSHDSACASA-N -1 1 318.364 1.819 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cc(F)cc(F)c2)CC1 ZINC000630221708 421973307 /nfs/dbraw/zinc/97/33/07/421973307.db2.gz BKUIQOWHVBAMNO-SNVBAGLBSA-N -1 1 312.316 1.586 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1cc2c(s1)CCCC2 ZINC000635357809 421934440 /nfs/dbraw/zinc/93/44/40/421934440.db2.gz NRYGPWDHOCVFQN-UHFFFAOYSA-N -1 1 305.407 1.779 20 0 DDADMM C[C@@H]1[C@@H](c2cccc(F)c2)OCCN1C(=O)CCc1nn[n-]n1 ZINC000633554799 421962830 /nfs/dbraw/zinc/96/28/30/421962830.db2.gz ILTCPHRRBMXDTE-BMIGLBTASA-N -1 1 319.340 1.260 20 0 DDADMM Cc1cc(C)cc(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000630218320 421968339 /nfs/dbraw/zinc/96/83/39/421968339.db2.gz DNOPTELUVBNSMO-OAHLLOKOSA-N -1 1 318.417 1.853 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCc2cc(Cl)ccc21 ZINC000635415198 421970690 /nfs/dbraw/zinc/97/06/90/421970690.db2.gz APXJJWYTJPLTKS-GFCCVEGCSA-N -1 1 305.769 1.980 20 0 DDADMM CN(C)c1ccncc1C(=O)N1CCSC[C@H](C(=O)[O-])C1 ZINC000630219220 421970943 /nfs/dbraw/zinc/97/09/43/421970943.db2.gz WPIMFSPNQYOJMQ-SNVBAGLBSA-N -1 1 309.391 1.037 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCc2c1cccc2Cl ZINC000635416992 421972089 /nfs/dbraw/zinc/97/20/89/421972089.db2.gz PBNDHPXBZRIMQB-GFCCVEGCSA-N -1 1 305.769 1.980 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1Cc2ccccc2C[C@@H]1C(=O)[O-] ZINC000630127315 421904402 /nfs/dbraw/zinc/90/44/02/421904402.db2.gz RCDSHOZJLMYYIG-IAQYHMDHSA-N -1 1 313.357 1.626 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1c(F)cccc1N1CCCC1 ZINC000635480971 422029828 /nfs/dbraw/zinc/02/98/28/422029828.db2.gz KEALBMZHGVSWEU-UHFFFAOYSA-N -1 1 318.356 1.900 20 0 DDADMM CCNC(=O)C1CN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000630350966 422038386 /nfs/dbraw/zinc/03/83/86/422038386.db2.gz ZMAXTBQTMFKUMW-UHFFFAOYSA-N -1 1 304.375 1.318 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](CCO)C(C)C)c1 ZINC000632036191 422040643 /nfs/dbraw/zinc/04/06/43/422040643.db2.gz MQFUZNLSXRUXFH-LLVKDONJSA-N -1 1 303.380 1.086 20 0 DDADMM COc1ccsc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630225635 421976623 /nfs/dbraw/zinc/97/66/23/421976623.db2.gz ITANALKEYGJUJP-UHFFFAOYSA-N -1 1 312.391 1.378 20 0 DDADMM CO[C@@H](CS(=O)(=O)Nc1ccc(C(=O)[O-])cc1O)C1CC1 ZINC000581548468 421998876 /nfs/dbraw/zinc/99/88/76/421998876.db2.gz IZSMQHRKWOCRAX-LBPRGKRZSA-N -1 1 315.347 1.257 20 0 DDADMM CC(=O)N1CCC[C@H]1C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000633612284 422000499 /nfs/dbraw/zinc/00/04/99/422000499.db2.gz FTWLIAKAXMXMLJ-LBPRGKRZSA-N -1 1 318.402 1.818 20 0 DDADMM CC[C@@H](NC(C)=O)C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000633615563 422002350 /nfs/dbraw/zinc/00/23/50/422002350.db2.gz UUQBQECYPQSFPA-SNVBAGLBSA-N -1 1 306.391 1.722 20 0 DDADMM CN(CCNC(=O)[C@@H]1CC[C@H](C(=O)[O-])O1)Cc1ccc(F)cc1 ZINC000581607849 422011675 /nfs/dbraw/zinc/01/16/75/422011675.db2.gz YWAJFAMOOYSXGV-UONOGXRCSA-N -1 1 324.352 1.006 20 0 DDADMM CN(C[C@H]1OCCc2ccccc21)C(=O)CCCc1nn[n-]n1 ZINC000635468712 422017917 /nfs/dbraw/zinc/01/79/17/422017917.db2.gz HAURUKOIASNXQK-CQSZACIVSA-N -1 1 315.377 1.295 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NCC(C)(C)F)o1 ZINC000633725028 422068277 /nfs/dbraw/zinc/06/82/77/422068277.db2.gz KTNSWGBYTSQDCC-UHFFFAOYSA-N -1 1 320.386 1.834 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](C[C@H]1CCOC1)c1ccccc1 ZINC000632082495 422074383 /nfs/dbraw/zinc/07/43/83/422074383.db2.gz ANQSQXIHTBUDCY-TZMCWYRMSA-N -1 1 315.377 1.416 20 0 DDADMM Cc1ccc(C[C@@H](C)NC(=O)CCCc2nn[n-]n2)c(C)c1 ZINC000635540350 422088585 /nfs/dbraw/zinc/08/85/85/422088585.db2.gz FPEIRSSOJBHBCV-CYBMUJFWSA-N -1 1 301.394 1.887 20 0 DDADMM C[C@H]1CCN(Cc2cnn(C)c2C(F)(F)F)C[C@H]1C(=O)[O-] ZINC000582062351 422098897 /nfs/dbraw/zinc/09/88/97/422098897.db2.gz FYPQJZWTPUIDRE-WCBMZHEXSA-N -1 1 305.300 1.982 20 0 DDADMM CCOc1cc(CN[C@@]2(C(=O)[O-])CCOC2)c(F)cc1OC ZINC000630456265 422112885 /nfs/dbraw/zinc/11/28/85/422112885.db2.gz NWKYAWJTTQGSGW-HNNXBMFYSA-N -1 1 313.325 1.566 20 0 DDADMM CC(=O)N1CCC[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000633692733 422052609 /nfs/dbraw/zinc/05/26/09/422052609.db2.gz WALINFFGLWXMMB-AWEZNQCLSA-N -1 1 314.345 1.527 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCOCC3(CC3)C2)c1 ZINC000632199171 422157710 /nfs/dbraw/zinc/15/77/10/422157710.db2.gz FYDCUQGOUKNYQT-UHFFFAOYSA-N -1 1 313.375 1.202 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC(=O)C(C)(C)C)c1 ZINC000632220280 422173685 /nfs/dbraw/zinc/17/36/85/422173685.db2.gz HNYNMRXKUPBOHX-UHFFFAOYSA-N -1 1 301.364 1.294 20 0 DDADMM O=C(c1cc(F)c([O-])c(Cl)c1)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000582237232 422135324 /nfs/dbraw/zinc/13/53/24/422135324.db2.gz OKAKYZZONPZXCF-SSDOTTSWSA-N -1 1 310.716 1.933 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](n2cncn2)C(F)(F)F)c([O-])c1 ZINC000633983694 422198860 /nfs/dbraw/zinc/19/88/60/422198860.db2.gz IAPPIQOJIMFFBY-SECBINFHSA-N -1 1 315.255 1.221 20 0 DDADMM CC(F)(F)CCS(=O)(=O)[N-]Cc1noc(C(F)(F)F)n1 ZINC000632286261 422221445 /nfs/dbraw/zinc/22/14/45/422221445.db2.gz MDPUDGVIRDDJSA-UHFFFAOYSA-N -1 1 323.243 1.553 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2cccc(C)n2)c1 ZINC000632290637 422226060 /nfs/dbraw/zinc/22/60/60/422226060.db2.gz JNKXAWZERPRGIW-UHFFFAOYSA-N -1 1 322.386 1.625 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H](N)c2cccc(Cl)c2)CC[C@@H]1C(=O)[O-] ZINC000630575115 422192661 /nfs/dbraw/zinc/19/26/61/422192661.db2.gz LEPFFUIAFOZRCZ-ICCXJUOJSA-N -1 1 310.781 1.909 20 0 DDADMM CN1C[C@H]2CCN(S(=O)(=O)c3cccc(Cl)c3[O-])[C@@H]2C1 ZINC000632359508 422273755 /nfs/dbraw/zinc/27/37/55/422273755.db2.gz CKUXPVZOHALANC-MWLCHTKSSA-N -1 1 316.810 1.370 20 0 DDADMM COC(C)(C)c1nc(=NC(=O)c2cccc3nc[nH]c32)s[n-]1 ZINC000634151508 422291262 /nfs/dbraw/zinc/29/12/62/422291262.db2.gz VOCAXPOWLZKUQA-UHFFFAOYSA-N -1 1 317.374 1.970 20 0 DDADMM C[C@@H]1COc2ccccc2CN1C(=O)CCCc1nn[n-]n1 ZINC000635790781 422348382 /nfs/dbraw/zinc/34/83/82/422348382.db2.gz YZKLRNYWXKZODJ-LLVKDONJSA-N -1 1 301.350 1.332 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCc2c1cccc2C(F)(F)F ZINC000632457664 422361464 /nfs/dbraw/zinc/36/14/64/422361464.db2.gz LHWQQGZYOCGMMX-UHFFFAOYSA-N -1 1 311.267 1.740 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1C[C@H](c2cccc(F)c2)C1 ZINC000635886539 422441672 /nfs/dbraw/zinc/44/16/72/422441672.db2.gz HUCLGIGYRUQOLE-AULYBMBSSA-N -1 1 303.341 1.724 20 0 DDADMM COc1ccc(CC(C)(C)NC(=O)CCCc2nn[n-]n2)cc1 ZINC000635834357 422389052 /nfs/dbraw/zinc/38/90/52/422389052.db2.gz DADRWNHJONYNAA-UHFFFAOYSA-N -1 1 317.393 1.669 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H]1[C@@H](C)[C@H](C)O[C@H]1C ZINC000628949086 422415517 /nfs/dbraw/zinc/41/55/17/422415517.db2.gz UNOYCHBNOBLYMX-MYQHRXMXSA-N -1 1 311.407 1.754 20 0 DDADMM O=C(CSc1nnnn1C1CC1)Nc1cccc(F)c1[O-] ZINC000628960494 422418243 /nfs/dbraw/zinc/41/82/43/422418243.db2.gz DQICPKVEYCVCCD-UHFFFAOYSA-N -1 1 309.326 1.584 20 0 DDADMM CCC(O)(CC)CN(C)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632602671 422467303 /nfs/dbraw/zinc/46/73/03/422467303.db2.gz RKJVNFWFHHREED-UHFFFAOYSA-N -1 1 317.407 1.572 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H](C)C(O)[C@@H](C)C3)cnc2n1 ZINC000622162233 422537458 /nfs/dbraw/zinc/53/74/58/422537458.db2.gz BEYCULCDNMEAOM-UWVGGRQHSA-N -1 1 315.373 1.733 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](C)C(O)[C@@H](C)C3)c[n-]c2n1 ZINC000622162233 422537460 /nfs/dbraw/zinc/53/74/60/422537460.db2.gz BEYCULCDNMEAOM-UWVGGRQHSA-N -1 1 315.373 1.733 20 0 DDADMM Cc1nncn1CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000634658507 422540290 /nfs/dbraw/zinc/54/02/90/422540290.db2.gz MHDILHCLZDMGSK-UHFFFAOYSA-N -1 1 306.294 1.096 20 0 DDADMM Nc1ncc2c(n1)CCN(C(=O)Cc1ccc([O-])c(Cl)c1)C2 ZINC000632726497 422544144 /nfs/dbraw/zinc/54/41/44/422544144.db2.gz KANMQVPPTUXEQJ-UHFFFAOYSA-N -1 1 318.764 1.376 20 0 DDADMM CCc1ccc([C@@H]2CN(C(=O)CCc3nn[n-]n3)CCO2)cc1 ZINC000632746582 422554375 /nfs/dbraw/zinc/55/43/75/422554375.db2.gz YBUJGFRGGISDNA-AWEZNQCLSA-N -1 1 315.377 1.295 20 0 DDADMM Cc1ccc(C2(CNC(=O)CCc3nn[n-]n3)CCC2)cc1C ZINC000632789276 422578789 /nfs/dbraw/zinc/57/87/89/422578789.db2.gz HBSFMIZKQJSLMF-UHFFFAOYSA-N -1 1 313.405 1.987 20 0 DDADMM CC(C)C1(S(=O)(=O)N[C@H](CN2CCCCC2)C(=O)[O-])CC1 ZINC000629297863 422590393 /nfs/dbraw/zinc/59/03/93/422590393.db2.gz WKGUCQPOOFYUJS-GFCCVEGCSA-N -1 1 318.439 1.034 20 0 DDADMM O=C([O-])c1ccc2c(c1)CN(CCOC1CCOCC1)CC2 ZINC000629365615 422634459 /nfs/dbraw/zinc/63/44/59/422634459.db2.gz ZCALNWDJYIZLOT-UHFFFAOYSA-N -1 1 305.374 1.939 20 0 DDADMM COCCCOCCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629379600 422638898 /nfs/dbraw/zinc/63/88/98/422638898.db2.gz FSYLKFWRYGTSQU-UHFFFAOYSA-N -1 1 319.361 1.960 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000629495570 422700733 /nfs/dbraw/zinc/70/07/33/422700733.db2.gz JDZAGQOCOKPYBG-GHMZBOCLSA-N -1 1 324.343 1.828 20 0 DDADMM CCc1ccc(CNC(=O)CN2CC[C@H](C(=O)[O-])[C@H](C)C2)s1 ZINC000629495730 422700887 /nfs/dbraw/zinc/70/08/87/422700887.db2.gz LISVTUMKCFQKEQ-RISCZKNCSA-N -1 1 324.446 1.969 20 0 DDADMM CC(C)(C)O[C@H]1C[C@H](NC(=O)CCCc2nn[n-]n2)C12CCC2 ZINC000636204590 422712801 /nfs/dbraw/zinc/71/28/01/422712801.db2.gz KYNYDIAYTBVRIO-RYUDHWBXSA-N -1 1 321.425 1.765 20 0 DDADMM C[C@H]1CN(C(=O)CCCc2nn[n-]n2)CCc2ccc(F)cc21 ZINC000636128467 422664100 /nfs/dbraw/zinc/66/41/00/422664100.db2.gz SKBYSFGCKKAXOR-NSHDSACASA-N -1 1 317.368 1.850 20 0 DDADMM CO[C@@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)CCc1nn[n-]n1 ZINC000631383908 422730315 /nfs/dbraw/zinc/73/03/15/422730315.db2.gz MYZVAOMZAIMDMT-LKFCYVNXSA-N -1 1 323.784 1.678 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H]1C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000634846822 422682349 /nfs/dbraw/zinc/68/23/49/422682349.db2.gz RZQZKJKEMKMRNW-BZUAXINKSA-N -1 1 316.401 1.878 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1CCC[C@@H](CC(=O)[O-])C1 ZINC000629485065 422694348 /nfs/dbraw/zinc/69/43/48/422694348.db2.gz BMFMOZKAWBTQNZ-NSHDSACASA-N -1 1 324.343 1.974 20 0 DDADMM Cc1c(C(=O)Nc2c([O-])cccc2F)nnn1-c1ccn(C)n1 ZINC000645926953 423244542 /nfs/dbraw/zinc/24/45/42/423244542.db2.gz XWWPULPEQYABHR-UHFFFAOYSA-N -1 1 316.296 1.406 20 0 DDADMM CO[C@@H](CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)C(F)(F)F ZINC000653030721 423267865 /nfs/dbraw/zinc/26/78/65/423267865.db2.gz YVPQFSDFFMIRFQ-QMMMGPOBSA-N -1 1 323.271 1.449 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc2c(c1)NC(=O)CCN2C ZINC000646410310 423480850 /nfs/dbraw/zinc/48/08/50/423480850.db2.gz BZDQVQMKSIWYNF-UHFFFAOYSA-N -1 1 315.333 1.454 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1nc(-c2cnn(C)c2)oc1C ZINC000646410726 423481292 /nfs/dbraw/zinc/48/12/92/423481292.db2.gz VKVZXIGTPOLHEC-UHFFFAOYSA-N -1 1 302.294 1.373 20 0 DDADMM C[C@@H](c1ccccc1)[C@H](NC(=O)c1ccc([O-])c(F)c1)C(N)=O ZINC000646549672 423527809 /nfs/dbraw/zinc/52/78/09/423527809.db2.gz AWUHKXZIFPVTPC-BONVTDFDSA-N -1 1 316.332 1.919 20 0 DDADMM O=C(c1ccc2cnccc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000649266910 423708122 /nfs/dbraw/zinc/70/81/22/423708122.db2.gz ATSXSBPVPWYNMH-CQSZACIVSA-N -1 1 308.345 1.768 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccccc1-n1cncn1)C(C)C ZINC000647123611 423762600 /nfs/dbraw/zinc/76/26/00/423762600.db2.gz KCBQOSMVOMZPEY-CQSZACIVSA-N -1 1 324.406 1.680 20 0 DDADMM CC(C)C[C@H](C)N(C)C(=O)CN1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639822713 423760504 /nfs/dbraw/zinc/76/05/04/423760504.db2.gz WKMOACAXSHQZDL-QWHCGFSZSA-N -1 1 323.441 1.555 20 0 DDADMM CCn1cc([N-]S(=O)(=O)C[C@H](OC)C2CCOCC2)cn1 ZINC000647124007 423764607 /nfs/dbraw/zinc/76/46/07/423764607.db2.gz KBRYCAJWDVEHLI-ZDUSSCGKSA-N -1 1 317.411 1.086 20 0 DDADMM O=S(=O)([N-][C@H]1CCCOC1)c1ncccc1Br ZINC000656900483 423848180 /nfs/dbraw/zinc/84/81/80/423848180.db2.gz ZLFYRULXNFHFNB-QMMMGPOBSA-N -1 1 321.196 1.301 20 0 DDADMM COCC(C)(C)N1CCN(c2ccc(C(=O)[O-])c(OC)n2)CC1 ZINC000649393333 423849617 /nfs/dbraw/zinc/84/96/17/423849617.db2.gz LHLFBKHIERDOSK-UHFFFAOYSA-N -1 1 323.393 1.335 20 0 DDADMM CO[C@H]1CCCC[C@H]1S(=O)(=O)[N-]c1cnn(CC(F)F)c1 ZINC000641682539 423854747 /nfs/dbraw/zinc/85/47/47/423854747.db2.gz DRVFMKRNZIFIHD-WDEREUQCSA-N -1 1 323.365 1.848 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@@H]2CCC[C@@H]21)c1ncccc1Br ZINC000656976629 423949861 /nfs/dbraw/zinc/94/98/61/423949861.db2.gz UCANRDSQYYMQLR-DIYOJNKTSA-N -1 1 317.208 1.921 20 0 DDADMM Cn1nc(CN[C@@H](CCO)c2ccc(Cl)c(F)c2)[n-]c1=O ZINC000639918621 423926583 /nfs/dbraw/zinc/92/65/83/423926583.db2.gz OYEXDFOQFUXDHR-NSHDSACASA-N -1 1 314.748 1.114 20 0 DDADMM CC(=O)N1CC[C@@H](Nc2nc3[nH][n-]cc-3c(=O)n2)c2ccccc21 ZINC000663748409 424134496 /nfs/dbraw/zinc/13/44/96/424134496.db2.gz JCQFBJYZXZTDLY-GFCCVEGCSA-N -1 1 324.344 1.342 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)C1CC1 ZINC000645073956 424142972 /nfs/dbraw/zinc/14/29/72/424142972.db2.gz XUESQEBTQPTUOW-ZETCQYMHSA-N -1 1 311.329 1.763 20 0 DDADMM COC[C@@H](CO)[N-]c1nc(-c2cc(OC)cc(OC)c2)no1 ZINC000663868048 424240567 /nfs/dbraw/zinc/24/05/67/424240567.db2.gz RIRSEDACLGOYQX-SNVBAGLBSA-N -1 1 309.322 1.173 20 0 DDADMM O=C(COCC1CCCC1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000663968435 424310697 /nfs/dbraw/zinc/31/06/97/424310697.db2.gz LEPKZLHEPMKPSG-OAHLLOKOSA-N -1 1 315.377 1.612 20 0 DDADMM C[C@]1(C(N)=O)CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640339247 424358391 /nfs/dbraw/zinc/35/83/91/424358391.db2.gz OOEJCKZDCMJUIP-AWEZNQCLSA-N -1 1 304.375 1.447 20 0 DDADMM CCc1nc(S(=O)(=O)N[C@@H](C)CN(C)C)cn1CC1CC1 ZINC000659966463 424408275 /nfs/dbraw/zinc/40/82/75/424408275.db2.gz KCUXPFRZLFTEDP-NSHDSACASA-N -1 1 314.455 1.084 20 0 DDADMM C[C@H]1CN([C@H]2CCN(CC(=O)[O-])C2=O)CCc2ccc(F)cc21 ZINC000662215725 424484741 /nfs/dbraw/zinc/48/47/41/424484741.db2.gz VLODOCBKKKRLNP-NHYWBVRUSA-N -1 1 320.364 1.473 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cccc1F)c1nccn1C ZINC000660103735 424529410 /nfs/dbraw/zinc/52/94/10/424529410.db2.gz GVGMUUSKNNTZKP-MRVPVSSYSA-N -1 1 301.318 1.738 20 0 DDADMM O=C([O-])C1CCC(NC(=O)N[C@@H]2CCC[N@H+]3CCCC[C@H]23)CC1 ZINC000655878798 424689829 /nfs/dbraw/zinc/68/98/29/424689829.db2.gz FUWHWMPXVSMCLI-NEXFUWMNSA-N -1 1 323.437 1.946 20 0 DDADMM Cc1cc(C(=O)N=c2nc(C)[nH][n-]2)nn1-c1c(F)cccc1F ZINC000345387584 272237942 /nfs/dbraw/zinc/23/79/42/272237942.db2.gz ZKRRWMKLNOZTGY-UHFFFAOYSA-N -1 1 318.287 1.560 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]C1(C(=O)OC)CCCCCC1)OC ZINC000420661273 287898564 /nfs/dbraw/zinc/89/85/64/287898564.db2.gz ZGGHBOIZXXQYSQ-GFCCVEGCSA-N -1 1 321.439 1.597 20 0 DDADMM CC(C)(NC(=O)c1cccc(C(F)(F)F)n1)c1nn[n-]n1 ZINC000450002863 277309277 /nfs/dbraw/zinc/30/92/77/277309277.db2.gz DXLOTJXYKBOPOW-UHFFFAOYSA-N -1 1 300.244 1.279 20 0 DDADMM CC(C)[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000439153734 280186087 /nfs/dbraw/zinc/18/60/87/280186087.db2.gz PXGJONDKVGQNBQ-NSHDSACASA-N -1 1 322.390 1.587 20 0 DDADMM CC(C)[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000439153734 280186089 /nfs/dbraw/zinc/18/60/89/280186089.db2.gz PXGJONDKVGQNBQ-NSHDSACASA-N -1 1 322.390 1.587 20 0 DDADMM CC[C@H]1CO[C@H](C)CN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295116345 281218362 /nfs/dbraw/zinc/21/83/62/281218362.db2.gz LUYVTKJFZBSAGL-KOLCDFICSA-N -1 1 306.391 1.658 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2cccc(C(=O)OC)c2)[nH]n1 ZINC000126494046 281241461 /nfs/dbraw/zinc/24/14/61/281241461.db2.gz JBQATDUQSCZSEV-UHFFFAOYSA-N -1 1 323.374 1.950 20 0 DDADMM Cc1noc([C@@H]2[C@@H](C)OCCN2C(=O)c2ncc(C)cc2[O-])n1 ZINC000408062039 293970650 /nfs/dbraw/zinc/97/06/50/293970650.db2.gz GUBJGPAGIYEDGE-RNCFNFMXSA-N -1 1 318.333 1.389 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)Cc1cc2ccccc2o1 ZINC000572206131 304511241 /nfs/dbraw/zinc/51/12/41/304511241.db2.gz HPDKNDWHDXQOAB-UHFFFAOYSA-N -1 1 321.358 1.962 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@@H]1[C@H]1CCCC[C@H]1O ZINC000408409985 304527244 /nfs/dbraw/zinc/52/72/44/304527244.db2.gz HMFSSKFRFAUAIM-FVQBIDKESA-N -1 1 323.364 1.923 20 0 DDADMM CN=c1[n-]nc(CC(=O)Nc2c(C)n[nH]c2C(F)F)s1 ZINC000353978006 298327648 /nfs/dbraw/zinc/32/76/48/298327648.db2.gz XPBYHHXMCQGLSP-UHFFFAOYSA-N -1 1 302.310 1.152 20 0 DDADMM Cn1cc(C[C@@H]2CCN(C(=O)c3cc(F)ccc3[O-])C2)cn1 ZINC000362846410 300158216 /nfs/dbraw/zinc/15/82/16/300158216.db2.gz GPEMPZOPJVQCLY-NSHDSACASA-N -1 1 303.337 1.970 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000368500319 301041601 /nfs/dbraw/zinc/04/16/01/301041601.db2.gz BHLVWEXVANNHHF-HLEGCSNESA-N -1 1 309.732 1.854 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](N2CCCCC2=O)C1 ZINC000369247252 301149537 /nfs/dbraw/zinc/14/95/37/301149537.db2.gz BCVZUAJBOGRBAN-GFCCVEGCSA-N -1 1 303.362 1.404 20 0 DDADMM O=C([N-]CCCN1CCOC[C@@H]1c1ccco1)C(F)(F)F ZINC000370319618 301315886 /nfs/dbraw/zinc/31/58/86/301315886.db2.gz YJWKHCFLVXAOSU-SNVBAGLBSA-N -1 1 306.284 1.722 20 0 DDADMM O=C([N-]OCc1cccnc1)[C@@H]1CC(=O)N(c2ccccn2)C1 ZINC000372760273 301609047 /nfs/dbraw/zinc/60/90/47/301609047.db2.gz JDACCAKTTBFKDJ-CYBMUJFWSA-N -1 1 312.329 1.078 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC(c2nnc[nH]2)CC1 ZINC000377699662 302215304 /nfs/dbraw/zinc/21/53/04/302215304.db2.gz QJSLYBMTULSMBS-UHFFFAOYSA-N -1 1 308.288 1.808 20 0 DDADMM Cn1cc(N2CC[C@H](NC(=O)c3ccc(Cl)cc3[O-])C2)cn1 ZINC000377712510 302217571 /nfs/dbraw/zinc/21/75/71/302217571.db2.gz KYZOAFDCRQJIJH-NSHDSACASA-N -1 1 320.780 1.788 20 0 DDADMM O=C([N-][C@H]1CCc2nnc(Cc3ccccc3)n2C1)C(F)(F)F ZINC000378542312 302333333 /nfs/dbraw/zinc/33/33/33/302333333.db2.gz NVSITBUVCSOHGX-NSHDSACASA-N -1 1 324.306 1.862 20 0 DDADMM CC[C@@H](O)CCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000398598332 302359458 /nfs/dbraw/zinc/35/94/58/302359458.db2.gz JUGBYEKGVPRIDC-MRVPVSSYSA-N -1 1 311.325 1.933 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2CC[C@@H](O)[C@@H]2C1 ZINC000408189912 302560771 /nfs/dbraw/zinc/56/07/71/302560771.db2.gz ZENLVYODTJXHOV-QJPTWQEYSA-N -1 1 319.405 1.631 20 0 DDADMM O=C(c1ncc2c(F)cccn21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000528884032 303069603 /nfs/dbraw/zinc/06/96/03/303069603.db2.gz YFYYOEZMOYIWHC-VIFPVBQESA-N -1 1 315.312 1.006 20 0 DDADMM COc1ccc(COCC[N@H+]2CC[C@](OC)(C(=O)[O-])C2)cc1 ZINC000530168615 303178698 /nfs/dbraw/zinc/17/86/98/303178698.db2.gz DRURGYLXUYHNBI-MRXNPFEDSA-N -1 1 309.362 1.387 20 0 DDADMM CS(=O)(=O)CCC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000530770374 303211001 /nfs/dbraw/zinc/21/10/01/303211001.db2.gz JMEOEZHDTOQGGG-UHFFFAOYSA-N -1 1 317.388 1.146 20 0 DDADMM CN(C)C(=O)CCC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000531008397 303224548 /nfs/dbraw/zinc/22/45/48/303224548.db2.gz TYHWTVYJOPILIV-UHFFFAOYSA-N -1 1 310.375 1.580 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCOC[C@@H]2CCCO2)sc1C ZINC000532496892 303287941 /nfs/dbraw/zinc/28/79/41/303287941.db2.gz QAELBAARLDEKMA-NSHDSACASA-N -1 1 320.436 1.234 20 0 DDADMM C[C@@H]1CCC[C@@H](OCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1 ZINC000533113590 303309525 /nfs/dbraw/zinc/30/95/25/303309525.db2.gz JEAYWFPGAOGYJS-JHJVBQTASA-N -1 1 307.398 1.501 20 0 DDADMM COC[C@@](C)(O)C(=O)Nc1cc(C)cc(Br)c1[O-] ZINC000537597552 303375396 /nfs/dbraw/zinc/37/53/96/303375396.db2.gz IGICBJGJBUPZSL-GFCCVEGCSA-N -1 1 318.167 1.799 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cnn(C)c2C(=O)[O-])c(F)c1 ZINC000537639652 303377453 /nfs/dbraw/zinc/37/74/53/303377453.db2.gz BWYWLVOQFVJLAV-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM C[C@H](COc1ccccc1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000539324938 303394855 /nfs/dbraw/zinc/39/48/55/303394855.db2.gz FFJLTEVUIUQQRY-OLZOCXBDSA-N -1 1 315.377 1.621 20 0 DDADMM Cc1noc(C)c1[C@H](C)CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000539326464 303394920 /nfs/dbraw/zinc/39/49/20/303394920.db2.gz XZAAMZPYNILDOP-BXKDBHETSA-N -1 1 318.381 1.704 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N(CC1CCCCC1)C1CC1 ZINC000541843512 303429658 /nfs/dbraw/zinc/42/96/58/303429658.db2.gz YLVMOOLZJJLURD-UHFFFAOYSA-N -1 1 315.377 1.603 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCN(c3ncccc3F)C2)c([O-])c1 ZINC000545887133 303501854 /nfs/dbraw/zinc/50/18/54/303501854.db2.gz VAUQPMOVHNFRCT-NSHDSACASA-N -1 1 316.336 1.638 20 0 DDADMM Cc1cc(CS(=O)(=O)Nc2cc(F)ccc2C(=O)[O-])on1 ZINC000548198696 303576946 /nfs/dbraw/zinc/57/69/46/303576946.db2.gz WQUQNDWHWPOJFZ-UHFFFAOYSA-N -1 1 314.294 1.762 20 0 DDADMM CCOC[C@@H](O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000362135232 307014871 /nfs/dbraw/zinc/01/48/71/307014871.db2.gz RLZXFAJPLYCJLV-QMMMGPOBSA-N -1 1 311.762 1.155 20 0 DDADMM O=C(CCCc1ccccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363396783 307027250 /nfs/dbraw/zinc/02/72/50/307027250.db2.gz YFNZIKOJTLYBQR-ZDUSSCGKSA-N -1 1 301.350 1.123 20 0 DDADMM CN(C)[C@@]1(C(=O)N2CCC[C@H](C(=O)[O-])C2)CCc2ccccc21 ZINC000364200703 307043423 /nfs/dbraw/zinc/04/34/23/307043423.db2.gz KIWRKZKWDYMBBR-KSSFIOAISA-N -1 1 316.401 1.713 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCO[C@@H](C2CC2)C1 ZINC000364485329 307046318 /nfs/dbraw/zinc/04/63/18/307046318.db2.gz JHSRWAKYYHGEON-SNVBAGLBSA-N -1 1 309.391 1.464 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)[C@H]1CCCc2cccnc21 ZINC000367685870 307102125 /nfs/dbraw/zinc/10/21/25/307102125.db2.gz MYNWNNPPARMPFD-NSHDSACASA-N -1 1 322.390 1.489 20 0 DDADMM COC(=O)c1[n-]c(=NC[C@]2(O)CCc3ccccc32)sc1C ZINC000377137033 307264013 /nfs/dbraw/zinc/26/40/13/307264013.db2.gz WPDZDJWPXMQWKM-MRXNPFEDSA-N -1 1 318.398 1.906 20 0 DDADMM CCCCC[C@H](C)NC(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000377601551 307274508 /nfs/dbraw/zinc/27/45/08/307274508.db2.gz CIDMUZUPHASWBM-LBPRGKRZSA-N -1 1 323.441 1.775 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)[N-]c2cnn(C)c2C(F)(F)F)C1 ZINC000436164615 307305407 /nfs/dbraw/zinc/30/54/07/307305407.db2.gz KMSGYKSDWJTDAF-SSDOTTSWSA-N -1 1 312.317 1.437 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CC[C@H](C(=O)OC)CC1)c1nn[n-]n1 ZINC000436166925 307305532 /nfs/dbraw/zinc/30/55/32/307305532.db2.gz DYXBDHZZJXTDSF-DCAQKATOSA-N -1 1 309.370 1.137 20 0 DDADMM CCC(NC(=O)c1cc(=O)n2[n-]cnc2n1)(C1CC1)C1CC1 ZINC000529801203 307571296 /nfs/dbraw/zinc/57/12/96/307571296.db2.gz XJVXVJJUQTZPRF-UHFFFAOYSA-N -1 1 301.350 1.116 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCCC1 ZINC000530040809 307581419 /nfs/dbraw/zinc/58/14/19/307581419.db2.gz WLVIGLXLAXKWJH-NSHDSACASA-N -1 1 317.393 1.706 20 0 DDADMM O=C(N[C@@H]1CC[C@H]2CCCC[C@@H]2C1)c1cc(=O)n2[n-]cnc2n1 ZINC000546068493 307725347 /nfs/dbraw/zinc/72/53/47/307725347.db2.gz ZPRYFPZJHNIARB-IJLUTSLNSA-N -1 1 315.377 1.506 20 0 DDADMM C[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC2(CCC2)O1 ZINC000563146109 307958451 /nfs/dbraw/zinc/95/84/51/307958451.db2.gz CENQQQMKBWXACJ-LLVKDONJSA-N -1 1 313.357 1.707 20 0 DDADMM COc1ccc2nc(CN3CCC[C@](C)(C(=O)[O-])C3)[nH]c2n1 ZINC000565485374 308032656 /nfs/dbraw/zinc/03/26/56/308032656.db2.gz CUZLFDVAWNGIFO-HNNXBMFYSA-N -1 1 304.350 1.653 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@H]1CCc2nncn2C1 ZINC000567943789 308101527 /nfs/dbraw/zinc/10/15/27/308101527.db2.gz WEHRDUROBNPFIU-NSHDSACASA-N -1 1 324.344 1.575 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C[C@H]1C(=O)[O-] ZINC000570451370 308170067 /nfs/dbraw/zinc/17/00/67/308170067.db2.gz LJLOSOANBFCWLW-ZWNOBZJWSA-N -1 1 314.345 1.655 20 0 DDADMM O=C(N=c1cc(-c2cccc(F)c2F)[n-][nH]1)[C@H](O)C1CCC1 ZINC000571089873 308190425 /nfs/dbraw/zinc/19/04/25/308190425.db2.gz JNQGHSXYPMDVRI-CQSZACIVSA-N -1 1 307.300 1.876 20 0 DDADMM COC(=O)c1ccccc1NC(=O)CN(C)CCCC(=O)[O-] ZINC000572964234 308229792 /nfs/dbraw/zinc/22/97/92/308229792.db2.gz BOTQGFRVOFKZHL-UHFFFAOYSA-N -1 1 308.334 1.208 20 0 DDADMM CC1(CCS(=O)(=O)[N-]Cc2nc(C(F)(F)F)no2)CC1 ZINC000575218944 308273465 /nfs/dbraw/zinc/27/34/65/308273465.db2.gz OEZGQMHZAKVCFP-UHFFFAOYSA-N -1 1 313.301 1.698 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1C[C@H](C(=O)[O-])CC[C@@H]1C ZINC000576583967 308324924 /nfs/dbraw/zinc/32/49/24/308324924.db2.gz KHJGYBOUXPBDKS-WDEREUQCSA-N -1 1 324.343 1.971 20 0 DDADMM CCC[C@@H](C)[C@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000576708718 308334093 /nfs/dbraw/zinc/33/40/93/308334093.db2.gz HZPCMMMRYINHOR-MFKMUULPSA-N -1 1 303.362 1.450 20 0 DDADMM Cc1nc(C2CC2)ccc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000577585368 308399071 /nfs/dbraw/zinc/39/90/71/308399071.db2.gz KLZHGUSCDCDZPD-UHFFFAOYSA-N -1 1 312.377 1.980 20 0 DDADMM O=C(N=c1[n-]nc(C(F)(F)F)s1)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000579567819 308541020 /nfs/dbraw/zinc/54/10/20/308541020.db2.gz PBVSKHHIBWWGKW-KNVOCYPGSA-N -1 1 321.328 1.337 20 0 DDADMM C[C@@H](N=c1ccc(N2CCn3ncnc3C2)n[n-]1)c1ccccc1 ZINC000579949626 308568952 /nfs/dbraw/zinc/56/89/52/308568952.db2.gz AMNUPGLOLHLSNW-CYBMUJFWSA-N -1 1 321.388 1.683 20 0 DDADMM O=C(C(=O)N1CCC[C@H]1[C@@H]1CCCOC1)c1ccc([O-])cc1 ZINC000580835868 308634780 /nfs/dbraw/zinc/63/47/80/308634780.db2.gz UBFULULMHSOXPU-HIFRSBDPSA-N -1 1 303.358 1.993 20 0 DDADMM CCn1cc([C@@H]2C[S@@](=O)CCN2Cc2ncccc2[O-])cn1 ZINC000581694016 325899910 /nfs/dbraw/zinc/89/99/10/325899910.db2.gz ZSQOIAGPMYCDIN-FPTDNZKUSA-N -1 1 320.418 1.309 20 0 DDADMM Cc1cnc(S[C@@H](C)c2nc(N)nc(N(C)C)n2)[n-]c1=O ZINC000582371352 326022433 /nfs/dbraw/zinc/02/24/33/326022433.db2.gz WFZWAXIZDADFOG-ZETCQYMHSA-N -1 1 307.383 1.007 20 0 DDADMM CCc1nc([C@H](C)S(=O)(=O)c2nc3ccccc3[n-]2)n[nH]1 ZINC000656554229 484205798 /nfs/dbraw/zinc/20/57/98/484205798.db2.gz GHSHWTOIQBFJGX-QMMMGPOBSA-N -1 1 305.363 1.778 20 0 DDADMM CC(=O)N1CCc2sc(S(=O)(=O)[N-]CC(F)F)cc2C1 ZINC000656737298 484309544 /nfs/dbraw/zinc/30/95/44/484309544.db2.gz JZHKMDKVXQTVMV-UHFFFAOYSA-N -1 1 324.374 1.196 20 0 DDADMM Cc1cc(N[C@@H]2C[C@H](C(=O)[O-])C[C@@H]2O)c2cc(F)ccc2n1 ZINC000662894696 484525831 /nfs/dbraw/zinc/52/58/31/484525831.db2.gz BZJGPZQCFGUBCS-AMFBXLIHSA-N -1 1 304.321 1.740 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@@]3(C(=O)[O-])CC3(C)C)C2)n[nH]1 ZINC000663041954 484620089 /nfs/dbraw/zinc/62/00/89/484620089.db2.gz QGZWHNLHIRDERE-ZUZCIYMTSA-N -1 1 306.366 1.320 20 0 DDADMM CCC[C@H]1CN(C(=O)C2(C(=O)[O-])CCC2)CCN1CCOC ZINC000663062290 484637464 /nfs/dbraw/zinc/63/74/64/484637464.db2.gz TUZZWRDVRQIHME-ZDUSSCGKSA-N -1 1 312.410 1.201 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@@H]1O)c1ccc([O-])c(F)c1 ZINC000670136822 484762257 /nfs/dbraw/zinc/76/22/57/484762257.db2.gz GOGMECHKEFEBCL-IUODEOHRSA-N -1 1 323.364 1.829 20 0 DDADMM CN(C(=O)c1ccc([O-])c(F)c1)C(C)(C)CN1CCOCC1 ZINC000666070531 485070204 /nfs/dbraw/zinc/07/02/04/485070204.db2.gz LEEASZROEPXOBR-UHFFFAOYSA-N -1 1 310.369 1.714 20 0 DDADMM C[C@@H](O)CN1CCN(C(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000668360085 485130664 /nfs/dbraw/zinc/13/06/64/485130664.db2.gz PMKKNCQDVAKXNG-GFCCVEGCSA-N -1 1 315.373 1.079 20 0 DDADMM COCCCn1nccc1CNCc1cccc(C(=O)[O-])c1 ZINC000666584090 485281580 /nfs/dbraw/zinc/28/15/80/485281580.db2.gz BFCPLDCINWAADS-UHFFFAOYSA-N -1 1 303.362 1.908 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCCC1(F)F ZINC000673379114 485408171 /nfs/dbraw/zinc/40/81/71/485408171.db2.gz DQBQYAAMKUYFPN-LLVKDONJSA-N -1 1 324.331 1.898 20 0 DDADMM Cc1nn(C)cc1[C@@H]1CCCN1C(=O)c1cccc2nn[nH]c21 ZINC000682163011 485508860 /nfs/dbraw/zinc/50/88/60/485508860.db2.gz OCNHTQQDVZYKDL-AWEZNQCLSA-N -1 1 310.361 1.977 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)Cc2ccc(C)cn2)o1 ZINC000682772348 485771240 /nfs/dbraw/zinc/77/12/40/485771240.db2.gz LZSKNGZGGNAKFS-UHFFFAOYSA-N -1 1 323.374 1.163 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-][C@H](C1CCCC1)C(F)(F)F ZINC000682806738 485780010 /nfs/dbraw/zinc/78/00/10/485780010.db2.gz BCVLSYVFQCCSQE-SECBINFHSA-N -1 1 312.317 1.215 20 0 DDADMM COc1nc(C)ccc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000679122917 485783449 /nfs/dbraw/zinc/78/34/49/485783449.db2.gz CQBHJVHXJXUAOB-ZDUSSCGKSA-N -1 1 324.344 1.431 20 0 DDADMM Cc1csc(C(=O)NCc2nn[n-]n2)c1Br ZINC000683135222 485889393 /nfs/dbraw/zinc/88/93/93/485889393.db2.gz KIILAEOHTANZOJ-UHFFFAOYSA-N -1 1 302.157 1.262 20 0 DDADMM O=C([O-])CCN(CC1CC1)C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000675973672 486077949 /nfs/dbraw/zinc/07/79/49/486077949.db2.gz UGSYHSCMLVMHHK-UHFFFAOYSA-N -1 1 303.318 1.997 20 0 DDADMM O=S(=O)(Cc1ccno1)c1nnc(Cc2cccs2)[n-]1 ZINC000683688366 486081135 /nfs/dbraw/zinc/08/11/35/486081135.db2.gz MAJDYEXNPKMSAQ-UHFFFAOYSA-N -1 1 310.360 1.419 20 0 DDADMM O=S(=O)(Cc1ccno1)c1n[n-]c(Cc2cccs2)n1 ZINC000683688366 486081145 /nfs/dbraw/zinc/08/11/45/486081145.db2.gz MAJDYEXNPKMSAQ-UHFFFAOYSA-N -1 1 310.360 1.419 20 0 DDADMM C[C@@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)c1ccncc1 ZINC000676125015 486123324 /nfs/dbraw/zinc/12/33/24/486123324.db2.gz WKWWTWJEHKTVDX-LRDDRELGSA-N -1 1 322.372 1.994 20 0 DDADMM Cc1c(NC(=O)C2=C([O-])C(C)N=N2)cccc1N1CCNC1=O ZINC000683884964 486145051 /nfs/dbraw/zinc/14/50/51/486145051.db2.gz LONPMCMBBCBROL-UHFFFAOYSA-N -1 1 315.333 1.514 20 0 DDADMM Cc1ccsc1CNC(=O)CN1CCC[C@H](CC(=O)[O-])C1 ZINC000676234166 486155999 /nfs/dbraw/zinc/15/59/99/486155999.db2.gz YDXQVEANLFSQAG-GFCCVEGCSA-N -1 1 310.419 1.859 20 0 DDADMM COc1cccc([C@@H](CNC(=O)c2[nH]nc(C)c2[O-])N(C)C)c1 ZINC000676630276 486271731 /nfs/dbraw/zinc/27/17/31/486271731.db2.gz HOHDNQCEPAZPNO-CYBMUJFWSA-N -1 1 318.377 1.465 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ccc([O-])c(F)c1)N1CCOCC1 ZINC000681014286 486323615 /nfs/dbraw/zinc/32/36/15/486323615.db2.gz JMRPXNJPONUDEL-AWEZNQCLSA-N -1 1 310.369 1.618 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCN(C)c2ccccc21)c1nn[n-]n1 ZINC000676823208 486334885 /nfs/dbraw/zinc/33/48/85/486334885.db2.gz RQATYWWOZBSRJC-OLZOCXBDSA-N -1 1 314.393 1.781 20 0 DDADMM NC(=O)[C@@H](NC(=O)c1ccc([O-])c(F)c1)c1ccc(F)cc1 ZINC000681086453 486345795 /nfs/dbraw/zinc/34/57/95/486345795.db2.gz YELQAUWBTDSLHE-ZDUSSCGKSA-N -1 1 306.268 1.627 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)[C@H](C)CO)c(F)c1 ZINC000676860274 486348502 /nfs/dbraw/zinc/34/85/02/486348502.db2.gz WXGPVCYYIGACFF-HTQZYQBOSA-N -1 1 309.334 1.269 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2C=C[C@H](CO)C2)c(F)c1 ZINC000676863508 486349174 /nfs/dbraw/zinc/34/91/74/486349174.db2.gz AJKVSJWBKATRPO-DTWKUNHWSA-N -1 1 319.329 1.189 20 0 DDADMM CC(C)(C)c1ncc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000677047628 486385599 /nfs/dbraw/zinc/38/55/99/486385599.db2.gz HKUVGHPPXVBGOX-VIFPVBQESA-N -1 1 320.422 1.974 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@]2(C)CCOC2)c(F)c1 ZINC000677317032 486438647 /nfs/dbraw/zinc/43/86/47/486438647.db2.gz YYYKLIRUVFPGCT-GFCCVEGCSA-N -1 1 307.318 1.431 20 0 DDADMM C[C@@H](C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C1CCOCC1 ZINC000677403978 486457404 /nfs/dbraw/zinc/45/74/04/486457404.db2.gz WRCCGUWRFHQBFE-BXUZGUMPSA-N -1 1 315.377 1.468 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(c2nccn3nnnc23)CC1 ZINC000677508993 486487516 /nfs/dbraw/zinc/48/75/16/486487516.db2.gz YREWWLVOGFQWNA-UHFFFAOYSA-N -1 1 324.344 1.324 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCCSC1 ZINC000685637328 486579114 /nfs/dbraw/zinc/57/91/14/486579114.db2.gz HEDCNDVQFHPZGZ-RYUDHWBXSA-N -1 1 303.391 1.549 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](O)CCc1ccccc1)c1nn[n-]n1 ZINC000677931495 486583068 /nfs/dbraw/zinc/58/30/68/486583068.db2.gz OKBHCKOABOZVJL-CHWSQXEVSA-N -1 1 303.366 1.151 20 0 DDADMM C[C@@H](O)[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CCO1 ZINC000412925754 533816672 /nfs/dbraw/zinc/81/66/72/533816672.db2.gz OYJKQHKGBPGQFK-PELKAZGASA-N -1 1 319.279 1.633 20 0 DDADMM C[C@@H](C[S@](C)=O)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451388451 534229334 /nfs/dbraw/zinc/22/93/34/534229334.db2.gz CAELXBNZCRGAFQ-RLBGWGEZSA-N -1 1 319.379 1.617 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C(C)C)o1 ZINC000451912904 534239434 /nfs/dbraw/zinc/23/94/34/534239434.db2.gz AFDSIPVEFDLAPN-UWVGGRQHSA-N -1 1 301.364 1.637 20 0 DDADMM O=C(NOCCC(F)(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000295667942 534711307 /nfs/dbraw/zinc/71/13/07/534711307.db2.gz FSLGIKKZBWYMIG-UHFFFAOYSA-N -1 1 306.269 1.632 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2csc3ccccc23)n1 ZINC000338111846 525282423 /nfs/dbraw/zinc/28/24/23/525282423.db2.gz JBCHIBBETHDTTK-UHFFFAOYSA-N -1 1 322.371 1.675 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2csc3ccccc23)[n-]1 ZINC000338111846 525282432 /nfs/dbraw/zinc/28/24/32/525282432.db2.gz JBCHIBBETHDTTK-UHFFFAOYSA-N -1 1 322.371 1.675 20 0 DDADMM Cc1nn(CC(=O)NC2(c3nn[n-]n3)CC2)cc1-c1ccccc1 ZINC000444383662 534854296 /nfs/dbraw/zinc/85/42/96/534854296.db2.gz QQUXBXFKZDIJIP-UHFFFAOYSA-N -1 1 323.360 1.177 20 0 DDADMM CC(C)(NC(=O)C=Cc1ccc2ccccc2n1)c1nn[n-]n1 ZINC000492083980 527262575 /nfs/dbraw/zinc/26/25/75/527262575.db2.gz MCUPNMPUCRAADN-MDZDMXLPSA-N -1 1 308.345 1.813 20 0 DDADMM CCC[C@@H]1CCC[C@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331951954 527760000 /nfs/dbraw/zinc/76/00/00/527760000.db2.gz QTMSZCWRDZFTND-VXGBXAGGSA-N -1 1 323.466 1.816 20 0 DDADMM CCC[C@H](NC(=O)c1cc(Br)co1)c1nn[n-]n1 ZINC000457637011 528201169 /nfs/dbraw/zinc/20/11/69/528201169.db2.gz SNXDCVMISLRTGM-ZETCQYMHSA-N -1 1 314.143 1.826 20 0 DDADMM CCC[C@H](NC(=O)c1c[nH]c(C(F)(F)F)c1)c1nn[n-]n1 ZINC000295038067 528201763 /nfs/dbraw/zinc/20/17/63/528201763.db2.gz RTLAHJRJXCASGC-ZETCQYMHSA-N -1 1 302.260 1.818 20 0 DDADMM CCNC(=O)[C@@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)C(C)C ZINC000432278391 528213223 /nfs/dbraw/zinc/21/32/23/528213223.db2.gz AOCBVDDMQYLMEE-JTQLQIEISA-N -1 1 319.452 1.199 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2sc(C)nc2C)[n-]n1 ZINC000413141877 528230575 /nfs/dbraw/zinc/23/05/75/528230575.db2.gz UPIZLAVTMVZKHY-UHFFFAOYSA-N -1 1 305.407 1.376 20 0 DDADMM CCC(CC)[C@@H](CCN=c1ccc(C(=O)N(C)C)n[n-]1)OC ZINC000413200534 528812715 /nfs/dbraw/zinc/81/27/15/528812715.db2.gz KHMXYPKNVYBDRP-CQSZACIVSA-N -1 1 308.426 1.854 20 0 DDADMM CCOC[C@@H](O)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451241177 528912244 /nfs/dbraw/zinc/91/22/44/528912244.db2.gz IXCWPLUAYVMTPV-NSHDSACASA-N -1 1 317.338 1.248 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCC[C@H]2C)o1 ZINC000337208283 535696769 /nfs/dbraw/zinc/69/67/69/535696769.db2.gz QGJGRSLUOQCAKU-NXEZZACHSA-N -1 1 301.364 1.923 20 0 DDADMM c1ccc(O[C@H]2CCCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000738436929 598830830 /nfs/dbraw/zinc/83/08/30/598830830.db2.gz VVJLFAYSHKGBQZ-ZDUSSCGKSA-N -1 1 323.360 1.705 20 0 DDADMM c1ccc(O[C@H]2CCCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000738436929 598830831 /nfs/dbraw/zinc/83/08/31/598830831.db2.gz VVJLFAYSHKGBQZ-ZDUSSCGKSA-N -1 1 323.360 1.705 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)[C@H]1C ZINC000736247580 598985936 /nfs/dbraw/zinc/98/59/36/598985936.db2.gz ADTOCLITLLXUNX-SCVCMEIPSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)[C@H]1C ZINC000736247580 598985937 /nfs/dbraw/zinc/98/59/37/598985937.db2.gz ADTOCLITLLXUNX-SCVCMEIPSA-N -1 1 300.366 1.816 20 0 DDADMM Cc1ncc(CN(C)C(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000737492034 598994798 /nfs/dbraw/zinc/99/47/98/598994798.db2.gz QACJJRCBAMBRFQ-UHFFFAOYSA-N -1 1 315.362 1.299 20 0 DDADMM Cc1ncc(CN(C)C(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000737492034 598994800 /nfs/dbraw/zinc/99/48/00/598994800.db2.gz QACJJRCBAMBRFQ-UHFFFAOYSA-N -1 1 315.362 1.299 20 0 DDADMM C[C@@H](c1cccnc1)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736205316 598998205 /nfs/dbraw/zinc/99/82/05/598998205.db2.gz VJAFRDCWTRQBNI-JTQLQIEISA-N -1 1 309.333 1.490 20 0 DDADMM C[C@@H](c1cccnc1)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736205316 598998206 /nfs/dbraw/zinc/99/82/06/598998206.db2.gz VJAFRDCWTRQBNI-JTQLQIEISA-N -1 1 309.333 1.490 20 0 DDADMM CS[C@@H]1CCC[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000737170139 599227106 /nfs/dbraw/zinc/22/71/06/599227106.db2.gz GWWBHZNOJZTZTG-GHMZBOCLSA-N -1 1 318.406 1.666 20 0 DDADMM CS[C@@H]1CCC[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000737170139 599227108 /nfs/dbraw/zinc/22/71/08/599227108.db2.gz GWWBHZNOJZTZTG-GHMZBOCLSA-N -1 1 318.406 1.666 20 0 DDADMM CN(CCC(=O)[O-])C(=O)Nc1ccccc1N1CCN(C)CC1 ZINC000738020148 596982912 /nfs/dbraw/zinc/98/29/12/596982912.db2.gz FENLATSAJOZHGC-UHFFFAOYSA-N -1 1 320.393 1.377 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)Nc1ccc(N2CCCC2)cc1 ZINC000769757323 596994696 /nfs/dbraw/zinc/99/46/96/596994696.db2.gz DAEVUUZPRIYWKP-UHFFFAOYSA-N -1 1 305.378 1.632 20 0 DDADMM CCOc1ccnc(N2CCN(CCCCC(=O)[O-])CC2)n1 ZINC000737886506 597776723 /nfs/dbraw/zinc/77/67/23/597776723.db2.gz KMWDHKGGMVMBIP-UHFFFAOYSA-N -1 1 308.382 1.252 20 0 DDADMM O=C([O-])CNC(=O)CN(C1CC1)[C@@H]1CCCc2ccccc21 ZINC000821530140 597793546 /nfs/dbraw/zinc/79/35/46/597793546.db2.gz YJFDITOEMRPYOA-OAHLLOKOSA-N -1 1 302.374 1.729 20 0 DDADMM CO[C@@H](c1ccccc1Cl)[C@H](C)NCC(=O)NCC(=O)[O-] ZINC000820696390 597810461 /nfs/dbraw/zinc/81/04/61/597810461.db2.gz FBOUOGVKQMQTJT-LKFCYVNXSA-N -1 1 314.769 1.206 20 0 DDADMM CN(Cc1ccc(F)cc1F)c1nccnc1-c1nnn[n-]1 ZINC000736819078 598341489 /nfs/dbraw/zinc/34/14/89/598341489.db2.gz HLLRBCQAPRQJII-UHFFFAOYSA-N -1 1 303.276 1.571 20 0 DDADMM CN(Cc1ccc(F)cc1F)c1nccnc1-c1nn[n-]n1 ZINC000736819078 598341490 /nfs/dbraw/zinc/34/14/90/598341490.db2.gz HLLRBCQAPRQJII-UHFFFAOYSA-N -1 1 303.276 1.571 20 0 DDADMM O=C1CCCN1c1cccc(Nc2nccnc2-c2nnn[n-]2)c1 ZINC000738306036 598342719 /nfs/dbraw/zinc/34/27/19/598342719.db2.gz XXBRTFNYDUJQDL-UHFFFAOYSA-N -1 1 322.332 1.527 20 0 DDADMM O=C1CCCN1c1cccc(Nc2nccnc2-c2nn[n-]n2)c1 ZINC000738306036 598342721 /nfs/dbraw/zinc/34/27/21/598342721.db2.gz XXBRTFNYDUJQDL-UHFFFAOYSA-N -1 1 322.332 1.527 20 0 DDADMM CC(C)Oc1ccc(CNc2nccnc2-c2nnn[n-]2)cn1 ZINC000735915800 598343261 /nfs/dbraw/zinc/34/32/61/598343261.db2.gz RRFBQXWKZSEWJA-UHFFFAOYSA-N -1 1 312.337 1.451 20 0 DDADMM CC(C)Oc1ccc(CNc2nccnc2-c2nn[n-]n2)cn1 ZINC000735915800 598343263 /nfs/dbraw/zinc/34/32/63/598343263.db2.gz RRFBQXWKZSEWJA-UHFFFAOYSA-N -1 1 312.337 1.451 20 0 DDADMM C[C@H](COCC(F)(F)F)Nc1nccnc1-c1nnn[n-]1 ZINC000736036431 598348564 /nfs/dbraw/zinc/34/85/64/598348564.db2.gz ALTIGVPLNSCXOQ-ZCFIWIBFSA-N -1 1 303.248 1.036 20 0 DDADMM C[C@H](COCC(F)(F)F)Nc1nccnc1-c1nn[n-]n1 ZINC000736036431 598348565 /nfs/dbraw/zinc/34/85/65/598348565.db2.gz ALTIGVPLNSCXOQ-ZCFIWIBFSA-N -1 1 303.248 1.036 20 0 DDADMM Clc1ccc(OCCNc2cnc(-c3nnn[n-]3)cn2)cc1 ZINC000737574819 598385409 /nfs/dbraw/zinc/38/54/09/598385409.db2.gz UDPYCZTWMPLFOH-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM Clc1ccc(OCCNc2cnc(-c3nn[n-]n3)cn2)cc1 ZINC000737574819 598385411 /nfs/dbraw/zinc/38/54/11/598385411.db2.gz UDPYCZTWMPLFOH-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nnn[n-]2)c1C(=O)N(C)C1CC1 ZINC000737546149 598405280 /nfs/dbraw/zinc/40/52/80/598405280.db2.gz DHJQPQQEMXFZKV-UHFFFAOYSA-N -1 1 312.333 1.793 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nn[n-]n2)c1C(=O)N(C)C1CC1 ZINC000737546149 598405282 /nfs/dbraw/zinc/40/52/82/598405282.db2.gz DHJQPQQEMXFZKV-UHFFFAOYSA-N -1 1 312.333 1.793 20 0 DDADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)NC1CCC1 ZINC000737201465 598425503 /nfs/dbraw/zinc/42/55/03/598425503.db2.gz SQNWEEWZSXAANW-UHFFFAOYSA-N -1 1 316.365 1.185 20 0 DDADMM CC(C)n1cc(NCc2cnc3c(-c4nnn[n-]4)cnn3c2)cn1 ZINC000735961958 598466287 /nfs/dbraw/zinc/46/62/87/598466287.db2.gz YFVKHKMAMKMJKG-UHFFFAOYSA-N -1 1 324.352 1.299 20 0 DDADMM CC(C)n1cc(NCc2cnc3c(-c4nn[n-]n4)cnn3c2)cn1 ZINC000735961958 598466289 /nfs/dbraw/zinc/46/62/89/598466289.db2.gz YFVKHKMAMKMJKG-UHFFFAOYSA-N -1 1 324.352 1.299 20 0 DDADMM Cc1ccc2c(c1C)OC[C@H]2Nc1nccnc1-c1nnn[n-]1 ZINC000737375964 598613337 /nfs/dbraw/zinc/61/33/37/598613337.db2.gz GKWRZZQVQOICEX-LLVKDONJSA-N -1 1 309.333 1.819 20 0 DDADMM Cc1ccc2c(c1C)OC[C@H]2Nc1nccnc1-c1nn[n-]n1 ZINC000737375964 598613338 /nfs/dbraw/zinc/61/33/38/598613338.db2.gz GKWRZZQVQOICEX-LLVKDONJSA-N -1 1 309.333 1.819 20 0 DDADMM c1ccc([C@H]2CN(c3cccc(-c4nnn[n-]4)n3)CCO2)cc1 ZINC000738429055 599403109 /nfs/dbraw/zinc/40/31/09/599403109.db2.gz SDEUJZAKQKJOMB-CQSZACIVSA-N -1 1 308.345 1.840 20 0 DDADMM c1ccc([C@H]2CN(c3cccc(-c4nn[n-]n4)n3)CCO2)cc1 ZINC000738429055 599403111 /nfs/dbraw/zinc/40/31/11/599403111.db2.gz SDEUJZAKQKJOMB-CQSZACIVSA-N -1 1 308.345 1.840 20 0 DDADMM Cc1cccc(COC(=O)c2sccc2-c2nn[n-]n2)n1 ZINC000737395905 599496856 /nfs/dbraw/zinc/49/68/56/599496856.db2.gz BSRCXYZZWDQVCD-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM CN(C)S(=O)(=O)c1ccc(C(F)(F)F)cc1-c1nn[n-]n1 ZINC000736769823 599593727 /nfs/dbraw/zinc/59/37/27/599593727.db2.gz DPEMDLBSFHAYQL-UHFFFAOYSA-N -1 1 321.284 1.136 20 0 DDADMM CCCCOC(=O)[C@@H](C)OC(=O)c1sccc1-c1nn[n-]n1 ZINC000821073240 599658675 /nfs/dbraw/zinc/65/86/75/599658675.db2.gz YKSJLDVOWBCEAM-MRVPVSSYSA-N -1 1 324.362 1.817 20 0 DDADMM COc1cccc([C@H](CNC(=O)CCNC(=O)[O-])N(C)C)c1 ZINC000738092608 599742600 /nfs/dbraw/zinc/74/26/00/599742600.db2.gz QIJAOQKRZQSMSD-ZDUSSCGKSA-N -1 1 309.366 1.072 20 0 DDADMM O=C([O-])C1CCN(CC(=O)N2CCC(c3ccccc3)=N2)CC1 ZINC000738544827 599967351 /nfs/dbraw/zinc/96/73/51/599967351.db2.gz IGBSDVUKZPMEHC-UHFFFAOYSA-N -1 1 315.373 1.420 20 0 DDADMM CCC[C@@](C)(NCC(=O)Nc1cccc(C(=O)OC)c1)C(=O)[O-] ZINC000736965547 600154481 /nfs/dbraw/zinc/15/44/81/600154481.db2.gz WJHNFYUIWDAMEM-MRXNPFEDSA-N -1 1 322.361 1.645 20 0 DDADMM Cc1cccnc1NS(=O)(=O)c1ccc(CC(=O)[O-])cc1 ZINC000035296006 600243709 /nfs/dbraw/zinc/24/37/09/600243709.db2.gz WOOWZCBOGBBOFA-UHFFFAOYSA-N -1 1 306.343 1.818 20 0 DDADMM Cc1ccc2nc(CNC(=S)NCCCC(=O)[O-])[nH]c2c1 ZINC000832958872 600689259 /nfs/dbraw/zinc/68/92/59/600689259.db2.gz NUMOAVAJPPEFCV-UHFFFAOYSA-N -1 1 306.391 1.700 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](Cc2c([O-])nnn2-c2ccccc2)C1 ZINC000739582571 600716668 /nfs/dbraw/zinc/71/66/68/600716668.db2.gz FZXALGVQOVSQJK-NSHDSACASA-N -1 1 302.334 1.270 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H](C(=O)Nc2nc(-c3ccccn3)n[nH]2)C1 ZINC000833189532 600907631 /nfs/dbraw/zinc/90/76/31/600907631.db2.gz SRKVLWKGERQBCQ-NXEZZACHSA-N -1 1 315.333 1.696 20 0 DDADMM CC[C@@H](O)CN1CCN([C@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000829004377 601012934 /nfs/dbraw/zinc/01/29/34/601012934.db2.gz HCLRJGQUJUKWBO-DOMZBBRYSA-N -1 1 310.369 1.340 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(C(=O)[O-])cc1OC(F)F)N(C)C ZINC000832803103 601136942 /nfs/dbraw/zinc/13/69/42/601136942.db2.gz FTSVTRDCGKOULV-ZETCQYMHSA-N -1 1 302.277 1.875 20 0 DDADMM CN(CC(C)(C)O)[C@@H]1CCN(c2sccc2C(=O)[O-])C1=O ZINC000830701747 601169053 /nfs/dbraw/zinc/16/90/53/601169053.db2.gz AOYAAZVNEFZXKL-SNVBAGLBSA-N -1 1 312.391 1.254 20 0 DDADMM C[C@H]1Cc2ccccc2N1C(=O)CN[C@@](C)(C(=O)[O-])C1CC1 ZINC000828516434 601173379 /nfs/dbraw/zinc/17/33/79/601173379.db2.gz MEHHEQKGPQQSFR-APPDUMDISA-N -1 1 302.374 1.807 20 0 DDADMM O=C([O-])CCN1CCN(c2cc(C(F)(F)F)ccn2)CC1 ZINC000090802132 601409711 /nfs/dbraw/zinc/40/97/11/601409711.db2.gz RGEWFDFMRVAGKJ-UHFFFAOYSA-N -1 1 303.284 1.697 20 0 DDADMM C[C@H](C(=O)N[C@H](CC(=O)[O-])C1CC1)N(C)Cc1ccccc1 ZINC000825993937 601521891 /nfs/dbraw/zinc/52/18/91/601521891.db2.gz ARDLZIHZMWFXCB-IUODEOHRSA-N -1 1 304.390 1.876 20 0 DDADMM COc1cccc(NC(=O)CCN2CCO[C@H](CC(=O)[O-])C2)c1 ZINC000832561953 601570047 /nfs/dbraw/zinc/57/00/47/601570047.db2.gz HPXQRLRXPIMCIU-CQSZACIVSA-N -1 1 322.361 1.199 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C(=O)c2cc(-c3ccc(F)cc3)[nH]n2)C1 ZINC000262707508 601655604 /nfs/dbraw/zinc/65/56/04/601655604.db2.gz DPMTWGOXGYBVFB-OAHLLOKOSA-N -1 1 321.283 1.855 20 0 DDADMM C[C@H](CS(=O)(=O)N1CCN(CCC(C)(C)C)CC1)C(=O)[O-] ZINC000827547515 601779970 /nfs/dbraw/zinc/77/99/70/601779970.db2.gz UOAFJZGZVLXAKS-GFCCVEGCSA-N -1 1 320.455 1.091 20 0 DDADMM C[C@@H](CN(CN1CC2(CC1=O)CCOCC2)C1CC1)C(=O)[O-] ZINC000827448692 601785869 /nfs/dbraw/zinc/78/58/69/601785869.db2.gz BQMCHSPOXDODOV-LBPRGKRZSA-N -1 1 310.394 1.158 20 0 DDADMM O=C([O-])CCC1CCN(CN2CC3(CC2=O)CCOCC3)CC1 ZINC000833226015 601798837 /nfs/dbraw/zinc/79/88/37/601798837.db2.gz YHSDMPWYADQZGP-UHFFFAOYSA-N -1 1 324.421 1.550 20 0 DDADMM C[C@@H]1CN(CN2C(=O)CC3(CCCCC3)C2=O)C[C@@H]1C(=O)[O-] ZINC000828452249 601815163 /nfs/dbraw/zinc/81/51/63/601815163.db2.gz ZFWVEFNWWAHMGM-NEPJUHHUSA-N -1 1 308.378 1.306 20 0 DDADMM O=C([O-])NC[C@H]1CCCN(Cn2nccc2-c2cccnc2)C1 ZINC000740634806 601911468 /nfs/dbraw/zinc/91/14/68/601911468.db2.gz SFMXYNUPGKUYDA-CYBMUJFWSA-N -1 1 315.377 1.882 20 0 DDADMM CCC(CC)NC(=O)[C@@H](C)N1CCSC[C@H]1CC(=O)[O-] ZINC000250744600 601926441 /nfs/dbraw/zinc/92/64/41/601926441.db2.gz PUQJUKLHVRWHSR-ZYHUDNBSSA-N -1 1 302.440 1.572 20 0 DDADMM O=C([O-])c1ccc(CN2CCN([C@@H]3CCC[C@@H]3O)CC2)s1 ZINC000833313688 601951068 /nfs/dbraw/zinc/95/10/68/601951068.db2.gz GJZOGCNLQXEPER-OLZOCXBDSA-N -1 1 310.419 1.477 20 0 DDADMM C[C@@H](C(=O)NCC1CCCCC1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738624052 601959232 /nfs/dbraw/zinc/95/92/32/601959232.db2.gz KGZGDZRNQDOONB-JSGCOSHPSA-N -1 1 311.426 1.661 20 0 DDADMM Cc1nc(NC(=O)[C@@H]2CCCc3[nH]ncc32)sc1C(=O)[O-] ZINC000833004283 602041237 /nfs/dbraw/zinc/04/12/37/602041237.db2.gz UIEOIFKVHKBFIW-SSDOTTSWSA-N -1 1 306.347 1.931 20 0 DDADMM c1cnn(-c2ccc(NCc3ccnc(-c4nnn[n-]4)c3)cc2)n1 ZINC000826516325 607549772 /nfs/dbraw/zinc/54/97/72/607549772.db2.gz HAQCNUALFMEWFS-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1cnn(-c2ccc(NCc3ccnc(-c4nn[n-]n4)c3)cc2)n1 ZINC000826516325 607549774 /nfs/dbraw/zinc/54/97/74/607549774.db2.gz HAQCNUALFMEWFS-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM O=C([O-])NCCCC(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000739958584 602128907 /nfs/dbraw/zinc/12/89/07/602128907.db2.gz FQYVXTYSZXSYQD-LBPRGKRZSA-N -1 1 309.366 1.581 20 0 DDADMM COc1cccc(CN(C)C(=O)CN[C@H](C(=O)[O-])C(C)(C)C)c1 ZINC000832549865 602223711 /nfs/dbraw/zinc/22/37/11/602223711.db2.gz SZCLZQSVIQNLEA-OAHLLOKOSA-N -1 1 322.405 1.743 20 0 DDADMM CS(=O)(=O)CCCN1CCCC[C@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000739982980 602315054 /nfs/dbraw/zinc/31/50/54/602315054.db2.gz MPQRPINOTAOOIU-STQMWFEESA-N -1 1 318.439 1.418 20 0 DDADMM COc1ccc([C@H](NC(=O)CN2CCC(C)CC2)C(=O)[O-])cc1 ZINC000832245388 602352789 /nfs/dbraw/zinc/35/27/89/602352789.db2.gz LBGPUYJJXZNNTF-INIZCTEOSA-N -1 1 320.389 1.669 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN([C@H](C)C(=O)NC2CCCC2)CC1 ZINC000739017922 602444676 /nfs/dbraw/zinc/44/46/76/602444676.db2.gz ZCLNOVBMPDMDTQ-VXGBXAGGSA-N -1 1 311.426 1.802 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)c2cccc3n[nH]cc32)C1)C(=O)[O-] ZINC000739657435 602484060 /nfs/dbraw/zinc/48/40/60/602484060.db2.gz OHRIVCZWRXOOTL-JTQLQIEISA-N -1 1 302.334 1.635 20 0 DDADMM CN(C[C@@H]1CCN(CC[S@](=O)c2ccccc2)C1)C(=O)[O-] ZINC000739664659 602529241 /nfs/dbraw/zinc/52/92/41/602529241.db2.gz JUFBDNFAIXJSCH-ZSEKCTLFSA-N -1 1 310.419 1.726 20 0 DDADMM CCN(C(=O)CN1CC[C@H](CN(C)C(=O)[O-])C1)c1ccccc1 ZINC000739381362 602536089 /nfs/dbraw/zinc/53/60/89/602536089.db2.gz PITUIBPSOHWJSF-CQSZACIVSA-N -1 1 319.405 1.971 20 0 DDADMM CCCN(CC(=O)Nc1cc(C)nn1C)[C@H]1CCN(C(=O)[O-])C1 ZINC000739353666 602578405 /nfs/dbraw/zinc/57/84/05/602578405.db2.gz MBORBHBHLISKGM-LBPRGKRZSA-N -1 1 323.397 1.131 20 0 DDADMM CN(C)c1cc2c(nn1)CCN(C[C@H]1CCCN(C(=O)[O-])C1)C2 ZINC000739613437 602706571 /nfs/dbraw/zinc/70/65/71/602706571.db2.gz GNSRDAXNJOQYHG-GFCCVEGCSA-N -1 1 319.409 1.291 20 0 DDADMM CCc1cc(CNC(=O)[C@@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000739537446 602717433 /nfs/dbraw/zinc/71/74/33/602717433.db2.gz GILSDRFTZDUDSW-ZDUSSCGKSA-N -1 1 302.334 1.597 20 0 DDADMM C[C@H]1CN(Cc2cccc(C(=O)N(C)C)c2)CC[C@H]1NC(=O)[O-] ZINC000739185496 602794546 /nfs/dbraw/zinc/79/45/46/602794546.db2.gz ACEANQDEVCZOHY-SWLSCSKDSA-N -1 1 319.405 1.866 20 0 DDADMM Cc1ccc(OC[C@@H](O)CN2C[C@@H](C)N(C(=O)[O-])[C@@H](C)C2)cc1 ZINC000740147521 602832350 /nfs/dbraw/zinc/83/23/50/602832350.db2.gz NQNIVEBVQGJWAF-ZNMIVQPWSA-N -1 1 322.405 1.807 20 0 DDADMM CC[N@H+]1CCC[C@H]1CNC(=O)c1ccc(NC(=O)[O-])cc1O ZINC000739454170 602842395 /nfs/dbraw/zinc/84/23/95/602842395.db2.gz JTSNQKHGFOWIJO-NSHDSACASA-N -1 1 307.350 1.696 20 0 DDADMM CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739454170 602842397 /nfs/dbraw/zinc/84/23/97/602842397.db2.gz JTSNQKHGFOWIJO-NSHDSACASA-N -1 1 307.350 1.696 20 0 DDADMM CC[N@H+]1CCC[C@H]1CNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739454170 602842398 /nfs/dbraw/zinc/84/23/98/602842398.db2.gz JTSNQKHGFOWIJO-NSHDSACASA-N -1 1 307.350 1.696 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(NC(=O)[O-])cc1[O-])[NH+]1CCCC1 ZINC000738939379 602846005 /nfs/dbraw/zinc/84/60/05/602846005.db2.gz LUOXTYRIIJLLOA-JTQLQIEISA-N -1 1 307.350 1.696 20 0 DDADMM CN(CCN1CCN(C(=O)[O-])CC1)Cc1ccnc(Cl)c1 ZINC000739685352 602873606 /nfs/dbraw/zinc/87/36/06/602873606.db2.gz VMIKZKXHFIFYOX-UHFFFAOYSA-N -1 1 312.801 1.462 20 0 DDADMM O=C([O-])N1CCC[C@H]1COC(=O)Cc1[nH]nc2ccccc21 ZINC000740388201 602926368 /nfs/dbraw/zinc/92/63/68/602926368.db2.gz QVOKYDQPEBDRPU-JTQLQIEISA-N -1 1 303.318 1.791 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CC(=O)Nc1ccn(-c2ccncc2)n1 ZINC000831346762 602937687 /nfs/dbraw/zinc/93/76/87/602937687.db2.gz WIAKQOLTLMTOKG-GFCCVEGCSA-N -1 1 315.333 1.738 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccccc3)[nH]n2)CCN1C(=O)[O-] ZINC000739164311 602938813 /nfs/dbraw/zinc/93/88/13/602938813.db2.gz JMVDTLVIUVNUHE-LLVKDONJSA-N -1 1 314.345 1.901 20 0 DDADMM C[C@H]1CN(C(=O)c2cnc(-c3ccccc3)[nH]2)CCN1C(=O)[O-] ZINC000739164720 602939798 /nfs/dbraw/zinc/93/97/98/602939798.db2.gz VEAIHYQLECAPIR-NSHDSACASA-N -1 1 314.345 1.901 20 0 DDADMM O=C([O-])NC[C@H]1CCCC[C@@H]1NC(=O)c1[nH]nc2c1CCCC2 ZINC000740629952 602989579 /nfs/dbraw/zinc/98/95/79/602989579.db2.gz KSAGZRQEVLHHCE-PWSUYJOCSA-N -1 1 320.393 1.845 20 0 DDADMM CC[C@H](C)N(C)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825068574 607604811 /nfs/dbraw/zinc/60/48/11/607604811.db2.gz UAZDGLHMOQGJJY-QMMMGPOBSA-N -1 1 313.358 1.425 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CCC[C@H]2CNC(=O)[O-])o1 ZINC000827045392 603108605 /nfs/dbraw/zinc/10/86/05/603108605.db2.gz IDFVAIXFQURWHR-LBPRGKRZSA-N -1 1 323.393 1.994 20 0 DDADMM C[C@H](CC(=O)NC[C@@H](C)N1CCc2ccccc2C1)NC(=O)[O-] ZINC000824630868 603306059 /nfs/dbraw/zinc/30/60/59/603306059.db2.gz YOATUSNTXJDKOK-CHWSQXEVSA-N -1 1 319.405 1.596 20 0 DDADMM C[C@H]1CN(Cn2nccc2-c2ccncc2)CC[C@@H]1NC(=O)[O-] ZINC000739187979 603393498 /nfs/dbraw/zinc/39/34/98/603393498.db2.gz LBEVFSBYIAVKRG-JSGCOSHPSA-N -1 1 315.377 1.881 20 0 DDADMM CN(C[C@@H](O)c1c(F)cccc1F)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828170929 603495452 /nfs/dbraw/zinc/49/54/52/603495452.db2.gz VNONLSHLLUPRFY-BXKDBHETSA-N -1 1 300.305 1.682 20 0 DDADMM CCN(CC1CCN(c2ncnc3[nH]cnc32)CC1)C(=O)[O-] ZINC000827111985 603527228 /nfs/dbraw/zinc/52/72/28/603527228.db2.gz URRWZJPVZUEGNN-UHFFFAOYSA-N -1 1 304.354 1.569 20 0 DDADMM O=C([O-])NC1(C(=O)N2CCC(c3nc[nH]n3)CC2)CCCCC1 ZINC000832150982 603566561 /nfs/dbraw/zinc/56/65/61/603566561.db2.gz ATYXZQIVSDKBLT-UHFFFAOYSA-N -1 1 321.381 1.481 20 0 DDADMM O=C([O-])N(CCNC(=O)N1CCC(c2cnc[nH]2)CC1)C1CC1 ZINC000831685732 603632048 /nfs/dbraw/zinc/63/20/48/603632048.db2.gz RYSPTUVJLFFFFQ-UHFFFAOYSA-N -1 1 321.381 1.441 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)CC[C@H](NC(=O)[O-])c1ccccc1 ZINC000828426585 603645258 /nfs/dbraw/zinc/64/52/58/603645258.db2.gz LCFRRFUYXIXOEH-LBPRGKRZSA-N -1 1 317.349 1.552 20 0 DDADMM Cc1ccc2nc(CNC(=O)C(C)(C)CNC(=O)[O-])[nH]c2c1 ZINC000830432930 603695729 /nfs/dbraw/zinc/69/57/29/603695729.db2.gz NLXVXSJNSOONCP-UHFFFAOYSA-N -1 1 304.350 1.781 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H]3CSCN3C(=O)[O-])[nH]c2c1 ZINC000830432924 603764225 /nfs/dbraw/zinc/76/42/25/603764225.db2.gz NDXJFMYBVIJKFF-NSHDSACASA-N -1 1 320.374 1.540 20 0 DDADMM CN(C1CCC1)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825887115 607651053 /nfs/dbraw/zinc/65/10/53/607651053.db2.gz DCVNMBCMMOGGCM-UHFFFAOYSA-N -1 1 311.342 1.179 20 0 DDADMM CN(C1CCC1)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825887115 607651055 /nfs/dbraw/zinc/65/10/55/607651055.db2.gz DCVNMBCMMOGGCM-UHFFFAOYSA-N -1 1 311.342 1.179 20 0 DDADMM CCN(CC1CCN(C[C@H](C)CS(C)(=O)=O)CC1)C(=O)[O-] ZINC000827093740 603906090 /nfs/dbraw/zinc/90/60/90/603906090.db2.gz UIMWSZWXQVQERW-LBPRGKRZSA-N -1 1 320.455 1.379 20 0 DDADMM CCN(CC(=O)NCCc1nc2ccc(F)cc2[nH]1)C(=O)[O-] ZINC000827009914 603937838 /nfs/dbraw/zinc/93/78/38/603937838.db2.gz UUXREHDQEWTFLX-UHFFFAOYSA-N -1 1 308.313 1.361 20 0 DDADMM CC1(C)CN(C(=O)[O-])CCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000825724695 603952130 /nfs/dbraw/zinc/95/21/30/603952130.db2.gz NOBBPOJCKINXSG-JTQLQIEISA-N -1 1 306.366 1.430 20 0 DDADMM O=C([O-])NC[C@@H]1CCCC[C@@H]1NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000832499931 603953798 /nfs/dbraw/zinc/95/37/98/603953798.db2.gz TYBNWNSEZBQQLV-LOWVWBTDSA-N -1 1 320.393 1.772 20 0 DDADMM CN(Cc1nc(-c2ccoc2)no1)C1CCN(C(=O)[O-])CC1 ZINC000828417348 603985538 /nfs/dbraw/zinc/98/55/38/603985538.db2.gz ZSUXLOMJNZPOFY-UHFFFAOYSA-N -1 1 306.322 1.904 20 0 DDADMM CC(C)S(=O)(=O)CCCN1CCC[C@@H]([C@H](C)NC(=O)[O-])C1 ZINC000825266855 604161738 /nfs/dbraw/zinc/16/17/38/604161738.db2.gz WYWKPCRAGYXCHU-QWHCGFSZSA-N -1 1 320.455 1.568 20 0 DDADMM C[C@@H]1CCCN(C(=O)CN2CCC[C@@H](C)[C@H]2CNC(=O)[O-])C1 ZINC000825905545 604168874 /nfs/dbraw/zinc/16/88/74/604168874.db2.gz MLEPMGMHEOQZFA-MGPQQGTHSA-N -1 1 311.426 1.613 20 0 DDADMM CC1(C)CN(C(=O)[O-])CCN1C[C@@H](O)COCc1ccco1 ZINC000825728910 604171427 /nfs/dbraw/zinc/17/14/27/604171427.db2.gz GMTAIUVLAZPRFC-GFCCVEGCSA-N -1 1 312.366 1.231 20 0 DDADMM CC(C)[C@@H](C(=O)Nc1nnc(CCCC(=O)[O-])s1)N(C)C ZINC000736349093 604284171 /nfs/dbraw/zinc/28/41/71/604284171.db2.gz FSUCSCWFWYSFHS-NSHDSACASA-N -1 1 314.411 1.470 20 0 DDADMM Cc1ccc2ccccc2c1CN1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC000832959691 604332699 /nfs/dbraw/zinc/33/26/99/604332699.db2.gz JDPDMFGNIPEBFX-INIZCTEOSA-N -1 1 312.369 1.923 20 0 DDADMM COc1ccc(C(=O)C2CCN(CCNC(=O)[O-])CC2)cc1 ZINC000829269468 604357789 /nfs/dbraw/zinc/35/77/89/604357789.db2.gz VAIHWJVZIWDVBX-UHFFFAOYSA-N -1 1 306.362 1.858 20 0 DDADMM COc1cccc(CN(CCNC(=O)[O-])C[C@@H]2CCCO2)c1 ZINC000829560346 604359673 /nfs/dbraw/zinc/35/96/73/604359673.db2.gz QAHBEFSUSCKCQH-HNNXBMFYSA-N -1 1 308.378 1.944 20 0 DDADMM C[C@H]1CCCN(CCNC(=O)[O-])[C@H]1CNC(=O)OC(C)(C)C ZINC000825926577 604369438 /nfs/dbraw/zinc/36/94/38/604369438.db2.gz WWQTUIRAYHJYOI-RYUDHWBXSA-N -1 1 315.414 1.879 20 0 DDADMM CCN(CC)[C@H](CNC(=O)C1(NC(=O)[O-])CC1)c1ccco1 ZINC000827036545 604442138 /nfs/dbraw/zinc/44/21/38/604442138.db2.gz YFHNAPZZHRREMF-LLVKDONJSA-N -1 1 309.366 1.579 20 0 DDADMM CCN(C(=O)c1cc(-c2cccs2)[nH]n1)C1CN(C(=O)[O-])C1 ZINC000826979939 604631319 /nfs/dbraw/zinc/63/13/19/604631319.db2.gz OBOLWXBVGOEKLV-UHFFFAOYSA-N -1 1 320.374 1.963 20 0 DDADMM O=C([O-])c1cc(CN2CCC[C@H](N3CCCCC3=O)C2)c[nH]1 ZINC000833780409 604634591 /nfs/dbraw/zinc/63/45/91/604634591.db2.gz XVRBFWQZNZOYAG-ZDUSSCGKSA-N -1 1 305.378 1.690 20 0 DDADMM COC[C@@](C)(CC(=O)OC)NCc1ccc(C(=O)[O-])cc1F ZINC000833722102 604766761 /nfs/dbraw/zinc/76/67/61/604766761.db2.gz ZYJOWCDPMBZCDM-OAHLLOKOSA-N -1 1 313.325 1.582 20 0 DDADMM COc1ccc([C@@H](C)NCC(=O)N2CCN(C(=O)[O-])CC2)cc1 ZINC000829301664 604772755 /nfs/dbraw/zinc/77/27/55/604772755.db2.gz CKTRSKFVNWLVME-GFCCVEGCSA-N -1 1 321.377 1.168 20 0 DDADMM CN(CC(=O)N(C)c1ccccc1C(=O)[O-])[C@H](CO)C(C)(C)C ZINC000833684399 604966455 /nfs/dbraw/zinc/96/64/55/604966455.db2.gz ARFNQCAVDOBYOZ-CQSZACIVSA-N -1 1 322.405 1.686 20 0 DDADMM CC(C)CN(CC(F)(F)F)C(=O)CN1CCC[C@@H]1CC(=O)[O-] ZINC000833488985 604967148 /nfs/dbraw/zinc/96/71/48/604967148.db2.gz VRCAVIRCCWAZOH-LLVKDONJSA-N -1 1 324.343 1.972 20 0 DDADMM CC(C)C[C@@]1(C)CC(=O)N(CN2CCC[C@H]2CC(=O)[O-])C1=O ZINC000826826500 604978388 /nfs/dbraw/zinc/97/83/88/604978388.db2.gz LEYXMUUUIUROFC-LRDDRELGSA-N -1 1 310.394 1.694 20 0 DDADMM Cc1nc2ccccc2nc1CN1CCC([C@H](O)C(=O)[O-])CC1 ZINC000833756822 604985357 /nfs/dbraw/zinc/98/53/57/604985357.db2.gz QIZZBHOPFOMETE-INIZCTEOSA-N -1 1 315.373 1.596 20 0 DDADMM C[C@@H]1CN([C@H]2CC(=O)N(c3ccsc3C(=O)[O-])C2=O)C[C@H]1C ZINC000833622395 605000752 /nfs/dbraw/zinc/00/07/52/605000752.db2.gz HOXUFYLUPBSVMF-KKZNHRDASA-N -1 1 322.386 1.666 20 0 DDADMM C[C@@H](Sc1ccccn1)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833544373 605024552 /nfs/dbraw/zinc/02/45/52/605024552.db2.gz FYTWERFRDCNIIH-KOLCDFICSA-N -1 1 320.374 1.097 20 0 DDADMM CC(=CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1cccc(F)c1 ZINC000833381299 605079978 /nfs/dbraw/zinc/07/99/78/605079978.db2.gz FNMPALDTVYNOGL-MFNCVGGLSA-N -1 1 317.320 1.764 20 0 DDADMM CCO[C@@H]1C[C@H](NCc2cn(CC(=O)[O-])nn2)C1(CC)CC ZINC000833659978 605091071 /nfs/dbraw/zinc/09/10/71/605091071.db2.gz KELMAMRCDXRKBR-QWHCGFSZSA-N -1 1 310.398 1.436 20 0 DDADMM CCN1C[C@H](C)N(C(=O)N[C@H]2CCCN(C(=O)[O-])C2)C[C@@H]1C ZINC000833658576 605121457 /nfs/dbraw/zinc/12/14/57/605121457.db2.gz TZIYPHARVZWZNU-AVGNSLFASA-N -1 1 312.414 1.253 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@H]1CC=CCC1 ZINC000833627364 605136879 /nfs/dbraw/zinc/13/68/79/605136879.db2.gz WXDOAKOELQGKAR-KBPBESRZSA-N -1 1 309.410 1.533 20 0 DDADMM C[C@@H](O)C[C@@H]1CCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000824698837 607737993 /nfs/dbraw/zinc/73/79/93/607737993.db2.gz OQPBWMHRUWYZAX-RQJHMYQMSA-N -1 1 314.802 1.716 20 0 DDADMM C[C@@H](O)C[C@@H]1CCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000824698837 607737994 /nfs/dbraw/zinc/73/79/94/607737994.db2.gz OQPBWMHRUWYZAX-RQJHMYQMSA-N -1 1 314.802 1.716 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN1CCC(C)(OC)CC1)C(=O)[O-] ZINC000833637165 605186722 /nfs/dbraw/zinc/18/67/22/605186722.db2.gz CMQCIWQFXMVDCC-WCQYABFASA-N -1 1 300.399 1.103 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1CC(=O)Nc1cccc(F)c1 ZINC000833628112 605193078 /nfs/dbraw/zinc/19/30/78/605193078.db2.gz BIOPGIOTQRPLPG-GFCCVEGCSA-N -1 1 323.368 1.245 20 0 DDADMM C[C@H]1CN(C(=O)/C=C/c2ccsc2)CCN1CCC(=O)[O-] ZINC000833617536 605278446 /nfs/dbraw/zinc/27/84/46/605278446.db2.gz DOPQDZOCGOPCKJ-JDGPPOGSSA-N -1 1 308.403 1.769 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NC[C@H]2CCCCN2C(=O)[O-])n[nH]1 ZINC000830036318 605301459 /nfs/dbraw/zinc/30/14/59/605301459.db2.gz MUFXPDVWGFGRNU-GXFFZTMASA-N -1 1 323.397 1.481 20 0 DDADMM CCc1cc(CNC(=O)C[C@@H]2CSCCN2C(=O)[O-])n[nH]1 ZINC000827557971 605310247 /nfs/dbraw/zinc/31/02/47/605310247.db2.gz XUEQWKVBFYRNMO-LLVKDONJSA-N -1 1 312.395 1.074 20 0 DDADMM O=C([O-])N1CC[C@H](CNS(=O)(=O)c2c(F)cccc2F)C1 ZINC000834078989 605423973 /nfs/dbraw/zinc/42/39/73/605423973.db2.gz YZUPOPSOSRNCFV-MRVPVSSYSA-N -1 1 320.317 1.243 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CC2(CS(C)(=O)=O)CC2)C1 ZINC000833813687 605427783 /nfs/dbraw/zinc/42/77/83/605427783.db2.gz PZIKXEAPQHYJOU-RYUDHWBXSA-N -1 1 318.439 1.179 20 0 DDADMM O=C([O-])N1CCC2(CCN(Cc3cc(=O)c(O)co3)C2)CC1 ZINC000834091670 605896692 /nfs/dbraw/zinc/89/66/92/605896692.db2.gz GKZMIMYGAAXBSF-UHFFFAOYSA-N -1 1 308.334 1.311 20 0 DDADMM O=C([O-])N[C@H](CC(F)F)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000834131393 606075401 /nfs/dbraw/zinc/07/54/01/606075401.db2.gz HUOIQGLHMHZEBI-JGVFFNPUSA-N -1 1 302.281 1.195 20 0 DDADMM CCOC(=O)CCCCOC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821326568 606132557 /nfs/dbraw/zinc/13/25/57/606132557.db2.gz ZESKVUKKLYZRGO-UHFFFAOYSA-N -1 1 324.362 1.818 20 0 DDADMM CCOC(=O)CCCCOC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821326568 606132558 /nfs/dbraw/zinc/13/25/58/606132558.db2.gz ZESKVUKKLYZRGO-UHFFFAOYSA-N -1 1 324.362 1.818 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)C=Cc2ccsc2)n1 ZINC000822604864 606155274 /nfs/dbraw/zinc/15/52/74/606155274.db2.gz NRDHPEDKXMMTAG-IHWYPQMZSA-N -1 1 301.335 1.314 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)C=Cc2ccsc2)n1 ZINC000822604864 606155275 /nfs/dbraw/zinc/15/52/75/606155275.db2.gz NRDHPEDKXMMTAG-IHWYPQMZSA-N -1 1 301.335 1.314 20 0 DDADMM C[C@H](NC(=O)Nc1nn(C)cc1-c1nnn[n-]1)C1CCCC1 ZINC000820380143 606158398 /nfs/dbraw/zinc/15/83/98/606158398.db2.gz LWMMAEMUVREKOP-QMMMGPOBSA-N -1 1 304.358 1.300 20 0 DDADMM C[C@H](NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)C1CCCC1 ZINC000820380143 606158400 /nfs/dbraw/zinc/15/84/00/606158400.db2.gz LWMMAEMUVREKOP-QMMMGPOBSA-N -1 1 304.358 1.300 20 0 DDADMM CCc1csc(NCCCc2[nH]nc(N)c2-c2nnn[n-]2)n1 ZINC000821499805 606176076 /nfs/dbraw/zinc/17/60/76/606176076.db2.gz QXTXKJIVKPQJPU-UHFFFAOYSA-N -1 1 319.398 1.236 20 0 DDADMM CCc1csc(NCCCc2[nH]nc(N)c2-c2nn[n-]n2)n1 ZINC000821499805 606176078 /nfs/dbraw/zinc/17/60/78/606176078.db2.gz QXTXKJIVKPQJPU-UHFFFAOYSA-N -1 1 319.398 1.236 20 0 DDADMM O=C(COC1CCCC1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000823026936 606195880 /nfs/dbraw/zinc/19/58/80/606195880.db2.gz JDYVMAZARBWLPW-UHFFFAOYSA-N -1 1 303.322 1.470 20 0 DDADMM CCCc1cc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])n[nH]1 ZINC000821138910 606295278 /nfs/dbraw/zinc/29/52/78/606295278.db2.gz KIFATZOBOLQIDR-UHFFFAOYSA-N -1 1 313.321 1.500 20 0 DDADMM C[C@H](Cn1cncn1)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820308446 606461953 /nfs/dbraw/zinc/46/19/53/606461953.db2.gz VHIKOJXCMSLHOI-SSDOTTSWSA-N -1 1 305.733 1.007 20 0 DDADMM C[C@H](Cn1cncn1)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820308446 606461955 /nfs/dbraw/zinc/46/19/55/606461955.db2.gz VHIKOJXCMSLHOI-SSDOTTSWSA-N -1 1 305.733 1.007 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nnn[n-]1)c1ccc2ccccc2c1 ZINC000823290507 606531333 /nfs/dbraw/zinc/53/13/33/606531333.db2.gz YCYWFWQPOJRRKR-UHFFFAOYSA-N -1 1 305.301 1.995 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nn[n-]n1)c1ccc2ccccc2c1 ZINC000823290507 606531334 /nfs/dbraw/zinc/53/13/34/606531334.db2.gz YCYWFWQPOJRRKR-UHFFFAOYSA-N -1 1 305.301 1.995 20 0 DDADMM Clc1ccc(N[C@H]2CCCn3ncnc32)nc1-c1nnn[n-]1 ZINC000822578520 606592374 /nfs/dbraw/zinc/59/23/74/606592374.db2.gz KEYOQFRBYLXTQG-QMMMGPOBSA-N -1 1 317.744 1.454 20 0 DDADMM Clc1ccc(N[C@H]2CCCn3ncnc32)nc1-c1nn[n-]n1 ZINC000822578520 606592375 /nfs/dbraw/zinc/59/23/75/606592375.db2.gz KEYOQFRBYLXTQG-QMMMGPOBSA-N -1 1 317.744 1.454 20 0 DDADMM C[C@H](C(=O)OCc1ccnc(-c2nnn[n-]2)c1)C(F)(F)F ZINC000819884028 606653394 /nfs/dbraw/zinc/65/33/94/606653394.db2.gz QZVTXZXYMQCSPS-ZCFIWIBFSA-N -1 1 301.228 1.503 20 0 DDADMM C[C@H](C(=O)OCc1ccnc(-c2nn[n-]n2)c1)C(F)(F)F ZINC000819884028 606653395 /nfs/dbraw/zinc/65/33/95/606653395.db2.gz QZVTXZXYMQCSPS-ZCFIWIBFSA-N -1 1 301.228 1.503 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccnc(C2CC2)n1 ZINC000823436216 606653752 /nfs/dbraw/zinc/65/37/52/606653752.db2.gz MHCDGVHEKVJBOQ-UHFFFAOYSA-N -1 1 323.316 1.286 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccnc(C2CC2)n1 ZINC000823436216 606653753 /nfs/dbraw/zinc/65/37/53/606653753.db2.gz MHCDGVHEKVJBOQ-UHFFFAOYSA-N -1 1 323.316 1.286 20 0 DDADMM CN(CCOCc1ccccc1)c1nccnc1-c1nnn[n-]1 ZINC000821603666 606806147 /nfs/dbraw/zinc/80/61/47/606806147.db2.gz JCWLUFORXQFKJZ-UHFFFAOYSA-N -1 1 311.349 1.310 20 0 DDADMM CN(CCOCc1ccccc1)c1nccnc1-c1nn[n-]n1 ZINC000821603666 606806149 /nfs/dbraw/zinc/80/61/49/606806149.db2.gz JCWLUFORXQFKJZ-UHFFFAOYSA-N -1 1 311.349 1.310 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)OC(C)(C)C ZINC000820389869 606932894 /nfs/dbraw/zinc/93/28/94/606932894.db2.gz ANMXZYKGJNKRCF-SSDOTTSWSA-N -1 1 323.378 1.388 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)OC(C)(C)C ZINC000820389869 606932896 /nfs/dbraw/zinc/93/28/96/606932896.db2.gz ANMXZYKGJNKRCF-SSDOTTSWSA-N -1 1 323.378 1.388 20 0 DDADMM C[C@H]1CCC[C@@H](OCC(=O)Nc2ncc(-c3nnn[n-]3)s2)C1 ZINC000820697492 607104066 /nfs/dbraw/zinc/10/40/66/607104066.db2.gz HWXMMOXZUCNORR-DTWKUNHWSA-N -1 1 322.394 1.857 20 0 DDADMM C[C@H]1CCC[C@@H](OCC(=O)Nc2ncc(-c3nn[n-]n3)s2)C1 ZINC000820697492 607104068 /nfs/dbraw/zinc/10/40/68/607104068.db2.gz HWXMMOXZUCNORR-DTWKUNHWSA-N -1 1 322.394 1.857 20 0 DDADMM COCc1ccc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)o1 ZINC000821695173 607104727 /nfs/dbraw/zinc/10/47/27/607104727.db2.gz MLTLPNJROFUOSW-UHFFFAOYSA-N -1 1 306.307 1.315 20 0 DDADMM COCc1ccc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)o1 ZINC000821695173 607104728 /nfs/dbraw/zinc/10/47/28/607104728.db2.gz MLTLPNJROFUOSW-UHFFFAOYSA-N -1 1 306.307 1.315 20 0 DDADMM CC(=O)c1cccc(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)c1 ZINC000819844624 607238608 /nfs/dbraw/zinc/23/86/08/607238608.db2.gz JOPALZPZSLPDKR-UHFFFAOYSA-N -1 1 311.305 1.055 20 0 DDADMM CC(=O)c1cccc(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)c1 ZINC000819844624 607238609 /nfs/dbraw/zinc/23/86/09/607238609.db2.gz JOPALZPZSLPDKR-UHFFFAOYSA-N -1 1 311.305 1.055 20 0 DDADMM CN(C[C@H]1CCC[C@@H]1O)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825909428 607851969 /nfs/dbraw/zinc/85/19/69/607851969.db2.gz YINLAPFWNOEGSN-SCZZXKLOSA-N -1 1 308.773 1.512 20 0 DDADMM CN(C[C@H]1CCC[C@@H]1O)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825909428 607851970 /nfs/dbraw/zinc/85/19/70/607851970.db2.gz YINLAPFWNOEGSN-SCZZXKLOSA-N -1 1 308.773 1.512 20 0 DDADMM CCCc1noc(COc2cccc(F)c2-c2nnn[n-]2)n1 ZINC000825352785 607865143 /nfs/dbraw/zinc/86/51/43/607865143.db2.gz QMMVXFDMDQXESY-UHFFFAOYSA-N -1 1 304.285 1.920 20 0 DDADMM CCCc1noc(COc2cccc(F)c2-c2nn[n-]n2)n1 ZINC000825352785 607865144 /nfs/dbraw/zinc/86/51/44/607865144.db2.gz QMMVXFDMDQXESY-UHFFFAOYSA-N -1 1 304.285 1.920 20 0 DDADMM Cc1cc(Oc2cccc(F)c2-c2nnn[n-]2)n2ncnc2n1 ZINC000826272208 607866959 /nfs/dbraw/zinc/86/69/59/607866959.db2.gz YSEXNOZGCKRMGF-UHFFFAOYSA-N -1 1 312.268 1.544 20 0 DDADMM Cc1cc(Oc2cccc(F)c2-c2nn[n-]n2)n2ncnc2n1 ZINC000826272208 607866960 /nfs/dbraw/zinc/86/69/60/607866960.db2.gz YSEXNOZGCKRMGF-UHFFFAOYSA-N -1 1 312.268 1.544 20 0 DDADMM Nc1nc(Cl)cc(Oc2cccc(F)c2-c2nnn[n-]2)n1 ZINC000826396192 607867405 /nfs/dbraw/zinc/86/74/05/607867405.db2.gz MCTLOAOYCZOAGS-UHFFFAOYSA-N -1 1 307.676 1.824 20 0 DDADMM Nc1nc(Cl)cc(Oc2cccc(F)c2-c2nn[n-]n2)n1 ZINC000826396192 607867406 /nfs/dbraw/zinc/86/74/06/607867406.db2.gz MCTLOAOYCZOAGS-UHFFFAOYSA-N -1 1 307.676 1.824 20 0 DDADMM Cc1ccnc(Cn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)n1 ZINC000826309063 607893392 /nfs/dbraw/zinc/89/33/92/607893392.db2.gz BZBBKFJIPAGTGP-UHFFFAOYSA-N -1 1 319.328 1.328 20 0 DDADMM CN(CCCNc1cccc(-c2nnn[n-]2)n1)CC(F)(F)F ZINC000825919207 607896543 /nfs/dbraw/zinc/89/65/43/607896543.db2.gz XVRFRXFOGSKFCU-UHFFFAOYSA-N -1 1 315.303 1.558 20 0 DDADMM CN(CCCNc1cccc(-c2nn[n-]n2)n1)CC(F)(F)F ZINC000825919207 607896544 /nfs/dbraw/zinc/89/65/44/607896544.db2.gz XVRFRXFOGSKFCU-UHFFFAOYSA-N -1 1 315.303 1.558 20 0 DDADMM O=C(N[C@H]1CC[C@@H](CO)CC1)c1ccc(-c2nnn[n-]2)s1 ZINC000826450689 607941171 /nfs/dbraw/zinc/94/11/71/607941171.db2.gz YVUDKDNRMJUAMU-DTORHVGOSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(N[C@H]1CC[C@@H](CO)CC1)c1ccc(-c2nn[n-]n2)s1 ZINC000826450689 607941172 /nfs/dbraw/zinc/94/11/72/607941172.db2.gz YVUDKDNRMJUAMU-DTORHVGOSA-N -1 1 307.379 1.209 20 0 DDADMM Cc1cccn2cc(CCNc3ccc(-c4nn[n-]n4)nn3)nc12 ZINC000826308387 607991588 /nfs/dbraw/zinc/99/15/88/607991588.db2.gz XAOKPSPGDWDIBF-UHFFFAOYSA-N -1 1 321.348 1.267 20 0 DDADMM Cc1noc(C)c1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826338477 607996839 /nfs/dbraw/zinc/99/68/39/607996839.db2.gz WPSZJRWHCCVFBK-UHFFFAOYSA-N -1 1 300.278 1.429 20 0 DDADMM CCOc1ccc(OCCn2ccnc2-c2nnn[n-]2)cc1 ZINC000825643769 608012857 /nfs/dbraw/zinc/01/28/57/608012857.db2.gz UCXZOZKEPFIHEO-UHFFFAOYSA-N -1 1 300.322 1.541 20 0 DDADMM CCOc1ccc(OCCn2ccnc2-c2nn[n-]n2)cc1 ZINC000825643769 608012858 /nfs/dbraw/zinc/01/28/58/608012858.db2.gz UCXZOZKEPFIHEO-UHFFFAOYSA-N -1 1 300.322 1.541 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cnc2[nH]cnc2c1 ZINC000826451149 608171283 /nfs/dbraw/zinc/17/12/83/608171283.db2.gz RUNUYAHLUFTIBB-UHFFFAOYSA-N -1 1 322.288 1.096 20 0 DDADMM CN(CCc1cnccn1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825950773 608193612 /nfs/dbraw/zinc/19/36/12/608193612.db2.gz UVTWEDGLFPUQIN-UHFFFAOYSA-N -1 1 322.785 1.446 20 0 DDADMM CN(CCc1cnccn1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825950773 608193614 /nfs/dbraw/zinc/19/36/14/608193614.db2.gz UVTWEDGLFPUQIN-UHFFFAOYSA-N -1 1 322.785 1.446 20 0 DDADMM CCC1(CO)CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000825170592 608208258 /nfs/dbraw/zinc/20/82/58/608208258.db2.gz CYYCTBJGDVYHAO-UHFFFAOYSA-N -1 1 321.406 1.553 20 0 DDADMM CCC1(CO)CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000825170592 608208260 /nfs/dbraw/zinc/20/82/60/608208260.db2.gz CYYCTBJGDVYHAO-UHFFFAOYSA-N -1 1 321.406 1.553 20 0 DDADMM CC[C@@H](Nc1cccc(-c2nnn[n-]2)n1)[C@H](O)C(F)(F)F ZINC000825147942 608231881 /nfs/dbraw/zinc/23/18/81/608231881.db2.gz MVTCQSPTQROLIH-MUWHJKNJSA-N -1 1 302.260 1.375 20 0 DDADMM CC[C@@H](Nc1cccc(-c2nn[n-]n2)n1)[C@H](O)C(F)(F)F ZINC000825147942 608231882 /nfs/dbraw/zinc/23/18/82/608231882.db2.gz MVTCQSPTQROLIH-MUWHJKNJSA-N -1 1 302.260 1.375 20 0 DDADMM COCC1(C)CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000826152965 608336404 /nfs/dbraw/zinc/33/64/04/608336404.db2.gz VPNDGZFRVBZLLL-UHFFFAOYSA-N -1 1 316.365 1.150 20 0 DDADMM COCC1(C)CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000826152965 608336406 /nfs/dbraw/zinc/33/64/06/608336406.db2.gz VPNDGZFRVBZLLL-UHFFFAOYSA-N -1 1 316.365 1.150 20 0 DDADMM CN1CCN(c2ccc(-c3nnn[n-]3)nn2)Cc2ccccc21 ZINC000826038702 608411730 /nfs/dbraw/zinc/41/17/30/608411730.db2.gz VNSMXTDCLQNZBB-UHFFFAOYSA-N -1 1 308.349 1.113 20 0 DDADMM CN1CCN(c2ccc(-c3nn[n-]n3)nn2)Cc2ccccc21 ZINC000826038702 608411731 /nfs/dbraw/zinc/41/17/31/608411731.db2.gz VNSMXTDCLQNZBB-UHFFFAOYSA-N -1 1 308.349 1.113 20 0 DDADMM COC[C@@H](Cc1ccccc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826149865 608427576 /nfs/dbraw/zinc/42/75/76/608427576.db2.gz QRXKIWXSMOWTSV-GFCCVEGCSA-N -1 1 311.349 1.326 20 0 DDADMM COC[C@@H](Cc1ccccc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826149865 608427578 /nfs/dbraw/zinc/42/75/78/608427578.db2.gz QRXKIWXSMOWTSV-GFCCVEGCSA-N -1 1 311.349 1.326 20 0 DDADMM NC(=O)[C@H]1CCCCC[C@@H]1Nc1cccc(-c2nnn[n-]2)n1 ZINC000826390099 608434375 /nfs/dbraw/zinc/43/43/75/608434375.db2.gz QAYJZZBPTDFUMG-UWVGGRQHSA-N -1 1 301.354 1.108 20 0 DDADMM NC(=O)[C@H]1CCCCC[C@@H]1Nc1cccc(-c2nn[n-]n2)n1 ZINC000826390099 608434377 /nfs/dbraw/zinc/43/43/77/608434377.db2.gz QAYJZZBPTDFUMG-UWVGGRQHSA-N -1 1 301.354 1.108 20 0 DDADMM CCc1c(Nc2ccc(-c3nnn[n-]3)nn2)cnn1CC(C)C ZINC000825694298 608437697 /nfs/dbraw/zinc/43/76/97/608437697.db2.gz NDLIIWWGMGKYGD-UHFFFAOYSA-N -1 1 313.369 1.815 20 0 DDADMM CCc1c(Nc2ccc(-c3nn[n-]n3)nn2)cnn1CC(C)C ZINC000825694298 608437699 /nfs/dbraw/zinc/43/76/99/608437699.db2.gz NDLIIWWGMGKYGD-UHFFFAOYSA-N -1 1 313.369 1.815 20 0 DDADMM Fc1cccc(-c2nnc(Cn3ccnc3-c3nnn[n-]3)o2)c1 ZINC000826376831 608894895 /nfs/dbraw/zinc/89/48/95/608894895.db2.gz UODUIXUGMLBDBG-UHFFFAOYSA-N -1 1 312.268 1.301 20 0 DDADMM Fc1cccc(-c2nnc(Cn3ccnc3-c3nn[n-]n3)o2)c1 ZINC000826376831 608894896 /nfs/dbraw/zinc/89/48/96/608894896.db2.gz UODUIXUGMLBDBG-UHFFFAOYSA-N -1 1 312.268 1.301 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824226467 609402372 /nfs/dbraw/zinc/40/23/72/609402372.db2.gz ZBTAYRMWHGQPDM-VIFPVBQESA-N -1 1 307.379 1.425 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824226467 609402374 /nfs/dbraw/zinc/40/23/74/609402374.db2.gz ZBTAYRMWHGQPDM-VIFPVBQESA-N -1 1 307.379 1.425 20 0 DDADMM CSCCCCCCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826228618 609528837 /nfs/dbraw/zinc/52/88/37/609528837.db2.gz VGFHZQGHMQUFDS-UHFFFAOYSA-N -1 1 320.422 1.915 20 0 DDADMM CSCCCCCCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826228618 609528839 /nfs/dbraw/zinc/52/88/39/609528839.db2.gz VGFHZQGHMQUFDS-UHFFFAOYSA-N -1 1 320.422 1.915 20 0 DDADMM Clc1nsc(NCC[C@@H]2CCOC2)c1-c1nnn[n-]1 ZINC000826353779 609603278 /nfs/dbraw/zinc/60/32/78/609603278.db2.gz DBCSHYFGZHZYJS-ZCFIWIBFSA-N -1 1 300.775 1.815 20 0 DDADMM Clc1nsc(NCC[C@@H]2CCOC2)c1-c1nn[n-]n1 ZINC000826353779 609603279 /nfs/dbraw/zinc/60/32/79/609603279.db2.gz DBCSHYFGZHZYJS-ZCFIWIBFSA-N -1 1 300.775 1.815 20 0 DDADMM Cn1ncc(C(=O)Nc2nc(Br)ccc2[O-])c1N ZINC000121511063 696711546 /nfs/dbraw/zinc/71/15/46/696711546.db2.gz VYVNZWHXZFEIOV-UHFFFAOYSA-N -1 1 312.127 1.118 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971902054 695119855 /nfs/dbraw/zinc/11/98/55/695119855.db2.gz GBMLZLJDGLKRPE-QNWHQSFQSA-N -1 1 315.373 1.116 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@@H]1CCC[C@@H]1O ZINC000745333778 699979070 /nfs/dbraw/zinc/97/90/70/699979070.db2.gz ZMORZMNWGNSSNO-OLZOCXBDSA-N -1 1 304.298 1.703 20 0 DDADMM CC(=O)N1CCCC[C@H]1[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000975961940 695885200 /nfs/dbraw/zinc/88/52/00/695885200.db2.gz JSQAIDFDUANMDW-UONOGXRCSA-N -1 1 317.389 1.793 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2ccncc2)cc1 ZINC000011082068 696043441 /nfs/dbraw/zinc/04/34/41/696043441.db2.gz XBUGTEYKUZAKTK-UHFFFAOYSA-N -1 1 314.297 1.204 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2=C(C)CCC2)cc1 ZINC000747169970 700058149 /nfs/dbraw/zinc/05/81/49/700058149.db2.gz OMSYKVQVWBSXCR-UHFFFAOYSA-N -1 1 317.341 1.995 20 0 DDADMM C[C@H](OC[C@@H]1CCCCO1)C(=O)NCc1n[n-]c(=S)n1C ZINC000066626061 696354097 /nfs/dbraw/zinc/35/40/97/696354097.db2.gz RXCYSGSHQDDGRH-UWVGGRQHSA-N -1 1 314.411 1.068 20 0 DDADMM CCn1c(CNC(=O)[C@@H](C)c2c(C)nn(C)c2C)n[n-]c1=S ZINC000066636312 696354268 /nfs/dbraw/zinc/35/42/68/696354268.db2.gz UFGHFHGXDADXRE-QMMMGPOBSA-N -1 1 322.438 1.731 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978745957 696451261 /nfs/dbraw/zinc/45/12/61/696451261.db2.gz WGCVTUJNSUZREW-PHIMTYICSA-N -1 1 305.378 1.458 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccnn2C2CCCCC2)s1 ZINC000079944116 696465389 /nfs/dbraw/zinc/46/53/89/696465389.db2.gz RWJMIOBOTIGFTN-SNVBAGLBSA-N -1 1 321.406 1.674 20 0 DDADMM CCC[C@@H](Cc1ccccc1)NC(=O)Cc1sc(N)nc1[O-] ZINC000079971216 696465667 /nfs/dbraw/zinc/46/56/67/696465667.db2.gz BEAZTWXWHFODNO-STQMWFEESA-N -1 1 319.430 1.861 20 0 DDADMM CC(C)(NC(=O)Cc1sc(N)nc1[O-])c1ccc(F)cc1 ZINC000080023043 696466048 /nfs/dbraw/zinc/46/60/48/696466048.db2.gz BVRUYJPYKVUZQN-SNVBAGLBSA-N -1 1 309.366 1.524 20 0 DDADMM CC[C@H](NC(=O)Cc1sc(N)nc1[O-])c1nc(C)cs1 ZINC000080067968 696530080 /nfs/dbraw/zinc/53/00/80/696530080.db2.gz KNSJEUHYYOHBJZ-JGVFFNPUSA-N -1 1 312.420 1.366 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(CC2CCC2)CC(F)(F)F)s1 ZINC000085430386 696563630 /nfs/dbraw/zinc/56/36/30/696563630.db2.gz GHIIZJFFELACFR-QMMMGPOBSA-N -1 1 323.340 1.524 20 0 DDADMM C[C@H]1OCC[C@@H]1C(=O)NNC(=O)c1cc2ccccc2cc1[O-] ZINC000092716195 696596522 /nfs/dbraw/zinc/59/65/22/696596522.db2.gz FZANXMMYLNWVTD-MFKMUULPSA-N -1 1 314.341 1.731 20 0 DDADMM O=C(N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1)c1ccc[nH]1 ZINC000979666546 696622334 /nfs/dbraw/zinc/62/23/34/696622334.db2.gz WEIWFFBUGSWLNJ-XYPYZODXSA-N -1 1 314.345 1.054 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])OCc1ccccc1Cl ZINC000113444418 696657192 /nfs/dbraw/zinc/65/71/92/696657192.db2.gz NFDQPLWWUKOINI-UHFFFAOYSA-N -1 1 320.732 1.914 20 0 DDADMM C[C@](O)(CNC(=O)c1ncccc1[O-])c1ccc(F)cc1F ZINC000114552892 696661733 /nfs/dbraw/zinc/66/17/33/696661733.db2.gz GWXMQMPIRWRKRU-HNNXBMFYSA-N -1 1 308.284 1.703 20 0 DDADMM C[C@](O)(CNC(=O)c1cncc([O-])c1)c1ccc(F)cc1F ZINC000114556376 696661876 /nfs/dbraw/zinc/66/18/76/696661876.db2.gz VSCXJCUFAZIFEP-HNNXBMFYSA-N -1 1 308.284 1.703 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@H]2Cc2ccccc2)s1 ZINC000120853741 696702414 /nfs/dbraw/zinc/70/24/14/696702414.db2.gz LJIVKKJSWBENFA-STQMWFEESA-N -1 1 317.414 1.567 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)C1CCCC1)N1CCCCC1 ZINC000125367311 696752404 /nfs/dbraw/zinc/75/24/04/696752404.db2.gz FEYANSIUYBFUGL-AWEZNQCLSA-N -1 1 316.467 1.886 20 0 DDADMM O=C(N[C@H]1C[C@H](CNC(=O)[C@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000980634960 696821571 /nfs/dbraw/zinc/82/15/71/696821571.db2.gz BHODMFUGERVRAR-IJLUTSLNSA-N -1 1 315.373 1.212 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2cc(Cl)ccc2[N+](=O)[O-])CCOC1 ZINC000158581679 696991735 /nfs/dbraw/zinc/99/17/35/696991735.db2.gz FVHXSLFOYCDGHG-LLVKDONJSA-N -1 1 320.754 1.706 20 0 DDADMM CC(=O)N1CC[C@@H](CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000982312205 697092001 /nfs/dbraw/zinc/09/20/01/697092001.db2.gz QSSVYRLTSVSRIR-LBPRGKRZSA-N -1 1 320.393 1.212 20 0 DDADMM CC(C)C(=O)N(C)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984108195 697341506 /nfs/dbraw/zinc/34/15/06/697341506.db2.gz UYRJQSHPWUCLOU-GFCCVEGCSA-N -1 1 305.378 1.506 20 0 DDADMM C[C@@H]1c2cccn2CCN1C(=O)c1nnc2ccccc2c1O ZINC000171762343 697361539 /nfs/dbraw/zinc/36/15/39/697361539.db2.gz LYBBPNACHBMJIK-LLVKDONJSA-N -1 1 308.341 1.942 20 0 DDADMM CC1(C[N-]S(=O)(=O)Cc2cc(Cl)ccc2F)OCCO1 ZINC000179523129 697438721 /nfs/dbraw/zinc/43/87/21/697438721.db2.gz BFPLYJQQHQJEMM-UHFFFAOYSA-N -1 1 323.773 1.662 20 0 DDADMM CCC[C@H](C)CC(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000182000867 697469357 /nfs/dbraw/zinc/46/93/57/697469357.db2.gz GZXNTUJBFBEFSX-JTQLQIEISA-N -1 1 306.366 1.638 20 0 DDADMM O=C(NC[C@H](O)c1ccsc1)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000187116148 697541117 /nfs/dbraw/zinc/54/11/17/697541117.db2.gz CDXJMALGKKFZIJ-JTQLQIEISA-N -1 1 309.303 1.220 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1n[nH]c(=O)c2ccccc21 ZINC000188355489 697557700 /nfs/dbraw/zinc/55/77/00/697557700.db2.gz IZMDCIDCVJCUPT-UHFFFAOYSA-N -1 1 316.704 1.929 20 0 DDADMM CC(C)c1nnc2n1CCN(C(=O)c1cncc([O-])c1)[C@H]2C ZINC000189845612 697581084 /nfs/dbraw/zinc/58/10/84/697581084.db2.gz DZNBXOOASSXPFA-JTQLQIEISA-N -1 1 301.350 1.719 20 0 DDADMM CC(=O)c1cc(Cl)cc(CN2C[C@H](CO)O[C@@H](C)C2)c1[O-] ZINC000191723361 697613140 /nfs/dbraw/zinc/61/31/40/697613140.db2.gz ICHPKTONXGGDEO-TVQRCGJNSA-N -1 1 313.781 1.830 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000772709144 697664789 /nfs/dbraw/zinc/66/47/89/697664789.db2.gz YUMUJIWIHBNZQZ-NSHDSACASA-N -1 1 322.336 1.405 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1C(C)(C)C1(C)C ZINC000986127877 697694943 /nfs/dbraw/zinc/69/49/43/697694943.db2.gz XRUUKXDQVYJBDI-VHSXEESVSA-N -1 1 321.425 1.272 20 0 DDADMM O=C(c1ccc(Cl)s1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773158440 697720511 /nfs/dbraw/zinc/72/05/11/697720511.db2.gz ORZPOZSWYLXTOS-ZCFIWIBFSA-N -1 1 315.811 1.845 20 0 DDADMM CN(C)c1cccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000773157580 697720658 /nfs/dbraw/zinc/72/06/58/697720658.db2.gz FQNJVAGYRYZPLP-GFCCVEGCSA-N -1 1 318.406 1.196 20 0 DDADMM CCOc1cccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000773165596 697722667 /nfs/dbraw/zinc/72/26/67/697722667.db2.gz ZGWOKUCQHPGDIC-GFCCVEGCSA-N -1 1 319.390 1.529 20 0 DDADMM COCCC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CC1 ZINC000773242740 697733111 /nfs/dbraw/zinc/73/31/11/697733111.db2.gz OCDPABNXNXNKAL-UHFFFAOYSA-N -1 1 305.330 1.303 20 0 DDADMM CCc1occc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986219744 697739725 /nfs/dbraw/zinc/73/97/25/697739725.db2.gz RDSFIWLSKORTRT-ONGXEEELSA-N -1 1 319.365 1.059 20 0 DDADMM CCc1occc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986219740 697740055 /nfs/dbraw/zinc/74/00/55/697740055.db2.gz RDSFIWLSKORTRT-KOLCDFICSA-N -1 1 319.365 1.059 20 0 DDADMM C[C@@H]1CN(C(=O)COC(=O)c2ccc([O-])cc2F)C[C@H](C)O1 ZINC000773569260 697782537 /nfs/dbraw/zinc/78/25/37/697782537.db2.gz LGYOQNLHTMIAPA-AOOOYVTPSA-N -1 1 311.309 1.324 20 0 DDADMM CC(C)C(=O)N1CCC([C@@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC000986768063 697900002 /nfs/dbraw/zinc/90/00/02/697900002.db2.gz MMKAYAJFECRNDQ-GFCCVEGCSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1nc(CC(=O)OCCC[N-]C(=O)C(F)(F)F)cs1 ZINC000774890484 697939244 /nfs/dbraw/zinc/93/92/44/697939244.db2.gz SXDHTTGUHFXIIT-UHFFFAOYSA-N -1 1 310.297 1.606 20 0 DDADMM CC(C)C(=O)N1CCCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000986896774 697941960 /nfs/dbraw/zinc/94/19/60/697941960.db2.gz ZSPJOZJWBJPJOU-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H](F)C(F)(F)F)o1 ZINC000800134101 700192220 /nfs/dbraw/zinc/19/22/20/700192220.db2.gz BRYSKECFIHSYBO-LURJTMIESA-N -1 1 319.232 1.245 20 0 DDADMM CCc1onc(C)c1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000776173395 698076026 /nfs/dbraw/zinc/07/60/26/698076026.db2.gz TXZYNOOUSAAHCR-UHFFFAOYSA-N -1 1 316.313 1.659 20 0 DDADMM Cc1cc(F)ccc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776314194 698095481 /nfs/dbraw/zinc/09/54/81/698095481.db2.gz FYZYRIARVUUQFS-NSHDSACASA-N -1 1 307.354 1.578 20 0 DDADMM CC(C)C[C@@H](C)S(=O)(=O)N[C@@H](CN1CCCCC1)C(=O)[O-] ZINC000776628317 698127556 /nfs/dbraw/zinc/12/75/56/698127556.db2.gz ONTORBHIHQWMKM-OLZOCXBDSA-N -1 1 320.455 1.280 20 0 DDADMM CC(C)CS(=O)(=O)N1CCC([N-]C(=O)C(F)(F)F)CC1 ZINC000777512974 698196875 /nfs/dbraw/zinc/19/68/75/698196875.db2.gz UHBJNYJYFVATQO-UHFFFAOYSA-N -1 1 316.345 1.115 20 0 DDADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)C1CC1 ZINC000987927445 698267817 /nfs/dbraw/zinc/26/78/17/698267817.db2.gz CODCYUYTBNQSFX-LLVKDONJSA-N -1 1 303.362 1.118 20 0 DDADMM COC[C@H]([N-]C(=O)C(F)(F)c1ccc(F)cc1)C(=O)OC ZINC000778504733 698342614 /nfs/dbraw/zinc/34/26/14/698342614.db2.gz RKAWHXWXYZDSQR-JTQLQIEISA-N -1 1 305.252 1.222 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)N(C)CCC ZINC000778677317 698358881 /nfs/dbraw/zinc/35/88/81/698358881.db2.gz BVEUEAWNRWJOJS-UHFFFAOYSA-N -1 1 307.460 1.201 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCC1CCC1 ZINC000988516899 698396031 /nfs/dbraw/zinc/39/60/31/698396031.db2.gz DCEXEPDBMITSMA-CMPLNLGQSA-N -1 1 307.398 1.170 20 0 DDADMM CN(C)C(=O)COC(=O)c1ccc(Br)c([O-])c1 ZINC000750551338 700230904 /nfs/dbraw/zinc/23/09/04/700230904.db2.gz MSKWRBLKQUOURQ-UHFFFAOYSA-N -1 1 302.124 1.400 20 0 DDADMM CC(C)[C@@H](NC(=O)NC[C@@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000780344916 698509248 /nfs/dbraw/zinc/50/92/48/698509248.db2.gz YDLZAVPUOITWHY-GXTWGEPZSA-N -1 1 323.393 1.825 20 0 DDADMM CCC[C@@H](NC(=O)NC[C@@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000780344496 698509412 /nfs/dbraw/zinc/50/94/12/698509412.db2.gz KXABHDPNWIWSNU-OLZOCXBDSA-N -1 1 323.393 1.969 20 0 DDADMM CCNC(=O)COC(=O)c1ccc(Br)c([O-])c1 ZINC000750553247 700231431 /nfs/dbraw/zinc/23/14/31/700231431.db2.gz ZJQQAJJLEYOJAM-UHFFFAOYSA-N -1 1 302.124 1.448 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)C[C@H](OC)c1ccccc1)C(=O)OC ZINC000296453924 698592942 /nfs/dbraw/zinc/59/29/42/698592942.db2.gz WRPSETCHCHDCQK-STQMWFEESA-N -1 1 315.391 1.245 20 0 DDADMM C[C@](O)(CNC(=O)c1nc2ccccc2c(=O)[n-]1)c1ccco1 ZINC000304376551 698620181 /nfs/dbraw/zinc/62/01/81/698620181.db2.gz JJRWXDKZJUPPAZ-INIZCTEOSA-N -1 1 313.313 1.566 20 0 DDADMM COC(=O)CCCOC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000783133110 698809509 /nfs/dbraw/zinc/80/95/09/698809509.db2.gz ZIMPLBRUXHJARW-UHFFFAOYSA-N -1 1 321.376 1.230 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)O[C@H](C)COC ZINC000783263635 698827521 /nfs/dbraw/zinc/82/75/21/698827521.db2.gz RRVXAISSYHOGFK-SNVBAGLBSA-N -1 1 301.364 1.640 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1c[nH]nc1-c1c(F)cccc1F ZINC000783604670 698857211 /nfs/dbraw/zinc/85/72/11/698857211.db2.gz RGEHVUXZGNKWHJ-UHFFFAOYSA-N -1 1 321.287 1.415 20 0 DDADMM CC[C@H](F)CN1CC[C@]2(NC(=O)c3[nH]nc(C)c3[O-])CCC[C@H]12 ZINC000990195680 698991440 /nfs/dbraw/zinc/99/14/40/698991440.db2.gz ZGKFLGQRBOYPHW-MQIPJXDCSA-N -1 1 324.400 1.899 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C[C@H]2C)c(Br)n1C ZINC000380650140 699062489 /nfs/dbraw/zinc/06/24/89/699062489.db2.gz PQFJINQFZXVUEK-IYSWYEEDSA-N -1 1 308.201 1.178 20 0 DDADMM Cn1ncc(CNC(=O)c2ccc(Br)c([O-])c2)n1 ZINC000389908437 699091281 /nfs/dbraw/zinc/09/12/81/699091281.db2.gz IAKDLMDOFDFVHZ-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2cccnc2Cl)s1 ZINC000392054722 699100154 /nfs/dbraw/zinc/10/01/54/699100154.db2.gz KXFOYPLHRLEJKS-UHFFFAOYSA-N -1 1 304.784 1.373 20 0 DDADMM CCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])CC(C)(C)C1 ZINC000990621533 699111015 /nfs/dbraw/zinc/11/10/15/699111015.db2.gz IDODNUHLRUXOKT-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1sccc1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969227 699195649 /nfs/dbraw/zinc/19/56/49/699195649.db2.gz LBZDFVWJXATLKV-UHFFFAOYSA-N -1 1 317.370 1.412 20 0 DDADMM CCC(CC)(CC)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971657 699195955 /nfs/dbraw/zinc/19/59/55/699195955.db2.gz ICEXIMAKAVYJFH-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NC1CN(C(=O)c2cccs2)C1)c1ncccc1[O-] ZINC000990970715 699196047 /nfs/dbraw/zinc/19/60/47/699196047.db2.gz YNLBBZMNFNXXSK-UHFFFAOYSA-N -1 1 303.343 1.103 20 0 DDADMM O=C(CCNC(=O)c1c([O-])cccc1Cl)Nc1ccncc1 ZINC000726984657 699391317 /nfs/dbraw/zinc/39/13/17/699391317.db2.gz UOEWSADVUXKNQQ-UHFFFAOYSA-N -1 1 319.748 1.621 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2nc(Cl)ccc2[O-])ccn1 ZINC000789932239 699423069 /nfs/dbraw/zinc/42/30/69/699423069.db2.gz VHLKNMCWDDAFGQ-UHFFFAOYSA-N -1 1 307.693 1.875 20 0 DDADMM O=C(CCCN1C(=O)CCC1=O)Nc1nc(Cl)ccc1[O-] ZINC000790052622 699438175 /nfs/dbraw/zinc/43/81/75/699438175.db2.gz ZYDAKKOLLQZSLX-UHFFFAOYSA-N -1 1 311.725 1.308 20 0 DDADMM Cn1nccc1CC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000790277257 699451454 /nfs/dbraw/zinc/45/14/54/699451454.db2.gz UTZHHLOEXMJEGX-UHFFFAOYSA-N -1 1 317.773 1.734 20 0 DDADMM COC[C@H](NC(=O)c1c(C)nc(C(C)C)[n-]c1=O)[C@H]1CCCO1 ZINC000790283094 699451889 /nfs/dbraw/zinc/45/18/89/699451889.db2.gz SNUQERWGSRJDHX-NWDGAFQWSA-N -1 1 323.393 1.538 20 0 DDADMM O=C(Cc1sc(N2CCCCC2)nc1[O-])Nc1cnccn1 ZINC000730816473 699516760 /nfs/dbraw/zinc/51/67/60/699516760.db2.gz VPWKTNAHWGHPTA-SNVBAGLBSA-N -1 1 319.390 1.289 20 0 DDADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)Cc2ccccc21 ZINC000733125877 699579702 /nfs/dbraw/zinc/57/97/02/699579702.db2.gz RKVFZLSFBSHGRM-LBPRGKRZSA-N -1 1 313.357 1.073 20 0 DDADMM COc1cccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1F ZINC000791285486 699619795 /nfs/dbraw/zinc/61/97/95/699619795.db2.gz HCVYJPHIKHBSPJ-UHFFFAOYSA-N -1 1 300.293 1.742 20 0 DDADMM CCC1=C(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCCO1 ZINC000791537346 699639844 /nfs/dbraw/zinc/63/98/44/699639844.db2.gz MTZLCQSKSFUANE-UHFFFAOYSA-N -1 1 317.341 1.961 20 0 DDADMM Cc1ccc(CCC(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000737338489 699738984 /nfs/dbraw/zinc/73/89/84/699738984.db2.gz UDCIYKOLUIVSQS-ZDUSSCGKSA-N -1 1 301.350 1.041 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H](F)CC2CCCCC2)n1 ZINC000795058129 699844497 /nfs/dbraw/zinc/84/44/97/699844497.db2.gz XCRWCJHYEKSWKR-SECBINFHSA-N -1 1 318.374 1.455 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@H](F)CC2CCCCC2)[n-]1 ZINC000795058129 699844500 /nfs/dbraw/zinc/84/45/00/699844500.db2.gz XCRWCJHYEKSWKR-SECBINFHSA-N -1 1 318.374 1.455 20 0 DDADMM CCC[C@H](Nc1nc2[nH][n-]cc-2c(=O)n1)[C@H](O)c1ccccc1 ZINC000795210900 699852053 /nfs/dbraw/zinc/85/20/53/699852053.db2.gz ZIDGCVVZEDOILW-QWHCGFSZSA-N -1 1 313.361 1.746 20 0 DDADMM Cc1ccnc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)n1 ZINC000795801706 699893282 /nfs/dbraw/zinc/89/32/82/699893282.db2.gz SZGICACVDKHWDR-UHFFFAOYSA-N -1 1 312.281 1.954 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(C3CC3)cnc2Cl)nc1=O ZINC000796172415 699917262 /nfs/dbraw/zinc/91/72/62/699917262.db2.gz NBBNUGBPNOBXKZ-UHFFFAOYSA-N -1 1 308.725 1.391 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C(N)=O)c2ccccc2)[n-]1 ZINC000796346279 699928301 /nfs/dbraw/zinc/92/83/01/699928301.db2.gz RNFSHPBCSIDOIT-GFCCVEGCSA-N -1 1 302.286 1.185 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCC(C)CC2)[n-]1 ZINC000796365963 699929383 /nfs/dbraw/zinc/92/93/83/699929383.db2.gz OKYFCMCDECIMMW-NSHDSACASA-N -1 1 322.361 1.605 20 0 DDADMM Cc1ccccc1[C@@H](O)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000796414668 699932610 /nfs/dbraw/zinc/93/26/10/699932610.db2.gz HHHAKSCFHOAKMQ-INIZCTEOSA-N -1 1 316.405 1.362 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](CO)C2CC2)c(F)c1 ZINC000751422333 700287034 /nfs/dbraw/zinc/28/70/34/700287034.db2.gz JELYLRJIQCBVPA-LLVKDONJSA-N -1 1 307.318 1.023 20 0 DDADMM Cc1cccc(-n2cc([O-])c(C(=O)OCCOCCO)n2)c1C ZINC000801416319 700305662 /nfs/dbraw/zinc/30/56/62/700305662.db2.gz CITMUPFIMVAWAC-UHFFFAOYSA-N -1 1 320.345 1.360 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc4nccnc4c3)ccnc1-2 ZINC000801701375 700331920 /nfs/dbraw/zinc/33/19/20/700331920.db2.gz LUOLWAVJOJBFLN-UHFFFAOYSA-N -1 1 304.313 1.537 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CCc3cccc4c3OCO4)ccnc1-2 ZINC000801702035 700332486 /nfs/dbraw/zinc/33/24/86/700332486.db2.gz NPXTXDIDMXIEKW-UHFFFAOYSA-N -1 1 324.340 1.642 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCO[C@H](C(F)F)C3)cnc2n1 ZINC000802638218 700414883 /nfs/dbraw/zinc/41/48/83/700414883.db2.gz MFYPLVJMKJKPJW-NSHDSACASA-N -1 1 323.299 1.750 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CCO[C@H](C(F)F)C1)c2=O ZINC000802638218 700414884 /nfs/dbraw/zinc/41/48/84/700414884.db2.gz MFYPLVJMKJKPJW-NSHDSACASA-N -1 1 323.299 1.750 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3cccc(N)c3)no2)co1 ZINC000755437472 700565806 /nfs/dbraw/zinc/56/58/06/700565806.db2.gz BFWIWZPKLHTGLK-UHFFFAOYSA-N -1 1 320.330 1.487 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCOC(C)C)co1 ZINC000756401954 700619912 /nfs/dbraw/zinc/61/99/12/700619912.db2.gz GPFZBOCXMCLNGH-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM O=C(COC(=O)C[C@H]1CCCCO1)[N-]C(=O)c1ccccc1 ZINC000756508552 700624618 /nfs/dbraw/zinc/62/46/18/700624618.db2.gz XYSBRZUGKJLIKR-CYBMUJFWSA-N -1 1 305.330 1.445 20 0 DDADMM Cc1nc(C(C)(C)[N-]C(=O)C(F)(F)c2nccs2)no1 ZINC000756677674 700634825 /nfs/dbraw/zinc/63/48/25/700634825.db2.gz JNKQHUPHFIHJKK-UHFFFAOYSA-N -1 1 302.306 1.978 20 0 DDADMM C[C@@H]1CC(=O)CC[C@@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000760555457 700813970 /nfs/dbraw/zinc/81/39/70/700813970.db2.gz PBRHLAXFORLOHB-RISCZKNCSA-N -1 1 317.341 1.492 20 0 DDADMM CCC(=O)c1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000760559875 700813978 /nfs/dbraw/zinc/81/39/78/700813978.db2.gz XQJJQWBVNXAYNN-CYBMUJFWSA-N -1 1 315.333 1.006 20 0 DDADMM COCc1ccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)o1 ZINC000761215988 700850854 /nfs/dbraw/zinc/85/08/54/700850854.db2.gz WWICXBVKIQOMFV-UHFFFAOYSA-N -1 1 317.297 1.539 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC/C(Cl)=C/Cl)o1 ZINC000763924516 700953457 /nfs/dbraw/zinc/95/34/57/700953457.db2.gz NZSYQAJOVFIMNZ-XQRVVYSFSA-N -1 1 314.146 1.664 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(SC(F)F)cc2)nc1=O ZINC000765411769 701010497 /nfs/dbraw/zinc/01/04/97/701010497.db2.gz ZRRSAOSLWRLJPN-UHFFFAOYSA-N -1 1 315.301 1.780 20 0 DDADMM Cc1ccc(CCC(=O)OCc2nc(=O)n(C)[n-]2)cc1Cl ZINC000765433949 701012621 /nfs/dbraw/zinc/01/26/21/701012621.db2.gz PORYEFUVUKXSBG-UHFFFAOYSA-N -1 1 309.753 1.746 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2coc(-c3cccs3)n2)nc1=O ZINC000765467101 701014271 /nfs/dbraw/zinc/01/42/71/701014271.db2.gz PHWKDNJHNOUFAR-UHFFFAOYSA-N -1 1 320.330 1.111 20 0 DDADMM C/C(=C\C(=O)OCc1nc(=O)n(C)[n-]1)c1cccc(Cl)c1 ZINC000765493595 701015958 /nfs/dbraw/zinc/01/59/58/701015958.db2.gz WVBMCAITUFEOMC-RMKNXTFCSA-N -1 1 307.737 1.909 20 0 DDADMM CC(C)[C@H](C(=O)[N-]OCC(F)(F)F)N1C[C@@H](C)O[C@H](C)C1 ZINC000766763408 701062180 /nfs/dbraw/zinc/06/21/80/701062180.db2.gz QXVNWXKERZYGIX-GMTAPVOTSA-N -1 1 312.332 1.730 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000766774410 701062699 /nfs/dbraw/zinc/06/26/99/701062699.db2.gz FQSSNDFHOGDDBJ-SECBINFHSA-N -1 1 308.300 1.778 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(C(F)(F)F)s2)nc1=O ZINC000803863568 701150641 /nfs/dbraw/zinc/15/06/41/701150641.db2.gz QFEVTMOELAEPPF-UHFFFAOYSA-N -1 1 307.253 1.546 20 0 DDADMM C[C@H]1COCC[C@@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000769259233 701238420 /nfs/dbraw/zinc/23/84/20/701238420.db2.gz CWSXJTVUYQEGBX-AAEUAGOBSA-N -1 1 305.330 1.159 20 0 DDADMM CC(C)[C@H](OC(=O)c1cn[n-]n1)C(=O)N(C)C1CCCCC1 ZINC000805604465 701397869 /nfs/dbraw/zinc/39/78/69/701397869.db2.gz KERVXOLLEFNFRO-ZDUSSCGKSA-N -1 1 308.382 1.777 20 0 DDADMM COc1ccc(-c2cc(COC(=O)c3cn[n-]n3)on2)cc1 ZINC000805605183 701398293 /nfs/dbraw/zinc/39/82/93/701398293.db2.gz WAHHQZJOCMYFAU-UHFFFAOYSA-N -1 1 300.274 1.825 20 0 DDADMM C[C@@H](C[C@H]1CCOC1)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806484983 701437243 /nfs/dbraw/zinc/43/72/43/701437243.db2.gz SENNRRWFXFNYIA-WDEREUQCSA-N -1 1 303.366 1.278 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@H]([C@H]4CCOC4)C3)ccnc1-2 ZINC000806491222 701437707 /nfs/dbraw/zinc/43/77/07/701437707.db2.gz WLPGYWLVOYMEIL-RYUDHWBXSA-N -1 1 315.377 1.232 20 0 DDADMM O=C(COCc1cccnc1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000806547217 701441206 /nfs/dbraw/zinc/44/12/06/701441206.db2.gz YDNPZOKGSCWJDM-HNNXBMFYSA-N -1 1 324.344 1.017 20 0 DDADMM O=c1cc(/C=C\c2cccc(Br)n2)nc2nc[n-]n21 ZINC000806570792 701441532 /nfs/dbraw/zinc/44/15/32/701441532.db2.gz LDHIWSBEAHBLDR-PLNGDYQASA-N -1 1 318.134 1.746 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(N2CCSCC2)cc1 ZINC000866041409 706602902 /nfs/dbraw/zinc/60/29/02/706602902.db2.gz UNHWZSHHOMAYDK-UHFFFAOYSA-N -1 1 318.406 1.324 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)C[C@@H]2CCCC(=O)N2)c1 ZINC000808691579 701532029 /nfs/dbraw/zinc/53/20/29/701532029.db2.gz PTAJNRNVHTYXNW-AWEZNQCLSA-N -1 1 319.357 1.739 20 0 DDADMM CO[C@@H]1CCC[C@@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)C1 ZINC000814564970 701721868 /nfs/dbraw/zinc/72/18/68/701721868.db2.gz OFZBVEIJTWKOLX-NXEZZACHSA-N -1 1 311.300 1.803 20 0 DDADMM CC(C)(C)c1cc(CS(=O)(=O)[N-][C@]2(C)CCOC2=O)no1 ZINC000810103301 701730370 /nfs/dbraw/zinc/73/03/70/701730370.db2.gz OYNMIPXKZGOEIP-CYBMUJFWSA-N -1 1 316.379 1.097 20 0 DDADMM C[C@@H](O)[C@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)CCO1 ZINC000839671532 701777074 /nfs/dbraw/zinc/77/70/74/701777074.db2.gz LPQNSFGUKXORTB-MEBBXXQBSA-N -1 1 305.330 1.080 20 0 DDADMM Cn1nnc(Br)c1C(=O)Nc1ccc(F)cc1[O-] ZINC000810576530 701792967 /nfs/dbraw/zinc/79/29/67/701792967.db2.gz DXLSXYXHCJOOAY-UHFFFAOYSA-N -1 1 315.102 1.675 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CCCn2nccc21 ZINC000867902397 701818614 /nfs/dbraw/zinc/81/86/14/701818614.db2.gz VJYHLNIOALZBDV-SNVBAGLBSA-N -1 1 320.440 1.060 20 0 DDADMM CC[C@H](C[C@@H](C)O)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000867931384 701838488 /nfs/dbraw/zinc/83/84/88/701838488.db2.gz IWVXVODBSZSMKS-HTQZYQBOSA-N -1 1 311.325 1.932 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)[nH]n1 ZINC000810905244 701872682 /nfs/dbraw/zinc/87/26/82/701872682.db2.gz RRVKZVNNGROYBA-SECBINFHSA-N -1 1 318.299 1.249 20 0 DDADMM CC[C@H](C)[C@@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868141158 701960908 /nfs/dbraw/zinc/96/09/08/701960908.db2.gz WCHJHLQQQASUEY-VHSXEESVSA-N -1 1 308.382 1.489 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2[C@H]1O)c1ccc([O-])c(F)c1 ZINC000811567691 702007688 /nfs/dbraw/zinc/00/76/88/702007688.db2.gz BGHOFKXURPFODQ-IUODEOHRSA-N -1 1 303.289 1.756 20 0 DDADMM Cc1cc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)ccn1 ZINC000831130247 706640314 /nfs/dbraw/zinc/64/03/14/706640314.db2.gz SIKFLKUCLJGWIA-UHFFFAOYSA-N -1 1 301.268 1.141 20 0 DDADMM O=S(=O)([N-][C@H]1CCO[C@@H](C2CC2)C1)c1c[nH]nc1Cl ZINC000831115564 706637219 /nfs/dbraw/zinc/63/72/19/706637219.db2.gz IKDGQMBDQSSLPE-DTWKUNHWSA-N -1 1 305.787 1.299 20 0 DDADMM C[C@@H](CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)[C@H]1CCCO1 ZINC000831116905 706637596 /nfs/dbraw/zinc/63/75/96/706637596.db2.gz GWTKUWMHUALNMP-GXSJLCMTSA-N -1 1 322.327 1.329 20 0 DDADMM CO[C@H]1CCC[C@@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000831125590 706639877 /nfs/dbraw/zinc/63/98/77/706639877.db2.gz MHAJQVMVZYXTHP-MNOVXSKESA-N -1 1 322.327 1.329 20 0 DDADMM CC[C@H](C(=O)OC)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000840486686 702126890 /nfs/dbraw/zinc/12/68/90/702126890.db2.gz CNTTVCYDSVGHAG-LLVKDONJSA-N -1 1 320.297 1.741 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)c1 ZINC000868462669 702142516 /nfs/dbraw/zinc/14/25/16/702142516.db2.gz SANNHCQSKGEDPG-OHBODLIOSA-N -1 1 324.402 1.531 20 0 DDADMM C[C@@H]1[C@@H](CO)CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000840556307 702152122 /nfs/dbraw/zinc/15/21/22/702152122.db2.gz CHLCKDMQIXXIIG-MWLCHTKSSA-N -1 1 306.391 1.251 20 0 DDADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000841457167 702456872 /nfs/dbraw/zinc/45/68/72/702456872.db2.gz OYULCVSJKJHWQM-QWHCGFSZSA-N -1 1 321.421 1.600 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C(=O)O1 ZINC000841523376 702478393 /nfs/dbraw/zinc/47/83/93/702478393.db2.gz LPABPPKFFQXVJH-MUWHJKNJSA-N -1 1 307.730 1.461 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC000841547465 702492284 /nfs/dbraw/zinc/49/22/84/702492284.db2.gz DPKHOEILYZSWHR-ZETCQYMHSA-N -1 1 313.709 1.269 20 0 DDADMM CC[C@@H](C[C@H](C)O)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000831270368 706674788 /nfs/dbraw/zinc/67/47/88/706674788.db2.gz VNVRKRSWFZAKNI-CABZTGNLSA-N -1 1 320.393 1.260 20 0 DDADMM Cc1ccn(C)c1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869478771 702620402 /nfs/dbraw/zinc/62/04/02/702620402.db2.gz LDPBGTRFLROPFQ-UHFFFAOYSA-N -1 1 320.267 1.128 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2coc3ccc(F)cc23)C1=O ZINC000843873929 702936111 /nfs/dbraw/zinc/93/61/11/702936111.db2.gz VIEVQVRFFQHMSF-OAHLLOKOSA-N -1 1 319.292 1.937 20 0 DDADMM CCCC[C@@](C)(CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000844017592 702955808 /nfs/dbraw/zinc/95/58/08/702955808.db2.gz SKWXNALXQBJHID-AWEZNQCLSA-N -1 1 308.407 1.689 20 0 DDADMM CN1CCN(c2ccc(NC(=O)C(C)(C)C(=O)[O-])cc2)CC1 ZINC000844252381 703001066 /nfs/dbraw/zinc/00/10/66/703001066.db2.gz LXBZQONSCUVQTH-UHFFFAOYSA-N -1 1 305.378 1.488 20 0 DDADMM CO[C@H]1C[C@H](C[N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000882590848 707709696 /nfs/dbraw/zinc/70/96/96/707709696.db2.gz ZUKNWZTXWIHMBL-ZKCHVHJHSA-N -1 1 324.196 1.745 20 0 DDADMM CCON(CC)C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000831491914 706718555 /nfs/dbraw/zinc/71/85/55/706718555.db2.gz WEKNXWHECYVWLM-UHFFFAOYSA-N -1 1 318.395 1.770 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCC1(CO)CCC1 ZINC000846566257 703298643 /nfs/dbraw/zinc/29/86/43/703298643.db2.gz GMCBVCSQKVCIMJ-UHFFFAOYSA-N -1 1 307.394 1.897 20 0 DDADMM CC1(C)C[C@](C)(NC(=O)c2ccc3n[n-]c(=S)n3c2)C(=O)O1 ZINC000848149698 703516495 /nfs/dbraw/zinc/51/64/95/703516495.db2.gz ZFPOCPZYGJGJNH-AWEZNQCLSA-N -1 1 320.374 1.232 20 0 DDADMM CS[C@H](C)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848342405 703541029 /nfs/dbraw/zinc/54/10/29/703541029.db2.gz NHYVJZWUNRJTRD-IWSPIJDZSA-N -1 1 312.357 1.796 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1Cc2ccncc2C1 ZINC000848382248 703544969 /nfs/dbraw/zinc/54/49/69/703544969.db2.gz DVLHTBXUDIJMPT-UHFFFAOYSA-N -1 1 323.356 1.532 20 0 DDADMM C[C@H](CC(=O)OCC(=O)[N-]C(=O)c1ccccc1)[C@H]1CCCO1 ZINC000850081718 703701996 /nfs/dbraw/zinc/70/19/96/703701996.db2.gz ORYGOPUXWPZFGZ-TZMCWYRMSA-N -1 1 319.357 1.691 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869887170 703871674 /nfs/dbraw/zinc/87/16/74/703871674.db2.gz SENQMOVOEKOSMG-GRLWKWRFSA-N -1 1 321.295 1.459 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN[C@@H](C)c2csnn2)cc1 ZINC000852175992 703955768 /nfs/dbraw/zinc/95/57/68/703955768.db2.gz PIRFCLGPGFSDAH-VIFPVBQESA-N -1 1 320.374 1.154 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(CC(=O)NC2CCCC2)C1 ZINC000879763771 706784704 /nfs/dbraw/zinc/78/47/04/706784704.db2.gz QIKIRZSEYKVRIP-ZDUSSCGKSA-N -1 1 321.343 1.188 20 0 DDADMM COC(=O)/C(C)=C\CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879765519 706785577 /nfs/dbraw/zinc/78/55/77/706785577.db2.gz OSNYPNFXVLQEGY-REPPVQDKSA-N -1 1 308.300 1.249 20 0 DDADMM CCN(C)c1ccccc1C[N-]S(=O)(=O)c1conc1C ZINC000866746978 706785661 /nfs/dbraw/zinc/78/56/61/706785661.db2.gz IRVSAVSILRSZLO-UHFFFAOYSA-N -1 1 309.391 1.918 20 0 DDADMM CCCCOC(=O)[C@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879766335 706786606 /nfs/dbraw/zinc/78/66/06/706786606.db2.gz WYCZRXODNXGYGT-GXFFZTMASA-N -1 1 324.343 1.861 20 0 DDADMM CCCCC[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000852735127 704109232 /nfs/dbraw/zinc/10/92/32/704109232.db2.gz GIFDTMMWAGPWLV-NXEZZACHSA-N -1 1 310.316 1.773 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@H]1[C@H](c2ccccc2)C1(F)F ZINC000831875583 706796490 /nfs/dbraw/zinc/79/64/90/706796490.db2.gz OGEASHRDZWKCCR-FZMZJTMJSA-N -1 1 321.331 1.688 20 0 DDADMM CC(C)c1cc([C@@H]2CCCN(C(=O)c3cc(=O)n(C)[n-]3)C2)n[nH]1 ZINC000831885019 706798081 /nfs/dbraw/zinc/79/80/81/706798081.db2.gz LEPVCFGJAAITMH-LLVKDONJSA-N -1 1 317.393 1.992 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(c2c(F)cccc2F)=NO1 ZINC000820403155 704273102 /nfs/dbraw/zinc/27/31/02/704273102.db2.gz QXICRXLYZOYJPX-JTQLQIEISA-N -1 1 322.271 1.834 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H]3CC=CC[C@@H]3C2)o1 ZINC000871516672 704307090 /nfs/dbraw/zinc/30/70/90/704307090.db2.gz KERAQXYLVZVTOM-PHIMTYICSA-N -1 1 310.375 1.226 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]CC1(c2ccc(F)cc2)CC1 ZINC000820786542 704330891 /nfs/dbraw/zinc/33/08/91/704330891.db2.gz LVERSAMOIJLNCI-UHFFFAOYSA-N -1 1 320.411 1.419 20 0 DDADMM CCc1cc(N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)ncn1 ZINC000853990237 704344575 /nfs/dbraw/zinc/34/45/75/704344575.db2.gz YZLYBBMBGZCTLW-KOLCDFICSA-N -1 1 316.327 1.932 20 0 DDADMM Cc1oc(Br)cc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000820961507 704351298 /nfs/dbraw/zinc/35/12/98/704351298.db2.gz MKBZHZVGVRCCFR-UHFFFAOYSA-N -1 1 316.111 1.129 20 0 DDADMM CCCCNC(=O)COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821066317 704366686 /nfs/dbraw/zinc/36/66/86/704366686.db2.gz SPVQTYZFZGFHST-UHFFFAOYSA-N -1 1 309.351 1.001 20 0 DDADMM CCCCNC(=O)COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821066317 704366687 /nfs/dbraw/zinc/36/66/87/704366687.db2.gz SPVQTYZFZGFHST-UHFFFAOYSA-N -1 1 309.351 1.001 20 0 DDADMM Cc1ccncc1C=CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342162 704382044 /nfs/dbraw/zinc/38/20/44/704382044.db2.gz JPLQWZZMYVUTBD-NSCUHMNNSA-N -1 1 314.345 1.898 20 0 DDADMM Cc1cnccc1C=CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342373 704382069 /nfs/dbraw/zinc/38/20/69/704382069.db2.gz QZKGQLWNGSEHDX-IHWYPQMZSA-N -1 1 314.345 1.898 20 0 DDADMM CN1C(=O)CC[C@@H]1C(=O)Nc1cccc([O-])c1Br ZINC000821375381 704399717 /nfs/dbraw/zinc/39/97/17/704399717.db2.gz SRZYITJDACRXJB-MRVPVSSYSA-N -1 1 313.151 1.714 20 0 DDADMM CC1=NO[C@@H](CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000854798377 704454068 /nfs/dbraw/zinc/45/40/68/704454068.db2.gz UREMIYCJMUYXJI-SNVBAGLBSA-N -1 1 306.366 1.683 20 0 DDADMM Cc1n[nH]c(C)c1CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000854868123 704459994 /nfs/dbraw/zinc/45/99/94/704459994.db2.gz UTKPMNIEGYZGOW-UHFFFAOYSA-N -1 1 317.393 1.926 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NOCC1CCC1 ZINC000856346634 704523769 /nfs/dbraw/zinc/52/37/69/704523769.db2.gz IGNNVCAHPFEIDP-UHFFFAOYSA-N -1 1 318.377 1.832 20 0 DDADMM CC1CCC(O)(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000856474330 704530035 /nfs/dbraw/zinc/53/00/35/704530035.db2.gz MYNJOGZJPDEYIO-UHFFFAOYSA-N -1 1 320.418 1.689 20 0 DDADMM Cc1noc(COC(=O)c2nn(-c3ccccc3)cc2[O-])n1 ZINC000857316392 704578959 /nfs/dbraw/zinc/57/89/59/704578959.db2.gz WGZMEHXNOVPTPI-UHFFFAOYSA-N -1 1 300.274 1.626 20 0 DDADMM C[C@H]1CC[C@H]([N-]S(=O)(=O)c2cnn(C)c2C(F)(F)F)C1 ZINC000867151681 706910409 /nfs/dbraw/zinc/91/04/09/706910409.db2.gz LRHUGCBFXZVNQI-YUMQZZPRSA-N -1 1 311.329 1.906 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](Nc3cc(Cl)[n-]c(=O)n3)[C@@H]2C1 ZINC000858547603 704725405 /nfs/dbraw/zinc/72/54/05/704725405.db2.gz IYFMVNOAQBFMSK-HBNTYKKESA-N -1 1 310.785 1.895 20 0 DDADMM CC1(C)CCC[C@]1(O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867308923 706955360 /nfs/dbraw/zinc/95/53/60/706955360.db2.gz OUAIRZRZROWKQW-ZDUSSCGKSA-N -1 1 320.361 1.579 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CSc2ccccc21 ZINC000867318313 706958756 /nfs/dbraw/zinc/95/87/56/706958756.db2.gz QKDPYLXFIXZEEQ-SECBINFHSA-N -1 1 320.461 1.438 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCCCC1(F)F ZINC000867319300 706959223 /nfs/dbraw/zinc/95/92/23/706959223.db2.gz MBAXEIYLTGYTIV-MRVPVSSYSA-N -1 1 304.384 1.374 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1cncc(OC(C)C)c1 ZINC000859031093 704787943 /nfs/dbraw/zinc/78/79/43/704787943.db2.gz PLMCCJNTHDLXQA-UHFFFAOYSA-N -1 1 312.351 1.039 20 0 DDADMM O=C([O-])[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCCC1CC1 ZINC000873644280 704815746 /nfs/dbraw/zinc/81/57/46/704815746.db2.gz VSDFJPFLNJZFSP-WDEREUQCSA-N -1 1 322.327 1.773 20 0 DDADMM C[C@H](COCC1CC1)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867463387 707010074 /nfs/dbraw/zinc/01/00/74/707010074.db2.gz PIPNLJZWQGAOBK-MRVPVSSYSA-N -1 1 322.789 1.968 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](CO)C1)c1cc(Cl)cnc1Cl ZINC000867584874 707047047 /nfs/dbraw/zinc/04/70/47/707047047.db2.gz BBFVWTLAAMSFNX-WRXNHJIOSA-N -1 1 311.190 1.438 20 0 DDADMM O=C(COC1CCCCC1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000823027082 705116771 /nfs/dbraw/zinc/11/67/71/705116771.db2.gz LBXOAVNIPAWSCL-UHFFFAOYSA-N -1 1 317.349 1.654 20 0 DDADMM O=C(COC1CCCCC1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000823027082 705116775 /nfs/dbraw/zinc/11/67/75/705116775.db2.gz LBXOAVNIPAWSCL-UHFFFAOYSA-N -1 1 317.349 1.654 20 0 DDADMM CC(C)CC[C@@H](CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000861025408 705352340 /nfs/dbraw/zinc/35/23/40/705352340.db2.gz KFUFUWNTCOGZGD-NSHDSACASA-N -1 1 305.382 1.260 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@H]1CCc2nnnn2CC1 ZINC000834822043 707129881 /nfs/dbraw/zinc/12/98/81/707129881.db2.gz JJOLKJYCBXIGEX-JTQLQIEISA-N -1 1 321.768 1.301 20 0 DDADMM CC(=O)N1CSC[C@@H]1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834833891 707134132 /nfs/dbraw/zinc/13/41/32/707134132.db2.gz PBMDDSHJDWYDKN-LLVKDONJSA-N -1 1 314.794 1.583 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](C(=O)Nc2ccc([O-])c(F)c2F)O1 ZINC000861929300 705606322 /nfs/dbraw/zinc/60/63/22/705606322.db2.gz HLGPSEIKASIVIX-BDAKNGLRSA-N -1 1 301.245 1.330 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1[C@@H]2CC[C@H]1CNC(=O)C2 ZINC000876392840 705707791 /nfs/dbraw/zinc/70/77/91/705707791.db2.gz APCGIHMGBZYLNF-MNOVXSKESA-N -1 1 323.780 1.608 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC2(C1)OCCO2 ZINC000826052291 705765020 /nfs/dbraw/zinc/76/50/20/705765020.db2.gz BMLCKYLEKPRNKB-UHFFFAOYSA-N -1 1 300.314 1.529 20 0 DDADMM Clc1ccc(N2CCOCC3(CC3)C2)nc1-c1nnn[n-]1 ZINC000826352031 705795454 /nfs/dbraw/zinc/79/54/54/705795454.db2.gz KGDXPEYGFDQXHY-UHFFFAOYSA-N -1 1 306.757 1.532 20 0 DDADMM Clc1ccc(N2CCOCC3(CC3)C2)nc1-c1nn[n-]n1 ZINC000826352031 705795456 /nfs/dbraw/zinc/79/54/56/705795456.db2.gz KGDXPEYGFDQXHY-UHFFFAOYSA-N -1 1 306.757 1.532 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)[C@H]1CCCCS1 ZINC000826467426 705803301 /nfs/dbraw/zinc/80/33/01/705803301.db2.gz VWDXXYIWRBRLJB-LLVKDONJSA-N -1 1 305.363 1.591 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)[C@H]1CCCCS1 ZINC000826467426 705803306 /nfs/dbraw/zinc/80/33/06/705803306.db2.gz VWDXXYIWRBRLJB-LLVKDONJSA-N -1 1 305.363 1.591 20 0 DDADMM O=S(=O)([N-]c1ccc(-c2nn[nH]n2)cc1F)c1cccnc1 ZINC000826492301 705804138 /nfs/dbraw/zinc/80/41/38/705804138.db2.gz BVZSVUOFYNMBNW-UHFFFAOYSA-N -1 1 320.309 1.202 20 0 DDADMM Cc1cc(F)cc(C)c1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000826833844 705881104 /nfs/dbraw/zinc/88/11/04/705881104.db2.gz JHTDIDQFNCLOKM-LLVKDONJSA-N -1 1 305.313 1.169 20 0 DDADMM C[C@@H](Cn1ccnc1)[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872437562 707410101 /nfs/dbraw/zinc/41/01/01/707410101.db2.gz LTDSBONDIJKVQC-OVWNDWIMSA-N -1 1 322.456 1.002 20 0 DDADMM CCCCC1(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000828688831 706217343 /nfs/dbraw/zinc/21/73/43/706217343.db2.gz HXPLMYCOXVDQCV-UHFFFAOYSA-N -1 1 305.378 1.842 20 0 DDADMM CCOC(=O)CN(Cc1nc2c(c(=O)[n-]1)COCC2)C(C)C ZINC000878032470 706268730 /nfs/dbraw/zinc/26/87/30/706268730.db2.gz QPSKDIUNKLLHDV-UHFFFAOYSA-N -1 1 309.366 1.028 20 0 DDADMM CS[C@@H](C)C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872464209 707426664 /nfs/dbraw/zinc/42/66/64/707426664.db2.gz RLWURMCVNYCVCQ-PWJLMRLQSA-N -1 1 302.487 1.469 20 0 DDADMM O=C(N[C@H]1CCC[C@@H]1CCO)c1ccc2n[n-]c(=S)n2c1 ZINC000829092572 706287225 /nfs/dbraw/zinc/28/72/25/706287225.db2.gz GRIOTRKHTCPXDF-KOLCDFICSA-N -1 1 306.391 1.299 20 0 DDADMM C[C@H](N[C@@H]1C[C@H](NC(=O)[O-])C12CCC2)c1nnc2n1CCC2 ZINC000904230108 711414179 /nfs/dbraw/zinc/41/41/79/711414179.db2.gz YMKDCVZSTVSZJI-AXFHLTTASA-N -1 1 305.382 1.454 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C1(C)CC1)c1cc(F)ccc1F ZINC000830357865 706502284 /nfs/dbraw/zinc/50/22/84/706502284.db2.gz XQRVHJPSVVUCCM-NSHDSACASA-N -1 1 319.329 1.651 20 0 DDADMM C[N@@H+]1CCN(CC(=O)[N-]OCc2ccccc2)C[C@@H]1C(C)(C)C ZINC000878839861 706513650 /nfs/dbraw/zinc/51/36/50/706513650.db2.gz HDTFTAVKSZATON-MRXNPFEDSA-N -1 1 319.449 1.897 20 0 DDADMM COC(=O)C1CCC([N-]S(=O)(=O)c2c[nH]nc2Cl)CC1 ZINC000830415425 706514159 /nfs/dbraw/zinc/51/41/59/706514159.db2.gz YYUPGPWTTFURCP-UHFFFAOYSA-N -1 1 321.786 1.073 20 0 DDADMM CCC1(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)COC1 ZINC000830816016 706585118 /nfs/dbraw/zinc/58/51/18/706585118.db2.gz IQKBBRXXESYPGY-NSHDSACASA-N -1 1 308.300 1.083 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCSC2)C1 ZINC000830815986 706585155 /nfs/dbraw/zinc/58/51/55/706585155.db2.gz IFNOEIPOVWSZDF-LDYMZIIASA-N -1 1 310.341 1.409 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CC2CCOCC2)C1 ZINC000830821632 706586514 /nfs/dbraw/zinc/58/65/14/706586514.db2.gz LFLHWCAHZXEJCU-CYBMUJFWSA-N -1 1 322.327 1.473 20 0 DDADMM CCO[C@@H](CC)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827006 706587944 /nfs/dbraw/zinc/58/79/44/706587944.db2.gz IYEZZPOTUZFDSQ-JOYOIKCWSA-N -1 1 310.316 1.471 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827576 706588479 /nfs/dbraw/zinc/58/84/79/706588479.db2.gz MUDSPXATNWJYEK-GWCFXTLKSA-N -1 1 324.343 1.717 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000832132928 706850360 /nfs/dbraw/zinc/85/03/60/706850360.db2.gz REAJKNCUFSFJPH-SNVBAGLBSA-N -1 1 307.350 1.526 20 0 DDADMM CO[C@@H]1CCn2cc(C(=O)Nc3c([O-])cccc3F)nc2C1 ZINC000867006777 706870289 /nfs/dbraw/zinc/87/02/89/706870289.db2.gz OYWTUQJLGGMOAK-SECBINFHSA-N -1 1 305.309 1.941 20 0 DDADMM CO[C@H]1CCn2cc(C(=O)Nc3c([O-])cccc3F)nc2C1 ZINC000867006778 706870387 /nfs/dbraw/zinc/87/03/87/706870387.db2.gz OYWTUQJLGGMOAK-VIFPVBQESA-N -1 1 305.309 1.941 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H](C)c1cnccc1C ZINC000867049923 706882318 /nfs/dbraw/zinc/88/23/18/706882318.db2.gz PBPXFRBWMMUPKW-YPMLDQLKSA-N -1 1 305.425 1.403 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC(C)(C)C[C@@H](C)O ZINC000867056267 706884101 /nfs/dbraw/zinc/88/41/01/706884101.db2.gz PEPOKRJJYJVVGD-SNVBAGLBSA-N -1 1 314.473 1.126 20 0 DDADMM COc1ccc(CN2CCN(C/C=C(/C)C(=O)[O-])CC2)cc1F ZINC000832314270 706889654 /nfs/dbraw/zinc/88/96/54/706889654.db2.gz GYKUGNCROLVOSR-ACAGNQJTSA-N -1 1 322.380 1.983 20 0 DDADMM CC(C)O[N-]C(=O)[C@@H]1CO[C@H](CCC(=O)OC(C)(C)C)C1 ZINC000880185165 706904807 /nfs/dbraw/zinc/90/48/07/706904807.db2.gz HOEQFIURMTVKBM-NWDGAFQWSA-N -1 1 301.383 1.970 20 0 DDADMM CN(C(=O)CCCCc1c[nH]nn1)[C@@H](C(=O)[O-])c1ccccc1 ZINC000909002469 712913302 /nfs/dbraw/zinc/91/33/02/712913302.db2.gz SGXNPMPRQIKEET-OAHLLOKOSA-N -1 1 316.361 1.802 20 0 DDADMM CO[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867165435 706913744 /nfs/dbraw/zinc/91/37/44/706913744.db2.gz YEISWGXLZIORAB-BQBZGAKWSA-N -1 1 315.317 1.141 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000909013894 712916723 /nfs/dbraw/zinc/91/67/23/712916723.db2.gz POKWKYPQNVUTPR-LURJTMIESA-N -1 1 323.296 1.461 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCCN(CCF)C2)sn1 ZINC000867713269 707082885 /nfs/dbraw/zinc/08/28/85/707082885.db2.gz OMHXHIZMDIZWLR-JTQLQIEISA-N -1 1 307.416 1.164 20 0 DDADMM CC1CCN(C(=O)C(=O)NCc2ccc([O-])c(Cl)c2)CC1 ZINC000834825817 707131512 /nfs/dbraw/zinc/13/15/12/707131512.db2.gz NMGOVTDOSWNTEJ-UHFFFAOYSA-N -1 1 310.781 1.920 20 0 DDADMM Cn1nncc1CN[C@H](C(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC000871619390 707140905 /nfs/dbraw/zinc/14/09/05/707140905.db2.gz OBRLZSZWGHYSSY-NSHDSACASA-N -1 1 314.267 1.749 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(Nc2ccccn2)CC1 ZINC000927072318 712937603 /nfs/dbraw/zinc/93/76/03/712937603.db2.gz IMQNWEBJHOWDCP-UHFFFAOYSA-N -1 1 315.381 1.021 20 0 DDADMM O=C(C[C@@H]1C[C@H]1C1CC1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000881448035 707260972 /nfs/dbraw/zinc/26/09/72/707260972.db2.gz HAFRPMQWBZVEPK-QWRGUYRKSA-N -1 1 304.312 1.560 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)N(C)Cc1ccc(C(=O)[O-])cc1 ZINC000909137822 712943677 /nfs/dbraw/zinc/94/36/77/712943677.db2.gz XMQMIZHIFPYCLM-CYBMUJFWSA-N -1 1 320.389 1.312 20 0 DDADMM CCOC[C@H](C)[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872476075 707434740 /nfs/dbraw/zinc/43/47/40/707434740.db2.gz SQFSDLKMOHXYHK-XYZCENFISA-N -1 1 300.446 1.142 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)C[C@@H]1C(C)(C)C1(F)F)C(=O)OC ZINC000882040609 707475711 /nfs/dbraw/zinc/47/57/11/707475711.db2.gz SPCJADUKCVCFAY-DTWKUNHWSA-N -1 1 313.366 1.539 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCSC[C@H]1C1CC1 ZINC000836857124 707531129 /nfs/dbraw/zinc/53/11/29/707531129.db2.gz XJFOMWFPSDPSSR-NSHDSACASA-N -1 1 320.443 1.986 20 0 DDADMM Cc1cc(C[N-]C(=O)C(F)(F)F)cc(NC(=O)[C@H](C)O)c1 ZINC000836949546 707553203 /nfs/dbraw/zinc/55/32/03/707553203.db2.gz KALBZIFMBJNRBK-QMMMGPOBSA-N -1 1 304.268 1.493 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C2(C)CC(F)(F)C2)CC1 ZINC000872736208 707578519 /nfs/dbraw/zinc/57/85/19/707578519.db2.gz NLLHYCJPFOINFW-UHFFFAOYSA-N -1 1 304.337 1.429 20 0 DDADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]c1cccc(Cl)c1 ZINC000882659884 707736399 /nfs/dbraw/zinc/73/63/99/707736399.db2.gz GSKKRWLKCMYGLE-QGZVFWFLSA-N -1 1 311.816 1.571 20 0 DDADMM Cn1[n-]c(CN2CCC(Oc3ccc(Cl)cn3)CC2)nc1=O ZINC000839202572 708036312 /nfs/dbraw/zinc/03/63/12/708036312.db2.gz KNGUILSFGKXCAC-UHFFFAOYSA-N -1 1 323.784 1.200 20 0 DDADMM O=C([O-])[C@@H](NC(=O)CN1CCCC1)c1ccc(Cl)c(F)c1 ZINC000909392804 713007058 /nfs/dbraw/zinc/00/70/58/713007058.db2.gz OLYBYYFUAYZWTK-ZDUSSCGKSA-N -1 1 314.744 1.817 20 0 DDADMM C[C@@H]1CO[C@H](C(=O)Nc2nc(Br)ccc2[O-])C1 ZINC000897112892 708232007 /nfs/dbraw/zinc/23/20/07/708232007.db2.gz SWDHYVGSROKDMF-XPUUQOCRSA-N -1 1 301.140 1.913 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2C[C@H]3CC[C@@H](C2)S3)n[n-]1 ZINC000897492826 708350543 /nfs/dbraw/zinc/35/05/43/708350543.db2.gz IKNATXLURVDCRT-PBINXNQUSA-N -1 1 324.406 1.272 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2C[C@H]3CC[C@@H](C2)S3)n1 ZINC000897492826 708350545 /nfs/dbraw/zinc/35/05/45/708350545.db2.gz IKNATXLURVDCRT-PBINXNQUSA-N -1 1 324.406 1.272 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC([C@H]2COC(=O)N2)CC1 ZINC000884909428 708416161 /nfs/dbraw/zinc/41/61/61/708416161.db2.gz ARRRTIIMQFJVFW-CYBMUJFWSA-N -1 1 308.309 1.492 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)c1cccs1)c1ccns1 ZINC000885028500 708447470 /nfs/dbraw/zinc/44/74/70/708447470.db2.gz GHOVOEOTOSDFQS-QMMMGPOBSA-N -1 1 304.418 1.607 20 0 DDADMM COCCOCCN(C)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897786640 708449879 /nfs/dbraw/zinc/44/98/79/708449879.db2.gz WSFDHWAZGNOMDB-UHFFFAOYSA-N -1 1 319.357 1.986 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCCC(=O)[C@H]1C ZINC000885041733 708453175 /nfs/dbraw/zinc/45/31/75/708453175.db2.gz WPOGAICNMZVBOK-LLVKDONJSA-N -1 1 316.361 1.174 20 0 DDADMM O=S(=O)([N-][C@H]1CCO[C@@]2(CCSC2)C1)c1ccns1 ZINC000885065568 708460293 /nfs/dbraw/zinc/46/02/93/708460293.db2.gz QRSSNSATTGKDPY-ONGXEEELSA-N -1 1 320.461 1.476 20 0 DDADMM Cc1cnc([C@@H](C)C[N-]S(=O)(=O)c2ccns2)s1 ZINC000885105576 708474223 /nfs/dbraw/zinc/47/42/23/708474223.db2.gz WYLPPNPSBVPBGS-ZETCQYMHSA-N -1 1 303.434 1.990 20 0 DDADMM CC[C@@H](CNc1ccccc1)NC(=O)CCCc1nn[n-]n1 ZINC000897884385 708480579 /nfs/dbraw/zinc/48/05/79/708480579.db2.gz VZZCQJDESQNERN-LBPRGKRZSA-N -1 1 302.382 1.529 20 0 DDADMM O=S(=O)([N-][C@H]1CCc2ccccc2OC1)c1ccns1 ZINC000885171837 708485003 /nfs/dbraw/zinc/48/50/03/708485003.db2.gz PHJYBBBRXYXAHB-NSHDSACASA-N -1 1 310.400 1.815 20 0 DDADMM CN1Cc2ccccc2[C@H]1C[N-]S(=O)(=O)c1ccns1 ZINC000885343846 708523189 /nfs/dbraw/zinc/52/31/89/708523189.db2.gz OGUFUQMDYDPQKW-GFCCVEGCSA-N -1 1 309.416 1.608 20 0 DDADMM Cn1ncc(C(F)(F)F)c1S(=O)(=O)[N-]C(CF)CF ZINC000885414165 708539144 /nfs/dbraw/zinc/53/91/44/708539144.db2.gz SMIBCUURENLONY-UHFFFAOYSA-N -1 1 307.244 1.025 20 0 DDADMM O=S(=O)([N-][C@]12C[C@H]1COC2)c1ccc(Br)o1 ZINC000885495652 708559474 /nfs/dbraw/zinc/55/94/74/708559474.db2.gz JGXYNKMPMNCGOQ-RCOVLWMOSA-N -1 1 308.153 1.109 20 0 DDADMM O=C(N[C@H](C1CC1)[C@@H]1CCCOC1)c1cnc(C2CC2)[n-]c1=O ZINC000885494990 708559102 /nfs/dbraw/zinc/55/91/02/708559102.db2.gz VOTBDCCKECUJAX-TZMCWYRMSA-N -1 1 317.389 1.995 20 0 DDADMM COC(=O)CCCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266542 708732314 /nfs/dbraw/zinc/73/23/14/708732314.db2.gz PMFFDOREEMPBCS-UHFFFAOYSA-N -1 1 301.289 1.672 20 0 DDADMM Cn1cncc1[C@@H]1C[C@H]1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886268683 708733437 /nfs/dbraw/zinc/73/34/37/708733437.db2.gz YVEFHIOBWIADNB-VXGBXAGGSA-N -1 1 321.327 1.866 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@H](CCO)C1CC1 ZINC000927783003 713054828 /nfs/dbraw/zinc/05/48/28/713054828.db2.gz SEXJZHDNSXASEI-CQSZACIVSA-N -1 1 314.332 1.673 20 0 DDADMM C[C@@]1(NC(=O)NCCc2c(F)cc([O-])cc2F)CCOC1 ZINC000927782783 713054867 /nfs/dbraw/zinc/05/48/67/713054867.db2.gz CEUQWJPEYFWAQX-CQSZACIVSA-N -1 1 300.305 1.691 20 0 DDADMM C[C@@H](CCO)N(C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927787216 713056119 /nfs/dbraw/zinc/05/61/19/713056119.db2.gz NIUPUZNYDDIEGI-VIFPVBQESA-N -1 1 302.321 1.625 20 0 DDADMM O=C(NCCS(=O)(=O)C(F)(F)F)c1ccc([O-])cc1F ZINC000898681863 708834966 /nfs/dbraw/zinc/83/49/66/708834966.db2.gz CQADHUODHKLGFW-UHFFFAOYSA-N -1 1 315.244 1.196 20 0 DDADMM CC(=O)[C@H]1CC[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000887252538 708999941 /nfs/dbraw/zinc/99/99/41/708999941.db2.gz HIXVONJASCVJHQ-UMSPYCQHSA-N -1 1 318.402 1.896 20 0 DDADMM CON(C)CCCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000899219774 709024323 /nfs/dbraw/zinc/02/43/23/709024323.db2.gz CCYUXWUMSMBMGW-UHFFFAOYSA-N -1 1 304.350 1.257 20 0 DDADMM CON(C)CCCNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000899219774 709024326 /nfs/dbraw/zinc/02/43/26/709024326.db2.gz CCYUXWUMSMBMGW-UHFFFAOYSA-N -1 1 304.350 1.257 20 0 DDADMM O=C(NCC[C@@H]1CCC2(CCOCC2)CO1)c1cncc([O-])c1 ZINC000899456741 709086394 /nfs/dbraw/zinc/08/63/94/709086394.db2.gz RPMHCFGCXACRKM-HNNXBMFYSA-N -1 1 320.389 1.883 20 0 DDADMM O=C(C(=O)N1CCOC[C@H]1C[C@H]1CCCO1)c1ccc([O-])cc1 ZINC000887847731 709142995 /nfs/dbraw/zinc/14/29/95/709142995.db2.gz RBTNVCJBBWEKQY-UKRRQHHQSA-N -1 1 319.357 1.371 20 0 DDADMM CCN1CC[C@H]1CNC(=O)C[C@H](C(=O)[O-])c1ccc(C)cc1 ZINC000899835908 709210804 /nfs/dbraw/zinc/21/08/04/709210804.db2.gz QFYBQYYMRIDDQI-GJZGRUSLSA-N -1 1 304.390 1.764 20 0 DDADMM CCn1cc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)nn1 ZINC000899886121 709226967 /nfs/dbraw/zinc/22/69/67/709226967.db2.gz MGSBFXBOLQVGQD-KOLCDFICSA-N -1 1 319.331 1.187 20 0 DDADMM C[C@H]1Cc2cc(CNCc3cnn(C)c3C(=O)[O-])ccc2O1 ZINC000900047625 709275924 /nfs/dbraw/zinc/27/59/24/709275924.db2.gz QRSISLYTRCAOER-JTQLQIEISA-N -1 1 301.346 1.732 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]2C[C@H](NC(=O)c3c([O-])cccc3F)[C@@H]2C1 ZINC000888469100 709319429 /nfs/dbraw/zinc/31/94/29/709319429.db2.gz SBFRCWCQPOSZJO-BFLSOPEQSA-N -1 1 307.321 1.849 20 0 DDADMM CC[C@@](C)([N-]C(=O)C(F)(F)c1c(F)cccc1F)C(N)=O ZINC000888549685 709338207 /nfs/dbraw/zinc/33/82/07/709338207.db2.gz UNBZZFQHBYXKSB-GFCCVEGCSA-N -1 1 306.259 1.827 20 0 DDADMM CSCC[C@H](NC(=O)c1c[nH]cc1C1CC1)c1nn[n-]n1 ZINC000912860109 713109574 /nfs/dbraw/zinc/10/95/74/713109574.db2.gz NOBQIADOJJMLDQ-NSHDSACASA-N -1 1 306.395 1.629 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(F)ccc1F)c1nn[n-]n1 ZINC000912860504 713109926 /nfs/dbraw/zinc/10/99/26/713109926.db2.gz WZAUJAKJVIJAPL-JTQLQIEISA-N -1 1 313.333 1.702 20 0 DDADMM CSCC[C@H](NC(=O)c1c(F)cccc1F)c1nn[n-]n1 ZINC000912860682 713109960 /nfs/dbraw/zinc/10/99/60/713109960.db2.gz SZKBLOKRDJNEGR-VIFPVBQESA-N -1 1 313.333 1.702 20 0 DDADMM CSCC[C@H](NC(=O)Cc1ccccc1C)c1nn[n-]n1 ZINC000912860488 713110100 /nfs/dbraw/zinc/11/01/00/713110100.db2.gz WHVNHJZZZMKEDG-LBPRGKRZSA-N -1 1 305.407 1.661 20 0 DDADMM CCc1cccc(CC(=O)N[C@@H](CCSC)c2nn[n-]n2)c1 ZINC000912861769 713110593 /nfs/dbraw/zinc/11/05/93/713110593.db2.gz XEDYJGWBNNMOCQ-ZDUSSCGKSA-N -1 1 319.434 1.915 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1ccncc1C)c1nn[n-]n1 ZINC000912863000 713111541 /nfs/dbraw/zinc/11/15/41/713111541.db2.gz IFOCQSKSBAXWRT-PCAWENJQSA-N -1 1 318.406 1.527 20 0 DDADMM CCN(CC(=O)Nc1cc(COC)cc(C(=O)[O-])c1)C1CC1 ZINC000909627849 709547176 /nfs/dbraw/zinc/54/71/76/709547176.db2.gz YGFFPPJBEQDPPA-UHFFFAOYSA-N -1 1 306.362 1.954 20 0 DDADMM CCN(CC(=O)NCCc1ccc(C(=O)[O-])cc1OC)C1CC1 ZINC000909629606 709548633 /nfs/dbraw/zinc/54/86/33/709548633.db2.gz ULXIAOQTFBMHON-UHFFFAOYSA-N -1 1 320.389 1.536 20 0 DDADMM C[C@H](NC(=O)[C@@H]1CCCCN1C)c1ccc(OCC(=O)[O-])cc1 ZINC000909796733 709626023 /nfs/dbraw/zinc/62/60/23/709626023.db2.gz NLTMLONOGIWAIU-WFASDCNBSA-N -1 1 320.389 1.812 20 0 DDADMM CCO[C@H](C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1)C1CC1 ZINC000889785010 709635122 /nfs/dbraw/zinc/63/51/22/709635122.db2.gz BNAFQSRGUMYPHD-YPMHNXCESA-N -1 1 321.377 1.669 20 0 DDADMM COCC(C)(C)CC(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786728 709635506 /nfs/dbraw/zinc/63/55/06/709635506.db2.gz OHJNCFYPSIYCMY-LLVKDONJSA-N -1 1 323.393 1.917 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H](OC)C2CCC2)[n-]c1=O ZINC000889786902 709635714 /nfs/dbraw/zinc/63/57/14/709635714.db2.gz OQEQCBUTTUKKBK-WCQYABFASA-N -1 1 321.377 1.669 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H]2CCCSC2)[n-]c1=O ZINC000889788296 709636233 /nfs/dbraw/zinc/63/62/33/709636233.db2.gz ZWPCBFWGUSAJHF-GHMZBOCLSA-N -1 1 323.418 1.998 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2nn(C)cc2C)[n-]c1=O ZINC000889788230 709636461 /nfs/dbraw/zinc/63/64/61/709636461.db2.gz YSORVESEXMXTEM-JTQLQIEISA-N -1 1 317.349 1.210 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C[C@@](C)(O)C2CC2)[n-]c1=O ZINC000889790229 709637251 /nfs/dbraw/zinc/63/72/51/709637251.db2.gz OZYPIDVXHFGWED-BDJLRTHQSA-N -1 1 321.377 1.405 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)[C@@H]2CCc3nc[nH]c3C2)CC12CCC2 ZINC000909936103 709694484 /nfs/dbraw/zinc/69/44/84/709694484.db2.gz QVTKPFZYMQXYQV-HWPZZCPQSA-N -1 1 321.352 1.320 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCc2ccc3occc3c2)C1 ZINC000909943416 709699747 /nfs/dbraw/zinc/69/97/47/709699747.db2.gz PBOXSOPWDNRLGS-CQSZACIVSA-N -1 1 316.357 1.846 20 0 DDADMM CC[C@H](O)[C@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000900708632 709721945 /nfs/dbraw/zinc/72/19/45/709721945.db2.gz DJCJQNMIBMYTNB-UFBFGSQYSA-N -1 1 306.366 1.075 20 0 DDADMM CC(C)n1cccc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910171097 709796740 /nfs/dbraw/zinc/79/67/40/709796740.db2.gz SWKQRGOPZDCAFA-ZDUSSCGKSA-N -1 1 307.394 1.690 20 0 DDADMM COc1ccc(O)cc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910170293 709796937 /nfs/dbraw/zinc/79/69/37/709796937.db2.gz JFAJFHDUGVNVEZ-LLVKDONJSA-N -1 1 322.361 1.022 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@@H]1CC=C(C(=O)[O-])C1)c1ccccc1 ZINC000910200126 709810209 /nfs/dbraw/zinc/81/02/09/709810209.db2.gz LDEDURHGUCXKJF-CABCVRRESA-N -1 1 302.374 1.969 20 0 DDADMM O=C([O-])[C@@H]1c2ccoc2CCN1C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000910204111 709812773 /nfs/dbraw/zinc/81/27/73/709812773.db2.gz AGRVMLRAPUDVEM-OTYXRUKQSA-N -1 1 315.329 1.318 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NCC1CCC2(CC2)CC1 ZINC000910302053 709876871 /nfs/dbraw/zinc/87/68/71/709876871.db2.gz BLIXGZKCFUXQDM-AWEZNQCLSA-N -1 1 308.422 1.870 20 0 DDADMM C/C=C/[C@H](O)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000901052747 709902262 /nfs/dbraw/zinc/90/22/62/709902262.db2.gz LBAPJZLSMKPZFA-FAAWYNLUSA-N -1 1 303.358 1.751 20 0 DDADMM O=C(N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1)c1ccc([O-])cc1F ZINC000890531386 709907515 /nfs/dbraw/zinc/90/75/15/709907515.db2.gz QSZQWVVBYLWBKB-SECBINFHSA-N -1 1 318.308 1.036 20 0 DDADMM CCOc1cc(C(=O)N2CC[C@@H](O)[C@H](F)C2)cc(Cl)c1[O-] ZINC000890710468 709967470 /nfs/dbraw/zinc/96/74/70/709967470.db2.gz WLRNMOWXQWRANI-GHMZBOCLSA-N -1 1 317.744 1.989 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cccc3[nH]cnc32)C[C@@]1(F)C(=O)[O-] ZINC000910552292 709996110 /nfs/dbraw/zinc/99/61/10/709996110.db2.gz FPBQNCGEIMITBL-PSLIRLAXSA-N -1 1 305.309 1.838 20 0 DDADMM CC(C)CCC[C@@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)C(=O)[O-] ZINC000910574918 710006521 /nfs/dbraw/zinc/00/65/21/710006521.db2.gz IJTHMOVHQQNSIZ-WCQYABFASA-N -1 1 307.394 1.910 20 0 DDADMM COC(=O)[C@](C)(Cn1cccn1)NC(=O)c1ccc([O-])c(F)c1 ZINC000890945126 710036710 /nfs/dbraw/zinc/03/67/10/710036710.db2.gz IFJRNFAZDNCDRA-HNNXBMFYSA-N -1 1 321.308 1.090 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCC[C@H](CC(=O)[O-])C2)C1 ZINC000901453369 710045009 /nfs/dbraw/zinc/04/50/09/710045009.db2.gz NKMULVZAMKHOAE-NQBHXWOUSA-N -1 1 305.378 1.789 20 0 DDADMM COC1(CN(C)CC(=O)N2CCC[C@H](C(=O)[O-])C2)CCCC1 ZINC000901628477 710101688 /nfs/dbraw/zinc/10/16/88/710101688.db2.gz XXXUUQWENDNULR-ZDUSSCGKSA-N -1 1 312.410 1.201 20 0 DDADMM CSC[C@H](NC(=O)CN(C)CCc1ccccc1)C(=O)[O-] ZINC000910876236 710104417 /nfs/dbraw/zinc/10/44/17/710104417.db2.gz VKXSASDWADKYJT-ZDUSSCGKSA-N -1 1 310.419 1.093 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCC(=O)CC(C)(C)C ZINC000901653729 710109697 /nfs/dbraw/zinc/10/96/97/710109697.db2.gz MQVNKWDMAHAPPF-UHFFFAOYSA-N -1 1 314.407 1.693 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])[C@H]1CCc2nnnn2CC1 ZINC000910988303 710142644 /nfs/dbraw/zinc/14/26/44/710142644.db2.gz ZIWSZOHTRKQXPB-ZETCQYMHSA-N -1 1 309.276 1.248 20 0 DDADMM O=C(C[C@@H]1CCCS1(=O)=O)Nc1cc(F)cc(F)c1[O-] ZINC000910989656 710143091 /nfs/dbraw/zinc/14/30/91/710143091.db2.gz AHVDYQIKJCBBIM-QMMMGPOBSA-N -1 1 305.302 1.576 20 0 DDADMM COC(=O)c1cc(C)cc([N-]S(=O)(=O)CC(C)(C)OC)c1 ZINC000901953440 710183640 /nfs/dbraw/zinc/18/36/40/710183640.db2.gz CYBIBCWSEUHCGW-UHFFFAOYSA-N -1 1 315.391 1.948 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)c2ccccc21 ZINC000902015540 710202266 /nfs/dbraw/zinc/20/22/66/710202266.db2.gz GGZGNHVPFVAREQ-SYQHCUMBSA-N -1 1 311.341 1.943 20 0 DDADMM Cc1noc(N)c1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000913244073 713185109 /nfs/dbraw/zinc/18/51/09/713185109.db2.gz ZHPNRRJQYWYDQO-UHFFFAOYSA-N -1 1 316.269 1.734 20 0 DDADMM Cc1nn(C)c(N2CCC2)c1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000913247636 713185628 /nfs/dbraw/zinc/18/56/28/713185628.db2.gz YNKZGSRPJVFFMM-UHFFFAOYSA-N -1 1 314.345 1.466 20 0 DDADMM CN(C(=O)c1cnc(OCC2CC2)c(Cl)c1)c1nn[n-]n1 ZINC000892954285 710531458 /nfs/dbraw/zinc/53/14/58/710531458.db2.gz HRNJNFBWRGEVTF-UHFFFAOYSA-N -1 1 308.729 1.314 20 0 DDADMM COCc1nc(N2CCC(OC[C@@H]3CCOC3)CC2)cc(=O)[n-]1 ZINC000893125298 710558863 /nfs/dbraw/zinc/55/88/63/710558863.db2.gz FCAYGYYWESOTAK-GFCCVEGCSA-N -1 1 323.393 1.351 20 0 DDADMM Cc1cc(C(=O)N2CCOc3ccccc3[C@H]2C(=O)[O-])n[nH]1 ZINC000911052734 710612014 /nfs/dbraw/zinc/61/20/14/710612014.db2.gz KAZIPJOAEBHPSM-ZDUSSCGKSA-N -1 1 301.302 1.379 20 0 DDADMM CS(=O)(=O)Cc1ccc(CNCc2ccoc2C(=O)[O-])cc1 ZINC000902142889 710632409 /nfs/dbraw/zinc/63/24/09/710632409.db2.gz KBLVBABBQFMEKO-UHFFFAOYSA-N -1 1 323.370 1.812 20 0 DDADMM Cn1cc(C[C@@H](CO)NC(=O)c2ccc(Cl)cc2[O-])cn1 ZINC000911108302 710636983 /nfs/dbraw/zinc/63/69/83/710636983.db2.gz YJZXCLVGKSSAMW-NSHDSACASA-N -1 1 309.753 1.113 20 0 DDADMM Cc1oc(C(=O)[O-])cc1C(=O)N1CCN(C2CCCC2)CC1 ZINC000911167698 710666483 /nfs/dbraw/zinc/66/64/83/710666483.db2.gz MYAZYKYKFCWSFR-UHFFFAOYSA-N -1 1 306.362 1.987 20 0 DDADMM CC(C)(C)CCN1CCN(C(=O)c2cc(C(=O)[O-])ccn2)CC1 ZINC000911247314 710708027 /nfs/dbraw/zinc/70/80/27/710708027.db2.gz XCMFDBGOCUXVMN-UHFFFAOYSA-N -1 1 319.405 1.974 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOC[C@@H]1C1CCC1 ZINC000911541655 710843738 /nfs/dbraw/zinc/84/37/38/710843738.db2.gz HQBSTZIWYDIAKU-CYBMUJFWSA-N -1 1 303.362 1.701 20 0 DDADMM O=C([O-])c1ccc(C(=O)NC[C@@H]2CCCCN2CCO)c(F)c1 ZINC000911555394 710850905 /nfs/dbraw/zinc/85/09/05/710850905.db2.gz OMUIIVMRWKEYOU-LBPRGKRZSA-N -1 1 324.352 1.101 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)CCS1 ZINC000902974147 710981567 /nfs/dbraw/zinc/98/15/67/710981567.db2.gz XYBFECQRRFZOKU-VHSXEESVSA-N -1 1 303.387 1.937 20 0 DDADMM Cc1cc(C)c(CC(=O)N2CCOC[C@H]2c2nn[n-]n2)s1 ZINC000913494451 713237206 /nfs/dbraw/zinc/23/72/06/713237206.db2.gz ZJATYISGITZPIN-JTQLQIEISA-N -1 1 307.379 1.021 20 0 DDADMM C[C@H](Cc1ccc(F)cc1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494599 713237296 /nfs/dbraw/zinc/23/72/96/713237296.db2.gz GEFRWGHKASMNGD-MFKMUULPSA-N -1 1 319.340 1.118 20 0 DDADMM O=C(N[C@@H]([C@H]1CCCO1)C1(CO)CCC1)c1c([O-])cccc1F ZINC000912218174 711227545 /nfs/dbraw/zinc/22/75/45/711227545.db2.gz QEJVSODMDWGCMH-HIFRSBDPSA-N -1 1 323.364 1.971 20 0 DDADMM CSc1nc(CNC(=O)C[C@H](C)n2ccc(C)n2)cc(=O)[n-]1 ZINC000912241502 711239892 /nfs/dbraw/zinc/23/98/92/711239892.db2.gz ZEPHSLHVODIWSK-JTQLQIEISA-N -1 1 321.406 1.677 20 0 DDADMM O=C([C@@H]1CCC[C@@H]2CCCC[C@@H]12)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913497568 713238903 /nfs/dbraw/zinc/23/89/03/713238903.db2.gz LSMQJMKPLCHZJA-REWJHTLYSA-N -1 1 319.409 1.706 20 0 DDADMM O=C(c1cc2ccncc2s1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496769 713238972 /nfs/dbraw/zinc/23/89/72/713238972.db2.gz CCLPMKRKZCGCSX-SECBINFHSA-N -1 1 316.346 1.023 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)NCc1ccc(C)cc1 ZINC000903711746 711250025 /nfs/dbraw/zinc/25/00/25/711250025.db2.gz JDYNNLWUBBCPEN-CQSZACIVSA-N -1 1 322.405 1.423 20 0 DDADMM COC[C@@H](CCNC(=O)c1c([O-])cnc2c(F)cccc21)OC ZINC000912292978 711263518 /nfs/dbraw/zinc/26/35/18/711263518.db2.gz RHIFTBPPQXMIPS-SNVBAGLBSA-N -1 1 322.336 1.861 20 0 DDADMM O=C([O-])C[C@H]1COCCN1C[C@@H](O)CCOCc1ccccc1 ZINC000903900306 711322973 /nfs/dbraw/zinc/32/29/73/711322973.db2.gz DBVPZKRJQPGFIT-HOTGVXAUSA-N -1 1 323.389 1.130 20 0 DDADMM COc1ccc(CN[C@H](C(=O)[O-])c2cnn(C)c2C)cc1F ZINC000904047935 711369823 /nfs/dbraw/zinc/36/98/23/711369823.db2.gz KLGIMZCBCXOOQR-AWEZNQCLSA-N -1 1 307.325 1.792 20 0 DDADMM CN(C)c1ccc(F)cc1CNCc1cnn(C)c1C(=O)[O-] ZINC000904067668 711373717 /nfs/dbraw/zinc/37/37/17/711373717.db2.gz KNZQWNGNKMZFAB-UHFFFAOYSA-N -1 1 306.341 1.613 20 0 DDADMM CN1CC[C@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C1=O ZINC000896507081 711734858 /nfs/dbraw/zinc/73/48/58/711734858.db2.gz SNGYDCLIKJKHBN-ZDUSSCGKSA-N -1 1 300.314 1.212 20 0 DDADMM COc1cnc(C=Cc2c3c(nn2C)CCSC3)[n-]c1=O ZINC000905076593 711928689 /nfs/dbraw/zinc/92/86/89/711928689.db2.gz ISOOTFWDRKQHJH-ONEGZZNKSA-N -1 1 304.375 1.884 20 0 DDADMM CC[C@](CC(=O)[O-])(C(=O)NC[C@@H]1CCN1C)c1ccc(C)cc1 ZINC000905131796 711946347 /nfs/dbraw/zinc/94/63/47/711946347.db2.gz VVYVXACRHNRIIW-MAUKXSAKSA-N -1 1 318.417 1.938 20 0 DDADMM O=C(c1ccc(-n2ccnc2)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742644 713292176 /nfs/dbraw/zinc/29/21/76/713292176.db2.gz NNRZLGKHSFJJFU-UHFFFAOYSA-N -1 1 323.360 1.405 20 0 DDADMM O=C(c1cc2ccsc2[nH]1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742684 713292227 /nfs/dbraw/zinc/29/22/27/713292227.db2.gz PPYHBIRCZHBJER-UHFFFAOYSA-N -1 1 302.363 1.762 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H](N)c1cccc(C(F)(F)F)c1)C(=O)[O-] ZINC000905288650 711993686 /nfs/dbraw/zinc/99/36/86/711993686.db2.gz DBAPNKPPAKZTAT-KWQFWETISA-N -1 1 318.295 1.932 20 0 DDADMM CCO[C@@H](C(=O)N1CCC(c2nn[n-]n2)CC1)C1CCCC1 ZINC000913746595 713294433 /nfs/dbraw/zinc/29/44/33/713294433.db2.gz BMKBHMAVNWDJSX-CYBMUJFWSA-N -1 1 307.398 1.501 20 0 DDADMM CCCS(=O)(=O)CCN[C@H](C(=O)[O-])c1cc(C)cc(C)c1 ZINC000905391067 712025515 /nfs/dbraw/zinc/02/55/15/712025515.db2.gz MGDWPJHSUMXIJN-AWEZNQCLSA-N -1 1 313.419 1.844 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)Cc2noc3c2CCCC3)on1 ZINC000913972607 713326825 /nfs/dbraw/zinc/32/68/25/713326825.db2.gz VWNDSGFXDUZPDD-UHFFFAOYSA-N -1 1 311.363 1.469 20 0 DDADMM C[C@]1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCO[C@@H]1C1CC1 ZINC000907430735 712569907 /nfs/dbraw/zinc/56/99/07/712569907.db2.gz BHHVUYWAVVWBGT-CXAGYDPISA-N -1 1 317.389 1.995 20 0 DDADMM C[C@@H](CC(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccncc1 ZINC000907472857 712581336 /nfs/dbraw/zinc/58/13/36/712581336.db2.gz DKDNXJIXBKLZBM-JQWIXIFHSA-N -1 1 318.406 1.405 20 0 DDADMM O=C([C@@H]1CCOC2(CCC2)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907476388 712582226 /nfs/dbraw/zinc/58/22/26/712582226.db2.gz MVVBGUWUARLFTN-MNOVXSKESA-N -1 1 323.422 1.166 20 0 DDADMM CCCc1[nH]ccc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479807 712583278 /nfs/dbraw/zinc/58/32/78/712583278.db2.gz IKIVQMFRLDVKPF-NSHDSACASA-N -1 1 306.395 1.411 20 0 DDADMM CCc1cccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)n1 ZINC000907481279 712583779 /nfs/dbraw/zinc/58/37/79/712583779.db2.gz UZGALSODYCBQCK-NSHDSACASA-N -1 1 304.379 1.087 20 0 DDADMM O=C([O-])[C@@]1(C(=O)N2CCc3nc[nH]c3C2)C[C@@H]1c1ccccc1 ZINC000907818563 712630620 /nfs/dbraw/zinc/63/06/20/712630620.db2.gz KXHWSRMFYIEHOL-PXAZEXFGSA-N -1 1 311.341 1.553 20 0 DDADMM CC(C)(NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CCOCC1 ZINC000907823344 712631355 /nfs/dbraw/zinc/63/13/55/712631355.db2.gz LWECPIWDARWLQZ-UHFFFAOYSA-N -1 1 305.378 1.995 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@@]1(C(=O)[O-])C[C@H]1c1ccccc1 ZINC000907825150 712631640 /nfs/dbraw/zinc/63/16/40/712631640.db2.gz IMJPYGOGFZIETE-XHDPSFHLSA-N -1 1 300.318 1.022 20 0 DDADMM C[C@H]1OCC[C@]1(O)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907843779 712634708 /nfs/dbraw/zinc/63/47/08/712634708.db2.gz BECSPVOXRZVABL-ABKXIKBNSA-N -1 1 320.320 1.349 20 0 DDADMM C[C@H](O)C[C@@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907854363 712636046 /nfs/dbraw/zinc/63/60/46/712636046.db2.gz DYNMCJNKUWZFOF-JQWIXIFHSA-N -1 1 305.378 1.825 20 0 DDADMM CC(C)(C)CCCCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907940644 712652145 /nfs/dbraw/zinc/65/21/45/712652145.db2.gz KTUKRMZJXNYNOM-UHFFFAOYSA-N -1 1 309.414 1.616 20 0 DDADMM O=C(CCC1CCCCCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907941954 712652681 /nfs/dbraw/zinc/65/26/81/712652681.db2.gz UCKAIUZFFASLTB-UHFFFAOYSA-N -1 1 321.425 1.760 20 0 DDADMM CCCCC[C@H](CC)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907944599 712652881 /nfs/dbraw/zinc/65/28/81/712652881.db2.gz WTYQHVYHYHNWMS-LBPRGKRZSA-N -1 1 309.414 1.616 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2C[C@H]3CCC[C@H]32)c1C(F)(F)F ZINC000907958650 712655233 /nfs/dbraw/zinc/65/52/33/712655233.db2.gz LZBZIQFVUSVYHE-IWSPIJDZSA-N -1 1 323.340 1.906 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NC[C@@H](CC1CCCC1)C(=O)[O-] ZINC000908294687 712730633 /nfs/dbraw/zinc/73/06/33/712730633.db2.gz YQRYYLASEIUFNS-DGCLKSJQSA-N -1 1 307.394 1.986 20 0 DDADMM C[C@@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)Oc1ccccc1 ZINC000908738751 712845058 /nfs/dbraw/zinc/84/50/58/712845058.db2.gz HKFVGTNYMHAQMA-KBPBESRZSA-N -1 1 320.389 1.367 20 0 DDADMM CC[C@@](C)(NC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1)C(=O)[O-] ZINC000908768161 712850767 /nfs/dbraw/zinc/85/07/67/712850767.db2.gz GMEKIDPDZQBWMO-TYNCELHUSA-N -1 1 315.414 1.038 20 0 DDADMM CC1(C)[C@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)[C@@H]2CCCO[C@@H]21 ZINC000908824561 712862568 /nfs/dbraw/zinc/86/25/68/712862568.db2.gz IFCDAZAPTZNWJZ-VIRABCJISA-N -1 1 324.421 1.103 20 0 DDADMM CN(C(=O)N[C@@H]1CCCN(C)C1)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000908869824 712874807 /nfs/dbraw/zinc/87/48/07/712874807.db2.gz HIHVVGOSLMGRJJ-HUUCEWRRSA-N -1 1 319.405 1.418 20 0 DDADMM Cn1nccc1CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000914148286 713348179 /nfs/dbraw/zinc/34/81/79/713348179.db2.gz NVNYHYVTNOLVOZ-UHFFFAOYSA-N -1 1 310.304 1.446 20 0 DDADMM CN(CC(=O)N[C@](C)(C(=O)[O-])c1ccccc1)[C@@H]1CCSC1 ZINC000908933447 712890696 /nfs/dbraw/zinc/89/06/96/712890696.db2.gz PIVSQQSZBCBIDK-CJNGLKHVSA-N -1 1 322.430 1.540 20 0 DDADMM CC(C)O[C@]1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCOC1 ZINC000928752654 713477324 /nfs/dbraw/zinc/47/73/24/713477324.db2.gz UWXZJZFJRWXGKO-INIZCTEOSA-N -1 1 321.377 1.374 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)NC1CCCC1 ZINC000929114387 713549132 /nfs/dbraw/zinc/54/91/32/713549132.db2.gz ADJWLKHOYPBUEE-UHFFFAOYSA-N -1 1 312.316 1.388 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CC=C(Cl)Cl)nc1Cl ZINC000920043719 713646933 /nfs/dbraw/zinc/64/69/33/713646933.db2.gz MKDXXDUOIMDBTO-UHFFFAOYSA-N -1 1 304.586 1.671 20 0 DDADMM O=S(=O)([N-]Cc1nc2c(s1)CCCC2)c1ccns1 ZINC000921593000 713816441 /nfs/dbraw/zinc/81/64/41/713816441.db2.gz LXXVIBASZVQQDN-UHFFFAOYSA-N -1 1 315.445 1.957 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C(F)(F)F)s1 ZINC000921615969 713822772 /nfs/dbraw/zinc/82/27/72/713822772.db2.gz AJFDEUSUUOIMRB-NKWVEPMBSA-N -1 1 300.327 1.928 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@]2(C)CCCCO2)c1 ZINC000921675866 713838876 /nfs/dbraw/zinc/83/88/76/713838876.db2.gz QJZMHUJMQVVBFL-ZDUSSCGKSA-N -1 1 317.363 1.304 20 0 DDADMM O=S(=O)([N-]CCO[C@@H]1CCOC1)c1cccc(F)c1F ZINC000921884228 713896433 /nfs/dbraw/zinc/89/64/33/713896433.db2.gz JZITXDNYLCYWQB-SECBINFHSA-N -1 1 307.318 1.049 20 0 DDADMM C[C@@H]1[C@H](C)NC(=O)[C@H](C)N1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000930838564 713933822 /nfs/dbraw/zinc/93/38/22/713933822.db2.gz ZYZCDWHYEXWKQB-AXFHLTTASA-N -1 1 316.357 1.596 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCCOC1CC1 ZINC000922393218 714040285 /nfs/dbraw/zinc/04/02/85/714040285.db2.gz QRDKMDKNILSQKW-UHFFFAOYSA-N -1 1 313.375 1.784 20 0 DDADMM COCC(COC)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922729370 714130282 /nfs/dbraw/zinc/13/02/82/714130282.db2.gz HZFOJAAGAKXBGG-UHFFFAOYSA-N -1 1 318.320 1.174 20 0 DDADMM C[C@H]1C[C@@H](O)CN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932049228 714246157 /nfs/dbraw/zinc/24/61/57/714246157.db2.gz HNDHFRIBQOSPTI-GXFFZTMASA-N -1 1 302.334 1.565 20 0 DDADMM C[C@@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC[C@@H]1O ZINC000932049492 714246210 /nfs/dbraw/zinc/24/62/10/714246210.db2.gz RURJQEUFIXBQNP-RISCZKNCSA-N -1 1 316.361 1.813 20 0 DDADMM CCN(C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H](C)CO ZINC000932052794 714246831 /nfs/dbraw/zinc/24/68/31/714246831.db2.gz DRDKNWCXJGPVNX-NSHDSACASA-N -1 1 304.350 1.813 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CC[C@@]2(O)C[C@H]2C1 ZINC000932056907 714248442 /nfs/dbraw/zinc/24/84/42/714248442.db2.gz CHYWHJBMYANJCM-MEDUHNTESA-N -1 1 314.345 1.567 20 0 DDADMM COC(=O)c1cncc(CN2CCC[C@H](CCC(=O)[O-])C2)c1 ZINC000923247444 714283525 /nfs/dbraw/zinc/28/35/25/714283525.db2.gz FXNQGINDWSTUKN-GFCCVEGCSA-N -1 1 306.362 1.945 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C1(C2CC2)CCC1 ZINC000923337721 714320039 /nfs/dbraw/zinc/32/00/39/714320039.db2.gz SDOOKZOSZGSVPD-UHFFFAOYSA-N -1 1 321.295 1.748 20 0 DDADMM CCCCCNC(=O)CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934281310 714786197 /nfs/dbraw/zinc/78/61/97/714786197.db2.gz GVBLQFXSRJOYPG-GFCCVEGCSA-N -1 1 322.409 1.634 20 0 DDADMM CC(C)S(=O)(=O)C=C([O-])N=[S@]1(=O)CCc2ccccc21 ZINC000926041525 714995941 /nfs/dbraw/zinc/99/59/41/714995941.db2.gz UXJGACLZNPECKD-IBGZPJMESA-N -1 1 315.416 1.419 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1ccc(CN2CCCCC2)o1 ZINC000935272177 715017461 /nfs/dbraw/zinc/01/74/61/715017461.db2.gz LSFRIIKXXDIAHM-UHFFFAOYSA-N -1 1 306.366 1.326 20 0 DDADMM CCCCS(=O)(=O)CC(=O)Nc1nc(Cl)ccc1[O-] ZINC000935597637 715097741 /nfs/dbraw/zinc/09/77/41/715097741.db2.gz HAKGWMSDBXUTSO-UHFFFAOYSA-N -1 1 306.771 1.594 20 0 DDADMM CC[C@](C)(O)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000935988612 715142369 /nfs/dbraw/zinc/14/23/69/715142369.db2.gz ASWFUPNXNLGQGQ-YVNDNENWSA-N -1 1 324.343 1.451 20 0 DDADMM CCC(=O)N1CC[C@H](N(C)C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000936295822 715173290 /nfs/dbraw/zinc/17/32/90/715173290.db2.gz YSQKRVDAKSBRAX-NSHDSACASA-N -1 1 318.377 1.143 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2CCCC2)C1 ZINC000936334832 715182336 /nfs/dbraw/zinc/18/23/36/715182336.db2.gz ANRZWDCQABGPDM-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM CN(C(=O)C(C)(C)F)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937212825 715293126 /nfs/dbraw/zinc/29/31/26/715293126.db2.gz ZMFNPAIQYXNTRD-SNVBAGLBSA-N -1 1 309.341 1.208 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216411 715293613 /nfs/dbraw/zinc/29/36/13/715293613.db2.gz BRXVCCDDMCQSKS-FRRDWIJNSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1c[nH]cc1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954313067 715334080 /nfs/dbraw/zinc/33/40/80/715334080.db2.gz UFAPYAWFJBPXCN-UHFFFAOYSA-N -1 1 314.345 1.020 20 0 DDADMM O=C(C[C@@H]1C=CCC1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937860089 715616103 /nfs/dbraw/zinc/61/61/03/715616103.db2.gz CFTMVXBTPIHFDL-OLZOCXBDSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000955979272 716047566 /nfs/dbraw/zinc/04/75/66/716047566.db2.gz CXANNHQNVNFESR-GRYCIOLGSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1cc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)cs1 ZINC000939305452 716187855 /nfs/dbraw/zinc/18/78/55/716187855.db2.gz APJYRFRNGSENIW-LDYMZIIASA-N -1 1 321.406 1.131 20 0 DDADMM O=C(C[C@@H]1C=CCC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959871770 716329016 /nfs/dbraw/zinc/32/90/16/716329016.db2.gz DQBKCTYAPIASSR-OLZOCXBDSA-N -1 1 319.409 1.337 20 0 DDADMM CC[C@@H](SC)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940131375 716642476 /nfs/dbraw/zinc/64/24/76/716642476.db2.gz CWDMSJMNQNWROB-ZYHUDNBSSA-N -1 1 323.418 1.260 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C(F)F)C1 ZINC000958986175 716796361 /nfs/dbraw/zinc/79/63/61/716796361.db2.gz AFYIAOFVTOJZOL-BDAKNGLRSA-N -1 1 313.304 1.019 20 0 DDADMM CC(C)C(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964433396 717261834 /nfs/dbraw/zinc/26/18/34/717261834.db2.gz STAMAHGQZNARMM-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(N[C@H]1CC12CCN(C(=O)c1ncccc1[O-])CC2)C1CC1 ZINC000962373161 717429334 /nfs/dbraw/zinc/42/93/34/717429334.db2.gz WUHMMFCVJOAONW-ZDUSSCGKSA-N -1 1 315.373 1.308 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)C2CCC2)CC1)c1ncccc1[O-] ZINC000964851203 717454006 /nfs/dbraw/zinc/45/40/06/717454006.db2.gz WGOOOTLEWFQYST-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1coc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)c1 ZINC000942222291 717563122 /nfs/dbraw/zinc/56/31/22/717563122.db2.gz TXEUOOYFDJBIDH-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM CCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000966031846 717891494 /nfs/dbraw/zinc/89/14/94/717891494.db2.gz YYTWUFPIWPAQCD-SKDRFNHKSA-N -1 1 318.377 1.046 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])c1cc[nH]c1 ZINC000943978868 718236600 /nfs/dbraw/zinc/23/66/00/718236600.db2.gz HRVFHWXHJILRJV-GFCCVEGCSA-N -1 1 314.345 1.150 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC000945277163 718434931 /nfs/dbraw/zinc/43/49/31/718434931.db2.gz FECAFLAQNIBGLB-NWDGAFQWSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1CCCC1 ZINC000966341647 718520794 /nfs/dbraw/zinc/52/07/94/718520794.db2.gz AVKZNXUYFHMJNQ-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)C2(C)CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966490583 718566638 /nfs/dbraw/zinc/56/66/38/718566638.db2.gz LLECOSWOKQBORZ-MNOVXSKESA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)C(=O)N[C@H]1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946362169 718761765 /nfs/dbraw/zinc/76/17/65/718761765.db2.gz MYIAZTSJPNWGLG-OLZOCXBDSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)(F)C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946532821 718820624 /nfs/dbraw/zinc/82/06/24/718820624.db2.gz DIPNRTFUSWKYIY-UHFFFAOYSA-N -1 1 309.341 1.256 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC2(CC1)CN(CCF)CCO2 ZINC000949348607 720032682 /nfs/dbraw/zinc/03/26/82/720032682.db2.gz FZEFFVHZNXEAJT-UHFFFAOYSA-N -1 1 323.368 1.064 20 0 DDADMM Cc1ncc(CN(C)[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC000971398067 721277876 /nfs/dbraw/zinc/27/78/76/721277876.db2.gz ULRCLPOYOGJJQL-GFCCVEGCSA-N -1 1 316.361 1.430 20 0 DDADMM CC(=O)N1CC[C@H]([C@H]2CCCCN2C(=O)c2ncccc2[O-])C1 ZINC000952349241 721416867 /nfs/dbraw/zinc/41/68/67/721416867.db2.gz BZBBZKFVIOXWGP-UONOGXRCSA-N -1 1 317.389 1.650 20 0 DDADMM CCC(=O)N1CC2(C1)CCCN(C(=O)c1ncccc1[O-])C2 ZINC001010441227 732326650 /nfs/dbraw/zinc/32/66/50/732326650.db2.gz MZURLVUUJCSPKD-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM CCCC(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001020671891 732619666 /nfs/dbraw/zinc/61/96/66/732619666.db2.gz RQWMJUUANKDPQF-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM Nc1cc(F)ccc1S(=O)(=O)[N-]c1cc(F)ccc1CO ZINC001210187642 732965372 /nfs/dbraw/zinc/96/53/72/732965372.db2.gz DAPMHFOJBMQEIG-UHFFFAOYSA-N -1 1 314.313 1.840 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021442677 733207801 /nfs/dbraw/zinc/20/78/01/733207801.db2.gz TYVWJGHYKIKTQJ-CJULTGEBSA-N -1 1 315.373 1.426 20 0 DDADMM O=S(=O)([N-]CCC1(O)CCC1)c1ccc(Cl)nc1F ZINC000692870201 738708883 /nfs/dbraw/zinc/70/88/83/738708883.db2.gz BDCFMTVFMDEMCY-UHFFFAOYSA-N -1 1 308.762 1.458 20 0 DDADMM O=C(NC[C@@H]1CCCN1Cc1ccns1)c1ncccc1[O-] ZINC001027844720 738729167 /nfs/dbraw/zinc/72/91/67/738729167.db2.gz WMDSVFZPXAWGIH-NSHDSACASA-N -1 1 318.402 1.638 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@H](O)C1)c1ccc(Cl)nc1F ZINC000692878328 738735750 /nfs/dbraw/zinc/73/57/50/738735750.db2.gz FEMGZZBDKXEBCF-IUCAKERBSA-N -1 1 322.789 1.704 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC(c2ccccc2)CC1 ZINC001121268501 782433223 /nfs/dbraw/zinc/43/32/23/782433223.db2.gz PGBBTHOSFXWYTJ-UHFFFAOYSA-N -1 1 310.365 1.379 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC(c2ccccc2)CC1 ZINC001121268501 782433225 /nfs/dbraw/zinc/43/32/25/782433225.db2.gz PGBBTHOSFXWYTJ-UHFFFAOYSA-N -1 1 310.365 1.379 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167576740 734753390 /nfs/dbraw/zinc/75/33/90/734753390.db2.gz CPLPXVFIFNUTKW-RYUDHWBXSA-N -1 1 321.425 1.179 20 0 DDADMM CCC(=O)N1CCCC[C@H]1[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001025186790 736346734 /nfs/dbraw/zinc/34/67/34/736346734.db2.gz YVYBLOLBDARGIT-NEPJUHHUSA-N -1 1 307.398 1.266 20 0 DDADMM O=S(=O)([O-])c1cccc(NCCN2CCSCC2)c1 ZINC001167837417 738997977 /nfs/dbraw/zinc/99/79/77/738997977.db2.gz QWUDPQXVOMARDM-UHFFFAOYSA-N -1 1 302.421 1.394 20 0 DDADMM C/C=C(\C)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088291434 741337720 /nfs/dbraw/zinc/33/77/20/741337720.db2.gz HBWICZFVBBZIDL-NDZKXSSTSA-N -1 1 307.398 1.337 20 0 DDADMM CCC1(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001029811688 741339684 /nfs/dbraw/zinc/33/96/84/741339684.db2.gz KDGNYHRDHHXMCE-GFCCVEGCSA-N -1 1 321.425 1.371 20 0 DDADMM CCOC(=O)c1nnsc1[N-]c1cnc(N2CCCC2)nc1 ZINC001211926113 741856348 /nfs/dbraw/zinc/85/63/48/741856348.db2.gz WLOSXWSGOFZBOJ-UHFFFAOYSA-N -1 1 320.378 1.849 20 0 DDADMM COC(=O)[C@@H]1C[C@H](Nc2ccc([P@@](=O)([O-])O)cc2)C1(C)C ZINC001168341647 742203640 /nfs/dbraw/zinc/20/36/40/742203640.db2.gz YQDZTOFEAXTNPC-RYUDHWBXSA-N -1 1 313.290 1.489 20 0 DDADMM COC(=O)[C@@H]1C[C@H](Nc2ccc([P@](=O)([O-])O)cc2)C1(C)C ZINC001168341647 742203643 /nfs/dbraw/zinc/20/36/43/742203643.db2.gz YQDZTOFEAXTNPC-RYUDHWBXSA-N -1 1 313.290 1.489 20 0 DDADMM C[C@@H](CCNC(=O)c1ccn(C)c1)NC(=O)c1ncccc1[O-] ZINC001076147033 742567328 /nfs/dbraw/zinc/56/73/28/742567328.db2.gz QNNQDADUXKZRBO-NSHDSACASA-N -1 1 316.361 1.064 20 0 DDADMM C[C@@H](CCNC(=O)[C@H]1CC1(C)C)NC(=O)c1ncccc1[O-] ZINC001076176477 742585913 /nfs/dbraw/zinc/58/59/13/742585913.db2.gz PVSPTBXFAIDVLR-WDEREUQCSA-N -1 1 305.378 1.458 20 0 DDADMM COCC[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076248297 742620115 /nfs/dbraw/zinc/62/01/15/742620115.db2.gz HPALSWZNGAKXJU-NEPJUHHUSA-N -1 1 323.393 1.084 20 0 DDADMM CC[C@H](C)CC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244995 742624751 /nfs/dbraw/zinc/62/47/51/742624751.db2.gz JJDPUPWNJOFHQC-RYUDHWBXSA-N -1 1 307.394 1.848 20 0 DDADMM C[C@@H](CCNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)C1CC1 ZINC001076564378 742785825 /nfs/dbraw/zinc/78/58/25/742785825.db2.gz NWNAPDUGMJLKAW-VIFPVBQESA-N -1 1 318.377 1.094 20 0 DDADMM CSc1nc(NC(=O)CN(C)c2ccccc2)cc(=O)[n-]1 ZINC001180943401 742990364 /nfs/dbraw/zinc/99/03/64/742990364.db2.gz KMXFHTSXWWNQFD-UHFFFAOYSA-N -1 1 304.375 1.979 20 0 DDADMM CCCC[C@H](CC)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001181145115 743083969 /nfs/dbraw/zinc/08/39/69/743083969.db2.gz UPUKAUJWBPWGJL-NWDGAFQWSA-N -1 1 309.414 1.417 20 0 DDADMM CCCC[C@@H](CC)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001181145116 743084798 /nfs/dbraw/zinc/08/47/98/743084798.db2.gz UPUKAUJWBPWGJL-VXGBXAGGSA-N -1 1 309.414 1.417 20 0 DDADMM Cc1cccc(-c2ccc(C(=O)NN3CC(=O)[N-]C3=O)n2C)c1 ZINC001182303137 743559093 /nfs/dbraw/zinc/55/90/93/743559093.db2.gz NXRUZAKWCGXGDS-UHFFFAOYSA-N -1 1 312.329 1.197 20 0 DDADMM COc1cnc(-c2noc(-c3ncccc3[O-])n2)c(OC)c1 ZINC001212482335 743607048 /nfs/dbraw/zinc/60/70/48/743607048.db2.gz JOHWOJDTMQIMGP-UHFFFAOYSA-N -1 1 300.274 1.916 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)Cc1occ2ccccc21 ZINC001182497597 743649094 /nfs/dbraw/zinc/64/90/94/743649094.db2.gz GYNIZZWSZMVQNA-UHFFFAOYSA-N -1 1 300.274 1.397 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C(=O)c3ccc(Cl)cc3)c1-2 ZINC001182892037 743746774 /nfs/dbraw/zinc/74/67/74/743746774.db2.gz KLUPJAYRSKYWFL-UHFFFAOYSA-N -1 1 315.720 1.510 20 0 DDADMM C[C@H](OCc1ccccc1)C(=O)NCCCC[P@](=O)([O-])O ZINC001183495170 743847513 /nfs/dbraw/zinc/84/75/13/743847513.db2.gz MYCXINNPSMTHFC-LBPRGKRZSA-N -1 1 315.306 1.666 20 0 DDADMM CC1(C)CO[C@@H](CN2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC001030241243 743976867 /nfs/dbraw/zinc/97/68/67/743976867.db2.gz ATMQRWSHTYJAGN-GFCCVEGCSA-N -1 1 305.378 1.016 20 0 DDADMM O=C(NC1CN(CCC[C@@H]2CCOC2)C1)c1ncccc1[O-] ZINC001030241595 743977450 /nfs/dbraw/zinc/97/74/50/743977450.db2.gz IVCFZRBQJSLOQM-GFCCVEGCSA-N -1 1 305.378 1.018 20 0 DDADMM C[C@@H](C(=O)NCCCC[P@](=O)([O-])O)c1ccc(O)cc1 ZINC001184283134 744015800 /nfs/dbraw/zinc/01/58/00/744015800.db2.gz BZSSCZWGKYMITD-SNVBAGLBSA-N -1 1 301.279 1.570 20 0 DDADMM COc1ccnc(NS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2)c1 ZINC001184660038 744078969 /nfs/dbraw/zinc/07/89/69/744078969.db2.gz SUHAMPQOHCIDLF-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)Nc1cccnc1CO ZINC001185878157 744307214 /nfs/dbraw/zinc/30/72/14/744307214.db2.gz ZINADAMCKLECSF-UHFFFAOYSA-N -1 1 322.342 1.381 20 0 DDADMM CCOC(=O)[C@@H]1CCN(C(=O)c2ccc([O-])cc2F)CCC1=O ZINC001186327833 744375425 /nfs/dbraw/zinc/37/54/25/744375425.db2.gz SSBNUXQJHBIPOS-GFCCVEGCSA-N -1 1 323.320 1.516 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc2c(c1)CN(CC)C2=O ZINC001187288015 744535015 /nfs/dbraw/zinc/53/50/15/744535015.db2.gz WBTWOSRTFIHUKJ-UHFFFAOYSA-N -1 1 312.391 1.441 20 0 DDADMM COc1ccc([C@@H]([N-]S(=O)(=O)c2cnoc2C)C2CC2)nc1 ZINC001187414843 744559416 /nfs/dbraw/zinc/55/94/16/744559416.db2.gz UVEKPIOCUBJCIW-AWEZNQCLSA-N -1 1 323.374 1.816 20 0 DDADMM COc1cnc(Cl)c(NC(=O)c2n[n-]nc2C(F)(F)F)n1 ZINC001187758906 744605968 /nfs/dbraw/zinc/60/59/68/744605968.db2.gz NEOZQSWDSAWIGN-UHFFFAOYSA-N -1 1 322.634 1.528 20 0 DDADMM O=C(Nc1ccc2[nH]c(=O)cnc2c1)c1ncc(C(F)(F)F)[n-]1 ZINC001188289463 744678839 /nfs/dbraw/zinc/67/88/39/744678839.db2.gz WUSLGAAHFIIYDY-UHFFFAOYSA-N -1 1 323.234 1.917 20 0 DDADMM O=C(Nc1ccc2[nH]c(=O)cnc2c1)c1nc(C(F)(F)F)c[n-]1 ZINC001188289463 744678842 /nfs/dbraw/zinc/67/88/42/744678842.db2.gz WUSLGAAHFIIYDY-UHFFFAOYSA-N -1 1 323.234 1.917 20 0 DDADMM COc1cscc1C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001188312644 744688398 /nfs/dbraw/zinc/68/83/98/744688398.db2.gz OHZQVDQZWGATEV-UHFFFAOYSA-N -1 1 307.291 1.038 20 0 DDADMM O=C(N[C@H]1SC(=O)[N-]C1=O)c1ccc(O)c(C(F)(F)F)c1 ZINC001188589001 744732866 /nfs/dbraw/zinc/73/28/66/744732866.db2.gz KNWVPHAOEYDBSU-VIFPVBQESA-N -1 1 320.248 1.450 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc(-c2ccccn2)n[nH]1 ZINC001189480363 744911282 /nfs/dbraw/zinc/91/12/82/744911282.db2.gz PBMZMICHSDYEMA-UHFFFAOYSA-N -1 1 324.277 1.159 20 0 DDADMM CC(=O)[C@H]1CCN(C(=O)c2cnc(-c3ccccc3)[n-]c2=O)C1 ZINC001190270851 745169868 /nfs/dbraw/zinc/16/98/68/745169868.db2.gz QWOLRVJWDMGRQA-ZDUSSCGKSA-N -1 1 311.341 1.900 20 0 DDADMM Cc1oncc1C[N-]S(=O)(=O)c1cc(F)cc(F)c1F ZINC001190348852 745188686 /nfs/dbraw/zinc/18/86/86/745188686.db2.gz CIIRLIBIDYDYEQ-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM O=C(c1cnc(-c2ccccn2)[n-]c1=O)N1CCCC12COC2 ZINC001190620351 745261272 /nfs/dbraw/zinc/26/12/72/745261272.db2.gz ROBFRLFPDHKPNP-UHFFFAOYSA-N -1 1 312.329 1.249 20 0 DDADMM CCCOCCNC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190628993 745278680 /nfs/dbraw/zinc/27/86/80/745278680.db2.gz IJFBKXAVHAYCOL-UHFFFAOYSA-N -1 1 302.334 1.401 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H](c3ncc[nH]3)C2)c(=O)[n-]1 ZINC001191430532 745510660 /nfs/dbraw/zinc/51/06/60/745510660.db2.gz IFQAUQMYAKSKND-SECBINFHSA-N -1 1 319.390 1.647 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1[nH]ncc1-c1ccccn1 ZINC001191497530 745524885 /nfs/dbraw/zinc/52/48/85/745524885.db2.gz OSNWPYGQLRPQPV-UHFFFAOYSA-N -1 1 322.288 1.096 20 0 DDADMM Cc1cc([N-]C(=O)c2noc(C)n2)n(C(=O)OC(C)(C)C)n1 ZINC001191982811 745658048 /nfs/dbraw/zinc/65/80/48/745658048.db2.gz JNTPJDPAUGTTEX-UHFFFAOYSA-N -1 1 307.310 1.918 20 0 DDADMM O=S(=O)([N-]CCc1cccnc1)C(Cl)(Cl)Cl ZINC001191997295 745662085 /nfs/dbraw/zinc/66/20/85/745662085.db2.gz RNLNTBRKHROJCJ-UHFFFAOYSA-N -1 1 303.598 1.871 20 0 DDADMM CCOC(=O)C[C@@H](C)[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192042499 745680382 /nfs/dbraw/zinc/68/03/82/745680382.db2.gz FFROMUIAVBWBLM-RXMQYKEDSA-N -1 1 312.602 1.575 20 0 DDADMM Cc1nc(CC[N-]S(=O)(=O)C(Cl)(Cl)Cl)no1 ZINC001192043711 745681241 /nfs/dbraw/zinc/68/12/41/745681241.db2.gz BWSOXLBXEFZBCC-UHFFFAOYSA-N -1 1 308.574 1.168 20 0 DDADMM CC(C)(C)c1ccc(C(=O)Nc2c[nH]nc2C(N)=O)c([O-])c1 ZINC001192314493 745751012 /nfs/dbraw/zinc/75/10/12/745751012.db2.gz RTHUKZWHQDHWCC-UHFFFAOYSA-N -1 1 302.334 1.764 20 0 DDADMM COC(=O)c1ncccc1C(=O)Nc1ccc2[nH][n-]c(=O)c2c1 ZINC001192499245 745797417 /nfs/dbraw/zinc/79/74/17/745797417.db2.gz HMUIQMPLCFBSGI-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM Cc1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)nc(Cl)n1 ZINC001192802910 745898679 /nfs/dbraw/zinc/89/86/79/745898679.db2.gz NHHJGTREFSCWIR-UHFFFAOYSA-N -1 1 306.635 1.828 20 0 DDADMM COc1ccnc(C(=O)Nc2cc(C(N)=O)ccc2C)c1[O-] ZINC001193531559 746121597 /nfs/dbraw/zinc/12/15/97/746121597.db2.gz LMVUXGAALHNNRX-UHFFFAOYSA-N -1 1 301.302 1.455 20 0 DDADMM Cc1cc(O)cc(O)c1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001193922875 746238742 /nfs/dbraw/zinc/23/87/42/746238742.db2.gz PLWZAZFTAVYHDS-UHFFFAOYSA-N -1 1 306.234 1.258 20 0 DDADMM O=S(=O)([N-]CCc1cnoc1)c1cc(Cl)cnc1Cl ZINC001194275653 746315013 /nfs/dbraw/zinc/31/50/13/746315013.db2.gz XRNNWYWXCBQEOW-UHFFFAOYSA-N -1 1 322.173 1.897 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1cc(O)c(F)cc1F ZINC001194711475 746446848 /nfs/dbraw/zinc/44/68/48/746446848.db2.gz KFAUYODZCJWESD-UHFFFAOYSA-N -1 1 316.285 1.875 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C2CCC(=O)CC2)nc1OC ZINC001194758246 746458551 /nfs/dbraw/zinc/45/85/51/746458551.db2.gz UPEPRQYVNGFXFD-UHFFFAOYSA-N -1 1 314.363 1.352 20 0 DDADMM COc1ccc2nc([N-]C(=O)c3nccnc3OC)nnc2c1 ZINC001195072925 746521966 /nfs/dbraw/zinc/52/19/66/746521966.db2.gz OOMKFISTUJPHPN-UHFFFAOYSA-N -1 1 312.289 1.084 20 0 DDADMM O=C(c1c([O-])c(F)c(F)c(F)c1F)N1CCN2CCC1CC2 ZINC001195293756 746570136 /nfs/dbraw/zinc/57/01/36/746570136.db2.gz JGHKBDXASJRBRS-UHFFFAOYSA-N -1 1 318.270 1.869 20 0 DDADMM COCc1n[nH]c2c1CN(C(=O)c1cc([O-])cnc1Cl)C2 ZINC001195299331 746570806 /nfs/dbraw/zinc/57/08/06/746570806.db2.gz MKPQTVFEIODFBL-UHFFFAOYSA-N -1 1 308.725 1.466 20 0 DDADMM CN=c1c(N)cc(Cl)nn1C(=O)c1cc([O-])cnc1Cl ZINC001195298873 746570857 /nfs/dbraw/zinc/57/08/57/746570857.db2.gz CPLXFJHOTOMXJC-UHFFFAOYSA-N -1 1 314.132 1.092 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1cc([O-])cnc1Cl ZINC001195312871 746573879 /nfs/dbraw/zinc/57/38/79/746573879.db2.gz LXDCSGSQSVRDGK-KOLCDFICSA-N -1 1 312.753 1.902 20 0 DDADMM CCOC(=O)/C=C(/NC(=O)c1cc([O-])cnc1Cl)OCC ZINC001195315873 746575181 /nfs/dbraw/zinc/57/51/81/746575181.db2.gz UZNVTPMPHIAZDX-POHAHGRESA-N -1 1 314.725 1.611 20 0 DDADMM Cn1cnc([N-]C(=O)c2c(F)cncc2Cl)c2ncnc1-2 ZINC001195464765 746604089 /nfs/dbraw/zinc/60/40/89/746604089.db2.gz MOROCMXZLURCAQ-UHFFFAOYSA-N -1 1 306.688 1.755 20 0 DDADMM CC[C@H](C[C@H](C)O)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000716955065 746691035 /nfs/dbraw/zinc/69/10/35/746691035.db2.gz SDQHGQOHAMWLMG-JGVFFNPUSA-N -1 1 311.325 1.932 20 0 DDADMM O=S(=O)([N-]c1cc(Cl)ncc1CO)c1ccc(O)cc1 ZINC001195767603 746692005 /nfs/dbraw/zinc/69/20/05/746692005.db2.gz PWDRYDBMSNFERJ-UHFFFAOYSA-N -1 1 314.750 1.734 20 0 DDADMM CCOC(=O)[C@H]1CCCCN1C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196013167 746756912 /nfs/dbraw/zinc/75/69/12/746756912.db2.gz FHEZVRHRUORLQU-SECBINFHSA-N -1 1 311.363 1.029 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC[C@@H]1c1nccs1 ZINC001196016645 746757254 /nfs/dbraw/zinc/75/72/54/746757254.db2.gz XGQGQDMWYWNZSL-MRVPVSSYSA-N -1 1 308.388 1.905 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC[C@H](c2ncc[nH]2)C1 ZINC001196016879 746757755 /nfs/dbraw/zinc/75/77/55/746757755.db2.gz ZCXSOUBBYQZXSY-QMMMGPOBSA-N -1 1 305.363 1.214 20 0 DDADMM O=C(N[C@H](c1ccnc(F)c1)C1CC1)c1c[nH]c(=S)[n-]c1=O ZINC001196026755 746765858 /nfs/dbraw/zinc/76/58/58/746765858.db2.gz GQVARTJCNIALME-NSHDSACASA-N -1 1 320.349 1.886 20 0 DDADMM O=S(=O)(Oc1cncc(F)c1)c1c[n-]nc1C(F)(F)F ZINC001196238875 746817712 /nfs/dbraw/zinc/81/77/12/746817712.db2.gz QRQAOOQBTHEGMI-UHFFFAOYSA-N -1 1 311.216 1.730 20 0 DDADMM CCn1cc(NC(=O)c2sccc2[N-]S(C)(=O)=O)cn1 ZINC001196722096 746944324 /nfs/dbraw/zinc/94/43/24/746944324.db2.gz ZQMJGNAEBHKGGJ-UHFFFAOYSA-N -1 1 314.392 1.588 20 0 DDADMM Cc1c[nH]nc1NC(=O)c1sccc1[N-]S(C)(=O)=O ZINC001196722085 746944620 /nfs/dbraw/zinc/94/46/20/746944620.db2.gz ZDKHSTJWATXYRU-UHFFFAOYSA-N -1 1 300.365 1.403 20 0 DDADMM CCCOc1cccnc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001196941227 747015288 /nfs/dbraw/zinc/01/52/88/747015288.db2.gz MJMGKZIRUUSPNJ-UHFFFAOYSA-N -1 1 314.305 1.495 20 0 DDADMM O=C([N-]c1nc2ccccn2n1)c1scc2c1OCCO2 ZINC001127532005 747290495 /nfs/dbraw/zinc/29/04/95/747290495.db2.gz FWXBSMKRPXQZCL-UHFFFAOYSA-N -1 1 302.315 1.814 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000994665631 747301595 /nfs/dbraw/zinc/30/15/95/747301595.db2.gz KLVOPNACUPSNIV-CYZMBNFOSA-N -1 1 315.373 1.164 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2ccccc2C(=O)[O-])c(C)n1 ZINC001198740304 747585839 /nfs/dbraw/zinc/58/58/39/747585839.db2.gz CLIWFDHBQPWDDL-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM O=C(Nc1csc(Br)n1)c1ccc([O-])c(=O)[nH]1 ZINC001199196205 747736805 /nfs/dbraw/zinc/73/68/05/747736805.db2.gz KGPYXDLTYAEELK-UHFFFAOYSA-N -1 1 316.136 1.964 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1ccc(C2CCC2)nc1 ZINC001199284321 747774643 /nfs/dbraw/zinc/77/46/43/747774643.db2.gz VXYLAFVSRLTGGC-UHFFFAOYSA-N -1 1 301.306 1.385 20 0 DDADMM CC(C)n1ccc(C(=O)NCCCC[P@](=O)([O-])O)cc1=O ZINC001199494832 747862855 /nfs/dbraw/zinc/86/28/55/747862855.db2.gz DXBQUJOXNFPWGE-UHFFFAOYSA-N -1 1 316.294 1.117 20 0 DDADMM COC(=O)CCNC(=S)Nc1cc(C(=O)OC)ccc1[O-] ZINC001199997117 748097435 /nfs/dbraw/zinc/09/74/35/748097435.db2.gz ZERRKAABNMEYLF-UHFFFAOYSA-N -1 1 312.347 1.028 20 0 DDADMM CCc1ccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)o1 ZINC000995110941 748199565 /nfs/dbraw/zinc/19/95/65/748199565.db2.gz UEZYRVZTVYQMKN-UHFFFAOYSA-N -1 1 315.329 1.197 20 0 DDADMM COc1ccccc1NC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001202134278 748692883 /nfs/dbraw/zinc/69/28/83/748692883.db2.gz UQXOFFFAMMBKAT-UHFFFAOYSA-N -1 1 316.277 1.368 20 0 DDADMM Cc1cccc(NC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001202321253 748750606 /nfs/dbraw/zinc/75/06/06/748750606.db2.gz MMCDFRBYCWTGGU-UHFFFAOYSA-N -1 1 300.278 1.668 20 0 DDADMM CN(C)c1nc(NC(=O)NC(=O)c2ccccc2)cc(=O)[n-]1 ZINC001202441745 748782062 /nfs/dbraw/zinc/78/20/62/748782062.db2.gz KBCZTAWDCZMUAA-UHFFFAOYSA-N -1 1 301.306 1.210 20 0 DDADMM O=C(NC/C=C\CNc1ncc(Cl)cn1)c1ncccc1[O-] ZINC001107139828 749352111 /nfs/dbraw/zinc/35/21/11/749352111.db2.gz SLVUNPDKTDTUJA-UPHRSURJSA-N -1 1 319.752 1.629 20 0 DDADMM Cc1cc(NC/C=C/CNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001107139425 749358075 /nfs/dbraw/zinc/35/80/75/749358075.db2.gz MOUQHJAVCYEZAX-ONEGZZNKSA-N -1 1 313.361 1.592 20 0 DDADMM Cc1cc(N[C@H](C)CN(C)C(=O)c2ncccc2[O-])nc(C)n1 ZINC001115719023 750884120 /nfs/dbraw/zinc/88/41/20/750884120.db2.gz FCVAEKQBJPIYDK-LLVKDONJSA-N -1 1 315.377 1.767 20 0 DDADMM O=C(N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C12CCC(CC1)C2 ZINC001034975080 751089562 /nfs/dbraw/zinc/08/95/62/751089562.db2.gz GUZOVVICSHEAPA-BWBHSNQOSA-N -1 1 319.409 1.171 20 0 DDADMM O=C(C[C@@H]1C[C@H]1C1CC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034998508 751111654 /nfs/dbraw/zinc/11/16/54/751111654.db2.gz GJLSQVDLSWXENG-XQQFMLRXSA-N -1 1 319.409 1.027 20 0 DDADMM CC(C)(C)C(=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035248893 751330955 /nfs/dbraw/zinc/33/09/55/751330955.db2.gz BLTAVEJVCFLEIY-UHFFFAOYSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@@H]1CCCN(C(=O)CC2(C)CC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036564127 752537754 /nfs/dbraw/zinc/53/77/54/752537754.db2.gz IBHHANBMCSOJTB-NEPJUHHUSA-N -1 1 321.425 1.417 20 0 DDADMM O=C([C@@H]1CCCC12CC2)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999482153 752948411 /nfs/dbraw/zinc/94/84/11/752948411.db2.gz KQVCPAYZNBCIMJ-RYUDHWBXSA-N -1 1 319.409 1.171 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]1CNc1cc(F)ncn1 ZINC001063474356 754169999 /nfs/dbraw/zinc/16/99/99/754169999.db2.gz BMFHYTSBIANYFU-SNVBAGLBSA-N -1 1 317.324 1.433 20 0 DDADMM O=C(C=C1CCC1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078593338 754204569 /nfs/dbraw/zinc/20/45/69/754204569.db2.gz BNGURPIONCWKEG-NEPJUHHUSA-N -1 1 317.393 1.138 20 0 DDADMM CC(C)[C@@H](F)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064058832 754469274 /nfs/dbraw/zinc/46/92/74/754469274.db2.gz NPEYBLWYFBKAKW-GFCCVEGCSA-N -1 1 323.368 1.550 20 0 DDADMM O=C(CCCF)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064014855 754474299 /nfs/dbraw/zinc/47/42/99/754474299.db2.gz HEDPXBKGRLKFCN-UHFFFAOYSA-N -1 1 309.341 1.306 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(C)(C)F ZINC001011383417 754488942 /nfs/dbraw/zinc/48/89/42/754488942.db2.gz NBWURQZLIHNNAD-UWVGGRQHSA-N -1 1 309.341 1.255 20 0 DDADMM C[C@H]1CC[C@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001001849308 754538120 /nfs/dbraw/zinc/53/81/20/754538120.db2.gz CBSICCYOZZGKEG-AAEUAGOBSA-N -1 1 317.389 1.412 20 0 DDADMM CC(=O)N[C@@H]1Cc2ccccc2[C@H]1NC(=O)c1ncccc1[O-] ZINC001001890773 754561651 /nfs/dbraw/zinc/56/16/51/754561651.db2.gz KEOIAVMTKBRPGC-UKRRQHHQSA-N -1 1 311.341 1.319 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1CCC1 ZINC001011609992 754630720 /nfs/dbraw/zinc/63/07/20/754630720.db2.gz GGHHKDQSVOVUJL-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1=CCCC1 ZINC001011650238 754658053 /nfs/dbraw/zinc/65/80/53/754658053.db2.gz IJFWJNCRLCYJSI-DGCLKSJQSA-N -1 1 315.373 1.617 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C(C)(C)F)CN1C(=O)c1ncccc1[O-] ZINC001012505989 755113052 /nfs/dbraw/zinc/11/30/52/755113052.db2.gz LVMKLJKNVKPIOM-NXEZZACHSA-N -1 1 309.341 1.255 20 0 DDADMM CN(C(=O)C(C)(F)F)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016174268 756809835 /nfs/dbraw/zinc/80/98/35/756809835.db2.gz VNCDVRGSYNZDBE-UHFFFAOYSA-N -1 1 313.304 1.162 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])Nc1cc(Cl)c(=O)[nH]n1 ZINC001097764894 757510585 /nfs/dbraw/zinc/51/05/85/757510585.db2.gz MQOZUHKISXANDS-ZETCQYMHSA-N -1 1 323.740 1.167 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017220877 757728940 /nfs/dbraw/zinc/72/89/40/757728940.db2.gz SAFFFWDQQJTXNZ-YNEHKIRRSA-N -1 1 321.425 1.227 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001017904611 758369668 /nfs/dbraw/zinc/36/96/68/758369668.db2.gz WKWTXXZICUHOSF-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM Cc1sccc1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054639975 759932604 /nfs/dbraw/zinc/93/26/04/759932604.db2.gz VETZMPHNOCNEFO-LDYMZIIASA-N -1 1 321.406 1.131 20 0 DDADMM CC(C)(C)C1CC(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001019855524 760294692 /nfs/dbraw/zinc/29/46/92/760294692.db2.gz GPKQRGLTYJSHKL-MCIGGMRASA-N -1 1 321.425 1.273 20 0 DDADMM Cc1nc(CN[C@H]2C[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)c(C)o1 ZINC001020078923 760524535 /nfs/dbraw/zinc/52/45/35/760524535.db2.gz ABZVQLRHBPJRGJ-XYPYZODXSA-N -1 1 319.365 1.079 20 0 DDADMM O=C(NCC1CN(C(=O)[C@H]2CC=CCC2)C1)c1ncccc1[O-] ZINC001001536239 762943329 /nfs/dbraw/zinc/94/33/29/762943329.db2.gz HAVCVMMXUXDXCF-ZDUSSCGKSA-N -1 1 315.373 1.332 20 0 DDADMM Cc1cc(NC2CC(N(C)C(=O)c3ncccc3[O-])C2)ncn1 ZINC001069731679 768120218 /nfs/dbraw/zinc/12/02/18/768120218.db2.gz QDMZFMRNETVGOD-UHFFFAOYSA-N -1 1 313.361 1.601 20 0 DDADMM C[C@@H](NC(=O)CCCF)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532240 763719844 /nfs/dbraw/zinc/71/98/44/763719844.db2.gz XUGYJDIRJQCMSC-NEPJUHHUSA-N -1 1 323.368 1.504 20 0 DDADMM C[C@H]1CN(c2ncccn2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001067393188 763913745 /nfs/dbraw/zinc/91/37/45/763913745.db2.gz JDHYSVGBBLUVKZ-RYUDHWBXSA-N -1 1 313.361 1.222 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C2CN(C[C@@H]3CC[C@@H]4C[C@@H]4C3)C2)c1[O-] ZINC001042620161 764279196 /nfs/dbraw/zinc/27/91/96/764279196.db2.gz VUHSUWDPOYOARG-UPJWGTAASA-N -1 1 318.421 1.616 20 0 DDADMM Cc1nc(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)cs1 ZINC001042646425 764310361 /nfs/dbraw/zinc/31/03/61/764310361.db2.gz QOPHMKKWPDTRHC-UHFFFAOYSA-N -1 1 318.402 1.509 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1cc(F)ncn1 ZINC001112982803 765097662 /nfs/dbraw/zinc/09/76/62/765097662.db2.gz BWCJDMMVRHJVLC-DTWKUNHWSA-N -1 1 305.313 1.335 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)C(C)(C)C ZINC001052422057 765810252 /nfs/dbraw/zinc/81/02/52/765810252.db2.gz PKGXECFLDGHYNC-NEPJUHHUSA-N -1 1 319.405 1.658 20 0 DDADMM O=C(C1CCCCCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044956372 765963194 /nfs/dbraw/zinc/96/31/94/765963194.db2.gz QDZISYLGGADGGL-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)CCCCNCc2ccon2)c1[O-] ZINC001170187362 766163594 /nfs/dbraw/zinc/16/35/94/766163594.db2.gz ADSRDOOAKOTQCD-JTQLQIEISA-N -1 1 321.381 1.490 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cccc4nsnc43)c2[nH]1 ZINC001170217577 766176150 /nfs/dbraw/zinc/17/61/50/766176150.db2.gz JEKBQKNFLVJFNF-UHFFFAOYSA-N -1 1 301.291 1.464 20 0 DDADMM COc1cc(F)c(Nc2[n-]c(=O)nc3nc[nH]c32)c(OC)c1 ZINC001170231596 766185944 /nfs/dbraw/zinc/18/59/44/766185944.db2.gz FQTMPPBNMRCACE-UHFFFAOYSA-N -1 1 305.269 1.958 20 0 DDADMM Cc1nc(Nc2[n-]c(=O)nc3nc[nH]c32)cc(-c2ccccn2)n1 ZINC001170226753 766200244 /nfs/dbraw/zinc/20/02/44/766200244.db2.gz SRGJZMMEBDFOSI-UHFFFAOYSA-N -1 1 320.316 1.963 20 0 DDADMM CS(=O)(=O)Cc1cccc(Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001170228019 766202738 /nfs/dbraw/zinc/20/27/38/766202738.db2.gz AISRIZFVNHUOFA-UHFFFAOYSA-N -1 1 319.346 1.347 20 0 DDADMM CCOC(=O)c1cc(C)c(Nc2[n-]c(=O)nc3nc[nH]c32)cn1 ZINC001170230021 766203965 /nfs/dbraw/zinc/20/39/65/766203965.db2.gz KGIAWUMXQROIBN-UHFFFAOYSA-N -1 1 314.305 1.682 20 0 DDADMM Cc1cnc(C)nc1N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001058344394 766437347 /nfs/dbraw/zinc/43/73/47/766437347.db2.gz ZMUBWXFMNRVXIO-LBPRGKRZSA-N -1 1 313.361 1.203 20 0 DDADMM CC(C)CCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071951760 770334899 /nfs/dbraw/zinc/33/48/99/770334899.db2.gz CXIWGPKFEZTAFV-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)C[C@H](C)NCc2ccon2)c1[O-] ZINC001134089699 770642421 /nfs/dbraw/zinc/64/24/21/770642421.db2.gz HEHCZHYMISAYMH-DTWKUNHWSA-N -1 1 307.354 1.098 20 0 DDADMM Cc1cnc(CN[C@H](C)C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001134094962 770649441 /nfs/dbraw/zinc/64/94/41/770649441.db2.gz NRNMBXBQVHFVCV-BDAKNGLRSA-N -1 1 321.381 1.407 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@@H]3[C@@H]2CCN3C/C=C\Cl)c1[O-] ZINC001049422519 770809036 /nfs/dbraw/zinc/80/90/36/770809036.db2.gz JIVKCTAVFHQAFR-FVLQNALFSA-N -1 1 324.812 1.855 20 0 DDADMM C[C@H](CC1CCCCC1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134678116 771116019 /nfs/dbraw/zinc/11/60/19/771116019.db2.gz QLUVKCUBMYKEDM-LLVKDONJSA-N -1 1 309.414 1.323 20 0 DDADMM CN(C)c1nc(NC(=O)CCC(=O)C2CC2)c(N=O)c(=O)[n-]1 ZINC001144220744 772443875 /nfs/dbraw/zinc/44/38/75/772443875.db2.gz WXXJYILSPUCNKU-UHFFFAOYSA-N -1 1 307.310 1.344 20 0 DDADMM CC(C)C(=O)N[C@@H]1[C@H]2CN(Cc3c(F)cc([O-])cc3F)C[C@H]21 ZINC001144553773 772563751 /nfs/dbraw/zinc/56/37/51/772563751.db2.gz QCKBIHJYGCMHLJ-HBYGRHMLSA-N -1 1 310.344 1.873 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=Cc2cccnc2)[n-]1 ZINC001146855601 772965637 /nfs/dbraw/zinc/96/56/37/772965637.db2.gz LCEAIYHOCHIAJL-VQCYPWCPSA-N -1 1 315.333 1.267 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=Cc2cccnc2)n[n-]1 ZINC001146855601 772965639 /nfs/dbraw/zinc/96/56/39/772965639.db2.gz LCEAIYHOCHIAJL-VQCYPWCPSA-N -1 1 315.333 1.267 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C=Cc2cccnc2)n1 ZINC001146855601 772965641 /nfs/dbraw/zinc/96/56/41/772965641.db2.gz LCEAIYHOCHIAJL-VQCYPWCPSA-N -1 1 315.333 1.267 20 0 DDADMM Cc1cc([N+](=O)[O-])cn(CC(=O)c2ccc([O-])cc2O)c1=O ZINC000127266333 772986351 /nfs/dbraw/zinc/98/63/51/772986351.db2.gz NSBAGOWXIPNDPS-UHFFFAOYSA-N -1 1 304.258 1.359 20 0 DDADMM Cc1ccc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)c(=O)[nH]1 ZINC001147120844 773041884 /nfs/dbraw/zinc/04/18/84/773041884.db2.gz NDAGKYZACIGJFQ-UHFFFAOYSA-N -1 1 318.293 1.307 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnccc3C(F)(F)F)c1-2 ZINC001147263381 773095150 /nfs/dbraw/zinc/09/51/50/773095150.db2.gz FNVZGCQYRYKCEQ-UHFFFAOYSA-N -1 1 322.250 1.701 20 0 DDADMM Nc1nc(=O)[nH]cc1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001148799871 773603934 /nfs/dbraw/zinc/60/39/34/773603934.db2.gz ZKHUDTDEGIMVRH-UHFFFAOYSA-N -1 1 314.223 1.741 20 0 DDADMM COC(=O)[C@H]1C[C@H]1CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001148805929 773605799 /nfs/dbraw/zinc/60/57/99/773605799.db2.gz IPFDAQFIIDUBMM-XVKPBYJWSA-N -1 1 317.263 1.950 20 0 DDADMM Cn1ccc(CNC(=O)c2ccc3cccnc3c2[O-])cc1=O ZINC001149326156 773628936 /nfs/dbraw/zinc/62/89/36/773628936.db2.gz AOISMYSAOQECIK-UHFFFAOYSA-N -1 1 309.325 1.569 20 0 DDADMM C[C@@H]1CCN(C(=O)C2CCCC2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087108885 773794576 /nfs/dbraw/zinc/79/45/76/773794576.db2.gz LGNWUPKAPTZYGQ-YPMHNXCESA-N -1 1 321.425 1.417 20 0 DDADMM CC1(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001036793094 774641787 /nfs/dbraw/zinc/64/17/87/774641787.db2.gz YNUCKMFWBTYECB-VXGBXAGGSA-N -1 1 319.409 1.123 20 0 DDADMM Cc1nc(C)c(C)c(NCCNC(=O)c2ncccc2[O-])n1 ZINC001093563152 774891187 /nfs/dbraw/zinc/89/11/87/774891187.db2.gz WXUSKJRSQRTCFW-UHFFFAOYSA-N -1 1 301.350 1.344 20 0 DDADMM Cn1cnc2ccc([N-]S(=O)(=O)c3ccccc3N)cc21 ZINC001174350236 777463235 /nfs/dbraw/zinc/46/32/35/777463235.db2.gz ULRDIGMQGOFNBC-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2ccnc3[nH]ccc32)ccc1O ZINC001174412110 777480569 /nfs/dbraw/zinc/48/05/69/777480569.db2.gz PWQKUAOXHAAFHU-UHFFFAOYSA-N -1 1 304.331 1.603 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2ccc3c[nH]nc3c2)ccc1O ZINC001174501787 777503381 /nfs/dbraw/zinc/50/33/81/777503381.db2.gz FZVDDUJYWJYKRP-UHFFFAOYSA-N -1 1 304.331 1.652 20 0 DDADMM CC(C)(O)c1cncc([N-]S(=O)(=O)c2ccc(N)c(N)c2)c1 ZINC001174591355 777513975 /nfs/dbraw/zinc/51/39/75/777513975.db2.gz LRDMHEASEAIEIM-UHFFFAOYSA-N -1 1 322.390 1.274 20 0 DDADMM CC(C)N(CCCNC(=O)c1ncccc1[O-])c1ncccn1 ZINC001102099882 777874928 /nfs/dbraw/zinc/87/49/28/777874928.db2.gz ZTVIREUCTNFKOH-UHFFFAOYSA-N -1 1 315.377 1.612 20 0 DDADMM O=C1[N-]S(=O)(=O)c2cc(Nc3ccc4nn[nH]c4c3)ccc21 ZINC001176003354 777995579 /nfs/dbraw/zinc/99/55/79/777995579.db2.gz AYDSGLRCGCKBGL-UHFFFAOYSA-N -1 1 315.314 1.134 20 0 DDADMM O=C1[N-]S(=O)(=O)c2cc(Nc3ccc4n[nH]nc4c3)ccc21 ZINC001176003354 777995585 /nfs/dbraw/zinc/99/55/85/777995585.db2.gz AYDSGLRCGCKBGL-UHFFFAOYSA-N -1 1 315.314 1.134 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cccc2c(Br)c[nH]c21 ZINC001176844378 778264852 /nfs/dbraw/zinc/26/48/52/778264852.db2.gz ABQDDYIJGPCTSR-UHFFFAOYSA-N -1 1 321.138 1.625 20 0 DDADMM Cc1cc(C)c(NC(=O)Cc2cnc[nH]2)c(S(=O)(=O)[O-])c1 ZINC001176831845 778265168 /nfs/dbraw/zinc/26/51/68/778265168.db2.gz AGKGUUJIYYLJON-UHFFFAOYSA-N -1 1 309.347 1.454 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)C1=CCCC1 ZINC001102857364 778453801 /nfs/dbraw/zinc/45/38/01/778453801.db2.gz YDLUYPSMKJSTPF-DGCLKSJQSA-N -1 1 319.409 1.195 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)C1(C)CCC1 ZINC001103322272 778787760 /nfs/dbraw/zinc/78/77/60/778787760.db2.gz PUKOEYMWMUFEHH-VXGBXAGGSA-N -1 1 321.425 1.275 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(c3ccc(C)cn3)CC2)n1 ZINC001178138138 778899458 /nfs/dbraw/zinc/89/94/58/778899458.db2.gz FKAXXUYJRWPNEG-UHFFFAOYSA-N -1 1 314.345 1.960 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2CC2(C)C)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104083616 779295713 /nfs/dbraw/zinc/29/57/13/779295713.db2.gz IUMQJUXZEJBZSJ-IJLUTSLNSA-N -1 1 321.425 1.131 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ncc(Cl)s1 ZINC001116876035 780571136 /nfs/dbraw/zinc/57/11/36/780571136.db2.gz VCUZDHOPYSGQOE-SECBINFHSA-N -1 1 320.765 1.829 20 0 DDADMM CCCSCC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001282853435 836261854 /nfs/dbraw/zinc/26/18/54/836261854.db2.gz ABBVRTASJSVOIS-UHFFFAOYSA-N -1 1 323.418 1.215 20 0 DDADMM CC/C(C)=C/C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001266867868 836970005 /nfs/dbraw/zinc/97/00/05/836970005.db2.gz QMIGQSRKXIDQPX-JATZPVMKSA-N -1 1 307.398 1.337 20 0 DDADMM CC(C)N(CCCNC(=O)[C@H]1CCC1(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001267505536 838204259 /nfs/dbraw/zinc/20/42/59/838204259.db2.gz ATRVXNIKXNIASE-GFCCVEGCSA-N -1 1 323.441 1.663 20 0 DDADMM CC[C@H](SC)C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409134494 844404949 /nfs/dbraw/zinc/40/49/49/844404949.db2.gz HYRVBCZYSQJFGY-LBPRGKRZSA-N -1 1 323.418 1.117 20 0 DDADMM C/C=C(/C)C(=O)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001267943976 839239066 /nfs/dbraw/zinc/23/90/66/839239066.db2.gz IGGSVHYSVVPCGL-JYOAFUTRSA-N -1 1 307.398 1.195 20 0 DDADMM CCC(C)(C)C(=O)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001269760042 842017059 /nfs/dbraw/zinc/01/70/59/842017059.db2.gz HIYJPMGMOIIIHT-LBPRGKRZSA-N -1 1 323.441 1.665 20 0 DDADMM NC(=O)c1[nH]nc2c1CN(C(=O)c1ccc([O-])cc1Cl)CC2 ZINC001269762298 842023700 /nfs/dbraw/zinc/02/37/00/842023700.db2.gz MUMHGRRFSHDQEW-UHFFFAOYSA-N -1 1 320.736 1.066 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)NCC(C)(C)C(N)=O)cc2c1 ZINC001154754533 861428840 /nfs/dbraw/zinc/42/88/40/861428840.db2.gz JAOOAULLZKSVCX-UHFFFAOYSA-N -1 1 316.357 1.795 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(OC)c(F)c1N)c1nn[n-]n1 ZINC001149121520 861473070 /nfs/dbraw/zinc/47/30/70/861473070.db2.gz AUQXRFSWEPQONH-MRVPVSSYSA-N -1 1 308.317 1.201 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CCOC(C)C ZINC001409261968 844727832 /nfs/dbraw/zinc/72/78/32/844727832.db2.gz WSVSMPKJGLCXIR-LBPRGKRZSA-N -1 1 323.393 1.227 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc2nc(C(F)(F)F)ccc2c1 ZINC001154865174 861523834 /nfs/dbraw/zinc/52/38/34/861523834.db2.gz DKPLWFAYPYDRKR-UHFFFAOYSA-N -1 1 322.250 1.697 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)Cc1ccns1 ZINC001409528006 845252867 /nfs/dbraw/zinc/25/28/67/845252867.db2.gz BTRCYELORJRSDV-SNVBAGLBSA-N -1 1 306.391 1.494 20 0 DDADMM CC(C)C(=O)N1CCCC[C@@H]1CCNC(=O)c1ncccc1[O-] ZINC001409808943 845835368 /nfs/dbraw/zinc/83/53/68/845835368.db2.gz HOAFJVJNVLMFDK-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)Cc1coc2cc(O)ccc12 ZINC001149591585 861734626 /nfs/dbraw/zinc/73/46/26/861734626.db2.gz WDVYTABFBWUXQF-UHFFFAOYSA-N -1 1 316.273 1.103 20 0 DDADMM CC[C@H](C)C[C@@H](C)NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364791218 848650720 /nfs/dbraw/zinc/65/07/20/848650720.db2.gz ULKCZQYJAROCRR-DTWKUNHWSA-N -1 1 303.384 1.299 20 0 DDADMM CC[C@H](C)C[C@@H](C)NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364791218 848650728 /nfs/dbraw/zinc/65/07/28/848650728.db2.gz ULKCZQYJAROCRR-DTWKUNHWSA-N -1 1 303.384 1.299 20 0 DDADMM C[C@@H](CN(C)C(=O)C1CC(C(C)(C)C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001410546952 849469318 /nfs/dbraw/zinc/46/93/18/849469318.db2.gz USHAYELLEAHUBO-UNXYVOJBSA-N -1 1 323.441 1.519 20 0 DDADMM CC1(CCC(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC001410561195 849488956 /nfs/dbraw/zinc/48/89/56/849488956.db2.gz RUEOBRBCLHBHLY-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM COC(=O)[C@@H]1C[C@H]([N-]S(=O)(=O)c2nccs2)C1(C)C ZINC001187911049 851085637 /nfs/dbraw/zinc/08/56/37/851085637.db2.gz MYDLOGHCFNRDQI-YUMQZZPRSA-N -1 1 304.393 1.009 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CCNC(=O)C3(C)C)c([O-])c2n1 ZINC001155653119 862344905 /nfs/dbraw/zinc/34/49/05/862344905.db2.gz VLTBYJMXCISTHX-UHFFFAOYSA-N -1 1 313.357 1.599 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)c1cc2cc(O)ccc2o1 ZINC001155674655 862366264 /nfs/dbraw/zinc/36/62/64/862366264.db2.gz RCKPTRDTWKJMAC-UHFFFAOYSA-N -1 1 313.246 1.826 20 0 DDADMM C[C@@H](NC(=O)c1cnn[nH]1)[C@@H](C)NC(=O)C1(C)CCCCC1 ZINC001411427505 853096035 /nfs/dbraw/zinc/09/60/35/853096035.db2.gz OFVGREMCEFIHMM-GHMZBOCLSA-N -1 1 307.398 1.398 20 0 DDADMM Cc1ccc(C(=O)N[C@H](C)[C@@H](C)NC(=O)c2cnn[nH]2)cc1C ZINC001411438306 853116146 /nfs/dbraw/zinc/11/61/46/853116146.db2.gz YVTWYQVJNMGNGV-VXGBXAGGSA-N -1 1 315.377 1.358 20 0 DDADMM COC(=O)c1ccc(C)c(NC(=O)CCCc2nn[n-]n2)c1 ZINC001411608773 853452280 /nfs/dbraw/zinc/45/22/80/853452280.db2.gz DTWRWTVVFHGWHD-UHFFFAOYSA-N -1 1 303.322 1.256 20 0 DDADMM CCN(CC)C(=O)c1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001411617847 853465327 /nfs/dbraw/zinc/46/53/27/853465327.db2.gz NBPDWYUNUBCLAJ-UHFFFAOYSA-N -1 1 316.365 1.253 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC(C(=O)N2CCCC2)CC1 ZINC001411616820 853466953 /nfs/dbraw/zinc/46/69/53/853466953.db2.gz FMJPCJWXHVMAQR-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM CCCC[C@H](CNC(=O)C(F)F)NC(=O)c1ncccc1[O-] ZINC001411871274 853869311 /nfs/dbraw/zinc/86/93/11/853869311.db2.gz OMGOTHKLMMOFKE-SECBINFHSA-N -1 1 315.320 1.457 20 0 DDADMM CCCC[C@@H](CNC(=O)C(F)F)NC(=O)c1ncccc1[O-] ZINC001411871286 853869642 /nfs/dbraw/zinc/86/96/42/853869642.db2.gz OMGOTHKLMMOFKE-VIFPVBQESA-N -1 1 315.320 1.457 20 0 DDADMM NC(=O)C[C@H]1C[C@@H]2CN(C(=O)c3ccc(Cl)cc3[O-])C[C@@H]2O1 ZINC001275934670 853978742 /nfs/dbraw/zinc/97/87/42/853978742.db2.gz NNBMMSUOSKXMDW-JQEORGNBSA-N -1 1 324.764 1.151 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CCN(C(=O)c1cccc([O-])c1F)CC2 ZINC001275984072 854081251 /nfs/dbraw/zinc/08/12/51/854081251.db2.gz IBPWNUZGGHODIQ-GFCCVEGCSA-N -1 1 320.364 1.862 20 0 DDADMM NC(=O)C[C@H]1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC001412199587 854325262 /nfs/dbraw/zinc/32/52/62/854325262.db2.gz BSYUOLVTHDXJFP-SNVBAGLBSA-N -1 1 314.341 1.589 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccsc2C(=O)OC)n1 ZINC001412214410 854341264 /nfs/dbraw/zinc/34/12/64/854341264.db2.gz UTZZTNXPHAXSLO-UHFFFAOYSA-N -1 1 323.330 1.687 20 0 DDADMM CS(=O)(=O)c1ccccc1CNC(=O)c1cccc([O-])c1F ZINC001412243975 854369341 /nfs/dbraw/zinc/36/93/41/854369341.db2.gz KDCWPKHZAFQSPT-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM CC[C@@H](NC(=O)c1cnncc1[O-])C(=O)NCc1cccs1 ZINC001412287382 854411706 /nfs/dbraw/zinc/41/17/06/854411706.db2.gz CRTUKXCPFSCQAV-LLVKDONJSA-N -1 1 320.374 1.069 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2CCCOCC2)[n-]c1Cl ZINC001412299212 854420500 /nfs/dbraw/zinc/42/05/00/854420500.db2.gz FVICQYFTVFSZDR-MRVPVSSYSA-N -1 1 315.757 1.995 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cnc2[nH]ccc2c1 ZINC001151008299 862575642 /nfs/dbraw/zinc/57/56/42/862575642.db2.gz ZNKTVUJDDIWFMN-UHFFFAOYSA-N -1 1 300.234 1.366 20 0 DDADMM COc1cccc(C(=O)CNC(=O)c2ncc(C)cc2[O-])c1 ZINC001412499947 854630302 /nfs/dbraw/zinc/63/03/02/854630302.db2.gz HLXDUBIXZTUXJF-UHFFFAOYSA-N -1 1 300.314 1.717 20 0 DDADMM NC(=O)C[C@@H]1C[C@@H]2CN(C(=O)c3cccc([O-])c3Cl)C[C@@H]2O1 ZINC001276050263 854674411 /nfs/dbraw/zinc/67/44/11/854674411.db2.gz RDOKNHVSGWAYRY-PTRXPTGYSA-N -1 1 324.764 1.151 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cnc(CC2CC2)s1 ZINC001412550944 854711234 /nfs/dbraw/zinc/71/12/34/854711234.db2.gz XHRNUJLVWRTAJI-UHFFFAOYSA-N -1 1 320.422 1.907 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)[C@H](C)O1 ZINC001412569500 854737426 /nfs/dbraw/zinc/73/74/26/854737426.db2.gz FONVMCKJRSZTIL-GBIKHYSHSA-N -1 1 317.349 1.531 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccccc1[S@@](=O)C(F)(F)F ZINC001412573512 854739784 /nfs/dbraw/zinc/73/97/84/854739784.db2.gz AKYZIVSJSSSGPC-HXUWFJFHSA-N -1 1 305.241 1.079 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccccc1[S@@](=O)C(F)(F)F ZINC001412573512 854739787 /nfs/dbraw/zinc/73/97/87/854739787.db2.gz AKYZIVSJSSSGPC-HXUWFJFHSA-N -1 1 305.241 1.079 20 0 DDADMM COc1cc(OC)c(OC)cc1NC(=O)CCCc1nn[n-]n1 ZINC001412646335 854874890 /nfs/dbraw/zinc/87/48/90/854874890.db2.gz RGLORUHBFOPWMV-UHFFFAOYSA-N -1 1 321.337 1.187 20 0 DDADMM COc1cnc(C2(NC(=O)[C@@H]3CCC(=O)C3)CCCC2)[n-]c1=O ZINC001412705412 854986776 /nfs/dbraw/zinc/98/67/76/854986776.db2.gz NDUOEGKRTXTPTH-SNVBAGLBSA-N -1 1 319.361 1.446 20 0 DDADMM COc1ccc(OC)c([C@H]2C[C@@H]2C(=O)Nc2c[n-][nH]c2=O)c1 ZINC001412858923 855732121 /nfs/dbraw/zinc/73/21/21/855732121.db2.gz RISPHILNFXSOBF-KOLCDFICSA-N -1 1 303.318 1.875 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)Nc2cc(OCC)ncn2)n1 ZINC001412895528 855766568 /nfs/dbraw/zinc/76/65/68/855766568.db2.gz FLHWZCGTTVHENI-MRVPVSSYSA-N -1 1 306.326 1.343 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)Nc2cc(OCC)ncn2)n[n-]1 ZINC001412895528 855766562 /nfs/dbraw/zinc/76/65/62/855766562.db2.gz FLHWZCGTTVHENI-MRVPVSSYSA-N -1 1 306.326 1.343 20 0 DDADMM CS(=O)(=O)c1ccnc(NCc2ccc([O-])c(Cl)c2)n1 ZINC001412904884 855773594 /nfs/dbraw/zinc/77/35/94/855773594.db2.gz LCJVKPDVPGJREF-UHFFFAOYSA-N -1 1 313.766 1.851 20 0 DDADMM Cc1cccc(COCC(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC001413343480 856691508 /nfs/dbraw/zinc/69/15/08/856691508.db2.gz OFETWRRNMRUNQQ-UHFFFAOYSA-N -1 1 303.318 1.029 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cccc(OC(F)F)c1 ZINC001260591628 856880809 /nfs/dbraw/zinc/88/08/09/856880809.db2.gz CVHLIKGGSJBWMX-UHFFFAOYSA-N -1 1 315.319 1.032 20 0 DDADMM COc1cccc(OCCC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001413508802 856908686 /nfs/dbraw/zinc/90/86/86/856908686.db2.gz LLTMMJLIENYPJC-UHFFFAOYSA-N -1 1 317.349 1.173 20 0 DDADMM CC[C@@H](CC(=O)N[C@@H](COC)c1nn[n-]n1)c1ccccc1 ZINC001413551127 857054012 /nfs/dbraw/zinc/05/40/12/857054012.db2.gz GUTFCWMOIQVGQI-AAEUAGOBSA-N -1 1 303.366 1.587 20 0 DDADMM CC(C)(CCCO)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000827767254 857160168 /nfs/dbraw/zinc/16/01/68/857160168.db2.gz UMGRTBZHCNBZDT-UHFFFAOYSA-N -1 1 308.407 1.547 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cc[nH]c(=O)c2)[n-]c1=O ZINC001413772180 858511887 /nfs/dbraw/zinc/51/18/87/858511887.db2.gz WZFXGZLYJNDQAH-JTQLQIEISA-N -1 1 316.317 1.269 20 0 DDADMM Cc1cn(CC(=O)N2CC[C@H](c3nn[n-]n3)C2)c2ccccc12 ZINC001123868315 859448020 /nfs/dbraw/zinc/44/80/20/859448020.db2.gz DABVXEDLKYLOMR-LBPRGKRZSA-N -1 1 310.361 1.479 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@H](n2cccn2)C1 ZINC001123883385 859455421 /nfs/dbraw/zinc/45/54/21/859455421.db2.gz FSYNLRGHMVAIRC-SECBINFHSA-N -1 1 305.363 1.063 20 0 DDADMM O=C(C=Cc1ccccc1F)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123999012 859516977 /nfs/dbraw/zinc/51/69/77/859516977.db2.gz MMPGUBBHHNFOMV-KRZKBDHCSA-N -1 1 315.352 1.833 20 0 DDADMM Cc1ccc(N2CCC(OCC[P@](=O)([O-])O)CC2)nc1 ZINC001224602294 881463416 /nfs/dbraw/zinc/46/34/16/881463416.db2.gz PSPQLKGHBXCDJR-UHFFFAOYSA-N -1 1 300.295 1.553 20 0 DDADMM CCc1c(C)nc2n(c1=O)CCC[C@H]2OCC[P@](=O)([O-])O ZINC001224604477 881466640 /nfs/dbraw/zinc/46/66/40/881466640.db2.gz CXAKNELFCPOVCD-LLVKDONJSA-N -1 1 316.294 1.143 20 0 DDADMM Cn1cnnc1-c1cncc(NC(=O)c2ccc([O-])cc2F)c1 ZINC001137976790 859996223 /nfs/dbraw/zinc/99/62/23/859996223.db2.gz MKBNWCPTLCGRKU-UHFFFAOYSA-N -1 1 313.292 1.974 20 0 DDADMM COc1cccc(CN2CCN(C3CC3)CC2)c1OCC(=O)[O-] ZINC001139266299 860341257 /nfs/dbraw/zinc/34/12/57/860341257.db2.gz KYCCWJNEWJARIO-UHFFFAOYSA-N -1 1 320.389 1.439 20 0 DDADMM Cc1[nH]c(CN2CCC3(CCNC(=O)O3)CC2)c(C)c1C(=O)[O-] ZINC001140496885 860645404 /nfs/dbraw/zinc/64/54/04/860645404.db2.gz VSDFITIFCIFRTB-UHFFFAOYSA-N -1 1 321.377 1.794 20 0 DDADMM COC(=O)[C@]1(C)COCCN1Cc1ccc([O-])c(F)c1F ZINC001140895779 860727082 /nfs/dbraw/zinc/72/70/82/860727082.db2.gz VPQFYKYVUQYUOV-AWEZNQCLSA-N -1 1 301.289 1.434 20 0 DDADMM Cc1cnc2c(ccc(Cl)c2C(=O)NCc2nn[n-]n2)c1 ZINC001154463055 861122216 /nfs/dbraw/zinc/12/22/16/861122216.db2.gz NFIKHZRXZRDVNH-UHFFFAOYSA-N -1 1 302.725 1.640 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cnn2cccc(F)c12 ZINC001156909287 863438461 /nfs/dbraw/zinc/43/84/61/863438461.db2.gz DWBZLWXLPZTBQA-UHFFFAOYSA-N -1 1 318.224 1.325 20 0 DDADMM CCC(CC)(NC(=O)Cc1n[nH]c2ccccc12)c1nn[n-]n1 ZINC001156920076 863446930 /nfs/dbraw/zinc/44/69/30/863446930.db2.gz FXKGYTOPRAQMNH-UHFFFAOYSA-N -1 1 313.365 1.450 20 0 DDADMM O=C(N[C@@H](CO)C(F)(F)F)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681307 863489670 /nfs/dbraw/zinc/48/96/70/863489670.db2.gz KZZXTQVIOBZATB-JTQLQIEISA-N -1 1 316.235 1.299 20 0 DDADMM Cc1nnc(CNC(=O)c2cc(=O)c3cccc(O)c3[n-]2)o1 ZINC001152681000 863491114 /nfs/dbraw/zinc/49/11/14/863491114.db2.gz AUPLWRTUGAVUES-UHFFFAOYSA-N -1 1 300.274 1.267 20 0 DDADMM COC(=O)CCCO[N-]C(=O)[C@@H](CCCO)c1ccccc1 ZINC001157227694 863666521 /nfs/dbraw/zinc/66/65/21/863666521.db2.gz RHVYBKQPLNXEGN-AWEZNQCLSA-N -1 1 309.362 1.544 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cnc3ncccc3c2)n[nH]1 ZINC001153766900 864079739 /nfs/dbraw/zinc/07/97/39/864079739.db2.gz PXGHZFMOLRPOOA-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM CC1(C)C[C@@H](CNC(=O)c2c[n-]c3cccnc3c2=O)CCO1 ZINC001153862997 864173684 /nfs/dbraw/zinc/17/36/84/864173684.db2.gz HKCZHALZRPXMIC-NSHDSACASA-N -1 1 315.373 1.858 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1nn2cnnc2s1 ZINC001330669311 864523865 /nfs/dbraw/zinc/52/38/65/864523865.db2.gz SEHJYZSSAFFTSC-UHFFFAOYSA-N -1 1 319.350 1.366 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)sc1C ZINC001381449658 881811053 /nfs/dbraw/zinc/81/10/53/881811053.db2.gz MKJHQLOHHDPWKG-BDAKNGLRSA-N -1 1 323.422 1.485 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H]1C=CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001331490547 865113912 /nfs/dbraw/zinc/11/39/12/865113912.db2.gz PPVKCOAUQJPKRX-NEPJUHHUSA-N -1 1 307.398 1.193 20 0 DDADMM CCOC(=O)Cc1ccnc(Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001159203717 865166436 /nfs/dbraw/zinc/16/64/36/865166436.db2.gz AXSSNEYLSYCCGC-UHFFFAOYSA-N -1 1 314.305 1.303 20 0 DDADMM COc1cccc([C@@H]2CNCCN2C(=O)CCCCC(=O)[O-])c1 ZINC001331718016 865271210 /nfs/dbraw/zinc/27/12/10/865271210.db2.gz RYXRUFJOPKVOQY-HNNXBMFYSA-N -1 1 320.389 1.813 20 0 DDADMM CN1[C@@H]2C[C@@H](Oc3ccc(S(=O)(=O)[O-])cc3)[C@H]1CC(=O)C2 ZINC001225515362 881878034 /nfs/dbraw/zinc/87/80/34/881878034.db2.gz SFVIOMBQFTVLMZ-CUOATXAZSA-N -1 1 311.359 1.116 20 0 DDADMM Cc1cc2c(c(N[C@@H]3CCc4ccc(O)cc4C3)n1)C(=O)[N-]C2=O ZINC001159893862 865582546 /nfs/dbraw/zinc/58/25/46/865582546.db2.gz TZIVLCLYDUZHJV-GFCCVEGCSA-N -1 1 323.352 1.949 20 0 DDADMM C[C@@H](CC(=O)[O-])CC(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC001332165844 865630544 /nfs/dbraw/zinc/63/05/44/865630544.db2.gz PBBQSNPIBQIJAJ-CYBMUJFWSA-N -1 1 319.405 1.878 20 0 DDADMM Cc1cc2c(c(N[C@@H]3COCc4ccccc43)n1)C(=O)[N-]C2=O ZINC001160119588 865684797 /nfs/dbraw/zinc/68/47/97/865684797.db2.gz GQXDSOXJYVEPGB-CYBMUJFWSA-N -1 1 309.325 1.957 20 0 DDADMM CC(=O)OCC(COC(C)=O)Oc1cc([O-])ccc1C(C)=O ZINC001225620261 881941243 /nfs/dbraw/zinc/94/12/43/881941243.db2.gz ZDTWAPITJADZLT-UHFFFAOYSA-N -1 1 310.302 1.468 20 0 DDADMM Cc1cccc2c(Nc3c(O)[nH]c(=O)[n-]c3=S)nccc12 ZINC001160850206 866149830 /nfs/dbraw/zinc/14/98/30/866149830.db2.gz VGAZJQIKWYOCNL-JTQLQIEISA-N -1 1 300.343 1.491 20 0 DDADMM Cc1c[nH]c2nc(Cl)cc(Nc3c(O)[nH]c(=O)[n-]c3=S)c12 ZINC001160853198 866151283 /nfs/dbraw/zinc/15/12/83/866151283.db2.gz KVNZIRJCFOQIRW-QMMMGPOBSA-N -1 1 323.765 1.472 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2C[C@H](C)CO2)[n-]c1Cl ZINC001361641120 882069169 /nfs/dbraw/zinc/06/91/69/882069169.db2.gz HHBYSMPMMDCVHY-BQBZGAKWSA-N -1 1 301.730 1.603 20 0 DDADMM Cc1cccc2nc(C(=O)N3CCC(c4nn[n-]n4)CC3)cn21 ZINC001334260296 867434628 /nfs/dbraw/zinc/43/46/28/867434628.db2.gz NKQHZLFMRKQLHB-UHFFFAOYSA-N -1 1 311.349 1.176 20 0 DDADMM CC(=O)Nc1ccc(C)c(NC(=O)CCCc2nn[n-]n2)c1 ZINC001361652892 882093928 /nfs/dbraw/zinc/09/39/28/882093928.db2.gz WOUAVILVMIXVKV-UHFFFAOYSA-N -1 1 302.338 1.428 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@@H]1COc2ccccc2O1 ZINC001324805247 867536870 /nfs/dbraw/zinc/53/68/70/867536870.db2.gz BYOQNERNRIORDK-LLVKDONJSA-N -1 1 323.345 1.606 20 0 DDADMM CCc1cc(NC(=O)c2ccc3n[n-]c(=S)n3c2)nn1C ZINC001162458103 867547487 /nfs/dbraw/zinc/54/74/87/867547487.db2.gz OMQJDRAUBXIEBJ-UHFFFAOYSA-N -1 1 302.363 1.566 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1C[C@@H](C(=O)OC)C1(C)C ZINC001225900269 882100971 /nfs/dbraw/zinc/10/09/71/882100971.db2.gz DFWVGTGGGYPBGT-UWVGGRQHSA-N -1 1 324.333 1.325 20 0 DDADMM C[C@@H](NCCCN(C)C(=O)c1ncccc1[O-])c1csnn1 ZINC001322480652 868192110 /nfs/dbraw/zinc/19/21/10/868192110.db2.gz HXOCCGLIIWMZLS-SNVBAGLBSA-N -1 1 321.406 1.452 20 0 DDADMM C[C@H](NCCCN(C)C(=O)c1ncccc1[O-])c1csnn1 ZINC001322480650 868192333 /nfs/dbraw/zinc/19/23/33/868192333.db2.gz HXOCCGLIIWMZLS-JTQLQIEISA-N -1 1 321.406 1.452 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CC[C@@H](C4CC4)O3)nc2n1 ZINC001361706382 882198184 /nfs/dbraw/zinc/19/81/84/882198184.db2.gz ZBNYGDFPGVUBLO-QWRGUYRKSA-N -1 1 317.349 1.012 20 0 DDADMM O=C([O-])COCCN1CC[C@H](c2nccc(C(F)(F)F)n2)C1 ZINC001336105095 868734377 /nfs/dbraw/zinc/73/43/77/868734377.db2.gz VYMNCVDKSVAEHJ-VIFPVBQESA-N -1 1 319.283 1.386 20 0 DDADMM O=C([N-]CCNc1cccc(N2CCNC2=O)c1)C(F)(F)F ZINC001164243851 869050072 /nfs/dbraw/zinc/05/00/72/869050072.db2.gz IPRJRRIMUPUTKW-UHFFFAOYSA-N -1 1 316.283 1.307 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CCC[C@H]1c1cccs1 ZINC001338271620 869898862 /nfs/dbraw/zinc/89/88/62/869898862.db2.gz KBMLLEVECBHMNG-VIFPVBQESA-N -1 1 316.394 1.322 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCC[C@H]1c1cccs1 ZINC001338271620 869898879 /nfs/dbraw/zinc/89/88/79/869898879.db2.gz KBMLLEVECBHMNG-VIFPVBQESA-N -1 1 316.394 1.322 20 0 DDADMM O=C(/C=C/c1ccc(C(=O)[O-])o1)NCCCCN1CCOCC1 ZINC001339150461 870370089 /nfs/dbraw/zinc/37/00/89/870370089.db2.gz DAEUKWMVAZJQRY-GQCTYLIASA-N -1 1 322.361 1.220 20 0 DDADMM C[C@@H]1CCN(c2nnc(Cc3nnn[n-]3)n2C)[C@H]1c1ccccc1 ZINC001339603632 870575481 /nfs/dbraw/zinc/57/54/81/870575481.db2.gz NQUMNTKAGMOXFT-IAQYHMDHSA-N -1 1 324.392 1.507 20 0 DDADMM C[C@@H]1CCN(c2nnc(Cc3nn[n-]n3)n2C)[C@H]1c1ccccc1 ZINC001339603632 870575490 /nfs/dbraw/zinc/57/54/90/870575490.db2.gz NQUMNTKAGMOXFT-IAQYHMDHSA-N -1 1 324.392 1.507 20 0 DDADMM CCCCC[C@H](C)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001317306533 870613804 /nfs/dbraw/zinc/61/38/04/870613804.db2.gz AUUGWXJEMDPCMF-STQMWFEESA-N -1 1 323.441 1.807 20 0 DDADMM C/C=C(\C)C(=O)NCCC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001317428498 870815033 /nfs/dbraw/zinc/81/50/33/870815033.db2.gz XRQSPLIROCSWCL-NDZKXSSTSA-N -1 1 307.398 1.337 20 0 DDADMM O=C(Cc1ccccc1CO)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001301648742 871015476 /nfs/dbraw/zinc/01/54/76/871015476.db2.gz FKROGQYUYFUJSW-INIZCTEOSA-N -1 1 323.356 1.140 20 0 DDADMM O=C(NCc1cccc2c1CCOC2)C(=O)c1ccc([O-])cc1 ZINC001301673698 871018303 /nfs/dbraw/zinc/01/83/03/871018303.db2.gz ZRTBNAUBKWSFFK-UHFFFAOYSA-N -1 1 311.337 1.964 20 0 DDADMM CNC(=O)c1ccc(OC)c(-c2ccc3c(c2)CC(=O)[N-]C3=O)c1 ZINC001205179879 871414907 /nfs/dbraw/zinc/41/49/07/871414907.db2.gz OBSDZFJWDALJCZ-UHFFFAOYSA-N -1 1 324.336 1.534 20 0 DDADMM O=C([O-])[C@]1(C(=O)N2CCCC3(CCCCC3)CC2)CNCCO1 ZINC001340987504 871452620 /nfs/dbraw/zinc/45/26/20/871452620.db2.gz UFAQGBPECVLPIL-QGZVFWFLSA-N -1 1 324.421 1.393 20 0 DDADMM COc1ccc(CNC(=O)c2cnc(SC)[n-]c2=O)cc1 ZINC001361857211 882511999 /nfs/dbraw/zinc/51/19/99/882511999.db2.gz QCSWZHNOFKUTEF-UHFFFAOYSA-N -1 1 305.359 1.843 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1Cc2ccc(Cl)cc2C1 ZINC001341254673 871577751 /nfs/dbraw/zinc/57/77/51/871577751.db2.gz MUVRAWOGEODBAQ-UHFFFAOYSA-N -1 1 302.729 1.169 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1Cc2ccc(Cl)cc2C1 ZINC001341254673 871577759 /nfs/dbraw/zinc/57/77/59/871577759.db2.gz MUVRAWOGEODBAQ-UHFFFAOYSA-N -1 1 302.729 1.169 20 0 DDADMM O=C([O-])[C@]1(C(=O)N2CCC(C3CCCCC3)CC2)CNCCO1 ZINC001341265786 871582673 /nfs/dbraw/zinc/58/26/73/871582673.db2.gz OPSZGPCZFGQCJS-QGZVFWFLSA-N -1 1 324.421 1.249 20 0 DDADMM Cc1ncsc1CN(C)CCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001316820247 872109515 /nfs/dbraw/zinc/10/95/15/872109515.db2.gz UVYOGNFMYRPTAW-UHFFFAOYSA-N -1 1 323.422 1.441 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)NCCCCC(=O)[O-] ZINC001343347188 872590317 /nfs/dbraw/zinc/59/03/17/872590317.db2.gz LBZLIAYWTPHXAV-ZDUSSCGKSA-N -1 1 308.378 1.846 20 0 DDADMM O=C([O-])CSCCNCc1cn(Cc2ccccc2)nn1 ZINC001343426619 872620487 /nfs/dbraw/zinc/62/04/87/872620487.db2.gz AURUAPMJIKQMIC-UHFFFAOYSA-N -1 1 306.391 1.234 20 0 DDADMM CC[C@@H](C)CC(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001479686874 872784875 /nfs/dbraw/zinc/78/48/75/872784875.db2.gz VFVNSAVEKBEBOJ-CHWSQXEVSA-N -1 1 323.441 1.807 20 0 DDADMM CC[C@H](F)C(=O)N(C)[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001381800153 882695921 /nfs/dbraw/zinc/69/59/21/882695921.db2.gz ADUNYUDCZXUSTN-RYUDHWBXSA-N -1 1 323.368 1.502 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001361964829 882722599 /nfs/dbraw/zinc/72/25/99/882722599.db2.gz WQONCSPTHLZADI-UHFFFAOYSA-N -1 1 309.244 1.311 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](C)CCc1cccn1C ZINC001346554987 873801862 /nfs/dbraw/zinc/80/18/62/873801862.db2.gz TYXYSLQCTYIOIO-SECBINFHSA-N -1 1 320.418 1.869 20 0 DDADMM CC(F)(F)CCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208444533 874146234 /nfs/dbraw/zinc/14/62/34/874146234.db2.gz SVVBRIGJPJSGFY-SECBINFHSA-N -1 1 317.340 1.026 20 0 DDADMM Cc1ncccc1CCNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001347478631 874157301 /nfs/dbraw/zinc/15/73/01/874157301.db2.gz QGAHJMGMZFTQQC-UHFFFAOYSA-N -1 1 304.375 1.455 20 0 DDADMM CCC(CC)[C@H](C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208463702 874163905 /nfs/dbraw/zinc/16/39/05/874163905.db2.gz RIBXXDXCQRYSNM-WCQYABFASA-N -1 1 323.441 1.663 20 0 DDADMM CON(C(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O)C(C)C ZINC001347699175 874250348 /nfs/dbraw/zinc/25/03/48/874250348.db2.gz QCMYXDXAZWEWCR-UHFFFAOYSA-N -1 1 316.361 1.894 20 0 DDADMM O=C(c1cc2cc(F)ccc2o1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347973448 874324688 /nfs/dbraw/zinc/32/46/88/874324688.db2.gz DKSVQJALXVEHHU-MRVPVSSYSA-N -1 1 301.281 1.715 20 0 DDADMM CCC1(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])CCC1 ZINC001378483891 874961828 /nfs/dbraw/zinc/96/18/28/874961828.db2.gz HSWZLQORTVMAHQ-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM COCC(=O)c1ccc([O-])cc1O[C@@H]1[C@H]2C[C@H]3C(=O)O[C@@H]1[C@H]3C2 ZINC001227168723 882891847 /nfs/dbraw/zinc/89/18/47/882891847.db2.gz MGDATCMTHSUJMF-KHHQZAJUSA-N -1 1 318.325 1.550 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@H](OCC2CC2)C1 ZINC001349456545 875184606 /nfs/dbraw/zinc/18/46/06/875184606.db2.gz ULJRISXGVAIYCD-NSHDSACASA-N -1 1 323.418 1.811 20 0 DDADMM Cc1cc(CNC[C@H](C)N(C)C(=O)c2ncccc2[O-])no1 ZINC001378761105 875573843 /nfs/dbraw/zinc/57/38/43/875573843.db2.gz OFYSWGGTKMEHIN-JTQLQIEISA-N -1 1 304.350 1.334 20 0 DDADMM Cc1ccc2c(CCC(=O)N3CC[C@@H](c4nn[n-]n4)C3)c[nH]c2c1 ZINC001350234478 875590790 /nfs/dbraw/zinc/59/07/90/875590790.db2.gz MKHWCGJARIUHME-CYBMUJFWSA-N -1 1 324.388 1.938 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CCCC12CC2 ZINC001378777105 875612847 /nfs/dbraw/zinc/61/28/47/875612847.db2.gz LBKVYEBUWWWOJN-QWRGUYRKSA-N -1 1 307.398 1.027 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2ccnc(F)c2F)[n-]c1=O ZINC001350681398 875830659 /nfs/dbraw/zinc/83/06/59/875830659.db2.gz SIKRHKDLLBVLNE-UHFFFAOYSA-N -1 1 324.287 1.529 20 0 DDADMM Cc1ccc([C@@H]2CCCN2c2nnc(Cc3nnn[n-]3)n2C)cc1 ZINC001350687323 875835538 /nfs/dbraw/zinc/83/55/38/875835538.db2.gz DLZPQVMFNCQKBH-ZDUSSCGKSA-N -1 1 324.392 1.569 20 0 DDADMM Cc1ccc([C@@H]2CCCN2c2nnc(Cc3nn[n-]n3)n2C)cc1 ZINC001350687323 875835545 /nfs/dbraw/zinc/83/55/45/875835545.db2.gz DLZPQVMFNCQKBH-ZDUSSCGKSA-N -1 1 324.392 1.569 20 0 DDADMM COC(=O)c1ccc(OC)c(Nc2c(N)[nH]c(=S)[n-]c2=O)c1 ZINC001213240827 875859085 /nfs/dbraw/zinc/85/90/85/875859085.db2.gz RHFABTATXWHJAB-UHFFFAOYSA-N -1 1 322.346 1.592 20 0 DDADMM CC[C@@H](NC(=O)c1cnncc1[O-])c1ccc2c(c1)OCCO2 ZINC001362077164 882976595 /nfs/dbraw/zinc/97/65/95/882976595.db2.gz JOPWIBMUJCMOFY-GFCCVEGCSA-N -1 1 315.329 1.835 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1CC(C)(C)OC1(C)C ZINC001351730793 876404993 /nfs/dbraw/zinc/40/49/93/876404993.db2.gz GPANWVPVHJIJSP-QMMMGPOBSA-N -1 1 311.407 1.855 20 0 DDADMM CCOc1cc2c(cc1NC(=O)CCc1nn[n-]n1)O[C@@H](C)C2 ZINC001362097264 883029557 /nfs/dbraw/zinc/02/95/57/883029557.db2.gz DWIZKUDBYMVIFX-VIFPVBQESA-N -1 1 317.349 1.493 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2cccnc2F)cs1 ZINC000401802028 876689205 /nfs/dbraw/zinc/68/92/05/876689205.db2.gz VEQFWAKBHSGLHP-UHFFFAOYSA-N -1 1 301.368 1.718 20 0 DDADMM COc1ccc(CCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cn1 ZINC001352473863 876762642 /nfs/dbraw/zinc/76/26/42/876762642.db2.gz DWIHETJXJUKWGP-UHFFFAOYSA-N -1 1 320.374 1.155 20 0 DDADMM COc1cccnc1Nc1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001216152495 876880753 /nfs/dbraw/zinc/88/07/53/876880753.db2.gz WKOLQXYMDFRKIT-UHFFFAOYSA-N -1 1 324.362 1.609 20 0 DDADMM O=c1nc(OC[C@@H]2CCC(c3ccccc3)=NO2)[n-]c(=O)[nH]1 ZINC001227569578 883085741 /nfs/dbraw/zinc/08/57/41/883085741.db2.gz HKPMRNGKXYQAOH-JTQLQIEISA-N -1 1 302.290 1.245 20 0 DDADMM O=c1nc(OC[C@H]2CCC(c3ccccc3)=NO2)[n-]c(=O)[nH]1 ZINC001227569582 883086227 /nfs/dbraw/zinc/08/62/27/883086227.db2.gz HKPMRNGKXYQAOH-SNVBAGLBSA-N -1 1 302.290 1.245 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1[C@H](C)O[C@H](C)[C@H]1C ZINC001364901688 889637136 /nfs/dbraw/zinc/63/71/36/889637136.db2.gz SJTPFLZRTLDQEF-ODXREFDESA-N -1 1 306.409 1.242 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)C(C)(C)C ZINC001380213089 879065009 /nfs/dbraw/zinc/06/50/09/879065009.db2.gz AJBLOZJHZRGSRY-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM O=C(C=C1CCC1)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001356600997 879123863 /nfs/dbraw/zinc/12/38/63/879123863.db2.gz DDEYAXJHPCIPFO-UHFFFAOYSA-N -1 1 315.373 1.618 20 0 DDADMM Cc1occc1C(=O)N[C@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001380380008 879510279 /nfs/dbraw/zinc/51/02/79/879510279.db2.gz RLVKETZNYKPGHY-NXEZZACHSA-N -1 1 317.345 1.625 20 0 DDADMM CN(C)C(=O)C12CC(NC(=O)c3cc([O-])cc(F)c3F)(C1)C2 ZINC001276828160 880321978 /nfs/dbraw/zinc/32/19/78/880321978.db2.gz RVMDMWHENOUHTB-UHFFFAOYSA-N -1 1 310.300 1.411 20 0 DDADMM CC(C)(C)OC(=O)N1CCC=C(C(=O)Nc2nnn[n-]2)CC1 ZINC001362160573 883182044 /nfs/dbraw/zinc/18/20/44/883182044.db2.gz JYPGZXGNEXUMEV-UHFFFAOYSA-N -1 1 308.342 1.096 20 0 DDADMM CC(C)(C)OC(=O)N1CCC=C(C(=O)Nc2nn[n-]n2)CC1 ZINC001362160573 883182052 /nfs/dbraw/zinc/18/20/52/883182052.db2.gz JYPGZXGNEXUMEV-UHFFFAOYSA-N -1 1 308.342 1.096 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)c2cccc([O-])c2F)CCS1(=O)=O ZINC001362178588 883223758 /nfs/dbraw/zinc/22/37/58/883223758.db2.gz JQGCZWXWTIPKKR-DTWKUNHWSA-N -1 1 301.339 1.179 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCC(C)(C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001382126854 883472726 /nfs/dbraw/zinc/47/27/26/883472726.db2.gz QNACBWDRBXEONH-GHMZBOCLSA-N -1 1 309.414 1.273 20 0 DDADMM C[C@H]1CN=C(N2CCN(C(=O)c3ccc(F)c([O-])c3)CC2)S1 ZINC001362291656 883494734 /nfs/dbraw/zinc/49/47/34/883494734.db2.gz LWMMGLFXGXYRDK-JTQLQIEISA-N -1 1 323.393 1.780 20 0 DDADMM CC(C)(C)OC(=O)N1CC=C[C@@H](Oc2cnnc(=S)[n-]2)C1 ZINC001228643221 883589580 /nfs/dbraw/zinc/58/95/80/883589580.db2.gz YWJWFRGJKMZUNR-SECBINFHSA-N -1 1 310.379 1.715 20 0 DDADMM Cc1cc(CNC(=O)OC(C)(C)C)oc1C(=O)Nc1nn[n-]n1 ZINC001362336962 883595686 /nfs/dbraw/zinc/59/56/86/883595686.db2.gz JMOOZTVPTUDNII-UHFFFAOYSA-N -1 1 322.325 1.378 20 0 DDADMM CC(=O)N1Cc2ccc(NC(=O)C3=C([O-])C(C)N=N3)cc2C1 ZINC001362386775 883693242 /nfs/dbraw/zinc/69/32/42/883693242.db2.gz RECRTHGDGWNHLR-UHFFFAOYSA-N -1 1 300.318 1.538 20 0 DDADMM CCC(CC)(NC(=O)C[C@@H](C)c1ccncc1)c1nn[n-]n1 ZINC001362421579 883772773 /nfs/dbraw/zinc/77/27/73/883772773.db2.gz FFSSOHKKCUEJDH-LLVKDONJSA-N -1 1 302.382 1.920 20 0 DDADMM Cn1cc([C@H]2CSCCN2C(=O)c2ccc([O-])cn2)cn1 ZINC001362426410 883784204 /nfs/dbraw/zinc/78/42/04/883784204.db2.gz RCKCFFQRTIUNRZ-CYBMUJFWSA-N -1 1 304.375 1.451 20 0 DDADMM CSc1ncc(C(=O)N2CCOCC3(CCC3)C2)c(=O)[n-]1 ZINC001362463790 883871024 /nfs/dbraw/zinc/87/10/24/883871024.db2.gz JRWNDWFWPZOWJO-UHFFFAOYSA-N -1 1 309.391 1.547 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCOC[C@@H]1[C@H]1CCCC1=O ZINC001362473589 883892435 /nfs/dbraw/zinc/89/24/35/883892435.db2.gz XFXJSOWYOUJQKN-DGCLKSJQSA-N -1 1 307.321 1.742 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)COC2CC2)n[n-]1 ZINC001362596402 884180601 /nfs/dbraw/zinc/18/06/01/884180601.db2.gz AGQJTJWAXOZREP-LLVKDONJSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)COC2CC2)[n-]1 ZINC001362596402 884180611 /nfs/dbraw/zinc/18/06/11/884180611.db2.gz AGQJTJWAXOZREP-LLVKDONJSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)COC2CC2)n1 ZINC001362596402 884180620 /nfs/dbraw/zinc/18/06/20/884180620.db2.gz AGQJTJWAXOZREP-LLVKDONJSA-N -1 1 324.381 1.364 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC(=O)N(Cc2ccccc2)C1 ZINC001362599790 884189508 /nfs/dbraw/zinc/18/95/08/884189508.db2.gz VFEWPNNNFKAGTA-UHFFFAOYSA-N -1 1 314.316 1.973 20 0 DDADMM CC(C)(C)OCc1cc(CNC(=O)CCCc2nn[n-]n2)no1 ZINC001362680197 884400551 /nfs/dbraw/zinc/40/05/51/884400551.db2.gz RUCLNSNDMZEJQA-UHFFFAOYSA-N -1 1 322.369 1.142 20 0 DDADMM CC1(C)CCN(C(=O)c2ccc(F)c([O-])c2)CCS1(=O)=O ZINC001362764291 884584028 /nfs/dbraw/zinc/58/40/28/884584028.db2.gz DDLPVZADPHZNBD-UHFFFAOYSA-N -1 1 315.366 1.571 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(OC)cc1O)c1nn[n-]n1 ZINC001362788807 884641983 /nfs/dbraw/zinc/64/19/83/884641983.db2.gz WIXAVEHSHNKHPY-UHFFFAOYSA-N -1 1 305.338 1.359 20 0 DDADMM O=C(c1s[n-]c(=O)c1Cl)N1CCC[C@H](c2nc[nH]n2)C1 ZINC001362851042 884794617 /nfs/dbraw/zinc/79/46/17/884794617.db2.gz MWADVWLXRVJNRY-LURJTMIESA-N -1 1 313.770 1.640 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1cc[nH]c1C ZINC001362890722 884896249 /nfs/dbraw/zinc/89/62/49/884896249.db2.gz RGCUWFNSDVNVRG-LLVKDONJSA-N -1 1 317.349 1.595 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1cc[nH]c1C ZINC001362890722 884896264 /nfs/dbraw/zinc/89/62/64/884896264.db2.gz RGCUWFNSDVNVRG-LLVKDONJSA-N -1 1 317.349 1.595 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1cc[nH]c1C ZINC001362890722 884896280 /nfs/dbraw/zinc/89/62/80/884896280.db2.gz RGCUWFNSDVNVRG-LLVKDONJSA-N -1 1 317.349 1.595 20 0 DDADMM CO[C@H](C)C(=O)N(CCN(C)C(=O)c1ncccc1[O-])C(C)C ZINC001383153786 885323685 /nfs/dbraw/zinc/32/36/85/885323685.db2.gz CNUVYARPUIAIBE-GFCCVEGCSA-N -1 1 323.393 1.131 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1C[C@H](C)n2ncnc21 ZINC001363052277 885338617 /nfs/dbraw/zinc/33/86/17/885338617.db2.gz KOLKFHXNWIBEJJ-POYBYMJQSA-N -1 1 320.378 1.240 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](CO)C[C@H](C)C1 ZINC001363094838 885449078 /nfs/dbraw/zinc/44/90/78/885449078.db2.gz ZMQWAQUQPOKRGH-CMPLNLGQSA-N -1 1 321.421 1.879 20 0 DDADMM CCC(CC)(NC(=O)CC[C@H]1CC(C)(C)CO1)c1nn[n-]n1 ZINC001363136369 885554669 /nfs/dbraw/zinc/55/46/69/885554669.db2.gz NNBOLAZOFGCUSN-NSHDSACASA-N -1 1 309.414 1.927 20 0 DDADMM COC(=O)CO[C@H]1CCN(Cc2cc(C(=O)[O-])ccc2F)C1 ZINC001231460731 885682373 /nfs/dbraw/zinc/68/23/73/885682373.db2.gz AYKNNPVARZBVLR-LBPRGKRZSA-N -1 1 311.309 1.288 20 0 DDADMM O=C(CCCF)N[C@@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001383432061 885774150 /nfs/dbraw/zinc/77/41/50/885774150.db2.gz IHCHZDRHLKUEFS-VXGBXAGGSA-N -1 1 323.368 1.694 20 0 DDADMM CS(=O)(=O)N1CCN(Cc2ccc(Cl)c([O-])c2)CC1 ZINC001231739152 885845544 /nfs/dbraw/zinc/84/55/44/885845544.db2.gz XRCCTBZUCQXSTA-UHFFFAOYSA-N -1 1 304.799 1.123 20 0 DDADMM CO[C@H](C(=O)N(Cc1nn[n-]n1)CC(C)C)c1ccc(F)cc1 ZINC001363275213 885882930 /nfs/dbraw/zinc/88/29/30/885882930.db2.gz DSSLQEIGRSURDQ-AWEZNQCLSA-N -1 1 321.356 1.711 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CCC(C)(C)O ZINC001363349471 886099896 /nfs/dbraw/zinc/09/98/96/886099896.db2.gz HYZLDHFYBMFQLD-SNVBAGLBSA-N -1 1 324.381 1.196 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CCC(C)(C)O ZINC001363349471 886099914 /nfs/dbraw/zinc/09/99/14/886099914.db2.gz HYZLDHFYBMFQLD-SNVBAGLBSA-N -1 1 324.381 1.196 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CCC(C)(C)O ZINC001363349471 886099929 /nfs/dbraw/zinc/09/99/29/886099929.db2.gz HYZLDHFYBMFQLD-SNVBAGLBSA-N -1 1 324.381 1.196 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cccc([C@H]2CCOC2)c1 ZINC001363376047 886170453 /nfs/dbraw/zinc/17/04/53/886170453.db2.gz KKZCQXRUQVYNDC-ZDUSSCGKSA-N -1 1 313.361 1.513 20 0 DDADMM COC[C@@H](NC(=O)c1cc2c(s1)CC[C@@H](C)C2)c1nn[n-]n1 ZINC001363440290 886339994 /nfs/dbraw/zinc/33/99/94/886339994.db2.gz YKOSYIZSQNWSNU-PSASIEDQSA-N -1 1 321.406 1.504 20 0 DDADMM O=S1(=O)CC[C@@H](N(Cc2ccc([O-])c(F)c2F)C2CC2)C1 ZINC001363440053 886340166 /nfs/dbraw/zinc/34/01/66/886340166.db2.gz USLSHABNXPNAKB-LLVKDONJSA-N -1 1 317.357 1.822 20 0 DDADMM COC(=O)[C@H](C)c1cccc(NC(=O)CCCc2nn[n-]n2)c1 ZINC001363443024 886350173 /nfs/dbraw/zinc/35/01/73/886350173.db2.gz NQEILLFYKSUEOD-SNVBAGLBSA-N -1 1 317.349 1.438 20 0 DDADMM CC(C)N(CCC(=O)N(C)c1nn[n-]n1)C(=O)OC(C)(C)C ZINC001363489667 886471817 /nfs/dbraw/zinc/47/18/17/886471817.db2.gz MQWXEQDXHOWQBW-UHFFFAOYSA-N -1 1 312.374 1.198 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H]1CCOC(=O)N1 ZINC001363498062 886492307 /nfs/dbraw/zinc/49/23/07/886492307.db2.gz QNJCLGONXMVEBU-LLVKDONJSA-N -1 1 302.290 1.015 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c(O)c1 ZINC001363513811 886526988 /nfs/dbraw/zinc/52/69/88/886526988.db2.gz SEYQXGKCGZELKK-LBPRGKRZSA-N -1 1 317.349 1.242 20 0 DDADMM CC(=O)c1cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)cs1 ZINC001363543931 886595198 /nfs/dbraw/zinc/59/51/98/886595198.db2.gz KQAWQIDRTIBRKD-UHFFFAOYSA-N -1 1 307.379 1.762 20 0 DDADMM CC[C@@H](CNC(=O)CCCc1nn[n-]n1)C(=O)OC(C)(C)C ZINC001363569795 886659227 /nfs/dbraw/zinc/65/92/27/886659227.db2.gz LQURKZUEIGCQRC-JTQLQIEISA-N -1 1 311.386 1.007 20 0 DDADMM CN(C)C(=O)[C@@H]1C[C@@H]2[C@@H](CC[N@H+]2Cc2cccc(O)c2F)O1 ZINC001233051656 886761535 /nfs/dbraw/zinc/76/15/35/886761535.db2.gz RDHHHLACZLWSRT-BNOWGMLFSA-N -1 1 308.353 1.351 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)CC2(C)CC2)CN1C(=O)c1ncccc1[O-] ZINC001384087084 886938432 /nfs/dbraw/zinc/93/84/32/886938432.db2.gz DVJBGTBKOKVCOZ-NEPJUHHUSA-N -1 1 317.389 1.697 20 0 DDADMM COc1ccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)cc1OC ZINC001363810414 887283939 /nfs/dbraw/zinc/28/39/39/887283939.db2.gz WJYBXBZYQYAWIC-UHFFFAOYSA-N -1 1 319.365 1.515 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H]1Cc2ccncc2[C@H]1C ZINC001233758462 887285868 /nfs/dbraw/zinc/28/58/68/887285868.db2.gz CBRGHAUMOCBPFE-KCJUWKMLSA-N -1 1 301.302 1.471 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])cn2)C[C@H](C)N1CC(F)(F)F ZINC001363868676 887431494 /nfs/dbraw/zinc/43/14/94/887431494.db2.gz XGAHJBIVQXOSBP-AOOOYVTPSA-N -1 1 317.311 1.884 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cc(C)on2)[n-]c1=O ZINC001363883563 887465833 /nfs/dbraw/zinc/46/58/33/887465833.db2.gz GYMSJDFPXLWUPR-SNVBAGLBSA-N -1 1 304.306 1.465 20 0 DDADMM CCCCC(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001384466897 887519380 /nfs/dbraw/zinc/51/93/80/887519380.db2.gz GERNWGCSPGRJEY-TXEJJXNPSA-N -1 1 305.378 1.602 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(C)(C)C(C)C ZINC001363962998 887622021 /nfs/dbraw/zinc/62/20/21/887622021.db2.gz DQESNBAWADBFCD-UHFFFAOYSA-N -1 1 303.384 1.157 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1csnc1OC)[C@H]1CCCCO1 ZINC001364004585 887706360 /nfs/dbraw/zinc/70/63/60/887706360.db2.gz STGQQMZLVWZBIZ-NXEZZACHSA-N -1 1 320.436 1.778 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)sn1 ZINC001364028450 887753748 /nfs/dbraw/zinc/75/37/48/887753748.db2.gz RAQNJWNISPYFTR-GLLZPBPUSA-N -1 1 306.409 1.242 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@]12CCC[C@H]1OCC2 ZINC001364038140 887772507 /nfs/dbraw/zinc/77/25/07/887772507.db2.gz JMFRCEJZFOCFPA-KOLCDFICSA-N -1 1 304.393 1.142 20 0 DDADMM CSCC[C@H](NC(=O)c1cnn(C(F)F)c1)c1nn[n-]n1 ZINC001364088824 887888911 /nfs/dbraw/zinc/88/89/11/887888911.db2.gz REDSABISFLLPIH-ZETCQYMHSA-N -1 1 317.325 1.016 20 0 DDADMM CC(C)CNC(=O)N1CCC[C@H](CC(=O)Nc2c[n-][nH]c2=O)C1 ZINC001364091214 887897081 /nfs/dbraw/zinc/89/70/81/887897081.db2.gz JIYWBIIKMIRAFG-LLVKDONJSA-N -1 1 323.397 1.522 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H](c1ccccc1)N1CCSCC1 ZINC001364093519 887900599 /nfs/dbraw/zinc/90/05/99/887900599.db2.gz NXXQSQMHXANIEU-CYBMUJFWSA-N -1 1 318.402 1.844 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@@H]2CCOc3ccccc32)c(=O)[n-]1 ZINC001234470560 888002332 /nfs/dbraw/zinc/00/23/32/888002332.db2.gz UWLCACOCOVPNEO-LLVKDONJSA-N -1 1 301.302 1.492 20 0 DDADMM CC(C)c1nc(C(N)=O)c(OC[C@@H]2CCC(C)(C)O2)c(=O)[n-]1 ZINC001234560287 888094136 /nfs/dbraw/zinc/09/41/36/888094136.db2.gz CUKJOTXJWQXGOM-VIFPVBQESA-N -1 1 309.366 1.741 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H]1CC(F)(F)C1(F)F ZINC001234562811 888100737 /nfs/dbraw/zinc/10/07/37/888100737.db2.gz RTVXVTGCNOKKOE-RXMQYKEDSA-N -1 1 310.203 1.699 20 0 DDADMM CN(C(=O)CC[C@@H]1CCCN1C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001364201411 888135809 /nfs/dbraw/zinc/13/58/09/888135809.db2.gz SUZHXMJYMNUUIM-JTQLQIEISA-N -1 1 324.385 1.342 20 0 DDADMM CCc1cc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)n(C)n1 ZINC001364236976 888193697 /nfs/dbraw/zinc/19/36/97/888193697.db2.gz WVJKZPYFJJEXCS-UHFFFAOYSA-N -1 1 319.365 1.152 20 0 DDADMM CN(C[C@H]1CCN1C(=O)OC(C)(C)C)C(=O)c1ccc([O-])cn1 ZINC001364239165 888197615 /nfs/dbraw/zinc/19/76/15/888197615.db2.gz DDXNLVGJFRGXPE-LLVKDONJSA-N -1 1 321.377 1.869 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(C[C@@H](O)CC3(O)CCCCC3)C2)n[nH]1 ZINC001364415246 888586185 /nfs/dbraw/zinc/58/61/85/888586185.db2.gz MFCMZICHIFOPHZ-OLZOCXBDSA-N -1 1 324.425 1.136 20 0 DDADMM Cc1ccc(F)c(-c2ncccc2[C@@]2(C)NC(=O)NC2=O)c1[O-] ZINC001236389515 889223107 /nfs/dbraw/zinc/22/31/07/889223107.db2.gz DUYKCCHLCPGNQV-MRXNPFEDSA-N -1 1 315.304 1.956 20 0 DDADMM O=C1Cc2cc(-c3cnn(Cc4ccccn4)c3)ccc2C(=O)[N-]1 ZINC001236436791 889232572 /nfs/dbraw/zinc/23/25/72/889232572.db2.gz IBSMEPQQJVEOAU-UHFFFAOYSA-N -1 1 318.336 1.806 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)[C@H](OC)C1CC1 ZINC001364864361 889571621 /nfs/dbraw/zinc/57/16/21/889571621.db2.gz GJIRKWWPYIOXOS-XCBNKYQSSA-N -1 1 306.409 1.244 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2C[C@H]2C2CCOCC2)sn1 ZINC001364910446 889653354 /nfs/dbraw/zinc/65/33/54/889653354.db2.gz MPMYICPYSJWVLU-VHSXEESVSA-N -1 1 318.420 1.245 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)C(=O)OCC(C)C ZINC001364933846 889697542 /nfs/dbraw/zinc/69/75/42/889697542.db2.gz QPKPEFZRKUTMLE-QMMMGPOBSA-N -1 1 322.408 1.018 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@@]23C[C@@H]2CCCC3)[n-]n1 ZINC001364967575 889779444 /nfs/dbraw/zinc/77/94/44/889779444.db2.gz YDCKLVAACVTCOS-TVQRCGJNSA-N -1 1 313.379 1.055 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@@]23C[C@@H]2CCCC3)n[n-]1 ZINC001364967575 889779458 /nfs/dbraw/zinc/77/94/58/889779458.db2.gz YDCKLVAACVTCOS-TVQRCGJNSA-N -1 1 313.379 1.055 20 0 DDADMM CCCNC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001364972442 889788882 /nfs/dbraw/zinc/78/88/82/889788882.db2.gz OIMUTMOFUQSWAH-MRVPVSSYSA-N -1 1 322.789 1.672 20 0 DDADMM [O-]c1ccc(CNCc2nnc(C3CCOCC3)[nH]2)c(F)c1F ZINC001364989897 889832249 /nfs/dbraw/zinc/83/22/49/889832249.db2.gz JLYAATKGEGTJOG-UHFFFAOYSA-N -1 1 324.331 1.972 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3ccc(CO)cc3)ccnc1-2 ZINC001365051584 889987434 /nfs/dbraw/zinc/98/74/34/889987434.db2.gz LEFPVDACVSCNGX-UHFFFAOYSA-N -1 1 311.345 1.156 20 0 DDADMM [O-]c1ccc(Br)c(CN2CCOC[C@@H](O)C2)c1F ZINC001238304928 890164420 /nfs/dbraw/zinc/16/44/20/890164420.db2.gz IHTNIWINIRXZMM-QMMMGPOBSA-N -1 1 320.158 1.487 20 0 DDADMM CC(=O)[C@H]([N-]S(=O)(=O)c1c[nH]nc1C)c1ccccc1F ZINC001365433155 890789620 /nfs/dbraw/zinc/78/96/20/890789620.db2.gz LXSXHOCZTGRPPV-ZDUSSCGKSA-N -1 1 311.338 1.466 20 0 DDADMM COc1ccccc1NC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001365480151 890880476 /nfs/dbraw/zinc/88/04/76/890880476.db2.gz GGGMLYTZIGIQMS-UHFFFAOYSA-N -1 1 302.338 1.620 20 0 DDADMM CC(=O)NCc1cccc(-c2ccc([P@@](=O)([O-])O)cc2)c1 ZINC001240353609 890911625 /nfs/dbraw/zinc/91/16/25/890911625.db2.gz NAEBRCZNJFZENN-UHFFFAOYSA-N -1 1 305.270 1.793 20 0 DDADMM CC(=O)NCc1cccc(-c2ccc([P@](=O)([O-])O)cc2)c1 ZINC001240353609 890911631 /nfs/dbraw/zinc/91/16/31/890911631.db2.gz NAEBRCZNJFZENN-UHFFFAOYSA-N -1 1 305.270 1.793 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@]1(C)CCCC[C@@H]1O ZINC001365679431 891304772 /nfs/dbraw/zinc/30/47/72/891304772.db2.gz BNFKXWCDSSKETH-CMPLNLGQSA-N -1 1 320.436 1.371 20 0 DDADMM CC(=O)[N-]S(=O)(=O)c1ccc(-c2cccc(F)c2O)nc1 ZINC001242350004 891399697 /nfs/dbraw/zinc/39/96/97/891399697.db2.gz CDKFKMCEYGQYNZ-UHFFFAOYSA-N -1 1 310.306 1.418 20 0 DDADMM CSc1nc(CNC(=O)Nc2ccncc2C)cc(=O)[n-]1 ZINC001365774075 891520681 /nfs/dbraw/zinc/52/06/81/891520681.db2.gz QAHVTTMJCKWURM-UHFFFAOYSA-N -1 1 305.363 1.351 20 0 DDADMM Cc1cnccc1C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001386745002 891615297 /nfs/dbraw/zinc/61/52/97/891615297.db2.gz UIRGJPVSMFORRP-NSHDSACASA-N -1 1 314.345 1.039 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CC1(C)CCCC1 ZINC001386746145 891619246 /nfs/dbraw/zinc/61/92/46/891619246.db2.gz XPBJMDFCTPISEL-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1[nH]nc2ncc(NC(=O)c3c(C)[nH]c(=O)[n-]c3=S)cc12 ZINC001292047421 913606885 /nfs/dbraw/zinc/60/68/85/913606885.db2.gz IOIJWPXPBGISJU-UHFFFAOYSA-N -1 1 316.346 1.611 20 0 DDADMM COc1cnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nc1C ZINC001244791137 891924002 /nfs/dbraw/zinc/92/40/02/891924002.db2.gz WBDUWSKEBJAFGF-UHFFFAOYSA-N -1 1 324.362 1.236 20 0 DDADMM CCCNC(=S)NC1([P@](=O)([O-])O)Cc2ccccc2C1 ZINC001244905462 891960200 /nfs/dbraw/zinc/96/02/00/891960200.db2.gz MINLAPPJPAPLNF-UHFFFAOYSA-N -1 1 314.347 1.533 20 0 DDADMM CCOC(=O)[C@H](C)n1cc(-c2ccc(-c3nnn[n-]3)nc2)cn1 ZINC001245331495 892085535 /nfs/dbraw/zinc/08/55/35/892085535.db2.gz QQWXGZCWTJJCIL-VIFPVBQESA-N -1 1 313.321 1.249 20 0 DDADMM CCOC(=O)[C@H](C)n1cc(-c2ccc(-c3nn[n-]n3)nc2)cn1 ZINC001245331495 892085555 /nfs/dbraw/zinc/08/55/55/892085555.db2.gz QQWXGZCWTJJCIL-VIFPVBQESA-N -1 1 313.321 1.249 20 0 DDADMM C[S@@](=O)CCCNC(=S)Nc1cc(Cl)ncc1[O-] ZINC001246401257 892602693 /nfs/dbraw/zinc/60/26/93/892602693.db2.gz ZVRNORDVUDXELR-GOSISDBHSA-N -1 1 307.828 1.496 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)CNCc2c(F)cccc2F)c1[O-] ZINC001374745060 913774832 /nfs/dbraw/zinc/77/48/32/913774832.db2.gz PKEBAYDXZKLQQQ-MRVPVSSYSA-N -1 1 324.331 1.610 20 0 DDADMM CCCC1(C(=O)N(C)CCNC(=O)c2ncccc2[O-])CCC1 ZINC001387698039 893663946 /nfs/dbraw/zinc/66/39/46/893663946.db2.gz YZCVTFTUIIQPGQ-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM CCOC(=O)c1[n-]cnc1-c1nc2cc3c(cc2[nH]1)OCO3 ZINC001251231887 894671859 /nfs/dbraw/zinc/67/18/59/894671859.db2.gz KTHNFSFNKPRZDN-UHFFFAOYSA-N -1 1 300.274 1.858 20 0 DDADMM Cc1cc(C)c(S(=O)(=O)[N-]c2ccnc(C(N)=O)c2)c(C)c1 ZINC001251460572 894712766 /nfs/dbraw/zinc/71/27/66/894712766.db2.gz NFNJEHKPQHTVAW-UHFFFAOYSA-N -1 1 319.386 1.328 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccc(C)cc1)c1ccccn1 ZINC001252211978 895036695 /nfs/dbraw/zinc/03/66/95/895036695.db2.gz IPKBKMVJVJLSMA-CQSZACIVSA-N -1 1 320.370 1.583 20 0 DDADMM O=S(=O)([N-]c1ccc(N2CCC(O)CC2)cc1)C(F)(F)F ZINC001252353179 895098803 /nfs/dbraw/zinc/09/88/03/895098803.db2.gz QUTKOPVFVQZFSV-UHFFFAOYSA-N -1 1 324.324 1.909 20 0 DDADMM COC(=O)c1ncccc1[N-]S(=O)(=O)Cc1ccccc1 ZINC001252810440 895467633 /nfs/dbraw/zinc/46/76/33/895467633.db2.gz ACYYYJLIRWCZMD-UHFFFAOYSA-N -1 1 306.343 1.810 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H](C)C1CCCCC1 ZINC001374820402 914036172 /nfs/dbraw/zinc/03/61/72/914036172.db2.gz JVZMPHWCLCDMNY-VXGBXAGGSA-N -1 1 323.441 1.711 20 0 DDADMM Cc1ccc(OC[C@@H](O)CNc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001253843667 896139403 /nfs/dbraw/zinc/13/94/03/896139403.db2.gz SHNXVYTXTXBWOA-JTQLQIEISA-N -1 1 315.333 1.170 20 0 DDADMM C[C@H](CNC(=O)C1CC2(CCC2)C1)NC(=O)c1ncccc1[O-] ZINC001389173027 896610067 /nfs/dbraw/zinc/61/00/67/896610067.db2.gz XPEMMJQMWJSILP-LLVKDONJSA-N -1 1 317.389 1.602 20 0 DDADMM CC(C)(O)[C@H](F)C[N-]S(=O)(=O)c1ccc(Cl)s1 ZINC001256563276 897477139 /nfs/dbraw/zinc/47/71/39/897477139.db2.gz YGZIPQNIJDCDMZ-ZCFIWIBFSA-N -1 1 301.792 1.789 20 0 DDADMM C[C@@H](CN(C)Cc1nccs1)NC(=O)c1ncccc1[O-] ZINC001367794323 897821643 /nfs/dbraw/zinc/82/16/43/897821643.db2.gz MFOFYHMXPYENPZ-JTQLQIEISA-N -1 1 306.391 1.494 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257515745 897870044 /nfs/dbraw/zinc/87/00/44/897870044.db2.gz QAIPRWLFAVIHGP-UHFFFAOYSA-N -1 1 318.203 1.896 20 0 DDADMM CC(C)OC1CCC(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC1 ZINC001257874280 897967593 /nfs/dbraw/zinc/96/75/93/897967593.db2.gz QCKRGECMXVGYAS-UHFFFAOYSA-N -1 1 307.354 1.864 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1cnc(C)nc1Cl ZINC001258511514 898229010 /nfs/dbraw/zinc/22/90/10/898229010.db2.gz ZKCTWGDTPNJQQZ-UHFFFAOYSA-N -1 1 302.743 1.844 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1cccc(C(F)(F)F)c1O ZINC001259027481 898426963 /nfs/dbraw/zinc/42/69/63/898426963.db2.gz ZNMAUKKZIKLBPP-UHFFFAOYSA-N -1 1 313.253 1.326 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2ccc(OC)cc2CO)c1 ZINC001259189179 898516902 /nfs/dbraw/zinc/51/69/02/898516902.db2.gz MPHVKXQOMTWCGY-UHFFFAOYSA-N -1 1 323.370 1.997 20 0 DDADMM O=C1SCC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259459665 898679719 /nfs/dbraw/zinc/67/97/19/898679719.db2.gz AVDKCYHAZFRTJJ-QMMMGPOBSA-N -1 1 309.771 1.790 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC[C@H]1F)c1ccc(Cl)cc1F ZINC001259513340 898710660 /nfs/dbraw/zinc/71/06/60/898710660.db2.gz GHVADRXFIJAAAH-ZJUUUORDSA-N -1 1 311.737 1.884 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2ccccc2CN2CCCC2)cn1 ZINC001259826183 898836490 /nfs/dbraw/zinc/83/64/90/898836490.db2.gz XUWRGSJCOHFAFA-UHFFFAOYSA-N -1 1 320.418 1.817 20 0 DDADMM COC(=O)c1ccc(C2([N-]S(=O)(=O)C(F)F)CC2)cc1 ZINC001259961165 898984280 /nfs/dbraw/zinc/98/42/80/898984280.db2.gz YVELAGQFLPAMOA-UHFFFAOYSA-N -1 1 305.302 1.604 20 0 DDADMM O=S(=O)([N-]Cc1cncn1Cc1ccc(F)cc1)C(F)F ZINC001259963165 898985476 /nfs/dbraw/zinc/98/54/76/898985476.db2.gz AOFUJAUBTKOAFZ-UHFFFAOYSA-N -1 1 319.308 1.713 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnc2cc(C(F)(F)F)nn2c1 ZINC001259966125 898991733 /nfs/dbraw/zinc/99/17/33/898991733.db2.gz LPMWMJRCPRTVEA-UHFFFAOYSA-N -1 1 324.284 1.136 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2cnc(F)c(F)c2)c1 ZINC001260185212 899077950 /nfs/dbraw/zinc/07/79/50/899077950.db2.gz WYGSNXCYSZFVSH-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)[C@@H](C)CC)c1ccccn1 ZINC001260747970 899245769 /nfs/dbraw/zinc/24/57/69/899245769.db2.gz DVHZLUSRFASIFQ-CMPLNLGQSA-N -1 1 300.380 1.404 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCc1cccc2c1OCO2 ZINC001261270844 899443660 /nfs/dbraw/zinc/44/36/60/899443660.db2.gz NKFRVDANAFJPHG-UHFFFAOYSA-N -1 1 323.345 1.737 20 0 DDADMM C[C@H](NC(=O)COCc1nn[n-]n1)c1ccc2ccccc2c1 ZINC001262159795 899993148 /nfs/dbraw/zinc/99/31/48/899993148.db2.gz JTARZNKTZNGFMC-NSHDSACASA-N -1 1 311.345 1.747 20 0 DDADMM Cc1nn(Cc2ccccc2)c(C)c1C(=O)N(C)c1nn[n-]n1 ZINC001262183276 900002936 /nfs/dbraw/zinc/00/29/36/900002936.db2.gz QAGYSCFPMURISY-UHFFFAOYSA-N -1 1 311.349 1.338 20 0 DDADMM CC(C)c1ccc2c(c1)CN(c1nnc(-c3nnn[n-]3)n1C)CC2 ZINC001262852216 900396529 /nfs/dbraw/zinc/39/65/29/900396529.db2.gz JLFOTRXSHJFOOW-UHFFFAOYSA-N -1 1 324.392 1.681 20 0 DDADMM CC(C)c1ccc2c(c1)CN(c1nnc(-c3nn[n-]n3)n1C)CC2 ZINC001262852216 900396536 /nfs/dbraw/zinc/39/65/36/900396536.db2.gz JLFOTRXSHJFOOW-UHFFFAOYSA-N -1 1 324.392 1.681 20 0 DDADMM O=C(c1cnc2ccc(F)cc2c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001263886479 900799528 /nfs/dbraw/zinc/79/95/28/900799528.db2.gz LGZUTTXITWLDNW-VIFPVBQESA-N -1 1 312.308 1.517 20 0 DDADMM CCCC[C@@H](C)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369442534 901303361 /nfs/dbraw/zinc/30/33/61/901303361.db2.gz IRZBEKGRPMGCSU-NEPJUHHUSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@@H](C(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001391315186 901461843 /nfs/dbraw/zinc/46/18/43/901461843.db2.gz XRQZLTNFTWVSNW-RYUDHWBXSA-N -1 1 323.441 1.521 20 0 DDADMM Cc1[nH]ccc1C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001369803160 901993186 /nfs/dbraw/zinc/99/31/86/901993186.db2.gz CDBSRWATMBOEGN-JTQLQIEISA-N -1 1 316.361 1.314 20 0 DDADMM CCCC[C@H](CC)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001391572939 902038748 /nfs/dbraw/zinc/03/87/48/902038748.db2.gz PNJHLJSAHUIASQ-QWHCGFSZSA-N -1 1 323.441 1.015 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001369944515 902254359 /nfs/dbraw/zinc/25/43/59/902254359.db2.gz KPSLHVUSKVWUTR-YNEHKIRRSA-N -1 1 319.405 1.800 20 0 DDADMM CC[C@@H](CNC(=O)Cc1ccc(C)s1)NCc1n[nH]c(=O)[n-]1 ZINC001391755190 902535153 /nfs/dbraw/zinc/53/51/53/902535153.db2.gz USHRYCPDGUDNDR-JTQLQIEISA-N -1 1 323.422 1.107 20 0 DDADMM CCC(CC)C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001370276740 902833289 /nfs/dbraw/zinc/83/32/89/902833289.db2.gz BDVAXQWAWGXNOU-AULYBMBSSA-N -1 1 319.405 1.848 20 0 DDADMM CCN(CCCNC(=O)C1(C)CCCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001266080215 902898534 /nfs/dbraw/zinc/89/85/34/902898534.db2.gz FZIYWHXEYHSDSA-UHFFFAOYSA-N -1 1 323.441 1.809 20 0 DDADMM CCCC(=O)N(C)C[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001370428500 903135910 /nfs/dbraw/zinc/13/59/10/903135910.db2.gz ONJIOTZTUOKPED-JTQLQIEISA-N -1 1 320.393 1.436 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)C1(CF)CC1 ZINC001370471399 903237187 /nfs/dbraw/zinc/23/71/87/903237187.db2.gz KLGXQWGIDYOYAY-JTQLQIEISA-N -1 1 309.341 1.114 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc[nH]c1)NC(=O)c1ncccc1[O-] ZINC001370513848 903329409 /nfs/dbraw/zinc/32/94/09/903329409.db2.gz IFVRCWHJIHXVDY-JTQLQIEISA-N -1 1 302.334 1.006 20 0 DDADMM O=C(NCCC1(CNC(=O)C(F)F)CC1)c1ncccc1[O-] ZINC001371395852 904890226 /nfs/dbraw/zinc/89/02/26/904890226.db2.gz VSTWMWVVXFLOPB-UHFFFAOYSA-N -1 1 313.304 1.069 20 0 DDADMM CC(C)CC(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001396975048 914853482 /nfs/dbraw/zinc/85/34/82/914853482.db2.gz NLBUEEAGKAWVPW-GFCCVEGCSA-N -1 1 305.378 1.458 20 0 DDADMM CCCC(=O)N[C@H](C)CCCCNC(=O)c1ncccc1[O-] ZINC001281548418 905008238 /nfs/dbraw/zinc/00/82/38/905008238.db2.gz DMRWXFOUUFURGB-GFCCVEGCSA-N -1 1 307.394 1.992 20 0 DDADMM CC/C=C(/C)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001281852476 905266901 /nfs/dbraw/zinc/26/69/01/905266901.db2.gz NWBXDYDPRFPHOS-DSDFTUOUSA-N -1 1 307.398 1.337 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)[C@H](C)NCc2c(C)noc2C)c1[O-] ZINC001393938841 908326423 /nfs/dbraw/zinc/32/64/23/908326423.db2.gz VPOGFDLOHNZSGU-YUMQZZPRSA-N -1 1 321.381 1.325 20 0 DDADMM CCC[C@H](C)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001373159718 909113090 /nfs/dbraw/zinc/11/30/90/909113090.db2.gz ROMJWOCGBPENGX-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM CCC[C@H](C)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001394270473 909223958 /nfs/dbraw/zinc/22/39/58/909223958.db2.gz WMHMBAYPDNRGBZ-SJCJKPOMSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC1(F)F ZINC001373259907 909336046 /nfs/dbraw/zinc/33/60/46/909336046.db2.gz BBOYPIBOFSCCLC-BDAKNGLRSA-N -1 1 313.304 1.067 20 0 DDADMM C=C/C(C)=C/CC(=O)N[C@@H](CC)CNC(=O)c1ncccc1[O-] ZINC001285047780 909995699 /nfs/dbraw/zinc/99/56/99/909995699.db2.gz YODVLAJUVZWQAD-RPHSKFLZSA-N -1 1 317.389 1.934 20 0 DDADMM CCCC[C@H](C)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001373842963 911170119 /nfs/dbraw/zinc/17/01/19/911170119.db2.gz GRHLSSGBRFOZDD-LBPRGKRZSA-N -1 1 323.441 1.855 20 0 DDADMM CO[C@@H](C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-])C(C)C ZINC001395165719 911501624 /nfs/dbraw/zinc/50/16/24/911501624.db2.gz ANNKXRKTJKPZLY-SMDDNHRTSA-N -1 1 323.393 1.035 20 0 DDADMM Cc1occc1C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001395527327 912182062 /nfs/dbraw/zinc/18/20/62/912182062.db2.gz MWGVCWHSINOXLW-JTQLQIEISA-N -1 1 317.345 1.579 20 0 DDADMM CC/C(C)=C/C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001296420236 916402762 /nfs/dbraw/zinc/40/27/62/916402762.db2.gz WAICTPDISNFJGO-RSKUSDAESA-N -1 1 317.389 1.864 20 0 DDADMM CC(C)(C)/C=C/C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001297039323 916681079 /nfs/dbraw/zinc/68/10/79/916681079.db2.gz UNSHOQAAPBONIF-VOTSOKGWSA-N -1 1 303.362 1.330 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CC[C@H]3CC[C@H](C)O3)nc2n1 ZINC000622870860 365550669 /nfs/dbraw/zinc/55/06/69/365550669.db2.gz JQHXDHKSAXMUEX-VHSXEESVSA-N -1 1 305.338 1.012 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC000622882810 365555350 /nfs/dbraw/zinc/55/53/50/365555350.db2.gz AKACMDRHHBLCHD-XYPYZODXSA-N -1 1 305.382 1.709 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@H](C)[C@@H]3CCCO3)nc2n1 ZINC000622992340 365584707 /nfs/dbraw/zinc/58/47/07/365584707.db2.gz HQUNDBDGESVZQQ-ONGXEEELSA-N -1 1 319.365 1.124 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ccoc3C(C)C)nc2n1 ZINC000622993141 365585493 /nfs/dbraw/zinc/58/54/93/365585493.db2.gz CGRUBFGPYUJEDZ-UHFFFAOYSA-N -1 1 315.333 1.949 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCSC3)nc2n1 ZINC000622993602 365586576 /nfs/dbraw/zinc/58/65/76/365586576.db2.gz UJTOIURPVKNRFN-QMMMGPOBSA-N -1 1 307.379 1.062 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)c3cccs3)nc2n1 ZINC000622994109 365587450 /nfs/dbraw/zinc/58/74/50/365587450.db2.gz DTXXPMCDCSJPLI-MRVPVSSYSA-N -1 1 317.374 1.784 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](CCC)OC)nc2n1 ZINC000622997610 365591912 /nfs/dbraw/zinc/59/19/12/365591912.db2.gz DVUARHWRBZKFFN-SNVBAGLBSA-N -1 1 307.354 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC[C@@H]4C[C@@H]43)nc2n1 ZINC000622997969 365592066 /nfs/dbraw/zinc/59/20/66/365592066.db2.gz SFFVNEKEJQNCID-JLLWLGSASA-N -1 1 315.377 1.745 20 0 DDADMM CC(C)(C)c1ccc(C(=O)NCCC(=O)Nc2nnn[n-]2)cc1 ZINC000076963308 185061727 /nfs/dbraw/zinc/06/17/27/185061727.db2.gz LEWAKISXTVMWTJ-UHFFFAOYSA-N -1 1 316.365 1.256 20 0 DDADMM CC(C)(C)c1ccc(C(=O)NCCC(=O)Nc2nn[n-]n2)cc1 ZINC000076963308 185061729 /nfs/dbraw/zinc/06/17/29/185061729.db2.gz LEWAKISXTVMWTJ-UHFFFAOYSA-N -1 1 316.365 1.256 20 0 DDADMM Cc1cnc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)s1 ZINC000614387768 361833030 /nfs/dbraw/zinc/83/30/30/361833030.db2.gz DAGPDQPVRIZOAU-VIFPVBQESA-N -1 1 308.363 1.489 20 0 DDADMM CO[C@@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)C1CCCC1 ZINC000614391123 361834496 /nfs/dbraw/zinc/83/44/96/361834496.db2.gz OJOGUJLYEAACPK-VXGBXAGGSA-N -1 1 309.366 1.686 20 0 DDADMM CCc1ccccc1N1C[C@H](C(=O)[N-]OCC(C)(C)O)CC1=O ZINC000278628230 214286123 /nfs/dbraw/zinc/28/61/23/214286123.db2.gz NAPXGAVTPRMWRU-CYBMUJFWSA-N -1 1 320.389 1.421 20 0 DDADMM O=S(=O)([N-]CC1=CCOCC1)c1ccc(Br)o1 ZINC000451679502 231161673 /nfs/dbraw/zinc/16/16/73/231161673.db2.gz HPUZINFXAWNCRU-UHFFFAOYSA-N -1 1 322.180 1.667 20 0 DDADMM CCCS(=O)(=O)Cc1noc(-c2ccc([O-])cc2F)n1 ZINC000278234047 214038135 /nfs/dbraw/zinc/03/81/35/214038135.db2.gz RXRYSZXICCQUEN-UHFFFAOYSA-N -1 1 300.311 1.906 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)C(=O)c2cc(F)ccc2[O-])c1 ZINC000080023099 539164718 /nfs/dbraw/zinc/16/47/18/539164718.db2.gz QSZMHAJMDHBLFP-UHFFFAOYSA-N -1 1 317.320 1.945 20 0 DDADMM CC[C@@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000443307067 529535899 /nfs/dbraw/zinc/53/58/99/529535899.db2.gz HBGXWMHBFUNHJA-KCJUWKMLSA-N -1 1 306.453 1.835 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc2cc(F)ccc2o1)C(=O)NC ZINC000452085159 529644504 /nfs/dbraw/zinc/64/45/04/529644504.db2.gz NTKRXGOUHIWMAA-JTQLQIEISA-N -1 1 314.338 1.375 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCOCC(F)(F)C(F)(F)F ZINC000615220946 362201195 /nfs/dbraw/zinc/20/11/95/362201195.db2.gz IMKKPACXMHKMPN-UHFFFAOYSA-N -1 1 317.214 1.967 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](NC(=O)c1ccccn1)C(C)C ZINC000615228557 362203634 /nfs/dbraw/zinc/20/36/34/362203634.db2.gz YXLWEYMBJSIEJC-NSHDSACASA-N -1 1 317.349 1.212 20 0 DDADMM CN1C[C@@H](NC(=O)c2cc(Br)ccc2[O-])CC1=O ZINC000299615709 529821115 /nfs/dbraw/zinc/82/11/15/529821115.db2.gz HDVPINNZEHTPSM-QMMMGPOBSA-N -1 1 313.151 1.115 20 0 DDADMM C[C@@H](C[S@](C)=O)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000181671508 199336707 /nfs/dbraw/zinc/33/67/07/199336707.db2.gz UFYFVOPWLKBDDX-JKNYTWMOSA-N -1 1 309.309 1.908 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(C)ccc2F)n[n-]1 ZINC000615916989 362485447 /nfs/dbraw/zinc/48/54/47/362485447.db2.gz IZGJLLITEOQORV-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(C)ccc2F)n1 ZINC000615916989 362485451 /nfs/dbraw/zinc/48/54/51/362485451.db2.gz IZGJLLITEOQORV-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2cccc(Cl)c2)n[n-]1 ZINC000615920119 362485598 /nfs/dbraw/zinc/48/55/98/362485598.db2.gz QVEABANTQJJZAT-UHFFFAOYSA-N -1 1 322.752 1.494 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2cccc(Cl)c2)n1 ZINC000615920119 362485604 /nfs/dbraw/zinc/48/56/04/362485604.db2.gz QVEABANTQJJZAT-UHFFFAOYSA-N -1 1 322.752 1.494 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](CC)c2ccccc2)n[n-]1 ZINC000615919390 362486978 /nfs/dbraw/zinc/48/69/78/362486978.db2.gz HERPQCDLKKPIGG-LBPRGKRZSA-N -1 1 316.361 1.791 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](CC)c2ccccc2)n1 ZINC000615919390 362486982 /nfs/dbraw/zinc/48/69/82/362486982.db2.gz HERPQCDLKKPIGG-LBPRGKRZSA-N -1 1 316.361 1.791 20 0 DDADMM CNCc1noc(-c2ccc(S(=O)(=O)[N-]C(C)(C)C)o2)n1 ZINC000414507451 530011441 /nfs/dbraw/zinc/01/14/41/530011441.db2.gz YXPITYAUSYAMEV-UHFFFAOYSA-N -1 1 314.367 1.126 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H](C)CSC)c1 ZINC000292388139 530031797 /nfs/dbraw/zinc/03/17/97/530031797.db2.gz ZBAJODWAKRIHMU-MRVPVSSYSA-N -1 1 318.420 1.238 20 0 DDADMM CO[C@](C)(C(=O)N=c1[nH][n-]c(C)c1Br)C1CC1 ZINC000616004220 362516817 /nfs/dbraw/zinc/51/68/17/362516817.db2.gz WKILIMYBWQTHPI-NSHDSACASA-N -1 1 302.172 1.656 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)C(C)(C)n2cccn2)c1Br ZINC000616010727 362519537 /nfs/dbraw/zinc/51/95/37/362519537.db2.gz DGQDQJWROCDMJE-UHFFFAOYSA-N -1 1 312.171 1.473 20 0 DDADMM CCC(CC)(CO)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616011930 362519768 /nfs/dbraw/zinc/51/97/68/362519768.db2.gz VJDTWLNCKOKAAR-UHFFFAOYSA-N -1 1 304.188 1.640 20 0 DDADMM CO[C@H]1CCC[C@H]1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358342798 299126223 /nfs/dbraw/zinc/12/62/23/299126223.db2.gz UJAXDQXYTOVZTI-CABZTGNLSA-N -1 1 304.350 1.219 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)[C@H]1CCCCS1(=O)=O ZINC000168656265 304003627 /nfs/dbraw/zinc/00/36/27/304003627.db2.gz WRGSJYRVOPOUDS-LLVKDONJSA-N -1 1 303.767 1.951 20 0 DDADMM CCCN(CC1CC1)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000048402193 352538054 /nfs/dbraw/zinc/53/80/54/352538054.db2.gz SBCIXYOVJHIPGF-UHFFFAOYSA-N -1 1 300.380 1.450 20 0 DDADMM O=S(=O)([N-]CCF)c1c(F)cccc1OC(F)(F)F ZINC000287147318 219343480 /nfs/dbraw/zinc/34/34/80/219343480.db2.gz BNHRTEXMNXEPFC-UHFFFAOYSA-N -1 1 305.224 1.972 20 0 DDADMM CC[C@@H](C)[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC ZINC000008499135 352135593 /nfs/dbraw/zinc/13/55/93/352135593.db2.gz BXJFWLIXQFUGJO-PELKAZGASA-N -1 1 321.345 1.831 20 0 DDADMM O=C(NC1CC1)c1ccc(S(=O)(=O)[N-]c2ccncc2)cc1 ZINC000028835849 352232342 /nfs/dbraw/zinc/23/23/42/352232342.db2.gz AMNAQFKRHNSOIW-UHFFFAOYSA-N -1 1 317.370 1.775 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2cccnc2)c(=O)[n-]1 ZINC000029893348 352246541 /nfs/dbraw/zinc/24/65/41/352246541.db2.gz SQHDLVISMORUKI-UHFFFAOYSA-N -1 1 318.402 1.857 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](Cn3cncn3)C2)c([O-])c1 ZINC000331742588 234071482 /nfs/dbraw/zinc/07/14/82/234071482.db2.gz WOZHPTRRXXREOI-GFCCVEGCSA-N -1 1 301.350 1.240 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NC[C@H](OC)c2ccccc2)n1 ZINC000045578274 352416331 /nfs/dbraw/zinc/41/63/31/352416331.db2.gz XEZMPRJVMKPVQS-LBPRGKRZSA-N -1 1 317.349 1.499 20 0 DDADMM CCO[C@H](CC[N-]S(=O)(=O)c1ncn(C)c1Cl)C(C)C ZINC000072715463 191220131 /nfs/dbraw/zinc/22/01/31/191220131.db2.gz BDWKNODWDVNRMA-SNVBAGLBSA-N -1 1 323.846 1.803 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N([C@@H](C)C2CC2)C2CC2)o1 ZINC000056932827 352790452 /nfs/dbraw/zinc/79/04/52/352790452.db2.gz NAAWKJDUHSHRFN-VIFPVBQESA-N -1 1 312.391 1.591 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)C(=O)c2ncccc2[O-])c1 ZINC000069444642 353161807 /nfs/dbraw/zinc/16/18/07/353161807.db2.gz IDODESONVHUBNK-UHFFFAOYSA-N -1 1 300.318 1.201 20 0 DDADMM Cc1nn(C)c(C)c1C[N-]S(=O)(=O)c1c(F)cccc1F ZINC000173980474 198275690 /nfs/dbraw/zinc/27/56/90/198275690.db2.gz IUKQMFDYGUQQKI-UHFFFAOYSA-N -1 1 315.345 1.794 20 0 DDADMM O=C(C=Cc1ccccc1OC(F)F)NC1(c2nn[n-]n2)CC1 ZINC000492028923 234364042 /nfs/dbraw/zinc/36/40/42/234364042.db2.gz FMBOLUKEUUTLRP-WAYWQWQTSA-N -1 1 321.287 1.620 20 0 DDADMM Cc1nc(-c2ccc(N[C@H](C)[C@H](C)CO)nc2)[n-]c(=O)c1C ZINC000078298626 353509459 /nfs/dbraw/zinc/50/94/59/353509459.db2.gz FAKKBLFAYRXWBS-MWLCHTKSSA-N -1 1 302.378 1.878 20 0 DDADMM COC(=O)[C@H]1CCC[C@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000081284282 353660740 /nfs/dbraw/zinc/66/07/40/353660740.db2.gz OKLFVCGJTKFRFP-BQBZGAKWSA-N -1 1 320.271 1.741 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H](O)C(F)(F)F)cnc2n1 ZINC000081859383 353696859 /nfs/dbraw/zinc/69/68/59/353696859.db2.gz WLWYLIJIECKAFT-SECBINFHSA-N -1 1 315.251 1.297 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@@H](O)C(F)(F)F)c[n-]c2n1 ZINC000081859383 353696861 /nfs/dbraw/zinc/69/68/61/353696861.db2.gz WLWYLIJIECKAFT-SECBINFHSA-N -1 1 315.251 1.297 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1[C@H](C(=O)[O-])C1(C)C)c1cccs1 ZINC000085410312 353733729 /nfs/dbraw/zinc/73/37/29/353733729.db2.gz MNMYVDJDRMJCMG-ZMLRMANQSA-N -1 1 310.419 1.824 20 0 DDADMM O=c1[n-]c(CN(Cc2ccc3c(c2)CCO3)C2CCCC2)n[nH]1 ZINC000091554971 353830067 /nfs/dbraw/zinc/83/00/67/353830067.db2.gz PAXWEXAFBNATDQ-UHFFFAOYSA-N -1 1 314.389 1.978 20 0 DDADMM O=c1[n-]c(CN(Cc2ccccc2)CC2(O)CCCC2)n[nH]1 ZINC000091592522 353831426 /nfs/dbraw/zinc/83/14/26/353831426.db2.gz XSGQTENQGBJWSX-UHFFFAOYSA-N -1 1 302.378 1.405 20 0 DDADMM COCCNC(=O)Cn1cc([N-]c2nc(C(C)C)ns2)cn1 ZINC000092657378 353865852 /nfs/dbraw/zinc/86/58/52/353865852.db2.gz KLNIQDXBXAEIHL-UHFFFAOYSA-N -1 1 324.410 1.364 20 0 DDADMM CC(C)[C@H]1C[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000357229014 291021955 /nfs/dbraw/zinc/02/19/55/291021955.db2.gz UVHNUEUQKHKIDK-SMDDNHRTSA-N -1 1 315.373 1.857 20 0 DDADMM Cc1cc(CS(=O)(=O)c2nc(-c3ccccc3F)n[n-]2)no1 ZINC000195131712 354295189 /nfs/dbraw/zinc/29/51/89/354295189.db2.gz YMBHCNFOXRYMAA-UHFFFAOYSA-N -1 1 322.321 1.881 20 0 DDADMM Cc1cc(CS(=O)(=O)c2n[n-]c(-c3ccccc3F)n2)no1 ZINC000195131712 354295193 /nfs/dbraw/zinc/29/51/93/354295193.db2.gz YMBHCNFOXRYMAA-UHFFFAOYSA-N -1 1 322.321 1.881 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC[C@H](O)[C@H](CO)C1 ZINC000396883088 354653517 /nfs/dbraw/zinc/65/35/17/354653517.db2.gz PVPHMIDXEDACCA-ZFWWWQNUSA-N -1 1 301.342 1.361 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)c2cscn2)c1 ZINC000583883374 354742293 /nfs/dbraw/zinc/74/22/93/354742293.db2.gz OYHBSKDXMQQEFL-SSDOTTSWSA-N -1 1 316.360 1.562 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)c2cscn2)c1 ZINC000583883375 354742316 /nfs/dbraw/zinc/74/23/16/354742316.db2.gz OYHBSKDXMQQEFL-ZETCQYMHSA-N -1 1 316.360 1.562 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@H]2CCCC2(F)F)c(C)o1 ZINC000588144269 354904593 /nfs/dbraw/zinc/90/45/93/354904593.db2.gz CGFDYNYSQNHUOE-JTQLQIEISA-N -1 1 323.317 1.841 20 0 DDADMM COC(=O)[C@@]1(C)CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000601317107 358492597 /nfs/dbraw/zinc/49/25/97/358492597.db2.gz WDRPNRINARNKLC-HNNXBMFYSA-N -1 1 311.765 2.000 20 0 DDADMM COCc1cc(NC(=O)c2n[nH]c(C)c2[O-])cc(C(=O)OC)c1 ZINC000590451640 355100526 /nfs/dbraw/zinc/10/05/26/355100526.db2.gz PVEWJXKBESTUJJ-UHFFFAOYSA-N -1 1 319.317 1.609 20 0 DDADMM O=C(CCCc1ccccn1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000591441517 355311849 /nfs/dbraw/zinc/31/18/49/355311849.db2.gz IGEHFIJUWKKQRW-MRXNPFEDSA-N -1 1 322.372 1.823 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc(Cl)cc1 ZINC000171269383 306683745 /nfs/dbraw/zinc/68/37/45/306683745.db2.gz IWWROWILUPLJDG-UHFFFAOYSA-N -1 1 305.721 1.809 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)C[C@H](OC)C(C)C)C(=O)OC ZINC000592045900 355475749 /nfs/dbraw/zinc/47/57/49/355475749.db2.gz LZZCYRYURONNKX-NEPJUHHUSA-N -1 1 309.428 1.309 20 0 DDADMM CC(C)O[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccc1 ZINC000346549636 283121943 /nfs/dbraw/zinc/12/19/43/283121943.db2.gz LAUAOWHLWGJCMT-JSGCOSHPSA-N -1 1 317.393 1.928 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2scnc2C2CC2)n1 ZINC000593150524 355812070 /nfs/dbraw/zinc/81/20/70/355812070.db2.gz FHVFWADVGSUCBO-UHFFFAOYSA-N -1 1 310.360 1.911 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)Cc1ccc(C)cc1F ZINC000593635771 355949955 /nfs/dbraw/zinc/94/99/55/355949955.db2.gz RWRKYJXGQPZHDT-LBPRGKRZSA-N -1 1 321.345 1.455 20 0 DDADMM CCOC(=O)c1cn(CCNC(=O)c2ccc([O-])c(F)c2)cn1 ZINC000593896564 356046999 /nfs/dbraw/zinc/04/69/99/356046999.db2.gz ZYFAOFSZQZGXQF-UHFFFAOYSA-N -1 1 321.308 1.335 20 0 DDADMM CNC(=O)c1ccc(=NC[C@H](C(=O)OC(C)(C)C)C(C)C)[n-]n1 ZINC000594599963 356260770 /nfs/dbraw/zinc/26/07/70/356260770.db2.gz OTFRLUWQPZLNFE-NSHDSACASA-N -1 1 322.409 1.284 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C2(CC(C)C)CC2)o1 ZINC000595368551 356467426 /nfs/dbraw/zinc/46/74/26/356467426.db2.gz JYNIJFYVSLTKQA-UHFFFAOYSA-N -1 1 301.364 1.923 20 0 DDADMM CCOC(=O)c1nccc(N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000596224222 356821548 /nfs/dbraw/zinc/82/15/48/356821548.db2.gz CLXXWNILDPNWGF-SECBINFHSA-N -1 1 319.321 1.126 20 0 DDADMM CSC[C@](C)(O)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000597280403 357120334 /nfs/dbraw/zinc/12/03/34/357120334.db2.gz CBIYBIAVICYNHM-OAHLLOKOSA-N -1 1 306.387 1.784 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)CCCF)n[n-]1 ZINC000597578789 357235452 /nfs/dbraw/zinc/23/54/52/357235452.db2.gz HDPUTCUVNRMVPE-SNVBAGLBSA-N -1 1 314.361 1.935 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)CCCF)[n-]1 ZINC000597578789 357235456 /nfs/dbraw/zinc/23/54/56/357235456.db2.gz HDPUTCUVNRMVPE-SNVBAGLBSA-N -1 1 314.361 1.935 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)CCCF)n1 ZINC000597578789 357235461 /nfs/dbraw/zinc/23/54/61/357235461.db2.gz HDPUTCUVNRMVPE-SNVBAGLBSA-N -1 1 314.361 1.935 20 0 DDADMM CCOC(=O)C[C@@H](CO)NC(=O)c1ccc2ccccc2c1[O-] ZINC000597679810 357282405 /nfs/dbraw/zinc/28/24/05/357282405.db2.gz CUOGIEXZNUAIFA-LBPRGKRZSA-N -1 1 317.341 1.589 20 0 DDADMM COC(=O)[C@H](CCF)NC(=O)c1ncc2ccccc2c1[O-] ZINC000597816031 357342414 /nfs/dbraw/zinc/34/24/14/357342414.db2.gz UEVDQUFVEXAKTR-NSHDSACASA-N -1 1 306.293 1.571 20 0 DDADMM CCOC(=O)C[C@H](O)C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000597843252 357355175 /nfs/dbraw/zinc/35/51/75/357355175.db2.gz SOOMTISXHWLHDD-JTQLQIEISA-N -1 1 323.374 1.121 20 0 DDADMM COC[C@]1(C(=O)OC)CCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000597963358 357400923 /nfs/dbraw/zinc/40/09/23/357400923.db2.gz OMZCTTKCLQKUEC-HNNXBMFYSA-N -1 1 311.309 1.326 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2Cc3ccccc32)c1 ZINC000347729375 283266798 /nfs/dbraw/zinc/26/67/98/283266798.db2.gz GEYZFCJZWZXNQU-LBPRGKRZSA-N -1 1 318.354 1.318 20 0 DDADMM COC(=O)C(C)(C)n1cc(NC(=O)c2cncc([O-])c2)cn1 ZINC000598371952 357555226 /nfs/dbraw/zinc/55/52/26/357555226.db2.gz CTQMCMFKMYLBAF-UHFFFAOYSA-N -1 1 304.306 1.144 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1c(F)cc(C)cc1F ZINC000598824384 357736284 /nfs/dbraw/zinc/73/62/84/357736284.db2.gz NINQCWDBDLUJQP-UHFFFAOYSA-N -1 1 323.299 1.858 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C(C)(C)CSC)nc2n1 ZINC000598891976 357751606 /nfs/dbraw/zinc/75/16/06/357751606.db2.gz AEAZPMAOMHLKFY-UHFFFAOYSA-N -1 1 309.395 1.308 20 0 DDADMM CCOC(=O)c1cc(=NC(=O)[C@H]2C[C@@H](C)Cc3cn[nH]c32)[nH][n-]1 ZINC000598942226 357767584 /nfs/dbraw/zinc/76/75/84/357767584.db2.gz NWUUVQFGWCXJRS-WPRPVWTQSA-N -1 1 317.349 1.036 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]C[C@H](C)OC)c1F ZINC000599288935 357877256 /nfs/dbraw/zinc/87/72/56/357877256.db2.gz SIUWCSSBKXNOQQ-ZETCQYMHSA-N -1 1 323.317 1.065 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCOC(C)(C)C)co1 ZINC000618335231 363596447 /nfs/dbraw/zinc/59/64/47/363596447.db2.gz RNSYIKLDQISUKU-UHFFFAOYSA-N -1 1 318.395 1.123 20 0 DDADMM O=C(NCCNC(=O)C1CC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000180328693 199146666 /nfs/dbraw/zinc/14/66/66/199146666.db2.gz PMVGFUWNMOODJX-UHFFFAOYSA-N -1 1 316.279 1.667 20 0 DDADMM COc1ccc(-c2cc(C(=O)N(C)CCC(=O)[O-])n[nH]2)cc1 ZINC000237279926 202214314 /nfs/dbraw/zinc/21/43/14/202214314.db2.gz GKQXQFVHRVAKLQ-UHFFFAOYSA-N -1 1 303.318 1.632 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1C[C@H]1c1ccc(Cl)cc1Cl ZINC000600505683 358243040 /nfs/dbraw/zinc/24/30/40/358243040.db2.gz QVRSPKSIQWUXDE-DTWKUNHWSA-N -1 1 312.160 1.926 20 0 DDADMM CC[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(F)(F)F ZINC000348103754 283369677 /nfs/dbraw/zinc/36/96/77/283369677.db2.gz FAAHKORSCAQZGS-QMMMGPOBSA-N -1 1 302.256 1.745 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@H]2CSC)c1 ZINC000601375697 358522645 /nfs/dbraw/zinc/52/26/45/358522645.db2.gz ZUHUNYBOYAOYOK-JTQLQIEISA-N -1 1 317.432 1.917 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1ccc(C(F)F)o1)C(=O)OC ZINC000601451774 358559449 /nfs/dbraw/zinc/55/94/49/358559449.db2.gz AGBYIMZSOIVDDT-ZETCQYMHSA-N -1 1 311.306 1.695 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@@H](C)O[C@@H]2C)o1 ZINC000601499564 358577096 /nfs/dbraw/zinc/57/70/96/358577096.db2.gz QKSXMAOWTDSWMV-OPRDCNLKSA-N -1 1 317.363 1.301 20 0 DDADMM CCOC(=O)C[C@@H](CO)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601589066 358614458 /nfs/dbraw/zinc/61/44/58/358614458.db2.gz PTQALRPGXUSUGY-JTQLQIEISA-N -1 1 315.753 1.018 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(C)cc(F)c2)n[n-]1 ZINC000603020304 359362097 /nfs/dbraw/zinc/36/20/97/359362097.db2.gz ZVBLDFBAUBPEDC-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(C)cc(F)c2)n1 ZINC000603020304 359362102 /nfs/dbraw/zinc/36/21/02/359362102.db2.gz ZVBLDFBAUBPEDC-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2cc(C)cc(C)c2)n[n-]1 ZINC000603024693 359367079 /nfs/dbraw/zinc/36/70/79/359367079.db2.gz ZOGSQVCMALBEMY-UHFFFAOYSA-N -1 1 316.361 1.457 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2cc(C)cc(C)c2)n1 ZINC000603024693 359367084 /nfs/dbraw/zinc/36/70/84/359367084.db2.gz ZOGSQVCMALBEMY-UHFFFAOYSA-N -1 1 316.361 1.457 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CCO1 ZINC000603050683 359377002 /nfs/dbraw/zinc/37/70/02/359377002.db2.gz LJZLETDIVDESFY-JTQLQIEISA-N -1 1 321.358 1.124 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2CC3CCC2CC3)n[n-]1 ZINC000603152751 359439280 /nfs/dbraw/zinc/43/92/80/359439280.db2.gz FLNXTMNWKZXVBQ-YYJSSNLHSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2CC3CCC2CC3)[n-]1 ZINC000603152751 359439283 /nfs/dbraw/zinc/43/92/83/359439283.db2.gz FLNXTMNWKZXVBQ-YYJSSNLHSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2CC3CCC2CC3)n1 ZINC000603152751 359439285 /nfs/dbraw/zinc/43/92/85/359439285.db2.gz FLNXTMNWKZXVBQ-YYJSSNLHSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2cccc(C)c2)n[n-]1 ZINC000603164767 359449177 /nfs/dbraw/zinc/44/91/77/359449177.db2.gz KRPCSRTUTQWCIP-NSHDSACASA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2cccc(C)c2)[n-]1 ZINC000603164767 359449182 /nfs/dbraw/zinc/44/91/82/359449182.db2.gz KRPCSRTUTQWCIP-NSHDSACASA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2cccc(C)c2)n1 ZINC000603164767 359449188 /nfs/dbraw/zinc/44/91/88/359449188.db2.gz KRPCSRTUTQWCIP-NSHDSACASA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2CC23CCCC3)n[n-]1 ZINC000603152647 359438801 /nfs/dbraw/zinc/43/88/01/359438801.db2.gz CYCZWBRAUVJKDX-ZJUUUORDSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2CC23CCCC3)[n-]1 ZINC000603152647 359438805 /nfs/dbraw/zinc/43/88/05/359438805.db2.gz CYCZWBRAUVJKDX-ZJUUUORDSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2CC23CCCC3)n1 ZINC000603152647 359438808 /nfs/dbraw/zinc/43/88/08/359438808.db2.gz CYCZWBRAUVJKDX-ZJUUUORDSA-N -1 1 306.366 1.739 20 0 DDADMM CC(C)(CC(N)=O)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000186708115 199994680 /nfs/dbraw/zinc/99/46/80/199994680.db2.gz UZINIOULUPLRCF-UHFFFAOYSA-N -1 1 310.297 1.036 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@@H]2C=CCCC2)c1 ZINC000187494127 200119286 /nfs/dbraw/zinc/11/92/86/200119286.db2.gz ASBQXFRBDJQRKQ-SNVBAGLBSA-N -1 1 310.375 1.725 20 0 DDADMM CCOc1ccc(CC(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000605530603 359866752 /nfs/dbraw/zinc/86/67/52/359866752.db2.gz NBHXNSXVDJAFDT-UHFFFAOYSA-N -1 1 315.377 1.727 20 0 DDADMM C[C@H](Oc1ccc(F)cc1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605530955 359867250 /nfs/dbraw/zinc/86/72/50/359867250.db2.gz RNLZNHSOUGDYPC-JTQLQIEISA-N -1 1 319.340 1.692 20 0 DDADMM CCCCCc1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC000606118020 359900905 /nfs/dbraw/zinc/90/09/05/359900905.db2.gz ZUXYQSQUJHXWIZ-UHFFFAOYSA-N -1 1 316.365 1.187 20 0 DDADMM CN(CC1CC1)S(=O)(=O)[N-]c1ccn(Cc2ccccc2)n1 ZINC000606342447 359908341 /nfs/dbraw/zinc/90/83/41/359908341.db2.gz HPXPYPTWDGQVBU-UHFFFAOYSA-N -1 1 320.418 1.930 20 0 DDADMM O=S(=O)([N-]CC(F)F)c1cccnc1OCC(F)F ZINC000625037514 366679751 /nfs/dbraw/zinc/67/97/51/366679751.db2.gz ZHLMJDUOSDOJMG-UHFFFAOYSA-N -1 1 302.249 1.269 20 0 DDADMM Cn1c(C(=O)NCc2nn[n-]n2)cc2cc(C(F)(F)F)ccc21 ZINC000608132689 360129490 /nfs/dbraw/zinc/12/94/90/360129490.db2.gz XGOLZJBQHKDNJU-UHFFFAOYSA-N -1 1 324.266 1.640 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCCc2ccccc21 ZINC000281227156 216168596 /nfs/dbraw/zinc/16/85/96/216168596.db2.gz RSGOUCCTZZYANG-GFCCVEGCSA-N -1 1 307.375 1.921 20 0 DDADMM Cc1cc(F)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(F)c1 ZINC000612816975 361156108 /nfs/dbraw/zinc/15/61/08/361156108.db2.gz OPRSBBXJNJJTHN-VIFPVBQESA-N -1 1 307.304 1.806 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC3(CCC3)[C@@H]2C2CC2)co1 ZINC000291270521 283636892 /nfs/dbraw/zinc/63/68/92/283636892.db2.gz ZKAUAOADYOCSNS-ZDUSSCGKSA-N -1 1 324.402 1.592 20 0 DDADMM CNC(=O)[C@H]1CCC[C@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000613150373 361293922 /nfs/dbraw/zinc/29/39/22/361293922.db2.gz AZXRVFKCKKIQTR-QWHCGFSZSA-N -1 1 313.357 1.585 20 0 DDADMM CCOC(=O)[C@H](CCOC)NC(=O)c1ccc(Cl)cc1[O-] ZINC000281502697 216347239 /nfs/dbraw/zinc/34/72/39/216347239.db2.gz JTWGGUGVOXELMC-NSHDSACASA-N -1 1 315.753 1.744 20 0 DDADMM COC[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@H](C)O1 ZINC000613824284 361590880 /nfs/dbraw/zinc/59/08/80/361590880.db2.gz DMLZGJQJJICAOL-WCQYABFASA-N -1 1 316.357 1.816 20 0 DDADMM NC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)c1ccc(Cl)cc1[O-] ZINC000613872309 361612288 /nfs/dbraw/zinc/61/22/88/361612288.db2.gz OTJOMHOHYBOUEC-FWDPORAESA-N -1 1 308.765 1.772 20 0 DDADMM COCC[C@]1(NC(=O)c2ncc3ccccc3c2[O-])CCOC1 ZINC000614081404 361692252 /nfs/dbraw/zinc/69/22/52/361692252.db2.gz BKNPHNZBSVBBHH-KRWDZBQOSA-N -1 1 316.357 1.866 20 0 DDADMM Cc1cnc(C(=O)NCc2noc(C(F)(F)F)n2)c([O-])c1 ZINC000614163381 361730982 /nfs/dbraw/zinc/73/09/82/361730982.db2.gz APHFNPCPSNYGLN-UHFFFAOYSA-N -1 1 302.212 1.427 20 0 DDADMM O=c1[nH]nc(CN=c2[n-]nc(C(F)(F)F)s2)n1C1CC1 ZINC000631441156 422766012 /nfs/dbraw/zinc/76/60/12/422766012.db2.gz KLZYUCQMUHNDOR-UHFFFAOYSA-N -1 1 306.273 1.223 20 0 DDADMM O=C([O-])[C@H]1CSCCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000621603714 364933156 /nfs/dbraw/zinc/93/31/56/364933156.db2.gz RNIHGULGKHDPEK-SNVBAGLBSA-N -1 1 321.358 1.560 20 0 DDADMM Cc1noc(CS(=O)(=O)c2nc(Cc3ccccc3)n[n-]2)n1 ZINC000359191161 299351648 /nfs/dbraw/zinc/35/16/48/299351648.db2.gz SKTJVLGDTJVUAD-UHFFFAOYSA-N -1 1 319.346 1.061 20 0 DDADMM Cc1noc(CS(=O)(=O)c2nnc(Cc3ccccc3)[n-]2)n1 ZINC000359191161 299351651 /nfs/dbraw/zinc/35/16/51/299351651.db2.gz SKTJVLGDTJVUAD-UHFFFAOYSA-N -1 1 319.346 1.061 20 0 DDADMM Cc1noc(CS(=O)(=O)c2n[n-]c(Cc3ccccc3)n2)n1 ZINC000359191161 299351653 /nfs/dbraw/zinc/35/16/53/299351653.db2.gz SKTJVLGDTJVUAD-UHFFFAOYSA-N -1 1 319.346 1.061 20 0 DDADMM CCN(CC)[C@H](CNC(=O)N1CC(C(=O)[O-])C1)c1ccco1 ZINC000621829789 365047064 /nfs/dbraw/zinc/04/70/64/365047064.db2.gz KKLARSYHEIIGPG-GFCCVEGCSA-N -1 1 309.366 1.389 20 0 DDADMM CC[C@@H](CSC)N(C)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000091148249 193004164 /nfs/dbraw/zinc/00/41/64/193004164.db2.gz OLWXPFGNCTXVKF-JTQLQIEISA-N -1 1 320.436 1.401 20 0 DDADMM COC[C@@]1(CO)CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000622665784 365475708 /nfs/dbraw/zinc/47/57/08/365475708.db2.gz XVAUPLXMCRQUMA-AWEZNQCLSA-N -1 1 301.289 1.141 20 0 DDADMM Cc1cccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1O ZINC000622611355 365451996 /nfs/dbraw/zinc/45/19/96/365451996.db2.gz CAZRPCVBYPGSKO-CYBMUJFWSA-N -1 1 309.329 1.733 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](OC)c1ccc(F)cc1)c1nn[n-]n1 ZINC000626024444 367281318 /nfs/dbraw/zinc/28/13/18/367281318.db2.gz KRFCNIWLZZMZBN-RYUDHWBXSA-N -1 1 307.329 1.684 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3CC=CCC3)c(=O)c2c(OC)c1 ZINC000626388883 367518904 /nfs/dbraw/zinc/51/89/04/367518904.db2.gz OMSIAJRSBZLNKF-UHFFFAOYSA-N -1 1 314.341 1.947 20 0 DDADMM COc1cncc(N2CCN(C(=O)c3cncc([O-])c3)CC2)c1 ZINC000636273078 422769410 /nfs/dbraw/zinc/76/94/10/422769410.db2.gz SCHZKWOMYHSPIU-UHFFFAOYSA-N -1 1 314.345 1.153 20 0 DDADMM O=C(C[C@H]1Oc2ccccc2NC1=O)[N-]OCCC(F)(F)F ZINC000275232867 212213601 /nfs/dbraw/zinc/21/36/01/212213601.db2.gz QAUNIYKJDIEMEB-SNVBAGLBSA-N -1 1 318.251 1.776 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC(C)(C)c1ccc(C)cc1 ZINC000349744513 284037566 /nfs/dbraw/zinc/03/75/66/284037566.db2.gz NNUBPFCSGXYROT-UHFFFAOYSA-N -1 1 323.418 1.960 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)c1ccc(C)cc1 ZINC000349744513 284037572 /nfs/dbraw/zinc/03/75/72/284037572.db2.gz NNUBPFCSGXYROT-UHFFFAOYSA-N -1 1 323.418 1.960 20 0 DDADMM COC(=O)C[C@@H](C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000566330846 304145629 /nfs/dbraw/zinc/14/56/29/304145629.db2.gz KDHOZXKBNUAVIG-MRVPVSSYSA-N -1 1 307.318 1.503 20 0 DDADMM Cc1nc([C@H](C)NC(=O)N=c2ccc(-c3ccccc3)n[n-]2)n[nH]1 ZINC000349905493 284101612 /nfs/dbraw/zinc/10/16/12/284101612.db2.gz TZJDDXLFINCZIR-JTQLQIEISA-N -1 1 323.360 1.875 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-]CC[C@@H](O)C(F)(F)F ZINC000350014829 284132250 /nfs/dbraw/zinc/13/22/50/284132250.db2.gz QQUWWJBLIQAFEG-SSDOTTSWSA-N -1 1 319.326 1.348 20 0 DDADMM O=C([O-])[C@@]1(NC(=O)c2cc(F)cc3nc[nH]c32)CCSC1 ZINC000262154597 203213924 /nfs/dbraw/zinc/21/39/24/203213924.db2.gz MEXJUSGTAVDXMT-CYBMUJFWSA-N -1 1 309.322 1.392 20 0 DDADMM Cc1ccc(-c2cc(C(=O)N3CCOC[C@@H]3C(=O)[O-])n[nH]2)cc1 ZINC000262473917 203304341 /nfs/dbraw/zinc/30/43/41/203304341.db2.gz JUFPQATVKXBKSR-CQSZACIVSA-N -1 1 315.329 1.311 20 0 DDADMM CC(C)[C@@H](NCC(=O)NCC(=O)[O-])c1ccc(Cl)cc1F ZINC000263571820 203481821 /nfs/dbraw/zinc/48/18/21/203481821.db2.gz JYSHQBPTHCEODT-CQSZACIVSA-N -1 1 316.760 1.967 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C(=O)N2CCC[C@@H](C)C2)c1 ZINC000282683645 217174684 /nfs/dbraw/zinc/17/46/84/217174684.db2.gz DEGDQOWSVXWKQD-SNVBAGLBSA-N -1 1 320.345 1.376 20 0 DDADMM CC[C@H](CSC)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000271715796 209151059 /nfs/dbraw/zinc/15/10/59/209151059.db2.gz VWASHLLTETWUGH-MRVPVSSYSA-N -1 1 307.393 1.486 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCCC[C@H]2OC)o1 ZINC000266251023 205217294 /nfs/dbraw/zinc/21/72/94/205217294.db2.gz XRIBFDUCBYPVIL-VHSXEESVSA-N -1 1 317.363 1.302 20 0 DDADMM CN(Cc1ncnn1CC(F)F)C(=O)C(=O)c1ccc([O-])cc1 ZINC000288436690 220141292 /nfs/dbraw/zinc/14/12/92/220141292.db2.gz NCNLGEXDPZCMLR-UHFFFAOYSA-N -1 1 324.287 1.090 20 0 DDADMM C/C=C/C[C@@H](CO)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000351594586 284400626 /nfs/dbraw/zinc/40/06/26/284400626.db2.gz HKXPPXGQJJDARC-SGJFDWMWSA-N -1 1 324.196 1.648 20 0 DDADMM C/C=C\C[C@@H](CO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000351607035 284408190 /nfs/dbraw/zinc/40/81/90/284408190.db2.gz GLZLBDFKSIXNKI-KZUAUGPASA-N -1 1 309.309 1.709 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](C2CCCC2)C1 ZINC000333802099 249110813 /nfs/dbraw/zinc/11/08/13/249110813.db2.gz YINFIONVCGJFEG-JTQLQIEISA-N -1 1 307.350 1.549 20 0 DDADMM O=C(c1ccc(O)c(Cl)c1)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000334024790 249183135 /nfs/dbraw/zinc/18/31/35/249183135.db2.gz GJWGCKBFFBYBQY-SECBINFHSA-N -1 1 322.752 1.889 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@@H](NC(=O)c2ncc(C)cc2[O-])C1 ZINC000334080078 249208284 /nfs/dbraw/zinc/20/82/84/249208284.db2.gz RQHMWOLQLCFNLD-IDFMNXDXSA-N -1 1 310.419 1.905 20 0 DDADMM O=C(CN1C(=O)CSc2ccccc21)Nc1ccncc1[O-] ZINC000336892215 249283876 /nfs/dbraw/zinc/28/38/76/249283876.db2.gz JLUXRWJGUGABJQ-UHFFFAOYSA-N -1 1 315.354 1.287 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1C[C@@H]1c1sccc1C ZINC000337135985 249361789 /nfs/dbraw/zinc/36/17/89/249361789.db2.gz OFHKUMPPTBZVLO-VHSXEESVSA-N -1 1 318.402 1.612 20 0 DDADMM Cc1cccc2c1C[C@@H](C(=O)NC1(c3nn[n-]n3)CCCC1)O2 ZINC000567322886 304211519 /nfs/dbraw/zinc/21/15/19/304211519.db2.gz VWDOFBAHKFDSBZ-ZDUSSCGKSA-N -1 1 313.361 1.397 20 0 DDADMM CCOc1cc(C(=O)N[C@H]2CC(=O)N(C)C2)cc(Cl)c1[O-] ZINC000273971387 211228404 /nfs/dbraw/zinc/22/84/04/211228404.db2.gz RNAFNTOQNREGMG-VIFPVBQESA-N -1 1 312.753 1.405 20 0 DDADMM CCOc1cc(C(=O)N(C)CC(=O)NC)cc(Cl)c1[O-] ZINC000273919166 211172057 /nfs/dbraw/zinc/17/20/57/211172057.db2.gz FLFUKPOHMHKZKI-UHFFFAOYSA-N -1 1 300.742 1.262 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CC[C@@](F)(CO)C1 ZINC000338760664 250124121 /nfs/dbraw/zinc/12/41/21/250124121.db2.gz OUTPWNSMHZHFAB-LBPRGKRZSA-N -1 1 318.142 1.701 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)c2cc(-c3ccco3)n[nH]2)s[n-]1 ZINC000338917875 250193655 /nfs/dbraw/zinc/19/36/55/250193655.db2.gz ZUWNIUAYYDULFW-ZETCQYMHSA-N -1 1 319.346 1.903 20 0 DDADMM O=C1C[C@@H](C[N-]S(=O)(=O)c2sccc2F)CN1C1CC1 ZINC000338948965 250213813 /nfs/dbraw/zinc/21/38/13/250213813.db2.gz PVGXSUTVHBIPHE-QMMMGPOBSA-N -1 1 318.395 1.176 20 0 DDADMM COC(=O)[C@H]1CC[C@@H]([N-]S(=O)(=O)c2sccc2F)C1 ZINC000338972469 250228229 /nfs/dbraw/zinc/22/82/29/250228229.db2.gz GVBRYHIEGRZUMZ-JGVFFNPUSA-N -1 1 307.368 1.507 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cc(C)ccn2)o1 ZINC000339047382 250267254 /nfs/dbraw/zinc/26/72/54/250267254.db2.gz ZMJMYNZKTAZLBH-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM COC(=O)c1csc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]2F)c1 ZINC000339118577 250301858 /nfs/dbraw/zinc/30/18/58/250301858.db2.gz JJFLTEUVZNWIBH-DTWKUNHWSA-N -1 1 307.368 1.704 20 0 DDADMM CO[C@@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1ccccc1 ZINC000339186153 250334356 /nfs/dbraw/zinc/33/43/56/250334356.db2.gz SUVRCSLQRUUBEX-CYBMUJFWSA-N -1 1 317.345 1.869 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2[C@@H](C)C2(F)F)c1 ZINC000359681686 299512805 /nfs/dbraw/zinc/51/28/05/299512805.db2.gz LJESTQMCXRUEPS-LHLIQPBNSA-N -1 1 320.317 1.140 20 0 DDADMM O=C([O-])[C@H](CC1CC1)NS(=O)(=O)c1c(F)cccc1F ZINC000285175222 218320130 /nfs/dbraw/zinc/32/01/30/218320130.db2.gz PYZCNYJUUXQZQY-JTQLQIEISA-N -1 1 305.302 1.496 20 0 DDADMM CN(C)S(=O)(=O)CCSc1nc(C2CC2)cc(=O)[n-]1 ZINC000285333495 218385221 /nfs/dbraw/zinc/38/52/21/218385221.db2.gz YSVNGEDLDCLSSA-UHFFFAOYSA-N -1 1 303.409 1.043 20 0 DDADMM COc1nc(C)cc(Oc2ccc([N-]S(C)(=O)=O)nc2)n1 ZINC000340921274 251278085 /nfs/dbraw/zinc/27/80/85/251278085.db2.gz LTEFUUOYNVDRCS-UHFFFAOYSA-N -1 1 310.335 1.352 20 0 DDADMM O=C([O-])[C@H]1Cn2c(=O)[nH]nc2CN1C/C=C/c1ccc(F)cc1 ZINC000567675861 304233485 /nfs/dbraw/zinc/23/34/85/304233485.db2.gz MDECSXNKXBYDGA-YYRQZUAOSA-N -1 1 318.308 1.105 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2(C)CCCC2)o1 ZINC000066581111 184416842 /nfs/dbraw/zinc/41/68/42/184416842.db2.gz ZSKUDTPOELYHDG-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM COC(=O)[C@H]1CCCC[C@H]1S(=O)(=O)[N-]C1(C(F)F)CC1 ZINC000337034372 291842141 /nfs/dbraw/zinc/84/21/41/291842141.db2.gz FIGLTKGUFORINI-DTWKUNHWSA-N -1 1 311.350 1.435 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnc(C(C)(C)C)nc1)C1CC1 ZINC000567870462 304249499 /nfs/dbraw/zinc/24/94/99/304249499.db2.gz BXWUCYXNTOIFGG-GFCCVEGCSA-N -1 1 313.423 1.941 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@H](c2cccc(Cl)c2)C1 ZINC000633198532 422803044 /nfs/dbraw/zinc/80/30/44/422803044.db2.gz IEQREBXNWGHEAP-NSHDSACASA-N -1 1 305.769 1.802 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)nn1C(C)(C)C ZINC000352481369 285082259 /nfs/dbraw/zinc/08/22/59/285082259.db2.gz NUJYFUSTMAQBES-VIFPVBQESA-N -1 1 305.386 1.335 20 0 DDADMM CCC[C@@H](O)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000567894183 304252877 /nfs/dbraw/zinc/25/28/77/304252877.db2.gz NMAZGRTWMRHJIB-SECBINFHSA-N -1 1 300.405 1.736 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](C(F)(F)F)[C@H](CO)C1 ZINC000286464825 219003207 /nfs/dbraw/zinc/00/32/07/219003207.db2.gz YCRYDKBFXJGGPE-DTWKUNHWSA-N -1 1 304.268 1.420 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2cnn3ccccc23)sc1C ZINC000352630762 285186486 /nfs/dbraw/zinc/18/64/86/285186486.db2.gz QSBPDFGMOOHHMO-UHFFFAOYSA-N -1 1 322.415 1.886 20 0 DDADMM CCN(Cc1ccccn1)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000155585648 197069368 /nfs/dbraw/zinc/06/93/68/197069368.db2.gz UVHVZBWFOHPVTQ-UHFFFAOYSA-N -1 1 323.374 1.245 20 0 DDADMM CN(C)C(=O)c1ccc(=NCC2([C@@H]3CCCCO3)CCC2)[n-]n1 ZINC000631510134 422807427 /nfs/dbraw/zinc/80/74/27/422807427.db2.gz BHPNHSRMBZLAJO-AWEZNQCLSA-N -1 1 318.421 1.752 20 0 DDADMM CN(C)c1noc(CSc2nc(C(F)F)cc(=O)[n-]2)n1 ZINC000289307748 220859339 /nfs/dbraw/zinc/85/93/39/220859339.db2.gz CHAOBTYSMXUPLZ-UHFFFAOYSA-N -1 1 303.294 1.861 20 0 DDADMM COCC[C@H](c1ccccc1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000352780430 285296242 /nfs/dbraw/zinc/29/62/42/285296242.db2.gz UCGREUCVVJTHJK-ZIAGYGMSSA-N -1 1 303.362 1.000 20 0 DDADMM O=c1nc([C@@H]2CCCN(Cc3ccn(C4CCCC4)n3)C2)[nH][n-]1 ZINC000289493070 221014914 /nfs/dbraw/zinc/01/49/14/221014914.db2.gz SVBNAXJEZYSCID-GFCCVEGCSA-N -1 1 316.409 1.789 20 0 DDADMM COC(=O)[C@H]1CC[C@@H](c2nc(-c3ccc([O-])c(F)c3)no2)O1 ZINC000289893816 221297135 /nfs/dbraw/zinc/29/71/35/221297135.db2.gz TXORYRKKUPBKOZ-WDEREUQCSA-N -1 1 308.265 1.974 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccc(-c2ncon2)cc1 ZINC000414381775 224329737 /nfs/dbraw/zinc/32/97/37/224329737.db2.gz NCQSTAWUOTVMBS-UHFFFAOYSA-N -1 1 311.363 1.903 20 0 DDADMM Cc1[nH]n(-c2cccc(-c3cnn(C)c3)c2)c(=O)c1CC(=O)[O-] ZINC000568266418 304282155 /nfs/dbraw/zinc/28/21/55/304282155.db2.gz UPYBHRMPGBJVNY-CQSZACIVSA-N -1 1 312.329 1.901 20 0 DDADMM O=S(=O)([N-]CCn1cccc1)c1ccc(Br)o1 ZINC000352947537 285406512 /nfs/dbraw/zinc/40/65/12/285406512.db2.gz QYRRYWIDSDIION-UHFFFAOYSA-N -1 1 319.180 1.822 20 0 DDADMM CSCC[C@H](CO)[N-]S(=O)(=O)c1sccc1Cl ZINC000451328848 533644030 /nfs/dbraw/zinc/64/40/30/533644030.db2.gz WAWXCPVYKFPKOV-SSDOTTSWSA-N -1 1 315.869 1.794 20 0 DDADMM Cc1n[nH]c(C(F)F)c1[N-]S(=O)(=O)CCOCC1CC1 ZINC000424066074 533670596 /nfs/dbraw/zinc/67/05/96/533670596.db2.gz ROBVJMVGJQCVMX-UHFFFAOYSA-N -1 1 309.338 1.824 20 0 DDADMM O=C(N[C@H]1CN(c2ccc(F)cc2)C1=O)c1ncccc1[O-] ZINC000360845524 306989367 /nfs/dbraw/zinc/98/93/67/306989367.db2.gz ATMGBOVMWDABPL-NSHDSACASA-N -1 1 301.277 1.072 20 0 DDADMM O=C(N=c1[n-]nc([C@@H]2CCCO2)s1)c1cccc2[nH]ncc21 ZINC000636350798 422815070 /nfs/dbraw/zinc/81/50/70/422815070.db2.gz MZGBAPISYRXXPU-NSHDSACASA-N -1 1 315.358 1.940 20 0 DDADMM C[C@H](CNC(=O)CCc1nn[n-]n1)Oc1cccc(Cl)c1 ZINC000631531245 422815704 /nfs/dbraw/zinc/81/57/04/422815704.db2.gz GYKRZCQZBNTSBR-SECBINFHSA-N -1 1 309.757 1.369 20 0 DDADMM NS(=O)(=O)c1c[n-]c(=NC[C@@H]2CCC[C@H]2C2CC2)s1 ZINC000568961860 304324041 /nfs/dbraw/zinc/32/40/41/304324041.db2.gz XXTKNGWPHOYXAO-UWVGGRQHSA-N -1 1 301.437 1.451 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCCC23CC3)o1 ZINC000629833758 422830366 /nfs/dbraw/zinc/83/03/66/422830366.db2.gz APHDMBOISJHAQM-JTQLQIEISA-N -1 1 312.391 1.498 20 0 DDADMM COc1cccc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)c1 ZINC000074272441 406907421 /nfs/dbraw/zinc/90/74/21/406907421.db2.gz AARSEFXVCWMMKV-UHFFFAOYSA-N -1 1 309.325 1.862 20 0 in-vitro DDADMM O=C(C=Cc1cc(Cl)c2c(c1)OCCO2)Nc1nnn[n-]1 ZINC000074394221 406912162 /nfs/dbraw/zinc/91/21/62/406912162.db2.gz VFWQBXNZSSTYJU-OWOJBTEDSA-N -1 1 307.697 1.276 20 0 DDADMM O=C(C=Cc1cc(Cl)c2c(c1)OCCO2)Nc1nn[n-]n1 ZINC000074394221 406912165 /nfs/dbraw/zinc/91/21/65/406912165.db2.gz VFWQBXNZSSTYJU-OWOJBTEDSA-N -1 1 307.697 1.276 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2cc(C)sc2C)n1 ZINC000031721229 406941797 /nfs/dbraw/zinc/94/17/97/406941797.db2.gz NSPZKKKKBLKKJR-UHFFFAOYSA-N -1 1 314.392 1.469 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(C)ccc2OC)o1 ZINC000032656550 406951098 /nfs/dbraw/zinc/95/10/98/406951098.db2.gz OGTISJXVRRCQEL-UHFFFAOYSA-N -1 1 324.358 1.757 20 0 DDADMM O=C(NCCN1CCOCC1)c1cc2ccccc2cc1[O-] ZINC000033637830 406963030 /nfs/dbraw/zinc/96/30/30/406963030.db2.gz VWWOEFGPFRRRQO-UHFFFAOYSA-N -1 1 300.358 1.607 20 0 DDADMM O=C([O-])C1(NS(=O)(=O)c2c(F)cccc2F)CCCC1 ZINC000037463588 406989906 /nfs/dbraw/zinc/98/99/06/406989906.db2.gz GOUPNGBLGXSGNQ-UHFFFAOYSA-N -1 1 305.302 1.641 20 0 DDADMM CCn1c(CCNC(=O)[C@@H]2Cc3ccccc3O2)n[n-]c1=S ZINC000067078284 407267140 /nfs/dbraw/zinc/26/71/40/407267140.db2.gz CNYHYOBBAQEZLJ-LBPRGKRZSA-N -1 1 318.402 1.623 20 0 DDADMM CC(C)n1c(CCNC(=O)c2ccc(=O)[nH]c2)n[n-]c1=S ZINC000067074191 407267696 /nfs/dbraw/zinc/26/76/96/407267696.db2.gz GMWHPEZJGJAZLY-UHFFFAOYSA-N -1 1 307.379 1.182 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)Nc1ccccc1O ZINC000104138097 407348013 /nfs/dbraw/zinc/34/80/13/407348013.db2.gz HXJVZSDWKFAQOU-UHFFFAOYSA-N -1 1 312.329 1.526 20 0 DDADMM O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)c1c([O-])cccc1F ZINC000124126008 407349036 /nfs/dbraw/zinc/34/90/36/407349036.db2.gz IRSCGFCZBHZKEY-QMMMGPOBSA-N -1 1 320.242 1.424 20 0 DDADMM CCCCNC(=O)[C@H]1CC[C@H](C)N(C(=O)c2cncc([O-])c2)C1 ZINC000111580055 407412223 /nfs/dbraw/zinc/41/22/23/407412223.db2.gz IZNLYKQLMGLFAR-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM O=C(N[C@H]1CCN(c2ccccc2F)C1=O)c1cncc([O-])c1 ZINC000112402842 407428421 /nfs/dbraw/zinc/42/84/21/407428421.db2.gz AKVGCVPJJANIQD-ZDUSSCGKSA-N -1 1 315.304 1.462 20 0 DDADMM CCCCCNC(=O)[C@@H](C)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127178383 407429584 /nfs/dbraw/zinc/42/95/84/407429584.db2.gz HOYMDVWFHKONOS-SECBINFHSA-N -1 1 314.411 1.151 20 0 DDADMM Cn1c(=O)oc2ccc(NC(=O)c3ccc(O)cc3[O-])cc21 ZINC000170659190 407468678 /nfs/dbraw/zinc/46/86/78/407468678.db2.gz LUEVBJZBFBFYJX-UHFFFAOYSA-N -1 1 300.270 1.795 20 0 DDADMM NC(=O)NCc1ccccc1[N-]S(=O)(=O)c1ccccc1 ZINC000170671840 407471336 /nfs/dbraw/zinc/47/13/36/407471336.db2.gz IHGGMHZSNJBUIO-UHFFFAOYSA-N -1 1 305.359 1.656 20 0 DDADMM CCSCC[C@H](C)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000266345172 407537739 /nfs/dbraw/zinc/53/77/39/407537739.db2.gz NWYMVMODBGEXTP-VIFPVBQESA-N -1 1 321.420 1.876 20 0 DDADMM CCOc1ccc(NC(=O)[C@@H](C)N2CC[C@H](C(=O)[O-])C2)cc1 ZINC000178895344 407643047 /nfs/dbraw/zinc/64/30/47/407643047.db2.gz YKCPLCIMQKQEPP-NEPJUHHUSA-N -1 1 306.362 1.819 20 0 DDADMM O=C(N[C@H]1CCCN(c2cccnn2)C1)c1c([O-])cccc1F ZINC000186581847 407711324 /nfs/dbraw/zinc/71/13/24/407711324.db2.gz WIHDSULTVZOIRV-NSHDSACASA-N -1 1 316.336 1.720 20 0 DDADMM O=C(Cn1cc(NC(=O)c2cc(F)ccc2[O-])cn1)NC1CC1 ZINC000267046004 407727093 /nfs/dbraw/zinc/72/70/93/407727093.db2.gz IRONIAZIQHZPTO-UHFFFAOYSA-N -1 1 318.308 1.259 20 0 DDADMM O=C(NC[C@H](O)C(F)F)c1cc(Br)ccc1[O-] ZINC000236540031 407727274 /nfs/dbraw/zinc/72/72/74/407727274.db2.gz UPOBJZBEWUGILY-QMMMGPOBSA-N -1 1 310.094 1.511 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](C)Cn1cccn1 ZINC000267052256 407730921 /nfs/dbraw/zinc/73/09/21/407730921.db2.gz WASBZGDDYONEPQ-SNVBAGLBSA-N -1 1 317.393 1.803 20 0 DDADMM O=C(NC1CC1)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)cc1 ZINC000171806499 407773794 /nfs/dbraw/zinc/77/37/94/407773794.db2.gz JVXWKSYXQHXGMT-AWEZNQCLSA-N -1 1 324.402 1.500 20 0 DDADMM COc1cccc(CC(=O)N2CCN(CCCC(=O)[O-])CC2)c1 ZINC000262273572 407781470 /nfs/dbraw/zinc/78/14/70/407781470.db2.gz UHDVGCVGQDDBLV-UHFFFAOYSA-N -1 1 320.389 1.247 20 0 DDADMM CCO[C@H]1C[C@H](N(C)CC(=O)NCC(=O)[O-])C12CCCCC2 ZINC000262261041 407778851 /nfs/dbraw/zinc/77/88/51/407778851.db2.gz SGCGYFWYPOBBSP-STQMWFEESA-N -1 1 312.410 1.247 20 0 DDADMM O=C(N[C@@H]1CCCN(c2cccnc2)C1=O)c1ncccc1[O-] ZINC000153324301 407802054 /nfs/dbraw/zinc/80/20/54/407802054.db2.gz PKJHZJBCJOMPHF-GFCCVEGCSA-N -1 1 312.329 1.108 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]1CCO)c1nc2ccccc2c(=O)[n-]1 ZINC000187193087 407832210 /nfs/dbraw/zinc/83/22/10/407832210.db2.gz CTZZYVCPQMOPIX-CMPLNLGQSA-N -1 1 301.346 1.204 20 0 DDADMM CC[C@H](OC1CCCCC1)C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000133664925 407865905 /nfs/dbraw/zinc/86/59/05/407865905.db2.gz RGRPTGXIDXBLHE-LBPRGKRZSA-N -1 1 310.398 1.275 20 0 DDADMM O=C(Nc1ccncc1[O-])c1cn(Cc2cccc(F)c2)nn1 ZINC000133683197 407869983 /nfs/dbraw/zinc/86/99/83/407869983.db2.gz ALCRLRJZSZMHIR-UHFFFAOYSA-N -1 1 313.292 1.818 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](c2nnc3ccccn32)C1 ZINC000133804492 407873942 /nfs/dbraw/zinc/87/39/42/407873942.db2.gz BSTOKEAMIOIFFH-GFCCVEGCSA-N -1 1 323.356 1.850 20 0 DDADMM O=C([O-])[C@@H]1CCN([C@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000262646147 407889967 /nfs/dbraw/zinc/88/99/67/407889967.db2.gz KCOKERMFVRDZKN-RISCZKNCSA-N -1 1 306.337 1.552 20 0 DDADMM COc1ccc(C)cc1NC(=O)[C@H](C)N1CC[C@H](C(=O)[O-])C1 ZINC000262684702 407900989 /nfs/dbraw/zinc/90/09/89/407900989.db2.gz XIFFERQMMRZDGF-RYUDHWBXSA-N -1 1 306.362 1.737 20 0 DDADMM COc1cc(C(=O)N(CC(N)=O)CC(C)C)cc(Cl)c1[O-] ZINC000153545983 407847702 /nfs/dbraw/zinc/84/77/02/407847702.db2.gz VRYJFWSNCGTEFD-UHFFFAOYSA-N -1 1 314.769 1.638 20 0 DDADMM Cc1nc(-c2ccc(NCC(C)(C)CO)nc2)[n-]c(=O)c1C ZINC000135588591 408034698 /nfs/dbraw/zinc/03/46/98/408034698.db2.gz FKWNAMGDZJKBJO-UHFFFAOYSA-N -1 1 302.378 1.879 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1c([O-])cccc1F)N1CCOCC1 ZINC000119318632 408038947 /nfs/dbraw/zinc/03/89/47/408038947.db2.gz MSJTUVWRADALOV-CYBMUJFWSA-N -1 1 310.369 1.618 20 0 DDADMM O=C(NCCCOCCO)c1ccc(Br)c([O-])c1 ZINC000181351157 407984681 /nfs/dbraw/zinc/98/46/81/407984681.db2.gz QBHTXCWGHVVEFS-UHFFFAOYSA-N -1 1 318.167 1.284 20 0 DDADMM CCN1CCN(C(=O)c2cccc3nn[nH]c32)C(C)(C)C1=O ZINC000188876642 407984724 /nfs/dbraw/zinc/98/47/24/407984724.db2.gz KNWXHMZWXXLUGA-UHFFFAOYSA-N -1 1 301.350 1.041 20 0 DDADMM CCOCCC1(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000119418039 408065748 /nfs/dbraw/zinc/06/57/48/408065748.db2.gz YJXSJWVRUOSUNR-UHFFFAOYSA-N -1 1 318.377 1.611 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3OCC[C@H]32)c1Cl ZINC000268523665 408082069 /nfs/dbraw/zinc/08/20/69/408082069.db2.gz VJKOYXUBNYSWAT-AEJSXWLSSA-N -1 1 319.814 1.309 20 0 DDADMM CCC[C@H](NC(=O)CCC(=O)c1ccc(F)cc1)c1nn[n-]n1 ZINC000136623795 408112119 /nfs/dbraw/zinc/11/21/19/408112119.db2.gz GCFLHVDGDQCJIG-LBPRGKRZSA-N -1 1 319.340 1.959 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(-c2ccncc2)on1)c1nn[n-]n1 ZINC000136731607 408121798 /nfs/dbraw/zinc/12/17/98/408121798.db2.gz OPDJNFBJYHNMJR-SNVBAGLBSA-N -1 1 313.321 1.521 20 0 DDADMM CC[C@@H](Oc1cccc(C)c1)C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000175413545 408124375 /nfs/dbraw/zinc/12/43/75/408124375.db2.gz JOCSLLQTXXZSEN-CYBMUJFWSA-N -1 1 318.377 1.313 20 0 DDADMM CC(C)(C)CC[C@@H](NC(=O)c1ccc2[nH]nnc2c1)C(=O)[O-] ZINC000263205237 408055254 /nfs/dbraw/zinc/05/52/54/408055254.db2.gz VTNITUUCSXFTLD-LLVKDONJSA-N -1 1 304.350 1.967 20 0 DDADMM CO[C@@H]1C[C@H](CC(=O)[O-])N(C(=O)c2cccc3c[nH]nc32)C1 ZINC000263201503 408055836 /nfs/dbraw/zinc/05/58/36/408055836.db2.gz YPOQIFKIRWSATB-GHMZBOCLSA-N -1 1 303.318 1.267 20 0 DDADMM CC(C)(C(=O)NCCCc1nc(=O)[n-][nH]1)c1ccccc1Cl ZINC000155655570 408194393 /nfs/dbraw/zinc/19/43/93/408194393.db2.gz LUGCZJRPFLVYKE-UHFFFAOYSA-N -1 1 322.796 1.778 20 0 DDADMM CCc1ccc(-c2noc([C@@H](C)NCc3nc(=O)[n-][nH]3)n2)cc1 ZINC000273260877 408128246 /nfs/dbraw/zinc/12/82/46/408128246.db2.gz BYRVNSGBQGSQFT-SECBINFHSA-N -1 1 314.349 1.561 20 0 DDADMM Cc1cc(C2CCN(C(=O)[C@@H]3[C@H](C(=O)[O-])C3(C)C)CC2)n[nH]1 ZINC000263558982 408175052 /nfs/dbraw/zinc/17/50/52/408175052.db2.gz QCADYRDJXOOSGW-QWHCGFSZSA-N -1 1 305.378 1.781 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@@H]3[C@H](C(=O)[O-])C3(C)C)C2)n[nH]1 ZINC000263564697 408175070 /nfs/dbraw/zinc/17/50/70/408175070.db2.gz VAQNPZORJPKDLE-GARJFASQSA-N -1 1 306.366 1.176 20 0 DDADMM O=C(C=Cc1ccnc(Cl)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155525739 408177946 /nfs/dbraw/zinc/17/79/46/408177946.db2.gz CLSRCFOHNKMJBB-PGLGOXFNSA-N -1 1 318.768 1.668 20 0 DDADMM O=C(COc1ccccc1F)Nc1nc(SCCO)n[nH]1 ZINC000268734099 408181815 /nfs/dbraw/zinc/18/18/15/408181815.db2.gz PLDPBVVPQLYAJM-UHFFFAOYSA-N -1 1 312.326 1.046 20 0 DDADMM COc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)ccc1Cl ZINC000155577333 408183507 /nfs/dbraw/zinc/18/35/07/408183507.db2.gz SJRSNSWYARLQSK-SNVBAGLBSA-N -1 1 321.768 1.882 20 0 DDADMM Cc1n[nH]c(C(F)F)c1[N-]S(=O)(=O)C[C@H]1CCCCO1 ZINC000273411494 408187847 /nfs/dbraw/zinc/18/78/47/408187847.db2.gz MSJICXZKFZIRMO-MRVPVSSYSA-N -1 1 309.338 1.967 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCCC(F)(F)F)nc1Cl ZINC000269267356 408239395 /nfs/dbraw/zinc/23/93/95/408239395.db2.gz DTFSFKCXVIZGHV-UHFFFAOYSA-N -1 1 305.709 1.694 20 0 DDADMM C[C@H](CN(C)C(=O)COc1cccc(Cl)c1)c1nn[n-]n1 ZINC000273573048 408251745 /nfs/dbraw/zinc/25/17/45/408251745.db2.gz ZUJOGNFQUZQCRF-SECBINFHSA-N -1 1 309.757 1.494 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccs1)c1nc(N(C)C)no1 ZINC000269308149 408263605 /nfs/dbraw/zinc/26/36/05/408263605.db2.gz UUUSVKJMVFJUCU-ZETCQYMHSA-N -1 1 302.381 1.237 20 0 DDADMM C[C@H](CO[C@@H]1CCOC1)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000150926754 408226567 /nfs/dbraw/zinc/22/65/67/408226567.db2.gz FUFBPWXARGIFHQ-NXEZZACHSA-N -1 1 321.345 1.437 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CC[C@@H](C)C1 ZINC000263813018 408267096 /nfs/dbraw/zinc/26/70/96/408267096.db2.gz OPGMUBFMCOAOAS-LLVKDONJSA-N -1 1 312.373 1.964 20 0 DDADMM O=C(Cc1cc(Br)ccc1F)Nc1nnn[n-]1 ZINC000151186873 408271893 /nfs/dbraw/zinc/27/18/93/408271893.db2.gz CKSFYJGHCHBPMR-UHFFFAOYSA-N -1 1 300.091 1.283 20 0 DDADMM O=C(Cc1cc(Br)ccc1F)Nc1nn[n-]n1 ZINC000151186873 408271897 /nfs/dbraw/zinc/27/18/97/408271897.db2.gz CKSFYJGHCHBPMR-UHFFFAOYSA-N -1 1 300.091 1.283 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)c1ccccc1 ZINC000269634706 408369886 /nfs/dbraw/zinc/36/98/86/408369886.db2.gz BEIMQAUSDRMOSN-JTQLQIEISA-N -1 1 323.374 1.626 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc(OCC)c(Cl)c1)c1nn[n-]n1 ZINC000176786720 408444948 /nfs/dbraw/zinc/44/49/48/408444948.db2.gz LTSAERUGHAZFEM-SNVBAGLBSA-N -1 1 324.772 1.918 20 0 DDADMM Cn1cnc2cc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)cnc21 ZINC000183605629 408451835 /nfs/dbraw/zinc/45/18/35/408451835.db2.gz ZMYDXZGJUNZDHZ-UHFFFAOYSA-N -1 1 311.227 1.358 20 0 DDADMM Cc1cc2c(cc1C)O[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)C2 ZINC000183414868 408403609 /nfs/dbraw/zinc/40/36/09/408403609.db2.gz SMQSHXOMDJPHRJ-SMDDNHRTSA-N -1 1 315.377 1.382 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccccc1Br)c1nn[n-]n1 ZINC000183416037 408405232 /nfs/dbraw/zinc/40/52/32/408405232.db2.gz QQCIRXIDSFAWND-QMMMGPOBSA-N -1 1 324.182 1.838 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](O)C(F)(F)F)c(F)cc1F ZINC000269737823 408410727 /nfs/dbraw/zinc/41/07/27/408410727.db2.gz SYASRFUAXKJMLY-VIFPVBQESA-N -1 1 319.251 1.475 20 0 DDADMM CCOc1ccc(OCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183439965 408413935 /nfs/dbraw/zinc/41/39/35/408413935.db2.gz XUSMNGPKPRWUBV-NSHDSACASA-N -1 1 319.365 1.239 20 0 DDADMM CCC[C@H](NC(=O)CCc1cccc(OC)c1)c1nn[n-]n1 ZINC000176751584 408432081 /nfs/dbraw/zinc/43/20/81/408432081.db2.gz XKLMLWPFZKMXQW-ZDUSSCGKSA-N -1 1 303.366 1.799 20 0 DDADMM CC(C)C[C@@]1(CO)CCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000191490334 408435650 /nfs/dbraw/zinc/43/56/50/408435650.db2.gz YBEGPBBUPJXAAA-MRXNPFEDSA-N -1 1 302.378 1.971 20 0 DDADMM CCC[C@H](NC(=O)c1cnc(-c2ccccc2)nc1)c1nn[n-]n1 ZINC000176781073 408443940 /nfs/dbraw/zinc/44/39/40/408443940.db2.gz DDUXLNOPWWNZBK-ZDUSSCGKSA-N -1 1 323.360 1.928 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@]2(C)CCCS2)c1 ZINC000269892855 408461338 /nfs/dbraw/zinc/46/13/38/408461338.db2.gz BWHQGCYEDPSWKJ-LBPRGKRZSA-N -1 1 319.404 1.630 20 0 DDADMM CC(C)[C@@H](O)C(C)(C)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000269932816 408472114 /nfs/dbraw/zinc/47/21/14/408472114.db2.gz NNFZUXAPOBZVSO-SECBINFHSA-N -1 1 323.846 1.395 20 0 DDADMM COC(=O)[C@]1(C)CCN(C(=O)c2c([O-])cnc3ccccc32)C1 ZINC000177392562 408597039 /nfs/dbraw/zinc/59/70/39/408597039.db2.gz JIUHIVBEFOHNKV-QGZVFWFLSA-N -1 1 314.341 1.966 20 0 DDADMM COc1cccc(C=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000274795950 408535274 /nfs/dbraw/zinc/53/52/74/408535274.db2.gz RBOMOJJNQMOHKW-AEZGRPFRSA-N -1 1 301.350 1.484 20 0 DDADMM CCN1C(=S)N=NC1CCNC(=O)c1cc(F)ccc1[O-] ZINC000177151308 408537188 /nfs/dbraw/zinc/53/71/88/408537188.db2.gz AYDIMBROTMCHPJ-UHFFFAOYSA-N -1 1 310.354 1.404 20 0 DDADMM CC(C)c1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(O)c1 ZINC000274802447 408538743 /nfs/dbraw/zinc/53/87/43/408538743.db2.gz STJJVRBRJCVCKW-JTQLQIEISA-N -1 1 303.366 1.904 20 0 DDADMM C[C@@](NC(=O)c1c([O-])cccc1F)(C(N)=O)c1ccccc1 ZINC000184490911 408626406 /nfs/dbraw/zinc/62/64/06/408626406.db2.gz OHQBSNURBQSUGH-INIZCTEOSA-N -1 1 302.305 1.662 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(CC2CCC2)C(C)C)co1 ZINC000192781502 408647700 /nfs/dbraw/zinc/64/77/00/408647700.db2.gz DSBPNGNVKAKOPJ-UHFFFAOYSA-N -1 1 314.407 1.838 20 0 DDADMM CN(C)CCNS(=O)(=O)c1cc(Cl)c(F)c(C(=O)[O-])c1 ZINC000184851839 408705339 /nfs/dbraw/zinc/70/53/39/408705339.db2.gz IAZZFFZYOWFXFW-UHFFFAOYSA-N -1 1 324.761 1.017 20 0 DDADMM O=C(NCCOC1CCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000194242752 408772671 /nfs/dbraw/zinc/77/26/71/408772671.db2.gz VDHAMSABCOEFBU-UHFFFAOYSA-N -1 1 301.346 1.612 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@@H]1[C@@H]1CCCO1 ZINC000285622469 408831411 /nfs/dbraw/zinc/83/14/11/408831411.db2.gz ARAYUQZOTYEGIA-NEPJUHHUSA-N -1 1 318.402 1.802 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1(c2cccc(F)c2)CC1 ZINC000290859512 408845217 /nfs/dbraw/zinc/84/52/17/408845217.db2.gz XEOXCIXJEUSROL-UHFFFAOYSA-N -1 1 311.338 1.672 20 0 DDADMM CCNC(=O)N1CC[C@H](NC(=O)c2c(F)ccc([O-])c2F)C1 ZINC000280869708 408850125 /nfs/dbraw/zinc/85/01/25/408850125.db2.gz BPCRQZVTQFVPSB-QMMMGPOBSA-N -1 1 313.304 1.204 20 0 DDADMM NC(=O)c1[nH]nnc1NC(=O)c1cc2c(s1)CCCCCC2 ZINC000291457211 408866836 /nfs/dbraw/zinc/86/68/36/408866836.db2.gz MZPMETKTDRDAFW-UHFFFAOYSA-N -1 1 319.390 1.876 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000286022662 408910148 /nfs/dbraw/zinc/91/01/48/408910148.db2.gz JDNHGQYWHUNDKW-BDAKNGLRSA-N -1 1 322.390 1.336 20 0 DDADMM O=C(NCCc1cc(F)cc2c1OCOC2)c1ncccc1[O-] ZINC000194665808 163293049 /nfs/dbraw/zinc/29/30/49/163293049.db2.gz JVCXFVGRRVKPSL-UHFFFAOYSA-N -1 1 318.304 1.765 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)(F)F)c1cccc(Cl)c1F ZINC000228545680 163341788 /nfs/dbraw/zinc/34/17/88/163341788.db2.gz UPFRHCNRJIMBBQ-SSDOTTSWSA-N -1 1 321.679 1.681 20 0 DDADMM O=C(NCc1cccnn1)c1ccc(Br)c([O-])c1 ZINC000236590644 163356840 /nfs/dbraw/zinc/35/68/40/163356840.db2.gz LBYYBNHKSRMFTR-UHFFFAOYSA-N -1 1 308.135 1.875 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1(CCF)CC1 ZINC000291960936 408953068 /nfs/dbraw/zinc/95/30/68/408953068.db2.gz OZBQURKINKIQLM-UHFFFAOYSA-N -1 1 306.341 1.395 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(-c2cc[nH]n2)s1)c1nn[n-]n1 ZINC000277605661 408976705 /nfs/dbraw/zinc/97/67/05/408976705.db2.gz HYLZSMVFOSBVLD-VIFPVBQESA-N -1 1 317.378 1.923 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@H](C)CC)CC2)n1 ZINC000277651272 408984285 /nfs/dbraw/zinc/98/42/85/408984285.db2.gz IHASWIMPXBCQQO-SNVBAGLBSA-N -1 1 308.382 1.734 20 0 DDADMM CCOc1cc(C(=O)N[C@H](CF)C(=O)OC)cc(Cl)c1[O-] ZINC000292157348 408992500 /nfs/dbraw/zinc/99/25/00/408992500.db2.gz CIPUSZSCKGYPEY-SECBINFHSA-N -1 1 319.716 1.685 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2(C)CCCC2)c1 ZINC000292516998 409035457 /nfs/dbraw/zinc/03/54/57/409035457.db2.gz QGKPEOJLADONIS-UHFFFAOYSA-N -1 1 312.391 1.819 20 0 DDADMM C[C@H](O)[C@@H](NC(=O)C1CC1)c1nc(-c2ccc([O-])cc2F)no1 ZINC000278107434 409065794 /nfs/dbraw/zinc/06/57/94/409065794.db2.gz ILNFPSJJMKWPBO-JVXZTZIISA-N -1 1 321.308 1.529 20 0 DDADMM C[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(=O)NC(C)(C)C ZINC000283126364 409093453 /nfs/dbraw/zinc/09/34/53/409093453.db2.gz UERAUZFLYWIDPV-MRVPVSSYSA-N -1 1 321.406 1.051 20 0 DDADMM CC(C)CCNC(=O)[C@@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000283264315 409124901 /nfs/dbraw/zinc/12/49/01/409124901.db2.gz AGCKHSBQGVPYFL-ZDUSSCGKSA-N -1 1 323.418 1.465 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1nc(C)n(C)n1 ZINC000293602311 409146269 /nfs/dbraw/zinc/14/62/69/409146269.db2.gz CZZZPVDZHNCRSL-UHFFFAOYSA-N -1 1 323.378 1.137 20 0 DDADMM Cc1nc(-c2ccncc2)[nH]c(=O)c1CC(=O)[N-]OCC1CC1 ZINC000293770253 409177627 /nfs/dbraw/zinc/17/76/27/409177627.db2.gz MQIXQQGOAXQHJR-UHFFFAOYSA-N -1 1 314.345 1.553 20 0 DDADMM O=c1nc([C@H]2CCCCN2Cc2nc(-c3ccco3)no2)[nH][n-]1 ZINC000289043399 409211595 /nfs/dbraw/zinc/21/15/95/409211595.db2.gz BRFHBJMLEYOPJQ-SECBINFHSA-N -1 1 316.321 1.468 20 0 DDADMM COCC1([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCC1 ZINC000283795065 409218321 /nfs/dbraw/zinc/21/83/21/409218321.db2.gz AQLZMERGRUYWCK-UHFFFAOYSA-N -1 1 309.309 1.951 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCOc1ccc(F)cc1 ZINC000289322421 409248611 /nfs/dbraw/zinc/24/86/11/409248611.db2.gz KYXFXKALLGWDJH-UHFFFAOYSA-N -1 1 315.326 1.062 20 0 DDADMM CCN(CCC1CC1)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000354959149 298580475 /nfs/dbraw/zinc/58/04/75/298580475.db2.gz NZJXHBALCKZCQR-UHFFFAOYSA-N -1 1 300.380 1.450 20 0 DDADMM C[C@@H](c1cccnc1)N(C)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279382239 409204278 /nfs/dbraw/zinc/20/42/78/409204278.db2.gz QSIBWDRXQNSERY-CJJSWDBDSA-N -1 1 310.361 1.962 20 0 DDADMM O=S(=O)([N-]CCC1(O)CCC1)c1ccc(F)c(F)c1F ZINC000284078626 409271292 /nfs/dbraw/zinc/27/12/92/409271292.db2.gz UVYAHHAFFYTOCW-UHFFFAOYSA-N -1 1 309.309 1.687 20 0 DDADMM CC[C@@](C)(OC)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000280659481 409399046 /nfs/dbraw/zinc/39/90/46/409399046.db2.gz FJMPMHQFNKBGIU-OAHLLOKOSA-N -1 1 306.366 1.071 20 0 DDADMM CCCc1cc(=O)[n-]c(SCc2nnc3n2CCOC3)n1 ZINC000280548667 409352596 /nfs/dbraw/zinc/35/25/96/409352596.db2.gz IXQRKHODMJDYOH-UHFFFAOYSA-N -1 1 307.379 1.549 20 0 DDADMM Cc1cn[nH]c1CN1CCN(C(=O)c2ccc(O)cc2)CC1 ZINC000290445188 409403372 /nfs/dbraw/zinc/40/33/72/409403372.db2.gz OBZUPYVQCNWQEY-UHFFFAOYSA-N -1 1 300.362 1.382 20 0 DDADMM O=C(NCCn1cc(Br)cn1)c1ncccc1[O-] ZINC000311116915 164007591 /nfs/dbraw/zinc/00/75/91/164007591.db2.gz GJIAGBUQASKFEE-UHFFFAOYSA-N -1 1 311.139 1.176 20 0 DDADMM CCOC(=O)COc1cccc(NC(=O)c2cncc([O-])c2)c1 ZINC000320805498 164042764 /nfs/dbraw/zinc/04/27/64/164042764.db2.gz KJAJDJVUUWDZRX-UHFFFAOYSA-N -1 1 316.313 1.981 20 0 DDADMM CC(=O)NC[C@H]1CCCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000629860216 422844264 /nfs/dbraw/zinc/84/42/64/422844264.db2.gz HHVKLCOCQPBQSE-GFCCVEGCSA-N -1 1 322.434 1.270 20 0 DDADMM Cc1cnc(C(=O)N2CCSC3(CCOCC3)C2)c([O-])c1 ZINC000408371445 164309730 /nfs/dbraw/zinc/30/97/30/164309730.db2.gz BCQFVXGPCBIQPZ-UHFFFAOYSA-N -1 1 308.403 1.834 20 0 DDADMM CO[C@@H]1C[C@@H](c2nnc[nH]2)N(C(=O)c2cc(F)c([O-])c(F)c2)C1 ZINC000285611311 409508519 /nfs/dbraw/zinc/50/85/19/409508519.db2.gz CZQRIAVUTXIBJJ-KCJUWKMLSA-N -1 1 324.287 1.391 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](c3ccnc(N)n3)C2)c([O-])c1 ZINC000331458523 409529897 /nfs/dbraw/zinc/52/98/97/409529897.db2.gz ASGTZQRKGUNVQX-NSHDSACASA-N -1 1 313.361 1.318 20 0 DDADMM O=S(=O)(CCCCCO)c1n[n-]c(CCC2CCCC2)n1 ZINC000342421640 409618148 /nfs/dbraw/zinc/61/81/48/409618148.db2.gz XVLWGUBCAVTEBS-UHFFFAOYSA-N -1 1 315.439 1.864 20 0 DDADMM CCN1CCN(S(=O)(=O)c2c(C)o[n-]c2=N)c2ccccc21 ZINC000332051672 409838560 /nfs/dbraw/zinc/83/85/60/409838560.db2.gz MVCSHDWZGYPVRK-UHFFFAOYSA-N -1 1 322.390 1.431 20 0 DDADMM CC[C@H](C[C@H](C)CO)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000338229104 409820004 /nfs/dbraw/zinc/82/00/04/409820004.db2.gz KQSPZDYDFJRSKL-GXSJLCMTSA-N -1 1 319.379 1.142 20 0 DDADMM NC(=O)c1ccn(-c2ccccc2NC(=O)c2cncc([O-])c2)n1 ZINC000346309465 409905204 /nfs/dbraw/zinc/90/52/04/409905204.db2.gz SRGLMBJNMRCLSH-UHFFFAOYSA-N -1 1 323.312 1.324 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCCOC1 ZINC000357417103 410027939 /nfs/dbraw/zinc/02/79/39/410027939.db2.gz OFBMWCCMZQCYJL-LBPRGKRZSA-N -1 1 309.309 1.951 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)Nc2nn[nH]c2C(=O)NC2CC2)C[C@@H]1C ZINC000297804403 410006195 /nfs/dbraw/zinc/00/61/95/410006195.db2.gz SPTJNIVCNVELHR-KXUCPTDWSA-N -1 1 305.382 1.708 20 0 DDADMM COc1cccc(C2(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)CC2)c1 ZINC000354775575 410078864 /nfs/dbraw/zinc/07/88/64/410078864.db2.gz CLDJWBWMUOYBIR-LLVKDONJSA-N -1 1 315.377 1.502 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1C[C@H](C)[C@@H]1C ZINC000357558173 410100740 /nfs/dbraw/zinc/10/07/40/410100740.db2.gz ZWHXTAKBDBAFOM-ONGXEEELSA-N -1 1 302.378 1.851 20 0 DDADMM CCC[C@@H](NC(=O)COC/C=C\c1ccccc1)c1nn[n-]n1 ZINC000357666792 410175792 /nfs/dbraw/zinc/17/57/92/410175792.db2.gz ZXXKWRBESYWQKY-LBIZBFCRSA-N -1 1 315.377 1.887 20 0 DDADMM Cc1nc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(C)s1 ZINC000351857739 410292999 /nfs/dbraw/zinc/29/29/99/410292999.db2.gz UMUJYHOHAAZNOR-UHFFFAOYSA-N -1 1 322.390 1.797 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2ccn(C)n2)sc1C ZINC000329565792 410363196 /nfs/dbraw/zinc/36/31/96/410363196.db2.gz KOGAGGJGDXIREB-UHFFFAOYSA-N -1 1 300.409 1.014 20 0 DDADMM C[C@H](c1cccc(F)c1)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000329581885 410369080 /nfs/dbraw/zinc/36/90/80/410369080.db2.gz LIZZMWDQVAHDGO-GFCCVEGCSA-N -1 1 319.384 1.126 20 0 DDADMM CN(C(=O)CNC(=O)c1ncccc1[O-])C(C1CC1)C1CC1 ZINC000343361727 410395007 /nfs/dbraw/zinc/39/50/07/410395007.db2.gz WYMDKMQIGNSCLM-UHFFFAOYSA-N -1 1 303.362 1.164 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCC1CCSCC1)c2=O ZINC000358362041 410454582 /nfs/dbraw/zinc/45/45/82/410454582.db2.gz CKOWKAQGMWDHTE-UHFFFAOYSA-N -1 1 306.391 1.547 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2nc(C)c(C)s2)n1 ZINC000333292656 410466864 /nfs/dbraw/zinc/46/68/64/410466864.db2.gz RRRIOYYMKRKDQU-ZCFIWIBFSA-N -1 1 302.381 1.491 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn3ccc(C)cc3n2)n1 ZINC000352147593 410509412 /nfs/dbraw/zinc/50/94/12/410509412.db2.gz BCTBNIINNVFRFW-UHFFFAOYSA-N -1 1 313.317 1.795 20 0 DDADMM CC(C)[C@@H]1N(C(=O)CNC(=O)c2ncccc2[O-])CC12CCC2 ZINC000359195079 410572647 /nfs/dbraw/zinc/57/26/47/410572647.db2.gz RPYJNKGEDZDDHL-HNNXBMFYSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(Nc1nnc(CC(F)(F)F)s1)c1cncc([O-])c1 ZINC000339958879 410578305 /nfs/dbraw/zinc/57/83/05/410578305.db2.gz GPKVQUQAHZWDFX-UHFFFAOYSA-N -1 1 304.253 1.996 20 0 DDADMM CC(C)Oc1cc(NC(=O)c2cncc([O-])c2)ccc1C(N)=O ZINC000355492098 410543175 /nfs/dbraw/zinc/54/31/75/410543175.db2.gz PVCOKVZYSCUJMT-UHFFFAOYSA-N -1 1 315.329 1.926 20 0 DDADMM CC(C)(C)C(=O)N1CCN(C(=O)c2cncc([O-])c2)C(C)(C)C1 ZINC000340005350 410610345 /nfs/dbraw/zinc/61/03/45/410610345.db2.gz WETGDZOOGBTLRJ-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM CCC[C@@]1([N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CCOC1 ZINC000352327887 410626236 /nfs/dbraw/zinc/62/62/36/410626236.db2.gz DVJTUMSIUJCKEN-CYBMUJFWSA-N -1 1 317.363 1.304 20 0 DDADMM COc1cccc(-c2noc([N-][C@H](CO)c3cnn(C)c3)n2)c1 ZINC000301824547 410806138 /nfs/dbraw/zinc/80/61/38/410806138.db2.gz LVIZPWMMFNKUEU-CYBMUJFWSA-N -1 1 315.333 1.624 20 0 DDADMM COCCOCCC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000348047843 410824934 /nfs/dbraw/zinc/82/49/34/410824934.db2.gz LPIUWLUDZKXNHF-UHFFFAOYSA-N -1 1 308.425 1.091 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)c3ccc(Cl)cc3)ccnc1-2 ZINC000340367492 410847318 /nfs/dbraw/zinc/84/73/18/410847318.db2.gz UEUBUZHELJEMKU-UHFFFAOYSA-N -1 1 322.777 1.796 20 0 DDADMM O=S(=O)([N-]CC[C@@H]1CCOC1)c1ccc(F)c(F)c1F ZINC000343959994 410849901 /nfs/dbraw/zinc/84/99/01/410849901.db2.gz HNMHGGYZXGBIJQ-MRVPVSSYSA-N -1 1 309.309 1.809 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCOC1)c1ccc(F)c(F)c1F ZINC000343959997 410851588 /nfs/dbraw/zinc/85/15/88/410851588.db2.gz HNMHGGYZXGBIJQ-QMMMGPOBSA-N -1 1 309.309 1.809 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1csc(-c2ccccc2)n1 ZINC000348274340 410890313 /nfs/dbraw/zinc/89/03/13/410890313.db2.gz HKZNLYXDNLOGPK-UHFFFAOYSA-N -1 1 312.358 1.742 20 0 DDADMM CCc1ccc(C(=O)CCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000359804383 410890493 /nfs/dbraw/zinc/89/04/93/410890493.db2.gz XHDWVUIGGQLQFW-UHFFFAOYSA-N -1 1 315.377 1.777 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(c2ccc(F)cc2)CCCC1 ZINC000348282232 410895948 /nfs/dbraw/zinc/89/59/48/410895948.db2.gz UTCFGAXPAQDULD-UHFFFAOYSA-N -1 1 315.352 1.956 20 0 DDADMM COCC1(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCC1 ZINC000337646695 410915999 /nfs/dbraw/zinc/91/59/99/410915999.db2.gz JIAFIESHJACAFU-UHFFFAOYSA-N -1 1 304.350 1.220 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C2CCSCC2)o1 ZINC000341169444 410910744 /nfs/dbraw/zinc/91/07/44/410910744.db2.gz XDUCAMSOIMQEGY-UHFFFAOYSA-N -1 1 305.377 1.240 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H]2OC)c1 ZINC000341191127 410927978 /nfs/dbraw/zinc/92/79/78/410927978.db2.gz NLOIYOYBBFEQCO-GXSJLCMTSA-N -1 1 317.363 1.160 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCC[C@@H]2C)c1 ZINC000359936171 410960933 /nfs/dbraw/zinc/96/09/33/410960933.db2.gz HINRGVPKFWPXLB-VHSXEESVSA-N -1 1 301.364 1.781 20 0 DDADMM CC(C)(C)NC(=O)CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000341866833 411075702 /nfs/dbraw/zinc/07/57/02/411075702.db2.gz NHWZJYAOFGQZQV-UHFFFAOYSA-N -1 1 320.361 1.548 20 0 DDADMM COCCC1(C)CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000356539952 411079715 /nfs/dbraw/zinc/07/97/15/411079715.db2.gz YICBQZIGGLNONY-UHFFFAOYSA-N -1 1 304.350 1.173 20 0 DDADMM C[C@H]1SCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1c1ccccc1 ZINC000353480126 411016400 /nfs/dbraw/zinc/01/64/00/411016400.db2.gz POEAZWPAJFLBJY-RISCZKNCSA-N -1 1 304.419 1.787 20 0 DDADMM C[C@@H]1CCC[C@@H](CN=c2ccc(C(=O)NCCO)n[n-]2)[C@H]1C ZINC000360272398 411118923 /nfs/dbraw/zinc/11/89/23/411118923.db2.gz BZWLUBDDVCHFHT-AGIUHOORSA-N -1 1 306.410 1.105 20 0 DDADMM CC(C)CC[C@@H]1CCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353739390 411120405 /nfs/dbraw/zinc/12/04/05/411120405.db2.gz PITYZCPUFICUKI-RYUDHWBXSA-N -1 1 317.393 1.752 20 0 DDADMM CSCc1ccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000353746482 411121967 /nfs/dbraw/zinc/12/19/67/411121967.db2.gz YNOYTOQPHSRCKH-UHFFFAOYSA-N -1 1 315.358 1.533 20 0 DDADMM CCC[C@@H](NC(=O)c1ncc2cc(Cl)ccn21)c1nn[n-]n1 ZINC000579975017 422867004 /nfs/dbraw/zinc/86/70/04/422867004.db2.gz FTZHUITZCWNDFV-SNVBAGLBSA-N -1 1 319.756 1.772 20 0 DDADMM O=S(=O)([N-]CCCn1cccn1)c1ccc(C(F)F)o1 ZINC000631723190 422894677 /nfs/dbraw/zinc/89/46/77/422894677.db2.gz NCQOEEXSZWDIJZ-UHFFFAOYSA-N -1 1 305.306 1.782 20 0 DDADMM C[C@H](CN1CCOCC1)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631752338 422905686 /nfs/dbraw/zinc/90/56/86/422905686.db2.gz HMPVHNZIAAMMTB-SECBINFHSA-N -1 1 324.349 1.216 20 0 DDADMM CN(C)C(=O)CCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631792501 422923892 /nfs/dbraw/zinc/92/38/92/422923892.db2.gz BYCAMNRJXQWGPC-UHFFFAOYSA-N -1 1 310.322 1.364 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)CCC1 ZINC000649864261 422965590 /nfs/dbraw/zinc/96/55/90/422965590.db2.gz SCEFZXNKRXBJFX-UHFFFAOYSA-N -1 1 312.322 1.258 20 0 DDADMM O=C([C@@H](O)Cc1ccccc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000647659817 422987375 /nfs/dbraw/zinc/98/73/75/422987375.db2.gz WGQCARBDIKGFMF-STQMWFEESA-N -1 1 317.345 1.085 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2c(Cl)cccc2Cl)CC1 ZINC000131680213 196190763 /nfs/dbraw/zinc/19/07/63/196190763.db2.gz VUKXRTMUECOFDI-UHFFFAOYSA-N -1 1 324.185 1.977 20 0 DDADMM CN(CC[C@H]1CCOC1=O)Cc1nc(=O)c2sccc2[n-]1 ZINC000131838373 196203104 /nfs/dbraw/zinc/20/31/04/196203104.db2.gz VFTCEAYVMNGMLZ-VIFPVBQESA-N -1 1 307.375 1.370 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C2=CCCCCC2)c1 ZINC000131999465 196218295 /nfs/dbraw/zinc/21/82/95/196218295.db2.gz OMBADVADPXLJSD-UHFFFAOYSA-N -1 1 310.375 1.869 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCCC2(C)C)o1 ZINC000134621582 196407375 /nfs/dbraw/zinc/40/73/75/196407375.db2.gz HHAAYWNLPYYRQO-NSHDSACASA-N -1 1 314.407 1.886 20 0 DDADMM C[C@@H]1[C@@H](C(=O)[O-])CCN1CCCS(=O)(=O)c1ccccc1 ZINC000652504020 423047017 /nfs/dbraw/zinc/04/70/17/423047017.db2.gz ONJNNYHERFRKER-OCCSQVGLSA-N -1 1 311.403 1.645 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(CCN(C)C(C)=O)no2)c1 ZINC000350564311 306753028 /nfs/dbraw/zinc/75/30/28/306753028.db2.gz DXFRQYVIFQEIGK-UHFFFAOYSA-N -1 1 318.333 1.421 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cnn(C[C@@H]2CCCO2)c1)OC ZINC000643269121 423093409 /nfs/dbraw/zinc/09/34/09/423093409.db2.gz FHCQLMDVGKSROZ-STQMWFEESA-N -1 1 317.411 1.229 20 0 DDADMM O=S(=O)([N-]CCn1cccc1)c1ncccc1C(F)(F)F ZINC000645581500 423096223 /nfs/dbraw/zinc/09/62/23/423096223.db2.gz PSSKVYCYIAUINW-UHFFFAOYSA-N -1 1 319.308 1.880 20 0 DDADMM CCn1nc(C)cc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640659345 423120522 /nfs/dbraw/zinc/12/05/22/423120522.db2.gz WXVUXZOBTCNRNH-UHFFFAOYSA-N -1 1 307.379 1.359 20 0 DDADMM CSCCO[N-]C(=O)[C@H]1CC(=O)N(C2CCC(C)CC2)C1 ZINC000366551301 418487943 /nfs/dbraw/zinc/48/79/43/418487943.db2.gz JQEKDPMJSSGGBW-CPCZMJQVSA-N -1 1 314.451 1.824 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2Cc3ccccc32)o1 ZINC000194085498 418540393 /nfs/dbraw/zinc/54/03/93/418540393.db2.gz YFJYBOZXLVHRSV-NSHDSACASA-N -1 1 320.370 1.257 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H]2CC(=O)N3CCCC[C@@H]23)sc1C ZINC000374582109 418543571 /nfs/dbraw/zinc/54/35/71/418543571.db2.gz COJNZQPIAKARBR-ZJUUUORDSA-N -1 1 309.391 1.225 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)Nc2cc(C)nn2C)n1 ZINC000188116976 222006175 /nfs/dbraw/zinc/00/61/75/222006175.db2.gz ZPWQTOXQNGTNFG-UHFFFAOYSA-N -1 1 307.379 1.105 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)c(F)cc(F)c1F)c1nnc[nH]1 ZINC000192932699 222138416 /nfs/dbraw/zinc/13/84/16/222138416.db2.gz CQZNZOXSNRQVEK-BYPYZUCNSA-N -1 1 324.259 1.401 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2noc(-c3ccc(F)cc3)n2)n[n-]1 ZINC000375639259 418667075 /nfs/dbraw/zinc/66/70/75/418667075.db2.gz AWOTUISMDAXAKI-UHFFFAOYSA-N -1 1 323.309 1.276 20 0 DDADMM O=C(NC[C@H]1C[N@@H+]2CCC[C@H]2CO1)c1c(F)ccc([O-])c1F ZINC000368018895 418674900 /nfs/dbraw/zinc/67/49/00/418674900.db2.gz KIHLOGMCJTZSIF-UWVGGRQHSA-N -1 1 312.316 1.263 20 0 DDADMM C[C@H]1COCC[C@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645681152 423140224 /nfs/dbraw/zinc/14/02/24/423140224.db2.gz KEXFQMNJAKEFQE-WCBMZHEXSA-N -1 1 324.324 1.804 20 0 DDADMM Cn1ccc(N2CC[C@@H](NC(=O)c3cc(Cl)ccc3[O-])C2)n1 ZINC000370914851 418749939 /nfs/dbraw/zinc/74/99/39/418749939.db2.gz ZFQGARCQSSALRO-LLVKDONJSA-N -1 1 320.780 1.788 20 0 DDADMM CC1(C)CCC(=CC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CC1 ZINC000370976161 418753955 /nfs/dbraw/zinc/75/39/55/418753955.db2.gz LIPDNWSUJBXZIT-GFCCVEGCSA-N -1 1 305.382 1.626 20 0 DDADMM C[C@@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1c(F)cccc1F ZINC000371354959 418783510 /nfs/dbraw/zinc/78/35/10/418783510.db2.gz OIOYPZSUBONJFV-KCJUWKMLSA-N -1 1 323.303 1.182 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H]1COCCN1C(=O)c1ncc(C)cc1[O-] ZINC000408371106 418800357 /nfs/dbraw/zinc/80/03/57/418800357.db2.gz VDUQUZPHCGSMQD-LBPRGKRZSA-N -1 1 316.361 1.649 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCCN(c2ncccc2F)CC1 ZINC000364757889 418808566 /nfs/dbraw/zinc/80/85/66/418808566.db2.gz VLORUCDVVBJKCO-UHFFFAOYSA-N -1 1 316.336 1.674 20 0 DDADMM C[C@@H]1CC[S@](=O)CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000365445145 418861498 /nfs/dbraw/zinc/86/14/98/418861498.db2.gz BZMDMQYXYYUONU-XFNZEKPQSA-N -1 1 321.398 1.842 20 0 DDADMM C[C@H](CO)[N@H+]1CCCN(C(=O)c2cc(O)ccc2Cl)CC1 ZINC000365689168 418894465 /nfs/dbraw/zinc/89/44/65/418894465.db2.gz SGXMHXSLOCCEAP-LLVKDONJSA-N -1 1 312.797 1.574 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc([C@H]2C[C@@H]2C(=O)Nc2nn[nH]c2C(N)=O)o1 ZINC000410858118 418852133 /nfs/dbraw/zinc/85/21/33/418852133.db2.gz KDCSVAOVPQWSDB-XGEHTFHBSA-N -1 1 315.333 1.362 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)Nc1ccncc1 ZINC000373068073 418926541 /nfs/dbraw/zinc/92/65/41/418926541.db2.gz OEBCITAHBAPZEN-UHFFFAOYSA-N -1 1 321.340 1.551 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)c2ncn(C)n2)c(F)c1 ZINC000425175564 228380564 /nfs/dbraw/zinc/38/05/64/228380564.db2.gz KJPMFMXXZJAMCV-MRVPVSSYSA-N -1 1 316.333 1.441 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](CO)[C@@H]2CCCO2)c(F)c1 ZINC000425185172 228384495 /nfs/dbraw/zinc/38/44/95/228384495.db2.gz GNQVIOPVPOIYEY-RYUDHWBXSA-N -1 1 321.345 1.091 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCCO2)c(F)c1 ZINC000425956675 419373229 /nfs/dbraw/zinc/37/32/29/419373229.db2.gz FLEYNOFRSITCGA-SNVBAGLBSA-N -1 1 316.354 1.235 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc(-c3nn[nH]n3)cc2)c([O-])c1 ZINC000426994543 419579325 /nfs/dbraw/zinc/57/93/25/419579325.db2.gz HRQNUUAGRUZYBK-UHFFFAOYSA-N -1 1 310.317 1.206 20 0 DDADMM CC[C@@H]1C[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000421971897 419792189 /nfs/dbraw/zinc/79/21/89/419792189.db2.gz SBIVENTXXHYIQJ-VHSXEESVSA-N -1 1 304.350 1.361 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@]1(F)c1ccccc1)c1nn[n-]n1 ZINC000413686302 419795666 /nfs/dbraw/zinc/79/56/66/419795666.db2.gz KFMZHDPVXADXNR-GMXABZIVSA-N -1 1 303.341 1.647 20 0 DDADMM Cc1ccccc1-c1cc([N-]S(=O)(=O)c2c[nH]cn2)[nH]n1 ZINC000434970933 229348138 /nfs/dbraw/zinc/34/81/38/229348138.db2.gz FUUYBEVESLTYFI-UHFFFAOYSA-N -1 1 303.347 1.909 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2cnn(C)c2C)[n-]1 ZINC000415653364 420137635 /nfs/dbraw/zinc/13/76/35/420137635.db2.gz WSSWRXFVNSKTKU-UHFFFAOYSA-N -1 1 305.338 1.200 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2cnn(C)c2C)n1 ZINC000415653364 420137644 /nfs/dbraw/zinc/13/76/44/420137644.db2.gz WSSWRXFVNSKTKU-UHFFFAOYSA-N -1 1 305.338 1.200 20 0 DDADMM O=C(NC[C@]1(CO)C[C@@H]1c1ccc(F)cc1)c1ncccc1[O-] ZINC000430167815 420087004 /nfs/dbraw/zinc/08/70/04/420087004.db2.gz WOKXDEOJTICKSP-DYVFJYSZSA-N -1 1 316.332 1.822 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](CO)CC(F)F)cnc2n1 ZINC000430683462 420177855 /nfs/dbraw/zinc/17/78/55/420177855.db2.gz KBJXUULMMSCXHB-MRVPVSSYSA-N -1 1 311.288 1.390 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@@H](CO)CC(F)F)c[n-]c2n1 ZINC000430683462 420177861 /nfs/dbraw/zinc/17/78/61/420177861.db2.gz KBJXUULMMSCXHB-MRVPVSSYSA-N -1 1 311.288 1.390 20 0 DDADMM CCC1CCC(N(C)C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CC1 ZINC000416128199 420251501 /nfs/dbraw/zinc/25/15/01/420251501.db2.gz BHCZNMPDHAPEHI-UHFFFAOYSA-N -1 1 309.366 1.937 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1(c2ccc(F)cc2)CC1 ZINC000416151313 420258113 /nfs/dbraw/zinc/25/81/13/420258113.db2.gz PJJCABWAMFOOCU-UHFFFAOYSA-N -1 1 319.292 1.455 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)F)c1cnccc1C(F)(F)F ZINC000435642335 420272745 /nfs/dbraw/zinc/27/27/45/420272745.db2.gz TUAQSGZVKPDJMV-LURJTMIESA-N -1 1 320.239 1.005 20 0 DDADMM CCCC[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CCC1 ZINC000416195647 420275798 /nfs/dbraw/zinc/27/57/98/420275798.db2.gz TZDNIZFWCXNFSN-SNVBAGLBSA-N -1 1 309.366 1.985 20 0 DDADMM C[C@@H]1CC[NH2+]C[C@H]1NS(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000420429612 420281450 /nfs/dbraw/zinc/28/14/50/420281450.db2.gz AQMDNKYBWKUXPV-GMSGAONNSA-N -1 1 324.780 1.895 20 0 DDADMM CC(C)(CNC(=O)c1c([O-])cccc1F)C1(O)CCOCC1 ZINC000416315494 420329298 /nfs/dbraw/zinc/32/92/98/420329298.db2.gz DQVFIMVTRRDCGP-UHFFFAOYSA-N -1 1 311.353 1.829 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]c2ccc(F)c(-c3nc[nH]n3)c2)c1 ZINC000436476925 420330163 /nfs/dbraw/zinc/33/01/63/420330163.db2.gz KCQLIBCBIHLKOP-UHFFFAOYSA-N -1 1 322.325 1.145 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CC[C@@]2(C)CCC(=O)N2)c1 ZINC000436502182 420334401 /nfs/dbraw/zinc/33/44/01/420334401.db2.gz BQDBDLVMKHQNTM-INIZCTEOSA-N -1 1 320.345 1.566 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCC[C@@H](C(N)=O)C2)c1 ZINC000436501815 420334667 /nfs/dbraw/zinc/33/46/67/420334667.db2.gz ATMPGTICPBCJPD-NXEZZACHSA-N -1 1 320.345 1.409 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cccnc2N(C)C)c1 ZINC000436506321 420335086 /nfs/dbraw/zinc/33/50/86/420335086.db2.gz SPGKPERJYCCRLU-UHFFFAOYSA-N -1 1 315.329 1.892 20 0 DDADMM CC(=O)NCC[C@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000436739062 420363906 /nfs/dbraw/zinc/36/39/06/420363906.db2.gz NHVRQJPHBRUBMJ-CYBMUJFWSA-N -1 1 318.373 1.340 20 0 DDADMM Cc1nc(C)n(C2CN(C(=O)c3ccc(Cl)cc3[O-])C2)n1 ZINC000425293254 420341129 /nfs/dbraw/zinc/34/11/29/420341129.db2.gz KDLUQSRRVUGADR-UHFFFAOYSA-N -1 1 306.753 1.951 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)C[C@H](CC(C)C)OC ZINC000420654578 420344456 /nfs/dbraw/zinc/34/44/56/420344456.db2.gz NPUOPZCDWSOFIC-STQMWFEESA-N -1 1 323.455 1.555 20 0 DDADMM O=C(C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ccccc1 ZINC000425311211 420345816 /nfs/dbraw/zinc/34/58/16/420345816.db2.gz XYLNUDFHOPHNAT-NSHDSACASA-N -1 1 301.302 1.364 20 0 DDADMM O=C(c1cnn2ccccc12)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000425325760 420349920 /nfs/dbraw/zinc/34/99/20/420349920.db2.gz SUISPHTVECKGKI-UHFFFAOYSA-N -1 1 313.317 1.443 20 0 DDADMM O=C(c1cnn2ccccc12)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425325760 420349924 /nfs/dbraw/zinc/34/99/24/420349924.db2.gz SUISPHTVECKGKI-UHFFFAOYSA-N -1 1 313.317 1.443 20 0 DDADMM NC(=O)C[C@@H](NC(=O)c1cc(F)ccc1[O-])c1ccccc1 ZINC000436676952 420352965 /nfs/dbraw/zinc/35/29/65/420352965.db2.gz AZRGSWSJEZAOSU-CYBMUJFWSA-N -1 1 302.305 1.878 20 0 DDADMM NC(=O)c1cccc(CCNC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436666535 420353786 /nfs/dbraw/zinc/35/37/86/420353786.db2.gz QSOCDCUCOCNPBT-UHFFFAOYSA-N -1 1 312.325 1.033 20 0 DDADMM O=C(N[C@@H](CO)[C@@H]1CCCO1)c1cc2ccccc2cc1[O-] ZINC000436806386 420369360 /nfs/dbraw/zinc/36/93/60/420369360.db2.gz HMTWODSGZDMTII-HOCLYGCPSA-N -1 1 301.342 1.815 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[O-])C(=O)OC ZINC000436907398 420383110 /nfs/dbraw/zinc/38/31/10/420383110.db2.gz SMIMHVBFIQTISX-SECBINFHSA-N -1 1 321.251 1.329 20 0 DDADMM NC(=O)[C@@H](Cc1ccccc1)NC(=O)c1c([O-])cccc1F ZINC000436958069 420387050 /nfs/dbraw/zinc/38/70/50/420387050.db2.gz DCOZAOXOLAPSBU-GFCCVEGCSA-N -1 1 302.305 1.358 20 0 DDADMM Cc1cc(NC(=O)C(=O)c2ccc([O-])cc2)ccc1OCCO ZINC000436994655 420392308 /nfs/dbraw/zinc/39/23/08/420392308.db2.gz DWFZZIAIFFNVPQ-UHFFFAOYSA-N -1 1 315.325 1.893 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@@H]2CCC(=O)OC(C)(C)C)nc1=O ZINC000425481170 420395838 /nfs/dbraw/zinc/39/58/38/420395838.db2.gz RQPYTKNLFYHMEK-GFCCVEGCSA-N -1 1 324.425 1.585 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-][C@@H](CO)c1c(F)cccc1F ZINC000443261631 230001688 /nfs/dbraw/zinc/00/16/88/230001688.db2.gz KXEHAZDBNPICDN-SKDRFNHKSA-N -1 1 321.345 1.097 20 0 DDADMM CCCN1C[C@@H](C[N-]S(=O)(=O)c2sccc2F)CC1=O ZINC000420711466 420366222 /nfs/dbraw/zinc/36/62/22/420366222.db2.gz YKEUAVFGGUQSRB-SECBINFHSA-N -1 1 320.411 1.424 20 0 DDADMM CCCN1C[C@H](C[N-]S(=O)(=O)c2sccc2F)CC1=O ZINC000420711467 420366669 /nfs/dbraw/zinc/36/66/69/420366669.db2.gz YKEUAVFGGUQSRB-VIFPVBQESA-N -1 1 320.411 1.424 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)c2cncs2)o1 ZINC000439206147 420499970 /nfs/dbraw/zinc/49/99/70/420499970.db2.gz YBDSWCFDRNIBCW-ZETCQYMHSA-N -1 1 316.360 1.562 20 0 DDADMM CC(C)(OCC(=O)NC1(c2nn[n-]n2)CCCC1)C(F)(F)F ZINC000447513843 420777417 /nfs/dbraw/zinc/77/74/17/420777417.db2.gz ZHFVNSDTIGJJHD-UHFFFAOYSA-N -1 1 321.303 1.443 20 0 DDADMM CCC[C@H](NC(=O)C=CCOCC(F)(F)F)c1nn[n-]n1 ZINC000493211387 420788847 /nfs/dbraw/zinc/78/88/47/420788847.db2.gz ZBCISGWFPBUEOU-NHLYECAPSA-N -1 1 307.276 1.292 20 0 DDADMM CCC[C@H](NC(=O)/C=C\COCC(F)(F)F)c1nn[n-]n1 ZINC000493211387 420788852 /nfs/dbraw/zinc/78/88/52/420788852.db2.gz ZBCISGWFPBUEOU-NHLYECAPSA-N -1 1 307.276 1.292 20 0 DDADMM CCNC(=O)c1ccc(=NCCOc2ccc(Cl)cc2)[n-]n1 ZINC000447651803 420791257 /nfs/dbraw/zinc/79/12/57/420791257.db2.gz BCOKFUSKUPQCCP-UHFFFAOYSA-N -1 1 320.780 1.793 20 0 DDADMM Cc1ccc([C@@H](C)CN=c2ccc(C(=O)NCCO)n[n-]2)cc1 ZINC000488408788 421089157 /nfs/dbraw/zinc/08/91/57/421089157.db2.gz HYSIMZOBHNYMGM-ZDUSSCGKSA-N -1 1 314.389 1.145 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2=CC[C@H](C)CC2)c1 ZINC000456077509 421096147 /nfs/dbraw/zinc/09/61/47/421096147.db2.gz ZRSYOJUUSOHEGV-JTQLQIEISA-N -1 1 324.402 1.985 20 0 DDADMM CC[C@@H](NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C)[C@@H](C)O ZINC000456218650 421124874 /nfs/dbraw/zinc/12/48/74/421124874.db2.gz MLKDFSDAPHWUNX-DGCLKSJQSA-N -1 1 320.393 1.260 20 0 DDADMM O=C(C(=O)N1CC[C@@H]2C[C@H](O)CC[C@@H]2C1)c1ccc([O-])cc1 ZINC000456326741 421142868 /nfs/dbraw/zinc/14/28/68/421142868.db2.gz OPZGUZIEAMETRP-UMVBOHGHSA-N -1 1 303.358 1.584 20 0 DDADMM COC[C@@H](c1ccc(Cl)cc1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC000490036377 421186289 /nfs/dbraw/zinc/18/62/89/421186289.db2.gz HBQOHWIXSAOBPO-LBPRGKRZSA-N -1 1 310.785 1.581 20 0 DDADMM CC[C@@H](O)CC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000450601700 421215929 /nfs/dbraw/zinc/21/59/29/421215929.db2.gz PZDMNLOTLWSFMY-SECBINFHSA-N -1 1 304.343 1.297 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)N=c2[n-]nc(C3CC3)s2)n[nH]1 ZINC000560256523 421240509 /nfs/dbraw/zinc/24/05/09/421240509.db2.gz NQBZFYYKNKZFIG-SSDOTTSWSA-N -1 1 321.410 1.962 20 0 DDADMM CCNC(=O)C1(CN=c2[n-]c(C(=O)OCC)cs2)CCC1 ZINC000450479373 421202292 /nfs/dbraw/zinc/20/22/92/421202292.db2.gz NSWAZBGHPRILSH-UHFFFAOYSA-N -1 1 311.407 1.460 20 0 DDADMM Cc1cnc(COCC(=O)Nc2n[n-]c(C(F)(F)F)n2)o1 ZINC000560451777 421266200 /nfs/dbraw/zinc/26/62/00/421266200.db2.gz ZWNVSDAAINOBLO-UHFFFAOYSA-N -1 1 305.216 1.275 20 0 DDADMM O=C(NC1CC1)OC[C@H]1CCCCN1C(=O)c1cncc([O-])c1 ZINC000496826091 421323528 /nfs/dbraw/zinc/32/35/28/421323528.db2.gz DQKDYUHCQAIQBF-CYBMUJFWSA-N -1 1 319.361 1.671 20 0 DDADMM CCSc1n[n-]c(=NC(=O)N[C@H]2CCc3nc[nH]c3C2)s1 ZINC000562058909 421341315 /nfs/dbraw/zinc/34/13/15/421341315.db2.gz VGLJKKFCOFWRSI-ZETCQYMHSA-N -1 1 324.435 1.474 20 0 DDADMM C[C@@H](C(F)(F)F)S(=O)(=O)NCCCC(C)(C)C(=O)[O-] ZINC000547569894 421344531 /nfs/dbraw/zinc/34/45/31/421344531.db2.gz VMDINNKMGSRVII-ZETCQYMHSA-N -1 1 305.318 1.748 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCC(OCC2CC2)CC1 ZINC000527356619 421380701 /nfs/dbraw/zinc/38/07/01/421380701.db2.gz UGORQTPFPOYIOL-UHFFFAOYSA-N -1 1 300.362 1.989 20 0 DDADMM O=C(c1cc(F)c([O-])c(F)c1)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000547262308 421319431 /nfs/dbraw/zinc/31/94/31/421319431.db2.gz WKDWASVQWMPCAC-SSDOTTSWSA-N -1 1 309.276 1.203 20 0 DDADMM Cc1cc(C)n([C@H](C)CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)n1 ZINC000548137490 421405573 /nfs/dbraw/zinc/40/55/73/421405573.db2.gz PJTOTEHVOOZZSZ-BXKDBHETSA-N -1 1 305.386 1.226 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NS(=O)(=O)c1cnc2n1CCC2 ZINC000548539694 421447684 /nfs/dbraw/zinc/44/76/84/421447684.db2.gz IYRPVEMMDNRJPW-UHFFFAOYSA-N -1 1 321.358 1.637 20 0 DDADMM Cc1nc2ncnn2c(N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1C ZINC000550343483 421519316 /nfs/dbraw/zinc/51/93/16/421519316.db2.gz ASYIIALZWJFMND-JTQLQIEISA-N -1 1 315.337 1.214 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H](O)C3CCC3)cnc2n1 ZINC000563276562 421481174 /nfs/dbraw/zinc/48/11/74/421481174.db2.gz JYAQQSAVCQGZBG-ZDUSSCGKSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@H](O)C3CCC3)c[n-]c2n1 ZINC000563276562 421481175 /nfs/dbraw/zinc/48/11/75/421481175.db2.gz JYAQQSAVCQGZBG-ZDUSSCGKSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1cnc(SCc2nnnn2CC2CCOCC2)[n-]c1=O ZINC000563439569 421509035 /nfs/dbraw/zinc/50/90/35/421509035.db2.gz MSSFVRXCFYLMTN-UHFFFAOYSA-N -1 1 322.394 1.196 20 0 DDADMM C[C@H](O)[C@@H]([N-]S(=O)(=O)c1cscn1)c1ccccc1F ZINC000519551825 421701081 /nfs/dbraw/zinc/70/10/81/421701081.db2.gz VLQNMKPFELIPCX-QPUJVOFHSA-N -1 1 316.379 1.683 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2c[nH]nc2C2CC2)cn1 ZINC000519618409 421709318 /nfs/dbraw/zinc/70/93/18/421709318.db2.gz VIRXEFKUZGTNEA-UHFFFAOYSA-N -1 1 322.346 1.270 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n(C)n1 ZINC000537123196 421727813 /nfs/dbraw/zinc/72/78/13/421727813.db2.gz HYRQQHUSZFHPDG-SNVBAGLBSA-N -1 1 303.370 1.076 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2nc(C)c(C)s2)o1 ZINC000533253013 421670560 /nfs/dbraw/zinc/67/05/60/421670560.db2.gz KWOXXQMSKWYZKX-ZCFIWIBFSA-N -1 1 302.381 1.491 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H](O)C2CCCCC2)sc1C ZINC000533554703 421678187 /nfs/dbraw/zinc/67/81/87/421678187.db2.gz OMWNZBXWRQCUEF-GFCCVEGCSA-N -1 1 318.464 1.979 20 0 DDADMM O=C([C@@H]1Cc2ccccc2S1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538225661 421737333 /nfs/dbraw/zinc/73/73/33/421737333.db2.gz ZKNNQLDMJOGTEW-AAEUAGOBSA-N -1 1 315.402 1.623 20 0 DDADMM CC[C@@H](C)n1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1C ZINC000520475638 421753074 /nfs/dbraw/zinc/75/30/74/421753074.db2.gz IGKHQKOAVWUIBM-VHSXEESVSA-N -1 1 305.386 1.551 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cnc(C2CC2)s1 ZINC000541272277 421790531 /nfs/dbraw/zinc/79/05/31/421790531.db2.gz KZSCQXBTUATSMQ-UHFFFAOYSA-N -1 1 320.374 1.605 20 0 DDADMM CC[C@H](NC(C)=O)C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000633421661 421892775 /nfs/dbraw/zinc/89/27/75/421892775.db2.gz JQBCMSLTDDZGEZ-NSHDSACASA-N -1 1 322.315 1.630 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)Nc1ccc(N2CCN(C)CC2)nc1 ZINC000572722434 421849349 /nfs/dbraw/zinc/84/93/49/421849349.db2.gz XVQGVOADMZYLRM-CQSZACIVSA-N -1 1 320.393 1.129 20 0 DDADMM CCN(C[C@H]1CCOC1)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631814199 421851406 /nfs/dbraw/zinc/85/14/06/421851406.db2.gz FOHFOAYDJBMLIN-LLVKDONJSA-N -1 1 315.391 1.448 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCC[C@H](n2cncn2)C1 ZINC000581137311 421907977 /nfs/dbraw/zinc/90/79/77/421907977.db2.gz PWHYWVAFDGPBPW-LBPRGKRZSA-N -1 1 304.350 1.969 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@]1(C(=O)[O-])CCc2ccccc21 ZINC000630131579 421908687 /nfs/dbraw/zinc/90/86/87/421908687.db2.gz RGFNTYFLNHUBRO-APPDUMDISA-N -1 1 313.357 1.631 20 0 DDADMM C[C@H](C(=O)NC1(c2nn[n-]n2)CC1)[C@@H]1OCCc2sccc21 ZINC000573228402 421935690 /nfs/dbraw/zinc/93/56/90/421935690.db2.gz QDYCGRPBAFOLAE-KWQFWETISA-N -1 1 319.390 1.317 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2n[nH]c3ccccc32)CC1 ZINC000630226984 421979028 /nfs/dbraw/zinc/97/90/28/421979028.db2.gz YGGWIKMZZJPJPB-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CCc2occc2[C@@H]1C(=O)[O-] ZINC000630236011 421982065 /nfs/dbraw/zinc/98/20/65/421982065.db2.gz CHMALWIIFGYVGH-TVQRCGJNSA-N -1 1 303.318 1.392 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(C(=O)c2cc(C)cc3c[nH]nc32)C1 ZINC000630251126 421987816 /nfs/dbraw/zinc/98/78/16/421987816.db2.gz DKSUPRXQYDEMDH-OAHLLOKOSA-N -1 1 303.318 1.187 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](O)Cc2ccccc2)sn1 ZINC000632007278 422016093 /nfs/dbraw/zinc/01/60/93/422016093.db2.gz FUSXCJBHFZTPOK-LBPRGKRZSA-N -1 1 312.416 1.333 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@](C)(C(=O)[O-])C1 ZINC000630314221 422018147 /nfs/dbraw/zinc/01/81/47/422018147.db2.gz UUHVQOSHGVNENP-BONVTDFDSA-N -1 1 319.317 1.374 20 0 DDADMM O=C(Nc1ccc(-c2nn[nH]n2)cc1)[C@H]1CC[N@@H+]1C1CCCC1 ZINC000635468985 422018487 /nfs/dbraw/zinc/01/84/87/422018487.db2.gz HHQAZKPDSXSGDV-CQSZACIVSA-N -1 1 312.377 1.822 20 0 DDADMM O=C(Nc1ccc(-c2nn[nH]n2)cc1)[C@H]1CC[N@H+]1C1CCCC1 ZINC000635468985 422018491 /nfs/dbraw/zinc/01/84/91/422018491.db2.gz HHQAZKPDSXSGDV-CQSZACIVSA-N -1 1 312.377 1.822 20 0 DDADMM C[C@@H](C(=O)N=c1ccc(O)n[n-]1)n1ccc(C(F)(F)F)n1 ZINC000637130942 422019941 /nfs/dbraw/zinc/01/99/41/422019941.db2.gz WEQVJGQCEMLGGO-LURJTMIESA-N -1 1 301.228 1.019 20 0 DDADMM C[C@@H](C(=O)N=c1ccc([O-])n[nH]1)n1ccc(C(F)(F)F)n1 ZINC000637130942 422019947 /nfs/dbraw/zinc/01/99/47/422019947.db2.gz WEQVJGQCEMLGGO-LURJTMIESA-N -1 1 301.228 1.019 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)Cc2ccncc2)c1 ZINC000632074297 422070809 /nfs/dbraw/zinc/07/08/09/422070809.db2.gz YUPCZPJNAHOYNA-LLVKDONJSA-N -1 1 322.386 1.705 20 0 DDADMM C[C@@H]1CCN(Cc2cnn(C)c2C(F)(F)F)C[C@@H]1C(=O)[O-] ZINC000582062350 422097721 /nfs/dbraw/zinc/09/77/21/422097721.db2.gz FYPQJZWTPUIDRE-SCZZXKLOSA-N -1 1 305.300 1.982 20 0 DDADMM O=C([O-])[C@H]1CCCCN1S(=O)(=O)c1cc(O)cc(F)c1 ZINC000630443048 422106546 /nfs/dbraw/zinc/10/65/46/422106546.db2.gz NYETVUIPUXTJJG-LLVKDONJSA-N -1 1 303.311 1.159 20 0 DDADMM CCO[C@@H](C(=O)N=c1[nH][n-]c(C)c1C(=O)NC)c1ccccc1 ZINC000633691750 422053050 /nfs/dbraw/zinc/05/30/50/422053050.db2.gz KEGOKUWWSLOAEH-CYBMUJFWSA-N -1 1 316.361 1.216 20 0 DDADMM CCn1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(C2CC2)n1 ZINC000632054449 422053858 /nfs/dbraw/zinc/05/38/58/422053858.db2.gz XPEYWKCUXMKYQM-NSHDSACASA-N -1 1 315.381 1.313 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCO[C@H](C(C)C)C2)sn1 ZINC000632177679 422141232 /nfs/dbraw/zinc/14/12/32/422141232.db2.gz BLTGQRCOEKDDIU-QWRGUYRKSA-N -1 1 304.437 1.933 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCC(=O)c2cccn21)c1nn[n-]n1 ZINC000574596549 422142332 /nfs/dbraw/zinc/14/23/32/422142332.db2.gz VVDIQKMUPGCOPP-GXSJLCMTSA-N -1 1 302.338 1.176 20 0 DDADMM C[C@@H](NS(=O)(=O)c1ncc[nH]1)[C@@H]1CCC[N@@H+](C2CCC2)C1 ZINC000632197876 422155465 /nfs/dbraw/zinc/15/54/65/422155465.db2.gz YXEUAXSTMAIYIV-VXGBXAGGSA-N -1 1 312.439 1.341 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCCN(c2ccccc2F)C1 ZINC000632198245 422155496 /nfs/dbraw/zinc/15/54/96/422155496.db2.gz XYGSDKFPGAYQRU-LLVKDONJSA-N -1 1 318.356 1.057 20 0 DDADMM Cc1ccccc1[C@H]1CN(C(=O)CCCc2nn[n-]n2)CCO1 ZINC000635608327 422161015 /nfs/dbraw/zinc/16/10/15/422161015.db2.gz WAYNTXFJIFKAPK-CQSZACIVSA-N -1 1 315.377 1.431 20 0 DDADMM C/C=C\C[C@@H](CO)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632228070 422178889 /nfs/dbraw/zinc/17/88/89/422178889.db2.gz RIMYQSMEROFWHL-XOULXFPDSA-N -1 1 301.364 1.006 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1(c2nccs2)CCCC1 ZINC000635584443 422136217 /nfs/dbraw/zinc/13/62/17/422136217.db2.gz BJZFMRPDZMIJAX-UHFFFAOYSA-N -1 1 306.395 1.565 20 0 DDADMM COC1(CS(=O)(=O)[N-]Cc2nnc(SC)s2)CCC1 ZINC000632259477 422200254 /nfs/dbraw/zinc/20/02/54/422200254.db2.gz LMLFXFRWKINACS-UHFFFAOYSA-N -1 1 323.465 1.249 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCCC2(F)F)c1 ZINC000632338910 422260000 /nfs/dbraw/zinc/26/00/00/422260000.db2.gz MNVVRSJLTSQUHO-NSHDSACASA-N -1 1 307.318 1.867 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@@H]1O)c1c[nH]nc1C(F)(F)F ZINC000632244296 422191542 /nfs/dbraw/zinc/19/15/42/422191542.db2.gz GJXJYNLJPOZDNF-BQBZGAKWSA-N -1 1 313.301 1.010 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC(CCO)(CCO)CC1 ZINC000634111250 422268712 /nfs/dbraw/zinc/26/87/12/422268712.db2.gz FUSAKRKOJDRAGQ-UHFFFAOYSA-N -1 1 311.353 1.519 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2cc(C)ns2)CCOCC1 ZINC000632360310 422272966 /nfs/dbraw/zinc/27/29/66/422272966.db2.gz WNVMMHGZXVHHEV-UHFFFAOYSA-N -1 1 320.436 1.173 20 0 DDADMM COC(=O)C[C@H]1CSCCN1Cc1ccc(C(=O)[O-])cc1 ZINC000575311540 422287362 /nfs/dbraw/zinc/28/73/62/422287362.db2.gz LPQRGMWGTJKBHS-ZDUSSCGKSA-N -1 1 309.387 1.865 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(OC(F)F)c(F)c1 ZINC000630775480 422330237 /nfs/dbraw/zinc/33/02/37/422330237.db2.gz GZKNFHDTXQGJED-UHFFFAOYSA-N -1 1 301.228 1.512 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCO[C@@H]2CCC[C@@H]21 ZINC000628823178 422342227 /nfs/dbraw/zinc/34/22/27/422342227.db2.gz TUKDCAOWZZFCTD-VHSXEESVSA-N -1 1 309.391 1.606 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000632442115 422347148 /nfs/dbraw/zinc/34/71/48/422347148.db2.gz TYEABTRYJBVRJX-CHWSQXEVSA-N -1 1 319.340 1.308 20 0 DDADMM Cc1ccc(C[C@H]2CCCN(C(=O)CCc3nn[n-]n3)C2)cc1 ZINC000630862559 422381360 /nfs/dbraw/zinc/38/13/60/422381360.db2.gz UHZJPRAEFQNFMQ-OAHLLOKOSA-N -1 1 313.405 1.922 20 0 DDADMM COc1cc(CN(C)C(=O)CCc2nn[n-]n2)ccc1SC ZINC000630856275 422375673 /nfs/dbraw/zinc/37/56/73/422375673.db2.gz PUEKGXPBIDCPIS-UHFFFAOYSA-N -1 1 321.406 1.521 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(Cc3ccc(C)cc3)C2)c1[O-] ZINC000634457197 422437702 /nfs/dbraw/zinc/43/77/02/422437702.db2.gz TZBSRBWRVSNDIW-CQSZACIVSA-N -1 1 314.389 1.736 20 0 DDADMM C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCN2C(=O)CCCc2nn[n-]n2)C1 ZINC000635891827 422446672 /nfs/dbraw/zinc/44/66/72/422446672.db2.gz NSEJKSNZNGVLDL-RQJABVFESA-N -1 1 321.425 1.311 20 0 DDADMM Cc1ccc(SC[C@@H](C)NC(=O)CCc2nn[n-]n2)cc1 ZINC000632520921 422408461 /nfs/dbraw/zinc/40/84/61/422408461.db2.gz LBUBPSOTDSKBFX-LLVKDONJSA-N -1 1 305.407 1.738 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCCN1C(=O)CCC1=O ZINC000630943661 422432333 /nfs/dbraw/zinc/43/23/33/422432333.db2.gz UCRQRUMZVQVPLC-UHFFFAOYSA-N -1 1 324.764 1.243 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCN(c2ccc(F)cc2)C1 ZINC000636029884 422580599 /nfs/dbraw/zinc/58/05/99/422580599.db2.gz OJZUGQXLIYVBPR-LBPRGKRZSA-N -1 1 318.356 1.057 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCCc2c(F)cc(F)cc21 ZINC000635971195 422524000 /nfs/dbraw/zinc/52/40/00/422524000.db2.gz ROIPXMMTUDVQBG-CYBMUJFWSA-N -1 1 321.331 1.994 20 0 DDADMM CCN1CCOC[C@@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629377726 422639292 /nfs/dbraw/zinc/63/92/92/422639292.db2.gz WLWAZTRLAPCVEN-CQSZACIVSA-N -1 1 316.361 1.237 20 0 DDADMM CNC(=O)CCCCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629378890 422641296 /nfs/dbraw/zinc/64/12/96/422641296.db2.gz KHGYVMZRXJZKSI-UHFFFAOYSA-N -1 1 316.361 1.823 20 0 DDADMM O=C([C@H]1CCc2ccccc2C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000578899339 422670152 /nfs/dbraw/zinc/67/01/52/422670152.db2.gz PYYBIGKPGBHDDQ-LSDHHAIUSA-N -1 1 311.389 1.711 20 0 DDADMM C[C@@H](CO)C1CCN(C(=O)c2csc(=NC3CC3)[n-]2)CC1 ZINC000652857232 423176559 /nfs/dbraw/zinc/17/65/59/423176559.db2.gz VRMXNTFJCYDFMU-JTQLQIEISA-N -1 1 309.435 1.620 20 0 DDADMM Cn1cc(CNC(=O)c2ccc(C(F)(F)F)c([O-])c2)nn1 ZINC000652878937 423184020 /nfs/dbraw/zinc/18/40/20/423184020.db2.gz PSDMCFHTLMQHHO-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM CN1C(=O)c2ccc(S(=O)(=O)[N-]c3ccccc3)cc2C1=O ZINC000035685566 263254168 /nfs/dbraw/zinc/25/41/68/263254168.db2.gz YVFIVNCQKKQEEL-UHFFFAOYSA-N -1 1 316.338 1.713 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@]3(CC3(F)F)C2)o1 ZINC000653002474 423254887 /nfs/dbraw/zinc/25/48/87/423254887.db2.gz CCDOMAOTFMPXIQ-LLVKDONJSA-N -1 1 320.317 1.059 20 0 DDADMM O=c1[n-]c(CN(Cc2ccccc2)[C@@H](CO)C2CCC2)n[nH]1 ZINC000645986451 423277831 /nfs/dbraw/zinc/27/78/31/423277831.db2.gz RANKJGNRVMNJQC-AWEZNQCLSA-N -1 1 302.378 1.674 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(Cl)cc1-n1cccn1 ZINC000190841237 263451210 /nfs/dbraw/zinc/45/12/10/263451210.db2.gz UJCBPTPGAPSZSM-UHFFFAOYSA-N -1 1 315.782 1.914 20 0 DDADMM CC[C@H](C)N[C@H](CNC(=O)N=c1ncn(C)[n-]1)c1ccco1 ZINC000653291716 423424501 /nfs/dbraw/zinc/42/45/01/423424501.db2.gz AEBZJFUOCSUFLL-WDEREUQCSA-N -1 1 306.370 1.081 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)c3cccs3)nc2n1 ZINC000643909348 423402457 /nfs/dbraw/zinc/40/24/57/423402457.db2.gz TUSDPGOVPHUBEK-QMMMGPOBSA-N -1 1 303.347 1.530 20 0 DDADMM O=C(NCCO[C@H]1CCCCO1)c1csc(=NC2CC2)[n-]1 ZINC000646303156 423441300 /nfs/dbraw/zinc/44/13/00/423441300.db2.gz YGAQZZRJIMRFLS-LBPRGKRZSA-N -1 1 311.407 1.412 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)C(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000653343156 423448178 /nfs/dbraw/zinc/44/81/78/423448178.db2.gz PHFFQAINVRXFEJ-CABCVRRESA-N -1 1 304.390 1.973 20 0 DDADMM COc1cc(OC)c(C(=O)Nc2c(C)[n-][nH]c2=O)cc1OC ZINC000646410577 423480873 /nfs/dbraw/zinc/48/08/73/423480873.db2.gz NGNVOTRSKMJITN-UHFFFAOYSA-N -1 1 307.306 1.702 20 0 DDADMM C[C@@]12CCC(=O)N1[C@H](C(=O)Nc1c([O-])cccc1F)CS2 ZINC000648841120 423494054 /nfs/dbraw/zinc/49/40/54/423494054.db2.gz BYESEVCPOVAKLN-LKFCYVNXSA-N -1 1 310.350 1.924 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cnc(C2CC2)nc1 ZINC000648894543 423525675 /nfs/dbraw/zinc/52/56/75/423525675.db2.gz YHSFIIBWTGPUDD-CYBMUJFWSA-N -1 1 321.344 1.387 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H](C)CC(F)F)o1 ZINC000648963038 423567388 /nfs/dbraw/zinc/56/73/88/423567388.db2.gz LDOJRDBEIPVQIZ-ZETCQYMHSA-N -1 1 310.322 1.209 20 0 DDADMM O=c1[n-]c(CN2CCC[C@H](Cn3cnc4ccccc43)C2)n[nH]1 ZINC000639593300 423593055 /nfs/dbraw/zinc/59/30/55/423593055.db2.gz SDBLCCROTPUDQL-LBPRGKRZSA-N -1 1 312.377 1.772 20 0 DDADMM CCOc1cc(C(=O)NC2COCCOC2)cc(Cl)c1[O-] ZINC000646805224 423614685 /nfs/dbraw/zinc/61/46/85/423614685.db2.gz OBYUBTHLGJOPSD-UHFFFAOYSA-N -1 1 315.753 1.590 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2CCC(F)CC2)o1 ZINC000649150222 423652309 /nfs/dbraw/zinc/65/23/09/423652309.db2.gz PZJZBYYEIDCQIR-UHFFFAOYSA-N -1 1 318.370 1.446 20 0 DDADMM CC(C)(CO)N1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000649313870 423743758 /nfs/dbraw/zinc/74/37/58/423743758.db2.gz IZCGAYQDPWILDR-UHFFFAOYSA-N -1 1 314.332 1.199 20 0 DDADMM CO[C@H]1CCCC[C@H]1S(=O)(=O)[N-]c1cnn(CC2CC2)c1 ZINC000641684709 423859413 /nfs/dbraw/zinc/85/94/13/423859413.db2.gz VNEHYDHLRTUIBX-UONOGXRCSA-N -1 1 313.423 1.992 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000647367426 424001355 /nfs/dbraw/zinc/00/13/55/424001355.db2.gz ZWGGDJIJORZOHM-GMTAPVOTSA-N -1 1 322.327 1.725 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2COC(C)(C)C2)c(F)c1 ZINC000657041832 424029155 /nfs/dbraw/zinc/02/91/55/424029155.db2.gz LYYDRWXAOBYXSS-MRVPVSSYSA-N -1 1 321.345 1.819 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCC1)c1ccc(F)c(F)c1F ZINC000657058356 424057634 /nfs/dbraw/zinc/05/76/34/424057634.db2.gz SCRBAONTOUTAAG-SECBINFHSA-N -1 1 309.309 1.543 20 0 DDADMM CCOc1cc(C(=O)NC[C@@](C)(CO)OC)cc(Cl)c1[O-] ZINC000655206393 424292378 /nfs/dbraw/zinc/29/23/78/424292378.db2.gz OUURDKRTXRHZTB-AWEZNQCLSA-N -1 1 317.769 1.572 20 0 DDADMM C[C@H]1c2nncn2CCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640339487 424360660 /nfs/dbraw/zinc/36/06/60/424360660.db2.gz QWDMOYXNHPGSEH-VIFPVBQESA-N -1 1 314.374 1.918 20 0 DDADMM CO[C@H]1COCC[C@H]1CNC(=O)N=c1[n-]sc2ccccc21 ZINC000640340117 424362959 /nfs/dbraw/zinc/36/29/59/424362959.db2.gz OJZIHLYQXCCHFX-JQWIXIFHSA-N -1 1 321.402 1.891 20 0 DDADMM C[C@@H]1CCN([C@H]2CCN(CC(=O)[O-])C2=O)[C@@H]1c1cccc(F)c1 ZINC000662205904 424472797 /nfs/dbraw/zinc/47/27/97/424472797.db2.gz NOPNDJGLXCSGDI-WPGHFRTFSA-N -1 1 320.364 1.894 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC(c3ccsc3)CC2)C1=O ZINC000662209485 424476944 /nfs/dbraw/zinc/47/69/44/424476944.db2.gz HXWYRAYKPSFBHU-ZDUSSCGKSA-N -1 1 308.403 1.613 20 0 DDADMM CO[C@@](C)(CO)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000655623323 424600861 /nfs/dbraw/zinc/60/08/61/424600861.db2.gz NYCBDLDAWNVBSV-LLVKDONJSA-N -1 1 311.762 1.155 20 0 DDADMM CC(C)CN1CCN(C(=O)NC[C@H](C(=O)[O-])C2CCC2)CC1 ZINC000665328031 424785358 /nfs/dbraw/zinc/78/53/58/424785358.db2.gz BXNVMLNZOGEVDG-AWEZNQCLSA-N -1 1 311.426 1.471 20 0 DDADMM COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1ccc([O-])c(Cl)c1 ZINC000319331109 271023447 /nfs/dbraw/zinc/02/34/47/271023447.db2.gz HYDAIEAGZTXRNC-NSHDSACASA-N -1 1 323.736 1.283 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1cnn3ccccc13)c2=O ZINC000342198275 271336917 /nfs/dbraw/zinc/33/69/17/271336917.db2.gz UQTVWKAZIVTZFL-UHFFFAOYSA-N -1 1 322.328 1.252 20 0 DDADMM CC(C)(NC(=O)c1cnn(CCC(F)(F)F)c1)c1nn[n-]n1 ZINC000344909472 272138220 /nfs/dbraw/zinc/13/82/20/272138220.db2.gz IBRSZCCCVQRCTP-UHFFFAOYSA-N -1 1 317.275 1.014 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)c3nncn3C)cnc2n1 ZINC000345393396 272238953 /nfs/dbraw/zinc/23/89/53/272238953.db2.gz QFIRDAFRKGVZSZ-VIFPVBQESA-N -1 1 312.333 1.263 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)c1nncn1C)c2=O ZINC000345393396 272238955 /nfs/dbraw/zinc/23/89/55/272238955.db2.gz QFIRDAFRKGVZSZ-VIFPVBQESA-N -1 1 312.333 1.263 20 0 DDADMM CO[C@H](CCNC(=O)C(=O)c1ccc([O-])cc1)C(F)(F)F ZINC000436574980 277327140 /nfs/dbraw/zinc/32/71/40/277327140.db2.gz HSAHWVXRWONLNC-SNVBAGLBSA-N -1 1 305.252 1.659 20 0 DDADMM CC[C@H]1CC[C@@H](C)N1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287691863 288237220 /nfs/dbraw/zinc/23/72/20/288237220.db2.gz IOZZKJVSUBVXSX-AQIRGBNCSA-N -1 1 315.377 1.070 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cc(-c3ccccc3)on2)n[n-]1 ZINC000086170648 281015524 /nfs/dbraw/zinc/01/55/24/281015524.db2.gz CXQFYABCYUHBJM-UHFFFAOYSA-N -1 1 318.358 1.996 20 0 DDADMM Cc1ccc2c(c1)CC[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)O2 ZINC000281524910 281059633 /nfs/dbraw/zinc/05/96/33/281059633.db2.gz IPBPDUDRGSVQCX-SMDDNHRTSA-N -1 1 315.377 1.464 20 0 DDADMM CC1(C)CN(C(=O)CNC(=O)[O-])CCN1Cc1ccccc1 ZINC000285806746 281164711 /nfs/dbraw/zinc/16/47/11/281164711.db2.gz WGRUJDNFYNZFFS-UHFFFAOYSA-N -1 1 305.378 1.377 20 0 DDADMM C[C@@H](CCC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000123574685 281173628 /nfs/dbraw/zinc/17/36/28/281173628.db2.gz KKNRBAVBTRSROA-JTQLQIEISA-N -1 1 322.390 1.731 20 0 DDADMM C[C@@H](CCC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000123574685 281173630 /nfs/dbraw/zinc/17/36/30/281173630.db2.gz KKNRBAVBTRSROA-JTQLQIEISA-N -1 1 322.390 1.731 20 0 DDADMM O=C(c1cccc(-n2ccnc2)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129447865 281345426 /nfs/dbraw/zinc/34/54/26/281345426.db2.gz XPLARBCZZXTNHN-ZDUSSCGKSA-N -1 1 323.360 1.405 20 0 DDADMM O=c1[nH]c2ccc(S(=O)(=O)[N-]c3cc(O)cc(F)c3)cc2[nH]1 ZINC000131407659 281414136 /nfs/dbraw/zinc/41/41/36/281414136.db2.gz YJHVRFCCNHOMHG-UHFFFAOYSA-N -1 1 323.305 1.502 20 0 DDADMM O=S(=O)([N-]c1cccc(O)c1)c1cccc(-n2cnnn2)c1 ZINC000134612860 281550496 /nfs/dbraw/zinc/55/04/96/281550496.db2.gz DFPOUABDSIUGOC-UHFFFAOYSA-N -1 1 317.330 1.169 20 0 DDADMM CS(=O)(=O)CC1(CC(=O)Nc2nc(Cl)ccc2[O-])CC1 ZINC000188369200 295483848 /nfs/dbraw/zinc/48/38/48/295483848.db2.gz ISLWRHJSXIUQJO-UHFFFAOYSA-N -1 1 318.782 1.594 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCO[C@H](C)C2)o1 ZINC000354288091 298392804 /nfs/dbraw/zinc/39/28/04/298392804.db2.gz BAMDXCZADFRBRY-ZJUUUORDSA-N -1 1 317.363 1.302 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@]2(CCSC2)C1 ZINC000574248751 304640502 /nfs/dbraw/zinc/64/05/02/304640502.db2.gz ZAXUAFTWYZCPIW-LBPRGKRZSA-N -1 1 317.436 1.303 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCCC[C@H]1[C@H]1CNC(=O)C1 ZINC000362323850 300049005 /nfs/dbraw/zinc/04/90/05/300049005.db2.gz BNCVJXAWNKJXGR-MFKMUULPSA-N -1 1 306.337 1.662 20 0 DDADMM COC(C)(C)c1nc(C)c(C(=O)NC(C)(C)c2nn[n-]n2)s1 ZINC000362816407 300148640 /nfs/dbraw/zinc/14/86/40/300148640.db2.gz BFGMOLTVYVGYBU-UHFFFAOYSA-N -1 1 324.410 1.511 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@H]1Cc2cccc(F)c2O1 ZINC000363419471 300265765 /nfs/dbraw/zinc/26/57/65/300265765.db2.gz OUCQWFVLCHSSMI-SSDOTTSWSA-N -1 1 316.214 1.905 20 0 DDADMM CC(C)Cc1nc(=NC2CCN([C@H]3CCOC3)CC2)s[n-]1 ZINC000363700693 300298724 /nfs/dbraw/zinc/29/87/24/300298724.db2.gz LGNDXLYKPJYZNC-ZDUSSCGKSA-N -1 1 310.467 1.824 20 0 DDADMM CCCCn1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(C)n1 ZINC000363927222 300327628 /nfs/dbraw/zinc/32/76/28/300327628.db2.gz LLXJPWMIYNTGMX-GFCCVEGCSA-N -1 1 317.397 1.525 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000368563203 301052584 /nfs/dbraw/zinc/05/25/84/301052584.db2.gz KGPOKCWLRPCSOM-SECBINFHSA-N -1 1 317.267 1.040 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@]2(CCOC2)O1 ZINC000373694591 301717259 /nfs/dbraw/zinc/71/72/59/301717259.db2.gz HIAABBOFQHPICD-WBMJQRKESA-N -1 1 309.337 1.941 20 0 DDADMM O=C([N-][C@H]1CC(=O)N([C@@H]2C[C@H]2c2ccccc2)C1)C(F)(F)F ZINC000374133657 301765164 /nfs/dbraw/zinc/76/51/64/301765164.db2.gz WLLDDUPWASWSMU-SDDRHHMPSA-N -1 1 312.291 1.822 20 0 DDADMM COC(=O)C[C@H]1COCCN1C(=O)c1cc(Cl)ccc1[O-] ZINC000377421699 302178205 /nfs/dbraw/zinc/17/82/05/302178205.db2.gz VTVHIUVDOSZWBP-JTQLQIEISA-N -1 1 313.737 1.450 20 0 DDADMM Cc1n[n-]c(=NC[C@H]2CCCN(C)[C@H]2c2cnn(C)c2)s1 ZINC000377782677 302232301 /nfs/dbraw/zinc/23/23/01/302232301.db2.gz FDIPTOYVBLQXIE-DGCLKSJQSA-N -1 1 306.439 1.497 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]CC(F)(F)c2ccccc2)n1 ZINC000519148312 302834759 /nfs/dbraw/zinc/83/47/59/302834759.db2.gz BKESQYYPYNKEAQ-UHFFFAOYSA-N -1 1 301.318 1.490 20 0 DDADMM Cn1[n-]c(CN2CCC(c3cccc(F)c3F)CC2)nc1=O ZINC000519503121 302838717 /nfs/dbraw/zinc/83/87/17/302838717.db2.gz JGHLZHRBNLDDDO-UHFFFAOYSA-N -1 1 308.332 1.766 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCCC(C)(F)F)nc1Cl ZINC000297246591 302919644 /nfs/dbraw/zinc/91/96/44/302919644.db2.gz XPVLSUYGCVHGIN-UHFFFAOYSA-N -1 1 301.746 1.787 20 0 DDADMM O=C(Nc1nn[n-]n1)c1nc(C(F)(F)F)n2c1CCCC2 ZINC000528597992 303047756 /nfs/dbraw/zinc/04/77/56/303047756.db2.gz DVAKUAVPXSSKKY-UHFFFAOYSA-N -1 1 301.232 1.004 20 0 DDADMM CN(Cc1coc(S(C)(=O)=O)c1)C1(C(=O)[O-])CCCCC1 ZINC000530167330 303177962 /nfs/dbraw/zinc/17/79/62/303177962.db2.gz YQZJJXAOSAHYLM-UHFFFAOYSA-N -1 1 315.391 1.902 20 0 DDADMM O=C([O-])c1ccc(F)c2c1CN(C[C@H](O)Cn1cccn1)CC2 ZINC000530168002 303178295 /nfs/dbraw/zinc/17/82/95/303178295.db2.gz HTJOUJDISAFOGB-NSHDSACASA-N -1 1 319.336 1.140 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000532602265 303292241 /nfs/dbraw/zinc/29/22/41/303292241.db2.gz OEPYSSVWMMIINI-SNVBAGLBSA-N -1 1 321.358 1.702 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@@H]1CCOC1)c1cc2ccccc2o1 ZINC000532771459 303295595 /nfs/dbraw/zinc/29/55/95/303295595.db2.gz MXLNCILXGMWFKR-NEPJUHHUSA-N -1 1 311.359 1.109 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC(C2CC2)C2CC2)c1 ZINC000533581466 303321196 /nfs/dbraw/zinc/32/11/96/303321196.db2.gz HLJFDWYZIQUIPW-UHFFFAOYSA-N -1 1 313.375 1.781 20 0 DDADMM CCc1ccc(S(=O)(=O)Nc2cnn(C)c2C(=O)[O-])cc1 ZINC000537639578 303377361 /nfs/dbraw/zinc/37/73/61/303377361.db2.gz YYNSCFGVDLRTQI-UHFFFAOYSA-N -1 1 309.347 1.482 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@]1(C)CCCOC1 ZINC000552528141 303661963 /nfs/dbraw/zinc/66/19/63/303661963.db2.gz SADHOYJYRCEFSU-MRXNPFEDSA-N -1 1 318.377 1.280 20 0 DDADMM Cc1cnc(C(=O)NCc2nncn2-c2ccccc2)c([O-])c1 ZINC000358615825 306944970 /nfs/dbraw/zinc/94/49/70/306944970.db2.gz LAQYSIWUZVYDFX-UHFFFAOYSA-N -1 1 309.329 1.606 20 0 DDADMM O=C(Cc1ccc(F)cc1Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000362710641 307026380 /nfs/dbraw/zinc/02/63/80/307026380.db2.gz KPIBDFJDFMFAGZ-JTQLQIEISA-N -1 1 323.759 1.941 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC(O)(C3CC3)C2)c(=O)[n-]1 ZINC000364974003 307055526 /nfs/dbraw/zinc/05/55/26/307055526.db2.gz QUIYHNLLRRKIEI-UHFFFAOYSA-N -1 1 323.418 1.128 20 0 DDADMM O=C(CN1CCC[C@H](c2nnc[nH]2)C1)[N-]OCc1ccccc1 ZINC000367441418 307093391 /nfs/dbraw/zinc/09/33/91/307093391.db2.gz VFXZPNXLNGGTCN-AWEZNQCLSA-N -1 1 315.377 1.232 20 0 DDADMM O=C(N=c1cccn[n-]1)NCCc1nnc(-c2ccccc2)[nH]1 ZINC000376788667 307256459 /nfs/dbraw/zinc/25/64/59/307256459.db2.gz YNTHTHTVGFTJLG-UHFFFAOYSA-N -1 1 309.333 1.048 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H](CO)C1)c1cccc(F)c1F ZINC000416649304 307299676 /nfs/dbraw/zinc/29/96/76/307299676.db2.gz XNJOLJKQXUYFQT-NXEZZACHSA-N -1 1 305.346 1.794 20 0 DDADMM CN1CC2(CN(C(=O)c3ccc4ccccc4c3[O-])C2)OC1=O ZINC000496698717 307357050 /nfs/dbraw/zinc/35/70/50/307357050.db2.gz MMWXGBWVLJEKJN-UHFFFAOYSA-N -1 1 312.325 1.822 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](OC(=O)N(C)C)C2)c([O-])c1 ZINC000497052918 307378290 /nfs/dbraw/zinc/37/82/90/307378290.db2.gz IGCYZZVVXWNLKG-NSHDSACASA-N -1 1 307.350 1.398 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C2(CF)CCC2)c1 ZINC000518775643 307445428 /nfs/dbraw/zinc/44/54/28/307445428.db2.gz UAMCABXYVZWRPB-UHFFFAOYSA-N -1 1 302.327 1.118 20 0 DDADMM C[C@H]1CCC[C@H](CCNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000544748445 307719241 /nfs/dbraw/zinc/71/92/41/307719241.db2.gz VLGUZILHXSHVFF-WDEREUQCSA-N -1 1 303.366 1.364 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](CO)CC1CCC1 ZINC000557381722 307870860 /nfs/dbraw/zinc/87/08/60/307870860.db2.gz FGSBZNGUZJJLDT-JTQLQIEISA-N -1 1 311.407 1.493 20 0 DDADMM Cn1ccnc1[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000560522347 307914754 /nfs/dbraw/zinc/91/47/54/307914754.db2.gz DBDJUUUDVSHYDF-CYBMUJFWSA-N -1 1 323.356 1.538 20 0 DDADMM CN(C)c1nccc(CNC(=O)c2c(F)ccc([O-])c2F)n1 ZINC000561980269 307930506 /nfs/dbraw/zinc/93/05/06/307930506.db2.gz RJJRYYZKBRTORJ-UHFFFAOYSA-N -1 1 308.288 1.456 20 0 DDADMM CC(C)(C)N1CC[C@@H](NC(=O)C(=O)c2ccc([O-])cc2)C1=O ZINC000563190821 307959748 /nfs/dbraw/zinc/95/97/48/307959748.db2.gz XYUOFNJTMCRAMB-GFCCVEGCSA-N -1 1 304.346 1.091 20 0 DDADMM O=C(CNC[C@H]1CCCO1)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000567786920 308095844 /nfs/dbraw/zinc/09/58/44/308095844.db2.gz UUYFKUJMLSQAPT-SNVBAGLBSA-N -1 1 306.391 1.267 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C2CC2)c(C(F)(F)F)n1 ZINC000576347633 308308292 /nfs/dbraw/zinc/30/82/92/308308292.db2.gz RWWWUQILKHQIQG-IUCAKERBSA-N -1 1 323.340 1.763 20 0 DDADMM C[C@]1(C(=O)[O-])CCCN(Cc2nncn2-c2ccccc2)C1 ZINC000576999325 308355314 /nfs/dbraw/zinc/35/53/14/308355314.db2.gz XDZCTPYYLGSUJZ-INIZCTEOSA-N -1 1 300.362 1.954 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)[C@H](C)N(C)CCCC(=O)[O-])c1C ZINC000577066694 308360380 /nfs/dbraw/zinc/36/03/80/308360380.db2.gz LCAXJLFBPGJULH-NSHDSACASA-N -1 1 324.377 1.786 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cc[nH]c2C2CCC2)n1 ZINC000577120109 308364288 /nfs/dbraw/zinc/36/42/88/308364288.db2.gz XGYWFBPTQPMQHH-UHFFFAOYSA-N -1 1 309.351 1.056 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cc[nH]c2C2CCC2)[n-]1 ZINC000577120109 308364290 /nfs/dbraw/zinc/36/42/90/308364290.db2.gz XGYWFBPTQPMQHH-UHFFFAOYSA-N -1 1 309.351 1.056 20 0 DDADMM CO[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000578646242 308476151 /nfs/dbraw/zinc/47/61/51/308476151.db2.gz UYDDHFDDHSONRZ-NXEZZACHSA-N -1 1 309.746 1.171 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCO[C@@H](c3nccn3C)C2)c([O-])c1 ZINC000580699576 308624144 /nfs/dbraw/zinc/62/41/44/308624144.db2.gz VKGFVKBDHFMHLO-DGCLKSJQSA-N -1 1 316.361 1.479 20 0 DDADMM O=C([O-])CSCC(=O)N1CCN(CC2CCCCC2)CC1 ZINC000580773460 308629974 /nfs/dbraw/zinc/62/99/74/308629974.db2.gz NSAZMCHGGSKZPV-UHFFFAOYSA-N -1 1 314.451 1.529 20 0 DDADMM CC(=O)N1CC([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)C1 ZINC000582497156 337100865 /nfs/dbraw/zinc/10/08/65/337100865.db2.gz ORQZZXNLWMDVRP-UHFFFAOYSA-N -1 1 312.322 1.081 20 0 DDADMM O=C([C@@H]1CCc2n[nH]cc2C1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000582841219 337207977 /nfs/dbraw/zinc/20/79/77/337207977.db2.gz GONOLKWJJRRMTM-NXEZZACHSA-N -1 1 317.349 1.009 20 0 DDADMM CCOc1nccc(C)c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000584388431 337244303 /nfs/dbraw/zinc/24/43/03/337244303.db2.gz SGRDBYVZGLQJLR-JTQLQIEISA-N -1 1 304.354 1.178 20 0 DDADMM Cc1nc([C@@H]2CN(C(=O)c3ccc(C(=O)[O-])cc3)CCO2)n[nH]1 ZINC000583204024 337269833 /nfs/dbraw/zinc/26/98/33/337269833.db2.gz BTKWHJZANUOSIX-LBPRGKRZSA-N -1 1 316.317 1.025 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)Oc3ccccc3)nc2n1 ZINC000137510599 484036836 /nfs/dbraw/zinc/03/68/36/484036836.db2.gz OIHFNQJBVGYISR-SNVBAGLBSA-N -1 1 313.317 1.132 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(C)cc1F)[C@H]1CCOC1 ZINC000656614808 484244792 /nfs/dbraw/zinc/24/47/92/484244792.db2.gz POXIIANUOCYLKM-FZMZJTMJSA-N -1 1 317.382 1.927 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1cnc2c(C)cccn12 ZINC000656742322 484311636 /nfs/dbraw/zinc/31/16/36/484311636.db2.gz MLFOJACUWBRWIH-UHFFFAOYSA-N -1 1 319.390 1.794 20 0 DDADMM CCN(CC)[C@H](CNC(=O)c1cc(=O)n(C)[n-]1)c1ccco1 ZINC000667355710 484565934 /nfs/dbraw/zinc/56/59/34/484565934.db2.gz BOJXYEUDMKTCFF-GFCCVEGCSA-N -1 1 306.366 1.532 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H](C(=O)[O-])C(C)(C)C)C2)n[nH]1 ZINC000663039255 484617893 /nfs/dbraw/zinc/61/78/93/484617893.db2.gz CERIFFXEZLGRGM-MNOVXSKESA-N -1 1 308.382 1.566 20 0 DDADMM O=C([O-])[C@H](C(=O)NCCc1nc2ccc(F)cc2[nH]1)C1CC1 ZINC000663040903 484619115 /nfs/dbraw/zinc/61/91/15/484619115.db2.gz UUBSKKUOWHARQK-ZDUSSCGKSA-N -1 1 305.309 1.472 20 0 DDADMM CN(CCNC(=O)[C@@]1(C(=O)[O-])CC1(C)C)Cc1ccc(F)cc1 ZINC000663107160 484671882 /nfs/dbraw/zinc/67/18/82/484671882.db2.gz FTVVLVDICOGDSG-QGZVFWFLSA-N -1 1 322.380 1.875 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000663136255 484695217 /nfs/dbraw/zinc/69/52/17/484695217.db2.gz WWVZCIGJHNROKI-CABCVRRESA-N -1 1 302.374 1.681 20 0 DDADMM O=C([O-])[C@@H](CNS(=O)(=O)c1c(F)cccc1F)C1CCC1 ZINC000663256577 484769887 /nfs/dbraw/zinc/76/98/87/484769887.db2.gz WQHMJNGTUKZCSE-VIFPVBQESA-N -1 1 319.329 1.744 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](C3CCC3)C2)co1 ZINC000665682557 485002736 /nfs/dbraw/zinc/00/27/36/485002736.db2.gz DWRBSJVBDKJIHJ-LLVKDONJSA-N -1 1 312.391 1.450 20 0 DDADMM O=C1CC[C@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)CCN1 ZINC000668324335 485104978 /nfs/dbraw/zinc/10/49/78/485104978.db2.gz IYEMPYKGFZVWLR-QMMMGPOBSA-N -1 1 320.773 1.426 20 0 DDADMM C[C@@H](CS(C)(=O)=O)NC(=O)c1ncc2ccccc2c1[O-] ZINC000668734143 485300218 /nfs/dbraw/zinc/30/02/18/485300218.db2.gz WEHGJCBVTVEIQF-VIFPVBQESA-N -1 1 308.359 1.103 20 0 DDADMM C[C@H]1CC[C@@H](C(N)=O)CN1C(=O)c1ncc2ccccc2c1[O-] ZINC000666662715 485319455 /nfs/dbraw/zinc/31/94/55/485319455.db2.gz YQEVKFAIYNANRO-CMPLNLGQSA-N -1 1 313.357 1.666 20 0 DDADMM CC[C@@H](C)NC(=O)CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000666722938 485339541 /nfs/dbraw/zinc/33/95/41/485339541.db2.gz RZXVOTBHYRAHLM-LLVKDONJSA-N -1 1 315.373 1.975 20 0 DDADMM CO[C@@]1(C(F)(F)F)CCCN(C(=O)c2ncccc2[O-])C1 ZINC000682018650 485463277 /nfs/dbraw/zinc/46/32/77/485463277.db2.gz UHLNUULNJCHJRY-LBPRGKRZSA-N -1 1 304.268 1.971 20 0 DDADMM NC(=O)Cc1ccc(CNC(=O)c2c([O-])cccc2F)cc1 ZINC000685878208 485470644 /nfs/dbraw/zinc/47/06/44/485470644.db2.gz HBBYAKLGQQCSBL-UHFFFAOYSA-N -1 1 302.305 1.489 20 0 DDADMM CC1(C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2[O-])CCC1 ZINC000674266847 485577394 /nfs/dbraw/zinc/57/73/94/485577394.db2.gz QTNZUIFXTIEGOJ-UHFFFAOYSA-N -1 1 324.402 1.962 20 0 DDADMM CO[C@H]1CN(C(=O)c2cc(Br)ccc2[O-])CCO1 ZINC000682598724 485696494 /nfs/dbraw/zinc/69/64/94/485696494.db2.gz YGYKNORURNZERV-LLVKDONJSA-N -1 1 316.151 1.600 20 0 DDADMM CCC[C@H](C)N1C[C@H](C(=O)N[C@@H](CCC)c2nn[n-]n2)CC1=O ZINC000675144338 485865348 /nfs/dbraw/zinc/86/53/48/485865348.db2.gz LFNRSWXMHTZTAR-TUAOUCFPSA-N -1 1 322.413 1.194 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCO1 ZINC000679545725 485915733 /nfs/dbraw/zinc/91/57/33/485915733.db2.gz PGRWTLWAKLNWOU-BFHYXJOUSA-N -1 1 315.377 1.611 20 0 DDADMM CN(CC(=O)[O-])C(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000679553209 485919430 /nfs/dbraw/zinc/91/94/30/485919430.db2.gz YZMANBBMTMJTRG-UHFFFAOYSA-N -1 1 323.368 1.516 20 0 DDADMM COc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)ccc1F ZINC000675638482 485999960 /nfs/dbraw/zinc/99/99/60/485999960.db2.gz CWNZMXDJQGYSDB-UHFFFAOYSA-N -1 1 305.313 1.547 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)C[C@H](C)O)c(F)c1 ZINC000679937595 486045431 /nfs/dbraw/zinc/04/54/31/486045431.db2.gz WBSOCHFHTPLKEN-SFYZADRCSA-N -1 1 309.334 1.411 20 0 DDADMM C[C@@H]1CN(CCN(C)C(=O)c2ccc([O-])c(F)c2)C[C@H](C)O1 ZINC000684067682 486234981 /nfs/dbraw/zinc/23/49/81/486234981.db2.gz DPPAPNYFSCCIJV-TXEJJXNPSA-N -1 1 310.369 1.713 20 0 DDADMM O=C(NCc1nc(-c2ccncc2)no1)c1ccc([O-])c(F)c1 ZINC000681016763 486323960 /nfs/dbraw/zinc/32/39/60/486323960.db2.gz FROQPCCJYZEUBG-UHFFFAOYSA-N -1 1 314.276 1.906 20 0 DDADMM CC[C@@H](C)[C@H](O)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676860889 486348772 /nfs/dbraw/zinc/34/87/72/486348772.db2.gz XNFDLGWKTWOBFO-PRHODGIISA-N -1 1 323.361 1.659 20 0 DDADMM O=C([C@H]1Cc2ccc(F)cc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000684466351 486361349 /nfs/dbraw/zinc/36/13/49/486361349.db2.gz UMKJZTZYKYDPMI-GWCFXTLKSA-N -1 1 301.325 1.385 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H](C)c2ccncc2)co1 ZINC000677312316 486437734 /nfs/dbraw/zinc/43/77/34/486437734.db2.gz IIZQNSABNMVBLF-JTQLQIEISA-N -1 1 323.374 1.116 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)CC(C)(C)OC)cn1 ZINC000414384042 534228444 /nfs/dbraw/zinc/22/84/44/534228444.db2.gz RZVDZYCOOFSKTN-UHFFFAOYSA-N -1 1 302.352 1.035 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)Nc2ccccc2O)n1 ZINC000432669075 534307625 /nfs/dbraw/zinc/30/76/25/534307625.db2.gz KBXUJBKYNCHIPG-UHFFFAOYSA-N -1 1 307.331 1.633 20 0 DDADMM O=C(NCCOc1ccccc1F)C(=O)c1ccc([O-])cc1 ZINC000436862564 534391396 /nfs/dbraw/zinc/39/13/96/534391396.db2.gz OPGRPNUAUKMPLY-UHFFFAOYSA-N -1 1 303.289 1.909 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc(C)c(Cl)c2)n1 ZINC000428059146 534414437 /nfs/dbraw/zinc/41/44/37/534414437.db2.gz MFKQGJBWTCQKFP-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM Cc1ccccc1-n1cc(CN[C@@]2(C(=O)[O-])CCSC2)nn1 ZINC000417573456 534792674 /nfs/dbraw/zinc/79/26/74/534792674.db2.gz HRYJHLCUYBHWFL-HNNXBMFYSA-N -1 1 318.402 1.626 20 0 DDADMM Cc1n[nH]cc1CN1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000292820664 534853932 /nfs/dbraw/zinc/85/39/32/534853932.db2.gz PXPSPGCVUBZFMU-MWLCHTKSSA-N -1 1 304.316 1.750 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1nc(C(F)(F)F)c[nH]1 ZINC000424210296 534860573 /nfs/dbraw/zinc/86/05/73/534860573.db2.gz NKDVDJPVHFLRQZ-UHFFFAOYSA-N -1 1 313.301 1.597 20 0 DDADMM CCOC(=O)[C@@H](C)C[N-]S(=O)(=O)c1sccc1Cl ZINC000451310713 527722052 /nfs/dbraw/zinc/72/20/52/527722052.db2.gz GHIJHXQMFPPKIZ-ZETCQYMHSA-N -1 1 311.812 1.879 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)S(=O)(=O)c2c(C)o[n-]c2=N)C1(C)C ZINC000331750139 527803239 /nfs/dbraw/zinc/80/32/39/527803239.db2.gz FKBIDOJIBROIRJ-NXEZZACHSA-N -1 1 317.411 1.220 20 0 DDADMM CC(C)CN=c1[n-]nc(SCCN2CCCOCC2)s1 ZINC000412991492 528028794 /nfs/dbraw/zinc/02/87/94/528028794.db2.gz SDXUWXPOSXONJB-UHFFFAOYSA-N -1 1 316.496 1.842 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2ccc(OC)c(F)c2)[n-]n1 ZINC000413119741 528230428 /nfs/dbraw/zinc/23/04/28/528230428.db2.gz PCKSEKGYLJBKBB-UHFFFAOYSA-N -1 1 318.352 1.451 20 0 DDADMM CCCN1Cc2cccc(NC(=O)C(=O)[N-]OC(C)C)c2C1 ZINC000296646927 528327591 /nfs/dbraw/zinc/32/75/91/528327591.db2.gz ZSIQFKIBSFHEMW-UHFFFAOYSA-N -1 1 305.378 1.807 20 0 DDADMM CCOC(CCNC(=O)c1ccc2n[n-]c(=S)n2c1)OCC ZINC000294950732 528434546 /nfs/dbraw/zinc/43/45/46/528434546.db2.gz ASVNNKDYZDQSFV-UHFFFAOYSA-N -1 1 324.406 1.537 20 0 DDADMM CCOC1(C)CN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000452280876 528454916 /nfs/dbraw/zinc/45/49/16/528454916.db2.gz DUDJQMRIRPYDBO-UHFFFAOYSA-N -1 1 318.377 1.232 20 0 DDADMM CC(C)N1CC[C@H]([N-]S(=O)(=O)c2sccc2Cl)C1=O ZINC000451202533 529083226 /nfs/dbraw/zinc/08/32/26/529083226.db2.gz PGAJHUGEQSIOKV-VIFPVBQESA-N -1 1 322.839 1.689 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)N=c1[nH][n-]c(C)c1C(=O)NC ZINC000451434819 529311319 /nfs/dbraw/zinc/31/13/19/529311319.db2.gz QGEYAXCVTMDFIH-SSDOTTSWSA-N -1 1 306.288 1.417 20 0 DDADMM CCS(=O)(=O)C[C@H](C)NCc1ccc(NC(=O)[O-])nc1 ZINC000827472320 603551981 /nfs/dbraw/zinc/55/19/81/603551981.db2.gz SMJGWBUIUFJYTF-VIFPVBQESA-N -1 1 301.368 1.084 20 0 DDADMM CO[C@@H]1CCCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000736960339 598964915 /nfs/dbraw/zinc/96/49/15/598964915.db2.gz DUHOBGYOKPCBKS-SNVBAGLBSA-N -1 1 311.349 1.425 20 0 DDADMM CO[C@@H]1CCCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000736960339 598964917 /nfs/dbraw/zinc/96/49/17/598964917.db2.gz DUHOBGYOKPCBKS-SNVBAGLBSA-N -1 1 311.349 1.425 20 0 DDADMM C[C@H]1C[C@@H]1c1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)o1 ZINC000736236140 598994236 /nfs/dbraw/zinc/99/42/36/598994236.db2.gz IDWZLJXMUNIRRM-CABZTGNLSA-N -1 1 324.344 1.908 20 0 DDADMM C[C@H]1C[C@@H]1c1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)o1 ZINC000736236140 598994238 /nfs/dbraw/zinc/99/42/38/598994238.db2.gz IDWZLJXMUNIRRM-CABZTGNLSA-N -1 1 324.344 1.908 20 0 DDADMM COc1cc(C)ccc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737039242 598995854 /nfs/dbraw/zinc/99/58/54/598995854.db2.gz CDNJQDRHAUVMLD-UHFFFAOYSA-N -1 1 310.317 1.831 20 0 DDADMM COc1cc(C)ccc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737039242 598995855 /nfs/dbraw/zinc/99/58/55/598995855.db2.gz CDNJQDRHAUVMLD-UHFFFAOYSA-N -1 1 310.317 1.831 20 0 DDADMM Cc1ccc2c(c1)[C@H](NC(=O)c1ccc(-c3nnn[n-]3)nc1)CC2 ZINC000737371778 599004971 /nfs/dbraw/zinc/00/49/71/599004971.db2.gz LGHORJCUMOJAEV-CQSZACIVSA-N -1 1 320.356 1.987 20 0 DDADMM Cc1ccc2c(c1)[C@H](NC(=O)c1ccc(-c3nn[n-]n3)nc1)CC2 ZINC000737371778 599004973 /nfs/dbraw/zinc/00/49/73/599004973.db2.gz LGHORJCUMOJAEV-CQSZACIVSA-N -1 1 320.356 1.987 20 0 DDADMM NC(=O)Nc1cccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737753997 599021608 /nfs/dbraw/zinc/02/16/08/599021608.db2.gz BFCQEKWKNNNZTD-UHFFFAOYSA-N -1 1 324.304 1.005 20 0 DDADMM NC(=O)Nc1cccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737753997 599021609 /nfs/dbraw/zinc/02/16/09/599021609.db2.gz BFCQEKWKNNNZTD-UHFFFAOYSA-N -1 1 324.304 1.005 20 0 DDADMM CN(CC(=O)Nc1ccccc1C(=O)[O-])C[C@@H]1CCCOC1 ZINC000737396552 599763888 /nfs/dbraw/zinc/76/38/88/599763888.db2.gz HOZSDPBGMPPNNK-LBPRGKRZSA-N -1 1 306.362 1.682 20 0 DDADMM CC[C@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccncc1 ZINC000736365554 599078594 /nfs/dbraw/zinc/07/85/94/599078594.db2.gz WUMVZUJKDXCXSD-LBPRGKRZSA-N -1 1 309.333 1.538 20 0 DDADMM CC[C@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccncc1 ZINC000736365554 599078597 /nfs/dbraw/zinc/07/85/97/599078597.db2.gz WUMVZUJKDXCXSD-LBPRGKRZSA-N -1 1 309.333 1.538 20 0 DDADMM C[C@@H](C(=O)NC1CCCC1)N1CCSC[C@H]1CC(=O)[O-] ZINC000251034931 601921376 /nfs/dbraw/zinc/92/13/76/601921376.db2.gz MGTILTPGRDLZTH-CMPLNLGQSA-N -1 1 300.424 1.326 20 0 DDADMM O=C(N[C@H]1C[C@@H]1C1CCCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738020316 599096234 /nfs/dbraw/zinc/09/62/34/599096234.db2.gz NGGUAPNJFWMBAR-OCCSQVGLSA-N -1 1 312.377 1.960 20 0 DDADMM O=C(N[C@H]1C[C@@H]1C1CCCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738020316 599096236 /nfs/dbraw/zinc/09/62/36/599096236.db2.gz NGGUAPNJFWMBAR-OCCSQVGLSA-N -1 1 312.377 1.960 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC[C@H]1c1ccco1 ZINC000738257661 599163667 /nfs/dbraw/zinc/16/36/67/599163667.db2.gz BEYOVCIJBMTUGQ-LBPRGKRZSA-N -1 1 310.317 1.832 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@H]1c1ccco1 ZINC000738257661 599163670 /nfs/dbraw/zinc/16/36/70/599163670.db2.gz BEYOVCIJBMTUGQ-LBPRGKRZSA-N -1 1 310.317 1.832 20 0 DDADMM Cc1csc2nc(CN3CCC(C(=O)[O-])CC3)cc(=O)n12 ZINC000035299946 596924839 /nfs/dbraw/zinc/92/48/39/596924839.db2.gz WPJKTWIQDGNBOH-UHFFFAOYSA-N -1 1 307.375 1.361 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)[C@H](C)N1CCC[C@H](CC(=O)[O-])C1 ZINC000819023570 597080349 /nfs/dbraw/zinc/08/03/49/597080349.db2.gz RDXYDMJZXQJTNP-QWHCGFSZSA-N -1 1 322.409 1.551 20 0 DDADMM O=C([O-])CCNC(=O)N1CCC[N@@H+](Cc2ccccc2)CC1 ZINC000323428967 597221196 /nfs/dbraw/zinc/22/11/96/597221196.db2.gz VUPFAICHOGWPSM-UHFFFAOYSA-N -1 1 305.378 1.379 20 0 DDADMM CC(C)(C)[C@@H](NCC(=O)NCC(=O)[O-])c1ccc(F)cc1F ZINC000819908701 597808167 /nfs/dbraw/zinc/80/81/67/597808167.db2.gz QZSQDWAPYMWGSJ-AWEZNQCLSA-N -1 1 314.332 1.842 20 0 DDADMM CCN(CCNc1nccnc1-c1nnn[n-]1)c1ccccc1C ZINC000736537873 598344021 /nfs/dbraw/zinc/34/40/21/598344021.db2.gz XYEXJWXQHQQYTI-UHFFFAOYSA-N -1 1 324.392 1.904 20 0 DDADMM CCN(CCNc1nccnc1-c1nn[n-]n1)c1ccccc1C ZINC000736537873 598344023 /nfs/dbraw/zinc/34/40/23/598344023.db2.gz XYEXJWXQHQQYTI-UHFFFAOYSA-N -1 1 324.392 1.904 20 0 DDADMM c1cn(-c2ccccc2)nc1CNc1nccnc1-c1nnn[n-]1 ZINC000738424548 598347808 /nfs/dbraw/zinc/34/78/08/598347808.db2.gz FJWXIMVCCKWAJK-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1cn(-c2ccccc2)nc1CNc1nccnc1-c1nn[n-]n1 ZINC000738424548 598347810 /nfs/dbraw/zinc/34/78/10/598347810.db2.gz FJWXIMVCCKWAJK-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM CC(C)c1nc(CCNc2nccnc2-c2nnn[n-]2)cs1 ZINC000735941108 598352560 /nfs/dbraw/zinc/35/25/60/598352560.db2.gz XBFBJHDVRFCPLM-UHFFFAOYSA-N -1 1 316.394 1.891 20 0 DDADMM CC(C)c1nc(CCNc2nccnc2-c2nn[n-]n2)cs1 ZINC000735941108 598352562 /nfs/dbraw/zinc/35/25/62/598352562.db2.gz XBFBJHDVRFCPLM-UHFFFAOYSA-N -1 1 316.394 1.891 20 0 DDADMM Fc1ccc(OCCNc2nccnc2-c2nnn[n-]2)cc1F ZINC000737656410 598387278 /nfs/dbraw/zinc/38/72/78/598387278.db2.gz MHTWLDJPYQMSQP-UHFFFAOYSA-N -1 1 319.275 1.426 20 0 DDADMM Fc1ccc(OCCNc2nccnc2-c2nn[n-]n2)cc1F ZINC000737656410 598387280 /nfs/dbraw/zinc/38/72/80/598387280.db2.gz MHTWLDJPYQMSQP-UHFFFAOYSA-N -1 1 319.275 1.426 20 0 DDADMM C[C@@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)Cn1cccn1 ZINC000736025869 598744273 /nfs/dbraw/zinc/74/42/73/598744273.db2.gz JRAPIRNXGYICDC-VIFPVBQESA-N -1 1 318.772 1.860 20 0 DDADMM C[C@@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)Cn1cccn1 ZINC000736025869 598744275 /nfs/dbraw/zinc/74/42/75/598744275.db2.gz JRAPIRNXGYICDC-VIFPVBQESA-N -1 1 318.772 1.860 20 0 DDADMM COCC1CCN(c2snc(Cl)c2-c2nnn[n-]2)CC1 ZINC000736976355 598773596 /nfs/dbraw/zinc/77/35/96/598773596.db2.gz KPYVCLHOFAJSMF-UHFFFAOYSA-N -1 1 314.802 1.839 20 0 DDADMM COCC1CCN(c2snc(Cl)c2-c2nn[n-]n2)CC1 ZINC000736976355 598773597 /nfs/dbraw/zinc/77/35/97/598773597.db2.gz KPYVCLHOFAJSMF-UHFFFAOYSA-N -1 1 314.802 1.839 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@H]1C[C@@]12CCc1ccccc12 ZINC000822903734 599319070 /nfs/dbraw/zinc/31/90/70/599319070.db2.gz ODHWNHZWALHFNT-MLGOLLRUSA-N -1 1 321.344 1.190 20 0 DDADMM Cc1ccc2nc(CNCc3ccc(-c4nnn[n-]4)o3)cn2c1 ZINC000822280604 599430076 /nfs/dbraw/zinc/43/00/76/599430076.db2.gz TUMZAYNDVJXKJK-UHFFFAOYSA-N -1 1 309.333 1.706 20 0 DDADMM C[C@H](Nc1cccc(-c2nnn[n-]2)n1)C(=O)Nc1ccccc1 ZINC000736135991 599435738 /nfs/dbraw/zinc/43/57/38/599435738.db2.gz CRNQVSOVYKOCNM-JTQLQIEISA-N -1 1 309.333 1.701 20 0 DDADMM C[C@H](Nc1cccc(-c2nn[n-]n2)n1)C(=O)Nc1ccccc1 ZINC000736135991 599435739 /nfs/dbraw/zinc/43/57/39/599435739.db2.gz CRNQVSOVYKOCNM-JTQLQIEISA-N -1 1 309.333 1.701 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@H](Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC000736744842 599439200 /nfs/dbraw/zinc/43/92/00/599439200.db2.gz CSHOBGQBTSLCOS-QWRGUYRKSA-N -1 1 315.381 1.321 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@H](Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC000736744842 599439202 /nfs/dbraw/zinc/43/92/02/599439202.db2.gz CSHOBGQBTSLCOS-QWRGUYRKSA-N -1 1 315.381 1.321 20 0 DDADMM Cc1cc([C@](C)(O)CNc2cccc(-c3nnn[n-]3)n2)c(C)o1 ZINC000822192011 599576700 /nfs/dbraw/zinc/57/67/00/599576700.db2.gz WSHGNYLQXHMZKQ-OAHLLOKOSA-N -1 1 314.349 1.791 20 0 DDADMM Cc1cc([C@](C)(O)CNc2cccc(-c3nn[n-]n3)n2)c(C)o1 ZINC000822192011 599576703 /nfs/dbraw/zinc/57/67/03/599576703.db2.gz WSHGNYLQXHMZKQ-OAHLLOKOSA-N -1 1 314.349 1.791 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)[C@@H]2CCCCO2)n1 ZINC000819971408 607403355 /nfs/dbraw/zinc/40/33/55/607403355.db2.gz KHCURCCTRFPXBQ-JTQLQIEISA-N -1 1 319.369 1.326 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)[C@@H]2CCCCO2)n1 ZINC000819971408 607403356 /nfs/dbraw/zinc/40/33/56/607403356.db2.gz KHCURCCTRFPXBQ-JTQLQIEISA-N -1 1 319.369 1.326 20 0 DDADMM CN(CCCOc1ccccc1)C(=O)CNC(C)(C)C(=O)[O-] ZINC000737441053 599924905 /nfs/dbraw/zinc/92/49/05/599924905.db2.gz NNRRSPGJGAWVNZ-UHFFFAOYSA-N -1 1 308.378 1.367 20 0 DDADMM CCOCCN1CCN(Cc2cc(C)c(C(=O)[O-])o2)C[C@H]1C ZINC000737177425 599961428 /nfs/dbraw/zinc/96/14/28/599961428.db2.gz NRXAVOOTLPLTPW-CYBMUJFWSA-N -1 1 310.394 1.829 20 0 DDADMM C[C@@H](CN1CCN(C)CC1)Nc1nc(Cl)c(C(=O)[O-])s1 ZINC000736586961 600008525 /nfs/dbraw/zinc/00/85/25/600008525.db2.gz RADNBYUPBIRWGK-QMMMGPOBSA-N -1 1 318.830 1.543 20 0 DDADMM CCN(CC(=O)[O-])Cc1nc2cc(C(=O)OC)ccc2c(=O)[nH]1 ZINC000737075308 600102148 /nfs/dbraw/zinc/10/21/48/600102148.db2.gz PBKIRCVGNCRLCM-UHFFFAOYSA-N -1 1 319.317 1.029 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)NCCSCC(=O)[O-] ZINC000737357498 600102479 /nfs/dbraw/zinc/10/24/79/600102479.db2.gz BRJFLSKLLSGRIH-CYBMUJFWSA-N -1 1 310.419 1.093 20 0 DDADMM CN(C(=O)CN(CCO)CC(C)(C)C)c1ccccc1C(=O)[O-] ZINC000737321382 600112025 /nfs/dbraw/zinc/11/20/25/600112025.db2.gz APWLJTGICYINQB-UHFFFAOYSA-N -1 1 322.405 1.688 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN(C[C@@H](C)O)C(C)C ZINC000738533344 600136161 /nfs/dbraw/zinc/13/61/61/600136161.db2.gz TWXILLLEOYWBQN-GFCCVEGCSA-N -1 1 308.378 1.723 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)CN1CCc2ccccc2CC1)C(=O)[O-] ZINC000736886457 600216467 /nfs/dbraw/zinc/21/64/67/600216467.db2.gz PNVHMYOUESCWPI-DYVFJYSZSA-N -1 1 318.417 1.703 20 0 DDADMM Cc1ccc([C@@H]2CSCC[N@H+]2CCC(=O)NCC(=O)[O-])cc1 ZINC000738583998 600218775 /nfs/dbraw/zinc/21/87/75/600218775.db2.gz WFYSVWWEXASUTF-AWEZNQCLSA-N -1 1 322.430 1.676 20 0 DDADMM Nc1nc(CN2CCC3(C[C@H]3C(=O)[O-])CC2)nc2ccccc12 ZINC000739202674 600288704 /nfs/dbraw/zinc/28/87/04/600288704.db2.gz KZGNTGHIQPBADL-LBPRGKRZSA-N -1 1 312.373 1.899 20 0 DDADMM Cc1oc(C(=O)[O-])cc1CN1CCC[C@@H](N2CCCC2=O)C1 ZINC000738956685 600335058 /nfs/dbraw/zinc/33/50/58/600335058.db2.gz DPBAKLSQGDYQBL-CYBMUJFWSA-N -1 1 306.362 1.873 20 0 DDADMM CN(C)c1cc2c(nn1)CCN([C@H](C(=O)[O-])c1ccccc1)C2 ZINC000737374403 600405756 /nfs/dbraw/zinc/40/57/56/600405756.db2.gz OARUQPLDZFUDAS-INIZCTEOSA-N -1 1 312.373 1.727 20 0 DDADMM CCOCCCN(C[C@@H](C)C(=O)[O-])C(=O)[C@@H]1CCCCN1C ZINC000830001462 600723044 /nfs/dbraw/zinc/72/30/44/600723044.db2.gz ZDTIUGKBRGZDRE-KGLIPLIRSA-N -1 1 314.426 1.447 20 0 DDADMM Cc1nnc(SCC(=O)Nc2ccc(C)cc2C(=O)[O-])[nH]1 ZINC000832943808 600839156 /nfs/dbraw/zinc/83/91/56/600839156.db2.gz JUGJHXSOCCHJNL-UHFFFAOYSA-N -1 1 306.347 1.851 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2ccc(C)cc2C(=O)[O-])n1 ZINC000832943808 600839158 /nfs/dbraw/zinc/83/91/58/600839158.db2.gz JUGJHXSOCCHJNL-UHFFFAOYSA-N -1 1 306.347 1.851 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1Cc1cccc2c1OCCO2 ZINC000833220886 601020313 /nfs/dbraw/zinc/02/03/13/601020313.db2.gz CNJDSBANBFFAEL-GFCCVEGCSA-N -1 1 309.387 1.850 20 0 DDADMM C[C@](NCC(=O)NCCOc1cccc(F)c1)(C(=O)[O-])C1CC1 ZINC000827728339 601030823 /nfs/dbraw/zinc/03/08/23/601030823.db2.gz RILQEFQRWRFYMJ-MRXNPFEDSA-N -1 1 324.352 1.164 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2cccc(F)c2)CC1 ZINC000827357392 601099561 /nfs/dbraw/zinc/09/95/61/601099561.db2.gz XOXQLVRJYRKUQB-URWSZGRFSA-N -1 1 320.364 1.846 20 0 DDADMM CCCc1nc([C@H]2CN(Cc3ccc(C(=O)[O-])o3)CCO2)n[nH]1 ZINC000737050454 601106430 /nfs/dbraw/zinc/10/64/30/601106430.db2.gz KXDOZVVNNJVVFL-GFCCVEGCSA-N -1 1 320.349 1.622 20 0 DDADMM CCCc1n[nH]c([C@H]2CN(Cc3ccc(C(=O)[O-])o3)CCO2)n1 ZINC000737050454 601106432 /nfs/dbraw/zinc/10/64/32/601106432.db2.gz KXDOZVVNNJVVFL-GFCCVEGCSA-N -1 1 320.349 1.622 20 0 DDADMM Cc1noc(C)c1NC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000833023009 601132154 /nfs/dbraw/zinc/13/21/54/601132154.db2.gz OWSBSVZQSSCYFI-SECBINFHSA-N -1 1 310.354 1.304 20 0 DDADMM O=C([O-])C[C@H](NC(=O)CCc1nc[nH]n1)c1ccc(F)cc1 ZINC000833197595 601136614 /nfs/dbraw/zinc/13/66/14/601136614.db2.gz HOKLFZWETINBGZ-NSHDSACASA-N -1 1 306.297 1.209 20 0 DDADMM C/C(=C/CN1CCCN(C(=O)c2ccccc2)CC1)C(=O)[O-] ZINC000825741339 601155282 /nfs/dbraw/zinc/15/52/82/601155282.db2.gz LVYGPSSGHXVYIY-ZSOIEALJSA-N -1 1 302.374 1.865 20 0 DDADMM CCC[C@H](NC(=O)CCc1ccc(C(=O)[O-])cc1)c1nn[nH]n1 ZINC000829222794 601304119 /nfs/dbraw/zinc/30/41/19/601304119.db2.gz FTVSPVLOMOSSEO-LBPRGKRZSA-N -1 1 317.349 1.488 20 0 DDADMM FC(F)(F)[C@@H]1CN(Cc2ccnc(-c3nnn[n-]3)c2)CCO1 ZINC000826367706 607504092 /nfs/dbraw/zinc/50/40/92/607504092.db2.gz KZZQFKJDAWCFFH-JTQLQIEISA-N -1 1 314.271 1.025 20 0 DDADMM FC(F)(F)[C@@H]1CN(Cc2ccnc(-c3nn[n-]n3)c2)CCO1 ZINC000826367706 607504094 /nfs/dbraw/zinc/50/40/94/607504094.db2.gz KZZQFKJDAWCFFH-JTQLQIEISA-N -1 1 314.271 1.025 20 0 DDADMM Cc1ccc2nc(CNC(=O)NCC(C)(C)C(=O)[O-])[nH]c2c1 ZINC000832958589 601676062 /nfs/dbraw/zinc/67/60/62/601676062.db2.gz AVCSPIPJNCDCIG-UHFFFAOYSA-N -1 1 304.350 1.781 20 0 DDADMM Cc1cc(CC(=O)NC[C@H](Cc2cccc(F)c2)C(=O)[O-])[nH]n1 ZINC000832884221 601680267 /nfs/dbraw/zinc/68/02/67/601680267.db2.gz WIZUNSFMOCBRSS-LBPRGKRZSA-N -1 1 319.336 1.459 20 0 DDADMM C[C@H](C(=O)N1C[C@H](C(=O)[O-])[C@H](C)C1)N1CCc2ccccc2C1 ZINC000828320104 601757061 /nfs/dbraw/zinc/75/70/61/601757061.db2.gz ZCYUXYGUDBTGNX-IOASZLSFSA-N -1 1 316.401 1.612 20 0 DDADMM O=C([O-])c1nscc1S(=O)(=O)Nc1ccc(Cl)nc1 ZINC000833345057 601768571 /nfs/dbraw/zinc/76/85/71/601768571.db2.gz JLNDMQXNBYOGTB-UHFFFAOYSA-N -1 1 319.751 1.691 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](C)[C@H](C(=O)[O-])C3)c[n-]c2[nH+]1 ZINC000832961876 601801530 /nfs/dbraw/zinc/80/15/30/601801530.db2.gz MZBGHTZTRXPEOJ-QPUJVOFHSA-N -1 1 315.329 1.437 20 0 DDADMM Cc1ccc2c([n-]cc(C(=O)NCC(C)(C)C(=O)[O-])c2=O)[nH+]1 ZINC000832961472 601819050 /nfs/dbraw/zinc/81/90/50/601819050.db2.gz BSJRFDOLWDILRE-UHFFFAOYSA-N -1 1 303.318 1.484 20 0 DDADMM CCOCCN1CCN(Cc2ccsc2C(=O)[O-])C[C@@H]1C ZINC000830012124 601822864 /nfs/dbraw/zinc/82/28/64/601822864.db2.gz VDEFPAHYMXBCJB-LBPRGKRZSA-N -1 1 312.435 1.989 20 0 DDADMM CN(C[C@H]1CCCN(CC(=O)NC2CCCCC2)C1)C(=O)[O-] ZINC000739636109 601855538 /nfs/dbraw/zinc/85/55/38/601855538.db2.gz HXUOYEWNPUSTMZ-CYBMUJFWSA-N -1 1 311.426 1.757 20 0 DDADMM C[C@]1(C(=O)[O-])CCN([C@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000828019749 601937667 /nfs/dbraw/zinc/93/76/67/601937667.db2.gz BRWWAHANAGAYNQ-YOEHRIQHSA-N -1 1 320.364 1.942 20 0 DDADMM C[C@@H](C(=O)N1CC[C@](C)(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000825982931 602030285 /nfs/dbraw/zinc/03/02/85/602030285.db2.gz NTMBULJFCYUXGA-GUYCJALGSA-N -1 1 304.390 1.830 20 0 DDADMM CCC[C@](C)(NC(=O)[O-])C(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000739294460 602186945 /nfs/dbraw/zinc/18/69/45/602186945.db2.gz HGONHWCKDSBLNV-ZDUSSCGKSA-N -1 1 307.310 1.830 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)N[C@H]2CCCc3cn[nH]c32)c1 ZINC000833334159 602225250 /nfs/dbraw/zinc/22/52/50/602225250.db2.gz LSYPWIHWVWOMBU-LBPRGKRZSA-N -1 1 321.358 1.464 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)CC1CCN(C(=O)[O-])CC1 ZINC000740029915 602256686 /nfs/dbraw/zinc/25/66/86/602256686.db2.gz GTYZAKOSIPRZMF-UHFFFAOYSA-N -1 1 308.382 1.547 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCCN1CC(=O)N1CCCC[C@@H]1C ZINC000739008304 602274550 /nfs/dbraw/zinc/27/45/50/602274550.db2.gz JALWOPVBCLHTGD-MELADBBJSA-N -1 1 311.426 1.898 20 0 DDADMM CCN(C)[C@H](C(=O)NCC[C@@H](C)NC(=O)[O-])c1ccccc1 ZINC000739386279 602319003 /nfs/dbraw/zinc/31/90/03/602319003.db2.gz YNHSOLZKPSAGQF-OCCSQVGLSA-N -1 1 307.394 1.842 20 0 DDADMM O=C([O-])N1CSC[C@H]1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000740476569 602326607 /nfs/dbraw/zinc/32/66/07/602326607.db2.gz VFFJVMYYQHNZOS-NSHDSACASA-N -1 1 319.346 1.259 20 0 DDADMM CCCCn1nnnc1CN[C@@]1(CNC(=O)[O-])CCCC[C@@H]1C ZINC000739353856 602432118 /nfs/dbraw/zinc/43/21/18/602432118.db2.gz VLBQJBWPIBXISR-SWLSCSKDSA-N -1 1 324.429 1.779 20 0 DDADMM O=C([O-])N1CCC[C@H]1C(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC000740453081 602450176 /nfs/dbraw/zinc/45/01/76/602450176.db2.gz KATCCZDFKBGCFJ-NSHDSACASA-N -1 1 322.752 1.975 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000739022787 602451752 /nfs/dbraw/zinc/45/17/52/602451752.db2.gz FCJRSGCTGLSNPI-CYBMUJFWSA-N -1 1 319.405 1.671 20 0 DDADMM CC(C)c1ccccc1OC[C@@H](O)CN1CC[C@@H](NC(=O)[O-])C1 ZINC000738878020 602462596 /nfs/dbraw/zinc/46/25/96/602462596.db2.gz UNMMVNDFCQJFBP-KGLIPLIRSA-N -1 1 322.405 1.892 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)[C@@H](C)CNC(=O)[O-])c1Br ZINC000740205628 602526565 /nfs/dbraw/zinc/52/65/65/602526565.db2.gz WBFKHZBGNOCCDT-YFKPBYRVSA-N -1 1 319.159 1.209 20 0 DDADMM Cc1cccc(CNC(=O)CN2CC[C@H](CNC(=O)[O-])C2)c1 ZINC000740168466 602552603 /nfs/dbraw/zinc/55/26/03/602552603.db2.gz APMSPSRIWXJOQJ-CQSZACIVSA-N -1 1 305.378 1.201 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)NC[C@H]1CCCN1C(=O)[O-] ZINC000739607748 602607372 /nfs/dbraw/zinc/60/73/72/602607372.db2.gz LECPLBNFFKTPOE-HUUCEWRRSA-N -1 1 319.405 1.418 20 0 DDADMM O=C([O-])N(CCCNC(=O)N1CCN2CCCC[C@@H]2C1)C1CC1 ZINC000740427237 602619187 /nfs/dbraw/zinc/61/91/87/602619187.db2.gz FLHNOOCPAGKGLD-CQSZACIVSA-N -1 1 324.425 1.399 20 0 DDADMM N=C1Nc2nc3ccccc3n2[C@]2(CCCN(C(=O)[O-])C2)N1 ZINC000740343894 602684719 /nfs/dbraw/zinc/68/47/19/602684719.db2.gz ZVXCRVJGXPWERY-AWEZNQCLSA-N -1 1 300.322 1.413 20 0 DDADMM CN(C)c1cc2c(nn1)CCN(C[C@@H]1CCCN(C(=O)[O-])C1)C2 ZINC000739613441 602706476 /nfs/dbraw/zinc/70/64/76/602706476.db2.gz GNSRDAXNJOQYHG-LBPRGKRZSA-N -1 1 319.409 1.291 20 0 DDADMM Cc1cccc(OC[C@H](O)CN2CCCN(C(=O)[O-])CC2)c1 ZINC000740170810 602738841 /nfs/dbraw/zinc/73/88/41/602738841.db2.gz JULIZWOMXOQDMY-CQSZACIVSA-N -1 1 308.378 1.420 20 0 DDADMM C[C@@H]1CN(CC[S@@](=O)c2ccccc2)CC[C@@H]1NC(=O)[O-] ZINC000739180348 602779437 /nfs/dbraw/zinc/77/94/37/602779437.db2.gz FFNUPDLOCZTGEN-BRZCCTTDSA-N -1 1 310.419 1.772 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1)N1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000739175190 602783438 /nfs/dbraw/zinc/78/34/38/602783438.db2.gz JXODMNNGNNGHHY-NFAWXSAZSA-N -1 1 319.405 1.669 20 0 DDADMM CCN(CCCNC(=O)N1CCN(C)C[C@H]1C(C)C)C(=O)[O-] ZINC000739417789 602907260 /nfs/dbraw/zinc/90/72/60/602907260.db2.gz UKRDEUUPKGNJHG-ZDUSSCGKSA-N -1 1 314.430 1.358 20 0 DDADMM CCCN(C(=O)NCc1cc(C)[nH]n1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739355100 602916567 /nfs/dbraw/zinc/91/65/67/602916567.db2.gz ZZSHDWTVIAXTKO-LBPRGKRZSA-N -1 1 309.370 1.392 20 0 DDADMM CNC(=O)c1cccc(CN2CCC[C@H](N(C)C(=O)[O-])C2)c1 ZINC000739745221 603036298 /nfs/dbraw/zinc/03/62/98/603036298.db2.gz CUBOCLTYPHTAGU-AWEZNQCLSA-N -1 1 305.378 1.620 20 0 DDADMM O=C([O-])NCCN1CCCC[C@H]1CNC(=O)Nc1ccccc1 ZINC000740658988 603082475 /nfs/dbraw/zinc/08/24/75/603082475.db2.gz PITBDVCZJHHSNL-AWEZNQCLSA-N -1 1 320.393 1.930 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000832563234 603117959 /nfs/dbraw/zinc/11/79/59/603117959.db2.gz VUZGQZIMPNMJLU-JTQLQIEISA-N -1 1 304.306 1.542 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000832561300 603124516 /nfs/dbraw/zinc/12/45/16/603124516.db2.gz GWBXJMJQECLOOV-GFCCVEGCSA-N -1 1 314.345 1.949 20 0 DDADMM CC(C)CN1CCN(C(=O)[C@H]2CCC[C@H](NC(=O)[O-])C2)CC1 ZINC000738818587 603232823 /nfs/dbraw/zinc/23/28/23/603232823.db2.gz BODPZYZPICKXED-KBPBESRZSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@H](CC(=O)NC[C@@H](c1cccc(F)c1)N(C)C)NC(=O)[O-] ZINC000824629488 603250621 /nfs/dbraw/zinc/25/06/21/603250621.db2.gz PVLSAVSXOQMLQO-MFKMUULPSA-N -1 1 311.357 1.591 20 0 DDADMM C[C@H](CNC(=O)[O-])N(C)C[C@H]1CN(c2ccccc2)C(=O)O1 ZINC000738942691 603343051 /nfs/dbraw/zinc/34/30/51/603343051.db2.gz WUYJJROUDXTGSB-YPMHNXCESA-N -1 1 307.350 1.600 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](N(C)C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823660770 603507198 /nfs/dbraw/zinc/50/71/98/603507198.db2.gz CYNJUYPKAYBSAR-UKRRQHHQSA-N -1 1 319.405 1.718 20 0 DDADMM CN(CC(=O)NCc1cccs1)CC(C)(C)CNC(=O)[O-] ZINC000828108406 603546888 /nfs/dbraw/zinc/54/68/88/603546888.db2.gz QTMIPDAOZGFZEK-UHFFFAOYSA-N -1 1 313.423 1.590 20 0 DDADMM COC(=O)c1ccc(CN(C)[C@H]2CCN(C(=O)[O-])C2)cc1F ZINC000828772981 603610109 /nfs/dbraw/zinc/61/01/09/603610109.db2.gz WGJXIIPTKCQLKU-NSHDSACASA-N -1 1 310.325 1.796 20 0 DDADMM CN(C(=O)c1cc(-c2ccccc2)[nH]n1)[C@H]1CCN(C(=O)[O-])C1 ZINC000827927555 603681587 /nfs/dbraw/zinc/68/15/87/603681587.db2.gz RWENSRWBVWQSIR-LBPRGKRZSA-N -1 1 314.345 1.901 20 0 DDADMM C[C@H](C(=O)NCC[C@H](C)NC(=O)[O-])N(C)Cc1ccccc1 ZINC000824784955 603720291 /nfs/dbraw/zinc/72/02/91/603720291.db2.gz BKDPGAJWEBIOBQ-QWHCGFSZSA-N -1 1 307.394 1.669 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)C1(CNC(=O)[O-])CCCC1 ZINC000826086711 603797947 /nfs/dbraw/zinc/79/79/47/603797947.db2.gz BHYGSIIQORMVOV-KGLIPLIRSA-N -1 1 323.437 1.900 20 0 DDADMM CC(C)[N@@H+]1C[C@H](C)[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000826042574 603804267 /nfs/dbraw/zinc/80/42/67/603804267.db2.gz QCHPCGUYOMMHJN-GXFFZTMASA-N -1 1 321.377 1.941 20 0 DDADMM CC(C)[N@H+]1C[C@H](C)[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000826042574 603804268 /nfs/dbraw/zinc/80/42/68/603804268.db2.gz QCHPCGUYOMMHJN-GXFFZTMASA-N -1 1 321.377 1.941 20 0 DDADMM Cc1cc(CNC(=O)N[C@H]2CCCC[C@H]2CNC(=O)[O-])[nH]n1 ZINC000830041152 603810236 /nfs/dbraw/zinc/81/02/36/603810236.db2.gz IDJGGTXLUUGBNR-JQWIXIFHSA-N -1 1 309.370 1.344 20 0 DDADMM CC(C)[C@H](CCN(C)[C@H](C)C(=O)NC(C)(C)C)NC(=O)[O-] ZINC000824097719 603850526 /nfs/dbraw/zinc/85/05/26/603850526.db2.gz ADTRGOMVRNDGRQ-NEPJUHHUSA-N -1 1 301.431 1.904 20 0 DDADMM CCC[C@@](C)(NC(=O)[O-])C(=O)Nc1cc(CN(C)C)ccn1 ZINC000826720607 604066517 /nfs/dbraw/zinc/06/65/17/604066517.db2.gz KFHIVQFDWCISPQ-OAHLLOKOSA-N -1 1 308.382 1.908 20 0 DDADMM O=C([O-])N1CCc2cc(NC(=O)NCc3c[nH]nn3)ccc21 ZINC000831709684 604136237 /nfs/dbraw/zinc/13/62/37/604136237.db2.gz YBFKHQDPZVMKMR-UHFFFAOYSA-N -1 1 302.294 1.167 20 0 DDADMM O=C([O-])N1CCc2c1cccc2NC(=O)NCc1c[nH]nn1 ZINC000831709125 604138551 /nfs/dbraw/zinc/13/85/51/604138551.db2.gz OKWAMKJBDLZBEH-UHFFFAOYSA-N -1 1 302.294 1.167 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(CN2C(=O)C(C)(C)C2(C)C)CC1 ZINC000825289535 604204738 /nfs/dbraw/zinc/20/47/38/604204738.db2.gz ZYVFXUSNLARAJS-NSHDSACASA-N -1 1 311.426 1.959 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCCN(CCNC(=O)OC(C)(C)C)C1 ZINC000827823269 604363535 /nfs/dbraw/zinc/36/35/35/604363535.db2.gz SRKSQYHAVAVOGQ-LLVKDONJSA-N -1 1 301.387 1.585 20 0 DDADMM CN(C[C@H]1CCCN1CCNC(=O)OC(C)(C)C)C(=O)[O-] ZINC000828223641 604367989 /nfs/dbraw/zinc/36/79/89/604367989.db2.gz NVUKRJMMAAMVQU-LLVKDONJSA-N -1 1 301.387 1.585 20 0 DDADMM C[N@@H+](CCCn1ccnc1)CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000830781104 604379520 /nfs/dbraw/zinc/37/95/20/604379520.db2.gz IDPKPOSNSOMLSV-UHFFFAOYSA-N -1 1 316.361 1.542 20 0 DDADMM CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)c1cccc2n[nH]cc21 ZINC000827012212 604438228 /nfs/dbraw/zinc/43/82/28/604438228.db2.gz WNWWTBSIMKMKEZ-CYBMUJFWSA-N -1 1 305.334 1.513 20 0 DDADMM CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000826996335 604442054 /nfs/dbraw/zinc/44/20/54/604442054.db2.gz XYIILJKOFMZMNS-MFKMUULPSA-N -1 1 309.366 1.166 20 0 DDADMM COCCn1cc(CN2Cc3ccccc3C[C@H]2C(=O)[O-])cn1 ZINC000831800163 604466488 /nfs/dbraw/zinc/46/64/88/604466488.db2.gz GGEMWSBUMOJKBN-INIZCTEOSA-N -1 1 315.373 1.541 20 0 DDADMM COc1cc(OC)cc([C@H](C(=O)[O-])N(C)Cc2nnc[nH]2)c1 ZINC000832040325 604480348 /nfs/dbraw/zinc/48/03/48/604480348.db2.gz CYEVNNUCRNVDGF-CYBMUJFWSA-N -1 1 306.322 1.080 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1C(=O)Nc1ccc2[nH]nnc2c1 ZINC000826116188 604526098 /nfs/dbraw/zinc/52/60/98/604526098.db2.gz PTRQXWRJYFIFDK-CPCISQLKSA-N -1 1 319.321 1.400 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H](C(=O)Nc2ccc3[nH]nnc3c2)C1 ZINC000832324351 604526923 /nfs/dbraw/zinc/52/69/23/604526923.db2.gz HQEJRVLTLPSCCH-RKDXNWHRSA-N -1 1 303.322 1.723 20 0 DDADMM COc1coc(CN(C2CC2)C2CCN(C(=O)[O-])CC2)cc1=O ZINC000829655482 604571329 /nfs/dbraw/zinc/57/13/29/604571329.db2.gz XJVPKCIHBXMQKF-UHFFFAOYSA-N -1 1 322.361 1.755 20 0 DDADMM Cc1ccc2c(n1)c(=O)c(-c1nn[n-]n1)cn2Cc1ccccc1 ZINC000826295145 607716864 /nfs/dbraw/zinc/71/68/64/607716864.db2.gz SSGVTOKLPDMSFD-UHFFFAOYSA-N -1 1 318.340 1.933 20 0 DDADMM CC(=O)c1cccc(S(=O)(=O)Nc2cncc(C(=O)[O-])c2)c1 ZINC000387819178 604889036 /nfs/dbraw/zinc/88/90/36/604889036.db2.gz UUEONIKTZSFWDT-UHFFFAOYSA-N -1 1 320.326 1.783 20 0 DDADMM CCNC(=O)c1cccc(CN[C@H](C(=O)[O-])c2cccnc2)c1 ZINC000829803906 604992946 /nfs/dbraw/zinc/99/29/46/604992946.db2.gz MNNDTCWOZZTCRD-HNNXBMFYSA-N -1 1 313.357 1.747 20 0 DDADMM CC(C)Oc1cccc([C@@H](C)N[C@@H](C)C(=O)NCC(=O)[O-])c1 ZINC000833507165 605069869 /nfs/dbraw/zinc/06/98/69/605069869.db2.gz XEJYLYUXUBTXKR-NEPJUHHUSA-N -1 1 308.378 1.714 20 0 DDADMM C[C@H](CC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C)c1cccnc1 ZINC000833514142 605099317 /nfs/dbraw/zinc/09/93/17/605099317.db2.gz PAFHOAIRWNLHCB-KGLIPLIRSA-N -1 1 319.405 1.583 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2c1OCO2 ZINC000833622521 605158391 /nfs/dbraw/zinc/15/83/91/605158391.db2.gz BPEMMAJJFNEXNU-NSHDSACASA-N -1 1 320.345 1.036 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000833451931 605262912 /nfs/dbraw/zinc/26/29/12/605262912.db2.gz RNENOKAOSAPKMY-CYBMUJFWSA-N -1 1 312.410 1.058 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)c2ccccc2)CCN1CCC(=O)[O-] ZINC000833617708 605278488 /nfs/dbraw/zinc/27/84/88/605278488.db2.gz GIAAGBJPAHLIEC-CQSZACIVSA-N -1 1 318.417 1.972 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2ccc(CNC(=O)[O-])cc2)n[nH]1 ZINC000830036105 605436200 /nfs/dbraw/zinc/43/62/00/605436200.db2.gz JNGDQUINHCPKGE-SNVBAGLBSA-N -1 1 316.361 1.847 20 0 DDADMM O=C([O-])NC[C@H]1CCCN(Cc2cnc(N3CCCC3)nc2)C1 ZINC000834215427 605554597 /nfs/dbraw/zinc/55/45/97/605554597.db2.gz MRHNTHIYHQXQRC-CYBMUJFWSA-N -1 1 319.409 1.556 20 0 DDADMM CCCNC(=O)CN[C@H](C)c1ccc(CNC(=O)[O-])nc1C ZINC000833855629 605567079 /nfs/dbraw/zinc/56/70/79/605567079.db2.gz JTODYFZEPZGLFI-SNVBAGLBSA-N -1 1 308.382 1.334 20 0 DDADMM O=C([O-])N[C@H]1CCN(Cc2ccc(C(=O)NC3CC3)cc2)C1 ZINC000834188861 605567828 /nfs/dbraw/zinc/56/78/28/605567828.db2.gz DIGJGTNRDRHWNQ-AWEZNQCLSA-N -1 1 303.362 1.421 20 0 DDADMM Cc1nc2n(n1)CCC[C@@H]2N[C@@H](C)[C@H]1CCCCN1C(=O)[O-] ZINC000833914870 605687244 /nfs/dbraw/zinc/68/72/44/605687244.db2.gz GJPLVBXRQSDCNA-WCFLWFBJSA-N -1 1 307.398 1.932 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N(C)C2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000830028584 605699585 /nfs/dbraw/zinc/69/95/85/605699585.db2.gz AIHMNRXHVUOEJR-SNVBAGLBSA-N -1 1 323.397 1.433 20 0 DDADMM CNC(=O)c1cccc(CN(C2CC2)[C@H]2CCN(C(=O)[O-])C2)c1 ZINC000833876964 605773279 /nfs/dbraw/zinc/77/32/79/605773279.db2.gz LBZMFADREVDWTJ-HNNXBMFYSA-N -1 1 317.389 1.763 20 0 DDADMM O=C([O-])NCCN1CC[C@H](Oc2ccc(C(F)(F)F)cn2)C1 ZINC000834240129 605818039 /nfs/dbraw/zinc/81/80/39/605818039.db2.gz RJKTUCUOAZTMDC-JTQLQIEISA-N -1 1 319.283 1.821 20 0 DDADMM O=C([O-])N[C@H](CC(F)F)C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000834131360 606066279 /nfs/dbraw/zinc/06/62/79/606066279.db2.gz UTRNNAYVGNYZBL-SECBINFHSA-N -1 1 316.308 1.407 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CCN(c2cccc(-c3nnn[n-]3)n2)C1 ZINC000819922058 606100773 /nfs/dbraw/zinc/10/07/73/606100773.db2.gz FXRYQCAJBLXIME-SNVBAGLBSA-N -1 1 316.365 1.430 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CCN(c2cccc(-c3nn[n-]n3)n2)C1 ZINC000819922058 606100777 /nfs/dbraw/zinc/10/07/77/606100777.db2.gz FXRYQCAJBLXIME-SNVBAGLBSA-N -1 1 316.365 1.430 20 0 DDADMM COC(=O)/C(C)=C\COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821638921 606139433 /nfs/dbraw/zinc/13/94/33/606139433.db2.gz LFBRBBIYXNZEJR-ALCCZGGFSA-N -1 1 308.319 1.204 20 0 DDADMM COC(=O)/C(C)=C\COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821638921 606139435 /nfs/dbraw/zinc/13/94/35/606139435.db2.gz LFBRBBIYXNZEJR-ALCCZGGFSA-N -1 1 308.319 1.204 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccncc1Cl ZINC000823294563 606294943 /nfs/dbraw/zinc/29/49/43/606294943.db2.gz HBXPPJJVNHNAMY-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM COc1cccc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)c1 ZINC000821868795 606490478 /nfs/dbraw/zinc/49/04/78/606490478.db2.gz VLJVNHBFSWKVII-UHFFFAOYSA-N -1 1 315.358 1.867 20 0 DDADMM COc1cccc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)c1 ZINC000821868795 606490479 /nfs/dbraw/zinc/49/04/79/606490479.db2.gz VLJVNHBFSWKVII-UHFFFAOYSA-N -1 1 315.358 1.867 20 0 DDADMM O=C(CCc1nc2ccccc2[nH]1)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000822986542 606532524 /nfs/dbraw/zinc/53/25/24/606532524.db2.gz HAQPDGRWVNOPTR-UHFFFAOYSA-N -1 1 323.320 1.037 20 0 DDADMM O=C(CCc1nc2ccccc2[nH]1)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000822986542 606532526 /nfs/dbraw/zinc/53/25/26/606532526.db2.gz HAQPDGRWVNOPTR-UHFFFAOYSA-N -1 1 323.320 1.037 20 0 DDADMM O=C(Cc1ccc2c(c1)CCC2)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000823082453 606532918 /nfs/dbraw/zinc/53/29/18/606532918.db2.gz JOUJUXMEWGUKKR-UHFFFAOYSA-N -1 1 309.333 1.260 20 0 DDADMM O=C(Cc1ccc2c(c1)CCC2)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000823082453 606532920 /nfs/dbraw/zinc/53/29/20/606532920.db2.gz JOUJUXMEWGUKKR-UHFFFAOYSA-N -1 1 309.333 1.260 20 0 DDADMM CS[C@H]1CC[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821951338 606576312 /nfs/dbraw/zinc/57/63/12/606576312.db2.gz WZPSEYSVJWFHMT-SFYZADRCSA-N -1 1 309.420 1.942 20 0 DDADMM CS[C@H]1CC[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821951338 606576313 /nfs/dbraw/zinc/57/63/13/606576313.db2.gz WZPSEYSVJWFHMT-SFYZADRCSA-N -1 1 309.420 1.942 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)C1CCSCC1 ZINC000823436215 606652331 /nfs/dbraw/zinc/65/23/31/606652331.db2.gz MGYCHVLXMFABPY-UHFFFAOYSA-N -1 1 305.363 1.448 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)C1CCSCC1 ZINC000823436215 606652332 /nfs/dbraw/zinc/65/23/32/606652332.db2.gz MGYCHVLXMFABPY-UHFFFAOYSA-N -1 1 305.363 1.448 20 0 DDADMM CCCCN(C)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000821061469 606840275 /nfs/dbraw/zinc/84/02/75/606840275.db2.gz OJQCQHBSXFQKFT-UHFFFAOYSA-N -1 1 313.358 1.426 20 0 DDADMM C[C@@]1(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)CCCS1 ZINC000820618611 606954515 /nfs/dbraw/zinc/95/45/15/606954515.db2.gz JVVBNLGOTXBACQ-ZDUSSCGKSA-N -1 1 304.379 1.277 20 0 DDADMM C[C@@]1(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)CCCS1 ZINC000820618611 606954516 /nfs/dbraw/zinc/95/45/16/606954516.db2.gz JVVBNLGOTXBACQ-ZDUSSCGKSA-N -1 1 304.379 1.277 20 0 DDADMM C[C@@H](CCNc1snc(Cl)c1-c1nnn[n-]1)[S@](C)=O ZINC000820241894 607041290 /nfs/dbraw/zinc/04/12/90/607041290.db2.gz JSBUBRYHOYUHBJ-AFAXDDTQSA-N -1 1 320.831 1.546 20 0 DDADMM C[C@@H](CCNc1snc(Cl)c1-c1nn[n-]n1)[S@](C)=O ZINC000820241894 607041291 /nfs/dbraw/zinc/04/12/91/607041291.db2.gz JSBUBRYHOYUHBJ-AFAXDDTQSA-N -1 1 320.831 1.546 20 0 DDADMM c1cc(N[C@@H]2CCO[C@@]3(CCSC3)C2)nc(-c2nnn[n-]2)c1 ZINC000823756966 607176987 /nfs/dbraw/zinc/17/69/87/607176987.db2.gz IBPJGPJWIYAQEE-YGRLFVJLSA-N -1 1 318.406 1.728 20 0 DDADMM c1cc(N[C@@H]2CCO[C@@]3(CCSC3)C2)nc(-c2nn[n-]n2)c1 ZINC000823756966 607176988 /nfs/dbraw/zinc/17/69/88/607176988.db2.gz IBPJGPJWIYAQEE-YGRLFVJLSA-N -1 1 318.406 1.728 20 0 DDADMM CC(=O)Nc1nnc(Sc2cccc(-c3nn[n-]n3)n2)s1 ZINC000824005459 607898523 /nfs/dbraw/zinc/89/85/23/607898523.db2.gz SWPZKHFBIZWSHU-UHFFFAOYSA-N -1 1 320.363 1.223 20 0 DDADMM CC(=O)Nc1nnc(Sc2cccc(-c3nnn[n-]3)n2)s1 ZINC000824005459 607898522 /nfs/dbraw/zinc/89/85/22/607898522.db2.gz SWPZKHFBIZWSHU-UHFFFAOYSA-N -1 1 320.363 1.223 20 0 DDADMM O=C(N[C@H]1CCCC[C@@H]1CO)c1ccc(-c2nnn[n-]2)s1 ZINC000826429337 607993939 /nfs/dbraw/zinc/99/39/39/607993939.db2.gz AFNWCNYGAMCRSY-BDAKNGLRSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(N[C@H]1CCCC[C@@H]1CO)c1ccc(-c2nn[n-]n2)s1 ZINC000826429337 607993940 /nfs/dbraw/zinc/99/39/40/607993940.db2.gz AFNWCNYGAMCRSY-BDAKNGLRSA-N -1 1 307.379 1.209 20 0 DDADMM Cc1cncc(C=CC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c1 ZINC000826319342 607999611 /nfs/dbraw/zinc/99/96/11/607999611.db2.gz YMUXLDIDDHYHNB-DJWKRKHSSA-N -1 1 322.328 1.928 20 0 DDADMM CCc1nn(CC(=O)[C@@H](C)CC)c(=O)c(-c2nn[n-]n2)c1CC ZINC000825763498 608022828 /nfs/dbraw/zinc/02/28/28/608022828.db2.gz XHRCUVHTNVHHAN-VIFPVBQESA-N -1 1 318.381 1.163 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])C1SCCS1 ZINC000826451195 608075904 /nfs/dbraw/zinc/07/59/04/608075904.db2.gz VERMTLRZGHJWMS-UHFFFAOYSA-N -1 1 309.376 1.317 20 0 DDADMM C[C@H](C(=O)n1ncc(-c2nn[n-]n2)c1N)c1c(F)cccc1F ZINC000824051663 608119400 /nfs/dbraw/zinc/11/94/00/608119400.db2.gz BPCJAYSYAGSBFV-LURJTMIESA-N -1 1 319.275 1.368 20 0 DDADMM CC(C)(C)OC1CC(OC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000824119583 608146053 /nfs/dbraw/zinc/14/60/53/608146053.db2.gz LDZNAUMFAUQWFF-UHFFFAOYSA-N -1 1 317.349 1.765 20 0 DDADMM CC(C)(C)OC1CC(OC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000824119583 608146054 /nfs/dbraw/zinc/14/60/54/608146054.db2.gz LDZNAUMFAUQWFF-UHFFFAOYSA-N -1 1 317.349 1.765 20 0 DDADMM CCCCN(CC(N)=O)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825282519 608191684 /nfs/dbraw/zinc/19/16/84/608191684.db2.gz VUUMOFLEAWTVKW-UHFFFAOYSA-N -1 1 315.790 1.068 20 0 DDADMM CCCCN(CC(N)=O)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825282519 608191685 /nfs/dbraw/zinc/19/16/85/608191685.db2.gz VUUMOFLEAWTVKW-UHFFFAOYSA-N -1 1 315.790 1.068 20 0 DDADMM CN(Cc1nccn1C)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000825998934 608391698 /nfs/dbraw/zinc/39/16/98/608391698.db2.gz FZGLGDBVPALCDD-UHFFFAOYSA-N -1 1 321.348 1.180 20 0 DDADMM CN(Cc1nccn1C)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000825998934 608391700 /nfs/dbraw/zinc/39/17/00/608391700.db2.gz FZGLGDBVPALCDD-UHFFFAOYSA-N -1 1 321.348 1.180 20 0 DDADMM O[C@H](CNc1ccc(-c2nnn[n-]2)nn1)c1ccccc1Cl ZINC000826502718 608423576 /nfs/dbraw/zinc/42/35/76/608423576.db2.gz JGLZGMRRXLAUIN-LLVKDONJSA-N -1 1 317.740 1.456 20 0 DDADMM O[C@H](CNc1ccc(-c2nn[n-]n2)nn1)c1ccccc1Cl ZINC000826502718 608423579 /nfs/dbraw/zinc/42/35/79/608423579.db2.gz JGLZGMRRXLAUIN-LLVKDONJSA-N -1 1 317.740 1.456 20 0 DDADMM Cc1cnc(CCN(C)c2ccc(-c3nnn[n-]3)nn2)s1 ZINC000826318519 608437360 /nfs/dbraw/zinc/43/73/60/608437360.db2.gz MOLJCXFYROBBOQ-UHFFFAOYSA-N -1 1 302.367 1.101 20 0 DDADMM Cc1cnc(CCN(C)c2ccc(-c3nn[n-]n3)nn2)s1 ZINC000826318519 608437362 /nfs/dbraw/zinc/43/73/62/608437362.db2.gz MOLJCXFYROBBOQ-UHFFFAOYSA-N -1 1 302.367 1.101 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(NC[C@@H]2COC3(CCCCC3)O2)n1 ZINC000826524682 608586133 /nfs/dbraw/zinc/58/61/33/608586133.db2.gz FIAWTEGILIAZGA-SNVBAGLBSA-N -1 1 317.353 1.144 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(NC[C@@H]2COC3(CCCCC3)O2)n1 ZINC000826524682 608586135 /nfs/dbraw/zinc/58/61/35/608586135.db2.gz FIAWTEGILIAZGA-SNVBAGLBSA-N -1 1 317.353 1.144 20 0 DDADMM O=C(OCCC1OCCCO1)c1ccc(-c2nnn[n-]2)s1 ZINC000826463041 609241318 /nfs/dbraw/zinc/24/13/18/609241318.db2.gz QJHIKRUFLISRDV-UHFFFAOYSA-N -1 1 310.335 1.238 20 0 DDADMM O=C(OCCC1OCCCO1)c1ccc(-c2nn[n-]n2)s1 ZINC000826463041 609241319 /nfs/dbraw/zinc/24/13/19/609241319.db2.gz QJHIKRUFLISRDV-UHFFFAOYSA-N -1 1 310.335 1.238 20 0 DDADMM CC(Cl)(Cl)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000824465184 609260595 /nfs/dbraw/zinc/26/05/95/609260595.db2.gz MBLLDQSLFQBEQS-UHFFFAOYSA-N -1 1 302.121 1.705 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)c1ccoc1 ZINC000972966032 695382262 /nfs/dbraw/zinc/38/22/62/695382262.db2.gz DMKIMLXGYXTHAI-XYPYZODXSA-N -1 1 301.302 1.071 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973177416 695439792 /nfs/dbraw/zinc/43/97/92/695439792.db2.gz KSNPDNBKVCQFDV-GMTAPVOTSA-N -1 1 303.362 1.210 20 0 DDADMM CC(=O)N[C@H]1C[C@@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000973768062 695528766 /nfs/dbraw/zinc/52/87/66/695528766.db2.gz XTCJLVPYHVXJPP-PHIMTYICSA-N -1 1 306.366 1.011 20 0 DDADMM C[C@H]1Oc2ccccc2O[C@H]1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000798234260 700051568 /nfs/dbraw/zinc/05/15/68/700051568.db2.gz MLIHNQKISLFOMF-MEBBXXQBSA-N -1 1 324.340 1.509 20 0 DDADMM CC(Nc1cccc2c1CCCC2)=C1C(=O)[N-]C(=S)NC1=O ZINC000009779940 696037870 /nfs/dbraw/zinc/03/78/70/696037870.db2.gz XXGZGOYZPHXJTH-UHFFFAOYSA-N -1 1 315.398 1.782 20 0 DDADMM CONC(=O)c1cccc(S(=O)(=O)[N-]c2ccc(F)cc2)c1 ZINC000017821909 696066127 /nfs/dbraw/zinc/06/61/27/696066127.db2.gz HHYYJXLVIPIGMC-UHFFFAOYSA-N -1 1 324.333 1.918 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCc1cccnc1 ZINC000747353091 700065745 /nfs/dbraw/zinc/06/57/45/700065745.db2.gz NSJRFLSCZCGLRE-UHFFFAOYSA-N -1 1 300.362 1.982 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCO[C@@H]2CCCC[C@@H]2C)n1 ZINC000046831025 696191575 /nfs/dbraw/zinc/19/15/75/696191575.db2.gz RLGJYCIVDSEJPG-NWDGAFQWSA-N -1 1 323.397 1.707 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)C=Cc2ccc(C)cc2)n1 ZINC000055585243 696284856 /nfs/dbraw/zinc/28/48/56/696284856.db2.gz BIGCTYGSYPSHOW-NTMALXAHSA-N -1 1 320.374 1.711 20 0 DDADMM O=C(N[N-]C(=O)c1cc(-c2ccccc2Cl)[nH]n1)NC1CC1 ZINC000064429347 696339674 /nfs/dbraw/zinc/33/96/74/696339674.db2.gz ZILCJFDVZMZDQE-UHFFFAOYSA-N -1 1 319.752 1.837 20 0 DDADMM O=C(COC(=O)CCCn1cccn1)[N-]C(=O)c1ccccc1 ZINC000065046234 696343354 /nfs/dbraw/zinc/34/33/54/696343354.db2.gz KFEJYFWRSCSOPR-UHFFFAOYSA-N -1 1 315.329 1.163 20 0 DDADMM CC(C)Nc1ccc(F)cc1NC(=O)Cc1sc(N)nc1[O-] ZINC000074254690 696412095 /nfs/dbraw/zinc/41/20/95/696412095.db2.gz JHPMZEGLLURPHM-NSHDSACASA-N -1 1 324.381 1.931 20 0 DDADMM Cn1nc(CC(C)(C)C)cc1NC(=O)Cc1sc(N)nc1[O-] ZINC000080127747 696530935 /nfs/dbraw/zinc/53/09/35/696530935.db2.gz BAPDRQDRIINJET-SECBINFHSA-N -1 1 323.422 1.294 20 0 DDADMM CN(CCc1ccccc1F)C(=O)Cc1sc(N)nc1[O-] ZINC000080180612 696531257 /nfs/dbraw/zinc/53/12/57/696531257.db2.gz NVYFZUWIVCWMGA-LLVKDONJSA-N -1 1 309.366 1.173 20 0 DDADMM CC[C@@H]1c2ccccc2CCN1C(=O)Cc1sc(N)nc1[O-] ZINC000080293398 696532564 /nfs/dbraw/zinc/53/25/64/696532564.db2.gz IWNIBFWVSHGSDV-OLZOCXBDSA-N -1 1 317.414 1.869 20 0 DDADMM CC[C@@H](C)[C@](C)(O)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000081944307 696548886 /nfs/dbraw/zinc/54/88/86/696548886.db2.gz SWBDBJOPXPGGHF-QLJPJBMISA-N -1 1 309.410 1.729 20 0 DDADMM Cc1ccc(S(=O)(=O)CCC[N-]C(=O)C(F)(F)F)cc1 ZINC000091560147 696589485 /nfs/dbraw/zinc/58/94/85/696589485.db2.gz LLQHIIDDZFEZSN-UHFFFAOYSA-N -1 1 309.309 1.837 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)c1ccc(Cl)cn1)N(C)C ZINC000798828589 700099863 /nfs/dbraw/zinc/09/98/63/700099863.db2.gz UZOLXAQEESGDJG-LLVKDONJSA-N -1 1 319.814 1.126 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000117928028 696671876 /nfs/dbraw/zinc/67/18/76/696671876.db2.gz IGBFWCARXZFPLS-UHFFFAOYSA-N -1 1 307.318 1.586 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C=Cc1ccco1 ZINC000119297365 696678981 /nfs/dbraw/zinc/67/89/81/696678981.db2.gz AHHAZRBZCDFFBC-SNAWJCMRSA-N -1 1 314.301 1.118 20 0 DDADMM Cc1nonc1[N-]C(=O)c1cc([N+](=O)[O-])cc([N+](=O)[O-])c1C ZINC000120857795 696702342 /nfs/dbraw/zinc/70/23/42/696702342.db2.gz UPQTZUKQGLHFSH-UHFFFAOYSA-N -1 1 307.222 1.755 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC[C@H]2CCCc3ccccc32)s1 ZINC000120880065 696703064 /nfs/dbraw/zinc/70/30/64/696703064.db2.gz HSLMSDZVOAFGLD-YPMHNXCESA-N -1 1 317.414 1.570 20 0 DDADMM CC1(C)C[C@@H](NC(=O)Cc2sc(N)nc2[O-])c2ccccc21 ZINC000124077924 696738788 /nfs/dbraw/zinc/73/87/88/696738788.db2.gz VCMKEDJXIBJIEW-VXGBXAGGSA-N -1 1 317.414 1.872 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)[C@H](C)CSc2ccccc2)n[nH]1 ZINC000153297687 696913229 /nfs/dbraw/zinc/91/32/29/696913229.db2.gz ORIBMXSALXUWFK-SNVBAGLBSA-N -1 1 318.402 1.908 20 0 DDADMM Cc1cc(CN2CCCN(C(=O)c3ncccc3[O-])CC2)no1 ZINC000981026859 696982843 /nfs/dbraw/zinc/98/28/43/696982843.db2.gz NTJLNCVDFPTKCI-UHFFFAOYSA-N -1 1 316.361 1.432 20 0 DDADMM Cc1nocc1CN1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000981027478 696983450 /nfs/dbraw/zinc/98/34/50/696983450.db2.gz XRTBDLUGAQYEPS-UHFFFAOYSA-N -1 1 316.361 1.432 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)[C@@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000983364668 697246139 /nfs/dbraw/zinc/24/61/39/697246139.db2.gz OLBHJYRACXDTLK-NEPJUHHUSA-N -1 1 315.373 1.166 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H](NC(=O)C2CC2)C1)c1ncccc1[O-] ZINC000983407869 697257409 /nfs/dbraw/zinc/25/74/09/697257409.db2.gz QRMWYYCFFAHJAM-VXGBXAGGSA-N -1 1 303.362 1.354 20 0 DDADMM CCOC(=O)[C@H](C)[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC000161118762 697321661 /nfs/dbraw/zinc/32/16/61/697321661.db2.gz PEEFXEUXLOOCPU-QMMMGPOBSA-N -1 1 307.318 1.336 20 0 DDADMM Cn1cc(NC(=O)N[N-]C(=O)c2ccc(Cl)cc2F)cn1 ZINC000183224187 697485199 /nfs/dbraw/zinc/48/51/99/697485199.db2.gz JLPGUNDUWUTSOB-UHFFFAOYSA-N -1 1 311.704 1.679 20 0 DDADMM CCC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]2C1 ZINC000985582540 697535048 /nfs/dbraw/zinc/53/50/48/697535048.db2.gz XPWSJJPHDDEYES-NEPJUHHUSA-N -1 1 303.362 1.118 20 0 DDADMM O=C(NCc1ccc([N+](=O)[O-])cc1)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000186985235 697539960 /nfs/dbraw/zinc/53/99/60/697539960.db2.gz NSHSUOWISQADGW-UHFFFAOYSA-N -1 1 318.245 1.534 20 0 DDADMM CC(C)(O)C(=O)N[N-]C(=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC000190051362 697583356 /nfs/dbraw/zinc/58/33/56/697583356.db2.gz PUJCDEJYJHQDLH-UHFFFAOYSA-N -1 1 322.752 1.262 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1ccc(-n2cnnn2)cc1 ZINC000191976646 697617900 /nfs/dbraw/zinc/61/79/00/697617900.db2.gz GMXUHSWKNSDDIC-UHFFFAOYSA-N -1 1 316.708 1.669 20 0 DDADMM C[C@@H]1[C@@H](NCc2csnn2)CCN1C(=O)c1ncccc1[O-] ZINC000986168406 697715982 /nfs/dbraw/zinc/71/59/82/697715982.db2.gz HIPBIOPFHBJQNU-KOLCDFICSA-N -1 1 319.390 1.032 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1cccs1 ZINC000773147270 697718690 /nfs/dbraw/zinc/71/86/90/697718690.db2.gz ATIHCHQHBYZRQC-IUCAKERBSA-N -1 1 309.420 1.681 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NN1CCCC1 ZINC000773388638 697753628 /nfs/dbraw/zinc/75/36/28/697753628.db2.gz JRVILACYQWOUCS-UHFFFAOYSA-N -1 1 313.361 1.222 20 0 DDADMM O=C(OCCn1nc2ccccn2c1=O)c1ccc([O-])cc1F ZINC000773571834 697782763 /nfs/dbraw/zinc/78/27/63/697782763.db2.gz UAQWNAUVJCPQNL-UHFFFAOYSA-N -1 1 317.276 1.198 20 0 DDADMM CCCS(=O)(=O)CCN1CCC[C@@H](C(C)(C)C(=O)[O-])C1 ZINC000233261822 697789507 /nfs/dbraw/zinc/78/95/07/697789507.db2.gz FTQXZUBDZPRWJW-GFCCVEGCSA-N -1 1 305.440 1.634 20 0 DDADMM Cc1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c(O)c1 ZINC000774875104 697936668 /nfs/dbraw/zinc/93/66/68/697936668.db2.gz HNYGLSBPPWCTDZ-UHFFFAOYSA-N -1 1 305.252 1.926 20 0 DDADMM O=C([N-]OC1CCCC1)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000775216305 697972356 /nfs/dbraw/zinc/97/23/56/697972356.db2.gz HGBAYQQZMOVGEA-UHFFFAOYSA-N -1 1 315.333 1.196 20 0 DDADMM O=C(NOC1CCOCC1)c1cc(Br)ccc1[O-] ZINC000775239819 697973808 /nfs/dbraw/zinc/97/38/08/697973808.db2.gz ANENHRLZWFLMTJ-UHFFFAOYSA-N -1 1 316.151 1.995 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCOCC(C)C ZINC000800161208 700196032 /nfs/dbraw/zinc/19/60/32/700196032.db2.gz FZNQFGQUSWMORY-UHFFFAOYSA-N -1 1 321.377 1.810 20 0 DDADMM O=C(NCCc1nnc2n1CCCC2)c1c([O-])cccc1Cl ZINC000776205568 698080742 /nfs/dbraw/zinc/08/07/42/698080742.db2.gz NFWZGXLYVJRVMJ-UHFFFAOYSA-N -1 1 320.780 1.946 20 0 DDADMM O=C(c1cc2ccccn2c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776566017 698117719 /nfs/dbraw/zinc/11/77/19/698117719.db2.gz VHZGXDMBRYSMBE-GFCCVEGCSA-N -1 1 314.374 1.383 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CCC=CCC1 ZINC000987543468 698145352 /nfs/dbraw/zinc/14/53/52/698145352.db2.gz LSQVBIVJMHWWEF-WCQYABFASA-N -1 1 319.409 1.336 20 0 DDADMM CCCc1nc(C)c(NC(=O)CCn2cc[n-]c(=O)c2=O)s1 ZINC000777219398 698174556 /nfs/dbraw/zinc/17/45/56/698174556.db2.gz FZXHCXONZHOXMR-UHFFFAOYSA-N -1 1 322.390 1.283 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1=CCCC1 ZINC000987671237 698192662 /nfs/dbraw/zinc/19/26/62/698192662.db2.gz IJFWJNCRLCYJSI-YPMHNXCESA-N -1 1 315.373 1.617 20 0 DDADMM CC(=O)NCCN(Cc1ccc(Cl)cc1F)[C@H](C)C(=O)[O-] ZINC000263409945 698505699 /nfs/dbraw/zinc/50/56/99/698505699.db2.gz LBKFGNFOQOLNNQ-SECBINFHSA-N -1 1 316.760 1.890 20 0 DDADMM O=C([O-])[C@@H]1CCCN1C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000780344483 698509420 /nfs/dbraw/zinc/50/94/20/698509420.db2.gz KRXQFBOJYHQVKS-QWHCGFSZSA-N -1 1 321.377 1.675 20 0 DDADMM COCCn1cc2c(n1)[C@H]([N-]C(=O)C(F)(F)C(F)F)CCC2 ZINC000781057895 698586622 /nfs/dbraw/zinc/58/66/22/698586622.db2.gz KAENYHGPAWMDKV-SECBINFHSA-N -1 1 323.290 1.924 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2CC3CCC2CC3)c1Cl ZINC000312057327 698675942 /nfs/dbraw/zinc/67/59/42/698675942.db2.gz AXOFAGARQVUOSB-RTBKNWGFSA-N -1 1 303.815 1.931 20 0 DDADMM CC[C@H](CNS(=O)(=O)c1c(F)cccc1[N+](=O)[O-])C(=O)[O-] ZINC000314169944 698687338 /nfs/dbraw/zinc/68/73/38/698687338.db2.gz KHOBIZKVTUICGS-SSDOTTSWSA-N -1 1 320.298 1.123 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC([C@H](C)O)CC1 ZINC000318566107 698705527 /nfs/dbraw/zinc/70/55/27/698705527.db2.gz PYEUANUSWYGGBA-NSHDSACASA-N -1 1 307.394 1.847 20 0 DDADMM COc1cc(C(=O)NCC2(C)OCCO2)cc(Cl)c1[O-] ZINC000785420239 699075596 /nfs/dbraw/zinc/07/55/96/699075596.db2.gz XMQKGVCCIAKTBA-UHFFFAOYSA-N -1 1 301.726 1.547 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(Cl)nc2sccn21)C(F)F ZINC000390195169 699092523 /nfs/dbraw/zinc/09/25/23/699092523.db2.gz PQCJJQLQCWKFGA-BYPYZUCNSA-N -1 1 315.754 1.981 20 0 DDADMM COc1cc(COC(=O)c2cc(=O)[n-]c(N(C)C)n2)ccn1 ZINC000786494560 699150532 /nfs/dbraw/zinc/15/05/32/699150532.db2.gz UUFVLDINSSRSSW-UHFFFAOYSA-N -1 1 304.306 1.009 20 0 DDADMM C[C@@H]1CCCC[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969187 699195413 /nfs/dbraw/zinc/19/54/13/699195413.db2.gz BFUWIKDLSJBJNR-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(CC(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000990969224 699195623 /nfs/dbraw/zinc/19/56/23/699195623.db2.gz LARQNQFUBHAHIS-UHFFFAOYSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CSC[C@@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703133459 699235087 /nfs/dbraw/zinc/23/50/87/699235087.db2.gz YEQDGAJDKZUOAV-RQJHMYQMSA-N -1 1 310.803 1.904 20 0 DDADMM CC[C@@H](C)C(=O)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991654759 699372524 /nfs/dbraw/zinc/37/25/24/699372524.db2.gz RYFIRDFDKCYVQD-SECBINFHSA-N -1 1 318.377 1.046 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCC2(C)COC2)c(=O)[n-]1 ZINC000727048711 699396284 /nfs/dbraw/zinc/39/62/84/699396284.db2.gz IEECPMWVLRJFNA-UHFFFAOYSA-N -1 1 312.391 1.725 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)OC/C=C\Cl ZINC000729708775 699485909 /nfs/dbraw/zinc/48/59/09/699485909.db2.gz BEYGNVLTWAIXFS-RQOWECAXSA-N -1 1 320.736 1.786 20 0 DDADMM CCOC(=O)[C@@H](C)OC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000731447990 699528978 /nfs/dbraw/zinc/52/89/78/699528978.db2.gz SIOSZFQEEHPOCR-SNVBAGLBSA-N -1 1 321.333 1.089 20 0 DDADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)c1cccc(C)c1 ZINC000733133643 699579945 /nfs/dbraw/zinc/57/99/45/699579945.db2.gz WHQFFWNKFSPQOA-UHFFFAOYSA-N -1 1 301.346 1.288 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)OCC(F)F ZINC000733482388 699599427 /nfs/dbraw/zinc/59/94/27/699599427.db2.gz QZPSLZYVAOHDDR-UHFFFAOYSA-N -1 1 310.260 1.298 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2ncoc2C)cc1 ZINC000733526686 699603432 /nfs/dbraw/zinc/60/34/32/699603432.db2.gz UJXYEUZUZQBTFI-UHFFFAOYSA-N -1 1 318.285 1.105 20 0 DDADMM O=C(CCCn1ccccc1=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000735040815 699673654 /nfs/dbraw/zinc/67/36/54/699673654.db2.gz VYJQCVWPPHIGIE-UHFFFAOYSA-N -1 1 315.255 1.404 20 0 DDADMM COCCCCCOC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000735139895 699683670 /nfs/dbraw/zinc/68/36/70/699683670.db2.gz UVSUMPVSRICQSL-UHFFFAOYSA-N -1 1 321.377 1.954 20 0 DDADMM O=C(c1cc2cccc(F)c2o1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000738249231 699755882 /nfs/dbraw/zinc/75/58/82/699755882.db2.gz UFBZDBGFKBCNJR-NSHDSACASA-N -1 1 317.280 1.299 20 0 DDADMM O=C(c1cccc(-n2cccn2)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000738270679 699756299 /nfs/dbraw/zinc/75/62/99/699756299.db2.gz SRZVDHQGTCKSCA-UHFFFAOYSA-N -1 1 323.360 1.405 20 0 DDADMM O=C(Nc1ccc2nc(-c3ccccn3)[nH]c2c1)c1cc(=O)[nH][n-]1 ZINC000738539041 699762067 /nfs/dbraw/zinc/76/20/67/699762067.db2.gz VBWPSPAKAIKNBL-UHFFFAOYSA-N -1 1 320.312 1.439 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(Cn2cnc3cc(F)c(F)cc32)C1 ZINC000740646591 699796847 /nfs/dbraw/zinc/79/68/47/699796847.db2.gz NNSBYCHZOATCRV-VIFPVBQESA-N -1 1 310.304 1.862 20 0 DDADMM CC(C)(C)c1cc([N-]S(=O)(=O)C[C@@H]2CCCOC2)n[nH]1 ZINC000795333040 699859352 /nfs/dbraw/zinc/85/93/52/699859352.db2.gz XVELKTAGNZLDKS-SNVBAGLBSA-N -1 1 301.412 1.876 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CC[C@H]2CCC[C@H]2C1 ZINC000742120188 699861169 /nfs/dbraw/zinc/86/11/69/699861169.db2.gz OGNQMONZRJJNIK-FRRDWIJNSA-N -1 1 305.378 1.012 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@H]2CC3(CCC3)C(=O)O2)[n-]1 ZINC000796344674 699928099 /nfs/dbraw/zinc/92/80/99/699928099.db2.gz JTFVQMLTVNJYRJ-SECBINFHSA-N -1 1 307.302 1.444 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)Nc2ccc(O)cc2)[n-]1 ZINC000796347836 699928467 /nfs/dbraw/zinc/92/84/67/699928467.db2.gz XKCPLPJJXDIXSK-UHFFFAOYSA-N -1 1 318.285 1.302 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N2CCC[C@@H](C)C2)[n-]1 ZINC000796361485 699929160 /nfs/dbraw/zinc/92/91/60/699929160.db2.gz IFALSMBZTYFTKP-SNVBAGLBSA-N -1 1 308.334 1.217 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)Cc2cnn(C)c2)c1 ZINC000744913960 699965535 /nfs/dbraw/zinc/96/55/35/699965535.db2.gz GMSJAEQVYCQJSX-UHFFFAOYSA-N -1 1 302.330 1.657 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](CCO)C2CC2)c(F)c1 ZINC000751441192 700288776 /nfs/dbraw/zinc/28/87/76/700288776.db2.gz BLZWPYMLTSEUDJ-GFCCVEGCSA-N -1 1 321.345 1.413 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C2CCC(C)(O)CC2)o1 ZINC000751477970 700293443 /nfs/dbraw/zinc/29/34/43/700293443.db2.gz DGWYYHPAHUTOST-UHFFFAOYSA-N -1 1 317.363 1.038 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OCC3(C)COC3)n2)cc1 ZINC000801363559 700300941 /nfs/dbraw/zinc/30/09/41/700300941.db2.gz ZBQSJLUEHYBJAQ-UHFFFAOYSA-N -1 1 318.329 1.780 20 0 DDADMM Cc1cc(C)nc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000801445876 700310102 /nfs/dbraw/zinc/31/01/02/700310102.db2.gz RCNRDUUMEJYLOA-UHFFFAOYSA-N -1 1 304.268 1.924 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1c(C)nc(C(C)C)[n-]c1=O)C1CC1 ZINC000753768201 700456063 /nfs/dbraw/zinc/45/60/63/700456063.db2.gz YXMHVZDMOUHETB-LLVKDONJSA-N -1 1 321.377 1.686 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cccc(F)n2)c1 ZINC000754025997 700476988 /nfs/dbraw/zinc/47/69/88/700476988.db2.gz YQVVHKJKICNBPR-UHFFFAOYSA-N -1 1 314.294 1.079 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@H]2[C@@H](O)c2ccccc2)nc1=O ZINC000754058141 700479411 /nfs/dbraw/zinc/47/94/11/700479411.db2.gz QESUHCUFDKIEMS-ZFWWWQNUSA-N -1 1 302.378 1.197 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)c1c(F)cccc1F)C(=O)N(C)C ZINC000756995714 700651831 /nfs/dbraw/zinc/65/18/31/700651831.db2.gz PBHQJNAPNXBOKF-ZETCQYMHSA-N -1 1 306.259 1.650 20 0 DDADMM O=C([N-]C[C@H](O)COc1cccc(F)c1)C(F)(F)C(F)F ZINC000762297679 700888397 /nfs/dbraw/zinc/88/83/97/700888397.db2.gz KJIPKOQHIJVZJP-QMMMGPOBSA-N -1 1 313.222 1.582 20 0 DDADMM C[C@@H]1CCS(=O)(=O)CCN1C(=O)c1c([O-])cccc1Cl ZINC000762506220 700895876 /nfs/dbraw/zinc/89/58/76/700895876.db2.gz BOCJACKTMZWLRZ-SECBINFHSA-N -1 1 317.794 1.695 20 0 DDADMM Cc1ccc([C@H](O)CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)o1 ZINC000762517926 700896479 /nfs/dbraw/zinc/89/64/79/700896479.db2.gz UNFNHZIBRQJYDI-LLVKDONJSA-N -1 1 319.361 1.979 20 0 DDADMM Cc1nc(CCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cs1 ZINC000765109191 700999580 /nfs/dbraw/zinc/99/95/80/700999580.db2.gz JJAKNPYFWSGJCR-JTQLQIEISA-N -1 1 306.395 1.303 20 0 DDADMM COC[C@H](C)COC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000769332034 701241628 /nfs/dbraw/zinc/24/16/28/701241628.db2.gz PIXSASXXIJBSMF-VIFPVBQESA-N -1 1 314.407 1.971 20 0 DDADMM Cn1[n-]c(COC(=O)C2CCC(C(F)(F)F)CC2)nc1=O ZINC000769439432 701244855 /nfs/dbraw/zinc/24/48/55/701244855.db2.gz HUMKQUXUILWCBT-UHFFFAOYSA-N -1 1 307.272 1.520 20 0 DDADMM C[C@H](CS(C)(=O)=O)N(C)C(=O)c1c([O-])cccc1Cl ZINC000769826165 701258321 /nfs/dbraw/zinc/25/83/21/701258321.db2.gz MDQABUVFFCJEHU-MRVPVSSYSA-N -1 1 305.783 1.551 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]2OCC[C@@H]21)c1c[nH]nc1Cl ZINC000830868422 706594652 /nfs/dbraw/zinc/59/46/52/706594652.db2.gz CIRDRVFREZDINY-IWSPIJDZSA-N -1 1 305.787 1.299 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3CCC[C@@H](O)C3)ccnc1-2 ZINC000806488238 701437559 /nfs/dbraw/zinc/43/75/59/701437559.db2.gz KBQQIQYQBAQCNR-GHMZBOCLSA-N -1 1 303.366 1.014 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1(C)C ZINC000806491968 701437802 /nfs/dbraw/zinc/43/78/02/701437802.db2.gz ZAUZRWFGIRHDQQ-RYUDHWBXSA-N -1 1 303.366 1.277 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@H](OC(F)F)C3)ccnc1-2 ZINC000806494555 701437984 /nfs/dbraw/zinc/43/79/84/701437984.db2.gz IFOFRQFSDOCOBT-QMMMGPOBSA-N -1 1 311.292 1.187 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCCCn3ccnc3)ccnc1-2 ZINC000806493532 701438022 /nfs/dbraw/zinc/43/80/22/701438022.db2.gz XEAKVEPWLYAPCG-UHFFFAOYSA-N -1 1 313.365 1.140 20 0 DDADMM O=c1cc(/C=C/c2c(Cl)nc3sccn23)nc2nc[n-]n21 ZINC000806571003 701441620 /nfs/dbraw/zinc/44/16/20/701441620.db2.gz OTJOAOYOASGFJA-OWOJBTEDSA-N -1 1 318.749 1.951 20 0 DDADMM CCOC(=O)[C@@H](CC=C(C)C)[N-]S(=O)(=O)Cc1ccon1 ZINC000867761234 701735451 /nfs/dbraw/zinc/73/54/51/701735451.db2.gz YUXRLEUORYQZSN-GFCCVEGCSA-N -1 1 316.379 1.382 20 0 DDADMM CC=C(C=CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)CC ZINC000830960169 706611447 /nfs/dbraw/zinc/61/14/47/706611447.db2.gz XHEUAUBIZPUXTM-BCTKWJPASA-N -1 1 303.362 1.784 20 0 DDADMM O=C([N-]OCCC1CC1)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000815792084 701933520 /nfs/dbraw/zinc/93/35/20/701933520.db2.gz NJIRWYZQNLNTJK-UHFFFAOYSA-N -1 1 315.333 1.054 20 0 DDADMM Cn1nncc1COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000811201136 701934533 /nfs/dbraw/zinc/93/45/33/701934533.db2.gz WWVXKPHEZULTLT-UHFFFAOYSA-N -1 1 317.280 1.203 20 0 DDADMM O=c1[nH]c2cccc([N-]S(=O)(=O)C[C@@H]3CCCOC3)c2[nH]1 ZINC000811717016 702032031 /nfs/dbraw/zinc/03/20/31/702032031.db2.gz VTGWOEYTDBJBEK-SECBINFHSA-N -1 1 311.363 1.437 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)O[C@H]2CNOC2)n1 ZINC000816572472 702115831 /nfs/dbraw/zinc/11/58/31/702115831.db2.gz QKGLADUINMJNHK-NSHDSACASA-N -1 1 303.318 1.201 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1CCN(C(=O)OCC(C)C)CC1 ZINC000816740787 702173641 /nfs/dbraw/zinc/17/36/41/702173641.db2.gz NTMVNFFBDHDRDW-UHFFFAOYSA-N -1 1 324.381 1.867 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@@H](CC)OC ZINC000817135101 702283938 /nfs/dbraw/zinc/28/39/38/702283938.db2.gz IKGXEPTUXFAMAT-VXGBXAGGSA-N -1 1 307.412 1.063 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NCC2CC=CC2)C1 ZINC000868802681 702326316 /nfs/dbraw/zinc/32/63/16/702326316.db2.gz FNUAXOTZELJJMU-CYBMUJFWSA-N -1 1 319.327 1.805 20 0 DDADMM CC(C)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[N-]OCCC1CC1 ZINC000817408188 702371899 /nfs/dbraw/zinc/37/18/99/702371899.db2.gz NMNVBRYMOCTGEI-AWEZNQCLSA-N -1 1 322.409 1.331 20 0 DDADMM CC[C@@H]1C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000817643033 702432773 /nfs/dbraw/zinc/43/27/73/702432773.db2.gz HDIHFXHWPMNCHC-GHMZBOCLSA-N -1 1 306.391 1.705 20 0 DDADMM CO[C@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)CC(C)C ZINC000841446449 702452384 /nfs/dbraw/zinc/45/23/84/702452384.db2.gz TZNNSCDSBBWSJD-NSHDSACASA-N -1 1 308.407 1.809 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(C)(C)COC)co1 ZINC000813996989 702457091 /nfs/dbraw/zinc/45/70/91/702457091.db2.gz GWZKMXGQNJISSL-UHFFFAOYSA-N -1 1 305.352 1.017 20 0 DDADMM CC[C@]1(O)CCCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000879415970 706673909 /nfs/dbraw/zinc/67/39/09/706673909.db2.gz JTUUYIYAVWDDAX-HNNXBMFYSA-N -1 1 303.366 1.111 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC(CCF)CC3)ccnc1-2 ZINC000879415757 706674288 /nfs/dbraw/zinc/67/42/88/706674288.db2.gz BSLXOOQOLMOSOG-UHFFFAOYSA-N -1 1 305.357 1.945 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2C[C@H]2c2ccco2)c1Cl ZINC000841570600 702501835 /nfs/dbraw/zinc/50/18/35/702501835.db2.gz XAMDYBLFNLGHFW-HTQZYQBOSA-N -1 1 301.755 1.501 20 0 DDADMM O=C(OCCC[N-]C(=O)C(F)(F)F)C1SCCCS1 ZINC000842122647 702674180 /nfs/dbraw/zinc/67/41/80/702674180.db2.gz GKCPJPMLFKHQIL-UHFFFAOYSA-N -1 1 317.354 1.794 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C(C)(C)C2CC2)CCC1 ZINC000843013760 702803417 /nfs/dbraw/zinc/80/34/17/702803417.db2.gz JWSATJUTAKKHFO-UHFFFAOYSA-N -1 1 302.440 1.353 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(OC)c(F)c1 ZINC000866471578 706707539 /nfs/dbraw/zinc/70/75/39/706707539.db2.gz UANQDPYVQNDRIJ-IBGZPJMESA-N -1 1 324.399 1.286 20 0 DDADMM CC[C@H](CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O)C(=O)OC ZINC000844022889 702957377 /nfs/dbraw/zinc/95/73/77/702957377.db2.gz FDWHJKHIHALJTG-SNVBAGLBSA-N -1 1 309.366 1.543 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)CC12CCC(CC1)CC2 ZINC000845977144 703222465 /nfs/dbraw/zinc/22/24/65/703222465.db2.gz UKQVXCXJRHUPOL-MBHSVXNSSA-N -1 1 321.414 1.777 20 0 DDADMM CCCS(=O)(=O)CCN(C)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000846273424 703259463 /nfs/dbraw/zinc/25/94/63/703259463.db2.gz YXBWDROWMQRXMR-CQSZACIVSA-N -1 1 313.419 1.439 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847088595 703376394 /nfs/dbraw/zinc/37/63/94/703376394.db2.gz BUXDSXNXULAONY-UCQJPZFISA-N -1 1 314.345 1.980 20 0 DDADMM CC[C@H](C)OCC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341160 703540837 /nfs/dbraw/zinc/54/08/37/703540837.db2.gz PRCLFTHLNOLGPS-HBNTYKKESA-N -1 1 324.343 1.860 20 0 DDADMM CC(=O)c1cc(C(=O)NCc2nn[n-]n2)ccc1OC(C)C ZINC000848971862 703605982 /nfs/dbraw/zinc/60/59/82/703605982.db2.gz IWNITWVKIZZTEQ-UHFFFAOYSA-N -1 1 303.322 1.120 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2COC3(CCC3)C2)sc1C ZINC000849447634 703648861 /nfs/dbraw/zinc/64/88/61/703648861.db2.gz LRZISUHXWVISFG-JTQLQIEISA-N -1 1 302.421 1.750 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CCC[C@H]3C[C@H]32)CCC1 ZINC000851104558 703780536 /nfs/dbraw/zinc/78/05/36/703780536.db2.gz KQCNCMILTOACAV-YNEHKIRRSA-N -1 1 314.451 1.353 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2cccn2C)cc1C ZINC000851767617 703849755 /nfs/dbraw/zinc/84/97/55/703849755.db2.gz DAHROJXTNKKNJX-UHFFFAOYSA-N -1 1 312.347 1.192 20 0 DDADMM C[C@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC2(CCC2)O1 ZINC000831748815 706770331 /nfs/dbraw/zinc/77/03/31/706770331.db2.gz OJURCSGDJHIROG-NSHDSACASA-N -1 1 315.377 1.517 20 0 DDADMM COc1nnc([N-]C(=O)c2c(Cl)nn(C)c2Cl)s1 ZINC000870181296 703944989 /nfs/dbraw/zinc/94/49/89/703944989.db2.gz YJWXYRSWHKYOAU-UHFFFAOYSA-N -1 1 308.150 1.839 20 0 DDADMM CC(C)(C)CNC(=O)CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879767744 706787329 /nfs/dbraw/zinc/78/73/29/706787329.db2.gz OIHZPUXNIZMYQQ-CYBMUJFWSA-N -1 1 323.359 1.292 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C(=O)OC(C)(C)C ZINC000866753649 706787851 /nfs/dbraw/zinc/78/78/51/706787851.db2.gz ZNZZLDMEQNLSGB-ZETCQYMHSA-N -1 1 322.333 1.368 20 0 DDADMM O=C(Cc1ccc(-n2cnnc2)cc1)[N-]O[C@H]1CCCCO1 ZINC000819206385 704086547 /nfs/dbraw/zinc/08/65/47/704086547.db2.gz ZCKNZYDLLIOTGK-HNNXBMFYSA-N -1 1 302.334 1.384 20 0 DDADMM Cc1cccc2c(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)coc21 ZINC000819257267 704095733 /nfs/dbraw/zinc/09/57/33/704095733.db2.gz VPQRNHASIVFOAY-LBPRGKRZSA-N -1 1 313.317 1.468 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)/C=C/[C@H]1CCCO1 ZINC000819285234 704100778 /nfs/dbraw/zinc/10/07/78/704100778.db2.gz ZGIPEKYPGPBLDJ-BTDICHCPSA-N -1 1 316.361 1.403 20 0 DDADMM CCOC(=O)[C@@]1(F)CN(CCCCC(=O)[O-])CC12CCC2 ZINC000852736930 704110001 /nfs/dbraw/zinc/11/00/01/704110001.db2.gz SVBMKPHVMXWQFG-HNNXBMFYSA-N -1 1 301.358 1.999 20 0 DDADMM CCOC(=O)[C@@H](C)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852757364 704117127 /nfs/dbraw/zinc/11/71/27/704117127.db2.gz CVUPGSZYTDFYMG-BBBLOLIVSA-N -1 1 310.316 1.327 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2C(=O)OCC2(C)C)c(F)c1 ZINC000819884797 704180581 /nfs/dbraw/zinc/18/05/81/704180581.db2.gz UMBBLKCACPCYBM-NSHDSACASA-N -1 1 319.329 1.503 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@@H](C)OC)c1 ZINC000820148331 704230106 /nfs/dbraw/zinc/23/01/06/704230106.db2.gz AVSUNJJYJVJWLM-BIBXISHDSA-N -1 1 300.376 1.771 20 0 DDADMM CON(C(=O)C(=O)c1ccc([O-])cc1)[C@H](C)C(=O)OC(C)(C)C ZINC000871246874 704253899 /nfs/dbraw/zinc/25/38/99/704253899.db2.gz QZEBMKHDVBJXBH-SNVBAGLBSA-N -1 1 323.345 1.695 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cc(C)ncn2)c1 ZINC000820363546 704266953 /nfs/dbraw/zinc/26/69/53/704266953.db2.gz UNSGWDQAGGSYTF-JOCHJYFZSA-N -1 1 320.370 1.645 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccnc(SC)c1 ZINC000867049978 706882334 /nfs/dbraw/zinc/88/23/34/706882334.db2.gz OZZSWCBKTRGKLD-SFHVURJKSA-N -1 1 323.465 1.256 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]C1(c2ccccc2F)CC1 ZINC000866813104 706811090 /nfs/dbraw/zinc/81/10/90/706811090.db2.gz MLGHRMBWZHBXRV-UHFFFAOYSA-N -1 1 306.384 1.377 20 0 DDADMM O=C(c1nccc2[nH]ccc21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854341926 704382185 /nfs/dbraw/zinc/38/21/85/704382185.db2.gz APYSITUSXCOQDU-UHFFFAOYSA-N -1 1 313.317 1.671 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCC2OCCO2)c1 ZINC000821353047 704395588 /nfs/dbraw/zinc/39/55/88/704395588.db2.gz PRMGOQXJSPOVCA-NRFANRHFSA-N -1 1 314.359 1.109 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CC[C@H]2SCCS[C@@H]12 ZINC000821413808 704406091 /nfs/dbraw/zinc/40/60/91/704406091.db2.gz QJXIKFJCGCSDIF-LNLATYFQSA-N -1 1 313.452 1.018 20 0 DDADMM C[C@H]1CN(CCNC(=O)c2c([O-])cccc2Cl)[C@@H](C)CO1 ZINC000855412350 704483994 /nfs/dbraw/zinc/48/39/94/704483994.db2.gz TUSHFIRTJPTEPQ-QWRGUYRKSA-N -1 1 312.797 1.885 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417365 704528050 /nfs/dbraw/zinc/52/80/50/704528050.db2.gz RVBXIBRSQCFYGG-KXUCPTDWSA-N -1 1 312.307 1.896 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]CC[C@H]1CC1(F)F ZINC000856746827 704536951 /nfs/dbraw/zinc/53/69/51/704536951.db2.gz BDMMNQIESZMCSZ-ZETCQYMHSA-N -1 1 313.757 1.706 20 0 DDADMM CC[C@@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866846030 706823449 /nfs/dbraw/zinc/82/34/49/706823449.db2.gz UWSSXIUCXXCIAR-PRHODGIISA-N -1 1 308.350 1.435 20 0 DDADMM CN(OCC(F)(F)F)C(=O)CNC(=O)c1ccc([O-])cc1F ZINC000857426269 704589117 /nfs/dbraw/zinc/58/91/17/704589117.db2.gz VESURBYPFXVZRU-UHFFFAOYSA-N -1 1 324.230 1.213 20 0 DDADMM Nc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(O)c1 ZINC000832053759 706833356 /nfs/dbraw/zinc/83/33/56/706833356.db2.gz CCRKFCLMNYVUTD-MRVPVSSYSA-N -1 1 304.306 1.083 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1cscn1 ZINC000866862653 706828997 /nfs/dbraw/zinc/82/89/97/706828997.db2.gz AHCYXNMXZWVCFT-UHFFFAOYSA-N -1 1 311.454 1.028 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1ccc(C2CC2)cc1F ZINC000867294832 706950479 /nfs/dbraw/zinc/95/04/79/706950479.db2.gz KTCCKCJQDQTORP-UHFFFAOYSA-N -1 1 320.411 1.765 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)[C@@H]1COC(C)(C)C1 ZINC000859048312 704791123 /nfs/dbraw/zinc/79/11/23/704791123.db2.gz FWBZVGODFSCZMW-NWDGAFQWSA-N -1 1 319.423 1.205 20 0 DDADMM CO[C@H]1Cc2ccc(NC(=O)CCCc3nn[n-]n3)cc2C1 ZINC000821858680 704827824 /nfs/dbraw/zinc/82/78/24/704827824.db2.gz ZRNSLTNTSOMBGT-ZDUSSCGKSA-N -1 1 301.350 1.275 20 0 DDADMM COC(=O)C1=CC[C@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C1 ZINC000859286984 704849714 /nfs/dbraw/zinc/84/97/14/704849714.db2.gz JJTKHHTWRXEOOW-JTQLQIEISA-N -1 1 316.404 1.300 20 0 DDADMM CC1=NO[C@@H](C[N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000867347623 706969535 /nfs/dbraw/zinc/96/95/35/706969535.db2.gz HSRHNHUWIVVLFV-SSDOTTSWSA-N -1 1 323.168 1.485 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2C[C@H]2C1)c1nc[nH]c1Br ZINC000867434858 707000709 /nfs/dbraw/zinc/00/07/09/707000709.db2.gz ISFBAFPBNSITNE-KVSKUHBBSA-N -1 1 306.185 1.249 20 0 DDADMM CC1(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)CC(F)(F)C1 ZINC000822658156 704999131 /nfs/dbraw/zinc/99/91/31/704999131.db2.gz YEXNPEPZGUUSAA-MRVPVSSYSA-N -1 1 301.293 1.917 20 0 DDADMM O=C(N1CCC(c2n[n-]c(=O)o2)CC1)C1(Br)CC1 ZINC000822704615 705013536 /nfs/dbraw/zinc/01/35/36/705013536.db2.gz XUVSDQIKDNZAAX-UHFFFAOYSA-N -1 1 316.155 1.409 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)CC1CC1)c1ccc(F)nc1F ZINC000867521261 707026822 /nfs/dbraw/zinc/02/68/22/707026822.db2.gz UGCVEUDEQYLYJK-VIFPVBQESA-N -1 1 306.334 1.047 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F)C1CC1 ZINC000867521001 707026987 /nfs/dbraw/zinc/02/69/87/707026987.db2.gz UKHMVUDNEZGJME-MRVPVSSYSA-N -1 1 311.329 1.906 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@H](C(F)(F)F)CC2)c([O-])c1 ZINC000874546249 705101487 /nfs/dbraw/zinc/10/14/87/705101487.db2.gz DRCYDMSAEVBVFO-JTQLQIEISA-N -1 1 304.268 1.889 20 0 DDADMM CC1(C)C=Cc2cccc(NC(=O)NN3CC(=O)[N-]C3=O)c2O1 ZINC000880636877 707045243 /nfs/dbraw/zinc/04/52/43/707045243.db2.gz DHISBVDGZBNZHF-UHFFFAOYSA-N -1 1 316.317 1.459 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(F)CCCCC2)n[n-]1 ZINC000880665584 707051685 /nfs/dbraw/zinc/05/16/85/707051685.db2.gz FXHZUBDPGWOWFM-SECBINFHSA-N -1 1 312.345 1.831 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(F)CCCCC2)[n-]1 ZINC000880665584 707051689 /nfs/dbraw/zinc/05/16/89/707051689.db2.gz FXHZUBDPGWOWFM-SECBINFHSA-N -1 1 312.345 1.831 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(F)CCCCC2)n1 ZINC000880665584 707051692 /nfs/dbraw/zinc/05/16/92/707051692.db2.gz FXHZUBDPGWOWFM-SECBINFHSA-N -1 1 312.345 1.831 20 0 DDADMM COC(=O)c1coc(C[N-]S(=O)(=O)c2cnc(C)s2)c1 ZINC000867664814 707067557 /nfs/dbraw/zinc/06/75/57/707067557.db2.gz IHBCTGAGSZWSKE-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CCC[C@H](OCC)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000860847725 705299310 /nfs/dbraw/zinc/29/93/10/705299310.db2.gz QBKPALPSNWSNCA-LBPRGKRZSA-N -1 1 320.455 1.122 20 0 DDADMM [O-]C(=NO[C@H]1CCCCO1)Nc1nnc([C@@H]2CCCO2)s1 ZINC000880886376 707090169 /nfs/dbraw/zinc/09/01/69/707090169.db2.gz PCPMTJGYECRTFG-IUCAKERBSA-N -1 1 314.367 1.969 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1nnc([C@@H]2CCCO2)s1 ZINC000880886376 707090172 /nfs/dbraw/zinc/09/01/72/707090172.db2.gz PCPMTJGYECRTFG-IUCAKERBSA-N -1 1 314.367 1.969 20 0 DDADMM Cc1nn(C)c(C)c1C(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834833641 707134246 /nfs/dbraw/zinc/13/42/46/707134246.db2.gz GSJOEFRALGISHF-UHFFFAOYSA-N -1 1 321.764 1.895 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC000875543878 705424859 /nfs/dbraw/zinc/42/48/59/705424859.db2.gz QLPZYRPJBPVINW-KEPMVKOISA-N -1 1 319.327 1.637 20 0 DDADMM COc1ccccc1[C@H](C)N(OC)C(=O)CCCc1nn[n-]n1 ZINC000824355484 705435188 /nfs/dbraw/zinc/43/51/88/705435188.db2.gz RRDCTWPNUNHGPD-NSHDSACASA-N -1 1 319.365 1.682 20 0 DDADMM CCC[C@H]1SCCN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825258812 705634635 /nfs/dbraw/zinc/63/46/35/705634635.db2.gz DTZIOYUWYXCBKB-LLVKDONJSA-N -1 1 322.394 1.407 20 0 DDADMM CCC[C@H]1SCCN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825258812 705634639 /nfs/dbraw/zinc/63/46/39/705634639.db2.gz DTZIOYUWYXCBKB-LLVKDONJSA-N -1 1 322.394 1.407 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)/C(F)=C\C2CCCCC2)n1 ZINC000825637493 705707198 /nfs/dbraw/zinc/70/71/98/705707198.db2.gz WKSIWAHAFUKNPR-VQHVLOKHSA-N -1 1 316.358 1.580 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C(F)=CC2CCCCC2)[n-]1 ZINC000825637493 705707201 /nfs/dbraw/zinc/70/72/01/705707201.db2.gz WKSIWAHAFUKNPR-VQHVLOKHSA-N -1 1 316.358 1.580 20 0 DDADMM COC(=O)C1=CC[C@@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000862665245 705769198 /nfs/dbraw/zinc/76/91/98/705769198.db2.gz XDEUGHSNEDGSAF-LLVKDONJSA-N -1 1 319.361 1.606 20 0 DDADMM Cc1onc(CC(=O)N2CCC3(CC3)CC2)c1-c1nnn[n-]1 ZINC000826343553 705792863 /nfs/dbraw/zinc/79/28/63/705792863.db2.gz FWPWNOZWTOLCHS-UHFFFAOYSA-N -1 1 302.338 1.108 20 0 DDADMM Cc1onc(CC(=O)N2CCC3(CC3)CC2)c1-c1nn[n-]n1 ZINC000826343553 705792865 /nfs/dbraw/zinc/79/28/65/705792865.db2.gz FWPWNOZWTOLCHS-UHFFFAOYSA-N -1 1 302.338 1.108 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CO[C@@H](C2CC2)C1 ZINC000863285118 705889661 /nfs/dbraw/zinc/88/96/61/705889661.db2.gz GAPDCPSHGIKAOQ-VXGBXAGGSA-N -1 1 305.378 1.911 20 0 DDADMM C[C@@H]1CN(CCS(=O)(=O)C2CCCCC2)CC[C@@H]1C(=O)[O-] ZINC000863531753 705949633 /nfs/dbraw/zinc/94/96/33/705949633.db2.gz CNTGCMGENBYXJX-OCCSQVGLSA-N -1 1 317.451 1.777 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)C(=O)N(C)OC)cc(Cl)c1[O-] ZINC000863627045 705970345 /nfs/dbraw/zinc/97/03/45/705970345.db2.gz YVGAIRKDYGUOQH-ZETCQYMHSA-N -1 1 316.741 1.192 20 0 DDADMM CCC1(S(=O)(=O)[N-]C(=O)[C@]2(F)CCN(C(C)(C)C)C2)CC1 ZINC000835537641 707274648 /nfs/dbraw/zinc/27/46/48/707274648.db2.gz YHJQTGLXKXQLNC-AWEZNQCLSA-N -1 1 320.430 1.588 20 0 DDADMM Cn1ncc(CN2CCN(Cc3ccc([O-])c(Cl)c3)CC2)n1 ZINC000877705901 706183392 /nfs/dbraw/zinc/18/33/92/706183392.db2.gz ZTFJDNIMOWIJGT-UHFFFAOYSA-N -1 1 321.812 1.492 20 0 DDADMM CC(=O)[C@H](OC(=O)c1sccc1[N-]S(C)(=O)=O)C(C)C ZINC000828751084 706226011 /nfs/dbraw/zinc/22/60/11/706226011.db2.gz QMXTVUDOOQDXDQ-SNVBAGLBSA-N -1 1 319.404 1.890 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C=CC(=O)OC)o1)c1nn[n-]n1 ZINC000829800522 706398080 /nfs/dbraw/zinc/39/80/80/706398080.db2.gz GZWDHZIWHARIKM-ZEBCKKTISA-N -1 1 319.321 1.250 20 0 DDADMM C[C@H](COc1ccccc1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830093399 706453819 /nfs/dbraw/zinc/45/38/19/706453819.db2.gz UQNHQPHRJVCFRG-SECBINFHSA-N -1 1 315.782 1.809 20 0 DDADMM CC(C)OC(=O)[C@@H](NCc1nc2c(c(=O)[n-]1)COCC2)C(C)C ZINC000878676698 706465836 /nfs/dbraw/zinc/46/58/36/706465836.db2.gz XQRWJJWOUYFSIM-AWEZNQCLSA-N -1 1 323.393 1.321 20 0 DDADMM CO[C@H](C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830801256 706582031 /nfs/dbraw/zinc/58/20/31/706582031.db2.gz JEEUMNFHZSGFPU-CABZTGNLSA-N -1 1 308.300 1.081 20 0 DDADMM CO[C@H](C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C(C)C ZINC000830807411 706583298 /nfs/dbraw/zinc/58/32/98/706583298.db2.gz JDOZFSKXZYXVAJ-CABZTGNLSA-N -1 1 310.316 1.327 20 0 DDADMM COC[C@@H](C)CC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830815374 706584695 /nfs/dbraw/zinc/58/46/95/706584695.db2.gz AAXBFBAPIDGUQN-CABZTGNLSA-N -1 1 310.316 1.329 20 0 DDADMM [O-]C(=NO[C@H]1CCCCO1)Nc1cnnn1-c1ccccc1 ZINC000879950057 706830532 /nfs/dbraw/zinc/83/05/32/706830532.db2.gz CZSBWNWMZGXNGW-ZDUSSCGKSA-N -1 1 303.322 1.847 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1cnnn1-c1ccccc1 ZINC000879950057 706830538 /nfs/dbraw/zinc/83/05/38/706830538.db2.gz CZSBWNWMZGXNGW-ZDUSSCGKSA-N -1 1 303.322 1.847 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC000832075993 706838214 /nfs/dbraw/zinc/83/82/14/706838214.db2.gz MASRTLDQDQYRMI-NXEZZACHSA-N -1 1 313.350 1.038 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2ccc(F)nc2F)sc1C ZINC000866898948 706838874 /nfs/dbraw/zinc/83/88/74/706838874.db2.gz BAXVPFQCZCKGKD-UHFFFAOYSA-N -1 1 319.358 1.912 20 0 DDADMM C[C@H](CN(C)C(=O)c1conc1C(F)(F)F)c1nn[n-]n1 ZINC000832126213 706848154 /nfs/dbraw/zinc/84/81/54/706848154.db2.gz KQWUSGQXVNTYHS-RXMQYKEDSA-N -1 1 304.232 1.082 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2cc(Cl)cnc2Cl)CCOC1 ZINC000867015732 706872891 /nfs/dbraw/zinc/87/28/91/706872891.db2.gz IKRINLGNEUMPKH-SNVBAGLBSA-N -1 1 311.190 1.846 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)O1)c1cc(F)ccc1[O-] ZINC000832397736 706904731 /nfs/dbraw/zinc/90/47/31/706904731.db2.gz ZBXGBIRVQYOBOC-LBPRGKRZSA-N -1 1 309.337 1.989 20 0 DDADMM O=S(=O)([N-]CC1OCCCO1)c1cc2cc(F)ccc2o1 ZINC000867161626 706913136 /nfs/dbraw/zinc/91/31/36/706913136.db2.gz IAVAYWQSBQGCSC-UHFFFAOYSA-N -1 1 315.322 1.613 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCC(CO)(CO)CC1 ZINC000832561424 706940829 /nfs/dbraw/zinc/94/08/29/706940829.db2.gz GMULAURHHWWMKI-UHFFFAOYSA-N -1 1 315.369 1.752 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)c2nccn21 ZINC000867356741 706973027 /nfs/dbraw/zinc/97/30/27/706973027.db2.gz DNPWVGOGWLCIPI-SFYZADRCSA-N -1 1 314.317 1.541 20 0 DDADMM C[C@@]1(O)C[C@H]([N-]S(=O)(=O)c2cc(Cl)cnc2Cl)C1 ZINC000867415907 706994190 /nfs/dbraw/zinc/99/41/90/706994190.db2.gz RZEXMQGIUSVCBI-WKFQBHICSA-N -1 1 311.190 1.580 20 0 DDADMM O=C([O-])C[C@H]1CCCCN1CN1CC2(CC1=O)CCOCC2 ZINC000833207293 707002261 /nfs/dbraw/zinc/00/22/61/707002261.db2.gz KBEOLXSRZPJXNH-CYBMUJFWSA-N -1 1 310.394 1.302 20 0 DDADMM Cn1[n-]c(CN[C@H](c2cccc(Cl)c2)C(F)F)nc1=O ZINC000880537793 707009440 /nfs/dbraw/zinc/00/94/40/707009440.db2.gz OQAQXQIGUKHPAD-SNVBAGLBSA-N -1 1 302.712 1.858 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2cn(C[C@H]3CCOC3)nn2)n1 ZINC000871666890 707161043 /nfs/dbraw/zinc/16/10/43/707161043.db2.gz UYZQBWYLWGQKRK-SNVBAGLBSA-N -1 1 321.406 1.665 20 0 DDADMM C[C@]1(C(=O)OCCOCC[N-]C(=O)C(F)(F)F)CC=CCC1 ZINC000835280354 707212827 /nfs/dbraw/zinc/21/28/27/707212827.db2.gz QIKIHLINDLWOLI-ZDUSSCGKSA-N -1 1 323.311 1.971 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@H]1CCc2nncn2CC1 ZINC000881459603 707264296 /nfs/dbraw/zinc/26/42/96/707264296.db2.gz DLAUHHLMYRNOIB-NSHDSACASA-N -1 1 320.780 1.906 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC[C@@H](C)SC)o1 ZINC000835668722 707303512 /nfs/dbraw/zinc/30/35/12/707303512.db2.gz PITCHHGHLQMTNM-MRVPVSSYSA-N -1 1 307.393 1.486 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCn2cnc(C(F)(F)F)c2C1 ZINC000881644421 707313715 /nfs/dbraw/zinc/31/37/15/707313715.db2.gz XXGHCCQXJPPGOT-UHFFFAOYSA-N -1 1 312.251 1.659 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1C2)N(C)C ZINC000835741661 707317436 /nfs/dbraw/zinc/31/74/36/707317436.db2.gz YVQHOIGBAJOYPI-XQHKEYJVSA-N -1 1 302.440 1.207 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCSC1 ZINC000872482984 707437822 /nfs/dbraw/zinc/43/78/22/707437822.db2.gz YGYQMFDQVXALLF-VVVCHXIZSA-N -1 1 314.498 1.470 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCn2ccnc21 ZINC000872490451 707442872 /nfs/dbraw/zinc/44/28/72/707442872.db2.gz BKQQNJCHNMRNLD-HOGDKLEQSA-N -1 1 320.440 1.059 20 0 DDADMM Cc1nccnc1[C@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000872637190 707526313 /nfs/dbraw/zinc/52/63/13/707526313.db2.gz BMQCUVXBGHGXTA-QMMMGPOBSA-N -1 1 314.317 1.498 20 0 DDADMM O=C(N[C@H]1CC12CCOCC2)c1ccc2n[n-]c(=S)n2c1 ZINC000836866615 707535003 /nfs/dbraw/zinc/53/50/03/707535003.db2.gz AIKBQIDOGIKRBT-JTQLQIEISA-N -1 1 304.375 1.317 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2cc(F)ccc2[O-])n(C)c1C ZINC000882385815 707617452 /nfs/dbraw/zinc/61/74/52/707617452.db2.gz RTUDBQXSJSVLAU-UHFFFAOYSA-N -1 1 320.320 1.895 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@@]2(CC(F)(F)C2(F)F)C1 ZINC000927268379 712966410 /nfs/dbraw/zinc/96/64/10/712966410.db2.gz VXKQVBLRRUWJCD-SECBINFHSA-N -1 1 307.251 1.025 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)c1nn(-c2ccccc2F)cc1[O-] ZINC000882486183 707657174 /nfs/dbraw/zinc/65/71/74/707657174.db2.gz HUXWOYBIYQIXFA-LLVKDONJSA-N -1 1 318.352 1.541 20 0 DDADMM CCc1cc(CNC(=O)C[C@H](C(=O)[O-])c2ccccc2)n[nH]1 ZINC000872930103 707659309 /nfs/dbraw/zinc/65/93/09/707659309.db2.gz FWZLEMNALUSITL-AWEZNQCLSA-N -1 1 301.346 1.847 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-]C[C@H]1C=CCC1)c1ccccc1 ZINC000882557818 707695341 /nfs/dbraw/zinc/69/53/41/707695341.db2.gz HEJRCHSEQBNWFV-BUXKBTBVSA-N -1 1 314.432 1.944 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C)ns1)[C@@H]1CCCOC1 ZINC000882760763 707776410 /nfs/dbraw/zinc/77/64/10/707776410.db2.gz LLWRFIZBLXMQJC-MNOVXSKESA-N -1 1 304.437 1.935 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CCC[C@]23CCCO3)c1Cl ZINC000882795604 707799926 /nfs/dbraw/zinc/79/99/26/707799926.db2.gz PTYWVZBPVCOAQT-SKDRFNHKSA-N -1 1 319.814 1.454 20 0 DDADMM Cc1ccc(NC(=O)[C@H](C)CN2CCOCC2)cc1C(=O)[O-] ZINC000909298091 712985319 /nfs/dbraw/zinc/98/53/19/712985319.db2.gz XJZRKAUHYJEJLQ-GFCCVEGCSA-N -1 1 306.362 1.600 20 0 DDADMM Cn1[n-]c(COC(=O)C[C@H]2CSc3ccccc3O2)nc1=O ZINC000838263972 707881894 /nfs/dbraw/zinc/88/18/94/707881894.db2.gz KOZNDCVVJZKMSK-VIFPVBQESA-N -1 1 321.358 1.095 20 0 DDADMM COc1ccc(NCc2cc(C)on2)cc1[N-]S(C)(=O)=O ZINC000883052990 707913725 /nfs/dbraw/zinc/91/37/25/707913725.db2.gz HQUKSCZYGVHPPI-UHFFFAOYSA-N -1 1 311.363 1.975 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1ccc(C(N)=O)cc1 ZINC000883955268 708086247 /nfs/dbraw/zinc/08/62/47/708086247.db2.gz YZLLMBCRMHGYAA-UHFFFAOYSA-N -1 1 314.345 1.965 20 0 DDADMM Cn1cnc(CNC(=O)NCCc2c(F)cc([O-])cc2F)c1 ZINC000896756227 708142928 /nfs/dbraw/zinc/14/29/28/708142928.db2.gz YEWHBYPYFXGLTG-UHFFFAOYSA-N -1 1 310.304 1.446 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(C3(SC)CC3)no2)co1 ZINC000896784363 708149980 /nfs/dbraw/zinc/14/99/80/708149980.db2.gz WXFJTLMRBWWRTM-UHFFFAOYSA-N -1 1 315.376 1.590 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)NCCOc2ccccc2F)C1 ZINC000909396704 713007822 /nfs/dbraw/zinc/00/78/22/713007822.db2.gz UXXXGXBKSPPCHB-GFCCVEGCSA-N -1 1 324.352 1.117 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCOc2ccccc2F)C1 ZINC000909396704 713007823 /nfs/dbraw/zinc/00/78/23/713007823.db2.gz UXXXGXBKSPPCHB-GFCCVEGCSA-N -1 1 324.352 1.117 20 0 DDADMM C[C@H](COc1ccccc1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909405790 713010108 /nfs/dbraw/zinc/01/01/08/713010108.db2.gz YQTBGHMMSLWGJT-KGLIPLIRSA-N -1 1 320.389 1.367 20 0 DDADMM COc1ccc(-c2nc(C[C@H]3CC(=O)N(C)C3)no2)c([O-])c1 ZINC000897128531 708235794 /nfs/dbraw/zinc/23/57/94/708235794.db2.gz JQDKVDDRVPVCHP-VIFPVBQESA-N -1 1 303.318 1.472 20 0 DDADMM C[C@@H](CN(C(=O)CN(C)[C@H]1CCSC1)C1CC1)C(=O)[O-] ZINC000909455727 713022707 /nfs/dbraw/zinc/02/27/07/713022707.db2.gz BCSOPVZMMZNUSW-JQWIXIFHSA-N -1 1 300.424 1.135 20 0 DDADMM COCC[C@H](CO)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897656788 708407985 /nfs/dbraw/zinc/40/79/85/708407985.db2.gz IJGSXCGXZFOSEI-LLVKDONJSA-N -1 1 305.330 1.378 20 0 DDADMM COC(=O)[C@@H](C[N-]S(=O)(=O)c1ccns1)CC(C)C ZINC000885031997 708449356 /nfs/dbraw/zinc/44/93/56/708449356.db2.gz RSCMOOHQQXNBPI-SECBINFHSA-N -1 1 306.409 1.257 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccc(C)c(C)c1 ZINC000912528027 713030803 /nfs/dbraw/zinc/03/08/03/713030803.db2.gz MDHGPSHZENIKOS-UHFFFAOYSA-N -1 1 310.331 1.581 20 0 DDADMM CCCCC[C@H](C)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912529390 713031137 /nfs/dbraw/zinc/03/11/37/713031137.db2.gz BGPRHUGVPBMHDY-VIFPVBQESA-N -1 1 303.384 1.443 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@H]2CCO[C@@H]2C12CCCC2)c1ccns1 ZINC000885073470 708462886 /nfs/dbraw/zinc/46/28/86/708462886.db2.gz GTBWKTQAAVTQGP-JLLWLGSASA-N -1 1 314.432 1.769 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)CCc1ccccc1)c1ccns1 ZINC000885101833 708472649 /nfs/dbraw/zinc/47/26/49/708472649.db2.gz GCZIGHLJDHTDLD-LBPRGKRZSA-N -1 1 312.416 1.415 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)C[C@@H]2CCCC(C)(C)O2)n1 ZINC000885134879 708478634 /nfs/dbraw/zinc/47/86/34/708478634.db2.gz NNUIIQVOBQXGKH-JTQLQIEISA-N -1 1 303.384 1.145 20 0 DDADMM O=S(=O)([N-]CCCO[C@H]1CCCCO1)c1ccns1 ZINC000885158245 708481437 /nfs/dbraw/zinc/48/14/37/708481437.db2.gz ZDGLXZRRPXSPCP-JTQLQIEISA-N -1 1 306.409 1.355 20 0 DDADMM CCSCC[C@@H](C)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912541559 713033164 /nfs/dbraw/zinc/03/31/64/713033164.db2.gz HNCZXIYHMWQXCS-MRVPVSSYSA-N -1 1 321.424 1.006 20 0 DDADMM COC(=O)CC1(NC(=O)c2ccc3n[n-]c(=S)n3c2)CCC1 ZINC000897951343 708503181 /nfs/dbraw/zinc/50/31/81/708503181.db2.gz KJQNEEOKWQOLLG-UHFFFAOYSA-N -1 1 320.374 1.234 20 0 DDADMM CC(C)[C@H](CO)ONC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897953387 708503746 /nfs/dbraw/zinc/50/37/46/708503746.db2.gz UPENXNGKOBGEMJ-AWEZNQCLSA-N -1 1 305.330 1.929 20 0 DDADMM O=S(=O)([N-]C[C@]1(CO)CCc2ccccc21)c1ccns1 ZINC000885272488 708507519 /nfs/dbraw/zinc/50/75/19/708507519.db2.gz KHBFFXABUBOGSO-AWEZNQCLSA-N -1 1 324.427 1.298 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCN1Cc1ccccc1)c1ccns1 ZINC000885309559 708516543 /nfs/dbraw/zinc/51/65/43/708516543.db2.gz OBYWZWWDSWGYBC-ZDUSSCGKSA-N -1 1 323.443 1.696 20 0 DDADMM CC[C@H](C)NC(=O)CC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000885376353 708529790 /nfs/dbraw/zinc/52/97/90/708529790.db2.gz DBZUIFWWAOLKJJ-VIFPVBQESA-N -1 1 320.361 1.548 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC2(CCC2)CO1)c1ccc(F)nc1F ZINC000885636724 708589306 /nfs/dbraw/zinc/58/93/06/708589306.db2.gz TVMYHELXZIBQGJ-SECBINFHSA-N -1 1 318.345 1.597 20 0 DDADMM CN1C(=O)CN(CC(=O)Nc2cc(F)c([O-])cc2Cl)C1=O ZINC000885680291 708596438 /nfs/dbraw/zinc/59/64/38/708596438.db2.gz OSNYGFAABNRXPZ-UHFFFAOYSA-N -1 1 315.688 1.017 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@]1(c2ccccc2)CCCO1 ZINC000885948006 708669056 /nfs/dbraw/zinc/66/90/56/708669056.db2.gz UFUANWIPIKMNCI-INIZCTEOSA-N -1 1 315.377 1.345 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C1(O)CCSCC1 ZINC000886266184 708732386 /nfs/dbraw/zinc/73/23/86/708732386.db2.gz CBGZMCJHPDOUAH-UHFFFAOYSA-N -1 1 317.357 1.587 20 0 DDADMM CCN1CCOC[C@@H]1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266262 708732601 /nfs/dbraw/zinc/73/26/01/708732601.db2.gz FCXSEGWVKJSWSA-CQSZACIVSA-N -1 1 314.332 1.050 20 0 DDADMM CC(C)(C)n1cc(C(=O)NCCc2c(F)cc([O-])cc2F)nn1 ZINC000886268144 708732823 /nfs/dbraw/zinc/73/28/23/708732823.db2.gz FIOBREOKTTVPHP-UHFFFAOYSA-N -1 1 324.331 1.989 20 0 DDADMM C[C@@H](CCO)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782420 713054929 /nfs/dbraw/zinc/05/49/29/713054929.db2.gz NVAQJNSCBRSFGO-VIFPVBQESA-N -1 1 302.321 1.531 20 0 DDADMM CC1(c2nsc([N-]C(=O)c3cccn4nnnc34)n2)CC1 ZINC000899129660 708994117 /nfs/dbraw/zinc/99/41/17/708994117.db2.gz FWEHYSVDDMTZRA-UHFFFAOYSA-N -1 1 301.335 1.280 20 0 DDADMM N[C@@H](Cc1cc2ccccc2o1)C(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000887395720 709035378 /nfs/dbraw/zinc/03/53/78/709035378.db2.gz TUTMCJVGDHQDAD-JSGCOSHPSA-N -1 1 316.357 1.626 20 0 DDADMM CC(C)[C@H](Cc1ccccc1)NC(=O)CCCc1nn[n-]n1 ZINC000899268341 709039290 /nfs/dbraw/zinc/03/92/90/709039290.db2.gz BWKQMHAWROSFIT-AWEZNQCLSA-N -1 1 301.394 1.906 20 0 DDADMM O=C(NC[C@@H]1CC[C@@H](C2CC2)O1)c1ccc2n[n-]c(=S)n2c1 ZINC000887479004 709052858 /nfs/dbraw/zinc/05/28/58/709052858.db2.gz GSCXIXMUQUZYGF-RYUDHWBXSA-N -1 1 318.402 1.705 20 0 DDADMM CC1(C)CO[C@@H](CCNC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000899454540 709085679 /nfs/dbraw/zinc/08/56/79/709085679.db2.gz AKCXQJXSLCDHJI-NSHDSACASA-N -1 1 320.418 1.953 20 0 DDADMM O=C(NCC[C@H]1CCC2(CCOCC2)CO1)c1ncccc1[O-] ZINC000899454926 709085698 /nfs/dbraw/zinc/08/56/98/709085698.db2.gz JGRKFQWHPJXIJZ-CYBMUJFWSA-N -1 1 320.389 1.883 20 0 DDADMM COc1ccc(CN[C@H]2CCCn3nc(C(=O)[O-])cc32)cn1 ZINC000900072289 709281394 /nfs/dbraw/zinc/28/13/94/709281394.db2.gz WXRQLBXXTZVJER-NSHDSACASA-N -1 1 302.334 1.610 20 0 DDADMM CCCN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@H](C)C(=O)OC ZINC000888606981 709349866 /nfs/dbraw/zinc/34/98/66/709349866.db2.gz YVQYOQSFVPEJBM-SECBINFHSA-N -1 1 307.350 1.473 20 0 DDADMM COc1cccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c1 ZINC000912860320 713109491 /nfs/dbraw/zinc/10/94/91/713109491.db2.gz SOBALHPFENFJHG-NSHDSACASA-N -1 1 307.379 1.433 20 0 DDADMM CSCC[C@H](NC(=O)CN(C)c1ccccc1)c1nn[n-]n1 ZINC000912860738 713110114 /nfs/dbraw/zinc/11/01/14/713110114.db2.gz WMEYICWORXDPEI-LBPRGKRZSA-N -1 1 320.422 1.247 20 0 DDADMM CCCc1[nH]ccc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912862757 713110895 /nfs/dbraw/zinc/11/08/95/713110895.db2.gz BJNQQGWJXZQOQO-NSHDSACASA-N -1 1 308.411 1.705 20 0 DDADMM Cc1cc(CC(=O)N[C@](C)(CC(=O)[O-])c2cccc(F)c2)[nH]n1 ZINC000909681535 709575243 /nfs/dbraw/zinc/57/52/43/709575243.db2.gz KMZMTPITEBOOMD-MRXNPFEDSA-N -1 1 319.336 1.906 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000909701499 709586519 /nfs/dbraw/zinc/58/65/19/709586519.db2.gz UGBGGZZQAMWAHB-HNNXBMFYSA-N -1 1 302.334 1.531 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000900457412 709603672 /nfs/dbraw/zinc/60/36/72/709603672.db2.gz QVAIUHPYMNWUMD-GXTWGEPZSA-N -1 1 320.389 1.798 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NCCC(C)(C)C(=O)[O-] ZINC000909747004 709603962 /nfs/dbraw/zinc/60/39/62/709603962.db2.gz DDNQIRIGOXYSCO-UHFFFAOYSA-N -1 1 307.272 1.968 20 0 DDADMM C[C@@H]1CCN(C(=O)CN(C)CCc2ccccc2)[C@@H](C(=O)[O-])C1 ZINC000909783894 709618794 /nfs/dbraw/zinc/61/87/94/709618794.db2.gz VYCMEQJNOFKAKH-GDBMZVCRSA-N -1 1 318.417 1.873 20 0 DDADMM COC/C(C)=C/C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786039 709635356 /nfs/dbraw/zinc/63/53/56/709635356.db2.gz JTQIFPDMPAWBJX-HUYFXPKMSA-N -1 1 307.350 1.447 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cccnc2F)[n-]c1=O ZINC000889791247 709637687 /nfs/dbraw/zinc/63/76/87/709637687.db2.gz YRGVGXILVDKOIA-JTQLQIEISA-N -1 1 318.308 1.702 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H](C)OCC(C)C)[n-]c1=O ZINC000889793640 709638949 /nfs/dbraw/zinc/63/89/49/709638949.db2.gz AUVOTUMYGHJFQJ-NWDGAFQWSA-N -1 1 323.393 1.915 20 0 DDADMM C[C@@H](C(=O)[O-])c1ccc(NC(=O)[C@@H](C)CN2CCOCC2)cc1 ZINC000909842450 709648459 /nfs/dbraw/zinc/64/84/59/709648459.db2.gz ZENJPIWADDSDQM-QWHCGFSZSA-N -1 1 320.389 1.782 20 0 DDADMM CN(CC(=O)N1CCC[C@@](C)(C(=O)[O-])C1)[C@H]1CCSC1 ZINC000909843943 709648752 /nfs/dbraw/zinc/64/87/52/709648752.db2.gz XVYFYWZSWGJPCB-SMDDNHRTSA-N -1 1 300.424 1.137 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCSC[C@H]2C)co1 ZINC000889920533 709676396 /nfs/dbraw/zinc/67/63/96/709676396.db2.gz YXJFPLIVTNKLMU-SECBINFHSA-N -1 1 318.420 1.155 20 0 DDADMM O=C(CCCc1ccc(Br)cc1)NCc1nn[n-]n1 ZINC000900660495 709699420 /nfs/dbraw/zinc/69/94/20/709699420.db2.gz WGVGCVYPTNAKNE-UHFFFAOYSA-N -1 1 324.182 1.601 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(C(F)F)c(F)c2)nc1=O ZINC000910058857 709759682 /nfs/dbraw/zinc/75/96/82/709759682.db2.gz DBXIVINNVJUTRF-UHFFFAOYSA-N -1 1 301.224 1.542 20 0 DDADMM C[C@H](C(=O)N1CC([C@H](F)C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000910129292 709783904 /nfs/dbraw/zinc/78/39/04/709783904.db2.gz LKDWKNPSWLDLKA-ABAIWWIYSA-N -1 1 320.364 1.314 20 0 DDADMM C[C@@H]1CC(=O)NCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900841143 709786787 /nfs/dbraw/zinc/78/67/87/709786787.db2.gz CPZWZGBLUIMNAM-SECBINFHSA-N -1 1 317.320 1.430 20 0 DDADMM O=C([O-])[C@]12CN(C(=O)[C@H]3CCCc4[nH]ncc43)C[C@H]1CCCC2 ZINC000910160798 709795349 /nfs/dbraw/zinc/79/53/49/709795349.db2.gz PGDPHFQPQOZJCA-BWACUDIHSA-N -1 1 317.389 1.933 20 0 DDADMM C[C@@H](CC[S@](C)=O)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900877778 709805108 /nfs/dbraw/zinc/80/51/08/709805108.db2.gz IYNMSZMHEJKWLI-AUADJRAKSA-N -1 1 324.377 1.966 20 0 DDADMM C[N@@H+](CC(=O)N1CCc2occc2[C@H]1C(=O)[O-])[C@@H]1CCSC1 ZINC000910206470 709814099 /nfs/dbraw/zinc/81/40/99/709814099.db2.gz UKWBUUXDMFMEPN-YGRLFVJLSA-N -1 1 324.402 1.227 20 0 DDADMM CN(CC(=O)N1CCc2occc2[C@H]1C(=O)[O-])[C@@H]1CCSC1 ZINC000910206470 709814102 /nfs/dbraw/zinc/81/41/02/709814102.db2.gz UKWBUUXDMFMEPN-YGRLFVJLSA-N -1 1 324.402 1.227 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCS[C@H](C(F)(F)F)C1 ZINC000928133593 713137138 /nfs/dbraw/zinc/13/71/38/713137138.db2.gz QVNIYFACFLQYJV-ZETCQYMHSA-N -1 1 309.317 1.029 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N(CC(F)F)C2CCC2)C1 ZINC000910617765 710023926 /nfs/dbraw/zinc/02/39/26/710023926.db2.gz ATQZVNVQILKWQT-SNVBAGLBSA-N -1 1 304.337 1.429 20 0 DDADMM O=C(N[C@H](CO)[C@H](O)C1CCCCC1)c1ccc([O-])c(F)c1 ZINC000913050056 713154884 /nfs/dbraw/zinc/15/48/84/713154884.db2.gz VYIDCOKMAXOPAY-UKRRQHHQSA-N -1 1 311.353 1.563 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NCc1ccc(C(=O)[O-])o1 ZINC000901602740 710090951 /nfs/dbraw/zinc/09/09/51/710090951.db2.gz UXOCDGQWXXPGGY-UHFFFAOYSA-N -1 1 316.357 1.769 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1nn(C(C)C)cc1Cl ZINC000901711570 710128878 /nfs/dbraw/zinc/12/88/78/710128878.db2.gz SCXLBABQOLZLII-UHFFFAOYSA-N -1 1 309.775 1.422 20 0 DDADMM COc1cc(C)c(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])cc1OC ZINC000901745737 710139290 /nfs/dbraw/zinc/13/92/90/710139290.db2.gz OPAKPYKZSIDPSY-QWRGUYRKSA-N -1 1 324.377 1.080 20 0 DDADMM CC(C)(CCC(=O)[O-])C(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000910987048 710142338 /nfs/dbraw/zinc/14/23/38/710142338.db2.gz JEFNWWWHFCXNKG-VXGBXAGGSA-N -1 1 314.451 1.573 20 0 DDADMM CCc1cc(C(=O)OC)ccc1[N-]S(=O)(=O)CCOC ZINC000901761737 710143969 /nfs/dbraw/zinc/14/39/69/710143969.db2.gz HCYLVJIRGWKHSE-UHFFFAOYSA-N -1 1 301.364 1.424 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@]2(C(=O)[O-])C[C@H]2C)N2CCCC2)o1 ZINC000901934591 710179455 /nfs/dbraw/zinc/17/94/55/710179455.db2.gz NKSYNLFRNCCDKP-ZUCKAHLUSA-N -1 1 320.389 1.952 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1CC[C@H]1C(=O)[O-])c1ccc(F)cc1 ZINC000901962437 710186518 /nfs/dbraw/zinc/18/65/18/710186518.db2.gz DBXAUDGWHIMMJY-MCIONIFRSA-N -1 1 308.353 1.655 20 0 DDADMM COCc1nc(N2CCC[C@@H](C(=O)NCC3CC3)C2)cc(=O)[n-]1 ZINC000891871615 710314449 /nfs/dbraw/zinc/31/44/49/710314449.db2.gz RSPHROUUZFSNOF-GFCCVEGCSA-N -1 1 320.393 1.071 20 0 DDADMM COCc1nc(N(C)CCOc2ccccc2OC)cc(=O)[n-]1 ZINC000891932267 710331412 /nfs/dbraw/zinc/33/14/12/710331412.db2.gz WRALLLXTCNVMHN-UHFFFAOYSA-N -1 1 319.361 1.852 20 0 DDADMM COCc1nc(N2CCC[C@H](C(=O)OC(C)(C)C)C2)cc(=O)[n-]1 ZINC000893202823 710570548 /nfs/dbraw/zinc/57/05/48/710570548.db2.gz OSFUURJCLNEVGV-NSHDSACASA-N -1 1 323.393 1.887 20 0 DDADMM CN(CCNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccccc1 ZINC000911133257 710651360 /nfs/dbraw/zinc/65/13/60/710651360.db2.gz NSNZUPKDGCSZLW-CQSZACIVSA-N -1 1 319.405 1.036 20 0 DDADMM Cc1oc(C(=O)[O-])cc1C(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000911150711 710655559 /nfs/dbraw/zinc/65/55/59/710655559.db2.gz QQPIEYWTRUHQOT-QWRGUYRKSA-N -1 1 324.377 1.515 20 0 DDADMM CN(C)c1cccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000911167109 710665403 /nfs/dbraw/zinc/66/54/03/710665403.db2.gz XFZYOYSAIPFTQW-AWEZNQCLSA-N -1 1 319.405 1.165 20 0 DDADMM Cc1ccc(SC[C@H](C)NCc2cn(CC(=O)[O-])nn2)cc1 ZINC000902333567 710719994 /nfs/dbraw/zinc/71/99/94/710719994.db2.gz VMUFPBVDLHZOGZ-LBPRGKRZSA-N -1 1 320.418 1.942 20 0 DDADMM O=C(COC(=O)c1cc(C2CC2)[nH]n1)[N-]C(=O)c1ccccc1 ZINC000913349395 713203273 /nfs/dbraw/zinc/20/32/73/713203273.db2.gz REAWFNWHPFEYMB-UHFFFAOYSA-N -1 1 313.313 1.401 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@@H]2CCc3c2cccc3O)C1 ZINC000911335399 710748332 /nfs/dbraw/zinc/74/83/32/710748332.db2.gz QCYHQYGSXODGFE-BXUZGUMPSA-N -1 1 318.373 1.292 20 0 DDADMM O=C([O-])[C@@H]1CO[C@H](CCC(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000911385085 710773116 /nfs/dbraw/zinc/77/31/16/710773116.db2.gz NKJLLDSPGWKUSC-QWHCGFSZSA-N -1 1 321.377 1.386 20 0 DDADMM COCc1nc(N[C@H](CCO)Cc2ccccc2)cc(=O)[n-]1 ZINC000893700123 710783714 /nfs/dbraw/zinc/78/37/14/710783714.db2.gz BAXGNDJPVFRRDE-CYBMUJFWSA-N -1 1 303.362 1.734 20 0 DDADMM O=C([O-])C1(C(=O)N2CCC(c3nc[nH]n3)CC2)CC2(CCC2)C1 ZINC000911436720 710798395 /nfs/dbraw/zinc/79/83/95/710798395.db2.gz FEBREQCXTYUKHA-UHFFFAOYSA-N -1 1 318.377 1.546 20 0 DDADMM C[C@@H]1CN(C)[C@@H](C)CN1C(=O)CCc1ccccc1C(=O)[O-] ZINC000911439155 710798935 /nfs/dbraw/zinc/79/89/35/710798935.db2.gz HUXLIFCHQNGJMM-QWHCGFSZSA-N -1 1 304.390 1.868 20 0 DDADMM C[C@@H]1CN(C(=O)C23CCC(C(=O)[O-])(CC2)CC3)[C@@H](C)CN1C ZINC000911440551 710800072 /nfs/dbraw/zinc/80/00/72/710800072.db2.gz MMMNEYNRYDYEAR-UDNWOHKBSA-N -1 1 308.422 1.963 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC[C@@H]2CC[C@@H]3C[C@@H]32)o1 ZINC000893800760 710835168 /nfs/dbraw/zinc/83/51/68/710835168.db2.gz XRSMDXWOMWUSKU-HBNTYKKESA-N -1 1 313.375 1.781 20 0 DDADMM CN1CCCC[C@H]1C(=O)N(CCC(=O)[O-])Cc1ccncc1 ZINC000911525198 710836786 /nfs/dbraw/zinc/83/67/86/710836786.db2.gz YREDZTCPEUEMKY-AWEZNQCLSA-N -1 1 305.378 1.369 20 0 DDADMM C[C@@H]1CC[C@H](C)N1CC(=O)N[C@H](c1nc(=O)o[n-]1)C(C)(C)C ZINC000911587741 710869392 /nfs/dbraw/zinc/86/93/92/710869392.db2.gz VPTJFSCNACXDJR-JFGNBEQYSA-N -1 1 310.398 1.439 20 0 DDADMM O=C([O-])[C@H]1CCC[C@H](C(=O)NCc2ccc3cncn3c2)C1 ZINC000911645746 710903188 /nfs/dbraw/zinc/90/31/88/710903188.db2.gz MQRCOZGSSRHXDN-STQMWFEESA-N -1 1 301.346 1.842 20 0 DDADMM O=C([O-])CCc1ccccc1C(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC000911770619 710975443 /nfs/dbraw/zinc/97/54/43/710975443.db2.gz CWRLFAAQEDZEDH-LBPRGKRZSA-N -1 1 314.345 1.452 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1ccc(N(C)C)cn1 ZINC000903089946 711028945 /nfs/dbraw/zinc/02/89/45/711028945.db2.gz XMGNSZUHJLPLNV-UHFFFAOYSA-N -1 1 320.440 1.312 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cnc2c(c1)CCCC2 ZINC000903108103 711033235 /nfs/dbraw/zinc/03/32/35/711033235.db2.gz MNCLOKGOGGCHJX-UHFFFAOYSA-N -1 1 303.409 1.345 20 0 DDADMM Cc1cn2c(nc(CN3C[C@@H](C)C[C@H](C(=O)[O-])C3)cc2=O)s1 ZINC000903407261 711116937 /nfs/dbraw/zinc/11/69/37/711116937.db2.gz GASBVIJFFXHDFP-ONGXEEELSA-N -1 1 321.402 1.607 20 0 DDADMM COC(=O)C1CC2(C1)CN(CC=C(Cl)Cl)C[C@@H]2C(=O)[O-] ZINC000903415536 711120147 /nfs/dbraw/zinc/12/01/47/711120147.db2.gz JXRGFKLCYMLCCK-XQVHRTOBSA-N -1 1 322.188 1.891 20 0 DDADMM CC(C)Oc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000913493122 713236399 /nfs/dbraw/zinc/23/63/99/713236399.db2.gz BQXULOMXRZEOMG-ZDUSSCGKSA-N -1 1 317.349 1.201 20 0 DDADMM COCc1nc(NC[C@H](O)[C@@H](C)c2ccccc2)cc(=O)[n-]1 ZINC000894626586 711221911 /nfs/dbraw/zinc/22/19/11/711221911.db2.gz XPVBZRFTQQXDEX-AAEUAGOBSA-N -1 1 303.362 1.905 20 0 DDADMM O=C(CC/C=C/c1ccccc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494942 713237315 /nfs/dbraw/zinc/23/73/15/713237315.db2.gz DMNJRNURFWSSKQ-YOIVXCQUSA-N -1 1 313.361 1.593 20 0 DDADMM O=C(N[C@H]([C@@H]1CCCO1)C1(CO)CCC1)c1c([O-])cccc1F ZINC000912218170 711227531 /nfs/dbraw/zinc/22/75/31/711227531.db2.gz QEJVSODMDWGCMH-DZGCQCFKSA-N -1 1 323.364 1.971 20 0 DDADMM Cc1nc(C(C)C)sc1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495261 713237743 /nfs/dbraw/zinc/23/77/43/713237743.db2.gz TVANLLOUNPLGLA-SECBINFHSA-N -1 1 322.394 1.302 20 0 DDADMM CSc1nc(CNC(=O)[C@@]23C[C@@H]2COC3(C)C)cc(=O)[n-]1 ZINC000912241302 711239852 /nfs/dbraw/zinc/23/98/52/711239852.db2.gz XRNNCSBRUIWJCL-XLKFXECMSA-N -1 1 309.391 1.335 20 0 DDADMM CCCCCCO[C@@H](C)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913498803 713239154 /nfs/dbraw/zinc/23/91/54/713239154.db2.gz JTLSTGQDPVEKFO-NWDGAFQWSA-N -1 1 311.386 1.085 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)Nc1cccc(F)c1 ZINC000903711835 711250498 /nfs/dbraw/zinc/25/04/98/711250498.db2.gz MAVIKFLHDAAYSG-NSHDSACASA-N -1 1 312.341 1.576 20 0 DDADMM O=C(/C=C\C1CCCCCC1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499953 713239731 /nfs/dbraw/zinc/23/97/31/713239731.db2.gz SYDAQIYIFOUNGV-WSROAFLRSA-N -1 1 305.382 1.626 20 0 DDADMM O=C([O-])[C@@H]1c2ccccc2OCCN1C[C@H](O)CC(F)(F)F ZINC000903927276 711335790 /nfs/dbraw/zinc/33/57/90/711335790.db2.gz KBSCMPDBVOVKQP-SKDRFNHKSA-N -1 1 319.279 1.820 20 0 DDADMM COc1ccc(CNCc2cc(C(=O)[O-])nn2C)c(C)c1OC ZINC000904262082 711420882 /nfs/dbraw/zinc/42/08/82/711420882.db2.gz WNFIYAQMTDJHHC-UHFFFAOYSA-N -1 1 319.361 1.734 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2c(Cl)cnn2C)n1 ZINC000895240037 711461574 /nfs/dbraw/zinc/46/15/74/711461574.db2.gz ZSVSNXUEZQVDQE-SSDOTTSWSA-N -1 1 312.761 1.219 20 0 DDADMM COCc1nc(NC[C@@H]2CCC3(CCOCC3)O2)cc(=O)[n-]1 ZINC000896179566 711686859 /nfs/dbraw/zinc/68/68/59/711686859.db2.gz UFPWBSZMXZPLPK-NSHDSACASA-N -1 1 309.366 1.469 20 0 DDADMM CO[C@H]1CCC[C@H](CC(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC000913744792 713293361 /nfs/dbraw/zinc/29/33/61/713293361.db2.gz FBPVFXBVMIKWBI-AAEUAGOBSA-N -1 1 307.398 1.501 20 0 DDADMM O=C(CCc1cccnc1Cl)N1CCC(c2nn[n-]n2)CC1 ZINC000913745627 713293489 /nfs/dbraw/zinc/29/34/89/713293489.db2.gz LVBLMNQRWJJUHM-UHFFFAOYSA-N -1 1 320.784 1.587 20 0 DDADMM CCC/C=C\[C@H](O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000913780199 713299597 /nfs/dbraw/zinc/29/95/97/713299597.db2.gz RPYWXOISYBMYGE-QDSNORPESA-N -1 1 322.327 1.373 20 0 DDADMM CN(C)c1ncc(CN[C@H]2CCCn3nc(C(=O)[O-])cc32)cn1 ZINC000905794909 712147838 /nfs/dbraw/zinc/14/78/38/712147838.db2.gz MDHZMYHFXPMXHR-NSHDSACASA-N -1 1 316.365 1.062 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]C[C@@H]2CC2(Cl)Cl)s1 ZINC000913898575 713316260 /nfs/dbraw/zinc/31/62/60/713316260.db2.gz LIDZUGMFTRXKEG-YFKPBYRVSA-N -1 1 317.219 1.624 20 0 DDADMM O=C(NCC1(c2ccccn2)CC1)c1cnc(C2CC2)[n-]c1=O ZINC000907343217 712548472 /nfs/dbraw/zinc/54/84/72/712548472.db2.gz WIUSUYVIWYHIIS-UHFFFAOYSA-N -1 1 310.357 1.916 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@]1(C)OCCc2ccccc21)c1nn[n-]n1 ZINC000907350196 712549956 /nfs/dbraw/zinc/54/99/56/712549956.db2.gz OACPLVAIFUUDPL-ZBEGNZNMSA-N -1 1 315.377 1.250 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@H](C2CC2)O1)c1ccc(F)nc1F ZINC000907470625 712580346 /nfs/dbraw/zinc/58/03/46/712580346.db2.gz KLYZCSAHXNWZMW-NXEZZACHSA-N -1 1 318.345 1.596 20 0 DDADMM O=C(C=Cc1ccsc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907473002 712581078 /nfs/dbraw/zinc/58/10/78/712581078.db2.gz AWNDXOFFPCEULL-TXXBHVLJSA-N -1 1 307.404 1.591 20 0 DDADMM Cc1sccc1CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907478174 712582947 /nfs/dbraw/zinc/58/29/47/712582947.db2.gz HCXWXRIDFKWZRN-JTQLQIEISA-N -1 1 309.420 1.429 20 0 DDADMM O=C(Cc1cnccc1Cl)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480382 712583487 /nfs/dbraw/zinc/58/34/87/712583487.db2.gz OTFWOMJVBJWAAD-SNVBAGLBSA-N -1 1 324.797 1.107 20 0 DDADMM CC1(/C=C/C(=O)N2CCSC[C@@H]2c2nn[n-]n2)CCOCC1 ZINC000907480664 712583857 /nfs/dbraw/zinc/58/38/57/712583857.db2.gz PZZQEFORDJLPHX-KXMPLOMGSA-N -1 1 323.422 1.189 20 0 DDADMM C[C@H](CC(=O)N1CCSC[C@H]1c1nn[n-]n1)[C@@H]1CCCO1 ZINC000907481179 712583884 /nfs/dbraw/zinc/58/38/84/712583884.db2.gz FXZHERRPFGJJMG-VWYCJHECSA-N -1 1 311.411 1.021 20 0 DDADMM CCc1ccc(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)nc1 ZINC000907481047 712583898 /nfs/dbraw/zinc/58/38/98/712583898.db2.gz SXUBAFRHBPZVTN-GFCCVEGCSA-N -1 1 318.406 1.016 20 0 DDADMM O=C(NC[C@H]1CC[C@H](O)C1)c1c([O-])cnc2c(F)cccc21 ZINC000907674743 712612603 /nfs/dbraw/zinc/61/26/03/712612603.db2.gz FSRNWARCJUEGJJ-UWVGGRQHSA-N -1 1 304.321 1.970 20 0 DDADMM C[C@]1(C(N)=O)CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000907921931 712648785 /nfs/dbraw/zinc/64/87/85/712648785.db2.gz OCXSZYRBADJSEH-INIZCTEOSA-N -1 1 317.320 1.417 20 0 DDADMM CC[C@H]1CCCC[C@H]1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907943972 712652767 /nfs/dbraw/zinc/65/27/67/712652767.db2.gz OEQROBTXASWQOT-NWDGAFQWSA-N -1 1 307.398 1.226 20 0 DDADMM C/C=C/[C@H](O)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000908207157 712710273 /nfs/dbraw/zinc/71/02/73/712710273.db2.gz ZSNQZNNNEHRXEN-IQDLRCMOSA-N -1 1 322.327 1.227 20 0 DDADMM CC(C)[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C1(CO)CCC1 ZINC000908352501 712749957 /nfs/dbraw/zinc/74/99/57/712749957.db2.gz KJDKKUYZGVRZRU-CYBMUJFWSA-N -1 1 319.405 1.977 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1(c2ccc3c(c2)OCCO3)CC1 ZINC000908371112 712754684 /nfs/dbraw/zinc/75/46/84/712754684.db2.gz MZUZHJKQWUIFJY-UHFFFAOYSA-N -1 1 315.329 1.865 20 0 DDADMM O=C1[C@H]([N-]S(=O)(=O)CC2(F)CC2)CCN1c1ccccc1 ZINC000914089517 713341632 /nfs/dbraw/zinc/34/16/32/713341632.db2.gz ZJDKFOXSUWKBLY-GFCCVEGCSA-N -1 1 312.366 1.213 20 0 DDADMM CC(C)O[N-]C(=O)[C@@H](C)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC000908570347 712809421 /nfs/dbraw/zinc/80/94/21/712809421.db2.gz QPPUYLOWMOCONS-WDEREUQCSA-N -1 1 304.387 1.307 20 0 DDADMM COc1ccc([C@H](CC(=O)[O-])NC(=O)CN2CCCC2)cc1 ZINC000908593215 712816713 /nfs/dbraw/zinc/81/67/13/712816713.db2.gz CFXBFKOVOOWKPT-AWEZNQCLSA-N -1 1 306.362 1.423 20 0 DDADMM O=C(CNC(=O)C1CC1)Nc1cccc([O-])c1Br ZINC000908715627 712840251 /nfs/dbraw/zinc/84/02/51/712840251.db2.gz URESGBKLUFVNGA-UHFFFAOYSA-N -1 1 313.151 1.619 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCc2cc(F)ccc2F)C1 ZINC000908717073 712840543 /nfs/dbraw/zinc/84/05/43/712840543.db2.gz SHBTYYCQYFFDKD-SNVBAGLBSA-N -1 1 312.316 1.378 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000908785050 712854312 /nfs/dbraw/zinc/85/43/12/712854312.db2.gz FIVDGLNBESWGJQ-AEGPPILISA-N -1 1 320.364 1.594 20 0 DDADMM CCCC[C@H](COC)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908813323 712859854 /nfs/dbraw/zinc/85/98/54/712859854.db2.gz UTDSWOOJJZWEPB-CHWSQXEVSA-N -1 1 300.399 1.104 20 0 DDADMM COc1cccc([C@@H](CC(=O)[O-])NC(=O)C[N@@H+](C)C2CCC2)c1 ZINC000908857154 712870590 /nfs/dbraw/zinc/87/05/90/712870590.db2.gz VRCOQFLWPYEAPC-OAHLLOKOSA-N -1 1 320.389 1.812 20 0 DDADMM COc1cccc([C@@H](CC(=O)[O-])NC(=O)CN(C)C2CCC2)c1 ZINC000908857154 712870591 /nfs/dbraw/zinc/87/05/91/712870591.db2.gz VRCOQFLWPYEAPC-OAHLLOKOSA-N -1 1 320.389 1.812 20 0 DDADMM CCN(CC)[C@H](CNC(=O)NC1(C(=O)[O-])CCC1)c1ccco1 ZINC000908875424 712876839 /nfs/dbraw/zinc/87/68/39/712876839.db2.gz HSIGAYJXYQLIOG-GFCCVEGCSA-N -1 1 323.393 1.969 20 0 DDADMM COCCN(CCC(=O)[O-])C(=O)c1cccc2[nH]c(C)nc21 ZINC000908956547 712895828 /nfs/dbraw/zinc/89/58/28/712895828.db2.gz BYMFPPSLVKXKOG-UHFFFAOYSA-N -1 1 305.334 1.435 20 0 DDADMM Cc1cnc(C(=O)NC[C@H]2CCC3(CCOCC3)CO2)c([O-])c1 ZINC000914388523 713387728 /nfs/dbraw/zinc/38/77/28/713387728.db2.gz XBKABPBZPACZPT-CYBMUJFWSA-N -1 1 320.389 1.801 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2c(C)sc(C)c2C)n1 ZINC000914426819 713394330 /nfs/dbraw/zinc/39/43/30/713394330.db2.gz SNQLOPBQDNGFJT-UHFFFAOYSA-N -1 1 301.393 1.843 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]C[C@@H]2CCC(F)(F)C2)s1 ZINC000915177031 713411765 /nfs/dbraw/zinc/41/17/65/713411765.db2.gz JCOHUIZKUNCZNA-SSDOTTSWSA-N -1 1 312.363 1.865 20 0 DDADMM Cc1[nH][n-]c(=O)c1Sc1nnc(NCC(F)(F)F)s1 ZINC000916807682 713467298 /nfs/dbraw/zinc/46/72/98/713467298.db2.gz IPWCEJXLXCKTIF-SCSAIBSYSA-N -1 1 311.314 1.479 20 0 DDADMM CC[C@@H](C)NC(=O)COC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000917945365 713520450 /nfs/dbraw/zinc/52/04/50/713520450.db2.gz PLXFTLROKQDNPG-LLVKDONJSA-N -1 1 317.345 1.649 20 0 DDADMM C[C@H](Cn1nnc(C(F)(F)F)n1)C(=O)c1ccc([O-])cc1 ZINC000919051856 713579995 /nfs/dbraw/zinc/57/99/95/713579995.db2.gz RFEPZPHRKIIJSE-SSDOTTSWSA-N -1 1 300.240 1.917 20 0 DDADMM Cc1cc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)n(C)n1 ZINC000919581874 713616881 /nfs/dbraw/zinc/61/68/81/713616881.db2.gz GHVDHRRNGNQGQV-UHFFFAOYSA-N -1 1 314.297 1.898 20 0 DDADMM O=S(=O)([N-][C@H]1c2ccccc2OC[C@@H]1F)c1cscn1 ZINC000921318565 713731977 /nfs/dbraw/zinc/73/19/77/713731977.db2.gz ZKGGNFHHIXFBRG-CABZTGNLSA-N -1 1 314.363 1.893 20 0 DDADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)CCC1CCCCC1 ZINC000921380215 713749718 /nfs/dbraw/zinc/74/97/18/713749718.db2.gz LFEAQVZRICYCBL-ZDUSSCGKSA-N -1 1 321.439 1.454 20 0 DDADMM C[C@H]1COC[C@H]1[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000921498169 713789821 /nfs/dbraw/zinc/78/98/21/713789821.db2.gz ATZGIORGVMICEB-POYBYMJQSA-N -1 1 311.190 1.702 20 0 DDADMM O=S(=O)([N-]CCO[C@@H]1CCOC1)c1cc2ccccc2o1 ZINC000921884054 713896281 /nfs/dbraw/zinc/89/62/81/713896281.db2.gz QLKJOMKPWXDGPT-GFCCVEGCSA-N -1 1 311.359 1.517 20 0 DDADMM COC[C@@H](C[N-]S(=O)(=O)c1nc(C)c(C)s1)C(C)C ZINC000921897693 713901078 /nfs/dbraw/zinc/90/10/78/713901078.db2.gz TVKLHDCXMDOSRM-LLVKDONJSA-N -1 1 306.453 1.957 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1C[C@H]2C[C@@]2(CO)C1 ZINC000932047558 714245771 /nfs/dbraw/zinc/24/57/71/714245771.db2.gz CDKRQGJRKHXEEC-BZNIZROVSA-N -1 1 314.345 1.424 20 0 DDADMM O=C(NOC1CCOCC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932047878 714246300 /nfs/dbraw/zinc/24/63/00/714246300.db2.gz GETAITFLCXKENZ-UHFFFAOYSA-N -1 1 318.333 1.810 20 0 DDADMM O=C(NOC[C@@H]1CCOC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932050520 714246557 /nfs/dbraw/zinc/24/65/57/714246557.db2.gz WNGGLDARKPUPSZ-LLVKDONJSA-N -1 1 318.333 1.668 20 0 DDADMM Cc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c2ncccc12 ZINC000932282658 714300025 /nfs/dbraw/zinc/30/00/25/714300025.db2.gz MIROENCNRXUJOF-ZDUSSCGKSA-N -1 1 324.344 1.270 20 0 DDADMM CC[C@]1(C(=O)[O-])CCCN([C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000923469913 714366275 /nfs/dbraw/zinc/36/62/75/714366275.db2.gz IXQZWOHEDDFTLY-GWCFXTLKSA-N -1 1 322.327 1.726 20 0 DDADMM COC(=O)/C(C)=C/CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000923983252 714500208 /nfs/dbraw/zinc/50/02/08/714500208.db2.gz YBZKGKUXWQJINX-WEVVVXLNSA-N -1 1 312.753 1.964 20 0 DDADMM COc1ccc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)cn1 ZINC000934273634 714783152 /nfs/dbraw/zinc/78/31/52/714783152.db2.gz LOWQDFNVTVEGNY-LBPRGKRZSA-N -1 1 316.361 1.932 20 0 DDADMM C[C@@H]1COC[C@@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000926023186 714991610 /nfs/dbraw/zinc/99/16/10/714991610.db2.gz CGOZZTJRUWQZNK-OQPBUACISA-N -1 1 300.305 1.547 20 0 DDADMM CC(C)[C@@H]1C[C@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCO1 ZINC000935828883 715131261 /nfs/dbraw/zinc/13/12/61/715131261.db2.gz ANPWUSITHOTONK-OLZOCXBDSA-N -1 1 323.393 1.932 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)c2ccc[nH]2)C1 ZINC000936608345 715222870 /nfs/dbraw/zinc/22/28/70/715222870.db2.gz MVYRKTMDSFKVGH-LLVKDONJSA-N -1 1 314.345 1.102 20 0 DDADMM CN(C(=O)[C@H]1CC12CC2)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216640 715293632 /nfs/dbraw/zinc/29/36/32/715293632.db2.gz ILPXGNZNMSMPBJ-NWDGAFQWSA-N -1 1 315.373 1.260 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956241526 715365595 /nfs/dbraw/zinc/36/55/95/715365595.db2.gz ZYZXKSRZNPDAPT-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937452730 715375533 /nfs/dbraw/zinc/37/55/33/715375533.db2.gz LTHPCFXAHRVNAB-AVGNSLFASA-N -1 1 317.389 1.554 20 0 DDADMM CC1(NC(=O)c2ncccc2[O-])CCN(C(=O)C2CC2)CC1 ZINC000956344026 715412533 /nfs/dbraw/zinc/41/25/33/715412533.db2.gz VNLBWQLFQMOWKC-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM CC[C@@]1(C)C[C@@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955188431 715664374 /nfs/dbraw/zinc/66/43/74/715664374.db2.gz NHOIKNFUUXOTTC-PXAZEXFGSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@@H](CC(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC000960226644 716457526 /nfs/dbraw/zinc/45/75/26/716457526.db2.gz UMVBVQGNSNZNJA-AAEUAGOBSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1ccoc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940126006 716637780 /nfs/dbraw/zinc/63/77/80/716637780.db2.gz PUHKIZOAJXNDKU-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000940131583 716642799 /nfs/dbraw/zinc/64/27/99/716642799.db2.gz FAXOZZLQWRHMJZ-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)=CC(=O)N1C[C@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959355903 716980710 /nfs/dbraw/zinc/98/07/10/716980710.db2.gz VWXUNFVNLVNEOL-QWHCGFSZSA-N -1 1 317.389 1.578 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832358 716981852 /nfs/dbraw/zinc/98/18/52/716981852.db2.gz DEQBAUWQCDTWNW-STQMWFEESA-N -1 1 317.389 1.508 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964848042 717453201 /nfs/dbraw/zinc/45/32/01/717453201.db2.gz IOTSCXKQHORKRE-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2[C@H]1CCCN2C(=O)C1CC1 ZINC000962553570 717509646 /nfs/dbraw/zinc/50/96/46/717509646.db2.gz SUAHSGBTDQVLCD-OLZOCXBDSA-N -1 1 315.373 1.403 20 0 DDADMM CCCC(=O)N1CCC[C@H]2[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962689634 717556700 /nfs/dbraw/zinc/55/67/00/717556700.db2.gz HOAGGDBMHVEMLP-STQMWFEESA-N -1 1 317.389 1.793 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943943851 718228912 /nfs/dbraw/zinc/22/89/12/718228912.db2.gz KKIINILVXGKWSB-CTPBAPBTSA-N -1 1 303.362 1.474 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C(C)(C)C ZINC000945278739 718435320 /nfs/dbraw/zinc/43/53/20/718435320.db2.gz JDNYGMRGQRRQNN-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM CCC(=O)N1CCC[C@H](C)[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000945684934 718526122 /nfs/dbraw/zinc/52/61/22/718526122.db2.gz KOAFLDXVTUACGE-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM CC1(C(=O)N[C@H]2CCC[C@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000946220066 718715771 /nfs/dbraw/zinc/71/57/71/718715771.db2.gz IEMZMLJLLMLIEA-RYUDHWBXSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(F)F)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000947094294 719025046 /nfs/dbraw/zinc/02/50/46/719025046.db2.gz CJTHGFZTLYBKKX-RKDXNWHRSA-N -1 1 313.304 1.019 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000947871121 719294945 /nfs/dbraw/zinc/29/49/45/719294945.db2.gz OFEPCFMKBPLBOA-CYBMUJFWSA-N -1 1 323.368 1.502 20 0 DDADMM CCN1CCc2ccccc2[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC000948909939 719780273 /nfs/dbraw/zinc/78/02/73/719780273.db2.gz DVFMBGNRWZMTEB-AWEZNQCLSA-N -1 1 314.389 1.773 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000949455637 720098696 /nfs/dbraw/zinc/09/86/96/720098696.db2.gz FDSBEPYVHKPUCI-MNOVXSKESA-N -1 1 303.362 1.164 20 0 DDADMM O=C(CCC1CC1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949535460 720145372 /nfs/dbraw/zinc/14/53/72/720145372.db2.gz VGNXLDHSAOZUOJ-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(CC1CC1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000949665559 720251545 /nfs/dbraw/zinc/25/15/45/720251545.db2.gz SCEHUMLEQLNOJN-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)c1ccoc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969696411 720253801 /nfs/dbraw/zinc/25/38/01/720253801.db2.gz VEFVHNBMJJYJKN-SNVBAGLBSA-N -1 1 319.365 1.231 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)c1cccs1 ZINC000969924085 720562363 /nfs/dbraw/zinc/56/23/63/720562363.db2.gz NBIHIYQQLJXTFE-NXEZZACHSA-N -1 1 321.406 1.066 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1CC=CC1 ZINC000950539871 720675803 /nfs/dbraw/zinc/67/58/03/720675803.db2.gz ZMMXDMOBIRWAJE-CYBMUJFWSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(c1ccc(Cl)cc1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970542814 720805513 /nfs/dbraw/zinc/80/55/13/720805513.db2.gz XGZRQBMSCBQCFN-LLVKDONJSA-N -1 1 321.768 1.168 20 0 DDADMM CCC1(C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000951923504 721239385 /nfs/dbraw/zinc/23/93/85/721239385.db2.gz XRJACCWBVHHTLT-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM CC(=O)N1CCC([C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000952260751 721386119 /nfs/dbraw/zinc/38/61/19/721386119.db2.gz JSHOCCVATLFEBV-CQSZACIVSA-N -1 1 317.389 1.508 20 0 DDADMM CCCC(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000953322317 721593437 /nfs/dbraw/zinc/59/34/37/721593437.db2.gz OEGIVRSMBNYRBM-CHWSQXEVSA-N -1 1 317.389 1.650 20 0 DDADMM CCCC(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC000953322319 721593443 /nfs/dbraw/zinc/59/34/43/721593443.db2.gz OEGIVRSMBNYRBM-OLZOCXBDSA-N -1 1 317.389 1.650 20 0 DDADMM CN(C(=O)c1cccs1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953605333 721630465 /nfs/dbraw/zinc/63/04/65/721630465.db2.gz QJFDVIZKKGKXQD-UHFFFAOYSA-N -1 1 317.370 1.445 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)NCc1ccc(F)cc1 ZINC001185488597 744235611 /nfs/dbraw/zinc/23/56/11/744235611.db2.gz XDYPFDZHXALPOV-UHFFFAOYSA-N -1 1 304.258 1.583 20 0 DDADMM Cc1noc([C@@H](C)N2CC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001038184591 732925371 /nfs/dbraw/zinc/92/53/71/732925371.db2.gz SXRWNIBMUHYRMO-KOLCDFICSA-N -1 1 317.349 1.044 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@H]3CN(CCF)CC[C@H]32)c1[O-] ZINC001021565912 733317071 /nfs/dbraw/zinc/31/70/71/733317071.db2.gz FTEBAKFHHGDHBV-NWDGAFQWSA-N -1 1 310.373 1.320 20 0 DDADMM COc1ccnc(NC[C@@H](C)N(C)C(=O)c2ncccc2[O-])n1 ZINC001104536235 736198926 /nfs/dbraw/zinc/19/89/26/736198926.db2.gz KFIVNNSBSLYFEP-SNVBAGLBSA-N -1 1 317.349 1.158 20 0 DDADMM CC1=CC[C@@](C)(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001019803798 736926309 /nfs/dbraw/zinc/92/63/09/736926309.db2.gz PUXXPGMCKOHPTM-BLLLJJGKSA-N -1 1 319.409 1.337 20 0 DDADMM CC(=O)CCCC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075597624 738983174 /nfs/dbraw/zinc/98/31/74/738983174.db2.gz LKRCIDGCKWCROC-NSHDSACASA-N -1 1 321.377 1.171 20 0 DDADMM CC(C)C(=O)NCC[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001075660032 739710928 /nfs/dbraw/zinc/71/09/28/739710928.db2.gz AYRNWRGTZHEBFS-JTQLQIEISA-N -1 1 320.393 1.340 20 0 DDADMM O=C(/C=C\C1CC1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088287054 741330603 /nfs/dbraw/zinc/33/06/03/741330603.db2.gz OFNJXAZWFAKWLB-WSROAFLRSA-N -1 1 319.409 1.337 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)C2(C)CC=CC2)C1 ZINC001029827816 741364030 /nfs/dbraw/zinc/36/40/30/741364030.db2.gz OCMLQWZJNSFRIQ-LBPRGKRZSA-N -1 1 319.409 1.147 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1cncs1 ZINC001076244070 742623223 /nfs/dbraw/zinc/62/32/23/742623223.db2.gz HTTWPGKKSUGVGL-VIFPVBQESA-N -1 1 320.374 1.182 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C(C)(F)F ZINC001076244159 742623302 /nfs/dbraw/zinc/62/33/02/742623302.db2.gz KLHBNDMOCXARHH-QMMMGPOBSA-N -1 1 301.293 1.067 20 0 DDADMM C/C(=C\C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001076244629 742624014 /nfs/dbraw/zinc/62/40/14/742624014.db2.gz XFKJJSIHJYZGBG-IIANPFDCSA-N -1 1 317.389 1.768 20 0 DDADMM COC[C@H](C)CC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244843 742624710 /nfs/dbraw/zinc/62/47/10/742624710.db2.gz CAJPTAWQRGVFCN-NEPJUHHUSA-N -1 1 323.393 1.084 20 0 DDADMM CCCC(=O)N[C@@H](C)CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001076564441 742785577 /nfs/dbraw/zinc/78/55/77/742785577.db2.gz QCVWMRPXBQLMBO-JTQLQIEISA-N -1 1 320.393 1.484 20 0 DDADMM C/C=C(/C)C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002189745 742920763 /nfs/dbraw/zinc/92/07/63/742920763.db2.gz SRLMPXNAFXYVPC-XQDQGMHRSA-N -1 1 315.373 1.615 20 0 DDADMM COC(=O)/C=C/[C@H](C)NC(=O)c1c(C)[n-]c(=O)nc1SC ZINC001181326042 743157626 /nfs/dbraw/zinc/15/76/26/743157626.db2.gz FPUDTGMETCCLCK-XPPMVYLVSA-N -1 1 311.363 1.060 20 0 DDADMM O=C(c1ccc(F)cc1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998395399 751798321 /nfs/dbraw/zinc/79/83/21/751798321.db2.gz TYKPMFSIWUCTKP-LBPRGKRZSA-N -1 1 319.340 1.044 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@@]2(F)Cl)c1 ZINC001181664272 743293288 /nfs/dbraw/zinc/29/32/88/743293288.db2.gz HJBJKPRTHJBTGF-HQJQHLMTSA-N -1 1 322.745 1.163 20 0 DDADMM C[C@@H](CCNC(=O)C1(F)CCCC1)NC(=O)c1ncccc1[O-] ZINC001077217655 743330032 /nfs/dbraw/zinc/33/00/32/743330032.db2.gz ZWJZLCMVCSPNOL-NSHDSACASA-N -1 1 323.368 1.694 20 0 DDADMM O=C(Cc1[nH]nc2ccccc21)Nc1cc(=O)[n-]c(Cl)n1 ZINC001182057632 743464191 /nfs/dbraw/zinc/46/41/91/743464191.db2.gz MSIYKXFMJOPFKI-UHFFFAOYSA-N -1 1 303.709 1.893 20 0 DDADMM CC[C@H](F)C(=O)N1CCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002826869 743482863 /nfs/dbraw/zinc/48/28/63/743482863.db2.gz WHYANKATYXNUSA-NEPJUHHUSA-N -1 1 323.368 1.598 20 0 DDADMM O=C(c1cccc(F)c1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998434027 751840301 /nfs/dbraw/zinc/84/03/01/751840301.db2.gz QMRSGMHEQBBYDH-LBPRGKRZSA-N -1 1 319.340 1.044 20 0 DDADMM O=C(Nc1ccncc1[O-])c1cn(CCc2ccccc2)nn1 ZINC001182626797 743675428 /nfs/dbraw/zinc/67/54/28/743675428.db2.gz KYWHVRFZAIEUEH-UHFFFAOYSA-N -1 1 309.329 1.296 20 0 DDADMM CSc1nc(NC(=O)[C@@](C)(O)c2ccccc2)cc(=O)[n-]1 ZINC001182923095 743745247 /nfs/dbraw/zinc/74/52/47/743745247.db2.gz KQGJXJKUVJQIGO-AWEZNQCLSA-N -1 1 305.359 1.750 20 0 DDADMM CSc1nc(NC(=O)Cc2ccc(CO)cc2)cc(=O)[n-]1 ZINC001183686679 743884276 /nfs/dbraw/zinc/88/42/76/743884276.db2.gz MDHAPYUMMKDFSD-UHFFFAOYSA-N -1 1 305.359 1.578 20 0 DDADMM O=C(NC1CN(CC(F)(F)C(F)F)C1)c1ncccc1[O-] ZINC001030242105 743977983 /nfs/dbraw/zinc/97/79/83/743977983.db2.gz PCJWFYWXHKBUMI-UHFFFAOYSA-N -1 1 307.247 1.102 20 0 DDADMM COc1ccc(CNC(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001185567031 744248495 /nfs/dbraw/zinc/24/84/95/744248495.db2.gz RJPTXYIDGAIHOP-UHFFFAOYSA-N -1 1 316.294 1.452 20 0 DDADMM Cc1cnn(C)c1NS(=O)(=O)c1cc(C(=O)[O-])ccc1C ZINC001185877223 744306947 /nfs/dbraw/zinc/30/69/47/744306947.db2.gz FPDJZTKTASCEKC-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM O=C(c1cccc([S-])c1)N1C[C@H]2CN(CC3CC3)C[C@@H](C1)O2 ZINC001186141009 744349576 /nfs/dbraw/zinc/34/95/76/744349576.db2.gz OGEYLNLOGBLCCC-GASCZTMLSA-N -1 1 318.442 1.911 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(C(=O)NC(C)C)cc1 ZINC001187280419 744533458 /nfs/dbraw/zinc/53/34/58/744533458.db2.gz UERPXUBPWFSRRP-UHFFFAOYSA-N -1 1 314.407 1.603 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1c(C)scc1C(=O)OC ZINC001187249857 744536426 /nfs/dbraw/zinc/53/64/26/744536426.db2.gz IWQDGDUAMFNZHP-UHFFFAOYSA-N -1 1 307.393 1.621 20 0 DDADMM CCC[C@H](CC(C)C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188242556 744674781 /nfs/dbraw/zinc/67/47/81/744674781.db2.gz CIMHMNOVJDZVIS-CHWSQXEVSA-N -1 1 323.441 1.663 20 0 DDADMM Nc1ccc(NC(=O)c2ncc(C(F)(F)F)[n-]2)c(CCO)c1 ZINC001188288479 744679131 /nfs/dbraw/zinc/67/91/31/744679131.db2.gz ATQLNTMSWRBPOP-UHFFFAOYSA-N -1 1 314.267 1.798 20 0 DDADMM Nc1ccc(NC(=O)c2nc(C(F)(F)F)c[n-]2)c(CCO)c1 ZINC001188288479 744679134 /nfs/dbraw/zinc/67/91/34/744679134.db2.gz ATQLNTMSWRBPOP-UHFFFAOYSA-N -1 1 314.267 1.798 20 0 DDADMM O=C(C=CSc1ccccc1)NCc1nc([O-])cc(=O)[nH]1 ZINC001168437874 744761238 /nfs/dbraw/zinc/76/12/38/744761238.db2.gz QZYIIOMWXCZWNC-VOTSOKGWSA-N -1 1 303.343 1.810 20 0 DDADMM Cc1cc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)ccn1 ZINC001188781056 744767008 /nfs/dbraw/zinc/76/70/08/744767008.db2.gz MCCZFSBOIBSUCF-UHFFFAOYSA-N -1 1 302.294 1.602 20 0 DDADMM Cc1ccc(OS(=O)(=O)c2[n-]cnc2Br)cn1 ZINC001188953529 744798144 /nfs/dbraw/zinc/79/81/44/744798144.db2.gz ZKJUKXZZQJEDIE-UHFFFAOYSA-N -1 1 318.152 1.643 20 0 DDADMM Cc1c[nH]c(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)c1C ZINC001191150253 745436559 /nfs/dbraw/zinc/43/65/59/745436559.db2.gz CWUOXBCATLNXAH-UHFFFAOYSA-N -1 1 304.310 1.843 20 0 DDADMM CSc1ncc(C(=O)NCCC2CCC(O)CC2)c(=O)[n-]1 ZINC001191441538 745513309 /nfs/dbraw/zinc/51/33/09/745513309.db2.gz WAMAEMUKUPOJNH-UHFFFAOYSA-N -1 1 311.407 1.575 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1n[nH]cc1-c1ccccn1 ZINC001191483506 745519666 /nfs/dbraw/zinc/51/96/66/745519666.db2.gz GCSFXKLHSYCFGQ-UHFFFAOYSA-N -1 1 323.238 1.861 20 0 DDADMM Cn1cc2c(n1)[C@@H](CNC(=O)c1c(F)ccc([O-])c1F)OCC2 ZINC001192540361 745826871 /nfs/dbraw/zinc/82/68/71/745826871.db2.gz VJAOIEXXPKZJLB-LLVKDONJSA-N -1 1 323.299 1.448 20 0 DDADMM Cc1nc2nc[nH]c2c(NC(=O)c2ccc(F)c(F)c2[O-])n1 ZINC001192797317 745896167 /nfs/dbraw/zinc/89/61/67/745896167.db2.gz PICKTERIXATGTB-UHFFFAOYSA-N -1 1 305.244 1.897 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cccc2c1CCO2 ZINC001193057963 745975317 /nfs/dbraw/zinc/97/53/17/745975317.db2.gz NUYNXWKDGYYDRQ-UHFFFAOYSA-N -1 1 313.375 1.705 20 0 DDADMM COC(=O)c1ccc(F)c([N-]S(=O)(=O)C[C@H]2CCCO2)c1 ZINC001193264604 746036625 /nfs/dbraw/zinc/03/66/25/746036625.db2.gz UIKYFKHFVXPIJR-SNVBAGLBSA-N -1 1 317.338 1.533 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc(C(=O)OC)n2)n1 ZINC001193388176 746085461 /nfs/dbraw/zinc/08/54/61/746085461.db2.gz PNQYMFANOVNUJT-UHFFFAOYSA-N -1 1 318.289 1.020 20 0 DDADMM COc1ccnc(C(=O)Nc2ccnn2Br)c1[O-] ZINC001193524912 746133746 /nfs/dbraw/zinc/13/37/46/746133746.db2.gz PEGLIADTRVSAAI-UHFFFAOYSA-N -1 1 313.111 1.403 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1cccc2c1CNC2=O ZINC001193925232 746239406 /nfs/dbraw/zinc/23/94/06/746239406.db2.gz XFPYVHFNLHUSKF-UHFFFAOYSA-N -1 1 303.343 1.313 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@@H](O)CC1)c1ncccc1C(F)(F)F ZINC001194586316 746415131 /nfs/dbraw/zinc/41/51/31/746415131.db2.gz GBQQJRZXZGWOEC-DTORHVGOSA-N -1 1 324.324 1.682 20 0 DDADMM O=C1CCC(S(=O)(=O)Nc2cc([O-])c(F)c(F)c2)CC1 ZINC001194799839 746463289 /nfs/dbraw/zinc/46/32/89/746463289.db2.gz WSAXCLZYSATBHN-UHFFFAOYSA-N -1 1 305.302 1.924 20 0 DDADMM Cc1ccc([O-])c(C(=O)N(c2cccc(N)c2)S(C)(=O)=O)n1 ZINC001195327132 746566546 /nfs/dbraw/zinc/56/65/46/746566546.db2.gz XIOIFLWSUBDIAR-UHFFFAOYSA-N -1 1 321.358 1.284 20 0 DDADMM CCOC(=O)c1nc[nH]c1CNC(=O)c1cc([O-])cnc1Cl ZINC001195305878 746572655 /nfs/dbraw/zinc/57/26/55/746572655.db2.gz JGZFICHXWJLCER-UHFFFAOYSA-N -1 1 324.724 1.270 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2c3cccc(N)c3CS2(=O)=O)n1 ZINC001195330835 746587804 /nfs/dbraw/zinc/58/78/04/746587804.db2.gz SOXQZXYGDNCOOR-UHFFFAOYSA-N -1 1 319.342 1.168 20 0 DDADMM O=c1cc([N-]S(=O)(=O)Cc2c(F)cccc2F)cn[nH]1 ZINC001197567209 747202326 /nfs/dbraw/zinc/20/23/26/747202326.db2.gz SUJVWVFOKJELGC-UHFFFAOYSA-N -1 1 301.274 1.402 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cc(C)ccn1)c1ccccc1 ZINC001198025286 747352122 /nfs/dbraw/zinc/35/21/22/747352122.db2.gz IZBOCNBOBHBXQG-AWEZNQCLSA-N -1 1 320.370 1.583 20 0 DDADMM CSc1nc(NS(=O)(=O)c2ccc(C)nc2)cc(=O)[n-]1 ZINC001198272389 747444502 /nfs/dbraw/zinc/44/45/02/747444502.db2.gz MGRAARJLNFJWMG-UHFFFAOYSA-N -1 1 312.376 1.408 20 0 DDADMM CCN(c1ncccn1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001089637289 747530171 /nfs/dbraw/zinc/53/01/71/747530171.db2.gz QDXRJAGUBMSQNV-LLVKDONJSA-N -1 1 301.350 1.222 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NC(=S)NC[C@H]1CCCO1 ZINC001200012201 748081035 /nfs/dbraw/zinc/08/10/35/748081035.db2.gz OWPBWXAIIYJMBV-RXMQYKEDSA-N -1 1 315.380 1.334 20 0 DDADMM CCOC(=O)CCNC(=S)Nc1ccc([O-])c(F)c1F ZINC001200170392 748165794 /nfs/dbraw/zinc/16/57/94/748165794.db2.gz QHLOJDGEOOBLIL-UHFFFAOYSA-N -1 1 304.318 1.910 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(C)(C)F ZINC001004383653 748392319 /nfs/dbraw/zinc/39/23/19/748392319.db2.gz FYGMTGDHVRHISX-WDEREUQCSA-N -1 1 323.368 1.502 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@@H](O)c1ccco1 ZINC001201728075 748585921 /nfs/dbraw/zinc/58/59/21/748585921.db2.gz BOEZVGVBDAHBQX-SSDOTTSWSA-N -1 1 322.795 1.710 20 0 DDADMM CN1C(=O)CS/C1=C\C(=O)Nc1cc(F)cc(F)c1[O-] ZINC001162296330 749267693 /nfs/dbraw/zinc/26/76/93/749267693.db2.gz WRRUOKDSRZRFCQ-WCIBSUBMSA-N -1 1 300.286 1.656 20 0 DDADMM O=C(NC[C@H]1CCCCN1C(=O)c1ncccc1[O-])C(F)F ZINC001062149080 752793016 /nfs/dbraw/zinc/79/30/16/752793016.db2.gz DAAWEQAPWAVYRT-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM C[C@]1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C[C@H]2C[C@H]2C1 ZINC000999800984 753151290 /nfs/dbraw/zinc/15/12/90/753151290.db2.gz XMEOVDOZYAELLM-MEQWQQMJSA-N -1 1 319.409 1.027 20 0 DDADMM CS[C@@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062601865 753155302 /nfs/dbraw/zinc/15/53/02/753155302.db2.gz JMXXGRJWUJMXKK-JTQLQIEISA-N -1 1 323.418 1.307 20 0 DDADMM CC(=O)NC[C@@H]1C[C@H](C)N(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001010163740 753651573 /nfs/dbraw/zinc/65/15/73/753651573.db2.gz KUBCNIYQNRPFJW-ONGXEEELSA-N -1 1 318.377 1.046 20 0 DDADMM O=C(CC1CC1)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839244 753759178 /nfs/dbraw/zinc/75/91/78/753759178.db2.gz JFTXWXSDFXICSQ-UHFFFAOYSA-N -1 1 303.362 1.356 20 0 DDADMM O=C(N[C@@H]1CCCCN(c2ncccn2)C1)c1ncccc1[O-] ZINC001063185293 754036191 /nfs/dbraw/zinc/03/61/91/754036191.db2.gz IWDUJXGVYYBZMT-GFCCVEGCSA-N -1 1 313.361 1.366 20 0 DDADMM Cc1cnc([C@H](C)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001010735865 754132393 /nfs/dbraw/zinc/13/23/93/754132393.db2.gz ZFHBADQNJALOHL-NWDGAFQWSA-N -1 1 316.361 1.649 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ccco1 ZINC001012199282 754926757 /nfs/dbraw/zinc/92/67/57/754926757.db2.gz WRSKGHLQNULZBJ-MNOVXSKESA-N -1 1 315.329 1.413 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C2(C)CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012503824 755111787 /nfs/dbraw/zinc/11/17/87/755111787.db2.gz GXXBDNLDKDGWST-RYUDHWBXSA-N -1 1 317.389 1.697 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCC(C)C)C1 ZINC001079653002 755503931 /nfs/dbraw/zinc/50/39/31/755503931.db2.gz PKFRRNXSVZETLF-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM C[C@H]1[C@@H](NC(=O)CC2CC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014689408 755887649 /nfs/dbraw/zinc/88/76/49/755887649.db2.gz PJVDHUYSTFPGBC-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1[C@H](NC(=O)CC2CC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014689412 755887653 /nfs/dbraw/zinc/88/76/53/755887653.db2.gz PJVDHUYSTFPGBC-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM O=C(N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)[C@@]12C[C@@H]1CCCC2 ZINC001054298172 759415576 /nfs/dbraw/zinc/41/55/76/759415576.db2.gz WTUKOOMDNDRYFA-OZVIIMIRSA-N -1 1 319.409 1.171 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CO1 ZINC000828054670 759464363 /nfs/dbraw/zinc/46/43/63/759464363.db2.gz MRCUBZXLYUAYJH-ZYHUDNBSSA-N -1 1 302.334 1.877 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CO1 ZINC000828054669 759464835 /nfs/dbraw/zinc/46/48/35/759464835.db2.gz MRCUBZXLYUAYJH-PWSUYJOCSA-N -1 1 302.334 1.877 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C[C@H]2CCN2Cc2ccccc2)c1[O-] ZINC001085550391 759686744 /nfs/dbraw/zinc/68/67/44/759686744.db2.gz SMAZIUDPMSHAKS-CQSZACIVSA-N -1 1 314.389 1.770 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)/C=C\C1CC1 ZINC001020144488 760620471 /nfs/dbraw/zinc/62/04/71/760620471.db2.gz HISCMFRDMSUEOY-XZNJXGJCSA-N -1 1 315.373 1.473 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001540369 762946181 /nfs/dbraw/zinc/94/61/81/762946181.db2.gz ANINANZMEXQGSE-QWHCGFSZSA-N -1 1 317.389 1.268 20 0 DDADMM CCCCC(=O)N1CC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001050078012 763100670 /nfs/dbraw/zinc/10/06/70/763100670.db2.gz FRJAWHAIPHXGRW-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CCc1nsc(N(C)C[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108932587 763142352 /nfs/dbraw/zinc/14/23/52/763142352.db2.gz CAPNEIWMRYPQOY-VIFPVBQESA-N -1 1 321.406 1.456 20 0 DDADMM C[C@H](c1csnn1)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042646163 764310147 /nfs/dbraw/zinc/31/01/47/764310147.db2.gz MEDCDQGDCJDPJE-SECBINFHSA-N -1 1 319.390 1.156 20 0 DDADMM O=C(N[C@H]1CCCN(Cc2ccon2)CC1)c1ncccc1[O-] ZINC001052330211 765727025 /nfs/dbraw/zinc/72/70/25/765727025.db2.gz PGYAHVOJZPVATG-LBPRGKRZSA-N -1 1 316.361 1.560 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)CCCCNCc2ccon2)c1[O-] ZINC001170187363 766163318 /nfs/dbraw/zinc/16/33/18/766163318.db2.gz ADSRDOOAKOTQCD-SNVBAGLBSA-N -1 1 321.381 1.490 20 0 DDADMM Cc1cc(C(F)(F)F)c(Nc2[n-]c(=O)nc3nc[nH]c32)nn1 ZINC001170227812 766202523 /nfs/dbraw/zinc/20/25/23/766202523.db2.gz VAQCZXFWDNEICC-UHFFFAOYSA-N -1 1 311.227 1.919 20 0 DDADMM O=C(NCC1(Nc2cccc(F)n2)CC1)c1ncccc1[O-] ZINC001110097860 766257657 /nfs/dbraw/zinc/25/76/57/766257657.db2.gz IMUJSZTZMITJKO-UHFFFAOYSA-N -1 1 302.309 1.696 20 0 DDADMM Cc1ccc(N[C@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)nn1 ZINC001069066098 767815312 /nfs/dbraw/zinc/81/53/12/767815312.db2.gz SRDRJBMQNDJULM-NEPJUHHUSA-N -1 1 313.361 1.601 20 0 DDADMM CS[C@@H](C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648321 768643293 /nfs/dbraw/zinc/64/32/93/768643293.db2.gz FJYPRUPUAVUUJH-WDEREUQCSA-N -1 1 323.418 1.260 20 0 DDADMM CC(C)CC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071953039 770338554 /nfs/dbraw/zinc/33/85/54/770338554.db2.gz UNIGRFZROKKSBR-NEPJUHHUSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@@H](CCC(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001134806604 771186164 /nfs/dbraw/zinc/18/61/64/771186164.db2.gz LXSPTUWMWFZJQX-LBPRGKRZSA-N -1 1 317.393 1.300 20 0 DDADMM Cn1c2ccc(F)cc2nc1NC(=O)CCCc1nn[n-]n1 ZINC001137004283 772252135 /nfs/dbraw/zinc/25/21/35/772252135.db2.gz UOZAFMULVNFHPW-UHFFFAOYSA-N -1 1 303.301 1.187 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3ocnc3c2)n1 ZINC001149379192 772565158 /nfs/dbraw/zinc/56/51/58/772565158.db2.gz HBSHCBRAADSSDG-UHFFFAOYSA-N -1 1 300.274 1.980 20 0 DDADMM O=C([O-])c1ccsc1CN1C[C@H]2CN(CC3CC3)C[C@@H](C1)O2 ZINC001144670309 772591002 /nfs/dbraw/zinc/59/10/02/772591002.db2.gz HLRDVZTZEAYAEM-BETUJISGSA-N -1 1 322.430 1.741 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(Cc2cc(F)c([O-])cc2F)C1 ZINC001144660256 772591964 /nfs/dbraw/zinc/59/19/64/772591964.db2.gz YKUYMBMMAFJYSY-JTQLQIEISA-N -1 1 305.346 1.679 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2sccc2C(=O)[O-])CCS1 ZINC001144680494 772595328 /nfs/dbraw/zinc/59/53/28/772595328.db2.gz PXWFLONUZIDJPS-LLVKDONJSA-N -1 1 315.416 1.927 20 0 DDADMM Cc1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c(Cl)c1C ZINC001147803136 773251071 /nfs/dbraw/zinc/25/10/71/773251071.db2.gz ASCZTEPLKHYACT-UHFFFAOYSA-N -1 1 323.784 1.300 20 0 DDADMM Cc1ccc(C(C)(C)C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001148329696 773438192 /nfs/dbraw/zinc/43/81/92/773438192.db2.gz PDJUXRNXPABVAJ-UHFFFAOYSA-N -1 1 317.393 1.002 20 0 DDADMM Cc1cc(CNC(=O)c2ccc(-n3[n-]c(C)cc3=O)cc2)[nH]n1 ZINC001148335748 773440625 /nfs/dbraw/zinc/44/06/25/773440625.db2.gz INYOLSXVJVRPRF-UHFFFAOYSA-N -1 1 311.345 1.761 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCc3cc(C)[nH]n3)cc2)[n-]1 ZINC001148335748 773440632 /nfs/dbraw/zinc/44/06/32/773440632.db2.gz INYOLSXVJVRPRF-UHFFFAOYSA-N -1 1 311.345 1.761 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCc3nccnc3C)cc2)[n-]1 ZINC001148340638 773442530 /nfs/dbraw/zinc/44/25/30/773442530.db2.gz QENHSNWHGMBQRN-UHFFFAOYSA-N -1 1 323.356 1.828 20 0 DDADMM CC(=O)c1cc(C(=O)n2c(Cl)ncc(N)c2=N)ccc1[O-] ZINC001148655758 773552618 /nfs/dbraw/zinc/55/26/18/773552618.db2.gz INBCTHRJLICLED-UHFFFAOYSA-N -1 1 306.709 1.195 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccc(N2CCOCC2)nc1 ZINC001174974358 777638988 /nfs/dbraw/zinc/63/89/88/777638988.db2.gz HFYSGJWKKDSLDZ-UHFFFAOYSA-N -1 1 320.378 1.028 20 0 DDADMM CC[C@H](C)CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101918024 777677426 /nfs/dbraw/zinc/67/74/26/777677426.db2.gz GPJYVHFIJWXHPX-QJPTWQEYSA-N -1 1 309.414 1.131 20 0 DDADMM Cc1[nH]nc2cc(NS(=O)(=O)c3ccc(N)c(N)c3)ccc12 ZINC001175662470 777866801 /nfs/dbraw/zinc/86/68/01/777866801.db2.gz NOFNOCLJMSWGLP-UHFFFAOYSA-N -1 1 317.374 1.837 20 0 DDADMM COc1ccc(N)cc1S(=O)(=O)[N-]c1ccc2[nH]nnc2c1 ZINC001176004902 777997843 /nfs/dbraw/zinc/99/78/43/777997843.db2.gz QLJWREXKWYDALC-UHFFFAOYSA-N -1 1 319.346 1.350 20 0 DDADMM COC(=O)c1nsnc1[N-]c1cc(C(=O)N(C)C)ccc1F ZINC001176046312 778008303 /nfs/dbraw/zinc/00/83/03/778008303.db2.gz VHEDSZCKQHBMLI-UHFFFAOYSA-N -1 1 324.337 1.909 20 0 DDADMM O=C(NCC[C@@H]1CCN(c2ncccn2)C1)c1ncccc1[O-] ZINC001102322985 778056079 /nfs/dbraw/zinc/05/60/79/778056079.db2.gz JCRZEVKLPZSNRU-GFCCVEGCSA-N -1 1 313.361 1.224 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2cnc3nonc3c2)c1 ZINC001176692824 778214099 /nfs/dbraw/zinc/21/40/99/778214099.db2.gz XLSQHCUVHUYSDV-UHFFFAOYSA-N -1 1 320.330 1.861 20 0 DDADMM O=C(COC/C=C\c1ccccc1)NC1(c2nn[n-]n2)CCC1 ZINC001176726279 778221330 /nfs/dbraw/zinc/22/13/30/778221330.db2.gz OUXJXTPXCWMKJL-YWEYNIOJSA-N -1 1 313.361 1.425 20 0 DDADMM Cc1cc(NC(=O)Cc2nn[n-]n2)nc(C)c1Br ZINC001176836519 778268172 /nfs/dbraw/zinc/26/81/72/778268172.db2.gz RKLQNVBSAPMSSG-UHFFFAOYSA-N -1 1 311.143 1.155 20 0 DDADMM C[C@@H](C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001102828569 778430925 /nfs/dbraw/zinc/43/09/25/778430925.db2.gz VMTGQPIURLYTKZ-NQBHXWOUSA-N -1 1 321.425 1.131 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1ncncc1Cl ZINC001103068534 778615919 /nfs/dbraw/zinc/61/59/19/778615919.db2.gz GUGZOOZAKHGVSA-SECBINFHSA-N -1 1 321.768 1.851 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)[C@@H]1C[C@@H]1c1cccs1 ZINC001177544470 778644122 /nfs/dbraw/zinc/64/41/22/778644122.db2.gz RAUYHSIGDBPSKZ-NTSWFWBYSA-N -1 1 317.330 1.519 20 0 DDADMM CC(C)(Cc1ccncc1)C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001178254962 778954688 /nfs/dbraw/zinc/95/46/88/778954688.db2.gz VTYVJTDJNULWNT-UHFFFAOYSA-N -1 1 312.333 1.661 20 0 DDADMM CCc1onc(C)c1CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001178769521 779167132 /nfs/dbraw/zinc/16/71/32/779167132.db2.gz LVTPOSADLWDXHS-UHFFFAOYSA-N -1 1 308.363 1.568 20 0 DDADMM CCC(CC)[C@@H](C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179032163 779269587 /nfs/dbraw/zinc/26/95/87/779269587.db2.gz JGXYFIVXEBECQB-MDZLAQPJSA-N -1 1 323.441 1.662 20 0 DDADMM Cc1ccc(NC2(CNC(=O)c3ncccc3[O-])CCC2)nn1 ZINC001111788108 779482449 /nfs/dbraw/zinc/48/24/49/779482449.db2.gz ARMXDSRQVAXDCT-UHFFFAOYSA-N -1 1 313.361 1.650 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCC1(C)CC1 ZINC001179848985 779507123 /nfs/dbraw/zinc/50/71/23/779507123.db2.gz XUTQYDIVOSMVFS-QWRGUYRKSA-N -1 1 307.398 1.170 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCc2cccnc21 ZINC001149500737 861661714 /nfs/dbraw/zinc/66/17/14/861661714.db2.gz PFYKIJHORGCBOX-UKRRQHHQSA-N -1 1 320.356 1.530 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCc2cccnc21 ZINC001149500736 861661339 /nfs/dbraw/zinc/66/13/39/861661339.db2.gz PFYKIJHORGCBOX-HIFRSBDPSA-N -1 1 320.356 1.530 20 0 DDADMM COc1nscc1[N-]C(=O)c1cc(S(C)(=O)=O)c(C)o1 ZINC001434363592 846578482 /nfs/dbraw/zinc/57/84/82/846578482.db2.gz YBSLWNGPPGSJGO-UHFFFAOYSA-N -1 1 316.360 1.709 20 0 DDADMM Cc1nc(CN2C[C@@H]3C[C@H]2CN3C(=O)c2ncccc2[O-])co1 ZINC001032369206 847172750 /nfs/dbraw/zinc/17/27/50/847172750.db2.gz XHORSVUOKWHSEM-STQMWFEESA-N -1 1 314.345 1.183 20 0 DDADMM CN1CC[C@@]2(CCCN2Cc2ccc(OCC(=O)[O-])cc2)C1=O ZINC001272907132 847618847 /nfs/dbraw/zinc/61/88/47/847618847.db2.gz BPKCYASOBDCDLX-KRWDZBQOSA-N -1 1 318.373 1.347 20 0 DDADMM Nc1ccc(F)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001155266055 861911671 /nfs/dbraw/zinc/91/16/71/861911671.db2.gz JDVCPHYOLGWHGO-MRVPVSSYSA-N -1 1 306.297 1.516 20 0 DDADMM O=C(c1cc2c[nH]ccc-2n1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001155265976 861912922 /nfs/dbraw/zinc/91/29/22/861912922.db2.gz CFBGJPXIGZVAAS-SECBINFHSA-N -1 1 313.317 1.671 20 0 DDADMM O=C(NCCc1cn2ccccc2n1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155269922 861917680 /nfs/dbraw/zinc/91/76/80/861917680.db2.gz VGBTYIKGESNJJX-UHFFFAOYSA-N -1 1 321.340 1.889 20 0 DDADMM CN(C)c1nc(NC(=S)NCC(C)(C)C)c(N=O)c(=O)[n-]1 ZINC001247942184 850893284 /nfs/dbraw/zinc/89/32/84/850893284.db2.gz PUAFGBUYXRBVRN-UHFFFAOYSA-N -1 1 312.399 1.979 20 0 DDADMM Cc1oc(S(C)(=O)=O)cc1C(=O)[N-]c1nc(C2CC2)no1 ZINC000863878373 851632203 /nfs/dbraw/zinc/63/22/03/851632203.db2.gz RQNPCQBBBRDJOC-UHFFFAOYSA-N -1 1 311.319 1.504 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC3(CC[C@H](C(N)=O)C3)CC2)n1 ZINC001274975341 852723705 /nfs/dbraw/zinc/72/37/05/852723705.db2.gz XARADHGLPMVLJC-LBPRGKRZSA-N -1 1 317.389 1.603 20 0 DDADMM C[C@H](NC(=O)c1cnn[nH]1)[C@H](C)NC(=O)C1(C)CCCCC1 ZINC001411427512 853096226 /nfs/dbraw/zinc/09/62/26/853096226.db2.gz OFVGREMCEFIHMM-QWRGUYRKSA-N -1 1 307.398 1.398 20 0 DDADMM [O-]c1cc(CN2CC3(CN(Cc4cn[nH]c4)C3)C2)cc(F)c1F ZINC001275619524 853443201 /nfs/dbraw/zinc/44/32/01/853443201.db2.gz ZAVVOKXMOVVVNL-UHFFFAOYSA-N -1 1 320.343 1.711 20 0 DDADMM CCN(C(=O)c1cc(Cl)ccc1[O-])[C@H](C)CS(C)(=O)=O ZINC001411796915 853753675 /nfs/dbraw/zinc/75/36/75/853753675.db2.gz XSESVLFSUSWPKS-SECBINFHSA-N -1 1 319.810 1.941 20 0 DDADMM CC(C)NC(=O)N1CC2(C1)CN(C(=O)c1ccc(F)c([O-])c1)C2 ZINC001275988727 854096052 /nfs/dbraw/zinc/09/60/52/854096052.db2.gz FMZFUJLTXPRRRN-UHFFFAOYSA-N -1 1 321.352 1.407 20 0 DDADMM O=C(NC[C@@H](CO)Cc1cccnc1)c1cccc([O-])c1F ZINC001412114675 854232341 /nfs/dbraw/zinc/23/23/41/854232341.db2.gz ZSOONWWSHGRMOL-LBPRGKRZSA-N -1 1 304.321 1.507 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H]2c2ncon2)c(=O)[n-]1 ZINC001412168369 854288318 /nfs/dbraw/zinc/28/83/18/854288318.db2.gz QVZRJHJEZUISJO-MRVPVSSYSA-N -1 1 307.335 1.264 20 0 DDADMM Cc1ncccc1NC(=O)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001412198551 854324298 /nfs/dbraw/zinc/32/42/98/854324298.db2.gz DTUOQCJVDSXAKM-JTQLQIEISA-N -1 1 300.318 1.248 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C[C@H]1CCCO1 ZINC001412293735 854416373 /nfs/dbraw/zinc/41/63/73/854416373.db2.gz RUKKOFDMTQORJD-AXFHLTTASA-N -1 1 322.327 1.614 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)[n-]c1Cl ZINC001412299191 854420484 /nfs/dbraw/zinc/42/04/84/854420484.db2.gz DUVUHCMSXAQNJD-PRJMDXOYSA-N -1 1 313.741 1.746 20 0 DDADMM CCc1nc(C)c(C(=O)N[C@@H](CCSC)c2nn[n-]n2)o1 ZINC001412372649 854478518 /nfs/dbraw/zinc/47/85/18/854478518.db2.gz YHYFAOXPIWGLCF-QMMMGPOBSA-N -1 1 310.383 1.283 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@H](CNC(=O)c2ccc([O-])cn2)C1 ZINC001412458769 854576664 /nfs/dbraw/zinc/57/66/64/854576664.db2.gz JEJPAXIDTWFKDG-XYPYZODXSA-N -1 1 321.377 1.820 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@]12CCO[C@H]1CCCC2 ZINC001412545649 854694695 /nfs/dbraw/zinc/69/46/95/854694695.db2.gz OPTOGNUXQRSANR-WFASDCNBSA-N -1 1 307.398 1.534 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)C1(F)CCCC1 ZINC001412584945 854761900 /nfs/dbraw/zinc/76/19/00/854761900.db2.gz IKLVADAYDNWION-JTQLQIEISA-N -1 1 324.356 1.927 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)C1(F)CCCC1 ZINC001412584945 854761911 /nfs/dbraw/zinc/76/19/11/854761911.db2.gz IKLVADAYDNWION-JTQLQIEISA-N -1 1 324.356 1.927 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)C1(F)CCCC1 ZINC001412584945 854761914 /nfs/dbraw/zinc/76/19/14/854761914.db2.gz IKLVADAYDNWION-JTQLQIEISA-N -1 1 324.356 1.927 20 0 DDADMM COC[C@H](NC(=O)C[C@@H](c1ccccc1)C(C)C)c1nn[n-]n1 ZINC001412589019 854775262 /nfs/dbraw/zinc/77/52/62/854775262.db2.gz GKLSODPJLYLSTM-KGLIPLIRSA-N -1 1 317.393 1.833 20 0 DDADMM CCc1c[nH]c(CNC(=O)c2c(C)[n-]c(=O)nc2SC)n1 ZINC001412604729 854798497 /nfs/dbraw/zinc/79/84/97/854798497.db2.gz DISIOEZQLVWOMI-UHFFFAOYSA-N -1 1 307.379 1.428 20 0 DDADMM CC(=O)N[C@H](C)CN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001412636794 854859112 /nfs/dbraw/zinc/85/91/12/854859112.db2.gz XRXANIGWAKXGCH-SECBINFHSA-N -1 1 308.382 1.211 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CCN(C(=O)c1cc([O-])cc(F)c1)CC2 ZINC001276183539 854920493 /nfs/dbraw/zinc/92/04/93/854920493.db2.gz PRZLPDJKMJNBFH-CQSZACIVSA-N -1 1 320.364 1.862 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)c1noc(C)n1 ZINC001412737065 855048301 /nfs/dbraw/zinc/04/83/01/855048301.db2.gz YBPUEGAWIDIGGK-LURJTMIESA-N -1 1 309.351 1.395 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CSC(c2ccccc2O)=N1 ZINC001413032195 855994987 /nfs/dbraw/zinc/99/49/87/855994987.db2.gz UEBIKSFONYDSBG-VIFPVBQESA-N -1 1 318.358 1.630 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H]3O[C@@H](c4ccccc4)C[C@H]32)nc1=O ZINC001413078008 856368989 /nfs/dbraw/zinc/36/89/89/856368989.db2.gz LFZUVTNJNKQBFW-RBSFLKMASA-N -1 1 314.389 1.603 20 0 DDADMM CSCC(=O)NC[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001413176980 856520627 /nfs/dbraw/zinc/52/06/27/856520627.db2.gz QLCNZSICGYPJQK-MNOVXSKESA-N -1 1 323.418 1.165 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC001413311748 856632131 /nfs/dbraw/zinc/63/21/31/856632131.db2.gz XDPPNWLGGGPPSV-FXBDTBDDSA-N -1 1 318.420 1.244 20 0 DDADMM Cc1cnc(C(=O)NCc2cc(=O)[nH]c(C3CCC3)n2)c([O-])c1 ZINC001413355580 856706785 /nfs/dbraw/zinc/70/67/85/856706785.db2.gz LVBAECXYYZJFLM-UHFFFAOYSA-N -1 1 314.345 1.789 20 0 DDADMM O=S(=O)([N-]Cc1ccc(CO)cc1)c1cccc(F)c1F ZINC001413388632 856742391 /nfs/dbraw/zinc/74/23/91/856742391.db2.gz KKMLHEADBWNEEZ-UHFFFAOYSA-N -1 1 313.325 1.936 20 0 DDADMM O=C(C[N-]S(=O)(=O)Cc1ccno1)c1cc(F)cc(F)c1 ZINC001413456040 856834924 /nfs/dbraw/zinc/83/49/24/856834924.db2.gz BCWVDPOROLCXGM-UHFFFAOYSA-N -1 1 316.285 1.255 20 0 DDADMM CN(C)Cc1csc(CNC(=O)c2ccc(F)c([O-])c2)n1 ZINC001413593089 857200194 /nfs/dbraw/zinc/20/01/94/857200194.db2.gz FTMUVWHWYQVRSO-UHFFFAOYSA-N -1 1 309.366 1.979 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)c1nnc2c(C)cccn12 ZINC001156344887 862953122 /nfs/dbraw/zinc/95/31/22/862953122.db2.gz ALQHHBKFBJGVDN-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM CCN(CCF)[C@H](C)CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001156359451 862970144 /nfs/dbraw/zinc/97/01/44/862970144.db2.gz TZLUFBHBORSMNX-SECBINFHSA-N -1 1 310.329 1.338 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC(NC2COC2)C1 ZINC001413729831 858206643 /nfs/dbraw/zinc/20/66/43/858206643.db2.gz LYIUIGLJGHSKKY-UHFFFAOYSA-N -1 1 316.279 1.224 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cncnc2C)[n-]c1=O ZINC001413765421 858499565 /nfs/dbraw/zinc/49/95/65/858499565.db2.gz KOFJQZHWYCCLDN-NSHDSACASA-N -1 1 315.333 1.267 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H](OCC[P@](=O)([O-])O)CC1 ZINC001224601990 881464010 /nfs/dbraw/zinc/46/40/10/881464010.db2.gz BFHDVIJAPUSKBY-NSHDSACASA-N -1 1 323.326 1.970 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[N@@H+](Cc3ccncc3O)C[C@H]21 ZINC001140282109 860610635 /nfs/dbraw/zinc/61/06/35/860610635.db2.gz MKGVYHKVNOVEQG-QWHCGFSZSA-N -1 1 305.378 1.838 20 0 DDADMM Cc1nnc2n1C[C@H](C(=O)Nc1ccc([O-])c(F)c1F)CC2 ZINC001140657467 860667761 /nfs/dbraw/zinc/66/77/61/860667761.db2.gz RYJLRYQUAZTSSZ-MRVPVSSYSA-N -1 1 308.288 1.771 20 0 DDADMM Cc1cc(CN[C@@H](C)[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])on1 ZINC001381359537 881570939 /nfs/dbraw/zinc/57/09/39/881570939.db2.gz IVAXGGJOBZXZBE-DTWKUNHWSA-N -1 1 307.354 1.017 20 0 DDADMM CCOC(=O)CN1CCN(Cc2cc(F)c([O-])c(F)c2)CC1 ZINC001140987902 860753354 /nfs/dbraw/zinc/75/33/54/860753354.db2.gz GFHGNHZCYSNMCX-UHFFFAOYSA-N -1 1 314.332 1.351 20 0 DDADMM O=C([O-])/C=C\c1ccccc1-c1noc([C@H]2CNCCO2)n1 ZINC001325897126 860930580 /nfs/dbraw/zinc/93/05/80/860930580.db2.gz SRLSMAGOCMFAFQ-FOQNGQEVSA-N -1 1 301.302 1.495 20 0 DDADMM COc1cc(CCC(=O)Nc2cc(=O)[n-]c(SC)n2)ccn1 ZINC001142228538 861080782 /nfs/dbraw/zinc/08/07/82/861080782.db2.gz TYOSNLRTJMRZMP-UHFFFAOYSA-N -1 1 320.374 1.879 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C1=CCCCCC1 ZINC001374214928 912213385 /nfs/dbraw/zinc/21/33/85/912213385.db2.gz QGKCTNKLEVEKMU-NSHDSACASA-N -1 1 307.398 1.337 20 0 DDADMM Cc1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c2nccn2c1 ZINC001361430780 881638011 /nfs/dbraw/zinc/63/80/11/881638011.db2.gz VOFLNGDFKPIITQ-UHFFFAOYSA-N -1 1 306.329 1.550 20 0 DDADMM O=C(c1cc2ccccc2[nH]c1=O)N1CCC(c2nn[n-]n2)CC1 ZINC001152485838 863387031 /nfs/dbraw/zinc/38/70/31/863387031.db2.gz CKFRNLMMOWIYSM-UHFFFAOYSA-N -1 1 324.344 1.473 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CCc1ccsc1 ZINC001381395562 881662118 /nfs/dbraw/zinc/66/21/18/881662118.db2.gz SFBWEIIJXQETNC-UWVGGRQHSA-N -1 1 323.422 1.187 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccn2nccc2c1 ZINC001152835080 863559510 /nfs/dbraw/zinc/55/95/10/863559510.db2.gz UZABFEINBZGZNI-UHFFFAOYSA-N -1 1 300.234 1.186 20 0 DDADMM C[C@]1(CO)CCN(C(=O)c2c([O-])ccc3ccccc32)C[C@@H]1O ZINC001153499399 863914119 /nfs/dbraw/zinc/91/41/19/863914119.db2.gz VFJADCWGEACULJ-MAUKXSAKSA-N -1 1 315.369 1.751 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H]1O)c1cnc(Cl)cc1Cl ZINC001329887572 863921755 /nfs/dbraw/zinc/92/17/55/863921755.db2.gz GVTJIYPTLXWZRJ-YUMQZZPRSA-N -1 1 311.190 1.580 20 0 DDADMM Cc1nc2c(cccc2C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)[nH]1 ZINC001153615199 863976082 /nfs/dbraw/zinc/97/60/82/863976082.db2.gz FDIHNNRQMVVUCG-UHFFFAOYSA-N -1 1 309.289 1.496 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCC3(CNC(=O)O3)CC2)c1 ZINC001157708681 864065662 /nfs/dbraw/zinc/06/56/62/864065662.db2.gz UCDFNBWHJNZTMB-UHFFFAOYSA-N -1 1 318.329 1.228 20 0 DDADMM CSc1ncc(C(=O)NCCCc2ncccc2C)c(=O)[n-]1 ZINC001157817600 864167827 /nfs/dbraw/zinc/16/78/27/864167827.db2.gz GKZDNNDCPMYUNL-UHFFFAOYSA-N -1 1 318.402 1.970 20 0 DDADMM O=C(NCc1cccc2ccnn21)c1c[n-]c2cccnc2c1=O ZINC001153861589 864171426 /nfs/dbraw/zinc/17/14/26/864171426.db2.gz FUUZDPYEEIRDLF-UHFFFAOYSA-N -1 1 319.324 1.501 20 0 DDADMM CC(C)(O)CO[N-]C(=O)[C@H]1CCCCN1Cc1ccncc1 ZINC001331135288 864887083 /nfs/dbraw/zinc/88/70/83/864887083.db2.gz LYOCMTZMZMWZDG-CQSZACIVSA-N -1 1 307.394 1.255 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccnc(-c4ccccc4)n3)c2[nH]1 ZINC001159186168 865154360 /nfs/dbraw/zinc/15/43/60/865154360.db2.gz QLJXMSXVFAUYJJ-UHFFFAOYSA-N -1 1 321.300 1.916 20 0 DDADMM Nc1cc(F)c(Cl)nc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001159189646 865155996 /nfs/dbraw/zinc/15/59/96/865155996.db2.gz NVBOOQPASCJCOP-UHFFFAOYSA-N -1 1 311.664 1.229 20 0 DDADMM COC(=O)[C@H]1[C@@H]2CN(C(=O)c3cc(C)cc(C=O)c3[O-])C[C@@H]21 ZINC001159209041 865168916 /nfs/dbraw/zinc/16/89/16/865168916.db2.gz VHKUHCZOPJPXDF-ITGUQSILSA-N -1 1 303.314 1.004 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1c(O)cccc1Cl ZINC001159656965 865452082 /nfs/dbraw/zinc/45/20/82/865452082.db2.gz GXUOYMIAMBWTQD-UHFFFAOYSA-N -1 1 321.720 1.515 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCCC(F)F)c(C(F)(F)F)n1 ZINC001332322424 865758074 /nfs/dbraw/zinc/75/80/74/865758074.db2.gz JFNGJFOTGODFMG-UHFFFAOYSA-N -1 1 321.271 1.763 20 0 DDADMM CCOC(OCC)[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001160183534 865714702 /nfs/dbraw/zinc/71/47/02/865714702.db2.gz DDHULTMTMLMHFH-VIFPVBQESA-N -1 1 309.366 1.577 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccns2)c1 ZINC001160667735 866003647 /nfs/dbraw/zinc/00/36/47/866003647.db2.gz QITMSEJAHFTEGA-UHFFFAOYSA-N -1 1 313.360 1.009 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCCC(=O)NC1CC1 ZINC001319783361 866288917 /nfs/dbraw/zinc/28/89/17/866288917.db2.gz PRHYZTWHDWSKAB-UHFFFAOYSA-N -1 1 324.406 1.001 20 0 DDADMM COC(=O)C(C)(C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001361613910 882008949 /nfs/dbraw/zinc/00/89/49/882008949.db2.gz JQBLARZOZUKAGM-UHFFFAOYSA-N -1 1 306.293 1.761 20 0 DDADMM Cc1cc[nH]c(=O)c1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC001324186325 867129751 /nfs/dbraw/zinc/12/97/51/867129751.db2.gz XSHWXANBYAPKSB-UHFFFAOYSA-N -1 1 306.240 1.321 20 0 DDADMM O=C([O-])[C@@H](CO)NCc1ccc(Br)c(F)c1F ZINC001334088712 867286353 /nfs/dbraw/zinc/28/63/53/867286353.db2.gz MGOKVLRAFSKRJN-SSDOTTSWSA-N -1 1 310.094 1.262 20 0 DDADMM COC1([C@H](C)NC(=O)c2cc(C)cc(C=O)c2[O-])CCOCC1 ZINC001162191027 867328873 /nfs/dbraw/zinc/32/88/73/867328873.db2.gz JYMUSKOIFLRCER-LBPRGKRZSA-N -1 1 321.373 1.827 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)/C=C/C(C)(C)C ZINC001334234345 867408455 /nfs/dbraw/zinc/40/84/55/867408455.db2.gz HWYRNSNXSYXGDY-IDVQTMNDSA-N -1 1 319.405 1.966 20 0 DDADMM Cc1cc2c(c(NC[C@H]3c4ccccc4NC3=O)n1)C(=O)[N-]C2=O ZINC001162615036 867680956 /nfs/dbraw/zinc/68/09/56/867680956.db2.gz UJGWFCLQQSELDX-NSHDSACASA-N -1 1 322.324 1.421 20 0 DDADMM CCOC(=O)c1nc(NC(=O)CC[C@@H](C)OC)[n-]c1Cl ZINC001361670994 882126720 /nfs/dbraw/zinc/12/67/20/882126720.db2.gz IVPYCNLNBSGUIH-SSDOTTSWSA-N -1 1 303.746 1.993 20 0 DDADMM CC1CC(CNC(=O)C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC001336555387 869012010 /nfs/dbraw/zinc/01/20/10/869012010.db2.gz FZZYVYOGUYALSO-UHFFFAOYSA-N -1 1 310.781 1.824 20 0 DDADMM CN(C)C(=O)c1cccc(NCC[N-]C(=O)C(F)(F)F)c1 ZINC001164243910 869050808 /nfs/dbraw/zinc/05/08/08/869050808.db2.gz LAUOZAJWXYLTMJ-UHFFFAOYSA-N -1 1 303.284 1.479 20 0 DDADMM Cn1c(=O)cnc2ccc(NCC[N-]C(=O)C(F)(F)F)cc21 ZINC001164243808 869050904 /nfs/dbraw/zinc/05/09/04/869050904.db2.gz HBGSTMFYEYKUSS-UHFFFAOYSA-N -1 1 314.267 1.024 20 0 DDADMM O=C([N-]CCNc1cnn(CC2CCC2)c(=O)c1)C(F)(F)F ZINC001164251573 869061119 /nfs/dbraw/zinc/06/11/19/869061119.db2.gz YEVYIFLWHRSRSC-UHFFFAOYSA-N -1 1 318.299 1.134 20 0 DDADMM C[C@H](CN(C)C(=O)/C=C\C(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001337081450 869312712 /nfs/dbraw/zinc/31/27/12/869312712.db2.gz SEEDDEUYLPLHEE-JLVNDZCYSA-N -1 1 319.405 1.966 20 0 DDADMM COc1cccc(CN(C)C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)c1 ZINC001361749224 882289305 /nfs/dbraw/zinc/28/93/05/882289305.db2.gz WRXULDAFZAXUSJ-UHFFFAOYSA-N -1 1 319.317 1.177 20 0 DDADMM Cn1c(-c2nc(Cl)n[n-]2)nnc1N1CCc2ccccc2C1 ZINC001338059090 869773693 /nfs/dbraw/zinc/77/36/93/869773693.db2.gz IBVMLDSVIKNGDQ-UHFFFAOYSA-N -1 1 315.768 1.816 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CC[C@H](c2ccccc2)C1 ZINC001339057917 870324041 /nfs/dbraw/zinc/32/40/41/870324041.db2.gz LCCLHDJTUJUYPV-LBPRGKRZSA-N -1 1 310.361 1.905 20 0 DDADMM COc1cc(N2CCC([N-]C(=O)C(F)(F)F)CC2)nc(C)n1 ZINC001166897476 870350258 /nfs/dbraw/zinc/35/02/58/870350258.db2.gz WJXIKFMTHYMVMS-UHFFFAOYSA-N -1 1 318.299 1.441 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c3nc[nH]c3ccc2F)n1 ZINC001298980289 870798044 /nfs/dbraw/zinc/79/80/44/870798044.db2.gz GCIZICSSVFAEJD-UHFFFAOYSA-N -1 1 317.280 1.854 20 0 DDADMM CC(=CC(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccc(F)cc1 ZINC001301573550 871005623 /nfs/dbraw/zinc/00/56/23/871005623.db2.gz CMOIORDTYXVLFT-TWGQIWQCSA-N -1 1 303.293 1.747 20 0 DDADMM Cn1cc(C=O)cc1C(=O)NCCc1c(F)cc([O-])cc1F ZINC001304012977 871176505 /nfs/dbraw/zinc/17/65/05/871176505.db2.gz XPYCNBZSPKXNCL-UHFFFAOYSA-N -1 1 308.284 1.794 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCN(C[C@@H]2CCCO2)CC1 ZINC001361845101 882486268 /nfs/dbraw/zinc/48/62/68/882486268.db2.gz BYGGZRYETXAYKI-ZDUSSCGKSA-N -1 1 324.808 1.982 20 0 DDADMM O=S(=O)([N-]Cc1ccc(-n2cccn2)cc1)c1ccns1 ZINC001309056250 871548774 /nfs/dbraw/zinc/54/87/74/871548774.db2.gz VJSNWTADVBIYFS-UHFFFAOYSA-N -1 1 320.399 1.807 20 0 DDADMM O=S(=O)([N-]CCNc1ncccn1)c1sccc1Cl ZINC001309829895 871601824 /nfs/dbraw/zinc/60/18/24/871601824.db2.gz RDMAAJJXITWTGH-UHFFFAOYSA-N -1 1 318.811 1.582 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1ccnc(C2CC2)n1 ZINC001361870440 882540780 /nfs/dbraw/zinc/54/07/80/882540780.db2.gz FNUHOGPDDQNHKM-UHFFFAOYSA-N -1 1 311.345 1.981 20 0 DDADMM CC(C)c1oc(-c2ccccc2)nc1C(=O)NCc1nn[n-]n1 ZINC001318433732 872036951 /nfs/dbraw/zinc/03/69/51/872036951.db2.gz KIADAOGRJZETLD-UHFFFAOYSA-N -1 1 312.333 1.908 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)c1cccc(F)c1[O-] ZINC001361884036 882572549 /nfs/dbraw/zinc/57/25/49/882572549.db2.gz CJEOBNCQGVLQBR-UHFFFAOYSA-N -1 1 307.281 1.992 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N(C)CC(C)(C)C ZINC001342559480 872266166 /nfs/dbraw/zinc/26/61/66/872266166.db2.gz OCWWFKFKMVGBMW-UHFFFAOYSA-N -1 1 322.417 1.367 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N(C)CC(C)(C)C ZINC001342559480 872266182 /nfs/dbraw/zinc/26/61/82/872266182.db2.gz OCWWFKFKMVGBMW-UHFFFAOYSA-N -1 1 322.417 1.367 20 0 DDADMM Cc1cc(C(=O)OCc2nn[n-]n2)c(C)n1-c1nccs1 ZINC001345162210 873281364 /nfs/dbraw/zinc/28/13/64/873281364.db2.gz GUMROZRZEYFMKS-UHFFFAOYSA-N -1 1 304.335 1.421 20 0 DDADMM CCCC[C@H](CC)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207450492 873282817 /nfs/dbraw/zinc/28/28/17/873282817.db2.gz GVQJXSRGYGDGMP-STQMWFEESA-N -1 1 323.441 1.807 20 0 DDADMM O=c1[nH][n-]cc1-c1nnc(N2CC=CCC2)n1C[C@@H]1CCOC1 ZINC001345283730 873336070 /nfs/dbraw/zinc/33/60/70/873336070.db2.gz ZUVQHBACHGJOPC-NSHDSACASA-N -1 1 316.365 1.177 20 0 DDADMM O=C(c1cnncc1[O-])N1CCC[C@@H](c2nnc3ccccn32)C1 ZINC001346649828 873836445 /nfs/dbraw/zinc/83/64/45/873836445.db2.gz VRMFZVQLOHTSHF-LLVKDONJSA-N -1 1 324.344 1.245 20 0 DDADMM O=C(COc1cccc2ccccc21)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347196897 874049111 /nfs/dbraw/zinc/04/91/11/874049111.db2.gz HUFBLAMPNIXHQI-ZDUSSCGKSA-N -1 1 323.356 1.748 20 0 DDADMM CCN(CC(OC)OC)C(=O)c1c(C)[n-]c(=O)nc1SC ZINC001348143647 874376077 /nfs/dbraw/zinc/37/60/77/874376077.db2.gz KJOPPJHLPRUBJH-UHFFFAOYSA-N -1 1 315.395 1.294 20 0 DDADMM Cc1ccncc1C=CC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001348167726 874394512 /nfs/dbraw/zinc/39/45/12/874394512.db2.gz XEJJHUNTLBPVDU-DSYXLKISSA-N -1 1 312.377 1.398 20 0 DDADMM Cc1cnc(F)cc1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001348167779 874394799 /nfs/dbraw/zinc/39/47/99/874394799.db2.gz XMYYNPCAYFGGEF-SNVBAGLBSA-N -1 1 304.329 1.137 20 0 DDADMM CCC[C@H](C)CC(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001378281121 874606508 /nfs/dbraw/zinc/60/65/08/874606508.db2.gz YGFMVRCBMGXVAL-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1cc(C(=O)OCc2nn[n-]n2)c(C)n1Cc1ccccn1 ZINC001348851363 874830459 /nfs/dbraw/zinc/83/04/59/874830459.db2.gz YLVKRXIWLSFKMG-UHFFFAOYSA-N -1 1 312.333 1.418 20 0 DDADMM CC[C@@H](C)CCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210294565 875315885 /nfs/dbraw/zinc/31/58/85/875315885.db2.gz IGSWYXDCQRTVLK-VXGBXAGGSA-N -1 1 309.414 1.417 20 0 DDADMM CCC[C@@H](NC(=O)c1noc2c1CC(C)(C)CC2)c1nn[n-]n1 ZINC001362054350 882927542 /nfs/dbraw/zinc/92/75/42/882927542.db2.gz VTJHNHAXUFHROZ-SNVBAGLBSA-N -1 1 318.381 1.974 20 0 DDADMM O=C(c1c[nH]cc1Cc1ccccc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001350222552 875585423 /nfs/dbraw/zinc/58/54/23/875585423.db2.gz GPXBNTKEPUOQGH-ZDUSSCGKSA-N -1 1 322.372 1.748 20 0 DDADMM COCc1cncc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)c1 ZINC001211078730 875650938 /nfs/dbraw/zinc/65/09/38/875650938.db2.gz QBLLJAROCYXXJT-UHFFFAOYSA-N -1 1 304.310 1.931 20 0 DDADMM CN(C(=O)C(C)(C)C(=O)[O-])C1CCN(CCC(F)(F)F)CC1 ZINC001350801113 875898931 /nfs/dbraw/zinc/89/89/31/875898931.db2.gz JUVNXBKSQAQDGJ-UHFFFAOYSA-N -1 1 324.343 1.972 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001351407935 876235550 /nfs/dbraw/zinc/23/55/50/876235550.db2.gz YBUMKFZBZFGPQQ-ITGUQSILSA-N -1 1 317.389 1.412 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCS[C@H](C(C)C)C1 ZINC001351491380 876281952 /nfs/dbraw/zinc/28/19/52/876281952.db2.gz XUZRBMJYEGWNTK-VIFPVBQESA-N -1 1 313.448 1.993 20 0 DDADMM Cc1cnc(CN[C@@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])s1 ZINC001379100163 876400680 /nfs/dbraw/zinc/40/06/80/876400680.db2.gz KKFBXZYQSJIHMO-QMMMGPOBSA-N -1 1 323.422 1.439 20 0 DDADMM Cc1cc(C#N)cc([N-]S(=O)(=O)c2ccc(O)c(N)c2)c1 ZINC000308108258 877095330 /nfs/dbraw/zinc/09/53/30/877095330.db2.gz RFQWAXYAJRJSJI-UHFFFAOYSA-N -1 1 303.343 1.955 20 0 DDADMM CC(C)CC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001374221679 912236442 /nfs/dbraw/zinc/23/64/42/912236442.db2.gz MIHCXQRMSAQGRA-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM Cn1cc(N)nc1C(=O)Nc1nc(Br)ccc1[O-] ZINC001218514309 877523704 /nfs/dbraw/zinc/52/37/04/877523704.db2.gz SXBBBNROVYZAOR-UHFFFAOYSA-N -1 1 312.127 1.118 20 0 DDADMM CC(C)[C@@H](F)C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001395562372 912284514 /nfs/dbraw/zinc/28/45/14/912284514.db2.gz TYMFSLHHXKHDNG-CMPLNLGQSA-N -1 1 311.357 1.358 20 0 DDADMM CC(C)=C(F)C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001287597162 912337744 /nfs/dbraw/zinc/33/77/44/912337744.db2.gz KZGONBWNUHHXJU-JTQLQIEISA-N -1 1 309.341 1.627 20 0 DDADMM Cn1c(-c2cc(Cl)ncc2[O-])nnc1N1CCC(CO)CC1 ZINC001355513689 878592206 /nfs/dbraw/zinc/59/22/06/878592206.db2.gz NPVMACFMAOPLLY-UHFFFAOYSA-N -1 1 323.784 1.445 20 0 DDADMM Cc1cc[nH]c1C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001380065620 878700772 /nfs/dbraw/zinc/70/07/72/878700772.db2.gz YCWJFPIHYQRIEV-SNVBAGLBSA-N -1 1 320.397 1.081 20 0 DDADMM C[C@H](CNC(=O)C1CCCCC1)N(C)C(=O)c1ncccc1[O-] ZINC001380605105 880029359 /nfs/dbraw/zinc/02/93/59/880029359.db2.gz LFTUXSJGWVULQZ-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM NC(=O)[C@@H]1CC12CCN(C(=O)c1cc([O-])cc(F)c1F)CC2 ZINC001276827404 880254115 /nfs/dbraw/zinc/25/41/15/880254115.db2.gz KMVCGPMEWXIQAU-JTQLQIEISA-N -1 1 310.300 1.398 20 0 DDADMM CC(C)=C(C)CC(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358629078 880644031 /nfs/dbraw/zinc/64/40/31/880644031.db2.gz PYIPLIYWEKGUAO-ZDUSSCGKSA-N -1 1 317.389 1.864 20 0 DDADMM CCOC(=O)C(F)(F)[C@H](OCc1nn[n-]n1)c1ccccc1 ZINC001223027160 880788222 /nfs/dbraw/zinc/78/82/22/880788222.db2.gz MOEGABUAJKBDQU-LLVKDONJSA-N -1 1 312.276 1.656 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](OCc2nn[n-]n2)[C@H](F)C1 ZINC001223030643 880790008 /nfs/dbraw/zinc/79/00/08/880790008.db2.gz ZGTPCINTRYKWJU-BDAKNGLRSA-N -1 1 301.322 1.064 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1=COCCC1 ZINC001416211448 881004425 /nfs/dbraw/zinc/00/44/25/881004425.db2.gz WTVLJHFWYUQNSW-GFCCVEGCSA-N -1 1 319.361 1.106 20 0 DDADMM COC(=O)[C@@H](Oc1[n-]c(=O)nc2c1COC2)c1ccccc1 ZINC001227690613 883133904 /nfs/dbraw/zinc/13/39/04/883133904.db2.gz NJJPJZPTHVWAKJ-LBPRGKRZSA-N -1 1 302.286 1.506 20 0 DDADMM Cc1c(F)c(Br)ccc1C(=O)Nc1nnn[n-]1 ZINC001362161235 883182208 /nfs/dbraw/zinc/18/22/08/883182208.db2.gz KNRJLKKSZFVJLN-UHFFFAOYSA-N -1 1 300.091 1.662 20 0 DDADMM Cc1c(F)c(Br)ccc1C(=O)Nc1nn[n-]n1 ZINC001362161235 883182216 /nfs/dbraw/zinc/18/22/16/883182216.db2.gz KNRJLKKSZFVJLN-UHFFFAOYSA-N -1 1 300.091 1.662 20 0 DDADMM CCOC(=O)[C@@H](Oc1cc(=O)[n-]c(SC)n1)C(F)(F)F ZINC001228094882 883330647 /nfs/dbraw/zinc/33/06/47/883330647.db2.gz NZXSMENWNSCKLD-SSDOTTSWSA-N -1 1 312.269 1.777 20 0 DDADMM COc1ccc2c(c1)[C@H](NC(=O)CCc1nn[n-]n1)CCC2 ZINC001362300334 883514001 /nfs/dbraw/zinc/51/40/01/883514001.db2.gz JUFNYOXTJCJATA-CYBMUJFWSA-N -1 1 301.350 1.335 20 0 DDADMM CN(Cc1cn(C)nc1C(F)(F)F)C(=O)c1ccc([O-])cn1 ZINC001362314482 883546191 /nfs/dbraw/zinc/54/61/91/883546191.db2.gz SGWVFOYAIPGWSP-UHFFFAOYSA-N -1 1 314.267 1.812 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](Oc2cnnc(=S)[n-]2)C1 ZINC001228640671 883585974 /nfs/dbraw/zinc/58/59/74/883585974.db2.gz SYOCRFHBCLODJF-SECBINFHSA-N -1 1 312.395 1.939 20 0 DDADMM CCON1CCC(CC(=O)NC(CC)(CC)c2nn[n-]n2)CC1 ZINC001362421374 883773212 /nfs/dbraw/zinc/77/32/12/883773212.db2.gz DPJGKNJFADKQNI-UHFFFAOYSA-N -1 1 324.429 1.385 20 0 DDADMM CC[C@H](NC(=O)CCCc1nn[n-]n1)[C@H](O)c1ccccc1F ZINC001362445533 883826188 /nfs/dbraw/zinc/82/61/88/883826188.db2.gz JMKJQKJYTTXREP-SWLSCSKDSA-N -1 1 321.356 1.290 20 0 DDADMM COc1cccc2nc(=O)[n-]c(OC(=O)CCC[C@H](C)O)c21 ZINC001229237766 883886658 /nfs/dbraw/zinc/88/66/58/883886658.db2.gz ARKCRPSIFCSJER-VIFPVBQESA-N -1 1 306.318 1.801 20 0 DDADMM COCC[C@H](NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(N)=O ZINC001362548620 884064966 /nfs/dbraw/zinc/06/49/66/884064966.db2.gz NIUVBIRKIXSMFC-VIFPVBQESA-N -1 1 320.267 1.031 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccc(F)cc1)c1ccc([O-])cn1 ZINC001362555646 884084999 /nfs/dbraw/zinc/08/49/99/884084999.db2.gz AUWLAQFIQPYJKE-LBPRGKRZSA-N -1 1 304.321 1.507 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(Cc2ccccc2)C1 ZINC001362576577 884131847 /nfs/dbraw/zinc/13/18/47/884131847.db2.gz LQSQNXQQKFKTKJ-UHFFFAOYSA-N -1 1 315.329 1.211 20 0 DDADMM O=C(c1cc(C(F)F)[nH]n1)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC001362583316 884149533 /nfs/dbraw/zinc/14/95/33/884149533.db2.gz LXRUADGXVBVBHE-ZCFIWIBFSA-N -1 1 312.280 1.191 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccoc1 ZINC001382512423 884163459 /nfs/dbraw/zinc/16/34/59/884163459.db2.gz NMKCYSJNQNMDGV-SNVBAGLBSA-N -1 1 307.354 1.038 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(OC)c(OC)c1)c1nn[n-]n1 ZINC001362630355 884267707 /nfs/dbraw/zinc/26/77/07/884267707.db2.gz FBEGRTJQWYRPIY-UHFFFAOYSA-N -1 1 319.365 1.662 20 0 DDADMM CCC(CC)(NC(=O)CO[C@@H]1CCCC[C@@H]1C)c1nn[n-]n1 ZINC001362632114 884272099 /nfs/dbraw/zinc/27/20/99/884272099.db2.gz MYKJPTCXYGLYIL-NWDGAFQWSA-N -1 1 309.414 1.927 20 0 DDADMM O=C(c1ccc([O-])cn1)N1C[C@H](CO)Cc2cccc(F)c21 ZINC001362632531 884272433 /nfs/dbraw/zinc/27/24/33/884272433.db2.gz JNMDWRPPZSCKKL-SNVBAGLBSA-N -1 1 302.305 1.738 20 0 DDADMM CC(C)[C@H](CNC(=O)C(C)(C)C(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001374422177 912808796 /nfs/dbraw/zinc/80/87/96/912808796.db2.gz JSCRGDAUNRXNJP-NSHDSACASA-N -1 1 311.430 1.423 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC=C(c2ccco2)C1 ZINC001362650900 884324129 /nfs/dbraw/zinc/32/41/29/884324129.db2.gz DDOSDSOBCBRWRA-UHFFFAOYSA-N -1 1 317.301 1.419 20 0 DDADMM CNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1cccc([O-])c1F ZINC001362653289 884329846 /nfs/dbraw/zinc/32/98/46/884329846.db2.gz HRXKANUNVHCDEY-CYBMUJFWSA-N -1 1 316.332 1.618 20 0 DDADMM CCn1ccnc1[C@H](C)NC(=O)c1cnc(SC)[n-]c1=O ZINC001362661404 884348806 /nfs/dbraw/zinc/34/88/06/884348806.db2.gz RHEHSEPWKQACNE-QMMMGPOBSA-N -1 1 307.379 1.612 20 0 DDADMM COC(=O)c1c[n-]c(O[C@@H](C)C(=O)OCc2ccccc2)n1 ZINC001230281583 884405931 /nfs/dbraw/zinc/40/59/31/884405931.db2.gz AGLAWZYLHZNDLC-JTQLQIEISA-N -1 1 304.302 1.707 20 0 DDADMM CC(C)CCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001382701470 884501185 /nfs/dbraw/zinc/50/11/85/884501185.db2.gz GORJXGJJHHJVTI-CHWSQXEVSA-N -1 1 319.405 1.943 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001362750650 884549670 /nfs/dbraw/zinc/54/96/70/884549670.db2.gz XIYZCDNPDYOCDL-SNVBAGLBSA-N -1 1 315.366 1.571 20 0 DDADMM CCC(CC)(NC(=O)C[C@@H]1CCC2(CCC2)O1)c1nn[n-]n1 ZINC001362783515 884629933 /nfs/dbraw/zinc/62/99/33/884629933.db2.gz ICNYNMDQUMMAAZ-NSHDSACASA-N -1 1 307.398 1.823 20 0 DDADMM CC(C)[C@@H](NC(=O)CCCc1nn[n-]n1)[C@H](O)c1ccccc1 ZINC001362793543 884653916 /nfs/dbraw/zinc/65/39/16/884653916.db2.gz OUXAOPTYPGROEW-HZPDHXFCSA-N -1 1 317.393 1.397 20 0 DDADMM C[C@H](NC(=O)COC(C)(C)C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382766955 884657646 /nfs/dbraw/zinc/65/76/46/884657646.db2.gz PRLOJVFVVGYDEE-QWRGUYRKSA-N -1 1 323.393 1.225 20 0 DDADMM COc1ccc(C(=O)Nc2cccc3c2CCNC3=O)c([O-])c1 ZINC001362866857 884834235 /nfs/dbraw/zinc/83/42/35/884834235.db2.gz HPLPGQGRQWKVKX-UHFFFAOYSA-N -1 1 312.325 1.939 20 0 DDADMM COC1(CNC(=O)c2cnc(SC)[n-]c2=O)CCCCC1 ZINC001362959151 885087185 /nfs/dbraw/zinc/08/71/85/885087185.db2.gz XFNFZNHODUGLNT-UHFFFAOYSA-N -1 1 311.407 1.983 20 0 DDADMM Cc1nccnc1N1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001363018210 885242076 /nfs/dbraw/zinc/24/20/76/885242076.db2.gz WPZIHQRKGGSOLZ-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM CN1Cc2ccccc2[C@H](NC(=O)c2cc(F)ccc2[O-])C1=O ZINC001363088950 885436573 /nfs/dbraw/zinc/43/65/73/885436573.db2.gz QURSGWVOGJMTBY-HNNXBMFYSA-N -1 1 314.316 1.974 20 0 DDADMM NC(=O)[C@H]1C[C@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC001363267018 885862722 /nfs/dbraw/zinc/86/27/22/885862722.db2.gz PCHXRGUSPLGZID-ZKCHVHJHSA-N -1 1 303.293 1.073 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc2c(c1)C[C@H](C)O2 ZINC001363274808 885880790 /nfs/dbraw/zinc/88/07/90/885880790.db2.gz APVUMYXESDFUJP-NSHDSACASA-N -1 1 315.377 1.822 20 0 DDADMM CCn1ncc(Cl)c1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363278184 885894166 /nfs/dbraw/zinc/89/41/66/885894166.db2.gz YMROLCSFJZRNGU-UHFFFAOYSA-N -1 1 311.777 1.368 20 0 DDADMM CCOC(=O)[C@@H](C[C@H]1CCCO1)NC(=O)c1ccc([O-])cn1 ZINC001363305934 885969689 /nfs/dbraw/zinc/96/96/89/885969689.db2.gz AIRIGASIRQQVJE-DGCLKSJQSA-N -1 1 308.334 1.018 20 0 DDADMM C[C@]1(c2cccc(NC(=O)c3cnncc3O)c2)COC(=O)N1 ZINC001363342582 886077060 /nfs/dbraw/zinc/07/70/60/886077060.db2.gz VPGOGFBEXLOVQX-OAHLLOKOSA-N -1 1 314.301 1.390 20 0 DDADMM C[C@]1(c2cccc(NC(=O)c3cnncc3[O-])c2)COC(=O)N1 ZINC001363342582 886077082 /nfs/dbraw/zinc/07/70/82/886077082.db2.gz VPGOGFBEXLOVQX-OAHLLOKOSA-N -1 1 314.301 1.390 20 0 DDADMM CC[C@@H](Cc1ccccc1C)C(=O)N[C@@H](COC)c1nn[n-]n1 ZINC001363438027 886336121 /nfs/dbraw/zinc/33/61/21/886336121.db2.gz SWJJSFPYGRWWKS-JSGCOSHPSA-N -1 1 317.393 1.581 20 0 DDADMM NC(=O)[C@@H]1CN(C(=O)c2ccc([O-])cn2)C[C@H]1c1ccccc1 ZINC001363454397 886383111 /nfs/dbraw/zinc/38/31/11/886383111.db2.gz MHCRSLLYZTVBAZ-UONOGXRCSA-N -1 1 311.341 1.128 20 0 DDADMM C[C@@H]1CC[C@H](C(N)=O)[C@@H](C)N1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363456495 886390463 /nfs/dbraw/zinc/39/04/63/886390463.db2.gz KAEVWLHMYKZTAB-KKZNHRDASA-N -1 1 318.377 1.174 20 0 DDADMM COc1cccc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c1 ZINC001363460012 886396480 /nfs/dbraw/zinc/39/64/80/886396480.db2.gz ZXVXUNVMZCLXBY-UHFFFAOYSA-N -1 1 305.359 1.843 20 0 DDADMM C[C@]1(c2cccc(NC(=O)c3cncc([O-])c3)c2)COC(=O)N1 ZINC001363549693 886612072 /nfs/dbraw/zinc/61/20/72/886612072.db2.gz XEBQXBXLRVNNHQ-MRXNPFEDSA-N -1 1 313.313 1.995 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1CCN(c2ccccc2)C1 ZINC001363553523 886621119 /nfs/dbraw/zinc/62/11/19/886621119.db2.gz UJSFVUFDDOHDNW-GFCCVEGCSA-N -1 1 312.377 1.222 20 0 DDADMM CC(C)c1cccc(OCC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001363554084 886623629 /nfs/dbraw/zinc/62/36/29/886623629.db2.gz VTDHVIRHCIARRC-UHFFFAOYSA-N -1 1 315.377 1.898 20 0 DDADMM C[S@@](=O)(=NC(=O)CCCc1nn[n-]n1)c1ccc(F)cc1 ZINC001363580985 886686891 /nfs/dbraw/zinc/68/68/91/886686891.db2.gz PILKBHVXFPXFJP-NRFANRHFSA-N -1 1 311.342 1.345 20 0 DDADMM O=C(N[C@@H]1CCS(=O)(=O)C2(CCC2)C1)c1ccc([O-])cn1 ZINC001363591992 886715588 /nfs/dbraw/zinc/71/55/88/886715588.db2.gz XLEOXZKZHPMGLH-SNVBAGLBSA-N -1 1 310.375 1.017 20 0 DDADMM CC(=O)N1CCO[C@@H]2C[N@@H+](Cc3cccc(O)c3F)CC[C@@H]2C1 ZINC001233051424 886759123 /nfs/dbraw/zinc/75/91/23/886759123.db2.gz RXNFWIQDBQBOSU-CZUORRHYSA-N -1 1 322.380 1.601 20 0 DDADMM CCc1ccc(F)cc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001363709882 887039729 /nfs/dbraw/zinc/03/97/29/887039729.db2.gz QZZHDXGNFCSNLW-UHFFFAOYSA-N -1 1 312.326 1.162 20 0 DDADMM CCc1ccc(F)cc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001363709882 887039744 /nfs/dbraw/zinc/03/97/44/887039744.db2.gz QZZHDXGNFCSNLW-UHFFFAOYSA-N -1 1 312.326 1.162 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CC[C@H](O)[C@@H]1C)c2=O ZINC001363710532 887040317 /nfs/dbraw/zinc/04/03/17/887040317.db2.gz NQXADPANRLNSBN-XPTSAGLGSA-N -1 1 302.330 1.132 20 0 DDADMM CNC(=O)c1cccc(C(=O)NCc2ccc([O-])c(Cl)c2)n1 ZINC001363889518 887478274 /nfs/dbraw/zinc/47/82/74/887478274.db2.gz ZLUBKFRXTPEAGE-UHFFFAOYSA-N -1 1 319.748 1.730 20 0 DDADMM C[C@H]1CCS(=O)(=O)CCN1Cc1ccc([O-])c(F)c1F ZINC001363892126 887486677 /nfs/dbraw/zinc/48/66/77/887486677.db2.gz DPIPUVCRWJURPC-VIFPVBQESA-N -1 1 305.346 1.679 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1ccc(C(=O)N(C)C)nc1 ZINC001363895440 887492608 /nfs/dbraw/zinc/49/26/08/887492608.db2.gz SGYHUXFBZKIGBX-UHFFFAOYSA-N -1 1 315.329 1.750 20 0 DDADMM COC(=O)c1cccc2[n-]c(O[C@H]3C[C@H](C(=O)OC)C3)nc21 ZINC001234040142 887581814 /nfs/dbraw/zinc/58/18/14/887581814.db2.gz WMRQJTPYANJZHZ-KYZUINATSA-N -1 1 304.302 1.680 20 0 DDADMM COC(=O)c1cccc2nc(O[C@H]3C[C@H](C(=O)OC)C3)[n-]c21 ZINC001234040142 887581822 /nfs/dbraw/zinc/58/18/22/887581822.db2.gz WMRQJTPYANJZHZ-KYZUINATSA-N -1 1 304.302 1.680 20 0 DDADMM COC[C@@H](NC(=O)CCSc1ccccc1)c1nn[n-]n1 ZINC001364035744 887767216 /nfs/dbraw/zinc/76/72/16/887767216.db2.gz YVFVRHGYUGXURI-LLVKDONJSA-N -1 1 307.379 1.186 20 0 DDADMM CCCc1nc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)co1 ZINC001364036313 887768582 /nfs/dbraw/zinc/76/85/82/887768582.db2.gz SOKICZAMZDAPOJ-SNVBAGLBSA-N -1 1 304.354 1.235 20 0 DDADMM COC[C@H](NC(=O)[C@H]1SCCc2ccccc21)c1nn[n-]n1 ZINC001364040559 887778642 /nfs/dbraw/zinc/77/86/42/887778642.db2.gz ZIHOXVSWEMENRY-RYUDHWBXSA-N -1 1 319.390 1.034 20 0 DDADMM O=P([O-])([O-])Oc1ccc(OC2CC[NH+](CCF)CC2)cc1 ZINC001234333622 887874161 /nfs/dbraw/zinc/87/41/61/887874161.db2.gz YYKCWPIYYCOURE-UHFFFAOYSA-N -1 1 319.269 1.971 20 0 DDADMM C[C@@H]1CN(c2ccc(C(=O)Nc3c[n-][nH]c3=O)cn2)C[C@H](C)O1 ZINC001364095366 887907562 /nfs/dbraw/zinc/90/75/62/887907562.db2.gz SANFLTSJUFKOFC-AOOOYVTPSA-N -1 1 317.349 1.376 20 0 DDADMM O=C(Nc1cncc(OCC(F)(F)F)n1)c1cncc([O-])c1 ZINC001364111073 887945304 /nfs/dbraw/zinc/94/53/04/887945304.db2.gz YQCBXIWWLNSRMS-UHFFFAOYSA-N -1 1 314.223 1.771 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H](C)c2ccncc2)c(=O)[n-]1 ZINC001234562796 888100823 /nfs/dbraw/zinc/10/08/23/888100823.db2.gz RQUYCSHPJZKGIE-SECBINFHSA-N -1 1 302.334 1.940 20 0 DDADMM CCC[C@H](CC(=O)OC)Oc1c(=O)[n-]c(C)nc1C(=O)OC ZINC001234564647 888105570 /nfs/dbraw/zinc/10/55/70/888105570.db2.gz MZVZJEQGPKGONF-SECBINFHSA-N -1 1 312.322 1.388 20 0 DDADMM CCC[C@@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001384948158 888253494 /nfs/dbraw/zinc/25/34/94/888253494.db2.gz SDGGCOHCFLQUQN-UPJWGTAASA-N -1 1 319.405 1.848 20 0 DDADMM CCCn1nccc1NC(=O)CSc1nc(C(=O)OC)c[n-]1 ZINC001364289743 888310229 /nfs/dbraw/zinc/31/02/29/888310229.db2.gz TUDPXTRVVUVMLG-UHFFFAOYSA-N -1 1 323.378 1.534 20 0 DDADMM COCC1(C2CC2)CN(C(=O)c2cnc(SC)[n-]c2=O)C1 ZINC001364418651 888595826 /nfs/dbraw/zinc/59/58/26/888595826.db2.gz KCQRDIJNVMQXEZ-UHFFFAOYSA-N -1 1 309.391 1.403 20 0 DDADMM CC[C@H](C)CCC(=O)Nc1n[n-]c(OCCO)c1C(=O)OC ZINC001364507010 888796545 /nfs/dbraw/zinc/79/65/45/888796545.db2.gz FGPHQBILZBZHRU-VIFPVBQESA-N -1 1 313.354 1.332 20 0 DDADMM CC[C@H](C)CCC(=O)Nc1[n-]nc(OCCO)c1C(=O)OC ZINC001364507010 888796557 /nfs/dbraw/zinc/79/65/57/888796557.db2.gz FGPHQBILZBZHRU-VIFPVBQESA-N -1 1 313.354 1.332 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NCc2cn(C(C)C)nn2)n[n-]1 ZINC001364721495 889272059 /nfs/dbraw/zinc/27/20/59/889272059.db2.gz BHUSKGUINRCGLK-SECBINFHSA-N -1 1 307.358 1.005 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2cn(C(C)C)nn2)n1 ZINC001364721495 889272074 /nfs/dbraw/zinc/27/20/74/889272074.db2.gz BHUSKGUINRCGLK-SECBINFHSA-N -1 1 307.358 1.005 20 0 DDADMM CCCCCCC(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001277991350 889282970 /nfs/dbraw/zinc/28/29/70/889282970.db2.gz WGBGYZLLQGOPHH-LBPRGKRZSA-N -1 1 309.414 1.561 20 0 DDADMM CC(C)CCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001385567792 889336573 /nfs/dbraw/zinc/33/65/73/889336573.db2.gz NRFIIQBQSPHJCV-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C2CC2)C2CCCC2)[n-]n1 ZINC001364772871 889380525 /nfs/dbraw/zinc/38/05/25/889380525.db2.gz DNKLLLAROPEIDY-UHFFFAOYSA-N -1 1 313.379 1.292 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C2CC2)C2CCCC2)n[n-]1 ZINC001364772871 889380530 /nfs/dbraw/zinc/38/05/30/889380530.db2.gz DNKLLLAROPEIDY-UHFFFAOYSA-N -1 1 313.379 1.292 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2cncc(C)c2)c1 ZINC001364810052 889463311 /nfs/dbraw/zinc/46/33/11/889463311.db2.gz HDJSKVRZFXXJPX-UHFFFAOYSA-N -1 1 308.359 1.583 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCC(C)(C)C2)[n-]n1 ZINC001364824352 889487602 /nfs/dbraw/zinc/48/76/02/889487602.db2.gz QZWVURAVEUQWEM-VIFPVBQESA-N -1 1 315.395 1.443 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCC(C)(C)C2)n[n-]1 ZINC001364824352 889487614 /nfs/dbraw/zinc/48/76/14/889487614.db2.gz QZWVURAVEUQWEM-VIFPVBQESA-N -1 1 315.395 1.443 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)c1nccnc1C ZINC001364949483 889741040 /nfs/dbraw/zinc/74/10/40/889741040.db2.gz GIIMKTDRGCUFCG-QMMMGPOBSA-N -1 1 314.392 1.290 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CC2(CCC2)CO1)C(C)C ZINC001364975381 889794869 /nfs/dbraw/zinc/79/48/69/889794869.db2.gz NBHJPNAWTYJFLN-NWDGAFQWSA-N -1 1 319.423 1.063 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CC2(CCC2)CO1)C(C)C ZINC001364975383 889798205 /nfs/dbraw/zinc/79/82/05/889798205.db2.gz NBHJPNAWTYJFLN-VXGBXAGGSA-N -1 1 319.423 1.063 20 0 DDADMM CC(C)C[C@H]([N-]S(=O)(=O)Cc1ccno1)C(=O)OC(C)C ZINC001365035901 889947728 /nfs/dbraw/zinc/94/77/28/889947728.db2.gz WHYDMXPPPUEROE-LBPRGKRZSA-N -1 1 318.395 1.460 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC(C2CC2)C2CC2)sn1 ZINC001365133275 890168715 /nfs/dbraw/zinc/16/87/15/890168715.db2.gz GRYXKEGLXBLDBD-UHFFFAOYSA-N -1 1 302.421 1.866 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cc(N)ccn2)[n-]c1=O ZINC001365146484 890193280 /nfs/dbraw/zinc/19/32/80/890193280.db2.gz OXPOAWJVWVYHCT-NSHDSACASA-N -1 1 315.333 1.145 20 0 DDADMM CSc1nc(CNC(=O)Nc2ccnc(C)c2)cc(=O)[n-]1 ZINC001365158543 890221117 /nfs/dbraw/zinc/22/11/17/890221117.db2.gz DQTBTUUDQRGZDO-UHFFFAOYSA-N -1 1 305.363 1.351 20 0 DDADMM CCc1ccc(C(=O)Nc2c[n-][nH]c2=O)cc1S(C)(=O)=O ZINC001365206215 890344035 /nfs/dbraw/zinc/34/40/35/890344035.db2.gz DCQBQIXQVUCAKM-UHFFFAOYSA-N -1 1 309.347 1.334 20 0 DDADMM Cc1cc(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])oc1C ZINC001386246330 890638513 /nfs/dbraw/zinc/63/85/13/890638513.db2.gz XVFKAOWZIHVDPE-SNVBAGLBSA-N -1 1 317.345 1.545 20 0 DDADMM O=S(=O)([N-]Cc1ccc(OC(F)F)cn1)c1ccns1 ZINC001365393992 890723847 /nfs/dbraw/zinc/72/38/47/890723847.db2.gz CYBYTPQHACPHRQ-UHFFFAOYSA-N -1 1 321.330 1.618 20 0 DDADMM Cc1cc(C)c(C(=O)N[C@@H](C)C[C@H](C)NC(=O)c2cnn[nH]2)o1 ZINC001396264405 913494199 /nfs/dbraw/zinc/49/41/99/913494199.db2.gz WGUBZUQOQTYKFC-UWVGGRQHSA-N -1 1 319.365 1.341 20 0 DDADMM C[C@@H](CNC(=O)[C@H](C)C(F)(F)F)NC(=O)c1ncccc1[O-] ZINC001386422456 890950081 /nfs/dbraw/zinc/95/00/81/890950081.db2.gz AKIWHXWSSZMLJM-YUMQZZPRSA-N -1 1 319.283 1.220 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CC2(CCC2)CO1)C(C)C ZINC001365619596 891172862 /nfs/dbraw/zinc/17/28/62/891172862.db2.gz NBHJPNAWTYJFLN-NEPJUHHUSA-N -1 1 319.423 1.063 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)CC(C)(C)OC)sn1 ZINC001365672914 891267908 /nfs/dbraw/zinc/26/79/08/891267908.db2.gz JYOYIYIMPZRSQF-MRVPVSSYSA-N -1 1 308.425 1.634 20 0 DDADMM CCN(C(=O)[C@H]1CC12CC2)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001396299797 913562947 /nfs/dbraw/zinc/56/29/47/913562947.db2.gz AXCMRNXXMJCMNH-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2cnc(C)nc2)[n-]c1=O ZINC001365765499 891497030 /nfs/dbraw/zinc/49/70/30/891497030.db2.gz WRSJCGQMTTYAPF-UHFFFAOYSA-N -1 1 318.337 1.346 20 0 DDADMM CC[C@@H](C)[C@H](C)C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001387076158 892323783 /nfs/dbraw/zinc/32/37/83/892323783.db2.gz ZDUBGHARUUPGJR-NEPJUHHUSA-N -1 1 307.394 1.658 20 0 DDADMM CO[C@H](CC(C)C)C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001387086863 892349475 /nfs/dbraw/zinc/34/94/75/892349475.db2.gz APMUDHPGJXHYRI-CYBMUJFWSA-N -1 1 323.393 1.037 20 0 DDADMM C[C@H](CC(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1)C1CCCCC1 ZINC001366333240 893199632 /nfs/dbraw/zinc/19/96/32/893199632.db2.gz QDOJESQGSCZZTO-NEPJUHHUSA-N -1 1 323.441 1.711 20 0 DDADMM Cc1ccccc1[C@@H](C)C(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001366367123 893331272 /nfs/dbraw/zinc/33/12/72/893331272.db2.gz VTEOBMWEUHSDET-VXGBXAGGSA-N -1 1 317.393 1.217 20 0 DDADMM CCCN(C(=O)C(C)C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001387561086 893345892 /nfs/dbraw/zinc/34/58/92/893345892.db2.gz WCFZJWMRLGWJAP-CYBMUJFWSA-N -1 1 319.405 1.896 20 0 DDADMM CN(CCNC(=O)c1cc(Cl)c[nH]1)C(=O)c1ncccc1[O-] ZINC001387628623 893495106 /nfs/dbraw/zinc/49/51/06/893495106.db2.gz YOTIPORQXBXQTO-UHFFFAOYSA-N -1 1 322.752 1.271 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2ccccc2CC(N)=O)c1 ZINC001249611598 894061087 /nfs/dbraw/zinc/06/10/87/894061087.db2.gz WLXMCLZYHZKEJA-UHFFFAOYSA-N -1 1 320.370 1.567 20 0 DDADMM Nc1cc(F)ccc1S(=O)(=O)[N-]c1cnc(N)c(F)c1 ZINC001249771304 894122479 /nfs/dbraw/zinc/12/24/79/894122479.db2.gz ORFPITRJOWTZQO-UHFFFAOYSA-N -1 1 300.290 1.325 20 0 DDADMM CCOC(=O)[C@@H](C)c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001249858098 894155609 /nfs/dbraw/zinc/15/56/09/894155609.db2.gz QEXPVNIEQOMCLV-QMMMGPOBSA-N -1 1 302.352 1.128 20 0 DDADMM CCOC(=O)c1c(N)nn(-c2c(F)ccc([O-])c2Cl)c1N ZINC001250229168 894216073 /nfs/dbraw/zinc/21/60/73/894216073.db2.gz WAXXRMHWMLNXRI-UHFFFAOYSA-N -1 1 314.704 1.712 20 0 DDADMM COc1ncc(CCCCCC(N)=O)cc1[N-]S(C)(=O)=O ZINC001250271830 894222494 /nfs/dbraw/zinc/22/24/94/894222494.db2.gz HZLWNNADEAQZEF-UHFFFAOYSA-N -1 1 315.395 1.050 20 0 DDADMM NS(=O)(=O)c1ccc2[nH]c(-c3c([O-])cccc3F)nc2c1 ZINC001250674095 894361232 /nfs/dbraw/zinc/36/12/32/894361232.db2.gz KXEXSAMCRSDZBP-UHFFFAOYSA-N -1 1 307.306 1.722 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001388058988 894476006 /nfs/dbraw/zinc/47/60/06/894476006.db2.gz VAYBAVYGPIIFPY-NMKXLXIOSA-N -1 1 317.389 1.458 20 0 DDADMM CCc1ccc(C(=O)NCCNC(=O)c2ncccc2[O-])cc1 ZINC001292666801 913908266 /nfs/dbraw/zinc/90/82/66/913908266.db2.gz VKIVCFMDUPZGRM-UHFFFAOYSA-N -1 1 313.357 1.509 20 0 DDADMM CCS(=O)(=O)[N-]c1ccc(Br)nc1C(=O)OC ZINC001253277933 895758465 /nfs/dbraw/zinc/75/84/65/895758465.db2.gz WPWLNSZYHRXUBF-UHFFFAOYSA-N -1 1 323.168 1.392 20 0 DDADMM CCC(CC)CC(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001389175939 896613924 /nfs/dbraw/zinc/61/39/24/896613924.db2.gz AHYHRNQHXBHNOZ-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H](CC(C)(C)C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001389186382 896639554 /nfs/dbraw/zinc/63/95/54/896639554.db2.gz VMKGYJGEDJORLB-LLVKDONJSA-N -1 1 319.405 1.800 20 0 DDADMM O=C1Cc2ccc([N-]S(=O)(=O)c3cccc(F)c3)cc2CN1 ZINC001255660850 897072516 /nfs/dbraw/zinc/07/25/16/897072516.db2.gz DXJNQHPBPFXVSP-UHFFFAOYSA-N -1 1 320.345 1.799 20 0 DDADMM Cc1nc([N-]S(=O)(=O)c2ccc(F)cc2F)cc(=O)[nH]1 ZINC001255991852 897193332 /nfs/dbraw/zinc/19/33/32/897193332.db2.gz XWAGFGXFPSHZLH-UHFFFAOYSA-N -1 1 301.274 1.570 20 0 DDADMM CC[C@H](F)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001396613120 914146593 /nfs/dbraw/zinc/14/65/93/914146593.db2.gz MTHMKJKAZXYADF-VWYCJHECSA-N -1 1 309.341 1.255 20 0 DDADMM Cc1nc(CNC[C@@H](C)NC(=O)c2ncccc2[O-])oc1C ZINC001367667112 897404018 /nfs/dbraw/zinc/40/40/18/897404018.db2.gz VYBZQMDSCXEKFF-SECBINFHSA-N -1 1 304.350 1.300 20 0 DDADMM CC[C@@H](CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001389813768 898072964 /nfs/dbraw/zinc/07/29/64/898072964.db2.gz BYFBBBAQWKUYJC-RYUDHWBXSA-N -1 1 309.414 1.273 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1ccc(F)nc1F ZINC001258361736 898154989 /nfs/dbraw/zinc/15/49/89/898154989.db2.gz RVENSZRQHJZVHG-UHFFFAOYSA-N -1 1 322.724 1.856 20 0 DDADMM Cc1n[nH]c(C(=O)NCC2(NCc3c(C)noc3C)CC2)c1[O-] ZINC001367994399 898433398 /nfs/dbraw/zinc/43/33/98/898433398.db2.gz VYEXJCSUNFKRCQ-UHFFFAOYSA-N -1 1 319.365 1.081 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@]12CCCOC2)c1cc(F)c(F)cc1F ZINC001259044853 898441107 /nfs/dbraw/zinc/44/11/07/898441107.db2.gz DVTOUSHDUWBVRE-CHWSQXEVSA-N -1 1 321.320 1.951 20 0 DDADMM COC(=O)c1c(F)ccc([N-]S(=O)(=O)C2CC2)c1OC ZINC001259275893 898571356 /nfs/dbraw/zinc/57/13/56/898571356.db2.gz DRRBYFXFPVEYOG-UHFFFAOYSA-N -1 1 303.311 1.525 20 0 DDADMM O=S(=O)([N-]Cc1ccccc1CN1CCOCC1)C(F)F ZINC001259961030 898984578 /nfs/dbraw/zinc/98/45/78/898984578.db2.gz RXRXDEMRGUSXDQ-UHFFFAOYSA-N -1 1 320.361 1.161 20 0 DDADMM CN(C)c1cncc(NS(=O)(=O)c2cccc(C(=O)[O-])c2)c1 ZINC001260183826 899076378 /nfs/dbraw/zinc/07/63/78/899076378.db2.gz CHHZOPSXGRUNMA-UHFFFAOYSA-N -1 1 321.358 1.647 20 0 DDADMM COC(=O)CC[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260287391 899112556 /nfs/dbraw/zinc/11/25/56/899112556.db2.gz DRMMOUTYVNRAQR-UHFFFAOYSA-N -1 1 313.709 1.460 20 0 DDADMM CC(C)Oc1cccc([N-]S(=O)(=O)CS(C)(=O)=O)c1 ZINC001260590536 899177332 /nfs/dbraw/zinc/17/73/32/899177332.db2.gz HEZDXNIHWPFBRK-UHFFFAOYSA-N -1 1 307.393 1.218 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccnc(CO)c2)cc1F ZINC001260657062 899204760 /nfs/dbraw/zinc/20/47/60/899204760.db2.gz JKWGJYFAYMYZBL-UHFFFAOYSA-N -1 1 312.322 1.522 20 0 DDADMM Cc1cccc(S(=O)(=O)Nc2cc(=O)[n-]c(N(C)C)n2)c1C ZINC001260992390 899314553 /nfs/dbraw/zinc/31/45/53/899314553.db2.gz SXJMMSMOHFHLMV-UHFFFAOYSA-N -1 1 322.390 1.666 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2cc(O)cc(C(N)=O)c2)c1C ZINC001261000206 899318743 /nfs/dbraw/zinc/31/87/43/899318743.db2.gz UCCZGPMHNDSHGP-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC(C(F)(F)F)C1 ZINC001261259802 899439513 /nfs/dbraw/zinc/43/95/13/899439513.db2.gz SLSXJDKTPJZNPB-UHFFFAOYSA-N -1 1 302.281 1.652 20 0 DDADMM C[C@H]1CC[C@H](C(=O)NCCCNC(=O)c2ncccc2[O-])C1 ZINC001293263074 914377937 /nfs/dbraw/zinc/37/79/37/914377937.db2.gz UXELPOUMJNRYNJ-RYUDHWBXSA-N -1 1 305.378 1.460 20 0 DDADMM CC[N@H+](CCNC(=O)c1ccccc1O)Cc1conc1C ZINC001390883832 900435891 /nfs/dbraw/zinc/43/58/91/900435891.db2.gz OLKZPTGLJFERNM-UHFFFAOYSA-N -1 1 303.362 1.941 20 0 DDADMM CCn1c(-c2nc(Cl)n[n-]2)nnc1N1CCn2cccc2C1 ZINC001263013547 900468264 /nfs/dbraw/zinc/46/82/64/900468264.db2.gz ZCEZIXHKFJMHOA-UHFFFAOYSA-N -1 1 318.772 1.558 20 0 DDADMM C[S@](=O)CCCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC001263142506 900512966 /nfs/dbraw/zinc/51/29/66/900512966.db2.gz CCTPFKFQVXTLGB-IBGZPJMESA-N -1 1 304.799 1.613 20 0 DDADMM O=C(c1cccc2ncccc21)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001263911157 900813716 /nfs/dbraw/zinc/81/37/16/900813716.db2.gz BWQGSKZEBHPBRS-LBPRGKRZSA-N -1 1 322.372 1.843 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001265159168 901646113 /nfs/dbraw/zinc/64/61/13/901646113.db2.gz PCTFNIBYGLPRNI-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)c1ccc(O)cn1 ZINC001293574399 914561105 /nfs/dbraw/zinc/56/11/05/914561105.db2.gz HXJZLCSUEFSGAU-UHFFFAOYSA-N -1 1 312.289 1.132 20 0 DDADMM C[C@@H](NC(=O)c1cccs1)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001369692625 901783376 /nfs/dbraw/zinc/78/33/76/901783376.db2.gz VTJSEEWSYOJNAH-NXEZZACHSA-N -1 1 319.386 1.786 20 0 DDADMM CCCC(=O)NC[C@H]1[C@@H](C)CCCN1C(=O)c1ncccc1[O-] ZINC001391528157 901920852 /nfs/dbraw/zinc/92/08/52/901920852.db2.gz XTYZBSWFSKXANX-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H](C)[C@H](C)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001391769555 902563728 /nfs/dbraw/zinc/56/37/28/902563728.db2.gz KZXRZORLZFULFT-RYUDHWBXSA-N -1 1 323.441 1.711 20 0 DDADMM CC1(CCC(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)CC1 ZINC001370254645 902796016 /nfs/dbraw/zinc/79/60/16/902796016.db2.gz IFQRJUDSEZYEHQ-LLVKDONJSA-N -1 1 307.398 1.075 20 0 DDADMM CCN(CCCNC(=O)C[C@H]1C=CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001266054501 902850164 /nfs/dbraw/zinc/85/01/64/902850164.db2.gz UKXZEPNMYSRYOZ-ZDUSSCGKSA-N -1 1 321.425 1.585 20 0 DDADMM CSC[C@@H](C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396908660 914708208 /nfs/dbraw/zinc/70/82/08/914708208.db2.gz CMFRITHSYNTXDJ-MNOVXSKESA-N -1 1 323.418 1.117 20 0 DDADMM C/C=C(\C)C(=O)NCC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001293820298 914721454 /nfs/dbraw/zinc/72/14/54/914721454.db2.gz OKMWDXBRNYWXPP-KGVSQERTSA-N -1 1 317.389 1.722 20 0 DDADMM CCC[C@@H](C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396916919 914727301 /nfs/dbraw/zinc/72/73/01/914727301.db2.gz YOEMKDBHCODRGA-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1sccc1C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001392771665 905208725 /nfs/dbraw/zinc/20/87/25/905208725.db2.gz CHFHHNLMRFDKDE-VIFPVBQESA-N -1 1 319.386 1.705 20 0 DDADMM CCCC(=O)NC[C@H]1CCCC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001393133279 906309320 /nfs/dbraw/zinc/30/93/20/906309320.db2.gz FJCVYURWANEYFV-OLZOCXBDSA-N -1 1 319.405 1.992 20 0 DDADMM CC/C=C(\C)C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001283381436 907260426 /nfs/dbraw/zinc/26/04/26/907260426.db2.gz JJGSPRIZZLXKOL-XEVNVYFWSA-N -1 1 317.389 1.816 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372441988 907313331 /nfs/dbraw/zinc/31/33/31/907313331.db2.gz ZARICXOSSLCDSS-YPMHNXCESA-N -1 1 319.405 1.704 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)c1ccc(F)s1 ZINC001394005395 908515403 /nfs/dbraw/zinc/51/54/03/908515403.db2.gz UMIKUBVLRQSEPX-RNFRBKRXSA-N -1 1 313.358 1.008 20 0 DDADMM C[C@@H](CNC(=O)C(C)(C)C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001373094415 908948035 /nfs/dbraw/zinc/94/80/35/908948035.db2.gz UIPBLLGJDUWBAG-VIFPVBQESA-N -1 1 320.393 1.340 20 0 DDADMM CC/C(C)=C\C(=O)N(CC)CCNC(=O)c1ncccc1[O-] ZINC001284608427 909248198 /nfs/dbraw/zinc/24/81/98/909248198.db2.gz PEEJRDSZSKVLHD-QXMHVHEDSA-N -1 1 305.378 1.722 20 0 DDADMM C[C@]1(CNC(=O)CC2CC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC001394390073 909514287 /nfs/dbraw/zinc/51/42/87/909514287.db2.gz BNJMWCHXJNYDAQ-QGZVFWFLSA-N -1 1 317.389 1.556 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)c1ccsc1)NCc1n[nH]c(=O)[n-]1 ZINC001394522137 909890437 /nfs/dbraw/zinc/89/04/37/909890437.db2.gz FGQHVDNDAKIWMY-NXEZZACHSA-N -1 1 323.422 1.312 20 0 DDADMM CN(CCNC(=O)[C@@H]1CCC1(C)C)C(=O)c1ncccc1[O-] ZINC001373623387 910389387 /nfs/dbraw/zinc/38/93/87/910389387.db2.gz ZZIRNQUAGXYAAE-NSHDSACASA-N -1 1 305.378 1.412 20 0 DDADMM CC[C@H](F)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCCC1 ZINC001375304897 915535985 /nfs/dbraw/zinc/53/59/85/915535985.db2.gz NVYZFNQRVNOJEM-NSHDSACASA-N -1 1 323.368 1.694 20 0 DDADMM CC(C(=O)N(C)CCNC(=O)c1ncccc1[O-])=C1CCCC1 ZINC001296043997 916206636 /nfs/dbraw/zinc/20/66/36/916206636.db2.gz AWAVSPNQQPFREP-UHFFFAOYSA-N -1 1 317.389 1.866 20 0 DDADMM CCC[C@@H](OC)C(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001375696206 916732704 /nfs/dbraw/zinc/73/27/04/916732704.db2.gz NUTKLUQBPCEUOB-DGCLKSJQSA-N -1 1 323.393 1.084 20 0 DDADMM CC(C)(c1nc(-c2ccc([O-])cc2F)no1)S(C)(=O)=O ZINC000278332008 214096200 /nfs/dbraw/zinc/09/62/00/214096200.db2.gz ZQRRYXAZQDCLSZ-UHFFFAOYSA-N -1 1 300.311 1.861 20 0 DDADMM CCC[C@@H]1C[C@H](C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)CCO1 ZINC000622871022 365549878 /nfs/dbraw/zinc/54/98/78/365549878.db2.gz OMQXXIMEGOOQSE-GHMZBOCLSA-N -1 1 319.365 1.260 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3occc3C(C)C)nc2n1 ZINC000622870051 365550692 /nfs/dbraw/zinc/55/06/92/365550692.db2.gz PZWXQFNVLGTIJO-UHFFFAOYSA-N -1 1 301.306 1.695 20 0 DDADMM CCC[C@@H](C(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1)C(C)C ZINC000622994717 365588636 /nfs/dbraw/zinc/58/86/36/365588636.db2.gz ZNBYYSQVZWHTFI-LLVKDONJSA-N -1 1 305.382 1.991 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3coc(CC)n3)nc2n1 ZINC000622997405 365589875 /nfs/dbraw/zinc/58/98/75/365589875.db2.gz YDLRUFUZUUXFGV-UHFFFAOYSA-N -1 1 316.321 1.173 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@@H]3C(C)C)nc2n1 ZINC000622996874 365590498 /nfs/dbraw/zinc/59/04/98/365590498.db2.gz DEZMDPFIEJTLGC-MNOVXSKESA-N -1 1 303.366 1.601 20 0 DDADMM CC[C@@H]1CCC[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000077136044 185111459 /nfs/dbraw/zinc/11/14/59/185111459.db2.gz TXKWENYNMKVGEX-MNOVXSKESA-N -1 1 314.407 1.886 20 0 DDADMM CCCn1nccc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614390084 361834124 /nfs/dbraw/zinc/83/41/24/361834124.db2.gz QXYFVNAAEVSWPG-JTQLQIEISA-N -1 1 305.338 1.402 20 0 DDADMM Cn1nccc1N1CCC[C@H](NC(=O)c2cc(F)ccc2[O-])C1 ZINC000278622773 214283624 /nfs/dbraw/zinc/28/36/24/214283624.db2.gz XBGFINPGMKOWNN-LBPRGKRZSA-N -1 1 318.352 1.664 20 0 DDADMM C[C@H](Cn1ccnc1)[N-]S(=O)(=O)c1sccc1Cl ZINC000451061109 231000791 /nfs/dbraw/zinc/00/07/91/231000791.db2.gz ZSBDPLKNBBWOKJ-MRVPVSSYSA-N -1 1 305.812 1.965 20 0 DDADMM CC[C@@](C)(C[N-]S(=O)(=O)c1cc(C(=O)OC)co1)OC ZINC000451798318 529499452 /nfs/dbraw/zinc/49/94/52/529499452.db2.gz TUGKQQZUAPESGR-LBPRGKRZSA-N -1 1 305.352 1.160 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(N2CCOCC2)c(C)c1 ZINC000615229774 362205432 /nfs/dbraw/zinc/20/54/32/362205432.db2.gz WSCKEFUAKBNYAD-UHFFFAOYSA-N -1 1 316.361 1.821 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H]2[C@@H]3CC[C@@H](C3)[C@]2(C)C1 ZINC000333382652 539320500 /nfs/dbraw/zinc/32/05/00/539320500.db2.gz WKPXGZRVHZCXPO-LLZONULXSA-N -1 1 319.361 1.405 20 0 DDADMM C[C@@H](C[S@](C)=O)NC(=O)c1cc(Br)ccc1[O-] ZINC000181676086 199336546 /nfs/dbraw/zinc/33/65/46/199336546.db2.gz QWKVCKYTBVWAHD-SKLCBMLGSA-N -1 1 320.208 1.652 20 0 DDADMM Cc1cc(C(=O)[O-])c(F)c(S(=O)(=O)N[C@@H](C)CN(C)C)c1 ZINC000286724808 219131228 /nfs/dbraw/zinc/13/12/28/219131228.db2.gz FHRWQHTYCJGWQM-VIFPVBQESA-N -1 1 318.370 1.061 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(c2ncns2)CC1 ZINC000615796818 362446076 /nfs/dbraw/zinc/44/60/76/362446076.db2.gz FDEGSMPTTAIJCL-UHFFFAOYSA-N -1 1 308.338 1.345 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)Oc2ccccc2)n[n-]1 ZINC000615917426 362486944 /nfs/dbraw/zinc/48/69/44/362486944.db2.gz MSHILBIACKZVPZ-JTQLQIEISA-N -1 1 318.333 1.065 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)Oc2ccccc2)n1 ZINC000615917426 362486948 /nfs/dbraw/zinc/48/69/48/362486948.db2.gz MSHILBIACKZVPZ-JTQLQIEISA-N -1 1 318.333 1.065 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)CC(C)(C)C)co1 ZINC000457231250 530025633 /nfs/dbraw/zinc/02/56/33/530025633.db2.gz RAFKMIWHVVBKLD-VIFPVBQESA-N -1 1 302.396 1.742 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC(C)(C)c2ccccc2)o1 ZINC000299272308 530028074 /nfs/dbraw/zinc/02/80/74/530028074.db2.gz OCFGGMFVPYNLKH-UHFFFAOYSA-N -1 1 322.386 1.853 20 0 DDADMM CN1CCC[C@@H]([N-]S(=O)(=O)c2sccc2Cl)C1=O ZINC000451279288 530055478 /nfs/dbraw/zinc/05/54/78/530055478.db2.gz XCAVDBQVYJJOHK-MRVPVSSYSA-N -1 1 308.812 1.301 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCC[C@H]1CCCC[C@@H]1O ZINC000330871649 232125609 /nfs/dbraw/zinc/12/56/09/232125609.db2.gz CCGVXSIIVNCHTJ-MNOVXSKESA-N -1 1 317.411 1.005 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCC[C@H]1CCCC[C@@H]1O ZINC000330871649 232125612 /nfs/dbraw/zinc/12/56/12/232125612.db2.gz CCGVXSIIVNCHTJ-MNOVXSKESA-N -1 1 317.411 1.005 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCn3cncc3C2)c1Br ZINC000616009045 362517983 /nfs/dbraw/zinc/51/79/83/362517983.db2.gz MQSQBLBKQXWCHW-QMMMGPOBSA-N -1 1 324.182 1.300 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H](C)OCC(C)C)c1Br ZINC000616010344 362519585 /nfs/dbraw/zinc/51/95/85/362519585.db2.gz LQDFUZLMUIYFEX-MRVPVSSYSA-N -1 1 304.188 1.902 20 0 DDADMM CCC[C@@H](C(=O)Nc1ccc([O-])c(F)c1F)S(N)(=O)=O ZINC000616101247 362545764 /nfs/dbraw/zinc/54/57/64/362545764.db2.gz OIZUMTOWEGRHMA-QMMMGPOBSA-N -1 1 308.306 1.066 20 0 DDADMM CCC[C@H](CCO)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000228614976 201819180 /nfs/dbraw/zinc/81/91/80/201819180.db2.gz UAAHVSJMKYLNOP-SECBINFHSA-N -1 1 309.819 1.151 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)N(C)[C@@H]1CCCc2ccccc21 ZINC000262646181 282399668 /nfs/dbraw/zinc/39/96/68/282399668.db2.gz KDYAWIKUWFJYAS-OAHLLOKOSA-N -1 1 304.390 1.929 20 0 DDADMM CCC[C@@H](O)[C@@H](CO)NC(=O)c1ncc2ccccc2c1[O-] ZINC000616311493 362630082 /nfs/dbraw/zinc/63/00/82/362630082.db2.gz TWIRGHPOZDDPOB-CHWSQXEVSA-N -1 1 304.346 1.192 20 0 DDADMM COCCCn1ccc([N-]S(=O)(=O)N2CCC[C@@H]2C)n1 ZINC000330999311 232312841 /nfs/dbraw/zinc/31/28/41/232312841.db2.gz NEBRMOGZDADAGC-NSHDSACASA-N -1 1 302.400 1.061 20 0 DDADMM CN(C)C(=O)c1ccc(-c2nc3ccc(O)cc3c(=O)[n-]2)cn1 ZINC000436929325 539524835 /nfs/dbraw/zinc/52/48/35/539524835.db2.gz UZIVPUCXJVCSIO-UHFFFAOYSA-N -1 1 310.313 1.805 20 0 DDADMM O=C(Nc1ccncc1[O-])C(=O)c1cnn(-c2ccccc2)c1 ZINC000358355885 299129172 /nfs/dbraw/zinc/12/91/72/299129172.db2.gz PSNYOIGPQONWLL-UHFFFAOYSA-N -1 1 308.297 1.216 20 0 DDADMM CC(C)(CCNS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000336843578 535040195 /nfs/dbraw/zinc/04/01/95/535040195.db2.gz XGECAAIUMCCVOA-UHFFFAOYSA-N -1 1 307.318 1.744 20 0 DDADMM Cc1c(C(=O)Nc2ccncc2[O-])nnn1-c1cccc(C)c1 ZINC000358364877 299130980 /nfs/dbraw/zinc/13/09/80/299130980.db2.gz ZQZZGDBMWMMHHN-UHFFFAOYSA-N -1 1 309.329 1.659 20 0 DDADMM CCC(=O)c1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000358376340 299135555 /nfs/dbraw/zinc/13/55/55/299135555.db2.gz AKKVXLCJIOOLTB-JTQLQIEISA-N -1 1 301.350 1.668 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCCc2c(F)cccc21 ZINC000564375558 303995980 /nfs/dbraw/zinc/99/59/80/303995980.db2.gz BEBZKYFDDKAOSG-UHFFFAOYSA-N -1 1 311.338 1.676 20 0 DDADMM COCCOC1CCN(Cc2cc(=O)[nH]c(C3CC3)n2)CC1 ZINC000459360410 233134148 /nfs/dbraw/zinc/13/41/48/233134148.db2.gz FTRVOSUXKOPILM-UHFFFAOYSA-N -1 1 307.394 1.687 20 0 DDADMM CCC[C@H](NC(=O)COc1cc(F)cc(F)c1)c1nn[n-]n1 ZINC000292023246 222787979 /nfs/dbraw/zinc/78/79/79/222787979.db2.gz VSZGKNWGGZCAGY-NSHDSACASA-N -1 1 311.292 1.514 20 0 DDADMM COCC1(C)CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000358520167 299182946 /nfs/dbraw/zinc/18/29/46/299182946.db2.gz ZKGXGMNGGKCSLR-UHFFFAOYSA-N -1 1 315.373 1.812 20 0 DDADMM CCOCC(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000032347900 352277236 /nfs/dbraw/zinc/27/72/36/352277236.db2.gz FIDAFVWCLHJFSQ-UHFFFAOYSA-N -1 1 302.352 1.042 20 0 DDADMM O=C(COc1cc(Cl)ccc1Cl)NCc1nn[n-]n1 ZINC000044929190 352397867 /nfs/dbraw/zinc/39/78/67/352397867.db2.gz UYAAOQBSRMJWNS-UHFFFAOYSA-N -1 1 302.121 1.202 20 0 DDADMM CC(C)(CNC(=O)c1ccc(Cl)cc1[O-])N1CCOCC1 ZINC000072622330 191204134 /nfs/dbraw/zinc/20/41/34/191204134.db2.gz GGMMBHXMAZPYCV-UHFFFAOYSA-N -1 1 312.797 1.886 20 0 DDADMM COCc1cccc(CNC(=O)NN=c2nc(OC)cc[n-]2)c1 ZINC000046157843 352439905 /nfs/dbraw/zinc/43/99/05/352439905.db2.gz USOXPXZDFUNNPF-UHFFFAOYSA-N -1 1 317.349 1.458 20 0 DDADMM CCC(C)(C)[C@H](C)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000617569797 363188149 /nfs/dbraw/zinc/18/81/49/363188149.db2.gz DXOIOZATYADNSG-VIFPVBQESA-N -1 1 302.396 1.742 20 0 DDADMM CCOc1c(Cl)cc(C=CC(=O)Nc2nnn[n-]2)cc1OC ZINC000072678426 191213335 /nfs/dbraw/zinc/21/33/35/191213335.db2.gz OWRLFRJAGIKJML-SNAWJCMRSA-N -1 1 323.740 1.912 20 0 DDADMM CCOc1c(Cl)cc(C=CC(=O)Nc2nn[n-]n2)cc1OC ZINC000072678426 191213337 /nfs/dbraw/zinc/21/33/37/191213337.db2.gz OWRLFRJAGIKJML-SNAWJCMRSA-N -1 1 323.740 1.912 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N([C@H](C)C(C)C)C2CC2)o1 ZINC000058262588 352840446 /nfs/dbraw/zinc/84/04/46/352840446.db2.gz VNGZQRIHMGXHRD-SNVBAGLBSA-N -1 1 314.407 1.837 20 0 DDADMM CCn1c(CCNC(=O)CCc2nccs2)n[n-]c1=S ZINC000067075403 353033049 /nfs/dbraw/zinc/03/30/49/353033049.db2.gz UZAOOLKZNSONFU-UHFFFAOYSA-N -1 1 311.436 1.709 20 0 DDADMM CC(C)(C)C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000067178386 353037359 /nfs/dbraw/zinc/03/73/59/353037359.db2.gz XMIJMKBYJZMIAT-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccncc2)cc1NC(C)=O ZINC000068300201 353102813 /nfs/dbraw/zinc/10/28/13/353102813.db2.gz FCEZSEIGMPJRKD-UHFFFAOYSA-N -1 1 321.358 1.849 20 0 DDADMM C[C@H]1CN(C(=O)N=c2[n-]nc(-c3ccsc3)s2)CCN1 ZINC000331944089 234305470 /nfs/dbraw/zinc/30/54/70/234305470.db2.gz NLHKMEXANRUTGO-QMMMGPOBSA-N -1 1 309.420 1.514 20 0 DDADMM O=C(/C=C\c1cccnc1)NCC(=O)Nc1ccc(F)cc1[O-] ZINC000492124311 234392234 /nfs/dbraw/zinc/39/22/34/234392234.db2.gz IHTXWJIQZASURP-UTCJRWHESA-N -1 1 315.304 1.694 20 0 DDADMM C[C@@]1(CO)CCC[C@@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000075494943 353365575 /nfs/dbraw/zinc/36/55/75/353365575.db2.gz MIRAJDFCMIWRGR-LRDDRELGSA-N -1 1 301.346 1.204 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091160805 353813769 /nfs/dbraw/zinc/81/37/69/353813769.db2.gz WMWFKSAZGOWSTM-WCBMZHEXSA-N -1 1 316.354 1.561 20 0 DDADMM O=c1[n-]c(CN2CCC[C@H]2C[C@H](O)c2ccc(F)cc2)n[nH]1 ZINC000091632046 353832816 /nfs/dbraw/zinc/83/28/16/353832816.db2.gz HLUVRMMQLPVGKF-STQMWFEESA-N -1 1 306.341 1.325 20 0 DDADMM Cn1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(C(C)(C)C)n1 ZINC000092283133 353850535 /nfs/dbraw/zinc/85/05/35/353850535.db2.gz GBCOWXMMVFGEBY-SNVBAGLBSA-N -1 1 317.397 1.251 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](CC(C)C)C2)o1 ZINC000073186363 191289062 /nfs/dbraw/zinc/28/90/62/191289062.db2.gz SEPBYCXJINEHHN-NSHDSACASA-N -1 1 314.407 1.696 20 0 DDADMM CO[C@@]1(CO)CCCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000617792374 363310125 /nfs/dbraw/zinc/31/01/25/363310125.db2.gz PBTYNWZZXQGAIE-KRWDZBQOSA-N -1 1 316.357 1.554 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC[C@H](N2CCNC2=O)C1 ZINC000139392835 354127656 /nfs/dbraw/zinc/12/76/56/354127656.db2.gz YVSINCFMODCKGA-NSHDSACASA-N -1 1 323.780 1.675 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)C2CCC2)o1 ZINC000157701496 354227071 /nfs/dbraw/zinc/22/70/71/354227071.db2.gz IAHZLUKWOJRRHM-SECBINFHSA-N -1 1 301.364 1.923 20 0 DDADMM COc1cc2[n-]cc(C(=O)NC[C@@H]3C[C@H]3C)c(=O)c2c(OC)c1 ZINC000624108304 366189704 /nfs/dbraw/zinc/18/97/04/366189704.db2.gz PDHHNOPKVHMENP-ZJUUUORDSA-N -1 1 316.357 1.931 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1ccc2[nH]nnc2c1)C1CCCCC1 ZINC000314443816 354485221 /nfs/dbraw/zinc/48/52/21/354485221.db2.gz CEJGCNUXCBBVMV-ZDUSSCGKSA-N -1 1 302.334 1.721 20 0 DDADMM CN1CCC[C@@H](NC(=O)c2nn(-c3ccccc3F)cc2[O-])C1 ZINC000591442082 355311914 /nfs/dbraw/zinc/31/19/14/355311914.db2.gz HNMRZIQANFYTEE-LLVKDONJSA-N -1 1 318.352 1.541 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@@H](C(=O)OC)C1CCCCC1)OC ZINC000591465374 355316992 /nfs/dbraw/zinc/31/69/92/355316992.db2.gz VNGPLZXQLJOVLY-CHWSQXEVSA-N -1 1 321.439 1.453 20 0 DDADMM COC(=O)C[C@H]1CSCCN1Cc1cccc(C(=O)[O-])c1 ZINC000592423113 355578768 /nfs/dbraw/zinc/57/87/68/355578768.db2.gz LIDLJJQGOBHOEY-ZDUSSCGKSA-N -1 1 309.387 1.865 20 0 DDADMM CC[C@H](CNC(=O)c1cc(Cl)c([O-])c(OC)c1)C(=O)OC ZINC000592873083 355726512 /nfs/dbraw/zinc/72/65/12/355726512.db2.gz XMRVUSRSMLHLPL-MRVPVSSYSA-N -1 1 315.753 1.983 20 0 DDADMM COC(=O)[C@@H](CCF)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000593800420 356001213 /nfs/dbraw/zinc/00/12/13/356001213.db2.gz OFYUNDDVITXBMS-SECBINFHSA-N -1 1 319.716 1.685 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@H]1C1CC1 ZINC000593891793 356043715 /nfs/dbraw/zinc/04/37/15/356043715.db2.gz OTFMGWQWOAQFSO-NWDGAFQWSA-N -1 1 307.321 1.803 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)c2cn[nH]c2)n[n-]1 ZINC000593895714 356046969 /nfs/dbraw/zinc/04/69/69/356046969.db2.gz LSJGDROCPMXTSE-JTQLQIEISA-N -1 1 320.353 1.222 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)c2cn[nH]c2)[n-]1 ZINC000593895714 356046970 /nfs/dbraw/zinc/04/69/70/356046970.db2.gz LSJGDROCPMXTSE-JTQLQIEISA-N -1 1 320.353 1.222 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)c2cn[nH]c2)n1 ZINC000593895714 356046974 /nfs/dbraw/zinc/04/69/74/356046974.db2.gz LSJGDROCPMXTSE-JTQLQIEISA-N -1 1 320.353 1.222 20 0 DDADMM COc1cc(C(=O)N2C[C@@H]3C[C@H]2C[S@@]3=O)cc(Cl)c1[O-] ZINC000593866174 356028951 /nfs/dbraw/zinc/02/89/51/356028951.db2.gz CWJVWQOPGDBLDX-FRUKKLPWSA-N -1 1 315.778 1.400 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc2oc(COC)nc2c1 ZINC000080299936 192022510 /nfs/dbraw/zinc/02/25/10/192022510.db2.gz YEBSQYKGWCDMFV-UHFFFAOYSA-N -1 1 300.336 1.362 20 0 DDADMM CCOC(=O)c1coc(=NC[C@H](C)[N@@H+]2C[C@@H](C)O[C@@H](C)C2)[n-]1 ZINC000594592584 356258204 /nfs/dbraw/zinc/25/82/04/356258204.db2.gz SHWBNMDTJHQCCX-SDDRHHMPSA-N -1 1 311.382 1.183 20 0 DDADMM CCOC(=O)c1coc(=NC[C@H](C)N2C[C@@H](C)O[C@@H](C)C2)[n-]1 ZINC000594592584 356258209 /nfs/dbraw/zinc/25/82/09/356258209.db2.gz SHWBNMDTJHQCCX-SDDRHHMPSA-N -1 1 311.382 1.183 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCCC1CC1 ZINC000081020114 192215391 /nfs/dbraw/zinc/21/53/91/192215391.db2.gz XTYYXUAYJXZFPC-UHFFFAOYSA-N -1 1 302.378 1.901 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ncoc2C)cc1C ZINC000595320850 356448260 /nfs/dbraw/zinc/44/82/60/356448260.db2.gz ICXVOPVUHQJTNH-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM CN(C[C@H]1COCCO1)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000618227442 363527495 /nfs/dbraw/zinc/52/74/95/363527495.db2.gz PGWVGGWOWJHJLI-JTQLQIEISA-N -1 1 319.279 1.898 20 0 DDADMM COC(=O)[C@@](C)([N-]S(=O)(=O)c1cc(C)ns1)C1CC1 ZINC000601349500 358510681 /nfs/dbraw/zinc/51/06/81/358510681.db2.gz DDDLNBHLIAGIAA-NSHDSACASA-N -1 1 304.393 1.072 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H]2CCC[C@H]([S@@](C)=O)C2)s[n-]1 ZINC000596372693 356866350 /nfs/dbraw/zinc/86/63/50/356866350.db2.gz MOYBYPYDPQMPGK-UCYUPSMUSA-N -1 1 303.453 1.769 20 0 DDADMM CSC[C@H](CCO)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601355502 358513370 /nfs/dbraw/zinc/51/33/70/358513370.db2.gz SQDOEGIOTBJWIH-ZETCQYMHSA-N -1 1 315.363 1.610 20 0 DDADMM C[C@H](CCNC(=O)c1ncc2ccccc2c1[O-])[S@@](C)=O ZINC000597397098 357163886 /nfs/dbraw/zinc/16/38/86/357163886.db2.gz PBVLPCVSEBPEPC-LADRHHBVSA-N -1 1 306.387 1.827 20 0 DDADMM CC(C)(C)OC(=O)C1(C(=O)NCc2nn[n-]n2)C(C)(C)C1(C)C ZINC000597511465 357202569 /nfs/dbraw/zinc/20/25/69/357202569.db2.gz MTLWIVBQSBSAPH-UHFFFAOYSA-N -1 1 323.397 1.210 20 0 DDADMM CCCCSCCC(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000598837600 357740158 /nfs/dbraw/zinc/74/01/58/357740158.db2.gz FRBXLDUPKXAKRQ-UHFFFAOYSA-N -1 1 309.395 1.588 20 0 DDADMM COc1ccc(Nc2ccccc2C(=O)NCc2nn[n-]n2)cc1 ZINC000599333999 357897973 /nfs/dbraw/zinc/89/79/73/357897973.db2.gz DBANNKFUKLXVGO-UHFFFAOYSA-N -1 1 324.344 1.882 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(-c2ccc(F)cc2)s1 ZINC000599334096 357898173 /nfs/dbraw/zinc/89/81/73/357898173.db2.gz CZAOFNISMMRREW-UHFFFAOYSA-N -1 1 303.322 1.997 20 0 DDADMM CCCCc1ccc(C(=O)CCC(=O)NCc2nn[n-]n2)cc1 ZINC000600495887 358240985 /nfs/dbraw/zinc/24/09/85/358240985.db2.gz OJIASGFNDXFUHK-UHFFFAOYSA-N -1 1 315.377 1.822 20 0 DDADMM CC(=O)c1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000600965047 358359860 /nfs/dbraw/zinc/35/98/60/358359860.db2.gz FCBVITZYSIBAGT-OAHLLOKOSA-N -1 1 321.340 1.922 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)N2CCCCC2)CC1 ZINC000624577914 366456269 /nfs/dbraw/zinc/45/62/69/366456269.db2.gz RKFWZHJRHDKXPM-UHFFFAOYSA-N -1 1 318.377 1.151 20 0 DDADMM C[C@@H](CC[N-]S(=O)(=O)c1ccc(C(F)F)o1)[S@@](C)=O ZINC000601373705 358521816 /nfs/dbraw/zinc/52/18/16/358521816.db2.gz YBDTVGURABASGA-ULCDLSAGSA-N -1 1 315.363 1.653 20 0 DDADMM COC(=O)[C@@](C)(CCF)[N-]S(=O)(=O)C[C@@H]1CCC1(F)F ZINC000601466633 358566293 /nfs/dbraw/zinc/56/62/93/358566293.db2.gz KPSIDYLGQYMFJV-WCBMZHEXSA-N -1 1 317.329 1.242 20 0 DDADMM COC[C@H](C)CC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000358917262 299280803 /nfs/dbraw/zinc/28/08/03/299280803.db2.gz DZBHASXDWXXYTC-SECBINFHSA-N -1 1 318.370 1.808 20 0 DDADMM CCOC(=O)CC[C@H](C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601380998 358526319 /nfs/dbraw/zinc/52/63/19/358526319.db2.gz UXDWSMGJAMJHHN-QMMMGPOBSA-N -1 1 306.409 1.462 20 0 DDADMM O=C(NCC1CC1)[C@@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000181147210 199257924 /nfs/dbraw/zinc/25/79/24/199257924.db2.gz GQWFMZNBVYXVFQ-GFCCVEGCSA-N -1 1 320.364 1.910 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccnc(C(F)(F)F)c2)c1=O ZINC000601943576 358746401 /nfs/dbraw/zinc/74/64/01/358746401.db2.gz GOOIGFRNGKIVJY-SSDOTTSWSA-N -1 1 301.224 1.612 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CC3CCC2CC3)n[n-]1 ZINC000603014901 359358100 /nfs/dbraw/zinc/35/81/00/359358100.db2.gz HSXVIMWFNISEDT-VQXHTEKXSA-N -1 1 306.366 1.424 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CC3CCC2CC3)n1 ZINC000603014901 359358102 /nfs/dbraw/zinc/35/81/02/359358102.db2.gz HSXVIMWFNISEDT-VQXHTEKXSA-N -1 1 306.366 1.424 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2c(F)ccc(C)c2F)n[n-]1 ZINC000603019355 359361407 /nfs/dbraw/zinc/36/14/07/359361407.db2.gz URWMYUFIYZJKIV-UHFFFAOYSA-N -1 1 324.287 1.498 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2c(F)ccc(C)c2F)n1 ZINC000603019355 359361411 /nfs/dbraw/zinc/36/14/11/359361411.db2.gz URWMYUFIYZJKIV-UHFFFAOYSA-N -1 1 324.287 1.498 20 0 DDADMM Cc1ccccc1OCC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000618488561 363650753 /nfs/dbraw/zinc/65/07/53/363650753.db2.gz XWAFJTRWDLFJPH-MRXNPFEDSA-N -1 1 323.356 1.793 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC2CC(F)(F)C2)n[n-]1 ZINC000603156176 359443138 /nfs/dbraw/zinc/44/31/38/359443138.db2.gz QXZKCCPSPADMEH-ZETCQYMHSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC2CC(F)(F)C2)[n-]1 ZINC000603156176 359443145 /nfs/dbraw/zinc/44/31/45/359443145.db2.gz QXZKCCPSPADMEH-ZETCQYMHSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC2CC(F)(F)C2)n1 ZINC000603156176 359443149 /nfs/dbraw/zinc/44/31/49/359443149.db2.gz QXZKCCPSPADMEH-ZETCQYMHSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H]2CCC[C@H]2C)n[n-]1 ZINC000603157193 359444726 /nfs/dbraw/zinc/44/47/26/359444726.db2.gz UQVKYISWBTWVFC-VWYCJHECSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H]2CCC[C@H]2C)[n-]1 ZINC000603157193 359444729 /nfs/dbraw/zinc/44/47/29/359444729.db2.gz UQVKYISWBTWVFC-VWYCJHECSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H]2CCC[C@H]2C)n1 ZINC000603157193 359444734 /nfs/dbraw/zinc/44/47/34/359444734.db2.gz UQVKYISWBTWVFC-VWYCJHECSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(C)c(F)c2)n[n-]1 ZINC000603159437 359445597 /nfs/dbraw/zinc/44/55/97/359445597.db2.gz BYYVTKZUQDLCMU-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(C)c(F)c2)[n-]1 ZINC000603159437 359445604 /nfs/dbraw/zinc/44/56/04/359445604.db2.gz BYYVTKZUQDLCMU-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(C)c(F)c2)n1 ZINC000603159437 359445606 /nfs/dbraw/zinc/44/56/06/359445606.db2.gz BYYVTKZUQDLCMU-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(F)ccc2F)n[n-]1 ZINC000603164795 359449275 /nfs/dbraw/zinc/44/92/75/359449275.db2.gz KUPQSLJGULJOBO-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(F)ccc2F)[n-]1 ZINC000603164795 359449279 /nfs/dbraw/zinc/44/92/79/359449279.db2.gz KUPQSLJGULJOBO-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(F)ccc2F)n1 ZINC000603164795 359449283 /nfs/dbraw/zinc/44/92/83/359449283.db2.gz KUPQSLJGULJOBO-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(C(F)(F)F)CC2)n[n-]1 ZINC000603166670 359450881 /nfs/dbraw/zinc/45/08/81/359450881.db2.gz QSABORSBCDYWHD-LURJTMIESA-N -1 1 320.271 1.501 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(C(F)(F)F)CC2)[n-]1 ZINC000603166670 359450885 /nfs/dbraw/zinc/45/08/85/359450885.db2.gz QSABORSBCDYWHD-LURJTMIESA-N -1 1 320.271 1.501 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(C(F)(F)F)CC2)n1 ZINC000603166670 359450887 /nfs/dbraw/zinc/45/08/87/359450887.db2.gz QSABORSBCDYWHD-LURJTMIESA-N -1 1 320.271 1.501 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H](CCC(=O)OC)C(=O)OC)n1 ZINC000603326064 359570292 /nfs/dbraw/zinc/57/02/92/359570292.db2.gz NFJIHOFTPVJASJ-VIFPVBQESA-N -1 1 314.363 1.332 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)NCc2ccccc2C)n1 ZINC000187597863 200133241 /nfs/dbraw/zinc/13/32/41/200133241.db2.gz JMADMOPPNLFDST-UHFFFAOYSA-N -1 1 319.386 1.913 20 0 DDADMM O=C(CS(=O)(=O)C1CCCC1)Nc1nc(Cl)ccc1[O-] ZINC000188373285 200236012 /nfs/dbraw/zinc/23/60/12/200236012.db2.gz ARZIEVFMOUKVRZ-UHFFFAOYSA-N -1 1 318.782 1.737 20 0 DDADMM CCN(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000188446928 200248353 /nfs/dbraw/zinc/24/83/53/200248353.db2.gz FZIDOJXULPZDGQ-SSDOTTSWSA-N -1 1 324.324 1.249 20 0 DDADMM CC(C)C(=O)Nc1ccc(Cl)c(C(=O)NCc2nn[n-]n2)c1 ZINC000607648835 360040948 /nfs/dbraw/zinc/04/09/48/360040948.db2.gz FYIQMLWTOAFUDY-UHFFFAOYSA-N -1 1 322.756 1.378 20 0 DDADMM FC(F)(F)c1cc(NCc2nn[n-]n2)nc(-c2ccncc2)n1 ZINC000608085747 360118686 /nfs/dbraw/zinc/11/86/86/360118686.db2.gz IZCAJKBIGYDMKA-UHFFFAOYSA-N -1 1 322.254 1.105 20 0 DDADMM O=C(Cc1cc(F)ccc1F)NC1(c2nn[n-]n2)CCCC1 ZINC000608137442 360130183 /nfs/dbraw/zinc/13/01/83/360130183.db2.gz NFYCPRUAUYDPOX-UHFFFAOYSA-N -1 1 307.304 1.606 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCOC1)c1c(Cl)ccnc1Cl ZINC000272147646 209517146 /nfs/dbraw/zinc/51/71/46/209517146.db2.gz BYAUJWWDGNXJCO-SSDOTTSWSA-N -1 1 311.190 1.846 20 0 DDADMM O=C(CCC1CCOCC1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000608356962 360165013 /nfs/dbraw/zinc/16/50/13/360165013.db2.gz YWXGQYIDOFSMLT-HNNXBMFYSA-N -1 1 315.377 1.612 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)c2cnccc2C)c1 ZINC000611292138 360690902 /nfs/dbraw/zinc/69/09/02/360690902.db2.gz VYROOMRNEBKPNX-JTQLQIEISA-N -1 1 324.358 1.809 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2nc3c(s2)COCC3)on1 ZINC000611589738 360771147 /nfs/dbraw/zinc/77/11/47/360771147.db2.gz VWNBOWYYMZJMFT-UHFFFAOYSA-N -1 1 309.303 1.243 20 0 DDADMM C[C@H](Cc1ccco1)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000612120102 360924501 /nfs/dbraw/zinc/92/45/01/360924501.db2.gz WXXZJOJILMOLGB-SNVBAGLBSA-N -1 1 321.406 1.071 20 0 DDADMM CC1=CC[C@@](C)(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CC1 ZINC000612118335 360925001 /nfs/dbraw/zinc/92/50/01/360925001.db2.gz CJOSZTPGSXUVMS-OAHLLOKOSA-N -1 1 321.450 1.736 20 0 DDADMM O=C(C[C@@H]1CC(=O)N1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000612383532 360992161 /nfs/dbraw/zinc/99/21/61/360992161.db2.gz XVPKUGRFHAMKGM-ZDUSSCGKSA-N -1 1 316.357 1.092 20 0 DDADMM Cc1csc([C@H](C)C(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000612818204 361155799 /nfs/dbraw/zinc/15/57/99/361155799.db2.gz QWLZKVKBEHXFNJ-UWVGGRQHSA-N -1 1 306.395 1.474 20 0 DDADMM C[C@@H](N=c1ccc(N(C)CC(=O)N(C)C)n[n-]1)c1ccccc1 ZINC000425204168 296031476 /nfs/dbraw/zinc/03/14/76/296031476.db2.gz TTWXAAOWBZTNQZ-CYBMUJFWSA-N -1 1 313.405 1.596 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2C[C@H](OC)C2)c(F)c1 ZINC000625642437 367066404 /nfs/dbraw/zinc/06/64/04/367066404.db2.gz VDBDGBNQDAIJTA-ZKCHVHJHSA-N -1 1 307.318 1.429 20 0 DDADMM Cc1cc(C2([N-]S(=O)(=O)c3ccccn3)CCOCC2)on1 ZINC000625651967 367075741 /nfs/dbraw/zinc/07/57/41/367075741.db2.gz FJRNZDBWEMQEIM-UHFFFAOYSA-N -1 1 323.374 1.362 20 0 DDADMM C[C@@H]1C[C@@H]1N1C[C@@H](N=c2nc(C(F)(F)F)[n-]s2)CC1=O ZINC000376454545 307244443 /nfs/dbraw/zinc/24/44/43/307244443.db2.gz LOMILWAHJVJUEB-VQVTYTSYSA-N -1 1 306.313 1.400 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](OC)c1ccc(F)cc1)c1nn[n-]n1 ZINC000626024445 367281593 /nfs/dbraw/zinc/28/15/93/367281593.db2.gz KRFCNIWLZZMZBN-VXGBXAGGSA-N -1 1 307.329 1.684 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCC2(C)C)c(C(F)(F)F)n1 ZINC000293095299 223393994 /nfs/dbraw/zinc/39/39/94/223393994.db2.gz NMZGNFRSVORNSM-QMMMGPOBSA-N -1 1 311.329 1.906 20 0 DDADMM C[C@@H]1CN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CC[C@H]1C(=O)[O-] ZINC000626764609 367732323 /nfs/dbraw/zinc/73/23/23/367732323.db2.gz RQKSQHDGZUVWKM-GMTAPVOTSA-N -1 1 306.366 1.019 20 0 DDADMM CCC[C@H](C)S(=O)(=O)[N-]c1ccc(S(N)(=O)=O)c(F)c1 ZINC000566308312 304142830 /nfs/dbraw/zinc/14/28/30/304142830.db2.gz LCHZOQIGEHNNLV-QMMMGPOBSA-N -1 1 324.399 1.403 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1cccs1)C[C@@H]1CCCO1 ZINC000633132785 422771924 /nfs/dbraw/zinc/77/19/24/422771924.db2.gz CNQDFCFVGKZTLL-NSHDSACASA-N -1 1 321.406 1.402 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCOCC(C)C)o1 ZINC000264611970 204027685 /nfs/dbraw/zinc/02/76/85/204027685.db2.gz PTNKSLLUSITUQG-UHFFFAOYSA-N -1 1 319.379 1.407 20 0 DDADMM COc1cccc(N2CC[C@@H](NC(=O)c3cncc([O-])c3)C2)c1 ZINC000264967488 204311102 /nfs/dbraw/zinc/31/11/02/204311102.db2.gz XSSMSUPWWYSDJG-CYBMUJFWSA-N -1 1 313.357 1.805 20 0 DDADMM O=C1NCc2c1cccc2[N-]S(=O)(=O)C[C@H]1CCCCO1 ZINC000288222129 220027189 /nfs/dbraw/zinc/02/71/89/220027189.db2.gz MSJQBWBBRLZAAY-SNVBAGLBSA-N -1 1 310.375 1.241 20 0 DDADMM COc1cc(C)sc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282828325 217277883 /nfs/dbraw/zinc/27/78/83/217277883.db2.gz DBBSKVLXFRUYTC-SECBINFHSA-N -1 1 322.390 1.496 20 0 DDADMM COC(=O)[C@@H](C)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000266450574 205356097 /nfs/dbraw/zinc/35/60/97/205356097.db2.gz JMSJWIFMEFPOEM-MRVPVSSYSA-N -1 1 302.352 1.040 20 0 DDADMM O=C(c1ccc2ccccc2n1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282917687 217323447 /nfs/dbraw/zinc/32/34/47/217323447.db2.gz NLMSVTKNVFVPHN-GFCCVEGCSA-N -1 1 323.356 1.666 20 0 DDADMM COC(=O)CCCNC(=O)c1cc(Br)ccc1[O-] ZINC000023233928 182353800 /nfs/dbraw/zinc/35/38/00/182353800.db2.gz ZKYLBLICJRQHBD-UHFFFAOYSA-N -1 1 316.151 1.838 20 0 DDADMM O=C(NCC[C@H]1CCCCO1)c1ccc2n[n-]c(=S)n2c1 ZINC000283456022 217623683 /nfs/dbraw/zinc/62/36/83/217623683.db2.gz OEEADJYEPBDTKV-LLVKDONJSA-N -1 1 306.391 1.707 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)Nc2cccc(C)c2)n[n-]1 ZINC000267915587 206335405 /nfs/dbraw/zinc/33/54/05/206335405.db2.gz ONCBTIDCSBVIIE-UHFFFAOYSA-N -1 1 308.363 1.088 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCC[C@H]1C[C@@H](C)O ZINC000336847291 249252930 /nfs/dbraw/zinc/25/29/30/249252930.db2.gz UYBMLNWFXFWKSR-SCZZXKLOSA-N -1 1 311.407 1.588 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1ccccc1C ZINC000336849271 249256516 /nfs/dbraw/zinc/25/65/16/249256516.db2.gz ANTMRYIHRYHYGD-UHFFFAOYSA-N -1 1 323.374 1.373 20 0 DDADMM Cc1oc(C(=O)[O-])cc1S(=O)(=O)Nc1ccc2c[nH]nc2c1 ZINC000336852073 249257486 /nfs/dbraw/zinc/25/74/86/249257486.db2.gz IVKVLGDXSMAPRD-UHFFFAOYSA-N -1 1 321.314 1.963 20 0 DDADMM O=C([O-])[C@@]1(NC(=O)c2ccc(O)c(Cl)c2)CCSC1 ZINC000043503707 183367995 /nfs/dbraw/zinc/36/79/95/183367995.db2.gz CRQPFSXGOVOSRF-GFCCVEGCSA-N -1 1 301.751 1.736 20 0 DDADMM COCCOCc1noc(-c2cc(NC(C)=O)ccc2[O-])n1 ZINC000274130327 211382628 /nfs/dbraw/zinc/38/26/28/211382628.db2.gz KYWWPPKDJDPOSM-UHFFFAOYSA-N -1 1 307.306 1.564 20 0 DDADMM O=c1cc(/C=C\c2nccn2Cc2ccccc2)nc2nc[n-]n21 ZINC000352036141 284727921 /nfs/dbraw/zinc/72/79/21/284727921.db2.gz WNAHVQRHMGVLGT-SREVYHEPSA-N -1 1 318.340 1.833 20 0 DDADMM CC[C@@H](C)[C@H](C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000104865055 194049334 /nfs/dbraw/zinc/04/93/34/194049334.db2.gz SUUAKXBUDKVSPC-ZJUUUORDSA-N -1 1 304.394 1.733 20 0 DDADMM CN(CC[N-]S(=O)(=O)c1sccc1F)CC(F)(F)F ZINC000338903391 250190319 /nfs/dbraw/zinc/19/03/19/250190319.db2.gz MEYARSDZSIEDIZ-UHFFFAOYSA-N -1 1 320.333 1.660 20 0 DDADMM COC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2sccc2F)C1 ZINC000338972472 250227752 /nfs/dbraw/zinc/22/77/52/250227752.db2.gz GVBRYHIEGRZUMZ-SFYZADRCSA-N -1 1 307.368 1.507 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)CCCc2ccccc2)n1 ZINC000285140201 218306441 /nfs/dbraw/zinc/30/64/41/218306441.db2.gz PEGWTJYUPQDCIJ-UHFFFAOYSA-N -1 1 308.359 1.603 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1ccccc1F ZINC000352128905 284808456 /nfs/dbraw/zinc/80/84/56/284808456.db2.gz KAYKABRHUXMJIW-UHFFFAOYSA-N -1 1 307.281 1.008 20 0 DDADMM COCc1noc([C@@H](C)[N-]S(=O)(=O)c2cccs2)n1 ZINC000109598896 194276396 /nfs/dbraw/zinc/27/63/96/194276396.db2.gz GSZITIUXOUOTKS-SSDOTTSWSA-N -1 1 303.365 1.317 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(N2CCCCC2=O)CC1 ZINC000268841380 207004757 /nfs/dbraw/zinc/00/47/57/207004757.db2.gz NKVHTROXRROGDH-UHFFFAOYSA-N -1 1 303.362 1.404 20 0 DDADMM O=C(Cn1nnc2ccccc2c1=O)Nc1ccc(F)cc1[O-] ZINC000340326810 251007520 /nfs/dbraw/zinc/00/75/20/251007520.db2.gz FIUWNCWGOLAIPY-UHFFFAOYSA-N -1 1 314.276 1.275 20 0 DDADMM O=C(CN1C(=O)c2ccccc2C1=O)Nc1ccc(F)cc1[O-] ZINC000340332139 251011824 /nfs/dbraw/zinc/01/18/24/251011824.db2.gz JQJJIZBVYDUJQP-UHFFFAOYSA-N -1 1 314.272 1.766 20 0 DDADMM COc1ccc([C@@H](CN(C)C)NC(=O)N=c2[n-]ncs2)cc1 ZINC000340626002 251142808 /nfs/dbraw/zinc/14/28/08/251142808.db2.gz CPTYZBJIMDESFP-GFCCVEGCSA-N -1 1 321.406 1.393 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]CC(=O)Nc2ccccc2)no1 ZINC000340818818 251225479 /nfs/dbraw/zinc/22/54/79/251225479.db2.gz NRWRYZLBIMHMRT-UHFFFAOYSA-N -1 1 309.347 1.041 20 0 DDADMM O=C([O-])c1cc(F)c(F)cc1NS(=O)(=O)c1cccnc1 ZINC000061564228 184174500 /nfs/dbraw/zinc/17/45/00/184174500.db2.gz LKPOFTFBMPBZSW-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@H]1CCCCO1 ZINC000067019275 184446135 /nfs/dbraw/zinc/44/61/35/184446135.db2.gz AWFBLRDRJGNDDO-GFCCVEGCSA-N -1 1 319.361 1.294 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2c[n-]c3c(cnn3C)c2=O)[C@@H](C)O1 ZINC000412650747 224064150 /nfs/dbraw/zinc/06/41/50/224064150.db2.gz KQXWRPGLQGTPEC-BBBLOLIVSA-N -1 1 304.350 1.217 20 0 DDADMM C[C@H](c1ccccc1)[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352386072 285013627 /nfs/dbraw/zinc/01/36/27/285013627.db2.gz RNPOLQTXIUAUEZ-QWRGUYRKSA-N -1 1 311.345 1.340 20 0 DDADMM CNC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000119647214 195008514 /nfs/dbraw/zinc/00/85/14/195008514.db2.gz FZIGSQMVTUUSKQ-BYPYZUCNSA-N -1 1 317.219 1.468 20 0 DDADMM Cc1cc([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)c(C)o1 ZINC000352432490 285046762 /nfs/dbraw/zinc/04/67/62/285046762.db2.gz QEVMEXAZJKZOSG-MRVPVSSYSA-N -1 1 301.306 1.118 20 0 DDADMM CCCCN(C(=O)c1coc(S(=O)(=O)[N-]C)c1)C1CC1 ZINC000270039403 208092433 /nfs/dbraw/zinc/09/24/33/208092433.db2.gz NUMCVUGNHXFZDZ-UHFFFAOYSA-N -1 1 300.380 1.592 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CC[C@H](C)CC2)co1 ZINC000270090982 208150289 /nfs/dbraw/zinc/15/02/89/208150289.db2.gz XEGOWKBZYMGXSR-HOMQSWHASA-N -1 1 300.380 1.496 20 0 DDADMM O=S(=O)([N-]C[C@@]1(CCO)CCOC1)c1cccc(F)c1F ZINC000120186474 195113993 /nfs/dbraw/zinc/11/39/93/195113993.db2.gz RATMVDVEEPMMTF-CYBMUJFWSA-N -1 1 321.345 1.032 20 0 DDADMM Cc1cc(C)nc(N2CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000157595457 197200461 /nfs/dbraw/zinc/20/04/61/197200461.db2.gz WMFPJDFJYWZXCG-UHFFFAOYSA-N -1 1 313.361 1.156 20 0 DDADMM C[C@H](CN(C)C(=O)C(C)(C)Oc1ccc(F)cc1)c1nn[n-]n1 ZINC000156546926 197121616 /nfs/dbraw/zinc/12/16/16/197121616.db2.gz NTAJZFYJJAKFSA-SNVBAGLBSA-N -1 1 321.356 1.758 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H](O)C(C)(C)C)cnc2n1 ZINC000120352086 195149228 /nfs/dbraw/zinc/14/92/28/195149228.db2.gz GZKQBIFDRCHDCY-LBPRGKRZSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@H](O)C(C)(C)C)c2=O ZINC000120352086 195149230 /nfs/dbraw/zinc/14/92/30/195149230.db2.gz GZKQBIFDRCHDCY-LBPRGKRZSA-N -1 1 303.362 1.781 20 0 DDADMM COCC1CCC(NC(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000412701009 533167701 /nfs/dbraw/zinc/16/77/01/533167701.db2.gz ZVTMIRYXYAEBFQ-UHFFFAOYSA-N -1 1 318.377 1.609 20 0 DDADMM O=C(NCCCNC(=O)C1CCC1)c1c(F)ccc([O-])c1F ZINC000289863701 221278929 /nfs/dbraw/zinc/27/89/29/221278929.db2.gz VLJYSAUTKSERGO-UHFFFAOYSA-N -1 1 312.316 1.707 20 0 DDADMM C[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CCS(=O)(=O)C1 ZINC000289992819 221365810 /nfs/dbraw/zinc/36/58/10/221365810.db2.gz CJLBKSKXOOJBON-QMMMGPOBSA-N -1 1 319.329 1.177 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2nnc3ccccn32)c1 ZINC000121888772 195368899 /nfs/dbraw/zinc/36/88/99/195368899.db2.gz CUONMRBSTHEZJE-UHFFFAOYSA-N -1 1 311.301 1.646 20 0 DDADMM C[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000293594426 296452666 /nfs/dbraw/zinc/45/26/66/296452666.db2.gz URFBGSDDSQMPII-SFYZADRCSA-N -1 1 311.329 1.906 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2nn(C)cc2Cl)sc1C ZINC000568357375 304287543 /nfs/dbraw/zinc/28/75/43/304287543.db2.gz NPOMGBHFENFIME-UHFFFAOYSA-N -1 1 320.827 1.625 20 0 DDADMM COC[C@](C)([N-]S(=O)(=O)CCC1CCCCC1)C(=O)OC ZINC000451303550 285473809 /nfs/dbraw/zinc/47/38/09/285473809.db2.gz GWJZAVOGTQHTHF-AWEZNQCLSA-N -1 1 321.439 1.454 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCCC[C@H]1c1ccco1 ZINC000353426175 285724255 /nfs/dbraw/zinc/72/42/55/285724255.db2.gz INHTWLDRFQQWOV-NSHDSACASA-N -1 1 313.317 1.378 20 0 DDADMM O=C(N=c1[n-]nc([C@H]2CCCO2)s1)c1[nH]nc2c1CCC2 ZINC000636355245 422817544 /nfs/dbraw/zinc/81/75/44/422817544.db2.gz ZSNIVRWSMBCSEP-SECBINFHSA-N -1 1 305.363 1.276 20 0 DDADMM CCO[C@@H]1C[C@@](O)(CN=c2nc([C@H](C)OC)[n-]s2)C1(C)C ZINC000337936459 286310364 /nfs/dbraw/zinc/31/03/64/286310364.db2.gz CEJFHRXMELYOTN-IMSIIYSGSA-N -1 1 315.439 1.645 20 0 DDADMM O=C(CSc1nnnn1C[C@@H]1CCCO1)c1ccc([O-])cc1 ZINC000030347204 406931841 /nfs/dbraw/zinc/93/18/41/406931841.db2.gz OHPPYLHWBAVCBW-LBPRGKRZSA-N -1 1 320.374 1.533 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(C(C)(C)OC)no2)o1 ZINC000085237298 407097925 /nfs/dbraw/zinc/09/79/25/407097925.db2.gz AGZWNNZXDYONNC-UHFFFAOYSA-N -1 1 301.324 1.119 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)Cc2ccc(O)cc2)o1 ZINC000052963729 407160504 /nfs/dbraw/zinc/16/05/04/407160504.db2.gz OHFBENISSDJVCD-UHFFFAOYSA-N -1 1 324.358 1.166 20 0 DDADMM O=C(Nc1ccc(C(=O)Nc2nnn[n-]2)cc1)c1cccs1 ZINC000102894859 407328485 /nfs/dbraw/zinc/32/84/85/407328485.db2.gz PKHCQYRXAZACPE-UHFFFAOYSA-N -1 1 314.330 1.766 20 0 DDADMM O=C(Nc1ccc(C(=O)Nc2nn[n-]n2)cc1)c1cccs1 ZINC000102894859 407328487 /nfs/dbraw/zinc/32/84/87/407328487.db2.gz PKHCQYRXAZACPE-UHFFFAOYSA-N -1 1 314.330 1.766 20 0 DDADMM Cn1c(CNC(=O)CCOc2ccccc2F)n[n-]c1=S ZINC000067050196 407266269 /nfs/dbraw/zinc/26/62/69/407266269.db2.gz XXDVQHVIDXUOPN-UHFFFAOYSA-N -1 1 310.354 1.702 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2nc3ccccc3c(=O)[n-]2)[nH]n1 ZINC000067804176 407285854 /nfs/dbraw/zinc/28/58/54/407285854.db2.gz PICKHHUOMCPUIF-VIFPVBQESA-N -1 1 311.345 1.316 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(C)C ZINC000101568565 407311658 /nfs/dbraw/zinc/31/16/58/407311658.db2.gz OXSZWVSDGNSYNT-NSHDSACASA-N -1 1 307.318 1.441 20 0 DDADMM C[C@H](CN(C)C(=O)CCCOc1cccnc1)c1nn[n-]n1 ZINC000124486760 407359444 /nfs/dbraw/zinc/35/94/44/407359444.db2.gz JGWLJYSOFYPENO-LLVKDONJSA-N -1 1 304.354 1.016 20 0 DDADMM CCC[C@@H](C)NC(=O)[C@H](C)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127209085 407430564 /nfs/dbraw/zinc/43/05/64/407430564.db2.gz SDAIKJLVEUHRCG-BDAKNGLRSA-N -1 1 314.411 1.149 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)C2CCCCC2)o1 ZINC000127937425 407482482 /nfs/dbraw/zinc/48/24/82/407482482.db2.gz YQZKWUDTTIWHDR-JTQLQIEISA-N -1 1 314.407 1.886 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCCc2ccccn2)c1Cl ZINC000178911850 407652283 /nfs/dbraw/zinc/65/22/83/407652283.db2.gz ZKOGAERVOCYIIE-UHFFFAOYSA-N -1 1 314.798 1.380 20 0 DDADMM Cc1ccc(NC(=O)[C@H](C)S(=O)(=O)c2ncn[n-]2)cc1F ZINC000153041345 407742038 /nfs/dbraw/zinc/74/20/38/407742038.db2.gz IAMOTDIXACBXCE-QMMMGPOBSA-N -1 1 312.326 1.053 20 0 DDADMM Cc1ccc(NC(=O)[C@H](C)S(=O)(=O)c2nc[n-]n2)cc1F ZINC000153041345 407742041 /nfs/dbraw/zinc/74/20/41/407742041.db2.gz IAMOTDIXACBXCE-QMMMGPOBSA-N -1 1 312.326 1.053 20 0 DDADMM CCC(CC)N(CCOC)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179437176 407789229 /nfs/dbraw/zinc/78/92/29/407789229.db2.gz KTCATXLYCKQZHT-UHFFFAOYSA-N -1 1 320.393 1.951 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)c1Cl ZINC000153399818 407815058 /nfs/dbraw/zinc/81/50/58/407815058.db2.gz YESGMHMEGYMYLD-YIZRAAEISA-N -1 1 319.814 1.165 20 0 DDADMM CC1(C)CC[C@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)O1 ZINC000187141589 407825375 /nfs/dbraw/zinc/82/53/75/407825375.db2.gz BHAYJCLASGBGNW-SNVBAGLBSA-N -1 1 301.346 1.611 20 0 DDADMM O=C(CNC(=O)c1c([O-])cccc1F)NCCc1ccccc1 ZINC000134139288 407888687 /nfs/dbraw/zinc/88/86/87/407888687.db2.gz IUYIEZRTHYDCST-UHFFFAOYSA-N -1 1 316.332 1.620 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)O1 ZINC000268226864 407957794 /nfs/dbraw/zinc/95/77/94/407957794.db2.gz XMENBHRVJVKPEO-WCQYABFASA-N -1 1 318.377 1.213 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(Br)ccc2[O-])[C@@H]1CO ZINC000189482856 408030774 /nfs/dbraw/zinc/03/07/74/408030774.db2.gz OVZMVLHKPMSLGK-LDYMZIIASA-N -1 1 314.179 1.998 20 0 DDADMM Cc1cnc([C@@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)s1 ZINC000119320415 408039367 /nfs/dbraw/zinc/03/93/67/408039367.db2.gz XZABMCGYEOGERN-MRVPVSSYSA-N -1 1 317.374 1.930 20 0 DDADMM Cc1ccc2nc(CSCC(=O)Nc3nnn[n-]3)cn2c1 ZINC000135471335 408018966 /nfs/dbraw/zinc/01/89/66/408018966.db2.gz KWYAABCWOPGSFC-UHFFFAOYSA-N -1 1 303.351 1.028 20 0 DDADMM Cc1ccc2nc(CSCC(=O)Nc3nn[n-]n3)cn2c1 ZINC000135471335 408018971 /nfs/dbraw/zinc/01/89/71/408018971.db2.gz KWYAABCWOPGSFC-UHFFFAOYSA-N -1 1 303.351 1.028 20 0 DDADMM COc1ccc2[nH]c(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)cc2c1 ZINC000189690723 408082706 /nfs/dbraw/zinc/08/27/06/408082706.db2.gz CFBOBBVMSLFKME-SECBINFHSA-N -1 1 314.349 1.565 20 0 DDADMM CC(C)(C)S(=O)(=O)CCNC(=O)c1cc(F)ccc1[O-] ZINC000175233280 408083410 /nfs/dbraw/zinc/08/34/10/408083410.db2.gz JJDSCPNNRXHTNE-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)C[S@](C)=O ZINC000273208234 408108107 /nfs/dbraw/zinc/10/81/07/408108107.db2.gz RPCLTEBMAYLPAA-PPRQPISWSA-N -1 1 313.423 1.285 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)N2CC[C@](C)(C(=O)[O-])C2)cn1 ZINC000263437768 408140880 /nfs/dbraw/zinc/14/08/80/408140880.db2.gz OYVRIJQCLMUXDM-HNNXBMFYSA-N -1 1 317.349 1.230 20 0 DDADMM CO[C@@H]1C[C@H](c2nc(C)no2)N(C(=O)c2ccc([O-])cc2F)C1 ZINC000190040185 408154940 /nfs/dbraw/zinc/15/49/40/408154940.db2.gz GKHPIVSHVDRBEQ-ZWNOBZJWSA-N -1 1 321.308 1.825 20 0 DDADMM CC[C@H](C)[C@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000182445481 408162299 /nfs/dbraw/zinc/16/22/99/408162299.db2.gz GTNRKWTZFJZTOW-UWVGGRQHSA-N -1 1 304.394 1.938 20 0 DDADMM CCOc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)ccc1C ZINC000155482798 408169826 /nfs/dbraw/zinc/16/98/26/408169826.db2.gz VHHZOCRUVLTHNE-CYBMUJFWSA-N -1 1 315.377 1.927 20 0 DDADMM CCCOc1nc(C)ccc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000190215063 408192071 /nfs/dbraw/zinc/19/20/71/408192071.db2.gz GFHHFQWKZIQDBW-SNVBAGLBSA-N -1 1 318.381 1.568 20 0 DDADMM COCCC(C)(C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000273560693 408245886 /nfs/dbraw/zinc/24/58/86/408245886.db2.gz ADXOIEMPROQLEM-UHFFFAOYSA-N -1 1 316.379 1.041 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](CCO)C(C)C)cnc2n1 ZINC000151062648 408251165 /nfs/dbraw/zinc/25/11/65/408251165.db2.gz VLMMWYCUIKXXRL-ZDUSSCGKSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](CCO)C(C)C)c2=O ZINC000151062648 408251168 /nfs/dbraw/zinc/25/11/68/408251168.db2.gz VLMMWYCUIKXXRL-ZDUSSCGKSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@H]3CCn4cncc4C3)n2)o1 ZINC000156012011 408233079 /nfs/dbraw/zinc/23/30/79/408233079.db2.gz ZWBBYIJUYDWNTE-JTQLQIEISA-N -1 1 312.333 1.771 20 0 DDADMM Cc1nnc(NC(=O)c2nn(-c3ccccc3F)cc2[O-])[nH]1 ZINC000156723777 408272957 /nfs/dbraw/zinc/27/29/57/408272957.db2.gz QLAYIPLLBAVUKQ-UHFFFAOYSA-N -1 1 302.269 1.396 20 0 DDADMM Cc1n[nH]c(NC(=O)c2nn(-c3ccccc3F)cc2[O-])n1 ZINC000156723777 408272967 /nfs/dbraw/zinc/27/29/67/408272967.db2.gz QLAYIPLLBAVUKQ-UHFFFAOYSA-N -1 1 302.269 1.396 20 0 DDADMM CC(C)[C@@H]1OCC[C@@H]1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132823373 162045338 /nfs/dbraw/zinc/04/53/38/162045338.db2.gz FGAQBZUFSBHCAW-YGRLFVJLSA-N -1 1 318.377 1.465 20 0 DDADMM CC(C)c1nn(C)cc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000263983404 408335421 /nfs/dbraw/zinc/33/54/21/408335421.db2.gz CEWVKRVTVOIBMA-UHFFFAOYSA-N -1 1 302.260 1.933 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC[C@H](C)[C@@H](C)C2)o1 ZINC000183367587 408391910 /nfs/dbraw/zinc/39/19/10/408391910.db2.gz SOGDCQBYAYTKKS-GARJFASQSA-N -1 1 314.407 1.742 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Br)cc2[O-])CC[C@H]1O ZINC000159254135 408393839 /nfs/dbraw/zinc/39/38/39/408393839.db2.gz BRFGGRGYTJQQSB-GZMMTYOYSA-N -1 1 314.179 1.998 20 0 DDADMM Cc1cc2ccccc2n1CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183396676 408399253 /nfs/dbraw/zinc/39/92/53/408399253.db2.gz SCJPDWPEFWKIJI-NSHDSACASA-N -1 1 312.377 1.725 20 0 DDADMM Cc1cccc2c(CC(=O)N(C)C[C@@H](C)c3nn[n-]n3)c[nH]c21 ZINC000183422502 408408266 /nfs/dbraw/zinc/40/82/66/408408266.db2.gz WLWXMHRLGWPCBO-LLVKDONJSA-N -1 1 312.377 1.794 20 0 DDADMM C[C@H](CN(C)C(=O)c1sccc1OC(F)F)c1nn[n-]n1 ZINC000183452490 408416153 /nfs/dbraw/zinc/41/61/53/408416153.db2.gz QMAXBUZNNHTPKH-ZCFIWIBFSA-N -1 1 317.321 1.738 20 0 DDADMM CSc1n[nH]c([N-]S(=O)(=O)Cc2ccc(C)c(F)c2)n1 ZINC000274468448 408417167 /nfs/dbraw/zinc/41/71/67/408417167.db2.gz MNFZZNIIBGKLQY-UHFFFAOYSA-N -1 1 316.383 1.916 20 0 DDADMM CCC[C@H](NC(=O)CSCc1c(C)noc1C)c1nn[n-]n1 ZINC000176753212 408433338 /nfs/dbraw/zinc/43/33/38/408433338.db2.gz RVKPKYHDGBKZFY-NSHDSACASA-N -1 1 324.410 1.695 20 0 DDADMM CCCC(=O)N1CCC[C@@H](C(=O)N[C@@H](CCC)c2nn[n-]n2)C1 ZINC000176761964 408434262 /nfs/dbraw/zinc/43/42/62/408434262.db2.gz NNXRKTLORNOHNO-NEPJUHHUSA-N -1 1 322.413 1.196 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC[C@@H](C)C2)co1 ZINC000184380099 408602819 /nfs/dbraw/zinc/60/28/19/408602819.db2.gz MVLVCXWVFIAWLH-SNVBAGLBSA-N -1 1 300.380 1.450 20 0 DDADMM CN(Cc1ccccc1)C(=O)N1Cc2[nH]cnc2C[C@H]1C(=O)[O-] ZINC000275147090 408579013 /nfs/dbraw/zinc/57/90/13/408579013.db2.gz RAQTXDOEOMZFDJ-AWEZNQCLSA-N -1 1 314.345 1.473 20 0 DDADMM C[C@@H](O)C[C@@H]1COCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000184701370 408670550 /nfs/dbraw/zinc/67/05/50/408670550.db2.gz KHTNNAWJEBFBMZ-DGCLKSJQSA-N -1 1 319.357 1.470 20 0 DDADMM O=S(=O)(Cc1c(F)cccc1F)[N-]C[C@@H](O)C(F)(F)F ZINC000270874199 408719527 /nfs/dbraw/zinc/71/95/27/408719527.db2.gz BPBVVROWGVXZOD-SECBINFHSA-N -1 1 319.251 1.307 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC000194982341 408807150 /nfs/dbraw/zinc/80/71/50/408807150.db2.gz ZANFJXAMIXCJBW-JTQLQIEISA-N -1 1 309.370 1.182 20 0 DDADMM CCS(=O)(=O)[N-]c1nc(-c2ccccc2Cl)nn1C ZINC000290891302 408851017 /nfs/dbraw/zinc/85/10/17/408851017.db2.gz CVCPBOAHLBWAHY-UHFFFAOYSA-N -1 1 300.771 1.897 20 0 DDADMM COCCOC[C@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000280892042 408854683 /nfs/dbraw/zinc/85/46/83/408854683.db2.gz ZNYAJGHWDWBJBT-JTQLQIEISA-N -1 1 315.316 1.796 20 0 DDADMM O=C(CSc1n[nH]c(=S)s1)[N-]OC[C@H]1CCOC1 ZINC000276439018 408858555 /nfs/dbraw/zinc/85/85/55/408858555.db2.gz KZAVYSOFBCFWHI-LURJTMIESA-N -1 1 307.422 1.003 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000291692741 408907966 /nfs/dbraw/zinc/90/79/66/408907966.db2.gz BPIHQBBKOWVOBQ-YVGDHZEHSA-N -1 1 312.728 1.979 20 0 DDADMM C[C@H]1C(=O)NCCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000189265085 163091449 /nfs/dbraw/zinc/09/14/49/163091449.db2.gz SXZOEWIBIFYZBL-JTQLQIEISA-N -1 1 302.330 1.209 20 0 DDADMM O=C(NCCN1CCc2ccccc2C1=O)c1cncc([O-])c1 ZINC000190608526 163148235 /nfs/dbraw/zinc/14/82/35/163148235.db2.gz GGUCAXIGQIVUJY-UHFFFAOYSA-N -1 1 311.341 1.216 20 0 DDADMM C[C@H](CN(C)C)NS(=O)(=O)c1ccc(C(=O)[O-])c(Cl)c1 ZINC000218602624 163332020 /nfs/dbraw/zinc/33/20/20/163332020.db2.gz YNRMFWZTQLHNKU-MRVPVSSYSA-N -1 1 320.798 1.267 20 0 DDADMM C[C@]1(NC(=O)c2ccc(C(F)(F)F)cc2[O-])CCOC1=O ZINC000291951615 408951821 /nfs/dbraw/zinc/95/18/21/408951821.db2.gz DVQFJBKXKFUWCD-LBPRGKRZSA-N -1 1 303.236 1.846 20 0 DDADMM CCOC(=O)CC[C@@H](C)NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287557137 409054936 /nfs/dbraw/zinc/05/49/36/409054936.db2.gz KBBWFGPXDKNTRZ-IFYDOICBSA-N -1 1 319.365 1.195 20 0 DDADMM CCS(=O)(=O)NCc1nc(-c2ccc([O-])cc2F)no1 ZINC000278126774 409069577 /nfs/dbraw/zinc/06/95/77/409069577.db2.gz JWHPSTRNBOSEGI-UHFFFAOYSA-N -1 1 301.299 1.021 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCO[C@H]4CCC[C@H]43)ccnc1-2 ZINC000287802760 409095867 /nfs/dbraw/zinc/09/58/67/409095867.db2.gz XWJCOHHPNSIXRK-NLKYIXICSA-N -1 1 301.350 1.127 20 0 DDADMM COC(C)(C)C[C@@H](C)NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279180604 409164724 /nfs/dbraw/zinc/16/47/24/409164724.db2.gz BQMSWSOYYVYKNI-IFYDOICBSA-N -1 1 305.382 1.667 20 0 DDADMM Cc1nc([N-]C(=O)c2cnc(C(=O)OC(C)(C)C)cn2)nn1C ZINC000293727739 409169366 /nfs/dbraw/zinc/16/93/66/409169366.db2.gz QBQZLCHHTRRXMO-UHFFFAOYSA-N -1 1 318.337 1.121 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3CCC[C@@H]4OCC[C@@H]43)ccnc1-2 ZINC000279411471 409209765 /nfs/dbraw/zinc/20/97/65/409209765.db2.gz SJYFDKSGMNERDJ-YKRSAUEFSA-N -1 1 315.377 1.421 20 0 DDADMM CCC(CC)[C@@H](O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283800231 409218601 /nfs/dbraw/zinc/21/86/01/409218601.db2.gz WAVXQWJJJBXPTP-NSHDSACASA-N -1 1 308.407 1.545 20 0 DDADMM COC(=O)C[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000289181571 409230011 /nfs/dbraw/zinc/23/00/11/409230011.db2.gz AILOTIGEBKHUDY-MRVPVSSYSA-N -1 1 315.272 1.075 20 0 DDADMM COC(=O)C[C@H](C)CC(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000289368611 409255813 /nfs/dbraw/zinc/25/58/13/409255813.db2.gz ZLTHYIJNCXMNLK-SECBINFHSA-N -1 1 303.322 1.395 20 0 DDADMM C[C@H](c1cccnc1)N(C)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279382241 409203705 /nfs/dbraw/zinc/20/37/05/409203705.db2.gz QSIBWDRXQNSERY-QTVDBSQPSA-N -1 1 310.361 1.962 20 0 DDADMM O=C(N1CCc2ccccc21)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000288984233 409204464 /nfs/dbraw/zinc/20/44/64/409204464.db2.gz RTRQYUCQXROYNG-LBPRGKRZSA-N -1 1 313.361 1.460 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(C(F)(F)F)n1)c1nn[n-]n1 ZINC000295102122 409323084 /nfs/dbraw/zinc/32/30/84/409323084.db2.gz ZAQZLZGQENHZGD-SSDOTTSWSA-N -1 1 314.271 1.885 20 0 DDADMM Cc1ncsc1CC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000295196487 409370185 /nfs/dbraw/zinc/37/01/85/409370185.db2.gz BHJWFOXRPTZKRQ-UHFFFAOYSA-N -1 1 320.827 1.359 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2C[C@@H](C)O[C@H]2C)c1Br ZINC000631590112 422842187 /nfs/dbraw/zinc/84/21/87/422842187.db2.gz HANNCVAPIPSJBF-GJMOJQLCSA-N -1 1 316.199 1.909 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1OC2CCC1CC2 ZINC000636406758 422842554 /nfs/dbraw/zinc/84/25/54/422842554.db2.gz DHBVNCZLJWUMBR-UHFFFAOYSA-N -1 1 316.361 1.679 20 0 DDADMM C[S@](=O)C1(C[N-]S(=O)(=O)c2cc(F)ccc2F)CCC1 ZINC000280738673 409434091 /nfs/dbraw/zinc/43/40/91/409434091.db2.gz KVQOXLFEFSMECX-IBGZPJMESA-N -1 1 323.386 1.544 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@@H](C)O1 ZINC000295375013 409454642 /nfs/dbraw/zinc/45/46/42/409454642.db2.gz WYYDMPSYTYGNJU-KOLCDFICSA-N -1 1 306.391 1.658 20 0 DDADMM CN(C)C1CN(C(=O)c2nn(-c3cccc(F)c3)cc2[O-])C1 ZINC000285470679 409455764 /nfs/dbraw/zinc/45/57/64/409455764.db2.gz CEBXCVKNAPESPG-UHFFFAOYSA-N -1 1 304.325 1.103 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H](CO)C1)c1ccc(F)c(F)c1F ZINC000313692631 164010861 /nfs/dbraw/zinc/01/08/61/164010861.db2.gz FTCBSNWRQKFDCZ-IUCAKERBSA-N -1 1 323.336 1.933 20 0 DDADMM C[C@H](CC(=O)NC1(c2nn[n-]n2)CC1)C(=O)c1cccc(F)c1 ZINC000357095224 164138164 /nfs/dbraw/zinc/13/81/64/164138164.db2.gz KIZMSEGCALHMBO-SECBINFHSA-N -1 1 317.324 1.353 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H]1O)c1ccc(Br)o1 ZINC000408213886 164263671 /nfs/dbraw/zinc/26/36/71/164263671.db2.gz JJBWSYGLJKJUHC-SFYZADRCSA-N -1 1 324.196 1.481 20 0 DDADMM COC(=O)CCSCCN=c1nc([C@@H](C)OC)[n-]s1 ZINC000337857256 409515253 /nfs/dbraw/zinc/51/52/53/409515253.db2.gz HNAITJKBHZWQDO-MRVPVSSYSA-N -1 1 305.425 1.376 20 0 DDADMM C[C@H](c1cccnc1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337944752 409587561 /nfs/dbraw/zinc/58/75/61/409587561.db2.gz CLOYJHYSPOROBL-LLVKDONJSA-N -1 1 314.345 1.132 20 0 DDADMM CSc1n[nH]c(NC(=O)c2ccc3c(c2)NC(=O)CO3)n1 ZINC000354120533 409669316 /nfs/dbraw/zinc/66/93/16/409669316.db2.gz SUNKQANVDREJNV-UHFFFAOYSA-N -1 1 305.319 1.110 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2csc(C)n2)o1 ZINC000338134474 409741873 /nfs/dbraw/zinc/74/18/73/409741873.db2.gz CINARWXSIBGGBE-UHFFFAOYSA-N -1 1 301.349 1.205 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(C2CCCCC2)n[nH]1 ZINC000357054722 409822868 /nfs/dbraw/zinc/82/28/68/409822868.db2.gz UWUXIEMVGRKDAZ-UHFFFAOYSA-N -1 1 301.354 1.390 20 0 DDADMM Cc1oc(-c2ccccc2)nc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357063267 409833427 /nfs/dbraw/zinc/83/34/27/409833427.db2.gz ZEXUWCOURYSUQX-UHFFFAOYSA-N -1 1 310.317 1.582 20 0 DDADMM CCc1nc(=NC(=O)c2nn(-c3ccc(F)cc3)cc2C)[n-][nH]1 ZINC000338221146 409811202 /nfs/dbraw/zinc/81/12/02/409811202.db2.gz PISCTKHGXVJNDZ-UHFFFAOYSA-N -1 1 314.324 1.675 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CC2)nn1[C@@H](C)C1CC1 ZINC000357044877 409815004 /nfs/dbraw/zinc/81/50/04/409815004.db2.gz IWQWNWZTIHKAQX-VIFPVBQESA-N -1 1 301.354 1.095 20 0 DDADMM O=C(CCC(=O)c1ccc(Cl)cn1)NC1(c2nn[n-]n2)CC1 ZINC000357046815 409816142 /nfs/dbraw/zinc/81/61/42/409816142.db2.gz DPLIZFXSHHZMBG-UHFFFAOYSA-N -1 1 320.740 1.017 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CC2)sc2nccc(C)c12 ZINC000357046696 409817396 /nfs/dbraw/zinc/81/73/96/409817396.db2.gz KRUPBGJQSSOBJE-UHFFFAOYSA-N -1 1 314.374 1.845 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C(C)(C)c1ccc(C)nc1 ZINC000349539224 409860110 /nfs/dbraw/zinc/86/01/10/409860110.db2.gz SWKNSRUEGCKBHG-UHFFFAOYSA-N -1 1 310.379 1.313 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC(C)(C)c1ccc(C)nc1 ZINC000349539224 409860118 /nfs/dbraw/zinc/86/01/18/409860118.db2.gz SWKNSRUEGCKBHG-UHFFFAOYSA-N -1 1 310.379 1.313 20 0 DDADMM CCOc1ccc(C(=O)CCC(=O)[N-]OC(C)(C)CO)cc1 ZINC000297267230 409883773 /nfs/dbraw/zinc/88/37/73/409883773.db2.gz RRLIMDHXMCIZNS-UHFFFAOYSA-N -1 1 309.362 1.867 20 0 DDADMM Cn1cnc(CNC(=O)c2c([O-])cnc3ccc(Cl)cc32)n1 ZINC000338402583 409959742 /nfs/dbraw/zinc/95/97/42/409959742.db2.gz KOPTYWWGAJMLOD-UHFFFAOYSA-N -1 1 317.736 1.652 20 0 DDADMM COc1cc(NC(=O)NCC(C)C)ccc1[N-]S(C)(=O)=O ZINC000338349228 409930439 /nfs/dbraw/zinc/93/04/39/409930439.db2.gz FXTNQSXJCJVZJG-UHFFFAOYSA-N -1 1 315.395 1.844 20 0 DDADMM COc1cc(NC(=O)NC(C)(C)C)ccc1[N-]S(C)(=O)=O ZINC000338360390 409939973 /nfs/dbraw/zinc/93/99/73/409939973.db2.gz PMCSCLCYLSMHLZ-UHFFFAOYSA-N -1 1 315.395 1.987 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)C[C@@H](C)C(C)(C)C)co1 ZINC000636430728 422851176 /nfs/dbraw/zinc/85/11/76/422851176.db2.gz JYJLTUQGDXQOGW-SNVBAGLBSA-N -1 1 316.423 1.942 20 0 DDADMM CSc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)ccn1 ZINC000332271465 410031020 /nfs/dbraw/zinc/03/10/20/410031020.db2.gz GQAKQUFDLZHCQS-JTQLQIEISA-N -1 1 320.374 1.912 20 0 DDADMM O=C(Nc1cn[nH]c1)[C@@H]1CCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000633301167 422852199 /nfs/dbraw/zinc/85/21/99/422852199.db2.gz IGLZRORXJRQHMH-SECBINFHSA-N -1 1 318.308 1.355 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N=c2ccc(OC(C)C)n[n-]2)n[nH]1 ZINC000346627488 410044028 /nfs/dbraw/zinc/04/40/28/410044028.db2.gz UJJNMRWVFLKTJF-SNVBAGLBSA-N -1 1 318.381 1.470 20 0 DDADMM O=S(=O)([N-]CCCOC[C@@H]1CCOC1)c1sccc1F ZINC000338905615 410050149 /nfs/dbraw/zinc/05/01/49/410050149.db2.gz AGIYRYPLCAVGRJ-JTQLQIEISA-N -1 1 323.411 1.609 20 0 DDADMM CC[C@@H](Nc1ccccc1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000354738881 410053153 /nfs/dbraw/zinc/05/31/53/410053153.db2.gz YMPUVSNDYFEBAD-SNVBAGLBSA-N -1 1 323.378 1.037 20 0 DDADMM CC[C@@H](Nc1ccccc1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000354738881 410053157 /nfs/dbraw/zinc/05/31/57/410053157.db2.gz YMPUVSNDYFEBAD-SNVBAGLBSA-N -1 1 323.378 1.037 20 0 DDADMM CCCOc1ccc(CCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354756797 410063474 /nfs/dbraw/zinc/06/34/74/410063474.db2.gz AMTQJQKAJILSAO-UHFFFAOYSA-N -1 1 317.393 1.973 20 0 DDADMM CN1CC[C@H](c2nc(-c3ccc([O-])c(F)c3)no2)S1(=O)=O ZINC000351361534 410066200 /nfs/dbraw/zinc/06/62/00/410066200.db2.gz FXTJVFRTHQXQNJ-SNVBAGLBSA-N -1 1 313.310 1.288 20 0 DDADMM CO[C@H]1CCC[C@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000332424580 410147634 /nfs/dbraw/zinc/14/76/34/410147634.db2.gz BCPUPLDSXYVPAY-RYUDHWBXSA-N -1 1 309.366 1.686 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1C[C@@H](C)[C@@H]1C ZINC000357558170 410099665 /nfs/dbraw/zinc/09/96/65/410099665.db2.gz ZWHXTAKBDBAFOM-KOLCDFICSA-N -1 1 302.378 1.851 20 0 DDADMM CC[C@@H](NC(=O)c1coc(S(=O)(=O)[N-]C)c1)C(C)(C)C ZINC000339350801 410118788 /nfs/dbraw/zinc/11/87/88/410118788.db2.gz YKOJDKNBBAKTCR-SNVBAGLBSA-N -1 1 302.396 1.742 20 0 DDADMM COc1cc(NC(=O)N2CCCC2)ccc1[N-]S(C)(=O)=O ZINC000346739656 410123541 /nfs/dbraw/zinc/12/35/41/410123541.db2.gz XMBNWTDMPFCNLY-UHFFFAOYSA-N -1 1 313.379 1.694 20 0 DDADMM Cc1ccc(-n2[n-]c(C(=O)N[C@H](C)c3nnc[nH]3)cc2=O)cc1 ZINC000351649177 410131635 /nfs/dbraw/zinc/13/16/35/410131635.db2.gz JKTFJFKNFMTFJJ-SNVBAGLBSA-N -1 1 312.333 1.083 20 0 DDADMM CC[C@@H](C(=O)NCc1n[nH]c(=O)[n-]1)N(C)Cc1ccccc1 ZINC000354919545 410173677 /nfs/dbraw/zinc/17/36/77/410173677.db2.gz NFZKWHXBPSCXLT-LBPRGKRZSA-N -1 1 303.366 1.037 20 0 DDADMM COC[C@H]1CCN1C(=O)c1c[n-]c2cc(OC)ccc2c1=O ZINC000298315403 410176651 /nfs/dbraw/zinc/17/66/51/410176651.db2.gz JMWHZFSYZPJBDJ-SNVBAGLBSA-N -1 1 302.330 1.810 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)[C@@H]1CCCO1 ZINC000339448087 410194290 /nfs/dbraw/zinc/19/42/90/410194290.db2.gz NBQQSBVDCDUTIT-MNOVXSKESA-N -1 1 317.363 1.302 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)[C@@H]1CCCO1 ZINC000339451500 410196293 /nfs/dbraw/zinc/19/62/93/410196293.db2.gz QTCYDDWZTKLTKX-ZJUUUORDSA-N -1 1 317.363 1.302 20 0 DDADMM CC(=O)CNC(=O)[C@H](C)Sc1nc(C(F)F)cc(=O)[n-]1 ZINC000351903909 410330106 /nfs/dbraw/zinc/33/01/06/410330106.db2.gz YWGAHLBYDDCYCF-LURJTMIESA-N -1 1 305.306 1.306 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2cnc(C)nc2)cc1C(=O)[O-] ZINC000343262851 410288402 /nfs/dbraw/zinc/28/84/02/410288402.db2.gz GENYBCKGKFTBHP-UHFFFAOYSA-N -1 1 323.330 1.293 20 0 DDADMM O=c1nc([C@H]2CCCN(Cc3cc(-c4ccco4)on3)C2)[nH][n-]1 ZINC000298582696 410300315 /nfs/dbraw/zinc/30/03/15/410300315.db2.gz RCVYTOBFCNIXDH-JTQLQIEISA-N -1 1 315.333 1.726 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@@H]2[C@@H]3CC[C@@H](C3)[C@@]2(C)C1 ZINC000333113265 410362280 /nfs/dbraw/zinc/36/22/80/410362280.db2.gz SONFSPGJAARGRY-FBKDDSFISA-N -1 1 311.407 1.452 20 0 DDADMM Cc1nc([C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)sc1C ZINC000329591687 410375008 /nfs/dbraw/zinc/37/50/08/410375008.db2.gz NXIMEZGGUUFWGX-NSHDSACASA-N -1 1 307.423 1.561 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@@H]([C@@H]2CCOC2)C1 ZINC000333139626 410382021 /nfs/dbraw/zinc/38/20/21/410382021.db2.gz YKIUNPQSNSYWCN-GHMZBOCLSA-N -1 1 307.419 1.638 20 0 DDADMM C[C@H]1CO[C@@H](c2ccccc2Cl)CN1Cc1nc(=O)n(C)[n-]1 ZINC000329639581 410395006 /nfs/dbraw/zinc/39/50/06/410395006.db2.gz ZCLBXSYZOCJIGK-GXFFZTMASA-N -1 1 322.796 1.724 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H](NC(N)=O)C(C)(C)C)c1 ZINC000298983088 410473579 /nfs/dbraw/zinc/47/35/79/410473579.db2.gz PHGFFBUWRFFJQN-LLVKDONJSA-N -1 1 323.349 1.200 20 0 DDADMM CCOc1cc(F)ccc1[N-]S(=O)(=O)CCC(=O)OC ZINC000298880911 410434373 /nfs/dbraw/zinc/43/43/73/410434373.db2.gz ZCJZGNUKEVRITE-UHFFFAOYSA-N -1 1 305.327 1.529 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1ccc(F)c(F)c1)c2=O ZINC000358313878 410444951 /nfs/dbraw/zinc/44/49/51/410444951.db2.gz DOHYCHSFFVJHLI-UHFFFAOYSA-N -1 1 318.283 1.882 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCN(c3ccccc3)C2=O)c([O-])c1 ZINC000358655037 410506895 /nfs/dbraw/zinc/50/68/95/410506895.db2.gz XNNUMOFLWBHLJE-CYBMUJFWSA-N -1 1 311.341 1.631 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCCC2(CCC2)C1 ZINC000352156237 410515131 /nfs/dbraw/zinc/51/51/31/410515131.db2.gz HWWVUMWBSFKFQE-UHFFFAOYSA-N -1 1 303.362 1.310 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000339902255 410541552 /nfs/dbraw/zinc/54/15/52/410541552.db2.gz UWXAXYGOQSVZQB-CQSZACIVSA-N -1 1 300.314 1.474 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2cn(C)nc2C)c1Br ZINC000359520699 410707283 /nfs/dbraw/zinc/70/72/83/410707283.db2.gz ZCPMQIRCPYLIFP-UHFFFAOYSA-N -1 1 312.171 1.451 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(F)cc2[O-])CCN1C[C@@H](C)O ZINC000179643901 287174603 /nfs/dbraw/zinc/17/46/03/287174603.db2.gz XASJIDGFLKFWDQ-DGCLKSJQSA-N -1 1 310.369 1.449 20 0 DDADMM Cc1nn(-c2ccccc2)cc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359783508 410876431 /nfs/dbraw/zinc/87/64/31/410876431.db2.gz IHJJVSHEIYDUKV-UHFFFAOYSA-N -1 1 311.349 1.359 20 0 DDADMM CC[C@H]1C[C@@H](C(=O)Nc2cc(C(=O)OC)ccc2[O-])CC(=O)N1 ZINC000337587462 410879237 /nfs/dbraw/zinc/87/92/37/410879237.db2.gz UHKTTZIZGDANKQ-MNOVXSKESA-N -1 1 320.345 1.422 20 0 DDADMM Cn1nnnc1-c1cccc(S(=O)(=O)[N-]c2ccccc2)c1 ZINC000344003736 410883712 /nfs/dbraw/zinc/88/37/12/410883712.db2.gz ZEQLAMUVSNMCJA-UHFFFAOYSA-N -1 1 315.358 1.678 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccsc2)o1 ZINC000341146298 410898036 /nfs/dbraw/zinc/89/80/36/410898036.db2.gz PUELPHAERVSTEQ-UHFFFAOYSA-N -1 1 301.345 1.606 20 0 DDADMM CC(C)(C)N1C[C@@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)CC1=O ZINC000353316793 410918457 /nfs/dbraw/zinc/91/84/57/410918457.db2.gz TZFMUWVVLCPJLB-LURJTMIESA-N -1 1 319.287 1.409 20 0 DDADMM CC(=O)N[C@H](C)C1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000331160067 410988319 /nfs/dbraw/zinc/98/83/19/410988319.db2.gz CMDIADBWZMQCQL-LLVKDONJSA-N -1 1 305.378 1.472 20 0 DDADMM CC(C)(NC(=O)COc1ccsc1Cl)c1nn[n-]n1 ZINC000353468597 411005189 /nfs/dbraw/zinc/00/51/89/411005189.db2.gz BNWLRVKSPFCVHT-UHFFFAOYSA-N -1 1 301.759 1.345 20 0 DDADMM CC[C@H](C)[C@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353489870 411028102 /nfs/dbraw/zinc/02/81/02/411028102.db2.gz QATFSLSNVXZJCA-CMPLNLGQSA-N -1 1 303.366 1.458 20 0 DDADMM CC(C)CC1(C)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000356440446 411033203 /nfs/dbraw/zinc/03/32/03/411033203.db2.gz GAVXQMAYKUAAOM-UHFFFAOYSA-N -1 1 317.393 1.706 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2[C@H](C)CCC[C@@H]2C)co1 ZINC000130550768 196089231 /nfs/dbraw/zinc/08/92/31/196089231.db2.gz TUJVSUHFCLOFPE-AOOOYVTPSA-N -1 1 300.380 1.591 20 0 DDADMM O=C([O-])C1(O)CCN(Cc2ccc3c(c2)OC(F)(F)O3)CC1 ZINC000652483400 423039961 /nfs/dbraw/zinc/03/99/61/423039961.db2.gz XHMYGILFCNTUKM-UHFFFAOYSA-N -1 1 315.272 1.420 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1nnc(C(C)C)s1 ZINC000515094189 416692511 /nfs/dbraw/zinc/69/25/11/416692511.db2.gz CLQVHPOTNPPIGS-UHFFFAOYSA-N -1 1 317.396 1.019 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2c(F)cc(OC)cc2F)COC1 ZINC000650251501 423100409 /nfs/dbraw/zinc/10/04/09/423100409.db2.gz VTPGQUJUNGUHLV-UHFFFAOYSA-N -1 1 321.345 1.678 20 0 DDADMM O=S(=O)([N-]Cc1ccsc1)c1c[nH]nc1C(F)(F)F ZINC000647895818 423103291 /nfs/dbraw/zinc/10/32/91/423103291.db2.gz KGFYHHKSZWZYPQ-UHFFFAOYSA-N -1 1 311.310 1.969 20 0 DDADMM Cn1cnn(Cc2nc(-c3ccc([O-])cc3Cl)no2)c1=O ZINC000350817842 306757496 /nfs/dbraw/zinc/75/74/96/306757496.db2.gz UDKLFUFGKDUNIW-UHFFFAOYSA-N -1 1 307.697 1.039 20 0 DDADMM CSc1nc(CNC(=O)c2cnn(C3CC3)c2)cc(=O)[n-]1 ZINC000640653269 423113040 /nfs/dbraw/zinc/11/30/40/423113040.db2.gz AFBISGJOLSDKHW-UHFFFAOYSA-N -1 1 305.363 1.366 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC(F)(F)C2)o1 ZINC000652744437 423114624 /nfs/dbraw/zinc/11/46/24/423114624.db2.gz FVWMFOCBJORWGM-UHFFFAOYSA-N -1 1 308.306 1.059 20 0 DDADMM CSc1nc(CNC(=O)c2ccn(C(C)(C)C)n2)cc(=O)[n-]1 ZINC000640656332 423118494 /nfs/dbraw/zinc/11/84/94/423118494.db2.gz YBYZCCDSRGFCML-UHFFFAOYSA-N -1 1 321.406 1.786 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)NCc2cc(=O)[n-]c(SC)n2)CCO1 ZINC000640657067 423118746 /nfs/dbraw/zinc/11/87/46/423118746.db2.gz ZTCLEQBTMKNQGS-GXSJLCMTSA-N -1 1 311.407 1.726 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCCOC1 ZINC000645638720 423120955 /nfs/dbraw/zinc/12/09/55/423120955.db2.gz SEYUHSLMWCATCR-NSHDSACASA-N -1 1 324.324 1.948 20 0 DDADMM NC(=O)[C@H]1C[C@@H](NC(=O)c2ccc(Br)cc2[O-])C1 ZINC000652792991 423135416 /nfs/dbraw/zinc/13/54/16/423135416.db2.gz UQQDKRAPWAYPFX-WRXNHJIOSA-N -1 1 313.151 1.148 20 0 DDADMM CCc1nc(=NCc2n[nH]c(-c3ccc(OC)cc3)n2)s[n-]1 ZINC000360456445 418439789 /nfs/dbraw/zinc/43/97/89/418439789.db2.gz WQOMCRATCDDKHG-UHFFFAOYSA-N -1 1 316.390 1.928 20 0 DDADMM CCc1nc(=NCc2nc(-c3ccc(OC)cc3)n[nH]2)s[n-]1 ZINC000360456445 418439794 /nfs/dbraw/zinc/43/97/94/418439794.db2.gz WQOMCRATCDDKHG-UHFFFAOYSA-N -1 1 316.390 1.928 20 0 DDADMM CN1CCC[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1=O ZINC000360460300 418440510 /nfs/dbraw/zinc/44/05/10/418440510.db2.gz OJXMZGBAADGYKQ-SNVBAGLBSA-N -1 1 322.308 1.003 20 0 DDADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])[C@H]2CCCC[C@H]12 ZINC000360544136 418460905 /nfs/dbraw/zinc/46/09/05/418460905.db2.gz DYUUTELPVKYPKY-UPJWGTAASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](CF)NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000360591281 418472819 /nfs/dbraw/zinc/47/28/19/418472819.db2.gz MYPDQMZUEKYLPH-QMMMGPOBSA-N -1 1 306.359 1.444 20 0 DDADMM CC(C)(C(=O)[O-])C(=O)N[C@@H]1CCC[N@@H+](Cc2ccccc2)C1 ZINC000309596981 418590257 /nfs/dbraw/zinc/59/02/57/418590257.db2.gz FGPPDPUWNRJOSN-CQSZACIVSA-N -1 1 304.390 1.878 20 0 DDADMM CC(C)(C(=O)[O-])C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000309596981 418590259 /nfs/dbraw/zinc/59/02/59/418590259.db2.gz FGPPDPUWNRJOSN-CQSZACIVSA-N -1 1 304.390 1.878 20 0 DDADMM O=C([O-])[C@H]1CN(C[C@H](O)CC(F)(F)F)Cc2ccccc21 ZINC000307990630 418590277 /nfs/dbraw/zinc/59/02/77/418590277.db2.gz WMUPLLNENNVKHU-PWSUYJOCSA-N -1 1 303.280 1.984 20 0 DDADMM O=C(NC1COCCOC1)c1cc(Br)ccc1[O-] ZINC000310840632 418592088 /nfs/dbraw/zinc/59/20/88/418592088.db2.gz NPPYDLUVAIXEMH-UHFFFAOYSA-N -1 1 316.151 1.300 20 0 DDADMM O=S(=O)([N-]C[C@@H]1[C@H]2COC[C@H]21)c1c(Cl)ccnc1Cl ZINC000367522164 418607503 /nfs/dbraw/zinc/60/75/03/418607503.db2.gz CAIZFMCLHIXVCX-WHUPJOBBSA-N -1 1 323.201 1.559 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2CC[C@H]3CC[N@@H+](C)[C@H]3C2)[n-]n1 ZINC000375490458 418647904 /nfs/dbraw/zinc/64/79/04/418647904.db2.gz KUUNVMAFMOIGKX-OLZOCXBDSA-N -1 1 319.409 1.244 20 0 DDADMM O=C(N[C@H]1CCC(=O)N[C@H]1C1CC1)c1ccc(Cl)cc1[O-] ZINC000375396282 418635443 /nfs/dbraw/zinc/63/54/43/418635443.db2.gz CTCXJQGIGCRQTM-FZMZJTMJSA-N -1 1 308.765 1.833 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1C[C@H]1c1cccnc1 ZINC000368273244 418713758 /nfs/dbraw/zinc/71/37/58/418713758.db2.gz QDDYJZAYLMZUBX-RYUDHWBXSA-N -1 1 314.345 1.156 20 0 DDADMM Cc1ccccc1C[C@@H](C)NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000361506284 418664822 /nfs/dbraw/zinc/66/48/22/418664822.db2.gz DNPCQUNWODZIRE-GDBMZVCRSA-N -1 1 318.417 1.839 20 0 DDADMM COC(=O)CN(Cc1ccccc1)C(=O)c1cncc([O-])c1 ZINC000381623927 418728616 /nfs/dbraw/zinc/72/86/16/418728616.db2.gz HCQSAVGHVVSUSV-UHFFFAOYSA-N -1 1 300.314 1.603 20 0 DDADMM C[C@@H]1[C@H](CO)CCN1C(=O)c1cc(Br)ccc1[O-] ZINC000386214861 418739499 /nfs/dbraw/zinc/73/94/99/418739499.db2.gz DVRYWNAVUQJBGJ-BDAKNGLRSA-N -1 1 314.179 1.998 20 0 DDADMM COC[C@@]1(C(=O)[O-])CC[N@@H+](Cc2cn3cc(Cl)ccc3n2)C1 ZINC000390041876 418753324 /nfs/dbraw/zinc/75/33/24/418753324.db2.gz COPJKXUZTZGERT-OAHLLOKOSA-N -1 1 323.780 1.911 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC23CCC(CC2)C3)co1 ZINC000371314910 418779120 /nfs/dbraw/zinc/77/91/20/418779120.db2.gz PBJWVZGKEBDCLZ-UHFFFAOYSA-N -1 1 312.391 1.498 20 0 DDADMM Cc1n[nH]cc1-c1n[n-]c(=NC(=O)NCc2cn[nH]c2C)s1 ZINC000364637542 418796682 /nfs/dbraw/zinc/79/66/82/418796682.db2.gz ZZZWWFIRZMIKOF-UHFFFAOYSA-N -1 1 318.366 1.012 20 0 DDADMM Cc1ccc(N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC000371723599 418812419 /nfs/dbraw/zinc/81/24/19/418812419.db2.gz LKVZYACRBSZMNM-GFCCVEGCSA-N -1 1 313.361 1.284 20 0 DDADMM O=C(N[C@H]1COc2ccccc2[C@@H]1O)c1ccc([O-])cc1F ZINC000365613451 418882458 /nfs/dbraw/zinc/88/24/58/418882458.db2.gz PTLRFFCPWHZHSM-ZFWWWQNUSA-N -1 1 303.289 1.756 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC(C)(C)[C@H]1C1CC1)c2=O ZINC000365756461 418904699 /nfs/dbraw/zinc/90/46/99/418904699.db2.gz DDATYQWBEKMOCH-CYBMUJFWSA-N -1 1 300.362 1.935 20 0 DDADMM C[C@H](Cc1ccc(OC(F)(F)F)cc1)C(=O)Nc1nnn[n-]1 ZINC000411457505 418905742 /nfs/dbraw/zinc/90/57/42/418905742.db2.gz OXINUVNDABIFMA-SSDOTTSWSA-N -1 1 315.255 1.916 20 0 DDADMM C[C@H](Cc1ccc(OC(F)(F)F)cc1)C(=O)Nc1nn[n-]n1 ZINC000411457505 418905743 /nfs/dbraw/zinc/90/57/43/418905743.db2.gz OXINUVNDABIFMA-SSDOTTSWSA-N -1 1 315.255 1.916 20 0 DDADMM CC(C)c1ccccc1N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000426365975 419446434 /nfs/dbraw/zinc/44/64/34/419446434.db2.gz OCIDQGOVVDJLME-UHFFFAOYSA-N -1 1 311.345 1.818 20 0 DDADMM CO[C@@H]1CC[C@@H]2OCCN(C(=O)c3ncc(C)cc3[O-])[C@H]2C1 ZINC000427651609 419706355 /nfs/dbraw/zinc/70/63/55/419706355.db2.gz AIZZCYQOHBSTCT-DYEKYZERSA-N -1 1 306.362 1.504 20 0 DDADMM Cc1cc(F)cc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000299139863 228958040 /nfs/dbraw/zinc/95/80/40/228958040.db2.gz XVSIGTRXRZFTJE-JTQLQIEISA-N -1 1 304.325 1.565 20 0 DDADMM C[C@@H](CN(C)C(=O)c1noc2c1C[C@H](C)CC2)c1nn[n-]n1 ZINC000434248765 229272934 /nfs/dbraw/zinc/27/29/34/229272934.db2.gz KNWROLRGDBQOKV-BDAKNGLRSA-N -1 1 304.354 1.188 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(F)c(F)c(F)c1F ZINC000430331787 420109381 /nfs/dbraw/zinc/10/93/81/420109381.db2.gz MHCRQNLTWQJJEN-UHFFFAOYSA-N -1 1 301.203 1.175 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)n1 ZINC000415631552 420129103 /nfs/dbraw/zinc/12/91/03/420129103.db2.gz QAWASQJEDSEKKJ-VHSXEESVSA-N -1 1 303.322 1.062 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)c1ccc(C)cc1 ZINC000416132675 420252345 /nfs/dbraw/zinc/25/23/45/420252345.db2.gz HCQYXOWSUZCLSR-SECBINFHSA-N -1 1 303.318 1.696 20 0 DDADMM CCC[C@@H](C)[C@@H]1CCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416181493 420271216 /nfs/dbraw/zinc/27/12/16/420271216.db2.gz WRDSSTUGXILENP-ZJUUUORDSA-N -1 1 309.366 1.937 20 0 DDADMM CCC[C@@]1(CO)CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000436108565 420308839 /nfs/dbraw/zinc/30/88/39/420308839.db2.gz XLMXNVUXKCPNNP-KRWDZBQOSA-N -1 1 315.373 1.690 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccc(C(N)=O)c(C)c1 ZINC000436479032 420331644 /nfs/dbraw/zinc/33/16/44/420331644.db2.gz SZEDUPINPHQLRQ-UHFFFAOYSA-N -1 1 300.380 1.261 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CC(=O)NCC2CC2)c1 ZINC000436505276 420334619 /nfs/dbraw/zinc/33/46/19/420334619.db2.gz NEQZWXPVMPYTAH-UHFFFAOYSA-N -1 1 306.318 1.034 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccn(C)c(=O)c2)c1 ZINC000436501979 420335546 /nfs/dbraw/zinc/33/55/46/420335546.db2.gz CDROAXXAPDHHTL-UHFFFAOYSA-N -1 1 302.286 1.130 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1cnn(C)c1 ZINC000425294936 420341180 /nfs/dbraw/zinc/34/11/80/420341180.db2.gz FDGMXOZTPQMFBI-GHMZBOCLSA-N -1 1 319.365 1.409 20 0 DDADMM CCc1cccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000425299893 420343826 /nfs/dbraw/zinc/34/38/26/420343826.db2.gz KNKAPBXSZCBVHH-JTQLQIEISA-N -1 1 302.334 1.752 20 0 DDADMM O=C(C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ccc(F)cc1 ZINC000425310044 420345659 /nfs/dbraw/zinc/34/56/59/420345659.db2.gz XAVQRYXKBYSCRM-SNVBAGLBSA-N -1 1 319.292 1.503 20 0 DDADMM CCc1nc(C)c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)o1 ZINC000425323483 420349687 /nfs/dbraw/zinc/34/96/87/420349687.db2.gz OUGSKCLFWWGVAC-UHFFFAOYSA-N -1 1 306.322 1.654 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@H](C(=O)OC(C)(C)C)C(C)C)OC ZINC000420688788 420356717 /nfs/dbraw/zinc/35/67/17/420356717.db2.gz FKTWIQXTVUZKPQ-NEPJUHHUSA-N -1 1 323.455 1.697 20 0 DDADMM Cc1ccc(CNC(=O)c2ccc(Cl)cc2[O-])c(=O)n1C ZINC000436701346 420356890 /nfs/dbraw/zinc/35/68/90/420356890.db2.gz CPUODOTYTHUQBX-UHFFFAOYSA-N -1 1 306.749 1.983 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)[C@@H]1CCC[C@H](C)C1 ZINC000416241665 420291110 /nfs/dbraw/zinc/29/11/10/420291110.db2.gz DLMMRXBUNNGKHF-LPEHRKFASA-N -1 1 309.366 1.841 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCC[C@H]1C(C)(C)C ZINC000416242664 420294279 /nfs/dbraw/zinc/29/42/79/420294279.db2.gz AAMQQKSGCBMHGU-BDAKNGLRSA-N -1 1 309.366 1.841 20 0 DDADMM NC(=O)CC1(NC(=O)c2ccc(C(F)(F)F)cc2[O-])CCC1 ZINC000436808741 420369973 /nfs/dbraw/zinc/36/99/73/420369973.db2.gz QMNWHGGXEQSNHX-UHFFFAOYSA-N -1 1 316.279 1.939 20 0 DDADMM O=C(NC[C@H]1CCCCS1(=O)=O)c1ccc([O-])cc1F ZINC000436827600 420372038 /nfs/dbraw/zinc/37/20/38/420372038.db2.gz UJRQQZURBKVALA-SNVBAGLBSA-N -1 1 301.339 1.228 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccn(C)n2)n(-c2ccncc2)n1 ZINC000437311691 420401237 /nfs/dbraw/zinc/40/12/37/420401237.db2.gz VQMJKFVFIROEKJ-UHFFFAOYSA-N -1 1 318.362 1.110 20 0 DDADMM COCCn1cc2c(n1)[C@H](NC(=O)c1cncc([O-])c1)CCC2 ZINC000354641779 306781898 /nfs/dbraw/zinc/78/18/98/306781898.db2.gz GENIJLMPRJXTDT-CQSZACIVSA-N -1 1 316.361 1.438 20 0 DDADMM CC(C)[C@@H](CC(F)(F)F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000438618901 420461753 /nfs/dbraw/zinc/46/17/53/420461753.db2.gz BKCAGSCCMMDUDI-MRVPVSSYSA-N -1 1 317.271 1.124 20 0 DDADMM Cc1ccc(-c2nnc(SCC(=O)Nc3nnn[n-]3)o2)cc1 ZINC000439273348 420505169 /nfs/dbraw/zinc/50/51/69/420505169.db2.gz NOJJUWMCLULQCB-UHFFFAOYSA-N -1 1 317.334 1.289 20 0 DDADMM Cc1ccc(-c2nnc(SCC(=O)Nc3nn[n-]n3)o2)cc1 ZINC000439273348 420505171 /nfs/dbraw/zinc/50/51/71/420505171.db2.gz NOJJUWMCLULQCB-UHFFFAOYSA-N -1 1 317.334 1.289 20 0 DDADMM CC(C)c1noc(CCCN=c2ccc(C(=O)N(C)C)n[n-]2)n1 ZINC000439834580 420539565 /nfs/dbraw/zinc/53/95/65/420539565.db2.gz VPHISBIXRXMHJH-UHFFFAOYSA-N -1 1 318.381 1.151 20 0 DDADMM COCCSCCCN1CCCC[C@@H]1c1n[nH]c(=O)[n-]1 ZINC000450987626 420575991 /nfs/dbraw/zinc/57/59/91/420575991.db2.gz WZORTXCRLQFLFU-LLVKDONJSA-N -1 1 300.428 1.807 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)/C=C/c3ccc4c(c3)CCO4)ccnc1-2 ZINC000492762733 420654649 /nfs/dbraw/zinc/65/46/49/420654649.db2.gz HPTLQPUFGKXEPP-IZOFRHOHSA-N -1 1 320.352 1.929 20 0 DDADMM COc1ccc2occ(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)c2c1 ZINC000442894252 420726595 /nfs/dbraw/zinc/72/65/95/420726595.db2.gz VFYDBACIIZORTA-SECBINFHSA-N -1 1 315.333 1.830 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C\C(C)(C)C)c1 ZINC000493025310 420737815 /nfs/dbraw/zinc/73/78/15/420737815.db2.gz ZIHIMBRMPONYMP-FPLPWBNLSA-N -1 1 312.391 1.841 20 0 DDADMM C[C@@H]1CCCC[C@H]1CCN=c1ccc(C(=O)NCCO)n[n-]1 ZINC000488430154 421089612 /nfs/dbraw/zinc/08/96/12/421089612.db2.gz JUDVVEOYJOXGEU-OLZOCXBDSA-N -1 1 306.410 1.249 20 0 DDADMM COC[C@H](C)n1ccc([N-]S(=O)(=O)N2CC[C@H](C)C2)n1 ZINC000489040433 421131915 /nfs/dbraw/zinc/13/19/15/421131915.db2.gz KXZBDCZUYLRILC-QWRGUYRKSA-N -1 1 302.400 1.089 20 0 DDADMM CC(C)NC(=O)OC[C@@H]1CCCCN1C(=O)c1cncc([O-])c1 ZINC000495833965 421073194 /nfs/dbraw/zinc/07/31/94/421073194.db2.gz RBCBAXHZFBQKOR-ZDUSSCGKSA-N -1 1 321.377 1.917 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C[C@H]1O ZINC000456409697 421161879 /nfs/dbraw/zinc/16/18/79/421161879.db2.gz XXENQUIWGSQXPD-GHMZBOCLSA-N -1 1 305.252 1.243 20 0 DDADMM CCn1nccc1C=CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000492207777 421214307 /nfs/dbraw/zinc/21/43/07/421214307.db2.gz KBMNDDZGELHGRM-WAYWQWQTSA-N -1 1 301.354 1.015 20 0 DDADMM C[C@H]1CCCC[C@@H]1CCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546800353 421311652 /nfs/dbraw/zinc/31/16/52/421311652.db2.gz ZQRAIWQOLIPIFX-WDEREUQCSA-N -1 1 303.366 1.364 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](CO)c2ccsc2)sc1C ZINC000545320832 421253836 /nfs/dbraw/zinc/25/38/36/421253836.db2.gz CGNKZCINKMBOBQ-JTQLQIEISA-N -1 1 318.445 1.833 20 0 DDADMM COC(=O)CN(Cc1ccccc1)C(=O)c1ncc(C)cc1[O-] ZINC000547975528 421384509 /nfs/dbraw/zinc/38/45/09/421384509.db2.gz ZJHJUPPFXRDKAT-UHFFFAOYSA-N -1 1 314.341 1.911 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]Cc1nc([C@@H]2C[C@@H]2C)no1 ZINC000547187351 421317791 /nfs/dbraw/zinc/31/77/91/421317791.db2.gz ZYUCXNHWRPIMBD-VHSXEESVSA-N -1 1 303.384 1.037 20 0 DDADMM CN(C)C(=O)OC1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000497299303 421403712 /nfs/dbraw/zinc/40/37/12/421403712.db2.gz QLDOBTNMBOGDTP-UHFFFAOYSA-N -1 1 310.325 1.834 20 0 DDADMM CCN1OC[C@H](Sc2nc(C(F)(F)F)cc(=O)[n-]2)C1=O ZINC000562717726 421404800 /nfs/dbraw/zinc/40/48/00/421404800.db2.gz PMKVQWHSUYNXKZ-YFKPBYRVSA-N -1 1 309.269 1.455 20 0 DDADMM COC(=O)[C@H]1CC12CCN(C(=O)c1ncc(C)cc1[O-])CC2 ZINC000548306572 421419385 /nfs/dbraw/zinc/41/93/85/421419385.db2.gz FMZHBMILNFMNGT-LLVKDONJSA-N -1 1 304.346 1.511 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])CN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000562955202 421430097 /nfs/dbraw/zinc/43/00/97/421430097.db2.gz MPENYXCGBUNSQP-GXSJLCMTSA-N -1 1 303.318 1.853 20 0 DDADMM Cn1c(=O)n2c(c1C(=O)Nc1ccc([O-])c(F)c1F)CCCC2 ZINC000562955545 421430387 /nfs/dbraw/zinc/43/03/87/421430387.db2.gz DQDAPULHBNLXCK-UHFFFAOYSA-N -1 1 323.299 1.759 20 0 DDADMM O=C(N[C@@H](CO)c1ccsc1)c1csc(=NC2CC2)[n-]1 ZINC000548436712 421438540 /nfs/dbraw/zinc/43/85/40/421438540.db2.gz OXBPSYMWPDFZAB-JTQLQIEISA-N -1 1 309.416 1.664 20 0 DDADMM COC[C@H](C)S(=O)(=O)Nc1cc(F)c(C(=O)[O-])cc1OC ZINC000548590442 421452285 /nfs/dbraw/zinc/45/22/85/421452285.db2.gz XNRGHWPQCAKNCD-ZETCQYMHSA-N -1 1 321.326 1.309 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](O)COc2ccccc2)c([O-])c1 ZINC000548639760 421456488 /nfs/dbraw/zinc/45/64/88/421456488.db2.gz MNBZBLQJFIQQCO-LBPRGKRZSA-N -1 1 302.330 1.265 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000530191967 421585315 /nfs/dbraw/zinc/58/53/15/421585315.db2.gz BVZAEXGFXUMXFD-COMAGPEQSA-N -1 1 301.346 1.465 20 0 DDADMM NS(=O)(=O)c1c[n-]c(=NC[C@@H]2CC23CCSCC3)s1 ZINC000517352043 421608465 /nfs/dbraw/zinc/60/84/65/421608465.db2.gz CXHQVQBIPPVDIQ-QMMMGPOBSA-N -1 1 319.477 1.158 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCc2ccc(C)o2)co1 ZINC000534404523 421691311 /nfs/dbraw/zinc/69/13/11/421691311.db2.gz CUCFWYPGVNQBFM-UHFFFAOYSA-N -1 1 312.347 1.062 20 0 DDADMM O=C([O-])COCCN1CCC[C@@H](C(=O)c2ccc(F)cc2)C1 ZINC000571219622 421696796 /nfs/dbraw/zinc/69/67/96/421696796.db2.gz NJKBQTFNKLJFFI-CYBMUJFWSA-N -1 1 309.337 1.822 20 0 DDADMM CO[C@@H]1CCC[C@H]1C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000540322119 421761252 /nfs/dbraw/zinc/76/12/52/421761252.db2.gz HADOLGKDLPULCC-WDEREUQCSA-N -1 1 304.437 1.853 20 0 DDADMM CO[C@H](C)c1nc(C)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)s1 ZINC000542772294 421829197 /nfs/dbraw/zinc/82/91/97/421829197.db2.gz DQMINROMOHZEQO-IONNQARKSA-N -1 1 324.410 1.548 20 0 DDADMM NC(=O)[C@@H]1CC[C@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000520834526 421765675 /nfs/dbraw/zinc/76/56/75/421765675.db2.gz FLRIYTPWZALTMD-GHMZBOCLSA-N -1 1 300.318 1.232 20 0 DDADMM O=C(c1ccc(F)cc1)N1CCN(Cc2ncccc2[O-])CC1 ZINC000558401753 421805306 /nfs/dbraw/zinc/80/53/06/421805306.db2.gz TZRFFZMCNXOOGZ-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)s1 ZINC000573040324 421902819 /nfs/dbraw/zinc/90/28/19/421902819.db2.gz AGIZVAQDAFPDSM-UHFFFAOYSA-N -1 1 315.380 1.242 20 0 DDADMM CCOC(=O)c1cc(=NC(=O)c2ccc(C)c(C)c2O)[nH][n-]1 ZINC000543762799 421841465 /nfs/dbraw/zinc/84/14/65/421841465.db2.gz ARZYJTLYRUGRNB-UHFFFAOYSA-N -1 1 303.318 1.583 20 0 DDADMM CCCN1C[C@H](CNC(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000633457022 421914417 /nfs/dbraw/zinc/91/44/17/421914417.db2.gz LYZAIXWNEMVNRR-LBPRGKRZSA-N -1 1 324.808 1.963 20 0 DDADMM COCC(C)(C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000631892673 421917058 /nfs/dbraw/zinc/91/70/58/421917058.db2.gz QKQMOXFJKXUFKB-UHFFFAOYSA-N -1 1 301.290 1.132 20 0 DDADMM CC[C@H](C)C[C@H](N=c1nc(CCOC)[n-]s1)C(=O)OC ZINC000573115229 421923019 /nfs/dbraw/zinc/92/30/19/421923019.db2.gz MTWDFBLDXCHXGL-UWVGGRQHSA-N -1 1 301.412 1.539 20 0 DDADMM C[C@@H]1COCCN1CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631901267 421925283 /nfs/dbraw/zinc/92/52/83/421925283.db2.gz XDEWODDQDUYAEC-SECBINFHSA-N -1 1 324.349 1.216 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(Cc2n[nH]c(C(C)(C)C)n2)C1 ZINC000573499650 421970242 /nfs/dbraw/zinc/97/02/42/421970242.db2.gz KUWJTXBBSHHINN-OAHLLOKOSA-N -1 1 310.398 1.415 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H](C)C[C@@H](C)O)c1 ZINC000632018638 422025686 /nfs/dbraw/zinc/02/56/86/422025686.db2.gz GTJAYGFILOWLED-NXEZZACHSA-N -1 1 303.380 1.086 20 0 DDADMM CCn1cc([C@H]2C[S@@](=O)CCN2Cc2ncccc2[O-])cn1 ZINC000581694018 422028068 /nfs/dbraw/zinc/02/80/68/422028068.db2.gz ZSQOIAGPMYCDIN-PEBXRYMYSA-N -1 1 320.418 1.309 20 0 DDADMM Cc1ccc(C(C)(C)CNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635497243 422045928 /nfs/dbraw/zinc/04/59/28/422045928.db2.gz XXLDPHYGEKUVPZ-UHFFFAOYSA-N -1 1 301.394 1.925 20 0 DDADMM COc1ccccc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630225995 421976118 /nfs/dbraw/zinc/97/61/18/421976118.db2.gz WPPHBRXPUAOLJB-LBPRGKRZSA-N -1 1 306.362 1.316 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1(c2ccc(F)cc2)CCC1 ZINC000635423953 421977221 /nfs/dbraw/zinc/97/72/21/421977221.db2.gz HSVDQEACEAEGQJ-UHFFFAOYSA-N -1 1 303.341 1.857 20 0 DDADMM CO[C@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@@](C)(C(=O)[O-])C1 ZINC000630314224 422018965 /nfs/dbraw/zinc/01/89/65/422018965.db2.gz UUHVQOSHGVNENP-MEBBXXQBSA-N -1 1 319.317 1.374 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@H]2C2CC2)c(C(F)(F)F)n1 ZINC000581897321 422062935 /nfs/dbraw/zinc/06/29/35/422062935.db2.gz WNBUDBHHDYYGHX-JGVFFNPUSA-N -1 1 309.313 1.516 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@@H](C)[C@H]2C)c1 ZINC000632111652 422096207 /nfs/dbraw/zinc/09/62/07/422096207.db2.gz ZWUXYKUALPOBGN-ZJUUUORDSA-N -1 1 301.364 1.199 20 0 DDADMM O=C(COCc1cccc(Cl)c1)NC1(c2nn[n-]n2)CC1 ZINC000574377112 422096362 /nfs/dbraw/zinc/09/63/62/422096362.db2.gz BWCKKYOQJBVRAJ-UHFFFAOYSA-N -1 1 307.741 1.175 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1C2CC3CC(C2)CC1C3 ZINC000633692998 422056078 /nfs/dbraw/zinc/05/60/78/422056078.db2.gz VREMRYPGXIFFEM-UHFFFAOYSA-N -1 1 316.405 1.510 20 0 DDADMM COCCOCCCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630570227 422187494 /nfs/dbraw/zinc/18/74/94/422187494.db2.gz YRXSTMUOVLOJFS-UHFFFAOYSA-N -1 1 301.770 1.757 20 0 DDADMM COc1ccccc1[C@@H]1CCN(C(=O)CCCc2nn[n-]n2)C1 ZINC000635592733 422145176 /nfs/dbraw/zinc/14/51/76/422145176.db2.gz WVFWRXRNXHJHOK-GFCCVEGCSA-N -1 1 315.377 1.547 20 0 DDADMM CC(C)(C)c1ccc(OCCNC(=O)CCc2nn[n-]n2)cc1 ZINC000630523481 422153689 /nfs/dbraw/zinc/15/36/89/422153689.db2.gz GLNWSHGNCHBWKN-UHFFFAOYSA-N -1 1 317.393 1.625 20 0 DDADMM C[C@@H]1CN(C(=O)CCCc2nn[n-]n2)[C@@H](c2ccccc2)CO1 ZINC000635630284 422182028 /nfs/dbraw/zinc/18/20/28/422182028.db2.gz MFYOHYFNDIPLGN-TZMCWYRMSA-N -1 1 315.377 1.511 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@@H](C3CC3)C2)c1 ZINC000632236635 422187061 /nfs/dbraw/zinc/18/70/61/422187061.db2.gz AWIQDAAVVZPURS-CYBMUJFWSA-N -1 1 313.375 1.200 20 0 DDADMM CNC(=O)[C@H]1CCC[C@@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632162622 422131114 /nfs/dbraw/zinc/13/11/14/422131114.db2.gz IDJSYKDILXISAB-YUMQZZPRSA-N -1 1 322.333 1.410 20 0 DDADMM COc1ccc([C@H]2CCCN2C(=O)CCc2nn[n-]n2)cc1 ZINC000630593786 422207150 /nfs/dbraw/zinc/20/71/50/422207150.db2.gz LLGWHHAHRJHBCF-CYBMUJFWSA-N -1 1 301.350 1.505 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(C)(C)CCC1(C)C ZINC000628533063 422210455 /nfs/dbraw/zinc/21/04/55/422210455.db2.gz MZYDJTZTAWXGML-UHFFFAOYSA-N -1 1 309.366 1.937 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2Cc3cccc(O)c3C2)sn1 ZINC000632276810 422214491 /nfs/dbraw/zinc/21/44/91/422214491.db2.gz XASLORWSVGYNFL-JTQLQIEISA-N -1 1 310.400 1.603 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](c1ccccc1)[C@@H]1CCOC1 ZINC000632281131 422220377 /nfs/dbraw/zinc/22/03/77/422220377.db2.gz SQACFMKOYMGRDY-DOMZBBRYSA-N -1 1 301.350 1.026 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](CO)CC2CCCC2)sn1 ZINC000632302336 422234853 /nfs/dbraw/zinc/23/48/53/422234853.db2.gz DDPXUJUETYETAP-GFCCVEGCSA-N -1 1 318.464 1.919 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-][C@@H](C2CC2)C(F)(F)F)CC1 ZINC000632340021 422259480 /nfs/dbraw/zinc/25/94/80/422259480.db2.gz NFEAAASHXKRSQN-VIFPVBQESA-N -1 1 300.346 1.341 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](c2nncn2C)C(C)C)sn1 ZINC000632327579 422250254 /nfs/dbraw/zinc/25/02/54/422250254.db2.gz AFLQQUGTGGUUIX-JTQLQIEISA-N -1 1 315.424 1.256 20 0 DDADMM COc1cccc([C@@H](CNC(=O)[C@H](C(=O)[O-])C(C)C)N(C)C)c1 ZINC000575128017 422265384 /nfs/dbraw/zinc/26/53/84/422265384.db2.gz XVTQBIOLAHKKFU-HUUCEWRRSA-N -1 1 322.405 1.771 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1cccc(Cl)c1Cl ZINC000630694430 422272425 /nfs/dbraw/zinc/27/24/25/422272425.db2.gz PHVLLJITZBFVGQ-UHFFFAOYSA-N -1 1 300.149 1.756 20 0 DDADMM CO[C@H](Cc1ccccc1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000584213067 422283254 /nfs/dbraw/zinc/28/32/54/422283254.db2.gz KSAWXGMTTYGPAN-UONOGXRCSA-N -1 1 315.377 1.163 20 0 DDADMM COC(C)(C)c1nc(=NC(=O)[C@H]2CCc3[nH]cnc3C2)s[n-]1 ZINC000634148891 422287337 /nfs/dbraw/zinc/28/73/37/422287337.db2.gz RITIPMXRQTVTPK-QMMMGPOBSA-N -1 1 321.406 1.308 20 0 DDADMM O=C(N=c1nc2cc(Cl)ccn2[n-]1)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000576090846 422368336 /nfs/dbraw/zinc/36/83/36/422368336.db2.gz RJEQNSZBBHRGCA-PHIMTYICSA-N -1 1 320.784 1.163 20 0 DDADMM CN(C)CCn1cc(C(=O)N=c2nc(C(C)(C)C)[n-]s2)cn1 ZINC000630913136 422410888 /nfs/dbraw/zinc/41/08/88/422410888.db2.gz RGPICMOYWFAXLQ-UHFFFAOYSA-N -1 1 322.438 1.268 20 0 DDADMM C[C@@H](Sc1nnnn1C1CC1)C(=O)Nc1cccc(F)c1[O-] ZINC000628961191 422422355 /nfs/dbraw/zinc/42/23/55/422422355.db2.gz VCJMAFNJMVJYJV-SSDOTTSWSA-N -1 1 323.353 1.972 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2C[C@@H](C)O[C@H]2C)c(F)c1 ZINC000632647510 422494950 /nfs/dbraw/zinc/49/49/50/422494950.db2.gz DFIQAOFZBXMZIY-RGNHYFCHSA-N -1 1 321.345 1.818 20 0 DDADMM C[NH+](C)CCn1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634589661 422495286 /nfs/dbraw/zinc/49/52/86/422495286.db2.gz DUNFVRQDVFRBMQ-HNNXBMFYSA-N -1 1 300.406 1.268 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCC1(N2CCOCC2)CC1 ZINC000632765609 422562908 /nfs/dbraw/zinc/56/29/08/422562908.db2.gz DNWTUFDGYNANKY-UHFFFAOYSA-N -1 1 324.808 1.569 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1cn(C)nc1CC)C1CC1 ZINC000629291375 422585689 /nfs/dbraw/zinc/58/56/89/422585689.db2.gz DCFLWXOHGUHBJL-CYBMUJFWSA-N -1 1 301.412 1.539 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@@H](CO)[C@@H]1CCOC1 ZINC000632825478 422609152 /nfs/dbraw/zinc/60/91/52/422609152.db2.gz GEWNNROQYJUIRP-NEPJUHHUSA-N -1 1 313.781 1.349 20 0 DDADMM CC[C@](C)(C(=O)[O-])N(CC(=O)NCc1cccnc1)CC1CC1 ZINC000629361126 422630229 /nfs/dbraw/zinc/63/02/29/422630229.db2.gz DMTWPBIUGRMDFD-QGZVFWFLSA-N -1 1 319.405 1.663 20 0 DDADMM C[C@H](CS(C)(=O)=O)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629375464 422636777 /nfs/dbraw/zinc/63/67/77/422636777.db2.gz GAPOMWCHBDCJDO-SNVBAGLBSA-N -1 1 323.374 1.197 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccnn1CC(C)C ZINC000579111798 422708872 /nfs/dbraw/zinc/70/88/72/422708872.db2.gz UDKPKVPOPVCNAG-UHFFFAOYSA-N -1 1 319.365 1.124 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)[C@H](C)O[C@@H](C)C(=O)[O-])CC1 ZINC000634924961 422716770 /nfs/dbraw/zinc/71/67/70/422716770.db2.gz MHZFBNBOVKPXIQ-RYUDHWBXSA-N -1 1 300.399 1.197 20 0 DDADMM CO[C@H](c1ccc(Cl)cc1)[C@H](C)NC(=O)CCc1nn[n-]n1 ZINC000631383910 422730138 /nfs/dbraw/zinc/73/01/38/422730138.db2.gz MYZVAOMZAIMDMT-XPTSAGLGSA-N -1 1 323.784 1.678 20 0 DDADMM COc1nc(NCc2n[nH]c(C(C)(C)C)n2)ccc1C(=O)[O-] ZINC000578993432 422686004 /nfs/dbraw/zinc/68/60/04/422686004.db2.gz UZIWYDZPQNYNLR-UHFFFAOYSA-N -1 1 305.338 1.238 20 0 DDADMM O=C(C[C@H]1CCCS(=O)(=O)C1)Nc1c([O-])cccc1F ZINC000645926866 423243828 /nfs/dbraw/zinc/24/38/28/423243828.db2.gz DOFIRKONTVSEFI-SECBINFHSA-N -1 1 301.339 1.685 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](NC(=O)CCc2nc[nH]n2)c2ccccc21 ZINC000653329831 423441807 /nfs/dbraw/zinc/44/18/07/423441807.db2.gz ZUHKBKBVMGXCOJ-NEPJUHHUSA-N -1 1 300.318 1.167 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)C(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000653344827 423448604 /nfs/dbraw/zinc/44/86/04/423448604.db2.gz DUHQHIPJEOFLTQ-NSHDSACASA-N -1 1 305.338 1.228 20 0 DDADMM CSc1cccc(C(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000648788655 423464311 /nfs/dbraw/zinc/46/43/11/423464311.db2.gz RNRSWQCVDXEFEF-UHFFFAOYSA-N -1 1 304.379 1.516 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cc2n(n1)CCCC2 ZINC000648894532 423526341 /nfs/dbraw/zinc/52/63/41/423526341.db2.gz XEWUPAZZVWWULH-CQSZACIVSA-N -1 1 323.360 1.252 20 0 DDADMM COc1ccc(OC(F)(F)F)c(C(=O)NCc2nn[n-]n2)c1 ZINC000648931431 423550191 /nfs/dbraw/zinc/55/01/91/423550191.db2.gz UYJJLNRWYPDTJV-UHFFFAOYSA-N -1 1 317.227 1.037 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@H](C(=O)[O-])C1 ZINC000653661011 423568284 /nfs/dbraw/zinc/56/82/84/423568284.db2.gz LRIVOSXURXXVDP-RNCFNFMXSA-N -1 1 303.318 1.995 20 0 DDADMM C[C@H](CN(C)C(=O)Cn1ccc2ccc(F)cc21)c1nn[n-]n1 ZINC000648860593 423504983 /nfs/dbraw/zinc/50/49/83/423504983.db2.gz RLOQESMPYVWEBP-SNVBAGLBSA-N -1 1 316.340 1.556 20 0 DDADMM O=C(N[C@H]1CC[C@@](O)(C(F)(F)F)C1)C(=O)c1ccc([O-])cc1 ZINC000646724149 423587821 /nfs/dbraw/zinc/58/78/21/423587821.db2.gz OHWYNRGQEZBFJP-ZANVPECISA-N -1 1 317.263 1.537 20 0 DDADMM O=C(c1cn(-c2ccccc2)cn1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000651561369 423594741 /nfs/dbraw/zinc/59/47/41/423594741.db2.gz JEILWCDKBRWFNS-GFCCVEGCSA-N -1 1 323.360 1.405 20 0 DDADMM CC[C@H](O)CC(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000649194415 423671204 /nfs/dbraw/zinc/67/12/04/423671204.db2.gz XTRKFBXIVJQGAV-AWEZNQCLSA-N -1 1 305.374 1.975 20 0 DDADMM C[C@@]1(CS(=O)(=O)[N-]Cc2nc(C(F)F)no2)CC1(F)F ZINC000641543468 423709624 /nfs/dbraw/zinc/70/96/24/423709624.db2.gz UNSNMTDZLMESQE-QMMMGPOBSA-N -1 1 317.264 1.472 20 0 DDADMM Cc1ccc(-n2[n-]c(-c3nc([C@@H]4CCCN4)no3)cc2=O)cc1 ZINC000647151793 423788585 /nfs/dbraw/zinc/78/85/85/423788585.db2.gz PIMCLACZOQESET-LBPRGKRZSA-N -1 1 311.345 1.949 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccccc1S(C)(=O)=O)C(F)F ZINC000641701716 423882650 /nfs/dbraw/zinc/88/26/50/423882650.db2.gz JKBSGEXJWHEGLX-SSDOTTSWSA-N -1 1 313.347 1.022 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccccc2N(C)C(C)=O)CC1 ZINC000641683724 423855210 /nfs/dbraw/zinc/85/52/10/423855210.db2.gz SLMGVKXUIXNLFT-UHFFFAOYSA-N -1 1 312.391 1.590 20 0 DDADMM CO[C@H](C)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656968421 423938687 /nfs/dbraw/zinc/93/86/87/423938687.db2.gz BWYYJMYNFSORRJ-SSDOTTSWSA-N -1 1 309.185 1.157 20 0 DDADMM O=S(=O)(CCCC1CCOCC1)[N-]c1nnc2ccccn21 ZINC000647265966 423927244 /nfs/dbraw/zinc/92/72/44/423927244.db2.gz RKWOIXSMXCZMMN-UHFFFAOYSA-N -1 1 324.406 1.678 20 0 DDADMM Cn1cc([C@@H]2C[C@H]2C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000644884345 424013157 /nfs/dbraw/zinc/01/31/57/424013157.db2.gz XEYXIUHTBGTLPX-ZMLRMANQSA-N -1 1 317.349 1.018 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCC1)c1c(F)cccc1Cl ZINC000657055799 424049236 /nfs/dbraw/zinc/04/92/36/424049236.db2.gz JSMUQJAPTFHELT-NSHDSACASA-N -1 1 307.774 1.918 20 0 DDADMM Cn1[n-]c(CN2C[C@@H](c3cccc(F)c3)OCC2(C)C)nc1=O ZINC000661735396 424128273 /nfs/dbraw/zinc/12/82/73/424128273.db2.gz FODQXDIIAQXARJ-ZDUSSCGKSA-N -1 1 320.368 1.600 20 0 DDADMM CC(=O)N1CC[C@H](Nc2nc3[nH][n-]cc-3c(=O)n2)c2ccccc21 ZINC000663748410 424133177 /nfs/dbraw/zinc/13/31/77/424133177.db2.gz JCQFBJYZXZTDLY-LBPRGKRZSA-N -1 1 324.344 1.342 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](O)C12CCC2)c1cccc(F)c1F ZINC000657183753 424193503 /nfs/dbraw/zinc/19/35/03/424193503.db2.gz DCWIUFSLCXKPHR-WDEREUQCSA-N -1 1 303.330 1.547 20 0 DDADMM Cn1cc(CN2C[C@H](C(=O)[O-])[C@@H](c3ccc(Cl)cc3)C2)nn1 ZINC000659797032 424262225 /nfs/dbraw/zinc/26/22/25/424262225.db2.gz HRWFNXDLKBITSM-KGLIPLIRSA-N -1 1 320.780 1.769 20 0 DDADMM CCC(C)(C)OCC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000663968415 424310239 /nfs/dbraw/zinc/31/02/39/424310239.db2.gz IVAFWWHLQDJNEW-ZDUSSCGKSA-N -1 1 303.366 1.611 20 0 DDADMM C[C@H](CC(F)(F)F)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000663968405 424310482 /nfs/dbraw/zinc/31/04/82/424310482.db2.gz HCEUEEQDLZKFMU-SCZZXKLOSA-N -1 1 313.283 1.994 20 0 DDADMM COCC1(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CC1 ZINC000655261098 424347233 /nfs/dbraw/zinc/34/72/33/424347233.db2.gz MUFKUATZVVZOCH-UHFFFAOYSA-N -1 1 307.394 1.945 20 0 DDADMM COC1(CO)CCN(C(=O)N=c2[n-]sc3ccccc32)CC1 ZINC000640336895 424356861 /nfs/dbraw/zinc/35/68/61/424356861.db2.gz DBOLVHVXBQAOFM-UHFFFAOYSA-N -1 1 321.402 1.723 20 0 DDADMM O=C([O-])C(=O)N1CCN(CC[C@@H]2CCc3ccccc32)CC1 ZINC000662213619 424480212 /nfs/dbraw/zinc/48/02/12/424480212.db2.gz FIGPNGOIIGKZLV-AWEZNQCLSA-N -1 1 302.374 1.335 20 0 DDADMM CC(C)N(Cc1cccc(F)c1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662200490 424467577 /nfs/dbraw/zinc/46/75/77/424467577.db2.gz BQAXOBLBMBBZDK-AWEZNQCLSA-N -1 1 308.353 1.722 20 0 DDADMM C[C@@H]1C[C@@H](c2cccc(F)c2)N([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662204495 424470797 /nfs/dbraw/zinc/47/07/97/424470797.db2.gz HTTVGCILLCOSGT-UGFHNGPFSA-N -1 1 320.364 1.894 20 0 DDADMM C[C@@H](CNC(=O)NC[C@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000655859120 424683230 /nfs/dbraw/zinc/68/32/30/424683230.db2.gz FLGXUPRMERKVEI-NWDGAFQWSA-N -1 1 309.366 1.436 20 0 DDADMM CNC(=O)C[C@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000323056985 271030741 /nfs/dbraw/zinc/03/07/41/271030741.db2.gz IVVSLZCKVMPOFU-ZETCQYMHSA-N -1 1 315.167 1.409 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCCCS2)o1 ZINC000343954557 271921532 /nfs/dbraw/zinc/92/15/32/271921532.db2.gz HPWFPKXHOFKPMQ-SECBINFHSA-N -1 1 319.404 1.630 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N(CCO)CC1CC1 ZINC000345016098 272158627 /nfs/dbraw/zinc/15/86/27/272158627.db2.gz QODBOZIDJBCCME-UHFFFAOYSA-N -1 1 314.179 1.999 20 0 DDADMM O=C(CCCCc1cn[nH]n1)N=c1cc(C(F)(F)F)[n-][nH]1 ZINC000345332372 272222695 /nfs/dbraw/zinc/22/26/95/272222695.db2.gz URZIWQRJVGAQNK-UHFFFAOYSA-N -1 1 302.260 1.320 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)c3nncn3C)cnc2n1 ZINC000345393395 272238972 /nfs/dbraw/zinc/23/89/72/272238972.db2.gz QFIRDAFRKGVZSZ-SECBINFHSA-N -1 1 312.333 1.263 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)c1nncn1C)c2=O ZINC000345393395 272238975 /nfs/dbraw/zinc/23/89/75/272238975.db2.gz QFIRDAFRKGVZSZ-SECBINFHSA-N -1 1 312.333 1.263 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)N[C@@H]1CCOc2ccccc21)C(=O)[O-] ZINC000185051543 276271916 /nfs/dbraw/zinc/27/19/16/276271916.db2.gz LQTPJCJIZSEKGV-MRVWCRGKSA-N -1 1 320.389 1.858 20 0 DDADMM CNC(=O)[C@@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000125902452 281220323 /nfs/dbraw/zinc/22/03/23/281220323.db2.gz GCNYVKSVQOWTGX-ZCFIWIBFSA-N -1 1 301.140 1.019 20 0 DDADMM CCC1(CO)CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000127479747 281276298 /nfs/dbraw/zinc/27/62/98/281276298.db2.gz HIVGNZSSRYNDJW-UHFFFAOYSA-N -1 1 315.373 1.548 20 0 DDADMM O=C(CO)Nc1ccccc1[N-]S(=O)(=O)c1ccc(F)cc1 ZINC000136375245 281615773 /nfs/dbraw/zinc/61/57/73/281615773.db2.gz XYJPKLDEQLFGAF-UHFFFAOYSA-N -1 1 324.333 1.557 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)CC[C@@H]2C)co1 ZINC000154407230 281802740 /nfs/dbraw/zinc/80/27/40/281802740.db2.gz NGKRZFDGCSVHOA-ZJUUUORDSA-N -1 1 300.380 1.448 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)Cc3cnccn3)c[n-]c2[nH+]1 ZINC000172234358 298243483 /nfs/dbraw/zinc/24/34/83/298243483.db2.gz VZVSEXHUVWJDQD-UHFFFAOYSA-N -1 1 309.329 1.706 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N(C)Cc1cnccn1)c2=O ZINC000172234358 298243489 /nfs/dbraw/zinc/24/34/89/298243489.db2.gz VZVSEXHUVWJDQD-UHFFFAOYSA-N -1 1 309.329 1.706 20 0 DDADMM C[C@@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC12CCC2 ZINC000356882686 298897938 /nfs/dbraw/zinc/89/79/38/298897938.db2.gz UEWUIBBJWDGJEA-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM CCc1sc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1C ZINC000363394429 300262173 /nfs/dbraw/zinc/26/21/73/300262173.db2.gz BKMWEZMNACVCAR-SECBINFHSA-N -1 1 307.379 1.346 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)[N-]OCC(F)(F)F)CC2=O)cc1 ZINC000368566755 301054535 /nfs/dbraw/zinc/05/45/35/301054535.db2.gz OODZWGLEKIGMAO-JTQLQIEISA-N -1 1 316.279 1.958 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cnc(-c2cccc(O)c2)nc1 ZINC000369215296 301146826 /nfs/dbraw/zinc/14/68/26/301146826.db2.gz OXFRXHVLIDLGRB-JTQLQIEISA-N -1 1 323.374 1.626 20 0 DDADMM Cc1nc(=NC[C@H]2CCCN(C)[C@@H]2c2cnn(C)c2)s[n-]1 ZINC000369697834 301214375 /nfs/dbraw/zinc/21/43/75/301214375.db2.gz TYJHUVUDWOESOS-YPMHNXCESA-N -1 1 306.439 1.497 20 0 DDADMM O=C(c1ccc(C2CCC2)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370105177 301276378 /nfs/dbraw/zinc/27/63/78/301276378.db2.gz ZJJRVISEIQGFSL-AWEZNQCLSA-N -1 1 313.361 1.681 20 0 DDADMM CCc1ccc(N2C[C@@H](C(=O)[N-]OCCCOC)CC2=O)cc1 ZINC000376359210 302067829 /nfs/dbraw/zinc/06/78/29/302067829.db2.gz MKYNFUAFIBUBPH-AWEZNQCLSA-N -1 1 320.389 1.686 20 0 DDADMM Cc1n[n-]c(=NC[C@@H]2CCCN(C)[C@@H]2c2cnn(C)c2)s1 ZINC000377782662 302232434 /nfs/dbraw/zinc/23/24/34/302232434.db2.gz FDIPTOYVBLQXIE-AAEUAGOBSA-N -1 1 306.439 1.497 20 0 DDADMM COc1ccc(C(=O)Nc2ccncc2[O-])cc1-c1ccnn1C ZINC000507388512 302726303 /nfs/dbraw/zinc/72/63/03/302726303.db2.gz KQKBLXFOZMUDPX-UHFFFAOYSA-N -1 1 324.340 1.871 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2cc(Cl)ccc2F)n1 ZINC000528445910 303027330 /nfs/dbraw/zinc/02/73/30/303027330.db2.gz KXCBVYHMRLXYFV-UHFFFAOYSA-N -1 1 318.761 1.569 20 0 DDADMM CCOc1cc(C(=O)NCc2cnnn2CC)cc(Cl)c1[O-] ZINC000529556319 303136859 /nfs/dbraw/zinc/13/68/59/303136859.db2.gz UZOJIHCOIPFAHQ-UHFFFAOYSA-N -1 1 324.768 1.986 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CC[C@@H]3C[C@@H]3C2)o1 ZINC000529583913 303138641 /nfs/dbraw/zinc/13/86/41/303138641.db2.gz BZERGBOWJZABSK-MXWKQRLJSA-N -1 1 312.391 1.354 20 0 DDADMM COC[C@]1(NC(=O)c2cncc([O-])c2)COc2ccccc2C1 ZINC000529959709 303165973 /nfs/dbraw/zinc/16/59/73/303165973.db2.gz QOKFLICABONFMW-KRWDZBQOSA-N -1 1 314.341 1.537 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCc3ccccc3C2)CCCC1 ZINC000532712622 303294552 /nfs/dbraw/zinc/29/45/52/303294552.db2.gz FXGUSKCFVKHXKC-UHFFFAOYSA-N -1 1 302.374 1.558 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2ncc[nH]2)c(=O)[n-]1 ZINC000357554924 306893905 /nfs/dbraw/zinc/89/39/05/306893905.db2.gz WUVUZZIBLTVWDF-UHFFFAOYSA-N -1 1 307.379 1.185 20 0 DDADMM CC(F)(F)C[N-]S(=O)(=O)c1ccccc1S(C)(=O)=O ZINC000549514294 303613060 /nfs/dbraw/zinc/61/30/60/303613060.db2.gz DWMIAEXSUKQGKG-UHFFFAOYSA-N -1 1 313.347 1.024 20 0 DDADMM O=C(COC[C@H]1CCCO1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000554007155 303686189 /nfs/dbraw/zinc/68/61/89/303686189.db2.gz UHSLUQIKMATCGQ-CYBMUJFWSA-N -1 1 317.345 1.916 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cncc(-c2ccccn2)c1)c1nn[n-]n1 ZINC000363741380 307034974 /nfs/dbraw/zinc/03/49/74/307034974.db2.gz NEOZLGCCPXVTIN-NSHDSACASA-N -1 1 323.360 1.532 20 0 DDADMM COC(=O)c1[n-]c(=NC[C@H]2COC3(CCCC3)O2)sc1C ZINC000365030742 307056971 /nfs/dbraw/zinc/05/69/71/307056971.db2.gz SXTBAYPCXSMXRA-JTQLQIEISA-N -1 1 312.391 1.758 20 0 DDADMM Cc1nnnn1-c1cc(NC(=O)c2cncc([O-])c2)ccc1C ZINC000367353033 307091749 /nfs/dbraw/zinc/09/17/49/307091749.db2.gz ISYKWAVMRVZVIO-UHFFFAOYSA-N -1 1 310.317 1.632 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(C)cc1Cl ZINC000369123721 307116531 /nfs/dbraw/zinc/11/65/31/307116531.db2.gz BFNCDXWNIWOIDX-GFCCVEGCSA-N -1 1 321.768 1.684 20 0 DDADMM CC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@]2(CCCOC2)O1 ZINC000373630852 307193079 /nfs/dbraw/zinc/19/30/79/307193079.db2.gz WYGDIVNUDXXPRK-BLLLJJGKSA-N -1 1 306.362 1.587 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2[C@H]1O)c1c(F)ccc([O-])c1F ZINC000378135412 307282597 /nfs/dbraw/zinc/28/25/97/307282597.db2.gz BMQMMLKBRMZHPG-MEBBXXQBSA-N -1 1 321.279 1.895 20 0 DDADMM COCCn1cnnc1SCCC(=O)c1ccc([O-])cc1O ZINC000514826643 307402871 /nfs/dbraw/zinc/40/28/71/307402871.db2.gz OTRMGAWBEXLSBW-UHFFFAOYSA-N -1 1 323.374 1.701 20 0 DDADMM O=C(NCc1n[nH]cc1Br)c1cc(F)ccc1[O-] ZINC000530712941 307604924 /nfs/dbraw/zinc/60/49/24/307604924.db2.gz YVUCVASYQOWJIL-UHFFFAOYSA-N -1 1 314.114 1.947 20 0 DDADMM COc1cc(C(=O)NCc2nccc(N)n2)cc(Cl)c1[O-] ZINC000546594134 307729706 /nfs/dbraw/zinc/72/97/06/307729706.db2.gz MEIGBUOSODXTDU-UHFFFAOYSA-N -1 1 308.725 1.187 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccnc1NC(C)=O)C1CC1 ZINC000563136540 307957200 /nfs/dbraw/zinc/95/72/00/307957200.db2.gz VWMFUTLJAVIGAK-GFCCVEGCSA-N -1 1 313.379 1.207 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@H](n3ccnn3)C2)cc1F ZINC000566509256 308056325 /nfs/dbraw/zinc/05/63/25/308056325.db2.gz RHJXOBQPRFJWFM-LBPRGKRZSA-N -1 1 304.325 1.953 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2C[C@@H](C)n3ccnc32)sc1C ZINC000571393904 308195447 /nfs/dbraw/zinc/19/54/47/308195447.db2.gz IXYRIXRKXRZJQB-XCBNKYQSSA-N -1 1 312.420 1.941 20 0 DDADMM COc1cccc(S(=O)(=O)CCN(C)C(C)(C)C(=O)[O-])c1 ZINC000573927076 308256755 /nfs/dbraw/zinc/25/67/55/308256755.db2.gz HMLBHXZFKXFDOG-UHFFFAOYSA-N -1 1 315.391 1.264 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](C)Cc1cc(C)cc(C)c1 ZINC000574367126 308269072 /nfs/dbraw/zinc/26/90/72/308269072.db2.gz TVSAMKJATSXIJS-LLVKDONJSA-N -1 1 323.418 1.922 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)Cc1cc(C)cc(C)c1 ZINC000574367126 308269074 /nfs/dbraw/zinc/26/90/74/308269074.db2.gz TVSAMKJATSXIJS-LLVKDONJSA-N -1 1 323.418 1.922 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc(C(C)(C)C)nc1)c1nn[n-]n1 ZINC000576565786 308323399 /nfs/dbraw/zinc/32/33/99/308323399.db2.gz NBNMZKONNVRGHO-SNVBAGLBSA-N -1 1 303.370 1.558 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1C[C@@H](C(=O)[O-])CC[C@@H]1C ZINC000576583966 308324828 /nfs/dbraw/zinc/32/48/28/308324828.db2.gz KHJGYBOUXPBDKS-QWRGUYRKSA-N -1 1 324.343 1.971 20 0 DDADMM COCCCCS(=O)(=O)[N-]c1cccc(C(C)=O)c1O ZINC000576874731 308346010 /nfs/dbraw/zinc/34/60/10/308346010.db2.gz BSKHARLHXVFXOJ-UHFFFAOYSA-N -1 1 301.364 1.763 20 0 DDADMM COc1ncc(CN2CCc3ccccc3[C@@H]2C(=O)[O-])c(C)n1 ZINC000577380579 308383881 /nfs/dbraw/zinc/38/38/81/308383881.db2.gz DLTDSZALQKKVAJ-OAHLLOKOSA-N -1 1 313.357 1.978 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1nc2ccccc2nc1C1CC1 ZINC000582826849 337206112 /nfs/dbraw/zinc/20/61/12/337206112.db2.gz BQTKIJZGPZVQLO-UHFFFAOYSA-N -1 1 321.344 1.439 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ccnn1C ZINC000425302548 484115842 /nfs/dbraw/zinc/11/58/42/484115842.db2.gz NRBMQEBCPNQNME-NXEZZACHSA-N -1 1 305.338 1.018 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccccc1F)[C@H]1CCOC1 ZINC000656613487 484243117 /nfs/dbraw/zinc/24/31/17/484243117.db2.gz KINOBFRAVWPWFB-GWCFXTLKSA-N -1 1 303.355 1.619 20 0 DDADMM COc1cccc(CNC(=O)CN2CCC(C)(C(=O)[O-])CC2)c1 ZINC000320414439 484401305 /nfs/dbraw/zinc/40/13/05/484401305.db2.gz FWWWGRCVLQTCBQ-UHFFFAOYSA-N -1 1 320.389 1.498 20 0 DDADMM O=C([O-])[C@H](C(=O)N1CC[C@H](CN2CCOCC2)C1)C1CCCC1 ZINC000663061019 484636399 /nfs/dbraw/zinc/63/63/99/484636399.db2.gz FJSSOQPCSSHSLH-HIFRSBDPSA-N -1 1 324.421 1.058 20 0 DDADMM CC(C)(C)[C@@H](C(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000663111400 484677863 /nfs/dbraw/zinc/67/78/63/484677863.db2.gz UUMOWEIQDXBUOT-GFCCVEGCSA-N -1 1 302.334 1.953 20 0 DDADMM NC(=O)C(=O)Nc1cccc(NC(=O)c2ccc([O-])c(F)c2)c1 ZINC000669928069 484688511 /nfs/dbraw/zinc/68/85/11/484688511.db2.gz MOXKRFFELKOEJR-UHFFFAOYSA-N -1 1 317.276 1.207 20 0 DDADMM CC(=O)NC1CCN(C(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000667628126 484703658 /nfs/dbraw/zinc/70/36/58/484703658.db2.gz XVWSPLORYFJYIQ-UHFFFAOYSA-N -1 1 313.357 1.681 20 0 DDADMM O=C([O-])C1(NC(=O)NC[C@@H]2CCN2Cc2ccccc2)CCC1 ZINC000663272615 484778550 /nfs/dbraw/zinc/77/85/50/484778550.db2.gz YSFCIWQVMRWTKM-AWEZNQCLSA-N -1 1 317.389 1.567 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)C[C@H](CC)OC)nc1C ZINC000663301656 484793164 /nfs/dbraw/zinc/79/31/64/484793164.db2.gz NDXVTRLUDYHKJO-NSHDSACASA-N -1 1 302.396 1.955 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCc2sccc2C1 ZINC000667952005 484867650 /nfs/dbraw/zinc/86/76/50/484867650.db2.gz NCHZPYMJELWXSD-UHFFFAOYSA-N -1 1 321.358 1.547 20 0 DDADMM O=C(CCCc1cccnc1)NC1(c2nn[n-]n2)CCCC1 ZINC000668869910 485345853 /nfs/dbraw/zinc/34/58/53/485345853.db2.gz ZGZHHJSWYZDGOC-UHFFFAOYSA-N -1 1 300.366 1.503 20 0 DDADMM C[C@@H](O)CN(Cc1ccccc1)C(=O)C(=O)c1ccc([O-])cc1 ZINC000672919654 485368534 /nfs/dbraw/zinc/36/85/34/485368534.db2.gz DQJRKRNOOMWFGW-CYBMUJFWSA-N -1 1 313.353 1.985 20 0 DDADMM C[C@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@H](C(F)(F)F)O1 ZINC000672952501 485372051 /nfs/dbraw/zinc/37/20/51/485372051.db2.gz QRMABFNWWMYZNH-KWQFWETISA-N -1 1 317.263 1.753 20 0 DDADMM O=C(NC[C@@H](CO)c1ccc(Cl)cc1)c1cncc([O-])c1 ZINC000673367942 485407326 /nfs/dbraw/zinc/40/73/26/485407326.db2.gz FNSQCGCNSSMMHM-LBPRGKRZSA-N -1 1 306.749 1.947 20 0 DDADMM O=C(CNc1cccc(Cl)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000678796681 485689458 /nfs/dbraw/zinc/68/94/58/485689458.db2.gz HOJIPNRJDUMDIK-JTQLQIEISA-N -1 1 320.784 1.671 20 0 DDADMM O=C([O-])C1CC(C(=O)NCCN2CCc3ccccc3C2)C1 ZINC000682697273 485746412 /nfs/dbraw/zinc/74/64/12/485746412.db2.gz CVMNXILURGZJHP-UHFFFAOYSA-N -1 1 302.374 1.272 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccc(F)cc2Cl)n[nH]1 ZINC000679202221 485806568 /nfs/dbraw/zinc/80/65/68/485806568.db2.gz CAHAGMVANUJABE-UHFFFAOYSA-N -1 1 318.717 1.102 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccnc(C2CC2)c1 ZINC000680009023 486072855 /nfs/dbraw/zinc/07/28/55/486072855.db2.gz MPIYVLOCEQZMLF-HNNXBMFYSA-N -1 1 320.356 1.992 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1[nH]nc2c1CCCC2)c1ccccc1 ZINC000676025047 486090448 /nfs/dbraw/zinc/09/04/48/486090448.db2.gz FIBYIJLGVFFWMO-CYBMUJFWSA-N -1 1 313.357 1.887 20 0 DDADMM C[C@H](NC(=O)c1ccc([O-])c(F)c1)C(=O)NCc1ccco1 ZINC000681032636 486326304 /nfs/dbraw/zinc/32/63/04/486326304.db2.gz FYWCRPXNSGAQOK-VIFPVBQESA-N -1 1 306.293 1.559 20 0 DDADMM CN(Cc1ccn(C)c(=O)c1)Cc1nc(=O)c2sccc2[n-]1 ZINC000681042189 486329608 /nfs/dbraw/zinc/32/96/08/486329608.db2.gz JECUTRCCCFWHRV-UHFFFAOYSA-N -1 1 316.386 1.728 20 0 DDADMM NC(=O)COc1cccc(CNC(=O)c2ccc([O-])c(F)c2)c1 ZINC000681049539 486330714 /nfs/dbraw/zinc/33/07/14/486330714.db2.gz CSGVSDBUKHVZDY-UHFFFAOYSA-N -1 1 318.304 1.325 20 0 DDADMM CCOc1cc(C(=O)N[C@](C)(CO)COC)cc(Cl)c1[O-] ZINC000681070981 486337217 /nfs/dbraw/zinc/33/72/17/486337217.db2.gz MCSTVKOWFCCVSH-CQSZACIVSA-N -1 1 317.769 1.572 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@]2(CCOC2)O1 ZINC000684523760 486376175 /nfs/dbraw/zinc/37/61/75/486376175.db2.gz GGBNWIHMCFOGFM-LRDDRELGSA-N -1 1 309.337 1.941 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2cc3c(cc2C)OCO3)c1C ZINC000684608372 486397458 /nfs/dbraw/zinc/39/74/58/486397458.db2.gz KFSFNMHQYOPNGU-UHFFFAOYSA-N -1 1 309.347 1.864 20 0 DDADMM CC(C)Oc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000681353168 486410440 /nfs/dbraw/zinc/41/04/40/486410440.db2.gz LIUKMFGHURYKNE-LLVKDONJSA-N -1 1 303.366 1.863 20 0 DDADMM Cc1ccc(Cl)c(OCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000681352810 486410674 /nfs/dbraw/zinc/41/06/74/486410674.db2.gz HATKOEVFFRTETK-JTQLQIEISA-N -1 1 323.784 1.802 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)C(=O)c2ccc([O-])cc2F)c1 ZINC000681515624 486438867 /nfs/dbraw/zinc/43/88/67/486438867.db2.gz CTNNZDNJRCWMFK-UHFFFAOYSA-N -1 1 317.320 1.945 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCC[C@@H](C)CO)c(F)c1 ZINC000677515520 486488880 /nfs/dbraw/zinc/48/88/80/486488880.db2.gz RJPIMWFUMLHIIL-SECBINFHSA-N -1 1 323.361 1.660 20 0 DDADMM C[C@@H](Sc1nc(C(F)(F)F)cc(=O)[n-]1)c1nnnn1C ZINC000330952971 534020014 /nfs/dbraw/zinc/02/00/14/534020014.db2.gz IXJJAKMXROXANJ-SCSAIBSYSA-N -1 1 306.273 1.578 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C2CCC2)o1 ZINC000425190888 534240148 /nfs/dbraw/zinc/24/01/48/534240148.db2.gz FRPXPDKUCHQWCY-QWRGUYRKSA-N -1 1 313.375 1.781 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCC(C)(C)O2)c1 ZINC000494373239 534322748 /nfs/dbraw/zinc/32/27/48/534322748.db2.gz YFXKLYAUEFXBPM-SNVBAGLBSA-N -1 1 317.363 1.302 20 0 DDADMM CCS[C@@H]1CCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337918375 521726130 /nfs/dbraw/zinc/72/61/30/521726130.db2.gz WNXJVVDYCCZPGM-ZYHUDNBSSA-N -1 1 323.418 1.307 20 0 DDADMM CCOC(=O)[C@H](NCc1nc(=O)n(C)[n-]1)c1cccc(C)c1C ZINC000459630665 528043550 /nfs/dbraw/zinc/04/35/50/528043550.db2.gz PROBXRYPEBCUCA-CQSZACIVSA-N -1 1 318.377 1.119 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](O)[C@@H](C)CC)o1 ZINC000443277838 528243441 /nfs/dbraw/zinc/24/34/41/528243441.db2.gz UHJASLPBVUEJES-VHSXEESVSA-N -1 1 319.379 1.142 20 0 DDADMM CCC[C@H](O)CS(=O)(=O)c1nc(Cc2ccccc2)n[n-]1 ZINC000295857732 528262152 /nfs/dbraw/zinc/26/21/52/528262152.db2.gz IZOSINXQTJJDAO-LBPRGKRZSA-N -1 1 309.391 1.330 20 0 DDADMM CCC[C@H](O)CS(=O)(=O)c1n[n-]c(Cc2ccccc2)n1 ZINC000295857732 528262157 /nfs/dbraw/zinc/26/21/57/528262157.db2.gz IZOSINXQTJJDAO-LBPRGKRZSA-N -1 1 309.391 1.330 20 0 DDADMM CCCN1C[C@H](C(=O)Nc2cc(C(=O)OC)ccc2[O-])CC1=O ZINC000412319926 528314878 /nfs/dbraw/zinc/31/48/78/528314878.db2.gz KYXURPZPWYPHNL-LLVKDONJSA-N -1 1 320.345 1.376 20 0 DDADMM CCC[C@H](O)[C@H](CO)NC(=O)c1cc2ccccc2cc1[O-] ZINC000412511746 528321838 /nfs/dbraw/zinc/32/18/38/528321838.db2.gz HVTXHTCKADCVFQ-GJZGRUSLSA-N -1 1 303.358 1.797 20 0 DDADMM CC(C)[C@@H](O)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330831036 528635343 /nfs/dbraw/zinc/63/53/43/528635343.db2.gz UPRDRXZBMJSSNW-ZETCQYMHSA-N -1 1 312.185 1.337 20 0 DDADMM CC(C)[C@@H]1OCC[C@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000331892652 528839416 /nfs/dbraw/zinc/83/94/16/528839416.db2.gz HTJZHTBOTXVCTA-NEPJUHHUSA-N -1 1 309.366 1.542 20 0 DDADMM C[C@@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)C[C@@H](C)O1 ZINC000736289096 598932805 /nfs/dbraw/zinc/93/28/05/598932805.db2.gz JNTJEDMAHXZOHA-NXEZZACHSA-N -1 1 311.349 1.424 20 0 DDADMM C[C@@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)C[C@@H](C)O1 ZINC000736289096 598932806 /nfs/dbraw/zinc/93/28/06/598932806.db2.gz JNTJEDMAHXZOHA-NXEZZACHSA-N -1 1 311.349 1.424 20 0 DDADMM c1cc(NCCCOCC2CCOCC2)nc(-c2nnn[n-]2)c1 ZINC000738413221 598973698 /nfs/dbraw/zinc/97/36/98/598973698.db2.gz OHIOESYZOZSYAT-UHFFFAOYSA-N -1 1 318.381 1.507 20 0 DDADMM c1cc(NCCCOCC2CCOCC2)nc(-c2nn[n-]n2)c1 ZINC000738413221 598973700 /nfs/dbraw/zinc/97/37/00/598973700.db2.gz OHIOESYZOZSYAT-UHFFFAOYSA-N -1 1 318.381 1.507 20 0 DDADMM CN(Cc1ccccc1F)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736828070 598981806 /nfs/dbraw/zinc/98/18/06/598981806.db2.gz GWNWQEYCYXCFEA-UHFFFAOYSA-N -1 1 312.308 1.673 20 0 DDADMM CN(Cc1ccccc1F)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736828070 598981808 /nfs/dbraw/zinc/98/18/08/598981808.db2.gz GWNWQEYCYXCFEA-UHFFFAOYSA-N -1 1 312.308 1.673 20 0 DDADMM Cc1ccccc1C[C@@H](C)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737426349 598994464 /nfs/dbraw/zinc/99/44/64/598994464.db2.gz LNDDNDBYAMBNLC-GFCCVEGCSA-N -1 1 322.372 1.931 20 0 DDADMM Cc1ccccc1C[C@@H](C)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737426349 598994467 /nfs/dbraw/zinc/99/44/67/598994467.db2.gz LNDDNDBYAMBNLC-GFCCVEGCSA-N -1 1 322.372 1.931 20 0 DDADMM Cc1ccc(CCNC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737337768 599167153 /nfs/dbraw/zinc/16/71/53/599167153.db2.gz QSUQQLPHRLJSLF-UHFFFAOYSA-N -1 1 308.345 1.543 20 0 DDADMM Cc1ccc(CCNC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737337768 599167156 /nfs/dbraw/zinc/16/71/56/599167156.db2.gz QSUQQLPHRLJSLF-UHFFFAOYSA-N -1 1 308.345 1.543 20 0 DDADMM O=c1c(-c2nn[n-]n2)cn(C[C@H]2CCCOC2)c2ccccc12 ZINC000823656370 607259420 /nfs/dbraw/zinc/25/94/20/607259420.db2.gz CKWQLMZNNRDWJB-LLVKDONJSA-N -1 1 311.345 1.608 20 0 DDADMM C[C@@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)N(C)CCC(=O)[O-] ZINC000817743695 597003508 /nfs/dbraw/zinc/00/35/08/597003508.db2.gz DGEMDVYOJQWZDU-QMMMGPOBSA-N -1 1 306.322 1.002 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000818373819 597093797 /nfs/dbraw/zinc/09/37/97/597093797.db2.gz BZWDLORMFQSINR-HOTGVXAUSA-N -1 1 318.417 1.873 20 0 DDADMM CNC(=O)c1cccc(NCc2ccc(-c3nnn[n-]3)o2)c1C ZINC000821636331 607307928 /nfs/dbraw/zinc/30/79/28/607307928.db2.gz NYHUEFHYPCYIDP-UHFFFAOYSA-N -1 1 312.333 1.740 20 0 DDADMM CNC(=O)c1cccc(NCc2ccc(-c3nn[n-]n3)o2)c1C ZINC000821636331 607307929 /nfs/dbraw/zinc/30/79/29/607307929.db2.gz NYHUEFHYPCYIDP-UHFFFAOYSA-N -1 1 312.333 1.740 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)N2CC[C@H](C(=O)[O-])C2)c(OC)c1 ZINC000818599056 597524915 /nfs/dbraw/zinc/52/49/15/597524915.db2.gz LGPZVQUCQKPIGU-MNOVXSKESA-N -1 1 322.361 1.437 20 0 DDADMM C[C@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)N1CC[C@H](C(=O)[O-])C1 ZINC000817744162 597535851 /nfs/dbraw/zinc/53/58/51/597535851.db2.gz SXLNORIMENENML-BDAKNGLRSA-N -1 1 318.333 1.002 20 0 DDADMM CC(=O)c1ccc(C(=O)N(CCN(C)C)CC(=O)[O-])c(F)c1 ZINC000819804763 598197874 /nfs/dbraw/zinc/19/78/74/598197874.db2.gz SRSNCDSPWMOGGW-UHFFFAOYSA-N -1 1 310.325 1.117 20 0 DDADMM O=C([O-])[C@H]1CCCC[N@@H+]1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000314440399 598198874 /nfs/dbraw/zinc/19/88/74/598198874.db2.gz IBNIDFZZBUTLSK-CYBMUJFWSA-N -1 1 303.314 1.938 20 0 DDADMM O=C([O-])[C@H]1CCCC[N@H+]1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000314440399 598198875 /nfs/dbraw/zinc/19/88/75/598198875.db2.gz IBNIDFZZBUTLSK-CYBMUJFWSA-N -1 1 303.314 1.938 20 0 DDADMM COCc1cc(CNc2nccnc2-c2nnn[n-]2)ccc1F ZINC000737016166 598468968 /nfs/dbraw/zinc/46/89/68/598468968.db2.gz KBIDSQKHIUBYSW-UHFFFAOYSA-N -1 1 315.312 1.554 20 0 DDADMM COCc1cc(CNc2nccnc2-c2nn[n-]n2)ccc1F ZINC000737016166 598468969 /nfs/dbraw/zinc/46/89/69/598468969.db2.gz KBIDSQKHIUBYSW-UHFFFAOYSA-N -1 1 315.312 1.554 20 0 DDADMM CN(C[C@@H]1CCCOC1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736791377 598580090 /nfs/dbraw/zinc/58/00/90/598580090.db2.gz MINZSTRSTOYADN-VIFPVBQESA-N -1 1 308.773 1.778 20 0 DDADMM CN(C[C@@H]1CCCOC1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736791377 598580092 /nfs/dbraw/zinc/58/00/92/598580092.db2.gz MINZSTRSTOYADN-VIFPVBQESA-N -1 1 308.773 1.778 20 0 DDADMM Cc1ccccc1OCCCn1cccc(-c2nn[n-]n2)c1=O ZINC000737431538 598675970 /nfs/dbraw/zinc/67/59/70/598675970.db2.gz JPVBGDFOYMAEEX-UHFFFAOYSA-N -1 1 311.345 1.806 20 0 DDADMM COC[C@@H]1CCCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000736973526 598817549 /nfs/dbraw/zinc/81/75/49/598817549.db2.gz RZRJISILYWEUPW-SSDOTTSWSA-N -1 1 314.802 1.839 20 0 DDADMM COC[C@@H]1CCCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000736973526 598817550 /nfs/dbraw/zinc/81/75/50/598817550.db2.gz RZRJISILYWEUPW-SSDOTTSWSA-N -1 1 314.802 1.839 20 0 DDADMM c1cc(-c2nnn[n-]2)oc1CNc1cnn(Cc2ccccn2)c1 ZINC000823786710 599426887 /nfs/dbraw/zinc/42/68/87/599426887.db2.gz CGLKNNFHZUSDGJ-UHFFFAOYSA-N -1 1 322.332 1.712 20 0 DDADMM c1cc(-c2nn[n-]n2)oc1CNc1cnn(Cc2ccccn2)c1 ZINC000823786710 599426890 /nfs/dbraw/zinc/42/68/90/599426890.db2.gz CGLKNNFHZUSDGJ-UHFFFAOYSA-N -1 1 322.332 1.712 20 0 DDADMM Cc1ccn2cc(CNCc3ccc(-c4nnn[n-]4)o3)nc2c1 ZINC000822373912 599429733 /nfs/dbraw/zinc/42/97/33/599429733.db2.gz FWXADLFECJZUNI-UHFFFAOYSA-N -1 1 309.333 1.706 20 0 DDADMM Cc1ccn2cc(CNCc3ccc(-c4nn[n-]n4)o3)nc2c1 ZINC000822373912 599429735 /nfs/dbraw/zinc/42/97/35/599429735.db2.gz FWXADLFECJZUNI-UHFFFAOYSA-N -1 1 309.333 1.706 20 0 DDADMM Cc1cnn(CC2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)c1 ZINC000822402768 599537331 /nfs/dbraw/zinc/53/73/31/599537331.db2.gz FPMSVRKLPDXALE-UHFFFAOYSA-N -1 1 324.392 1.683 20 0 DDADMM Cc1cnn(CC2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)c1 ZINC000822402768 599537333 /nfs/dbraw/zinc/53/73/33/599537333.db2.gz FPMSVRKLPDXALE-UHFFFAOYSA-N -1 1 324.392 1.683 20 0 DDADMM CC(C)C[C@H]1NC(=O)N(CN2CCCC[C@H]2CC(=O)[O-])C1=O ZINC000736429383 599839306 /nfs/dbraw/zinc/83/93/06/599839306.db2.gz UXYBOQNGMNJMDZ-NWDGAFQWSA-N -1 1 311.382 1.240 20 0 DDADMM O=C([O-])c1coc(CN2CCC(c3nnc4n3CCC4)CC2)c1 ZINC000740268588 599848588 /nfs/dbraw/zinc/84/85/88/599848588.db2.gz HVNCGLKUDWIKHI-UHFFFAOYSA-N -1 1 316.361 1.895 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2cccc(C(=O)[O-])c2)C1 ZINC000399622738 599954052 /nfs/dbraw/zinc/95/40/52/599954052.db2.gz ZMCOIWGNYPRZQW-AWEZNQCLSA-N -1 1 306.362 1.225 20 0 DDADMM CCOCCN1CCN(Cc2cc(C(=O)[O-])c(C)o2)C[C@H]1C ZINC000737177433 599961762 /nfs/dbraw/zinc/96/17/62/599961762.db2.gz OBVZOOQNORLSKB-GFCCVEGCSA-N -1 1 310.394 1.829 20 0 DDADMM C[C@H](c1ccccc1)[N@H+]1CC[C@@H](NC(=O)C(C)(C)NC(=O)[O-])C1 ZINC000736722868 600157983 /nfs/dbraw/zinc/15/79/83/600157983.db2.gz FGAXPUMHZGFXIF-TZMCWYRMSA-N -1 1 319.405 1.984 20 0 DDADMM O=C([O-])CCCc1nc(CSc2nc(C3CC3)n[nH]2)no1 ZINC000739703782 600186923 /nfs/dbraw/zinc/18/69/23/600186923.db2.gz XLHLOHCSQVNDFL-UHFFFAOYSA-N -1 1 309.351 1.765 20 0 DDADMM O=C([O-])CCCc1nc(CSc2n[nH]c(C3CC3)n2)no1 ZINC000739703782 600186924 /nfs/dbraw/zinc/18/69/24/600186924.db2.gz XLHLOHCSQVNDFL-UHFFFAOYSA-N -1 1 309.351 1.765 20 0 DDADMM C[C@@H](CN(C)CC(=O)Nc1nc(-c2ccco2)cs1)C(=O)[O-] ZINC000736572814 600363082 /nfs/dbraw/zinc/36/30/82/600363082.db2.gz SCJVPEURYIEFMD-VIFPVBQESA-N -1 1 323.374 1.994 20 0 DDADMM CN1CCC[C@H]1C(=O)NCc1ccc(OCCCC(=O)[O-])cc1 ZINC000737524142 600499022 /nfs/dbraw/zinc/49/90/22/600499022.db2.gz WWSMFYTVUKMGKP-HNNXBMFYSA-N -1 1 320.389 1.641 20 0 DDADMM O=C([O-])c1sccc1NC(=O)CN(CCCCO)C1CC1 ZINC000739274081 600555814 /nfs/dbraw/zinc/55/58/14/600555814.db2.gz MLFFVZKJPFUZBL-UHFFFAOYSA-N -1 1 312.391 1.622 20 0 DDADMM C[C@@H](CN(CN1C[C@@H](c2ccccn2)CC1=O)C1CC1)C(=O)[O-] ZINC000736584981 600636391 /nfs/dbraw/zinc/63/63/91/600636391.db2.gz QTVZNGNMEPSJNT-STQMWFEESA-N -1 1 317.389 1.540 20 0 DDADMM C[C@H](CN(CN1C[C@@H](c2ccccn2)CC1=O)C1CC1)C(=O)[O-] ZINC000736584971 600636461 /nfs/dbraw/zinc/63/64/61/600636461.db2.gz QTVZNGNMEPSJNT-OLZOCXBDSA-N -1 1 317.389 1.540 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N[C@@H]1CCC[C@@H](C)[C@@H]1C ZINC000737795027 600641163 /nfs/dbraw/zinc/64/11/63/600641163.db2.gz VWEFVZHKYGHDTG-HZSPNIEDSA-N -1 1 314.426 1.350 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CCC[C@@](C)(c2ccccc2)C1 ZINC000736205880 600643076 /nfs/dbraw/zinc/64/30/76/600643076.db2.gz AUBVYULAHGGIJG-CXAGYDPISA-N -1 1 304.390 1.629 20 0 DDADMM CN(C[C@H]1CCS(=O)(=O)C1)[C@H](C(=O)[O-])c1ccc(F)cc1 ZINC000737413275 600719946 /nfs/dbraw/zinc/71/99/46/600719946.db2.gz KJRVSPZPFXQHQI-MFKMUULPSA-N -1 1 315.366 1.318 20 0 DDADMM CCOCCCN(C[C@H](C)C(=O)[O-])C(=O)[C@H]1CCCCN1C ZINC000830001463 600723014 /nfs/dbraw/zinc/72/30/14/600723014.db2.gz ZDTIUGKBRGZDRE-UONOGXRCSA-N -1 1 314.426 1.447 20 0 DDADMM CN(CCc1cccs1)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000093268895 600846856 /nfs/dbraw/zinc/84/68/56/600846856.db2.gz ZCDGLERRROVHNW-UHFFFAOYSA-N -1 1 310.419 1.546 20 0 DDADMM Cc1ccc(CNC(=O)CN[C@@](C)(C(=O)[O-])C2CC2)cc1F ZINC000832931737 601034770 /nfs/dbraw/zinc/03/47/70/601034770.db2.gz MACDKVVDPVOWFU-MRXNPFEDSA-N -1 1 308.353 1.593 20 0 DDADMM C[C@](NCC(=O)NCC1(c2ccccc2)CC1)(C(=O)[O-])C1CC1 ZINC000827728938 601040318 /nfs/dbraw/zinc/04/03/18/601040318.db2.gz VOCDZWNEYZZMEG-QGZVFWFLSA-N -1 1 316.401 1.677 20 0 DDADMM CC[C@@H]1CN([C@@H](C)C(=O)N2CCC(C(=O)[O-])CC2)CCS1 ZINC000829140498 601042842 /nfs/dbraw/zinc/04/28/42/601042842.db2.gz DRBOONKXWGOCFU-WCQYABFASA-N -1 1 314.451 1.526 20 0 DDADMM CCN(C/C=C(/C)C(=O)[O-])CC(=O)NCc1ccc(F)cc1 ZINC000829692647 601049291 /nfs/dbraw/zinc/04/92/91/601049291.db2.gz MQHZLHGNPRKSOM-WQLSENKSSA-N -1 1 308.353 1.795 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)Nc2ccc(F)cc2)CC1 ZINC000827368701 601123351 /nfs/dbraw/zinc/12/33/51/601123351.db2.gz HUFJIJABLDQYDB-NSHDSACASA-N -1 1 309.341 1.838 20 0 DDADMM C[C@@](NCC(=O)Nc1ccc2c(c1)CCC2)(C(=O)[O-])C1CC1 ZINC000827727970 601175307 /nfs/dbraw/zinc/17/53/07/601175307.db2.gz NVKUJIFPZUMDRI-KRWDZBQOSA-N -1 1 302.374 1.957 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NS(=O)(=O)c1c(F)cccc1F ZINC000831585631 601266870 /nfs/dbraw/zinc/26/68/70/601266870.db2.gz OGPATXNKRRWJLR-GFCCVEGCSA-N -1 1 323.317 1.123 20 0 DDADMM FC(F)(F)[C@H]1CN(Cc2ccnc(-c3nnn[n-]3)c2)CCO1 ZINC000826367707 607504490 /nfs/dbraw/zinc/50/44/90/607504490.db2.gz KZZQFKJDAWCFFH-SNVBAGLBSA-N -1 1 314.271 1.025 20 0 DDADMM FC(F)(F)[C@H]1CN(Cc2ccnc(-c3nn[n-]n3)c2)CCO1 ZINC000826367707 607504492 /nfs/dbraw/zinc/50/44/92/607504492.db2.gz KZZQFKJDAWCFFH-SNVBAGLBSA-N -1 1 314.271 1.025 20 0 DDADMM COC(=O)[C@H]1CCN(Cn2nc(C(=O)[O-])c3ccccc32)C1 ZINC000831115259 601397239 /nfs/dbraw/zinc/39/72/39/601397239.db2.gz WXKLEISLUUYGSJ-JTQLQIEISA-N -1 1 303.318 1.187 20 0 DDADMM C[C@H]1CN(CCCS(=O)(=O)c2ccccc2)C[C@@H]1C(=O)[O-] ZINC000828450465 601535634 /nfs/dbraw/zinc/53/56/34/601535634.db2.gz QBKDIHFYFJAAOL-JSGCOSHPSA-N -1 1 311.403 1.503 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000833354201 601712274 /nfs/dbraw/zinc/71/22/74/601712274.db2.gz NREMDMKTIYZLDU-OAHLLOKOSA-N -1 1 304.277 1.167 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CC[C@](F)(C(=O)[O-])C1)c1ccccc1 ZINC000829608237 601717635 /nfs/dbraw/zinc/71/76/35/601717635.db2.gz HEDPYUNLSAGHEA-CZUORRHYSA-N -1 1 308.353 1.705 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CCO[C@@H](CC(=O)[O-])C1)c1ccccc1 ZINC000829608834 601742923 /nfs/dbraw/zinc/74/29/23/601742923.db2.gz RGYPHTWGTKTIDJ-GOEBONIOSA-N -1 1 320.389 1.382 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@](F)(C(=O)[O-])C3)c[n-]c2[nH+]1 ZINC000832961645 601758391 /nfs/dbraw/zinc/75/83/91/601758391.db2.gz IMWCAQWSWOIAEI-HNNXBMFYSA-N -1 1 319.292 1.283 20 0 DDADMM O=[S@](Cc1ccnc(-c2nnn[n-]2)c1)c1ccc(F)cc1 ZINC000826495988 607528331 /nfs/dbraw/zinc/52/83/31/607528331.db2.gz IBWPZFUAFZDRDO-OAQYLSRUSA-N -1 1 303.322 1.709 20 0 DDADMM O=[S@](Cc1ccnc(-c2nn[n-]n2)c1)c1ccc(F)cc1 ZINC000826495988 607528332 /nfs/dbraw/zinc/52/83/32/607528332.db2.gz IBWPZFUAFZDRDO-OAQYLSRUSA-N -1 1 303.322 1.709 20 0 DDADMM Cc1cccc(OCCNC(=O)CN[C@H](C(=O)[O-])C(C)C)c1 ZINC000832975699 601825063 /nfs/dbraw/zinc/82/50/63/601825063.db2.gz VHYIIFRMHZILGB-HNNXBMFYSA-N -1 1 308.378 1.189 20 0 DDADMM O=C([O-])c1nscc1S(=O)(=O)Nc1cc(F)ccc1F ZINC000833345819 601830702 /nfs/dbraw/zinc/83/07/02/601830702.db2.gz YOHGVRXJPNLQIS-UHFFFAOYSA-N -1 1 320.298 1.920 20 0 DDADMM C[C@]1(C(=O)[O-])CCN([C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000828020295 601911915 /nfs/dbraw/zinc/91/19/15/601911915.db2.gz NAMOCVHEBHDMFZ-BBRMVZONSA-N -1 1 306.337 1.728 20 0 DDADMM C[C@H](C(=O)NC1CCCC1)N1CCSC[C@H]1CC(=O)[O-] ZINC000251034936 601921369 /nfs/dbraw/zinc/92/13/69/601921369.db2.gz MGTILTPGRDLZTH-ZYHUDNBSSA-N -1 1 300.424 1.326 20 0 DDADMM C[C@H](C(=O)N1CCCCC1)N1CCSC[C@H]1CC(=O)[O-] ZINC000251026556 601921425 /nfs/dbraw/zinc/92/14/25/601921425.db2.gz HIMCDWWCXGROLJ-VXGBXAGGSA-N -1 1 300.424 1.280 20 0 DDADMM O=C([O-])N[C@H](C(=O)N1CCN2CCCC[C@@H]2C1)c1ccccc1 ZINC000740688745 601923590 /nfs/dbraw/zinc/92/35/90/601923590.db2.gz ARGPIKWEIXIXAD-CABCVRRESA-N -1 1 317.389 1.692 20 0 DDADMM CN(C)C1(C(=O)Nc2ccc(OCCC(=O)[O-])cc2)CCC1 ZINC000830585001 601939964 /nfs/dbraw/zinc/93/99/64/601939964.db2.gz KAAYZFPIRUPAIO-UHFFFAOYSA-N -1 1 306.362 1.963 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)N(C2CC2)C2CCCC2)C1 ZINC000740642504 601940360 /nfs/dbraw/zinc/94/03/60/601940360.db2.gz NJIQZUAAPCKNQA-LBPRGKRZSA-N -1 1 309.410 1.510 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@@H](C)N1CC[C@](C)(C(=O)[O-])C1 ZINC000826021015 601942303 /nfs/dbraw/zinc/94/23/03/601942303.db2.gz KMNMLCUHEQPXRC-ABAIWWIYSA-N -1 1 308.382 1.588 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000739012535 602017575 /nfs/dbraw/zinc/01/75/75/602017575.db2.gz UZZSBLMQKPJURX-NOZJJQNGSA-N -1 1 317.349 1.609 20 0 DDADMM CCC[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000825252530 608009981 /nfs/dbraw/zinc/00/99/81/608009981.db2.gz IWQXNMYVNWPQPG-SECBINFHSA-N -1 1 307.379 1.569 20 0 DDADMM COc1ccc([C@H](NCc2cc(=O)c(OC)co2)C(=O)[O-])cc1 ZINC000832252720 602203790 /nfs/dbraw/zinc/20/37/90/602203790.db2.gz RGFWFPFMPPVNOL-HNNXBMFYSA-N -1 1 319.313 1.573 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCC[C@@H](NC(=O)[O-])C2)c1 ZINC000738200795 602246249 /nfs/dbraw/zinc/24/62/49/602246249.db2.gz CZNFDCUXMYOOMS-LLVKDONJSA-N -1 1 302.334 1.744 20 0 DDADMM CCN(C)[C@@H](C(=O)NCCCN(C)C(=O)[O-])c1ccccc1 ZINC000739385217 602318871 /nfs/dbraw/zinc/31/88/71/602318871.db2.gz PYBQQRACCGBSOX-CQSZACIVSA-N -1 1 307.394 1.796 20 0 DDADMM CN(CC(=O)Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1)C(=O)[O-] ZINC000739626176 602326065 /nfs/dbraw/zinc/32/60/65/602326065.db2.gz FZRQUROSFLUISN-LBPRGKRZSA-N -1 1 318.333 1.963 20 0 DDADMM CC(C)c1ccc([C@H](CNC(=O)c2cnn[nH]2)NC(=O)[O-])cc1 ZINC000738882595 602360089 /nfs/dbraw/zinc/36/00/89/602360089.db2.gz LZXNVNPFCGRWQP-LBPRGKRZSA-N -1 1 317.349 1.667 20 0 DDADMM CN(CC1CCN(C(=O)c2cc(C3CC3)[nH]n2)CC1)C(=O)[O-] ZINC000739659652 602368185 /nfs/dbraw/zinc/36/81/85/602368185.db2.gz QAHSUINJSSXUSQ-UHFFFAOYSA-N -1 1 306.366 1.749 20 0 DDADMM CCCCn1nnnc1CN[C@@]1(CNC(=O)[O-])CCCC[C@H]1C ZINC000739353855 602432196 /nfs/dbraw/zinc/43/21/96/602432196.db2.gz VLBQJBWPIBXISR-IUODEOHRSA-N -1 1 324.429 1.779 20 0 DDADMM CN(C[C@H]1CCN(CC(=O)NCCC2=CCCCC2)C1)C(=O)[O-] ZINC000739662035 602538796 /nfs/dbraw/zinc/53/87/96/602538796.db2.gz WISPOFXMBGMRFK-OAHLLOKOSA-N -1 1 323.437 1.925 20 0 DDADMM CC(C)CN1CCN(C(=O)NC[C@@H]2CCCN2C(=O)[O-])CC1 ZINC000738824217 602616821 /nfs/dbraw/zinc/61/68/21/602616821.db2.gz JCSYRRFSDKHKTK-ZDUSSCGKSA-N -1 1 312.414 1.112 20 0 DDADMM COc1ccccc1[C@H](NC(=O)[O-])C(=O)NCc1cc(C)[nH]n1 ZINC000739968740 602909519 /nfs/dbraw/zinc/90/95/19/602909519.db2.gz VTMMHXKLRXBINB-ZDUSSCGKSA-N -1 1 318.333 1.352 20 0 DDADMM CCN(C)[C@H](C(=O)N1CCN(C(=O)[O-])[C@@H](C)C1)c1ccccc1 ZINC000739385659 602941739 /nfs/dbraw/zinc/94/17/39/602941739.db2.gz SHEDSPSKDHZOGT-ZFWWWQNUSA-N -1 1 319.405 1.890 20 0 DDADMM CNC(=O)c1cccc(CN2CCCC[C@@H]2CNC(=O)[O-])c1 ZINC000739745752 603034323 /nfs/dbraw/zinc/03/43/23/603034323.db2.gz GPFZBSFKDJHHSY-CQSZACIVSA-N -1 1 305.378 1.668 20 0 DDADMM CNc1ncc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)s1 ZINC000826098699 607613255 /nfs/dbraw/zinc/61/32/55/607613255.db2.gz MBCLEJMACUEEPW-UHFFFAOYSA-N -1 1 317.334 1.117 20 0 DDADMM CNc1ncc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)s1 ZINC000826098699 607613256 /nfs/dbraw/zinc/61/32/56/607613256.db2.gz MBCLEJMACUEEPW-UHFFFAOYSA-N -1 1 317.334 1.117 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2[C@@H](C)OC(C)(C)N2C(=O)[O-])c1C ZINC000740033193 603219631 /nfs/dbraw/zinc/21/96/31/603219631.db2.gz PYHNDFKJMVBRTI-NXEZZACHSA-N -1 1 310.354 1.260 20 0 DDADMM C[C@H](CC(=O)Nc1ccc(N2CCN(C)CC2)cc1)NC(=O)[O-] ZINC000824684725 603240260 /nfs/dbraw/zinc/24/02/60/603240260.db2.gz BICMXOZDVPKCBT-GFCCVEGCSA-N -1 1 320.393 1.423 20 0 DDADMM CC(C)c1ncc2c(n1)CN(C[C@H]1CCN(C(=O)[O-])C1)CC2 ZINC000738880732 603338956 /nfs/dbraw/zinc/33/89/56/603338956.db2.gz RMTPBFMMPSAYJD-GFCCVEGCSA-N -1 1 304.394 1.958 20 0 DDADMM CCN(CC(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000739392211 603378963 /nfs/dbraw/zinc/37/89/63/603378963.db2.gz FZVJEJACDYNARK-UHFFFAOYSA-N -1 1 305.378 1.161 20 0 DDADMM COc1cccc(OC)c1OC1CCN(CCNC(=O)[O-])CC1 ZINC000829574964 603454559 /nfs/dbraw/zinc/45/45/59/603454559.db2.gz FIWXCOQYRWXGQO-UHFFFAOYSA-N -1 1 324.377 1.815 20 0 DDADMM CN(CC(C)(C)CNC(=O)[O-])C(=O)c1cccc2n[nH]cc21 ZINC000828151395 603507460 /nfs/dbraw/zinc/50/74/60/603507460.db2.gz WBOONSZSAVFCQH-UHFFFAOYSA-N -1 1 304.350 1.929 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CC[C@@H](N(C)C(=O)[O-])C2)c1 ZINC000829918814 603515927 /nfs/dbraw/zinc/51/59/27/603515927.db2.gz CQLFQWGAUXMYHD-LLVKDONJSA-N -1 1 302.334 1.696 20 0 DDADMM O=C([O-])N[C@H]1CC[C@@H](C(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000832247690 603549784 /nfs/dbraw/zinc/54/97/84/603549784.db2.gz RPAZCUIIYJXNIF-NEPJUHHUSA-N -1 1 306.366 1.552 20 0 DDADMM CC(C)N(CCC(=O)N1CCC(c2nc[nH]n2)CC1)C(=O)[O-] ZINC000824336570 603558314 /nfs/dbraw/zinc/55/83/14/603558314.db2.gz VANXTGOMJLYECJ-UHFFFAOYSA-N -1 1 309.370 1.289 20 0 DDADMM CC[C@@H]1CCCN(CN2C[C@@H]3CN(C(=O)[O-])CCN3C2=O)C1 ZINC000826675452 603596357 /nfs/dbraw/zinc/59/63/57/603596357.db2.gz KWDCJJSYMCDRPM-OLZOCXBDSA-N -1 1 310.398 1.166 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@H](C(=O)Nc2ccn(-c3ccncc3)n2)C1 ZINC000832298652 603617915 /nfs/dbraw/zinc/61/79/15/603617915.db2.gz UZVWMWVXIWZKRA-WDEREUQCSA-N -1 1 315.333 1.642 20 0 DDADMM CCN(CCNC(=O)N1CCC(c2cnc[nH]2)CC1)C(=O)[O-] ZINC000827142244 603632825 /nfs/dbraw/zinc/63/28/25/603632825.db2.gz ZTVRGRMNIWQMKF-UHFFFAOYSA-N -1 1 309.370 1.299 20 0 DDADMM CCc1cc(CNC(=O)N[C@H](CNC(=O)[O-])CC(C)C)[nH]n1 ZINC000827563319 603636940 /nfs/dbraw/zinc/63/69/40/603636940.db2.gz AWLHTISSYVEZIK-NSHDSACASA-N -1 1 311.386 1.454 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCN(C[C@@H](O)COc2ccccc2F)C1 ZINC000827881615 603815142 /nfs/dbraw/zinc/81/51/42/603815142.db2.gz GDVGXGGEQJXXOE-VXGBXAGGSA-N -1 1 312.341 1.250 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])CC[N@@H+]1C ZINC000825852591 603823203 /nfs/dbraw/zinc/82/32/03/603823203.db2.gz OUXCDRWCRCPROP-KOLCDFICSA-N -1 1 307.350 1.695 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])CC[N@H+]1C ZINC000825852591 603823205 /nfs/dbraw/zinc/82/32/05/603823205.db2.gz OUXCDRWCRCPROP-KOLCDFICSA-N -1 1 307.350 1.695 20 0 DDADMM C[C@]1(C(=O)Nc2cnn(-c3ccncc3)c2)CCN(C(=O)[O-])C1 ZINC000825685374 603912823 /nfs/dbraw/zinc/91/28/23/603912823.db2.gz YMAVPHLPQUSWNK-HNNXBMFYSA-N -1 1 315.333 1.596 20 0 DDADMM C[C@@]1(C(=O)Nc2cnn(-c3ccncc3)c2)CCN(C(=O)[O-])C1 ZINC000825685375 603913118 /nfs/dbraw/zinc/91/31/18/603913118.db2.gz YMAVPHLPQUSWNK-OAHLLOKOSA-N -1 1 315.333 1.596 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@H]1CCN(C(=O)[O-])C1 ZINC000829832917 603941009 /nfs/dbraw/zinc/94/10/09/603941009.db2.gz CPDXAFRLKXVQRS-LURJTMIESA-N -1 1 306.244 1.219 20 0 DDADMM C[C@@H]1CCC[C@H](C)N1C(=O)CN1CCC[C@H]1CN(C)C(=O)[O-] ZINC000825887260 604091258 /nfs/dbraw/zinc/09/12/58/604091258.db2.gz KKTOAPRHSDATSC-MJBXVCDLSA-N -1 1 311.426 1.850 20 0 DDADMM CCc1cc(CNC(=O)NCC(CC)(CC)NC(=O)[O-])[nH]n1 ZINC000827563500 604147526 /nfs/dbraw/zinc/14/75/26/604147526.db2.gz DVMJCXYYEAZAGT-UHFFFAOYSA-N -1 1 311.386 1.598 20 0 DDADMM CCC[C@](C)(NC(=O)[O-])C(=O)NCCN1CCC(OC)CC1 ZINC000826721124 604252982 /nfs/dbraw/zinc/25/29/82/604252982.db2.gz PCFNYUFBUHJWMV-HNNXBMFYSA-N -1 1 315.414 1.040 20 0 DDADMM Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000832845046 604341776 /nfs/dbraw/zinc/34/17/76/604341776.db2.gz SFJJAVBHKNFZLS-SNVBAGLBSA-N -1 1 302.334 1.860 20 0 DDADMM O=C([O-])NC1(C(=O)NCc2n[nH]c(-c3ccc(F)cc3)n2)CC1 ZINC000832173380 604463526 /nfs/dbraw/zinc/46/35/26/604463526.db2.gz WFYQKUNHZPRHGH-UHFFFAOYSA-N -1 1 319.296 1.027 20 0 DDADMM O=C([O-])NC1(C(=O)NCc2nc(-c3ccc(F)cc3)n[nH]2)CC1 ZINC000832173380 604463528 /nfs/dbraw/zinc/46/35/28/604463528.db2.gz WFYQKUNHZPRHGH-UHFFFAOYSA-N -1 1 319.296 1.027 20 0 DDADMM C[C@H](Oc1ccc(CN2CCN(C3CC3)CC2)cc1)C(=O)[O-] ZINC000833539546 604531194 /nfs/dbraw/zinc/53/11/94/604531194.db2.gz HHGRBJLECKBNBH-ZDUSSCGKSA-N -1 1 304.390 1.819 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccc(C(=O)[O-])cc2)[C@@H](C)CN1C ZINC000833627934 604571704 /nfs/dbraw/zinc/57/17/04/604571704.db2.gz XZLUEVKTSMIJIK-NEPJUHHUSA-N -1 1 305.378 1.348 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccccc2C(=O)[O-])[C@H](C)CN1C ZINC000833627933 604574137 /nfs/dbraw/zinc/57/41/37/604574137.db2.gz XZJHKOYQIQHOLX-VXGBXAGGSA-N -1 1 305.378 1.348 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCC[C@H]1CSC)C(=O)[O-] ZINC000828719902 604677411 /nfs/dbraw/zinc/67/74/11/604677411.db2.gz SSRNWJDNNKEFSW-GVXVVHGQSA-N -1 1 302.440 1.429 20 0 DDADMM COc1ccc(NC(=O)CN(C[C@@H](C)C(=O)[O-])C2CC2)cc1 ZINC000832394694 604746454 /nfs/dbraw/zinc/74/64/54/604746454.db2.gz WGKASJLBVXEHQM-LLVKDONJSA-N -1 1 306.362 1.819 20 0 DDADMM O=C([O-])[C@H](O)C1CCN(Cc2cc(-c3ccccc3)n[nH]2)CC1 ZINC000833771173 604766332 /nfs/dbraw/zinc/76/63/32/604766332.db2.gz XEWHZJSNQXXLHR-MRXNPFEDSA-N -1 1 315.373 1.734 20 0 DDADMM C[C@H]1CCCC[C@H]1OCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833611354 604898045 /nfs/dbraw/zinc/89/80/45/604898045.db2.gz IZWBBCFUEYFUSS-WCFLWFBJSA-N -1 1 309.366 1.117 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN1CCCC[C@H](SC)C1)C(=O)[O-] ZINC000833636842 604965087 /nfs/dbraw/zinc/96/50/87/604965087.db2.gz AUYAZLUJBWBWRN-SGMGOOAPSA-N -1 1 316.467 1.819 20 0 DDADMM CC(C)C[C@]1(C)CC(=O)N(CN2CCC[C@@H]2CC(=O)[O-])C1=O ZINC000826826501 604977757 /nfs/dbraw/zinc/97/77/57/604977757.db2.gz LEYXMUUUIUROFC-MLGOLLRUSA-N -1 1 310.394 1.694 20 0 DDADMM O=C([O-])[C@H](O)C1CCN(Cc2ccccc2OC(F)F)CC1 ZINC000833771268 604981015 /nfs/dbraw/zinc/98/10/15/604981015.db2.gz ZAGNFEVVYLPHCM-CYBMUJFWSA-N -1 1 315.316 1.946 20 0 DDADMM C[C@H]1CN([C@H]2CC(=O)N(c3ccsc3C(=O)[O-])C2=O)C[C@H]1C ZINC000833622400 605000696 /nfs/dbraw/zinc/00/06/96/605000696.db2.gz HOXUFYLUPBSVMF-NGZCFLSTSA-N -1 1 322.386 1.666 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)[C@@H]2CCC[C@H](C(=O)[O-])C2)n[nH]1 ZINC000833511887 605023116 /nfs/dbraw/zinc/02/31/16/605023116.db2.gz DPUQIDIRFHYAGS-AXFHLTTASA-N -1 1 308.382 1.996 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)c2ccc(OCC(=O)[O-])cc2)n[nH]1 ZINC000833674728 605024115 /nfs/dbraw/zinc/02/41/15/605024115.db2.gz VETCUBVDYACXKQ-SECBINFHSA-N -1 1 318.333 1.322 20 0 DDADMM C[C@@H](C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1)n1cncn1 ZINC000833410142 605057812 /nfs/dbraw/zinc/05/78/12/605057812.db2.gz ARAOSMALUINIEO-ZETCQYMHSA-N -1 1 300.278 1.052 20 0 DDADMM Cc1cc(F)c(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1F ZINC000833744384 605076263 /nfs/dbraw/zinc/07/62/63/605076263.db2.gz DRRMKIMYPMOUGL-LBPRGKRZSA-N -1 1 309.272 1.422 20 0 DDADMM CC(C)(NCc1ccc(C(=O)[O-])[nH]1)C(=O)NCC1CCCC1 ZINC000833460352 605116966 /nfs/dbraw/zinc/11/69/66/605116966.db2.gz AFETUMJWGYWFGY-UHFFFAOYSA-N -1 1 307.394 1.888 20 0 DDADMM O=C([O-])NCC1(C(=O)N[C@H]2CCCc3cn[nH]c32)CCCC1 ZINC000832432969 605129034 /nfs/dbraw/zinc/12/90/34/605129034.db2.gz CWCIAFFGBDUYAL-NSHDSACASA-N -1 1 306.366 1.731 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CC[C@H](NC(=O)[O-])C1 ZINC000739607754 605130751 /nfs/dbraw/zinc/13/07/51/605130751.db2.gz LGLFJOLGUPSMLG-KBPBESRZSA-N -1 1 305.378 1.028 20 0 DDADMM CCN1C[C@H](C)N(C(=O)Cc2cccc(C(=O)[O-])c2)C[C@@H]1C ZINC000833657735 605133451 /nfs/dbraw/zinc/13/34/51/605133451.db2.gz OOLWEXFSUWVNTA-STQMWFEESA-N -1 1 304.390 1.868 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)CN2C[C@@H](C(=O)[O-])CC2=O)C12CCCC2 ZINC000833660178 605173567 /nfs/dbraw/zinc/17/35/67/605173567.db2.gz MOVHPPIKZYOXEL-MELADBBJSA-N -1 1 324.421 1.547 20 0 DDADMM C[C@@H]1CN(C(=O)CCC(=O)[O-])[C@@H](C)CN1Cc1ccccc1 ZINC000833618419 605176378 /nfs/dbraw/zinc/17/63/78/605176378.db2.gz NSXWQJZSNOOJPH-KGLIPLIRSA-N -1 1 304.390 1.973 20 0 DDADMM C[C@@]1(O)CCN(Cc2coc(-c3ccc(C(=O)[O-])cc3)n2)C1 ZINC000833570698 605214154 /nfs/dbraw/zinc/21/41/54/605214154.db2.gz BQZDFVPHWVBYDU-MRXNPFEDSA-N -1 1 302.330 1.997 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NCCCN(C(=O)[O-])C2CC2)n[nH]1 ZINC000830035684 605295552 /nfs/dbraw/zinc/29/55/52/605295552.db2.gz DNCJTNNFTQXIKP-SNVBAGLBSA-N -1 1 323.397 1.481 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC(CNC(=O)[O-])CC2)n[nH]1 ZINC000830031781 605301770 /nfs/dbraw/zinc/30/17/70/605301770.db2.gz YJWBKATXYBKHKO-SNVBAGLBSA-N -1 1 323.397 1.338 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(CN3C[C@@H](C4CC4)CC3=O)C[C@H]21 ZINC000834181462 605331775 /nfs/dbraw/zinc/33/17/75/605331775.db2.gz MGTHZTTWHPQSJL-LXTVHRRPSA-N -1 1 321.421 1.571 20 0 DDADMM O=C(C[C@@H]1CSCCN1C(=O)[O-])N[C@H]1CCCc2cn[nH]c21 ZINC000831407970 605332706 /nfs/dbraw/zinc/33/27/06/605332706.db2.gz KDLKGIYKUJLXOM-MNOVXSKESA-N -1 1 324.406 1.389 20 0 DDADMM O=C([O-])N1CC(C(=O)OC[C@H]2CCCN2Cc2ccco2)C1 ZINC000834285784 605594910 /nfs/dbraw/zinc/59/49/10/605594910.db2.gz QZWYNTZPZKTKGC-GFCCVEGCSA-N -1 1 308.334 1.397 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CC(C)(C)C1 ZINC000833588286 605720461 /nfs/dbraw/zinc/72/04/61/605720461.db2.gz DBVIKAKRPIFIKO-WZRBSPASSA-N -1 1 307.394 1.984 20 0 DDADMM COc1cc(CN(C)CCN(C)C(=O)[O-])cc(OC)c1OC ZINC000833887402 605728196 /nfs/dbraw/zinc/72/81/96/605728196.db2.gz QHDVTQNOYQRFGS-UHFFFAOYSA-N -1 1 312.366 1.754 20 0 DDADMM CSCC[C@H](NC(=O)[O-])C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000829730456 605805576 /nfs/dbraw/zinc/80/55/76/605805576.db2.gz MBFDIIIBCLSEFY-KWQFWETISA-N -1 1 314.411 1.155 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1C[C@@H](O)c1cc(F)ccc1F ZINC000833835067 605898103 /nfs/dbraw/zinc/89/81/03/605898103.db2.gz ULDGZCFRAPOVGZ-ISTVAULSSA-N -1 1 314.332 1.976 20 0 DDADMM CC(C)n1ncc2cc(CN3CC[C@H](NC(=O)[O-])C3)cnc21 ZINC000738887352 605910204 /nfs/dbraw/zinc/91/02/04/605910204.db2.gz GOIIPNIGARAREW-ZDUSSCGKSA-N -1 1 303.366 1.854 20 0 DDADMM O=C([O-])Nc1cnc(C(=O)N2CCN(C3CCCC3)CC2)cn1 ZINC000834275680 606054654 /nfs/dbraw/zinc/05/46/54/606054654.db2.gz FCNBXNMWIPOCAW-UHFFFAOYSA-N -1 1 319.365 1.267 20 0 DDADMM C[C@@H]1c2ccccc2CN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820827416 606105292 /nfs/dbraw/zinc/10/52/92/606105292.db2.gz TVBXQZJJVQXTNT-SNVBAGLBSA-N -1 1 306.329 1.979 20 0 DDADMM C[C@@H]1c2ccccc2CN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820827416 606105294 /nfs/dbraw/zinc/10/52/94/606105294.db2.gz TVBXQZJJVQXTNT-SNVBAGLBSA-N -1 1 306.329 1.979 20 0 DDADMM C[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000820711372 606159149 /nfs/dbraw/zinc/15/91/49/606159149.db2.gz XJGNPXIMELCCHH-SECBINFHSA-N -1 1 304.358 1.254 20 0 DDADMM C[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000820711372 606159151 /nfs/dbraw/zinc/15/91/51/606159151.db2.gz XJGNPXIMELCCHH-SECBINFHSA-N -1 1 304.358 1.254 20 0 DDADMM CC[C@]1(C)CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820951405 606160952 /nfs/dbraw/zinc/16/09/52/606160952.db2.gz ZVEOKPINTICABV-CQSZACIVSA-N -1 1 318.385 1.644 20 0 DDADMM CC[C@]1(C)CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820951405 606160954 /nfs/dbraw/zinc/16/09/54/606160954.db2.gz ZVEOKPINTICABV-CQSZACIVSA-N -1 1 318.385 1.644 20 0 DDADMM CN(C[C@@H](O)C1CC1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000821595152 606200324 /nfs/dbraw/zinc/20/03/24/606200324.db2.gz OCBNTOSHDJRQMK-GFCCVEGCSA-N -1 1 311.349 1.017 20 0 DDADMM CN(C[C@@H](O)C1CC1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000821595152 606200326 /nfs/dbraw/zinc/20/03/26/606200326.db2.gz OCBNTOSHDJRQMK-GFCCVEGCSA-N -1 1 311.349 1.017 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccc2c(c1)CCO2 ZINC000823294793 606296423 /nfs/dbraw/zinc/29/64/23/606296423.db2.gz RLXNSZFPIRJKDG-UHFFFAOYSA-N -1 1 323.312 1.760 20 0 DDADMM CCc1ncc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])s1 ZINC000821518462 606297368 /nfs/dbraw/zinc/29/73/68/606297368.db2.gz VFTDNMOLLQMKKK-UHFFFAOYSA-N -1 1 316.346 1.844 20 0 DDADMM CC[C@@H]1CO[C@@H](C)CN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821000841 606491917 /nfs/dbraw/zinc/49/19/17/606491917.db2.gz KCOUKNZBMVUNBN-DTWKUNHWSA-N -1 1 307.379 1.568 20 0 DDADMM CC[C@@H]1CO[C@@H](C)CN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821000841 606491918 /nfs/dbraw/zinc/49/19/18/606491918.db2.gz KCOUKNZBMVUNBN-DTWKUNHWSA-N -1 1 307.379 1.568 20 0 DDADMM Cc1ccc(SCC(=O)Nc2n[nH]cc2-c2nnn[n-]2)cc1 ZINC000822267415 606532159 /nfs/dbraw/zinc/53/21/59/606532159.db2.gz SDRIAMSMCLOARP-UHFFFAOYSA-N -1 1 315.362 1.629 20 0 DDADMM Cc1ccc(SCC(=O)Nc2n[nH]cc2-c2nn[n-]n2)cc1 ZINC000822267415 606532161 /nfs/dbraw/zinc/53/21/61/606532161.db2.gz SDRIAMSMCLOARP-UHFFFAOYSA-N -1 1 315.362 1.629 20 0 DDADMM CCO[C@@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821367334 606534460 /nfs/dbraw/zinc/53/44/60/606534460.db2.gz GXQRXAAMXNIEAX-SECBINFHSA-N -1 1 307.379 1.569 20 0 DDADMM CCO[C@@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821367334 606534462 /nfs/dbraw/zinc/53/44/62/606534462.db2.gz GXQRXAAMXNIEAX-SECBINFHSA-N -1 1 307.379 1.569 20 0 DDADMM CC(C)n1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000820214564 606567322 /nfs/dbraw/zinc/56/73/22/606567322.db2.gz JDDJXNTWFXICRL-UHFFFAOYSA-N -1 1 317.378 1.636 20 0 DDADMM CC(C)n1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000820214564 606567324 /nfs/dbraw/zinc/56/73/24/606567324.db2.gz JDDJXNTWFXICRL-UHFFFAOYSA-N -1 1 317.378 1.636 20 0 DDADMM CS[C@@H]1CC[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821951336 606576113 /nfs/dbraw/zinc/57/61/13/606576113.db2.gz WZPSEYSVJWFHMT-HTQZYQBOSA-N -1 1 309.420 1.942 20 0 DDADMM CS[C@@H]1CC[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821951336 606576114 /nfs/dbraw/zinc/57/61/14/606576114.db2.gz WZPSEYSVJWFHMT-HTQZYQBOSA-N -1 1 309.420 1.942 20 0 DDADMM C[C@@]1(CNC(=O)c2ccc(-c3nnn[n-]3)s2)CCCC[C@@H]1O ZINC000820618738 606581783 /nfs/dbraw/zinc/58/17/83/606581783.db2.gz NJWVQGWIWVYXSR-FZMZJTMJSA-N -1 1 321.406 1.599 20 0 DDADMM C[C@@]1(CNC(=O)c2ccc(-c3nn[n-]n3)s2)CCCC[C@@H]1O ZINC000820618738 606581785 /nfs/dbraw/zinc/58/17/85/606581785.db2.gz NJWVQGWIWVYXSR-FZMZJTMJSA-N -1 1 321.406 1.599 20 0 DDADMM C[C@H]1CC[C@@H](CCC(=O)OCc2ccnc(-c3nnn[n-]3)c2)O1 ZINC000820691099 606646878 /nfs/dbraw/zinc/64/68/78/606646878.db2.gz VFKJIIIVWKLYCF-JQWIXIFHSA-N -1 1 317.349 1.653 20 0 DDADMM C[C@H]1CC[C@@H](CCC(=O)OCc2ccnc(-c3nn[n-]n3)c2)O1 ZINC000820691099 606646879 /nfs/dbraw/zinc/64/68/79/606646879.db2.gz VFKJIIIVWKLYCF-JQWIXIFHSA-N -1 1 317.349 1.653 20 0 DDADMM COCc1nc(Sc2ccc(Cl)c(-c3nnn[n-]3)n2)n[nH]1 ZINC000821696556 606792298 /nfs/dbraw/zinc/79/22/98/606792298.db2.gz HZESJRPQXRSMEZ-UHFFFAOYSA-N -1 1 324.757 1.331 20 0 DDADMM COCc1nc(Sc2ccc(Cl)c(-c3nn[n-]n3)n2)n[nH]1 ZINC000821696556 606792300 /nfs/dbraw/zinc/79/23/00/606792300.db2.gz HZESJRPQXRSMEZ-UHFFFAOYSA-N -1 1 324.757 1.331 20 0 DDADMM CC[C@@H]1CCC[C@@H]1NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820981879 606848601 /nfs/dbraw/zinc/84/86/01/606848601.db2.gz XEGIVOWMMOFGHX-KOLCDFICSA-N -1 1 322.394 1.119 20 0 DDADMM CC[C@@H]1CCC[C@@H]1NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820981879 606848602 /nfs/dbraw/zinc/84/86/02/606848602.db2.gz XEGIVOWMMOFGHX-KOLCDFICSA-N -1 1 322.394 1.119 20 0 DDADMM O=C(OC/C(Cl)=C/Cl)c1ccc(-c2nnn[n-]2)nc1 ZINC000823397504 606950927 /nfs/dbraw/zinc/95/09/27/606950927.db2.gz WKZWUCZVYKPXNY-CLTKARDFSA-N -1 1 300.105 1.738 20 0 DDADMM O=C(OC/C(Cl)=C/Cl)c1ccc(-c2nn[n-]n2)nc1 ZINC000823397504 606950928 /nfs/dbraw/zinc/95/09/28/606950928.db2.gz WKZWUCZVYKPXNY-CLTKARDFSA-N -1 1 300.105 1.738 20 0 DDADMM CCCc1cc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)n[nH]1 ZINC000821139388 607103999 /nfs/dbraw/zinc/10/39/99/607103999.db2.gz WYISKIVLWMHFJV-UHFFFAOYSA-N -1 1 304.339 1.251 20 0 DDADMM CCCc1cc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)n[nH]1 ZINC000821139388 607104000 /nfs/dbraw/zinc/10/40/00/607104000.db2.gz WYISKIVLWMHFJV-UHFFFAOYSA-N -1 1 304.339 1.251 20 0 DDADMM C[C@H]1CCCN(C(=O)COc2cccc(F)c2-c2nnn[n-]2)C1 ZINC000824935762 607864969 /nfs/dbraw/zinc/86/49/69/607864969.db2.gz CVECQWFJEBOWJB-JTQLQIEISA-N -1 1 319.340 1.643 20 0 DDADMM C[C@H]1CCCN(C(=O)COc2cccc(F)c2-c2nn[n-]n2)C1 ZINC000824935762 607864970 /nfs/dbraw/zinc/86/49/70/607864970.db2.gz CVECQWFJEBOWJB-JTQLQIEISA-N -1 1 319.340 1.643 20 0 DDADMM CS(=O)(=O)CCCCOc1cccc(F)c1-c1nnn[n-]1 ZINC000826222674 607865296 /nfs/dbraw/zinc/86/52/96/607865296.db2.gz HCFVATQVYQNGJN-UHFFFAOYSA-N -1 1 314.342 1.209 20 0 DDADMM CS(=O)(=O)CCCCOc1cccc(F)c1-c1nn[n-]n1 ZINC000826222674 607865297 /nfs/dbraw/zinc/86/52/97/607865297.db2.gz HCFVATQVYQNGJN-UHFFFAOYSA-N -1 1 314.342 1.209 20 0 DDADMM CCC[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000825252530 608009980 /nfs/dbraw/zinc/00/99/80/608009980.db2.gz IWQXNMYVNWPQPG-SECBINFHSA-N -1 1 307.379 1.569 20 0 DDADMM Clc1nsc(N[C@H]2CCc3c[nH]nc3C2)c1-c1nn[n-]n1 ZINC000826354214 608191342 /nfs/dbraw/zinc/19/13/42/608191342.db2.gz TYYIMWVGJRCCOG-LURJTMIESA-N -1 1 322.785 1.669 20 0 DDADMM CS[C@@H](C)CCC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826225520 608331614 /nfs/dbraw/zinc/33/16/14/608331614.db2.gz DKOIJXLFYRZJOQ-VIFPVBQESA-N -1 1 307.379 1.837 20 0 DDADMM CS[C@@H](C)CCC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826225520 608331616 /nfs/dbraw/zinc/33/16/16/608331616.db2.gz DKOIJXLFYRZJOQ-VIFPVBQESA-N -1 1 307.379 1.837 20 0 DDADMM Cc1cccn2cc(CNc3cccc(-c4nn[n-]n4)n3)nc12 ZINC000826308291 608410504 /nfs/dbraw/zinc/41/05/04/608410504.db2.gz SDVIYHDUARHNPI-UHFFFAOYSA-N -1 1 306.333 1.830 20 0 DDADMM CN1C(=O)CCc2cc(Nc3ccc(-c4nnn[n-]4)nn3)ccc21 ZINC000826011137 608413850 /nfs/dbraw/zinc/41/38/50/608413850.db2.gz YQEMKTMCNXZKCV-UHFFFAOYSA-N -1 1 322.332 1.309 20 0 DDADMM CN1C(=O)CCc2cc(Nc3ccc(-c4nn[n-]n4)nn3)ccc21 ZINC000826011137 608413851 /nfs/dbraw/zinc/41/38/51/608413851.db2.gz YQEMKTMCNXZKCV-UHFFFAOYSA-N -1 1 322.332 1.309 20 0 DDADMM Cc1ncc2c(n1)CCC[C@H]2Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826330038 608420043 /nfs/dbraw/zinc/42/00/43/608420043.db2.gz COMKZRQPDZUIAI-LLVKDONJSA-N -1 1 309.337 1.245 20 0 DDADMM Cc1ncc2c(n1)CCC[C@H]2Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826330038 608420045 /nfs/dbraw/zinc/42/00/45/608420045.db2.gz COMKZRQPDZUIAI-LLVKDONJSA-N -1 1 309.337 1.245 20 0 DDADMM Clc1cccc2c1OC[C@@H]2Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826353568 608432052 /nfs/dbraw/zinc/43/20/52/608432052.db2.gz VLFPNLJEBBSWKS-JTQLQIEISA-N -1 1 315.724 1.856 20 0 DDADMM Clc1cccc2c1OC[C@@H]2Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826353568 608432053 /nfs/dbraw/zinc/43/20/53/608432053.db2.gz VLFPNLJEBBSWKS-JTQLQIEISA-N -1 1 315.724 1.856 20 0 DDADMM CO[C@@H]1CCC[C@H]1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000826145339 608473338 /nfs/dbraw/zinc/47/33/38/608473338.db2.gz FDEQPROPGRBPFC-DTWKUNHWSA-N -1 1 307.379 1.473 20 0 DDADMM CO[C@@H]1CCC[C@H]1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000826145339 608473339 /nfs/dbraw/zinc/47/33/39/608473339.db2.gz FDEQPROPGRBPFC-DTWKUNHWSA-N -1 1 307.379 1.473 20 0 DDADMM Cc1cnc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c(C)c1 ZINC000826317418 609162080 /nfs/dbraw/zinc/16/20/80/609162080.db2.gz CJALFCVBNRQPNY-UHFFFAOYSA-N -1 1 310.317 1.836 20 0 DDADMM O=C(OCCC1OCCCO1)c1sccc1-c1nn[n-]n1 ZINC000826462686 609239512 /nfs/dbraw/zinc/23/95/12/609239512.db2.gz FSJAJCYYWMBKMG-UHFFFAOYSA-N -1 1 310.335 1.238 20 0 DDADMM CC(C)C[C@@H](C(=O)[O-])N(C)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000797723465 700020806 /nfs/dbraw/zinc/02/08/06/700020806.db2.gz ATQDFMLMUBVJKU-RYUDHWBXSA-N -1 1 308.382 1.928 20 0 DDADMM CC(C)=C(F)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976397659 695971043 /nfs/dbraw/zinc/97/10/43/695971043.db2.gz JPZQWMBWDMXVIA-IWIIMEHWSA-N -1 1 319.336 1.237 20 0 DDADMM O=C(NC[C@@H]1COC2(CCCCC2)O1)C(=O)c1ccc([O-])cc1 ZINC000798177237 700047190 /nfs/dbraw/zinc/04/71/90/700047190.db2.gz HDUJTJSPUDYSOR-CQSZACIVSA-N -1 1 319.357 1.767 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CSc2ncccn2)cc1 ZINC000010861144 696041639 /nfs/dbraw/zinc/04/16/39/696041639.db2.gz STMCCVIYVFZNJI-UHFFFAOYSA-N -1 1 303.343 1.534 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2ccc(C)cc2C)n1 ZINC000031721282 696122518 /nfs/dbraw/zinc/12/25/18/696122518.db2.gz IAMMCJQBVDAQMA-UHFFFAOYSA-N -1 1 308.363 1.407 20 0 DDADMM COc1cc[n-]c(=NNC(=O)Nc2ccc3c(c2)OCO3)n1 ZINC000046063134 696180241 /nfs/dbraw/zinc/18/02/41/696180241.db2.gz NATHHHQMNJZNTK-UHFFFAOYSA-N -1 1 303.278 1.363 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)c2ccccc2)o1 ZINC000046314944 696183889 /nfs/dbraw/zinc/18/38/89/696183889.db2.gz DQVMLHKGRDNQOD-UHFFFAOYSA-N -1 1 323.326 1.227 20 0 DDADMM CS[C@@H](C)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977620748 696237631 /nfs/dbraw/zinc/23/76/31/696237631.db2.gz SOKIJVHOLVXDAN-WDEREUQCSA-N -1 1 323.418 1.212 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)c1ccc(NC=O)cc1 ZINC000073997739 696409632 /nfs/dbraw/zinc/40/96/32/696409632.db2.gz PZDGJPPHZVFMGG-UHFFFAOYSA-N -1 1 319.390 1.501 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)[C@H]1CC1(Cl)Cl ZINC000073997806 696409782 /nfs/dbraw/zinc/40/97/82/696409782.db2.gz RFPRTYPTFKFSBM-ZCFIWIBFSA-N -1 1 309.222 1.813 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCCc3cc(F)ccc32)s1 ZINC000079617094 696462023 /nfs/dbraw/zinc/46/20/23/696462023.db2.gz MXEXKCWSHRFXEN-NSHDSACASA-N -1 1 307.350 1.452 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC2CC(c3ccccc3)C2)s1 ZINC000079997846 696466127 /nfs/dbraw/zinc/46/61/27/696466127.db2.gz NOBRACOOYCFNSN-HTAVTVPLSA-N -1 1 303.387 1.396 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cc(C3CCCC3)[nH]n2)s1 ZINC000080363227 696533438 /nfs/dbraw/zinc/53/34/38/696533438.db2.gz DKTRYISBSGUBAP-SECBINFHSA-N -1 1 307.379 1.353 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCc2cc3ccccc3o2)s1 ZINC000080405736 696534185 /nfs/dbraw/zinc/53/41/85/696534185.db2.gz SVBFJVUZVYIEDV-LBPRGKRZSA-N -1 1 317.370 1.438 20 0 DDADMM Cn1nc(CN2CCSC[C@@H]2CC(=O)[O-])c2ccccc21 ZINC000091130690 696586365 /nfs/dbraw/zinc/58/63/65/696586365.db2.gz MPOYJMNKKWVJTH-NSHDSACASA-N -1 1 305.403 1.965 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000979664409 696621851 /nfs/dbraw/zinc/62/18/51/696621851.db2.gz VZEZQEVSYDTVEE-SRVKXCTJSA-N -1 1 317.389 1.458 20 0 DDADMM O=C(NC[C@H]1C[C@H](NC(=O)C2CCCC2)C1)c1ncccc1[O-] ZINC000979665750 696622147 /nfs/dbraw/zinc/62/21/47/696622147.db2.gz RMKMKCJOQXNNJE-AULYBMBSSA-N -1 1 317.389 1.602 20 0 DDADMM CCc1ccc(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)cc1 ZINC000798852738 700102031 /nfs/dbraw/zinc/10/20/31/700102031.db2.gz VLNCGBSSMVPXDM-CQSZACIVSA-N -1 1 312.435 1.640 20 0 DDADMM CC(C)[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000120852229 696702278 /nfs/dbraw/zinc/70/22/78/696702278.db2.gz YQYQEPZLHNOWQA-DGCLKSJQSA-N -1 1 305.403 1.847 20 0 DDADMM Cc1cccc(C)c1CCNC(=O)Cc1sc(N)nc1[O-] ZINC000129462655 696788848 /nfs/dbraw/zinc/78/88/48/696788848.db2.gz KQIICZYNOWGTDL-LBPRGKRZSA-N -1 1 305.403 1.309 20 0 DDADMM CC[C@H](C)[C@@H](C)C(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000131991711 696809980 /nfs/dbraw/zinc/80/99/80/696809980.db2.gz VGPZXCZBZQHICS-VHSXEESVSA-N -1 1 306.366 1.494 20 0 DDADMM Cn1ncc2c1ncnc2SCCC[N-]C(=O)C(F)(F)F ZINC000151768342 696890363 /nfs/dbraw/zinc/89/03/63/696890363.db2.gz XWKWRTLVTBQNIP-UHFFFAOYSA-N -1 1 319.312 1.524 20 0 DDADMM COc1ccc(N2C[C@@H](C(=O)[N-]OCC(C)C)CC2=O)cc1 ZINC000153471784 696916428 /nfs/dbraw/zinc/91/64/28/696916428.db2.gz KSEIYZWUQXOBNV-LBPRGKRZSA-N -1 1 306.362 1.752 20 0 DDADMM O=C(CC1CC1)N[C@@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000983411438 697258548 /nfs/dbraw/zinc/25/85/48/697258548.db2.gz KFUBXIBHTYHQDP-OLZOCXBDSA-N -1 1 317.389 1.745 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccco1)c1ccc(F)c(F)c1F ZINC000159168232 697307956 /nfs/dbraw/zinc/30/79/56/697307956.db2.gz LUVGCIZEAMYZCF-QMMMGPOBSA-N -1 1 321.276 1.709 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2cccc(C(C)C)c2)n1 ZINC000172884796 697372381 /nfs/dbraw/zinc/37/23/81/697372381.db2.gz KLNVPNXOBQDTQN-UHFFFAOYSA-N -1 1 322.390 1.914 20 0 DDADMM C[C@@H]1CN(C(=O)COC(=O)c2c([O-])cc(F)cc2F)CCO1 ZINC000749281812 700154932 /nfs/dbraw/zinc/15/49/32/700154932.db2.gz VCNXXHWDPJBJFT-MRVPVSSYSA-N -1 1 315.272 1.075 20 0 DDADMM Cn1cc(C(=O)N[N-]C(=O)c2ccc(F)c(Cl)c2F)cn1 ZINC000186019479 697527489 /nfs/dbraw/zinc/52/74/89/697527489.db2.gz CGJWYJFIYWKWCT-UHFFFAOYSA-N -1 1 314.679 1.427 20 0 DDADMM O=C(NC[C@H](O)c1ccccc1F)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000187161404 697541766 /nfs/dbraw/zinc/54/17/66/697541766.db2.gz CIYVJLLRWCCRGM-LBPRGKRZSA-N -1 1 321.264 1.298 20 0 DDADMM Cn1nccc1CCC(=O)N[N-]C(=O)c1ccc(Cl)cc1F ZINC000187647027 697548018 /nfs/dbraw/zinc/54/80/18/697548018.db2.gz YEYLECUSGAOICU-UHFFFAOYSA-N -1 1 324.743 1.606 20 0 DDADMM O=C(CCc1ccncn1)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000191951574 697617156 /nfs/dbraw/zinc/61/71/56/697617156.db2.gz WPRAANKDNPBZSI-UHFFFAOYSA-N -1 1 320.736 1.229 20 0 DDADMM CCCC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000985987958 697621597 /nfs/dbraw/zinc/62/15/97/697621597.db2.gz BNTCOEZNEGJRAH-OLZOCXBDSA-N -1 1 317.389 1.508 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC1([S@@](C)=O)CC1 ZINC000799775288 700166092 /nfs/dbraw/zinc/16/60/92/700166092.db2.gz PAWFSGXKXLNKQA-OAQYLSRUSA-N -1 1 311.407 1.255 20 0 DDADMM COC(=O)C[C@H]1CCCN1C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000772742506 697671114 /nfs/dbraw/zinc/67/11/14/697671114.db2.gz QDYOILBWVOMAIB-LLVKDONJSA-N -1 1 321.377 1.782 20 0 DDADMM O=C(NCCc1ccc(Cl)nc1)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000193713309 697677906 /nfs/dbraw/zinc/67/79/06/697677906.db2.gz VMYANLOKDOBFCV-UHFFFAOYSA-N -1 1 322.708 1.716 20 0 DDADMM O=C(CCCCc1cn[nH]n1)[N-]S(=O)(=O)c1ccccc1 ZINC000195248967 697735732 /nfs/dbraw/zinc/73/57/32/697735732.db2.gz JVCRDYKHNZUEDH-UHFFFAOYSA-N -1 1 308.363 1.023 20 0 DDADMM Cn1cc(N2CC[C@H](OC(=O)c3ccc([O-])cc3F)C2=O)cn1 ZINC000773573900 697782882 /nfs/dbraw/zinc/78/28/82/697782882.db2.gz QJICNNUZPCRLHH-ZDUSSCGKSA-N -1 1 319.292 1.227 20 0 DDADMM COc1ccc(NC(=O)c2ccc3n[n-]c(=S)n3c2)cn1 ZINC000773706781 697796429 /nfs/dbraw/zinc/79/64/29/697796429.db2.gz LYGFYXAMGCXXPL-UHFFFAOYSA-N -1 1 301.331 1.674 20 0 DDADMM CCC[C@@H](C)CS(=O)(=O)[N-]C(=O)CCCCc1cn[nH]n1 ZINC000774097533 697843477 /nfs/dbraw/zinc/84/34/77/697843477.db2.gz WIRADRPJQGKGCY-LLVKDONJSA-N -1 1 316.427 1.400 20 0 DDADMM Cc1nc(-c2ccccc2)sc1C(=O)[N-]N1CN=NC1=O ZINC000777767741 698220319 /nfs/dbraw/zinc/22/03/19/698220319.db2.gz LKHAORWZKWMPPG-UHFFFAOYSA-N -1 1 301.331 1.800 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)CCOC1 ZINC000778026925 698238273 /nfs/dbraw/zinc/23/82/73/698238273.db2.gz DVOJPFGBIJCILM-JTQLQIEISA-N -1 1 311.190 1.846 20 0 DDADMM Cc1ccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)s1 ZINC000987844879 698245674 /nfs/dbraw/zinc/24/56/74/698245674.db2.gz UCXOSAAVYZGVHU-PSASIEDQSA-N -1 1 321.406 1.273 20 0 DDADMM Cc1ccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)s1 ZINC000987844883 698245681 /nfs/dbraw/zinc/24/56/81/698245681.db2.gz UCXOSAAVYZGVHU-SCZZXKLOSA-N -1 1 321.406 1.273 20 0 DDADMM CCCCO[C@H]1C[C@H](N(C)CC(=O)NCC(=O)[O-])C1(C)C ZINC000262230649 698460291 /nfs/dbraw/zinc/46/02/91/698460291.db2.gz NAMIFTFNGKNLJQ-RYUDHWBXSA-N -1 1 300.399 1.103 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC(C)(C)C1CC1 ZINC000988802709 698469479 /nfs/dbraw/zinc/46/94/79/698469479.db2.gz JWKHVMYKPDZMHI-ZYHUDNBSSA-N -1 1 321.425 1.416 20 0 DDADMM O=C([O-])[C@@H]1CCCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000263449895 698507560 /nfs/dbraw/zinc/50/75/60/698507560.db2.gz SBDGXQGCPBEKKF-LBPRGKRZSA-N -1 1 300.318 1.551 20 0 DDADMM CC(=O)N1CC[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)[C@H]1C ZINC000989212194 698558283 /nfs/dbraw/zinc/55/82/83/698558283.db2.gz RVIBWCJEIHLEOV-PWSUYJOCSA-N -1 1 320.393 1.353 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@H](CO)CC1 ZINC000294361502 698581477 /nfs/dbraw/zinc/58/14/77/698581477.db2.gz BMEYLGCIYSCFFC-JTQLQIEISA-N -1 1 306.391 1.253 20 0 DDADMM CC(C)S(=O)(=O)c1ccccc1C(=O)[N-]N1CCCC1=O ZINC000781063862 698587140 /nfs/dbraw/zinc/58/71/40/698587140.db2.gz OJOUQCXLOOFPCQ-UHFFFAOYSA-N -1 1 310.375 1.136 20 0 DDADMM O=C(CNC(=O)C1CC1)Nc1nc(Br)ccc1[O-] ZINC000783911060 698891018 /nfs/dbraw/zinc/89/10/18/698891018.db2.gz VQNKYZQHDMYQMK-UHFFFAOYSA-N -1 1 314.139 1.014 20 0 DDADMM COc1ccc(NC(=O)[C@@H](F)C(C)C)cc1[N-]S(C)(=O)=O ZINC000784147069 698912323 /nfs/dbraw/zinc/91/23/23/698912323.db2.gz VGRVSVMIFNSEGU-LBPRGKRZSA-N -1 1 318.370 1.999 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(C)C)c(Br)n1C ZINC000390106195 699092252 /nfs/dbraw/zinc/09/22/52/699092252.db2.gz SVRATZWLIFLLRA-UHFFFAOYSA-N -1 1 310.217 1.425 20 0 DDADMM CC(C)[C@]1(C)C[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971033 699195933 /nfs/dbraw/zinc/19/59/33/699195933.db2.gz DVYUAPVFSVQQKH-SJCJKPOMSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@@H]1CCC[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990972883 699196446 /nfs/dbraw/zinc/19/64/46/699196446.db2.gz VBUBVDCDYFQRAM-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC1 ZINC000990972098 699196450 /nfs/dbraw/zinc/19/64/50/699196450.db2.gz KULSDKWUFRTSBS-HAQNSBGRSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC1CN(C(=O)C2CCC(F)CC2)C1)c1ncccc1[O-] ZINC000990973233 699196804 /nfs/dbraw/zinc/19/68/04/699196804.db2.gz XYUOZBQFUXMWFB-UHFFFAOYSA-N -1 1 321.352 1.256 20 0 DDADMM C[C@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000990976469 699197594 /nfs/dbraw/zinc/19/75/94/699197594.db2.gz FSNGEISFBDNTSW-JTQLQIEISA-N -1 1 303.362 1.164 20 0 DDADMM CCCc1ccc(N2C[C@H](C(=O)[N-]OCCO)CC2=O)cc1 ZINC000787296626 699203672 /nfs/dbraw/zinc/20/36/72/699203672.db2.gz OLWWDVGBJIYEIT-CYBMUJFWSA-N -1 1 306.362 1.032 20 0 DDADMM O=S(=O)([N-][C@@H]1CCN(C2CC2)C1)c1ccc(Cl)nc1F ZINC000703102259 699235142 /nfs/dbraw/zinc/23/51/42/699235142.db2.gz VFXNXCAWUWZDHD-MRVPVSSYSA-N -1 1 319.789 1.389 20 0 DDADMM C[C@@H]1COC[C@@H]1NC(=O)c1ccc(Br)cc1[O-] ZINC000710850008 699255074 /nfs/dbraw/zinc/25/50/74/699255074.db2.gz CDTVULFQYILIEF-XCBNKYQSSA-N -1 1 300.152 1.919 20 0 DDADMM Cn1cc(CN2CC[C@](C)(C(=O)[O-])C2)c(Br)n1 ZINC000712399750 699262070 /nfs/dbraw/zinc/26/20/70/699262070.db2.gz DBUFLVQUAYEDPN-NSHDSACASA-N -1 1 302.172 1.479 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)(F)F)c1cccnc1Cl ZINC000717829900 699291312 /nfs/dbraw/zinc/29/13/12/699291312.db2.gz LSNCZIORNIHANC-LURJTMIESA-N -1 1 318.704 1.327 20 0 DDADMM O=S(=O)([N-][C@@H]1CNOC1)c1cc(Cl)sc1Cl ZINC000722490241 699318103 /nfs/dbraw/zinc/31/81/03/699318103.db2.gz OPPACGRZXWGHAQ-SCSAIBSYSA-N -1 1 303.192 1.237 20 0 DDADMM COc1cc(C(=O)NOC[C@@H]2CCOC2)cc(Cl)c1[O-] ZINC000788569679 699324541 /nfs/dbraw/zinc/32/45/41/699324541.db2.gz HVPOFVMULBLTAJ-MRVPVSSYSA-N -1 1 301.726 1.752 20 0 DDADMM Cc1cccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1O ZINC000788601128 699326293 /nfs/dbraw/zinc/32/62/93/699326293.db2.gz FPYPMWQIQRDOQW-JTQLQIEISA-N -1 1 305.363 1.144 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2ccc(Cl)nc2)n1 ZINC000726795684 699381262 /nfs/dbraw/zinc/38/12/62/699381262.db2.gz NSISSZULWXPGCN-LURJTMIESA-N -1 1 302.743 1.466 20 0 DDADMM CC(C)CS(=O)(=O)[N-]C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000789803446 699411500 /nfs/dbraw/zinc/41/15/00/699411500.db2.gz WNBMIRPATHHZIT-UHFFFAOYSA-N -1 1 308.363 1.187 20 0 DDADMM CCC[C@@H]1CCCC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000728310216 699443757 /nfs/dbraw/zinc/44/37/57/699443757.db2.gz NWJAJOXSYCIKNC-OLZOCXBDSA-N -1 1 307.394 1.402 20 0 DDADMM Cc1cc(C)cc([C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000730591812 699513105 /nfs/dbraw/zinc/51/31/05/699513105.db2.gz LFONWWHZXMTXHH-ZDUSSCGKSA-N -1 1 315.373 1.421 20 0 DDADMM COC(=O)c1ccc(OS(=O)(=O)c2c[n-]nc2C)c(C=O)c1 ZINC000732220211 699551263 /nfs/dbraw/zinc/55/12/63/699551263.db2.gz YXNCQDPIRAVNME-UHFFFAOYSA-N -1 1 324.314 1.085 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1nnc2ccccc2c1O ZINC000732267314 699552278 /nfs/dbraw/zinc/55/22/78/699552278.db2.gz WPVIMBPHKSPKLS-UHFFFAOYSA-N -1 1 313.302 1.087 20 0 DDADMM CSc1cccc(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000733144086 699580831 /nfs/dbraw/zinc/58/08/31/699580831.db2.gz NCODXFFGJNXBLO-UHFFFAOYSA-N -1 1 319.386 1.312 20 0 DDADMM COC[C@H](C)OC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000733707468 699612819 /nfs/dbraw/zinc/61/28/19/699612819.db2.gz ZHAJVQOUTWHOHB-VIFPVBQESA-N -1 1 319.379 1.548 20 0 DDADMM CC(=O)NC[C@H]1CN(C(=O)c2c([O-])cccc2Cl)CCO1 ZINC000735522786 699696365 /nfs/dbraw/zinc/69/63/65/699696365.db2.gz YXZRUQWGCXUQHU-JTQLQIEISA-N -1 1 312.753 1.023 20 0 DDADMM Cc1ccnc(C(=O)Nc2nc(Br)ccc2[O-])n1 ZINC000792477095 699697203 /nfs/dbraw/zinc/69/72/03/699697203.db2.gz ZFAAZOGBQHXUIK-UHFFFAOYSA-N -1 1 309.123 1.900 20 0 DDADMM Cc1cccc(CCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1 ZINC000737391546 699739361 /nfs/dbraw/zinc/73/93/61/699739361.db2.gz DPXQPBHONNQINA-CYBMUJFWSA-N -1 1 301.350 1.041 20 0 DDADMM O=C(c1cc2cc(F)ccc2[nH]1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000738249285 699755827 /nfs/dbraw/zinc/75/58/27/699755827.db2.gz VDQNYXJGDXCCRI-LBPRGKRZSA-N -1 1 316.296 1.034 20 0 DDADMM O=C(N[C@H](CO)Cc1ccncc1)c1c([O-])cccc1Cl ZINC000793940523 699788147 /nfs/dbraw/zinc/78/81/47/699788147.db2.gz HAIBKKGZECFMEG-NSHDSACASA-N -1 1 306.749 1.774 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CSc2cnccn2)cc1 ZINC000742204819 699866794 /nfs/dbraw/zinc/86/67/94/699866794.db2.gz QPEULRWAWLCMOA-UHFFFAOYSA-N -1 1 303.343 1.534 20 0 DDADMM CCC[C@H]1[C@@H](C)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000742802201 699891140 /nfs/dbraw/zinc/89/11/40/699891140.db2.gz KCCYZFYGGHGDRX-STQMWFEESA-N -1 1 307.394 1.354 20 0 DDADMM Cc1[nH]c(=O)[nH]c(=O)c1CCC(=O)Nc1c([O-])cccc1F ZINC000743416940 699911602 /nfs/dbraw/zinc/91/16/02/699911602.db2.gz UCOJPWPSCVMZBP-UHFFFAOYSA-N -1 1 307.281 1.612 20 0 DDADMM CCCCc1noc(COC(=O)c2ccc(C(=O)OC)[n-]2)n1 ZINC000796369319 699929897 /nfs/dbraw/zinc/92/98/97/699929897.db2.gz LTFDVEGYDXIBCY-UHFFFAOYSA-N -1 1 307.306 1.884 20 0 DDADMM CC(=O)NCCCOC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801202556 700277215 /nfs/dbraw/zinc/27/72/15/700277215.db2.gz FVPADDHDLQEHQT-UHFFFAOYSA-N -1 1 303.318 1.261 20 0 DDADMM CC(=O)c1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)n(C)c1 ZINC000751379378 700283880 /nfs/dbraw/zinc/28/38/80/700283880.db2.gz HRZJQRHOKBROCH-AWEZNQCLSA-N -1 1 324.344 1.260 20 0 DDADMM O=C(OCCn1ccnc1)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801325264 700297047 /nfs/dbraw/zinc/29/70/47/700297047.db2.gz ABOLJVQOCPGLTH-UHFFFAOYSA-N -1 1 316.292 1.771 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc4c(n3)CCCC4)ccnc1-2 ZINC000801703345 700332289 /nfs/dbraw/zinc/33/22/89/700332289.db2.gz ICJJDFSHBYNTJI-UHFFFAOYSA-N -1 1 307.357 1.868 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cn3ncc4ccccc43)ccnc1-2 ZINC000801703914 700333008 /nfs/dbraw/zinc/33/30/08/700333008.db2.gz YRMPAQZIWKRXKK-UHFFFAOYSA-N -1 1 306.329 1.330 20 0 DDADMM O=C([N-][C@@H]1COc2ccccc2C1=O)C(F)(F)c1nccs1 ZINC000756877692 700646789 /nfs/dbraw/zinc/64/67/89/700646789.db2.gz AITPMYYHOLMONI-SECBINFHSA-N -1 1 324.308 1.995 20 0 DDADMM CCN1CCN(Cn2[n-]c(-c3ccccn3)nc2=S)CC1=O ZINC000758276594 700696034 /nfs/dbraw/zinc/69/60/34/700696034.db2.gz NUUKDJZILILBJX-UHFFFAOYSA-N -1 1 318.406 1.124 20 0 DDADMM COC(=O)C[C@@H](C)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000759001412 700732786 /nfs/dbraw/zinc/73/27/86/700732786.db2.gz JJXMXSQZNASVCW-SECBINFHSA-N -1 1 320.297 1.741 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C2CCC(F)(F)CC2)nc1Cl ZINC000761336552 700857090 /nfs/dbraw/zinc/85/70/90/700857090.db2.gz GPTVSLRVUDHONM-UHFFFAOYSA-N -1 1 313.757 1.930 20 0 DDADMM CC[C@H](NC(=O)[C@@H](N)c1cccc(Br)c1)C(=O)[O-] ZINC000763029591 700920954 /nfs/dbraw/zinc/92/09/54/700920954.db2.gz PNPRZSSYZWDHPQ-UWVGGRQHSA-N -1 1 315.167 1.428 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C3=NC[C@H](C)S3)CC2)c([O-])c1 ZINC000763249537 700931467 /nfs/dbraw/zinc/93/14/67/700931467.db2.gz UISFPUJAWFJCOS-NSHDSACASA-N -1 1 320.418 1.345 20 0 DDADMM COc1cc(C(=O)NC[C@@H](O)c2ccncc2)cc(Cl)c1[O-] ZINC000763260536 700932393 /nfs/dbraw/zinc/93/23/93/700932393.db2.gz XASXLVYHVUSUGL-GFCCVEGCSA-N -1 1 322.748 1.913 20 0 DDADMM CO[C@]1(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)CCOC1 ZINC000764449057 700971020 /nfs/dbraw/zinc/97/10/20/700971020.db2.gz BRRNKEALMSVDMW-HNNXBMFYSA-N -1 1 309.366 1.149 20 0 DDADMM Cn1[n-]c(COC(=O)c2nc(Cl)ccc2Cl)nc1=O ZINC000765391120 701009373 /nfs/dbraw/zinc/00/93/73/701009373.db2.gz MITJQAIAXSQYQE-UHFFFAOYSA-N -1 1 303.105 1.167 20 0 DDADMM Cc1nc2ncnn2c(C)c1CCC(=O)[N-]OCC(F)(F)F ZINC000766773329 701062684 /nfs/dbraw/zinc/06/26/84/701062684.db2.gz CDUYNMIZGRMHBE-UHFFFAOYSA-N -1 1 317.271 1.284 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCCCS2)o1 ZINC000802923026 701068116 /nfs/dbraw/zinc/06/81/16/701068116.db2.gz AAIQIJZOJSADMA-SECBINFHSA-N -1 1 319.404 1.630 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2c[nH]c3cccc(F)c23)C1=O ZINC000769855654 701259408 /nfs/dbraw/zinc/25/94/08/701259408.db2.gz QVQXYJZFPJNLBK-HNNXBMFYSA-N -1 1 318.308 1.672 20 0 DDADMM CSc1nc(C)c(CCC(=O)O[C@@H]2CCO[C@@H]2C)c(=O)[n-]1 ZINC000771051523 701307096 /nfs/dbraw/zinc/30/70/96/701307096.db2.gz JWBDBHUUAUDHKE-MWLCHTKSSA-N -1 1 312.391 1.866 20 0 DDADMM O=C(COC(=O)c1cnccc1Cl)[N-]C(=O)c1ccccc1 ZINC000771071043 701308093 /nfs/dbraw/zinc/30/80/93/701308093.db2.gz ORDKALMFHZQFPD-UHFFFAOYSA-N -1 1 318.716 1.848 20 0 DDADMM O=C(C=C1CCSCC1)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000772143270 701344529 /nfs/dbraw/zinc/34/45/29/701344529.db2.gz WGBOUUJYLJRWEM-UHFFFAOYSA-N -1 1 319.382 1.940 20 0 DDADMM O=C(NCCS(=O)(=O)CC1CC1)c1c([O-])cccc1F ZINC000772166343 701344985 /nfs/dbraw/zinc/34/49/85/701344985.db2.gz CKSAKEOQTUTRAO-UHFFFAOYSA-N -1 1 301.339 1.086 20 0 DDADMM CCOC(=O)COCCOC(=O)c1c([O-])cc(F)cc1F ZINC000805430328 701381567 /nfs/dbraw/zinc/38/15/67/701381567.db2.gz WEFQWQRWGMYQIO-UHFFFAOYSA-N -1 1 304.245 1.407 20 0 DDADMM Cc1ccccc1CCNC(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805605352 701398445 /nfs/dbraw/zinc/39/84/45/701398445.db2.gz YNBQTYPCZAQWJN-LLVKDONJSA-N -1 1 302.334 1.017 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)N[C@H]1CCCc2ccccc21 ZINC000805605279 701398469 /nfs/dbraw/zinc/39/84/69/701398469.db2.gz HSMZALGSEWFPAH-LBPRGKRZSA-N -1 1 300.318 1.155 20 0 DDADMM C[C@H](CNC(=O)[C@H](C)OC(=O)c1cn[n-]n1)c1ccccc1 ZINC000805606883 701399652 /nfs/dbraw/zinc/39/96/52/701399652.db2.gz CIIJYRWPPXWRLL-MNOVXSKESA-N -1 1 302.334 1.270 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCC(C)(C)OC)c1 ZINC000867729416 701717433 /nfs/dbraw/zinc/71/74/33/701717433.db2.gz WOBLVVZOVOPYES-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM C[C@H](O)c1ncc(C(=O)[N-]c2n[nH]c(-c3ccncc3)n2)s1 ZINC000814651055 701736426 /nfs/dbraw/zinc/73/64/26/701736426.db2.gz XTKIJGRUWHAFRV-ZETCQYMHSA-N -1 1 316.346 1.629 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]1C1CCOCC1)c1c[nH]nc1Cl ZINC000867885407 701811216 /nfs/dbraw/zinc/81/12/16/701811216.db2.gz MRCWDKAYUXJDBK-DTWKUNHWSA-N -1 1 305.787 1.157 20 0 DDADMM Cc1conc1C(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000868444431 702131739 /nfs/dbraw/zinc/13/17/39/702131739.db2.gz MBBFWENOMWBWQS-SECBINFHSA-N -1 1 319.283 1.514 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCCCC12CCCC2 ZINC000812728036 702232252 /nfs/dbraw/zinc/23/22/52/702232252.db2.gz VRCOJVKXTHBMDC-ZDUSSCGKSA-N -1 1 319.405 1.546 20 0 DDADMM O=C(N[C@H]1CCCn2nccc21)c1ccc2n[n-]c(=S)n2c1 ZINC000831181869 706651839 /nfs/dbraw/zinc/65/18/39/706651839.db2.gz AFOVXJZYYYZTAS-JTQLQIEISA-N -1 1 314.374 1.479 20 0 DDADMM O=C([N-]CC1CN(c2ncnc3ccsc32)C1)C(F)(F)F ZINC000866294035 706666997 /nfs/dbraw/zinc/66/69/97/706666997.db2.gz JRPWNAZRIZNVAD-UHFFFAOYSA-N -1 1 316.308 1.806 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)CC1(C)CCCCC1 ZINC000841535180 702485153 /nfs/dbraw/zinc/48/51/53/702485153.db2.gz PZWHEPKWQQLNTJ-LLVKDONJSA-N -1 1 303.424 1.828 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1C[C@@H]2COC[C@@H]2O1 ZINC000831272781 706675685 /nfs/dbraw/zinc/67/56/85/706675685.db2.gz FAAWEFNPQQYNKE-WOPDTQHZSA-N -1 1 321.377 1.148 20 0 DDADMM CC[C@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000869212990 702512972 /nfs/dbraw/zinc/51/29/72/702512972.db2.gz CDGKWVCTMZDOKZ-JTQLQIEISA-N -1 1 306.366 1.196 20 0 DDADMM Cc1cc(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)c(C)[nH]1 ZINC000869345873 702576721 /nfs/dbraw/zinc/57/67/21/702576721.db2.gz DSVJOFRQNUBYRF-UHFFFAOYSA-N -1 1 320.267 1.426 20 0 DDADMM Cc1cc(C[N-]C(=O)C(F)(F)F)cc(N[C@H](C)C(N)=O)c1 ZINC000842156076 702677797 /nfs/dbraw/zinc/67/77/97/702677797.db2.gz KTSDRYHYGSEFSF-MRVPVSSYSA-N -1 1 303.284 1.459 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1 ZINC000831368312 706694423 /nfs/dbraw/zinc/69/44/23/706694423.db2.gz KHKMZJOCTGUBFI-SFYZADRCSA-N -1 1 321.786 1.073 20 0 DDADMM C[C@@H]1CCC[C@@H]1CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014953 702803980 /nfs/dbraw/zinc/80/39/80/702803980.db2.gz JEYSCCUXRIWONW-CHWSQXEVSA-N -1 1 316.467 1.743 20 0 DDADMM COCCN1[C@H](C)CN(C(=O)c2ncc(C)cc2[O-])C[C@@H]1C ZINC000844021578 702956604 /nfs/dbraw/zinc/95/66/04/702956604.db2.gz CPZCVKGONRNLIC-BETUJISGSA-N -1 1 307.394 1.277 20 0 DDADMM Cc1nc(COC(C)(C)C)oc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000845273077 703137322 /nfs/dbraw/zinc/13/73/22/703137322.db2.gz JSCJRVXBUQTNBB-UHFFFAOYSA-N -1 1 324.337 1.077 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1cnc(OC)c(Cl)c1 ZINC000846271999 703259081 /nfs/dbraw/zinc/25/90/81/703259081.db2.gz RZYHVBGGIGZVCY-UHFFFAOYSA-N -1 1 302.758 1.667 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@@]1(C)C[C@@]1(F)Cl ZINC000846403997 703271797 /nfs/dbraw/zinc/27/17/97/703271797.db2.gz JBMFBQCYZIFOLS-RYUDHWBXSA-N -1 1 319.720 1.331 20 0 DDADMM CN1CC[C@@H]([N-]S(=O)(=O)c2cc(Cl)cnc2Cl)C1 ZINC000866580194 706734750 /nfs/dbraw/zinc/73/47/50/706734750.db2.gz AWEFSTFQBBSYJU-MRVPVSSYSA-N -1 1 310.206 1.371 20 0 DDADMM C[C@@H](CCO)N(C)C(=O)c1ccc(Br)c([O-])c1 ZINC000846602318 703302337 /nfs/dbraw/zinc/30/23/37/703302337.db2.gz RFWSYUIVPYEZBW-QMMMGPOBSA-N -1 1 302.168 1.998 20 0 DDADMM Cc1cnccc1C=CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847091173 703376774 /nfs/dbraw/zinc/37/67/74/703376774.db2.gz MQJPJFPLPNDVMT-IHVVCDCBSA-N -1 1 314.345 1.898 20 0 DDADMM C[C@H]1C[C@H]1CNC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000847289738 703397189 /nfs/dbraw/zinc/39/71/89/703397189.db2.gz WUNROWDRSWBLEA-ZRUFSTJUSA-N -1 1 321.343 1.883 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@]1(C)CCCO1 ZINC000848340997 703540881 /nfs/dbraw/zinc/54/08/81/703540881.db2.gz WEEMFSSHWIOPBS-BREBYQMCSA-N -1 1 322.327 1.614 20 0 DDADMM CCCN1CCN(C(=O)c2cccc(OCC(=O)[O-])c2)CC1 ZINC000851691203 703831234 /nfs/dbraw/zinc/83/12/34/703831234.db2.gz RNCCRDADTGXEST-UHFFFAOYSA-N -1 1 306.362 1.318 20 0 DDADMM C[C@H](COC(=O)Cc1ccc([O-])c(Cl)c1)CS(C)(=O)=O ZINC000869736950 703839319 /nfs/dbraw/zinc/83/93/19/703839319.db2.gz WHVHBQQTRGARCP-SECBINFHSA-N -1 1 320.794 1.812 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CCSC(C)(C)C ZINC000866718344 706774399 /nfs/dbraw/zinc/77/43/99/706774399.db2.gz GWBJTXXNYUTXJU-MRXNPFEDSA-N -1 1 302.487 1.470 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN1Cc1ccc(-c2cc[nH]n2)o1 ZINC000818629404 703947239 /nfs/dbraw/zinc/94/72/39/703947239.db2.gz DQMPMDQVWSTRPO-OAHLLOKOSA-N -1 1 305.334 1.735 20 0 DDADMM O=c1cc(CN2CC[C@@H](O)C(F)(F)C2)c2ccc([O-])cc2o1 ZINC000852412885 704038444 /nfs/dbraw/zinc/03/84/44/704038444.db2.gz LBIOWQONJNTPKM-CYBMUJFWSA-N -1 1 311.284 1.701 20 0 DDADMM COC(=O)[C@H](CC(C)C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879765171 706785878 /nfs/dbraw/zinc/78/58/78/706785878.db2.gz BMTRGRZFHDCKLB-GXFFZTMASA-N -1 1 324.343 1.717 20 0 DDADMM CCN(CC)C(=O)[C@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763558 706784671 /nfs/dbraw/zinc/78/46/71/706784671.db2.gz OUQWKXIDXMKRDD-GXFFZTMASA-N -1 1 323.359 1.386 20 0 DDADMM CCc1nnc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)[nH]1 ZINC000852757054 704116611 /nfs/dbraw/zinc/11/66/11/704116611.db2.gz IFJVSILSPHAIIL-BDAKNGLRSA-N -1 1 319.331 1.256 20 0 DDADMM Cc1c(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)cnn1C ZINC000852757420 704116667 /nfs/dbraw/zinc/11/66/67/704116667.db2.gz DNSMSYFVUZTTEH-SKDRFNHKSA-N -1 1 318.343 1.617 20 0 DDADMM CC(C)(O)CO[N-]C(=O)[C@@H](c1ccccc1)N1CCC(O)CC1 ZINC000819542606 704139802 /nfs/dbraw/zinc/13/98/02/704139802.db2.gz YWKMHAAHQUEZNQ-OAHLLOKOSA-N -1 1 322.405 1.003 20 0 DDADMM O=C(N1CCC(c2n[n-]c(=O)o2)CC1)C(F)(F)C1(O)CCC1 ZINC000819681389 704158145 /nfs/dbraw/zinc/15/81/45/704158145.db2.gz VSXONZJOGWNUSS-UHFFFAOYSA-N -1 1 317.292 1.032 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](CCO)C2CC2)c(F)c1 ZINC000819876498 704179302 /nfs/dbraw/zinc/17/93/02/704179302.db2.gz WCRNWLGMCSPJSP-GFCCVEGCSA-N -1 1 305.346 1.713 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000819875089 704179548 /nfs/dbraw/zinc/17/95/48/704179548.db2.gz YTQVKQXZQSVACZ-UHFFFAOYSA-N -1 1 321.345 1.895 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2C[C@H](C)OC2=O)c(F)c1 ZINC000819881009 704180137 /nfs/dbraw/zinc/18/01/37/704180137.db2.gz AIMFIZSMOTXQOA-OIBJUYFYSA-N -1 1 305.302 1.256 20 0 DDADMM CCOC1CC(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)C1 ZINC000819970831 704194710 /nfs/dbraw/zinc/19/47/10/704194710.db2.gz UBMWEJRQXKSJDL-CXQJBGSLSA-N -1 1 309.366 1.686 20 0 DDADMM CC(C)n1nccc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000820220135 704242153 /nfs/dbraw/zinc/24/21/53/704242153.db2.gz NYBWSUNCTUTFPL-UHFFFAOYSA-N -1 1 313.321 1.396 20 0 DDADMM CC(C)n1nccc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000820220135 704242155 /nfs/dbraw/zinc/24/21/55/704242155.db2.gz NYBWSUNCTUTFPL-UHFFFAOYSA-N -1 1 313.321 1.396 20 0 DDADMM COC(C)(C)CCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000871256714 704255945 /nfs/dbraw/zinc/25/59/45/704255945.db2.gz ZFLMECMWOJUEEN-UHFFFAOYSA-N -1 1 320.393 1.526 20 0 DDADMM CCn1ncn([N-]C(=O)c2cc(C3CCCCC3)[nH]n2)c1=O ZINC000820395836 704271595 /nfs/dbraw/zinc/27/15/95/704271595.db2.gz FPWNEHNRXGRDPU-UHFFFAOYSA-N -1 1 304.354 1.219 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@@](C)(C(F)(F)F)C2)c([O-])c1 ZINC000871437940 704294909 /nfs/dbraw/zinc/29/49/09/704294909.db2.gz LISJTJDITDBMOA-GFCCVEGCSA-N -1 1 304.268 1.889 20 0 DDADMM O=C(NCC[C@@H]1CNC(=O)C1)c1c([O-])cnc2c(F)cccc21 ZINC000831928768 706805516 /nfs/dbraw/zinc/80/55/16/706805516.db2.gz DEHKRBDGOJSPIU-VIFPVBQESA-N -1 1 317.320 1.336 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]Cc1ccccc1Cl ZINC000820749027 704327101 /nfs/dbraw/zinc/32/71/01/704327101.db2.gz ULPTVZYHPQRATL-UHFFFAOYSA-N -1 1 322.839 1.936 20 0 DDADMM CCOCc1ccccc1C[N-]S(=O)(=O)N=S(C)(C)=O ZINC000820785474 704330610 /nfs/dbraw/zinc/33/06/10/704330610.db2.gz XNWCMJXLGVPKML-UHFFFAOYSA-N -1 1 320.436 1.285 20 0 DDADMM O=C(c1ccnc(F)c1F)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342456 704382316 /nfs/dbraw/zinc/38/23/16/704382316.db2.gz UCUBPJKNEGDDHN-UHFFFAOYSA-N -1 1 310.260 1.468 20 0 DDADMM CC(=O)N1C[C@@H](C(=O)Nc2c(C)[n-][nH]c2=O)Oc2ccccc21 ZINC000854635919 704430614 /nfs/dbraw/zinc/43/06/14/704430614.db2.gz ZGTOOIYACLHIMX-LBPRGKRZSA-N -1 1 316.317 1.176 20 0 DDADMM CO[C@H](CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O)[C@H]1CCOC1 ZINC000855205262 704472827 /nfs/dbraw/zinc/47/28/27/704472827.db2.gz DVZPZXGCKDEOSO-NWDGAFQWSA-N -1 1 323.393 1.395 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1nnc(C2CC2)n1C ZINC000855253076 704475473 /nfs/dbraw/zinc/47/54/73/704475473.db2.gz YIDNMAAWIVQLPV-UHFFFAOYSA-N -1 1 316.365 1.872 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)C[C@@H]1COCCO1 ZINC000855682663 704497203 /nfs/dbraw/zinc/49/72/03/704497203.db2.gz QZFREOXILXBDES-LLVKDONJSA-N -1 1 309.366 1.101 20 0 DDADMM O=C(N[C@@H]1C[C@H](O)C12CCC2)c1ccc2n[n-]c(=S)n2c1 ZINC000855919517 704506771 /nfs/dbraw/zinc/50/67/71/704506771.db2.gz DNCJRQNMICUHDJ-ZJUUUORDSA-N -1 1 304.375 1.051 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2CCCO2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856417890 704528182 /nfs/dbraw/zinc/52/81/82/704528182.db2.gz MDUSFLDSMZHNAQ-UTLUCORTSA-N -1 1 308.300 1.081 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2cscc2C(F)(F)F)C1=O ZINC000857169812 704558267 /nfs/dbraw/zinc/55/82/67/704558267.db2.gz WFHHLURRTICDFN-UHFFFAOYSA-N -1 1 307.253 1.306 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)OCCCO1 ZINC000867185917 706918535 /nfs/dbraw/zinc/91/85/35/706918535.db2.gz DLQHMUGFMMGSLF-UHFFFAOYSA-N -1 1 324.761 1.306 20 0 DDADMM O=C(NCC1CC1)[C@@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858353895 704699137 /nfs/dbraw/zinc/69/91/37/704699137.db2.gz JUZGJFSNYIMSDH-SNVBAGLBSA-N -1 1 310.785 1.578 20 0 DDADMM C[C@H]1CCC[C@H]1C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867224079 706930021 /nfs/dbraw/zinc/93/00/21/706930021.db2.gz FICOSVHGBIVFNJ-YUMQZZPRSA-N -1 1 322.228 1.887 20 0 DDADMM COC(=O)N1CCC(CNc2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858544211 704724981 /nfs/dbraw/zinc/72/49/81/704724981.db2.gz RYRAIWKLJXTVGS-UHFFFAOYSA-N -1 1 300.746 1.726 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)[C@H]1CCN(C(=O)c2ccccc2)C1 ZINC000858988259 704781051 /nfs/dbraw/zinc/78/10/51/704781051.db2.gz ZWSBPUAKSOVSNW-LSDHHAIUSA-N -1 1 318.373 1.723 20 0 DDADMM COCOCCC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000859383481 704885844 /nfs/dbraw/zinc/88/58/44/704885844.db2.gz RSHGMZKDCJKVBR-UHFFFAOYSA-N -1 1 313.297 1.393 20 0 DDADMM O=C([N-]OC1CCCCC1)[C@@H]1CNC(=O)C[C@H]1C(F)(F)F ZINC000822155168 704887205 /nfs/dbraw/zinc/88/72/05/704887205.db2.gz LBZSOISDTPLKDR-NXEZZACHSA-N -1 1 308.300 1.682 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)Nc2nnn[n-]2)cn1 ZINC000859446999 704905518 /nfs/dbraw/zinc/90/55/18/704905518.db2.gz NOBFINOHWRZVNS-UHFFFAOYSA-N -1 1 305.298 1.194 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)Nc2nn[n-]n2)cn1 ZINC000859446999 704905522 /nfs/dbraw/zinc/90/55/22/704905522.db2.gz NOBFINOHWRZVNS-UHFFFAOYSA-N -1 1 305.298 1.194 20 0 DDADMM CN(C)Cc1ccc(NCc2cc(C(=O)[O-])nn2C)cc1F ZINC000874039349 704923337 /nfs/dbraw/zinc/92/33/37/704923337.db2.gz IQXPKMLTEWYVJR-UHFFFAOYSA-N -1 1 306.341 1.931 20 0 DDADMM C[C@@H]1C[C@@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)[C@@H](C)O1 ZINC000867404338 706989706 /nfs/dbraw/zinc/98/97/06/706989706.db2.gz LVBMSKTZPRRVGV-HLTSFMKQSA-N -1 1 306.334 1.452 20 0 DDADMM CCOC(=O)[C@@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)C(C)C ZINC000874147816 704952696 /nfs/dbraw/zinc/95/26/96/704952696.db2.gz NKVGDJUXCOEALS-ZCFIWIBFSA-N -1 1 308.260 1.597 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@H](C)c1cnccn1 ZINC000867462906 707009408 /nfs/dbraw/zinc/00/94/08/707009408.db2.gz JLURIMIZKXQHRQ-SSDOTTSWSA-N -1 1 315.786 1.211 20 0 DDADMM CCn1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1C ZINC000822699469 705011380 /nfs/dbraw/zinc/01/13/80/705011380.db2.gz BQVSWJJHTZDDTH-UHFFFAOYSA-N -1 1 304.350 1.925 20 0 DDADMM CN(C)C1(C(=O)Nc2nc(Br)ccc2[O-])CC1 ZINC000834298204 707024516 /nfs/dbraw/zinc/02/45/16/707024516.db2.gz LKGLRYRPRISCRK-UHFFFAOYSA-N -1 1 300.156 1.582 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCC[C@H]2C[C@H]21 ZINC000867515088 707024789 /nfs/dbraw/zinc/02/47/89/707024789.db2.gz IHRMXWGXXSTEDW-SDDRHHMPSA-N -1 1 308.469 1.765 20 0 DDADMM CC(C)(F)CC[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867515449 707024972 /nfs/dbraw/zinc/02/49/72/707024972.db2.gz WDSCUCWJNAUWJR-UHFFFAOYSA-N -1 1 314.180 1.589 20 0 DDADMM CCC[C@@H](NC(=O)c1cnn(C(C)C)c1Cl)c1nn[n-]n1 ZINC000859944836 705049151 /nfs/dbraw/zinc/04/91/51/705049151.db2.gz DWMVQSMXWDGRAS-SECBINFHSA-N -1 1 311.777 1.902 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3C(C)(C)C3(F)F)ccnc1-2 ZINC000823058242 705126439 /nfs/dbraw/zinc/12/64/39/705126439.db2.gz MMIUHYNHDLLLNR-JTQLQIEISA-N -1 1 309.320 1.755 20 0 DDADMM CC[C@H](C(=O)NCc1nn[n-]n1)c1ccc(Br)cc1 ZINC000860837912 705296142 /nfs/dbraw/zinc/29/61/42/705296142.db2.gz IYOXIZCDCXSSKB-JTQLQIEISA-N -1 1 324.182 1.772 20 0 DDADMM C[C@@H]1CC[C@H](CNC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000875551418 705426927 /nfs/dbraw/zinc/42/69/27/705426927.db2.gz VELVVDDALWKDEW-ZJUUUORDSA-N -1 1 321.343 1.743 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCC2=CCCCC2)C1)C(F)(F)F ZINC000875628591 705454401 /nfs/dbraw/zinc/45/44/01/705454401.db2.gz IAODBRNCRNJWNO-UHFFFAOYSA-N -1 1 319.327 1.807 20 0 DDADMM CC(C)[C@@]1(C)C[C@H]1NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875660434 705466853 /nfs/dbraw/zinc/46/68/53/705466853.db2.gz KLZJOWNQZOHXMK-ZWNOBZJWSA-N -1 1 321.343 1.741 20 0 DDADMM CON(C)CCCNC(=O)c1ccc(Br)cc1[O-] ZINC000824551988 705478880 /nfs/dbraw/zinc/47/88/80/705478880.db2.gz XAEMDQYAIMYNDA-UHFFFAOYSA-N -1 1 317.183 1.768 20 0 DDADMM C[C@H]1C[C@@H](CCNC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000824875967 705549504 /nfs/dbraw/zinc/54/95/04/705549504.db2.gz PWTXYRJDAHSJPY-QWRGUYRKSA-N -1 1 316.365 1.197 20 0 DDADMM C[C@H]1C[C@@H](CCNC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000824875967 705549507 /nfs/dbraw/zinc/54/95/07/705549507.db2.gz PWTXYRJDAHSJPY-QWRGUYRKSA-N -1 1 316.365 1.197 20 0 DDADMM CC[C@H]1C[C@H](OC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000825184621 705619055 /nfs/dbraw/zinc/61/90/55/705619055.db2.gz WUEMAQVXXSETBN-WDEREUQCSA-N -1 1 303.322 1.376 20 0 DDADMM CC[C@H]1C[C@H](OC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000825184621 705619057 /nfs/dbraw/zinc/61/90/57/705619057.db2.gz WUEMAQVXXSETBN-WDEREUQCSA-N -1 1 303.322 1.376 20 0 DDADMM O=C(OCCN1CCOCC1)c1nn(-c2ccccc2)cc1[O-] ZINC000825224433 705628996 /nfs/dbraw/zinc/62/89/96/705628996.db2.gz UPFZLDXVEGAZDH-UHFFFAOYSA-N -1 1 317.345 1.067 20 0 DDADMM CCOCCOC1CN(Cc2ccc(-c3nn[n-]n3)s2)C1 ZINC000825599880 705700812 /nfs/dbraw/zinc/70/08/12/705700812.db2.gz SYIQMHZKZVQMII-UHFFFAOYSA-N -1 1 309.395 1.166 20 0 DDADMM C[C@H]1CN(C)C(=O)CN1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876395984 705707981 /nfs/dbraw/zinc/70/79/81/705707981.db2.gz SSIFHMGLUDYIQV-VIFPVBQESA-N -1 1 311.769 1.418 20 0 DDADMM CN(Cc1ccc(-c2nn[n-]n2)s1)Cc1ncc(Cl)n1C ZINC000825966271 705752870 /nfs/dbraw/zinc/75/28/70/705752870.db2.gz VUZCMQVJAVDCTB-UHFFFAOYSA-N -1 1 323.813 1.947 20 0 DDADMM Cc1onc(CC(=O)NC2(C)CCC(C)CC2)c1-c1nnn[n-]1 ZINC000826346570 705794530 /nfs/dbraw/zinc/79/45/30/705794530.db2.gz POVSXDYGNLMMDE-UHFFFAOYSA-N -1 1 318.381 1.791 20 0 DDADMM Cc1onc(CC(=O)NC2(C)CCC(C)CC2)c1-c1nn[n-]n1 ZINC000826346570 705794532 /nfs/dbraw/zinc/79/45/32/705794532.db2.gz POVSXDYGNLMMDE-UHFFFAOYSA-N -1 1 318.381 1.791 20 0 DDADMM O=C1c2ccc(F)cc2C(=O)N1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826483449 705803672 /nfs/dbraw/zinc/80/36/72/705803672.db2.gz NXDYXWAINMFAKK-UHFFFAOYSA-N -1 1 324.275 1.197 20 0 DDADMM O=C1c2ccc(F)cc2C(=O)N1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826483449 705803678 /nfs/dbraw/zinc/80/36/78/705803678.db2.gz NXDYXWAINMFAKK-UHFFFAOYSA-N -1 1 324.275 1.197 20 0 DDADMM CCC(O)(CC)CN(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000863620422 705968275 /nfs/dbraw/zinc/96/82/75/705968275.db2.gz DGWBWUMLAFWBAJ-UHFFFAOYSA-N -1 1 308.407 1.641 20 0 DDADMM C[C@H]1COC2(CCC2)CN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000864067837 706059092 /nfs/dbraw/zinc/05/90/92/706059092.db2.gz LDFZADHCDYLYAC-JTQLQIEISA-N -1 1 303.362 1.843 20 0 DDADMM CC(C)c1cc(C(=O)N2CCc3occc3[C@@H]2C(=O)[O-])n[nH]1 ZINC000864167950 706087799 /nfs/dbraw/zinc/08/77/99/706087799.db2.gz GNYNGWTUVINVHZ-CYBMUJFWSA-N -1 1 303.318 1.950 20 0 DDADMM Cc1oc(C(C)(C)C)cc1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000827962028 706094411 /nfs/dbraw/zinc/09/44/11/706094411.db2.gz XUXOODJUEFFCBW-NSHDSACASA-N -1 1 319.365 1.612 20 0 DDADMM CC(C)n1cc(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)nn1 ZINC000877775964 706206896 /nfs/dbraw/zinc/20/68/96/706206896.db2.gz VEIFTNLERNZETI-LBPRGKRZSA-N -1 1 319.331 1.502 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCn1cccc1 ZINC000872458491 707422956 /nfs/dbraw/zinc/42/29/56/707422956.db2.gz KKMPWVOALFPAFH-GOSISDBHSA-N -1 1 307.441 1.219 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC([C@H](O)c2ccccc2)CC1 ZINC000864817810 706274904 /nfs/dbraw/zinc/27/49/04/706274904.db2.gz DURJNLHWBXUWLR-MRXNPFEDSA-N -1 1 315.377 1.105 20 0 DDADMM CC[C@H](CSC)[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872470149 707430650 /nfs/dbraw/zinc/43/06/50/707430650.db2.gz GCPZFBDDYIUKGR-VVVCHXIZSA-N -1 1 316.514 1.859 20 0 DDADMM CCN(CC(=O)N[C@]1(C(=O)[O-])CCc2ccccc21)C1CC1 ZINC000908976347 712906908 /nfs/dbraw/zinc/90/69/08/712906908.db2.gz WXAWQRLFYLVKFR-QGZVFWFLSA-N -1 1 302.374 1.513 20 0 DDADMM Cc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(F)c1F ZINC000865596255 706473134 /nfs/dbraw/zinc/47/31/34/706473134.db2.gz XBMSUFCARZRMIG-SECBINFHSA-N -1 1 309.276 1.000 20 0 DDADMM CC1CCN(CC(=O)N[C@]2(C(=O)[O-])CCc3ccccc32)CC1 ZINC000908979099 712907414 /nfs/dbraw/zinc/90/74/14/712907414.db2.gz RUUMKCVGVPKFCI-GOSISDBHSA-N -1 1 316.401 1.761 20 0 DDADMM C/C=C/C[C@@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OCC ZINC000882070681 707485935 /nfs/dbraw/zinc/48/59/35/707485935.db2.gz BGDJZVDABZUCMV-RXNUUUNCSA-N -1 1 316.354 1.397 20 0 DDADMM CC[S@@](=O)CC[N-]S(=O)(=O)c1sccc1Cl ZINC000830445767 706519003 /nfs/dbraw/zinc/51/90/03/706519003.db2.gz PDIYJVYKXBXUDV-OAHLLOKOSA-N -1 1 301.842 1.448 20 0 DDADMM CCOC(C)(C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830790607 706580489 /nfs/dbraw/zinc/58/04/89/706580489.db2.gz YKLHEPFSKLVZPQ-GFCCVEGCSA-N -1 1 310.316 1.471 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CO[C@@H](CCC(=O)OC(C)(C)C)C1 ZINC000879087962 706584759 /nfs/dbraw/zinc/58/47/59/706584759.db2.gz QIGZZRXVGRRNJA-NEPJUHHUSA-N -1 1 317.382 1.208 20 0 DDADMM CCc1cc(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)n[nH]1 ZINC000830833656 706589241 /nfs/dbraw/zinc/58/92/41/706589241.db2.gz RVVLKEYSTPIHBE-GFCCVEGCSA-N -1 1 318.299 1.255 20 0 DDADMM O=C(Cc1cccnc1Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000866901260 706839666 /nfs/dbraw/zinc/83/96/66/706839666.db2.gz BMVPGHXBNJIMKT-SNVBAGLBSA-N -1 1 306.757 1.197 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CC2(C3CC3)CC2)c1C(F)(F)F ZINC000867001754 706869125 /nfs/dbraw/zinc/86/91/25/706869125.db2.gz IBVJMLDOBOCTTL-UHFFFAOYSA-N -1 1 323.340 1.907 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CCSCC(C)C ZINC000867016285 706873024 /nfs/dbraw/zinc/87/30/24/706873024.db2.gz RGMXEPPDPMJFQH-INIZCTEOSA-N -1 1 302.487 1.328 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C)C(=O)OCC(C)C)sn1 ZINC000867029405 706876933 /nfs/dbraw/zinc/87/69/33/706876933.db2.gz NRDACGPQYHKTEJ-VIFPVBQESA-N -1 1 306.409 1.318 20 0 DDADMM CC[C@@H](CC(F)(F)F)[N-]S(=O)(=O)N=[S@](C)(=O)CC ZINC000867106721 706898071 /nfs/dbraw/zinc/89/80/71/706898071.db2.gz DOCYTUVSWAICST-BWKAKNAASA-N -1 1 310.363 1.670 20 0 DDADMM C[C@@H]1COCC[C@H]1C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867263435 706941475 /nfs/dbraw/zinc/94/14/75/706941475.db2.gz MUCPERPXSMNYFT-BDAKNGLRSA-N -1 1 322.789 1.825 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C(=O)c1cccc2[nH]cnc21 ZINC000909009214 712915200 /nfs/dbraw/zinc/91/52/00/712915200.db2.gz NKPKLDLQKNAZCJ-VIFPVBQESA-N -1 1 305.359 1.595 20 0 DDADMM COc1ccc(F)c(OC)c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000867380230 706981393 /nfs/dbraw/zinc/98/13/93/706981393.db2.gz DDASGUSYBBJSPA-MRVPVSSYSA-N -1 1 323.328 1.232 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc2cccc(O)c21)c1nn[n-]n1 ZINC000867383550 706983024 /nfs/dbraw/zinc/98/30/24/706983024.db2.gz XPQNRUBYQJIWFU-SNVBAGLBSA-N -1 1 311.345 1.934 20 0 DDADMM COCC[C@H](C)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867400448 706988240 /nfs/dbraw/zinc/98/82/40/706988240.db2.gz BJTZCNSLIQMBHX-QMMMGPOBSA-N -1 1 310.778 1.825 20 0 DDADMM CCOC(=O)C1(S(=O)(=O)[N-]CC(=O)C2CCCC2)CCC1 ZINC000867564945 707041522 /nfs/dbraw/zinc/04/15/22/707041522.db2.gz ITAVGGRPXGJUJA-UHFFFAOYSA-N -1 1 317.407 1.151 20 0 DDADMM O=S(=O)([N-][C@@H](C1CCC1)[C@H]1CCCO1)c1c[nH]nc1Cl ZINC000867679065 707071583 /nfs/dbraw/zinc/07/15/83/707071583.db2.gz PHADDCSLWIDCFR-KOLCDFICSA-N -1 1 319.814 1.689 20 0 DDADMM CCCNC(=O)[C@H](C)O[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871799596 707208483 /nfs/dbraw/zinc/20/84/83/707208483.db2.gz QARWWVKYBFZKDY-LBPRGKRZSA-N -1 1 308.378 1.590 20 0 DDADMM O=C1N[C@@H](C2CCOCC2)C(=O)N1Cc1ccc([O-])c(Cl)c1 ZINC000871911255 707242114 /nfs/dbraw/zinc/24/21/14/707242114.db2.gz FUFOZJMEIWZJLZ-ZDUSSCGKSA-N -1 1 324.764 1.893 20 0 DDADMM CC[C@@H](C)[C@@H](COC(=O)c1coc(S(=O)(=O)[N-]C)c1)OC ZINC000835627549 707298820 /nfs/dbraw/zinc/29/88/20/707298820.db2.gz NGDSDFGQNXOVHI-MWLCHTKSSA-N -1 1 319.379 1.406 20 0 DDADMM COC(=O)[C@@H]1CC(F)(F)CN1C(=O)c1ccc([O-])cc1F ZINC000881729713 707340309 /nfs/dbraw/zinc/34/03/09/707340309.db2.gz VTAHDLFQNVQWQY-JTQLQIEISA-N -1 1 303.236 1.554 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCCS1 ZINC000872461753 707425555 /nfs/dbraw/zinc/42/55/55/707425555.db2.gz WEJZNWNPGYINFK-XYZCENFISA-N -1 1 314.498 1.613 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-]Cc1cc(CC)no1 ZINC000881930970 707430490 /nfs/dbraw/zinc/43/04/90/707430490.db2.gz IHNFTDCAWSZURY-LBPRGKRZSA-N -1 1 318.395 1.388 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CC1=CCCOC1 ZINC000872487625 707440620 /nfs/dbraw/zinc/44/06/20/707440620.db2.gz AVRZSHOKIVSMGY-SFHVURJKSA-N -1 1 310.441 1.064 20 0 DDADMM CC(C)(F)CC[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872504255 707450807 /nfs/dbraw/zinc/45/08/07/707450807.db2.gz ICKRVBXGYPIGEK-KRWDZBQOSA-N -1 1 302.437 1.855 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2C[C@H]3CCC[C@H]3O2)sc1C ZINC000872556400 707477611 /nfs/dbraw/zinc/47/76/11/707477611.db2.gz LVQCTWCRKVFPOT-GRYCIOLGSA-N -1 1 316.448 1.996 20 0 DDADMM O=C([N-]CC1CCN(C(=O)NOC2CCC2)CC1)C(F)(F)F ZINC000836572170 707482368 /nfs/dbraw/zinc/48/23/68/707482368.db2.gz KULIYDVLYVZEIQ-UHFFFAOYSA-N -1 1 323.315 1.571 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3C[C@H](C4CC4)[C@@H]3C3CC3)ccnc1-2 ZINC000836577082 707482995 /nfs/dbraw/zinc/48/29/95/707482995.db2.gz ZODHWYDBNLXMCV-HIFRSBDPSA-N -1 1 311.389 1.994 20 0 DDADMM COCC1(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)CCCC1 ZINC000836576823 707483277 /nfs/dbraw/zinc/48/32/77/707483277.db2.gz YDYALFLMPPJWCM-UHFFFAOYSA-N -1 1 317.393 1.670 20 0 DDADMM CCC(CC)N1C[C@H](C(=O)[N-]O[C@@H](CO)C(C)C)CC1=O ZINC000836899827 707545541 /nfs/dbraw/zinc/54/55/41/707545541.db2.gz KZETUNJRCFNWGC-YPMHNXCESA-N -1 1 300.399 1.088 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-][C@@H](C)c1csnn1 ZINC000882263549 707562943 /nfs/dbraw/zinc/56/29/43/707562943.db2.gz HLVMOQWAOVXLFL-WCBMZHEXSA-N -1 1 321.424 1.250 20 0 DDADMM COC[C@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000837165908 707589340 /nfs/dbraw/zinc/58/93/40/707589340.db2.gz AWZWRLKKWAIKLS-NSHDSACASA-N -1 1 320.418 1.907 20 0 DDADMM CCc1cc(CNC(=O)C[C@@H](C(=O)[O-])c2ccccc2)n[nH]1 ZINC000872930104 707658858 /nfs/dbraw/zinc/65/88/58/707658858.db2.gz FWZLEMNALUSITL-CQSZACIVSA-N -1 1 301.346 1.847 20 0 DDADMM C[C@@]1(CNC(=O)NCc2ccc([O-])c(Cl)c2)CCC(=O)N1 ZINC000873037467 707703303 /nfs/dbraw/zinc/70/33/03/707703303.db2.gz DCRIZYYVDQDGEP-AWEZNQCLSA-N -1 1 311.769 1.514 20 0 DDADMM CC(C)(C(=O)OCc1cc(=O)[nH]c(C2CC2)n1)N1CCCC1 ZINC000837730126 707729890 /nfs/dbraw/zinc/72/98/90/707729890.db2.gz YHQBKCLTDWHFMH-UHFFFAOYSA-N -1 1 305.378 1.977 20 0 DDADMM Cc1c(F)cccc1[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC000882708747 707755972 /nfs/dbraw/zinc/75/59/72/707755972.db2.gz VEZFBYCCPWPDHU-SFHVURJKSA-N -1 1 309.388 1.365 20 0 DDADMM O=C(/C=C/[C@H]1CCOC1)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000838051942 707821916 /nfs/dbraw/zinc/82/19/16/707821916.db2.gz UDBQMXIZOQFPSL-SYTKJHMZSA-N -1 1 303.314 1.079 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCC[C@H](O)C(C)C ZINC000882945850 707866012 /nfs/dbraw/zinc/86/60/12/707866012.db2.gz LDSGTGYHLQAQBX-JTQLQIEISA-N -1 1 302.396 1.094 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2CCC=CCC2)CCC1 ZINC000873542309 707891688 /nfs/dbraw/zinc/89/16/88/707891688.db2.gz NDNOYZOVAQOBJQ-UHFFFAOYSA-N -1 1 314.451 1.663 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)Nc1cc(C(=O)[O-])ccc1F ZINC000909321745 712991079 /nfs/dbraw/zinc/99/10/79/712991079.db2.gz RMRFICASEIQSGB-UHFFFAOYSA-N -1 1 324.352 1.821 20 0 DDADMM COC(=O)c1nc(C(=O)[N-]c2nc3n(n2)CCCC3)cs1 ZINC000909440860 713018988 /nfs/dbraw/zinc/01/89/88/713018988.db2.gz BLDGFUNPMNTNOQ-UHFFFAOYSA-N -1 1 307.335 1.110 20 0 DDADMM O=C(COC(=O)C[C@@H]1C[C@H]1C1CC1)[N-]C(=O)c1ccccc1 ZINC000884643638 708347390 /nfs/dbraw/zinc/34/73/90/708347390.db2.gz NGWKKRBFZNOVOX-KBPBESRZSA-N -1 1 301.342 1.922 20 0 DDADMM C[S@@](=O)C1(CNC(=O)c2ccc3ccc(O)cc3c2[O-])CC1 ZINC000897663586 708410783 /nfs/dbraw/zinc/41/07/83/708410783.db2.gz GATSDDDPZVMXNZ-JOCHJYFZSA-N -1 1 319.382 1.892 20 0 DDADMM CC(C)C(=O)N1CCC([N-]S(=O)(=O)c2ccns2)CC1 ZINC000884922309 708420954 /nfs/dbraw/zinc/42/09/54/708420954.db2.gz SKWGWQQWLLYVEK-UHFFFAOYSA-N -1 1 317.436 1.068 20 0 DDADMM O=S(=O)([N-]C[C@H](CCO)c1ccccc1)c1ccns1 ZINC000885029222 708447921 /nfs/dbraw/zinc/44/79/21/708447921.db2.gz REQLAIXHYFPTPU-LBPRGKRZSA-N -1 1 312.416 1.588 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCC1CCCC1 ZINC000912529969 713031424 /nfs/dbraw/zinc/03/14/24/713031424.db2.gz JHBFZABGSCCBSN-UHFFFAOYSA-N -1 1 315.395 1.445 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1ccns1)C1CCCCC1 ZINC000885136859 708479076 /nfs/dbraw/zinc/47/90/76/708479076.db2.gz YSEADQPDTUBOLB-LBPRGKRZSA-N -1 1 304.437 1.753 20 0 DDADMM Cc1cc2scc(CC(=O)OCc3nc(=O)n(C)[n-]3)c2s1 ZINC000885157493 708481435 /nfs/dbraw/zinc/48/14/35/708481435.db2.gz NEHCSLGSHUNLBY-UHFFFAOYSA-N -1 1 323.399 1.979 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C(CF)CF)cnc1Cl ZINC000885417314 708539970 /nfs/dbraw/zinc/53/99/70/708539970.db2.gz YGPYPJWWTHQOBG-UHFFFAOYSA-N -1 1 300.714 1.330 20 0 DDADMM O=C(N[C@@H]([C@H]1CCOC1)C(F)(F)F)C(=O)c1ccc([O-])cc1 ZINC000885526981 708567587 /nfs/dbraw/zinc/56/75/87/708567587.db2.gz FRKIQSNFAOCDOZ-CABZTGNLSA-N -1 1 317.263 1.659 20 0 DDADMM CS(=O)(=O)C1(C(=O)Nc2cc(F)c([O-])cc2Cl)CC1 ZINC000885678430 708596041 /nfs/dbraw/zinc/59/60/41/708596041.db2.gz ORAGLBDUPJUKKS-UHFFFAOYSA-N -1 1 307.730 1.700 20 0 DDADMM O=C(NCCN1CCCC1=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898277861 708596154 /nfs/dbraw/zinc/59/61/54/708596154.db2.gz VYASWAGIRVVABH-UHFFFAOYSA-N -1 1 314.341 1.603 20 0 DDADMM COC[C@H](CNC(=O)c1c(C)[n-]c(=O)nc1SC)C(C)C ZINC000885806523 708630479 /nfs/dbraw/zinc/63/04/79/708630479.db2.gz NGZKVRCASGWEAU-JTQLQIEISA-N -1 1 313.423 1.861 20 0 DDADMM O=S(=O)([N-][C@H](CCO)C(F)(F)F)c1ccc(F)c(F)c1 ZINC000885828238 708634474 /nfs/dbraw/zinc/63/44/74/708634474.db2.gz BLKCVMKFLCYLGL-SECBINFHSA-N -1 1 319.251 1.556 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCC=CCCC2)n[n-]1 ZINC000898437217 708639720 /nfs/dbraw/zinc/63/97/20/708639720.db2.gz YTSFBWQOXDRYMC-NSHDSACASA-N -1 1 306.366 1.734 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCC=CCCC2)n1 ZINC000898437217 708639723 /nfs/dbraw/zinc/63/97/23/708639723.db2.gz YTSFBWQOXDRYMC-NSHDSACASA-N -1 1 306.366 1.734 20 0 DDADMM CN(C)Cc1csc(CNC(=O)c2ccc([O-])cc2F)n1 ZINC000927767944 713051353 /nfs/dbraw/zinc/05/13/53/713051353.db2.gz GGLZYLNBEMPKQC-UHFFFAOYSA-N -1 1 309.366 1.979 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H]1CCn2ccnc2C1 ZINC000886266727 708732278 /nfs/dbraw/zinc/73/22/78/708732278.db2.gz WWYNBJJJHKFMLX-JTQLQIEISA-N -1 1 321.327 1.788 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H]1CCn2cncc2C1 ZINC000886266669 708732399 /nfs/dbraw/zinc/73/23/99/708732399.db2.gz UYMWHOUQHQYGIE-JTQLQIEISA-N -1 1 321.327 1.788 20 0 DDADMM CC(=O)Nc1nc(C)c(S(=O)(=O)[N-][C@H](C)C(F)F)s1 ZINC000886455140 708763190 /nfs/dbraw/zinc/76/31/90/708763190.db2.gz BTBAWDQKASACNW-SCSAIBSYSA-N -1 1 313.351 1.342 20 0 DDADMM COC1(C)CN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927785191 713055600 /nfs/dbraw/zinc/05/56/00/713055600.db2.gz YEOXLJHHHKLPMG-UHFFFAOYSA-N -1 1 300.305 1.643 20 0 DDADMM CCN1CN(C(=O)NCCc2c(F)cc([O-])cc2F)CC1=O ZINC000927784882 713055680 /nfs/dbraw/zinc/05/56/80/713055680.db2.gz OKQPLFLZNCMDQF-UHFFFAOYSA-N -1 1 313.304 1.044 20 0 DDADMM CC1(O)CCN(C(=O)NCCc2c(F)cc([O-])cc2F)CC1 ZINC000927786029 713055847 /nfs/dbraw/zinc/05/58/47/713055847.db2.gz PQHCBMUAOSZVIZ-UHFFFAOYSA-N -1 1 314.332 1.769 20 0 DDADMM O=C(CCS(=O)(=O)C1CCCC1)[N-]Oc1ccc(F)cc1 ZINC000898573724 708794500 /nfs/dbraw/zinc/79/45/00/708794500.db2.gz PTSSUANWVNLVCY-UHFFFAOYSA-N -1 1 315.366 1.983 20 0 DDADMM CN(C(=O)c1ccccc1NCC(F)(F)F)c1nn[n-]n1 ZINC000912618651 713053092 /nfs/dbraw/zinc/05/30/92/713053092.db2.gz XKQFPWWGNIDPMQ-UHFFFAOYSA-N -1 1 300.244 1.451 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC000898750925 708854608 /nfs/dbraw/zinc/85/46/08/708854608.db2.gz JSNVIRZQOOOQGI-SFYZADRCSA-N -1 1 319.283 1.873 20 0 DDADMM C[C@H]1C(=O)N([N-]C(=O)c2cc3c(s2)CCCCC3)C(=O)N1C ZINC000899025611 708956658 /nfs/dbraw/zinc/95/66/58/708956658.db2.gz LWMHJZIBWKZBBH-VIFPVBQESA-N -1 1 321.402 1.944 20 0 DDADMM CCN1CC[C@H]1CNC(=O)[C@](C)(CC(=O)[O-])c1ccsc1 ZINC000887316711 709015565 /nfs/dbraw/zinc/01/55/65/709015565.db2.gz XRRLUEBMIXBAIE-SWLSCSKDSA-N -1 1 310.419 1.691 20 0 DDADMM O=C(NC[C@@H]1CC2(CCC2)CO1)c1ccc2n[n-]c(=S)n2c1 ZINC000899424476 709079058 /nfs/dbraw/zinc/07/90/58/709079058.db2.gz JEZOMGZFTLXIOQ-NSHDSACASA-N -1 1 318.402 1.707 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2C[C@H]3C[C@H]3C[C@H]2C)CC1 ZINC000899538583 709108050 /nfs/dbraw/zinc/10/80/50/709108050.db2.gz UXYOPJBDACMIEX-KHMAMNHCSA-N -1 1 308.422 1.676 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1ccccc1F)c1nn[n-]n1 ZINC000912858617 713109107 /nfs/dbraw/zinc/10/91/07/713109107.db2.gz WEOXJFZUPWHLDP-DGMVEKRQSA-N -1 1 321.381 1.963 20 0 DDADMM CCNC(=O)CN(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900272292 709514697 /nfs/dbraw/zinc/51/46/97/709514697.db2.gz LDFFPOHPNHNFAU-UHFFFAOYSA-N -1 1 305.309 1.288 20 0 DDADMM CNc1ccccc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912859822 713109360 /nfs/dbraw/zinc/10/93/60/713109360.db2.gz DEXVXRKGVIENGX-NSHDSACASA-N -1 1 306.395 1.466 20 0 DDADMM CC[C@H](Cc1ccccc1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909579927 709524670 /nfs/dbraw/zinc/52/46/70/709524670.db2.gz KVFIBSRBXBTDIX-JKSUJKDBSA-N -1 1 318.417 1.921 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1Cc2ccccc2O1)c1nn[n-]n1 ZINC000912860574 713110180 /nfs/dbraw/zinc/11/01/80/713110180.db2.gz XWQVENXSRHLELD-JQWIXIFHSA-N -1 1 319.390 1.114 20 0 DDADMM CC[C@H]1C[C@H](C(=O)N[C@@H](CCSC)c2nn[n-]n2)CCO1 ZINC000912862144 713110602 /nfs/dbraw/zinc/11/06/02/713110602.db2.gz JNKWMGWFZFYTRP-VWYCJHECSA-N -1 1 313.427 1.315 20 0 DDADMM CCN(CC)[C@H](C(=O)N[C@H](CCOC)C(=O)[O-])c1ccccc1 ZINC000909626280 709546444 /nfs/dbraw/zinc/54/64/44/709546444.db2.gz RCDQVOKZGQBELN-CABCVRRESA-N -1 1 322.405 1.675 20 0 DDADMM O=C([O-])[C@@H](CC(F)(F)F)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000909671528 709568977 /nfs/dbraw/zinc/56/89/77/709568977.db2.gz QTAIAGZJYILGAH-IMTBSYHQSA-N -1 1 305.256 1.351 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)c1ccc(F)cc1 ZINC000909702874 709587305 /nfs/dbraw/zinc/58/73/05/709587305.db2.gz LYQFMLXIWNJKCR-JHJVBQTASA-N -1 1 317.320 1.637 20 0 DDADMM CC[C@@H](CSC)N(C)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909726789 709597851 /nfs/dbraw/zinc/59/78/51/709597851.db2.gz ZWUNDEXRXJCXHE-RYUDHWBXSA-N -1 1 302.440 1.383 20 0 DDADMM C[C@H]1NCCn2c(C(=O)NCc3ccc(C(=O)[O-])cc3)ccc21 ZINC000900455243 709602883 /nfs/dbraw/zinc/60/28/83/709602883.db2.gz ZWZDSZNZOWVEFW-LLVKDONJSA-N -1 1 313.357 1.781 20 0 DDADMM CCN(CC(=O)N[C@@H](C(=O)[O-])c1ccc(OC)c(F)c1)C1CC1 ZINC000909801402 709628416 /nfs/dbraw/zinc/62/84/16/709628416.db2.gz MOTAFVCEBCWYPK-OAHLLOKOSA-N -1 1 324.352 1.561 20 0 DDADMM CCC/C=C\[C@H](O)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786634 709635681 /nfs/dbraw/zinc/63/56/81/709635681.db2.gz NOSNXTCEHBOGKS-MUDVKMOPSA-N -1 1 321.377 1.572 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2O[C@H](C)C[C@H]2C)[n-]c1=O ZINC000889788098 709636187 /nfs/dbraw/zinc/63/61/87/709636187.db2.gz VZZMXHUEKDSLLT-HNCHTBHHSA-N -1 1 321.377 1.668 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C2=COCCC2)[n-]c1=O ZINC000889792409 709638062 /nfs/dbraw/zinc/63/80/62/709638062.db2.gz YQZVKNCIZXRXEO-NSHDSACASA-N -1 1 305.334 1.549 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H]2CCCS2)[n-]c1=O ZINC000889792472 709638263 /nfs/dbraw/zinc/63/82/63/709638263.db2.gz ZNSVTDCCYHZYMY-MWLCHTKSSA-N -1 1 309.391 1.750 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](C)[C@@H](Cc2ccccc2)C(=O)[O-])[nH]n1 ZINC000909823110 709641017 /nfs/dbraw/zinc/64/10/17/709641017.db2.gz NWHLWMCUXAXBAS-SWLSCSKDSA-N -1 1 315.373 1.709 20 0 DDADMM Cc1cccnc1[C@H](NC(=O)CCc1nn[n-]n1)C(C)(C)C ZINC000889887267 709667461 /nfs/dbraw/zinc/66/74/61/709667461.db2.gz QXJLLYXSUDQUJS-AWEZNQCLSA-N -1 1 302.382 1.739 20 0 DDADMM CCN(C)[C@@H](C(=O)NCc1nc(C(=O)[O-])co1)c1ccccc1 ZINC000909888835 709671368 /nfs/dbraw/zinc/67/13/68/709671368.db2.gz ZVVLLLHCZMQONH-CQSZACIVSA-N -1 1 317.345 1.682 20 0 DDADMM COC(=O)[C@H](C)CN(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900693949 709714698 /nfs/dbraw/zinc/71/46/98/709714698.db2.gz CBSPGCGFZMQLNN-SECBINFHSA-N -1 1 320.320 1.961 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cc(C(F)F)[nH]n2)[C@@H](C(=O)[O-])C1 ZINC000909982255 709717309 /nfs/dbraw/zinc/71/73/09/709717309.db2.gz FHLPFWHWAWBPGN-HZGVNTEJSA-N -1 1 303.265 1.052 20 0 DDADMM CCSC1(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)CC1 ZINC000909986411 709721126 /nfs/dbraw/zinc/72/11/26/709721126.db2.gz JVRYLJZDJVMVPH-NSHDSACASA-N -1 1 300.424 1.185 20 0 DDADMM O=C(C[C@H]1CCCS1(=O)=O)Nc1cc([O-])c(F)cc1F ZINC000909988215 709721992 /nfs/dbraw/zinc/72/19/92/709721992.db2.gz AARNHKVRDXTAAX-SSDOTTSWSA-N -1 1 305.302 1.576 20 0 DDADMM C[C@H](C(=O)N1CCC[C@]1(Cc1ccccc1)C(=O)[O-])N(C)C ZINC000910098902 709771930 /nfs/dbraw/zinc/77/19/30/709771930.db2.gz BMUYJAWYXUMVKP-DYVFJYSZSA-N -1 1 304.390 1.625 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)N1C[C@@H]2CCCC[C@]2(C(=O)[O-])C1 ZINC000910151443 709792751 /nfs/dbraw/zinc/79/27/51/709792751.db2.gz DOWDFJAXMUSDMH-KEYYUXOJSA-N -1 1 324.421 1.058 20 0 DDADMM CO[C@@H]1CCCC[C@H]1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910173856 709797690 /nfs/dbraw/zinc/79/76/90/709797690.db2.gz OMAMCVOSAQPUCL-BFHYXJOUSA-N -1 1 312.410 1.199 20 0 DDADMM C[N@@H+](CC(=O)N1CCc2occc2[C@@H]1C(=O)[O-])[C@H]1CCSC1 ZINC000910206468 709814393 /nfs/dbraw/zinc/81/43/93/709814393.db2.gz UKWBUUXDMFMEPN-IINYFYTJSA-N -1 1 324.402 1.227 20 0 DDADMM CN(CC(=O)N1CCc2occc2[C@@H]1C(=O)[O-])[C@H]1CCSC1 ZINC000910206468 709814400 /nfs/dbraw/zinc/81/44/00/709814400.db2.gz UKWBUUXDMFMEPN-IINYFYTJSA-N -1 1 324.402 1.227 20 0 DDADMM COc1cccc(C[C@H](NC(=O)CN(C)C2CCC2)C(=O)[O-])c1 ZINC000910208673 709815505 /nfs/dbraw/zinc/81/55/05/709815505.db2.gz FZTLPRQWFMPSPI-HNNXBMFYSA-N -1 1 320.389 1.291 20 0 DDADMM C[C@](O)(CNC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccsc1 ZINC000900899247 709818282 /nfs/dbraw/zinc/81/82/82/709818282.db2.gz GVVMSGUENAEAJN-HNNXBMFYSA-N -1 1 319.386 1.759 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cc(C(F)F)[nH]n2)[C@@](C)(C(=O)[O-])C1 ZINC000910263578 709847938 /nfs/dbraw/zinc/84/79/38/709847938.db2.gz LVRYOLAQCINDTC-PWCHPLFNSA-N -1 1 303.265 1.052 20 0 DDADMM COC(=O)CN(C(=O)c1c([O-])cnc2c(F)cccc21)C1CC1 ZINC000900974020 709857069 /nfs/dbraw/zinc/85/70/69/709857069.db2.gz CFDDJZHYGBVKRU-UHFFFAOYSA-N -1 1 318.304 1.857 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@H]2COCC[C@@H]21 ZINC000901019144 709884080 /nfs/dbraw/zinc/88/40/80/709884080.db2.gz OZKPVMGMYNUKRA-AAEUAGOBSA-N -1 1 303.362 1.701 20 0 DDADMM O=C(NC[C@@H](O)c1ccsc1)c1cnc(C2CC2)[n-]c1=O ZINC000901079406 709916419 /nfs/dbraw/zinc/91/64/19/709916419.db2.gz XZWBTZUURVCYMG-LLVKDONJSA-N -1 1 305.359 1.585 20 0 DDADMM COC[C@H](CCO)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901094916 709922824 /nfs/dbraw/zinc/92/28/24/709922824.db2.gz GAXIWJIYVADTDD-VIFPVBQESA-N -1 1 308.309 1.207 20 0 DDADMM O=C(N[C@@H](CCO)C1CC1)c1c([O-])cnc2c(F)cccc21 ZINC000901095179 709922904 /nfs/dbraw/zinc/92/29/04/709922904.db2.gz SZAHUFXJUHYHDQ-LBPRGKRZSA-N -1 1 304.321 1.970 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CC(F)(F)C[C@H]2C(=O)[O-])C1 ZINC000910434858 709939607 /nfs/dbraw/zinc/93/96/07/709939607.db2.gz GIZZAQYZEDYESM-JEZHCXPESA-N -1 1 313.304 1.396 20 0 DDADMM CC(C)CCC[C@@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)C(=O)[O-] ZINC000910574917 710006314 /nfs/dbraw/zinc/00/63/14/710006314.db2.gz IJTHMOVHQQNSIZ-DGCLKSJQSA-N -1 1 307.394 1.910 20 0 DDADMM O=C([O-])[C@@H](C[C@@H]1CCCO1)NC(=O)c1ccc(O)c(Cl)c1 ZINC000910588938 710012368 /nfs/dbraw/zinc/01/23/68/710012368.db2.gz ANCJUUXDDXGXTP-GXSJLCMTSA-N -1 1 313.737 1.798 20 0 DDADMM O=C([O-])C[C@@]1(NCc2ccn(-c3ccccc3)n2)CCCOC1 ZINC000901526894 710066440 /nfs/dbraw/zinc/06/64/40/710066440.db2.gz ROWCPAYORIZIBM-KRWDZBQOSA-N -1 1 315.373 1.986 20 0 DDADMM CCn1cc([N-]S(=O)(=O)C[C@@H]2CCCC(C)(C)O2)cn1 ZINC000901599946 710089897 /nfs/dbraw/zinc/08/98/97/710089897.db2.gz PRTIUZJITNRHGK-LBPRGKRZSA-N -1 1 301.412 1.992 20 0 DDADMM CCc1nn(C)cc1CN[C@H](C(=O)[O-])c1cccc(OC)c1 ZINC000901754288 710142040 /nfs/dbraw/zinc/14/20/40/710142040.db2.gz LDVUNYSCYOIPTR-HNNXBMFYSA-N -1 1 303.362 1.907 20 0 DDADMM CC(C)(C(=O)Nc1cc(F)cc(F)c1[O-])N1CCOCC1 ZINC000910987636 710142099 /nfs/dbraw/zinc/14/20/99/710142099.db2.gz CFYNZOLARFXJGB-UHFFFAOYSA-N -1 1 300.305 1.720 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000910989319 710143327 /nfs/dbraw/zinc/14/33/27/710143327.db2.gz VTOKLYZPOKDHAR-NEPJUHHUSA-N -1 1 314.451 1.573 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(Cc2cnc(OC)s2)C1 ZINC000901864818 710162030 /nfs/dbraw/zinc/16/20/30/710162030.db2.gz JXAXFEONZNPQKJ-ZDUSSCGKSA-N -1 1 300.380 1.465 20 0 DDADMM Cc1nc2scc(C3CC3)n2c1CN1CC[C@](O)(C(=O)[O-])C1 ZINC000901900974 710169198 /nfs/dbraw/zinc/16/91/98/710169198.db2.gz HXOMPAOTNLQRLF-OAHLLOKOSA-N -1 1 321.402 1.603 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cnn(C2CCC2)c1 ZINC000901976047 710190520 /nfs/dbraw/zinc/19/05/20/710190520.db2.gz CMUQLSSGWZYJBF-UHFFFAOYSA-N -1 1 315.395 1.549 20 0 DDADMM C[C@@H](CN(Cc1cnnn1-c1ccccc1)C1CC1)C(=O)[O-] ZINC000901992341 710195748 /nfs/dbraw/zinc/19/57/48/710195748.db2.gz CCAIOCKSMHAWKH-LBPRGKRZSA-N -1 1 300.362 1.952 20 0 DDADMM CC(C)[C@@H](CNC(=O)CN(C)CCc1ccccc1)C(=O)[O-] ZINC000902026536 710205364 /nfs/dbraw/zinc/20/53/64/710205364.db2.gz LWZHQNBDAFBGJZ-OAHLLOKOSA-N -1 1 306.406 1.634 20 0 DDADMM CCOC(=O)[C@H](CSC)NC(=O)c1ccc([O-])c(F)c1 ZINC000928293516 713165907 /nfs/dbraw/zinc/16/59/07/713165907.db2.gz RXPGLBMHJXJURV-JTQLQIEISA-N -1 1 301.339 1.556 20 0 DDADMM COCc1nc(N(C)Cc2ccc(C(=O)OC)cc2)cc(=O)[n-]1 ZINC000891744227 710281632 /nfs/dbraw/zinc/28/16/32/710281632.db2.gz PFPCEJICXLRGQY-UHFFFAOYSA-N -1 1 317.345 1.752 20 0 DDADMM COc1ccc2c(n1)N(C)CCN2C(=O)c1cncc([O-])c1 ZINC000928397797 713182407 /nfs/dbraw/zinc/18/24/07/713182407.db2.gz KVDQIIDHQLEDTJ-UHFFFAOYSA-N -1 1 300.318 1.287 20 0 DDADMM COCc1nc(N[C@@H]2CCN(c3ccccc3)C2=O)cc(=O)[n-]1 ZINC000892443921 710438167 /nfs/dbraw/zinc/43/81/67/710438167.db2.gz MIZNETMBTPZUCV-GFCCVEGCSA-N -1 1 314.345 1.546 20 0 DDADMM COCc1nc(NC[C@H](O)c2cccc(OC)c2)cc(=O)[n-]1 ZINC000892460079 710440699 /nfs/dbraw/zinc/44/06/99/710440699.db2.gz JAXCHCCDAWVCAE-LBPRGKRZSA-N -1 1 305.334 1.483 20 0 DDADMM CN(C(=O)[C@H](OC1CCOCC1)c1ccccc1)c1nn[n-]n1 ZINC000892954558 710531856 /nfs/dbraw/zinc/53/18/56/710531856.db2.gz LPQIXHRRTXRBBC-CYBMUJFWSA-N -1 1 317.349 1.099 20 0 DDADMM CON(C)C(=O)[C@@H](C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000913342717 713202140 /nfs/dbraw/zinc/20/21/40/713202140.db2.gz LIJRLYFONMNQFM-MRVPVSSYSA-N -1 1 321.308 1.218 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCc3cc(F)ccc32)C1 ZINC000911164486 710664359 /nfs/dbraw/zinc/66/43/59/710664359.db2.gz NFYUKNTVJRPGFS-GFCCVEGCSA-N -1 1 306.337 1.511 20 0 DDADMM O=C([O-])Cn1cc(CNCC2(c3ccc(Cl)cc3)CC2)nn1 ZINC000902218930 710669032 /nfs/dbraw/zinc/66/90/32/710669032.db2.gz QAPYSHRWLKHJOK-UHFFFAOYSA-N -1 1 320.780 1.838 20 0 DDADMM CN1CCN(C(=O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)c2ccccc21 ZINC000911240582 710704814 /nfs/dbraw/zinc/70/48/14/710704814.db2.gz BHSMSHUYFHUKJU-ZDUSSCGKSA-N -1 1 317.389 1.266 20 0 DDADMM CN1CCN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)c2ccccc21 ZINC000911240582 710704816 /nfs/dbraw/zinc/70/48/16/710704816.db2.gz BHSMSHUYFHUKJU-ZDUSSCGKSA-N -1 1 317.389 1.266 20 0 DDADMM O=C([O-])CCN(Cc1cccnc1)C(=O)c1cc(C2CC2)[nH]n1 ZINC000911276346 710722202 /nfs/dbraw/zinc/72/22/02/710722202.db2.gz HFRAFLRBSSNEPA-UHFFFAOYSA-N -1 1 314.345 1.799 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000911325274 710742456 /nfs/dbraw/zinc/74/24/56/710742456.db2.gz JYOCXPPFHYTEQH-CMPLNLGQSA-N -1 1 320.393 1.889 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)N2CC[C@@H](C3CCCC3)C2)C1 ZINC000911497620 710823965 /nfs/dbraw/zinc/82/39/65/710823965.db2.gz YAGFZUPIDKCLFU-CABCVRRESA-N -1 1 308.422 1.822 20 0 DDADMM COCCC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC000913438044 713215563 /nfs/dbraw/zinc/21/55/63/713215563.db2.gz NGCLVZPQSCWOJH-CYBMUJFWSA-N -1 1 315.377 1.612 20 0 DDADMM C[C@]1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCCCO1 ZINC000902974159 710981342 /nfs/dbraw/zinc/98/13/42/710981342.db2.gz YKARTPDMWMXEQU-MRXNPFEDSA-N -1 1 301.346 1.612 20 0 DDADMM Cc1ccccc1CS(=O)(=O)[N-]c1nc(C(F)F)n[nH]1 ZINC000903149915 711048435 /nfs/dbraw/zinc/04/84/35/711048435.db2.gz HRFYZQLHPCBJME-UHFFFAOYSA-N -1 1 302.306 1.993 20 0 DDADMM NOCCC[N-]S(=O)(=O)c1sccc1Br ZINC000903528640 711171540 /nfs/dbraw/zinc/17/15/40/711171540.db2.gz RAMIOXGYJMIYJM-UHFFFAOYSA-N -1 1 315.214 1.069 20 0 DDADMM O=C([C@H]1[C@@H]2CCCCCC[C@@H]21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913500450 713240043 /nfs/dbraw/zinc/24/00/43/713240043.db2.gz XREXCPUKTVMQOZ-XQHKEYJVSA-N -1 1 305.382 1.316 20 0 DDADMM O=C(N[C@H]([C@@H]1CCCO1)C1(CO)CCC1)c1ccc([O-])cc1F ZINC000912205309 711220716 /nfs/dbraw/zinc/22/07/16/711220716.db2.gz FYSGTBTWHZNDFG-LSDHHAIUSA-N -1 1 323.364 1.971 20 0 DDADMM CCCc1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)sc1C ZINC000913493484 713236778 /nfs/dbraw/zinc/23/67/78/713236778.db2.gz YEAJCUOFNNUXDO-LLVKDONJSA-N -1 1 321.406 1.736 20 0 DDADMM Cn1cnnc1-c1ccc([N-]S(=O)(=O)CC2(F)CC2)cc1 ZINC000903616219 711225484 /nfs/dbraw/zinc/22/54/84/711225484.db2.gz XMOOSQKCCCLFRQ-UHFFFAOYSA-N -1 1 310.354 1.726 20 0 DDADMM O=C(c1ccc(C(F)F)cc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494271 713237272 /nfs/dbraw/zinc/23/72/72/713237272.db2.gz CUWPWWICPALJSR-SNVBAGLBSA-N -1 1 309.276 1.351 20 0 DDADMM O=C(CC/C=C\c1ccccc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494940 713237569 /nfs/dbraw/zinc/23/75/69/713237569.db2.gz DMNJRNURFWSSKQ-SMGNDMQFSA-N -1 1 313.361 1.593 20 0 DDADMM CSc1nc(CNC(=O)[C@@H](C)c2ccncc2)cc(=O)[n-]1 ZINC000912241488 711239937 /nfs/dbraw/zinc/23/99/37/711239937.db2.gz YUUTZLYXYSLSOE-VIFPVBQESA-N -1 1 304.375 1.719 20 0 DDADMM O=C(NCc1nc(-c2ccc([O-])c(F)c2)no1)N1CCCC1 ZINC000903669923 711240932 /nfs/dbraw/zinc/24/09/32/711240932.db2.gz NNVIEQFXTVQFTP-UHFFFAOYSA-N -1 1 306.297 1.887 20 0 DDADMM O=C(c1cc2ccncc2s1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496770 713239005 /nfs/dbraw/zinc/23/90/05/713239005.db2.gz CCLPMKRKZCGCSX-VIFPVBQESA-N -1 1 316.346 1.023 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)Cc1ccns1 ZINC000912337859 711284588 /nfs/dbraw/zinc/28/45/88/711284588.db2.gz CCUFDBYRODQDBM-UHFFFAOYSA-N -1 1 310.404 1.941 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C(F)=C(C)C2CC2)n[n-]1 ZINC000912363441 711292824 /nfs/dbraw/zinc/29/28/24/711292824.db2.gz OKWWDYGJIWKLAE-VKHJRQFRSA-N -1 1 310.329 1.812 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C(F)=C(C)C2CC2)[n-]1 ZINC000912363441 711292826 /nfs/dbraw/zinc/29/28/26/711292826.db2.gz OKWWDYGJIWKLAE-VKHJRQFRSA-N -1 1 310.329 1.812 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)/C(F)=C(/C)C2CC2)n1 ZINC000912363441 711292828 /nfs/dbraw/zinc/29/28/28/711292828.db2.gz OKWWDYGJIWKLAE-VKHJRQFRSA-N -1 1 310.329 1.812 20 0 DDADMM CO[C@@H]1CC[C@H]1N(C)[C@@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000903946779 711344659 /nfs/dbraw/zinc/34/46/59/711344659.db2.gz NOQYNAHSXPINBC-NILFDRSVSA-N -1 1 320.389 1.499 20 0 DDADMM CCn1cc(CN[C@@H](CC(=O)[O-])c2ccc3c(c2)OCO3)cn1 ZINC000904045314 711369511 /nfs/dbraw/zinc/36/95/11/711369511.db2.gz JAHMAACONFPJHS-ZDUSSCGKSA-N -1 1 317.345 1.937 20 0 DDADMM CCn1cc(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)c(C)n1 ZINC000895871857 711631377 /nfs/dbraw/zinc/63/13/77/711631377.db2.gz BZXPQGBKOGPLAC-ZDUSSCGKSA-N -1 1 318.343 1.854 20 0 DDADMM COc1ccc(Cl)cc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742405 713291728 /nfs/dbraw/zinc/29/17/28/713291728.db2.gz YAYFKMQHZQQPNG-UHFFFAOYSA-N -1 1 321.768 1.882 20 0 DDADMM C[C@@H](Cc1ccc(F)cc1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743103 713292003 /nfs/dbraw/zinc/29/20/03/713292003.db2.gz FOYYQJXARPXOBH-NSHDSACASA-N -1 1 317.368 1.924 20 0 DDADMM O=C([C@H]1Cc2ccc(Cl)cc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913746939 713294272 /nfs/dbraw/zinc/29/42/72/713294272.db2.gz MHJHKCQYWRLOQY-ZDUSSCGKSA-N -1 1 317.780 1.899 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)[C@]12C[C@H]1COC21CCC1 ZINC000905947351 712197016 /nfs/dbraw/zinc/19/70/16/712197016.db2.gz HLXKKUAYSIMKGT-BTDLBPIBSA-N -1 1 315.373 1.959 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOc2ncccc2C1 ZINC000907368306 712553497 /nfs/dbraw/zinc/55/34/97/712553497.db2.gz MGGAILAFWBRAIB-UHFFFAOYSA-N -1 1 312.329 1.489 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c(C)s1 ZINC000907474336 712581417 /nfs/dbraw/zinc/58/14/17/712581417.db2.gz GWRQKGJRJAPKPH-SNVBAGLBSA-N -1 1 309.420 1.808 20 0 DDADMM CC(=O)c1cccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000907475480 712581857 /nfs/dbraw/zinc/58/18/57/712581857.db2.gz OJCUZPJUYNRNEU-LBPRGKRZSA-N -1 1 317.374 1.333 20 0 DDADMM Cc1cccnc1C=CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479080 712583122 /nfs/dbraw/zinc/58/31/22/712583122.db2.gz IZGZZRWNMJOIJN-RXNFCKPNSA-N -1 1 316.390 1.233 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479449 712583248 /nfs/dbraw/zinc/58/32/48/712583248.db2.gz DXJGALNHFATIPY-CUZBXDDWSA-N -1 1 316.390 1.315 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481749 712584136 /nfs/dbraw/zinc/58/41/36/712584136.db2.gz YQWHHELMUYQDBL-HBNTYKKESA-N -1 1 311.411 1.021 20 0 DDADMM C[C@H]1CC(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C[C@H](C)C1 ZINC000907944538 712652893 /nfs/dbraw/zinc/65/28/93/712652893.db2.gz VDAMDOSGYOPJFY-GHMZBOCLSA-N -1 1 307.398 1.082 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2CCC[C@H]12)c1nc[nH]c1Br ZINC000907961320 712655741 /nfs/dbraw/zinc/65/57/41/712655741.db2.gz UQHKGCIOBKOPLI-BIIVOSGPSA-N -1 1 320.212 1.639 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2CCC[C@@H]21)c1c[nH]nc1C(F)(F)F ZINC000907964376 712656378 /nfs/dbraw/zinc/65/63/78/712656378.db2.gz DBBLEPDLPLBTQV-GJMOJQLCSA-N -1 1 309.313 1.895 20 0 DDADMM C[C@H]1CCN(C(=O)CC2COC2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000908206731 712710218 /nfs/dbraw/zinc/71/02/18/712710218.db2.gz WLQFZQCQXLMKFU-AUTRQRHGSA-N -1 1 322.327 1.327 20 0 DDADMM C[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@H](O)c1ccccc1 ZINC000908236096 712716419 /nfs/dbraw/zinc/71/64/19/712716419.db2.gz LFEYSAXBLHOMGK-YGRLFVJLSA-N -1 1 313.357 1.912 20 0 DDADMM Cc1ncccc1CC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000908357238 712750995 /nfs/dbraw/zinc/75/09/95/712750995.db2.gz GISJMJIRMMGZGI-UHFFFAOYSA-N -1 1 314.798 1.298 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@H]1C1CC1)c1ccc(C(F)F)o1 ZINC000908402531 712761874 /nfs/dbraw/zinc/76/18/74/712761874.db2.gz ANBMLNTWZHVGCO-DTWKUNHWSA-N -1 1 307.318 1.921 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@H]1C1CC1)c1cc(F)c(F)cc1F ZINC000908405846 712763201 /nfs/dbraw/zinc/76/32/01/712763201.db2.gz AALAQNPQIAXAHI-UFBFGSQYSA-N -1 1 321.320 1.807 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C/C=C\Cl)c(C(F)(F)F)n1 ZINC000908453425 712777828 /nfs/dbraw/zinc/77/78/28/712777828.db2.gz UWHFJUCYIHXNBZ-IHWYPQMZSA-N -1 1 303.693 1.470 20 0 DDADMM O=C(NCCCC1CCOCC1)c1cnc(C2CC2)[n-]c1=O ZINC000908510509 712793090 /nfs/dbraw/zinc/79/30/90/712793090.db2.gz VZCJKXUJUNHXSY-UHFFFAOYSA-N -1 1 305.378 1.996 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]C[C@@H](OC)C(F)(F)F)s1 ZINC000914110223 713344115 /nfs/dbraw/zinc/34/41/15/713344115.db2.gz CUQWDRREODSUMS-RXMQYKEDSA-N -1 1 320.314 1.007 20 0 DDADMM CC(=O)N[C@H](C)C(=O)Nc1cccc([O-])c1Br ZINC000908717605 712840687 /nfs/dbraw/zinc/84/06/87/712840687.db2.gz LSHIQLJODZGGGL-ZCFIWIBFSA-N -1 1 301.140 1.618 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)NC[C@@H](c1cccs1)N(C)C ZINC000908747548 712846795 /nfs/dbraw/zinc/84/67/95/712846795.db2.gz TXYWXLCMEIASQH-NSHDSACASA-N -1 1 313.423 1.857 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H](CCF)C(=O)[O-])c1ccsc1 ZINC000908814204 712860142 /nfs/dbraw/zinc/86/01/42/712860142.db2.gz OHAWROCRQVINDD-MNOVXSKESA-N -1 1 317.386 1.463 20 0 DDADMM COc1cccc([C@H](CC(=O)[O-])NC(=O)[C@@H]2CCCCN2C)c1 ZINC000908853196 712869334 /nfs/dbraw/zinc/86/93/34/712869334.db2.gz DGOHGLAXWWENHT-GJZGRUSLSA-N -1 1 320.389 1.812 20 0 DDADMM CN(C(=O)N[C@H]1CCCN(C)C1)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000908869826 712874770 /nfs/dbraw/zinc/87/47/70/712874770.db2.gz HIHVVGOSLMGRJJ-LSDHHAIUSA-N -1 1 319.405 1.418 20 0 DDADMM CC(C)CN(CC(=O)[O-])C(=O)c1cc([C@H]2CCCN2C)n[nH]1 ZINC000908882278 712878627 /nfs/dbraw/zinc/87/86/27/712878627.db2.gz NYTDTLOZFNBOBN-CYBMUJFWSA-N -1 1 308.382 1.359 20 0 DDADMM O=C([O-])[C@H](NC(=O)Cc1n[nH]c2c1CCCC2)c1ccccc1 ZINC000908905172 712884005 /nfs/dbraw/zinc/88/40/05/712884005.db2.gz HKNVSIUXVDMYDX-MRXNPFEDSA-N -1 1 313.357 1.773 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1CCCCC1(OC)OC)C1CC1 ZINC000918060314 713527377 /nfs/dbraw/zinc/52/73/77/713527377.db2.gz BMFIQTQPNQJYTF-CHWSQXEVSA-N -1 1 321.439 1.263 20 0 DDADMM COC1(OC)CC([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1 ZINC000920073898 713649000 /nfs/dbraw/zinc/64/90/00/713649000.db2.gz FLMJSTRDYOANNA-UHFFFAOYSA-N -1 1 311.306 1.647 20 0 DDADMM CC(=O)NC[C@@H]1CN(C[C@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929669366 713664754 /nfs/dbraw/zinc/66/47/54/713664754.db2.gz MQEUTMFWQSYJSW-BLLLJJGKSA-N -1 1 320.389 1.048 20 0 DDADMM CC(C)C(=O)[C@@H]([N-]S(=O)(=O)c1nncn1C)c1ccccc1 ZINC000920544664 713678842 /nfs/dbraw/zinc/67/88/42/713678842.db2.gz CPMRWOUWPOYDEB-LBPRGKRZSA-N -1 1 322.390 1.060 20 0 DDADMM CCN1CCC[C@H]([N-]S(=O)(=O)c2sccc2Cl)C1=O ZINC000921490934 713786574 /nfs/dbraw/zinc/78/65/74/713786574.db2.gz WEQZEVJHLAIBLP-VIFPVBQESA-N -1 1 322.839 1.691 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@]2(C)CCCCO2)sc1C ZINC000921674443 713838640 /nfs/dbraw/zinc/83/86/40/713838640.db2.gz COWGDNDEBZLCJB-LBPRGKRZSA-N -1 1 304.437 1.997 20 0 DDADMM O=S(=O)([N-]Cc1cccc2c1OCC2)c1c[nH]nc1Cl ZINC000921893755 713899509 /nfs/dbraw/zinc/89/95/09/713899509.db2.gz ZNSJGZKFTXDILA-UHFFFAOYSA-N -1 1 313.766 1.477 20 0 DDADMM O=S(=O)(C[C@H]1CCOC1)NCCc1c(F)cc([O-])cc1F ZINC000921967560 713922935 /nfs/dbraw/zinc/92/29/35/713922935.db2.gz USKNDTLTSLAZNA-VIFPVBQESA-N -1 1 321.345 1.169 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000921972159 713924517 /nfs/dbraw/zinc/92/45/17/713924517.db2.gz ZTSXWWOTNIHNSK-SCZZXKLOSA-N -1 1 321.757 1.709 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](CO)C1)c1cc(Cl)cnc1Cl ZINC000922062881 713950326 /nfs/dbraw/zinc/95/03/26/713950326.db2.gz BBFVWTLAAMSFNX-FKQCQYRASA-N -1 1 311.190 1.438 20 0 DDADMM CC[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@H]1CCCOC1 ZINC000922132929 713970615 /nfs/dbraw/zinc/97/06/15/713970615.db2.gz ZVNUUFBFXOEVMC-AAEUAGOBSA-N -1 1 305.378 1.995 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC(=O)CC34CCC4)ccnc1-2 ZINC000931130524 714010219 /nfs/dbraw/zinc/01/02/19/714010219.db2.gz USQLBUSZTLBYMF-UHFFFAOYSA-N -1 1 313.361 1.461 20 0 DDADMM CN(CC(C)(C)O)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932046084 714245400 /nfs/dbraw/zinc/24/54/00/714245400.db2.gz TWAASMZNDWVYOZ-UHFFFAOYSA-N -1 1 304.350 1.813 20 0 DDADMM CC(C)C[C@@](C)(CNC(=O)CN(C)[C@H]1CCSC1)C(=O)[O-] ZINC000923191594 714266435 /nfs/dbraw/zinc/26/64/35/714266435.db2.gz YPNSDHBZBGQNQS-WFASDCNBSA-N -1 1 316.467 1.677 20 0 DDADMM COC(=O)c1cncc(CN2CCC(CCC(=O)[O-])CC2)c1 ZINC000923255685 714286484 /nfs/dbraw/zinc/28/64/84/714286484.db2.gz PWIQSEIGVZDJOL-UHFFFAOYSA-N -1 1 306.362 1.945 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](O)Cc2ccc(F)cc2)c([O-])c1 ZINC000923767856 714466758 /nfs/dbraw/zinc/46/67/58/714466758.db2.gz KBUPOMSMFTVPJS-CYBMUJFWSA-N -1 1 304.321 1.568 20 0 DDADMM O=C(NCCO[C@H]1CCOC1)NCc1ccc([O-])c(Cl)c1 ZINC000923983256 714500232 /nfs/dbraw/zinc/50/02/32/714500232.db2.gz YDOQNOWYDSAGSI-NSHDSACASA-N -1 1 314.769 1.650 20 0 DDADMM COC[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H]1CCCOC1 ZINC000924687680 714640395 /nfs/dbraw/zinc/64/03/95/714640395.db2.gz JEYTWTLKXXSUEC-YPMHNXCESA-N -1 1 321.377 1.231 20 0 DDADMM CCOC(=O)[C@H](C[C@H]1CCCO1)NC(=O)c1ncc(C)cc1[O-] ZINC000924878339 714686154 /nfs/dbraw/zinc/68/61/54/714686154.db2.gz IRDYWTARJNZOHZ-NEPJUHHUSA-N -1 1 322.361 1.326 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2nccnc2C)[n-]c1=O ZINC000934269343 714781290 /nfs/dbraw/zinc/78/12/90/714781290.db2.gz GFMDXAPXYIHLOF-GFCCVEGCSA-N -1 1 301.350 1.626 20 0 DDADMM C[C@]1(C2CC2)NC(=O)N(CCc2c(F)cc([O-])cc2F)C1=O ZINC000925463204 714864575 /nfs/dbraw/zinc/86/45/75/714864575.db2.gz RCXZSPNDWMYLMY-OAHLLOKOSA-N -1 1 310.300 1.933 20 0 DDADMM O=C(c1ccc(OC(F)F)nc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000935037346 714961291 /nfs/dbraw/zinc/96/12/91/714961291.db2.gz SFWFUGCXVNONEJ-VIFPVBQESA-N -1 1 324.291 1.216 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1cccc(O)c1 ZINC000935793994 715128784 /nfs/dbraw/zinc/12/87/84/715128784.db2.gz LAWORQUTTZYKDZ-PWSUYJOCSA-N -1 1 317.345 1.991 20 0 DDADMM O=C(NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-])C1CCC1 ZINC000937362818 715350098 /nfs/dbraw/zinc/35/00/98/715350098.db2.gz NDWYGVBGFWPWDD-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM O=C([N-][C@H]1CCN(C(=O)c2c(F)cc(F)cc2F)C1)C(F)F ZINC000937586784 715422734 /nfs/dbraw/zinc/42/27/34/715422734.db2.gz VIRWDWTYNLAWHQ-ZETCQYMHSA-N -1 1 322.233 1.700 20 0 DDADMM Cc1occc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937860875 715616636 /nfs/dbraw/zinc/61/66/36/715616636.db2.gz NMTQUVDSTFSLHE-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849140 715635862 /nfs/dbraw/zinc/63/58/62/715635862.db2.gz LYSXRYMNIBLXTI-BDJLRTHQSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956851044 715637812 /nfs/dbraw/zinc/63/78/12/715637812.db2.gz AORWJJYZMUQJCR-UVWXRNBGSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000955656057 715895061 /nfs/dbraw/zinc/89/50/61/715895061.db2.gz VIALGKGPXODGSG-RYUDHWBXSA-N -1 1 305.378 1.553 20 0 DDADMM CC(=O)N[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@H](C)C1 ZINC000955683230 715903933 /nfs/dbraw/zinc/90/39/33/715903933.db2.gz AAUXCMAJRMLHED-BXKDBHETSA-N -1 1 318.377 1.189 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2(C)CC2)C1 ZINC000938650651 715936843 /nfs/dbraw/zinc/93/68/43/715936843.db2.gz INOZGLBVWYPUNC-NSHDSACASA-N -1 1 303.362 1.260 20 0 DDADMM CCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1[O-])C2 ZINC000957817871 716067155 /nfs/dbraw/zinc/06/71/55/716067155.db2.gz CUXCOYQSHJSJQU-SRVKXCTJSA-N -1 1 303.362 1.307 20 0 DDADMM CC1(C)CC(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC000959991158 716380524 /nfs/dbraw/zinc/38/05/24/716380524.db2.gz GIQFKWJZMUCDAL-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H](C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CCC1 ZINC000960039428 716395792 /nfs/dbraw/zinc/39/57/92/716395792.db2.gz XNMZOUIEKVJUNN-AAEUAGOBSA-N -1 1 321.425 1.417 20 0 DDADMM CC(C)C(=O)N1CC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959064810 716852092 /nfs/dbraw/zinc/85/20/92/716852092.db2.gz JBSMIADZEVMIRJ-NEPJUHHUSA-N -1 1 305.378 1.410 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940774910 716954249 /nfs/dbraw/zinc/95/42/49/716954249.db2.gz KPSJZQLYNYCAID-GFCCVEGCSA-N -1 1 317.389 1.508 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959428121 717012681 /nfs/dbraw/zinc/01/26/81/717012681.db2.gz RNBILCUPTBVJKT-WIGWWYOCSA-N -1 1 317.389 1.578 20 0 DDADMM CC(F)(F)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000943492176 718128398 /nfs/dbraw/zinc/12/83/98/718128398.db2.gz HGMCOVFVAXIERL-UHFFFAOYSA-N -1 1 313.304 1.163 20 0 DDADMM C[C@H]1CN(C(=O)C=C2CCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966314109 718511206 /nfs/dbraw/zinc/51/12/06/718511206.db2.gz UZUKGVLVULAAPV-WCQYABFASA-N -1 1 315.373 1.474 20 0 DDADMM CCN(C(=O)c1ccoc1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967788398 719023117 /nfs/dbraw/zinc/02/31/17/719023117.db2.gz WONJIDQZGOCSRY-UHFFFAOYSA-N -1 1 315.329 1.367 20 0 DDADMM CC[C@H](C)C(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC000967901448 719080052 /nfs/dbraw/zinc/08/00/52/719080052.db2.gz QRCVMCUXLSFRRY-NSHDSACASA-N -1 1 305.378 1.506 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)CC[N@H+]1CCF ZINC000947925784 719308955 /nfs/dbraw/zinc/30/89/55/719308955.db2.gz RPYDNDGTUQDOLX-ZJUUUORDSA-N -1 1 322.340 1.481 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)CCN1CCF ZINC000947925784 719308957 /nfs/dbraw/zinc/30/89/57/719308957.db2.gz RPYDNDGTUQDOLX-ZJUUUORDSA-N -1 1 322.340 1.481 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1CC=CC1 ZINC000948619184 719592199 /nfs/dbraw/zinc/59/21/99/719592199.db2.gz UGKQDHFYWXDQQA-DGCLKSJQSA-N -1 1 315.373 1.330 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886574 719843862 /nfs/dbraw/zinc/84/38/62/719843862.db2.gz RKOZECMZCNLRGC-RVMXOQNASA-N -1 1 315.373 1.259 20 0 DDADMM Cc1ncoc1C[NH+]1CC([C@H](C)NC(=O)c2ccccc2O)C1 ZINC000969326095 720060619 /nfs/dbraw/zinc/06/06/19/720060619.db2.gz DMSYFRIBLPFIEO-NSHDSACASA-N -1 1 315.373 1.939 20 0 DDADMM CCC1(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000949538960 720147294 /nfs/dbraw/zinc/14/72/94/720147294.db2.gz UTELGUXFGWRYDX-LLVKDONJSA-N -1 1 303.362 1.308 20 0 DDADMM CC1(CC(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000949541179 720148856 /nfs/dbraw/zinc/14/88/56/720148856.db2.gz BONVQGYMKBLJHX-NSHDSACASA-N -1 1 303.362 1.308 20 0 DDADMM CC(=O)N1CCC(N(CC2CC2)C(=O)c2ncccc2[O-])CC1 ZINC000952611838 721480139 /nfs/dbraw/zinc/48/01/39/721480139.db2.gz PHQXQGRMRCSAOW-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC[C@@H](F)C2)C1 ZINC000954125602 721724298 /nfs/dbraw/zinc/72/42/98/721724298.db2.gz UYUMQRAHNXAIID-WDEREUQCSA-N -1 1 321.352 1.208 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001022353144 734502905 /nfs/dbraw/zinc/50/29/05/734502905.db2.gz BJJITIWUJJGOTR-YVECIDJPSA-N -1 1 315.373 1.116 20 0 DDADMM COc1ccccc1CN(C)c1nnc(-c2c[n-][nH]c2=O)n1C ZINC001121455370 782514415 /nfs/dbraw/zinc/51/44/15/782514415.db2.gz GIFUELUKQRJJHB-UHFFFAOYSA-N -1 1 314.349 1.556 20 0 DDADMM C/C(=C\C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001024887723 736149555 /nfs/dbraw/zinc/14/95/55/736149555.db2.gz ZRFNSFDRPGJTEY-RUNBWSAHSA-N -1 1 319.409 1.337 20 0 DDADMM CCC(=O)N[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])C12CCC2 ZINC001025826570 736876637 /nfs/dbraw/zinc/87/66/37/736876637.db2.gz LJYUULSDVMBQGP-NEPJUHHUSA-N -1 1 303.362 1.354 20 0 DDADMM CC(C)C(=O)N[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])C12CCC2 ZINC001025880934 736911532 /nfs/dbraw/zinc/91/15/32/736911532.db2.gz GLHKPNZWUPNKFZ-CHWSQXEVSA-N -1 1 317.389 1.600 20 0 DDADMM Cc1cc(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)co1 ZINC000974055936 737352993 /nfs/dbraw/zinc/35/29/93/737352993.db2.gz DNAOFTKYISHBFF-TXEJJXNPSA-N -1 1 315.329 1.379 20 0 DDADMM O=[P@]([O-])(O)C1(Nc2ncncc2F)Cc2ccccc2C1 ZINC001167939934 739754682 /nfs/dbraw/zinc/75/46/82/739754682.db2.gz SICCAYKLFKPFPE-UHFFFAOYSA-N -1 1 309.237 1.700 20 0 DDADMM CC(C)(C)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088416958 741476159 /nfs/dbraw/zinc/47/61/59/741476159.db2.gz CSAUTJJSPYUVMQ-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM CSc1nc(NC(=O)CC2CCN(C(C)=O)CC2)cc(=O)[n-]1 ZINC001180653302 742876811 /nfs/dbraw/zinc/87/68/11/742876811.db2.gz BTBPAFGEKXCZKV-UHFFFAOYSA-N -1 1 324.406 1.491 20 0 DDADMM CC(C)C(=O)N1CCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002167304 742913156 /nfs/dbraw/zinc/91/31/56/742913156.db2.gz IQUXBYBFHKFKKB-LBPRGKRZSA-N -1 1 305.378 1.506 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(c2ccc(Cl)cc2Cl)CC1 ZINC001180856456 742965041 /nfs/dbraw/zinc/96/50/41/742965041.db2.gz JHVNLWXYQFGQNR-UHFFFAOYSA-N -1 1 312.160 1.855 20 0 DDADMM CN(C)c1nc(NC(=O)[C@H]2CCCC[C@@H]2O)c(N=O)c(=O)[n-]1 ZINC001181081541 743069023 /nfs/dbraw/zinc/06/90/23/743069023.db2.gz BDMXAYJCNKMCFT-YUMQZZPRSA-N -1 1 309.326 1.136 20 0 DDADMM CN(C)c1nc(NC(=O)[C@H]2C[C@]2(F)Cl)c(N=O)c(=O)[n-]1 ZINC001181659782 743289759 /nfs/dbraw/zinc/28/97/59/743289759.db2.gz ONIMUZOKIXVNRQ-PHNJOPHVSA-N -1 1 303.681 1.509 20 0 DDADMM O=C(CSc1c(Cl)cccc1Cl)NCc1nn[n-]n1 ZINC001182165194 743504986 /nfs/dbraw/zinc/50/49/86/743504986.db2.gz UDQSPZCXLMPQPO-UHFFFAOYSA-N -1 1 318.189 1.915 20 0 DDADMM CN(C)c1cccc2sc(C(=O)NN3CC(=O)[N-]C3=O)cc21 ZINC001182302620 743554875 /nfs/dbraw/zinc/55/48/75/743554875.db2.gz FORBFQOEWDPSNO-UHFFFAOYSA-N -1 1 318.358 1.164 20 0 DDADMM Cn1nnc([N-]C(=O)c2cc(-c3ccc4c(c3)CCO4)no2)n1 ZINC001127015907 743558086 /nfs/dbraw/zinc/55/80/86/743558086.db2.gz JJRXBCNDESSKAA-UHFFFAOYSA-N -1 1 312.289 1.052 20 0 DDADMM COCCOCc1cc(C(=O)Nc2c[n-][nH]c2=O)ccc1F ZINC001183562930 743857285 /nfs/dbraw/zinc/85/72/85/743857285.db2.gz MSFBBPQWHTYHMB-UHFFFAOYSA-N -1 1 309.297 1.670 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)CCCCOc1ccccc1 ZINC001184363283 744020034 /nfs/dbraw/zinc/02/00/34/744020034.db2.gz GIOPUNPTJHAVEY-UHFFFAOYSA-N -1 1 318.333 1.658 20 0 DDADMM CC(C)(C)c1ccnc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)c1 ZINC001184551006 744067739 /nfs/dbraw/zinc/06/77/39/744067739.db2.gz YLPCPEPYNQIKDI-UHFFFAOYSA-N -1 1 303.322 1.415 20 0 DDADMM O=C(CNC(=O)c1ccc([O-])c(F)c1)NCc1ccc(F)cc1 ZINC001186233662 744360713 /nfs/dbraw/zinc/36/07/13/744360713.db2.gz NBBUYTRHKVSGDF-UHFFFAOYSA-N -1 1 320.295 1.717 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1C[C@@H](OCC2CC2)[C@H]2COC[C@H]21 ZINC001186328120 744375548 /nfs/dbraw/zinc/37/55/48/744375548.db2.gz VRJHHVOOZJILFD-NUEKZKHPSA-N -1 1 321.348 1.797 20 0 DDADMM COC(=O)[C@@H](Cc1cccnc1)NC(=O)c1ccc([O-])cc1F ZINC001186347975 744380878 /nfs/dbraw/zinc/38/08/78/744380878.db2.gz DVIQSZFHGXJZPY-CQSZACIVSA-N -1 1 318.304 1.440 20 0 DDADMM C[C@H](CCC(C)(C)C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187106337 744497546 /nfs/dbraw/zinc/49/75/46/744497546.db2.gz FCTQMMBJYNMCIZ-VXGBXAGGSA-N -1 1 323.441 1.663 20 0 DDADMM COc1ccc2[nH]c(C(=O)N=c3ncnc4[nH][n-]c(C)c3-4)cc2n1 ZINC001151609619 744512129 /nfs/dbraw/zinc/51/21/29/744512129.db2.gz RFTVBMSROUIMHU-UHFFFAOYSA-N -1 1 323.316 1.172 20 0 DDADMM CC(C)(F)C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992050445 744561328 /nfs/dbraw/zinc/56/13/28/744561328.db2.gz WJWGIGDWKKEYEF-UHFFFAOYSA-N -1 1 309.341 1.160 20 0 DDADMM O=C(Nc1ccc(-n2ccnn2)cc1)c1n[n-]nc1C(F)(F)F ZINC001187763340 744607247 /nfs/dbraw/zinc/60/72/47/744607247.db2.gz NFAVJIVKFXVJHU-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM CSc1nc(NC(=O)c2ccccc2[S@](C)=O)cc(=O)[n-]1 ZINC001187821237 744613014 /nfs/dbraw/zinc/61/30/14/744613014.db2.gz XEJVLRDZJZHAKB-NRFANRHFSA-N -1 1 323.399 1.894 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1ccc(F)cc1)c1nccs1 ZINC001187901249 744626947 /nfs/dbraw/zinc/62/69/47/744626947.db2.gz DEYSBBWIGPXTPZ-SNVBAGLBSA-N -1 1 302.352 1.294 20 0 DDADMM O=S(=O)([N-]CCc1cn2ccccc2n1)c1nccs1 ZINC001187913085 744629186 /nfs/dbraw/zinc/62/91/86/744629186.db2.gz UIGRNEJVNLXRGT-UHFFFAOYSA-N -1 1 308.388 1.312 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cncc(O)c1 ZINC001187986999 744643429 /nfs/dbraw/zinc/64/34/29/744643429.db2.gz PRKBTYRKPMTMSI-UHFFFAOYSA-N -1 1 321.358 1.801 20 0 DDADMM CCCC[C@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001188196449 744671962 /nfs/dbraw/zinc/67/19/62/744671962.db2.gz MYQQQSXAWAJMHC-OLZOCXBDSA-N -1 1 323.441 1.663 20 0 DDADMM CCc1cc(C(=O)NCCCC[P@](=O)([O-])O)cc(C)n1 ZINC001188352020 744699151 /nfs/dbraw/zinc/69/91/51/744699151.db2.gz XYCOWJVKQKFFIK-UHFFFAOYSA-N -1 1 300.295 1.640 20 0 DDADMM CS(=O)(=O)c1ccc([N-]S(=O)(=O)CC2CC2)cc1Cl ZINC001188963997 744800686 /nfs/dbraw/zinc/80/06/86/744800686.db2.gz OWYVDERVOJZUMS-UHFFFAOYSA-N -1 1 323.823 1.895 20 0 DDADMM COC(=O)c1ccc(F)c(F)c1[N-]S(=O)(=O)CC1CC1 ZINC001188964376 744800554 /nfs/dbraw/zinc/80/05/54/744800554.db2.gz WKIQALMLNWINRN-UHFFFAOYSA-N -1 1 305.302 1.903 20 0 DDADMM CS(=O)(=O)c1ccc([N-]S(=O)(=O)CC2CC2)cc1F ZINC001188968829 744801952 /nfs/dbraw/zinc/80/19/52/744801952.db2.gz IPEYKBBETMHMAO-UHFFFAOYSA-N -1 1 307.368 1.381 20 0 DDADMM O=S(=O)(Cc1ccc(F)cc1F)[N-]c1cnn(CCO)c1 ZINC001189789541 744982789 /nfs/dbraw/zinc/98/27/89/744982789.db2.gz ZEUWDJVJSIVUED-UHFFFAOYSA-N -1 1 317.317 1.096 20 0 DDADMM COc1ccc(NC(C)=O)c([N-]S(=O)(=O)CCCF)c1 ZINC001189911073 745028047 /nfs/dbraw/zinc/02/80/47/745028047.db2.gz HHFZOXYNQNCUSD-UHFFFAOYSA-N -1 1 304.343 1.755 20 0 DDADMM COc1cc([O-])c(C(=O)N(C)c2nccnc2N)c(OC)c1 ZINC001190110756 745118297 /nfs/dbraw/zinc/11/82/97/745118297.db2.gz WUOYNYDGPWZKIL-UHFFFAOYSA-N -1 1 304.306 1.058 20 0 DDADMM O=C(Nc1cn[nH]c(=O)c1)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190261261 745165882 /nfs/dbraw/zinc/16/58/82/745165882.db2.gz RNMCGWXCVAEXIJ-UHFFFAOYSA-N -1 1 309.285 1.597 20 0 DDADMM CO[C@@H]1CCCN(C(=O)c2cnc(-c3ccccn3)[n-]c2=O)C1 ZINC001190619992 745261674 /nfs/dbraw/zinc/26/16/74/745261674.db2.gz LVQIRVKORDBMPU-LLVKDONJSA-N -1 1 314.345 1.495 20 0 DDADMM O=C(NC[C@@H]1CCCCO1)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190628894 745278807 /nfs/dbraw/zinc/27/88/07/745278807.db2.gz DEYFYYQMJCHITG-NSHDSACASA-N -1 1 314.345 1.543 20 0 DDADMM O=C(NCCC1COC1)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629908 745279236 /nfs/dbraw/zinc/27/92/36/745279236.db2.gz ZVRQWFWEALJPAM-UHFFFAOYSA-N -1 1 300.318 1.011 20 0 DDADMM CCOc1ccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)nc1 ZINC001190778543 745328271 /nfs/dbraw/zinc/32/82/71/745328271.db2.gz XDWILZFAOXBLFL-UHFFFAOYSA-N -1 1 301.228 1.870 20 0 DDADMM COc1cc(C(=O)N[C@H]2C=CS(=O)(=O)C2)cc(Cl)c1[O-] ZINC001191148904 745436540 /nfs/dbraw/zinc/43/65/40/745436540.db2.gz UHYOHUCIIVQEEO-QMMMGPOBSA-N -1 1 317.750 1.095 20 0 DDADMM COc1cc(C(=O)NC[C@@H](C)CNC(C)=O)cc(Cl)c1[O-] ZINC001191157941 745438681 /nfs/dbraw/zinc/43/86/81/745438681.db2.gz HBCXVUMAGWGBKW-QMMMGPOBSA-N -1 1 314.769 1.556 20 0 DDADMM CSc1ncc(C(=O)NC[C@H]2CCOC(C)(C)C2)c(=O)[n-]1 ZINC001191440902 745513491 /nfs/dbraw/zinc/51/34/91/745513491.db2.gz ONBKGVGGBAJLGW-VIFPVBQESA-N -1 1 311.407 1.839 20 0 DDADMM COc1ccc2nccc([N-]S(=O)(=O)CC3CCCC3)c2n1 ZINC001191489491 745521391 /nfs/dbraw/zinc/52/13/91/745521391.db2.gz QLNDNFBTNBJEEW-UHFFFAOYSA-N -1 1 321.402 1.992 20 0 DDADMM O=C([N-]c1[nH]nc2nccnc12)c1nc(Cc2ccccc2)no1 ZINC001192262774 745737091 /nfs/dbraw/zinc/73/70/91/745737091.db2.gz PHAQSGDAACLWIM-UHFFFAOYSA-N -1 1 321.300 1.531 20 0 DDADMM CC(C)(O)c1ccc(C(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001192588828 745834209 /nfs/dbraw/zinc/83/42/09/745834209.db2.gz AKFWWXWIGUPVGY-UHFFFAOYSA-N -1 1 315.306 1.602 20 0 DDADMM CN=c1c(N)cc(Cl)nn1C(=O)c1cc([O-])cc(F)c1F ZINC001192661117 745846638 /nfs/dbraw/zinc/84/66/38/745846638.db2.gz JTTKWKWKGATJIE-UHFFFAOYSA-N -1 1 314.679 1.322 20 0 DDADMM COc1cc(OC)c([N-]S(=O)(=O)C[C@@H]2CCCO2)cn1 ZINC001193214365 746016826 /nfs/dbraw/zinc/01/68/26/746016826.db2.gz YXSZGZLTDWUQPP-VIFPVBQESA-N -1 1 302.352 1.020 20 0 DDADMM O=C(N[C@@H]1CCCN(Cc2cnns2)C1)c1ncccc1[O-] ZINC001007191207 752054947 /nfs/dbraw/zinc/05/49/47/752054947.db2.gz SKAZXUXBOGPTQG-SNVBAGLBSA-N -1 1 319.390 1.033 20 0 DDADMM COc1ccnc(C(=O)n2c(N)nc(=O)c3ccccc32)c1[O-] ZINC001193542591 746125297 /nfs/dbraw/zinc/12/52/97/746125297.db2.gz HTVIQLMOXWDEAO-UHFFFAOYSA-N -1 1 312.285 1.019 20 0 DDADMM COc1ccnc(C(=O)Nc2ncc(C(F)(F)F)cn2)c1[O-] ZINC001193520143 746132272 /nfs/dbraw/zinc/13/22/72/746132272.db2.gz JICNACSDTKHGON-UHFFFAOYSA-N -1 1 314.223 1.857 20 0 DDADMM CCn1cccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1=O ZINC001194469538 746380991 /nfs/dbraw/zinc/38/09/91/746380991.db2.gz RYSGWDOYTFWDIC-UHFFFAOYSA-N -1 1 301.228 1.257 20 0 DDADMM Cc1cccc(C)c1S(=O)(=O)NCC[N-]C(=O)C(F)(F)F ZINC001194651943 746424622 /nfs/dbraw/zinc/42/46/22/746424622.db2.gz IIKGGKILAJXYKP-UHFFFAOYSA-N -1 1 324.324 1.260 20 0 DDADMM O=C1CCC(S(=O)(=O)[N-]c2cc(F)cc(F)c2CO)CC1 ZINC001194808830 746465156 /nfs/dbraw/zinc/46/51/56/746465156.db2.gz BGQVAWAWLYZMBZ-UHFFFAOYSA-N -1 1 319.329 1.711 20 0 DDADMM CN1CC2(C1)CN(C(=O)c1c([O-])c(F)c(F)c(F)c1F)C2 ZINC001195294225 746570191 /nfs/dbraw/zinc/57/01/91/746570191.db2.gz BCWRDEFMWZTPLM-UHFFFAOYSA-N -1 1 304.243 1.336 20 0 DDADMM CN(C(=O)c1cc([O-])cnc1Cl)[C@@H]1CCC2(C1)OCCO2 ZINC001195308585 746572505 /nfs/dbraw/zinc/57/25/05/746572505.db2.gz HBULPOYTXZZQKC-SECBINFHSA-N -1 1 312.753 1.808 20 0 DDADMM Nc1ccc(OCCO)c(NC(=O)c2cc([O-])cnc2Cl)c1 ZINC001195309185 746573042 /nfs/dbraw/zinc/57/30/42/746573042.db2.gz NXVHIRJCINOJHW-UHFFFAOYSA-N -1 1 323.736 1.646 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC000994408657 746642140 /nfs/dbraw/zinc/64/21/40/746642140.db2.gz VOTUTWVNAQZULW-FDYHWXHSSA-N -1 1 317.389 1.268 20 0 DDADMM Cn1cc2cccc(CNC(=O)c3c[nH]c(=S)[n-]c3=O)c2n1 ZINC001196023248 746760049 /nfs/dbraw/zinc/76/00/49/746760049.db2.gz HTHVVJUQHWEFBN-UHFFFAOYSA-N -1 1 315.358 1.288 20 0 DDADMM Cc1ncc(C(=O)Nc2nc(Br)ccc2[O-])n1C ZINC001196067133 746765061 /nfs/dbraw/zinc/76/50/61/746765061.db2.gz NNGKTWBODHZDDQ-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM O=C(N[C@H](c1cncc(F)c1)C1CC1)c1c[nH]c(=S)[n-]c1=O ZINC001196026968 746765782 /nfs/dbraw/zinc/76/57/82/746765782.db2.gz OJIBLHAUOCTMBN-NSHDSACASA-N -1 1 320.349 1.886 20 0 DDADMM Cc1cc([O-])c(C(=O)NC=N)cc1I ZINC001196140156 746781826 /nfs/dbraw/zinc/78/18/26/746781826.db2.gz SFSXQRMNKHJGFJ-UHFFFAOYSA-N -1 1 304.087 1.642 20 0 DDADMM Cc1ncc(NC(=O)c2sccc2[N-]S(C)(=O)=O)cn1 ZINC001196721343 746943831 /nfs/dbraw/zinc/94/38/31/746943831.db2.gz IFZMOJFFYBFKFR-UHFFFAOYSA-N -1 1 312.376 1.470 20 0 DDADMM CC(C)(C)C(=O)OCc1nc(C(=O)[N-]c2nnco2)cs1 ZINC001196998467 747029219 /nfs/dbraw/zinc/02/92/19/747029219.db2.gz OTTDPXGBMUNVLH-UHFFFAOYSA-N -1 1 310.335 1.868 20 0 DDADMM O=C([O-])c1ccc(NC(=S)NCCN2CCC[C@H](O)C2)cc1 ZINC001197136901 747063322 /nfs/dbraw/zinc/06/33/22/747063322.db2.gz KHBQGEZAPSNORH-ZDUSSCGKSA-N -1 1 323.418 1.128 20 0 DDADMM NC(=O)c1cc(F)cc([N-]S(=O)(=O)Cc2cccnc2)c1 ZINC001197457848 747179423 /nfs/dbraw/zinc/17/94/23/747179423.db2.gz LODCJBRZGUBOGX-UHFFFAOYSA-N -1 1 309.322 1.262 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccnc(Cl)c1C(F)(F)F ZINC001197798434 747267654 /nfs/dbraw/zinc/26/76/54/747267654.db2.gz ZPWZJEWXYWGCGL-UHFFFAOYSA-N -1 1 306.635 1.197 20 0 DDADMM COC(=O)c1ccc(F)c([N-]S(=O)(=O)Cc2ccccn2)c1 ZINC001197821291 747288825 /nfs/dbraw/zinc/28/88/25/747288825.db2.gz IXIJTYCOYZQIDN-UHFFFAOYSA-N -1 1 324.333 1.949 20 0 DDADMM O=S(=O)([N-]c1cnccc1F)c1ccc2nccnc2c1 ZINC001198121519 747389591 /nfs/dbraw/zinc/38/95/91/747389591.db2.gz YBVSPBIJKBZKJH-UHFFFAOYSA-N -1 1 304.306 1.965 20 0 DDADMM Cc1ccc(S(=O)(=O)NCC(=O)c2ccc([O-])cc2)cn1 ZINC001198342165 747457862 /nfs/dbraw/zinc/45/78/62/747457862.db2.gz YLFQQVKXMBJVFO-UHFFFAOYSA-N -1 1 306.343 1.257 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@H]2C(=O)Nc3cccc(C)c32)n1C ZINC001198415338 747485017 /nfs/dbraw/zinc/48/50/17/747485017.db2.gz PJBANSPDYAOBHY-CYBMUJFWSA-N -1 1 320.374 1.009 20 0 DDADMM CCOC(=O)[C@H](C)NC(=S)Nc1cc([O-])c(F)cc1F ZINC001199837715 748014552 /nfs/dbraw/zinc/01/45/52/748014552.db2.gz XUDSAHDOMYOVQN-LURJTMIESA-N -1 1 304.318 1.908 20 0 DDADMM COC(=O)C[N-]S(=O)(=O)c1cc(Cl)c(Cl)cc1F ZINC001201277014 748465019 /nfs/dbraw/zinc/46/50/19/748465019.db2.gz XUEPJRCRMQKHAW-UHFFFAOYSA-N -1 1 316.137 1.584 20 0 DDADMM CCCCN1CCC[C@H]1C(=O)[N-]S(=O)(=O)c1cccs1 ZINC001201717304 748582994 /nfs/dbraw/zinc/58/29/94/748582994.db2.gz LZTGRVAEBUBRCU-NSHDSACASA-N -1 1 316.448 1.818 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H]1CCCCC1=O ZINC001201764426 748597018 /nfs/dbraw/zinc/59/70/18/748597018.db2.gz MNLWTUOHGLBNPP-SSDOTTSWSA-N -1 1 308.812 1.895 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H](C)c1cnccn1 ZINC001201763745 748597240 /nfs/dbraw/zinc/59/72/40/748597240.db2.gz FORFCCWXWIZLCV-LURJTMIESA-N -1 1 318.811 1.934 20 0 DDADMM CC1(C)CN(C(=O)c2ccccc2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995374889 748623218 /nfs/dbraw/zinc/62/32/18/748623218.db2.gz VFFHGZKPAMNESE-GFCCVEGCSA-N -1 1 315.377 1.151 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cccc(OC)c1OC ZINC001201903736 748634217 /nfs/dbraw/zinc/63/42/17/748634217.db2.gz WUXOFEMHPTXJFK-UHFFFAOYSA-N -1 1 317.363 1.399 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1ccc(C(F)F)cn1 ZINC001201905530 748635538 /nfs/dbraw/zinc/63/55/38/748635538.db2.gz GFEVHWNCOLJYMO-UHFFFAOYSA-N -1 1 308.306 1.714 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001004760808 748751892 /nfs/dbraw/zinc/75/18/92/748751892.db2.gz SZFOOIBCLGNKMC-CLFQDTNISA-N -1 1 317.389 1.720 20 0 DDADMM Cc1sccc1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034930620 751041278 /nfs/dbraw/zinc/04/12/78/751041278.db2.gz XWCFTUOYANWQQI-SNVBAGLBSA-N -1 1 321.406 1.275 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H]1CCn2ccnc2C1 ZINC001168921594 762125878 /nfs/dbraw/zinc/12/58/78/762125878.db2.gz FAWBNPIBBPKWDT-LBPRGKRZSA-N -1 1 323.356 1.976 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](NCc2cnsn2)C1 ZINC000998976644 752413559 /nfs/dbraw/zinc/41/35/59/752413559.db2.gz IIPVPSGYXLDNHY-JTQLQIEISA-N -1 1 319.390 1.033 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](NCc2csnn2)C1 ZINC000998992838 752429661 /nfs/dbraw/zinc/42/96/61/752429661.db2.gz ALVWUTLXOHXCSG-JTQLQIEISA-N -1 1 319.390 1.033 20 0 DDADMM C[C@@H]1CCCN(C(=O)C2=CCCC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036534906 752525190 /nfs/dbraw/zinc/52/51/90/752525190.db2.gz NMIMBWMBAUQSHS-YPMHNXCESA-N -1 1 319.409 1.337 20 0 DDADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)C3CCC3)C[C@H]21)c1ncccc1[O-] ZINC001008972990 753015564 /nfs/dbraw/zinc/01/55/64/753015564.db2.gz HUOODIMOJOIWAR-XYYAHUGASA-N -1 1 315.373 1.022 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2CCC2)C1 ZINC001005953624 753414595 /nfs/dbraw/zinc/41/45/95/753414595.db2.gz UKGKYUMKMYVQQZ-SNVBAGLBSA-N -1 1 303.362 1.164 20 0 DDADMM CCC1(C(=O)N2CC([C@H](C)NC(=O)c3ncccc3[O-])C2)CC1 ZINC001005954658 753415784 /nfs/dbraw/zinc/41/57/84/753415784.db2.gz ZQIUPQJUUJWRJR-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@@H]2CC=CCC2)CC1 ZINC001010294939 753742815 /nfs/dbraw/zinc/74/28/15/753742815.db2.gz ZCACMGOWRNHZBK-CYBMUJFWSA-N -1 1 315.373 1.428 20 0 DDADMM O=C(NC1(CNC(=O)c2ncccc2[O-])CCC1)c1ccco1 ZINC001062839305 753759939 /nfs/dbraw/zinc/75/99/39/753759939.db2.gz LDWAAIIIFXXBQQ-UHFFFAOYSA-N -1 1 315.329 1.463 20 0 DDADMM Cc1csc(CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001010728657 754126916 /nfs/dbraw/zinc/12/69/16/754126916.db2.gz XITIESKGQUGERV-NSHDSACASA-N -1 1 318.402 1.556 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CCCC1 ZINC001012151360 754891443 /nfs/dbraw/zinc/89/14/43/754891443.db2.gz YAPXDDRHAVBFSS-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM Cc1ccc(NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])nn1 ZINC001064897569 754938053 /nfs/dbraw/zinc/93/80/53/754938053.db2.gz PWDKUNGVQJHSMI-LBPRGKRZSA-N -1 1 313.361 1.602 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)/C=C\C1CC1 ZINC001012431354 755067304 /nfs/dbraw/zinc/06/73/04/755067304.db2.gz XJFRDQIRUTWPKP-GGCNOCFKSA-N -1 1 315.373 1.473 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C1CC1 ZINC001014896896 756005328 /nfs/dbraw/zinc/00/53/28/756005328.db2.gz YNFXWOODIYNAFC-JQWIXIFHSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@H]1[C@H](NC(=O)C(C)(C)C)CCCN1C(=O)c1ncccc1[O-] ZINC001015218451 756174560 /nfs/dbraw/zinc/17/45/60/756174560.db2.gz FVOZJGMXMFTFQI-NWDGAFQWSA-N -1 1 319.405 1.943 20 0 DDADMM CCc1nsc(N[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097765113 757509927 /nfs/dbraw/zinc/50/99/27/757509927.db2.gz OIEFWPDPBPSMFI-QMMMGPOBSA-N -1 1 307.379 1.432 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)c2ccoc2)C1 ZINC001017046198 757590972 /nfs/dbraw/zinc/59/09/72/757590972.db2.gz IXYDGHVGRWKSBF-NSHDSACASA-N -1 1 319.365 1.088 20 0 DDADMM CC(C)CC(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017399946 757898786 /nfs/dbraw/zinc/89/87/86/757898786.db2.gz HEIWCVPNJINHDV-UHFFFAOYSA-N -1 1 317.389 1.722 20 0 DDADMM CC[C@@H](C)CN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232575 758288375 /nfs/dbraw/zinc/28/83/75/758288375.db2.gz SIJWPJJATDCTKE-CYBMUJFWSA-N -1 1 319.405 1.360 20 0 DDADMM CC(C)CCN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232710 758289007 /nfs/dbraw/zinc/28/90/07/758289007.db2.gz XAOPXRHQARECRI-UHFFFAOYSA-N -1 1 319.405 1.360 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(c2ncc(F)cn2)C1 ZINC001065244730 758413879 /nfs/dbraw/zinc/41/38/79/758413879.db2.gz AVQCFPPIWGGKKQ-OAHLLOKOSA-N -1 1 317.324 1.115 20 0 DDADMM Cc1cnc([C@H](C)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC001018755915 759226461 /nfs/dbraw/zinc/22/64/61/759226461.db2.gz HXHSRKWYRAVYLK-RYUDHWBXSA-N -1 1 316.361 1.649 20 0 DDADMM C[C@@H]1CN(C(=O)C2C(C)(C)C2(C)C)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054544713 759792096 /nfs/dbraw/zinc/79/20/96/759792096.db2.gz YRPZDWYYHHKFNX-ZJUUUORDSA-N -1 1 321.425 1.129 20 0 DDADMM CN(C(=O)C=Cc1ccc(C(=O)OC(C)(C)C)o1)c1nn[n-]n1 ZINC001131172426 767917826 /nfs/dbraw/zinc/91/78/26/767917826.db2.gz KYMXRGGELHJPKN-VURMDHGXSA-N -1 1 319.321 1.424 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)C(C)(C)C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054973936 760247895 /nfs/dbraw/zinc/24/78/95/760247895.db2.gz MBPHEEDPRJERKE-GHMZBOCLSA-N -1 1 323.441 1.519 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)CC2CC2)C1 ZINC001016430953 760298928 /nfs/dbraw/zinc/29/89/28/760298928.db2.gz NPLRGZGINAQWAK-UHFFFAOYSA-N -1 1 303.362 1.307 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2ncncc2Cl)CC1 ZINC001055777339 760717128 /nfs/dbraw/zinc/71/71/28/760717128.db2.gz SWGIJSBFGOCDJO-UHFFFAOYSA-N -1 1 319.752 1.193 20 0 DDADMM Cc1nccc(NC2CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC001057314659 763399913 /nfs/dbraw/zinc/39/99/13/763399913.db2.gz VGNMZTSAZKXAGA-UHFFFAOYSA-N -1 1 313.361 1.602 20 0 DDADMM O=C(C[C@H]1CC=CCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045154808 766119048 /nfs/dbraw/zinc/11/90/48/766119048.db2.gz IDQPEJAFXBYJIO-STQMWFEESA-N -1 1 319.409 1.337 20 0 DDADMM COCc1nc(Cl)cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170213375 766172534 /nfs/dbraw/zinc/17/25/34/766172534.db2.gz FSHAYLBCXGEJKB-UHFFFAOYSA-N -1 1 323.700 1.049 20 0 DDADMM CCOc1cnc(Cl)nc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170213455 766172733 /nfs/dbraw/zinc/17/27/33/766172733.db2.gz IXVBWFJOKZLBFL-UHFFFAOYSA-N -1 1 323.700 1.301 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3c(Cl)cnnc3Cl)c2[nH]1 ZINC001170214148 766173943 /nfs/dbraw/zinc/17/39/43/766173943.db2.gz YDOXITOTROTRLD-UHFFFAOYSA-N -1 1 314.092 1.556 20 0 DDADMM CCc1ncc(Cl)nc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170218023 766176566 /nfs/dbraw/zinc/17/65/66/766176566.db2.gz OWKPRLLXNFXNFK-UHFFFAOYSA-N -1 1 307.701 1.465 20 0 DDADMM COCOc1ccc(OC)cc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170228896 766203527 /nfs/dbraw/zinc/20/35/27/766203527.db2.gz NLFTUXWKMBRHQQ-UHFFFAOYSA-N -1 1 317.305 1.793 20 0 DDADMM CCC[C@H](O)C[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001116462562 780511224 /nfs/dbraw/zinc/51/12/24/780511224.db2.gz ZODWBCHFIGHXST-ZETCQYMHSA-N -1 1 313.206 1.828 20 0 DDADMM Cc1cccc2c(C(=O)NCCNCc3n[nH]c(=O)[n-]3)coc21 ZINC001129557760 766939889 /nfs/dbraw/zinc/93/98/89/766939889.db2.gz NPNZLSXZWZXXAB-UHFFFAOYSA-N -1 1 315.333 1.085 20 0 DDADMM CC/C=C(/C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070499791 768530651 /nfs/dbraw/zinc/53/06/51/768530651.db2.gz FZOBHOBNVQRIMZ-MVXISAMASA-N -1 1 317.389 1.864 20 0 DDADMM CCCCCC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071609636 769712128 /nfs/dbraw/zinc/71/21/28/769712128.db2.gz HUOKERICGFVMHN-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071636518 769767339 /nfs/dbraw/zinc/76/73/39/769767339.db2.gz IZCBPPQLGZDPDD-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM CN1CC(CNC(F)(F)c2cc(Cl)nnc2C(=O)[O-])C1 ZINC001159223162 771105269 /nfs/dbraw/zinc/10/52/69/771105269.db2.gz YBNHRXUNNIWAOA-UHFFFAOYSA-N -1 1 306.700 1.029 20 0 DDADMM Cc1ccnc(N[C@@H](CNC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001096641382 771365785 /nfs/dbraw/zinc/36/57/85/771365785.db2.gz ZHONGEOIBJMCCR-LBPRGKRZSA-N -1 1 313.361 1.506 20 0 DDADMM Cc1ccnc(N[C@H](CNC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001096641381 771365805 /nfs/dbraw/zinc/36/58/05/771365805.db2.gz ZHONGEOIBJMCCR-GFCCVEGCSA-N -1 1 313.361 1.506 20 0 DDADMM CC(=O)NCC[C@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001075246240 771460122 /nfs/dbraw/zinc/46/01/22/771460122.db2.gz QCZNOQHGXPQNOK-VIFPVBQESA-N -1 1 308.382 1.259 20 0 DDADMM C[S@](=O)c1ccc(NC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC001136772032 772134894 /nfs/dbraw/zinc/13/48/94/772134894.db2.gz RCZODDZTUGHMRX-NRFANRHFSA-N -1 1 303.339 1.951 20 0 DDADMM CCOC(=O)[C@H]1COCCN1Cc1c(F)cc([O-])cc1F ZINC001144562084 772565124 /nfs/dbraw/zinc/56/51/24/772565124.db2.gz YDNKGQOKBRRGBO-CYBMUJFWSA-N -1 1 301.289 1.434 20 0 DDADMM C[C@@H]1CN(C2CN(Cc3cc(F)c([O-])cc3F)C2)C[C@@H](C)O1 ZINC001144663246 772590280 /nfs/dbraw/zinc/59/02/80/772590280.db2.gz APMKLKLUPQEESI-GHMZBOCLSA-N -1 1 312.360 1.964 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(OCc2ccncc2)cc1 ZINC001144687127 772596667 /nfs/dbraw/zinc/59/66/67/772596667.db2.gz ZKEVXYWAZFGSGG-UHFFFAOYSA-N -1 1 324.344 1.745 20 0 DDADMM COC(=O)[C@@]1(C)CCCN(C(=O)c2c(CO)cnc(C)c2[O-])C1 ZINC001147837966 773264453 /nfs/dbraw/zinc/26/44/53/773264453.db2.gz GXXOTOYLABTVJD-INIZCTEOSA-N -1 1 322.361 1.003 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(-n2[n-]c(C)cc2=O)cc1)C1CC1 ZINC001148341349 773443626 /nfs/dbraw/zinc/44/36/26/773443626.db2.gz ZHJOVXZMTHBBCR-OAHLLOKOSA-N -1 1 315.373 1.954 20 0 DDADMM CSc1nc(NC(=O)c2cccc(C(N)=O)c2)cc(=O)[n-]1 ZINC001148539253 773516140 /nfs/dbraw/zinc/51/61/40/773516140.db2.gz PJDGHTIPWNRSIA-UHFFFAOYSA-N -1 1 304.331 1.255 20 0 DDADMM Cc1cc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc(C)c1O ZINC001148550134 773520715 /nfs/dbraw/zinc/52/07/15/773520715.db2.gz PEWUDCUDPHMGEU-UHFFFAOYSA-N -1 1 304.262 1.860 20 0 DDADMM CC[C@@](C)(CC(=O)OC)NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001148925082 773651583 /nfs/dbraw/zinc/65/15/83/773651583.db2.gz ZOGHUOCLYWAATK-INIZCTEOSA-N -1 1 307.346 1.975 20 0 DDADMM O=C(NCc1cccnn1)c1cc([O-])c(Br)c(O)c1 ZINC001148990548 773666677 /nfs/dbraw/zinc/66/66/77/773666677.db2.gz WUYONEXGUQOYAO-UHFFFAOYSA-N -1 1 324.134 1.580 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@H]1CC=CCC1 ZINC001092459024 774130113 /nfs/dbraw/zinc/13/01/13/774130113.db2.gz CDVHWYIBEQUXPM-FRRDWIJNSA-N -1 1 319.409 1.051 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C[C@@H]1CC=CCC1 ZINC001074798197 774147104 /nfs/dbraw/zinc/14/71/04/774147104.db2.gz BSCBPVAKHZQVHA-XQQFMLRXSA-N -1 1 319.409 1.336 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])Nc1cnc(F)cn1 ZINC001099104401 774834354 /nfs/dbraw/zinc/83/43/54/774834354.db2.gz FRYWCKXHPMOJFG-VIFPVBQESA-N -1 1 305.313 1.337 20 0 DDADMM CCOC(=O)c1nc[n-]c1-c1nc(-c2ccc(OC)cn2)no1 ZINC001212767958 777702611 /nfs/dbraw/zinc/70/26/11/777702611.db2.gz HBQBTDMWQNCKKJ-UHFFFAOYSA-N -1 1 315.289 1.707 20 0 DDADMM COc1cccc2[nH]c(NC(=O)CCCc3nn[n-]n3)nc21 ZINC001176465193 778156151 /nfs/dbraw/zinc/15/61/51/778156151.db2.gz AGOCNZFHNSUWFP-UHFFFAOYSA-N -1 1 301.310 1.046 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cccc(CCc2ccccn2)c1 ZINC001176836189 778268229 /nfs/dbraw/zinc/26/82/29/778268229.db2.gz LBLWVNBRZCHLTB-UHFFFAOYSA-N -1 1 308.345 1.561 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccc2c(Br)n[nH]c2c1 ZINC001176842966 778272516 /nfs/dbraw/zinc/27/25/16/778272516.db2.gz XESNXFUMXUPLGL-UHFFFAOYSA-N -1 1 322.126 1.020 20 0 DDADMM COCCC1(CNC(=O)Cc2nn[n-]n2)CCC(F)(F)CC1 ZINC001176847360 778300098 /nfs/dbraw/zinc/30/00/98/778300098.db2.gz SSURPRNHTPNPAS-UHFFFAOYSA-N -1 1 317.340 1.091 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(F)(F)c2ccccn2)n1 ZINC001177297157 778516559 /nfs/dbraw/zinc/51/65/59/778516559.db2.gz ZKAYEQXTKGAZQB-UHFFFAOYSA-N -1 1 310.260 1.712 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(F)(F)c2ccccn2)c[n-]1 ZINC001177298480 778517182 /nfs/dbraw/zinc/51/71/82/778517182.db2.gz VMMLXJGSZPKIJQ-UHFFFAOYSA-N -1 1 310.260 1.712 20 0 DDADMM CN(C)c1nc(NC(=O)Cc2cncc(F)c2)c(N=O)c(=O)[n-]1 ZINC001177382721 778559114 /nfs/dbraw/zinc/55/91/14/778559114.db2.gz LYTUQQMKQVILLZ-UHFFFAOYSA-N -1 1 320.284 1.361 20 0 DDADMM COC[C@@H](NC(=O)c1cc2cccc(OC)c2o1)c1nn[n-]n1 ZINC001177823600 778730222 /nfs/dbraw/zinc/73/02/22/778730222.db2.gz QZHUCLXLQLEKEL-SECBINFHSA-N -1 1 317.305 1.072 20 0 DDADMM CCC(=CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)CC ZINC001178478077 779066612 /nfs/dbraw/zinc/06/66/12/779066612.db2.gz WZPSMONXHDGYED-JQWIXIFHSA-N -1 1 307.398 1.336 20 0 DDADMM CN1C[C@@H](C(=O)Nc2cccc(C(F)(F)F)c2[O-])CC1=O ZINC001178523473 779078383 /nfs/dbraw/zinc/07/83/83/779078383.db2.gz OPHVNUJAVCKIRM-ZETCQYMHSA-N -1 1 302.252 1.828 20 0 DDADMM CCCCCC(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001103887575 779135152 /nfs/dbraw/zinc/13/51/52/779135152.db2.gz CXLFMQJZENNSDX-CHWSQXEVSA-N -1 1 323.441 1.665 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/C(F)(F)F)c1 ZINC001179928378 779542535 /nfs/dbraw/zinc/54/25/35/779542535.db2.gz VZSXBDSLOFFACJ-SNAWJCMRSA-N -1 1 324.280 1.357 20 0 DDADMM O=C(CO[C@@H]1CCOC1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC001116194113 780483589 /nfs/dbraw/zinc/48/35/89/780483589.db2.gz KCUOVTZQQUTUFJ-GFCCVEGCSA-N -1 1 320.297 1.347 20 0 DDADMM Cc1cc(OCCCC(=O)N(C)c2nn[n-]n2)ccc1Cl ZINC001119490859 781477179 /nfs/dbraw/zinc/47/71/79/781477179.db2.gz VGAYJTVCIOQBQK-UHFFFAOYSA-N -1 1 309.757 1.984 20 0 DDADMM CN(C(=O)C(=O)NCCc1c(F)cc([O-])cc1F)C1CCC1 ZINC001119934509 781686787 /nfs/dbraw/zinc/68/67/87/781686787.db2.gz MZGOOHUINKHQSK-UHFFFAOYSA-N -1 1 312.316 1.340 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NCc2nnc(C3CC3)s2)n[n-]1 ZINC001414166508 838229673 /nfs/dbraw/zinc/22/96/73/838229673.db2.gz PAJQCUJPWJEMOJ-ZETCQYMHSA-N -1 1 322.394 1.561 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2nnc(C3CC3)s2)n1 ZINC001414166508 838229682 /nfs/dbraw/zinc/22/96/82/838229682.db2.gz PAJQCUJPWJEMOJ-ZETCQYMHSA-N -1 1 322.394 1.561 20 0 DDADMM CCN(CCNC(=O)[C@H](C)SC)C(=O)c1ncccc1[O-] ZINC001408717995 838539829 /nfs/dbraw/zinc/53/98/29/838539829.db2.gz KSRQSXQWWVMYIQ-JTQLQIEISA-N -1 1 311.407 1.117 20 0 DDADMM C/C(=C\C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)C1CC1 ZINC001268033494 839493940 /nfs/dbraw/zinc/49/39/40/839493940.db2.gz RXVWYUIMISZHJC-DHZHZOJOSA-N -1 1 319.409 1.385 20 0 DDADMM CCc1cnc(CNCCN(CC)C(=O)c2ncccc2[O-])o1 ZINC001268446652 840201150 /nfs/dbraw/zinc/20/11/50/840201150.db2.gz WEIUYXFYLQQEQY-UHFFFAOYSA-N -1 1 318.377 1.590 20 0 DDADMM NC(=O)c1[nH]nc2c1CN(C(=O)c1cc3ccccc3cc1[O-])C2 ZINC001269212946 841342529 /nfs/dbraw/zinc/34/25/29/841342529.db2.gz HONMMKONVSQFAL-UHFFFAOYSA-N -1 1 322.324 1.523 20 0 DDADMM CN(C)C(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1ncccc1[O-] ZINC001269346617 841542799 /nfs/dbraw/zinc/54/27/99/841542799.db2.gz HTWXBDQTPVVEQO-RSLMWUCJSA-N -1 1 303.362 1.020 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@@]12CCN(C(=O)c1ncccc1[O-])C2 ZINC001269346626 841543211 /nfs/dbraw/zinc/54/32/11/841543211.db2.gz HYVZKXYJYQBRRS-SJCJKPOMSA-N -1 1 317.389 1.508 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2cccnn2c1 ZINC001154581891 861240171 /nfs/dbraw/zinc/24/01/71/861240171.db2.gz DQYUNSKLZOLCTB-UHFFFAOYSA-N -1 1 300.234 1.186 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CC[C@@H](C2CC2)O1)C(C)C ZINC001364105419 843011489 /nfs/dbraw/zinc/01/14/89/843011489.db2.gz AABOBLULXOEPBD-RWMBFGLXSA-N -1 1 319.423 1.061 20 0 DDADMM CC[C@@H](C)[C@H](C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001409044855 843309311 /nfs/dbraw/zinc/30/93/11/843309311.db2.gz NRKBWOKEJMBJOO-MNOVXSKESA-N -1 1 305.378 1.410 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccc2cc[nH]c2c1 ZINC001149218182 861492683 /nfs/dbraw/zinc/49/26/83/861492683.db2.gz OASREMNKNUIDCK-UHFFFAOYSA-N -1 1 310.273 1.449 20 0 DDADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1[nH]ccc1C ZINC001409824316 845856917 /nfs/dbraw/zinc/85/69/17/845856917.db2.gz YMQIDGNRXARUDZ-LLVKDONJSA-N -1 1 320.397 1.225 20 0 DDADMM CC(C)[C@@H](C)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C(C)C ZINC001409878170 845968330 /nfs/dbraw/zinc/96/83/30/845968330.db2.gz SIBJUUUEECKKBU-OLZOCXBDSA-N -1 1 321.421 1.950 20 0 DDADMM CN1CCC2(CCN(C(=O)c3cccc([O-])c3F)CC2)C1=O ZINC001272283568 845996467 /nfs/dbraw/zinc/99/64/67/845996467.db2.gz UXRCIZBRPRRWLA-UHFFFAOYSA-N -1 1 306.337 1.616 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC[C@]3(CCN(C)C3=O)CC2)n1 ZINC001272386183 846091148 /nfs/dbraw/zinc/09/11/48/846091148.db2.gz BMJQFNAWSAHPTP-KRWDZBQOSA-N -1 1 317.389 1.570 20 0 DDADMM COC[C@H](NC(=O)c1ccc(C(F)(F)F)s1)c1nn[n-]n1 ZINC001434364099 846579038 /nfs/dbraw/zinc/57/90/38/846579038.db2.gz PDFNUKDYIKNKCE-YFKPBYRVSA-N -1 1 321.284 1.398 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC001005958951 848610074 /nfs/dbraw/zinc/61/00/74/848610074.db2.gz RQGSYNIGWIQKPA-NHCYSSNCSA-N -1 1 303.362 1.020 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)c1cnccc1C ZINC001364804132 848755045 /nfs/dbraw/zinc/75/50/45/848755045.db2.gz DOQOJYHOLXCSQG-SECBINFHSA-N -1 1 313.404 1.895 20 0 DDADMM CCCCC1(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001410355484 849136620 /nfs/dbraw/zinc/13/66/20/849136620.db2.gz INYODDSTBUTJCV-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001411215871 850420390 /nfs/dbraw/zinc/42/03/90/850420390.db2.gz IZPUZTYJCXOXJW-GMTAPVOTSA-N -1 1 309.414 1.177 20 0 DDADMM CC(C)C[C@H](CO)NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190632331 851140955 /nfs/dbraw/zinc/14/09/55/851140955.db2.gz JIVKYRYLOKNONM-LLVKDONJSA-N -1 1 316.361 1.381 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@](C)(C(N)=O)C(C)C)c([O-])c2n1 ZINC001155659608 862352167 /nfs/dbraw/zinc/35/21/67/862352167.db2.gz JCPOBDNDMUIGIN-KRWDZBQOSA-N -1 1 315.373 1.879 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC2(C[C@H]2C(=O)N2CC=CC2)C1 ZINC001275378278 853036024 /nfs/dbraw/zinc/03/60/24/853036024.db2.gz ZSSWIDZUGPXCMN-LBPRGKRZSA-N -1 1 316.332 1.392 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ncccc2C)[n-]1 ZINC001411540862 853331000 /nfs/dbraw/zinc/33/10/00/853331000.db2.gz XWMPMUBXTKVNLR-UHFFFAOYSA-N -1 1 301.302 1.960 20 0 DDADMM Cc1occc(=O)c1OCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001411544985 853336406 /nfs/dbraw/zinc/33/64/06/853336406.db2.gz CEGSGLHTZCXZEA-UHFFFAOYSA-N -1 1 318.211 1.103 20 0 DDADMM Cn1ccnc1-c1csc(NC(=O)CCCc2nn[n-]n2)n1 ZINC001150782808 862467206 /nfs/dbraw/zinc/46/72/06/862467206.db2.gz SZNVQXOBYZOVOO-UHFFFAOYSA-N -1 1 318.366 1.018 20 0 DDADMM CNC(=O)c1ccc(CNC(=O)c2cccc([O-])c2F)cc1 ZINC001411687359 853577340 /nfs/dbraw/zinc/57/73/40/853577340.db2.gz WCALSWKWVIOCAZ-UHFFFAOYSA-N -1 1 302.305 1.821 20 0 DDADMM C[C@H](C(=O)NCCN(C)C(=O)c1ncccc1[O-])C(C)(C)C ZINC001415538027 853777581 /nfs/dbraw/zinc/77/75/81/853777581.db2.gz HCJCSDYRLQHEAP-LLVKDONJSA-N -1 1 307.394 1.658 20 0 DDADMM Cc1nc2ccccc2nc1C(=O)NCCCC[P@](=O)([O-])O ZINC001150868500 862507515 /nfs/dbraw/zinc/50/75/15/862507515.db2.gz GTDFLKOJIHWWLV-UHFFFAOYSA-N -1 1 323.289 1.626 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCN(C(=O)c2ccc([O-])cn2)C1 ZINC001411903230 853950666 /nfs/dbraw/zinc/95/06/66/853950666.db2.gz XNKLAVVTGWPTFP-JTQLQIEISA-N -1 1 307.350 1.526 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccn(CC(F)(F)F)n3)ccnc1-2 ZINC001412085858 854207187 /nfs/dbraw/zinc/20/71/87/854207187.db2.gz ZGNATTGWKHXSAN-UHFFFAOYSA-N -1 1 324.266 1.353 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCC1(CO)CC1 ZINC001412121123 854239748 /nfs/dbraw/zinc/23/97/48/854239748.db2.gz BITVOMFJIVOWBZ-UHFFFAOYSA-N -1 1 307.394 1.681 20 0 DDADMM O=C(Nc1ncc(CCO)s1)c1cnc(C2CC2)[n-]c1=O ZINC001412203935 854327437 /nfs/dbraw/zinc/32/74/37/854327437.db2.gz VVUOITXTXPNMOF-UHFFFAOYSA-N -1 1 306.347 1.303 20 0 DDADMM CN(C(=O)C1=Cc2ccccc2O[C@H]1C(F)F)c1nn[n-]n1 ZINC001412234194 854358509 /nfs/dbraw/zinc/35/85/09/854358509.db2.gz UCNHEGSIZVDVED-SNVBAGLBSA-N -1 1 307.260 1.272 20 0 DDADMM CC(=O)N[C@H]1CCN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC001412260474 854386150 /nfs/dbraw/zinc/38/61/50/854386150.db2.gz DZZMDZUVVXAOLR-NSHDSACASA-N -1 1 320.393 1.139 20 0 DDADMM O=C(NCc1ccc2c(c1)OC(F)(F)O2)c1cnncc1[O-] ZINC001412271562 854389214 /nfs/dbraw/zinc/38/92/14/854389214.db2.gz YUZPJEXEQBBLCU-UHFFFAOYSA-N -1 1 309.228 1.434 20 0 DDADMM CCN(CC)C(=O)[C@@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001412377534 854488339 /nfs/dbraw/zinc/48/83/39/854488339.db2.gz PMTRHXOKAZMAIK-LBPRGKRZSA-N -1 1 309.391 1.171 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)c1ncoc1C1CC1 ZINC001412495274 854625348 /nfs/dbraw/zinc/62/53/48/854625348.db2.gz ZYNNXQSUSXREQC-UHFFFAOYSA-N -1 1 316.317 1.050 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)c1ccc(F)cc1C ZINC001412565876 854730145 /nfs/dbraw/zinc/73/01/45/854730145.db2.gz ZQBWTWJJFQAUKT-MRVPVSSYSA-N -1 1 321.308 1.835 20 0 DDADMM COC[C@H](NC(=O)[C@@H]1CCC[C@H]2CCCC[C@@H]21)c1nn[n-]n1 ZINC001412614358 854813063 /nfs/dbraw/zinc/81/30/63/854813063.db2.gz VSHDJBAXOSIBRP-XQHKEYJVSA-N -1 1 307.398 1.610 20 0 DDADMM O=C(CCc1cccc(O)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001412857385 855731467 /nfs/dbraw/zinc/73/14/67/855731467.db2.gz XGMRBXIMLSPHIB-GFCCVEGCSA-N -1 1 301.350 1.244 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc([O-])c2F)C[C@]2(CCCOC2)O1 ZINC001412889511 855763820 /nfs/dbraw/zinc/76/38/20/855763820.db2.gz FLWZGEVEFCXMFO-BZNIZROVSA-N -1 1 309.337 1.941 20 0 DDADMM CCC(CC)(NC(=O)C[C@H]1CCC(C)(C)CO1)c1nn[n-]n1 ZINC001412936433 855800561 /nfs/dbraw/zinc/80/05/61/855800561.db2.gz PLUNOQANWRVHRB-LLVKDONJSA-N -1 1 309.414 1.927 20 0 DDADMM Cc1cccnc1NC(=O)CCNC(=O)c1ccc([O-])c(F)c1 ZINC001413061033 856287296 /nfs/dbraw/zinc/28/72/96/856287296.db2.gz QTMRSKUNLVDVKP-UHFFFAOYSA-N -1 1 317.320 1.993 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCCF ZINC001413084278 856387979 /nfs/dbraw/zinc/38/79/79/856387979.db2.gz HPAPFPXICWDZDY-QWRGUYRKSA-N -1 1 309.341 1.256 20 0 DDADMM COC(=O)c1cc(C)nc(NCC[N-]C(=O)C(F)(F)F)c1 ZINC001156199538 862802942 /nfs/dbraw/zinc/80/29/42/862802942.db2.gz LAVSOSOWLPUIKG-UHFFFAOYSA-N -1 1 305.256 1.267 20 0 DDADMM O=C(CCCF)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001413183686 856525239 /nfs/dbraw/zinc/52/52/39/856525239.db2.gz ZCXJNSJKQKDRSH-NWDGAFQWSA-N -1 1 323.368 1.552 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)c2cccnc2)c1 ZINC001413292266 856614347 /nfs/dbraw/zinc/61/43/47/856614347.db2.gz PAFTVNPCFGNGPV-JTQLQIEISA-N -1 1 308.359 1.835 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1nocc1C)[C@H](O)C(F)(F)F ZINC001413319088 856643035 /nfs/dbraw/zinc/64/30/35/856643035.db2.gz JIFGTIMIOUITSH-CBAPKCEASA-N -1 1 316.301 1.104 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]Cc2nccc(C)n2)c(F)c1 ZINC001413399143 856762734 /nfs/dbraw/zinc/76/27/34/856762734.db2.gz AXMBPTJZBBINPU-UHFFFAOYSA-N -1 1 313.329 1.850 20 0 DDADMM CCC(O)(CC)C(C)(C)[N-]S(=O)(=O)c1csnc1OC ZINC001413418903 856784748 /nfs/dbraw/zinc/78/47/48/856784748.db2.gz OKCVXUHRFWUGMJ-UHFFFAOYSA-N -1 1 322.452 1.760 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cnn2ccccc12)C(F)(F)F ZINC001413489526 856883933 /nfs/dbraw/zinc/88/39/33/856883933.db2.gz YDYAGQWTQCDFEQ-SNVBAGLBSA-N -1 1 307.297 1.954 20 0 DDADMM O=C(Nc1ccccc1Nc1ccncc1)c1n[nH]c(=O)[n-]c1=O ZINC001156427343 863037301 /nfs/dbraw/zinc/03/73/01/863037301.db2.gz VIEJFMQPIQXVNT-UHFFFAOYSA-N -1 1 324.300 1.096 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)[C@@H](C)c1ccc(F)cc1 ZINC001121768909 858592075 /nfs/dbraw/zinc/59/20/75/858592075.db2.gz NWXSIAOTRQUOMC-VIFPVBQESA-N -1 1 316.344 1.815 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)[C@@H](C)c1ccc(F)cc1 ZINC001121768909 858592079 /nfs/dbraw/zinc/59/20/79/858592079.db2.gz NWXSIAOTRQUOMC-VIFPVBQESA-N -1 1 316.344 1.815 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1c2c(nn1C)CCCC2 ZINC001123946148 859489226 /nfs/dbraw/zinc/48/92/26/859489226.db2.gz HWDOOZSBBZODLV-UHFFFAOYSA-N -1 1 319.390 1.644 20 0 DDADMM O=C([C@@H]1C[C@@H]2C=C[C@H]1CC2)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123999340 859518819 /nfs/dbraw/zinc/51/88/19/859518819.db2.gz VBEGKBLSVJTEOQ-YIYPIFLZSA-N -1 1 301.394 1.583 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N[C@H]3CNC(=O)C3)c2)o1 ZINC001137989472 859997073 /nfs/dbraw/zinc/99/70/73/859997073.db2.gz FGDRRKPBALQLLV-SNVBAGLBSA-N -1 1 314.297 1.083 20 0 DDADMM COC[C@H]1CN(Cc2cc(F)c([O-])c(F)c2)Cc2nnn(C)c21 ZINC001140988606 860753500 /nfs/dbraw/zinc/75/35/00/860753500.db2.gz FQBAXCXAUZCMMZ-SNVBAGLBSA-N -1 1 324.331 1.545 20 0 DDADMM COc1ccc2ncc([O-])c(C(=O)Nc3c[nH]ccc3=O)c2c1 ZINC001154514135 861163570 /nfs/dbraw/zinc/16/35/70/861163570.db2.gz SYRSHMJZOQVNTH-UHFFFAOYSA-N -1 1 311.297 1.890 20 0 DDADMM CNC(=O)c1cccc(C(=O)Nc2cc(F)cc(F)c2[O-])n1 ZINC001142542343 861175944 /nfs/dbraw/zinc/17/59/44/861175944.db2.gz PTJKDXPQTZEXSU-UHFFFAOYSA-N -1 1 307.256 1.677 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cccc2cnsc21 ZINC001156670127 863248084 /nfs/dbraw/zinc/24/80/84/863248084.db2.gz ALZZUMVULKHJOK-UHFFFAOYSA-N -1 1 312.314 1.921 20 0 DDADMM Cc1nonc1CNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152680301 863491078 /nfs/dbraw/zinc/49/10/78/863491078.db2.gz NKVQIOPOHQBODE-UHFFFAOYSA-N -1 1 300.274 1.267 20 0 DDADMM CC(C)n1c2ccccc2cc(C(=O)NCc2nn[n-]n2)c1=O ZINC001156990750 863504056 /nfs/dbraw/zinc/50/40/56/863504056.db2.gz HNCKYFWZACTZSK-UHFFFAOYSA-N -1 1 312.333 1.026 20 0 DDADMM O=C(c1cc(=O)[nH]c2ccccc21)N1CC[C@H](c2nn[n-]n2)C1 ZINC001152994647 863641149 /nfs/dbraw/zinc/64/11/49/863641149.db2.gz UAWCZWQQZGFWMD-VIFPVBQESA-N -1 1 310.317 1.083 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc(F)c(F)cc1Cl ZINC001153871705 864185153 /nfs/dbraw/zinc/18/51/53/864185153.db2.gz GLVHTIFXWJSMQE-UHFFFAOYSA-N -1 1 313.695 1.941 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cnn2cccc(Cl)c12 ZINC001153872154 864185633 /nfs/dbraw/zinc/18/56/33/864185633.db2.gz LBOKFFYPMPCFHL-UHFFFAOYSA-N -1 1 317.740 1.310 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2nccn2C)c(=O)[n-]1 ZINC001361511085 881798511 /nfs/dbraw/zinc/79/85/11/881798511.db2.gz OYPQBYIZTXJKHS-UHFFFAOYSA-N -1 1 307.379 1.517 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ccnc(OC)n2)[n-]1 ZINC001361516988 881812552 /nfs/dbraw/zinc/81/25/52/881812552.db2.gz SPNKGOMNLDVYHE-UHFFFAOYSA-N -1 1 318.289 1.055 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H](OC)C(C)C)[n-]c1Cl ZINC001361531242 881839118 /nfs/dbraw/zinc/83/91/18/881839118.db2.gz XTXFOMGIEFUFGA-QMMMGPOBSA-N -1 1 303.746 1.849 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cnc(-c4ccco4)cn3)c2[nH]1 ZINC001159186763 865154114 /nfs/dbraw/zinc/15/41/14/865154114.db2.gz ZOMGXRPAHWGACT-UHFFFAOYSA-N -1 1 311.261 1.509 20 0 DDADMM O=c1nc2nc[nH]c2c(N[C@@H](F)Oc2cccc(Cl)n2)[n-]1 ZINC001159197916 865160039 /nfs/dbraw/zinc/16/00/39/865160039.db2.gz FAACTOAZVNRUSX-SNVBAGLBSA-N -1 1 310.676 1.851 20 0 DDADMM CSc1ncc(Cl)nc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159199464 865164408 /nfs/dbraw/zinc/16/44/08/865164408.db2.gz LQFANZYSUHUYEG-UHFFFAOYSA-N -1 1 309.742 1.967 20 0 DDADMM COc1nnc(Cl)cc1C(F)(F)[N-]c1nccnc1CN ZINC001160250658 865746923 /nfs/dbraw/zinc/74/69/23/865746923.db2.gz CFIISKUIEPEUHS-UHFFFAOYSA-N -1 1 316.699 1.549 20 0 DDADMM CN(C(=O)c1cc(Cl)ccc1Br)c1nn[n-]n1 ZINC001361565089 881905261 /nfs/dbraw/zinc/90/52/61/881905261.db2.gz HISAANGVHWHWNZ-UHFFFAOYSA-N -1 1 316.546 1.892 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CC=CCC1 ZINC001332756223 866120704 /nfs/dbraw/zinc/12/07/04/866120704.db2.gz KIYXZJYPBZTUKK-VXGBXAGGSA-N -1 1 321.425 1.487 20 0 DDADMM O=C(NCc1cnc(Cl)cn1)c1ccc2n[n-]c(=S)n2c1 ZINC001160949213 866206305 /nfs/dbraw/zinc/20/63/05/866206305.db2.gz TWDDYQLJULLHBV-UHFFFAOYSA-N -1 1 320.765 1.391 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nnc(Cc3ccncc3)o2)co1 ZINC001323026651 866276954 /nfs/dbraw/zinc/27/69/54/866276954.db2.gz YFWBATCMSLEQGV-UHFFFAOYSA-N -1 1 320.330 1.224 20 0 DDADMM Cc1cc(OCC(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)no1 ZINC001361604971 881990317 /nfs/dbraw/zinc/99/03/17/881990317.db2.gz NOWRWNYDKPFXJA-UHFFFAOYSA-N -1 1 304.306 1.375 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cnc(C2CC2)[nH]1 ZINC001320774421 867019344 /nfs/dbraw/zinc/01/93/44/867019344.db2.gz FRKGGBTTWAOGGD-GFCCVEGCSA-N -1 1 309.333 1.320 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@H]1CCCCN1Cc1cccnc1 ZINC001320990035 867184805 /nfs/dbraw/zinc/18/48/05/867184805.db2.gz WUEXGFPPRMGGPO-JKSUJKDBSA-N -1 1 319.405 1.520 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1OC[C@H](C)OC[C@H](C)OC ZINC001225901039 882101003 /nfs/dbraw/zinc/10/10/03/882101003.db2.gz LHIHOIAUQSIQDX-UWVGGRQHSA-N -1 1 314.338 1.178 20 0 DDADMM CCC[C@@H](CC(=O)OC)Oc1nc(=O)[n-]cc1C(=O)OCC ZINC001225900052 882101082 /nfs/dbraw/zinc/10/10/82/882101082.db2.gz XWFPOIVQFDZBIS-VIFPVBQESA-N -1 1 312.322 1.470 20 0 DDADMM C[C@@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])n1cccc1 ZINC001324967821 867666331 /nfs/dbraw/zinc/66/63/31/867666331.db2.gz PMWFWZZJFDCYPA-VIFPVBQESA-N -1 1 309.347 1.041 20 0 DDADMM CC/C(C)=C\C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001334996176 867982276 /nfs/dbraw/zinc/98/22/76/867982276.db2.gz DJGWGAGDTJXCDV-NXIHDVOMSA-N -1 1 317.389 1.720 20 0 DDADMM O=C([O-])c1cc(Cl)cnc1NCc1nnc2n1CCCNC2 ZINC001163016385 868001836 /nfs/dbraw/zinc/00/18/36/868001836.db2.gz CIIJLIHSNXSWHI-UHFFFAOYSA-N -1 1 322.756 1.130 20 0 DDADMM CCCNC(=O)[C@H]1CCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001361705299 882196075 /nfs/dbraw/zinc/19/60/75/882196075.db2.gz CZVRRMJDZKLYGV-NSHDSACASA-N -1 1 308.353 1.910 20 0 DDADMM CSc1nc(CNC(=O)C=Cc2cncc(F)c2)cc(=O)[n-]1 ZINC001163578750 868545631 /nfs/dbraw/zinc/54/56/31/868545631.db2.gz VVHZUMXOWIDDTC-IHWYPQMZSA-N -1 1 320.349 1.768 20 0 DDADMM CCC[C@@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163840909 868750239 /nfs/dbraw/zinc/75/02/39/868750239.db2.gz KDDDDBHXYUZIQI-LLVKDONJSA-N -1 1 309.414 1.323 20 0 DDADMM O=C([N-]CCNc1ccc(N2CCOCC2)cn1)C(F)(F)F ZINC001164245329 869053369 /nfs/dbraw/zinc/05/33/69/869053369.db2.gz VGORWNBRLKFDGL-UHFFFAOYSA-N -1 1 318.299 1.009 20 0 DDADMM CCOC(=O)[C@@H](Oc1[n-]c(=S)ncc1OC)C(F)(F)F ZINC001226244488 882303550 /nfs/dbraw/zinc/30/35/50/882303550.db2.gz BSMTYZHUBFRPCN-ZCFIWIBFSA-N -1 1 312.269 1.647 20 0 DDADMM O=C(Nc1ncn(Cc2cccnc2)n1)c1ccc([O-])c(F)c1 ZINC001338057405 869773431 /nfs/dbraw/zinc/77/34/31/869773431.db2.gz PMAPZTMVTQXAMY-UHFFFAOYSA-N -1 1 313.292 1.818 20 0 DDADMM COC[C@@H](NC(=O)c1cc(C)cc(C=O)c1[O-])C1CCOCC1 ZINC001166463320 870011348 /nfs/dbraw/zinc/01/13/48/870011348.db2.gz CAMUXAMWZLRJDX-OAHLLOKOSA-N -1 1 321.373 1.685 20 0 DDADMM CC(C)=C(C)CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166625161 870104672 /nfs/dbraw/zinc/10/46/72/870104672.db2.gz TVTTUFPIJBUWRT-UHFFFAOYSA-N -1 1 321.425 1.633 20 0 DDADMM O=C(NC/C=C/CNC(=O)C1CCCC1)c1ncccc1[O-] ZINC001298208744 870348006 /nfs/dbraw/zinc/34/80/06/870348006.db2.gz FDNMEWPKTFWZJG-ONEGZZNKSA-N -1 1 303.362 1.380 20 0 DDADMM O=C(CNC(=O)c1ccsc1)Nc1n[nH]c2cc(O)ccc21 ZINC001298306703 870457904 /nfs/dbraw/zinc/45/79/04/870457904.db2.gz OUGUPXONFOQOQG-UHFFFAOYSA-N -1 1 316.342 1.699 20 0 DDADMM Cn1cccc(NC(=O)Cc2nnc([O-])c3ccccc23)c1=O ZINC001339395469 870476081 /nfs/dbraw/zinc/47/60/81/870476081.db2.gz BHXDDKMDWXRBAB-UHFFFAOYSA-N -1 1 310.313 1.215 20 0 DDADMM CC/C(C)=C\C(=O)N1C[C@H](NC(=O)c2cnn[nH]2)CC[C@H]1C ZINC001339693066 870635061 /nfs/dbraw/zinc/63/50/61/870635061.db2.gz AFVISBIIYOBFIJ-PWGYGRHZSA-N -1 1 305.382 1.270 20 0 DDADMM CC(C)C(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001226459929 882442724 /nfs/dbraw/zinc/44/27/24/882442724.db2.gz CZMLQLKQVQWMOB-VXGBXAGGSA-N -1 1 323.441 1.710 20 0 DDADMM O=C(c1cncnc1-c1ccccc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001302938566 871090381 /nfs/dbraw/zinc/09/03/81/871090381.db2.gz TTYFDVYRYKDDJB-LBPRGKRZSA-N -1 1 321.344 1.286 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N(C)C[C@@H](O)c2cccnc2)c1 ZINC001304813450 871245568 /nfs/dbraw/zinc/24/55/68/871245568.db2.gz CBSUBTRYZQBMQS-OAHLLOKOSA-N -1 1 314.341 1.714 20 0 DDADMM Nc1c(Cl)c(F)cc(C(=O)[N-]N2CN=NC2=O)c1Cl ZINC001306818621 871359525 /nfs/dbraw/zinc/35/95/25/871359525.db2.gz HZQCSPOJBPGTFH-UHFFFAOYSA-N -1 1 306.084 1.396 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(Br)cc1F ZINC001361853570 882503029 /nfs/dbraw/zinc/50/30/29/882503029.db2.gz NOOROFZIUKBKDE-UHFFFAOYSA-N -1 1 314.118 1.673 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N(C)Cc1ccccc1C ZINC001341021090 871470568 /nfs/dbraw/zinc/47/05/68/871470568.db2.gz QZOVPIBCCFFCFW-UHFFFAOYSA-N -1 1 314.349 1.586 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccco1)c1ccc(Cl)nc1F ZINC001309222563 871556998 /nfs/dbraw/zinc/55/69/98/871556998.db2.gz CPPFEONAKNKVLJ-ZETCQYMHSA-N -1 1 320.729 1.479 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1CC=C(C)CC1 ZINC001342559517 872266295 /nfs/dbraw/zinc/26/62/95/872266295.db2.gz OLKYXRNJYWROPB-UHFFFAOYSA-N -1 1 318.385 1.041 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1CC=C(C)CC1 ZINC001342559517 872266305 /nfs/dbraw/zinc/26/63/05/872266305.db2.gz OLKYXRNJYWROPB-UHFFFAOYSA-N -1 1 318.385 1.041 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)sc1Br ZINC001361901406 882607316 /nfs/dbraw/zinc/60/73/16/882607316.db2.gz AYFFQBVFBKPEIT-UHFFFAOYSA-N -1 1 302.157 1.609 20 0 DDADMM C[C@H](COC(C)(C)C)Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226721824 882609572 /nfs/dbraw/zinc/60/95/72/882609572.db2.gz JFYULJKYTBPUCF-LLVKDONJSA-N -1 1 311.382 1.601 20 0 DDADMM CC[C@]1(CO)CCCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001346768432 873886773 /nfs/dbraw/zinc/88/67/73/873886773.db2.gz YARSWCMXULXGLL-AWEZNQCLSA-N -1 1 311.407 1.404 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCNC(=O)[C@@H]1c1ccccc1 ZINC001361991637 882774930 /nfs/dbraw/zinc/77/49/30/882774930.db2.gz CGJQPUBQCBHEMF-HNNXBMFYSA-N -1 1 314.316 1.845 20 0 DDADMM CCC(CC)(CCO)CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001347030679 873983027 /nfs/dbraw/zinc/98/30/27/873983027.db2.gz OUOSZYNMZFWTCV-UHFFFAOYSA-N -1 1 313.423 1.698 20 0 DDADMM C[C@H](CC(C)(C)C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209972768 875182571 /nfs/dbraw/zinc/18/25/71/875182571.db2.gz IQPYLXQGRRMAPD-VXGBXAGGSA-N -1 1 323.441 1.663 20 0 DDADMM CC(C)C[C@@H](C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210367983 875350768 /nfs/dbraw/zinc/35/07/68/875350768.db2.gz FKVQJTLBCQZPDH-VXGBXAGGSA-N -1 1 309.414 1.273 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H](C)COc2ccc(OC)cc2)n1 ZINC001228311628 883439999 /nfs/dbraw/zinc/43/99/99/883439999.db2.gz MBTDULCONVPIGF-VIFPVBQESA-N -1 1 307.306 1.446 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H]1[C@@H]1CCCOC1 ZINC001349824490 875396353 /nfs/dbraw/zinc/39/63/53/875396353.db2.gz IRDXSXDZUCLRSP-VXGBXAGGSA-N -1 1 318.402 1.659 20 0 DDADMM COC(=O)c1nc(O[C@@H](C)COc2ccc(OC)cc2)n[n-]1 ZINC001228311628 883440005 /nfs/dbraw/zinc/44/00/05/883440005.db2.gz MBTDULCONVPIGF-VIFPVBQESA-N -1 1 307.306 1.446 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](C)[C@@H](O)c1ccccc1 ZINC001349858321 875417695 /nfs/dbraw/zinc/41/76/95/875417695.db2.gz QQLMQLDHLRFQDR-BXKDBHETSA-N -1 1 319.386 1.631 20 0 DDADMM COc1ccc2c(c1)CN(C(=O)c1c(C)[nH]c(=O)[n-]c1=S)C2 ZINC001350066356 875521747 /nfs/dbraw/zinc/52/17/47/875521747.db2.gz HZGLQLMJVIRDIR-UHFFFAOYSA-N -1 1 317.370 1.944 20 0 DDADMM CC(C)(C(=O)OCC(=O)[N-]C(=O)c1ccccc1)n1cccn1 ZINC001350099525 875534098 /nfs/dbraw/zinc/53/40/98/875534098.db2.gz JCDSWAHFUSBLJS-UHFFFAOYSA-N -1 1 315.329 1.118 20 0 DDADMM O=Cc1cc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)cs1 ZINC001350428454 875684292 /nfs/dbraw/zinc/68/42/92/875684292.db2.gz IKBCPFDZFIKIIV-SECBINFHSA-N -1 1 305.363 1.169 20 0 DDADMM CO[C@H](CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S)c1ccccc1 ZINC001350860874 875929927 /nfs/dbraw/zinc/92/99/27/875929927.db2.gz DYTHBJPIOUPHMV-LLVKDONJSA-N -1 1 319.386 1.897 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N[C@@H]1CC=CCC1 ZINC001351261604 876150269 /nfs/dbraw/zinc/15/02/69/876150269.db2.gz WZBMMESXKURVCP-SNVBAGLBSA-N -1 1 324.327 1.554 20 0 DDADMM C[C@@H](COc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)Oc1ccccc1 ZINC001227430389 883011619 /nfs/dbraw/zinc/01/16/19/883011619.db2.gz KRICTGAQAKLEFT-QMMMGPOBSA-N -1 1 302.290 1.610 20 0 DDADMM CCN(CCCNC(=O)COC(C)C)C(=O)c1ncccc1[O-] ZINC001352068607 876582450 /nfs/dbraw/zinc/58/24/50/876582450.db2.gz DXXCJXPHGDTBDA-UHFFFAOYSA-N -1 1 323.393 1.181 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(Oc2nc(=O)[nH]c(=O)[n-]2)CC1 ZINC001227568449 883084494 /nfs/dbraw/zinc/08/44/94/883084494.db2.gz MWBDOKZWLUEMOK-UHFFFAOYSA-N -1 1 312.326 1.061 20 0 DDADMM COC(=O)[C@H](Oc1nc(=O)[nH]c(=O)[n-]1)c1ccccc1Cl ZINC001227571792 883089495 /nfs/dbraw/zinc/08/94/95/883089495.db2.gz YRBOWWOCDRMHFH-MRVPVSSYSA-N -1 1 311.681 1.229 20 0 DDADMM O=C(c1ccsc1)N1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001362130927 883115650 /nfs/dbraw/zinc/11/56/50/883115650.db2.gz VEEWEHWXDXVBDC-UHFFFAOYSA-N -1 1 317.370 1.447 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@H](O)c1cccc2ccccc21 ZINC001300617762 877993722 /nfs/dbraw/zinc/99/37/22/877993722.db2.gz RZAKGUOYJSXEIG-AWEZNQCLSA-N -1 1 311.345 1.135 20 0 DDADMM COC(=O)c1ccc(Cl)c(NS(=O)(=O)c2ncc[n-]2)c1 ZINC000313191126 878405075 /nfs/dbraw/zinc/40/50/75/878405075.db2.gz XCIIKZVRTWFYAS-UHFFFAOYSA-N -1 1 315.738 1.651 20 0 DDADMM Cc1cccc([C@H]2CCN(c3nnc(Cc4nnn[n-]4)n3C)C2)c1 ZINC001355464127 878568502 /nfs/dbraw/zinc/56/85/02/878568502.db2.gz NSEPFVLCDZPQOF-ZDUSSCGKSA-N -1 1 324.392 1.221 20 0 DDADMM Cc1cccc([C@H]2CCN(c3nnc(Cc4nn[n-]n4)n3C)C2)c1 ZINC001355464127 878568511 /nfs/dbraw/zinc/56/85/11/878568511.db2.gz NSEPFVLCDZPQOF-ZDUSSCGKSA-N -1 1 324.392 1.221 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)C1CCCCC1 ZINC001380375526 879497606 /nfs/dbraw/zinc/49/76/06/879497606.db2.gz HTUZMBDOMRKDNF-RYUDHWBXSA-N -1 1 319.405 1.991 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)NCC(C)(C)CN(C)C(=O)c1ncccc1[O-] ZINC001380545080 879911039 /nfs/dbraw/zinc/91/10/39/879911039.db2.gz XYWIUZJIKLJDAQ-NEPJUHHUSA-N -1 1 319.405 1.658 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](OCc2nn[n-]n2)C(C)(C)C1 ZINC001223027430 880787464 /nfs/dbraw/zinc/78/74/64/880787464.db2.gz PBNHAUCDVGKHKD-JTQLQIEISA-N -1 1 311.386 1.752 20 0 DDADMM CC(=O)Nc1cc(F)c(F)cc1NC(=O)CCc1nn[n-]n1 ZINC001362200641 883279624 /nfs/dbraw/zinc/27/96/24/883279624.db2.gz NOCVTCRPGGPSNH-UHFFFAOYSA-N -1 1 310.264 1.008 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]c2nc3c(s2)COCC3)on1 ZINC001362203675 883289034 /nfs/dbraw/zinc/28/90/34/883289034.db2.gz FGGZMBRHWMFOGY-UHFFFAOYSA-N -1 1 323.330 1.633 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](Oc1cnnc(=S)[n-]1)C(F)(F)F ZINC001228638449 883585654 /nfs/dbraw/zinc/58/56/54/883585654.db2.gz GSBOMRZIBGHFNW-CAHLUQPWSA-N -1 1 311.285 1.669 20 0 DDADMM CC[C@H](C)C[C@H](NC(=O)OC(C)(C)C)C(=O)Nc1nnn[n-]1 ZINC001362335861 883593394 /nfs/dbraw/zinc/59/33/94/883593394.db2.gz IFQYJEDJKARGJI-IUCAKERBSA-N -1 1 312.374 1.468 20 0 DDADMM CC[C@H](C)C[C@H](NC(=O)OC(C)(C)C)C(=O)Nc1nn[n-]n1 ZINC001362335861 883593402 /nfs/dbraw/zinc/59/34/02/883593402.db2.gz IFQYJEDJKARGJI-IUCAKERBSA-N -1 1 312.374 1.468 20 0 DDADMM O=C(c1nccc(Cl)c1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362348547 883619722 /nfs/dbraw/zinc/61/97/22/883619722.db2.gz ARWSNQHSEGCRCR-SSDOTTSWSA-N -1 1 310.720 1.407 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc(F)c([O-])c2)CCS1(=O)=O ZINC001362348349 883620195 /nfs/dbraw/zinc/62/01/95/883620195.db2.gz HUHWLVKBAOIRAR-SECBINFHSA-N -1 1 301.339 1.181 20 0 DDADMM C[C@H]1CCN(C(=O)c2nc[n-]c(=O)c2Br)C[C@@H]1F ZINC001362405612 883737237 /nfs/dbraw/zinc/73/72/37/883737237.db2.gz DUNWYXOFUMZVNM-BQBZGAKWSA-N -1 1 318.146 1.765 20 0 DDADMM CSc1ncc(C(=O)NCc2nnc(C(C)C)n2C)c(=O)[n-]1 ZINC001362425216 883778767 /nfs/dbraw/zinc/77/87/67/883778767.db2.gz HTLIQSZACRZBMB-UHFFFAOYSA-N -1 1 322.394 1.086 20 0 DDADMM CCC(CC)(NC(=O)[C@H](F)Cc1ccccc1)c1nn[n-]n1 ZINC001362427873 883786231 /nfs/dbraw/zinc/78/62/31/883786231.db2.gz TWYZJVFVIVQGRK-GFCCVEGCSA-N -1 1 305.357 1.912 20 0 DDADMM C[C@](NC(=O)c1ccc(F)c([O-])c1)(C(N)=O)c1ccccc1 ZINC001362428891 883788129 /nfs/dbraw/zinc/78/81/29/883788129.db2.gz OTSFWJCCRYAPJL-MRXNPFEDSA-N -1 1 302.305 1.662 20 0 DDADMM COCC(COC)Oc1c([O-])c(OC)cc2ccc(=O)oc21 ZINC001229217400 883877350 /nfs/dbraw/zinc/87/73/50/883877350.db2.gz BNBLBBUEXOZZSH-UHFFFAOYSA-N -1 1 310.302 1.547 20 0 DDADMM COC(=O)C[C@@H](C)Oc1c([O-])c(OC)cc2ccc(=O)oc21 ZINC001229217438 883879491 /nfs/dbraw/zinc/87/94/91/883879491.db2.gz BZVIVUDYPKSGDZ-MRVPVSSYSA-N -1 1 308.286 1.838 20 0 DDADMM C[C@](O)(C1CCN(C(=O)c2ccc([O-])cn2)CC1)C(F)(F)F ZINC001362499033 883946457 /nfs/dbraw/zinc/94/64/57/883946457.db2.gz WWJDTXSLEQPINJ-ZDUSSCGKSA-N -1 1 318.295 1.953 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC(O[C@H]2CCOC2)CC1 ZINC001362532527 884021870 /nfs/dbraw/zinc/02/18/70/884021870.db2.gz HZOLSCIBSDQYLS-LBPRGKRZSA-N -1 1 309.337 1.941 20 0 DDADMM COc1c(NC(=O)c2ccc([O-])cn2)cc(Cl)cc1C(N)=O ZINC001362536732 884035853 /nfs/dbraw/zinc/03/58/53/884035853.db2.gz COMUABXBXOASCH-UHFFFAOYSA-N -1 1 321.720 1.800 20 0 DDADMM C[C@H]1CCc2[nH]nc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)c2C1 ZINC001362562056 884100535 /nfs/dbraw/zinc/10/05/35/884100535.db2.gz KQGBKALPGGHLRT-UWVGGRQHSA-N -1 1 315.381 1.067 20 0 DDADMM CCC(CC)(NC(=O)c1nc(Cl)cs1)c1nn[n-]n1 ZINC001362624353 884251562 /nfs/dbraw/zinc/25/15/62/884251562.db2.gz JUAKEMSYJUPBSH-UHFFFAOYSA-N -1 1 300.775 1.755 20 0 DDADMM CCOc1ccc(C(=O)NC(CC)(CC)c2nn[n-]n2)cn1 ZINC001362629816 884266022 /nfs/dbraw/zinc/26/60/22/884266022.db2.gz BMFWJTKAFQZJHD-UHFFFAOYSA-N -1 1 304.354 1.439 20 0 DDADMM CC(C)(C)OC(=O)[C@]1(NC(=O)c2ccc([O-])cn2)CCOC1 ZINC001362645029 884306785 /nfs/dbraw/zinc/30/67/85/884306785.db2.gz ALJNGLBLZBYZAB-HNNXBMFYSA-N -1 1 308.334 1.018 20 0 DDADMM O=C([C@@H]1C[C@H]1c1cccc(O)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362665261 884362597 /nfs/dbraw/zinc/36/25/97/884362597.db2.gz OMVKSVLIPGOWJL-KWCYVHTRSA-N -1 1 313.361 1.415 20 0 DDADMM CC(C)[C@H](NC(=O)CCc1nn[n-]n1)[C@H](O)c1ccccc1 ZINC001362757077 884565438 /nfs/dbraw/zinc/56/54/38/884565438.db2.gz BXGPJLXACJPBIY-LSDHHAIUSA-N -1 1 303.366 1.007 20 0 DDADMM CSc1ncc(C(=O)N[C@H](CO)c2ccccc2)c(=O)[n-]1 ZINC001362807653 884689228 /nfs/dbraw/zinc/68/92/28/884689228.db2.gz IXXLZNUGSLHBIH-LLVKDONJSA-N -1 1 305.359 1.368 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H]1CC1(F)F ZINC001362890872 884897537 /nfs/dbraw/zinc/89/75/37/884897537.db2.gz RUROWGQSTDNCHW-SFYZADRCSA-N -1 1 314.292 1.300 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H]1CC1(F)F ZINC001362890872 884897549 /nfs/dbraw/zinc/89/75/49/884897549.db2.gz RUROWGQSTDNCHW-SFYZADRCSA-N -1 1 314.292 1.300 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H]1CC1(F)F ZINC001362890872 884897561 /nfs/dbraw/zinc/89/75/61/884897561.db2.gz RUROWGQSTDNCHW-SFYZADRCSA-N -1 1 314.292 1.300 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]([C@@H](CO)C2CC2)C2CC2)c(=O)[n-]1 ZINC001362896918 884916000 /nfs/dbraw/zinc/91/60/00/884916000.db2.gz YGHDHDQZCAOKBL-NWDGAFQWSA-N -1 1 323.418 1.431 20 0 DDADMM COC[C@H](NC(=O)c1ccc(OC2CCC2)cc1)c1nn[n-]n1 ZINC001362908968 884954891 /nfs/dbraw/zinc/95/48/91/884954891.db2.gz KMENGHOOVPBLHX-ZDUSSCGKSA-N -1 1 317.349 1.249 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1CCn2ccnc2C1 ZINC001362968007 885109434 /nfs/dbraw/zinc/10/94/34/885109434.db2.gz CYCOZFSZPKIQIO-SECBINFHSA-N -1 1 319.390 1.154 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2n[nH]c3c2CCC3)[n-]c1=O ZINC001362972439 885118567 /nfs/dbraw/zinc/11/85/67/885118567.db2.gz WVXVHPOJTMGXOH-UHFFFAOYSA-N -1 1 317.349 1.068 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC001362998775 885188324 /nfs/dbraw/zinc/18/83/24/885188324.db2.gz CSEOLWMRLKEPIR-QMMMGPOBSA-N -1 1 319.292 1.362 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(C)n(C)c2C)n[n-]1 ZINC001363048031 885328876 /nfs/dbraw/zinc/32/88/76/885328876.db2.gz MHEHSXLJHXHIGP-SECBINFHSA-N -1 1 319.365 1.428 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(C)n(C)c2C)[n-]1 ZINC001363048031 885328900 /nfs/dbraw/zinc/32/89/00/885328900.db2.gz MHEHSXLJHXHIGP-SECBINFHSA-N -1 1 319.365 1.428 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(C)n(C)c2C)n1 ZINC001363048031 885328923 /nfs/dbraw/zinc/32/89/23/885328923.db2.gz MHEHSXLJHXHIGP-SECBINFHSA-N -1 1 319.365 1.428 20 0 DDADMM CN(C(=O)[C@H]1CC[C@@H](Nc2ccccn2)CC1)c1nn[n-]n1 ZINC001363051612 885337518 /nfs/dbraw/zinc/33/75/18/885337518.db2.gz NIFVBISSPYUSPH-PHIMTYICSA-N -1 1 301.354 1.228 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCC[C@@H]2F)o1 ZINC001363096520 885454195 /nfs/dbraw/zinc/45/41/95/885454195.db2.gz CCZGYKBBPRJVKO-DTWKUNHWSA-N -1 1 304.343 1.198 20 0 DDADMM CSCC[C@H](NC(=O)c1cnoc1C1CC1)c1nn[n-]n1 ZINC001363101010 885466344 /nfs/dbraw/zinc/46/63/44/885466344.db2.gz XECATBPMYDOBIZ-VIFPVBQESA-N -1 1 308.367 1.289 20 0 DDADMM CCCNC(=O)OC[C@@H]1CCCCN1C(=O)c1ccc([O-])cn1 ZINC001363161715 885613910 /nfs/dbraw/zinc/61/39/10/885613910.db2.gz SGHZHAIYYYLBPX-LBPRGKRZSA-N -1 1 321.377 1.918 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C2CC2)[C@H]2CCCCO2)c(=O)[n-]1 ZINC001363166647 885625127 /nfs/dbraw/zinc/62/51/27/885625127.db2.gz DLFHGNYFIVODSW-VXGBXAGGSA-N -1 1 323.418 1.982 20 0 DDADMM COC(=O)[C@@]1(C)C[C@H](OC)CN1C(=O)c1ccc(F)c([O-])c1 ZINC001363217925 885739719 /nfs/dbraw/zinc/73/97/19/885739719.db2.gz IPRLBZLJNIWXDY-ZUZCIYMTSA-N -1 1 311.309 1.324 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)CCCF)C1 ZINC001383414191 885746939 /nfs/dbraw/zinc/74/69/39/885746939.db2.gz XZZOREOYSDSJRC-UHFFFAOYSA-N -1 1 309.341 1.256 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ncoc2C(C)C)n[n-]1 ZINC001363229701 885770732 /nfs/dbraw/zinc/77/07/32/885770732.db2.gz DBMYKMBFVKZGLM-MRVPVSSYSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ncoc2C(C)C)[n-]1 ZINC001363229701 885770740 /nfs/dbraw/zinc/77/07/40/885770740.db2.gz DBMYKMBFVKZGLM-MRVPVSSYSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ncoc2C(C)C)n1 ZINC001363229701 885770746 /nfs/dbraw/zinc/77/07/46/885770746.db2.gz DBMYKMBFVKZGLM-MRVPVSSYSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccn(C)c2CC)n[n-]1 ZINC001363229710 885771099 /nfs/dbraw/zinc/77/10/99/885771099.db2.gz DFQONEHMQWQAKV-VIFPVBQESA-N -1 1 319.365 1.373 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccn(C)c2CC)[n-]1 ZINC001363229710 885771110 /nfs/dbraw/zinc/77/11/10/885771110.db2.gz DFQONEHMQWQAKV-VIFPVBQESA-N -1 1 319.365 1.373 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccn(C)c2CC)n1 ZINC001363229710 885771126 /nfs/dbraw/zinc/77/11/26/885771126.db2.gz DFQONEHMQWQAKV-VIFPVBQESA-N -1 1 319.365 1.373 20 0 DDADMM O=C([C@H]1CCCO1)N1CCN(Cc2ccc(Cl)c([O-])c2)CC1 ZINC001231732777 885842007 /nfs/dbraw/zinc/84/20/07/885842007.db2.gz FSONLIMEJCDGJQ-OAHLLOKOSA-N -1 1 324.808 1.869 20 0 DDADMM COC(=O)C1(C)CCN(Cc2ccccc2OCC(=O)[O-])CC1 ZINC001231792931 885876310 /nfs/dbraw/zinc/87/63/10/885876310.db2.gz OBXKFLWHEDEYKA-UHFFFAOYSA-N -1 1 321.373 1.925 20 0 DDADMM CC(C)Nc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)cn1 ZINC001363276077 885885949 /nfs/dbraw/zinc/88/59/49/885885949.db2.gz BYRIONLOJUFEEO-UHFFFAOYSA-N -1 1 301.354 1.224 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1csc2c1CCCC2 ZINC001363277521 885889661 /nfs/dbraw/zinc/88/96/61/885889661.db2.gz MIENPIADGQFTMA-UHFFFAOYSA-N -1 1 303.391 1.949 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H](O)C1CCCCCCC1 ZINC001363277253 885890607 /nfs/dbraw/zinc/89/06/07/885890607.db2.gz SQEGQGRYANTBCS-HNNXBMFYSA-N -1 1 323.441 1.906 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccccc2F)C1)c1ccc([O-])cn1 ZINC001363379305 886177638 /nfs/dbraw/zinc/17/76/38/886177638.db2.gz JWNFGLXXRVHISY-LLVKDONJSA-N -1 1 301.321 1.935 20 0 DDADMM CC(C)c1cc([C@H]2CCCN(C(=O)CCc3nn[n-]n3)C2)[nH]n1 ZINC001363385725 886192168 /nfs/dbraw/zinc/19/21/68/886192168.db2.gz QUNDJYZSCIOXOV-NSHDSACASA-N -1 1 317.397 1.385 20 0 DDADMM COC[C@@H](NC(=O)[C@H](C)CCCc1ccccc1)c1nn[n-]n1 ZINC001363413828 886271461 /nfs/dbraw/zinc/27/14/61/886271461.db2.gz KDNHAMIMQXBMDX-TZMCWYRMSA-N -1 1 317.393 1.662 20 0 DDADMM COC[C@@H](NC(=O)[C@@H]1Cc2ccc(C)cc2S1)c1nn[n-]n1 ZINC001363416264 886275369 /nfs/dbraw/zinc/27/53/69/886275369.db2.gz PXIMUTOSIUWSLD-PWSUYJOCSA-N -1 1 319.390 1.029 20 0 DDADMM COC[C@H](NC(=O)c1ccc(C)c2c1CCC2)c1nn[n-]n1 ZINC001363429493 886317483 /nfs/dbraw/zinc/31/74/83/886317483.db2.gz DFJUZIDZIULBSC-ZDUSSCGKSA-N -1 1 301.350 1.114 20 0 DDADMM COC[C@H](NC(=O)[C@H](C)CCOc1ccccc1)c1nn[n-]n1 ZINC001363440125 886342083 /nfs/dbraw/zinc/34/20/83/886342083.db2.gz YHTXHYHKAZMATD-YPMHNXCESA-N -1 1 319.365 1.109 20 0 DDADMM Cc1c(F)c(F)ccc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC001363444468 886350835 /nfs/dbraw/zinc/35/08/35/886350835.db2.gz UEUXASYVVMSXRM-UHFFFAOYSA-N -1 1 321.283 1.742 20 0 DDADMM CCC(=O)N(CC)[C@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001383729691 886413762 /nfs/dbraw/zinc/41/37/62/886413762.db2.gz PFOHBTQCWQKCAC-SNVBAGLBSA-N -1 1 320.393 1.436 20 0 DDADMM C[C@]1(CCNC(=O)CNC(=O)c2ncccc2[O-])CC1(F)F ZINC001363479293 886448980 /nfs/dbraw/zinc/44/89/80/886448980.db2.gz CAXVBJKMUHCDCN-ZDUSSCGKSA-N -1 1 313.304 1.069 20 0 DDADMM COC(=O)[C@@H](Cc1cncs1)NC(=O)c1c([O-])cccc1F ZINC001363558845 886632157 /nfs/dbraw/zinc/63/21/57/886632157.db2.gz MIYOUIJFDMNQJJ-SNVBAGLBSA-N -1 1 324.333 1.502 20 0 DDADMM CC[C@H](CNC(=O)CCCc1nn[n-]n1)C(=O)OC(C)(C)C ZINC001363569803 886658700 /nfs/dbraw/zinc/65/87/00/886658700.db2.gz LQURKZUEIGCQRC-SNVBAGLBSA-N -1 1 311.386 1.007 20 0 DDADMM CCOC(=O)[C@H]1C(=O)CCCN1Cc1ccc(F)c([O-])c1F ZINC001232935252 886682016 /nfs/dbraw/zinc/68/20/16/886682016.db2.gz OTPOSGZNFVAUSK-CYBMUJFWSA-N -1 1 313.300 1.767 20 0 DDADMM O=c1[nH]c(=O)c2c([nH]1)CCN(Cc1cc([O-])c(F)c(F)c1)C2 ZINC001232972908 886702869 /nfs/dbraw/zinc/70/28/69/886702869.db2.gz FWYVRZBDGHTQDS-UHFFFAOYSA-N -1 1 309.272 1.430 20 0 DDADMM COC[C@H](NC(=O)Cc1ccc(F)c(Cl)c1)c1nn[n-]n1 ZINC001363588973 886707286 /nfs/dbraw/zinc/70/72/86/886707286.db2.gz PVYLWYCHARMTPO-JTQLQIEISA-N -1 1 313.720 1.039 20 0 DDADMM COC[C@H](NC(=O)CSc1ccc(C)cc1)c1nn[n-]n1 ZINC001363591518 886712510 /nfs/dbraw/zinc/71/25/10/886712510.db2.gz UDHVWPMYFZAQIL-NSHDSACASA-N -1 1 307.379 1.104 20 0 DDADMM CCOC(=O)c1n[nH]c(NC(=O)c2ccc([O-])cc2F)c1C ZINC001363622302 886792287 /nfs/dbraw/zinc/79/22/87/886792287.db2.gz CXUBYYRJZXGGLA-UHFFFAOYSA-N -1 1 307.281 1.992 20 0 DDADMM CC(=O)CC(C)(C)CC(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001363661759 886914976 /nfs/dbraw/zinc/91/49/76/886914976.db2.gz OJQOKJBESMNLSL-UHFFFAOYSA-N -1 1 323.393 1.593 20 0 DDADMM Cc1cc(C)c(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)s1 ZINC001363705525 887027302 /nfs/dbraw/zinc/02/73/02/887027302.db2.gz ISQQHGXBAXRJFX-UHFFFAOYSA-N -1 1 300.365 1.139 20 0 DDADMM Cc1cc(C)c(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)s1 ZINC001363705525 887027312 /nfs/dbraw/zinc/02/73/12/887027312.db2.gz ISQQHGXBAXRJFX-UHFFFAOYSA-N -1 1 300.365 1.139 20 0 DDADMM CC[C@@H](CO)C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001363726114 887077842 /nfs/dbraw/zinc/07/78/42/887077842.db2.gz GXCOGWNABIXULB-JTQLQIEISA-N -1 1 309.366 1.095 20 0 DDADMM COc1cnc(C2(NC(=O)CC3(O)CCC3)CCCC2)[n-]c1=O ZINC001363735400 887103175 /nfs/dbraw/zinc/10/31/75/887103175.db2.gz SQVVZQCQOUZDPG-UHFFFAOYSA-N -1 1 321.377 1.381 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H]1CCC[C@H](C)C1 ZINC001363756393 887151141 /nfs/dbraw/zinc/15/11/41/887151141.db2.gz XGAQVVSDBFIAHN-VHSXEESVSA-N -1 1 315.395 1.301 20 0 DDADMM CC(C)(C)[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CC1CC1 ZINC001384332846 887279744 /nfs/dbraw/zinc/27/97/44/887279744.db2.gz UFEYPSMPVBPXOL-ZDUSSCGKSA-N -1 1 319.405 1.848 20 0 DDADMM CCOCc1nc(C)c(C(=O)NC2(c3nn[n-]n3)CCC2)s1 ZINC001363823247 887318456 /nfs/dbraw/zinc/31/84/56/887318456.db2.gz VEZTWURIVWPAHK-UHFFFAOYSA-N -1 1 322.394 1.310 20 0 DDADMM CCCn1ccc(C[N-]S(=O)(=O)c2csnc2OC)c1 ZINC001363879943 887455755 /nfs/dbraw/zinc/45/57/55/887455755.db2.gz ZXLPFHOPFMNTCE-UHFFFAOYSA-N -1 1 315.420 1.842 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(C)onc1N)C(=O)c1ccccc1 ZINC001364064158 887836201 /nfs/dbraw/zinc/83/62/01/887836201.db2.gz AYPYBIYUPVKUPX-NSHDSACASA-N -1 1 323.374 1.505 20 0 DDADMM CC(C)CNC(=O)N1CCC[C@@H](CC(=O)Nc2c[n-][nH]c2=O)C1 ZINC001364091219 887897074 /nfs/dbraw/zinc/89/70/74/887897074.db2.gz JIYWBIIKMIRAFG-NSHDSACASA-N -1 1 323.397 1.522 20 0 DDADMM C[C@@H](COCc1ccccc1)Oc1c(C(N)=O)nc[n-]c1=O ZINC001234468309 888002016 /nfs/dbraw/zinc/00/20/16/888002016.db2.gz PSGNNBSGAMNYMC-JTQLQIEISA-N -1 1 303.318 1.265 20 0 DDADMM Cc1nc(C(N)=O)c(OC[C@@H](C)Oc2ccccc2)c(=O)[n-]1 ZINC001234479228 888013144 /nfs/dbraw/zinc/01/31/44/888013144.db2.gz YBZAWSHZGNMMKV-SECBINFHSA-N -1 1 303.318 1.436 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H](C)CC(OC)OC ZINC001234564779 888104927 /nfs/dbraw/zinc/10/49/27/888104927.db2.gz QABCBYKLKHHEJY-ZETCQYMHSA-N -1 1 300.311 1.054 20 0 DDADMM COC1=CC[C@@H](Oc2c(C(N)=O)nc(C(C)C)[n-]c2=O)CC1 ZINC001234566099 888105525 /nfs/dbraw/zinc/10/55/25/888105525.db2.gz SIBDVHUAWFIPCC-SNVBAGLBSA-N -1 1 307.350 1.866 20 0 DDADMM CCCCOC[C@H](C)Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O ZINC001234564839 888105799 /nfs/dbraw/zinc/10/57/99/888105799.db2.gz JPOXHBKQYNVPPP-JTQLQIEISA-N -1 1 311.382 1.989 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC2(CCOCC2)CC[C@@H]1CO ZINC001364195933 888126043 /nfs/dbraw/zinc/12/60/43/888126043.db2.gz UFMZXPYMTOERPK-CYBMUJFWSA-N -1 1 323.364 1.925 20 0 DDADMM O=C(N[C@@H]1CC[C@@H](O)[C@@H](O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC001364326023 888385867 /nfs/dbraw/zinc/38/58/67/888385867.db2.gz SYJKBOOMPUISOI-UISBYWKRSA-N -1 1 319.279 1.415 20 0 DDADMM COc1cc(=O)[nH]cc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001364481920 888736732 /nfs/dbraw/zinc/73/67/32/888736732.db2.gz GZLGNYWVVSXZQK-UHFFFAOYSA-N -1 1 303.200 1.185 20 0 DDADMM COc1ccc([C@@H](CC(=O)Nc2c[n-][nH]c2=O)NC(C)=O)cc1 ZINC001364502462 888786022 /nfs/dbraw/zinc/78/60/22/888786022.db2.gz BRLRBNADQOWGAY-GFCCVEGCSA-N -1 1 318.333 1.330 20 0 DDADMM COC(=O)NC1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001364705256 889238281 /nfs/dbraw/zinc/23/82/81/889238281.db2.gz BJDXLKODAVPZIN-UHFFFAOYSA-N -1 1 300.305 1.991 20 0 DDADMM CCN(c1ccccc1)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364736840 889308653 /nfs/dbraw/zinc/30/86/53/889308653.db2.gz XBJJIZKEKXOAAU-UHFFFAOYSA-N -1 1 309.347 1.412 20 0 DDADMM CCN(c1ccccc1)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364736840 889308661 /nfs/dbraw/zinc/30/86/61/889308661.db2.gz XBJJIZKEKXOAAU-UHFFFAOYSA-N -1 1 309.347 1.412 20 0 DDADMM CNC(=O)N[C@@H]1CCCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC001364788702 889418681 /nfs/dbraw/zinc/41/86/81/889418681.db2.gz JQYJUFKISUHUPH-MRVPVSSYSA-N -1 1 313.304 1.204 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccns1)N1CCc2ccccc21 ZINC001364799855 889439496 /nfs/dbraw/zinc/43/94/96/889439496.db2.gz YLRDLVIEDFVHST-UHFFFAOYSA-N -1 1 323.399 1.011 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)no1 ZINC001364818412 889480276 /nfs/dbraw/zinc/48/02/76/889480276.db2.gz JHPARRMGTCQIDU-SNVBAGLBSA-N -1 1 304.354 1.406 20 0 DDADMM COC(=O)CC(C)(C)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001364861065 889564385 /nfs/dbraw/zinc/56/43/85/889564385.db2.gz PIROVUAHKQHBPW-UHFFFAOYSA-N -1 1 323.393 1.933 20 0 DDADMM O=c1ccc(C[N-]S(=O)(=O)c2sccc2Cl)n[nH]1 ZINC001364868875 889577647 /nfs/dbraw/zinc/57/76/47/889577647.db2.gz CTCKQXQWOLIYOQ-UHFFFAOYSA-N -1 1 305.768 1.376 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H](C)c1c(C)n[nH]c1C ZINC001364886700 889608365 /nfs/dbraw/zinc/60/83/65/889608365.db2.gz XZTBARQTQNGVNL-JTQLQIEISA-N -1 1 322.456 1.430 20 0 DDADMM CCC(C)(C)[C@@H](C)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001364905777 889646348 /nfs/dbraw/zinc/64/63/48/889646348.db2.gz BTWXLJOPASFISH-MRVPVSSYSA-N -1 1 303.384 1.299 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1C[C@H]2CCC[C@H]2O1 ZINC001364914992 889658430 /nfs/dbraw/zinc/65/84/30/889658430.db2.gz KIKZZGSQVURLMV-KXUCPTDWSA-N -1 1 318.420 1.388 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1c(F)cccc1F)c1ccns1 ZINC001364956058 889753716 /nfs/dbraw/zinc/75/37/16/889753716.db2.gz FUQPWRUFMASZTQ-SECBINFHSA-N -1 1 320.342 1.433 20 0 DDADMM COC(=O)C[C@@H](Cc1ccccc1)NC(=O)c1ccc([O-])cn1 ZINC001365143292 890188768 /nfs/dbraw/zinc/18/87/68/890188768.db2.gz ZUAUROPVBJPTDT-CYBMUJFWSA-N -1 1 314.341 1.691 20 0 DDADMM CS(=O)(=O)CCSc1nc(-c2ccccc2)cc(=O)[n-]1 ZINC001365260776 890453159 /nfs/dbraw/zinc/45/31/59/890453159.db2.gz TUOXVXGLXNQTAG-UHFFFAOYSA-N -1 1 310.400 1.986 20 0 DDADMM CC(=O)[C@@H]([N-]S(=O)(=O)c1c[nH]nc1C)c1ccccc1F ZINC001365433154 890790854 /nfs/dbraw/zinc/79/08/54/890790854.db2.gz LXSXHOCZTGRPPV-CYBMUJFWSA-N -1 1 311.338 1.466 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C2CCC3(COC3)CC2)sn1 ZINC001365458033 890830059 /nfs/dbraw/zinc/83/00/59/890830059.db2.gz XCIVCGAZCWHKDE-UHFFFAOYSA-N -1 1 318.420 1.389 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnc(OC)nc3OC)[n-]c-2n1 ZINC001240906751 891091815 /nfs/dbraw/zinc/09/18/15/891091815.db2.gz AVFYHWDXPUXJHF-UHFFFAOYSA-N -1 1 315.289 1.219 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnc(OC)nc3OC)nc2[n-]1 ZINC001240906751 891091834 /nfs/dbraw/zinc/09/18/34/891091834.db2.gz AVFYHWDXPUXJHF-UHFFFAOYSA-N -1 1 315.289 1.219 20 0 DDADMM O=C([O-])c1cccc(-c2ncccc2C(=O)N2CCNCC2)c1 ZINC001241357521 891150181 /nfs/dbraw/zinc/15/01/81/891150181.db2.gz VHJBSHYGTGLOCY-UHFFFAOYSA-N -1 1 311.341 1.492 20 0 DDADMM O=S(=O)([N-]Cc1ccon1)c1ncccc1Br ZINC001365679248 891301721 /nfs/dbraw/zinc/30/17/21/891301721.db2.gz VMILVZRANCTQNI-UHFFFAOYSA-N -1 1 318.152 1.311 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCC1(C)C ZINC001386742846 891611380 /nfs/dbraw/zinc/61/13/80/891611380.db2.gz NAJMFJIMSVLDFO-MNOVXSKESA-N -1 1 305.378 1.458 20 0 DDADMM Cc1cc(C(=O)[O-])cc(-c2cc(N3CCN(C)CC3)ncn2)c1 ZINC001243179798 891618676 /nfs/dbraw/zinc/61/86/76/891618676.db2.gz ZWKULBROHRMZBL-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cnn(Cc2ccccc2)c1 ZINC001291852954 913588539 /nfs/dbraw/zinc/58/85/39/913588539.db2.gz ILDJBYVMLHZPHP-UHFFFAOYSA-N -1 1 311.349 1.406 20 0 DDADMM COc1ncc(-c2nc(F)ccc2F)cc1[N-]S(C)(=O)=O ZINC001244793547 891927684 /nfs/dbraw/zinc/92/76/84/891927684.db2.gz ISSLHGHEQVCDHO-UHFFFAOYSA-N -1 1 315.301 1.802 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnc(OC(C)C)nc3)nc2[n-]1 ZINC001245264763 892058076 /nfs/dbraw/zinc/05/80/76/892058076.db2.gz XOHTZDVOVQEWAB-UHFFFAOYSA-N -1 1 313.317 1.989 20 0 DDADMM CCOC(=O)c1nonc1[N-]c1cc(OC)cc(C(=O)OC)c1 ZINC001248488740 893642462 /nfs/dbraw/zinc/64/24/62/893642462.db2.gz NVIREELZPOAQKW-UHFFFAOYSA-N -1 1 321.289 1.785 20 0 DDADMM COCCN1CCN(Cc2ccc(F)c(C(=O)[O-])c2F)CC1 ZINC001249764676 894121810 /nfs/dbraw/zinc/12/18/10/894121810.db2.gz VMQVHGLAFOGOJR-UHFFFAOYSA-N -1 1 314.332 1.427 20 0 DDADMM Nc1[nH]c(=S)[nH]c(=O)c1Nc1cc([O-])c(F)c(F)c1F ZINC001249960699 894176183 /nfs/dbraw/zinc/17/61/83/894176183.db2.gz QRFDOYGJRUIVKN-UHFFFAOYSA-N -1 1 304.253 1.920 20 0 DDADMM CN(CCNC(=O)C1CC2(CCC2)C1)C(=O)c1ncccc1[O-] ZINC001387982653 894277008 /nfs/dbraw/zinc/27/70/08/894277008.db2.gz PSGWVOCOPXVMOH-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM Cn1ncc(Br)c1[N-]S(=O)(=O)c1ccccc1 ZINC001251115605 894641019 /nfs/dbraw/zinc/64/10/19/894641019.db2.gz NCYYQILMPOBQRG-UHFFFAOYSA-N -1 1 316.180 1.983 20 0 DDADMM CC[C@@H](CNC(=O)C(C)(C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001388156057 894673059 /nfs/dbraw/zinc/67/30/59/894673059.db2.gz BDVACXHRVNGNCE-LBPRGKRZSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@](C)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001388265783 894900432 /nfs/dbraw/zinc/90/04/32/894900432.db2.gz CYAHWWFKUVTJPV-ZMNCSTGQSA-N -1 1 317.389 1.458 20 0 DDADMM CN1CCN(c2ccc([N-]S(=O)(=O)C(F)(F)F)cc2)CC1 ZINC001252347271 895090807 /nfs/dbraw/zinc/09/08/07/895090807.db2.gz QQNXEXHRVSLXLG-UHFFFAOYSA-N -1 1 323.340 1.700 20 0 DDADMM CCCCN(CCCC)Cc1cn(CCOCC(=O)[O-])nn1 ZINC001254089766 896253083 /nfs/dbraw/zinc/25/30/83/896253083.db2.gz PSFJHHTZXPMPMU-UHFFFAOYSA-N -1 1 312.414 1.782 20 0 DDADMM CCOC(=O)c1cc([N-]S(=O)(=O)c2ccccc2C)ccn1 ZINC001254520100 896477153 /nfs/dbraw/zinc/47/71/53/896477153.db2.gz ORZXZOSNVOSXEJ-UHFFFAOYSA-N -1 1 320.370 1.789 20 0 DDADMM CC[C@H](C)C(=O)NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001389125774 896488931 /nfs/dbraw/zinc/48/89/31/896488931.db2.gz INDXOGQGHMFNDM-VIFPVBQESA-N -1 1 318.377 1.046 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1ccc(C(N)=O)c(O)c1 ZINC001254618399 896536311 /nfs/dbraw/zinc/53/63/11/896536311.db2.gz GGTSYQVCUSYMQD-UHFFFAOYSA-N -1 1 306.343 1.600 20 0 DDADMM Cc1coc(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)CC(C)C)c1 ZINC001367411559 896700831 /nfs/dbraw/zinc/70/08/31/896700831.db2.gz HTFQGRRWHRPTTR-LLVKDONJSA-N -1 1 321.381 1.346 20 0 DDADMM Cn1cccc1C(=O)C(=O)OCC(=O)c1ccc([O-])cc1O ZINC001258296286 898130559 /nfs/dbraw/zinc/13/05/59/898130559.db2.gz CZDUJQUPZRHGSP-UHFFFAOYSA-N -1 1 303.270 1.045 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-][C@@H]1CCc2cc(C)ncc21 ZINC001258790306 898322825 /nfs/dbraw/zinc/32/28/25/898322825.db2.gz BIDONPKSLMARLL-CYBMUJFWSA-N -1 1 307.375 1.961 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(Cc2cccnc2)cc1 ZINC001259026532 898424112 /nfs/dbraw/zinc/42/41/12/898424112.db2.gz KSCSZFWGRSPOFL-UHFFFAOYSA-N -1 1 320.370 1.587 20 0 DDADMM O=c1ccc(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)c[nH]1 ZINC001259081284 898455559 /nfs/dbraw/zinc/45/55/59/898455559.db2.gz KIVUVJPOPWJSBJ-UHFFFAOYSA-N -1 1 318.276 1.683 20 0 DDADMM C=C/C(C)=C/CC(=O)N1CC[C@@](C)(NC(=O)c2cnn[nH]2)C1 ZINC001299557514 898473731 /nfs/dbraw/zinc/47/37/31/898473731.db2.gz GKMIOFIZFOZJCE-IWOOQVRJSA-N -1 1 303.366 1.048 20 0 DDADMM COCCn1cnc([N-]S(=O)(=O)Cc2ccc(F)cc2)c1 ZINC001259247949 898546659 /nfs/dbraw/zinc/54/66/59/898546659.db2.gz KAFBCKIFRUGVND-UHFFFAOYSA-N -1 1 313.354 1.611 20 0 DDADMM O=S(=O)([N-]c1ccnc(CO)c1)c1ccc2c(c1)OCCO2 ZINC001259339928 898616645 /nfs/dbraw/zinc/61/66/45/898616645.db2.gz QTQIGABUAMLIOO-UHFFFAOYSA-N -1 1 322.342 1.146 20 0 DDADMM O=C1C[C@H](C[N-]S(=O)(=O)c2cc(Cl)ccc2F)CCN1 ZINC001259452875 898678150 /nfs/dbraw/zinc/67/81/50/898678150.db2.gz ZUSDNYIUISDCJL-MRVPVSSYSA-N -1 1 320.773 1.284 20 0 DDADMM O=S(=O)([N-]c1ccnc(N2CCCC2)c1)c1cccnc1 ZINC001259602435 898729922 /nfs/dbraw/zinc/72/99/22/898729922.db2.gz AOODHPFIZINLSD-UHFFFAOYSA-N -1 1 304.375 1.878 20 0 DDADMM COc1cc(OC)c([N-]S(=O)(=O)CCC(F)(F)F)cn1 ZINC001259867098 898877826 /nfs/dbraw/zinc/87/78/26/898877826.db2.gz HEOZQHIUUPJCIJ-UHFFFAOYSA-N -1 1 314.285 1.793 20 0 DDADMM COC(=O)Cc1ccc(OC)c([N-]S(=O)(=O)CC(C)C)c1 ZINC001259884622 898895763 /nfs/dbraw/zinc/89/57/63/898895763.db2.gz HTTFKIAJDFAALK-UHFFFAOYSA-N -1 1 315.391 1.808 20 0 DDADMM O=S(=O)([N-]c1ccc(F)nc1Br)C(F)F ZINC001259951524 898972142 /nfs/dbraw/zinc/97/21/42/898972142.db2.gz XWHZDQDCWSDJNU-UHFFFAOYSA-N -1 1 305.075 1.948 20 0 DDADMM CCOC(=O)Cc1ccc(F)c([N-]S(=O)(=O)CCOC)c1 ZINC001259973088 898997318 /nfs/dbraw/zinc/99/73/18/898997318.db2.gz QTVVCZWXNCGVQZ-UHFFFAOYSA-N -1 1 319.354 1.319 20 0 DDADMM CCOc1cncc(NS(=O)(=O)c2cccc(C(=O)[O-])c2)c1 ZINC001260183888 899076274 /nfs/dbraw/zinc/07/62/74/899076274.db2.gz GWYIRPKTPRENBL-UHFFFAOYSA-N -1 1 322.342 1.979 20 0 DDADMM O=S(=O)([N-]Cc1ccnnc1)c1cc(Cl)c(F)cc1F ZINC001260206475 899089433 /nfs/dbraw/zinc/08/94/33/899089433.db2.gz PYFMDXPZCJIDQE-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM C[C@@H](CO)CC[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260207509 899090706 /nfs/dbraw/zinc/09/07/06/899090706.db2.gz WSQGSLLKPYKWHO-SSDOTTSWSA-N -1 1 313.753 1.915 20 0 DDADMM CC(=O)c1ccncc1NS(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC001260221740 899096524 /nfs/dbraw/zinc/09/65/24/899096524.db2.gz QMBAUYNOAPCLLU-UHFFFAOYSA-N -1 1 320.326 1.783 20 0 DDADMM COc1cc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)ncc1C ZINC001260223561 899097383 /nfs/dbraw/zinc/09/73/83/899097383.db2.gz UZSBWMAXQICPSZ-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM Cc1ccc(CN2CCCN(C(=O)C3(C(=O)[O-])CC3)CC2)cc1 ZINC001262174703 900000202 /nfs/dbraw/zinc/00/02/02/900000202.db2.gz DXPNWXRAAMHECJ-UHFFFAOYSA-N -1 1 316.401 1.894 20 0 DDADMM CN(Cc1ccccc1)c1nnc(-c2nnn[n-]2)n1CC1CC1 ZINC001263741971 900692498 /nfs/dbraw/zinc/69/24/98/900692498.db2.gz DMOUGBKGXUKKDW-UHFFFAOYSA-N -1 1 310.365 1.505 20 0 DDADMM CN(Cc1ccccc1)c1nnc(-c2nn[n-]n2)n1CC1CC1 ZINC001263741971 900692505 /nfs/dbraw/zinc/69/25/05/900692505.db2.gz DMOUGBKGXUKKDW-UHFFFAOYSA-N -1 1 310.365 1.505 20 0 DDADMM C[C@@H]1CN2CCN1C[C@@H]2C(=O)Nc1ccc2[n-]c(=S)oc2c1 ZINC001263767428 900698583 /nfs/dbraw/zinc/69/85/83/900698583.db2.gz VJLPAMJPVXSNCU-BXKDBHETSA-N -1 1 318.402 1.443 20 0 DDADMM COc1cc2ccccc2cc1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001263886620 900799311 /nfs/dbraw/zinc/79/93/11/900799311.db2.gz PYEIVOCNGFYSJO-CYBMUJFWSA-N -1 1 323.356 1.991 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1cccc2c1OCC2 ZINC001263925698 900820112 /nfs/dbraw/zinc/82/01/12/900820112.db2.gz QFJPBVGZBIFAGR-UHFFFAOYSA-N -1 1 317.370 1.644 20 0 DDADMM C[C@@H](CC(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C)C1CC1 ZINC001369557219 901528416 /nfs/dbraw/zinc/52/84/16/901528416.db2.gz WAXANFDRPWWPSO-CMPLNLGQSA-N -1 1 323.441 1.567 20 0 DDADMM CCCC1(C(=O)N[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001369699263 901794120 /nfs/dbraw/zinc/79/41/20/901794120.db2.gz XRTHHMPZKPPFPG-NEPJUHHUSA-N -1 1 319.405 1.991 20 0 DDADMM CC[C@H](CNC(=O)C1(CC)CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001391738382 902495329 /nfs/dbraw/zinc/49/53/29/902495329.db2.gz PLELKWVJVUJOBV-LLVKDONJSA-N -1 1 309.414 1.465 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cncs1 ZINC001370474263 903245820 /nfs/dbraw/zinc/24/58/20/903245820.db2.gz RYZKDIXBQXEFFU-VIFPVBQESA-N -1 1 320.374 1.134 20 0 DDADMM Cc1nnc(CN(C)C[C@H](C)NC(=O)c2ncccc2[O-])s1 ZINC001375034208 914723598 /nfs/dbraw/zinc/72/35/98/914723598.db2.gz WGEYMTJQPBZSIW-VIFPVBQESA-N -1 1 321.406 1.197 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)c1ccco1 ZINC001371248297 904565864 /nfs/dbraw/zinc/56/58/64/904565864.db2.gz NLLLPBGYOQPLFC-MNOVXSKESA-N -1 1 317.345 1.707 20 0 DDADMM CN(CCCNC(=O)C1CC=CC1)C(=O)c1ncccc1[O-] ZINC001294002099 914842227 /nfs/dbraw/zinc/84/22/27/914842227.db2.gz LATZKZGVZFECCN-UHFFFAOYSA-N -1 1 303.362 1.332 20 0 DDADMM CC/C=C(/C)C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001282071153 905506474 /nfs/dbraw/zinc/50/64/74/905506474.db2.gz BHJPYGRODBPJAA-DENSFDLQSA-N -1 1 317.389 1.768 20 0 DDADMM C[C@@H](CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001372012675 906241376 /nfs/dbraw/zinc/24/13/76/906241376.db2.gz VQIFBMWIWJFDKC-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@]1(C(=O)NCCNC(=O)c2ncccc2[O-])CC=CCC1 ZINC001282982584 906395319 /nfs/dbraw/zinc/39/53/19/906395319.db2.gz VHNPWYUXEVHTOA-INIZCTEOSA-N -1 1 303.362 1.380 20 0 DDADMM C[S@](=O)CCN1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001414118036 906726910 /nfs/dbraw/zinc/72/69/10/906726910.db2.gz KWCCYQNYRUJMPU-NRFANRHFSA-N -1 1 318.389 1.167 20 0 DDADMM CCC(=CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C)CC ZINC001283861905 908087941 /nfs/dbraw/zinc/08/79/41/908087941.db2.gz WZPSMONXHDGYED-ZYHUDNBSSA-N -1 1 307.398 1.336 20 0 DDADMM CC(C)c1[nH]ccc1C(=O)N[C@@H](C)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001393965842 908402738 /nfs/dbraw/zinc/40/27/38/908402738.db2.gz HCOZUFAKBXZZNR-UWVGGRQHSA-N -1 1 320.397 1.258 20 0 DDADMM CC[C@@H](C)C(=O)N[C@@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001372878897 908484123 /nfs/dbraw/zinc/48/41/23/908484123.db2.gz UODDXXZYFVIVLJ-ZJUUUORDSA-N -1 1 320.393 1.340 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1cncs1 ZINC001373260599 909337440 /nfs/dbraw/zinc/33/74/40/909337440.db2.gz HTTWPGKKSUGVGL-SECBINFHSA-N -1 1 320.374 1.182 20 0 DDADMM CN(C(=O)c1ccsc1)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001394416272 909572312 /nfs/dbraw/zinc/57/23/12/909572312.db2.gz CMZAVMZWUUIXKF-NSHDSACASA-N -1 1 321.406 1.212 20 0 DDADMM C[C@@H](C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1)c1ccsc1 ZINC001394522155 909891209 /nfs/dbraw/zinc/89/12/09/909891209.db2.gz FGQHVDNDAKIWMY-VHSXEESVSA-N -1 1 323.422 1.312 20 0 DDADMM Cc1cnoc1C(=O)N[C@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001394967741 911040019 /nfs/dbraw/zinc/04/00/19/911040019.db2.gz ULKXRYZMMUKTMZ-NXEZZACHSA-N -1 1 318.333 1.020 20 0 DDADMM C[C@@H](c1cnccn1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001374065974 911783546 /nfs/dbraw/zinc/78/35/46/911783546.db2.gz PDVQEXPXXHVYIO-LBPRGKRZSA-N -1 1 313.361 1.096 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C=C\c3ccncc3C)nc2n1 ZINC001287285890 912160374 /nfs/dbraw/zinc/16/03/74/912160374.db2.gz MIIJLHVVXTWZPS-PLNGDYQASA-N -1 1 324.344 1.335 20 0 DDADMM C=CC(=O)Nc1cccc(C(=O)N[C@@H](CCC)c2nn[n-]n2)c1 ZINC001294422528 915129465 /nfs/dbraw/zinc/12/94/65/915129465.db2.gz RXWBDCYBKUOWBF-LBPRGKRZSA-N -1 1 314.349 1.595 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@]1(C)CC=CCC1 ZINC001295469120 915824652 /nfs/dbraw/zinc/82/46/52/915824652.db2.gz HUVKMSSHLXOICE-SJKOYZFVSA-N -1 1 317.389 1.768 20 0 DDADMM O=C(c1cnc2ccccc2c1O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001296796604 916577059 /nfs/dbraw/zinc/57/70/59/916577059.db2.gz GRKYVJGWOMVLND-JTQLQIEISA-N -1 1 324.344 1.061 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)CC(C)(C)C)nc1=O ZINC001376570832 918783460 /nfs/dbraw/zinc/78/34/60/918783460.db2.gz VGJFPKHQMWVSMS-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM CCCC1(C(=O)N[C@@H](C)CNC(=O)c2ncccc2[O-])CC1 ZINC001377991999 923622043 /nfs/dbraw/zinc/62/20/43/923622043.db2.gz RTWCUYZEQOSVHO-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@H]3C3CCCC3)nc2n1 ZINC000622870333 365550621 /nfs/dbraw/zinc/55/06/21/365550621.db2.gz YIFSMCHEYIHFAN-QWRGUYRKSA-N -1 1 301.350 1.491 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H](C)[C@@H]3CCCO3)nc2n1 ZINC000622992338 365584738 /nfs/dbraw/zinc/58/47/38/365584738.db2.gz HQUNDBDGESVZQQ-KOLCDFICSA-N -1 1 319.365 1.124 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C(C3CC3)C3CC3)nc2n1 ZINC000622994171 365586878 /nfs/dbraw/zinc/58/68/78/365586878.db2.gz GIZBIQIASORXLE-UHFFFAOYSA-N -1 1 301.350 1.355 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCC[C@@H](C)C3)nc2n1 ZINC000622994619 365588906 /nfs/dbraw/zinc/58/89/06/365588906.db2.gz VZVZLEOWRRXTSK-ZJUUUORDSA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)CCCOC)nc2n1 ZINC000622996809 365590401 /nfs/dbraw/zinc/59/04/01/365590401.db2.gz AZJNBZWTGVSIHZ-JTQLQIEISA-N -1 1 321.381 1.371 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@](C)(OC)C3CC3)nc2n1 ZINC000622997558 365591491 /nfs/dbraw/zinc/59/14/91/365591491.db2.gz BUVPURURFMCFRY-OAHLLOKOSA-N -1 1 319.365 1.124 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C)C[C@H]2C)o1 ZINC000077025280 185071101 /nfs/dbraw/zinc/07/11/01/185071101.db2.gz BMAPSXQWGYMXFI-VHSXEESVSA-N -1 1 300.380 1.448 20 0 DDADMM CCC(CC)(CNC(=O)[C@H]1CN(C(C)C)CCO1)C(=O)[O-] ZINC000424244728 282115323 /nfs/dbraw/zinc/11/53/23/282115323.db2.gz JOULJIJZGXOSJT-GFCCVEGCSA-N -1 1 300.399 1.103 20 0 DDADMM COc1cc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)ccn1 ZINC000614390018 361834249 /nfs/dbraw/zinc/83/42/49/361834249.db2.gz QHOJCDKUFPDPCB-LLVKDONJSA-N -1 1 318.333 1.128 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)n1cccn1 ZINC000614389516 361832098 /nfs/dbraw/zinc/83/20/98/361832098.db2.gz NXKXZSMOTFAOIX-JTQLQIEISA-N -1 1 305.338 1.113 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)[C@@H]1CCCO1 ZINC000278708479 214342205 /nfs/dbraw/zinc/34/22/05/214342205.db2.gz KIMRTRYSDZARTI-MNOVXSKESA-N -1 1 321.345 1.437 20 0 DDADMM CN(C[C@H](O)C1CC1)C(=O)c1cc(Br)ccc1[O-] ZINC000089880397 539173319 /nfs/dbraw/zinc/17/33/19/539173319.db2.gz FIMZBHGRFRMOEZ-LBPRGKRZSA-N -1 1 314.179 1.998 20 0 DDADMM Cc1cn(-c2ccccc2)nc1[N-]S(=O)(=O)N1CCOCC1 ZINC000163459758 539224346 /nfs/dbraw/zinc/22/43/46/539224346.db2.gz LCDKONQZTORMFH-UHFFFAOYSA-N -1 1 322.390 1.170 20 0 DDADMM C[C@@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCS1 ZINC000191899063 539258781 /nfs/dbraw/zinc/25/87/81/539258781.db2.gz ZKUMYHBMEQWAPT-SNVBAGLBSA-N -1 1 303.387 1.891 20 0 DDADMM O=C([O-])c1ccc(CCNC(=O)c2[nH]nc3c2CCCC3)cc1 ZINC000238101306 539268040 /nfs/dbraw/zinc/26/80/40/539268040.db2.gz MMXIXESRHRTEGE-UHFFFAOYSA-N -1 1 313.357 1.959 20 0 DDADMM NC(=O)N1CC[C@H](CNC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000614760329 362012900 /nfs/dbraw/zinc/01/29/00/362012900.db2.gz KHGRJPFFSBETAD-SNVBAGLBSA-N -1 1 314.345 1.071 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCC[C@H]3C[C@H]32)c1 ZINC000615054081 362129401 /nfs/dbraw/zinc/12/94/01/362129401.db2.gz MNAWSEAHEIEDSB-MVWJERBFSA-N -1 1 324.402 1.675 20 0 DDADMM COc1ccc2c(c1)OC[C@H](C(=O)Nc1c(C)[n-][nH]c1=O)C2 ZINC000615227454 362203748 /nfs/dbraw/zinc/20/37/48/362203748.db2.gz RSVGYMVGUWGICJ-SNVBAGLBSA-N -1 1 303.318 1.622 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)COc1ccc2c(c1)CCC(=O)N2 ZINC000615226966 362204086 /nfs/dbraw/zinc/20/40/86/362204086.db2.gz NPZYEMIRWSGFMN-UHFFFAOYSA-N -1 1 316.317 1.326 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCCc2ccccc2)n[n-]1 ZINC000615920095 362486281 /nfs/dbraw/zinc/48/62/81/362486281.db2.gz QDLRJDQYPDDTHJ-UHFFFAOYSA-N -1 1 316.361 1.621 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCCc2ccccc2)n1 ZINC000615920095 362486288 /nfs/dbraw/zinc/48/62/88/362486288.db2.gz QDLRJDQYPDDTHJ-UHFFFAOYSA-N -1 1 316.361 1.621 20 0 DDADMM CC(C)[C@@H](O)CC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000305199278 282391288 /nfs/dbraw/zinc/39/12/88/282391288.db2.gz KBXJDJMAXNFXAP-NSHDSACASA-N -1 1 311.325 1.789 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1CC[C@H](C(F)(F)F)C1 ZINC000357682254 539478307 /nfs/dbraw/zinc/47/83/07/539478307.db2.gz AHOQAZDAXWCVON-SFYZADRCSA-N -1 1 318.299 1.417 20 0 DDADMM CC[C@H]1CO[C@H](C)CN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358340551 299125628 /nfs/dbraw/zinc/12/56/28/299125628.db2.gz JAZYCMCPGLQVID-ZJUUUORDSA-N -1 1 304.350 1.313 20 0 DDADMM O=C(CNC(=O)c1cccc(Cl)c1)Nc1ccncc1[O-] ZINC000358343620 299126536 /nfs/dbraw/zinc/12/65/36/299126536.db2.gz VSAJTYOCMTXHON-UHFFFAOYSA-N -1 1 305.721 1.231 20 0 DDADMM C[C@@H](OC[C@@H]1CCCCO1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279619016 215010788 /nfs/dbraw/zinc/01/07/88/215010788.db2.gz HPUZVRSZQQMGOZ-OMLJAZAOSA-N -1 1 318.377 1.255 20 0 DDADMM CC[C@H](C[C@@H](C)CO)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358379950 299136926 /nfs/dbraw/zinc/13/69/26/299136926.db2.gz FEBXUYXTWHHSKV-NXEZZACHSA-N -1 1 306.366 1.201 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)C3(NC(N)=O)CC3)[nH][n-]2)s1 ZINC000616811312 362829775 /nfs/dbraw/zinc/82/97/75/362829775.db2.gz OBGWQGPOLRGICY-UHFFFAOYSA-N -1 1 305.363 1.008 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](c2cccnc2)C(C)(C)CO)c([O-])c1 ZINC000616841529 362842899 /nfs/dbraw/zinc/84/28/99/362842899.db2.gz KWKZPGWJOHXXLD-HNNXBMFYSA-N -1 1 315.373 1.980 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N(CCCCO)C1CC1)c2=O ZINC000358416821 299147070 /nfs/dbraw/zinc/14/70/70/299147070.db2.gz XTFLOIVPTXJXEB-UHFFFAOYSA-N -1 1 304.350 1.051 20 0 DDADMM Cn1ccnc1[C@H](NC(=O)c1ccc([O-])c(F)c1)C(C)(C)CO ZINC000616864902 362851310 /nfs/dbraw/zinc/85/13/10/362851310.db2.gz NBAMTQOAOCYHJQ-ZDUSSCGKSA-N -1 1 321.352 1.754 20 0 DDADMM CSC1(CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCC1 ZINC000286995524 219260939 /nfs/dbraw/zinc/26/09/39/219260939.db2.gz AVVRKWHIOSWDGY-WQRHYEAKSA-N -1 1 305.407 1.749 20 0 DDADMM O=C([N-]OCc1cccnc1)[C@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000155834231 186116251 /nfs/dbraw/zinc/11/62/51/186116251.db2.gz OJCPZSHUVFKSOI-JTQLQIEISA-N -1 1 317.267 1.040 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)Cc1ncc[nH]1 ZINC000354124654 290912740 /nfs/dbraw/zinc/91/27/40/290912740.db2.gz NGKASLZKAYKYLD-UHFFFAOYSA-N -1 1 303.366 1.783 20 0 DDADMM CSCCO[N-]C(=O)[C@@H](c1ccccc1)N1CCC(O)CC1 ZINC000280082301 215362327 /nfs/dbraw/zinc/36/23/27/215362327.db2.gz SKZOXEJPJSKMJN-OAHLLOKOSA-N -1 1 324.446 1.595 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(c2nncn2C2CC2)CC1 ZINC000172292660 198071429 /nfs/dbraw/zinc/07/14/29/198071429.db2.gz LLAPHESFPNVYJI-UHFFFAOYSA-N -1 1 313.361 1.733 20 0 DDADMM COc1ccc(NC(=O)c2cccnc2)cc1[N-]S(C)(=O)=O ZINC000029817447 352245666 /nfs/dbraw/zinc/24/56/66/352245666.db2.gz CEYDONCLEKFSHL-UHFFFAOYSA-N -1 1 321.358 1.714 20 0 DDADMM CC(=O)NCC[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000034193659 352291553 /nfs/dbraw/zinc/29/15/53/352291553.db2.gz FBGMHBPTHDRZDY-UHFFFAOYSA-N -1 1 317.219 1.469 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1C[C@H]1c1cccc(Br)c1 ZINC000060380470 352869614 /nfs/dbraw/zinc/86/96/14/352869614.db2.gz UAYASLSNRUINGL-IUCAKERBSA-N -1 1 308.139 1.704 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1C[C@H]1c1cccc(Br)c1 ZINC000060380470 352869617 /nfs/dbraw/zinc/86/96/17/352869617.db2.gz UAYASLSNRUINGL-IUCAKERBSA-N -1 1 308.139 1.704 20 0 DDADMM CCOC(=O)CSc1nnc([N-]C(=O)c2ccon2)s1 ZINC000067290219 353043204 /nfs/dbraw/zinc/04/32/04/353043204.db2.gz ZRJOGWBSOCTFCG-UHFFFAOYSA-N -1 1 314.348 1.434 20 0 DDADMM Cc1nc(-c2ccc(NC[C@@H](C)CCO)nc2)[n-]c(=O)c1C ZINC000078581784 353523243 /nfs/dbraw/zinc/52/32/43/353523243.db2.gz RMPKTSHNTKUPNW-JTQLQIEISA-N -1 1 302.378 1.879 20 0 DDADMM O=C(N[C@H](CO)C1CCCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000078930181 353541434 /nfs/dbraw/zinc/54/14/34/353541434.db2.gz BRKYKZJRBKVIHS-CQSZACIVSA-N -1 1 315.373 1.594 20 0 DDADMM CCn1nc(C)c(NC(=O)NCCn2c(C)n[n-]c2=S)c1C ZINC000089479225 353773862 /nfs/dbraw/zinc/77/38/62/353773862.db2.gz WUSGSOYDQWDJEC-UHFFFAOYSA-N -1 1 323.426 1.904 20 0 DDADMM C[C@H]1CN(CCNC(=O)c2c(F)ccc([O-])c2F)[C@@H](C)CO1 ZINC000617723246 363255488 /nfs/dbraw/zinc/25/54/88/363255488.db2.gz KRUDOZJJAJGHRQ-UWVGGRQHSA-N -1 1 314.332 1.509 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000122146806 354024860 /nfs/dbraw/zinc/02/48/60/354024860.db2.gz KMJRXXRCEBAJLX-KOLCDFICSA-N -1 1 319.329 1.585 20 0 DDADMM O=C(N[C@@H](CO)CC1CCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000358642628 299211327 /nfs/dbraw/zinc/21/13/27/299211327.db2.gz AHMCHCQOCKMAAC-LLVKDONJSA-N -1 1 301.346 1.204 20 0 DDADMM COC(=O)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000129431771 354081938 /nfs/dbraw/zinc/08/19/38/354081938.db2.gz NNVBPZWLZYAQAV-QMMMGPOBSA-N -1 1 321.362 1.068 20 0 DDADMM O=C(Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-])C1CC=CC1 ZINC000130499095 354094196 /nfs/dbraw/zinc/09/41/96/354094196.db2.gz FFVUACOPLZFULR-UHFFFAOYSA-N -1 1 322.386 1.738 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2csc(NC3CC3)n2)n1 ZINC000585682319 354827384 /nfs/dbraw/zinc/82/73/84/354827384.db2.gz PZWCELJYVGCCGN-UHFFFAOYSA-N -1 1 321.362 1.291 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3ccccc3C)nc2n1 ZINC000588745580 354932550 /nfs/dbraw/zinc/93/25/50/354932550.db2.gz ZHEFTKYCLNQZKJ-UHFFFAOYSA-N -1 1 311.345 1.931 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)C(=O)OC ZINC000592068058 355481375 /nfs/dbraw/zinc/48/13/75/355481375.db2.gz HFEQYOZOPFEFON-UHTWSYAYSA-N -1 1 321.439 1.309 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC000592076351 355485813 /nfs/dbraw/zinc/48/58/13/355485813.db2.gz YUWMKXMYWSYONQ-MNOVXSKESA-N -1 1 307.412 1.063 20 0 DDADMM CC(C)(C)OC(=O)[C@]1(C(=O)N=c2ccc(O)n[n-]2)CC=CCC1 ZINC000593138557 355810328 /nfs/dbraw/zinc/81/03/28/355810328.db2.gz WDBUQMSJLQHNCU-MRXNPFEDSA-N -1 1 319.361 1.611 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C(=O)N1c1ccccc1 ZINC000174507764 291081914 /nfs/dbraw/zinc/08/19/14/291081914.db2.gz UGSWJBFOIDGHOS-WCQYABFASA-N -1 1 311.341 1.711 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CC[C@H]3C[C@H]3C2)cc1C ZINC000595342374 356456003 /nfs/dbraw/zinc/45/60/03/356456003.db2.gz CBLLEHWWUDMMFD-GARJFASQSA-N -1 1 313.375 1.842 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2cc(C)no2)cc1C ZINC000595305406 356441851 /nfs/dbraw/zinc/44/18/51/356441851.db2.gz GFVAVBZHRUTQJW-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM COc1cncc(C(=O)[N-]c2nnc(CC(F)(F)F)s2)n1 ZINC000276705497 213056521 /nfs/dbraw/zinc/05/65/21/213056521.db2.gz ITRFJAKRKGGUFK-UHFFFAOYSA-N -1 1 319.268 1.694 20 0 DDADMM COC(=O)C[C@@H]1CSCCN1C(=O)c1ncc(C)cc1[O-] ZINC000331215293 291132489 /nfs/dbraw/zinc/13/24/89/291132489.db2.gz VDXLZFPLEQFPLU-SNVBAGLBSA-N -1 1 310.375 1.216 20 0 DDADMM O=C(COc1ccccc1-c1ccccc1)NCc1nn[n-]n1 ZINC000600502511 358242524 /nfs/dbraw/zinc/24/25/24/358242524.db2.gz PRTVPTMZVLVEGS-UHFFFAOYSA-N -1 1 309.329 1.562 20 0 DDADMM O=C(CC[C@@H]1CCCCO1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000600965746 358361219 /nfs/dbraw/zinc/36/12/19/358361219.db2.gz WTMREBDQVDZTOU-ZFWWWQNUSA-N -1 1 315.377 1.755 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)CC2CCC2)o1 ZINC000601514322 358583671 /nfs/dbraw/zinc/58/36/71/358583671.db2.gz XQQNYPZHXNWJRP-SECBINFHSA-N -1 1 301.364 1.923 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1[C@H](C)O[C@H](C)[C@H]1C ZINC000602300878 358920738 /nfs/dbraw/zinc/92/07/38/358920738.db2.gz QBQDCQDHSQJDAQ-SVDPJWKOSA-N -1 1 309.366 1.017 20 0 DDADMM CN1CCC[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000618462481 363640152 /nfs/dbraw/zinc/64/01/52/363640152.db2.gz BPDWBNAKJCTVID-QMMMGPOBSA-N -1 1 308.325 1.476 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC2(C)COC2)c(F)c1 ZINC000602901439 359282743 /nfs/dbraw/zinc/28/27/43/359282743.db2.gz LFZSSCHUAQIMAX-UHFFFAOYSA-N -1 1 307.318 1.288 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CC2CCSCC2)n[n-]1 ZINC000603015901 359359247 /nfs/dbraw/zinc/35/92/47/359359247.db2.gz LTUGHGZNORPIGA-UHFFFAOYSA-N -1 1 312.395 1.131 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CC2CCSCC2)n1 ZINC000603015901 359359248 /nfs/dbraw/zinc/35/92/48/359359248.db2.gz LTUGHGZNORPIGA-UHFFFAOYSA-N -1 1 312.395 1.131 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@@H]2CCC[C@@H](C)C2)n[n-]1 ZINC000603019313 359360945 /nfs/dbraw/zinc/36/09/45/359360945.db2.gz UPXDDLYWYIAOFU-GHMZBOCLSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H]2CCC[C@@H](C)C2)n1 ZINC000603019313 359360953 /nfs/dbraw/zinc/36/09/53/359360953.db2.gz UPXDDLYWYIAOFU-GHMZBOCLSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2C[C@@H]2C2CCCC2)n[n-]1 ZINC000603021220 359363706 /nfs/dbraw/zinc/36/37/06/359363706.db2.gz JCKSXTVODPFADW-GHMZBOCLSA-N -1 1 306.366 1.424 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2C[C@@H]2C2CCCC2)n1 ZINC000603021220 359363716 /nfs/dbraw/zinc/36/37/16/359363716.db2.gz JCKSXTVODPFADW-GHMZBOCLSA-N -1 1 306.366 1.424 20 0 DDADMM CCOC(=O)c1c([N-]S(=O)(=O)C[C@@H]2CCCO2)ccn1C ZINC000603416507 359627737 /nfs/dbraw/zinc/62/77/37/359627737.db2.gz HAAZMZQLKBOMRY-JTQLQIEISA-N -1 1 316.379 1.123 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)OCc1ccccc1)c1nn[n-]n1 ZINC000187259615 200084793 /nfs/dbraw/zinc/08/47/93/200084793.db2.gz KXEQPOPJESKIIU-RYUDHWBXSA-N -1 1 303.366 1.367 20 0 DDADMM CCCCn1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(C)n1 ZINC000187351990 200099628 /nfs/dbraw/zinc/09/96/28/200099628.db2.gz BDBNPPBJMOHKHG-JTQLQIEISA-N -1 1 305.386 1.380 20 0 DDADMM CC(C)c1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)on1 ZINC000603735465 359675927 /nfs/dbraw/zinc/67/59/27/359675927.db2.gz DIDOYTLCWKYKLT-CYBMUJFWSA-N -1 1 312.333 1.831 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3ccc(F)cn3)no2)co1 ZINC000358973629 299296002 /nfs/dbraw/zinc/29/60/02/299296002.db2.gz CLRYXNIRLWTZRG-UHFFFAOYSA-N -1 1 324.293 1.439 20 0 DDADMM COc1ccsc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000604081646 359716927 /nfs/dbraw/zinc/71/69/27/359716927.db2.gz APIJBIMTDXOYGY-LLVKDONJSA-N -1 1 315.358 1.789 20 0 DDADMM O=C(CCc1ccccc1F)NC1(c2nn[n-]n2)CCCC1 ZINC000605381911 359847496 /nfs/dbraw/zinc/84/74/96/359847496.db2.gz HNCBBBJTRABCHU-UHFFFAOYSA-N -1 1 303.341 1.857 20 0 DDADMM O=C(CCOc1ccccc1)NC1(c2nn[n-]n2)CCCC1 ZINC000605531443 359867664 /nfs/dbraw/zinc/86/76/64/359867664.db2.gz ZRGJJKXRROVHCR-UHFFFAOYSA-N -1 1 301.350 1.554 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605530655 359867697 /nfs/dbraw/zinc/86/76/97/359867697.db2.gz OEZXUEIEVFYEJC-VXGBXAGGSA-N -1 1 307.398 1.681 20 0 DDADMM Cc1cc(C(=O)NCc2nn[n-]n2)ccc1NC(=O)CC(C)C ZINC000607905676 360065130 /nfs/dbraw/zinc/06/51/30/360065130.db2.gz FECIXBPEUQASKH-UHFFFAOYSA-N -1 1 316.365 1.423 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H](OC)C(C)C)c(F)c1 ZINC000608744584 360233617 /nfs/dbraw/zinc/23/36/17/360233617.db2.gz LNOZCEVZMRAYOV-GFCCVEGCSA-N -1 1 323.361 1.923 20 0 DDADMM C[C@H]1CC[N@@H+](CCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000608745283 360233899 /nfs/dbraw/zinc/23/38/99/360233899.db2.gz QRGIWKZLELRESO-JTQLQIEISA-N -1 1 304.362 1.585 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(C2CC2)C2CC2)o1 ZINC000610270055 360405256 /nfs/dbraw/zinc/40/52/56/360405256.db2.gz RPCQYXLSRCYASW-UHFFFAOYSA-N -1 1 313.375 1.781 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)c1ccc(F)cc1F ZINC000281235934 216172685 /nfs/dbraw/zinc/17/26/85/216172685.db2.gz SLJLFFPWCGLWNG-LURJTMIESA-N -1 1 317.317 1.883 20 0 DDADMM O=C([O-])c1cncnc1N1CCC(CCN2CCOCC2)CC1 ZINC000565835204 304096563 /nfs/dbraw/zinc/09/65/63/304096563.db2.gz ADBPYQJMWJBPKG-UHFFFAOYSA-N -1 1 320.393 1.114 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2ncccc2OC(F)F)on1 ZINC000611590160 360771073 /nfs/dbraw/zinc/77/10/73/360771073.db2.gz ZYACMJLAUWQTIJ-UHFFFAOYSA-N -1 1 313.216 1.710 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](OC)c1cccc(OC)c1)c1nn[n-]n1 ZINC000612061802 360906260 /nfs/dbraw/zinc/90/62/60/360906260.db2.gz RMCAKKCOIWVKIF-OLZOCXBDSA-N -1 1 319.365 1.553 20 0 DDADMM CNC(=O)C(C)(C)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000612713848 361119487 /nfs/dbraw/zinc/11/94/87/361119487.db2.gz MATGMEAJKNYVRO-UHFFFAOYSA-N -1 1 301.346 1.442 20 0 DDADMM CSc1ccc(O)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000612818410 361155394 /nfs/dbraw/zinc/15/53/94/361155394.db2.gz VSNIHFDKCCYVRC-SECBINFHSA-N -1 1 319.390 1.647 20 0 DDADMM Cn1ncc(CCC[N-]S(=O)(=O)c2cc(F)ccc2F)n1 ZINC000292740175 223225577 /nfs/dbraw/zinc/22/55/77/223225577.db2.gz HEINISPURSOQKV-UHFFFAOYSA-N -1 1 316.333 1.004 20 0 DDADMM O=C(NCCCOC[C@@H]1CCOC1)c1c(F)ccc([O-])c1F ZINC000348737356 283642000 /nfs/dbraw/zinc/64/20/00/283642000.db2.gz WTORYMSVSHEDGV-JTQLQIEISA-N -1 1 315.316 1.843 20 0 DDADMM CCOC(=O)c1nnc(NC(=O)c2cc(F)ccc2[O-])s1 ZINC000613084618 361263181 /nfs/dbraw/zinc/26/31/81/361263181.db2.gz SGKLYEJEAIVVPZ-UHFFFAOYSA-N -1 1 311.294 1.812 20 0 DDADMM COc1ccc(CCNC(=O)c2ncccc2[O-])cc1OC ZINC000193890108 201139661 /nfs/dbraw/zinc/13/96/61/201139661.db2.gz BSROUINDUXWQET-UHFFFAOYSA-N -1 1 302.330 1.777 20 0 DDADMM COC(=O)C1(C(=O)Nc2nc(Br)ccc2[O-])CC1 ZINC000194573157 201254162 /nfs/dbraw/zinc/25/41/62/201254162.db2.gz FBMAPLGBKNWYCY-UHFFFAOYSA-N -1 1 315.123 1.441 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCc2ccc(O)cc21 ZINC000618978277 363823945 /nfs/dbraw/zinc/82/39/45/363823945.db2.gz FWEZMDKKZSAZEL-SNVBAGLBSA-N -1 1 317.301 1.019 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CC[C@@H]2C[C@@H]2C1 ZINC000619348312 363983952 /nfs/dbraw/zinc/98/39/52/363983952.db2.gz LOOPRISCGGDBDE-ZIAGYGMSSA-N -1 1 324.384 1.551 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)c1 ZINC000566084612 304114273 /nfs/dbraw/zinc/11/42/73/304114273.db2.gz BKNVDGRDKHFVNF-AEJSXWLSSA-N -1 1 310.375 1.414 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)nc1 ZINC000566084663 304114337 /nfs/dbraw/zinc/11/43/37/304114337.db2.gz GBUCKMLPFUEZBM-NSHDSACASA-N -1 1 314.393 1.912 20 0 DDADMM CC(C)c1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)[nH]n1 ZINC000622609948 365450428 /nfs/dbraw/zinc/45/04/28/365450428.db2.gz LXOYDEGRUBHLOZ-CYBMUJFWSA-N -1 1 311.349 1.566 20 0 DDADMM CC(C)c1nc(CNC(=O)c2s[n-]c(=O)c2Cl)n[nH]1 ZINC000622634340 365458950 /nfs/dbraw/zinc/45/89/50/365458950.db2.gz IWKSVMCXQMRHJQ-UHFFFAOYSA-N -1 1 301.759 1.674 20 0 DDADMM COCCn1cc(S(=O)(=O)[N-]c2cccc(C)c2F)cn1 ZINC000271764792 209194125 /nfs/dbraw/zinc/19/41/25/209194125.db2.gz ZWLUZIKPPPGVAO-UHFFFAOYSA-N -1 1 313.354 1.778 20 0 DDADMM COc1cc2[n-]cc(C(=O)NC[C@@H](C)OC)c(=O)c2c(OC)c1 ZINC000626610941 367646466 /nfs/dbraw/zinc/64/64/66/367646466.db2.gz PMNWLMAHIGLUDB-SECBINFHSA-N -1 1 320.345 1.310 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2CCN(C)C[C@H]2C(C)C)s1 ZINC000330775129 284115916 /nfs/dbraw/zinc/11/59/16/284115916.db2.gz YROBRAUXYNIQPO-NSHDSACASA-N -1 1 311.455 1.716 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H]1C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000262084865 203194249 /nfs/dbraw/zinc/19/42/49/203194249.db2.gz LAJIUNQZVYRELU-NXEZZACHSA-N -1 1 305.309 1.472 20 0 DDADMM COc1cc(CNC(=O)c2cncc([O-])c2)cc(OC)c1OC ZINC000264632709 204042057 /nfs/dbraw/zinc/04/20/57/204042057.db2.gz CZUJBBBXYIHENE-UHFFFAOYSA-N -1 1 318.329 1.743 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2cccc(CC(=O)[O-])c2)cn1 ZINC000350837316 284269339 /nfs/dbraw/zinc/26/93/39/284269339.db2.gz SULXGVFMQRDRJP-UHFFFAOYSA-N -1 1 322.342 1.518 20 0 DDADMM COc1cc(NS(=O)(=O)c2ccc(C)nc2)ccc1C(=O)[O-] ZINC000350940741 284284930 /nfs/dbraw/zinc/28/49/30/284284930.db2.gz LWVCOYFTWMZWFG-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM CC(C)n1cnc(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)c1 ZINC000351394552 284322845 /nfs/dbraw/zinc/32/28/45/284322845.db2.gz FWHAWKKAFQAVNL-UHFFFAOYSA-N -1 1 310.383 1.650 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000288374445 220117430 /nfs/dbraw/zinc/11/74/30/220117430.db2.gz FFOULJCUKYKSIT-CYBMUJFWSA-N -1 1 319.357 1.908 20 0 DDADMM Cc1ccc(F)cc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000283011387 217385075 /nfs/dbraw/zinc/38/50/75/217385075.db2.gz WMJFZHSIPFPBRF-JTQLQIEISA-N -1 1 304.325 1.565 20 0 DDADMM NC(=O)c1cccc(OCCC(=O)[N-]O[C@H]2CCCCO2)c1 ZINC000267616325 206177018 /nfs/dbraw/zinc/17/70/18/206177018.db2.gz TUFCAYCSCIOCFG-AWEZNQCLSA-N -1 1 308.334 1.129 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCc3nncn3C3CC3)cnc2n1 ZINC000073111533 248209931 /nfs/dbraw/zinc/20/99/31/248209931.db2.gz HMSQFJZUYKBVSJ-UHFFFAOYSA-N -1 1 324.344 1.500 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCc3nncn3C3CC3)c[n-]c2n1 ZINC000073111533 248209954 /nfs/dbraw/zinc/20/99/54/248209954.db2.gz HMSQFJZUYKBVSJ-UHFFFAOYSA-N -1 1 324.344 1.500 20 0 DDADMM CC[C@H]1CCCCN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000042015783 183229574 /nfs/dbraw/zinc/22/95/74/183229574.db2.gz FEJUPVXHAXWLPV-JTQLQIEISA-N -1 1 300.380 1.592 20 0 DDADMM CC[C@@H](CO)[N-]S(=O)(=O)c1sccc1Br ZINC000042524466 183280313 /nfs/dbraw/zinc/28/03/13/183280313.db2.gz OFJNBTIAXPYVAX-LURJTMIESA-N -1 1 314.226 1.560 20 0 DDADMM COCCCCCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000104873245 194049242 /nfs/dbraw/zinc/04/92/42/194049242.db2.gz OKAGNXCVXHGZDI-UHFFFAOYSA-N -1 1 320.393 1.115 20 0 DDADMM CCOc1cc(C(=O)NCC2(CO)COC2)cc(Cl)c1[O-] ZINC000273903003 211158611 /nfs/dbraw/zinc/15/86/11/211158611.db2.gz XTTJBEAXKRCOAQ-UHFFFAOYSA-N -1 1 315.753 1.183 20 0 DDADMM O=S(=O)([N-]Cc1cn2c(n1)CCCC2)c1sccc1F ZINC000338888772 250183426 /nfs/dbraw/zinc/18/34/26/250183426.db2.gz SQCLKQLCTRSZGS-UHFFFAOYSA-N -1 1 315.395 1.899 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)c1sccc1F)C(=O)OC ZINC000338954262 250215621 /nfs/dbraw/zinc/21/56/21/250215621.db2.gz NXKFPQHOGUKJAA-VIFPVBQESA-N -1 1 309.384 1.897 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@](F)(CO)C3)cnc2n1 ZINC000338978931 250230864 /nfs/dbraw/zinc/23/08/64/250230864.db2.gz XLDISSBEHBHAOZ-HNNXBMFYSA-N -1 1 305.309 1.190 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@](F)(CO)C3)c[n-]c2n1 ZINC000338978931 250230867 /nfs/dbraw/zinc/23/08/67/250230867.db2.gz XLDISSBEHBHAOZ-HNNXBMFYSA-N -1 1 305.309 1.190 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1nc(C2CCC2)n[nH]1 ZINC000285046420 218269589 /nfs/dbraw/zinc/26/95/89/218269589.db2.gz JOPUYRNQRZORSF-UHFFFAOYSA-N -1 1 310.383 1.223 20 0 DDADMM CC(C)Cn1nccc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000567504648 304224544 /nfs/dbraw/zinc/22/45/44/304224544.db2.gz WPFDTQILBPLWAR-UHFFFAOYSA-N -1 1 319.365 1.648 20 0 DDADMM Cc1cccc(OC[C@H](O)CNC(=O)c2cncc([O-])c2)c1 ZINC000109957269 194301046 /nfs/dbraw/zinc/30/10/46/194301046.db2.gz RZUPWAAHVKLEDA-CQSZACIVSA-N -1 1 302.330 1.265 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCOC[C@@H]2C)c1 ZINC000285513651 218484632 /nfs/dbraw/zinc/48/46/32/218484632.db2.gz GDUCKADJNHWMQY-VHSXEESVSA-N -1 1 317.363 1.017 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(Cc3cccnc3)no2)o1 ZINC000340652106 251152599 /nfs/dbraw/zinc/15/25/99/251152599.db2.gz OXDGFWBNZHAUGD-UHFFFAOYSA-N -1 1 320.330 1.224 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)N1CC[C@@H](N(C)C)C1 ZINC000062966635 184263236 /nfs/dbraw/zinc/26/32/36/184263236.db2.gz WIDNKWVQILQRKR-GFCCVEGCSA-N -1 1 312.391 1.018 20 0 DDADMM C[C@@H](C(=O)N1CCC(C)CC1)S(=O)(=O)c1ccc([O-])cc1 ZINC000063927606 184290842 /nfs/dbraw/zinc/29/08/42/184290842.db2.gz UDRHTYPEXDEFCG-LBPRGKRZSA-N -1 1 311.403 1.813 20 0 DDADMM Cc1ncc(C(=O)[N-]N2CCCNC2=O)c(Cl)c1Cl ZINC000272949461 210335590 /nfs/dbraw/zinc/33/55/90/210335590.db2.gz NUODIYWRVMRWGB-UHFFFAOYSA-N -1 1 303.149 1.757 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(Cl)cnc1N)C(F)(F)F ZINC000288678491 220342309 /nfs/dbraw/zinc/34/23/09/220342309.db2.gz UCZDWTSTLHWUOX-SCSAIBSYSA-N -1 1 303.693 1.546 20 0 DDADMM O=S(=O)([N-]Cc1ncccc1O)c1cc(F)ccc1F ZINC000360029469 299583091 /nfs/dbraw/zinc/58/30/91/299583091.db2.gz NWWDHVIERXZOPY-UHFFFAOYSA-N -1 1 300.286 1.544 20 0 DDADMM O=C(C(=O)N1C[C@@]2(CCCO2)[C@H]1C1CC1)c1ccc([O-])cc1 ZINC000294781329 224217340 /nfs/dbraw/zinc/21/73/40/224217340.db2.gz KCGCQQWJSYGHRX-WBVHZDCISA-N -1 1 301.342 1.745 20 0 DDADMM Cc1csc([C@H](C)C[N-]S(=O)(=O)c2c(C)onc2N)n1 ZINC000289740025 221193399 /nfs/dbraw/zinc/19/33/99/221193399.db2.gz FUIYKKHTTANPLU-ZCFIWIBFSA-N -1 1 316.408 1.412 20 0 DDADMM Cc1ccc(CN[C@@H]2CCN([C@@H](C(=O)[O-])C(C)C)C2=O)cc1F ZINC000414647403 224367937 /nfs/dbraw/zinc/36/79/37/224367937.db2.gz CCFZMGAWANVOAJ-HUUCEWRRSA-N -1 1 322.380 1.934 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H](C)CC(C)C ZINC000121648385 195323597 /nfs/dbraw/zinc/32/35/97/195323597.db2.gz RUENBUJGNIMFQZ-SNVBAGLBSA-N -1 1 304.394 1.733 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Br)o1)c1nc[nH]n1 ZINC000352939516 285399524 /nfs/dbraw/zinc/39/95/24/285399524.db2.gz HWPVYSVWAHKYAK-YFKPBYRVSA-N -1 1 321.156 1.200 20 0 DDADMM COc1ccc([N+](=O)[O-])cc1CN1CC[C@@H](OC)C[C@H]1C(=O)[O-] ZINC000568292668 304284998 /nfs/dbraw/zinc/28/49/98/304284998.db2.gz SSYWHLHTNLPGKX-OLZOCXBDSA-N -1 1 324.333 1.667 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-]C[C@](C)(O)C(F)(F)F ZINC000420690954 533371557 /nfs/dbraw/zinc/37/15/57/533371557.db2.gz PURRSVHFJSMHIG-UWVGGRQHSA-N -1 1 321.361 1.280 20 0 DDADMM COc1cccc(C=CC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000491860036 533386379 /nfs/dbraw/zinc/38/63/79/533386379.db2.gz QCLNIVVDADCDHZ-BQYQJAHWSA-N -1 1 313.361 1.807 20 0 DDADMM COC(=O)[C@H]1CC[C@@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C1 ZINC000433457805 533455740 /nfs/dbraw/zinc/45/57/40/533455740.db2.gz CWJANVFUGVLGBQ-VHSXEESVSA-N -1 1 318.420 1.380 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)c1ncn(C)n1 ZINC000444229573 533478846 /nfs/dbraw/zinc/47/88/46/533478846.db2.gz ZYPIEYOGMAYEQM-SSDOTTSWSA-N -1 1 318.761 1.647 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(C(C)(C)C)CC1 ZINC000416165276 286187966 /nfs/dbraw/zinc/18/79/66/286187966.db2.gz FCRNUXUJRHUTJG-UHFFFAOYSA-N -1 1 309.366 1.795 20 0 DDADMM CCOc1cccc([C@@H]2C[C@H]2Nc2nc3[nH][n-]cc-3c(=O)n2)c1 ZINC000569658759 304371421 /nfs/dbraw/zinc/37/14/21/304371421.db2.gz KPARWSRKNDNIEB-WCQYABFASA-N -1 1 311.345 1.799 20 0 DDADMM Cc1nc(=NCCS(=O)(=O)c2ccc(F)cc2)s[n-]1 ZINC000360780215 299744017 /nfs/dbraw/zinc/74/40/17/299744017.db2.gz FQAYFKNMOBJPAH-UHFFFAOYSA-N -1 1 301.368 1.293 20 0 DDADMM C[C@@H](CNC(=O)CCc1nn[n-]n1)Oc1ccc(Cl)cc1 ZINC000631552549 422828823 /nfs/dbraw/zinc/82/88/23/422828823.db2.gz HSXIJKQCZNTVFK-VIFPVBQESA-N -1 1 309.757 1.369 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC[C@H]1C ZINC000071207879 406834901 /nfs/dbraw/zinc/83/49/01/406834901.db2.gz IWYQCQVQABAAJU-SCZZXKLOSA-N -1 1 301.368 1.053 20 0 DDADMM Cn1cccc([N-]S(=O)(=O)c2cccc(Cl)c2F)c1=O ZINC000074049438 406900587 /nfs/dbraw/zinc/90/05/87/406900587.db2.gz TVBQFHSBVFQQKZ-UHFFFAOYSA-N -1 1 316.741 1.979 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cnn2c1nccc2-c1ccccc1 ZINC000076963775 406975135 /nfs/dbraw/zinc/97/51/35/406975135.db2.gz HDJFVHPDOZZCTB-UHFFFAOYSA-N -1 1 306.289 1.162 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnn2c1nccc2-c1ccccc1 ZINC000076963775 406975138 /nfs/dbraw/zinc/97/51/38/406975138.db2.gz HDJFVHPDOZZCTB-UHFFFAOYSA-N -1 1 306.289 1.162 20 0 DDADMM NC(=O)c1ccc(F)c([N-]S(=O)(=O)C[C@H]2CCCCO2)c1 ZINC000084650647 407091854 /nfs/dbraw/zinc/09/18/54/407091854.db2.gz VNZJZLXWLGIQIS-SNVBAGLBSA-N -1 1 316.354 1.235 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC2(O)CCCCCC2)c1Cl ZINC000087089754 407116993 /nfs/dbraw/zinc/11/69/93/407116993.db2.gz DZECIBQZVLPOIV-UHFFFAOYSA-N -1 1 321.830 1.437 20 0 DDADMM CCCCc1ccc(C(=O)CCC(=O)[N-]OCC(N)=O)cc1 ZINC000089463523 407135678 /nfs/dbraw/zinc/13/56/78/407135678.db2.gz LZEROTMFDWXZDE-UHFFFAOYSA-N -1 1 306.362 1.525 20 0 DDADMM CN(Cc1cccs1)C(=O)CN1CCC[C@@H](CC(=O)[O-])C1 ZINC000054299622 407182399 /nfs/dbraw/zinc/18/23/99/407182399.db2.gz SRIOTSGQPXKTDF-LBPRGKRZSA-N -1 1 310.419 1.893 20 0 DDADMM CN(Cc1cccs1)C(=O)CN1CCC[C@H](CC(=O)[O-])C1 ZINC000054299619 407182792 /nfs/dbraw/zinc/18/27/92/407182792.db2.gz SRIOTSGQPXKTDF-GFCCVEGCSA-N -1 1 310.419 1.893 20 0 DDADMM Cc1ccc(CC(=O)NCc2n[n-]c(=S)n2C2CC2)cn1 ZINC000066638279 407255978 /nfs/dbraw/zinc/25/59/78/407255978.db2.gz WPJTXRPPVVKVQE-UHFFFAOYSA-N -1 1 303.391 1.838 20 0 DDADMM CC(C)n1c(CNC(=O)CCc2cnn(C)c2)n[n-]c1=S ZINC000066640526 407256293 /nfs/dbraw/zinc/25/62/93/407256293.db2.gz GFEUNODNRORDAB-UHFFFAOYSA-N -1 1 308.411 1.504 20 0 DDADMM CC(C)CONC(=O)C[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000122996734 407316519 /nfs/dbraw/zinc/31/65/19/407316519.db2.gz XBJYRMQFGFLKIB-INIZCTEOSA-N -1 1 306.406 1.981 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC[C@H](N2CCOCC2)C1 ZINC000105569927 407354462 /nfs/dbraw/zinc/35/44/62/407354462.db2.gz HIECXOIAMMVRDM-LBPRGKRZSA-N -1 1 310.781 1.592 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CCCc2sccc21)c1nn[n-]n1 ZINC000124501426 407359853 /nfs/dbraw/zinc/35/98/53/407359853.db2.gz FRXRDKQYCRLVBB-GXSJLCMTSA-N -1 1 305.407 1.943 20 0 DDADMM C[C@@H](CN1CCN(C)CC1)NC(=O)c1c([O-])cc(F)cc1F ZINC000106921958 407366783 /nfs/dbraw/zinc/36/67/83/407366783.db2.gz ZEVLZKJCVQTNQN-JTQLQIEISA-N -1 1 313.348 1.036 20 0 DDADMM CCc1nc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)cs1 ZINC000107721672 407378860 /nfs/dbraw/zinc/37/88/60/407378860.db2.gz OOQJSNFMXVEMOA-UHFFFAOYSA-N -1 1 314.370 1.872 20 0 DDADMM O=C(c1ccc(-n2cccn2)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129437719 407608059 /nfs/dbraw/zinc/60/80/59/407608059.db2.gz WSOMRBXVBFFRAL-CYBMUJFWSA-N -1 1 323.360 1.405 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCOC[C@H]1C[C@@H](O)c1ccco1 ZINC000178800520 407608553 /nfs/dbraw/zinc/60/85/53/407608553.db2.gz BYTGVGJDDBWYTE-TZMCWYRMSA-N -1 1 318.329 1.345 20 0 DDADMM CCc1nc(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cs1 ZINC000129457193 407613276 /nfs/dbraw/zinc/61/32/76/407613276.db2.gz RBWBXNITSUKNGR-VIFPVBQESA-N -1 1 306.395 1.167 20 0 DDADMM CCOC(=O)CC[C@@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000178846012 407624511 /nfs/dbraw/zinc/62/45/11/407624511.db2.gz AUEXZNBRHDGUSI-LBPRGKRZSA-N -1 1 306.362 1.983 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cc(F)ccc1OC)c1nn[n-]n1 ZINC000186328919 407638222 /nfs/dbraw/zinc/63/82/22/407638222.db2.gz HROSBBSSEQHYLM-LLVKDONJSA-N -1 1 307.329 1.548 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCO1 ZINC000271333000 407640176 /nfs/dbraw/zinc/64/01/76/407640176.db2.gz ZXUTVXXKVDINLE-SFYZADRCSA-N -1 1 309.309 1.950 20 0 DDADMM O=C([N-]Cc1ccc(CN2CCCC2=O)cc1)C(F)(F)F ZINC000171265397 407642492 /nfs/dbraw/zinc/64/24/92/407642492.db2.gz GUEVIIOYDMWKKV-UHFFFAOYSA-N -1 1 300.280 1.988 20 0 DDADMM CC[C@@H]1CCCC[C@H]1OCC(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000186431437 407666574 /nfs/dbraw/zinc/66/65/74/407666574.db2.gz OTENTQFGEYZPFJ-VXGBXAGGSA-N -1 1 310.398 1.132 20 0 DDADMM CC[C@@H]1CCC[C@@H](NC(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000153076041 407748427 /nfs/dbraw/zinc/74/84/27/407748427.db2.gz WQNPYPRCKGJGGH-ZYHUDNBSSA-N -1 1 314.407 1.886 20 0 DDADMM COc1ccc(NC(=O)N[C@@H]2C[C@H]2C)cc1[N-]S(C)(=O)=O ZINC000267252662 407809374 /nfs/dbraw/zinc/80/93/74/407809374.db2.gz YPOVGBJWOWHYEZ-PSASIEDQSA-N -1 1 313.379 1.597 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCCNC(=O)CN(C)CCC(=O)[O-] ZINC000262671151 407898108 /nfs/dbraw/zinc/89/81/08/407898108.db2.gz RPKKXKRDZIFVIB-OLZOCXBDSA-N -1 1 300.399 1.104 20 0 DDADMM CCC[C@@H](NC(=O)CCc1ccc(N(C)C)cc1)c1nn[n-]n1 ZINC000268220006 407956754 /nfs/dbraw/zinc/95/67/54/407956754.db2.gz QDELQCIFZAAMAJ-CQSZACIVSA-N -1 1 316.409 1.856 20 0 DDADMM CC(C)c1nn(C)c2sc(C(=O)[N-]N3CCCNC3=O)cc12 ZINC000272441622 407923318 /nfs/dbraw/zinc/92/33/18/407923318.db2.gz TZPUMZAVABZDDY-UHFFFAOYSA-N -1 1 321.406 1.818 20 0 DDADMM C[C@H](C[S@](C)=O)NC(=O)c1ccc(Br)c([O-])c1 ZINC000181685023 408026754 /nfs/dbraw/zinc/02/67/54/408026754.db2.gz XALPUGHPSHCWHA-GJEGPGMTSA-N -1 1 320.208 1.652 20 0 DDADMM Cc1c(N[C@@H](C)C(C)C)cccc1C(=O)NN1CC(=O)[N-]C1=O ZINC000175018503 408030498 /nfs/dbraw/zinc/03/04/98/408030498.db2.gz SGBWJUDIKJLJPR-NSHDSACASA-N -1 1 318.377 1.648 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@@H]2Cc3ccccc3O2)n[nH]1 ZINC000181750518 408034809 /nfs/dbraw/zinc/03/48/09/408034809.db2.gz KLIQRXFNAZQMOX-LBPRGKRZSA-N -1 1 301.302 1.529 20 0 DDADMM C[C@@H]1Cc2ccccc2N1Cc1ccoc1C(=O)Nc1nn[n-]n1 ZINC000135472147 408017903 /nfs/dbraw/zinc/01/79/03/408017903.db2.gz YSTHKGNQLZPXSD-SNVBAGLBSA-N -1 1 324.344 1.996 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCC2(C)C)o1 ZINC000174985998 408019328 /nfs/dbraw/zinc/01/93/28/408019328.db2.gz LISCFQAKYLWNRD-SNVBAGLBSA-N -1 1 300.380 1.496 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000175294644 408100651 /nfs/dbraw/zinc/10/06/51/408100651.db2.gz XJTAROCUODXNGM-KOLCDFICSA-N -1 1 318.345 1.287 20 0 DDADMM O=C([N-]C[C@H](O)COc1ccc(F)cc1)C(F)(F)C(F)F ZINC000268570433 408101676 /nfs/dbraw/zinc/10/16/76/408101676.db2.gz OVWGYYHOEYQNGP-QMMMGPOBSA-N -1 1 313.222 1.582 20 0 DDADMM CCC[C@H](NC(=O)c1sc([C@H](C)OC)nc1C)c1nn[n-]n1 ZINC000136724017 408122396 /nfs/dbraw/zinc/12/23/96/408122396.db2.gz FRYQRINCIIXXSL-IUCAKERBSA-N -1 1 324.410 1.943 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(C(=O)N(C)C)c1)c1nn[n-]n1 ZINC000136734588 408123629 /nfs/dbraw/zinc/12/36/29/408123629.db2.gz RGYZZWOEGRSVSM-LBPRGKRZSA-N -1 1 316.365 1.173 20 0 DDADMM Cn1cc(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c2ccccc21 ZINC000155543351 408177331 /nfs/dbraw/zinc/17/73/31/408177331.db2.gz BQSRZTSGOYKLCP-GFCCVEGCSA-N -1 1 324.388 1.640 20 0 DDADMM NC(=O)[C@H]1CC[C@H](CNC(=O)c2ccc3ccccc3c2[O-])O1 ZINC000150895096 408220089 /nfs/dbraw/zinc/22/00/89/408220089.db2.gz RBZGYYHXQKHRDE-BXUZGUMPSA-N -1 1 314.341 1.308 20 0 DDADMM Cn1ccnc1C[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000150929227 408225755 /nfs/dbraw/zinc/22/57/55/408225755.db2.gz FPLLVIKKNBPJCB-GFCCVEGCSA-N -1 1 300.362 1.616 20 0 DDADMM COc1ccc(C(=O)Nc2nnn[n-]2)cc1OC1CCCC1 ZINC000183057954 408316704 /nfs/dbraw/zinc/31/67/04/408316704.db2.gz OGZXMQVWHBVEDP-UHFFFAOYSA-N -1 1 303.322 1.782 20 0 DDADMM COc1ccc(C(=O)Nc2nn[n-]n2)cc1OC1CCCC1 ZINC000183057954 408316709 /nfs/dbraw/zinc/31/67/09/408316709.db2.gz OGZXMQVWHBVEDP-UHFFFAOYSA-N -1 1 303.322 1.782 20 0 DDADMM COc1ccccc1[C@@H](C)CC(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000176252941 408324088 /nfs/dbraw/zinc/32/40/88/408324088.db2.gz XRYVFXGTETWGDJ-NSHDSACASA-N -1 1 318.377 1.349 20 0 DDADMM O=C(CNC(=O)c1ccc(Cl)cc1[O-])Nc1cccnc1 ZINC000157218719 408295665 /nfs/dbraw/zinc/29/56/65/408295665.db2.gz MTNYOOLDGOHUGJ-UHFFFAOYSA-N -1 1 305.721 1.809 20 0 DDADMM CN(CCCn1ccnc1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000134379733 162077215 /nfs/dbraw/zinc/07/72/15/162077215.db2.gz ZMBWRMFAQIMDFS-UHFFFAOYSA-N -1 1 311.345 1.282 20 0 DDADMM CCN(CC)C(=O)[C@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000274266782 408346326 /nfs/dbraw/zinc/34/63/26/408346326.db2.gz ZZFHLWGBJGGJCS-SNVBAGLBSA-N -1 1 309.391 1.171 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccn(-c2ccccc2)n1)c1nn[n-]n1 ZINC000183419935 408407213 /nfs/dbraw/zinc/40/72/13/408407213.db2.gz OLJZECMNMWYVLJ-LLVKDONJSA-N -1 1 311.349 1.261 20 0 DDADMM C[C@]1(CCCC(=O)Nc2ccc(F)cc2[O-])NC(=O)NC1=O ZINC000270398710 408519397 /nfs/dbraw/zinc/51/93/97/408519397.db2.gz VAMLNCIFSURDCV-CQSZACIVSA-N -1 1 309.297 1.238 20 0 DDADMM CCC(CC)n1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)n1 ZINC000274751593 408520897 /nfs/dbraw/zinc/52/08/97/408520897.db2.gz HNFOQGAWMYKQFW-JTQLQIEISA-N -1 1 305.386 1.633 20 0 DDADMM CS(=O)(=O)CC1(CNC(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000177243197 408563756 /nfs/dbraw/zinc/56/37/56/408563756.db2.gz KOPMZFBLKKQDQQ-UHFFFAOYSA-N -1 1 317.794 1.600 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cc(C)ccc1NC(C)=O ZINC000193325100 408711328 /nfs/dbraw/zinc/71/13/28/408711328.db2.gz ZCJPIVLDMCXSAY-JTQLQIEISA-N -1 1 300.380 1.730 20 0 DDADMM CN(Cc1cc(=O)oc2cc([O-])ccc12)[C@@H]1CCN(C)C1=O ZINC000265634410 408722053 /nfs/dbraw/zinc/72/20/53/408722053.db2.gz GXPDSMVEHYUANP-CYBMUJFWSA-N -1 1 302.330 1.161 20 0 DDADMM CCCCC[C@H](C)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000162697371 408729119 /nfs/dbraw/zinc/72/91/19/408729119.db2.gz XAXMBHAABOIJML-JTQLQIEISA-N -1 1 302.396 1.886 20 0 DDADMM C[C@@H](Cc1cccc(Br)c1)NC(=O)c1cnn[nH]1 ZINC000194682081 408791905 /nfs/dbraw/zinc/79/19/05/408791905.db2.gz GFVJCDAGDGJNEE-QMMMGPOBSA-N -1 1 309.167 1.928 20 0 DDADMM CC[C@@]1(C)CN(C(=O)c2c(C)[n-]c(=O)nc2SC)CCO1 ZINC000276033548 408755845 /nfs/dbraw/zinc/75/58/45/408755845.db2.gz UVUUKZKGSBPZQQ-AWEZNQCLSA-N -1 1 311.407 1.854 20 0 DDADMM C[C@@]1(NC(=O)c2cc(Br)ccc2[O-])CCOC1=O ZINC000291514289 408877943 /nfs/dbraw/zinc/87/79/43/408877943.db2.gz XCLJLSUWXCULDS-GFCCVEGCSA-N -1 1 314.135 1.590 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2c(F)cc(F)cc2F)cn1 ZINC000285767531 408859344 /nfs/dbraw/zinc/85/93/44/408859344.db2.gz XPZVPFBLGVSRRF-UHFFFAOYSA-N -1 1 319.308 1.799 20 0 DDADMM O=C(CCNC(=O)c1c(F)ccc([O-])c1F)N1CCCCC1 ZINC000280917721 408859362 /nfs/dbraw/zinc/85/93/62/408859362.db2.gz GCCKJVFGLYCYQV-UHFFFAOYSA-N -1 1 312.316 1.803 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)COCc2ccnn2C)c1 ZINC000285974595 408899647 /nfs/dbraw/zinc/89/96/47/408899647.db2.gz OKMKDWVLWCIXSB-UHFFFAOYSA-N -1 1 319.317 1.068 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)NC(=O)c1c(F)ccc([O-])c1F ZINC000286000858 408905191 /nfs/dbraw/zinc/90/51/91/408905191.db2.gz HFYNYHMJFJJICV-JGVFFNPUSA-N -1 1 301.289 1.988 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CCC(=O)c2ccccc21)c1nn[n-]n1 ZINC000286098270 408922696 /nfs/dbraw/zinc/92/26/96/408922696.db2.gz OLBZRGUWPOQGQV-GXFFZTMASA-N -1 1 313.361 1.522 20 0 DDADMM CCc1cc(C(=O)N2CC[N@H+](C[C@@H](C)O)C[C@@H]2C)ccc1O ZINC000291749899 408916938 /nfs/dbraw/zinc/91/69/38/408916938.db2.gz UJEHMUOHBGOANW-QWHCGFSZSA-N -1 1 306.406 1.482 20 0 DDADMM CN1CCCN(C(=O)CCc2nn[n-]n2)c2ccc(F)cc21 ZINC000631585247 422840099 /nfs/dbraw/zinc/84/00/99/422840099.db2.gz PGMIOWARKVYPLR-UHFFFAOYSA-N -1 1 304.329 1.145 20 0 DDADMM CN(C)C(=O)CCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000193925242 163270918 /nfs/dbraw/zinc/27/09/18/163270918.db2.gz JVCYUGCLZDYZTM-UHFFFAOYSA-N -1 1 324.324 1.251 20 0 DDADMM C[C@@H]1CCCN(C(=O)C(=O)Nc2nc(-c3ccco3)n[nH]2)C1 ZINC000278113303 409067546 /nfs/dbraw/zinc/06/75/46/409067546.db2.gz ZTXXQZKLDFEHJF-SECBINFHSA-N -1 1 303.322 1.262 20 0 DDADMM O=C(c1cc(F)cc2nn[nH]c21)N1CCC[C@@H]1c1cc[nH]n1 ZINC000287298539 409015649 /nfs/dbraw/zinc/01/56/49/409015649.db2.gz ZYGYRRVBNQMBLC-GFCCVEGCSA-N -1 1 300.297 1.797 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCCSC2)c1 ZINC000287331806 409021255 /nfs/dbraw/zinc/02/12/55/409021255.db2.gz GFXXWHXUOUJRBP-VIFPVBQESA-N -1 1 319.404 1.488 20 0 DDADMM CCOC(=O)CC1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000287341789 409022130 /nfs/dbraw/zinc/02/21/30/409022130.db2.gz YTIJUOXPWOQXHJ-UHFFFAOYSA-N -1 1 319.357 1.767 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@H]3CSCCS3)ccnc1-2 ZINC000287708638 409079590 /nfs/dbraw/zinc/07/95/90/409079590.db2.gz RWLSLEAINNRAON-MQAXGYDLSA-N -1 1 323.447 1.312 20 0 DDADMM O=C(Cn1c(=O)c2ccccc2[nH]c1=S)[N-]OCC1CC1 ZINC000293811796 409185684 /nfs/dbraw/zinc/18/56/84/409185684.db2.gz QRINMNQMIXVEOM-UHFFFAOYSA-N -1 1 305.359 1.143 20 0 DDADMM Cc1nn(C(C)C)c(C)c1CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000293597880 409145690 /nfs/dbraw/zinc/14/56/90/409145690.db2.gz VPUVZMLJTVIBFX-JTQLQIEISA-N -1 1 319.413 1.399 20 0 DDADMM O=C(Nc1nnn[n-]1)C1(Cc2cccc(F)c2)CCOCC1 ZINC000293787919 409180835 /nfs/dbraw/zinc/18/08/35/409180835.db2.gz UAOYYGNLTLUQLC-UHFFFAOYSA-N -1 1 305.313 1.317 20 0 DDADMM O=C(Nc1nn[n-]n1)C1(Cc2cccc(F)c2)CCOCC1 ZINC000293787919 409180838 /nfs/dbraw/zinc/18/08/38/409180838.db2.gz UAOYYGNLTLUQLC-UHFFFAOYSA-N -1 1 305.313 1.317 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1[nH]nc2ccccc21 ZINC000295555529 409345960 /nfs/dbraw/zinc/34/59/60/409345960.db2.gz MJDWUKXQBBIJBL-UHFFFAOYSA-N -1 1 305.334 1.495 20 0 DDADMM COC[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@@H](C)O1 ZINC000290288406 409350154 /nfs/dbraw/zinc/35/01/54/409350154.db2.gz ZXFCDTLJXXLUHH-BDAKNGLRSA-N -1 1 301.289 1.546 20 0 DDADMM CC(C)(C)OC(=O)Nc1cccc(C(=O)Nc2nn[n-]n2)n1 ZINC000280694414 409415488 /nfs/dbraw/zinc/41/54/88/409415488.db2.gz RUQJYQUISPJCRY-UHFFFAOYSA-N -1 1 305.298 1.194 20 0 DDADMM CO[C@H]1COCC[C@H]1CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633286449 422843005 /nfs/dbraw/zinc/84/30/05/422843005.db2.gz HAAYZHXAWDBBKB-FZMZJTMJSA-N -1 1 313.781 1.756 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](c2nnc3n2CCC3)C1 ZINC000407974604 164185848 /nfs/dbraw/zinc/18/58/48/164185848.db2.gz CIMDXUFVEBOJQK-LLVKDONJSA-N -1 1 313.361 1.345 20 0 DDADMM COC(=O)[C@@H](CN=c1[n-]c([C@H](C)OC)ns1)CC(C)C ZINC000337880441 409535128 /nfs/dbraw/zinc/53/51/28/409535128.db2.gz UGCDGQJNMBRLCQ-VHSXEESVSA-N -1 1 301.412 1.915 20 0 DDADMM COC(=O)[C@@H](CN=c1nc([C@H](C)OC)[n-]s1)CC(C)C ZINC000337880441 409535131 /nfs/dbraw/zinc/53/51/31/409535131.db2.gz UGCDGQJNMBRLCQ-VHSXEESVSA-N -1 1 301.412 1.915 20 0 DDADMM O=C(CCc1cscn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000331548585 409626152 /nfs/dbraw/zinc/62/61/52/409626152.db2.gz YROQSADVCRDMEP-SECBINFHSA-N -1 1 308.363 1.571 20 0 DDADMM O=C(CNC(=O)c1cn[nH]c1-c1ccccn1)Nc1cccnc1 ZINC000354120488 409672221 /nfs/dbraw/zinc/67/22/21/409672221.db2.gz ZHQOITZKBWIQFS-UHFFFAOYSA-N -1 1 322.328 1.235 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@H]1CO ZINC000305168564 409712040 /nfs/dbraw/zinc/71/20/40/409712040.db2.gz IQRBNPIWZINIGR-MFKMUULPSA-N -1 1 301.346 1.568 20 0 DDADMM O=C(NC[C@H]1CCC[C@@H](CO)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000342578936 409736827 /nfs/dbraw/zinc/73/68/27/409736827.db2.gz CVJSMVNORCVCKS-NWDGAFQWSA-N -1 1 315.373 1.452 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(CC(=O)Nc2cccc(F)c2)C1 ZINC000319286283 409892038 /nfs/dbraw/zinc/89/20/38/409892038.db2.gz GSSWFRYRFBQQRF-OAHLLOKOSA-N -1 1 310.325 1.187 20 0 DDADMM O=C(CSc1ccc(Br)cc1)Nc1nnn[n-]1 ZINC000342856365 409952530 /nfs/dbraw/zinc/95/25/30/409952530.db2.gz OTNIXJWWFFLWRR-UHFFFAOYSA-N -1 1 314.168 1.693 20 0 DDADMM O=C(CSc1ccc(Br)cc1)Nc1nn[n-]n1 ZINC000342856365 409952536 /nfs/dbraw/zinc/95/25/36/409952536.db2.gz OTNIXJWWFFLWRR-UHFFFAOYSA-N -1 1 314.168 1.693 20 0 DDADMM Cc1ccc2c(C[N@H+]3C[C@@H](C)O[C@H](CO)C3)ccc(O)c2n1 ZINC000338391439 409953818 /nfs/dbraw/zinc/95/38/18/409953818.db2.gz VSJSRPDYGKLFMH-OCCSQVGLSA-N -1 1 302.374 1.830 20 0 DDADMM Cc1cc(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)cc(Cl)n1 ZINC000297736693 409978618 /nfs/dbraw/zinc/97/86/18/409978618.db2.gz MUMWUKYVTYHZPU-UHFFFAOYSA-N -1 1 320.740 1.306 20 0 DDADMM CC[C@H](Oc1ccccc1C)C(=O)Nc1nn[nH]c1C(N)=O ZINC000297663869 409947610 /nfs/dbraw/zinc/94/76/10/409947610.db2.gz FXCNQYSNFFGDEG-VIFPVBQESA-N -1 1 303.322 1.008 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)c1cc2n(n1)CCO2 ZINC000579890541 422851163 /nfs/dbraw/zinc/85/11/63/422851163.db2.gz ZPFRTESRMWLRFU-UHFFFAOYSA-N -1 1 311.301 1.624 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(-c3cncnc3N)no2)c1 ZINC000350820691 410000811 /nfs/dbraw/zinc/00/08/11/410000811.db2.gz RBBBADYDEWLNHZ-UHFFFAOYSA-N -1 1 312.289 1.270 20 0 DDADMM Cn1[n-]c(CN2CC=C(c3c[nH]c4ncccc34)CC2)nc1=O ZINC000357452640 410048840 /nfs/dbraw/zinc/04/88/40/410048840.db2.gz MBOJZJHZPQDCDZ-UHFFFAOYSA-N -1 1 310.361 1.274 20 0 DDADMM O=S(=O)([N-]CCCOC[C@H]1CCOC1)c1sccc1F ZINC000338905618 410049572 /nfs/dbraw/zinc/04/95/72/410049572.db2.gz AGIYRYPLCAVGRJ-SNVBAGLBSA-N -1 1 323.411 1.609 20 0 DDADMM CCN1C[C@H](C)N(C(=O)N=c2[n-]nc(C(F)F)s2)C[C@@H]1C ZINC000346640601 410050171 /nfs/dbraw/zinc/05/01/71/410050171.db2.gz UMHNYMSEROHBGB-YUMQZZPRSA-N -1 1 319.381 1.844 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@]1(F)c1ccccc1 ZINC000571397496 304458692 /nfs/dbraw/zinc/45/86/92/304458692.db2.gz FEUFPQCARBQYPB-BJOHPYRUSA-N -1 1 319.292 1.264 20 0 DDADMM CSc1n[nH]c(NC(=O)CNC(=O)Nc2cccc(C)c2)n1 ZINC000354763236 410068675 /nfs/dbraw/zinc/06/86/75/410068675.db2.gz OLPZMZVCDDMHDV-UHFFFAOYSA-N -1 1 320.378 1.595 20 0 DDADMM Cc1nc(-c2ccc(NCCc3cn[nH]c3)nc2)[n-]c(=O)c1C ZINC000357631774 410150782 /nfs/dbraw/zinc/15/07/82/410150782.db2.gz STILWWICFCOMDR-UHFFFAOYSA-N -1 1 310.361 1.661 20 0 DDADMM COc1cccc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000332377209 410110067 /nfs/dbraw/zinc/11/00/67/410110067.db2.gz GQBAZMGLUSQLQS-SNVBAGLBSA-N -1 1 318.333 1.128 20 0 DDADMM O=C(N[C@H](CO)CC(F)(F)F)c1csc(=NC2CC2)[n-]1 ZINC000351706601 410165053 /nfs/dbraw/zinc/16/50/53/410165053.db2.gz QOBXJBIOGZQHRC-ZETCQYMHSA-N -1 1 309.313 1.182 20 0 DDADMM CN(CC1CCCCC1)C(=O)CNC(=O)c1ncccc1[O-] ZINC000343173934 410209081 /nfs/dbraw/zinc/20/90/81/410209081.db2.gz DVXQEMYJXFZXMU-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM O=C(c1cc(F)cc2nn[nH]c21)N1CCOC[C@H]1[C@@H]1CCCO1 ZINC000329363365 410257850 /nfs/dbraw/zinc/25/78/50/410257850.db2.gz HNRVARPNUFXKSR-STQMWFEESA-N -1 1 320.324 1.117 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@H](C)O1 ZINC000343186802 410222363 /nfs/dbraw/zinc/22/23/63/410222363.db2.gz WJXRWMUUVINAAE-JGPRNRPPSA-N -1 1 318.377 1.607 20 0 DDADMM CCn1c(=O)c2cc(F)ccc2nc1SCc1nn[n-]n1 ZINC000339689688 410388340 /nfs/dbraw/zinc/38/83/40/410388340.db2.gz VBJDGXROPKPKFO-UHFFFAOYSA-N -1 1 306.326 1.361 20 0 DDADMM CC1CCC([N@H+](Cc2nc(=O)n(C)[n-]2)C[C@@H]2CCCO2)CC1 ZINC000329639848 410395696 /nfs/dbraw/zinc/39/56/96/410395696.db2.gz ZEOYUTIBBFBNGZ-RUXDESIVSA-N -1 1 308.426 1.668 20 0 DDADMM CCC(=O)N1CCN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000333260213 410449518 /nfs/dbraw/zinc/44/95/18/410449518.db2.gz ITYIYURPRITYLP-UHFFFAOYSA-N -1 1 320.393 1.440 20 0 DDADMM CN(C(=O)c1c[n-]c2c(cnn2C)c1=O)C1CCC(CO)CC1 ZINC000358399527 410460994 /nfs/dbraw/zinc/46/09/94/410460994.db2.gz NZHTXASQBYTVBA-UHFFFAOYSA-N -1 1 318.377 1.297 20 0 DDADMM CC1(C)CN(C(=O)c2csc(=NC3CC3)[n-]2)[C@@H]2COC[C@@H]21 ZINC000333305420 410473105 /nfs/dbraw/zinc/47/31/05/410473105.db2.gz CRSXPMQTLDMIOL-CMPLNLGQSA-N -1 1 307.419 1.636 20 0 DDADMM C[C@@H]1CCC[C@@H](CCNC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343403420 410423516 /nfs/dbraw/zinc/42/35/16/410423516.db2.gz PDWZTPAHFKMRIK-OLZOCXBDSA-N -1 1 319.405 1.850 20 0 DDADMM O=c1cc(/C=C/c2ccn(-c3ccccc3)n2)nc2nc[n-]n21 ZINC000352034596 410431375 /nfs/dbraw/zinc/43/13/75/410431375.db2.gz DZLAPUGUXQUYKV-VOTSOKGWSA-N -1 1 304.313 1.774 20 0 DDADMM O=C(N=c1cc[nH]cc1O)c1cnc2c(F)cc(F)cc2c1[O-] ZINC000339939684 410563824 /nfs/dbraw/zinc/56/38/24/410563824.db2.gz PSKAQLNTQMFXFO-UHFFFAOYSA-N -1 1 317.251 1.581 20 0 DDADMM C[C@H](CSc1ccc(F)cc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000352197424 410539478 /nfs/dbraw/zinc/53/94/78/410539478.db2.gz ROGSKEKRIHSCJY-SECBINFHSA-N -1 1 321.381 1.873 20 0 DDADMM Cn1[n-]c(CN[C@H](CC(F)(F)F)c2ccccc2)nc1=O ZINC000347537599 410604218 /nfs/dbraw/zinc/60/42/18/410604218.db2.gz SEGQBZLXCFDZOS-SNVBAGLBSA-N -1 1 300.284 1.892 20 0 DDADMM CC(C)n1cnc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1 ZINC000343592766 410559063 /nfs/dbraw/zinc/55/90/63/410559063.db2.gz DPOFUDRNQZFINZ-UHFFFAOYSA-N -1 1 324.362 1.069 20 0 DDADMM COc1ccc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000359401683 410643332 /nfs/dbraw/zinc/64/33/32/410643332.db2.gz CVLXUVMQDITNRR-UHFFFAOYSA-N -1 1 318.358 1.151 20 0 DDADMM C[C@H]1C[C@H](NC(=O)CNC(=O)c2ncccc2[O-])C[C@@H](C)C1 ZINC000343722687 410648239 /nfs/dbraw/zinc/64/82/39/410648239.db2.gz MJALHADIGHFZOE-GDNZZTSVSA-N -1 1 305.378 1.458 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2ccc(Br)o2)CCCOC1 ZINC000352951349 410696771 /nfs/dbraw/zinc/69/67/71/410696771.db2.gz DSYWMOCGIGCUKE-JTQLQIEISA-N -1 1 324.196 1.890 20 0 DDADMM COc1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cc(C)n1 ZINC000337440273 410788041 /nfs/dbraw/zinc/78/80/41/410788041.db2.gz JJAOHRZGEMYQJB-UHFFFAOYSA-N -1 1 301.228 1.788 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@@H]2C(F)F)c1 ZINC000359677335 410808391 /nfs/dbraw/zinc/80/83/91/410808391.db2.gz GOCPQHXCYGCPOA-JGVFFNPUSA-N -1 1 320.317 1.140 20 0 DDADMM O=C(N=c1[n-]ncn1C1CC1)c1cc(-c2cccs2)n[nH]1 ZINC000356170029 410875124 /nfs/dbraw/zinc/87/51/24/410875124.db2.gz VVLNGLXKHYYUFJ-UHFFFAOYSA-N -1 1 300.347 1.739 20 0 DDADMM C[C@@H](Oc1cccc(Cl)c1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359788742 410882766 /nfs/dbraw/zinc/88/27/66/410882766.db2.gz NTMPQVSBNRYQLY-MRVPVSSYSA-N -1 1 309.757 1.672 20 0 DDADMM CC(C)N(CC(F)F)C(=O)CNC(=O)c1ncccc1[O-] ZINC000344158355 410995607 /nfs/dbraw/zinc/99/56/07/410995607.db2.gz MVSRZEPBXBHXQL-UHFFFAOYSA-N -1 1 301.293 1.019 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2CCN(C)C[C@@H]2C(C)C)[n-]n1 ZINC000331189743 411007105 /nfs/dbraw/zinc/00/71/05/411007105.db2.gz QGJKFIUVHCDAOP-CYBMUJFWSA-N -1 1 321.425 1.490 20 0 DDADMM CC(C)(NC(=O)CCCc1cc(F)ccc1F)c1nn[n-]n1 ZINC000341878133 411076674 /nfs/dbraw/zinc/07/66/74/411076674.db2.gz SNXIJIBELKJSPC-UHFFFAOYSA-N -1 1 309.320 1.852 20 0 DDADMM CC(C)CN1CCN(C(=O)C(=O)c2ccc([O-])cc2)[C@H](C)C1=O ZINC000331232049 411033236 /nfs/dbraw/zinc/03/32/36/411033236.db2.gz JGQNOGVCZUCUIW-GFCCVEGCSA-N -1 1 318.373 1.290 20 0 DDADMM O=C(NCCCC1CCCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000353658147 411101133 /nfs/dbraw/zinc/10/11/33/411101133.db2.gz NKLSQUXBRGEZAY-UHFFFAOYSA-N -1 1 303.366 1.508 20 0 DDADMM CC(C)(NC(=O)[C@H]1CCOc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000353703214 411113855 /nfs/dbraw/zinc/11/38/55/411113855.db2.gz AUYZMHRNSLXMMW-VIFPVBQESA-N -1 1 321.768 1.771 20 0 DDADMM O=C([O-])c1ccc(C(=O)Nc2cccc(-c3nnc[nH]3)c2)nc1 ZINC000580029116 422873800 /nfs/dbraw/zinc/87/38/00/422873800.db2.gz JFZVRRPHBGGPRW-UHFFFAOYSA-N -1 1 309.285 1.817 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCN(C)c2ccccc2)sn1 ZINC000631688474 422881174 /nfs/dbraw/zinc/88/11/74/422881174.db2.gz USGIDWYNWLDQFY-UHFFFAOYSA-N -1 1 311.432 1.866 20 0 DDADMM O=C1NCC[C@@H]1CCSc1nc([O-])cc(=O)n1C1CCCC1 ZINC000580096313 422888743 /nfs/dbraw/zinc/88/87/43/422888743.db2.gz AYSWLHLKOQZCHJ-SNVBAGLBSA-N -1 1 323.418 1.682 20 0 DDADMM O=C1NCC[C@@H]1CCSc1nc(=O)cc([O-])n1C1CCCC1 ZINC000580096313 422888748 /nfs/dbraw/zinc/88/87/48/422888748.db2.gz AYSWLHLKOQZCHJ-SNVBAGLBSA-N -1 1 323.418 1.682 20 0 DDADMM O=C(c1cc2c([nH]1)CCCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000580155968 422894916 /nfs/dbraw/zinc/89/49/16/422894916.db2.gz SUKDWVLCIMKLJE-LLVKDONJSA-N -1 1 300.366 1.426 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2COc3ccccc3C2)sn1 ZINC000631743858 422902021 /nfs/dbraw/zinc/90/20/21/422902021.db2.gz FDZMIYGMYHEHCF-NSHDSACASA-N -1 1 310.400 1.734 20 0 DDADMM O=C(c1cc(F)cc(Cl)c1[O-])N1CC[C@@H](c2nc[nH]n2)C1 ZINC000580555448 422941751 /nfs/dbraw/zinc/94/17/51/422941751.db2.gz VUEDYNNLHOAUAV-SSDOTTSWSA-N -1 1 310.716 1.933 20 0 DDADMM COc1cccc(NC(=O)CN2CC[C@H](C)[C@H](C(=O)[O-])C2)c1 ZINC000580647157 422946373 /nfs/dbraw/zinc/94/63/73/422946373.db2.gz SUNZZHGPCLIYFX-SMDDNHRTSA-N -1 1 306.362 1.676 20 0 DDADMM CCC[C@@H]1CN(CC(=O)[N-]C(=O)c2ccc(OC)cc2)CCO1 ZINC000132140402 196229378 /nfs/dbraw/zinc/22/93/78/196229378.db2.gz AHPNXGLKOIPJEN-OAHLLOKOSA-N -1 1 320.389 1.453 20 0 DDADMM COCC(C)(C)N1CCN(Cc2ncccc2C(=O)[O-])CC1 ZINC000652429773 423013567 /nfs/dbraw/zinc/01/35/67/423013567.db2.gz SWQADCHSDLWIKA-UHFFFAOYSA-N -1 1 307.394 1.322 20 0 DDADMM NC(=O)Cc1occc1-c1nc(-c2ccc([O-])c(F)c2)no1 ZINC000350608083 306754172 /nfs/dbraw/zinc/75/41/72/306754172.db2.gz JKFHYGLZBGRLDX-UHFFFAOYSA-N -1 1 303.249 1.869 20 0 DDADMM CC[C@@H](C)[C@@H](O)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000647898928 423106152 /nfs/dbraw/zinc/10/61/52/423106152.db2.gz SOROKTYLWCPJJJ-RQJHMYQMSA-N -1 1 315.317 1.114 20 0 DDADMM CCO[C@@H](CC(=O)NCc1cc(=O)[n-]c(SC)n1)C(C)C ZINC000640655209 423116683 /nfs/dbraw/zinc/11/66/83/423116683.db2.gz BMCADGUIZNRUIP-NSHDSACASA-N -1 1 313.423 1.972 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCOC1 ZINC000645630987 423116283 /nfs/dbraw/zinc/11/62/83/423116283.db2.gz DSOODKLQSBUJDA-JTQLQIEISA-N -1 1 310.297 1.558 20 0 DDADMM CSc1nc(CNC(=O)CCC2CCOCC2)cc(=O)[n-]1 ZINC000640658222 423118277 /nfs/dbraw/zinc/11/82/77/423118277.db2.gz LAIARYVHAQEXNC-UHFFFAOYSA-N -1 1 311.407 1.727 20 0 DDADMM Cc1cc(Cl)ccc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000366295405 418453636 /nfs/dbraw/zinc/45/36/36/418453636.db2.gz KLIGIMFLDAKSQF-NSHDSACASA-N -1 1 307.741 1.375 20 0 DDADMM Cc1ccc(F)c(S(=O)(=O)N[C@H]2CC[N@@H+](C)[C@@H](C)C2)c1 ZINC000360655903 418485092 /nfs/dbraw/zinc/48/50/92/418485092.db2.gz ZMJZTXFOIFFPDZ-RYUDHWBXSA-N -1 1 300.399 1.895 20 0 DDADMM CC[C@]1(CO)CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000190453233 222079368 /nfs/dbraw/zinc/07/93/68/222079368.db2.gz MTXSHKDLLRYMOE-MRXNPFEDSA-N -1 1 301.346 1.300 20 0 DDADMM O=C(CCc1ccc(F)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000361190556 418602294 /nfs/dbraw/zinc/60/22/94/418602294.db2.gz KRJQMUMPFDQZAL-LBPRGKRZSA-N -1 1 303.341 1.678 20 0 DDADMM C[C@@H](C(=O)[O-])N(C(=O)c1c[nH]c2ccccc2c1=O)C1CC1 ZINC000383425038 418733585 /nfs/dbraw/zinc/73/35/85/418733585.db2.gz XCMFZXNLUYXSMY-VIFPVBQESA-N -1 1 300.314 1.606 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)c1c[nH]c2ccccc2c1=O)C(=O)[O-] ZINC000385908959 418738474 /nfs/dbraw/zinc/73/84/74/418738474.db2.gz VARRXFNWKYDUEY-ZANVPECISA-N -1 1 302.330 1.757 20 0 DDADMM O=C(CCc1ccc(F)cc1F)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370976291 418753847 /nfs/dbraw/zinc/75/38/47/418753847.db2.gz NFJJPFVJWMYEPR-LBPRGKRZSA-N -1 1 323.303 1.011 20 0 DDADMM CC(C)n1nc2c(cc1=O)CN(C(=O)c1ncccc1[O-])CC2 ZINC000371188386 418766793 /nfs/dbraw/zinc/76/67/93/418766793.db2.gz BXTWMFBJNHNDSP-UHFFFAOYSA-N -1 1 314.345 1.123 20 0 DDADMM O=C(Nc1ccc2[n-]c(=S)oc2c1)[C@H]1C[C@H]1c1nc[nH]n1 ZINC000364675784 418800010 /nfs/dbraw/zinc/80/00/10/418800010.db2.gz MINYGRUMTVVGGT-SFYZADRCSA-N -1 1 301.331 1.977 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cccc(CC)n1 ZINC000410659073 418835461 /nfs/dbraw/zinc/83/54/61/418835461.db2.gz CNJJJGWLHBGSFP-UHFFFAOYSA-N -1 1 302.334 1.228 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](CCO)C(C)C)c(F)c1 ZINC000425192919 228387080 /nfs/dbraw/zinc/38/70/80/228387080.db2.gz FMMHJDLLAHNMBW-GFCCVEGCSA-N -1 1 307.362 1.959 20 0 DDADMM COCC[C@@H](COC)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425234691 228396279 /nfs/dbraw/zinc/39/62/79/228396279.db2.gz MPWBTCZNNXCIOK-JTQLQIEISA-N -1 1 323.361 1.603 20 0 DDADMM Cc1cnc(C(=O)N(C)CC(=O)NCc2cccs2)c([O-])c1 ZINC000426820951 419553707 /nfs/dbraw/zinc/55/37/07/419553707.db2.gz ONZBCMNJCYFPMC-UHFFFAOYSA-N -1 1 319.386 1.546 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(-c2n[nH]c(C)n2)cc1)OC ZINC000421152293 419503583 /nfs/dbraw/zinc/50/35/83/419503583.db2.gz JVFRSCNSNSBVJR-CYBMUJFWSA-N -1 1 324.406 1.947 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cc(C(=O)OC)ccc1F)OC ZINC000421160841 419510560 /nfs/dbraw/zinc/51/05/60/419510560.db2.gz YXKCINGYJOQEQS-SNVBAGLBSA-N -1 1 319.354 1.779 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CC(=O)N(C3CCCCC3)C2)c([O-])c1 ZINC000427783795 228670977 /nfs/dbraw/zinc/67/09/77/228670977.db2.gz ZUNRSIMIVMAHGG-LBPRGKRZSA-N -1 1 317.389 1.759 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H](C)O[C@H](C)C(=O)[O-])[nH]c2c1 ZINC000421808082 419738226 /nfs/dbraw/zinc/73/82/26/419738226.db2.gz QOAYTIRDUBXVJG-VHSXEESVSA-N -1 1 305.334 1.366 20 0 DDADMM Cc1cc(C(=O)NC(C)(C)c2nn[n-]n2)ccc1-n1cccn1 ZINC000421774376 419720422 /nfs/dbraw/zinc/72/04/22/419720422.db2.gz LWZQYJJTAUTTAX-UHFFFAOYSA-N -1 1 311.349 1.359 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NC[C@@H]2CCC[C@@H](O)C2)[n-]n1 ZINC000432218481 229088056 /nfs/dbraw/zinc/08/80/56/229088056.db2.gz LPAIVRRGXMFIOB-TZMCWYRMSA-N -1 1 318.421 1.534 20 0 DDADMM CC[C@@]1(O)CCN(C(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000429624176 420030719 /nfs/dbraw/zinc/03/07/19/420030719.db2.gz TTZSLVUWFWDULJ-MRXNPFEDSA-N -1 1 301.346 1.631 20 0 DDADMM CC[C@@]1(O)CCN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000429624176 420030722 /nfs/dbraw/zinc/03/07/22/420030722.db2.gz TTZSLVUWFWDULJ-MRXNPFEDSA-N -1 1 301.346 1.631 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1Cc3cccc(O)c3C1)c2=O ZINC000430230584 420091446 /nfs/dbraw/zinc/09/14/46/420091446.db2.gz AAEQXWMJDRHLBE-JTQLQIEISA-N -1 1 324.340 1.277 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccc(C(=O)N(C)C)cc1 ZINC000439255024 229702637 /nfs/dbraw/zinc/70/26/37/229702637.db2.gz FKEAXLCCRHYAAI-UHFFFAOYSA-N -1 1 314.407 1.555 20 0 DDADMM O=C([O-])C1CCN(CC(=O)N[C@@H]2CCCc3ccccc32)CC1 ZINC000430653220 420172737 /nfs/dbraw/zinc/17/27/37/420172737.db2.gz OXSDXEIDCSSIGC-MRXNPFEDSA-N -1 1 316.401 1.977 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)NCCc1c(F)cccc1F ZINC000430663410 420174353 /nfs/dbraw/zinc/17/43/53/420174353.db2.gz UKKGLPWJNLHWNX-UHFFFAOYSA-N -1 1 300.305 1.030 20 0 DDADMM COCCCCN(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000416133790 420252218 /nfs/dbraw/zinc/25/22/18/420252218.db2.gz PMMWTYMMSKUCKL-UHFFFAOYSA-N -1 1 320.393 1.479 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](O)C(F)F)c(F)cc1F ZINC000435633604 420270583 /nfs/dbraw/zinc/27/05/83/420270583.db2.gz CZMZOXKDAOKIBT-MRVPVSSYSA-N -1 1 301.261 1.178 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1cc(C)ccc1C ZINC000416228315 420288525 /nfs/dbraw/zinc/28/85/25/420288525.db2.gz NSRTWEQJJQTDKF-UHFFFAOYSA-N -1 1 317.345 1.785 20 0 DDADMM CC(=O)[C@H](NC(=O)CSc1nc(C2CC2)cc(=O)[n-]1)C(C)C ZINC000436260959 420317199 /nfs/dbraw/zinc/31/71/99/420317199.db2.gz OMPRKUPAVHLBGK-CQSZACIVSA-N -1 1 323.418 1.882 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H](O)Cc2ccccc2)c1 ZINC000436503157 420334915 /nfs/dbraw/zinc/33/49/15/420334915.db2.gz FTVCNTBWJXGZPO-HNNXBMFYSA-N -1 1 315.325 1.721 20 0 DDADMM COc1ccc(CNC(=O)C(=O)c2ccc([O-])cc2)cc1OC ZINC000436578701 420341183 /nfs/dbraw/zinc/34/11/83/420341183.db2.gz XLXIVRVFMQPNIM-UHFFFAOYSA-N -1 1 315.325 1.909 20 0 DDADMM Cn1nnc2c1nccc2C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000436635707 420347920 /nfs/dbraw/zinc/34/79/20/420347920.db2.gz VOMKECISHRMZMR-UHFFFAOYSA-N -1 1 303.709 1.975 20 0 DDADMM CC(C)CC(=O)NCCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425323574 420351594 /nfs/dbraw/zinc/35/15/94/420351594.db2.gz PFFZDVJWZLTREJ-UHFFFAOYSA-N -1 1 324.381 1.034 20 0 DDADMM O=C(Nc1cccc(-n2ccnn2)c1)C(=O)c1ccc([O-])cc1 ZINC000436710181 420358507 /nfs/dbraw/zinc/35/85/07/420358507.db2.gz ZIYGQXJQZCKALA-UHFFFAOYSA-N -1 1 308.297 1.794 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@H]1CCC(F)(F)C1)c2=O ZINC000435962713 420299255 /nfs/dbraw/zinc/29/92/55/420299255.db2.gz XSSDNOZQKMAXRV-QMMMGPOBSA-N -1 1 310.304 1.839 20 0 DDADMM CC(C)C[C@@H](CNc1cccc(C(=O)[O-])n1)N1CCOCC1 ZINC000416460286 420381274 /nfs/dbraw/zinc/38/12/74/420381274.db2.gz LHCCALOOPDYBCU-ZDUSSCGKSA-N -1 1 307.394 1.939 20 0 DDADMM O=C(C(=O)N1CCOC[C@H](C2CCC2)C1)c1ccc([O-])cc1 ZINC000436796081 420368097 /nfs/dbraw/zinc/36/80/97/420368097.db2.gz TVVTZTGDVXCUFU-CQSZACIVSA-N -1 1 303.358 1.850 20 0 DDADMM C[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C(=O)OC(C)(C)C ZINC000425527164 420409419 /nfs/dbraw/zinc/40/94/19/420409419.db2.gz ZCCSVVFFFSHOPR-CMPLNLGQSA-N -1 1 310.398 1.051 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)CCC(F)(F)F ZINC000416583342 420424350 /nfs/dbraw/zinc/42/43/50/420424350.db2.gz LMNDHBIBSFTEHG-QMMMGPOBSA-N -1 1 303.302 1.200 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)CC(C)(C)OC)cc1 ZINC000439248625 420500798 /nfs/dbraw/zinc/50/07/98/420500798.db2.gz PRXLIOFNVRAYHR-UHFFFAOYSA-N -1 1 300.380 1.213 20 0 DDADMM CCCCn1c(C)c(C)nc1SCC(=O)Nc1nnn[n-]1 ZINC000439264835 420501461 /nfs/dbraw/zinc/50/14/61/420501461.db2.gz HTJYLEYRPXSCOR-UHFFFAOYSA-N -1 1 309.399 1.544 20 0 DDADMM CCCCn1c(C)c(C)nc1SCC(=O)Nc1nn[n-]n1 ZINC000439264835 420501467 /nfs/dbraw/zinc/50/14/67/420501467.db2.gz HTJYLEYRPXSCOR-UHFFFAOYSA-N -1 1 309.399 1.544 20 0 DDADMM Cc1ccc2nc(CNC(=O)c3cnc(C(=O)[O-])cn3)[nH]c2c1 ZINC000439926421 420545660 /nfs/dbraw/zinc/54/56/60/420545660.db2.gz SEMMXMULRRSAJK-UHFFFAOYSA-N -1 1 311.301 1.290 20 0 DDADMM CC[C@@H](NC(=O)c1cc(Cl)c([O-])c(OC)c1)C(=O)NC ZINC000456991148 420583449 /nfs/dbraw/zinc/58/34/49/420583449.db2.gz FFXFGHDPEXCFTH-SECBINFHSA-N -1 1 300.742 1.309 20 0 DDADMM C[C@H](CN(C)C(=O)/C=C\SCc1ccco1)c1nn[n-]n1 ZINC000492548610 420589521 /nfs/dbraw/zinc/58/95/21/420589521.db2.gz JQINGIWKDSQRTF-ONRRBMGISA-N -1 1 307.379 1.802 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1ccc(Cl)nc1)c1nn[n-]n1 ZINC000492711704 420637480 /nfs/dbraw/zinc/63/74/80/420637480.db2.gz UFTXBHGYCNHLAC-XTULLQBASA-N -1 1 306.757 1.523 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000492738757 420646445 /nfs/dbraw/zinc/64/64/45/420646445.db2.gz GRJSACUPRFWCBJ-LWTINBJPSA-N -1 1 304.379 1.384 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2ccccc2O)sc1C ZINC000443180620 420747441 /nfs/dbraw/zinc/74/74/41/420747441.db2.gz JXUUAMMLGRJXDN-UHFFFAOYSA-N -1 1 312.416 1.987 20 0 DDADMM CCCCS(=O)(=O)c1nnc(-c2ccc3c(c2)OCCO3)[n-]1 ZINC000447499102 420774564 /nfs/dbraw/zinc/77/45/64/420774564.db2.gz DUSMGGPQVJXFNA-UHFFFAOYSA-N -1 1 323.374 1.817 20 0 DDADMM CCCCS(=O)(=O)c1n[n-]c(-c2ccc3c(c2)OCCO3)n1 ZINC000447499102 420774568 /nfs/dbraw/zinc/77/45/68/420774568.db2.gz DUSMGGPQVJXFNA-UHFFFAOYSA-N -1 1 323.374 1.817 20 0 DDADMM CCN(Cc1cn(C)nn1)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442799136 420718550 /nfs/dbraw/zinc/71/85/50/420718550.db2.gz JYEZTMIOGGABOF-UHFFFAOYSA-N -1 1 324.768 1.845 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)[N-]S(=O)(=O)CC(C)(C)C ZINC000443044436 420738785 /nfs/dbraw/zinc/73/87/85/420738785.db2.gz PNRCLLWAIRFJNQ-ZETCQYMHSA-N -1 1 305.318 1.446 20 0 DDADMM O=C(NC[C@@H]1CCCC(=O)N1)c1ccc(C(F)(F)F)cc1[O-] ZINC000447758087 420799658 /nfs/dbraw/zinc/79/96/58/420799658.db2.gz KTXHOGWXTAXOPU-VIFPVBQESA-N -1 1 316.279 1.810 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2CCN([C@H](C)CC)CC2)s1 ZINC000454287627 420831987 /nfs/dbraw/zinc/83/19/87/420831987.db2.gz HCEKBAHGSNONAV-LLVKDONJSA-N -1 1 311.455 1.861 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cscc2C)o1 ZINC000487388929 421021384 /nfs/dbraw/zinc/02/13/84/421021384.db2.gz AWCGUWWXBNZVJI-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM O=C(N[C@H]1CCC[C@@H]1c1ccccc1)c1cc(=O)n2[n-]cnc2n1 ZINC000544738579 421228732 /nfs/dbraw/zinc/22/87/32/421228732.db2.gz ZITPWAIGRHKIPN-OLZOCXBDSA-N -1 1 323.356 1.484 20 0 DDADMM Cn1cc(C(=O)C(=O)Nc2ccc(Br)cc2[O-])cn1 ZINC000523314435 421233849 /nfs/dbraw/zinc/23/38/49/421233849.db2.gz FCXIUIGOZKZKBX-UHFFFAOYSA-N -1 1 324.134 1.710 20 0 DDADMM COCCO[C@@H]1COCC[C@@H]1N=c1[n-]c(C(C)=O)c(C)s1 ZINC000450452027 421199793 /nfs/dbraw/zinc/19/97/93/421199793.db2.gz GXSSGQIDWCNDMS-NWDGAFQWSA-N -1 1 314.407 1.308 20 0 DDADMM O=S(=O)(NCc1nc(-c2ccc(F)cc2)n[nH]1)c1ccco1 ZINC000525836703 421305860 /nfs/dbraw/zinc/30/58/60/421305860.db2.gz VJTZZWJGMDVGRW-UHFFFAOYSA-N -1 1 322.321 1.682 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000560347561 421251270 /nfs/dbraw/zinc/25/12/70/421251270.db2.gz TYBBBOOBLBSFQL-CABZTGNLSA-N -1 1 317.397 1.439 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)N=c1[n-]nc(C(F)(F)F)s1 ZINC000560379832 421256193 /nfs/dbraw/zinc/25/61/93/421256193.db2.gz XWQDNZPLDPLUDV-ZETCQYMHSA-N -1 1 323.344 1.537 20 0 DDADMM CN1CCc2ccc(C(=O)NC3(c4nn[n-]n4)CCCC3)cc21 ZINC000547477454 421336170 /nfs/dbraw/zinc/33/61/70/421336170.db2.gz LPNJLHFECJYTQH-UHFFFAOYSA-N -1 1 312.377 1.391 20 0 DDADMM O=C(c1ccc(OC(F)F)cn1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000547485145 421336819 /nfs/dbraw/zinc/33/68/19/421336819.db2.gz UKGNSJNKJZBXND-MRVPVSSYSA-N -1 1 324.291 1.216 20 0 DDADMM COC(=O)N1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000497279490 421400702 /nfs/dbraw/zinc/40/07/02/421400702.db2.gz NPWUSJXHVJQOHE-UHFFFAOYSA-N -1 1 300.261 1.195 20 0 DDADMM Cc1ccc(CCC(=O)Nc2nn[nH]c2C(=O)NC2CC2)cc1 ZINC000562699655 421401064 /nfs/dbraw/zinc/40/10/64/421401064.db2.gz RSAHFISYRYXSBF-UHFFFAOYSA-N -1 1 313.361 1.577 20 0 DDADMM O=C([C@H]1COc2ccccc21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000550123392 421514115 /nfs/dbraw/zinc/51/41/15/421514115.db2.gz MLKQNIXEEBSFPB-JQWIXIFHSA-N -1 1 315.329 1.657 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCc2ccc(F)cc21 ZINC000563573138 421521932 /nfs/dbraw/zinc/52/19/32/421521932.db2.gz TXCLJPURGGXSHT-LLVKDONJSA-N -1 1 311.338 1.500 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCc2ccc(F)cc21 ZINC000563573138 421521934 /nfs/dbraw/zinc/52/19/34/421521934.db2.gz TXCLJPURGGXSHT-LLVKDONJSA-N -1 1 311.338 1.500 20 0 DDADMM Cc1noc(C23CC(C2)CN3C(=O)c2ccc([O-])cc2F)n1 ZINC000529746138 421555408 /nfs/dbraw/zinc/55/54/08/421555408.db2.gz VVENXYFCFQGFBJ-UHFFFAOYSA-N -1 1 303.293 1.984 20 0 DDADMM C[C@@H](CCCO)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000564324145 421581087 /nfs/dbraw/zinc/58/10/87/421581087.db2.gz CWGBSBGVLLABEI-JTQLQIEISA-N -1 1 314.432 1.983 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cc(C)c(C)o2)c1 ZINC000563659972 421532722 /nfs/dbraw/zinc/53/27/22/421532722.db2.gz OYOKIEVPCKHYGP-UHFFFAOYSA-N -1 1 324.358 1.762 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC1(C2CC2)CC1 ZINC000532381909 421658730 /nfs/dbraw/zinc/65/87/30/421658730.db2.gz CZUMHXIWYVIXBB-UHFFFAOYSA-N -1 1 300.362 1.653 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCC2)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000532611617 421661605 /nfs/dbraw/zinc/66/16/05/421661605.db2.gz KKQRZXNQWQEXEO-LLVKDONJSA-N -1 1 313.357 1.755 20 0 DDADMM COc1ccccc1[C@H](CN=c1[nH]c(C(=O)[O-])co1)N(C)C ZINC000571093896 421688360 /nfs/dbraw/zinc/68/83/60/421688360.db2.gz VGGWUERRUILQIQ-LBPRGKRZSA-N -1 1 305.334 1.518 20 0 DDADMM COc1ccccc1[C@H](CNc1nc(C(=O)[O-])co1)N(C)C ZINC000571093896 421688362 /nfs/dbraw/zinc/68/83/62/421688362.db2.gz VGGWUERRUILQIQ-LBPRGKRZSA-N -1 1 305.334 1.518 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)nn1C ZINC000537125569 421728495 /nfs/dbraw/zinc/72/84/95/421728495.db2.gz UWEVBRPGGZLODH-JTQLQIEISA-N -1 1 303.370 1.076 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)[C@H]2CCCc3[nH]ncc32)s[n-]1 ZINC000555326431 421675429 /nfs/dbraw/zinc/67/54/29/421675429.db2.gz BIZDAGULRMEWPS-SFYZADRCSA-N -1 1 307.379 1.449 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccncc1Cl)c1ncccc1[O-] ZINC000539933082 421756130 /nfs/dbraw/zinc/75/61/30/421756130.db2.gz BFONQVIIECHFNE-SNVBAGLBSA-N -1 1 321.764 1.417 20 0 DDADMM O=C(Cc1ccc2c(c1)COC2)NC1(c2nn[n-]n2)CCCC1 ZINC000542602084 421825373 /nfs/dbraw/zinc/82/53/73/421825373.db2.gz QGNQNQBQVAZLRM-UHFFFAOYSA-N -1 1 313.361 1.358 20 0 DDADMM C[C@@H]1C[N@H+](Cc2ccccc2)[C@@H](C)CN1Cc1nc(=O)n(C)[n-]1 ZINC000541580288 421797658 /nfs/dbraw/zinc/79/76/58/421797658.db2.gz BNOJTSFFZZXTDZ-UONOGXRCSA-N -1 1 315.421 1.203 20 0 DDADMM C[C@@H]1CN(CCN2CCc3c(cccc3C(=O)[O-])C2)CCO1 ZINC000580964326 421874546 /nfs/dbraw/zinc/87/45/46/421874546.db2.gz ZATGAMJTWOGRML-CYBMUJFWSA-N -1 1 304.390 1.464 20 0 DDADMM CC[C@H](NC(C)=O)C(=O)N=c1cc(-c2cc(C)ccc2F)[n-][nH]1 ZINC000633422400 421895433 /nfs/dbraw/zinc/89/54/33/421895433.db2.gz LRFVFEZRWGCDLY-ZDUSSCGKSA-N -1 1 318.352 1.799 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CNCCNC(=O)CC1CCCCC1 ZINC000635306450 421895790 /nfs/dbraw/zinc/89/57/90/421895790.db2.gz URMMYDOQVLPDOB-UHFFFAOYSA-N -1 1 322.409 1.295 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H](CO)[C@H]1CCCOC1 ZINC000633427254 421896776 /nfs/dbraw/zinc/89/67/76/421896776.db2.gz KFWDRBHMKOSWEY-AAEUAGOBSA-N -1 1 313.781 1.492 20 0 DDADMM CC(C)(NC(=O)[C@H]1CCN(c2ccccc2)C1)c1nn[n-]n1 ZINC000572810902 421863996 /nfs/dbraw/zinc/86/39/96/421863996.db2.gz OUILGHCYJVFTKC-NSHDSACASA-N -1 1 300.366 1.078 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000630060527 421869523 /nfs/dbraw/zinc/86/95/23/421869523.db2.gz LJARUINWTHUJKE-IAQYHMDHSA-N -1 1 313.357 1.799 20 0 DDADMM CC(=O)N1CCCc2ccc(S(=O)(=O)[N-]c3cnc[nH]3)cc21 ZINC000573052473 421905059 /nfs/dbraw/zinc/90/50/59/421905059.db2.gz DCAPJYBSQPUXJL-UHFFFAOYSA-N -1 1 320.374 1.510 20 0 DDADMM O=C(NCc1nc(C(F)F)no1)c1csc(=NC2CC2)[n-]1 ZINC000633477555 421925719 /nfs/dbraw/zinc/92/57/19/421925719.db2.gz BDGYRSDINMFXGX-UHFFFAOYSA-N -1 1 315.305 1.390 20 0 DDADMM Cc1cccc(CCC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000630222703 421973514 /nfs/dbraw/zinc/97/35/14/421973514.db2.gz ICFGXFAUQYWFHC-OAHLLOKOSA-N -1 1 318.417 1.935 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1nc2c(s1)CCCCC2 ZINC000635398434 421951287 /nfs/dbraw/zinc/95/12/87/421951287.db2.gz VBWHPZRGLQOREH-UHFFFAOYSA-N -1 1 306.395 1.887 20 0 DDADMM Cn1nccc1[C@@H]1c2nc[nH]c2CCN1C(=O)c1ncccc1[O-] ZINC000633529340 421954525 /nfs/dbraw/zinc/95/45/25/421954525.db2.gz HULXHBHWYKWRMH-OAHLLOKOSA-N -1 1 324.344 1.032 20 0 DDADMM Cn1nccc1[C@@H]1c2[nH]cnc2CCN1C(=O)c1ncccc1[O-] ZINC000633529340 421954536 /nfs/dbraw/zinc/95/45/36/421954536.db2.gz HULXHBHWYKWRMH-OAHLLOKOSA-N -1 1 324.344 1.032 20 0 DDADMM Cc1cc(=NC(=O)NCc2n[nH]c(C(C)(C)C)n2)[n-]nc1C ZINC000581355731 421954871 /nfs/dbraw/zinc/95/48/71/421954871.db2.gz QBJPNLZXYSBAEF-UHFFFAOYSA-N -1 1 303.370 1.253 20 0 DDADMM C[C@]1(Cc2cccc(F)c2)CCCN1C(=O)CCc1nn[n-]n1 ZINC000633549334 421960922 /nfs/dbraw/zinc/96/09/22/421960922.db2.gz YDVNDGJMFQKBOO-MRXNPFEDSA-N -1 1 317.368 1.895 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H](c1ccccc1)[C@H]1CCCO1 ZINC000635485903 422031074 /nfs/dbraw/zinc/03/10/74/422031074.db2.gz HEWXHFPEQAXBTP-CZUORRHYSA-N -1 1 315.377 1.559 20 0 DDADMM O=C([O-])[C@H]1Cn2c(=O)[nH]nc2CN1Cc1cccc2ccoc21 ZINC000581721339 422035447 /nfs/dbraw/zinc/03/54/47/422035447.db2.gz QDSYXEGVECPDFX-LLVKDONJSA-N -1 1 314.301 1.199 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCO[C@@H](c2cccc(F)c2)C1 ZINC000635494963 422042282 /nfs/dbraw/zinc/04/22/82/422042282.db2.gz PBXRITIGXKUKIA-CYBMUJFWSA-N -1 1 319.340 1.262 20 0 DDADMM CO[C@H](CNC(=O)Cc1ccc([O-])c(Cl)c1)[C@@H]1CCOC1 ZINC000633607568 421996646 /nfs/dbraw/zinc/99/66/46/421996646.db2.gz XMTNNKDXWRNPJA-BXUZGUMPSA-N -1 1 313.781 1.756 20 0 DDADMM CS(=O)(=O)Cc1noc(Cc2ccc([O-])c(Cl)c2)n1 ZINC000632009623 422016924 /nfs/dbraw/zinc/01/69/24/422016924.db2.gz ZOBFEWZXIBPHDW-UHFFFAOYSA-N -1 1 302.739 1.564 20 0 DDADMM CCC(CC)[C@@H](O)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632011106 422018850 /nfs/dbraw/zinc/01/88/50/422018850.db2.gz NDXFNOBWOWKRJO-ZDUSSCGKSA-N -1 1 317.407 1.476 20 0 DDADMM CCN1CCN(c2ccccc2NC(=O)[C@@H](C)C(=O)[O-])CC1 ZINC000574280594 422088486 /nfs/dbraw/zinc/08/84/86/422088486.db2.gz IVQZWVRKYLXPRV-GFCCVEGCSA-N -1 1 305.378 1.488 20 0 DDADMM Cc1[nH]c2ccc(F)cc2c1CCNC(=O)CCc1nn[n-]n1 ZINC000633684943 422047163 /nfs/dbraw/zinc/04/71/63/422047163.db2.gz BYIYASDDEIZNJM-UHFFFAOYSA-N -1 1 316.340 1.420 20 0 DDADMM CCC[C@H](C(=O)N=c1[nH][n-]c(C)c1C(=O)NC)c1ccccn1 ZINC000633690695 422052483 /nfs/dbraw/zinc/05/24/83/422052483.db2.gz IAXMZGRKSSOVTM-NSHDSACASA-N -1 1 315.377 1.417 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1CCCC[C@H]1C1CC1 ZINC000633691098 422052522 /nfs/dbraw/zinc/05/25/22/422052522.db2.gz LBTJARJOZADNSO-RYUDHWBXSA-N -1 1 304.394 1.655 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C(C)(C)CC(F)(F)F ZINC000633690567 422053582 /nfs/dbraw/zinc/05/35/82/422053582.db2.gz GXNTWQNWFLKIDK-UHFFFAOYSA-N -1 1 306.288 1.417 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)[C@@H]2CCCOC2)c1 ZINC000632192353 422152101 /nfs/dbraw/zinc/15/21/01/422152101.db2.gz ASOLJGFOWSKNKD-GHMZBOCLSA-N -1 1 315.391 1.494 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC1CCC(C)(C)CC1 ZINC000574726900 422167347 /nfs/dbraw/zinc/16/73/47/422167347.db2.gz UDUJRXCXRVPCJR-UHFFFAOYSA-N -1 1 301.412 1.890 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1CCC(C)(C)CC1 ZINC000574726900 422167353 /nfs/dbraw/zinc/16/73/53/422167353.db2.gz UDUJRXCXRVPCJR-UHFFFAOYSA-N -1 1 301.412 1.890 20 0 DDADMM CC(C)(C)c1nc(CCNC(=O)CCc2nn[n-]n2)cs1 ZINC000632215613 422170901 /nfs/dbraw/zinc/17/09/01/422170901.db2.gz MBNABEODHPRNBQ-UHFFFAOYSA-N -1 1 308.411 1.245 20 0 DDADMM C/C=C\C[C@@H](CO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632227554 422178111 /nfs/dbraw/zinc/17/81/11/422178111.db2.gz ATPIEVBKUBVQFY-XDVGHUOJSA-N -1 1 313.301 1.034 20 0 DDADMM CO[C@@H](c1ccc(F)cc1)[C@H](C)NC(=O)CCc1nn[n-]n1 ZINC000632273703 422211420 /nfs/dbraw/zinc/21/14/20/422211420.db2.gz XETFQMZOYVFOSW-LKFCYVNXSA-N -1 1 307.329 1.164 20 0 DDADMM CC[C@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])CCCO1 ZINC000632303656 422235236 /nfs/dbraw/zinc/23/52/36/422235236.db2.gz SVRXHRNMGYBSNK-NSHDSACASA-N -1 1 315.391 1.590 20 0 DDADMM C[C@@H]1CSC[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632332185 422253047 /nfs/dbraw/zinc/25/30/47/422253047.db2.gz YXEZSGZYYRRXKZ-RITPCOANSA-N -1 1 315.342 1.458 20 0 DDADMM CCCCO[C@H]1C[C@H](N(C)C(=O)CCCc2nn[n-]n2)C1(C)C ZINC000635644437 422196825 /nfs/dbraw/zinc/19/68/25/422196825.db2.gz RQHKSBARJAYBCW-STQMWFEESA-N -1 1 323.441 1.965 20 0 DDADMM COc1ccc(NC(=O)C2CSC2)cc1[N-]S(C)(=O)=O ZINC000630680928 422266414 /nfs/dbraw/zinc/26/64/14/422266414.db2.gz DEDJHAAMEGQTMR-UHFFFAOYSA-N -1 1 316.404 1.368 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC(F)(F)CC1 ZINC000628632371 422269243 /nfs/dbraw/zinc/26/92/43/422269243.db2.gz KATDWDLTTVXETF-UHFFFAOYSA-N -1 1 303.265 1.158 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000584175860 422277453 /nfs/dbraw/zinc/27/74/53/422277453.db2.gz NZDBLFFGOYTDTQ-UONOGXRCSA-N -1 1 310.369 1.901 20 0 DDADMM CC[N@H+]1C[C@@H](C)N(C(=O)c2cc(=O)[nH]c(C3CC3)n2)C[C@H]1C ZINC000584240838 422293230 /nfs/dbraw/zinc/29/32/30/422293230.db2.gz SZVAXIFQNCKFKY-GHMZBOCLSA-N -1 1 304.394 1.614 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCC[C@H]2CCOC2)c1 ZINC000632424678 422332460 /nfs/dbraw/zinc/33/24/60/422332460.db2.gz TVKLNTAQVYAIML-NSHDSACASA-N -1 1 315.391 1.496 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCO[C@@H](c2ccc(Cl)cc2)C1 ZINC000630786940 422335782 /nfs/dbraw/zinc/33/57/82/422335782.db2.gz GQHFSTQMTVNRNO-GFCCVEGCSA-N -1 1 321.768 1.386 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCCOc2ccc(F)cc21 ZINC000630812291 422350771 /nfs/dbraw/zinc/35/07/71/422350771.db2.gz OOKBTTJDTUPSNM-NSHDSACASA-N -1 1 305.313 1.302 20 0 DDADMM CO[C@](C)([C@H](C)[N-]S(=O)(=O)c1cc(C)ns1)C1CC1 ZINC000632455894 422359267 /nfs/dbraw/zinc/35/92/67/422359267.db2.gz OWYQSSUIOHVMHF-JOYOIKCWSA-N -1 1 304.437 1.933 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1nncn1C)CC(F)(F)F ZINC000632456704 422360183 /nfs/dbraw/zinc/36/01/83/422360183.db2.gz MGLFMNYKRXNKOA-SSDOTTSWSA-N -1 1 300.306 1.072 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCC[C@H](CO)C2)c1 ZINC000632461508 422362466 /nfs/dbraw/zinc/36/24/66/422362466.db2.gz UUBAXCBHUSFTGU-WDEREUQCSA-N -1 1 315.391 1.230 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCN(CC(F)F)C2)sn1 ZINC000632469671 422371215 /nfs/dbraw/zinc/37/12/15/422371215.db2.gz LGKDQWDWIKTZSW-MRVPVSSYSA-N -1 1 311.379 1.069 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](C)C[C@H](CO)C2)c1 ZINC000632473921 422372643 /nfs/dbraw/zinc/37/26/43/422372643.db2.gz PQVKXSCHTDBAKX-QWRGUYRKSA-N -1 1 315.391 1.040 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]Cc1nc(C(F)F)no1)C(C)C ZINC000632479531 422378140 /nfs/dbraw/zinc/37/81/40/422378140.db2.gz DETDQSDALULTMV-SSDOTTSWSA-N -1 1 313.326 1.098 20 0 DDADMM CC(C)c1ccc(OCCNC(=O)CCc2nn[n-]n2)cc1 ZINC000630755258 422318180 /nfs/dbraw/zinc/31/81/80/422318180.db2.gz QUDYLLLICQMZFP-UHFFFAOYSA-N -1 1 303.366 1.451 20 0 DDADMM CCC1(CC)[C@H](NC(=O)CCCc2nn[n-]n2)[C@H](C)[C@H]1OC ZINC000635764072 422323161 /nfs/dbraw/zinc/32/31/61/422323161.db2.gz ZQTVYZJLTHZIEX-ZLKJLUDKSA-N -1 1 309.414 1.478 20 0 DDADMM Cc1ccc(Br)cc1NC(=O)CCc1nn[n-]n1 ZINC000630765618 422323724 /nfs/dbraw/zinc/32/37/24/422323724.db2.gz GHKOQLZOGGJEOK-UHFFFAOYSA-N -1 1 310.155 1.842 20 0 DDADMM CC(C)(F)CC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632557900 422435322 /nfs/dbraw/zinc/43/53/22/422435322.db2.gz WSCVZOMZAPEQIQ-UHFFFAOYSA-N -1 1 303.281 1.845 20 0 DDADMM CC(C)CN(CCC(=O)[O-])Cc1nnnn1-c1ccccc1 ZINC000577879976 422449146 /nfs/dbraw/zinc/44/91/46/422449146.db2.gz ZCLYYCIMBOVCPW-UHFFFAOYSA-N -1 1 303.366 1.595 20 0 DDADMM C[C@H](N=c1ccc(N2CCNC(=O)[C@@H]2C)n[n-]1)c1ccccc1 ZINC000577723679 422413994 /nfs/dbraw/zinc/41/39/94/422413994.db2.gz GCZVCHWJWXHLFI-STQMWFEESA-N -1 1 311.389 1.396 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](c1ccc(F)cc1)C1CCC1 ZINC000630927921 422417107 /nfs/dbraw/zinc/41/71/07/422417107.db2.gz OPRBTLOEYIEWGE-HNNXBMFYSA-N -1 1 303.341 1.929 20 0 DDADMM C[C@]1(CCCC(=O)Nc2cccc(F)c2[O-])NC(=O)NC1=O ZINC000628960492 422418204 /nfs/dbraw/zinc/41/82/04/422418204.db2.gz JOXQLHVVCQNHIB-CQSZACIVSA-N -1 1 309.297 1.238 20 0 DDADMM COc1cc2c(cc1F)CC[C@H]2NC(=O)CCCc1nn[n-]n1 ZINC000635903077 422459579 /nfs/dbraw/zinc/45/95/79/422459579.db2.gz XXYODFYXIDKIIN-GFCCVEGCSA-N -1 1 319.340 1.474 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@@H]2CCC[C@]2(CO)C1 ZINC000629089219 422469553 /nfs/dbraw/zinc/46/95/53/422469553.db2.gz HVRUHWOEKPABQR-ZUZCIYMTSA-N -1 1 323.418 1.447 20 0 DDADMM Cc1nc(SCCC2CS(=O)(=O)C2)[n-]c(=O)c1C1CC1 ZINC000631023013 422476710 /nfs/dbraw/zinc/47/67/10/422476710.db2.gz FIIUSXKVPAIPQX-UHFFFAOYSA-N -1 1 314.432 1.895 20 0 DDADMM Cn1c(=O)n2c(c1C(=O)Nc1ccc(F)cc1[O-])CCCC2 ZINC000578023621 422480735 /nfs/dbraw/zinc/48/07/35/422480735.db2.gz RVUGJGVHYRWKFJ-UHFFFAOYSA-N -1 1 305.309 1.620 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)c1nc(C(F)(F)F)cs1 ZINC000632787568 422576603 /nfs/dbraw/zinc/57/66/03/422576603.db2.gz UFYRUZDQTGNHON-YFKPBYRVSA-N -1 1 320.300 1.485 20 0 DDADMM CCN(CCOc1ccccc1C)C(=O)CCCc1nn[n-]n1 ZINC000636051681 422599735 /nfs/dbraw/zinc/59/97/35/422599735.db2.gz DZDZGSMFHWROHG-UHFFFAOYSA-N -1 1 317.393 1.758 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@@H]1[C@H]1CCCC[C@H]1O ZINC000632820410 422603054 /nfs/dbraw/zinc/60/30/54/422603054.db2.gz HFYNLLHLUBLYSR-JHJVBQTASA-N -1 1 307.398 1.065 20 0 DDADMM NC(=O)[C@@H]1CC[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629380513 422639141 /nfs/dbraw/zinc/63/91/41/422639141.db2.gz QYRSZNOOSNZLGG-VXGBXAGGSA-N -1 1 300.318 1.028 20 0 DDADMM CC1(C)CN(C(=O)CCc2nn[n-]n2)C[C@]2(C[C@@H]3CC[C@H]2C3)O1 ZINC000632883544 422641620 /nfs/dbraw/zinc/64/16/20/422641620.db2.gz SJFGIIALIZNJIP-WQGACYEGSA-N -1 1 319.409 1.329 20 0 DDADMM O=S(=O)([N-]c1ccn(C2CCSCC2)n1)N1CCC1 ZINC000634794870 422650792 /nfs/dbraw/zinc/65/07/92/422650792.db2.gz VMTQBNPHZNEAPK-UHFFFAOYSA-N -1 1 302.425 1.314 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1cccc(OC2CC2)c1F ZINC000636180224 422696642 /nfs/dbraw/zinc/69/66/42/422696642.db2.gz MQJNWTXZZXOLFH-UHFFFAOYSA-N -1 1 319.340 1.519 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1C[C@@H](C)C[C@H](C(=O)[O-])C1 ZINC000629490687 422697916 /nfs/dbraw/zinc/69/79/16/422697916.db2.gz WUCCHTMZYMOCNJ-QWRGUYRKSA-N -1 1 324.343 1.830 20 0 DDADMM O=S(=O)([N-][C@H](CCO)c1ccco1)c1cccc(F)c1F ZINC000184273957 263386313 /nfs/dbraw/zinc/38/63/13/263386313.db2.gz IMZGONWEGYDHFD-SNVBAGLBSA-N -1 1 317.313 1.960 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N(C)[C@H](C)CCO)c2=O ZINC000653041508 423271916 /nfs/dbraw/zinc/27/19/16/423271916.db2.gz IHLMHSULQNFUTQ-SNVBAGLBSA-N -1 1 304.346 1.380 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC[C@H](O)C(F)F)cnc2n1 ZINC000640939272 423287400 /nfs/dbraw/zinc/28/74/00/423287400.db2.gz PWLNBMMSJBNBCN-JTQLQIEISA-N -1 1 311.288 1.390 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCC[C@H](O)C(F)F)c[n-]c2n1 ZINC000640939272 423287404 /nfs/dbraw/zinc/28/74/04/423287404.db2.gz PWLNBMMSJBNBCN-JTQLQIEISA-N -1 1 311.288 1.390 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccc(F)cc2)c1 ZINC000194035259 263501604 /nfs/dbraw/zinc/50/16/04/263501604.db2.gz RBXMOHTZUWDMKW-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM Cc1ccccc1OCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000646067644 423322999 /nfs/dbraw/zinc/32/29/99/423322999.db2.gz VIYVFBDHBHOISN-GFCCVEGCSA-N -1 1 317.345 1.869 20 0 DDADMM CC(C)[C@@H](Oc1ccc(Cl)cc1)C(=O)NCc1nn[n-]n1 ZINC000643982602 423431056 /nfs/dbraw/zinc/43/10/56/423431056.db2.gz BVTLYJDCNGBKQQ-GFCCVEGCSA-N -1 1 309.757 1.573 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)ccc1O ZINC000644043115 423460005 /nfs/dbraw/zinc/46/00/05/423460005.db2.gz BHULBVQVIPAKCZ-GFCCVEGCSA-N -1 1 301.350 1.488 20 0 DDADMM CCC(C)(C)c1n[n-]c(=NC[C@@H]2CN(CC(C)C)CCO2)o1 ZINC000641264646 423512740 /nfs/dbraw/zinc/51/27/40/423512740.db2.gz OOBZANQPYSOFFM-CYBMUJFWSA-N -1 1 310.442 1.948 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCO[C@H]3C[C@H]32)c(=O)[n-]1 ZINC000646748126 423595251 /nfs/dbraw/zinc/59/52/51/423595251.db2.gz FUGYRJJFHGBXAA-MNOVXSKESA-N -1 1 309.391 1.145 20 0 DDADMM CN1CC[C@@H](CSc2nc(C(F)(F)F)cc(=O)[n-]2)C1=O ZINC000641451431 423645439 /nfs/dbraw/zinc/64/54/39/423645439.db2.gz RSCFHCULNJWAGZ-LURJTMIESA-N -1 1 307.297 1.771 20 0 DDADMM C[C@]1(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CCc2ccccc21 ZINC000649210650 423679482 /nfs/dbraw/zinc/67/94/82/423679482.db2.gz GPTPYLHBEFYNPR-AWEZNQCLSA-N -1 1 320.374 1.051 20 0 DDADMM C[C@]1(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CCc2ccccc21 ZINC000649210650 423679486 /nfs/dbraw/zinc/67/94/86/423679486.db2.gz GPTPYLHBEFYNPR-AWEZNQCLSA-N -1 1 320.374 1.051 20 0 DDADMM Cc1cc(CS(=O)(=O)Nc2cccc(C(=O)[O-])c2F)on1 ZINC000644629213 423734272 /nfs/dbraw/zinc/73/42/72/423734272.db2.gz DVVLGLWRVWKGCX-UHFFFAOYSA-N -1 1 314.294 1.762 20 0 DDADMM CC(=O)N1CC[C@@H](C[N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC000641599117 423745461 /nfs/dbraw/zinc/74/54/61/423745461.db2.gz YUPGAGRBMRSYLX-JTQLQIEISA-N -1 1 318.345 1.112 20 0 DDADMM N=c1[n-]nc([C@@H]2CCCN(Cc3cc(CO)ccc3F)C2)o1 ZINC000639820065 423755237 /nfs/dbraw/zinc/75/52/37/423755237.db2.gz MKAJFCXCXJOJBC-LLVKDONJSA-N -1 1 306.341 1.493 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(CC(F)F)c1)C1CC1 ZINC000647127232 423765594 /nfs/dbraw/zinc/76/55/94/423765594.db2.gz AZBBUQQMSXCDFX-SNVBAGLBSA-N -1 1 309.338 1.315 20 0 DDADMM CC(C)C[C@H](CNC(=O)NCc1n[nH]c(C2CC2)n1)CC(=O)[O-] ZINC000659404733 423803676 /nfs/dbraw/zinc/80/36/76/423803676.db2.gz NGGSAESZJBJMAU-JTQLQIEISA-N -1 1 323.397 1.618 20 0 DDADMM CC(=O)N[C@@H](C)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000656858168 423809693 /nfs/dbraw/zinc/80/96/93/423809693.db2.gz YAZDUUAYFQMDPU-NSHDSACASA-N -1 1 318.373 1.338 20 0 DDADMM CC(=O)Nc1ccc(S(=O)(=O)[N-][C@@H](C)C(F)F)c(F)c1 ZINC000641702616 423885756 /nfs/dbraw/zinc/88/57/56/423885756.db2.gz RXPADNKCDPVURX-LURJTMIESA-N -1 1 310.297 1.716 20 0 DDADMM O=C([O-])c1ccc(N2CCC(CCN3CCOCC3)CC2)nn1 ZINC000649387561 423837601 /nfs/dbraw/zinc/83/76/01/423837601.db2.gz ZIKIBJQZPCXDOB-UHFFFAOYSA-N -1 1 320.393 1.114 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cnn(Cc3ccccc3)c2)CC1 ZINC000641681418 423852774 /nfs/dbraw/zinc/85/27/74/423852774.db2.gz HEGZKBZDWGEPTJ-UHFFFAOYSA-N -1 1 321.402 1.852 20 0 DDADMM CC[C@@](C)(O)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656909935 423860529 /nfs/dbraw/zinc/86/05/29/423860529.db2.gz KLQBQXUGKSDKPW-SNVBAGLBSA-N -1 1 323.212 1.283 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cncc(F)c1)C1CCOCC1 ZINC000647275401 423940004 /nfs/dbraw/zinc/94/00/04/423940004.db2.gz ZUMQROUFEKVOEW-CYBMUJFWSA-N -1 1 318.370 1.404 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)N1CCc2ccccc2C1)C(=O)[O-] ZINC000647250660 423909440 /nfs/dbraw/zinc/90/94/40/423909440.db2.gz PJZSUKGKWNYVKE-CHWSQXEVSA-N -1 1 304.390 1.612 20 0 DDADMM Cn1[n-]c(CN2CCc3ccc(C(F)(F)F)cc3C2)nc1=O ZINC000639910717 423916555 /nfs/dbraw/zinc/91/65/55/423916555.db2.gz UBEXZURKJDWYSR-UHFFFAOYSA-N -1 1 312.295 1.686 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnccc1-n1cccn1)C(C)C ZINC000647266111 423929322 /nfs/dbraw/zinc/92/93/22/423929322.db2.gz OURAJYDFSSYRAD-CQSZACIVSA-N -1 1 324.406 1.680 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1[C@H]1CCCN(CC(F)(F)F)C1=O ZINC000647367427 424001680 /nfs/dbraw/zinc/00/16/80/424001680.db2.gz ZWGGDJIJORZOHM-MXWKQRLJSA-N -1 1 322.327 1.725 20 0 DDADMM NC(=O)c1csc(=N[C@@H]2CCN(c3ccc(F)cc3F)C2)[n-]1 ZINC000644936410 424058887 /nfs/dbraw/zinc/05/88/87/424058887.db2.gz ROZZEIQKXXIKNC-SECBINFHSA-N -1 1 324.356 1.633 20 0 DDADMM O=c1[n-]c([C@H]2CCCCN2CCCO[C@H]2CCCCO2)n[nH]1 ZINC000649595085 424067362 /nfs/dbraw/zinc/06/73/62/424067362.db2.gz DCVGHJOTFVHHTL-OLZOCXBDSA-N -1 1 310.398 1.971 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1nc(C)c(C)s1)[C@H]1CCOC1 ZINC000657047981 424036366 /nfs/dbraw/zinc/03/63/66/424036366.db2.gz XPFBREUUYRSLDG-WDEREUQCSA-N -1 1 320.436 1.090 20 0 DDADMM O=S(=O)([N-]CCCn1cncn1)c1sccc1Cl ZINC000649634980 424099048 /nfs/dbraw/zinc/09/90/48/424099048.db2.gz VRXXAWXAOUIOOD-UHFFFAOYSA-N -1 1 306.800 1.362 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(Cc2cccc(Cl)c2)CC1 ZINC000649760897 424186817 /nfs/dbraw/zinc/18/68/17/424186817.db2.gz JCZQVYANIDDSDF-UHFFFAOYSA-N -1 1 317.780 1.981 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(F)cc(OC)cc1F)C(C)(C)O ZINC000645109804 424163886 /nfs/dbraw/zinc/16/38/86/424163886.db2.gz TXBVLLYNUPJHJF-NSHDSACASA-N -1 1 323.361 1.801 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CCC(O)(CO)CC1 ZINC000640337518 424359750 /nfs/dbraw/zinc/35/97/50/424359750.db2.gz HTUYXHHZDDEJMD-UHFFFAOYSA-N -1 1 307.375 1.069 20 0 DDADMM CNC(=O)[C@@H]1CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640337952 424360242 /nfs/dbraw/zinc/36/02/42/424360242.db2.gz PSNDNXXCCBKGBE-SECBINFHSA-N -1 1 304.375 1.318 20 0 DDADMM CNC(=O)[C@]1(C)CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640338947 424360500 /nfs/dbraw/zinc/36/05/00/424360500.db2.gz INTRNAZHGBLLOM-OAHLLOKOSA-N -1 1 318.402 1.708 20 0 DDADMM CC(C)COC[C@H](O)CNC(=O)N=c1[n-]sc2ccccc21 ZINC000640341124 424365196 /nfs/dbraw/zinc/36/51/96/424365196.db2.gz RQFIJVCEMWGNJU-LLVKDONJSA-N -1 1 323.418 1.873 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCC2(CCCC2)O1 ZINC000655366138 424426284 /nfs/dbraw/zinc/42/62/84/424426284.db2.gz ORAQJLRVJBBNEQ-SECBINFHSA-N -1 1 323.349 1.118 20 0 DDADMM CC1(C)CNC(=O)[C@@H]1[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC000660018608 424462491 /nfs/dbraw/zinc/46/24/91/424462491.db2.gz VMBJXSZORIQKEW-JTQLQIEISA-N -1 1 320.773 1.282 20 0 DDADMM CCN([C@H](C)c1cccc(OC)c1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662204058 424470837 /nfs/dbraw/zinc/47/08/37/424470837.db2.gz SXUZXTHDGBVXHI-DOMZBBRYSA-N -1 1 320.389 1.764 20 0 DDADMM C[C@@]12COC[C@]1(C)CN(C(=O)c1nc3ccccc3c(=O)[n-]1)C2 ZINC000664347981 424552445 /nfs/dbraw/zinc/55/24/45/424552445.db2.gz CZUJUPLJIIDWQA-CALCHBBNSA-N -1 1 313.357 1.422 20 0 DDADMM C[C@H](CNC(=O)[C@H]1CCCCN1Cc1ccccc1)C(=O)[O-] ZINC000655562591 424570025 /nfs/dbraw/zinc/57/00/25/424570025.db2.gz YLNXPBWJYRSCHF-UKRRQHHQSA-N -1 1 304.390 1.878 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1C[C@H]1C(F)F ZINC000345807631 272339901 /nfs/dbraw/zinc/33/99/01/272339901.db2.gz NUUDGBDWXQWJKO-GHMZBOCLSA-N -1 1 324.331 1.754 20 0 DDADMM C[C@@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C(F)(F)F ZINC000347722591 272744371 /nfs/dbraw/zinc/74/43/71/272744371.db2.gz QKACWQRBQQYUOP-YFKPBYRVSA-N -1 1 312.269 1.177 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)N[C@@H]1CCOc2ccccc21)C(=O)[O-] ZINC000185051530 276287302 /nfs/dbraw/zinc/28/73/02/276287302.db2.gz LQTPJCJIZSEKGV-BNOWGMLFSA-N -1 1 320.389 1.858 20 0 DDADMM CN(C)c1n[nH]c([N-]C(=O)c2c(F)c(F)cc(F)c2F)n1 ZINC000340992539 276810136 /nfs/dbraw/zinc/81/01/36/276810136.db2.gz MRTFXYKXPCWVOU-UHFFFAOYSA-N -1 1 303.219 1.679 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@](F)(C(=O)[N-]C2=NCCS2)C1 ZINC000492970765 277194001 /nfs/dbraw/zinc/19/40/01/277194001.db2.gz ZQLCWLTXNJYNAF-CYBMUJFWSA-N -1 1 317.386 1.555 20 0 DDADMM CC(=O)N1CCC[C@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000192659622 278020081 /nfs/dbraw/zinc/02/00/81/278020081.db2.gz QXWFADCAHABUCI-ZETCQYMHSA-N -1 1 305.260 1.021 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCO[C@H]2CCC[C@@H]21 ZINC000295326028 280204009 /nfs/dbraw/zinc/20/40/09/280204009.db2.gz PAKRULDMTAHGID-QWRGUYRKSA-N -1 1 304.375 1.412 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2ccc(-c3nn[nH]n3)cc2)c1 ZINC000074804003 281251505 /nfs/dbraw/zinc/25/15/05/281251505.db2.gz GIVDDPCKAHFPFC-UHFFFAOYSA-N -1 1 315.358 1.976 20 0 DDADMM C[NH+](C)CCN(Cc1ccccn1)C(=O)c1ncccc1[O-] ZINC000126913626 281256466 /nfs/dbraw/zinc/25/64/66/281256466.db2.gz KEYOPTBYZVREFM-UHFFFAOYSA-N -1 1 300.362 1.386 20 0 DDADMM CO[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000128341118 281308004 /nfs/dbraw/zinc/30/80/04/281308004.db2.gz IMQAMJTWMPTHGX-RKDXNWHRSA-N -1 1 309.309 1.950 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(O)c(C)c2)o1 ZINC000151929961 281708925 /nfs/dbraw/zinc/70/89/25/281708925.db2.gz YFISAIBXZOHNMP-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NCc1ccoc1 ZINC000362155594 300017963 /nfs/dbraw/zinc/01/79/63/300017963.db2.gz NKLLGXRSVUXZGW-UHFFFAOYSA-N -1 1 324.340 1.592 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)C[C@@H](OC)C2CC2)CCCCC1 ZINC000575042867 304707877 /nfs/dbraw/zinc/70/78/77/304707877.db2.gz ZQMRHAYYCCSZJE-GFCCVEGCSA-N -1 1 319.423 1.207 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@@H]1CCCN1Cc1ccccn1 ZINC000370271000 301306253 /nfs/dbraw/zinc/30/62/53/301306253.db2.gz OMFBTQKFXMHAMA-NSHDSACASA-N -1 1 303.284 1.656 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@H]1CCCN1Cc1ccccn1 ZINC000370449658 301341818 /nfs/dbraw/zinc/34/18/18/301341818.db2.gz YJWGACYODNRPRD-UKRRQHHQSA-N -1 1 305.378 1.130 20 0 DDADMM O=C(Nc1ccc2c(c1)N[C@H](CO)CO2)c1ccccc1[O-] ZINC000375885360 302010767 /nfs/dbraw/zinc/01/07/67/302010767.db2.gz SVPJPANCONQYJD-LLVKDONJSA-N -1 1 300.314 1.810 20 0 DDADMM CCC(CC)NC(=O)[C@@H](C)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000377526117 302189632 /nfs/dbraw/zinc/18/96/32/302189632.db2.gz DJJVHKCTCWHMDH-SNVBAGLBSA-N -1 1 309.414 1.383 20 0 DDADMM COC[C@@]1(C[N-]C(=O)C(F)(F)F)OCCc2ccccc21 ZINC000377958456 302256774 /nfs/dbraw/zinc/25/67/74/302256774.db2.gz DUHIHTXPAPPKSY-CYBMUJFWSA-N -1 1 303.280 1.780 20 0 DDADMM CC(C)(C)OC(=O)NC1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000495525001 302417364 /nfs/dbraw/zinc/41/73/64/302417364.db2.gz RAUNCQIYXYQJBY-UHFFFAOYSA-N -1 1 321.377 1.917 20 0 DDADMM CCS(=O)(=O)N1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000507115439 302723025 /nfs/dbraw/zinc/72/30/25/302723025.db2.gz GZQLHLFRQGOWKC-UHFFFAOYSA-N -1 1 318.826 1.513 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@]1(C)CCCCO1 ZINC000520984953 302869031 /nfs/dbraw/zinc/86/90/31/302869031.db2.gz MLRATDPEOGJVPT-MRXNPFEDSA-N -1 1 318.377 1.627 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)sc1C ZINC000528544863 303042218 /nfs/dbraw/zinc/04/22/18/303042218.db2.gz AZLIJAHNQZEXGR-HLFIEIIFSA-N -1 1 304.437 1.850 20 0 DDADMM CC(C)[C@H]1CNCCN1C(=O)N=c1[n-]nc(C(F)(F)F)s1 ZINC000528665865 303054366 /nfs/dbraw/zinc/05/43/66/303054366.db2.gz GSYAJCCXGQZWFR-SSDOTTSWSA-N -1 1 323.344 1.441 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@]3(CCc4ccccc4O3)C2)nc1=O ZINC000528728881 303058512 /nfs/dbraw/zinc/05/85/12/303058512.db2.gz LSSUAPYOHTZOPZ-QGZVFWFLSA-N -1 1 314.389 1.468 20 0 DDADMM O=C(Cc1ccc(F)c(Cl)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000528881146 303069190 /nfs/dbraw/zinc/06/91/90/303069190.db2.gz HKWRJJFZAOJDFS-JTQLQIEISA-N -1 1 323.759 1.941 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cnn(C3CC3)c2)c1Br ZINC000529467050 303130940 /nfs/dbraw/zinc/13/09/40/303130940.db2.gz LNGIVDPHLMYCDQ-UHFFFAOYSA-N -1 1 310.155 1.686 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(F)(F)C[C@H]1C ZINC000530010509 303170145 /nfs/dbraw/zinc/17/01/45/303170145.db2.gz YCBDGYAAGLKJGS-ZCFIWIBFSA-N -1 1 303.265 1.156 20 0 DDADMM Cc1cc(=NC(=O)N[C@H](C)c2n[nH]c(C(C)C)n2)[n-]nc1C ZINC000534964564 303338691 /nfs/dbraw/zinc/33/86/91/303338691.db2.gz RKNHXMRTQCEGHF-SNVBAGLBSA-N -1 1 303.370 1.640 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)c2cccc(O)c2)c1 ZINC000537196728 303371702 /nfs/dbraw/zinc/37/17/02/303371702.db2.gz KGVLUAUBYNMLEK-UHFFFAOYSA-N -1 1 309.343 1.932 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]2CCCC[C@@H]12 ZINC000546789057 303518967 /nfs/dbraw/zinc/51/89/67/303518967.db2.gz BXWRUSSBVUHONV-MDZLAQPJSA-N -1 1 315.377 1.458 20 0 DDADMM O=C([O-])c1cc2c(cc1NS(=O)(=O)c1cccnc1)OCO2 ZINC000548197301 303577078 /nfs/dbraw/zinc/57/70/78/303577078.db2.gz LGTQYQUXFCENFD-UHFFFAOYSA-N -1 1 322.298 1.309 20 0 DDADMM O=C(CN1CCOCC1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000554549647 303701422 /nfs/dbraw/zinc/70/14/22/303701422.db2.gz NGBAJHACSIQHAT-UHFFFAOYSA-N -1 1 302.334 1.053 20 0 DDADMM CO[C@@H]1COC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000561098400 303830593 /nfs/dbraw/zinc/83/05/93/303830593.db2.gz UYDDHFDDHSONRZ-VHSXEESVSA-N -1 1 309.746 1.171 20 0 DDADMM CCCN(C)S(=O)(=O)[N-][C@@H](C(=O)OC)c1cccs1 ZINC000360220069 306977784 /nfs/dbraw/zinc/97/77/84/306977784.db2.gz NNMBZLGWVRTNIS-SNVBAGLBSA-N -1 1 306.409 1.138 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCNC(=O)C[C@@H]1C ZINC000363594318 307032263 /nfs/dbraw/zinc/03/22/63/307032263.db2.gz ZHNSNNYLEFQVMZ-VIFPVBQESA-N -1 1 320.393 1.139 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1Cc2ccccc2N(C)C1)c1nn[n-]n1 ZINC000363746958 307035016 /nfs/dbraw/zinc/03/50/16/307035016.db2.gz RGEMRLHWKIKXBC-DGCLKSJQSA-N -1 1 314.393 1.070 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@H](F)C[NH2+]1)c1cccc(Cl)c1F ZINC000370728809 307134258 /nfs/dbraw/zinc/13/42/58/307134258.db2.gz DJAOMNFHFFISTP-YUMQZZPRSA-N -1 1 310.753 1.457 20 0 DDADMM O=C(C1C2CC3CC(C2)CC1C3)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370849674 307138358 /nfs/dbraw/zinc/13/83/58/307138358.db2.gz DYVOYHZUJBPIGT-RVARXAPGSA-N -1 1 317.393 1.172 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCOC[C@@H](C)C1 ZINC000371328235 307147451 /nfs/dbraw/zinc/14/74/51/307147451.db2.gz TUNKKCHPVOVGQU-JTQLQIEISA-N -1 1 307.394 1.897 20 0 DDADMM CN1C(=O)CSC12CCN(C(=O)c1ccc([O-])cc1F)CC2 ZINC000371840654 307158777 /nfs/dbraw/zinc/15/87/77/307158777.db2.gz KKGAHLRENKHEHV-UHFFFAOYSA-N -1 1 324.377 1.669 20 0 DDADMM Cn1ccnc1-c1nnc([N-]C(=O)c2ccnc(F)c2F)s1 ZINC000373502877 307188604 /nfs/dbraw/zinc/18/86/04/307188604.db2.gz OXCNKHQXLSHQQU-UHFFFAOYSA-N -1 1 322.300 1.864 20 0 DDADMM O=C(N[C@H]1CCC(=O)N[C@H]1C1CC1)c1c(F)ccc([O-])c1F ZINC000375941995 307234650 /nfs/dbraw/zinc/23/46/50/307234650.db2.gz RBAVGPKKHZVXEN-XPTSAGLGSA-N -1 1 310.300 1.457 20 0 DDADMM C[C@@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C[C@@H](C2CC2)O1 ZINC000376596170 307248916 /nfs/dbraw/zinc/24/89/16/307248916.db2.gz BQPILNQOISRHMB-GPHWBKRBSA-N -1 1 315.377 1.373 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC(O)(c4ccccc4)C3)ccnc1-2 ZINC000376626144 307250242 /nfs/dbraw/zinc/25/02/42/307250242.db2.gz FWAHKNKGCFXQIO-ZHZULCJRSA-N -1 1 323.356 1.077 20 0 DDADMM CSCC[N@H+]1CCC[C@H](c2n[nH]cc2NS(C)(=O)=O)C1 ZINC000378530306 307292050 /nfs/dbraw/zinc/29/20/50/307292050.db2.gz FTLMCMVMALIYNF-JTQLQIEISA-N -1 1 318.468 1.324 20 0 DDADMM CC(C)OCCO[N-]C(=O)C1(CNC(=O)OC(C)(C)C)CC1 ZINC000496941144 307370687 /nfs/dbraw/zinc/37/06/87/307370687.db2.gz HJFIZONDRYZOMJ-UHFFFAOYSA-N -1 1 316.398 1.764 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)CCOc2ccc(F)cc2)cn1 ZINC000525670095 307484057 /nfs/dbraw/zinc/48/40/57/307484057.db2.gz HCLQPQWFKVHXKO-UHFFFAOYSA-N -1 1 311.338 1.745 20 0 DDADMM CC(C)Cc1nc(=NC[C@@H]2CCCCS2(=O)=O)s[n-]1 ZINC000541902872 307696137 /nfs/dbraw/zinc/69/61/37/307696137.db2.gz PZPKREDVAMLBRR-JTQLQIEISA-N -1 1 303.453 1.538 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N(CC1CC1)c1ccccc1 ZINC000542766282 307700321 /nfs/dbraw/zinc/70/03/21/307700321.db2.gz KECFJTAJSHLNMD-UHFFFAOYSA-N -1 1 309.329 1.474 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)Cc2ccc(F)cc2F)n1 ZINC000543726461 307710471 /nfs/dbraw/zinc/71/04/71/307710471.db2.gz ZFADTJLAVPKLJJ-ZETCQYMHSA-N -1 1 317.317 1.837 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CC[C@H](CO)[C@H](O)C1 ZINC000545989960 307723405 /nfs/dbraw/zinc/72/34/05/307723405.db2.gz CZEQOPSQWQSEBN-IUODEOHRSA-N -1 1 301.342 1.361 20 0 DDADMM CC(C)(C)c1nc(=NC[C@@H]2CCCCS2(=O)=O)s[n-]1 ZINC000548284753 307761891 /nfs/dbraw/zinc/76/18/91/307761891.db2.gz XSBFYOSXCWBIRG-VIFPVBQESA-N -1 1 303.453 1.637 20 0 DDADMM CCO[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000556308003 307848551 /nfs/dbraw/zinc/84/85/51/307848551.db2.gz BCSIGMQKVBFCQS-GHMZBOCLSA-N -1 1 304.437 1.996 20 0 DDADMM COCC(C)(C)N1CCN([C@@H](C(=O)[O-])c2ccccc2)CC1 ZINC000565107052 308020551 /nfs/dbraw/zinc/02/05/51/308020551.db2.gz VKFMLPUCZZETCQ-OAHLLOKOSA-N -1 1 306.406 1.855 20 0 DDADMM C[C@@]1(c2ccccc2)CCC[N@@H+](CC(=O)Nc2nnn[n-]2)CC1 ZINC000573454498 308241465 /nfs/dbraw/zinc/24/14/65/308241465.db2.gz XMOCPVKDHLULEO-MRXNPFEDSA-N -1 1 314.393 1.582 20 0 DDADMM Cn1ccnc1[C@@H]1C[C@H](NC(=O)c2cc(F)ccc2[O-])CCO1 ZINC000574134174 308262376 /nfs/dbraw/zinc/26/23/76/308262376.db2.gz RODXQOPFDZVFLI-RISCZKNCSA-N -1 1 319.336 1.915 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CC2(CCOCC2)[C@@H]1C1CC1 ZINC000576255155 308301327 /nfs/dbraw/zinc/30/13/27/308301327.db2.gz JZKSIIDBKWCJNX-HNNXBMFYSA-N -1 1 312.373 1.989 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)C(=O)OC ZINC000576818946 308341922 /nfs/dbraw/zinc/34/19/22/308341922.db2.gz FJMCGQNYQPXYOO-SRVKXCTJSA-N -1 1 307.412 1.063 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2CCCC[C@@H]2C2CC2)n1 ZINC000577398503 308386001 /nfs/dbraw/zinc/38/60/01/308386001.db2.gz CDFHGHJCZWVDJA-NXEZZACHSA-N -1 1 312.395 1.363 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2CCCC[C@@H]2C2CC2)[n-]1 ZINC000577398503 308386002 /nfs/dbraw/zinc/38/60/02/308386002.db2.gz CDFHGHJCZWVDJA-NXEZZACHSA-N -1 1 312.395 1.363 20 0 DDADMM O=C([O-])c1cccc(NS(=O)(=O)CCC(F)(F)F)c1O ZINC000578572843 308470459 /nfs/dbraw/zinc/47/04/59/308470459.db2.gz SMZAQJLILSNPFU-UHFFFAOYSA-N -1 1 313.253 1.785 20 0 DDADMM C[C@H](CC(=O)[O-])NS(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000417416090 533699280 /nfs/dbraw/zinc/69/92/80/533699280.db2.gz HOPWCLOIABHCJN-RXMQYKEDSA-N -1 1 313.709 1.760 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(c2cncc(F)c2)CC1 ZINC000583574244 335739429 /nfs/dbraw/zinc/73/94/29/335739429.db2.gz SBEUNHHLNCMXER-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM COCCCOc1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001120704588 782098919 /nfs/dbraw/zinc/09/89/19/782098919.db2.gz DZFFZJAARIJALN-UHFFFAOYSA-N -1 1 303.318 1.850 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])[C@H]1n1cccn1 ZINC000582538635 337106651 /nfs/dbraw/zinc/10/66/51/337106651.db2.gz VWLRGRSCIBMFFN-MPKXVKKWSA-N -1 1 302.334 1.132 20 0 DDADMM O=C([O-])c1cnc(NC[C@@H]2CC[N@@H+](Cc3ccccc3)C2)nc1 ZINC000394573900 337119255 /nfs/dbraw/zinc/11/92/55/337119255.db2.gz UUTVNJMRYUPIOM-AWEZNQCLSA-N -1 1 312.373 1.531 20 0 DDADMM COc1ccccc1NC(=O)CN1C[C@H](C(=O)[O-])CC[C@@H]1C ZINC000397023949 337243408 /nfs/dbraw/zinc/24/34/08/337243408.db2.gz MJAUYGCRNGNLEQ-NWDGAFQWSA-N -1 1 306.362 1.819 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(CC(F)F)CC1CCC1 ZINC000584497398 337350235 /nfs/dbraw/zinc/35/02/35/337350235.db2.gz WECYPYKUDRMKKB-UHFFFAOYSA-N -1 1 309.338 1.451 20 0 DDADMM CC(C)(C)n1cc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)cn1 ZINC000656554078 484206359 /nfs/dbraw/zinc/20/63/59/484206359.db2.gz CRDQVZLACKDWIU-UHFFFAOYSA-N -1 1 309.395 1.608 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)[C@]2(C(=O)[O-])CC2(C)C)C[C@H](C)O1 ZINC000663109141 484674111 /nfs/dbraw/zinc/67/41/11/484674111.db2.gz KETUWNBPCWKNDD-OZVIIMIRSA-N -1 1 312.410 1.103 20 0 DDADMM C[C@](NC(=O)[C@@H]1CCCc2[nH]ncc21)(C(=O)[O-])c1ccccc1 ZINC000663141178 484699420 /nfs/dbraw/zinc/69/94/20/484699420.db2.gz KMCBLIYYFYPSRV-SJKOYZFVSA-N -1 1 313.357 1.946 20 0 DDADMM CC(C)(C)C(=O)NCCNC(=O)c1ncc2ccccc2c1[O-] ZINC000667768336 484776374 /nfs/dbraw/zinc/77/63/74/484776374.db2.gz KXQUMSAZSMUOQR-UHFFFAOYSA-N -1 1 315.373 1.833 20 0 DDADMM C[C@H]1COCCN1CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000668255117 485056601 /nfs/dbraw/zinc/05/66/01/485056601.db2.gz ROCJXMBXVGWKMP-LBPRGKRZSA-N -1 1 315.373 1.391 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000669011934 485379103 /nfs/dbraw/zinc/37/91/03/485379103.db2.gz BRCOVYYQDCRWGY-NSHDSACASA-N -1 1 304.350 1.341 20 0 DDADMM CC[C@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@]2(CCOC2)O1 ZINC000673147567 485392435 /nfs/dbraw/zinc/39/24/35/485392435.db2.gz ZXPJRZQGEZLPIH-YOEHRIQHSA-N -1 1 319.357 1.371 20 0 DDADMM CCc1csc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000673440884 485412594 /nfs/dbraw/zinc/41/25/94/485412594.db2.gz RCWFHRKAGTUAFP-VIFPVBQESA-N -1 1 322.390 1.743 20 0 DDADMM CCO[C@@H]1CCCN(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC000673817548 485452472 /nfs/dbraw/zinc/45/24/72/485452472.db2.gz VJPPQYRJGJPMDC-SNVBAGLBSA-N -1 1 311.407 1.854 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCO1 ZINC000678960099 485740077 /nfs/dbraw/zinc/74/00/77/485740077.db2.gz GENNZKWPCBSAJW-RAIGVLPGSA-N -1 1 301.350 1.220 20 0 DDADMM O=C(CCC(=O)c1cccc(F)c1)NC1(c2nn[n-]n2)CC1 ZINC000682825254 485788727 /nfs/dbraw/zinc/78/87/27/485788727.db2.gz ZEEKHXTZXGANEV-UHFFFAOYSA-N -1 1 303.297 1.107 20 0 DDADMM CCC[C@H](NC(=O)CCOc1cccc(F)c1)c1nn[n-]n1 ZINC000675145518 485865379 /nfs/dbraw/zinc/86/53/79/485865379.db2.gz YDVYXSZMZRZKSZ-LBPRGKRZSA-N -1 1 307.329 1.765 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)N(C)CC(=O)[O-])N2CCCC2)o1 ZINC000679557539 485920672 /nfs/dbraw/zinc/92/06/72/485920672.db2.gz QSGFCIFNBYFIDD-GFCCVEGCSA-N -1 1 309.366 1.451 20 0 DDADMM CC(C)(C)NS(=O)(=O)CC(=O)Nc1ccc([O-])c(Cl)c1 ZINC000679645055 485956747 /nfs/dbraw/zinc/95/67/47/485956747.db2.gz UTJVYVKXOBMHRS-UHFFFAOYSA-N -1 1 320.798 1.702 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)CC[C@H]2CCCCO2)n[n-]1 ZINC000683688653 486080794 /nfs/dbraw/zinc/08/07/94/486080794.db2.gz WFEMSBIMSNNGRQ-SNVBAGLBSA-N -1 1 301.412 1.835 20 0 DDADMM CCC[C@H](NC(=O)c1c2c(nn1C)CCCC2)c1nn[n-]n1 ZINC000675823449 486046779 /nfs/dbraw/zinc/04/67/79/486046779.db2.gz YSQLNJYJXDZIDS-NSHDSACASA-N -1 1 303.370 1.083 20 0 DDADMM CCOc1cc(C(=O)NCc2cn(C)nn2)cc(Cl)c1[O-] ZINC000680819092 486272144 /nfs/dbraw/zinc/27/21/44/486272144.db2.gz HRZKCEVNIHPLJM-UHFFFAOYSA-N -1 1 310.741 1.503 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC3(C2)CC(F)(F)C3)o1 ZINC000676629422 486272424 /nfs/dbraw/zinc/27/24/24/486272424.db2.gz NGFHUEWGKBPRIN-UHFFFAOYSA-N -1 1 320.317 1.059 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(Cc2ccncc2)CC1 ZINC000681016690 486323485 /nfs/dbraw/zinc/32/34/85/486323485.db2.gz ZOQOCFXTDUJVDI-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM CCCNC(=O)C1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681014546 486323730 /nfs/dbraw/zinc/32/37/30/486323730.db2.gz PLYGCOOHLOHVAN-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM O=C(N[C@@H]1CCCc2c1cnn2CCO)c1ccc([O-])c(F)c1 ZINC000681059467 486334831 /nfs/dbraw/zinc/33/48/31/486334831.db2.gz TWHOUYGHGKPUEA-CYBMUJFWSA-N -1 1 319.336 1.528 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC2(O)CCC2)c(F)c1 ZINC000676848371 486345192 /nfs/dbraw/zinc/34/51/92/486345192.db2.gz FODAMJKQJMIBFV-UHFFFAOYSA-N -1 1 307.318 1.167 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC(C(C)C)C1 ZINC000677118323 486395484 /nfs/dbraw/zinc/39/54/84/486395484.db2.gz NNKCBFJIRNRCKM-UHFFFAOYSA-N -1 1 302.378 1.709 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(Cl)c1 ZINC000681353511 486410788 /nfs/dbraw/zinc/41/07/88/486410788.db2.gz NZPFXGYCXJFXHE-MRVPVSSYSA-N -1 1 309.757 1.737 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H](C)C(=O)c1ccccc1)c1nn[n-]n1 ZINC000681353831 486411484 /nfs/dbraw/zinc/41/14/84/486411484.db2.gz WACHXSIEFRLHMG-NWDGAFQWSA-N -1 1 315.377 1.671 20 0 DDADMM CCn1cnc(S(=O)(=O)[N-]c2[nH]nc(C(F)(F)F)c2C)c1 ZINC000677232719 486421359 /nfs/dbraw/zinc/42/13/59/486421359.db2.gz DLIXAJZJTXRXTQ-UHFFFAOYSA-N -1 1 323.300 1.754 20 0 DDADMM CC(C)N(CC(N)=O)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684870141 486449993 /nfs/dbraw/zinc/44/99/93/486449993.db2.gz OPKAONJSSYRNAK-UHFFFAOYSA-N -1 1 304.268 1.747 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(C)c(S(C)(=O)=O)c2)c1[O-] ZINC000677602714 486504693 /nfs/dbraw/zinc/50/46/93/486504693.db2.gz LCIIMVWFEQEJBE-UHFFFAOYSA-N -1 1 309.347 1.388 20 0 DDADMM O=C(N[C@H]1CC[C@H](CO)CC1)c1nc2ccccc2c(=O)[n-]1 ZINC000127125370 490665537 /nfs/dbraw/zinc/66/55/37/490665537.db2.gz QMWGNRTUIJVIBC-PHIMTYICSA-N -1 1 301.346 1.204 20 0 DDADMM C[C@H]1COC(C)(C)CN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000332525363 534018357 /nfs/dbraw/zinc/01/83/57/534018357.db2.gz BUPPMCHCTIGWOU-JTQLQIEISA-N -1 1 301.346 1.563 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)COC(C)C)o1 ZINC000416622348 534237915 /nfs/dbraw/zinc/23/79/15/534237915.db2.gz JZJBROUYSBXXEN-UHFFFAOYSA-N -1 1 319.379 1.548 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncc(C)cc1[O-])c1cccs1 ZINC000494833025 534276133 /nfs/dbraw/zinc/27/61/33/534276133.db2.gz GDWIAYJEBRVOAE-LBPRGKRZSA-N -1 1 306.343 1.801 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)c2ccc3c(c2)OCO3)n1 ZINC000432662911 534307660 /nfs/dbraw/zinc/30/76/60/534307660.db2.gz BZDAXRQFXPTRQY-UHFFFAOYSA-N -1 1 320.326 1.900 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCC[C@@]2(C)CO)sc1C ZINC000328849725 534520594 /nfs/dbraw/zinc/52/05/94/534520594.db2.gz YXEIQNSUGUFMPL-JQWIXIFHSA-N -1 1 304.437 1.589 20 0 DDADMM NC(=O)c1csc(=N[C@@H]2CCN(c3ncccc3Cl)C2)[n-]1 ZINC000432332734 534522852 /nfs/dbraw/zinc/52/28/52/534522852.db2.gz BJGCGDIEBLNWPE-MRVPVSSYSA-N -1 1 323.809 1.403 20 0 DDADMM N=c1nc(N2CCN(Cc3ncc(C4CC4)o3)CC2)s[n-]1 ZINC000328810231 534840522 /nfs/dbraw/zinc/84/05/22/534840522.db2.gz ANJMEYIAFNKSHH-UHFFFAOYSA-N -1 1 306.395 1.138 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCO1)c1ccc(Br)o1 ZINC000330813451 534868133 /nfs/dbraw/zinc/86/81/33/534868133.db2.gz KYOJZUITIGVWED-SSDOTTSWSA-N -1 1 310.169 1.499 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@](C)(C(=O)[N-]O[C@@H]2CCOC2)C1 ZINC000495158952 526423446 /nfs/dbraw/zinc/42/34/46/526423446.db2.gz YMNWADCNOCIHGZ-ABAIWWIYSA-N -1 1 314.382 1.470 20 0 DDADMM CC(C)(NC(=O)C=Cc1cc(F)c(F)c(F)c1)c1nn[n-]n1 ZINC000492648562 527264298 /nfs/dbraw/zinc/26/42/98/527264298.db2.gz YPALDDUXVGPARG-ARJAWSKDSA-N -1 1 311.267 1.682 20 0 DDADMM CCO[C@H]1C[C@@H](NC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000457887981 528081334 /nfs/dbraw/zinc/08/13/34/528081334.db2.gz ROOXGZGXRXQJBC-TXEJJXNPSA-N -1 1 318.377 1.278 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)OCc1ccc(F)cc1)c1nn[n-]n1 ZINC000294781595 528198149 /nfs/dbraw/zinc/19/81/49/528198149.db2.gz ACRDWFPISRYWHL-GWCFXTLKSA-N -1 1 321.356 1.902 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCC1(F)F)C(=O)OC ZINC000451383182 529017734 /nfs/dbraw/zinc/01/77/34/529017734.db2.gz ZGFAKWYJJQDEIU-VHSXEESVSA-N -1 1 313.366 1.683 20 0 DDADMM CCS[C@H]1CCCC[C@@H]1NS(=O)(=O)c1c(C)o[n-]c1=N ZINC000330898216 529227000 /nfs/dbraw/zinc/22/70/00/529227000.db2.gz UVHWTDGEFGENFY-UWVGGRQHSA-N -1 1 319.452 1.738 20 0 DDADMM CCS[C@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000330898216 529227002 /nfs/dbraw/zinc/22/70/02/529227002.db2.gz UVHWTDGEFGENFY-UWVGGRQHSA-N -1 1 319.452 1.738 20 0 DDADMM OCC[C@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000738394430 598853834 /nfs/dbraw/zinc/85/38/34/598853834.db2.gz RIDXITYWZJKFKZ-SECBINFHSA-N -1 1 308.773 1.514 20 0 DDADMM OCC[C@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000738394430 598853836 /nfs/dbraw/zinc/85/38/36/598853836.db2.gz RIDXITYWZJKFKZ-SECBINFHSA-N -1 1 308.773 1.514 20 0 DDADMM CNC(=O)c1cccc(CCNc2cccc(-c3nnn[n-]3)n2)c1 ZINC000736884065 598973467 /nfs/dbraw/zinc/97/34/67/598973467.db2.gz ZZTQXMXCTJXANU-UHFFFAOYSA-N -1 1 323.360 1.276 20 0 DDADMM CNC(=O)c1cccc(CCNc2cccc(-c3nn[n-]n3)n2)c1 ZINC000736884065 598973469 /nfs/dbraw/zinc/97/34/69/598973469.db2.gz ZZTQXMXCTJXANU-UHFFFAOYSA-N -1 1 323.360 1.276 20 0 DDADMM CCN(Cc1cccs1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736556134 598983333 /nfs/dbraw/zinc/98/33/33/598983333.db2.gz NFCPJJNSKKYINN-UHFFFAOYSA-N -1 1 314.374 1.986 20 0 DDADMM CCN(Cc1cccs1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736556134 598983334 /nfs/dbraw/zinc/98/33/34/598983334.db2.gz NFCPJJNSKKYINN-UHFFFAOYSA-N -1 1 314.374 1.986 20 0 DDADMM Cc1noc(C)c1COC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737535742 599133114 /nfs/dbraw/zinc/13/31/14/599133114.db2.gz BDTJRAFQKBMQBS-UHFFFAOYSA-N -1 1 300.278 1.224 20 0 DDADMM Cc1noc(C)c1COC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737535742 599133116 /nfs/dbraw/zinc/13/31/16/599133116.db2.gz BDTJRAFQKBMQBS-UHFFFAOYSA-N -1 1 300.278 1.224 20 0 DDADMM CCc1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)nc(C)n1 ZINC000736678326 599223867 /nfs/dbraw/zinc/22/38/67/599223867.db2.gz XRRPRTDMQBRCNL-UHFFFAOYSA-N -1 1 310.321 1.175 20 0 DDADMM CCc1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)nc(C)n1 ZINC000736678326 599223869 /nfs/dbraw/zinc/22/38/69/599223869.db2.gz XRRPRTDMQBRCNL-UHFFFAOYSA-N -1 1 310.321 1.175 20 0 DDADMM CS[C@H]1CCC[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000737170141 599227068 /nfs/dbraw/zinc/22/70/68/599227068.db2.gz GWWBHZNOJZTZTG-MNOVXSKESA-N -1 1 318.406 1.666 20 0 DDADMM CS[C@H]1CCC[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000737170141 599227071 /nfs/dbraw/zinc/22/70/71/599227071.db2.gz GWWBHZNOJZTZTG-MNOVXSKESA-N -1 1 318.406 1.666 20 0 DDADMM Cc1nnc(SCCC(=O)N[C@H](CC(C)C)C(=O)[O-])[nH]1 ZINC000819036155 597032964 /nfs/dbraw/zinc/03/29/64/597032964.db2.gz ZGHIWWAFOUYPTJ-SECBINFHSA-N -1 1 300.384 1.211 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(Cc2cc(=O)n3ccsc3n2)C1 ZINC000054300582 597075232 /nfs/dbraw/zinc/07/52/32/597075232.db2.gz BKXIQWGDMQJXJV-JTQLQIEISA-N -1 1 307.375 1.443 20 0 DDADMM CCCc1ccc(C(=O)N=c2cc[nH]cc2-c2nn[n-]n2)cc1 ZINC000736496914 599249415 /nfs/dbraw/zinc/24/94/15/599249415.db2.gz LNILANFNTYDYHF-UHFFFAOYSA-N -1 1 308.345 1.888 20 0 DDADMM CCOc1cc(C(=O)N=c2cc[nH]cc2-c2nn[n-]n2)ccc1C ZINC000736626949 599256946 /nfs/dbraw/zinc/25/69/46/599256946.db2.gz NDMHATWSENIFJY-UHFFFAOYSA-N -1 1 324.344 1.643 20 0 DDADMM Cc1ccc([C@@H]2CC(=O)N(CN3CC[C@@H](C(=O)[O-])C3)C2)cc1 ZINC000821075610 597759321 /nfs/dbraw/zinc/75/93/21/597759321.db2.gz CSJLHCYYTLYRMR-HUUCEWRRSA-N -1 1 302.374 1.675 20 0 DDADMM CN(CC(=O)N1CCC[C@H](CC(=O)[O-])C1)[C@H]1CCSC1 ZINC000820554353 598053358 /nfs/dbraw/zinc/05/33/58/598053358.db2.gz OFPRRXBRQSLGAL-NEPJUHHUSA-N -1 1 300.424 1.137 20 0 DDADMM c1ccc2c(c1)CC[C@@H](CNc1nccnc1-c1nnn[n-]1)N2 ZINC000738435926 598245002 /nfs/dbraw/zinc/24/50/02/598245002.db2.gz FFCBKTATLJXCRS-NSHDSACASA-N -1 1 308.349 1.496 20 0 DDADMM c1ccc2c(c1)CC[C@@H](CNc1nccnc1-c1nn[n-]n1)N2 ZINC000738435926 598245003 /nfs/dbraw/zinc/24/50/03/598245003.db2.gz FFCBKTATLJXCRS-NSHDSACASA-N -1 1 308.349 1.496 20 0 DDADMM CCC[C@@H](C)N(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736421748 598265935 /nfs/dbraw/zinc/26/59/35/598265935.db2.gz CYRBSDMFKOPXCE-SECBINFHSA-N -1 1 310.383 1.071 20 0 DDADMM CCC[C@@H](C)N(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736421748 598265937 /nfs/dbraw/zinc/26/59/37/598265937.db2.gz CYRBSDMFKOPXCE-SECBINFHSA-N -1 1 310.383 1.071 20 0 DDADMM CC(=O)Nc1ccc(CNc2nccnc2-c2nnn[n-]2)cc1 ZINC000735485880 598340442 /nfs/dbraw/zinc/34/04/42/598340442.db2.gz XLGWAXGJJVWMBC-UHFFFAOYSA-N -1 1 310.321 1.227 20 0 DDADMM CC(=O)Nc1ccc(CNc2nccnc2-c2nn[n-]n2)cc1 ZINC000735485880 598340444 /nfs/dbraw/zinc/34/04/44/598340444.db2.gz XLGWAXGJJVWMBC-UHFFFAOYSA-N -1 1 310.321 1.227 20 0 DDADMM c1ccc([C@H]2CN(c3nccnc3-c3nnn[n-]3)CCO2)cc1 ZINC000738425946 598341754 /nfs/dbraw/zinc/34/17/54/598341754.db2.gz CNGKHANICDXXKH-GFCCVEGCSA-N -1 1 309.333 1.235 20 0 DDADMM c1ccc([C@H]2CN(c3nccnc3-c3nn[n-]n3)CCO2)cc1 ZINC000738425946 598341755 /nfs/dbraw/zinc/34/17/55/598341755.db2.gz CNGKHANICDXXKH-GFCCVEGCSA-N -1 1 309.333 1.235 20 0 DDADMM COc1nc(C)cc(C)c1CNc1nccnc1-c1nnn[n-]1 ZINC000737163251 598458648 /nfs/dbraw/zinc/45/86/48/598458648.db2.gz IBQPMUKFBKCTJU-UHFFFAOYSA-N -1 1 312.337 1.289 20 0 DDADMM COc1nc(C)cc(C)c1CNc1nccnc1-c1nn[n-]n1 ZINC000737163251 598458649 /nfs/dbraw/zinc/45/86/49/598458649.db2.gz IBQPMUKFBKCTJU-UHFFFAOYSA-N -1 1 312.337 1.289 20 0 DDADMM CCOCCN(CC)c1snc(Cl)c1-c1nnn[n-]1 ZINC000736618213 598467242 /nfs/dbraw/zinc/46/72/42/598467242.db2.gz JJFYPFBCYQRCPB-UHFFFAOYSA-N -1 1 302.791 1.839 20 0 DDADMM CCOCCN(CC)c1snc(Cl)c1-c1nn[n-]n1 ZINC000736618213 598467244 /nfs/dbraw/zinc/46/72/44/598467244.db2.gz JJFYPFBCYQRCPB-UHFFFAOYSA-N -1 1 302.791 1.839 20 0 DDADMM COc1cccc(-c2noc(-c3ccc(-c4nnn[n-]4)nc3)n2)c1 ZINC000821854722 599309256 /nfs/dbraw/zinc/30/92/56/599309256.db2.gz SQYYUIORLGTVSX-UHFFFAOYSA-N -1 1 321.300 1.987 20 0 DDADMM COc1cccc(-c2noc(-c3ccc(-c4nn[n-]n4)nc3)n2)c1 ZINC000821854722 599309259 /nfs/dbraw/zinc/30/92/59/599309259.db2.gz SQYYUIORLGTVSX-UHFFFAOYSA-N -1 1 321.300 1.987 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)C1 ZINC000820726968 599341842 /nfs/dbraw/zinc/34/18/42/599341842.db2.gz DQSNODMCNFQJNW-QMMMGPOBSA-N -1 1 311.342 1.036 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)C1 ZINC000820726968 599341844 /nfs/dbraw/zinc/34/18/44/599341844.db2.gz DQSNODMCNFQJNW-QMMMGPOBSA-N -1 1 311.342 1.036 20 0 DDADMM c1ccc2c(c1)CC[C@@H]1[C@H](Nc3nccnc3-c3nnn[n-]3)[C@H]21 ZINC000823798152 607384745 /nfs/dbraw/zinc/38/47/45/607384745.db2.gz UQPBXTVNLNUBND-XQQFMLRXSA-N -1 1 305.345 1.797 20 0 DDADMM c1ccc2c(c1)CC[C@@H]1[C@H](Nc3nccnc3-c3nn[n-]n3)[C@H]21 ZINC000823798152 607384747 /nfs/dbraw/zinc/38/47/47/607384747.db2.gz UQPBXTVNLNUBND-XQQFMLRXSA-N -1 1 305.345 1.797 20 0 DDADMM CC(C)C[C@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000820067801 599652563 /nfs/dbraw/zinc/65/25/63/599652563.db2.gz LNLLKMUCUGCXJH-LLVKDONJSA-N -1 1 300.366 1.770 20 0 DDADMM CC(C)C[C@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000820067801 599652564 /nfs/dbraw/zinc/65/25/64/599652564.db2.gz LNLLKMUCUGCXJH-LLVKDONJSA-N -1 1 300.366 1.770 20 0 DDADMM CC(C)c1ccc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000314213431 599775776 /nfs/dbraw/zinc/77/57/76/599775776.db2.gz SCACXWOUFIJNPB-AWEZNQCLSA-N -1 1 301.346 1.959 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)CN(C[C@@H](C)C(=O)[O-])C1CC1 ZINC000738911434 599949360 /nfs/dbraw/zinc/94/93/60/599949360.db2.gz QHSIWHPULIQQRB-SECBINFHSA-N -1 1 308.382 1.161 20 0 DDADMM CC[C@@H]1CN([C@@H](C(=O)[O-])c2ccc(F)cc2)CC[N@H+]1CCOC ZINC000736943131 599960460 /nfs/dbraw/zinc/96/04/60/599960460.db2.gz IDRQVSRQMCPPMU-HZPDHXFCSA-N -1 1 324.396 1.994 20 0 DDADMM CC1CCN(CC(=O)N2Cc3ccccc3C[C@H]2C(=O)[O-])CC1 ZINC000736797672 600009378 /nfs/dbraw/zinc/00/93/78/600009378.db2.gz NJQZDNYFXRSPLH-INIZCTEOSA-N -1 1 316.401 1.756 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCC(c3ccccc3)=N2)C1 ZINC000738537522 600137663 /nfs/dbraw/zinc/13/76/63/600137663.db2.gz GMGUWIRDFWZPFU-CQSZACIVSA-N -1 1 315.373 1.420 20 0 DDADMM CC(C)[C@@H](C(=O)Nc1ccc2[nH]nc(C(=O)[O-])c2c1)N(C)C ZINC000736349112 600247368 /nfs/dbraw/zinc/24/73/68/600247368.db2.gz FZHPVUKDEGJLIF-ZDUSSCGKSA-N -1 1 304.350 1.786 20 0 DDADMM C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cn1cnc(C(=O)[O-])n1 ZINC000736764430 600259639 /nfs/dbraw/zinc/25/96/39/600259639.db2.gz WOPPVZPNZQKDHO-JQWIXIFHSA-N -1 1 304.325 1.951 20 0 DDADMM CCOC[C@@H]1CCCN(CC(=O)N[C@@H](C(=O)[O-])[C@H](C)CC)C1 ZINC000737162948 600286981 /nfs/dbraw/zinc/28/69/81/600286981.db2.gz UVKMDPSSIPHVMC-UMVBOHGHSA-N -1 1 314.426 1.350 20 0 DDADMM C[C@H]1CO[C@H](c2ccccc2)CN1CCC(=O)NCC(=O)[O-] ZINC000736823178 600297900 /nfs/dbraw/zinc/29/79/00/600297900.db2.gz VQYKFLFKGCFKSE-JSGCOSHPSA-N -1 1 306.362 1.039 20 0 DDADMM C[C@H](CN(C)CC(=O)N(C)c1nc2ccccc2s1)C(=O)[O-] ZINC000736570063 600372085 /nfs/dbraw/zinc/37/20/85/600372085.db2.gz ASAIZNLKLPAITI-SNVBAGLBSA-N -1 1 321.402 1.912 20 0 DDADMM O=C([O-])[C@H](c1ccccc1)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000738523390 600404856 /nfs/dbraw/zinc/40/48/56/600404856.db2.gz BEBWNISYNSESEN-XHSDSOJGSA-N -1 1 304.390 1.343 20 0 DDADMM CC(C)(NCC(=O)NCCCSc1ccccc1)C(=O)[O-] ZINC000736338993 600459399 /nfs/dbraw/zinc/45/93/99/600459399.db2.gz AUBCYBFKPIUBFP-UHFFFAOYSA-N -1 1 310.419 1.738 20 0 DDADMM O=C([O-])[C@H](NCCS(=O)(=O)c1ccccc1)c1ccccc1 ZINC000739498748 600500361 /nfs/dbraw/zinc/50/03/61/600500361.db2.gz DEUKDLQRUBZFQD-OAHLLOKOSA-N -1 1 319.382 1.876 20 0 DDADMM COCC1CCN(CC(=O)NC2(C(=O)[O-])CCCCC2)CC1 ZINC000737752150 600501485 /nfs/dbraw/zinc/50/14/85/600501485.db2.gz SNFIMKVUYQHRJQ-UHFFFAOYSA-N -1 1 312.410 1.249 20 0 DDADMM COC(=O)CCN(Cc1occc1C(=O)[O-])C[C@@H]1CCCO1 ZINC000737598675 600516126 /nfs/dbraw/zinc/51/61/26/600516126.db2.gz IIAMWLFPCUUNOR-NSHDSACASA-N -1 1 311.334 1.522 20 0 DDADMM CC(C)N(CCC(=O)[O-])CN1C(=O)C[C@@]2(CCSC2)C1=O ZINC000736469060 600609003 /nfs/dbraw/zinc/60/90/03/600609003.db2.gz WSVFEGPSRNSEIY-CQSZACIVSA-N -1 1 314.407 1.011 20 0 DDADMM O=C([O-])[C@H]1CCCN([C@H]2CC(=O)N(c3ccccc3)C2=O)C1 ZINC000387567185 600685209 /nfs/dbraw/zinc/68/52/09/600685209.db2.gz VWKRFTLBIDJVJG-AAEUAGOBSA-N -1 1 302.330 1.115 20 0 DDADMM C[C@@](O)(CN1CCn2cccc2[C@@H]1c1ccccc1)C(=O)[O-] ZINC000736671430 600762087 /nfs/dbraw/zinc/76/20/87/600762087.db2.gz SWEZYSPJOWWDMM-DOTOQJQBSA-N -1 1 300.358 1.729 20 0 DDADMM CC(C)C[C@@H](CNC(=O)Cc1[nH]nc2ccccc21)C(=O)[O-] ZINC000262420083 600926185 /nfs/dbraw/zinc/92/61/85/600926185.db2.gz CPRRLMXQLAPQCG-NSHDSACASA-N -1 1 303.362 1.969 20 0 DDADMM COCC[N@H+]1CCC[C@H](NC(=O)[C@H]2CCC[C@H](C(=O)[O-])C2)C1 ZINC000399484188 600936337 /nfs/dbraw/zinc/93/63/37/600936337.db2.gz DVWSONWEIDNSJL-IHRRRGAJSA-N -1 1 312.410 1.104 20 0 DDADMM COCCN1CCC[C@H](NC(=O)[C@H]2CCC[C@H](C(=O)[O-])C2)C1 ZINC000399484188 600936339 /nfs/dbraw/zinc/93/63/39/600936339.db2.gz DVWSONWEIDNSJL-IHRRRGAJSA-N -1 1 312.410 1.104 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccccc2O)CC1 ZINC000827361875 601103907 /nfs/dbraw/zinc/10/39/07/601103907.db2.gz GKXMDHWGKWWUKJ-ZDUSSCGKSA-N -1 1 320.389 1.332 20 0 DDADMM Cc1nnc([C@@H]2CN([C@@H](C(=O)[O-])c3ccccc3F)CCO2)[nH]1 ZINC000738824404 601106436 /nfs/dbraw/zinc/10/64/36/601106436.db2.gz VWRIQXHQRVYWLO-QWHCGFSZSA-N -1 1 320.324 1.451 20 0 DDADMM O=C(CN1CCN2CCCC[C@H]2C1)NC1(C(=O)[O-])CCCCC1 ZINC000833108639 601171297 /nfs/dbraw/zinc/17/12/97/601171297.db2.gz UTAFCROOTMRAHT-AWEZNQCLSA-N -1 1 323.437 1.060 20 0 DDADMM OC[C@H](CC(F)(F)F)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000826506863 607935503 /nfs/dbraw/zinc/93/55/03/607935503.db2.gz DAIWFTCCPXMTJR-YFKPBYRVSA-N -1 1 322.678 1.640 20 0 DDADMM OC[C@H](CC(F)(F)F)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000826506863 607935504 /nfs/dbraw/zinc/93/55/04/607935504.db2.gz DAIWFTCCPXMTJR-YFKPBYRVSA-N -1 1 322.678 1.640 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCC(=O)Nc1ccc(C(C)=O)cc1 ZINC000831577529 601486301 /nfs/dbraw/zinc/48/63/01/601486301.db2.gz HNGCVHDFDJGUSU-MRXNPFEDSA-N -1 1 322.361 1.297 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccnn2C2CCCC2)C[C@H]1C(=O)[O-] ZINC000828441295 601528182 /nfs/dbraw/zinc/52/81/82/601528182.db2.gz OTYUGGKZTYTNDL-WCQYABFASA-N -1 1 320.393 1.589 20 0 DDADMM Cc1cc(CC(=O)OCC(=O)Nc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000832882998 601610131 /nfs/dbraw/zinc/61/01/31/601610131.db2.gz FOLVMTZPWVRVMX-UHFFFAOYSA-N -1 1 317.301 1.141 20 0 DDADMM O=C([O-])Nc1cccc(C(=O)Nc2nc(SCCO)n[nH]2)c1 ZINC000833291744 601881726 /nfs/dbraw/zinc/88/17/26/601881726.db2.gz QVXFNYDQFVPUPX-UHFFFAOYSA-N -1 1 323.334 1.231 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C[C@H](O)c1ccc(F)cc1F ZINC000833221761 601891583 /nfs/dbraw/zinc/89/15/83/601891583.db2.gz PFDBYFKLOJPZDJ-GWCFXTLKSA-N -1 1 317.357 1.890 20 0 DDADMM C[C@H](C(=O)N1CCCCC1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091131234 601921260 /nfs/dbraw/zinc/92/12/60/601921260.db2.gz HIMCDWWCXGROLJ-NEPJUHHUSA-N -1 1 300.424 1.280 20 0 DDADMM C[C@H](C(=O)NCc1ccc(F)cc1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738630965 601948894 /nfs/dbraw/zinc/94/88/94/601948894.db2.gz UNEHSZXDFBZGEY-YPMHNXCESA-N -1 1 323.368 1.420 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738628531 601961310 /nfs/dbraw/zinc/96/13/10/601961310.db2.gz KFTNOPAISQVGGA-TZMCWYRMSA-N -1 1 305.378 1.281 20 0 DDADMM O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000833232654 602020014 /nfs/dbraw/zinc/02/00/14/602020014.db2.gz FMUVCYXQNKCQDB-NWDGAFQWSA-N -1 1 321.377 1.312 20 0 DDADMM O=c1c(C(F)(F)F)cccn1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826501270 607544882 /nfs/dbraw/zinc/54/48/82/607544882.db2.gz IASJJMZAZYQOFW-UHFFFAOYSA-N -1 1 322.250 1.491 20 0 DDADMM O=c1c(C(F)(F)F)cccn1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826501270 607544884 /nfs/dbraw/zinc/54/48/84/607544884.db2.gz IASJJMZAZYQOFW-UHFFFAOYSA-N -1 1 322.250 1.491 20 0 DDADMM CCOC(=O)C[C@@H](C)SCc1ccnc(-c2nnn[n-]2)c1 ZINC000825507333 607547063 /nfs/dbraw/zinc/54/70/63/607547063.db2.gz QDGFGFCCGLAJQV-SECBINFHSA-N -1 1 307.379 1.837 20 0 DDADMM CCOC(=O)C[C@@H](C)SCc1ccnc(-c2nn[n-]n2)c1 ZINC000825507333 607547064 /nfs/dbraw/zinc/54/70/64/607547064.db2.gz QDGFGFCCGLAJQV-SECBINFHSA-N -1 1 307.379 1.837 20 0 DDADMM O=C([O-])COc1ccc(C(=O)Nc2ccc3[nH]nnc3c2)cc1 ZINC000833253530 602194025 /nfs/dbraw/zinc/19/40/25/602194025.db2.gz IRBVFDLJGKNWNK-UHFFFAOYSA-N -1 1 312.285 1.674 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCCN1Cc1cccc(C(N)=O)c1 ZINC000738999866 602262599 /nfs/dbraw/zinc/26/25/99/602262599.db2.gz IMGKQWIIOCYBJM-FZMZJTMJSA-N -1 1 305.378 1.796 20 0 DDADMM C[C@@H]1CCCCN1C(=O)CN1CCC(CN(C)C(=O)[O-])CC1 ZINC000739104800 602408021 /nfs/dbraw/zinc/40/80/21/602408021.db2.gz JPLOYBKPXXGROB-CYBMUJFWSA-N -1 1 311.426 1.709 20 0 DDADMM O=C([O-])N1CC[C@@H](CCNC(=O)c2cc(F)cc3nc[nH]c32)C1 ZINC000740431316 602412317 /nfs/dbraw/zinc/41/23/17/602412317.db2.gz WNQUBVKZKVMTNS-SECBINFHSA-N -1 1 320.324 1.822 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(CC(=O)NC(C2CC2)C2CC2)CC1 ZINC000739026558 602448961 /nfs/dbraw/zinc/44/89/61/602448961.db2.gz MGHMFGZROAVOLT-LLVKDONJSA-N -1 1 323.437 1.659 20 0 DDADMM C[C@H](C1CC1)N(C(=O)CN1CCC(N(C)C(=O)[O-])CC1)C1CC1 ZINC000737660074 602534016 /nfs/dbraw/zinc/53/40/16/602534016.db2.gz LBCOHUSVZPOWPX-GFCCVEGCSA-N -1 1 323.437 1.850 20 0 DDADMM CN(C[C@H]1CCN(CC(=O)NCC2CCCCC2)C1)C(=O)[O-] ZINC000739660466 602538910 /nfs/dbraw/zinc/53/89/10/602538910.db2.gz IIYKXLUTOAWFJP-CQSZACIVSA-N -1 1 311.426 1.615 20 0 DDADMM C[C@H](N[C@@H]1CCN(C(=O)[O-])C1)C(=O)N1c2ccccc2C[C@@H]1C ZINC000739034431 602569707 /nfs/dbraw/zinc/56/97/07/602569707.db2.gz YABVPHAVDILMGL-SGMGOOAPSA-N -1 1 317.389 1.695 20 0 DDADMM Cc1ncc(C(=O)N2CCC[C@H]([C@H]3CCCN3C(=O)[O-])C2)[nH]1 ZINC000740206625 602589866 /nfs/dbraw/zinc/58/98/66/602589866.db2.gz JCCLEXXSOCWWOS-WCQYABFASA-N -1 1 306.366 1.713 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)NC1CCN(C(=O)[O-])CC1 ZINC000737358449 602684469 /nfs/dbraw/zinc/68/44/69/602684469.db2.gz MNKOKPHYJWTXHB-OAHLLOKOSA-N -1 1 319.405 1.418 20 0 DDADMM CN1CCCC[C@H]1C(=O)NCC1(NC(=O)[O-])CCCCCC1 ZINC000739727323 602822830 /nfs/dbraw/zinc/82/28/30/602822830.db2.gz OBZIYXXNBHZKHM-ZDUSSCGKSA-N -1 1 311.426 1.948 20 0 DDADMM CCS(=O)(=O)C[C@H](C)N(C)C[C@H]1CCCN(C(=O)[O-])C1 ZINC000739518556 602840609 /nfs/dbraw/zinc/84/06/09/602840609.db2.gz ZXWBDONDBFIFIC-NWDGAFQWSA-N -1 1 306.428 1.131 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)N[C@@H]1CCN(C(=O)[O-])C1 ZINC000739199130 602996008 /nfs/dbraw/zinc/99/60/08/602996008.db2.gz OLXFFMODEPANJX-UPJWGTAASA-N -1 1 310.398 1.007 20 0 DDADMM C[C@H](C(=O)N1CCCN(C)CC1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823670642 603221156 /nfs/dbraw/zinc/22/11/56/603221156.db2.gz YNYJIZBHZHACEY-ZFWWWQNUSA-N -1 1 319.405 1.796 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)NCc1ccc(Cl)cc1 ZINC000739682834 603253325 /nfs/dbraw/zinc/25/33/25/603253325.db2.gz UKICJOMMRQVROU-UHFFFAOYSA-N -1 1 313.785 1.546 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@H]1CCN(C(=O)[O-])C1)c1cccc(F)c1 ZINC000739601497 603268204 /nfs/dbraw/zinc/26/82/04/603268204.db2.gz FWBSQJIRDRJMBS-JSGCOSHPSA-N -1 1 323.368 1.545 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N[C@H]1CCCN(C(=O)[O-])C1 ZINC000738249443 603294350 /nfs/dbraw/zinc/29/43/50/603294350.db2.gz RXWWBPDWYJXRQK-LBPRGKRZSA-N -1 1 309.370 1.092 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc3c[nH]nc32)CC[C@@H]1NC(=O)[O-] ZINC000739163547 603332119 /nfs/dbraw/zinc/33/21/19/603332119.db2.gz ARUBKUWZUCNFCI-SKDRFNHKSA-N -1 1 302.334 1.681 20 0 DDADMM C[C@H](CC(=O)NC[C@H](c1ccc(F)cc1)N(C)C)NC(=O)[O-] ZINC000824630591 603369026 /nfs/dbraw/zinc/36/90/26/603369026.db2.gz XIXXYKGMFFAFEX-ZWNOBZJWSA-N -1 1 311.357 1.591 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@@H](Cc1ccccc1)N(C)C)NC(=O)[O-] ZINC000738776121 603403955 /nfs/dbraw/zinc/40/39/55/603403955.db2.gz UHVBMXHNUMRCKC-HUUCEWRRSA-N -1 1 321.421 1.568 20 0 DDADMM CCN(CC1CCN(CC(=O)N[C@H](C)C(C)C)CC1)C(=O)[O-] ZINC000827093110 603513228 /nfs/dbraw/zinc/51/32/28/603513228.db2.gz LYQDMMPEFOUSTN-CYBMUJFWSA-N -1 1 313.442 1.859 20 0 DDADMM Cc1ccc2nc(CN(C)[C@H]3CCN(C(=O)[O-])C3)cc(=O)n2c1 ZINC000830453673 603538980 /nfs/dbraw/zinc/53/89/80/603538980.db2.gz NBBRNXSDLIZPLD-ZDUSSCGKSA-N -1 1 316.361 1.187 20 0 DDADMM C[C@@H]1C[C@H](C)CN(C(=O)CN(C)CC(C)(C)CNC(=O)[O-])C1 ZINC000825783379 603545431 /nfs/dbraw/zinc/54/54/31/603545431.db2.gz HBEUCPCXNMZXDU-BETUJISGSA-N -1 1 313.442 1.717 20 0 DDADMM Cc1ccccc1CNC(=O)CN(C)CC(C)(C)CNC(=O)[O-] ZINC000830573277 603548244 /nfs/dbraw/zinc/54/82/44/603548244.db2.gz GUXTVNLURUNXRQ-UHFFFAOYSA-N -1 1 321.421 1.837 20 0 DDADMM C[C@H](N(C)CN1C[C@@H]2CN(C(=O)[O-])CCN2C1=O)C(C)(C)C ZINC000825054034 603596921 /nfs/dbraw/zinc/59/69/21/603596921.db2.gz ADEPJFJROQRNFA-RYUDHWBXSA-N -1 1 312.414 1.410 20 0 DDADMM O=C([O-])NCC1(C(=O)N2CCN(CC3CC3)CC2)CCCC1 ZINC000832433080 603639006 /nfs/dbraw/zinc/63/90/06/603639006.db2.gz GIVIPIWCVPJZQO-UHFFFAOYSA-N -1 1 309.410 1.369 20 0 DDADMM C[C@H]1CCCN(CC(=O)N2CCCCCC2)[C@H]1CNC(=O)[O-] ZINC000825918428 603720404 /nfs/dbraw/zinc/72/04/04/603720404.db2.gz FJRGQDUMEJDMFE-KBPBESRZSA-N -1 1 311.426 1.757 20 0 DDADMM C[C@@H](NC(=O)[C@@H](CNC(=O)[O-])c1ccccc1)c1nnc[nH]1 ZINC000825077380 603939378 /nfs/dbraw/zinc/93/93/78/603939378.db2.gz YOASLZPRIHKULG-KOLCDFICSA-N -1 1 303.322 1.033 20 0 DDADMM CN(C[C@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1)C(=O)[O-] ZINC000828176120 603944079 /nfs/dbraw/zinc/94/40/79/603944079.db2.gz OOOOOIQJZUMTQU-NEPJUHHUSA-N -1 1 320.393 1.678 20 0 DDADMM CN(C[C@H]1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1)C(=O)[O-] ZINC000828245486 603945266 /nfs/dbraw/zinc/94/52/66/603945266.db2.gz IAOSUNDDIRZHOA-MNOVXSKESA-N -1 1 306.366 1.288 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@@H]1NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000832457320 603953922 /nfs/dbraw/zinc/95/39/22/603953922.db2.gz ISULUXCNDSRKGA-NHCYSSNCSA-N -1 1 306.366 1.382 20 0 DDADMM CCc1oc(C(=O)OC)cc1CN1CCC(NC(=O)[O-])CC1 ZINC000827679846 603958816 /nfs/dbraw/zinc/95/88/16/603958816.db2.gz FGBRYUFRFPPGJA-UHFFFAOYSA-N -1 1 310.350 1.861 20 0 DDADMM O=C([O-])N1[C@@H]2CC[C@H]1CC(NC(=O)[C@@H]1CCCc3[nH]ncc31)C2 ZINC000831573896 603992225 /nfs/dbraw/zinc/99/22/25/603992225.db2.gz NMCQDKXMESQJPB-FGNRJIRKSA-N -1 1 318.377 1.619 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(CN2CCSC2=S)C1 ZINC000825266710 604021905 /nfs/dbraw/zinc/02/19/05/604021905.db2.gz WMJIMQSRTJCTGS-ZJUUUORDSA-N -1 1 303.453 1.646 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@@H]2CCC[C@@H](NC(=O)[O-])C2)c1 ZINC000828047001 604066298 /nfs/dbraw/zinc/06/62/98/604066298.db2.gz VHKWYKIKZLZQFO-CHWSQXEVSA-N -1 1 320.393 1.908 20 0 DDADMM Cc1cc(CC(=O)Nc2ccc3c(c2)CCN3C(=O)[O-])n[nH]1 ZINC000830028707 604198151 /nfs/dbraw/zinc/19/81/51/604198151.db2.gz BHQDJXGQSKDDLO-UHFFFAOYSA-N -1 1 300.318 1.940 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)NCCC(C)(C)C)C1 ZINC000825254596 604225506 /nfs/dbraw/zinc/22/55/06/604225506.db2.gz MZBHDKAHGROFPB-STQMWFEESA-N -1 1 313.442 1.907 20 0 DDADMM Cc1ccc(-c2n[nH]c(SCC(=O)N[C@H](C)C(=O)[O-])n2)cc1 ZINC000818864062 604316899 /nfs/dbraw/zinc/31/68/99/604316899.db2.gz AORUUEJRZNXOOW-SECBINFHSA-N -1 1 320.374 1.462 20 0 DDADMM CCN(C)[C@H](C(=O)N1CC[C@@H](NC(=O)[O-])C1)c1ccccc1 ZINC000739384558 604462883 /nfs/dbraw/zinc/46/28/83/604462883.db2.gz IBPRBOCOYMKZCP-KGLIPLIRSA-N -1 1 305.378 1.548 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](NC(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000738616566 604488085 /nfs/dbraw/zinc/48/80/85/604488085.db2.gz PKAQJPNWRUOBTP-SWLSCSKDSA-N -1 1 317.389 1.302 20 0 DDADMM C[C@H](C(=O)NCCC1=CCCCC1)N1CCC(O)(C(=O)[O-])CC1 ZINC000833404739 604728186 /nfs/dbraw/zinc/72/81/86/604728186.db2.gz OLUWXADHFSLUJS-CYBMUJFWSA-N -1 1 324.421 1.293 20 0 DDADMM C[C@H](C[N@@H+](CC(=O)NC(=O)Nc1ccccc1)C1CC1)C(=O)[O-] ZINC000827449659 604745469 /nfs/dbraw/zinc/74/54/69/604745469.db2.gz NJISAQJSYPLCTG-LLVKDONJSA-N -1 1 319.361 1.520 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCCC[C@@H](SC)C1)C(=O)[O-] ZINC000833636841 604965333 /nfs/dbraw/zinc/96/53/33/604965333.db2.gz AUYAZLUJBWBWRN-SCRDCRAPSA-N -1 1 316.467 1.819 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1CCC[C@H]1CC(=O)[O-] ZINC000833496752 604967247 /nfs/dbraw/zinc/96/72/47/604967247.db2.gz NOMWKULVEUBGSQ-JTQLQIEISA-N -1 1 310.316 1.725 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)CN1CCC(C)(COC)CC1)C(=O)[O-] ZINC000833640491 605020931 /nfs/dbraw/zinc/02/09/31/605020931.db2.gz YZGQGQPVSWEUBH-OCCSQVGLSA-N -1 1 314.426 1.350 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)CCSCC(=O)[O-])n[nH]1 ZINC000833513020 605023625 /nfs/dbraw/zinc/02/36/25/605023625.db2.gz YRBIPBZZKUJAME-QMMMGPOBSA-N -1 1 300.384 1.313 20 0 DDADMM CC(C)C[C@@H](NC(=O)[O-])C(=O)N[C@H](C)c1n[nH]c(C(C)C)n1 ZINC000833478755 605071720 /nfs/dbraw/zinc/07/17/20/605071720.db2.gz HWAXTESCUXRYMH-NXEZZACHSA-N -1 1 311.386 1.788 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1Cc2ccccc2S1 ZINC000314096120 605072983 /nfs/dbraw/zinc/07/29/83/605072983.db2.gz IDDLQIVHSCQRLF-AAEUAGOBSA-N -1 1 317.370 1.239 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C\c2cccc(F)c2)CCN1CCC(=O)[O-] ZINC000833617150 605276153 /nfs/dbraw/zinc/27/61/53/605276153.db2.gz AXKWHHNXQGGKMW-CFHLNLSMSA-N -1 1 320.364 1.846 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@H](N(C)C(=O)[O-])C2)n[nH]1 ZINC000830029847 605301330 /nfs/dbraw/zinc/30/13/30/605301330.db2.gz KLYFGELSDIBNJK-CABZTGNLSA-N -1 1 309.370 1.043 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NC[C@H]2CCCCN2C(=O)[O-])n[nH]1 ZINC000830036322 605301559 /nfs/dbraw/zinc/30/15/59/605301559.db2.gz MUFXPDVWGFGRNU-ZWNOBZJWSA-N -1 1 323.397 1.481 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCC[C@H](NC(=O)C(C)(C)C)C2)O1 ZINC000833584278 605335276 /nfs/dbraw/zinc/33/52/76/605335276.db2.gz NHAXKPSXGFOSTL-STQMWFEESA-N -1 1 324.421 1.761 20 0 DDADMM O=C([O-])N1CCC(N(CN2C[C@H](C3CC3)CC2=O)C2CC2)CC1 ZINC000834081630 605725235 /nfs/dbraw/zinc/72/52/35/605725235.db2.gz HCDLWSILSUDHMM-CYBMUJFWSA-N -1 1 321.421 1.809 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)[C@@H]2[C@H](C)OC(C)(C)N2C(=O)[O-])n[nH]1 ZINC000830030254 605806666 /nfs/dbraw/zinc/80/66/66/605806666.db2.gz NDRPQWDVXHMFRR-PEXQALLHSA-N -1 1 324.381 1.269 20 0 DDADMM CC(C)(O)CN1CCN(Cc2cc(C(=O)[O-])ccc2F)CC1 ZINC000389261056 605830828 /nfs/dbraw/zinc/83/08/28/605830828.db2.gz DHJUWHVKHCHCMU-UHFFFAOYSA-N -1 1 310.369 1.412 20 0 DDADMM O=C([O-])N[C@@H](C(=O)N[C@@H]1CCc2nc[nH]c2C1)c1ccccc1 ZINC000834249925 605833996 /nfs/dbraw/zinc/83/39/96/605833996.db2.gz ASLVWYYLDVRIAC-BXUZGUMPSA-N -1 1 314.345 1.392 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CCC[C@@H]1CNC(=O)[O-])C2 ZINC000833916200 605847519 /nfs/dbraw/zinc/84/75/19/605847519.db2.gz OPGDUPAKHUQPMB-GHMZBOCLSA-N -1 1 306.366 1.082 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NC1CCCCCC1 ZINC000833830560 605880649 /nfs/dbraw/zinc/88/06/49/605880649.db2.gz KKUPZTVFFBIQHD-STQMWFEESA-N -1 1 311.426 1.803 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)N(C)Cc1ccco1 ZINC000833828354 605883193 /nfs/dbraw/zinc/88/31/93/605883193.db2.gz DFJMFSOPVQMXHQ-RYUDHWBXSA-N -1 1 309.366 1.216 20 0 DDADMM O=C([O-])N(CC(=O)N[C@H]1CCc2nc[nH]c2C1)c1ccccc1 ZINC000834003797 605959551 /nfs/dbraw/zinc/95/95/51/605959551.db2.gz LLQXGMWLTFYHAJ-NSHDSACASA-N -1 1 314.345 1.568 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000834092508 605978488 /nfs/dbraw/zinc/97/84/88/605978488.db2.gz VRFWDXVVJBJHEV-GRYCIOLGSA-N -1 1 318.377 1.573 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@H]2CCc3nc[nH]c3C2)cc1 ZINC000834255746 605991959 /nfs/dbraw/zinc/99/19/59/605991959.db2.gz JEBMHFQKNMQZIC-NSHDSACASA-N -1 1 300.318 1.787 20 0 DDADMM C[C@H]1[C@H](C)N(C(=O)[O-])CCN1C[C@@H](O)COCc1ccccc1 ZINC000833825586 606037194 /nfs/dbraw/zinc/03/71/94/606037194.db2.gz CGCREYNFXFGNCN-OFQRWUPVSA-N -1 1 322.405 1.637 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)[O-])CCN1C[C@@H](O)COCc1ccccc1 ZINC000833825583 606037644 /nfs/dbraw/zinc/03/76/44/606037644.db2.gz CGCREYNFXFGNCN-IIAWOOMASA-N -1 1 322.405 1.637 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1scnc1Cl ZINC000823294896 606294736 /nfs/dbraw/zinc/29/47/36/606294736.db2.gz WRWODHPYZNPKEW-UHFFFAOYSA-N -1 1 322.737 1.935 20 0 DDADMM COC(=O)CCN(C(=O)c1ccc(-c2nnn[n-]2)s1)C(C)C ZINC000821661443 606562050 /nfs/dbraw/zinc/56/20/50/606562050.db2.gz JPCZPPHTPDQOOT-UHFFFAOYSA-N -1 1 323.378 1.342 20 0 DDADMM COC(=O)CCN(C(=O)c1ccc(-c2nn[n-]n2)s1)C(C)C ZINC000821661443 606562051 /nfs/dbraw/zinc/56/20/51/606562051.db2.gz JPCZPPHTPDQOOT-UHFFFAOYSA-N -1 1 323.378 1.342 20 0 DDADMM CC1(C)C(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)C1(C)C ZINC000820583713 606647945 /nfs/dbraw/zinc/64/79/45/606647945.db2.gz DMZHSEFAOVKIMI-UHFFFAOYSA-N -1 1 301.350 1.987 20 0 DDADMM CC1(C)C(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)C1(C)C ZINC000820583713 606647946 /nfs/dbraw/zinc/64/79/46/606647946.db2.gz DMZHSEFAOVKIMI-UHFFFAOYSA-N -1 1 301.350 1.987 20 0 DDADMM CC1(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCOCC1 ZINC000820577859 606650794 /nfs/dbraw/zinc/65/07/94/606650794.db2.gz WMPYYGILGZRVCU-UHFFFAOYSA-N -1 1 303.322 1.122 20 0 DDADMM CC1(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCOCC1 ZINC000820577859 606650795 /nfs/dbraw/zinc/65/07/95/606650795.db2.gz WMPYYGILGZRVCU-UHFFFAOYSA-N -1 1 303.322 1.122 20 0 DDADMM Cc1nc(NCCCc2[nH]nc(N)c2-c2nnn[n-]2)sc1C ZINC000822453723 606796668 /nfs/dbraw/zinc/79/66/68/606796668.db2.gz OCXAZMHGBXYGAX-UHFFFAOYSA-N -1 1 319.398 1.290 20 0 DDADMM Cc1nc(NCCCc2[nH]nc(N)c2-c2nn[n-]n2)sc1C ZINC000822453723 606796670 /nfs/dbraw/zinc/79/66/70/606796670.db2.gz OCXAZMHGBXYGAX-UHFFFAOYSA-N -1 1 319.398 1.290 20 0 DDADMM COC1CCC(OC(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000821679829 606897142 /nfs/dbraw/zinc/89/71/42/606897142.db2.gz NYKZSMLWVNGWLW-UHFFFAOYSA-N -1 1 303.322 1.376 20 0 DDADMM COC1CCC(OC(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000821679829 606897143 /nfs/dbraw/zinc/89/71/43/606897143.db2.gz NYKZSMLWVNGWLW-UHFFFAOYSA-N -1 1 303.322 1.376 20 0 DDADMM C[C@H](CC[S@](C)=O)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000820249352 607025734 /nfs/dbraw/zinc/02/57/34/607025734.db2.gz VEKNGAGQSSHJCW-BQLJRSNSSA-N -1 1 320.831 1.546 20 0 DDADMM C[C@H](CC[S@](C)=O)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000820249352 607025736 /nfs/dbraw/zinc/02/57/36/607025736.db2.gz VEKNGAGQSSHJCW-BQLJRSNSSA-N -1 1 320.831 1.546 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)[C@@H]1COc2ccccc21 ZINC000823380430 607107677 /nfs/dbraw/zinc/10/76/77/607107677.db2.gz XSSIXBCHYRXELO-MRVPVSSYSA-N -1 1 314.330 1.438 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)[C@@H]1COc2ccccc21 ZINC000823380430 607107679 /nfs/dbraw/zinc/10/76/79/607107679.db2.gz XSSIXBCHYRXELO-MRVPVSSYSA-N -1 1 314.330 1.438 20 0 DDADMM CC(C)[C@H](C)NC(=O)COc1cccc(F)c1-c1nnn[n-]1 ZINC000824193204 607864984 /nfs/dbraw/zinc/86/49/84/607864984.db2.gz UYCYRWAKFBDYEH-VIFPVBQESA-N -1 1 307.329 1.545 20 0 DDADMM CC(C)[C@H](C)NC(=O)COc1cccc(F)c1-c1nn[n-]n1 ZINC000824193204 607864985 /nfs/dbraw/zinc/86/49/85/607864985.db2.gz UYCYRWAKFBDYEH-VIFPVBQESA-N -1 1 307.329 1.545 20 0 DDADMM C[C@@H](Nc1cccc(-c2nnn[n-]2)n1)[C@@H](O)c1ccc(F)cc1 ZINC000824662130 607898731 /nfs/dbraw/zinc/89/87/31/607898731.db2.gz CZZAWLWHFICTQR-YMTOWFKASA-N -1 1 314.324 1.935 20 0 DDADMM C[C@@H](Nc1cccc(-c2nn[n-]n2)n1)[C@@H](O)c1ccc(F)cc1 ZINC000824662130 607898732 /nfs/dbraw/zinc/89/87/32/607898732.db2.gz CZZAWLWHFICTQR-YMTOWFKASA-N -1 1 314.324 1.935 20 0 DDADMM O=C(C=Cc1cnccn1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826403525 607999653 /nfs/dbraw/zinc/99/96/53/607999653.db2.gz USODITPPDJYQPI-RQOWECAXSA-N -1 1 309.289 1.014 20 0 DDADMM Cc1cc(C(=O)Cn2ccnc2-c2nnn[n-]2)c(C)n1C1CC1 ZINC000826257231 608013392 /nfs/dbraw/zinc/01/33/92/608013392.db2.gz TZRZZKMTEKAXJT-UHFFFAOYSA-N -1 1 311.349 1.699 20 0 DDADMM Cc1cc(C(=O)Cn2ccnc2-c2nn[n-]n2)c(C)n1C1CC1 ZINC000826257231 608013393 /nfs/dbraw/zinc/01/33/93/608013393.db2.gz TZRZZKMTEKAXJT-UHFFFAOYSA-N -1 1 311.349 1.699 20 0 DDADMM CCc1nnc([C@H](C)Nc2ccc(Cl)c(-c3nn[n-]n3)n2)[nH]1 ZINC000825745195 608099473 /nfs/dbraw/zinc/09/94/73/608099473.db2.gz JJNAUWFZJPCWJZ-LURJTMIESA-N -1 1 319.760 1.769 20 0 DDADMM CC(C)COC(=O)[C@H](C)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000824266401 608120484 /nfs/dbraw/zinc/12/04/84/608120484.db2.gz KXIYLCBKHXZMJG-QMMMGPOBSA-N -1 1 323.378 1.246 20 0 DDADMM CC(C)COC(=O)[C@H](C)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000824266401 608120485 /nfs/dbraw/zinc/12/04/85/608120485.db2.gz KXIYLCBKHXZMJG-QMMMGPOBSA-N -1 1 323.378 1.246 20 0 DDADMM CCN(Cc1cnn(C)c1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825444757 608178375 /nfs/dbraw/zinc/17/83/75/608178375.db2.gz QITDGQVNOBJFIS-UHFFFAOYSA-N -1 1 324.801 1.737 20 0 DDADMM CCN(Cc1cnn(C)c1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825444757 608178377 /nfs/dbraw/zinc/17/83/77/608178377.db2.gz QITDGQVNOBJFIS-UHFFFAOYSA-N -1 1 324.801 1.737 20 0 DDADMM COC[C@H](Nc1snc(Cl)c1-c1nnn[n-]1)C1CC1 ZINC000826151584 608192413 /nfs/dbraw/zinc/19/24/13/608192413.db2.gz FJLACCGAQCUQPW-LURJTMIESA-N -1 1 300.775 1.814 20 0 DDADMM COC[C@H](Nc1snc(Cl)c1-c1nn[n-]n1)C1CC1 ZINC000826151584 608192414 /nfs/dbraw/zinc/19/24/14/608192414.db2.gz FJLACCGAQCUQPW-LURJTMIESA-N -1 1 300.775 1.814 20 0 DDADMM COc1ccccc1CN(c1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC000826219449 608402199 /nfs/dbraw/zinc/40/21/99/608402199.db2.gz CHFKLAXIVMXRFM-UHFFFAOYSA-N -1 1 323.360 1.834 20 0 DDADMM COc1ccccc1CN(c1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC000826219449 608402200 /nfs/dbraw/zinc/40/22/00/608402200.db2.gz CHFKLAXIVMXRFM-UHFFFAOYSA-N -1 1 323.360 1.834 20 0 DDADMM c1ccc2c(c1)CC[C@@H](CNc1ccc(-c3nnn[n-]3)nn1)N2 ZINC000826523737 608412382 /nfs/dbraw/zinc/41/23/82/608412382.db2.gz WJCUBNUWGFILDF-NSHDSACASA-N -1 1 308.349 1.496 20 0 DDADMM c1ccc2c(c1)CC[C@@H](CNc1ccc(-c3nn[n-]n3)nn1)N2 ZINC000826523737 608412383 /nfs/dbraw/zinc/41/23/83/608412383.db2.gz WJCUBNUWGFILDF-NSHDSACASA-N -1 1 308.349 1.496 20 0 DDADMM c1ncn(-c2ccc(Oc3ccc(-c4nnn[n-]4)nn3)cc2)n1 ZINC000826527066 608422353 /nfs/dbraw/zinc/42/23/53/608422353.db2.gz XSJCDTFGHNNNGO-UHFFFAOYSA-N -1 1 307.277 1.030 20 0 DDADMM c1ncn(-c2ccc(Oc3ccc(-c4nn[n-]n4)nn3)cc2)n1 ZINC000826527066 608422355 /nfs/dbraw/zinc/42/23/55/608422355.db2.gz XSJCDTFGHNNNGO-UHFFFAOYSA-N -1 1 307.277 1.030 20 0 DDADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)Oc1ccccc1F ZINC000824428239 608423939 /nfs/dbraw/zinc/42/39/39/608423939.db2.gz RDHLPUZHEMJWES-SECBINFHSA-N -1 1 315.312 1.675 20 0 DDADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)Oc1ccccc1F ZINC000824428239 608423942 /nfs/dbraw/zinc/42/39/42/608423942.db2.gz RDHLPUZHEMJWES-SECBINFHSA-N -1 1 315.312 1.675 20 0 DDADMM Cc1cnc([C@H](Nc2ccc(-c3nnn[n-]3)nn2)C2CC2)s1 ZINC000826317501 608424876 /nfs/dbraw/zinc/42/48/76/608424876.db2.gz FKEQZBYZSMPIGV-LLVKDONJSA-N -1 1 314.378 1.985 20 0 DDADMM Cc1cnc([C@H](Nc2ccc(-c3nn[n-]n3)nn2)C2CC2)s1 ZINC000826317501 608424877 /nfs/dbraw/zinc/42/48/77/608424877.db2.gz FKEQZBYZSMPIGV-LLVKDONJSA-N -1 1 314.378 1.985 20 0 DDADMM CC[C@H](Nc1ccc(-c2nnn[n-]2)nn1)c1c(C)nn(C)c1C ZINC000825147679 608426000 /nfs/dbraw/zinc/42/60/00/608426000.db2.gz LKYOSQNKHXPZNO-JTQLQIEISA-N -1 1 313.369 1.570 20 0 DDADMM CC[C@H](Nc1ccc(-c2nn[n-]n2)nn1)c1c(C)nn(C)c1C ZINC000825147679 608426002 /nfs/dbraw/zinc/42/60/02/608426002.db2.gz LKYOSQNKHXPZNO-JTQLQIEISA-N -1 1 313.369 1.570 20 0 DDADMM C[C@@H]1C[C@@H](Nc2c3ccccc3nnc2-c2nnn[n-]2)CCO1 ZINC000824892406 608801466 /nfs/dbraw/zinc/80/14/66/608801466.db2.gz HVMXRZIWBILXGW-ZJUUUORDSA-N -1 1 311.349 1.789 20 0 DDADMM C[C@@H]1C[C@@H](Nc2c3ccccc3nnc2-c2nn[n-]n2)CCO1 ZINC000824892406 608801469 /nfs/dbraw/zinc/80/14/69/608801469.db2.gz HVMXRZIWBILXGW-ZJUUUORDSA-N -1 1 311.349 1.789 20 0 DDADMM CCC1(COC(=O)c2ccc(-c3nnn[n-]3)nc2)CCOCC1 ZINC000825176008 609162366 /nfs/dbraw/zinc/16/23/66/609162366.db2.gz BTCATCGTLXQBQJ-UHFFFAOYSA-N -1 1 317.349 1.625 20 0 DDADMM CCC1(COC(=O)c2ccc(-c3nn[n-]n3)nc2)CCOCC1 ZINC000825176008 609162368 /nfs/dbraw/zinc/16/23/68/609162368.db2.gz BTCATCGTLXQBQJ-UHFFFAOYSA-N -1 1 317.349 1.625 20 0 DDADMM CC(C)C[C@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)CCO1 ZINC000824243632 609171120 /nfs/dbraw/zinc/17/11/20/609171120.db2.gz KTQXYMFVCAUVBY-QWRGUYRKSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)C[C@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)CCO1 ZINC000824243632 609171121 /nfs/dbraw/zinc/17/11/21/609171121.db2.gz KTQXYMFVCAUVBY-QWRGUYRKSA-N -1 1 303.370 1.662 20 0 DDADMM CCC[C@H]1C[C@@H](C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCO1 ZINC000825252558 609590899 /nfs/dbraw/zinc/59/08/99/609590899.db2.gz JFVKKYLSQKIEAG-UWVGGRQHSA-N -1 1 319.369 1.134 20 0 DDADMM CCC[C@H]1C[C@@H](C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCO1 ZINC000825252558 609590901 /nfs/dbraw/zinc/59/09/01/609590901.db2.gz JFVKKYLSQKIEAG-UWVGGRQHSA-N -1 1 319.369 1.134 20 0 DDADMM Fc1cc2c(c(F)c1)[C@@H](Nc1ccc(-c3nnn[n-]3)nn1)CO2 ZINC000826372607 609606503 /nfs/dbraw/zinc/60/65/03/609606503.db2.gz FDWNDEYNATYMIW-VIFPVBQESA-N -1 1 317.259 1.481 20 0 DDADMM Fc1cc2c(c(F)c1)[C@@H](Nc1ccc(-c3nn[n-]n3)nn1)CO2 ZINC000826372607 609606506 /nfs/dbraw/zinc/60/65/06/609606506.db2.gz FDWNDEYNATYMIW-VIFPVBQESA-N -1 1 317.259 1.481 20 0 DDADMM COC[C@H](C)NC(=S)NN=c1c(F)c(F)[n-]c(F)c1F ZINC000016306768 696062250 /nfs/dbraw/zinc/06/22/50/696062250.db2.gz BPTZOOGKXJSFRD-BYPYZUCNSA-N -1 1 312.292 1.464 20 0 DDADMM C[C@H](C(=O)Nc1nc(Br)ccc1[O-])n1cncn1 ZINC000121582242 696712846 /nfs/dbraw/zinc/71/28/46/696712846.db2.gz LZSJUKQXJRTWSW-ZCFIWIBFSA-N -1 1 312.127 1.341 20 0 DDADMM CC1(C)C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974044711 695627346 /nfs/dbraw/zinc/62/73/46/695627346.db2.gz KSNPDNBKVCQFDV-AXFHLTTASA-N -1 1 303.362 1.210 20 0 DDADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@@H](C)c1ccccc1 ZINC000746874190 700043085 /nfs/dbraw/zinc/04/30/85/700043085.db2.gz WTNBQDSPQJDJOH-OLZOCXBDSA-N -1 1 315.373 1.235 20 0 DDADMM C[C@@H](C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-])C1CC1 ZINC000976396823 695971032 /nfs/dbraw/zinc/97/10/32/695971032.db2.gz IIJASPCFIMNPGM-WUWHUORYSA-N -1 1 315.373 1.020 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCn1cccn1 ZINC000747204889 700060326 /nfs/dbraw/zinc/06/03/26/700060326.db2.gz SMCSFIYOHVLLQO-UHFFFAOYSA-N -1 1 303.366 1.631 20 0 DDADMM CCC(Nc1ccccc1C(C)=O)=C1C(=O)[N-]C(=S)NC1=O ZINC000009951618 696038167 /nfs/dbraw/zinc/03/81/67/696038167.db2.gz CPMHQBDQDHLUHC-UHFFFAOYSA-N -1 1 317.370 1.496 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2CCOC(C)(C)C2)cc1 ZINC000030196016 696114141 /nfs/dbraw/zinc/11/41/41/696114141.db2.gz YCUAOXGUDPQBPF-UHFFFAOYSA-N -1 1 306.362 1.062 20 0 DDADMM Cc1noc(COC(=O)c2sccc2[N-]S(C)(=O)=O)n1 ZINC000042776092 696151051 /nfs/dbraw/zinc/15/10/51/696151051.db2.gz AJYMSNMYBALHJF-UHFFFAOYSA-N -1 1 317.348 1.168 20 0 DDADMM CC(NCc1ccc(Cl)cc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000048403448 696212002 /nfs/dbraw/zinc/21/20/02/696212002.db2.gz CWPQIQKAINAWKW-UHFFFAOYSA-N -1 1 309.778 1.235 20 0 DDADMM O=C(NC1=NCCS1)c1ccc(Br)cc1[O-] ZINC000049447498 696223697 /nfs/dbraw/zinc/22/36/97/696223697.db2.gz DPOSYKLYWXRSDP-UHFFFAOYSA-N -1 1 301.165 1.987 20 0 DDADMM Cc1nc(CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cs1 ZINC000747470836 700072177 /nfs/dbraw/zinc/07/21/77/700072177.db2.gz OMZZUBLKKGMTJQ-CYBMUJFWSA-N -1 1 314.374 1.413 20 0 DDADMM CCC(=O)N1CC[C@@H]1CN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000977723575 696263796 /nfs/dbraw/zinc/26/37/96/696263796.db2.gz IDKBBLAMMQHZTP-LLVKDONJSA-N -1 1 318.377 1.143 20 0 DDADMM O=C(CCCn1cccn1)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067079985 696359062 /nfs/dbraw/zinc/35/90/62/696359062.db2.gz GJJFHXDSFQECEW-UHFFFAOYSA-N -1 1 320.422 1.611 20 0 DDADMM O=C(CCC1CCCCC1)NN/C=C1/C(=O)[N-]C(=S)NC1=O ZINC000067190447 696359913 /nfs/dbraw/zinc/35/99/13/696359913.db2.gz JSSGFAUQWIWRRG-UHFFFAOYSA-N -1 1 324.406 1.223 20 0 DDADMM O=C(CCC1CCCCC1)NN/C=C1\C(=O)[N-]C(=S)NC1=O ZINC000067190447 696359916 /nfs/dbraw/zinc/35/99/16/696359916.db2.gz JSSGFAUQWIWRRG-UHFFFAOYSA-N -1 1 324.406 1.223 20 0 DDADMM O=C(CCC1CCCCC1)NNC=C1C(=O)[N-]C(=S)NC1=O ZINC000067190447 696359918 /nfs/dbraw/zinc/35/99/18/696359918.db2.gz JSSGFAUQWIWRRG-UHFFFAOYSA-N -1 1 324.406 1.223 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741123 696450395 /nfs/dbraw/zinc/45/03/95/696450395.db2.gz HMFQRUJKPNXJOC-WISYIIOYSA-N -1 1 303.362 1.068 20 0 DDADMM O=C(NC[C@H]1C[C@@H](NC(=O)C2CCCC2)C1)c1ncccc1[O-] ZINC000978740975 696450490 /nfs/dbraw/zinc/45/04/90/696450490.db2.gz RMKMKCJOQXNNJE-BJHJDKERSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1cc(NC(=O)Cc2sc(N)nc2[O-])ccc1N(C)C ZINC000079523570 696461538 /nfs/dbraw/zinc/46/15/38/696461538.db2.gz WJYCEHFXEJEWOD-LLVKDONJSA-N -1 1 306.391 1.346 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@H]2c2cccc(F)c2)s1 ZINC000079663848 696462352 /nfs/dbraw/zinc/46/23/52/696462352.db2.gz XECMQMQCYOMXGH-NWDGAFQWSA-N -1 1 321.377 1.836 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000081944311 696548772 /nfs/dbraw/zinc/54/87/72/696548772.db2.gz SWBDBJOPXPGGHF-HWPZZCPQSA-N -1 1 309.410 1.729 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)N[N-]C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000092547137 696595564 /nfs/dbraw/zinc/59/55/64/696595564.db2.gz JXRIVNCSEGBHOZ-IUCAKERBSA-N -1 1 320.374 1.324 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Br)cc2[O-])CCN1C ZINC000104144587 696618773 /nfs/dbraw/zinc/61/87/73/696618773.db2.gz YIOAHVDBLBDETI-VIFPVBQESA-N -1 1 313.195 1.931 20 0 DDADMM CC(F)(F)C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979684916 696626606 /nfs/dbraw/zinc/62/66/06/696626606.db2.gz FDWJBYDRDGFNGE-KYZUINATSA-N -1 1 313.304 1.067 20 0 DDADMM CCCCOCCCNC(=O)c1nnc2ccccc2c1O ZINC000109935979 696638475 /nfs/dbraw/zinc/63/84/75/696638475.db2.gz KNQBRSUWQGVNKZ-UHFFFAOYSA-N -1 1 303.362 1.860 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccc1Cl ZINC000120801207 696701279 /nfs/dbraw/zinc/70/12/79/696701279.db2.gz SOIUBWLQDDABIT-OIBJUYFYSA-N -1 1 311.794 1.864 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@@H]2c2ccc(F)cc2)s1 ZINC000120855634 696702487 /nfs/dbraw/zinc/70/24/87/696702487.db2.gz USIOZDCNEDFLLL-VXGBXAGGSA-N -1 1 321.377 1.836 20 0 DDADMM Cc1cc([C@H](C)NC(=O)Cc2sc(N)nc2[O-])c(C)s1 ZINC000120891304 696703122 /nfs/dbraw/zinc/70/31/22/696703122.db2.gz RFSCVPAATMBTGO-OIBJUYFYSA-N -1 1 311.432 1.889 20 0 DDADMM CN(C)c1cccc(CN(C)C(=O)Cc2sc(N)nc2[O-])c1 ZINC000123832351 696735475 /nfs/dbraw/zinc/73/54/75/696735475.db2.gz NJUAEGZEIPPYAE-GFCCVEGCSA-N -1 1 320.418 1.058 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCc2ccc(C)cc2)o1 ZINC000129822958 696792132 /nfs/dbraw/zinc/79/21/32/696792132.db2.gz RMWVBNFWBZNUBN-UHFFFAOYSA-N -1 1 323.370 1.896 20 0 DDADMM O=C([N-]CC1CCN(C(=O)c2nccs2)CC1)C(F)(F)F ZINC000799022595 700110067 /nfs/dbraw/zinc/11/00/67/700110067.db2.gz MOLYGEKGXKICGO-UHFFFAOYSA-N -1 1 321.324 1.674 20 0 DDADMM O=C(NNC(=O)c1c([O-])cccc1Cl)c1ccccc1O ZINC000143868458 696870044 /nfs/dbraw/zinc/87/00/44/696870044.db2.gz RQNMPCQWKPAAFL-UHFFFAOYSA-N -1 1 306.705 1.826 20 0 DDADMM CO[N-]C(=O)[C@@H]1CC(=O)N(CCOc2ccccc2Cl)C1 ZINC000155414779 696947269 /nfs/dbraw/zinc/94/72/69/696947269.db2.gz NMZMIATXBRDUKA-SNVBAGLBSA-N -1 1 312.753 1.245 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(Cl)cc2)C1 ZINC000748770481 700133820 /nfs/dbraw/zinc/13/38/20/700133820.db2.gz VRFQAVDVBBRLIZ-JTQLQIEISA-N -1 1 312.753 1.387 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CCCCN1Cc1ccc(F)cc1 ZINC000748771103 700134065 /nfs/dbraw/zinc/13/40/65/700134065.db2.gz ILGLWPWDMJYXTJ-HNNXBMFYSA-N -1 1 310.369 1.874 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2cc(F)cc(F)c2)C1 ZINC000748775979 700134599 /nfs/dbraw/zinc/13/45/99/700134599.db2.gz BCRWOXVZMBBWMT-SECBINFHSA-N -1 1 314.288 1.012 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2c(Cl)cccc2Cl)C(=O)O1 ZINC000748861924 700139394 /nfs/dbraw/zinc/13/93/94/700139394.db2.gz IOXACRYALAHSLT-RCOVLWMOSA-N -1 1 324.185 1.976 20 0 DDADMM CC(=O)N1CCC[C@H](N(C)C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000983736948 697293145 /nfs/dbraw/zinc/29/31/45/697293145.db2.gz GQPCBJLNPVLRCL-LBPRGKRZSA-N -1 1 318.377 1.143 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC000983745525 697294860 /nfs/dbraw/zinc/29/48/60/697294860.db2.gz ATMABCCKXYUYEI-GFCCVEGCSA-N -1 1 303.362 1.260 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CSC ZINC000984801065 697430759 /nfs/dbraw/zinc/43/07/59/697430759.db2.gz JPFSJISQRQGWFQ-WDEREUQCSA-N -1 1 323.418 1.260 20 0 DDADMM COC(=O)C[C@@]1(NC(=O)c2c([O-])cccc2F)CCCOC1 ZINC000772257934 697615594 /nfs/dbraw/zinc/61/55/94/697615594.db2.gz LJQIIJJOZRYNQH-HNNXBMFYSA-N -1 1 311.309 1.373 20 0 DDADMM COc1cc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)on1 ZINC000772340057 697622941 /nfs/dbraw/zinc/62/29/41/697622941.db2.gz FRZFAFNAAPPJAG-UHFFFAOYSA-N -1 1 317.253 1.852 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@]1(O)CCSC1 ZINC000194573089 697713867 /nfs/dbraw/zinc/71/38/67/697713867.db2.gz NLTFWYARZGRXRA-JTQLQIEISA-N -1 1 319.180 1.356 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773161045 697721895 /nfs/dbraw/zinc/72/18/95/697721895.db2.gz KPWWICJCJWTPGU-CMPLNLGQSA-N -1 1 319.390 1.284 20 0 DDADMM COc1ccc(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1 ZINC000773160897 697721935 /nfs/dbraw/zinc/72/19/35/697721935.db2.gz WNVDGRQOYYUFOC-LBPRGKRZSA-N -1 1 319.390 1.068 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]CCn1cccc1 ZINC000226579222 697767083 /nfs/dbraw/zinc/76/70/83/697767083.db2.gz KHQAGQVHJAYKAB-UHFFFAOYSA-N -1 1 313.310 1.514 20 0 DDADMM C[C@H](OC(=O)c1ccc([O-])cc1F)C(=O)Nc1ncccn1 ZINC000773575545 697783045 /nfs/dbraw/zinc/78/30/45/697783045.db2.gz ZKNHXUDTEYXOBH-QMMMGPOBSA-N -1 1 305.265 1.505 20 0 DDADMM Cc1noc(C(F)(F)F)c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000773999119 697832748 /nfs/dbraw/zinc/83/27/48/697832748.db2.gz TUMIOANUCUFVDG-RXMQYKEDSA-N -1 1 318.259 1.391 20 0 DDADMM O=C(CCCCc1cn[nH]n1)[N-]S(=O)(=O)c1ccsc1 ZINC000774149341 697848425 /nfs/dbraw/zinc/84/84/25/697848425.db2.gz FCSMSGRTURAVQO-UHFFFAOYSA-N -1 1 314.392 1.084 20 0 DDADMM O=C([C@@H]1CSCCS1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000774215257 697856118 /nfs/dbraw/zinc/85/61/18/697856118.db2.gz CBOAGRPACKNNQD-BDAKNGLRSA-N -1 1 315.420 1.330 20 0 DDADMM CN(C)c1cccc(NC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC000774466818 697882693 /nfs/dbraw/zinc/88/26/93/697882693.db2.gz OIPHIYNNWCTLRA-UHFFFAOYSA-N -1 1 314.374 1.731 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2CC[C@@H](C)C2)cc1 ZINC000776409688 698103940 /nfs/dbraw/zinc/10/39/40/698103940.db2.gz BNPXCHUHJYJPFQ-YPMHNXCESA-N -1 1 319.357 1.931 20 0 DDADMM COC(=O)C(C)(C)N(C)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000777613345 698207973 /nfs/dbraw/zinc/20/79/73/698207973.db2.gz NGRPCOOXXVGGRU-UHFFFAOYSA-N -1 1 305.330 1.882 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(Cl)ccnc1Cl)C(C)(C)O ZINC000778005314 698236857 /nfs/dbraw/zinc/23/68/57/698236857.db2.gz HYTMXTSRBPGAGU-ZCFIWIBFSA-N -1 1 313.206 1.826 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2cn3c(n2)CCCC3)[nH]nc1C1CC1 ZINC000779806187 698469901 /nfs/dbraw/zinc/46/99/01/698469901.db2.gz GQSVENSVTXHALL-UHFFFAOYSA-N -1 1 321.406 1.929 20 0 DDADMM CCc1cc(C(=O)N2CC[C@@](C(=O)[O-])(C(F)(F)F)C2)n[nH]1 ZINC000263166550 698494597 /nfs/dbraw/zinc/49/45/97/698494597.db2.gz YKMPYQPMANNCGQ-LLVKDONJSA-N -1 1 305.256 1.451 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)NCc2n[nH]c(C(C)(C)C)n2)C1 ZINC000263355259 698501768 /nfs/dbraw/zinc/50/17/68/698501768.db2.gz QQWYQJXIRPWYIN-NXEZZACHSA-N -1 1 323.397 1.354 20 0 DDADMM C[C@H](NC(=O)NC[C@H](C)N1CCc2ccccc2C1)C(=O)[O-] ZINC000780479380 698523048 /nfs/dbraw/zinc/52/30/48/698523048.db2.gz CVFAMFPEAFYMNV-RYUDHWBXSA-N -1 1 305.378 1.206 20 0 DDADMM CCc1ccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)o1 ZINC000989050867 698526109 /nfs/dbraw/zinc/52/61/09/698526109.db2.gz QJOUUNHKUKZDEO-UWVGGRQHSA-N -1 1 319.365 1.059 20 0 DDADMM C[C@@](O)(CNC(=O)c1nc2ccccc2c(=O)[n-]1)c1ccco1 ZINC000304376554 698620376 /nfs/dbraw/zinc/62/03/76/698620376.db2.gz JJRWXDKZJUPPAZ-MRXNPFEDSA-N -1 1 313.313 1.566 20 0 DDADMM O=C([O-])c1coc(C(=O)N[C@H]2CCN(Cc3ccccc3)C2)c1 ZINC000309743546 698666846 /nfs/dbraw/zinc/66/68/46/698666846.db2.gz STPFMNUDFILBCA-AWEZNQCLSA-N -1 1 314.341 1.982 20 0 DDADMM [O-]c1cc2c(cc1-c1nc(-c3cnc4cnccn43)no1)OCO2 ZINC000350851913 698874666 /nfs/dbraw/zinc/87/46/66/698874666.db2.gz YDYYUVWIIZHONW-UHFFFAOYSA-N -1 1 323.268 1.881 20 0 DDADMM COCc1nsc([N-]C(=O)c2cnn(-c3ccccc3)n2)n1 ZINC000784483644 698941832 /nfs/dbraw/zinc/94/18/32/698941832.db2.gz RYPFCDXTNJTKKQ-UHFFFAOYSA-N -1 1 316.346 1.518 20 0 DDADMM CCN(CC)C(=O)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000785410381 699074346 /nfs/dbraw/zinc/07/43/46/699074346.db2.gz ZNLDMRPUYWJEFU-UHFFFAOYSA-N -1 1 314.769 1.652 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H]2CCOC(C)(C)C2)co1 ZINC000785902909 699109712 /nfs/dbraw/zinc/10/97/12/699109712.db2.gz DJHRMNNEWIPEEP-JTQLQIEISA-N -1 1 317.363 1.302 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cncc([O-])c1)c1cc(F)ccc1F ZINC000786076129 699124243 /nfs/dbraw/zinc/12/42/43/699124243.db2.gz SWXKNURUAYBVIR-ZDUSSCGKSA-N -1 1 322.267 1.710 20 0 DDADMM CC(=O)N1CSC[C@@H]1C(=O)Nc1ccc([O-])c(F)c1F ZINC000456779950 699192788 /nfs/dbraw/zinc/19/27/88/699192788.db2.gz AVHNEPJBOXFAMN-MRVPVSSYSA-N -1 1 302.302 1.530 20 0 DDADMM O=C(NCc1ncccn1)c1ccc(Br)cc1[O-] ZINC000702341440 699229584 /nfs/dbraw/zinc/22/95/84/699229584.db2.gz FATBQMHLHZYQGB-UHFFFAOYSA-N -1 1 308.135 1.875 20 0 DDADMM Cc1ccc(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)s1 ZINC000787903709 699252765 /nfs/dbraw/zinc/25/27/65/699252765.db2.gz ZYGFQFBTQWKETD-JTQLQIEISA-N -1 1 309.420 1.429 20 0 DDADMM CC(C)(C)n1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cn1 ZINC000787902231 699252827 /nfs/dbraw/zinc/25/28/27/699252827.db2.gz KWBCIVRUKOULKY-SNVBAGLBSA-N -1 1 321.410 1.082 20 0 DDADMM CSc1nc([N-]S(=O)(=O)C2=Cc3ccccc3OC2)n[nH]1 ZINC000788842382 699342313 /nfs/dbraw/zinc/34/23/13/699342313.db2.gz RLSCYWDAQLHJNF-UHFFFAOYSA-N -1 1 324.387 1.702 20 0 DDADMM C[C@@H]1CC[C@@H](COC(=O)c2sccc2[N-]S(C)(=O)=O)O1 ZINC000789031792 699357304 /nfs/dbraw/zinc/35/73/04/699357304.db2.gz NDMCSHDWHJJRKB-BDAKNGLRSA-N -1 1 319.404 1.844 20 0 DDADMM O=C(c1c[nH]cc1-c1ccccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732090714 699546127 /nfs/dbraw/zinc/54/61/27/699546127.db2.gz MKPJALXSJGFHFN-AWEZNQCLSA-N -1 1 324.344 1.409 20 0 DDADMM O=C(Nc1ccc2nc(-c3ccco3)[nH]c2c1)c1cc(=O)[nH][n-]1 ZINC000732274290 699553095 /nfs/dbraw/zinc/55/30/95/699553095.db2.gz QSTSXVZDOOBMAS-UHFFFAOYSA-N -1 1 309.285 1.637 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)COc3ccc(F)cc3)ccnc1-2 ZINC000791167381 699614016 /nfs/dbraw/zinc/61/40/16/699614016.db2.gz OTPKPGRETXFHSG-UHFFFAOYSA-N -1 1 300.293 1.498 20 0 DDADMM CC(=O)c1csc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000735503108 699695924 /nfs/dbraw/zinc/69/59/24/699695924.db2.gz GXZJDWOCAASVOI-UHFFFAOYSA-N -1 1 305.363 1.484 20 0 DDADMM O=C(c1c(F)cc(F)cc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000738246679 699755903 /nfs/dbraw/zinc/75/59/03/699755903.db2.gz JCCFUVUHXWJLFM-UHFFFAOYSA-N -1 1 311.267 1.637 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C=O)c(C)cc1C)c1nn[n-]n1 ZINC000793621841 699768108 /nfs/dbraw/zinc/76/81/08/699768108.db2.gz NPXBCHTUVNCZKP-ZDUSSCGKSA-N -1 1 301.350 1.900 20 0 DDADMM CCN(CC)C(=O)c1cccc(OS(=O)(=O)c2c[n-]cn2)c1 ZINC000742306815 699872765 /nfs/dbraw/zinc/87/27/65/699872765.db2.gz WWTKYYHOWLZBBD-UHFFFAOYSA-N -1 1 323.374 1.659 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2nonc2C)c(F)c1 ZINC000742325546 699873762 /nfs/dbraw/zinc/87/37/62/699873762.db2.gz QCVDNLXOKVTACJ-UHFFFAOYSA-N -1 1 319.289 1.143 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2cccnc2Cl)c[nH]1 ZINC000743046182 699898175 /nfs/dbraw/zinc/89/81/75/699898175.db2.gz DQCFALVHBQMXAN-UHFFFAOYSA-N -1 1 315.738 1.651 20 0 DDADMM O=C([O-])CCCC(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000743348671 699908150 /nfs/dbraw/zinc/90/81/50/699908150.db2.gz LFGVWEORMMYRQW-UHFFFAOYSA-N -1 1 312.410 1.393 20 0 DDADMM COCc1noc([C@@H](C)OC(=O)c2ccc(C(=O)OC)[n-]2)n1 ZINC000796364267 699929578 /nfs/dbraw/zinc/92/95/78/699929578.db2.gz CSERUZWZRBLNKA-SSDOTTSWSA-N -1 1 309.278 1.249 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@@H]2Cc3ccccc3O2)[n-]1 ZINC000796368914 699929807 /nfs/dbraw/zinc/92/98/07/699929807.db2.gz IVNFHUKYTPHQPS-NSHDSACASA-N -1 1 301.298 1.962 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nnc(-c3ccco3)o2)[n-]1 ZINC000796369140 699929832 /nfs/dbraw/zinc/92/98/32/699929832.db2.gz LJVXUVGRAKYIGS-UHFFFAOYSA-N -1 1 317.257 1.801 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCOCC1CC1 ZINC000744955420 699966781 /nfs/dbraw/zinc/96/67/81/699966781.db2.gz FVIBXWKNWJLAEO-UHFFFAOYSA-N -1 1 319.361 1.564 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)O[C@@H](C(N)=O)C(C)C)n2)cc1 ZINC000801367725 700301378 /nfs/dbraw/zinc/30/13/78/700301378.db2.gz MEIATFMMEAIGKO-CQSZACIVSA-N -1 1 317.345 1.553 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCc3nncn3C)n2)cc1 ZINC000801367532 700301652 /nfs/dbraw/zinc/30/16/52/700301652.db2.gz KCKDZVRFKBCAKV-UHFFFAOYSA-N -1 1 313.317 1.372 20 0 DDADMM O=C(NCc1ccc(N2CCOC2=O)cc1)c1cncc([O-])c1 ZINC000753466663 700436707 /nfs/dbraw/zinc/43/67/07/700436707.db2.gz HEBBYFBYKPLOLM-UHFFFAOYSA-N -1 1 313.313 1.674 20 0 DDADMM O=C(C[C@H]1CCCCO1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000755700825 700578534 /nfs/dbraw/zinc/57/85/34/700578534.db2.gz QQDJBMLYUHRQSY-TZMCWYRMSA-N -1 1 301.350 1.365 20 0 DDADMM Cc1ccc(CN2C[C@@H](C(=O)[N-]OCC(F)F)CC2=O)cc1 ZINC000759321958 700746112 /nfs/dbraw/zinc/74/61/12/700746112.db2.gz FZDRHJFTARHFRR-LBPRGKRZSA-N -1 1 312.316 1.656 20 0 DDADMM C[C@H]1CC(=O)CC[C@@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000760555454 700814036 /nfs/dbraw/zinc/81/40/36/700814036.db2.gz PBRHLAXFORLOHB-FZMZJTMJSA-N -1 1 317.341 1.492 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCc1ccn(C)n1 ZINC000760968264 700832158 /nfs/dbraw/zinc/83/21/58/700832158.db2.gz SGSYHFFGBZIRPG-UHFFFAOYSA-N -1 1 303.366 1.320 20 0 DDADMM O=C1OCc2c1cccc2S(=O)(=O)[N-]c1cc(C2CC2)n[nH]1 ZINC000761842011 700870830 /nfs/dbraw/zinc/87/08/30/700870830.db2.gz ONUCEJWWYDVWKW-UHFFFAOYSA-N -1 1 319.342 1.758 20 0 DDADMM CCC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCCCC1 ZINC000762726104 700905065 /nfs/dbraw/zinc/90/50/65/700905065.db2.gz XZPFMFVHPJLNIQ-UHFFFAOYSA-N -1 1 307.394 1.403 20 0 DDADMM COC(=O)N1CCC[C@H](NC(=O)c2c(F)ccc([O-])c2F)C1 ZINC000809647447 701677893 /nfs/dbraw/zinc/67/78/93/701677893.db2.gz CCIQFAQOIVGFQM-QMMMGPOBSA-N -1 1 314.288 1.631 20 0 DDADMM CC(C)c1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)no1 ZINC000763005920 700919096 /nfs/dbraw/zinc/91/90/96/700919096.db2.gz AZPCYNRAHKERTP-VIFPVBQESA-N -1 1 308.367 1.241 20 0 DDADMM Cc1cnc(C(=O)N2CCC(OC[C@H]3CCCO3)CC2)c([O-])c1 ZINC000763280873 700932877 /nfs/dbraw/zinc/93/28/77/700932877.db2.gz DEQDXFURFMMDKB-CQSZACIVSA-N -1 1 320.389 1.896 20 0 DDADMM Cc1cnc(C(=O)NCCC2N=NC(=S)N2C(C)C)c([O-])c1 ZINC000763284478 700933115 /nfs/dbraw/zinc/93/31/15/700933115.db2.gz RCLUJBXUCPAWQZ-UHFFFAOYSA-N -1 1 321.406 1.529 20 0 DDADMM Cc1cnc(C(=O)NCC2N=NC(=S)N2C(C)C)c([O-])c1 ZINC000763285225 700933197 /nfs/dbraw/zinc/93/31/97/700933197.db2.gz VWXMYOKMVDSRCT-UHFFFAOYSA-N -1 1 307.379 1.487 20 0 DDADMM CNc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc(Cl)n1 ZINC000765108280 700999552 /nfs/dbraw/zinc/99/95/52/700999552.db2.gz BHPKZPXKEXDFRM-MRVPVSSYSA-N -1 1 321.772 1.310 20 0 DDADMM C[C@@H]1CCc2c(C(=O)OCc3nc(=O)n(C)[n-]3)csc2C1 ZINC000765387533 701009217 /nfs/dbraw/zinc/00/92/17/701009217.db2.gz VFWDICFLMFKFGT-MRVPVSSYSA-N -1 1 307.375 1.652 20 0 DDADMM Cn1[n-]c(COC(=O)c2sccc2-n2cccc2)nc1=O ZINC000765409832 701010355 /nfs/dbraw/zinc/01/03/55/701010355.db2.gz NMWZZMWKSXUDTI-UHFFFAOYSA-N -1 1 304.331 1.318 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(F)c(F)cc2Cl)nc1=O ZINC000765430062 701012252 /nfs/dbraw/zinc/01/22/52/701012252.db2.gz HADNSCSBUOLVGT-UHFFFAOYSA-N -1 1 303.652 1.397 20 0 DDADMM CC(=O)Nc1ccc(Cl)c(C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765492919 701015865 /nfs/dbraw/zinc/01/58/65/701015865.db2.gz AXXOOGQCQYCPHG-UHFFFAOYSA-N -1 1 324.724 1.077 20 0 DDADMM COC[C@](C)([N-]C(=O)C(F)(F)c1ccccc1F)C(=O)OC ZINC000765860546 701029212 /nfs/dbraw/zinc/02/92/12/701029212.db2.gz OCFGJZHIUMEDOT-ZDUSSCGKSA-N -1 1 319.279 1.612 20 0 DDADMM C[C@@H](CO)COC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000766691789 701059509 /nfs/dbraw/zinc/05/95/09/701059509.db2.gz QMSKKZMZLSBLQM-VIFPVBQESA-N -1 1 319.379 1.142 20 0 DDADMM CNc1nc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cs1 ZINC000769055861 701224331 /nfs/dbraw/zinc/22/43/31/701224331.db2.gz JGZFXQZLYUIDMB-UHFFFAOYSA-N -1 1 319.342 1.298 20 0 DDADMM CC(C)[C@H]1CCC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000769397857 701243795 /nfs/dbraw/zinc/24/37/95/701243795.db2.gz FMJDQPCKJVRMJP-KBPBESRZSA-N -1 1 321.421 1.648 20 0 DDADMM COCCN(CCC(=O)OC)C(=O)c1c([O-])cccc1Cl ZINC000769856610 701259309 /nfs/dbraw/zinc/25/93/09/701259309.db2.gz ZPBAMOBKKYRIFG-UHFFFAOYSA-N -1 1 315.753 1.697 20 0 DDADMM CCOc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1OCC ZINC000770060549 701266412 /nfs/dbraw/zinc/26/64/12/701266412.db2.gz YGGVHKGSACHQGH-UHFFFAOYSA-N -1 1 322.321 1.072 20 0 DDADMM CS(=O)(=O)CCN(C(=O)c1c([O-])cccc1Cl)C1CC1 ZINC000770097455 701267336 /nfs/dbraw/zinc/26/73/36/701267336.db2.gz OQOZBQCUPNLYTH-UHFFFAOYSA-N -1 1 317.794 1.695 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)CC(C)(C)C)o1 ZINC000805397928 701379648 /nfs/dbraw/zinc/37/96/48/701379648.db2.gz KITUMRYNBJQQAY-UHFFFAOYSA-N -1 1 317.363 1.350 20 0 DDADMM Cc1cc(F)ccc1N1CCC[C@H](OC(=O)c2cn[n-]n2)C1=O ZINC000805603012 701397576 /nfs/dbraw/zinc/39/75/76/701397576.db2.gz CNWYVPUYQYXZFA-ZDUSSCGKSA-N -1 1 318.308 1.605 20 0 DDADMM CC(C)c1ccc(CCNC(=O)COC(=O)c2cn[n-]n2)cc1 ZINC000805605023 701398612 /nfs/dbraw/zinc/39/86/12/701398612.db2.gz UUXAUGYFCLBKPN-UHFFFAOYSA-N -1 1 316.361 1.444 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)N1c2ccccc2C[C@H]1C ZINC000805606764 701398996 /nfs/dbraw/zinc/39/89/96/701398996.db2.gz MNMHPDBSQUGIJC-ZJUUUORDSA-N -1 1 300.318 1.328 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC2(CCC2)C1)c1c[nH]nc1Cl ZINC000830903335 706600184 /nfs/dbraw/zinc/60/01/84/706600184.db2.gz GEJAYNQPJUUDMA-QMMMGPOBSA-N -1 1 305.787 1.443 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H](CO)c3ccsc3)ccnc1-2 ZINC000806481165 701437073 /nfs/dbraw/zinc/43/70/73/701437073.db2.gz ABSBXGGZKFMYSO-GFCCVEGCSA-N -1 1 317.374 1.258 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCOc4ncccc4C3)ccnc1-2 ZINC000806495252 701438050 /nfs/dbraw/zinc/43/80/50/701438050.db2.gz OEJWYECBDKMZTL-UHFFFAOYSA-N -1 1 324.344 1.163 20 0 DDADMM C[C@@H](CNC(=O)C(=O)c1ccc([O-])cc1)CC1(C)OCCO1 ZINC000806905689 701453512 /nfs/dbraw/zinc/45/35/12/701453512.db2.gz SXGZMAQYCRUZPA-LLVKDONJSA-N -1 1 307.346 1.480 20 0 DDADMM Cn1[n-]c(CNC(C)(C)c2nc3cc(Cl)ccc3o2)nc1=O ZINC000879159525 706602565 /nfs/dbraw/zinc/60/25/65/706602565.db2.gz XOWQYKMUOIBCOE-UHFFFAOYSA-N -1 1 321.768 1.928 20 0 DDADMM CC(C)(CC(=O)NCc1n[nH]c(-c2ccccc2)n1)C(=O)[O-] ZINC000808507887 701520786 /nfs/dbraw/zinc/52/07/86/701520786.db2.gz YZCMAGLDERRDAF-UHFFFAOYSA-N -1 1 302.334 1.589 20 0 DDADMM CC(C)(CC(=O)NCc1nc(-c2ccccc2)n[nH]1)C(=O)[O-] ZINC000808507887 701520787 /nfs/dbraw/zinc/52/07/87/701520787.db2.gz YZCMAGLDERRDAF-UHFFFAOYSA-N -1 1 302.334 1.589 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(Cc3ccc4c(c3)COC4)C2)n[nH]1 ZINC000808777799 701536122 /nfs/dbraw/zinc/53/61/22/701536122.db2.gz WRVSNMDWFDUGBC-GFCCVEGCSA-N -1 1 300.362 1.920 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCC(C)(C)OC)cc1C ZINC000867728343 701717044 /nfs/dbraw/zinc/71/70/44/701717044.db2.gz JFSXZZAOSTZZOZ-UHFFFAOYSA-N -1 1 319.379 1.468 20 0 DDADMM C[C@@H]1CCCC[C@@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830953777 706609985 /nfs/dbraw/zinc/60/99/85/706609985.db2.gz ZMYYLLBPGIAEFQ-MNOVXSKESA-N -1 1 305.378 1.697 20 0 DDADMM C[C@H]1CC[C@@H](CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000830959322 706611646 /nfs/dbraw/zinc/61/16/46/706611646.db2.gz MUJIDNLKOHTWTN-WDEREUQCSA-N -1 1 305.378 1.697 20 0 DDADMM CCc1ccc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)s1 ZINC000830965976 706612681 /nfs/dbraw/zinc/61/26/81/706612681.db2.gz MMPAKBMVJPVZEL-UHFFFAOYSA-N -1 1 319.386 1.809 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H](C)c1ccnn1C ZINC000867855770 701795842 /nfs/dbraw/zinc/79/58/42/701795842.db2.gz FVQSECWTPDRFSR-ZETCQYMHSA-N -1 1 317.802 1.155 20 0 DDADMM Cc1ccc2nc(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)ccc2c1 ZINC000815519941 701886195 /nfs/dbraw/zinc/88/61/95/701886195.db2.gz MHQNSPFXEZUCIR-AWEZNQCLSA-N -1 1 324.344 1.270 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868116632 701949086 /nfs/dbraw/zinc/94/90/86/701949086.db2.gz LHVWFXXZOAGJAP-GHMZBOCLSA-N -1 1 320.393 1.633 20 0 DDADMM O=C(C1SCCS1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000816524409 702105625 /nfs/dbraw/zinc/10/56/25/702105625.db2.gz DQPGKJKYHKTKHM-UHFFFAOYSA-N -1 1 301.393 1.287 20 0 DDADMM O=C(c1cncc(Cl)n1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000816525248 702106192 /nfs/dbraw/zinc/10/61/92/702106192.db2.gz LLCLHGRHOGDZPQ-UHFFFAOYSA-N -1 1 309.713 1.238 20 0 DDADMM COC(=O)C1(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)CC1 ZINC000840451618 702113681 /nfs/dbraw/zinc/11/36/81/702113681.db2.gz VRLFRJKOISPTHB-UHFFFAOYSA-N -1 1 318.281 1.495 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)c2ncccn2)C1)C(F)(F)F ZINC000812277197 702133460 /nfs/dbraw/zinc/13/34/60/702133460.db2.gz REWVRTPNGURFIY-SECBINFHSA-N -1 1 316.283 1.007 20 0 DDADMM O=S(=O)(C[C@@H]1CCCOC1)Nc1ccc(F)c(F)c1[O-] ZINC000840917252 702278872 /nfs/dbraw/zinc/27/88/72/702278872.db2.gz IUHQGFZVZYMEKX-MRVPVSSYSA-N -1 1 307.318 1.839 20 0 DDADMM C[C@@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C(C)(C)C ZINC000868748603 702300417 /nfs/dbraw/zinc/30/04/17/702300417.db2.gz RIEVRGIKDSWXPA-SECBINFHSA-N -1 1 308.382 1.489 20 0 DDADMM Cc1nc(C)c(C)c(N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000866294962 706668095 /nfs/dbraw/zinc/66/80/95/706668095.db2.gz YXSZPAIVSQZHLU-UHFFFAOYSA-N -1 1 302.300 1.517 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC/C=C/Br)co1 ZINC000813936229 702444987 /nfs/dbraw/zinc/44/49/87/702444987.db2.gz GDVGCQPAIPLNBO-NSCUHMNNSA-N -1 1 324.152 1.253 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)C(=O)O1 ZINC000841522869 702478623 /nfs/dbraw/zinc/47/86/23/702478623.db2.gz XYACATCGHVRLRN-OIBJUYFYSA-N -1 1 313.306 1.554 20 0 DDADMM CCOC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccc(C)c(C)c1 ZINC000817927744 702524726 /nfs/dbraw/zinc/52/47/26/702524726.db2.gz KDHDTHGBBMYCFG-UHFFFAOYSA-N -1 1 324.358 1.971 20 0 DDADMM C[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)c1cc[nH]c(=O)c1 ZINC000818027683 702558477 /nfs/dbraw/zinc/55/84/77/702558477.db2.gz RINWAEBLXZYNKS-QMMMGPOBSA-N -1 1 315.358 1.610 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@H](CC(C)C)OC ZINC000842017647 702656538 /nfs/dbraw/zinc/65/65/38/702656538.db2.gz QQOIPRLWZGQXQL-NSHDSACASA-N -1 1 316.423 1.749 20 0 DDADMM COC(=O)CCCc1nc(CO[N-]C(=O)CC(C)(C)C)no1 ZINC000842063245 702665950 /nfs/dbraw/zinc/66/59/50/702665950.db2.gz LFYJGNUYFOAIOZ-UHFFFAOYSA-N -1 1 313.354 1.549 20 0 DDADMM C[C@@H]1CC/C(=C/C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843015823 702803731 /nfs/dbraw/zinc/80/37/31/702803731.db2.gz IRFFFHXIYHFGKN-YGYTWAPGSA-N -1 1 314.451 1.663 20 0 DDADMM CCC/C(C)=C\C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843019389 702804587 /nfs/dbraw/zinc/80/45/87/702804587.db2.gz PESGASGXLZSOKB-BENRWUELSA-N -1 1 302.440 1.663 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CCN(C)c1ccccc1 ZINC000866434784 706698563 /nfs/dbraw/zinc/69/85/63/706698563.db2.gz XMVBSHALJQMQMP-IBGZPJMESA-N -1 1 319.452 1.075 20 0 DDADMM C[C@@H](Cc1ccco1)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866477073 706709212 /nfs/dbraw/zinc/70/92/12/706709212.db2.gz CUMYMMUKZBKIGQ-QMMMGPOBSA-N -1 1 302.302 1.862 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000844120026 702974488 /nfs/dbraw/zinc/97/44/88/702974488.db2.gz RUVXHTKSXORBGC-RKDXNWHRSA-N -1 1 313.737 1.042 20 0 DDADMM CCO[N-]C(=O)CNCc1cc(OC)ccc1Br ZINC000846087576 703236042 /nfs/dbraw/zinc/23/60/42/703236042.db2.gz FYTVNLZRXTWDCN-UHFFFAOYSA-N -1 1 317.183 1.615 20 0 DDADMM COc1ccc(OC)c2c1CN(CC1(CC(=O)[O-])CC1)C[C@@H]2O ZINC000846233590 703254606 /nfs/dbraw/zinc/25/46/06/703254606.db2.gz PVIMUFIXDSXYFN-LBPRGKRZSA-N -1 1 321.373 1.808 20 0 DDADMM CC(C)(C)c1cc(CN2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)no1 ZINC000846287803 703262104 /nfs/dbraw/zinc/26/21/04/703262104.db2.gz UQIRIQMAKJZIRX-MEDUHNTESA-N -1 1 308.378 1.895 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@]1(C)C[C@]1(F)Cl ZINC000846403998 703271709 /nfs/dbraw/zinc/27/17/09/703271709.db2.gz JBMFBQCYZIFOLS-VXGBXAGGSA-N -1 1 319.720 1.331 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C2(CCC2)CO1 ZINC000846510741 703287803 /nfs/dbraw/zinc/28/78/03/703287803.db2.gz IHTSCPXZNJDAHU-JTQLQIEISA-N -1 1 318.402 1.802 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC[C@H](C)COC)o1 ZINC000847875786 703479644 /nfs/dbraw/zinc/47/96/44/703479644.db2.gz UOGQUJQMMGNWKY-VIFPVBQESA-N -1 1 305.352 1.017 20 0 DDADMM Cn1nc(Br)cc1NC(=O)[N-]O[C@@H]1CCCCO1 ZINC000879644227 706748748 /nfs/dbraw/zinc/74/87/48/706748748.db2.gz UIDAAXHVYLECGG-SECBINFHSA-N -1 1 319.159 1.762 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2(C)CC(F)(F)C2)n[n-]1 ZINC000879654476 706750660 /nfs/dbraw/zinc/75/06/60/706750660.db2.gz JWOJXLNKYYYDOV-UHFFFAOYSA-N -1 1 302.281 1.033 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2(C)CC(F)(F)C2)n1 ZINC000879654476 706750663 /nfs/dbraw/zinc/75/06/63/706750663.db2.gz JWOJXLNKYYYDOV-UHFFFAOYSA-N -1 1 302.281 1.033 20 0 DDADMM O=C(NCc1n[nH]c([C@H]2CCCO2)n1)c1cccc(Cl)c1[O-] ZINC000848277694 703535237 /nfs/dbraw/zinc/53/52/37/703535237.db2.gz YLNBTIBFSVTHRU-SNVBAGLBSA-N -1 1 322.752 1.945 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H](O)CC(C)C)cc1C ZINC000851766109 703849087 /nfs/dbraw/zinc/84/90/87/703849087.db2.gz TYNMYOPTJMKZGI-SNVBAGLBSA-N -1 1 319.379 1.060 20 0 DDADMM CCOC(=O)[C@H](CC)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763818 706784227 /nfs/dbraw/zinc/78/42/27/706784227.db2.gz HTPHQFBQBZRWEX-CABZTGNLSA-N -1 1 310.316 1.471 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC2(CCNC2=O)CC1 ZINC000831784247 706779682 /nfs/dbraw/zinc/77/96/82/706779682.db2.gz PNZKQLRFPAJREV-UHFFFAOYSA-N -1 1 308.765 1.788 20 0 DDADMM CC(C)CNC(=O)[C@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764075 706784366 /nfs/dbraw/zinc/78/43/66/706784366.db2.gz SINNVVXLQFJGNK-GWCFXTLKSA-N -1 1 323.359 1.290 20 0 DDADMM Cc1nnc(CN(C)Cc2cc(=O)oc3cc([O-])ccc23)o1 ZINC000852471947 704056701 /nfs/dbraw/zinc/05/67/01/704056701.db2.gz PRIFPGLLUDVGOC-UHFFFAOYSA-N -1 1 301.302 1.822 20 0 DDADMM Cc1nccc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)n1 ZINC000852756582 704116209 /nfs/dbraw/zinc/11/62/09/704116209.db2.gz ONWZUPYGXVLDQD-SKDRFNHKSA-N -1 1 316.327 1.674 20 0 DDADMM O=C(O[C@H]1CNOC1)c1nn(-c2ccc(Cl)cc2)cc1[O-] ZINC000819756603 704168210 /nfs/dbraw/zinc/16/82/10/704168210.db2.gz ZYSRSWFGTSWJRX-JTQLQIEISA-N -1 1 309.709 1.292 20 0 DDADMM CC1=C(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)C(=O)OC1(C)C ZINC000819970336 704194665 /nfs/dbraw/zinc/19/46/65/704194665.db2.gz KIHIJNFGCAOOIP-VIFPVBQESA-N -1 1 321.333 1.133 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@H]1C[C@]12c1ccccc1NC2=O ZINC000820013614 704203294 /nfs/dbraw/zinc/20/32/94/704203294.db2.gz BSDVYQSXVQIROJ-WBMJQRKESA-N -1 1 304.346 1.369 20 0 DDADMM CC1(C)C[C@H]1C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866779913 706798051 /nfs/dbraw/zinc/79/80/51/706798051.db2.gz WHQQHNCZZTWAMJ-LURJTMIESA-N -1 1 308.201 1.497 20 0 DDADMM O=C(C1SCCCS1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000820075209 704216790 /nfs/dbraw/zinc/21/67/90/704216790.db2.gz XHGHRMANDMEXTA-UHFFFAOYSA-N -1 1 315.420 1.677 20 0 DDADMM CC[C@H](Cc1ccccc1C)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000820877839 704341449 /nfs/dbraw/zinc/34/14/49/704341449.db2.gz MIJKXGJEPUNDST-GFCCVEGCSA-N -1 1 303.362 1.729 20 0 DDADMM O=C([O-])[C@H](CNCc1cnn(-c2ccccc2)n1)C1CCC1 ZINC000854245053 704371477 /nfs/dbraw/zinc/37/14/77/704371477.db2.gz AZQDJSMSDFBNIL-OAHLLOKOSA-N -1 1 300.362 1.858 20 0 DDADMM O=Cc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cs1 ZINC000854342210 704382123 /nfs/dbraw/zinc/38/21/23/704382123.db2.gz LLNCANMEPLJCSP-UHFFFAOYSA-N -1 1 307.331 1.669 20 0 DDADMM O=C(NCC[C@@H]1CNC(=O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC000831958174 706812694 /nfs/dbraw/zinc/81/26/94/706812694.db2.gz XRDHDWVPDYNFDE-QMMMGPOBSA-N -1 1 316.279 1.667 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCC[C@@H](C)O)c1 ZINC000821353396 704395522 /nfs/dbraw/zinc/39/55/22/704395522.db2.gz UADBLQPSYSLKKL-BIBXISHDSA-N -1 1 300.376 1.507 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C(F)=C2CCC2)cc1 ZINC000821386592 704401779 /nfs/dbraw/zinc/40/17/79/704401779.db2.gz KVTQISXDJGJIIM-UHFFFAOYSA-N -1 1 321.304 1.902 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCCC2(C1)OCCO2 ZINC000866822105 706814284 /nfs/dbraw/zinc/81/42/84/706814284.db2.gz WFKWTBJGGGCZGL-UHFFFAOYSA-N -1 1 311.765 1.954 20 0 DDADMM CCO/C=C\C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856415181 704527877 /nfs/dbraw/zinc/52/78/77/704527877.db2.gz BBBBXVRMGJDPOF-LZDAAXCASA-N -1 1 308.300 1.452 20 0 DDADMM Cc1nc(C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)c[nH]1 ZINC000856419187 704528240 /nfs/dbraw/zinc/52/82/40/704528240.db2.gz WAJFDRQOZYZOTG-XCBNKYQSSA-N -1 1 318.299 1.247 20 0 DDADMM CCO[C@@H](C)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856419299 704528259 /nfs/dbraw/zinc/52/82/59/704528259.db2.gz KJKNBRXIUBYGIW-UTLUCORTSA-N -1 1 310.316 1.327 20 0 DDADMM CCCC(O)(CCC)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866848331 706824264 /nfs/dbraw/zinc/82/42/64/706824264.db2.gz LVPRLOYFCSYSRK-UHFFFAOYSA-N -1 1 322.377 1.969 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C=C3CCC(C)CC3)nc2n1 ZINC000857622145 704608443 /nfs/dbraw/zinc/60/84/43/704608443.db2.gz PFFAGWMTZLCVNX-UHFFFAOYSA-N -1 1 301.350 1.801 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2cccc(F)c2OC)on1 ZINC000866859680 706828281 /nfs/dbraw/zinc/82/82/81/706828281.db2.gz OZCLJMBUZSLYCE-UHFFFAOYSA-N -1 1 314.338 1.863 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@H]1CC1(Cl)Cl ZINC000857737220 704619363 /nfs/dbraw/zinc/61/93/63/704619363.db2.gz RZRZRWYCQTXRDR-YFKPBYRVSA-N -1 1 322.148 1.210 20 0 DDADMM C[C@H](F)CC[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867309543 706955181 /nfs/dbraw/zinc/95/51/81/706955181.db2.gz XKSXZGHQZBLIFQ-YFKPBYRVSA-N -1 1 300.153 1.199 20 0 DDADMM CC1(C)C[C@@H](S(=O)(=O)[N-][C@H]2CCCCC23OCCO3)CO1 ZINC000859073159 704793509 /nfs/dbraw/zinc/79/35/09/704793509.db2.gz DUZMNSRLHGKPTJ-NEPJUHHUSA-N -1 1 319.423 1.159 20 0 DDADMM CC1=NO[C@H](C[N-]S(=O)(=O)c2c(Cl)ccnc2Cl)C1 ZINC000867347481 706969304 /nfs/dbraw/zinc/96/93/04/706969304.db2.gz DXPUJHLSVFDSAD-ZETCQYMHSA-N -1 1 324.189 1.832 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1ncccc1C ZINC000867350299 706971256 /nfs/dbraw/zinc/97/12/56/706971256.db2.gz AHNQNKZDVGMRMI-UHFFFAOYSA-N -1 1 319.452 1.275 20 0 DDADMM CCn1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1C ZINC000822656861 704998361 /nfs/dbraw/zinc/99/83/61/704998361.db2.gz BPLYNDJCFLKNPF-NSHDSACASA-N -1 1 304.350 1.925 20 0 DDADMM COCCOCc1ccc(CN2CC[C@](F)(C(=O)[O-])C2)cc1 ZINC000859810469 705010887 /nfs/dbraw/zinc/01/08/87/705010887.db2.gz OWRDZFKOXZCMMS-MRXNPFEDSA-N -1 1 311.353 1.848 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H]1C[C@H](C(=O)[O-])C1)c1ccsc1 ZINC000874387108 705032226 /nfs/dbraw/zinc/03/22/26/705032226.db2.gz MSHUPQRTBBNZNZ-IJLUTSLNSA-N -1 1 311.407 1.513 20 0 DDADMM Cc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1C(F)(F)F ZINC000860168988 705122129 /nfs/dbraw/zinc/12/21/29/705122129.db2.gz KEETVUKCMRGZTE-UHFFFAOYSA-N -1 1 315.251 1.793 20 0 DDADMM CCCCSCC(=O)N[C@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC000880667961 707052670 /nfs/dbraw/zinc/05/26/70/707052670.db2.gz NCFDNZMIPAILBC-SECBINFHSA-N -1 1 314.411 1.692 20 0 DDADMM CCCCSCC(=O)N[C@H](C)c1nnc(C(=O)OCC)[n-]1 ZINC000880667961 707052671 /nfs/dbraw/zinc/05/26/71/707052671.db2.gz NCFDNZMIPAILBC-SECBINFHSA-N -1 1 314.411 1.692 20 0 DDADMM CCCCSCC(=O)N[C@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC000880667961 707052674 /nfs/dbraw/zinc/05/26/74/707052674.db2.gz NCFDNZMIPAILBC-SECBINFHSA-N -1 1 314.411 1.692 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=C(C2CC2)C2CC2)n[n-]1 ZINC000880667346 707052725 /nfs/dbraw/zinc/05/27/25/707052725.db2.gz WCIKUHOESSUZKD-SECBINFHSA-N -1 1 318.377 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=C(C2CC2)C2CC2)[n-]1 ZINC000880667346 707052726 /nfs/dbraw/zinc/05/27/26/707052726.db2.gz WCIKUHOESSUZKD-SECBINFHSA-N -1 1 318.377 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C=C(C2CC2)C2CC2)n1 ZINC000880667346 707052728 /nfs/dbraw/zinc/05/27/28/707052728.db2.gz WCIKUHOESSUZKD-SECBINFHSA-N -1 1 318.377 1.905 20 0 DDADMM COC(COCCNC(=O)Cc1ccc([O-])c(Cl)c1)OC ZINC000867656063 707064962 /nfs/dbraw/zinc/06/49/62/707064962.db2.gz LBWNELBEGATCRA-UHFFFAOYSA-N -1 1 317.769 1.340 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@@H]1CCO[C@H]1C ZINC000871724503 707177854 /nfs/dbraw/zinc/17/78/54/707177854.db2.gz WRZFSDNNDPSFMX-UWVGGRQHSA-N -1 1 300.380 1.112 20 0 DDADMM CCc1cc(CC(=O)NCc2cc(=O)[n-]c(SC)n2)n(C)n1 ZINC000824602850 705491297 /nfs/dbraw/zinc/49/12/97/705491297.db2.gz LIUYEVYRWUEWIQ-UHFFFAOYSA-N -1 1 321.406 1.059 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCCn1nc(C)cc1C ZINC000824623968 705495161 /nfs/dbraw/zinc/49/51/61/705495161.db2.gz YMTPLEFPNKFPAS-UHFFFAOYSA-N -1 1 311.382 1.670 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C1(CCc2ccccc2)CC1 ZINC000825283850 705639176 /nfs/dbraw/zinc/63/91/76/705639176.db2.gz RCNKGDCTEBWHFI-UHFFFAOYSA-N -1 1 313.357 1.917 20 0 DDADMM CC[C@H]1CC[C@@H](C)N1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876299996 705683119 /nfs/dbraw/zinc/68/31/19/705683119.db2.gz HMMHQDSVTYSYOK-MNOVXSKESA-N -1 1 320.393 1.727 20 0 DDADMM CCN1CCN(C(=O)NCc2ccc([O-])c(Cl)c2)CC1=O ZINC000876396171 705707851 /nfs/dbraw/zinc/70/78/51/705707851.db2.gz YTAQSXROLVUKQV-UHFFFAOYSA-N -1 1 311.769 1.419 20 0 DDADMM NC(=O)C[C@H]1CCN(C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000876396620 705708556 /nfs/dbraw/zinc/70/85/56/705708556.db2.gz KSHKSTAGBMEFAW-SNVBAGLBSA-N -1 1 311.769 1.453 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2ccns2)c(=O)[n-]1 ZINC000862415044 705713125 /nfs/dbraw/zinc/71/31/25/705713125.db2.gz WLSBFAAYIJEFOD-UHFFFAOYSA-N -1 1 324.431 1.918 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(C)(C)C(C)(F)F)o1 ZINC000825866825 705738069 /nfs/dbraw/zinc/73/80/69/705738069.db2.gz JCBAICCBIOEEKQ-UHFFFAOYSA-N -1 1 324.349 1.599 20 0 DDADMM Cc1nc(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)sc1C ZINC000826326789 705792297 /nfs/dbraw/zinc/79/22/97/705792297.db2.gz DXLWQOGWYPRGNU-UHFFFAOYSA-N -1 1 319.350 1.418 20 0 DDADMM Cc1nc(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)sc1C ZINC000826326789 705792299 /nfs/dbraw/zinc/79/22/99/705792299.db2.gz DXLWQOGWYPRGNU-UHFFFAOYSA-N -1 1 319.350 1.418 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2[C@H](C)CCC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826346136 705793467 /nfs/dbraw/zinc/79/34/67/705793467.db2.gz DIJOFMDRLHZRAX-QRJZZWFUSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2[C@H](C)CCC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826346136 705793470 /nfs/dbraw/zinc/79/34/70/705793470.db2.gz DIJOFMDRLHZRAX-QRJZZWFUSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2C[C@H](C)C[C@H](C)C2)c1-c1nnn[n-]1 ZINC000826346020 705793898 /nfs/dbraw/zinc/79/38/98/705793898.db2.gz AQUGBBMGYXEKCR-JZYVYDRUSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2C[C@H](C)C[C@H](C)C2)c1-c1nn[n-]n1 ZINC000826346020 705793900 /nfs/dbraw/zinc/79/39/00/705793900.db2.gz AQUGBBMGYXEKCR-JZYVYDRUSA-N -1 1 318.381 1.647 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000826783259 705871160 /nfs/dbraw/zinc/87/11/60/705871160.db2.gz VAVSPPMXNGIUSZ-SNVBAGLBSA-N -1 1 320.374 1.090 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)OC(C)(C)C ZINC000863686181 705986367 /nfs/dbraw/zinc/98/63/67/705986367.db2.gz BEQVVPCWQANDES-SNVBAGLBSA-N -1 1 309.366 1.772 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@@H]1CCCCC12OCCO2)C(C)C ZINC000827369929 705987200 /nfs/dbraw/zinc/98/72/00/705987200.db2.gz SCQZVLKKZRCPTF-QWHCGFSZSA-N -1 1 321.439 1.263 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@H]1c1ncc[nH]1 ZINC000827720918 706056541 /nfs/dbraw/zinc/05/65/41/706056541.db2.gz OCAVBOVIFANLMY-JTQLQIEISA-N -1 1 314.374 1.718 20 0 DDADMM CO[C@H]1CCC[C@@H]1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000827820673 706072257 /nfs/dbraw/zinc/07/22/57/706072257.db2.gz FFWKTARUKVWESA-KOLCDFICSA-N -1 1 306.391 1.563 20 0 DDADMM C/C(=C/c1cccnc1)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000864160502 706085066 /nfs/dbraw/zinc/08/50/66/706085066.db2.gz YAAXVOIGYARJFV-ZVHGMHCTSA-N -1 1 317.389 1.492 20 0 DDADMM Cc1cccn2cc(C(=O)N3CCC(c4nn[n-]n4)CC3)cc12 ZINC000828417050 706175821 /nfs/dbraw/zinc/17/58/21/706175821.db2.gz RPHCYYDGVKAPFR-UHFFFAOYSA-N -1 1 310.361 1.781 20 0 DDADMM C=Cn1cc(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)cn1 ZINC000877775910 706206692 /nfs/dbraw/zinc/20/66/92/706206692.db2.gz SZSLGLKEUAQLNO-LBPRGKRZSA-N -1 1 302.300 1.627 20 0 DDADMM CC[C@@H](C)[C@H](NCC(=O)N1CCc2ccccc2C1)C(=O)[O-] ZINC000828738397 706223331 /nfs/dbraw/zinc/22/33/31/706223331.db2.gz OAFHIEHPPAIXPD-WBMJQRKESA-N -1 1 304.390 1.660 20 0 DDADMM O=c1[n-]c(Cn2cc(C3CCCCC3)nn2)nc2c1COCC2 ZINC000864654872 706226198 /nfs/dbraw/zinc/22/61/98/706226198.db2.gz WPPCKKGLMPJHDW-UHFFFAOYSA-N -1 1 315.377 1.942 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)C[C@H]1C(C)(C)C1(F)F ZINC000881907573 707418531 /nfs/dbraw/zinc/41/85/31/707418531.db2.gz QGAFTQPWAGCIGF-VIFPVBQESA-N -1 1 313.366 1.540 20 0 DDADMM CCO[C@H]1C[C@@H]([N@H+](C)Cc2nc3c(c(=O)[nH]2)COCC3)C1(C)C ZINC000878124862 706301030 /nfs/dbraw/zinc/30/10/30/706301030.db2.gz IZMARUJYIIHVHH-KGLIPLIRSA-N -1 1 321.421 1.890 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCc1ccco1 ZINC000872473324 707432901 /nfs/dbraw/zinc/43/29/01/707432901.db2.gz HADAVBVQFAZGBM-GOSISDBHSA-N -1 1 308.425 1.553 20 0 DDADMM Cn1c(C(=O)[N-]O[C@@H]2CCCCO2)cc(=O)c2ccccc21 ZINC000865082965 706344590 /nfs/dbraw/zinc/34/45/90/706344590.db2.gz XYAHGRNGSIYGGY-OAHLLOKOSA-N -1 1 302.330 1.727 20 0 DDADMM CCCn1cc([N-]S(=O)(=O)c2c[nH]nc2Cl)ccc1=O ZINC000829755798 706390493 /nfs/dbraw/zinc/39/04/93/706390493.db2.gz ZXELRSQDVUAKMB-UHFFFAOYSA-N -1 1 316.770 1.436 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-]Cc1ncc(C)o1 ZINC000881960190 707444941 /nfs/dbraw/zinc/44/49/41/707444941.db2.gz HXXAWTHFBSXYMW-SNVBAGLBSA-N -1 1 304.368 1.134 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2c(c1)C[C@H](C)O2)c1nn[n-]n1 ZINC000829802113 706398445 /nfs/dbraw/zinc/39/84/45/706398445.db2.gz XRUPIVJJFHAMCX-JOYOIKCWSA-N -1 1 301.350 1.794 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)CSc1nncn1C1CC1 ZINC000865349661 706411043 /nfs/dbraw/zinc/41/10/43/706411043.db2.gz KBSJAOFNFGXEFW-UHFFFAOYSA-N -1 1 322.312 1.343 20 0 DDADMM COC(C)(C)CC[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872511829 707455694 /nfs/dbraw/zinc/45/56/94/707455694.db2.gz LERZDIUEASWWAE-GOSISDBHSA-N -1 1 314.473 1.532 20 0 DDADMM CCO[C@@H](C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830788051 706579318 /nfs/dbraw/zinc/57/93/18/706579318.db2.gz ACQVCONQWKVBIB-ZWNOBZJWSA-N -1 1 322.327 1.471 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)/C=C\[C@@H]2CCOC2)C1 ZINC000830796092 706581385 /nfs/dbraw/zinc/58/13/85/706581385.db2.gz VZPAVFMEVDLKSW-GCNHQONWSA-N -1 1 320.311 1.249 20 0 DDADMM CSC1(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000830802438 706582772 /nfs/dbraw/zinc/58/27/72/706582772.db2.gz UQZRWYZDSRNFJA-NSHDSACASA-N -1 1 324.368 1.942 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@]2(C)CCOC2)C1 ZINC000830807321 706583045 /nfs/dbraw/zinc/58/30/45/706583045.db2.gz HMOBOBZEIIBOKY-VXGBXAGGSA-N -1 1 308.300 1.083 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CC[C@H](SC)C2)c1 ZINC000866885424 706834788 /nfs/dbraw/zinc/83/47/88/706834788.db2.gz PODVCQJKGTYTFT-KOLCDFICSA-N -1 1 317.432 1.963 20 0 DDADMM CCO[C@H]1C[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)C12CCCC2 ZINC000866892303 706836838 /nfs/dbraw/zinc/83/68/38/706836838.db2.gz CJTGLFAMHVNXFF-QWRGUYRKSA-N -1 1 324.468 1.286 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]2OCC[C@@H]21)c1ccc(F)nc1F ZINC000866962873 706856903 /nfs/dbraw/zinc/85/69/03/706856903.db2.gz PXEYGNLLNMQCLQ-BBBLOLIVSA-N -1 1 318.345 1.596 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCOC2(CCC2)C1 ZINC000866984327 706864377 /nfs/dbraw/zinc/86/43/77/706864377.db2.gz QCKHFXNUQAXPKP-MLCYQJTMSA-N -1 1 310.441 1.040 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@]2(O)CCc3ccccc32)sn1 ZINC000867004447 706869921 /nfs/dbraw/zinc/86/99/21/706869921.db2.gz RHEGGTMAEDWEQF-AWEZNQCLSA-N -1 1 324.427 1.564 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCC(=O)[C@@H]1C[C@@H]1C ZINC000908994003 712911116 /nfs/dbraw/zinc/91/11/16/712911116.db2.gz CTCVDZTUZPKTSO-GZMMTYOYSA-N -1 1 317.345 1.363 20 0 DDADMM O=S(=O)([N-][C@@H]([C@@H](CO)C1CC1)C1CC1)c1c[nH]nc1Cl ZINC000832233484 706876693 /nfs/dbraw/zinc/87/66/93/706876693.db2.gz ZNSXKBFIRKHMEK-GXSJLCMTSA-N -1 1 319.814 1.139 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1CCO[C@H](C2CC2)C1 ZINC000867113934 706900776 /nfs/dbraw/zinc/90/07/76/706900776.db2.gz YMJRWUIAADELHV-NEPJUHHUSA-N -1 1 322.452 1.040 20 0 DDADMM Cc1cc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c(C)n1C ZINC000832861920 706996497 /nfs/dbraw/zinc/99/64/97/706996497.db2.gz MZULGNYKVUOGCV-GFCCVEGCSA-N -1 1 307.394 1.263 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccc(C(F)(F)F)s1 ZINC000834345115 707033522 /nfs/dbraw/zinc/03/35/22/707033522.db2.gz JKCJTPFUFLYTLL-UHFFFAOYSA-N -1 1 305.285 1.529 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(C)CC(F)(F)C2)n[n-]1 ZINC000880666287 707052361 /nfs/dbraw/zinc/05/23/61/707052361.db2.gz MSNJPKSBJMNHKL-ZETCQYMHSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(C)CC(F)(F)C2)[n-]1 ZINC000880666287 707052363 /nfs/dbraw/zinc/05/23/63/707052363.db2.gz MSNJPKSBJMNHKL-ZETCQYMHSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(C)CC(F)(F)C2)n1 ZINC000880666287 707052364 /nfs/dbraw/zinc/05/23/64/707052364.db2.gz MSNJPKSBJMNHKL-ZETCQYMHSA-N -1 1 316.308 1.594 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@@H]1CCCC[C@@H]1F ZINC000867612662 707054237 /nfs/dbraw/zinc/05/42/37/707054237.db2.gz KGWIZVORFJWOJZ-JGVFFNPUSA-N -1 1 322.383 1.489 20 0 DDADMM CC1(C)OCC(CO)(NC(=O)c2cc(Cl)ccc2[O-])CO1 ZINC000834479795 707063624 /nfs/dbraw/zinc/06/36/24/707063624.db2.gz UJYRVLBJHHKJMD-UHFFFAOYSA-N -1 1 315.753 1.289 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1ccc(F)nc1F)n1cccn1 ZINC000867723863 707086216 /nfs/dbraw/zinc/08/62/16/707086216.db2.gz MZVNPUBHNMHDIT-UHFFFAOYSA-N -1 1 316.333 1.270 20 0 DDADMM CN(C)[C@H](C(=O)NCc1ccc([O-])c(Cl)c1)c1cncn1C ZINC000834824917 707131517 /nfs/dbraw/zinc/13/15/17/707131517.db2.gz BRUWEURFBSJUKL-AWEZNQCLSA-N -1 1 322.796 1.698 20 0 DDADMM CN(CCNC(=O)NC1(C(=O)[O-])CCC1)Cc1ccc(F)cc1 ZINC000909096460 712936389 /nfs/dbraw/zinc/93/63/89/712936389.db2.gz WTHGCEPMIIQATI-UHFFFAOYSA-N -1 1 323.368 1.564 20 0 DDADMM O=C1N[C@H]([C@@H]2CCCOC2)C(=O)N1Cc1ccc([O-])c(Cl)c1 ZINC000871911926 707242986 /nfs/dbraw/zinc/24/29/86/707242986.db2.gz NVURARXTUOORAA-ZWNOBZJWSA-N -1 1 324.764 1.893 20 0 DDADMM Cc1[n-]n(C2=NS(=O)(=O)c3ccccc32)c(=O)c1C1CC1 ZINC000871946190 707250967 /nfs/dbraw/zinc/25/09/67/707250967.db2.gz NIAXAXNFIPYUSD-GFCCVEGCSA-N -1 1 303.343 1.380 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)CO1)c1cncc([O-])c1 ZINC000881549819 707290401 /nfs/dbraw/zinc/29/04/01/707290401.db2.gz FDNNTIPVMDXGLA-AWEZNQCLSA-N -1 1 306.362 1.493 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)CO1)c1cncc([O-])c1 ZINC000881549820 707290419 /nfs/dbraw/zinc/29/04/19/707290419.db2.gz FDNNTIPVMDXGLA-CQSZACIVSA-N -1 1 306.362 1.493 20 0 DDADMM CCOC[C@H](O)CN1CCc2c(C(=O)[O-])ccc(OC)c2C1 ZINC000872166771 707311768 /nfs/dbraw/zinc/31/17/68/707311768.db2.gz QXMUVWJLLPCSCW-LLVKDONJSA-N -1 1 309.362 1.149 20 0 DDADMM O=C(NCC[C@@H]1CCCS1(=O)=O)c1ccc([O-])c(F)c1 ZINC000881898441 707413123 /nfs/dbraw/zinc/41/31/23/707413123.db2.gz KIKYHKWAMHNSBC-JTQLQIEISA-N -1 1 301.339 1.228 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]3CCC[C@H]3O2)sc1C ZINC000872556402 707477676 /nfs/dbraw/zinc/47/76/76/707477676.db2.gz LVQCTWCRKVFPOT-SDDRHHMPSA-N -1 1 316.448 1.996 20 0 DDADMM Cc1cc(CC(=O)NC[C@H](Cc2ccccc2)C(=O)[O-])[nH]n1 ZINC000909179622 712955911 /nfs/dbraw/zinc/95/59/11/712955911.db2.gz HQSTXKFVAPKFHJ-ZDUSSCGKSA-N -1 1 301.346 1.320 20 0 DDADMM O=C(N[C@@H]1CC12CCOCC2)c1ccc2n[n-]c(=S)n2c1 ZINC000836866618 707534740 /nfs/dbraw/zinc/53/47/40/707534740.db2.gz AIKBQIDOGIKRBT-SNVBAGLBSA-N -1 1 304.375 1.317 20 0 DDADMM CCOC1CC2(C[C@@H]2[N-]S(=O)(=O)N=S(=O)(CC)CC)C1 ZINC000872657719 707538369 /nfs/dbraw/zinc/53/83/69/707538369.db2.gz DTSRNVAJJUQMBQ-CXQJBGSLSA-N -1 1 324.468 1.286 20 0 DDADMM CCC(CC)N1C[C@@H](C(=O)[N-]O[C@H](CO)C(C)C)CC1=O ZINC000836899826 707545525 /nfs/dbraw/zinc/54/55/25/707545525.db2.gz KZETUNJRCFNWGC-WCQYABFASA-N -1 1 300.399 1.088 20 0 DDADMM Cc1cc(C[N-]C(=O)C(F)(F)F)cc(NC(=O)[C@@H](C)O)c1 ZINC000836949545 707553042 /nfs/dbraw/zinc/55/30/42/707553042.db2.gz KALBZIFMBJNRBK-MRVPVSSYSA-N -1 1 304.268 1.493 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000872930810 707659173 /nfs/dbraw/zinc/65/91/73/707659173.db2.gz PKGATFINXYFDQJ-VHSXEESVSA-N -1 1 305.309 1.819 20 0 DDADMM C[C@@H](C[S@](C)=O)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872967623 707675585 /nfs/dbraw/zinc/67/55/85/707675585.db2.gz JKKXDNABZYFUNG-RLBGWGEZSA-N -1 1 304.799 1.612 20 0 DDADMM O=C(OC[C@@H]1COCCO1)c1ccc(Br)c([O-])c1 ZINC000837590710 707689546 /nfs/dbraw/zinc/68/95/46/707689546.db2.gz HCAJULNYEAIJBJ-VIFPVBQESA-N -1 1 317.135 1.727 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC1(CC2CC2)CC1 ZINC000882569982 707702951 /nfs/dbraw/zinc/70/29/51/707702951.db2.gz SVGLDTPENMKYOT-UHFFFAOYSA-N -1 1 308.469 1.909 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2(CC3CC3)CC2)o1 ZINC000882572447 707704036 /nfs/dbraw/zinc/70/40/36/707704036.db2.gz NGAUOTCUSMVFLH-UHFFFAOYSA-N -1 1 313.375 1.925 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](CO)Cc2ccoc2)sn1 ZINC000882640586 707728607 /nfs/dbraw/zinc/72/86/07/707728607.db2.gz GEMQPTQEWFBFCH-NSHDSACASA-N -1 1 316.404 1.174 20 0 DDADMM CCC[C@@H](C)CCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000883760764 708060228 /nfs/dbraw/zinc/06/02/28/708060228.db2.gz JEPMIRFTCVTRHY-LLVKDONJSA-N -1 1 322.409 1.880 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)CC(=O)NC(C)C ZINC000883970037 708090738 /nfs/dbraw/zinc/09/07/38/708090738.db2.gz DJVXBCUIFNQYLI-UHFFFAOYSA-N -1 1 308.382 1.211 20 0 DDADMM Cc1ccc2c(c1)CC[C@H]2NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909401245 713008771 /nfs/dbraw/zinc/00/87/71/713008771.db2.gz OLVXLLHESXLVRR-GOEBONIOSA-N -1 1 316.401 1.895 20 0 DDADMM CC(C)(C)SCCNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909403499 713009445 /nfs/dbraw/zinc/00/94/45/713009445.db2.gz SJOSLGKAPXCVEE-NSHDSACASA-N -1 1 302.440 1.431 20 0 DDADMM COc1cnc([C@H]2CCCN2c2cc(C)nc(C)n2)[n-]c1=O ZINC000897225159 708260893 /nfs/dbraw/zinc/26/08/93/708260893.db2.gz ZLHPXJWWKSFALJ-LLVKDONJSA-N -1 1 301.350 1.939 20 0 DDADMM C[C@H](C[S@](C)=O)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897371758 708307698 /nfs/dbraw/zinc/30/76/98/708307698.db2.gz DVQIWXRONIJPER-BTKVJGODSA-N -1 1 307.371 1.748 20 0 DDADMM C[C@@H](CN(C(=O)CN(C)CCc1ccccc1)C1CC1)C(=O)[O-] ZINC000909453000 713021911 /nfs/dbraw/zinc/02/19/11/713021911.db2.gz AQEXUJUFHPCSPJ-AWEZNQCLSA-N -1 1 318.417 1.873 20 0 DDADMM O=C1CCCCCN1CCC[N-]S(=O)(=O)c1ccns1 ZINC000884904757 708414458 /nfs/dbraw/zinc/41/44/58/708414458.db2.gz VPAYARIMAZSIMV-UHFFFAOYSA-N -1 1 317.436 1.214 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2ccns2)[C@H]2CCCO[C@H]21 ZINC000885032631 708449423 /nfs/dbraw/zinc/44/94/23/708449423.db2.gz AIHKWWPIXRTNHL-FBIMIBRVSA-N -1 1 302.421 1.625 20 0 DDADMM CC[C@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912531117 713031485 /nfs/dbraw/zinc/03/14/85/713031485.db2.gz PQNHFXLBUYTWMD-ONGXEEELSA-N -1 1 315.395 1.443 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)C[C@@H]1CCCC(C)(C)O1 ZINC000885232490 708499252 /nfs/dbraw/zinc/49/92/52/708499252.db2.gz XSPIVMKYZXHDSS-QWRGUYRKSA-N -1 1 319.423 1.205 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1ccns1)C(=O)OC(C)(C)C ZINC000885279885 708509476 /nfs/dbraw/zinc/50/94/76/708509476.db2.gz JOJXRRSPYXPTIQ-QMMMGPOBSA-N -1 1 306.409 1.399 20 0 DDADMM C[C@H](O)[C@H]1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])CCO1 ZINC000897983227 708509982 /nfs/dbraw/zinc/50/99/82/708509982.db2.gz AYGXSQMODKIJRO-ZUZCIYMTSA-N -1 1 317.341 1.473 20 0 DDADMM C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000885620935 708586155 /nfs/dbraw/zinc/58/61/55/708586155.db2.gz QINSNBITIRSFPR-XGEHTFHBSA-N -1 1 323.340 1.999 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1[C@H](C)CCC[C@@H]1C ZINC000912554291 713035409 /nfs/dbraw/zinc/03/54/09/713035409.db2.gz WSIVPPDHTRZDHB-JZYVYDRUSA-N -1 1 315.395 1.299 20 0 DDADMM O=C(CN1CCCNC1=O)Nc1cc(F)c([O-])cc1Cl ZINC000885674192 708594585 /nfs/dbraw/zinc/59/45/85/708594585.db2.gz KAOHHQRNATXDJL-UHFFFAOYSA-N -1 1 301.705 1.539 20 0 DDADMM NC(=O)N1CCC[C@@H](C(=O)Nc2cc(F)c([O-])cc2Cl)C1 ZINC000885673992 708594597 /nfs/dbraw/zinc/59/45/97/708594597.db2.gz BRVLUALGVXACSJ-SSDOTTSWSA-N -1 1 315.732 1.914 20 0 DDADMM CCN1C[C@@H](C(=O)Nc2cc(F)c([O-])cc2Cl)CC1=O ZINC000885674142 708594667 /nfs/dbraw/zinc/59/46/67/708594667.db2.gz ILMBKKWKFUKBPA-ZETCQYMHSA-N -1 1 300.717 1.992 20 0 DDADMM CCN1CCOC[C@H]1C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885676318 708595345 /nfs/dbraw/zinc/59/53/45/708595345.db2.gz MTGRRCCRBFCJMY-NSHDSACASA-N -1 1 302.733 1.844 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2(C3CC3)CC2)cc1 ZINC000885734620 708611408 /nfs/dbraw/zinc/61/14/08/708611408.db2.gz GMLMUAZPYZIGKR-UHFFFAOYSA-N -1 1 317.341 1.685 20 0 DDADMM Cc1n[nH]c(=O)c(C(=O)NCCc2c(F)cc([O-])cc2F)c1C ZINC000886262952 708731513 /nfs/dbraw/zinc/73/15/13/708731513.db2.gz GVFLQLAJLNAYQR-UHFFFAOYSA-N -1 1 323.299 1.755 20 0 DDADMM O=C(N[C@@H]1CCCC12OCCO2)c1ncc2ccccc2c1[O-] ZINC000912633990 713056768 /nfs/dbraw/zinc/05/67/68/713056768.db2.gz OXVUDETWFNRLMQ-CYBMUJFWSA-N -1 1 314.341 1.966 20 0 DDADMM O=S(=O)(CCCCF)[N-]c1cnc(C(F)(F)F)nc1 ZINC000886409851 708755831 /nfs/dbraw/zinc/75/58/31/708755831.db2.gz QFMPSCZMGOUYTG-UHFFFAOYSA-N -1 1 301.265 1.987 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC1(CCO)CC1 ZINC000927782315 713054379 /nfs/dbraw/zinc/05/43/79/713054379.db2.gz CLNNVGMGROVZAB-UHFFFAOYSA-N -1 1 314.332 1.675 20 0 DDADMM C[C@](O)(CNC(=O)NCCc1c(F)cc([O-])cc1F)C1CC1 ZINC000927781522 713054696 /nfs/dbraw/zinc/05/46/96/713054696.db2.gz PPXGXOAMYSQROI-HNNXBMFYSA-N -1 1 314.332 1.673 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCC[C@H](O)CC1 ZINC000927789940 713056902 /nfs/dbraw/zinc/05/69/02/713056902.db2.gz SJQHHEMVPPQLFG-JTQLQIEISA-N -1 1 314.332 1.769 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)CCS1 ZINC000921717050 713852585 /nfs/dbraw/zinc/85/25/85/713852585.db2.gz ZTWSQAUHCYPTQS-YUMQZZPRSA-N -1 1 308.375 1.922 20 0 DDADMM CCSc1ccc(CC(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC000898752912 708854846 /nfs/dbraw/zinc/85/48/46/708854846.db2.gz BFUBQSFDPJOILN-UHFFFAOYSA-N -1 1 319.386 1.859 20 0 DDADMM CC(C)Cc1ccc(CC(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC000898754447 708855455 /nfs/dbraw/zinc/85/54/55/708855455.db2.gz VUUHGRNYVQOITP-UHFFFAOYSA-N -1 1 315.373 1.945 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@H]1C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898758795 708857507 /nfs/dbraw/zinc/85/75/07/708857507.db2.gz ZFOCUWLDQAAIOO-RKDXNWHRSA-N -1 1 305.359 1.678 20 0 DDADMM C[C@@]1(CO)C[C@H](O)CN1C(=O)c1ccc2ccccc2c1[O-] ZINC000912672041 713066361 /nfs/dbraw/zinc/06/63/61/713066361.db2.gz WRHBCIWKSZLEOP-SJCJKPOMSA-N -1 1 301.342 1.503 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2cc(F)ccc2[O-])CCS1(=O)=O ZINC000887179438 708982122 /nfs/dbraw/zinc/98/21/22/708982122.db2.gz HNSASCORIRHEPL-PSASIEDQSA-N -1 1 301.339 1.227 20 0 DDADMM O=C(N[C@@H](CO)C[C@H]1CCCO1)c1c(F)ccc([O-])c1F ZINC000899281955 709043599 /nfs/dbraw/zinc/04/35/99/709043599.db2.gz GKESGHSYXSPHRA-RKDXNWHRSA-N -1 1 301.289 1.330 20 0 DDADMM C[C@@H]1C[C@@]2(CC[C@@H](CNC(=O)c3ccc([O-])cc3F)O2)CO1 ZINC000899481801 709094780 /nfs/dbraw/zinc/09/47/80/709094780.db2.gz XUQUBVDKMAQCMR-XAGWURHQSA-N -1 1 309.337 1.988 20 0 DDADMM CN1CCN(C(=O)c2ccc3ccccc3c2[O-])[C@@H](CO)C1 ZINC000912747447 713083096 /nfs/dbraw/zinc/08/30/96/713083096.db2.gz JOMGTVMZMMDRKF-CYBMUJFWSA-N -1 1 300.358 1.294 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(CC(F)F)C2CCC2)co1 ZINC000899785665 709195518 /nfs/dbraw/zinc/19/55/18/709195518.db2.gz GRDRFJDJPAJLKD-UHFFFAOYSA-N -1 1 322.333 1.448 20 0 DDADMM CN=[S@@](C)(=O)CCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000912780371 713090682 /nfs/dbraw/zinc/09/06/82/713090682.db2.gz WBLMWADEMQIALU-IBGZPJMESA-N -1 1 304.799 1.432 20 0 DDADMM CN(CC(=O)N1CCCC[C@@H]1CC(=O)[O-])[C@@H]1CCSC1 ZINC000909497414 709489662 /nfs/dbraw/zinc/48/96/62/709489662.db2.gz NLUIDFQKCDIWIV-VXGBXAGGSA-N -1 1 300.424 1.280 20 0 DDADMM C[C@]1(NC(=O)[C@@H]2CCCc3[nH]ncc32)CCCC[C@H]1C(=O)[O-] ZINC000909529039 709503262 /nfs/dbraw/zinc/50/32/62/709503262.db2.gz LPRQFZHTAAVTLI-XAGWURHQSA-N -1 1 305.378 1.979 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@H](CCC(=O)[O-])Cc1ccccc1 ZINC000909536775 709505994 /nfs/dbraw/zinc/50/59/94/709505994.db2.gz RXLWINCUGBPUEF-HUUCEWRRSA-N -1 1 304.390 1.673 20 0 DDADMM O=C([N-]OCCOc1ccccc1)C1CN(CC(F)(F)F)C1 ZINC000889446738 709506231 /nfs/dbraw/zinc/50/62/31/709506231.db2.gz RQLWVVSZXRVKOL-UHFFFAOYSA-N -1 1 318.295 1.607 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1Cc2ccccc2O1)c1nn[n-]n1 ZINC000912860573 713109941 /nfs/dbraw/zinc/10/99/41/713109941.db2.gz XWQVENXSRHLELD-CMPLNLGQSA-N -1 1 319.390 1.114 20 0 DDADMM CSCC[C@H](NC(=O)CCc1ccccc1)c1nn[n-]n1 ZINC000912860585 713109950 /nfs/dbraw/zinc/10/99/50/713109950.db2.gz YDOPWKCQZXNBRP-LBPRGKRZSA-N -1 1 305.407 1.743 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C)cc(F)c1)c1nn[n-]n1 ZINC000912861233 713110128 /nfs/dbraw/zinc/11/01/28/713110128.db2.gz HQKPTLMCMVLCMS-NSHDSACASA-N -1 1 309.370 1.871 20 0 DDADMM CSCC[C@H](NC(=O)CCC1CCOCC1)c1nn[n-]n1 ZINC000912861443 713110493 /nfs/dbraw/zinc/11/04/93/713110493.db2.gz LNPRKFJXMFPTFZ-NSHDSACASA-N -1 1 313.427 1.317 20 0 DDADMM CCCNC(=O)CCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900312892 709535699 /nfs/dbraw/zinc/53/56/99/709535699.db2.gz IDZLVJNOEJVKHD-UHFFFAOYSA-N -1 1 319.336 1.726 20 0 DDADMM CSCC[C@H](NC(=O)Cc1ccsc1C)c1nn[n-]n1 ZINC000912862328 713111142 /nfs/dbraw/zinc/11/11/42/713111142.db2.gz MVMSWUBMPPUNDO-JTQLQIEISA-N -1 1 311.436 1.723 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2CCOC[C@@H]2CC(=O)[O-])[nH]1 ZINC000909617812 709542383 /nfs/dbraw/zinc/54/23/83/709542383.db2.gz YIRHRTRMQQWFSH-JTQLQIEISA-N -1 1 303.318 1.187 20 0 DDADMM C[C@@H](C(=O)N1C[C@@H](c2ccc(Cl)cc2)[C@H](C(=O)[O-])C1)N(C)C ZINC000909628546 709547708 /nfs/dbraw/zinc/54/77/08/709547708.db2.gz LHCWMBHBXKXXHC-LEWSCRJBSA-N -1 1 324.808 1.917 20 0 DDADMM CCN(CC)CC(=O)Nc1ccc(C(=O)N[C@@H](C)C(=O)[O-])cc1 ZINC000909744338 709603218 /nfs/dbraw/zinc/60/32/18/709603218.db2.gz LHMRFNHFTJUOGZ-NSHDSACASA-N -1 1 321.377 1.170 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CC2(OC)CCC2)[n-]c1=O ZINC000889789961 709637231 /nfs/dbraw/zinc/63/72/31/709637231.db2.gz LKYWFSHBPSUHFT-NSHDSACASA-N -1 1 321.377 1.814 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@H](Cc1cccc(Cl)c1)C(=O)[O-] ZINC000909817396 709637398 /nfs/dbraw/zinc/63/73/98/709637398.db2.gz YUYNHRDRKDEYFL-KGLIPLIRSA-N -1 1 324.808 1.936 20 0 DDADMM O=C(Nc1nc2c(s1)COCC2)c1cnc(C2CC2)[n-]c1=O ZINC000900544201 709645301 /nfs/dbraw/zinc/64/53/01/709645301.db2.gz ZEQNTZVZYMCAFD-UHFFFAOYSA-N -1 1 318.358 1.841 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC[C@@H]1CC2CCC1CC2 ZINC000909857543 709655651 /nfs/dbraw/zinc/65/56/51/709655651.db2.gz DRVJWWCGWPQGHU-CVSAEHQPSA-N -1 1 308.422 1.726 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)NC[C@@H](CC3CC3)C(=O)[O-])c2C1 ZINC000909883442 709668633 /nfs/dbraw/zinc/66/86/33/709668633.db2.gz LJFJJWIYBLCURO-MWLCHTKSSA-N -1 1 305.378 1.765 20 0 DDADMM CCN(CC(=O)N1CC[C@H](C(=O)[O-])[C@@H]2CCCC[C@@H]21)C1CC1 ZINC000909962569 709709997 /nfs/dbraw/zinc/70/99/97/709709997.db2.gz PANGADQPVCIJSA-KKUMJFAQSA-N -1 1 308.422 1.963 20 0 DDADMM O=C([N-]c1nncn1Cc1ccccc1)c1nnc(C2CC2)o1 ZINC000900746692 709740923 /nfs/dbraw/zinc/74/09/23/709740923.db2.gz DACCXJLKVJNKME-UHFFFAOYSA-N -1 1 310.317 1.839 20 0 DDADMM Cc1nn[nH]c1C(=O)N1C[C@@H](C2CCCCC2)C[C@H]1C(=O)[O-] ZINC000910086822 709766813 /nfs/dbraw/zinc/76/68/13/709766813.db2.gz AJBGPLZVZRHZQR-RYUDHWBXSA-N -1 1 306.366 1.609 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@H]1CC=C(C(=O)[O-])C1)c1ccccc1 ZINC000910200129 709810522 /nfs/dbraw/zinc/81/05/22/709810522.db2.gz LDEDURHGUCXKJF-LSDHHAIUSA-N -1 1 302.374 1.969 20 0 DDADMM COc1cccc(C[C@@H](NC(=O)[C@@H]2CCCCN2C)C(=O)[O-])c1 ZINC000910210300 709816217 /nfs/dbraw/zinc/81/62/17/709816217.db2.gz SXADKNCYISWVQI-CABCVRRESA-N -1 1 320.389 1.291 20 0 DDADMM C[C@@](O)(CNC(=O)c1cnc(C2CC2)[n-]c1=O)c1cccs1 ZINC000900900555 709818535 /nfs/dbraw/zinc/81/85/35/709818535.db2.gz LGLAVDWOXZCHPH-OAHLLOKOSA-N -1 1 319.386 1.759 20 0 DDADMM CC(C)(C)N1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1=O ZINC000900918733 709827949 /nfs/dbraw/zinc/82/79/49/709827949.db2.gz PLLCMVPYCMKQNE-SNVBAGLBSA-N -1 1 318.377 1.189 20 0 DDADMM O=C([O-])[C@@H](C[C@@H]1CCCOC1)NC(=O)c1ccc2cncn2c1 ZINC000910248515 709838848 /nfs/dbraw/zinc/83/88/48/709838848.db2.gz YQLGWDSHKNUVQE-SMDDNHRTSA-N -1 1 317.345 1.334 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1C[C@@H](C)[C@](C)(C(=O)[O-])C1 ZINC000910260693 709845291 /nfs/dbraw/zinc/84/52/91/709845291.db2.gz RSJXCGNVMAVYGU-SREIQFSDSA-N -1 1 319.283 1.920 20 0 DDADMM CO[C@H]1CN(C(=O)c2cc(C(F)(F)F)[nH]n2)[C@@](C)(C(=O)[O-])C1 ZINC000910263251 709847779 /nfs/dbraw/zinc/84/77/79/709847779.db2.gz KJMYGNHLGUWGTK-KSBSHMNSSA-N -1 1 321.255 1.133 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)N1CC[C@]2(C(=O)[O-])CCC[C@H]12 ZINC000910396357 709922636 /nfs/dbraw/zinc/92/26/36/709922636.db2.gz PNOOVRCGXRZDQM-SUMWQHHRSA-N -1 1 324.421 1.201 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](c3ccn(C)n3)C2)c([O-])c1 ZINC000928199110 713151164 /nfs/dbraw/zinc/15/11/64/713151164.db2.gz LVTVNSIYOPYOIP-GFCCVEGCSA-N -1 1 300.362 1.849 20 0 DDADMM Cn1ccc(C2CCN(C(=O)C(=O)c3ccc([O-])cc3)CC2)n1 ZINC000928206103 713152604 /nfs/dbraw/zinc/15/26/04/713152604.db2.gz DBNULHVNFZGZCY-UHFFFAOYSA-N -1 1 313.357 1.715 20 0 DDADMM COc1ccsc1CN1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC000901524323 710065515 /nfs/dbraw/zinc/06/55/15/710065515.db2.gz LLCWLBMKQCUIBC-SNVBAGLBSA-N -1 1 322.390 1.884 20 0 DDADMM O=C(N[C@@H](CO)[C@@H](O)C1CCCCC1)c1c([O-])cccc1F ZINC000913049344 713154541 /nfs/dbraw/zinc/15/45/41/713154541.db2.gz QXULCYCFGSDURS-WFASDCNBSA-N -1 1 311.353 1.563 20 0 DDADMM COc1cc(CN(CC(=O)[O-])Cc2[nH]ncc2C)cc(OC)c1 ZINC000901609740 710094492 /nfs/dbraw/zinc/09/44/92/710094492.db2.gz HQVPASWWHLRWTI-UHFFFAOYSA-N -1 1 319.361 1.822 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)[C@@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC000901614925 710096488 /nfs/dbraw/zinc/09/64/88/710096488.db2.gz PVGBJIQHYQXMNB-IHRRRGAJSA-N -1 1 312.410 1.055 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])CN(C(=O)c2cc3[nH]cnc3cc2F)C1 ZINC000901662789 710113358 /nfs/dbraw/zinc/11/33/58/710113358.db2.gz QFBCCCSDHFPKKT-IUCAKERBSA-N -1 1 305.309 1.885 20 0 DDADMM CC(C)Oc1ccc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])cc1 ZINC000901743089 710137568 /nfs/dbraw/zinc/13/75/68/710137568.db2.gz FMEVZRRMTIWFBX-RYUDHWBXSA-N -1 1 308.378 1.541 20 0 DDADMM O=C(CC(=O)Nc1cc(F)cc(F)c1[O-])NCC(F)(F)F ZINC000910984861 710141050 /nfs/dbraw/zinc/14/10/50/710141050.db2.gz ZTYWEOQTSGCACR-UHFFFAOYSA-N -1 1 312.194 1.678 20 0 DDADMM CCCOc1c(CN2CC[C@@](O)(C(=O)[O-])C2)cccc1OCC ZINC000901901368 710169079 /nfs/dbraw/zinc/16/90/79/710169079.db2.gz IURCTTSALHJMIR-KRWDZBQOSA-N -1 1 323.389 1.896 20 0 DDADMM COCCn1cc(CN2CCc3ccccc3[C@@H]2C(=O)[O-])cn1 ZINC000901991400 710195243 /nfs/dbraw/zinc/19/52/43/710195243.db2.gz ZOPTUCBGPZTKAR-MRXNPFEDSA-N -1 1 315.373 1.714 20 0 DDADMM CCOC(=O)[C@@H](CSC)NC(=O)c1c([O-])cccc1F ZINC000928293226 713165824 /nfs/dbraw/zinc/16/58/24/713165824.db2.gz QDRBSRHJCZABKN-SECBINFHSA-N -1 1 301.339 1.556 20 0 DDADMM Cc1c(CC(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cnn1C ZINC000891615414 710249030 /nfs/dbraw/zinc/24/90/30/710249030.db2.gz RAIUHQYREWFJLJ-UHFFFAOYSA-N -1 1 301.350 1.284 20 0 DDADMM COCc1nc(NCc2cc(OC)ccc2OC)cc(=O)[n-]1 ZINC000891684630 710266201 /nfs/dbraw/zinc/26/62/01/710266201.db2.gz MWYGIRMJKFFBGG-UHFFFAOYSA-N -1 1 305.334 1.958 20 0 DDADMM COCc1nc(N2CCCN(c3nccs3)CC2)cc(=O)[n-]1 ZINC000892014660 710352802 /nfs/dbraw/zinc/35/28/02/710352802.db2.gz XBCHJTDNRPQABA-UHFFFAOYSA-N -1 1 321.406 1.502 20 0 DDADMM COCc1nc(N[C@@H](C)C(=O)Nc2ccccc2)cc(=O)[n-]1 ZINC000892474882 710443548 /nfs/dbraw/zinc/44/35/48/710443548.db2.gz CPEJJSPBPJCWJD-JTQLQIEISA-N -1 1 302.334 1.768 20 0 DDADMM COCc1nc(N2CCC(N(C)CC(F)F)CC2)cc(=O)[n-]1 ZINC000892828154 710508731 /nfs/dbraw/zinc/50/87/31/710508731.db2.gz IKLBNPBNWZZVLB-UHFFFAOYSA-N -1 1 316.352 1.494 20 0 DDADMM COCc1nc(N2CC[C@@H](C(=O)OC(C)(C)C)C2)cc(=O)[n-]1 ZINC000892837316 710510328 /nfs/dbraw/zinc/51/03/28/710510328.db2.gz AENRQSUFWYUARE-SNVBAGLBSA-N -1 1 309.366 1.497 20 0 DDADMM O=C([O-])c1cccc(CNCc2ccc3c(c2)OCCO3)n1 ZINC000902064463 710601599 /nfs/dbraw/zinc/60/15/99/710601599.db2.gz FIANIRFYCKCVRN-UHFFFAOYSA-N -1 1 300.314 1.841 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1cccc(C(=O)[O-])n1)c1cccs1 ZINC000911103388 710634589 /nfs/dbraw/zinc/63/45/89/710634589.db2.gz ZLNMIEJEMGTNMW-LBPRGKRZSA-N -1 1 319.386 1.874 20 0 DDADMM CCOC(=O)[C@@]1(C(C)C)CCN(c2cc(=O)[n-]c(COC)n2)C1 ZINC000893532493 710691757 /nfs/dbraw/zinc/69/17/57/710691757.db2.gz UMJIJJBKPDRKDY-INIZCTEOSA-N -1 1 323.393 1.744 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)N[C@H]1CCN(Cc2ccc(C)cc2)C1 ZINC000902384911 710737687 /nfs/dbraw/zinc/73/76/87/710737687.db2.gz AGEYUONCVFSLMC-YOEHRIQHSA-N -1 1 320.389 1.175 20 0 DDADMM Cc1cc2c[nH]nc2cc1NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911340078 710750293 /nfs/dbraw/zinc/75/02/93/710750293.db2.gz UWUZVPMHOBAKMI-NSHDSACASA-N -1 1 316.361 1.606 20 0 DDADMM C[C@]1(c2ccccc2)C[C@@H]1NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911446863 710803080 /nfs/dbraw/zinc/80/30/80/710803080.db2.gz SRJOOVPUPJUCAC-QIIPPGSGSA-N -1 1 316.401 1.629 20 0 DDADMM CO[C@@](C)(C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C1CC1 ZINC000913438395 713216091 /nfs/dbraw/zinc/21/60/91/713216091.db2.gz RRSZVEVATZPYFU-SWLSCSKDSA-N -1 1 301.350 1.220 20 0 DDADMM O=C([O-])c1ccc(C(=O)N[C@@H]2CCCN3CCSC[C@@H]23)cc1 ZINC000902818423 710915569 /nfs/dbraw/zinc/91/55/69/710915569.db2.gz NNIUJHZYBFCJCC-KGLIPLIRSA-N -1 1 320.414 1.694 20 0 DDADMM Cc1cccc(CS(=O)(=O)[N-]c2nc(C(F)F)n[nH]2)c1 ZINC000903149952 711048391 /nfs/dbraw/zinc/04/83/91/711048391.db2.gz JAZDTAFZFZNJHV-UHFFFAOYSA-N -1 1 302.306 1.993 20 0 DDADMM O=C(/C=C/CCc1ccccc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913493549 713237044 /nfs/dbraw/zinc/23/70/44/713237044.db2.gz BAUAVDYJEGPYPK-VZUFXWRPSA-N -1 1 313.361 1.289 20 0 DDADMM Cc1ccc2[nH]c(C(=O)N3CCOC[C@@H]3c3nn[n-]n3)cc2c1 ZINC000913494288 713237254 /nfs/dbraw/zinc/23/72/54/713237254.db2.gz DOQDYPVFXZRBQU-CYBMUJFWSA-N -1 1 312.333 1.203 20 0 DDADMM O=C(c1ccc(-c2ccccc2)[nH]1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495040 713237793 /nfs/dbraw/zinc/23/77/93/713237793.db2.gz PLGLHZMMXKTKKR-CQSZACIVSA-N -1 1 324.344 1.409 20 0 DDADMM O=C(/C(F)=C\C1CCCCC1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913498312 713239389 /nfs/dbraw/zinc/23/93/89/713239389.db2.gz OJXNOYHNZLYGPC-OBIHZWKSSA-N -1 1 309.345 1.533 20 0 DDADMM CC(C)(C)c1cccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1 ZINC000913500241 713239752 /nfs/dbraw/zinc/23/97/52/713239752.db2.gz UUBJYWPFUIQHMK-CYBMUJFWSA-N -1 1 315.377 1.711 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CC2(Cl)Cl)n[n-]1 ZINC000912363104 711292836 /nfs/dbraw/zinc/29/28/36/711292836.db2.gz JPTQWXYYZVICNW-WDSKDSINSA-N -1 1 321.164 1.352 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CC2(Cl)Cl)[n-]1 ZINC000912363104 711292838 /nfs/dbraw/zinc/29/28/38/711292838.db2.gz JPTQWXYYZVICNW-WDSKDSINSA-N -1 1 321.164 1.352 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CC2(Cl)Cl)n1 ZINC000912363104 711292840 /nfs/dbraw/zinc/29/28/40/711292840.db2.gz JPTQWXYYZVICNW-WDSKDSINSA-N -1 1 321.164 1.352 20 0 DDADMM C[C@@H]1C[C@H](C)N(Cc2nnnn2C(C)(C)C)[C@@H](C)[C@@H]1C(=O)[O-] ZINC000903954468 711346368 /nfs/dbraw/zinc/34/63/68/711346368.db2.gz YDIAHOXHNKIFHO-MPPDQPJWSA-N -1 1 309.414 1.748 20 0 DDADMM O=C([O-])N[C@@H]1C[C@H](NCc2nc3c(s2)COCC3)C12CCC2 ZINC000904261710 711420020 /nfs/dbraw/zinc/42/00/20/711420020.db2.gz KKGWCUUFTSUITA-NWDGAFQWSA-N -1 1 323.418 1.884 20 0 DDADMM CCO[N-]C(=O)CNCc1nc(-c2cccc(OC)c2)cs1 ZINC000895173801 711442621 /nfs/dbraw/zinc/44/26/21/711442621.db2.gz BEWXCQSUWMGSNC-UHFFFAOYSA-N -1 1 321.402 1.976 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1cccc(F)c1)C1CCC1 ZINC000928662935 713256873 /nfs/dbraw/zinc/25/68/73/713256873.db2.gz JYLQFRPCRPFQTP-UHFFFAOYSA-N -1 1 303.341 1.853 20 0 DDADMM C[C@H]1CCc2[nH]nc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)c2C1 ZINC000895614811 711559757 /nfs/dbraw/zinc/55/97/57/711559757.db2.gz WAJGUAVXEMBZQL-YFKPBYRVSA-N -1 1 314.271 1.924 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)Cc2c[nH]nc21 ZINC000913586444 713267412 /nfs/dbraw/zinc/26/74/12/713267412.db2.gz YAFRAKYRVLQIFY-QMMMGPOBSA-N -1 1 314.374 1.501 20 0 DDADMM CCc1nc(C)c(C(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC000913743024 713292113 /nfs/dbraw/zinc/29/21/13/713292113.db2.gz BENYLAGBDNHSRD-UHFFFAOYSA-N -1 1 306.395 1.547 20 0 DDADMM CC(=O)CCCCCCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743717 713292364 /nfs/dbraw/zinc/29/23/64/713292364.db2.gz IKDNVHLOMCPVIP-UHFFFAOYSA-N -1 1 307.398 1.835 20 0 DDADMM Cc1cc(F)cc(C)c1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913746261 713293966 /nfs/dbraw/zinc/29/39/66/713293966.db2.gz ZMLDDEXBRJBMAT-UHFFFAOYSA-N -1 1 303.341 1.975 20 0 DDADMM C[C@]1(Br)C[C@H]1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745855 713294016 /nfs/dbraw/zinc/29/40/16/713294016.db2.gz QPWWKUOMQOYZTB-KWQFWETISA-N -1 1 314.187 1.079 20 0 DDADMM O=C([C@H]1CCc2cc(F)ccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913745841 713294040 /nfs/dbraw/zinc/29/40/40/713294040.db2.gz QBPAHQARHDCPHT-AWEZNQCLSA-N -1 1 315.352 1.775 20 0 DDADMM CSc1cccnc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913746555 713294212 /nfs/dbraw/zinc/29/42/12/713294212.db2.gz ZVBXBWLNZTXDOY-UHFFFAOYSA-N -1 1 304.379 1.336 20 0 DDADMM C[C@H](C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)[C@@H]1CCCO1 ZINC000913780468 713299591 /nfs/dbraw/zinc/29/95/91/713299591.db2.gz WNDUOAJUZNGMPG-OUJBWJOFSA-N -1 1 322.327 1.471 20 0 DDADMM O=C([O-])c1ccc(CNCCn2ccc(C(F)(F)F)n2)nc1 ZINC000905724510 712132491 /nfs/dbraw/zinc/13/24/91/712132491.db2.gz LCIPNCBPHRGWEY-UHFFFAOYSA-N -1 1 314.267 1.785 20 0 DDADMM CCO[C@@H]1C[C@@H](NCc2ccc(C(=O)[O-])cn2)[C@@]12CCCO2 ZINC000905726933 712132735 /nfs/dbraw/zinc/13/27/35/712132735.db2.gz AXPCPWXYDYEUGA-FMKPAKJESA-N -1 1 306.362 1.596 20 0 DDADMM C[C@](Cn1cccn1)(NCc1cc2n(n1)CCCC2)C(=O)[O-] ZINC000905807450 712151372 /nfs/dbraw/zinc/15/13/72/712151372.db2.gz LYNFDAXKQGNRIE-OAHLLOKOSA-N -1 1 303.366 1.049 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3(C4CC4)CCC3)nc2n1 ZINC000906058242 712233199 /nfs/dbraw/zinc/23/31/99/712233199.db2.gz MYAQHWFGNFTZNZ-UHFFFAOYSA-N -1 1 315.377 1.889 20 0 DDADMM CCC[C@H](C)N(C)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906821412 712417769 /nfs/dbraw/zinc/41/77/69/712417769.db2.gz UWQFLAAZTHTMAR-VIFPVBQESA-N -1 1 301.364 1.900 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnc(CC2CC2)s1)c1nn[n-]n1 ZINC000907350554 712550043 /nfs/dbraw/zinc/55/00/43/712550043.db2.gz QZTXQEVYKHDNFL-MRVPVSSYSA-N -1 1 306.395 1.484 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@H](C2CC2)O1)c1ccc(F)nc1F ZINC000907470627 712580340 /nfs/dbraw/zinc/58/03/40/712580340.db2.gz KLYZCSAHXNWZMW-VHSXEESVSA-N -1 1 318.345 1.596 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)s1 ZINC000907472138 712580663 /nfs/dbraw/zinc/58/06/63/712580663.db2.gz MHKFXAUWQASCJO-VMZHVLLKSA-N -1 1 322.419 1.295 20 0 DDADMM CCOC1CC2(C[C@H]2C(=O)N2CCSC[C@H]2c2nn[n-]n2)C1 ZINC000907473575 712581072 /nfs/dbraw/zinc/58/10/72/712581072.db2.gz BZQDPWANGSUTIP-JXJNQWLDSA-N -1 1 323.422 1.021 20 0 DDADMM CCc1cncc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000907479680 712583218 /nfs/dbraw/zinc/58/32/18/712583218.db2.gz LULZRRLOIPXPIC-NSHDSACASA-N -1 1 304.379 1.087 20 0 DDADMM O=C(CCCc1ccsc1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907942901 712652673 /nfs/dbraw/zinc/65/26/73/712652673.db2.gz CTTWPGBFDJFFSH-UHFFFAOYSA-N -1 1 321.406 1.094 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)s1 ZINC000907943684 712652902 /nfs/dbraw/zinc/65/29/02/712652902.db2.gz LBRCXJNBHUXDTP-UHFFFAOYSA-N -1 1 307.379 1.002 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC12CCCC2)c1c[nH]nc1Cl ZINC000908193337 712706823 /nfs/dbraw/zinc/70/68/23/712706823.db2.gz VHMALVNBJQVFAK-SECBINFHSA-N -1 1 305.787 1.443 20 0 DDADMM Cc1cc(CC(=O)N[C@H](CC(=O)[O-])Cc2ccccc2)[nH]n1 ZINC000908240949 712718122 /nfs/dbraw/zinc/71/81/22/712718122.db2.gz MJFCVPXYEQXQIA-ZDUSSCGKSA-N -1 1 301.346 1.463 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H](c1ccncc1)C1CC1 ZINC000908390658 712759203 /nfs/dbraw/zinc/75/92/03/712759203.db2.gz PLVVKUQCDZODDS-BLVKFPJESA-N -1 1 317.436 1.485 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@H]1C1CC1)c1ccc(Cl)nc1F ZINC000908405723 712762768 /nfs/dbraw/zinc/76/27/68/712762768.db2.gz KUTWZZQVEJPBHF-IUCAKERBSA-N -1 1 320.773 1.577 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@@H](CCF)C(=O)[O-])c1cccs1 ZINC000908745622 712846487 /nfs/dbraw/zinc/84/64/87/712846487.db2.gz DCVBLMWUDSCRKF-UWVGGRQHSA-N -1 1 317.386 1.463 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@H]2CCc3cc(F)ccc32)C1 ZINC000908752363 712848049 /nfs/dbraw/zinc/84/80/49/712848049.db2.gz QAQFXGNCGAHNOO-DOMZBBRYSA-N -1 1 320.364 1.726 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)NCCN1CCc2ccccc2C1 ZINC000908809224 712858524 /nfs/dbraw/zinc/85/85/24/712858524.db2.gz RQAFXTFIKSHQOU-GFCCVEGCSA-N -1 1 305.378 1.159 20 0 DDADMM COC(=O)[C@@H]1CC(F)(F)CN1C(=O)c1ncc(C)cc1[O-] ZINC000916585508 713459110 /nfs/dbraw/zinc/45/91/10/713459110.db2.gz FEUVMLYITPBTMN-QMMMGPOBSA-N -1 1 300.261 1.118 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)CC1(F)CCC1 ZINC000916614792 713460832 /nfs/dbraw/zinc/46/08/32/713460832.db2.gz LCIIYEZCIYENFM-JTQLQIEISA-N -1 1 309.403 1.776 20 0 DDADMM CC(C)(CNS(C)(=O)=O)NC(=O)c1c([O-])cccc1Cl ZINC000928857638 713494584 /nfs/dbraw/zinc/49/45/84/713494584.db2.gz KGPLGGQLNGZGSY-UHFFFAOYSA-N -1 1 320.798 1.103 20 0 DDADMM CC[C@@H](C)NC(=O)CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000917655597 713508236 /nfs/dbraw/zinc/50/82/36/713508236.db2.gz NWWCARZRRRDKPL-SECBINFHSA-N -1 1 320.361 1.548 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2 ZINC000918060458 713527753 /nfs/dbraw/zinc/52/77/53/713527753.db2.gz COOYARFILSLGTA-RNJOBUHISA-N -1 1 319.423 1.157 20 0 DDADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)/C=C/c1ccccc1 ZINC000921378923 713749251 /nfs/dbraw/zinc/74/92/51/713749251.db2.gz MATWYIAMJWSPPH-LXKVQUBZSA-N -1 1 313.375 1.155 20 0 DDADMM C[C@H](CN1CCSC[C@H]1C(N)=O)C(=O)c1ccc([O-])cc1 ZINC000930141960 713766078 /nfs/dbraw/zinc/76/60/78/713766078.db2.gz FHIYCFQLCSVNCA-MFKMUULPSA-N -1 1 308.403 1.114 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]C(C)(C)/C=C\Cl)s1 ZINC000921509430 713793504 /nfs/dbraw/zinc/79/35/04/713793504.db2.gz RYBMCFYLLQPBQN-PLNGDYQASA-N -1 1 323.827 1.911 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H](CC(C)C)OC)C(C)C ZINC000921975410 713925668 /nfs/dbraw/zinc/92/56/68/713925668.db2.gz PGLKTOMNBQMBGQ-VXGBXAGGSA-N -1 1 309.428 1.165 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000921974153 713924877 /nfs/dbraw/zinc/92/48/77/713924877.db2.gz PGTUBPBYLZHSQV-MNOVXSKESA-N -1 1 309.343 1.663 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](O)CC3(CCOCC3)C2)c([O-])c1 ZINC000922898598 714179966 /nfs/dbraw/zinc/17/99/66/714179966.db2.gz JIMWBXUZIFJTHH-GFCCVEGCSA-N -1 1 306.362 1.099 20 0 DDADMM CCC(=O)N1CCN(C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000923982704 714499907 /nfs/dbraw/zinc/49/99/07/714499907.db2.gz RTGPGDSZBRKNCK-UHFFFAOYSA-N -1 1 311.769 1.767 20 0 DDADMM CN(C)c1ccc([C@@H]2C[C@H]2C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000924127722 714532870 /nfs/dbraw/zinc/53/28/70/714532870.db2.gz PFNCCZQLCNTPMZ-QWHCGFSZSA-N -1 1 316.361 1.021 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC[C@@]2(CO)CCC[C@@H]12 ZINC000924132289 714533469 /nfs/dbraw/zinc/53/34/69/714533469.db2.gz WDPBXDQYQUHESG-MEBBXXQBSA-N -1 1 323.418 1.590 20 0 DDADMM COc1nnc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)s1 ZINC000934275310 714783605 /nfs/dbraw/zinc/78/36/05/714783605.db2.gz OJDQHJZPSGFSAE-QMMMGPOBSA-N -1 1 323.378 1.388 20 0 DDADMM COc1cnc([C@@H]2CCCN2CCOC[C@H]2CCCO2)[n-]c1=O ZINC000934275962 714784398 /nfs/dbraw/zinc/78/43/98/714784398.db2.gz JIGDPJBPTUPTPT-OLZOCXBDSA-N -1 1 323.393 1.523 20 0 DDADMM CCCc1nc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)no1 ZINC000934277090 714784813 /nfs/dbraw/zinc/78/48/13/714784813.db2.gz KUHUINXFPLBJRS-SNVBAGLBSA-N -1 1 319.365 1.863 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCCC2CC=CC2)C1)C(F)(F)F ZINC000926726373 715118971 /nfs/dbraw/zinc/11/89/71/715118971.db2.gz HKIGOICGUZYSBS-UHFFFAOYSA-N -1 1 319.327 1.663 20 0 DDADMM O=C(C[C@@H]1CC[C@H](C2CC2)O1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000935795142 715129026 /nfs/dbraw/zinc/12/90/26/715129026.db2.gz VQZLPVQEPSQASZ-RWMBFGLXSA-N -1 1 321.377 1.829 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)c1cc[nH]c1)c1ncccc1[O-] ZINC000956046678 715304068 /nfs/dbraw/zinc/30/40/68/715304068.db2.gz WVQDVFVZUZJTDB-LBPRGKRZSA-N -1 1 314.345 1.150 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937860144 715616323 /nfs/dbraw/zinc/61/63/23/715616323.db2.gz FAXOZZLQWRHMJZ-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM CCC1(C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)CC1 ZINC000956850693 715637112 /nfs/dbraw/zinc/63/71/12/715637112.db2.gz RFUSYGQHFKWLTD-MRXNPFEDSA-N -1 1 317.389 1.698 20 0 DDADMM CC(=O)N1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1C ZINC000955462367 715794760 /nfs/dbraw/zinc/79/47/60/715794760.db2.gz WQISECUZGGGOSY-BXKDBHETSA-N -1 1 318.377 1.189 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H]([C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC000961134651 716825836 /nfs/dbraw/zinc/82/58/36/716825836.db2.gz HTYFVLRSSLFKKU-OLZOCXBDSA-N -1 1 321.425 1.227 20 0 DDADMM CC(C)C(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000959373743 716988527 /nfs/dbraw/zinc/98/85/27/716988527.db2.gz IOKSIXOTQZRHMO-VXGBXAGGSA-N -1 1 305.378 1.268 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C/C=C\Cl)C[C@H]2C)c1[O-] ZINC000941965262 717410966 /nfs/dbraw/zinc/41/09/66/717410966.db2.gz XSSIVRDZYKNTQU-VVCGMDSISA-N -1 1 312.801 1.616 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]2[C@@H]1CCN2C(=O)C1CC1 ZINC000962942906 717635374 /nfs/dbraw/zinc/63/53/74/717635374.db2.gz HWQUNYXFXPQQCL-OLZOCXBDSA-N -1 1 315.373 1.403 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC000942754866 717856993 /nfs/dbraw/zinc/85/69/93/717856993.db2.gz RIHLJCJFCRMAJU-VXGBXAGGSA-N -1 1 323.368 1.598 20 0 DDADMM C[C@H]1CN(CCF)CC[C@H]1NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000944135259 718259748 /nfs/dbraw/zinc/25/97/48/718259748.db2.gz JJLAEXHWYDGKAT-VHSXEESVSA-N -1 1 322.340 1.338 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944201358 718270258 /nfs/dbraw/zinc/27/02/58/718270258.db2.gz QBHNKUDMLHKAAW-GHMZBOCLSA-N -1 1 309.341 1.256 20 0 DDADMM CCC(=O)N1CC[C@@H]2[C@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945811221 718568262 /nfs/dbraw/zinc/56/82/62/718568262.db2.gz JAJFQIXTWLUSAB-VXGBXAGGSA-N -1 1 303.362 1.260 20 0 DDADMM C/C=C(/C)C(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC000967901646 719080121 /nfs/dbraw/zinc/08/01/21/719080121.db2.gz TUJFPYUNUJVOGI-WCIBSUBMSA-N -1 1 303.362 1.426 20 0 DDADMM O=C(c1ncccc1[O-])N1[C@@H]2CC[C@H]1CN(C(=O)C1CCC1)C2 ZINC000968886379 719844249 /nfs/dbraw/zinc/84/42/49/719844249.db2.gz JBOOPLHDLHEDPE-BETUJISGSA-N -1 1 315.373 1.403 20 0 DDADMM Cc1cc[nH]c1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949535784 720145552 /nfs/dbraw/zinc/14/55/52/720145552.db2.gz ZPWLUAVEGLNWTB-LLVKDONJSA-N -1 1 314.345 1.068 20 0 DDADMM CC1(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CC=CC1 ZINC000949538906 720147633 /nfs/dbraw/zinc/14/76/33/720147633.db2.gz TZUCGECEFVDXTE-GFCCVEGCSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000950538949 720674631 /nfs/dbraw/zinc/67/46/31/720674631.db2.gz DEBPVQZBCVUQHA-LLVKDONJSA-N -1 1 315.329 1.415 20 0 DDADMM CCCc1occc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970475824 720775423 /nfs/dbraw/zinc/77/54/23/720775423.db2.gz ZBEBYKNHMGWDCI-SNVBAGLBSA-N -1 1 319.365 1.060 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCN(C/C=C/Cl)CC1 ZINC000951381101 721011336 /nfs/dbraw/zinc/01/13/36/721011336.db2.gz RIQKJYVDSXOJJY-DAFODLJHSA-N -1 1 322.796 1.570 20 0 DDADMM CCC(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000953233714 721572511 /nfs/dbraw/zinc/57/25/11/721572511.db2.gz SMGRTHYPYIGIBH-NEPJUHHUSA-N -1 1 303.362 1.260 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC=CCC2)C1 ZINC000954123874 721723273 /nfs/dbraw/zinc/72/32/73/721723273.db2.gz BUOXTIMZWUTYLY-GFCCVEGCSA-N -1 1 315.373 1.426 20 0 DDADMM CC(C)(CO)CCC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692830233 738400764 /nfs/dbraw/zinc/40/07/64/738400764.db2.gz NQWQMQPGMPBTJC-UHFFFAOYSA-N -1 1 324.805 1.951 20 0 DDADMM CCC(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001020636298 732581691 /nfs/dbraw/zinc/58/16/91/732581691.db2.gz JVZFAUALLDTTBQ-NWDGAFQWSA-N -1 1 305.378 1.412 20 0 DDADMM Cc1cc(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])sn1 ZINC001038185042 732941558 /nfs/dbraw/zinc/94/15/58/732941558.db2.gz UWECBJCTEXFRID-NSHDSACASA-N -1 1 318.402 1.556 20 0 DDADMM CCc1nocc1CN1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038195450 733215671 /nfs/dbraw/zinc/21/56/71/733215671.db2.gz UBPYHCGCSIPJEY-GFCCVEGCSA-N -1 1 316.361 1.342 20 0 DDADMM CC(C)c1ccc(CN(C)c2nnc(-c3nnn[n-]3)n2C)cc1 ZINC001121359001 782470651 /nfs/dbraw/zinc/47/06/51/782470651.db2.gz CHXYQMMMCMKCAO-UHFFFAOYSA-N -1 1 312.381 1.755 20 0 DDADMM CC(C)c1ccc(CN(C)c2nnc(-c3nn[n-]n3)n2C)cc1 ZINC001121359001 782470655 /nfs/dbraw/zinc/47/06/55/782470655.db2.gz CHXYQMMMCMKCAO-UHFFFAOYSA-N -1 1 312.381 1.755 20 0 DDADMM CCC1(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCC1 ZINC001025049731 736255844 /nfs/dbraw/zinc/25/58/44/736255844.db2.gz BJQCPAGKYHIJPY-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM CO[C@H]([C@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CC1 ZINC000692894610 738964839 /nfs/dbraw/zinc/96/48/39/738964839.db2.gz QODJDKLCFBWAOZ-WRWORJQWSA-N -1 1 322.789 1.966 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)c1nncn1C ZINC000692897265 738966519 /nfs/dbraw/zinc/96/65/19/738966519.db2.gz ZEYPUQIBBHYNPR-ZCFIWIBFSA-N -1 1 319.749 1.042 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC001032582042 751393793 /nfs/dbraw/zinc/39/37/93/751393793.db2.gz QKQLAFAIKOHYJN-GJZGRUSLSA-N -1 1 324.384 1.590 20 0 DDADMM Cc1ccnc(N(C)CCN(C)C(=O)c2ncccc2[O-])n1 ZINC001105376488 739814294 /nfs/dbraw/zinc/81/42/94/739814294.db2.gz VCBLCNUMAXGRPQ-UHFFFAOYSA-N -1 1 301.350 1.094 20 0 DDADMM CN(CCN(C)c1cncc(Cl)n1)C(=O)c1ncccc1[O-] ZINC001105376541 739815004 /nfs/dbraw/zinc/81/50/04/739815004.db2.gz XHECEPXIKUADPA-UHFFFAOYSA-N -1 1 321.768 1.439 20 0 DDADMM CC[C@@H](F)CN1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H]1CC ZINC001087543886 740622083 /nfs/dbraw/zinc/62/20/83/740622083.db2.gz LHAKWBXZKXGRCP-GRYCIOLGSA-N -1 1 312.389 1.755 20 0 DDADMM CCCC(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514409 741088292 /nfs/dbraw/zinc/08/82/92/741088292.db2.gz CECRORRLTUDBOU-GFCCVEGCSA-N -1 1 305.378 1.556 20 0 DDADMM CC(=O)CCCC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076243954 742623119 /nfs/dbraw/zinc/62/31/19/742623119.db2.gz FIJLBYAPPGXCRD-NSHDSACASA-N -1 1 321.377 1.171 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)s1 ZINC000998424241 751825915 /nfs/dbraw/zinc/82/59/15/751825915.db2.gz UJYLLPCIKQCKPJ-JTQLQIEISA-N -1 1 321.406 1.275 20 0 DDADMM CSc1nc(NC(=O)Cc2n[nH]c3ccccc23)cc(=O)[n-]1 ZINC001182057937 743463884 /nfs/dbraw/zinc/46/38/84/743463884.db2.gz SUIPWJMMYGHBIM-UHFFFAOYSA-N -1 1 315.358 1.962 20 0 DDADMM C[C@@H]1C(=O)OC[C@H]1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001182075766 743470508 /nfs/dbraw/zinc/47/05/08/743470508.db2.gz AZIRYGAGUPBDHN-GXSJLCMTSA-N -1 1 301.302 1.326 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@H]2NC(=O)c3ccccc32)n[nH]1 ZINC001182413481 743617634 /nfs/dbraw/zinc/61/76/34/743617634.db2.gz GELQXYIUMGVDAI-LBPRGKRZSA-N -1 1 314.301 1.010 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-]Cc1cc(-c2ccccc2)no1 ZINC001185280068 744195142 /nfs/dbraw/zinc/19/51/42/744195142.db2.gz HIFNWEMUYJVOEA-UHFFFAOYSA-N -1 1 319.342 1.949 20 0 DDADMM C[C@H](NC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)C1CCCCC1 ZINC001186404819 744392744 /nfs/dbraw/zinc/39/27/44/744392744.db2.gz RUNKLBDALBTGHP-ZETCQYMHSA-N -1 1 320.353 1.806 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]c1c(Br)cnn1C ZINC001187327858 744540426 /nfs/dbraw/zinc/54/04/26/744540426.db2.gz IEOQNUOWRRMXHY-UHFFFAOYSA-N -1 1 321.156 1.280 20 0 DDADMM O=C(CCc1ccc2ccccc2n1)NCc1nc([O-])cc(=O)[nH]1 ZINC001168430068 744605633 /nfs/dbraw/zinc/60/56/33/744605633.db2.gz KAEKVZIIIQBAPV-UHFFFAOYSA-N -1 1 324.340 1.685 20 0 DDADMM CCOC(=O)c1cc[nH]c1NC(=O)c1n[n-]nc1C(F)(F)F ZINC001187758896 744605925 /nfs/dbraw/zinc/60/59/25/744605925.db2.gz MUNIUEBISYZFIO-UHFFFAOYSA-N -1 1 317.227 1.581 20 0 DDADMM COC[C@H](Cc1ccccc1)[N-]S(=O)(=O)c1nccs1 ZINC001187918106 744627986 /nfs/dbraw/zinc/62/79/86/744627986.db2.gz RRYONOZOKZAWDM-LBPRGKRZSA-N -1 1 312.416 1.679 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)c2nccs2)CCCCC1 ZINC001187918878 744635432 /nfs/dbraw/zinc/63/54/32/744635432.db2.gz PZNDOFLACVDGKP-UHFFFAOYSA-N -1 1 318.420 1.687 20 0 DDADMM O=c1cc([N-]S(=O)(=O)c2ccc(Cl)nc2Cl)cn[nH]1 ZINC001187932365 744636774 /nfs/dbraw/zinc/63/67/74/744636774.db2.gz MUBLSBBZNPSTMZ-UHFFFAOYSA-N -1 1 321.145 1.685 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cccc2c1cc[nH]c2=O ZINC001188392178 744698114 /nfs/dbraw/zinc/69/81/14/744698114.db2.gz AWTCLVJVBOTVSL-UHFFFAOYSA-N -1 1 310.331 1.245 20 0 DDADMM O=c1cc[nH]cc1[N-]S(=O)(=O)Cc1ccc(F)c(F)c1 ZINC001189281915 744858680 /nfs/dbraw/zinc/85/86/80/744858680.db2.gz VLLNJDSBHJFIFM-UHFFFAOYSA-N -1 1 300.286 1.595 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1c[nH]nc1-c1ccccc1 ZINC001189685205 744945464 /nfs/dbraw/zinc/94/54/64/744945464.db2.gz JXMIINCWFPDCDY-UHFFFAOYSA-N -1 1 312.289 1.112 20 0 DDADMM Cc1nn(C)cc1C[N-]S(=O)(=O)c1cc(F)cc(F)c1F ZINC001190404718 745201389 /nfs/dbraw/zinc/20/13/89/745201389.db2.gz ZHEYSNOMPLXTJX-UHFFFAOYSA-N -1 1 319.308 1.624 20 0 DDADMM Cn1cnc([N-]C(=O)c2c(Cl)n(C)nc2C(F)(F)F)n1 ZINC001190524348 745239408 /nfs/dbraw/zinc/23/94/08/745239408.db2.gz VTOZKDFSPJXYHA-UHFFFAOYSA-N -1 1 308.651 1.473 20 0 DDADMM C[C@@H](NC(=O)c1cnc(-c2ccccn2)[n-]c1=O)c1cnccn1 ZINC001190629608 745279260 /nfs/dbraw/zinc/27/92/60/745279260.db2.gz SYGPPMSASDMEKU-SNVBAGLBSA-N -1 1 322.328 1.525 20 0 DDADMM C[C@]1(NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)CCCOC1 ZINC001190637305 745282612 /nfs/dbraw/zinc/28/26/12/745282612.db2.gz CUNHNWHBHRMNIR-INIZCTEOSA-N -1 1 314.345 1.543 20 0 DDADMM O=S(=O)([N-]c1ccncc1Oc1ccccc1)c1ncc[nH]1 ZINC001190686830 745297490 /nfs/dbraw/zinc/29/74/90/745297490.db2.gz QYVLTRKJIHNXGM-UHFFFAOYSA-N -1 1 316.342 1.820 20 0 DDADMM COC(=O)Cc1ccc(F)c(NS(=O)(=O)c2ncc[n-]2)c1 ZINC001190737475 745313529 /nfs/dbraw/zinc/31/35/29/745313529.db2.gz SKXISKHJMJYBOR-UHFFFAOYSA-N -1 1 313.310 1.065 20 0 DDADMM CSc1ncc(C(=O)N2CCC(=O)[C@@H]3CCCC[C@@H]32)c(=O)[n-]1 ZINC001191420907 745507092 /nfs/dbraw/zinc/50/70/92/745507092.db2.gz UXJZIYOJPDDESH-KOLCDFICSA-N -1 1 321.402 1.878 20 0 DDADMM C[C@@]1(O)CC[C@@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)CC1 ZINC001192041053 745680759 /nfs/dbraw/zinc/68/07/59/745680759.db2.gz RNQRQSVWZYDDLQ-LJGSYFOKSA-N -1 1 310.630 1.927 20 0 DDADMM COc1cc(C(=O)Nc2cc(=O)[n-]c(SC)n2)cc(OC)n1 ZINC001192408743 745788882 /nfs/dbraw/zinc/78/88/82/745788882.db2.gz RDNCCKSYEUVRBT-UHFFFAOYSA-N -1 1 322.346 1.569 20 0 DDADMM COC(=O)c1n[nH]c(C)c1NC(=O)c1c(F)ccc([O-])c1F ZINC001192525750 745820810 /nfs/dbraw/zinc/82/08/10/745820810.db2.gz ZJTRKZVCZDLTOF-UHFFFAOYSA-N -1 1 311.244 1.741 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCc2[nH]c(=O)[nH]c(=O)c2C1 ZINC001192536361 745825024 /nfs/dbraw/zinc/82/50/24/745825024.db2.gz UJIHOTFQIXHWRV-UHFFFAOYSA-N -1 1 323.255 1.070 20 0 DDADMM Cc1cc2n(n1)c(=O)cc(C)n2C(=O)c1cc([O-])cc(F)c1F ZINC001192665267 745867749 /nfs/dbraw/zinc/86/77/49/745867749.db2.gz UOLKUDCYFPHTFX-UHFFFAOYSA-N -1 1 319.267 1.785 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1nccnc1Br ZINC001193205406 746012513 /nfs/dbraw/zinc/01/25/13/746012513.db2.gz GQFGZNGGDGBZBV-SSDOTTSWSA-N -1 1 322.184 1.160 20 0 DDADMM COc1ccnc(C(=O)Nc2cncnc2C(F)(F)F)c1[O-] ZINC001193524904 746133716 /nfs/dbraw/zinc/13/37/16/746133716.db2.gz OVOOENSJDNWWTP-UHFFFAOYSA-N -1 1 314.223 1.857 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cn3c(cccc3Cl)n2)[n-]n1 ZINC001194284199 746339728 /nfs/dbraw/zinc/33/97/28/746339728.db2.gz UXSAIRZNIYZSEE-UHFFFAOYSA-N -1 1 319.708 1.750 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc3snnc3c(C)c2)[n-]n1 ZINC001194289069 746345326 /nfs/dbraw/zinc/34/53/26/746345326.db2.gz VJVOEBGUDNDMNT-UHFFFAOYSA-N -1 1 317.330 1.762 20 0 DDADMM C[C@H]1COC[C@@H]1NC(=O)c1ccc(Br)c([O-])c1 ZINC000699900440 746522464 /nfs/dbraw/zinc/52/24/64/746522464.db2.gz FIQOILVNTKWLHA-XVKPBYJWSA-N -1 1 300.152 1.919 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cc(CC(=O)OC)ccc1O ZINC001195483947 746622432 /nfs/dbraw/zinc/62/24/32/746622432.db2.gz HUWCECCUJXPPML-UHFFFAOYSA-N -1 1 312.347 1.551 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc2c(c1)C(=O)N(C)CC2 ZINC001195493845 746625650 /nfs/dbraw/zinc/62/56/50/746625650.db2.gz DYZFXHSTVHMJPT-UHFFFAOYSA-N -1 1 307.375 1.758 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)Cc2c(F)cccc2F)c(=O)[nH]1 ZINC001197567111 747202394 /nfs/dbraw/zinc/20/23/94/747202394.db2.gz FLQYFZSYQPRIKU-UHFFFAOYSA-N -1 1 315.301 1.711 20 0 DDADMM O=S(=O)(Cc1ccccn1)[N-]c1cnn(CC(F)(F)F)c1 ZINC001197782461 747262873 /nfs/dbraw/zinc/26/28/73/747262873.db2.gz FWXVEORWOAHGTP-UHFFFAOYSA-N -1 1 320.296 1.782 20 0 DDADMM CCN(c1nccnc1F)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001089637310 747530426 /nfs/dbraw/zinc/53/04/26/747530426.db2.gz RAGKFFNZJLFNAY-SNVBAGLBSA-N -1 1 319.340 1.361 20 0 DDADMM CCOC(=O)CCNC(=S)Nc1c(F)cc([O-])cc1F ZINC001200175707 748151629 /nfs/dbraw/zinc/15/16/29/748151629.db2.gz RVPIQVBLGFXSIJ-UHFFFAOYSA-N -1 1 304.318 1.910 20 0 DDADMM Cn1ncc2c1cccc2C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001201287870 748454530 /nfs/dbraw/zinc/45/45/30/748454530.db2.gz JXDSJLWVEDICSY-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2ccc(C3CC3)nc2)cc1N ZINC001201714347 748583054 /nfs/dbraw/zinc/58/30/54/748583054.db2.gz HSGUHMLHNXQIRP-UHFFFAOYSA-N -1 1 304.375 1.924 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H]1CCCC(=O)NC1 ZINC001201764418 748597004 /nfs/dbraw/zinc/59/70/04/748597004.db2.gz MFNRTWVQNBXQKT-SSDOTTSWSA-N -1 1 323.827 1.052 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@@H]1CCCC(=O)N1 ZINC001201773609 748600872 /nfs/dbraw/zinc/60/08/72/748600872.db2.gz VHWYEKHQHIKFQN-ZETCQYMHSA-N -1 1 323.827 1.052 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2[nH]c(=O)[nH]c21)Nc1c(F)cccc1F ZINC001202046688 748669463 /nfs/dbraw/zinc/66/94/63/748669463.db2.gz SAHNJDYMHFMBPD-UHFFFAOYSA-N -1 1 322.231 1.638 20 0 DDADMM Cc1ccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)o1 ZINC000995417386 748702396 /nfs/dbraw/zinc/70/23/96/748702396.db2.gz OWUHUSXBVJTSNN-LLVKDONJSA-N -1 1 319.365 1.052 20 0 DDADMM C[C@H]1CCN(C(=O)C(C)(C)F)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004753082 748731741 /nfs/dbraw/zinc/73/17/41/748731741.db2.gz RWJSLLUPLZVGOE-QWRGUYRKSA-N -1 1 323.368 1.502 20 0 DDADMM O=C(NC/C=C\CNc1cc(F)ncn1)c1ncccc1[O-] ZINC001107139003 749345675 /nfs/dbraw/zinc/34/56/75/749345675.db2.gz FQROZENJAKBVCO-UPHRSURJSA-N -1 1 303.297 1.114 20 0 DDADMM Cc1cccc(C(=O)N2CC([C@H](C)NC(=O)c3cnn[nH]3)C2)c1 ZINC001006380659 749432443 /nfs/dbraw/zinc/43/24/43/749432443.db2.gz BSKLLLXFVYXMKZ-NSHDSACASA-N -1 1 313.361 1.004 20 0 DDADMM O=C(CC1=CCCCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044959360 749903771 /nfs/dbraw/zinc/90/37/71/749903771.db2.gz FXUAUJKLSDOFBH-ZDUSSCGKSA-N -1 1 319.409 1.481 20 0 DDADMM C[C@@H]1C[C@H](NCC(=O)CCC(=O)[O-])CN1C(=O)OC(C)(C)C ZINC001168828466 762057149 /nfs/dbraw/zinc/05/71/49/762057149.db2.gz HARCPHKTKPNQNQ-MNOVXSKESA-N -1 1 314.382 1.408 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc(CCO)cc2)c1 ZINC001212933630 750960455 /nfs/dbraw/zinc/96/04/55/750960455.db2.gz RTIDDSDPOYFEOR-UHFFFAOYSA-N -1 1 307.375 1.187 20 0 DDADMM Cn1ccnc1CNC[C@H]1CCCN1C(=O)c1ccccc1O ZINC001034869160 750993356 /nfs/dbraw/zinc/99/33/56/750993356.db2.gz NLZCZCXAAHOKSS-CYBMUJFWSA-N -1 1 314.389 1.520 20 0 DDADMM Cc1cscc1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034894714 751009137 /nfs/dbraw/zinc/00/91/37/751009137.db2.gz IFNGPKQIWDBNTM-SNVBAGLBSA-N -1 1 321.406 1.275 20 0 DDADMM O=C([C@H]1CCCC12CC2)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034971533 751085233 /nfs/dbraw/zinc/08/52/33/751085233.db2.gz PADPTBZUGLUHNU-VXGBXAGGSA-N -1 1 319.409 1.171 20 0 DDADMM CC(C)[C@@]1(C)C[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998869500 752324111 /nfs/dbraw/zinc/32/41/11/752324111.db2.gz PNDOHYJEEGWOCX-SJKOYZFVSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001000738454 762143520 /nfs/dbraw/zinc/14/35/20/762143520.db2.gz OZXXRYVXXQBZQO-JHJVBQTASA-N -1 1 321.425 1.417 20 0 DDADMM O=C(N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C12CCC(CC1)C2 ZINC000999521764 752969288 /nfs/dbraw/zinc/96/92/88/752969288.db2.gz PUCZGIMACSASIM-BWBHSNQOSA-N -1 1 319.409 1.171 20 0 DDADMM Cc1ncc(CN2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001007177293 753053789 /nfs/dbraw/zinc/05/37/89/753053789.db2.gz LPDNTWVITXJOMH-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001010129082 753629581 /nfs/dbraw/zinc/62/95/81/753629581.db2.gz VJZLDKHTLGGWOY-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)=C(F)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062792415 753714908 /nfs/dbraw/zinc/71/49/08/753714908.db2.gz CKMWWNLKVWSXDL-UHFFFAOYSA-N -1 1 321.352 1.819 20 0 DDADMM Cc1nsc(N2CC[C@H](N(C)C(=O)c3ncccc3[O-])C2)n1 ZINC001062923501 753849870 /nfs/dbraw/zinc/84/98/70/753849870.db2.gz UOPKYEAJWIOVBJ-JTQLQIEISA-N -1 1 319.390 1.298 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(Cc3cccnc3C)C2)c1[O-] ZINC001010620526 754059199 /nfs/dbraw/zinc/05/91/99/754059199.db2.gz ODBBLAZKJYIKCZ-CYBMUJFWSA-N -1 1 315.377 1.131 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC1 ZINC001010914722 754251327 /nfs/dbraw/zinc/25/13/27/754251327.db2.gz RHKNCHCZAGWWJS-IMRBUKKESA-N -1 1 315.373 1.118 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1CC1 ZINC001011376040 754483478 /nfs/dbraw/zinc/48/34/78/754483478.db2.gz XRFOCGWGAKNGRS-CMPLNLGQSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(C)(C)F ZINC001011383415 754488921 /nfs/dbraw/zinc/48/89/21/754488921.db2.gz NBWURQZLIHNNAD-NXEZZACHSA-N -1 1 309.341 1.255 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ccc[nH]1 ZINC001011479276 754548476 /nfs/dbraw/zinc/54/84/76/754548476.db2.gz STMVXKKPEKSURI-WDEREUQCSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@H]1[C@H](NC(=O)C(C)(C)C)CCN1C(=O)c1ncccc1[O-] ZINC001011676262 754671344 /nfs/dbraw/zinc/67/13/44/754671344.db2.gz DWZGVEPTEVVIEW-WDEREUQCSA-N -1 1 305.378 1.553 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ccc[nH]1 ZINC001012279643 754970833 /nfs/dbraw/zinc/97/08/33/754970833.db2.gz JEYKZKXGSUJFJU-QWRGUYRKSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)CC2CC2)CN1C(=O)c1ncccc1[O-] ZINC001012507902 755113974 /nfs/dbraw/zinc/11/39/74/755113974.db2.gz XFIOMCGEGKPWOV-ZYHUDNBSSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C2CC=CC2)CN1C(=O)c1ncccc1[O-] ZINC001012509271 755114707 /nfs/dbraw/zinc/11/47/07/755114707.db2.gz HNZYLGLGLUMRJQ-DGCLKSJQSA-N -1 1 315.373 1.473 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C2=CCCC2)CN1C(=O)c1ncccc1[O-] ZINC001012510384 755115717 /nfs/dbraw/zinc/11/57/17/755115717.db2.gz KUFCANBFQWVBFR-DGCLKSJQSA-N -1 1 315.373 1.617 20 0 DDADMM C=CC(=O)Oc1ccc(NCC[N-]C(=O)C(F)(F)F)cc1 ZINC001164251574 756280111 /nfs/dbraw/zinc/28/01/11/756280111.db2.gz YFAYNVISRDHVTJ-UHFFFAOYSA-N -1 1 302.252 1.868 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCCCC1)c1ccc(F)nc1F ZINC001118996180 756882177 /nfs/dbraw/zinc/88/21/77/756882177.db2.gz MWRCERQVZPQHPW-SNVBAGLBSA-N -1 1 320.361 1.579 20 0 DDADMM CC(CC(=O)OC(C)C)=Nc1cccc(S(=O)(=O)[O-])n1 ZINC001166728560 757629527 /nfs/dbraw/zinc/62/95/27/757629527.db2.gz OPBQWFYRFACMHV-CLFYSBASSA-N -1 1 300.336 1.596 20 0 DDADMM Cc1cn(-c2ccccc2Cl)nc1C(=O)N(C)c1nn[n-]n1 ZINC001131169616 767916771 /nfs/dbraw/zinc/91/67/71/767916771.db2.gz KWNLNSBEECMORH-UHFFFAOYSA-N -1 1 317.740 1.624 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](CCNc2ncccn2)C1 ZINC001066307497 760182288 /nfs/dbraw/zinc/18/22/88/760182288.db2.gz IDKJIDVSSUVRIF-GFCCVEGCSA-N -1 1 313.361 1.542 20 0 DDADMM CCc1ccsc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019808777 760262266 /nfs/dbraw/zinc/26/22/66/760262266.db2.gz WJSMYASAVZOWMB-JTQLQIEISA-N -1 1 321.406 1.139 20 0 DDADMM O=C(NCC1CN(C(=O)C2CCCCC2)C1)c1ncccc1[O-] ZINC001001535790 762943178 /nfs/dbraw/zinc/94/31/78/762943178.db2.gz DYVUWZDQCCEXMH-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM Cc1cc(N(C)C[C@@H](C)NC(=O)c2ncccc2[O-])nc(C)n1 ZINC001108933972 763144849 /nfs/dbraw/zinc/14/48/49/763144849.db2.gz ZUYDPDSSSPMXKL-LLVKDONJSA-N -1 1 315.377 1.449 20 0 DDADMM C[C@@H](NC(=O)C(C)(C)C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050528033 763711448 /nfs/dbraw/zinc/71/14/48/763711448.db2.gz ADTVZIUHEYCXOS-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)C(=O)N[C@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531124 763716393 /nfs/dbraw/zinc/71/63/93/763716393.db2.gz RAHLPYYLLVNMSN-VXGBXAGGSA-N -1 1 305.378 1.410 20 0 DDADMM Cc1cc(C)nc(N(C)[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001113575258 765896260 /nfs/dbraw/zinc/89/62/60/765896260.db2.gz QSQCSBSSISEXQV-GFCCVEGCSA-N -1 1 315.377 1.449 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H](O)c1cccc(F)c1 ZINC001137406836 766099786 /nfs/dbraw/zinc/09/97/86/766099786.db2.gz QSEMEGMTNDRYMQ-JTQLQIEISA-N -1 1 323.349 1.382 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncc4ccsc4n3)c2[nH]1 ZINC001170213728 766173044 /nfs/dbraw/zinc/17/30/44/766173044.db2.gz QDZMWVCWNSYJFJ-UHFFFAOYSA-N -1 1 301.291 1.464 20 0 DDADMM O=C([C@@H]1C[C@H]1C1CCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045236659 766174947 /nfs/dbraw/zinc/17/49/47/766174947.db2.gz RCHDEPNAOXLODS-RWMBFGLXSA-N -1 1 319.409 1.027 20 0 DDADMM CC(=O)Nc1ccc(C)c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001170217307 766176281 /nfs/dbraw/zinc/17/62/81/766176281.db2.gz QNYFRECRVMDWOJ-UHFFFAOYSA-N -1 1 314.305 1.726 20 0 DDADMM CCc1nc(Cl)cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170217898 766176478 /nfs/dbraw/zinc/17/64/78/766176478.db2.gz OQGBJJOMHMNWAT-UHFFFAOYSA-N -1 1 307.701 1.465 20 0 DDADMM Cn1c2ccccc2cc(Nc2[n-]c(=O)nc3nc[nH]c32)c1=O ZINC001170232235 766186990 /nfs/dbraw/zinc/18/69/90/766186990.db2.gz GEPZHWDZNXQVFR-UHFFFAOYSA-N -1 1 308.301 1.654 20 0 DDADMM O=C(N[C@H]1CCN(c2nc3ccccc3o2)C1)c1ncccc1[O-] ZINC001058343716 766436143 /nfs/dbraw/zinc/43/61/43/766436143.db2.gz HBPFGVGURVGWBT-NSHDSACASA-N -1 1 324.340 1.937 20 0 DDADMM CSc1ccc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)o1 ZINC001129409396 766870930 /nfs/dbraw/zinc/87/09/30/766870930.db2.gz RCWWTCLHMCFEOI-UHFFFAOYSA-N -1 1 305.319 1.293 20 0 DDADMM CS[C@H](C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648320 768643348 /nfs/dbraw/zinc/64/33/48/768643348.db2.gz FJYPRUPUAVUUJH-GHMZBOCLSA-N -1 1 323.418 1.260 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1Cc1ccon1 ZINC001071455390 769460711 /nfs/dbraw/zinc/46/07/11/769460711.db2.gz ZVCCRPYANLYVAT-VXGBXAGGSA-N -1 1 316.361 1.558 20 0 DDADMM C[C@H](CC(=O)NCCNCc1n[nH]c(=O)[n-]1)C1CCCCC1 ZINC001135227826 771392628 /nfs/dbraw/zinc/39/26/28/771392628.db2.gz PLDYIMYBOLQLHC-LLVKDONJSA-N -1 1 309.414 1.323 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC000585132959 771965729 /nfs/dbraw/zinc/96/57/29/771965729.db2.gz JYOCRRIKQJIFPT-JTQLQIEISA-N -1 1 323.397 1.532 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@@H](O)c1cccc(Cl)c1 ZINC001144825876 772633275 /nfs/dbraw/zinc/63/32/75/772633275.db2.gz KXDJETUSRDVJEG-VIFPVBQESA-N -1 1 319.708 1.384 20 0 DDADMM O=C([N-]c1n[nH]c(-c2ccncc2)n1)c1cc(F)ncc1F ZINC001146961921 772998648 /nfs/dbraw/zinc/99/86/48/772998648.db2.gz DBKZWBBXMWIGIH-UHFFFAOYSA-N -1 1 302.244 1.792 20 0 DDADMM CC(=O)Oc1ccc(NC(=O)c2c(CO)cnc(C)c2[O-])cc1 ZINC001147843435 773266553 /nfs/dbraw/zinc/26/65/53/773266553.db2.gz WFMQQWSVJFVCCJ-UHFFFAOYSA-N -1 1 316.313 1.766 20 0 DDADMM Cc1ncc(CO)c(C(=O)NC[C@H](O)C2CCCCC2)c1[O-] ZINC001147843933 773267972 /nfs/dbraw/zinc/26/79/72/773267972.db2.gz JBTYTXSACGFMJE-ZDUSSCGKSA-N -1 1 308.378 1.259 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@H]2CCCc3cnccc32)c1[O-] ZINC001147847940 773268982 /nfs/dbraw/zinc/26/89/82/773268982.db2.gz AVERRZWVCWSSJW-AWEZNQCLSA-N -1 1 313.357 1.790 20 0 DDADMM Cn1cc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c2ccccc21 ZINC001148195276 773374719 /nfs/dbraw/zinc/37/47/19/773374719.db2.gz VDWKKDKBBQMLKC-UHFFFAOYSA-N -1 1 324.300 1.460 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)CCCF ZINC001074113003 773639006 /nfs/dbraw/zinc/63/90/06/773639006.db2.gz BNBDHXBELXSFFU-RYUDHWBXSA-N -1 1 323.368 1.504 20 0 DDADMM NC(=O)COc1ccc(C(=O)Nc2cccc(F)c2[O-])cc1 ZINC001171632920 776355647 /nfs/dbraw/zinc/35/56/47/776355647.db2.gz XGVLFLXBLOFNEU-UHFFFAOYSA-N -1 1 304.277 1.648 20 0 DDADMM CS(=O)(=O)c1ccc(-c2noc(-c3ccncc3[O-])n2)cc1 ZINC001212721526 777119368 /nfs/dbraw/zinc/11/93/68/777119368.db2.gz VKJFJYQOTNPLPQ-UHFFFAOYSA-N -1 1 317.326 1.908 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2cc(F)cc(CO)c2)c1 ZINC001212750494 777316548 /nfs/dbraw/zinc/31/65/48/777316548.db2.gz IOVILJRUMZNFGC-UHFFFAOYSA-N -1 1 311.338 1.283 20 0 DDADMM CS(=O)(=O)c1cccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c1 ZINC001174027104 777401234 /nfs/dbraw/zinc/40/12/34/777401234.db2.gz LEPRKKLFUUDJQT-UHFFFAOYSA-N -1 1 312.376 1.200 20 0 DDADMM COc1cncc(Nc2cc(S(=O)(=O)C(N)=O)ccc2[O-])c1 ZINC001174092206 777404844 /nfs/dbraw/zinc/40/48/44/777404844.db2.gz RNNDRQNLPGNJAA-UHFFFAOYSA-N -1 1 323.330 1.806 20 0 DDADMM CC(=O)NCc1ccc(Nc2cc(=O)[n-]c(N(C)C)n2)cc1 ZINC001174230316 777428613 /nfs/dbraw/zinc/42/86/13/777428613.db2.gz OEGCHUIKMMYSCX-UHFFFAOYSA-N -1 1 301.350 1.628 20 0 DDADMM CC(=O)NCc1ccc([N-]S(=O)(=O)c2ccccc2N)cc1 ZINC001174233913 777429719 /nfs/dbraw/zinc/42/97/19/777429719.db2.gz GBCSTMVCHJZYDR-UHFFFAOYSA-N -1 1 319.386 1.706 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2ccc3ncnn3c2)c1 ZINC001174375251 777469654 /nfs/dbraw/zinc/46/96/54/777469654.db2.gz QRRRSMXQOBBWPZ-UHFFFAOYSA-N -1 1 304.331 1.582 20 0 DDADMM CCS(=O)(=O)c1ccc(Nc2cc(=O)[n-]c(N(C)C)n2)cc1 ZINC001174464466 777496056 /nfs/dbraw/zinc/49/60/56/777496056.db2.gz JKBIZDMFYBVIOU-UHFFFAOYSA-N -1 1 322.390 1.785 20 0 DDADMM COC(=O)c1nsnc1[N-]c1cnn([C@@H]2CCCCO2)c1 ZINC001174600684 777538195 /nfs/dbraw/zinc/53/81/95/777538195.db2.gz YLFFIVMFYNWQKH-VIFPVBQESA-N -1 1 309.351 1.964 20 0 DDADMM O=C([N-]c1nnc([C@@H]2CCCO2)s1)c1ccc2n[nH]nc2n1 ZINC001174755408 777586235 /nfs/dbraw/zinc/58/62/35/777586235.db2.gz VNRZLCILWCZVPM-QMMMGPOBSA-N -1 1 317.334 1.308 20 0 DDADMM COC[C@@H](NC(=O)c1cc2sccc2s1)c1nn[n-]n1 ZINC001177828909 778739159 /nfs/dbraw/zinc/73/91/59/778739159.db2.gz RVAWACGGOFWLJF-ZCFIWIBFSA-N -1 1 309.376 1.593 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNc1cc(F)ncn1 ZINC001103917613 779170027 /nfs/dbraw/zinc/17/00/27/779170027.db2.gz SFBVSOSSMQDYHF-SECBINFHSA-N -1 1 305.313 1.194 20 0 DDADMM O=C(CCN1CCOC1=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001178780024 779185843 /nfs/dbraw/zinc/18/58/43/779185843.db2.gz VTOAJPHVULPDHZ-UHFFFAOYSA-N -1 1 316.317 1.359 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@H](c2cnccn2)C1 ZINC001178844289 779197155 /nfs/dbraw/zinc/19/71/55/779197155.db2.gz BSPSVXGBIPPEDQ-SECBINFHSA-N -1 1 317.374 1.199 20 0 DDADMM C[C@@H](CC(C)(C)C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179666794 779454090 /nfs/dbraw/zinc/45/40/90/779454090.db2.gz CZAWVLHFGTZLTI-SRVKXCTJSA-N -1 1 323.441 1.662 20 0 DDADMM Nc1n[nH]c(CC(=O)Nc2cccc(C(F)(F)F)c2[O-])n1 ZINC001180247620 779674152 /nfs/dbraw/zinc/67/41/52/779674152.db2.gz AKDVXRZUWKTVGI-UHFFFAOYSA-N -1 1 301.228 1.293 20 0 DDADMM O=C(CCc1nc(-c2ncccn2)no1)[N-]OCC(F)(F)F ZINC001116305189 780495447 /nfs/dbraw/zinc/49/54/47/780495447.db2.gz UYYYJQMCWGEQRO-UHFFFAOYSA-N -1 1 317.227 1.069 20 0 DDADMM O=C(CCCF)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001408838709 839039625 /nfs/dbraw/zinc/03/96/25/839039625.db2.gz BHCKSMIPVJOJJI-NSHDSACASA-N -1 1 309.341 1.258 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC1(C)CCOCC1 ZINC001414231241 844505801 /nfs/dbraw/zinc/50/58/01/844505801.db2.gz GLBUPSCCZJXMSM-UHFFFAOYSA-N -1 1 306.409 1.247 20 0 DDADMM CC(C)CN1CC[C@@]2(CCCN2C(=O)c2ccc([O-])cn2)C1=O ZINC001269355407 841559485 /nfs/dbraw/zinc/55/94/85/841559485.db2.gz YQJPZZBVEANERI-KRWDZBQOSA-N -1 1 317.389 1.650 20 0 DDADMM CC[C@H](C)N1CC[C@]2(CCCN2C(=O)c2cncc([O-])c2)C1=O ZINC001271384685 843543202 /nfs/dbraw/zinc/54/32/02/843543202.db2.gz BBBPEBAIMRDIKI-YVEFUNNKSA-N -1 1 317.389 1.793 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)[C@H]1CC(=O)N(C(C)(C)C)C1 ZINC001326576615 861457935 /nfs/dbraw/zinc/45/79/35/861457935.db2.gz MNPFDBRMJWQPBN-JTQLQIEISA-N -1 1 314.382 1.023 20 0 DDADMM CN1C[C@@]2(CC1=O)CCCCN2C(=O)c1cc(F)ccc1[O-] ZINC001272387744 846092335 /nfs/dbraw/zinc/09/23/35/846092335.db2.gz AKGMMQFQXRNZFS-INIZCTEOSA-N -1 1 306.337 1.758 20 0 DDADMM Cc1ccc2[nH]c(C(=O)Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)cc2c1 ZINC001149493317 861653835 /nfs/dbraw/zinc/65/38/35/861653835.db2.gz BEUYBZSDVZCFGT-UHFFFAOYSA-N -1 1 324.300 1.758 20 0 DDADMM COCc1cc(O)ccc1NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001299219633 846401483 /nfs/dbraw/zinc/40/14/83/846401483.db2.gz NZMZEAQTEVEGKC-UHFFFAOYSA-N -1 1 321.358 1.884 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cccc2ncc(O)cc21 ZINC001155052942 861682962 /nfs/dbraw/zinc/68/29/62/861682962.db2.gz JTORCNGBKYHHQN-UHFFFAOYSA-N -1 1 322.284 1.565 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2Cc1cncs1 ZINC001032369142 847167101 /nfs/dbraw/zinc/16/71/01/847167101.db2.gz UHRPBLUIKBLPBQ-QWRGUYRKSA-N -1 1 316.386 1.343 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(C(F)F)CC1 ZINC001410372693 849164458 /nfs/dbraw/zinc/16/44/58/849164458.db2.gz IENXXQFDAPJNOT-QMMMGPOBSA-N -1 1 313.304 1.067 20 0 DDADMM O=C(CCCC1CCCCC1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150008668 862023483 /nfs/dbraw/zinc/02/34/83/862023483.db2.gz KLITZLYUNPABHP-UHFFFAOYSA-N -1 1 309.414 1.467 20 0 DDADMM O=C([O-])c1cnn(C2CCN(CCOCC(F)(F)F)CC2)c1 ZINC001327366745 862107192 /nfs/dbraw/zinc/10/71/92/862107192.db2.gz DEDRJQDAJDRZHC-UHFFFAOYSA-N -1 1 321.299 1.797 20 0 DDADMM NS(=O)(=O)c1ccc(-c2c(F)ccc([O-])c2Cl)nc1 ZINC001245827464 850724187 /nfs/dbraw/zinc/72/41/87/850724187.db2.gz LVPZIBMJOFWBKA-UHFFFAOYSA-N -1 1 302.714 1.894 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc2scnc2[nH]1 ZINC001155527426 862196023 /nfs/dbraw/zinc/19/60/23/862196023.db2.gz WKRMKNVWDYUBPX-UHFFFAOYSA-N -1 1 301.291 1.249 20 0 DDADMM CN1CC[C@]2(CCCN2Cc2ccccc2OCC(=O)[O-])C1=O ZINC001274205439 852031443 /nfs/dbraw/zinc/03/14/43/852031443.db2.gz JPSZDVFMHHHFGX-QGZVFWFLSA-N -1 1 318.373 1.347 20 0 DDADMM CC(C)Cc1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001150598209 862347867 /nfs/dbraw/zinc/34/78/67/862347867.db2.gz ZATCCBGWHIFHFD-UHFFFAOYSA-N -1 1 317.393 1.228 20 0 DDADMM NC(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)c1cc([O-])cnc1Cl)C2 ZINC001274970534 852719815 /nfs/dbraw/zinc/71/98/15/852719815.db2.gz RRMVGKIMKFHQKK-AKZRSSKFSA-N -1 1 309.753 1.024 20 0 DDADMM O=C(c1cc([O-])cc(F)c1)N1CC2(CN(Cc3cn[nH]c3)C2)C1 ZINC001275006040 852740216 /nfs/dbraw/zinc/74/02/16/852740216.db2.gz VPYJYNVLDDVRHX-UHFFFAOYSA-N -1 1 316.336 1.212 20 0 DDADMM CN(C(=O)c1ccc(C(=O)NCC(C)(C)C)cc1)c1nn[n-]n1 ZINC001411481435 853194432 /nfs/dbraw/zinc/19/44/32/853194432.db2.gz SHAVCPJLRABXJC-UHFFFAOYSA-N -1 1 316.365 1.252 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(Cc2cccs2)CC1 ZINC001411611347 853457677 /nfs/dbraw/zinc/45/76/77/853457677.db2.gz GXEVZDYFLNVDDU-UHFFFAOYSA-N -1 1 303.387 1.807 20 0 DDADMM Cc1cc(CN2CCCN(C(=O)c3ccc([O-])cn3)CC2)no1 ZINC001411732252 853649110 /nfs/dbraw/zinc/64/91/10/853649110.db2.gz KEZJZHUFCKXTSH-UHFFFAOYSA-N -1 1 316.361 1.432 20 0 DDADMM CCc1ccc2c(c1)[C@@H](NC(=O)CCc1nn[n-]n1)CCO2 ZINC001412007779 854100712 /nfs/dbraw/zinc/10/07/12/854100712.db2.gz LHERIBSEIDASMX-LBPRGKRZSA-N -1 1 301.350 1.335 20 0 DDADMM CCCC[C@@H](O)CCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001412050299 854152036 /nfs/dbraw/zinc/15/20/36/854152036.db2.gz YHVCFKIHRGAFSS-GHMZBOCLSA-N -1 1 313.427 1.148 20 0 DDADMM CCO[C@@H]1C[C@](O)(CNC(=O)c2cccc([O-])c2F)C1(C)C ZINC001412093731 854214351 /nfs/dbraw/zinc/21/43/51/854214351.db2.gz REYAQMCGEDQZIJ-WBMJQRKESA-N -1 1 311.353 1.827 20 0 DDADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])[C@H]1CCC[C@@H](C)C1 ZINC001412244179 854369922 /nfs/dbraw/zinc/36/99/22/854369922.db2.gz KPMSQHRKZKOYOZ-AGIUHOORSA-N -1 1 319.405 1.848 20 0 DDADMM CSc1ncc(C(=O)N2CC3(C[C@@H]2C)CCOCC3)c(=O)[n-]1 ZINC001412265423 854391106 /nfs/dbraw/zinc/39/11/06/854391106.db2.gz FETTVYNWXGWLIP-JTQLQIEISA-N -1 1 323.418 1.935 20 0 DDADMM CSCC[C@H](O)C(=O)Nc1cc(C(=O)OC(C)(C)C)[nH]n1 ZINC001412270511 854397395 /nfs/dbraw/zinc/39/73/95/854397395.db2.gz OWVWHHLHXOONAH-VIFPVBQESA-N -1 1 315.395 1.418 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4oc(=O)ccc4c3)c1-2 ZINC001150992848 862565460 /nfs/dbraw/zinc/56/54/60/862565460.db2.gz VYUPDEDSXSDDNE-UHFFFAOYSA-N -1 1 321.296 1.394 20 0 DDADMM CC(C)C(=O)NC[C@@H](C1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001412360473 854472897 /nfs/dbraw/zinc/47/28/97/854472897.db2.gz BTPPZJHZKKURCG-LBPRGKRZSA-N -1 1 305.378 1.410 20 0 DDADMM CC(C)CCC(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001412437892 854550643 /nfs/dbraw/zinc/55/06/43/854550643.db2.gz RLIJIMYKBWBHQW-LBPRGKRZSA-N -1 1 307.394 1.800 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CC(C)(C)CC[C@H]2O)c(=O)[n-]1 ZINC001412441609 854556408 /nfs/dbraw/zinc/55/64/08/854556408.db2.gz KCCCISBDXHUPGF-NXEZZACHSA-N -1 1 311.407 1.574 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCc1nc(C(C)C)no1 ZINC001412529599 854664945 /nfs/dbraw/zinc/66/49/45/854664945.db2.gz NSHSGWAZRFYHSD-UHFFFAOYSA-N -1 1 321.385 1.324 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@](C)(O)c1ccc(F)cc1 ZINC001412545813 854696152 /nfs/dbraw/zinc/69/61/52/854696152.db2.gz PAERJFWSTZTQQW-HNNXBMFYSA-N -1 1 321.356 1.231 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)c1ccccc1)NC(=O)c1cnn[nH]1 ZINC001412644953 854870728 /nfs/dbraw/zinc/87/07/28/854870728.db2.gz YDZOBHIIHSQZPW-VXGBXAGGSA-N -1 1 315.377 1.185 20 0 DDADMM C[S@@](=O)(=NC(=O)CCc1nn[n-]n1)c1ccc(Cl)cc1 ZINC001412794555 855278145 /nfs/dbraw/zinc/27/81/45/855278145.db2.gz WLBGNPWCNFGLQS-FQEVSTJZSA-N -1 1 313.770 1.469 20 0 DDADMM CC(C)(CO)[C@@H](NC(=O)CCCc1nn[n-]n1)c1cccs1 ZINC001413178124 856516356 /nfs/dbraw/zinc/51/63/56/856516356.db2.gz FCLOFKQVFXFFGZ-ZDUSSCGKSA-N -1 1 323.422 1.460 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(C3CC3)on2)n[n-]1 ZINC001413266571 856587460 /nfs/dbraw/zinc/58/74/60/856587460.db2.gz AYFXPTILSREGEF-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(C3CC3)on2)[n-]1 ZINC001413266571 856587466 /nfs/dbraw/zinc/58/74/66/856587466.db2.gz AYFXPTILSREGEF-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(C3CC3)on2)n1 ZINC001413266571 856587468 /nfs/dbraw/zinc/58/74/68/856587468.db2.gz AYFXPTILSREGEF-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@@H](O)C(C)C)c1 ZINC001413302602 856623421 /nfs/dbraw/zinc/62/34/21/856623421.db2.gz YKJRKCXOFDHDLE-LLVKDONJSA-N -1 1 303.380 1.086 20 0 DDADMM C[C@H](CCOc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001413348657 856701834 /nfs/dbraw/zinc/70/18/34/856701834.db2.gz YBMJHGAPNVMXOY-LLVKDONJSA-N -1 1 317.345 1.609 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC001413501500 856896229 /nfs/dbraw/zinc/89/62/29/856896229.db2.gz FDQCRDCIFMOUSG-VNQPRFMTSA-N -1 1 311.389 1.770 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cncc(OCC(F)F)c1 ZINC001413510012 856909907 /nfs/dbraw/zinc/90/99/07/856909907.db2.gz NKXOYUUGACBYAW-UHFFFAOYSA-N -1 1 324.291 1.048 20 0 DDADMM Cc1ccsc1[C@H]1C[C@H]1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001413511170 856911069 /nfs/dbraw/zinc/91/10/69/856911069.db2.gz PWDMPADVWCMGSX-VHSXEESVSA-N -1 1 303.391 1.869 20 0 DDADMM O=C(c1snnc1C1CC1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001413558752 857067670 /nfs/dbraw/zinc/06/76/70/857067670.db2.gz JAIAUIJYAVYNHL-QMMMGPOBSA-N -1 1 319.394 1.024 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)CNC(=O)CC(C)(C)C)n[nH]1 ZINC001413673983 857315165 /nfs/dbraw/zinc/31/51/65/857315165.db2.gz MBMNNKMAZXNEEZ-UHFFFAOYSA-N -1 1 324.381 1.466 20 0 DDADMM O=C(Nc1nn[nH]c1C(=O)NC1CC1)NC1(C2CCC2)CCC1 ZINC001413676819 857319034 /nfs/dbraw/zinc/31/90/34/857319034.db2.gz HFDTUFGAHWZDAG-UHFFFAOYSA-N -1 1 318.381 1.541 20 0 DDADMM CSc1nc(NC(=O)c2ccc3nccn3n2)cc(=O)[n-]1 ZINC001151711743 862930486 /nfs/dbraw/zinc/93/04/86/862930486.db2.gz RVZRRQSFIDWIGV-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ncccc2[O-])[nH]c1=O ZINC001413764055 858498487 /nfs/dbraw/zinc/49/84/87/858498487.db2.gz HWKSKQCJRKMKNR-SECBINFHSA-N -1 1 316.317 1.269 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](C1CCC1)[C@H]1CCCO1 ZINC001123846867 859439278 /nfs/dbraw/zinc/43/92/78/859439278.db2.gz HCSQKORDCWDMAH-PWSUYJOCSA-N -1 1 323.418 1.857 20 0 DDADMM CCCCCCOCC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123913320 859470003 /nfs/dbraw/zinc/47/00/03/859470003.db2.gz KJNOBOCUYKKMTM-CYBMUJFWSA-N -1 1 309.414 1.578 20 0 DDADMM C[C@H](c1ccccn1)N1CC[C@@H](OCCS(=O)(=O)[O-])C1 ZINC001224572115 881454746 /nfs/dbraw/zinc/45/47/46/881454746.db2.gz RCUWTOKZJSKGMT-VXGBXAGGSA-N -1 1 300.380 1.121 20 0 DDADMM [O-]c1cccnc1CN1CCn2c(Br)cnc2C1 ZINC001138395292 860092140 /nfs/dbraw/zinc/09/21/40/860092140.db2.gz ZWUSFVCEHZGTTC-UHFFFAOYSA-N -1 1 309.167 1.762 20 0 DDADMM COc1cccc(C[N@H+]2CCC[C@H](C)[C@@H]2CO)c1OCC(=O)[O-] ZINC001139268660 860343242 /nfs/dbraw/zinc/34/32/42/860343242.db2.gz PPKQLXRYZGIWFB-JSGCOSHPSA-N -1 1 323.389 1.751 20 0 DDADMM Cc1ccc2nc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)cn2c1 ZINC001361376799 881528703 /nfs/dbraw/zinc/52/87/03/881528703.db2.gz FUMJOCOIUOTACX-UHFFFAOYSA-N -1 1 306.329 1.550 20 0 DDADMM Cc1[nH]c(CN2CC[C@@H](Oc3ncccn3)C2)c(C)c1C(=O)[O-] ZINC001140498091 860644964 /nfs/dbraw/zinc/64/49/64/860644964.db2.gz DIMCMEQHWQPGNJ-GFCCVEGCSA-N -1 1 316.361 1.773 20 0 DDADMM COC[C@H]1c2nnn(C)c2CCN1Cc1ccc([O-])c(F)c1F ZINC001140888326 860725285 /nfs/dbraw/zinc/72/52/85/860725285.db2.gz DAJIXYIHVKKHHP-NSHDSACASA-N -1 1 324.331 1.545 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N(C)Cc2nnc3n2CCC3)c1 ZINC001141367071 860834562 /nfs/dbraw/zinc/83/45/62/860834562.db2.gz MDOMTRSLZGWOLI-UHFFFAOYSA-N -1 1 314.345 1.323 20 0 DDADMM O=C(NCCO)c1c([O-])cnc2ccc(Br)cc21 ZINC001154534586 861182606 /nfs/dbraw/zinc/18/26/06/861182606.db2.gz ADNRDPXICUACJX-UHFFFAOYSA-N -1 1 311.135 1.425 20 0 DDADMM CC(C)(C)OC(=O)N1CC(OCCCC[P@](=O)([O-])O)C1 ZINC001225021188 881643368 /nfs/dbraw/zinc/64/33/68/881643368.db2.gz FKYFTKGEGWHAGQ-UHFFFAOYSA-N -1 1 309.299 1.580 20 0 DDADMM O=C(N[C@H]1CCCNC(=O)C1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152685142 863494826 /nfs/dbraw/zinc/49/48/26/863494826.db2.gz MYCQPHCCOXQARP-VIFPVBQESA-N -1 1 315.329 1.045 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccnc(OCC2CC2)c1 ZINC001213015206 864821516 /nfs/dbraw/zinc/82/15/16/864821516.db2.gz IXOALRATDGQSAF-UHFFFAOYSA-N -1 1 305.363 1.981 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[C@H](OC(=O)N(C)C)C2)c1 ZINC001158949418 864989308 /nfs/dbraw/zinc/98/93/08/864989308.db2.gz ACQOCUOSENUWRM-LBPRGKRZSA-N -1 1 320.345 1.426 20 0 DDADMM CCOC(=O)c1cccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159185408 865152536 /nfs/dbraw/zinc/15/25/36/865152536.db2.gz HSIUVTJIVKHCKC-UHFFFAOYSA-N -1 1 316.277 1.031 20 0 DDADMM Cc1ccc2ncnc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)c2c1 ZINC001159185976 865153973 /nfs/dbraw/zinc/15/39/73/865153973.db2.gz LUQPUFCNJSSMGX-UHFFFAOYSA-N -1 1 309.289 1.711 20 0 DDADMM Cc1nc2ccc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)nc2n1C ZINC001159185455 865154343 /nfs/dbraw/zinc/15/43/43/865154343.db2.gz IVIJFBPIZUMKHH-UHFFFAOYSA-N -1 1 312.293 1.049 20 0 DDADMM COC(=O)/C=C/[C@@H](C)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC001159781925 865519015 /nfs/dbraw/zinc/51/90/15/865519015.db2.gz BFTJPYYLVULASV-FCZSHJHJSA-N -1 1 321.377 1.636 20 0 DDADMM CCOC(OCC)[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001160183533 865714763 /nfs/dbraw/zinc/71/47/63/865714763.db2.gz DDHULTMTMLMHFH-SECBINFHSA-N -1 1 309.366 1.577 20 0 DDADMM O=C([N-]OC/C=C\Cl)c1cc(=O)[nH]cc1Br ZINC001160681642 866020974 /nfs/dbraw/zinc/02/09/74/866020974.db2.gz OYOFNRBTUUUOMU-UPHRSURJSA-N -1 1 307.531 1.964 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cccc2[nH]c(=O)oc21 ZINC001160718284 866058400 /nfs/dbraw/zinc/05/84/00/866058400.db2.gz PPWAMMFVPPQUGJ-UHFFFAOYSA-N -1 1 318.314 1.878 20 0 DDADMM CCc1[nH]nc(NC(=O)c2ccc3n[n-]c(=S)n3c2)c1C ZINC001160752649 866098636 /nfs/dbraw/zinc/09/86/36/866098636.db2.gz JSGPPRXJPCKXNA-UHFFFAOYSA-N -1 1 302.363 1.864 20 0 DDADMM COCc1nn(C)cc1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001361605276 881989925 /nfs/dbraw/zinc/98/99/25/881989925.db2.gz QSTSUODQOTUWCL-UHFFFAOYSA-N -1 1 317.349 1.193 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2CC[C@@H](SC)C2)sn1 ZINC001475041128 866597646 /nfs/dbraw/zinc/59/76/46/866597646.db2.gz XEUWMIGEHVRLBY-JGVFFNPUSA-N -1 1 308.450 1.714 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)/C=C\[C@H]2CCOC2)[n-]c1=O ZINC001333561140 866840211 /nfs/dbraw/zinc/84/02/11/866840211.db2.gz WPOZXIKAMWHCOG-DFPOMNKCSA-N -1 1 319.361 1.447 20 0 DDADMM CC(C)OC(=O)N1CCC(Oc2cc(=O)[n-]c(=S)[nH]2)CC1 ZINC001225764846 882018053 /nfs/dbraw/zinc/01/80/53/882018053.db2.gz HYSBCCUIBPWJGQ-UHFFFAOYSA-N -1 1 313.379 1.859 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc2c(c1)OCCO2 ZINC001324806112 867536739 /nfs/dbraw/zinc/53/67/39/867536739.db2.gz NWBCAKLCLIKWMR-UHFFFAOYSA-N -1 1 323.345 1.390 20 0 DDADMM O=C(Nc1ncn(Cc2cccnc2)n1)c1ccc([O-])cc1F ZINC001321420561 867582192 /nfs/dbraw/zinc/58/21/92/867582192.db2.gz LIGWBUWBRZTGEP-UHFFFAOYSA-N -1 1 313.292 1.818 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@@H]1CC(F)(F)C1(F)F ZINC001225900911 882101096 /nfs/dbraw/zinc/10/10/96/882101096.db2.gz JZICPWPJJBVDTG-ZCFIWIBFSA-N -1 1 310.203 1.781 20 0 DDADMM COC(=O)COc1ccc(NC(=O)c2cnncc2[O-])c(C)c1 ZINC001361670583 882126775 /nfs/dbraw/zinc/12/67/75/882126775.db2.gz VXNQLVXWJSTLIG-UHFFFAOYSA-N -1 1 317.301 1.295 20 0 DDADMM C[C@@H]1CC/C(=C/C(=O)N2CCN([C@]3(C(=O)[O-])CCOC3)CC2)C1 ZINC001334961126 867949498 /nfs/dbraw/zinc/94/94/98/867949498.db2.gz HHYQISBKDXTHCY-RTSVQFOCSA-N -1 1 322.405 1.121 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nnc(Cc3ccncc3)o2)o1 ZINC001322374814 868141260 /nfs/dbraw/zinc/14/12/60/868141260.db2.gz FZYFMJFCDSACEG-UHFFFAOYSA-N -1 1 320.330 1.224 20 0 DDADMM C[C@H]1Oc2ccc(NCC[N-]C(=O)C(F)(F)F)cc2NC1=O ZINC001164243942 869051476 /nfs/dbraw/zinc/05/14/76/869051476.db2.gz MPSYQBSERNELDJ-SSDOTTSWSA-N -1 1 317.267 1.496 20 0 DDADMM CN1CCOc2ccc(NCC[N-]C(=O)C(F)(F)F)cc21 ZINC001164251388 869060448 /nfs/dbraw/zinc/06/04/48/869060448.db2.gz UIVCQNRNLSFIQO-UHFFFAOYSA-N -1 1 303.284 1.606 20 0 DDADMM COCC(=O)NCCC(=O)Nc1cc(F)c([O-])cc1Cl ZINC001336902887 869210215 /nfs/dbraw/zinc/21/02/15/869210215.db2.gz HNBYNYAUPXUCQT-UHFFFAOYSA-N -1 1 304.705 1.276 20 0 DDADMM C[C@@H](c1ccc(F)cc1)N(C)c1nnc(Cc2nnn[n-]2)n1C ZINC001338121535 869815422 /nfs/dbraw/zinc/81/54/22/869815422.db2.gz AVPXLUZHCSADPV-VIFPVBQESA-N -1 1 316.344 1.256 20 0 DDADMM C[C@@H](c1ccc(F)cc1)N(C)c1nnc(Cc2nn[n-]n2)n1C ZINC001338121535 869815429 /nfs/dbraw/zinc/81/54/29/869815429.db2.gz AVPXLUZHCSADPV-VIFPVBQESA-N -1 1 316.344 1.256 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1ccnn1C[C@@H]1CCOC1 ZINC001338340364 869931031 /nfs/dbraw/zinc/93/10/31/869931031.db2.gz IZLISCMORNFWBF-AAEUAGOBSA-N -1 1 310.354 1.499 20 0 DDADMM Cc1cc(O)cc(=O)n1CC(=O)Nc1ccc([O-])c(F)c1F ZINC001297821095 870181767 /nfs/dbraw/zinc/18/17/67/870181767.db2.gz RSFPHQIWHKAODC-UHFFFAOYSA-N -1 1 310.256 1.485 20 0 DDADMM CCOc1nc2cc(NC(=O)c3cnncc3[O-])ccc2o1 ZINC001298221042 870364789 /nfs/dbraw/zinc/36/47/89/870364789.db2.gz DJUVKXPSAVEYPQ-UHFFFAOYSA-N -1 1 300.274 1.974 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1ccccc1F ZINC001339259592 870424898 /nfs/dbraw/zinc/42/48/98/870424898.db2.gz CSIOVXBDSBCYPZ-UHFFFAOYSA-N -1 1 302.317 1.254 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1ccccc1F ZINC001339259592 870424906 /nfs/dbraw/zinc/42/49/06/870424906.db2.gz CSIOVXBDSBCYPZ-UHFFFAOYSA-N -1 1 302.317 1.254 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1ccc(Cl)s1 ZINC001339382329 870470197 /nfs/dbraw/zinc/47/01/97/870470197.db2.gz LHMKLYQJQBNUDU-UHFFFAOYSA-N -1 1 324.801 1.829 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1ccc(Cl)s1 ZINC001339382329 870470207 /nfs/dbraw/zinc/47/02/07/870470207.db2.gz LHMKLYQJQBNUDU-UHFFFAOYSA-N -1 1 324.801 1.829 20 0 DDADMM CCC[C@H](OC)C(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001298357118 870498829 /nfs/dbraw/zinc/49/88/29/870498829.db2.gz RBNWCQSPZZUFCY-ZFDPJTLLSA-N -1 1 321.377 1.005 20 0 DDADMM CNc1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)nc1 ZINC001301653370 871016198 /nfs/dbraw/zinc/01/61/98/871016198.db2.gz CRXCXQOLYPAADC-ZDUSSCGKSA-N -1 1 309.333 1.156 20 0 DDADMM CCCCCN(C(C)C)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001309076605 871550119 /nfs/dbraw/zinc/55/01/19/871550119.db2.gz LDWCPTLOHVEWOP-UHFFFAOYSA-N -1 1 317.411 1.786 20 0 DDADMM CCCCCN(C(C)C)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001309076605 871550112 /nfs/dbraw/zinc/55/01/12/871550112.db2.gz LDWCPTLOHVEWOP-UHFFFAOYSA-N -1 1 317.411 1.786 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)Cc1nocc1C)C(=O)OCC ZINC001309391561 871571556 /nfs/dbraw/zinc/57/15/56/871571556.db2.gz MNAJSGQHSMPMFI-MALLOTDXSA-N -1 1 316.379 1.300 20 0 DDADMM Cc1nccc(CNC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)n1 ZINC001311065738 871672537 /nfs/dbraw/zinc/67/25/37/871672537.db2.gz GBJMRVLTLAQATE-UHFFFAOYSA-N -1 1 324.344 1.998 20 0 DDADMM Cc1ccc([C@H](C)Oc2cc(=O)[n-]c(N3CCOCC3)n2)cn1 ZINC001226722552 882610078 /nfs/dbraw/zinc/61/00/78/882610078.db2.gz MZGXACLBDNEZMZ-LBPRGKRZSA-N -1 1 316.361 1.862 20 0 DDADMM CN(C(=O)c1cc(F)c(C(F)(F)F)c(F)c1)c1nn[n-]n1 ZINC001361906473 882617536 /nfs/dbraw/zinc/61/75/36/882617536.db2.gz PJHTZWSRQYZDPI-UHFFFAOYSA-N -1 1 307.182 1.773 20 0 DDADMM CO[C@H]1CCCC[C@@H]1Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226729601 882615337 /nfs/dbraw/zinc/61/53/37/882615337.db2.gz YZACCRHLWLGXQA-RYUDHWBXSA-N -1 1 309.366 1.355 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N1C[C@@H]2CC=CC[C@@H]2C1 ZINC001343593078 872680765 /nfs/dbraw/zinc/68/07/65/872680765.db2.gz MDZWNQMGWGPYIN-AOOOYVTPSA-N -1 1 302.338 1.044 20 0 DDADMM CCCN(C)c1nnc(-c2noc(=O)[n-]2)n1Cc1ccccc1 ZINC001343667052 872709177 /nfs/dbraw/zinc/70/91/77/872709177.db2.gz YVFWYKUAGHFBQG-UHFFFAOYSA-N -1 1 314.349 1.516 20 0 DDADMM Cc1cc(C)n(-c2ccc(CC(=O)OCc3nn[n-]n3)cc2)n1 ZINC001345156882 873280199 /nfs/dbraw/zinc/28/01/99/873280199.db2.gz JMFHONQPBOFSBP-UHFFFAOYSA-N -1 1 312.333 1.288 20 0 DDADMM Cc1nocc1CN(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001378037255 873956597 /nfs/dbraw/zinc/95/65/97/873956597.db2.gz KANQLOLHMOQWDU-SNVBAGLBSA-N -1 1 304.350 1.334 20 0 DDADMM O=C(c1cc(O)c2ccccc2c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347475606 874154397 /nfs/dbraw/zinc/15/43/97/874154397.db2.gz XQEVAEWMCWDWGY-LLVKDONJSA-N -1 1 309.329 1.688 20 0 DDADMM O=C(c1cc2ccncc2s1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347473335 874155283 /nfs/dbraw/zinc/15/52/83/874155283.db2.gz GVIRJMBQXNRFHN-VIFPVBQESA-N -1 1 300.347 1.439 20 0 DDADMM CCn1ncn([N-]C(=O)c2cc3c(nc(C)cc3C)s2)c1=O ZINC001347631600 874219624 /nfs/dbraw/zinc/21/96/24/874219624.db2.gz NBNATUNRWZQOEF-UHFFFAOYSA-N -1 1 317.374 1.675 20 0 DDADMM CC(C)[N@H+]1CC[C@H](Oc2[n-]c(=O)nnc2Br)C1 ZINC001227064977 882805953 /nfs/dbraw/zinc/80/59/53/882805953.db2.gz WCNKPHZZVXFARO-ZETCQYMHSA-N -1 1 303.160 1.201 20 0 DDADMM O=c1nc2nc[n-]c2c(O[C@@H]2CC[N@H+](Cc3ccccc3)C2)[n-]1 ZINC001227064562 882806348 /nfs/dbraw/zinc/80/63/48/882806348.db2.gz OCCOLUVVJZHMRC-GFCCVEGCSA-N -1 1 311.345 1.712 20 0 DDADMM O=c1nc2nc[n-]c2c(O[C@@H]2CC[N@@H+](Cc3ccccc3)C2)[n-]1 ZINC001227064562 882806362 /nfs/dbraw/zinc/80/63/62/882806362.db2.gz OCCOLUVVJZHMRC-GFCCVEGCSA-N -1 1 311.345 1.712 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](c1ccccc1)[C@@H](C)O ZINC001347842689 874288341 /nfs/dbraw/zinc/28/83/41/874288341.db2.gz YOOMVOXBWBBMGA-BXKDBHETSA-N -1 1 319.386 1.631 20 0 DDADMM O=C(c1cncn1-c1ccccc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347975850 874325999 /nfs/dbraw/zinc/32/59/99/874325999.db2.gz VIZZZHAQWUGIJY-LLVKDONJSA-N -1 1 309.333 1.015 20 0 DDADMM O=C(c1ccc2ncccc2c1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001348167246 874396610 /nfs/dbraw/zinc/39/66/10/874396610.db2.gz VDYANVINXSXUTR-LBPRGKRZSA-N -1 1 322.372 1.843 20 0 DDADMM Cn1c(CNC(=O)c2ccnc(OC3CCC3)c2)n[n-]c1=S ZINC000090042187 874527410 /nfs/dbraw/zinc/52/74/10/874527410.db2.gz RWPMGTWEGBJPMY-UHFFFAOYSA-N -1 1 319.390 1.734 20 0 DDADMM CCCC(CCC)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209294615 874782512 /nfs/dbraw/zinc/78/25/12/874782512.db2.gz KXHHAPYINOUYGD-ZDUSSCGKSA-N -1 1 323.441 1.807 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348839358 874821100 /nfs/dbraw/zinc/82/11/00/874821100.db2.gz WPEJDIRSJURVQY-GFCCVEGCSA-N -1 1 323.393 1.084 20 0 DDADMM CC[C@@H](C)C(=O)NC[C@H]1CCCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001378458586 874914753 /nfs/dbraw/zinc/91/47/53/874914753.db2.gz YGFSWLUCMYPPFA-CHWSQXEVSA-N -1 1 323.441 1.015 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](c2nn[n-]n2)C1)c1cn(C)c2ccccc12 ZINC001349001822 874918404 /nfs/dbraw/zinc/91/84/04/874918404.db2.gz COYLVUUGCJVWIS-NEPJUHHUSA-N -1 1 324.388 1.811 20 0 DDADMM CCO[C@H]1C[C@@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C12CCC2 ZINC001349013130 874923841 /nfs/dbraw/zinc/92/38/41/874923841.db2.gz CZMQCVOPTRHSAJ-ZJUUUORDSA-N -1 1 323.418 1.857 20 0 DDADMM CCC[C@H](C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001209900430 875164369 /nfs/dbraw/zinc/16/43/69/875164369.db2.gz ULBMKWLJQNKZCV-OLZOCXBDSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@@H](NC(=O)CCCc1nn[n-]n1)c1nc(C(C)(C)C)no1 ZINC001362048516 882913384 /nfs/dbraw/zinc/91/33/84/882913384.db2.gz WOXPAZFVTVSMLS-MRVPVSSYSA-N -1 1 307.358 1.080 20 0 DDADMM O=C(c1cnncc1[O-])N1CCC(OCC2CCCC2)CC1 ZINC001362054125 882923655 /nfs/dbraw/zinc/92/36/55/882923655.db2.gz UZCMLGFRMGLVBF-UHFFFAOYSA-N -1 1 305.378 1.994 20 0 DDADMM CC[C@H](NC(=O)c1cnc(SC)[n-]c1=O)c1noc(C)n1 ZINC001362066488 882952745 /nfs/dbraw/zinc/95/27/45/882952745.db2.gz DHVOLKOONRTGHF-QMMMGPOBSA-N -1 1 309.351 1.477 20 0 DDADMM Cc1cccnc1C=CC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001350429014 875684635 /nfs/dbraw/zinc/68/46/35/875684635.db2.gz MOKMKTBYBAWGEK-KTRBRXNASA-N -1 1 312.377 1.398 20 0 DDADMM CCn1cc(Cl)c(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)n1 ZINC001350538367 875748214 /nfs/dbraw/zinc/74/82/14/875748214.db2.gz JEGFUEXRKKPBJG-UHFFFAOYSA-N -1 1 313.770 1.901 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2ccoc2CC(=O)[O-])C[C@@H](C)O1 ZINC001350795040 875897020 /nfs/dbraw/zinc/89/70/20/875897020.db2.gz CJTWXISRIADTKM-VXGBXAGGSA-N -1 1 324.377 1.136 20 0 DDADMM Cc1[nH]ccc1C(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001381941454 883009519 /nfs/dbraw/zinc/00/95/19/883009519.db2.gz OQCFQSPHGDCPGI-SNVBAGLBSA-N -1 1 316.361 1.314 20 0 DDADMM O=C(Cc1nnc(C2CCOCC2)[nH]1)[N-]OCCC(F)(F)F ZINC001351786400 876430263 /nfs/dbraw/zinc/43/02/63/876430263.db2.gz FLSHYWLYYLZELI-UHFFFAOYSA-N -1 1 322.287 1.241 20 0 DDADMM CCOCCCCCC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001352253870 876662448 /nfs/dbraw/zinc/66/24/48/876662448.db2.gz YQRCDYDYKQCTAR-UHFFFAOYSA-N -1 1 323.393 1.468 20 0 DDADMM O=S(=O)([O-])c1ccc(O)c(-c2nc(-c3ccccn3)no2)c1 ZINC001215453980 876715134 /nfs/dbraw/zinc/71/51/34/876715134.db2.gz BYYSNMZYGZZFKT-UHFFFAOYSA-N -1 1 319.298 1.751 20 0 DDADMM O=C(c1ccc(-c2ccccc2)[nH]1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001352595873 876823671 /nfs/dbraw/zinc/82/36/71/876823671.db2.gz HQQZFPLKWGMCLT-GFCCVEGCSA-N -1 1 308.345 1.825 20 0 DDADMM O=C(C=C1CCSCC1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001352793328 876934426 /nfs/dbraw/zinc/93/44/26/876934426.db2.gz IZWWNGDTWHGZGG-LBPRGKRZSA-N -1 1 307.423 1.434 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C12CCC(CC1)CC2 ZINC001379462632 877136406 /nfs/dbraw/zinc/13/64/06/877136406.db2.gz HJVBPBVXULGYPN-RWWNRMGGSA-N -1 1 307.398 1.075 20 0 DDADMM CC(C)c1ccoc1C(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001374276148 912406638 /nfs/dbraw/zinc/40/66/38/912406638.db2.gz LPYFGDCODQNHBY-SNVBAGLBSA-N -1 1 321.381 1.477 20 0 DDADMM Cc1c2c(sc1NC(=O)[C@]1(C(=O)[O-])CNCCO1)CCCC2 ZINC001357290893 879896785 /nfs/dbraw/zinc/89/67/85/879896785.db2.gz IRVXGBKBEBMMST-HNNXBMFYSA-N -1 1 324.402 1.317 20 0 DDADMM CCCC[C@H](CCC)CNC(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC001357309892 879905362 /nfs/dbraw/zinc/90/53/62/879905362.db2.gz QESBIZSKOQWIIL-SWLSCSKDSA-N -1 1 300.399 1.152 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CC1=CCCCC1 ZINC001358095015 880327121 /nfs/dbraw/zinc/32/71/21/880327121.db2.gz CBYZIHICSORHRS-GFCCVEGCSA-N -1 1 317.389 1.912 20 0 DDADMM CN(C)Cc1nc(C(C)(C)NC(=O)c2cccc([O-])c2F)no1 ZINC001362206973 883299247 /nfs/dbraw/zinc/29/92/47/883299247.db2.gz ZDVLDLNPNCVZTP-UHFFFAOYSA-N -1 1 322.340 1.641 20 0 DDADMM Cc1ccc(F)c(Br)c1C(=O)Nc1nnn[n-]1 ZINC001362257112 883422387 /nfs/dbraw/zinc/42/23/87/883422387.db2.gz NOQOAYXQMFBJAX-UHFFFAOYSA-N -1 1 300.091 1.662 20 0 DDADMM Cc1ccc(F)c(Br)c1C(=O)Nc1nn[n-]n1 ZINC001362257112 883422394 /nfs/dbraw/zinc/42/23/94/883422394.db2.gz NOQOAYXQMFBJAX-UHFFFAOYSA-N -1 1 300.091 1.662 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H](C)COc2ccc(OC)cc2)n1 ZINC001228311627 883440388 /nfs/dbraw/zinc/44/03/88/883440388.db2.gz MBTDULCONVPIGF-SECBINFHSA-N -1 1 307.306 1.446 20 0 DDADMM COC(=O)c1nc(O[C@H](C)COc2ccc(OC)cc2)n[n-]1 ZINC001228311627 883440399 /nfs/dbraw/zinc/44/03/99/883440399.db2.gz MBTDULCONVPIGF-SECBINFHSA-N -1 1 307.306 1.446 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])cn2)CCN1C(=O)OC(C)(C)C ZINC001362342399 883607734 /nfs/dbraw/zinc/60/77/34/883607734.db2.gz UEXAZLZCGHZGSG-NSHDSACASA-N -1 1 321.377 1.869 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](CO)c2cccc(F)c2)c(=O)[n-]1 ZINC001362363934 883648913 /nfs/dbraw/zinc/64/89/13/883648913.db2.gz CATGYRZZLKALJY-NSHDSACASA-N -1 1 323.349 1.507 20 0 DDADMM CC[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)[C@@H]1C ZINC001362369093 883660356 /nfs/dbraw/zinc/66/03/56/883660356.db2.gz PTRSVFISOYTZFM-ZJUUUORDSA-N -1 1 300.380 1.448 20 0 DDADMM O=C(Nc1ccccc1CN1CCNC1=O)c1ccc([O-])cn1 ZINC001362399365 883722703 /nfs/dbraw/zinc/72/27/03/883722703.db2.gz RBGHTBZYROPHKP-UHFFFAOYSA-N -1 1 312.329 1.565 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC001362457353 883857770 /nfs/dbraw/zinc/85/77/70/883857770.db2.gz BHLVWEXVANNHHF-RASWFIQWSA-N -1 1 309.732 1.854 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)Oc1ccccc1F)c1nn[n-]n1 ZINC001362494026 883934503 /nfs/dbraw/zinc/93/45/03/883934503.db2.gz FROWLCVNKBEKJX-SNVBAGLBSA-N -1 1 321.356 1.938 20 0 DDADMM CCC(CC)(NC(=O)C[C@H](C)c1c(C)n[nH]c1C)c1nn[n-]n1 ZINC001362500288 883948520 /nfs/dbraw/zinc/94/85/20/883948520.db2.gz YAEDMLVRWHPXFL-VIFPVBQESA-N -1 1 319.413 1.865 20 0 DDADMM COC(=O)c1c[n-]c(=O)nc1OC1CCC(C(=O)OC)CC1 ZINC001229873206 884204294 /nfs/dbraw/zinc/20/42/94/884204294.db2.gz QNXNKYQXHKWYQF-UHFFFAOYSA-N -1 1 310.306 1.079 20 0 DDADMM NC(=O)c1ccc(C(=O)Nc2cccc([O-])c2Br)[nH]1 ZINC001362609553 884210521 /nfs/dbraw/zinc/21/05/21/884210521.db2.gz HUGVNAGECQSUCG-UHFFFAOYSA-N -1 1 324.134 1.834 20 0 DDADMM CCN(C)C(=O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362689973 884418424 /nfs/dbraw/zinc/41/84/24/884418424.db2.gz QNQIQEQTVPPORZ-UHFFFAOYSA-N -1 1 304.268 1.619 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC2(CC1)OCCCO2 ZINC001362746423 884538015 /nfs/dbraw/zinc/53/80/15/884538015.db2.gz GKSXHLOGRVDCFV-UHFFFAOYSA-N -1 1 319.361 1.429 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1CCC(=O)c2cccn21)c1nn[n-]n1 ZINC001362782376 884624502 /nfs/dbraw/zinc/62/45/02/884624502.db2.gz CXXJISWEDALOKW-NSHDSACASA-N -1 1 316.365 1.351 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1CCc2cccc(O)c21)c1nn[n-]n1 ZINC001362788851 884643246 /nfs/dbraw/zinc/64/32/46/884643246.db2.gz WNVUVDKSIYRCSJ-NSHDSACASA-N -1 1 315.377 1.767 20 0 DDADMM O=C(N[C@H](C1CC1)C1(CO)CCOCC1)c1cccc([O-])c1F ZINC001362865497 884831522 /nfs/dbraw/zinc/83/15/22/884831522.db2.gz FXKZQATXORYLEZ-OAHLLOKOSA-N -1 1 323.364 1.829 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)c1nccc(C)n1 ZINC001362907811 884952020 /nfs/dbraw/zinc/95/20/20/884952020.db2.gz WASOVCNZAZQHPS-VIFPVBQESA-N -1 1 319.390 1.802 20 0 DDADMM CSCC[C@H](NC(=O)c1cnn(C(C)C)c1)c1nn[n-]n1 ZINC001362997312 885184368 /nfs/dbraw/zinc/18/43/68/885184368.db2.gz HFNNQFZORQFUDS-JTQLQIEISA-N -1 1 309.399 1.201 20 0 DDADMM CO[C@@H](C)C(=O)N(CCN(C)C(=O)c1ncccc1[O-])C(C)C ZINC001383153787 885322554 /nfs/dbraw/zinc/32/25/54/885322554.db2.gz CNUVYARPUIAIBE-LBPRGKRZSA-N -1 1 323.393 1.131 20 0 DDADMM CCOC(=O)c1cn(C)nc1NC(=O)c1ccc(OC)cc1[O-] ZINC001363098493 885458905 /nfs/dbraw/zinc/45/89/05/885458905.db2.gz JEAMRZOHPJKZDZ-UHFFFAOYSA-N -1 1 319.317 1.563 20 0 DDADMM CC(C)(C)C(=O)NC[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001395952959 912945994 /nfs/dbraw/zinc/94/59/94/912945994.db2.gz PTNNURPPJWOFRQ-NEPJUHHUSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C1=CCOCC1 ZINC001363151913 885590330 /nfs/dbraw/zinc/59/03/30/885590330.db2.gz JOLOATZYGZKWDS-ONGXEEELSA-N -1 1 320.311 1.391 20 0 DDADMM CC1(C)CCC(C)(NC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001363248446 885816072 /nfs/dbraw/zinc/81/60/72/885816072.db2.gz CJWZNMKNWFHHOY-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM CCOC(=O)[C@@]1(C)CCC[C@H]1NC(=O)c1ncc(C)cc1[O-] ZINC001363306589 885970458 /nfs/dbraw/zinc/97/04/58/885970458.db2.gz LAGBJUXIXIXJSP-WBMJQRKESA-N -1 1 306.362 1.947 20 0 DDADMM COc1ccc(C[C@](C)(CO)NC(=O)c2ccc([O-])cn2)cc1 ZINC001363386798 886195305 /nfs/dbraw/zinc/19/53/05/886195305.db2.gz OWCUOURVXGRELT-QGZVFWFLSA-N -1 1 316.357 1.519 20 0 DDADMM C[C@@H]1CC[C@@H](C(N)=O)[C@H](C)N1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363456505 886388626 /nfs/dbraw/zinc/38/86/26/886388626.db2.gz KAEVWLHMYKZTAB-WCABBAIRSA-N -1 1 318.377 1.174 20 0 DDADMM Cc1cc(C(=O)NCc2nc([O-])cc(=O)[nH]2)c(C)n1CC1CC1 ZINC001363488951 886472558 /nfs/dbraw/zinc/47/25/58/886472558.db2.gz KBWYXBMYPWGTNV-UHFFFAOYSA-N -1 1 316.361 1.646 20 0 DDADMM CSCC[C@H](NC(=O)c1ccn(C(F)F)n1)c1nn[n-]n1 ZINC001363521613 886545130 /nfs/dbraw/zinc/54/51/30/886545130.db2.gz HTEWGHRJNOQDAT-LURJTMIESA-N -1 1 317.325 1.016 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc(C(F)F)nc1 ZINC001363543382 886595865 /nfs/dbraw/zinc/59/58/65/886595865.db2.gz IBTMAKJIDGCYRH-UHFFFAOYSA-N -1 1 310.308 1.831 20 0 DDADMM Cc1noc(C)c1[C@H](C)CC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363556058 886627780 /nfs/dbraw/zinc/62/77/80/886627780.db2.gz ZEFQUAACTNOTBB-MRVPVSSYSA-N -1 1 304.354 1.494 20 0 DDADMM CCC[C@@H](O)CC(=O)N1CCC[C@H]1c1nn[n-]c1C(=O)OCC ZINC001363556092 886628078 /nfs/dbraw/zinc/62/80/78/886628078.db2.gz HGYYJXCAOSJEHN-MNOVXSKESA-N -1 1 324.381 1.196 20 0 DDADMM CCC[C@@H](O)CC(=O)N1CCC[C@H]1c1[n-]nnc1C(=O)OCC ZINC001363556092 886628088 /nfs/dbraw/zinc/62/80/88/886628088.db2.gz HGYYJXCAOSJEHN-MNOVXSKESA-N -1 1 324.381 1.196 20 0 DDADMM CCC[C@@H](O)CC(=O)N1CCC[C@H]1c1n[n-]nc1C(=O)OCC ZINC001363556092 886628103 /nfs/dbraw/zinc/62/81/03/886628103.db2.gz HGYYJXCAOSJEHN-MNOVXSKESA-N -1 1 324.381 1.196 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1cncs1 ZINC001363562066 886640813 /nfs/dbraw/zinc/64/08/13/886640813.db2.gz WQMGRFRADLXWLZ-MRVPVSSYSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1cncs1 ZINC001363562066 886640821 /nfs/dbraw/zinc/64/08/21/886640821.db2.gz WQMGRFRADLXWLZ-MRVPVSSYSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1cncs1 ZINC001363562066 886640825 /nfs/dbraw/zinc/64/08/25/886640825.db2.gz WQMGRFRADLXWLZ-MRVPVSSYSA-N -1 1 321.362 1.415 20 0 DDADMM Cc1nc([C@@H]2COCCN2Cc2ccc([O-])c(F)c2F)no1 ZINC001363579422 886681982 /nfs/dbraw/zinc/68/19/82/886681982.db2.gz KCDYLUFZGVEDPY-JTQLQIEISA-N -1 1 311.288 1.935 20 0 DDADMM CCS(=O)(=O)N1CCN(Cc2ccc(F)c([O-])c2F)CC1 ZINC001232938048 886685985 /nfs/dbraw/zinc/68/59/85/886685985.db2.gz YMICWTHFZJPXRY-UHFFFAOYSA-N -1 1 320.361 1.138 20 0 DDADMM COC[C@H](NC(=O)Cc1ccc(Cl)c(F)c1)c1nn[n-]n1 ZINC001363593576 886717181 /nfs/dbraw/zinc/71/71/81/886717181.db2.gz XIIXPDSYHNZWBG-JTQLQIEISA-N -1 1 313.720 1.039 20 0 DDADMM CSc1ncc(C(=O)NC(C)(C)C(=O)N2CCCC2)c(=O)[n-]1 ZINC001363600006 886733369 /nfs/dbraw/zinc/73/33/69/886733369.db2.gz KJARTOZFFXYPTO-UHFFFAOYSA-N -1 1 324.406 1.035 20 0 DDADMM COC[C@@H]1Cn2ccnc2CN(Cc2cc(Cl)ncc2[O-])C1 ZINC001233035753 886743716 /nfs/dbraw/zinc/74/37/16/886743716.db2.gz IIYCVTCLMFSHCP-NSHDSACASA-N -1 1 322.796 1.916 20 0 DDADMM CS(=O)(=O)C1CCN(Cc2cc(Cl)ncc2[O-])CC1 ZINC001233034947 886745215 /nfs/dbraw/zinc/74/52/15/886745215.db2.gz TWNJIUWYCNNPQF-UHFFFAOYSA-N -1 1 304.799 1.450 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)CCc1ncon1 ZINC001363631810 886820448 /nfs/dbraw/zinc/82/04/48/886820448.db2.gz JQXRUVPYULQRNR-UHFFFAOYSA-N -1 1 305.338 1.312 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2nccs2)c1 ZINC001363768950 887174603 /nfs/dbraw/zinc/17/46/03/887174603.db2.gz PMMQKZBWIGTBEO-UHFFFAOYSA-N -1 1 300.361 1.336 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1CCCc2nn(C)cc21 ZINC001363805734 887266029 /nfs/dbraw/zinc/26/60/29/887266029.db2.gz QYZQRTULZUVPED-LLVKDONJSA-N -1 1 317.397 1.038 20 0 DDADMM Cc1nc(CC2CC2)sc1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363816311 887297747 /nfs/dbraw/zinc/29/77/47/887297747.db2.gz DBAQUFQGQUDBFG-UHFFFAOYSA-N -1 1 318.406 1.726 20 0 DDADMM Cc1cccc(COCC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001363822143 887317229 /nfs/dbraw/zinc/31/72/29/887317229.db2.gz QNXVYECMWFYEKW-UHFFFAOYSA-N -1 1 301.350 1.220 20 0 DDADMM CCOC(=O)c1[nH]nc(NC(=O)c2ncccc2[O-])c1C1CC1 ZINC001363837381 887358811 /nfs/dbraw/zinc/35/88/11/887358811.db2.gz YYVUDALVBKDBOK-UHFFFAOYSA-N -1 1 316.317 1.817 20 0 DDADMM CCOC(=O)c1cn(CC)nc1NC(=O)c1ccc(O)cc1[O-] ZINC001363894077 887490332 /nfs/dbraw/zinc/49/03/32/887490332.db2.gz VKIFTNQWQFGXBQ-UHFFFAOYSA-N -1 1 319.317 1.743 20 0 DDADMM CCN(C1CC(C)(C)C1)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001363927422 887556193 /nfs/dbraw/zinc/55/61/93/887556193.db2.gz JZSDTDAGRWJQME-UHFFFAOYSA-N -1 1 315.395 1.396 20 0 DDADMM CCN(C1CC(C)(C)C1)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001363927422 887556205 /nfs/dbraw/zinc/55/62/05/887556205.db2.gz JZSDTDAGRWJQME-UHFFFAOYSA-N -1 1 315.395 1.396 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1ccccc1F ZINC001363943372 887588905 /nfs/dbraw/zinc/58/89/05/887588905.db2.gz YZEFTTWNPRFZFW-UHFFFAOYSA-N -1 1 316.379 1.812 20 0 DDADMM CC1(C)C([N-]S(=O)(=O)c2nc[nH]c2Br)C1(C)C ZINC001363957005 887611303 /nfs/dbraw/zinc/61/13/03/887611303.db2.gz VXUWPFOGCXOBJO-UHFFFAOYSA-N -1 1 322.228 1.885 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC2CCCCCC2)[n-]n1 ZINC001363967168 887630719 /nfs/dbraw/zinc/63/07/19/887630719.db2.gz KHNZVSIYUPTYNA-UHFFFAOYSA-N -1 1 315.395 1.445 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC2CCCCCC2)n[n-]1 ZINC001363967168 887630727 /nfs/dbraw/zinc/63/07/27/887630727.db2.gz KHNZVSIYUPTYNA-UHFFFAOYSA-N -1 1 315.395 1.445 20 0 DDADMM CC[C@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)[C@H]1C ZINC001363988006 887675111 /nfs/dbraw/zinc/67/51/11/887675111.db2.gz JSTVPWVNPLXNBL-IUCAKERBSA-N -1 1 301.368 1.005 20 0 DDADMM CC[C@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)[C@H]1C ZINC001363988006 887675116 /nfs/dbraw/zinc/67/51/16/887675116.db2.gz JSTVPWVNPLXNBL-IUCAKERBSA-N -1 1 301.368 1.005 20 0 DDADMM COC[C@H](NC(=O)c1cc(C)c(Cl)cc1C)c1nn[n-]n1 ZINC001364031027 887758713 /nfs/dbraw/zinc/75/87/13/887758713.db2.gz MPJSUNQDXULISA-NSHDSACASA-N -1 1 309.757 1.587 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364032091 887761647 /nfs/dbraw/zinc/76/16/47/887761647.db2.gz NTMIFDNQHSSICA-CABZTGNLSA-N -1 1 318.381 1.389 20 0 DDADMM CN(Cc1ncc(Br)cn1)C(=O)c1ccc([O-])cn1 ZINC001364032041 887761769 /nfs/dbraw/zinc/76/17/69/887761769.db2.gz WNAAPQHBMCXZGG-UHFFFAOYSA-N -1 1 323.150 1.612 20 0 DDADMM COC[C@@H](NC(=O)c1cccc(C(F)F)c1F)c1nn[n-]n1 ZINC001364039313 887776019 /nfs/dbraw/zinc/77/60/19/887776019.db2.gz XDBVAKRMMQJERT-MRVPVSSYSA-N -1 1 315.255 1.394 20 0 DDADMM Cc1cccc(OC[C@@H](C)Oc2c(C(N)=O)nc[n-]c2=O)c1 ZINC001234469570 888002483 /nfs/dbraw/zinc/00/24/83/888002483.db2.gz ADGRRIGIUUVACF-SNVBAGLBSA-N -1 1 303.318 1.436 20 0 DDADMM COc1cccc(NC(=O)N[C@@H](CCSC)c2nn[n-]n2)n1 ZINC001364139898 888010566 /nfs/dbraw/zinc/01/05/66/888010566.db2.gz OCDMPVPYUQOFNV-QMMMGPOBSA-N -1 1 323.382 1.219 20 0 DDADMM Cc1nc2c(c(=O)[nH]1)CN(C(=O)c1c(F)ccc([O-])c1F)C2 ZINC001364262501 888247272 /nfs/dbraw/zinc/24/72/72/888247272.db2.gz NNEDYYKLUFVIGZ-UHFFFAOYSA-N -1 1 307.256 1.630 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)c1cnn(C2CCC2)c1 ZINC001364491681 888758264 /nfs/dbraw/zinc/75/82/64/888758264.db2.gz LAHBTJCUUCOXGV-UHFFFAOYSA-N -1 1 308.367 1.063 20 0 DDADMM CNc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(Cl)c1 ZINC001364526976 888848361 /nfs/dbraw/zinc/84/83/61/888848361.db2.gz AGAIUQWCPGTYBL-UHFFFAOYSA-N -1 1 320.784 1.915 20 0 DDADMM CC(C)(C)CC(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001385368701 888979367 /nfs/dbraw/zinc/97/93/67/888979367.db2.gz UHWUANFDAHBUKQ-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](CO)Cc2ccoc2)c(=O)[n-]1 ZINC001364611205 889030433 /nfs/dbraw/zinc/03/04/33/889030433.db2.gz RYUNRCCEHATOBV-SNVBAGLBSA-N -1 1 323.374 1.078 20 0 DDADMM O=C(NCCc1ccc(O)c(CO)c1)c1ccc([O-])cc1F ZINC001364643946 889108081 /nfs/dbraw/zinc/10/80/81/889108081.db2.gz SACVJZQDMWIXPF-UHFFFAOYSA-N -1 1 305.305 1.702 20 0 DDADMM CC[C@@H]1C[C@@H](CC(=O)N(Cc2nn[n-]n2)CC(C)C)CCO1 ZINC001364652218 889125145 /nfs/dbraw/zinc/12/51/45/889125145.db2.gz RTJDQKLIPRUMBS-QWHCGFSZSA-N -1 1 309.414 1.780 20 0 DDADMM COc1ccc(CC(=O)N(Cc2nn[n-]n2)CC(C)C)cc1F ZINC001364651950 889125303 /nfs/dbraw/zinc/12/53/03/889125303.db2.gz QAIFGASOHOIAQZ-UHFFFAOYSA-N -1 1 321.356 1.575 20 0 DDADMM COC[C@@H](NC(=O)c1cc(C)n(CC2CC2)c1C)c1nn[n-]n1 ZINC001364813148 889469639 /nfs/dbraw/zinc/46/96/39/889469639.db2.gz HLMPMVXKNHOIGS-CYBMUJFWSA-N -1 1 318.381 1.146 20 0 DDADMM C[C@@H](C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC001385681377 889558131 /nfs/dbraw/zinc/55/81/31/889558131.db2.gz SGKUCZUINBZPMW-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H]1[C@H](C)N(Cc2ccc([O-])c(F)c2F)CCS1(=O)=O ZINC001364924617 889679128 /nfs/dbraw/zinc/67/91/28/889679128.db2.gz BOIWUAWRFHXNAA-DTWKUNHWSA-N -1 1 305.346 1.678 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1CCCn2nccc21 ZINC001364929101 889685526 /nfs/dbraw/zinc/68/55/26/889685526.db2.gz UYHPWGGQEDKGEC-QMMMGPOBSA-N -1 1 314.392 1.162 20 0 DDADMM Cc1conc1CS(=O)(=O)[N-]CC(=O)Nc1ccccc1 ZINC001365037978 889951678 /nfs/dbraw/zinc/95/16/78/889951678.db2.gz SLPSUDYKFFJXEU-UHFFFAOYSA-N -1 1 309.347 1.041 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3Cc4ccccc4[C@H]3O)ccnc1-2 ZINC001365198211 890323876 /nfs/dbraw/zinc/32/38/76/890323876.db2.gz LCWAOZTZBIMOPT-HUUCEWRRSA-N -1 1 323.356 1.122 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)c2c(C)n[nH]c2C)sn1 ZINC001365417946 890767057 /nfs/dbraw/zinc/76/70/57/890767057.db2.gz YMBXTACIQRNNOS-QMMMGPOBSA-N -1 1 316.408 1.531 20 0 DDADMM O=S(=O)([N-]CC(F)(F)c1ccc(F)cc1F)c1c[nH]cn1 ZINC001365457024 890830790 /nfs/dbraw/zinc/83/07/90/890830790.db2.gz CUJPRPIOPLGVLX-UHFFFAOYSA-N -1 1 323.271 1.758 20 0 DDADMM Cc1cnc(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)s1 ZINC001365478547 890876755 /nfs/dbraw/zinc/87/67/55/890876755.db2.gz RCXRDTOJLKONBS-UHFFFAOYSA-N -1 1 321.362 1.342 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnc(OC)nc3OC)cc2[n-]1 ZINC001240904518 891089039 /nfs/dbraw/zinc/08/90/39/891089039.db2.gz LBFQGBQRMTTXHS-UHFFFAOYSA-N -1 1 314.301 1.824 20 0 DDADMM COC(=O)c1nc2cc(-c3cnc(OC)nc3OC)ccc2[n-]1 ZINC001240904518 891089060 /nfs/dbraw/zinc/08/90/60/891089060.db2.gz LBFQGBQRMTTXHS-UHFFFAOYSA-N -1 1 314.301 1.824 20 0 DDADMM NS(=O)(=O)c1ccc(-c2ccc([O-])c(C(F)(F)F)c2)nn1 ZINC001242157965 891354926 /nfs/dbraw/zinc/35/49/26/891354926.db2.gz PKOZAWRBTHZXQV-UHFFFAOYSA-N -1 1 319.264 1.515 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)Cc1nocc1C ZINC001365717039 891388970 /nfs/dbraw/zinc/38/89/70/891388970.db2.gz SPSIKYCINHAKGG-SNVBAGLBSA-N -1 1 318.395 1.380 20 0 DDADMM O=S(=O)([N-]Cc1cncc(F)c1)c1cccc(F)c1F ZINC001365737055 891425951 /nfs/dbraw/zinc/42/59/51/891425951.db2.gz PLUDKRQFBJUQKK-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnc(N4CCC4)nc3)nc2[n-]1 ZINC001242704330 891487362 /nfs/dbraw/zinc/48/73/62/891487362.db2.gz GCNSPOCFHDBBKW-UHFFFAOYSA-N -1 1 310.317 1.412 20 0 DDADMM COc1ccc(F)cc1NC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001365770760 891510810 /nfs/dbraw/zinc/51/08/10/891510810.db2.gz UTJPUDMYWGOFPU-UHFFFAOYSA-N -1 1 320.328 1.759 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)CNC(=O)c2ncccc2[O-])c(C)[nH]1 ZINC001386738051 891601239 /nfs/dbraw/zinc/60/12/39/891601239.db2.gz BGRBXHGKWFEJHQ-JTQLQIEISA-N -1 1 316.361 1.280 20 0 DDADMM Cc1cc(-c2ccnc(N3CCN(C)CC3)n2)ccc1C(=O)[O-] ZINC001243211421 891622951 /nfs/dbraw/zinc/62/29/51/891622951.db2.gz GFKHDIRJEACAKZ-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM [O-]c1cccc(-c2nc3nc[nH]c3c(N3CCOCC3)n2)c1F ZINC001243544816 891676555 /nfs/dbraw/zinc/67/65/55/891676555.db2.gz USNAQFRNHUTYJL-UHFFFAOYSA-N -1 1 315.308 1.701 20 0 DDADMM NC(=O)[C@H]1CCCN1c1cc(-c2ccc(Cl)c([O-])c2)ncn1 ZINC001243577860 891678690 /nfs/dbraw/zinc/67/86/90/891678690.db2.gz AHHBYDBAVSTPBJ-GFCCVEGCSA-N -1 1 318.764 1.957 20 0 DDADMM CCCc1cnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nc1 ZINC001244789351 891922227 /nfs/dbraw/zinc/92/22/27/891922227.db2.gz JAYQJILUXPGQDN-UHFFFAOYSA-N -1 1 322.390 1.871 20 0 DDADMM COc1ncc(-c2cc(F)ncc2C)cc1[N-]S(C)(=O)=O ZINC001244793244 891927767 /nfs/dbraw/zinc/92/77/67/891927767.db2.gz ZOGOVOKRCBFSSH-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM CCC[C@@H](CC)C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001387068793 892306839 /nfs/dbraw/zinc/30/68/39/892306839.db2.gz GXOXXSCPDAFJKW-GFCCVEGCSA-N -1 1 307.394 1.802 20 0 DDADMM C[C@@H](C(=O)NCCN(C)C(=O)c1ncccc1[O-])c1ccco1 ZINC001387109376 892402433 /nfs/dbraw/zinc/40/24/33/892402433.db2.gz WDCFMYISKRNBDH-LLVKDONJSA-N -1 1 317.345 1.372 20 0 DDADMM O=C(CCCF)N[C@@H]1CCCC[C@H]1NC(=O)c1ncccc1[O-] ZINC001396458137 913828812 /nfs/dbraw/zinc/82/88/12/913828812.db2.gz YAJKOPATCBSITK-VXGBXAGGSA-N -1 1 323.368 1.694 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccccc2CC(N)=O)cc1N ZINC001249606437 894058432 /nfs/dbraw/zinc/05/84/32/894058432.db2.gz RCVMYTMZRXTRKB-UHFFFAOYSA-N -1 1 319.386 1.406 20 0 DDADMM Cc1c(N)c(F)ccc1[N-]S(=O)(=O)c1cc(N)ccc1N ZINC001249644088 894075851 /nfs/dbraw/zinc/07/58/51/894075851.db2.gz RDKIDVOFTHXFLX-UHFFFAOYSA-N -1 1 310.354 1.682 20 0 DDADMM Cn1cc([N-]S(=O)(=O)c2ccc(N)c(N)c2)c2ccncc21 ZINC001249698005 894110167 /nfs/dbraw/zinc/11/01/67/894110167.db2.gz HVROQNZYPRMSNQ-UHFFFAOYSA-N -1 1 317.374 1.539 20 0 DDADMM O=C1Cc2cccc([N-]S(=O)(=O)c3ccc(F)cc3)c2CN1 ZINC001251668614 894768315 /nfs/dbraw/zinc/76/83/15/894768315.db2.gz YERCZQDWPJBBAD-UHFFFAOYSA-N -1 1 320.345 1.799 20 0 DDADMM O=c1cnc2cc([N-]S(=O)(=O)c3ccc(F)cc3)ccc2[nH]1 ZINC001251668382 894768439 /nfs/dbraw/zinc/76/84/39/894768439.db2.gz QGAVCADGVAMMES-UHFFFAOYSA-N -1 1 319.317 1.863 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(NC(C)=O)cc2)ccn1 ZINC001251808031 894816776 /nfs/dbraw/zinc/81/67/76/894816776.db2.gz CUCCXVIBLBDIOS-UHFFFAOYSA-N -1 1 321.358 1.849 20 0 DDADMM O=C(NCCNC(=O)c1ncccc1[O-])c1ccoc1C1CC1 ZINC001292666631 913908464 /nfs/dbraw/zinc/90/84/64/913908464.db2.gz RQMQNDZBLBZYRW-UHFFFAOYSA-N -1 1 315.329 1.417 20 0 DDADMM Cc1c(=O)[n-]n(-c2ccccc2)c1NCC1(O)CCOCC1 ZINC001252980278 895598957 /nfs/dbraw/zinc/59/89/57/895598957.db2.gz MHVPQPLPJUQWOI-UHFFFAOYSA-N -1 1 303.362 1.840 20 0 DDADMM Cc1ccc(C)c(S(=O)(=O)Nc2cc(=O)[n-]c(N(C)C)n2)c1 ZINC001254718211 896580839 /nfs/dbraw/zinc/58/08/39/896580839.db2.gz RAOZFDHYSWRQFK-UHFFFAOYSA-N -1 1 322.390 1.666 20 0 DDADMM COC(=O)c1cc(-c2nnc(Cc3ccc(OC)cc3)o2)[n-]n1 ZINC001254790379 896602715 /nfs/dbraw/zinc/60/27/15/896602715.db2.gz LFFRASYFGHXBJU-UHFFFAOYSA-N -1 1 314.301 1.846 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C(C)(C)CC(C)(C)C ZINC001374855457 914143018 /nfs/dbraw/zinc/14/30/18/914143018.db2.gz ZNGBKNUVMXGDNA-SNVBAGLBSA-N -1 1 311.430 1.567 20 0 DDADMM CCOC(=O)c1ccc(C[C@H](C)[NH2+]CCP(=O)([O-])[O-])cc1 ZINC001257149032 897744946 /nfs/dbraw/zinc/74/49/46/897744946.db2.gz QLSGYUTUCABDJU-NSHDSACASA-N -1 1 315.306 1.562 20 0 DDADMM CC1(C(=O)NCC2(NC(=O)c3ncccc3[O-])CC2)CCC1 ZINC001389925587 898297357 /nfs/dbraw/zinc/29/73/57/898297357.db2.gz BXIRLFQOJSPDQE-UHFFFAOYSA-N -1 1 303.362 1.356 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cc(=O)[n-]c(N(C)C)n2)c(C)c1 ZINC001259096328 898467707 /nfs/dbraw/zinc/46/77/07/898467707.db2.gz ZAIVEJCSKCIQNZ-UHFFFAOYSA-N -1 1 322.390 1.666 20 0 DDADMM Cc1cc(F)ccc1S(=O)(=O)[N-]c1cc(O)cc(C(N)=O)c1 ZINC001259490756 898695540 /nfs/dbraw/zinc/69/55/40/898695540.db2.gz OHDLCBSXZKYHIV-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM CC(C)CC1([N-]S(=O)(=O)C(F)F)CCS(=O)(=O)CC1 ZINC001259964247 898988517 /nfs/dbraw/zinc/98/85/17/898988517.db2.gz JCGDMBUCGPRRLQ-UHFFFAOYSA-N -1 1 319.395 1.122 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCOC1)c1cc(Cl)c(F)cc1F ZINC001260206301 899088808 /nfs/dbraw/zinc/08/88/08/899088808.db2.gz JUZRVIXMRGXHCC-SSDOTTSWSA-N -1 1 311.737 1.933 20 0 DDADMM O=C1NCCC[C@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260286871 899109274 /nfs/dbraw/zinc/10/92/74/899109274.db2.gz JYSBHJOXXGDRJK-SECBINFHSA-N -1 1 324.736 1.175 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)[C@@H](C)CC)c1ccccn1 ZINC001260747972 899246215 /nfs/dbraw/zinc/24/62/15/899246215.db2.gz DVHZLUSRFASIFQ-JQWIXIFHSA-N -1 1 300.380 1.404 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)NCCCNC(=O)c2ncccc2[O-])C1 ZINC001293263073 914377620 /nfs/dbraw/zinc/37/76/20/914377620.db2.gz UXELPOUMJNRYNJ-NWDGAFQWSA-N -1 1 305.378 1.460 20 0 DDADMM C/C(=C\C(=O)N1CCN([C@]2(C(=O)[O-])CCOC2)CC1)C(C)(C)C ZINC001261843486 899785468 /nfs/dbraw/zinc/78/54/68/899785468.db2.gz IVJCKMSMQOKHEE-MLFXKNMZSA-N -1 1 324.421 1.367 20 0 DDADMM CN(CCNC(=O)C1CCCCCCC1)Cc1nc(=O)n(C)[n-]1 ZINC001390786985 900182836 /nfs/dbraw/zinc/18/28/36/900182836.db2.gz XRGUSWMXSOSYIC-UHFFFAOYSA-N -1 1 323.441 1.017 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)C[C@H]1CC=CCC1 ZINC001263015288 900469076 /nfs/dbraw/zinc/46/90/76/900469076.db2.gz IDFJJBKBHPGNAW-NSHDSACASA-N -1 1 302.386 1.194 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)C[C@H]1CC=CCC1 ZINC001263015288 900469083 /nfs/dbraw/zinc/46/90/83/900469083.db2.gz IDFJJBKBHPGNAW-NSHDSACASA-N -1 1 302.386 1.194 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](C)Cc1ccc(O)cc1 ZINC001263769049 900700658 /nfs/dbraw/zinc/70/06/58/900700658.db2.gz NNIANZMQXCHJJP-QMMMGPOBSA-N -1 1 319.386 1.846 20 0 DDADMM CCC1(C(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001369295712 901001099 /nfs/dbraw/zinc/00/10/99/901001099.db2.gz NLACWDHQFWYPMO-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM CC(C)[C@@H](C)C(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001391315996 901466153 /nfs/dbraw/zinc/46/61/53/901466153.db2.gz IXGGSBXJBNCLME-NEPJUHHUSA-N -1 1 309.414 1.131 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NCc1cc(C)on1 ZINC001391677132 902324547 /nfs/dbraw/zinc/32/45/47/902324547.db2.gz MMBGBHCVCBNAIG-LLVKDONJSA-N -1 1 304.350 1.382 20 0 DDADMM C[C@@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)C1CCCCC1)C1CC1 ZINC001391787988 902605436 /nfs/dbraw/zinc/60/54/36/902605436.db2.gz XJRQPOHDVARNJK-INIZCTEOSA-N -1 1 321.425 1.465 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCn2cnnc2C(C)C)c1 ZINC001293767653 914688235 /nfs/dbraw/zinc/68/82/35/914688235.db2.gz HYYWAEDEDLYMQP-UHFFFAOYSA-N -1 1 316.361 1.658 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CCC1CCCC1 ZINC001392191378 903489145 /nfs/dbraw/zinc/48/91/45/903489145.db2.gz MUOQWDDAJDCIRX-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@@H]1CCCC12CC2)NCc1n[nH]c(=O)[n-]1 ZINC001392236260 903610871 /nfs/dbraw/zinc/61/08/71/903610871.db2.gz ZRJKABMSXFLJGF-RYUDHWBXSA-N -1 1 321.425 1.321 20 0 DDADMM CSCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001370824267 903796671 /nfs/dbraw/zinc/79/66/71/903796671.db2.gz RZLPCZWIIOWUBA-MNOVXSKESA-N -1 1 323.418 1.260 20 0 DDADMM Cc1ccc(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])cc1 ZINC001392594282 904560607 /nfs/dbraw/zinc/56/06/07/904560607.db2.gz RXYMZDCBIZMFCI-GFCCVEGCSA-N -1 1 313.357 1.644 20 0 DDADMM C[C@H](CNC(=O)[C@H]1CCCCC1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001371487749 905084795 /nfs/dbraw/zinc/08/47/95/905084795.db2.gz RUGINZVNDRUGDO-GHMZBOCLSA-N -1 1 309.414 1.321 20 0 DDADMM CCC[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001371535478 905168197 /nfs/dbraw/zinc/16/81/97/905168197.db2.gz NCYPRYOWOBROIN-NWDGAFQWSA-N -1 1 309.414 1.273 20 0 DDADMM CCC[C@H](C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001372009260 906230694 /nfs/dbraw/zinc/23/06/94/906230694.db2.gz GRCLXLRJYVSKSV-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CCCC[C@H](C)C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001283232640 906954006 /nfs/dbraw/zinc/95/40/06/906954006.db2.gz IICIAIAOKMMKMM-LBPRGKRZSA-N -1 1 307.394 1.850 20 0 DDADMM CC(=O)NC[C@@H](NC(=O)c1c(C)nc(C(C)C)[n-]c1=O)C1CC1 ZINC001372333909 907055584 /nfs/dbraw/zinc/05/55/84/907055584.db2.gz NRDUBFXBZUWXAV-GFCCVEGCSA-N -1 1 320.393 1.259 20 0 DDADMM CCC(CC)CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001372485766 907418126 /nfs/dbraw/zinc/41/81/26/907418126.db2.gz SSXRPVCWKKHIKA-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1coc(C(=O)NC2(CNC(=O)c3ncccc3[O-])CC2)c1 ZINC001393611880 907477919 /nfs/dbraw/zinc/47/79/19/907477919.db2.gz WTARXKOEWVSLNF-UHFFFAOYSA-N -1 1 315.329 1.381 20 0 DDADMM C[C@H](CCNC(=O)c1cscn1)NC(=O)c1ncccc1[O-] ZINC001373255097 909323989 /nfs/dbraw/zinc/32/39/89/909323989.db2.gz QZWGSNRNLPTREL-SECBINFHSA-N -1 1 320.374 1.182 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)c1ccns1 ZINC001394960464 911023229 /nfs/dbraw/zinc/02/32/29/911023229.db2.gz GUZAFBPLFPSXKO-DTWKUNHWSA-N -1 1 320.374 1.181 20 0 DDADMM CC(C)[C@@H](CCNC(=O)CC1CC1)NC(=O)c1ncccc1[O-] ZINC001395459092 912032263 /nfs/dbraw/zinc/03/22/63/912032263.db2.gz HLNGQCDXEXAZHP-CYBMUJFWSA-N -1 1 319.405 1.848 20 0 DDADMM CCc1cnc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)s1 ZINC001294171996 914959829 /nfs/dbraw/zinc/95/98/29/914959829.db2.gz YDYLWLDJJXDTHD-UHFFFAOYSA-N -1 1 319.415 1.967 20 0 DDADMM O=C(CCCC1CC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001375763735 916896821 /nfs/dbraw/zinc/89/68/21/916896821.db2.gz NKVNTLBNZFRTGA-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM C[C@@H]1CCC[C@H](C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)C1 ZINC001376776087 919340233 /nfs/dbraw/zinc/34/02/33/919340233.db2.gz YBPIXULOGNLEFJ-NEPJUHHUSA-N -1 1 321.425 1.465 20 0 DDADMM CCc1ccsc1C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001376890229 919689358 /nfs/dbraw/zinc/68/93/58/919689358.db2.gz MIODSOLSNBVPKH-QMMMGPOBSA-N -1 1 309.395 1.042 20 0 DDADMM CCC[C@@H]1CCC[C@H]1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000622869775 365548988 /nfs/dbraw/zinc/54/89/88/365548988.db2.gz FYYJBWWSHWNBKP-GHMZBOCLSA-N -1 1 303.366 1.881 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3C3CCCC3)nc2n1 ZINC000622870334 365550834 /nfs/dbraw/zinc/55/08/34/365550834.db2.gz YIFSMCHEYIHFAN-WDEREUQCSA-N -1 1 301.350 1.491 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCC3(C)C)nc2n1 ZINC000622993320 365585329 /nfs/dbraw/zinc/58/53/29/365585329.db2.gz JBEGURHRVPOPSM-SNVBAGLBSA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CC3CCCC3)nc2n1 ZINC000622995568 365589137 /nfs/dbraw/zinc/58/91/37/365589137.db2.gz GKLWSOUMTLHELM-UHFFFAOYSA-N -1 1 303.366 1.889 20 0 DDADMM Cc1ccc(COCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000623023669 365604474 /nfs/dbraw/zinc/60/44/74/365604474.db2.gz QUEUVFZYSHHJOV-GFCCVEGCSA-N -1 1 303.366 1.287 20 0 DDADMM C[C@H](NC(=O)c1ncc2ccccc2c1[O-])C(=O)N1CCCC1 ZINC000614397805 361836617 /nfs/dbraw/zinc/83/66/17/361836617.db2.gz HOPHKXXQWDPRNB-NSHDSACASA-N -1 1 313.357 1.681 20 0 DDADMM Cc1cnc(C(=O)N2CCC(C3N=NC(=O)O3)CC2)c([O-])c1 ZINC000614472978 361875373 /nfs/dbraw/zinc/87/53/73/361875373.db2.gz FZLUURRSASEGCU-UHFFFAOYSA-N -1 1 304.306 1.204 20 0 DDADMM C[C@H](C[S@](C)=O)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451388453 231084002 /nfs/dbraw/zinc/08/40/02/231084002.db2.gz CAELXBNZCRGAFQ-YLVJLNSGSA-N -1 1 319.379 1.617 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)[C@@H]1CCCO1 ZINC000278708484 214342348 /nfs/dbraw/zinc/34/23/48/214342348.db2.gz KIMRTRYSDZARTI-QWRGUYRKSA-N -1 1 321.345 1.437 20 0 DDADMM CC(C)(O)CO[N-]C(=O)[C@H]1CCCN1Cc1ccc(F)cc1 ZINC000278734487 214364478 /nfs/dbraw/zinc/36/44/78/214364478.db2.gz UPVOFJITKQKUEI-CQSZACIVSA-N -1 1 310.369 1.609 20 0 DDADMM CCOc1cc(C(=O)N[C@@H]2CC[S@](=O)C2)cc(Cl)c1[O-] ZINC000358110948 299080335 /nfs/dbraw/zinc/08/03/35/299080335.db2.gz SZFHGVANXDLPDJ-YBYGRFCBSA-N -1 1 317.794 1.695 20 0 DDADMM COC[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)c1ccco1 ZINC000083055916 185212276 /nfs/dbraw/zinc/21/22/76/185212276.db2.gz XXLWNHCPTRMRSY-LBPRGKRZSA-N -1 1 313.313 1.634 20 0 DDADMM CC[C@@H]1[C@H](CO)CCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000424093938 529485189 /nfs/dbraw/zinc/48/51/89/529485189.db2.gz SMPOTAMSCLUSPL-GXFFZTMASA-N -1 1 301.346 1.156 20 0 DDADMM CN1CC[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CC1=O ZINC000192860588 539260727 /nfs/dbraw/zinc/26/07/27/539260727.db2.gz LZNFZNIXUNYOFQ-SSDOTTSWSA-N -1 1 322.308 1.003 20 0 DDADMM CC[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H](O)C(C)C ZINC000414414189 529678846 /nfs/dbraw/zinc/67/88/46/529678846.db2.gz MXKUATWNPYFBOV-YPMHNXCESA-N -1 1 303.362 1.448 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(F)ccc1N1CCOCC1 ZINC000615225647 362204060 /nfs/dbraw/zinc/20/40/60/362204060.db2.gz DQVNFXUITYVZFT-UHFFFAOYSA-N -1 1 320.324 1.652 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCS(=O)(=O)C[C@H]1C1CC1 ZINC000615779606 362437392 /nfs/dbraw/zinc/43/73/92/362437392.db2.gz MBFISNPYUHQACX-LBPRGKRZSA-N -1 1 313.350 1.181 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCCc2cccs2)n[n-]1 ZINC000615918915 362486526 /nfs/dbraw/zinc/48/65/26/362486526.db2.gz GZFQJDAFKHDIFR-UHFFFAOYSA-N -1 1 322.390 1.682 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCCc2cccs2)n1 ZINC000615918915 362486534 /nfs/dbraw/zinc/48/65/34/362486534.db2.gz GZFQJDAFKHDIFR-UHFFFAOYSA-N -1 1 322.390 1.682 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(C)c2C)n[n-]1 ZINC000615920348 362487780 /nfs/dbraw/zinc/48/77/80/362487780.db2.gz XRTUHUKRGVBSNC-UHFFFAOYSA-N -1 1 302.334 1.528 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(C)c2C)n1 ZINC000615920348 362487785 /nfs/dbraw/zinc/48/77/85/362487785.db2.gz XRTUHUKRGVBSNC-UHFFFAOYSA-N -1 1 302.334 1.528 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2c(C)cccc2OC)o1 ZINC000444459217 530029005 /nfs/dbraw/zinc/02/90/05/530029005.db2.gz SNDUTNVVUZWQDS-UHFFFAOYSA-N -1 1 324.358 1.757 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@H]2C=CCCC2)c1 ZINC000292392172 530031959 /nfs/dbraw/zinc/03/19/59/530031959.db2.gz ZHGZDKDFAWZXNR-NSHDSACASA-N -1 1 324.402 1.985 20 0 DDADMM C[C@@H](CO)[C@@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000225897640 201761342 /nfs/dbraw/zinc/76/13/42/201761342.db2.gz UXMGNQPRYPEMPP-JGVFFNPUSA-N -1 1 302.168 1.901 20 0 DDADMM NS(=O)(=O)CCCCCCC(=O)Nc1ccc(F)cc1[O-] ZINC000298165342 282374693 /nfs/dbraw/zinc/37/46/93/282374693.db2.gz QNDJIRVKTQJTIZ-UHFFFAOYSA-N -1 1 318.370 1.709 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCC(=O)[C@@H](C)C2)c1Br ZINC000616007483 362517681 /nfs/dbraw/zinc/51/76/81/362517681.db2.gz PZQUVTKZQMSHLL-POYBYMJQSA-N -1 1 314.183 1.846 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCCCN2C)c1Br ZINC000616009509 362519492 /nfs/dbraw/zinc/51/94/92/362519492.db2.gz VWOYNBLCCMELGF-QMMMGPOBSA-N -1 1 301.188 1.325 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2[C@@H](C)CCC2(C)C)co1 ZINC000616164810 362570244 /nfs/dbraw/zinc/57/02/44/362570244.db2.gz IWUHCFZXWWOTOW-VIFPVBQESA-N -1 1 300.380 1.591 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CC(=O)NCCOc2ccccc2)C1 ZINC000390738374 539510657 /nfs/dbraw/zinc/51/06/57/539510657.db2.gz UAEIMOZGQIVKPI-AWEZNQCLSA-N -1 1 320.389 1.368 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@@H]2CCCC[C@@H]21 ZINC000616727963 362796857 /nfs/dbraw/zinc/79/68/57/362796857.db2.gz OKFMITMURCLJBF-JSGCOSHPSA-N -1 1 314.389 1.995 20 0 DDADMM CC(=O)Nc1cccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000358403026 299143418 /nfs/dbraw/zinc/14/34/18/299143418.db2.gz ABCMKHYFICPALF-VIFPVBQESA-N -1 1 302.338 1.034 20 0 DDADMM C[C@@H](CCO)CC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000564564815 304001580 /nfs/dbraw/zinc/00/15/80/304001580.db2.gz HZECWYJALLXALG-SNVBAGLBSA-N -1 1 314.432 1.983 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)Cc2c[nH]cn2)c(=O)[n-]1 ZINC000616923051 362869066 /nfs/dbraw/zinc/86/90/66/362869066.db2.gz SJGLJBCPIHHJFX-UHFFFAOYSA-N -1 1 321.406 1.527 20 0 DDADMM COC(=O)C[C@@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CCO1 ZINC000148546274 186045296 /nfs/dbraw/zinc/04/52/96/186045296.db2.gz NYWYKFPNSWUJGF-SNVBAGLBSA-N -1 1 313.737 1.450 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1COc2cc(C)c(C)cc21)c1nn[n-]n1 ZINC000186046825 186235099 /nfs/dbraw/zinc/23/50/99/186235099.db2.gz GYVMOUQPZVCEIM-QWHCGFSZSA-N -1 1 315.377 1.950 20 0 DDADMM CO[C@H](CNC(=O)c1ncc2ccccc2c1[O-])[C@@H]1CCOC1 ZINC000617154822 362979012 /nfs/dbraw/zinc/97/90/12/362979012.db2.gz JJVULBTVMHSUBD-TZMCWYRMSA-N -1 1 316.357 1.722 20 0 DDADMM Cn1c(C2CC2)n[n-]c1=NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000617285078 363037307 /nfs/dbraw/zinc/03/73/07/363037307.db2.gz XTXHBKMAYVSSAD-UHFFFAOYSA-N -1 1 309.333 1.152 20 0 DDADMM O=C(CSc1nnnn1Cc1ccco1)c1ccc([O-])cc1 ZINC000011313711 352142475 /nfs/dbraw/zinc/14/24/75/352142475.db2.gz GNFXIFPUHAKQRU-UHFFFAOYSA-N -1 1 316.342 1.995 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@H](CO)NC(=O)c2c([O-])cccc2F)C1 ZINC000617538048 363166312 /nfs/dbraw/zinc/16/63/12/363166312.db2.gz XTYTUMAFCTVRLU-LOWVWBTDSA-N -1 1 311.353 1.827 20 0 DDADMM Cc1cn2nc([N-]c3cnn(CC(=O)NC4CC4)c3)sc2n1 ZINC000052487549 352612386 /nfs/dbraw/zinc/61/23/86/352612386.db2.gz MDLLAIJHVBRSBH-UHFFFAOYSA-N -1 1 317.378 1.318 20 0 DDADMM CC[C@@H](OC)C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000065842811 352965393 /nfs/dbraw/zinc/96/53/93/352965393.db2.gz QRINTEKVXYCFNF-LLVKDONJSA-N -1 1 316.379 1.430 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)[C@@H]2CCOC2)CC1 ZINC000066898357 353022016 /nfs/dbraw/zinc/02/20/16/353022016.db2.gz ARGPFPVYUTVQOC-CQSZACIVSA-N -1 1 303.358 1.850 20 0 DDADMM O=C(C=Cc1cncc(F)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000491863645 234319084 /nfs/dbraw/zinc/31/90/84/234319084.db2.gz YXXKVNHGRVXOQU-ARJAWSKDSA-N -1 1 302.313 1.333 20 0 DDADMM O=C(NCc1cn2ccccc2n1)c1nc2ccccc2c(=O)[n-]1 ZINC000074272866 353304508 /nfs/dbraw/zinc/30/45/08/353304508.db2.gz KPSDSHGGOLQQLZ-UHFFFAOYSA-N -1 1 319.324 1.501 20 0 DDADMM Cc1nc(-c2ccc(NCC3(O)CCC3)nc2)[n-]c(=O)c1C ZINC000074749089 353328605 /nfs/dbraw/zinc/32/86/05/353328605.db2.gz HVHXEQVWODLQFE-UHFFFAOYSA-N -1 1 300.362 1.776 20 0 DDADMM C[C@H]1OCC[C@H]1C(=O)N1CCC(c2n[n-]c(=S)n2C)CC1 ZINC000092414526 353856412 /nfs/dbraw/zinc/85/64/12/353856412.db2.gz DILXYNLBUMFJLU-MWLCHTKSSA-N -1 1 310.423 1.609 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)CC1(OC)CCC1 ZINC000601299198 358484812 /nfs/dbraw/zinc/48/48/12/358484812.db2.gz KHVZIPPHDHDGKV-LLVKDONJSA-N -1 1 307.412 1.063 20 0 DDADMM COC[C@](C)(O)CNCc1cccc(O)c1Br ZINC000315460926 354494494 /nfs/dbraw/zinc/49/44/94/354494494.db2.gz SAHVJFFCYQILCR-GFCCVEGCSA-N -1 1 304.184 1.642 20 0 DDADMM Cn1nccc1[C@@H]1C[C@@H](NC(=O)c2c([O-])cccc2F)CCO1 ZINC000584764169 354760920 /nfs/dbraw/zinc/76/09/20/354760920.db2.gz UUMMOWCHIVCHRW-HZMBPMFUSA-N -1 1 319.336 1.915 20 0 DDADMM CO[C@@H]1CCN(Cc2ccn(CC(F)(F)F)n2)[C@@H](C(=O)[O-])C1 ZINC000584793096 354771155 /nfs/dbraw/zinc/77/11/55/354771155.db2.gz HOVRCFQNOUXNMI-GHMZBOCLSA-N -1 1 321.299 1.509 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1cnn(-c2ccncc2)c1 ZINC000589148993 354964482 /nfs/dbraw/zinc/96/44/82/354964482.db2.gz BHJIMBUUEKKSKX-UHFFFAOYSA-N -1 1 319.346 1.673 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2C[C@@H](C)N(CC)C[C@@H]2C)s1 ZINC000346392911 283082447 /nfs/dbraw/zinc/08/24/47/283082447.db2.gz NIHIABQXUPZCRC-MNOVXSKESA-N -1 1 311.455 1.859 20 0 DDADMM Cn1cc([N-]S(=O)(=O)c2cc(F)ccc2Cl)ccc1=O ZINC000171264075 306683737 /nfs/dbraw/zinc/68/37/37/306683737.db2.gz MIGCIQTWGBNQAR-UHFFFAOYSA-N -1 1 316.741 1.979 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)Cc1ccon1 ZINC000592075506 355484690 /nfs/dbraw/zinc/48/46/90/355484690.db2.gz LZCKCRZVVIPXMU-SNVBAGLBSA-N -1 1 304.368 1.072 20 0 DDADMM CC(C)O[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccc1 ZINC000346549634 283121886 /nfs/dbraw/zinc/12/18/86/283121886.db2.gz LAUAOWHLWGJCMT-GXTWGEPZSA-N -1 1 317.393 1.928 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000593632367 355948764 /nfs/dbraw/zinc/94/87/64/355948764.db2.gz VAWCLUAMFVDVLS-SECBINFHSA-N -1 1 311.281 1.144 20 0 DDADMM COC(=O)c1cc(Cl)cc(S(=O)(=O)[N-][C@@H]2C[C@H]2C)c1F ZINC000594461987 356225712 /nfs/dbraw/zinc/22/57/12/356225712.db2.gz LLUWVJSEXHHXQV-HZGVNTEJSA-N -1 1 321.757 1.952 20 0 DDADMM O=C(N[C@H]1CS(=O)(=O)c2ccccc21)c1ncccc1[O-] ZINC000175706748 295915848 /nfs/dbraw/zinc/91/58/48/295915848.db2.gz POUQGSGCHBJBSH-JTQLQIEISA-N -1 1 304.327 1.046 20 0 DDADMM COCCS(=O)(=O)[N-]c1cncc(C(=O)OC(C)(C)C)c1 ZINC000594582331 356256225 /nfs/dbraw/zinc/25/62/25/356256225.db2.gz POTHZWZHKQNZLP-UHFFFAOYSA-N -1 1 316.379 1.425 20 0 DDADMM COC(=O)c1cc(CNC2(c3nnn[n-]3)CCCC2)c(C)o1 ZINC000594606879 356264091 /nfs/dbraw/zinc/26/40/91/356264091.db2.gz VGIJHGOZGGPHHU-UHFFFAOYSA-N -1 1 305.338 1.447 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCC[C@@H](C)O2)o1 ZINC000594852819 356338156 /nfs/dbraw/zinc/33/81/56/356338156.db2.gz TXMPELPLCMURMT-ZJUUUORDSA-N -1 1 317.363 1.302 20 0 DDADMM Cc1ccc(C(=O)CC(C)(C)CC(=O)[N-]OCC(N)=O)cc1 ZINC000184304671 199701407 /nfs/dbraw/zinc/70/14/07/199701407.db2.gz WPSOXHOGBGTCSM-UHFFFAOYSA-N -1 1 306.362 1.517 20 0 DDADMM CC[C@H](C)[C@@H](O)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595316045 356446764 /nfs/dbraw/zinc/44/67/64/356446764.db2.gz XZXDWNWLZFODET-WPRPVWTQSA-N -1 1 319.379 1.060 20 0 DDADMM FC(F)(F)c1nsc(=NC2CC(N3CCOCC3)C2)[n-]1 ZINC000624332105 366304545 /nfs/dbraw/zinc/30/45/45/366304545.db2.gz TWGQNZMNPCDYMH-UHFFFAOYSA-N -1 1 308.329 1.254 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@H]2NC(=O)CC[C@H]2C1 ZINC000618205479 363508374 /nfs/dbraw/zinc/50/83/74/363508374.db2.gz RSVCAQCVUWXLTO-WCBMZHEXSA-N -1 1 310.300 1.411 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2ccc(C(N)=O)nc2)c1 ZINC000347460348 283217026 /nfs/dbraw/zinc/21/70/26/283217026.db2.gz LSSPZPKJEOVTNB-UHFFFAOYSA-N -1 1 314.301 1.097 20 0 DDADMM CCOC(=O)c1csc(=NCCN2C[C@H](C)OC[C@@H]2C)[n-]1 ZINC000596434396 356879479 /nfs/dbraw/zinc/87/94/79/356879479.db2.gz XSIVFJJQJUUMJN-QWRGUYRKSA-N -1 1 313.423 1.263 20 0 DDADMM COC(=O)[C@@H]1OCC[C@@H]1NC(=O)c1ccc2ccccc2c1[O-] ZINC000598006619 357421958 /nfs/dbraw/zinc/42/19/58/357421958.db2.gz TWSMGZXVGSAKAS-DZGCQCFKSA-N -1 1 315.325 1.606 20 0 DDADMM OCCC1CN(c2ccc(=NCc3ccccc3F)[n-]n2)C1 ZINC000565353354 304064303 /nfs/dbraw/zinc/06/43/03/304064303.db2.gz VCLMQPFTMNVSNW-UHFFFAOYSA-N -1 1 302.353 1.468 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@@H](C)n2ccc(C)n2)[n-]1 ZINC000598847659 357743539 /nfs/dbraw/zinc/74/35/39/357743539.db2.gz PCDKBQXJCCGVTI-SNVBAGLBSA-N -1 1 305.338 1.681 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@@H](C)n2ccc(C)n2)n1 ZINC000598847659 357743541 /nfs/dbraw/zinc/74/35/41/357743541.db2.gz PCDKBQXJCCGVTI-SNVBAGLBSA-N -1 1 305.338 1.681 20 0 DDADMM CCCSCC(=O)Nc1nc2nc(CCC)cc(=O)n2[n-]1 ZINC000598892496 357751721 /nfs/dbraw/zinc/75/17/21/357751721.db2.gz HMYCAJMCJDXTBB-UHFFFAOYSA-N -1 1 309.395 1.452 20 0 DDADMM COC(=O)c1ccc(F)c([N-]C(=O)c2noc3c2COCC3)c1 ZINC000598931004 357760902 /nfs/dbraw/zinc/76/09/02/357760902.db2.gz FSXYBXNJWNIMFA-UHFFFAOYSA-N -1 1 320.276 1.925 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)Cc2ccoc2C)co1 ZINC000179350201 199019359 /nfs/dbraw/zinc/01/93/59/199019359.db2.gz CMODVCOPRCNWFX-UHFFFAOYSA-N -1 1 312.347 1.361 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC1CC(F)(F)C1 ZINC000358822553 299269967 /nfs/dbraw/zinc/26/99/67/299269967.db2.gz ZAIFXCKQABFEHZ-UHFFFAOYSA-N -1 1 303.334 1.988 20 0 DDADMM COc1cc(C(=O)NCc2nn[n-]n2)ccc1OCC(C)C ZINC000600506339 358243194 /nfs/dbraw/zinc/24/31/94/358243194.db2.gz VQXJWXGSBSZUNS-UHFFFAOYSA-N -1 1 305.338 1.173 20 0 DDADMM COC(=O)C1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC1 ZINC000600675972 358279938 /nfs/dbraw/zinc/27/99/38/358279938.db2.gz QUYAOJUZQSCLED-UHFFFAOYSA-N -1 1 301.302 1.470 20 0 DDADMM O=C(CC[C@@H]1CCCCO1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000600965733 358360710 /nfs/dbraw/zinc/36/07/10/358360710.db2.gz WTMREBDQVDZTOU-DZGCQCFKSA-N -1 1 315.377 1.755 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601440406 358554239 /nfs/dbraw/zinc/55/42/39/358554239.db2.gz VUIJYOGONLMRSU-QMMMGPOBSA-N -1 1 323.317 1.837 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)CC1(OC)CCC1)C(=O)OC ZINC000601451451 358559544 /nfs/dbraw/zinc/55/95/44/358559544.db2.gz BRNFYDKABWBRRJ-NWDGAFQWSA-N -1 1 321.439 1.453 20 0 DDADMM CCOC(=O)c1ccc(-n2[n-]cc(CC(=O)OC)c2=O)cc1C ZINC000601947769 358748378 /nfs/dbraw/zinc/74/83/78/358748378.db2.gz VNXBMPRSBWHVPI-LLVKDONJSA-N -1 1 318.329 1.683 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C)cc2F)n[n-]1 ZINC000603013337 359356128 /nfs/dbraw/zinc/35/61/28/359356128.db2.gz AFYRWMBVUPWQNE-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C)cc2F)n1 ZINC000603013337 359356132 /nfs/dbraw/zinc/35/61/32/359356132.db2.gz AFYRWMBVUPWQNE-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CCC[C@H]1CCC[C@H]1C(=O)NCc1n[n-]c(C(=O)OCC)n1 ZINC000603023503 359365747 /nfs/dbraw/zinc/36/57/47/359365747.db2.gz UUPBCRDNCVZAFJ-WDEREUQCSA-N -1 1 308.382 1.814 20 0 DDADMM CCC[C@H]1CCC[C@H]1C(=O)NCc1nc(C(=O)OCC)n[n-]1 ZINC000603023503 359365748 /nfs/dbraw/zinc/36/57/48/359365748.db2.gz UUPBCRDNCVZAFJ-WDEREUQCSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2=CC[C@@H](C)CC2)n[n-]1 ZINC000603154341 359441634 /nfs/dbraw/zinc/44/16/34/359441634.db2.gz LEEGJTWCAMQHCB-ZJUUUORDSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2=CC[C@@H](C)CC2)[n-]1 ZINC000603154341 359441640 /nfs/dbraw/zinc/44/16/40/359441640.db2.gz LEEGJTWCAMQHCB-ZJUUUORDSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2=CC[C@@H](C)CC2)n1 ZINC000603154341 359441646 /nfs/dbraw/zinc/44/16/46/359441646.db2.gz LEEGJTWCAMQHCB-ZJUUUORDSA-N -1 1 306.366 1.905 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)c(OC)n1 ZINC000605291661 359841671 /nfs/dbraw/zinc/84/16/71/359841671.db2.gz MERIEZWRUUUEJY-SECBINFHSA-N -1 1 302.352 1.020 20 0 DDADMM O=C(CCCc1ccccn1)NC1(c2nn[n-]n2)CCCC1 ZINC000605531756 359867897 /nfs/dbraw/zinc/86/78/97/359867897.db2.gz NOFOIHWHUKIHPV-UHFFFAOYSA-N -1 1 300.366 1.503 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccc(Oc2nccs2)c1 ZINC000605662743 359882107 /nfs/dbraw/zinc/88/21/07/359882107.db2.gz NTXZIPYXUCBNGQ-UHFFFAOYSA-N -1 1 302.319 1.379 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1CCC[C@H](C)O1 ZINC000618575507 363678822 /nfs/dbraw/zinc/67/88/22/363678822.db2.gz LJMURCDTTHJTOT-WPRPVWTQSA-N -1 1 311.407 1.900 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)C(=O)c2ccc([O-])cc2)[C@]12CCCO2 ZINC000287707954 219686677 /nfs/dbraw/zinc/68/66/77/219686677.db2.gz GGJHFCPCAMWSQT-CKEIUWERSA-N -1 1 319.357 1.418 20 0 DDADMM O=C(CSCc1cccnc1)NC1(c2nn[n-]n2)CCCC1 ZINC000609836737 360353738 /nfs/dbraw/zinc/35/37/38/360353738.db2.gz MAKOQDCVRJRLEY-UHFFFAOYSA-N -1 1 318.406 1.414 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCc2cc(F)ccc21 ZINC000281223075 216165077 /nfs/dbraw/zinc/16/50/77/216165077.db2.gz RJFSUFRMQIJHQE-LLVKDONJSA-N -1 1 311.338 1.670 20 0 DDADMM CN(C)C1(C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)CC1 ZINC000612382992 360992027 /nfs/dbraw/zinc/99/20/27/360992027.db2.gz RYYPVZRAXBKSMX-UHFFFAOYSA-N -1 1 316.401 1.908 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1C[C@@H](O)C2(CC2)C1 ZINC000375297809 307220702 /nfs/dbraw/zinc/22/07/02/307220702.db2.gz ORGVLRADBGVYCX-LLVKDONJSA-N -1 1 312.163 1.752 20 0 DDADMM CO[C@H]1C[C@H](c2nc(C)no2)N(C(=O)c2ncccc2[O-])C1 ZINC000275422191 212323490 /nfs/dbraw/zinc/32/34/90/212323490.db2.gz RGSLXBURBABEHI-VHSXEESVSA-N -1 1 304.306 1.081 20 0 DDADMM CN(c1ccccc1)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000360499031 306983955 /nfs/dbraw/zinc/98/39/55/306983955.db2.gz DYCOIQIOXNMDLN-UHFFFAOYSA-N -1 1 307.327 1.916 20 0 DDADMM COCCCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091161280 193008713 /nfs/dbraw/zinc/00/87/13/193008713.db2.gz NIBLTDPLEPLLFT-UHFFFAOYSA-N -1 1 304.343 1.562 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1C[C@@H](C(=O)[O-])CC[C@H]1C ZINC000635016651 422767679 /nfs/dbraw/zinc/76/76/79/422767679.db2.gz ANZQVUIQNXLSFL-ZJUUUORDSA-N -1 1 310.316 1.582 20 0 DDADMM CCO[C@H](C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C)C1CC1 ZINC000625606652 367045388 /nfs/dbraw/zinc/04/53/88/367045388.db2.gz ULSPQXWRAYTEGH-HNNXBMFYSA-N -1 1 318.377 1.483 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]CC2(C(F)(F)F)CCCC2)n1 ZINC000625622740 367054126 /nfs/dbraw/zinc/05/41/26/367054126.db2.gz OCOVEFUPMRFTSI-UHFFFAOYSA-N -1 1 311.329 1.821 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CO[C@H](C3CC3)C2)sc1C ZINC000625583960 367033861 /nfs/dbraw/zinc/03/38/61/367033861.db2.gz ZCUXXTUEFCOJIC-MNOVXSKESA-N -1 1 302.421 1.606 20 0 DDADMM O=C(c1nccc2ccsc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000626237915 367433061 /nfs/dbraw/zinc/43/30/61/367433061.db2.gz MBRIZDFPDQNBSK-SNVBAGLBSA-N -1 1 314.374 1.829 20 0 DDADMM COc1cc2[n-]cc(C(=O)NC[C@H](C)OC)c(=O)c2c(OC)c1 ZINC000626610942 367646148 /nfs/dbraw/zinc/64/61/48/367646148.db2.gz PMNWLMAHIGLUDB-VIFPVBQESA-N -1 1 320.345 1.310 20 0 DDADMM C[C@H]1CN(C(=O)NCc2ccc3cncn3c2)CC[C@@H]1C(=O)[O-] ZINC000626764550 367732734 /nfs/dbraw/zinc/73/27/34/367732734.db2.gz JNGHYGKKSDEMAM-FZMZJTMJSA-N -1 1 316.361 1.587 20 0 DDADMM CC[C@H](C)[C@@H](C)N(C1CC1)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349641387 283994353 /nfs/dbraw/zinc/99/43/53/283994353.db2.gz XCVCBLMQZJRJTM-DTWKUNHWSA-N -1 1 301.412 1.983 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000349880008 284092007 /nfs/dbraw/zinc/09/20/07/284092007.db2.gz UPXPAZBSMJSLBK-DOFRTFSJSA-N -1 1 317.411 1.268 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000349880008 284092011 /nfs/dbraw/zinc/09/20/11/284092011.db2.gz UPXPAZBSMJSLBK-DOFRTFSJSA-N -1 1 317.411 1.268 20 0 DDADMM COc1ccc2nc(CN3CCC4(C[C@H]4C(=O)[O-])CC3)[nH]c2n1 ZINC000566357375 304148384 /nfs/dbraw/zinc/14/83/84/304148384.db2.gz RZZHMDKWASVUQV-JTQLQIEISA-N -1 1 316.361 1.653 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)Nc1ccc2c(c1)OCCO2)C(=O)[O-] ZINC000262327490 203262819 /nfs/dbraw/zinc/26/28/19/203262819.db2.gz XIFKKVGBFUUDAR-JQWIXIFHSA-N -1 1 322.361 1.628 20 0 DDADMM O=C([O-])[C@@H]1Cn2c(=O)[nH]nc2CN1Cc1cc(Cl)cs1 ZINC000424415353 304154499 /nfs/dbraw/zinc/15/44/99/304154499.db2.gz SOIYPQUQNKGTGF-QMMMGPOBSA-N -1 1 314.754 1.168 20 0 DDADMM Cc1ccncc1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000359340385 299414962 /nfs/dbraw/zinc/41/49/62/299414962.db2.gz BQWUVOUMECTKEI-UHFFFAOYSA-N -1 1 311.345 1.685 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC000635019809 422772957 /nfs/dbraw/zinc/77/29/57/422772957.db2.gz YPOWCXUCVIHASF-ZJUUUORDSA-N -1 1 310.316 1.440 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H](C)NC(=O)C(C)(C)C)c1 ZINC000282531983 217067452 /nfs/dbraw/zinc/06/74/52/217067452.db2.gz CDXMKBBZZBXSEC-SECBINFHSA-N -1 1 322.361 1.668 20 0 DDADMM CCc1cccc(NC(=O)C[N-]S(=O)(=O)Cc2ccon2)c1 ZINC000266141296 205129840 /nfs/dbraw/zinc/12/98/40/205129840.db2.gz HTHMINJKPOCEJC-UHFFFAOYSA-N -1 1 323.374 1.295 20 0 DDADMM C[C@H]1OCC[C@]12CN(C(=O)C(=O)c1ccc([O-])cc1)C[C@@H](C)O2 ZINC000288352105 220102355 /nfs/dbraw/zinc/10/23/55/220102355.db2.gz VRQNSDBPXPXMNP-QFSBIZTOSA-N -1 1 319.357 1.370 20 0 DDADMM O=C(c1ccccc1Cl)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282935741 217336694 /nfs/dbraw/zinc/33/66/94/217336694.db2.gz PGQODUCULOZJQS-VIFPVBQESA-N -1 1 306.753 1.771 20 0 DDADMM CSCC[N@H+]1CCC[C@@H](c2n[nH]cc2NS(C)(=O)=O)C1 ZINC000378530307 307291974 /nfs/dbraw/zinc/29/19/74/307291974.db2.gz FTLMCMVMALIYNF-SNVBAGLBSA-N -1 1 318.468 1.324 20 0 DDADMM CCC[C@H](NC(=O)c1[nH]c(C)c(C(=O)OC)c1C)c1nn[n-]n1 ZINC000267564763 206147256 /nfs/dbraw/zinc/14/72/56/206147256.db2.gz IFWFYLRAZHRCEO-VIFPVBQESA-N -1 1 320.353 1.202 20 0 DDADMM C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000113086576 296219054 /nfs/dbraw/zinc/21/90/54/296219054.db2.gz VAGXIYODGCKJME-IUCAKERBSA-N -1 1 319.810 1.939 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1cc2cc(Cl)ccc2o1 ZINC000174584083 248371178 /nfs/dbraw/zinc/37/11/78/248371178.db2.gz SQGAWTQCSMTCQS-UHFFFAOYSA-N -1 1 320.736 1.860 20 0 DDADMM COc1cncc(S(=O)(=O)Nc2cccc(CC(=O)[O-])c2)c1 ZINC000337200155 249387219 /nfs/dbraw/zinc/38/72/19/249387219.db2.gz ZMILSJISVCKBEV-UHFFFAOYSA-N -1 1 322.342 1.518 20 0 DDADMM NC(=O)[C@H]([N-]S(=O)(=O)c1sccc1F)c1ccccc1 ZINC000338851411 250161670 /nfs/dbraw/zinc/16/16/70/250161670.db2.gz LOVLZNDCJKWSNP-SNVBAGLBSA-N -1 1 314.363 1.392 20 0 DDADMM CCNc1ncc(C(=O)N=c2nc([C@@H](C)OC)[n-]s2)s1 ZINC000338926862 250200172 /nfs/dbraw/zinc/20/01/72/250200172.db2.gz SZPNHUCVCJEZMH-ZCFIWIBFSA-N -1 1 313.408 1.230 20 0 DDADMM NC(=O)[C@@H]1CCCCC[C@@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338931216 250204276 /nfs/dbraw/zinc/20/42/76/250204276.db2.gz HBLDHTDKCZDDJR-SCZZXKLOSA-N -1 1 320.411 1.600 20 0 DDADMM CCc1noc(C)c1[N-]C(=O)c1ccc(S(N)(=O)=O)s1 ZINC000339315927 250408847 /nfs/dbraw/zinc/40/88/47/250408847.db2.gz OKMWODZTNKVVEZ-UHFFFAOYSA-N -1 1 315.376 1.507 20 0 DDADMM Cc1[nH]c2ccnn2c(=O)c1CC(=O)Nc1ccc(F)cc1[O-] ZINC000340329159 251010064 /nfs/dbraw/zinc/01/00/64/251010064.db2.gz VIUVQTXECWXFSP-UHFFFAOYSA-N -1 1 316.292 1.357 20 0 DDADMM Cc1nc(C)c(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000340368730 251031321 /nfs/dbraw/zinc/03/13/21/251031321.db2.gz MBBGPFZAQOWTPX-UHFFFAOYSA-N -1 1 323.403 1.216 20 0 DDADMM COC(=O)c1cnccc1[N-]S(=O)(=O)Cc1ccccc1C ZINC000340374177 251033960 /nfs/dbraw/zinc/03/39/60/251033960.db2.gz PJFLPDOJVQZDDO-UHFFFAOYSA-N -1 1 320.370 1.540 20 0 DDADMM C[C@H](CN=c1nc(C2CCCCC2)[n-]s1)S(C)(=O)=O ZINC000567602812 304229024 /nfs/dbraw/zinc/22/90/24/304229024.db2.gz KVUJRPDZURTDMY-SECBINFHSA-N -1 1 303.453 1.853 20 0 DDADMM CCn1c(SCc2nn[n-]n2)nnc1-c1ccc(F)cc1 ZINC000269003674 207159810 /nfs/dbraw/zinc/15/98/10/207159810.db2.gz DDMHSIOWFYETKH-UHFFFAOYSA-N -1 1 305.342 1.910 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cc(F)cc(Cl)c2)n1 ZINC000340859465 251247894 /nfs/dbraw/zinc/24/78/94/251247894.db2.gz MVZKMNLEXXCNOT-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cc(F)cc(Cl)c2)[n-]1 ZINC000340859465 251247897 /nfs/dbraw/zinc/24/78/97/251247897.db2.gz MVZKMNLEXXCNOT-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM COC1CC(N(C)C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000340907490 251269075 /nfs/dbraw/zinc/26/90/75/251269075.db2.gz BHZIIZJMDSZQLO-UHFFFAOYSA-N -1 1 318.377 1.230 20 0 DDADMM COc1cc(NC(=O)C(C)(C)OC)ccc1[N-]S(C)(=O)=O ZINC000341072854 251366847 /nfs/dbraw/zinc/36/68/47/251366847.db2.gz MVYLPTZUGBACCX-UHFFFAOYSA-N -1 1 316.379 1.430 20 0 DDADMM CO[C@@H]1C[C@@H](C(=O)[O-])N(C[C@@H](O)COc2c(C)cccc2C)C1 ZINC000579603686 422800992 /nfs/dbraw/zinc/80/09/92/422800992.db2.gz IJSSRQDHIKDJIH-KFWWJZLASA-N -1 1 323.389 1.217 20 0 DDADMM Cc1nc2cc(NC(=O)c3cc(=O)n4[n-]cnc4n3)ccc2o1 ZINC000352416198 285036350 /nfs/dbraw/zinc/03/63/50/285036350.db2.gz SANGAFDMKHAQMQ-UHFFFAOYSA-N -1 1 310.273 1.120 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cccc(Cl)c1O ZINC000269967005 208019745 /nfs/dbraw/zinc/01/97/45/208019745.db2.gz NMGULIRGZFXZNO-UHFFFAOYSA-N -1 1 309.771 1.450 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCCCC2(C)C)co1 ZINC000156319905 197104823 /nfs/dbraw/zinc/10/48/23/197104823.db2.gz WYUQCTGQVQJZEH-NSHDSACASA-N -1 1 314.407 1.886 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@]2(O)CCOC2)c1 ZINC000413689371 224238163 /nfs/dbraw/zinc/23/81/63/224238163.db2.gz DWUBAMJDHCYTEL-LBPRGKRZSA-N -1 1 316.151 1.553 20 0 DDADMM COC(=O)C1=NO[C@@H](CSc2nc(C(F)F)cc(=O)[n-]2)C1 ZINC000289494752 221016348 /nfs/dbraw/zinc/01/63/48/221016348.db2.gz JULFYXJRTQPGPM-RXMQYKEDSA-N -1 1 319.289 1.530 20 0 DDADMM COC(=O)c1cn(C2CN(Cc3cccc([O-])c3Cl)C2)nn1 ZINC000352844964 285332002 /nfs/dbraw/zinc/33/20/02/285332002.db2.gz VSYYZHAEMYPHQJ-UHFFFAOYSA-N -1 1 322.752 1.481 20 0 DDADMM CC[C@@H](Oc1cccc(C)c1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000124145567 195608184 /nfs/dbraw/zinc/60/81/84/195608184.db2.gz QZWRYXJNQHULDE-GXTWGEPZSA-N -1 1 317.393 1.928 20 0 DDADMM COc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)ccc1Cl ZINC000124506353 195648551 /nfs/dbraw/zinc/64/85/51/195648551.db2.gz PIDOQGOXAUKBGH-QMMMGPOBSA-N -1 1 309.757 1.737 20 0 DDADMM COc1cc(C(=O)NCC(C)(C)OCCO)cc(Cl)c1[O-] ZINC000494579277 533531170 /nfs/dbraw/zinc/53/11/70/533531170.db2.gz ODXZEHXJUHSASA-UHFFFAOYSA-N -1 1 317.769 1.572 20 0 DDADMM COCCC(=O)N1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000353149710 285555011 /nfs/dbraw/zinc/55/50/11/285555011.db2.gz AWADNJADRKZEAF-UHFFFAOYSA-N -1 1 312.797 1.726 20 0 DDADMM CCOc1ccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c(C)c1 ZINC000353757503 285911001 /nfs/dbraw/zinc/91/10/01/285911001.db2.gz ZECBESNRBLRRGG-UHFFFAOYSA-N -1 1 313.317 1.377 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccc(F)cc2F)c(=O)n(C)c1 ZINC000360604252 299702426 /nfs/dbraw/zinc/70/24/26/299702426.db2.gz JCTMDTQBZSLXHP-UHFFFAOYSA-N -1 1 314.313 1.773 20 0 DDADMM O=C(CCCc1ccccc1Br)NCc1nn[n-]n1 ZINC000675791365 486037041 /nfs/dbraw/zinc/03/70/41/486037041.db2.gz VTHAYLDOQRZZBV-UHFFFAOYSA-N -1 1 324.182 1.601 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@H]1[C@@H]2CCC[C@@H]21 ZINC000570151612 304398142 /nfs/dbraw/zinc/39/81/42/304398142.db2.gz PNNIWXVXPTZMHS-VIKVFOODSA-N -1 1 324.384 1.598 20 0 DDADMM O=C(N[C@@H]1CC(=O)N(c2ccccc2F)C1)c1ncccc1[O-] ZINC000360937034 299777530 /nfs/dbraw/zinc/77/75/30/299777530.db2.gz HYCTZEMGBMQLPL-SNVBAGLBSA-N -1 1 315.304 1.462 20 0 DDADMM CC(C)[C@H](Cc1ccc(F)cc1)N(C)C(=O)CCc1nn[n-]n1 ZINC000631555852 422830683 /nfs/dbraw/zinc/83/06/83/422830683.db2.gz HPROPMZAYRRTHJ-AWEZNQCLSA-N -1 1 319.384 1.997 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCc2ccc(C)cc2)co1 ZINC000152139776 407571086 /nfs/dbraw/zinc/57/10/86/407571086.db2.gz ZRGFNBIUBIQBQA-UHFFFAOYSA-N -1 1 322.386 1.469 20 0 DDADMM C[C@H]1CC[C@H]([N-]S(=O)(=O)c2cn(C)nc2C(F)(F)F)C1 ZINC000338966413 300072576 /nfs/dbraw/zinc/07/25/76/300072576.db2.gz VOYMVDNJAHOABG-YUMQZZPRSA-N -1 1 311.329 1.906 20 0 DDADMM Cc1nc(SCC(=O)N2C[C@@H](C)O[C@@H](C)C2)[n-]c(=O)c1C ZINC000005155276 406733132 /nfs/dbraw/zinc/73/31/32/406733132.db2.gz MMSLLEBVODQWKT-DTORHVGOSA-N -1 1 311.407 1.115 20 0 DDADMM COc1cccc(CN2CC[C@](C(=O)[O-])(C(F)(F)F)C2)n1 ZINC000070094206 406808135 /nfs/dbraw/zinc/80/81/35/406808135.db2.gz KQFBBALQKHNSEE-LBPRGKRZSA-N -1 1 304.268 1.929 20 0 DDADMM CCCc1cc(=O)[n-]c(S[C@@H](C)C(=O)NCC(F)(F)F)n1 ZINC000011443260 406827286 /nfs/dbraw/zinc/82/72/86/406827286.db2.gz VWOVIDNLDNOADM-ZETCQYMHSA-N -1 1 323.340 1.882 20 0 DDADMM Cc1ccc(NC(=O)[C@H](C)S(=O)(=O)c2ncn[n-]2)cc1C ZINC000086169975 407109337 /nfs/dbraw/zinc/10/93/37/407109337.db2.gz REBQSMYGWKTBPS-JTQLQIEISA-N -1 1 308.363 1.222 20 0 DDADMM Cc1ccc(NC(=O)[C@H](C)S(=O)(=O)c2nc[n-]n2)cc1C ZINC000086169975 407109343 /nfs/dbraw/zinc/10/93/43/407109343.db2.gz REBQSMYGWKTBPS-JTQLQIEISA-N -1 1 308.363 1.222 20 0 DDADMM Cc1cccc(NC(=O)[C@@H](C)S(=O)(=O)c2ncn[n-]2)c1C ZINC000086169876 407109649 /nfs/dbraw/zinc/10/96/49/407109649.db2.gz VTDXOSONMZXENP-SNVBAGLBSA-N -1 1 308.363 1.222 20 0 DDADMM Cc1cccc(NC(=O)[C@@H](C)S(=O)(=O)c2nc[n-]n2)c1C ZINC000086169876 407109653 /nfs/dbraw/zinc/10/96/53/407109653.db2.gz VTDXOSONMZXENP-SNVBAGLBSA-N -1 1 308.363 1.222 20 0 DDADMM CS(=O)(=O)CC1(CNC(=O)c2cc(F)ccc2[O-])CC1 ZINC000080035846 407069631 /nfs/dbraw/zinc/06/96/31/407069631.db2.gz HBABGYOIWNXZFR-UHFFFAOYSA-N -1 1 301.339 1.086 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(Br)ccc2[O-])C[C@@H]1O ZINC000089423921 407133914 /nfs/dbraw/zinc/13/39/14/407133914.db2.gz PNAIAFWCCMXUDG-UFBFGSQYSA-N -1 1 314.179 1.998 20 0 DDADMM Cn1[n-]c(=O)c2c1nc(C1CC1)cc2C(=O)Nc1nncs1 ZINC000058510247 407222208 /nfs/dbraw/zinc/22/22/08/407222208.db2.gz LZMRWUBRISBTIA-UHFFFAOYSA-N -1 1 316.346 1.243 20 0 DDADMM Cc1cc(C)c([N-]S(=O)(=O)c2cc(C(N)=O)n(C)c2)c(C)c1 ZINC000123203507 407322417 /nfs/dbraw/zinc/32/24/17/407322417.db2.gz QJICKZUFMKIENT-UHFFFAOYSA-N -1 1 321.402 1.850 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)NC(C)(C)C ZINC000067717641 407281848 /nfs/dbraw/zinc/28/18/48/407281848.db2.gz HEYKGBVYPBQUTB-MRVPVSSYSA-N -1 1 320.361 1.546 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)CCC(F)(F)F)o1 ZINC000097680886 407301143 /nfs/dbraw/zinc/30/11/43/407301143.db2.gz QSBLNLCXQIMOGE-UHFFFAOYSA-N -1 1 314.285 1.212 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)CC(F)(F)F)c(=O)[n-]1 ZINC000124532449 407361439 /nfs/dbraw/zinc/36/14/39/407361439.db2.gz ZBHXWDCKLRGWDX-UHFFFAOYSA-N -1 1 323.340 1.754 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCCC[C@@H]2C)o1 ZINC000107697996 407378164 /nfs/dbraw/zinc/37/81/64/407378164.db2.gz HIIGSPQRACZTKR-UWVGGRQHSA-N -1 1 301.364 1.923 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CCC1 ZINC000125348276 407386068 /nfs/dbraw/zinc/38/60/68/407386068.db2.gz NMJKANVOAYVRBD-UHFFFAOYSA-N -1 1 321.830 1.559 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cc(F)c(OC)cc2F)cn1 ZINC000126531613 407416012 /nfs/dbraw/zinc/41/60/12/407416012.db2.gz UDAOVPHNYPROGI-UHFFFAOYSA-N -1 1 317.317 1.991 20 0 DDADMM O=S(=O)([N-]CC1(CCO)CCC1)c1cccc(F)c1F ZINC000127330566 407433778 /nfs/dbraw/zinc/43/37/78/407433778.db2.gz QMNPRWRHLWRJHL-UHFFFAOYSA-N -1 1 305.346 1.796 20 0 DDADMM CCOCCS(=O)(=O)[N-][C@@H](c1nc(C2CC2)no1)C(C)C ZINC000170787658 407504562 /nfs/dbraw/zinc/50/45/62/407504562.db2.gz SMXYOPSXZMTXKF-LLVKDONJSA-N -1 1 317.411 1.600 20 0 DDADMM CCC(=O)[C@@H]1CCCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000185820079 407511915 /nfs/dbraw/zinc/51/19/15/407511915.db2.gz WIISDHYRYYWBJY-LBPRGKRZSA-N -1 1 316.361 1.648 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cc(Cl)cnc2N)on1 ZINC000178653041 407530958 /nfs/dbraw/zinc/53/09/58/407530958.db2.gz AXMRFNKNIHHJGW-UHFFFAOYSA-N -1 1 302.743 1.092 20 0 DDADMM Cc1csc(N2CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000171249558 407639470 /nfs/dbraw/zinc/63/94/70/407639470.db2.gz MKTUXDZTRIPAPJ-UHFFFAOYSA-N -1 1 304.375 1.515 20 0 DDADMM CCC[C@H](C)NC(=O)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000171291285 407651661 /nfs/dbraw/zinc/65/16/61/407651661.db2.gz YUEXMXQSFZTICQ-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ncccc2C)c1 ZINC000152762252 407686043 /nfs/dbraw/zinc/68/60/43/407686043.db2.gz CHDPENDPRJWFDK-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM COC(=O)c1cccc(CS(=O)(=O)[N-]c2ccc(F)cn2)c1 ZINC000152829825 407697505 /nfs/dbraw/zinc/69/75/05/407697505.db2.gz WTZOOWPURKITGY-UHFFFAOYSA-N -1 1 324.333 1.949 20 0 DDADMM CCOc1cc(C(=O)NCCC[S@](C)=O)cc(Cl)c1[O-] ZINC000186800749 407771815 /nfs/dbraw/zinc/77/18/15/407771815.db2.gz JYHDRWJIALBRNF-FQEVSTJZSA-N -1 1 319.810 1.943 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC(C)(C)C[C@H](C)O)c1 ZINC000272114346 407780414 /nfs/dbraw/zinc/78/04/14/407780414.db2.gz OERZKSHGHGMYHS-VIFPVBQESA-N -1 1 319.379 1.142 20 0 DDADMM COCCOc1ccc(CNC(=O)c2cncc([O-])c2)cc1 ZINC000171863259 407783980 /nfs/dbraw/zinc/78/39/80/407783980.db2.gz IQKBOZTXEAQCCO-UHFFFAOYSA-N -1 1 302.330 1.742 20 0 DDADMM O=C(NC1CCN(CC(F)(F)F)CC1)c1cncc([O-])c1 ZINC000171867391 407787713 /nfs/dbraw/zinc/78/77/13/407787713.db2.gz ZZZGSFJXHGODNF-UHFFFAOYSA-N -1 1 303.284 1.544 20 0 DDADMM CN(C)CCN(CC(=O)[O-])C(=O)CCC(=O)c1ccccc1 ZINC000237117236 407797984 /nfs/dbraw/zinc/79/79/84/407797984.db2.gz IZQJFXQPFMAITF-UHFFFAOYSA-N -1 1 306.362 1.124 20 0 DDADMM O=C(CNC(=O)c1ccc(Br)c([O-])c1)NC1CC1 ZINC000132884499 407806648 /nfs/dbraw/zinc/80/66/48/407806648.db2.gz RMUUMPLBCBVWFG-UHFFFAOYSA-N -1 1 313.151 1.163 20 0 DDADMM CC(C)(C)C[C@H](O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000172274485 407814764 /nfs/dbraw/zinc/81/47/64/407814764.db2.gz LYCHYDBEPNBPCD-JTQLQIEISA-N -1 1 303.362 1.450 20 0 DDADMM O=S(=O)(Cc1nc(C2CCCC2)no1)c1n[n-]c(C2CC2)n1 ZINC000133094916 407820432 /nfs/dbraw/zinc/82/04/32/407820432.db2.gz YNSWOMPLKPFNLT-UHFFFAOYSA-N -1 1 323.378 1.697 20 0 DDADMM CC(C)[C@@H](CO)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272238933 407831591 /nfs/dbraw/zinc/83/15/91/407831591.db2.gz QOLDUYQREYKYIJ-MRVPVSSYSA-N -1 1 313.206 1.684 20 0 DDADMM CS(=O)(=O)CCCCCNC(=O)c1ccc(Cl)cc1[O-] ZINC000187451163 407858214 /nfs/dbraw/zinc/85/82/14/407858214.db2.gz ZAUSKDNKSCYOAP-UHFFFAOYSA-N -1 1 319.810 1.990 20 0 DDADMM CC[C@H](C)[C@H](O)CNC(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000134282907 407891598 /nfs/dbraw/zinc/89/15/98/407891598.db2.gz YUPDRQXRRSYIKM-TVQRCGJNSA-N -1 1 303.362 1.781 20 0 DDADMM CC[C@H](C)[C@H](O)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000134282907 407891610 /nfs/dbraw/zinc/89/16/10/407891610.db2.gz YUPDRQXRRSYIKM-TVQRCGJNSA-N -1 1 303.362 1.781 20 0 DDADMM CCNC(=O)C1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000180682366 407910529 /nfs/dbraw/zinc/91/05/29/407910529.db2.gz MTWHXIHFFORFGE-UHFFFAOYSA-N -1 1 316.279 1.619 20 0 DDADMM CSCCCC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000134947501 407955017 /nfs/dbraw/zinc/95/50/17/407955017.db2.gz IYLZZKQETWIQAC-UHFFFAOYSA-N -1 1 308.407 1.399 20 0 DDADMM O=C(Nc1cccc(N2CCC[NH+]=C2[O-])c1)c1ncccc1[O-] ZINC000174591729 407968514 /nfs/dbraw/zinc/96/85/14/407968514.db2.gz NBFZAFVEQNXGGJ-UHFFFAOYSA-N -1 1 312.329 1.959 20 0 DDADMM COCCc1noc(CSc2nc(C3CC3)cc(=O)[n-]2)n1 ZINC000188134002 407919295 /nfs/dbraw/zinc/91/92/95/407919295.db2.gz GHPIWJGXGCCKQZ-UHFFFAOYSA-N -1 1 308.363 1.924 20 0 DDADMM CCN(Cc1cnn(C)c1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119186435 408009159 /nfs/dbraw/zinc/00/91/59/408009159.db2.gz NVYYUURSNVONCX-UHFFFAOYSA-N -1 1 314.349 1.070 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)C2CC(=O)C2)CC1 ZINC000119197983 408011346 /nfs/dbraw/zinc/01/13/46/408011346.db2.gz BNDBZIXJMTYCPU-UHFFFAOYSA-N -1 1 301.342 1.793 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC(C)(C)C(C)C ZINC000189435517 408019279 /nfs/dbraw/zinc/01/92/79/408019279.db2.gz QBEZUZOJTLSKDB-UHFFFAOYSA-N -1 1 318.421 1.980 20 0 DDADMM Cc1c(C(=O)Nc2nnn[n-]2)cnn1-c1ccc(F)cc1F ZINC000135468294 408019473 /nfs/dbraw/zinc/01/94/73/408019473.db2.gz YEESTMHWIZMZKI-UHFFFAOYSA-N -1 1 305.248 1.224 20 0 DDADMM Cc1c(C(=O)Nc2nn[n-]n2)cnn1-c1ccc(F)cc1F ZINC000135468294 408019476 /nfs/dbraw/zinc/01/94/76/408019476.db2.gz YEESTMHWIZMZKI-UHFFFAOYSA-N -1 1 305.248 1.224 20 0 DDADMM O=C(NC1CCN(C(=O)c2cc(F)ccc2[O-])CC1)C1CC1 ZINC000175196133 408074433 /nfs/dbraw/zinc/07/44/33/408074433.db2.gz UKXTXPCZDKDHKV-UHFFFAOYSA-N -1 1 306.337 1.662 20 0 DDADMM CCC[C@H](NC(=O)COc1ccc(C=O)cc1)c1nn[n-]n1 ZINC000136671766 408119638 /nfs/dbraw/zinc/11/96/38/408119638.db2.gz JCWUJPHQDZSXMY-LBPRGKRZSA-N -1 1 303.322 1.049 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)c1cccc(OC)c1)c1nn[n-]n1 ZINC000136731961 408121958 /nfs/dbraw/zinc/12/19/58/408121958.db2.gz NSVMZNFTMHMQLY-MFKMUULPSA-N -1 1 303.366 1.969 20 0 DDADMM CCC[C@H](NC(=O)c1sc([C@@H](C)OC)nc1C)c1nn[n-]n1 ZINC000136723960 408122950 /nfs/dbraw/zinc/12/29/50/408122950.db2.gz FRYQRINCIIXXSL-BDAKNGLRSA-N -1 1 324.410 1.943 20 0 DDADMM CC(C)C[C@H](NC(=O)c1ccc(-c2nnc[nH]2)cc1)C(=O)[O-] ZINC000263415263 408133616 /nfs/dbraw/zinc/13/36/16/408133616.db2.gz JUHIHPXIZHIWJO-LBPRGKRZSA-N -1 1 302.334 1.701 20 0 DDADMM CCC(CC)n1nccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155575238 408184460 /nfs/dbraw/zinc/18/44/60/408184460.db2.gz AKBLGDLIIQKSQA-LLVKDONJSA-N -1 1 317.397 1.777 20 0 DDADMM CN(CC(C)(CO)CO)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000182923482 408283821 /nfs/dbraw/zinc/28/38/21/408283821.db2.gz MFNRUPMPKNJBIY-UHFFFAOYSA-N -1 1 321.295 1.474 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C=CCCC1 ZINC000157691200 408312935 /nfs/dbraw/zinc/31/29/35/408312935.db2.gz PMYVNFFPYPGBSP-GFCCVEGCSA-N -1 1 300.362 1.407 20 0 DDADMM CNC(=O)[C@@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000133854662 162068330 /nfs/dbraw/zinc/06/83/30/162068330.db2.gz BQACTAQXSXZFIC-ZCFIWIBFSA-N -1 1 301.140 1.019 20 0 DDADMM O=S(=O)([N-]CCOCC(F)F)c1ccc(F)c(F)c1F ZINC000190961837 408347334 /nfs/dbraw/zinc/34/73/34/408347334.db2.gz AYEWUXYYQVPELX-UHFFFAOYSA-N -1 1 319.251 1.664 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1Cc2cc(F)ccc2O1)c1nn[n-]n1 ZINC000136661864 162120747 /nfs/dbraw/zinc/12/07/47/162120747.db2.gz APRBEHHATZCNGP-CMPLNLGQSA-N -1 1 305.313 1.300 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000165557529 162160619 /nfs/dbraw/zinc/16/06/19/162160619.db2.gz PUYSNSDBJDUANK-CJNGLKHVSA-N -1 1 316.401 1.756 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@H]1OCCc2ccccc21)c1nn[n-]n1 ZINC000183421878 408408330 /nfs/dbraw/zinc/40/83/30/408408330.db2.gz VFJPPDOCSCZTBC-SMDDNHRTSA-N -1 1 315.377 1.466 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1ccc2c(c1)CCO2)c1nn[n-]n1 ZINC000183441580 408414325 /nfs/dbraw/zinc/41/43/25/408414325.db2.gz RHOHEPXDEHQUKK-DUMNWFOQSA-N -1 1 313.361 1.410 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1C[C@@H]1c1cccc(OC)c1)c1nn[n-]n1 ZINC000176776332 408440983 /nfs/dbraw/zinc/44/09/83/408440983.db2.gz XCHSEOWSJZUPQJ-RDBSUJKOSA-N -1 1 315.377 1.969 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CCC(=O)N1CCCO1 ZINC000274722233 408509816 /nfs/dbraw/zinc/50/98/16/408509816.db2.gz SKIUZHPLVGIRQO-UHFFFAOYSA-N -1 1 314.345 1.649 20 0 DDADMM CCc1nn(C)c(Cl)c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000274731435 408511041 /nfs/dbraw/zinc/51/10/41/408511041.db2.gz CTEDUNZEBIKMQU-SSDOTTSWSA-N -1 1 311.777 1.025 20 0 DDADMM C[C@H](O)C[C@H]1CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000162158462 408674264 /nfs/dbraw/zinc/67/42/64/408674264.db2.gz JKGMMRPGXFFYPY-WDEREUQCSA-N -1 1 301.346 1.299 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccccc2F)c1 ZINC000193391957 408716758 /nfs/dbraw/zinc/71/67/58/408716758.db2.gz ZVSGIVHHPJGWPJ-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM Cc1nc(C)c(CCC(=O)N2CC[N@H+](C)CC2(C)C)c(=O)[nH]1 ZINC000270943450 408752313 /nfs/dbraw/zinc/75/23/13/408752313.db2.gz SYEYPZVHGGSHED-UHFFFAOYSA-N -1 1 306.410 1.284 20 0 DDADMM COC(=O)CCCc1nnc(NC(=O)c2ccccc2[O-])s1 ZINC000265737447 408764743 /nfs/dbraw/zinc/76/47/43/408764743.db2.gz URWMNBZRMHOSRF-UHFFFAOYSA-N -1 1 321.358 1.992 20 0 DDADMM CC(=O)N(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C1CC1 ZINC000276549176 408881019 /nfs/dbraw/zinc/88/10/19/408881019.db2.gz RXPQVJGLERQVFK-CYBMUJFWSA-N -1 1 303.362 1.403 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@@H](CO)c1c(F)cccc1F ZINC000290776953 408830975 /nfs/dbraw/zinc/83/09/75/408830975.db2.gz PCXJPZUCTFMYNX-NSHDSACASA-N -1 1 323.361 1.343 20 0 DDADMM CO[C@@]1(C)C[C@H]([N-]S(=O)(=O)c2c(C)onc2N)C1(C)C ZINC000290818875 408838176 /nfs/dbraw/zinc/83/81/76/408838176.db2.gz SXCNXGQJVAOUAO-UFBFGSQYSA-N -1 1 303.384 1.047 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc([O-])cc2F)CCS1(=O)=O ZINC000277318638 408918081 /nfs/dbraw/zinc/91/80/81/408918081.db2.gz QQHVRVBFORKWBY-SECBINFHSA-N -1 1 301.339 1.181 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CCC(=O)c2ccccc21)c1nn[n-]n1 ZINC000286098263 408923570 /nfs/dbraw/zinc/92/35/70/408923570.db2.gz OLBZRGUWPOQGQV-GWCFXTLKSA-N -1 1 313.361 1.522 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccccc1OC1CC1)c1nn[n-]n1 ZINC000291789502 408923591 /nfs/dbraw/zinc/92/35/91/408923591.db2.gz YFVQQZYYQGFZMH-SNVBAGLBSA-N -1 1 301.350 1.617 20 0 DDADMM Cc1cc(C)n(-c2ccc(CNC(=O)c3ncccc3[O-])cn2)n1 ZINC000194673553 163292549 /nfs/dbraw/zinc/29/25/49/163292549.db2.gz WCPNAKRJCXHCBO-UHFFFAOYSA-N -1 1 323.356 1.915 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCO[C@@H](C)C1 ZINC000194726859 163295853 /nfs/dbraw/zinc/29/58/53/163295853.db2.gz UKJOXKYUTMSIDA-JTQLQIEISA-N -1 1 318.377 1.232 20 0 DDADMM COC[C@H](CNC(=O)c1ccc(Br)c([O-])c1)OC ZINC000227699349 163338929 /nfs/dbraw/zinc/33/89/29/163338929.db2.gz IUHUMJSNWYGDNN-VIFPVBQESA-N -1 1 318.167 1.546 20 0 DDADMM COC[C@H](C)NC(=O)Cc1noc(-c2ccc(OC)cc2[O-])n1 ZINC000277741701 409003639 /nfs/dbraw/zinc/00/36/39/409003639.db2.gz AENPSLPYJNJUJA-VIFPVBQESA-N -1 1 321.333 1.144 20 0 DDADMM CCCCOc1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000277613361 408977924 /nfs/dbraw/zinc/97/79/24/408977924.db2.gz BEHJBALAYMSMJA-UHFFFAOYSA-N -1 1 306.322 1.454 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@@]3(C)CCCC[C@@H]3O)ccnc1-2 ZINC000287634884 409067646 /nfs/dbraw/zinc/06/76/46/409067646.db2.gz OIXBMUBGNOWCAQ-LRYIPADVSA-N -1 1 317.393 1.405 20 0 DDADMM CC(C)CC[C@H](C)NC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287634306 409067724 /nfs/dbraw/zinc/06/77/24/409067724.db2.gz ZRDDXNIXTJQEDD-PYXNCVKXSA-N -1 1 317.393 1.221 20 0 DDADMM C[C@H]1C[C@H]1c1cc(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)n[nH]1 ZINC000287295756 409015054 /nfs/dbraw/zinc/01/50/54/409015054.db2.gz UUVOQIDEWADCLC-SGGSSBHZSA-N -1 1 311.349 1.832 20 0 DDADMM O=S(=O)([N-]CC1=CCCOC1)c1ccc(F)c(F)c1F ZINC000287399560 409031967 /nfs/dbraw/zinc/03/19/67/409031967.db2.gz KPHQEODBLUNLAX-UHFFFAOYSA-N -1 1 307.293 1.729 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCO[C@@H]4CCC[C@@H]43)ccnc1-2 ZINC000287802749 409096055 /nfs/dbraw/zinc/09/60/55/409096055.db2.gz XWJCOHHPNSIXRK-HFAKHUIQSA-N -1 1 301.350 1.127 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CC2(C)CCC2)c(C(F)(F)F)n1 ZINC000293480253 409121614 /nfs/dbraw/zinc/12/16/14/409121614.db2.gz MIIUNQQEEYXHID-UHFFFAOYSA-N -1 1 311.329 1.907 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@H](CC)OC)CC2)n1 ZINC000278964016 409121651 /nfs/dbraw/zinc/12/16/51/409121651.db2.gz ZUYFJXNQYDHAQC-NSHDSACASA-N -1 1 324.381 1.112 20 0 DDADMM O=C(NC[C@H]1CCCS(=O)(=O)C1)c1cc(F)ccc1[O-] ZINC000287959202 409121704 /nfs/dbraw/zinc/12/17/04/409121704.db2.gz AGLLRTIWKJHJEC-SECBINFHSA-N -1 1 301.339 1.086 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]2OCC[C@@H]21)c1ccc2n[n-]c(=S)n2c1 ZINC000283619183 409186590 /nfs/dbraw/zinc/18/65/90/409186590.db2.gz ZOKCWNODFUPPHX-UTUOFQBUSA-N -1 1 318.402 1.705 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCC[S@@](=O)C(C)(C)C)ccnc1-2 ZINC000279303347 409188638 /nfs/dbraw/zinc/18/86/38/409188638.db2.gz LTGNOQRQOFDLPJ-IFNMQTAJSA-N -1 1 323.422 1.011 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCCC[C@@H]3CCCCO3)ccnc1-2 ZINC000279442069 409215594 /nfs/dbraw/zinc/21/55/94/409215594.db2.gz VAQDUTLXJAVTMN-SXPRPLFSSA-N -1 1 317.393 1.813 20 0 DDADMM CC(F)(F)C(=O)[N-][C@H]1CCN(c2c(F)cccc2F)C1=O ZINC000289261573 409238666 /nfs/dbraw/zinc/23/86/66/409238666.db2.gz QMYONWJIYFPGMQ-VIFPVBQESA-N -1 1 304.243 1.842 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)N2CCC(C(=O)[O-])CC2)cc1 ZINC000262658850 163862706 /nfs/dbraw/zinc/86/27/06/163862706.db2.gz NCEMOAOMLHTREY-LLVKDONJSA-N -1 1 306.362 1.819 20 0 DDADMM CCOC1CC(CCNC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000295554726 409345661 /nfs/dbraw/zinc/34/56/61/409345661.db2.gz XKQKYQRTZJWKNA-UHFFFAOYSA-N -1 1 320.418 1.953 20 0 DDADMM CN(C)C(=O)O[C@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000295373683 409454332 /nfs/dbraw/zinc/45/43/32/409454332.db2.gz DOGFFGPEWYPFAZ-NSHDSACASA-N -1 1 310.325 1.834 20 0 DDADMM NC(=O)CCOc1ccccc1NC(=O)c1cncc([O-])c1 ZINC000316154544 164021814 /nfs/dbraw/zinc/02/18/14/164021814.db2.gz XEESHSPGHFKTFC-UHFFFAOYSA-N -1 1 301.302 1.294 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n(C)n1 ZINC000407999893 164194136 /nfs/dbraw/zinc/19/41/36/164194136.db2.gz WFJSJJOGCGHNOU-SNVBAGLBSA-N -1 1 319.365 1.652 20 0 DDADMM CC(=O)c1ccc([O-])c(NS(=O)(=O)N2CCC[C@@H](C)C2)c1 ZINC000408206666 164260010 /nfs/dbraw/zinc/26/00/10/164260010.db2.gz CVEQFGLUBKMBTB-SNVBAGLBSA-N -1 1 312.391 1.983 20 0 DDADMM O=S(=O)([N-]C1CCOCC1)c1ccc(Br)o1 ZINC000408214737 164263412 /nfs/dbraw/zinc/26/34/12/164263412.db2.gz PASBUZZHPRPVKB-UHFFFAOYSA-N -1 1 310.169 1.499 20 0 DDADMM CO[C@@H](C)c1nsc(=NC[C@@H](C(C)C)N2CCOCC2)[n-]1 ZINC000337906455 409555928 /nfs/dbraw/zinc/55/59/28/409555928.db2.gz CUANQRXWIMIZGD-RYUDHWBXSA-N -1 1 314.455 1.436 20 0 DDADMM Cc1c(F)cccc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337911875 409559698 /nfs/dbraw/zinc/55/96/98/409559698.db2.gz QHRNMOUSAIVBNS-UHFFFAOYSA-N -1 1 303.293 1.603 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cnc(C)s1)[C@@H](O)C(F)F ZINC000295489319 409503302 /nfs/dbraw/zinc/50/33/02/409503302.db2.gz AXACCYSINZDMDK-POYBYMJQSA-N -1 1 300.352 1.134 20 0 DDADMM CC(C)(C)[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000296406437 409534283 /nfs/dbraw/zinc/53/42/83/409534283.db2.gz UEFLAKLOGPDNHH-NSHDSACASA-N -1 1 320.418 1.905 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1ccc(F)c(C(=O)[O-])c1 ZINC000349112573 409699965 /nfs/dbraw/zinc/69/99/65/409699965.db2.gz OPXWFEHQAWJYLS-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM COc1ccc(CNC(=O)c2ncccc2[O-])cc1NC(C)=O ZINC000338124172 409736617 /nfs/dbraw/zinc/73/66/17/409736617.db2.gz SMLAFUMOXCDGOM-UHFFFAOYSA-N -1 1 315.329 1.684 20 0 DDADMM CC(C)(CO)O[N-]C(=O)Cc1cc(Br)ccc1F ZINC000297159418 409830691 /nfs/dbraw/zinc/83/06/91/409830691.db2.gz JPQUCYZWXNXWMC-UHFFFAOYSA-N -1 1 320.158 1.949 20 0 DDADMM O=C(CCCc1ccc(F)c(F)c1)NC1(c2nn[n-]n2)CC1 ZINC000357073093 409839922 /nfs/dbraw/zinc/83/99/22/409839922.db2.gz HPZYNKNVPCLARJ-UHFFFAOYSA-N -1 1 307.304 1.606 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2NCCc3ccccc32)CC[C@H]1C(=O)[O-] ZINC000318835719 409882835 /nfs/dbraw/zinc/88/28/35/409882835.db2.gz ARKSDSZSXINSNT-KYOSRNDESA-N -1 1 302.374 1.443 20 0 DDADMM COC(=O)[C@@H]1C[C@H](C2CCCCC2)CN1Cc1nc(=O)n(C)[n-]1 ZINC000332099937 409885896 /nfs/dbraw/zinc/88/58/96/409885896.db2.gz CROYENZXGKUZLA-STQMWFEESA-N -1 1 322.409 1.052 20 0 DDADMM COCCOCCNC(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000354550123 409934184 /nfs/dbraw/zinc/93/41/84/409934184.db2.gz ZHHFKGLOWIZHAZ-UHFFFAOYSA-N -1 1 305.334 1.037 20 0 DDADMM COCCOCCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000354550123 409934192 /nfs/dbraw/zinc/93/41/92/409934192.db2.gz ZHHFKGLOWIZHAZ-UHFFFAOYSA-N -1 1 305.334 1.037 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ncc(C(F)(F)F)[nH]2)cn1 ZINC000357392203 410012459 /nfs/dbraw/zinc/01/24/59/410012459.db2.gz RSYLCECKBZVXHX-UHFFFAOYSA-N -1 1 306.269 1.933 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000332264982 410023018 /nfs/dbraw/zinc/02/30/18/410023018.db2.gz DBNGVRZNECLSLM-GHMZBOCLSA-N -1 1 324.454 1.361 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc(-c2ccc(C)o2)no1 ZINC000350791258 409994141 /nfs/dbraw/zinc/99/41/41/409994141.db2.gz FNFSEUYDVXVCFG-UHFFFAOYSA-N -1 1 315.351 1.094 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000332241093 410006695 /nfs/dbraw/zinc/00/66/95/410006695.db2.gz JCCNJAKCPDEGLA-LLVKDONJSA-N -1 1 315.366 1.571 20 0 DDADMM CC(C)(C)c1ccc(OCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354749608 410060139 /nfs/dbraw/zinc/06/01/39/410060139.db2.gz FBHHTEBYSWYPIU-UHFFFAOYSA-N -1 1 317.393 1.928 20 0 DDADMM C[C@H](CCOc1ccccc1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354795256 410092568 /nfs/dbraw/zinc/09/25/68/410092568.db2.gz VJVJGFLMWRFZOB-LLVKDONJSA-N -1 1 303.366 1.656 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H](CO)C[C@@H](O)C(C)(C)C)sc1C ZINC000343050327 410104631 /nfs/dbraw/zinc/10/46/31/410104631.db2.gz JUJURXVKRDZQIF-NXEZZACHSA-N -1 1 316.423 1.230 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1cccnc1 ZINC000332382279 410114508 /nfs/dbraw/zinc/11/45/08/410114508.db2.gz AZYQBZXAOJKZAZ-LLVKDONJSA-N -1 1 316.361 1.854 20 0 DDADMM CCOC(=O)c1nc([N-]C(=O)c2cc(OCC)no2)oc1C ZINC000298112453 410120770 /nfs/dbraw/zinc/12/07/70/410120770.db2.gz VSRUBORGTBMYLZ-UHFFFAOYSA-N -1 1 309.278 1.799 20 0 DDADMM CC(C)CSCCCN=c1[n-]cc(S(N)(=O)=O)s1 ZINC000339360526 410124805 /nfs/dbraw/zinc/12/48/05/410124805.db2.gz SHMFIHDMVHMYPG-UHFFFAOYSA-N -1 1 309.482 1.404 20 0 DDADMM COc1cccc(NS(=O)(=O)c2cccc(C(=O)[O-])c2)c1O ZINC000351766971 410216738 /nfs/dbraw/zinc/21/67/38/410216738.db2.gz WXAIKPFTBXKBJH-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM COC(=O)C(C)(C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000298266446 410158466 /nfs/dbraw/zinc/15/84/66/410158466.db2.gz BOXZWTFNDRJBSE-UHFFFAOYSA-N -1 1 311.281 1.334 20 0 DDADMM CC(C)N1C(=O)C[C@@H](NC(=O)Cc2ccc([O-])c(Cl)c2)C1=O ZINC000631618586 422855070 /nfs/dbraw/zinc/85/50/70/422855070.db2.gz JWWUTVQOTMEVAV-LLVKDONJSA-N -1 1 324.764 1.240 20 0 DDADMM CC[C@H](CC(F)(F)F)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000354966461 410209967 /nfs/dbraw/zinc/20/99/67/410209967.db2.gz HYCZPMXMZGOIFS-MRVPVSSYSA-N -1 1 319.283 1.364 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C(F)(F)F ZINC000346914025 410243202 /nfs/dbraw/zinc/24/32/02/410243202.db2.gz OAPKPDXSCAZZDX-SSDOTTSWSA-N -1 1 314.285 1.258 20 0 DDADMM CC(C)C[C@@H]1CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343348209 410382054 /nfs/dbraw/zinc/38/20/54/410382054.db2.gz XONDEJYDQYRRRV-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM CCc1cnc(NC(=O)CNC(=O)c2ncccc2[O-])s1 ZINC000343435777 410450630 /nfs/dbraw/zinc/45/06/30/410450630.db2.gz CAOPSMFRHSMULZ-UHFFFAOYSA-N -1 1 306.347 1.175 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCC1CCCCC1 ZINC000352108507 410488403 /nfs/dbraw/zinc/48/84/03/410488403.db2.gz YWGLEKRCRJTLRM-UHFFFAOYSA-N -1 1 309.366 1.987 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCc3ccn(C)n3)cnc2n1 ZINC000355320698 410442486 /nfs/dbraw/zinc/44/24/86/410442486.db2.gz VPVLGOWDZLKJMB-UHFFFAOYSA-N -1 1 311.345 1.350 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCc1ccn(C)n1)c2=O ZINC000355320698 410442493 /nfs/dbraw/zinc/44/24/93/410442493.db2.gz VPVLGOWDZLKJMB-UHFFFAOYSA-N -1 1 311.345 1.350 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CCCc2occc21 ZINC000339975296 410590357 /nfs/dbraw/zinc/59/03/57/410590357.db2.gz JTZSREXNTTVBQJ-NSHDSACASA-N -1 1 315.329 1.304 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2c(Cl)cnn2C)o1 ZINC000330228365 410594583 /nfs/dbraw/zinc/59/45/83/410594583.db2.gz CLJUFKMYQYVNAM-UHFFFAOYSA-N -1 1 304.759 1.102 20 0 DDADMM COCCCCS(=O)(=O)[N-][C@H](C(=O)OC)c1cccs1 ZINC000355848730 410699857 /nfs/dbraw/zinc/69/98/57/410699857.db2.gz XGOZVVMJLYXUBT-NSHDSACASA-N -1 1 321.420 1.308 20 0 DDADMM Cc1nc(-c2ccc(N3CC[C@H](C(N)=O)C3)nc2)[n-]c(=O)c1C ZINC000301440301 410720770 /nfs/dbraw/zinc/72/07/70/410720770.db2.gz XVUGFDJEOBBNSY-LBPRGKRZSA-N -1 1 313.361 1.173 20 0 DDADMM CCO[C@H]1COCC[C@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000353009514 410730510 /nfs/dbraw/zinc/73/05/10/410730510.db2.gz RRNIPJKFPXQHTG-NEPJUHHUSA-N -1 1 321.345 1.437 20 0 DDADMM O=C([O-])[C@H]1CCN(C(=O)c2ccc(Nc3ccncc3)cc2)C1 ZINC000340196017 410742833 /nfs/dbraw/zinc/74/28/33/410742833.db2.gz MWISLCKKPZGOON-ZDUSSCGKSA-N -1 1 311.341 1.794 20 0 DDADMM CS(=O)(=O)C1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CC1 ZINC000347910303 410789041 /nfs/dbraw/zinc/78/90/41/410789041.db2.gz SPOSPZFDJOSOGG-UHFFFAOYSA-N -1 1 321.358 1.298 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC[C@H]2C(F)F)o1 ZINC000337566221 410867466 /nfs/dbraw/zinc/86/74/66/410867466.db2.gz BKOBQTOUMCEIAW-QMMMGPOBSA-N -1 1 322.333 1.448 20 0 DDADMM CCCN(CCC)C(=O)CCCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000359787308 410879047 /nfs/dbraw/zinc/87/90/47/410879047.db2.gz LJHLPGOYCCTWAL-UHFFFAOYSA-N -1 1 324.429 1.370 20 0 DDADMM CN(C[C@H]1CCCOC1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000341254754 410969120 /nfs/dbraw/zinc/96/91/20/410969120.db2.gz FJMBEMMZHPOFHA-LLVKDONJSA-N -1 1 301.346 1.422 20 0 DDADMM C[C@@H]1SCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1c1ccccc1 ZINC000353480124 411015616 /nfs/dbraw/zinc/01/56/16/411015616.db2.gz POEAZWPAJFLBJY-FZMZJTMJSA-N -1 1 304.419 1.787 20 0 DDADMM O=S(=O)([N-]c1cnc[nH]1)c1cncc(Br)c1 ZINC000580138396 422891735 /nfs/dbraw/zinc/89/17/35/422891735.db2.gz SOAVNUSGVOJUMM-UHFFFAOYSA-N -1 1 303.141 1.368 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)c2cc[nH]c2)n[n-]1 ZINC000580459891 422935286 /nfs/dbraw/zinc/93/52/86/422935286.db2.gz RHPPLAWAODXRIP-NSHDSACASA-N -1 1 319.365 1.827 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)c2cc[nH]c2)[n-]1 ZINC000580459891 422935289 /nfs/dbraw/zinc/93/52/89/422935289.db2.gz RHPPLAWAODXRIP-NSHDSACASA-N -1 1 319.365 1.827 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)c2cc[nH]c2)n1 ZINC000580459891 422935290 /nfs/dbraw/zinc/93/52/90/422935290.db2.gz RHPPLAWAODXRIP-NSHDSACASA-N -1 1 319.365 1.827 20 0 DDADMM Cc1sccc1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000640466606 422976833 /nfs/dbraw/zinc/97/68/33/422976833.db2.gz PPOCQNXXXDRQGG-UHFFFAOYSA-N -1 1 324.435 1.675 20 0 DDADMM CSC[C@H](C)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000131514809 196175985 /nfs/dbraw/zinc/17/59/85/196175985.db2.gz ZMKHBWLUXFLWMF-QMMMGPOBSA-N -1 1 315.464 1.601 20 0 DDADMM Cn1[n-]c(CNC2(c3ccc(C(F)(F)F)cc3)CC2)nc1=O ZINC000649886365 422981519 /nfs/dbraw/zinc/98/15/19/422981519.db2.gz YTFRZCIOXGQYOS-UHFFFAOYSA-N -1 1 312.295 1.906 20 0 DDADMM CC[C@H](N=c1[n-]c(C(N)=O)cs1)C(=O)NCc1cccs1 ZINC000645347784 422998726 /nfs/dbraw/zinc/99/87/26/422998726.db2.gz OLJOCTMDFIAIPW-VIFPVBQESA-N -1 1 324.431 1.232 20 0 DDADMM C[C@@H]1[C@H](C(=O)[O-])CCN1CCCS(=O)(=O)c1ccccc1 ZINC000652504021 423047525 /nfs/dbraw/zinc/04/75/25/423047525.db2.gz ONJNNYHERFRKER-TZMCWYRMSA-N -1 1 311.403 1.645 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CSCN1C(=O)C(C)(C)C ZINC000647815708 423058781 /nfs/dbraw/zinc/05/87/81/423058781.db2.gz IDKVLJSCKJMXQZ-MRVPVSSYSA-N -1 1 312.395 1.310 20 0 DDADMM Cn1cnnc1COCc1nc(-c2ccc([O-])cc2F)no1 ZINC000350564199 306753062 /nfs/dbraw/zinc/75/30/62/306753062.db2.gz FQSCNNTYRAWWKI-UHFFFAOYSA-N -1 1 305.269 1.427 20 0 DDADMM CC(C)[C@@H](CO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645586026 423095589 /nfs/dbraw/zinc/09/55/89/423095589.db2.gz CJXVYYCJHVQTMR-SECBINFHSA-N -1 1 312.313 1.396 20 0 DDADMM C[C@@H]1OCC[C@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645632599 423118131 /nfs/dbraw/zinc/11/81/31/423118131.db2.gz LOLGZKZEUDJIRE-IONNQARKSA-N -1 1 310.297 1.556 20 0 DDADMM CSc1nc(CNC(=O)C(C)(C)c2cnn(C)c2)cc(=O)[n-]1 ZINC000640657077 423118460 /nfs/dbraw/zinc/11/84/60/423118460.db2.gz ZUZXXOTUSPGJPB-UHFFFAOYSA-N -1 1 321.406 1.232 20 0 DDADMM O=C(NCCNC(=O)c1cc(F)ccc1[O-])c1cccs1 ZINC000175199171 221805311 /nfs/dbraw/zinc/80/53/11/221805311.db2.gz HOPYBOLVGJOSSB-UHFFFAOYSA-N -1 1 308.334 1.753 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cc(F)ccc2F)c(=O)n(C)c1 ZINC000360620670 418477688 /nfs/dbraw/zinc/47/76/88/418477688.db2.gz PUKRUZVEQVBFGU-UHFFFAOYSA-N -1 1 314.313 1.773 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@@H]1CCC[C@H](CO)C1)c2=O ZINC000360626801 418480153 /nfs/dbraw/zinc/48/01/53/418480153.db2.gz QNGMUHSFZGVHCL-MNOVXSKESA-N -1 1 318.377 1.202 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3CCCc4c[nH]nc43)ccnc1-2 ZINC000287028802 418574161 /nfs/dbraw/zinc/57/41/61/418574161.db2.gz CONQAWGDXSBIED-ZDFPAGSVSA-N -1 1 311.349 1.264 20 0 DDADMM O=C(CCn1ccc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000360875374 418539328 /nfs/dbraw/zinc/53/93/28/418539328.db2.gz VVUFDQCLIVKXTQ-AWEZNQCLSA-N -1 1 324.388 1.951 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN(c2cccnn2)CC1 ZINC000195379264 222199574 /nfs/dbraw/zinc/19/95/74/222199574.db2.gz BYLHGJUILURKKX-UHFFFAOYSA-N -1 1 318.764 1.798 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000316029543 418598773 /nfs/dbraw/zinc/59/87/73/418598773.db2.gz MYWWMMZEGSYWKJ-YWPYICTPSA-N -1 1 304.390 1.974 20 0 DDADMM O=C(c1ccccc1OC(F)F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000361188268 418601522 /nfs/dbraw/zinc/60/15/22/418601522.db2.gz CDFUJIALLNXRQA-VIFPVBQESA-N -1 1 323.303 1.821 20 0 DDADMM Cc1nc(S(=O)(=O)[C@H](C)c2nccn2-c2ccccc2)n[n-]1 ZINC000367843600 418645221 /nfs/dbraw/zinc/64/52/21/418645221.db2.gz OZGAHKIVZDAIAZ-SNVBAGLBSA-N -1 1 317.374 1.834 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cccc(NC(=O)c2cccnc2)c1 ZINC000342852943 418630356 /nfs/dbraw/zinc/63/03/56/418630356.db2.gz QJAZGRDBEZHXJZ-UHFFFAOYSA-N -1 1 309.289 1.099 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cccc(NC(=O)c2cccnc2)c1 ZINC000342852943 418630360 /nfs/dbraw/zinc/63/03/60/418630360.db2.gz QJAZGRDBEZHXJZ-UHFFFAOYSA-N -1 1 309.289 1.099 20 0 DDADMM O=C(Nc1ncccc1O)c1[n-]cnc1C(=O)c1ccccc1 ZINC000361861915 418719949 /nfs/dbraw/zinc/71/99/49/418719949.db2.gz JHMJVQFBSFDQEY-UHFFFAOYSA-N -1 1 308.297 1.994 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CC(C)(C)C1 ZINC000365009447 418831932 /nfs/dbraw/zinc/83/19/32/418831932.db2.gz FNJPRSIEYQFEAR-IJLUTSLNSA-N -1 1 307.398 1.562 20 0 DDADMM CC(C)c1cc(C(=O)Nc2nn[nH]c2C(N)=O)nn1C(C)(C)C ZINC000410860065 418852311 /nfs/dbraw/zinc/85/23/11/418852311.db2.gz PDAAZIUFZMGQEX-UHFFFAOYSA-N -1 1 319.369 1.231 20 0 DDADMM C[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@H](C2CC2)O1 ZINC000365474579 418866052 /nfs/dbraw/zinc/86/60/52/418866052.db2.gz VJWKOBCOZLTXDL-QMTHXVAHSA-N -1 1 313.357 1.563 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccc(F)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000372732000 418897454 /nfs/dbraw/zinc/89/74/54/418897454.db2.gz ZHLKAFGHQMCXEO-KWCYVHTRSA-N -1 1 315.352 1.849 20 0 DDADMM CCOCCC1(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)CCCC1 ZINC000372800112 418902987 /nfs/dbraw/zinc/90/29/87/418902987.db2.gz GSUBVIWUJKBRJS-LBPRGKRZSA-N -1 1 323.397 1.087 20 0 DDADMM C[C@H](CCC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000372156965 418840476 /nfs/dbraw/zinc/84/04/76/418840476.db2.gz MMKUNUIGPKWZOU-OCCSQVGLSA-N -1 1 315.377 1.684 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCC(=O)N(C)C2)c(F)c1 ZINC000425193124 228386973 /nfs/dbraw/zinc/38/69/73/228386973.db2.gz FWCDAHHUEHRAPP-SECBINFHSA-N -1 1 318.345 1.172 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](CO)Cc2ccccc2)c([O-])c1 ZINC000427462392 419667336 /nfs/dbraw/zinc/66/73/36/419667336.db2.gz LIOALEBRQLUKCG-AWEZNQCLSA-N -1 1 300.358 1.677 20 0 DDADMM CS[C@@H](CO)[C@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000427436678 419659757 /nfs/dbraw/zinc/65/97/57/419659757.db2.gz UUTKJTZBXZQOND-CABZTGNLSA-N -1 1 321.402 1.486 20 0 DDADMM CS[C@@H](CO)[C@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000427436678 419659767 /nfs/dbraw/zinc/65/97/67/419659767.db2.gz UUTKJTZBXZQOND-CABZTGNLSA-N -1 1 321.402 1.486 20 0 DDADMM CC(C)C[C@@H](CN=c1[n-]nc(C(F)F)o1)N1CCOCC1 ZINC000429202567 419986162 /nfs/dbraw/zinc/98/61/62/419986162.db2.gz LCYWTQOOMFYKSG-JTQLQIEISA-N -1 1 304.341 1.588 20 0 DDADMM C[C@@H]1CN(C(C)(C)CN=c2[n-]nc(C(F)F)o2)C[C@@H](C)O1 ZINC000429212079 419986565 /nfs/dbraw/zinc/98/65/65/419986565.db2.gz NELUKFYODLGLTH-RKDXNWHRSA-N -1 1 304.341 1.729 20 0 DDADMM CCc1nc(=NCCCN2CCC(C(=O)OC)CC2)s[n-]1 ZINC000354576056 306779603 /nfs/dbraw/zinc/77/96/03/306779603.db2.gz NCULGHADZVPXAT-UHFFFAOYSA-N -1 1 312.439 1.209 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2[n-]nc(/C=C\c3ccco3)s2)CCN1 ZINC000415382293 420043526 /nfs/dbraw/zinc/04/35/26/420043526.db2.gz RXVLGLYPFUFMNI-UMCURTJPSA-N -1 1 319.390 1.549 20 0 DDADMM CNC(=O)[C@]1(C)CCN(Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000430271414 420100831 /nfs/dbraw/zinc/10/08/31/420100831.db2.gz XMERBDGMNRAIRM-CQSZACIVSA-N -1 1 306.391 1.355 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)CC1CCCCC1 ZINC000416219462 420284242 /nfs/dbraw/zinc/28/42/42/420284242.db2.gz HRLCAQROVBRMFZ-SECBINFHSA-N -1 1 309.366 1.985 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC(O[C@@H]2CCOC2)CC1 ZINC000436605236 420344357 /nfs/dbraw/zinc/34/43/57/420344357.db2.gz BMJRLEKSSNTGAN-CYBMUJFWSA-N -1 1 309.337 1.941 20 0 DDADMM Cn1nc2c(c1CNC(=O)C(=O)c1ccc([O-])cc1)CCCC2 ZINC000436638979 420348148 /nfs/dbraw/zinc/34/81/48/420348148.db2.gz AMFMRKWIJMUASS-UHFFFAOYSA-N -1 1 313.357 1.504 20 0 DDADMM C[C@@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1ccnn1C ZINC000425317598 420348203 /nfs/dbraw/zinc/34/82/03/420348203.db2.gz GMARLFYYYDQGTI-SECBINFHSA-N -1 1 305.338 1.018 20 0 DDADMM O=C(c1cncnc1C1CC1)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000425325723 420350068 /nfs/dbraw/zinc/35/00/68/420350068.db2.gz SKKOJBIQFNBANI-UHFFFAOYSA-N -1 1 315.333 1.462 20 0 DDADMM O=C(c1cncnc1C1CC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425325723 420350073 /nfs/dbraw/zinc/35/00/73/420350073.db2.gz SKKOJBIQFNBANI-UHFFFAOYSA-N -1 1 315.333 1.462 20 0 DDADMM COCc1ncc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)s1 ZINC000425328676 420354164 /nfs/dbraw/zinc/35/41/64/420354164.db2.gz YCRONEDYGDKRBF-UHFFFAOYSA-N -1 1 324.362 1.398 20 0 DDADMM CCCCCNC(=O)Cc1nc2cc(F)c([O-])cc2c(=O)[nH]1 ZINC000416409282 420360630 /nfs/dbraw/zinc/36/06/30/420360630.db2.gz APYRMWHLAJKWKC-UHFFFAOYSA-N -1 1 307.325 1.617 20 0 DDADMM C[C@@H](c1ccccc1)[C@H](NC(=O)c1c([O-])cccc1F)C(N)=O ZINC000436834484 420375166 /nfs/dbraw/zinc/37/51/66/420375166.db2.gz ZQFFGUGFFKVAQG-BONVTDFDSA-N -1 1 316.332 1.919 20 0 DDADMM Cc1nnc(NC(=O)c2cc(F)cc(Br)c2[O-])[nH]1 ZINC000436860059 420378180 /nfs/dbraw/zinc/37/81/80/420378180.db2.gz VAGOPKFZYRLTAQ-UHFFFAOYSA-N -1 1 315.102 1.973 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cccn1C)c1ccsc1 ZINC000416464502 420381890 /nfs/dbraw/zinc/38/18/90/420381890.db2.gz HXCROYLWSAIQMM-LLVKDONJSA-N -1 1 314.388 1.279 20 0 DDADMM Cn1nccc1C(=O)Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1 ZINC000436917249 420384407 /nfs/dbraw/zinc/38/44/07/420384407.db2.gz WVXZTCBROXJTFH-UHFFFAOYSA-N -1 1 318.724 1.817 20 0 DDADMM Cn1nccc1C(=O)Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1 ZINC000436917249 420384412 /nfs/dbraw/zinc/38/44/12/420384412.db2.gz WVXZTCBROXJTFH-UHFFFAOYSA-N -1 1 318.724 1.817 20 0 DDADMM Cn1nc(CCNC(=O)C(=O)c2ccc([O-])cc2)c2ccccc21 ZINC000436913460 420384652 /nfs/dbraw/zinc/38/46/52/420384652.db2.gz GTQLGJRZXOKMEN-UHFFFAOYSA-N -1 1 323.352 1.821 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(C(F)(F)F)cc1O ZINC000437003059 420391263 /nfs/dbraw/zinc/39/12/63/420391263.db2.gz YVPGLEUVAVEYAL-UHFFFAOYSA-N -1 1 313.239 1.343 20 0 DDADMM CCn1cc([C@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)cn1 ZINC000456700545 420529623 /nfs/dbraw/zinc/52/96/23/420529623.db2.gz VYWUSQARMCVJAN-VIFPVBQESA-N -1 1 314.349 1.381 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2sccc2C(=O)OC)no1 ZINC000439242381 420500572 /nfs/dbraw/zinc/50/05/72/420500572.db2.gz ALEATDSQKIQVKM-UHFFFAOYSA-N -1 1 310.287 1.562 20 0 DDADMM Cn1ccc(CS(=O)(=O)c2ncc(-c3ccc(F)cc3)[n-]2)n1 ZINC000447484683 420775082 /nfs/dbraw/zinc/77/50/82/420775082.db2.gz VDAJZCSMWHHSGF-UHFFFAOYSA-N -1 1 320.349 1.923 20 0 DDADMM Cn1ccc(CS(=O)(=O)c2nc(-c3ccc(F)cc3)c[n-]2)n1 ZINC000447484683 420775084 /nfs/dbraw/zinc/77/50/84/420775084.db2.gz VDAJZCSMWHHSGF-UHFFFAOYSA-N -1 1 320.349 1.923 20 0 DDADMM COc1cc(C(=O)N[C@H](C)c2ncn(C)n2)cc(Cl)c1[O-] ZINC000442804543 420719127 /nfs/dbraw/zinc/71/91/27/420719127.db2.gz ZXJBJWUMCZBURZ-SSDOTTSWSA-N -1 1 310.741 1.674 20 0 DDADMM CC(C)CC1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC1 ZINC000442882863 420725179 /nfs/dbraw/zinc/72/51/79/420725179.db2.gz NPXUFIGKYVWEPG-UHFFFAOYSA-N -1 1 312.391 1.804 20 0 DDADMM COc1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)c(OC)c1 ZINC000493462577 420857158 /nfs/dbraw/zinc/85/71/58/420857158.db2.gz NYXSDQKHHGOGIN-SOFGYWHQSA-N -1 1 317.349 1.282 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)N=c1ncn(C(C)(C)C)[n-]1 ZINC000455125180 420972448 /nfs/dbraw/zinc/97/24/48/420972448.db2.gz AKWGNIFTLAGKND-GFCCVEGCSA-N -1 1 308.430 1.403 20 0 DDADMM CCOCCO[N-]C(=O)C1(CNC(=O)OC(C)(C)C)CC1 ZINC000495539640 421020092 /nfs/dbraw/zinc/02/00/92/421020092.db2.gz PKSVGTRQSFADEP-UHFFFAOYSA-N -1 1 302.371 1.376 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC000456320282 421140522 /nfs/dbraw/zinc/14/05/22/421140522.db2.gz LVFKANCNGAMDJT-NQBHXWOUSA-N -1 1 321.446 1.762 20 0 DDADMM CCc1nc([C@H](C)NC(=O)N=c2[n-]nc(C3CC3)s2)n[nH]1 ZINC000560256256 421240520 /nfs/dbraw/zinc/24/05/20/421240520.db2.gz IPKOYNHSEZCSPA-LURJTMIESA-N -1 1 307.383 1.401 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1c1ccccc1 ZINC000545885174 421267266 /nfs/dbraw/zinc/26/72/66/421267266.db2.gz NEJLWUXXLFSKGT-IINYFYTJSA-N -1 1 309.329 1.251 20 0 DDADMM CS(=O)(=O)[N-]c1ccccc1OCC(=O)Nc1cccnc1 ZINC000545922760 421272029 /nfs/dbraw/zinc/27/20/29/421272029.db2.gz QWADMWUYAIKVGL-UHFFFAOYSA-N -1 1 321.358 1.471 20 0 DDADMM Cc1nc(C(C)C)sc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000547488217 421337150 /nfs/dbraw/zinc/33/71/50/421337150.db2.gz MDSCQXKICVMVAD-MRVPVSSYSA-N -1 1 308.411 1.964 20 0 DDADMM C[C@H](CN(C)C(=O)C(=O)Nc1ccc(Cl)cc1)c1nn[n-]n1 ZINC000547488175 421337568 /nfs/dbraw/zinc/33/75/68/421337568.db2.gz ACAXSNINSRVWQI-MRVPVSSYSA-N -1 1 322.756 1.054 20 0 DDADMM COCc1nc(C(=O)[N-]c2nc3ccc(Cl)cn3n2)co1 ZINC000548192506 421410776 /nfs/dbraw/zinc/41/07/76/421410776.db2.gz IWIXWAKFOJDYJP-UHFFFAOYSA-N -1 1 307.697 1.769 20 0 DDADMM Cc1nc(C2([N-]S(=O)(=O)c3cscn3)CCCC2)no1 ZINC000514859826 421460997 /nfs/dbraw/zinc/46/09/97/421460997.db2.gz UDKVRFXICKOOSO-UHFFFAOYSA-N -1 1 314.392 1.582 20 0 DDADMM CNc1cc(Cl)ccc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000515497365 421505581 /nfs/dbraw/zinc/50/55/81/421505581.db2.gz QXLYTCDBJSMMLL-QMMMGPOBSA-N -1 1 308.773 1.771 20 0 DDADMM CC[C@H](C)c1nc([C@@H](C)NC(=O)N=c2ccc(OC)n[n-]2)n[nH]1 ZINC000551952143 421559319 /nfs/dbraw/zinc/55/93/19/421559319.db2.gz MGACOFGUNCSIDG-DTWKUNHWSA-N -1 1 319.369 1.421 20 0 DDADMM CCC[C@H](NC(=O)c1cc(Br)c[nH]1)c1nn[n-]n1 ZINC000532334695 421655811 /nfs/dbraw/zinc/65/58/11/421655811.db2.gz LRBUXEYNFVQDOI-ZETCQYMHSA-N -1 1 313.159 1.562 20 0 DDADMM Cc1ccc2nc(CNS(=O)(=O)CCCC(=O)[O-])[nH]c2c1 ZINC000519394399 421690678 /nfs/dbraw/zinc/69/06/78/421690678.db2.gz HJVBORBDDXBEDX-UHFFFAOYSA-N -1 1 311.363 1.156 20 0 DDADMM CCc1ccc(CN2CCN(c3nc(=N)[n-]s3)CC2)s1 ZINC000535825458 421711596 /nfs/dbraw/zinc/71/15/96/421711596.db2.gz AQLLTJFXKXDXQV-UHFFFAOYSA-N -1 1 309.464 1.897 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCO[C@H](c3ccnn3C)C2)c([O-])c1 ZINC000556678509 421720875 /nfs/dbraw/zinc/72/08/75/421720875.db2.gz NYOYPMYGYZKWMP-RISCZKNCSA-N -1 1 316.361 1.479 20 0 DDADMM COCc1ncc(C(=O)NC2(c3nn[n-]n3)CCCC2)s1 ZINC000571573170 421728056 /nfs/dbraw/zinc/72/80/56/421728056.db2.gz WLVAJQGVSFCKED-UHFFFAOYSA-N -1 1 308.367 1.002 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@H](C(=O)[O-])C1 ZINC000570818271 421671587 /nfs/dbraw/zinc/67/15/87/421671587.db2.gz PXOCDYFAOKUCFG-GWCFXTLKSA-N -1 1 319.317 1.374 20 0 DDADMM CO[C@@H]1C[C@H](C(=O)[O-])N(CCOc2ccc(SC)cc2)C1 ZINC000518990616 421676623 /nfs/dbraw/zinc/67/66/23/421676623.db2.gz LKJUGYFEKSBCIR-TZMCWYRMSA-N -1 1 311.403 1.961 20 0 DDADMM O=C(CCCOc1cccnc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538225655 421737352 /nfs/dbraw/zinc/73/73/52/421737352.db2.gz GLIMRKQZJPHXIM-LBPRGKRZSA-N -1 1 316.365 1.160 20 0 DDADMM CO[C@H](C(=O)NC1(c2nn[n-]n2)CCCC1)c1ccccc1 ZINC000541889694 421812534 /nfs/dbraw/zinc/81/25/34/421812534.db2.gz PVYCWSDBYALCPQ-LBPRGKRZSA-N -1 1 301.350 1.473 20 0 DDADMM CCc1oc(C(=O)OC)cc1S(=O)(=O)[N-]CC(C)(F)F ZINC000559133278 421830116 /nfs/dbraw/zinc/83/01/16/421830116.db2.gz LYJMAQTUYSLCDW-UHFFFAOYSA-N -1 1 311.306 1.562 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)N=c2ncn(C(C)(C)C)[n-]2)n[nH]1 ZINC000541504329 421795596 /nfs/dbraw/zinc/79/55/96/421795596.db2.gz MCJJVOBRWIXNTG-VIFPVBQESA-N -1 1 320.401 1.579 20 0 DDADMM Cn1cc([C@@H]2C[C@H](NC(=O)c3ncccc3[O-])CCO2)cn1 ZINC000572565774 421800293 /nfs/dbraw/zinc/80/02/93/421800293.db2.gz HLYBBGDYMYUAQM-YPMHNXCESA-N -1 1 302.334 1.171 20 0 DDADMM CCCCNC(=O)[C@H]1CCCN(Cc2cc(C(=O)[O-])nn2C)C1 ZINC000635301982 421892293 /nfs/dbraw/zinc/89/22/93/421892293.db2.gz VBROERAUBMKQHO-LBPRGKRZSA-N -1 1 322.409 1.247 20 0 DDADMM O=C([O-])Cn1cc(CN2CC[C@H](Cc3ccc(F)cc3)C2)nn1 ZINC000573009098 421896274 /nfs/dbraw/zinc/89/62/74/421896274.db2.gz PYTQQFRAFYTDJR-CYBMUJFWSA-N -1 1 318.352 1.566 20 0 DDADMM CCN(CCNC(=O)CCCc1nn[n-]n1)c1ccccc1C ZINC000635327449 421912281 /nfs/dbraw/zinc/91/22/81/421912281.db2.gz WLOXLIZGQBMZHN-UHFFFAOYSA-N -1 1 316.409 1.474 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H]1CCc2nnnn2CC1 ZINC000633460408 421917072 /nfs/dbraw/zinc/91/70/72/421917072.db2.gz LVYKCYPBOMKSSC-JTQLQIEISA-N -1 1 321.768 1.096 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC2(CC1)C(F)(F)C2(F)F ZINC000633567197 421973461 /nfs/dbraw/zinc/97/34/61/421973461.db2.gz LOEJQHBUOPCNOL-UHFFFAOYSA-N -1 1 307.251 1.025 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NCc1ccc(C(=O)[O-])cc1F ZINC000630209495 421961650 /nfs/dbraw/zinc/96/16/50/421961650.db2.gz CYNGXTJDNAJGRI-VIFPVBQESA-N -1 1 305.309 1.742 20 0 DDADMM Cc1cc(C)cc(OCCNC(=O)CCCc2nn[n-]n2)c1 ZINC000635412824 421968119 /nfs/dbraw/zinc/96/81/19/421968119.db2.gz BXUOADCDEJGPMQ-UHFFFAOYSA-N -1 1 303.366 1.334 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2C[C@H]2c2ccsc2)CC1 ZINC000630218109 421968603 /nfs/dbraw/zinc/96/86/03/421968603.db2.gz CIZPRBIPXKYVNJ-KWCYVHTRSA-N -1 1 322.430 1.859 20 0 DDADMM C[C@H](Cc1ccco1)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630219905 421970131 /nfs/dbraw/zinc/97/01/31/421970131.db2.gz KDTATDWCLGLCEN-CHWSQXEVSA-N -1 1 308.378 1.466 20 0 DDADMM CC(C)Cn1cc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cn1 ZINC000630220949 421970393 /nfs/dbraw/zinc/97/03/93/421970393.db2.gz SIKLKJKGGTUPDQ-CYBMUJFWSA-N -1 1 322.409 1.160 20 0 DDADMM C[C@@H](O)C[C@@H](C)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632019253 422026414 /nfs/dbraw/zinc/02/64/14/422026414.db2.gz SFBURISWNNFHEC-RNFRBKRXSA-N -1 1 315.317 1.114 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)nc1 ZINC000630227957 421978175 /nfs/dbraw/zinc/97/81/75/421978175.db2.gz XDZQZPDDGMYYRG-UHFFFAOYSA-N -1 1 319.405 1.826 20 0 DDADMM Cc1ccccc1C(C)(C)CNC(=O)CCCc1nn[n-]n1 ZINC000635467600 422017624 /nfs/dbraw/zinc/01/76/24/422017624.db2.gz OQYOXXQJCOZUAK-UHFFFAOYSA-N -1 1 301.394 1.925 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](CO)c2ccc(F)cc2)sn1 ZINC000632014771 422022470 /nfs/dbraw/zinc/02/24/70/422022470.db2.gz RJGMMIXMMLMQQG-NSHDSACASA-N -1 1 316.379 1.603 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(O[C@@H]2CC2(F)F)cc1 ZINC000633645310 422022570 /nfs/dbraw/zinc/02/25/70/422022570.db2.gz CKPYLVGKOQMXID-SNVBAGLBSA-N -1 1 309.276 1.557 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@]1(C)CCc2ccccc21 ZINC000633691060 422053390 /nfs/dbraw/zinc/05/33/90/422053390.db2.gz KQRFKDQFVBAHFE-KRWDZBQOSA-N -1 1 312.373 1.342 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1sccc1C(C)C ZINC000633692807 422054090 /nfs/dbraw/zinc/05/40/90/422054090.db2.gz UJFOSUHVCXHOPV-UHFFFAOYSA-N -1 1 306.391 1.937 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@@H]1CCC1(F)F)c1ccco1 ZINC000632060269 422058202 /nfs/dbraw/zinc/05/82/02/422058202.db2.gz HNVSDWKQYLAITA-VHSXEESVSA-N -1 1 309.334 1.932 20 0 DDADMM CC(C)c1cnc(SCC(=O)Nc2nnn[n-]2)n1C(C)C ZINC000574660476 422155405 /nfs/dbraw/zinc/15/54/05/422155405.db2.gz GSBHFFJGQZMRQC-UHFFFAOYSA-N -1 1 309.399 1.831 20 0 DDADMM CC(C)c1cnc(SCC(=O)Nc2nn[n-]n2)n1C(C)C ZINC000574660476 422155410 /nfs/dbraw/zinc/15/54/10/422155410.db2.gz GSBHFFJGQZMRQC-UHFFFAOYSA-N -1 1 309.399 1.831 20 0 DDADMM C[C@H](CNC(=O)CCCc1nn[n-]n1)c1ccc(F)cc1F ZINC000635627257 422182304 /nfs/dbraw/zinc/18/23/04/422182304.db2.gz PNONVBBPBYVDTG-SECBINFHSA-N -1 1 309.320 1.721 20 0 DDADMM CCc1ccc([C@H]2COCCN2C(=O)CCCc2nn[n-]n2)o1 ZINC000635585297 422137145 /nfs/dbraw/zinc/13/71/45/422137145.db2.gz VRPKCYTWKIISPA-GFCCVEGCSA-N -1 1 319.365 1.278 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@@H]2C[C@H]1CS2 ZINC000575226884 422276242 /nfs/dbraw/zinc/27/62/42/422276242.db2.gz IFURBXXHLXLQIZ-QWRGUYRKSA-N -1 1 318.402 1.311 20 0 DDADMM COc1ccccc1CN(C(=O)CCc1nn[n-]n1)C1CC1 ZINC000630781484 422332099 /nfs/dbraw/zinc/33/20/99/422332099.db2.gz LVXRQGWZEPEWMS-UHFFFAOYSA-N -1 1 301.350 1.332 20 0 DDADMM C[C@@H]1CC[C@@H](C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)O1 ZINC000632433145 422340737 /nfs/dbraw/zinc/34/07/37/422340737.db2.gz LZDNXOOMMVKLCX-RQJHMYQMSA-N -1 1 313.301 1.274 20 0 DDADMM CC[C@H]1C[C@@H](NS(=O)(=O)c2cc(OC)ccc2[O-])CCO1 ZINC000632474936 422375010 /nfs/dbraw/zinc/37/50/10/422375010.db2.gz OOPFFQUNMSJPHJ-QWRGUYRKSA-N -1 1 315.391 1.637 20 0 DDADMM C[C@]1(c2ccc(F)cc2)CCN(C(=O)CCCc2nn[n-]n2)C1 ZINC000635885656 422441011 /nfs/dbraw/zinc/44/10/11/422441011.db2.gz FWSRRFVLSJHFQZ-INIZCTEOSA-N -1 1 317.368 1.852 20 0 DDADMM CCOc1ccc([C@@H]2C[C@H]2NC(=O)CCCc2nn[n-]n2)cc1 ZINC000635887018 422441692 /nfs/dbraw/zinc/44/16/92/422441692.db2.gz GDEDHZKNPQGBBB-UONOGXRCSA-N -1 1 315.377 1.593 20 0 DDADMM Cn1cnnc1S(=O)(=O)[N-]CC(C)(C)CC(F)(F)F ZINC000632579906 422449937 /nfs/dbraw/zinc/44/99/37/422449937.db2.gz FSAJSNYBPIOWAC-UHFFFAOYSA-N -1 1 300.306 1.072 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@@H]1COCCN1CC(F)(F)F ZINC000628959988 422418857 /nfs/dbraw/zinc/41/88/57/422418857.db2.gz OUDVFCQOIGMGPP-JTQLQIEISA-N -1 1 322.258 1.733 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](C)C(=O)[C@H](C)C2)c1 ZINC000632554017 422432322 /nfs/dbraw/zinc/43/23/22/422432322.db2.gz KFKBHGWCTPMJFT-NXEZZACHSA-N -1 1 313.375 1.246 20 0 DDADMM CCCc1cc(=O)n(-c2ccc(S(=O)(=O)N(C)C)cc2)[n-]1 ZINC000634628878 422514399 /nfs/dbraw/zinc/51/43/99/422514399.db2.gz HVZZPMGZXMTRKY-UHFFFAOYSA-N -1 1 309.391 1.830 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2C[C@@H](OC)C2)c1 ZINC000632602121 422465248 /nfs/dbraw/zinc/46/52/48/422465248.db2.gz ZAZNARLFOXPKAA-JGZJWPJOSA-N -1 1 301.364 1.104 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC2(CCC2)[C@H]1[C@H]1CCCO1 ZINC000635944668 422497179 /nfs/dbraw/zinc/49/71/79/422497179.db2.gz ARTFWFBDFCBPGM-BXUZGUMPSA-N -1 1 305.382 1.083 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@H]1CSc2ccccc21 ZINC000635972743 422525910 /nfs/dbraw/zinc/52/59/10/422525910.db2.gz FURFPJNTUUJBQD-JTQLQIEISA-N -1 1 303.391 1.528 20 0 DDADMM CN1CCC[C@H](NC(=O)CCCc2nn[n-]n2)c2ccccc21 ZINC000636002163 422556827 /nfs/dbraw/zinc/55/68/27/422556827.db2.gz ZOXMAESOBRPHHX-ZDUSSCGKSA-N -1 1 314.393 1.610 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@H]1CCCN(c2ccccc2)C1 ZINC000632796309 422585488 /nfs/dbraw/zinc/58/54/88/422585488.db2.gz TULZTGPIEWIAIC-CYBMUJFWSA-N -1 1 314.393 1.165 20 0 DDADMM COCC[C@]1([N-]S(=O)(=O)c2nc(C)c(C)s2)CCOC1 ZINC000578616263 422607489 /nfs/dbraw/zinc/60/74/89/422607489.db2.gz VPJBXDZUYHXQKT-LBPRGKRZSA-N -1 1 320.436 1.234 20 0 DDADMM O=C([O-])[C@@H]1CCN(Cc2cn(CC3CC3)nn2)[C@H]2CCC[C@H]21 ZINC000629375218 422635806 /nfs/dbraw/zinc/63/58/06/422635806.db2.gz YIIPLFNPRSFZIH-ZNMIVQPWSA-N -1 1 304.394 1.763 20 0 DDADMM COC(=O)c1cncc([N-]S(=O)(=O)C[C@@H](OC)C2CC2)c1 ZINC000578769303 422643324 /nfs/dbraw/zinc/64/33/24/422643324.db2.gz PTFKOJHBJZIYLQ-GFCCVEGCSA-N -1 1 314.363 1.035 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2ccc3c(c2)C(=O)NCC3)CCC1 ZINC000634788688 422647897 /nfs/dbraw/zinc/64/78/97/422647897.db2.gz FBDMLNXEXVJCNJ-UHFFFAOYSA-N -1 1 324.402 1.283 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2ccc(-c3nc[nH]n3)cc2)CCC1 ZINC000634788741 422648142 /nfs/dbraw/zinc/64/81/42/422648142.db2.gz HIABNUBIKNJSSG-UHFFFAOYSA-N -1 1 322.390 1.783 20 0 DDADMM C[C@@H]1CN(C(=O)CCCc2nn[n-]n2)CCc2ccc(F)cc21 ZINC000636128465 422662657 /nfs/dbraw/zinc/66/26/57/422662657.db2.gz SKBYSFGCKKAXOR-LLVKDONJSA-N -1 1 317.368 1.850 20 0 DDADMM CC[C@@H](C)CS(=O)(=O)[N-][C@@H](C(=O)OC)c1ccsc1 ZINC000184256188 263386011 /nfs/dbraw/zinc/38/60/11/263386011.db2.gz UJHTWRHOGUDSAU-MWLCHTKSSA-N -1 1 305.421 1.928 20 0 DDADMM COc1cccnc1CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000646113687 423344708 /nfs/dbraw/zinc/34/47/08/423344708.db2.gz CAJYVGSOKWGAJR-UHFFFAOYSA-N -1 1 318.333 1.128 20 0 DDADMM O=C(NCc1ccncn1)c1cc(Br)ccc1[O-] ZINC000236609905 263554042 /nfs/dbraw/zinc/55/40/42/263554042.db2.gz MJVRGOFWDXUFSQ-UHFFFAOYSA-N -1 1 308.135 1.875 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)ccc1O ZINC000644043116 423459809 /nfs/dbraw/zinc/45/98/09/423459809.db2.gz BHULBVQVIPAKCZ-LBPRGKRZSA-N -1 1 301.350 1.488 20 0 DDADMM C[C@@]12CCC(=O)N1[C@@H](C(=O)Nc1c([O-])cccc1F)CS2 ZINC000648841123 423493799 /nfs/dbraw/zinc/49/37/99/423493799.db2.gz BYESEVCPOVAKLN-YMTOWFKASA-N -1 1 310.350 1.924 20 0 DDADMM C=C/C(C)=C/CC(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000644641319 423739402 /nfs/dbraw/zinc/73/94/02/423739402.db2.gz ZZGMBGFBEXVSQN-BJMVGYQFSA-N -1 1 310.375 1.761 20 0 DDADMM CN(C)c1ccc(CN2CCC[C@@H](c3n[n-]c(=N)o3)C2)cn1 ZINC000639820372 423757369 /nfs/dbraw/zinc/75/73/69/423757369.db2.gz BQYQFXUKWZTZAT-GFCCVEGCSA-N -1 1 302.382 1.323 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2Cc3ccccc3N(C)C2)sn1 ZINC000641633816 423780100 /nfs/dbraw/zinc/78/01/00/423780100.db2.gz YCHGMOADSACSRO-GFCCVEGCSA-N -1 1 323.443 1.791 20 0 DDADMM COc1cc(C(=O)NC[C@H]2CCCNC2=O)cc(Cl)c1[O-] ZINC000665732756 423783990 /nfs/dbraw/zinc/78/39/90/423783990.db2.gz HZEWPXFVHMEZSY-MRVPVSSYSA-N -1 1 312.753 1.310 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cccc3c2CN(C)C3=O)CC1 ZINC000641691789 423870120 /nfs/dbraw/zinc/87/01/20/423870120.db2.gz QJVQSGSHCNYJRN-UHFFFAOYSA-N -1 1 310.375 1.193 20 0 DDADMM C[C@@H](NC(=O)NC[C@@](C)(C(=O)[O-])c1ccccc1)c1nnc[nH]1 ZINC000652112582 423871738 /nfs/dbraw/zinc/87/17/38/423871738.db2.gz FCYRRHINCWLUCY-MEBBXXQBSA-N -1 1 317.349 1.207 20 0 DDADMM C[C@@H](C(=O)N1C[C@@H](C)[C@H](C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000647250799 423910302 /nfs/dbraw/zinc/91/03/02/423910302.db2.gz DDIIUZRLLNNBNR-VNHYZAJKSA-N -1 1 304.390 1.686 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000647261215 423920836 /nfs/dbraw/zinc/92/08/36/423920836.db2.gz MZBAZTAVTWSAAD-NEPJUHHUSA-N -1 1 300.318 1.455 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCC1)c1ncccc1C(F)(F)F ZINC000657053503 424044047 /nfs/dbraw/zinc/04/40/47/424044047.db2.gz GEGGMMJFKZRWIF-JTQLQIEISA-N -1 1 324.324 1.540 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC1(C)CC=CC1 ZINC000655227194 424314938 /nfs/dbraw/zinc/31/49/38/424314938.db2.gz AUGYOGAAFVPTRI-UHFFFAOYSA-N -1 1 324.384 1.908 20 0 DDADMM CNC(=O)[C@@]1(C)CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640338946 424359635 /nfs/dbraw/zinc/35/96/35/424359635.db2.gz INTRNAZHGBLLOM-HNNXBMFYSA-N -1 1 318.402 1.708 20 0 DDADMM CON1CCC(NC(=O)N=c2[n-]sc3ccccc32)CC1 ZINC000640337777 424359968 /nfs/dbraw/zinc/35/99/68/424359968.db2.gz KVLDJMBMXVDMJE-UHFFFAOYSA-N -1 1 306.391 1.866 20 0 DDADMM C[C@@]1(C(N)=O)CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640339248 424360329 /nfs/dbraw/zinc/36/03/29/424360329.db2.gz OOEJCKZDCMJUIP-CQSZACIVSA-N -1 1 304.375 1.447 20 0 DDADMM C[C@H]1CO[C@H](CO)CN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640341160 424362671 /nfs/dbraw/zinc/36/26/71/424362671.db2.gz DWIIKKNBIUOYIF-UWVGGRQHSA-N -1 1 307.375 1.332 20 0 DDADMM C[C@H](NC(=O)N=c1[n-]sc2ccccc21)C(=O)N1CCCC1 ZINC000640340681 424363664 /nfs/dbraw/zinc/36/36/64/424363664.db2.gz XPIBRRIKEOHWLC-JTQLQIEISA-N -1 1 318.402 1.851 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@H](O)[C@H]1CCCO1 ZINC000640343822 424367531 /nfs/dbraw/zinc/36/75/31/424367531.db2.gz SYHYQHNRDZCKPL-WDEREUQCSA-N -1 1 307.375 1.380 20 0 DDADMM COc1ccc2c(c1)CN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C2 ZINC000655316845 424389627 /nfs/dbraw/zinc/38/96/27/424389627.db2.gz CXBGIORDBUWHCE-UHFFFAOYSA-N -1 1 317.301 1.061 20 0 DDADMM COc1ccc(CN(C2CC2)[C@@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662202146 424464928 /nfs/dbraw/zinc/46/49/28/424464928.db2.gz WSQNAMDKZUFGNQ-OAHLLOKOSA-N -1 1 318.373 1.345 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC[C@H]2c2ccc(O)cc2)C1=O ZINC000662200507 424466556 /nfs/dbraw/zinc/46/65/56/424466556.db2.gz BZKSVGKSYMFHPF-KBPBESRZSA-N -1 1 304.346 1.215 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC[C@@H]2c2ccc(O)cc2)C1=O ZINC000662200657 424467550 /nfs/dbraw/zinc/46/75/50/424467550.db2.gz BZKSVGKSYMFHPF-ZIAGYGMSSA-N -1 1 304.346 1.215 20 0 DDADMM CC1(C)[C@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)C1(F)F ZINC000664423217 424584365 /nfs/dbraw/zinc/58/43/65/424584365.db2.gz TVANVEZRCRHYHY-JTQLQIEISA-N -1 1 307.300 1.944 20 0 DDADMM Cc1ccc([N-]S(C)(=O)=O)c(C(=O)Nc2cnn(C)c2)c1 ZINC000341558207 271119249 /nfs/dbraw/zinc/11/92/49/271119249.db2.gz COZLXIMGIAGISI-UHFFFAOYSA-N -1 1 308.363 1.352 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCCC1CCCCC1 ZINC000343172964 271672615 /nfs/dbraw/zinc/67/26/15/271672615.db2.gz YGUIAUPMHYQSGG-UHFFFAOYSA-N -1 1 305.378 1.604 20 0 DDADMM CCOCCOCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000344659971 272082995 /nfs/dbraw/zinc/08/29/95/272082995.db2.gz NMOTYLAWLSWFLQ-UHFFFAOYSA-N -1 1 305.334 1.774 20 0 DDADMM NC(=O)NC(=O)CCCSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000345365968 272232026 /nfs/dbraw/zinc/23/20/26/272232026.db2.gz KTPRWMGIVVEPNQ-UHFFFAOYSA-N -1 1 324.284 1.268 20 0 DDADMM COc1ccc2[nH]c(C(=O)NC(C)(C)c3nn[n-]n3)cc2c1 ZINC000345967087 272378119 /nfs/dbraw/zinc/37/81/19/272378119.db2.gz CTWLCMXHMUOMAU-UHFFFAOYSA-N -1 1 300.322 1.355 20 0 DDADMM C[C@@H]1OCC[C@]1(O)CNC(=O)c1cc2ccccc2cc1[O-] ZINC000279390823 279055418 /nfs/dbraw/zinc/05/54/18/279055418.db2.gz GDGQBZRTPMGZEW-GTNSWQLSSA-N -1 1 301.342 1.815 20 0 DDADMM CCc1ccc([C@H](C)NC(=O)CS(=O)(=O)c2nc[n-]n2)cc1 ZINC000086169572 281015717 /nfs/dbraw/zinc/01/57/17/281015717.db2.gz JOIRTHANCYINKT-JTQLQIEISA-N -1 1 322.390 1.018 20 0 DDADMM CC[C@@H](CCO)CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000125477038 281209433 /nfs/dbraw/zinc/20/94/33/281209433.db2.gz QDKCLFZDSUJHLR-NSHDSACASA-N -1 1 303.362 1.782 20 0 DDADMM CC[C@@H](CCO)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000125477038 281209434 /nfs/dbraw/zinc/20/94/34/281209434.db2.gz QDKCLFZDSUJHLR-NSHDSACASA-N -1 1 303.362 1.782 20 0 DDADMM C[C@@H]1CO[C@@H](CO)CN1C(=O)c1cc2ccccc2cc1[O-] ZINC000129260079 281338397 /nfs/dbraw/zinc/33/83/97/281338397.db2.gz XTEVGNCEGBBLCF-BXUZGUMPSA-N -1 1 301.342 1.767 20 0 DDADMM COCc1n[n-]c(=NCCCN2C[C@H](C)O[C@@H](C)C2)s1 ZINC000357023471 298945136 /nfs/dbraw/zinc/94/51/36/298945136.db2.gz CSFOCKHEQOKRJS-QWRGUYRKSA-N -1 1 300.428 1.018 20 0 DDADMM C[C@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@]2(CCCOC2)O1 ZINC000408410398 300103768 /nfs/dbraw/zinc/10/37/68/300103768.db2.gz PRDCIRCRNNPIJR-SJCJKPOMSA-N -1 1 319.357 1.371 20 0 DDADMM CC[C@@H](CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363517465 300279420 /nfs/dbraw/zinc/27/94/20/300279420.db2.gz IWBFGJQUMGKQMM-JSGCOSHPSA-N -1 1 315.377 1.684 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1OCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000364171856 300353055 /nfs/dbraw/zinc/35/30/55/300353055.db2.gz WCNSNIUEXWBPFN-FRRDWIJNSA-N -1 1 323.397 1.085 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCc2sccc2C1 ZINC000368898835 301103896 /nfs/dbraw/zinc/10/38/96/301103896.db2.gz DLHZXJZJCYUARD-UHFFFAOYSA-N -1 1 317.370 1.163 20 0 DDADMM CC1CCC(OCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)CC1 ZINC000369143092 301136487 /nfs/dbraw/zinc/13/64/87/301136487.db2.gz KZBMTJOXUOJJTL-CPCZMJQVSA-N -1 1 307.398 1.501 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@](C)(c2ccccc2)C1 ZINC000377839828 302240851 /nfs/dbraw/zinc/24/08/51/302240851.db2.gz MUJSAHIQJQMLGF-HNNXBMFYSA-N -1 1 321.402 1.748 20 0 DDADMM COc1ccc([C@H]2C[C@H](C)N(CC(=O)NCC(=O)[O-])C2)cc1 ZINC000378577707 302338620 /nfs/dbraw/zinc/33/86/20/302338620.db2.gz JYRNZOYJOMUIID-AAEUAGOBSA-N -1 1 306.362 1.074 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000408189920 302560781 /nfs/dbraw/zinc/56/07/81/302560781.db2.gz ZENLVYODTJXHOV-TUAOUCFPSA-N -1 1 319.405 1.631 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC2(CCCC2)[C@H]1C(C)C ZINC000333044327 302864838 /nfs/dbraw/zinc/86/48/38/302864838.db2.gz FOEWIHBFMLPKHY-GFCCVEGCSA-N -1 1 313.423 1.985 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1[C@H](C)[C@H]1C1CC1 ZINC000528565854 303045548 /nfs/dbraw/zinc/04/55/48/303045548.db2.gz BUBIUXUYIAYEEY-HUFVSSLBSA-N -1 1 314.389 1.960 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1C[C@H]1c1csc(Br)c1 ZINC000528598029 303047744 /nfs/dbraw/zinc/04/77/44/303047744.db2.gz FFZUFPHQHHACQL-NTSWFWBYSA-N -1 1 314.168 1.766 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1C[C@H]1c1csc(Br)c1 ZINC000528598029 303047745 /nfs/dbraw/zinc/04/77/45/303047745.db2.gz FFZUFPHQHHACQL-NTSWFWBYSA-N -1 1 314.168 1.766 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@H]3CCCC34CC4)CC2)s[n-]1 ZINC000528810408 303065816 /nfs/dbraw/zinc/06/58/16/303065816.db2.gz HAUDTDNSTDPGNO-SNVBAGLBSA-N -1 1 307.423 1.180 20 0 DDADMM C[C@H](Cn1cccn1)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000530770067 303211168 /nfs/dbraw/zinc/21/11/68/303211168.db2.gz XFGVVMBEZUWOQT-LLVKDONJSA-N -1 1 305.359 1.996 20 0 DDADMM CCC[C@H](NC(=O)Cc1cn(C)c2ccccc12)c1nn[n-]n1 ZINC000531436796 303245039 /nfs/dbraw/zinc/24/50/39/303245039.db2.gz DVGUSFLXBVRCIO-ZDUSSCGKSA-N -1 1 312.377 1.892 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc([C@H](C)C2CC2)no1 ZINC000357507814 306890144 /nfs/dbraw/zinc/89/01/44/306890144.db2.gz GAGXYONTGXHLMR-SECBINFHSA-N -1 1 303.384 1.039 20 0 DDADMM CC(C)c1noc(CCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000533113777 303309581 /nfs/dbraw/zinc/30/95/81/303309581.db2.gz DSNGKVVAYHKNNE-JTQLQIEISA-N -1 1 319.369 1.045 20 0 DDADMM O=C(Nc1nc2ccc(C(F)(F)F)cc2[nH]1)c1n[nH]c(=O)[n-]1 ZINC000533524654 303320176 /nfs/dbraw/zinc/32/01/76/303320176.db2.gz JWCQOWOCTAWYHP-UHFFFAOYSA-N -1 1 312.211 1.658 20 0 DDADMM O=C(c1noc2c1CCCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000533577771 303321387 /nfs/dbraw/zinc/32/13/87/303321387.db2.gz FCXDYVYDGUGPMZ-VIFPVBQESA-N -1 1 302.338 1.086 20 0 DDADMM COC[C@](C)(O)C(=O)N=c1cc(-c2ccc(Cl)s2)[n-][nH]1 ZINC000535290660 303345845 /nfs/dbraw/zinc/34/58/45/303345845.db2.gz ZPPZXPHRBURYEN-LBPRGKRZSA-N -1 1 315.782 1.549 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)N=c2ccc(C3CC3)n[n-]2)n[nH]1 ZINC000535671839 303347410 /nfs/dbraw/zinc/34/74/10/303347410.db2.gz LFPQWWJBSAZWIY-SECBINFHSA-N -1 1 315.381 1.900 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C2CC2)o1 ZINC000535735241 303350480 /nfs/dbraw/zinc/35/04/80/303350480.db2.gz WYUPSCYEZMLVQW-UHFFFAOYSA-N -1 1 301.364 1.923 20 0 DDADMM Cc1ccn2ncc(C(=O)NC3(c4nn[n-]n4)CCCC3)c2c1 ZINC000536583821 303364797 /nfs/dbraw/zinc/36/47/97/303364797.db2.gz VNCHNGYCRQMKOF-UHFFFAOYSA-N -1 1 311.349 1.355 20 0 DDADMM O=C(COC[C@@H]1CCCO1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000554007160 303686299 /nfs/dbraw/zinc/68/62/99/303686299.db2.gz UHSLUQIKMATCGQ-ZDUSSCGKSA-N -1 1 317.345 1.916 20 0 DDADMM CC(=O)Nc1ccccc1CNC(=O)C(=O)c1ccc([O-])cc1 ZINC000558557949 303774465 /nfs/dbraw/zinc/77/44/65/303774465.db2.gz NKYZSRFJDMOXCV-UHFFFAOYSA-N -1 1 312.325 1.850 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2occc2C)c1 ZINC000359693713 306960223 /nfs/dbraw/zinc/96/02/23/306960223.db2.gz PPCPNUTWFLSNPE-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM O=C(N[C@@H]1CN(c2ccc(F)cc2)C1=O)c1cncc([O-])c1 ZINC000363680649 307033380 /nfs/dbraw/zinc/03/33/80/307033380.db2.gz YILPLLWHBZKWFV-CYBMUJFWSA-N -1 1 301.277 1.072 20 0 DDADMM CC(C)(Cc1ccccc1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000365327684 307064802 /nfs/dbraw/zinc/06/48/02/307064802.db2.gz QGQLIHLVKSPWSJ-CYBMUJFWSA-N -1 1 315.377 1.369 20 0 DDADMM Nc1nccc([C@H]2CCCN(C(=O)c3cc(F)ccc3[O-])C2)n1 ZINC000367122247 307086374 /nfs/dbraw/zinc/08/63/74/307086374.db2.gz YLANPLCZEQFBOQ-JTQLQIEISA-N -1 1 316.336 1.754 20 0 DDADMM CS(=O)(=O)Nc1c[nH]nc1[C@@H]1CCC[N@@H+](CCCCF)C1 ZINC000367150415 307087228 /nfs/dbraw/zinc/08/72/28/307087228.db2.gz FJNZJPGTQDNXFD-LLVKDONJSA-N -1 1 318.418 1.710 20 0 DDADMM O=C(Cc1coc2ccccc12)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370743964 307135092 /nfs/dbraw/zinc/13/50/92/307135092.db2.gz ZHSKRKMRXBRAPU-ZDUSSCGKSA-N -1 1 313.317 1.089 20 0 DDADMM COC(=O)[C@]12CCC[C@H]1CN(C(=O)c1cc(F)ccc1[O-])C2 ZINC000371736016 307157157 /nfs/dbraw/zinc/15/71/57/307157157.db2.gz VJGPEDVPSHEJEE-QFYYESIMSA-N -1 1 307.321 1.947 20 0 DDADMM C[C@@H](CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000377596035 307274276 /nfs/dbraw/zinc/27/42/76/307274276.db2.gz UODNFHALWWGFDO-AAEUAGOBSA-N -1 1 301.350 1.293 20 0 DDADMM CCOC(=O)NCC(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000495846514 307320365 /nfs/dbraw/zinc/32/03/65/307320365.db2.gz CNEHHTCOJWZJEC-UHFFFAOYSA-N -1 1 309.318 1.427 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cccc(O)c2F)co1 ZINC000535658451 307657718 /nfs/dbraw/zinc/65/77/18/307657718.db2.gz PVHROJUBUHJENP-UHFFFAOYSA-N -1 1 314.294 1.285 20 0 DDADMM NC(=O)CCCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000539372358 307679848 /nfs/dbraw/zinc/67/98/48/307679848.db2.gz CBMWGMNHZQJKBP-UHFFFAOYSA-N -1 1 310.297 1.038 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccc(F)cc1F)C1CC1 ZINC000543725955 307710509 /nfs/dbraw/zinc/71/05/09/307710509.db2.gz SQPOIIOTFGIDRC-LBPRGKRZSA-N -1 1 319.329 1.336 20 0 DDADMM CC(C)(C)[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C(F)(F)F ZINC000546089349 307725665 /nfs/dbraw/zinc/72/56/65/307725665.db2.gz XZMACMWALINLQM-SECBINFHSA-N -1 1 317.271 1.124 20 0 DDADMM CN1CCOC[C@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000551752343 307806689 /nfs/dbraw/zinc/80/66/89/307806689.db2.gz MNKUXVHJQLFQGR-ZDUSSCGKSA-N -1 1 302.334 1.051 20 0 DDADMM O=S(=O)([N-]CCC1CC(O)C1)c1nc2ccccc2s1 ZINC000564914023 308011487 /nfs/dbraw/zinc/01/14/87/308011487.db2.gz RPWUZYGDPUJQKP-UHFFFAOYSA-N -1 1 312.416 1.736 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@H]1c1ccsc1 ZINC000566944183 308066763 /nfs/dbraw/zinc/06/67/63/308066763.db2.gz AZHLKQMFIWOPLP-NSHDSACASA-N -1 1 315.358 1.456 20 0 DDADMM O=C([O-])c1cnoc1CN1CC[C@](O)(Cc2ccc(F)cc2)C1 ZINC000569869085 308152049 /nfs/dbraw/zinc/15/20/49/308152049.db2.gz NTQHBRZJGLRNNW-INIZCTEOSA-N -1 1 320.320 1.691 20 0 DDADMM Cn1[nH]c(C[N@@H+]2C[C@@H]3CCC[C@@]3(C(=O)OC(C)(C)C)C2)nc1=O ZINC000573165955 308230781 /nfs/dbraw/zinc/23/07/81/308230781.db2.gz FMEDQIUCHBJHCZ-MEDUHNTESA-N -1 1 322.409 1.052 20 0 DDADMM C[C@@H]1CN(c2c(C(=O)[O-])c[nH+]c3ccccc32)CC[N@H+]1CCO ZINC000576877922 308346295 /nfs/dbraw/zinc/34/62/95/308346295.db2.gz PDRTUZNHYNJVEN-GFCCVEGCSA-N -1 1 315.373 1.436 20 0 DDADMM COC(=O)c1cccc(NC(=O)CN(C)C[C@H](C)C(=O)[O-])c1 ZINC000576880329 308346463 /nfs/dbraw/zinc/34/64/63/308346463.db2.gz UPVLLGIQZWQZRJ-JTQLQIEISA-N -1 1 308.334 1.064 20 0 DDADMM CC(C)[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C1(CO)CCC1 ZINC000518397274 325793408 /nfs/dbraw/zinc/79/34/08/325793408.db2.gz MECUGRDJEKDTGX-HNNXBMFYSA-N -1 1 305.374 1.878 20 0 DDADMM C[C@@H]1CCN(c2ccc(=NCc3ccccc3F)[n-]n2)[C@H]1CO ZINC000582775539 337147761 /nfs/dbraw/zinc/14/77/61/337147761.db2.gz XJSKSLLXZKGGGO-DOMZBBRYSA-N -1 1 316.380 1.857 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@H]2CN(c3ccccc3)C[C@@H]2C1 ZINC000582916974 337217838 /nfs/dbraw/zinc/21/78/38/337217838.db2.gz ZYHIKQFULQZPBM-HUUCEWRRSA-N -1 1 309.369 1.996 20 0 DDADMM COc1ncc(CN(CCC(=O)[O-])C[C@@H]2CCCO2)c(C)n1 ZINC000582970838 337224431 /nfs/dbraw/zinc/22/44/31/337224431.db2.gz SUTBBRVENFCAPB-ZDUSSCGKSA-N -1 1 309.366 1.249 20 0 DDADMM CCOC(=O)c1c(C)[nH]nc1CSc1n[n-]c(=NC)s1 ZINC000583062887 337234543 /nfs/dbraw/zinc/23/45/43/337234543.db2.gz AVKKIGOXPFABEO-UHFFFAOYSA-N -1 1 313.408 1.502 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@H](CO)CC3)cnc2n1 ZINC000452167297 484154941 /nfs/dbraw/zinc/15/49/41/484154941.db2.gz KQXUCOHOYNVMBK-LBPRGKRZSA-N -1 1 315.373 1.878 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@H](CO)CC3)c[n-]c2n1 ZINC000452167297 484154947 /nfs/dbraw/zinc/15/49/47/484154947.db2.gz KQXUCOHOYNVMBK-LBPRGKRZSA-N -1 1 315.373 1.878 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000663121527 484685112 /nfs/dbraw/zinc/68/51/12/484685112.db2.gz KRHJUDSHKXTNDV-SUMWQHHRSA-N -1 1 324.421 1.201 20 0 DDADMM NC(=O)C[C@H]1CCCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000667617705 484698513 /nfs/dbraw/zinc/69/85/13/484698513.db2.gz ZQLVKBPYIOVNQZ-GFCCVEGCSA-N -1 1 313.357 1.811 20 0 DDADMM C[C@@]1(C(=O)[O-])CCC[C@@H]1NC(=O)Cc1[nH]nc2ccccc21 ZINC000659237833 484704131 /nfs/dbraw/zinc/70/41/31/484704131.db2.gz QXSQJJMVEBTFNF-XJKSGUPXSA-N -1 1 301.346 1.865 20 0 DDADMM O=C([O-])[C@@H](CCF)NC(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000663272657 484777852 /nfs/dbraw/zinc/77/78/52/484777852.db2.gz ZCZHWTCRQFLJBH-UONOGXRCSA-N -1 1 323.368 1.373 20 0 DDADMM CCc1nc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)cn1CC1CC1 ZINC000663300506 484793483 /nfs/dbraw/zinc/79/34/83/484793483.db2.gz ZRZCGBJGGFSEPN-UHFFFAOYSA-N -1 1 323.422 1.996 20 0 DDADMM CN(C)[C@H](CNC(=O)c1cc(=O)n(C)[n-]1)c1cccc(F)c1 ZINC000665535981 484917514 /nfs/dbraw/zinc/91/75/14/484917514.db2.gz FTFXIHQYEOLBCA-CYBMUJFWSA-N -1 1 306.341 1.298 20 0 DDADMM O=C([O-])[C@@]1(CC(=O)NCc2ccc3cncn3c2)CCSC1 ZINC000666112627 485080808 /nfs/dbraw/zinc/08/08/08/485080808.db2.gz XWQLRSNYTCQWRQ-OAHLLOKOSA-N -1 1 319.386 1.549 20 0 DDADMM O=C(Cn1cnc2sccc2c1=O)Nc1c([O-])cccc1F ZINC000678374391 485557005 /nfs/dbraw/zinc/55/70/05/485557005.db2.gz IAYSROFJXCFHAA-UHFFFAOYSA-N -1 1 319.317 1.941 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-][C@@H](C1CCCC1)C(F)(F)F ZINC000682806739 485780063 /nfs/dbraw/zinc/78/00/63/485780063.db2.gz BCVLSYVFQCCSQE-VIFPVBQESA-N -1 1 312.317 1.215 20 0 DDADMM C[C@@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C1CCCC1 ZINC000679114007 485780208 /nfs/dbraw/zinc/78/02/08/485780208.db2.gz OMDTZCCHLOXPJF-SECBINFHSA-N -1 1 312.391 1.804 20 0 DDADMM CCCCOc1ccc(C(=O)Nc2cc(C(N)=O)[nH]n2)c([O-])c1 ZINC000682809487 485781133 /nfs/dbraw/zinc/78/11/33/485781133.db2.gz OYROIDNUIKKWJU-UHFFFAOYSA-N -1 1 318.333 1.645 20 0 DDADMM CSc1ccc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)cc1 ZINC000679203239 485805871 /nfs/dbraw/zinc/80/58/71/485805871.db2.gz YIYQCSDFVVBVIQ-UHFFFAOYSA-N -1 1 312.376 1.031 20 0 DDADMM Cc1noc([C@@H](C)S(=O)(=O)c2nc(-c3ccccc3)n[n-]2)n1 ZINC000683687276 486081356 /nfs/dbraw/zinc/08/13/56/486081356.db2.gz SCQZCFZLYCYVBF-MRVPVSSYSA-N -1 1 319.346 1.698 20 0 DDADMM Cc1noc([C@@H](C)S(=O)(=O)c2n[n-]c(-c3ccccc3)n2)n1 ZINC000683687276 486081360 /nfs/dbraw/zinc/08/13/60/486081360.db2.gz SCQZCFZLYCYVBF-MRVPVSSYSA-N -1 1 319.346 1.698 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)N[C@@H]2CCN(CC3CC3)C2)cc1 ZINC000680653955 486255377 /nfs/dbraw/zinc/25/53/77/486255377.db2.gz FDNKEMHHKJFKEW-CYBMUJFWSA-N -1 1 324.402 1.147 20 0 DDADMM Cc1cnc(C(=O)NCCc2nnc3n2CCCCC3)c([O-])c1 ZINC000680844074 486280763 /nfs/dbraw/zinc/28/07/63/486280763.db2.gz YBSONPVFMODEFW-UHFFFAOYSA-N -1 1 315.377 1.386 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC1CC1)c1c(F)cccc1Cl ZINC000680976523 486316255 /nfs/dbraw/zinc/31/62/55/486316255.db2.gz MPMPXHVALWRIDA-SECBINFHSA-N -1 1 307.774 1.918 20 0 DDADMM Cn1cc(C[C@H]2CCN(C(=O)c3ccc([O-])c(F)c3)C2)cn1 ZINC000681053551 486333901 /nfs/dbraw/zinc/33/39/01/486333901.db2.gz YNMQTCAIXJEFJK-LLVKDONJSA-N -1 1 303.337 1.970 20 0 DDADMM O=C(NC1(c2ncon2)CCOCC1)c1ccc([O-])c(F)c1 ZINC000681066928 486336930 /nfs/dbraw/zinc/33/69/30/486336930.db2.gz BLDRMBFWOQISBD-UHFFFAOYSA-N -1 1 307.281 1.350 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@]2(C)CCCC[C@@H]2O)sc1C ZINC000676864293 486350585 /nfs/dbraw/zinc/35/05/85/486350585.db2.gz QGDVIRTUOLUSKH-AAEUAGOBSA-N -1 1 318.464 1.979 20 0 DDADMM CC1N=NC(C(=O)Nc2ccc3c(c2)CN(C)C(=O)N3)=C1[O-] ZINC000681360215 486413062 /nfs/dbraw/zinc/41/30/62/486413062.db2.gz VBIKOUDEGPQBBD-UHFFFAOYSA-N -1 1 301.306 1.653 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C[C@@H]3CCCO3)CC2)c([O-])c1 ZINC000681709279 486497020 /nfs/dbraw/zinc/49/70/20/486497020.db2.gz TVIGHZAGSRFEGX-ZDUSSCGKSA-N -1 1 305.378 1.032 20 0 DDADMM CCC(CC)C(=O)N1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000681710833 486498297 /nfs/dbraw/zinc/49/82/97/486498297.db2.gz JKSWQADDTHPKGV-UHFFFAOYSA-N -1 1 319.405 1.816 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3CC(=O)N[C@H](C)C3)[nH][n-]2)s1 ZINC000331988590 534165354 /nfs/dbraw/zinc/16/53/54/534165354.db2.gz VYRQLJLGRDGRSJ-SCZZXKLOSA-N -1 1 318.402 1.722 20 0 DDADMM Cc1ccc(-c2cc([N-]S(=O)(=O)c3c[nH]c(C)n3)[nH]n2)o1 ZINC000446635685 534184020 /nfs/dbraw/zinc/18/40/20/534184020.db2.gz AQBJUKIWNQCYLJ-UHFFFAOYSA-N -1 1 307.335 1.810 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CS[C@@H](C)C2)o1 ZINC000416598531 534241011 /nfs/dbraw/zinc/24/10/11/534241011.db2.gz TXOGHJVXAMXQFL-YUMQZZPRSA-N -1 1 305.377 1.239 20 0 DDADMM COC(=O)c1cnc([C@H](C)[N-]S(=O)(=O)c2ccco2)s1 ZINC000425196662 534310082 /nfs/dbraw/zinc/31/00/82/534310082.db2.gz AEXVITIWJNRXIV-ZETCQYMHSA-N -1 1 316.360 1.562 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCCC2(F)F)c1 ZINC000451830994 534320174 /nfs/dbraw/zinc/32/01/74/534320174.db2.gz ZCCXPNNJVPLMCL-VIFPVBQESA-N -1 1 323.317 1.780 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CC(=O)N1 ZINC000295877033 534412743 /nfs/dbraw/zinc/41/27/43/534412743.db2.gz FPKWQJFANMHMFU-SSDOTTSWSA-N -1 1 302.252 1.372 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cnn(-c3ccccc3)c2)n1 ZINC000428095740 534415818 /nfs/dbraw/zinc/41/58/18/534415818.db2.gz XUAOVKUVJFDUPS-UHFFFAOYSA-N -1 1 319.346 1.042 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H]1CC[C@@H](C)O1 ZINC000457356633 534643878 /nfs/dbraw/zinc/64/38/78/534643878.db2.gz GZNWYSZOEJSCAJ-SKDRFNHKSA-N -1 1 318.377 1.278 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H]2c2nc3ccccc3n2C)nc1=O ZINC000329572333 534664483 /nfs/dbraw/zinc/66/44/83/534664483.db2.gz JDHXPDFMFSERMQ-ZDUSSCGKSA-N -1 1 312.377 1.332 20 0 DDADMM CCO[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000452032453 527788534 /nfs/dbraw/zinc/78/85/34/527788534.db2.gz NOTYQOUYEUJJHF-GHMZBOCLSA-N -1 1 307.318 1.047 20 0 DDADMM CC1(C)[C@@H](O)C[C@@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000492068522 527840312 /nfs/dbraw/zinc/84/03/12/527840312.db2.gz ZWAJYEJMHQPXEU-BQBZGAKWSA-N -1 1 324.196 1.480 20 0 DDADMM CC(C)OC(=O)[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C(C)C ZINC000451846794 527846282 /nfs/dbraw/zinc/84/62/82/527846282.db2.gz XABNJNPXEWJQPS-VIFPVBQESA-N -1 1 319.345 1.834 20 0 DDADMM CC1=CCN(CCNC(=O)NC[C@@H](CC(C)C)C(=O)[O-])CC1 ZINC000424452042 528117895 /nfs/dbraw/zinc/11/78/95/528117895.db2.gz PJRAVAHGSGAUIY-CQSZACIVSA-N -1 1 311.426 1.685 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)C[C@H]1CCCC1(F)F)C(=O)OC ZINC000451383184 528232429 /nfs/dbraw/zinc/23/24/29/528232429.db2.gz ZGFAKWYJJQDEIU-ZJUUUORDSA-N -1 1 313.366 1.683 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCOC[C@@H]2C)o1 ZINC000432301184 528243055 /nfs/dbraw/zinc/24/30/55/528243055.db2.gz VQLLDRREVMCTKZ-VHSXEESVSA-N -1 1 317.363 1.160 20 0 DDADMM CCCNC(=O)[C@@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000330876184 528430136 /nfs/dbraw/zinc/43/01/36/528430136.db2.gz JMQQACYIKPNLKF-GFCCVEGCSA-N -1 1 305.378 1.474 20 0 DDADMM CC(C)n1cc2c(n1)C[C@@H](NC(=O)c1ncccc1[O-])CC2 ZINC000488339601 528580741 /nfs/dbraw/zinc/58/07/41/528580741.db2.gz ODQLOTCVTGZSSG-LBPRGKRZSA-N -1 1 300.362 1.852 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2cnn(C(F)F)c2)CC1 ZINC000414377496 528678496 /nfs/dbraw/zinc/67/84/96/528678496.db2.gz BHLHJAFHRRVHPA-UHFFFAOYSA-N -1 1 323.321 1.506 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)N1CCN(Cc2ccccc2)C(C)(C)C1 ZINC000382650515 545661173 /nfs/dbraw/zinc/66/11/73/545661173.db2.gz YBNWJHOWSKANKZ-CYBMUJFWSA-N -1 1 304.390 1.830 20 0 DDADMM COc1ccccc1COC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737151720 598980472 /nfs/dbraw/zinc/98/04/72/598980472.db2.gz GDIPGGXNHLYBBR-UHFFFAOYSA-N -1 1 311.301 1.627 20 0 DDADMM COc1ccccc1COC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737151720 598980474 /nfs/dbraw/zinc/98/04/74/598980474.db2.gz GDIPGGXNHLYBBR-UHFFFAOYSA-N -1 1 311.301 1.627 20 0 DDADMM Cc1nc([C@@H](C)NC(=O)c2ccc(-c3nnn[n-]3)nc2)cs1 ZINC000737470740 599004999 /nfs/dbraw/zinc/00/49/99/599004999.db2.gz LPWSITCMKDIVHB-SSDOTTSWSA-N -1 1 315.362 1.518 20 0 DDADMM Cc1nc([C@@H](C)NC(=O)c2ccc(-c3nn[n-]n3)nc2)cs1 ZINC000737470740 599005001 /nfs/dbraw/zinc/00/50/01/599005001.db2.gz LPWSITCMKDIVHB-SSDOTTSWSA-N -1 1 315.362 1.518 20 0 DDADMM c1cnc(N2CCC(OCC3CC3)CC2)c(-c2nn[n-]n2)n1 ZINC000823808739 599358390 /nfs/dbraw/zinc/35/83/90/599358390.db2.gz DNGPKPMLPVKYLA-UHFFFAOYSA-N -1 1 301.354 1.052 20 0 DDADMM CCc1ccc(Cn2nc(C)c(C)c(-c3nn[n-]n3)c2=O)cc1 ZINC000736687335 599221006 /nfs/dbraw/zinc/22/10/06/599221006.db2.gz AXAJLMHHDQXWDM-UHFFFAOYSA-N -1 1 310.361 1.651 20 0 DDADMM COC(=O)c1sccc1NC(=O)CN[C@H](C(=O)[O-])C(C)C ZINC000818527956 597110442 /nfs/dbraw/zinc/11/04/42/597110442.db2.gz AMPJTGFJKOXECK-JTQLQIEISA-N -1 1 314.363 1.172 20 0 DDADMM CS[C@H](CO)[C@@H](C)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000821950085 607326689 /nfs/dbraw/zinc/32/66/89/607326689.db2.gz KTRGOABAJIQCGE-RFZPGFLSSA-N -1 1 320.831 1.501 20 0 DDADMM CS[C@H](CO)[C@@H](C)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000821950085 607326690 /nfs/dbraw/zinc/32/66/90/607326690.db2.gz KTRGOABAJIQCGE-RFZPGFLSSA-N -1 1 320.831 1.501 20 0 DDADMM O=C([O-])[C@H]1CCCN1C(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000819236526 598208278 /nfs/dbraw/zinc/20/82/78/598208278.db2.gz AVYHAXILUFALGN-SNVBAGLBSA-N -1 1 319.342 1.313 20 0 DDADMM Cc1cc(=O)oc2cc(Oc3nccnc3-c3nn[n-]n3)ccc12 ZINC000737230538 598336621 /nfs/dbraw/zinc/33/66/21/598336621.db2.gz HTWWSAIBMBROOE-UHFFFAOYSA-N -1 1 322.284 1.864 20 0 DDADMM c1ccc2c(c1)[nH]c1c2CN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000738442531 598342260 /nfs/dbraw/zinc/34/22/60/598342260.db2.gz PERREZKXMLWVMF-UHFFFAOYSA-N -1 1 318.344 1.701 20 0 DDADMM c1ccc2c(c1)[nH]c1c2CN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000738442531 598342262 /nfs/dbraw/zinc/34/22/62/598342262.db2.gz PERREZKXMLWVMF-UHFFFAOYSA-N -1 1 318.344 1.701 20 0 DDADMM CN(CCCOc1ccccc1)c1nccnc1-c1nnn[n-]1 ZINC000736797276 598342367 /nfs/dbraw/zinc/34/23/67/598342367.db2.gz FXHNNQUAZXPFQO-UHFFFAOYSA-N -1 1 311.349 1.562 20 0 DDADMM CN(CCCOc1ccccc1)c1nccnc1-c1nn[n-]n1 ZINC000736797276 598342369 /nfs/dbraw/zinc/34/23/69/598342369.db2.gz FXHNNQUAZXPFQO-UHFFFAOYSA-N -1 1 311.349 1.562 20 0 DDADMM O[C@@H](CNc1nccnc1-c1nnn[n-]1)c1cccc(Cl)c1 ZINC000738383353 598344977 /nfs/dbraw/zinc/34/49/77/598344977.db2.gz BBHUBXOPPABAHK-JTQLQIEISA-N -1 1 317.740 1.456 20 0 DDADMM O[C@@H](CNc1nccnc1-c1nn[n-]n1)c1cccc(Cl)c1 ZINC000738383353 598344980 /nfs/dbraw/zinc/34/49/80/598344980.db2.gz BBHUBXOPPABAHK-JTQLQIEISA-N -1 1 317.740 1.456 20 0 DDADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@H](c2ccccc2)O1 ZINC000736293331 598348388 /nfs/dbraw/zinc/34/83/88/598348388.db2.gz NWPNQRMJWPACNV-DGCLKSJQSA-N -1 1 323.360 1.623 20 0 DDADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@H](c2ccccc2)O1 ZINC000736293331 598348390 /nfs/dbraw/zinc/34/83/90/598348390.db2.gz NWPNQRMJWPACNV-DGCLKSJQSA-N -1 1 323.360 1.623 20 0 DDADMM Cc1cc(C(F)(F)F)c(-c2nn[n-]n2)c(SCCO)n1 ZINC000737243841 598740664 /nfs/dbraw/zinc/74/06/64/598740664.db2.gz BNLBADBPFHAIDU-UHFFFAOYSA-N -1 1 305.285 1.673 20 0 DDADMM CCOCCn1cc(-c2nn[n-]n2)c(=O)c2cc(Cl)ccc21 ZINC000736625806 598720935 /nfs/dbraw/zinc/72/09/35/598720935.db2.gz MSDDZWWPSLNIDX-UHFFFAOYSA-N -1 1 319.752 1.872 20 0 DDADMM O[C@H]1CCC[C@@H]1CNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000738388818 599325095 /nfs/dbraw/zinc/32/50/95/599325095.db2.gz GYYMSGMYOJWURY-BMIGLBTASA-N -1 1 310.361 1.988 20 0 DDADMM O[C@H]1CCC[C@@H]1CNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000738388818 599325099 /nfs/dbraw/zinc/32/50/99/599325099.db2.gz GYYMSGMYOJWURY-BMIGLBTASA-N -1 1 310.361 1.988 20 0 DDADMM c1cnc(N2CCC(OCC3CC3)CC2)c(-c2nnn[n-]2)n1 ZINC000823808739 599358387 /nfs/dbraw/zinc/35/83/87/599358387.db2.gz DNGPKPMLPVKYLA-UHFFFAOYSA-N -1 1 301.354 1.052 20 0 DDADMM C[C@H](NCc1ccc(-c2nnn[n-]2)o1)c1nnc2ccccn21 ZINC000820433238 599395315 /nfs/dbraw/zinc/39/53/15/599395315.db2.gz WLWXKYYPAQBMMU-VIFPVBQESA-N -1 1 310.321 1.353 20 0 DDADMM C[C@H](NCc1ccc(-c2nn[n-]n2)o1)c1nnc2ccccn21 ZINC000820433238 599395318 /nfs/dbraw/zinc/39/53/18/599395318.db2.gz WLWXKYYPAQBMMU-VIFPVBQESA-N -1 1 310.321 1.353 20 0 DDADMM CO[C@]1(C)C[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1(C)C ZINC000821679240 599655487 /nfs/dbraw/zinc/65/54/87/599655487.db2.gz YVOZNZGRNYGLCB-XHDPSFHLSA-N -1 1 316.365 1.195 20 0 DDADMM CO[C@]1(C)C[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1(C)C ZINC000821679240 599655488 /nfs/dbraw/zinc/65/54/88/599655488.db2.gz YVOZNZGRNYGLCB-XHDPSFHLSA-N -1 1 316.365 1.195 20 0 DDADMM O=C([O-])Cn1ccc(NC(=O)c2cc(=O)c3ccccc3[nH]2)n1 ZINC000739919131 599741709 /nfs/dbraw/zinc/74/17/09/599741709.db2.gz GUWODLOGUNQHDE-UHFFFAOYSA-N -1 1 312.285 1.062 20 0 DDADMM O=C([O-])C[C@H]1CCCCN1Cc1nc(-c2ccccn2)no1 ZINC000315117827 599754144 /nfs/dbraw/zinc/75/41/44/599754144.db2.gz ROSMZPYWCMJNCE-LLVKDONJSA-N -1 1 302.334 1.961 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)NC[C@H](c1cccs1)N(C)C ZINC000736371105 599839593 /nfs/dbraw/zinc/83/95/93/599839593.db2.gz ZCOIMZMNNKUDAE-ZYHUDNBSSA-N -1 1 313.423 1.759 20 0 DDADMM CCn1cnnc1C1CCN(Cc2cc(C(=O)[O-])co2)CC1 ZINC000737304260 599925287 /nfs/dbraw/zinc/92/52/87/599925287.db2.gz YQNSKIRVJTVGOH-UHFFFAOYSA-N -1 1 304.350 1.969 20 0 DDADMM C[C@H](CN1CCN(C)CC1)NC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000736585452 600032277 /nfs/dbraw/zinc/03/22/77/600032277.db2.gz JMJONTPGUFKDJH-GFCCVEGCSA-N -1 1 320.393 1.142 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]1C(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000739538665 600060978 /nfs/dbraw/zinc/06/09/78/600060978.db2.gz MOADWJBGEPNVBE-UPJWGTAASA-N -1 1 306.362 1.644 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)NC1CCC(C(=O)[O-])CC1 ZINC000737358784 600105069 /nfs/dbraw/zinc/10/50/69/600105069.db2.gz PYLQQQRHZPCCHG-UYSNPLJNSA-N -1 1 318.417 1.919 20 0 DDADMM CN(CC(=O)[O-])C(=O)CCN(Cc1ccccc1F)C1CC1 ZINC000737402864 600158350 /nfs/dbraw/zinc/15/83/50/600158350.db2.gz OMYOINJIIQVNAO-UHFFFAOYSA-N -1 1 308.353 1.723 20 0 DDADMM Cc1cc(NC(=O)NC[C@@H]2CN(C)CCN2C)ccc1C(=O)[O-] ZINC000389979037 600278544 /nfs/dbraw/zinc/27/85/44/600278544.db2.gz WNDPIXAHKQKZMZ-CYBMUJFWSA-N -1 1 320.393 1.061 20 0 DDADMM COc1ccc(OC)c(NC(=O)[C@H](C)N(C)C[C@H](C)C(=O)[O-])c1 ZINC000738049080 600369676 /nfs/dbraw/zinc/36/96/76/600369676.db2.gz VAVSWABYRLUYLV-QWRGUYRKSA-N -1 1 324.377 1.683 20 0 DDADMM C[C@H](CNc1nc(Cl)c(C(=O)[O-])s1)N1CCN(C)CC1 ZINC000736607109 600433068 /nfs/dbraw/zinc/43/30/68/600433068.db2.gz PQPWDXDZJPTVDF-MRVPVSSYSA-N -1 1 318.830 1.543 20 0 DDADMM O=C([O-])[C@H]1CN(C(=O)c2cnc(-c3ccccc3)[nH]2)CCO1 ZINC000738548144 600640834 /nfs/dbraw/zinc/64/08/34/600640834.db2.gz OHWZBURJJIDHRE-GFCCVEGCSA-N -1 1 301.302 1.002 20 0 DDADMM COCCN(CCC(=O)[O-])C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000737788864 600641593 /nfs/dbraw/zinc/64/15/93/600641593.db2.gz JQBNPZLTHRXJLE-UHFFFAOYSA-N -1 1 317.345 1.640 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)CC(=O)NCCC(=O)[O-])C12CCCC2 ZINC000737157858 600721210 /nfs/dbraw/zinc/72/12/10/600721210.db2.gz OQAMKFGCNCOWPQ-QWHCGFSZSA-N -1 1 312.410 1.247 20 0 DDADMM Cc1nsc(N2CCCN(Cc3ccoc3C(=O)[O-])CC2)n1 ZINC000833023978 600848088 /nfs/dbraw/zinc/84/80/88/600848088.db2.gz NIVCDDXQFLBLEN-UHFFFAOYSA-N -1 1 322.390 1.850 20 0 DDADMM Cc1[nH]c(C(=O)CN2CCC[C@@H]2CCCO)c(C)c1C(=O)[O-] ZINC000738153534 600955679 /nfs/dbraw/zinc/95/56/79/600955679.db2.gz NFMWFLFNFVHIMK-GFCCVEGCSA-N -1 1 308.378 1.749 20 0 DDADMM C[C@@](NCC(=O)Nc1nc2c(s1)CCCC2)(C(=O)[O-])C1CC1 ZINC000827729252 601037011 /nfs/dbraw/zinc/03/70/11/601037011.db2.gz YRHWWUNFZBFXMZ-HNNXBMFYSA-N -1 1 323.418 1.803 20 0 DDADMM Cc1ncc(/C=C/C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)s1 ZINC000833007917 601087364 /nfs/dbraw/zinc/08/73/64/601087364.db2.gz FXMDETKIFVCIGZ-UFFNRZRYSA-N -1 1 323.418 1.472 20 0 DDADMM Cc1oc(S(=O)(=O)N[C@@H]2CCN(C)[C@H](C)C2)cc1C(=O)[O-] ZINC000833028235 601223590 /nfs/dbraw/zinc/22/35/90/601223590.db2.gz LYYDXVGYQMARAX-PSASIEDQSA-N -1 1 316.379 1.047 20 0 DDADMM COc1cccc(CNC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)c1 ZINC000832553685 601601730 /nfs/dbraw/zinc/60/17/30/601601730.db2.gz GCCNQCDIBDSFIR-AWEZNQCLSA-N -1 1 308.378 1.400 20 0 DDADMM Cc1sc(C(=O)[O-])cc1CN1CCC[C@@H]1CS(N)(=O)=O ZINC000833031124 601636385 /nfs/dbraw/zinc/63/63/85/601636385.db2.gz DVAFMHIYBHUYNQ-SNVBAGLBSA-N -1 1 318.420 1.008 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN1CC[C@@]2(CCOC2)C1 ZINC000832914854 601662379 /nfs/dbraw/zinc/66/23/79/601662379.db2.gz GQUZTYAXOFKINO-QGZVFWFLSA-N -1 1 318.373 1.744 20 0 DDADMM C[C@@H]1CN(CN2C(=O)CC3(CCC(C)CC3)C2=O)C[C@@H]1C(=O)[O-] ZINC000828233522 601818254 /nfs/dbraw/zinc/81/82/54/601818254.db2.gz QFYYDJKVULBGBW-HASVCBABSA-N -1 1 322.405 1.552 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CN2C(=O)NC3(CCCCCC3)C2=O)C1 ZINC000828028795 601888034 /nfs/dbraw/zinc/88/80/34/601888034.db2.gz CGRALOYPZYQZEF-HNNXBMFYSA-N -1 1 323.393 1.385 20 0 DDADMM CC(C)C[C@]1(C)CC(=O)N(CN2CC[C@](C)(C(=O)[O-])C2)C1=O ZINC000826826295 601890522 /nfs/dbraw/zinc/89/05/22/601890522.db2.gz GKYLRHXRYKODQV-JKSUJKDBSA-N -1 1 310.394 1.552 20 0 DDADMM C[C@@H]1C(=O)N(CN2CC[C@](C)(C(=O)[O-])C2)[C@@H]1c1ccccc1 ZINC000828142607 601891823 /nfs/dbraw/zinc/89/18/23/601891823.db2.gz ITDFSUXYVQZJRP-JDFRZJQESA-N -1 1 302.374 1.960 20 0 DDADMM CCN(CC)C(=O)CN1CCCC[C@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000739409327 601927751 /nfs/dbraw/zinc/92/77/51/601927751.db2.gz VLWLLGVZSHDFBM-KBPBESRZSA-N -1 1 311.426 1.852 20 0 DDADMM CC[C@H](C)NC(=O)CN1CCCC[C@H]1[C@H]1CCCN1C(=O)[O-] ZINC000739243375 601927809 /nfs/dbraw/zinc/92/78/09/601927809.db2.gz GKVTWLNYVOCIDV-MELADBBJSA-N -1 1 311.426 1.898 20 0 DDADMM O=C([O-])Nc1cc(NC(=O)NCCc2nc[nH]n2)ccc1F ZINC000833283149 601949274 /nfs/dbraw/zinc/94/92/74/601949274.db2.gz OTYAZFJRQUDCGG-UHFFFAOYSA-N -1 1 308.273 1.398 20 0 DDADMM O=C([O-])[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000766938353 602017853 /nfs/dbraw/zinc/01/78/53/602017853.db2.gz MLGXQORGGDFVAP-DOMZBBRYSA-N -1 1 313.357 1.642 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC000827310768 602075090 /nfs/dbraw/zinc/07/50/90/602075090.db2.gz METWWDXUTCQTJG-GFCCVEGCSA-N -1 1 305.378 1.488 20 0 DDADMM CC(C)C[C@@H]1CCC(=O)N(CN2CCC[C@H](C(=O)[O-])C2)C1=O ZINC000826832555 602082447 /nfs/dbraw/zinc/08/24/47/602082447.db2.gz UAJOWBKUTHLBKT-STQMWFEESA-N -1 1 310.394 1.552 20 0 DDADMM Cn1c2cc(NCc3ccnc(-c4nnn[n-]4)c3)ccc2oc1=O ZINC000826354794 607550849 /nfs/dbraw/zinc/55/08/49/607550849.db2.gz VDIAMVYWLJPTAO-UHFFFAOYSA-N -1 1 323.316 1.319 20 0 DDADMM Cn1c2cc(NCc3ccnc(-c4nn[n-]n4)c3)ccc2oc1=O ZINC000826354794 607550851 /nfs/dbraw/zinc/55/08/51/607550851.db2.gz VDIAMVYWLJPTAO-UHFFFAOYSA-N -1 1 323.316 1.319 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)N1CCO[C@@H](c2nc(C(C)(C)C)n[nH]2)C1 ZINC000827261782 602175003 /nfs/dbraw/zinc/17/50/03/602175003.db2.gz BMJUVBBJYIBUDL-NXEZZACHSA-N -1 1 324.381 1.113 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)N1CCO[C@@H](c2nnc(C(C)(C)C)[nH]2)C1 ZINC000827261782 602175007 /nfs/dbraw/zinc/17/50/07/602175007.db2.gz BMJUVBBJYIBUDL-NXEZZACHSA-N -1 1 324.381 1.113 20 0 DDADMM C[C@@H]1c2cc(F)cc(F)c2CCN1CCC(=O)NCC(=O)[O-] ZINC000828528452 602242556 /nfs/dbraw/zinc/24/25/56/602242556.db2.gz UNZFEBUQJCOZMS-SECBINFHSA-N -1 1 312.316 1.475 20 0 DDADMM O=C([O-])NCCCNC(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000740651850 602343567 /nfs/dbraw/zinc/34/35/67/602343567.db2.gz RVTCHCLCENHLJQ-CQSZACIVSA-N -1 1 305.378 1.425 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCc2ncccc2C1 ZINC000826472410 607564777 /nfs/dbraw/zinc/56/47/77/607564777.db2.gz JYBHOIJRUXZRGC-UHFFFAOYSA-N -1 1 312.358 1.522 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCc2ncccc2C1 ZINC000826472410 607564778 /nfs/dbraw/zinc/56/47/78/607564778.db2.gz JYBHOIJRUXZRGC-UHFFFAOYSA-N -1 1 312.358 1.522 20 0 DDADMM CN1CCC[C@@H](NC(=O)[C@H]2Cc3ccccc3CN2C(=O)[O-])C1 ZINC000739729316 602515048 /nfs/dbraw/zinc/51/50/48/602515048.db2.gz MIQQEQDOPYXQNV-HUUCEWRRSA-N -1 1 317.389 1.302 20 0 DDADMM CN(C[C@H]1CCN(Cc2cc(=O)n3ccsc3n2)C1)C(=O)[O-] ZINC000739663810 602541169 /nfs/dbraw/zinc/54/11/69/602541169.db2.gz BQPMRMPMOQOODY-SNVBAGLBSA-N -1 1 322.390 1.188 20 0 DDADMM O=C([O-])N1CCC[C@H]1CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000740417098 602582726 /nfs/dbraw/zinc/58/27/26/602582726.db2.gz PTATZFVYQCIXJX-JTQLQIEISA-N -1 1 315.329 1.400 20 0 DDADMM COC(=O)c1cc(CN2CC[C@H](NC(=O)[O-])[C@@H](C)C2)oc1C ZINC000739776239 602772334 /nfs/dbraw/zinc/77/23/34/602772334.db2.gz BVYFFCFIRKVISV-ZANVPECISA-N -1 1 310.350 1.853 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)C[C@H](C)NC(=O)[O-])N2CCCC2)o1 ZINC000830256553 602807390 /nfs/dbraw/zinc/80/73/90/602807390.db2.gz JFTAQGCNVRUGDA-WCQYABFASA-N -1 1 323.393 1.887 20 0 DDADMM CC(C)[C@@H](CNC(=O)N1CCN(C)C[C@@H]1C(C)C)NC(=O)[O-] ZINC000738785555 602915871 /nfs/dbraw/zinc/91/58/71/602915871.db2.gz NKMJHEYQBQOQKX-CHWSQXEVSA-N -1 1 314.430 1.260 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1C[C@H](C)N(C(=O)[O-])[C@@H](C)C1 ZINC000740029943 602956347 /nfs/dbraw/zinc/95/63/47/602956347.db2.gz GARFAZZGQXHBJL-QWRGUYRKSA-N -1 1 323.397 1.433 20 0 DDADMM O=C([O-])N1CCCC[C@@H](NC(=O)N2CCN3CCCC[C@H]3C2)C1 ZINC000740520697 602975154 /nfs/dbraw/zinc/97/51/54/602975154.db2.gz TXXNERMXOYCVRJ-KGLIPLIRSA-N -1 1 324.425 1.399 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)C1CCC(NC(=O)[O-])CC1 ZINC000739199450 602990043 /nfs/dbraw/zinc/99/00/43/602990043.db2.gz QQBQBZFGGCQVPR-VXGQWTEUSA-N -1 1 323.437 1.898 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCC[C@@H]1CNC(=O)[O-] ZINC000829833660 603009006 /nfs/dbraw/zinc/00/90/06/603009006.db2.gz LKJSEPAUDHBCHA-GFCCVEGCSA-N -1 1 309.370 1.092 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@@H]1C(=O)N1CCN(C2CCCC2)CC1 ZINC000740577841 603020411 /nfs/dbraw/zinc/02/04/11/603020411.db2.gz RNQJOMZDUHJQOP-KBPBESRZSA-N -1 1 309.410 1.510 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1CCCC[C@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000739729898 603047848 /nfs/dbraw/zinc/04/78/48/603047848.db2.gz NTPFRZDSMBZAGB-MELADBBJSA-N -1 1 309.410 1.604 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)Nc1ccc(-c2nc(CNC(=O)[O-])n[nH]2)cc1 ZINC000739083134 603056061 /nfs/dbraw/zinc/05/60/61/603056061.db2.gz NZDFFIFXOYYOSX-LDYMZIIASA-N -1 1 315.333 1.834 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)Nc1ccc(-c2n[nH]c(CNC(=O)[O-])n2)cc1 ZINC000739083134 603056062 /nfs/dbraw/zinc/05/60/62/603056062.db2.gz NZDFFIFXOYYOSX-LDYMZIIASA-N -1 1 315.333 1.834 20 0 DDADMM O=C([O-])NC1CCC(NC(=O)N2CCN(C3CCC3)CC2)CC1 ZINC000740555560 603151511 /nfs/dbraw/zinc/15/15/11/603151511.db2.gz UYIAGHGBZWCXOQ-UHFFFAOYSA-N -1 1 324.425 1.445 20 0 DDADMM C[C@H](c1ccccc1)N(C)C(=O)CN(C)CCCNC(=O)[O-] ZINC000739060345 603254676 /nfs/dbraw/zinc/25/46/76/603254676.db2.gz SWSBLHOXMVVYIH-CYBMUJFWSA-N -1 1 307.394 1.796 20 0 DDADMM CCN(CC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1)C(=O)[O-] ZINC000739395591 603431662 /nfs/dbraw/zinc/43/16/62/603431662.db2.gz WFXNPGHOFYKFOG-UHFFFAOYSA-N -1 1 321.312 1.227 20 0 DDADMM CCN(CC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1)C(=O)[O-] ZINC000739395591 603431664 /nfs/dbraw/zinc/43/16/64/603431664.db2.gz WFXNPGHOFYKFOG-UHFFFAOYSA-N -1 1 321.312 1.227 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N[C@@H](CNC(=O)[O-])C1CC1 ZINC000828046868 603541774 /nfs/dbraw/zinc/54/17/74/603541774.db2.gz UGZVMBQQANMQKX-NSHDSACASA-N -1 1 311.407 1.586 20 0 DDADMM CC(C)CN(CN1C[C@@H]2CN(C(=O)[O-])CCN2C1=O)C1CC1 ZINC000824252244 603596447 /nfs/dbraw/zinc/59/64/47/603596447.db2.gz WQJWLNNDFJSHJG-ZDUSSCGKSA-N -1 1 310.398 1.164 20 0 DDADMM Cc1ccc(N2CC[C@H](N[C@H]3CCN(C(=O)[O-])C3)C2=O)cc1 ZINC000830340418 603643629 /nfs/dbraw/zinc/64/36/29/603643629.db2.gz LHVBJOSYKDYRRT-JSGCOSHPSA-N -1 1 303.362 1.442 20 0 DDADMM C[C@@H]1CCCN(CC(=O)N2CCCCCC2)[C@H]1CNC(=O)[O-] ZINC000825918431 603719637 /nfs/dbraw/zinc/71/96/37/603719637.db2.gz FJRGQDUMEJDMFE-KGLIPLIRSA-N -1 1 311.426 1.757 20 0 DDADMM C[C@@H](C(=O)N1C[C@H](C)C[C@H](C)C1)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000825784134 603804942 /nfs/dbraw/zinc/80/49/42/603804942.db2.gz WCJDJOVABKYBAD-RFQIPJPRSA-N -1 1 311.426 1.564 20 0 DDADMM O=C([O-])N1CCc2cccc(CN[C@@H]3CC(=O)N(C4CC4)C3)c21 ZINC000832086222 603865164 /nfs/dbraw/zinc/86/51/64/603865164.db2.gz CCRZCCAWELROMI-CYBMUJFWSA-N -1 1 315.373 1.580 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1C[C@H](O)c1ccc(F)cc1F ZINC000832573960 604083882 /nfs/dbraw/zinc/08/38/82/604083882.db2.gz WDUKFQWWYLRMIG-GWCFXTLKSA-N -1 1 300.305 1.730 20 0 DDADMM COC(=O)c1cc(CN2CCC[C@@H]2CN(C)C(=O)[O-])c(C)o1 ZINC000828742708 604092568 /nfs/dbraw/zinc/09/25/68/604092568.db2.gz KHRNUDBHLZXFHY-GFCCVEGCSA-N -1 1 310.350 1.949 20 0 DDADMM CC1(C)CN(C(=O)[O-])CCN1C[C@@H](O)COCc1ccccc1 ZINC000825728986 604171369 /nfs/dbraw/zinc/17/13/69/604171369.db2.gz JNRNITSOUTYALT-OAHLLOKOSA-N -1 1 322.405 1.638 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(Cc2cn3cccnc3n2)C1 ZINC000825270538 604253627 /nfs/dbraw/zinc/25/36/27/604253627.db2.gz BGNVNYPTIZRHDH-NWDGAFQWSA-N -1 1 303.366 1.597 20 0 DDADMM CC(C)n1nccc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000827379285 604299025 /nfs/dbraw/zinc/29/90/25/604299025.db2.gz FIDDJERTFVIOET-LBPRGKRZSA-N -1 1 308.382 1.085 20 0 DDADMM CN(CCN1CCN(C(=O)[O-])CC1)Cc1cnc2ccccn12 ZINC000828315795 604319396 /nfs/dbraw/zinc/31/93/96/604319396.db2.gz FHQAFCVKOOLERF-UHFFFAOYSA-N -1 1 317.393 1.062 20 0 DDADMM Cc1cc(C)cc(OC[C@@H](O)CN2CC[C@@H](NC(=O)[O-])C2)c1 ZINC000740069094 604373391 /nfs/dbraw/zinc/37/33/91/604373391.db2.gz USEXJGFGYIHTEA-KGLIPLIRSA-N -1 1 308.378 1.385 20 0 DDADMM C[C@H](CC(=O)OCCc1c[nH]nn1)[C@H]1CCCN(C(=O)[O-])C1 ZINC000824735401 604418245 /nfs/dbraw/zinc/41/82/45/604418245.db2.gz FRRSSNYOGFUSTC-MNOVXSKESA-N -1 1 310.354 1.307 20 0 DDADMM C[C@H](CC(=O)OCCc1cnn[nH]1)[C@H]1CCCN(C(=O)[O-])C1 ZINC000824735401 604418248 /nfs/dbraw/zinc/41/82/48/604418248.db2.gz FRRSSNYOGFUSTC-MNOVXSKESA-N -1 1 310.354 1.307 20 0 DDADMM CC(C)Cc1nnc(NC(=O)CN(C)C[C@H](C)C(=O)[O-])s1 ZINC000826913500 604473668 /nfs/dbraw/zinc/47/36/68/604473668.db2.gz YAIDFLGFGCPMQW-VIFPVBQESA-N -1 1 314.411 1.328 20 0 DDADMM CC(=O)NC(C)(C)C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC000833394771 604503444 /nfs/dbraw/zinc/50/34/44/604503444.db2.gz FCVLZIITAXXPHX-UHFFFAOYSA-N -1 1 304.306 1.114 20 0 DDADMM CC(C)(O)[C@H]1CCCN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000824172277 608193498 /nfs/dbraw/zinc/19/34/98/608193498.db2.gz JETAJJUDOPXMRL-SECBINFHSA-N -1 1 308.773 1.655 20 0 DDADMM CC(C)(O)[C@H]1CCCN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000824172277 608193500 /nfs/dbraw/zinc/19/35/00/608193500.db2.gz JETAJJUDOPXMRL-SECBINFHSA-N -1 1 308.773 1.655 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)C[C@@H]3CCCN3C(=O)[O-])C2)n[nH]1 ZINC000830739121 604646988 /nfs/dbraw/zinc/64/69/88/604646988.db2.gz VUHNMVCJDKEXNK-NEPJUHHUSA-N -1 1 321.381 1.352 20 0 DDADMM C[C@H](Oc1ccc(CN(C)[C@@H]2CCN(C)C2=O)cc1)C(=O)[O-] ZINC000833536412 604657699 /nfs/dbraw/zinc/65/76/99/604657699.db2.gz CJTNYQHZXXEEIV-SMDDNHRTSA-N -1 1 306.362 1.201 20 0 DDADMM CCOC[C@H](C(=O)[O-])N(C)C(=O)[C@H](C)N(C)Cc1ccccc1 ZINC000829940534 604712767 /nfs/dbraw/zinc/71/27/67/604712767.db2.gz UEZCQFIUKJSJEG-DZGCQCFKSA-N -1 1 322.405 1.455 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)N1CC[C@H](CO)C1 ZINC000832915520 604768172 /nfs/dbraw/zinc/76/81/72/604768172.db2.gz JDIPXLJZUJTJAU-RYUDHWBXSA-N -1 1 306.362 1.334 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1C(=O)Nc1nc(CC(=O)[O-])cs1 ZINC000833584734 604803133 /nfs/dbraw/zinc/80/31/33/604803133.db2.gz UDGLIWLBVDRHEX-DTWKUNHWSA-N -1 1 312.395 1.327 20 0 DDADMM Cc1ccc2c(n1)c(=O)c(-c1nn[n-]n1)cn2C[Si](C)(C)C ZINC000826294804 607716692 /nfs/dbraw/zinc/71/66/92/607716692.db2.gz DDMBZJUONOSMMT-UHFFFAOYSA-N -1 1 314.425 1.762 20 0 DDADMM Cc1ccc(C#N)cc1S(=O)(=O)Nc1cncc(C(=O)[O-])c1 ZINC000315675764 604827222 /nfs/dbraw/zinc/82/72/22/604827222.db2.gz VCMCDEGLPVKNLH-UHFFFAOYSA-N -1 1 317.326 1.761 20 0 DDADMM C[C@H](O)[C@H]1CCCN(CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC000833529988 604922430 /nfs/dbraw/zinc/92/24/30/604922430.db2.gz UKPUNIVCXXDXKM-AAEUAGOBSA-N -1 1 306.362 1.416 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2c[nH]nc2C)cc1C(=O)[O-] ZINC000833734523 604947377 /nfs/dbraw/zinc/94/73/77/604947377.db2.gz KWXBLBDFIFHMTQ-UHFFFAOYSA-N -1 1 311.319 1.226 20 0 DDADMM CN(CC(=O)NCc1cccc(C(=O)[O-])c1)[C@H]1CCSC1 ZINC000820562358 605053844 /nfs/dbraw/zinc/05/38/44/605053844.db2.gz HMANDUSCQQMZEF-ZDUSSCGKSA-N -1 1 308.403 1.438 20 0 DDADMM C[C@@H](NC1CN(C(=O)[O-])C1)c1cnn(Cc2ccccc2)c1 ZINC000825483876 605099600 /nfs/dbraw/zinc/09/96/00/605099600.db2.gz BPYWFRZHAMPYNW-GFCCVEGCSA-N -1 1 300.362 1.944 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(F)cc1F ZINC000833625278 605105461 /nfs/dbraw/zinc/10/54/61/605105461.db2.gz KKSYLTGDHUFUFK-JTQLQIEISA-N -1 1 312.316 1.586 20 0 DDADMM CCO[C@@H]1C[C@H](O)C12CCN(Cc1ccc(C(=O)[O-])[nH]1)CC2 ZINC000833659730 605110977 /nfs/dbraw/zinc/11/09/77/605110977.db2.gz GCDOUOOFSPJSBH-UONOGXRCSA-N -1 1 308.378 1.465 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C\c1ccccc1F ZINC000833621089 605111966 /nfs/dbraw/zinc/11/19/66/605111966.db2.gz MDYMJVIVIAIROS-FMFIFOJESA-N -1 1 320.364 1.846 20 0 DDADMM C[C@@H](Oc1ccc(CNC[C@H](C)S(C)(=O)=O)cc1)C(=O)[O-] ZINC000833540981 605120438 /nfs/dbraw/zinc/12/04/38/605120438.db2.gz OZMIKKXSKTURMM-WDEREUQCSA-N -1 1 315.391 1.061 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)NC2CCN(C(=O)[O-])CC2)C[C@@H]1C ZINC000833659234 605121499 /nfs/dbraw/zinc/12/14/99/605121499.db2.gz ZSDXMVAJQGPMSS-NWDGAFQWSA-N -1 1 312.414 1.253 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccnc1Cl ZINC000833622969 605165023 /nfs/dbraw/zinc/16/50/23/605165023.db2.gz CDOUIHAVTKEPME-JTQLQIEISA-N -1 1 311.769 1.356 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(F)cc(F)c2)CCN1CCC(=O)[O-] ZINC000833622144 605255249 /nfs/dbraw/zinc/25/52/49/605255249.db2.gz LYYKOQLDWFKJNO-JTQLQIEISA-N -1 1 312.316 1.586 20 0 DDADMM Cc1nc(COC(=O)CC2C[C@H]3CC[C@@H](C2)N3C(=O)[O-])n[nH]1 ZINC000830761875 605263243 /nfs/dbraw/zinc/26/32/43/605263243.db2.gz USJNDGCXJAMMNR-FGWVZKOKSA-N -1 1 308.338 1.467 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NC[C@H]2CCCN2C(=O)[O-])n[nH]1 ZINC000830036250 605292160 /nfs/dbraw/zinc/29/21/60/605292160.db2.gz MCPLSGNFWDNKLM-BXKDBHETSA-N -1 1 309.370 1.091 20 0 DDADMM O=C(C[C@@H]1CSCCN1C(=O)[O-])N[C@@H]1CCCc2cn[nH]c21 ZINC000831407969 605333561 /nfs/dbraw/zinc/33/35/61/605333561.db2.gz KDLKGIYKUJLXOM-GHMZBOCLSA-N -1 1 324.406 1.389 20 0 DDADMM O=C([O-])NCCN1CCC[C@H]1c1nnc(-c2ccccc2)[nH]1 ZINC000834239509 605432914 /nfs/dbraw/zinc/43/29/14/605432914.db2.gz LXSXMSSCTNHNTK-LBPRGKRZSA-N -1 1 301.350 1.876 20 0 DDADMM O=C([O-])N1CC[C@@H](N(C(=O)c2cccc3n[nH]cc32)C2CC2)C1 ZINC000831934687 605461496 /nfs/dbraw/zinc/46/14/96/605461496.db2.gz LSFGMYRSDVAMQM-LLVKDONJSA-N -1 1 314.345 1.920 20 0 DDADMM Cc1ccc(C[C@H](C)C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000833748415 605467463 /nfs/dbraw/zinc/46/74/63/605467463.db2.gz LUMCZSKFUVUBLH-WFASDCNBSA-N -1 1 315.373 1.709 20 0 DDADMM CC(C)N1CCN(C(=O)C[C@@H](NC(=O)[O-])c2ccccc2)CC1 ZINC000824363225 605579222 /nfs/dbraw/zinc/57/92/22/605579222.db2.gz QEWROBRBYIUDMP-OAHLLOKOSA-N -1 1 319.405 1.938 20 0 DDADMM CC(C)C[C@H](NC(=O)[O-])C(=O)N1CCN(C2CCCC2)CC1 ZINC000824287622 605613818 /nfs/dbraw/zinc/61/38/18/605613818.db2.gz XGLBUKZTGQQCQA-AWEZNQCLSA-N -1 1 311.426 1.756 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@H]2CC[N@H+]3CCCC[C@H]23)c(O)c1 ZINC000834258631 605643480 /nfs/dbraw/zinc/64/34/80/605643480.db2.gz ZZBTYIBZRAACKY-QWHCGFSZSA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@H]2CC[N@@H+]3CCCC[C@H]23)c([O-])c1 ZINC000834258631 605643482 /nfs/dbraw/zinc/64/34/82/605643482.db2.gz ZZBTYIBZRAACKY-QWHCGFSZSA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@H]2CC[N@H+]3CCCC[C@H]23)c([O-])c1 ZINC000834258631 605643485 /nfs/dbraw/zinc/64/34/85/605643485.db2.gz ZZBTYIBZRAACKY-QWHCGFSZSA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])NCCSc1nc(-c2ccc3c(c2)OCCO3)n[nH]1 ZINC000834244440 605752533 /nfs/dbraw/zinc/75/25/33/605752533.db2.gz AVWGJSHIKKUDAI-UHFFFAOYSA-N -1 1 322.346 1.603 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)C2(NC(=O)[O-])CCCCC2)n[nH]1 ZINC000830028581 605805563 /nfs/dbraw/zinc/80/55/63/605805563.db2.gz AHBBJMHIYFQNHT-SNVBAGLBSA-N -1 1 308.382 1.736 20 0 DDADMM CCCCN(C(=O)Nc1nn(C)cc1-c1nnn[n-]1)C1CC1 ZINC000821060351 606164036 /nfs/dbraw/zinc/16/40/36/606164036.db2.gz BGWBWYCAPDNPSM-UHFFFAOYSA-N -1 1 304.358 1.397 20 0 DDADMM CCCCN(C(=O)Nc1nn(C)cc1-c1nn[n-]n1)C1CC1 ZINC000821060351 606164037 /nfs/dbraw/zinc/16/40/37/606164037.db2.gz BGWBWYCAPDNPSM-UHFFFAOYSA-N -1 1 304.358 1.397 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccnc(F)c1 ZINC000823294497 606195963 /nfs/dbraw/zinc/19/59/63/606195963.db2.gz DADFWLWXOJHPKD-UHFFFAOYSA-N -1 1 300.253 1.359 20 0 DDADMM c1ncn(-c2ccc(Oc3ncccc3-c3nn[n-]n3)cc2)n1 ZINC000823809307 606433740 /nfs/dbraw/zinc/43/37/40/606433740.db2.gz KCGRXCMWKHXXSQ-UHFFFAOYSA-N -1 1 306.289 1.635 20 0 DDADMM NC(=O)c1cccc(Oc2ccc(Cl)c(-c3nnn[n-]3)n2)c1 ZINC000822890574 606452516 /nfs/dbraw/zinc/45/25/16/606452516.db2.gz XSUSNVAWNZGMHB-UHFFFAOYSA-N -1 1 316.708 1.806 20 0 DDADMM NC(=O)c1cccc(Oc2ccc(Cl)c(-c3nn[n-]n3)n2)c1 ZINC000822890574 606452518 /nfs/dbraw/zinc/45/25/18/606452518.db2.gz XSUSNVAWNZGMHB-UHFFFAOYSA-N -1 1 316.708 1.806 20 0 DDADMM Clc1ccc(N2CCC[C@H]2c2ncon2)nc1-c1nnn[n-]1 ZINC000822578054 606463162 /nfs/dbraw/zinc/46/31/62/606463162.db2.gz AZUXTFRCBKGIMD-QMMMGPOBSA-N -1 1 318.728 1.640 20 0 DDADMM Clc1ccc(N2CCC[C@H]2c2ncon2)nc1-c1nn[n-]n1 ZINC000822578054 606463164 /nfs/dbraw/zinc/46/31/64/606463164.db2.gz AZUXTFRCBKGIMD-QMMMGPOBSA-N -1 1 318.728 1.640 20 0 DDADMM COC(=O)[C@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000821655549 606464574 /nfs/dbraw/zinc/46/45/74/606464574.db2.gz NGNQSUPDRNCFCM-QMMMGPOBSA-N -1 1 322.756 1.305 20 0 DDADMM COC(=O)[C@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000821655549 606464576 /nfs/dbraw/zinc/46/45/76/606464576.db2.gz NGNQSUPDRNCFCM-QMMMGPOBSA-N -1 1 322.756 1.305 20 0 DDADMM Cc1ncsc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822482279 606517565 /nfs/dbraw/zinc/51/75/65/606517565.db2.gz OLMCVLSUMMGKIH-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM Cc1ncsc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822482279 606517567 /nfs/dbraw/zinc/51/75/67/606517567.db2.gz OLMCVLSUMMGKIH-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM COc1ccncc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821903409 606541254 /nfs/dbraw/zinc/54/12/54/606541254.db2.gz GGGBITMVOSJUHD-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)[C@@H]2CCO[C@@H]21 ZINC000820585853 606570666 /nfs/dbraw/zinc/57/06/66/606570666.db2.gz QRCDQTQKEVEOMF-XROYCOCOSA-N -1 1 319.390 1.472 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)[C@@H]2CCO[C@@H]21 ZINC000820585853 606570668 /nfs/dbraw/zinc/57/06/68/606570668.db2.gz QRCDQTQKEVEOMF-XROYCOCOSA-N -1 1 319.390 1.472 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)C[C@@H](C)S1 ZINC000820742353 606575369 /nfs/dbraw/zinc/57/53/69/606575369.db2.gz JRLHKFSVSQBKTN-HTQZYQBOSA-N -1 1 309.420 1.894 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)C[C@@H](C)S1 ZINC000820742353 606575371 /nfs/dbraw/zinc/57/53/71/606575371.db2.gz JRLHKFSVSQBKTN-HTQZYQBOSA-N -1 1 309.420 1.894 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCc2cccc(F)c2)n1 ZINC000822620528 606596288 /nfs/dbraw/zinc/59/62/88/606596288.db2.gz VHPYITCWBNUWRO-UHFFFAOYSA-N -1 1 316.300 1.061 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCc2cccc(F)c2)n1 ZINC000822620528 606596289 /nfs/dbraw/zinc/59/62/89/606596289.db2.gz VHPYITCWBNUWRO-UHFFFAOYSA-N -1 1 316.300 1.061 20 0 DDADMM c1ccc(O[C@@H]2CCN(c3cccc(-c4nnn[n-]4)n3)C2)nc1 ZINC000823792556 606879714 /nfs/dbraw/zinc/87/97/14/606879714.db2.gz XPUIBZNUCLPZQC-LLVKDONJSA-N -1 1 309.333 1.314 20 0 DDADMM c1ccc(O[C@@H]2CCN(c3cccc(-c4nn[n-]n4)n3)C2)nc1 ZINC000823792556 606879716 /nfs/dbraw/zinc/87/97/16/606879716.db2.gz XPUIBZNUCLPZQC-LLVKDONJSA-N -1 1 309.333 1.314 20 0 DDADMM Clc1ccc(N[C@H]2CCc3c[nH]nc3C2)nc1-c1nnn[n-]1 ZINC000822578830 606951028 /nfs/dbraw/zinc/95/10/28/606951028.db2.gz QLBVVTRZPQAKCL-QMMMGPOBSA-N -1 1 316.756 1.608 20 0 DDADMM Clc1ccc(N[C@H]2CCc3c[nH]nc3C2)nc1-c1nn[n-]n1 ZINC000822578830 606951030 /nfs/dbraw/zinc/95/10/30/606951030.db2.gz QLBVVTRZPQAKCL-QMMMGPOBSA-N -1 1 316.756 1.608 20 0 DDADMM C[C@H](C[C@@H](O)c1ccco1)Nc1nccnc1-c1nnn[n-]1 ZINC000820231123 607045451 /nfs/dbraw/zinc/04/54/51/607045451.db2.gz ASDNYVNPTMXYDT-RKDXNWHRSA-N -1 1 301.310 1.174 20 0 DDADMM C[C@H](C[C@@H](O)c1ccco1)Nc1nccnc1-c1nn[n-]n1 ZINC000820231123 607045453 /nfs/dbraw/zinc/04/54/53/607045453.db2.gz ASDNYVNPTMXYDT-RKDXNWHRSA-N -1 1 301.310 1.174 20 0 DDADMM O=C(N[C@@H]1CCCc2c[nH]nc21)c1ccc(-c2nn[n-]n2)s1 ZINC000823149630 607097401 /nfs/dbraw/zinc/09/74/01/607097401.db2.gz DRGKZLXOEGEURC-MRVPVSSYSA-N -1 1 315.362 1.459 20 0 DDADMM C[C@H]1CCCC[C@H]1OCC(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000820703181 607103940 /nfs/dbraw/zinc/10/39/40/607103940.db2.gz DGBQCKMKFTYJSE-DTWKUNHWSA-N -1 1 322.394 1.857 20 0 DDADMM C[C@H]1CCCC[C@H]1OCC(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000820703181 607103941 /nfs/dbraw/zinc/10/39/41/607103941.db2.gz DGBQCKMKFTYJSE-DTWKUNHWSA-N -1 1 322.394 1.857 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@H]2CC3CCC2CC3)n1 ZINC000822614701 607194998 /nfs/dbraw/zinc/19/49/98/607194998.db2.gz QCNOJTOOOAQFJB-NWGYLPEXSA-N -1 1 316.369 1.300 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@H]2CC3CCC2CC3)n1 ZINC000822614701 607194999 /nfs/dbraw/zinc/19/49/99/607194999.db2.gz QCNOJTOOOAQFJB-NWGYLPEXSA-N -1 1 316.369 1.300 20 0 DDADMM COc1ccc(COc2cccc(F)c2-c2nnn[n-]2)cn1 ZINC000826207327 607863685 /nfs/dbraw/zinc/86/36/85/607863685.db2.gz JZMDROQAKNTWPO-UHFFFAOYSA-N -1 1 301.281 1.988 20 0 DDADMM COc1ccc(COc2cccc(F)c2-c2nn[n-]n2)cn1 ZINC000826207327 607863686 /nfs/dbraw/zinc/86/36/86/607863686.db2.gz JZMDROQAKNTWPO-UHFFFAOYSA-N -1 1 301.281 1.988 20 0 DDADMM CCn1c(C)nnc1COc1cccc(F)c1-c1nnn[n-]1 ZINC000825782895 607864506 /nfs/dbraw/zinc/86/45/06/607864506.db2.gz UHQGITPYJXSROU-UHFFFAOYSA-N -1 1 303.301 1.505 20 0 DDADMM CCn1c(C)nnc1COc1cccc(F)c1-c1nn[n-]n1 ZINC000825782895 607864507 /nfs/dbraw/zinc/86/45/07/607864507.db2.gz UHQGITPYJXSROU-UHFFFAOYSA-N -1 1 303.301 1.505 20 0 DDADMM C[C@@H](C[C@@H](O)c1ccco1)Nc1cccc(-c2nnn[n-]2)n1 ZINC000824393516 607901940 /nfs/dbraw/zinc/90/19/40/607901940.db2.gz SKAWJSUEUSXGIX-GXSJLCMTSA-N -1 1 300.322 1.779 20 0 DDADMM C[C@@H](C[C@@H](O)c1ccco1)Nc1cccc(-c2nn[n-]n2)n1 ZINC000824393516 607901941 /nfs/dbraw/zinc/90/19/41/607901941.db2.gz SKAWJSUEUSXGIX-GXSJLCMTSA-N -1 1 300.322 1.779 20 0 DDADMM CO[C@@H]1CC[C@@H]2OCCN(c3cccc(-c4nnn[n-]4)n3)[C@H]2C1 ZINC000826146139 607903306 /nfs/dbraw/zinc/90/33/06/607903306.db2.gz QTSBASOANRKTIM-WXHSDQCUSA-N -1 1 316.365 1.034 20 0 DDADMM CO[C@@H]1CC[C@@H]2OCCN(c3cccc(-c4nn[n-]n4)n3)[C@H]2C1 ZINC000826146139 607903307 /nfs/dbraw/zinc/90/33/07/607903307.db2.gz QTSBASOANRKTIM-WXHSDQCUSA-N -1 1 316.365 1.034 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CC[C@H](OCc3ccncc3)C2)c1 ZINC000826514055 607903390 /nfs/dbraw/zinc/90/33/90/607903390.db2.gz FWVVEZBAPJPLSN-ZDUSSCGKSA-N -1 1 323.360 1.452 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CC[C@H](OCc3ccncc3)C2)c1 ZINC000826514055 607903391 /nfs/dbraw/zinc/90/33/91/607903391.db2.gz FWVVEZBAPJPLSN-ZDUSSCGKSA-N -1 1 323.360 1.452 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N(CC(F)F)CC1CCC1 ZINC000826472302 608073173 /nfs/dbraw/zinc/07/31/73/608073173.db2.gz GEFQAHRYNASAFK-UHFFFAOYSA-N -1 1 322.319 1.769 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N(CC(F)F)CC1CCC1 ZINC000826472302 608073174 /nfs/dbraw/zinc/07/31/74/608073174.db2.gz GEFQAHRYNASAFK-UHFFFAOYSA-N -1 1 322.319 1.769 20 0 DDADMM c1ccc([C@@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@@H]2CCOC2)cc1 ZINC000826520675 608238581 /nfs/dbraw/zinc/23/85/81/608238581.db2.gz PIJYSGFDPPTSKN-IUODEOHRSA-N -1 1 323.360 1.846 20 0 DDADMM c1ccc([C@@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@@H]2CCOC2)cc1 ZINC000826520675 608238582 /nfs/dbraw/zinc/23/85/82/608238582.db2.gz PIJYSGFDPPTSKN-IUODEOHRSA-N -1 1 323.360 1.846 20 0 DDADMM CS[C@H](C)CCC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826225519 608331809 /nfs/dbraw/zinc/33/18/09/608331809.db2.gz DKOIJXLFYRZJOQ-SECBINFHSA-N -1 1 307.379 1.837 20 0 DDADMM CS[C@H](C)CCC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826225519 608331811 /nfs/dbraw/zinc/33/18/11/608331811.db2.gz DKOIJXLFYRZJOQ-SECBINFHSA-N -1 1 307.379 1.837 20 0 DDADMM Cc1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cc2n[nH]cc21 ZINC000826270546 608384495 /nfs/dbraw/zinc/38/44/95/608384495.db2.gz FKASSYCCSMZKCD-UHFFFAOYSA-N -1 1 320.316 1.699 20 0 DDADMM Cc1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cc2n[nH]cc21 ZINC000826270546 608384496 /nfs/dbraw/zinc/38/44/96/608384496.db2.gz FKASSYCCSMZKCD-UHFFFAOYSA-N -1 1 320.316 1.699 20 0 DDADMM Cc1ccccc1[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000826306481 608410528 /nfs/dbraw/zinc/41/05/28/608410528.db2.gz ADQCVGVTERYMRF-LBPRGKRZSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1ccccc1[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000826306481 608410530 /nfs/dbraw/zinc/41/05/30/608410530.db2.gz ADQCVGVTERYMRF-LBPRGKRZSA-N -1 1 307.361 1.959 20 0 DDADMM CCOc1ccccc1CCNc1ccc(-c2nn[n-]n2)nn1 ZINC000825654209 608411766 /nfs/dbraw/zinc/41/17/66/608411766.db2.gz RNWXUIMNTDFMLO-UHFFFAOYSA-N -1 1 311.349 1.710 20 0 DDADMM CCOc1ccccc1CCNc1ccc(-c2nnn[n-]2)nn1 ZINC000825654209 608411765 /nfs/dbraw/zinc/41/17/65/608411765.db2.gz RNWXUIMNTDFMLO-UHFFFAOYSA-N -1 1 311.349 1.710 20 0 DDADMM CN(Cc1nc2ccccc2n1C)c1ccc(-c2nnn[n-]2)nn1 ZINC000825991654 608421775 /nfs/dbraw/zinc/42/17/75/608421775.db2.gz FXURTDSQJOJPRI-UHFFFAOYSA-N -1 1 321.348 1.180 20 0 DDADMM CN(Cc1nc2ccccc2n1C)c1ccc(-c2nn[n-]n2)nn1 ZINC000825991654 608421776 /nfs/dbraw/zinc/42/17/76/608421776.db2.gz FXURTDSQJOJPRI-UHFFFAOYSA-N -1 1 321.348 1.180 20 0 DDADMM Cc1cccc(CC2CN(c3ccc(-c4nnn[n-]4)nn3)C2)c1 ZINC000826297713 608432002 /nfs/dbraw/zinc/43/20/02/608432002.db2.gz PPIJTTGSSODNME-UHFFFAOYSA-N -1 1 307.361 1.644 20 0 DDADMM Cc1cccc(CC2CN(c3ccc(-c4nn[n-]n4)nn3)C2)c1 ZINC000826297713 608432003 /nfs/dbraw/zinc/43/20/03/608432003.db2.gz PPIJTTGSSODNME-UHFFFAOYSA-N -1 1 307.361 1.644 20 0 DDADMM COc1ccc(CNc2ccc(-c3nnn[n-]3)nn2)c(F)c1 ZINC000826203749 608432037 /nfs/dbraw/zinc/43/20/37/608432037.db2.gz DREYOUJZDZKAAR-UHFFFAOYSA-N -1 1 301.285 1.417 20 0 DDADMM COc1ccc(CNc2ccc(-c3nn[n-]n3)nn2)c(F)c1 ZINC000826203749 608432038 /nfs/dbraw/zinc/43/20/38/608432038.db2.gz DREYOUJZDZKAAR-UHFFFAOYSA-N -1 1 301.285 1.417 20 0 DDADMM CCOC(=O)[C@H]1CCCCN1Cc1ccc(-c2nn[n-]n2)s1 ZINC000825499251 608499912 /nfs/dbraw/zinc/49/99/12/608499912.db2.gz JBOZXEQHLNPZIW-LLVKDONJSA-N -1 1 321.406 1.846 20 0 DDADMM Cc1sc(NC(=O)Cn2ccnc2-c2nnn[n-]2)c(C)c1C ZINC000826349449 608890408 /nfs/dbraw/zinc/89/04/08/608890408.db2.gz IAYYXSGVJHVSJM-UHFFFAOYSA-N -1 1 317.378 1.689 20 0 DDADMM Cc1sc(NC(=O)Cn2ccnc2-c2nn[n-]n2)c(C)c1C ZINC000826349449 608890410 /nfs/dbraw/zinc/89/04/10/608890410.db2.gz IAYYXSGVJHVSJM-UHFFFAOYSA-N -1 1 317.378 1.689 20 0 DDADMM C[C@H](CO)CNc1ccn(-c2cccc(F)c2-c2nn[n-]n2)n1 ZINC000824441778 609278357 /nfs/dbraw/zinc/27/83/57/609278357.db2.gz SFPUCMNBNCVVAO-VIFPVBQESA-N -1 1 317.328 1.232 20 0 DDADMM Cc1nn(C[C@@H]2CCC[C@@H](C)C2)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334357 609379012 /nfs/dbraw/zinc/37/90/12/609379012.db2.gz RRRZXLONSVPODZ-BXKDBHETSA-N -1 1 302.382 1.867 20 0 DDADMM C[C@@]1(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000974054583 695629256 /nfs/dbraw/zinc/62/92/56/695629256.db2.gz HBAQYFHNLKXRTJ-BWACUDIHSA-N -1 1 315.373 1.521 20 0 DDADMM O=C([O-])CN(C(=O)N[C@H]1CCCc2cn[nH]c21)C1CCOCC1 ZINC000797726093 700021444 /nfs/dbraw/zinc/02/14/44/700021444.db2.gz ZFGLYGDDDJWYMJ-LBPRGKRZSA-N -1 1 322.365 1.062 20 0 DDADMM CSCC(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975220796 695816061 /nfs/dbraw/zinc/81/60/61/695816061.db2.gz NGQKWEFVORDERN-LLVKDONJSA-N -1 1 323.418 1.119 20 0 DDADMM O=C(NC[C@@H]1CCCN(C(=O)C(F)F)C1)c1ncccc1[O-] ZINC000975227537 695817315 /nfs/dbraw/zinc/81/73/15/695817315.db2.gz UBUJNWAADRDGSQ-VIFPVBQESA-N -1 1 313.304 1.021 20 0 DDADMM CC(C)(C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976403707 695971538 /nfs/dbraw/zinc/97/15/38/695971538.db2.gz OCACDCFLQNNDSM-IAZYJMLFSA-N -1 1 303.362 1.020 20 0 DDADMM O=C(NC[C@H]1COC2(CCCCC2)O1)C(=O)c1ccc([O-])cc1 ZINC000798177236 700047106 /nfs/dbraw/zinc/04/71/06/700047106.db2.gz HDUJTJSPUDYSOR-AWEZNQCLSA-N -1 1 319.357 1.767 20 0 DDADMM CCC(Nc1ccc(C)cc1C)=C1C(=O)[N-]C(=S)NC1=O ZINC000009770457 696037447 /nfs/dbraw/zinc/03/74/47/696037447.db2.gz YYSBUPFZSLKLLJ-UHFFFAOYSA-N -1 1 303.387 1.910 20 0 DDADMM Cc1c2cc(F)ccc2oc1C(=O)[N-]NC(=O)[C@H]1CCCO1 ZINC000032259224 696123358 /nfs/dbraw/zinc/12/33/58/696123358.db2.gz JPBIEKCSSGJTOL-GFCCVEGCSA-N -1 1 306.293 1.820 20 0 DDADMM CCC(CC)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977618864 696237166 /nfs/dbraw/zinc/23/71/66/696237166.db2.gz PJDLNCKJYRKXTH-ZDUSSCGKSA-N -1 1 319.405 1.896 20 0 DDADMM CCC(N[C@@H](C)c1ccccc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000054501951 696276653 /nfs/dbraw/zinc/27/66/53/696276653.db2.gz FYXOBWXNBXRDQB-VIFPVBQESA-N -1 1 303.387 1.532 20 0 DDADMM CCO[C@@H](CC)C(=O)NCCc1n[n-]c(=S)n1C(C)C ZINC000067074277 696358913 /nfs/dbraw/zinc/35/89/13/696358913.db2.gz FWTBDIHBRVFFIB-JTQLQIEISA-N -1 1 300.428 1.995 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2nc3ccccc3s2)s1 ZINC000079431919 696460431 /nfs/dbraw/zinc/46/04/31/696460431.db2.gz LIEGARSUCQEDSR-SECBINFHSA-N -1 1 320.399 1.259 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H](O)c3ccco3)cnc2n1 ZINC000079979628 696465603 /nfs/dbraw/zinc/46/56/03/696465603.db2.gz VYLCHPUIAKAYBR-GFCCVEGCSA-N -1 1 313.313 1.700 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@H](O)c1ccco1)c2=O ZINC000079979628 696465605 /nfs/dbraw/zinc/46/56/05/696465605.db2.gz VYLCHPUIAKAYBR-GFCCVEGCSA-N -1 1 313.313 1.700 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@H](Nc3ccccc3)C2)s1 ZINC000079993606 696465996 /nfs/dbraw/zinc/46/59/96/696465996.db2.gz VJPDAXXJMRAJDI-RYUDHWBXSA-N -1 1 318.402 1.046 20 0 DDADMM Cc1ncsc1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000081684100 696547179 /nfs/dbraw/zinc/54/71/79/696547179.db2.gz MCXXJFMMIMLSLR-UHFFFAOYSA-N -1 1 306.391 1.897 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCc1ccon1 ZINC000082994182 696549432 /nfs/dbraw/zinc/54/94/32/696549432.db2.gz QLGAQKOXEUGXLV-UHFFFAOYSA-N -1 1 315.333 1.289 20 0 DDADMM CCc1cc(NC(=O)NCCn2c(CC)n[n-]c2=S)n(C)n1 ZINC000084323462 696557199 /nfs/dbraw/zinc/55/71/99/696557199.db2.gz ZVIWOVMNFDAGSS-UHFFFAOYSA-N -1 1 323.426 1.621 20 0 DDADMM Cn1c(CNC(=O)c2ccc(-n3cccc3)nc2)n[n-]c1=S ZINC000084599106 696558791 /nfs/dbraw/zinc/55/87/91/696558791.db2.gz RRBHFOUQDICIGI-UHFFFAOYSA-N -1 1 314.374 1.593 20 0 DDADMM Cc1cccc(S(=O)(=O)CCC[N-]C(=O)C(F)(F)F)c1 ZINC000091576297 696589370 /nfs/dbraw/zinc/58/93/70/696589370.db2.gz CWNBLJIAZCJJSO-UHFFFAOYSA-N -1 1 309.309 1.837 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc2ccccc2o1)[C@@H]1CN(C)CCO1 ZINC000125897006 696757043 /nfs/dbraw/zinc/75/70/43/696757043.db2.gz WZUVGJCOCLTXEW-FZMZJTMJSA-N -1 1 324.402 1.430 20 0 DDADMM Cc1cc(N(C)C)cc(C)c1NC(=O)Cc1sc(N)nc1[O-] ZINC000129859150 696792236 /nfs/dbraw/zinc/79/22/36/696792236.db2.gz KIHCDGMSVXXYEB-LLVKDONJSA-N -1 1 320.418 1.655 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C(=O)OC(C)(C)C ZINC000152294085 696897244 /nfs/dbraw/zinc/89/72/44/696897244.db2.gz SMSPQJJOUNUHOH-SSDOTTSWSA-N -1 1 323.802 1.082 20 0 DDADMM CC[C@@](C)(CC(=O)OC)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000748656941 700130190 /nfs/dbraw/zinc/13/01/90/700130190.db2.gz VZFDNZOMWWRHBB-NSHDSACASA-N -1 1 323.802 1.084 20 0 DDADMM CCC(=O)N1CC[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000982311737 697091541 /nfs/dbraw/zinc/09/15/41/697091541.db2.gz NSKABMYHXQNBAF-SNVBAGLBSA-N -1 1 318.377 1.048 20 0 DDADMM Cc1ncsc1CN(C)C(=O)c1nnc2ccccc2c1O ZINC000171871555 697362896 /nfs/dbraw/zinc/36/28/96/697362896.db2.gz ORUDNKQVXDYRDO-UHFFFAOYSA-N -1 1 314.370 1.960 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCC2CCCC2)o1 ZINC000174808222 697392329 /nfs/dbraw/zinc/39/23/29/697392329.db2.gz PMWRYWKCFIDDMU-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM CCCc1cc(C(=O)[N-]N2C(=O)NC3(CCCCC3)C2=O)n[nH]1 ZINC000174942615 697393587 /nfs/dbraw/zinc/39/35/87/697393587.db2.gz BFLCMEXQSYNVIV-UHFFFAOYSA-N -1 1 319.365 1.262 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)Nc2cccc(Cl)c2F)n[nH]1 ZINC000183003862 697482286 /nfs/dbraw/zinc/48/22/86/697482286.db2.gz FWONWACWHVEARW-UHFFFAOYSA-N -1 1 311.704 1.977 20 0 DDADMM C[C@H](C(=O)[N-]OC[C@@H]1CCOC1)N1CCc2ccccc2C1 ZINC000188332834 697557254 /nfs/dbraw/zinc/55/72/54/697557254.db2.gz NIMDZJRLAJKFRL-ZIAGYGMSSA-N -1 1 304.390 1.518 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccccc1F ZINC000985898685 697597959 /nfs/dbraw/zinc/59/79/59/697597959.db2.gz MIKIAMCSWLWBNB-SKDRFNHKSA-N -1 1 319.340 1.042 20 0 DDADMM O=C(C[C@H](O)c1cc(Cl)cc(Cl)c1)NCc1nn[n-]n1 ZINC000772678169 697659928 /nfs/dbraw/zinc/65/99/28/697659928.db2.gz JSDJAPGJJXTULT-VIFPVBQESA-N -1 1 316.148 1.246 20 0 DDADMM Cc1cscc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986101900 697685912 /nfs/dbraw/zinc/68/59/12/697685912.db2.gz NWVBVEUVRVBQFH-ONGXEEELSA-N -1 1 321.406 1.273 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCC1CCC1 ZINC000986116321 697690976 /nfs/dbraw/zinc/69/09/76/697690976.db2.gz NWYMLFNVVFRALJ-CMPLNLGQSA-N -1 1 307.398 1.170 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000773493150 697767984 /nfs/dbraw/zinc/76/79/84/697767984.db2.gz RGLQNAHOLZAIJE-UPHRSURJSA-N -1 1 318.308 1.729 20 0 DDADMM CCOCCCNC(=O)[C@@H](C)OC(=O)c1ccc([O-])cc1F ZINC000773567510 697782382 /nfs/dbraw/zinc/78/23/82/697782382.db2.gz AXQMSBURPZDWME-SNVBAGLBSA-N -1 1 313.325 1.619 20 0 DDADMM CCC(=O)c1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)ccc1F ZINC000774003673 697832441 /nfs/dbraw/zinc/83/24/41/697832441.db2.gz YHHZTSQTODUSIH-VIFPVBQESA-N -1 1 319.340 1.807 20 0 DDADMM COc1cccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000774886476 697938568 /nfs/dbraw/zinc/93/85/68/697938568.db2.gz OQBMUQGHVQVHME-UHFFFAOYSA-N -1 1 305.252 1.921 20 0 DDADMM Cc1ccc(OCC(=O)OCCC[N-]C(=O)C(F)(F)F)cc1 ZINC000774900509 697939630 /nfs/dbraw/zinc/93/96/30/697939630.db2.gz FSPJBEKECSESEK-UHFFFAOYSA-N -1 1 319.279 1.986 20 0 DDADMM O=C([N-]CCCOC(=O)c1cccc2c[nH]nc21)C(F)(F)F ZINC000774915564 697941748 /nfs/dbraw/zinc/94/17/48/697941748.db2.gz WSKAUKAHCSDJQC-UHFFFAOYSA-N -1 1 315.251 1.788 20 0 DDADMM Cc1c(O)cccc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774992958 697951429 /nfs/dbraw/zinc/95/14/29/697951429.db2.gz WCSABMLEOWFHIR-UHFFFAOYSA-N -1 1 305.252 1.926 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCC(=O)C(F)F ZINC000800153739 700194717 /nfs/dbraw/zinc/19/47/17/700194717.db2.gz YKKRLMUOSZZYLS-UHFFFAOYSA-N -1 1 321.301 1.439 20 0 DDADMM CSc1ccc(C)c(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000775890394 698048604 /nfs/dbraw/zinc/04/86/04/698048604.db2.gz LGUWDBRSXVFVLA-UHFFFAOYSA-N -1 1 319.386 1.596 20 0 DDADMM Cn1cc(O)c(=O)cc1CNC(=O)c1cc2ccccc2cc1[O-] ZINC000750013742 700198511 /nfs/dbraw/zinc/19/85/11/700198511.db2.gz QMLRPLHOGKAVOW-UHFFFAOYSA-N -1 1 324.336 1.880 20 0 DDADMM CCC/C(C)=C/C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000777356277 698182174 /nfs/dbraw/zinc/18/21/74/698182174.db2.gz VDYJVTKIIMRNID-CSKARUKUSA-N -1 1 304.350 1.558 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1CCCCS1 ZINC000777360824 698182358 /nfs/dbraw/zinc/18/23/58/698182358.db2.gz JLJWOHVTDVMETH-NSHDSACASA-N -1 1 322.390 1.097 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)CC(C)(C)C)N1CCCCC1 ZINC000778638909 698355098 /nfs/dbraw/zinc/35/50/98/698355098.db2.gz HEOBXKZFHMYGPW-CYBMUJFWSA-N -1 1 318.483 1.989 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCC[C@@H]1CCO ZINC000779357260 698416903 /nfs/dbraw/zinc/41/69/03/698416903.db2.gz TVKGHMCAHNCBIE-NEPJUHHUSA-N -1 1 307.394 1.895 20 0 DDADMM O=C([O-])CCN1CCN(Cc2nc(-c3cccs3)no2)CC1 ZINC000261643124 698430854 /nfs/dbraw/zinc/43/08/54/698430854.db2.gz IXNHQIPOHVTULI-UHFFFAOYSA-N -1 1 322.390 1.390 20 0 DDADMM CC(C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C)=C1CCC1 ZINC000988816813 698474833 /nfs/dbraw/zinc/47/48/33/698474833.db2.gz CYOBMARNYGGWJE-SKDRFNHKSA-N -1 1 305.382 1.090 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2(C)CCC2)cc1 ZINC000750535465 700229717 /nfs/dbraw/zinc/22/97/17/700229717.db2.gz UNEXRGSKZBGQER-UHFFFAOYSA-N -1 1 305.330 1.685 20 0 DDADMM CCc1cc(CNC(=O)N[C@@H](C(=O)[O-])C2CCCCC2)n[nH]1 ZINC000780571176 698533630 /nfs/dbraw/zinc/53/36/30/698533630.db2.gz RUPSMZZANCXCII-CYBMUJFWSA-N -1 1 308.382 1.805 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCC=CO2)o1 ZINC000780903659 698569650 /nfs/dbraw/zinc/56/96/50/698569650.db2.gz YLXAHQIUPNKWOJ-SNVBAGLBSA-N -1 1 315.347 1.427 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC2(CNC(=O)O2)CC1 ZINC000781850704 698654687 /nfs/dbraw/zinc/65/46/87/698654687.db2.gz XUGURBPRXCCMFM-UHFFFAOYSA-N -1 1 310.737 1.760 20 0 DDADMM CN1CCC[C@@H](NC(=O)N2Cc3ccccc3C[C@@H]2C(=O)[O-])C1 ZINC000320299831 698719030 /nfs/dbraw/zinc/71/90/30/698719030.db2.gz BIHJWWXYMJILPD-HUUCEWRRSA-N -1 1 317.389 1.302 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2ocnc2C)cc1 ZINC000750929332 700258352 /nfs/dbraw/zinc/25/83/52/700258352.db2.gz DGGLBKSFTILRNK-UHFFFAOYSA-N -1 1 318.285 1.105 20 0 DDADMM COC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1ccccc1F ZINC000750938196 700259169 /nfs/dbraw/zinc/25/91/69/700259169.db2.gz TTWJDSWDRYNLFQ-UHFFFAOYSA-N -1 1 314.294 1.412 20 0 DDADMM CN(c1nc([O-])c(CC(=O)Nc2cccc(O)c2)s1)C1CC1 ZINC000783681300 698867471 /nfs/dbraw/zinc/86/74/71/698867471.db2.gz BJOWHRQXDJLZEV-GFCCVEGCSA-N -1 1 319.386 1.813 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1ccc(C(=O)[O-])cc1Cl)N(C)C ZINC000380470692 699062141 /nfs/dbraw/zinc/06/21/41/699062141.db2.gz IWEREESHBVCFTO-QMMMGPOBSA-N -1 1 320.798 1.267 20 0 DDADMM COc1cc(C(=O)NCCNc2ncccn2)cc(Cl)c1[O-] ZINC000785410311 699074239 /nfs/dbraw/zinc/07/42/39/699074239.db2.gz WRRUXFKAPXNZLP-UHFFFAOYSA-N -1 1 322.752 1.686 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C(C)(C2CC2)C2CC2)c1Cl ZINC000700805596 699222752 /nfs/dbraw/zinc/22/27/52/699222752.db2.gz QPQDEBKDAIMUGK-UHFFFAOYSA-N -1 1 303.815 1.931 20 0 DDADMM C[C@@H]1CN(Cc2cc(Br)nn2C)C[C@@]1(C)C(=O)[O-] ZINC000710308990 699254011 /nfs/dbraw/zinc/25/40/11/699254011.db2.gz WMUQKPUNLWJAMQ-PRHODGIISA-N -1 1 316.199 1.725 20 0 DDADMM O=C(OCCn1cccn1)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000787954189 699259481 /nfs/dbraw/zinc/25/94/81/699259481.db2.gz DLKCLLVXHAGQRS-UHFFFAOYSA-N -1 1 316.292 1.771 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000788117695 699280751 /nfs/dbraw/zinc/28/07/51/699280751.db2.gz KPAMLPIUYMORPX-CHWSQXEVSA-N -1 1 304.346 1.124 20 0 DDADMM CC(C)(C)c1ncc([N-]S(=O)(=O)C[C@H]2CCCOC2)cn1 ZINC000788138468 699283176 /nfs/dbraw/zinc/28/31/76/699283176.db2.gz DLAMMDFYPBCZGU-NSHDSACASA-N -1 1 313.423 1.942 20 0 DDADMM COc1csc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000788147060 699283984 /nfs/dbraw/zinc/28/39/84/699283984.db2.gz GIALDKPBXQKCLX-QMMMGPOBSA-N -1 1 311.392 1.200 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000788274664 699296089 /nfs/dbraw/zinc/29/60/89/699296089.db2.gz QIOGKODSPQWQRE-QWHCGFSZSA-N -1 1 310.394 1.252 20 0 DDADMM O=C(N[C@@H]1CC12CC(O)C2)c1ccc(Br)cc1[O-] ZINC000724985631 699328847 /nfs/dbraw/zinc/32/88/47/699328847.db2.gz KPUCNNRQFWVEAI-UHLWVNKISA-N -1 1 312.163 1.798 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2ccc(Cl)nc2)n1 ZINC000726795685 699381248 /nfs/dbraw/zinc/38/12/48/699381248.db2.gz NSISSZULWXPGCN-ZCFIWIBFSA-N -1 1 302.743 1.466 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1ccc(Cl)nc1 ZINC000789955367 699425197 /nfs/dbraw/zinc/42/51/97/699425197.db2.gz XKRWXGRMPKBYGD-UHFFFAOYSA-N -1 1 324.724 1.217 20 0 DDADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccc(F)c(F)c1 ZINC000727848128 699427605 /nfs/dbraw/zinc/42/76/05/699427605.db2.gz ZADCIVJXVZWHET-SECBINFHSA-N -1 1 323.299 1.082 20 0 DDADMM CC[C@@H](C)Oc1ccccc1C=CC(=O)NCc1nn[n-]n1 ZINC000732158328 699548332 /nfs/dbraw/zinc/54/83/32/699548332.db2.gz ZONWZESXQKYPAP-ANYFNZRUSA-N -1 1 301.350 1.707 20 0 DDADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)c1cc(C)cc(C)c1 ZINC000733132190 699579985 /nfs/dbraw/zinc/57/99/85/699579985.db2.gz JIGNISSZRTVIQC-UHFFFAOYSA-N -1 1 315.373 1.597 20 0 DDADMM COC[C@@H](C)OC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000733705620 699612671 /nfs/dbraw/zinc/61/26/71/699612671.db2.gz FWWSNBCAHWQUJF-MRVPVSSYSA-N -1 1 300.380 1.723 20 0 DDADMM COc1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1F ZINC000791163833 699613849 /nfs/dbraw/zinc/61/38/49/699613849.db2.gz FVODPTBLJSXJHH-UHFFFAOYSA-N -1 1 300.293 1.742 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C3C[C@H]4CCC[C@@H](C3)C4=O)ccnc1-2 ZINC000791168055 699614215 /nfs/dbraw/zinc/61/42/15/699614215.db2.gz VLXNJRUCVTZKCI-FOSCPWQOSA-N -1 1 312.373 1.676 20 0 DDADMM O=C(NCC1OCCCO1)c1ccc(C(F)(F)F)cc1[O-] ZINC000791231008 699616221 /nfs/dbraw/zinc/61/62/21/699616221.db2.gz VOVOCJGUWZECSI-UHFFFAOYSA-N -1 1 305.252 1.904 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cccc(-n4ccnn4)c3)ccnc1-2 ZINC000791286078 699619760 /nfs/dbraw/zinc/61/97/60/699619760.db2.gz NOWULKZPDLHNAW-UHFFFAOYSA-N -1 1 319.328 1.175 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccccc1NC(=O)C1CC1 ZINC000734499847 699653222 /nfs/dbraw/zinc/65/32/22/699653222.db2.gz HUKLOMGWUMURNA-UHFFFAOYSA-N -1 1 321.358 1.834 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](C)NC(=O)OC(C)(C)C)c([O-])c1 ZINC000792520288 699700382 /nfs/dbraw/zinc/70/03/82/699700382.db2.gz GRUSUEXMVZTGNS-JTQLQIEISA-N -1 1 309.366 1.739 20 0 DDADMM CCC[C@@H](NC(=O)COc1cccc(C=O)c1)c1nn[n-]n1 ZINC000736430531 699725342 /nfs/dbraw/zinc/72/53/42/699725342.db2.gz UGICNOPMXXVKOA-GFCCVEGCSA-N -1 1 303.322 1.049 20 0 DDADMM COc1cc(C=O)cc(Cl)c1OS(=O)(=O)c1c[n-]cn1 ZINC000736626395 699728784 /nfs/dbraw/zinc/72/87/84/699728784.db2.gz ZSGYYEVXNMQIJE-UHFFFAOYSA-N -1 1 316.722 1.652 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(Cl)cc(C(=O)OC)c1 ZINC000737757516 699744389 /nfs/dbraw/zinc/74/43/89/699744389.db2.gz QMSMBKXHQJBSAX-UHFFFAOYSA-N -1 1 307.755 1.515 20 0 DDADMM CN(Cn1[n-]c(-c2ccccn2)nc1=S)[C@H]1CCN(C)C1=O ZINC000738269378 699756254 /nfs/dbraw/zinc/75/62/54/699756254.db2.gz TWTNZFPQFAHVSI-NSHDSACASA-N -1 1 318.406 1.123 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(F)(F)C2CCOCC2)c[n-]1 ZINC000793959478 699789119 /nfs/dbraw/zinc/78/91/19/699789119.db2.gz KHQVMUZCZNGINP-UHFFFAOYSA-N -1 1 317.292 1.587 20 0 DDADMM O=C(CCCn1ccnc1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000741279044 699828035 /nfs/dbraw/zinc/82/80/35/699828035.db2.gz NYZYDNQSILVSRN-CQSZACIVSA-N -1 1 311.349 1.082 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cnc(Cl)c(F)c3)ccnc1-2 ZINC000808784387 701620228 /nfs/dbraw/zinc/62/02/28/701620228.db2.gz TVYPNKCZJJTOCV-UHFFFAOYSA-N -1 1 305.700 1.782 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N[C@@H]2CCCC[C@H]2C)[n-]1 ZINC000796343260 699928121 /nfs/dbraw/zinc/92/81/21/699928121.db2.gz AVPOMQVBXCDABL-GHMZBOCLSA-N -1 1 322.361 1.653 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N(C)c2ccccc2)[n-]1 ZINC000796347743 699928258 /nfs/dbraw/zinc/92/82/58/699928258.db2.gz YTPMZRQVCNZNSU-UHFFFAOYSA-N -1 1 316.313 1.621 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@H]2Cc3ccccc3O2)[n-]1 ZINC000796368911 699929819 /nfs/dbraw/zinc/92/98/19/699929819.db2.gz IVNFHUKYTPHQPS-LLVKDONJSA-N -1 1 301.298 1.962 20 0 DDADMM C[C@@H]1Oc2ccccc2[C@@H]1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000808861755 701624389 /nfs/dbraw/zinc/62/43/89/701624389.db2.gz MZWAOKDQHIEIHM-ZUZCIYMTSA-N -1 1 323.356 1.986 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1ccccc1C=O)c1ccco1 ZINC000751469042 700292562 /nfs/dbraw/zinc/29/25/62/700292562.db2.gz QKUHALKUFCCMMV-GFCCVEGCSA-N -1 1 309.343 1.758 20 0 DDADMM O=C(COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-])N1CCC1 ZINC000801325154 700297228 /nfs/dbraw/zinc/29/72/28/700297228.db2.gz JCHGRXSFAMZEJS-UHFFFAOYSA-N -1 1 319.292 1.106 20 0 DDADMM CNC(=O)COC(=O)c1nn(-c2cccc(Cl)c2)cc1[O-] ZINC000801361348 700300765 /nfs/dbraw/zinc/30/07/65/700300765.db2.gz GRQWBBITWKHGQD-UHFFFAOYSA-N -1 1 309.709 1.134 20 0 DDADMM CCOC(=O)[C@H](C)OC(=O)c1nn(-c2ccc(C)cc2)cc1[O-] ZINC000801369136 700301660 /nfs/dbraw/zinc/30/16/60/700301660.db2.gz HZRSJNUCQLOCKX-NSHDSACASA-N -1 1 318.329 1.995 20 0 DDADMM Cc1cccc(-n2cc([O-])c(C(=O)O[C@H]3CCOC3=O)n2)c1C ZINC000801418409 700305772 /nfs/dbraw/zinc/30/57/72/700305772.db2.gz MGGCBRRJJKOZJD-ZDUSSCGKSA-N -1 1 316.313 1.667 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)O[C@H](CC)C(N)=O)n1 ZINC000801419869 700306304 /nfs/dbraw/zinc/30/63/04/700306304.db2.gz JCUZVWQKYXTXPV-CYBMUJFWSA-N -1 1 317.345 1.561 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCO[C@H](C(F)F)C1 ZINC000801422058 700306870 /nfs/dbraw/zinc/30/68/70/700306870.db2.gz HXWAGBZYTZJZCC-ZETCQYMHSA-N -1 1 319.333 1.319 20 0 DDADMM O=C([N-][C@H]1CCN(C(=O)Nc2ccccc2)C1)C(F)(F)F ZINC000801587749 700324774 /nfs/dbraw/zinc/32/47/74/700324774.db2.gz ACIBVPCZOATSFA-JTQLQIEISA-N -1 1 301.268 1.971 20 0 DDADMM COC(=O)c1ccccc1CC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801700833 700332052 /nfs/dbraw/zinc/33/20/52/700332052.db2.gz RGIBZTDNBKHFKM-UHFFFAOYSA-N -1 1 324.340 1.310 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CCOc3ccc(F)cc3)ccnc1-2 ZINC000801702017 700332362 /nfs/dbraw/zinc/33/23/62/700332362.db2.gz NEIOUTHSRRYLIC-UHFFFAOYSA-N -1 1 314.320 1.889 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CCCOc3cccnc3)ccnc1-2 ZINC000801703503 700333242 /nfs/dbraw/zinc/33/32/42/700333242.db2.gz PCXDYGKEBNZXKI-UHFFFAOYSA-N -1 1 311.345 1.535 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@@H]1CCCCO1 ZINC000751982445 700333510 /nfs/dbraw/zinc/33/35/10/700333510.db2.gz NEFWRSOFIZJXTI-QWHCGFSZSA-N -1 1 319.423 1.207 20 0 DDADMM CNC(=O)CCCN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000753736807 700452707 /nfs/dbraw/zinc/45/27/07/700452707.db2.gz BPKXTMZGVYDCEM-UHFFFAOYSA-N -1 1 308.382 1.212 20 0 DDADMM COC(=O)C[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C1CC1 ZINC000754238261 700491411 /nfs/dbraw/zinc/49/14/11/700491411.db2.gz IOFUOBZMIGREKB-NSHDSACASA-N -1 1 319.329 1.585 20 0 DDADMM O=C(C[C@H]1CCOC1)Nc1nc(Br)ccc1[O-] ZINC000754275281 700494033 /nfs/dbraw/zinc/49/40/33/700494033.db2.gz RNNNVFWVUKSMTH-SSDOTTSWSA-N -1 1 301.140 1.915 20 0 DDADMM CCC[C@@H](C)c1noc(C[N-]S(=O)(=O)CCOCC)n1 ZINC000761853056 700871383 /nfs/dbraw/zinc/87/13/83/700871383.db2.gz KSSBBQXIZSMMEJ-SNVBAGLBSA-N -1 1 305.400 1.429 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCSC2)o1 ZINC000762145549 700882646 /nfs/dbraw/zinc/88/26/46/700882646.db2.gz IKAHFLCVKLTHSW-MRVPVSSYSA-N -1 1 305.377 1.098 20 0 DDADMM CC(C)Sc1ccccc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765389694 701009479 /nfs/dbraw/zinc/00/94/79/701009479.db2.gz JQYDAMZMFCZZBP-UHFFFAOYSA-N -1 1 307.375 1.966 20 0 DDADMM Cn1[n-]c(COC(=O)C2(c3ccccc3F)CCCC2)nc1=O ZINC000765399515 701009910 /nfs/dbraw/zinc/00/99/10/701009910.db2.gz ZTFIVYGDFDQEDD-UHFFFAOYSA-N -1 1 319.336 1.803 20 0 DDADMM Cc1c2cccc(F)c2oc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765418951 701011132 /nfs/dbraw/zinc/01/11/32/701011132.db2.gz MFGZJCRTPBREFS-UHFFFAOYSA-N -1 1 305.265 1.659 20 0 DDADMM Cn1[n-]c(COC(=O)c2c[nH]nc2C2CCCCC2)nc1=O ZINC000765462610 701013950 /nfs/dbraw/zinc/01/39/50/701013950.db2.gz GYJFIZOXLFGIGQ-UHFFFAOYSA-N -1 1 305.338 1.236 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(F)cc2C(F)(F)F)nc1=O ZINC000765496176 701016156 /nfs/dbraw/zinc/01/61/56/701016156.db2.gz XKYMVTATVUWZDD-UHFFFAOYSA-N -1 1 319.214 1.623 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccccc2COC(C)(C)C)nc1=O ZINC000765504126 701016735 /nfs/dbraw/zinc/01/67/35/701016735.db2.gz SORHIDKNINQQOR-UHFFFAOYSA-N -1 1 319.361 1.781 20 0 DDADMM COc1ccc(/C=C(\C)C(=O)OCc2nc(=O)n(C)[n-]2)cc1F ZINC000765507969 701016781 /nfs/dbraw/zinc/01/67/81/701016781.db2.gz LODQOPUJJLXJEQ-RMKNXTFCSA-N -1 1 321.308 1.403 20 0 DDADMM O=C(C[C@H]1Oc2ccccc2NC1=O)[N-]OCC(F)(F)F ZINC000766767542 701062255 /nfs/dbraw/zinc/06/22/55/701062255.db2.gz FSPIZWAWGTXAHH-SECBINFHSA-N -1 1 304.224 1.386 20 0 DDADMM O=C(CCc1nc(-c2ccco2)no1)[N-]OCC(F)(F)F ZINC000766772064 701062521 /nfs/dbraw/zinc/06/25/21/701062521.db2.gz URXYIALLUWBQIB-UHFFFAOYSA-N -1 1 305.212 1.872 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1ccc(Cl)nc1 ZINC000809825611 701693306 /nfs/dbraw/zinc/69/33/06/701693306.db2.gz JRYSIEUEADAXKO-UHFFFAOYSA-N -1 1 316.770 1.135 20 0 DDADMM COC(=O)CCc1csc(NC(=O)c2ccc(O)cc2[O-])n1 ZINC000769745694 701254742 /nfs/dbraw/zinc/25/47/42/701254742.db2.gz XTOMLRLMUKRWPK-UHFFFAOYSA-N -1 1 322.342 1.912 20 0 DDADMM C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1c([O-])cccc1Cl ZINC000769858482 701259540 /nfs/dbraw/zinc/25/95/40/701259540.db2.gz QWNAIANVVUAFGI-IUCAKERBSA-N -1 1 319.810 1.939 20 0 DDADMM CCN(c1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1)C(C)C ZINC000770055274 701266010 /nfs/dbraw/zinc/26/60/10/701266010.db2.gz XKUOIXCNWDZWQT-UHFFFAOYSA-N -1 1 319.365 1.510 20 0 DDADMM CC(C)Cn1ncc(NC(=O)NN2CC(=O)[N-]C2=O)c1C(C)C ZINC000770622116 701286486 /nfs/dbraw/zinc/28/64/86/701286486.db2.gz KWAMOJHVPQGKKE-UHFFFAOYSA-N -1 1 322.369 1.251 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2nnc(C)[nH]2)c(=O)[n-]1 ZINC000770639487 701287707 /nfs/dbraw/zinc/28/77/07/701287707.db2.gz RKQJROQMAWPILK-UHFFFAOYSA-N -1 1 323.378 1.315 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC1(c2cccc(F)c2)CCC1 ZINC000830871537 706594719 /nfs/dbraw/zinc/59/47/19/706594719.db2.gz VTAGEIZPKICFCM-UHFFFAOYSA-N -1 1 303.341 1.510 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H](OCCO)C1 ZINC000771784727 701330412 /nfs/dbraw/zinc/33/04/12/701330412.db2.gz HKCNPXHHZUAYRM-LBPRGKRZSA-N -1 1 323.393 1.228 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2ncc(C)s2)co1 ZINC000772034258 701341010 /nfs/dbraw/zinc/34/10/10/701341010.db2.gz QSNMSUGKTUSLHT-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM Cn1ncc(CNC(=O)c2ccc(C(F)(F)F)c([O-])c2)n1 ZINC000805215448 701373307 /nfs/dbraw/zinc/37/33/07/701373307.db2.gz QKJGZRRIBORRTH-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM O=C(OCc1nnc(-c2ccccc2Cl)o1)c1cn[n-]n1 ZINC000805605893 701398283 /nfs/dbraw/zinc/39/82/83/701398283.db2.gz PVZQJTSEWQQLED-UHFFFAOYSA-N -1 1 305.681 1.865 20 0 DDADMM O=C(O[C@@H](C(=O)NC1CC1)c1ccc(F)cc1)c1cn[n-]n1 ZINC000805605236 701398585 /nfs/dbraw/zinc/39/85/85/701398585.db2.gz XBWSURSBOXMOTF-GFCCVEGCSA-N -1 1 304.281 1.121 20 0 DDADMM Cc1noc(C)c1CN(C)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806482742 701436958 /nfs/dbraw/zinc/43/69/58/701436958.db2.gz UCLLDVBTWFQSHG-UHFFFAOYSA-N -1 1 314.349 1.611 20 0 DDADMM CCCCN(CCOC)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806490282 701437729 /nfs/dbraw/zinc/43/77/29/701437729.db2.gz DDOAXHAPDVWWGD-UHFFFAOYSA-N -1 1 305.382 1.622 20 0 DDADMM CCOC(CCNC(=O)N=c1ccnc2n(C)[n-]cc1-2)OCC ZINC000806492603 701438145 /nfs/dbraw/zinc/43/81/45/701438145.db2.gz JAYMKIQVXXDIPE-UHFFFAOYSA-N -1 1 321.381 1.253 20 0 DDADMM Cc1noc(C)c1N(C)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806492733 701438155 /nfs/dbraw/zinc/43/81/55/701438155.db2.gz MVMRSPHUDNBYMS-UHFFFAOYSA-N -1 1 300.322 1.615 20 0 DDADMM N[C@@](C(=O)[N-]N1CCCC1=O)(c1cccc(F)c1)C(F)(F)F ZINC000807183476 701468396 /nfs/dbraw/zinc/46/83/96/701468396.db2.gz CQCPJJIGTJOXAK-GFCCVEGCSA-N -1 1 319.258 1.196 20 0 DDADMM CC(C)(C)n1cnc([N-]C(=O)c2cnc(C3OCCO3)s2)n1 ZINC000807226158 701470490 /nfs/dbraw/zinc/47/04/90/701470490.db2.gz LAWZFCGAFFKFBJ-UHFFFAOYSA-N -1 1 323.378 1.787 20 0 DDADMM CCOC(=O)[C@@H](CC=C(C)C)[N-]S(=O)(=O)C[C@@H](C)OC ZINC000867758554 701734745 /nfs/dbraw/zinc/73/47/45/701734745.db2.gz IKEWNLHMUVQSLH-VXGBXAGGSA-N -1 1 307.412 1.229 20 0 DDADMM COC(=O)C1CC([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC000867771931 701740726 /nfs/dbraw/zinc/74/07/26/701740726.db2.gz IRUJOMFKXBGMAT-UHFFFAOYSA-N -1 1 305.302 1.195 20 0 DDADMM CCc1cccc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC000830952079 706609319 /nfs/dbraw/zinc/60/93/19/706609319.db2.gz ALGNNHMWOZKQQT-UHFFFAOYSA-N -1 1 314.345 1.142 20 0 DDADMM CC(=CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1ccco1 ZINC000830953705 706609852 /nfs/dbraw/zinc/60/98/52/706609852.db2.gz YBRLECFBDLXEML-JXMROGBWSA-N -1 1 315.329 1.568 20 0 DDADMM CCn1ncn([N-]C(=O)N[C@H](C2CCCC2)C(F)(F)F)c1=O ZINC000879192460 706611412 /nfs/dbraw/zinc/61/14/12/706611412.db2.gz MJTPTHWONBWJMJ-SECBINFHSA-N -1 1 321.303 1.439 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CCCn3nccc32)c1Cl ZINC000867901030 701817414 /nfs/dbraw/zinc/81/74/14/701817414.db2.gz CVWDLWFVZGTSAF-MRVPVSSYSA-N -1 1 315.786 1.083 20 0 DDADMM Cn1[n-]c(COC(=O)c2cnc3c(F)ccc(F)c3c2)nc1=O ZINC000815357699 701853143 /nfs/dbraw/zinc/85/31/43/701853143.db2.gz LDGXCEWEFUHLAM-UHFFFAOYSA-N -1 1 320.255 1.292 20 0 DDADMM Cc1ccc2nc(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)ccc2c1 ZINC000815519942 701885978 /nfs/dbraw/zinc/88/59/78/701885978.db2.gz MHQNSPFXEZUCIR-CQSZACIVSA-N -1 1 324.344 1.270 20 0 DDADMM CCOC(=O)C1(CC(=O)[N-]Oc2ccccc2)CCOCC1 ZINC000868050759 701914214 /nfs/dbraw/zinc/91/42/14/701914214.db2.gz XDGGFQDCAGOAOA-UHFFFAOYSA-N -1 1 307.346 1.847 20 0 DDADMM CC1(C)C[C@@H]1CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868243723 702017305 /nfs/dbraw/zinc/01/73/05/702017305.db2.gz MBQPCSKCBXDYTN-SECBINFHSA-N -1 1 306.366 1.100 20 0 DDADMM Cc1cnc(Cl)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000879262592 706633768 /nfs/dbraw/zinc/63/37/68/706633768.db2.gz GTEMTKOYWZVSEW-VIFPVBQESA-N -1 1 306.757 1.576 20 0 DDADMM O[C@H]1c2ccccc2OC[C@H]1[N-]c1nc(C2CCOCC2)no1 ZINC000840304976 702063473 /nfs/dbraw/zinc/06/34/73/702063473.db2.gz IJRKQZFAQRGAGR-OCCSQVGLSA-N -1 1 317.345 1.870 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-][C@@H](C)c1csnn1 ZINC000882263550 707563213 /nfs/dbraw/zinc/56/32/13/707563213.db2.gz HLVMOQWAOVXLFL-WPRPVWTQSA-N -1 1 321.424 1.250 20 0 DDADMM CC[C@@H](C(=O)OC)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000840486688 702126798 /nfs/dbraw/zinc/12/67/98/702126798.db2.gz CNTTVCYDSVGHAG-NSHDSACASA-N -1 1 320.297 1.741 20 0 DDADMM CCCCCCOCC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831144931 706643896 /nfs/dbraw/zinc/64/38/96/706643896.db2.gz OJQFAPAKMXRVTQ-UHFFFAOYSA-N -1 1 324.343 1.720 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000812405119 702176939 /nfs/dbraw/zinc/17/69/39/702176939.db2.gz QXJCGQKDVCTSFY-TZOMUSMUSA-N -1 1 300.786 1.968 20 0 DDADMM CCC[C@H](C)N1C[C@@H](C(=O)[N-]OCCOC(C)C)CC1=O ZINC000812805025 702243804 /nfs/dbraw/zinc/24/38/04/702243804.db2.gz WETURIRCSIKKAF-STQMWFEESA-N -1 1 300.399 1.496 20 0 DDADMM CC[C@H](C)CO[N-]C(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000816977274 702252718 /nfs/dbraw/zinc/25/27/18/702252718.db2.gz WEMCVNLVKKWCPW-QWRGUYRKSA-N -1 1 318.377 1.775 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868696240 702271311 /nfs/dbraw/zinc/27/13/11/702271311.db2.gz NVHVOFVMNLDFGV-ZWNOBZJWSA-N -1 1 320.393 1.489 20 0 DDADMM CC(C)[C@@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CC1 ZINC000868783024 702317611 /nfs/dbraw/zinc/31/76/11/702317611.db2.gz HUOJEZQPZQMQKE-CQSZACIVSA-N -1 1 320.393 1.489 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1nnc2ccccn21 ZINC000813415708 702344928 /nfs/dbraw/zinc/34/49/28/702344928.db2.gz ZNYJUOKAWHFSPA-UHFFFAOYSA-N -1 1 312.333 1.909 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)COc1ccccc1 ZINC000841382864 702430331 /nfs/dbraw/zinc/43/03/31/702430331.db2.gz QGEOSDXENCIWFL-SECBINFHSA-N -1 1 319.317 1.094 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)Cc2c(F)cccc2Cl)C(=O)O1 ZINC000841520164 702476456 /nfs/dbraw/zinc/47/64/56/702476456.db2.gz FZKQKXOZNVZLTA-RDDDGLTNSA-N -1 1 321.757 1.603 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3CCC4(CCC4)O3)ccnc1-2 ZINC000879415188 706673886 /nfs/dbraw/zinc/67/38/86/706673886.db2.gz VZJTVVVWVUTRPX-LLVKDONJSA-N -1 1 315.377 1.565 20 0 DDADMM CO[C@H]1CC[C@H](C)N(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000879416404 706674656 /nfs/dbraw/zinc/67/46/56/706674656.db2.gz SOOUUYCYXNHNLL-QWRGUYRKSA-N -1 1 303.366 1.373 20 0 DDADMM COC1([C@H](C)NC(=O)N=c2ccnc3n(C)[n-]cc2-3)CCC1 ZINC000841706261 702544861 /nfs/dbraw/zinc/54/48/61/702544861.db2.gz SZBPTRCDPHFHMO-JTQLQIEISA-N -1 1 303.366 1.421 20 0 DDADMM CSCC[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866410770 706692074 /nfs/dbraw/zinc/69/20/74/706692074.db2.gz RRNAQDDJYDWMOJ-UHFFFAOYSA-N -1 1 303.331 1.080 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2CCCSC2)CCC1 ZINC000843013692 702803457 /nfs/dbraw/zinc/80/34/57/702803457.db2.gz JEHKRQOHFYYEIT-NSHDSACASA-N -1 1 320.480 1.060 20 0 DDADMM Cc1cc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)c(C)[nH]1 ZINC000843019105 702804626 /nfs/dbraw/zinc/80/46/26/702804626.db2.gz KFIBBJYYOZNJKI-UHFFFAOYSA-N -1 1 313.423 1.175 20 0 DDADMM Cc1ccsc1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843019239 702804746 /nfs/dbraw/zinc/80/47/46/702804746.db2.gz MUHDNZXPHRBECL-UHFFFAOYSA-N -1 1 316.448 1.600 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000844247623 702999276 /nfs/dbraw/zinc/99/92/76/702999276.db2.gz OVXWSTDSSQAMEZ-LBPRGKRZSA-N -1 1 302.334 1.445 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000844247623 702999279 /nfs/dbraw/zinc/99/92/79/702999279.db2.gz OVXWSTDSSQAMEZ-LBPRGKRZSA-N -1 1 302.334 1.445 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)Cn1cccn1 ZINC000866512630 706717434 /nfs/dbraw/zinc/71/74/34/706717434.db2.gz MUHIIKFYJAQJMQ-SECBINFHSA-N -1 1 316.333 1.171 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OC[C@@H]3CCC(=O)N3)n2)cc1 ZINC000845161504 703119780 /nfs/dbraw/zinc/11/97/80/703119780.db2.gz YFJZAKHUWUPLCR-NSHDSACASA-N -1 1 315.329 1.322 20 0 DDADMM O=C([O-])[C@@H]1CN(Cc2cnn(-c3ccccc3)n2)C[C@H]1C1CC1 ZINC000846290061 703262683 /nfs/dbraw/zinc/26/26/83/703262683.db2.gz CSHPFDXZBSXWLF-JKSUJKDBSA-N -1 1 312.373 1.810 20 0 DDADMM O=C(NCCC1(CO)CCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000846564179 703298002 /nfs/dbraw/zinc/29/80/02/703298002.db2.gz XYEOENTWGMKFGC-UHFFFAOYSA-N -1 1 306.391 1.301 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1Cn1cc[nH]c1=S ZINC000848501768 703558421 /nfs/dbraw/zinc/55/84/21/703558421.db2.gz FWNIONJJFLKVRS-RKDXNWHRSA-N -1 1 322.356 1.661 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=S)NC1CC1 ZINC000848654261 703572411 /nfs/dbraw/zinc/57/24/11/703572411.db2.gz RSERHNGNENRHDX-VXNVDRBHSA-N -1 1 309.357 1.555 20 0 DDADMM Cc1ccc([C@@H]2C[C@H]2C(=O)OCc2nc(=O)n(C)[n-]2)cc1C ZINC000850272408 703719781 /nfs/dbraw/zinc/71/97/81/703719781.db2.gz QJXMEGGRNBZQES-QWHCGFSZSA-N -1 1 301.346 1.572 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869648006 703825475 /nfs/dbraw/zinc/82/54/75/703825475.db2.gz BEOAVKIBQYJYLF-NXEZZACHSA-N -1 1 323.311 1.850 20 0 DDADMM O=S(=O)(CCC(F)(F)F)[N-][C@H]1CCCC12OCCO2 ZINC000851815634 703863257 /nfs/dbraw/zinc/86/32/57/703863257.db2.gz RICPAJLPFIKXCQ-QMMMGPOBSA-N -1 1 303.302 1.154 20 0 DDADMM COc1ccc(OC)c(NC(=O)[C@H](C)N2CC[C@H](C(=O)[O-])C2)c1 ZINC000818606867 703938365 /nfs/dbraw/zinc/93/83/65/703938365.db2.gz MFAOCSRUCLPFNJ-QWRGUYRKSA-N -1 1 322.361 1.437 20 0 DDADMM COC/C=C\C(=O)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870162448 703939778 /nfs/dbraw/zinc/93/97/78/703939778.db2.gz NGXBFGVCFMFUID-IHWYPQMZSA-N -1 1 324.808 1.892 20 0 DDADMM C[C@@H]1CC[C@@H]1NC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852331366 704014323 /nfs/dbraw/zinc/01/43/23/704014323.db2.gz MYFDXEBPAZBKRP-ZNSHCXBVSA-N -1 1 321.343 1.883 20 0 DDADMM C[C@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866741900 706783944 /nfs/dbraw/zinc/78/39/44/706783944.db2.gz MOQQFFWRGLUDGV-YUMQZZPRSA-N -1 1 311.329 1.906 20 0 DDADMM Cc1noc(C)c1CC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866748515 706786095 /nfs/dbraw/zinc/78/60/95/706786095.db2.gz UIRKSXPJDOZPPL-UHFFFAOYSA-N -1 1 317.317 1.486 20 0 DDADMM COCC1(C2CC2)CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000870524378 704068906 /nfs/dbraw/zinc/06/89/06/704068906.db2.gz OFNOJHJBYQSKFM-UHFFFAOYSA-N -1 1 318.402 1.517 20 0 DDADMM COC(=O)[C@](C)(CCF)NCc1cc(=O)oc2cc([O-])ccc12 ZINC000852579173 704080393 /nfs/dbraw/zinc/08/03/93/704080393.db2.gz YHNYLTCNCDGHTB-INIZCTEOSA-N -1 1 323.320 1.880 20 0 DDADMM COC(=O)[C@@H](C1CC1)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852756981 704116607 /nfs/dbraw/zinc/11/66/07/704116607.db2.gz FRMYWNCNMHVORD-DVVUODLYSA-N -1 1 322.327 1.327 20 0 DDADMM CCCOC(=O)[C@@H](C)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852757947 704116752 /nfs/dbraw/zinc/11/67/52/704116752.db2.gz RSNGZLYNZNTDMC-MXWKQRLJSA-N -1 1 324.343 1.717 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)s1 ZINC000819629148 704153067 /nfs/dbraw/zinc/15/30/67/704153067.db2.gz ZSPCOWGDGBWWEM-UMCURTJPSA-N -1 1 320.374 1.960 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000819680844 704157645 /nfs/dbraw/zinc/15/76/45/704157645.db2.gz QOWIFJKWWUHRTB-SNAWJCMRSA-N -1 1 315.329 1.900 20 0 DDADMM CCOC(=O)[C@H]1CN(C(=O)c2ncccc2[O-])CCC1(F)F ZINC000870919955 704168823 /nfs/dbraw/zinc/16/88/23/704168823.db2.gz MRWDSOXSXBCVPQ-SECBINFHSA-N -1 1 314.288 1.448 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC1=NC[C@H](C)S1 ZINC000819773441 704171245 /nfs/dbraw/zinc/17/12/45/704171245.db2.gz SRKLLMIBDIZEJT-ZETCQYMHSA-N -1 1 319.390 1.202 20 0 DDADMM CN1CCN(c2ccc(NC(=O)[C@H](C(=O)[O-])C3CC3)cc2)CC1 ZINC000852951001 704177964 /nfs/dbraw/zinc/17/79/64/704177964.db2.gz AQJHHLTVCNFMDX-OAHLLOKOSA-N -1 1 317.389 1.488 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@H]1C[C@@]12c1ccccc1NC2=O ZINC000820013613 704203301 /nfs/dbraw/zinc/20/33/01/704203301.db2.gz BSDVYQSXVQIROJ-MLGOLLRUSA-N -1 1 304.346 1.369 20 0 DDADMM CC(=O)N1C[C@@H]2CN(C(=O)c3c(F)ccc([O-])c3F)C[C@@H]2C1 ZINC000820413911 704274626 /nfs/dbraw/zinc/27/46/26/704274626.db2.gz FSIUKTGZTORKTR-AOOOYVTPSA-N -1 1 310.300 1.221 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]Cc1ccc(Cl)cc1 ZINC000820735985 704325711 /nfs/dbraw/zinc/32/57/11/704325711.db2.gz ZIPHZBFTPDTRST-UHFFFAOYSA-N -1 1 322.839 1.936 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2cccc(Cl)c2[O-])n[nH]1 ZINC000866817682 706812735 /nfs/dbraw/zinc/81/27/35/706812735.db2.gz UQHYGAPPQRXEFC-UHFFFAOYSA-N -1 1 315.782 1.810 20 0 DDADMM CCc1cnc(COC(=O)c2ccc(-c3nnn[n-]3)s2)o1 ZINC000821499537 704417680 /nfs/dbraw/zinc/41/76/80/704417680.db2.gz MEVHELIKUULYMT-UHFFFAOYSA-N -1 1 305.319 1.836 20 0 DDADMM CCc1cnc(COC(=O)c2ccc(-c3nn[n-]n3)s2)o1 ZINC000821499537 704417682 /nfs/dbraw/zinc/41/76/82/704417682.db2.gz MEVHELIKUULYMT-UHFFFAOYSA-N -1 1 305.319 1.836 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)c2ncnn21 ZINC000855329763 704478949 /nfs/dbraw/zinc/47/89/49/704478949.db2.gz ADBZTZQEMFOVKA-APPZFPTMSA-N -1 1 315.362 1.045 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H](CO)CC1CC1 ZINC000855916266 704506548 /nfs/dbraw/zinc/50/65/48/704506548.db2.gz MPFZSJNPYJMNDS-LBPRGKRZSA-N -1 1 307.394 1.752 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@H](C)[C@@](C)(CO)C1 ZINC000856246487 704519600 /nfs/dbraw/zinc/51/96/00/704519600.db2.gz FZXAFHMPJOBPJL-MGPLVRAMSA-N -1 1 307.394 1.705 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2CCCCO2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418516 704528104 /nfs/dbraw/zinc/52/81/04/704528104.db2.gz ZWJNOSJBQDUGGC-VWYCJHECSA-N -1 1 322.327 1.471 20 0 DDADMM CCn1ncc(CN2C[C@H](C(=O)[O-])[C@@H](c3ccccc3)C2)n1 ZINC000857083352 704550133 /nfs/dbraw/zinc/55/01/33/704550133.db2.gz BGUKZWJFYBWGSC-CABCVRRESA-N -1 1 300.362 1.598 20 0 DDADMM CONC(=O)C1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000832018936 706827398 /nfs/dbraw/zinc/82/73/98/706827398.db2.gz IKQDWQGELZMVON-UHFFFAOYSA-N -1 1 314.288 1.200 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C(C)(C)SC)nc2n1 ZINC000857684180 704613330 /nfs/dbraw/zinc/61/33/30/704613330.db2.gz JDIQZUFASOKAGA-UHFFFAOYSA-N -1 1 309.395 1.450 20 0 DDADMM CC(=CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1cccs1 ZINC000857709845 704615067 /nfs/dbraw/zinc/61/50/67/704615067.db2.gz IXPIFQCIJUVCJF-PCYYEKQGSA-N -1 1 305.363 1.265 20 0 DDADMM CCOCn1nc(C)c(CC(=O)[N-]OCc2cccnc2)c1C ZINC000866863564 706829617 /nfs/dbraw/zinc/82/96/17/706829617.db2.gz QVKDFYQSGPLDLQ-UHFFFAOYSA-N -1 1 318.377 1.679 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)C[C@@]2(C)CC2(Cl)Cl)C(=O)O1 ZINC000867270009 706943291 /nfs/dbraw/zinc/94/32/91/706943291.db2.gz NYGANYRQJJYFII-ZXFLCMHBSA-N -1 1 316.206 1.194 20 0 DDADMM CCOCC(C)(C)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867273323 706944190 /nfs/dbraw/zinc/94/41/90/706944190.db2.gz DCXDLFUUPJJPDU-UHFFFAOYSA-N -1 1 308.350 1.701 20 0 DDADMM CC(=O)CCCCC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867357597 706973327 /nfs/dbraw/zinc/97/33/27/706973327.db2.gz PTODWJRBKMCQKZ-UHFFFAOYSA-N -1 1 306.334 1.788 20 0 DDADMM O=C(C[C@H]1CCCS1(=O)=O)Nc1nc(Cl)ccc1[O-] ZINC000822352831 704926033 /nfs/dbraw/zinc/92/60/33/704926033.db2.gz CPQVFSQZZBDXHB-SSDOTTSWSA-N -1 1 304.755 1.346 20 0 DDADMM CC(C)(C)OC(=O)C(=O)COC(=O)c1c([O-])cc(F)cc1F ZINC000859526705 704928001 /nfs/dbraw/zinc/92/80/01/704928001.db2.gz WQNDJCWOCWRAJI-UHFFFAOYSA-N -1 1 316.256 1.738 20 0 DDADMM COC1CCC([N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000867388469 706984516 /nfs/dbraw/zinc/98/45/16/706984516.db2.gz NQRAZHGZJAKUMK-UHFFFAOYSA-N -1 1 306.334 1.596 20 0 DDADMM CO[C@](C)([C@@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F)C1CC1 ZINC000867404152 706989828 /nfs/dbraw/zinc/98/98/28/706989828.db2.gz GMUNZOQEBGCBCW-AMIZOPFISA-N -1 1 320.361 1.842 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCc2cc(OC)ccc21)c1nn[n-]n1 ZINC000859945290 705049382 /nfs/dbraw/zinc/04/93/82/705049382.db2.gz ONCXFRPPQZBSTD-KGLIPLIRSA-N -1 1 315.377 1.896 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2CC[C@H]2c2ccc(F)cc2)nc1=O ZINC000860150213 705113461 /nfs/dbraw/zinc/11/34/61/705113461.db2.gz MJWKEAFHOMPNMY-RYUDHWBXSA-N -1 1 305.309 1.485 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@H]1CNC(=O)C[C@@H]1C(F)(F)F ZINC000823046794 705123094 /nfs/dbraw/zinc/12/30/94/705123094.db2.gz ORESNVDIVQMYDO-DZZRMOGLSA-N -1 1 300.664 1.101 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCCOC(C)(C)C)ccnc1-2 ZINC000823058185 705126693 /nfs/dbraw/zinc/12/66/93/705126693.db2.gz LLWJEPRURYWQMK-UHFFFAOYSA-N -1 1 305.382 1.669 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1CC(Nc2ccccc2)C1 ZINC000874717511 705148693 /nfs/dbraw/zinc/14/86/93/705148693.db2.gz LOSHUNJMTFSZKL-UHFFFAOYSA-N -1 1 300.366 1.282 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-][C@H]2CCOC2=O)cnc1Cl ZINC000823621419 705281963 /nfs/dbraw/zinc/28/19/63/705281963.db2.gz WYHZCXQISHSTTF-QMMMGPOBSA-N -1 1 304.680 1.567 20 0 DDADMM CC(C)[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)c1cccnc1 ZINC000824193115 705395347 /nfs/dbraw/zinc/39/53/47/705395347.db2.gz SLUCFBSIHRJHKP-GFCCVEGCSA-N -1 1 310.365 1.903 20 0 DDADMM CC(C)[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)c1cccnc1 ZINC000824193115 705395351 /nfs/dbraw/zinc/39/53/51/705395351.db2.gz SLUCFBSIHRJHKP-GFCCVEGCSA-N -1 1 310.365 1.903 20 0 DDADMM CO[C@]12CCC[C@@]1(C(=O)N=c1ccnc3n(C)[n-]cc1-3)CCO2 ZINC000875633103 705457009 /nfs/dbraw/zinc/45/70/09/705457009.db2.gz JQLCDBPRPOEYPU-HOTGVXAUSA-N -1 1 316.361 1.214 20 0 DDADMM NC(=O)C[C@@H]1CCN(C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000876396619 705708381 /nfs/dbraw/zinc/70/83/81/705708381.db2.gz KSHKSTAGBMEFAW-JTQLQIEISA-N -1 1 311.769 1.453 20 0 DDADMM CN(C[C@H]1COCCO1)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876400263 705708504 /nfs/dbraw/zinc/70/85/04/705708504.db2.gz XOHOLXGZUMEDKY-NSHDSACASA-N -1 1 314.769 1.602 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H]2C(C)C)c1-c1nnn[n-]1 ZINC000826344347 705793714 /nfs/dbraw/zinc/79/37/14/705793714.db2.gz FHTSQBPFXHXOJK-NSHDSACASA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H]2C(C)C)c1-c1nn[n-]n1 ZINC000826344347 705793717 /nfs/dbraw/zinc/79/37/17/705793717.db2.gz FHTSQBPFXHXOJK-NSHDSACASA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc(Cl)c2)c1-c1nnn[n-]1 ZINC000826348823 705795725 /nfs/dbraw/zinc/79/57/25/705795725.db2.gz GXCQCUWASYIHLN-UHFFFAOYSA-N -1 1 318.724 1.998 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc(Cl)c2)c1-c1nn[n-]n1 ZINC000826348823 705795729 /nfs/dbraw/zinc/79/57/29/705795729.db2.gz GXCQCUWASYIHLN-UHFFFAOYSA-N -1 1 318.724 1.998 20 0 DDADMM CCC[C@@](C)(NC(=O)[O-])C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000826721221 705857959 /nfs/dbraw/zinc/85/79/59/705857959.db2.gz QMFHGUXWLZNFCH-CQSZACIVSA-N -1 1 320.353 1.575 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1(C)C ZINC000826764865 705868074 /nfs/dbraw/zinc/86/80/74/705868074.db2.gz SKMMHBXYUIUGAC-NXEZZACHSA-N -1 1 306.391 1.561 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H]1CCNC(=O)CC1 ZINC000863476890 705936750 /nfs/dbraw/zinc/93/67/50/705936750.db2.gz BQXOGPVSMRBDRY-NSHDSACASA-N -1 1 314.345 1.433 20 0 DDADMM C[C@H]1CN(CCS(=O)(=O)C2CCCCC2)CC[C@@H]1C(=O)[O-] ZINC000863531752 705949723 /nfs/dbraw/zinc/94/97/23/705949723.db2.gz CNTGCMGENBYXJX-JSGCOSHPSA-N -1 1 317.451 1.777 20 0 DDADMM C[C@@H](c1nc(-c2ccc([O-])c(F)c2)no1)N1CCNCC1=O ZINC000863669710 705982123 /nfs/dbraw/zinc/98/21/23/705982123.db2.gz COBINTWAYMAABO-QMMMGPOBSA-N -1 1 306.297 1.074 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cnn(C(C)(C)C)c2)CC1 ZINC000827378841 705989761 /nfs/dbraw/zinc/98/97/61/705989761.db2.gz ARLGJLWPLGTRNE-GFCCVEGCSA-N -1 1 322.409 1.259 20 0 DDADMM O=C(NCCN1CC[C@H](O)C1)c1cc(Cl)cc(Cl)c1[O-] ZINC000827749174 706062035 /nfs/dbraw/zinc/06/20/35/706062035.db2.gz GRBJZRLRIZXYMM-VIFPVBQESA-N -1 1 319.188 1.495 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC2CC(F)(F)C2)o1 ZINC000835488693 707262538 /nfs/dbraw/zinc/26/25/38/707262538.db2.gz URLSAMUWAGJYMC-UHFFFAOYSA-N -1 1 309.290 1.390 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(C(=O)c2cc(-c3cccs3)[nH]n2)C1 ZINC000864168635 706088011 /nfs/dbraw/zinc/08/80/11/706088011.db2.gz BOHZQKFRMLCMPM-CQSZACIVSA-N -1 1 321.358 1.454 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC[C@H](CF)C1 ZINC000881595806 707302767 /nfs/dbraw/zinc/30/27/67/707302767.db2.gz OYURDTHQOIMRCT-GFCCVEGCSA-N -1 1 320.368 1.802 20 0 DDADMM CS[C@@H](C)C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872464211 707426646 /nfs/dbraw/zinc/42/66/46/707426646.db2.gz RLWURMCVNYCVCQ-ZKANADHPSA-N -1 1 302.487 1.469 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H](c1cccnc1)C1CCCCC1 ZINC000864896235 706295417 /nfs/dbraw/zinc/29/54/17/706295417.db2.gz FHORSCYUOJSYEM-CQSZACIVSA-N -1 1 316.361 1.329 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)c1cccc(C(=O)[O-])n1 ZINC000829159993 706295623 /nfs/dbraw/zinc/29/56/23/706295623.db2.gz BJBJCDRYMWOMFB-CHWSQXEVSA-N -1 1 317.389 1.869 20 0 DDADMM CCC[C@](C)(NC(=O)CCSc1nnc(C)[nH]1)C(=O)[O-] ZINC000829194130 706301222 /nfs/dbraw/zinc/30/12/22/706301222.db2.gz VZOPOGXCJVAFHW-LBPRGKRZSA-N -1 1 300.384 1.355 20 0 DDADMM CO[C@H]1C[C@@H](C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)C1 ZINC000872508524 707453414 /nfs/dbraw/zinc/45/34/14/707453414.db2.gz GNDOKDHYXLXYQK-VOQFUICPSA-N -1 1 312.457 1.142 20 0 DDADMM CC(C)COC(=O)[C@H](C)[N-]S(=O)(=O)c1cccnc1F ZINC000882028637 707471547 /nfs/dbraw/zinc/47/15/47/707471547.db2.gz ZWPSNNACQLEDLV-VIFPVBQESA-N -1 1 304.343 1.087 20 0 DDADMM C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)N1C(=O)CCc1nn[n-]n1 ZINC000865703943 706500613 /nfs/dbraw/zinc/50/06/13/706500613.db2.gz OTCSBNQVPAVIFI-WQGACYEGSA-N -1 1 315.377 1.509 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)c1nccs1 ZINC000830589626 706539911 /nfs/dbraw/zinc/53/99/11/706539911.db2.gz NHOYWKIIGBPLLZ-ZCFIWIBFSA-N -1 1 306.800 1.949 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2CCSCC2)C1 ZINC000830822620 706586914 /nfs/dbraw/zinc/58/69/14/706586914.db2.gz QZFLKDUCHYRVDX-GFCCVEGCSA-N -1 1 324.368 1.799 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC(C1CC1)C1CC1 ZINC000866887461 706835423 /nfs/dbraw/zinc/83/54/23/706835423.db2.gz ARELFPKIQFRETF-UHFFFAOYSA-N -1 1 308.469 1.765 20 0 DDADMM O=C(N1CCC[C@@H](c2nn[n-]n2)C1)C1([C@H]2CCCCO2)CCC1 ZINC000866901679 706839561 /nfs/dbraw/zinc/83/95/61/706839561.db2.gz LTEIAKPXKLFPJN-CHWSQXEVSA-N -1 1 319.409 1.645 20 0 DDADMM O=S(=O)([N-]C1(C2CC2)CC1)c1nc[nH]c1Br ZINC000866962254 706857079 /nfs/dbraw/zinc/85/70/79/706857079.db2.gz KGWWBCAHVQAJRK-UHFFFAOYSA-N -1 1 306.185 1.393 20 0 DDADMM O=S(=O)([N-]CC1(CF)CCOCC1)c1c[nH]nc1Cl ZINC000832180425 706863015 /nfs/dbraw/zinc/86/30/15/706863015.db2.gz SDOQPKHJZAZCMV-UHFFFAOYSA-N -1 1 311.766 1.108 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C[C@H](C)O1 ZINC000867040771 706879752 /nfs/dbraw/zinc/87/97/52/706879752.db2.gz IAXNXQRDLYUAAK-AYMMMOKOSA-N -1 1 306.334 1.594 20 0 DDADMM CCSc1cc(C[N-]S(=O)(=O)N=S(C)(C)=O)ccn1 ZINC000867132903 706906516 /nfs/dbraw/zinc/90/65/16/706906516.db2.gz PMISODKYHKNHIX-UHFFFAOYSA-N -1 1 323.465 1.256 20 0 DDADMM O=C(NCC1OCCCO1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000832772184 706980261 /nfs/dbraw/zinc/98/02/61/706980261.db2.gz MMFGNEGSVIGINS-UHFFFAOYSA-N -1 1 305.252 1.904 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)C[C@H]1CCC(F)(F)C1 ZINC000867380417 706981446 /nfs/dbraw/zinc/98/14/46/706981446.db2.gz HXMGHLNFXVFLCE-DTWKUNHWSA-N -1 1 311.350 1.293 20 0 DDADMM CC[C@H](C(=O)[O-])N(C(=O)N[C@@H]1CCc2nc[nH]c2C1)C1CC1 ZINC000909021547 712918988 /nfs/dbraw/zinc/91/89/88/712918988.db2.gz XWBOSHYVXNDXKP-NOZJJQNGSA-N -1 1 306.366 1.304 20 0 DDADMM O=C([O-])[C@H](O)C1CCN(C[C@H](O)c2ccc(F)cc2F)CC1 ZINC000833770566 707014673 /nfs/dbraw/zinc/01/46/73/707014673.db2.gz JCZYJTFXRJGUBU-UONOGXRCSA-N -1 1 315.316 1.156 20 0 DDADMM CC1(C)OCC[C@@H]1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867533777 707031628 /nfs/dbraw/zinc/03/16/28/707031628.db2.gz GXMGUONSPJCINB-MRVPVSSYSA-N -1 1 306.334 1.453 20 0 DDADMM O=S(=O)([N-]CC[C@@H]1CCCOC1)c1ccc(Cl)nc1F ZINC000867552575 707037528 /nfs/dbraw/zinc/03/75/28/707037528.db2.gz LPPXBSWGWIXUPJ-VIFPVBQESA-N -1 1 322.789 1.969 20 0 DDADMM CSc1nc(CNC(=O)Cc2ccn(C(C)C)n2)cc(=O)[n-]1 ZINC000880652154 707048419 /nfs/dbraw/zinc/04/84/19/707048419.db2.gz GDTLUIHGOREBFS-UHFFFAOYSA-N -1 1 321.406 1.540 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C(C)(Cl)Cl)n[n-]1 ZINC000880667037 707052072 /nfs/dbraw/zinc/05/20/72/707052072.db2.gz SGXHHDLTBCYKLD-YFKPBYRVSA-N -1 1 309.153 1.352 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C(C)(Cl)Cl)n1 ZINC000880667037 707052074 /nfs/dbraw/zinc/05/20/74/707052074.db2.gz SGXHHDLTBCYKLD-YFKPBYRVSA-N -1 1 309.153 1.352 20 0 DDADMM O=S(=O)([N-][C@H]1[C@@H]2OC[C@@H]3C[C@H]1C[C@@H]32)c1cccc(F)c1F ZINC000867614305 707054828 /nfs/dbraw/zinc/05/48/28/707054828.db2.gz SNSDGADZZAGMDT-UHZXRMQZSA-N -1 1 315.341 1.667 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)Cn2cc(C(C)(C)C)nn2)cc1 ZINC000881011872 707106935 /nfs/dbraw/zinc/10/69/35/707106935.db2.gz UZTPHKVJNKRPPB-UHFFFAOYSA-N -1 1 316.361 1.541 20 0 DDADMM CN1CCCC[C@H](C(=O)NCc2ccc([O-])c(Cl)c2)C1=O ZINC000834823459 707130542 /nfs/dbraw/zinc/13/05/42/707130542.db2.gz XVQFHVHPOFUMRT-LLVKDONJSA-N -1 1 310.781 1.920 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)c1cnn2c1OCCC2 ZINC000834823205 707130898 /nfs/dbraw/zinc/13/08/98/707130898.db2.gz VYSLQIYUXKBBNH-UHFFFAOYSA-N -1 1 307.737 1.955 20 0 DDADMM O=S(=O)(Cc1nccn1CC(F)F)c1n[n-]c(C2CC2)n1 ZINC000871632507 707146976 /nfs/dbraw/zinc/14/69/76/707146976.db2.gz ZZQOWHUSSADPMO-UHFFFAOYSA-N -1 1 317.321 1.118 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)O[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871797912 707207614 /nfs/dbraw/zinc/20/76/14/707207614.db2.gz JCOYKXZCJCICJU-OLZOCXBDSA-N -1 1 322.405 1.979 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCC(=O)N2CCCCC2)cc1 ZINC000871799728 707209134 /nfs/dbraw/zinc/20/91/34/707209134.db2.gz RLTUGDISAWAQAC-UHFFFAOYSA-N -1 1 320.389 1.688 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1cnn(C)c1 ZINC000872455145 707420576 /nfs/dbraw/zinc/42/05/76/707420576.db2.gz MLXAMGWMRAPBJO-HOGDKLEQSA-N -1 1 322.456 1.212 20 0 DDADMM CC(C)OC1(C[N-]S(=O)(=O)c2c[nH]nc2Cl)CCC1 ZINC000872569461 707485764 /nfs/dbraw/zinc/48/57/64/707485764.db2.gz OSZXFFYXMZLYIK-UHFFFAOYSA-N -1 1 307.803 1.689 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OCC ZINC000882070680 707485895 /nfs/dbraw/zinc/48/58/95/707485895.db2.gz BGDJZVDABZUCMV-GFAPAMAISA-N -1 1 316.354 1.397 20 0 DDADMM COCc1nc(C[N-]S(=O)(=O)c2cccnc2F)cs1 ZINC000882206332 707537513 /nfs/dbraw/zinc/53/75/13/707537513.db2.gz LUPXPBHFIZHQPE-UHFFFAOYSA-N -1 1 317.367 1.302 20 0 DDADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CCC[C@@H](C)C1 ZINC000837155144 707586120 /nfs/dbraw/zinc/58/61/20/707586120.db2.gz KCMIXIJYLYPUAO-AGIUHOORSA-N -1 1 307.394 1.258 20 0 DDADMM CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000837298372 707615400 /nfs/dbraw/zinc/61/54/00/707615400.db2.gz LRLNTLMHDCZGTQ-NEPJUHHUSA-N -1 1 324.381 1.307 20 0 DDADMM O=C([O-])[C@H]1CC=CC[C@@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000872931096 707659867 /nfs/dbraw/zinc/65/98/67/707659867.db2.gz VZAXOEOSMYXBPP-QWRGUYRKSA-N -1 1 317.320 1.985 20 0 DDADMM C[C@H](O)COC(=O)c1ccc(C(=O)OCc2ccccc2)[n-]1 ZINC000838084193 707835963 /nfs/dbraw/zinc/83/59/63/707835963.db2.gz ASNZQYULVUYCMK-NSHDSACASA-N -1 1 303.314 1.909 20 0 DDADMM Cn1[n-]c(COC(=O)C2(Cc3cccc(Cl)c3)CC2)nc1=O ZINC000838183332 707860112 /nfs/dbraw/zinc/86/01/12/707860112.db2.gz GWWLNRWDSPSEJA-UHFFFAOYSA-N -1 1 321.764 1.828 20 0 DDADMM CC[C@H](C)N(CC(=O)[O-])C(=O)c1cc([C@@H]2CCCN2C)n[nH]1 ZINC000909311059 712988539 /nfs/dbraw/zinc/98/85/39/712988539.db2.gz IQBJDQLOUFYNEG-GWCFXTLKSA-N -1 1 308.382 1.502 20 0 DDADMM COC(=O)c1ccc(OC)c(CN2CCC(C(=O)[O-])CC2)c1 ZINC000883404863 707992469 /nfs/dbraw/zinc/99/24/69/707992469.db2.gz QPHZXJFFJNAQLE-UHFFFAOYSA-N -1 1 307.346 1.778 20 0 DDADMM O=C([O-])C1(NC(=O)c2ccc3cncn3c2)CCSCC1 ZINC000909349597 712997580 /nfs/dbraw/zinc/99/75/80/712997580.db2.gz LRPVBWVZCHEWKY-UHFFFAOYSA-N -1 1 305.359 1.415 20 0 DDADMM COc1cnc([C@H]2CCCN2c2ncnc3c2CCC3)[n-]c1=O ZINC000897224520 708261249 /nfs/dbraw/zinc/26/12/49/708261249.db2.gz RDWWKIFIEQZCIZ-GFCCVEGCSA-N -1 1 313.361 1.811 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2cccc(OCCF)c2)C1 ZINC000909413240 713011697 /nfs/dbraw/zinc/01/16/97/713011697.db2.gz NUBYHMSDQJIKLC-LBPRGKRZSA-N -1 1 324.352 1.770 20 0 DDADMM CCS[C@@H]1CCC[C@H]1NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909415402 713012245 /nfs/dbraw/zinc/01/22/45/713012245.db2.gz ONXKRWUUMVCDIQ-JHJVBQTASA-N -1 1 314.451 1.573 20 0 DDADMM CCOC(=O)[C@@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000884740558 708370541 /nfs/dbraw/zinc/37/05/41/708370541.db2.gz NGCJOWATJHVXNA-LLVKDONJSA-N -1 1 321.377 1.639 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC2(CCNC(=O)O2)CC1 ZINC000884885783 708407303 /nfs/dbraw/zinc/40/73/03/708407303.db2.gz BWXYZEQLWMMHCR-UHFFFAOYSA-N -1 1 308.309 1.636 20 0 DDADMM O=C([O-])CC[C@H]1CCCN(C(=O)[C@H]2CCc3nc[nH]c3C2)C1 ZINC000909475991 713027759 /nfs/dbraw/zinc/02/77/59/713027759.db2.gz CHSZKDMXNWRUSR-NEPJUHHUSA-N -1 1 305.378 1.618 20 0 DDADMM Cc1cnc(Cl)c(S(=O)(=O)[N-]C[C@H](O)C(F)(F)F)c1 ZINC000885024341 708445858 /nfs/dbraw/zinc/44/58/58/708445858.db2.gz ZGJPKLVZEDEMBD-ZETCQYMHSA-N -1 1 318.704 1.245 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCC(CO)(CO)C1 ZINC000912558071 713036135 /nfs/dbraw/zinc/03/61/35/713036135.db2.gz WAXSGALDIKGMJF-UHFFFAOYSA-N -1 1 301.342 1.362 20 0 DDADMM COC(=O)C(C)(C)C[N-]S(=O)(=O)c1sccc1Cl ZINC000885401870 708536410 /nfs/dbraw/zinc/53/64/10/708536410.db2.gz JLPIXFGUWIRCRX-UHFFFAOYSA-N -1 1 311.812 1.879 20 0 DDADMM COC(=O)C(C)(C)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000885406216 708537598 /nfs/dbraw/zinc/53/75/98/708537598.db2.gz GVAJNPAAVJJPKU-UHFFFAOYSA-N -1 1 306.409 1.237 20 0 DDADMM COC(=O)C(C)(C)CC[N-]S(=O)(=O)c1cc(C)ns1 ZINC000885435146 708544973 /nfs/dbraw/zinc/54/49/73/708544973.db2.gz LBLHDPNSJCNQDI-UHFFFAOYSA-N -1 1 306.409 1.319 20 0 DDADMM CN1CC[C@@H](C(=O)Nc2cc(F)c([O-])cc2Cl)CC1=O ZINC000885674572 708594576 /nfs/dbraw/zinc/59/45/76/708594576.db2.gz QSDMQWUQKNBEAS-SSDOTTSWSA-N -1 1 300.717 1.992 20 0 DDADMM COCCOCCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264513 708731974 /nfs/dbraw/zinc/73/19/74/708731974.db2.gz AQDRAUGJCNBUGD-UHFFFAOYSA-N -1 1 303.305 1.382 20 0 DDADMM CCn1cc(C(=O)C(=O)NCCc2c(F)cc([O-])cc2F)cn1 ZINC000886266313 708732456 /nfs/dbraw/zinc/73/24/56/708732456.db2.gz HFNJSMCHLYRYHF-UHFFFAOYSA-N -1 1 323.299 1.428 20 0 DDADMM CON1CCC(C(=O)NCCc2c(F)cc([O-])cc2F)CC1 ZINC000886269793 708733326 /nfs/dbraw/zinc/73/33/26/708733326.db2.gz HMBFAONGEHKWOM-UHFFFAOYSA-N -1 1 314.332 1.603 20 0 DDADMM CO[C@H](C(=O)NCCc1c(F)cc([O-])cc1F)[C@@H]1CCOC1 ZINC000886270216 708733813 /nfs/dbraw/zinc/73/38/13/708733813.db2.gz WOMWFXXLPGCAAR-OTYXRUKQSA-N -1 1 315.316 1.381 20 0 DDADMM CN(Cc1ncc[nH]1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927783705 713055264 /nfs/dbraw/zinc/05/52/64/713055264.db2.gz CRKSEPHQOWZLSK-UHFFFAOYSA-N -1 1 310.304 1.778 20 0 DDADMM Cn1nnc2c1C[C@@H](NC(=O)c1ccc3ccccc3c1[O-])CC2 ZINC000886758573 708838551 /nfs/dbraw/zinc/83/85/51/708838551.db2.gz KUUHDKMWFFDPRC-LBPRGKRZSA-N -1 1 322.368 1.961 20 0 DDADMM CC(C)(CC(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccccc1 ZINC000898750543 708854405 /nfs/dbraw/zinc/85/44/05/708854405.db2.gz HFVHCSWICPZSGT-UHFFFAOYSA-N -1 1 301.346 1.872 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000898750923 708854723 /nfs/dbraw/zinc/85/47/23/708854723.db2.gz JSNVIRZQOOOQGI-HTQZYQBOSA-N -1 1 319.283 1.873 20 0 DDADMM C[C@H](CCc1ccc(F)cc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898754753 708855708 /nfs/dbraw/zinc/85/57/08/708855708.db2.gz KKTGEKGHYXZDND-SNVBAGLBSA-N -1 1 319.336 1.912 20 0 DDADMM CN(C)c1cccc(CCC(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC000898757104 708856502 /nfs/dbraw/zinc/85/65/02/708856502.db2.gz OTSGDHHFJJVXIK-UHFFFAOYSA-N -1 1 316.361 1.203 20 0 DDADMM CC(C)[C@H](NC(=O)OC(C)(C)C)C(C)(C)C(=O)[N-]OCCO ZINC000898939741 708929786 /nfs/dbraw/zinc/92/97/86/708929786.db2.gz VYRBSBFGBBXVCN-NSHDSACASA-N -1 1 318.414 1.602 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1C[C@@H]2CCC[C@]2(C(F)(F)F)C1 ZINC000899420403 709077964 /nfs/dbraw/zinc/07/79/64/709077964.db2.gz DHDAIFUQFZTXKX-CABZTGNLSA-N -1 1 317.315 1.713 20 0 DDADMM C[C@@H]1C[C@@]2(CC[C@@H](CNC(=O)c3cc(F)ccc3[O-])O2)CO1 ZINC000899478640 709093505 /nfs/dbraw/zinc/09/35/05/709093505.db2.gz JCYGMCWBMFBDMS-XAGWURHQSA-N -1 1 309.337 1.988 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@H]1C[C@H]1CCOC1 ZINC000887811424 709130570 /nfs/dbraw/zinc/13/05/70/709130570.db2.gz STJLWHBSELLBQD-VXGBXAGGSA-N -1 1 309.337 1.799 20 0 DDADMM Cn1nccc1[C@H](NCc1ccc2c(c1)CCCO2)C(=O)[O-] ZINC000900041957 709274934 /nfs/dbraw/zinc/27/49/34/709274934.db2.gz WUYUFQASXBLWNF-HNNXBMFYSA-N -1 1 301.346 1.661 20 0 DDADMM C[C@@]1([C@@H]2CCCN(C(=O)c3ccc([O-])cc3F)C2)COC(=O)N1 ZINC000928023210 713105464 /nfs/dbraw/zinc/10/54/64/713105464.db2.gz GPFOQTYQPDZDDI-HWPZZCPQSA-N -1 1 322.336 1.882 20 0 DDADMM CN(CC(=O)N1CCCC[C@H]1CC(=O)[O-])[C@@H]1CCSC1 ZINC000909497412 709489643 /nfs/dbraw/zinc/48/96/43/709489643.db2.gz NLUIDFQKCDIWIV-NWDGAFQWSA-N -1 1 300.424 1.280 20 0 DDADMM CCCCN(CCOC)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909583101 709526687 /nfs/dbraw/zinc/52/66/87/709526687.db2.gz XTGKWDIHZFNMDS-CYBMUJFWSA-N -1 1 300.399 1.058 20 0 DDADMM COC(=O)C[C@@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900343331 709547477 /nfs/dbraw/zinc/54/74/77/709547477.db2.gz XJWYOJCSLJYZQP-NSHDSACASA-N -1 1 319.361 1.617 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)CCCCc1c[nH]nn1 ZINC000909677092 709571410 /nfs/dbraw/zinc/57/14/10/709571410.db2.gz DYYPLSLJVOUYQH-QKCSRTOESA-N -1 1 306.366 1.229 20 0 DDADMM Cc1cc([C@H](NC(=O)CN(C)C2CCC2)C(=O)[O-])ccc1F ZINC000909794835 709624537 /nfs/dbraw/zinc/62/45/37/709624537.db2.gz HTGYBZPOXMZGRS-HNNXBMFYSA-N -1 1 308.353 1.860 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@@H]1C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889785675 709634673 /nfs/dbraw/zinc/63/46/73/709634673.db2.gz IIMVKMMISQFMAF-RSLMWUCJSA-N -1 1 321.377 1.525 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H](OC)C2CC2)[n-]c1=O ZINC000889787830 709636097 /nfs/dbraw/zinc/63/60/97/709636097.db2.gz QFNPNRHLZBLOMR-CMPLNLGQSA-N -1 1 307.350 1.279 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H](O)C2CCC2)[n-]c1=O ZINC000889788215 709636348 /nfs/dbraw/zinc/63/63/48/709636348.db2.gz YGAWKFFYVRAJPL-ZYHUDNBSSA-N -1 1 307.350 1.015 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CCC2(O)CCC2)[n-]c1=O ZINC000889788253 709636434 /nfs/dbraw/zinc/63/64/34/709636434.db2.gz ZGQWQBBUBHDFEZ-NSHDSACASA-N -1 1 321.377 1.550 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cc(C)sn2)[n-]c1=O ZINC000889789442 709636695 /nfs/dbraw/zinc/63/66/95/709636695.db2.gz FTVRYXPHVSXVFT-SNVBAGLBSA-N -1 1 320.374 1.933 20 0 DDADMM COc1cccc([C@](C)(CC(=O)[O-])NC(=O)CN2CCCC2)c1 ZINC000909817376 709637206 /nfs/dbraw/zinc/63/72/06/709637206.db2.gz FUUGBQVMPUOPNE-KRWDZBQOSA-N -1 1 320.389 1.597 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccccn2)[n-]c1=O ZINC000889795096 709639305 /nfs/dbraw/zinc/63/93/05/709639305.db2.gz HQRISWSHQPHRRW-NSHDSACASA-N -1 1 300.318 1.563 20 0 DDADMM CN(C)C1(C(=O)N2CC[C@](C(=O)[O-])(c3ccccc3)C2)CC1 ZINC000909853353 709653026 /nfs/dbraw/zinc/65/30/26/709653026.db2.gz BUCGLDJNIWUUDI-MRXNPFEDSA-N -1 1 302.374 1.336 20 0 DDADMM CC[C@@H]1CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)[C@@H](CC)CO1 ZINC000909874735 709664562 /nfs/dbraw/zinc/66/45/62/709664562.db2.gz KWQGNSPCHPJMLR-MELADBBJSA-N -1 1 312.410 1.199 20 0 DDADMM C[C@H](Sc1nncn1C)C(=O)Nc1cc([O-])c(F)cc1F ZINC000909976128 709715276 /nfs/dbraw/zinc/71/52/76/709715276.db2.gz YHAGDJOCUQOSJQ-LURJTMIESA-N -1 1 314.317 1.918 20 0 DDADMM O=C(CCC(=O)N1CCOCC1)Nc1cc([O-])c(F)cc1F ZINC000909975746 709715352 /nfs/dbraw/zinc/71/53/52/709715352.db2.gz UWSIHXGHLCGSRR-UHFFFAOYSA-N -1 1 314.288 1.248 20 0 DDADMM CCC/C=C/[C@@H](O)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000900709029 709722078 /nfs/dbraw/zinc/72/20/78/709722078.db2.gz GDECVYPJFKHSDV-KTRBRXNASA-N -1 1 318.377 1.385 20 0 DDADMM CS[C@@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)C(C)(C)C ZINC000910014624 709735815 /nfs/dbraw/zinc/73/58/15/709735815.db2.gz UARWIFJUHOMXSZ-RYUDHWBXSA-N -1 1 316.467 1.677 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@H]1CCc2nc[nH]c2C1)C1CCCCC1 ZINC000910230829 709828584 /nfs/dbraw/zinc/82/85/84/709828584.db2.gz NJZIKLIAUZFVMT-STQMWFEESA-N -1 1 319.405 1.912 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC[C@H]2COc3ccccc32)C1 ZINC000910240558 709834325 /nfs/dbraw/zinc/83/43/25/709834325.db2.gz ARHJWIVCPPYHNH-OLZOCXBDSA-N -1 1 318.373 1.075 20 0 DDADMM COc1ccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)c(C)c1 ZINC000910240612 709834439 /nfs/dbraw/zinc/83/44/39/709834439.db2.gz BTKVQUTZWDEMEN-AWEZNQCLSA-N -1 1 320.389 1.416 20 0 DDADMM C/C=C\C[C@@H]1CCCN(C(=O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000910254592 709841904 /nfs/dbraw/zinc/84/19/04/709841904.db2.gz IYBHVLJLFSGUJQ-OKYAQOQYSA-N -1 1 308.422 1.988 20 0 DDADMM CO[C@H]1CN(C(=O)c2cccc3[nH]c(C)nc32)[C@](C)(C(=O)[O-])C1 ZINC000910269538 709853919 /nfs/dbraw/zinc/85/39/19/709853919.db2.gz ZTUSMQXPBHZXEN-HWPZZCPQSA-N -1 1 317.345 1.576 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CC(F)(F)C[C@H]3C(=O)[O-])n[nH]2)c1 ZINC000910435374 709939565 /nfs/dbraw/zinc/93/95/65/709939565.db2.gz JNLLFVQEAYLPOZ-NSHDSACASA-N -1 1 324.287 1.350 20 0 DDADMM CC[C@H](C(=O)Nc1nccc(Br)c1[O-])N(C)C ZINC000913025970 713146751 /nfs/dbraw/zinc/14/67/51/713146751.db2.gz BPSFPKOVONWWST-MRVPVSSYSA-N -1 1 302.172 1.828 20 0 DDADMM CC1(C)CO[C@H](CCNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000910541614 709990985 /nfs/dbraw/zinc/99/09/85/709990985.db2.gz JZQXLKYONVTEAR-CHWSQXEVSA-N -1 1 312.410 1.104 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)C1CCCC1 ZINC000910558129 709998908 /nfs/dbraw/zinc/99/89/08/709998908.db2.gz CHORLFLDGNYBMG-BXUZGUMPSA-N -1 1 305.378 1.979 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)Nc1cccc(CC(=O)[O-])c1 ZINC000901437151 710039283 /nfs/dbraw/zinc/03/92/83/710039283.db2.gz ODVZYDSMHXFTNX-UHFFFAOYSA-N -1 1 320.389 1.611 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N(CC(=O)[O-])C2CCOCC2)C1 ZINC000901573884 710080008 /nfs/dbraw/zinc/08/00/08/710080008.db2.gz UOFAEJQBIKMPEZ-GWCFXTLKSA-N -1 1 321.377 1.168 20 0 DDADMM COCCn1c(=O)cc([O-])nc1S[C@@H](C(C)=O)C(C)C ZINC000901655686 710110451 /nfs/dbraw/zinc/11/04/51/710110451.db2.gz VFRHEEOSJKRBKQ-GFCCVEGCSA-N -1 1 300.380 1.301 20 0 DDADMM CCN(CC(=O)N[C@@H](Cc1ccc(F)cc1)C(=O)[O-])C1CC1 ZINC000910921363 710119625 /nfs/dbraw/zinc/11/96/25/710119625.db2.gz CDTLWRFCEYWJDD-AWEZNQCLSA-N -1 1 308.353 1.422 20 0 DDADMM Cn1nc(C2CC2)nc1CC(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910985415 710141530 /nfs/dbraw/zinc/14/15/30/710141530.db2.gz BKWHVUONUOSUEK-UHFFFAOYSA-N -1 1 308.288 1.858 20 0 DDADMM C/C(=C\C(=O)Nc1cc(F)cc(F)c1[O-])CN1CCOCC1 ZINC000910986796 710141546 /nfs/dbraw/zinc/14/15/46/710141546.db2.gz NKZMGJKVPGYBGJ-UXBLZVDNSA-N -1 1 312.316 1.887 20 0 DDADMM CC(C)(C)O[C@H]1C[C@H](NCc2cn(CC(=O)[O-])nn2)C12CCC2 ZINC000901803059 710151215 /nfs/dbraw/zinc/15/12/15/710151215.db2.gz NLBUGHLQMUWALD-STQMWFEESA-N -1 1 322.409 1.579 20 0 DDADMM CC(C)(C)O[C@H]1C[C@@H](NCc2cn(CC(=O)[O-])nn2)C12CCC2 ZINC000901803057 710151455 /nfs/dbraw/zinc/15/14/55/710151455.db2.gz NLBUGHLQMUWALD-OLZOCXBDSA-N -1 1 322.409 1.579 20 0 DDADMM COc1ccc([C@@H](NCc2cnc(C)nc2)C(=O)[O-])cc1F ZINC000901861372 710161532 /nfs/dbraw/zinc/16/15/32/710161532.db2.gz NHUZFZBASAPNCW-CQSZACIVSA-N -1 1 305.309 1.848 20 0 DDADMM C[C@@H](CNC(=O)[C@]1(C(=O)[O-])C[C@H]1C)N1CCc2ccccc2C1 ZINC000901886189 710164921 /nfs/dbraw/zinc/16/49/21/710164921.db2.gz DMAISIRLTNAXSJ-VBHSOAQHSA-N -1 1 316.401 1.660 20 0 DDADMM O=C(CCn1cc(Cl)cn1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891625567 710251700 /nfs/dbraw/zinc/25/17/00/710251700.db2.gz ZGKONDGPHOIGOZ-UHFFFAOYSA-N -1 1 321.768 1.940 20 0 DDADMM COCc1nc(NCC(=O)NCc2ccccc2)cc(=O)[n-]1 ZINC000891811879 710300493 /nfs/dbraw/zinc/30/04/93/710300493.db2.gz IOMIEEKGFDDSSO-UHFFFAOYSA-N -1 1 302.334 1.057 20 0 DDADMM COCc1nc(NC[C@H](O)COc2ccc(F)cc2)cc(=O)[n-]1 ZINC000891983539 710346070 /nfs/dbraw/zinc/34/60/70/710346070.db2.gz ZYUXHAVYAUWWIH-NSHDSACASA-N -1 1 323.324 1.320 20 0 DDADMM COCc1nc(NCCNC(=O)CC2CCCCC2)cc(=O)[n-]1 ZINC000892245736 710403226 /nfs/dbraw/zinc/40/32/26/710403226.db2.gz FVVLATGWHOKZPN-UHFFFAOYSA-N -1 1 322.409 1.827 20 0 DDADMM COCc1nc(N2CC(=O)N(C(C)C)C(C)(C)C2)cc(=O)[n-]1 ZINC000892710785 710485916 /nfs/dbraw/zinc/48/59/16/710485916.db2.gz CEIURLVTHLJUFQ-UHFFFAOYSA-N -1 1 308.382 1.164 20 0 DDADMM COCc1nc(NC[C@@H](O)CCc2ccccc2)cc(=O)[n-]1 ZINC000893152222 710563234 /nfs/dbraw/zinc/56/32/34/710563234.db2.gz UGORAKZJLBJVIB-ZDUSSCGKSA-N -1 1 303.362 1.734 20 0 DDADMM Cc1ccc(CSCCNCc2cn(CC(=O)[O-])nn2)cc1 ZINC000902074003 710604924 /nfs/dbraw/zinc/60/49/24/710604924.db2.gz LOTRNWGKVMBPAH-UHFFFAOYSA-N -1 1 320.418 1.694 20 0 DDADMM O=C([O-])[C@@H]1c2ccccc2OCCN1C(=O)CN1CCCC1 ZINC000911055650 710613409 /nfs/dbraw/zinc/61/34/09/710613409.db2.gz DFEJVLUJRFFLCF-HNNXBMFYSA-N -1 1 304.346 1.129 20 0 DDADMM C[C@@H](Oc1ccc(CN[C@@H](C)C(=O)NC(C)(C)C)cc1)C(=O)[O-] ZINC000902179990 710650967 /nfs/dbraw/zinc/65/09/67/710650967.db2.gz MVWNXIOWOKYGGQ-NWDGAFQWSA-N -1 1 322.405 1.931 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2cc(C(=O)[O-])co2)C[C@@H](C)O1 ZINC000911150551 710655289 /nfs/dbraw/zinc/65/52/89/710655289.db2.gz NAXWYJITDAFVBO-GHMZBOCLSA-N -1 1 310.350 1.207 20 0 DDADMM Cc1ccc(C(=O)NCCCCN2CCOCC2)cc1C(=O)[O-] ZINC000911203431 710682909 /nfs/dbraw/zinc/68/29/09/710682909.db2.gz XWORKSBMAMIFNT-UHFFFAOYSA-N -1 1 320.389 1.535 20 0 DDADMM O=C([O-])COCCNC(=O)[C@H]1CCCCN1Cc1ccccc1 ZINC000902293398 710701005 /nfs/dbraw/zinc/70/10/05/710701005.db2.gz KBWSCOAACKVBRF-OAHLLOKOSA-N -1 1 320.389 1.259 20 0 DDADMM CS(=O)(=O)Cc1ccccc1CNCc1ccc(C(=O)[O-])o1 ZINC000902399809 710741641 /nfs/dbraw/zinc/74/16/41/710741641.db2.gz HLOKNYCIWMBENJ-UHFFFAOYSA-N -1 1 323.370 1.812 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)C34CC(C(=O)[O-])(C3)C4)C2)n[nH]1 ZINC000911325038 710742397 /nfs/dbraw/zinc/74/23/97/710742397.db2.gz FBTUATJWTQVQSH-SFNBMPIDSA-N -1 1 304.350 1.074 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)CCc1ccc(C(=O)[O-])cc1 ZINC000911375727 710768756 /nfs/dbraw/zinc/76/87/56/710768756.db2.gz KHNVDNKWKNXWDY-OAHLLOKOSA-N -1 1 304.390 1.870 20 0 DDADMM O=C([O-])c1coc(/C=C\C(=O)N2CCN(C3CCC3)CC2)c1 ZINC000911379400 710770722 /nfs/dbraw/zinc/77/07/22/710770722.db2.gz YTPMITAKKJSVFV-PLNGDYQASA-N -1 1 304.346 1.688 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)Cc1ccc(C(=O)[O-])cc1 ZINC000911575421 710861835 /nfs/dbraw/zinc/86/18/35/710861835.db2.gz ZYJCLBHWGAJSTJ-HNNXBMFYSA-N -1 1 304.390 1.870 20 0 DDADMM O=C(N[C@@H]1CCOC12CCCC2)c1nc2ccccc2c(=O)[n-]1 ZINC000903038843 711011157 /nfs/dbraw/zinc/01/11/57/711011157.db2.gz HNMYDPWPNOYNHA-CYBMUJFWSA-N -1 1 313.357 1.755 20 0 DDADMM CCc1[nH+]ccn1[C@H](C)CC(=O)N1CC[C@@H](c2cc(=O)[nH][nH]2)C1 ZINC000911855586 711034760 /nfs/dbraw/zinc/03/47/60/711034760.db2.gz RJMHRBHYFBKJMT-VXGBXAGGSA-N -1 1 317.393 1.841 20 0 DDADMM NOCCC[N-]S(=O)(=O)c1ccc(Cl)c(F)c1Cl ZINC000903526399 711169692 /nfs/dbraw/zinc/16/96/92/711169692.db2.gz HUVKXGNNENWXBV-UHFFFAOYSA-N -1 1 317.169 1.691 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1cc(CC(C)C)n[nH]1 ZINC000903611759 711223954 /nfs/dbraw/zinc/22/39/54/711223954.db2.gz WWRRPNSKSSDVIB-UHFFFAOYSA-N -1 1 310.379 1.813 20 0 DDADMM CCc1ccc([C@@H](C)C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000913495129 713237646 /nfs/dbraw/zinc/23/76/46/713237646.db2.gz SKDGWEDPMLKNBP-RISCZKNCSA-N -1 1 315.377 1.466 20 0 DDADMM O=S(=O)(CC1(F)CC1)[N-]c1nc2cc3c(cc2[nH]1)OCO3 ZINC000903638958 711232627 /nfs/dbraw/zinc/23/26/27/711232627.db2.gz QWANTFZSUFEMQN-UHFFFAOYSA-N -1 1 313.310 1.535 20 0 DDADMM Cc1nc(C(C)C)sc1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495262 713237908 /nfs/dbraw/zinc/23/79/08/713237908.db2.gz TVANLLOUNPLGLA-VIFPVBQESA-N -1 1 322.394 1.302 20 0 DDADMM O=C(c1ccc2sccc2c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495990 713238273 /nfs/dbraw/zinc/23/82/73/713238273.db2.gz QOYFYSDJLLGJSC-LLVKDONJSA-N -1 1 315.358 1.628 20 0 DDADMM CSc1nc(CNC(=O)[C@@](C)(O)c2ccccc2)cc(=O)[n-]1 ZINC000912240097 711238872 /nfs/dbraw/zinc/23/88/72/711238872.db2.gz DIOUTNACQKMDAN-HNNXBMFYSA-N -1 1 319.386 1.428 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-]c1ccnc(N2CCCCC2)c1 ZINC000903665593 711239887 /nfs/dbraw/zinc/23/98/87/711239887.db2.gz APKKAORNMNNMGC-UHFFFAOYSA-N -1 1 322.394 1.001 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C2(c3ccccc3)COC2)sn1 ZINC000903665751 711239925 /nfs/dbraw/zinc/23/99/25/711239925.db2.gz ZZMXPJJSFWZUJG-UHFFFAOYSA-N -1 1 310.400 1.656 20 0 DDADMM COCc1nc(NC[C@]2(C)COc3ccccc3O2)cc(=O)[n-]1 ZINC000894696857 711241135 /nfs/dbraw/zinc/24/11/35/711241135.db2.gz FSILKDSZKPUCAZ-MRXNPFEDSA-N -1 1 317.345 1.971 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)NCc1ccccc1 ZINC000903712594 711250784 /nfs/dbraw/zinc/25/07/84/711250784.db2.gz ZOOSIVSLNBHGNH-CYBMUJFWSA-N -1 1 308.378 1.114 20 0 DDADMM Cc1nc([C@@H](C)N2CCOc3c(cccc3C(=O)[O-])C2)n[nH]1 ZINC000903928650 711336638 /nfs/dbraw/zinc/33/66/38/711336638.db2.gz WNDLMHSSCHNUCA-SECBINFHSA-N -1 1 302.334 1.767 20 0 DDADMM Cc1noc(CCCN2CCOc3cc(C(=O)[O-])ccc3C2)n1 ZINC000903957810 711348121 /nfs/dbraw/zinc/34/81/21/711348121.db2.gz XPMPTUQVOXUPQC-UHFFFAOYSA-N -1 1 317.345 1.903 20 0 DDADMM CCOc1cc(CNCc2cnn(C)c2C(=O)[O-])ccc1OC ZINC000904070602 711374233 /nfs/dbraw/zinc/37/42/33/711374233.db2.gz WOLWOZBPMWPXEJ-UHFFFAOYSA-N -1 1 319.361 1.815 20 0 DDADMM O=C(NCC1(C2(O)CCOCC2)CC1)c1ccc([O-])c(F)c1 ZINC000928674940 713259158 /nfs/dbraw/zinc/25/91/58/713259158.db2.gz HATTWJCILFXOKA-UHFFFAOYSA-N -1 1 309.337 1.583 20 0 DDADMM Cc1nc(CC2CCN(c3cc(Cl)[n-]c(=O)n3)CC2)no1 ZINC000895697495 711579993 /nfs/dbraw/zinc/57/99/93/711579993.db2.gz AGYWPDMRVINSHN-UHFFFAOYSA-N -1 1 309.757 1.986 20 0 DDADMM O=C(C=Cc1ccc(Cl)nc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743861 713292334 /nfs/dbraw/zinc/29/23/34/713292334.db2.gz OFCVWEVUJKEPLS-DUXPYHPUSA-N -1 1 318.768 1.668 20 0 DDADMM CC[C@@H](C)n1nc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1C ZINC000913744376 713292924 /nfs/dbraw/zinc/29/29/24/713292924.db2.gz LQXJQKRTSBJYSZ-SNVBAGLBSA-N -1 1 317.397 1.695 20 0 DDADMM CC[C@@H](C)CC[C@H](O)CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744719 713293255 /nfs/dbraw/zinc/29/32/55/713293255.db2.gz AVXJXOFAEIYVFK-YPMHNXCESA-N -1 1 309.414 1.483 20 0 DDADMM C[C@H]1[C@@H](c2ccccc2)[C@H]1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744698 713293327 /nfs/dbraw/zinc/29/33/27/713293327.db2.gz ABWRITXQGGHLFX-CQDKDKBSSA-N -1 1 311.389 1.955 20 0 DDADMM CC(C)(Nc1ccccc1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745989 713294095 /nfs/dbraw/zinc/29/40/95/713294095.db2.gz SCKLGLQQIXMLHR-UHFFFAOYSA-N -1 1 314.393 1.796 20 0 DDADMM Cc1cccc2ncc(C(=O)N3CCC(c4nn[n-]n4)CC3)n21 ZINC000913746361 713294218 /nfs/dbraw/zinc/29/42/18/713294218.db2.gz QBKMFOQJISYCFG-UHFFFAOYSA-N -1 1 311.349 1.176 20 0 DDADMM O=C([C@H]1CCOC2(CCC2)C1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746578 713294381 /nfs/dbraw/zinc/29/43/81/713294381.db2.gz AUMBCHMGDKHZRE-LBPRGKRZSA-N -1 1 305.382 1.255 20 0 DDADMM O=C([O-])c1ccc(CNCCC(=O)N2CCCCCC2)nc1 ZINC000905723315 712132030 /nfs/dbraw/zinc/13/20/30/712132030.db2.gz CVOZDSOOVBJKPI-UHFFFAOYSA-N -1 1 305.378 1.662 20 0 DDADMM CC(C)[C@@H]1CCCN1S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906828861 712418473 /nfs/dbraw/zinc/41/84/73/712418473.db2.gz PXOUBBPCXMVGFI-NSHDSACASA-N -1 1 313.375 1.900 20 0 DDADMM COc1cc(F)c(S(=O)(=O)NC[C@H]2CCC[N@@H+]2C)cc1F ZINC000913970997 713326640 /nfs/dbraw/zinc/32/66/40/713326640.db2.gz TVRANFYNSDQNIK-SECBINFHSA-N -1 1 320.361 1.346 20 0 DDADMM O=C([C@H]1CCCC(F)(F)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477538 712582683 /nfs/dbraw/zinc/58/26/83/712582683.db2.gz FHHPCMZDQPCCLG-DTWKUNHWSA-N -1 1 317.365 1.642 20 0 DDADMM Cc1ccccc1OCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479193 712583344 /nfs/dbraw/zinc/58/33/44/712583344.db2.gz ZJBHZACWTYZLHS-NSHDSACASA-N -1 1 319.390 1.204 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C2CC3(C2)CO[C@H](C)C3)sn1 ZINC000907733984 712619904 /nfs/dbraw/zinc/61/99/04/712619904.db2.gz XNJXJYOCEMGLSF-GRZMOONWSA-N -1 1 302.421 1.687 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2C[C@H](NC(=O)c3[nH]nc4c3CCCCC4)[C@@H]2C1 ZINC000907954517 712654610 /nfs/dbraw/zinc/65/46/10/712654610.db2.gz WOEPNVXLCLYIHD-FTUHBYGFSA-N -1 1 317.389 1.908 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)NC[C@H](c1cccs1)N(C)C ZINC000908747547 712846873 /nfs/dbraw/zinc/84/68/73/712846873.db2.gz TXYWXLCMEIASQH-LLVKDONJSA-N -1 1 313.423 1.857 20 0 DDADMM Cc1ccccc1OCCNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908749023 712847050 /nfs/dbraw/zinc/84/70/50/712847050.db2.gz LEQJWGOZHXAQHG-CQSZACIVSA-N -1 1 320.389 1.287 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCOCc2ccccc2)C1 ZINC000908773665 712852121 /nfs/dbraw/zinc/85/21/21/712852121.db2.gz KVYQYHSFFGATPT-OAHLLOKOSA-N -1 1 320.389 1.116 20 0 DDADMM CN1CCC[C@H](NC(=O)N2CCc3occc3[C@@H]2C(=O)[O-])C1 ZINC000908871829 712875542 /nfs/dbraw/zinc/87/55/42/712875542.db2.gz WGIUCKKEFFVHEX-GXFFZTMASA-N -1 1 307.350 1.067 20 0 DDADMM CCN(CC)[C@H](CNC(=O)N[C@H](CF)C(=O)[O-])c1ccco1 ZINC000908875352 712876701 /nfs/dbraw/zinc/87/67/01/712876701.db2.gz GBZCLPNTBCZCNW-GHMZBOCLSA-N -1 1 315.345 1.384 20 0 DDADMM CC(C)CN(CC(=O)[O-])C(=O)c1cc([C@@H]2CCCN2C)n[nH]1 ZINC000908882280 712878675 /nfs/dbraw/zinc/87/86/75/712878675.db2.gz NYTDTLOZFNBOBN-ZDUSSCGKSA-N -1 1 308.382 1.359 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2csc(C)n2)o1 ZINC000915417514 713418905 /nfs/dbraw/zinc/41/89/05/713418905.db2.gz AUACJVACJDUMQH-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CC(C)CN(Cc1ccccn1)C(=O)CCCc1nn[n-]n1 ZINC000928735246 713474703 /nfs/dbraw/zinc/47/47/03/713474703.db2.gz JUDMCRNHDJGSNI-UHFFFAOYSA-N -1 1 302.382 1.602 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000929065167 713542388 /nfs/dbraw/zinc/54/23/88/713542388.db2.gz TUZCVVVIOXOZCC-RYUDHWBXSA-N -1 1 315.439 1.071 20 0 DDADMM C[C@H](CN(C)[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc([O-])cc1 ZINC000929204018 713566406 /nfs/dbraw/zinc/56/64/06/713566406.db2.gz NEBAMXQKHNEUNF-DGCLKSJQSA-N -1 1 311.403 1.330 20 0 DDADMM COC1(OC)CC([N-]S(=O)(=O)c2c(F)cc(C)cc2F)C1 ZINC000920074118 713649100 /nfs/dbraw/zinc/64/91/00/713649100.db2.gz NRTZDIMTKJDHAE-UHFFFAOYSA-N -1 1 321.345 1.703 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1[C@H]2Cc3ccccc3[C@@H]12 ZINC000920507270 713676123 /nfs/dbraw/zinc/67/61/23/713676123.db2.gz PQNVNJDNLPONMV-HAKKBZAZSA-N -1 1 314.432 1.277 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2nc3c(s2)CCC3)sn1 ZINC000920983920 713705765 /nfs/dbraw/zinc/70/57/65/713705765.db2.gz RDNZGIWDPRTZNG-UHFFFAOYSA-N -1 1 315.445 1.875 20 0 DDADMM CCS(=O)(=O)CCN(C)C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000930109441 713758552 /nfs/dbraw/zinc/75/85/52/713758552.db2.gz DNDDVZNUUXTGBB-GFCCVEGCSA-N -1 1 313.419 1.578 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2COC[C@@H]2C)c(F)c1 ZINC000921496541 713788753 /nfs/dbraw/zinc/78/87/53/713788753.db2.gz WGNSKPQWZVQGBD-CPCISQLKSA-N -1 1 307.318 1.287 20 0 DDADMM CCOCCOCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000921887426 713897680 /nfs/dbraw/zinc/89/76/80/713897680.db2.gz BOSOAOBJRODVKB-UHFFFAOYSA-N -1 1 313.322 1.549 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC(Cc4ccoc4)C3)ccnc1-2 ZINC000931132445 714010915 /nfs/dbraw/zinc/01/09/15/714010915.db2.gz XEKPAXKBDCGBBP-UHFFFAOYSA-N -1 1 311.345 1.641 20 0 DDADMM O=c1cc(CN2CCC[C@H]2c2nc[nH]n2)c2ccc([O-])cc2o1 ZINC000931138538 714012522 /nfs/dbraw/zinc/01/25/22/714012522.db2.gz KVKGPAKQHYZNPM-ZDUSSCGKSA-N -1 1 312.329 1.954 20 0 DDADMM CO[N-]C(=O)CNCc1c(Cl)cc(Cl)cc1N(C)C ZINC000922828185 714160580 /nfs/dbraw/zinc/16/05/80/714160580.db2.gz STEZFRJUOXHRCX-UHFFFAOYSA-N -1 1 306.193 1.827 20 0 DDADMM CO[N-]C(=O)CNCc1c(F)ccc(C2OCCO2)c1Cl ZINC000922829287 714161153 /nfs/dbraw/zinc/16/11/53/714161153.db2.gz GGMFJAAWQAFBBM-UHFFFAOYSA-N -1 1 318.732 1.292 20 0 DDADMM C[C@@H]1C[C@H](O)CN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932049229 714246029 /nfs/dbraw/zinc/24/60/29/714246029.db2.gz HNDHFRIBQOSPTI-MFKMUULPSA-N -1 1 302.334 1.565 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCC[C@@H]3C[C@@]32C(=O)[O-])C1 ZINC000923148969 714255289 /nfs/dbraw/zinc/25/52/89/714255289.db2.gz BDFUOQZQMLCYGW-QMABLASFSA-N -1 1 303.362 1.541 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2CCn3cccc3C2)cc1 ZINC000932528103 714356165 /nfs/dbraw/zinc/35/61/65/714356165.db2.gz VKQQNDDYUAFTRZ-UHFFFAOYSA-N -1 1 313.357 1.269 20 0 DDADMM O=C([N-]CCCN1CCn2nc(C3CC3)cc2C1)C(F)(F)F ZINC000932607362 714366411 /nfs/dbraw/zinc/36/64/11/714366411.db2.gz PYSBUMWDUPGJDC-UHFFFAOYSA-N -1 1 316.327 1.645 20 0 DDADMM O=C([O-])[C@@H]1CN(CC(=O)Nc2cc(F)cc(F)c2)C[C@H]1C1CC1 ZINC000923532697 714392917 /nfs/dbraw/zinc/39/29/17/714392917.db2.gz XRLQCPPLBAKJRC-UONOGXRCSA-N -1 1 324.327 1.946 20 0 DDADMM CC1(C)CO[C@@H](CCNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000924177032 714540123 /nfs/dbraw/zinc/54/01/23/714540123.db2.gz HAWYDXHLBXMMLQ-NSHDSACASA-N -1 1 305.378 1.995 20 0 DDADMM Cn1cnc(/C=C\C(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000924494207 714594631 /nfs/dbraw/zinc/59/46/31/714594631.db2.gz IOKDHIWXBMYPDC-ARJAWSKDSA-N -1 1 305.256 1.045 20 0 DDADMM CC(C)(O)CNC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC000933826152 714676975 /nfs/dbraw/zinc/67/69/75/714676975.db2.gz JAKBLUKBEOHSLC-UHFFFAOYSA-N -1 1 303.314 1.965 20 0 DDADMM O=C(c1cc(Cl)ncc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000935037192 714961223 /nfs/dbraw/zinc/96/12/23/714961223.db2.gz PQORIQVRNMWLIT-ZETCQYMHSA-N -1 1 310.720 1.407 20 0 DDADMM CCS(=O)(=O)C=C([O-])N=[S@]1(=O)CCc2ccccc21 ZINC000926041164 714995829 /nfs/dbraw/zinc/99/58/29/714995829.db2.gz RNOJBEVNZBKDKB-IBGZPJMESA-N -1 1 301.389 1.031 20 0 DDADMM Cc1cc(Cl)nc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000935457388 715060268 /nfs/dbraw/zinc/06/02/68/715060268.db2.gz PZDFNQBQJUOANV-VIFPVBQESA-N -1 1 324.797 1.487 20 0 DDADMM O=C([N-]OCCC(F)(F)F)[C@H]1C[C@]12C(=O)Nc1ccccc12 ZINC000935502674 715072480 /nfs/dbraw/zinc/07/24/80/715072480.db2.gz XTUNJMIDHWHWIT-NOZJJQNGSA-N -1 1 314.263 1.897 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C(C)(C)F)C1 ZINC000936350645 715186039 /nfs/dbraw/zinc/18/60/39/715186039.db2.gz YQPIKDSISPZRLD-JTQLQIEISA-N -1 1 309.341 1.208 20 0 DDADMM CCCC(=O)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943205073 718046372 /nfs/dbraw/zinc/04/63/72/718046372.db2.gz AOCMZDMASCBDGV-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM O=C(CC1CCCC1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937629713 715462422 /nfs/dbraw/zinc/46/24/22/715462422.db2.gz WUJDQOXBIGNPSV-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(C=C1CCC1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937810909 715587659 /nfs/dbraw/zinc/58/76/59/715587659.db2.gz BTCOLKHVXYIBOA-LBPRGKRZSA-N -1 1 301.346 1.228 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956831229 715626317 /nfs/dbraw/zinc/62/63/17/715626317.db2.gz GCRSXARPJSPXAI-DIFFPNOSSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956831230 715626632 /nfs/dbraw/zinc/62/66/32/715626632.db2.gz GCRSXARPJSPXAI-PIGZYNQJSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849142 715636322 /nfs/dbraw/zinc/63/63/22/715636322.db2.gz LYSXRYMNIBLXTI-MEDUHNTESA-N -1 1 305.378 1.554 20 0 DDADMM CC(C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC000955559376 715853898 /nfs/dbraw/zinc/85/38/98/715853898.db2.gz YBAYKZARQAHXSP-RYUDHWBXSA-N -1 1 305.378 1.553 20 0 DDADMM CCC(=O)N(C)[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000938528544 715890308 /nfs/dbraw/zinc/89/03/08/715890308.db2.gz LBPNULHKMGXFPB-LLVKDONJSA-N -1 1 318.377 1.143 20 0 DDADMM CCCC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000959797900 716292315 /nfs/dbraw/zinc/29/23/15/716292315.db2.gz HUPHQOXXOOXKIK-TXEJJXNPSA-N -1 1 303.362 1.118 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)C2=CCCC2)C1)c1ncccc1[O-] ZINC000940131316 716642812 /nfs/dbraw/zinc/64/28/12/716642812.db2.gz ATYUQDCXKJUYKT-GFCCVEGCSA-N -1 1 301.346 1.228 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940831464 716980265 /nfs/dbraw/zinc/98/02/65/716980265.db2.gz BLTLRMKLLHOOKE-ZDUSSCGKSA-N -1 1 323.368 1.456 20 0 DDADMM CC(C)CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964850061 717453420 /nfs/dbraw/zinc/45/34/20/717453420.db2.gz PFLJNPONEQMBSC-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]2[C@H]1CCN2C(=O)C1CC1 ZINC000962942905 717635194 /nfs/dbraw/zinc/63/51/94/717635194.db2.gz HWQUNYXFXPQQCL-CHWSQXEVSA-N -1 1 315.373 1.403 20 0 DDADMM CCCC(=O)N1CC[C@H]2[C@@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962946693 717636043 /nfs/dbraw/zinc/63/60/43/717636043.db2.gz REXHWFHHDAFBMK-STQMWFEESA-N -1 1 317.389 1.793 20 0 DDADMM C[C@H]1CCN(Cc2ccon2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000965941530 717826502 /nfs/dbraw/zinc/82/65/02/717826502.db2.gz RTKWPXCAPVSVKK-WCQYABFASA-N -1 1 316.361 1.416 20 0 DDADMM C[C@@H]1CCN(C/C=C/Cl)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000965940915 717826585 /nfs/dbraw/zinc/82/65/85/717826585.db2.gz MRQOOVVUBPJEOR-ZBWCZXCSSA-N -1 1 309.797 1.980 20 0 DDADMM C[C@@H]1CN(C(=O)C2CCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966030375 717889385 /nfs/dbraw/zinc/88/93/85/717889385.db2.gz NFMHSZIIYFARFM-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM CC[C@H](F)C(=O)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943204519 718046505 /nfs/dbraw/zinc/04/65/05/718046505.db2.gz UCSBWPHCIZVJNW-RYUDHWBXSA-N -1 1 323.368 1.646 20 0 DDADMM CCCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000945121670 718402625 /nfs/dbraw/zinc/40/26/25/718402625.db2.gz JSIBJWMTQVCTHC-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM CCC(=O)N1CCC[C@H](C)[C@H]1CNC(=O)c1ncccc1[O-] ZINC000945684933 718526157 /nfs/dbraw/zinc/52/61/57/718526157.db2.gz KOAFLDXVTUACGE-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@@H]1CCCN(C(=O)C2CC2)[C@H]1CNC(=O)c1ncccc1[O-] ZINC000945698762 718536778 /nfs/dbraw/zinc/53/67/78/718536778.db2.gz SIQJCUZPADWMCI-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CCCC(=O)N1CCC[C@@H](C)[C@H]1CNC(=O)c1ncccc1[O-] ZINC000945720271 718545744 /nfs/dbraw/zinc/54/57/44/718545744.db2.gz NLAJXKSJEGUNEJ-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CCC(=O)N[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1C ZINC000966445115 718552898 /nfs/dbraw/zinc/55/28/98/718552898.db2.gz OALKYNLBOOVJIB-SKDRFNHKSA-N -1 1 318.377 1.046 20 0 DDADMM O=C(CC1CC1)N[C@@H]1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946092183 718676667 /nfs/dbraw/zinc/67/66/67/718676667.db2.gz VNYYLSLBOZGHGG-QWHCGFSZSA-N -1 1 317.389 1.602 20 0 DDADMM CCC1(C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)CC1 ZINC000948216710 719400136 /nfs/dbraw/zinc/40/01/36/719400136.db2.gz JSUXGPSEVIYBSW-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cc[nH]c1 ZINC000948626436 719599177 /nfs/dbraw/zinc/59/91/77/719599177.db2.gz DRWASTWTWGIHFN-ZYHUDNBSSA-N -1 1 314.345 1.006 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ccoc2Cl)C1)c1cnn[nH]1 ZINC000950593355 720697354 /nfs/dbraw/zinc/69/73/54/720697354.db2.gz RLWSUZLGMVELIT-MRVPVSSYSA-N -1 1 323.740 1.086 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000952202471 721354511 /nfs/dbraw/zinc/35/45/11/721354511.db2.gz OJQVOTXCDSNQIA-GRYCIOLGSA-N -1 1 303.362 1.164 20 0 DDADMM CCCC(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000953231454 721571193 /nfs/dbraw/zinc/57/11/93/721571193.db2.gz NRCQCVMTWSWQEZ-QWHCGFSZSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2Cc2ccccc2C)c1[O-] ZINC001038166280 732319438 /nfs/dbraw/zinc/31/94/38/732319438.db2.gz YOHHMHCKCPLTOH-CQSZACIVSA-N -1 1 314.389 1.736 20 0 DDADMM C[C@@H]1CCN(C(=O)CC2(C)CC2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087191179 734575447 /nfs/dbraw/zinc/57/54/47/734575447.db2.gz APMWXKKUYXCETB-NEPJUHHUSA-N -1 1 321.425 1.417 20 0 DDADMM O=C1[N-]OC[C@H]1Nc1nccc2c1CCN(Cc1ccccc1)C2 ZINC001167511213 734647583 /nfs/dbraw/zinc/64/75/83/734647583.db2.gz WPFBHXBLDJLJFO-MRXNPFEDSA-N -1 1 324.384 1.482 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N(Cc1ccc(F)cc1)C1CC1 ZINC001121268324 782433441 /nfs/dbraw/zinc/43/34/41/782433441.db2.gz MDCOEOLRGRQZSH-UHFFFAOYSA-N -1 1 314.328 1.303 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N(Cc1ccc(F)cc1)C1CC1 ZINC001121268324 782433447 /nfs/dbraw/zinc/43/34/47/782433447.db2.gz MDCOEOLRGRQZSH-UHFFFAOYSA-N -1 1 314.328 1.303 20 0 DDADMM Cc1cocc1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025046640 736251856 /nfs/dbraw/zinc/25/18/56/736251856.db2.gz UVRXYBUWXCJCAE-LLVKDONJSA-N -1 1 319.365 1.196 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)[C@@H]1CCCOC1 ZINC000692905423 739032479 /nfs/dbraw/zinc/03/24/79/739032479.db2.gz SMWFUPLAMIPNCK-RKDXNWHRSA-N -1 1 322.789 1.968 20 0 DDADMM Nc1ccc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)nn1 ZINC001167934284 739723514 /nfs/dbraw/zinc/72/35/14/739723514.db2.gz QSBGKUHRJFBNKZ-UHFFFAOYSA-N -1 1 306.262 1.144 20 0 DDADMM O=C(CC1CCC1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088288055 741331449 /nfs/dbraw/zinc/33/14/49/741331449.db2.gz LIMUOOYTQJOZKQ-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM CC1(CC(=O)N2CCCCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001088348061 741422427 /nfs/dbraw/zinc/42/24/27/741422427.db2.gz KCRSRKCQNAWFGD-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM CC(F)(F)C[N-]S(=O)(=O)c1c(Cl)nc2sccn21 ZINC000697956711 742579593 /nfs/dbraw/zinc/57/95/93/742579593.db2.gz HYBHWWPQFJUDGF-UHFFFAOYSA-N -1 1 315.754 1.983 20 0 DDADMM Cc1c[nH]cc1C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076247670 742620264 /nfs/dbraw/zinc/62/02/64/742620264.db2.gz YUXIQOVKMXGMSW-NSHDSACASA-N -1 1 316.361 1.362 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1nccs1 ZINC001076245097 742624637 /nfs/dbraw/zinc/62/46/37/742624637.db2.gz OJIXPSCAMBJZSA-VIFPVBQESA-N -1 1 320.374 1.182 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[C@@H](c3n[nH]cc3N)C2)c1 ZINC001180775173 742933404 /nfs/dbraw/zinc/93/34/04/742933404.db2.gz UHMLVVWBHADRHR-SNVBAGLBSA-N -1 1 314.345 1.448 20 0 DDADMM C[C@@H](CCNC(=O)CCCC1CCCC1)NC(=O)c1cnn[nH]1 ZINC001077038634 743191274 /nfs/dbraw/zinc/19/12/74/743191274.db2.gz KRMBVSDJWMXVRP-LBPRGKRZSA-N -1 1 321.425 1.790 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001168696913 743213332 /nfs/dbraw/zinc/21/33/32/743213332.db2.gz YGZQKTSMRCBYCA-AYJWMTRPSA-N -1 1 320.356 1.904 20 0 DDADMM Cc1cc(OC(F)(F)F)ccc1CC(=O)NCc1nn[n-]n1 ZINC001181718395 743307564 /nfs/dbraw/zinc/30/75/64/743307564.db2.gz CZQFDCMZRBEWMV-UHFFFAOYSA-N -1 1 315.255 1.266 20 0 DDADMM O=C(NC1CCN(Cc2ccon2)CC1)c1ncccc1[O-] ZINC001002666238 743325462 /nfs/dbraw/zinc/32/54/62/743325462.db2.gz YVXGGQZGHVDVCB-UHFFFAOYSA-N -1 1 302.334 1.170 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@@H]1C[C@H]1c1ccccc1F ZINC001182290199 743553274 /nfs/dbraw/zinc/55/32/74/743553274.db2.gz IYCGUYBHXVOGLQ-DTWKUNHWSA-N -1 1 313.292 1.940 20 0 DDADMM CN(c1ncccn1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001061196413 743591889 /nfs/dbraw/zinc/59/18/89/743591889.db2.gz SZRLWQSCAJHISQ-GFCCVEGCSA-N -1 1 313.361 1.318 20 0 DDADMM C[C@](O)(C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O)c1ccccc1 ZINC001182925081 743745665 /nfs/dbraw/zinc/74/56/65/743745665.db2.gz TUHTYXFHIBZDPW-CYBMUJFWSA-N -1 1 304.262 1.132 20 0 DDADMM O=C(CCCOc1ccccc1F)NC1(c2nn[n-]n2)CCC1 ZINC000072284339 743767565 /nfs/dbraw/zinc/76/75/65/743767565.db2.gz NIAWJKOOWBLBKP-UHFFFAOYSA-N -1 1 319.340 1.693 20 0 DDADMM Cc1cc(CN2CC(NC(=O)c3ncccc3[O-])C2)sn1 ZINC001030242137 743977952 /nfs/dbraw/zinc/97/79/52/743977952.db2.gz PYFAJACRTUXPIE-UHFFFAOYSA-N -1 1 304.375 1.166 20 0 DDADMM CN(C)c1nc(NC(=O)NCc2ccc(F)cc2)cc(=O)[n-]1 ZINC001185441318 744223656 /nfs/dbraw/zinc/22/36/56/744223656.db2.gz LUOJXUHEPVBOJS-UHFFFAOYSA-N -1 1 305.313 1.709 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ccc([O-])c(F)c2)cn1C ZINC001186208785 744364295 /nfs/dbraw/zinc/36/42/95/744364295.db2.gz KNOORJINPLYINR-UHFFFAOYSA-N -1 1 307.281 1.694 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(C(=O)C(F)(F)F)CC1 ZINC001186209054 744364479 /nfs/dbraw/zinc/36/44/79/744364479.db2.gz NZWZDZICCCBXAQ-UHFFFAOYSA-N -1 1 320.242 1.378 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCc2ccc(=O)[nH]c2CC1 ZINC001186326709 744375150 /nfs/dbraw/zinc/37/51/50/744375150.db2.gz HBCLQQTTZUOVLM-UHFFFAOYSA-N -1 1 302.305 1.873 20 0 DDADMM O=C(Nc1cccc2c1CC(=O)NC2)c1ccc([O-])cc1F ZINC001186329540 744387018 /nfs/dbraw/zinc/38/70/18/744387018.db2.gz QYXYUTQJFZONDD-UHFFFAOYSA-N -1 1 300.289 1.956 20 0 DDADMM COc1cccc(CC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1C ZINC001186476641 744400790 /nfs/dbraw/zinc/40/07/90/744400790.db2.gz JCPUKXLGQVCPST-UHFFFAOYSA-N -1 1 313.317 1.557 20 0 DDADMM COCCc1cccc(C[N-]S(=O)(=O)c2cnoc2C)c1 ZINC001187411531 744558254 /nfs/dbraw/zinc/55/82/54/744558254.db2.gz JMFOUXHQVFDETI-UHFFFAOYSA-N -1 1 310.375 1.650 20 0 DDADMM COc1cccc(C)c1C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001188813584 744773805 /nfs/dbraw/zinc/77/38/05/744773805.db2.gz MICOVQFFDNZVDC-UHFFFAOYSA-N -1 1 315.289 1.285 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cccc(-c2cc[nH]n2)c1 ZINC001189028799 744820232 /nfs/dbraw/zinc/82/02/32/744820232.db2.gz QGBFAPVJXSUYQL-UHFFFAOYSA-N -1 1 323.289 1.764 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc(-c2ccncc2)n[nH]1 ZINC001189333372 744874600 /nfs/dbraw/zinc/87/46/00/744874600.db2.gz YJVLGSQVXOJOMY-UHFFFAOYSA-N -1 1 324.277 1.159 20 0 DDADMM O=C(c1cnc(-c2ccccc2)[n-]c1=O)N1CCC2(COC2)C1 ZINC001190261259 745166048 /nfs/dbraw/zinc/16/60/48/745166048.db2.gz RMGWMFCPKIPFSF-UHFFFAOYSA-N -1 1 311.341 1.712 20 0 DDADMM N=C(NC(=O)c1cnc(-c2ccccc2)[n-]c1=O)c1ncc[nH]1 ZINC001190267062 745167401 /nfs/dbraw/zinc/16/74/01/745167401.db2.gz CSDKYAHOWHPOIL-UHFFFAOYSA-N -1 1 308.301 1.328 20 0 DDADMM Cc1ncc(NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)cn1 ZINC001190618333 745260322 /nfs/dbraw/zinc/26/03/22/745260322.db2.gz QAGONLOIKIRBAO-UHFFFAOYSA-N -1 1 308.301 1.595 20 0 DDADMM C[C@@H](NC(=O)c1cnc(-c2ccccn2)[n-]c1=O)c1ccno1 ZINC001190637820 745282316 /nfs/dbraw/zinc/28/23/16/745282316.db2.gz PFWWILSOFSPBLP-SECBINFHSA-N -1 1 311.301 1.723 20 0 DDADMM O=c1[nH]cnc2ccc([N-]S(=O)(=O)c3ccncc3)cc21 ZINC001190888652 745368313 /nfs/dbraw/zinc/36/83/13/745368313.db2.gz YMFPFBYJTCFWLV-UHFFFAOYSA-N -1 1 302.315 1.531 20 0 DDADMM O=S(=O)([N-]c1cccc(-n2nccn2)c1)c1ccncc1 ZINC001190896772 745370873 /nfs/dbraw/zinc/37/08/73/745370873.db2.gz REVXUYPVJKZQSK-UHFFFAOYSA-N -1 1 301.331 1.463 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ccccc2O)CCC[N@@H+]1CC(=O)N(C)C ZINC000993057748 745431091 /nfs/dbraw/zinc/43/10/91/745431091.db2.gz ZZQVMEQZEILPQA-OCCSQVGLSA-N -1 1 319.405 1.063 20 0 DDADMM Cc1cnc(C)nc1NCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001106296049 745562469 /nfs/dbraw/zinc/56/24/69/745562469.db2.gz GJLFZGAXNPGHAE-LLVKDONJSA-N -1 1 315.377 1.815 20 0 DDADMM CC(C)c1ccccc1NC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001192274655 745740828 /nfs/dbraw/zinc/74/08/28/745740828.db2.gz BXQPGNARWPVHQJ-SNVBAGLBSA-N -1 1 320.374 1.467 20 0 DDADMM Cc1cc2n(n1)c(=O)cc(C)n2C(=O)c1c(F)ccc([O-])c1F ZINC001192536023 745825575 /nfs/dbraw/zinc/82/55/75/745825575.db2.gz OWBYUJAVUHNBER-UHFFFAOYSA-N -1 1 319.267 1.785 20 0 DDADMM Cc1[nH][nH]c2ncnc(=NC(=O)c3cc([O-])cc(F)c3F)c1-2 ZINC001192651246 745845731 /nfs/dbraw/zinc/84/57/31/745845731.db2.gz CPFHNAJZCBEJGA-UHFFFAOYSA-N -1 1 305.244 1.271 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CCC[C@@](F)(CO)CC1 ZINC001192661150 745847727 /nfs/dbraw/zinc/84/77/27/745847727.db2.gz LJSPXAXNSOHSEY-AWEZNQCLSA-N -1 1 303.280 1.997 20 0 DDADMM O=C(NC[C@H](O)c1ccc(O)cc1)c1cc([O-])cc(F)c1F ZINC001192678490 745859767 /nfs/dbraw/zinc/85/97/67/745859767.db2.gz DEPGZCDEOIGUMC-ZDUSSCGKSA-N -1 1 309.268 1.839 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc(COC)cc1 ZINC001193060047 745976309 /nfs/dbraw/zinc/97/63/09/745976309.db2.gz YGJYNAIBMLKUHW-UHFFFAOYSA-N -1 1 315.391 1.916 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cn(C)nc2C(F)(F)F)[n-]n1 ZINC001194284153 746338032 /nfs/dbraw/zinc/33/80/32/746338032.db2.gz SQZGAECELJVITG-UHFFFAOYSA-N -1 1 317.227 1.201 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cccc(F)c2C(C)=O)[n-]n1 ZINC001194288942 746345120 /nfs/dbraw/zinc/34/51/20/746345120.db2.gz RHKRGYGQWSAWTL-UHFFFAOYSA-N -1 1 305.265 1.790 20 0 DDADMM Cc1cccc(C)c1S(=O)(=O)[N-]c1ccnc(C(N)=O)c1 ZINC001194610112 746410420 /nfs/dbraw/zinc/41/04/20/746410420.db2.gz ZESRASUGEJGSNQ-UHFFFAOYSA-N -1 1 305.359 1.020 20 0 DDADMM CN(C)c1nc(NC(=O)c2c[nH]nc2Cl)c(N=O)c(=O)[n-]1 ZINC001194944083 746492528 /nfs/dbraw/zinc/49/25/28/746492528.db2.gz BARORYCVDWYYIU-UHFFFAOYSA-N -1 1 311.689 1.275 20 0 DDADMM O=C(NC[C@@H]1C(=O)Nc2ccccc21)c1cc([O-])cnc1Cl ZINC001195315868 746575313 /nfs/dbraw/zinc/57/53/13/746575313.db2.gz USBGZBXSXYCJKO-NSHDSACASA-N -1 1 317.732 1.906 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(S(=O)(=O)CC)cc1 ZINC001195481966 746621757 /nfs/dbraw/zinc/62/17/57/746621757.db2.gz BOWPLGQOKQFKJG-UHFFFAOYSA-N -1 1 316.404 1.923 20 0 DDADMM Cc1c[nH]c(=O)c(C[N-]S(=O)(=O)c2ccc(F)nc2F)c1 ZINC001195698065 746681188 /nfs/dbraw/zinc/68/11/88/746681188.db2.gz SAVDIHCQCDVXNJ-UHFFFAOYSA-N -1 1 315.301 1.247 20 0 DDADMM O=S(=O)([N-]c1ccccc1C1=NCCO1)c1ccc(O)cc1 ZINC001195810095 746712997 /nfs/dbraw/zinc/71/29/97/746712997.db2.gz BWXDFUBLGSRMDK-UHFFFAOYSA-N -1 1 318.354 1.970 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1cnccc1Br ZINC001195939010 746730587 /nfs/dbraw/zinc/73/05/87/746730587.db2.gz KKTDVYOQTTZFNG-UHFFFAOYSA-N -1 1 323.168 1.149 20 0 DDADMM O=C(Nc1ccc2oc(=O)ccc2c1)c1c[nH]c(=S)[n-]c1=O ZINC001196019751 746759264 /nfs/dbraw/zinc/75/92/64/746759264.db2.gz BPEKYUJKMKIKOX-UHFFFAOYSA-N -1 1 315.310 1.830 20 0 DDADMM CC(C)(NC(=O)c1c[nH]c(=S)[n-]c1=O)C(=O)c1ccccc1 ZINC001196020544 746759404 /nfs/dbraw/zinc/75/94/04/746759404.db2.gz JCBUBPGHMJWIIO-UHFFFAOYSA-N -1 1 317.370 1.862 20 0 DDADMM O=C(N[C@@H](c1cncc(F)c1)C1CC1)c1c[nH]c(=S)[n-]c1=O ZINC001196026967 746766095 /nfs/dbraw/zinc/76/60/95/746766095.db2.gz OJIBLHAUOCTMBN-LLVKDONJSA-N -1 1 320.349 1.886 20 0 DDADMM Cc1nc(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)c[nH]c1=O ZINC001196513607 746882280 /nfs/dbraw/zinc/88/22/80/746882280.db2.gz RVXSNICSXQDFOU-UHFFFAOYSA-N -1 1 311.301 1.222 20 0 DDADMM Cc1noc(C(C)C)c1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001197350607 747135181 /nfs/dbraw/zinc/13/51/81/747135181.db2.gz AAZUTPSTGLEGSL-UHFFFAOYSA-N -1 1 307.266 1.958 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1ccncc1N(C)C ZINC001198161528 747394811 /nfs/dbraw/zinc/39/48/11/747394811.db2.gz YJYPODMCXGPXPW-UHFFFAOYSA-N -1 1 303.322 1.300 20 0 DDADMM O=C([N-]c1noc2nccnc12)c1cncc(OC(F)F)c1 ZINC001199295989 747779700 /nfs/dbraw/zinc/77/97/00/747779700.db2.gz FZWXTNGSKGOUQI-UHFFFAOYSA-N -1 1 307.216 1.867 20 0 DDADMM CC(C)n1ccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cc1=O ZINC001199492261 747861348 /nfs/dbraw/zinc/86/13/48/747861348.db2.gz OVRZUFPKGVXFEQ-UHFFFAOYSA-N -1 1 315.255 1.818 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=S)Nc1cc(=O)[n-]c(SC)n1 ZINC001199807506 748004338 /nfs/dbraw/zinc/00/43/38/748004338.db2.gz IPHZXUNPHSUBGS-ZCFIWIBFSA-N -1 1 316.408 1.142 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1CCC1 ZINC001004326589 748324456 /nfs/dbraw/zinc/32/44/56/748324456.db2.gz FUFHZNPQGILXOH-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CN1CC([N-]S(=O)(=O)c2c(F)cccc2Br)C1 ZINC001201018138 748390074 /nfs/dbraw/zinc/39/00/74/748390074.db2.gz DSBIEUIPSSEZNJ-UHFFFAOYSA-N -1 1 323.187 1.181 20 0 DDADMM C[C@H](CO)[N-]S(=O)(=O)c1cc(Cl)c(Cl)cc1F ZINC001201244350 748442329 /nfs/dbraw/zinc/44/23/29/748442329.db2.gz NFJLIZQMCMEDBS-RXMQYKEDSA-N -1 1 302.154 1.792 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cnc(Cl)cc1OC ZINC001201905529 748636159 /nfs/dbraw/zinc/63/61/59/748636159.db2.gz GEZQBKIJVDWJMD-UHFFFAOYSA-N -1 1 322.770 1.439 20 0 DDADMM CC(C)=C(F)C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995652805 749026919 /nfs/dbraw/zinc/02/69/19/749026919.db2.gz NOOMQXOGJMTMCQ-SECBINFHSA-N -1 1 311.361 1.100 20 0 DDADMM CN(c1cc(F)ncn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001056900541 761994239 /nfs/dbraw/zinc/99/42/39/761994239.db2.gz INVHVHSWGZELCT-SNVBAGLBSA-N -1 1 317.324 1.067 20 0 DDADMM CC(C)CC(=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035149963 751235152 /nfs/dbraw/zinc/23/51/52/751235152.db2.gz MFNJJJYHUIRUEK-UHFFFAOYSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@H]1CCCN(C(=O)C2CC=CC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036528163 752522283 /nfs/dbraw/zinc/52/22/83/752522283.db2.gz OYJUIVWYWNFHIG-AAEUAGOBSA-N -1 1 319.409 1.193 20 0 DDADMM C[C@H]1CCCN(C(=O)CC2CCC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036528965 752523320 /nfs/dbraw/zinc/52/33/20/752523320.db2.gz BSAUTWUKLGMNIL-WCQYABFASA-N -1 1 321.425 1.417 20 0 DDADMM CC1(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])C(C)(C)C2)CC1 ZINC001008061568 752527060 /nfs/dbraw/zinc/52/70/60/752527060.db2.gz BZOSQINAYRVBQL-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(C)C(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062150310 752793865 /nfs/dbraw/zinc/79/38/65/752793865.db2.gz ZGTVJCKTOQFCAP-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H](NC(=O)C1CC=CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005837280 753221486 /nfs/dbraw/zinc/22/14/86/753221486.db2.gz RVXXJJFMBKOSBG-NSHDSACASA-N -1 1 315.373 1.330 20 0 DDADMM Cc1cocc1C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001077856044 753326697 /nfs/dbraw/zinc/32/66/97/753326697.db2.gz BQWODLJAUVQZCO-NSHDSACASA-N -1 1 317.345 1.627 20 0 DDADMM CCC[C@@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062719634 753492032 /nfs/dbraw/zinc/49/20/32/753492032.db2.gz JQJIQZFBRZXSBN-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000252775 753609395 /nfs/dbraw/zinc/60/93/95/753609395.db2.gz YWIXQBPEEQDZDT-FRRDWIJNSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CCC1 ZINC001011371532 754478742 /nfs/dbraw/zinc/47/87/42/754478742.db2.gz PRDUUNMCJDHQRD-ZYHUDNBSSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@H]1[C@H](NC(=O)C2CC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014664446 755866597 /nfs/dbraw/zinc/86/65/97/755866597.db2.gz KKFYQENGMYZKDU-CMPLNLGQSA-N -1 1 303.362 1.307 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)CC1CCC1 ZINC001067095337 756020795 /nfs/dbraw/zinc/02/07/95/756020795.db2.gz SNKVLGUPBJCAET-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001016430878 757037550 /nfs/dbraw/zinc/03/75/50/757037550.db2.gz MOHQMMQLIBXPLX-IKWCTNDRSA-N -1 1 317.389 1.553 20 0 DDADMM CCCC(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017399783 757898374 /nfs/dbraw/zinc/89/83/74/757898374.db2.gz BXTMWUPUMIMOPB-UHFFFAOYSA-N -1 1 303.362 1.476 20 0 DDADMM CC(C)Cn1nccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000821528949 758164702 /nfs/dbraw/zinc/16/47/02/758164702.db2.gz MFLRRIAEBUOARG-UHFFFAOYSA-N -1 1 303.370 1.072 20 0 DDADMM CC(C)OC[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000821933517 758182782 /nfs/dbraw/zinc/18/27/82/758182782.db2.gz ORUOOBSACVBZSI-GFCCVEGCSA-N -1 1 321.377 1.326 20 0 DDADMM C[C@H]1CN(C(=O)CCCF)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001053176980 758235058 /nfs/dbraw/zinc/23/50/58/758235058.db2.gz MIPAKANQKMVUQO-RYUDHWBXSA-N -1 1 323.368 1.361 20 0 DDADMM CC(=O)N[C@@H]1CC[C@@H](C)N(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001017882751 758337807 /nfs/dbraw/zinc/33/78/07/758337807.db2.gz DPJWJGAHRHXJGG-BXKDBHETSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@H]1CCN(C(=O)C2CC2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC001018460338 758857104 /nfs/dbraw/zinc/85/71/04/758857104.db2.gz AEBHYUXZGRSUEL-WCQYABFASA-N -1 1 317.389 1.412 20 0 DDADMM Cc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c(Cl)c1 ZINC000825159223 759228407 /nfs/dbraw/zinc/22/84/07/759228407.db2.gz SKKCDQXSVUIVPR-NSHDSACASA-N -1 1 307.741 1.375 20 0 DDADMM Cc1cccc(CO[N-]C(=O)Cc2[nH]nc3c2CCCC3)n1 ZINC000827857662 759401532 /nfs/dbraw/zinc/40/15/32/759401532.db2.gz SYSYZISYRQBJBS-UHFFFAOYSA-N -1 1 300.362 1.782 20 0 DDADMM Cc1cc(CN2CC[C@@H]2CN(C)C(=O)c2ncccc2[O-])on1 ZINC001085562814 759729015 /nfs/dbraw/zinc/72/90/15/759729015.db2.gz VQUPICHIPHRCPK-GFCCVEGCSA-N -1 1 316.361 1.430 20 0 DDADMM C[C@@H](c1cnccn1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038193523 760909199 /nfs/dbraw/zinc/90/91/99/760909199.db2.gz KDLVKBJRCZLBDV-NWDGAFQWSA-N -1 1 313.361 1.143 20 0 DDADMM CC(C)=CC(=O)N[C@@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531640 763718495 /nfs/dbraw/zinc/71/84/95/763718495.db2.gz UTXNOHXLWYIXRK-STQMWFEESA-N -1 1 317.389 1.720 20 0 DDADMM Cc1noc(C)c1CN1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042643252 764305969 /nfs/dbraw/zinc/30/59/69/764305969.db2.gz ZKMKIYNRQVJZTG-UHFFFAOYSA-N -1 1 316.361 1.348 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001045092591 766089560 /nfs/dbraw/zinc/08/95/60/766089560.db2.gz AXONKLVPNCYPSX-QWRGUYRKSA-N -1 1 309.414 1.273 20 0 DDADMM CC(=O)Nc1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1F ZINC001170215991 766174275 /nfs/dbraw/zinc/17/42/75/766174275.db2.gz FKSDZKZXCUHQIH-UHFFFAOYSA-N -1 1 318.268 1.557 20 0 DDADMM CC[C@@H](C)Oc1nccnc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170217359 766176590 /nfs/dbraw/zinc/17/65/90/766176590.db2.gz RBRACZHQGLVUAV-ZCFIWIBFSA-N -1 1 317.309 1.427 20 0 DDADMM CC(C)CCC(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131788131 768356554 /nfs/dbraw/zinc/35/65/54/768356554.db2.gz PWPQSTPTGUIRCT-STQMWFEESA-N -1 1 323.441 1.014 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)/C=C/c3cccnc3C)nc2n1 ZINC001129409453 766870832 /nfs/dbraw/zinc/87/08/32/766870832.db2.gz TXEUHMQZLXOBJU-AATRIKPKSA-N -1 1 310.317 1.081 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(Cc2cncs2)C1 ZINC001046261982 767320625 /nfs/dbraw/zinc/32/06/25/767320625.db2.gz JECOJYRVCBVXOU-OAHLLOKOSA-N -1 1 318.402 1.638 20 0 DDADMM Cc1nsc(N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001046333426 767431209 /nfs/dbraw/zinc/43/12/09/767431209.db2.gz FZFNSWZTCDWMHY-AOOOYVTPSA-N -1 1 319.390 1.568 20 0 DDADMM CC(C)(C)c1cccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c1 ZINC001135624186 771618503 /nfs/dbraw/zinc/61/85/03/771618503.db2.gz XGIZLQVGFGNCBY-UHFFFAOYSA-N -1 1 317.393 1.327 20 0 DDADMM O=C(NCCCS(=O)(=O)c1ccccc1)c1ccc([O-])cn1 ZINC001136474247 771979037 /nfs/dbraw/zinc/97/90/37/771979037.db2.gz ODCAKSJDTPTFRI-UHFFFAOYSA-N -1 1 320.370 1.381 20 0 DDADMM Cn1ccsc1=NC(=O)c1cc(-n2ccnc2)ccc1[O-] ZINC001136476290 771980364 /nfs/dbraw/zinc/98/03/64/771980364.db2.gz MYKQGQKYZYZQPQ-UHFFFAOYSA-N -1 1 300.343 1.719 20 0 DDADMM CC(=Cc1ccc(Br)o1)C(=O)NCc1nn[n-]n1 ZINC001136627375 772050037 /nfs/dbraw/zinc/05/00/37/772050037.db2.gz GUOBJPSEKFSWPB-XQRVVYSFSA-N -1 1 312.127 1.275 20 0 DDADMM COC(=O)[C@](C)(NC(=O)c1cc(C)cc(C=O)c1[O-])C1CC1 ZINC001136858389 772175707 /nfs/dbraw/zinc/17/57/07/772175707.db2.gz PSHPKHDFFXFIMM-MRXNPFEDSA-N -1 1 305.330 1.585 20 0 DDADMM Cc1ccoc1C(=O)NCCCNC(=O)c1ccc(F)c([O-])c1 ZINC001136947064 772220446 /nfs/dbraw/zinc/22/04/46/772220446.db2.gz VWUZAFFEKMHORF-UHFFFAOYSA-N -1 1 320.320 1.983 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)CCCCCO ZINC001143808902 772307050 /nfs/dbraw/zinc/30/70/50/772307050.db2.gz KOXGZHINLJFZPG-QWRGUYRKSA-N -1 1 324.343 1.597 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C1(Br)CCC1 ZINC001144741499 772605941 /nfs/dbraw/zinc/60/59/41/772605941.db2.gz GCDOEUMZGWZYTD-UHFFFAOYSA-N -1 1 317.099 1.542 20 0 DDADMM O=Cc1cc(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)co1 ZINC001147000675 772997999 /nfs/dbraw/zinc/99/79/99/772997999.db2.gz NTLUEHXUBZAULA-UHFFFAOYSA-N -1 1 313.273 1.437 20 0 DDADMM Cc1ccc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)nc1 ZINC001147441040 773147528 /nfs/dbraw/zinc/14/75/28/773147528.db2.gz RLNOGXDYFMNTCT-UHFFFAOYSA-N -1 1 302.294 1.602 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2ccc3c(c2)OCO3)c1[O-] ZINC001147831587 773258468 /nfs/dbraw/zinc/25/84/68/773258468.db2.gz CLVKUMKOSDQHJS-UHFFFAOYSA-N -1 1 302.286 1.569 20 0 DDADMM COc1cccc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1O ZINC001148197446 773374822 /nfs/dbraw/zinc/37/48/22/773374822.db2.gz KWXYAWZONUJJJJ-UHFFFAOYSA-N -1 1 306.234 1.252 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc(-c2ncc[nH]2)cc1 ZINC001148724144 773576962 /nfs/dbraw/zinc/57/69/62/773576962.db2.gz NXTLNQDYZJUKKD-UHFFFAOYSA-N -1 1 323.289 1.764 20 0 DDADMM COc1ccnc(NC(=O)c2cc(S(=O)(=O)[O-])ccc2O)c1 ZINC001148914728 773633221 /nfs/dbraw/zinc/63/32/21/773633221.db2.gz CZANPHCUKDJAOZ-UHFFFAOYSA-N -1 1 324.314 1.295 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2OCCN(CCCF)[C@@H]2C1 ZINC001074219741 773744025 /nfs/dbraw/zinc/74/40/25/773744025.db2.gz VLUOJFPCMMMAOC-OCCSQVGLSA-N -1 1 323.368 1.062 20 0 DDADMM CC(C)c1nccc(NCCCNC(=O)c2ncccc2[O-])n1 ZINC001094375589 775819056 /nfs/dbraw/zinc/81/90/56/775819056.db2.gz GKIOWTISKRQXJT-UHFFFAOYSA-N -1 1 315.377 1.933 20 0 DDADMM Cc1nnc([N-]c2ccc(S(=O)(=O)N3CCCC3)cc2)o1 ZINC001174252295 777426402 /nfs/dbraw/zinc/42/64/02/777426402.db2.gz CDXGANVCIBIGNK-UHFFFAOYSA-N -1 1 308.363 1.906 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccc2occc(=O)c2c1 ZINC001174941902 777643212 /nfs/dbraw/zinc/64/32/12/777643212.db2.gz BUQYWGDBJJIHKC-UHFFFAOYSA-N -1 1 302.315 1.903 20 0 DDADMM CCOC(=O)c1c(C)[n-]sc1=Nc1ccnc(C(=O)NC)c1 ZINC001175408107 777775893 /nfs/dbraw/zinc/77/58/93/777775893.db2.gz JVEXDDSYUAPSNY-UHFFFAOYSA-N -1 1 320.374 1.548 20 0 DDADMM O=C(CCc1cc(F)ccn1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001175452327 777808528 /nfs/dbraw/zinc/80/85/28/777808528.db2.gz SPKGZYPYGHWAPL-UHFFFAOYSA-N -1 1 302.269 1.164 20 0 DDADMM CN1CCN(c2ccc(Nc3cncc(C(=O)[O-])c3)cn2)CC1 ZINC001175837901 777922577 /nfs/dbraw/zinc/92/25/77/777922577.db2.gz HQDWVQNOWARIOM-UHFFFAOYSA-N -1 1 313.361 1.670 20 0 DDADMM Cc1cnc2cc(C(=O)N(Cc3nn[n-]n3)CC(C)C)ccn12 ZINC001176602400 778201330 /nfs/dbraw/zinc/20/13/30/778201330.db2.gz GXOGZZSWHISHNL-UHFFFAOYSA-N -1 1 313.365 1.454 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1nccc2ccsc21 ZINC001176727190 778221842 /nfs/dbraw/zinc/22/18/42/778221842.db2.gz YNNKKLPTNQEYPH-UHFFFAOYSA-N -1 1 300.347 1.619 20 0 DDADMM COCOc1ccc(F)cc1Nc1c(N)[nH]c(=S)[n-]c1=O ZINC001176715605 778232004 /nfs/dbraw/zinc/23/20/04/778232004.db2.gz VUKANKVYZQVOTJ-UHFFFAOYSA-N -1 1 312.326 1.919 20 0 DDADMM Cc1c(Br)ccc(N)c1NC(=O)Cc1nn[n-]n1 ZINC001176842750 778272165 /nfs/dbraw/zinc/27/21/65/778272165.db2.gz QQSAWUZUMXBZCX-UHFFFAOYSA-N -1 1 311.143 1.034 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)[C@H]1C[C@@H]1c1cccs1 ZINC001177544876 778644105 /nfs/dbraw/zinc/64/41/05/778644105.db2.gz ULJSAFDCCYNSAI-UWVGGRQHSA-N -1 1 303.320 1.926 20 0 DDADMM COC[C@@H](NC(=O)c1cc(C)c2ccccc2n1)c1nn[n-]n1 ZINC001177828980 778741497 /nfs/dbraw/zinc/74/14/97/778741497.db2.gz UFSXHYKYAJPYND-CYBMUJFWSA-N -1 1 312.333 1.174 20 0 DDADMM CSc1nc(NC(=O)[C@@H]2CCc3nccn3C2)cc(=O)[n-]1 ZINC001178101200 778868883 /nfs/dbraw/zinc/86/88/83/778868883.db2.gz SGOLJNWEYVLEEY-MRVPVSSYSA-N -1 1 305.363 1.302 20 0 DDADMM Cc1cccnc1C1(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)CC1 ZINC001178200756 778941141 /nfs/dbraw/zinc/94/11/41/778941141.db2.gz CKDZVCBZQSTTTK-UHFFFAOYSA-N -1 1 315.289 1.659 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001178561092 779102947 /nfs/dbraw/zinc/10/29/47/779102947.db2.gz FKCGFAPVJPEBMZ-CBAPKCEASA-N -1 1 311.301 1.568 20 0 DDADMM C/C=C(/C=C\C(=O)N1CCN([C@@]2(C(=O)[O-])CCOC2)CC1)CC ZINC001119581344 781524585 /nfs/dbraw/zinc/52/45/85/781524585.db2.gz DICVXSRZWYBJEP-YLHYBQOYSA-N -1 1 322.405 1.287 20 0 DDADMM O=C([O-])/C=C\c1ccc(CN2CCC(N3CCCC3=O)CC2)o1 ZINC001119598204 781532185 /nfs/dbraw/zinc/53/21/85/781532185.db2.gz XPGUJVHPMADHTJ-WAYWQWQTSA-N -1 1 318.373 1.964 20 0 DDADMM COC(=O)c1sccc1[N-]S(=O)(=O)C1CCC(=O)CC1 ZINC000708180261 837537399 /nfs/dbraw/zinc/53/73/99/837537399.db2.gz GACBTXQZLNRTJB-UHFFFAOYSA-N -1 1 317.388 1.788 20 0 DDADMM CC(C)[C@H](F)C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001417270213 836180808 /nfs/dbraw/zinc/18/08/08/836180808.db2.gz DQUMTOWMZXOFDY-LBPRGKRZSA-N -1 1 309.341 1.064 20 0 DDADMM CC(C)=C(C)CC(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001267669524 838611411 /nfs/dbraw/zinc/61/14/11/838611411.db2.gz GCRGUFMPKQCWDK-ZDUSSCGKSA-N -1 1 321.425 1.537 20 0 DDADMM O=C(NC[C@@H]1CCN1CCOCC1CCC1)c1ncccc1[O-] ZINC001269223462 841368592 /nfs/dbraw/zinc/36/85/92/841368592.db2.gz OSMZJLSRNXCORA-AWEZNQCLSA-N -1 1 319.405 1.408 20 0 DDADMM CN1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(=O)c2cc(F)ccc2[n-]1 ZINC001269520455 841715973 /nfs/dbraw/zinc/71/59/73/841715973.db2.gz AXNPEPJJIRQMPK-PHIMTYICSA-N -1 1 301.321 1.196 20 0 DDADMM CC(C)NC(=O)N1C[C@H]2C[C@@H](C1)N(C(=O)c1ccc([O-])cn1)C2 ZINC001269894800 842135856 /nfs/dbraw/zinc/13/58/56/842135856.db2.gz DJCPESDTMLMOCM-NEPJUHHUSA-N -1 1 318.377 1.052 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NC[C@@H](C)N2CCCCC2=O)c1 ZINC001154640398 861312820 /nfs/dbraw/zinc/31/28/20/861312820.db2.gz OTBJWMRIJDJXTG-GFCCVEGCSA-N -1 1 318.373 1.644 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C2CC2)C2CCC2)[n-]n1 ZINC001414277357 842798210 /nfs/dbraw/zinc/79/82/10/842798210.db2.gz YLYDLNJPHJYJIR-LBPRGKRZSA-N -1 1 313.379 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C2CC2)C2CCC2)n[n-]1 ZINC001414277357 842798218 /nfs/dbraw/zinc/79/82/18/842798218.db2.gz YLYDLNJPHJYJIR-LBPRGKRZSA-N -1 1 313.379 1.053 20 0 DDADMM CN1CC[C@]2(CCCN(C(=O)c3cncc([O-])c3)CC2)C1=O ZINC001271386229 843548322 /nfs/dbraw/zinc/54/83/22/843548322.db2.gz LVZBHVCRFUJEEP-INIZCTEOSA-N -1 1 303.362 1.262 20 0 DDADMM O=C(CCc1c[nH]c2ccccc12)NCc1nc([O-])cc(=O)[nH]1 ZINC001149278359 861515133 /nfs/dbraw/zinc/51/51/33/861515133.db2.gz QXTLHPXCDKGABR-UHFFFAOYSA-N -1 1 312.329 1.618 20 0 DDADMM Cc1cc(NC(=O)c2ccc3cccnc3c2[O-])n(N)c(=O)c1 ZINC001149326518 861548983 /nfs/dbraw/zinc/54/89/83/861548983.db2.gz IXSZDYAXYHRVIG-UHFFFAOYSA-N -1 1 310.313 1.377 20 0 DDADMM CNC(=O)[C@@H]1CC12CCN(C(=O)c1cccc([O-])c1F)CC2 ZINC001272282601 845995080 /nfs/dbraw/zinc/99/50/80/845995080.db2.gz IFSKLQUECXZTHZ-NSHDSACASA-N -1 1 306.337 1.520 20 0 DDADMM CCCC(C)(C)C(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272543218 846296916 /nfs/dbraw/zinc/29/69/16/846296916.db2.gz CPRGUOFOTYBGHV-GFCCVEGCSA-N -1 1 323.441 1.665 20 0 DDADMM COC(=O)C[C@](C)([N-]S(=O)(=O)c1c[nH]nc1Cl)C1CC1 ZINC001365727523 846506085 /nfs/dbraw/zinc/50/60/85/846506085.db2.gz XPECDCWLOSGEBA-NSHDSACASA-N -1 1 321.786 1.073 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N(CCO)Cc1cccs1 ZINC001155265794 861912368 /nfs/dbraw/zinc/91/23/68/861912368.db2.gz ZTYSOTRCIBACPH-UHFFFAOYSA-N -1 1 317.370 1.965 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccccn2)C1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273813 861922676 /nfs/dbraw/zinc/92/26/76/861922676.db2.gz XTBYXRFSZXIVMM-LLVKDONJSA-N -1 1 323.356 1.672 20 0 DDADMM O=C(NC[C@@H](O)C1CCCCC1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273830 861923639 /nfs/dbraw/zinc/92/36/39/861923639.db2.gz YNAMBIDSJHCZMO-CQSZACIVSA-N -1 1 303.362 1.940 20 0 DDADMM O=C(NCc1ccnc(F)c1)c1ccc2n[n-]c(=S)n2c1 ZINC001149923222 861978779 /nfs/dbraw/zinc/97/87/79/861978779.db2.gz LNWDWWNCXAFKED-UHFFFAOYSA-N -1 1 303.322 1.482 20 0 DDADMM C[C@H](CN(C)C(=O)CC1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001410346107 849118158 /nfs/dbraw/zinc/11/81/58/849118158.db2.gz WIIORTNNWPVTRB-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM CN(C(=O)CC1(C)CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001410904063 849943042 /nfs/dbraw/zinc/94/30/42/849943042.db2.gz PPGDAZGAMDKKGI-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM CCC(=O)N1CCC(C)(CNC(=O)c2ncccc2[O-])CC1 ZINC001411087282 850199148 /nfs/dbraw/zinc/19/91/48/850199148.db2.gz MFUPMLJNXNENBM-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CCNCC(F)(F)C3)c([O-])c2n1 ZINC001155654718 862346206 /nfs/dbraw/zinc/34/62/06/862346206.db2.gz VGSOXIKXTALVIU-UHFFFAOYSA-N -1 1 321.327 1.930 20 0 DDADMM Cc1cc(Cl)cc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c1 ZINC001274687496 852524250 /nfs/dbraw/zinc/52/42/50/852524250.db2.gz OGUNRIGEFIXRAZ-UHFFFAOYSA-N -1 1 317.736 1.940 20 0 DDADMM Cc1cc(F)cc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c1 ZINC001274694235 852529654 /nfs/dbraw/zinc/52/96/54/852529654.db2.gz YCOPSUATFRXKSX-UHFFFAOYSA-N -1 1 301.281 1.426 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC[C@H]3C[C@]32C(=O)N2CC=CC2)n1 ZINC001274975085 852723989 /nfs/dbraw/zinc/72/39/89/852723989.db2.gz SLKKYCFRPYMSKZ-YVEFUNNKSA-N -1 1 313.357 1.099 20 0 DDADMM NC(=O)[C@H]1CCC[C@@]12CCN(C(=O)c1ccc([O-])c(F)c1)C2 ZINC001275373063 853032984 /nfs/dbraw/zinc/03/29/84/853032984.db2.gz AYWDNSRVWNWEGP-BZNIZROVSA-N -1 1 306.337 1.649 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CCN2C(=O)c1c(F)ccc([O-])c1F ZINC001275612401 853432000 /nfs/dbraw/zinc/43/20/00/853432000.db2.gz RXSNJQRYHCUGBF-VXJOIVPMSA-N -1 1 310.300 1.363 20 0 DDADMM NC(=O)CCSc1ccccc1NC(=O)c1cnncc1[O-] ZINC001411744543 853668266 /nfs/dbraw/zinc/66/82/66/853668266.db2.gz RNSSTPHFCZOKCV-UHFFFAOYSA-N -1 1 318.358 1.402 20 0 DDADMM COC(=O)Nc1ccc(NC(=O)CCc2nn[n-]n2)cc1F ZINC001411954522 854029902 /nfs/dbraw/zinc/02/99/02/854029902.db2.gz LDAPGHVDOQCRJM-UHFFFAOYSA-N -1 1 308.273 1.088 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cccc([O-])c2F)C[C@H](C)S1(=O)=O ZINC001412113681 854226351 /nfs/dbraw/zinc/22/63/51/854226351.db2.gz YPGGONOLJIHQAH-VHSXEESVSA-N -1 1 315.366 1.569 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)Oc1cccnc1)c1nn[n-]n1 ZINC001412108222 854227157 /nfs/dbraw/zinc/22/71/57/854227157.db2.gz JKCHPTWOTPDSNP-JTQLQIEISA-N -1 1 304.354 1.194 20 0 DDADMM CCC(CC)(NC(=O)c1cnn(C2CCC2)c1)c1nn[n-]n1 ZINC001412114258 854231692 /nfs/dbraw/zinc/23/16/92/854231692.db2.gz XRCGBZKZWLSULR-UHFFFAOYSA-N -1 1 303.370 1.567 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)OCc1ccncc1)c1nn[n-]n1 ZINC001412114280 854231743 /nfs/dbraw/zinc/23/17/43/854231743.db2.gz XTYOCXZIGDRDPQ-LLVKDONJSA-N -1 1 318.381 1.332 20 0 DDADMM NC(=O)[C@]1(c2ccccc2)CCN(C(=O)c2cncc([O-])c2)C1 ZINC001412249558 854374597 /nfs/dbraw/zinc/37/45/97/854374597.db2.gz QFKXNZVIPJPMHM-QGZVFWFLSA-N -1 1 311.341 1.056 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](C)c2ccc(C)o2)n[n-]1 ZINC001412325198 854442834 /nfs/dbraw/zinc/44/28/34/854442834.db2.gz BQCILPJYHMOFFF-ZJUUUORDSA-N -1 1 320.349 1.864 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](C)c2ccc(C)o2)[n-]1 ZINC001412325198 854442839 /nfs/dbraw/zinc/44/28/39/854442839.db2.gz BQCILPJYHMOFFF-ZJUUUORDSA-N -1 1 320.349 1.864 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](C)c2ccc(C)o2)n1 ZINC001412325198 854442840 /nfs/dbraw/zinc/44/28/40/854442840.db2.gz BQCILPJYHMOFFF-ZJUUUORDSA-N -1 1 320.349 1.864 20 0 DDADMM Nc1nnc([C@@H]2CCCN(C(=O)c3ccc([O-])cc3F)C2)o1 ZINC001412379863 854491847 /nfs/dbraw/zinc/49/18/47/854491847.db2.gz RPJHQWCBWKQPIG-MRVPVSSYSA-N -1 1 306.297 1.516 20 0 DDADMM Cc1cnc(C(=O)NC[C@H]2CCCN2CC(F)(F)F)c([O-])c1 ZINC001412560729 854724609 /nfs/dbraw/zinc/72/46/09/854724609.db2.gz GAGFVHLEALKNSG-SNVBAGLBSA-N -1 1 317.311 1.852 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CO[C@H](C)CC ZINC001412587466 854768247 /nfs/dbraw/zinc/76/82/47/854768247.db2.gz KNXHMXWYOPZORK-GHMZBOCLSA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CO[C@H](C)CC ZINC001412587466 854768253 /nfs/dbraw/zinc/76/82/53/854768253.db2.gz KNXHMXWYOPZORK-GHMZBOCLSA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CO[C@H](C)CC ZINC001412587466 854768254 /nfs/dbraw/zinc/76/82/54/854768254.db2.gz KNXHMXWYOPZORK-GHMZBOCLSA-N -1 1 324.381 1.460 20 0 DDADMM COC[C@H](NC(=O)CSCc1cccc(C)c1)c1nn[n-]n1 ZINC001412587906 854770824 /nfs/dbraw/zinc/77/08/24/854770824.db2.gz DXBOXSUZZBIWMZ-LBPRGKRZSA-N -1 1 321.406 1.245 20 0 DDADMM COC(=O)[C@H](Cc1ccc(F)cc1)NC(=O)c1cncc([O-])c1 ZINC001412619662 854828063 /nfs/dbraw/zinc/82/80/63/854828063.db2.gz FIJVVCWKTOLIFP-AWEZNQCLSA-N -1 1 318.304 1.440 20 0 DDADMM O=C(N[C@H]1CCCC[C@H]1N1CCOCC1)c1ccc([O-])cc1F ZINC001412647108 854873725 /nfs/dbraw/zinc/87/37/25/854873725.db2.gz RFWWKYSPWMCAOC-JKSUJKDBSA-N -1 1 322.380 1.905 20 0 DDADMM O=C(N[C@H]1CCCC[C@@H]1N1CCOCC1)c1ccc([O-])cc1F ZINC001412647097 854874022 /nfs/dbraw/zinc/87/40/22/854874022.db2.gz RFWWKYSPWMCAOC-HOTGVXAUSA-N -1 1 322.380 1.905 20 0 DDADMM CC(C)N(CCS(C)(=O)=O)C(=O)c1cccc([O-])c1F ZINC001412685924 854950490 /nfs/dbraw/zinc/95/04/90/854950490.db2.gz FSJYAIJEQOVAAJ-UHFFFAOYSA-N -1 1 303.355 1.427 20 0 DDADMM Cc1cnc2c(C(=O)Nc3n[n-]c(C(F)(F)F)n3)cnn2c1 ZINC001151135725 862644284 /nfs/dbraw/zinc/64/42/84/862644284.db2.gz HFSUCAIKDMUQMS-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM C[S@](=O)(=NC(=O)CCc1nn[n-]n1)c1ccc(Cl)cc1 ZINC001412794557 855277943 /nfs/dbraw/zinc/27/79/43/855277943.db2.gz WLBGNPWCNFGLQS-HXUWFJFHSA-N -1 1 313.770 1.469 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1CCS(=O)(=O)c2ccccc21 ZINC001412854987 855727036 /nfs/dbraw/zinc/72/70/36/855727036.db2.gz LWQOITXIGZHLJP-SECBINFHSA-N -1 1 307.331 1.015 20 0 DDADMM CO[C@@H]([C@H](C)NC(=O)c1c(C)[n-]c(=O)nc1SC)C1CC1 ZINC001412966314 855826045 /nfs/dbraw/zinc/82/60/45/855826045.db2.gz KNOZNNFHKJCOJO-KWQFWETISA-N -1 1 311.407 1.756 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)[C@H](O)c2ccccc2)c(=O)[n-]1 ZINC001413063161 856307242 /nfs/dbraw/zinc/30/72/42/856307242.db2.gz VPCDQYIEHMQDNW-SKDRFNHKSA-N -1 1 319.386 1.756 20 0 DDADMM CCOC(=O)c1sc(NC(=O)c2cnncc2[O-])nc1C ZINC001413190250 856531745 /nfs/dbraw/zinc/53/17/45/856531745.db2.gz BAIVZQFFIWXJPN-UHFFFAOYSA-N -1 1 308.319 1.376 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(C3CC3)on2)n[n-]1 ZINC001413266575 856587323 /nfs/dbraw/zinc/58/73/23/856587323.db2.gz AYFXPTILSREGEF-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(C3CC3)on2)[n-]1 ZINC001413266575 856587329 /nfs/dbraw/zinc/58/73/29/856587329.db2.gz AYFXPTILSREGEF-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(C3CC3)on2)n1 ZINC001413266575 856587333 /nfs/dbraw/zinc/58/73/33/856587333.db2.gz AYFXPTILSREGEF-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM COC(=O)c1cc(NCC[N-]C(=O)C(F)(F)F)ncc1C ZINC001156203133 862814010 /nfs/dbraw/zinc/81/40/10/862814010.db2.gz JOEBMCHNFPTLHD-UHFFFAOYSA-N -1 1 305.256 1.267 20 0 DDADMM C[C@H](C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1ccncc1 ZINC001413454624 856832233 /nfs/dbraw/zinc/83/22/33/856832233.db2.gz OLFMIAQFXNESDZ-JTQLQIEISA-N -1 1 314.345 1.070 20 0 DDADMM COCCC1(C(=O)N(Cc2nn[n-]n2)CC(C)C)CCCC1 ZINC001413503705 856899735 /nfs/dbraw/zinc/89/97/35/856899735.db2.gz NCBRXKULAQCPLI-UHFFFAOYSA-N -1 1 309.414 1.781 20 0 DDADMM COc1ncccc1NC(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001413506312 856903619 /nfs/dbraw/zinc/90/36/19/856903619.db2.gz KEWIRDZJKUHGOV-UHFFFAOYSA-N -1 1 321.362 1.629 20 0 DDADMM O=C(COc1ccc(F)cc1F)NC1(c2nn[n-]n2)CCC1 ZINC001413506680 856906675 /nfs/dbraw/zinc/90/66/75/856906675.db2.gz HCLSLXYQSYHJNJ-UHFFFAOYSA-N -1 1 309.276 1.052 20 0 DDADMM CC(C)n1ccc(NC(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC001413567232 857083786 /nfs/dbraw/zinc/08/37/86/857083786.db2.gz NQZYBBOBVOTDLD-UHFFFAOYSA-N -1 1 304.358 1.389 20 0 DDADMM CCN(C(=O)CNC(=O)c1ncc(C)cc1[O-])c1ccccc1 ZINC001413578529 857129164 /nfs/dbraw/zinc/12/91/64/857129164.db2.gz ACXSYOPICXELGF-UHFFFAOYSA-N -1 1 313.357 1.879 20 0 DDADMM CN(C(=O)Nc1nn[nH]c1C(=O)NC1CC1)C(C1CC1)C1CC1 ZINC001413676889 857319773 /nfs/dbraw/zinc/31/97/73/857319773.db2.gz HLLIKGOJIWDOLA-UHFFFAOYSA-N -1 1 318.381 1.349 20 0 DDADMM O=C(N[C@H]1COc2c(F)cc(F)cc2C1)c1ccc([O-])cn1 ZINC001413783012 858526760 /nfs/dbraw/zinc/52/67/60/858526760.db2.gz CMOSKMPWYIIFLZ-SNVBAGLBSA-N -1 1 306.268 1.799 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)C1=CCOCC1 ZINC001123298398 859166205 /nfs/dbraw/zinc/16/62/05/859166205.db2.gz SLFUDWPEYGRINB-ZDUSSCGKSA-N -1 1 324.421 1.272 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123999384 859518497 /nfs/dbraw/zinc/51/84/97/859518497.db2.gz VWXBTTCDFQGLNV-WYGGZMRJSA-N -1 1 318.406 1.459 20 0 DDADMM Cc1ccsc1CCCC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001149230504 860156484 /nfs/dbraw/zinc/15/64/84/860156484.db2.gz BJIIRORNCGZBKW-UHFFFAOYSA-N -1 1 323.422 1.109 20 0 DDADMM O=[P@]([O-])(O)CCCCOC[C@@H]1COc2cscc2O1 ZINC001225019318 881641228 /nfs/dbraw/zinc/64/12/28/881641228.db2.gz VVZSPGQJEZFGFY-SECBINFHSA-N -1 1 308.292 1.862 20 0 DDADMM CN(C(=O)c1ccc(Br)cc1F)c1nn[n-]n1 ZINC001361435667 881645947 /nfs/dbraw/zinc/64/59/47/881645947.db2.gz LGWQZDFQGPRMKG-UHFFFAOYSA-N -1 1 300.091 1.378 20 0 DDADMM C[C@@H]1CC[C@H](CO)CN1C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152672254 863485949 /nfs/dbraw/zinc/48/59/49/863485949.db2.gz ZZXXKTBRFTUSLC-MNOVXSKESA-N -1 1 316.357 1.879 20 0 DDADMM COC(C)(CNC(=O)c1cc(=O)c2cccc(O)c2[n-]1)OC ZINC001152681586 863490579 /nfs/dbraw/zinc/49/05/79/863490579.db2.gz PSZHPGWYBKCLIG-UHFFFAOYSA-N -1 1 306.318 1.385 20 0 DDADMM CCCC[C@H](NC(=O)c1cc(=O)c2cccc(O)c2[n-]1)C(N)=O ZINC001152684678 863492109 /nfs/dbraw/zinc/49/21/09/863492109.db2.gz IRENLRVZJMNHGF-JTQLQIEISA-N -1 1 317.345 1.420 20 0 DDADMM CCN(Cc1cnns1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152974820 863627823 /nfs/dbraw/zinc/62/78/23/863627823.db2.gz GXPFKSBETAULOK-SNVBAGLBSA-N -1 1 321.406 1.279 20 0 DDADMM CCN(Cc1ccn(C)n1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152975543 863630217 /nfs/dbraw/zinc/63/02/17/863630217.db2.gz VHRABACNYZZJOG-GFCCVEGCSA-N -1 1 317.393 1.161 20 0 DDADMM Cn1c2ccccc2nc1C(=O)NCCCC[P@](=O)([O-])O ZINC001153641775 863994123 /nfs/dbraw/zinc/99/41/23/863994123.db2.gz BMLWVCCTSRNMIR-UHFFFAOYSA-N -1 1 311.278 1.261 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCC[C@@H]2C2OCCO2)c1 ZINC001157645401 864006560 /nfs/dbraw/zinc/00/65/60/864006560.db2.gz LRZDKRWGLOOGOR-CYBMUJFWSA-N -1 1 305.330 1.491 20 0 DDADMM CCOC[C@H](C)NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001330289999 864216538 /nfs/dbraw/zinc/21/65/38/864216538.db2.gz WAAJADNRAJHIGE-VIFPVBQESA-N -1 1 314.769 1.203 20 0 DDADMM CN(C(=O)c1c(O)cc2ccccc2c1[O-])c1nccnc1N ZINC001154023509 864331670 /nfs/dbraw/zinc/33/16/70/864331670.db2.gz XVSGDYKNOZAGFE-UHFFFAOYSA-N -1 1 310.313 1.900 20 0 DDADMM Nc1nc(=O)[nH]cc1NC(=O)c1c(O)cc2ccccc2c1[O-] ZINC001154028563 864338966 /nfs/dbraw/zinc/33/89/66/864338966.db2.gz YUMAZKJDNABKNC-UHFFFAOYSA-N -1 1 312.285 1.581 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1cccc(Cl)c1 ZINC001381432533 881754793 /nfs/dbraw/zinc/75/47/93/881754793.db2.gz BNQMXJHCUNWDHQ-IUCAKERBSA-N -1 1 323.784 1.460 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)c1ccc(Cl)cc1 ZINC001381442680 881788593 /nfs/dbraw/zinc/78/85/93/881788593.db2.gz RXSVNCKOHKCFSL-RKDXNWHRSA-N -1 1 323.784 1.460 20 0 DDADMM Cc1cc2c(c(N[C@H]3CCc4ccccc4[C@@H]3O)n1)C(=O)[N-]C2=O ZINC001158687547 864814842 /nfs/dbraw/zinc/81/48/42/864814842.db2.gz YNKDVTIBTWBHGE-ZFWWWQNUSA-N -1 1 323.352 1.734 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3c[nH]c4ncnc(Cl)c34)c2[nH]1 ZINC001159186015 865154434 /nfs/dbraw/zinc/15/44/34/865154434.db2.gz NNMOEQUFIFRZHO-UHFFFAOYSA-N -1 1 318.684 1.336 20 0 DDADMM CCOC(=O)c1c(C)ccnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159198165 865162341 /nfs/dbraw/zinc/16/23/41/865162341.db2.gz ICBDCBNNPGABLH-UHFFFAOYSA-N -1 1 314.305 1.682 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nccc(N3CCCCC3)n2)[n-]1 ZINC001159198421 865162355 /nfs/dbraw/zinc/16/23/55/865162355.db2.gz PMRHYGQFTOUATK-UHFFFAOYSA-N -1 1 312.337 1.582 20 0 DDADMM COC(=O)c1cccc2nc([N-]c3nccnc3CN)ncc21 ZINC001160246152 865745378 /nfs/dbraw/zinc/74/53/78/865745378.db2.gz NFFFPPWEJVLLHU-UHFFFAOYSA-N -1 1 310.317 1.409 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C(C)(C)OCC)nc2n1 ZINC001361571966 881921437 /nfs/dbraw/zinc/92/14/37/881921437.db2.gz OMLPPRGIEJUNTE-UHFFFAOYSA-N -1 1 307.354 1.124 20 0 DDADMM Cc1cccc2c(Nc3c(O)[nH]c(=O)[n-]c3=S)nccc12 ZINC001160850209 866149733 /nfs/dbraw/zinc/14/97/33/866149733.db2.gz VGAZJQIKWYOCNL-SNVBAGLBSA-N -1 1 300.343 1.491 20 0 DDADMM C[C@H]1CN(CCCNC(=O)c2ccc(C(=O)[O-])o2)C[C@H](C)O1 ZINC001333359687 866665772 /nfs/dbraw/zinc/66/57/72/866665772.db2.gz XRGZVIWYTOXJBC-QWRGUYRKSA-N -1 1 310.350 1.207 20 0 DDADMM COC(=O)c1c(NC(=O)C=C2CC[C@@H](C)C2)n[n-]c1OCCO ZINC001161532693 866741755 /nfs/dbraw/zinc/74/17/55/866741755.db2.gz BWZIBNXRGDSNBQ-NCXKZPMSSA-N -1 1 323.349 1.252 20 0 DDADMM COC(=O)c1c(NC(=O)/C=C2\CC[C@@H](C)C2)[n-]nc1OCCO ZINC001161532693 866741771 /nfs/dbraw/zinc/74/17/71/866741771.db2.gz BWZIBNXRGDSNBQ-NCXKZPMSSA-N -1 1 323.349 1.252 20 0 DDADMM CCn1nc(C)cc1C(=O)Nc1[n-]c(C(=O)OC)cc1C(C)=O ZINC001361624018 882029683 /nfs/dbraw/zinc/02/96/83/882029683.db2.gz UZDZIAQILZDQKU-UHFFFAOYSA-N -1 1 318.333 1.781 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc(C)c(C)c1 ZINC001320910058 867116460 /nfs/dbraw/zinc/11/64/60/867116460.db2.gz LDYMLXOVUJGBPC-UHFFFAOYSA-N -1 1 317.393 1.379 20 0 DDADMM C[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)c1csnn1 ZINC001162100362 867253570 /nfs/dbraw/zinc/25/35/70/867253570.db2.gz NNVLSTMNRYPTAS-LURJTMIESA-N -1 1 306.376 1.361 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2c(C)noc2C2CC2)n[n-]1 ZINC001413847335 867920475 /nfs/dbraw/zinc/92/04/75/867920475.db2.gz IJJWKTABIFSGAR-UHFFFAOYSA-N -1 1 319.321 1.085 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2c(C)noc2C2CC2)n1 ZINC001413847335 867920488 /nfs/dbraw/zinc/92/04/88/867920488.db2.gz IJJWKTABIFSGAR-UHFFFAOYSA-N -1 1 319.321 1.085 20 0 DDADMM O=C([O-])c1ccc(CC(=O)NCCCCN2CCOCC2)cc1 ZINC001335020268 868001663 /nfs/dbraw/zinc/00/16/63/868001663.db2.gz XGJTVQFSHHOJIM-UHFFFAOYSA-N -1 1 320.389 1.156 20 0 DDADMM O=C(COC(=O)c1cnn2cccnc12)c1ccc([O-])cc1O ZINC001322638684 868297860 /nfs/dbraw/zinc/29/78/60/868297860.db2.gz ZOAOBOCHDZPGLI-UHFFFAOYSA-N -1 1 313.269 1.180 20 0 DDADMM O=C(c1cnncc1[O-])N1CCC(C(=O)C(F)(F)F)CC1 ZINC001335899406 868606236 /nfs/dbraw/zinc/60/62/36/868606236.db2.gz TZGRXVQTBDBWMP-UHFFFAOYSA-N -1 1 303.240 1.166 20 0 DDADMM CCC[C@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163840910 868750038 /nfs/dbraw/zinc/75/00/38/868750038.db2.gz KDDDDBHXYUZIQI-NSHDSACASA-N -1 1 309.414 1.323 20 0 DDADMM Cc1ccc(S(C)(=O)=O)cc1NCC[N-]C(=O)C(F)(F)F ZINC001164245872 869054868 /nfs/dbraw/zinc/05/48/68/869054868.db2.gz BLHDTZCIMHOYBF-UHFFFAOYSA-N -1 1 324.324 1.489 20 0 DDADMM COC(=O)c1ccc2nc(OC(=O)CCC[C@@H](C)O)[n-]c2c1 ZINC001226261577 882317993 /nfs/dbraw/zinc/31/79/93/882317993.db2.gz TWQKCMSCMHQWGP-SECBINFHSA-N -1 1 306.318 1.806 20 0 DDADMM COC(=O)c1ccc2[n-]c(OC(=O)CCC[C@@H](C)O)nc2c1 ZINC001226261577 882318012 /nfs/dbraw/zinc/31/80/12/882318012.db2.gz TWQKCMSCMHQWGP-SECBINFHSA-N -1 1 306.318 1.806 20 0 DDADMM C[C@@H]1CN(c2nnc(-c3nnn[n-]3)n2C)CCc2ccccc21 ZINC001338072062 869785265 /nfs/dbraw/zinc/78/52/65/869785265.db2.gz AIHKJJNEKGVWLO-SNVBAGLBSA-N -1 1 310.365 1.161 20 0 DDADMM C[C@@H]1CN(c2nnc(-c3nn[n-]n3)n2C)CCc2ccccc21 ZINC001338072062 869785268 /nfs/dbraw/zinc/78/52/68/869785268.db2.gz AIHKJJNEKGVWLO-SNVBAGLBSA-N -1 1 310.365 1.161 20 0 DDADMM CCOC(=O)[C@@H]1C[C@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC001361792033 882382517 /nfs/dbraw/zinc/38/25/17/882382517.db2.gz JKNLPYBFHKLBAV-VXGBXAGGSA-N -1 1 315.329 1.920 20 0 DDADMM Cc1cnc(C)nc1N1CCC([N-]C(=O)C(F)(F)F)CC1 ZINC001166896396 870348918 /nfs/dbraw/zinc/34/89/18/870348918.db2.gz GUORQDGOPCBLTH-UHFFFAOYSA-N -1 1 302.300 1.741 20 0 DDADMM CN(C(=O)c1cc(NC(=O)C(C)(C)C)ccc1F)c1nn[n-]n1 ZINC001361801282 882400610 /nfs/dbraw/zinc/40/06/10/882400610.db2.gz OQEBYRRUYLWGOM-UHFFFAOYSA-N -1 1 320.328 1.600 20 0 DDADMM COC(=O)Nc1ccc(CNC(=O)CCCc2nn[n-]n2)cc1 ZINC001361802599 882402411 /nfs/dbraw/zinc/40/24/11/882402411.db2.gz FBRNKQIBBREZOW-UHFFFAOYSA-N -1 1 318.337 1.017 20 0 DDADMM CC[C@H](SC)C(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001298349145 870493127 /nfs/dbraw/zinc/49/31/27/870493127.db2.gz UJHSJPUEHSOWJJ-RXNFCKPNSA-N -1 1 323.418 1.331 20 0 DDADMM O=C(NCc1ccnc(-n2cncn2)c1)c1ccc([O-])c(F)c1 ZINC001302390663 871065676 /nfs/dbraw/zinc/06/56/76/871065676.db2.gz JTVZPYCDHSTRKR-UHFFFAOYSA-N -1 1 313.292 1.437 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc2sccc2c(O)c1 ZINC001303220726 871111214 /nfs/dbraw/zinc/11/12/14/871111214.db2.gz AEHRATSDEYOKPN-UHFFFAOYSA-N -1 1 315.358 1.929 20 0 DDADMM COC(=O)c1nc2ccc(-c3ccc(CO)cc3OC)nc2[n-]1 ZINC001204811554 871244587 /nfs/dbraw/zinc/24/45/87/871244587.db2.gz MBTLLQVPSAQCIS-UHFFFAOYSA-N -1 1 313.313 1.864 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H]2CCOC23CCOCC3)c1 ZINC001304829379 871245521 /nfs/dbraw/zinc/24/55/21/871245521.db2.gz KWCYHKGKVOQSOD-CQSZACIVSA-N -1 1 319.357 1.581 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CNC(=O)c1ncccc1[O-] ZINC001361871459 882542428 /nfs/dbraw/zinc/54/24/28/882542428.db2.gz QXYDXEVGFMRFMD-UHFFFAOYSA-N -1 1 319.283 1.318 20 0 DDADMM O=C(NCc1cnc[nH]1)c1cc(Br)c(F)cc1[O-] ZINC001361898576 882600841 /nfs/dbraw/zinc/60/08/41/882600841.db2.gz CLUYRMVXCHEUSG-UHFFFAOYSA-N -1 1 314.114 1.947 20 0 DDADMM C[C@@H](Oc1cc(=O)[n-]c(N2CCOCC2)n1)C1CCOCC1 ZINC001226723302 882613743 /nfs/dbraw/zinc/61/37/43/882613743.db2.gz WHPNEWPURUFSKP-LLVKDONJSA-N -1 1 309.366 1.213 20 0 DDADMM O=C([N-]CCCn1nnc(-c2ccc(F)cc2)n1)C(F)(F)F ZINC001319332821 872585031 /nfs/dbraw/zinc/58/50/31/872585031.db2.gz ZWTGAMRQSXRLIW-UHFFFAOYSA-N -1 1 317.246 1.548 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2ccc([O-])cn2)cc1 ZINC001361914663 882631662 /nfs/dbraw/zinc/63/16/62/882631662.db2.gz AFIARZHIEAPVMP-UHFFFAOYSA-N -1 1 306.343 1.121 20 0 DDADMM Cc1nc(-c2cccs2)c(CC(=O)OCc2nn[n-]n2)s1 ZINC001343955436 872821234 /nfs/dbraw/zinc/82/12/34/872821234.db2.gz ZJVFWPMSUVCCGG-UHFFFAOYSA-N -1 1 321.387 1.979 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1CCC=C(C)C1 ZINC001344468699 873015608 /nfs/dbraw/zinc/01/56/08/873015608.db2.gz QEMDKZYHPWDFPE-UHFFFAOYSA-N -1 1 318.385 1.041 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1CCC=C(C)C1 ZINC001344468699 873015624 /nfs/dbraw/zinc/01/56/24/873015624.db2.gz QEMDKZYHPWDFPE-UHFFFAOYSA-N -1 1 318.385 1.041 20 0 DDADMM CCN(CC)c1nnc(Cc2nnn[n-]2)n1Cc1cccs1 ZINC001345970318 873576949 /nfs/dbraw/zinc/57/69/49/873576949.db2.gz MZXGWFZQDVRCHI-UHFFFAOYSA-N -1 1 318.410 1.338 20 0 DDADMM CCN(CC)c1nnc(Cc2nn[n-]n2)n1Cc1cccs1 ZINC001345970318 873576956 /nfs/dbraw/zinc/57/69/56/873576956.db2.gz MZXGWFZQDVRCHI-UHFFFAOYSA-N -1 1 318.410 1.338 20 0 DDADMM CCCCOCCC[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001208105005 873840280 /nfs/dbraw/zinc/84/02/80/873840280.db2.gz VYRSUCSCLVTCSA-UHFFFAOYSA-N -1 1 308.425 1.637 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@H](O)CC(C)(C)C1 ZINC001347026515 873980761 /nfs/dbraw/zinc/98/07/61/873980761.db2.gz UTEQAIOIJGPGIL-VIFPVBQESA-N -1 1 311.407 1.402 20 0 DDADMM Cc1cccc(C(=O)C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)c1 ZINC001347307210 874092068 /nfs/dbraw/zinc/09/20/68/874092068.db2.gz RNDLXUNZZGFHPR-GFCCVEGCSA-N -1 1 313.361 1.172 20 0 DDADMM CCn1cc(-c2nn(C)cc2C(=O)Nc2c(C)[n-][nH]c2=O)cn1 ZINC001347773530 874270183 /nfs/dbraw/zinc/27/01/83/874270183.db2.gz NLAXZDWXXGFQKB-UHFFFAOYSA-N -1 1 315.337 1.293 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)C[C@@H]1CCCC[C@H]1O ZINC001348213189 874426960 /nfs/dbraw/zinc/42/69/60/874426960.db2.gz QLKBTWQUBUTGMS-VHSXEESVSA-N -1 1 311.407 1.402 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)Cc1nncn1C(C)C ZINC001348675186 874705807 /nfs/dbraw/zinc/70/58/07/874705807.db2.gz URVYHJAMHYTBHP-UHFFFAOYSA-N -1 1 322.394 1.224 20 0 DDADMM CO[C@@H](C)CO[C@H](C)COc1[n-]c(=O)ncc1Br ZINC001227140715 882872829 /nfs/dbraw/zinc/87/28/29/882872829.db2.gz ZKRIZYWKOXJGHI-JGVFFNPUSA-N -1 1 321.171 1.764 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001349209992 875036747 /nfs/dbraw/zinc/03/67/47/875036747.db2.gz DHQPGQSJFCFFAJ-KIWPFMIBSA-N -1 1 312.377 1.479 20 0 DDADMM CC[C@H](C)CCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210294564 875316935 /nfs/dbraw/zinc/31/69/35/875316935.db2.gz IGSWYXDCQRTVLK-RYUDHWBXSA-N -1 1 309.414 1.417 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H]1[C@H]1CCCOC1 ZINC001349824482 875395173 /nfs/dbraw/zinc/39/51/73/875395173.db2.gz IRDXSXDZUCLRSP-NWDGAFQWSA-N -1 1 318.402 1.659 20 0 DDADMM CC(=O)NCc1cc(Nc2c(N)[nH]c(=S)[n-]c2=O)ccc1F ZINC001210890485 875582516 /nfs/dbraw/zinc/58/25/16/875582516.db2.gz NYUIECOEKYKHPI-UHFFFAOYSA-N -1 1 323.353 1.572 20 0 DDADMM Cc1cccc(C)c1C(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001378827375 875717898 /nfs/dbraw/zinc/71/78/98/875717898.db2.gz MJKPYBSDXQNYNY-GFCCVEGCSA-N -1 1 317.393 1.377 20 0 DDADMM CN(Cc1ccsc1)c1nnc(-c2nnn[n-]2)n1CC1CC1 ZINC001351324519 876189431 /nfs/dbraw/zinc/18/94/31/876189431.db2.gz JPNAZXKMYYKOHD-UHFFFAOYSA-N -1 1 316.394 1.566 20 0 DDADMM CN(Cc1ccsc1)c1nnc(-c2nn[n-]n2)n1CC1CC1 ZINC001351324519 876189435 /nfs/dbraw/zinc/18/94/35/876189435.db2.gz JPNAZXKMYYKOHD-UHFFFAOYSA-N -1 1 316.394 1.566 20 0 DDADMM CN(C)C(=O)Nc1cccc(CNC(=O)c2ccc([O-])cn2)c1 ZINC001362095678 883024252 /nfs/dbraw/zinc/02/42/52/883024252.db2.gz PJHRXQBNTDEXPO-UHFFFAOYSA-N -1 1 314.345 1.811 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1C[C@@H]2CCC[C@]2(CO)C1 ZINC001352475297 876762948 /nfs/dbraw/zinc/76/29/48/876762948.db2.gz QADRXSVCDMMSBM-LKFCYVNXSA-N -1 1 309.391 1.014 20 0 DDADMM COCOc1ccc(Nc2[nH]c(=S)[n-]c(=O)c2N=O)cn1 ZINC001215663578 876779914 /nfs/dbraw/zinc/77/99/14/876779914.db2.gz JXRVKIJUZRWCAA-UHFFFAOYSA-N -1 1 309.307 1.990 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1ccc2c(c1)OCCCO2 ZINC001362112605 883070186 /nfs/dbraw/zinc/07/01/86/883070186.db2.gz VWOWSEKUSXYVQR-UHFFFAOYSA-N -1 1 317.349 1.000 20 0 DDADMM CCn1nccc1Nc1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001216151031 876878464 /nfs/dbraw/zinc/87/84/64/876878464.db2.gz CGFGDZWWUMFMRU-UHFFFAOYSA-N -1 1 311.367 1.422 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@@H](C)n2cccc2)n[n-]1 ZINC001413849669 876892308 /nfs/dbraw/zinc/89/23/08/876892308.db2.gz MWXYWNUIHZEUSQ-SNVBAGLBSA-N -1 1 305.338 1.050 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H](C)n2cccc2)n1 ZINC001413849669 876892318 /nfs/dbraw/zinc/89/23/18/876892318.db2.gz MWXYWNUIHZEUSQ-SNVBAGLBSA-N -1 1 305.338 1.050 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC[C@H](c2ccccc2)[C@H]1C ZINC001356290129 878966807 /nfs/dbraw/zinc/96/68/07/878966807.db2.gz JTMXFILJBHZSEO-YPMHNXCESA-N -1 1 324.392 1.861 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC[C@H](c2ccccc2)[C@H]1C ZINC001356290129 878966819 /nfs/dbraw/zinc/96/68/19/878966819.db2.gz JTMXFILJBHZSEO-YPMHNXCESA-N -1 1 324.392 1.861 20 0 DDADMM Nc1cc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)ccc1Cl ZINC001220468595 879045609 /nfs/dbraw/zinc/04/56/09/879045609.db2.gz BVNDYFNIEZJPRO-UHFFFAOYSA-N -1 1 320.696 1.204 20 0 DDADMM CO[C@@H](C)C(=O)NCCCN(C(=O)c1ncccc1[O-])C(C)C ZINC001356659277 879166411 /nfs/dbraw/zinc/16/64/11/879166411.db2.gz AXHJMOINXCRECR-LBPRGKRZSA-N -1 1 323.393 1.179 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2cccc(N)c2)ccc1F ZINC000316182055 879305365 /nfs/dbraw/zinc/30/53/65/879305365.db2.gz VSAJUWNURAITEO-UHFFFAOYSA-N -1 1 324.333 1.995 20 0 DDADMM CC/C=C(\C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001287859251 912510782 /nfs/dbraw/zinc/51/07/82/912510782.db2.gz LUOMVZBFJYYALM-YCBFNFSOSA-N -1 1 317.389 1.863 20 0 DDADMM COCc1nc(CN2CCC(c3n[n-]c(=O)o3)CC2)cs1 ZINC001413990381 880506177 /nfs/dbraw/zinc/50/61/77/880506177.db2.gz CZHLGTNZEDKCFS-UHFFFAOYSA-N -1 1 310.379 1.758 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(C[C@@H]3COC4(CCCCC4)O3)C2)n[nH]1 ZINC001414000886 880780159 /nfs/dbraw/zinc/78/01/59/880780159.db2.gz IZEYLKRSBNJUHI-CHWSQXEVSA-N -1 1 322.409 1.766 20 0 DDADMM C[C@H](OCc1nnn[n-]1)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001223027208 880787401 /nfs/dbraw/zinc/78/74/01/880787401.db2.gz NMLVKEYDRUMHDM-JSGCOSHPSA-N -1 1 303.366 1.006 20 0 DDADMM O=C(NC[C@H](O)COc1cccc(F)c1)c1ccc([O-])cc1F ZINC001362133418 883119671 /nfs/dbraw/zinc/11/96/71/883119671.db2.gz YZDSXEQJVFCRPP-LBPRGKRZSA-N -1 1 323.295 1.840 20 0 DDADMM CCOC(=O)C[C@@H](Oc1[n-]c(=O)nc2c1COC2)C(F)(F)F ZINC001227690306 883134186 /nfs/dbraw/zinc/13/41/86/883134186.db2.gz KXDFGEDEKIIFFO-MRVPVSSYSA-N -1 1 322.239 1.475 20 0 DDADMM COc1ccc(NC(C)=O)c(NC(=O)c2cnncc2[O-])c1 ZINC001362148321 883155362 /nfs/dbraw/zinc/15/53/62/883155362.db2.gz FDPWKWNSPZUBHW-UHFFFAOYSA-N -1 1 302.290 1.402 20 0 DDADMM CC1N=NC(C(=O)Nc2ccc(CCS(C)(=O)=O)cc2)=C1[O-] ZINC001362175442 883217823 /nfs/dbraw/zinc/21/78/23/883217823.db2.gz FFBZSPBIGBKDFF-UHFFFAOYSA-N -1 1 323.374 1.263 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC(N2CCCNC2=O)CC1 ZINC001362191435 883256439 /nfs/dbraw/zinc/25/64/39/883256439.db2.gz PQXVHDPOMOQVME-UHFFFAOYSA-N -1 1 321.352 1.551 20 0 DDADMM Cc1[nH]c(=O)[nH]c(=O)c1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC001362205943 883295143 /nfs/dbraw/zinc/29/51/43/883295143.db2.gz HYNZJMHSNQWDFD-UHFFFAOYSA-N -1 1 309.709 1.736 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1(c2ccc3c(c2)OCO3)CC1 ZINC001362227049 883348964 /nfs/dbraw/zinc/34/89/64/883348964.db2.gz ATDDAOXEXPNSOV-UHFFFAOYSA-N -1 1 315.333 1.057 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H]2CCCN(c3ccccc3)C2)n1 ZINC001228312235 883440128 /nfs/dbraw/zinc/44/01/28/883440128.db2.gz QLHHDLCXMGFWBZ-GFCCVEGCSA-N -1 1 302.334 1.639 20 0 DDADMM COC(=O)c1nc(O[C@@H]2CCCN(c3ccccc3)C2)n[n-]1 ZINC001228312235 883440133 /nfs/dbraw/zinc/44/01/33/883440133.db2.gz QLHHDLCXMGFWBZ-GFCCVEGCSA-N -1 1 302.334 1.639 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2cccc([O-])c2F)[C@@]12CCCO2 ZINC001362321673 883562035 /nfs/dbraw/zinc/56/20/35/883562035.db2.gz FIHPHKRXDNVLRC-ZENOOKHLSA-N -1 1 309.337 1.988 20 0 DDADMM O=C(c1cc2c([nH]1)CN(Cc1ncccc1[O-])CC2)N1CC=CC1 ZINC001277319365 883604231 /nfs/dbraw/zinc/60/42/31/883604231.db2.gz LDBIVZPVBCOCAC-UHFFFAOYSA-N -1 1 324.384 1.686 20 0 DDADMM CCC(CC)(NC(=O)C[C@@](C)(O)c1ccccc1)c1nn[n-]n1 ZINC001362421648 883772967 /nfs/dbraw/zinc/77/29/67/883772967.db2.gz FZLHHTLXAHOVAA-OAHLLOKOSA-N -1 1 317.393 1.629 20 0 DDADMM CSc1ncc(C(=O)N2NC(=O)CC23CCCCC3)c(=O)[n-]1 ZINC001362438527 883808414 /nfs/dbraw/zinc/80/84/14/883808414.db2.gz HEAGXVQEQSHMBR-UHFFFAOYSA-N -1 1 322.390 1.484 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)Nc1c[nH]c(=O)c(C)c1 ZINC001362437734 883809364 /nfs/dbraw/zinc/80/93/64/883809364.db2.gz KTKBGCCKZQEFJF-UHFFFAOYSA-N -1 1 306.347 1.874 20 0 DDADMM COC(=O)c1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)ccc1C ZINC001362450868 883843171 /nfs/dbraw/zinc/84/31/71/883843171.db2.gz GRJSJKXPNDKKSX-JTQLQIEISA-N -1 1 317.349 1.170 20 0 DDADMM COc1cc2ccc(=O)oc2c(O[C@H]2CCCOC2=O)c1[O-] ZINC001229217398 883878133 /nfs/dbraw/zinc/87/81/33/883878133.db2.gz BMBPCOUIMIAAGH-VIFPVBQESA-N -1 1 306.270 1.592 20 0 DDADMM CC(C)[C@H](CNC(=O)CCC1CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001374417160 912788129 /nfs/dbraw/zinc/78/81/29/912788129.db2.gz WIODFBXDLJKONO-LBPRGKRZSA-N -1 1 309.414 1.321 20 0 DDADMM COc1ccc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c(O)c1 ZINC001362613051 884221285 /nfs/dbraw/zinc/22/12/85/884221285.db2.gz UJGLPPRCTVZZSN-UHFFFAOYSA-N -1 1 321.358 1.548 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccncc2F)n[n-]1 ZINC001362662592 884353750 /nfs/dbraw/zinc/35/37/50/884353750.db2.gz VYZAHCCILGRBSK-ZETCQYMHSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccncc2F)[n-]1 ZINC001362662592 884353765 /nfs/dbraw/zinc/35/37/65/884353765.db2.gz VYZAHCCILGRBSK-ZETCQYMHSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccncc2F)n1 ZINC001362662592 884353786 /nfs/dbraw/zinc/35/37/86/884353786.db2.gz VYZAHCCILGRBSK-ZETCQYMHSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2c3c[nH]nc3CC[C@@H]2C)n1 ZINC001362680931 884402499 /nfs/dbraw/zinc/40/24/99/884402499.db2.gz YLOBYWOXDUFPQQ-UFBFGSQYSA-N -1 1 317.349 1.614 20 0 DDADMM O=C(NC1CCN([C@H]2CCOC2=O)CC1)c1ccc(F)c([O-])c1 ZINC001362682199 884405575 /nfs/dbraw/zinc/40/55/75/884405575.db2.gz KEIKSMFGVJJGPK-ZDUSSCGKSA-N -1 1 322.336 1.041 20 0 DDADMM CC(C)NC(=O)[C@H]1CCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001362699161 884438180 /nfs/dbraw/zinc/43/81/80/884438180.db2.gz DFBPQEOCLCRPTH-NSHDSACASA-N -1 1 308.353 1.908 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@@H]1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001362701326 884441450 /nfs/dbraw/zinc/44/14/50/884441450.db2.gz GEMHKYVHFMBOSG-GARJFASQSA-N -1 1 323.349 1.071 20 0 DDADMM Cn1cncc1[C@@H]1C[C@H]1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001362702118 884443920 /nfs/dbraw/zinc/44/39/20/884443920.db2.gz LRDKDXQIFXVRFA-HBNTYKKESA-N -1 1 317.349 1.018 20 0 DDADMM C[C@@H](NC(=O)CCC(F)F)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001382765275 884655154 /nfs/dbraw/zinc/65/51/54/884655154.db2.gz LPCMQOLIBOTMEL-RKDXNWHRSA-N -1 1 315.320 1.456 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)[C@H](O)CCc2ccccc2)n1 ZINC001362822122 884721488 /nfs/dbraw/zinc/72/14/88/884721488.db2.gz UGQZPAINQOTMBI-CYBMUJFWSA-N -1 1 317.345 1.519 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](O)CCc2ccccc2)n1 ZINC001362822122 884721500 /nfs/dbraw/zinc/72/15/00/884721500.db2.gz UGQZPAINQOTMBI-CYBMUJFWSA-N -1 1 317.345 1.519 20 0 DDADMM Cc1nn(C(C)(C)C)cc1[C@@H](C)NC(=O)CCCc1nn[n-]n1 ZINC001362826229 884731436 /nfs/dbraw/zinc/73/14/36/884731436.db2.gz UEQCHKUXJHNQDK-SNVBAGLBSA-N -1 1 319.413 1.660 20 0 DDADMM CCCc1cc(C(=O)NC2(c3nn[n-]n3)CCC2)cc(=O)[nH]1 ZINC001362836428 884759999 /nfs/dbraw/zinc/75/99/99/884759999.db2.gz PWURKSDVRUJWEM-UHFFFAOYSA-N -1 1 302.338 1.062 20 0 DDADMM CNc1ccc(Cl)c(C(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001362837644 884764580 /nfs/dbraw/zinc/76/45/80/884764580.db2.gz WNVUKMZQPHBZDQ-UHFFFAOYSA-N -1 1 306.757 1.704 20 0 DDADMM COC(=O)c1cc(NC(=O)CCCc2nn[n-]n2)ccc1Cl ZINC001362873077 884849644 /nfs/dbraw/zinc/84/96/44/884849644.db2.gz FNIIKZFWPWMGDY-UHFFFAOYSA-N -1 1 323.740 1.601 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1nocc1C ZINC001362884841 884882923 /nfs/dbraw/zinc/88/29/23/884882923.db2.gz BFYZZUODZSRETH-SECBINFHSA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1nocc1C ZINC001362884841 884882932 /nfs/dbraw/zinc/88/29/32/884882932.db2.gz BFYZZUODZSRETH-SECBINFHSA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1nocc1C ZINC001362884841 884882941 /nfs/dbraw/zinc/88/29/41/884882941.db2.gz BFYZZUODZSRETH-SECBINFHSA-N -1 1 319.321 1.255 20 0 DDADMM CCNC(=O)OC[C@@H]1CCCCN1C(=O)c1ccc([O-])cn1 ZINC001362889802 884895425 /nfs/dbraw/zinc/89/54/25/884895425.db2.gz BBLOFFKUOJXZCP-NSHDSACASA-N -1 1 307.350 1.528 20 0 DDADMM CCSc1ccccc1CC(=O)N[C@H](COC)c1nn[n-]n1 ZINC001362896216 884913578 /nfs/dbraw/zinc/91/35/78/884913578.db2.gz BUCCWVDTSSNPFW-LLVKDONJSA-N -1 1 321.406 1.358 20 0 DDADMM CN(C)Cc1csc(CNC(=O)c2cccc([O-])c2F)n1 ZINC001362922865 884994479 /nfs/dbraw/zinc/99/44/79/884994479.db2.gz DTODPUBAJRBUKN-UHFFFAOYSA-N -1 1 309.366 1.979 20 0 DDADMM C[C@@H](CNC(=O)CCC1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001382938991 884995983 /nfs/dbraw/zinc/99/59/83/884995983.db2.gz VSPMXSOEBPFRLY-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM O=C(N[C@@H]1CC[C@@H](O)[C@@H](O)CC1)c1ncc2ccccc2c1[O-] ZINC001362941184 885041146 /nfs/dbraw/zinc/04/11/46/885041146.db2.gz URUWGVWMJZOEBJ-DEZZGGKRSA-N -1 1 316.357 1.335 20 0 DDADMM CC(C)(C)OC(=O)CCCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362992832 885172013 /nfs/dbraw/zinc/17/20/13/885172013.db2.gz AKHNTDRSVGNTQW-LLVKDONJSA-N -1 1 323.397 1.418 20 0 DDADMM C[C@@H](NC(=O)OC(C)(C)C)[C@@H](C)NC(=O)c1cnncc1[O-] ZINC001363029743 885270103 /nfs/dbraw/zinc/27/01/03/885270103.db2.gz DWKGZQMPNOJOSJ-RKDXNWHRSA-N -1 1 310.354 1.214 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1CCC(=O)C[C@@H]1C)c1nn[n-]n1 ZINC001363098639 885458879 /nfs/dbraw/zinc/45/88/79/885458879.db2.gz HIBXHLAVWDZRQZ-GDPRMGEGSA-N -1 1 311.411 1.115 20 0 DDADMM O=c1[n-]c(O[C@H]2C[C@@H]3CC(=O)C[C@H]2N3Cc2ccccc2)n[nH]1 ZINC001231303772 885487045 /nfs/dbraw/zinc/48/70/45/885487045.db2.gz WYDRBOGNQCHTFH-YUTCNCBUSA-N -1 1 314.345 1.264 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(C[C@@H]2C(=O)Nc2c[n-][nH]c2=O)C1 ZINC001363121474 885518113 /nfs/dbraw/zinc/51/81/13/885518113.db2.gz VTTJKULGVSDRBC-MRVPVSSYSA-N -1 1 308.338 1.311 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1C[C@H]1c1cccnc1)c1nn[n-]n1 ZINC001363136218 885553777 /nfs/dbraw/zinc/55/37/77/885553777.db2.gz LXRXBTWMTHYGEX-NWDGAFQWSA-N -1 1 300.366 1.530 20 0 DDADMM O=C(N[C@H](CO)Cc1cncs1)c1ccc(Cl)cc1[O-] ZINC001363163179 885615881 /nfs/dbraw/zinc/61/58/81/885615881.db2.gz SXMGUOXLSHWYBM-VIFPVBQESA-N -1 1 312.778 1.836 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)c1ccn(C)n1 ZINC001363254658 885831396 /nfs/dbraw/zinc/83/13/96/885831396.db2.gz ZKXXUTDGFMLDND-SSDOTTSWSA-N -1 1 307.379 1.437 20 0 DDADMM C[C@H](c1ccccc1)[C@](C)(O)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363331987 886049135 /nfs/dbraw/zinc/04/91/35/886049135.db2.gz ZABPEXKXBCPQKD-HWPZZCPQSA-N -1 1 317.345 1.059 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cccc(N2CCCC2)c1 ZINC001363375609 886170697 /nfs/dbraw/zinc/17/06/97/886170697.db2.gz BJVTXUNLKOQRTK-UHFFFAOYSA-N -1 1 312.377 1.609 20 0 DDADMM CC[C@H](NC(=O)c1coc(S(=O)(=O)[N-]C)c1)c1ccccn1 ZINC001363386890 886195920 /nfs/dbraw/zinc/19/59/20/886195920.db2.gz NWXIGDXFLNKWEP-NSHDSACASA-N -1 1 323.374 1.464 20 0 DDADMM Cc1oc(C(C)C)nc1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363436214 886331770 /nfs/dbraw/zinc/33/17/70/886331770.db2.gz QREZIDHXFIUFLY-NSHDSACASA-N -1 1 318.381 1.714 20 0 DDADMM COC(=O)c1cc(NC(=O)c2ccc(OC)cc2[O-])nn1C ZINC001363501157 886498277 /nfs/dbraw/zinc/49/82/77/886498277.db2.gz ZQLNBYOGUCTYGJ-UHFFFAOYSA-N -1 1 305.290 1.173 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H](CO)c1ccc(Cl)cc1 ZINC001363503716 886504663 /nfs/dbraw/zinc/50/46/63/886504663.db2.gz XKGPMRLYAZXILU-NSHDSACASA-N -1 1 309.757 1.026 20 0 DDADMM COC[C@H]1CN(Cc2cccc([O-])c2Cl)Cc2nnn(C)c21 ZINC001232785447 886585722 /nfs/dbraw/zinc/58/57/22/886585722.db2.gz BPXOWOYCSYAMFG-LLVKDONJSA-N -1 1 322.796 1.920 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1CSCCS1 ZINC001363541273 886588080 /nfs/dbraw/zinc/58/80/80/886588080.db2.gz COKDHUUFNGNMPC-VIFPVBQESA-N -1 1 301.441 1.033 20 0 DDADMM C[C@H](C(=O)NC1(c2nn[n-]n2)CCC1)N1CCc2ccccc21 ZINC001363546223 886603435 /nfs/dbraw/zinc/60/34/35/886603435.db2.gz CSEBJRBFIFKSQT-LLVKDONJSA-N -1 1 312.377 1.146 20 0 DDADMM CCC[C@H](O)CC(=O)N1CCC[C@@H]1c1nn[n-]c1C(=O)OCC ZINC001363556105 886628581 /nfs/dbraw/zinc/62/85/81/886628581.db2.gz HGYYJXCAOSJEHN-WDEREUQCSA-N -1 1 324.381 1.196 20 0 DDADMM CCC[C@H](O)CC(=O)N1CCC[C@@H]1c1[n-]nnc1C(=O)OCC ZINC001363556105 886628589 /nfs/dbraw/zinc/62/85/89/886628589.db2.gz HGYYJXCAOSJEHN-WDEREUQCSA-N -1 1 324.381 1.196 20 0 DDADMM CCC[C@H](O)CC(=O)N1CCC[C@@H]1c1n[n-]nc1C(=O)OCC ZINC001363556105 886628595 /nfs/dbraw/zinc/62/85/95/886628595.db2.gz HGYYJXCAOSJEHN-WDEREUQCSA-N -1 1 324.381 1.196 20 0 DDADMM COCC(=O)N[C@@H]1CCCN(Cc2cc(Cl)ncc2[O-])C1 ZINC001233037657 886743492 /nfs/dbraw/zinc/74/34/92/886743492.db2.gz GEXSWIYRDMAAEY-LLVKDONJSA-N -1 1 313.785 1.168 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](c2ncon2)C2CC2)c(=O)[n-]1 ZINC001363607797 886754914 /nfs/dbraw/zinc/75/49/14/886754914.db2.gz QIIUNOVBOPLMGI-MRVPVSSYSA-N -1 1 307.335 1.168 20 0 DDADMM CSc1ncc(C(=O)NCc2cccc3c2OCC3)c(=O)[n-]1 ZINC001363612432 886764896 /nfs/dbraw/zinc/76/48/96/886764896.db2.gz TYHYKUZXNSIHOD-UHFFFAOYSA-N -1 1 317.370 1.769 20 0 DDADMM CSc1nc(CNC(=O)c2ccc(Cl)cn2)cc(=O)[n-]1 ZINC001363629270 886810498 /nfs/dbraw/zinc/81/04/98/886810498.db2.gz FXOVXFUXYQIODJ-UHFFFAOYSA-N -1 1 310.766 1.883 20 0 DDADMM CCCc1[nH]ccc1C(=O)NCc1n[n-]c(C(=O)OCC)n1 ZINC001363711908 887042872 /nfs/dbraw/zinc/04/28/72/887042872.db2.gz RDIIGHPGSLVLHK-UHFFFAOYSA-N -1 1 305.338 1.192 20 0 DDADMM CCCc1[nH]ccc1C(=O)NCc1nc(C(=O)OCC)n[n-]1 ZINC001363711908 887042890 /nfs/dbraw/zinc/04/28/90/887042890.db2.gz RDIIGHPGSLVLHK-UHFFFAOYSA-N -1 1 305.338 1.192 20 0 DDADMM CC1(C)Cc2cccc(OCC(=O)Nc3c[n-][nH]c3=O)c2O1 ZINC001363710903 887043338 /nfs/dbraw/zinc/04/33/38/887043338.db2.gz SMHYNLYFCJNOEA-UHFFFAOYSA-N -1 1 303.318 1.846 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]1Oc1c(=O)[n-]cnc1C(=O)OC ZINC001233756958 887280005 /nfs/dbraw/zinc/28/00/05/887280005.db2.gz VFTUKYDLDADHTE-BDAKNGLRSA-N -1 1 310.306 1.079 20 0 DDADMM O=C([N-]N1CCOC1=O)c1cc(-c2ccc(Cl)cc2)[nH]n1 ZINC001363989157 887676217 /nfs/dbraw/zinc/67/62/17/887676217.db2.gz DBRBOILNIUULLA-UHFFFAOYSA-N -1 1 306.709 1.827 20 0 DDADMM C=C=CC[N-]S(=O)(=O)c1cc(F)cc(C(=O)OC)c1F ZINC001364002763 887702585 /nfs/dbraw/zinc/70/25/85/887702585.db2.gz VAIUSYQVJJVPFN-UHFFFAOYSA-N -1 1 303.286 1.371 20 0 DDADMM CN1Cc2ccccc2[C@H]([N-]S(=O)(=O)c2ccns2)C1=O ZINC001364018855 887732962 /nfs/dbraw/zinc/73/29/62/887732962.db2.gz DVWAFABKRCMKNP-LBPRGKRZSA-N -1 1 323.399 1.135 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC[C@H]2CC(C)(C)CO2)sn1 ZINC001364047045 887792992 /nfs/dbraw/zinc/79/29/92/887792992.db2.gz CKRAZICDFUWFJE-VIFPVBQESA-N -1 1 320.436 1.635 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@H](C(=O)Nc2c[n-][nH]c2=O)C1(C)C ZINC001364088969 887889799 /nfs/dbraw/zinc/88/97/99/887889799.db2.gz DUSLVUFZNWVXGI-SCZZXKLOSA-N -1 1 324.381 1.993 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCCc2cccnc21 ZINC001364114454 887953144 /nfs/dbraw/zinc/95/31/44/887953144.db2.gz CFSJGGVOPJAVBJ-SNVBAGLBSA-N -1 1 308.363 1.316 20 0 DDADMM Cc1nc(C(N)=O)c(OCc2ccnc(OC(C)C)c2)c(=O)[n-]1 ZINC001234475625 888009893 /nfs/dbraw/zinc/00/98/93/888009893.db2.gz CIPHEMNKBUKEJI-UHFFFAOYSA-N -1 1 318.333 1.351 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CC(NC2COC2)C1 ZINC001364364755 888463670 /nfs/dbraw/zinc/46/36/70/888463670.db2.gz RNIXAJCNHMUACD-UHFFFAOYSA-N -1 1 314.341 1.064 20 0 DDADMM O=C(c1ncsc1C(F)(F)F)N1CC[C@H](c2nn[n-]n2)C1 ZINC001364569266 888948365 /nfs/dbraw/zinc/94/83/65/888948365.db2.gz SJWYYZVJYIJOQW-YFKPBYRVSA-N -1 1 318.284 1.305 20 0 DDADMM CN(Cc1ncc(Br)cn1)C(=O)c1ncccc1[O-] ZINC001364795505 889433143 /nfs/dbraw/zinc/43/31/43/889433143.db2.gz PBTWUHWZGPVJND-UHFFFAOYSA-N -1 1 323.150 1.612 20 0 DDADMM O=C(c1ccc(F)c(O)c1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364818536 889479308 /nfs/dbraw/zinc/47/93/08/889479308.db2.gz LGRKAEZSYILJLE-SECBINFHSA-N -1 1 305.313 1.139 20 0 DDADMM COC(=O)[C@H](CC(F)F)[N-]S(=O)(=O)CC(C)(C)C(C)C ZINC001364830216 889498515 /nfs/dbraw/zinc/49/85/15/889498515.db2.gz XDSGUNOXHPNFTJ-VIFPVBQESA-N -1 1 315.382 1.785 20 0 DDADMM COC(=O)[C@@H](CC(F)F)[N-]S(=O)(=O)CC(C)(C)C(C)C ZINC001364830212 889499075 /nfs/dbraw/zinc/49/90/75/889499075.db2.gz XDSGUNOXHPNFTJ-SECBINFHSA-N -1 1 315.382 1.785 20 0 DDADMM O=C(CCC(F)F)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385682140 889560552 /nfs/dbraw/zinc/56/05/52/889560552.db2.gz OAQGQLQPTLNEOJ-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCC[C@@H]1CC[C@H]2C[C@H]21 ZINC001364861740 889566011 /nfs/dbraw/zinc/56/60/11/889566011.db2.gz SXRFPORBRRBDRG-SRVKXCTJSA-N -1 1 303.362 1.069 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C1CCC1 ZINC001364881684 889600770 /nfs/dbraw/zinc/60/07/70/889600770.db2.gz XQLSOGKJMAVNTF-LLVKDONJSA-N -1 1 315.395 1.443 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)C2(CCF)CC2)C1)c1ncccc1[O-] ZINC001385862989 889884346 /nfs/dbraw/zinc/88/43/46/889884346.db2.gz VZCYUOLSUXNPFC-NSHDSACASA-N -1 1 321.352 1.258 20 0 DDADMM O=C(Nc1ccnn1C1CC1)N1CCC(c2nn[n-]n2)CC1 ZINC001365090420 890087949 /nfs/dbraw/zinc/08/79/49/890087949.db2.gz SFCGGOWMGWFUCV-UHFFFAOYSA-N -1 1 302.342 1.143 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC12CC2 ZINC001365450685 890817653 /nfs/dbraw/zinc/81/76/53/890817653.db2.gz JVGWIYLBFXXKML-SNVBAGLBSA-N -1 1 313.379 1.197 20 0 DDADMM CCN(Cc1ccc([O-])c(F)c1F)[C@@H]1CCS(=O)(=O)C1 ZINC001365510706 890951455 /nfs/dbraw/zinc/95/14/55/890951455.db2.gz GQCKWRRIOXBYOB-SNVBAGLBSA-N -1 1 305.346 1.679 20 0 DDADMM CCC1(O)CCC([N-]S(=O)(=O)c2csnc2OC)CC1 ZINC001365697838 891353212 /nfs/dbraw/zinc/35/32/12/891353212.db2.gz QTPUNJOVQDLOCW-UHFFFAOYSA-N -1 1 320.436 1.514 20 0 DDADMM CO[C@H]1c2ccccc2CC[C@@H]1S(=O)(=O)[N-]C(CF)CF ZINC001365736225 891423050 /nfs/dbraw/zinc/42/30/50/891423050.db2.gz MWDLKWMLZDZZSV-KBPBESRZSA-N -1 1 319.373 1.916 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccc(C(F)F)o1)c1cncs1 ZINC001365743849 891439578 /nfs/dbraw/zinc/43/95/78/891439578.db2.gz BOLPHEYLWKFCDX-UHFFFAOYSA-N -1 1 322.314 1.835 20 0 DDADMM CON(C)C(=O)c1cccc(-c2ccc(-c3nnn[n-]3)nc2)c1 ZINC001243130281 891606122 /nfs/dbraw/zinc/60/61/22/891606122.db2.gz ASNOIKMXXIWPDU-UHFFFAOYSA-N -1 1 310.317 1.562 20 0 DDADMM CON(C)C(=O)c1cccc(-c2ccc(-c3nn[n-]n3)nc2)c1 ZINC001243130281 891606133 /nfs/dbraw/zinc/60/61/33/891606133.db2.gz ASNOIKMXXIWPDU-UHFFFAOYSA-N -1 1 310.317 1.562 20 0 DDADMM CCCc1cncnc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244791566 891924536 /nfs/dbraw/zinc/92/45/36/891924536.db2.gz WLAXDADTGBEFBD-UHFFFAOYSA-N -1 1 322.390 1.871 20 0 DDADMM COc1ncc(-c2c(C)ccnc2OC)cc1[N-]S(C)(=O)=O ZINC001244794593 891931571 /nfs/dbraw/zinc/93/15/71/891931571.db2.gz SMANGVMNLICGPI-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM NS(=O)(=O)c1ccnc(-c2c(F)ccc([O-])c2Cl)c1 ZINC001245830693 892248673 /nfs/dbraw/zinc/24/86/73/892248673.db2.gz UAHDFWMADIFNJP-UHFFFAOYSA-N -1 1 302.714 1.894 20 0 DDADMM CC[C@H](C)[C@H](C)C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001387076166 892322288 /nfs/dbraw/zinc/32/22/88/892322288.db2.gz ZDUBGHARUUPGJR-RYUDHWBXSA-N -1 1 307.394 1.658 20 0 DDADMM Cc1cccc(-c2noc(Cc3nnn[n-]3)n2)c1Br ZINC001247142618 893121818 /nfs/dbraw/zinc/12/18/18/893121818.db2.gz PXTUAHPQITYQNL-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM Cc1cccc(-c2noc(Cc3nn[n-]n3)n2)c1Br ZINC001247142618 893121823 /nfs/dbraw/zinc/12/18/23/893121823.db2.gz PXTUAHPQITYQNL-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM CC(=O)Nc1ccc([N-]S(=O)(=O)c2ccc(N)c(C)c2)cn1 ZINC001249748152 894116319 /nfs/dbraw/zinc/11/63/19/894116319.db2.gz HESKOFOFIGWDCE-UHFFFAOYSA-N -1 1 320.374 1.731 20 0 DDADMM CCOC(=O)c1cnc(N)n1-c1cc([O-])c(F)c(F)c1F ZINC001249958030 894176169 /nfs/dbraw/zinc/17/61/69/894176169.db2.gz HKQMQCFTMGDJEX-UHFFFAOYSA-N -1 1 301.224 1.754 20 0 DDADMM CCC[C@@](C)(CC)C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001292661876 913903392 /nfs/dbraw/zinc/90/33/92/913903392.db2.gz JXUMWCCQDSIOHF-MRXNPFEDSA-N -1 1 307.394 1.850 20 0 DDADMM CCC[C@](C)(CC)C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001292661875 913903668 /nfs/dbraw/zinc/90/36/68/913903668.db2.gz JXUMWCCQDSIOHF-INIZCTEOSA-N -1 1 307.394 1.850 20 0 DDADMM CS[C@@H](C)C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001396518322 913969990 /nfs/dbraw/zinc/96/99/90/913969990.db2.gz ZANLJAIHRSPVEI-GARJFASQSA-N -1 1 323.418 1.163 20 0 DDADMM CCC1(C(=O)N[C@H](CNC(=O)c2ncccc2[O-])C(C)C)CC1 ZINC001388888644 896127166 /nfs/dbraw/zinc/12/71/66/896127166.db2.gz FYGKESGJUJOHJM-GFCCVEGCSA-N -1 1 319.405 1.848 20 0 DDADMM CC1(CCC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001367228596 896181635 /nfs/dbraw/zinc/18/16/35/896181635.db2.gz SGOHXVCCLUVKRR-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM CC(=O)NCCc1noc(-c2cc(NC(C)=O)ccc2[O-])n1 ZINC000350570342 896587910 /nfs/dbraw/zinc/58/79/10/896587910.db2.gz UXGHPQPDOVTVPY-UHFFFAOYSA-N -1 1 304.306 1.079 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1cc[nH]c(=O)c1 ZINC001258345456 898143256 /nfs/dbraw/zinc/14/32/56/898143256.db2.gz HSHQSFAULKAEDK-UHFFFAOYSA-N -1 1 302.743 1.283 20 0 DDADMM O=C1N[C@@H]([N-]S(=O)(=O)c2cc(F)ccc2F)c2ccccc21 ZINC001258950170 898376538 /nfs/dbraw/zinc/37/65/38/898376538.db2.gz ODZKLGMVXQXKPH-ZDUSSCGKSA-N -1 1 324.308 1.685 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(N)=O ZINC001258951222 898377096 /nfs/dbraw/zinc/37/70/96/898377096.db2.gz UTITWXLFYGZTHN-JTQLQIEISA-N -1 1 306.334 1.287 20 0 DDADMM O=c1ccc(C[N-]S(=O)(=O)c2cc(F)ccc2F)c[nH]1 ZINC001258949743 898377323 /nfs/dbraw/zinc/37/73/23/898377323.db2.gz PUBXFGDUFJHMRY-UHFFFAOYSA-N -1 1 300.286 1.544 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc2c(c1)OC(C)(C)O2 ZINC001259024440 898421971 /nfs/dbraw/zinc/42/19/71/898421971.db2.gz QSIQJMFLGLQJLD-UHFFFAOYSA-N -1 1 301.320 1.109 20 0 DDADMM CCS(=O)(=O)c1ccc(OC)c([N-]S(=O)(=O)C2CC2)c1 ZINC001259275442 898569014 /nfs/dbraw/zinc/56/90/14/898569014.db2.gz VFYZPAFCTRGHLB-UHFFFAOYSA-N -1 1 319.404 1.393 20 0 DDADMM Cc1[nH+]ccn1CCNS(=O)(=O)c1cc(Cl)ccc1F ZINC001259459610 898679242 /nfs/dbraw/zinc/67/92/42/898679242.db2.gz HDYKIULQNKRYPI-UHFFFAOYSA-N -1 1 317.773 1.963 20 0 DDADMM Cc1nc(CC[N-]S(=O)(=O)c2cc(Cl)ccc2F)no1 ZINC001259460067 898680162 /nfs/dbraw/zinc/68/01/62/898680162.db2.gz WLAZYZKTZOYIQY-UHFFFAOYSA-N -1 1 319.745 1.692 20 0 DDADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)[C@@H]1CC12CC2 ZINC001368140518 898790733 /nfs/dbraw/zinc/79/07/33/898790733.db2.gz WIBFDYRYYWYHLX-RYUDHWBXSA-N -1 1 319.409 1.075 20 0 DDADMM COc1cc([N-]S(=O)(=O)C(F)F)c(Br)cn1 ZINC001259950713 898970513 /nfs/dbraw/zinc/97/05/13/898970513.db2.gz DPNFKWXSYMOCKR-UHFFFAOYSA-N -1 1 317.111 1.817 20 0 DDADMM Cc1ccccc1CS(=O)(=O)NCC[N-]C(=O)C(F)(F)F ZINC001260024218 899017949 /nfs/dbraw/zinc/01/79/49/899017949.db2.gz USFIIZVGYPYLIF-UHFFFAOYSA-N -1 1 324.324 1.093 20 0 DDADMM O=S(=O)([N-]CCn1ccnn1)c1cc(Cl)c(F)cc1F ZINC001260196159 899085282 /nfs/dbraw/zinc/08/52/82/899085282.db2.gz WIKJTLJFQSCAJR-UHFFFAOYSA-N -1 1 322.724 1.188 20 0 DDADMM CCN(CCN(C)C)S(=O)(=O)c1cc(C(=O)[O-])ccc1F ZINC001260209321 899090919 /nfs/dbraw/zinc/09/09/19/899090919.db2.gz XYDFUPFOQCVLJC-UHFFFAOYSA-N -1 1 318.370 1.096 20 0 DDADMM O=S(=O)([N-]CCN1CCC1)c1cc(Cl)c(F)cc1F ZINC001260207241 899090965 /nfs/dbraw/zinc/09/09/65/899090965.db2.gz PYJRPYZMVKHHDA-UHFFFAOYSA-N -1 1 310.753 1.602 20 0 DDADMM O=S(=O)([N-]CCCCCO)c1cnc(Cl)cc1Cl ZINC001261133926 899379914 /nfs/dbraw/zinc/37/99/14/899379914.db2.gz HHNBUOJIHUPZOJ-UHFFFAOYSA-N -1 1 313.206 1.829 20 0 DDADMM CC(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001374926052 914364752 /nfs/dbraw/zinc/36/47/52/914364752.db2.gz HBPXAMHFZURKJT-STQMWFEESA-N -1 1 317.389 1.649 20 0 DDADMM COc1ncc([N-]S(=O)(=O)Cc2noc3ccccc23)cn1 ZINC000585433068 900599290 /nfs/dbraw/zinc/59/92/90/900599290.db2.gz ADSNQBJFSGLBIL-UHFFFAOYSA-N -1 1 320.330 1.568 20 0 DDADMM CCN(CCCNC(=O)CC1=CCCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001265104712 901560584 /nfs/dbraw/zinc/56/05/84/901560584.db2.gz YOGDZAKNTWNRCM-UHFFFAOYSA-N -1 1 321.425 1.729 20 0 DDADMM CC[C@H](CNC(=O)c1ccc(F)s1)NCc1n[nH]c(=O)[n-]1 ZINC001391751835 902528112 /nfs/dbraw/zinc/52/81/12/902528112.db2.gz CBFLNOXXDRZZNE-SSDOTTSWSA-N -1 1 313.358 1.009 20 0 DDADMM CC(C)[C@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001370288111 902862027 /nfs/dbraw/zinc/86/20/27/902862027.db2.gz AVUIHBPJZPELTK-AVGNSLFASA-N -1 1 319.405 1.704 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H](CNC(=O)C(C)(C)F)C1CC1 ZINC001370391288 903063595 /nfs/dbraw/zinc/06/35/95/903063595.db2.gz BSXOBRKSUQIUOD-NSHDSACASA-N -1 1 323.368 1.502 20 0 DDADMM COC[C@@H](C)CC(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001370620444 903527775 /nfs/dbraw/zinc/52/77/75/903527775.db2.gz UCSUSGQVZSDRSD-NWDGAFQWSA-N -1 1 323.393 1.037 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@H](C)C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001392245610 903636876 /nfs/dbraw/zinc/63/68/76/903636876.db2.gz ROZNQSBRLQBWSO-YPMHNXCESA-N -1 1 323.441 1.567 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)CNCc2cc(C3CC3)no2)c1[O-] ZINC001392553580 904449047 /nfs/dbraw/zinc/44/90/47/904449047.db2.gz GTYMGMGDOFSMTE-QMMMGPOBSA-N -1 1 319.365 1.197 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC12CC2 ZINC001375060540 914821090 /nfs/dbraw/zinc/82/10/90/914821090.db2.gz QEZBQWLAZHCWDZ-WDEREUQCSA-N -1 1 303.362 1.212 20 0 DDADMM CC/C=C(\C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001281753070 905199485 /nfs/dbraw/zinc/19/94/85/905199485.db2.gz QMAOMCVLLODRAV-WXYBXBMJSA-N -1 1 317.389 1.720 20 0 DDADMM CS[C@H](C)CC(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001392773870 905213703 /nfs/dbraw/zinc/21/37/03/905213703.db2.gz MIXLSNHNWNCGMP-VHSXEESVSA-N -1 1 311.407 1.163 20 0 DDADMM C[C@H]1CCC[C@@]1(C)C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001393554100 907316479 /nfs/dbraw/zinc/31/64/79/907316479.db2.gz HBUCIPWAUDWLJU-XHDPSFHLSA-N -1 1 321.425 1.465 20 0 DDADMM CCC[C@](C)(CC)C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001393571205 907363128 /nfs/dbraw/zinc/36/31/28/907363128.db2.gz JYDRNRRPNNQWIY-HNNXBMFYSA-N -1 1 323.441 1.855 20 0 DDADMM C[C@@H](NC(=O)c1ccc(F)s1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001394005388 908515886 /nfs/dbraw/zinc/51/58/86/908515886.db2.gz UMIKUBVLRQSEPX-NKWVEPMBSA-N -1 1 313.358 1.008 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)CC(F)(F)F ZINC001373262302 909341867 /nfs/dbraw/zinc/34/18/67/909341867.db2.gz VZSRTAWWZFWEDU-MRVPVSSYSA-N -1 1 319.283 1.364 20 0 DDADMM CCC(CC)CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001394670465 910226446 /nfs/dbraw/zinc/22/64/46/910226446.db2.gz NYNHPEZQXMHFEC-JQWIXIFHSA-N -1 1 309.414 1.416 20 0 DDADMM CC/C(C)=C/C(=O)N[C@@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001286193700 911807999 /nfs/dbraw/zinc/80/79/99/911807999.db2.gz ZABORZVJQJBYSX-OBIHZWKSSA-N -1 1 317.389 1.720 20 0 DDADMM NC(=O)[C@@H]1CC[C@H](CCC(=O)Nc2ccc([O-])c(Cl)c2)O1 ZINC001295294760 915690935 /nfs/dbraw/zinc/69/09/35/915690935.db2.gz FOLNOEQVJAAAAJ-SKDRFNHKSA-N -1 1 312.753 1.797 20 0 DDADMM O=C(NCCC1CCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001375412477 915856754 /nfs/dbraw/zinc/85/67/54/915856754.db2.gz PEAZLTNNFUNBLI-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM CC[C@H](CC(C)C)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001375767408 916908787 /nfs/dbraw/zinc/90/87/87/916908787.db2.gz OCCRJNZNRYNPHH-CHWSQXEVSA-N -1 1 323.441 1.663 20 0 DDADMM CC(C)C[C@@H](CNC(=O)[C@@H]1C[C@H]1C)NC(=O)c1ncccc1[O-] ZINC001375824522 917060587 /nfs/dbraw/zinc/06/05/87/917060587.db2.gz SEXKLGWVIOPOFW-FRRDWIJNSA-N -1 1 319.405 1.704 20 0 DDADMM Cc1ccc(CCC(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)cc1 ZINC001376766355 919308444 /nfs/dbraw/zinc/30/84/44/919308444.db2.gz GSSQMEMINUQFSC-GFCCVEGCSA-N -1 1 317.393 1.046 20 0 DDADMM CCCS(=O)(=O)Cc1noc(-c2ccc(OC)cc2[O-])n1 ZINC000278267597 214057487 /nfs/dbraw/zinc/05/74/87/214057487.db2.gz VIBFTMAQXGSUPA-UHFFFAOYSA-N -1 1 312.347 1.776 20 0 DDADMM CO[C@H]1CCC[C@H](CC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)C1 ZINC000622869867 365549392 /nfs/dbraw/zinc/54/93/92/365549392.db2.gz JWJYHDHBSCEWMB-QWRGUYRKSA-N -1 1 319.365 1.260 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3(CCF)CC3)nc2n1 ZINC000622997656 365590933 /nfs/dbraw/zinc/59/09/33/365590933.db2.gz GVOYYBIIGGINLR-UHFFFAOYSA-N -1 1 307.329 1.448 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3O[C@H](C)C[C@H]3C)nc2n1 ZINC000622997616 365592021 /nfs/dbraw/zinc/59/20/21/365592021.db2.gz FGSZOLHQVXRLLR-KBVBSXBZSA-N -1 1 319.365 1.122 20 0 DDADMM Cc1ccc(COCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000623023670 365604137 /nfs/dbraw/zinc/60/41/37/365604137.db2.gz QUEUVFZYSHHJOV-LBPRGKRZSA-N -1 1 303.366 1.287 20 0 DDADMM CCn1nc(C)c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1C ZINC000614390660 361833555 /nfs/dbraw/zinc/83/35/55/361833555.db2.gz WWNSHCMYNQNQFB-LLVKDONJSA-N -1 1 319.365 1.628 20 0 DDADMM O=C(c1ccc(CO)cc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474434 361874885 /nfs/dbraw/zinc/87/48/85/361874885.db2.gz UNRCLQYTUJMTGT-UHFFFAOYSA-N -1 1 303.318 1.287 20 0 DDADMM CC[C@]1(C(C)C)C[C@@H]1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000457276537 529390120 /nfs/dbraw/zinc/39/01/20/529390120.db2.gz KFBWJSOMHFCJLM-SMDDNHRTSA-N -1 1 314.407 1.742 20 0 DDADMM COC(=O)CCSc1ncccc1[N-]C(=O)c1cocn1 ZINC000614517457 361903001 /nfs/dbraw/zinc/90/30/01/361903001.db2.gz ZNYFDCBFTNNVEB-UHFFFAOYSA-N -1 1 307.331 1.977 20 0 DDADMM COCCN1CC[C@H]([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000451428973 231092402 /nfs/dbraw/zinc/09/24/02/231092402.db2.gz LFDWVNDYMAGXMC-VIFPVBQESA-N -1 1 324.855 1.401 20 0 DDADMM C[S@](=O)C1(C[N-]S(=O)(=O)c2sccc2Cl)CC1 ZINC000451500942 231112526 /nfs/dbraw/zinc/11/25/26/231112526.db2.gz GWOIZHPJTKTPTA-INIZCTEOSA-N -1 1 313.853 1.591 20 0 DDADMM CCS(=O)(=O)CCCCCSc1nc(C(=O)OC)c[n-]1 ZINC000278761709 214382536 /nfs/dbraw/zinc/38/25/36/214382536.db2.gz XJZAROZSZDZUPB-UHFFFAOYSA-N -1 1 320.436 1.893 20 0 DDADMM CC[C@@H](CNC(=O)c1cncc([O-])c1)NC(=O)OC(C)(C)C ZINC000295835266 529488855 /nfs/dbraw/zinc/48/88/55/529488855.db2.gz QXYWFBZXCRTRHX-NSHDSACASA-N -1 1 309.366 1.820 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)C2CCCC2)C1)c1cncc([O-])c1 ZINC000178094415 539231803 /nfs/dbraw/zinc/23/18/03/539231803.db2.gz VSBHLABRPJIPAV-CYBMUJFWSA-N -1 1 303.362 1.308 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C)cc(OC)c1O)c1nn[n-]n1 ZINC000092424791 185317632 /nfs/dbraw/zinc/31/76/32/185317632.db2.gz CNYPTZZRTGAIKE-JTQLQIEISA-N -1 1 305.338 1.494 20 0 DDADMM COc1ccc(COCCC(=O)Nc2c(C)[n-][nH]c2=O)cc1 ZINC000615228491 362204044 /nfs/dbraw/zinc/20/40/44/362204044.db2.gz XMMSPVNJJKVEQB-UHFFFAOYSA-N -1 1 305.334 1.978 20 0 DDADMM O=S(=O)(Cc1noc2ccccc21)[N-]C[C@H](O)C(F)(F)F ZINC000272806670 210209638 /nfs/dbraw/zinc/20/96/38/210209638.db2.gz BPNGHEGAOKIHHW-JTQLQIEISA-N -1 1 324.280 1.170 20 0 DDADMM CN(C)c1ncc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)cn1 ZINC000443457273 529812230 /nfs/dbraw/zinc/81/22/30/529812230.db2.gz SHVOAMUABQICCC-UHFFFAOYSA-N -1 1 322.346 1.042 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C)c(C)c2)n[n-]1 ZINC000615919273 362485541 /nfs/dbraw/zinc/48/55/41/362485541.db2.gz FDHIURKXSREGBD-UHFFFAOYSA-N -1 1 302.334 1.528 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C)c(C)c2)n1 ZINC000615919273 362485545 /nfs/dbraw/zinc/48/55/45/362485545.db2.gz FDHIURKXSREGBD-UHFFFAOYSA-N -1 1 302.334 1.528 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@]2(C)CCCC[C@@H]2C)o1 ZINC000457263182 530028589 /nfs/dbraw/zinc/02/85/89/530028589.db2.gz PKOMSZPKAMUXFY-HZMBPMFUSA-N -1 1 314.407 1.886 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCc3nccn3C2)c1Br ZINC000616001872 362516603 /nfs/dbraw/zinc/51/66/03/362516603.db2.gz FLIFDNNPSIYCSR-QMMMGPOBSA-N -1 1 324.182 1.300 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H](O)C2CCCCC2)c1Br ZINC000616008502 362518392 /nfs/dbraw/zinc/51/83/92/362518392.db2.gz HBCHEKXZDRCYQT-JTQLQIEISA-N -1 1 316.199 1.782 20 0 DDADMM CCOCCC1(C(=O)NCc2n[n-]c(C(=O)OCC)n2)CCC1 ZINC000616030078 362525832 /nfs/dbraw/zinc/52/58/32/362525832.db2.gz RNDVBIJVUTZJSC-UHFFFAOYSA-N -1 1 324.381 1.195 20 0 DDADMM CCOCCC1(C(=O)NCc2nc(C(=O)OCC)n[n-]2)CCC1 ZINC000616030078 362525840 /nfs/dbraw/zinc/52/58/40/362525840.db2.gz RNDVBIJVUTZJSC-UHFFFAOYSA-N -1 1 324.381 1.195 20 0 DDADMM C[C@H](NC(=O)c1ncc2ccccc2c1[O-])[C@H](O)C(F)(F)F ZINC000616248638 362600659 /nfs/dbraw/zinc/60/06/59/362600659.db2.gz UHYPHMNMFKAXFA-MADCSZMMSA-N -1 1 314.263 1.982 20 0 DDADMM COc1ccc([C@H](CO)CNC(=O)c2ncc(C)cc2[O-])cc1 ZINC000458248426 232307923 /nfs/dbraw/zinc/30/79/23/232307923.db2.gz OUYOJVYGNMPILK-ZDUSSCGKSA-N -1 1 316.357 1.610 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1nc(C(C)(C)C)no1)c1nn[n-]n1 ZINC000358375939 299135401 /nfs/dbraw/zinc/13/54/01/299135401.db2.gz AGQFRAAXLHCGQL-SECBINFHSA-N -1 1 321.385 1.075 20 0 DDADMM Cc1cnc(C(=O)N[C@H](c2cccnc2)C(C)(C)CO)c([O-])c1 ZINC000616841530 362842683 /nfs/dbraw/zinc/84/26/83/362842683.db2.gz KWKZPGWJOHXXLD-OAHLLOKOSA-N -1 1 315.373 1.980 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-]CCOC(F)(F)F)s1 ZINC000353816923 290845535 /nfs/dbraw/zinc/84/55/35/290845535.db2.gz QBTOCNJOILWBLF-UHFFFAOYSA-N -1 1 304.315 1.520 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cccc(C(C)C)n1 ZINC000342652558 282603185 /nfs/dbraw/zinc/60/31/85/282603185.db2.gz NCLIDNCMQKDGCT-UHFFFAOYSA-N -1 1 316.361 1.789 20 0 DDADMM O=C(OCc1ccccc1)[C@@H]1CCCCN1Cc1nc(=O)[n-][nH]1 ZINC000279907905 215225949 /nfs/dbraw/zinc/22/59/49/215225949.db2.gz DUKLKSCVHZFSPA-ZDUSSCGKSA-N -1 1 316.361 1.196 20 0 DDADMM O=C(NCCc1nnc[nH]1)c1ccc(Br)cc1[O-] ZINC000080580701 192168445 /nfs/dbraw/zinc/16/84/45/192168445.db2.gz QMQREZQAMHMPMC-UHFFFAOYSA-N -1 1 311.139 1.245 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OCc1cccnc1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000155832793 186116236 /nfs/dbraw/zinc/11/62/36/186116236.db2.gz LIGDLTYFVDRLPL-LZWOXQAQSA-N -1 1 321.421 1.763 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H](O)C[C@H]1c1cccc(F)c1 ZINC000225677460 186288574 /nfs/dbraw/zinc/28/85/74/186288574.db2.gz JYPOPYNKNNCYQF-STQMWFEESA-N -1 1 302.305 1.874 20 0 DDADMM Cc1cnc(C(=O)NC[C@H]2COc3ccccc3O2)c([O-])c1 ZINC000354114320 290909569 /nfs/dbraw/zinc/90/95/69/290909569.db2.gz FXJVYFNTXCUPDM-NSHDSACASA-N -1 1 300.314 1.665 20 0 DDADMM CC(C)[C@@H]1C[C@@H](NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000287198354 219373520 /nfs/dbraw/zinc/37/35/20/219373520.db2.gz OMIIRDDRVGNTLA-UFSUTXSBSA-N -1 1 317.393 1.667 20 0 DDADMM COC[C@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000032660647 352282992 /nfs/dbraw/zinc/28/29/92/352282992.db2.gz RACDMWOHAKFUHP-VIFPVBQESA-N -1 1 318.395 1.121 20 0 DDADMM COc1ccc(-c2nc(C)c(C(=O)Nc3nnn[n-]3)s2)cc1 ZINC000034363094 352292237 /nfs/dbraw/zinc/29/22/37/352292237.db2.gz DIMOODYCWLRFSC-UHFFFAOYSA-N -1 1 316.346 1.893 20 0 DDADMM COc1ccc(-c2nc(C)c(C(=O)Nc3nn[n-]n3)s2)cc1 ZINC000034363094 352292241 /nfs/dbraw/zinc/29/22/41/352292241.db2.gz DIMOODYCWLRFSC-UHFFFAOYSA-N -1 1 316.346 1.893 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2ccnn2C)c(=O)[n-]1 ZINC000047457860 352497117 /nfs/dbraw/zinc/49/71/17/352497117.db2.gz QPWFMPLAGHHOHT-UHFFFAOYSA-N -1 1 321.406 1.195 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@H]2CC[C@H](F)C2)oc1C ZINC000344904984 282866571 /nfs/dbraw/zinc/86/65/71/282866571.db2.gz HJIGPHHXSVOXCL-IUCAKERBSA-N -1 1 305.327 1.544 20 0 DDADMM CCCCS(=O)(=O)[N-][C@]1(C(=O)OC)C[C@@H](OCC)C1(C)C ZINC000444274970 282881431 /nfs/dbraw/zinc/88/14/31/282881431.db2.gz GNCNCXXELCWNRD-RISCZKNCSA-N -1 1 321.439 1.453 20 0 DDADMM CNC(=O)[C@@H]1CCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000182078007 199383137 /nfs/dbraw/zinc/38/31/37/199383137.db2.gz NFODTVZMEWGDEP-ZDUSSCGKSA-N -1 1 302.330 1.209 20 0 DDADMM COc1ccc(CN(Cc2n[nH]c(=O)[n-]2)[C@H](C)C2CC2)cc1 ZINC000091541800 353829445 /nfs/dbraw/zinc/82/94/45/353829445.db2.gz WTTXLUQDCPQWCT-LLVKDONJSA-N -1 1 302.378 1.907 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@H]1O)c1ccc(Br)o1 ZINC000358628867 299209092 /nfs/dbraw/zinc/20/90/92/299209092.db2.gz BUFUTALFMGEKQY-JGVFFNPUSA-N -1 1 324.196 1.624 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cn3c(n2)CCC3)c1 ZINC000565026579 304037484 /nfs/dbraw/zinc/03/74/84/304037484.db2.gz UOGRZWDOMRIDFB-UHFFFAOYSA-N -1 1 301.302 1.574 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1CCC1)c1cccs1 ZINC000357245620 291031070 /nfs/dbraw/zinc/03/10/70/291031070.db2.gz WIIIJNPJQZBZQU-NSHDSACASA-N -1 1 303.405 1.682 20 0 DDADMM CCC(CC)(CNC(=O)[C@@H]1Cc2ccccc2CN1)C(=O)[O-] ZINC000316810709 354505921 /nfs/dbraw/zinc/50/59/21/354505921.db2.gz FDFVDCXVVBFMTP-AWEZNQCLSA-N -1 1 304.390 1.708 20 0 DDADMM Cn1nccc1[C@H]1C[C@@H](NC(=O)c2c([O-])cccc2F)CCO1 ZINC000584764170 354760588 /nfs/dbraw/zinc/76/05/88/354760588.db2.gz UUMMOWCHIVCHRW-IINYFYTJSA-N -1 1 319.336 1.915 20 0 DDADMM COCC1(C2CCC2)CN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000617986132 363420727 /nfs/dbraw/zinc/42/07/27/363420727.db2.gz DEAHSMDEPICTAO-UHFFFAOYSA-N -1 1 303.358 1.850 20 0 DDADMM COC(=O)c1ccc(CCC(=O)Nc2c(C)[n-][nH]c2=O)cc1 ZINC000590398393 355084524 /nfs/dbraw/zinc/08/45/24/355084524.db2.gz NRBXDTZJLXPOSL-UHFFFAOYSA-N -1 1 303.318 1.782 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC[C@H](C)OC)o1 ZINC000358874798 291065073 /nfs/dbraw/zinc/06/50/73/291065073.db2.gz JMYDTSXAANRZBJ-VIFPVBQESA-N -1 1 305.352 1.160 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@H]1CCCCO1 ZINC000592075680 355484780 /nfs/dbraw/zinc/48/47/80/355484780.db2.gz PEEUWFQJQYWAIV-NEPJUHHUSA-N -1 1 321.439 1.453 20 0 DDADMM CCn1c(CCNC(=O)c2cc(COC)on2)n[n-]c1=S ZINC000080173581 192009300 /nfs/dbraw/zinc/00/93/00/192009300.db2.gz BCQLDIGKOBCAHN-UHFFFAOYSA-N -1 1 311.367 1.067 20 0 DDADMM CCc1nc(SCC(=O)C2(C(=O)OC)CC2)[n-]c(=O)c1C ZINC000594903739 356351500 /nfs/dbraw/zinc/35/15/00/356351500.db2.gz PCRSHUFSJBRRDB-UHFFFAOYSA-N -1 1 310.375 1.667 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCC2(C)CC2)cc1C ZINC000595326883 356450326 /nfs/dbraw/zinc/45/03/26/356450326.db2.gz MGHLTQRQPCPESQ-UHFFFAOYSA-N -1 1 301.364 1.843 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCCOC(C)C)cc1C ZINC000595300466 356439086 /nfs/dbraw/zinc/43/90/86/356439086.db2.gz RLGYLQWALRVDKY-UHFFFAOYSA-N -1 1 319.379 1.468 20 0 DDADMM O=C(NCc1n[n-]c(=S)n1C1CC1)[C@H](O)c1ccccc1 ZINC000081354889 192255671 /nfs/dbraw/zinc/25/56/71/192255671.db2.gz JVZKGWLMRQCWRT-GFCCVEGCSA-N -1 1 304.375 1.625 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1cscn1 ZINC000081620163 192303961 /nfs/dbraw/zinc/30/39/61/192303961.db2.gz DPKYABUMLMOGQF-UHFFFAOYSA-N -1 1 306.391 1.762 20 0 DDADMM FC(F)(F)c1csc(=NC2CC(N3CCOCC3)C2)[n-]1 ZINC000624331765 366305951 /nfs/dbraw/zinc/30/59/51/366305951.db2.gz IYLSJFOUASRCDW-UHFFFAOYSA-N -1 1 307.341 1.859 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)C[C@@H]1CCCO1 ZINC000081651973 192308046 /nfs/dbraw/zinc/30/80/46/192308046.db2.gz RBGOSLDIOBMTMY-NSHDSACASA-N -1 1 307.394 1.627 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@@](O)(C2CC2)C1 ZINC000618294230 363569543 /nfs/dbraw/zinc/56/95/43/363569543.db2.gz JFHOWZLFCSLNKG-HNNXBMFYSA-N -1 1 307.419 1.516 20 0 DDADMM CC(C)(C)OC(=O)C1(CNc2nc3[nH][n-]cc-3c(=O)n2)CCC1 ZINC000596559190 356917528 /nfs/dbraw/zinc/91/75/28/356917528.db2.gz IHQARSWBMUISQS-UHFFFAOYSA-N -1 1 319.365 1.356 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CCCCSC)nc2n1 ZINC000598892977 357751901 /nfs/dbraw/zinc/75/19/01/357751901.db2.gz NFHIDGNALVATDP-UHFFFAOYSA-N -1 1 309.395 1.452 20 0 DDADMM CCCOc1ccc(C(=O)NCc2nn[n-]n2)cc1OCC ZINC000599334464 357897877 /nfs/dbraw/zinc/89/78/77/357897877.db2.gz OCIPJFQENLQRIP-UHFFFAOYSA-N -1 1 305.338 1.317 20 0 DDADMM COC(=O)C[C@@H](C)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000358894909 299272476 /nfs/dbraw/zinc/27/24/76/299272476.db2.gz AOEZIWYCVYTRRP-SSDOTTSWSA-N -1 1 301.726 1.736 20 0 DDADMM CCOC(=O)[C@@H](C)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601372155 358520828 /nfs/dbraw/zinc/52/08/28/358520828.db2.gz YTHXWQAMXLYZHS-ZETCQYMHSA-N -1 1 311.306 1.695 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2C[C@@H]2C2CCCC2)n[n-]1 ZINC000603021221 359363312 /nfs/dbraw/zinc/36/33/12/359363312.db2.gz JCKSXTVODPFADW-MNOVXSKESA-N -1 1 306.366 1.424 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2C[C@@H]2C2CCCC2)n1 ZINC000603021221 359363314 /nfs/dbraw/zinc/36/33/14/359363314.db2.gz JCKSXTVODPFADW-MNOVXSKESA-N -1 1 306.366 1.424 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2c(F)cccc2F)n[n-]1 ZINC000603159409 359445896 /nfs/dbraw/zinc/44/58/96/359445896.db2.gz BNGLHBWKSXZLTA-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2c(F)cccc2F)[n-]1 ZINC000603159409 359445900 /nfs/dbraw/zinc/44/59/00/359445900.db2.gz BNGLHBWKSXZLTA-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2c(F)cccc2F)n1 ZINC000603159409 359445903 /nfs/dbraw/zinc/44/59/03/359445903.db2.gz BNGLHBWKSXZLTA-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CC(C)OC(=O)[C@@H]([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2)C(C)C ZINC000603361969 359593123 /nfs/dbraw/zinc/59/31/23/359593123.db2.gz QCXKCQRYKNBHNI-RNJOBUHISA-N -1 1 319.423 1.202 20 0 DDADMM COC(=O)c1cnc(S[C@H](C)C(=O)NCC(F)(F)F)[n-]1 ZINC000187595440 200131884 /nfs/dbraw/zinc/13/18/84/200131884.db2.gz VZXIJVWWEORTDY-RXMQYKEDSA-N -1 1 311.285 1.355 20 0 DDADMM COC(=O)c1c[n-]c(S[C@H](C)C(=O)NCC(F)(F)F)n1 ZINC000187595440 200131885 /nfs/dbraw/zinc/13/18/85/200131885.db2.gz VZXIJVWWEORTDY-RXMQYKEDSA-N -1 1 311.285 1.355 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000187864777 200168445 /nfs/dbraw/zinc/16/84/45/200168445.db2.gz ZPPALRLBBDFZQA-HUUCEWRRSA-N -1 1 318.373 1.119 20 0 DDADMM Cc1nnc([C@@H]2CCCN(C(=O)C(=O)c3ccc([O-])cc3)C2)[nH]1 ZINC000281085329 216068587 /nfs/dbraw/zinc/06/85/87/216068587.db2.gz GLIOUCLGEXAZHK-GFCCVEGCSA-N -1 1 314.345 1.408 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCCCSC)o1 ZINC000188238880 200214494 /nfs/dbraw/zinc/21/44/94/200214494.db2.gz GGJBNWNMXGBBII-UHFFFAOYSA-N -1 1 320.436 1.451 20 0 DDADMM CCNC(=O)c1ccc(=NCCn2c(C)nc3ccccc32)[n-]n1 ZINC000348368456 283485245 /nfs/dbraw/zinc/48/52/45/283485245.db2.gz SPBXXLZDHWVCGG-UHFFFAOYSA-N -1 1 324.388 1.418 20 0 DDADMM CCSc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cn1 ZINC000605382059 359847732 /nfs/dbraw/zinc/84/77/32/359847732.db2.gz UWHATWHOSKUZJM-UHFFFAOYSA-N -1 1 318.406 1.906 20 0 DDADMM C[C@H](CN=c1[n-]c(-c2ccccc2)no1)CN1CCOCC1 ZINC000606155535 359903619 /nfs/dbraw/zinc/90/36/19/359903619.db2.gz HCQBZVYUHVGMDM-CYBMUJFWSA-N -1 1 302.378 1.539 20 0 DDADMM COc1ccc2sc(C(=O)NCc3nn[n-]n3)c(C)c2c1 ZINC000607905659 360064910 /nfs/dbraw/zinc/06/49/10/360064910.db2.gz CLBLIGRCQMMMQP-UHFFFAOYSA-N -1 1 303.347 1.661 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(C2CC2)nc2ccc(F)cc21 ZINC000608132575 360129052 /nfs/dbraw/zinc/12/90/52/360129052.db2.gz FWZLCFFHVLUVLK-UHFFFAOYSA-N -1 1 312.308 1.694 20 0 DDADMM Cc1nn(-c2ccc(Cl)cc2)cc1C(=O)NCc1nn[n-]n1 ZINC000608132558 360129524 /nfs/dbraw/zinc/12/95/24/360129524.db2.gz BRANRKHIBFOBTA-UHFFFAOYSA-N -1 1 317.740 1.277 20 0 DDADMM NC(=O)c1ccc(=NCCc2c[nH]c3cc(Cl)ccc23)[n-]n1 ZINC000608752872 360235750 /nfs/dbraw/zinc/23/57/50/360235750.db2.gz AZQCZZKOXYHQAE-UHFFFAOYSA-N -1 1 315.764 1.787 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCc2cc(F)ccc21 ZINC000281223077 216164765 /nfs/dbraw/zinc/16/47/65/216164765.db2.gz RJFSUFRMQIJHQE-NSHDSACASA-N -1 1 311.338 1.670 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)Nc1cccc(NC(C)=O)c1)C(=O)[O-] ZINC000262265871 283569338 /nfs/dbraw/zinc/56/93/38/283569338.db2.gz HGJPPZMJZFVIQB-QMTHXVAHSA-N -1 1 321.377 1.815 20 0 DDADMM CC(C)(C)OC(=O)c1ccc(C(=O)NCc2nn[n-]n2)cc1 ZINC000611293333 360692151 /nfs/dbraw/zinc/69/21/51/360692151.db2.gz ARCXHHYQJIYQCM-UHFFFAOYSA-N -1 1 303.322 1.085 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2CC[C@@H](OC)C2)c1Br ZINC000611773981 360821818 /nfs/dbraw/zinc/82/18/18/360821818.db2.gz ILEYRAVDXKQAPG-JGVFFNPUSA-N -1 1 316.199 1.910 20 0 DDADMM O=C(CCCc1cccnc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612816908 361156521 /nfs/dbraw/zinc/15/65/21/361156521.db2.gz NYBSZGFLFQORDL-ZDUSSCGKSA-N -1 1 300.366 1.324 20 0 DDADMM Cc1cnn(C)c1CN1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000292775057 223240991 /nfs/dbraw/zinc/24/09/91/223240991.db2.gz KWOYOINRDDICHE-GHMZBOCLSA-N -1 1 318.343 1.760 20 0 DDADMM COCCCn1nc(C(=O)Nc2c([O-])cccc2F)ccc1=O ZINC000613029530 361246032 /nfs/dbraw/zinc/24/60/32/361246032.db2.gz SACHXJMUUGFZHX-UHFFFAOYSA-N -1 1 321.308 1.377 20 0 DDADMM CC(C)[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C(C)(C)O ZINC000359078775 299322363 /nfs/dbraw/zinc/32/23/63/299322363.db2.gz LCVBDIKYYWIDGJ-GFCCVEGCSA-N -1 1 303.362 1.448 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)[C@H]3C[C@@]34CCOC4)[nH]c21 ZINC000613113800 361272435 /nfs/dbraw/zinc/27/24/35/361272435.db2.gz AFGAWIAPQVSNHA-QLJPJBMISA-N -1 1 315.329 1.715 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCC3(CC3)C2)c1 ZINC000613205880 361323578 /nfs/dbraw/zinc/32/35/78/361323578.db2.gz HITSUYKHRCVARD-SNVBAGLBSA-N -1 1 324.402 1.949 20 0 DDADMM C[C@@H]1CN(C(C)(C)CNC(=O)c2ncccc2[O-])C[C@@H](C)O1 ZINC000194652093 201269678 /nfs/dbraw/zinc/26/96/78/201269678.db2.gz MNWAOSASTXYYDE-VXGBXAGGSA-N -1 1 307.394 1.405 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)Nc2cc(C)cc(C)c2)n[n-]1 ZINC000195053571 201331920 /nfs/dbraw/zinc/33/19/20/201331920.db2.gz FACZKGDOJMWIIW-UHFFFAOYSA-N -1 1 322.390 1.396 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)[C@@H]2C[C@@H]2C)n[n-]1 ZINC000619244363 363942131 /nfs/dbraw/zinc/94/21/31/363942131.db2.gz ZSTXULVXWYGXJQ-AXFHLTTASA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)[C@@H]2C[C@@H]2C)[n-]1 ZINC000619244363 363942138 /nfs/dbraw/zinc/94/21/38/363942138.db2.gz ZSTXULVXWYGXJQ-AXFHLTTASA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)[C@@H]2C[C@@H]2C)n1 ZINC000619244363 363942146 /nfs/dbraw/zinc/94/21/46/363942146.db2.gz ZSTXULVXWYGXJQ-AXFHLTTASA-N -1 1 308.382 1.841 20 0 DDADMM C[C@@H]1C[C@@H](C)CN(S(=O)(=O)[N-]c2ccn(CC(F)F)n2)C1 ZINC000362019722 299986174 /nfs/dbraw/zinc/98/61/74/299986174.db2.gz VVCQSWCLIJHRBO-NXEZZACHSA-N -1 1 322.381 1.783 20 0 DDADMM O=C(NCC1(O)CCC(F)(F)CC1)C(=O)c1ccc([O-])cc1 ZINC000620321434 364372689 /nfs/dbraw/zinc/37/26/89/364372689.db2.gz QUFWDHZMXMSMID-UHFFFAOYSA-N -1 1 313.300 1.632 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1[C@H]2CCCCCC[C@H]12 ZINC000621990999 365174054 /nfs/dbraw/zinc/17/40/54/365174054.db2.gz CHCWOXYXJFWRCT-QWRGUYRKSA-N -1 1 304.394 1.655 20 0 DDADMM CCC(C)(C)c1ccc(C(=O)N=c2ncn(CC(=O)[O-])[nH]2)cc1 ZINC000622043631 365209103 /nfs/dbraw/zinc/20/91/03/365209103.db2.gz GIKPYJUGXYKXNC-UHFFFAOYSA-N -1 1 316.361 1.725 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-]Cc2nccn2C(F)F)s1 ZINC000359210276 299357058 /nfs/dbraw/zinc/35/70/58/299357058.db2.gz FTYLDQJNRNNTBG-UHFFFAOYSA-N -1 1 322.362 1.776 20 0 DDADMM COCCN1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000622369724 365385815 /nfs/dbraw/zinc/38/58/15/365385815.db2.gz ZMIOXHUZZUJRIC-UHFFFAOYSA-N -1 1 300.305 1.075 20 0 DDADMM CN(CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)c1ccccc1 ZINC000622609574 365450214 /nfs/dbraw/zinc/45/02/14/365450214.db2.gz CCRBULPPIAAOHA-INIZCTEOSA-N -1 1 322.372 1.542 20 0 DDADMM C/C=C\CNS(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000625559316 367013976 /nfs/dbraw/zinc/01/39/76/367013976.db2.gz YJWQFTRCNGHGGY-ARJAWSKDSA-N -1 1 322.383 1.052 20 0 DDADMM Cc1cn(CC(=O)Nc2ccncc2[O-])nc1C(F)(F)F ZINC000626291091 367464830 /nfs/dbraw/zinc/46/48/30/367464830.db2.gz TZRKHZRWAHJMFU-UHFFFAOYSA-N -1 1 300.240 1.372 20 0 DDADMM Cc1cc(C)c(C(=O)NCc2nn[n-]n2)c(C)c1Br ZINC000626465684 367561877 /nfs/dbraw/zinc/56/18/77/367561877.db2.gz PYLPCEAYNJFKPI-UHFFFAOYSA-N -1 1 324.182 1.817 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC000635017409 422770433 /nfs/dbraw/zinc/77/04/33/422770433.db2.gz HNQZBTUBDRHVCW-VHSXEESVSA-N -1 1 310.316 1.440 20 0 DDADMM CCCn1nccc1NC(=O)CN1CCCC[C@H]1CCC(=O)[O-] ZINC000635018603 422770770 /nfs/dbraw/zinc/77/07/70/422770770.db2.gz OWXWPQOYFOZCFK-ZDUSSCGKSA-N -1 1 322.409 1.951 20 0 DDADMM CC[C@H](C)N[C@@H](CNC(=O)N=c1cccn[n-]1)c1ccco1 ZINC000349865647 284083239 /nfs/dbraw/zinc/08/32/39/284083239.db2.gz ASYDEIKHDDIJID-RYUDHWBXSA-N -1 1 303.366 1.742 20 0 DDADMM O=C([O-])CNC(=O)CN1CC[C@H](CSc2ccccc2)C1 ZINC000262296575 203252873 /nfs/dbraw/zinc/25/28/73/203252873.db2.gz ZRCOXVNXZUXUSU-LBPRGKRZSA-N -1 1 308.403 1.301 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)C(=O)[O-] ZINC000262300943 203254336 /nfs/dbraw/zinc/25/43/36/203254336.db2.gz QDSOZPWOJKCZSW-KWQFWETISA-N -1 1 320.349 1.026 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC000635017408 422772226 /nfs/dbraw/zinc/77/22/26/422772226.db2.gz HNQZBTUBDRHVCW-UWVGGRQHSA-N -1 1 310.316 1.440 20 0 DDADMM O=C(c1conc1C1CC1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000350833174 284268273 /nfs/dbraw/zinc/26/82/73/284268273.db2.gz OKJFPTRZHKEGJB-VIFPVBQESA-N -1 1 304.306 1.660 20 0 DDADMM CCN(CC)C(=O)CCC(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000282528313 217065030 /nfs/dbraw/zinc/06/50/30/217065030.db2.gz BUXKQASKVGMFFL-UHFFFAOYSA-N -1 1 322.361 1.766 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccc2nsnc21)C(F)(F)F ZINC000288352746 220102286 /nfs/dbraw/zinc/10/22/86/220102286.db2.gz IXUNAJCIYXPXMH-RXMQYKEDSA-N -1 1 311.310 1.920 20 0 DDADMM C[C@@H](CO)SCC(=O)[N-]C(=O)c1ccc(OC(F)F)cc1 ZINC000266218759 205192387 /nfs/dbraw/zinc/19/23/87/205192387.db2.gz DEXQTSMLYSWQLD-QMMMGPOBSA-N -1 1 319.329 1.658 20 0 DDADMM O=C(CCc1ccsc1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282890495 217305331 /nfs/dbraw/zinc/30/53/31/217305331.db2.gz KNEGTJOJYIUTBO-LLVKDONJSA-N -1 1 306.391 1.498 20 0 DDADMM CO[C@H](C)c1nc(=NCc2n[nH]c(C3CCOCC3)n2)s[n-]1 ZINC000631459797 422777311 /nfs/dbraw/zinc/77/73/11/422777311.db2.gz IGBLWCSFGMBLNU-MRVPVSSYSA-N -1 1 324.410 1.292 20 0 DDADMM CO[C@H](C)c1nc(=NCc2nc(C3CCOCC3)n[nH]2)s[n-]1 ZINC000631459797 422777307 /nfs/dbraw/zinc/77/73/07/422777307.db2.gz IGBLWCSFGMBLNU-MRVPVSSYSA-N -1 1 324.410 1.292 20 0 DDADMM O=C([N-]c1nnc(C(F)(F)F)s1)c1cnn2cccnc12 ZINC000341080045 284442718 /nfs/dbraw/zinc/44/27/18/284442718.db2.gz RHAHTYNQEDGWEV-UHFFFAOYSA-N -1 1 314.252 1.852 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C1CC1 ZINC000267733270 206249277 /nfs/dbraw/zinc/24/92/77/206249277.db2.gz KLZKNCDZESIUCA-LLVKDONJSA-N -1 1 323.292 1.334 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2C[C@@H]3C[C@H]2CO3)c(=O)[n-]1 ZINC000629711347 422789829 /nfs/dbraw/zinc/78/98/29/422789829.db2.gz FCSLTIABVLLGLC-UWVGGRQHSA-N -1 1 309.391 1.145 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc(Cl)ccc1F ZINC000337132154 249359977 /nfs/dbraw/zinc/35/99/77/249359977.db2.gz LCFUDEQDZVRPPV-UHFFFAOYSA-N -1 1 310.716 1.544 20 0 DDADMM CCCN(CC)C(=O)c1ccc(C(=O)Nc2nnn[n-]2)cc1 ZINC000104852488 194046258 /nfs/dbraw/zinc/04/62/58/194046258.db2.gz PPOSXILFIPZTCF-UHFFFAOYSA-N -1 1 302.338 1.324 20 0 DDADMM CCCN(CC)C(=O)c1ccc(C(=O)Nc2nn[n-]n2)cc1 ZINC000104852488 194046261 /nfs/dbraw/zinc/04/62/61/194046261.db2.gz PPOSXILFIPZTCF-UHFFFAOYSA-N -1 1 302.338 1.324 20 0 DDADMM C[C@@H]1OCC[C@]1(O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284660385 218119217 /nfs/dbraw/zinc/11/92/17/218119217.db2.gz MRDZYLLZYJQJRH-UFBFGSQYSA-N -1 1 323.773 1.297 20 0 DDADMM CC(C)(C)N1C[C@H]([N-]S(=O)(=O)c2sccc2F)CC1=O ZINC000338919959 250195171 /nfs/dbraw/zinc/19/51/71/250195171.db2.gz JCWVZIJDSVNKKS-MRVPVSSYSA-N -1 1 320.411 1.565 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2ccncc2C)sc1C ZINC000339041719 250263396 /nfs/dbraw/zinc/26/33/96/250263396.db2.gz FOPCJFAZJMCJPS-UHFFFAOYSA-N -1 1 311.432 1.984 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2nc(C(C)C)no2)[n-]1 ZINC000339173587 250327996 /nfs/dbraw/zinc/32/79/96/250327996.db2.gz SKBKEUDUKQDZEL-UHFFFAOYSA-N -1 1 321.337 1.664 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2nc(C(C)C)no2)n1 ZINC000339173587 250328004 /nfs/dbraw/zinc/32/80/04/250328004.db2.gz SKBKEUDUKQDZEL-UHFFFAOYSA-N -1 1 321.337 1.664 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cn2c(C)c(C)sc2=O)n1 ZINC000339165798 250323680 /nfs/dbraw/zinc/32/36/80/250323680.db2.gz ASDHVMXFJPOSFX-UHFFFAOYSA-N -1 1 324.362 1.065 20 0 DDADMM CC[C@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1cccs1 ZINC000352172332 284839352 /nfs/dbraw/zinc/83/93/52/284839352.db2.gz UQDNXSWIVQEQSO-ZETCQYMHSA-N -1 1 309.347 1.839 20 0 DDADMM O=C([O-])[C@H]1CC[N@@H+](CC(=O)Nc2ccc(F)c(Cl)c2)C1 ZINC000062134608 184208604 /nfs/dbraw/zinc/20/86/04/184208604.db2.gz MWHRBKRSXPGONL-QMMMGPOBSA-N -1 1 300.717 1.824 20 0 DDADMM O=C([O-])[C@H]1CCN(CC(=O)Nc2ccc(F)c(Cl)c2)C1 ZINC000062134608 184208605 /nfs/dbraw/zinc/20/86/05/184208605.db2.gz MWHRBKRSXPGONL-QMMMGPOBSA-N -1 1 300.717 1.824 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCc1ccoc1 ZINC000064337259 184294650 /nfs/dbraw/zinc/29/46/50/184294650.db2.gz BZZMSGVJJSQUIR-UHFFFAOYSA-N -1 1 314.345 1.894 20 0 DDADMM COCCCNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000064334980 184294757 /nfs/dbraw/zinc/29/47/57/184294757.db2.gz GXXXRBSKSDOCBR-UHFFFAOYSA-N -1 1 306.366 1.137 20 0 DDADMM COCC[C@H](COC)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000352515411 285106598 /nfs/dbraw/zinc/10/65/98/285106598.db2.gz QIKGODSTJCPFHL-SNVBAGLBSA-N -1 1 309.334 1.295 20 0 DDADMM Cc1ccc(NC(=O)CNC(=O)c2ncccc2[O-])c(C)c1O ZINC000352648548 285196783 /nfs/dbraw/zinc/19/67/83/285196783.db2.gz VKNKXTILRXDBSI-UHFFFAOYSA-N -1 1 315.329 1.478 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(-c2cccs2)n[nH]1)c1nn[n-]n1 ZINC000156560356 197124207 /nfs/dbraw/zinc/12/42/07/197124207.db2.gz ULNJDYGMPRLDFL-MRVPVSSYSA-N -1 1 317.378 1.527 20 0 DDADMM O=C([N-]OCCC(F)(F)F)[C@@H]1CC(=O)N(Cc2ccco2)C1 ZINC000155049828 197016668 /nfs/dbraw/zinc/01/66/68/197016668.db2.gz YBGZWGVZSALMMG-SECBINFHSA-N -1 1 320.267 1.628 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)Cc2ccccc2Cl)n1 ZINC000352749800 285274421 /nfs/dbraw/zinc/27/44/21/285274421.db2.gz SXLKRBOXBQWVJM-UHFFFAOYSA-N -1 1 314.754 1.043 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)Cc2ccccc2Cl)[n-]1 ZINC000352749800 285274422 /nfs/dbraw/zinc/27/44/22/285274422.db2.gz SXLKRBOXBQWVJM-UHFFFAOYSA-N -1 1 314.754 1.043 20 0 DDADMM CCC(CC)(CO)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000120889394 195258071 /nfs/dbraw/zinc/25/80/71/195258071.db2.gz ADMLVXZONAFIOV-UHFFFAOYSA-N -1 1 303.362 1.452 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C[C@H]2C[C@H]2c2ccccc2)n1 ZINC000352798520 285308211 /nfs/dbraw/zinc/30/82/11/285308211.db2.gz UNKCAFCVDRZXPR-MNOVXSKESA-N -1 1 320.374 1.341 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C[C@H]2C[C@H]2c2ccccc2)[n-]1 ZINC000352798520 285308214 /nfs/dbraw/zinc/30/82/14/285308214.db2.gz UNKCAFCVDRZXPR-MNOVXSKESA-N -1 1 320.374 1.341 20 0 DDADMM CN(C)C(=O)C1(NC(=O)c2ccc(C(F)(F)F)cc2[O-])CC1 ZINC000413920263 224275244 /nfs/dbraw/zinc/27/52/44/224275244.db2.gz QTMZHEMMTLMPOI-UHFFFAOYSA-N -1 1 316.279 1.762 20 0 DDADMM O=c1[n-]nc(C2CCN(C[C@H](O)c3ccccc3F)CC2)o1 ZINC000294879641 224281785 /nfs/dbraw/zinc/28/17/85/224281785.db2.gz AAFMTRRCLIHBHW-ZDUSSCGKSA-N -1 1 307.325 1.415 20 0 DDADMM Cc1ccccc1[C@@H]1CN(C(=O)CCc2nn[n-]n2)[C@H](C)CO1 ZINC000631514939 422809379 /nfs/dbraw/zinc/80/93/79/422809379.db2.gz BRCDUEBAMUOLSM-OCCSQVGLSA-N -1 1 315.377 1.429 20 0 DDADMM O=C(C[C@H]1COC(=O)C1)Nc1nc(Br)ccc1[O-] ZINC000121600436 195313393 /nfs/dbraw/zinc/31/33/93/195313393.db2.gz NOKYDFJKPLUFOM-ZCFIWIBFSA-N -1 1 315.123 1.441 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2nc(C)c(C)s2)CCC1 ZINC000446922622 533256170 /nfs/dbraw/zinc/25/61/70/533256170.db2.gz ZJEXLBWBRDLTOW-UHFFFAOYSA-N -1 1 304.393 1.134 20 0 DDADMM Cc1ocnc1C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352951825 285409859 /nfs/dbraw/zinc/40/98/59/285409859.db2.gz GVFLCDKGRNULJC-UHFFFAOYSA-N -1 1 321.152 1.817 20 0 DDADMM COc1cc(C=CC(=O)NC(C)(C)c2nn[n-]n2)cc(OC)c1 ZINC000491906673 533476493 /nfs/dbraw/zinc/47/64/93/533476493.db2.gz RLNHCQAEPMZXFZ-WAYWQWQTSA-N -1 1 317.349 1.282 20 0 DDADMM COC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000416611212 533539125 /nfs/dbraw/zinc/53/91/25/533539125.db2.gz BDHBYRPKURMADU-KNVOCYPGSA-N -1 1 323.292 1.334 20 0 DDADMM Cc1cc(-n2ccnc2)ccc1CN1CCO[C@H](C(=O)[O-])C1 ZINC000568874568 304318027 /nfs/dbraw/zinc/31/80/27/304318027.db2.gz XRUNYEFUKGGVIP-HNNXBMFYSA-N -1 1 301.346 1.466 20 0 DDADMM COc1cncc(C(=O)[N-]c2nnc([C@H]3CCCO3)s2)n1 ZINC000636359317 422819038 /nfs/dbraw/zinc/81/90/38/422819038.db2.gz QGBGGBWVFGGGEK-MRVPVSSYSA-N -1 1 307.335 1.441 20 0 DDADMM CCO[C@@H](C)c1nc(CN(C)C(=O)CCc2nn[n-]n2)cs1 ZINC000631538576 422819999 /nfs/dbraw/zinc/81/99/99/422819999.db2.gz SXKKRSGHDIPZHB-VIFPVBQESA-N -1 1 324.410 1.345 20 0 DDADMM CCc1cccc(OCC(=O)Nc2[nH]cnc2C(=O)OC)c1 ZINC000569499415 304358669 /nfs/dbraw/zinc/35/86/69/304358669.db2.gz BXQCCXLKIVTFRC-UHFFFAOYSA-N -1 1 303.318 1.776 20 0 DDADMM C[C@@H](OC[C@H]1CCCO1)C(=O)Nc1nc(-c2ccco2)n[n-]1 ZINC000069127661 406682993 /nfs/dbraw/zinc/68/29/93/406682993.db2.gz GFFMWTJEGHKJQE-NXEZZACHSA-N -1 1 306.322 1.009 20 0 DDADMM O=C(Cc1ccc(-n2cccn2)cc1)[N-]O[C@@H]1CCCCO1 ZINC000072059848 406873021 /nfs/dbraw/zinc/87/30/21/406873021.db2.gz CPHNWDUYVAXGAC-MRXNPFEDSA-N -1 1 301.346 1.989 20 0 DDADMM Cc1cc(C(=O)Nc2ccsc2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000073771156 406893710 /nfs/dbraw/zinc/89/37/10/406893710.db2.gz WCICKTXCLVUCRX-UHFFFAOYSA-N -1 1 302.315 1.646 20 0 DDADMM COC(=O)[C@@](C)([N-]S(=O)(=O)c1cc(F)ccc1F)C1CC1 ZINC000076299752 406962138 /nfs/dbraw/zinc/96/21/38/406962138.db2.gz NZIJWWOHLQBLBA-ZDUSSCGKSA-N -1 1 319.329 1.585 20 0 DDADMM CC[C@@H](C)N1CCN(C(=O)NC[C@@H](CC(C)C)C(=O)[O-])CC1 ZINC000316446151 286778300 /nfs/dbraw/zinc/77/83/00/286778300.db2.gz NOZSVJICWTUMSK-ZIAGYGMSSA-N -1 1 313.442 1.859 20 0 DDADMM CCc1nc(S(=O)(=O)[C@H](C)C(=O)Nc2ccccc2)n[n-]1 ZINC000086170396 407108920 /nfs/dbraw/zinc/10/89/20/407108920.db2.gz OQMUFPNOMUQGAT-SECBINFHSA-N -1 1 308.363 1.168 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(Cc2ccon2)CC1 ZINC000080031671 407069231 /nfs/dbraw/zinc/06/92/31/407069231.db2.gz KQRWHPKLRVOFHE-UHFFFAOYSA-N -1 1 305.309 1.477 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc(Br)c([O-])c2)C[C@H]1O ZINC000089461342 407135574 /nfs/dbraw/zinc/13/55/74/407135574.db2.gz NEOVSFJBBBFTAN-QPUJVOFHSA-N -1 1 314.179 1.998 20 0 DDADMM C[C@H](CC(=O)[N-]OCC(N)=O)c1ccc(Br)cc1 ZINC000089462017 407136260 /nfs/dbraw/zinc/13/62/60/407136260.db2.gz QGUXWKQXYACZLM-MRVPVSSYSA-N -1 1 315.167 1.476 20 0 DDADMM COCCCN(CCOC)Cc1nc(=O)c2sccc2[n-]1 ZINC000054364777 407183444 /nfs/dbraw/zinc/18/34/44/407183444.db2.gz LTWIQRFGATUGDG-UHFFFAOYSA-N -1 1 311.407 1.470 20 0 DDADMM Cc1nn(C)c(C)c1CC(=O)NCc1n[n-]c(=S)n1C(C)C ZINC000066640888 407256240 /nfs/dbraw/zinc/25/62/40/407256240.db2.gz OSAOTIJKFQHGBS-UHFFFAOYSA-N -1 1 322.438 1.731 20 0 DDADMM CCn1c(CCNC(=O)CSC(F)(F)F)n[n-]c1=S ZINC000067075848 407267119 /nfs/dbraw/zinc/26/71/19/407267119.db2.gz DIWRNSGEHRPWFF-UHFFFAOYSA-N -1 1 314.358 1.872 20 0 DDADMM CC(C)c1ncc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000096485687 407271287 /nfs/dbraw/zinc/27/12/87/407271287.db2.gz IJTJOMHHUXFHHJ-SECBINFHSA-N -1 1 306.395 1.799 20 0 DDADMM CCc1cc(NC(=O)c2cc(NC(C)=O)ccc2[O-])n(C)n1 ZINC000122576865 407305641 /nfs/dbraw/zinc/30/56/41/407305641.db2.gz DHLYTOCXBKJTFN-UHFFFAOYSA-N -1 1 302.334 1.899 20 0 DDADMM CCC[C@@H](NC(=O)c1sc(COC)nc1C)c1nn[n-]n1 ZINC000122731139 407308945 /nfs/dbraw/zinc/30/89/45/407308945.db2.gz BULBMBOCKSZTKP-MRVPVSSYSA-N -1 1 310.383 1.382 20 0 DDADMM O=S(=O)([N-][C@@H]1C=C[C@H](CO)C1)c1cc(F)c(F)cc1F ZINC000124604018 407363649 /nfs/dbraw/zinc/36/36/49/407363649.db2.gz ILFGLVNXSBFZEA-JGVFFNPUSA-N -1 1 307.293 1.319 20 0 DDADMM Cc1cc(C)c(S(=O)(=O)N(C)CCN(C)C)cc1C(=O)[O-] ZINC000107062609 407369234 /nfs/dbraw/zinc/36/92/34/407369234.db2.gz DHNXJGGBHLTWSO-UHFFFAOYSA-N -1 1 314.407 1.184 20 0 DDADMM CCCC(=O)NC[C@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000111594510 407411927 /nfs/dbraw/zinc/41/19/27/407411927.db2.gz VVWKUPHANMDILU-GFCCVEGCSA-N -1 1 305.378 1.556 20 0 DDADMM CCc1c(C(=O)Nc2nnn[n-]2)cnn1-c1ccc(OC)cc1 ZINC000185759781 407497539 /nfs/dbraw/zinc/49/75/39/407497539.db2.gz GHUDWKLZOODAEG-UHFFFAOYSA-N -1 1 313.321 1.209 20 0 DDADMM CCc1c(C(=O)Nc2nn[n-]n2)cnn1-c1ccc(OC)cc1 ZINC000185759781 407497546 /nfs/dbraw/zinc/49/75/46/407497546.db2.gz GHUDWKLZOODAEG-UHFFFAOYSA-N -1 1 313.321 1.209 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2ccccc2C)c1 ZINC000151499542 407448608 /nfs/dbraw/zinc/44/86/08/407448608.db2.gz YUXCPDXWTHQDNE-UHFFFAOYSA-N -1 1 323.370 1.896 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@H](O)C[C@@H]1c1ccc(F)cc1 ZINC000225566148 407598462 /nfs/dbraw/zinc/59/84/62/407598462.db2.gz LDXCNIYEKCCKFV-HUUCEWRRSA-N -1 1 302.305 1.874 20 0 DDADMM COc1ccc(F)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129521665 407615149 /nfs/dbraw/zinc/61/51/49/407615149.db2.gz BECLAMNKCBGLPN-SECBINFHSA-N -1 1 305.313 1.367 20 0 DDADMM COC(=O)c1cc(CN(C)C(=O)c2ncccc2[O-])oc1C ZINC000171238206 407636053 /nfs/dbraw/zinc/63/60/53/407636053.db2.gz PNKJFEIJNGZVPW-UHFFFAOYSA-N -1 1 304.302 1.747 20 0 DDADMM CCCC[C@H](C(=O)Nc1cc(C)on1)N1CC[C@H](C(=O)[O-])C1 ZINC000178894359 407642842 /nfs/dbraw/zinc/64/28/42/407642842.db2.gz XEVRAQWSKMWGIZ-NWDGAFQWSA-N -1 1 309.366 1.887 20 0 DDADMM CC(=O)CCCC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000186396418 407657903 /nfs/dbraw/zinc/65/79/03/407657903.db2.gz SFYGIKLPFPNNHZ-UHFFFAOYSA-N -1 1 304.350 1.015 20 0 DDADMM CC1(C)[C@H](O)C[C@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000130159496 407658567 /nfs/dbraw/zinc/65/85/67/407658567.db2.gz KODQNDPBQCZNGG-RKDXNWHRSA-N -1 1 309.309 1.542 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCO[C@@H](C)C2)c1 ZINC000271239071 407592223 /nfs/dbraw/zinc/59/22/23/407592223.db2.gz CSGKCEHMFZGURH-VHSXEESVSA-N -1 1 317.363 1.160 20 0 DDADMM COCCC(=O)N1CCC(NC(=O)c2c([O-])cccc2F)CC1 ZINC000130240049 407667106 /nfs/dbraw/zinc/66/71/06/407667106.db2.gz DERLWNZUFMDGDK-UHFFFAOYSA-N -1 1 324.352 1.289 20 0 DDADMM C[C@@H](c1nccs1)N1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000186455063 407671467 /nfs/dbraw/zinc/67/14/67/407671467.db2.gz IKFNYQUUMHNFPO-NSHDSACASA-N -1 1 318.402 1.763 20 0 DDADMM C[C@H]1CO[C@H](c2ccccc2)C[N@@H+]1CCCOC(=O)NC(N)=O ZINC000271504776 407706601 /nfs/dbraw/zinc/70/66/01/407706601.db2.gz LATQGMIRGGTOSE-JSGCOSHPSA-N -1 1 321.377 1.643 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCC(=O)N(C)C1 ZINC000267020584 407719692 /nfs/dbraw/zinc/71/96/92/407719692.db2.gz CRWXXYKTEIUVAC-SNVBAGLBSA-N -1 1 320.393 1.139 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@]1(C)CCCO1 ZINC000153036912 407740017 /nfs/dbraw/zinc/74/00/17/407740017.db2.gz HDRXXZWCXXSVHA-INIZCTEOSA-N -1 1 307.394 1.675 20 0 DDADMM O=C(NC1CCN(C(=O)c2ccco2)CC1)c1cncc([O-])c1 ZINC000171860965 407783767 /nfs/dbraw/zinc/78/37/67/407783767.db2.gz FKDPPAQURDGQOB-UHFFFAOYSA-N -1 1 315.329 1.415 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1C[C@H]3CCCC[C@@H]3C1)c2=O ZINC000179538424 407803430 /nfs/dbraw/zinc/80/34/30/407803430.db2.gz LHTLRICLYLSPGY-GHMZBOCLSA-N -1 1 300.362 1.936 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)N(CC(C)C)C(C)C)n[n-]1 ZINC000133421939 407841810 /nfs/dbraw/zinc/84/18/10/407841810.db2.gz AGRPHJBHLSQWHI-UHFFFAOYSA-N -1 1 316.427 1.034 20 0 DDADMM Cn1cnnc1C1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000272187972 407810622 /nfs/dbraw/zinc/81/06/22/407810622.db2.gz DWKJONCQSRQCFL-UHFFFAOYSA-N -1 1 304.325 1.680 20 0 DDADMM CC(C)(C)C(=O)C[N-]S(=O)(=O)Cc1noc2ccccc21 ZINC000133404115 407840991 /nfs/dbraw/zinc/84/09/91/407840991.db2.gz ZRTJJHIXNZFWLS-UHFFFAOYSA-N -1 1 310.375 1.862 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)CN1CC[C@H](C(=O)[O-])C1 ZINC000262637083 407885816 /nfs/dbraw/zinc/88/58/16/407885816.db2.gz IDZIADGGVUKPFA-NEPJUHHUSA-N -1 1 306.362 1.279 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)N2CC(N(C)C)C2)n1 ZINC000135045447 407967473 /nfs/dbraw/zinc/96/74/73/407967473.db2.gz LZJFZGBDHSKVQL-UHFFFAOYSA-N -1 1 314.389 1.526 20 0 DDADMM CCO[C@@H](CCNC(=O)c1c[n-]c2c(cnn2C)c1=O)C(C)C ZINC000119306986 408034607 /nfs/dbraw/zinc/03/46/07/408034607.db2.gz GHRWASJILDHFFX-ZDUSSCGKSA-N -1 1 320.393 1.855 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(c2cnccn2)CC1 ZINC000175191373 408074392 /nfs/dbraw/zinc/07/43/92/408074392.db2.gz DMNJOAAMRUCMAM-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C)nc2c1cnn2C)c1nn[n-]n1 ZINC000136740092 408122352 /nfs/dbraw/zinc/12/23/52/408122352.db2.gz LKBKRZGBYOASAN-NSHDSACASA-N -1 1 314.353 1.061 20 0 DDADMM COc1cccc(-c2cc(C(=O)N(C)CCC(=O)[O-])n[nH]2)c1 ZINC000263575342 408181339 /nfs/dbraw/zinc/18/13/39/408181339.db2.gz OWECKRARUBKGGG-UHFFFAOYSA-N -1 1 303.318 1.632 20 0 DDADMM CC(=O)Nc1ccc(F)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000273579862 408254684 /nfs/dbraw/zinc/25/46/84/408254684.db2.gz OFRXZLQEMXJVGV-QMMMGPOBSA-N -1 1 320.328 1.173 20 0 DDADMM CN(C(=O)c1ccc(C(=O)Nc2nnn[n-]2)cc1)c1ccccc1 ZINC000122142409 408258470 /nfs/dbraw/zinc/25/84/70/408258470.db2.gz BHLJMLOMKMEPAB-UHFFFAOYSA-N -1 1 322.328 1.729 20 0 DDADMM CN(C(=O)c1ccc(C(=O)Nc2nn[n-]n2)cc1)c1ccccc1 ZINC000122142409 408258477 /nfs/dbraw/zinc/25/84/77/408258477.db2.gz BHLJMLOMKMEPAB-UHFFFAOYSA-N -1 1 322.328 1.729 20 0 DDADMM CN(C(=O)c1nn(-c2ccccc2)cc1[O-])[C@@H]1CCC[N@@H+](C)C1 ZINC000175839885 408221236 /nfs/dbraw/zinc/22/12/36/408221236.db2.gz VYYASNHVQQWRJE-CQSZACIVSA-N -1 1 314.389 1.744 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)C[S@](C)=O)cnc2n1 ZINC000182912210 408281773 /nfs/dbraw/zinc/28/17/73/408281773.db2.gz PGIFGJAUPZGVFV-BTKVJGODSA-N -1 1 307.375 1.141 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)C[S@](C)=O)c2=O ZINC000182912210 408281776 /nfs/dbraw/zinc/28/17/76/408281776.db2.gz PGIFGJAUPZGVFV-BTKVJGODSA-N -1 1 307.375 1.141 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](C)C(C)(C)C2)co1 ZINC000273685191 408286517 /nfs/dbraw/zinc/28/65/17/408286517.db2.gz MRXJTTCWMOMRIZ-SNVBAGLBSA-N -1 1 314.407 1.696 20 0 DDADMM Cn1nc2n(c1=O)CCN(C(=O)c1ccc3ccccc3c1[O-])C2 ZINC000131139996 162005293 /nfs/dbraw/zinc/00/52/93/162005293.db2.gz ZSFPPBTXVCTGSC-UHFFFAOYSA-N -1 1 324.340 1.097 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(=O)OC ZINC000133017390 162049106 /nfs/dbraw/zinc/04/91/06/162049106.db2.gz UXYYYEVRFVGVMJ-SECBINFHSA-N -1 1 309.746 1.709 20 0 DDADMM CCOCCC(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000263983185 408335383 /nfs/dbraw/zinc/33/53/83/408335383.db2.gz JXKIYTYASFJEFE-UHFFFAOYSA-N -1 1 316.379 1.432 20 0 DDADMM O=C(CSCC(=O)c1ccc(Cl)cc1)Nc1nnn[n-]1 ZINC000176342489 408345429 /nfs/dbraw/zinc/34/54/29/408345429.db2.gz KRCRYSGCRQUBRD-UHFFFAOYSA-N -1 1 311.754 1.408 20 0 DDADMM O=C(CSCC(=O)c1ccc(Cl)cc1)Nc1nn[n-]n1 ZINC000176342489 408345433 /nfs/dbraw/zinc/34/54/33/408345433.db2.gz KRCRYSGCRQUBRD-UHFFFAOYSA-N -1 1 311.754 1.408 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](CO)CC(C)(C)C)o1 ZINC000269674278 408388250 /nfs/dbraw/zinc/38/82/50/408388250.db2.gz CIIHAKYGWRITQN-VIFPVBQESA-N -1 1 319.379 1.142 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1c(F)cccc1Cl)c1nn[n-]n1 ZINC000183408458 408402154 /nfs/dbraw/zinc/40/21/54/408402154.db2.gz OISGHSCDGXGULZ-QMMMGPOBSA-N -1 1 311.748 1.797 20 0 DDADMM C[C@@H](CN(C)C(=O)c1scnc1C(C)(C)C)c1nn[n-]n1 ZINC000183431029 408410909 /nfs/dbraw/zinc/41/09/09/408410909.db2.gz IQDDSZKDZKECDS-QMMMGPOBSA-N -1 1 308.411 1.829 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@@H]1c1cc(F)ccc1F)c1nn[n-]n1 ZINC000183430488 408411282 /nfs/dbraw/zinc/41/12/82/408411282.db2.gz FYVYRSAJWZOLSY-HLUHBDAQSA-N -1 1 321.331 1.844 20 0 DDADMM COc1cccc(CCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183441347 408412964 /nfs/dbraw/zinc/41/29/64/408412964.db2.gz SBQDYRMMKOHGEI-NSHDSACASA-N -1 1 303.366 1.403 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)Oc1ccccc1Cl)c1nn[n-]n1 ZINC000183450356 408416381 /nfs/dbraw/zinc/41/63/81/408416381.db2.gz LDTHFWSNTSUGNO-ZJUUUORDSA-N -1 1 323.784 1.883 20 0 DDADMM C[C@H](NC(=O)c1cc(Br)ccc1[O-])c1nc[nH]n1 ZINC000176752527 408433146 /nfs/dbraw/zinc/43/31/46/408433146.db2.gz ARCZIYNBRLEYOM-LURJTMIESA-N -1 1 311.139 1.764 20 0 DDADMM Cc1ccc2oc(C(=O)N(C)C[C@H](C)c3nn[n-]n3)cc2n1 ZINC000274761138 408521704 /nfs/dbraw/zinc/52/17/04/408521704.db2.gz JSEBCRDICNXQDX-QMMMGPOBSA-N -1 1 300.322 1.525 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@]2(C)CCCS2)c1 ZINC000269892854 408461187 /nfs/dbraw/zinc/46/11/87/408461187.db2.gz BWHQGCYEDPSWKJ-GFCCVEGCSA-N -1 1 319.404 1.630 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@@H]1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000248562231 408587770 /nfs/dbraw/zinc/58/77/70/408587770.db2.gz NZSARPMRJCXLHB-AXFHLTTASA-N -1 1 305.407 1.935 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc([C@H]2CCOC2)c1)c1nn[n-]n1 ZINC000270635546 408611769 /nfs/dbraw/zinc/61/17/69/408611769.db2.gz JJKGTATWXCYQTG-UONOGXRCSA-N -1 1 315.377 1.975 20 0 DDADMM O=C(NC[C@H](O)COc1ccc(F)cc1)c1c([O-])cccc1F ZINC000184465843 408622079 /nfs/dbraw/zinc/62/20/79/408622079.db2.gz YFTFADNBJWEQOD-NSHDSACASA-N -1 1 323.295 1.840 20 0 DDADMM Cc1ccc(NC(=O)CS[C@H](C)C(=O)Nc2nnn[n-]2)cc1 ZINC000265451613 408657853 /nfs/dbraw/zinc/65/78/53/408657853.db2.gz DAPBQHULLZYUCC-SECBINFHSA-N -1 1 320.378 1.207 20 0 DDADMM Cc1ccc(NC(=O)CS[C@H](C)C(=O)Nc2nn[n-]n2)cc1 ZINC000265451613 408657858 /nfs/dbraw/zinc/65/78/58/408657858.db2.gz DAPBQHULLZYUCC-SECBINFHSA-N -1 1 320.378 1.207 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(Cl)cn1)N1CCC[C@H](C(=O)[O-])C1 ZINC000164644252 408803227 /nfs/dbraw/zinc/80/32/27/408803227.db2.gz VVOTXCUIOGHCLL-UWVGGRQHSA-N -1 1 311.769 1.859 20 0 DDADMM CC(=O)N(C)C1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000280829078 408841772 /nfs/dbraw/zinc/84/17/72/408841772.db2.gz SPMKJAXQTXYGEQ-UHFFFAOYSA-N -1 1 312.316 1.753 20 0 DDADMM COCC[C@@H](CO)NC(=O)c1cc(Br)ccc1[O-] ZINC000281818167 408934681 /nfs/dbraw/zinc/93/46/81/408934681.db2.gz XECNGTHDFOIJQQ-VIFPVBQESA-N -1 1 318.167 1.282 20 0 DDADMM C[C@@H]1CN(C(=O)c2cncc([O-])c2)C[C@H]1NC(=O)OC(C)(C)C ZINC000277506561 408953233 /nfs/dbraw/zinc/95/32/33/408953233.db2.gz MZBKCWAETCZVFY-ZWNOBZJWSA-N -1 1 321.377 1.772 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CC[S@](=O)C1 ZINC000277548055 408962405 /nfs/dbraw/zinc/96/24/05/408962405.db2.gz MLSKNIKIUULIOL-HOGDKLEQSA-N -1 1 301.795 1.886 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CC(F)(F)F)c1 ZINC000292269425 409012864 /nfs/dbraw/zinc/01/28/64/409012864.db2.gz QCUYCNIGVFPXRF-UHFFFAOYSA-N -1 1 312.269 1.191 20 0 DDADMM CCCNC(=O)Cc1noc(-c2cc(NC(C)=O)ccc2[O-])n1 ZINC000277862174 409024937 /nfs/dbraw/zinc/02/49/37/409024937.db2.gz HKVMVRGFCXEPMN-UHFFFAOYSA-N -1 1 318.333 1.469 20 0 DDADMM C[C@@H](O)[C@H](NC(=O)C1CC1)c1nc(-c2ccc([O-])c(F)c2)no1 ZINC000277883271 409028468 /nfs/dbraw/zinc/02/84/68/409028468.db2.gz CKZRBNXFVXERKQ-KRTXAFLBSA-N -1 1 321.308 1.529 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCN(CC2CC2)c2ccccc21 ZINC000631580931 422840333 /nfs/dbraw/zinc/84/03/33/422840333.db2.gz BSLJEGHOXFYUOI-UHFFFAOYSA-N -1 1 312.377 1.396 20 0 DDADMM C[C@H](NS(C)(=O)=O)c1nc(-c2ccc([O-])c(F)c2)no1 ZINC000278102981 409066154 /nfs/dbraw/zinc/06/61/54/409066154.db2.gz IGUYXLCRTBDKCV-LURJTMIESA-N -1 1 301.299 1.192 20 0 DDADMM CN(Cc1ncnn1CC(F)F)C(=O)c1ccc([O-])cc1F ZINC000278972772 409124231 /nfs/dbraw/zinc/12/42/31/409124231.db2.gz OSYSXLQGQFRKFA-UHFFFAOYSA-N -1 1 314.267 1.660 20 0 DDADMM CCOCC(C)(C)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000293684077 409160435 /nfs/dbraw/zinc/16/04/35/409160435.db2.gz NHIDRNAFVPNFNW-UHFFFAOYSA-N -1 1 308.407 1.811 20 0 DDADMM CS(=O)(=O)C[C@H]1CCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000293733285 409170282 /nfs/dbraw/zinc/17/02/82/409170282.db2.gz NMHJYDZBMRTCQX-VIFPVBQESA-N -1 1 317.794 1.552 20 0 DDADMM COc1ccc(Cl)cc1CN(C)C(=O)CCCc1nn[n-]n1 ZINC000635141939 422840615 /nfs/dbraw/zinc/84/06/15/422840615.db2.gz XJDGQUFKRXTMOX-UHFFFAOYSA-N -1 1 323.784 1.843 20 0 DDADMM CCCNC(=O)OC[C@@H]1CCCCN1C(=O)c1cncc([O-])c1 ZINC000288802514 409181372 /nfs/dbraw/zinc/18/13/72/409181372.db2.gz TYOFEDAVRWWAJO-ZDUSSCGKSA-N -1 1 321.377 1.918 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@@H]1O)c1c([O-])cccc1F ZINC000283602948 409182937 /nfs/dbraw/zinc/18/29/37/409182937.db2.gz LIDAOFNBJLHYJD-XHDPSFHLSA-N -1 1 323.364 1.829 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC[C@]2(CNC(=O)C2)C1 ZINC000279533455 409233789 /nfs/dbraw/zinc/23/37/89/409233789.db2.gz NGCAVUBSBXHKKO-SFHVURJKSA-N -1 1 310.353 1.898 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@H]1O)c1ncccc1[O-] ZINC000283717512 409202577 /nfs/dbraw/zinc/20/25/77/409202577.db2.gz UUOYBGZRFGKTJS-FZMZJTMJSA-N -1 1 306.362 1.085 20 0 DDADMM C[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CC[S@](=O)C1 ZINC000290275350 409345156 /nfs/dbraw/zinc/34/51/56/409345156.db2.gz YMEQBQZUTJTPEV-FHZGZLOMSA-N -1 1 303.330 1.511 20 0 DDADMM O=C(NCCCOC[C@H]1CCCO1)c1c(F)ccc([O-])c1F ZINC000280730727 409429483 /nfs/dbraw/zinc/42/94/83/409429483.db2.gz IOHFSLIUXDJNCJ-SNVBAGLBSA-N -1 1 315.316 1.986 20 0 DDADMM CC1(C)CN(C(=O)c2ccc([O-])cc2F)C[C@@]2(CCOC2)O1 ZINC000280747729 409437246 /nfs/dbraw/zinc/43/72/46/409437246.db2.gz ZYFXEDQKACVSDE-MRXNPFEDSA-N -1 1 309.337 1.941 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(=O)[nH]1 ZINC000407999437 164193643 /nfs/dbraw/zinc/19/36/43/164193643.db2.gz UKHAOWUWCVLNGV-SECBINFHSA-N -1 1 304.306 1.204 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc(C)c(OC)c1 ZINC000295463201 409493266 /nfs/dbraw/zinc/49/32/66/409493266.db2.gz CGTFUSFWYHEETI-UHFFFAOYSA-N -1 1 309.362 1.936 20 0 DDADMM CO[C@H](C)c1nc(=NCCCOC[C@@H]2CCCO2)s[n-]1 ZINC000337916729 409565474 /nfs/dbraw/zinc/56/54/74/409565474.db2.gz RJDBRMMGEJCVAJ-MNOVXSKESA-N -1 1 301.412 1.665 20 0 DDADMM C[C@H](O)CC(C)(C)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000304400037 409619618 /nfs/dbraw/zinc/61/96/18/409619618.db2.gz NZNSDZOCTGIWHL-JTQLQIEISA-N -1 1 303.362 1.862 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@H](C(C)C)[C@H]1C(C)C ZINC000331497707 409571199 /nfs/dbraw/zinc/57/11/99/409571199.db2.gz JMLDVHGORHVTRE-GHMZBOCLSA-N -1 1 301.412 1.697 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1csc(C(F)(F)F)n1 ZINC000356762118 409580455 /nfs/dbraw/zinc/58/04/55/409580455.db2.gz GMAFKIHYFCQTSM-UHFFFAOYSA-N -1 1 304.257 1.094 20 0 DDADMM CC(C)c1csc(NC(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000337938788 409585838 /nfs/dbraw/zinc/58/58/38/409585838.db2.gz JSAUSSFCLGQNJW-UHFFFAOYSA-N -1 1 320.374 1.736 20 0 DDADMM CC[C@H]1CCCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337945271 409589715 /nfs/dbraw/zinc/58/97/15/409589715.db2.gz IYBMSAAGYFTMCE-NWDGAFQWSA-N -1 1 305.378 1.602 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)CNC(=O)c2ncccc2[O-])o1 ZINC000337946397 409591968 /nfs/dbraw/zinc/59/19/68/409591968.db2.gz CBRAKNWMZLUMBW-SNVBAGLBSA-N -1 1 303.318 1.296 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OC ZINC000337962884 409605332 /nfs/dbraw/zinc/60/53/32/409605332.db2.gz DRZSDRQYLICSMA-UHFFFAOYSA-N -1 1 304.368 1.134 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCn2ncnc21)c1sccc1Cl ZINC000331621952 409702703 /nfs/dbraw/zinc/70/27/03/409702703.db2.gz XZRZYORXQGNBSY-MRVPVSSYSA-N -1 1 318.811 1.806 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CC1)c1ccc(Br)o1 ZINC000331628403 409711666 /nfs/dbraw/zinc/71/16/66/409711666.db2.gz HQSQJNQEJNLKOQ-SSDOTTSWSA-N -1 1 310.169 1.091 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1CCOc2ccc(Cl)cc21 ZINC000357062069 409827026 /nfs/dbraw/zinc/82/70/26/409827026.db2.gz WXBCBMCAPONSQG-SECBINFHSA-N -1 1 319.752 1.525 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(Br)cs1 ZINC000357070453 409837468 /nfs/dbraw/zinc/83/74/68/409837468.db2.gz CPWUPKLIPBPOGB-UHFFFAOYSA-N -1 1 314.168 1.443 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc([C@H]2C[C@H]2C(=O)NC2(c3nn[n-]n3)CC2)o1 ZINC000357074767 409843838 /nfs/dbraw/zinc/84/38/38/409843838.db2.gz STAVOVMTYIZCQJ-VPOLOUISSA-N -1 1 313.361 1.825 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H](OC)C(C)C)c1 ZINC000338229140 409820038 /nfs/dbraw/zinc/82/00/38/409820038.db2.gz AFORFRWQMIGNPJ-SNVBAGLBSA-N -1 1 305.352 1.016 20 0 DDADMM O=C(Cc1cn2cc(Cl)cc(Cl)c2n1)Nc1nnn[n-]1 ZINC000342861752 409956657 /nfs/dbraw/zinc/95/66/57/409956657.db2.gz WYCQUWCWGRFIEF-UHFFFAOYSA-N -1 1 312.120 1.335 20 0 DDADMM O=C(Cc1cn2cc(Cl)cc(Cl)c2n1)Nc1nn[n-]n1 ZINC000342861752 409956660 /nfs/dbraw/zinc/95/66/60/409956660.db2.gz WYCQUWCWGRFIEF-UHFFFAOYSA-N -1 1 312.120 1.335 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000332220378 409989784 /nfs/dbraw/zinc/98/97/84/409989784.db2.gz JXXLZRNDXMWKDH-LLVKDONJSA-N -1 1 315.366 1.571 20 0 DDADMM O=C(Nc1cn[nH]c1)[C@H]1CCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000633301168 422852993 /nfs/dbraw/zinc/85/29/93/422852993.db2.gz IGLZRORXJRQHMH-VIFPVBQESA-N -1 1 318.308 1.355 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cncc([O-])c1)c1ccc(F)c(C)c1 ZINC000354755178 410063397 /nfs/dbraw/zinc/06/33/97/410063397.db2.gz KYQIEBAFVRNJGM-AWEZNQCLSA-N -1 1 318.304 1.879 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)nc1 ZINC000332353131 410090540 /nfs/dbraw/zinc/09/05/40/410090540.db2.gz RCRMOMFNLPFNFN-SNVBAGLBSA-N -1 1 317.349 1.256 20 0 DDADMM CCN1C[C@H](C)N(C(=O)N=c2ccc(C3CC3)n[n-]2)C[C@H]1C ZINC000346734775 410121119 /nfs/dbraw/zinc/12/11/19/410121119.db2.gz ZNFIBKPDGUNSOM-NEPJUHHUSA-N -1 1 303.410 1.722 20 0 DDADMM COC(=O)CCC(=O)CSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000351672274 410144309 /nfs/dbraw/zinc/14/43/09/410144309.db2.gz HHKFYPIREZOIDV-UHFFFAOYSA-N -1 1 324.280 1.815 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](CO)Cc2ccccc2C)c([O-])c1 ZINC000346822557 410173969 /nfs/dbraw/zinc/17/39/69/410173969.db2.gz GXFPFQCWVCUGOS-CQSZACIVSA-N -1 1 300.358 1.737 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2([C@H](O)C(C)C)CC2)sc1C ZINC000329221102 410174494 /nfs/dbraw/zinc/17/44/94/410174494.db2.gz UIMMXSBMWNAIQV-LLVKDONJSA-N -1 1 318.464 1.835 20 0 DDADMM CC[C@H](C(=O)NCc1n[nH]c(=O)[n-]1)N(C)Cc1ccccc1 ZINC000354919543 410175204 /nfs/dbraw/zinc/17/52/04/410175204.db2.gz NFZKWHXBPSCXLT-GFCCVEGCSA-N -1 1 303.366 1.037 20 0 DDADMM COC(=O)c1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)o1 ZINC000339438352 410188000 /nfs/dbraw/zinc/18/80/00/410188000.db2.gz GJPVDWZPBUECEF-UHFFFAOYSA-N -1 1 321.289 1.175 20 0 DDADMM CCN(CC)C(=O)CCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339441834 410190407 /nfs/dbraw/zinc/19/04/07/410190407.db2.gz UWUJDHSXNOVHAC-UHFFFAOYSA-N -1 1 324.381 1.130 20 0 DDADMM O=C(c1cc(F)cc2nn[nH]c21)N1CCOC[C@@H]1[C@H]1CCCO1 ZINC000329363359 410257803 /nfs/dbraw/zinc/25/78/03/410257803.db2.gz HNRVARPNUFXKSR-CHWSQXEVSA-N -1 1 320.324 1.117 20 0 DDADMM Cc1csc([C@H](C)NC(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000343268211 410294951 /nfs/dbraw/zinc/29/49/51/410294951.db2.gz WHAFYMZAEWZFTK-VIFPVBQESA-N -1 1 320.374 1.159 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2(CCO)CCC2)sc1C ZINC000333016325 410310295 /nfs/dbraw/zinc/31/02/95/410310295.db2.gz CGQFUORYKMTSRX-UHFFFAOYSA-N -1 1 304.437 1.591 20 0 DDADMM O=C(CSc1nc(C(F)F)cc(=O)[n-]1)NC(=O)NC1CC1 ZINC000351888893 410320602 /nfs/dbraw/zinc/32/06/02/410320602.db2.gz UGXSQZRAJKKJSU-UHFFFAOYSA-N -1 1 318.305 1.200 20 0 DDADMM CN(C(=O)CNC(=O)c1ncccc1[O-])[C@H]1CCC(C)(C)C1 ZINC000358105717 410362422 /nfs/dbraw/zinc/36/24/22/410362422.db2.gz YEMOUVJSYOLEGZ-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM COc1cc(C(=O)NCCSCCCO)cc(Cl)c1[O-] ZINC000358548325 410482044 /nfs/dbraw/zinc/48/20/44/410482044.db2.gz OAKUCVYHPHSHKQ-UHFFFAOYSA-N -1 1 319.810 1.900 20 0 DDADMM O=C(NCCc1ccn(-c2ccccc2)n1)c1cncc([O-])c1 ZINC000339820211 410482510 /nfs/dbraw/zinc/48/25/10/410482510.db2.gz PPMIVHGWSMKPQA-UHFFFAOYSA-N -1 1 308.341 1.945 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cncc([O-])c1)c1ccc(OC)cc1 ZINC000339924636 410554696 /nfs/dbraw/zinc/55/46/96/410554696.db2.gz BUKVAFUVFXVKDC-CQSZACIVSA-N -1 1 316.313 1.440 20 0 DDADMM O=C(N[C@@H](CO)Cc1c[nH]c2ccccc12)c1cncc([O-])c1 ZINC000340006324 410611520 /nfs/dbraw/zinc/61/15/20/410611520.db2.gz ROYDQEUYAPOFIC-CYBMUJFWSA-N -1 1 311.341 1.602 20 0 DDADMM Cc1ccc(C)c(OCCC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000348280502 410893808 /nfs/dbraw/zinc/89/38/08/410893808.db2.gz ZTFWGNZDCDQLAL-UHFFFAOYSA-N -1 1 301.350 1.391 20 0 DDADMM C[C@@H](CC(=O)NC1(c2nn[n-]n2)CC1)Cc1cccc(F)c1 ZINC000348279218 410894546 /nfs/dbraw/zinc/89/45/46/410894546.db2.gz PITYNAJPXNVFAN-SNVBAGLBSA-N -1 1 303.341 1.713 20 0 DDADMM C[C@H](SCc1ccccc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348291555 410899259 /nfs/dbraw/zinc/89/92/59/410899259.db2.gz JIZRPIQZUMPUSR-JTQLQIEISA-N -1 1 303.391 1.627 20 0 DDADMM O=C(Cc1c[nH]c2cc(Cl)ccc12)NC1(c2nn[n-]n2)CC1 ZINC000348289124 410900852 /nfs/dbraw/zinc/90/08/52/410900852.db2.gz ANPJLYSKOXTTRR-UHFFFAOYSA-N -1 1 316.752 1.682 20 0 DDADMM COC(=O)C(C)(C)CCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000353357491 410937890 /nfs/dbraw/zinc/93/78/90/410937890.db2.gz XRWPHWSCIICONU-UHFFFAOYSA-N -1 1 317.345 1.242 20 0 DDADMM COC[C@H](C)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000353412225 410969867 /nfs/dbraw/zinc/96/98/67/410969867.db2.gz LEVMOUIRKQBXQD-SSDOTTSWSA-N -1 1 312.185 1.603 20 0 DDADMM CC(C)CCNC(=O)[C@@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000331215996 411022298 /nfs/dbraw/zinc/02/22/98/411022298.db2.gz VPERFGYBWPPPMM-NSHDSACASA-N -1 1 323.418 1.465 20 0 DDADMM COCC[C@@H](CO)Nc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000341377805 411029649 /nfs/dbraw/zinc/02/96/49/411029649.db2.gz CRXZDVHQLVCJJU-ZDUSSCGKSA-N -1 1 318.377 1.670 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1nc2ccccc2s1 ZINC000635192424 422870251 /nfs/dbraw/zinc/87/02/51/422870251.db2.gz WOXJKFDPALIFSG-UHFFFAOYSA-N -1 1 302.363 1.449 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)s1 ZINC000631713722 422891858 /nfs/dbraw/zinc/89/18/58/422891858.db2.gz JWSOLYURCYPPEH-UHFFFAOYSA-N -1 1 323.447 1.591 20 0 DDADMM CCC[C@H](OCC)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000629951962 422892394 /nfs/dbraw/zinc/89/23/94/422892394.db2.gz OOUQAUDYOXIDSY-QWHCGFSZSA-N -1 1 303.366 1.611 20 0 DDADMM O=C(C[C@@H]1CCC(=O)NC1)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000580166120 422896383 /nfs/dbraw/zinc/89/63/83/422896383.db2.gz JMRCUCPZDTYBJP-VIFPVBQESA-N -1 1 304.375 1.415 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)c1cccc(N2CCCC2)c1 ZINC000631742534 422900935 /nfs/dbraw/zinc/90/09/35/422900935.db2.gz XZWOCNFNIHKKQT-LBPRGKRZSA-N -1 1 314.393 1.610 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)c2cc[nH]c2)n[n-]1 ZINC000580459890 422935052 /nfs/dbraw/zinc/93/50/52/422935052.db2.gz RHPPLAWAODXRIP-LLVKDONJSA-N -1 1 319.365 1.827 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)c2cc[nH]c2)[n-]1 ZINC000580459890 422935055 /nfs/dbraw/zinc/93/50/55/422935055.db2.gz RHPPLAWAODXRIP-LLVKDONJSA-N -1 1 319.365 1.827 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)c2cc[nH]c2)n1 ZINC000580459890 422935057 /nfs/dbraw/zinc/93/50/57/422935057.db2.gz RHPPLAWAODXRIP-LLVKDONJSA-N -1 1 319.365 1.827 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)c2cscn2)o1 ZINC000580724848 422950839 /nfs/dbraw/zinc/95/08/39/422950839.db2.gz IZLLWKLYJZNWBS-ZETCQYMHSA-N -1 1 316.360 1.562 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C)[C@H](C)C2)o1 ZINC000132016814 196219285 /nfs/dbraw/zinc/21/92/85/196219285.db2.gz DMLFHRHENDLGDJ-VHSXEESVSA-N -1 1 300.380 1.306 20 0 DDADMM C[C@H]1CCc2sc(C(=O)N=c3ncn(CC(=O)[O-])[nH]3)cc2C1 ZINC000647780760 423044796 /nfs/dbraw/zinc/04/47/96/423044796.db2.gz MMQXHORRQBWMDF-QMMMGPOBSA-N -1 1 320.374 1.223 20 0 DDADMM C[C@H]1CCN(CCS(=O)(=O)c2ccccc2)[C@@H](C(=O)[O-])C1 ZINC000652502350 423047552 /nfs/dbraw/zinc/04/75/52/423047552.db2.gz LUZVXHALABISQV-GXTWGEPZSA-N -1 1 311.403 1.645 20 0 DDADMM Cc1nc(C)c(CCC(=O)N2[C@H](C)C[N@@H+](C)[C@H](C)[C@H]2C)c(=O)[nH]1 ZINC000650147604 423061698 /nfs/dbraw/zinc/06/16/98/423061698.db2.gz ZAVFFUFRIHRPAW-RAIGVLPGSA-N -1 1 320.437 1.671 20 0 DDADMM COC(C)(C)C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645567257 423087665 /nfs/dbraw/zinc/08/76/65/423087665.db2.gz MKJYIYPAMSRQLI-UHFFFAOYSA-N -1 1 312.313 1.804 20 0 DDADMM Cn1nc([N-]S(=O)(=O)N2CCCCC2)cc1OC(F)F ZINC000488347313 416559042 /nfs/dbraw/zinc/55/90/42/416559042.db2.gz LKOLIIROJHFZAH-UHFFFAOYSA-N -1 1 310.326 1.164 20 0 DDADMM O=C(N[C@H]1CCc2n[nH]cc2C1)c1ccc2n[n-]c(=S)n2c1 ZINC000367115989 418561230 /nfs/dbraw/zinc/56/12/30/418561230.db2.gz XPHQVCIZLXGVFV-JTQLQIEISA-N -1 1 314.374 1.028 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@H](C)S1(=O)=O ZINC000291150987 222176377 /nfs/dbraw/zinc/17/63/77/222176377.db2.gz FVHYHHUWUZOXSF-DTORHVGOSA-N -1 1 301.339 1.179 20 0 DDADMM CSC1(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCC1 ZINC000194629922 222180419 /nfs/dbraw/zinc/18/04/19/222180419.db2.gz UMEUFUKOVSDMKL-UHFFFAOYSA-N -1 1 306.391 1.689 20 0 DDADMM C[C@@H]1CCNC(=O)[C@@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000195475847 222203817 /nfs/dbraw/zinc/20/38/17/222203817.db2.gz PHTCMWFLLZPMQF-GMSGAONNSA-N -1 1 320.773 1.282 20 0 DDADMM CCOc1cc(C(=O)N[C@H]2C[C@@H](C(N)=O)C2)cc(Cl)c1[O-] ZINC000652798842 423140603 /nfs/dbraw/zinc/14/06/03/423140603.db2.gz AALNMBPYUGKFAW-OTSSQURYSA-N -1 1 312.753 1.438 20 0 DDADMM CC(C)O[C@@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000650360717 423140451 /nfs/dbraw/zinc/14/04/51/423140451.db2.gz NSPFQQFMVXBWEE-GFCCVEGCSA-N -1 1 315.373 1.953 20 0 DDADMM CN(C)Cc1ccnc([N-]S(=O)(=O)c2cncc(F)c2)c1 ZINC000361951944 418730060 /nfs/dbraw/zinc/73/00/60/418730060.db2.gz NESHSSAUMMYOCX-UHFFFAOYSA-N -1 1 310.354 1.478 20 0 DDADMM C[C@@H](CO)[N@H+]1CCCN(C(=O)c2cc(O)ccc2Cl)CC1 ZINC000365689169 418894254 /nfs/dbraw/zinc/89/42/54/418894254.db2.gz SGXMHXSLOCCEAP-NSHDSACASA-N -1 1 312.797 1.574 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@](C)(C(=O)Nc2nnn[n-]2)C1 ZINC000372138273 418838454 /nfs/dbraw/zinc/83/84/54/418838454.db2.gz ZIYFSGMMRWHYBF-ZDUSSCGKSA-N -1 1 310.358 1.175 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@](C)(C(=O)Nc2nn[n-]n2)C1 ZINC000372138273 418838457 /nfs/dbraw/zinc/83/84/57/418838457.db2.gz ZIYFSGMMRWHYBF-ZDUSSCGKSA-N -1 1 310.358 1.175 20 0 DDADMM CN(C)c1cc([N-]S(=O)(=O)c2cccc3c2OCC3)ccn1 ZINC000421229892 419532391 /nfs/dbraw/zinc/53/23/91/419532391.db2.gz SZLLVVGAVFQOGG-UHFFFAOYSA-N -1 1 319.386 1.883 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)c(Cl)c1)OC ZINC000421154780 419506032 /nfs/dbraw/zinc/50/60/32/419506032.db2.gz NJYDRDHQPIQVKD-SECBINFHSA-N -1 1 320.798 1.606 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)c(C)c1)OC ZINC000421154359 419506187 /nfs/dbraw/zinc/50/61/87/419506187.db2.gz MPXDJWXZTRXWFP-NSHDSACASA-N -1 1 300.380 1.261 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cnc(C(C)(C)C)nc1)OC ZINC000421154764 419506950 /nfs/dbraw/zinc/50/69/50/419506950.db2.gz NGOPLSWKAAFWHH-NSHDSACASA-N -1 1 301.412 1.941 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1ncccc1[O-])c1ccccc1 ZINC000427246844 419626069 /nfs/dbraw/zinc/62/60/69/419626069.db2.gz UMSXOIXPIHVOQZ-LBPRGKRZSA-N -1 1 300.314 1.474 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1ncc(C)cc1[O-])c1ccccc1 ZINC000427246686 419626292 /nfs/dbraw/zinc/62/62/92/419626292.db2.gz SAVHHJDUZANUFV-ZDUSSCGKSA-N -1 1 314.341 1.782 20 0 DDADMM CC[C@H]1CN(C(=O)c2ncc(C)cc2[O-])[C@@H](CC)CN1C(C)=O ZINC000427241126 419627252 /nfs/dbraw/zinc/62/72/52/419627252.db2.gz ATWPQCHKMBTIJC-KBPBESRZSA-N -1 1 319.405 1.957 20 0 DDADMM Cc1cnc(C(=O)NCCc2nn(C)c3ccccc23)c([O-])c1 ZINC000427824169 419745246 /nfs/dbraw/zinc/74/52/46/419745246.db2.gz HNKHTZGRPMSCMG-UHFFFAOYSA-N -1 1 310.357 1.955 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)Nc1ccc2c(c1)OCCCO2 ZINC000430652722 420172397 /nfs/dbraw/zinc/17/23/97/420172397.db2.gz JCJDBQRUAJDGKD-UHFFFAOYSA-N -1 1 308.334 1.193 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCCC[C@H]1C(C)C ZINC000416150449 420259027 /nfs/dbraw/zinc/25/90/27/420259027.db2.gz KDNSFCOOTFNWRV-VHSXEESVSA-N -1 1 309.366 1.841 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCC[C@H](C(C)C)C1 ZINC000416150397 420259034 /nfs/dbraw/zinc/25/90/34/420259034.db2.gz JVFCLQOUVIZHKJ-VHSXEESVSA-N -1 1 309.366 1.841 20 0 DDADMM O=C(NCCc1nc2ccccc2[nH]1)C(=O)c1ccc([O-])cc1 ZINC000436737765 420363218 /nfs/dbraw/zinc/36/32/18/420363218.db2.gz RAHOADXGTQAXRD-UHFFFAOYSA-N -1 1 309.325 1.810 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCNC(=O)CC(C)C)c1 ZINC000436501540 420333192 /nfs/dbraw/zinc/33/31/92/420333192.db2.gz AHNSAIRANQYPHY-UHFFFAOYSA-N -1 1 322.361 1.670 20 0 DDADMM COC(=O)c1coc(C(=O)Nc2cc(C(=O)OC)ccc2[O-])c1 ZINC000436502872 420334764 /nfs/dbraw/zinc/33/47/64/420334764.db2.gz DWUPPFBBDWIZBN-UHFFFAOYSA-N -1 1 319.269 1.811 20 0 DDADMM O=C(NCCc1ccc2c(c1)CCO2)C(=O)c1ccc([O-])cc1 ZINC000436520276 420337759 /nfs/dbraw/zinc/33/77/59/420337759.db2.gz DRLWLAIRZMUDNV-UHFFFAOYSA-N -1 1 311.337 1.869 20 0 DDADMM NC(=O)c1ccc(CNC(=O)c2ccc3ccccc3c2[O-])cn1 ZINC000436590062 420342556 /nfs/dbraw/zinc/34/25/56/420342556.db2.gz YCPAOWBEDWSCKM-UHFFFAOYSA-N -1 1 321.336 1.969 20 0 DDADMM N=c1[n-]nc(CNC(=O)c2cc(Cl)c3ccccc3c2O)o1 ZINC000436596738 420344198 /nfs/dbraw/zinc/34/41/98/420344198.db2.gz RDSWKBYKACAMLP-UHFFFAOYSA-N -1 1 318.720 1.924 20 0 DDADMM Cc1ncsc1CCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425312643 420345923 /nfs/dbraw/zinc/34/59/23/420345923.db2.gz ZNHMLXICQGFMNO-JTQLQIEISA-N -1 1 322.390 1.879 20 0 DDADMM COCCO[C@H]1COCC[C@H]1NC(=O)c1cc(F)ccc1[O-] ZINC000436708581 420358974 /nfs/dbraw/zinc/35/89/74/420358974.db2.gz SFLXWEJGVYSMEB-OCCSQVGLSA-N -1 1 313.325 1.082 20 0 DDADMM NC(=O)[C@H](NC(=O)C(=O)c1ccc([O-])cc1)c1ccc(F)cc1 ZINC000436708646 420359562 /nfs/dbraw/zinc/35/95/62/420359562.db2.gz SNURPHCVYZBAJP-CYBMUJFWSA-N -1 1 316.288 1.057 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@H](C)S1(=O)=O ZINC000436799418 420368562 /nfs/dbraw/zinc/36/85/62/420368562.db2.gz XZPSPJZUCULJHC-GXSJLCMTSA-N -1 1 315.366 1.569 20 0 DDADMM Cc1ccc(NC(=O)C(=O)c2ccc([O-])cc2)cc1-n1cnnn1 ZINC000436862155 420376466 /nfs/dbraw/zinc/37/64/66/420376466.db2.gz XSGSTWFEYZUEET-UHFFFAOYSA-N -1 1 323.312 1.498 20 0 DDADMM NC(=O)NC(=O)C1CCC(NC(=O)c2c([O-])cccc2F)CC1 ZINC000436888289 420379380 /nfs/dbraw/zinc/37/93/80/420379380.db2.gz MXJHMQATFYVYPB-UHFFFAOYSA-N -1 1 323.324 1.015 20 0 DDADMM CCn1cc(CN(CCO)C(=O)c2ccc(Cl)cc2[O-])cn1 ZINC000436890082 420379771 /nfs/dbraw/zinc/37/97/71/420379771.db2.gz BABJMJRRDNGGBG-UHFFFAOYSA-N -1 1 323.780 1.897 20 0 DDADMM O=C(N[C@@H]1CN(c2ccc(F)cc2)C1=O)c1c([O-])cccc1F ZINC000436923065 420385261 /nfs/dbraw/zinc/38/52/61/420385261.db2.gz ATQGUUNLPLONML-GFCCVEGCSA-N -1 1 318.279 1.816 20 0 DDADMM NC(=O)[C@H](Cc1ccccc1)NC(=O)c1c([O-])cccc1F ZINC000436958070 420387483 /nfs/dbraw/zinc/38/74/83/420387483.db2.gz DCOZAOXOLAPSBU-LBPRGKRZSA-N -1 1 302.305 1.358 20 0 DDADMM O=C(N[C@@H](CO)Cc1ccncc1)c1ccc(Cl)cc1[O-] ZINC000436998587 420391628 /nfs/dbraw/zinc/39/16/28/420391628.db2.gz KSNGGZYBZIOCIB-GFCCVEGCSA-N -1 1 306.749 1.774 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1cc(F)c(F)cc1F)C1CC1 ZINC000443278087 230002274 /nfs/dbraw/zinc/00/22/74/230002274.db2.gz PUJWUFAIBRKSJB-LBPRGKRZSA-N -1 1 309.309 1.543 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cccc2[nH]c(=O)oc21 ZINC000443748030 230026156 /nfs/dbraw/zinc/02/61/56/230026156.db2.gz MAWZOBGIMHAAGB-UHFFFAOYSA-N -1 1 314.305 1.246 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)N2CC[C@@H](c3nc[nH]n3)C2)s1 ZINC000425619547 420424933 /nfs/dbraw/zinc/42/49/33/420424933.db2.gz GWNFCWAPWWVTDW-SECBINFHSA-N -1 1 321.410 1.442 20 0 DDADMM O=C(N=c1cc(-c2ccncc2)[nH][nH]1)C(=O)c1ccc([O-])cc1 ZINC000438915023 420470931 /nfs/dbraw/zinc/47/09/31/420470931.db2.gz GZXSDMQTYKEIHD-UHFFFAOYSA-N -1 1 308.297 1.421 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2CO[C@@H](C)C2)c1Br ZINC000439515116 420520410 /nfs/dbraw/zinc/52/04/10/420520410.db2.gz VAMBIWVXEYRMPY-NKWVEPMBSA-N -1 1 302.172 1.520 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/c2cccnc2)c1 ZINC000492317030 420521001 /nfs/dbraw/zinc/52/10/01/420521001.db2.gz AMXRSGRGMAPKAH-ZZXKWVIFSA-N -1 1 319.342 1.087 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@@H]1CCCC1(F)F)c2=O ZINC000452808523 420667305 /nfs/dbraw/zinc/66/73/05/420667305.db2.gz MMYIBQJHISJVOF-QMMMGPOBSA-N -1 1 310.304 1.839 20 0 DDADMM CCOC(=O)[C@H](C)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442673641 420697958 /nfs/dbraw/zinc/69/79/58/420697958.db2.gz VHEFJSOYRGPPSF-MRVPVSSYSA-N -1 1 315.753 1.983 20 0 DDADMM CCC[C@H](O)CS(=O)(=O)c1n[n-]c(CCC2CCCC2)n1 ZINC000453015703 420701106 /nfs/dbraw/zinc/70/11/06/420701106.db2.gz IFPOGCNQSFSNSZ-LBPRGKRZSA-N -1 1 315.439 1.862 20 0 DDADMM C[C@@H](CN(C)C(=O)COC(C)(C)C(F)(F)F)c1nn[n-]n1 ZINC000447543036 420779583 /nfs/dbraw/zinc/77/95/83/420779583.db2.gz QUTVULRORXTYBF-ZETCQYMHSA-N -1 1 309.292 1.119 20 0 DDADMM Cc1cnc(C(=O)N(C)CCN2C[C@@H](C)O[C@@H](C)C2)c([O-])c1 ZINC000447656983 420790933 /nfs/dbraw/zinc/79/09/33/420790933.db2.gz PCQZPURXHVQOQI-BETUJISGSA-N -1 1 307.394 1.277 20 0 DDADMM COc1cc(C(=O)NC[C@@H]2CCCC(=O)N2)cc(Cl)c1[O-] ZINC000447746086 420797960 /nfs/dbraw/zinc/79/79/60/420797960.db2.gz OTQQGBFQBABCRO-VIFPVBQESA-N -1 1 312.753 1.453 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCSC2)o1 ZINC000447946271 420824791 /nfs/dbraw/zinc/82/47/91/420824791.db2.gz ZSCYMXXZCBJARR-SECBINFHSA-N -1 1 319.404 1.488 20 0 DDADMM C[C@@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C(=O)Nc2nnn[n-]2)C1 ZINC000495574815 421026673 /nfs/dbraw/zinc/02/66/73/421026673.db2.gz NYKYQCTVYVXPPS-RKDXNWHRSA-N -1 1 310.358 1.174 20 0 DDADMM C[C@@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C(=O)Nc2nn[n-]n2)C1 ZINC000495574815 421026679 /nfs/dbraw/zinc/02/66/79/421026679.db2.gz NYKYQCTVYVXPPS-RKDXNWHRSA-N -1 1 310.358 1.174 20 0 DDADMM C[C@H]1CCc2ccccc2[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544781463 421231016 /nfs/dbraw/zinc/23/10/16/421231016.db2.gz YVYBPNBRSBFYEF-BONVTDFDSA-N -1 1 323.356 1.471 20 0 DDADMM CC(=O)c1[n-]c(=N[C@@H](CO)C[C@H](O)C(C)(C)C)sc1C ZINC000450511963 421205616 /nfs/dbraw/zinc/20/56/16/421205616.db2.gz CLZQWGBALQGYAD-MNOVXSKESA-N -1 1 300.424 1.646 20 0 DDADMM C[C@H]1Cc2ccccc2CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000545383764 421256772 /nfs/dbraw/zinc/25/67/72/421256772.db2.gz WNCIRLZCGDJGOY-JTQLQIEISA-N -1 1 309.329 1.005 20 0 DDADMM CCn1cc(S(=O)(=O)N=c2cc3ccccn3[n-]2)nc1C ZINC000560452740 421266227 /nfs/dbraw/zinc/26/62/27/421266227.db2.gz QEPAVCBBJAERFC-UHFFFAOYSA-N -1 1 305.363 1.082 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(=O)n3nc[n-]c3n2)[C@H]1c1ccccc1 ZINC000545885175 421266878 /nfs/dbraw/zinc/26/68/78/421266878.db2.gz NEJLWUXXLFSKGT-QMTHXVAHSA-N -1 1 309.329 1.251 20 0 DDADMM CC(C)Oc1cccnc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000547488950 421337764 /nfs/dbraw/zinc/33/77/64/421337764.db2.gz GAQGOFAKIWNJHO-SNVBAGLBSA-N -1 1 304.354 1.258 20 0 DDADMM CCSCc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)no1 ZINC000548103937 421399713 /nfs/dbraw/zinc/39/97/13/421399713.db2.gz LWVYEYRRABGCCB-UHFFFAOYSA-N -1 1 322.394 1.640 20 0 DDADMM O=c1nc(N[C@H]2CCN(c3ccc(F)cc3)C2)nc2[nH][n-]cc1-2 ZINC000562732329 421406448 /nfs/dbraw/zinc/40/64/48/421406448.db2.gz DPQXUNWUAMYXEW-JTQLQIEISA-N -1 1 314.324 1.262 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000514714615 421448271 /nfs/dbraw/zinc/44/82/71/421448271.db2.gz ZEBBCHHMJWWYQN-VIFPVBQESA-N -1 1 305.407 1.234 20 0 DDADMM COC(=O)c1ccc(C(=O)OC2CCN(C(=O)OC)CC2)[n-]1 ZINC000497239087 421393805 /nfs/dbraw/zinc/39/38/05/421393805.db2.gz FBILWQNHNPKPJN-UHFFFAOYSA-N -1 1 310.306 1.189 20 0 DDADMM CC(C)(C)c1nnc([N-]C(=O)c2ccnc3n[nH]nc32)s1 ZINC000549749008 421507936 /nfs/dbraw/zinc/50/79/36/421507936.db2.gz BGPDBNRPNYMUHB-UHFFFAOYSA-N -1 1 303.351 1.754 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H](C)[C@H]2CCCC[C@H]21 ZINC000516118159 421528243 /nfs/dbraw/zinc/52/82/43/421528243.db2.gz SMWCFEBAQJOCDH-MVWJERBFSA-N -1 1 313.423 1.985 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)N=c2[n-]nc(C3CC3)s2)C[C@H]1C ZINC000551940955 421556906 /nfs/dbraw/zinc/55/69/06/421556906.db2.gz ZZZHKLGYGFEINF-NXEZZACHSA-N -1 1 309.439 1.784 20 0 DDADMM CCOC(=O)C1(CNC(=O)c2csc(=NC3CC3)[n-]2)CCC1 ZINC000532025117 421646713 /nfs/dbraw/zinc/64/67/13/421646713.db2.gz FXIFBKBUFNKAKO-UHFFFAOYSA-N -1 1 323.418 1.603 20 0 DDADMM CC(C)[C@H](C(=O)NC1(c2nn[n-]n2)CC1)c1ccc(F)cc1 ZINC000570496591 421647642 /nfs/dbraw/zinc/64/76/42/421647642.db2.gz KZMGMFGIROEVNZ-LBPRGKRZSA-N -1 1 303.341 1.884 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000532299107 421654176 /nfs/dbraw/zinc/65/41/76/421654176.db2.gz ZUBMTOYPHILNHP-IEBDPFPHSA-N -1 1 323.418 1.756 20 0 DDADMM COc1cc(NC(=O)NCCF)ccc1[N-]S(C)(=O)=O ZINC000555868486 421693765 /nfs/dbraw/zinc/69/37/65/421693765.db2.gz JXTVXEUYZXQFKH-UHFFFAOYSA-N -1 1 305.331 1.158 20 0 DDADMM CCSc1cccnc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000571255042 421700967 /nfs/dbraw/zinc/70/09/67/421700967.db2.gz OWHKDNDBMHROPX-SNVBAGLBSA-N -1 1 318.406 1.727 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1cccnc1 ZINC000538223703 421737489 /nfs/dbraw/zinc/73/74/89/421737489.db2.gz BPCACGDBDMQMSK-AAEUAGOBSA-N -1 1 300.366 1.495 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc(-n2ccnc2)c1)c1nn[n-]n1 ZINC000541843897 421807645 /nfs/dbraw/zinc/80/76/45/421807645.db2.gz PAPIFXZJIWMLGV-NSHDSACASA-N -1 1 311.349 1.261 20 0 DDADMM O=C(N[C@H]1C[C@H]1c1cccc(Br)c1)c1cnn[nH]1 ZINC000521682562 421796879 /nfs/dbraw/zinc/79/68/79/421796879.db2.gz BYNQZAPBDRGSFG-UWVGGRQHSA-N -1 1 307.151 1.853 20 0 DDADMM Cc1noc(Cl)c1CCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000558280713 421802697 /nfs/dbraw/zinc/80/26/97/421802697.db2.gz WAFLPKFIZZFFIG-UHFFFAOYSA-N -1 1 324.772 1.668 20 0 DDADMM CN(Cc1cc(C(=O)[O-])nn1C)C[C@@H](O)Cc1ccccc1 ZINC000635313643 421900707 /nfs/dbraw/zinc/90/07/07/421900707.db2.gz ZVYPKRDXFKXVOM-AWEZNQCLSA-N -1 1 303.362 1.154 20 0 DDADMM CCc1ccccc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630222445 421973033 /nfs/dbraw/zinc/97/30/33/421973033.db2.gz FFSNBANKTMFFTN-CYBMUJFWSA-N -1 1 304.390 1.870 20 0 DDADMM CC1(C)C[C@H](NC(=O)CCc2nn[n-]n2)c2ccc(F)cc2O1 ZINC000631897813 421922705 /nfs/dbraw/zinc/92/27/05/421922705.db2.gz QAJVEHNUNHMFGR-NSHDSACASA-N -1 1 319.340 1.690 20 0 DDADMM C[C@H]1NCCN(S(=O)(=O)c2cccc(Cl)c2[O-])[C@@H]1C ZINC000631935130 421952115 /nfs/dbraw/zinc/95/21/15/421952115.db2.gz DNADRZCZWNGHLF-RKDXNWHRSA-N -1 1 304.799 1.417 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccccc2)CC1 ZINC000630223619 421974374 /nfs/dbraw/zinc/97/43/74/421974374.db2.gz KBMDAUUDIOYKDG-CQSZACIVSA-N -1 1 304.390 1.627 20 0 DDADMM CCC[C@@H](C(=O)[O-])n1ccc(NC(=O)[C@@H](C)Cc2cnc[nH]2)n1 ZINC000630208245 421960400 /nfs/dbraw/zinc/96/04/00/421960400.db2.gz VFDOWCOBUAUGLB-JQWIXIFHSA-N -1 1 319.365 1.271 20 0 DDADMM CCOC1(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)CCCC1 ZINC000630218012 421967988 /nfs/dbraw/zinc/96/79/88/421967988.db2.gz BXBPOEPVIMFWFI-CYBMUJFWSA-N -1 1 312.410 1.343 20 0 DDADMM Cc1scc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1C ZINC000630218662 421969157 /nfs/dbraw/zinc/96/91/57/421969157.db2.gz DZJPGGSAIFXRHE-SNVBAGLBSA-N -1 1 310.419 1.986 20 0 DDADMM Cc1cc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)ccc1F ZINC000630221490 421969822 /nfs/dbraw/zinc/96/98/22/421969822.db2.gz BSDOGFSVNAMQIC-LBPRGKRZSA-N -1 1 308.353 1.755 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)COc2ccccc2F)CC1 ZINC000630224009 421976584 /nfs/dbraw/zinc/97/65/84/421976584.db2.gz LBOPHKKGMNXEHK-GFCCVEGCSA-N -1 1 324.352 1.212 20 0 DDADMM CO[C@H](C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1)C1CCCC1 ZINC000630227545 421978662 /nfs/dbraw/zinc/97/86/62/421978662.db2.gz OLQRYMNBGAZHEF-ZDUSSCGKSA-N -1 1 312.410 1.199 20 0 DDADMM CCc1cnc(CC[N-]S(=O)(=O)c2cc(C)ns2)s1 ZINC000632041598 422044030 /nfs/dbraw/zinc/04/40/30/422044030.db2.gz QOEAGQOMIFPEPZ-UHFFFAOYSA-N -1 1 317.461 1.991 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000573612591 421988189 /nfs/dbraw/zinc/98/81/89/421988189.db2.gz KWKVWWWVCXCBEF-VHSXEESVSA-N -1 1 324.402 1.818 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC([C@@H](C)O)CC2)c1 ZINC000632006636 422014981 /nfs/dbraw/zinc/01/49/81/422014981.db2.gz IHBZLLBKIWDYHO-SNVBAGLBSA-N -1 1 315.391 1.182 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1ccccc1F)CC1CC1 ZINC000633635342 422016362 /nfs/dbraw/zinc/01/63/62/422016362.db2.gz IMKPCXNNTOCLCH-UHFFFAOYSA-N -1 1 303.341 1.710 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)CN1C1CC1 ZINC000632066089 422063516 /nfs/dbraw/zinc/06/35/16/422063516.db2.gz SIZOJRSLQTZTKW-SSDOTTSWSA-N -1 1 320.317 1.259 20 0 DDADMM CC(C)[C@H]1CN(C(=O)NCc2cccc(C(=O)[O-])c2)CCN1C ZINC000635519750 422069538 /nfs/dbraw/zinc/06/95/38/422069538.db2.gz UAPGGQVRESGEQU-OAHLLOKOSA-N -1 1 319.405 1.866 20 0 DDADMM CCC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1 ZINC000632105200 422090965 /nfs/dbraw/zinc/09/09/65/422090965.db2.gz PUGQJNHLWIACQN-MRVPVSSYSA-N -1 1 322.333 1.506 20 0 DDADMM O=S(=O)([N-][C@H]1CCC(F)(F)C1)c1c[nH]nc1C(F)(F)F ZINC000632109594 422093505 /nfs/dbraw/zinc/09/35/05/422093505.db2.gz JDSWZZHELIZVBH-YFKPBYRVSA-N -1 1 319.255 1.895 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C[C@@H](C)n2ccnc2)c1 ZINC000574406285 422103532 /nfs/dbraw/zinc/10/35/32/422103532.db2.gz RTNHJPBHDBGERD-SNVBAGLBSA-N -1 1 303.318 1.965 20 0 DDADMM O=S(=O)([N-]C[C@]1(O)CCSC1)c1ccc(C(F)F)o1 ZINC000632062875 422060422 /nfs/dbraw/zinc/06/04/22/422060422.db2.gz NJFJGSJWGYRTEY-SNVBAGLBSA-N -1 1 313.347 1.364 20 0 DDADMM CN1CCCN(C(=O)CCCc2nn[n-]n2)c2ccccc21 ZINC000635585720 422137361 /nfs/dbraw/zinc/13/73/61/422137361.db2.gz MKSYWGWAKJRRIG-UHFFFAOYSA-N -1 1 300.366 1.396 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCCOC1 ZINC000632178975 422141023 /nfs/dbraw/zinc/14/10/23/422141023.db2.gz GMUUYJRDXOBDCN-SECBINFHSA-N -1 1 313.301 1.276 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CN(C)Cc3ccccc32)sn1 ZINC000632147822 422119961 /nfs/dbraw/zinc/11/99/61/422119961.db2.gz CDFPNMVIVSVLIH-ZDUSSCGKSA-N -1 1 323.443 1.917 20 0 DDADMM CCC[C@@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])CCO1 ZINC000632152291 422123243 /nfs/dbraw/zinc/12/32/43/422123243.db2.gz GLRRNUJCEVJFKN-GFCCVEGCSA-N -1 1 315.391 1.590 20 0 DDADMM CC[C@]1(C)CN(S(=O)(=O)c2cc(OC)ccc2[O-])CCO1 ZINC000632261742 422204236 /nfs/dbraw/zinc/20/42/36/422204236.db2.gz XZJRBHWRNREJGJ-CQSZACIVSA-N -1 1 315.391 1.590 20 0 DDADMM O=C1CCC[C@@H](C[N-]S(=O)(=O)c2ccc(C(F)F)o2)N1 ZINC000632288982 422224664 /nfs/dbraw/zinc/22/46/64/422224664.db2.gz OOHWQJNRZWAJTQ-ZETCQYMHSA-N -1 1 308.306 1.164 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC3(CCO3)CC2)c1 ZINC000632308274 422239873 /nfs/dbraw/zinc/23/98/73/422239873.db2.gz RPHXAEGLAYBKMJ-UHFFFAOYSA-N -1 1 313.375 1.344 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H](N)c2cccc(Cl)c2)CC[C@@H]1C(=O)[O-] ZINC000630575118 422192151 /nfs/dbraw/zinc/19/21/51/422192151.db2.gz LEPFFUIAFOZRCZ-JIMOISOXSA-N -1 1 310.781 1.909 20 0 DDADMM CCO[C@@H](CCNC(=O)CCCc1nn[n-]n1)C1CCCC1 ZINC000635642839 422196649 /nfs/dbraw/zinc/19/66/49/422196649.db2.gz YLLNZMQDVZBYTP-ZDUSSCGKSA-N -1 1 309.414 1.624 20 0 DDADMM COc1ccc([C@@H](NC(=O)CCc2nn[n-]n2)C2CC2)cc1 ZINC000630685127 422268529 /nfs/dbraw/zinc/26/85/29/422268529.db2.gz OKZNFHZAPJFLMD-HNNXBMFYSA-N -1 1 301.350 1.408 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCc1cc(F)c(F)c(F)c1 ZINC000635782980 422339415 /nfs/dbraw/zinc/33/94/15/422339415.db2.gz PNHLHSVNMKWUTN-UHFFFAOYSA-N -1 1 313.283 1.299 20 0 DDADMM Cn1cnnc1CCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000632435486 422341717 /nfs/dbraw/zinc/34/17/17/422341717.db2.gz CHJZIUDOXWDAAI-UHFFFAOYSA-N -1 1 316.333 1.004 20 0 DDADMM Cc1cccc(CO[C@@H](C)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000632436816 422342683 /nfs/dbraw/zinc/34/26/83/422342683.db2.gz OHOLAKRDRBRCPS-OLZOCXBDSA-N -1 1 317.393 1.675 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCO[C@@H](c2ccc(F)cc2)C1 ZINC000632442117 422348621 /nfs/dbraw/zinc/34/86/21/422348621.db2.gz TYEABTRYJBVRJX-QWHCGFSZSA-N -1 1 319.340 1.308 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H](CO)CC2CC2)c1 ZINC000632562211 422437972 /nfs/dbraw/zinc/43/79/72/422437972.db2.gz IYXNOCDPSLWXSJ-NSHDSACASA-N -1 1 315.391 1.088 20 0 DDADMM CC(C)(C)NS(=O)(=O)CC(=O)Nc1cccc(F)c1[O-] ZINC000628960410 422418985 /nfs/dbraw/zinc/41/89/85/422418985.db2.gz AKHNXMGAPBBUFE-UHFFFAOYSA-N -1 1 304.343 1.188 20 0 DDADMM O=C(Nc1ccn(-c2ccncc2)n1)c1c([O-])cc(F)cc1F ZINC000603740598 422453120 /nfs/dbraw/zinc/45/31/20/422453120.db2.gz YGBGWHPXIHRZRV-UHFFFAOYSA-N -1 1 316.267 1.925 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@](F)(c2ccccc2)C1 ZINC000635902583 422457688 /nfs/dbraw/zinc/45/76/88/422457688.db2.gz FTICRSKHHHDVMO-OAHLLOKOSA-N -1 1 303.341 1.620 20 0 DDADMM C[C@H](CCOCc1ccccc1)NC(=O)CCCc1nn[n-]n1 ZINC000635935679 422489707 /nfs/dbraw/zinc/48/97/07/422489707.db2.gz ZLVDVFKFJBIHLA-CYBMUJFWSA-N -1 1 317.393 1.634 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@@H]1CSc2ccccc21 ZINC000635972744 422527710 /nfs/dbraw/zinc/52/77/10/422527710.db2.gz FURFPJNTUUJBQD-SNVBAGLBSA-N -1 1 303.391 1.528 20 0 DDADMM C[C@H](CN1Cc2ccccc2C[C@@H]1C(=O)[O-])CS(C)(=O)=O ZINC000578329840 422549250 /nfs/dbraw/zinc/54/92/50/422549250.db2.gz PKHQHWUGUPMREO-BXUZGUMPSA-N -1 1 311.403 1.179 20 0 DDADMM COc1ccc2nc(CN3C4CCC3(C(=O)[O-])CC4)[nH]c2n1 ZINC000634697013 422558754 /nfs/dbraw/zinc/55/87/54/422558754.db2.gz WXMXEDGUNCJRLH-UHFFFAOYSA-N -1 1 302.334 1.548 20 0 DDADMM C[C@]1(c2ccc(F)cc2)CCN(C(=O)CCc2nn[n-]n2)C1 ZINC000632832346 422611767 /nfs/dbraw/zinc/61/17/67/422611767.db2.gz VZJLNXWYGSJZMS-HNNXBMFYSA-N -1 1 303.341 1.462 20 0 DDADMM COCCCn1ccc(=NC(=O)Cc2ccc([O-])c(Cl)c2)[nH]1 ZINC000631349297 422703905 /nfs/dbraw/zinc/70/39/05/422703905.db2.gz LRDKKMFIZYVTCF-UHFFFAOYSA-N -1 1 323.780 1.882 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000636205891 422715600 /nfs/dbraw/zinc/71/56/00/422715600.db2.gz BVHKNZIPXBNDGW-QWHCGFSZSA-N -1 1 307.398 1.330 20 0 DDADMM CN(C(=O)CCc1nn[n-]n1)[C@@H]1CCCN(c2ccccc2)C1 ZINC000631318145 422683405 /nfs/dbraw/zinc/68/34/05/422683405.db2.gz LGGUJKBPAIDWKI-CQSZACIVSA-N -1 1 314.393 1.260 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H]1C(=O)NCCN1CCc2ccccc2C1 ZINC000634860173 422688131 /nfs/dbraw/zinc/68/81/31/422688131.db2.gz LOZRIXMKUUUPKW-JKSUJKDBSA-N -1 1 316.401 1.662 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2cccc(O)c2)cc1 ZINC000178373043 263352492 /nfs/dbraw/zinc/35/24/92/263352492.db2.gz RYPZRURHLOYORZ-UHFFFAOYSA-N -1 1 306.343 1.553 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC(C)(C)OCCO)cnc2n1 ZINC000646244259 423413845 /nfs/dbraw/zinc/41/38/45/423413845.db2.gz IDYCJLPVPVJAEK-UHFFFAOYSA-N -1 1 319.361 1.161 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC(C)(C)OCCO)c2=O ZINC000646244259 423413851 /nfs/dbraw/zinc/41/38/51/423413851.db2.gz IDYCJLPVPVJAEK-UHFFFAOYSA-N -1 1 319.361 1.161 20 0 DDADMM O=C(c1ccc2ccncc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000651561246 423593814 /nfs/dbraw/zinc/59/38/14/423593814.db2.gz DUQDEOVJHIKTAO-CYBMUJFWSA-N -1 1 308.345 1.768 20 0 DDADMM O=S(=O)([N-]CC[C@]1(O)CCOC1)c1sccc1Cl ZINC000651840104 423706160 /nfs/dbraw/zinc/70/61/60/423706160.db2.gz BHQUTBQKCXRSST-JTQLQIEISA-N -1 1 311.812 1.221 20 0 DDADMM Cn1nnc2c1CC[C@H](NC(=O)c1ccc(Cl)cc1[O-])C2 ZINC000654112575 423708286 /nfs/dbraw/zinc/70/82/86/423708286.db2.gz OXLGOYCDAHTBMB-VIFPVBQESA-N -1 1 306.753 1.461 20 0 DDADMM C[C@@]1(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CCc2ccccc21 ZINC000649210651 423678428 /nfs/dbraw/zinc/67/84/28/423678428.db2.gz GPTPYLHBEFYNPR-CQSZACIVSA-N -1 1 320.374 1.051 20 0 DDADMM C[C@@]1(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CCc2ccccc21 ZINC000649210651 423678433 /nfs/dbraw/zinc/67/84/33/423678433.db2.gz GPTPYLHBEFYNPR-CQSZACIVSA-N -1 1 320.374 1.051 20 0 DDADMM C[C@H]1CO[C@@H](CO)CN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000649215704 423682641 /nfs/dbraw/zinc/68/26/41/423682641.db2.gz JYOQMEZPWHLHSW-WCBMZHEXSA-N -1 1 319.279 1.633 20 0 DDADMM CSc1cccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000649219072 423684208 /nfs/dbraw/zinc/68/42/08/423684208.db2.gz KMRUQVPEONKISF-SECBINFHSA-N -1 1 320.374 1.912 20 0 DDADMM O=S(=O)([N-][C@H](CO)CCF)c1c(Cl)cccc1Cl ZINC000651896379 423732512 /nfs/dbraw/zinc/73/25/12/423732512.db2.gz QMIZCLWRVXYQNS-ZETCQYMHSA-N -1 1 316.181 1.992 20 0 DDADMM CCO[C@@H](CC)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000644640369 423738238 /nfs/dbraw/zinc/73/82/38/423738238.db2.gz NOBUSCSYYLFMHG-LBPRGKRZSA-N -1 1 316.379 1.054 20 0 DDADMM COc1c(C)cnc(CN2CCC[C@H](c3n[n-]c(=N)o3)C2)c1C ZINC000639824762 423762608 /nfs/dbraw/zinc/76/26/08/423762608.db2.gz KAWVWTKNAKEVFE-LBPRGKRZSA-N -1 1 317.393 1.882 20 0 DDADMM C[C@H]1CCCCN1C(=O)CN1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639826143 423762659 /nfs/dbraw/zinc/76/26/59/423762659.db2.gz RSBIPQUNLGFVDJ-NWDGAFQWSA-N -1 1 307.398 1.063 20 0 DDADMM N=c1[n-]nc([C@H]2CCCN(CCOc3ccccc3F)C2)o1 ZINC000639824256 423759407 /nfs/dbraw/zinc/75/94/07/423759407.db2.gz HMPHJRCSKSQLAO-NSHDSACASA-N -1 1 306.341 1.880 20 0 DDADMM CCn1cc([N-]S(=O)(=O)C[C@@H](OC)C2CCOCC2)cn1 ZINC000647124006 423765303 /nfs/dbraw/zinc/76/53/03/423765303.db2.gz KBRYCAJWDVEHLI-CYBMUJFWSA-N -1 1 317.411 1.086 20 0 DDADMM CO[C@H]1CCCC[C@H]1S(=O)(=O)[N-]c1ccc2c(c1)nnn2C ZINC000641692086 423870916 /nfs/dbraw/zinc/87/09/16/423870916.db2.gz KSOILLKDSOBVJS-UONOGXRCSA-N -1 1 324.406 1.668 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnccc1SC)C1CC1 ZINC000647268070 423932567 /nfs/dbraw/zinc/93/25/67/423932567.db2.gz PINNQGUDMFWFJU-NSHDSACASA-N -1 1 302.421 1.970 20 0 DDADMM N=c1nc(N2CCN(C(=O)c3c[nH]cc3C3CC3)CC2)s[n-]1 ZINC000639921210 423927770 /nfs/dbraw/zinc/92/77/70/423927770.db2.gz ULWNUFONXCDMCB-UHFFFAOYSA-N -1 1 318.406 1.119 20 0 DDADMM O=C(c1cnc2[nH]cnc2c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644883852 424011350 /nfs/dbraw/zinc/01/13/50/424011350.db2.gz FPIXZIVCWPKVRV-MRVPVSSYSA-N -1 1 314.305 1.066 20 0 DDADMM CN(C)c1ncccc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644886957 424019304 /nfs/dbraw/zinc/01/93/04/424019304.db2.gz AQDLQRHSMJCSIQ-UHFFFAOYSA-N -1 1 317.349 1.256 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2c(F)cccc2F)c2ncnn21 ZINC000657065107 424067535 /nfs/dbraw/zinc/06/75/35/424067535.db2.gz ZUOFIIKYTIGLAH-XVKPBYJWSA-N -1 1 314.317 1.541 20 0 DDADMM COc1ccnc(N2CCN(c3ccc(C(=O)[O-])cn3)CC2)c1 ZINC000647449730 424029827 /nfs/dbraw/zinc/02/98/27/424029827.db2.gz PILCCZWKZTTZKL-UHFFFAOYSA-N -1 1 314.345 1.510 20 0 DDADMM COCCCn1cnnc1SCC(=O)c1ccc([O-])cc1 ZINC000644922951 424050553 /nfs/dbraw/zinc/05/05/53/424050553.db2.gz UNMRXQHQQVNSSX-UHFFFAOYSA-N -1 1 307.375 1.995 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2cccc(F)c2F)nn1 ZINC000657121239 424132697 /nfs/dbraw/zinc/13/26/97/424132697.db2.gz QFSGTTKENKEUGR-UHFFFAOYSA-N -1 1 302.306 1.055 20 0 DDADMM CN(C)[C@H](CNC(=O)c1ccc(C(=O)[O-])nc1)c1ccsc1 ZINC000642051049 424099928 /nfs/dbraw/zinc/09/99/28/424099928.db2.gz GNYXTDKHAJNRGT-CYBMUJFWSA-N -1 1 319.386 1.874 20 0 DDADMM Cn1cc([C@@H]2C[C@H](NC(=O)c3ccc([O-])c(F)c3)CCO2)cn1 ZINC000655111053 424220342 /nfs/dbraw/zinc/22/03/42/424220342.db2.gz FMJDJYUIFQFFBL-DOMZBBRYSA-N -1 1 319.336 1.915 20 0 DDADMM COCCN(C(=O)N=c1[n-]sc2ccccc21)[C@@H]1CCOC1 ZINC000640338262 424359193 /nfs/dbraw/zinc/35/91/93/424359193.db2.gz AKZSECQUSJRKGE-LLVKDONJSA-N -1 1 321.402 1.987 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N[C@H](CO)CC(F)F ZINC000640339611 424361299 /nfs/dbraw/zinc/36/12/99/424361299.db2.gz SWOJKRGVLXWALW-ZETCQYMHSA-N -1 1 301.318 1.856 20 0 DDADMM CCc1cc(=O)[nH]c(C[N@@H+]2CCC[C@@H](C(=O)NC(C)C)C2)n1 ZINC000662114903 424401202 /nfs/dbraw/zinc/40/12/02/424401202.db2.gz FHLZXRZTFQQNCP-GFCCVEGCSA-N -1 1 306.410 1.481 20 0 DDADMM COc1cccc(S(=O)(=O)NC[C@@H](C)[N@@H+](C)C2CC2)c1F ZINC000655497489 424516268 /nfs/dbraw/zinc/51/62/68/424516268.db2.gz CWWYSSCWMWLGRE-SNVBAGLBSA-N -1 1 316.398 1.595 20 0 DDADMM CN(C(=O)c1c([O-])cnc2ccc(Cl)cc21)[C@@H]1COC[C@@H]1O ZINC000342360986 271389557 /nfs/dbraw/zinc/38/95/57/271389557.db2.gz XSZMRWFOCBXVSG-YPMHNXCESA-N -1 1 322.748 1.426 20 0 DDADMM COc1cc(C(=O)N[C@@H](CO)[C@@H]2CCCO2)cc(Cl)c1[O-] ZINC000344909094 272138542 /nfs/dbraw/zinc/13/85/42/272138542.db2.gz QPDJCTITOJOENV-QWRGUYRKSA-N -1 1 315.753 1.324 20 0 DDADMM C[C@]1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C[C@H]2C[C@H]2C1 ZINC000344971687 272149169 /nfs/dbraw/zinc/14/91/69/272149169.db2.gz VFQGACXQKFVKOP-QRJZZWFUSA-N -1 1 310.375 1.414 20 0 DDADMM CC1CCN(c2nnc(SCc3nn[n-]n3)n2C2CC2)CC1 ZINC000345019837 272159401 /nfs/dbraw/zinc/15/94/01/272159401.db2.gz XSLKAPJSLVBSMR-UHFFFAOYSA-N -1 1 320.426 1.655 20 0 DDADMM C[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@@H](C)S1=O ZINC000408204244 288011998 /nfs/dbraw/zinc/01/19/98/288011998.db2.gz UELJYSOGJDPTEL-HTQZYQBOSA-N -1 1 303.330 1.652 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cc2n(n1)CCCO2 ZINC000431484299 280053930 /nfs/dbraw/zinc/05/39/30/280053930.db2.gz CZJLECYSSNUNBV-UHFFFAOYSA-N -1 1 302.216 1.055 20 0 DDADMM Nc1ccnc(CNC(=O)c2ccc(Br)cc2[O-])n1 ZINC000120625730 281122537 /nfs/dbraw/zinc/12/25/37/281122537.db2.gz YXUDXABLANMMDL-UHFFFAOYSA-N -1 1 323.150 1.457 20 0 DDADMM CC(=O)NCCCNC(=O)c1ccc(Br)cc1[O-] ZINC000127436317 281274636 /nfs/dbraw/zinc/27/46/36/281274636.db2.gz OHNHUNNPCNKLIR-UHFFFAOYSA-N -1 1 315.167 1.411 20 0 DDADMM CO[C@H](C)c1nc(=N[C@H]2CCC[C@H](C(=O)N(C)C)C2)s[n-]1 ZINC000337879904 294344278 /nfs/dbraw/zinc/34/42/78/294344278.db2.gz TXDVBGZMZGMJGI-VWYCJHECSA-N -1 1 312.439 1.726 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(Cc2ccn(-c3ccccc3)n2)C1 ZINC000324415561 298263060 /nfs/dbraw/zinc/26/30/60/298263060.db2.gz REVFGBSGSDGKFW-QGZVFWFLSA-N -1 1 315.373 1.795 20 0 DDADMM CCCc1cc(C(=O)N=c2[nH][n-]c(C)c2C(=O)NC)ccn1 ZINC000356356942 298769733 /nfs/dbraw/zinc/76/97/33/298769733.db2.gz CSMZHYPHFUJQHJ-UHFFFAOYSA-N -1 1 301.350 1.099 20 0 DDADMM C[C@@H](Oc1cccc(F)c1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000362782917 300139863 /nfs/dbraw/zinc/13/98/63/300139863.db2.gz XMJSJIPKQRLKJV-MNOVXSKESA-N -1 1 319.340 1.512 20 0 DDADMM CCc1sc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1C ZINC000363394430 300262240 /nfs/dbraw/zinc/26/22/40/300262240.db2.gz BKMWEZMNACVCAR-VIFPVBQESA-N -1 1 307.379 1.346 20 0 DDADMM C[C@H](CC1CCCCC1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363407965 300263601 /nfs/dbraw/zinc/26/36/01/300263601.db2.gz JOKHPZXFSOSDPI-YPMHNXCESA-N -1 1 307.398 1.706 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)c2ccnnc2)CC1 ZINC000364018626 300335719 /nfs/dbraw/zinc/33/57/19/300335719.db2.gz RKBVQEZVLKURAB-UHFFFAOYSA-N -1 1 311.341 1.917 20 0 DDADMM O=C([O-])c1cccc(N2CCC[C@H](N3CCOCC3)CC2)n1 ZINC000574822281 304687557 /nfs/dbraw/zinc/68/75/57/304687557.db2.gz DQEFVUJAQVFGCY-ZDUSSCGKSA-N -1 1 305.378 1.471 20 0 DDADMM Cn1ncc([N-]S(=O)(=O)CCCCF)c1-c1ccccn1 ZINC000367383235 300838682 /nfs/dbraw/zinc/83/86/82/300838682.db2.gz BVQPNSSIYRAVDR-UHFFFAOYSA-N -1 1 312.370 1.974 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3CCOc4ccccc43)ccnc1-2 ZINC000368459211 301032772 /nfs/dbraw/zinc/03/27/72/301032772.db2.gz RVAOBIMNGTZAOZ-XGERHETISA-N -1 1 308.341 1.847 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CCC[C@@H]1C ZINC000369734155 301218485 /nfs/dbraw/zinc/21/84/85/301218485.db2.gz KYAAPDZBMMPDLB-NSHDSACASA-N -1 1 312.373 1.694 20 0 DDADMM CCOC(=O)N1CCC([C@@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC000495530999 302418645 /nfs/dbraw/zinc/41/86/45/302418645.db2.gz SJDRRFSXCYQTOJ-LLVKDONJSA-N -1 1 321.377 1.774 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2ccn(-c3ccncc3)n2)c[nH]1 ZINC000356141537 306838153 /nfs/dbraw/zinc/83/81/53/306838153.db2.gz CLINQHTYFGQBFL-UHFFFAOYSA-N -1 1 304.335 1.100 20 0 DDADMM CCOC[C@H](C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000494314460 528976917 /nfs/dbraw/zinc/97/69/17/528976917.db2.gz RDYNCIHGTWODOE-ZETCQYMHSA-N -1 1 312.185 1.745 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C1 ZINC000356612782 306857799 /nfs/dbraw/zinc/85/77/99/306857799.db2.gz GEVIQWCWXRBEAJ-AVGNSLFASA-N -1 1 303.362 1.164 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NCC1CC=CC1 ZINC000529712465 303146935 /nfs/dbraw/zinc/14/69/35/303146935.db2.gz MHXFYRCZCSIZDE-UHFFFAOYSA-N -1 1 324.384 1.765 20 0 DDADMM O=C(Cc1ccc(F)cc1F)NC1(c2nn[n-]n2)CCCC1 ZINC000530790943 303212482 /nfs/dbraw/zinc/21/24/82/303212482.db2.gz IDBYDDAMFZMGID-UHFFFAOYSA-N -1 1 307.304 1.606 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1C[C@@H](C)[C@H]1C ZINC000357558172 306894035 /nfs/dbraw/zinc/89/40/35/306894035.db2.gz ZWHXTAKBDBAFOM-MWLCHTKSSA-N -1 1 302.378 1.851 20 0 DDADMM C[C@H](CNC(=O)c1ccc2ccccc2c1[O-])S(C)(=O)=O ZINC000533163195 303312307 /nfs/dbraw/zinc/31/23/07/303312307.db2.gz BGBYTBGBRGXEOT-SNVBAGLBSA-N -1 1 307.371 1.708 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)N2CCOc3ccncc32)c1 ZINC000534363878 303333029 /nfs/dbraw/zinc/33/30/29/303333029.db2.gz YHCBOBZNDNSJLD-UHFFFAOYSA-N -1 1 313.313 1.785 20 0 DDADMM Cc1ccc2oc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)cc2n1 ZINC000539324874 303394886 /nfs/dbraw/zinc/39/48/86/303394886.db2.gz BRDVNOVRAFREOM-JTQLQIEISA-N -1 1 312.333 1.669 20 0 DDADMM O=C(c1ccnc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000539326907 303394999 /nfs/dbraw/zinc/39/49/99/303394999.db2.gz STCFWHFTXQVVFA-LLVKDONJSA-N -1 1 308.345 1.768 20 0 DDADMM COCC(C)(C)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000550570941 303625733 /nfs/dbraw/zinc/62/57/33/303625733.db2.gz JEFMGWAPQGDJIA-UHFFFAOYSA-N -1 1 320.393 1.526 20 0 DDADMM CCOc1cc(C(=O)N[C@H](C)CC(N)=O)cc(Cl)c1[O-] ZINC000358098979 306929247 /nfs/dbraw/zinc/92/92/47/306929247.db2.gz BFOVPPOMYCUTGN-SSDOTTSWSA-N -1 1 300.742 1.438 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C[S@](C)=O)c2ccccc2)c([O-])c1 ZINC000358621789 306945334 /nfs/dbraw/zinc/94/53/34/306945334.db2.gz BPBFPBIDKQYSTK-DMZKTXOQSA-N -1 1 318.398 1.945 20 0 DDADMM C[C@]1(c2ccccc2)C[N@H+](CCCOC(=O)NC(N)=O)CCO1 ZINC000362339980 307017195 /nfs/dbraw/zinc/01/71/95/307017195.db2.gz JCUUGSBODFHLFN-MRXNPFEDSA-N -1 1 321.377 1.429 20 0 DDADMM COc1ccc(Cl)cc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363396701 307027224 /nfs/dbraw/zinc/02/72/24/307027224.db2.gz WUSWXMUZJPVZHD-NSHDSACASA-N -1 1 323.740 1.075 20 0 DDADMM COc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)ccc1C ZINC000366657687 307078947 /nfs/dbraw/zinc/07/89/47/307078947.db2.gz RZVNATYQAFUWHW-LBPRGKRZSA-N -1 1 301.350 1.537 20 0 DDADMM C[C@H](Oc1ccc(F)cc1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000367182560 307088134 /nfs/dbraw/zinc/08/81/34/307088134.db2.gz BPZNTINSOTUURP-QWRGUYRKSA-N -1 1 319.340 1.512 20 0 DDADMM COc1n[n-]c(=NC(=O)N2CCC(c3cn[nH]c3C)CC2)s1 ZINC000367489131 307095073 /nfs/dbraw/zinc/09/50/73/307095073.db2.gz JWPQSMDSXSPLMV-UHFFFAOYSA-N -1 1 322.394 1.412 20 0 DDADMM CCc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)oc1CC ZINC000370738346 307134662 /nfs/dbraw/zinc/13/46/62/307134662.db2.gz SRARQQSRYWJUIC-LBPRGKRZSA-N -1 1 305.338 1.131 20 0 DDADMM Cc1ccc(SCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000370861612 307138473 /nfs/dbraw/zinc/13/84/73/307138473.db2.gz YGJYNGCSBSBZMB-LBPRGKRZSA-N -1 1 319.390 1.200 20 0 DDADMM COc1ccc(F)cc1CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000372226199 307165661 /nfs/dbraw/zinc/16/56/61/307165661.db2.gz DZGWCTKNNAVHEP-JTQLQIEISA-N -1 1 319.340 1.296 20 0 DDADMM [O-]C(Nc1nc(-c2ccccc2)ns1)=C1C[C@H]1c1nnc[nH]1 ZINC000373206577 307181726 /nfs/dbraw/zinc/18/17/26/307181726.db2.gz WIOIPIAIUJABOQ-ZJUUUORDSA-N -1 1 312.358 1.487 20 0 DDADMM NC(=O)c1ccccc1N1CC[C@H]([N-]C(=O)C(F)(F)F)C1 ZINC000377639673 307275264 /nfs/dbraw/zinc/27/52/64/307275264.db2.gz JUHPPZFBEALDQF-QMMMGPOBSA-N -1 1 301.268 1.043 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC000377861628 307277812 /nfs/dbraw/zinc/27/78/12/307277812.db2.gz RWIKXEJRIPTXOO-GRYCIOLGSA-N -1 1 305.359 1.012 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC000377861628 307277813 /nfs/dbraw/zinc/27/78/13/307277813.db2.gz RWIKXEJRIPTXOO-GRYCIOLGSA-N -1 1 305.359 1.012 20 0 DDADMM NC(=O)c1ccc(=NCC2(Cc3ccc(F)cc3)CC2)[n-]n1 ZINC000538929383 307678433 /nfs/dbraw/zinc/67/84/33/307678433.db2.gz WRFQGESEPZYVAY-UHFFFAOYSA-N -1 1 300.337 1.571 20 0 DDADMM C[C@]1(C(=O)[O-])CCCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000564641689 308001121 /nfs/dbraw/zinc/00/11/21/308001121.db2.gz OWHLMZJHVUHHIV-HNNXBMFYSA-N -1 1 303.318 1.997 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1CO[C@@H](C)C1 ZINC000567960902 308102859 /nfs/dbraw/zinc/10/28/59/308102859.db2.gz DHCUGRADNRSUBU-CABZTGNLSA-N -1 1 318.377 1.278 20 0 DDADMM C[C@@H](N=c1ccc(N2CC(C)(C(N)=O)C2)n[n-]1)c1ccccc1 ZINC000568387524 308116693 /nfs/dbraw/zinc/11/66/93/308116693.db2.gz HIUYOWYOKPTYRJ-GFCCVEGCSA-N -1 1 311.389 1.383 20 0 DDADMM Cn1cncc1CS(=O)(=O)c1nc(-c2ccccc2F)n[n-]1 ZINC000570272341 308165681 /nfs/dbraw/zinc/16/56/81/308165681.db2.gz HASROLSYPLZWHO-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM Cn1cncc1CS(=O)(=O)c1n[n-]c(-c2ccccc2F)n1 ZINC000570272341 308165682 /nfs/dbraw/zinc/16/56/82/308165682.db2.gz HASROLSYPLZWHO-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2Cc3cccc(O)c3C2)sc1C ZINC000570656882 308175670 /nfs/dbraw/zinc/17/56/70/308175670.db2.gz YGLMMPNIKKFXGO-LLVKDONJSA-N -1 1 324.427 1.911 20 0 DDADMM CN(C)[C@H](CNC(=O)CSCC(=O)[O-])c1ccc(F)cc1 ZINC000577279463 308376296 /nfs/dbraw/zinc/37/62/96/308376296.db2.gz SMNGCKGQJXLKJP-GFCCVEGCSA-N -1 1 314.382 1.362 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)C[C@H]2CCCCC2(F)F)n1 ZINC000295896660 533708046 /nfs/dbraw/zinc/70/80/46/533708046.db2.gz LGJHFPZSWDRENK-MRVPVSSYSA-N -1 1 322.333 1.796 20 0 DDADMM COc1ccc(NC(=O)CN2C[C@@H](C(=O)[O-])CC[C@H]2C)cc1 ZINC000396999773 337243287 /nfs/dbraw/zinc/24/32/87/337243287.db2.gz DQXVYZOXPUGDME-NEPJUHHUSA-N -1 1 306.362 1.819 20 0 DDADMM COc1ccccc1NC(=O)CN1C[C@@H](C(=O)[O-])CC[C@H]1C ZINC000397023941 337243340 /nfs/dbraw/zinc/24/33/40/337243340.db2.gz MJAUYGCRNGNLEQ-NEPJUHHUSA-N -1 1 306.362 1.819 20 0 DDADMM COc1ccccc1N1CCC(N=c2[nH]c(C(=O)[O-])co2)CC1 ZINC000584616959 337373011 /nfs/dbraw/zinc/37/30/11/337373011.db2.gz RLYAZPWRRDJGFZ-UHFFFAOYSA-N -1 1 317.345 1.884 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)n1cccn1 ZINC000425302908 484115136 /nfs/dbraw/zinc/11/51/36/484115136.db2.gz NSEAUXIYRPQYFV-MNOVXSKESA-N -1 1 305.338 1.329 20 0 DDADMM CCCCNC(=O)NC(=O)CSc1nc(C2CC2)cc(=O)[n-]1 ZINC000436243174 484125162 /nfs/dbraw/zinc/12/51/62/484125162.db2.gz OYZLFEGFRAMLRI-UHFFFAOYSA-N -1 1 324.406 1.778 20 0 DDADMM Cc1cccc(S(=O)(=O)CCCN2CC3CC2(C(=O)[O-])C3)c1 ZINC000656760543 484317129 /nfs/dbraw/zinc/31/71/29/484317129.db2.gz CXAOSKGEKTUPTL-UHFFFAOYSA-N -1 1 323.414 1.708 20 0 DDADMM COCCCNC(=O)[C@@H](C)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000321022361 484405311 /nfs/dbraw/zinc/40/53/11/484405311.db2.gz PJUXZUXHHSEAJS-IUODEOHRSA-N -1 1 320.389 1.212 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000663061129 484636014 /nfs/dbraw/zinc/63/60/14/484636014.db2.gz NSXAYDHCVSKXSL-QGZVFWFLSA-N -1 1 320.389 1.211 20 0 DDADMM CCCCCc1cc(C(=O)N2CCOC[C@H]2CC(=O)[O-])n[nH]1 ZINC000659149789 484656415 /nfs/dbraw/zinc/65/64/15/484656415.db2.gz ISHHWUNPXAFXTL-GFCCVEGCSA-N -1 1 309.366 1.458 20 0 DDADMM C[C@@]1(C(=O)[O-])CCC[C@H]1NC(=O)Cc1[nH]nc2ccccc21 ZINC000659237832 484704314 /nfs/dbraw/zinc/70/43/14/484704314.db2.gz QXSQJJMVEBTFNF-CZUORRHYSA-N -1 1 301.346 1.865 20 0 DDADMM Cc1cc(CNC(=O)c2nn(-c3cccc(F)c3)cc2[O-])n[nH]1 ZINC000670788116 484900703 /nfs/dbraw/zinc/90/07/03/484900703.db2.gz CFUKRGQPDYVIJA-UHFFFAOYSA-N -1 1 315.308 1.679 20 0 DDADMM CC(C)(CCNC(=O)c1ccc([O-])c(F)c1)S(C)(=O)=O ZINC000665649768 484987536 /nfs/dbraw/zinc/98/75/36/484987536.db2.gz NCBOZCTZYFKIBC-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM CCOc1nc(C(=O)[N-]c2nncn2-c2cccnc2)co1 ZINC000673389009 485408533 /nfs/dbraw/zinc/40/85/33/485408533.db2.gz FOBWVAOJOYPCOU-UHFFFAOYSA-N -1 1 300.278 1.301 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1[nH]nc2c1CCCC2)c1ccccc1F ZINC000678986061 485749589 /nfs/dbraw/zinc/74/95/89/485749589.db2.gz SNYPEYBWSQQOGF-CQSZACIVSA-N -1 1 317.320 1.983 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@H]1c1cc(F)c(F)c(F)c1 ZINC000682825123 485788790 /nfs/dbraw/zinc/78/87/90/485788790.db2.gz UJHPOYIZNINVBU-YUMQZZPRSA-N -1 1 323.278 1.526 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2cnc3onc(C)c3c2)o1 ZINC000675276751 485898671 /nfs/dbraw/zinc/89/86/71/485898671.db2.gz LLIGUYCZZYCHDY-ZETCQYMHSA-N -1 1 323.334 1.262 20 0 DDADMM C[C@@H](CNC(=O)N(C)CC(=O)[O-])N1CCc2ccccc2C1 ZINC000679556613 485920013 /nfs/dbraw/zinc/92/00/13/485920013.db2.gz WKKGFMYZCADGNI-LBPRGKRZSA-N -1 1 305.378 1.159 20 0 DDADMM CCCn1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cn1 ZINC000679836128 486007468 /nfs/dbraw/zinc/00/74/68/486007468.db2.gz YSVCCODIHWOBAS-ZDUSSCGKSA-N -1 1 311.349 1.326 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@]2(C)CCO[C@H]2C)c(F)c1 ZINC000680105402 486095428 /nfs/dbraw/zinc/09/54/28/486095428.db2.gz SBUKMTQEFHGWCM-ISVAXAHUSA-N -1 1 321.345 1.819 20 0 DDADMM CS(=O)(=O)c1cccnc1CNC(=O)c1ccc([O-])c(F)c1 ZINC000683884923 486145031 /nfs/dbraw/zinc/14/50/31/486145031.db2.gz HUFOGEDJGIYXCD-UHFFFAOYSA-N -1 1 324.333 1.260 20 0 DDADMM Cn1cc(Br)c(=O)c(NC(=O)c2ncccc2[O-])c1 ZINC000680516746 486212007 /nfs/dbraw/zinc/21/20/07/486212007.db2.gz LGSWLQNHPODEAK-UHFFFAOYSA-N -1 1 324.134 1.501 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)N[C@H]2CCN(CC3CC3)C2)c1 ZINC000680654192 486255393 /nfs/dbraw/zinc/25/53/93/486255393.db2.gz HRERCFLMDXUHBH-ZDUSSCGKSA-N -1 1 324.402 1.147 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])c(F)c2)CC2(CCOCC2)O1 ZINC000684156507 486265960 /nfs/dbraw/zinc/26/59/60/486265960.db2.gz URQVPJFRXQPGJI-NSHDSACASA-N -1 1 309.337 1.941 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCNC(=O)[C@H]1c1cccs1 ZINC000681049599 486331297 /nfs/dbraw/zinc/33/12/97/486331297.db2.gz KBWKARVQIKDSKS-CYBMUJFWSA-N -1 1 320.345 1.906 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677049538 486386946 /nfs/dbraw/zinc/38/69/46/486386946.db2.gz VDPMSUGKKMFCGH-GZMMTYOYSA-N -1 1 304.354 1.314 20 0 DDADMM CN(C)C(=O)CCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684872296 486449380 /nfs/dbraw/zinc/44/93/80/486449380.db2.gz ASVKMAIBOBVDMA-UHFFFAOYSA-N -1 1 304.268 1.619 20 0 DDADMM CN1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CC1=O ZINC000684876215 486450640 /nfs/dbraw/zinc/45/06/40/486450640.db2.gz QYNWOECUNNMPDD-UHFFFAOYSA-N -1 1 302.252 1.325 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C[C@H]3CCCO3)CC2)c([O-])c1 ZINC000681709278 486496782 /nfs/dbraw/zinc/49/67/82/486496782.db2.gz TVIGHZAGSRFEGX-CYBMUJFWSA-N -1 1 305.378 1.032 20 0 DDADMM C[C@@H]1CCC[C@H](OCCCCCC(=O)NCc2nn[n-]n2)C1 ZINC000678041405 486614993 /nfs/dbraw/zinc/61/49/93/486614993.db2.gz JOAMYOHLGGOREY-OLZOCXBDSA-N -1 1 309.414 1.972 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(Cl)cc2[O-])CCN1CCO ZINC000682007865 486620833 /nfs/dbraw/zinc/62/08/33/486620833.db2.gz BJSXKKNGSGUYKY-UHFFFAOYSA-N -1 1 312.797 1.574 20 0 DDADMM Cc1cc2cc[nH]c2cc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000444454128 534106969 /nfs/dbraw/zinc/10/69/69/534106969.db2.gz HFIJKZIJLIXCCK-UHFFFAOYSA-N -1 1 324.340 1.945 20 0 DDADMM C[C@@H]1CC2(CCC2)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000432587310 534153756 /nfs/dbraw/zinc/15/37/56/534153756.db2.gz KAGRGFBRKKHSNE-LLVKDONJSA-N -1 1 303.362 1.308 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@H]3CC(=O)[C@@H]2C3)c1 ZINC000457776935 534231889 /nfs/dbraw/zinc/23/18/89/534231889.db2.gz MXVOJRPOZKZRQK-JMJZKYOTSA-N -1 1 303.314 1.733 20 0 DDADMM NC(=O)N[C@@H](CC(=O)[N-]O[C@@H]1CCCCO1)c1cccs1 ZINC000299486803 534403107 /nfs/dbraw/zinc/40/31/07/534403107.db2.gz BHJJNJJXVZVWOP-JOYOIKCWSA-N -1 1 313.379 1.422 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)C(=O)NC(C)(C)C)sc1C ZINC000330994380 534519060 /nfs/dbraw/zinc/51/90/60/534519060.db2.gz OBOYGSBTMBCAIM-MRVPVSSYSA-N -1 1 319.452 1.341 20 0 DDADMM Cn1[n-]c(CN[C@H](c2cc(F)ccc2F)C(F)F)nc1=O ZINC000434666919 534666527 /nfs/dbraw/zinc/66/65/27/534666527.db2.gz VHIPMACLRKNICS-SNVBAGLBSA-N -1 1 304.247 1.483 20 0 DDADMM O=S(=O)([N-]CCC1CC(O)C1)c1ccc(F)c(F)c1F ZINC000432295989 534867236 /nfs/dbraw/zinc/86/72/36/534867236.db2.gz YCSYSXRBNXIQGH-UHFFFAOYSA-N -1 1 309.309 1.543 20 0 DDADMM CC(C)(NC(=O)CCC(=O)c1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000446311579 527265788 /nfs/dbraw/zinc/26/57/88/527265788.db2.gz RBUTXAFOYZQBJA-UHFFFAOYSA-N -1 1 323.303 1.492 20 0 DDADMM CCN1C[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CC1=O ZINC000451872265 527992137 /nfs/dbraw/zinc/99/21/37/527992137.db2.gz SNBFRCOOLAEGGN-SSDOTTSWSA-N -1 1 322.308 1.003 20 0 DDADMM CCOC(=O)[C@H]1CN(C(=O)c2ccc([O-])cc2F)CC12COC2 ZINC000452260991 528078000 /nfs/dbraw/zinc/07/80/00/528078000.db2.gz KYMYXTTVAOKPQN-GFCCVEGCSA-N -1 1 323.320 1.183 20 0 DDADMM CCOC(=O)[C@H]1CN(C(=O)c2cc(F)ccc2[O-])CC12COC2 ZINC000452268316 528078588 /nfs/dbraw/zinc/07/85/88/528078588.db2.gz WSDBVBKINWNQIR-GFCCVEGCSA-N -1 1 323.320 1.183 20 0 DDADMM CCC[C@H](OC)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000295883488 528343051 /nfs/dbraw/zinc/34/30/51/528343051.db2.gz PSKHAFUHQJOUEL-LBPRGKRZSA-N -1 1 306.366 1.071 20 0 DDADMM CCC(=O)N[C@@H]1CCCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000330820326 528404234 /nfs/dbraw/zinc/40/42/34/528404234.db2.gz DKGNNMHHNNJJCF-SECBINFHSA-N -1 1 312.316 1.801 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCc1ccc(C(N)=O)cc1 ZINC000295694259 528560704 /nfs/dbraw/zinc/56/07/04/528560704.db2.gz NOORQTXNCPGNIP-UHFFFAOYSA-N -1 1 322.361 1.108 20 0 DDADMM CC(C)n1cc2c(n1)C[C@H](N=c1[n-]c(C(N)=O)cs1)CC2 ZINC000432332791 528581515 /nfs/dbraw/zinc/58/15/15/528581515.db2.gz BOAXAVODZJAREN-SNVBAGLBSA-N -1 1 305.407 1.411 20 0 DDADMM CC(F)(F)c1cccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000444443115 528744238 /nfs/dbraw/zinc/74/42/38/528744238.db2.gz WYFFIHPARGQGQY-UHFFFAOYSA-N -1 1 319.271 1.782 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(CNC(C)=O)s1)c1nn[n-]n1 ZINC000434762489 528991624 /nfs/dbraw/zinc/99/16/24/528991624.db2.gz HBFHJYDALXRUKG-SNVBAGLBSA-N -1 1 322.394 1.169 20 0 DDADMM CCS[C@@H]1CC[C@H](N(C)S(=O)(=O)c2c(C)o[n-]c2=N)C1 ZINC000330894892 529221719 /nfs/dbraw/zinc/22/17/19/529221719.db2.gz RNMOCJBDSHUHSL-VHSXEESVSA-N -1 1 319.452 1.690 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2ccc(F)cc2C)[n-]n1 ZINC000336853006 535412662 /nfs/dbraw/zinc/41/26/62/535412662.db2.gz BZKIKYQWYMLXTB-UHFFFAOYSA-N -1 1 302.353 1.750 20 0 DDADMM Cc1cnn(CCNc2c3ccccc3nnc2-c2nnn[n-]2)c1 ZINC000737447450 598967598 /nfs/dbraw/zinc/96/75/98/598967598.db2.gz BWWGIMHSIXFWQM-UHFFFAOYSA-N -1 1 321.348 1.427 20 0 DDADMM Cc1cnn(CCNc2c3ccccc3nnc2-c2nn[n-]n2)c1 ZINC000737447450 598967600 /nfs/dbraw/zinc/96/76/00/598967600.db2.gz BWWGIMHSIXFWQM-UHFFFAOYSA-N -1 1 321.348 1.427 20 0 DDADMM O=C(Nc1cc(F)ccc1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738145546 598979375 /nfs/dbraw/zinc/97/93/75/598979375.db2.gz SRSWNTQYWPCOGC-UHFFFAOYSA-N -1 1 302.244 1.792 20 0 DDADMM O=C(Nc1cc(F)ccc1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738145546 598979378 /nfs/dbraw/zinc/97/93/78/598979378.db2.gz SRSWNTQYWPCOGC-UHFFFAOYSA-N -1 1 302.244 1.792 20 0 DDADMM Cc1ccccc1C[C@H](C)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737426352 598994622 /nfs/dbraw/zinc/99/46/22/598994622.db2.gz LNDDNDBYAMBNLC-LBPRGKRZSA-N -1 1 322.372 1.931 20 0 DDADMM Cc1ccccc1C[C@H](C)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737426352 598994625 /nfs/dbraw/zinc/99/46/25/598994625.db2.gz LNDDNDBYAMBNLC-LBPRGKRZSA-N -1 1 322.372 1.931 20 0 DDADMM O=C(NC1(c2ccc(F)cc2)CC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738011404 599003936 /nfs/dbraw/zinc/00/39/36/599003936.db2.gz MDVJHMCHCIMGBC-UHFFFAOYSA-N -1 1 324.319 1.820 20 0 DDADMM O=C(NC1(c2ccc(F)cc2)CC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738011404 599003938 /nfs/dbraw/zinc/00/39/38/599003938.db2.gz MDVJHMCHCIMGBC-UHFFFAOYSA-N -1 1 324.319 1.820 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCOc2ccccc2C1 ZINC000738259812 599024659 /nfs/dbraw/zinc/02/46/59/599024659.db2.gz WKWHRDREHWANIU-UHFFFAOYSA-N -1 1 322.328 1.297 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCOc2ccccc2C1 ZINC000738259812 599024661 /nfs/dbraw/zinc/02/46/61/599024661.db2.gz WKWHRDREHWANIU-UHFFFAOYSA-N -1 1 322.328 1.297 20 0 DDADMM CC1(C)[C@H](Nc2cccc(-c3nnn[n-]3)n2)[C@H]2CCCO[C@@H]21 ZINC000820586681 599042576 /nfs/dbraw/zinc/04/25/76/599042576.db2.gz YPTLHYMQEOGNFG-WQAKAFBOSA-N -1 1 300.366 1.877 20 0 DDADMM CC1(C)[C@H](Nc2cccc(-c3nn[n-]n3)n2)[C@H]2CCCO[C@@H]21 ZINC000820586681 599042579 /nfs/dbraw/zinc/04/25/79/599042579.db2.gz YPTLHYMQEOGNFG-WQAKAFBOSA-N -1 1 300.366 1.877 20 0 DDADMM Cc1ccc2c(c1)C(=O)N(C)[C@H](c1ccc(-c3nnn[n-]3)o1)N2 ZINC000822274811 599118679 /nfs/dbraw/zinc/11/86/79/599118679.db2.gz JVJCGQUISVBQTK-CQSZACIVSA-N -1 1 310.317 1.964 20 0 DDADMM Cc1ccc2c(c1)C(=O)N(C)[C@H](c1ccc(-c3nn[n-]n3)o1)N2 ZINC000822274811 599118682 /nfs/dbraw/zinc/11/86/82/599118682.db2.gz JVJCGQUISVBQTK-CQSZACIVSA-N -1 1 310.317 1.964 20 0 DDADMM O=C(OCc1ccc(F)c(F)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738231329 599136024 /nfs/dbraw/zinc/13/60/24/599136024.db2.gz LOJWEJZAMFPRPH-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM O=C(OCc1ccc(F)c(F)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738231329 599136025 /nfs/dbraw/zinc/13/60/25/599136025.db2.gz LOJWEJZAMFPRPH-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CCS1 ZINC000820988612 599213355 /nfs/dbraw/zinc/21/33/55/599213355.db2.gz GUSSCKKFIWAOET-SNVBAGLBSA-N -1 1 304.379 1.229 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CCS1 ZINC000820988612 599213358 /nfs/dbraw/zinc/21/33/58/599213358.db2.gz GUSSCKKFIWAOET-SNVBAGLBSA-N -1 1 304.379 1.229 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(NCCOC2CCCCCC2)n1 ZINC000738445905 599306297 /nfs/dbraw/zinc/30/62/97/599306297.db2.gz ORHKSGFTNHHZMN-UHFFFAOYSA-N -1 1 303.370 1.808 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(NCCOC2CCCCCC2)n1 ZINC000738445905 599306300 /nfs/dbraw/zinc/30/63/00/599306300.db2.gz ORHKSGFTNHHZMN-UHFFFAOYSA-N -1 1 303.370 1.808 20 0 DDADMM Cc1ccc(OS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737365273 598259412 /nfs/dbraw/zinc/25/94/12/598259412.db2.gz HQOFOJILJMQTDA-UHFFFAOYSA-N -1 1 317.330 1.338 20 0 DDADMM Cc1ccc(OS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737365273 598259414 /nfs/dbraw/zinc/25/94/14/598259414.db2.gz HQOFOJILJMQTDA-UHFFFAOYSA-N -1 1 317.330 1.338 20 0 DDADMM c1ccc([C@@H]2CN(c3nccnc3-c3nnn[n-]3)CCCO2)cc1 ZINC000738428546 598311411 /nfs/dbraw/zinc/31/14/11/598311411.db2.gz NGLXVUZBAWIQBF-ZDUSSCGKSA-N -1 1 323.360 1.625 20 0 DDADMM c1ccc([C@@H]2CN(c3nccnc3-c3nn[n-]n3)CCCO2)cc1 ZINC000738428546 598311413 /nfs/dbraw/zinc/31/14/13/598311413.db2.gz NGLXVUZBAWIQBF-ZDUSSCGKSA-N -1 1 323.360 1.625 20 0 DDADMM c1cnn(Cc2cccc(Nc3nccnc3-c3nnn[n-]3)c2)c1 ZINC000738412782 598343593 /nfs/dbraw/zinc/34/35/93/598343593.db2.gz IFOUHWZKJUFWFK-UHFFFAOYSA-N -1 1 319.332 1.645 20 0 DDADMM c1cnn(Cc2cccc(Nc3nccnc3-c3nn[n-]n3)c2)c1 ZINC000738412782 598343595 /nfs/dbraw/zinc/34/35/95/598343595.db2.gz IFOUHWZKJUFWFK-UHFFFAOYSA-N -1 1 319.332 1.645 20 0 DDADMM CN(C(=O)c1ccc(-c2nnn[n-]2)s1)C1CCC(CO)CC1 ZINC000821573379 607356727 /nfs/dbraw/zinc/35/67/27/607356727.db2.gz NZMNDPDEUPPVGK-UHFFFAOYSA-N -1 1 321.406 1.551 20 0 DDADMM CN(C(=O)c1ccc(-c2nn[n-]n2)s1)C1CCC(CO)CC1 ZINC000821573379 607356729 /nfs/dbraw/zinc/35/67/29/607356729.db2.gz NZMNDPDEUPPVGK-UHFFFAOYSA-N -1 1 321.406 1.551 20 0 DDADMM Clc1ccc(NCCOC[C@@H]2CCOC2)nc1-c1nnn[n-]1 ZINC000737572979 598593917 /nfs/dbraw/zinc/59/39/17/598593917.db2.gz CDSXXPCKVHIYDT-SECBINFHSA-N -1 1 324.772 1.380 20 0 DDADMM Clc1ccc(NCCOC[C@@H]2CCOC2)nc1-c1nn[n-]n1 ZINC000737572979 598593919 /nfs/dbraw/zinc/59/39/19/598593919.db2.gz CDSXXPCKVHIYDT-SECBINFHSA-N -1 1 324.772 1.380 20 0 DDADMM C[C@@H]1CC[C@H](C)CN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820684278 599327140 /nfs/dbraw/zinc/32/71/40/599327140.db2.gz NXOQXGQPOQYPMR-VHSXEESVSA-N -1 1 322.394 1.071 20 0 DDADMM C[C@@H]1CC[C@H](C)CN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820684278 599327142 /nfs/dbraw/zinc/32/71/42/599327142.db2.gz NXOQXGQPOQYPMR-VHSXEESVSA-N -1 1 322.394 1.071 20 0 DDADMM C[C@H](Nc1cccc(-c2nnn[n-]2)n1)C(=O)NCc1ccccc1 ZINC000736140123 599415799 /nfs/dbraw/zinc/41/57/99/599415799.db2.gz YGCAHPYPLADLBH-NSHDSACASA-N -1 1 323.360 1.379 20 0 DDADMM C[C@H](Nc1cccc(-c2nn[n-]n2)n1)C(=O)NCc1ccccc1 ZINC000736140123 599415803 /nfs/dbraw/zinc/41/58/03/599415803.db2.gz YGCAHPYPLADLBH-NSHDSACASA-N -1 1 323.360 1.379 20 0 DDADMM c1ncn(-c2cccc(CNc3cccc(-c4nnn[n-]4)n3)c2)n1 ZINC000738412650 599442526 /nfs/dbraw/zinc/44/25/26/599442526.db2.gz FGIGNDWSOVUWPH-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1ncn(-c2cccc(CNc3cccc(-c4nn[n-]n4)n3)c2)n1 ZINC000738412650 599442529 /nfs/dbraw/zinc/44/25/29/599442529.db2.gz FGIGNDWSOVUWPH-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM C[C@H](NCc1ccc(-c2nnn[n-]2)o1)c1nc(C(C)(C)C)no1 ZINC000820432066 599463082 /nfs/dbraw/zinc/46/30/82/599463082.db2.gz LXRXZPQOWKRALL-QMMMGPOBSA-N -1 1 317.353 1.991 20 0 DDADMM C[C@H](NCc1ccc(-c2nn[n-]n2)o1)c1nc(C(C)(C)C)no1 ZINC000820432066 599463083 /nfs/dbraw/zinc/46/30/83/599463083.db2.gz LXRXZPQOWKRALL-QMMMGPOBSA-N -1 1 317.353 1.991 20 0 DDADMM CCN1CCC(N(C)S(=O)(=O)c2ccc(C(=O)[O-])o2)CC1 ZINC000036133288 599768461 /nfs/dbraw/zinc/76/84/61/599768461.db2.gz YOGXRXQWXPSEEV-UHFFFAOYSA-N -1 1 316.379 1.083 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)C=Cc1cccc(F)c1 ZINC000314114162 599777639 /nfs/dbraw/zinc/77/76/39/599777639.db2.gz NWRXPXABDHXXTJ-IHVVCDCBSA-N -1 1 303.293 1.374 20 0 DDADMM O=C([O-])[C@H]1CCN(CN2C[C@H](c3cccc(F)c3)CC2=O)C1 ZINC000739599215 599853832 /nfs/dbraw/zinc/85/38/32/599853832.db2.gz USJBOQOTOFHJSE-QWHCGFSZSA-N -1 1 306.337 1.506 20 0 DDADMM CCOc1ccc(CN(C)C(=O)CNC(C)(C)C(=O)[O-])cc1 ZINC000737206068 599925940 /nfs/dbraw/zinc/92/59/40/599925940.db2.gz WZTADHCOOKUKSM-UHFFFAOYSA-N -1 1 308.378 1.497 20 0 DDADMM C[C@H](O)[C@H]1CCCCN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000736673353 600090743 /nfs/dbraw/zinc/09/07/43/600090743.db2.gz AYDSBQABAKEFOF-SMDDNHRTSA-N -1 1 306.362 1.559 20 0 DDADMM CC(C)N(CC(=O)N(C)c1ccccc1C(=O)[O-])C[C@H](C)O ZINC000736678580 600136044 /nfs/dbraw/zinc/13/60/44/600136044.db2.gz WFPPBSOSQNLIFL-LBPRGKRZSA-N -1 1 308.378 1.439 20 0 DDADMM CSc1n[nH]c(NC(=O)c2ccc(OCC(=O)[O-])cc2)n1 ZINC000738216200 600160980 /nfs/dbraw/zinc/16/09/80/600160980.db2.gz XRCQGAXQBFAASL-UHFFFAOYSA-N -1 1 308.319 1.242 20 0 DDADMM Cc1cc(CNC(=O)Nc2ccc(OCC(=O)[O-])cc2)n[nH]1 ZINC000738387134 600226784 /nfs/dbraw/zinc/22/67/84/600226784.db2.gz CNMLRTAFJZZODT-UHFFFAOYSA-N -1 1 304.306 1.503 20 0 DDADMM C[C@@H](CNc1nc(Cl)c(C(=O)[O-])s1)N1CCN(C)CC1 ZINC000736607110 600433266 /nfs/dbraw/zinc/43/32/66/600433266.db2.gz PQPWDXDZJPTVDF-QMMMGPOBSA-N -1 1 318.830 1.543 20 0 DDADMM COc1cccc(CNC(=O)CN2CCC(C(=O)[O-])CC2)c1 ZINC000314492090 600473514 /nfs/dbraw/zinc/47/35/14/600473514.db2.gz PCHQDWXFJSZBGC-UHFFFAOYSA-N -1 1 306.362 1.108 20 0 DDADMM COc1cc(NC(=O)c2cn[nH]c2C)c(C(=O)[O-])cc1OC ZINC000386113865 600490711 /nfs/dbraw/zinc/49/07/11/600490711.db2.gz QRZPDHZATDMVRQ-UHFFFAOYSA-N -1 1 305.290 1.686 20 0 DDADMM CCc1cc(CNC(=O)N2CCC3(C[C@H]3C(=O)[O-])CC2)[nH]n1 ZINC000737252067 600498210 /nfs/dbraw/zinc/49/82/10/600498210.db2.gz DGYIZEHSUOXUQU-LBPRGKRZSA-N -1 1 306.366 1.368 20 0 DDADMM C[C@@H]1CCCN(C(=O)CN(CCC(=O)[O-])C[C@@H]2CCCO2)C1 ZINC000736789262 600538038 /nfs/dbraw/zinc/53/80/38/600538038.db2.gz LPBROBMOOGMSJC-KGLIPLIRSA-N -1 1 312.410 1.201 20 0 DDADMM CN1CCN(Cc2ccc(C(=O)NC(C)(C)C(=O)[O-])cc2)CC1 ZINC000737528265 600565036 /nfs/dbraw/zinc/56/50/36/600565036.db2.gz BEZMTHRIDILKIQ-UHFFFAOYSA-N -1 1 319.405 1.027 20 0 DDADMM C[C@H](C(=O)N[C@@](C)(C(=O)[O-])C1CC1)N(C)Cc1ccccc1 ZINC000736213062 600609324 /nfs/dbraw/zinc/60/93/24/600609324.db2.gz YEIQQMCIPWXIFP-SJKOYZFVSA-N -1 1 304.390 1.876 20 0 DDADMM C[C@@H](c1nc(-c2cccnc2)no1)N1CCC[C@@H](C(=O)[O-])C1 ZINC000736726646 600612507 /nfs/dbraw/zinc/61/25/07/600612507.db2.gz VXQALPKYRQTMEN-CMPLNLGQSA-N -1 1 302.334 1.989 20 0 DDADMM CCN(CCC(=O)[O-])C(=O)[C@@H](C)N1CCc2ccccc2C1 ZINC000737094334 600639132 /nfs/dbraw/zinc/63/91/32/600639132.db2.gz UNCZBNKBOVINPF-CYBMUJFWSA-N -1 1 304.390 1.756 20 0 DDADMM O=C([O-])[C@H]1CCC[C@@H](C(=O)NCCCCN2CCOCC2)C1 ZINC000739568858 600657485 /nfs/dbraw/zinc/65/74/85/600657485.db2.gz PQDZSYPWTRXECU-KGLIPLIRSA-N -1 1 312.410 1.106 20 0 DDADMM O=C([O-])[C@H]1CCCN([C@@H]2CC(=O)N(c3ccccc3)C2=O)C1 ZINC000387567187 600685026 /nfs/dbraw/zinc/68/50/26/600685026.db2.gz VWKRFTLBIDJVJG-WCQYABFASA-N -1 1 302.330 1.115 20 0 DDADMM Cc1ccc2nc(CNC(=O)NCCSCC(=O)[O-])[nH]c2c1 ZINC000832958605 600747856 /nfs/dbraw/zinc/74/78/56/600747856.db2.gz BSBQZZHTESFNOI-UHFFFAOYSA-N -1 1 322.390 1.488 20 0 DDADMM Cc1cc(NC(=O)CCSc2nnc(C)[nH]2)c(C(=O)[O-])[nH]1 ZINC000832893809 600838387 /nfs/dbraw/zinc/83/83/87/600838387.db2.gz VGSKRPGVMRKODA-UHFFFAOYSA-N -1 1 309.351 1.569 20 0 DDADMM COC(=O)/C(C)=C/CN1CCN(C/C=C(/C)C(=O)[O-])[C@@H](C)C1 ZINC000831052905 601055784 /nfs/dbraw/zinc/05/57/84/601055784.db2.gz FDPYTYJRFIVODW-NDONUNLZSA-N -1 1 310.394 1.143 20 0 DDADMM Cc1nc(CN(C)[C@@H]2CCN(Cc3occc3C(=O)[O-])C2)no1 ZINC000738854143 601102403 /nfs/dbraw/zinc/10/24/03/601102403.db2.gz FZKPWEKSHYKDBJ-LLVKDONJSA-N -1 1 320.349 1.376 20 0 DDADMM Cc1nnc([C@H]2CN([C@@H](C(=O)[O-])c3ccccc3)CCO2)[nH]1 ZINC000738338592 601105601 /nfs/dbraw/zinc/10/56/01/601105601.db2.gz SCCSOLHKINPZBZ-CHWSQXEVSA-N -1 1 302.334 1.312 20 0 DDADMM C[C@H]1CCN(CC(=O)NCCC(=O)[O-])[C@H]1c1cccc(F)c1 ZINC000828284905 601117976 /nfs/dbraw/zinc/11/79/76/601117976.db2.gz JIHMGZWCIUXTSG-MEDUHNTESA-N -1 1 308.353 1.800 20 0 DDADMM CCN(C(C)=O)c1nc(CN[C@@](C)(C(=O)[O-])C2CC2)cs1 ZINC000829602677 601174264 /nfs/dbraw/zinc/17/42/64/601174264.db2.gz VHEQBUQUVKYQLE-CQSZACIVSA-N -1 1 311.407 1.859 20 0 DDADMM CN(C)[C@H](CNC(=O)c1ccnc(C(=O)[O-])c1)c1cccs1 ZINC000830585275 601247836 /nfs/dbraw/zinc/24/78/36/601247836.db2.gz WAGMUAWTGRLIBV-GFCCVEGCSA-N -1 1 319.386 1.874 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCN([C@H](CC)CO)CC1 ZINC000830374299 601422803 /nfs/dbraw/zinc/42/28/03/601422803.db2.gz AKTYZMZTQVDVNK-CYBMUJFWSA-N -1 1 310.394 1.429 20 0 DDADMM C[C@@H]1CN([C@@H](C(=O)NC2CC2)c2ccc(F)cc2)C[C@H]1C(=O)[O-] ZINC000828413167 601523369 /nfs/dbraw/zinc/52/33/69/601523369.db2.gz QHANYYHXJGGQSG-VCTAVGKDSA-N -1 1 320.364 1.798 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@H](CC(=O)[O-])C1CC1 ZINC000832847852 601530739 /nfs/dbraw/zinc/53/07/39/601530739.db2.gz ZBHNFALACFVGRL-SSDOTTSWSA-N -1 1 305.256 1.720 20 0 DDADMM O=C([O-])CSCc1cccc(NC(=O)NCc2c[nH]nn2)c1 ZINC000833263356 601624290 /nfs/dbraw/zinc/62/42/90/601624290.db2.gz MRLOBKRPFSKJKJ-UHFFFAOYSA-N -1 1 321.362 1.444 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N[C@](C)(COC)C(=O)[O-])o1 ZINC000328546424 601697647 /nfs/dbraw/zinc/69/76/47/601697647.db2.gz NTJRONOEVMXFHN-OAHLLOKOSA-N -1 1 312.366 1.341 20 0 DDADMM COC(=O)[C@@H]1CN(Cn2nc(C(=O)[O-])c3ccccc32)C[C@@H]1C ZINC000831120162 601813016 /nfs/dbraw/zinc/81/30/16/601813016.db2.gz ZHZJNDZMJBTIKD-CMPLNLGQSA-N -1 1 317.345 1.433 20 0 DDADMM CC1=C(C(=O)OC(C)C)[C@H](c2c[nH]c(C(=O)[O-])c2)NC(=S)N1 ZINC000828135063 601838447 /nfs/dbraw/zinc/83/84/47/601838447.db2.gz MPTHTKIZXFPKQJ-NSHDSACASA-N -1 1 323.374 1.457 20 0 DDADMM O=C([O-])CCC1CCN(CC(=O)NCc2cccnc2)CC1 ZINC000833224408 601845198 /nfs/dbraw/zinc/84/51/98/601845198.db2.gz GHMZHGVCQWDAAZ-UHFFFAOYSA-N -1 1 305.378 1.275 20 0 DDADMM CN(CC(=O)[O-])C(=O)CCN1CCO[C@@](C)(c2ccccc2)C1 ZINC000830691848 601896293 /nfs/dbraw/zinc/89/62/93/601896293.db2.gz DXDPVMFGSAGAGK-QGZVFWFLSA-N -1 1 320.389 1.167 20 0 DDADMM Cc1ccc(CNC(=O)CN2CC[C@@H](CNC(=O)[O-])C2)cc1F ZINC000740127327 601948149 /nfs/dbraw/zinc/94/81/49/601948149.db2.gz XGRHSSOUFNHEGG-ZDUSSCGKSA-N -1 1 323.368 1.340 20 0 DDADMM c1nnc(SCc2ccnc(-c3nnn[n-]3)c2)n1C1CC1 ZINC000826516243 607538071 /nfs/dbraw/zinc/53/80/71/607538071.db2.gz CLDQPOHNJRPHAG-UHFFFAOYSA-N -1 1 300.351 1.480 20 0 DDADMM c1nnc(SCc2ccnc(-c3nn[n-]n3)c2)n1C1CC1 ZINC000826516243 607538073 /nfs/dbraw/zinc/53/80/73/607538073.db2.gz CLDQPOHNJRPHAG-UHFFFAOYSA-N -1 1 300.351 1.480 20 0 DDADMM NC(=O)[C@@H](NC[C@@H]1CCCN(C(=O)[O-])C1)c1ccc(F)cc1 ZINC000740325534 602046528 /nfs/dbraw/zinc/04/65/28/602046528.db2.gz VHNXLRGGEYUOGW-GWCFXTLKSA-N -1 1 309.341 1.332 20 0 DDADMM CSc1n[nH]c(NC(=O)Cc2ccc(NC(=O)[O-])cc2)n1 ZINC000740023648 602064121 /nfs/dbraw/zinc/06/41/21/602064121.db2.gz BMVDZXKEMYKPSS-UHFFFAOYSA-N -1 1 307.335 1.798 20 0 DDADMM CCN(CCNCc1c(C(C)C)nn(C)c1N(C)C)C(=O)[O-] ZINC000739433431 602311513 /nfs/dbraw/zinc/31/15/13/602311513.db2.gz FFLXWVLJKAHNFA-UHFFFAOYSA-N -1 1 311.430 1.699 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CCCN(C(=O)[O-])CC1)c1ccccc1 ZINC000737840456 602318788 /nfs/dbraw/zinc/31/87/88/602318788.db2.gz WGDSGHJAGGBNAX-OAHLLOKOSA-N -1 1 319.405 1.892 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)NC[C@H](c1ccsc1)N(C)C ZINC000739315577 602383229 /nfs/dbraw/zinc/38/32/29/602383229.db2.gz PQFAAWAFLBLLNH-NWDGAFQWSA-N -1 1 313.423 1.903 20 0 DDADMM CN(C)[C@H](CNC(=O)NCCCNC(=O)[O-])c1ccsc1 ZINC000739608639 602393000 /nfs/dbraw/zinc/39/30/00/602393000.db2.gz QCSXLKKKRCXFNE-LLVKDONJSA-N -1 1 314.411 1.308 20 0 DDADMM C[C@@](CNC(=O)[O-])(NC(=O)c1cc(F)cc2nc[nH]c21)C1CC1 ZINC000738923211 602503558 /nfs/dbraw/zinc/50/35/58/602503558.db2.gz DOZQUXJAUWRWHK-HNNXBMFYSA-N -1 1 320.324 1.868 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(CC(=O)NCc2cccnc2)CC1 ZINC000739030094 602552886 /nfs/dbraw/zinc/55/28/86/602552886.db2.gz YHFSMSMRYMPHIN-LBPRGKRZSA-N -1 1 320.393 1.066 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CN[C@H](C(=O)NC1CC1)c1ccccc1 ZINC000740392202 602594063 /nfs/dbraw/zinc/59/40/63/602594063.db2.gz GFXZXRAKHBODHB-CABCVRRESA-N -1 1 317.389 1.738 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCC[N@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC000739002313 602678585 /nfs/dbraw/zinc/67/85/85/602678585.db2.gz PYOUVPXVAIFAQP-CMPLNLGQSA-N -1 1 319.365 1.033 20 0 DDADMM CC(C)[C@H](CN[C@H](C(=O)NC1CC1)c1ccccc1)NC(=O)[O-] ZINC000738791746 602790455 /nfs/dbraw/zinc/79/04/55/602790455.db2.gz FALXEYKBHUPMEV-GJZGRUSLSA-N -1 1 319.405 1.888 20 0 DDADMM C[C@@H]1CN(Cc2cccc(C(=O)N(C)C)c2)CC[C@H]1NC(=O)[O-] ZINC000739185494 602794698 /nfs/dbraw/zinc/79/46/98/602794698.db2.gz ACEANQDEVCZOHY-IUODEOHRSA-N -1 1 319.405 1.866 20 0 DDADMM C[C@@H]1CN(Cc2cc(=O)n3ccccc3n2)CC[C@@H]1NC(=O)[O-] ZINC000739179328 602796409 /nfs/dbraw/zinc/79/64/09/602796409.db2.gz AXVVFSDZQOUUJT-YPMHNXCESA-N -1 1 316.361 1.173 20 0 DDADMM C[C@@H]1CC(=O)N(CN2CCC[C@@H]([C@H]3CCCN3C(=O)[O-])C2)C1 ZINC000739076187 602860137 /nfs/dbraw/zinc/86/01/37/602860137.db2.gz OBKIWKZVPYUGEF-MGPQQGTHSA-N -1 1 309.410 1.667 20 0 DDADMM CCc1cc(CNC(=O)N2C[C@@H](C)N(C(=O)[O-])[C@H](C)C2)[nH]n1 ZINC000739536895 602886203 /nfs/dbraw/zinc/88/62/03/602886203.db2.gz VTFPCVCLXDIKOA-NXEZZACHSA-N -1 1 309.370 1.254 20 0 DDADMM COc1ccccc1[C@@H](NC(=O)[O-])C(=O)N[C@H]1CCCN(C)C1 ZINC000739979342 602905486 /nfs/dbraw/zinc/90/54/86/602905486.db2.gz RQIKORZOWKBNKW-SMDDNHRTSA-N -1 1 321.377 1.214 20 0 DDADMM CCc1cc(CNC(=O)N2CCC[C@@H](CN(C)C(=O)[O-])C2)[nH]n1 ZINC000739539578 602916658 /nfs/dbraw/zinc/91/66/58/602916658.db2.gz PCJQJPRNDYYKSK-NSHDSACASA-N -1 1 323.397 1.504 20 0 DDADMM CC(C)(CC(=O)NC[C@H](c1ccco1)N1CCCC1)NC(=O)[O-] ZINC000738730831 602936695 /nfs/dbraw/zinc/93/66/95/602936695.db2.gz KJJPWZLGXONHAV-GFCCVEGCSA-N -1 1 323.393 1.969 20 0 DDADMM O=S(=O)(NCc1ccoc1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826491824 607598248 /nfs/dbraw/zinc/59/82/48/607598248.db2.gz DCLAWEZCBAJKNY-UHFFFAOYSA-N -1 1 323.309 1.077 20 0 DDADMM O=S(=O)(NCc1ccoc1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826491824 607598249 /nfs/dbraw/zinc/59/82/49/607598249.db2.gz DCLAWEZCBAJKNY-UHFFFAOYSA-N -1 1 323.309 1.077 20 0 DDADMM Cc1csc(N2CCN(C[C@@H]3CCN(C(=O)[O-])C3)CC2)n1 ZINC000740210817 602978802 /nfs/dbraw/zinc/97/88/02/602978802.db2.gz YRRLUOZRSGBAHU-LBPRGKRZSA-N -1 1 310.423 1.573 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)C1CCN(C(=O)[O-])CC1 ZINC000737757507 602989926 /nfs/dbraw/zinc/98/99/26/602989926.db2.gz DBYLCVAKRQQIHC-TZMCWYRMSA-N -1 1 309.410 1.462 20 0 DDADMM CCN(CCNCc1ccc(Br)cn1)C(=O)[O-] ZINC000739434610 603036014 /nfs/dbraw/zinc/03/60/14/603036014.db2.gz SNABIPYZYZUYKJ-UHFFFAOYSA-N -1 1 302.172 1.934 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1C(=O)Cc1n[nH]c2ccccc12 ZINC000832545173 603135792 /nfs/dbraw/zinc/13/57/92/603135792.db2.gz DUYIZURINQIMRG-JTQLQIEISA-N -1 1 302.334 1.364 20 0 DDADMM C[C@H](C(=O)N[C@@H]1CCN(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000738621819 603178210 /nfs/dbraw/zinc/17/82/10/603178210.db2.gz AJTPMNCKSWHSNF-TZMCWYRMSA-N -1 1 305.378 1.375 20 0 DDADMM CN(CCCNC(=O)[O-])[C@H]1CCCN(c2cccc(F)c2)C1=O ZINC000739677516 603254049 /nfs/dbraw/zinc/25/40/49/603254049.db2.gz ZNGVWNONXAGQBN-AWEZNQCLSA-N -1 1 323.368 1.911 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1CCCCN1CCNC(=O)[O-] ZINC000823818046 603453393 /nfs/dbraw/zinc/45/33/93/603453393.db2.gz SYIONDPPXVZAKZ-LLVKDONJSA-N -1 1 301.387 1.633 20 0 DDADMM C[C@H](CNC(=O)[O-])N(C)C(=O)CSc1n[nH]c(=S)s1 ZINC000824958507 603489416 /nfs/dbraw/zinc/48/94/16/603489416.db2.gz ALLGIPWNKOPGQB-RXMQYKEDSA-N -1 1 322.437 1.033 20 0 DDADMM CC[C@@H]1CN2CCCC[C@H]2CN1C(=O)[C@@H]1CCN(C(=O)[O-])C1 ZINC000826690341 603523119 /nfs/dbraw/zinc/52/31/19/603523119.db2.gz KKYQJPJUPZNPAC-MCIONIFRSA-N -1 1 309.410 1.462 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)[C@@H]1CCC[C@H](NC(=O)[O-])C1 ZINC000829833431 603543639 /nfs/dbraw/zinc/54/36/39/603543639.db2.gz JZJKNAYTEGKNHW-YPMHNXCESA-N -1 1 308.382 1.593 20 0 DDADMM O=C(CC1CN(C(=O)[O-])C1)NC[C@@H](c1ccco1)N1CCCC1 ZINC000831354847 603636104 /nfs/dbraw/zinc/63/61/04/603636104.db2.gz CYLKVZLASNIKQF-ZDUSSCGKSA-N -1 1 321.377 1.533 20 0 DDADMM Cc1nnc(NC(=O)C[C@H](Cc2ccccc2)NC(=O)[O-])[nH]1 ZINC000830769218 603660316 /nfs/dbraw/zinc/66/03/16/603660316.db2.gz GADHPIOHIXBKEV-NSHDSACASA-N -1 1 303.322 1.321 20 0 DDADMM Cc1n[nH]c(NC(=O)C[C@H](Cc2ccccc2)NC(=O)[O-])n1 ZINC000830769218 603660319 /nfs/dbraw/zinc/66/03/19/603660319.db2.gz GADHPIOHIXBKEV-NSHDSACASA-N -1 1 303.322 1.321 20 0 DDADMM COc1ccc2nc(S[C@H]3CN(C(=O)[O-])CCC3=O)[nH]c2c1 ZINC000829527247 603679307 /nfs/dbraw/zinc/67/93/07/603679307.db2.gz UQZUXMUSVQLDIB-LBPRGKRZSA-N -1 1 321.358 1.985 20 0 DDADMM CCN1CCN(CC(=O)Nc2ccccc2NC(=O)[O-])CC1 ZINC000827222356 603744757 /nfs/dbraw/zinc/74/47/57/603744757.db2.gz IOBJNVWNCUPAHG-UHFFFAOYSA-N -1 1 306.366 1.353 20 0 DDADMM O=C([O-])Nc1cc2ccccc2cc1C(=O)NCc1c[nH]nn1 ZINC000832828593 603755125 /nfs/dbraw/zinc/75/51/25/603755125.db2.gz IGGAXMIWYQCFPB-UHFFFAOYSA-N -1 1 311.301 1.978 20 0 DDADMM C[C@@H](C(=O)NCCc1nc[nH]n1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823703009 603924951 /nfs/dbraw/zinc/92/49/51/603924951.db2.gz NCWLTKXSIXCUSN-MFKMUULPSA-N -1 1 317.349 1.108 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCN(C(=O)[O-])CC1 ZINC000829833020 603934786 /nfs/dbraw/zinc/93/47/86/603934786.db2.gz DSCAEABYUSHZCN-UHFFFAOYSA-N -1 1 306.244 1.173 20 0 DDADMM CN(C(=O)[O-])C1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000827836444 603948252 /nfs/dbraw/zinc/94/82/52/603948252.db2.gz YVAGMZBORGABSU-LLVKDONJSA-N -1 1 306.366 1.430 20 0 DDADMM C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCC[C@@H]2CN(C)C(=O)[O-])C1 ZINC000825783751 604096495 /nfs/dbraw/zinc/09/64/95/604096495.db2.gz NSNNJVQLVCZPMP-MGPQQGTHSA-N -1 1 311.426 1.565 20 0 DDADMM C[C@H](CN(C)C(=O)[O-])C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000824880620 604115090 /nfs/dbraw/zinc/11/50/90/604115090.db2.gz JMMLRAKYZZKXIS-MRVPVSSYSA-N -1 1 304.310 1.051 20 0 DDADMM CCCN(CC(C)(C)O)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825307521 607675045 /nfs/dbraw/zinc/67/50/45/607675045.db2.gz CLYMAQXXFNMGBI-UHFFFAOYSA-N -1 1 310.789 1.902 20 0 DDADMM CCCN(CC(C)(C)O)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825307521 607675046 /nfs/dbraw/zinc/67/50/46/607675046.db2.gz CLYMAQXXFNMGBI-UHFFFAOYSA-N -1 1 310.789 1.902 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)NCc2ccccn2)C1 ZINC000825265885 604204550 /nfs/dbraw/zinc/20/45/50/604204550.db2.gz UNBVXPBEFLEHKQ-OLZOCXBDSA-N -1 1 320.393 1.066 20 0 DDADMM Cc1cc(CC(=O)Nc2ccc3c(c2)CN(C(=O)[O-])CC3)n[nH]1 ZINC000830028619 604215891 /nfs/dbraw/zinc/21/58/91/604215891.db2.gz ARTFRWVKCOXWQQ-UHFFFAOYSA-N -1 1 314.345 1.935 20 0 DDADMM CC(C)N1CC[C@@H](N2CCC(N(CC3CC3)C(=O)[O-])CC2)C1=O ZINC000824356601 604229854 /nfs/dbraw/zinc/22/98/54/604229854.db2.gz HCPJAFNXMBITQP-OAHLLOKOSA-N -1 1 323.437 1.850 20 0 DDADMM CC(C)CN1CCO[C@@H](COC(=O)[C@H](C)CN(C)C(=O)[O-])C1 ZINC000824264202 604257352 /nfs/dbraw/zinc/25/73/52/604257352.db2.gz WFZNQBLGENSGSY-CHWSQXEVSA-N -1 1 316.398 1.132 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2ccc(C(=O)[O-])c(F)c2)n[nH]1 ZINC000832883666 604344499 /nfs/dbraw/zinc/34/44/99/604344499.db2.gz OJTAJQXCFLBBNX-QMMMGPOBSA-N -1 1 305.309 1.916 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2c(C)[nH]c(C(=O)[O-])c2C)n[nH]1 ZINC000832883720 604347972 /nfs/dbraw/zinc/34/79/72/604347972.db2.gz OZCDHEZWPQPZQD-SSDOTTSWSA-N -1 1 304.350 1.722 20 0 DDADMM O=C([O-])N[C@@H]1CCN(C(=O)c2cc(-c3ccccc3)[nH]n2)C1 ZINC000740589426 604461616 /nfs/dbraw/zinc/46/16/16/604461616.db2.gz XUPZYNGYCRCYKG-LLVKDONJSA-N -1 1 300.318 1.559 20 0 DDADMM O=C([O-])C[C@H](NC(=O)c1cccc(-c2nnc[nH]2)c1)C1CC1 ZINC000833773294 604487404 /nfs/dbraw/zinc/48/74/04/604487404.db2.gz TVFAXHLUGFIHSS-LBPRGKRZSA-N -1 1 300.318 1.455 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CN(CCCCCn2cccn2)CCO1 ZINC000825350895 604620227 /nfs/dbraw/zinc/62/02/27/604620227.db2.gz LUAHKXZCIGJHGQ-UONOGXRCSA-N -1 1 310.398 1.410 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H](CC(C)C)NC(=O)[O-])C2)n[nH]1 ZINC000830735966 604644154 /nfs/dbraw/zinc/64/41/54/604644154.db2.gz YUCGKPVKVRTRKB-VXGBXAGGSA-N -1 1 323.397 1.501 20 0 DDADMM C[C@@H](C[N@@H+](CC(=O)Nc1ccc2c(c1)OCO2)C1CC1)C(=O)[O-] ZINC000827449225 604744905 /nfs/dbraw/zinc/74/49/05/604744905.db2.gz JURCNENBGRYTOE-JTQLQIEISA-N -1 1 320.345 1.539 20 0 DDADMM C[C@@H](CN(CC(=O)Nc1ccc2c(c1)OCO2)C1CC1)C(=O)[O-] ZINC000827449225 604744911 /nfs/dbraw/zinc/74/49/11/604744911.db2.gz JURCNENBGRYTOE-JTQLQIEISA-N -1 1 320.345 1.539 20 0 DDADMM O=C([O-])[C@@H](O)C1CCN(Cc2cc(-c3ccccc3)n[nH]2)CC1 ZINC000833771172 604766075 /nfs/dbraw/zinc/76/60/75/604766075.db2.gz XEWHZJSNQXXLHR-INIZCTEOSA-N -1 1 315.373 1.734 20 0 DDADMM CC(=O)N1CCC[C@H]1C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC000833394248 604809279 /nfs/dbraw/zinc/80/92/79/604809279.db2.gz VKMJZBKFHKHBBO-NSHDSACASA-N -1 1 316.317 1.211 20 0 DDADMM C[C@@H]1[C@@H](C)N(CC(=O)Nc2ccsc2C(=O)[O-])CCN1C ZINC000833586295 604852178 /nfs/dbraw/zinc/85/21/78/604852178.db2.gz FAXMROHFAJOVOY-NXEZZACHSA-N -1 1 311.407 1.409 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCCN([C@@H](C(=O)[O-])c2cccnc2)C1 ZINC000833463521 604866258 /nfs/dbraw/zinc/86/62/58/604866258.db2.gz NRVPMSNVTOMJGE-ZIAGYGMSSA-N -1 1 305.378 1.444 20 0 DDADMM CC(C)=C[C@H]1[C@H](C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C1(C)C ZINC000833462203 604896301 /nfs/dbraw/zinc/89/63/01/604896301.db2.gz CBKIMIWMJKRVLN-RWMBFGLXSA-N -1 1 305.378 1.760 20 0 DDADMM Cc1cc(CNC(=O)C[C@@H](C)C2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000830041875 604914856 /nfs/dbraw/zinc/91/48/56/604914856.db2.gz SNBNVQLDALLBKE-SNVBAGLBSA-N -1 1 308.382 1.751 20 0 DDADMM CN(CC(=O)N(CC(=O)[O-])c1ccccc1)[C@@H]1CCSC1 ZINC000820554113 604924444 /nfs/dbraw/zinc/92/44/44/604924444.db2.gz DOVNCJMCZSSOGL-CYBMUJFWSA-N -1 1 308.403 1.542 20 0 DDADMM C[C@@H]1CN(CC(=O)NCc2ccc(NC(=O)[O-])cc2)CCN1C ZINC000833627854 604932244 /nfs/dbraw/zinc/93/22/44/604932244.db2.gz WIWAABDQBVFSMC-GFCCVEGCSA-N -1 1 320.393 1.029 20 0 DDADMM COC(=O)C[C@@H]1CCCN1Cn1ncc2cc(C(=O)[O-])ccc21 ZINC000831143452 604982465 /nfs/dbraw/zinc/98/24/65/604982465.db2.gz BUSPIVQLOMKFTJ-ZDUSSCGKSA-N -1 1 317.345 1.720 20 0 DDADMM CCc1nc([C@H](C)NC(=O)c2c(C)[nH]c(C(=O)[O-])c2C)n[nH]1 ZINC000833673367 605072390 /nfs/dbraw/zinc/07/23/90/605072390.db2.gz KDXWFDHGWGZHMS-QMMMGPOBSA-N -1 1 305.338 1.501 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2c(c1)OCO2 ZINC000833626152 605102791 /nfs/dbraw/zinc/10/27/91/605102791.db2.gz OREJCYLUWPJHQJ-NSHDSACASA-N -1 1 320.345 1.036 20 0 DDADMM C[C@@H](CC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C)c1ccncc1 ZINC000833514147 605110720 /nfs/dbraw/zinc/11/07/20/605110720.db2.gz PCKXVBOUOKNJNH-UONOGXRCSA-N -1 1 319.405 1.583 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(Cl)cc1 ZINC000833625324 605111981 /nfs/dbraw/zinc/11/19/81/605111981.db2.gz KWDKKSCNXAIWLA-NSHDSACASA-N -1 1 310.781 1.961 20 0 DDADMM O=C([O-])N[C@@H](C(=O)N[C@@H]1CCCc2cn[nH]c21)c1ccccc1 ZINC000832752949 605129309 /nfs/dbraw/zinc/12/93/09/605129309.db2.gz WVIBJTQXGSZIHC-TZMCWYRMSA-N -1 1 314.345 1.912 20 0 DDADMM CN(CC(=O)N(CC(=O)[O-])Cc1ccccc1)[C@@H]1CCSC1 ZINC000833691990 605190499 /nfs/dbraw/zinc/19/04/99/605190499.db2.gz RNCLEHWQBDTKAJ-CQSZACIVSA-N -1 1 322.430 1.537 20 0 DDADMM O=C([O-])N(CC(=O)Nc1ncc(C(F)(F)F)[nH]1)CC1CC1 ZINC000831468172 605293752 /nfs/dbraw/zinc/29/37/52/605293752.db2.gz DYGGOBGOPYXNQM-UHFFFAOYSA-N -1 1 306.244 1.757 20 0 DDADMM C[C@H](NC(=O)NCCc1ccc(NC(=O)[O-])cc1)c1nnc[nH]1 ZINC000833522779 605507139 /nfs/dbraw/zinc/50/71/39/605507139.db2.gz RMQLNKISXKXPRW-VIFPVBQESA-N -1 1 318.337 1.498 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC000833471678 605556563 /nfs/dbraw/zinc/55/65/63/605556563.db2.gz PDAPTPSEAFQSMN-CYBMUJFWSA-N -1 1 308.382 1.527 20 0 DDADMM COc1cc(O)cc(NS(=O)(=O)c2ccc(C(=O)[O-])nc2)c1 ZINC000833729469 605597388 /nfs/dbraw/zinc/59/73/88/605597388.db2.gz SVMWWIUOWVSXEW-UHFFFAOYSA-N -1 1 324.314 1.295 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000825801901 605762099 /nfs/dbraw/zinc/76/20/99/605762099.db2.gz QRNBDQMYUFCYGR-VWYCJHECSA-N -1 1 306.366 1.477 20 0 DDADMM O=C([O-])N1CC[C@@H](NCc2nccn2CCc2ccccc2)C1 ZINC000831964446 605859995 /nfs/dbraw/zinc/85/99/95/605859995.db2.gz WZSGGAONMMHUOA-OAHLLOKOSA-N -1 1 314.389 1.968 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CN1C(=O)C[C@H]1c1ccccc1 ZINC000833835278 605957773 /nfs/dbraw/zinc/95/77/73/605957773.db2.gz WYFIQCCTOUTPPC-NFAWXSAZSA-N -1 1 317.389 1.896 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)C=Cc2ccsc2)n1 ZINC000822604865 606155059 /nfs/dbraw/zinc/15/50/59/606155059.db2.gz NRDHPEDKXMMTAG-NSCUHMNNSA-N -1 1 301.335 1.314 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)C=Cc2ccsc2)n1 ZINC000822604865 606155061 /nfs/dbraw/zinc/15/50/61/606155061.db2.gz NRDHPEDKXMMTAG-NSCUHMNNSA-N -1 1 301.335 1.314 20 0 DDADMM C[C@H](NC(=O)Nc1nn(C)cc1-c1nnn[n-]1)C1CCCCC1 ZINC000820379570 606160452 /nfs/dbraw/zinc/16/04/52/606160452.db2.gz DGDORDPEYVPHEE-VIFPVBQESA-N -1 1 318.385 1.691 20 0 DDADMM C[C@H](NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)C1CCCCC1 ZINC000820379570 606160453 /nfs/dbraw/zinc/16/04/53/606160453.db2.gz DGDORDPEYVPHEE-VIFPVBQESA-N -1 1 318.385 1.691 20 0 DDADMM C[C@@H]1CCCC[C@H]1CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820699198 606161818 /nfs/dbraw/zinc/16/18/18/606161818.db2.gz YFZXPVMOZHOLAZ-ZJUUUORDSA-N -1 1 318.385 1.548 20 0 DDADMM C[C@@H]1CCCC[C@H]1CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820699198 606161820 /nfs/dbraw/zinc/16/18/20/606161820.db2.gz YFZXPVMOZHOLAZ-ZJUUUORDSA-N -1 1 318.385 1.548 20 0 DDADMM CN(C)c1cccc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c1 ZINC000821589264 606194918 /nfs/dbraw/zinc/19/49/18/606194918.db2.gz GEIDPEUGYZFKJA-UHFFFAOYSA-N -1 1 324.344 1.891 20 0 DDADMM C[S@@](=O)c1ccc(CNc2nccnc2-c2nnn[n-]2)cc1 ZINC000821941464 606430191 /nfs/dbraw/zinc/43/01/91/606430191.db2.gz GZTSXIVALDCYGU-JOCHJYFZSA-N -1 1 315.362 1.006 20 0 DDADMM C[S@@](=O)c1ccc(CNc2nccnc2-c2nn[n-]n2)cc1 ZINC000821941464 606430193 /nfs/dbraw/zinc/43/01/93/606430193.db2.gz GZTSXIVALDCYGU-JOCHJYFZSA-N -1 1 315.362 1.006 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCOc2ccccc21 ZINC000823482810 606507732 /nfs/dbraw/zinc/50/77/32/606507732.db2.gz AAEOVUVUNGSWLO-UHFFFAOYSA-N -1 1 313.342 1.967 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCOc2ccccc21 ZINC000823482810 606507734 /nfs/dbraw/zinc/50/77/34/606507734.db2.gz AAEOVUVUNGSWLO-UHFFFAOYSA-N -1 1 313.342 1.967 20 0 DDADMM C[C@@H]1[C@H](C)SCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820819490 606566227 /nfs/dbraw/zinc/56/62/27/606566227.db2.gz JBIORMHJBITQEG-SFYZADRCSA-N -1 1 309.420 1.894 20 0 DDADMM C[C@@H]1[C@H](C)SCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820819490 606566228 /nfs/dbraw/zinc/56/62/28/606566228.db2.gz JBIORMHJBITQEG-SFYZADRCSA-N -1 1 309.420 1.894 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)[C@H]2CCO[C@H]21 ZINC000820585846 606570590 /nfs/dbraw/zinc/57/05/90/606570590.db2.gz QRCDQTQKEVEOMF-AVPPRXQKSA-N -1 1 319.390 1.472 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)[C@H]2CCO[C@H]21 ZINC000820585846 606570592 /nfs/dbraw/zinc/57/05/92/606570592.db2.gz QRCDQTQKEVEOMF-AVPPRXQKSA-N -1 1 319.390 1.472 20 0 DDADMM CC(C)[C@@H]1OCC[C@H]1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820046906 606583570 /nfs/dbraw/zinc/58/35/70/606583570.db2.gz VNABZDVIGAIJBU-CABZTGNLSA-N -1 1 321.406 1.719 20 0 DDADMM CC(C)[C@@H]1OCC[C@H]1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820046906 606583572 /nfs/dbraw/zinc/58/35/72/606583572.db2.gz VNABZDVIGAIJBU-CABZTGNLSA-N -1 1 321.406 1.719 20 0 DDADMM C[C@@H](CO[C@@H]1CCOC1)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820287696 606634346 /nfs/dbraw/zinc/63/43/46/606634346.db2.gz PFSKBBCIKFWKKQ-DTWKUNHWSA-N -1 1 324.772 1.521 20 0 DDADMM C[C@@H](CO[C@@H]1CCOC1)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820287696 606634347 /nfs/dbraw/zinc/63/43/47/606634347.db2.gz PFSKBBCIKFWKKQ-DTWKUNHWSA-N -1 1 324.772 1.521 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)C=C2CCC2)n1 ZINC000819971286 606683857 /nfs/dbraw/zinc/68/38/57/606683857.db2.gz HQUCWDLRLQIHDI-UHFFFAOYSA-N -1 1 301.354 1.867 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)C=C2CCC2)n1 ZINC000819971286 606683858 /nfs/dbraw/zinc/68/38/58/606683858.db2.gz HQUCWDLRLQIHDI-UHFFFAOYSA-N -1 1 301.354 1.867 20 0 DDADMM CN(CCOCC1CC1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821603536 606692775 /nfs/dbraw/zinc/69/27/75/606692775.db2.gz CQXIEZLRIPCPNL-UHFFFAOYSA-N -1 1 307.379 1.427 20 0 DDADMM CN(CCOCC1CC1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821603536 606692776 /nfs/dbraw/zinc/69/27/76/606692776.db2.gz CQXIEZLRIPCPNL-UHFFFAOYSA-N -1 1 307.379 1.427 20 0 DDADMM CCO[C@@H](CC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-])C(C)C ZINC000821350887 606768985 /nfs/dbraw/zinc/76/89/85/606768985.db2.gz FYJOTYHTVIDSJA-ZDUSSCGKSA-N -1 1 319.365 1.962 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1cccc(Cl)c1F ZINC000822904208 606968719 /nfs/dbraw/zinc/96/87/19/606968719.db2.gz UCRINYMSAYXOOO-UHFFFAOYSA-N -1 1 307.676 1.126 20 0 DDADMM O=C1OC(c2cccnc2)=NC1=Cc1ccc(-c2nn[n-]n2)s1 ZINC000823551539 606975804 /nfs/dbraw/zinc/97/58/04/606975804.db2.gz UTDRUBOHGDQXTD-UXBLZVDNSA-N -1 1 324.325 1.668 20 0 DDADMM C[C@@H]1[C@H](C)OCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000820818932 607029018 /nfs/dbraw/zinc/02/90/18/607029018.db2.gz CNZWNNXPKRXICQ-RITPCOANSA-N -1 1 300.775 1.590 20 0 DDADMM C[C@@H]1[C@H](C)OCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000820818932 607029019 /nfs/dbraw/zinc/02/90/19/607029019.db2.gz CNZWNNXPKRXICQ-RITPCOANSA-N -1 1 300.775 1.590 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1ccc(F)c(Cl)c1 ZINC000822903010 607146810 /nfs/dbraw/zinc/14/68/10/607146810.db2.gz FVRCLTZQDBXFNX-UHFFFAOYSA-N -1 1 307.676 1.126 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2ccc(-c3nn[n-]n3)s2)[nH]n1 ZINC000822199982 607167584 /nfs/dbraw/zinc/16/75/84/607167584.db2.gz PJYVIKLRFJSUKR-ZETCQYMHSA-N -1 1 317.378 1.321 20 0 DDADMM O=C(N[C@H]1CCOC2(CCC2)C1)c1ccc(-c2nnn[n-]2)s1 ZINC000823155137 607169327 /nfs/dbraw/zinc/16/93/27/607169327.db2.gz OQXYKMWWIPHEGX-VIFPVBQESA-N -1 1 319.390 1.760 20 0 DDADMM O=C(N[C@H]1CCOC2(CCC2)C1)c1ccc(-c2nn[n-]n2)s1 ZINC000823155137 607169328 /nfs/dbraw/zinc/16/93/28/607169328.db2.gz OQXYKMWWIPHEGX-VIFPVBQESA-N -1 1 319.390 1.760 20 0 DDADMM CC1(C)CCC(CC(=O)n2ncc(-c3nn[n-]n3)c2N)CC1 ZINC000824797495 607780684 /nfs/dbraw/zinc/78/06/84/607780684.db2.gz YZPUJEIRKOPIQX-UHFFFAOYSA-N -1 1 303.370 1.892 20 0 DDADMM CC(C)n1nnnc1COc1cccc(F)c1-c1nn[n-]n1 ZINC000824377270 607864198 /nfs/dbraw/zinc/86/41/98/607864198.db2.gz AMLHKZZZTUMBFF-UHFFFAOYSA-N -1 1 304.289 1.152 20 0 DDADMM CC(C)n1nnnc1COc1cccc(F)c1-c1nnn[n-]1 ZINC000824377270 607864197 /nfs/dbraw/zinc/86/41/97/607864197.db2.gz AMLHKZZZTUMBFF-UHFFFAOYSA-N -1 1 304.289 1.152 20 0 DDADMM CN(CC1CC1)C(=O)COc1cccc(F)c1-c1nnn[n-]1 ZINC000825901519 607864308 /nfs/dbraw/zinc/86/43/08/607864308.db2.gz ILQAFRCSUQNOIW-UHFFFAOYSA-N -1 1 305.313 1.253 20 0 DDADMM CN(CC1CC1)C(=O)COc1cccc(F)c1-c1nn[n-]n1 ZINC000825901519 607864309 /nfs/dbraw/zinc/86/43/09/607864309.db2.gz ILQAFRCSUQNOIW-UHFFFAOYSA-N -1 1 305.313 1.253 20 0 DDADMM Fc1ccc(-c2noc(Cn3ccnc3-c3nnn[n-]3)n2)cc1 ZINC000826373349 608013620 /nfs/dbraw/zinc/01/36/20/608013620.db2.gz IYGOVQZJVIQTKX-UHFFFAOYSA-N -1 1 312.268 1.301 20 0 DDADMM Fc1ccc(-c2noc(Cn3ccnc3-c3nn[n-]n3)n2)cc1 ZINC000826373349 608013621 /nfs/dbraw/zinc/01/36/21/608013621.db2.gz IYGOVQZJVIQTKX-UHFFFAOYSA-N -1 1 312.268 1.301 20 0 DDADMM C[C@@]1(NC(=O)c2ccc(-c3nnn[n-]3)s2)CCO[C@H]1C1CC1 ZINC000824830158 608140453 /nfs/dbraw/zinc/14/04/53/608140453.db2.gz IGKRHMXIEVHTSF-SMDDNHRTSA-N -1 1 319.390 1.616 20 0 DDADMM C[C@@]1(NC(=O)c2ccc(-c3nn[n-]n3)s2)CCO[C@H]1C1CC1 ZINC000824830158 608140454 /nfs/dbraw/zinc/14/04/54/608140454.db2.gz IGKRHMXIEVHTSF-SMDDNHRTSA-N -1 1 319.390 1.616 20 0 DDADMM CC[C@@H]1CC[C@H](C)N1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000825195035 608265717 /nfs/dbraw/zinc/26/57/17/608265717.db2.gz UXIGLLUGLQDYDZ-DTWKUNHWSA-N -1 1 304.358 1.395 20 0 DDADMM CC[C@@H]1CC[C@H](C)N1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000825195035 608265719 /nfs/dbraw/zinc/26/57/19/608265719.db2.gz UXIGLLUGLQDYDZ-DTWKUNHWSA-N -1 1 304.358 1.395 20 0 DDADMM c1ccc2c(c1)OCC[C@@H]2CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826524425 608417718 /nfs/dbraw/zinc/41/77/18/608417718.db2.gz UVTGUUYQSIIOKT-SNVBAGLBSA-N -1 1 309.333 1.635 20 0 DDADMM c1ccc2c(c1)OCC[C@@H]2CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826524425 608417720 /nfs/dbraw/zinc/41/77/20/608417720.db2.gz UVTGUUYQSIIOKT-SNVBAGLBSA-N -1 1 309.333 1.635 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)c2ccc(-c3nnn[n-]3)nn2)C12CCC2 ZINC000825581643 608433368 /nfs/dbraw/zinc/43/33/68/608433368.db2.gz CJUSKBKUILUCHE-NEPJUHHUSA-N -1 1 315.381 1.441 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)c2ccc(-c3nn[n-]n3)nn2)C12CCC2 ZINC000825581643 608433370 /nfs/dbraw/zinc/43/33/70/608433370.db2.gz CJUSKBKUILUCHE-NEPJUHHUSA-N -1 1 315.381 1.441 20 0 DDADMM CCc1nn(C)c(CC)c1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000825759414 608437378 /nfs/dbraw/zinc/43/73/78/608437378.db2.gz FXXAFEJATFASBV-UHFFFAOYSA-N -1 1 313.369 1.127 20 0 DDADMM CCc1nn(C)c(CC)c1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000825759414 608437381 /nfs/dbraw/zinc/43/73/81/608437381.db2.gz FXXAFEJATFASBV-UHFFFAOYSA-N -1 1 313.369 1.127 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NCCNC(=O)c1ccco1 ZINC000826280647 608542617 /nfs/dbraw/zinc/54/26/17/608542617.db2.gz KFXXMTGJPDYIBK-UHFFFAOYSA-N -1 1 313.321 1.005 20 0 DDADMM Fc1ccc(-c2cc(Cn3ccnc3-c3nnn[n-]3)on2)cc1 ZINC000826372692 608890506 /nfs/dbraw/zinc/89/05/06/608890506.db2.gz IACIIRZUJCSRMK-UHFFFAOYSA-N -1 1 311.280 1.906 20 0 DDADMM Fc1ccc(-c2cc(Cn3ccnc3-c3nn[n-]n3)on2)cc1 ZINC000826372692 608890511 /nfs/dbraw/zinc/89/05/11/608890511.db2.gz IACIIRZUJCSRMK-UHFFFAOYSA-N -1 1 311.280 1.906 20 0 DDADMM c1cc(-c2nn[n-]n2)sc1CNc1nnc([C@@H]2CCCO2)o1 ZINC000826515157 609266166 /nfs/dbraw/zinc/26/61/66/609266166.db2.gz OUNQHFRXKDPQBA-QMMMGPOBSA-N -1 1 319.350 1.775 20 0 DDADMM CCCCCN(CC(N)=O)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825271100 609563099 /nfs/dbraw/zinc/56/30/99/609563099.db2.gz GUDQVQHMSBFMFP-UHFFFAOYSA-N -1 1 323.788 1.397 20 0 DDADMM CCCCCN(CC(N)=O)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825271100 609563102 /nfs/dbraw/zinc/56/31/02/609563102.db2.gz GUDQVQHMSBFMFP-UHFFFAOYSA-N -1 1 323.788 1.397 20 0 DDADMM CC(C)C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971896125 695118876 /nfs/dbraw/zinc/11/88/76/695118876.db2.gz SPYIVHGLBIHKCZ-VXGBXAGGSA-N -1 1 303.362 1.116 20 0 DDADMM CC(C)(C)C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971900164 695119500 /nfs/dbraw/zinc/11/95/00/695119500.db2.gz PBAJIRCYTONXLG-VXGBXAGGSA-N -1 1 317.389 1.506 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971902246 695119739 /nfs/dbraw/zinc/11/97/39/695119739.db2.gz JSWBFOBFWJJJRW-GRYCIOLGSA-N -1 1 321.352 1.208 20 0 DDADMM CCO[C@@H]1CCC[C@H]1OC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000797143029 699996011 /nfs/dbraw/zinc/99/60/11/699996011.db2.gz WZZRYWWEUQAHPW-NXEZZACHSA-N -1 1 317.363 1.302 20 0 DDADMM CC(C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)=C1CCC1 ZINC000973201303 695444850 /nfs/dbraw/zinc/44/48/50/695444850.db2.gz MDVXIRQSBKWRMD-JOCQHMNTSA-N -1 1 315.373 1.665 20 0 DDADMM O=C(CC1CC1)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975228369 695817122 /nfs/dbraw/zinc/81/71/22/695817122.db2.gz VYNYWWKQFRPOST-CYBMUJFWSA-N -1 1 317.389 1.556 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975252708 695820680 /nfs/dbraw/zinc/82/06/80/695820680.db2.gz HNXWKQIELFHAMQ-XQQFMLRXSA-N -1 1 317.389 1.412 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NC(=O)C(F)F)c1ncccc1[O-] ZINC000976124846 695922872 /nfs/dbraw/zinc/92/28/72/695922872.db2.gz WUHSXHQYAGFERF-RKDXNWHRSA-N -1 1 313.304 1.210 20 0 DDADMM CCOc1cc(C(=O)NCCOC(C)=O)cc(Cl)c1[O-] ZINC000798256473 700052827 /nfs/dbraw/zinc/05/28/27/700052827.db2.gz ISDDNVMWLYIJCC-UHFFFAOYSA-N -1 1 301.726 1.737 20 0 DDADMM CC(C)CN1C(=O)C(=CNc2ccccc2O)C(=O)[N-]C1=S ZINC000029002014 696108256 /nfs/dbraw/zinc/10/82/56/696108256.db2.gz CHXHLRDRXNLFHH-YFHOEESVSA-N -1 1 319.386 1.587 20 0 DDADMM CCn1cc(NS(=O)(=O)c2cc(C(=O)[O-])ccc2F)cn1 ZINC000035781129 696129457 /nfs/dbraw/zinc/12/94/57/696129457.db2.gz AWGOUKNHOGSLDR-UHFFFAOYSA-N -1 1 313.310 1.541 20 0 DDADMM Cc1ccc([C@@H](O)C[N-]S(=O)(=O)c2cc(F)ccc2F)o1 ZINC000049410932 696223182 /nfs/dbraw/zinc/22/31/82/696223182.db2.gz PIRJCYUXVVQIJN-NSHDSACASA-N -1 1 317.313 1.878 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)[C@@H](C)Oc2ccccc2F)n[nH]1 ZINC000054125918 696273094 /nfs/dbraw/zinc/27/30/94/696273094.db2.gz OLXOALDIXPPZSW-SECBINFHSA-N -1 1 306.297 1.086 20 0 DDADMM CCC(NCC(C)C)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000054502877 696276711 /nfs/dbraw/zinc/27/67/11/696276711.db2.gz RDBHCOZAUCCIJY-QXMHVHEDSA-N -1 1 311.451 1.795 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)C=Cc2ccc(C)cc2)n1 ZINC000055585244 696284752 /nfs/dbraw/zinc/28/47/52/696284752.db2.gz BIGCTYGSYPSHOW-CSKARUKUSA-N -1 1 320.374 1.711 20 0 DDADMM O=C(CC[C@H]1CCCO1)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067079763 696358726 /nfs/dbraw/zinc/35/87/26/696358726.db2.gz YZLSPHSFJIGBJG-LLVKDONJSA-N -1 1 310.423 1.893 20 0 DDADMM Cc1cc(N(C)C)ccc1NC(=O)Cc1sc(N)nc1[O-] ZINC000079565623 696461604 /nfs/dbraw/zinc/46/16/04/696461604.db2.gz VMUAJWLYTRWTTO-NSHDSACASA-N -1 1 306.391 1.346 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cccc(-c3cnco3)c2)s1 ZINC000079652858 696462364 /nfs/dbraw/zinc/46/23/64/696462364.db2.gz AZCNLGNNARXPIJ-NSHDSACASA-N -1 1 316.342 1.627 20 0 DDADMM Cc1cc(C)cc([C@@H](C)NC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080107865 696530640 /nfs/dbraw/zinc/53/06/40/696530640.db2.gz DLXOPLRZIDQMOB-ZYHUDNBSSA-N -1 1 305.403 1.827 20 0 DDADMM Cc1ccc(C[C@@H](C)N(C)C(=O)Cc2sc(N)nc2[O-])cc1 ZINC000080397976 696534219 /nfs/dbraw/zinc/53/42/19/696534219.db2.gz KISMAAMRHGJXOF-YPMHNXCESA-N -1 1 319.430 1.731 20 0 DDADMM Cc1ccccc1[C@@H]1C[C@H]1NC(=O)Cc1sc(N)nc1[O-] ZINC000080427452 696534538 /nfs/dbraw/zinc/53/45/38/696534538.db2.gz NKYULZWBHSUURN-QJPTWQEYSA-N -1 1 303.387 1.314 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCOc1cccnc1 ZINC000081748183 696547345 /nfs/dbraw/zinc/54/73/45/696547345.db2.gz VZPCUDJTNDBHJB-UHFFFAOYSA-N -1 1 316.361 1.406 20 0 DDADMM O=C(N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1)C1=CCCC1 ZINC000979665712 696622120 /nfs/dbraw/zinc/62/21/20/696622120.db2.gz QPKQYWDLVCVQLF-AULYBMBSSA-N -1 1 315.373 1.522 20 0 DDADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)c1cc[nH]c1 ZINC000979902889 696660415 /nfs/dbraw/zinc/66/04/15/696660415.db2.gz YSUJOBDHYIRDMM-UMSPYCQHSA-N -1 1 314.345 1.054 20 0 DDADMM CN(CCn1cccn1)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000117320193 696670688 /nfs/dbraw/zinc/67/06/88/696670688.db2.gz PMGVPCZULGACBU-UHFFFAOYSA-N -1 1 315.406 1.789 20 0 DDADMM CC(C)(C)[S@](=O)CCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000118751062 696674479 /nfs/dbraw/zinc/67/44/79/696674479.db2.gz SSBBMUMADBISPF-QFIPXVFZSA-N -1 1 321.402 1.200 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)Cc2ccccc2)o1 ZINC000118997859 696676411 /nfs/dbraw/zinc/67/64/11/696676411.db2.gz BQQKIRWALNGVHE-NSHDSACASA-N -1 1 323.370 1.976 20 0 DDADMM CSCC[C@H](C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000134299377 696836104 /nfs/dbraw/zinc/83/61/04/696836104.db2.gz AXJRCZQTABCDCJ-VIFPVBQESA-N -1 1 322.434 1.440 20 0 DDADMM Cc1c(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cnc2ccccc12 ZINC000748707705 700131739 /nfs/dbraw/zinc/13/17/39/700131739.db2.gz AIMRYYHNIQZWTC-CQSZACIVSA-N -1 1 324.344 1.270 20 0 DDADMM O=C(/C=C/C1CC1)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982581639 697153202 /nfs/dbraw/zinc/15/32/02/697153202.db2.gz KQEONLCGTQGAFF-URWSZGRFSA-N -1 1 315.373 1.332 20 0 DDADMM O=C(N[C@H]1CCC[C@H](NC(=O)C(F)F)C1)c1ncccc1[O-] ZINC000983392624 697253092 /nfs/dbraw/zinc/25/30/92/697253092.db2.gz SWTUEYXNIZSHPQ-IUCAKERBSA-N -1 1 313.304 1.210 20 0 DDADMM O=C(N[C@H]1CCC[C@H](NC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000983416965 697260057 /nfs/dbraw/zinc/26/00/57/697260057.db2.gz MVJHAUSNBDXJCN-STQMWFEESA-N -1 1 317.389 1.745 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1C[C@H]1c1ccc2ccccc2c1 ZINC000171763105 697361726 /nfs/dbraw/zinc/36/17/26/697361726.db2.gz CFNAQWNOCBKFMP-UONOGXRCSA-N -1 1 309.325 1.526 20 0 DDADMM CC[C@@H]1[C@@H](NC(C)=O)CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000984376967 697375760 /nfs/dbraw/zinc/37/57/60/697375760.db2.gz WUIHMUYCHKJYND-QWHCGFSZSA-N -1 1 318.377 1.189 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1c[nH]cc1-c1ccc(F)cc1 ZINC000175016531 697394180 /nfs/dbraw/zinc/39/41/80/697394180.db2.gz UKEPHQZCFPOPEX-UHFFFAOYSA-N -1 1 302.265 1.017 20 0 DDADMM O=C([N-]Cc1ccnc(-n2ccnc2)c1)C(F)(F)C(F)F ZINC000176236107 697403432 /nfs/dbraw/zinc/40/34/32/697403432.db2.gz UBBKQUDSRLHTIY-UHFFFAOYSA-N -1 1 302.231 1.784 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2ccc(C)c(C)c2)n1 ZINC000176719166 697405581 /nfs/dbraw/zinc/40/55/81/697405581.db2.gz CFIIBSKZXQPOPV-UHFFFAOYSA-N -1 1 322.390 1.716 20 0 DDADMM Cc1ccc2c(CC(=O)N(C)C[C@H](C)c3nn[n-]n3)c[nH]c2c1 ZINC000183429208 697488350 /nfs/dbraw/zinc/48/83/50/697488350.db2.gz KXABUJJNKUSCKG-NSHDSACASA-N -1 1 312.377 1.794 20 0 DDADMM C#CCN1CCN(C(=O)c2cc(Cl)c([O-])c(OCC)c2)CC1 ZINC000186809968 697537964 /nfs/dbraw/zinc/53/79/64/697537964.db2.gz NXXQXWYAMXCXRO-UHFFFAOYSA-N -1 1 322.792 1.835 20 0 DDADMM O=C(Nc1ccc(CC(F)(F)F)cc1)NN1CC(=O)[N-]C1=O ZINC000190968483 697599580 /nfs/dbraw/zinc/59/95/80/697599580.db2.gz LIZUMTUULDDDHO-UHFFFAOYSA-N -1 1 316.239 1.380 20 0 DDADMM O=C([N-]S(=O)(=O)C1CCCC1)c1[nH]nc2c1CCCCC2 ZINC000191193501 697602589 /nfs/dbraw/zinc/60/25/89/697602589.db2.gz FMVHAUMCESAJMR-UHFFFAOYSA-N -1 1 311.407 1.681 20 0 DDADMM Cc1nnc(SCc2cc(=O)oc3cc([O-])ccc23)n1N ZINC000194287536 697703221 /nfs/dbraw/zinc/70/32/21/697703221.db2.gz CLPMIKYYTZQGPV-UHFFFAOYSA-N -1 1 304.331 1.405 20 0 DDADMM CN(Cc1cc(=O)oc2cc([O-])ccc12)[C@H]1CCS(=O)(=O)C1 ZINC000194315402 697704451 /nfs/dbraw/zinc/70/44/51/697704451.db2.gz ZBXRJYXDSMXQCQ-NSHDSACASA-N -1 1 323.370 1.118 20 0 DDADMM Cc1cnc(CN[C@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)o1 ZINC000986171219 697717699 /nfs/dbraw/zinc/71/76/99/697717699.db2.gz PPGLGHYNUCPWJT-RYUDHWBXSA-N -1 1 316.361 1.476 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1cccs1 ZINC000773147268 697718401 /nfs/dbraw/zinc/71/84/01/697718401.db2.gz ATIHCHQHBYZRQC-DTWKUNHWSA-N -1 1 309.420 1.681 20 0 DDADMM O=C(Cn1ccc(=O)c2ccccc21)[N-]O[C@H]1CCCCO1 ZINC000749614659 700173532 /nfs/dbraw/zinc/17/35/32/700173532.db2.gz BGLCPBAXYYTEEX-INIZCTEOSA-N -1 1 302.330 1.576 20 0 DDADMM Cc1csc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)c1 ZINC000986251995 697753269 /nfs/dbraw/zinc/75/32/69/697753269.db2.gz OOMQRXMSZDPSNZ-VHSXEESVSA-N -1 1 321.406 1.273 20 0 DDADMM Cc1cncc(C=CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000773494231 697767769 /nfs/dbraw/zinc/76/77/69/697767769.db2.gz XPJJMBWLPOSICO-IHWYPQMZSA-N -1 1 314.345 1.898 20 0 DDADMM CC(C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C)=C1CCC1 ZINC000986288843 697768405 /nfs/dbraw/zinc/76/84/05/697768405.db2.gz VWTBRPPZINWKEQ-PWSUYJOCSA-N -1 1 305.382 1.090 20 0 DDADMM COc1ccc(C)nc1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774434483 697879071 /nfs/dbraw/zinc/87/90/71/697879071.db2.gz VBBHVQCWSQGPMR-UHFFFAOYSA-N -1 1 315.358 1.982 20 0 DDADMM CCC(=O)N1CCC([C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC000986698763 697879529 /nfs/dbraw/zinc/87/95/29/697879529.db2.gz VHQCWUACKGQXGX-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM CNc1ccccc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774882131 697937751 /nfs/dbraw/zinc/93/77/51/697937751.db2.gz FGAUYZGSYZOEGN-UHFFFAOYSA-N -1 1 304.268 1.954 20 0 DDADMM O=C(Cc1ccc(F)cc1)OCCC[N-]C(=O)C(F)(F)F ZINC000774881512 697937897 /nfs/dbraw/zinc/93/78/97/697937897.db2.gz CYXLRXIOSGGVRH-UHFFFAOYSA-N -1 1 307.243 1.980 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N(C)C[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000987932242 698268505 /nfs/dbraw/zinc/26/85/05/698268505.db2.gz RZOUOULYDFUSGR-XQQFMLRXSA-N -1 1 317.389 1.364 20 0 DDADMM Cc1cnc(C(=O)NCc2nnc(-c3ccccc3)[nH]2)c([O-])c1 ZINC000778651856 698356176 /nfs/dbraw/zinc/35/61/76/698356176.db2.gz PDPRPHFSBNJQSK-UHFFFAOYSA-N -1 1 309.329 1.811 20 0 DDADMM C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)N1Cc1nc(=O)n(C)[n-]1 ZINC000779221885 698403139 /nfs/dbraw/zinc/40/31/39/698403139.db2.gz DBQQUNKRRMUJOL-JMSVASOKSA-N -1 1 302.378 1.459 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCC[C@@H]1CCO ZINC000779357266 698416936 /nfs/dbraw/zinc/41/69/36/698416936.db2.gz TVKGHMCAHNCBIE-VXGBXAGGSA-N -1 1 307.394 1.895 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2cc[nH]c2)cc1 ZINC000750495673 700225785 /nfs/dbraw/zinc/22/57/85/700225785.db2.gz JXZYVWZPNHXTHE-UHFFFAOYSA-N -1 1 302.286 1.137 20 0 DDADMM C[N@H+]1CCCC(C)(C)[C@@H]1CNC(=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC000263372295 698502872 /nfs/dbraw/zinc/50/28/72/698502872.db2.gz NHZIIZHDZYDKRS-LRDDRELGSA-N -1 1 311.426 1.613 20 0 DDADMM CN(C)c1ncc(C[N@H+](C)CCCNC(=O)C(F)(F)F)cn1 ZINC000780324597 698506611 /nfs/dbraw/zinc/50/66/11/698506611.db2.gz FEBHZZYYXMIBBT-UHFFFAOYSA-N -1 1 319.331 1.043 20 0 DDADMM O=C([O-])CCNS(=O)(=O)c1cc(C(F)(F)F)ccc1O ZINC000263559149 698513344 /nfs/dbraw/zinc/51/33/44/698513344.db2.gz QFTHVISKOGCUKM-UHFFFAOYSA-N -1 1 313.253 1.164 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2cc(F)cc3nc[nH]c32)C1=O ZINC000281174751 698542064 /nfs/dbraw/zinc/54/20/64/698542064.db2.gz NEXBPEKOFHMYSI-CQSZACIVSA-N -1 1 319.296 1.067 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CCC=CCC1 ZINC000989809646 698775411 /nfs/dbraw/zinc/77/54/11/698775411.db2.gz MWAGPPDXWDLIPE-WCQYABFASA-N -1 1 319.409 1.336 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@]1(C)CCO[C@H]1C ZINC000784398832 698936127 /nfs/dbraw/zinc/93/61/27/698936127.db2.gz ULDJMIKGSJBNPC-KWQFWETISA-N -1 1 307.803 1.228 20 0 DDADMM CC[C@H](F)CN1CC[C@@]2(NC(=O)c3[nH]nc(C)c3[O-])CCC[C@H]12 ZINC000990195679 698991244 /nfs/dbraw/zinc/99/12/44/698991244.db2.gz ZGKFLGQRBOYPHW-MKBNYLNASA-N -1 1 324.400 1.899 20 0 DDADMM CC1(C)CC(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990967838 699195119 /nfs/dbraw/zinc/19/51/19/699195119.db2.gz CTSJLWIJBOIUPP-UHFFFAOYSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C1CCCC1 ZINC000990969270 699195610 /nfs/dbraw/zinc/19/56/10/699195610.db2.gz CFDRQWVIXWFUHI-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM Cn1[n-]c(COC(=O)CCCc2ccc(O)c(F)c2)nc1=O ZINC000787842772 699248735 /nfs/dbraw/zinc/24/87/35/699248735.db2.gz BRMNLKXOAZHKQQ-UHFFFAOYSA-N -1 1 309.297 1.019 20 0 DDADMM C[C@@H]1CCCN(Cc2cn(C)nc2Br)[C@H]1C(=O)[O-] ZINC000714079372 699269295 /nfs/dbraw/zinc/26/92/95/699269295.db2.gz OTOKVPGGPXJRQX-PSASIEDQSA-N -1 1 316.199 1.868 20 0 DDADMM O=C(NCC1OCCCO1)c1ccc(Br)c([O-])c1 ZINC000716406754 699282689 /nfs/dbraw/zinc/28/26/89/699282689.db2.gz YEQAHKBAWJQZPY-UHFFFAOYSA-N -1 1 316.151 1.648 20 0 DDADMM C[C@@H]1CCN(CCCS(=O)(=O)C(C)(C)C)C[C@@H]1C(=O)[O-] ZINC000718735208 699294922 /nfs/dbraw/zinc/29/49/22/699294922.db2.gz PAQZBCYWBDBAAO-NEPJUHHUSA-N -1 1 305.440 1.632 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000788274662 699295874 /nfs/dbraw/zinc/29/58/74/699295874.db2.gz QIOGKODSPQWQRE-OLZOCXBDSA-N -1 1 310.394 1.252 20 0 DDADMM C[C@@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000727857006 699428759 /nfs/dbraw/zinc/42/87/59/699428759.db2.gz OVDPXQHBCFPLEV-ZDUSSCGKSA-N -1 1 315.373 1.189 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)Cc1csc(C)n1)C(=O)OC ZINC000790044368 699437058 /nfs/dbraw/zinc/43/70/58/699437058.db2.gz XHFRFVRIOVEILH-JTQLQIEISA-N -1 1 306.409 1.213 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCCc2n[nH]cc21 ZINC000790072928 699440469 /nfs/dbraw/zinc/44/04/69/699440469.db2.gz XGBZAJAUPAMFON-UHFFFAOYSA-N -1 1 301.350 1.930 20 0 DDADMM C[C@H](CC(=O)OCC(=O)[N-]C(=O)c1ccccc1)n1cccc1 ZINC000729290365 699475852 /nfs/dbraw/zinc/47/58/52/699475852.db2.gz UDUQVTAMTQKEDX-CYBMUJFWSA-N -1 1 314.341 1.939 20 0 DDADMM O=C(c1cc2sccc2s1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732089226 699546098 /nfs/dbraw/zinc/54/60/98/699546098.db2.gz LOGLOZHXBFUSHV-ZETCQYMHSA-N -1 1 321.387 1.690 20 0 DDADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)c1cccc(Cl)c1 ZINC000733132379 699579923 /nfs/dbraw/zinc/57/99/23/699579923.db2.gz LNMWUGUNJJCQBQ-UHFFFAOYSA-N -1 1 321.764 1.633 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCOC(C)C)o1 ZINC000733443202 699595974 /nfs/dbraw/zinc/59/59/74/699595974.db2.gz YJNLDGBLKSQJPA-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM COc1ncccc1CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791089643 699610918 /nfs/dbraw/zinc/61/09/18/699610918.db2.gz NRIXRAVWIORFGV-UHFFFAOYSA-N -1 1 312.333 1.067 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3csc4nccn34)ccnc1-2 ZINC000791285308 699619735 /nfs/dbraw/zinc/61/97/35/699619735.db2.gz HNRVCIFKRRYZCA-UHFFFAOYSA-N -1 1 312.358 1.232 20 0 DDADMM Cc1cc(C)c2[nH+]cc(-c3nn[nH]n3)c(N3CCOCC3)c2c1 ZINC000737248525 699737807 /nfs/dbraw/zinc/73/78/07/699737807.db2.gz IAHYEALZPQGRNM-UHFFFAOYSA-N -1 1 310.361 1.868 20 0 DDADMM Cn1cc(CCCNc2ccc(Cl)c(-c3nnn[n-]3)n2)cn1 ZINC000737601400 699742406 /nfs/dbraw/zinc/74/24/06/699742406.db2.gz IRCAJYXRMFTSPG-UHFFFAOYSA-N -1 1 318.772 1.693 20 0 DDADMM Cn1cc(CCCNc2ccc(Cl)c(-c3nn[n-]n3)n2)cn1 ZINC000737601400 699742408 /nfs/dbraw/zinc/74/24/08/699742408.db2.gz IRCAJYXRMFTSPG-UHFFFAOYSA-N -1 1 318.772 1.693 20 0 DDADMM CSCCO[N-]C(=O)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000794195137 699797108 /nfs/dbraw/zinc/79/71/08/699797108.db2.gz YLGUKGDXTQMVPI-NSHDSACASA-N -1 1 300.424 1.578 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(-n3nccn3)cc2)cn1 ZINC000795295880 699856621 /nfs/dbraw/zinc/85/66/21/699856621.db2.gz AZSUQVZIBYTJBE-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM CC(C)c1cnc(NC(=O)CCn2cc[n-]c(=O)c2=O)s1 ZINC000742124552 699861160 /nfs/dbraw/zinc/86/11/60/699861160.db2.gz AHLHXAOUSNEJEN-UHFFFAOYSA-N -1 1 308.363 1.145 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cccc3c2OCCO3)[n-]1 ZINC000796350861 699928785 /nfs/dbraw/zinc/92/87/85/699928785.db2.gz OPNADEIBSUKRHZ-UHFFFAOYSA-N -1 1 317.297 1.930 20 0 DDADMM COC(=O)Cc1ccc(OC(=O)c2ccc(C(=O)OC)[n-]2)cc1 ZINC000796732933 699949635 /nfs/dbraw/zinc/94/96/35/699949635.db2.gz UBVWCTROBHTBBY-UHFFFAOYSA-N -1 1 317.297 1.736 20 0 DDADMM CCN(C)C(=O)COC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801204155 700277592 /nfs/dbraw/zinc/27/75/92/700277592.db2.gz YGYUHCLWXARTGI-UHFFFAOYSA-N -1 1 303.318 1.213 20 0 DDADMM CC[C@H](C[C@H](C)CO)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000751466081 700292274 /nfs/dbraw/zinc/29/22/74/700292274.db2.gz CKTXZRHPJWZYAV-DTWKUNHWSA-N -1 1 309.819 1.149 20 0 DDADMM COC(=O)[C@H](C)OC(=O)c1nn(-c2ccc(F)cc2C)cc1[O-] ZINC000801426625 700307687 /nfs/dbraw/zinc/30/76/87/700307687.db2.gz DFJFAXDGKKHFTP-VIFPVBQESA-N -1 1 322.292 1.744 20 0 DDADMM Cc1cc(F)ccc1-n1cc([O-])c(C(=O)O[C@@H](C)C(N)=O)n1 ZINC000801428018 700308131 /nfs/dbraw/zinc/30/81/31/700308131.db2.gz PTQNQNHDSPCVQW-QMMMGPOBSA-N -1 1 307.281 1.056 20 0 DDADMM C[C@H]1CCCC[C@@H]1OCC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801700404 700331853 /nfs/dbraw/zinc/33/18/53/700331853.db2.gz LDUQREUSMZTJDU-FZMZJTMJSA-N -1 1 302.378 1.876 20 0 DDADMM CCN1CC[C@@H]([N-]C(=O)C(F)(F)c2c(F)cccc2F)C1=O ZINC000801789219 700338707 /nfs/dbraw/zinc/33/87/07/700338707.db2.gz ZKOLBQCWGMEELL-SNVBAGLBSA-N -1 1 318.270 1.794 20 0 DDADMM CO[C@@H](C)c1nsc([N-]C(=O)c2cc3n[nH]nc3cc2F)n1 ZINC000802630457 700414167 /nfs/dbraw/zinc/41/41/67/700414167.db2.gz NNGQSHGEACVNFX-YFKPBYRVSA-N -1 1 322.325 1.908 20 0 DDADMM CC(C)CN1C[C@@H](C(=O)[N-]OCc2ccc(F)cc2)CC1=O ZINC000754712621 700521565 /nfs/dbraw/zinc/52/15/65/700521565.db2.gz ZZZILDMGBCQPRO-ZDUSSCGKSA-N -1 1 308.353 1.878 20 0 DDADMM Cn1c(CNC(=O)c2c([O-])cccc2Cl)nnc1C1CC1 ZINC000758546788 700711926 /nfs/dbraw/zinc/71/19/26/700711926.db2.gz YYFUCWAFNWUXAQ-UHFFFAOYSA-N -1 1 306.753 1.982 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-]C[C@H](OC)C(F)(F)F ZINC000760574804 700814769 /nfs/dbraw/zinc/81/47/69/700814769.db2.gz NYJAUSMWNDIATP-ZETCQYMHSA-N -1 1 319.326 1.612 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2cncs2)co1 ZINC000761140962 700846992 /nfs/dbraw/zinc/84/69/92/700846992.db2.gz DKNNPDASTLSVNY-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC2CCSCC2)o1 ZINC000761241575 700852394 /nfs/dbraw/zinc/85/23/94/700852394.db2.gz QWWDVGSQAYLZDO-UHFFFAOYSA-N -1 1 319.404 1.488 20 0 DDADMM CC[C@H](NC(=O)[C@@H](N)c1ccc(Br)cc1)C(=O)[O-] ZINC000763027951 700920654 /nfs/dbraw/zinc/92/06/54/700920654.db2.gz HOPFMQUWLVWMQD-UWVGGRQHSA-N -1 1 315.167 1.428 20 0 DDADMM CC(C)(C)OC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000763224872 700929815 /nfs/dbraw/zinc/92/98/15/700929815.db2.gz QIAUELWYWHDONM-UHFFFAOYSA-N -1 1 307.350 1.384 20 0 DDADMM Cc1cc([C@](C)(O)CNC(=O)c2ncc(C)cc2[O-])c(C)o1 ZINC000763250502 700931593 /nfs/dbraw/zinc/93/15/93/700931593.db2.gz HUOOSTIQVGNGNT-MRXNPFEDSA-N -1 1 304.346 1.943 20 0 DDADMM Cc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1-n1cccc1 ZINC000765409212 701010181 /nfs/dbraw/zinc/01/01/81/701010181.db2.gz MUPUZDQGSHHVHP-UHFFFAOYSA-N -1 1 312.329 1.565 20 0 DDADMM Cn1[n-]c(COC(=O)c2csc(-c3ccccc3)n2)nc1=O ZINC000765422345 701011281 /nfs/dbraw/zinc/01/12/81/701011281.db2.gz VJBKZJWAQAJOLY-UHFFFAOYSA-N -1 1 316.342 1.589 20 0 DDADMM CC(C)c1cccc(OCC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765448172 701013229 /nfs/dbraw/zinc/01/32/29/701013229.db2.gz VVXUCVJVDDEPNQ-UHFFFAOYSA-N -1 1 305.334 1.354 20 0 DDADMM Cc1nn(C(C)(C)C)c(C)c1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765465624 701014099 /nfs/dbraw/zinc/01/40/99/701014099.db2.gz ZVCRDXLEDWGQJB-UHFFFAOYSA-N -1 1 307.354 1.034 20 0 DDADMM Cn1[n-]c(COC(=O)C[C@H]2CCOc3ccccc32)nc1=O ZINC000765479862 701014894 /nfs/dbraw/zinc/01/48/94/701014894.db2.gz NWCVUEFQKPUWTP-SNVBAGLBSA-N -1 1 303.318 1.108 20 0 DDADMM COc1ccc([C@H](C)CC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765491482 701015651 /nfs/dbraw/zinc/01/56/51/701015651.db2.gz HUNKYEAKLBNLCT-SNVBAGLBSA-N -1 1 305.334 1.354 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1cn(C)c(Cl)n1)C(=O)OC ZINC000769904799 701260655 /nfs/dbraw/zinc/26/06/55/701260655.db2.gz FMVSXPJRODNXNY-UHFFFAOYSA-N -1 1 323.802 1.084 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@H](O)C1CCC1 ZINC000830858706 706592722 /nfs/dbraw/zinc/59/27/22/706592722.db2.gz DJMGFNVDJLKKTJ-SECBINFHSA-N -1 1 301.140 1.649 20 0 DDADMM Cc1cc2occ(C(=O)OCc3nc(=O)n(C)[n-]3)c2cc1C ZINC000770491054 701280172 /nfs/dbraw/zinc/28/01/72/701280172.db2.gz OOSIQTKKJRHZOZ-UHFFFAOYSA-N -1 1 301.302 1.828 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCCn1ccnc1 ZINC000771938786 701337616 /nfs/dbraw/zinc/33/76/16/701337616.db2.gz IWKOUDVFEPEOER-UHFFFAOYSA-N -1 1 323.374 1.502 20 0 DDADMM O=C(O[C@H]1CCN(c2ccccc2Cl)C1=O)c1cn[n-]n1 ZINC000805603104 701397427 /nfs/dbraw/zinc/39/74/27/701397427.db2.gz HCARWYMPNLVYRL-NSHDSACASA-N -1 1 306.709 1.420 20 0 DDADMM CC(C)[C@H](OC(=O)c1cn[n-]n1)C(=O)NCc1ccccc1F ZINC000805607250 701399656 /nfs/dbraw/zinc/39/96/56/701399656.db2.gz HZGKXAOFYOREEK-ZDUSSCGKSA-N -1 1 320.324 1.442 20 0 DDADMM O=C(/C=C/COc1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000830905967 706600509 /nfs/dbraw/zinc/60/05/09/706600509.db2.gz IWSKVACCTFFJFQ-OMDQHUAHSA-N -1 1 313.361 1.541 20 0 DDADMM O=C(/C=C\COc1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000830905968 706600768 /nfs/dbraw/zinc/60/07/68/706600768.db2.gz IWSKVACCTFFJFQ-QGOGUYACSA-N -1 1 313.361 1.541 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806480931 701436717 /nfs/dbraw/zinc/43/67/17/701436717.db2.gz CPKSTLXATWEEFF-BMIGLBTASA-N -1 1 305.382 1.260 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3cn4ccccc4n3)ccnc1-2 ZINC000806484063 701437339 /nfs/dbraw/zinc/43/73/39/701437339.db2.gz ATVVXSYKUIQPJX-UHFFFAOYSA-N -1 1 321.344 1.311 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NOCCC(F)(F)F)ccnc1-2 ZINC000806491627 701437780 /nfs/dbraw/zinc/43/77/80/701437780.db2.gz OUYNOGMNTLMKME-UHFFFAOYSA-N -1 1 303.244 1.347 20 0 DDADMM C[C@@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)C(=O)OC(C)(C)C ZINC000806489289 701437820 /nfs/dbraw/zinc/43/78/20/701437820.db2.gz XZFXFGBDEOXISD-SECBINFHSA-N -1 1 319.365 1.194 20 0 DDADMM Cc1ccncc1CN(C)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806492977 701438111 /nfs/dbraw/zinc/43/81/11/701438111.db2.gz MDYMPQUZAOLKFS-UHFFFAOYSA-N -1 1 310.361 1.709 20 0 DDADMM O=C(NC[C@H](O)c1c(F)cccc1F)C(=O)c1ccc([O-])cc1 ZINC000806909183 701453728 /nfs/dbraw/zinc/45/37/28/701453728.db2.gz ZVCZZJVVQRPSFD-ZDUSSCGKSA-N -1 1 321.279 1.703 20 0 DDADMM Cc1cccnc1C=CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000807995545 701493605 /nfs/dbraw/zinc/49/36/05/701493605.db2.gz GVSGPQDUOKHXCV-SYTKJHMZSA-N -1 1 314.345 1.898 20 0 DDADMM O=S(=O)([N-][C@H](C1CC1)C(F)(F)F)c1cnn(CC2CC2)c1 ZINC000808327894 701513914 /nfs/dbraw/zinc/51/39/14/701513914.db2.gz ICHSGMRIFMUGPP-LLVKDONJSA-N -1 1 323.340 1.912 20 0 DDADMM C[C@@H]1CC=C(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000830952142 706609496 /nfs/dbraw/zinc/60/94/96/706609496.db2.gz CJSJHOIHDKILEB-SNVBAGLBSA-N -1 1 303.362 1.618 20 0 DDADMM COC(=O)c1ccc(Cl)c(C(=O)Nc2ccncc2[O-])c1 ZINC000810366292 701760371 /nfs/dbraw/zinc/76/03/71/701760371.db2.gz VLRDSWQDVLAMIT-UHFFFAOYSA-N -1 1 306.705 1.901 20 0 DDADMM Cc1cc(N2C[C@@H](C(=O)[N-]OCC(C)(C)O)CC2=O)ccc1F ZINC000839745756 701807647 /nfs/dbraw/zinc/80/76/47/701807647.db2.gz SUPNYURTRNUDTK-NSHDSACASA-N -1 1 324.352 1.306 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-]C(=O)[C@]1(F)CCN(C(C)(C)C)C1 ZINC000810671021 701816532 /nfs/dbraw/zinc/81/65/32/701816532.db2.gz PLOKRGVXEZGWCL-GWCFXTLKSA-N -1 1 308.419 1.443 20 0 DDADMM CC[C@H](C[C@H](C)O)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000867932857 701839818 /nfs/dbraw/zinc/83/98/18/701839818.db2.gz UCSKLNRJNZHPMN-DTWKUNHWSA-N -1 1 323.361 1.801 20 0 DDADMM C[C@@]12CCN(C(=O)CCc3nn[n-]n3)C[C@@H]1C2(Cl)Cl ZINC000868142064 701960812 /nfs/dbraw/zinc/96/08/12/701960812.db2.gz LGBUFWWETSMGFD-OIBJUYFYSA-N -1 1 304.181 1.175 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)CCC(C)(C)C)n1 ZINC000811686996 702026572 /nfs/dbraw/zinc/02/65/72/702026572.db2.gz KKYASXZSZGYKDX-UHFFFAOYSA-N -1 1 302.400 1.476 20 0 DDADMM C[C@H](CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C(C)(C)C ZINC000868262182 702027603 /nfs/dbraw/zinc/02/76/03/702027603.db2.gz ZGWMCNWFBXUUFI-SNVBAGLBSA-N -1 1 322.409 1.736 20 0 DDADMM CC(=O)NC(C)(C)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000840220856 702033347 /nfs/dbraw/zinc/03/33/47/702033347.db2.gz HCMYWSUOXJHTHE-UHFFFAOYSA-N -1 1 319.313 1.457 20 0 DDADMM CCC[C@@H](O)[C@@H](CO)[N-]c1nc(-c2cccc(OC)c2)no1 ZINC000840363237 702084815 /nfs/dbraw/zinc/08/48/15/702084815.db2.gz YJDKZKKPCZAIHH-CHWSQXEVSA-N -1 1 307.350 1.679 20 0 DDADMM CCC[C@H](NC(=O)C[C@@](O)(CC)c1ccccc1)c1nn[n-]n1 ZINC000840387582 702093312 /nfs/dbraw/zinc/09/33/12/702093312.db2.gz PMFDRWZBALBMKC-BBRMVZONSA-N -1 1 317.393 1.845 20 0 DDADMM CCN1CCN(c2ccc(NC(=O)[C@@H](C)C(=O)[O-])cc2F)CC1 ZINC000868466108 702144890 /nfs/dbraw/zinc/14/48/90/702144890.db2.gz MIJSPJHWJWWRCK-LLVKDONJSA-N -1 1 323.368 1.627 20 0 DDADMM Cc1ccsc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162346 706647244 /nfs/dbraw/zinc/64/72/44/706647244.db2.gz HUKZGNGYKOCUHD-UHFFFAOYSA-N -1 1 306.309 1.807 20 0 DDADMM COC[C@H](C)[C@@H](C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000812630725 702212074 /nfs/dbraw/zinc/21/20/74/702212074.db2.gz KILBRUTZYYHDCE-NWDGAFQWSA-N -1 1 307.346 1.405 20 0 DDADMM COc1ccncc1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000817086378 702269005 /nfs/dbraw/zinc/26/90/05/702269005.db2.gz PAVZNRJVHLKUMG-UHFFFAOYSA-N -1 1 316.361 1.948 20 0 DDADMM CN(C)c1ccc2cc(NC(=O)CCc3nn[n-]n3)ccc2c1 ZINC000868699222 702273827 /nfs/dbraw/zinc/27/38/27/702273827.db2.gz QYJKVYQELDQFRU-UHFFFAOYSA-N -1 1 310.361 1.990 20 0 DDADMM COC(=O)[C@@H](CF)[N-]C(=O)C(F)(F)Oc1ccc(C=O)cc1 ZINC000813692100 702399324 /nfs/dbraw/zinc/39/93/24/702399324.db2.gz SJDQHIGAXIXDBY-SNVBAGLBSA-N -1 1 319.235 1.098 20 0 DDADMM Cc1cccc(NC(=O)c2c(=O)[nH]c(=O)n(CC(C)C)c2[O-])c1 ZINC000817570798 702413635 /nfs/dbraw/zinc/41/36/35/702413635.db2.gz HKVOLPVSZJBLDH-GFCCVEGCSA-N -1 1 317.345 1.284 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)C(=O)O1 ZINC000841522872 702478297 /nfs/dbraw/zinc/47/82/97/702478297.db2.gz XYACATCGHVRLRN-XVKPBYJWSA-N -1 1 313.306 1.554 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)C2=Cc3ccccc3OC2)C(=O)O1 ZINC000841526047 702480327 /nfs/dbraw/zinc/48/03/27/702480327.db2.gz WAMBFCKKZUNGDG-JOYOIKCWSA-N -1 1 309.343 1.043 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)C1=Cc2ccccc2OC1 ZINC000841535593 702486134 /nfs/dbraw/zinc/48/61/34/702486134.db2.gz UAEGLPBUEBRCKV-CYBMUJFWSA-N -1 1 323.370 1.291 20 0 DDADMM CC[C@@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000869212991 702513078 /nfs/dbraw/zinc/51/30/78/702513078.db2.gz CDGKWVCTMZDOKZ-SNVBAGLBSA-N -1 1 306.366 1.196 20 0 DDADMM COC(=O)N(C)CCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869278078 702550736 /nfs/dbraw/zinc/55/07/36/702550736.db2.gz YFTXLZOKOLYSDJ-UHFFFAOYSA-N -1 1 300.742 1.403 20 0 DDADMM C[C@H]1CC/C(=C/C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869431176 702605068 /nfs/dbraw/zinc/60/50/68/702605068.db2.gz QKLGULOZHAXXIB-CBFJXKFUSA-N -1 1 321.295 1.914 20 0 DDADMM CC(C)[C@@]1(C)C[C@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869478537 702620508 /nfs/dbraw/zinc/62/05/08/702620508.db2.gz TXDZERIMBICCKQ-GXFFZTMASA-N -1 1 323.311 1.850 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1cccc(F)c1 ZINC000866426745 706696081 /nfs/dbraw/zinc/69/60/81/706696081.db2.gz GRHNARXPIFJDJZ-UHFFFAOYSA-N -1 1 322.427 1.710 20 0 DDADMM C[C@@H]1CC/C(=C\C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843015817 702803813 /nfs/dbraw/zinc/80/38/13/702803813.db2.gz IRFFFHXIYHFGKN-GMCKNXKJSA-N -1 1 314.451 1.663 20 0 DDADMM Nc1ccc(-c2nc(CC(=O)N3CCSCC3)no2)c([O-])c1 ZINC000843223265 702845287 /nfs/dbraw/zinc/84/52/87/702845287.db2.gz NYIVTGOWJJLQIL-UHFFFAOYSA-N -1 1 320.374 1.142 20 0 DDADMM COC(=O)CC[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866459948 706703742 /nfs/dbraw/zinc/70/37/42/706703742.db2.gz QQMYMMVRLLRCDJ-UHFFFAOYSA-N -1 1 313.162 1.230 20 0 DDADMM Cc1ccc(CC[N-]S(=O)(=O)c2ccc(F)nc2F)o1 ZINC000866489086 706712001 /nfs/dbraw/zinc/71/20/01/706712001.db2.gz CSPUTUGTOYWWTA-UHFFFAOYSA-N -1 1 302.302 1.782 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])[C@@H]1CCCN1C(=O)C1CC1 ZINC000843866497 702935600 /nfs/dbraw/zinc/93/56/00/702935600.db2.gz OEYVPKVICFONFU-VIFPVBQESA-N -1 1 309.753 1.780 20 0 DDADMM O=S(=O)([N-]CC1CCCC1)c1nc[nH]c1Br ZINC000866476775 706708885 /nfs/dbraw/zinc/70/88/85/706708885.db2.gz RXZPPDXRDKUGFD-UHFFFAOYSA-N -1 1 308.201 1.641 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@@]4(CC4(F)F)C3)ccnc1-2 ZINC000844650219 703051306 /nfs/dbraw/zinc/05/13/06/703051306.db2.gz IQHPTDDRXDLCOK-CYBMUJFWSA-N -1 1 307.304 1.605 20 0 DDADMM CCN(C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CCCOC1 ZINC000844650584 703051504 /nfs/dbraw/zinc/05/15/04/703051504.db2.gz NDAKCSCRBGQTMS-NSHDSACASA-N -1 1 303.366 1.375 20 0 DDADMM CCc1noc(CN2CC[C@](C(=O)[O-])(c3ccccc3)C2)n1 ZINC000846281172 703260521 /nfs/dbraw/zinc/26/05/21/703260521.db2.gz UHXIRRVZIXVRCO-MRXNPFEDSA-N -1 1 301.346 1.860 20 0 DDADMM CCn1nc(C)c(CN2CC[C@@](C(=O)[O-])(c3ccccc3)C2)n1 ZINC000846281187 703260640 /nfs/dbraw/zinc/26/06/40/703260640.db2.gz UVLNRLMEOAFGDT-KRWDZBQOSA-N -1 1 314.389 1.835 20 0 DDADMM Cc1ncccc1C=CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847091283 703376765 /nfs/dbraw/zinc/37/67/65/703376765.db2.gz NOTXPBKRRCTSNJ-YBJDMEARSA-N -1 1 314.345 1.898 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CCOC(C)(C)C)C(C)(C)C ZINC000866938359 706850587 /nfs/dbraw/zinc/85/05/87/706850587.db2.gz RSBDPMOBYCYLJR-LLVKDONJSA-N -1 1 323.455 1.699 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C(=O)O1 ZINC000848073892 703503649 /nfs/dbraw/zinc/50/36/49/703503649.db2.gz MZFCFFDRIBURAZ-MUWHJKNJSA-N -1 1 303.236 1.845 20 0 DDADMM CCCC[C@](C)(F)C(=O)NCc1n[n-]c(C(=O)OCC)n1 ZINC000879654780 706750730 /nfs/dbraw/zinc/75/07/30/706750730.db2.gz RRLMEDBWXHMZSI-ZDUSSCGKSA-N -1 1 300.334 1.516 20 0 DDADMM CCCC[C@](C)(F)C(=O)NCc1nc(C(=O)OCC)n[n-]1 ZINC000879654780 706750732 /nfs/dbraw/zinc/75/07/32/706750732.db2.gz RRLMEDBWXHMZSI-ZDUSSCGKSA-N -1 1 300.334 1.516 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@]1(C)CCCO1 ZINC000848341019 703540871 /nfs/dbraw/zinc/54/08/71/703540871.db2.gz WEEMFSSHWIOPBS-GIPNMCIBSA-N -1 1 322.327 1.614 20 0 DDADMM COCC1([N-]S(=O)(=O)c2ncn(C)c2Cl)CCCC1 ZINC000849415094 703645544 /nfs/dbraw/zinc/64/55/44/703645544.db2.gz IMAABSMAZCUVQQ-UHFFFAOYSA-N -1 1 307.803 1.311 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)N=S(C)(C)=O)[C@H]1CCCCO1 ZINC000866955091 706855210 /nfs/dbraw/zinc/85/52/10/706855210.db2.gz ONNQALVYDFNYEW-SNVBAGLBSA-N -1 1 312.457 1.144 20 0 DDADMM COc1cccc2c1n[nH]c2C(=O)OC[C@@H](OC)[C@H]1CCOC1 ZINC000849778059 703677144 /nfs/dbraw/zinc/67/71/44/703677144.db2.gz XUTBVRXXMSXCGT-GXFFZTMASA-N -1 1 320.345 1.780 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2conc2C)c1 ZINC000849812011 703680488 /nfs/dbraw/zinc/68/04/88/703680488.db2.gz PUFFLTBJCPEIRM-NRFANRHFSA-N -1 1 309.343 1.843 20 0 DDADMM COc1ccc(OC(C)(C)C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000849871190 703685858 /nfs/dbraw/zinc/68/58/58/703685858.db2.gz NROFYZFROGMKEX-UHFFFAOYSA-N -1 1 321.333 1.018 20 0 DDADMM CO[C@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C1CCCC1 ZINC000850039369 703699068 /nfs/dbraw/zinc/69/90/68/703699068.db2.gz IOLPDCQQXFODFB-HNNXBMFYSA-N -1 1 319.357 1.691 20 0 DDADMM CCCc1cc(C(=O)N2CC3(CCC3)[C@@](F)(C(=O)[O-])C2)n[nH]1 ZINC000851609912 703815412 /nfs/dbraw/zinc/81/54/12/703815412.db2.gz AGWCYMZXZUJBCF-HNNXBMFYSA-N -1 1 309.341 1.781 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(C3CCOCC3)cc2)nc1=O ZINC000869668208 703827367 /nfs/dbraw/zinc/82/73/67/703827367.db2.gz TYBMDPUWYQIKSJ-UHFFFAOYSA-N -1 1 317.345 1.359 20 0 DDADMM Cc1nc(CS(=O)(=O)[N-][C@@H]2CCCC23OCCO3)cs1 ZINC000851815832 703863225 /nfs/dbraw/zinc/86/32/25/703863225.db2.gz UORFDLRODGLYHY-LLVKDONJSA-N -1 1 318.420 1.167 20 0 DDADMM C[C@H]1C[C@H]1CNC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000851960033 703891674 /nfs/dbraw/zinc/89/16/74/703891674.db2.gz UJNFQODMGHSPNV-RCWTZXSCSA-N -1 1 321.343 1.741 20 0 DDADMM CC(C)[C@H](C)NC(=O)CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763080 706784079 /nfs/dbraw/zinc/78/40/79/706784079.db2.gz MSTKXFGKSYNZCG-GWCFXTLKSA-N -1 1 323.359 1.290 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C[C@H](O)c2ccccn2)C1 ZINC000879766885 706786418 /nfs/dbraw/zinc/78/64/18/706786418.db2.gz CTLPVHNKIRIDMS-AAEUAGOBSA-N -1 1 317.311 1.258 20 0 DDADMM CCN1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)OC(C)(C)C ZINC000852521474 704067780 /nfs/dbraw/zinc/06/77/80/704067780.db2.gz YWVWMLRKUPHWIH-NXEZZACHSA-N -1 1 324.343 1.860 20 0 DDADMM O=C(Cc1ccc(-n2cnnc2)cc1)[N-]O[C@@H]1CCCCO1 ZINC000819206386 704086591 /nfs/dbraw/zinc/08/65/91/704086591.db2.gz ZCKNZYDLLIOTGK-OAHLLOKOSA-N -1 1 302.334 1.384 20 0 DDADMM C[C@@H]1CCN(CC(=O)OC(C)(C)C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852757774 704117010 /nfs/dbraw/zinc/11/70/10/704117010.db2.gz LESDVZSQYGEFAA-ZJUUUORDSA-N -1 1 324.343 1.717 20 0 DDADMM C[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H](O)C(F)(F)F ZINC000819566340 704142766 /nfs/dbraw/zinc/14/27/66/704142766.db2.gz BEKZRVNETRCKND-SVGQVSJJSA-N -1 1 320.296 1.060 20 0 DDADMM CC(C)c1cc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])on1 ZINC000820187765 704238147 /nfs/dbraw/zinc/23/81/47/704238147.db2.gz PUXAVXFECHTXJI-UHFFFAOYSA-N -1 1 314.305 1.936 20 0 DDADMM C[C@]1(C(F)(F)F)CN(C(=O)C(=O)c2ccc([O-])cc2)CCO1 ZINC000871439204 704295148 /nfs/dbraw/zinc/29/51/48/704295148.db2.gz XLLASASNLJRBLP-CYBMUJFWSA-N -1 1 317.263 1.755 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1ccc(F)c(F)c1F ZINC000821118631 704373546 /nfs/dbraw/zinc/37/35/46/704373546.db2.gz CAZZOKHQUFIMPO-UHFFFAOYSA-N -1 1 302.299 1.488 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]c1cccc(O)c1F ZINC000821191851 704379769 /nfs/dbraw/zinc/37/97/69/704379769.db2.gz PTYPVPMRPOPWCY-UHFFFAOYSA-N -1 1 308.356 1.450 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2ncnn2C)c1 ZINC000821302748 704387704 /nfs/dbraw/zinc/38/77/04/704387704.db2.gz KBNJPWIYNBEREY-ZQJOYCHOSA-N -1 1 323.374 1.236 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CC[C@H]2SCCS[C@H]12 ZINC000821413807 704406164 /nfs/dbraw/zinc/40/61/64/704406164.db2.gz QJXIKFJCGCSDIF-KBVBSXBZSA-N -1 1 313.452 1.018 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H]1C(F)(F)F ZINC000854519962 704411238 /nfs/dbraw/zinc/41/12/38/704411238.db2.gz LBWSNOINZWUMPN-ZETCQYMHSA-N -1 1 302.281 1.795 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N[C@H]1C=CCC1 ZINC000855424593 704485021 /nfs/dbraw/zinc/48/50/21/704485021.db2.gz NBYLWCFAQBAWAR-ZDUSSCGKSA-N -1 1 310.357 1.930 20 0 DDADMM C[C@@H]1CCC[C@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)O1 ZINC000855797364 704501626 /nfs/dbraw/zinc/50/16/26/704501626.db2.gz NFHAMFTXDHDZHG-MWLCHTKSSA-N -1 1 306.391 1.705 20 0 DDADMM C[C@@H](CN(C)C(=O)OC(C)(C)C)NC(=O)c1ncccc1[O-] ZINC000856377955 704525363 /nfs/dbraw/zinc/52/53/63/704525363.db2.gz AFXNRLZNOKHVSU-JTQLQIEISA-N -1 1 309.366 1.772 20 0 DDADMM CC[C@@H](O)CC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856416050 704528024 /nfs/dbraw/zinc/52/80/24/704528024.db2.gz SIZJSKLKJKWPIU-BBBLOLIVSA-N -1 1 310.316 1.063 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@]2(C)CCCO2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856417637 704528199 /nfs/dbraw/zinc/52/81/99/704528199.db2.gz BTGDLCRWKLDBNB-NRUUGDAUSA-N -1 1 322.327 1.471 20 0 DDADMM CC(C)(C)C[C@H](O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866849859 706824667 /nfs/dbraw/zinc/82/46/67/706824667.db2.gz VXMOBVVXCPADQY-QMMMGPOBSA-N -1 1 308.350 1.435 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3COC[C@H]3c3ccccc3)ccnc1-2 ZINC000857614702 704607734 /nfs/dbraw/zinc/60/77/34/704607734.db2.gz JDJHGZBXZBUFBU-GJZGRUSLSA-N -1 1 322.368 1.711 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)C[C@]2(C)CC2(Cl)Cl)no1 ZINC000866870669 706830321 /nfs/dbraw/zinc/83/03/21/706830321.db2.gz QBXIJCAGEQGGKV-QMMMGPOBSA-N -1 1 314.194 1.381 20 0 DDADMM C[C@H]1CC[C@@H]([N-]S(=O)(=O)c2nc[nH]c2Br)C1 ZINC000867152275 706910496 /nfs/dbraw/zinc/91/04/96/706910496.db2.gz ZBTXBZHUNXBHLW-NKWVEPMBSA-N -1 1 308.201 1.639 20 0 DDADMM C[C@H]1CC[C@H]([N-]S(=O)(=O)c2nc[nH]c2Br)C1 ZINC000867152274 706910528 /nfs/dbraw/zinc/91/05/28/706910528.db2.gz ZBTXBZHUNXBHLW-BQBZGAKWSA-N -1 1 308.201 1.639 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC2OCCCO2)c(F)c1 ZINC000867160151 706912626 /nfs/dbraw/zinc/91/26/26/706912626.db2.gz FBZDLJMARCXINR-UHFFFAOYSA-N -1 1 323.317 1.015 20 0 DDADMM O=c1nc(N2CCC([C@H](O)C(F)(F)F)CC2)cc(Cl)[n-]1 ZINC000858447477 704712405 /nfs/dbraw/zinc/71/24/05/704712405.db2.gz FTBQNPKXSNEEFP-VIFPVBQESA-N -1 1 311.691 1.975 20 0 DDADMM O=c1nc(N2CCN(Cc3ccccn3)CC2)cc(Cl)[n-]1 ZINC000858330311 704695813 /nfs/dbraw/zinc/69/58/13/704695813.db2.gz OQOMNTHLAWNDKB-UHFFFAOYSA-N -1 1 305.769 1.553 20 0 DDADMM O=c1nc(N2CCC[C@H](N3CCCCC3=O)C2)cc(Cl)[n-]1 ZINC000858408332 704707036 /nfs/dbraw/zinc/70/70/36/704707036.db2.gz SRGOHVJWIGWSGT-JTQLQIEISA-N -1 1 310.785 1.817 20 0 DDADMM C[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1ccc(Br)nc1F ZINC000858901066 704770121 /nfs/dbraw/zinc/77/01/21/704770121.db2.gz IPEFAQFYNMVAQV-RNFRBKRXSA-N -1 1 323.187 1.918 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)c2ccns2)c1 ZINC000859400546 704890905 /nfs/dbraw/zinc/89/09/05/704890905.db2.gz KHXOWOYPMJHMRF-ZETCQYMHSA-N -1 1 316.360 1.562 20 0 DDADMM CC(C)(C)O[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000867449870 707004956 /nfs/dbraw/zinc/00/49/56/707004956.db2.gz BBHIPGMESWDHDB-DTORHVGOSA-N -1 1 320.361 1.984 20 0 DDADMM CCC[C@@H](C)[C@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867459356 707008173 /nfs/dbraw/zinc/00/81/73/707008173.db2.gz VSRNWUQSEYRUFT-BDAKNGLRSA-N -1 1 324.805 1.950 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CCCN1Cc1ccc(Cl)cc1 ZINC000859887560 705030628 /nfs/dbraw/zinc/03/06/28/705030628.db2.gz GQYIVQFXVFCUHR-AWEZNQCLSA-N -1 1 312.797 1.999 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(CF)cc2)n[n-]1 ZINC000880666111 707051605 /nfs/dbraw/zinc/05/16/05/707051605.db2.gz KIPTWSBIXUGZIC-SECBINFHSA-N -1 1 320.324 1.942 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(CF)cc2)[n-]1 ZINC000880666111 707051607 /nfs/dbraw/zinc/05/16/07/707051607.db2.gz KIPTWSBIXUGZIC-SECBINFHSA-N -1 1 320.324 1.942 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(CF)cc2)n1 ZINC000880666111 707051608 /nfs/dbraw/zinc/05/16/08/707051608.db2.gz KIPTWSBIXUGZIC-SECBINFHSA-N -1 1 320.324 1.942 20 0 DDADMM CCON(C)C(=O)C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000824220420 705402350 /nfs/dbraw/zinc/40/23/50/705402350.db2.gz PFUIKAGDYHMJHR-UHFFFAOYSA-N -1 1 322.258 1.583 20 0 DDADMM COC1CC(C(=O)Nc2nc(Br)ccc2[O-])C1 ZINC000861250713 705408367 /nfs/dbraw/zinc/40/83/67/705408367.db2.gz QLNGOJZAZBOSDY-UHFFFAOYSA-N -1 1 301.140 1.913 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3CC(C)(C)OC3(C)C)ccnc1-2 ZINC000875633279 705456784 /nfs/dbraw/zinc/45/67/84/705456784.db2.gz LZPVHYRSUKIBBT-LLVKDONJSA-N -1 1 302.378 1.874 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@@H]2Cc3ccccc3O2)[n-]1 ZINC000875678386 705474359 /nfs/dbraw/zinc/47/43/59/705474359.db2.gz CWGGZFLUANCLQZ-NSHDSACASA-N -1 1 315.329 1.919 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@@H]2Cc3ccccc3O2)n1 ZINC000875678386 705474363 /nfs/dbraw/zinc/47/43/63/705474363.db2.gz CWGGZFLUANCLQZ-NSHDSACASA-N -1 1 315.329 1.919 20 0 DDADMM CCCCN(C(=O)Cc1noc(C)c1-c1nnn[n-]1)C1CC1 ZINC000825282471 705638882 /nfs/dbraw/zinc/63/88/82/705638882.db2.gz TVNIDGBJSQNUJX-UHFFFAOYSA-N -1 1 304.354 1.497 20 0 DDADMM CCCCN(C(=O)Cc1noc(C)c1-c1nn[n-]n1)C1CC1 ZINC000825282471 705638884 /nfs/dbraw/zinc/63/88/84/705638884.db2.gz TVNIDGBJSQNUJX-UHFFFAOYSA-N -1 1 304.354 1.497 20 0 DDADMM COC(=O)[C@H](CCF)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000911886473 711052107 /nfs/dbraw/zinc/05/21/07/711052107.db2.gz RVRCMZQXGXJWDV-JTQLQIEISA-N -1 1 324.283 1.711 20 0 DDADMM Cn1nccc1[C@@H](CO)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876396581 705708231 /nfs/dbraw/zinc/70/82/31/705708231.db2.gz JUXAPNJJSWZVRF-LLVKDONJSA-N -1 1 324.768 1.312 20 0 DDADMM CN(C(=O)NCc1ccc([O-])c(Cl)c1)[C@H]1C[C@@H](C(N)=O)C1 ZINC000876399697 705708347 /nfs/dbraw/zinc/70/83/47/705708347.db2.gz SSQNQNPIQJCZKH-AOOOYVTPSA-N -1 1 311.769 1.451 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(C)cc2F)c1-c1nnn[n-]1 ZINC000826291141 705787083 /nfs/dbraw/zinc/78/70/83/705787083.db2.gz RNRNZGJPDOZYMN-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(C)cc2F)c1-c1nn[n-]n1 ZINC000826291141 705787090 /nfs/dbraw/zinc/78/70/90/705787090.db2.gz RNRNZGJPDOZYMN-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM COC(=O)[C@@]1(OC)CCN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000862743372 705789809 /nfs/dbraw/zinc/78/98/09/705789809.db2.gz JJXPUHDZCDIODW-CQSZACIVSA-N -1 1 313.737 1.450 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCC[C@@H](C)C2)c1-c1nnn[n-]1 ZINC000826346751 705794829 /nfs/dbraw/zinc/79/48/29/705794829.db2.gz UYUKIKVPGOHXEC-SCZZXKLOSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCC[C@@H](C)C2)c1-c1nn[n-]n1 ZINC000826346751 705794830 /nfs/dbraw/zinc/79/48/30/705794830.db2.gz UYUKIKVPGOHXEC-SCZZXKLOSA-N -1 1 304.354 1.401 20 0 DDADMM CCC[C@](C)(NC(=O)[O-])C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000826721219 705857952 /nfs/dbraw/zinc/85/79/52/705857952.db2.gz QMFHGUXWLZNFCH-AWEZNQCLSA-N -1 1 320.353 1.575 20 0 DDADMM O=C([C@H]1CC[C@H](C2CCC2)CC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826834598 705881198 /nfs/dbraw/zinc/88/11/98/705881198.db2.gz UPRUSSPZGWRHEN-IHRRRGAJSA-N -1 1 319.409 1.706 20 0 DDADMM Cc1cc(F)cc(C)c1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826833845 705881211 /nfs/dbraw/zinc/88/12/11/705881211.db2.gz JHTDIDQFNCLOKM-NSHDSACASA-N -1 1 305.313 1.169 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1cnn(C)c1C1CC1)C1CC1 ZINC000863406368 705920946 /nfs/dbraw/zinc/92/09/46/705920946.db2.gz ATSDLVAGBIJMCZ-CYBMUJFWSA-N -1 1 313.423 1.854 20 0 DDADMM CO[C@@H]1C[C@H]1C(=O)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000827944006 706091375 /nfs/dbraw/zinc/09/13/75/706091375.db2.gz UIGNDHRIEQHZHR-IUODEOHRSA-N -1 1 324.808 1.725 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(S(=O)(=O)c2cc(O)cc(F)c2)C1 ZINC000864495592 706181664 /nfs/dbraw/zinc/18/16/64/706181664.db2.gz JJAOBFTUINCHEF-LBPRGKRZSA-N -1 1 303.311 1.017 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cccnc1 ZINC000872429804 707406685 /nfs/dbraw/zinc/40/66/85/707406685.db2.gz KGWSZZRYZLDIHQ-GOSISDBHSA-N -1 1 305.425 1.312 20 0 DDADMM CCc1csc(=O)n1CC(=O)CC[N-]C(=O)C(F)(F)F ZINC000865369048 706415095 /nfs/dbraw/zinc/41/50/95/706415095.db2.gz HSXFXNRFQNJOJA-UHFFFAOYSA-N -1 1 310.297 1.110 20 0 DDADMM O=S(=O)([N-]C[C@@H]1Cc2ccccc2O1)c1c[nH]nc1Cl ZINC000829927040 706420255 /nfs/dbraw/zinc/42/02/55/706420255.db2.gz KDCQLDQFYAGTPS-VIFPVBQESA-N -1 1 313.766 1.345 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@H]1C ZINC000830083183 706451396 /nfs/dbraw/zinc/45/13/96/706451396.db2.gz ZRJZKLWHZQYJOV-WPRPVWTQSA-N -1 1 320.374 1.042 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000830395545 706508358 /nfs/dbraw/zinc/50/83/58/706508358.db2.gz PMOZKIQRYZVTTL-UHFFFAOYSA-N -1 1 315.322 1.802 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]CC(=O)OC(C)C)c1ccccc1 ZINC000830394961 706508597 /nfs/dbraw/zinc/50/85/97/706508597.db2.gz AKCAEODHBZROLK-CYBMUJFWSA-N -1 1 315.391 1.245 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C ZINC000865861843 706546241 /nfs/dbraw/zinc/54/62/41/706546241.db2.gz VTZNWMLJJACARI-HWNAMQAFSA-N -1 1 314.389 1.960 20 0 DDADMM Cc1[nH+]ccn1CCCCNS(=O)(=O)c1c[nH]nc1Cl ZINC000830671646 706558702 /nfs/dbraw/zinc/55/87/02/706558702.db2.gz HNXDKFLIKDABHT-UHFFFAOYSA-N -1 1 317.802 1.327 20 0 DDADMM C[C@@](O)(C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CCC1 ZINC000830802092 706582816 /nfs/dbraw/zinc/58/28/16/706582816.db2.gz QZCYGDJKYMPCOJ-OLZOCXBDSA-N -1 1 322.327 1.207 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@]2(C)CCOC2)C1 ZINC000830807320 706583410 /nfs/dbraw/zinc/58/34/10/706583410.db2.gz HMOBOBZEIIBOKY-RYUDHWBXSA-N -1 1 308.300 1.083 20 0 DDADMM CC[C@@H]1CC[C@@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)O1 ZINC000830816366 706585533 /nfs/dbraw/zinc/58/55/33/706585533.db2.gz OUCODLVWTIXIRH-GBIKHYSHSA-N -1 1 322.327 1.614 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CC2(O)CCCC2)C1 ZINC000830821546 706586457 /nfs/dbraw/zinc/58/64/57/706586457.db2.gz KCYAQZRFJMUICD-LBPRGKRZSA-N -1 1 322.327 1.351 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CC[C@@H](SC)C2)c1 ZINC000866885426 706834878 /nfs/dbraw/zinc/83/48/78/706834878.db2.gz PODVCQJKGTYTFT-MWLCHTKSSA-N -1 1 317.432 1.963 20 0 DDADMM COc1cc(C)cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1C ZINC000866901560 706839862 /nfs/dbraw/zinc/83/98/62/706839862.db2.gz IHVXZYSTKCUSFO-LBPRGKRZSA-N -1 1 315.377 1.845 20 0 DDADMM CC(C)CC1(C[N-]S(=O)(=O)N=S2(=O)CCCC2)CC1 ZINC000866949724 706853528 /nfs/dbraw/zinc/85/35/28/706853528.db2.gz WLDUGFLOYGVSGD-UHFFFAOYSA-N -1 1 308.469 1.909 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CCC(F)(F)C1 ZINC000866970228 706859992 /nfs/dbraw/zinc/85/99/92/706859992.db2.gz OIWABGXHZYDESD-MRVPVSSYSA-N -1 1 304.384 1.516 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2ccc(F)nc2F)CCO[C@@H]1C1CC1 ZINC000867096980 706895104 /nfs/dbraw/zinc/89/51/04/706895104.db2.gz STJMQSQOCDYNRJ-DGCLKSJQSA-N -1 1 318.345 1.596 20 0 DDADMM CC1=CCN(CC[N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000867106706 706897743 /nfs/dbraw/zinc/89/77/43/706897743.db2.gz DEAMEDSSSYSIBF-UHFFFAOYSA-N -1 1 317.361 1.290 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C1Cc2ccccc2C1 ZINC000867107952 706898513 /nfs/dbraw/zinc/89/85/13/706898513.db2.gz VMVQDPMJWSSVGR-UHFFFAOYSA-N -1 1 314.432 1.250 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CCO[C@H](C2CC2)C1 ZINC000867111441 706899219 /nfs/dbraw/zinc/89/92/19/706899219.db2.gz FTFSXTUGKUBLDW-NEPJUHHUSA-N -1 1 324.468 1.286 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2c(c1)OC(C)(C)C2)c1nn[n-]n1 ZINC000867381867 706982434 /nfs/dbraw/zinc/98/24/34/706982434.db2.gz RWBBIIBTELMLRG-JTQLQIEISA-N -1 1 315.377 1.789 20 0 DDADMM C[C@@H](CS(C)(=O)=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834828989 707132758 /nfs/dbraw/zinc/13/27/58/707132758.db2.gz GVRNDRTYKFTEMT-QMMMGPOBSA-N -1 1 305.783 1.343 20 0 DDADMM CC[C@H](C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)[C@@H](C)O ZINC000881446893 707260878 /nfs/dbraw/zinc/26/08/78/707260878.db2.gz QICOUOKKTZMZSQ-PTRXPTGYSA-N -1 1 310.316 1.063 20 0 DDADMM COC[C@H]1CCCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000836573979 707482327 /nfs/dbraw/zinc/48/23/27/707482327.db2.gz KUEPAFPFGHIAKU-LBPRGKRZSA-N -1 1 317.393 1.622 20 0 DDADMM Cc1nccnc1[C@@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000872637189 707526305 /nfs/dbraw/zinc/52/63/05/707526305.db2.gz BMQCUVXBGHGXTA-MRVPVSSYSA-N -1 1 314.317 1.498 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@H](C(C)C)N1C[C@@H](C)O[C@H](C)C1 ZINC000836897719 707543424 /nfs/dbraw/zinc/54/34/24/707543424.db2.gz IJQLHXFWDAKIIM-TUVASFSCSA-N -1 1 316.442 1.185 20 0 DDADMM CN(C)C1(C(=O)NC[C@H](Cc2cccc(F)c2)C(=O)[O-])CC1 ZINC000909234550 712969638 /nfs/dbraw/zinc/96/96/38/712969638.db2.gz ATPVNASSJIQCMK-LBPRGKRZSA-N -1 1 308.353 1.279 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2C[C@H](OC)C2)cc1C ZINC000882588031 707708659 /nfs/dbraw/zinc/70/86/59/707708659.db2.gz MSUDZNRLDTXRKY-MGCOHNPYSA-N -1 1 317.363 1.078 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1cc(OC)ccc1F ZINC000882709272 707756158 /nfs/dbraw/zinc/75/61/58/707756158.db2.gz XHXWGGJDWFNRNJ-UHFFFAOYSA-N -1 1 313.354 1.931 20 0 DDADMM O=C([O-])CCCOc1ccc(CNC(=O)CN2CCCC2)cc1 ZINC000909266744 712978324 /nfs/dbraw/zinc/97/83/24/712978324.db2.gz KMSRHIBXJHQFPD-UHFFFAOYSA-N -1 1 320.389 1.642 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC000909309902 712987949 /nfs/dbraw/zinc/98/79/49/712987949.db2.gz QVQSXVSKMYRLCT-AWEZNQCLSA-N -1 1 304.281 1.111 20 0 DDADMM O=C([O-])C[C@H](NC(=O)CCc1cnc[nH]1)c1ccc(F)cc1F ZINC000909340369 712995681 /nfs/dbraw/zinc/99/56/81/712995681.db2.gz ADBHQXXKPOJFSH-ZDUSSCGKSA-N -1 1 323.299 1.953 20 0 DDADMM C[C@H](C(=O)N[C@@]1(C(=O)[O-])CCSC1)N1CCCCCC1 ZINC000909353410 712998573 /nfs/dbraw/zinc/99/85/73/712998573.db2.gz VAIQLLRCJDZQED-RISCZKNCSA-N -1 1 300.424 1.327 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CC[C@H](O)[C@H](F)C1 ZINC000896754623 708142544 /nfs/dbraw/zinc/14/25/44/708142544.db2.gz KTQBAAMWNZGKOV-OLZOCXBDSA-N -1 1 318.295 1.327 20 0 DDADMM CO[C@]1(C(=O)Nc2nc(-c3ccc(C)o3)n[nH]2)CCSC1 ZINC000897003759 708204494 /nfs/dbraw/zinc/20/44/94/708204494.db2.gz BYMDFEOIMYLEIM-CYBMUJFWSA-N -1 1 308.363 1.834 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@H]2C[C@]23CCOC3)c1 ZINC000884555919 708328592 /nfs/dbraw/zinc/32/85/92/708328592.db2.gz DBWBZDSKJWTBQT-CKRFGLGXSA-N -1 1 324.398 1.773 20 0 DDADMM CCc1nc(CC[N-]S(=O)(=O)c2ccns2)cs1 ZINC000884997209 708437822 /nfs/dbraw/zinc/43/78/22/708437822.db2.gz MPYQMIPMOOXKFC-UHFFFAOYSA-N -1 1 303.434 1.683 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@H](C)[C@@H]1C ZINC000912528609 713030750 /nfs/dbraw/zinc/03/07/50/713030750.db2.gz YWHXSJOLNPKMHX-ATZCPNFKSA-N -1 1 315.395 1.299 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@@H]2CCO[C@H]2C12CCCC2)c1ccns1 ZINC000885073471 708462897 /nfs/dbraw/zinc/46/28/97/708462897.db2.gz GTBWKTQAAVTQGP-MVWJERBFSA-N -1 1 314.432 1.769 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)c1ccns1 ZINC000885074413 708463241 /nfs/dbraw/zinc/46/32/41/708463241.db2.gz PQQVRMKOQYQLFM-GDPRMGEGSA-N -1 1 300.405 1.379 20 0 DDADMM O=S(=O)([N-]Cc1nc(C(F)(F)F)no1)c1ccns1 ZINC000885101217 708472686 /nfs/dbraw/zinc/47/26/86/708472686.db2.gz QWARGAZEVFMRHV-UHFFFAOYSA-N -1 1 314.270 1.023 20 0 DDADMM CCCc1nc(C)c(C[N-]S(=O)(=O)c2ccns2)o1 ZINC000885204409 708493755 /nfs/dbraw/zinc/49/37/55/708493755.db2.gz LRLDRPPNWISAOM-UHFFFAOYSA-N -1 1 301.393 1.871 20 0 DDADMM CC[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912543563 713033437 /nfs/dbraw/zinc/03/34/37/713033437.db2.gz ZOYLLLWUPGILPK-SCZZXKLOSA-N -1 1 301.368 1.053 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CCCCC1)c1ccns1 ZINC000885249715 708502036 /nfs/dbraw/zinc/50/20/36/708502036.db2.gz YOSNIDGMPVXAFN-NSHDSACASA-N -1 1 304.437 1.753 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC=Cc1ccccc1 ZINC000912545882 713033806 /nfs/dbraw/zinc/03/38/06/713033806.db2.gz CRPGTNDUEGYTNU-VMPITWQZSA-N -1 1 321.358 1.188 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CC[C@@H](C)[C@@H](C)C1 ZINC000912548835 713034320 /nfs/dbraw/zinc/03/43/20/713034320.db2.gz YPVXUNBYICPSIP-KXUCPTDWSA-N -1 1 315.395 1.299 20 0 DDADMM O=C(COC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21)[N-]C(=O)c1ccccc1 ZINC000885285080 708511017 /nfs/dbraw/zinc/51/10/17/708511017.db2.gz GSOGCCOJRBUTCV-KCQAQPDRSA-N -1 1 317.341 1.301 20 0 DDADMM O=S(=O)([N-][C@]12C[C@H]1COC2)c1c(Cl)ccnc1Cl ZINC000885495882 708559598 /nfs/dbraw/zinc/55/95/98/708559598.db2.gz TWJZZHGZWYYFCA-WKEGUHRASA-N -1 1 309.174 1.456 20 0 DDADMM O=C(CCN1C(=O)CCC1=O)Nc1cc(F)c([O-])cc1Cl ZINC000885674069 708594650 /nfs/dbraw/zinc/59/46/50/708594650.db2.gz FPJUUTKCEGZYEI-UHFFFAOYSA-N -1 1 314.700 1.662 20 0 DDADMM COc1cccc(C(F)F)c1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000885793220 708628668 /nfs/dbraw/zinc/62/86/68/708628668.db2.gz FFWMVPOCBPMKAP-UHFFFAOYSA-N -1 1 313.260 1.412 20 0 DDADMM Cc1cc(C)n(CC(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC000886262947 708731660 /nfs/dbraw/zinc/73/16/60/708731660.db2.gz GSSAWGKYKPUBMN-UHFFFAOYSA-N -1 1 309.316 1.843 20 0 DDADMM C[C@H](C(=O)NCCc1c(F)cc([O-])cc1F)c1ccnn1C ZINC000886269934 708733486 /nfs/dbraw/zinc/73/34/86/708733486.db2.gz LQVYAMAWUPTMGB-VIFPVBQESA-N -1 1 309.316 1.866 20 0 DDADMM Cc1cccc(CO[N-]C(=O)Cc2c(C)cc(C)[nH]c2=O)n1 ZINC000912630909 713056022 /nfs/dbraw/zinc/05/60/22/713056022.db2.gz IVOQHIHTJDLUPA-UHFFFAOYSA-N -1 1 301.346 1.898 20 0 DDADMM C[C@@H](CC(=O)N(C)c1nn[n-]n1)C(=O)c1ccc(Cl)cc1 ZINC000912617841 713052632 /nfs/dbraw/zinc/05/26/32/713052632.db2.gz KHQFSMGNSXMDLK-QMMMGPOBSA-N -1 1 307.741 1.725 20 0 DDADMM CC(C)(CNC(=O)c1ccc2n[n-]c(=S)n2c1)n1cccn1 ZINC000898924644 708924469 /nfs/dbraw/zinc/92/44/69/708924469.db2.gz HMISMNLJKOFWAR-UHFFFAOYSA-N -1 1 316.390 1.380 20 0 DDADMM COc1ccc([C@@H](C)NC(=O)CCCc2nn[n-]n2)cc1C ZINC000887318300 709016643 /nfs/dbraw/zinc/01/66/43/709016643.db2.gz KBGQKZIUDSWUIR-LLVKDONJSA-N -1 1 303.366 1.717 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)co1 ZINC000899402166 709075386 /nfs/dbraw/zinc/07/53/86/709075386.db2.gz BGNKFJPZPVAJJM-QSFUFRPTSA-N -1 1 312.391 1.210 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@@](CO)(C(F)(F)F)C1 ZINC000899607278 709124677 /nfs/dbraw/zinc/12/46/77/709124677.db2.gz CTJHCGRPNBBEOC-GFCCVEGCSA-N -1 1 307.243 1.918 20 0 DDADMM Cc1nc(CCNC(=O)c2cnc(C3CC3)[n-]c2=O)cs1 ZINC000900063097 709279661 /nfs/dbraw/zinc/27/96/61/709279661.db2.gz DNVXVANYZHJWBF-UHFFFAOYSA-N -1 1 304.375 1.797 20 0 DDADMM CO[C@@H]1CCOC[C@H]1[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000889191619 709460774 /nfs/dbraw/zinc/46/07/74/709460774.db2.gz YXRDCCVTSOFJAP-GHMZBOCLSA-N -1 1 321.270 1.977 20 0 DDADMM CC(C)(C)c1noc(CCC(=O)[N-]OCc2ccccn2)n1 ZINC000909525000 709501496 /nfs/dbraw/zinc/50/14/96/709501496.db2.gz DWRTVJDVCXJRRF-UHFFFAOYSA-N -1 1 304.350 1.943 20 0 DDADMM C[C@]1(NC(=O)[C@H]2CCCc3[nH]ncc32)CCCC[C@@H]1C(=O)[O-] ZINC000909529032 709503193 /nfs/dbraw/zinc/50/31/93/709503193.db2.gz LPRQFZHTAAVTLI-IETSOEAISA-N -1 1 305.378 1.979 20 0 DDADMM COc1cc(C)cc(CNC(=O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000909548471 709511276 /nfs/dbraw/zinc/51/12/76/709511276.db2.gz AWTVJCJLGDEKKJ-CQSZACIVSA-N -1 1 320.389 1.416 20 0 DDADMM COc1cc(C)cc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000909548471 709511278 /nfs/dbraw/zinc/51/12/78/709511278.db2.gz AWTVJCJLGDEKKJ-CQSZACIVSA-N -1 1 320.389 1.416 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cnc(C)s1)c1nn[n-]n1 ZINC000912858011 713109221 /nfs/dbraw/zinc/10/92/21/713109221.db2.gz AVOUSSVYDWTCSS-FSIBCCDJSA-N -1 1 324.435 1.588 20 0 DDADMM C[C@H](C(=O)NCc1cccc(-n2ccc(C(=O)[O-])n2)c1)N(C)C ZINC000909566041 709518419 /nfs/dbraw/zinc/51/84/19/709518419.db2.gz HADMYMPCPGSMPZ-LLVKDONJSA-N -1 1 316.361 1.137 20 0 DDADMM CSCC[C@H](NC(=O)C1(C(F)(F)F)CC1)c1nn[n-]n1 ZINC000912860156 713109611 /nfs/dbraw/zinc/10/96/11/713109611.db2.gz IYNLCPNYKFTOOX-LURJTMIESA-N -1 1 309.317 1.453 20 0 DDADMM COc1ccccc1CC(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912860497 713110107 /nfs/dbraw/zinc/11/01/07/713110107.db2.gz WQTUQIOEGOMQNT-NSHDSACASA-N -1 1 321.406 1.361 20 0 DDADMM COC(=O)[C@](C)(CO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000909591493 709532348 /nfs/dbraw/zinc/53/23/48/709532348.db2.gz ZEXAUYKULGYKAN-LBPRGKRZSA-N -1 1 321.251 1.065 20 0 DDADMM CSCC[C@H](NC(=O)C1(F)CCCCC1)c1nn[n-]n1 ZINC000912862038 713110658 /nfs/dbraw/zinc/11/06/58/713110658.db2.gz HYJWCNYLYURLLS-VIFPVBQESA-N -1 1 301.391 1.783 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](F)Cc1ccccc1)c1nn[n-]n1 ZINC000912862871 713110858 /nfs/dbraw/zinc/11/08/58/713110858.db2.gz YCDYFQNVKPNRRY-NEPJUHHUSA-N -1 1 323.397 1.691 20 0 DDADMM CC(=O)c1cn(C2CN(C(=O)c3ccc([O-])c(F)c3)C2)nn1 ZINC000889542088 709539660 /nfs/dbraw/zinc/53/96/60/709539660.db2.gz DXFSDJOMJVJCJW-UHFFFAOYSA-N -1 1 304.281 1.023 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@H](CCOC)C(=O)[O-])c1ccccc1 ZINC000909623889 709544678 /nfs/dbraw/zinc/54/46/78/709544678.db2.gz HLVXZLUCVCTWCW-ZIAGYGMSSA-N -1 1 308.378 1.285 20 0 DDADMM COC[C@@H](NC(=O)[C@@H]1CCCCN1Cc1ccccc1)C(=O)[O-] ZINC000909662936 709564013 /nfs/dbraw/zinc/56/40/13/709564013.db2.gz ZIUPRAIFMVUVMB-CABCVRRESA-N -1 1 320.389 1.257 20 0 DDADMM CCO[C@@H](CCNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)C(C)C ZINC000909728891 709598326 /nfs/dbraw/zinc/59/83/26/709598326.db2.gz GKYSMIFYCBMFAF-KGLIPLIRSA-N -1 1 314.426 1.350 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@H](CC(F)F)C(=O)[O-] ZINC000909775422 709613983 /nfs/dbraw/zinc/61/39/83/709613983.db2.gz OXKIDAPNRBMVCU-LBPRGKRZSA-N -1 1 314.332 1.386 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2O[C@@H](C)C[C@H]2C)[n-]c1=O ZINC000889788099 709636366 /nfs/dbraw/zinc/63/63/66/709636366.db2.gz VZZMXHUEKDSLLT-MPPDQPJWSA-N -1 1 321.377 1.668 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2[C@@H]3CCC[C@@H]32)[n-]c1=O ZINC000889792294 709638274 /nfs/dbraw/zinc/63/82/74/709638274.db2.gz WGNORRQQMSYASO-SRRSOLGSSA-N -1 1 303.362 1.900 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cccc(C)n2)[n-]c1=O ZINC000889794721 709639345 /nfs/dbraw/zinc/63/93/45/709639345.db2.gz OTSNBRUQLJVKGI-LBPRGKRZSA-N -1 1 314.345 1.872 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccccn2)[n-]c1=O ZINC000889795095 709639403 /nfs/dbraw/zinc/63/94/03/709639403.db2.gz HQRISWSHQPHRRW-LLVKDONJSA-N -1 1 300.318 1.563 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H](C)n2cccn2)[n-]c1=O ZINC000889794026 709639514 /nfs/dbraw/zinc/63/95/14/709639514.db2.gz FIMUVZIJUKOCDK-WDEREUQCSA-N -1 1 317.349 1.312 20 0 DDADMM CC(C)[N@@H+](C)CC(=O)N[C@H](C)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909821420 709640002 /nfs/dbraw/zinc/64/00/02/709640002.db2.gz VQVZHQHIIWUVPK-UKRRQHHQSA-N -1 1 306.406 1.775 20 0 DDADMM CC[C@@H](C)C[C@@H](NC(=O)c1ccc(CN(C)C)nc1)C(=O)[O-] ZINC000909869261 709661556 /nfs/dbraw/zinc/66/15/56/709661556.db2.gz XETSAROSPXWNSR-BXUZGUMPSA-N -1 1 307.394 1.762 20 0 DDADMM CC[C@H]1COC(C)(C)CN1C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909873713 709663690 /nfs/dbraw/zinc/66/36/90/709663690.db2.gz KVQCRMPSRYPYIP-STQMWFEESA-N -1 1 312.410 1.199 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NC[C@H](CC1CC1)C(=O)[O-] ZINC000909883465 709668741 /nfs/dbraw/zinc/66/87/41/709668741.db2.gz LTRPZQPPFRXZKA-INIZCTEOSA-N -1 1 318.417 1.778 20 0 DDADMM O=C(CS(=O)(=O)C1CCCC1)Nc1cc([O-])c(F)cc1F ZINC000909975391 709715301 /nfs/dbraw/zinc/71/53/01/709715301.db2.gz PRVJMSBCOIHRDS-UHFFFAOYSA-N -1 1 319.329 1.966 20 0 DDADMM CCC(=O)N1C[C@@H]2CCCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000963730031 717892645 /nfs/dbraw/zinc/89/26/45/717892645.db2.gz DNIVDPSNLCMROV-NWDGAFQWSA-N -1 1 303.362 1.260 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)[C@H](C(=O)[O-])C1 ZINC000909984525 709719891 /nfs/dbraw/zinc/71/98/91/709719891.db2.gz PDORMBLONICWCQ-SVRRBLITSA-N -1 1 321.255 1.133 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CC2CCN(OC)CC2)[n-]1 ZINC000909989376 709724008 /nfs/dbraw/zinc/72/40/08/709724008.db2.gz NMXQATGMZXFYDL-UHFFFAOYSA-N -1 1 310.354 1.188 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CC2CCN(OC)CC2)n1 ZINC000909989376 709724009 /nfs/dbraw/zinc/72/40/09/709724009.db2.gz NMXQATGMZXFYDL-UHFFFAOYSA-N -1 1 310.354 1.188 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CC([C@@H](F)C(=O)[O-])C1)c1ccccc1 ZINC000910127933 709783351 /nfs/dbraw/zinc/78/33/51/709783351.db2.gz HXLQAWAYQRGBJR-ZIAGYGMSSA-N -1 1 308.353 1.561 20 0 DDADMM COCC1(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)CCCC1 ZINC000910173914 709798173 /nfs/dbraw/zinc/79/81/73/709798173.db2.gz ORBMBGSYSCFSDG-CYBMUJFWSA-N -1 1 312.410 1.201 20 0 DDADMM O=C(NCC[C@@H](O)c1ccccc1)c1cnc(C2CC2)[n-]c1=O ZINC000900873559 709802476 /nfs/dbraw/zinc/80/24/76/709802476.db2.gz BSUMVVKGWKGUMU-CQSZACIVSA-N -1 1 313.357 1.913 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C[C@@H]3CCC(C)(C)CO3)ccnc1-2 ZINC000890283247 709810764 /nfs/dbraw/zinc/81/07/64/709810764.db2.gz HHKIPLDZERAMSB-NSHDSACASA-N -1 1 302.378 1.876 20 0 DDADMM Cc1nn[nH]c1C(=O)N1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000910208763 709815902 /nfs/dbraw/zinc/81/59/02/709815902.db2.gz ZXCCNJAEUFOVKF-UHFFFAOYSA-N -1 1 304.281 1.149 20 0 DDADMM CCN(Cc1occc1C)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000910251968 709841422 /nfs/dbraw/zinc/84/14/22/709841422.db2.gz BYFMANJJOVLDMD-ZDUSSCGKSA-N -1 1 308.378 1.733 20 0 DDADMM CO[C@@H]1CN(C(=O)c2n[nH]cc2C(F)(F)F)[C@@](C)(C(=O)[O-])C1 ZINC000910267643 709851923 /nfs/dbraw/zinc/85/19/23/709851923.db2.gz UTSKGAWUDMEEEH-UPONEAKYSA-N -1 1 321.255 1.133 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C(F)(F)F)ccn1)c1nn[n-]n1 ZINC000900981415 709861187 /nfs/dbraw/zinc/86/11/87/709861187.db2.gz BLHKYQVVXSWSSG-MRVPVSSYSA-N -1 1 314.271 1.885 20 0 DDADMM CCC[C@H]1CN(c2ncc(C(=O)[O-])c(C)n2)CCN1CCOC ZINC000910305902 709880034 /nfs/dbraw/zinc/88/00/34/709880034.db2.gz WDUVNQYEZAYEFU-ZDUSSCGKSA-N -1 1 322.409 1.420 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(C(=O)CCCCc1cn[nH]n1)C2 ZINC000910418712 709931171 /nfs/dbraw/zinc/93/11/71/709931171.db2.gz JCDQPHZXTCYUFJ-NHYWBVRUSA-N -1 1 306.366 1.231 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N(CCO)CC1CC1 ZINC000901151923 709948808 /nfs/dbraw/zinc/94/88/08/709948808.db2.gz KGDNLLJOOFIUNJ-UHFFFAOYSA-N -1 1 304.321 1.924 20 0 DDADMM O=C(N[C@@H](CO)Cc1ccccc1)c1cnc(C2CC2)[n-]c1=O ZINC000901194412 709963285 /nfs/dbraw/zinc/96/32/85/709963285.db2.gz ZEYNMTQZQLPDTH-CYBMUJFWSA-N -1 1 313.357 1.393 20 0 DDADMM Cc1nc2cccc(C(=O)NC[C@@H]3[C@H](C(=O)[O-])C3(F)F)c2[nH]1 ZINC000910485467 709967703 /nfs/dbraw/zinc/96/77/03/709967703.db2.gz CBDVRFBYCLWDOL-PSASIEDQSA-N -1 1 309.272 1.567 20 0 DDADMM CC[C@@H]1CN(C(=O)c2[nH]nc3ccccc32)C[C@@]1(F)C(=O)[O-] ZINC000910556581 709998323 /nfs/dbraw/zinc/99/83/23/709998323.db2.gz YTDODECODIUSFD-PSLIRLAXSA-N -1 1 305.309 1.838 20 0 DDADMM CCN1CCN(CC(=O)N[C@H](CCCC(C)C)C(=O)[O-])CC1 ZINC000910574069 710006004 /nfs/dbraw/zinc/00/60/04/710006004.db2.gz DREOFWZQBMUSFF-CQSZACIVSA-N -1 1 313.442 1.020 20 0 DDADMM CC(C)CCC[C@H](NC(=O)CN(C)[C@H]1CCSC1)C(=O)[O-] ZINC000910578180 710008062 /nfs/dbraw/zinc/00/80/62/710008062.db2.gz VMGKQVLXMFMMNN-STQMWFEESA-N -1 1 316.467 1.819 20 0 DDADMM Cn1ccc([C@H]2CCCN(C(=O)C(=O)c3ccc([O-])cc3)C2)n1 ZINC000928193841 713149987 /nfs/dbraw/zinc/14/99/87/713149987.db2.gz AEBCBOVURABILW-ZDUSSCGKSA-N -1 1 313.357 1.715 20 0 DDADMM COC(=O)[C@](C)(Cn1cccn1)NC(=O)c1ccc([O-])cc1F ZINC000890948479 710037853 /nfs/dbraw/zinc/03/78/53/710037853.db2.gz SSXLYMMOXDPTQS-HNNXBMFYSA-N -1 1 321.308 1.090 20 0 DDADMM C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)NC1CCC(C(=O)[O-])CC1 ZINC000901482803 710052152 /nfs/dbraw/zinc/05/21/52/710052152.db2.gz MHBGVZSGKGMYCE-WCDMZKEKSA-N -1 1 305.378 1.835 20 0 DDADMM CC(C)(CN1Cc2ccccc2[C@H](C(=O)[O-])C1)N1CCOCC1 ZINC000901621519 710098733 /nfs/dbraw/zinc/09/87/33/710098733.db2.gz HKVJFYZTHFSIAR-MRXNPFEDSA-N -1 1 318.417 1.781 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])[C@H]1CCCCS1(=O)=O ZINC000910982039 710140327 /nfs/dbraw/zinc/14/03/27/710140327.db2.gz HSIBUNWSIJEDMH-SNVBAGLBSA-N -1 1 305.302 1.576 20 0 DDADMM CCn1c(CN[C@@]2(CC(=O)[O-])CCOC2)nc2ccccc21 ZINC000901838662 710158193 /nfs/dbraw/zinc/15/81/93/710158193.db2.gz VCEMPGJEGXNYNZ-MRXNPFEDSA-N -1 1 303.362 1.780 20 0 DDADMM CCOC(=O)[C@H](CSC)NC(=O)c1c([O-])cccc1F ZINC000928293227 713165879 /nfs/dbraw/zinc/16/58/79/713165879.db2.gz QDRBSRHJCZABKN-VIFPVBQESA-N -1 1 301.339 1.556 20 0 DDADMM CCOC1(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)CCOCC1 ZINC000891614179 710249002 /nfs/dbraw/zinc/24/90/02/710249002.db2.gz LOKWDCGDEQWHJX-UHFFFAOYSA-N -1 1 321.377 1.585 20 0 DDADMM C[C@H](OC[C@@H]1CCCO1)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891624026 710251288 /nfs/dbraw/zinc/25/12/88/710251288.db2.gz OLNGTDYXZPXGLE-QWRGUYRKSA-N -1 1 321.377 1.584 20 0 DDADMM COCc1nc(NC2CCN(C(=O)C(C)(C)C)CC2)cc(=O)[n-]1 ZINC000891839327 710306621 /nfs/dbraw/zinc/30/66/21/710306621.db2.gz CGPHNGPUSVKWOE-UHFFFAOYSA-N -1 1 322.409 1.778 20 0 DDADMM COCc1nc(NCCC(=O)N2CCCCCC2)cc(=O)[n-]1 ZINC000892161145 710384326 /nfs/dbraw/zinc/38/43/26/710384326.db2.gz HKCDJOVWIPZRDG-UHFFFAOYSA-N -1 1 308.382 1.533 20 0 DDADMM COCc1nc(N2CCC(C(=O)OC(C)(C)C)CC2)cc(=O)[n-]1 ZINC000892293678 710411532 /nfs/dbraw/zinc/41/15/32/710411532.db2.gz OTTARKVLPLVCHQ-UHFFFAOYSA-N -1 1 323.393 1.887 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)[N-]S(=O)(=O)c3ccccc3)c2C1 ZINC000892399631 710430278 /nfs/dbraw/zinc/43/02/78/710430278.db2.gz GTEWFYZFUKDHTJ-SNVBAGLBSA-N -1 1 319.386 1.653 20 0 DDADMM COCc1nc(N[C@@H]2CCOC3(CCOCC3)C2)cc(=O)[n-]1 ZINC000892946905 710530183 /nfs/dbraw/zinc/53/01/83/710530183.db2.gz SNDYPGJAHJADIO-LLVKDONJSA-N -1 1 309.366 1.469 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)C1(Cl)CC1 ZINC000893237491 710577079 /nfs/dbraw/zinc/57/70/79/710577079.db2.gz QQTLKWIGNROIFG-UHFFFAOYSA-N -1 1 310.847 1.673 20 0 DDADMM C[C@]1(C(=O)[O-])CCCN1C(=O)CC1(N2CCOCC2)CCCC1 ZINC000902114074 710620032 /nfs/dbraw/zinc/62/00/32/710620032.db2.gz WSDYUSFEUQHBOX-MRXNPFEDSA-N -1 1 324.421 1.487 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1cc(C(=O)[O-])ccn1)c1cccs1 ZINC000911100283 710633287 /nfs/dbraw/zinc/63/32/87/710633287.db2.gz JSKACTZSVMLFOG-LBPRGKRZSA-N -1 1 319.386 1.874 20 0 DDADMM CC(C)CN1CCO[C@H](CNC(=O)C2(C(=O)[O-])CCCC2)C1 ZINC000911117821 710642663 /nfs/dbraw/zinc/64/26/63/710642663.db2.gz FLMXIGCTUVNNJR-CYBMUJFWSA-N -1 1 312.410 1.104 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)NCc1ccc(O[C@@H](C)C(=O)[O-])cc1 ZINC000902192915 710658569 /nfs/dbraw/zinc/65/85/69/710658569.db2.gz UEWDDIIXARFDFM-AVGNSLFASA-N -1 1 322.405 1.931 20 0 DDADMM O=C([O-])C1(C(=O)N2CCCN(C[C@@H]3CCCO3)CC2)CCCC1 ZINC000911164564 710664308 /nfs/dbraw/zinc/66/43/08/710664308.db2.gz RFKPKBGCDUKSTE-AWEZNQCLSA-N -1 1 324.421 1.345 20 0 DDADMM CCOC(=O)C1(CNc2cc(=O)[n-]c(COC)n2)CCCC1 ZINC000893465129 710667356 /nfs/dbraw/zinc/66/73/56/710667356.db2.gz OPMWPDAHAKEKJK-UHFFFAOYSA-N -1 1 309.366 1.864 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)Nc2ccc3[nH]cnc3c2)C1 ZINC000911213949 710689030 /nfs/dbraw/zinc/68/90/30/710689030.db2.gz KZMLUOYJWMRKSE-SNVBAGLBSA-N -1 1 302.334 1.298 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2ccc3[nH]cnc3c2)C1 ZINC000911213949 710689032 /nfs/dbraw/zinc/68/90/32/710689032.db2.gz KZMLUOYJWMRKSE-SNVBAGLBSA-N -1 1 302.334 1.298 20 0 DDADMM O=C([O-])C12CCC(C(=O)N3CCN(CC4CC4)CC3)(CC1)CC2 ZINC000911220794 710692691 /nfs/dbraw/zinc/69/26/91/710692691.db2.gz GDNIZVZPVGAWPX-UHFFFAOYSA-N -1 1 320.433 1.966 20 0 DDADMM COCCN1CCC[C@H]1CNC(=O)c1cc(C)c(C(=O)[O-])o1 ZINC000911335008 710747618 /nfs/dbraw/zinc/74/76/18/710747618.db2.gz RWLLOZOMOFCYBS-NSHDSACASA-N -1 1 310.350 1.127 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)Cc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000911388948 710774336 /nfs/dbraw/zinc/77/43/36/710774336.db2.gz FPOVPEAMCSRHNR-SNVBAGLBSA-N -1 1 301.346 1.706 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)/C=C/c1cc(C(=O)[O-])co1 ZINC000911409654 710786450 /nfs/dbraw/zinc/78/64/50/710786450.db2.gz MPZDNSFKGPHPAS-NNTXTVRGSA-N -1 1 306.362 1.790 20 0 DDADMM CN(CCNC(=O)[C@@H]1CC[C@@H]1C(=O)[O-])Cc1ccc(F)cc1 ZINC000911493156 710822220 /nfs/dbraw/zinc/82/22/20/710822220.db2.gz KQPVVYPLRAYEPT-KGLIPLIRSA-N -1 1 308.353 1.485 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)Cc1cccc(C(=O)[O-])c1 ZINC000911575014 710861939 /nfs/dbraw/zinc/86/19/39/710861939.db2.gz XFBOHYDLZWKJPD-HNNXBMFYSA-N -1 1 304.390 1.870 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)c1ccc(F)cc1 ZINC000902859426 710934148 /nfs/dbraw/zinc/93/41/48/710934148.db2.gz HJDVOTODGSBRNQ-BXUZGUMPSA-N -1 1 317.320 1.911 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)CCS1 ZINC000902974145 710981486 /nfs/dbraw/zinc/98/14/86/710981486.db2.gz XYBFECQRRFZOKU-NXEZZACHSA-N -1 1 303.387 1.937 20 0 DDADMM O=C(NC[C@@H]1CC[C@@H](C2CC2)O1)c1nc2ccccc2c(=O)[n-]1 ZINC000903002215 710992513 /nfs/dbraw/zinc/99/25/13/710992513.db2.gz YMWVQOZYRKLUCL-FZMZJTMJSA-N -1 1 313.357 1.611 20 0 DDADMM CC(C)n1nnc([N-]C(=O)c2ncsc2Br)n1 ZINC000913486026 713235118 /nfs/dbraw/zinc/23/51/18/713235118.db2.gz OUAKUYUMOARKPO-UHFFFAOYSA-N -1 1 317.172 1.725 20 0 DDADMM O=C(C=Cc1ccc2[nH]ccc2c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913493937 713236804 /nfs/dbraw/zinc/23/68/04/713236804.db2.gz NQXVRXHUJRDWNI-CTGSTSKSSA-N -1 1 324.344 1.294 20 0 DDADMM O=C(c1cccc(F)c1Cl)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495452 713237903 /nfs/dbraw/zinc/23/79/03/713237903.db2.gz KMHBHEDZLRDJSK-VIFPVBQESA-N -1 1 311.704 1.206 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)[C@H](C)N(CCOC)CCC(=O)[O-] ZINC000903711572 711250243 /nfs/dbraw/zinc/25/02/43/711250243.db2.gz CLUAFGOUFZKODK-UONOGXRCSA-N -1 1 314.426 1.589 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)NCc1cccs1 ZINC000903711567 711250508 /nfs/dbraw/zinc/25/05/08/711250508.db2.gz CIXLTRATTQPOML-LLVKDONJSA-N -1 1 314.407 1.176 20 0 DDADMM C[C@]1(C(=O)N2CCOC[C@H]2c2nn[n-]n2)C[C@@H]1c1ccccc1 ZINC000913497834 713239278 /nfs/dbraw/zinc/23/92/78/713239278.db2.gz DMZWXHSEYGGHQV-WWGRRREGSA-N -1 1 313.361 1.293 20 0 DDADMM CSc1c(F)cccc1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913498658 713239317 /nfs/dbraw/zinc/23/93/17/713239317.db2.gz PVTFIVHSEICUEG-JTQLQIEISA-N -1 1 323.353 1.274 20 0 DDADMM O=C(/C(F)=C/C1CCCCC1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913498310 713239337 /nfs/dbraw/zinc/23/93/37/713239337.db2.gz OJXNOYHNZLYGPC-KGTBHZDVSA-N -1 1 309.345 1.533 20 0 DDADMM C[C@H](CN1CCOCC1)N1CCOc2c(cccc2C(=O)[O-])C1 ZINC000903926318 711335521 /nfs/dbraw/zinc/33/55/21/711335521.db2.gz AYBPSAMVBDXGLF-CYBMUJFWSA-N -1 1 320.389 1.300 20 0 DDADMM O=C([O-])[C@]1(NCc2ncc[nH]2)CCC[N@@H+](Cc2ccccc2)C1 ZINC000904024195 711366277 /nfs/dbraw/zinc/36/62/77/711366277.db2.gz OWDIKQKPLHNYCU-KRWDZBQOSA-N -1 1 314.389 1.619 20 0 DDADMM Cc1nc(CC(F)(F)F)sc1CC(=O)Nc1nnn[n-]1 ZINC000895746240 711594382 /nfs/dbraw/zinc/59/43/82/711594382.db2.gz JLMUJLXYARTRMT-UHFFFAOYSA-N -1 1 306.273 1.251 20 0 DDADMM Cc1nc(CC(F)(F)F)sc1CC(=O)Nc1nn[n-]n1 ZINC000895746240 711594384 /nfs/dbraw/zinc/59/43/84/711594384.db2.gz JLMUJLXYARTRMT-UHFFFAOYSA-N -1 1 306.273 1.251 20 0 DDADMM O=C([N-]CC1CN(Cc2cnn(C3CCC3)c2)C1)C(F)(F)F ZINC000895880271 711635513 /nfs/dbraw/zinc/63/55/13/711635513.db2.gz PVNBKQCKQCYYAZ-UHFFFAOYSA-N -1 1 316.327 1.718 20 0 DDADMM CN(C)c1ccnc(CNC(=O)[C@@H]2[C@@H]3C=C[C@@H](C3)[C@@H]2C(=O)[O-])c1 ZINC000905128457 711944900 /nfs/dbraw/zinc/94/49/00/711944900.db2.gz LPAJTTAXTDXZAJ-BVIHXZOGSA-N -1 1 315.373 1.287 20 0 DDADMM Cc1ccc(OCC(=O)N2CCC(c3nn[n-]n3)CC2)c(C)c1 ZINC000913741993 713291475 /nfs/dbraw/zinc/29/14/75/713291475.db2.gz ADMNZJDIXPERGZ-UHFFFAOYSA-N -1 1 315.377 1.602 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)n(C)n1 ZINC000913743715 713292510 /nfs/dbraw/zinc/29/25/10/713292510.db2.gz IGUNGRSKSSOUEX-UHFFFAOYSA-N -1 1 303.370 1.076 20 0 DDADMM O=S(=O)(c1nc(-c2ccc3c(c2)CCC3)n[n-]1)[C@H]1CCOC1 ZINC000905253177 711980947 /nfs/dbraw/zinc/98/09/47/711980947.db2.gz OIPGRLQUPHEKCH-ZDUSSCGKSA-N -1 1 319.386 1.523 20 0 DDADMM O=S(=O)(c1n[n-]c(-c2ccc3c(c2)CCC3)n1)[C@H]1CCOC1 ZINC000905253177 711980950 /nfs/dbraw/zinc/98/09/50/711980950.db2.gz OIPGRLQUPHEKCH-ZDUSSCGKSA-N -1 1 319.386 1.523 20 0 DDADMM CC[C@@H]1C[C@H](CC(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000913744640 713293447 /nfs/dbraw/zinc/29/34/47/713293447.db2.gz XNFDFGZYLRFFTR-DGCLKSJQSA-N -1 1 307.398 1.501 20 0 DDADMM O=C([C@H]1CCc2ccccc2C1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745923 713294043 /nfs/dbraw/zinc/29/40/43/713294043.db2.gz HEBARJXDPMRMDY-HNNXBMFYSA-N -1 1 311.389 1.711 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N(CC(F)F)C2CC2)c1 ZINC000906854518 712422830 /nfs/dbraw/zinc/42/28/30/712422830.db2.gz OKUZZZBTTBMWGR-UHFFFAOYSA-N -1 1 321.301 1.509 20 0 DDADMM CN(CCN(C)S(=O)(=O)c1ccc(C(=O)[O-])cc1)C1CC1 ZINC000906935763 712442583 /nfs/dbraw/zinc/44/25/83/712442583.db2.gz WKAGOQSHASFDFS-UHFFFAOYSA-N -1 1 312.391 1.100 20 0 DDADMM Nc1c[nH]nc1[C@@H]1CCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000907403705 712562183 /nfs/dbraw/zinc/56/21/83/712562183.db2.gz ZSFPACOHUSTPRK-MRVPVSSYSA-N -1 1 306.753 1.981 20 0 DDADMM CCc1ccccc1CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480350 712583628 /nfs/dbraw/zinc/58/36/28/712583628.db2.gz SUNWZXQKJFOCSQ-ZDUSSCGKSA-N -1 1 317.418 1.621 20 0 DDADMM CC(=CC(=O)N1CCSC[C@H]1c1nn[n-]n1)c1cccs1 ZINC000907482952 712584407 /nfs/dbraw/zinc/58/44/07/712584407.db2.gz UTGKWNOIZYTBRF-PCYYEKQGSA-N -1 1 321.431 1.981 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](C)CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907494983 712585588 /nfs/dbraw/zinc/58/55/88/712585588.db2.gz QFYZRPKGDUUVQA-CABZTGNLSA-N -1 1 319.361 1.473 20 0 DDADMM CO[C@@H]1CCOC[C@H]1[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC000907836422 712633453 /nfs/dbraw/zinc/63/34/53/712633453.db2.gz STDJFKMVMJYIPJ-CHWSQXEVSA-N -1 1 321.345 1.188 20 0 DDADMM CC(C)c1n[nH]c(CC2CCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)n1 ZINC000907849223 712635484 /nfs/dbraw/zinc/63/54/84/712635484.db2.gz XKBNLGGWILZEBR-VXGBXAGGSA-N -1 1 320.393 1.430 20 0 DDADMM CC(C)c1nnc(CC2CCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)[nH]1 ZINC000907849223 712635485 /nfs/dbraw/zinc/63/54/85/712635485.db2.gz XKBNLGGWILZEBR-VXGBXAGGSA-N -1 1 320.393 1.430 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)N[C@H]1CCOC12CCCC2 ZINC000908123298 712693384 /nfs/dbraw/zinc/69/33/84/712693384.db2.gz FDYOJBXRPNFYIW-OLZOCXBDSA-N -1 1 310.394 1.001 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000908348562 712748545 /nfs/dbraw/zinc/74/85/45/712748545.db2.gz LBLSYHZQSIMVNI-URLYPYJESA-N -1 1 302.305 1.390 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@H]1C1CC1)c1ccc(F)nc1F ZINC000908410641 712764412 /nfs/dbraw/zinc/76/44/12/712764412.db2.gz WMOKMDDMFOIBSO-IUCAKERBSA-N -1 1 304.318 1.063 20 0 DDADMM COC(=O)NCCC(=O)Nc1cccc([O-])c1Br ZINC000908714048 712840040 /nfs/dbraw/zinc/84/00/40/712840040.db2.gz ZYFGFGMUUNTUTG-UHFFFAOYSA-N -1 1 317.139 1.839 20 0 DDADMM CC[C@](C)(NC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1)C(=O)[O-] ZINC000908768162 712851069 /nfs/dbraw/zinc/85/10/69/712851069.db2.gz GMEKIDPDZQBWMO-ZOWXZIJZSA-N -1 1 315.414 1.038 20 0 DDADMM C[C@@H]1[C@H](C)SCCN1C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908810494 712859256 /nfs/dbraw/zinc/85/92/56/712859256.db2.gz YBFUTXSFXUYHSJ-GRYCIOLGSA-N -1 1 300.424 1.135 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)N(C)[C@H](C)C(=O)[O-])N2CCCC2)o1 ZINC000908924574 712888457 /nfs/dbraw/zinc/88/84/57/712888457.db2.gz IJIBIORDBZWELH-CHWSQXEVSA-N -1 1 323.393 1.839 20 0 DDADMM CC[C@@H](C)C[C@@H]([N-]S(=O)(=O)CC1(F)CCC1)C(=O)OC ZINC000916589341 713459462 /nfs/dbraw/zinc/45/94/62/713459462.db2.gz WGONTMNSAMCMIW-GHMZBOCLSA-N -1 1 309.403 1.776 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2ccc(Cl)nc2)c1Cl ZINC000917920123 713519824 /nfs/dbraw/zinc/51/98/24/713519824.db2.gz HFUYHOXEAXLKSE-UHFFFAOYSA-N -1 1 321.189 1.600 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000929062681 713542479 /nfs/dbraw/zinc/54/24/79/713542479.db2.gz BGRRKXKQTHNLSP-NWDGAFQWSA-N -1 1 315.439 1.072 20 0 DDADMM COC(=O)N1CCN(C[C@H](C)C(=O)c2ccc([O-])cc2)CC1 ZINC000929298948 713585576 /nfs/dbraw/zinc/58/55/76/713585576.db2.gz UOKZBSIGRUXXOH-LBPRGKRZSA-N -1 1 306.362 1.595 20 0 DDADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)c1ccns1)CCO2 ZINC000921314107 713730422 /nfs/dbraw/zinc/73/04/22/713730422.db2.gz DZGIFEUOALXXFX-MNOVXSKESA-N -1 1 304.393 1.107 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](c2ncccn2)C2CC2)sn1 ZINC000921416872 713763849 /nfs/dbraw/zinc/76/38/49/713763849.db2.gz RBQWWQSFGBFTPI-LLVKDONJSA-N -1 1 310.404 1.671 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@H]1CC[C@H](O)CC1 ZINC000921626327 713825032 /nfs/dbraw/zinc/82/50/32/713825032.db2.gz AEGVBVUPMMXCJH-MGCOHNPYSA-N -1 1 314.332 1.816 20 0 DDADMM O=C1C[C@@H](CC[N-]S(=O)(=O)c2c(F)cccc2Cl)CN1 ZINC000921651220 713831675 /nfs/dbraw/zinc/83/16/75/713831675.db2.gz UOSGDXIWCIFHMN-MRVPVSSYSA-N -1 1 320.773 1.284 20 0 DDADMM COC[C@H](CNC(=O)NCCc1c(F)cc([O-])cc1F)OC ZINC000921876080 713893645 /nfs/dbraw/zinc/89/36/45/713893645.db2.gz PUPQFZBHIVYYCT-JTQLQIEISA-N -1 1 318.320 1.174 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1C(C)(C)C1(F)F)C(C)C ZINC000921975362 713925868 /nfs/dbraw/zinc/92/58/68/713925868.db2.gz OHCRFMLXKASEQU-DTWKUNHWSA-N -1 1 313.366 1.395 20 0 DDADMM O=S(=O)([N-]C1([C@@H]2CCCCO2)CC1)c1ccc(F)nc1F ZINC000922099125 713960573 /nfs/dbraw/zinc/96/05/73/713960573.db2.gz OFSIQFFONHPTGR-JTQLQIEISA-N -1 1 318.345 1.740 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NCC[C@H]1CCC2(CCC2)O1 ZINC000923113105 714240863 /nfs/dbraw/zinc/24/08/63/714240863.db2.gz SLZJKFHGJPVBFH-UONOGXRCSA-N -1 1 324.421 1.391 20 0 DDADMM O=C(NC[C@H]1CC[C@@H](O)C1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932049625 714246014 /nfs/dbraw/zinc/24/60/14/714246014.db2.gz KEQTYDBEIZPFCF-SMDDNHRTSA-N -1 1 316.361 1.860 20 0 DDADMM COC[C@@H]1CCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932053651 714247358 /nfs/dbraw/zinc/24/73/58/714247358.db2.gz MVPADZODSIOQLH-ZDUSSCGKSA-N -1 1 302.334 1.831 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CC[C@@H](O)[C@@H](F)C1 ZINC000932060945 714249649 /nfs/dbraw/zinc/24/96/49/714249649.db2.gz AEPMFBKUGRCFIT-QWHCGFSZSA-N -1 1 320.324 1.515 20 0 DDADMM CC(C)C[C@](C)(CNC(=O)[C@@H]1CCc2nc[nH]c2C1)C(=O)[O-] ZINC000923190119 714265660 /nfs/dbraw/zinc/26/56/60/714265660.db2.gz MKDZUNDWDAAIMX-BDJLRTHQSA-N -1 1 307.394 1.768 20 0 DDADMM Cc1ccccc1[C@H](C)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000932281701 714299824 /nfs/dbraw/zinc/29/98/24/714299824.db2.gz BVFQNNYCLLDHAP-AAEUAGOBSA-N -1 1 301.350 1.212 20 0 DDADMM CC(C)(C)OCc1ccccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000932537282 714357297 /nfs/dbraw/zinc/35/72/97/714357297.db2.gz FADYEDRYKCAYEG-UHFFFAOYSA-N -1 1 320.349 1.590 20 0 DDADMM O=C(NCCC1CC=CC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000923945814 714492912 /nfs/dbraw/zinc/49/29/12/714492912.db2.gz WVFTUGHWXTXOPX-UHFFFAOYSA-N -1 1 318.377 1.410 20 0 DDADMM C[C@@H](NCc1nc(=O)n(C)[n-]1)c1cc(Cl)cc2c1OCC2 ZINC000933271925 714551104 /nfs/dbraw/zinc/55/11/04/714551104.db2.gz KRKBMOAFGULGLX-MRVPVSSYSA-N -1 1 308.769 1.548 20 0 DDADMM CCCOC(=O)[C@@H](C)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934275332 714783515 /nfs/dbraw/zinc/78/35/15/714783515.db2.gz DJRUAHCUBMVZIT-GHMZBOCLSA-N -1 1 309.366 1.669 20 0 DDADMM Cc1cc(Cl)nc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000935036700 714960932 /nfs/dbraw/zinc/96/09/32/714960932.db2.gz HDMUMLKZOQDHTG-SECBINFHSA-N -1 1 306.757 1.576 20 0 DDADMM Cc1cccn2cc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)cc12 ZINC000935037182 714961187 /nfs/dbraw/zinc/96/11/87/714961187.db2.gz PNXGHJFCOJGIKK-LBPRGKRZSA-N -1 1 310.361 1.781 20 0 DDADMM Cn1nc(C2CC2)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000935793691 715128806 /nfs/dbraw/zinc/12/88/06/715128806.db2.gz HSLDTSBHZFMOKJ-JTQLQIEISA-N -1 1 317.349 1.406 20 0 DDADMM CN(C(=O)[C@@H]1CC12CCC2)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954284200 715323580 /nfs/dbraw/zinc/32/35/80/715323580.db2.gz YMLOTYAXCTWNPT-LBPRGKRZSA-N -1 1 315.373 1.260 20 0 DDADMM CC[C@H](F)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956150715 715334295 /nfs/dbraw/zinc/33/42/95/715334295.db2.gz QBHNKUDMLHKAAW-QWRGUYRKSA-N -1 1 309.341 1.256 20 0 DDADMM CCC1(C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000956201194 715354692 /nfs/dbraw/zinc/35/46/92/715354692.db2.gz YLXCLDYIVBFRKC-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(N[C@H]1CCCCN(C(=O)C(F)F)C1)c1ncccc1[O-] ZINC000943203700 718045898 /nfs/dbraw/zinc/04/58/98/718045898.db2.gz LWQQSFREWRZAID-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937447802 715373501 /nfs/dbraw/zinc/37/35/01/715373501.db2.gz LTHPCFXAHRVNAB-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@]1(NC(=O)c2ccco2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956647260 715520490 /nfs/dbraw/zinc/52/04/90/715520490.db2.gz WNGXRIZKPDBTIE-INIZCTEOSA-N -1 1 315.329 1.415 20 0 DDADMM CN(C(=O)[C@H]1CCC1(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955044003 715594485 /nfs/dbraw/zinc/59/44/85/715594485.db2.gz RKDJSDJFWLKRAM-GFCCVEGCSA-N -1 1 317.389 1.506 20 0 DDADMM C/C(=C\C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937860862 715616978 /nfs/dbraw/zinc/61/69/78/715616978.db2.gz MUIZOQSMDRLCMQ-STRFDMGBSA-N -1 1 315.373 1.474 20 0 DDADMM CC1(CC(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)CC1 ZINC000956851650 715638388 /nfs/dbraw/zinc/63/83/88/715638388.db2.gz LMFXJCSDTQGGCA-KRWDZBQOSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@@H]1CN(C(=O)C2(C)CCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000957640767 715980748 /nfs/dbraw/zinc/98/07/48/715980748.db2.gz LQSAUVWXAARABS-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)[C@@H]2C[C@H]2C)CC1 ZINC000957670308 715995583 /nfs/dbraw/zinc/99/55/83/715995583.db2.gz QIFJGIUQQJXVDP-DGCLKSJQSA-N -1 1 321.425 1.227 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2CC23CC3)C1 ZINC000939894938 716482836 /nfs/dbraw/zinc/48/28/36/716482836.db2.gz FICYKNUNZIBHPS-NWDGAFQWSA-N -1 1 315.373 1.260 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ccsc2)C1)c1ncccc1[O-] ZINC000940126288 716637628 /nfs/dbraw/zinc/63/76/28/716637628.db2.gz ZAXRMRVVWHKABJ-LLVKDONJSA-N -1 1 317.370 1.493 20 0 DDADMM CC(C)C1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000940139273 716647116 /nfs/dbraw/zinc/64/71/16/716647116.db2.gz YTRHSWRFAFQPRH-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC000940527126 716830608 /nfs/dbraw/zinc/83/06/08/716830608.db2.gz MWYKATLEKIBKQC-FDYHWXHSSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@@H]1CN(C(=O)C2CC2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959305363 716940574 /nfs/dbraw/zinc/94/05/74/716940574.db2.gz QOTNDDIYPSATKC-ZYHUDNBSSA-N -1 1 303.362 1.022 20 0 DDADMM C[C@H]1CN(C(=O)C2(C)CC2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959494013 717034280 /nfs/dbraw/zinc/03/42/80/717034280.db2.gz JIGCQDZGSWXIST-RYUDHWBXSA-N -1 1 317.389 1.412 20 0 DDADMM CC(C)(F)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000964674775 717373413 /nfs/dbraw/zinc/37/34/13/717373413.db2.gz YFDKJYHDGUYZCM-LLVKDONJSA-N -1 1 323.368 1.646 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964851914 717454326 /nfs/dbraw/zinc/45/43/26/717454326.db2.gz ZJFXHUMDPJHCLU-ZDUSSCGKSA-N -1 1 317.389 1.864 20 0 DDADMM CCCC(=O)N1CC[C@@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC000942758752 717858632 /nfs/dbraw/zinc/85/86/32/717858632.db2.gz RFFCLTIGOBQZFV-GFCCVEGCSA-N -1 1 305.378 1.650 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C1(C)CC1 ZINC000945287848 718436515 /nfs/dbraw/zinc/43/65/15/718436515.db2.gz IUFODIRCSUTSNQ-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CN(C(=O)C3CC3)CC[C@@H]21 ZINC000945818262 718574017 /nfs/dbraw/zinc/57/40/17/718574017.db2.gz CNYUXDVXKOSKGA-OLZOCXBDSA-N -1 1 315.373 1.260 20 0 DDADMM CCCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000967010237 718742462 /nfs/dbraw/zinc/74/24/62/718742462.db2.gz KWRFGNXSHGJLIE-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NCC1CCN(C(=O)C2CCC2)CC1)c1ncccc1[O-] ZINC000948626302 719598528 /nfs/dbraw/zinc/59/85/28/719598528.db2.gz WUFLQORWBSWMMU-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM CC1(C(=O)N2CCC(CNC(=O)c3ncccc3[O-])CC2)CC1 ZINC000949040323 719863916 /nfs/dbraw/zinc/86/39/16/719863916.db2.gz QXANUKIVJPYSFA-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM Cc1ncoc1C[NH+]1CC([C@@H](C)NC(=O)c2ccccc2O)C1 ZINC000969326094 720060281 /nfs/dbraw/zinc/06/02/81/720060281.db2.gz DMSYFRIBLPFIEO-LLVKDONJSA-N -1 1 315.373 1.939 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)[C@H]1CC=CCC1)c1ncccc1[O-] ZINC000949530905 720142176 /nfs/dbraw/zinc/14/21/76/720142176.db2.gz JEGIVBIUKIONFY-QWHCGFSZSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)[C@H]1[C@@H]2CCC[C@@H]21)c1ncccc1[O-] ZINC000949535419 720145359 /nfs/dbraw/zinc/14/53/59/720145359.db2.gz URHYPXIPQUSITR-CIQGVGRVSA-N -1 1 315.373 1.164 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ncccc1[O-] ZINC000949541224 720148779 /nfs/dbraw/zinc/14/87/79/720148779.db2.gz CNSARWQUCNGHSB-LOWDOPEQSA-N -1 1 315.373 1.164 20 0 DDADMM CC1(C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)CCC1 ZINC000951562716 721075313 /nfs/dbraw/zinc/07/53/13/721075313.db2.gz RBOZNYLNTMKGBJ-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NCC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000952173848 721344633 /nfs/dbraw/zinc/34/46/33/721344633.db2.gz OEABPRAVUPEOLO-AAEUAGOBSA-N -1 1 317.389 1.412 20 0 DDADMM CC(=O)N1CCC[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000952903179 721521980 /nfs/dbraw/zinc/52/19/80/721521980.db2.gz UUFPALBJEPKPCP-INIZCTEOSA-N -1 1 303.362 1.262 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)C(F)F)CC1 ZINC000953888463 721674500 /nfs/dbraw/zinc/67/45/00/721674500.db2.gz PUQNCOCBMJACRA-UHFFFAOYSA-N -1 1 313.304 1.115 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954128238 721725338 /nfs/dbraw/zinc/72/53/38/721725338.db2.gz YDYFLLUSHRPSQR-NSHDSACASA-N -1 1 305.378 1.362 20 0 DDADMM Cc1n[nH]c(C(=O)NCCNCc2cc(F)ccc2F)c1[O-] ZINC001125729247 735445331 /nfs/dbraw/zinc/44/53/31/735445331.db2.gz VIXYWMZDIYFGFS-UHFFFAOYSA-N -1 1 310.304 1.222 20 0 DDADMM CCCC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])C[C@H]1C ZINC001009936647 738619829 /nfs/dbraw/zinc/61/98/29/738619829.db2.gz LUPIPRAOWNLXOP-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM CCC(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001020636299 732581934 /nfs/dbraw/zinc/58/19/34/732581934.db2.gz JVZFAUALLDTTBQ-RYUDHWBXSA-N -1 1 305.378 1.412 20 0 DDADMM Cc1nnc([C@@H](C)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001022000913 733712658 /nfs/dbraw/zinc/71/26/58/733712658.db2.gz MBOGVHSILJUIFR-IEBDPFPHSA-N -1 1 317.349 1.090 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001011419687 736015970 /nfs/dbraw/zinc/01/59/70/736015970.db2.gz DEPABUCPWDIBBA-VXGBXAGGSA-N -1 1 305.378 1.553 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000997924835 751396949 /nfs/dbraw/zinc/39/69/49/751396949.db2.gz WMEBEBFHRPLBFK-LLVKDONJSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)=CCN1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035345440 751427937 /nfs/dbraw/zinc/42/79/37/751427937.db2.gz ZBWMGBVSFCDHDA-ZDUSSCGKSA-N -1 1 305.378 1.184 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN(Cc3cncs3)C2)c1[O-] ZINC001028484924 739568440 /nfs/dbraw/zinc/56/84/40/739568440.db2.gz FOKKFBLCMCFHRW-SNVBAGLBSA-N -1 1 321.406 1.132 20 0 DDADMM CCC1(C(=O)N2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cnn[nH]2)C3)CCC1 ZINC000998157455 751552654 /nfs/dbraw/zinc/55/26/54/751552654.db2.gz ZSTGNGUHOOJEGW-MDZLAQPJSA-N -1 1 317.393 1.247 20 0 DDADMM Cc1nsc(NCCC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001114906766 751659663 /nfs/dbraw/zinc/65/96/63/751659663.db2.gz JJAJQMLRVUGQAU-SECBINFHSA-N -1 1 321.406 1.958 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1Cc1nccs1 ZINC001088538708 741712526 /nfs/dbraw/zinc/71/25/26/741712526.db2.gz NVLVYGCKPYKCEB-MNOVXSKESA-N -1 1 318.402 1.637 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1Cc1ccon1 ZINC001088538575 741712657 /nfs/dbraw/zinc/71/26/57/741712657.db2.gz GWORNZUNTUDXDM-PWSUYJOCSA-N -1 1 302.334 1.168 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1(C2CC2)CC1 ZINC001076247636 742620218 /nfs/dbraw/zinc/62/02/18/742620218.db2.gz WWVOHWMKUYYELC-NSHDSACASA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CC(F)(F)F ZINC001076244515 742624104 /nfs/dbraw/zinc/62/41/04/742624104.db2.gz VZSRTAWWZFWEDU-QMMMGPOBSA-N -1 1 319.283 1.364 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1CC(C)(C)C1 ZINC001076245165 742624723 /nfs/dbraw/zinc/62/47/23/742624723.db2.gz QSKFWNIAXNLURR-NSHDSACASA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002181359 742928775 /nfs/dbraw/zinc/92/87/75/742928775.db2.gz FSVRBUNDCDJPLI-QWHCGFSZSA-N -1 1 319.405 1.896 20 0 DDADMM O=C(CCc1ccccc1)C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001180968113 743003854 /nfs/dbraw/zinc/00/38/54/743003854.db2.gz LYEPXDDIMQRZJW-UHFFFAOYSA-N -1 1 311.301 1.199 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccnn1C1CCC1 ZINC001168693182 743051633 /nfs/dbraw/zinc/05/16/33/743051633.db2.gz GXAWKICEZBTSMR-AWEZNQCLSA-N -1 1 323.360 1.641 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)C1(C)CC1)C(=O)c1ncccc1[O-] ZINC001002580982 743253393 /nfs/dbraw/zinc/25/33/93/743253393.db2.gz JAUXUXWJOWVGLT-LBPRGKRZSA-N -1 1 317.389 1.650 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)[C@H]1C[C@H](O)C1)c1ccccc1N ZINC001182646389 743690655 /nfs/dbraw/zinc/69/06/55/743690655.db2.gz NHISEMQCKOUTTD-KYZUINATSA-N -1 1 323.374 1.014 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)[C@H]1C[C@H](O)C1)c1ccccc1N ZINC001182646389 743690658 /nfs/dbraw/zinc/69/06/58/743690658.db2.gz NHISEMQCKOUTTD-KYZUINATSA-N -1 1 323.374 1.014 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C3(c4ccc(O)cc4)CC3)c1-2 ZINC001183339232 743826383 /nfs/dbraw/zinc/82/63/83/743826383.db2.gz NIYCGMPFXZDXNT-UHFFFAOYSA-N -1 1 309.329 1.411 20 0 DDADMM CN(C)c1nc(NC(=O)[C@H]2C[C@@]23CCCOC3)c(N=O)c(=O)[n-]1 ZINC001183700379 743889626 /nfs/dbraw/zinc/88/96/26/743889626.db2.gz BMZAHFFZQNEQEX-XLKFXECMSA-N -1 1 321.337 1.401 20 0 DDADMM O=C(NC1CN(Cc2ccncc2Cl)C1)c1ncccc1[O-] ZINC001030239080 743974303 /nfs/dbraw/zinc/97/43/03/743974303.db2.gz AYYLEYQFQPNNQP-UHFFFAOYSA-N -1 1 318.764 1.450 20 0 DDADMM CCc1onc(C)c1CN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001030241470 743977141 /nfs/dbraw/zinc/97/71/41/743977141.db2.gz FLYMAFSWCCFXQW-UHFFFAOYSA-N -1 1 316.361 1.260 20 0 DDADMM O=C(NC1CN(Cc2csc(Cl)n2)C1)c1ncccc1[O-] ZINC001030241529 743977407 /nfs/dbraw/zinc/97/74/07/743977407.db2.gz GPEYVHZHTIYPML-UHFFFAOYSA-N -1 1 324.793 1.511 20 0 DDADMM CC(C)n1ccc(CN2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC001030242082 743978008 /nfs/dbraw/zinc/97/80/08/743978008.db2.gz ODVQIFDMSXWWQQ-UHFFFAOYSA-N -1 1 315.377 1.179 20 0 DDADMM Cc1nc(CN2CC(NC(=O)c3ncccc3[O-])C2)c(C)o1 ZINC001030242443 743978072 /nfs/dbraw/zinc/97/80/72/743978072.db2.gz UMVHLOSOHYNCEY-UHFFFAOYSA-N -1 1 302.334 1.006 20 0 DDADMM Cc1cccc2ccn(CC(=O)Nc3[n-]c(=O)nc4nc[nH]c43)c21 ZINC001184195066 743996168 /nfs/dbraw/zinc/99/61/68/743996168.db2.gz LZSSRPAPUSLTFM-UHFFFAOYSA-N -1 1 322.328 1.960 20 0 DDADMM O=c1[nH]cc(Cl)cc1[N-]S(=O)(=O)c1cnc(Cl)nc1 ZINC001185003831 744135517 /nfs/dbraw/zinc/13/55/17/744135517.db2.gz IJAGJDBMKQSLTN-UHFFFAOYSA-N -1 1 321.145 1.685 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1cnc([C@H]2C[C@@H]2C)nc1 ZINC001185118675 744164292 /nfs/dbraw/zinc/16/42/92/744164292.db2.gz WJRJJBVQLNIETR-WPRPVWTQSA-N -1 1 315.333 1.752 20 0 DDADMM O=C(NCc1ccccc1-n1cnnn1)c1ccc([O-])c(F)c1 ZINC001186234102 744361311 /nfs/dbraw/zinc/36/13/11/744361311.db2.gz QDADVVRYCJFSJS-UHFFFAOYSA-N -1 1 313.292 1.437 20 0 DDADMM COC[C@@H](C)Oc1cc(NC(=O)c2ccc([O-])cc2F)[nH]n1 ZINC001186319524 744374124 /nfs/dbraw/zinc/37/41/24/744374124.db2.gz XBMWGHNCMWUZKP-MRVPVSSYSA-N -1 1 309.297 1.920 20 0 DDADMM O=C(N[C@@H](CO)Cc1ccc(O)cc1)c1ccc([O-])cc1F ZINC001186337878 744388782 /nfs/dbraw/zinc/38/87/82/744388782.db2.gz YDTCDWAEXOXDDM-LLVKDONJSA-N -1 1 305.305 1.570 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cc2nsnc2cc1C ZINC001187285954 744534286 /nfs/dbraw/zinc/53/42/86/744534286.db2.gz AYOREQUBOQYHSX-UHFFFAOYSA-N -1 1 301.393 1.778 20 0 DDADMM COc1cc([C@@H]([N-]S(=O)(=O)c2cnoc2C)C2CC2)ccn1 ZINC001187413742 744558854 /nfs/dbraw/zinc/55/88/54/744558854.db2.gz GYZQEBNMWTUNDN-AWEZNQCLSA-N -1 1 323.374 1.816 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccoc1C(F)(F)F ZINC001187725600 744597273 /nfs/dbraw/zinc/59/72/73/744597273.db2.gz VBJODISQOYGOOD-UHFFFAOYSA-N -1 1 313.195 1.923 20 0 DDADMM Cc1cc2c(cn1)[C@H](NC(=O)c1n[n-]nc1C(F)(F)F)CC2 ZINC001187773791 744600276 /nfs/dbraw/zinc/60/02/76/744600276.db2.gz MNWGJBUWSONKJQ-SECBINFHSA-N -1 1 311.267 1.944 20 0 DDADMM O=S(=O)([N-]C1(c2cccc(F)c2)COC1)c1nccs1 ZINC001187910699 744628794 /nfs/dbraw/zinc/62/87/94/744628794.db2.gz GNRFKTVHBJSCBY-UHFFFAOYSA-N -1 1 314.363 1.486 20 0 DDADMM O=C1Nc2ccccc2CC[C@@H]1[N-]S(=O)(=O)c1nccs1 ZINC001187912512 744629264 /nfs/dbraw/zinc/62/92/64/744629264.db2.gz KDNZBEXVHVDOTM-NSHDSACASA-N -1 1 323.399 1.375 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCN(c2ccccn2)C1)c1nccs1 ZINC001187919401 744635217 /nfs/dbraw/zinc/63/52/17/744635217.db2.gz ZLKVDKNXAMIUHC-LLVKDONJSA-N -1 1 324.431 1.485 20 0 DDADMM O=C1Nc2ccccc2[C@@H]1C[N-]S(=O)(=O)c1nccs1 ZINC001187923429 744635702 /nfs/dbraw/zinc/63/57/02/744635702.db2.gz PCVURFVGDJKXAS-VIFPVBQESA-N -1 1 309.372 1.157 20 0 DDADMM CC(C)(C)OC(=O)C1(C[N-]S(=O)(=O)c2nccs2)CC1 ZINC001187925263 744636346 /nfs/dbraw/zinc/63/63/46/744636346.db2.gz KJAHRAQPJJJBTO-UHFFFAOYSA-N -1 1 318.420 1.543 20 0 DDADMM Cc1[nH]ccc1C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001188167089 744668187 /nfs/dbraw/zinc/66/81/87/744668187.db2.gz NMALTYOLKDYMAQ-UHFFFAOYSA-N -1 1 324.125 1.157 20 0 DDADMM Nc1cnc(Cl)c(NC(=O)c2ncc(C(F)(F)F)[n-]2)n1 ZINC001188280226 744686210 /nfs/dbraw/zinc/68/62/10/744686210.db2.gz BRIFSVMRVOOWQQ-UHFFFAOYSA-N -1 1 306.635 1.706 20 0 DDADMM Nc1cnc(Cl)c(NC(=O)c2nc(C(F)(F)F)c[n-]2)n1 ZINC001188280226 744686213 /nfs/dbraw/zinc/68/62/13/744686213.db2.gz BRIFSVMRVOOWQQ-UHFFFAOYSA-N -1 1 306.635 1.706 20 0 DDADMM COc1ccc(NC(=O)NCC[P@](=O)([O-])O)cc1OC ZINC001188807998 744772608 /nfs/dbraw/zinc/77/26/08/744772608.db2.gz DQEOYBSZOMCCPU-UHFFFAOYSA-N -1 1 304.239 1.003 20 0 DDADMM O=c1[nH]cncc1[N-]S(=O)(=O)Cc1cc(F)ccc1F ZINC001189651745 744947534 /nfs/dbraw/zinc/94/75/34/744947534.db2.gz VSSMWQCHGGGZNJ-UHFFFAOYSA-N -1 1 301.274 1.402 20 0 DDADMM CCOC(=O)Cc1cccc([N-]S(=O)(=O)CCCF)n1 ZINC001189869887 745008015 /nfs/dbraw/zinc/00/80/15/745008015.db2.gz HOZYDGFWBAMPLZ-UHFFFAOYSA-N -1 1 304.343 1.289 20 0 DDADMM Nc1nc(=O)[nH]cc1NC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190267908 745168588 /nfs/dbraw/zinc/16/85/88/745168588.db2.gz NUUMELGHFINRMM-UHFFFAOYSA-N -1 1 324.300 1.179 20 0 DDADMM O=C(NC[C@@H]1CCOC1)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190628848 745278378 /nfs/dbraw/zinc/27/83/78/745278378.db2.gz BURZQABOAMZRNV-JTQLQIEISA-N -1 1 300.318 1.011 20 0 DDADMM COC(=O)[C@](C)([N-]S(=O)(=O)c1ccncc1)c1ccccc1 ZINC001190917102 745384391 /nfs/dbraw/zinc/38/43/91/745384391.db2.gz LYVISYAEPRZTRQ-OAHLLOKOSA-N -1 1 320.370 1.448 20 0 DDADMM CSc1ncc(C(=O)NCc2ccc(Cl)nn2)c(=O)[n-]1 ZINC001191438189 745512923 /nfs/dbraw/zinc/51/29/23/745512923.db2.gz UNAIOOAXNKDMIU-UHFFFAOYSA-N -1 1 311.754 1.278 20 0 DDADMM CCOC(=O)C1=C([N-]S(=O)(=O)CC2CCCC2)[C@@H](C)OC1 ZINC001191542164 745538019 /nfs/dbraw/zinc/53/80/19/745538019.db2.gz YWVBAUBWFWTJMX-SNVBAGLBSA-N -1 1 317.407 1.332 20 0 DDADMM COc1cc(C(=O)[N-]c2ncnc3n[nH]nc32)c(F)c(F)c1F ZINC001193028888 745964481 /nfs/dbraw/zinc/96/44/81/745964481.db2.gz WJWNDKIDWKJOIY-UHFFFAOYSA-N -1 1 324.222 1.426 20 0 DDADMM CCc1cc2ncc([N-]S(=O)(=O)C[C@H]3CCCO3)cn2n1 ZINC001193213025 746015913 /nfs/dbraw/zinc/01/59/13/746015913.db2.gz INTYJDOERHTWSL-GFCCVEGCSA-N -1 1 310.379 1.212 20 0 DDADMM COc1ccc(CO)c([N-]S(=O)(=O)C[C@H]2CCCO2)c1 ZINC001193260168 746034707 /nfs/dbraw/zinc/03/47/07/746034707.db2.gz ALAZNQUTLNWMGD-GFCCVEGCSA-N -1 1 301.364 1.108 20 0 DDADMM CCOC(=O)[C@@H](CC1CCC1)[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC001193288344 746048162 /nfs/dbraw/zinc/04/81/62/746048162.db2.gz KAMNERKLEANZDD-CHWSQXEVSA-N -1 1 319.423 1.207 20 0 DDADMM COc1ccnc(C(=O)Nc2ccccc2-n2cncn2)c1[O-] ZINC001193531124 746121472 /nfs/dbraw/zinc/12/14/72/746121472.db2.gz GCAFBUOYFDUNPH-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM O=S(=O)(Cc1noc2ccccc12)[N-]c1cncc(CO)c1 ZINC001194058069 746267258 /nfs/dbraw/zinc/26/72/58/746267258.db2.gz BWKLNWVVZXZSHH-UHFFFAOYSA-N -1 1 319.342 1.657 20 0 DDADMM COc1ccc(OC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001194505656 746396881 /nfs/dbraw/zinc/39/68/81/746396881.db2.gz LZHJKFNVUSDKOW-UHFFFAOYSA-N -1 1 306.234 1.905 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ncnc(Cl)c3Cl)c1-2 ZINC001195014651 746502588 /nfs/dbraw/zinc/50/25/88/746502588.db2.gz KWIIJBBCQWGILL-UHFFFAOYSA-N -1 1 324.131 1.384 20 0 DDADMM C[C@@H]1COC[C@H]1NC(=O)c1cc(Br)ccc1[O-] ZINC000699901106 746523356 /nfs/dbraw/zinc/52/33/56/746523356.db2.gz GWUDKHHCKWUPGD-GMSGAONNSA-N -1 1 300.152 1.919 20 0 DDADMM Cn1ncc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1C(F)F ZINC001195262630 746558823 /nfs/dbraw/zinc/55/88/23/746558823.db2.gz KKDTVZIOFGDYCS-UHFFFAOYSA-N -1 1 314.208 1.209 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccccc1C(=O)OCC(C)=O ZINC001195491407 746624522 /nfs/dbraw/zinc/62/45/22/746624522.db2.gz VVCYIMZWKFFJCB-UHFFFAOYSA-N -1 1 324.358 1.875 20 0 DDADMM O=C1Cc2cc([N-]S(=O)(=O)c3ccc(O)cc3)cnc2N1 ZINC001195767572 746691988 /nfs/dbraw/zinc/69/19/88/746691988.db2.gz ORNNXRDBQQOQQF-UHFFFAOYSA-N -1 1 305.315 1.083 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1ccc2c(c1)OCCCO2 ZINC001195930679 746738872 /nfs/dbraw/zinc/73/88/72/746738872.db2.gz KPXJDVHUYSWNIG-UHFFFAOYSA-N -1 1 315.347 1.153 20 0 DDADMM O=C(Nc1cccnc1-n1cccn1)c1c[nH]c(=S)[n-]c1=O ZINC001196008956 746754519 /nfs/dbraw/zinc/75/45/19/746754519.db2.gz OARQKWDZWRPPDM-UHFFFAOYSA-N -1 1 314.330 1.304 20 0 DDADMM O=C(Nc1cnc2ccc(O)cc2c1)c1c[nH]c(=S)[n-]c1=O ZINC001196013742 746756624 /nfs/dbraw/zinc/75/66/24/746756624.db2.gz GDQUPSALMNXTBQ-UHFFFAOYSA-N -1 1 314.326 1.977 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CC(OCc2ccccc2)C1 ZINC001196014995 746757768 /nfs/dbraw/zinc/75/77/68/746757768.db2.gz XVMQDMMHUZCFME-UHFFFAOYSA-N -1 1 317.370 1.512 20 0 DDADMM CC(C)n1ccc(NC(=O)c2c[nH]c(=S)[n-]c2=O)cc1=O ZINC001196027173 746766195 /nfs/dbraw/zinc/76/61/95/746766195.db2.gz SKHUXSJUQDUGMH-UHFFFAOYSA-N -1 1 306.347 1.466 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001089468678 747018359 /nfs/dbraw/zinc/01/83/59/747018359.db2.gz ZXGUOUOWWCHAMS-SRVKXCTJSA-N -1 1 321.425 1.273 20 0 DDADMM O=C([O-])c1ccc(NC(=S)NCCN2CCC(O)CC2)cc1 ZINC001197130472 747061020 /nfs/dbraw/zinc/06/10/20/747061020.db2.gz MQLYTQOEBJAFPT-UHFFFAOYSA-N -1 1 323.418 1.128 20 0 DDADMM CCC(=O)N1CC(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC001003525438 747270551 /nfs/dbraw/zinc/27/05/51/747270551.db2.gz HJEGXSZXWIWVKL-UHFFFAOYSA-N -1 1 320.393 1.212 20 0 DDADMM COCCNC(=S)Nc1c(C)c(=O)[n-]n1-c1ccccc1 ZINC001197892591 747299171 /nfs/dbraw/zinc/29/91/71/747299171.db2.gz ASEUZIMYRPFPOT-UHFFFAOYSA-N -1 1 306.391 1.819 20 0 DDADMM CSc1nc(NC(=O)c2ccc(N(C)C)cn2)cc(=O)[n-]1 ZINC001198123567 747390698 /nfs/dbraw/zinc/39/06/98/747390698.db2.gz HMXUHEHDPSIADM-UHFFFAOYSA-N -1 1 305.363 1.617 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)Nc1cnnc(Cl)c1 ZINC001198739231 747585033 /nfs/dbraw/zinc/58/50/33/747585033.db2.gz GSGXMZVNRRKVSA-UHFFFAOYSA-N -1 1 313.722 1.629 20 0 DDADMM O=C(Nc1cnc(Cl)nc1Cl)c1ccc([O-])c(=O)[nH]1 ZINC001199197188 747737800 /nfs/dbraw/zinc/73/78/00/747737800.db2.gz RZQKZJBUBRQJSN-UHFFFAOYSA-N -1 1 301.089 1.842 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)C1CC2(CC2)C1 ZINC001004209964 748124946 /nfs/dbraw/zinc/12/49/46/748124946.db2.gz UQBVXQOVEDAVHU-UHFFFAOYSA-N -1 1 315.373 1.166 20 0 DDADMM O=S(=O)([N-]CCCO)c1cc(Cl)c(Cl)cc1F ZINC001201244833 748442493 /nfs/dbraw/zinc/44/24/93/748442493.db2.gz YIQPMERXCSBZMD-UHFFFAOYSA-N -1 1 302.154 1.793 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C1CC2(COC2)C1 ZINC001201766329 748598048 /nfs/dbraw/zinc/59/80/48/748598048.db2.gz DYAPWYMHIVNSOH-UHFFFAOYSA-N -1 1 308.812 1.562 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cccnc1OC(F)F ZINC001201904618 748634756 /nfs/dbraw/zinc/63/47/56/748634756.db2.gz KIFUONDBPHYWFU-UHFFFAOYSA-N -1 1 324.305 1.378 20 0 DDADMM CC1(C)CN(C(=O)C[C@H]2C=CCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995432914 748719276 /nfs/dbraw/zinc/71/92/76/748719276.db2.gz UCXGIQKEPXEMFM-RYUDHWBXSA-N -1 1 319.409 1.193 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C(C)(C)F)CCCN1C(=O)c1ncccc1[O-] ZINC001014690179 748732679 /nfs/dbraw/zinc/73/26/79/748732679.db2.gz YMIIFQJJBIESPK-GHMZBOCLSA-N -1 1 323.368 1.645 20 0 DDADMM COc1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c(Cl)n1 ZINC001128532747 748745676 /nfs/dbraw/zinc/74/56/76/748745676.db2.gz UDUPDCGGSQRFQK-UHFFFAOYSA-N -1 1 317.736 1.651 20 0 DDADMM CC(C)CCC(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202448442 748784700 /nfs/dbraw/zinc/78/47/00/748784700.db2.gz QFJZTVSWJOIZTN-VXGBXAGGSA-N -1 1 321.425 1.464 20 0 DDADMM C/C=C(/C)C(=O)N1CCCC[C@@H]1[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004804480 748812369 /nfs/dbraw/zinc/81/23/69/748812369.db2.gz MXGNMKSCQVPPTF-WJQOWHFOSA-N -1 1 307.398 1.336 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)N(C)[C@H]1CC[N@H+](C/C=C/Cl)C1 ZINC001033345015 749234514 /nfs/dbraw/zinc/23/45/14/749234514.db2.gz UKMTWDLMAQXZOL-TZNOJPMFSA-N -1 1 310.785 1.389 20 0 DDADMM C[C@@H](CNc1ncc(Cl)cn1)NC(=O)c1ncccc1[O-] ZINC001108323609 761934195 /nfs/dbraw/zinc/93/41/95/761934195.db2.gz UFNBDODCVPYJJX-QMMMGPOBSA-N -1 1 307.741 1.461 20 0 DDADMM CC1(C)CN(C(=O)C2CC3(CC3)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996270842 749466379 /nfs/dbraw/zinc/46/63/79/749466379.db2.gz VKGAMLXDBLHRBB-LLVKDONJSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@H](CNc1ncc(Cl)cn1)NC(=O)c1ncccc1[O-] ZINC001107690320 750384928 /nfs/dbraw/zinc/38/49/28/750384928.db2.gz UFNBDODCVPYJJX-MRVPVSSYSA-N -1 1 307.741 1.461 20 0 DDADMM C/C=C(/C)C(=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035171466 751258399 /nfs/dbraw/zinc/25/83/99/751258399.db2.gz AHKFOPIJJDNSQD-BASWHVEKSA-N -1 1 319.409 1.291 20 0 DDADMM CC(C)(F)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008066675 752529663 /nfs/dbraw/zinc/52/96/63/752529663.db2.gz CQUGUJFINJQEFQ-NSHDSACASA-N -1 1 323.368 1.502 20 0 DDADMM C/C=C(\C)C(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062149594 752793074 /nfs/dbraw/zinc/79/30/74/752793074.db2.gz LWKXTVIFXVNQMD-ACDNVWSCSA-N -1 1 317.389 1.864 20 0 DDADMM O=C(CCC1CC1)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062727987 753547176 /nfs/dbraw/zinc/54/71/76/753547176.db2.gz HVQOCOBRAJNMPS-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM Cc1ccc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)o1 ZINC001000814729 762224796 /nfs/dbraw/zinc/22/47/96/762224796.db2.gz OHPDJORKRRCUSF-UHFFFAOYSA-N -1 1 315.329 1.191 20 0 DDADMM CC(C)(F)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839581 753759872 /nfs/dbraw/zinc/75/98/72/753759872.db2.gz WQFHILHFLHOMIN-UHFFFAOYSA-N -1 1 309.341 1.304 20 0 DDADMM Cc1noc([C@H](C)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001010725333 754124646 /nfs/dbraw/zinc/12/46/46/754124646.db2.gz HJLSGOBIPVTUDQ-ONGXEEELSA-N -1 1 317.349 1.044 20 0 DDADMM CC1(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CCC1 ZINC001078699968 754301752 /nfs/dbraw/zinc/30/17/52/754301752.db2.gz DVMLFROUKZIQRS-QWRGUYRKSA-N -1 1 319.409 1.218 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036038 754319254 /nfs/dbraw/zinc/31/92/54/754319254.db2.gz XDBMGZJORDCEDL-NEPJUHHUSA-N -1 1 303.362 1.118 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)C2(CF)CCC2)CC1 ZINC001011037869 754320224 /nfs/dbraw/zinc/32/02/24/754320224.db2.gz QONZUFBMMYUWCK-UHFFFAOYSA-N -1 1 321.352 1.211 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CCCC1 ZINC001011364294 754471883 /nfs/dbraw/zinc/47/18/83/754471883.db2.gz VBSLQJCOXCSXIH-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM CCC(=O)N[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]1C ZINC001011759248 754705034 /nfs/dbraw/zinc/70/50/34/754705034.db2.gz BAABINMMQFKCRQ-JOYOIKCWSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CCCC1 ZINC001012151364 754891801 /nfs/dbraw/zinc/89/18/01/754891801.db2.gz YAPXDDRHAVBFSS-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C2=CCCC2)CN1C(=O)c1ncccc1[O-] ZINC001012510383 755115676 /nfs/dbraw/zinc/11/56/76/755115676.db2.gz KUFCANBFQWVBFR-AAEUAGOBSA-N -1 1 315.373 1.617 20 0 DDADMM CCN(C(=O)C1(C)CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080229982 755775620 /nfs/dbraw/zinc/77/56/20/755775620.db2.gz HMDUHXMPPJYVDG-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC2(CN(C(=O)C3CCC3)C2)C1 ZINC001018664957 759102021 /nfs/dbraw/zinc/10/20/21/759102021.db2.gz SDKVRIOLFOCDHE-UHFFFAOYSA-N -1 1 315.373 1.262 20 0 DDADMM CSc1nc(CNC(=O)C=CC2(C)CCOCC2)cc(=O)[n-]1 ZINC000824920857 759216439 /nfs/dbraw/zinc/21/64/39/759216439.db2.gz WEPDHUDUCLIFFM-ONEGZZNKSA-N -1 1 323.418 1.893 20 0 DDADMM C/C=C(\C)C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962186 759454184 /nfs/dbraw/zinc/45/41/84/759454184.db2.gz IYVNJIKRMBGCIA-KGVSQERTSA-N -1 1 315.373 1.428 20 0 DDADMM CC1(C)CCCC[C@@H]1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019848523 760293498 /nfs/dbraw/zinc/29/34/98/760293498.db2.gz MKKASSBTTPBXEQ-NWDGAFQWSA-N -1 1 321.425 1.417 20 0 DDADMM CC(=O)NCCN(Cc1ccccc1)C(=O)c1ncccc1[O-] ZINC001055068288 760310958 /nfs/dbraw/zinc/31/09/58/760310958.db2.gz XCZOZOVBJVBWHD-UHFFFAOYSA-N -1 1 313.357 1.566 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2cccc(F)n2)CC1 ZINC001055777343 760716912 /nfs/dbraw/zinc/71/69/12/760716912.db2.gz SWKGAEBUXFHPOH-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM Cc1conc1CN1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038185483 760901538 /nfs/dbraw/zinc/90/15/38/760901538.db2.gz WUPRNKAAOQIRIM-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)CCCF ZINC001067254904 761065638 /nfs/dbraw/zinc/06/56/38/761065638.db2.gz TVUJFLKAESZPSW-UHFFFAOYSA-N -1 1 311.357 1.457 20 0 DDADMM C=Cc1ccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)cc1 ZINC001000408289 761711561 /nfs/dbraw/zinc/71/15/61/761711561.db2.gz KGITZHLAKZHHTE-UHFFFAOYSA-N -1 1 323.352 1.685 20 0 DDADMM Cc1ccnc(N2C[C@@H](C)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001041875187 763435252 /nfs/dbraw/zinc/43/52/52/763435252.db2.gz CUIFWKZWZCLEGD-ZYHUDNBSSA-N -1 1 313.361 1.140 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1Nc1ncc(F)cn1 ZINC001043148123 764714236 /nfs/dbraw/zinc/71/42/36/764714236.db2.gz ARKBOFWZASUDKR-MWLCHTKSSA-N -1 1 317.324 1.289 20 0 DDADMM CCO[C@H](CN1CCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001112782305 764755931 /nfs/dbraw/zinc/75/59/31/764755931.db2.gz NDZJJNHMPZVIRH-OAHLLOKOSA-N -1 1 319.405 1.360 20 0 DDADMM C[C@@H](Nc1nccnc1F)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001112983182 765099083 /nfs/dbraw/zinc/09/90/83/765099083.db2.gz GXJXSLWEFWEDKA-BDAKNGLRSA-N -1 1 305.313 1.335 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)c1ncc(Cl)cn1 ZINC001113575548 765897225 /nfs/dbraw/zinc/89/72/25/765897225.db2.gz XMHDMDXJWDACRP-SECBINFHSA-N -1 1 321.768 1.485 20 0 DDADMM O=C(C[C@@H]1C[C@H]1C1CC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045127940 766105145 /nfs/dbraw/zinc/10/51/45/766105145.db2.gz GJLSQVDLSWXENG-AVGNSLFASA-N -1 1 319.409 1.027 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045164164 766128748 /nfs/dbraw/zinc/12/87/48/766128748.db2.gz RRUCURCCNWMLEI-QWRGUYRKSA-N -1 1 307.398 1.027 20 0 DDADMM CCc1cc(Cl)nnc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170213689 766172815 /nfs/dbraw/zinc/17/28/15/766172815.db2.gz PNFATPPOJNSIIP-UHFFFAOYSA-N -1 1 307.701 1.465 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncc(C(F)(F)F)cn3)c2[nH]1 ZINC001170218634 766177426 /nfs/dbraw/zinc/17/74/26/766177426.db2.gz OFNGNCDQDWAARN-UHFFFAOYSA-N -1 1 313.199 1.268 20 0 DDADMM COC(=O)c1cccc(Nc2[n-]c(=O)nc3nc[nH]c32)c1OC ZINC001170234093 766188954 /nfs/dbraw/zinc/18/89/54/766188954.db2.gz QVKUSJHVDNJXTF-UHFFFAOYSA-N -1 1 315.289 1.597 20 0 DDADMM CC[C@@H](F)CN1CCC(C)(NC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001045409688 766289474 /nfs/dbraw/zinc/28/94/74/766289474.db2.gz SJAAGXLXLUDPLF-LLVKDONJSA-N -1 1 312.389 1.756 20 0 DDADMM Cc1ccnc(NCC2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC001067843511 766747706 /nfs/dbraw/zinc/74/77/06/766747706.db2.gz MWVROMWMXFDBIY-UHFFFAOYSA-N -1 1 313.361 1.506 20 0 DDADMM Cc1cc(N[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)ncn1 ZINC001068823363 767641155 /nfs/dbraw/zinc/64/11/55/767641155.db2.gz SWQTWSCTIGOLRO-VXGBXAGGSA-N -1 1 313.361 1.601 20 0 DDADMM CCC1(C(=O)N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)CC1 ZINC001071951667 770334167 /nfs/dbraw/zinc/33/41/67/770334167.db2.gz BUNNSAJCTNJPBH-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071953042 770338759 /nfs/dbraw/zinc/33/87/59/770338759.db2.gz UNIGRFZROKKSBR-VXGBXAGGSA-N -1 1 305.378 1.410 20 0 DDADMM CSc1nc(NC(=O)Cc2ccc(O)c(F)c2)cc(=O)[n-]1 ZINC001144618039 772580618 /nfs/dbraw/zinc/58/06/18/772580618.db2.gz ZYEIFJOFUGWJHJ-UHFFFAOYSA-N -1 1 309.322 1.930 20 0 DDADMM CS(=O)(=O)C1CCN(Cc2cc(F)c([O-])cc2F)CC1 ZINC001144666785 772590063 /nfs/dbraw/zinc/59/00/63/772590063.db2.gz PXMGPFRCJPAXPW-UHFFFAOYSA-N -1 1 305.346 1.679 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CC(F)(F)C[C@]2(C)CF)c1[O-] ZINC001147837180 773264358 /nfs/dbraw/zinc/26/43/58/773264358.db2.gz WKWAPRWUOGOIFK-CYBMUJFWSA-N -1 1 318.295 1.797 20 0 DDADMM Cc1cnc(NC(=O)c2cc(S(=O)(=O)[O-])ccc2O)nc1C ZINC001148915180 773633346 /nfs/dbraw/zinc/63/33/46/773633346.db2.gz KKUVLRRDTYZSTD-UHFFFAOYSA-N -1 1 323.330 1.298 20 0 DDADMM O=C(Nc1cc(C2CC2)[nH]n1)c1cc(S(=O)(=O)[O-])ccc1O ZINC001148915197 773633360 /nfs/dbraw/zinc/63/33/60/773633360.db2.gz LBPHRAOGPCIYHC-UHFFFAOYSA-N -1 1 323.330 1.492 20 0 DDADMM CC(C)CN1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001074219904 773743936 /nfs/dbraw/zinc/74/39/36/773743936.db2.gz YUZAFVJRVZYRLE-HIFRSBDPSA-N -1 1 319.405 1.359 20 0 DDADMM Cc1cnc(C)nc1N[C@H](C)CCNC(=O)c1ncccc1[O-] ZINC001099105199 774835504 /nfs/dbraw/zinc/83/55/04/774835504.db2.gz UBCDTXSXCSCGGR-LLVKDONJSA-N -1 1 315.377 1.815 20 0 DDADMM CCOC(=O)c1ccc(Cl)nc1[N-]c1nccnc1CN ZINC001171440749 775763852 /nfs/dbraw/zinc/76/38/52/775763852.db2.gz HPQMROJVLQBTNA-UHFFFAOYSA-N -1 1 307.741 1.904 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])c1ccc(C)nn1 ZINC001100515628 776262937 /nfs/dbraw/zinc/26/29/37/776262937.db2.gz YIGADRFQXZJIEF-UHFFFAOYSA-N -1 1 301.350 1.142 20 0 DDADMM COC(=O)c1ccc(C[C@@H](C)[NH2+]CCP(=O)([O-])[O-])cc1 ZINC001173715374 777321951 /nfs/dbraw/zinc/32/19/51/777321951.db2.gz ONNBIIILUNGHPS-SNVBAGLBSA-N -1 1 301.279 1.172 20 0 DDADMM Cc1c(Nc2cc(S(=O)(=O)C(N)=O)ccc2[O-])cnn1C ZINC001174393579 777462932 /nfs/dbraw/zinc/46/29/32/777462932.db2.gz JTINOJPWMYWYDU-UHFFFAOYSA-N -1 1 310.335 1.444 20 0 DDADMM C/C(=C\C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001101772808 777498674 /nfs/dbraw/zinc/49/86/74/777498674.db2.gz PGIYCSGQQGIYNS-ZTSLXXIXSA-N -1 1 319.409 1.051 20 0 DDADMM Cc1ccc2n[nH]cc2c1[N-]S(=O)(=O)c1ccc(O)c(N)c1 ZINC001175525469 777824518 /nfs/dbraw/zinc/82/45/18/777824518.db2.gz DHQUHGLRHQXVRH-UHFFFAOYSA-N -1 1 318.358 1.960 20 0 DDADMM CO[C@](C)(C(=O)NCc1nn[n-]n1)c1cccc2ccccc21 ZINC001178679379 779134053 /nfs/dbraw/zinc/13/40/53/779134053.db2.gz RGZNJKAWAHMRQB-INIZCTEOSA-N -1 1 311.345 1.531 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1ccn2nccc2n1 ZINC001178785619 779170869 /nfs/dbraw/zinc/17/08/69/779170869.db2.gz ZJYBBAXVJPPSSM-UHFFFAOYSA-N -1 1 318.283 1.686 20 0 DDADMM C[C@@H](C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001104042852 779267356 /nfs/dbraw/zinc/26/73/56/779267356.db2.gz BKOWVGJZSLCKQI-NQBHXWOUSA-N -1 1 321.425 1.131 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(F)(F)C(F)(F)Cl)c[n-]1 ZINC001179775302 779473912 /nfs/dbraw/zinc/47/39/12/779473912.db2.gz MBUWSGLRJWRGIZ-UHFFFAOYSA-N -1 1 317.626 1.992 20 0 DDADMM O=C(C=Cc1c(F)c(F)c(F)c(F)c1F)NCc1nn[n-]n1 ZINC001179765733 779484544 /nfs/dbraw/zinc/48/45/44/779484544.db2.gz XXAMOQLNWNCVPQ-OWOJBTEDSA-N -1 1 319.193 1.225 20 0 DDADMM O=C([O-])C(F)(F)C(F)(F)C(=O)N[C@H]1CCCc2nccnc21 ZINC001180176532 779636568 /nfs/dbraw/zinc/63/65/68/779636568.db2.gz FGBZURKBVUSXPI-ZETCQYMHSA-N -1 1 321.230 1.325 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cnc3c(cnn3C)c2)cc1 ZINC001116657770 780540055 /nfs/dbraw/zinc/54/00/55/780540055.db2.gz ADAXSAICZGWWBT-UHFFFAOYSA-N -1 1 318.358 1.778 20 0 DDADMM CC(C)C[C@@H](CCO)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC001118932307 781276290 /nfs/dbraw/zinc/27/62/90/781276290.db2.gz UWACGCHESWBGOI-SNVBAGLBSA-N -1 1 322.377 1.683 20 0 DDADMM C[C@H]1CCCC[C@H]1CC(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001267136232 837403488 /nfs/dbraw/zinc/40/34/88/837403488.db2.gz ADDNBLQKCLVMJG-RYUDHWBXSA-N -1 1 309.414 1.275 20 0 DDADMM O=C(NCCC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1)c1ccc[nH]1 ZINC001267162181 837442593 /nfs/dbraw/zinc/44/25/93/837442593.db2.gz UNIKXGVEORBBMG-NSHDSACASA-N -1 1 318.381 1.013 20 0 DDADMM C[C@H](C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)c1cccc(F)c1 ZINC001267099125 837338564 /nfs/dbraw/zinc/33/85/64/837338564.db2.gz NMVLMRYYEGKXNQ-JTQLQIEISA-N -1 1 321.356 1.001 20 0 DDADMM CC1(CCC(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001409103115 844328477 /nfs/dbraw/zinc/32/84/77/844328477.db2.gz TYGNHUKTHRPILZ-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(CCCF)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001408838708 839039760 /nfs/dbraw/zinc/03/97/60/839039760.db2.gz BHCKSMIPVJOJJI-LLVKDONJSA-N -1 1 309.341 1.258 20 0 DDADMM CCCC[C@H](CC)CNS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001414202329 840136089 /nfs/dbraw/zinc/13/60/89/840136089.db2.gz XLUSCKZFJJPCNF-JTQLQIEISA-N -1 1 317.411 1.691 20 0 DDADMM CCCC[C@H](CC)CNS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001414202329 840136093 /nfs/dbraw/zinc/13/60/93/840136093.db2.gz XLUSCKZFJJPCNF-JTQLQIEISA-N -1 1 317.411 1.691 20 0 DDADMM CC[C@H]1CCC[C@H]1C(=O)N(CC)CCNCc1n[nH]c(=O)[n-]1 ZINC001268477302 840265338 /nfs/dbraw/zinc/26/53/38/840265338.db2.gz PCBDOJBKRSTFFU-NWDGAFQWSA-N -1 1 309.414 1.275 20 0 DDADMM NC(=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1c1ccccc1 ZINC001269346538 841542753 /nfs/dbraw/zinc/54/27/53/841542753.db2.gz RYNACLRCBUKUBG-DOMZBBRYSA-N -1 1 311.341 1.476 20 0 DDADMM CC[C@H](C)N1CC[C@@]2(CCCN2C(=O)c2ccc([O-])cn2)C1=O ZINC001269354553 841557683 /nfs/dbraw/zinc/55/76/83/841557683.db2.gz MWEMOAHNYCTLGY-SJCJKPOMSA-N -1 1 317.389 1.793 20 0 DDADMM CC[C@H]1CCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)[C@@H]1C ZINC001362684914 841804542 /nfs/dbraw/zinc/80/45/42/841804542.db2.gz OCVVMEGMMLIXRU-ZJUUUORDSA-N -1 1 300.380 1.448 20 0 DDADMM COc1cc(NC(=O)c2ccc3n[n-]c(=S)n3c2)nn1C ZINC001154588649 861249018 /nfs/dbraw/zinc/24/90/18/861249018.db2.gz DUWJAVMJQTYSFD-UHFFFAOYSA-N -1 1 304.335 1.012 20 0 DDADMM NC(=O)c1[nH]nc2c1CCN(C(=O)c1ccc([O-])cc1Cl)C2 ZINC001269762159 842017574 /nfs/dbraw/zinc/01/75/74/842017574.db2.gz IISDAZYKCNMBBZ-UHFFFAOYSA-N -1 1 320.736 1.066 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CC4(C[C@@H]4C(N)=O)C3)c([O-])c2n1 ZINC001270530716 842644562 /nfs/dbraw/zinc/64/45/62/842644562.db2.gz XNVFTQQQTIBZNU-GFCCVEGCSA-N -1 1 311.341 1.196 20 0 DDADMM CCC/C=C\CCN1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001271275777 843461988 /nfs/dbraw/zinc/46/19/88/843461988.db2.gz DZIHHMOKYZSKAD-PLNGDYQASA-N -1 1 319.405 1.310 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1nocc1C ZINC001409261221 844725483 /nfs/dbraw/zinc/72/54/83/844725483.db2.gz FUHXAJMLDWMNBK-JTQLQIEISA-N -1 1 318.333 1.022 20 0 DDADMM O=C(NC1(CNC(=O)C2CC2)CCCC1)c1ncccc1[O-] ZINC001409454875 845127057 /nfs/dbraw/zinc/12/70/57/845127057.db2.gz RLSGKZODVDHNQF-UHFFFAOYSA-N -1 1 303.362 1.356 20 0 DDADMM Cc1cccc(CONC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC001149462779 861631374 /nfs/dbraw/zinc/63/13/74/861631374.db2.gz QSSQVVGMLDEZFU-UHFFFAOYSA-N -1 1 315.358 1.583 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001149500836 861661369 /nfs/dbraw/zinc/66/13/69/861661369.db2.gz PZCKICVOYFLLQR-KXKDPZRNSA-N -1 1 321.340 1.824 20 0 DDADMM COC[C@@H](NC(=O)C=C(C)c1ccc(F)cc1)c1nn[n-]n1 ZINC001155070087 861700246 /nfs/dbraw/zinc/70/02/46/861700246.db2.gz TYPUWJRUJMCGOF-ZVTBTPLYSA-N -1 1 305.313 1.246 20 0 DDADMM COCn1cc(NC(=O)c2nc3ccc(Cl)nc3[n-]2)cn1 ZINC001155177741 861802231 /nfs/dbraw/zinc/80/22/31/861802231.db2.gz YKZURYDTXYFPBD-UHFFFAOYSA-N -1 1 306.713 1.664 20 0 DDADMM Cc1nocc1C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC001434574349 849397080 /nfs/dbraw/zinc/39/70/80/849397080.db2.gz NHTNAVVHVYYIEO-UHFFFAOYSA-N -1 1 310.257 1.203 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1ccc(OCCO)cc1 ZINC001150347605 862190498 /nfs/dbraw/zinc/19/04/98/862190498.db2.gz KUNUVBREMABFLN-UHFFFAOYSA-N -1 1 321.358 1.403 20 0 DDADMM CC(C)(C)C(=O)CNC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190633133 851140735 /nfs/dbraw/zinc/14/07/35/851140735.db2.gz SPUVINCYKDUEPT-UHFFFAOYSA-N -1 1 314.345 1.589 20 0 DDADMM CN(C)C(=O)c1cccc([N-]S(=O)(=O)C(F)(F)F)c1O ZINC001252359761 851553506 /nfs/dbraw/zinc/55/35/06/851553506.db2.gz JQFNUZGMOLBQLV-UHFFFAOYSA-N -1 1 312.269 1.356 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CC[C@H](CO)[C@@H](O)C3)c([O-])c2n1 ZINC001155653227 862343740 /nfs/dbraw/zinc/34/37/40/862343740.db2.gz BILOABSLKZKMAS-OCCSQVGLSA-N -1 1 316.357 1.064 20 0 DDADMM CCC(CC)(NC(=O)c1ccc2n[n-]c(=S)n2c1)C(=O)OC ZINC001155705273 862398336 /nfs/dbraw/zinc/39/83/36/862398336.db2.gz ZXPQLKAVYWMJTC-UHFFFAOYSA-N -1 1 322.390 1.480 20 0 DDADMM CC(C)N(C[C@H]1CCC(=O)N1)C(=O)C(=O)c1ccc([O-])cc1 ZINC001299459873 852916719 /nfs/dbraw/zinc/91/67/19/852916719.db2.gz CJXQPKARPPVEMM-GFCCVEGCSA-N -1 1 304.346 1.091 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](C)[C@H](C)NC(=O)c2cnn[nH]2)cc1C ZINC001411438304 853116431 /nfs/dbraw/zinc/11/64/31/853116431.db2.gz YVTWYQVJNMGNGV-RYUDHWBXSA-N -1 1 315.377 1.358 20 0 DDADMM CN(C)C(=O)C12CC(NC(=O)c3c([O-])cccc3Cl)(C1)C2 ZINC001275466795 853163036 /nfs/dbraw/zinc/16/30/36/853163036.db2.gz SDNKFCUKVSASCW-UHFFFAOYSA-N -1 1 308.765 1.786 20 0 DDADMM O=C(NCCN1C(=O)Cc2ccccc21)c1cccc([O-])c1F ZINC001411711410 853616248 /nfs/dbraw/zinc/61/62/48/853616248.db2.gz ZZVHBTAWUKPUGY-UHFFFAOYSA-N -1 1 314.316 1.850 20 0 DDADMM C[C@@H](NC(=O)c1cnncc1[O-])c1noc(-c2ccccc2)n1 ZINC001150837038 862487279 /nfs/dbraw/zinc/48/72/79/862487279.db2.gz RCXBHOBGFJQPFZ-SECBINFHSA-N -1 1 311.301 1.723 20 0 DDADMM CSc1ncc(C(=O)NCCC2SCCS2)c(=O)[n-]1 ZINC001412371142 854483203 /nfs/dbraw/zinc/48/32/03/854483203.db2.gz UVNIVEGRROFLII-UHFFFAOYSA-N -1 1 317.461 1.830 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2coc(C)n2)[n-]c1=O ZINC001412767136 855237968 /nfs/dbraw/zinc/23/79/68/855237968.db2.gz DYAHRGLWURCKEJ-JTQLQIEISA-N -1 1 304.306 1.465 20 0 DDADMM CCOCc1nc(C)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)s1 ZINC001412791992 855273845 /nfs/dbraw/zinc/27/38/45/855273845.db2.gz GKBZPGODCCDBPU-MRVPVSSYSA-N -1 1 324.410 1.377 20 0 DDADMM C[C@@H](CSC(F)(F)F)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC001412799645 855280475 /nfs/dbraw/zinc/28/04/75/855280475.db2.gz WOMSVJUTEWECEI-BQBZGAKWSA-N -1 1 311.333 1.651 20 0 DDADMM CCOc1cc(C(=O)Nc2n[nH]cc2C(N)=O)cc(Cl)c1[O-] ZINC001412799377 855281153 /nfs/dbraw/zinc/28/11/53/855281153.db2.gz RVEBIYMSJTXPGZ-UHFFFAOYSA-N -1 1 324.724 1.519 20 0 DDADMM COC(=O)c1c(NC(=O)CC2CCCC2)n[n-]c1OCCO ZINC001412860870 855733269 /nfs/dbraw/zinc/73/32/69/855733269.db2.gz ZYQOLRCUZOGQQN-UHFFFAOYSA-N -1 1 311.338 1.086 20 0 DDADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)CC1CCCC1 ZINC001412860870 855733275 /nfs/dbraw/zinc/73/32/75/855733275.db2.gz ZYQOLRCUZOGQQN-UHFFFAOYSA-N -1 1 311.338 1.086 20 0 DDADMM O=C(N[C@@]1(CCO)CCOC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001412882873 855751892 /nfs/dbraw/zinc/75/18/92/855751892.db2.gz PUELUDPQSHHHPY-ZDUSSCGKSA-N -1 1 319.279 1.682 20 0 DDADMM O=C(NC[C@@H](CO)CC1CCOCC1)c1cccc([O-])c1F ZINC001412895156 855766452 /nfs/dbraw/zinc/76/64/52/855766452.db2.gz KIRLLLVWVCVBNQ-LBPRGKRZSA-N -1 1 311.353 1.686 20 0 DDADMM CCC(CC)(NC(=O)c1ccc2c(c1)CCN2C)c1nn[n-]n1 ZINC001412937841 855795020 /nfs/dbraw/zinc/79/50/20/855795020.db2.gz TZESYCIHAUHIPT-UHFFFAOYSA-N -1 1 314.393 1.637 20 0 DDADMM O=C(NC[C@@H]1CS(=O)(=O)c2ccccc21)c1ncccc1[O-] ZINC001412998613 855897330 /nfs/dbraw/zinc/89/73/30/855897330.db2.gz CJSWHKAJFSYKSA-SNVBAGLBSA-N -1 1 318.354 1.088 20 0 DDADMM CC(C)(CCNC(=O)c1ccc(F)c([O-])c1)S(C)(=O)=O ZINC001413123791 856462928 /nfs/dbraw/zinc/46/29/28/856462928.db2.gz WPCHPLGJRWDDFM-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H](C)c2ccccc2)[n-]n1 ZINC001413269568 856596234 /nfs/dbraw/zinc/59/62/34/856596234.db2.gz VCAROGMJXKFLJH-SNVBAGLBSA-N -1 1 323.374 1.578 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H](C)c2ccccc2)n[n-]1 ZINC001413269568 856596240 /nfs/dbraw/zinc/59/62/40/856596240.db2.gz VCAROGMJXKFLJH-SNVBAGLBSA-N -1 1 323.374 1.578 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](O)C2CCCCC2)n[n-]1 ZINC001413273743 856599879 /nfs/dbraw/zinc/59/98/79/856599879.db2.gz RKBVXWUDOKQPGX-GXSJLCMTSA-N -1 1 324.381 1.100 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](O)C2CCCCC2)[n-]1 ZINC001413273743 856599883 /nfs/dbraw/zinc/59/98/83/856599883.db2.gz RKBVXWUDOKQPGX-GXSJLCMTSA-N -1 1 324.381 1.100 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](O)C2CCCCC2)n1 ZINC001413273743 856599887 /nfs/dbraw/zinc/59/98/87/856599887.db2.gz RKBVXWUDOKQPGX-GXSJLCMTSA-N -1 1 324.381 1.100 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1nocc1C)[C@@H](O)C(F)(F)F ZINC001413319093 856643165 /nfs/dbraw/zinc/64/31/65/856643165.db2.gz JIFGTIMIOUITSH-VXNVDRBHSA-N -1 1 316.301 1.104 20 0 DDADMM CCc1ccc(C(=O)NCc2nc([O-])cc(=O)[nH]2)cc1CC ZINC001413345467 856694503 /nfs/dbraw/zinc/69/45/03/856694503.db2.gz RJVZQUHOCZYSCE-UHFFFAOYSA-N -1 1 301.346 1.943 20 0 DDADMM COC[C@H](NC(=O)CCCC(=O)c1ccccc1)c1nn[n-]n1 ZINC001413558828 857068516 /nfs/dbraw/zinc/06/85/16/857068516.db2.gz WTDDVCJUSINQMO-LBPRGKRZSA-N -1 1 317.349 1.057 20 0 DDADMM CSc1ncc(C(=O)NC2CC3(C2)CO[C@@H](C)C3)c(=O)[n-]1 ZINC001413672347 857313492 /nfs/dbraw/zinc/31/34/92/857313492.db2.gz MVCTZVSNZPFOSF-JUXJKVCFSA-N -1 1 309.391 1.592 20 0 DDADMM CC(C)(F)C(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072968938 857948326 /nfs/dbraw/zinc/94/83/26/857948326.db2.gz HJZPUGBPSNHSIB-UHFFFAOYSA-N -1 1 323.368 1.646 20 0 DDADMM CCN(C(=O)[C@H](C)COC)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001413785319 858529313 /nfs/dbraw/zinc/52/93/13/858529313.db2.gz NXEIDTWSQULSFO-VXGBXAGGSA-N -1 1 323.393 1.037 20 0 DDADMM Cc1nn(Cc2ccccc2)c(C)c1C(=O)OCc1nn[n-]n1 ZINC001123018558 859055406 /nfs/dbraw/zinc/05/54/06/859055406.db2.gz JYAFJMXGXJTLCU-UHFFFAOYSA-N -1 1 312.333 1.418 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC001123665916 859350814 /nfs/dbraw/zinc/35/08/14/859350814.db2.gz WNDSMRAERSCFER-BBBLOLIVSA-N -1 1 309.391 1.467 20 0 DDADMM O=[P@]([O-])(O)CCOC[C@@H]1CN(Cc2ccccc2)CCO1 ZINC001224606787 881465370 /nfs/dbraw/zinc/46/53/70/881465370.db2.gz RTGDWPZEHRZIFO-AWEZNQCLSA-N -1 1 315.306 1.082 20 0 DDADMM COc1cccc(CN2C[C@@H]3C[C@H]2CN3C)c1OCC(=O)[O-] ZINC001139270226 860344322 /nfs/dbraw/zinc/34/43/22/860344322.db2.gz WUSFJLXXODYVBD-STQMWFEESA-N -1 1 306.362 1.047 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cn3ccc(C(F)F)n3)ccnc1-2 ZINC001361376768 881527867 /nfs/dbraw/zinc/52/78/67/881527867.db2.gz FNYJTJGOOOVKDR-UHFFFAOYSA-N -1 1 306.276 1.115 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cn(-c4ccccc4)cn3)ccnc1-2 ZINC001361377241 881528652 /nfs/dbraw/zinc/52/86/52/881528652.db2.gz TURQHZSVDFPVMJ-UHFFFAOYSA-N -1 1 318.340 1.780 20 0 DDADMM CCOC[C@H]1CN(Cc2ccncc2[O-])Cc2nnn(CC)c21 ZINC001140273981 860604901 /nfs/dbraw/zinc/60/49/01/860604901.db2.gz BPUXTQZESGCFPO-CYBMUJFWSA-N -1 1 317.393 1.535 20 0 DDADMM O=c1[nH]c(=O)c2c([nH]1)CCN(Cc1ccc([O-])c(F)c1F)C2 ZINC001140893071 860727158 /nfs/dbraw/zinc/72/71/58/860727158.db2.gz GXNXTRZFRWOERL-UHFFFAOYSA-N -1 1 309.272 1.430 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccnc2[nH]c(CC3CC3)nc21 ZINC001141578215 860891709 /nfs/dbraw/zinc/89/17/09/860891709.db2.gz JBVFUDBIDYXIOW-UHFFFAOYSA-N -1 1 312.333 1.900 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cnc2c(F)cc(F)cc2c1O ZINC001141578016 860892329 /nfs/dbraw/zinc/89/23/29/860892329.db2.gz BDXZQFHODXVGFE-UHFFFAOYSA-N -1 1 320.255 1.796 20 0 DDADMM COc1ccc2ncc([O-])c(C(=O)Nc3nonc3N)c2c1 ZINC001154506457 861154520 /nfs/dbraw/zinc/15/45/20/861154520.db2.gz BOHLXYBJPWSWPJ-UHFFFAOYSA-N -1 1 301.262 1.167 20 0 DDADMM COC[C@@H]1CN(C(=O)c2cc(C)cc(C=O)c2[O-])C[C@H](C)O1 ZINC001154526028 861175532 /nfs/dbraw/zinc/17/55/32/861175532.db2.gz BQVPQZWOVNBZCS-AAEUAGOBSA-N -1 1 307.346 1.389 20 0 DDADMM Cc1ccc2ncc([O-])c(C(=O)Nc3oncc3C(N)=O)c2c1 ZINC001154529854 861179585 /nfs/dbraw/zinc/17/95/85/861179585.db2.gz PSETWDXTBQBOPI-UHFFFAOYSA-N -1 1 312.285 1.588 20 0 DDADMM C[C@@H](CC(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccc(F)cc1 ZINC001152116165 863173174 /nfs/dbraw/zinc/17/31/74/863173174.db2.gz WAKNIUUYPNQXGH-JTQLQIEISA-N -1 1 321.356 1.049 20 0 DDADMM Cn1nc(C(F)(F)F)cc1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001361430336 881638673 /nfs/dbraw/zinc/63/86/73/881638673.db2.gz OQWMCGIGSJPGGU-UHFFFAOYSA-N -1 1 324.266 1.346 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cn2ccnc2s1 ZINC001156817390 863364173 /nfs/dbraw/zinc/36/41/73/863364173.db2.gz CDFAMDSKTAMHEC-UHFFFAOYSA-N -1 1 301.291 1.020 20 0 DDADMM Nc1cc[nH+]c(NC2(P(=O)([O-])[O-])Cc3ccccc3C2)c1 ZINC001157157101 863620116 /nfs/dbraw/zinc/62/01/16/863620116.db2.gz SBTYPENWKNMYJB-UHFFFAOYSA-N -1 1 305.274 1.749 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3c(c2)C(=O)OC3)n1 ZINC001157175162 863632612 /nfs/dbraw/zinc/63/26/12/863632612.db2.gz CEIKMAQKMRWVNX-UHFFFAOYSA-N -1 1 315.285 1.509 20 0 DDADMM O=C(Nc1ccc(Br)c([O-])c1)[C@@H]1CCCNC1=O ZINC001329460081 863677168 /nfs/dbraw/zinc/67/71/68/863677168.db2.gz JTHIZWMUTDEIGQ-MRVPVSSYSA-N -1 1 313.151 1.619 20 0 DDADMM Cc1cc2c(c(N(C)[C@@H]3CCC4(C3)OCCO4)n1)C(=O)[N-]C2=O ZINC001157721742 864075303 /nfs/dbraw/zinc/07/53/03/864075303.db2.gz CIHVDTKKAAFSOG-SNVBAGLBSA-N -1 1 317.345 1.005 20 0 DDADMM Cc1noc(-c2ccc(NC(=O)c3n[nH]c(C)c3[O-])cn2)n1 ZINC001158556729 864727039 /nfs/dbraw/zinc/72/70/39/864727039.db2.gz YOGAZQROKBPWNO-UHFFFAOYSA-N -1 1 300.278 1.429 20 0 DDADMM Cc1ccn2cnc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)c2c1 ZINC001361527983 881833384 /nfs/dbraw/zinc/83/33/84/881833384.db2.gz LDHMXWOJFLXVBF-UHFFFAOYSA-N -1 1 306.329 1.550 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H](OC)C2CCC2)[n-]c1Cl ZINC001361528852 881837370 /nfs/dbraw/zinc/83/73/70/881837370.db2.gz HKLWOVPLOMMNHS-VIFPVBQESA-N -1 1 315.757 1.993 20 0 DDADMM COC(=O)c1ccnc(Nc2[n-]c(=O)nc3nc[nH]c32)c1C ZINC001159192385 865157641 /nfs/dbraw/zinc/15/76/41/865157641.db2.gz ODTOOJAMFCOYRD-UHFFFAOYSA-N -1 1 300.278 1.292 20 0 DDADMM COC(=O)c1cc(Nc2[n-]c(=O)nc3nc[nH]c32)ncc1C ZINC001159197837 865160619 /nfs/dbraw/zinc/16/06/19/865160619.db2.gz BDKSJTSPIYUKSM-UHFFFAOYSA-N -1 1 300.278 1.292 20 0 DDADMM CCOc1c(Cl)ncnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159198186 865162480 /nfs/dbraw/zinc/16/24/80/865162480.db2.gz IWORUSORAXGRBT-UHFFFAOYSA-N -1 1 307.701 1.644 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccn(-c2ccccc2C(F)(F)F)n1 ZINC001320113955 866485920 /nfs/dbraw/zinc/48/59/20/866485920.db2.gz RADJGNHSIRGHQQ-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-][C@@H](c1nc[nH]n1)c1ccccc1 ZINC001320666313 866928267 /nfs/dbraw/zinc/92/82/67/866928267.db2.gz RWVANXJRICEBGQ-GFCCVEGCSA-N -1 1 319.346 1.002 20 0 DDADMM CCN(CCNCc1ncc(C)cn1)C(=O)c1ncccc1[O-] ZINC001320717197 866974521 /nfs/dbraw/zinc/97/45/21/866974521.db2.gz PFJVOTRXHVLMSE-UHFFFAOYSA-N -1 1 315.377 1.138 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1cccc(C(F)F)c1 ZINC001320833921 867063754 /nfs/dbraw/zinc/06/37/54/867063754.db2.gz VCIQHOXBYQTJRM-UHFFFAOYSA-N -1 1 323.299 1.181 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2csc(Cl)n2)n1 ZINC001361651428 882090949 /nfs/dbraw/zinc/09/09/49/882090949.db2.gz UFQDFNVMUMTELT-UHFFFAOYSA-N -1 1 300.727 1.949 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@@H]1CCC(=O)CC1(C)C ZINC001225899681 882101121 /nfs/dbraw/zinc/10/11/21/882101121.db2.gz QJLRZKRQVFNOQC-LLVKDONJSA-N -1 1 308.334 1.886 20 0 DDADMM CN(CCOCc1ccccc1)Cc1cn(C)nc1C(=O)[O-] ZINC001334768623 867821022 /nfs/dbraw/zinc/82/10/22/867821022.db2.gz QCQYGKFLYYFYCE-UHFFFAOYSA-N -1 1 303.362 1.767 20 0 DDADMM C[C@H](CSC(F)(F)F)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362208187 883302072 /nfs/dbraw/zinc/30/20/72/883302072.db2.gz METZUFDPDXXJBD-HTQZYQBOSA-N -1 1 323.344 1.795 20 0 DDADMM COc1ncc2cc[nH]c2c1NCC[N-]C(=O)C(F)(F)F ZINC001164246346 869055584 /nfs/dbraw/zinc/05/55/84/869055584.db2.gz ZTPFPDNNGHENTI-UHFFFAOYSA-N -1 1 302.256 1.662 20 0 DDADMM C/C=C(/C)C(=O)NCCOCCCNC(=O)c1ncccc1[O-] ZINC001336801235 869152478 /nfs/dbraw/zinc/15/24/78/869152478.db2.gz DTHMHOLESADDDW-BASWHVEKSA-N -1 1 321.377 1.006 20 0 DDADMM Cc1cc2c(c(N3CC[C@H]4CCCO[C@H]4C3)n1)C(=O)[N-]C2=O ZINC001165335520 869524049 /nfs/dbraw/zinc/52/40/49/869524049.db2.gz LWSMSWHDQZFREP-PWSUYJOCSA-N -1 1 301.346 1.279 20 0 DDADMM C[C@@H](O)C[C@@H](C)[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001337594316 869558269 /nfs/dbraw/zinc/55/82/69/869558269.db2.gz GUQIMTDFHHTSBT-RNFRBKRXSA-N -1 1 313.206 1.826 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CCCc2nn[n-]n2)c1C ZINC001361776310 882351382 /nfs/dbraw/zinc/35/13/82/882351382.db2.gz SOBHBAOZCMQJDV-UHFFFAOYSA-N -1 1 302.338 1.428 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCCc2nncn2C)c1 ZINC001298370650 870509602 /nfs/dbraw/zinc/50/96/02/870509602.db2.gz DONWTHAPHLTASQ-UHFFFAOYSA-N -1 1 302.334 1.004 20 0 DDADMM C=C/C(C)=C\CC(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001298678659 870670227 /nfs/dbraw/zinc/67/02/27/870670227.db2.gz FLLLLWQCWRADTC-SEVUFMINSA-N -1 1 303.362 1.544 20 0 DDADMM CC[C@H](C)CC(=O)NCCC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001317421495 870798579 /nfs/dbraw/zinc/79/85/79/870798579.db2.gz OAYDSURAUAXLFF-QWHCGFSZSA-N -1 1 323.441 1.807 20 0 DDADMM NC(=O)CN(Cc1ccccc1)C(=O)c1cccc([O-])c1F ZINC001361826441 882445968 /nfs/dbraw/zinc/44/59/68/882445968.db2.gz PFNVYUDJFSRFHO-UHFFFAOYSA-N -1 1 302.305 1.659 20 0 DDADMM CSc1nc(CNC(=O)c2cccc(Cl)n2)cc(=O)[n-]1 ZINC001299998340 870951628 /nfs/dbraw/zinc/95/16/28/870951628.db2.gz IHOIUDYZSMFKKY-UHFFFAOYSA-N -1 1 310.766 1.883 20 0 DDADMM Cn1ncc(C2CC2)c1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001301648420 871013700 /nfs/dbraw/zinc/01/37/00/871013700.db2.gz CGIGCYBYWIJDPJ-ZDUSSCGKSA-N -1 1 323.360 1.330 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[C@@H](c3ncon3)C2)c1 ZINC001303522216 871132576 /nfs/dbraw/zinc/13/25/76/871132576.db2.gz LZFIALBACXBMRK-SNVBAGLBSA-N -1 1 301.302 1.526 20 0 DDADMM C[C@@]12CSC[C@@H]1CN(C(=O)c1ccc3n[n-]c(=S)n3c1)C2 ZINC001304648325 871232415 /nfs/dbraw/zinc/23/24/15/871232415.db2.gz GXSXHYVXSZOGMD-IINYFYTJSA-N -1 1 320.443 1.843 20 0 DDADMM CCN(CC1CC1)c1nnc(-c2nnn[n-]2)n1Cc1ccccc1 ZINC001340678022 871276794 /nfs/dbraw/zinc/27/67/94/871276794.db2.gz SOCRYWSPTUCFLM-UHFFFAOYSA-N -1 1 324.392 1.743 20 0 DDADMM CCN(CC1CC1)c1nnc(-c2nn[n-]n2)n1Cc1ccccc1 ZINC001340678022 871276807 /nfs/dbraw/zinc/27/68/07/871276807.db2.gz SOCRYWSPTUCFLM-UHFFFAOYSA-N -1 1 324.392 1.743 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cnc(OCc2ccccc2)cn1 ZINC001306848307 871365161 /nfs/dbraw/zinc/36/51/61/871365161.db2.gz NASMPESHVXVVLH-UHFFFAOYSA-N -1 1 311.301 1.737 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2cnn([C@@H]3CCOC3)c2)c1 ZINC001205205721 871427600 /nfs/dbraw/zinc/42/76/00/871427600.db2.gz SRKAVIAEXNOPRC-LLVKDONJSA-N -1 1 323.374 1.697 20 0 DDADMM C[C@@H](NC(=O)c1ccc(F)c([O-])c1)C(=O)NCc1ccccc1 ZINC001361856999 882510377 /nfs/dbraw/zinc/51/03/77/882510377.db2.gz TVGGCNDQTYFXNZ-LLVKDONJSA-N -1 1 316.332 1.966 20 0 DDADMM Cn1ccc2cc([N-]S(=O)(=O)c3ccc(O)c(N)c3)cnc21 ZINC001205735822 871765555 /nfs/dbraw/zinc/76/55/55/871765555.db2.gz NMBDBNYQNPKGJT-UHFFFAOYSA-N -1 1 318.358 1.662 20 0 DDADMM COC(=O)[C@H](C)CNC(=O)c1cc(Br)ccc1[O-] ZINC001361881924 882567889 /nfs/dbraw/zinc/56/78/89/882567889.db2.gz DRZRDCRVTQQLOQ-SSDOTTSWSA-N -1 1 316.151 1.694 20 0 DDADMM CC/C=C(/C)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001316769304 872014041 /nfs/dbraw/zinc/01/40/41/872014041.db2.gz VCEZQVZVCBXMLT-FFXRNRBCSA-N -1 1 321.425 1.727 20 0 DDADMM CCN(Cc1cnn(C)c1)C(=O)c1cnc(SC)[n-]c1=O ZINC001361907668 882617485 /nfs/dbraw/zinc/61/74/85/882617485.db2.gz QCQBHQWBCVOPMA-UHFFFAOYSA-N -1 1 307.379 1.300 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2ccc(F)c([O-])c2)cc1 ZINC001361915494 882632118 /nfs/dbraw/zinc/63/21/18/882632118.db2.gz HYAXXGSFGRBCPN-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM CCCNC(=O)c1cccc(NC(=O)CCCc2nn[n-]n2)c1 ZINC001361917003 882636649 /nfs/dbraw/zinc/63/66/49/882636649.db2.gz DHIMYHPYYGXHCA-UHFFFAOYSA-N -1 1 316.365 1.301 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001413848263 872962759 /nfs/dbraw/zinc/96/27/59/872962759.db2.gz DRVOPFGTPKIBIR-YFKPBYRVSA-N -1 1 314.289 1.125 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001413848263 872962751 /nfs/dbraw/zinc/96/27/51/872962751.db2.gz DRVOPFGTPKIBIR-YFKPBYRVSA-N -1 1 314.289 1.125 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ccc(OC)nn2)[n-]1 ZINC001361958178 882711113 /nfs/dbraw/zinc/71/11/13/882711113.db2.gz NXEXRMJKOPFENO-UHFFFAOYSA-N -1 1 318.289 1.055 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(C(=O)C2CCCCC2)CC1 ZINC001361963442 882718791 /nfs/dbraw/zinc/71/87/91/882718791.db2.gz ZDAOOABBACWNQF-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2c[nH]c(C)cc2=O)[n-]c1Cl ZINC001361972475 882738307 /nfs/dbraw/zinc/73/83/07/882738307.db2.gz XSMDJKNLOYVNOS-UHFFFAOYSA-N -1 1 324.724 1.489 20 0 DDADMM NC(=O)COc1cccc(CNC(=O)c2cccc([O-])c2F)c1 ZINC001361993478 882780531 /nfs/dbraw/zinc/78/05/31/882780531.db2.gz MQZOGLNOINAJOZ-UHFFFAOYSA-N -1 1 318.304 1.325 20 0 DDADMM CC(C)CC1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001208316353 873998718 /nfs/dbraw/zinc/99/87/18/873998718.db2.gz RHGPXAWWJGVTFD-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2ccc(F)nc2F)cs1 ZINC001208385848 874095119 /nfs/dbraw/zinc/09/51/19/874095119.db2.gz OZLLOPBSYYBKAL-UHFFFAOYSA-N -1 1 305.331 1.603 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(C1)C[C@@H](Oc1cnc[n-]c1=O)CO2 ZINC001227049231 882794492 /nfs/dbraw/zinc/79/44/92/882794492.db2.gz SKSPRBUZKHJILM-SNVBAGLBSA-N -1 1 323.349 1.339 20 0 DDADMM O=C(c1[nH]ccc1-c1ccccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001347813790 874280954 /nfs/dbraw/zinc/28/09/54/874280954.db2.gz SIWYGJYTJSFQRT-ZDUSSCGKSA-N -1 1 324.344 1.409 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(O)(C(F)F)CC1 ZINC001348253008 874451392 /nfs/dbraw/zinc/45/13/92/874451392.db2.gz PEOZILYAXXXATG-UHFFFAOYSA-N -1 1 319.333 1.012 20 0 DDADMM O=C(c1cccc2scnc21)N1CC[C@H](c2nn[n-]n2)C1 ZINC001349004029 874920452 /nfs/dbraw/zinc/92/04/52/874920452.db2.gz XSXKWAXMOHMSFC-QMMMGPOBSA-N -1 1 300.347 1.439 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CCc2nn[n-]n2)cc1Cl ZINC001362042333 882901403 /nfs/dbraw/zinc/90/14/03/882901403.db2.gz KBZNEJIPLWZHRH-UHFFFAOYSA-N -1 1 308.729 1.383 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](C)[C@H](O)c1ccccc1 ZINC001349858331 875417526 /nfs/dbraw/zinc/41/75/26/875417526.db2.gz QQLMQLDHLRFQDR-SKDRFNHKSA-N -1 1 319.386 1.631 20 0 DDADMM CCn1ncnc1CNCCCc1nc(C)c(C(=O)[O-])s1 ZINC001350646398 875806370 /nfs/dbraw/zinc/80/63/70/875806370.db2.gz HEZJPMBRSCTBEG-UHFFFAOYSA-N -1 1 309.395 1.484 20 0 DDADMM CO[C@@H](CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S)c1ccccc1 ZINC001350860875 875930816 /nfs/dbraw/zinc/93/08/16/875930816.db2.gz DYTHBJPIOUPHMV-NSHDSACASA-N -1 1 319.386 1.897 20 0 DDADMM CC(C)[C@@H](CNC(=O)C1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001378935499 875943926 /nfs/dbraw/zinc/94/39/26/875943926.db2.gz GETMNZQPFLBFNX-GFCCVEGCSA-N -1 1 309.414 1.321 20 0 DDADMM Cc1nn(C)c2cc(Nc3c(N)[nH]c(=S)[n-]c3=O)ccc12 ZINC001213755158 876045502 /nfs/dbraw/zinc/04/55/02/876045502.db2.gz ORTIHUVFBMDNPO-UHFFFAOYSA-N -1 1 302.363 1.992 20 0 DDADMM NC(=O)[C@@H]1CCCCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001362089998 883007239 /nfs/dbraw/zinc/00/72/39/883007239.db2.gz HEHYHCOQEMWHLO-AWEZNQCLSA-N -1 1 314.341 1.731 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCC[C@@H]2CCOC2)c1Cl ZINC000401212618 876607659 /nfs/dbraw/zinc/60/76/59/876607659.db2.gz IARDTCLOZUQGJY-SECBINFHSA-N -1 1 307.803 1.169 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c2ncccc12 ZINC001352587476 876819438 /nfs/dbraw/zinc/81/94/38/876819438.db2.gz ZTOLSFRHCCXXAJ-NSHDSACASA-N -1 1 308.345 1.686 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](CO)CC1CCCC1 ZINC001364853160 889550815 /nfs/dbraw/zinc/55/08/15/889550815.db2.gz FHWLXGJEDYDOCE-SNVBAGLBSA-N -1 1 320.436 1.371 20 0 DDADMM CC/C(C)=C\C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001352816110 876952514 /nfs/dbraw/zinc/95/25/14/876952514.db2.gz STXUSVALKNILJE-UCQJPZFISA-N -1 1 303.362 1.474 20 0 DDADMM CC(C)C(=O)N1CCCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001362116759 883081680 /nfs/dbraw/zinc/08/16/80/883081680.db2.gz DZOSPMQIDREPFF-UHFFFAOYSA-N -1 1 308.353 1.862 20 0 DDADMM CCC1(C(=O)N(CCNC(=O)c2ncccc2[O-])C2CC2)CC1 ZINC001374219590 912231014 /nfs/dbraw/zinc/23/10/14/912231014.db2.gz DPBYGSNKOHLSDW-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(Nc1cc(=O)[n-]c(Cl)n1)[C@H]1Cc2ccccc2CN1 ZINC001218646750 877636929 /nfs/dbraw/zinc/63/69/29/877636929.db2.gz BSQVTBOKKIZUGF-SNVBAGLBSA-N -1 1 304.737 1.489 20 0 DDADMM CC(C)[C@@H](F)C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001395562378 912285042 /nfs/dbraw/zinc/28/50/42/912285042.db2.gz TYMFSLHHXKHDNG-ZYHUDNBSSA-N -1 1 311.357 1.358 20 0 DDADMM Nc1cncc(S(=O)(=O)[N-]c2cc(F)c(F)cc2F)c1 ZINC000312253972 878234490 /nfs/dbraw/zinc/23/44/90/878234490.db2.gz FXXUSPMIYYSARK-UHFFFAOYSA-N -1 1 303.265 1.882 20 0 DDADMM C/C(=C/c1ccccc1)CN(C)c1nnc(-c2nnn[n-]2)n1C ZINC001355334873 878511811 /nfs/dbraw/zinc/51/18/11/878511811.db2.gz RGPHNLBEBXGOFJ-LUAWRHEFSA-N -1 1 310.365 1.535 20 0 DDADMM C/C(=C/c1ccccc1)CN(C)c1nnc(-c2nn[n-]n2)n1C ZINC001355334873 878511818 /nfs/dbraw/zinc/51/18/18/878511818.db2.gz RGPHNLBEBXGOFJ-LUAWRHEFSA-N -1 1 310.365 1.535 20 0 DDADMM C[C@@H]1CCN(c2nnc(-c3nnn[n-]3)n2C)[C@H]1c1ccccc1 ZINC001355336428 878511997 /nfs/dbraw/zinc/51/19/97/878511997.db2.gz ZWBSHJMUBNUWFQ-ZYHUDNBSSA-N -1 1 310.365 1.583 20 0 DDADMM C[C@@H]1CCN(c2nnc(-c3nn[n-]n3)n2C)[C@H]1c1ccccc1 ZINC001355336428 878512004 /nfs/dbraw/zinc/51/20/04/878512004.db2.gz ZWBSHJMUBNUWFQ-ZYHUDNBSSA-N -1 1 310.365 1.583 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](CC(C)C)n1ccnc1)c1nn[n-]n1 ZINC001362208140 883301787 /nfs/dbraw/zinc/30/17/87/883301787.db2.gz ZEIMWIYYJGVVEO-VXGBXAGGSA-N -1 1 305.386 1.641 20 0 DDADMM C[C@@H](O)C[C@H](CNC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC001362239114 883381953 /nfs/dbraw/zinc/38/19/53/883381953.db2.gz QNNWPXFJTUQWSD-TZMCWYRMSA-N -1 1 317.393 1.193 20 0 DDADMM O=C(NCCO)c1ccc(NC(=O)c2ccccc2[O-])cc1 ZINC001362301255 883515484 /nfs/dbraw/zinc/51/54/84/883515484.db2.gz BNVKJLJRAXNGGX-UHFFFAOYSA-N -1 1 300.314 1.367 20 0 DDADMM CC(C)n1cccc1C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001382162752 883550272 /nfs/dbraw/zinc/55/02/72/883550272.db2.gz OGPZPTWHCMNBED-NSHDSACASA-N -1 1 320.397 1.143 20 0 DDADMM CCc1ncc(Cl)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC001362372938 883667080 /nfs/dbraw/zinc/66/70/80/883667080.db2.gz FQIQNQFISRLKQW-QMMMGPOBSA-N -1 1 321.772 1.225 20 0 DDADMM COC[C@@H](C)Nc1ccccc1NC(=O)CCc1nn[n-]n1 ZINC001362406390 883738579 /nfs/dbraw/zinc/73/85/79/883738579.db2.gz KTULKUMPNQYVIN-SNVBAGLBSA-N -1 1 304.354 1.218 20 0 DDADMM COc1cc2ccc(=O)oc2c(O[C@@H]2CCN(C(C)=O)C2)c1[O-] ZINC001229219405 883879318 /nfs/dbraw/zinc/87/93/18/883879318.db2.gz UKPFFHIHUAKWII-LLVKDONJSA-N -1 1 319.313 1.507 20 0 DDADMM O=C(NCc1noc(C(F)(F)F)n1)c1ccc(F)c([O-])c1 ZINC001362475899 883895973 /nfs/dbraw/zinc/89/59/73/883895973.db2.gz PNDLFLWFSBYPOQ-UHFFFAOYSA-N -1 1 305.187 1.863 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1nnc(C(C)C)[nH]1 ZINC001362476457 883897801 /nfs/dbraw/zinc/89/78/01/883897801.db2.gz AKODELLWYMMAHZ-UHFFFAOYSA-N -1 1 322.394 1.384 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccc(F)c(F)c1)c1nn[n-]n1 ZINC001362500302 883951790 /nfs/dbraw/zinc/95/17/90/883951790.db2.gz YEIQPSWKELHTOI-UHFFFAOYSA-N -1 1 309.320 1.852 20 0 DDADMM O=C(NC1CCN([C@@H]2CCOC2=O)CC1)c1ccc([O-])c(F)c1 ZINC001362534515 884027144 /nfs/dbraw/zinc/02/71/44/884027144.db2.gz AEKFOVUORBHSEU-CYBMUJFWSA-N -1 1 322.336 1.041 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cccs1 ZINC001382513040 884164163 /nfs/dbraw/zinc/16/41/63/884164163.db2.gz YOEAXHAHZWPGJG-JTQLQIEISA-N -1 1 323.422 1.506 20 0 DDADMM CSc1ncc(C(=O)Nc2nnc(C3CC3)s2)c(=O)[n-]1 ZINC001362623329 884248962 /nfs/dbraw/zinc/24/89/62/884248962.db2.gz SQDPWSJLZCGFFK-UHFFFAOYSA-N -1 1 309.376 1.885 20 0 DDADMM CS(=O)(=O)CC1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001362632452 884274023 /nfs/dbraw/zinc/27/40/23/884274023.db2.gz LVQXDTOGTIVYDU-UHFFFAOYSA-N -1 1 315.366 1.428 20 0 DDADMM CC(C)(CO)[C@@H](NC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC001362632824 884275207 /nfs/dbraw/zinc/27/52/07/884275207.db2.gz PGDVSUURTYWXTO-AWEZNQCLSA-N -1 1 303.366 1.008 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cnn(C(C)C)c2)n[n-]1 ZINC001362657343 884339758 /nfs/dbraw/zinc/33/97/58/884339758.db2.gz BSRGFZQACBQLCU-VIFPVBQESA-N -1 1 320.353 1.250 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cnn(C(C)C)c2)[n-]1 ZINC001362657343 884339761 /nfs/dbraw/zinc/33/97/61/884339761.db2.gz BSRGFZQACBQLCU-VIFPVBQESA-N -1 1 320.353 1.250 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cnn(C(C)C)c2)n1 ZINC001362657343 884339780 /nfs/dbraw/zinc/33/97/80/884339780.db2.gz BSRGFZQACBQLCU-VIFPVBQESA-N -1 1 320.353 1.250 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](OC)C2CCCC2)n[n-]1 ZINC001362658368 884343032 /nfs/dbraw/zinc/34/30/32/884343032.db2.gz GOSHYMICZWKTQI-KOLCDFICSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](OC)C2CCCC2)[n-]1 ZINC001362658368 884343050 /nfs/dbraw/zinc/34/30/50/884343050.db2.gz GOSHYMICZWKTQI-KOLCDFICSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](OC)C2CCCC2)n1 ZINC001362658368 884343062 /nfs/dbraw/zinc/34/30/62/884343062.db2.gz GOSHYMICZWKTQI-KOLCDFICSA-N -1 1 324.381 1.364 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc([O-])c2F)CCS(=O)(=O)C1 ZINC001362661927 884351415 /nfs/dbraw/zinc/35/14/15/884351415.db2.gz ZZIJVQHKLCNSEX-VIFPVBQESA-N -1 1 301.339 1.038 20 0 DDADMM NC(=O)[C@@H]1CCCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001362669554 884373111 /nfs/dbraw/zinc/37/31/11/884373111.db2.gz FUGNIAVTSCBRIF-ZDUSSCGKSA-N -1 1 300.314 1.341 20 0 DDADMM CSCC[C@H](NC(=O)Cc1ccc(C)c(O)c1)c1nn[n-]n1 ZINC001362688285 884416727 /nfs/dbraw/zinc/41/67/27/884416727.db2.gz MKMBIJYATAFSLT-NSHDSACASA-N -1 1 321.406 1.367 20 0 DDADMM CC[C@]1(C(=O)N(C)c2nn[n-]n2)CCCN1C(=O)OC(C)(C)C ZINC001362717301 884477737 /nfs/dbraw/zinc/47/77/37/884477737.db2.gz HDBONCNRHYZCTI-CQSZACIVSA-N -1 1 324.385 1.342 20 0 DDADMM COc1ccccc1C[C@@](C)(CO)NC(=O)c1ccc([O-])cn1 ZINC001362741247 884525449 /nfs/dbraw/zinc/52/54/49/884525449.db2.gz JCQBDJJMMIXYNS-KRWDZBQOSA-N -1 1 316.357 1.519 20 0 DDADMM CCC[C@H]1C[C@@H](C(=O)NC(CC)(CC)c2nn[n-]n2)CCO1 ZINC001362782073 884624739 /nfs/dbraw/zinc/62/47/39/884624739.db2.gz BABYHFGKYPTRJN-RYUDHWBXSA-N -1 1 309.414 1.927 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)Oc1ccccn1)c1nn[n-]n1 ZINC001362785034 884632781 /nfs/dbraw/zinc/63/27/81/884632781.db2.gz KGZIXFIAIWMYIN-SNVBAGLBSA-N -1 1 304.354 1.194 20 0 DDADMM Cc1onc(CO)c1C(=O)[N-]c1nnc([C@@H]2CCCO2)s1 ZINC001362796525 884661848 /nfs/dbraw/zinc/66/18/48/884661848.db2.gz PGINVYBPWARYIM-QMMMGPOBSA-N -1 1 310.335 1.431 20 0 DDADMM O=C(c1s[n-]c(=O)c1Cl)N1CCC[C@@H](c2nc[nH]n2)C1 ZINC001362851058 884795266 /nfs/dbraw/zinc/79/52/66/884795266.db2.gz MWADVWLXRVJNRY-ZCFIWIBFSA-N -1 1 313.770 1.640 20 0 DDADMM Cc1noc([C@@H](C)N(C)C(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC001362856116 884808614 /nfs/dbraw/zinc/80/86/14/884808614.db2.gz FVRSXMJHXBQAQQ-SSDOTTSWSA-N -1 1 303.322 1.584 20 0 DDADMM CC(=O)CCCC(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001382955372 885028375 /nfs/dbraw/zinc/02/83/75/885028375.db2.gz MTXYTTLSJIESTP-NSHDSACASA-N -1 1 321.377 1.123 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(C(=O)OC(C)(C)C)n[nH]1 ZINC001362949164 885059738 /nfs/dbraw/zinc/05/97/38/885059738.db2.gz MZWFDGXCOWQNOH-UHFFFAOYSA-N -1 1 307.310 1.354 20 0 DDADMM CCc1[nH]c(=O)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1C ZINC001362993704 885174842 /nfs/dbraw/zinc/17/48/42/885174842.db2.gz IQZJKBNEFNRAJN-JTQLQIEISA-N -1 1 316.365 1.191 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1ccc([O-])cn1)CC1CCCC1 ZINC001363046491 885319875 /nfs/dbraw/zinc/31/98/75/885319875.db2.gz BZHUKMOTRZENTJ-LBPRGKRZSA-N -1 1 306.362 1.887 20 0 DDADMM CCc1ccc(C(=O)Nc2n[nH]cc2C(=O)NC2CC2)c([O-])c1 ZINC001363072056 885393462 /nfs/dbraw/zinc/39/34/62/885393462.db2.gz JWWRYVWXPDUHOJ-UHFFFAOYSA-N -1 1 314.345 1.822 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])CCN1c1cnccn1 ZINC001363079356 885411937 /nfs/dbraw/zinc/41/19/37/885411937.db2.gz PXNXDZPTGLCVBR-LLVKDONJSA-N -1 1 316.336 1.672 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(C(C)=O)cc1)c1nn[n-]n1 ZINC001363099756 885460575 /nfs/dbraw/zinc/46/05/75/885460575.db2.gz MMXZPVVVVZEXCZ-LBPRGKRZSA-N -1 1 319.390 1.627 20 0 DDADMM COC(=O)C1CN(C(=O)c2ccc(Br)c([O-])c2)C1 ZINC001363128854 885536568 /nfs/dbraw/zinc/53/65/68/885536568.db2.gz SYYMAUDESATWGD-UHFFFAOYSA-N -1 1 314.135 1.400 20 0 DDADMM COC(=O)[C@]1(C)C[C@@H](OC)CN1C(=O)c1ccc(F)c([O-])c1 ZINC001363217915 885739554 /nfs/dbraw/zinc/73/95/54/885739554.db2.gz IPRLBZLJNIWXDY-BMIGLBTASA-N -1 1 311.309 1.324 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(O)ccc2C)n[n-]1 ZINC001363232859 885777444 /nfs/dbraw/zinc/77/74/44/885777444.db2.gz USDGFSYSIMNLFR-VIFPVBQESA-N -1 1 318.333 1.486 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(O)ccc2C)[n-]1 ZINC001363232859 885777451 /nfs/dbraw/zinc/77/74/51/885777451.db2.gz USDGFSYSIMNLFR-VIFPVBQESA-N -1 1 318.333 1.486 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(O)ccc2C)n1 ZINC001363232859 885777461 /nfs/dbraw/zinc/77/74/61/885777461.db2.gz USDGFSYSIMNLFR-VIFPVBQESA-N -1 1 318.333 1.486 20 0 DDADMM CN(C)C(=O)C[C@H]1C[C@@H]2CN(Cc3ccc(C(=O)[O-])o3)C[C@@H]2O1 ZINC001277560203 885850615 /nfs/dbraw/zinc/85/06/15/885850615.db2.gz SJRVTRLGOIPUFX-QKCSRTOESA-N -1 1 322.361 1.045 20 0 DDADMM COc1ccc(CN2CCC(F)(F)[C@H](CO)C2)cc1C(=O)[O-] ZINC001231750077 885854741 /nfs/dbraw/zinc/85/47/41/885854741.db2.gz WAYWQJJLUSQWNO-NSHDSACASA-N -1 1 315.316 1.843 20 0 DDADMM CCCc1ncc(C(=O)N(Cc2nn[n-]n2)CC(C)C)s1 ZINC001363278319 885892519 /nfs/dbraw/zinc/89/25/19/885892519.db2.gz ZXUDSYNHQUPVTN-UHFFFAOYSA-N -1 1 308.411 1.907 20 0 DDADMM Cc1nc(CCCC(=O)NC2(c3nn[n-]n3)CCC2)cs1 ZINC001363278456 885896517 /nfs/dbraw/zinc/89/65/17/885896517.db2.gz SWCSIRFGROTJDA-UHFFFAOYSA-N -1 1 306.395 1.483 20 0 DDADMM CN(C)C(=O)N[C@H]1CCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001363282088 885904107 /nfs/dbraw/zinc/90/41/07/885904107.db2.gz NIQNUJMBTSYTDS-JTQLQIEISA-N -1 1 309.341 1.407 20 0 DDADMM COC[C@H](NC(=O)c1cscc1C(F)F)c1nn[n-]n1 ZINC001363419175 886285841 /nfs/dbraw/zinc/28/58/41/886285841.db2.gz VMQVWSLDAYDCJP-ZETCQYMHSA-N -1 1 303.294 1.316 20 0 DDADMM COc1ccc(CCC(=O)NC2(c3nn[n-]n3)CCC2)cc1F ZINC001363545790 886601800 /nfs/dbraw/zinc/60/18/00/886601800.db2.gz BQKVONDDSYUVFE-UHFFFAOYSA-N -1 1 319.340 1.476 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001363557758 886629686 /nfs/dbraw/zinc/62/96/86/886629686.db2.gz ITLCGEZNJUYWSY-WHOHXGKFSA-N -1 1 318.377 1.691 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001363557758 886629703 /nfs/dbraw/zinc/62/97/03/886629703.db2.gz ITLCGEZNJUYWSY-WHOHXGKFSA-N -1 1 318.377 1.691 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001363557758 886629723 /nfs/dbraw/zinc/62/97/23/886629723.db2.gz ITLCGEZNJUYWSY-WHOHXGKFSA-N -1 1 318.377 1.691 20 0 DDADMM CCOC(=O)CN1CCN(Cc2ccc(F)c([O-])c2F)CC1 ZINC001232931371 886682596 /nfs/dbraw/zinc/68/25/96/886682596.db2.gz NBOOKWAZRYCYOR-UHFFFAOYSA-N -1 1 314.332 1.351 20 0 DDADMM CC1(C)CN(C2CN(Cc3cc([O-])c(F)c(F)c3)C2)CCO1 ZINC001232973411 886703083 /nfs/dbraw/zinc/70/30/83/886703083.db2.gz WWVOYKUUWGXNRT-UHFFFAOYSA-N -1 1 312.360 1.965 20 0 DDADMM COC(=O)CO[C@H]1CCN(Cc2cc([O-])c(F)c(F)c2)C1 ZINC001232975151 886705436 /nfs/dbraw/zinc/70/54/36/886705436.db2.gz XBIGKINYFAMUEC-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1Cc2ccnn2CC[C@@H]1CO ZINC001233037253 886744123 /nfs/dbraw/zinc/74/41/23/886744123.db2.gz AHXQIBPCIFODDL-GFCCVEGCSA-N -1 1 308.769 1.404 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@@H](O)C2CCCCC2)[n-]c1=O ZINC001363608477 886753493 /nfs/dbraw/zinc/75/34/93/886753493.db2.gz XBNMUZHDIHWOIP-LBPRGKRZSA-N -1 1 323.393 1.483 20 0 DDADMM CCc1nn(C)cc1C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001363612066 886763222 /nfs/dbraw/zinc/76/32/22/886763222.db2.gz ADEOAGUAZFBXKO-UHFFFAOYSA-N -1 1 319.365 1.152 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1CCC[C@@H](C)C1 ZINC001363756395 887150957 /nfs/dbraw/zinc/15/09/57/887150957.db2.gz XGAQVVSDBFIAHN-ZJUUUORDSA-N -1 1 315.395 1.301 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1cc(Cl)ccc1C ZINC001363830143 887335932 /nfs/dbraw/zinc/33/59/32/887335932.db2.gz COBTVRUXSIEPQY-UHFFFAOYSA-N -1 1 323.736 1.788 20 0 DDADMM Cc1nnc2n1CCN(C(=O)c1cnc(C3CC3)[n-]c1=O)[C@@H]2C ZINC001363990934 887680985 /nfs/dbraw/zinc/68/09/85/887680985.db2.gz COYZKAGZJSHKQH-MRVPVSSYSA-N -1 1 314.349 1.177 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2ccns2)CN1c1ccccc1 ZINC001364041845 887780127 /nfs/dbraw/zinc/78/01/27/887780127.db2.gz BHCFZALPIOXOFT-SNVBAGLBSA-N -1 1 323.399 1.227 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccoc2C2CC2)n[n-]1 ZINC001364066244 887838840 /nfs/dbraw/zinc/83/88/40/887838840.db2.gz SVEZAXMUZMCRLM-UHFFFAOYSA-N -1 1 304.306 1.382 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccoc2C2CC2)n1 ZINC001364066244 887838849 /nfs/dbraw/zinc/83/88/49/887838849.db2.gz SVEZAXMUZMCRLM-UHFFFAOYSA-N -1 1 304.306 1.382 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)C1CCC(OC[C@@H]2CCCO2)CC1 ZINC001364088349 887886144 /nfs/dbraw/zinc/88/61/44/887886144.db2.gz CXIJXLXHPRVAPF-MCIGGMRASA-N -1 1 309.366 1.808 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1OC[C@@H](C)OC[C@@H](C)OC ZINC001234606758 888137080 /nfs/dbraw/zinc/13/70/80/888137080.db2.gz MGEDMRVEBFOXJT-RKDXNWHRSA-N -1 1 317.313 1.532 20 0 DDADMM CC[C@@H](O)[C@@H](C)C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001364322335 888376805 /nfs/dbraw/zinc/37/68/05/888376805.db2.gz SCPCFEGIXBONKS-GHMZBOCLSA-N -1 1 323.393 1.483 20 0 DDADMM CSc1ncc(C(=O)NCc2[nH]cc(C)c(=O)c2C)c(=O)[n-]1 ZINC001364361955 888459956 /nfs/dbraw/zinc/45/99/56/888459956.db2.gz YVOPFQWVPAHSJQ-UHFFFAOYSA-N -1 1 320.374 1.139 20 0 DDADMM COCC[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)c2ccccc21 ZINC001364527033 888849724 /nfs/dbraw/zinc/84/97/24/888849724.db2.gz ANKRHLTUKAZUTC-GFCCVEGCSA-N -1 1 302.378 1.639 20 0 DDADMM COc1cccc2c1[C@@H](C(=O)NC1(c3nn[n-]n3)CCC1)CC2 ZINC001364662465 889149905 /nfs/dbraw/zinc/14/99/05/889149905.db2.gz KHQRIZHVGBEYMC-NSHDSACASA-N -1 1 313.361 1.434 20 0 DDADMM CC1=CC[C@@](C)(C(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)CC1 ZINC001278017819 889382349 /nfs/dbraw/zinc/38/23/49/889382349.db2.gz PTTVRFKLJZYEMV-BLLLJJGKSA-N -1 1 321.425 1.583 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC1CCC(OC)CC1 ZINC001364799074 889439374 /nfs/dbraw/zinc/43/93/74/889439374.db2.gz QZHDNKLMSURXLI-UHFFFAOYSA-N -1 1 320.436 1.635 20 0 DDADMM O=C(c1ncoc1C1CC1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364821441 889484603 /nfs/dbraw/zinc/48/46/03/889484603.db2.gz ZXKWKQBCCZEASM-VIFPVBQESA-N -1 1 302.338 1.160 20 0 DDADMM COc1cnc(C2(NS(=O)(=O)C3CC3)CCCC2)[n-]c1=O ZINC001364980207 889808848 /nfs/dbraw/zinc/80/88/48/889808848.db2.gz MMHNLZPJXZSAQE-UHFFFAOYSA-N -1 1 313.379 1.042 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)Nc1ccncc1F ZINC001365044774 889967485 /nfs/dbraw/zinc/96/74/85/889967485.db2.gz VRVUZBBMWWYAGV-UHFFFAOYSA-N -1 1 311.263 1.991 20 0 DDADMM COC(=O)C1CN(Cc2c(Br)ccc([O-])c2F)C1 ZINC001238304530 890164749 /nfs/dbraw/zinc/16/47/49/890164749.db2.gz FFGWSXWTOYKOCU-UHFFFAOYSA-N -1 1 318.142 1.899 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1cncs1)c1cccc(F)c1F ZINC001365461018 890837509 /nfs/dbraw/zinc/83/75/09/890837509.db2.gz PKZBAHHYSAFSLL-QMMMGPOBSA-N -1 1 320.342 1.433 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnn([C@H]4CCOC4)c3)nc2[n-]1 ZINC001240640061 891010393 /nfs/dbraw/zinc/01/03/93/891010393.db2.gz KNNJTPYIXNOVPT-JTQLQIEISA-N -1 1 313.317 1.569 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NCc2nnc(C(C)C)s2)n[n-]1 ZINC001365604580 891142795 /nfs/dbraw/zinc/14/27/95/891142795.db2.gz AYXOHGFEMIQZPM-MRVPVSSYSA-N -1 1 324.410 1.807 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2nnc(C(C)C)s2)n1 ZINC001365604580 891142804 /nfs/dbraw/zinc/14/28/04/891142804.db2.gz AYXOHGFEMIQZPM-MRVPVSSYSA-N -1 1 324.410 1.807 20 0 DDADMM Cc1cc2c(c(-c3ccc(N4CCCC4)nc3)n1)C(=O)[N-]C2=O ZINC001241375250 891154552 /nfs/dbraw/zinc/15/45/52/891154552.db2.gz RYVUKVMFUVTGEF-UHFFFAOYSA-N -1 1 308.341 1.936 20 0 DDADMM c1cc(OC2CCOCC2)ncc1-c1ccc(-c2nnn[n-]2)nc1 ZINC001241699480 891245381 /nfs/dbraw/zinc/24/53/81/891245381.db2.gz RAJXXJGIKAHZHO-UHFFFAOYSA-N -1 1 324.344 1.882 20 0 DDADMM c1cc(OC2CCOCC2)ncc1-c1ccc(-c2nn[n-]n2)nc1 ZINC001241699480 891245397 /nfs/dbraw/zinc/24/53/97/891245397.db2.gz RAJXXJGIKAHZHO-UHFFFAOYSA-N -1 1 324.344 1.882 20 0 DDADMM O=[P@@]([O-])(O)c1ccc(-c2ccc(-n3ccnn3)cc2)cc1 ZINC001242417387 891422904 /nfs/dbraw/zinc/42/29/04/891422904.db2.gz ANLDJZNIRGFXIX-UHFFFAOYSA-N -1 1 301.242 1.737 20 0 DDADMM O=[P@]([O-])(O)c1ccc(-c2ccc(-n3ccnn3)cc2)cc1 ZINC001242417387 891422914 /nfs/dbraw/zinc/42/29/14/891422914.db2.gz ANLDJZNIRGFXIX-UHFFFAOYSA-N -1 1 301.242 1.737 20 0 DDADMM O=C1Cc2cc(-c3cnc(C(F)(F)F)nc3)ccc2C(=O)[N-]1 ZINC001242664263 891478599 /nfs/dbraw/zinc/47/85/99/891478599.db2.gz UHBGVNJUWOBKPT-UHFFFAOYSA-N -1 1 307.231 1.975 20 0 DDADMM C[C@@H](CNC(=O)C1(C(F)F)CC1)NC(=O)c1ncccc1[O-] ZINC001386781427 891691989 /nfs/dbraw/zinc/69/19/89/891691989.db2.gz ITVFNDPVCQBZNK-QMMMGPOBSA-N -1 1 313.304 1.067 20 0 DDADMM CN(CCNC(=O)c1ccc(Cl)o1)C(=O)c1ncccc1[O-] ZINC001386803873 891747995 /nfs/dbraw/zinc/74/79/95/891747995.db2.gz XEHQTWRWGISJMU-UHFFFAOYSA-N -1 1 323.736 1.536 20 0 DDADMM Cc1c(C(=O)[O-])cccc1-c1nccnc1N1CCN(C)CC1 ZINC001245297676 892069680 /nfs/dbraw/zinc/06/96/80/892069680.db2.gz QHJJNPOUJZGGCI-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM CCCN(CCNC(=O)[C@H](C)CC)C(=O)c1ncccc1[O-] ZINC001387409996 893034883 /nfs/dbraw/zinc/03/48/83/893034883.db2.gz UIPPECKGKYXPMJ-GFCCVEGCSA-N -1 1 307.394 1.802 20 0 DDADMM C[C@@H](CNC(=O)C[C@H](C)C1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001366333242 893199283 /nfs/dbraw/zinc/19/92/83/893199283.db2.gz QDOJESQGSCZZTO-RYUDHWBXSA-N -1 1 323.441 1.711 20 0 DDADMM COc1ncc(CC(=O)C(C)(C)C)cc1[N-]S(C)(=O)=O ZINC001249920683 894168362 /nfs/dbraw/zinc/16/83/62/894168362.db2.gz NTNFFMRUTPNBIO-UHFFFAOYSA-N -1 1 300.380 1.619 20 0 DDADMM Nc1ccc(F)cc1S(=O)(=O)[N-]c1ccc2c(c1)NC(=O)C2 ZINC001250321333 894234270 /nfs/dbraw/zinc/23/42/70/894234270.db2.gz ZAZIBBSDGDIXKL-UHFFFAOYSA-N -1 1 321.333 1.703 20 0 DDADMM CN(C)S(=O)(=O)Nc1cc(Br)cc(F)c1[O-] ZINC001251098898 894634945 /nfs/dbraw/zinc/63/49/45/894634945.db2.gz YWCQFSHOJFPQGX-UHFFFAOYSA-N -1 1 313.148 1.512 20 0 DDADMM CN1CCN(c2cncc([N-]S(=O)(=O)C(F)(F)F)c2)CC1 ZINC001252293342 895068641 /nfs/dbraw/zinc/06/86/41/895068641.db2.gz SVQCRAOPSQIZQO-UHFFFAOYSA-N -1 1 324.328 1.095 20 0 DDADMM CCCC(=O)NC[C@@H](CC(C)C)NC(=O)c1ncccc1[O-] ZINC001388516395 895372535 /nfs/dbraw/zinc/37/25/35/895372535.db2.gz GOCIHRPKQZEWRP-GFCCVEGCSA-N -1 1 307.394 1.848 20 0 DDADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)CCCF ZINC001388857625 896062541 /nfs/dbraw/zinc/06/25/41/896062541.db2.gz VWQVUKFUEXWWQX-GFCCVEGCSA-N -1 1 323.368 1.457 20 0 DDADMM Cc1ccc([C@H](C)C(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)o1 ZINC001367388013 896634323 /nfs/dbraw/zinc/63/43/23/896634323.db2.gz GLEQCWNIKHDJOO-KOLCDFICSA-N -1 1 321.381 1.152 20 0 DDADMM CC(C)CCC(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001389588173 897575640 /nfs/dbraw/zinc/57/56/40/897575640.db2.gz AUHYXUCYOJPZKB-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cccc(C)c2)cc1C(N)=O ZINC001256929028 897638501 /nfs/dbraw/zinc/63/85/01/897638501.db2.gz KLWPMZVALRZELU-UHFFFAOYSA-N -1 1 320.370 1.903 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2c(C)nn(C)c2Cl)c(C)o1 ZINC001258341324 898143537 /nfs/dbraw/zinc/14/35/37/898143537.db2.gz NXOIEOIDPSBWMU-UHFFFAOYSA-N -1 1 318.786 1.465 20 0 DDADMM O=S(=O)([N-]C1(c2cccnn2)CC1)c1cc(F)ccc1F ZINC001258949707 898377240 /nfs/dbraw/zinc/37/72/40/898377240.db2.gz MZUYIMBXARBIHH-UHFFFAOYSA-N -1 1 311.313 1.722 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]c2ccnc(Cl)c2Cl)c1 ZINC001258993248 898398442 /nfs/dbraw/zinc/39/84/42/898398442.db2.gz NMYOGLWNGUQRLO-UHFFFAOYSA-N -1 1 307.162 1.923 20 0 DDADMM O=S(=O)([N-]C1CC2(COC2)C1)c1cc(F)c(F)cc1F ZINC001259043084 898438347 /nfs/dbraw/zinc/43/83/47/898438347.db2.gz OFVSGBSWSLQBOY-UHFFFAOYSA-N -1 1 307.293 1.561 20 0 DDADMM C[C@@]1(O)C[C@H](C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC001259081268 898455966 /nfs/dbraw/zinc/45/59/66/898455966.db2.gz JHXPUFQPQUMERM-OGXFKERWSA-N -1 1 309.309 1.543 20 0 DDADMM O=S(=O)(CCc1ccccc1)[N-]c1ncccc1CCO ZINC001259733450 898769702 /nfs/dbraw/zinc/76/97/02/898769702.db2.gz XIJFVZRQOLXULQ-UHFFFAOYSA-N -1 1 306.387 1.601 20 0 DDADMM COC(=O)c1cccc(F)c1[N-]S(=O)(=O)C1CCOCC1 ZINC001259915285 898924721 /nfs/dbraw/zinc/92/47/21/898924721.db2.gz XKVUVGNEYKPTRQ-UHFFFAOYSA-N -1 1 317.338 1.533 20 0 DDADMM COC(=O)c1ccc2c(c1)[C@@H]([N-]S(=O)(=O)C(F)F)CCC2 ZINC001259964560 898989494 /nfs/dbraw/zinc/98/94/94/898989494.db2.gz XDAZOEHTUYSYQA-NSHDSACASA-N -1 1 319.329 1.993 20 0 DDADMM COc1cncc(NS(=O)(=O)c2cccc(C(=O)[O-])c2)c1 ZINC001260183831 899076160 /nfs/dbraw/zinc/07/61/60/899076160.db2.gz CQVPVGDFDXVEEC-UHFFFAOYSA-N -1 1 308.315 1.589 20 0 DDADMM Cc1cc(Cl)cc(C(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)c1 ZINC001390443407 899417146 /nfs/dbraw/zinc/41/71/46/899417146.db2.gz ZNOZIRPPRFORBC-SECBINFHSA-N -1 1 323.784 1.380 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@H]1CCO[C@@H](CC)C1 ZINC001261270515 899443291 /nfs/dbraw/zinc/44/32/91/899443291.db2.gz CCYQMOWTLWFSKL-RYUDHWBXSA-N -1 1 301.383 1.971 20 0 DDADMM O=C([O-])[C@]1(C(=O)NCCCCN2CCOCC2)CC=CCC1 ZINC001261530481 899623172 /nfs/dbraw/zinc/62/31/72/899623172.db2.gz FKKMOAFIUGLQRJ-MRXNPFEDSA-N -1 1 310.394 1.026 20 0 DDADMM COCCCOc1cccc(CNCc2cc(C(=O)[O-])n[nH]2)c1 ZINC001262159095 899992596 /nfs/dbraw/zinc/99/25/96/899992596.db2.gz JIDOKCCKJYBJAV-UHFFFAOYSA-N -1 1 319.361 1.813 20 0 DDADMM O=C([O-])[C@H](C[NH2+]Cc1ccn[nH]1)Cc1cc(F)c(F)c(F)c1 ZINC001262163292 899994719 /nfs/dbraw/zinc/99/47/19/899994719.db2.gz NWHUALAIPWPTCS-VIFPVBQESA-N -1 1 313.279 1.860 20 0 DDADMM CC1(C)CC[C@H](CO)N(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC001262639488 900282492 /nfs/dbraw/zinc/28/24/92/900282492.db2.gz DDQDCTRIDNXIIB-LLVKDONJSA-N -1 1 320.418 1.641 20 0 DDADMM C[C@H](C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1)C1CCC1 ZINC001391771250 902566085 /nfs/dbraw/zinc/56/60/85/902566085.db2.gz VNVFCKLXPIXXAX-NSHDSACASA-N -1 1 321.425 1.465 20 0 DDADMM CC1(C)CCC[C@@H]1C(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370212113 902742736 /nfs/dbraw/zinc/74/27/36/902742736.db2.gz KSRADVOZYOXBCU-NEPJUHHUSA-N -1 1 321.425 1.321 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H](CO)C(C)(C)C ZINC000394002220 902908573 /nfs/dbraw/zinc/90/85/73/902908573.db2.gz KDBWRLZTWABKFJ-ZETCQYMHSA-N -1 1 312.844 1.790 20 0 DDADMM CCC(C)(CC)C(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C(C)C ZINC001392251599 903653638 /nfs/dbraw/zinc/65/36/38/903653638.db2.gz KMMZHFOQLZGSOH-LLVKDONJSA-N -1 1 311.430 1.567 20 0 DDADMM CC(C)=CC(=O)N[C@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001280739452 903974509 /nfs/dbraw/zinc/97/45/09/903974509.db2.gz QCINAYHXFTWPTL-STQMWFEESA-N -1 1 317.389 1.911 20 0 DDADMM COCCN(CCNC(=O)C(C)(C)C)C(=O)c1ncccc1[O-] ZINC001371151958 904359194 /nfs/dbraw/zinc/35/91/94/904359194.db2.gz WOJZAXDHFXBBSK-UHFFFAOYSA-N -1 1 323.393 1.038 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)C1(F)CCCC1 ZINC001294037137 914874682 /nfs/dbraw/zinc/87/46/82/914874682.db2.gz LHGPKQWEKXEHKT-UHFFFAOYSA-N -1 1 323.368 1.648 20 0 DDADMM CC(C)(C(=O)NCCCNC(=O)c1ncccc1[O-])C1CCC1 ZINC001283233362 906953850 /nfs/dbraw/zinc/95/38/50/906953850.db2.gz SLCXGNSHEZKHJP-UHFFFAOYSA-N -1 1 319.405 1.850 20 0 DDADMM CC(C)C(=O)NCCCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001283495883 907461883 /nfs/dbraw/zinc/46/18/83/907461883.db2.gz GTHOHQDEZDLFRP-UHFFFAOYSA-N -1 1 320.393 1.294 20 0 DDADMM CCO[C@H](C)C(=O)NCCCN(CC)C(=O)c1ncccc1[O-] ZINC001283697584 907811105 /nfs/dbraw/zinc/81/11/05/907811105.db2.gz UYDKWIDLKRWEKB-GFCCVEGCSA-N -1 1 323.393 1.181 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H](C)C1CC1 ZINC001373262232 909341303 /nfs/dbraw/zinc/34/13/03/909341303.db2.gz UMGHCIPBFAFSCE-GHMZBOCLSA-N -1 1 305.378 1.458 20 0 DDADMM CCCC[C@H](C)C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001373413191 909793978 /nfs/dbraw/zinc/79/39/78/909793978.db2.gz GXGOPUOSTAJFHA-LBPRGKRZSA-N -1 1 307.394 1.802 20 0 DDADMM CC(C)[C@H](C)C(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001285531103 910745610 /nfs/dbraw/zinc/74/56/10/910745610.db2.gz MWKMVOYHGAHTAG-ITKZLYELSA-N -1 1 305.378 1.482 20 0 DDADMM CCC[C@@H](CC)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001397155994 915301280 /nfs/dbraw/zinc/30/12/80/915301280.db2.gz MMGMNDHFSSLMMI-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NCCC1CCN(C(=O)C2CC2)CC1)c1ncccc1[O-] ZINC001375398728 915813853 /nfs/dbraw/zinc/81/38/53/915813853.db2.gz NTPAKEBORGYWRK-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM O=C(c1cnc2ccccc2c1O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001296796605 916575923 /nfs/dbraw/zinc/57/59/23/916575923.db2.gz GRKYVJGWOMVLND-SNVBAGLBSA-N -1 1 324.344 1.061 20 0 DDADMM CCC[C@H](OC)C(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001375696202 916730670 /nfs/dbraw/zinc/73/06/70/916730670.db2.gz NUTKLUQBPCEUOB-AAEUAGOBSA-N -1 1 323.393 1.084 20 0 DDADMM CCCCC1(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001376334183 918256965 /nfs/dbraw/zinc/25/69/65/918256965.db2.gz VJBBRBHIRHRCBF-NSHDSACASA-N -1 1 307.398 1.171 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3[C@H](C)[C@H]3c3ccccc3)nc2n1 ZINC000622870318 365550488 /nfs/dbraw/zinc/55/04/88/365550488.db2.gz XVVOQBLVISTMGT-DDTOSNHZSA-N -1 1 323.356 1.714 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@H](C)n3cccc3)nc2n1 ZINC000622871126 365551969 /nfs/dbraw/zinc/55/19/69/365551969.db2.gz RIPNTBACVWTFSY-SNVBAGLBSA-N -1 1 300.322 1.117 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CCC[C@@H]3C)nc2n1 ZINC000622994155 365586719 /nfs/dbraw/zinc/58/67/19/365586719.db2.gz GABFAZXGQWDTSC-UWVGGRQHSA-N -1 1 303.366 1.745 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cccc4c3CCC4)nc2n1 ZINC000622994806 365588336 /nfs/dbraw/zinc/58/83/36/365588336.db2.gz CSZIHJWSXQKMAB-UHFFFAOYSA-N -1 1 323.356 1.721 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3CCCCCC3)nc2n1 ZINC000622995164 365588962 /nfs/dbraw/zinc/58/89/62/365588962.db2.gz QUZIVIBHZPRJJD-UHFFFAOYSA-N -1 1 303.366 1.889 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)C[C@@H]3CCCO3)cnc2n1 ZINC000076967820 185062455 /nfs/dbraw/zinc/06/24/55/185062455.db2.gz JUEVUWUVPLIEPT-NSHDSACASA-N -1 1 301.346 1.895 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N(C)C[C@@H]1CCCO1)c2=O ZINC000076967820 185062456 /nfs/dbraw/zinc/06/24/56/185062456.db2.gz JUEVUWUVPLIEPT-NSHDSACASA-N -1 1 301.346 1.895 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)NCc2cccnc2)n1 ZINC000096608844 185384590 /nfs/dbraw/zinc/38/45/90/185384590.db2.gz RTPVJPKDCWPJAZ-UHFFFAOYSA-N -1 1 318.402 1.526 20 0 DDADMM O=C(c1ccnn1C(F)F)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614389515 361833214 /nfs/dbraw/zinc/83/32/14/361833214.db2.gz NWPBXUIVCGJOSS-ZETCQYMHSA-N -1 1 313.264 1.387 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1cncnc1 ZINC000614389765 361832490 /nfs/dbraw/zinc/83/24/90/361832490.db2.gz PBWMZLMHCZVPHY-ZJUUUORDSA-N -1 1 303.322 1.075 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(F)cc2[nH]cnc21)c1nn[n-]n1 ZINC000136669328 539195419 /nfs/dbraw/zinc/19/54/19/539195419.db2.gz CMPGSPWSKKJLPT-SECBINFHSA-N -1 1 303.301 1.486 20 0 DDADMM C[C@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCS1 ZINC000191899074 539258738 /nfs/dbraw/zinc/25/87/38/539258738.db2.gz ZKUMYHBMEQWAPT-JTQLQIEISA-N -1 1 303.387 1.891 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(=O)NC ZINC000452085140 529644058 /nfs/dbraw/zinc/64/40/58/529644058.db2.gz NPJYUHSGDOWVNP-VIFPVBQESA-N -1 1 308.762 1.282 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(F)cc1F)[C@@H](O)C(F)F ZINC000295699711 529646018 /nfs/dbraw/zinc/64/60/18/529646018.db2.gz JXQGJNXLKNMMNQ-WCBMZHEXSA-N -1 1 315.288 1.648 20 0 DDADMM COc1cccc([C@@H](CNC(=O)C2(C(=O)[O-])CC2)N(C)C)c1 ZINC000315030174 539294978 /nfs/dbraw/zinc/29/49/78/539294978.db2.gz NLSUALPIEIUEJH-CYBMUJFWSA-N -1 1 306.362 1.279 20 0 DDADMM COC(=O)c1ccc2c(c1)CN(C(=O)c1cccc3nn[nH]c31)C2 ZINC000615131367 362165583 /nfs/dbraw/zinc/16/55/83/362165583.db2.gz NPSDAGHQWBCUSK-UHFFFAOYSA-N -1 1 322.324 1.901 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)N1CCCC1)C(=O)Nc1c(C)[n-][nH]c1=O ZINC000615227024 362204422 /nfs/dbraw/zinc/20/44/22/362204422.db2.gz OFFJJNURSKOPOL-KOLCDFICSA-N -1 1 323.397 1.582 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCN(c2ccccc2F)CC1 ZINC000633052198 422735086 /nfs/dbraw/zinc/73/50/86/422735086.db2.gz RIQWPVJORUJKNC-UHFFFAOYSA-N -1 1 318.356 1.010 20 0 DDADMM CNC(=O)N[C@@H]1CCN(C(=O)c2ccc3ccccc3c2[O-])C1 ZINC000436810828 529888878 /nfs/dbraw/zinc/88/88/78/529888878.db2.gz YXBIIDIJWVETTI-GFCCVEGCSA-N -1 1 313.357 1.689 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451360904 529905012 /nfs/dbraw/zinc/90/50/12/529905012.db2.gz NMHYIRRTVXVMTA-APPZFPTMSA-N -1 1 322.839 1.595 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451360907 529905702 /nfs/dbraw/zinc/90/57/02/529905702.db2.gz NMHYIRRTVXVMTA-VXNVDRBHSA-N -1 1 322.839 1.595 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)[N-]S(=O)(=O)c1ccco1 ZINC000275437126 282322390 /nfs/dbraw/zinc/32/23/90/282322390.db2.gz GHFHZWLGSLBCFV-LURJTMIESA-N -1 1 301.242 1.052 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)[C@@H](C)[C@@H]2C)co1 ZINC000332637203 530025121 /nfs/dbraw/zinc/02/51/21/530025121.db2.gz AEZJXKSJWOJQGJ-AEJSXWLSSA-N -1 1 300.380 1.304 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@]2(C)CC2(F)F)c1 ZINC000421909494 530031886 /nfs/dbraw/zinc/03/18/86/530031886.db2.gz PEFWVSRCOHEPCZ-NSHDSACASA-N -1 1 320.317 1.284 20 0 DDADMM CN(C)C(=O)[C@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000616267875 362610933 /nfs/dbraw/zinc/61/09/33/362610933.db2.gz XABHYZARXCTULS-LBPRGKRZSA-N -1 1 313.357 1.491 20 0 DDADMM COC[C@H](OC)C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000616251334 362603374 /nfs/dbraw/zinc/60/33/74/362603374.db2.gz RKCHHOLRRLRXNV-NSHDSACASA-N -1 1 311.288 1.377 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000358332870 299123466 /nfs/dbraw/zinc/12/34/66/299123466.db2.gz DVPACWNETAZUAJ-LBPRGKRZSA-N -1 1 304.350 1.171 20 0 DDADMM C[C@@H]1COCC[C@@H]1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000286789962 219167440 /nfs/dbraw/zinc/16/74/40/219167440.db2.gz JQVDRMBDTIKHDM-NXEZZACHSA-N -1 1 306.391 1.420 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@]2(CC)CCOC2)o1 ZINC000616460767 362710126 /nfs/dbraw/zinc/71/01/26/362710126.db2.gz WXZAFBNARJJQLY-ZDUSSCGKSA-N -1 1 317.363 1.304 20 0 DDADMM NS(=O)(=O)c1cccc(-c2nc3cccnc3c(=O)[n-]2)c1 ZINC000491671734 539545284 /nfs/dbraw/zinc/54/52/84/539545284.db2.gz NMKLZDGGWTXIJZ-UHFFFAOYSA-N -1 1 302.315 1.045 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C1(C)C ZINC000358383723 299138214 /nfs/dbraw/zinc/13/82/14/299138214.db2.gz KRHZPFMRZCCSME-MNOVXSKESA-N -1 1 304.350 1.217 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)C[C@@H]3CCC(=O)N3C)[nH][n-]2)s1 ZINC000616810918 362829434 /nfs/dbraw/zinc/82/94/34/362829434.db2.gz BNWOEJHEZYLMNC-JTQLQIEISA-N -1 1 318.402 1.818 20 0 DDADMM C[C@@H](C(=O)[O-])[C@H](C)NCc1nc(COc2ccc(F)cc2)no1 ZINC000564462222 303999830 /nfs/dbraw/zinc/99/98/30/303999830.db2.gz MIROHDBKMVXNMU-ZJUUUORDSA-N -1 1 323.324 1.987 20 0 DDADMM O=C(OCc1ccccc1)[C@H]1CCCCN1Cc1nc(=O)[n-][nH]1 ZINC000279907902 215225907 /nfs/dbraw/zinc/22/59/07/215225907.db2.gz DUKLKSCVHZFSPA-CYBMUJFWSA-N -1 1 316.361 1.196 20 0 DDADMM FC(F)(F)c1nc(=N[C@H]2CCO[C@]3(CCOC3)C2)s[n-]1 ZINC000368545943 307105368 /nfs/dbraw/zinc/10/53/68/307105368.db2.gz OTASSQYXMVPFMD-OIBJUYFYSA-N -1 1 309.313 1.729 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2ccc(OC)cc2F)on1 ZINC000564799556 304014809 /nfs/dbraw/zinc/01/48/09/304014809.db2.gz MOWAIXDERCSHOS-UHFFFAOYSA-N -1 1 314.338 1.863 20 0 DDADMM CCOC(=O)[C@]1(CN=c2nc(C(C)C)[n-]s2)CCCOC1 ZINC000601243007 358460510 /nfs/dbraw/zinc/46/05/10/358460510.db2.gz BUTVBOSOTHCWPU-AWEZNQCLSA-N -1 1 313.423 1.855 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cnc(C(C)C)nc2)co1 ZINC000280069513 215352923 /nfs/dbraw/zinc/35/29/23/215352923.db2.gz ZYIYMGVFGDYHCA-UHFFFAOYSA-N -1 1 324.362 1.353 20 0 DDADMM COc1ccc(NC(=O)N[C@H](C)CF)cc1[N-]S(C)(=O)=O ZINC000287215251 219385990 /nfs/dbraw/zinc/38/59/90/219385990.db2.gz RHDQVTWJIWXOSB-MRVPVSSYSA-N -1 1 319.358 1.546 20 0 DDADMM C[C@]1(NS(=O)(=O)CCN2CCCC2)CCCC[C@@H]1C(=O)[O-] ZINC000564927078 304027364 /nfs/dbraw/zinc/02/73/64/304027364.db2.gz QVBAHXCDDVFLOS-OCCSQVGLSA-N -1 1 318.439 1.035 20 0 DDADMM COc1cc(C(=O)Nc2nnn[n-]2)ccc1OCCC(C)C ZINC000057682176 352822878 /nfs/dbraw/zinc/82/28/78/352822878.db2.gz QRICLYTZAGBTSN-UHFFFAOYSA-N -1 1 305.338 1.886 20 0 DDADMM COc1cc(C(=O)Nc2nn[n-]n2)ccc1OCCC(C)C ZINC000057682176 352822883 /nfs/dbraw/zinc/82/28/83/352822883.db2.gz QRICLYTZAGBTSN-UHFFFAOYSA-N -1 1 305.338 1.886 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](O)COc2ccc(F)cc2)c([O-])c1 ZINC000358543718 299193755 /nfs/dbraw/zinc/19/37/55/299193755.db2.gz XRTWFASHFVRHHS-LBPRGKRZSA-N -1 1 320.320 1.404 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](O)COc2ccc(F)cc2)c([O-])c1 ZINC000358543715 299193768 /nfs/dbraw/zinc/19/37/68/299193768.db2.gz XRTWFASHFVRHHS-GFCCVEGCSA-N -1 1 320.320 1.404 20 0 DDADMM CCn1c(CNC(=O)c2ccnc(N(C)C)c2)n[n-]c1=S ZINC000066636525 353009648 /nfs/dbraw/zinc/00/96/48/353009648.db2.gz MOWIKIQYBKEEHU-UHFFFAOYSA-N -1 1 306.395 1.352 20 0 DDADMM C[C@H](NC(=O)c1cccc(Br)c1[O-])c1nnc[nH]1 ZINC000538691996 303388291 /nfs/dbraw/zinc/38/82/91/303388291.db2.gz RUCCYYNHNFLYBF-LURJTMIESA-N -1 1 311.139 1.764 20 0 DDADMM COc1cccc(O[C@H](C)CNC(=O)c2cncc([O-])c2)c1 ZINC000174525448 198347291 /nfs/dbraw/zinc/34/72/91/198347291.db2.gz SSHXLIJPZPBUOE-LLVKDONJSA-N -1 1 302.330 1.993 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCNC(=O)C(C)C)cnc2n1 ZINC000075473776 353365055 /nfs/dbraw/zinc/36/50/55/353365055.db2.gz ATNUJQZPOFPLIJ-UHFFFAOYSA-N -1 1 316.361 1.146 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCNC(=O)C(C)C)c2=O ZINC000075473776 353365056 /nfs/dbraw/zinc/36/50/56/353365056.db2.gz ATNUJQZPOFPLIJ-UHFFFAOYSA-N -1 1 316.361 1.146 20 0 DDADMM O=S(=O)([N-]c1ccn(Cc2ccccc2)n1)N1CCCC1 ZINC000078238254 353506681 /nfs/dbraw/zinc/50/66/81/353506681.db2.gz IDJWYSUYAYWMHZ-UHFFFAOYSA-N -1 1 306.391 1.684 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000080022827 353587697 /nfs/dbraw/zinc/58/76/97/353587697.db2.gz JYQNQTXLNBJLGE-GFCCVEGCSA-N -1 1 308.353 1.908 20 0 DDADMM O=C(NCc1n[nH]c(=O)[n-]1)c1cc(Cl)c2ccccc2c1O ZINC000080035440 353587983 /nfs/dbraw/zinc/58/79/83/353587983.db2.gz YEQAPUQDCWIVPR-UHFFFAOYSA-N -1 1 318.720 1.540 20 0 DDADMM O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)c1cc(F)ccc1[O-] ZINC000080035592 353588446 /nfs/dbraw/zinc/58/84/46/353588446.db2.gz DLQHDQGRTDEYTG-CYBMUJFWSA-N -1 1 322.336 1.041 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](C)[C@H](O)C1 ZINC000089432270 353771918 /nfs/dbraw/zinc/77/19/18/353771918.db2.gz UEZPGSCOMDYNJZ-MWLCHTKSSA-N -1 1 307.394 1.219 20 0 DDADMM CCc1nn(C)cc1NC(=O)c1cc(NC(C)=O)ccc1[O-] ZINC000091163936 353814105 /nfs/dbraw/zinc/81/41/05/353814105.db2.gz TWIDDHSNPWPVRV-UHFFFAOYSA-N -1 1 302.334 1.899 20 0 DDADMM O=c1[n-]c(CNC2(c3ccc(Cl)cc3)CCOCC2)n[nH]1 ZINC000091619132 353831619 /nfs/dbraw/zinc/83/16/19/353831619.db2.gz VHICVLYSEXFDLX-UHFFFAOYSA-N -1 1 308.769 1.547 20 0 DDADMM CC[C@@H](CO)NC(=O)c1c[n-]c2cc(OC)cc(OC)c2c1=O ZINC000623934797 366119065 /nfs/dbraw/zinc/11/90/65/366119065.db2.gz DNZDUSQIWAHWKK-VIFPVBQESA-N -1 1 320.345 1.046 20 0 DDADMM CS(=O)(=O)CCCSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000126960940 354059391 /nfs/dbraw/zinc/05/93/91/354059391.db2.gz UQXFKMPHAPQGPR-UHFFFAOYSA-N -1 1 316.326 1.728 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCCCSC)o1 ZINC000358646962 299213299 /nfs/dbraw/zinc/21/32/99/299213299.db2.gz FOBWECWESIIRCY-UHFFFAOYSA-N -1 1 321.420 1.878 20 0 DDADMM CNC(=O)c1cccc(CS(=O)(=O)c2ccc([O-])cc2)c1 ZINC000073242722 191296189 /nfs/dbraw/zinc/29/61/89/191296189.db2.gz IRILSYRTLUNYMB-UHFFFAOYSA-N -1 1 305.355 1.726 20 0 DDADMM N=c1nc(N2CCN(c3nc4cccc(F)c4o3)CC2)s[n-]1 ZINC000624027263 366157616 /nfs/dbraw/zinc/15/76/16/366157616.db2.gz MVSAUZLAWWCGSL-UHFFFAOYSA-N -1 1 320.353 1.558 20 0 DDADMM Cc1nccn1CCCNC(=O)C(C)(C)[N-]C(=O)C(F)(F)F ZINC000277006392 213234654 /nfs/dbraw/zinc/23/46/54/213234654.db2.gz AFIRWXYCENGSPK-UHFFFAOYSA-N -1 1 320.315 1.155 20 0 DDADMM O=C([O-])[C@@H](CN1CCCCC1)NS(=O)(=O)CC1CCCC1 ZINC000584874338 354787047 /nfs/dbraw/zinc/78/70/47/354787047.db2.gz BRWGKSVOXFISDB-CYBMUJFWSA-N -1 1 318.439 1.035 20 0 DDADMM COC(=O)c1sccc1NC(=O)CN(C)C[C@H](C)C(=O)[O-] ZINC000565046560 304040174 /nfs/dbraw/zinc/04/01/74/304040174.db2.gz LRNKEXNWKMSHBE-QMMMGPOBSA-N -1 1 314.363 1.126 20 0 DDADMM C[C@@H](CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)CC(C)(C)C ZINC000586757178 354857664 /nfs/dbraw/zinc/85/76/64/354857664.db2.gz MEQLLIULRSTSQD-QMMMGPOBSA-N -1 1 302.400 1.609 20 0 DDADMM C[C@@H](CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)CC(C)(C)C ZINC000586757178 354857667 /nfs/dbraw/zinc/85/76/67/354857667.db2.gz MEQLLIULRSTSQD-QMMMGPOBSA-N -1 1 302.400 1.609 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2csc(=NC3CC3)[n-]2)[C@H]1CCO ZINC000618017666 363428521 /nfs/dbraw/zinc/42/85/21/363428521.db2.gz BSJRCYRONQBVSJ-MFKMUULPSA-N -1 1 309.435 1.762 20 0 DDADMM C[C@@]12COC[C@]1(C)CN(C(=O)c1csc(=NC3CC3)[n-]1)C2 ZINC000618029118 363434629 /nfs/dbraw/zinc/43/46/29/363434629.db2.gz XFIKVPCAXRWGQO-GASCZTMLSA-N -1 1 307.419 1.638 20 0 DDADMM Cc1c(-c2ccccc2)nc(SCC(=O)NC(N)=O)[n-]c1=O ZINC000358850897 291055396 /nfs/dbraw/zinc/05/53/96/291055396.db2.gz HERNTFPWQGJRQH-UHFFFAOYSA-N -1 1 318.358 1.445 20 0 DDADMM CCOC(=O)Cn1cnc([N-]C(=O)c2coc(C3CCC3)n2)n1 ZINC000591808367 355388629 /nfs/dbraw/zinc/38/86/29/355388629.db2.gz NGJXDMWSAZUJCN-UHFFFAOYSA-N -1 1 319.321 1.349 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CC[C@@H]3C[C@@H]3C2)o1 ZINC000592107078 355501646 /nfs/dbraw/zinc/50/16/46/355501646.db2.gz ANTMASNRUKKVLK-GMTAPVOTSA-N -1 1 313.375 1.923 20 0 DDADMM Cc1cnc(C(=O)NCCCOCC2CCOCC2)c([O-])c1 ZINC000358719338 299230948 /nfs/dbraw/zinc/23/09/48/299230948.db2.gz FDSBQDSWYVTTBI-UHFFFAOYSA-N -1 1 308.378 1.659 20 0 DDADMM Cc1cc(NS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)cc2n[nH]cc21 ZINC000346446615 283102458 /nfs/dbraw/zinc/10/24/58/283102458.db2.gz BFJUVQSKVFTWKE-UHFFFAOYSA-N -1 1 320.330 1.698 20 0 DDADMM CC[C@H]1CN2CCCC[C@H]2CN1C(=O)CSCC(=O)[O-] ZINC000592362724 355567445 /nfs/dbraw/zinc/56/74/45/355567445.db2.gz IJUPKNVKLRWOAZ-RYUDHWBXSA-N -1 1 300.424 1.280 20 0 DDADMM O=C(CSc1nc(C(F)(F)F)cc(=O)[n-]1)NC1CCCC1 ZINC000005155081 181211304 /nfs/dbraw/zinc/21/13/04/181211304.db2.gz RXEVNKDSRQEKDA-UHFFFAOYSA-N -1 1 321.324 1.940 20 0 DDADMM COC(=O)c1cccc(CNC(=O)c2ncc(C)cc2[O-])c1 ZINC000358727196 299234682 /nfs/dbraw/zinc/23/46/82/299234682.db2.gz WLTQFKXRQGTKLK-UHFFFAOYSA-N -1 1 300.314 1.812 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1[C@@H]2Cc3cc(Cl)ccc3[C@@H]21 ZINC000636251515 422750393 /nfs/dbraw/zinc/75/03/93/422750393.db2.gz BWUHQUFKMVLBJT-BYCMXARLSA-N -1 1 317.780 1.630 20 0 DDADMM O=C(c1c[nH]c2ccccc2c1=O)N1CC(Oc2ccncc2)C1 ZINC000594168863 356128750 /nfs/dbraw/zinc/12/87/50/356128750.db2.gz DOKPFWVZPIWCMH-UHFFFAOYSA-N -1 1 321.336 1.827 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC2(COC)CC2)o1 ZINC000594475449 356229005 /nfs/dbraw/zinc/22/90/05/356229005.db2.gz VVZXVXOOYSEOIH-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H](C)SC)cc1C ZINC000595322929 356449326 /nfs/dbraw/zinc/44/93/26/356449326.db2.gz PWUHGYPLXFFNKX-QMMMGPOBSA-N -1 1 307.393 1.404 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C(C)C)cc1C ZINC000595330418 356451587 /nfs/dbraw/zinc/45/15/87/356451587.db2.gz AYBTWLRCESKWBC-QWRGUYRKSA-N -1 1 315.391 1.945 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C(C)(C)C2CC2)cc1C ZINC000595315934 356446547 /nfs/dbraw/zinc/44/65/47/356446547.db2.gz URYSVZDWFAXZCE-UHFFFAOYSA-N -1 1 301.364 1.842 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCC[C@H]2C)cc1C ZINC000595319092 356447659 /nfs/dbraw/zinc/44/76/59/356447659.db2.gz WRZGNIMFADPVAC-SCZZXKLOSA-N -1 1 301.364 1.842 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1ccc(C)c(C)c1 ZINC000618164211 363484841 /nfs/dbraw/zinc/48/48/41/363484841.db2.gz IGDUXPYYHVOQMQ-UHFFFAOYSA-N -1 1 317.345 1.486 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](C2CCCCC2)C1 ZINC000618186315 363492403 /nfs/dbraw/zinc/49/24/03/363492403.db2.gz JJRLUQIYVXHJJL-LLVKDONJSA-N -1 1 321.377 1.939 20 0 DDADMM COC(=O)[C@](C)([N-]S(=O)(=O)CC1(OC)CCCC1)C1CC1 ZINC000601349649 358510551 /nfs/dbraw/zinc/51/05/51/358510551.db2.gz HPXWYBXQRRDVAC-CYBMUJFWSA-N -1 1 319.423 1.207 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)N=c1nc(C2CCOCC2)[n-]s1 ZINC000596194777 356808795 /nfs/dbraw/zinc/80/87/95/356808795.db2.gz QMOATFGYZANLCZ-UWVGGRQHSA-N -1 1 313.423 1.854 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2cscc2C)o1 ZINC000347604256 283240678 /nfs/dbraw/zinc/24/06/78/283240678.db2.gz ZHQHXVQKJIWVTN-UHFFFAOYSA-N -1 1 314.388 1.488 20 0 DDADMM CC(C)(C)OC(=O)COc1ccc(C(=O)Nc2nnn[n-]2)cc1 ZINC000597261277 357113148 /nfs/dbraw/zinc/11/31/48/357113148.db2.gz INXYLLKEHMDZLK-UHFFFAOYSA-N -1 1 319.321 1.173 20 0 DDADMM CC(C)(C)OC(=O)COc1ccc(C(=O)Nc2nn[n-]n2)cc1 ZINC000597261277 357113152 /nfs/dbraw/zinc/11/31/52/357113152.db2.gz INXYLLKEHMDZLK-UHFFFAOYSA-N -1 1 319.321 1.173 20 0 DDADMM COC(=O)CN(C(=O)c1ccc([O-])cc1F)C1CCOCC1 ZINC000598000168 357415834 /nfs/dbraw/zinc/41/58/34/357415834.db2.gz SDUWBKATSBTZLL-UHFFFAOYSA-N -1 1 311.309 1.326 20 0 DDADMM COCC(=O)N[C@H](C(=O)Nc1nc(Cl)ccc1[O-])C(C)C ZINC000276776943 213102584 /nfs/dbraw/zinc/10/25/84/213102584.db2.gz YWPKVNUXHLOPEO-NSHDSACASA-N -1 1 315.757 1.166 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@H]1c1ccccc1Cl ZINC000565396927 304066393 /nfs/dbraw/zinc/06/63/93/304066393.db2.gz LRUUEPRTMHHESZ-VHSXEESVSA-N -1 1 303.753 1.762 20 0 DDADMM COC(=O)CC1(NC(=O)c2csc(=NC3CC3)[n-]2)CCC1 ZINC000598787485 357729075 /nfs/dbraw/zinc/72/90/75/357729075.db2.gz WGSAGVGBAPYYBL-UHFFFAOYSA-N -1 1 309.391 1.355 20 0 DDADMM Cc1ccc(C(C)C)cc1O[C@H](C)C(=O)NCc1nn[n-]n1 ZINC000599334014 357897979 /nfs/dbraw/zinc/89/79/79/357897979.db2.gz FIQKSKYFJNUGCQ-LLVKDONJSA-N -1 1 303.366 1.715 20 0 DDADMM Cc1cc(C)c(C(=O)CCCC(=O)NCc2nn[n-]n2)c(C)c1 ZINC000599334033 357898079 /nfs/dbraw/zinc/89/80/79/357898079.db2.gz GPPCAKYDMZMRKD-UHFFFAOYSA-N -1 1 315.377 1.794 20 0 DDADMM O=C(CCCc1ncc(-c2ccccc2)o1)NCc1nn[n-]n1 ZINC000599334912 357898691 /nfs/dbraw/zinc/89/86/91/357898691.db2.gz XQSVMWKGEPWPOQ-UHFFFAOYSA-N -1 1 312.333 1.494 20 0 DDADMM COC(=O)C[C@H](O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000600455876 358226548 /nfs/dbraw/zinc/22/65/48/358226548.db2.gz AVDHMIBFRCSAHJ-QMMMGPOBSA-N -1 1 321.251 1.065 20 0 DDADMM CC(C)(C(=O)NCc1nn[n-]n1)c1ccc(Br)cc1 ZINC000600494022 358240273 /nfs/dbraw/zinc/24/02/73/358240273.db2.gz DXWBWADNKHLUQB-UHFFFAOYSA-N -1 1 324.182 1.556 20 0 DDADMM NC(=O)[C@@H]1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000180512869 199169621 /nfs/dbraw/zinc/16/96/21/199169621.db2.gz AIXRAYFEJWFAQL-SSDOTTSWSA-N -1 1 302.252 1.358 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)CC2CCC2)c1 ZINC000601510896 358581922 /nfs/dbraw/zinc/58/19/22/358581922.db2.gz AORDJXCPTCMLDM-SECBINFHSA-N -1 1 301.364 1.923 20 0 DDADMM COC(=O)[C@@]1(C)CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@H]1C ZINC000601709282 358659669 /nfs/dbraw/zinc/65/96/69/358659669.db2.gz LJCKTYCZDVGCKO-QFYYESIMSA-N -1 1 305.330 1.233 20 0 DDADMM COC(=O)CCC1CCN(Cc2cc(C(=O)[O-])nn2C)CC1 ZINC000602067009 358799540 /nfs/dbraw/zinc/79/95/40/358799540.db2.gz RAAOECKVWZUXST-UHFFFAOYSA-N -1 1 309.366 1.284 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCc2ccccc2C)n[n-]1 ZINC000603018479 359359701 /nfs/dbraw/zinc/35/97/01/359359701.db2.gz RQNGEMVKYWJQFS-UHFFFAOYSA-N -1 1 316.361 1.539 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCc2ccccc2C)n1 ZINC000603018479 359359705 /nfs/dbraw/zinc/35/97/05/359359705.db2.gz RQNGEMVKYWJQFS-UHFFFAOYSA-N -1 1 316.361 1.539 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](CC)CC(F)(F)F)n[n-]1 ZINC000603024223 359365535 /nfs/dbraw/zinc/36/55/35/359365535.db2.gz WIZFMYZWEOQHAM-SSDOTTSWSA-N -1 1 322.287 1.576 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](CC)CC(F)(F)F)n1 ZINC000603024223 359365539 /nfs/dbraw/zinc/36/55/39/359365539.db2.gz WIZFMYZWEOQHAM-SSDOTTSWSA-N -1 1 322.287 1.576 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2=CC[C@H](C)CC2)n[n-]1 ZINC000603154338 359441324 /nfs/dbraw/zinc/44/13/24/359441324.db2.gz LEEGJTWCAMQHCB-VHSXEESVSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2=CC[C@H](C)CC2)[n-]1 ZINC000603154338 359441329 /nfs/dbraw/zinc/44/13/29/359441329.db2.gz LEEGJTWCAMQHCB-VHSXEESVSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2=CC[C@H](C)CC2)n1 ZINC000603154338 359441334 /nfs/dbraw/zinc/44/13/34/359441334.db2.gz LEEGJTWCAMQHCB-VHSXEESVSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCCC[C@@H]2C)n[n-]1 ZINC000603157973 359444632 /nfs/dbraw/zinc/44/46/32/359444632.db2.gz XPWNMXXAPONRDD-GARJFASQSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCCC[C@@H]2C)[n-]1 ZINC000603157973 359444636 /nfs/dbraw/zinc/44/46/36/359444636.db2.gz XPWNMXXAPONRDD-GARJFASQSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCCC[C@@H]2C)n1 ZINC000603157973 359444640 /nfs/dbraw/zinc/44/46/40/359444640.db2.gz XPWNMXXAPONRDD-GARJFASQSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@]2(C)CC2(F)F)n[n-]1 ZINC000603158511 359445257 /nfs/dbraw/zinc/44/52/57/359445257.db2.gz ZYSDZVJGSMITDR-KBUNVGBDSA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@]2(C)CC2(F)F)[n-]1 ZINC000603158511 359445263 /nfs/dbraw/zinc/44/52/63/359445263.db2.gz ZYSDZVJGSMITDR-KBUNVGBDSA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@]2(C)CC2(F)F)n1 ZINC000603158511 359445268 /nfs/dbraw/zinc/44/52/68/359445268.db2.gz ZYSDZVJGSMITDR-KBUNVGBDSA-N -1 1 302.281 1.204 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc3c(c2)OCO3)ccn1 ZINC000358968463 299294518 /nfs/dbraw/zinc/29/45/18/299294518.db2.gz GLWLIPJOJFIVPI-UHFFFAOYSA-N -1 1 308.315 1.620 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605382041 359847479 /nfs/dbraw/zinc/84/74/79/359847479.db2.gz SWQKJCWJFSOZLM-QMMMGPOBSA-N -1 1 304.354 1.494 20 0 DDADMM Cc1ccccc1OCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605381702 359848110 /nfs/dbraw/zinc/84/81/10/359848110.db2.gz OTXFZAOUEKVLJI-UHFFFAOYSA-N -1 1 301.350 1.473 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCSc1ccccc1 ZINC000281172633 216128582 /nfs/dbraw/zinc/12/85/82/216128582.db2.gz MQVLXZXDIGYMGD-UHFFFAOYSA-N -1 1 313.404 1.636 20 0 DDADMM CSCCCCC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000610090337 360381484 /nfs/dbraw/zinc/38/14/84/360381484.db2.gz BWSWOUYOTDSUIR-ZDUSSCGKSA-N -1 1 305.407 1.939 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@H]2COC[C@@]2(C)C1 ZINC000618713498 363732113 /nfs/dbraw/zinc/73/21/13/363732113.db2.gz WCTAVPXQRZIRNW-LKFCYVNXSA-N -1 1 309.391 1.321 20 0 DDADMM CCOC(=O)c1sc(CNC(=O)c2ncccc2[O-])nc1C ZINC000610551134 360466760 /nfs/dbraw/zinc/46/67/60/360466760.db2.gz AMXYSUANEMELCJ-UHFFFAOYSA-N -1 1 321.358 1.659 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)C[C@H]2CCOC2)o1 ZINC000610739558 360522104 /nfs/dbraw/zinc/52/21/04/360522104.db2.gz CILZWXDIZNOBSY-VHSXEESVSA-N -1 1 317.363 1.160 20 0 DDADMM CCC[C@@H](NC(=O)c1cc2nc[nH]c2cc1F)c1nn[n-]n1 ZINC000612055624 360902812 /nfs/dbraw/zinc/90/28/12/360902812.db2.gz GDIXFSHWXPGKJD-SECBINFHSA-N -1 1 303.301 1.486 20 0 DDADMM CCCCCc1cc(C(=O)N[C@@H](CCC)c2nn[n-]n2)[nH]n1 ZINC000612060891 360905670 /nfs/dbraw/zinc/90/56/70/360905670.db2.gz NASNYERUDGKWIW-NSHDSACASA-N -1 1 305.386 1.927 20 0 DDADMM C[C@@H](CN(C)C(=O)c1c(F)ccc2ccncc21)c1nn[n-]n1 ZINC000613471783 361432648 /nfs/dbraw/zinc/43/26/48/361432648.db2.gz FBYVKVDYZQMCGX-VIFPVBQESA-N -1 1 314.324 1.763 20 0 DDADMM O=C([N-][C@H]1CCCN(Cc2ccc(F)cc2F)C1=O)C(F)F ZINC000194893763 201307270 /nfs/dbraw/zinc/30/72/70/201307270.db2.gz UKLBVPQFQBIFAT-NSHDSACASA-N -1 1 318.270 1.837 20 0 DDADMM Cc1cnc(C(=O)N2CCOc3ccc(O)cc3C2)c([O-])c1 ZINC000620344225 364379321 /nfs/dbraw/zinc/37/93/21/364379321.db2.gz LXHYIBYFDVPVRP-UHFFFAOYSA-N -1 1 300.314 1.836 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@@H](CN2CCCC2=O)C1 ZINC000620253464 364350940 /nfs/dbraw/zinc/35/09/40/364350940.db2.gz JTECCIRXJDLOBQ-NSHDSACASA-N -1 1 306.337 1.616 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC2CC1(C)C2 ZINC000621212422 364736694 /nfs/dbraw/zinc/73/66/94/364736694.db2.gz NEAYROHMMXSGBG-UHFFFAOYSA-N -1 1 314.389 1.995 20 0 DDADMM C[C@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@@H]1CO ZINC000622034366 365199976 /nfs/dbraw/zinc/19/99/76/365199976.db2.gz FDZYGJPWTHHGJR-WDEREUQCSA-N -1 1 301.346 1.014 20 0 DDADMM Cc1ncsc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622609666 365450024 /nfs/dbraw/zinc/45/00/24/365450024.db2.gz DWMGOYTXVPJGJX-JTQLQIEISA-N -1 1 300.347 1.484 20 0 DDADMM COCc1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)o1 ZINC000622611376 365452537 /nfs/dbraw/zinc/45/25/37/365452537.db2.gz CQVQSEFGZIGVJK-ZDUSSCGKSA-N -1 1 313.317 1.459 20 0 DDADMM COc1cc(NC(=O)N[C@@H](C)CF)ccc1[N-]S(C)(=O)=O ZINC000349350656 283906625 /nfs/dbraw/zinc/90/66/25/283906625.db2.gz UROUTKHKLSLZPA-QMMMGPOBSA-N -1 1 319.358 1.546 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CO[C@@H](C3CC3)C2)sc1C ZINC000625583957 367033325 /nfs/dbraw/zinc/03/33/25/367033325.db2.gz ZCUXXTUEFCOJIC-GHMZBOCLSA-N -1 1 302.421 1.606 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](OC)c1ccc(F)cc1)c1nn[n-]n1 ZINC000626024442 367280557 /nfs/dbraw/zinc/28/05/57/367280557.db2.gz KRFCNIWLZZMZBN-NEPJUHHUSA-N -1 1 307.329 1.684 20 0 DDADMM C[C@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@H]1C(=O)[O-] ZINC000566235095 304131319 /nfs/dbraw/zinc/13/13/19/304131319.db2.gz NDCIZBBUVZUHNL-GXFFZTMASA-N -1 1 314.345 1.655 20 0 DDADMM Cc1ccc(NC(=O)NC(=O)CN(C)CCC(=O)[O-])c(C)c1 ZINC000262658856 203368554 /nfs/dbraw/zinc/36/85/54/203368554.db2.gz NCQZQQDLAUUFMI-UHFFFAOYSA-N -1 1 307.350 1.358 20 0 DDADMM CCCc1nc(=NC(=O)N2CCN(C)[C@H](C(C)C)C2)s[n-]1 ZINC000350900949 284280120 /nfs/dbraw/zinc/28/01/20/284280120.db2.gz OQIPJJBZHVBFGT-NSHDSACASA-N -1 1 311.455 1.716 20 0 DDADMM COC(=O)NC(C)(C)C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000282773618 217241614 /nfs/dbraw/zinc/24/16/14/217241614.db2.gz LRMKTRHBWDGTSX-UHFFFAOYSA-N -1 1 310.306 1.252 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)[C@H]1CCOC1 ZINC000266160098 205146365 /nfs/dbraw/zinc/14/63/65/205146365.db2.gz HCPCSUVSNIFQBJ-YUMQZZPRSA-N -1 1 309.309 1.807 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)sc1C ZINC000282799502 217259176 /nfs/dbraw/zinc/25/91/76/217259176.db2.gz AFFPZQYAUQQLOA-SNVBAGLBSA-N -1 1 306.391 1.796 20 0 DDADMM O=C(c1cc2ccccc2o1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282931271 217334079 /nfs/dbraw/zinc/33/40/79/217334079.db2.gz OTFQYKYYQDNKCG-LLVKDONJSA-N -1 1 312.329 1.864 20 0 DDADMM COCC[C@H](COC)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633143362 422776362 /nfs/dbraw/zinc/77/63/62/422776362.db2.gz ULCVFKKDKYWZEV-LLVKDONJSA-N -1 1 301.770 1.756 20 0 DDADMM C[S@@](=O)C[C@@H](NC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000175908170 306686925 /nfs/dbraw/zinc/68/69/25/306686925.db2.gz HVQSBYJGRUZFRV-SPLOXXLWSA-N -1 1 304.371 1.637 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-][C@@H](C)C(=O)OC2CCCC2)no1 ZINC000267745142 206256103 /nfs/dbraw/zinc/25/61/03/206256103.db2.gz LXAKQTSBQQZAHO-JTQLQIEISA-N -1 1 316.379 1.277 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2C[C@]2(C)C(C)C)o1 ZINC000416544928 307299567 /nfs/dbraw/zinc/29/95/67/307299567.db2.gz HHGPXUUSKIDERV-GXFFZTMASA-N -1 1 301.364 1.779 20 0 DDADMM COC[C@@H](C)[N-]S(=O)(=O)c1sccc1Br ZINC000042350789 248190668 /nfs/dbraw/zinc/19/06/68/248190668.db2.gz BVOAJLNLLLHERP-ZCFIWIBFSA-N -1 1 314.226 1.824 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1ccc2c(c1)CCCC2 ZINC000174581497 248369978 /nfs/dbraw/zinc/36/99/78/248369978.db2.gz VBETYEOLNGGSRJ-UHFFFAOYSA-N -1 1 300.362 1.339 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1ccc(Cl)c(Cl)c1 ZINC000174579289 248370036 /nfs/dbraw/zinc/37/00/36/248370036.db2.gz ITXWLTBGNWOESV-UHFFFAOYSA-N -1 1 315.160 1.767 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCN(c2ccccc2)C1)c1nn[n-]n1 ZINC000579554428 422787210 /nfs/dbraw/zinc/78/72/10/422787210.db2.gz SHQFXJUFXMDUHP-JSGCOSHPSA-N -1 1 314.393 1.684 20 0 DDADMM N=c1nc(N2CCN(C(=O)C[C@@H]3CC[C@@H]4C[C@@H]43)CC2)s[n-]1 ZINC000333552336 249021524 /nfs/dbraw/zinc/02/15/24/249021524.db2.gz MTHWKKICICVJQT-VWYCJHECSA-N -1 1 307.423 1.035 20 0 DDADMM CO[C@H](C(=O)N=c1cc(-c2cccs2)[n-][nH]1)c1cnn(C)c1 ZINC000336951554 249295461 /nfs/dbraw/zinc/29/54/61/249295461.db2.gz UUNCTPYAZJVVDW-ZDUSSCGKSA-N -1 1 317.374 1.620 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc2ccccc2s1 ZINC000337141244 249362250 /nfs/dbraw/zinc/36/22/50/249362250.db2.gz VLDADFDMFHICSI-UHFFFAOYSA-N -1 1 314.370 1.967 20 0 DDADMM COc1cncc(S(=O)(=O)Nc2ccc(C(=O)[O-])c(C)c2)c1 ZINC000337188938 249380424 /nfs/dbraw/zinc/38/04/24/249380424.db2.gz QVEWVZIZAOYQHN-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM C[C@@H](NC(=O)c1ccc(Br)cc1[O-])C(=O)N(C)C ZINC000044491017 183413111 /nfs/dbraw/zinc/41/31/11/183413111.db2.gz BSPRCXCYJVDTJQ-SSDOTTSWSA-N -1 1 315.167 1.361 20 0 DDADMM CCOC(=O)[C@H]1CC[C@@H]([N-]S(=O)(=O)c2sccc2F)C1 ZINC000338975830 250229202 /nfs/dbraw/zinc/22/92/02/250229202.db2.gz ABLYQAHKDJBFFG-DTWKUNHWSA-N -1 1 321.395 1.897 20 0 DDADMM C[C@H]1CCC[C@@]1(O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000339098207 250291581 /nfs/dbraw/zinc/29/15/81/250291581.db2.gz OWBWCTBUJLJJIZ-ISVAXAHUSA-N -1 1 323.336 1.933 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@@H]1CCC[C@H]1F ZINC000339119074 250303896 /nfs/dbraw/zinc/30/38/96/250303896.db2.gz JMQRPUWDJPQHIT-RNFRBKRXSA-N -1 1 308.356 1.099 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCC(=O)N(CC)CC)[n-]1 ZINC000339178128 250330812 /nfs/dbraw/zinc/33/08/12/250330812.db2.gz KEOOPAGOWAQPKF-UHFFFAOYSA-N -1 1 310.354 1.174 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCC(=O)N(CC)CC)n1 ZINC000339178128 250330814 /nfs/dbraw/zinc/33/08/14/250330814.db2.gz KEOOPAGOWAQPKF-UHFFFAOYSA-N -1 1 310.354 1.174 20 0 DDADMM COc1ccsc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339183363 250332355 /nfs/dbraw/zinc/33/23/55/250332355.db2.gz FFXSNBMMZKCJJX-UHFFFAOYSA-N -1 1 309.347 1.865 20 0 DDADMM Cc1cc(C(=O)[O-])cc(S(=O)(=O)N[C@H](C)c2nnc[nH]2)c1C ZINC000273726615 211009035 /nfs/dbraw/zinc/00/90/35/211009035.db2.gz MXUOTNQQOMLUKI-SECBINFHSA-N -1 1 324.362 1.159 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCC(=O)c2ccccc21)c1nn[n-]n1 ZINC000285331180 218384856 /nfs/dbraw/zinc/38/48/56/218384856.db2.gz QRFYTVHKMNRKFS-OLZOCXBDSA-N -1 1 313.361 1.917 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@]2(C)CCCS2)co1 ZINC000156415454 197111697 /nfs/dbraw/zinc/11/16/97/197111697.db2.gz ODBYXNYSJYWFBX-GFCCVEGCSA-N -1 1 318.420 1.203 20 0 DDADMM O=C(Cc1cccc(F)c1)NCC(=O)Nc1ccc(F)cc1[O-] ZINC000340329520 251009740 /nfs/dbraw/zinc/00/97/40/251009740.db2.gz JUZMGBKOXVZHBI-UHFFFAOYSA-N -1 1 320.295 1.968 20 0 DDADMM O=C(Cc1ccccc1)NCC(=O)Nc1ccc(F)cc1[O-] ZINC000340329505 251010620 /nfs/dbraw/zinc/01/06/20/251010620.db2.gz IYUOBBYKOHOOFQ-UHFFFAOYSA-N -1 1 302.305 1.829 20 0 DDADMM O=C([O-])[C@]1(NCc2ccn(-c3ccccc3)n2)CCSC1 ZINC000340685449 251164923 /nfs/dbraw/zinc/16/49/23/251164923.db2.gz ZHRNWEVRVXEDGI-HNNXBMFYSA-N -1 1 303.387 1.922 20 0 DDADMM Cc1nn(C(C)C)c(C)c1CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000340744851 251188596 /nfs/dbraw/zinc/18/85/96/251188596.db2.gz XFMPCVAOVFIKGW-UHFFFAOYSA-N -1 1 305.386 1.188 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]C(C)(C)c2nc(C)no2)no1 ZINC000340740454 251187084 /nfs/dbraw/zinc/18/70/84/251187084.db2.gz RTGUQYVUWXIMQY-UHFFFAOYSA-N -1 1 300.340 1.029 20 0 DDADMM Cc1nc(C)c(C)c(Oc2ccc([N-]S(C)(=O)=O)nc2)n1 ZINC000340861786 251248841 /nfs/dbraw/zinc/24/88/41/251248841.db2.gz UTKFSJNJEHEHBH-UHFFFAOYSA-N -1 1 308.363 1.961 20 0 DDADMM CNC(=O)C(C)(C)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000119868012 195052378 /nfs/dbraw/zinc/05/23/78/195052378.db2.gz YKQKOWZAZSECFQ-UHFFFAOYSA-N -1 1 306.334 1.015 20 0 DDADMM Cc1ccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1Cl ZINC000352433911 285048059 /nfs/dbraw/zinc/04/80/59/285048059.db2.gz ZAQDAOIVKZUOJU-UHFFFAOYSA-N -1 1 303.709 1.632 20 0 DDADMM CC(C)[C@H]1CCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352544525 285129315 /nfs/dbraw/zinc/12/93/15/285129315.db2.gz RIDACVQVGVXTIB-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@H]1CCOC1 ZINC000271548617 209003695 /nfs/dbraw/zinc/00/36/95/209003695.db2.gz XTWDCCCHRYFSKW-QMMMGPOBSA-N -1 1 302.327 1.172 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CCN1CC(F)(F)F ZINC000156002696 197079880 /nfs/dbraw/zinc/07/98/80/197079880.db2.gz UQBSWAATUTUEQX-VIFPVBQESA-N -1 1 303.284 1.496 20 0 DDADMM COCC1(S(=O)(=O)[N-][C@H](C(=O)OC)c2ccsc2)CC1 ZINC000451406900 533157059 /nfs/dbraw/zinc/15/70/59/533157059.db2.gz UJSFXBPQNHFOHV-JTQLQIEISA-N -1 1 319.404 1.061 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](c1nccs1)C(F)(F)F ZINC000633208814 422807543 /nfs/dbraw/zinc/80/75/43/422807543.db2.gz UNEALBZMNOIZPE-ZETCQYMHSA-N -1 1 306.273 1.009 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cc3ccccc3s2)n1 ZINC000352748611 285273947 /nfs/dbraw/zinc/27/39/47/285273947.db2.gz MEXGKUIFDOYWLT-UHFFFAOYSA-N -1 1 322.371 1.675 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cc3ccccc3s2)[n-]1 ZINC000352748611 285273948 /nfs/dbraw/zinc/27/39/48/285273948.db2.gz MEXGKUIFDOYWLT-UHFFFAOYSA-N -1 1 322.371 1.675 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C(C)C)C(C)(C)O)c1 ZINC000360129616 299600970 /nfs/dbraw/zinc/60/09/70/299600970.db2.gz DYNJCWYCTVMMJA-LLVKDONJSA-N -1 1 319.379 1.140 20 0 DDADMM CC1N=NC(=S)N1CCNC(=O)c1c(F)ccc([O-])c1F ZINC000289558154 221067045 /nfs/dbraw/zinc/06/70/45/221067045.db2.gz RNGMRTIQDHHESO-UHFFFAOYSA-N -1 1 314.317 1.289 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H](C)c1ccc(F)cc1 ZINC000289645681 221126170 /nfs/dbraw/zinc/12/61/70/221126170.db2.gz ZUKJOCRQYOOIBN-QMMMGPOBSA-N -1 1 313.354 1.786 20 0 DDADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-]c1cccc2cnccc21 ZINC000414457431 224339963 /nfs/dbraw/zinc/33/99/63/224339963.db2.gz WCDUCXQNUYCNOM-SNVBAGLBSA-N -1 1 307.375 1.453 20 0 DDADMM C[C@@H]1C[C@@H](CCNC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000295013027 224378627 /nfs/dbraw/zinc/37/86/27/224378627.db2.gz TXRCOQPVILMHAU-MNOVXSKESA-N -1 1 320.418 1.953 20 0 DDADMM Cc1cc(F)ccc1CN[C@H]1CCN([C@H](C(=O)[O-])C(C)C)C1=O ZINC000414655544 224368420 /nfs/dbraw/zinc/36/84/20/224368420.db2.gz JTCHMKHFTBRXKZ-GJZGRUSLSA-N -1 1 322.380 1.934 20 0 DDADMM C[C@@H](CO)[C@H](C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352875721 285353442 /nfs/dbraw/zinc/35/34/42/285353442.db2.gz YPTJCCRCYVZGLZ-BQBZGAKWSA-N -1 1 312.185 1.337 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Br)o1)c1nc[nH]n1 ZINC000352939515 285399547 /nfs/dbraw/zinc/39/95/47/285399547.db2.gz HWPVYSVWAHKYAK-RXMQYKEDSA-N -1 1 321.156 1.200 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1(C)Cc2ccccc2C1 ZINC000433364379 533446762 /nfs/dbraw/zinc/44/67/62/533446762.db2.gz JRIKFTGZCZOZJK-UHFFFAOYSA-N -1 1 315.329 1.184 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1Cc2cccc(Cl)c2C1 ZINC000360340642 299639263 /nfs/dbraw/zinc/63/92/63/299639263.db2.gz CACFHQBREUBAPL-UHFFFAOYSA-N -1 1 315.720 1.227 20 0 DDADMM Cc1nnc([C@@H](C)NC(=O)c2nc3ccccc3c(=O)[n-]2)s1 ZINC000353163658 285565098 /nfs/dbraw/zinc/56/50/98/285565098.db2.gz CMUFLNBPBKLTFD-SSDOTTSWSA-N -1 1 315.358 1.574 20 0 DDADMM COC(=O)N1CC([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000496577792 307350935 /nfs/dbraw/zinc/35/09/35/307350935.db2.gz NICVGUNCENYWML-UHFFFAOYSA-N -1 1 310.784 1.130 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)N=c2ccc(C3CC3)n[n-]2)n[nH]1 ZINC000568958750 304323792 /nfs/dbraw/zinc/32/37/92/304323792.db2.gz PROLNSUHEIAROL-UHFFFAOYSA-N -1 1 315.381 1.513 20 0 DDADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(F)cc1 ZINC000353532971 285788509 /nfs/dbraw/zinc/78/85/09/285788509.db2.gz RNSUSOMGOZHPKJ-VIFPVBQESA-N -1 1 315.308 1.090 20 0 DDADMM COC1([C@@H](C)N=c2nc(C(F)(F)F)[n-]s2)CCOCC1 ZINC000420643027 292128049 /nfs/dbraw/zinc/12/80/49/292128049.db2.gz PXCQRTSGOJWMRY-SSDOTTSWSA-N -1 1 311.329 1.975 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCc2c(Cl)cc(F)cc2C1 ZINC000633248582 422823176 /nfs/dbraw/zinc/82/31/76/422823176.db2.gz LGVNDPUYQKZJLR-UHFFFAOYSA-N -1 1 309.732 1.510 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2Cc3ccccc3CN2)C[C@H]1C(=O)[O-] ZINC000569355760 304350473 /nfs/dbraw/zinc/35/04/73/304350473.db2.gz YECCQQPONIZAQP-KCPJHIHWSA-N -1 1 302.374 1.270 20 0 DDADMM O=S(=O)([N-]CC1CCOCC1)c1cc(F)c(F)cc1F ZINC000170940409 407562448 /nfs/dbraw/zinc/56/24/48/407562448.db2.gz IARNQUYAYYFZFH-UHFFFAOYSA-N -1 1 309.309 1.809 20 0 DDADMM C[C@@H]1C[C@@H](C)CN(C(=O)CS(=O)(=O)c2ccc([O-])cc2)C1 ZINC000069163709 406686986 /nfs/dbraw/zinc/68/69/86/406686986.db2.gz PQHULVFHHFAPPS-VXGBXAGGSA-N -1 1 311.403 1.670 20 0 DDADMM Cc1nn(C)cc1[C@@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000069222265 406693576 /nfs/dbraw/zinc/69/35/76/406693576.db2.gz DQYKOQZYEBGGGJ-SECBINFHSA-N -1 1 311.345 1.456 20 0 DDADMM COc1ccc(-c2nc([N-]C(=O)c3ccon3)n[nH]2)c(OC)c1 ZINC000072057809 406872384 /nfs/dbraw/zinc/87/23/84/406872384.db2.gz GBQYMMMVYLJEAF-UHFFFAOYSA-N -1 1 315.289 1.729 20 0 DDADMM Cc1cnc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)s1 ZINC000075502674 406933139 /nfs/dbraw/zinc/93/31/39/406933139.db2.gz YDAFZLMVYDGDGO-UHFFFAOYSA-N -1 1 300.343 1.618 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000042061551 407011312 /nfs/dbraw/zinc/01/13/12/407011312.db2.gz FMPVFKXCZMEOJS-HNNXBMFYSA-N -1 1 303.358 1.993 20 0 DDADMM CC(=O)Nc1cc(S(=O)(=O)[N-]c2ccncc2)ccc1F ZINC000077887992 407014676 /nfs/dbraw/zinc/01/46/76/407014676.db2.gz TZPAKLMRUFDHGM-UHFFFAOYSA-N -1 1 309.322 1.980 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCC[C@@H]1CO ZINC000044489825 407024483 /nfs/dbraw/zinc/02/44/83/407024483.db2.gz GKDTYJQNSFIQIG-SECBINFHSA-N -1 1 300.152 1.752 20 0 DDADMM CCn1c(CCNC(=O)c2cccc(N(C)C)c2)n[n-]c1=S ZINC000078543154 407033271 /nfs/dbraw/zinc/03/32/71/407033271.db2.gz FMONUTIOCCSXFQ-UHFFFAOYSA-N -1 1 319.434 1.999 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H](C)O[C@@H](C)C3)cnc2n1 ZINC000044955836 407036434 /nfs/dbraw/zinc/03/64/34/407036434.db2.gz YADUAFGGKSJVJR-PHIMTYICSA-N -1 1 301.346 1.481 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1C[C@@H](C)O[C@@H](C)C1)c2=O ZINC000044955836 407036437 /nfs/dbraw/zinc/03/64/37/407036437.db2.gz YADUAFGGKSJVJR-PHIMTYICSA-N -1 1 301.346 1.481 20 0 DDADMM Cc1ccc(Cl)cc1NC(=O)CS(=O)(=O)c1ncn[n-]1 ZINC000086169692 407108837 /nfs/dbraw/zinc/10/88/37/407108837.db2.gz FNEGSGRXMTUZHN-UHFFFAOYSA-N -1 1 314.754 1.179 20 0 DDADMM Cc1ccc(Cl)cc1NC(=O)CS(=O)(=O)c1nc[n-]n1 ZINC000086169692 407108840 /nfs/dbraw/zinc/10/88/40/407108840.db2.gz FNEGSGRXMTUZHN-UHFFFAOYSA-N -1 1 314.754 1.179 20 0 DDADMM CCN(CC)C(=O)[C@H](c1ccccc1)S(=O)(=O)c1ncn[n-]1 ZINC000086169818 407109044 /nfs/dbraw/zinc/10/90/44/407109044.db2.gz BOOFOTVCJOETDN-LBPRGKRZSA-N -1 1 322.390 1.188 20 0 DDADMM CCN(CC)C(=O)[C@H](c1ccccc1)S(=O)(=O)c1nnc[n-]1 ZINC000086169818 407109047 /nfs/dbraw/zinc/10/90/47/407109047.db2.gz BOOFOTVCJOETDN-LBPRGKRZSA-N -1 1 322.390 1.188 20 0 DDADMM CCN(CC)C(=O)[C@H](c1ccccc1)S(=O)(=O)c1nc[n-]n1 ZINC000086169818 407109049 /nfs/dbraw/zinc/10/90/49/407109049.db2.gz BOOFOTVCJOETDN-LBPRGKRZSA-N -1 1 322.390 1.188 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2C[C@H]2c2ccc(F)cc2)n1 ZINC000101664368 407314404 /nfs/dbraw/zinc/31/44/04/407314404.db2.gz VSTATTDRFVERBN-UWVGGRQHSA-N -1 1 324.337 1.090 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2C[C@H]2c2ccc(F)cc2)[n-]1 ZINC000101664368 407314406 /nfs/dbraw/zinc/31/44/06/407314406.db2.gz VSTATTDRFVERBN-UWVGGRQHSA-N -1 1 324.337 1.090 20 0 DDADMM O=C(CCc1ccc(OC(F)(F)F)cc1)Nc1nnn[n-]1 ZINC000102895328 407328588 /nfs/dbraw/zinc/32/85/88/407328588.db2.gz ZHMCLLGIQKENLS-UHFFFAOYSA-N -1 1 301.228 1.670 20 0 DDADMM O=C(CCc1ccc(OC(F)(F)F)cc1)Nc1nn[n-]n1 ZINC000102895328 407328590 /nfs/dbraw/zinc/32/85/90/407328590.db2.gz ZHMCLLGIQKENLS-UHFFFAOYSA-N -1 1 301.228 1.670 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(F)c(C)c2)o1 ZINC000103099944 407331095 /nfs/dbraw/zinc/33/10/95/407331095.db2.gz PXIRPQYTPKBDBL-UHFFFAOYSA-N -1 1 312.322 1.888 20 0 DDADMM CCS[C@H](C(=O)NCCc1n[n-]c(=S)n1C)C(C)C ZINC000067051017 407266384 /nfs/dbraw/zinc/26/63/84/407266384.db2.gz OVTNWROCISYSKW-JTQLQIEISA-N -1 1 302.469 1.914 20 0 DDADMM Cn1ccnc1CC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000127280678 407432673 /nfs/dbraw/zinc/43/26/73/407432673.db2.gz CDFMEIACGLRKCH-UHFFFAOYSA-N -1 1 300.362 1.616 20 0 DDADMM O=C(N[C@H]1COc2ccccc2C1=O)c1c([O-])cccc1F ZINC000185723506 407484214 /nfs/dbraw/zinc/48/42/14/407484214.db2.gz SRTOGCVBAKVHKM-NSHDSACASA-N -1 1 301.273 1.905 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CC[C@H](CO)C1)c2=O ZINC000128819269 407574738 /nfs/dbraw/zinc/57/47/38/407574738.db2.gz ARHCVIXXPRQZBP-JTQLQIEISA-N -1 1 302.330 1.403 20 0 DDADMM CC(C)C(=O)Nc1ccc(Cl)c(C(=O)Nc2nnn[n-]2)c1 ZINC000265949081 407523369 /nfs/dbraw/zinc/52/33/69/407523369.db2.gz LUWIVCIYJRXPLR-UHFFFAOYSA-N -1 1 308.729 1.700 20 0 DDADMM CC(C)C(=O)Nc1ccc(Cl)c(C(=O)Nc2nn[n-]n2)c1 ZINC000265949081 407523374 /nfs/dbraw/zinc/52/33/74/407523374.db2.gz LUWIVCIYJRXPLR-UHFFFAOYSA-N -1 1 308.729 1.700 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1noc2ccccc12)C(=O)OC ZINC000185927633 407541049 /nfs/dbraw/zinc/54/10/49/407541049.db2.gz MZXQRCAXLVKOCU-JTQLQIEISA-N -1 1 312.347 1.199 20 0 DDADMM CC[C@@H]1CCCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)CC1 ZINC000152309785 407606969 /nfs/dbraw/zinc/60/69/69/407606969.db2.gz ARWQIQZJMMCMIN-LLVKDONJSA-N -1 1 314.407 1.840 20 0 DDADMM CC(C)N1CC[C@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1=O ZINC000114743594 407610390 /nfs/dbraw/zinc/61/03/90/407610390.db2.gz VIBDWNVBFZUHDF-NSHDSACASA-N -1 1 318.345 1.252 20 0 DDADMM CCCc1ncc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000129464713 407613905 /nfs/dbraw/zinc/61/39/05/407613905.db2.gz HUSUQLDILFYGCO-VIFPVBQESA-N -1 1 306.395 1.629 20 0 DDADMM CC1([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCOCC1 ZINC000178838075 407621277 /nfs/dbraw/zinc/62/12/77/407621277.db2.gz CLMHTGTYSRBMAF-UHFFFAOYSA-N -1 1 309.309 1.951 20 0 DDADMM COC(=O)C1(O)CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000271314301 407630815 /nfs/dbraw/zinc/63/08/15/407630815.db2.gz XMGJKSFOMWGMEM-UHFFFAOYSA-N -1 1 313.737 1.186 20 0 DDADMM C[C@@H](O)[C@H]1CCN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000227523232 407631834 /nfs/dbraw/zinc/63/18/34/407631834.db2.gz ITKCNHCIFABSCZ-BDAKNGLRSA-N -1 1 314.179 1.998 20 0 DDADMM CCCCN(C)C(=O)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000171263187 407642990 /nfs/dbraw/zinc/64/29/90/407642990.db2.gz FWTRLDQWTYIQSH-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM CN1C(=O)C[C@H](NC(=O)c2cnn[nH]2)[C@@H]1c1ccc(Cl)cc1 ZINC000130037498 407645327 /nfs/dbraw/zinc/64/53/27/407645327.db2.gz RHJOLPBCBJQFPK-GWCFXTLKSA-N -1 1 319.752 1.160 20 0 DDADMM O=C([O-])[C@H]1CCN([C@@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000178906286 407649032 /nfs/dbraw/zinc/64/90/32/407649032.db2.gz RSMHSTBWCUXHOY-GXFFZTMASA-N -1 1 308.765 1.852 20 0 DDADMM Cc1cc(S(=O)(=O)N(CCN(C)C)CC(=O)[O-])c(C)s1 ZINC000230274838 407685769 /nfs/dbraw/zinc/68/57/69/407685769.db2.gz WNZUKLZFLQLOTI-UHFFFAOYSA-N -1 1 320.436 1.002 20 0 DDADMM Cc1ccc(NC(=O)[C@H](C)S(=O)(=O)c2ncn[n-]2)c(C)c1 ZINC000153047260 407741123 /nfs/dbraw/zinc/74/11/23/407741123.db2.gz HAMPKQCQJGPKQK-JTQLQIEISA-N -1 1 308.363 1.222 20 0 DDADMM Cc1ccc(NC(=O)[C@H](C)S(=O)(=O)c2nc[n-]n2)c(C)c1 ZINC000153047260 407741125 /nfs/dbraw/zinc/74/11/25/407741125.db2.gz HAMPKQCQJGPKQK-JTQLQIEISA-N -1 1 308.363 1.222 20 0 DDADMM NC(=O)N[C@@H]1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000272104857 407775422 /nfs/dbraw/zinc/77/54/22/407775422.db2.gz WNKZGTNMJVSQBX-MRVPVSSYSA-N -1 1 317.267 1.294 20 0 DDADMM Cc1cc(CN2CCN(C(=O)c3cncc([O-])c3)CC2)no1 ZINC000171859897 407784758 /nfs/dbraw/zinc/78/47/58/407784758.db2.gz WOSXCASWUXQHBC-UHFFFAOYSA-N -1 1 302.334 1.042 20 0 DDADMM C[C@@H]1SCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)[C@H]1C ZINC000132737533 407800269 /nfs/dbraw/zinc/80/02/69/407800269.db2.gz XGEZOLLRLBMAHS-IUCAKERBSA-N -1 1 306.391 1.640 20 0 DDADMM NS(=O)(=O)c1cccc(NC(=O)c2cc(F)ccc2[O-])c1 ZINC000132863355 407807223 /nfs/dbraw/zinc/80/72/23/407807223.db2.gz DDFHWUMKFIEKOT-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM Cc1cnc(N2CCN(C(=O)c3ncccc3[O-])CC2)s1 ZINC000267330607 407836380 /nfs/dbraw/zinc/83/63/80/407836380.db2.gz MAABEHOIZJINJT-UHFFFAOYSA-N -1 1 304.375 1.515 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)CC(C)(C)OC)co1 ZINC000153569924 407855318 /nfs/dbraw/zinc/85/53/18/407855318.db2.gz FOJKCJNQQSVEPJ-SECBINFHSA-N -1 1 318.395 1.121 20 0 DDADMM COc1ccccc1CN(CCO)C(=O)c1ncccc1[O-] ZINC000154012660 407953446 /nfs/dbraw/zinc/95/34/46/407953446.db2.gz RYYMRVYTJNXIRK-UHFFFAOYSA-N -1 1 302.330 1.431 20 0 DDADMM C[C@](NC(=O)c1ncccc1[O-])(C(N)=O)c1cccc(Cl)c1 ZINC000153953804 407938205 /nfs/dbraw/zinc/93/82/05/407938205.db2.gz YFQRLWAFJAVTQI-OAHLLOKOSA-N -1 1 319.748 1.571 20 0 DDADMM CC[C@H](C)[C@](C)(O)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119290607 408031692 /nfs/dbraw/zinc/03/16/92/408031692.db2.gz AKOZZFUSWKTMJU-BJOHPYRUSA-N -1 1 306.366 1.201 20 0 DDADMM CCN(C[C@H](O)C(F)(F)F)C(=O)c1cccc2nn[nH]c21 ZINC000188874495 407985241 /nfs/dbraw/zinc/98/52/41/407985241.db2.gz FUZKIGWWJGKHLC-VIFPVBQESA-N -1 1 302.256 1.343 20 0 DDADMM CC(C)[C@H](CC(=O)[O-])NS(=O)(=O)c1c(F)cccc1F ZINC000136250871 408089280 /nfs/dbraw/zinc/08/92/80/408089280.db2.gz YOQDISFAJGDZIV-JTQLQIEISA-N -1 1 307.318 1.742 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000175294074 408097465 /nfs/dbraw/zinc/09/74/65/408097465.db2.gz OZWNQPBMRQQHTE-SCZZXKLOSA-N -1 1 318.345 1.287 20 0 DDADMM CC(=O)Nc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000273463116 408211683 /nfs/dbraw/zinc/21/16/83/408211683.db2.gz FLHKYJSBTMZULB-SECBINFHSA-N -1 1 302.338 1.034 20 0 DDADMM Cc1ccncc1NC(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC000155857361 408218073 /nfs/dbraw/zinc/21/80/73/408218073.db2.gz DQGHEVPDYLSTDU-UHFFFAOYSA-N -1 1 311.301 1.288 20 0 DDADMM C[C@H]1C[C@H](CC[N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000273637056 408272661 /nfs/dbraw/zinc/27/26/61/408272661.db2.gz XSDXJYYCUQLNAD-VHSXEESVSA-N -1 1 321.830 1.557 20 0 DDADMM CCCCOCCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000176132428 408292272 /nfs/dbraw/zinc/29/22/72/408292272.db2.gz GSIGKAWGHSCITR-UHFFFAOYSA-N -1 1 309.410 1.922 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1cnc2ccccc2c1)c1nn[n-]n1 ZINC000190706342 408305952 /nfs/dbraw/zinc/30/59/52/408305952.db2.gz JQUQGNXKGQZHBR-LLVKDONJSA-N -1 1 310.361 1.553 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2ccnn2C)c(=O)[n-]1 ZINC000269551522 408352521 /nfs/dbraw/zinc/35/25/21/408352521.db2.gz QCVYHDQRHSRFQU-UHFFFAOYSA-N -1 1 322.390 1.622 20 0 DDADMM CCn1c(=O)[nH]nc1S(=O)(=O)Cc1ccc(F)cc1F ZINC000176453926 408370672 /nfs/dbraw/zinc/37/06/72/408370672.db2.gz SRPLHASEJJAXAQ-UHFFFAOYSA-N -1 1 303.290 1.256 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1ccc(-c2ncon2)cc1 ZINC000176468075 408374864 /nfs/dbraw/zinc/37/48/64/408374864.db2.gz GAICEDLBGRRVGL-UHFFFAOYSA-N -1 1 311.363 1.903 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCSC[C@@H](C)C2)co1 ZINC000191281338 408399496 /nfs/dbraw/zinc/39/94/96/408399496.db2.gz KBNAVSKTYYKMOC-VIFPVBQESA-N -1 1 318.420 1.013 20 0 DDADMM COc1ccc(C(C)(C)C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183408181 408402724 /nfs/dbraw/zinc/40/27/24/408402724.db2.gz AAOCVHCUPPVAGY-NSHDSACASA-N -1 1 317.393 1.748 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1cnc2ccccc2n1)c1nn[n-]n1 ZINC000183417038 408404450 /nfs/dbraw/zinc/40/44/50/408404450.db2.gz XOWCAENGYPKZLL-AEZGRPFRSA-N -1 1 323.360 1.418 20 0 DDADMM CCC[C@H](NC(=O)CCOc1ccccc1OC)c1nn[n-]n1 ZINC000176763902 408438344 /nfs/dbraw/zinc/43/83/44/408438344.db2.gz SDFLMMMIGXFRKF-NSHDSACASA-N -1 1 319.365 1.635 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2(c3ccccc3)CC2)co1 ZINC000270114798 408480754 /nfs/dbraw/zinc/48/07/54/408480754.db2.gz UATTUKSPNFRRDN-UHFFFAOYSA-N -1 1 320.370 1.607 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(Cc2ccncc2)CC1 ZINC000176989773 408492398 /nfs/dbraw/zinc/49/23/98/408492398.db2.gz OOSXSKZYBWNQIU-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC[C@@H](C)[C@@H]2C)c(=O)[n-]1 ZINC000177096308 408516365 /nfs/dbraw/zinc/51/63/65/408516365.db2.gz LYNBPOQYZVPBQQ-KOLCDFICSA-N -1 1 309.435 1.990 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@@H]1CC ZINC000269894761 408462638 /nfs/dbraw/zinc/46/26/38/408462638.db2.gz YBHNPJCEGJKJNJ-GXSJLCMTSA-N -1 1 315.395 1.443 20 0 DDADMM COc1c(F)ccc(F)c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000274827384 408548417 /nfs/dbraw/zinc/54/84/17/408548417.db2.gz YOMLEYKLYNLZHR-ZETCQYMHSA-N -1 1 311.292 1.362 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1[C@H]3Cc4ccccc4[C@@H]13)c2=O ZINC000248397552 408558512 /nfs/dbraw/zinc/55/85/12/408558512.db2.gz HZXYHHDRKMFLSH-NILFDRSVSA-N -1 1 320.352 1.742 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2ncccc2F)CC1 ZINC000270533050 408566358 /nfs/dbraw/zinc/56/63/58/408566358.db2.gz GGAPFYQBTVMICB-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)Oc1ccc(F)cc1)c1nn[n-]n1 ZINC000274802081 408537130 /nfs/dbraw/zinc/53/71/30/408537130.db2.gz SNRASBXMLKOBDG-ZJUUUORDSA-N -1 1 307.329 1.368 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC2=NO[C@@H](C)C2)c(=O)[n-]1 ZINC000270855233 408709557 /nfs/dbraw/zinc/70/95/57/408709557.db2.gz RKLBHFZGPJJBBK-ZETCQYMHSA-N -1 1 310.379 1.384 20 0 DDADMM C[C@H]1CCCN1S(=O)(=O)[N-]c1ccn(CC(F)(F)F)n1 ZINC000185277566 408790811 /nfs/dbraw/zinc/79/08/11/408790811.db2.gz KYZNUKSBOICNRI-QMMMGPOBSA-N -1 1 312.317 1.586 20 0 DDADMM Cc1nn(C)c(C)c1-c1cc(C(=O)N(C)C[C@@H](C)C(=O)[O-])n[nH]1 ZINC000579832453 422839625 /nfs/dbraw/zinc/83/96/25/422839625.db2.gz DENGJEPOAGQNCO-MRVPVSSYSA-N -1 1 319.365 1.220 20 0 DDADMM COCCOC[C@@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000280809963 408838588 /nfs/dbraw/zinc/83/85/88/408838588.db2.gz QMJDWJRNTJPMAE-GFCCVEGCSA-N -1 1 307.346 1.086 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)c2c(C)onc2N)CCC1 ZINC000290836288 408841336 /nfs/dbraw/zinc/84/13/36/408841336.db2.gz VAKNPPZEGYJUHV-UHFFFAOYSA-N -1 1 303.384 1.050 20 0 DDADMM O=C(C(=O)N1CCC[C@H](C2OCCO2)C1)c1ccc([O-])cc1 ZINC000280875425 408851669 /nfs/dbraw/zinc/85/16/69/408851669.db2.gz YHFLBJQMHZBZMA-LBPRGKRZSA-N -1 1 305.330 1.186 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CC[C@@H]2C(=O)OC)c1 ZINC000286016790 408908366 /nfs/dbraw/zinc/90/83/66/408908366.db2.gz RNXVRXCTLYZRDZ-UWVGGRQHSA-N -1 1 307.302 1.317 20 0 DDADMM NS(=O)(=O)Cc1cccc(-c2nc(=O)c3sccc3[n-]2)c1 ZINC000195406669 163319750 /nfs/dbraw/zinc/31/97/50/163319750.db2.gz ROKKSEQIXIWQJO-UHFFFAOYSA-N -1 1 321.383 1.440 20 0 DDADMM C[C@@H](NC(=O)c1ccc(Br)c([O-])c1)[C@H](C)CO ZINC000231351110 163349747 /nfs/dbraw/zinc/34/97/47/163349747.db2.gz IIROPZYGYHRYST-HTQZYQBOSA-N -1 1 302.168 1.901 20 0 DDADMM CCC[C@H](NC(=O)c1cc(F)c([O-])c(Cl)c1)c1nn[nH]n1 ZINC000277863558 409025856 /nfs/dbraw/zinc/02/58/56/409025856.db2.gz BDGOKGGYEDYYLR-VIFPVBQESA-N -1 1 313.720 1.969 20 0 DDADMM CN(C)C(=O)CN(C)C(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000282437417 409053636 /nfs/dbraw/zinc/05/36/36/409053636.db2.gz KQMYMDGNQBMTFR-UHFFFAOYSA-N -1 1 321.764 1.754 20 0 DDADMM O=C(C(=O)N1CCOCC2(CCOCC2)C1)c1ccc([O-])cc1 ZINC000287936797 409118696 /nfs/dbraw/zinc/11/86/96/409118696.db2.gz UGHUTLFWCQMDBM-UHFFFAOYSA-N -1 1 319.357 1.231 20 0 DDADMM Cc1ccc2nc(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)ccc2c1 ZINC000293514205 409128163 /nfs/dbraw/zinc/12/81/63/409128163.db2.gz NZSRPNBPUAGXLU-LLVKDONJSA-N -1 1 310.361 1.932 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]2OCC[C@H]21)c1ccc2n[n-]c(=S)n2c1 ZINC000283619179 409185900 /nfs/dbraw/zinc/18/59/00/409185900.db2.gz ZOKCWNODFUPPHX-TUAOUCFPSA-N -1 1 318.402 1.705 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]2OCC[C@H]21)c1ccc2n[n-]c(=S)n2c1 ZINC000283619176 409186453 /nfs/dbraw/zinc/18/64/53/409186453.db2.gz ZOKCWNODFUPPHX-QJPTWQEYSA-N -1 1 318.402 1.705 20 0 DDADMM CCC1(CO)CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000283369685 409145567 /nfs/dbraw/zinc/14/55/67/409145567.db2.gz JIXSKDQLSYEKRE-UHFFFAOYSA-N -1 1 320.418 1.643 20 0 DDADMM O=C(c1ccc(Cl)nc1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000283454029 409159693 /nfs/dbraw/zinc/15/96/93/409159693.db2.gz KBYDTTNQCWWSSZ-SECBINFHSA-N -1 1 307.741 1.166 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H](C(F)(F)F)O1 ZINC000293685177 409161554 /nfs/dbraw/zinc/16/15/54/409161554.db2.gz JPTKLJWUHHICIX-SECBINFHSA-N -1 1 304.268 1.969 20 0 DDADMM COc1ccc(CN2C[C@@H](C(=O)[N-]OCC3CC3)CC2=O)cc1 ZINC000293723673 409168273 /nfs/dbraw/zinc/16/82/73/409168273.db2.gz GWZKXRLCQCPIAH-AWEZNQCLSA-N -1 1 318.373 1.502 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ccc3ccccc3c2[O-])CCS1(=O)=O ZINC000293743974 409172102 /nfs/dbraw/zinc/17/21/02/409172102.db2.gz JMFZSPYTGUSDMH-HZMBPMFUSA-N -1 1 319.382 1.851 20 0 DDADMM CCN(CC(=O)Nc1cccc(-c2n[nH]c(=O)[n-]2)c1)C1CC1 ZINC000288993040 409205976 /nfs/dbraw/zinc/20/59/76/409205976.db2.gz HMCTZXNUZHVKCC-UHFFFAOYSA-N -1 1 301.350 1.188 20 0 DDADMM C[C@@H](O)CN1CCN(C(=O)c2c(F)ccc([O-])c2F)C[C@@H]1C ZINC000280707971 409420302 /nfs/dbraw/zinc/42/03/02/409420302.db2.gz FZVTVPBKGUCAOF-VHSXEESVSA-N -1 1 314.332 1.198 20 0 DDADMM CN1C[C@@H](NC(=O)c2ccc(Br)c([O-])c2)CC1=O ZINC000311119144 164007140 /nfs/dbraw/zinc/00/71/40/164007140.db2.gz GSSRCOSYGMZDLP-QMMMGPOBSA-N -1 1 313.151 1.115 20 0 DDADMM CCOc1cccc(NS(=O)(=O)c2cc(C(=O)[O-])n(C)c2)c1 ZINC000314532170 164015566 /nfs/dbraw/zinc/01/55/66/164015566.db2.gz HINRASMPSNCYDX-UHFFFAOYSA-N -1 1 324.358 1.923 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(C(=O)N2CCCCC2)CC1 ZINC000408002189 164194451 /nfs/dbraw/zinc/19/44/51/164194451.db2.gz CMRMYDCHYXHPMZ-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM CC(C)(C)c1nnc(CN2CCCC[C@H]2c2nc(=O)[n-][nH]2)[nH]1 ZINC000295412448 409474389 /nfs/dbraw/zinc/47/43/89/409474389.db2.gz XNANWLCDPWUCEQ-VIFPVBQESA-N -1 1 305.386 1.241 20 0 DDADMM C[C@H](O)C[C@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000296268127 409483067 /nfs/dbraw/zinc/48/30/67/409483067.db2.gz YNZNWSLVMFXEOK-CMPLNLGQSA-N -1 1 320.418 1.784 20 0 DDADMM C[C@H](O)C[C@@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000296268134 409483642 /nfs/dbraw/zinc/48/36/42/409483642.db2.gz YNZNWSLVMFXEOK-JQWIXIFHSA-N -1 1 320.418 1.784 20 0 DDADMM Cc1cnc(C(=O)N2CCCN(c3nccs3)CC2)c([O-])c1 ZINC000408364920 164308306 /nfs/dbraw/zinc/30/83/06/164308306.db2.gz GCXZAPJKEIGLGG-UHFFFAOYSA-N -1 1 318.402 1.905 20 0 DDADMM CO[C@H](C)c1nc(=NCCCOC[C@H]2CCCO2)s[n-]1 ZINC000337916728 409563335 /nfs/dbraw/zinc/56/33/35/409563335.db2.gz RJDBRMMGEJCVAJ-GHMZBOCLSA-N -1 1 301.412 1.665 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CC(C)(C)OC1(C)C ZINC000290759559 409509992 /nfs/dbraw/zinc/50/99/92/409509992.db2.gz NMOKMKSGZMLEMT-MRVPVSSYSA-N -1 1 303.384 1.190 20 0 DDADMM CO[C@H](C)c1nc(=Nc2ccc3[nH]nc(C(N)=O)c3c2)s[n-]1 ZINC000337868561 409523384 /nfs/dbraw/zinc/52/33/84/409523384.db2.gz MBTRZSCVZKVBRI-ZCFIWIBFSA-N -1 1 318.362 1.386 20 0 DDADMM Cc1nc(-c2cccc(C)c2)[nH]c1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357053991 409825437 /nfs/dbraw/zinc/82/54/37/409825437.db2.gz LDDPRVHOZPFJDM-UHFFFAOYSA-N -1 1 323.360 1.626 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(-n2cccc2)c(F)c1 ZINC000357063390 409833089 /nfs/dbraw/zinc/83/30/89/409833089.db2.gz ZJFQEWXZQXRCFQ-UHFFFAOYSA-N -1 1 312.308 1.549 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2C[C@H]2c2ccccc2)c1 ZINC000338212133 409806033 /nfs/dbraw/zinc/80/60/33/409806033.db2.gz NNSJNDXBTSYMAW-QWHCGFSZSA-N -1 1 321.354 1.901 20 0 DDADMM Cc1cnc(C(=O)N2CCOc3cc(O)ccc3C2)c([O-])c1 ZINC000342785015 409904843 /nfs/dbraw/zinc/90/48/43/409904843.db2.gz LKFXQMRZKMCNGK-UHFFFAOYSA-N -1 1 300.314 1.836 20 0 DDADMM Cn1c(CCNC(=O)c2cncc([O-])c2)nc2c1cccc2F ZINC000346312836 409908379 /nfs/dbraw/zinc/90/83/79/409908379.db2.gz VYQMESWRPZBSBP-UHFFFAOYSA-N -1 1 314.320 1.786 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC1Cc2ccccc2C1 ZINC000349639981 409895860 /nfs/dbraw/zinc/89/58/60/409895860.db2.gz UXWDKSMCPCJGKQ-UHFFFAOYSA-N -1 1 307.375 1.089 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1Cc2ccccc2C1 ZINC000349639981 409895867 /nfs/dbraw/zinc/89/58/67/409895867.db2.gz UXWDKSMCPCJGKQ-UHFFFAOYSA-N -1 1 307.375 1.089 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cnn(-c2ccccc2)c1 ZINC000357139999 409897773 /nfs/dbraw/zinc/89/77/73/409897773.db2.gz ZTBSOJBDFVJQTH-UHFFFAOYSA-N -1 1 309.347 1.177 20 0 DDADMM CSc1ccc(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)o1 ZINC000297814950 410009901 /nfs/dbraw/zinc/00/99/01/410009901.db2.gz UIPBGZGVKGVYIR-UHFFFAOYSA-N -1 1 307.335 1.264 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000332252132 410016271 /nfs/dbraw/zinc/01/62/71/410016271.db2.gz MELAMSLZPLTUNC-NSHDSACASA-N -1 1 315.366 1.571 20 0 DDADMM CCNC(=O)c1ccc(=NCCC2C[C@@H](C)O[C@H](C)C2)[n-]n1 ZINC000338489528 410023537 /nfs/dbraw/zinc/02/35/37/410023537.db2.gz PHTDUPLSPOJVOI-VXGBXAGGSA-N -1 1 306.410 1.654 20 0 DDADMM CCOc1ccc([C@H](C)NC(=O)CCCc2nn[n-]n2)cc1 ZINC000635162974 422852795 /nfs/dbraw/zinc/85/27/95/422852795.db2.gz RJZLGTSSUFSASA-NSHDSACASA-N -1 1 303.366 1.799 20 0 DDADMM CC(C)(NC(=O)[C@H]1C[C@@H]1c1cccc(F)c1F)c1nn[n-]n1 ZINC000354774906 410078710 /nfs/dbraw/zinc/07/87/10/410078710.db2.gz QFUNUUKBWNRQAV-BDAKNGLRSA-N -1 1 307.304 1.633 20 0 DDADMM C/C=C\C[C@H](CO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000351607036 410100376 /nfs/dbraw/zinc/10/03/76/410100376.db2.gz GLZLBDFKSIXNKI-YAJNLLPGSA-N -1 1 309.309 1.709 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCc2[nH]c3ccccc3c2C1 ZINC000635168112 422854078 /nfs/dbraw/zinc/85/40/78/422854078.db2.gz GEHSVMYQDOTEKG-UHFFFAOYSA-N -1 1 310.361 1.589 20 0 DDADMM CN(Cc1cccc([O-])c1Cl)C[C@@H]1CCS(=O)(=O)C1 ZINC000351639114 410125358 /nfs/dbraw/zinc/12/53/58/410125358.db2.gz BHLNVYGDTATDFW-JTQLQIEISA-N -1 1 303.811 1.912 20 0 DDADMM COCCO[C@H]1COCC[C@@H]1[NH2+]Cc1cccc([O-])c1Cl ZINC000339360939 410126039 /nfs/dbraw/zinc/12/60/39/410126039.db2.gz MWYAPFRUEKDTIQ-JSGCOSHPSA-N -1 1 315.797 1.956 20 0 DDADMM COc1cc(C(=O)NCCNC(=O)C(C)C)cc(Cl)c1[O-] ZINC000357696597 410191934 /nfs/dbraw/zinc/19/19/34/410191934.db2.gz GESLVRLEWBVLGB-UHFFFAOYSA-N -1 1 314.769 1.556 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)[C@H]1CCCO1 ZINC000339451497 410196201 /nfs/dbraw/zinc/19/62/01/410196201.db2.gz QTCYDDWZTKLTKX-VHSXEESVSA-N -1 1 317.363 1.302 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCc3nc(C(C)C)nn3C2)c([O-])c1 ZINC000332671550 410202174 /nfs/dbraw/zinc/20/21/74/410202174.db2.gz ZRBUYCXQSRPQSO-NSHDSACASA-N -1 1 315.377 1.555 20 0 DDADMM C[C@@H]1CCC[C@@H](N(C)C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343170611 410206554 /nfs/dbraw/zinc/20/65/54/410206554.db2.gz MCTKKSXQWMQZIE-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)CC(F)F)c(=O)[n-]1 ZINC000343259646 410286376 /nfs/dbraw/zinc/28/63/76/410286376.db2.gz NLHJOWJYQAKZID-UHFFFAOYSA-N -1 1 305.350 1.869 20 0 DDADMM Cc1ccc(CN(CCO)C(=O)c2csc(=NC3CC3)[n-]2)o1 ZINC000358231458 410414442 /nfs/dbraw/zinc/41/44/42/410414442.db2.gz XOIHDORURYQXCB-UHFFFAOYSA-N -1 1 321.402 1.675 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCC[C@H]3OCC[C@@H]32)sc1C ZINC000333276698 410457302 /nfs/dbraw/zinc/45/73/02/410457302.db2.gz OOWXMNWZBKEHRE-IJLUTSLNSA-N -1 1 316.448 1.996 20 0 DDADMM CS(=O)(=O)Nc1ccc(CNC(=O)c2cncc([O-])c2)cc1 ZINC000339836932 410491232 /nfs/dbraw/zinc/49/12/32/410491232.db2.gz FNOVIHDQBUEOSM-UHFFFAOYSA-N -1 1 321.358 1.089 20 0 DDADMM CCN(CC)c1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000347582091 410619634 /nfs/dbraw/zinc/61/96/34/410619634.db2.gz SFFDEBSTKFXNIG-UHFFFAOYSA-N -1 1 302.382 1.711 20 0 DDADMM CCCCCc1n[n-]c(=NC(=O)NC[C@@H]2CCC[N@H+]2C)s1 ZINC000355864688 410708520 /nfs/dbraw/zinc/70/85/20/410708520.db2.gz OKFUGUHJBFEZPZ-NSHDSACASA-N -1 1 311.455 1.908 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1ncccc1[O-])c1ccc(C)cc1 ZINC000337439865 410787024 /nfs/dbraw/zinc/78/70/24/410787024.db2.gz FKJKEMJQXNVUCE-ZDUSSCGKSA-N -1 1 314.341 1.782 20 0 DDADMM C[C@@H](NC(=O)CC1CCCCC1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359782075 410875698 /nfs/dbraw/zinc/87/56/98/410875698.db2.gz FZGRUIMPLAGZTO-SNVBAGLBSA-N -1 1 322.413 1.026 20 0 DDADMM Cc1cc(OCC(=O)NC(C)(C)c2nn[n-]n2)ccc1Cl ZINC000359785000 410878746 /nfs/dbraw/zinc/87/87/46/410878746.db2.gz JBZWBTKREHMQMV-UHFFFAOYSA-N -1 1 309.757 1.592 20 0 DDADMM O=C(c1cc(F)cc2nn[nH]c21)N1CCc2cc[nH]c(=O)c2C1 ZINC000337587365 410879123 /nfs/dbraw/zinc/87/91/23/410879123.db2.gz FZBXWTPOZUBRJC-UHFFFAOYSA-N -1 1 313.292 1.396 20 0 DDADMM Cc1cnccc1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000353316511 410917390 /nfs/dbraw/zinc/91/73/90/410917390.db2.gz UORWKFBOLLDCBE-UHFFFAOYSA-N -1 1 311.345 1.685 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCC[C@@H]2C)c1 ZINC000359936170 410959624 /nfs/dbraw/zinc/95/96/24/410959624.db2.gz HINRGVPKFWPXLB-UWVGGRQHSA-N -1 1 301.364 1.781 20 0 DDADMM CC[C@]1(C)CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000344105521 410961972 /nfs/dbraw/zinc/96/19/72/410961972.db2.gz JDZZXCDANIIEBZ-MRXNPFEDSA-N -1 1 305.378 1.556 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@H]1SCCc2ccccc21 ZINC000631637716 422862650 /nfs/dbraw/zinc/86/26/50/422862650.db2.gz RRBFYLPQVQWZGM-GFCCVEGCSA-N -1 1 303.391 1.279 20 0 DDADMM CCC(CC)[C@@H](O)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331286695 411057268 /nfs/dbraw/zinc/05/72/68/411057268.db2.gz MITJIVYTUNKCDG-NSHDSACASA-N -1 1 306.453 1.835 20 0 DDADMM COc1cc(C(=O)N(C)CC(=O)N(C)C)cc(Cl)c1[O-] ZINC000344274637 411067691 /nfs/dbraw/zinc/06/76/91/411067691.db2.gz NVUKNETYDTWBFN-UHFFFAOYSA-N -1 1 300.742 1.214 20 0 DDADMM O=S(=O)([N-]CCCN1CCOCC1)c1ccc(C(F)F)o1 ZINC000631638154 422863328 /nfs/dbraw/zinc/86/33/28/422863328.db2.gz KRUYHWVQZAVZHE-UHFFFAOYSA-N -1 1 324.349 1.218 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCOCC(F)(F)F)sn1 ZINC000631707808 422888338 /nfs/dbraw/zinc/88/83/38/422888338.db2.gz KPMZRQSLYCNBRL-UHFFFAOYSA-N -1 1 304.315 1.309 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H](C)c2cncnc2)c1Br ZINC000629966216 422898811 /nfs/dbraw/zinc/89/88/11/422898811.db2.gz RWFMLEYMCREJPR-SSDOTTSWSA-N -1 1 324.182 1.689 20 0 DDADMM CCc1cc(N2CCC(N=c3[nH]c(C(=O)[O-])co3)CC2)ncn1 ZINC000580332240 422921095 /nfs/dbraw/zinc/92/10/95/422921095.db2.gz LKQWAQPCWAZCQW-UHFFFAOYSA-N -1 1 317.349 1.228 20 0 DDADMM COc1ccccc1[C@@H]1C[C@H]1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000580407792 422928394 /nfs/dbraw/zinc/92/83/94/422928394.db2.gz HZUPMKNFISVZTP-WCFLWFBJSA-N -1 1 315.377 1.574 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2cncc([O-])c2)[C@@H]1n1cccn1 ZINC000647599595 422968081 /nfs/dbraw/zinc/96/80/81/422968081.db2.gz UKZLLBCIARGYJA-MJBXVCDLSA-N -1 1 302.334 1.132 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ccc([O-])c(F)c2)[C@H]1n1cccn1 ZINC000647600277 422968103 /nfs/dbraw/zinc/96/81/03/422968103.db2.gz QWISOCPOQWFJTJ-BPLDGKMQSA-N -1 1 319.336 1.876 20 0 DDADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CCC1(F)F ZINC000356773870 298871727 /nfs/dbraw/zinc/87/17/27/298871727.db2.gz MOIWUGHLGUIUAD-SECBINFHSA-N -1 1 313.304 1.021 20 0 DDADMM C[C@@H]1CN(CCN2Cc3ccccc3[C@H](C(=O)[O-])C2)CCO1 ZINC000652470428 423032015 /nfs/dbraw/zinc/03/20/15/423032015.db2.gz WYRXWEBFPLHTSW-CZUORRHYSA-N -1 1 304.390 1.391 20 0 DDADMM O=C([O-])[C@@H]1CN(Cc2nncn2-c2ccccc2)C[C@H]1C1CC1 ZINC000652512649 423049878 /nfs/dbraw/zinc/04/98/78/423049878.db2.gz LPUNDJLLBOTWHN-LSDHHAIUSA-N -1 1 312.373 1.810 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H]2CCO[C@H]2C)c(=O)[n-]1 ZINC000135297681 196461605 /nfs/dbraw/zinc/46/16/05/196461605.db2.gz QFQMEDKPMWOZFU-ONGXEEELSA-N -1 1 311.407 1.026 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@H](OC)C(C)C)c1ccco1 ZINC000645575546 423093704 /nfs/dbraw/zinc/09/37/04/423093704.db2.gz WMAMXBRRGSYVQB-YPMHNXCESA-N -1 1 305.396 1.558 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-]c1cc(OC(F)F)n(C)n1 ZINC000488308874 416559155 /nfs/dbraw/zinc/55/91/55/416559155.db2.gz DNFMUWNHAFYNJC-UHFFFAOYSA-N -1 1 312.342 1.410 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1nccc(N)n1 ZINC000540872533 416594394 /nfs/dbraw/zinc/59/43/94/416594394.db2.gz YGKIYRROVAZMMH-UHFFFAOYSA-N -1 1 316.365 1.090 20 0 DDADMM CN(C)C(=O)O[C@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000295655560 225091236 /nfs/dbraw/zinc/09/12/36/225091236.db2.gz MPJRJTPZOIXROX-NSHDSACASA-N -1 1 310.325 1.834 20 0 DDADMM O=C(N[C@H]1CCCNC1=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000295666108 225103146 /nfs/dbraw/zinc/10/31/46/225103146.db2.gz GPTYTXWHNTTYSU-NSHDSACASA-N -1 1 319.748 1.602 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnc(C)nc1)c1ccccc1 ZINC000295909239 225368773 /nfs/dbraw/zinc/36/87/73/225368773.db2.gz IVMNDPAXJXDCLX-CQSZACIVSA-N -1 1 307.375 1.914 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2C[C@H]2C(F)(F)F)cc(=O)[n-]1 ZINC000640656387 423117923 /nfs/dbraw/zinc/11/79/23/423117923.db2.gz YWWCMSAIXAZUME-RNFRBKRXSA-N -1 1 307.297 1.719 20 0 DDADMM CSc1nc(CNC(=O)c2cnn(C(C)(C)C)c2)cc(=O)[n-]1 ZINC000640659393 423121009 /nfs/dbraw/zinc/12/10/09/423121009.db2.gz XRHJFQQQQVJNPV-UHFFFAOYSA-N -1 1 321.406 1.786 20 0 DDADMM C[C@H](OC1CCCCCC1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000366182153 418439119 /nfs/dbraw/zinc/43/91/19/418439119.db2.gz KXUVMGWAKRONGA-AAEUAGOBSA-N -1 1 323.397 1.228 20 0 DDADMM CCc1noc([C@H]2C[C@H](O)CN2C(=O)c2ccc([O-])cc2F)n1 ZINC000366222945 418445672 /nfs/dbraw/zinc/44/56/72/418445672.db2.gz KUPJIEIYDRZQHJ-JOYOIKCWSA-N -1 1 321.308 1.425 20 0 DDADMM CC[C@]1([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCOC1 ZINC000645678852 423139110 /nfs/dbraw/zinc/13/91/10/423139110.db2.gz NNPIEPDAKMEASR-NSHDSACASA-N -1 1 324.324 1.948 20 0 DDADMM COc1ccc(-n2nnc(C(=O)[N-]c3nc(C)no3)c2C)cc1 ZINC000193793937 418536993 /nfs/dbraw/zinc/53/69/93/418536993.db2.gz HIGSGBHPZOQRLK-UHFFFAOYSA-N -1 1 314.305 1.528 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCCC(C)(C)O ZINC000360906483 418544956 /nfs/dbraw/zinc/54/49/56/418544956.db2.gz ORQLZCNLRGJVGF-UHFFFAOYSA-N -1 1 320.393 1.262 20 0 DDADMM O=C(NC1COCCOC1)c1ccc(Br)cc1[O-] ZINC000311020214 418591508 /nfs/dbraw/zinc/59/15/08/418591508.db2.gz YZTHJIZZOFJDCX-UHFFFAOYSA-N -1 1 316.151 1.300 20 0 DDADMM COc1cccc(Cl)c1CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000361209113 418605537 /nfs/dbraw/zinc/60/55/37/418605537.db2.gz CXDFALDDZKUFOJ-UHFFFAOYSA-N -1 1 309.757 1.456 20 0 DDADMM Cc1nc(S(=O)(=O)[C@@H](C)c2nccn2-c2ccccc2)n[n-]1 ZINC000367843599 418645765 /nfs/dbraw/zinc/64/57/65/418645765.db2.gz OZGAHKIVZDAIAZ-JTQLQIEISA-N -1 1 317.374 1.834 20 0 DDADMM O=C(N[C@@H]1CC(=O)N2CCCC[C@H]12)c1c(F)ccc([O-])c1F ZINC000367724139 418627710 /nfs/dbraw/zinc/62/77/10/418627710.db2.gz WAYXZFUYOUKEAY-NXEZZACHSA-N -1 1 310.300 1.554 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CS(=O)(=O)c2ccccc21 ZINC000370925803 418750614 /nfs/dbraw/zinc/75/06/14/418750614.db2.gz YRLRVGVROGMZQR-NSHDSACASA-N -1 1 318.354 1.388 20 0 DDADMM CCOc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)ccc1C ZINC000371679012 418808112 /nfs/dbraw/zinc/80/81/12/418808112.db2.gz INPXVBAJOZPFOE-ZDUSSCGKSA-N -1 1 317.349 1.121 20 0 DDADMM COc1cc(C(=O)NCC[C@@]2(O)CCOC2)cc(Cl)c1[O-] ZINC000652810065 423149517 /nfs/dbraw/zinc/14/95/17/423149517.db2.gz YBNMFELQECUFMX-CQSZACIVSA-N -1 1 315.753 1.326 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cncc(C(=O)OC)c1)OC ZINC000421240524 419534721 /nfs/dbraw/zinc/53/47/21/419534721.db2.gz WGYIASOZUCEFTI-NSHDSACASA-N -1 1 302.352 1.035 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cnc(C(C)(C)C)nc1)OC ZINC000421154763 419508178 /nfs/dbraw/zinc/50/81/78/419508178.db2.gz NGOPLSWKAAFWHH-LLVKDONJSA-N -1 1 301.412 1.941 20 0 DDADMM Cc1cc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)ncn1 ZINC000427045413 419586517 /nfs/dbraw/zinc/58/65/17/419586517.db2.gz QGTUIRXFGHKEIC-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM Cc1cc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)ncn1 ZINC000427045413 419586526 /nfs/dbraw/zinc/58/65/26/419586526.db2.gz QGTUIRXFGHKEIC-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM COC[C@H]1C[C@@H](O)CN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000652821481 423152647 /nfs/dbraw/zinc/15/26/47/423152647.db2.gz MEVKSONVBAYQEK-NXEZZACHSA-N -1 1 319.279 1.633 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1ncc(C)cc1[O-])c1ccccc1 ZINC000427246685 419627893 /nfs/dbraw/zinc/62/78/93/419627893.db2.gz SAVHHJDUZANUFV-CYBMUJFWSA-N -1 1 314.341 1.782 20 0 DDADMM C[C@H](C(=O)[O-])C1(NS(=O)(=O)c2c(F)cc(F)cc2F)CC1 ZINC000650426084 423153122 /nfs/dbraw/zinc/15/31/22/423153122.db2.gz APGMNEWAJXZINS-ZCFIWIBFSA-N -1 1 323.292 1.636 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)CC2CCCCCC2)n1 ZINC000427993884 419782861 /nfs/dbraw/zinc/78/28/61/419782861.db2.gz FPVJGPSJXTUOSO-UHFFFAOYSA-N -1 1 300.384 1.507 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)CC2CCCCCC2)[n-]1 ZINC000427993884 419782867 /nfs/dbraw/zinc/78/28/67/419782867.db2.gz FPVJGPSJXTUOSO-UHFFFAOYSA-N -1 1 300.384 1.507 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](CO)CC(F)F)c(F)cc1F ZINC000428413084 419862329 /nfs/dbraw/zinc/86/23/29/419862329.db2.gz NUCGBSSNTDAQIU-ZETCQYMHSA-N -1 1 315.288 1.568 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCCO[C@@H]2CCOC2)sc1C ZINC000435227484 229363152 /nfs/dbraw/zinc/36/31/52/229363152.db2.gz SXVMWQBEYYZVGQ-LLVKDONJSA-N -1 1 320.436 1.234 20 0 DDADMM CCOC(=O)[C@H](Cc1ccccn1)NC(=O)c1ncccc1[O-] ZINC000430502132 420124562 /nfs/dbraw/zinc/12/45/62/420124562.db2.gz UJMCSSORVQADGO-LBPRGKRZSA-N -1 1 315.329 1.086 20 0 DDADMM CC[C@@H](C)[C@H]1CCCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416167734 420266175 /nfs/dbraw/zinc/26/61/75/420266175.db2.gz UHDHPMJWBJAIGJ-NXEZZACHSA-N -1 1 309.366 1.937 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCc1ccccc1F ZINC000416223709 420285592 /nfs/dbraw/zinc/28/55/92/420285592.db2.gz HRFIUPNOBHPLBA-UHFFFAOYSA-N -1 1 321.308 1.398 20 0 DDADMM CC(C)(CNC(=O)c1cc(F)ccc1[O-])C1(O)CCOCC1 ZINC000416316675 420329430 /nfs/dbraw/zinc/32/94/30/420329430.db2.gz HNMBFJHZGKCRSX-UHFFFAOYSA-N -1 1 311.353 1.829 20 0 DDADMM Cc1n[nH]cc1S(=O)(=O)[N-]c1cc(-c2nc[nH]n2)ccc1F ZINC000436477830 420330108 /nfs/dbraw/zinc/33/01/08/420330108.db2.gz MTGMUUQIRQTWPW-UHFFFAOYSA-N -1 1 322.325 1.443 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2c[nH]c(=O)cc2C)c1 ZINC000436505193 420335503 /nfs/dbraw/zinc/33/55/03/420335503.db2.gz PKBUMVFHDDKUSV-UHFFFAOYSA-N -1 1 302.286 1.840 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2[n-]nc(C(C)(C)C)s2)C[C@H](C)N1C ZINC000436503107 420335796 /nfs/dbraw/zinc/33/57/96/420335796.db2.gz LHLKPOKBEAYGTL-AOOOYVTPSA-N -1 1 311.455 1.814 20 0 DDADMM CN(C(=O)c1ccc(Br)cc1[O-])[C@H]1CCNC1=O ZINC000436523847 420336547 /nfs/dbraw/zinc/33/65/47/420336547.db2.gz CXLPMIIERHQJJK-VIFPVBQESA-N -1 1 313.151 1.115 20 0 DDADMM O=C(c1ccc2[nH]cnc2c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425312706 420344956 /nfs/dbraw/zinc/34/49/56/420344956.db2.gz ZRKOVDCFPWVMTH-SNVBAGLBSA-N -1 1 313.317 1.671 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@H](C(=O)OC)c1ccccc1)OC ZINC000420657322 420345811 /nfs/dbraw/zinc/34/58/11/420345811.db2.gz ASKLZCVEDNSKBU-STQMWFEESA-N -1 1 315.391 1.245 20 0 DDADMM O=C(NC[C@@H](CO)CC1CCCC1)C(=O)c1ccc([O-])cc1 ZINC000436636124 420348265 /nfs/dbraw/zinc/34/82/65/420348265.db2.gz TVPMLTCIIIOBAZ-ZDUSSCGKSA-N -1 1 305.374 1.880 20 0 DDADMM CCOC(=O)[C@@H](CC)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425322805 420350726 /nfs/dbraw/zinc/35/07/26/420350726.db2.gz OBZDYSGOBDUGPF-JTQLQIEISA-N -1 1 311.338 1.071 20 0 DDADMM COCCO[C@H]1COCC[C@@H]1NC(=O)c1cc(F)ccc1[O-] ZINC000436708579 420357696 /nfs/dbraw/zinc/35/76/96/420357696.db2.gz SFLXWEJGVYSMEB-JSGCOSHPSA-N -1 1 313.325 1.082 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1)[C@H]1CCCO1 ZINC000436910061 420381173 /nfs/dbraw/zinc/38/11/73/420381173.db2.gz BVEZBEKRKJHBRM-SNVBAGLBSA-N -1 1 308.725 1.948 20 0 DDADMM O=C(Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1)[C@H]1CCCO1 ZINC000436910061 420381177 /nfs/dbraw/zinc/38/11/77/420381177.db2.gz BVEZBEKRKJHBRM-SNVBAGLBSA-N -1 1 308.725 1.948 20 0 DDADMM O=C(Nc1ccc(-n2cccn2)nc1)C(=O)c1ccc([O-])cc1 ZINC000436764043 420365732 /nfs/dbraw/zinc/36/57/32/420365732.db2.gz JMTMTPYZCBLHMK-UHFFFAOYSA-N -1 1 308.297 1.794 20 0 DDADMM COC(=O)c1cccc(CNC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000438920677 420470255 /nfs/dbraw/zinc/47/02/55/420470255.db2.gz REVKLDZEIDEDDI-UHFFFAOYSA-N -1 1 313.309 1.678 20 0 DDADMM CC[C@H](C)[C@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)OC ZINC000454277747 420829395 /nfs/dbraw/zinc/82/93/95/420829395.db2.gz KGONJQDSAKJOFT-GWCFXTLKSA-N -1 1 303.362 1.714 20 0 DDADMM O=C(/C=C\c1ccncn1)Nc1nc(Br)ccc1[O-] ZINC000493692928 420917068 /nfs/dbraw/zinc/91/70/68/420917068.db2.gz MSWLMBVDJZEYDF-RJRFIUFISA-N -1 1 321.134 1.992 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1ccc2c(C)[nH]nc2c1 ZINC000471977403 420962167 /nfs/dbraw/zinc/96/21/67/420962167.db2.gz MBDYWYKAMAJQDM-UHFFFAOYSA-N -1 1 305.363 1.889 20 0 DDADMM CCC[C@H](CC(C)C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000449836176 421094317 /nfs/dbraw/zinc/09/43/17/421094317.db2.gz SPQLTUPWMXHVDO-SECBINFHSA-N -1 1 302.400 1.609 20 0 DDADMM CCC[C@H](CC(C)C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000449836176 421094321 /nfs/dbraw/zinc/09/43/21/421094321.db2.gz SPQLTUPWMXHVDO-SECBINFHSA-N -1 1 302.400 1.609 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2(c3ccccc3)CCC2)n1 ZINC000488711359 421106029 /nfs/dbraw/zinc/10/60/29/421106029.db2.gz HQNDFOFIMJXBIC-UHFFFAOYSA-N -1 1 320.374 1.269 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C2(c3ccccc3)CCC2)[n-]1 ZINC000488711359 421106033 /nfs/dbraw/zinc/10/60/33/421106033.db2.gz HQNDFOFIMJXBIC-UHFFFAOYSA-N -1 1 320.374 1.269 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)C)N(C)C(=O)c1cccc2nn[nH]c21 ZINC000525873656 421308132 /nfs/dbraw/zinc/30/81/32/421308132.db2.gz PAXLGNMAJXRZQW-VIFPVBQESA-N -1 1 303.366 1.333 20 0 DDADMM O=C(COc1ccccc1F)NC1(c2nn[n-]n2)CCCC1 ZINC000524041987 421252155 /nfs/dbraw/zinc/25/21/55/421252155.db2.gz LJMNIBGLTIZXFX-UHFFFAOYSA-N -1 1 305.313 1.303 20 0 DDADMM COc1ccc(S(=O)(=O)N=c2cc3ccccn3[n-]2)c(C)c1 ZINC000560453327 421266586 /nfs/dbraw/zinc/26/65/86/421266586.db2.gz TXYNBFGSVSIZMH-UHFFFAOYSA-N -1 1 317.370 1.874 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@H](OCc3ccccn3)C2)c([O-])c1 ZINC000545887390 421268441 /nfs/dbraw/zinc/26/84/41/421268441.db2.gz YXBGVIXZGBRVTK-CQSZACIVSA-N -1 1 313.357 1.922 20 0 DDADMM C[C@@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCCc2ccccc21 ZINC000547309336 421321752 /nfs/dbraw/zinc/32/17/52/421321752.db2.gz OUDMPGNTZQSZOY-QGZVFWFLSA-N -1 1 323.356 1.399 20 0 DDADMM Cc1nc2cc(C(=O)NC3(c4nn[n-]n4)CCCC3)ccc2o1 ZINC000527164945 421361027 /nfs/dbraw/zinc/36/10/27/421361027.db2.gz MMTVSNLUOIYOPO-UHFFFAOYSA-N -1 1 312.333 1.849 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(NC2CC2)nc1)c1nn[n-]n1 ZINC000547809918 421369875 /nfs/dbraw/zinc/36/98/75/421369875.db2.gz ZUAHSEMZPLQYBN-SECBINFHSA-N -1 1 301.354 1.045 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@@H]1CCCCC(=O)N1 ZINC000562506920 421374963 /nfs/dbraw/zinc/37/49/63/421374963.db2.gz XUQVEFZRVWYZQB-LBPRGKRZSA-N -1 1 314.345 1.780 20 0 DDADMM CC(C)[C@H]1C[C@@H](CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)CCO1 ZINC000548139078 421405433 /nfs/dbraw/zinc/40/54/33/421405433.db2.gz UYZOIIRDMURDEB-FRRDWIJNSA-N -1 1 309.414 1.603 20 0 DDADMM Nc1nc2c(s1)C[C@@H](NC(=O)c1cc(F)ccc1[O-])CC2 ZINC000562853251 421421898 /nfs/dbraw/zinc/42/18/98/421421898.db2.gz YAKVSGYGTFMMCL-QMMMGPOBSA-N -1 1 307.350 1.688 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnc(C)nc1C1CC1)C1CC1 ZINC000514604433 421438962 /nfs/dbraw/zinc/43/89/62/421438962.db2.gz YEGAMHPCCIDGIV-ZDUSSCGKSA-N -1 1 311.407 1.829 20 0 DDADMM COCc1nc(C(=O)[N-]c2n[nH]c(-c3ccccc3F)n2)co1 ZINC000548516761 421447001 /nfs/dbraw/zinc/44/70/01/421447001.db2.gz MHZHOWYONNTHSN-UHFFFAOYSA-N -1 1 317.280 1.998 20 0 DDADMM C[C@]1(Br)C[C@H]1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000528600910 421499910 /nfs/dbraw/zinc/49/99/10/421499910.db2.gz VNGGJRCEMXIXID-XVKPBYJWSA-N -1 1 314.187 1.259 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)CC2CC2)n[n-]1 ZINC000551922156 421554909 /nfs/dbraw/zinc/55/49/09/421554909.db2.gz LZBWCSVRRUZPCO-NSHDSACASA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)CC2CC2)[n-]1 ZINC000551922156 421554913 /nfs/dbraw/zinc/55/49/13/421554913.db2.gz LZBWCSVRRUZPCO-NSHDSACASA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)CC2CC2)n1 ZINC000551922156 421554915 /nfs/dbraw/zinc/55/49/15/421554915.db2.gz LZBWCSVRRUZPCO-NSHDSACASA-N -1 1 308.382 1.985 20 0 DDADMM Cn1nc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1C1CC1 ZINC000570203185 421632217 /nfs/dbraw/zinc/63/22/17/421632217.db2.gz TWCYLSQLVLTRTP-JTQLQIEISA-N -1 1 317.349 1.406 20 0 DDADMM CCOC(=O)c1cc(=NC(=O)Cc2[nH]nc3ccccc32)[nH][n-]1 ZINC000554596179 421653654 /nfs/dbraw/zinc/65/36/54/421653654.db2.gz PHPATBJQLBSAIB-UHFFFAOYSA-N -1 1 313.317 1.066 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCC(C)(C)C[C@@H]1C ZINC000570552631 421653981 /nfs/dbraw/zinc/65/39/81/421653981.db2.gz ANZIOPMGQQUOLR-WPRPVWTQSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCC(C)(C)C[C@@H]1C ZINC000570552631 421653982 /nfs/dbraw/zinc/65/39/82/421653982.db2.gz ANZIOPMGQQUOLR-WPRPVWTQSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCC(C)(C)C[C@H]1C ZINC000570552627 421654132 /nfs/dbraw/zinc/65/41/32/421654132.db2.gz ANZIOPMGQQUOLR-SCZZXKLOSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCC(C)(C)C[C@H]1C ZINC000570552627 421654134 /nfs/dbraw/zinc/65/41/34/421654134.db2.gz ANZIOPMGQQUOLR-SCZZXKLOSA-N -1 1 301.412 1.889 20 0 DDADMM COCc1nsc(=N[C@H]2CCCC[C@@H]2N2CCOCC2)[n-]1 ZINC000532421853 421659978 /nfs/dbraw/zinc/65/99/78/421659978.db2.gz QFXZMWAIRPKQBP-RYUDHWBXSA-N -1 1 312.439 1.162 20 0 DDADMM O=C(CNCC1CC1)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000519773736 421726695 /nfs/dbraw/zinc/72/66/95/421726695.db2.gz OGDWYQPLEVLSMP-UHFFFAOYSA-N -1 1 306.316 1.715 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CC2CCC1CC2 ZINC000570936790 421678765 /nfs/dbraw/zinc/67/87/65/421678765.db2.gz UUPUYVPHARKWTI-XKGSQUFQSA-N -1 1 315.377 1.362 20 0 DDADMM C[C@H]1Cc2cccc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)c2O1 ZINC000571032437 421682925 /nfs/dbraw/zinc/68/29/25/421682925.db2.gz RRFGMYBCOWFZNY-JQWIXIFHSA-N -1 1 313.361 1.543 20 0 DDADMM CC(C)(C)CCCS(=O)(=O)N1CCCN(CC(=O)[O-])CC1 ZINC000519826194 421732258 /nfs/dbraw/zinc/73/22/58/421732258.db2.gz XGCHVGYPRLZWAM-UHFFFAOYSA-N -1 1 320.455 1.235 20 0 DDADMM COCC[N@H+](CC(=O)[O-])C[C@@H](O)COc1ccccc1Cl ZINC000571649844 421735214 /nfs/dbraw/zinc/73/52/14/421735214.db2.gz WITVPDSQIHCSPF-LLVKDONJSA-N -1 1 317.769 1.113 20 0 DDADMM Cc1oc(C(C)C)nc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000520626685 421759463 /nfs/dbraw/zinc/75/94/63/421759463.db2.gz HRYXMEUYKLVFAP-UHFFFAOYSA-N -1 1 304.354 1.819 20 0 DDADMM O=C(Cc1ccc2c(c1)CCO2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000540424211 421763739 /nfs/dbraw/zinc/76/37/39/421763739.db2.gz JDVCLZMYFYVHQV-CYBMUJFWSA-N -1 1 313.361 1.083 20 0 DDADMM CO[C@H](C)c1nc(=N[C@H]2CCC[C@H](S(C)(=O)=O)C2)s[n-]1 ZINC000558687746 421820982 /nfs/dbraw/zinc/82/09/82/421820982.db2.gz BFBDRMVGKOBRLM-UTLUCORTSA-N -1 1 319.452 1.435 20 0 DDADMM CC(C)=CCC[C@H](C)CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000572409124 421785459 /nfs/dbraw/zinc/78/54/59/421785459.db2.gz ZSVGHHZTKXSDAQ-JTQLQIEISA-N -1 1 314.411 1.919 20 0 DDADMM CC(C)=CCC[C@H](C)CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000572409124 421785464 /nfs/dbraw/zinc/78/54/64/421785464.db2.gz ZSVGHHZTKXSDAQ-JTQLQIEISA-N -1 1 314.411 1.919 20 0 DDADMM O=C(COC[C@H]1CCCO1)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000521791057 421799651 /nfs/dbraw/zinc/79/96/51/421799651.db2.gz YTNLDYYMGLXGBT-SNVBAGLBSA-N -1 1 307.375 1.694 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N(CC(=O)[O-])Cc1ccccc1 ZINC000630126728 421903127 /nfs/dbraw/zinc/90/31/27/421903127.db2.gz JJIJELJVPZFTRF-LBPRGKRZSA-N -1 1 301.346 1.702 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2nccs2)c1 ZINC000631849712 421889874 /nfs/dbraw/zinc/88/98/74/421889874.db2.gz RXQGVOIDKJZELN-UHFFFAOYSA-N -1 1 314.388 1.378 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@H]3CCCNC3=O)[nH][n-]2)c1 ZINC000633422404 421895322 /nfs/dbraw/zinc/89/53/22/421895322.db2.gz LWNLVQKJJUZYRO-JTQLQIEISA-N -1 1 316.336 1.411 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2Cc3ccccc32)CC1 ZINC000630222179 421973245 /nfs/dbraw/zinc/97/32/45/421973245.db2.gz DEXCOKFHZBUQRY-WFASDCNBSA-N -1 1 302.374 1.334 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NCCOc1ccc(C(=O)[O-])cc1 ZINC000630155469 421923289 /nfs/dbraw/zinc/92/32/89/421923289.db2.gz JAOXRVCXURIBJM-NSHDSACASA-N -1 1 317.345 1.482 20 0 DDADMM O=C(C1Cc2ccccc2C1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000581306535 421943757 /nfs/dbraw/zinc/94/37/57/421943757.db2.gz AFJKUMXHUSEMOQ-UHFFFAOYSA-N -1 1 313.357 1.896 20 0 DDADMM C[C@@H]1CN[C@@H](C)CN1S(=O)(=O)c1cccc(Cl)c1[O-] ZINC000631929888 421947328 /nfs/dbraw/zinc/94/73/28/421947328.db2.gz PXXJRINVMOHBAX-DTWKUNHWSA-N -1 1 304.799 1.417 20 0 DDADMM CC[C@@H](C)C[C@@H]([N-]S(=O)(=O)Cc1ccon1)C(=O)OC ZINC000581367377 421957273 /nfs/dbraw/zinc/95/72/73/421957273.db2.gz WIIPZKQZXYFMBD-MWLCHTKSSA-N -1 1 304.368 1.072 20 0 DDADMM CN(C)c1ccncc1C(=O)N1C[C@@H]2CCCC[C@]2(C(=O)[O-])C1 ZINC000630219148 421968184 /nfs/dbraw/zinc/96/81/84/421968184.db2.gz JLRRZPDLSRBYMX-SJCJKPOMSA-N -1 1 317.389 1.865 20 0 DDADMM C[C@@H](CN1CCCC1=O)NC(=O)N=c1[n-]sc2ccccc21 ZINC000630348418 422035519 /nfs/dbraw/zinc/03/55/19/422035519.db2.gz PHDDCTFXAWIBDQ-JTQLQIEISA-N -1 1 318.402 1.851 20 0 DDADMM Cc1ccc(CCC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1 ZINC000630225455 421976836 /nfs/dbraw/zinc/97/68/36/421976836.db2.gz VKTHFNWFBJQEOY-HNNXBMFYSA-N -1 1 318.417 1.935 20 0 DDADMM C[C@H]1CN(C(=O)CCCc2nn[n-]n2)C[C@H](c2ccccc2)O1 ZINC000635422409 421978757 /nfs/dbraw/zinc/97/87/57/421978757.db2.gz MBIWXWRDAQMHFB-GXTWGEPZSA-N -1 1 315.377 1.511 20 0 DDADMM COc1c(C)cnc(CN(C)C(=O)CCCc2nn[n-]n2)c1C ZINC000635451441 421999263 /nfs/dbraw/zinc/99/92/63/421999263.db2.gz OWROMYCUBFSZPU-UHFFFAOYSA-N -1 1 318.381 1.201 20 0 DDADMM CO[C@]1(CO)CCCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000633618372 422004825 /nfs/dbraw/zinc/00/48/25/422004825.db2.gz SOOJXEORBOVUDM-OAHLLOKOSA-N -1 1 313.781 1.588 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@H]2NC(=O)CC[C@@H]2C1 ZINC000633624822 422011345 /nfs/dbraw/zinc/01/13/45/422011345.db2.gz JHJLIWVHJABHKF-DGCLKSJQSA-N -1 1 322.792 1.715 20 0 DDADMM CC[C@H](C)[C@](C)(O)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632011581 422020350 /nfs/dbraw/zinc/02/03/50/422020350.db2.gz ZOBUBEOJOZQNSH-IINYFYTJSA-N -1 1 317.407 1.476 20 0 DDADMM CN(Cc1nc2ccccc2n1C)C(=O)CCCc1nn[n-]n1 ZINC000635549276 422098278 /nfs/dbraw/zinc/09/82/78/422098278.db2.gz GSVPMCVYWVAEEY-UHFFFAOYSA-N -1 1 313.365 1.068 20 0 DDADMM Cc1cccc([C@@H]2C[C@H]2C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c1 ZINC000637272190 422111861 /nfs/dbraw/zinc/11/18/61/422111861.db2.gz RCNKPKCNBLEJPG-QWHCGFSZSA-N -1 1 323.356 1.777 20 0 DDADMM O=C([O-])CCCCNC(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000635502246 422049065 /nfs/dbraw/zinc/04/90/65/422049065.db2.gz BDSVORBHTCQXGA-ZDUSSCGKSA-N -1 1 323.393 1.971 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](c1cccnc1)C(C)C ZINC000633691315 422052069 /nfs/dbraw/zinc/05/20/69/422052069.db2.gz MDKJYXUHSSLSNQ-GFCCVEGCSA-N -1 1 315.377 1.273 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc(SC)ccc1C ZINC000633691230 422053830 /nfs/dbraw/zinc/05/38/30/422053830.db2.gz GFDNRNWSGWUOBU-UHFFFAOYSA-N -1 1 318.402 1.782 20 0 DDADMM C[C@@H]1c2c(F)cccc2CCN1C(=O)CCCc1nn[n-]n1 ZINC000635590704 422142151 /nfs/dbraw/zinc/14/21/51/422142151.db2.gz PNTYQZWBKOGTRH-SNVBAGLBSA-N -1 1 303.341 1.807 20 0 DDADMM C[N@H+]1CC=C(CNS(=O)(=O)c2cc(O)cc(F)c2)CC1 ZINC000632184361 422144681 /nfs/dbraw/zinc/14/46/81/422144681.db2.gz QXCNFODHRZIKBA-UHFFFAOYSA-N -1 1 300.355 1.072 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1(c2cccc(Cl)c2)CC1 ZINC000635599294 422150599 /nfs/dbraw/zinc/15/05/99/422150599.db2.gz JZQFDPMJSKRXIW-UHFFFAOYSA-N -1 1 305.769 1.981 20 0 DDADMM COc1ccc([C@@H](C)CCNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635607653 422160187 /nfs/dbraw/zinc/16/01/87/422160187.db2.gz QOTYQYKQVMGHDH-LBPRGKRZSA-N -1 1 317.393 1.841 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)c1ccc(Br)cc1 ZINC000630476385 422124910 /nfs/dbraw/zinc/12/49/10/422124910.db2.gz PURBNCHBLVIZKK-MRVPVSSYSA-N -1 1 324.182 1.772 20 0 DDADMM Cc1cc([C@@H](NC(=O)CCc2nn[n-]n2)C2CC2)ccc1F ZINC000632165337 422131723 /nfs/dbraw/zinc/13/17/23/422131723.db2.gz BSKHMFCZWTTXRM-HNNXBMFYSA-N -1 1 303.341 1.847 20 0 DDADMM C[C@H](C(=O)Nc1ccncc1[O-])n1ccc(C(F)(F)F)n1 ZINC000632169743 422136322 /nfs/dbraw/zinc/13/63/22/422136322.db2.gz DMDXIKSBIJDGIU-SSDOTTSWSA-N -1 1 300.240 1.624 20 0 DDADMM O=C(NCC[C@@H](O)C(F)F)c1ccc(Br)c([O-])c1 ZINC000634003760 422214351 /nfs/dbraw/zinc/21/43/51/422214351.db2.gz VSVYGSQIWZNDJA-MRVPVSSYSA-N -1 1 324.121 1.901 20 0 DDADMM CO[C@H](C(=O)NC1(c2nn[n-]n2)CCCC1)c1ccc(O)cc1 ZINC000575050120 422244506 /nfs/dbraw/zinc/24/45/06/422244506.db2.gz IPUJINSMECLXOS-LBPRGKRZSA-N -1 1 317.349 1.179 20 0 DDADMM CCCCO[C@@H]1C[C@H](N(C)C(=O)CCCc2nn[n-]n2)C1(C)C ZINC000635644435 422196678 /nfs/dbraw/zinc/19/66/78/422196678.db2.gz RQHKSBARJAYBCW-QWHCGFSZSA-N -1 1 323.441 1.965 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@@H]1CCS(=O)(=O)C1 ZINC000630683967 422268877 /nfs/dbraw/zinc/26/88/77/422268877.db2.gz FKYMCHONQIXIHW-JTQLQIEISA-N -1 1 317.794 1.139 20 0 DDADMM C[C@@H]([C@H]1Cc2ccccc2O1)N(C)C(=O)CCCc1nn[n-]n1 ZINC000635716461 422274761 /nfs/dbraw/zinc/27/47/61/422274761.db2.gz RWYIIOUQUIZUHZ-SMDDNHRTSA-N -1 1 315.377 1.373 20 0 DDADMM O=C(N[C@@H]1CC(=O)N2CCCC[C@H]12)c1csc(=NC2CC2)[n-]1 ZINC000575432511 422297704 /nfs/dbraw/zinc/29/77/04/422297704.db2.gz FRPFDIRZHWGWDW-ZYHUDNBSSA-N -1 1 320.418 1.023 20 0 DDADMM COCC[C@H](C)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632453595 422358423 /nfs/dbraw/zinc/35/84/23/422358423.db2.gz GEDQSQIZWHWKRC-ZETCQYMHSA-N -1 1 315.317 1.379 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCO[C@H](c2ccccc2)C1 ZINC000635802393 422360307 /nfs/dbraw/zinc/36/03/07/422360307.db2.gz OZVXLPUTJKDSQF-KGLIPLIRSA-N -1 1 315.377 1.559 20 0 DDADMM C[C@](CO)(Cc1ccc(F)cc1)NC(=O)c1cncc([O-])c1 ZINC000634293931 422371506 /nfs/dbraw/zinc/37/15/06/422371506.db2.gz GJOMAJNRADMPLS-MRXNPFEDSA-N -1 1 304.321 1.650 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1cccnc1C ZINC000628898984 422373772 /nfs/dbraw/zinc/37/37/72/422373772.db2.gz KMTFYHIINVLPCE-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccncc1)c1nc(C(F)(F)F)no1 ZINC000632479883 422379444 /nfs/dbraw/zinc/37/94/44/422379444.db2.gz LIYUWNFHWPKOAV-ZCFIWIBFSA-N -1 1 322.268 1.523 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCC[C@H](C)O2)c1 ZINC000632557864 422435960 /nfs/dbraw/zinc/43/59/60/422435960.db2.gz CIEFFQMUHIKDIR-CMPLNLGQSA-N -1 1 315.391 1.637 20 0 DDADMM O=C(N[C@@H]1COCC[C@H]1O)c1cc(Br)ccc1[O-] ZINC000634461038 422438641 /nfs/dbraw/zinc/43/86/41/422438641.db2.gz KVYYPXFHQLLFRY-MWLCHTKSSA-N -1 1 316.151 1.034 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H](O)C12CCC2)c1ccc(C(F)F)o1 ZINC000632562503 422439869 /nfs/dbraw/zinc/43/98/69/422439869.db2.gz QVXWKUHCMPWLFC-BDAKNGLRSA-N -1 1 307.318 1.799 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(F)cc1F)[C@H](O)C(F)(F)F ZINC000632673174 422510257 /nfs/dbraw/zinc/51/02/57/422510257.db2.gz JSLLFDZWDFLTLU-ANLVUFKYSA-N -1 1 319.251 1.555 20 0 DDADMM O=c1c2c([n-]n1[C@H]1CCCS(=O)(=O)C1)-c1ccccc1CC2 ZINC000634633847 422518336 /nfs/dbraw/zinc/51/83/36/422518336.db2.gz HWMNORIASWWQNS-JSGCOSHPSA-N -1 1 318.398 1.373 20 0 DDADMM CC(C)[C@@H]1CCc2[n-]n([C@H]3CCCS(=O)(=O)C3)c(=O)c2C1 ZINC000634634129 422518361 /nfs/dbraw/zinc/51/83/61/422518361.db2.gz OYNISHMLRDCEAE-FRRDWIJNSA-N -1 1 312.435 1.834 20 0 DDADMM Cc1nc(SCCNS(C)(=O)=O)[n-]c(=O)c1C1CC1 ZINC000631024763 422477115 /nfs/dbraw/zinc/47/71/15/422477115.db2.gz ZPZLBOICYQFZPR-UHFFFAOYSA-N -1 1 303.409 1.009 20 0 DDADMM CCOc1ccc(Cl)c([N-]S(=O)(=O)c2cnnn2C)c1 ZINC000629300558 422592175 /nfs/dbraw/zinc/59/21/75/422592175.db2.gz MGEIRMIUPXWQQP-UHFFFAOYSA-N -1 1 316.770 1.668 20 0 DDADMM Cc1cc(C(C)C)nc(N2CCN(c3nc(=N)[n-]s3)CC2)n1 ZINC000631156751 422566214 /nfs/dbraw/zinc/56/62/14/422566214.db2.gz CGLBSQPKGJZWRY-UHFFFAOYSA-N -1 1 319.438 1.499 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@@]3(C)CCNC3=O)[nH][n-]2)c1 ZINC000636021126 422568520 /nfs/dbraw/zinc/56/85/20/422568520.db2.gz BYIZHFMREZQXAK-INIZCTEOSA-N -1 1 316.336 1.411 20 0 DDADMM COc1cccc2c1ncc(C(=O)[O-])c2N1CCN(C)CC1 ZINC000578656060 422620090 /nfs/dbraw/zinc/62/00/90/422620090.db2.gz GLYVDAWBPYRWHB-UHFFFAOYSA-N -1 1 301.346 1.693 20 0 DDADMM Cc1c(Br)csc1C(=O)NCc1nn[n-]n1 ZINC000631234386 422622442 /nfs/dbraw/zinc/62/24/42/422622442.db2.gz ZBTNBIOPTQJDQF-UHFFFAOYSA-N -1 1 302.157 1.262 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-]c1c2c(ccc1Cl)OCC2 ZINC000629351282 422626663 /nfs/dbraw/zinc/62/66/63/422626663.db2.gz JIKPDRCTCNAYIN-UHFFFAOYSA-N -1 1 314.754 1.204 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2C[C@@H]3COC[C@@H]3C2)c(=O)[n-]1 ZINC000634780729 422641657 /nfs/dbraw/zinc/64/16/57/422641657.db2.gz SLLQSLUXURDNRK-PHIMTYICSA-N -1 1 323.418 1.250 20 0 DDADMM O=C(N[C@@H](CO)CCF)c1ccc(Br)c([O-])c1 ZINC000652912403 423210658 /nfs/dbraw/zinc/21/06/58/423210658.db2.gz FGHCDNMROGYORA-MRVPVSSYSA-N -1 1 306.131 1.605 20 0 DDADMM O=C(N[C@H](CO)CCF)c1ccc(Br)cc1[O-] ZINC000652913363 423211630 /nfs/dbraw/zinc/21/16/30/423211630.db2.gz ZSVHVQHBBGWXNQ-QMMMGPOBSA-N -1 1 306.131 1.605 20 0 DDADMM O=C([O-])c1cc(N2CCC[C@H](CN3CCOCC3)C2)ccn1 ZINC000650769799 423253984 /nfs/dbraw/zinc/25/39/84/423253984.db2.gz FIZZHQGSBKPEHY-CYBMUJFWSA-N -1 1 305.378 1.328 20 0 DDADMM O=C(Nc1nnc2nc[nH]n21)c1cc(Br)ccc1[O-] ZINC000646265957 423426403 /nfs/dbraw/zinc/42/64/03/423426403.db2.gz FCFNCHXCIBBJIP-UHFFFAOYSA-N -1 1 323.110 1.173 20 0 DDADMM C[C@@H]1C(=O)N(C)CN1C(=O)c1cc(Br)ccc1[O-] ZINC000648640582 423405472 /nfs/dbraw/zinc/40/54/72/423405472.db2.gz OMEPIFVVJHDJMU-SSDOTTSWSA-N -1 1 313.151 1.415 20 0 DDADMM Cc1csc(Nc2ccc(C(=O)NCc3nn[n-]n3)cc2)n1 ZINC000643982759 423430658 /nfs/dbraw/zinc/43/06/58/423430658.db2.gz YVUZVCLLPVKIKD-UHFFFAOYSA-N -1 1 315.362 1.638 20 0 DDADMM CC(=O)NC(C)(C)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000648732366 423433281 /nfs/dbraw/zinc/43/32/81/423433281.db2.gz VYTMMQLGTOIPTA-UHFFFAOYSA-N -1 1 302.334 1.636 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1CCc2cc(Br)ccc21 ZINC000648788784 423464488 /nfs/dbraw/zinc/46/44/88/423464488.db2.gz WZYSQXNNJFLCNN-SECBINFHSA-N -1 1 308.139 1.631 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1CCc2cc(Br)ccc21 ZINC000648788784 423464491 /nfs/dbraw/zinc/46/44/91/423464491.db2.gz WZYSQXNNJFLCNN-SECBINFHSA-N -1 1 308.139 1.631 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)c1 ZINC000651638237 423625800 /nfs/dbraw/zinc/62/58/00/423625800.db2.gz RREWAUGQKOFIHK-JFGNBEQYSA-N -1 1 322.386 1.580 20 0 DDADMM C[C@H]1C(=O)N(C)CN1C(=O)c1ccc(Br)c([O-])c1 ZINC000649159644 423653810 /nfs/dbraw/zinc/65/38/10/423653810.db2.gz RGTCYWPTLWPERT-ZETCQYMHSA-N -1 1 313.151 1.415 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)c1c[n-]n2c1nccc2=O ZINC000646965302 423692014 /nfs/dbraw/zinc/69/20/14/423692014.db2.gz ZYRKLZCCZGCDFN-UHFFFAOYSA-N -1 1 308.301 1.460 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CCF)c1cccnc1C(F)(F)F ZINC000651893932 423730996 /nfs/dbraw/zinc/73/09/96/423730996.db2.gz LPYOLSRXEOLXQE-SSDOTTSWSA-N -1 1 316.276 1.099 20 0 DDADMM CC(C)(C)N1CC[C@@H](N2CCC[C@H](c3n[n-]c(=N)o3)C2)C1=O ZINC000639819175 423757052 /nfs/dbraw/zinc/75/70/52/423757052.db2.gz FWVXHSQGXVCEQZ-WDEREUQCSA-N -1 1 307.398 1.061 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)C[C@H]1COc2ccccc2O1)C(F)F ZINC000641707437 423893275 /nfs/dbraw/zinc/89/32/75/423893275.db2.gz ZDBBWVDFWXBBHU-RKDXNWHRSA-N -1 1 307.318 1.399 20 0 DDADMM CCCCOCCNC(=O)CN1CCC(C)(C(=O)[O-])CC1 ZINC000647375290 424005534 /nfs/dbraw/zinc/00/55/34/424005534.db2.gz WEJSLQZTYFNMTR-UHFFFAOYSA-N -1 1 300.399 1.106 20 0 DDADMM O=C(c1cccc2nc[nH]c21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644883791 424009901 /nfs/dbraw/zinc/00/99/01/424009901.db2.gz COJCLTXQMDFQBW-SECBINFHSA-N -1 1 313.317 1.671 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CCCC(=O)N2)c(F)c1 ZINC000644860881 423987570 /nfs/dbraw/zinc/98/75/70/423987570.db2.gz WQCRMDJOWSMRGE-VIFPVBQESA-N -1 1 318.345 1.220 20 0 DDADMM O=C(C[C@@H]1OCc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000654944624 424044699 /nfs/dbraw/zinc/04/46/99/424044699.db2.gz WWDXQPVYBANPAJ-RISCZKNCSA-N -1 1 313.361 1.567 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C1(CO)CC1 ZINC000657103102 424112207 /nfs/dbraw/zinc/11/22/07/424112207.db2.gz RKDHGHUMVNTPKI-QMMMGPOBSA-N -1 1 307.774 1.918 20 0 DDADMM COCc1csc(C[N-]S(=O)(=O)c2c(C)noc2C)c1 ZINC000657149850 424159079 /nfs/dbraw/zinc/15/90/79/424159079.db2.gz BFZVGYYPBAOBFM-UHFFFAOYSA-N -1 1 316.404 1.978 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](O)C12CCC2)c1sccc1Cl ZINC000657189059 424201998 /nfs/dbraw/zinc/20/19/98/424201998.db2.gz TXNWMMOTIBJIKQ-IUCAKERBSA-N -1 1 307.824 1.983 20 0 DDADMM CCCCCc1cc(C(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)n[nH]1 ZINC000659782554 424250981 /nfs/dbraw/zinc/25/09/81/424250981.db2.gz DHBRDQGGFZRVIS-BDJLRTHQSA-N -1 1 321.377 1.316 20 0 DDADMM C[C@H](CC(=O)[O-])N(C)CCCS(=O)(=O)c1ccc(F)cc1 ZINC000659791650 424258823 /nfs/dbraw/zinc/25/88/23/424258823.db2.gz LCQDWTJONCJJRG-LLVKDONJSA-N -1 1 317.382 1.785 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N[C@]1(CCO)CCOC1 ZINC000640339755 424360999 /nfs/dbraw/zinc/36/09/99/424360999.db2.gz WWUZGWGQZQDONG-CQSZACIVSA-N -1 1 307.375 1.381 20 0 DDADMM CC[C@@H]1C(=O)NCCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640341224 424363535 /nfs/dbraw/zinc/36/35/35/424363535.db2.gz UBPOPLCJTNWYRA-SNVBAGLBSA-N -1 1 304.375 1.461 20 0 DDADMM C[C@H]1CO[C@@H](CO)CN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640341162 424364239 /nfs/dbraw/zinc/36/42/39/424364239.db2.gz DWIIKKNBIUOYIF-VHSXEESVSA-N -1 1 307.375 1.332 20 0 DDADMM CCOC[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CCO1 ZINC000640343435 424368029 /nfs/dbraw/zinc/36/80/29/424368029.db2.gz QCFPHLXEXRDUJQ-NSHDSACASA-N -1 1 321.402 1.987 20 0 DDADMM CN(CC1=CCSC1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000664471630 424602757 /nfs/dbraw/zinc/60/27/57/424602757.db2.gz SLBAZVGJJMLCFN-UHFFFAOYSA-N -1 1 301.371 1.668 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(CCOCc3ccccc3)C2)n[nH]1 ZINC000660559353 424717089 /nfs/dbraw/zinc/71/70/89/424717089.db2.gz XHRDGZMELGWXNM-CQSZACIVSA-N -1 1 302.378 1.907 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2ccc3ccccc3c2[O-])CCO1 ZINC000321435756 271028174 /nfs/dbraw/zinc/02/81/74/271028174.db2.gz DDSSCQZBFGLNJB-AWEZNQCLSA-N -1 1 315.325 1.559 20 0 DDADMM O=C(Nc1nnn[n-]1)C1=Cc2cc(Br)ccc2OC1 ZINC000342265497 271359065 /nfs/dbraw/zinc/35/90/65/271359065.db2.gz STRYUZDXTIKZNA-UHFFFAOYSA-N -1 1 322.122 1.377 20 0 DDADMM O=C(Nc1nn[n-]n1)C1=Cc2cc(Br)ccc2OC1 ZINC000342265497 271359067 /nfs/dbraw/zinc/35/90/67/271359067.db2.gz STRYUZDXTIKZNA-UHFFFAOYSA-N -1 1 322.122 1.377 20 0 DDADMM CC(C)(C)OC(=O)NCCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000491518219 272100449 /nfs/dbraw/zinc/10/04/49/272100449.db2.gz IUFLNEFHONBYFZ-UHFFFAOYSA-N -1 1 324.385 1.000 20 0 DDADMM COCCN(Cc1cncs1)C(=O)c1ncc(C)cc1[O-] ZINC000344785058 272118147 /nfs/dbraw/zinc/11/81/47/272118147.db2.gz MOWXISRRWFEBMF-UHFFFAOYSA-N -1 1 307.375 1.841 20 0 DDADMM CCCCN1CC(=O)N[C@@H]1c1n[nH]c2cc(C(=O)[O-])ccc21 ZINC000345400158 272240603 /nfs/dbraw/zinc/24/06/03/272240603.db2.gz XDPBOLIWXTVCCQ-AWEZNQCLSA-N -1 1 302.334 1.492 20 0 DDADMM CNC(=O)c1sc2[n-]c(-c3cnccn3)nc(=O)c2c1C ZINC000054752844 277262518 /nfs/dbraw/zinc/26/25/18/277262518.db2.gz VACJTNMMAFKVNV-UHFFFAOYSA-N -1 1 301.331 1.110 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H]1CCOc2ccccc21)c1nn[n-]n1 ZINC000183407027 278176954 /nfs/dbraw/zinc/17/69/54/278176954.db2.gz HNPUTQUGGRERSK-VXGBXAGGSA-N -1 1 315.377 1.718 20 0 DDADMM CC1(C)CN(CC(F)(F)F)CCN1C(=O)c1cncc([O-])c1 ZINC000284681432 278329968 /nfs/dbraw/zinc/32/99/68/278329968.db2.gz BDCLAXZRFRJPRA-UHFFFAOYSA-N -1 1 317.311 1.886 20 0 DDADMM CC1(C)OCC[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000295390758 278414506 /nfs/dbraw/zinc/41/45/06/278414506.db2.gz USEBJGLEBGIKNA-NSHDSACASA-N -1 1 309.309 1.950 20 0 DDADMM Cc1cc(C(=O)Nc2nn[n-]n2)nc(-c2ccc(Cl)cc2)n1 ZINC000152446416 280375935 /nfs/dbraw/zinc/37/59/35/280375935.db2.gz KYOAWEYMSVPHJK-UHFFFAOYSA-N -1 1 315.724 1.871 20 0 DDADMM COc1ccc(CNC(=O)c2nc(=O)[n-][nH]2)c(C(F)(F)F)c1 ZINC000102478398 281047268 /nfs/dbraw/zinc/04/72/68/281047268.db2.gz JGKIJQPSJPSSQF-UHFFFAOYSA-N -1 1 316.239 1.055 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2c([O-])cccc2F)cc1 ZINC000120936540 281127988 /nfs/dbraw/zinc/12/79/88/281127988.db2.gz BKLBFOSFOYDLPZ-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM CO[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000128167468 281301501 /nfs/dbraw/zinc/30/15/01/281301501.db2.gz ZQLMTLMQSGFCLB-GHMZBOCLSA-N -1 1 309.309 1.950 20 0 DDADMM CN(C)CCN(CC(=O)[O-])C(=O)CCC(=O)c1ccc(F)cc1 ZINC000237117150 281878456 /nfs/dbraw/zinc/87/84/56/281878456.db2.gz OMIKLEMPKIBSLB-UHFFFAOYSA-N -1 1 324.352 1.263 20 0 DDADMM NC(=O)[C@@H]1CCCCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180352788 295103722 /nfs/dbraw/zinc/10/37/22/295103722.db2.gz MSMHZSRFUXWPDX-JTQLQIEISA-N -1 1 316.279 1.891 20 0 DDADMM Cc1nncn1CCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000354513034 298457133 /nfs/dbraw/zinc/45/71/33/298457133.db2.gz YFSADEJSXONLTH-UHFFFAOYSA-N -1 1 318.381 1.118 20 0 DDADMM CC(C)c1[nH]ccc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000354563768 298467441 /nfs/dbraw/zinc/46/74/41/298467441.db2.gz RJHUNMHKUSORBW-UHFFFAOYSA-N -1 1 323.374 1.743 20 0 DDADMM O=C(c1cccc(-n2cccn2)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000362127267 300012329 /nfs/dbraw/zinc/01/23/29/300012329.db2.gz GPZBATKIDIVFQU-CYBMUJFWSA-N -1 1 323.360 1.405 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(c2cccc(OC)c2)C1 ZINC000367814456 300889352 /nfs/dbraw/zinc/88/93/52/300889352.db2.gz LEWHEDGBGBFLEN-LBPRGKRZSA-N -1 1 322.361 1.132 20 0 DDADMM FC(F)c1n[n-]c(=NC[C@@H]2CCC[C@@H]2N2CCOCC2)s1 ZINC000368562317 301052747 /nfs/dbraw/zinc/05/27/47/301052747.db2.gz OWOGBTLPUVDDHF-UWVGGRQHSA-N -1 1 318.393 1.810 20 0 DDADMM C[C@@H](O)c1cn(C2CN(C(=O)c3cc(Cl)ccc3[O-])C2)nn1 ZINC000369440893 301186190 /nfs/dbraw/zinc/18/61/90/301186190.db2.gz RSYZJCKDFJLCNA-MRVPVSSYSA-N -1 1 322.752 1.388 20 0 DDADMM O=C(c1ccc(-n2cccc2)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370870496 301398441 /nfs/dbraw/zinc/39/84/41/301398441.db2.gz MKNJRLLBOHKVRM-AWEZNQCLSA-N -1 1 324.344 1.204 20 0 DDADMM CC(=O)N1CCC[C@@H]1[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000370891110 301399897 /nfs/dbraw/zinc/39/98/97/301399897.db2.gz IFCQLACWGOMGDT-CHWSQXEVSA-N -1 1 303.362 1.403 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccccc2OC)C1 ZINC000376351441 302066881 /nfs/dbraw/zinc/06/68/81/302066881.db2.gz MZKGPVPLAVYJEC-GFCCVEGCSA-N -1 1 322.361 1.132 20 0 DDADMM COC(=O)c1cc(C)nc(N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000377978916 302261271 /nfs/dbraw/zinc/26/12/71/302261271.db2.gz VHABZFDFHSCJFO-VIFPVBQESA-N -1 1 319.321 1.044 20 0 DDADMM Cc1ccc(C)c(OCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000378226875 302299809 /nfs/dbraw/zinc/29/98/09/302299809.db2.gz MONDHSIETBKUTE-ZDUSSCGKSA-N -1 1 315.377 1.602 20 0 DDADMM CN(C)C(=O)c1cccc(Oc2nc3c(cnn3C)c(=O)[n-]2)c1 ZINC000356485361 306852936 /nfs/dbraw/zinc/85/29/36/306852936.db2.gz LPNRATVIPYTPSW-UHFFFAOYSA-N -1 1 313.317 1.563 20 0 DDADMM CNC(=O)CC(=O)Nc1c([O-])cc(Br)cc1Cl ZINC000515885351 302782114 /nfs/dbraw/zinc/78/21/14/302782114.db2.gz QEBHLIHHQSMDMP-UHFFFAOYSA-N -1 1 321.558 1.883 20 0 DDADMM COC(=O)CC1(CNC(=O)c2ncc(C)cc2[O-])CCOCC1 ZINC000356608720 306857833 /nfs/dbraw/zinc/85/78/33/306857833.db2.gz LUMHUYZFOROKLO-UHFFFAOYSA-N -1 1 322.361 1.185 20 0 DDADMM CC1(C)OC[C@H]2C[C@]21[N-]S(=O)(=O)c1cccc(F)c1F ZINC000528493862 303034559 /nfs/dbraw/zinc/03/45/59/303034559.db2.gz ISHUQFOXVLPTRV-OQPBUACISA-N -1 1 303.330 1.811 20 0 DDADMM CC(C)[C@H]1CNCCN1C(=O)N=c1[n-]nc(C(F)F)s1 ZINC000528674644 303055139 /nfs/dbraw/zinc/05/51/39/303055139.db2.gz CHVJPUNLKFLBSI-SSDOTTSWSA-N -1 1 305.354 1.359 20 0 DDADMM O=C(c1cccc2cc(=O)[nH]cc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000528880123 303069337 /nfs/dbraw/zinc/06/93/37/303069337.db2.gz DFIXFFNNMYRLAJ-LLVKDONJSA-N -1 1 324.344 1.473 20 0 DDADMM O=C(Nc1ccc(Cl)cc1[O-])c1cn(C[C@@H]2CCOC2)nn1 ZINC000528900300 303071086 /nfs/dbraw/zinc/07/10/86/303071086.db2.gz DYFLCWHAWPZTQK-VIFPVBQESA-N -1 1 322.752 1.926 20 0 DDADMM O=C([O-])[C@@H]1c2ccoc2CC[N@@H+]1C[C@H](O)COCc1ccco1 ZINC000530168643 303178512 /nfs/dbraw/zinc/17/85/12/303178512.db2.gz LMFXJFJBXOKZRR-NHYWBVRUSA-N -1 1 321.329 1.434 20 0 DDADMM O=C([O-])[C@@H]1c2ccoc2CCN1C[C@H](O)COCc1ccco1 ZINC000530168643 303178515 /nfs/dbraw/zinc/17/85/15/303178515.db2.gz LMFXJFJBXOKZRR-NHYWBVRUSA-N -1 1 321.329 1.434 20 0 DDADMM Cc1cc(-n2ccnc2)ccc1CN1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC000530171023 303179117 /nfs/dbraw/zinc/17/91/17/303179117.db2.gz WVTDWTGFLZRCFC-CJNGLKHVSA-N -1 1 315.373 1.855 20 0 DDADMM C[C@@H](CN1CCCC1=O)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000531008929 303224799 /nfs/dbraw/zinc/22/47/99/303224799.db2.gz QUHWHQNSACTNNZ-NSHDSACASA-N -1 1 322.386 1.722 20 0 DDADMM CC(C)c1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)n(C)n1 ZINC000531311999 303239259 /nfs/dbraw/zinc/23/92/59/303239259.db2.gz UHTAGWIDXOXTOZ-UHFFFAOYSA-N -1 1 303.370 1.256 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@H]1C=CCCC1 ZINC000531821465 303259186 /nfs/dbraw/zinc/25/91/86/303259186.db2.gz XFZFBILHGCOSEF-AWEZNQCLSA-N -1 1 324.384 1.908 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCCc2cscn2)[n-]n1 ZINC000532717853 303294985 /nfs/dbraw/zinc/29/49/85/303294985.db2.gz LVDSUHNUAUAXDY-UHFFFAOYSA-N -1 1 317.418 1.682 20 0 DDADMM CC(C)c1noc(CCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000533113778 303309508 /nfs/dbraw/zinc/30/95/08/303309508.db2.gz DSNGKVVAYHKNNE-SNVBAGLBSA-N -1 1 319.369 1.045 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCO[C@H]3CCCC[C@@H]32)CCCC1 ZINC000533202506 303313641 /nfs/dbraw/zinc/31/36/41/303313641.db2.gz DPYJKXNBFXNZAR-STQMWFEESA-N -1 1 310.394 1.143 20 0 DDADMM CC[C@@H](C)[C@@H](C)N(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000541842033 303429857 /nfs/dbraw/zinc/42/98/57/303429857.db2.gz IQNCNHUWJUOYIC-NXEZZACHSA-N -1 1 303.366 1.457 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)[C@@H]2CCCOC2)sc1C ZINC000541871393 303431476 /nfs/dbraw/zinc/43/14/76/303431476.db2.gz RCZJWHXKXDHSMR-GXSJLCMTSA-N -1 1 304.437 1.853 20 0 DDADMM Cn1[n-]c(CSc2nc3cc(Cl)ccc3n2C)nc1=O ZINC000543710274 303462205 /nfs/dbraw/zinc/46/22/05/303462205.db2.gz YKRMYLXOQYKULX-UHFFFAOYSA-N -1 1 309.782 1.941 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(c2nccn3cnnc23)CC1 ZINC000364900653 307053131 /nfs/dbraw/zinc/05/31/31/307053131.db2.gz NNFLJVJWKGKRNU-UHFFFAOYSA-N -1 1 323.356 1.929 20 0 DDADMM CCc1ccc(OCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000371018904 307140952 /nfs/dbraw/zinc/14/09/52/307140952.db2.gz OSTCEYRODUWRQP-CYBMUJFWSA-N -1 1 315.377 1.547 20 0 DDADMM C[C@H](CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000377596036 307274192 /nfs/dbraw/zinc/27/41/92/307274192.db2.gz UODNFHALWWGFDO-DGCLKSJQSA-N -1 1 301.350 1.293 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@H]1c1cccn1C ZINC000377842002 307276965 /nfs/dbraw/zinc/27/69/65/307276965.db2.gz GDFUXTMCNRJFNS-NSHDSACASA-N -1 1 310.379 1.260 20 0 DDADMM Cc1cscc1NS(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000395672686 307296293 /nfs/dbraw/zinc/29/62/93/307296293.db2.gz CLWRFDHHYZJOPK-UHFFFAOYSA-N -1 1 300.361 1.894 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@]2(C)C(C)C)o1 ZINC000416544930 307299575 /nfs/dbraw/zinc/29/95/75/307299575.db2.gz HHGPXUUSKIDERV-ZWNOBZJWSA-N -1 1 301.364 1.779 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N(Cc1ccccc1)C1CC1 ZINC000542769943 307700821 /nfs/dbraw/zinc/70/08/21/307700821.db2.gz XQXNWGFLLJAEPR-UHFFFAOYSA-N -1 1 309.329 1.222 20 0 DDADMM Cc1cc(CC[N-]S(=O)(=O)c2nc(C)c(C)s2)on1 ZINC000551993854 307814006 /nfs/dbraw/zinc/81/40/06/307814006.db2.gz LCUSINLOHVWPAV-UHFFFAOYSA-N -1 1 301.393 1.577 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2C[C@@H](C)O[C@H]2C)sc1C ZINC000557088576 307863422 /nfs/dbraw/zinc/86/34/22/307863422.db2.gz PLWZXVXNGJQJEM-HDBBIHSSSA-N -1 1 304.437 1.852 20 0 DDADMM Cc1ccccc1[C@H]1CCN(Cc2cn(CC(=O)[O-])nn2)C1 ZINC000565103978 308020632 /nfs/dbraw/zinc/02/06/32/308020632.db2.gz LWWBBLHVRSAGBG-ZDUSSCGKSA-N -1 1 300.362 1.661 20 0 DDADMM Cc1oc(CN(C)C[C@@H](O)COCc2ccco2)cc1C(=O)[O-] ZINC000565104154 308020679 /nfs/dbraw/zinc/02/06/79/308020679.db2.gz IBPFFCGFPBIOIJ-GFCCVEGCSA-N -1 1 323.345 1.889 20 0 DDADMM C[C@H]1CCN(C(=O)c2sccc2CN(C)C)C[C@H]1C(=O)[O-] ZINC000565481493 308032446 /nfs/dbraw/zinc/03/24/46/308032446.db2.gz WVWLPVWLEBSULB-CMPLNLGQSA-N -1 1 310.419 1.993 20 0 DDADMM O=C([O-])[C@@H]1CCN(CCN2C[C@H]3CC[C@@H](C2)O3)c2ccccc21 ZINC000566509631 308056523 /nfs/dbraw/zinc/05/65/23/308056523.db2.gz VPUOQTBVXDVRHM-IJEWVQPXSA-N -1 1 316.401 1.928 20 0 DDADMM NC(=O)CCCC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000567783116 308095431 /nfs/dbraw/zinc/09/54/31/308095431.db2.gz MXMHBJMRGYSKMZ-UHFFFAOYSA-N -1 1 313.404 1.230 20 0 DDADMM Cc1cnc(S[C@H](C(=O)N2CCOCC2)C(C)C)[n-]c1=O ZINC000568532323 308122378 /nfs/dbraw/zinc/12/23/78/308122378.db2.gz DIHKLFLKJNUYLE-NSHDSACASA-N -1 1 311.407 1.466 20 0 DDADMM C[C@H]1CCN(CC(=O)N2CCc3ccccc32)C[C@@H]1C(=O)[O-] ZINC000574157285 308263436 /nfs/dbraw/zinc/26/34/36/308263436.db2.gz VIQFQXIURIGJJX-JSGCOSHPSA-N -1 1 302.374 1.618 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)C[C@H]1CCCO1)C(=O)OC ZINC000576818947 308341879 /nfs/dbraw/zinc/34/18/79/308341879.db2.gz FJMCGQNYQPXYOO-TUAOUCFPSA-N -1 1 307.412 1.063 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)[C@@H](C)N(C)CCCC(=O)[O-])c1C ZINC000577066691 308360454 /nfs/dbraw/zinc/36/04/54/308360454.db2.gz LCAXJLFBPGJULH-LLVKDONJSA-N -1 1 324.377 1.786 20 0 DDADMM Cc1ccsc1[C@@H](CO)[N-]S(=O)(=O)c1cscn1 ZINC000580069711 308577716 /nfs/dbraw/zinc/57/77/16/308577716.db2.gz TYGQZNZXRUZAHU-MRVPVSSYSA-N -1 1 304.418 1.525 20 0 DDADMM O=C([O-])C[C@H]1CCCN(C(=O)[C@@H]2NCCc3ccccc32)C1 ZINC000387143250 325764485 /nfs/dbraw/zinc/76/44/85/325764485.db2.gz DPAFMSYPMXPXAK-MLGOLLRUSA-N -1 1 302.374 1.587 20 0 DDADMM N=c1nc(N2CCN(C(=O)C3(C4CCC4)CCC3)CC2)s[n-]1 ZINC000584334797 333318893 /nfs/dbraw/zinc/31/88/93/333318893.db2.gz RSQHWQBMOANWRC-UHFFFAOYSA-N -1 1 321.450 1.570 20 0 DDADMM N=c1nc(N2CCN(C(=O)C3CC4(CCC4)C3)CC2)s[n-]1 ZINC000582667965 337118481 /nfs/dbraw/zinc/11/84/81/337118481.db2.gz ICSVIRRHEMXUJT-UHFFFAOYSA-N -1 1 307.423 1.180 20 0 DDADMM CCNC(=O)C(C)(C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000584518541 337354271 /nfs/dbraw/zinc/35/42/71/337354271.db2.gz GHBWQYGXANVUAR-UHFFFAOYSA-N -1 1 310.375 1.626 20 0 DDADMM O=C([O-])CCC1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000385961779 483968655 /nfs/dbraw/zinc/96/86/55/483968655.db2.gz GXZGQFXNXKZRMC-UHFFFAOYSA-N -1 1 304.390 1.880 20 0 DDADMM O=C(CCCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)C1CC1 ZINC000425301916 484115401 /nfs/dbraw/zinc/11/54/01/484115401.db2.gz MRUDTRPDQVWHHY-LLVKDONJSA-N -1 1 307.350 1.631 20 0 DDADMM O=C(CCCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)C1CC1 ZINC000425301918 484115778 /nfs/dbraw/zinc/11/57/78/484115778.db2.gz MRUDTRPDQVWHHY-NSHDSACASA-N -1 1 307.350 1.631 20 0 DDADMM CCN(C)C(=O)[C@H](C)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436988664 484133485 /nfs/dbraw/zinc/13/34/85/484133485.db2.gz XHIVPHRBKVEZMI-QMMMGPOBSA-N -1 1 314.769 1.651 20 0 DDADMM O=C(/C=C/c1cnccn1)Nc1nc(Br)ccc1[O-] ZINC000493799079 484239995 /nfs/dbraw/zinc/23/99/95/484239995.db2.gz XHGGWZDRJCTVPF-DAFODLJHSA-N -1 1 321.134 1.992 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccccc1F)[C@@H]1CCOC1 ZINC000656613490 484243551 /nfs/dbraw/zinc/24/35/51/484243551.db2.gz KINOBFRAVWPWFB-ZWNOBZJWSA-N -1 1 303.355 1.619 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(C)c1)C1CCCCC1 ZINC000656619120 484246359 /nfs/dbraw/zinc/24/63/59/484246359.db2.gz NSWDWSDSVITCNE-ZDUSSCGKSA-N -1 1 301.412 1.757 20 0 DDADMM CN1CCc2cc([N-]S(=O)(=O)C[C@@H]3CCCO3)ccc2C1=O ZINC000656634588 484252298 /nfs/dbraw/zinc/25/22/98/484252298.db2.gz FVQSUJCUOVNHHJ-ZDUSSCGKSA-N -1 1 324.402 1.235 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCc1cccc(C)n1 ZINC000527477122 484288390 /nfs/dbraw/zinc/28/83/90/484288390.db2.gz SPTFGBAUUAEXNT-UHFFFAOYSA-N -1 1 318.402 1.888 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000667401733 484589166 /nfs/dbraw/zinc/58/91/66/484589166.db2.gz NYPSABREDROOQW-QWRGUYRKSA-N -1 1 315.373 1.973 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000663112978 484678522 /nfs/dbraw/zinc/67/85/22/484678522.db2.gz QQSOXFJZZKIFIQ-QGZVFWFLSA-N -1 1 324.421 1.058 20 0 DDADMM O=C([O-])[C@H](C(=O)NCCCCN1CCOCC1)C1CCCC1 ZINC000663113053 484678984 /nfs/dbraw/zinc/67/89/84/484678984.db2.gz SHSIVECGBYIYQX-AWEZNQCLSA-N -1 1 312.410 1.106 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@]1(C)c1ccccc1 ZINC000667959942 484874611 /nfs/dbraw/zinc/87/46/11/484874611.db2.gz RSZKDCPTAPQBAC-MGPLVRAMSA-N -1 1 315.329 1.356 20 0 DDADMM Cc1nnc([N-]C(=O)c2ccc(S(C)(=O)=O)o2)n1C1CC1 ZINC000671597087 485138451 /nfs/dbraw/zinc/13/84/51/485138451.db2.gz MVRZWPJZDVWKQU-UHFFFAOYSA-N -1 1 310.335 1.170 20 0 DDADMM C[C@H](CS(C)(=O)=O)NC(=O)c1ncc2ccccc2c1[O-] ZINC000668734142 485300229 /nfs/dbraw/zinc/30/02/29/485300229.db2.gz WEHGJCBVTVEIQF-SECBINFHSA-N -1 1 308.359 1.103 20 0 DDADMM O=C(Nc1ccc2c(c1)NC(=O)CO2)C(=O)c1ccc([O-])cc1 ZINC000672709704 485336910 /nfs/dbraw/zinc/33/69/10/485336910.db2.gz ASYUXQYGACOLBY-UHFFFAOYSA-N -1 1 312.281 1.545 20 0 DDADMM CO[C@H](C(=O)NC1(c2nn[n-]n2)CCCC1)C1CCCCC1 ZINC000668869504 485345865 /nfs/dbraw/zinc/34/58/65/485345865.db2.gz PFSRXQJGNWXPHZ-LBPRGKRZSA-N -1 1 307.398 1.681 20 0 DDADMM CCO[C@H]1CCCN(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC000673817547 485452371 /nfs/dbraw/zinc/45/23/71/485452371.db2.gz VJPPQYRJGJPMDC-JTQLQIEISA-N -1 1 311.407 1.854 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCCc2cn[nH]c2)c(=O)[n-]1 ZINC000685894668 485477875 /nfs/dbraw/zinc/47/78/75/485477875.db2.gz AIBGQRPQOJAASL-UHFFFAOYSA-N -1 1 321.406 1.227 20 0 DDADMM CC(C)[C@H](Oc1cccc(Cl)c1)C(=O)NCc1nn[n-]n1 ZINC000678312719 485537964 /nfs/dbraw/zinc/53/79/64/485537964.db2.gz JTEQVJBDYULZGR-LBPRGKRZSA-N -1 1 309.757 1.573 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)c2cnccc2C)co1 ZINC000678414786 485575478 /nfs/dbraw/zinc/57/54/78/485575478.db2.gz DNLQNKULRXGMBV-SNVBAGLBSA-N -1 1 323.374 1.382 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@@H]1c1cc(F)c(F)c(F)c1 ZINC000682825119 485789041 /nfs/dbraw/zinc/78/90/41/485789041.db2.gz UJHPOYIZNINVBU-HTQZYQBOSA-N -1 1 323.278 1.526 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC[C@H](N2CCNC2=O)C1 ZINC000681023218 486324841 /nfs/dbraw/zinc/32/48/41/486324841.db2.gz XUQHDTFHCVHQSZ-NSHDSACASA-N -1 1 307.325 1.161 20 0 DDADMM CN(C)C(=O)CC1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681068058 486336419 /nfs/dbraw/zinc/33/64/19/486336419.db2.gz WAUQVEDXACSXEX-UHFFFAOYSA-N -1 1 308.353 1.862 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@](C)(O)C2CC2)c(F)c1 ZINC000676856940 486347597 /nfs/dbraw/zinc/34/75/97/486347597.db2.gz HLUPLHLVTRFABB-CYBMUJFWSA-N -1 1 321.345 1.413 20 0 DDADMM CC[C@H](C)[C@H](O)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676860891 486348094 /nfs/dbraw/zinc/34/80/94/486348094.db2.gz XNFDLGWKTWOBFO-QPUJVOFHSA-N -1 1 323.361 1.659 20 0 DDADMM CC(C)[C@H](NC(=O)c1c([O-])cccc1F)C(=O)N1CCCC1 ZINC000681231271 486379535 /nfs/dbraw/zinc/37/95/35/486379535.db2.gz ROFVARCPENKWFZ-AWEZNQCLSA-N -1 1 308.353 1.908 20 0 DDADMM O=C(N1CCC[C@@H](c2nn[n-]n2)C1)C1(c2cccc(F)c2)CC1 ZINC000677048030 486385367 /nfs/dbraw/zinc/38/53/67/486385367.db2.gz OULZCWTXRUBZGD-LLVKDONJSA-N -1 1 315.352 1.777 20 0 DDADMM O=C(C(=O)N1CCN(c2nccs2)CC1)c1ccc([O-])cc1 ZINC000684829285 486437286 /nfs/dbraw/zinc/43/72/86/486437286.db2.gz HYAVEUQOJKVJAW-UHFFFAOYSA-N -1 1 317.370 1.380 20 0 DDADMM O=C(CCCC1CCOCC1)NC1(c2nn[n-]n2)CCCC1 ZINC000684970591 486472066 /nfs/dbraw/zinc/47/20/66/486472066.db2.gz RCERMBVXEOBYFM-UHFFFAOYSA-N -1 1 307.398 1.682 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2C[C@@H](O)C2(C)C)c(F)c1 ZINC000681690729 486492478 /nfs/dbraw/zinc/49/24/78/486492478.db2.gz KZUWMAKMUGUUDL-GHMZBOCLSA-N -1 1 321.345 1.411 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N2CCN3CCC2CC3)c1 ZINC000424801906 533815444 /nfs/dbraw/zinc/81/54/44/533815444.db2.gz YIIRYJRNRCXNBT-JOCHJYFZSA-N -1 1 322.430 1.109 20 0 DDADMM C[C@H](CCNC(=O)OC(C)(C)C)NC(=O)c1ncccc1[O-] ZINC000495492146 533934114 /nfs/dbraw/zinc/93/41/14/533934114.db2.gz IFLYPCSFCXLXIJ-SNVBAGLBSA-N -1 1 309.366 1.820 20 0 DDADMM COC(=O)Nc1ccc(CCNC(=O)c2cncc([O-])c2)cc1 ZINC000491466685 534188172 /nfs/dbraw/zinc/18/81/72/534188172.db2.gz XYRVFFMCMGUMHS-UHFFFAOYSA-N -1 1 315.329 1.938 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H](C)CCCO)cnc2n1 ZINC000452443039 534400379 /nfs/dbraw/zinc/40/03/79/534400379.db2.gz OUFSZOCBNKCPQV-JTQLQIEISA-N -1 1 303.362 1.782 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@H](C)CCCO)c2=O ZINC000452443039 534400385 /nfs/dbraw/zinc/40/03/85/534400385.db2.gz OUFSZOCBNKCPQV-JTQLQIEISA-N -1 1 303.362 1.782 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)CCCCO)cnc2n1 ZINC000452504844 534400880 /nfs/dbraw/zinc/40/08/80/534400880.db2.gz WKRVOHPKRIVSJG-SNVBAGLBSA-N -1 1 303.362 1.925 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)CCCCO)c2=O ZINC000452504844 534400886 /nfs/dbraw/zinc/40/08/86/534400886.db2.gz WKRVOHPKRIVSJG-SNVBAGLBSA-N -1 1 303.362 1.925 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1ccccc1-n1ccnn1 ZINC000424068706 534860484 /nfs/dbraw/zinc/86/04/84/534860484.db2.gz SOSWNBAOVNVODX-UHFFFAOYSA-N -1 1 322.390 1.436 20 0 DDADMM CC(=O)N1CC[C@H](CN(C)C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000330876768 526661608 /nfs/dbraw/zinc/66/16/08/526661608.db2.gz XAZVFVZRXFJUTR-SNVBAGLBSA-N -1 1 312.316 1.611 20 0 DDADMM CC(C)(C)n1cnc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000331978025 526931192 /nfs/dbraw/zinc/93/11/92/526931192.db2.gz VROBUALKONJIJW-UHFFFAOYSA-N -1 1 320.353 1.142 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000332527468 527844278 /nfs/dbraw/zinc/84/42/78/527844278.db2.gz POESFAPSRHOWJO-CYBMUJFWSA-N -1 1 301.346 1.420 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CC)[C@H](O)CC)o1 ZINC000451977322 528243536 /nfs/dbraw/zinc/24/35/36/528243536.db2.gz NZZVHCFJKWGYCP-VHSXEESVSA-N -1 1 319.379 1.284 20 0 DDADMM CCOc1ccccc1N1C[C@H](C(=O)[N-]OC(C)C)CC1=O ZINC000295741910 528738166 /nfs/dbraw/zinc/73/81/66/528738166.db2.gz SWAYSMFQJJWKQS-GFCCVEGCSA-N -1 1 306.362 1.894 20 0 DDADMM O=C(Cn1cnnc1-c1nn[n-]n1)Nc1ccc2ccccc2c1 ZINC000737988819 598876477 /nfs/dbraw/zinc/87/64/77/598876477.db2.gz NPKQEWVGUSKOIO-UHFFFAOYSA-N -1 1 320.316 1.250 20 0 DDADMM C[C@@H]1CCCCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736269868 598918739 /nfs/dbraw/zinc/91/87/39/598918739.db2.gz YMTJNBGRZIHOTM-SNVBAGLBSA-N -1 1 322.394 1.215 20 0 DDADMM C[C@@H]1CCCCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736269868 598918741 /nfs/dbraw/zinc/91/87/41/598918741.db2.gz YMTJNBGRZIHOTM-SNVBAGLBSA-N -1 1 322.394 1.215 20 0 DDADMM C[C@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)C[C@H](C)O1 ZINC000736289099 598932968 /nfs/dbraw/zinc/93/29/68/598932968.db2.gz JNTJEDMAHXZOHA-UWVGGRQHSA-N -1 1 311.349 1.424 20 0 DDADMM C[C@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)C[C@H](C)O1 ZINC000736289099 598932970 /nfs/dbraw/zinc/93/29/70/598932970.db2.gz JNTJEDMAHXZOHA-UWVGGRQHSA-N -1 1 311.349 1.424 20 0 DDADMM CSC[C@H](CCO)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000737175623 598976871 /nfs/dbraw/zinc/97/68/71/598976871.db2.gz FIIWYHWXTULPKJ-YFKPBYRVSA-N -1 1 320.831 1.503 20 0 DDADMM CSC[C@H](CCO)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000737175623 598976873 /nfs/dbraw/zinc/97/68/73/598976873.db2.gz FIIWYHWXTULPKJ-YFKPBYRVSA-N -1 1 320.831 1.503 20 0 DDADMM O=C(NC1C2CC3CC(C2)CC1C3)c1ccc(-c2nnn[n-]2)nc1 ZINC000738019807 598983814 /nfs/dbraw/zinc/98/38/14/598983814.db2.gz LLNNRONBKPSQHL-UHFFFAOYSA-N -1 1 324.388 1.816 20 0 DDADMM O=C(NC1C2CC3CC(C2)CC1C3)c1ccc(-c2nn[n-]n2)nc1 ZINC000738019807 598983816 /nfs/dbraw/zinc/98/38/16/598983816.db2.gz LLNNRONBKPSQHL-UHFFFAOYSA-N -1 1 324.388 1.816 20 0 DDADMM O=C(Nc1cccc2[nH]ccc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738182918 599000612 /nfs/dbraw/zinc/00/06/12/599000612.db2.gz FMIYADTYEMZWRF-UHFFFAOYSA-N -1 1 305.301 1.995 20 0 DDADMM O=C(Nc1cccc2[nH]ccc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738182918 599000614 /nfs/dbraw/zinc/00/06/14/599000614.db2.gz FMIYADTYEMZWRF-UHFFFAOYSA-N -1 1 305.301 1.995 20 0 DDADMM O=C1N[C@H](c2ccc(-c3nnn[n-]3)o2)Nc2ccc(Cl)cc21 ZINC000823540412 599118206 /nfs/dbraw/zinc/11/82/06/599118206.db2.gz CVFHUOFXEYSAHS-LLVKDONJSA-N -1 1 316.708 1.967 20 0 DDADMM O=C1N[C@H](c2ccc(-c3nn[n-]n3)o2)Nc2ccc(Cl)cc21 ZINC000823540412 599118209 /nfs/dbraw/zinc/11/82/09/599118209.db2.gz CVFHUOFXEYSAHS-LLVKDONJSA-N -1 1 316.708 1.967 20 0 DDADMM C[S@](=O)CCCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000737171501 599204751 /nfs/dbraw/zinc/20/47/51/599204751.db2.gz BVLMNINQCSFGMQ-SFHVURJKSA-N -1 1 306.804 1.157 20 0 DDADMM C[S@](=O)CCCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000737171501 599204757 /nfs/dbraw/zinc/20/47/57/599204757.db2.gz BVLMNINQCSFGMQ-SFHVURJKSA-N -1 1 306.804 1.157 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CCS1 ZINC000820045950 599210399 /nfs/dbraw/zinc/21/03/99/599210399.db2.gz OXZDUHARVQFZES-LBPRGKRZSA-N -1 1 318.406 1.475 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CCS1 ZINC000820045950 599210401 /nfs/dbraw/zinc/21/04/01/599210401.db2.gz OXZDUHARVQFZES-LBPRGKRZSA-N -1 1 318.406 1.475 20 0 DDADMM COc1cc2nc(CN(C)[C@H](C)C(=O)[O-])[nH]c(=O)c2cc1OC ZINC000818566548 597471948 /nfs/dbraw/zinc/47/19/48/597471948.db2.gz DKENKOMWNAPWIL-MRVPVSSYSA-N -1 1 321.333 1.258 20 0 DDADMM c1cnn(-c2ccc(NCc3ccc(-c4nnn[n-]4)o3)cc2)n1 ZINC000823816778 607313835 /nfs/dbraw/zinc/31/38/35/607313835.db2.gz XUATVYQYNJUJTC-UHFFFAOYSA-N -1 1 308.305 1.653 20 0 DDADMM c1cnn(-c2ccc(NCc3ccc(-c4nn[n-]n4)o3)cc2)n1 ZINC000823816778 607313836 /nfs/dbraw/zinc/31/38/36/607313836.db2.gz XUATVYQYNJUJTC-UHFFFAOYSA-N -1 1 308.305 1.653 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CC[C@H](C(=O)[O-])C1)c1ccsc1 ZINC000818373962 597507648 /nfs/dbraw/zinc/50/76/48/597507648.db2.gz FMKJXKREYGHURX-CMPLNLGQSA-N -1 1 311.407 1.467 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CC[C@@H](C(=O)[O-])C1)c1ccc(F)cc1 ZINC000818374922 597509492 /nfs/dbraw/zinc/50/94/92/597509492.db2.gz UKDHSHUYNUVLHK-TZMCWYRMSA-N -1 1 323.368 1.545 20 0 DDADMM Cc1[nH]nc(C(=O)N(CC(=O)[O-])C(C)C)c1Br ZINC000738335335 598072435 /nfs/dbraw/zinc/07/24/35/598072435.db2.gz RBVXIUOACJWUDC-UHFFFAOYSA-N -1 1 304.144 1.416 20 0 DDADMM C[NH+](C)CCN(CC(=O)[O-])C(=O)c1cc2ccccc2cc1[O-] ZINC000820539315 598142689 /nfs/dbraw/zinc/14/26/89/598142689.db2.gz KQRRCFISAWSUMD-UHFFFAOYSA-N -1 1 316.357 1.634 20 0 DDADMM CC(C)[C@@H](NCC(=O)N[C@H]1CCCc2ccccc21)C(=O)[O-] ZINC000820041583 598188187 /nfs/dbraw/zinc/18/81/87/598188187.db2.gz KENMETXGSACBBA-GOEBONIOSA-N -1 1 304.390 1.879 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])c1ccc(-c2nn[nH]n2)cc1 ZINC000736128667 598285061 /nfs/dbraw/zinc/28/50/61/598285061.db2.gz ZAPAUJKOGANXRF-SECBINFHSA-N -1 1 310.317 1.458 20 0 DDADMM CN(Cc1nc2ccccc2s1)c1nccnc1-c1nnn[n-]1 ZINC000736830912 598339102 /nfs/dbraw/zinc/33/91/02/598339102.db2.gz DLTFBHZTWGYWRM-UHFFFAOYSA-N -1 1 324.373 1.903 20 0 DDADMM CN(Cc1nc2ccccc2s1)c1nccnc1-c1nn[n-]n1 ZINC000736830912 598339104 /nfs/dbraw/zinc/33/91/04/598339104.db2.gz DLTFBHZTWGYWRM-UHFFFAOYSA-N -1 1 324.373 1.903 20 0 DDADMM COc1ccc(OCCNc2nccnc2-c2nnn[n-]2)cc1 ZINC000737112709 598339673 /nfs/dbraw/zinc/33/96/73/598339673.db2.gz YTJUWLUDCULFFE-UHFFFAOYSA-N -1 1 313.321 1.156 20 0 DDADMM COc1ccc(OCCNc2nccnc2-c2nn[n-]n2)cc1 ZINC000737112709 598339675 /nfs/dbraw/zinc/33/96/75/598339675.db2.gz YTJUWLUDCULFFE-UHFFFAOYSA-N -1 1 313.321 1.156 20 0 DDADMM CC(C)CCOC1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000735820978 598344930 /nfs/dbraw/zinc/34/49/30/598344930.db2.gz ZRNLPQJBFLVNLI-UHFFFAOYSA-N -1 1 317.397 1.688 20 0 DDADMM CC(C)CCOC1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000735820978 598344933 /nfs/dbraw/zinc/34/49/33/598344933.db2.gz ZRNLPQJBFLVNLI-UHFFFAOYSA-N -1 1 317.397 1.688 20 0 DDADMM C[C@@H]1Cc2ccccc2N1CCNc1nccnc1-c1nnn[n-]1 ZINC000736299780 598350175 /nfs/dbraw/zinc/35/01/75/598350175.db2.gz UTWNEAWBGGEHQV-LLVKDONJSA-N -1 1 322.376 1.520 20 0 DDADMM C[C@@H]1Cc2ccccc2N1CCNc1nccnc1-c1nn[n-]n1 ZINC000736299780 598350177 /nfs/dbraw/zinc/35/01/77/598350177.db2.gz UTWNEAWBGGEHQV-LLVKDONJSA-N -1 1 322.376 1.520 20 0 DDADMM Clc1ccc(NCCCn2cccn2)nc1-c1nnn[n-]1 ZINC000737573454 598573953 /nfs/dbraw/zinc/57/39/53/598573953.db2.gz IDOYIZUQMJLTME-UHFFFAOYSA-N -1 1 304.745 1.614 20 0 DDADMM Clc1ccc(NCCCn2cccn2)nc1-c1nn[n-]n1 ZINC000737573454 598573955 /nfs/dbraw/zinc/57/39/55/598573955.db2.gz IDOYIZUQMJLTME-UHFFFAOYSA-N -1 1 304.745 1.614 20 0 DDADMM CCN(CC)C(=O)CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736529095 598577424 /nfs/dbraw/zinc/57/74/24/598577424.db2.gz ITHUHAFFOAJKLJ-UHFFFAOYSA-N -1 1 309.761 1.195 20 0 DDADMM CCN(CC)C(=O)CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736529095 598577426 /nfs/dbraw/zinc/57/74/26/598577426.db2.gz ITHUHAFFOAJKLJ-UHFFFAOYSA-N -1 1 309.761 1.195 20 0 DDADMM CSCC[C@H](C)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737176611 599382006 /nfs/dbraw/zinc/38/20/06/599382006.db2.gz OLSJFNJHOPXWJM-VIFPVBQESA-N -1 1 306.395 1.475 20 0 DDADMM CSCC[C@H](C)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737176611 599382010 /nfs/dbraw/zinc/38/20/10/599382010.db2.gz OLSJFNJHOPXWJM-VIFPVBQESA-N -1 1 306.395 1.475 20 0 DDADMM C[C@H](Nc1cccc(-c2nnn[n-]2)n1)C(=O)N1CCCC[C@@H]1C ZINC000736139224 599423879 /nfs/dbraw/zinc/42/38/79/599423879.db2.gz ULRNETYXFFJSBD-QWRGUYRKSA-N -1 1 315.381 1.463 20 0 DDADMM C[C@H](Nc1cccc(-c2nn[n-]n2)n1)C(=O)N1CCCC[C@@H]1C ZINC000736139224 599423880 /nfs/dbraw/zinc/42/38/80/599423880.db2.gz ULRNETYXFFJSBD-QWRGUYRKSA-N -1 1 315.381 1.463 20 0 DDADMM C[C@@H]1CSCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000736295883 599535620 /nfs/dbraw/zinc/53/56/20/599535620.db2.gz AYZWEQCWMBBSGR-RXMQYKEDSA-N -1 1 302.816 1.918 20 0 DDADMM C[C@@H]1CSCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000736295883 599535622 /nfs/dbraw/zinc/53/56/22/599535622.db2.gz AYZWEQCWMBBSGR-RXMQYKEDSA-N -1 1 302.816 1.918 20 0 DDADMM CNC(=O)[C@H](Nc1snc(Cl)c1-c1nnn[n-]1)C(C)C ZINC000821631761 607383643 /nfs/dbraw/zinc/38/36/43/607383643.db2.gz RULQBTVGBBGDTJ-ZCFIWIBFSA-N -1 1 315.790 1.159 20 0 DDADMM CNC(=O)[C@H](Nc1snc(Cl)c1-c1nn[n-]n1)C(C)C ZINC000821631761 607383645 /nfs/dbraw/zinc/38/36/45/607383645.db2.gz RULQBTVGBBGDTJ-ZCFIWIBFSA-N -1 1 315.790 1.159 20 0 DDADMM COc1ccccc1[C@@]1(C)C[C@H]1Nc1nccnc1-c1nnn[n-]1 ZINC000821883170 599582820 /nfs/dbraw/zinc/58/28/20/599582820.db2.gz RKEJTJBWQPJGLA-MLGOLLRUSA-N -1 1 323.360 1.807 20 0 DDADMM COc1ccccc1[C@@]1(C)C[C@H]1Nc1nccnc1-c1nn[n-]n1 ZINC000821883170 599582825 /nfs/dbraw/zinc/58/28/25/599582825.db2.gz RKEJTJBWQPJGLA-MLGOLLRUSA-N -1 1 323.360 1.807 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)CC/C=C\c1ccccc1 ZINC000737775576 599604498 /nfs/dbraw/zinc/60/44/98/599604498.db2.gz CGUOZCLMIWNLOQ-YWEYNIOJSA-N -1 1 309.333 1.779 20 0 DDADMM O=C(NCc1ccc(O)c(F)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738103366 599605099 /nfs/dbraw/zinc/60/50/99/599605099.db2.gz JITVKVDTQPRJTQ-UHFFFAOYSA-N -1 1 314.280 1.036 20 0 DDADMM O=C(NCc1ccc(O)c(F)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738103366 599605100 /nfs/dbraw/zinc/60/51/00/599605100.db2.gz JITVKVDTQPRJTQ-UHFFFAOYSA-N -1 1 314.280 1.036 20 0 DDADMM O=C1C[C@H](c2cccc(NCc3ccc(-c4nnn[n-]4)o3)c2)CN1 ZINC000823521169 607391291 /nfs/dbraw/zinc/39/12/91/607391291.db2.gz RIKVUIHFEKHYPI-NSHDSACASA-N -1 1 324.344 1.675 20 0 DDADMM O=C1C[C@H](c2cccc(NCc3ccc(-c4nn[n-]n4)o3)c2)CN1 ZINC000823521169 607391293 /nfs/dbraw/zinc/39/12/93/607391293.db2.gz RIKVUIHFEKHYPI-NSHDSACASA-N -1 1 324.344 1.675 20 0 DDADMM C[C@H](Oc1ccc(F)cc1)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000320541815 599776820 /nfs/dbraw/zinc/77/68/20/599776820.db2.gz BVOIBSJXWCBYJN-ZANVPECISA-N -1 1 321.308 1.128 20 0 DDADMM CNC(=O)[C@H]1CCCCN1CCOc1cccc(C(=O)[O-])c1 ZINC000315128661 599779808 /nfs/dbraw/zinc/77/98/08/599779808.db2.gz JVXSSTXKQIAUPJ-CQSZACIVSA-N -1 1 306.362 1.364 20 0 DDADMM CC(C)CNC(=O)C1CCN(Cc2cc(C(=O)[O-])co2)CC1 ZINC000736443561 599845705 /nfs/dbraw/zinc/84/57/05/599845705.db2.gz SEDAIRCBBMSELY-UHFFFAOYSA-N -1 1 308.378 1.962 20 0 DDADMM O=C([O-])CCCCNC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000739670681 599883712 /nfs/dbraw/zinc/88/37/12/599883712.db2.gz BCELLEAQICSWKW-UHFFFAOYSA-N -1 1 317.349 1.526 20 0 DDADMM O=C([O-])CCCCNC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000739670681 599883714 /nfs/dbraw/zinc/88/37/14/599883714.db2.gz BCELLEAQICSWKW-UHFFFAOYSA-N -1 1 317.349 1.526 20 0 DDADMM Cc1nnc(NC(=O)c2ccccc2S[C@@H](C)C(=O)[O-])[nH]1 ZINC000738865954 600025322 /nfs/dbraw/zinc/02/53/22/600025322.db2.gz KUAYNEIKKBZUNK-ZETCQYMHSA-N -1 1 306.347 1.931 20 0 DDADMM Cc1n[nH]c(NC(=O)c2ccccc2S[C@@H](C)C(=O)[O-])n1 ZINC000738865954 600025325 /nfs/dbraw/zinc/02/53/25/600025325.db2.gz KUAYNEIKKBZUNK-ZETCQYMHSA-N -1 1 306.347 1.931 20 0 DDADMM CCN1CCN(c2ccccc2NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC000737122940 600061744 /nfs/dbraw/zinc/06/17/44/600061744.db2.gz NSCYMONRKOYAOG-OLZOCXBDSA-N -1 1 317.389 1.488 20 0 DDADMM C[C@H]1CN(CCC(=O)N(C)CC(=O)[O-])C[C@@H](c2ccccc2)O1 ZINC000736806654 600160104 /nfs/dbraw/zinc/16/01/04/600160104.db2.gz DEMJJMFKLXMBAR-ZFWWWQNUSA-N -1 1 320.389 1.382 20 0 DDADMM CCOc1cc(C)nc(N2CCN(CCCC(=O)[O-])CC2)n1 ZINC000737192910 600229715 /nfs/dbraw/zinc/22/97/15/600229715.db2.gz JJRZJIRYEHCTBJ-UHFFFAOYSA-N -1 1 308.382 1.171 20 0 DDADMM CCCCOCCNC(=O)CN1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000736998774 600286453 /nfs/dbraw/zinc/28/64/53/600286453.db2.gz CWHAUKGGHIYATF-CYBMUJFWSA-N -1 1 312.410 1.106 20 0 DDADMM Cc1cccc(C[C@@H](CNC(=O)[C@H]2CCCCN2C)C(=O)[O-])c1 ZINC000738702564 600363374 /nfs/dbraw/zinc/36/33/74/600363374.db2.gz JWCANSRNRWBKTI-JKSUJKDBSA-N -1 1 318.417 1.839 20 0 DDADMM COC(=O)c1cccc(NC(=O)CN(CCC(=O)[O-])C(C)C)c1 ZINC000737712909 600394906 /nfs/dbraw/zinc/39/49/06/600394906.db2.gz IINYMLXOZKGGNQ-UHFFFAOYSA-N -1 1 322.361 1.597 20 0 DDADMM COC(=O)[C@@H]1CCCN(Cn2ncc3cc(C(=O)[O-])ccc32)C1 ZINC000737584198 600420420 /nfs/dbraw/zinc/42/04/20/600420420.db2.gz KYKXBYVOEOCCLJ-GFCCVEGCSA-N -1 1 317.345 1.577 20 0 DDADMM O=C([O-])c1cccc(NC(=O)CN2CCN(C3CCC3)CC2)c1 ZINC000739290697 600509187 /nfs/dbraw/zinc/50/91/87/600509187.db2.gz XMCGSEKXXPKTRF-UHFFFAOYSA-N -1 1 317.389 1.493 20 0 DDADMM CC[C@](C)(NCC(=O)NCCc1c(F)cccc1F)C(=O)[O-] ZINC000736861169 600559908 /nfs/dbraw/zinc/55/99/08/600559908.db2.gz HAJMDRAWYVFGAF-HNNXBMFYSA-N -1 1 314.332 1.466 20 0 DDADMM O=C([O-])CCNC(=O)CN(Cc1cccc(O)c1)CC1CC1 ZINC000739734439 600613242 /nfs/dbraw/zinc/61/32/42/600613242.db2.gz KNFSQLHLRFBPAK-UHFFFAOYSA-N -1 1 306.362 1.195 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CCC[C@](C)(c2ccccc2)C1 ZINC000736205883 600643158 /nfs/dbraw/zinc/64/31/58/600643158.db2.gz AUBVYULAHGGIJG-GUYCJALGSA-N -1 1 304.390 1.629 20 0 DDADMM CCO[C@H]1C[C@H](N(C)CC(=O)NCCC(=O)[O-])C12CCCC2 ZINC000737157860 600721254 /nfs/dbraw/zinc/72/12/54/600721254.db2.gz OQAMKFGCNCOWPQ-STQMWFEESA-N -1 1 312.410 1.247 20 0 DDADMM O=C([O-])CCN(Cc1nccn1C(F)F)C1CCOCC1 ZINC000833235839 600858149 /nfs/dbraw/zinc/85/81/49/600858149.db2.gz GFVUJHDNUSDGME-UHFFFAOYSA-N -1 1 303.309 1.734 20 0 DDADMM Cn1c(CN(CCC(=O)[O-])C2CCOCC2)nnc1C1CC1 ZINC000833038770 600858439 /nfs/dbraw/zinc/85/84/39/600858439.db2.gz MYGSHIMWSCSTSX-UHFFFAOYSA-N -1 1 308.382 1.148 20 0 DDADMM C[C@](NCC(=O)N[C@@H]1CCOc2ccccc21)(C(=O)[O-])C1CC1 ZINC000827729298 601032744 /nfs/dbraw/zinc/03/27/44/601032744.db2.gz ZSKKDYVRHLSWDQ-CXAGYDPISA-N -1 1 318.373 1.469 20 0 DDADMM COc1ccc(CNC(=O)CN[C@](C)(C(=O)[O-])C2CC2)cc1 ZINC000832323094 601037930 /nfs/dbraw/zinc/03/79/30/601037930.db2.gz DNOCWYVOYTXLGP-INIZCTEOSA-N -1 1 306.362 1.154 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2cccnc2)CC1 ZINC000827354013 601094888 /nfs/dbraw/zinc/09/48/88/601094888.db2.gz AOQUELCVLXEKBK-MUBLQREKSA-N -1 1 303.362 1.102 20 0 DDADMM CCc1nc([C@@H]2CN(Cc3cc(C(=O)[O-])oc3C)CCO2)n[nH]1 ZINC000737273779 601105453 /nfs/dbraw/zinc/10/54/53/601105453.db2.gz AIHLAKUOTWMPFE-LBPRGKRZSA-N -1 1 320.349 1.540 20 0 DDADMM CC(C)c1cc(NC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)no1 ZINC000827112951 601134347 /nfs/dbraw/zinc/13/43/47/601134347.db2.gz RNYOELCVPHVHLR-NSHDSACASA-N -1 1 324.381 1.811 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)CN[C@@](C)(C(=O)[O-])C1CC1 ZINC000832596641 601174024 /nfs/dbraw/zinc/17/40/24/601174024.db2.gz REVMCUJICZGFNF-APPDUMDISA-N -1 1 320.389 1.715 20 0 DDADMM O=C([O-])C[C@@H](O)CSc1nc(C=Cc2ccccc2)n[nH]1 ZINC000833264913 601208721 /nfs/dbraw/zinc/20/87/21/601208721.db2.gz MYFAENWHKPTHRS-JMEBYUIHSA-N -1 1 305.359 1.903 20 0 DDADMM O=C([O-])[C@H]1CCC[C@@H](C(=O)N2CCC(c3nc[nH]n3)CC2)C1 ZINC000833185009 601295374 /nfs/dbraw/zinc/29/53/74/601295374.db2.gz KBQVMPBYLFQANZ-NEPJUHHUSA-N -1 1 306.366 1.402 20 0 DDADMM CC(=O)c1ccc(NC(=O)[C@H](C)N2C[C@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000825951240 601532834 /nfs/dbraw/zinc/53/28/34/601532834.db2.gz BOLJRABDPJMEID-ZIBATOQPSA-N -1 1 318.373 1.869 20 0 DDADMM CC[C@H]1CN(C)c2ccccc2CN1CC(=O)NCCC(=O)[O-] ZINC000829141908 601544447 /nfs/dbraw/zinc/54/44/47/601544447.db2.gz QQUOBSNRJLIKTR-AWEZNQCLSA-N -1 1 319.405 1.308 20 0 DDADMM Cc1cc(CC(=O)NC[C@@H](Cc2ccc(O)cc2)C(=O)[O-])[nH]n1 ZINC000832882961 601678674 /nfs/dbraw/zinc/67/86/74/601678674.db2.gz DWSKHEWAZXOSLU-GFCCVEGCSA-N -1 1 317.345 1.026 20 0 DDADMM Cc1cc(C(=O)N[C@H](C)c2nnc[nH]2)cc(C)c1OCC(=O)[O-] ZINC000832862958 601694986 /nfs/dbraw/zinc/69/49/86/601694986.db2.gz JAISXVRNRNVVSY-SNVBAGLBSA-N -1 1 318.333 1.376 20 0 DDADMM Cn1cc(NS(=O)(=O)c2cc(F)cc(F)c2)cc1C(=O)[O-] ZINC000833051364 601783692 /nfs/dbraw/zinc/78/36/92/601783692.db2.gz VLURLQNXNIKIAT-UHFFFAOYSA-N -1 1 316.285 1.802 20 0 DDADMM C[C@H]1CN(CN2C(=O)NC3(CCC(C)CC3)C2=O)C[C@@H]1C(=O)[O-] ZINC000828233446 601816783 /nfs/dbraw/zinc/81/67/83/601816783.db2.gz PIQWRNKQRXFRRH-SLMGRZLQSA-N -1 1 323.393 1.097 20 0 DDADMM C[C@@H]1CN(CN2C(=O)NC3(CCC(C)CC3)C2=O)C[C@H]1C(=O)[O-] ZINC000828233448 601816823 /nfs/dbraw/zinc/81/68/23/601816823.db2.gz PIQWRNKQRXFRRH-YZUGKSJVSA-N -1 1 323.393 1.097 20 0 DDADMM CC(C)[C@H](NCC(=O)N1CCSc2ccccc21)C(=O)[O-] ZINC000035391800 601825169 /nfs/dbraw/zinc/82/51/69/601825169.db2.gz DDFRHFLGYKSIKQ-AWEZNQCLSA-N -1 1 308.403 1.824 20 0 DDADMM COCCn1c(C)cc(C(=O)CN2CC[C@@](C)(C(=O)[O-])C2)c1C ZINC000831800171 601932207 /nfs/dbraw/zinc/93/22/07/601932207.db2.gz GJVWDIVPVWICDR-QGZVFWFLSA-N -1 1 322.405 1.731 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000833222983 602041312 /nfs/dbraw/zinc/04/13/12/602041312.db2.gz SKSABPIWQSBBMH-VHSXEESVSA-N -1 1 309.391 1.248 20 0 DDADMM O=C([O-])CC1(NCc2nc(-c3ccco3)no2)CCOCC1 ZINC000833205746 602256608 /nfs/dbraw/zinc/25/66/08/602256608.db2.gz NXYGYZRWOBGAEX-UHFFFAOYSA-N -1 1 307.306 1.443 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCCN1CC(=O)N1CCC[C@@H](C)C1 ZINC000739101041 602275603 /nfs/dbraw/zinc/27/56/03/602275603.db2.gz BAFXQGWXCHUUTK-HZSPNIEDSA-N -1 1 311.426 1.756 20 0 DDADMM CSCC[C@H](NC(=O)[O-])C(=O)N1CCN(CC(C)C)CC1 ZINC000740012937 602352023 /nfs/dbraw/zinc/35/20/23/602352023.db2.gz KMHJRQOBJUDTNT-LBPRGKRZSA-N -1 1 317.455 1.176 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(CC(=O)NC2CCCCC2)CC1 ZINC000739029328 602444527 /nfs/dbraw/zinc/44/45/27/602444527.db2.gz UXVLUWPBCMXNGX-GFCCVEGCSA-N -1 1 311.426 1.803 20 0 DDADMM CCCN(C(=O)c1ccc2[nH]nnc2c1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739345256 602514499 /nfs/dbraw/zinc/51/44/99/602514499.db2.gz OFTUYPMQAKVHNY-LLVKDONJSA-N -1 1 317.349 1.562 20 0 DDADMM C[C@@H](C(=O)N1CCCCCC1)N1CCC(N(C)C(=O)[O-])CC1 ZINC000737568970 602536982 /nfs/dbraw/zinc/53/69/82/602536982.db2.gz YXPPAKMLFXLKLT-ZDUSSCGKSA-N -1 1 311.426 1.852 20 0 DDADMM O=C([O-])N[C@@H](CCC(=O)NCc1nnc[nH]1)c1ccccc1 ZINC000740540379 602569118 /nfs/dbraw/zinc/56/91/18/602569118.db2.gz TXFNBGKVUOCUSY-NSHDSACASA-N -1 1 303.322 1.210 20 0 DDADMM Cc1ncc(C(=O)N2CCC([C@@H]3CCCN3C(=O)[O-])CC2)[nH]1 ZINC000740206664 602589311 /nfs/dbraw/zinc/58/93/11/602589311.db2.gz JVDWBAYAYHEGRW-ZDUSSCGKSA-N -1 1 306.366 1.713 20 0 DDADMM CCCN(C(=O)N[C@@H]1CCCN(C)C1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739352605 602622488 /nfs/dbraw/zinc/62/24/88/602622488.db2.gz XBPJUZNMDGJUQW-OLZOCXBDSA-N -1 1 312.414 1.255 20 0 DDADMM O=C([O-])NCC1CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000740644052 602736068 /nfs/dbraw/zinc/73/60/68/602736068.db2.gz WUNKNHFEUSQFGF-HNNXBMFYSA-N -1 1 317.389 1.772 20 0 DDADMM O=C([O-])N1CCCN(C[C@@H](O)COc2ccccc2F)CC1 ZINC000740521326 602739977 /nfs/dbraw/zinc/73/99/77/602739977.db2.gz DJFULPKROXLYGD-GFCCVEGCSA-N -1 1 312.341 1.251 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(C(=O)c2cc(C3CC3)[nH]n2)CC1 ZINC000739009749 602755096 /nfs/dbraw/zinc/75/50/96/602755096.db2.gz QTNZPDSQPZPWKZ-VIFPVBQESA-N -1 1 306.366 1.795 20 0 DDADMM C[C@@H]1CN(C(=O)Cc2n[nH]c3ccccc32)C[C@H](C)N1C(=O)[O-] ZINC000739138086 602801089 /nfs/dbraw/zinc/80/10/89/602801089.db2.gz RMHGPJNYCOXBCE-PHIMTYICSA-N -1 1 316.361 1.705 20 0 DDADMM Cc1cc(CNC(=O)[C@H](Cc2ccccc2)NC(=O)[O-])n[nH]1 ZINC000740074814 602857456 /nfs/dbraw/zinc/85/74/56/602857456.db2.gz ZSDKNRPORDORAP-ZDUSSCGKSA-N -1 1 302.334 1.213 20 0 DDADMM CCCN(C(=O)NCc1cc(C)[nH]n1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739355099 602916716 /nfs/dbraw/zinc/91/67/16/602916716.db2.gz ZZSHDWTVIAXTKO-GFCCVEGCSA-N -1 1 309.370 1.392 20 0 DDADMM CC(C)[C@H](CN[C@@H](C(=O)N(C)C)c1ccccc1)NC(=O)[O-] ZINC000738795974 602929701 /nfs/dbraw/zinc/92/97/01/602929701.db2.gz ZRDSQSBWJCVTET-UONOGXRCSA-N -1 1 307.394 1.698 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)[C@H]1CSCCS1 ZINC000826467342 607598494 /nfs/dbraw/zinc/59/84/94/607598494.db2.gz RQLRPWZADVCBIE-SNVBAGLBSA-N -1 1 323.403 1.154 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)[C@H]1CSCCS1 ZINC000826467342 607598495 /nfs/dbraw/zinc/59/84/95/607598495.db2.gz RQLRPWZADVCBIE-SNVBAGLBSA-N -1 1 323.403 1.154 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)C1CCN(C(=O)[O-])CC1 ZINC000737757505 602989787 /nfs/dbraw/zinc/98/97/87/602989787.db2.gz DBYLCVAKRQQIHC-OCCSQVGLSA-N -1 1 309.410 1.462 20 0 DDADMM CCN1CCCC[C@H]1C(=O)NC[C@H](NC(=O)[O-])c1ccccc1 ZINC000739453192 603033277 /nfs/dbraw/zinc/03/32/77/603033277.db2.gz DYZJNMVAEQSXEJ-GJZGRUSLSA-N -1 1 319.405 1.986 20 0 DDADMM CNC(=O)c1cccc(CN2CCC(N(C)C(=O)[O-])CC2)c1 ZINC000738023386 603035376 /nfs/dbraw/zinc/03/53/76/603035376.db2.gz NEAXWGUOLYNDGA-UHFFFAOYSA-N -1 1 305.378 1.620 20 0 DDADMM C[C@@H](CC(=O)NCCN1CCc2ccccc2C1)NC(=O)[O-] ZINC000824646573 603295375 /nfs/dbraw/zinc/29/53/75/603295375.db2.gz LGGHJLMMPCQKHQ-LBPRGKRZSA-N -1 1 305.378 1.207 20 0 DDADMM C[C@H]1CN(CCC(=O)Nc2cccc(F)c2)CCN1C(=O)[O-] ZINC000739181623 603361633 /nfs/dbraw/zinc/36/16/33/603361633.db2.gz KHRSTTLNCJHLAK-NSHDSACASA-N -1 1 309.341 1.838 20 0 DDADMM CN(C)Cc1ccsc1C(=O)NC[C@H]1CCCN1C(=O)[O-] ZINC000828047187 603530697 /nfs/dbraw/zinc/53/06/97/603530697.db2.gz YYDWGAKBFQHIKX-LLVKDONJSA-N -1 1 311.407 1.682 20 0 DDADMM CNC(=O)c1ccc(CNCc2ccc(NC(=O)[O-])nc2)cc1 ZINC000828560642 603535835 /nfs/dbraw/zinc/53/58/35/603535835.db2.gz HKPQKQZPRXUXDX-UHFFFAOYSA-N -1 1 314.345 1.821 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000829833376 603544016 /nfs/dbraw/zinc/54/40/16/603544016.db2.gz ITTHTRTWPPJHIY-SSDOTTSWSA-N -1 1 320.271 1.561 20 0 DDADMM C[C@H](C(=O)Nc1nccs1)N(C)CC(C)(C)CNC(=O)[O-] ZINC000823724201 603628242 /nfs/dbraw/zinc/62/82/42/603628242.db2.gz OHXFRWQTTONPPQ-SECBINFHSA-N -1 1 314.411 1.696 20 0 DDADMM C[C@@H](C(=O)N[C@@H]1CCCN(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823685835 603798822 /nfs/dbraw/zinc/79/88/22/603798822.db2.gz MTCAYIULMJRKNR-DZGCQCFKSA-N -1 1 319.405 1.766 20 0 DDADMM O=C([O-])N1CC[C@@H](CCNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000831659842 603948741 /nfs/dbraw/zinc/94/87/41/603948741.db2.gz QGZUAUDTGPUCLW-MNOVXSKESA-N -1 1 306.366 1.336 20 0 DDADMM O=C([O-])N1CCC[C@@H]1C1CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC000831441000 604152945 /nfs/dbraw/zinc/15/29/45/604152945.db2.gz AMHZMKXWPUNZLH-GFCCVEGCSA-N -1 1 321.381 1.118 20 0 DDADMM C[C@H](C(=O)OCCc1c[nH]nn1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823732613 604189776 /nfs/dbraw/zinc/18/97/76/604189776.db2.gz WWJPIWQRENDWDY-GWCFXTLKSA-N -1 1 318.333 1.535 20 0 DDADMM C[C@H](C(=O)OCCc1cnn[nH]1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823732613 604189780 /nfs/dbraw/zinc/18/97/80/604189780.db2.gz WWJPIWQRENDWDY-GWCFXTLKSA-N -1 1 318.333 1.535 20 0 DDADMM CN(C)C1(C(=O)Nc2cccc(OCCNC(=O)[O-])c2)CCC1 ZINC000827999725 604279373 /nfs/dbraw/zinc/27/93/73/604279373.db2.gz FYKUPKQLRYJDQM-UHFFFAOYSA-N -1 1 321.377 1.756 20 0 DDADMM CC(C)OC(=O)Cc1nc(CNC(C)(C)CC(=O)[O-])cs1 ZINC000826990662 604442281 /nfs/dbraw/zinc/44/22/81/604442281.db2.gz XFIKRMONAPNQAK-UHFFFAOYSA-N -1 1 314.407 1.980 20 0 DDADMM COC(=O)c1cc(CN2Cc3ccccc3C[C@H]2C(=O)[O-])c[nH]1 ZINC000831248753 604463051 /nfs/dbraw/zinc/46/30/51/604463051.db2.gz QNCGLVXNYPFBNA-HNNXBMFYSA-N -1 1 314.341 1.813 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@H](C)CN(C)C(=O)[O-])n2)o1 ZINC000830160035 604585521 /nfs/dbraw/zinc/58/55/21/604585521.db2.gz LGIHMZFFWQOYTG-SSDOTTSWSA-N -1 1 307.310 1.558 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@H]3CC[C@@H](NC(=O)[O-])C3)C2)n[nH]1 ZINC000830732864 604645743 /nfs/dbraw/zinc/64/57/43/604645743.db2.gz DWVZSGWRJYAQCK-QJPTWQEYSA-N -1 1 321.381 1.255 20 0 DDADMM CC(C)(O)[C@H]1CCCN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000833463102 604653732 /nfs/dbraw/zinc/65/37/32/604653732.db2.gz CFZQUTSQGMVQPP-CYBMUJFWSA-N -1 1 306.362 1.559 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NCC2(C(=O)[O-])CCCC2)n[nH]1 ZINC000832882720 604686791 /nfs/dbraw/zinc/68/67/91/604686791.db2.gz AVYJWOVYSLCIMY-JTQLQIEISA-N -1 1 308.382 1.593 20 0 DDADMM CC(C)c1cc(C(=O)N2CCO[C@@H]([C@H](C)NC(=O)[O-])C2)n[nH]1 ZINC000824453003 604710508 /nfs/dbraw/zinc/71/05/08/604710508.db2.gz DLYLCITWXDZOCZ-JOYOIKCWSA-N -1 1 310.354 1.030 20 0 DDADMM O=C([O-])N1CC(NC(=O)c2c(O)cc(Cl)cc2Cl)C1 ZINC000831610770 604762273 /nfs/dbraw/zinc/76/22/73/604762273.db2.gz SIYDDHVDFPDIFG-UHFFFAOYSA-N -1 1 305.117 1.791 20 0 DDADMM C[C@H](NC(=O)CN1CCC(C)CC1)[C@H]1CCCN(C(=O)[O-])C1 ZINC000825944997 604850791 /nfs/dbraw/zinc/85/07/91/604850791.db2.gz RXWXFNQYNYPIIM-KBPBESRZSA-N -1 1 311.426 1.613 20 0 DDADMM CN(CC(=O)N(C)c1ccccc1C(=O)[O-])[C@@H](CO)C(C)(C)C ZINC000833684398 604966357 /nfs/dbraw/zinc/96/63/57/604966357.db2.gz ARFNQCAVDOBYOZ-AWEZNQCLSA-N -1 1 322.405 1.686 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC1(C)CCCC1 ZINC000833621945 605052125 /nfs/dbraw/zinc/05/21/25/605052125.db2.gz CGUBYNICHNUOND-CYBMUJFWSA-N -1 1 311.426 1.757 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN[C@H](C)c1cccc(OC)c1)C(=O)[O-] ZINC000833638905 605067315 /nfs/dbraw/zinc/06/73/15/605067315.db2.gz LICBPLYJOHFTKR-HWWQOWPSSA-N -1 1 322.405 1.961 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)C=C1CCSCC1 ZINC000833624355 605102871 /nfs/dbraw/zinc/10/28/71/605102871.db2.gz UNANBXBNMPOHGL-GFCCVEGCSA-N -1 1 312.435 1.447 20 0 DDADMM CC(C)Cn1cc(C(=O)N2CCN(CCC(=O)[O-])[C@H](C)C2)cn1 ZINC000833493905 605128786 /nfs/dbraw/zinc/12/87/86/605128786.db2.gz MBAQAHGWISAGTE-CYBMUJFWSA-N -1 1 322.409 1.160 20 0 DDADMM CCS(=O)(=O)C[C@H](C)NCc1cccc(OCC(=O)[O-])c1 ZINC000833665285 605136439 /nfs/dbraw/zinc/13/64/39/605136439.db2.gz FYFNTNALGTUOSE-NSHDSACASA-N -1 1 315.391 1.063 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@@H]1CC=CCC1 ZINC000833627367 605136866 /nfs/dbraw/zinc/13/68/66/605136866.db2.gz WXDOAKOELQGKAR-UONOGXRCSA-N -1 1 309.410 1.533 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1CC(=O)Nc1ccccc1F ZINC000833628163 605190029 /nfs/dbraw/zinc/19/00/29/605190029.db2.gz CFURFAKEPDWMFD-LBPRGKRZSA-N -1 1 323.368 1.245 20 0 DDADMM C[C@@H]1C[C@H](N(C)S(=O)(=O)c2cc(C(=O)[O-])co2)CCN1C ZINC000833594934 605206166 /nfs/dbraw/zinc/20/61/66/605206166.db2.gz BZSTTXSJOFSZKE-MWLCHTKSSA-N -1 1 316.379 1.081 20 0 DDADMM CC[C@@H]1CN(C(=O)CC(C)(C)CC(=O)[O-])CCN1C[C@H](C)O ZINC000833648917 605272438 /nfs/dbraw/zinc/27/24/38/605272438.db2.gz LZVQWCSTKIONAF-QWHCGFSZSA-N -1 1 314.426 1.181 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CN2C[C@H](c3ccccc3F)CC2=O)C1 ZINC000833553619 605346996 /nfs/dbraw/zinc/34/69/96/605346996.db2.gz GHDHXLDWHCULLE-SJKOYZFVSA-N -1 1 320.364 1.896 20 0 DDADMM O=C([O-])N1CCc2cccc(CNCC(=O)NCC3CC3)c21 ZINC000834007149 605418397 /nfs/dbraw/zinc/41/83/97/605418397.db2.gz QKOOTWQONNVCHZ-UHFFFAOYSA-N -1 1 303.362 1.343 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)[O-])CCN1C(=O)c1cccc2n[nH]cc21 ZINC000825840478 605454606 /nfs/dbraw/zinc/45/46/06/605454606.db2.gz YMHBYVSYYFESCQ-NXEZZACHSA-N -1 1 302.334 1.824 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@@H](NC(=O)[O-])C[C@@H]2C)n[nH]1 ZINC000830029102 605570938 /nfs/dbraw/zinc/57/09/38/605570938.db2.gz FGIIXHDUCBMFJH-ZMLRMANQSA-N -1 1 323.397 1.479 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@H]1NCc1nnnn1-c1ccccc1 ZINC000834217370 605597236 /nfs/dbraw/zinc/59/72/36/605597236.db2.gz UUWGBURGYIHGMM-WCQYABFASA-N -1 1 316.365 1.188 20 0 DDADMM C[C@@H]1CN(C[C@H]2CCCN2C(=O)CCCC(=O)[O-])C[C@H](C)O1 ZINC000833622572 605762755 /nfs/dbraw/zinc/76/27/55/605762755.db2.gz IELIHNLFZPTBFU-HZSPNIEDSA-N -1 1 312.410 1.342 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000825832509 605767091 /nfs/dbraw/zinc/76/70/91/605767091.db2.gz SWTRIMURWPZTRS-KOLCDFICSA-N -1 1 318.333 1.930 20 0 DDADMM O=C([O-])N1CC[C@@H](N(C(=O)[C@H]2CCCc3[nH]ncc32)C2CC2)C1 ZINC000831920981 605798043 /nfs/dbraw/zinc/79/80/43/605798043.db2.gz ABNYRBSFKHVJST-NEPJUHHUSA-N -1 1 318.377 1.573 20 0 DDADMM O=C([O-])NC1(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CCCCC1 ZINC000834150713 605833521 /nfs/dbraw/zinc/83/35/21/605833521.db2.gz HKPULOPJVIMUHY-SNVBAGLBSA-N -1 1 306.366 1.354 20 0 DDADMM O=C([O-])N1CCC2(CCN(Cc3cn4cccnc4n3)C2)CC1 ZINC000834092660 605897396 /nfs/dbraw/zinc/89/73/96/605897396.db2.gz WARBHRNIPXUTEO-UHFFFAOYSA-N -1 1 315.377 1.695 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NCC1CCCCC1 ZINC000833827612 605905497 /nfs/dbraw/zinc/90/54/97/605905497.db2.gz BTJMJXXBJCACEA-GXTWGEPZSA-N -1 1 311.426 1.661 20 0 DDADMM Cc1cccc(C)c1OC[C@H](O)CN1CC[C@H](NC(=O)[O-])C1 ZINC000740165579 605927310 /nfs/dbraw/zinc/92/73/10/605927310.db2.gz FFNQAUYOMSCELD-UONOGXRCSA-N -1 1 308.378 1.385 20 0 DDADMM O=C([O-])N[C@H](CNC(=O)c1cc(F)cc2nc[nH]c21)C1CC1 ZINC000834145451 605992654 /nfs/dbraw/zinc/99/26/54/605992654.db2.gz COKZSGXOJYOHBT-LLVKDONJSA-N -1 1 306.297 1.478 20 0 DDADMM O=C([O-])N[C@@H](CC(F)F)C(=O)N1CCN(C2CCCC2)CC1 ZINC000834134954 606008805 /nfs/dbraw/zinc/00/88/05/606008805.db2.gz VOKUSCWTRSKHKK-NSHDSACASA-N -1 1 319.352 1.365 20 0 DDADMM Cc1cccn2cc(CCNc3nccnc3-c3nnn[n-]3)nc12 ZINC000822366135 606139286 /nfs/dbraw/zinc/13/92/86/606139286.db2.gz CSZBOZRKAYXJNG-UHFFFAOYSA-N -1 1 321.348 1.267 20 0 DDADMM Cc1cccn2cc(CCNc3nccnc3-c3nn[n-]n3)nc12 ZINC000822366135 606139287 /nfs/dbraw/zinc/13/92/87/606139287.db2.gz CSZBOZRKAYXJNG-UHFFFAOYSA-N -1 1 321.348 1.267 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cn2ccccc2n1 ZINC000823294762 606293362 /nfs/dbraw/zinc/29/33/62/606293362.db2.gz QPLYPRIEBGXQPI-UHFFFAOYSA-N -1 1 321.300 1.472 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccc2n[nH]cc2c1 ZINC000823294496 606294941 /nfs/dbraw/zinc/29/49/41/606294941.db2.gz CXLQEHMBWWLMSJ-UHFFFAOYSA-N -1 1 321.300 1.701 20 0 DDADMM CNC(=O)c1cnc(C=Cc2ccc(-c3nn[n-]n3)s2)s1 ZINC000821636553 606390357 /nfs/dbraw/zinc/39/03/57/606390357.db2.gz UZDLTHNNIIYWOX-HWKANZROSA-N -1 1 318.387 1.915 20 0 DDADMM C[C@@H]1CC[C@@H](C(N)=O)CN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820683969 606457178 /nfs/dbraw/zinc/45/71/78/606457178.db2.gz KHKFLKCVSQNBAB-HTQZYQBOSA-N -1 1 321.772 1.005 20 0 DDADMM C[C@@H]1CC[C@@H](C(N)=O)CN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820683969 606457179 /nfs/dbraw/zinc/45/71/79/606457179.db2.gz KHKFLKCVSQNBAB-HTQZYQBOSA-N -1 1 321.772 1.005 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nnn[n-]2)c1C(=O)NCC(F)F ZINC000822535410 606523026 /nfs/dbraw/zinc/52/30/26/606523026.db2.gz QLZCEFPTCOGGBT-UHFFFAOYSA-N -1 1 322.275 1.554 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nn[n-]n2)c1C(=O)NCC(F)F ZINC000822535410 606523028 /nfs/dbraw/zinc/52/30/28/606523028.db2.gz QLZCEFPTCOGGBT-UHFFFAOYSA-N -1 1 322.275 1.554 20 0 DDADMM CN(C[C@@H]1CCCOC1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821596486 606554863 /nfs/dbraw/zinc/55/48/63/606554863.db2.gz LOKNPORNWSGZNH-VIFPVBQESA-N -1 1 307.379 1.427 20 0 DDADMM CN(C[C@@H]1CCCOC1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821596486 606554865 /nfs/dbraw/zinc/55/48/65/606554865.db2.gz LOKNPORNWSGZNH-VIFPVBQESA-N -1 1 307.379 1.427 20 0 DDADMM O=C(NCc1cccc(O)c1)c1ccc(-c2nnn[n-]2)s1 ZINC000823261388 606562319 /nfs/dbraw/zinc/56/23/19/606562319.db2.gz KHWZEJJGSCBKDS-UHFFFAOYSA-N -1 1 301.331 1.564 20 0 DDADMM O=C(NCc1cccc(O)c1)c1ccc(-c2nn[n-]n2)s1 ZINC000823261388 606562321 /nfs/dbraw/zinc/56/23/21/606562321.db2.gz KHWZEJJGSCBKDS-UHFFFAOYSA-N -1 1 301.331 1.564 20 0 DDADMM CO[C@@H](CNC(=O)c1ccc(-c2nnn[n-]2)s1)C(C)(C)C ZINC000821676869 606581567 /nfs/dbraw/zinc/58/15/67/606581567.db2.gz JBYIAYVYMCNNLF-JTQLQIEISA-N -1 1 309.395 1.719 20 0 DDADMM CO[C@@H](CNC(=O)c1ccc(-c2nn[n-]n2)s1)C(C)(C)C ZINC000821676869 606581568 /nfs/dbraw/zinc/58/15/68/606581568.db2.gz JBYIAYVYMCNNLF-JTQLQIEISA-N -1 1 309.395 1.719 20 0 DDADMM CCOC[C@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821367325 606582119 /nfs/dbraw/zinc/58/21/19/606582119.db2.gz GOKCDQLQVCNIIE-VIFPVBQESA-N -1 1 307.379 1.427 20 0 DDADMM CCOC[C@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821367325 606582121 /nfs/dbraw/zinc/58/21/21/606582121.db2.gz GOKCDQLQVCNIIE-VIFPVBQESA-N -1 1 307.379 1.427 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC[C@@H]2CC=CCC2)n1 ZINC000822614713 606597552 /nfs/dbraw/zinc/59/75/52/606597552.db2.gz QFMAWWFGMQSQEY-SECBINFHSA-N -1 1 302.342 1.078 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC[C@@H]2CC=CCC2)n1 ZINC000822614713 606597553 /nfs/dbraw/zinc/59/75/53/606597553.db2.gz QFMAWWFGMQSQEY-SECBINFHSA-N -1 1 302.342 1.078 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccc(Cl)o1 ZINC000823436389 606650068 /nfs/dbraw/zinc/65/00/68/606650068.db2.gz STAFKNASVDYPGH-UHFFFAOYSA-N -1 1 305.681 1.865 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc(Cl)o1 ZINC000823436389 606650069 /nfs/dbraw/zinc/65/00/69/606650069.db2.gz STAFKNASVDYPGH-UHFFFAOYSA-N -1 1 305.681 1.865 20 0 DDADMM CSCCCCC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000821962375 606650254 /nfs/dbraw/zinc/65/02/54/606650254.db2.gz PCLSOSZZOQOKJQ-UHFFFAOYSA-N -1 1 307.379 1.838 20 0 DDADMM CSCCCCC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000821962375 606650255 /nfs/dbraw/zinc/65/02/55/606650255.db2.gz PCLSOSZZOQOKJQ-UHFFFAOYSA-N -1 1 307.379 1.838 20 0 DDADMM CN(CCC1CCOCC1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821599123 606872736 /nfs/dbraw/zinc/87/27/36/606872736.db2.gz GNYACCBVDQCPJR-UHFFFAOYSA-N -1 1 321.406 1.817 20 0 DDADMM CN(CCC1CCOCC1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821599123 606872737 /nfs/dbraw/zinc/87/27/37/606872737.db2.gz GNYACCBVDQCPJR-UHFFFAOYSA-N -1 1 321.406 1.817 20 0 DDADMM Cc1cc(Cl)cc(C(=O)n2ncc(-c3nn[n-]n3)c2N)c1 ZINC000822202075 606968194 /nfs/dbraw/zinc/96/81/94/606968194.db2.gz JVKFELYZOIFFHI-UHFFFAOYSA-N -1 1 303.713 1.296 20 0 DDADMM c1ccc2[nH]c(C3CN(c4nccnc4-c4nnn[n-]4)C3)nc2c1 ZINC000823791268 607017966 /nfs/dbraw/zinc/01/79/66/607017966.db2.gz AEJNFVNOTJJETE-UHFFFAOYSA-N -1 1 319.332 1.137 20 0 DDADMM c1ccc2[nH]c(C3CN(c4nccnc4-c4nn[n-]n4)C3)nc2c1 ZINC000823791268 607017968 /nfs/dbraw/zinc/01/79/68/607017968.db2.gz AEJNFVNOTJJETE-UHFFFAOYSA-N -1 1 319.332 1.137 20 0 DDADMM Cc1nn(C)cc1[C@H]1CCCN1c1cccc(-c2nnn[n-]2)n1 ZINC000822496148 607047997 /nfs/dbraw/zinc/04/79/97/607047997.db2.gz CJIKGACPBOVNQG-CYBMUJFWSA-N -1 1 310.365 1.645 20 0 DDADMM Cc1nn(C)cc1[C@H]1CCCN1c1cccc(-c2nn[n-]n2)n1 ZINC000822496148 607047998 /nfs/dbraw/zinc/04/79/98/607047998.db2.gz CJIKGACPBOVNQG-CYBMUJFWSA-N -1 1 310.365 1.645 20 0 DDADMM COc1cc(C)nc(Oc2cccc(F)c2-c2nnn[n-]2)n1 ZINC000826179043 607866953 /nfs/dbraw/zinc/86/69/53/607866953.db2.gz WTUYXUHBOIGJQG-UHFFFAOYSA-N -1 1 302.269 1.905 20 0 DDADMM COc1cc(C)nc(Oc2cccc(F)c2-c2nn[n-]n2)n1 ZINC000826179043 607866954 /nfs/dbraw/zinc/86/69/54/607866954.db2.gz WTUYXUHBOIGJQG-UHFFFAOYSA-N -1 1 302.269 1.905 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)c1cccc(C(F)(F)F)c1 ZINC000826424995 608148787 /nfs/dbraw/zinc/14/87/87/608148787.db2.gz LSUKYFIJKPGYQV-UHFFFAOYSA-N -1 1 322.250 1.965 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)c1cccc(C(F)(F)F)c1 ZINC000826424995 608148788 /nfs/dbraw/zinc/14/87/88/608148788.db2.gz LSUKYFIJKPGYQV-UHFFFAOYSA-N -1 1 322.250 1.965 20 0 DDADMM C[C@H]1C[C@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCC1=O ZINC000824868311 608169979 /nfs/dbraw/zinc/16/99/79/608169979.db2.gz UTQQFJIMSRSSJG-WCBMZHEXSA-N -1 1 315.333 1.516 20 0 DDADMM C[C@@H](Nc1snc(Cl)c1-c1nnn[n-]1)[C@H]1CCOC1 ZINC000824671073 608184951 /nfs/dbraw/zinc/18/49/51/608184951.db2.gz WGHUFQXZXJQQAW-RITPCOANSA-N -1 1 300.775 1.814 20 0 DDADMM C[C@@H](Nc1snc(Cl)c1-c1nn[n-]n1)[C@H]1CCOC1 ZINC000824671073 608184953 /nfs/dbraw/zinc/18/49/53/608184953.db2.gz WGHUFQXZXJQQAW-RITPCOANSA-N -1 1 300.775 1.814 20 0 DDADMM CCC[C@@H]1C(=O)NCCN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825248609 608233069 /nfs/dbraw/zinc/23/30/69/608233069.db2.gz WZSNDOUVSBKFFX-SECBINFHSA-N -1 1 321.772 1.020 20 0 DDADMM CCC[C@@H]1C(=O)NCCN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825248609 608233070 /nfs/dbraw/zinc/23/30/70/608233070.db2.gz WZSNDOUVSBKFFX-SECBINFHSA-N -1 1 321.772 1.020 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC[C@@H](C[C@H]3CCOC3)C2)c1 ZINC000826514616 608296809 /nfs/dbraw/zinc/29/68/09/608296809.db2.gz XJMOWTAEQOLDHB-QWHCGFSZSA-N -1 1 314.393 1.905 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC[C@@H](C[C@H]3CCOC3)C2)c1 ZINC000826514616 608296811 /nfs/dbraw/zinc/29/68/11/608296811.db2.gz XJMOWTAEQOLDHB-QWHCGFSZSA-N -1 1 314.393 1.905 20 0 DDADMM Fc1ccc(OCCNc2ccc(-c3nnn[n-]3)nn2)c(F)c1 ZINC000826376331 608416103 /nfs/dbraw/zinc/41/61/03/608416103.db2.gz YOXHLAPKRRRDHY-UHFFFAOYSA-N -1 1 319.275 1.426 20 0 DDADMM Fc1ccc(OCCNc2ccc(-c3nn[n-]n3)nn2)c(F)c1 ZINC000826376331 608416104 /nfs/dbraw/zinc/41/61/04/608416104.db2.gz YOXHLAPKRRRDHY-UHFFFAOYSA-N -1 1 319.275 1.426 20 0 DDADMM CCOC(=O)[C@@H]1CCCCN1Cc1ccc(-c2nnn[n-]2)o1 ZINC000825499441 608499736 /nfs/dbraw/zinc/49/97/36/608499736.db2.gz NEMVRRKSLIHDIB-NSHDSACASA-N -1 1 305.338 1.377 20 0 DDADMM CCOC(=O)[C@@H]1CCCCN1Cc1ccc(-c2nn[n-]n2)o1 ZINC000825499441 608499737 /nfs/dbraw/zinc/49/97/37/608499737.db2.gz NEMVRRKSLIHDIB-NSHDSACASA-N -1 1 305.338 1.377 20 0 DDADMM COCCN(Cc1ccco1)Cc1ccc(-c2nn[n-]n2)o1 ZINC000826159395 608565317 /nfs/dbraw/zinc/56/53/17/608565317.db2.gz DVUMGWOUVMYXAW-UHFFFAOYSA-N -1 1 303.322 1.701 20 0 DDADMM C[C@H](C[S@@](C)=O)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000824450693 609598511 /nfs/dbraw/zinc/59/85/11/609598511.db2.gz YCFPZICUKYOHRX-ZLWQNGGKSA-N -1 1 300.775 1.094 20 0 DDADMM C[C@H](C[S@@](C)=O)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000824450693 609598512 /nfs/dbraw/zinc/59/85/12/609598512.db2.gz YCFPZICUKYOHRX-ZLWQNGGKSA-N -1 1 300.775 1.094 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)C2CCCCC2)C1)c1ncccc1[O-] ZINC000973809055 695548152 /nfs/dbraw/zinc/54/81/52/695548152.db2.gz GUWYKSOHNGIJAW-BETUJISGSA-N -1 1 317.389 1.745 20 0 DDADMM CC[C@H](C(=O)[O-])N(C(=O)N[C@H]1CCCc2cn[nH]c21)C1CC1 ZINC000797725372 700021242 /nfs/dbraw/zinc/02/12/42/700021242.db2.gz UCHYSXMGBCSINR-NWDGAFQWSA-N -1 1 306.366 1.824 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000797724762 700021021 /nfs/dbraw/zinc/02/10/21/700021021.db2.gz NYNZZTGTZOHPPQ-NMKXLXIOSA-N -1 1 318.377 1.682 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976407512 695971975 /nfs/dbraw/zinc/97/19/75/695971975.db2.gz YRSZDJBJAVBZLW-CYRTVHNOSA-N -1 1 315.373 1.020 20 0 DDADMM COc1cccc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n1 ZINC000747369823 700066353 /nfs/dbraw/zinc/06/63/53/700066353.db2.gz APRHYFJDLHCCGH-UHFFFAOYSA-N -1 1 316.361 1.948 20 0 DDADMM CC[C@H]1CN(CC(=O)[N-]C(=O)c2ccc(OC)cc2)CCO1 ZINC000045752529 696176943 /nfs/dbraw/zinc/17/69/43/696176943.db2.gz AKZIYKNRMVUJDC-ZDUSSCGKSA-N -1 1 306.362 1.062 20 0 DDADMM CCOC(=O)[C@@H](C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000049499877 696224340 /nfs/dbraw/zinc/22/43/40/696224340.db2.gz CHRBKWNCELMKKL-ZCFIWIBFSA-N -1 1 311.281 1.334 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)C(C)(C)C)C(=O)c1ncccc1[O-] ZINC000977603604 696230874 /nfs/dbraw/zinc/23/08/74/696230874.db2.gz ULHBRFLWLUKBPF-NSHDSACASA-N -1 1 305.378 1.506 20 0 DDADMM CCC(CC)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000051390183 696240469 /nfs/dbraw/zinc/24/04/69/696240469.db2.gz VNXWGYKHMNYPFE-UHFFFAOYSA-N -1 1 307.346 1.931 20 0 DDADMM Cc1cc(=O)[n-]c(N=NC2CCN(C(=O)CC(C)(C)C)CC2)n1 ZINC000060417705 696310278 /nfs/dbraw/zinc/31/02/78/696310278.db2.gz HIWCXUNDAWVSEN-UHFFFAOYSA-N -1 1 319.409 1.905 20 0 DDADMM Cn1c(=S)[n-]nc1C1CCN(C(=O)C[C@H]2CCCO2)CC1 ZINC000068428482 696372146 /nfs/dbraw/zinc/37/21/46/696372146.db2.gz QZOKSESZAXNHJT-LLVKDONJSA-N -1 1 310.423 1.753 20 0 DDADMM C[C@@H]1CN(CC(=O)N[N-]C(=O)c2cccs2)C[C@@H](C)S1 ZINC000072770900 696396443 /nfs/dbraw/zinc/39/64/43/696396443.db2.gz MINCVSVFBCQBLU-NXEZZACHSA-N -1 1 313.448 1.335 20 0 DDADMM CC(C)(C)[C@H](NC(=O)Cc1sc(N)nc1[O-])C(F)(F)F ZINC000073133758 696401195 /nfs/dbraw/zinc/40/11/95/696401195.db2.gz CLMFNQKNMZCEJF-XNCJUZBTSA-N -1 1 311.329 1.426 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000978732469 696448648 /nfs/dbraw/zinc/44/86/48/696448648.db2.gz VZEZQEVSYDTVEE-GRYCIOLGSA-N -1 1 317.389 1.458 20 0 DDADMM CC[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(C)cc1 ZINC000079304362 696459648 /nfs/dbraw/zinc/45/96/48/696459648.db2.gz DDWVEJCUCIVMGA-RYUDHWBXSA-N -1 1 305.403 1.909 20 0 DDADMM CCN(CCc1ccccc1)C(=O)Cc1sc(N)nc1[O-] ZINC000079495057 696461143 /nfs/dbraw/zinc/46/11/43/696461143.db2.gz MFSPHSBSQZZUFZ-LBPRGKRZSA-N -1 1 305.403 1.424 20 0 DDADMM C[C@H]1CCN(C(=O)Cc2sc(N)nc2[O-])c2ccccc21 ZINC000079617205 696462101 /nfs/dbraw/zinc/46/21/01/696462101.db2.gz JIEQFZFGPLFDPW-CABZTGNLSA-N -1 1 303.387 1.874 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCc2ccccc2Cl)s1 ZINC000079778454 696463472 /nfs/dbraw/zinc/46/34/72/696463472.db2.gz RQCDPGTUSRESEP-SNVBAGLBSA-N -1 1 311.794 1.346 20 0 DDADMM CNC(=O)[C@@H](C)CN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747932039 700092750 /nfs/dbraw/zinc/09/27/50/700092750.db2.gz NKAAQZVHOCZGOE-VIFPVBQESA-N -1 1 308.382 1.068 20 0 DDADMM CN(C(=O)Cc1sc(N)nc1[O-])[C@@H]1CCc2ccccc2C1 ZINC000080291698 696532224 /nfs/dbraw/zinc/53/22/24/696532224.db2.gz VKQRIVPOMYKOPL-OLZOCXBDSA-N -1 1 317.414 1.349 20 0 DDADMM Cc1cccnc1[C@H](NC(=O)Cc1sc(N)nc1[O-])C(C)C ZINC000080306044 696532784 /nfs/dbraw/zinc/53/27/84/696532784.db2.gz GCIHVWFCXLDPAT-CMPLNLGQSA-N -1 1 320.418 1.550 20 0 DDADMM C[C@H]1c2ccc(F)cc2CCN1C(=O)Cc1sc(N)nc1[O-] ZINC000080401050 696534261 /nfs/dbraw/zinc/53/42/61/696534261.db2.gz KWVBCXVRWDNMFN-UFBFGSQYSA-N -1 1 321.377 1.618 20 0 DDADMM CC[C@H](c1ccncc1)N(CC)C(=O)Cc1sc(N)nc1[O-] ZINC000080420496 696534601 /nfs/dbraw/zinc/53/46/01/696534601.db2.gz UDNRMNGIJKEKBK-VXGBXAGGSA-N -1 1 320.418 1.728 20 0 DDADMM Cc1ccccc1CS(=O)(=O)CCC[N-]C(=O)C(F)(F)F ZINC000091574523 696589383 /nfs/dbraw/zinc/58/93/83/696589383.db2.gz SRKCNEVRWAYEPO-UHFFFAOYSA-N -1 1 323.336 1.978 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCOc3cccnc3)cnc2n1 ZINC000114544608 696661415 /nfs/dbraw/zinc/66/14/15/696661415.db2.gz TXWNBAYVMCWPQF-UHFFFAOYSA-N -1 1 324.340 1.848 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCOc1cccnc1)c2=O ZINC000114544608 696661418 /nfs/dbraw/zinc/66/14/18/696661418.db2.gz TXWNBAYVMCWPQF-UHFFFAOYSA-N -1 1 324.340 1.848 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)[C@H](C)c1ccccc1)N(C)C ZINC000798831165 700099931 /nfs/dbraw/zinc/09/99/31/700099931.db2.gz BLPDXNHEKZMMRT-TZMCWYRMSA-N -1 1 312.435 1.780 20 0 DDADMM CN(Cc1ccc(Cl)s1)C(=O)Cc1sc(N)nc1[O-] ZINC000120811452 696701577 /nfs/dbraw/zinc/70/15/77/696701577.db2.gz DACBDDWSXLIDRH-SSDOTTSWSA-N -1 1 317.823 1.707 20 0 DDADMM CC[C@@H](C)[C@H](C)C(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000131991910 696809959 /nfs/dbraw/zinc/80/99/59/696809959.db2.gz VGPZXCZBZQHICS-ZJUUUORDSA-N -1 1 306.366 1.494 20 0 DDADMM CO[C@@H](C)C(=O)N[N-]C(=O)c1cc(-c2ccc(C)c(C)c2)[nH]n1 ZINC000151074552 696880304 /nfs/dbraw/zinc/88/03/04/696880304.db2.gz XSZKKAILRMCOMM-NSHDSACASA-N -1 1 316.361 1.489 20 0 DDADMM O=C(CCCn1cccn1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000748837469 700137867 /nfs/dbraw/zinc/13/78/67/700137867.db2.gz IVTINAVMRKTQRC-AWEZNQCLSA-N -1 1 311.349 1.082 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000983585993 697281793 /nfs/dbraw/zinc/28/17/93/697281793.db2.gz QQPFJTLQZLBGPY-NEPJUHHUSA-N -1 1 319.405 1.991 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)C2(C)CC2)C1 ZINC000984301247 697365897 /nfs/dbraw/zinc/36/58/97/697365897.db2.gz JQWNWOONKUZIGX-GFCCVEGCSA-N -1 1 317.389 1.650 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)C(F)F)CCN1C(=O)c1ncccc1[O-] ZINC000984376359 697375562 /nfs/dbraw/zinc/37/55/62/697375562.db2.gz DPKLIASFUISKKP-DTWKUNHWSA-N -1 1 313.304 1.162 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)N1CCc2ccccc21)c1nn[n-]n1 ZINC000176740548 697405817 /nfs/dbraw/zinc/40/58/17/697405817.db2.gz AIXCQGMDJCRBSB-DGCLKSJQSA-N -1 1 314.393 1.608 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCC1(C)OCCO1 ZINC000184971010 697511120 /nfs/dbraw/zinc/51/11/20/697511120.db2.gz RMTMVZOWEMOAKK-UHFFFAOYSA-N -1 1 323.393 1.259 20 0 DDADMM N#CCSCC(=O)Nc1nc(Br)ccc1[O-] ZINC000188338352 697557340 /nfs/dbraw/zinc/55/73/40/697557340.db2.gz YOEYCDUECSSOHB-UHFFFAOYSA-N -1 1 302.153 1.745 20 0 DDADMM CCS(=O)(=O)NCC[NH2+]Cc1c(O)ccc(Cl)c1F ZINC000190883466 697598773 /nfs/dbraw/zinc/59/87/73/697598773.db2.gz WKBGIBBBXWVJSN-UHFFFAOYSA-N -1 1 310.778 1.214 20 0 DDADMM COC(=O)c1cc(OC)ccc1NC(=O)c1n[nH]c(C)c1[O-] ZINC000749431268 700163557 /nfs/dbraw/zinc/16/35/57/700163557.db2.gz UUTDQCURVOPEIH-UHFFFAOYSA-N -1 1 305.290 1.471 20 0 DDADMM CCc1nc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)co1 ZINC000772384659 697626981 /nfs/dbraw/zinc/62/69/81/697626981.db2.gz AHODUQXTYOFFOU-UHFFFAOYSA-N -1 1 302.286 1.350 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000772696983 697662251 /nfs/dbraw/zinc/66/22/51/697662251.db2.gz RCDZOJPPGISRIC-LLVKDONJSA-N -1 1 310.325 1.263 20 0 DDADMM CON(Cc1ccccc1)C(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000193893601 697683514 /nfs/dbraw/zinc/68/35/14/697683514.db2.gz MCUOLRWOHUOQHR-UHFFFAOYSA-N -1 1 303.274 1.899 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2ccc(CO)cc2)c1Cl ZINC000227466218 697769417 /nfs/dbraw/zinc/76/94/17/697769417.db2.gz GTOSTWQYJWZSGU-UHFFFAOYSA-N -1 1 315.782 1.044 20 0 DDADMM CC1(C)NC(=O)N(CCOC(=O)c2ccc([O-])cc2F)C1=O ZINC000773572894 697782999 /nfs/dbraw/zinc/78/29/99/697782999.db2.gz ZCKKYJOYPDBNSI-UHFFFAOYSA-N -1 1 310.281 1.019 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CC2(CCC2)C1 ZINC000986660322 697867524 /nfs/dbraw/zinc/86/75/24/697867524.db2.gz ABVDYOBNMKJNOY-CMPLNLGQSA-N -1 1 319.409 1.170 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CC2(CCC2)C1 ZINC000986660330 697867566 /nfs/dbraw/zinc/86/75/66/697867566.db2.gz ABVDYOBNMKJNOY-PWSUYJOCSA-N -1 1 319.409 1.170 20 0 DDADMM CN(C)c1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)ccn1 ZINC000774922730 697942257 /nfs/dbraw/zinc/94/22/57/697942257.db2.gz TUUNQLHIIFVPPE-UHFFFAOYSA-N -1 1 319.283 1.373 20 0 DDADMM COC(=O)Cc1csc(NC([O-])=NO[C@@H]2CCCCO2)n1 ZINC000775384604 697991185 /nfs/dbraw/zinc/99/11/85/697991185.db2.gz ZSPBAOICCXFKJE-SNVBAGLBSA-N -1 1 315.351 1.438 20 0 DDADMM COC(=O)Cc1csc(NC(=O)[N-]O[C@@H]2CCCCO2)n1 ZINC000775384604 697991187 /nfs/dbraw/zinc/99/11/87/697991187.db2.gz ZSPBAOICCXFKJE-SNVBAGLBSA-N -1 1 315.351 1.438 20 0 DDADMM O=C(NCc1nc(C(F)(F)F)n[nH]1)c1ccc([O-])c(F)c1 ZINC000775914005 698051175 /nfs/dbraw/zinc/05/11/75/698051175.db2.gz OATGKGKMUJCTHW-UHFFFAOYSA-N -1 1 304.203 1.598 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCO1 ZINC000776419087 698105047 /nfs/dbraw/zinc/10/50/47/698105047.db2.gz QPLDEWOOBSFPJR-YPMHNXCESA-N -1 1 305.330 1.301 20 0 DDADMM O=C(c1coc2ccccc12)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776562032 698117252 /nfs/dbraw/zinc/11/72/52/698117252.db2.gz AGZWIGMFCDUXSV-LLVKDONJSA-N -1 1 315.358 1.876 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCC1CCCC1 ZINC000987903845 698262884 /nfs/dbraw/zinc/26/28/84/698262884.db2.gz DTUPREMOYBQSHU-WCQYABFASA-N -1 1 321.425 1.560 20 0 DDADMM CC[C@@H](OC(=O)c1ccc(Br)c([O-])c1)C(N)=O ZINC000778830347 698373489 /nfs/dbraw/zinc/37/34/89/698373489.db2.gz YOUHXDOYWOHGPE-SECBINFHSA-N -1 1 302.124 1.575 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CC(C)(C)C1 ZINC000988778499 698462592 /nfs/dbraw/zinc/46/25/92/698462592.db2.gz QFHQUIQSFMWNLG-GXSJLCMTSA-N -1 1 307.398 1.026 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)N2CCC[C@@H](C(=O)[O-])C2)cn1 ZINC000263403539 698505259 /nfs/dbraw/zinc/50/52/59/698505259.db2.gz HEYVUTROYJBCAU-SNVBAGLBSA-N -1 1 317.349 1.230 20 0 DDADMM CN(C)Cc1cc(CNC(=O)NC(C)(C)C(=O)[O-])ccc1F ZINC000780578765 698535030 /nfs/dbraw/zinc/53/50/30/698535030.db2.gz YCPJJTZXMDMEEE-UHFFFAOYSA-N -1 1 311.357 1.550 20 0 DDADMM CC[C@](C)(NC(=O)c1cc(Br)ccc1[O-])C(N)=O ZINC000306080286 698659609 /nfs/dbraw/zinc/65/96/09/698659609.db2.gz CLEXLCUESQJQLT-LBPRGKRZSA-N -1 1 315.167 1.539 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](O)C(C)(C)C1 ZINC000318827086 698707568 /nfs/dbraw/zinc/70/75/68/698707568.db2.gz APAWRQQZKGRQBH-NSHDSACASA-N -1 1 307.394 1.847 20 0 DDADMM Cc1cccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)c1 ZINC000990130362 698965228 /nfs/dbraw/zinc/96/52/28/698965228.db2.gz WTHQVFGYVCXUJB-UHFFFAOYSA-N -1 1 311.341 1.350 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)Nc2nc(Br)ccc2[O-])CO1 ZINC000784790942 699040268 /nfs/dbraw/zinc/04/02/68/699040268.db2.gz ADNFJOULYNDXCE-RNFRBKRXSA-N -1 1 301.140 1.913 20 0 DDADMM COc1cc(C(=O)N2CCOC[C@H]2CCO)cc(Cl)c1[O-] ZINC000785420070 699075601 /nfs/dbraw/zinc/07/56/01/699075601.db2.gz WXZWSCBBQKCSMY-SNVBAGLBSA-N -1 1 315.753 1.278 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)[C@H]1CCO[C@@H]1C)C(C)(C)C ZINC000785505999 699080569 /nfs/dbraw/zinc/08/05/69/699080569.db2.gz OMIBLRJJYBHRDN-OUAUKWLOSA-N -1 1 307.412 1.061 20 0 DDADMM C[C@@H]1CC[C@@H](C)N1CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000785736325 699096968 /nfs/dbraw/zinc/09/69/68/699096968.db2.gz XYABJPXKUZTDDC-CHWSQXEVSA-N -1 1 318.373 1.359 20 0 DDADMM COCCN1CCC[C@H](Nc2nc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000399393542 699123127 /nfs/dbraw/zinc/12/31/27/699123127.db2.gz PADYIPUSZKYKGJ-JTQLQIEISA-N -1 1 324.337 1.211 20 0 DDADMM CCOc1ccc(NC(=O)c2cncc([O-])c2)c(C(N)=O)c1 ZINC000786196039 699133410 /nfs/dbraw/zinc/13/34/10/699133410.db2.gz DMYKUWDKGQPCIV-UHFFFAOYSA-N -1 1 301.302 1.537 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(OC(F)(F)Cl)cc1 ZINC000787344407 699207649 /nfs/dbraw/zinc/20/76/49/699207649.db2.gz IDFUHNSFJIPMGQ-UHFFFAOYSA-N -1 1 303.656 1.298 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCSC1)c1ccc(Cl)nc1F ZINC000703109937 699234952 /nfs/dbraw/zinc/23/49/52/699234952.db2.gz CHMLLLFPPXKIBO-ZETCQYMHSA-N -1 1 310.803 1.906 20 0 DDADMM Cn1cc(CC(=O)OCc2cc(=O)oc3cc([O-])ccc23)cn1 ZINC000726001620 699346985 /nfs/dbraw/zinc/34/69/85/699346985.db2.gz XVALHBIMAMFFJE-UHFFFAOYSA-N -1 1 314.297 1.518 20 0 DDADMM O=C(COC(=O)C1(C(F)(F)F)CC1)[N-]C(=O)c1ccccc1 ZINC000726225197 699354853 /nfs/dbraw/zinc/35/48/53/699354853.db2.gz GHOPZJZEBQJWNZ-UHFFFAOYSA-N -1 1 315.247 1.829 20 0 DDADMM CC(C)(C)C(=O)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991651296 699371833 /nfs/dbraw/zinc/37/18/33/699371833.db2.gz VKAMWSSUEMUQJQ-UHFFFAOYSA-N -1 1 318.377 1.046 20 0 DDADMM CC(C)CC[C@@H]1CCC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727860444 699428629 /nfs/dbraw/zinc/42/86/29/699428629.db2.gz DXONKUSTKCXRBY-KBPBESRZSA-N -1 1 321.421 1.648 20 0 DDADMM O=C(NCc1nn[n-]n1)c1sccc1-c1ccc(F)cc1 ZINC000732157923 699548284 /nfs/dbraw/zinc/54/82/84/699548284.db2.gz LQJIOGBEFUJMGN-UHFFFAOYSA-N -1 1 303.322 1.997 20 0 DDADMM CC[C@]1([N-]S(=O)(=O)c2ccc(Br)o2)CCOC1 ZINC000790931237 699603770 /nfs/dbraw/zinc/60/37/70/699603770.db2.gz KPWBOXHLRYBAJQ-JTQLQIEISA-N -1 1 324.196 1.890 20 0 DDADMM CC(C)(CNC(=O)CCn1cc[n-]c(=O)c1=O)c1cccs1 ZINC000733987444 699630813 /nfs/dbraw/zinc/63/08/13/699630813.db2.gz CVGMSDZIHFIWGH-UHFFFAOYSA-N -1 1 321.402 1.082 20 0 DDADMM CC(C)CC[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(C)(C)C ZINC000734463013 699651978 /nfs/dbraw/zinc/65/19/78/699651978.db2.gz NWCQEFRBDMRROF-ZDUSSCGKSA-N -1 1 323.437 1.894 20 0 DDADMM COc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(Cl)c1 ZINC000737067375 699736294 /nfs/dbraw/zinc/73/62/94/699736294.db2.gz UWGZFXXXVNGLCU-UHFFFAOYSA-N -1 1 321.768 1.882 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NC[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000738014350 699749876 /nfs/dbraw/zinc/74/98/76/699749876.db2.gz BCRAZBCBXZKQJF-HUUCEWRRSA-N -1 1 304.390 1.530 20 0 DDADMM O=C(c1ccc(-n2cccc2)cc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000738259352 699756177 /nfs/dbraw/zinc/75/61/77/699756177.db2.gz ZMKDWXPEHDKDSE-AWEZNQCLSA-N -1 1 324.344 1.204 20 0 DDADMM O=C1C[C@@H](Nc2cccc(-c3nnn[n-]3)n2)CN1c1ccccc1 ZINC000738301692 699756629 /nfs/dbraw/zinc/75/66/29/699756629.db2.gz ROPJWQULYFWHQF-LLVKDONJSA-N -1 1 321.344 1.479 20 0 DDADMM O=C1C[C@@H](Nc2cccc(-c3nn[n-]n3)n2)CN1c1ccccc1 ZINC000738301692 699756630 /nfs/dbraw/zinc/75/66/30/699756630.db2.gz ROPJWQULYFWHQF-LLVKDONJSA-N -1 1 321.344 1.479 20 0 DDADMM NC(=O)[C@H](NCC1(NC(=O)[O-])CCCCC1)c1ccccc1 ZINC000740323854 699789977 /nfs/dbraw/zinc/78/99/77/699789977.db2.gz LAHDTIFEURGLQI-CYBMUJFWSA-N -1 1 305.378 1.773 20 0 DDADMM Cc1nnc(-c2ccc([N-]S(=O)(=O)C[C@@H]3CCCO3)cc2)o1 ZINC000740700708 699797780 /nfs/dbraw/zinc/79/77/80/699797780.db2.gz BLPJKJLUCIMBDU-ZDUSSCGKSA-N -1 1 323.374 1.966 20 0 DDADMM CN(Cc1nnc[nH]1)Cc1cc(=O)oc2cc([O-])c(Cl)cc12 ZINC000794559341 699816026 /nfs/dbraw/zinc/81/60/26/699816026.db2.gz GDROSOPJMBTTMN-UHFFFAOYSA-N -1 1 320.736 1.902 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2cccnc2Cl)on1 ZINC000741249956 699824622 /nfs/dbraw/zinc/82/46/22/699824622.db2.gz LAQHBONSDMDFTM-UHFFFAOYSA-N -1 1 301.755 1.764 20 0 DDADMM O=S(=O)(C[C@@H]1CCCOC1)[N-]c1cnn(-c2ccccn2)c1 ZINC000795324252 699858879 /nfs/dbraw/zinc/85/88/79/699858879.db2.gz NAKJLASVKZSAPR-GFCCVEGCSA-N -1 1 322.390 1.436 20 0 DDADMM O=S(=O)([N-]CCOC1CCC1)c1ccc(F)c(F)c1F ZINC000742335839 699874569 /nfs/dbraw/zinc/87/45/69/699874569.db2.gz DRHWOOXHSXLFNU-UHFFFAOYSA-N -1 1 309.309 1.951 20 0 DDADMM Cn1[n-]c(CN[C@@H](c2ccccc2)[C@H](O)c2ccccc2)nc1=O ZINC000808788099 701620529 /nfs/dbraw/zinc/62/05/29/701620529.db2.gz HADYHHGVSBTZNW-DLBZAZTESA-N -1 1 324.384 1.673 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)Nc2ccc(C)cc2)[n-]1 ZINC000796359718 699928958 /nfs/dbraw/zinc/92/89/58/699928958.db2.gz BAKNAADJEFETJX-UHFFFAOYSA-N -1 1 316.313 1.905 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2c(C)noc2N)c1 ZINC000744527092 699952998 /nfs/dbraw/zinc/95/29/98/699952998.db2.gz XTCOUARJLPPZRX-UHFFFAOYSA-N -1 1 304.302 1.873 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@](C)(O)c1ccco1 ZINC000751409328 700286164 /nfs/dbraw/zinc/28/61/64/700286164.db2.gz XBJXWUOKGVGRQM-INIZCTEOSA-N -1 1 319.361 1.845 20 0 DDADMM Nc1ccc(CCC(=O)OCCC[N-]C(=O)C(F)(F)F)cn1 ZINC000751459178 700291690 /nfs/dbraw/zinc/29/16/90/700291690.db2.gz BFWYOUMVYJPUGA-UHFFFAOYSA-N -1 1 319.283 1.208 20 0 DDADMM COC(=O)CCCOC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801326319 700297488 /nfs/dbraw/zinc/29/74/88/700297488.db2.gz OIYICYRJBCWJDZ-UHFFFAOYSA-N -1 1 322.292 1.827 20 0 DDADMM CC(=O)NCCCOC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801325967 700297496 /nfs/dbraw/zinc/29/74/96/700297496.db2.gz KZDVQMYBGXCDOH-UHFFFAOYSA-N -1 1 321.308 1.400 20 0 DDADMM COC(=O)COC(=O)c1nn(-c2cccc(Cl)c2)cc1[O-] ZINC000801359225 700300893 /nfs/dbraw/zinc/30/08/93/700300893.db2.gz SEOAEZABOIJFCG-UHFFFAOYSA-N -1 1 310.693 1.561 20 0 DDADMM COCCCOC1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000754358909 700497732 /nfs/dbraw/zinc/49/77/32/700497732.db2.gz JYAPWPUPBLYCNK-UHFFFAOYSA-N -1 1 323.393 1.492 20 0 DDADMM O=C([N-]CCOCC(F)(F)F)C(F)(F)c1nccs1 ZINC000756485542 700623647 /nfs/dbraw/zinc/62/36/47/700623647.db2.gz NRYUJNUWUMSBLO-UHFFFAOYSA-N -1 1 304.240 1.930 20 0 DDADMM C[C@@H]([N-]C(=O)C(F)(F)c1c(F)cccc1F)C(=O)N(C)C ZINC000756995701 700651879 /nfs/dbraw/zinc/65/18/79/700651879.db2.gz PBHQJNAPNXBOKF-SSDOTTSWSA-N -1 1 306.259 1.650 20 0 DDADMM CC(C)C[C@@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000758346526 700700034 /nfs/dbraw/zinc/70/00/34/700700034.db2.gz KICKCMLMYVMJOQ-ZDUSSCGKSA-N -1 1 307.394 1.354 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC1CC1)c1ccc(Br)o1 ZINC000759069950 700736026 /nfs/dbraw/zinc/73/60/26/700736026.db2.gz JNRHAZKUIWGNPY-MRVPVSSYSA-N -1 1 324.196 1.481 20 0 DDADMM CC(C)C[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000759283950 700744828 /nfs/dbraw/zinc/74/48/28/700744828.db2.gz WSYTZDXNXKNJNJ-ZDUSSCGKSA-N -1 1 307.394 1.211 20 0 DDADMM CCc1ccccc1N1C[C@H](C(=O)[N-]OCC(F)F)CC1=O ZINC000759343730 700747622 /nfs/dbraw/zinc/74/76/22/700747622.db2.gz PAYPEVIZCRZFAQ-LLVKDONJSA-N -1 1 312.316 1.915 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@@H]1CCNC(=O)C1 ZINC000761218883 700851186 /nfs/dbraw/zinc/85/11/86/700851186.db2.gz PGHDIESKAPOMFA-SECBINFHSA-N -1 1 317.297 1.068 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2CCSC2)cc1 ZINC000762033408 700877139 /nfs/dbraw/zinc/87/71/39/700877139.db2.gz WKUGRNXMZASRMK-LLVKDONJSA-N -1 1 323.370 1.248 20 0 DDADMM Cc1ccc(C)c(C(=O)CCC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765376097 701008682 /nfs/dbraw/zinc/00/86/82/701008682.db2.gz LVFLSAGXRFQRRL-UHFFFAOYSA-N -1 1 317.345 1.432 20 0 DDADMM CCc1ccc(C(=O)CCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765420121 701011294 /nfs/dbraw/zinc/01/12/94/701011294.db2.gz SWMJXABPRDFWEB-UHFFFAOYSA-N -1 1 317.345 1.377 20 0 DDADMM Cc1cccc2c(CCC(=O)OCc3nc(=O)n(C)[n-]3)c[nH]c21 ZINC000765482183 701015039 /nfs/dbraw/zinc/01/50/39/701015039.db2.gz PODFUBKKNITZEZ-UHFFFAOYSA-N -1 1 314.345 1.574 20 0 DDADMM C[C@@H](CCc1cccc(F)c1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765487170 701015451 /nfs/dbraw/zinc/01/54/51/701015451.db2.gz BFOQQGIADMUARA-JTQLQIEISA-N -1 1 307.325 1.560 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Cl)c(N)c(Cl)c2)nc1=O ZINC000765496819 701016152 /nfs/dbraw/zinc/01/61/52/701016152.db2.gz LQNXPGFBWWWVOE-UHFFFAOYSA-N -1 1 317.132 1.354 20 0 DDADMM COC(=O)C[C@@]1(NC(=O)c2c([O-])cccc2Cl)CCOC1 ZINC000768547222 701185875 /nfs/dbraw/zinc/18/58/75/701185875.db2.gz HHDDQFQYJSZVFI-AWEZNQCLSA-N -1 1 313.737 1.498 20 0 DDADMM CCOCCN1CCN(CCOc2ccccc2C(=O)[O-])CC1 ZINC000768782027 701202325 /nfs/dbraw/zinc/20/23/25/701202325.db2.gz PWRPPMZIYQZTRP-UHFFFAOYSA-N -1 1 322.405 1.418 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2ccc(Cl)nc2C)n1 ZINC000804979840 701222877 /nfs/dbraw/zinc/22/28/77/701222877.db2.gz PNEPCDWHKSOZHG-ZETCQYMHSA-N -1 1 316.770 1.774 20 0 DDADMM O=C(COC(=O)c1c([O-])cc(F)cc1F)[C@H]1CCCOC1 ZINC000769091429 701229433 /nfs/dbraw/zinc/22/94/33/701229433.db2.gz GWTPVGQBKNEXOY-QMMMGPOBSA-N -1 1 300.257 1.823 20 0 DDADMM CCN(C(=O)c1c([O-])cccc1Cl)[C@H]1CCS(=O)(=O)C1 ZINC000769648698 701251175 /nfs/dbraw/zinc/25/11/75/701251175.db2.gz GVRKZYSXHGOSND-VIFPVBQESA-N -1 1 317.794 1.695 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)N(C)C(=O)c1c([O-])cccc1Cl ZINC000769851529 701259127 /nfs/dbraw/zinc/25/91/27/701259127.db2.gz SPQWICKDJBBVOH-SECBINFHSA-N -1 1 319.810 1.941 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2nc(C3CC3)no2)sc1C ZINC000771940511 701337753 /nfs/dbraw/zinc/33/77/53/701337753.db2.gz UKZRZJRUQQUXSB-UHFFFAOYSA-N -1 1 314.392 1.499 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)N1CCC[C@H]1c1cccs1 ZINC000805603418 701397517 /nfs/dbraw/zinc/39/75/17/701397517.db2.gz MQEPIBMYDXDKPA-ONGXEEELSA-N -1 1 320.374 1.775 20 0 DDADMM CC(=O)[C@H](Cc1cccc(Cl)c1F)OC(=O)c1cn[n-]n1 ZINC000805603047 701397549 /nfs/dbraw/zinc/39/75/49/701397549.db2.gz FRDBKGCJSRIJPG-NSHDSACASA-N -1 1 311.700 1.954 20 0 DDADMM C[C@@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccc(Cl)cc1 ZINC000805605867 701398788 /nfs/dbraw/zinc/39/87/88/701398788.db2.gz BWRBXSAAFNCHKJ-MRVPVSSYSA-N -1 1 308.725 1.492 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)Nc1cccc(Cl)c1Cl ZINC000805606573 701399184 /nfs/dbraw/zinc/39/91/84/701399184.db2.gz YIQDFCVIHOXTGE-UHFFFAOYSA-N -1 1 315.116 1.907 20 0 DDADMM C[C@H]1CCN(Cc2ncnn2C)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000805789137 701409575 /nfs/dbraw/zinc/40/95/75/701409575.db2.gz ZUCSCTZHXTTXTM-QXEWZRGKSA-N -1 1 319.331 1.093 20 0 DDADMM C[C@@H](NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)c1ccccc1 ZINC000806827268 701449830 /nfs/dbraw/zinc/44/98/30/701449830.db2.gz PCRFLOTYRNEJOU-LLVKDONJSA-N -1 1 323.356 1.158 20 0 DDADMM O=C([C@@H](O)c1ccccc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000807995657 701493639 /nfs/dbraw/zinc/49/36/39/701493639.db2.gz KBOFNLWCXRUXKC-NEPJUHHUSA-N -1 1 303.318 1.215 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@H](C)OC1=O ZINC000808203972 701507392 /nfs/dbraw/zinc/50/73/92/701507392.db2.gz YXDMWWTWCFEZMF-IONNQARKSA-N -1 1 307.350 1.222 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C[C@H]1C ZINC000830952163 706609614 /nfs/dbraw/zinc/60/96/14/706609614.db2.gz DFBAUTVIAICKGO-UTUOFQBUSA-N -1 1 319.405 1.943 20 0 DDADMM CC(C)(C)CS(=O)(=O)[N-]C(=O)[C@@]1(F)CCN(C(C)(C)C)C1 ZINC000810581305 701794530 /nfs/dbraw/zinc/79/45/30/701794530.db2.gz MZNXYZYMEUBCCX-CQSZACIVSA-N -1 1 322.446 1.691 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000810900712 701870792 /nfs/dbraw/zinc/87/07/92/701870792.db2.gz JBVJNVZCIPTOFX-UWVGGRQHSA-N -1 1 312.307 1.898 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC2CCOCC2)C1 ZINC000868109134 701945450 /nfs/dbraw/zinc/94/54/50/701945450.db2.gz UCZXYJFGXFXNSU-LBPRGKRZSA-N -1 1 323.315 1.018 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nnc3ccccc3n2)c[n-]1 ZINC000811453486 701989780 /nfs/dbraw/zinc/98/97/80/701989780.db2.gz XKJFKZMSCHVIRU-UHFFFAOYSA-N -1 1 312.289 1.177 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCCC1(O)CCC1 ZINC000840211060 702030093 /nfs/dbraw/zinc/03/00/93/702030093.db2.gz AZTAWVMXQJDOTN-UHFFFAOYSA-N -1 1 319.404 1.582 20 0 DDADMM CC(=O)CCCOC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000840213109 702030573 /nfs/dbraw/zinc/03/05/73/702030573.db2.gz SSVNYCRMUQPLFU-UHFFFAOYSA-N -1 1 305.377 1.646 20 0 DDADMM Cc1cnccc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831121471 706638727 /nfs/dbraw/zinc/63/87/27/706638727.db2.gz LJUSKZFSYOOZDK-UHFFFAOYSA-N -1 1 301.268 1.141 20 0 DDADMM CCC(O)(CC)C(C)(C)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000866168644 706638902 /nfs/dbraw/zinc/63/89/02/706638902.db2.gz WINUXRHASOWTPG-UHFFFAOYSA-N -1 1 317.389 1.983 20 0 DDADMM C[C@H](CNC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000868365569 702088281 /nfs/dbraw/zinc/08/82/81/702088281.db2.gz OHXAKMSURVJHHQ-NOZJJQNGSA-N -1 1 321.343 1.885 20 0 DDADMM CC[C@@H]1CCC[C@@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868394398 702105993 /nfs/dbraw/zinc/10/59/93/702105993.db2.gz SUDWFFBZFSNDPZ-PWSUYJOCSA-N -1 1 320.393 1.633 20 0 DDADMM Cc1cc(F)ccc1-n1cc([O-])c(C(=O)O[C@H]2CNOC2)n1 ZINC000816571918 702114973 /nfs/dbraw/zinc/11/49/73/702114973.db2.gz USYNCXGSNFDGAF-JTQLQIEISA-N -1 1 307.281 1.086 20 0 DDADMM COc1ccccc1NC(=O)Cc1sc(N2CCC2)nc1[O-] ZINC000840701176 702215375 /nfs/dbraw/zinc/21/53/75/702215375.db2.gz IIADGHGBNVPXIH-GFCCVEGCSA-N -1 1 319.386 1.728 20 0 DDADMM CCNC(=O)N1c2ccccc2C[C@@H]1C[N-]C(=O)C(F)(F)F ZINC000817151663 702290632 /nfs/dbraw/zinc/29/06/32/702290632.db2.gz XDYPPZXOEDSIJH-SNVBAGLBSA-N -1 1 315.295 1.826 20 0 DDADMM O=C(NCC1=CCCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868783346 702317926 /nfs/dbraw/zinc/31/79/26/702317926.db2.gz GNGANWSMECWMLH-UHFFFAOYSA-N -1 1 304.350 1.164 20 0 DDADMM C[C@@H](O)CN1c2ccccc2C[C@@H]1C[N-]C(=O)C(F)(F)F ZINC000817295314 702332539 /nfs/dbraw/zinc/33/25/39/702332539.db2.gz YGUNRNVQQUEKFH-MWLCHTKSSA-N -1 1 302.296 1.477 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H](O)c1ccc(Cl)cc1 ZINC000813457636 702352931 /nfs/dbraw/zinc/35/29/31/702352931.db2.gz WJHMCFZWNKJIBS-LLVKDONJSA-N -1 1 321.768 1.472 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2SCCCS2)n1 ZINC000841222488 702393232 /nfs/dbraw/zinc/39/32/32/702393232.db2.gz XEIOCBXNEDDYBO-UHFFFAOYSA-N -1 1 301.393 1.721 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)CC12CCC(CC1)C2 ZINC000841533656 702483382 /nfs/dbraw/zinc/48/33/82/702483382.db2.gz GEKOJASVCZQLNF-IQLKVPPVSA-N -1 1 301.408 1.438 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)C1=Cc2ccccc2OC1 ZINC000841535594 702486481 /nfs/dbraw/zinc/48/64/81/702486481.db2.gz UAEGLPBUEBRCKV-ZDUSSCGKSA-N -1 1 323.370 1.291 20 0 DDADMM CO[C@](C)([C@@H](C)[N-]S(=O)(=O)c1ncn(C)c1Cl)C1CC1 ZINC000841557798 702497388 /nfs/dbraw/zinc/49/73/88/702497388.db2.gz GUBCBNZASAPZNT-PRHODGIISA-N -1 1 321.830 1.555 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Nc3cnn4c3CCCC4)ccnc1-2 ZINC000879416348 706674625 /nfs/dbraw/zinc/67/46/25/706674625.db2.gz ROLSZPCZBKTJHB-UHFFFAOYSA-N -1 1 311.349 1.519 20 0 DDADMM CC[C@H]1[C@@H](C)CCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869213440 702513611 /nfs/dbraw/zinc/51/36/11/702513611.db2.gz JMCZBYVBINCZGJ-GWCFXTLKSA-N -1 1 320.393 1.585 20 0 DDADMM CCC1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000869213641 702513747 /nfs/dbraw/zinc/51/37/47/702513747.db2.gz ZCBLUVYGGOWHHZ-UHFFFAOYSA-N -1 1 320.393 1.587 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCC2CCOCC2)co1 ZINC000814461796 702525926 /nfs/dbraw/zinc/52/59/26/702525926.db2.gz FDSFISZGPVPZSI-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM C[Si](C)(C)c1ccc(CCNC(=O)CCc2nn[n-]n2)cc1 ZINC000869419173 702601803 /nfs/dbraw/zinc/60/18/03/702601803.db2.gz QFTDFRIKOYOMPQ-UHFFFAOYSA-N -1 1 317.469 1.036 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@@H]1C[C@H](O)c1cccs1 ZINC000866402058 706689987 /nfs/dbraw/zinc/68/99/87/706689987.db2.gz GDUDRIKASSPMRO-MNOVXSKESA-N -1 1 321.406 1.309 20 0 DDADMM CC[C@@H](Nc1cc(C)cc(C[N-]C(=O)C(F)(F)F)c1)C(N)=O ZINC000842157571 702677763 /nfs/dbraw/zinc/67/77/63/702677763.db2.gz SBVYBNJZHXXFLX-LLVKDONJSA-N -1 1 317.311 1.849 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2CCCCCC2)CCC1 ZINC000843018363 702804311 /nfs/dbraw/zinc/80/43/11/702804311.db2.gz NWTWRCDUTYLGFE-UHFFFAOYSA-N -1 1 316.467 1.887 20 0 DDADMM CO[C@@H]1CCCC[C@H]1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000844650574 703051539 /nfs/dbraw/zinc/05/15/39/703051539.db2.gz MWXKXQYSXUUPCA-CHWSQXEVSA-N -1 1 303.366 1.421 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-]C1CCOCC1)c1ccccc1 ZINC000866549554 706727399 /nfs/dbraw/zinc/72/73/99/706727399.db2.gz VBSLZFMYQZURDG-IBGZPJMESA-N -1 1 318.420 1.157 20 0 DDADMM CC(=Cc1cccnc1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847090197 703376437 /nfs/dbraw/zinc/37/64/37/703376437.db2.gz HWNQWPAGEWJSDC-YKWSONSWSA-N -1 1 314.345 1.980 20 0 DDADMM Cc1noc(CN(C)C(=O)c2c(C)nc(C(C)C)[n-]c2=O)n1 ZINC000848353447 703542309 /nfs/dbraw/zinc/54/23/09/703542309.db2.gz KYEQGNIMGJNYSZ-UHFFFAOYSA-N -1 1 305.338 1.578 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=S)NC1(C)CC1 ZINC000848652641 703572115 /nfs/dbraw/zinc/57/21/15/703572115.db2.gz AEDDWHVONBJWNK-RKDXNWHRSA-N -1 1 323.384 1.945 20 0 DDADMM CCc1nc(SCC(=O)N2CCO[C@@H](C)C2)[n-]c(=O)c1C ZINC000849920615 703689479 /nfs/dbraw/zinc/68/94/79/703689479.db2.gz CMSGWRXAKHCQPC-VIFPVBQESA-N -1 1 311.407 1.392 20 0 DDADMM C[C@H](CC(=O)OCCC[N-]C(=O)C(F)(F)F)[C@H]1CCCO1 ZINC000850083087 703702084 /nfs/dbraw/zinc/70/20/84/703702084.db2.gz FGDYWEGFGOPGBF-NXEZZACHSA-N -1 1 311.300 1.803 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)c2cccc3c[nH]nc32)CC12CCC2 ZINC000851612356 703815452 /nfs/dbraw/zinc/81/54/52/703815452.db2.gz ZSGYERWCZDNDBE-MRXNPFEDSA-N -1 1 317.320 1.982 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2CCCC[C@@H]2C(F)(F)F)nc1=O ZINC000869599249 703818350 /nfs/dbraw/zinc/81/83/50/703818350.db2.gz LBQXFQXGUKHNBP-SFYZADRCSA-N -1 1 307.272 1.520 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)C3CCC(C(=O)[O-])CC3)C2)n[nH]1 ZINC000851692638 703831272 /nfs/dbraw/zinc/83/12/72/703831272.db2.gz HMASRIUKXUHTTR-WXRRBKDZSA-N -1 1 320.393 1.710 20 0 DDADMM O=C(COC(=O)C1(F)CCOCC1)[N-]C(=O)c1ccccc1 ZINC000869727303 703837177 /nfs/dbraw/zinc/83/71/77/703837177.db2.gz ZFHIXWKXTASYNF-UHFFFAOYSA-N -1 1 309.293 1.005 20 0 DDADMM CN(C(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H]1CCCC[C@H]1O ZINC000869924699 703877612 /nfs/dbraw/zinc/87/76/12/703877612.db2.gz RZLCVXHOFKQJLL-GHMZBOCLSA-N -1 1 306.391 1.394 20 0 DDADMM Cn1cccc1C(=O)CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763751 706784698 /nfs/dbraw/zinc/78/46/98/706784698.db2.gz OIBZKULAKUEOBH-CYBMUJFWSA-N -1 1 317.311 1.351 20 0 DDADMM Cc1noc(CCCN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)n1 ZINC000879764559 706784885 /nfs/dbraw/zinc/78/48/85/706784885.db2.gz WTWNGNZMABNUBL-LBPRGKRZSA-N -1 1 320.315 1.454 20 0 DDADMM O=C(N1CCC[C@@H](c2n[n-]c(=O)o2)C1)C(F)(F)C1(O)CCC1 ZINC000819627539 704153197 /nfs/dbraw/zinc/15/31/97/704153197.db2.gz CMUBBQSESUEZLW-MRVPVSSYSA-N -1 1 317.292 1.032 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCn3ccnc32)c(F)c1 ZINC000819881027 704180307 /nfs/dbraw/zinc/18/03/07/704180307.db2.gz BAXZCSPVOOGGGN-LLVKDONJSA-N -1 1 313.329 1.893 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2ocnc2C)c(=O)[n-]1 ZINC000820234643 704244085 /nfs/dbraw/zinc/24/40/85/704244085.db2.gz OCUVGRNYHLCPEA-UHFFFAOYSA-N -1 1 322.390 1.758 20 0 DDADMM O=C([N-][C@H](CCO)C(F)(F)F)C(F)(F)C1(O)CCCCC1 ZINC000820315686 704259030 /nfs/dbraw/zinc/25/90/30/704259030.db2.gz PIQJKPRBPMCCMN-MRVPVSSYSA-N -1 1 319.270 1.746 20 0 DDADMM Cc1cnc(C(=O)N(CC2CC2)[C@@H](C(N)=O)C2CC2)c([O-])c1 ZINC000871391806 704283775 /nfs/dbraw/zinc/28/37/75/704283775.db2.gz BSWCFXHGKFATEK-CQSZACIVSA-N -1 1 303.362 1.212 20 0 DDADMM C[C@@](O)(C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1ccccc1 ZINC000854342259 704381998 /nfs/dbraw/zinc/38/19/98/704381998.db2.gz NEOVPCZCFQEUOZ-INIZCTEOSA-N -1 1 317.345 1.389 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H]2CCOC[C@@H]2C)c1 ZINC000821310038 704388564 /nfs/dbraw/zinc/38/85/64/704388564.db2.gz GKZYHMLXMYTODD-ZWTFIKMHSA-N -1 1 312.387 1.771 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC12CN(C(=O)OC(C)(C)C)C2 ZINC000854634923 704430789 /nfs/dbraw/zinc/43/07/89/704430789.db2.gz JFEUWLMOFMXDMW-VIFPVBQESA-N -1 1 322.365 1.619 20 0 DDADMM CCn1nc(C)c(CNC(=O)c2ncc3ccccc3c2[O-])n1 ZINC000854982678 704465047 /nfs/dbraw/zinc/46/50/47/704465047.db2.gz VHBRWCIYBRWHRC-UHFFFAOYSA-N -1 1 311.345 1.790 20 0 DDADMM O=C(NOCCN1CCCC1=O)c1ncc2ccccc2c1[O-] ZINC000856043933 704511662 /nfs/dbraw/zinc/51/16/62/704511662.db2.gz NSFCBYLGEUBCLX-UHFFFAOYSA-N -1 1 315.329 1.224 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@]1(C)CO ZINC000856252364 704520063 /nfs/dbraw/zinc/52/00/63/704520063.db2.gz XXEXYOVWJOEQQI-YMTOWFKASA-N -1 1 306.391 1.109 20 0 DDADMM Cc1cnc(C(=O)N2CCC(N3CCOC3=O)CC2)c([O-])c1 ZINC000856292409 704521862 /nfs/dbraw/zinc/52/18/62/704521862.db2.gz CGCFQVBKCIKXRW-UHFFFAOYSA-N -1 1 305.334 1.152 20 0 DDADMM COc1ccccc1N1C[C@@H](C(=O)[N-]OCC2CCC2)CC1=O ZINC000856344349 704523678 /nfs/dbraw/zinc/52/36/78/704523678.db2.gz IQJVRKIACNJDKD-ZDUSSCGKSA-N -1 1 318.373 1.896 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H](O)C2CCC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856416031 704527979 /nfs/dbraw/zinc/52/79/79/704527979.db2.gz RRVLVWLSLOHKNJ-DVVUODLYSA-N -1 1 322.327 1.063 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000856506339 704531771 /nfs/dbraw/zinc/53/17/71/704531771.db2.gz MSYNLHRMWZUDDO-INIZCTEOSA-N -1 1 321.377 1.917 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C=C3/CC[C@H](C)C3)nc2n1 ZINC000857684897 704613703 /nfs/dbraw/zinc/61/37/03/704613703.db2.gz SECOJXBANLLVTE-CBFJXKFUSA-N -1 1 301.350 1.665 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)N=[S@@](C)(=O)CC)C12CCC2 ZINC000866869685 706830388 /nfs/dbraw/zinc/83/03/88/706830388.db2.gz MFURABGBQWPUHC-BFKGZMGPSA-N -1 1 324.468 1.286 20 0 DDADMM CCC[C@H](NC(=O)c1cn2c(n1)CC[C@@H](C)C2)c1nn[n-]n1 ZINC000857936921 704644964 /nfs/dbraw/zinc/64/49/64/704644964.db2.gz SFDSBUGEOWLNKA-ZJUUUORDSA-N -1 1 303.370 1.250 20 0 DDADMM O=c1nc(NCCCc2nnc3n2CCCC3)cc(Cl)[n-]1 ZINC000858462843 704714155 /nfs/dbraw/zinc/71/41/55/704714155.db2.gz QJQLJTSNJBCPKB-UHFFFAOYSA-N -1 1 308.773 1.808 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCCCN1c1cc(Cl)[n-]c(=O)n1 ZINC000858563576 704727988 /nfs/dbraw/zinc/72/79/88/704727988.db2.gz ZMJCWSKSIBOQLA-QMMMGPOBSA-N -1 1 305.787 1.239 20 0 DDADMM CO[C@H]([C@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F)C1CC1 ZINC000867362235 706974965 /nfs/dbraw/zinc/97/49/65/706974965.db2.gz ULPZMJRBCMQULU-WRWORJQWSA-N -1 1 306.334 1.452 20 0 DDADMM O=C([O-])C[C@H]1CN(CCCc2ccc3c(c2)CCO3)CCO1 ZINC000859807086 705010399 /nfs/dbraw/zinc/01/03/99/705010399.db2.gz AKUINCCPCPYKSC-HNNXBMFYSA-N -1 1 305.374 1.730 20 0 DDADMM C[C@@H]1CCNC(=O)[C@@H]1[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000822696244 705010817 /nfs/dbraw/zinc/01/08/17/705010817.db2.gz UPAJDLNOTUOQIG-RDDDGLTNSA-N -1 1 318.270 1.697 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@](C)(C(F)(F)F)C1 ZINC000874477576 705083988 /nfs/dbraw/zinc/08/39/88/705083988.db2.gz JFSODOQTURQCTO-NSHDSACASA-N -1 1 321.255 1.311 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2[C@@H]3c4ccc(Cl)cc4C[C@H]23)nc1=O ZINC000860277849 705146833 /nfs/dbraw/zinc/14/68/33/705146833.db2.gz PEDVHBHMSCMNSN-CYZMBNFOSA-N -1 1 319.748 1.391 20 0 DDADMM O=C(CCS(=O)(=O)C1CCCC1)[N-]Oc1ccccc1F ZINC000874912725 705225116 /nfs/dbraw/zinc/22/51/16/705225116.db2.gz QIZOTEFWNLQTLL-UHFFFAOYSA-N -1 1 315.366 1.983 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@]34C[C@@H]3COC4(C)C)ccnc1-2 ZINC000861025201 705351834 /nfs/dbraw/zinc/35/18/34/705351834.db2.gz DWFVVLCCPOGQHF-PSLIRLAXSA-N -1 1 301.350 1.031 20 0 DDADMM C[C@H](CCO)C1(CNc2nccnc2-c2nnn[n-]2)CCC1 ZINC000824406374 705446127 /nfs/dbraw/zinc/44/61/27/705446127.db2.gz ILBPFXDTWBAVAD-SNVBAGLBSA-N -1 1 303.370 1.257 20 0 DDADMM C[C@H](CCO)C1(CNc2nccnc2-c2nn[n-]n2)CCC1 ZINC000824406374 705446128 /nfs/dbraw/zinc/44/61/28/705446128.db2.gz ILBPFXDTWBAVAD-SNVBAGLBSA-N -1 1 303.370 1.257 20 0 DDADMM C[C@@H](CC(=O)NCCN(C)Cc1ccc(F)cc1)NC(=O)[O-] ZINC000824645770 705498733 /nfs/dbraw/zinc/49/87/33/705498733.db2.gz BYNWUXZBIJYLDN-NSHDSACASA-N -1 1 311.357 1.420 20 0 DDADMM CCC(CC)CN(CC)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825107583 705602109 /nfs/dbraw/zinc/60/21/09/705602109.db2.gz RBFPKSXYZJFDFI-UHFFFAOYSA-N -1 1 320.397 1.990 20 0 DDADMM CCC(CC)CN(CC)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825107583 705602110 /nfs/dbraw/zinc/60/21/10/705602110.db2.gz RBFPKSXYZJFDFI-UHFFFAOYSA-N -1 1 320.397 1.990 20 0 DDADMM COC1CC(C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)C1 ZINC000862146360 705664157 /nfs/dbraw/zinc/66/41/57/705664157.db2.gz YNEGOVRIBYUUSP-AGVGLQIMSA-N -1 1 322.327 1.469 20 0 DDADMM CCN(Cc1ccoc1)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825439797 705671508 /nfs/dbraw/zinc/67/15/08/705671508.db2.gz OSHIKVYAMOQXIK-UHFFFAOYSA-N -1 1 316.321 1.347 20 0 DDADMM CCN(Cc1ccoc1)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825439797 705671509 /nfs/dbraw/zinc/67/15/09/705671509.db2.gz OSHIKVYAMOQXIK-UHFFFAOYSA-N -1 1 316.321 1.347 20 0 DDADMM CC1(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCCCC1 ZINC000876300358 705683087 /nfs/dbraw/zinc/68/30/87/705683087.db2.gz RKAUNTYRCMNKNI-UHFFFAOYSA-N -1 1 320.393 1.777 20 0 DDADMM C[C@]1(C(N)=O)CCN(C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000876396465 705708374 /nfs/dbraw/zinc/70/83/74/705708374.db2.gz GPWDWMIJEMOPTO-AWEZNQCLSA-N -1 1 311.769 1.453 20 0 DDADMM C[C@H](O)[C@@H]1CN(C(=O)NCc2ccc([O-])c(Cl)c2)CCO1 ZINC000876396266 705708566 /nfs/dbraw/zinc/70/85/66/705708566.db2.gz BHQWMAAOISZKRD-ZANVPECISA-N -1 1 314.769 1.337 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc(C)c2C)c1-c1nnn[n-]1 ZINC000826299892 705787450 /nfs/dbraw/zinc/78/74/50/705787450.db2.gz ZZXYSVCBIQZIFK-UHFFFAOYSA-N -1 1 312.333 1.961 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc(C)c2C)c1-c1nn[n-]n1 ZINC000826299892 705787451 /nfs/dbraw/zinc/78/74/51/705787451.db2.gz ZZXYSVCBIQZIFK-UHFFFAOYSA-N -1 1 312.333 1.961 20 0 DDADMM O[C@@H](CCc1ccccc1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826502485 705805497 /nfs/dbraw/zinc/80/54/97/705805497.db2.gz DTNVCIYOZUXJLS-LBPRGKRZSA-N -1 1 311.349 1.062 20 0 DDADMM O[C@@H](CCc1ccccc1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826502485 705805502 /nfs/dbraw/zinc/80/55/02/705805502.db2.gz DTNVCIYOZUXJLS-LBPRGKRZSA-N -1 1 311.349 1.062 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1)c1nn[n-]n1 ZINC000826927415 705892622 /nfs/dbraw/zinc/89/26/22/705892622.db2.gz AWZSPYWOXBUCNR-YFKTTZPYSA-N -1 1 309.439 1.936 20 0 DDADMM C[C@@H]1N(C(=O)c2ccc3n[n-]c(=S)n3c2)CCOC1(C)C ZINC000826981962 705905408 /nfs/dbraw/zinc/90/54/08/705905408.db2.gz BIXVQPISZFZMKB-VIFPVBQESA-N -1 1 306.391 1.658 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)OC(C)(C)C ZINC000863686180 705986342 /nfs/dbraw/zinc/98/63/42/705986342.db2.gz BEQVVPCWQANDES-JTQLQIEISA-N -1 1 309.366 1.772 20 0 DDADMM CC(C)Cc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)s1 ZINC000827962140 706094276 /nfs/dbraw/zinc/09/42/76/706094276.db2.gz YZMBSUVBSMEUHQ-NSHDSACASA-N -1 1 321.406 1.673 20 0 DDADMM C[C@H](NC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000864428098 706165935 /nfs/dbraw/zinc/16/59/35/706165935.db2.gz AKHOBUOOFYPIRM-QPUJVOFHSA-N -1 1 307.316 1.637 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)Nc1ccccc1 ZINC000864429062 706166503 /nfs/dbraw/zinc/16/65/03/706166503.db2.gz MSCTUKJUAJYFKZ-UHFFFAOYSA-N -1 1 300.318 1.577 20 0 DDADMM CC(C)n1cc(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)nn1 ZINC000877775963 706206926 /nfs/dbraw/zinc/20/69/26/706206926.db2.gz VEIFTNLERNZETI-GFCCVEGCSA-N -1 1 319.331 1.502 20 0 DDADMM CC[C@@H]1CN2CCCC[C@H]2CN1C(=O)c1ccnc(C(=O)[O-])c1 ZINC000829159932 706295863 /nfs/dbraw/zinc/29/58/63/706295863.db2.gz APWSYOJQPNDAGB-KGLIPLIRSA-N -1 1 317.389 1.869 20 0 DDADMM CCC[C@](C)(NCc1nc2c(c(=O)[n-]1)COCC2)C(=O)OC ZINC000878112614 706297871 /nfs/dbraw/zinc/29/78/71/706297871.db2.gz MXPQMDFPJDUTLE-HNNXBMFYSA-N -1 1 309.366 1.076 20 0 DDADMM C[C@H](CCc1ccco1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000829759218 706391326 /nfs/dbraw/zinc/39/13/26/706391326.db2.gz VVIXMNMTZOCOSB-MRVPVSSYSA-N -1 1 303.771 1.956 20 0 DDADMM CCOc1ncc(C)cc1[N-]S(=O)(=O)C[C@H]1CCCOC1 ZINC000830028855 706440697 /nfs/dbraw/zinc/44/06/97/706440697.db2.gz SPSLKUGTMSKWIT-LBPRGKRZSA-N -1 1 314.407 1.957 20 0 DDADMM Cc1noc(C)c1C[C@H](C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830589877 706540099 /nfs/dbraw/zinc/54/00/99/706540099.db2.gz SKYJUJOSVVPCDV-LURJTMIESA-N -1 1 318.786 1.577 20 0 DDADMM CC(C)CC[C@](C)(O)C[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830659474 706555785 /nfs/dbraw/zinc/55/57/85/706555785.db2.gz VNKKKZQVSWGNAW-NSHDSACASA-N -1 1 309.819 1.529 20 0 DDADMM CCC(CC)(CC(=O)OC)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830790815 706580389 /nfs/dbraw/zinc/58/03/89/706580389.db2.gz FHJXMRHWVXXAQZ-UHFFFAOYSA-N -1 1 323.802 1.463 20 0 DDADMM Cc1c(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)ccn1C ZINC000830801088 706582125 /nfs/dbraw/zinc/58/21/25/706582125.db2.gz GSTKOASLFKDTGN-ZDUSSCGKSA-N -1 1 317.311 1.617 20 0 DDADMM COC1(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CCCC1 ZINC000830807659 706583324 /nfs/dbraw/zinc/58/33/24/706583324.db2.gz ODKQTHSTNCAXBL-LBPRGKRZSA-N -1 1 322.327 1.615 20 0 DDADMM CC[C@H](C)OCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816327 706585173 /nfs/dbraw/zinc/58/51/73/706585173.db2.gz OJUARCVITUHNJH-CABZTGNLSA-N -1 1 310.316 1.471 20 0 DDADMM CSC[C@H](C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830819835 706586310 /nfs/dbraw/zinc/58/63/10/706586310.db2.gz BOENGEVCOMBQQW-KWQFWETISA-N -1 1 312.357 1.655 20 0 DDADMM O=C(N1CCC[C@H](c2nn[n-]n2)C1)C1([C@H]2CCCCO2)CCC1 ZINC000866901681 706839460 /nfs/dbraw/zinc/83/94/60/706839460.db2.gz LTEIAKPXKLFPJN-QWHCGFSZSA-N -1 1 319.409 1.645 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCCC[C@@H]1O ZINC000866914496 706843636 /nfs/dbraw/zinc/84/36/36/706843636.db2.gz FLZUDEIIWXGFCZ-GWCFXTLKSA-N -1 1 320.361 1.579 20 0 DDADMM CCc1cc(CNC(=O)N2C[C@@H]3CCC[C@@H]3[C@H]2C(=O)[O-])n[nH]1 ZINC000908980725 712907943 /nfs/dbraw/zinc/90/79/43/712907943.db2.gz DZYBXPMDXUTKOX-XDTLVQLUSA-N -1 1 306.366 1.367 20 0 DDADMM CC1(CC[N-]S(=O)(=O)c2ccc(C(F)F)o2)OCCO1 ZINC000867105566 706897544 /nfs/dbraw/zinc/89/75/44/706897544.db2.gz PYVIDIKFPLORBT-UHFFFAOYSA-N -1 1 311.306 1.649 20 0 DDADMM O=S(=O)([N-][C@H]1CCO[C@H](C2CC2)C1)c1ccc(F)nc1F ZINC000867110858 706899183 /nfs/dbraw/zinc/89/91/83/706899183.db2.gz ABJURSWQORAACL-UWVGGRQHSA-N -1 1 318.345 1.596 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H](C)CC(F)(F)F ZINC000867114830 706900590 /nfs/dbraw/zinc/90/05/90/706900590.db2.gz CXFAGWUBVCAZJF-ZETCQYMHSA-N -1 1 310.363 1.670 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-][C@H]1[C@@H]2CCC[C@@H]21)c1ccccc1 ZINC000867202310 706923123 /nfs/dbraw/zinc/92/31/23/706923123.db2.gz KQEGYMBVZHQCCB-OYHXCVFBSA-N -1 1 314.432 1.776 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@H](C(F)F)C2)c1 ZINC000867237815 706934471 /nfs/dbraw/zinc/93/44/71/706934471.db2.gz XJGNDZZSVUYWER-JTQLQIEISA-N -1 1 323.317 1.055 20 0 DDADMM C[C@H]1COCC[C@H]1C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867263437 706941321 /nfs/dbraw/zinc/94/13/21/706941321.db2.gz MUCPERPXSMNYFT-IUCAKERBSA-N -1 1 322.789 1.825 20 0 DDADMM Cc1cc(C[C@H](C)[N-]S(=O)(=O)c2ccc(F)nc2F)[nH]n1 ZINC000867337123 706965809 /nfs/dbraw/zinc/96/58/09/706965809.db2.gz ZLJMJRBCEUDWHI-QMMMGPOBSA-N -1 1 316.333 1.301 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3C[C@@H]32)c1C(F)(F)F ZINC000867387533 706984013 /nfs/dbraw/zinc/98/40/13/706984013.db2.gz OPYOUYGHEPYGGY-HRDYMLBCSA-N -1 1 323.340 1.906 20 0 DDADMM C[C@@H]1CCC[C@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)O1 ZINC000867516474 707025366 /nfs/dbraw/zinc/02/53/66/707025366.db2.gz FSTDOOSCBOXDMZ-RKDXNWHRSA-N -1 1 306.334 1.596 20 0 DDADMM O=C(NC[C@@H]1C[C@H]2CCC[C@H]2O1)c1nc2ccccc2c(=O)[n-]1 ZINC000871745499 707185635 /nfs/dbraw/zinc/18/56/35/707185635.db2.gz CZTTVOMFIVNQLX-UHIISALHSA-N -1 1 313.357 1.611 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCC(=O)NCC2CCC2)cc1 ZINC000871797726 707207824 /nfs/dbraw/zinc/20/78/24/707207824.db2.gz AQJCYPNHEYSVNX-UHFFFAOYSA-N -1 1 320.389 1.592 20 0 DDADMM COc1ccc(Cn2cc(CNC3(C(=O)[O-])CC3)nn2)cc1 ZINC000871871832 707228882 /nfs/dbraw/zinc/22/88/82/707228882.db2.gz GYEGMVVDZDYSRA-UHFFFAOYSA-N -1 1 302.334 1.042 20 0 DDADMM COc1ccc(Cn2cc(CNC3(C(=O)[O-])CCC3)nn2)cc1 ZINC000871878640 707230328 /nfs/dbraw/zinc/23/03/28/707230328.db2.gz QNPOREPFDOQXNJ-UHFFFAOYSA-N -1 1 316.361 1.432 20 0 DDADMM CC(C)(NC(=O)C(=O)c1ccc([O-])cc1)C(=O)N1CCCC1 ZINC000881478400 707273141 /nfs/dbraw/zinc/27/31/41/707273141.db2.gz PQZDGTSTDVTVAY-UHFFFAOYSA-N -1 1 304.346 1.092 20 0 DDADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-]c1cc(F)ccc1N(C)C ZINC000872011000 707280030 /nfs/dbraw/zinc/28/00/30/707280030.db2.gz RNGRXIHOBLSVQS-VIFPVBQESA-N -1 1 317.386 1.110 20 0 DDADMM CO[C@@]1(C(F)(F)F)CCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000881685272 707321331 /nfs/dbraw/zinc/32/13/31/707321331.db2.gz KVGZBKXEQFPNMQ-ZDUSSCGKSA-N -1 1 317.263 1.755 20 0 DDADMM CCn1ncc([N-]S(=O)(=O)CCOCC2CCC2)c1C ZINC000872421721 707404757 /nfs/dbraw/zinc/40/47/57/707404757.db2.gz VTCDNJRYWNRKIW-UHFFFAOYSA-N -1 1 301.412 1.770 20 0 DDADMM CC1(C)[C@H](CS(=O)(=O)[N-]C[C@H](O)C(F)(F)F)C1(F)F ZINC000881913672 707421976 /nfs/dbraw/zinc/42/19/76/707421976.db2.gz RLORNCZMLWSXJK-WDSKDSINSA-N -1 1 311.272 1.120 20 0 DDADMM C[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872479990 707436547 /nfs/dbraw/zinc/43/65/47/707436547.db2.gz SFGZIQQGLBXHCW-JJQCHNSYSA-N -1 1 312.457 1.142 20 0 DDADMM C[C@@H]1CSC[C@@H]1[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872486914 707439729 /nfs/dbraw/zinc/43/97/29/707439729.db2.gz IVOXAOWOXKXJGI-NMIRZEMHSA-N -1 1 314.498 1.469 20 0 DDADMM CC1CCC(N2C[C@H](C(=O)[N-]OC(C)(C)CO)CC2=O)CC1 ZINC000836804114 707519101 /nfs/dbraw/zinc/51/91/01/707519101.db2.gz SUIPVNQCIIETBH-OTTFEQOBSA-N -1 1 312.410 1.232 20 0 DDADMM CC1(C)C[C@@](C)([N-]S(=O)(=O)C[C@@H]2CCC2(F)F)C(=O)O1 ZINC000882223915 707546626 /nfs/dbraw/zinc/54/66/26/707546626.db2.gz HHWNWDXRIPEFCM-GZMMTYOYSA-N -1 1 311.350 1.435 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCCCCCC2)co1 ZINC000836924235 707551734 /nfs/dbraw/zinc/55/17/34/707551734.db2.gz LLLCDIIPSQZKOX-UHFFFAOYSA-N -1 1 314.407 1.984 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(Cn2cccnc2=S)C1 ZINC000872753399 707585053 /nfs/dbraw/zinc/58/50/53/707585053.db2.gz LHVLCIPTXBAAJP-LLVKDONJSA-N -1 1 320.340 1.713 20 0 DDADMM O=C(OC[C@H]1COCCO1)c1nn(-c2ccccc2)cc1[O-] ZINC000837352557 707627338 /nfs/dbraw/zinc/62/73/38/707627338.db2.gz DYUTUWGSEFOBCI-GFCCVEGCSA-N -1 1 304.302 1.150 20 0 DDADMM CCN(C)C(=O)[C@H](C)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872982581 707682184 /nfs/dbraw/zinc/68/21/84/707682184.db2.gz ALUDGSQXUWDXIV-VIFPVBQESA-N -1 1 313.785 1.712 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C=CCC2)c(C(F)(F)F)n1 ZINC000882557888 707695242 /nfs/dbraw/zinc/69/52/42/707695242.db2.gz JDWZCWINISSXDZ-MRVPVSSYSA-N -1 1 309.313 1.683 20 0 DDADMM CS(=O)(=O)C1CCC(CNC(=O)c2cncc([O-])c2)CC1 ZINC000927368588 712977902 /nfs/dbraw/zinc/97/79/02/712977902.db2.gz QHMPRKQDPDVWGV-UHFFFAOYSA-N -1 1 312.391 1.120 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)C[C@H](C)C(C)(C)C)N(C)C ZINC000873604126 707902652 /nfs/dbraw/zinc/90/26/52/707902652.db2.gz RCYHOEGQTKKWSL-NWDGAFQWSA-N -1 1 306.472 1.701 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC[C@@H]2CC[C@H]3C[C@H]32)o1 ZINC000927488844 712994787 /nfs/dbraw/zinc/99/47/87/712994787.db2.gz APYWZQFMDDYTBD-DCAQKATOSA-N -1 1 312.391 1.354 20 0 DDADMM O=c1nc(N2CCOC[C@H]2CC2CCOCC2)cc(Cl)[n-]1 ZINC000897398371 708315693 /nfs/dbraw/zinc/31/56/93/708315693.db2.gz BWHORFDJTZBFFO-LLVKDONJSA-N -1 1 313.785 1.858 20 0 DDADMM C[C@H]1C(=O)N(C)CCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897453282 708334902 /nfs/dbraw/zinc/33/49/02/708334902.db2.gz HXCJVAPRPJTXQO-JTQLQIEISA-N -1 1 314.341 1.554 20 0 DDADMM O=C(C(=O)N1CCC(O)(C2CCC2)CC1)c1ccc([O-])cc1 ZINC000884977853 708433795 /nfs/dbraw/zinc/43/37/95/708433795.db2.gz HTAALYXGWZZGKG-UHFFFAOYSA-N -1 1 303.358 1.729 20 0 DDADMM CN(CC[N-]S(=O)(=O)c1ccns1)C(=O)OC(C)(C)C ZINC000884997069 708437844 /nfs/dbraw/zinc/43/78/44/708437844.db2.gz JIVBRMUBJYJOOB-UHFFFAOYSA-N -1 1 321.424 1.288 20 0 DDADMM COc1cc(CC[N-]S(=O)(=O)c2ccns2)ccc1O ZINC000885024076 708445751 /nfs/dbraw/zinc/44/57/51/708445751.db2.gz PPWPKDMSZJCFET-UHFFFAOYSA-N -1 1 314.388 1.378 20 0 DDADMM Cc1cnc(Cl)c(S(=O)(=O)[N-]C[C@@H](O)C(F)(F)F)c1 ZINC000885024340 708445876 /nfs/dbraw/zinc/44/58/76/708445876.db2.gz ZGJPKLVZEDEMBD-SSDOTTSWSA-N -1 1 318.704 1.245 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2ccns2)[C@@H]2CCCO[C@@H]21 ZINC000885032632 708449352 /nfs/dbraw/zinc/44/93/52/708449352.db2.gz AIHKWWPIXRTNHL-GDPRMGEGSA-N -1 1 302.421 1.625 20 0 DDADMM CO[C@H]1c2ccccc2C[C@H]1[N-]S(=O)(=O)c1ccns1 ZINC000885242446 708501351 /nfs/dbraw/zinc/50/13/51/708501351.db2.gz ZUHCAZFURXDLBU-YPMHNXCESA-N -1 1 310.400 1.734 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccns1)C(=O)OC(C)(C)C ZINC000885268634 708505943 /nfs/dbraw/zinc/50/59/43/708505943.db2.gz VRXKJIRZXBTCMZ-QMMMGPOBSA-N -1 1 306.409 1.542 20 0 DDADMM O=S(=O)([N-][C@@H](C1CCC1)[C@H]1CCCO1)c1ccns1 ZINC000885336543 708522287 /nfs/dbraw/zinc/52/22/87/708522287.db2.gz PXWRNTCFRUKVQR-PWSUYJOCSA-N -1 1 302.421 1.769 20 0 DDADMM O=S(=O)([N-][C@H](CO)C[C@@H]1CCCO1)c1cccc(F)c1F ZINC000885530023 708568699 /nfs/dbraw/zinc/56/86/99/708568699.db2.gz NXOPBAXKGFJWJU-UWVGGRQHSA-N -1 1 321.345 1.173 20 0 DDADMM C[C@@H](NS(C)(=O)=O)C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885676438 708595263 /nfs/dbraw/zinc/59/52/63/708595263.db2.gz OFPCAVQSHUOBMY-RXMQYKEDSA-N -1 1 310.734 1.061 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)c1nc2n(n1)CCCN2 ZINC000885678714 708596192 /nfs/dbraw/zinc/59/61/92/708596192.db2.gz UVMGHGYHDBGWGC-UHFFFAOYSA-N -1 1 311.704 1.102 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCOC1CCOCC1 ZINC000885694039 708599300 /nfs/dbraw/zinc/59/93/00/708599300.db2.gz KQKVXFBXLBQPML-UHFFFAOYSA-N -1 1 323.393 1.539 20 0 DDADMM CC(C)c1nc([C@@H](CO)NC(=O)c2cncc([O-])c2)cs1 ZINC000898365468 708623877 /nfs/dbraw/zinc/62/38/77/708623877.db2.gz CRNOJXOZTSSZCU-LLVKDONJSA-N -1 1 307.375 1.831 20 0 DDADMM CO[C@](C)(CO)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898431342 708637940 /nfs/dbraw/zinc/63/79/40/708637940.db2.gz MJPCPRXUGKELMN-INIZCTEOSA-N -1 1 305.330 1.378 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1CCc2c[nH]nc2C1 ZINC000886266395 708732542 /nfs/dbraw/zinc/73/25/42/708732542.db2.gz KTJLKNRWRGPOOW-SECBINFHSA-N -1 1 321.327 1.857 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)c(C)n1C1CCOCC1 ZINC000912618011 713052324 /nfs/dbraw/zinc/05/23/24/713052324.db2.gz XMRUUGAKLHZHFT-UHFFFAOYSA-N -1 1 304.354 1.246 20 0 DDADMM CN(C(=O)C[C@@H](O)c1cc(Cl)cc(Cl)c1)c1nn[n-]n1 ZINC000912618635 713053151 /nfs/dbraw/zinc/05/31/51/713053151.db2.gz WZMZSCAILMQITM-SECBINFHSA-N -1 1 316.148 1.593 20 0 DDADMM C[C@]1(CO)C[C@H](O)CN1C(=O)c1ccc2ccccc2c1[O-] ZINC000912672043 713066373 /nfs/dbraw/zinc/06/63/73/713066373.db2.gz WRHBCIWKSZLEOP-YVEFUNNKSA-N -1 1 301.342 1.503 20 0 DDADMM C[C@@H]1[C@H](C)OC[C@]12CC[C@H](CNC(=O)c1ncccc1[O-])O2 ZINC000899485444 709096524 /nfs/dbraw/zinc/09/65/24/709096524.db2.gz SRFYFYLHFREEHS-AZKPJATDSA-N -1 1 306.362 1.490 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCOC[C@@H]1C[C@@H]1CCCO1 ZINC000887845271 709141836 /nfs/dbraw/zinc/14/18/36/709141836.db2.gz WRZWJROJULEVMO-STQMWFEESA-N -1 1 309.337 1.941 20 0 DDADMM CCN(CCC(=O)NC)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000888274875 709262526 /nfs/dbraw/zinc/26/25/26/709262526.db2.gz USPJHIDFSFCBAZ-UHFFFAOYSA-N -1 1 319.336 1.678 20 0 DDADMM CN=[S@@](C)(=O)CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000912784814 713092028 /nfs/dbraw/zinc/09/20/28/713092028.db2.gz MWPMKWTXDQGEFF-NRFANRHFSA-N -1 1 307.375 1.398 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@@H]2NCc1cnn2c1CCC2 ZINC000900073708 709282033 /nfs/dbraw/zinc/28/20/33/709282033.db2.gz KYRKNDKMLRTCLL-NSHDSACASA-N -1 1 301.350 1.349 20 0 DDADMM CC(C)N1CCC[C@@H]1C(=O)Nc1ccc(OCC(=O)[O-])cc1 ZINC000909491962 709487504 /nfs/dbraw/zinc/48/75/04/709487504.db2.gz KDOXCRCOWDONTP-CQSZACIVSA-N -1 1 306.362 1.961 20 0 DDADMM Cc1nnc(SCC(=O)[N-]OCCOc2ccccc2)[nH]1 ZINC000889447900 709507556 /nfs/dbraw/zinc/50/75/56/709507556.db2.gz JAGCILWERYCGIE-UHFFFAOYSA-N -1 1 308.363 1.332 20 0 DDADMM Cc1n[nH]c(SCC(=O)[N-]OCCOc2ccccc2)n1 ZINC000889447900 709507559 /nfs/dbraw/zinc/50/75/59/709507559.db2.gz JAGCILWERYCGIE-UHFFFAOYSA-N -1 1 308.363 1.332 20 0 DDADMM CCOc1ccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)cc1 ZINC000912859830 713109564 /nfs/dbraw/zinc/10/95/64/713109564.db2.gz FHAAUWWKVVHURC-LBPRGKRZSA-N -1 1 321.406 1.823 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(F)cc1F)c1nn[n-]n1 ZINC000912860458 713110121 /nfs/dbraw/zinc/11/01/21/713110121.db2.gz UWPJPBHMEAVOSR-JTQLQIEISA-N -1 1 313.333 1.702 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](F)c1ccccc1)c1nn[n-]n1 ZINC000912862907 713110845 /nfs/dbraw/zinc/11/08/45/713110845.db2.gz ZSUIEFRUHQPZQI-QWRGUYRKSA-N -1 1 309.370 1.821 20 0 DDADMM COC[C@@H](C)[C@H](C)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912863461 713111660 /nfs/dbraw/zinc/11/16/60/713111660.db2.gz YUSKCLTWSBGGGB-UTLUCORTSA-N -1 1 301.416 1.029 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)c1cccs1 ZINC000909638037 709552343 /nfs/dbraw/zinc/55/23/43/709552343.db2.gz MJJKESDKQOBMNP-PELKAZGASA-N -1 1 305.359 1.518 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000909675634 709570918 /nfs/dbraw/zinc/57/09/18/709570918.db2.gz GYQPASUWZQWPHV-FWWHASMVSA-N -1 1 317.267 1.754 20 0 DDADMM O=C([O-])C[C@@]1(NC(=O)c2ccc(O)c(Cl)c2)CCCOC1 ZINC000909695112 709582118 /nfs/dbraw/zinc/58/21/18/709582118.db2.gz WZRLLLHDGFSYHI-AWEZNQCLSA-N -1 1 313.737 1.799 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)/C=C/[C@@H]2CCCO2)[n-]c1=O ZINC000889786421 709635443 /nfs/dbraw/zinc/63/54/43/709635443.db2.gz MHOTWYZZPHGWEG-KZQRZKTQSA-N -1 1 319.361 1.590 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H](OC)C2CC2)[n-]c1=O ZINC000889787831 709636326 /nfs/dbraw/zinc/63/63/26/709636326.db2.gz QFNPNRHLZBLOMR-JQWIXIFHSA-N -1 1 307.350 1.279 20 0 DDADMM CC[C@H](SC)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889790168 709637601 /nfs/dbraw/zinc/63/76/01/709637601.db2.gz OGUULRYGMZSHLM-ONGXEEELSA-N -1 1 311.407 1.996 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H](C)OCC(C)C)[n-]c1=O ZINC000889793639 709638699 /nfs/dbraw/zinc/63/86/99/709638699.db2.gz AUVOTUMYGHJFQJ-NEPJUHHUSA-N -1 1 323.393 1.915 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)COCC2CC2)[n-]c1=O ZINC000889795470 709639274 /nfs/dbraw/zinc/63/92/74/709639274.db2.gz YEWFSHOHOVDNIH-NSHDSACASA-N -1 1 307.350 1.281 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CC[C@H](C(=O)[O-])[C@@H]2CCCC[C@H]21 ZINC000909955577 709706985 /nfs/dbraw/zinc/70/69/85/709706985.db2.gz AEBNEPHJTNEOAU-XQLPTFJDSA-N -1 1 308.422 1.963 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCc2cnc[nH]2)[C@@H]2CCCC[C@@H]12 ZINC000909963263 709710591 /nfs/dbraw/zinc/71/05/91/709710591.db2.gz ZDEDRPAHQBOTBU-BFHYXJOUSA-N -1 1 305.378 1.834 20 0 DDADMM CC(C)(C)S(=O)(=O)CC(=O)Nc1cc([O-])c(F)cc1F ZINC000909985400 709720534 /nfs/dbraw/zinc/72/05/34/709720534.db2.gz JNTDXALNJOMRSO-UHFFFAOYSA-N -1 1 307.318 1.822 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@H]1COCCN1CC(F)F ZINC000909985951 709720803 /nfs/dbraw/zinc/72/08/03/709720803.db2.gz UWUOHKANRGUHKG-SNVBAGLBSA-N -1 1 322.258 1.575 20 0 DDADMM O=C(NCCCOC[C@H]1CCOC1)c1cnc(C2CC2)[n-]c1=O ZINC000900797601 709762963 /nfs/dbraw/zinc/76/29/63/709762963.db2.gz AKRIDSODXLMJCB-LLVKDONJSA-N -1 1 321.377 1.233 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC[C@@H](O)C3CC3)cnc2n1 ZINC000900838608 709785565 /nfs/dbraw/zinc/78/55/65/709785565.db2.gz NAKNCBWTVFHLFA-CYBMUJFWSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCC[C@@H](O)C3CC3)c[n-]c2n1 ZINC000900838608 709785566 /nfs/dbraw/zinc/78/55/66/709785566.db2.gz NAKNCBWTVFHLFA-CYBMUJFWSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc(O)cc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910169233 709796135 /nfs/dbraw/zinc/79/61/35/709796135.db2.gz BNUSFBDAEIUQFA-GFCCVEGCSA-N -1 1 306.362 1.322 20 0 DDADMM CCCc1[nH]ccc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910175682 709797888 /nfs/dbraw/zinc/79/78/88/709797888.db2.gz RPSPDAHWNNWIJA-LBPRGKRZSA-N -1 1 307.394 1.588 20 0 DDADMM Cc1[nH]nc(C(C)C)c1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910178187 709799145 /nfs/dbraw/zinc/79/91/45/709799145.db2.gz UQQFKKMLUGRUKX-UHFFFAOYSA-N -1 1 322.409 1.463 20 0 DDADMM C[C@@](Cc1ccc(Cl)cc1)(NC(=O)CN1CCCC1)C(=O)[O-] ZINC000910312236 709883825 /nfs/dbraw/zinc/88/38/25/709883825.db2.gz PGSIHUQCYYREOY-INIZCTEOSA-N -1 1 324.808 1.938 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@](C)(CC(=O)[O-])C1CC1 ZINC000910316871 709885895 /nfs/dbraw/zinc/88/58/95/709885895.db2.gz IMZSREBFQQZVMD-GOSISDBHSA-N -1 1 318.417 1.921 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1C[C@@H](F)C[C@H]1C(=O)[O-] ZINC000910441621 709943376 /nfs/dbraw/zinc/94/33/76/709943376.db2.gz NNXOYTXMCRPINA-KBPBESRZSA-N -1 1 308.353 1.185 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CC[C@@H](O)[C@H](F)C1 ZINC000890682132 709949639 /nfs/dbraw/zinc/94/96/39/709949639.db2.gz RLWZDGYHSYPQOK-ZIAGYGMSSA-N -1 1 305.305 1.796 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC[C@@H](O)[C@@H](F)C1 ZINC000890685251 709951956 /nfs/dbraw/zinc/95/19/56/709951956.db2.gz BVBBEPPWBQSZOY-VHSXEESVSA-N -1 1 307.243 1.956 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC[C@H](O)[C@@H](F)C1 ZINC000890685250 709952069 /nfs/dbraw/zinc/95/20/69/709952069.db2.gz BVBBEPPWBQSZOY-UWVGGRQHSA-N -1 1 307.243 1.956 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CCc2cc(C(=O)[O-])ccc2C1 ZINC000910503138 709975193 /nfs/dbraw/zinc/97/51/93/709975193.db2.gz YAZWBXVYSLDXFX-OAHLLOKOSA-N -1 1 302.374 1.754 20 0 DDADMM C[C@@](Cc1ccc(F)cc1)(NC(=O)CN1CCCC1)C(=O)[O-] ZINC000910512202 709977438 /nfs/dbraw/zinc/97/74/38/709977438.db2.gz FERGIHVQIXAQOA-INIZCTEOSA-N -1 1 308.353 1.424 20 0 DDADMM CCc1ccc(C(=O)Nc2cc(C(=O)OC)n(C)n2)c([O-])c1 ZINC000913024622 713146009 /nfs/dbraw/zinc/14/60/09/713146009.db2.gz JTXCYSJJPOQKCO-UHFFFAOYSA-N -1 1 303.318 1.727 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)[C@@H]1CCc2nc[nH]c2C1)C1CCCC1 ZINC000910558068 709998762 /nfs/dbraw/zinc/99/87/62/709998762.db2.gz AWZXVMPOXNZOIW-DGCLKSJQSA-N -1 1 305.378 1.664 20 0 DDADMM CCc1ncc(CN2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)s1 ZINC000901527736 710066152 /nfs/dbraw/zinc/06/61/52/710066152.db2.gz YAUPEIMOBOISNC-JTQLQIEISA-N -1 1 321.406 1.832 20 0 DDADMM COc1cc2c(cc1CN1CC[C@@](OC)(C(=O)[O-])C1)OCO2 ZINC000901540385 710069447 /nfs/dbraw/zinc/06/94/47/710069447.db2.gz CUWWPGOXWAZOFP-HNNXBMFYSA-N -1 1 309.318 1.099 20 0 DDADMM Cc1ccccc1-n1nccc1CN1CC[C@](O)(C(=O)[O-])C1 ZINC000901900363 710168549 /nfs/dbraw/zinc/16/85/49/710168549.db2.gz FSVNQYPNFFSCSP-MRXNPFEDSA-N -1 1 301.346 1.202 20 0 DDADMM O=C([O-])CC[C@H]1C[C@H](C(=O)N2CCN(C3CCCC3)CC2)CO1 ZINC000901942625 710181111 /nfs/dbraw/zinc/18/11/11/710181111.db2.gz VBPLXPQHIZZJQU-ZFWWWQNUSA-N -1 1 324.421 1.343 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@@H]1C[C@@H](C(=O)[O-])c2ccccc21 ZINC000902016087 710202125 /nfs/dbraw/zinc/20/21/25/710202125.db2.gz KGYPMSJXKJGTIC-KFWWJZLASA-N -1 1 302.374 1.900 20 0 DDADMM COc1cccnc1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891614761 710248958 /nfs/dbraw/zinc/24/89/58/710248958.db2.gz NFPYJWKXYNNNFK-UHFFFAOYSA-N -1 1 300.318 1.717 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)[C@H]1CC(=O)N(C2CC2)C1 ZINC000891627368 710251971 /nfs/dbraw/zinc/25/19/71/710251971.db2.gz IJZUDEOZBSHBHS-VIFPVBQESA-N -1 1 316.361 1.010 20 0 DDADMM COCc1nc(N2CCC3(C[C@@H]3C(=O)OC)CC2)cc(=O)[n-]1 ZINC000892531203 710452425 /nfs/dbraw/zinc/45/24/25/710452425.db2.gz LNOHAIRTRXIKFJ-SNVBAGLBSA-N -1 1 307.350 1.108 20 0 DDADMM CO[C@H]1CCCN([N-]C(=O)C(F)(F)C2(O)CCCCC2)C1 ZINC000928417772 713186727 /nfs/dbraw/zinc/18/67/27/713186727.db2.gz IZFJVQHZFVFPTK-NSHDSACASA-N -1 1 306.353 1.459 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)[N-]S(=O)(=O)C3CCCC3)c2C1 ZINC000892838986 710510623 /nfs/dbraw/zinc/51/06/23/710510623.db2.gz FVSRPOVAQMKVQD-VIFPVBQESA-N -1 1 311.407 1.537 20 0 DDADMM C[C@H]1CN(C(=O)CN(C)CCc2ccccc2)CC[C@H]1C(=O)[O-] ZINC000902176370 710649554 /nfs/dbraw/zinc/64/95/54/710649554.db2.gz IDOSVPPHCFVJIL-GOEBONIOSA-N -1 1 318.417 1.730 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)N2CC=C(c3ccccc3)C2)C1 ZINC000911459796 710807850 /nfs/dbraw/zinc/80/78/50/710807850.db2.gz ABJRMJDWOBARLH-MRXNPFEDSA-N -1 1 314.385 1.709 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(Cc2cncs2)CC1 ZINC000913411381 713210950 /nfs/dbraw/zinc/21/09/50/713210950.db2.gz GTBCXYNCEBCJGX-UHFFFAOYSA-N -1 1 321.377 1.946 20 0 DDADMM C[C@H](C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCCO1 ZINC000913436783 713215194 /nfs/dbraw/zinc/21/51/94/713215194.db2.gz HFWIHOZSRZGXHO-WCFLWFBJSA-N -1 1 301.350 1.220 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H](C(=O)NCc2ccc3cncn3c2)C1 ZINC000911645744 710903010 /nfs/dbraw/zinc/90/30/10/710903010.db2.gz MQRCOZGSSRHXDN-CHWSQXEVSA-N -1 1 301.346 1.842 20 0 DDADMM Cc1cc(C2CCN(C(=O)C34CC(C(=O)[O-])(C3)C4)CC2)n[nH]1 ZINC000911651603 710906454 /nfs/dbraw/zinc/90/64/54/710906454.db2.gz SCZBZQZONISPNC-UHFFFAOYSA-N -1 1 303.362 1.679 20 0 DDADMM CN(C)c1ccnc(CNC(=O)[C@@]2(C(=O)[O-])CC=CCC2)c1 ZINC000902814004 710912853 /nfs/dbraw/zinc/91/28/53/710912853.db2.gz LCTYOJHEHVDPDJ-MRXNPFEDSA-N -1 1 303.362 1.575 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@H](c3nc[nH]n3)C2)cc1C(=O)[O-] ZINC000911772627 710976542 /nfs/dbraw/zinc/97/65/42/710976542.db2.gz RUJAGICPDHODQE-NSHDSACASA-N -1 1 300.318 1.441 20 0 DDADMM Cc1nc([C@H]2CCN(C(=O)C3(C(=O)[O-])CC4(CCC4)C3)C2)n[nH]1 ZINC000911776087 710979218 /nfs/dbraw/zinc/97/92/18/710979218.db2.gz ZWEZETPMHDZGMA-NSHDSACASA-N -1 1 318.377 1.464 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCOC[C@@H]1CC1CC1 ZINC000902985450 710985165 /nfs/dbraw/zinc/98/51/65/710985165.db2.gz ISQLOVYUKKILEW-LBPRGKRZSA-N -1 1 313.357 1.564 20 0 DDADMM COCc1nc(N2C[C@H](O)C[C@@H]2c2ccccc2)cc(=O)[n-]1 ZINC000894190829 711008874 /nfs/dbraw/zinc/00/88/74/711008874.db2.gz SQYHMCSBUTUYAW-CHWSQXEVSA-N -1 1 301.346 1.641 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2C[C@H](N3CCOCC3)C2(C)C)c([O-])c1 ZINC000911840261 711026130 /nfs/dbraw/zinc/02/61/30/711026130.db2.gz FJHBXMPZXIRFSF-KGLIPLIRSA-N -1 1 319.405 1.325 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC[C@@H](Cc3nccs3)C2)C1=O ZINC000903418351 711120160 /nfs/dbraw/zinc/12/01/60/711120160.db2.gz MJONXJLPPLKGFI-NWDGAFQWSA-N -1 1 323.418 1.083 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495671 713237697 /nfs/dbraw/zinc/23/76/97/713237697.db2.gz NNAXKLXHWMBCBR-FWLQQBITSA-N -1 1 313.361 1.512 20 0 DDADMM O=C(c1cnc2ccsc2c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495612 713237712 /nfs/dbraw/zinc/23/77/12/713237712.db2.gz LVYLRHZFFMPQRU-SNVBAGLBSA-N -1 1 316.346 1.023 20 0 DDADMM CC[C@@H](C)c1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000913496417 713238385 /nfs/dbraw/zinc/23/83/85/713238385.db2.gz ZRVBEFLRWAHIAZ-BXUZGUMPSA-N -1 1 315.377 1.927 20 0 DDADMM CCc1nccc(C)c1[N-]S(=O)(=O)N=[S@@](C)(=O)CC ZINC000903675755 711243124 /nfs/dbraw/zinc/24/31/24/711243124.db2.gz QMLQVZJDZRZHAP-SFHVURJKSA-N -1 1 305.425 1.727 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)nc1 ZINC000913498603 713239211 /nfs/dbraw/zinc/23/92/11/713239211.db2.gz ITNAOXXSKHQMLV-GFCCVEGCSA-N -1 1 316.365 1.106 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)Nc1ccccc1OC ZINC000903712170 711251105 /nfs/dbraw/zinc/25/11/05/711251105.db2.gz SAIHZPDKIXKQLL-LBPRGKRZSA-N -1 1 324.377 1.445 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N(C1CC1)C1CCCC1 ZINC000903712507 711251276 /nfs/dbraw/zinc/25/12/76/711251276.db2.gz YXXDSTIMMUQAAS-UHFFFAOYSA-N -1 1 312.410 1.343 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N(C)C1CCCCC1 ZINC000903712644 711251645 /nfs/dbraw/zinc/25/16/45/711251645.db2.gz PUUUYQCMFAIVET-CYBMUJFWSA-N -1 1 314.426 1.589 20 0 DDADMM C[C@@H]1C[C@H](C)N(Cc2nnnn2C(C)(C)C)[C@H](C)[C@H]1C(=O)[O-] ZINC000903954469 711346089 /nfs/dbraw/zinc/34/60/89/711346089.db2.gz YDIAHOXHNKIFHO-XZUYRWCXSA-N -1 1 309.414 1.748 20 0 DDADMM Cn1nnnc1[N-]C(=O)c1sc(Cl)nc1C(F)(F)F ZINC000904190903 711405524 /nfs/dbraw/zinc/40/55/24/711405524.db2.gz MDPHTEXZHZVFEB-UHFFFAOYSA-N -1 1 312.664 1.591 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(F)c1 ZINC000913744011 713292730 /nfs/dbraw/zinc/29/27/30/713292730.db2.gz VZGBBMJQLJUCHX-UHFFFAOYSA-N -1 1 318.356 1.425 20 0 DDADMM O=C(c1c(F)ccc(F)c1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913744580 713293185 /nfs/dbraw/zinc/29/31/85/713293185.db2.gz UWXODXWIXZMPPV-UHFFFAOYSA-N -1 1 311.267 1.637 20 0 DDADMM CCc1csc(CC(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913744944 713293371 /nfs/dbraw/zinc/29/33/71/713293371.db2.gz LCADJUFHWVAFOH-UHFFFAOYSA-N -1 1 306.395 1.167 20 0 DDADMM CC(C)[C@@H]1OCCC[C@@H]1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913746279 713293842 /nfs/dbraw/zinc/29/38/42/713293842.db2.gz MOIGAHGKVILNCT-STQMWFEESA-N -1 1 307.398 1.357 20 0 DDADMM COc1ccc([C@@H](NCCS(=O)(=O)C(C)C)C(=O)[O-])cc1 ZINC000905379439 712021848 /nfs/dbraw/zinc/02/18/48/712021848.db2.gz DODOPKUDEWYVRG-CYBMUJFWSA-N -1 1 315.391 1.234 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@@H]2NCc1cnc2n1CCC2 ZINC000905794985 712148249 /nfs/dbraw/zinc/14/82/49/712148249.db2.gz NGGNJXMDZUHNMP-NSHDSACASA-N -1 1 301.350 1.349 20 0 DDADMM Cc1ccc(CS(=O)(=O)NCC(F)(F)C(=O)[O-])cc1F ZINC000906000009 712216234 /nfs/dbraw/zinc/21/62/34/712216234.db2.gz RBZLMDXXPNXAHS-UHFFFAOYSA-N -1 1 311.281 1.273 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCCn1ccnc1 ZINC000913900520 713316690 /nfs/dbraw/zinc/31/66/90/713316690.db2.gz YSMJIRMCINLXCF-UHFFFAOYSA-N -1 1 310.304 1.409 20 0 DDADMM CCN(CCSC)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000907024687 712467781 /nfs/dbraw/zinc/46/77/81/712467781.db2.gz NVMVPJMVBDBKTI-UHFFFAOYSA-N -1 1 319.404 1.464 20 0 DDADMM CC(C)[C@@H]1CN(S(=O)(=O)c2csc(C(=O)[O-])c2)CCN1 ZINC000907053490 712475822 /nfs/dbraw/zinc/47/58/22/712475822.db2.gz CCVGZDXOSBWUKJ-JTQLQIEISA-N -1 1 318.420 1.065 20 0 DDADMM COc1cccc2[nH]c(C(=O)N(C)C[C@H](C)c3nn[n-]n3)cc21 ZINC000907350621 712549945 /nfs/dbraw/zinc/54/99/45/712549945.db2.gz SWZCDEBPENWYLW-VIFPVBQESA-N -1 1 314.349 1.565 20 0 DDADMM C[C@H](CN(C)C(=O)CCCC(=O)c1ccccc1)c1nn[n-]n1 ZINC000907350376 712549992 /nfs/dbraw/zinc/54/99/92/712549992.db2.gz PEXYAZLRJIDSFM-GFCCVEGCSA-N -1 1 315.377 1.815 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccsc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907473865 712581411 /nfs/dbraw/zinc/58/14/11/712581411.db2.gz UVEYBNHVNXMMTC-AXFHLTTASA-N -1 1 321.431 1.681 20 0 DDADMM O=C(c1ccc(CF)cc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479414 712583272 /nfs/dbraw/zinc/58/32/72/712583272.db2.gz DCNOTOCIRWCIJQ-NSHDSACASA-N -1 1 307.354 1.600 20 0 DDADMM C[C@H](CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)[C@@H]1CCCO1 ZINC000907481177 712583776 /nfs/dbraw/zinc/58/37/76/712583776.db2.gz FXZHERRPFGJJMG-MXWKQRLJSA-N -1 1 311.411 1.021 20 0 DDADMM O=C([C@H]1CC2CCC1CC2)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481022 712583839 /nfs/dbraw/zinc/58/38/39/712583839.db2.gz SEDAHMLOUSLSIH-QQFIATSDSA-N -1 1 307.423 1.643 20 0 DDADMM O=C(c1csc(Cl)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481065 712583915 /nfs/dbraw/zinc/58/39/15/712583915.db2.gz YKJZTILWJFYMAJ-ZETCQYMHSA-N -1 1 315.811 1.845 20 0 DDADMM C[Si](C)(C)CCCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481415 712584060 /nfs/dbraw/zinc/58/40/60/712584060.db2.gz WENHDVCISWYILP-JTQLQIEISA-N -1 1 313.503 1.935 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1C[C@H]2CC[C@@H](C1)S2 ZINC000907659537 712610355 /nfs/dbraw/zinc/61/03/55/712610355.db2.gz RECXBEWIPYBBFQ-CBLAIPOGSA-N -1 1 303.409 1.270 20 0 DDADMM CCC[C@H](CC(C)C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907940046 712652135 /nfs/dbraw/zinc/65/21/35/712652135.db2.gz IMRPMQXTGHESML-GFCCVEGCSA-N -1 1 309.414 1.472 20 0 DDADMM CCC[C@H]1CCC[C@@H]1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907941876 712652314 /nfs/dbraw/zinc/65/23/14/712652314.db2.gz SAGMQLQFQIZADE-RYUDHWBXSA-N -1 1 307.398 1.226 20 0 DDADMM Cc1nc(CNS(=O)(=O)c2ccc(C)c(F)c2F)n[nH]1 ZINC000907984926 712660372 /nfs/dbraw/zinc/66/03/72/712660372.db2.gz WYEVKMWFPUHMJJ-UHFFFAOYSA-N -1 1 302.306 1.178 20 0 DDADMM O=C([O-])c1ccc2c(c1)N(C(=O)[C@@H]1CCCc3n[nH]nc31)CC2 ZINC000908062085 712674096 /nfs/dbraw/zinc/67/40/96/712674096.db2.gz MPTJQOFVUBLYEO-LLVKDONJSA-N -1 1 312.329 1.512 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC12CCCC2)c1ccc(F)nc1F ZINC000908193845 712706967 /nfs/dbraw/zinc/70/69/67/712706967.db2.gz YXKZQVUWVYOVGN-SNVBAGLBSA-N -1 1 318.345 1.740 20 0 DDADMM CCOC(=O)[C@H]1CCCN(CC(=O)[N-]OC/C=C/Cl)C1 ZINC000908309947 712736871 /nfs/dbraw/zinc/73/68/71/712736871.db2.gz BUZVIQPKFIQCDH-MALLOTDXSA-N -1 1 304.774 1.062 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@@H]3CC[C@H](CC(=O)[O-])C3)c2C1 ZINC000908379271 712756149 /nfs/dbraw/zinc/75/61/49/712756149.db2.gz RYKRTMAVZIWTLQ-GARJFASQSA-N -1 1 305.378 1.908 20 0 DDADMM O=C(C[C@H]1CCNC1=O)Nc1cccc([O-])c1Br ZINC000908718366 712840651 /nfs/dbraw/zinc/84/06/51/712840651.db2.gz VXFXRAPPPYMIFN-SSDOTTSWSA-N -1 1 313.151 1.619 20 0 DDADMM CC[C@@](C)(NC(=O)NC[C@H](c1cccs1)N(C)C)C(=O)[O-] ZINC000908746907 712846656 /nfs/dbraw/zinc/84/66/56/712846656.db2.gz KASROHVWLWBMPO-QMTHXVAHSA-N -1 1 313.423 1.903 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2CCc3cc(F)ccc32)C1 ZINC000908752367 712848118 /nfs/dbraw/zinc/84/81/18/712848118.db2.gz QAQFXGNCGAHNOO-SWLSCSKDSA-N -1 1 320.364 1.726 20 0 DDADMM CC[C@@H](COC)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000919721831 713631115 /nfs/dbraw/zinc/63/11/15/713631115.db2.gz YQKJIZNZPOWKAB-VIFPVBQESA-N -1 1 302.321 1.937 20 0 DDADMM COC(=O)C[C@@H]1CN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929799751 713692352 /nfs/dbraw/zinc/69/23/52/713692352.db2.gz MRYBQUMWRZUIJW-IUODEOHRSA-N -1 1 321.373 1.475 20 0 DDADMM O=S(=O)(CC1(F)CC1)[N-][C@@H]1c2ccccc2OC[C@H]1F ZINC000921318588 713731883 /nfs/dbraw/zinc/73/18/83/713731883.db2.gz ZSQGMHPXOTWFEB-ZYHUDNBSSA-N -1 1 303.330 1.880 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@H]2c3ccccc3OC[C@@H]2F)nn1 ZINC000921318202 713731920 /nfs/dbraw/zinc/73/19/20/713731920.db2.gz RXADPCQDOWJTMA-FZMZJTMJSA-N -1 1 323.349 1.535 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H](c1cnn(C)c1)C(C)C ZINC000921845504 713883882 /nfs/dbraw/zinc/88/38/82/713883882.db2.gz MVFNYJNABKQFOS-WYRIXSBYSA-N -1 1 322.456 1.069 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3CCCC(C)(C)O3)ccnc1-2 ZINC000931132121 714010478 /nfs/dbraw/zinc/01/04/78/714010478.db2.gz SVHLSDHXCGCUGK-LLVKDONJSA-N -1 1 317.393 1.811 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H](CCO)C3CCCC3)ccnc1-2 ZINC000931132328 714010996 /nfs/dbraw/zinc/01/09/96/714010996.db2.gz WFINZCYOKIGZKN-CYBMUJFWSA-N -1 1 317.393 1.405 20 0 DDADMM O=C(CN1CCC[C@H]1c1nnc[nH]1)[N-]OCc1ccccc1 ZINC000931141025 714013302 /nfs/dbraw/zinc/01/33/02/714013302.db2.gz NNXYJYFEPHTWAP-ZDUSSCGKSA-N -1 1 301.350 1.190 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCCOC1CC1 ZINC000922364512 714031114 /nfs/dbraw/zinc/03/11/14/714031114.db2.gz VBTJDDRYLMSZNR-UHFFFAOYSA-N -1 1 305.377 1.455 20 0 DDADMM CC(C)=CC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000966111388 717957578 /nfs/dbraw/zinc/95/75/78/717957578.db2.gz KWKZPKXYMBZXNZ-RYUDHWBXSA-N -1 1 303.362 1.330 20 0 DDADMM C[C@@H](O)[C@H]1CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932045931 714245186 /nfs/dbraw/zinc/24/51/86/714245186.db2.gz JZDRHYSVOZEQFE-NEPJUHHUSA-N -1 1 316.361 1.813 20 0 DDADMM CN(C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H]1CCC[C@H]1O ZINC000932061373 714249691 /nfs/dbraw/zinc/24/96/91/714249691.db2.gz PWWDZAWMAWHZNS-ZIAGYGMSSA-N -1 1 316.361 1.955 20 0 DDADMM C[C@H]1COC[C@H]1NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932063024 714249787 /nfs/dbraw/zinc/24/97/87/714249787.db2.gz QERVSAJDQCATAH-GXFFZTMASA-N -1 1 302.334 1.734 20 0 DDADMM COc1ccc(Cl)cc1C(F)(F)C(=O)NCc1nn[n-]n1 ZINC000932532814 714356889 /nfs/dbraw/zinc/35/68/89/714356889.db2.gz ITWLXBJPQOOGLD-UHFFFAOYSA-N -1 1 317.683 1.270 20 0 DDADMM O=C(N[C@H](CO)C[C@@H]1CCCO1)c1ncc2ccccc2c1[O-] ZINC000923739747 714461649 /nfs/dbraw/zinc/46/16/49/714461649.db2.gz LZLJLSIWSQXBKZ-STQMWFEESA-N -1 1 316.357 1.600 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC[C@]12C[C@H]1CCC2 ZINC000923944148 714492693 /nfs/dbraw/zinc/49/26/93/714492693.db2.gz JDZWQEUFAQCXST-HWPZZCPQSA-N -1 1 318.377 1.244 20 0 DDADMM O=C(N[C@H]1C(=O)NCC12CCOCC2)c1c([O-])cccc1Cl ZINC000923945707 714492906 /nfs/dbraw/zinc/49/29/06/714492906.db2.gz SQUMHIZVPFTZPC-LBPRGKRZSA-N -1 1 324.764 1.071 20 0 DDADMM O=C(C[N@H+]1CCC[C@H]1C1OCCO1)[N-]OCc1ccccc1 ZINC000933899754 714696403 /nfs/dbraw/zinc/69/64/03/714696403.db2.gz FMFARZIXRBSKRE-AWEZNQCLSA-N -1 1 306.362 1.072 20 0 DDADMM O=C(CN1CCC[C@H]1C1OCCO1)[N-]OCc1ccccc1 ZINC000933899754 714696404 /nfs/dbraw/zinc/69/64/04/714696404.db2.gz FMFARZIXRBSKRE-AWEZNQCLSA-N -1 1 306.362 1.072 20 0 DDADMM COc1cnc([C@H]2CCCN2C[C@@H]2CC3(CC3)C(=O)O2)[n-]c1=O ZINC000934272561 714782941 /nfs/dbraw/zinc/78/29/41/714782941.db2.gz LAUNHOBYPXNXNN-WDEREUQCSA-N -1 1 319.361 1.423 20 0 DDADMM COc1cnc([C@H]2CCC[N@@H+]2CCCCCNC(C)=O)[nH]c1=O ZINC000934277017 714784736 /nfs/dbraw/zinc/78/47/36/714784736.db2.gz XWWXXQSUYFEHQJ-CYBMUJFWSA-N -1 1 322.409 1.634 20 0 DDADMM O=C1N(CCc2c(F)cc([O-])cc2F)C(=O)C23CC(C2)CN13 ZINC000925459740 714863787 /nfs/dbraw/zinc/86/37/87/714863787.db2.gz CCPXUOXQTMSHJG-UHFFFAOYSA-N -1 1 308.284 1.640 20 0 DDADMM O=C1[C@H]2CSCN2C(=O)N1CCc1c(F)cc([O-])cc1F ZINC000925462367 714864489 /nfs/dbraw/zinc/86/44/89/714864489.db2.gz JWSXGKCUOGIOBX-LLVKDONJSA-N -1 1 314.313 1.550 20 0 DDADMM CC[C@](C)(O)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000934706815 714884801 /nfs/dbraw/zinc/88/48/01/714884801.db2.gz AWACBZCEDUMONO-KRWDZBQOSA-N -1 1 305.374 1.975 20 0 DDADMM C[C@H](CN(C)C(=O)C1(C)CCC2(CC1)OCCO2)c1nn[n-]n1 ZINC000935369386 715039102 /nfs/dbraw/zinc/03/91/02/715039102.db2.gz XASBWGOYKUPLKZ-LLVKDONJSA-N -1 1 323.397 1.085 20 0 DDADMM O=C([O-])C1(CNS(=O)(=O)c2occc2Br)CC1 ZINC000926479690 715074444 /nfs/dbraw/zinc/07/44/44/715074444.db2.gz MEQHZMFYZXHDGS-UHFFFAOYSA-N -1 1 324.152 1.185 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956851042 715637829 /nfs/dbraw/zinc/63/78/29/715637829.db2.gz AORWJJYZMUQJCR-MMPTUQATSA-N -1 1 303.362 1.164 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939089120 716126113 /nfs/dbraw/zinc/12/61/13/716126113.db2.gz HCNBWNPPGUNLQJ-MNOVXSKESA-N -1 1 309.341 1.208 20 0 DDADMM CCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959339455 716971575 /nfs/dbraw/zinc/97/15/75/716971575.db2.gz QKEVIHAJCAMWMF-RYUDHWBXSA-N -1 1 305.378 1.412 20 0 DDADMM CC(C)C(=O)N1CCC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000945597161 718505162 /nfs/dbraw/zinc/50/51/62/718505162.db2.gz MMRZHDQSLNXTSW-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C(C)C ZINC000948391128 719478044 /nfs/dbraw/zinc/47/80/44/719478044.db2.gz ZITHGICECVTYOY-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1csc(CN[C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)n1 ZINC000968429390 719580395 /nfs/dbraw/zinc/58/03/95/719580395.db2.gz SUELHFACVZDRRK-SNVBAGLBSA-N -1 1 321.406 1.193 20 0 DDADMM C/C(=C\C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000949530575 720142146 /nfs/dbraw/zinc/14/21/46/720142146.db2.gz BEZPYIJXQBZXLB-STRFDMGBSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)C1CCCCC1)c1ncccc1[O-] ZINC000949530969 720143098 /nfs/dbraw/zinc/14/30/98/720143098.db2.gz KAZYOPQHCUGMOO-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C[C@H]2CC[C@@H](C)O2)C1 ZINC000949952533 720428258 /nfs/dbraw/zinc/42/82/58/720428258.db2.gz QCEMKURZVGEREE-TZMCWYRMSA-N -1 1 319.405 1.501 20 0 DDADMM Cc1n[nH]c(C(=O)NCCN[C@@H](C)c2ccccc2F)c1[O-] ZINC001125745026 735456262 /nfs/dbraw/zinc/45/62/62/735456262.db2.gz JPAQSQFNLYOGEH-VIFPVBQESA-N -1 1 306.341 1.643 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCCN2Cc2ccccn2)c1[O-] ZINC001027833723 738710114 /nfs/dbraw/zinc/71/01/14/738710114.db2.gz UHABWTDCCHGNTN-CYBMUJFWSA-N -1 1 315.377 1.213 20 0 DDADMM COC(=O)Cc1ccc(O)c([N-]S(=O)(=O)CCCF)c1 ZINC001189911415 745028756 /nfs/dbraw/zinc/02/87/56/745028756.db2.gz QXSISSHPXKTVNC-UHFFFAOYSA-N -1 1 305.327 1.209 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001022416529 734525225 /nfs/dbraw/zinc/52/52/25/734525225.db2.gz BJJITIWUJJGOTR-ZDEQEGDKSA-N -1 1 315.373 1.116 20 0 DDADMM COC(=O)C[C@@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692903195 751355028 /nfs/dbraw/zinc/35/50/28/751355028.db2.gz ANHFTGMCQURQTB-ZCFIWIBFSA-N -1 1 310.734 1.104 20 0 DDADMM CC(C)C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C12CCC2 ZINC001025880939 736912585 /nfs/dbraw/zinc/91/25/85/736912585.db2.gz GLHKPNZWUPNKFZ-STQMWFEESA-N -1 1 317.389 1.600 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@@H]2CC23CCC3)C1)c1ncccc1[O-] ZINC000974056732 737353338 /nfs/dbraw/zinc/35/33/38/737353338.db2.gz KKVYVWAHNLOUCS-TUAOUCFPSA-N -1 1 315.373 1.354 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@]23C[C@H]2CCC3)C1)c1ncccc1[O-] ZINC000974056220 737353536 /nfs/dbraw/zinc/35/35/36/737353536.db2.gz GSOOZBSIBUZIOO-YXPOGWMNSA-N -1 1 315.373 1.354 20 0 DDADMM Cc1coc(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001029812927 741342278 /nfs/dbraw/zinc/34/22/78/741342278.db2.gz PMJBEBKVCREYJT-LLVKDONJSA-N -1 1 319.365 1.006 20 0 DDADMM Cc1nccc(N[C@H](CNC(=O)c2ncccc2[O-])C(C)C)n1 ZINC001105174572 737595331 /nfs/dbraw/zinc/59/53/31/737595331.db2.gz FQNHVXKDYSPZJE-GFCCVEGCSA-N -1 1 315.377 1.752 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCCC1CCOCC1 ZINC001211985128 741917695 /nfs/dbraw/zinc/91/76/95/741917695.db2.gz VKELZYDVOXAVCV-UHFFFAOYSA-N -1 1 320.436 1.637 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCCC1)c1ccc(Cl)nc1F ZINC000692825512 738379073 /nfs/dbraw/zinc/37/90/73/738379073.db2.gz CLDCKOHDLKDJMC-VIFPVBQESA-N -1 1 322.789 1.704 20 0 DDADMM CC(C)C[C@H](O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692825474 738379188 /nfs/dbraw/zinc/37/91/88/738379188.db2.gz UQDXKZLHUJTASM-QMMMGPOBSA-N -1 1 310.778 1.559 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](Nc2cnc(F)cn2)C1 ZINC001058879071 739006786 /nfs/dbraw/zinc/00/67/86/739006786.db2.gz LONHNPCVJNTJTD-VIFPVBQESA-N -1 1 303.297 1.043 20 0 DDADMM Nc1cnc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)nc1 ZINC001167937273 739740986 /nfs/dbraw/zinc/74/09/86/739740986.db2.gz VQMMBIDHKSYIPX-UHFFFAOYSA-N -1 1 306.262 1.144 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059433208 740922808 /nfs/dbraw/zinc/92/28/08/740922808.db2.gz APXPOWDIHPCBIV-QQFGACLNSA-N -1 1 317.389 1.722 20 0 DDADMM Cc1ccc(NCCC[C@H](C)NC(=O)c2ncccc2[O-])nn1 ZINC001114907547 751675145 /nfs/dbraw/zinc/67/51/45/751675145.db2.gz VQPMJFSFJYLUPC-NSHDSACASA-N -1 1 315.377 1.896 20 0 DDADMM Cc1ccc(Nc2cccc(C(=O)N(C)c3nn[n-]n3)c2)nn1 ZINC001168357601 742261668 /nfs/dbraw/zinc/26/16/68/742261668.db2.gz BZAKJDYHONZFGK-UHFFFAOYSA-N -1 1 310.321 1.318 20 0 DDADMM CCC[C@H](C)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244615 742623644 /nfs/dbraw/zinc/62/36/44/742623644.db2.gz WZKXJKWOGRJURZ-RYUDHWBXSA-N -1 1 307.394 1.848 20 0 DDADMM CCC[C@@H](NC(C)=O)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001180979097 743010249 /nfs/dbraw/zinc/01/02/49/743010249.db2.gz ZIRXZRITFMFFRH-CQSZACIVSA-N -1 1 316.361 1.821 20 0 DDADMM Cc1cc(SCC(=O)NCc2nn[n-]n2)c(C)cc1Cl ZINC001181523361 743247170 /nfs/dbraw/zinc/24/71/70/743247170.db2.gz LBDDQQNEMYPYHZ-UHFFFAOYSA-N -1 1 311.798 1.878 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@@H](CO)c1ccccc1 ZINC001181925787 743376832 /nfs/dbraw/zinc/37/68/32/743376832.db2.gz WGMLCWAIXLOTDE-QMMMGPOBSA-N -1 1 300.240 1.538 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(N[C@@H]3Cc4cccc(Cl)c4C3)c2[nH]1 ZINC001168699640 743481741 /nfs/dbraw/zinc/48/17/41/743481741.db2.gz NIGPDOKMOVDGDO-SSDOTTSWSA-N -1 1 317.736 1.948 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)Cc1ccc(COC)cc1 ZINC001182197155 743522582 /nfs/dbraw/zinc/52/25/82/743522582.db2.gz DMAPCSIJVIRWKP-UHFFFAOYSA-N -1 1 317.345 1.914 20 0 DDADMM C[C@H](CSC(=O)c1ccccc1)C(=O)NCc1nn[n-]n1 ZINC001183087628 743772307 /nfs/dbraw/zinc/77/23/07/743772307.db2.gz DIYLLNYARQOABZ-SECBINFHSA-N -1 1 305.363 1.026 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@H]3CCc4ccccc4[C@H]3O)c1-2 ZINC001183389885 743829657 /nfs/dbraw/zinc/82/96/57/743829657.db2.gz YTQUPIHXGGSSPN-GXTWGEPZSA-N -1 1 323.356 1.269 20 0 DDADMM CSc1nc(NC(=O)[C@@H]2C=CN=C3N=CC=C32)cc(=O)[n-]1 ZINC001183580629 743862698 /nfs/dbraw/zinc/86/26/98/743862698.db2.gz GKVGUHWLLVLDJX-MRVPVSSYSA-N -1 1 301.331 1.395 20 0 DDADMM O=C(N[C@]12CCC[C@H]1CN(C(=O)c1ncccc1[O-])C2)C1CC1 ZINC001006833331 751904684 /nfs/dbraw/zinc/90/46/84/751904684.db2.gz GCKDXSXSJUKWGV-SJCJKPOMSA-N -1 1 315.373 1.308 20 0 DDADMM CCOC(=O)[C@@H]1CCOC[C@@H]1NC(=O)c1ccc([O-])c(F)c1 ZINC001186234618 744361485 /nfs/dbraw/zinc/36/14/85/744361485.db2.gz WPRXAYWVEVSYSM-PWSUYJOCSA-N -1 1 311.309 1.229 20 0 DDADMM O=C(N[C@H]1CCN(Cc2cccnc2)C1)c1ccc([O-])cc1F ZINC001186339763 744389293 /nfs/dbraw/zinc/38/92/93/744389293.db2.gz PFGBZTUASIXRGE-ZDUSSCGKSA-N -1 1 315.348 1.931 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cnc2c(C(C)C)cnn2c1 ZINC001187249715 744536459 /nfs/dbraw/zinc/53/64/59/744536459.db2.gz GNAZZLQZPZTJSY-UHFFFAOYSA-N -1 1 312.395 1.631 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]c1cncnc1Br ZINC001187328359 744540407 /nfs/dbraw/zinc/54/04/07/744540407.db2.gz MADCHSXOSRLXDT-UHFFFAOYSA-N -1 1 319.140 1.336 20 0 DDADMM O=C(NCC[P@@](=O)([O-])O)Nc1cccc(Br)c1 ZINC001187471823 744567563 /nfs/dbraw/zinc/56/75/63/744567563.db2.gz PODQPJISWISVEK-UHFFFAOYSA-N -1 1 323.083 1.748 20 0 DDADMM CC(C)(C)C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992057219 744565883 /nfs/dbraw/zinc/56/58/83/744565883.db2.gz WGCVTUJNSUZREW-UHFFFAOYSA-N -1 1 305.378 1.458 20 0 DDADMM O=C(NCc1nccc(Cl)n1)c1n[n-]nc1C(F)(F)F ZINC001187771139 744599391 /nfs/dbraw/zinc/59/93/91/744599391.db2.gz WRRTTYHRDFTHAL-UHFFFAOYSA-N -1 1 306.635 1.197 20 0 DDADMM Cc1ncsc1CCNC(=O)c1n[n-]nc1C(F)(F)F ZINC001187773201 744600042 /nfs/dbraw/zinc/60/00/42/744600042.db2.gz ACFNMBQALDTYDB-UHFFFAOYSA-N -1 1 305.285 1.561 20 0 DDADMM O=C(Nc1cnn2cc(F)ccc12)c1n[n-]nc1C(F)(F)F ZINC001187758696 744605656 /nfs/dbraw/zinc/60/56/56/744605656.db2.gz CNFCSJMCTNMTOE-UHFFFAOYSA-N -1 1 314.202 1.863 20 0 DDADMM O=S(=O)([N-]CC1(c2ccccc2)COC1)c1nccs1 ZINC001187908912 744627851 /nfs/dbraw/zinc/62/78/51/744627851.db2.gz OUXYSSGVNYUHTJ-UHFFFAOYSA-N -1 1 310.400 1.390 20 0 DDADMM COc1ccc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)c(C)c1C ZINC001188059998 744654679 /nfs/dbraw/zinc/65/46/79/744654679.db2.gz VUEBCPPSDRNFSP-UHFFFAOYSA-N -1 1 311.345 1.913 20 0 DDADMM COc1ccc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c(C)c1C ZINC001188086287 744658101 /nfs/dbraw/zinc/65/81/01/744658101.db2.gz SAAPPRGAGWJDIE-UHFFFAOYSA-N -1 1 313.317 1.936 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cc(C)c2ccccc2n1 ZINC001188349566 744699016 /nfs/dbraw/zinc/69/90/16/744699016.db2.gz DNGCJEOHCKMKAO-UHFFFAOYSA-N -1 1 308.359 1.848 20 0 DDADMM CN(C)C(=O)c1ccc(CCNC(=O)c2ccncc2[O-])cc1 ZINC001188644944 744735239 /nfs/dbraw/zinc/73/52/39/744735239.db2.gz YREBKPDBEMCKMS-UHFFFAOYSA-N -1 1 313.357 1.462 20 0 DDADMM O=C(N[C@H]1CCC(=O)N[C@H]1c1ccccc1)c1ccncc1[O-] ZINC001188638402 744744244 /nfs/dbraw/zinc/74/42/44/744744244.db2.gz NDWFOSBEXVEYJL-BBRMVZONSA-N -1 1 311.341 1.537 20 0 DDADMM COc1nc(S(=O)(=O)[N-]CCOC(F)(F)F)ccc1F ZINC001190116539 745120651 /nfs/dbraw/zinc/12/06/51/745120651.db2.gz DCQJNJXGNJVFNM-UHFFFAOYSA-N -1 1 318.248 1.044 20 0 DDADMM Nc1cnc(NC(=O)c2cnc(-c3ccccc3)[n-]c2=O)cn1 ZINC001190257835 745163017 /nfs/dbraw/zinc/16/30/17/745163017.db2.gz LEELDIBAGWTVQI-UHFFFAOYSA-N -1 1 308.301 1.474 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2F)cn1 ZINC001190349546 745188410 /nfs/dbraw/zinc/18/84/10/745188410.db2.gz PFZIFLQWPOHTLB-UHFFFAOYSA-N -1 1 317.292 1.681 20 0 DDADMM Cc1c(NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)ncn1C ZINC001190618966 745260189 /nfs/dbraw/zinc/26/01/89/745260189.db2.gz QHFYPRMWVFWLHK-UHFFFAOYSA-N -1 1 310.317 1.538 20 0 DDADMM C[C@H]1COCC[C@H]1NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629690 745278919 /nfs/dbraw/zinc/27/89/19/745278919.db2.gz VOIOUFCERWGBRC-CMPLNLGQSA-N -1 1 314.345 1.399 20 0 DDADMM O=S(=O)(Nc1cc(C(F)(F)F)cnc1F)c1ncc[n-]1 ZINC001190694787 745299835 /nfs/dbraw/zinc/29/98/35/745299835.db2.gz ZSSDXKYZASHCTH-UHFFFAOYSA-N -1 1 310.232 1.763 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2[nH]cc(C)c2C)c1 ZINC001191160554 745439402 /nfs/dbraw/zinc/43/94/02/745439402.db2.gz ZWYIOWLYSVQPJR-UHFFFAOYSA-N -1 1 323.374 1.498 20 0 DDADMM COc1cc(C(=O)N2C[C@H](F)C[C@@H](CO)C2)cc(Cl)c1[O-] ZINC001191138704 745443302 /nfs/dbraw/zinc/44/33/02/745443302.db2.gz KBVKPBHOGBVYLF-PSASIEDQSA-N -1 1 317.744 1.847 20 0 DDADMM COc1cc(C(=O)N2CCN(CCF)CC2)cc(Cl)c1[O-] ZINC001191143166 745443997 /nfs/dbraw/zinc/44/39/97/745443997.db2.gz DYCHSJYQCPLGLL-UHFFFAOYSA-N -1 1 316.760 1.782 20 0 DDADMM CSc1ncc(C(=O)N2C[C@@H]3OCC(F)(F)[C@@H]3C2)c(=O)[n-]1 ZINC001191428282 745509440 /nfs/dbraw/zinc/50/94/40/745509440.db2.gz QUDZHGAZUSXAML-SFYZADRCSA-N -1 1 317.317 1.010 20 0 DDADMM C[C@H](CCNc1cccc(F)n1)NC(=O)c1ncccc1[O-] ZINC001106296044 745562021 /nfs/dbraw/zinc/56/20/21/745562021.db2.gz GEHQUDAVKXOTQH-SNVBAGLBSA-N -1 1 304.325 1.942 20 0 DDADMM C[C@@H](CCNc1cccc(F)n1)NC(=O)c1ncccc1[O-] ZINC001106296043 745562172 /nfs/dbraw/zinc/56/21/72/745562172.db2.gz GEHQUDAVKXOTQH-JTQLQIEISA-N -1 1 304.325 1.942 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001089324146 745569381 /nfs/dbraw/zinc/56/93/81/745569381.db2.gz IUJLUZSIQUMWPK-LLVKDONJSA-N -1 1 309.414 1.273 20 0 DDADMM CC(C)N1CC[C@@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192042243 745680659 /nfs/dbraw/zinc/68/06/59/745680659.db2.gz AKRJVGIPIZIJRO-SSDOTTSWSA-N -1 1 309.646 1.716 20 0 DDADMM Nc1ncc(Cl)nc1NC(=O)c1cc([O-])cc(F)c1F ZINC001192652001 745847095 /nfs/dbraw/zinc/84/70/95/745847095.db2.gz RZTWDOSCXBNPCD-UHFFFAOYSA-N -1 1 300.652 1.948 20 0 DDADMM Cc1nc2[nH]cnc2c(NC(=O)c2cc([O-])cc(F)c2F)n1 ZINC001192660805 745850507 /nfs/dbraw/zinc/85/05/07/745850507.db2.gz CVISMPYDILCQSL-UHFFFAOYSA-N -1 1 305.244 1.897 20 0 DDADMM COCc1n[nH]c2c1CN(C(=O)c1cc([O-])cc(F)c1F)C2 ZINC001192660916 745853060 /nfs/dbraw/zinc/85/30/60/745853060.db2.gz GLBCQQVLFACSMN-UHFFFAOYSA-N -1 1 309.272 1.696 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cc([O-])cc(F)c2F)c[nH]1 ZINC001192660913 745853305 /nfs/dbraw/zinc/85/33/05/745853305.db2.gz GKBZTFOIYLIESU-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM CCN(CCNc1cnc(F)cn1)C(=O)c1ncccc1[O-] ZINC001106727078 745970631 /nfs/dbraw/zinc/97/06/31/745970631.db2.gz HYKWFJZOSWNCDB-UHFFFAOYSA-N -1 1 305.313 1.291 20 0 DDADMM COC(=O)c1nc(OC)ccc1[N-]S(=O)(=O)CC1CCC1 ZINC001193478837 746116031 /nfs/dbraw/zinc/11/60/31/746116031.db2.gz JDOJQJWXYXAIPO-UHFFFAOYSA-N -1 1 314.363 1.419 20 0 DDADMM COc1ccnc(C(=O)Nc2ccc(N)cc2CCO)c1[O-] ZINC001193531649 746121430 /nfs/dbraw/zinc/12/14/30/746121430.db2.gz MTLYSNLDVBXRET-UHFFFAOYSA-N -1 1 303.318 1.165 20 0 DDADMM Nc1nonc1[N-]C(=O)c1ncccc1C(=O)c1ccccc1 ZINC001193556530 746143443 /nfs/dbraw/zinc/14/34/43/746143443.db2.gz NOQRWDVBHJFERD-UHFFFAOYSA-N -1 1 309.285 1.530 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1cccc(-n2nccn2)c1 ZINC001193931872 746225654 /nfs/dbraw/zinc/22/56/54/746225654.db2.gz HPBZJGMSEMNEPB-UHFFFAOYSA-N -1 1 315.358 1.650 20 0 DDADMM CNC(=O)c1cccnc1NC(=O)c1c[n-]c(C(F)(F)F)n1 ZINC001194772534 746462788 /nfs/dbraw/zinc/46/27/88/746462788.db2.gz GIOZTFOKVGDUQA-UHFFFAOYSA-N -1 1 313.239 1.435 20 0 DDADMM CSc1nc(NS(=O)(=O)CC[Si](C)(C)C)cc(=O)[n-]1 ZINC001195041356 746515091 /nfs/dbraw/zinc/51/50/91/746515091.db2.gz ZSHGCDSUYZKEEQ-UHFFFAOYSA-N -1 1 321.500 1.984 20 0 DDADMM C[C@@H]1COC[C@@H]1NC(=O)c1cc(Br)ccc1[O-] ZINC000699901109 746523331 /nfs/dbraw/zinc/52/33/31/746523331.db2.gz GWUDKHHCKWUPGD-XCBNKYQSSA-N -1 1 300.152 1.919 20 0 DDADMM CN(C)c1cc(NC(=O)c2c([O-])c(F)c(F)c(F)c2F)n[nH]1 ZINC001195291644 746569423 /nfs/dbraw/zinc/56/94/23/746569423.db2.gz ZWHKWDZHWHNBTL-UHFFFAOYSA-N -1 1 318.230 1.990 20 0 DDADMM Cc1nc2[nH]cnc2c(NC(=O)c2cc([O-])cnc2Cl)n1 ZINC001195297480 746570167 /nfs/dbraw/zinc/57/01/67/746570167.db2.gz CCVZXGCWYYKKBP-UHFFFAOYSA-N -1 1 304.697 1.668 20 0 DDADMM COC(=O)c1cncc(NC(=O)c2cc([O-])cnc2Cl)n1 ZINC001195297793 746570470 /nfs/dbraw/zinc/57/04/70/746570470.db2.gz HLGWIADHFVGJJX-UHFFFAOYSA-N -1 1 308.681 1.270 20 0 DDADMM COC(=O)C[C@@H]1CCCN(C(=O)c2cc([O-])cnc2Cl)C1 ZINC001195304804 746572074 /nfs/dbraw/zinc/57/20/74/746572074.db2.gz ADMKZVYGQGLUIK-VIFPVBQESA-N -1 1 312.753 1.856 20 0 DDADMM O=C(N[C@H]1CCc2[nH]c(=O)ccc2C1)c1cc([O-])cnc1Cl ZINC001195306565 746572646 /nfs/dbraw/zinc/57/26/46/746572646.db2.gz UAYBXIUUGYSGNR-VIFPVBQESA-N -1 1 319.748 1.829 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2cnn(-c3ccccc3)c(=O)c2)n1 ZINC001195335715 746589669 /nfs/dbraw/zinc/58/96/69/746589669.db2.gz NSOLJPBPFISABS-UHFFFAOYSA-N -1 1 322.324 1.894 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cc(F)c(O)cc1C(=O)OC ZINC001195491108 746624671 /nfs/dbraw/zinc/62/46/71/746624671.db2.gz QXJHIBNWUSDKNN-UHFFFAOYSA-N -1 1 316.310 1.761 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC[C@H]1Cc1ccccn1 ZINC001196014346 746756819 /nfs/dbraw/zinc/75/68/19/746756819.db2.gz UEEDLSQIQUMGFT-NSHDSACASA-N -1 1 316.386 1.713 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc(C(F)F)nc1 ZINC001196084206 746773586 /nfs/dbraw/zinc/77/35/86/746773586.db2.gz GORBHKIEAQWFMS-UHFFFAOYSA-N -1 1 311.204 1.871 20 0 DDADMM CC(C)c1nc(C[N-]S(=O)(=O)c2ccc(Cl)cn2)no1 ZINC001196255119 746807683 /nfs/dbraw/zinc/80/76/83/746807683.db2.gz MWHYNKIUFZKCKQ-UHFFFAOYSA-N -1 1 316.770 1.720 20 0 DDADMM O=S(=O)(Cc1cccnc1)[N-]c1ccccc1OC1COC1 ZINC001197465577 747166894 /nfs/dbraw/zinc/16/68/94/747166894.db2.gz YXQSFSLREIMRQW-UHFFFAOYSA-N -1 1 320.370 1.801 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc(OC(F)F)cn1 ZINC001197931381 747312639 /nfs/dbraw/zinc/31/26/39/747312639.db2.gz FFXZGHSRRKMKCU-UHFFFAOYSA-N -1 1 324.220 1.371 20 0 DDADMM O=S(=O)([N-]c1cccc(CO)c1)c1ccc2nccnc2c1 ZINC001198148616 747392136 /nfs/dbraw/zinc/39/21/36/747392136.db2.gz PGGSAZMWBFZIKY-UHFFFAOYSA-N -1 1 315.354 1.923 20 0 DDADMM COc1cncc(NS(=O)(=O)c2ccccc2C(=O)[O-])c1C ZINC001198739428 747585325 /nfs/dbraw/zinc/58/53/25/747585325.db2.gz SEWGEHRRUUYFTL-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001004012116 747921130 /nfs/dbraw/zinc/92/11/30/747921130.db2.gz RGLYPDWLCBAVFP-CHWSQXEVSA-N -1 1 317.389 1.268 20 0 DDADMM CCC(=O)NC1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC001004077251 747967409 /nfs/dbraw/zinc/96/74/09/747967409.db2.gz QZAPLXFTENVHLU-UHFFFAOYSA-N -1 1 305.378 1.697 20 0 DDADMM C[C@@H](Cc1ccccn1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000694037150 748300162 /nfs/dbraw/zinc/30/01/62/748300162.db2.gz RRADINXEIIAEON-VIFPVBQESA-N -1 1 314.798 1.378 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1C[C@]12CCCOC2 ZINC001201768939 748599970 /nfs/dbraw/zinc/59/99/70/748599970.db2.gz JQNQDUYPUKADDS-KWQFWETISA-N -1 1 322.839 1.952 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1ccc2ccccc2n1 ZINC001201903144 748633756 /nfs/dbraw/zinc/63/37/56/748633756.db2.gz IQCYXRZGVATWAL-UHFFFAOYSA-N -1 1 308.359 1.930 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)C(C)(F)F ZINC001004669064 748637199 /nfs/dbraw/zinc/63/71/99/748637199.db2.gz MVFHGQFESZZYFC-BDAKNGLRSA-N -1 1 317.340 1.025 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2nccnc2C)c1 ZINC000869541475 749224554 /nfs/dbraw/zinc/22/45/54/749224554.db2.gz LPQXKBMFSKUDRC-JOCHJYFZSA-N -1 1 320.370 1.645 20 0 DDADMM CC1(C)CN(C(=O)[C@@]2(C)C=CCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996147672 749396583 /nfs/dbraw/zinc/39/65/83/749396583.db2.gz ZQXUDHIXWCSTDC-ZBEGNZNMSA-N -1 1 319.409 1.193 20 0 DDADMM C[C@@H](CCNC(=O)[C@]12C[C@H]1CCC2)NC(=O)c1ncccc1[O-] ZINC001077766883 750576267 /nfs/dbraw/zinc/57/62/67/750576267.db2.gz ACUQUBUJNYXAID-XWCIJXRUSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1occc1Cl ZINC000999145323 752558894 /nfs/dbraw/zinc/55/88/94/752558894.db2.gz NBRGXDZNUWSUKD-UHFFFAOYSA-N -1 1 321.720 1.288 20 0 DDADMM CC[C@H]1CCC[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000999149031 752563000 /nfs/dbraw/zinc/56/30/00/752563000.db2.gz BBYSVWYNMLTMMT-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ncccn1 ZINC001062110047 752771531 /nfs/dbraw/zinc/77/15/31/752771531.db2.gz DSOCQCBLYKBUQW-GFCCVEGCSA-N -1 1 313.361 1.176 20 0 DDADMM C[C@@H](NC(=O)[C@@H]1CC1(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005957797 753419448 /nfs/dbraw/zinc/41/94/48/753419448.db2.gz ZLYYQKMIWNMBFH-PWSUYJOCSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)CC1CC1 ZINC001009961280 753505059 /nfs/dbraw/zinc/50/50/59/753505059.db2.gz RYVNTUCWZZTGOK-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](CCNC(=O)c1nccs1)NC(=O)c1ncccc1[O-] ZINC001078014480 753634229 /nfs/dbraw/zinc/63/42/29/753634229.db2.gz AVMJGPFDQISDFP-VIFPVBQESA-N -1 1 320.374 1.182 20 0 DDADMM CC[C@H](C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839668 753760074 /nfs/dbraw/zinc/76/00/74/753760074.db2.gz ZIYOXRBCSRRHGV-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839413 753760105 /nfs/dbraw/zinc/76/01/05/753760105.db2.gz PSHGZUCXTWBFGH-NSHDSACASA-N -1 1 317.389 1.602 20 0 DDADMM C[C@H](C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1)C1CC1 ZINC001062839445 753760247 /nfs/dbraw/zinc/76/02/47/753760247.db2.gz QBNQDYVQOQVNCU-NSHDSACASA-N -1 1 317.389 1.602 20 0 DDADMM Cc1conc1C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001078409489 754058528 /nfs/dbraw/zinc/05/85/28/754058528.db2.gz ZKRMOXCAMGDEBB-JTQLQIEISA-N -1 1 318.333 1.022 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035360 754318096 /nfs/dbraw/zinc/31/80/96/754318096.db2.gz FXQSTDIQBNFRMO-STQMWFEESA-N -1 1 317.389 1.364 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036143 754318952 /nfs/dbraw/zinc/31/89/52/754318952.db2.gz DSKKAYSMHDVEOJ-LBPRGKRZSA-N -1 1 317.389 1.508 20 0 DDADMM CC1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC=CC1 ZINC001011036084 754319011 /nfs/dbraw/zinc/31/90/11/754319011.db2.gz ZNKUPTZTZZZOKB-UHFFFAOYSA-N -1 1 315.373 1.428 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C2(C)CC2)CCN1C(=O)c1ncccc1[O-] ZINC001011678957 754672978 /nfs/dbraw/zinc/67/29/78/754672978.db2.gz ZYRYAAQUCVQHQJ-QWRGUYRKSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C2CC=CC2)CN1C(=O)c1ncccc1[O-] ZINC001012509268 755114401 /nfs/dbraw/zinc/11/44/01/755114401.db2.gz HNZYLGLGLUMRJQ-AAEUAGOBSA-N -1 1 315.373 1.473 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012513572 755117317 /nfs/dbraw/zinc/11/73/17/755117317.db2.gz VLTPRQXQGFRBMS-CMPLNLGQSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1(C)CC1 ZINC001013293170 755367759 /nfs/dbraw/zinc/36/77/59/755367759.db2.gz MLBBOPNXPDMCRX-NWDGAFQWSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1CN(Cc2ccns2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001079611364 755473270 /nfs/dbraw/zinc/47/32/70/755473270.db2.gz ZWUWMVDAFHYEEV-ZYHUDNBSSA-N -1 1 318.402 1.494 20 0 DDADMM CCN(C(=O)C1(C)CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079902709 755625430 /nfs/dbraw/zinc/62/54/30/755625430.db2.gz LADMZUFOTZNIOY-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM CC(C)(F)C(=O)N[C@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015298683 756227417 /nfs/dbraw/zinc/22/74/17/756227417.db2.gz CBCUJJKZBJJNBQ-QWRGUYRKSA-N -1 1 323.368 1.550 20 0 DDADMM CCOC(=O)[C@H](F)[C@@H]1CCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000823211816 758281576 /nfs/dbraw/zinc/28/15/76/758281576.db2.gz KXMBFIJEUIWPIH-ZWNOBZJWSA-N -1 1 313.300 1.895 20 0 DDADMM CC1(C)C[C@H]1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085174111 758332569 /nfs/dbraw/zinc/33/25/69/758332569.db2.gz WOHGEJIPDNQHKQ-HBNTYKKESA-N -1 1 307.398 1.073 20 0 DDADMM O=C(c1ccccc1)N1CCC[C@H]([NH2+]CCP(=O)([O-])[O-])C1 ZINC001169727547 762634516 /nfs/dbraw/zinc/63/45/16/762634516.db2.gz DUCJEZRNNYPAHW-ZDUSSCGKSA-N -1 1 312.306 1.059 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CC1 ZINC001018098204 758546202 /nfs/dbraw/zinc/54/62/02/758546202.db2.gz BZKMYOFVSJIENS-CMPLNLGQSA-N -1 1 303.362 1.307 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCC3(CN(CC(C)C)C3)O2)c1[O-] ZINC001053589422 758606154 /nfs/dbraw/zinc/60/61/54/758606154.db2.gz JGDJBOQBPAEYFR-LBPRGKRZSA-N -1 1 322.409 1.043 20 0 DDADMM CC[C@H](F)CN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784752 758809196 /nfs/dbraw/zinc/80/91/96/758809196.db2.gz WIKOJUCINBEFCY-NWDGAFQWSA-N -1 1 323.368 1.108 20 0 DDADMM O=C([C@@H]1CCCC2(CC2)C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018845912 759332102 /nfs/dbraw/zinc/33/21/02/759332102.db2.gz ULNROXQULPOPMD-NEPJUHHUSA-N -1 1 319.409 1.171 20 0 DDADMM O=C([C@H]1CC2CCC1CC2)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019834005 760281296 /nfs/dbraw/zinc/28/12/96/760281296.db2.gz UQMSSKGBTXQMNG-TYUFSLCMSA-N -1 1 319.409 1.027 20 0 DDADMM COC(=O)[C@H](C)N(C)C(=O)c1ccc2ccc(C)nc2c1[O-] ZINC001155652667 761457040 /nfs/dbraw/zinc/45/70/40/761457040.db2.gz CVRMYBNICVUSAU-JTQLQIEISA-N -1 1 302.330 1.882 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541255 762946552 /nfs/dbraw/zinc/94/65/52/762946552.db2.gz JPDPNKRAVXMJRC-CHWSQXEVSA-N -1 1 317.389 1.412 20 0 DDADMM Cc1cc(C)nc(NCCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001109436867 763705629 /nfs/dbraw/zinc/70/56/29/763705629.db2.gz MQCUSGJZEWCTMK-UHFFFAOYSA-N -1 1 315.377 1.768 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](Nc2nccnc2F)C1 ZINC001057623673 764157439 /nfs/dbraw/zinc/15/74/39/764157439.db2.gz NOCVXPUMGBELNX-SNVBAGLBSA-N -1 1 317.324 1.433 20 0 DDADMM O=C([C@H]1CCCC12CC2)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045091065 766090040 /nfs/dbraw/zinc/09/00/40/766090040.db2.gz PADPTBZUGLUHNU-NWDGAFQWSA-N -1 1 319.409 1.171 20 0 DDADMM CCc1ccc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)o1 ZINC001045095518 766092518 /nfs/dbraw/zinc/09/25/18/766092518.db2.gz VQWQSCIFKGWQNQ-JTQLQIEISA-N -1 1 319.365 1.060 20 0 DDADMM CCc1ccoc1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045187016 766143943 /nfs/dbraw/zinc/14/39/43/766143943.db2.gz ZRZVRPUWWIXRNU-NSHDSACASA-N -1 1 319.365 1.060 20 0 DDADMM C[C@@H](c1ccccc1)n1ccc(NC(=O)CCc2nn[n-]n2)n1 ZINC001137425400 766161736 /nfs/dbraw/zinc/16/17/36/766161736.db2.gz FUDHFFNQBOEGNX-NSHDSACASA-N -1 1 311.349 1.577 20 0 DDADMM COCCOc1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001170232445 766186832 /nfs/dbraw/zinc/18/68/32/766186832.db2.gz KANZZLBHEBBSBN-UHFFFAOYSA-N -1 1 301.306 1.827 20 0 DDADMM CCN(C)c1nc(Nc2[n-]c(=O)nc3nc[nH]c32)ncc1C ZINC001170224229 766197959 /nfs/dbraw/zinc/19/79/59/766197959.db2.gz VDKJJSOBGQFJBP-UHFFFAOYSA-N -1 1 300.326 1.357 20 0 DDADMM COC(=O)N1CCCN(Cc2c(F)cc([O-])cc2F)CC1 ZINC001144544659 772559500 /nfs/dbraw/zinc/55/95/00/772559500.db2.gz BUTOQBVIZMDQQZ-UHFFFAOYSA-N -1 1 300.305 1.945 20 0 DDADMM [O-]c1cc(F)c(CN2CCN(c3ncncn3)CC2)cc1F ZINC001144664054 772590397 /nfs/dbraw/zinc/59/03/97/772590397.db2.gz SVFBSADAOJZAQJ-UHFFFAOYSA-N -1 1 307.304 1.178 20 0 DDADMM CC(C)c1cccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c1 ZINC001146628868 772898530 /nfs/dbraw/zinc/89/85/30/772898530.db2.gz XINHVEGAJYDAEE-UHFFFAOYSA-N -1 1 303.366 1.153 20 0 DDADMM Cc1nocc1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001147000527 772997886 /nfs/dbraw/zinc/99/78/86/772997886.db2.gz KXRHMZRBZTYZOJ-UHFFFAOYSA-N -1 1 300.278 1.327 20 0 DDADMM COc1ccc2nc([N-]C(=O)c3cc(F)ncc3F)nnc2c1 ZINC001146963151 772999097 /nfs/dbraw/zinc/99/90/97/772999097.db2.gz SYDWKOALUCWGDA-UHFFFAOYSA-N -1 1 317.255 1.959 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2nc3c(s2)CCC3)c1[O-] ZINC001147832912 773260275 /nfs/dbraw/zinc/26/02/75/773260275.db2.gz AQMAFNBAXNVLRY-UHFFFAOYSA-N -1 1 305.359 1.785 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2n[nH]c3c2CCCC3)c1[O-] ZINC001147834626 773260690 /nfs/dbraw/zinc/26/06/90/773260690.db2.gz UMPMRPCTEJKGJT-UHFFFAOYSA-N -1 1 302.334 1.442 20 0 DDADMM Cc1nc(Cl)cc(NC(=O)c2c([O-])c(C)ncc2CO)n1 ZINC001147834640 773261918 /nfs/dbraw/zinc/26/19/18/773261918.db2.gz UYQXSGCVXPBRSZ-UHFFFAOYSA-N -1 1 308.725 1.592 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)c1ccc(-n2cccn2)cc1 ZINC001148085305 773357995 /nfs/dbraw/zinc/35/79/95/773357995.db2.gz DGEWLOIQZZGCRP-LLVKDONJSA-N -1 1 311.349 1.195 20 0 DDADMM CCC1(CNC(=O)c2ccc(-n3[n-]c(C)cc3=O)cc2)COC1 ZINC001148336157 773440564 /nfs/dbraw/zinc/44/05/64/773440564.db2.gz NBUUVPUBBCNQDY-UHFFFAOYSA-N -1 1 315.373 1.956 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N[C@H]3CCC[C@H]3CO)cc2)[n-]1 ZINC001148339452 773441458 /nfs/dbraw/zinc/44/14/58/773441458.db2.gz DAQFXWKILNPFPM-ZFWWWQNUSA-N -1 1 315.373 1.690 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N[C@@H]3CCOC[C@H]3C)cc2)[n-]1 ZINC001148339475 773441694 /nfs/dbraw/zinc/44/16/94/773441694.db2.gz DOASUZZINYTOEK-IAQYHMDHSA-N -1 1 315.373 1.954 20 0 DDADMM CN(C(=O)c1cc(S(=O)(=O)[O-])ccc1O)c1ccccc1N ZINC001148926712 773652165 /nfs/dbraw/zinc/65/21/65/773652165.db2.gz SNNJVZSEHRJGQM-UHFFFAOYSA-N -1 1 322.342 1.498 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2OCCN(CC3CC3)[C@@H]2C1 ZINC001074218980 773742631 /nfs/dbraw/zinc/74/26/31/773742631.db2.gz IKXOBIAHWNRMIO-HIFRSBDPSA-N -1 1 317.389 1.113 20 0 DDADMM N=C(Nc1ccc(-c2nn[n-]n2)nc1)c1cccnc1Cl ZINC001171352683 773744082 /nfs/dbraw/zinc/74/40/82/773744082.db2.gz KOWMHNLEACJFMV-UHFFFAOYSA-N -1 1 300.713 1.748 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)CCCF)CCN1C(=O)c1ncccc1[O-] ZINC001075107774 774358049 /nfs/dbraw/zinc/35/80/49/774358049.db2.gz OKWVCEVBTLNLCO-RYUDHWBXSA-N -1 1 323.368 1.646 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H](Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC1 ZINC001172778744 776888488 /nfs/dbraw/zinc/88/84/88/776888488.db2.gz WKUGBTSTWWAIDN-HTQZYQBOSA-N -1 1 321.337 1.250 20 0 DDADMM Cc1ccc(N(CCNC(=O)c2ncccc2[O-])C2CC2)nn1 ZINC001101323573 777069429 /nfs/dbraw/zinc/06/94/29/777069429.db2.gz PHAIEXNUPLIJFY-UHFFFAOYSA-N -1 1 313.361 1.284 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cccnc2C2CC2)cc1N ZINC001212739664 777238947 /nfs/dbraw/zinc/23/89/47/777238947.db2.gz HHQLEVVLXCRVRE-UHFFFAOYSA-N -1 1 304.375 1.924 20 0 DDADMM Cn1ncc2ccc([N-]S(=O)(=O)c3cccc(N)c3)cc21 ZINC001174341122 777460601 /nfs/dbraw/zinc/46/06/01/777460601.db2.gz VGGWRZBVZJZFNH-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2cnc(N)nc2C)c1 ZINC001175670006 777870212 /nfs/dbraw/zinc/87/02/12/777870212.db2.gz QTKOZQJZFYZAMY-UHFFFAOYSA-N -1 1 308.363 1.610 20 0 DDADMM CC(C)(Cc1cccnc1)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001178056904 778841653 /nfs/dbraw/zinc/84/16/53/778841653.db2.gz IMMJCRLTEOINQV-UHFFFAOYSA-N -1 1 303.322 1.072 20 0 DDADMM CCCC[C@@H](CC)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001178171671 778948231 /nfs/dbraw/zinc/94/82/31/778948231.db2.gz SRONQXWTBBAJGF-XQQFMLRXSA-N -1 1 323.441 1.806 20 0 DDADMM CC(C)c1cccc(CC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)n1 ZINC001178444329 779031644 /nfs/dbraw/zinc/03/16/44/779031644.db2.gz NBWHAPTZGSNDFF-UHFFFAOYSA-N -1 1 303.322 1.170 20 0 DDADMM C[C@@H]1CN(C(=O)C=C2CCC2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104061426 779283487 /nfs/dbraw/zinc/28/34/87/779283487.db2.gz CLCKKMKFYWTMBY-DGCLKSJQSA-N -1 1 319.409 1.195 20 0 DDADMM CC(C)(C)C[C@@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692823197 779490068 /nfs/dbraw/zinc/49/00/68/779490068.db2.gz KTTFYAQXISYFQC-QMMMGPOBSA-N -1 1 324.805 1.950 20 0 DDADMM CC(C)CNC(=O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001115997857 780465727 /nfs/dbraw/zinc/46/57/27/780465727.db2.gz GQJDWOBXNZVQKG-UHFFFAOYSA-N -1 1 324.324 1.154 20 0 DDADMM CC/C(C)=C/C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001267838036 839060133 /nfs/dbraw/zinc/06/01/33/839060133.db2.gz RATGCNCSZKYGTI-DHZHZOJOSA-N -1 1 307.398 1.385 20 0 DDADMM CC1(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)CCC1 ZINC001409166319 844452663 /nfs/dbraw/zinc/45/26/63/844452663.db2.gz AQEGISZFVWZRDQ-UHFFFAOYSA-N -1 1 303.362 1.166 20 0 DDADMM CN1c2ccccc2[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC001268845182 840894571 /nfs/dbraw/zinc/89/45/71/840894571.db2.gz GOOSBROYIOHMJF-SFHVURJKSA-N -1 1 323.352 1.548 20 0 DDADMM NC(=O)C[C@@H]1C[C@@H]2CN(C(=O)c3ccc([O-])cc3Cl)C[C@@H]2O1 ZINC001269762665 842019057 /nfs/dbraw/zinc/01/90/57/842019057.db2.gz YNDQXSCDMBLWGC-DVYJOKAKSA-N -1 1 324.764 1.151 20 0 DDADMM CN1CC[C@@]2(CCN(C(=O)c3ccc([O-])cc3Cl)C2)C1=O ZINC001269983015 842203739 /nfs/dbraw/zinc/20/37/39/842203739.db2.gz KKPCZOQPHJRQIV-HNNXBMFYSA-N -1 1 308.765 1.740 20 0 DDADMM CC[C@@H](C)N1CC[C@@]2(CCCN2C(=O)c2cncc([O-])c2)C1=O ZINC001271384682 843542780 /nfs/dbraw/zinc/54/27/80/843542780.db2.gz BBBPEBAIMRDIKI-PXAZEXFGSA-N -1 1 317.389 1.793 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)NC[C@@H]3CNC(=O)O3)cc2c1 ZINC001154761147 861431683 /nfs/dbraw/zinc/43/16/83/861431683.db2.gz YPLWIAFWVRVXGF-GFCCVEGCSA-N -1 1 316.313 1.392 20 0 DDADMM CN1C[C@@]2(CC1=O)CCCCN2C(=O)c1ccc(F)c(=O)[n-]1 ZINC001271742252 843831060 /nfs/dbraw/zinc/83/10/60/843831060.db2.gz KKOORTGRZBTLLL-HNNXBMFYSA-N -1 1 307.325 1.153 20 0 DDADMM C=CC(=O)Nc1cc(NC(=O)CCc2nn[n-]n2)ccc1F ZINC001149116866 861472762 /nfs/dbraw/zinc/47/27/62/861472762.db2.gz YBGIRAPSIPJHGW-UHFFFAOYSA-N -1 1 304.285 1.035 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H](C)C1CC1 ZINC001409261175 844725549 /nfs/dbraw/zinc/72/55/49/844725549.db2.gz FDRGYODNZLPDCH-PWSUYJOCSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCC(C)(C)n2ccnc2)c1 ZINC001149220017 861492461 /nfs/dbraw/zinc/49/24/61/861492461.db2.gz NPURUODRVFCVHH-UHFFFAOYSA-N -1 1 301.346 1.875 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](OCC[P@](=O)([O-])O)C(F)(F)F ZINC001224606271 844923038 /nfs/dbraw/zinc/92/30/38/844923038.db2.gz NNJNINQWBIABCV-RNFRBKRXSA-N -1 1 308.189 1.311 20 0 DDADMM NC(=O)c1nccnc1NC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149645186 861776855 /nfs/dbraw/zinc/77/68/55/861776855.db2.gz LTLMKNORPXXFJA-UHFFFAOYSA-N -1 1 324.296 1.392 20 0 DDADMM O=C(CCn1ccccc1=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001149657764 861788011 /nfs/dbraw/zinc/78/80/11/861788011.db2.gz ONMSOEKKEKTGPU-UHFFFAOYSA-N -1 1 324.340 1.768 20 0 DDADMM Cc1nc(CS(=O)(=O)[N-]Cc2ncc(Cl)s2)no1 ZINC001365456206 890827443 /nfs/dbraw/zinc/82/74/43/890827443.db2.gz PHWBFQMMMMANLN-UHFFFAOYSA-N -1 1 308.772 1.108 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCC[C@@](O)(CF)CC1 ZINC001155261852 861906466 /nfs/dbraw/zinc/90/64/66/861906466.db2.gz ZBCGBDZBQLENSN-HNNXBMFYSA-N -1 1 307.325 1.595 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1n[nH]c2cc(O)ccc21 ZINC001155261980 861907051 /nfs/dbraw/zinc/90/70/51/861907051.db2.gz AAAOXCWHAUKRLK-UHFFFAOYSA-N -1 1 312.211 1.658 20 0 DDADMM O=C(CC1CCCC1)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001410692955 849655068 /nfs/dbraw/zinc/65/50/68/849655068.db2.gz JAYUSQNYHVLMJS-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM CCCCC(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001410977946 850049110 /nfs/dbraw/zinc/04/91/10/850049110.db2.gz LVOQJSWHDNNOFM-INIZCTEOSA-N -1 1 305.378 1.698 20 0 DDADMM O=C(c1cc(=O)[nH][n-]1)N1CCC2(CN(Cc3ccc[nH]3)C2)CC1 ZINC001274428958 852260646 /nfs/dbraw/zinc/26/06/46/852260646.db2.gz SGXGROBKDXVJGD-UHFFFAOYSA-N -1 1 315.377 1.182 20 0 DDADMM COC(=O)CCSCCNC(=O)c1cccc([O-])c1F ZINC001155689288 862378021 /nfs/dbraw/zinc/37/80/21/862378021.db2.gz CZKRQYFZIYSVLC-UHFFFAOYSA-N -1 1 301.339 1.557 20 0 DDADMM CC(C)NC(=O)N1CCC12CN(C(=O)c1cccc([O-])c1F)C2 ZINC001275408580 853081609 /nfs/dbraw/zinc/08/16/09/853081609.db2.gz QDNIZIAYASWWFF-UHFFFAOYSA-N -1 1 321.352 1.550 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCn2cnnc2C1 ZINC001411781472 853725093 /nfs/dbraw/zinc/72/50/93/853725093.db2.gz VFJHNNLZQHATBW-UHFFFAOYSA-N -1 1 312.251 1.659 20 0 DDADMM CNS(=O)(=O)c1cccc(NC(=O)c2ccc([O-])cn2)c1C ZINC001411878191 853882674 /nfs/dbraw/zinc/88/26/74/853882674.db2.gz GAUQEAXCKKKVEV-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H]2[C@@H]2CCCC2=O)c(=O)[n-]1 ZINC001411990689 854082326 /nfs/dbraw/zinc/08/23/26/854082326.db2.gz URVMZJNBZXBWSY-ONGXEEELSA-N -1 1 321.402 1.878 20 0 DDADMM NC(=O)[C@@]1(c2ccccc2)CCN(C(=O)c2cncc([O-])c2)C1 ZINC001412249551 854374933 /nfs/dbraw/zinc/37/49/33/854374933.db2.gz QFKXNZVIPJPMHM-KRWDZBQOSA-N -1 1 311.341 1.056 20 0 DDADMM CSc1ncc(C(=O)NCC(O)(C2CC2)C2CC2)c(=O)[n-]1 ZINC001412345043 854458731 /nfs/dbraw/zinc/45/87/31/854458731.db2.gz UQORUZLYICYOQD-UHFFFAOYSA-N -1 1 309.391 1.185 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1ccc2c(c1)NC(=O)CO2 ZINC001412352823 854465856 /nfs/dbraw/zinc/46/58/56/854465856.db2.gz QGABWSDETSRUSO-UHFFFAOYSA-N -1 1 314.297 1.984 20 0 DDADMM CCC(=O)Nc1cccc(CNC(=O)CCCc2nn[n-]n2)c1 ZINC001412434331 854546547 /nfs/dbraw/zinc/54/65/47/854546547.db2.gz YDQZLEOCHQYDRL-UHFFFAOYSA-N -1 1 316.365 1.187 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC(c2nncn2C2CC2)CC1 ZINC001412525378 854657597 /nfs/dbraw/zinc/65/75/97/854657597.db2.gz AAVIIRIDJUKZGY-UHFFFAOYSA-N -1 1 313.361 1.733 20 0 DDADMM NC(=O)c1[nH]nc2c1CN(C(=O)c1cccc([O-])c1Cl)C2 ZINC001276049700 854674119 /nfs/dbraw/zinc/67/41/19/854674119.db2.gz HHGAKXXFGHPQTO-UHFFFAOYSA-N -1 1 306.709 1.024 20 0 DDADMM COc1ccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)cc1C ZINC001412537047 854677602 /nfs/dbraw/zinc/67/76/02/854677602.db2.gz FORQDLIEGYNCRV-UHFFFAOYSA-N -1 1 303.366 1.815 20 0 DDADMM CCCn1ncc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1C ZINC001412546379 854699352 /nfs/dbraw/zinc/69/93/52/854699352.db2.gz QKKKABAGECZTKE-UHFFFAOYSA-N -1 1 305.386 1.413 20 0 DDADMM CSc1nc(NC(=O)c2nnc3ccc(C)cn32)cc(=O)[n-]1 ZINC001156028205 862648301 /nfs/dbraw/zinc/64/83/01/862648301.db2.gz RIGYDQLGGDARIZ-UHFFFAOYSA-N -1 1 316.346 1.508 20 0 DDADMM O=C(N[C@@H](CO)C[C@H](O)c1ccccc1)c1ccc([O-])cc1F ZINC001412771479 855244910 /nfs/dbraw/zinc/24/49/10/855244910.db2.gz FPALZVWHIXLNRE-WBMJQRKESA-N -1 1 319.332 1.746 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC(C)(C)CC(F)(F)F ZINC001412837717 855567719 /nfs/dbraw/zinc/56/77/19/855567719.db2.gz BMHZAUJAPKQPQS-UHFFFAOYSA-N -1 1 309.244 1.357 20 0 DDADMM Cc1cnc(NCC[N-]C(=O)C(F)(F)F)nc1N1CCCC1 ZINC001156196645 862801564 /nfs/dbraw/zinc/80/15/64/862801564.db2.gz BGCSKNKWWCATSO-UHFFFAOYSA-N -1 1 317.315 1.476 20 0 DDADMM CN1c2ccccc2C[C@@H](NC(=O)c2cccc([O-])c2F)C1=O ZINC001413268013 856604274 /nfs/dbraw/zinc/60/42/74/856604274.db2.gz SHGLMIJDZJBBSR-GFCCVEGCSA-N -1 1 314.316 1.849 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@@H]2c2ccccc2)[n-]n1 ZINC001413345465 856694369 /nfs/dbraw/zinc/69/43/69/856694369.db2.gz BZKHBEGTTJPDSR-GHMZBOCLSA-N -1 1 321.358 1.031 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@@H]2c2ccccc2)n[n-]1 ZINC001413345465 856694371 /nfs/dbraw/zinc/69/43/71/856694371.db2.gz BZKHBEGTTJPDSR-GHMZBOCLSA-N -1 1 321.358 1.031 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)NC2CCCCC2)n[n-]1 ZINC001413403908 856769995 /nfs/dbraw/zinc/76/99/95/856769995.db2.gz JTMYLEXABWGSPH-SECBINFHSA-N -1 1 309.370 1.674 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)NC2CCCCC2)[n-]1 ZINC001413403908 856770004 /nfs/dbraw/zinc/77/00/04/856770004.db2.gz JTMYLEXABWGSPH-SECBINFHSA-N -1 1 309.370 1.674 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)NC2CCCCC2)n1 ZINC001413403908 856770011 /nfs/dbraw/zinc/77/00/11/856770011.db2.gz JTMYLEXABWGSPH-SECBINFHSA-N -1 1 309.370 1.674 20 0 DDADMM CNC(=O)CN(Cc1ccccc1)C(=O)c1cccc([O-])c1F ZINC001413429645 856800075 /nfs/dbraw/zinc/80/00/75/856800075.db2.gz NCXSIZHYHYBXHG-UHFFFAOYSA-N -1 1 316.332 1.920 20 0 DDADMM CCCc1cc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)no1 ZINC001413620349 857246087 /nfs/dbraw/zinc/24/60/87/857246087.db2.gz FOLFGEJWTKHHKK-UHFFFAOYSA-N -1 1 320.349 1.796 20 0 DDADMM COc1cncc(-c2noc(-c3nnc(Br)[n-]3)n2)c1 ZINC001213001840 862907076 /nfs/dbraw/zinc/90/70/76/862907076.db2.gz AIHRDMLLMOQGGH-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM COc1cncc(-c2noc(-c3n[n-]c(Br)n3)n2)c1 ZINC001213001840 862907084 /nfs/dbraw/zinc/90/70/84/862907084.db2.gz AIHRDMLLMOQGGH-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM CC[C@H](F)CN1CCC2(CN(C(=O)c3n[nH]c(C)c3[O-])C2)C1 ZINC001072625412 857523560 /nfs/dbraw/zinc/52/35/60/857523560.db2.gz KALOIMASVZNZNT-NSHDSACASA-N -1 1 310.373 1.320 20 0 DDADMM O=C(CCc1cccnc1Cl)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123961127 859496856 /nfs/dbraw/zinc/49/68/56/859496856.db2.gz HMLXTTRQBMLARU-SNVBAGLBSA-N -1 1 306.757 1.197 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(Cc2ccoc2)C1 ZINC001124070728 859560685 /nfs/dbraw/zinc/56/06/85/859560685.db2.gz PTYMMJFAVUCELB-UHFFFAOYSA-N -1 1 305.359 1.687 20 0 DDADMM Cc1ccc(N2CCC[C@@H](OCC[P@](=O)([O-])O)C2)nc1 ZINC001224601773 881463928 /nfs/dbraw/zinc/46/39/28/881463928.db2.gz KSKKUIZUEBOAQY-GFCCVEGCSA-N -1 1 300.295 1.553 20 0 DDADMM COC(=O)[C@@H](Cc1ccc(F)cc1)OCC[P@](=O)([O-])O ZINC001224605076 881465951 /nfs/dbraw/zinc/46/59/51/881465951.db2.gz MXCLNYDISXCYHH-LLVKDONJSA-N -1 1 306.226 1.104 20 0 DDADMM CCOC(=O)c1cn2c(n1)CN(Cc1ncccc1[O-])CC2 ZINC001138394952 860091711 /nfs/dbraw/zinc/09/17/11/860091711.db2.gz PMYYEDQRQGMTEA-UHFFFAOYSA-N -1 1 302.334 1.176 20 0 DDADMM CCN1CCN(Cc2cccc(OC)c2OCC(=O)[O-])CC1 ZINC001139266292 860340896 /nfs/dbraw/zinc/34/08/96/860340896.db2.gz KNFPJXYYVNOTSV-UHFFFAOYSA-N -1 1 308.378 1.296 20 0 DDADMM COCC(=O)NC1CCN(Cc2cc(F)c([O-])c(F)c2)CC1 ZINC001140990931 860753972 /nfs/dbraw/zinc/75/39/72/860753972.db2.gz DIYNRBZBLDPVPG-UHFFFAOYSA-N -1 1 314.332 1.397 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3[nH][nH]c(=O)c3c2)n1 ZINC001154204446 860867723 /nfs/dbraw/zinc/86/77/23/860867723.db2.gz GHKPPFDNSUCEFZ-UHFFFAOYSA-N -1 1 315.289 1.421 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2nnc3ccc(C)cn32)c1 ZINC001142557739 861176676 /nfs/dbraw/zinc/17/66/76/861176676.db2.gz KVLFOCVZNICMTH-UHFFFAOYSA-N -1 1 324.340 1.794 20 0 DDADMM Cc1n[nH]c(C(=O)NCCCNC/C(Cl)=C/Cl)c1[O-] ZINC001156627012 863206771 /nfs/dbraw/zinc/20/67/71/863206771.db2.gz RFZNHKJHLXYZMO-YVMONPNESA-N -1 1 307.181 1.452 20 0 DDADMM O=C(Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-])C(F)F ZINC001152204626 863214843 /nfs/dbraw/zinc/21/48/43/863214843.db2.gz CMARBIVSNMQSIG-UHFFFAOYSA-N -1 1 306.290 1.037 20 0 DDADMM CCC(CC)(NC(=O)Cc1c[nH]c2ncccc12)c1nn[n-]n1 ZINC001156920090 863446654 /nfs/dbraw/zinc/44/66/54/863446654.db2.gz GLTQSVLMGXXYQC-UHFFFAOYSA-N -1 1 313.365 1.450 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CCc1ccsc1 ZINC001381395568 881662107 /nfs/dbraw/zinc/66/21/07/881662107.db2.gz SFBWEIIJXQETNC-ZJUUUORDSA-N -1 1 323.422 1.187 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCc2n[nH]cc2C1 ZINC001152670820 863482916 /nfs/dbraw/zinc/48/29/16/863482916.db2.gz GGINUMZANUEDIC-UHFFFAOYSA-N -1 1 310.313 1.568 20 0 DDADMM CCN(Cc1csnn1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152975376 863629884 /nfs/dbraw/zinc/62/98/84/863629884.db2.gz QRTYJNQTYKIQCZ-SNVBAGLBSA-N -1 1 321.406 1.279 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc2ccncc2cn1 ZINC001153080058 863698267 /nfs/dbraw/zinc/69/82/67/863698267.db2.gz NDTVZMXYMLGBIR-UHFFFAOYSA-N -1 1 307.273 1.254 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cnc2ccc(C(F)(F)F)cc2c1 ZINC001153830527 864139683 /nfs/dbraw/zinc/13/96/83/864139683.db2.gz UXLZJBWEQDDFAJ-UHFFFAOYSA-N -1 1 322.250 1.697 20 0 DDADMM O=C(Nc1n[nH]c2c1CCCC2)c1cnc2cccnc2c1[O-] ZINC001153851486 864158387 /nfs/dbraw/zinc/15/83/87/864158387.db2.gz OPZZIHJPYJYTPE-UHFFFAOYSA-N -1 1 309.329 1.777 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2c[n-]c3cccnc3c2=O)CCO1 ZINC001153861399 864170588 /nfs/dbraw/zinc/17/05/88/864170588.db2.gz AXIBOMCLPBXMER-JTQLQIEISA-N -1 1 301.346 1.611 20 0 DDADMM O=C(NCc1ccc(O)cc1F)c1c[n-]c2cccnc2c1=O ZINC001153861842 864171086 /nfs/dbraw/zinc/17/10/86/864171086.db2.gz KZYKVHGSGIUWEQ-UHFFFAOYSA-N -1 1 313.288 1.698 20 0 DDADMM O=C(NCc1ccc2ocnc2c1)c1c[n-]c2cccnc2c1=O ZINC001153861457 864171332 /nfs/dbraw/zinc/17/13/32/864171332.db2.gz DIRVEFFQEVBVAZ-UHFFFAOYSA-N -1 1 320.308 1.994 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ncc4c(F)cccn43)ccnc1-2 ZINC001361527938 881833702 /nfs/dbraw/zinc/83/37/02/881833702.db2.gz KHHOHISRCOEDDP-UHFFFAOYSA-N -1 1 310.292 1.381 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nnc(Cl)c3cccnc32)[n-]1 ZINC001159198816 865161691 /nfs/dbraw/zinc/16/16/91/865161691.db2.gz YZRQXMKCBDIPQW-UHFFFAOYSA-N -1 1 314.696 1.794 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ncc3ncnc(Cl)c3n2)[n-]1 ZINC001159198540 865161901 /nfs/dbraw/zinc/16/19/01/865161901.db2.gz QBCCDWBLBOTKKF-UHFFFAOYSA-N -1 1 315.684 1.189 20 0 DDADMM CS[C@H]1CC[C@H](N(C)C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001362185753 883242539 /nfs/dbraw/zinc/24/25/39/883242539.db2.gz CZYKFGBOFQCJND-QWRGUYRKSA-N -1 1 323.418 1.260 20 0 DDADMM Cc1nc(Cl)cc(C(F)(F)[N-]c2nccnc2CN)n1 ZINC001160246655 865744909 /nfs/dbraw/zinc/74/49/09/865744909.db2.gz YLMCTPGJYDYVSK-UHFFFAOYSA-N -1 1 300.700 1.849 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC001332742524 866111195 /nfs/dbraw/zinc/11/11/95/866111195.db2.gz GZPBWBAFFFTHHF-ZDUSSCGKSA-N -1 1 312.410 1.058 20 0 DDADMM Cn1ncc(C(=O)Nc2cc([O-])c(F)cc2F)c1-n1cnnc1 ZINC001160917894 866185653 /nfs/dbraw/zinc/18/56/53/866185653.db2.gz VQKHCPXRALFIEQ-UHFFFAOYSA-N -1 1 320.259 1.237 20 0 DDADMM O=C(COC(=O)c1csc2cncn21)c1ccc([O-])cc1O ZINC001319864068 866352510 /nfs/dbraw/zinc/35/25/10/866352510.db2.gz OMEQFVAUXLHDDV-UHFFFAOYSA-N -1 1 318.310 1.847 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)Cc2cn(C)nc2C)[n-]1 ZINC001361623215 882029840 /nfs/dbraw/zinc/02/98/40/882029840.db2.gz FZROUHLKVGRFIZ-UHFFFAOYSA-N -1 1 318.333 1.227 20 0 DDADMM CCC(C)(C)C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001381528518 882080656 /nfs/dbraw/zinc/08/06/56/882080656.db2.gz GIPAZNHYODCCHI-HAQNSBGRSA-N -1 1 319.405 1.848 20 0 DDADMM COc1ccc2c(CC(=O)N(C)c3nn[n-]n3)coc2c1C ZINC001334274539 867444705 /nfs/dbraw/zinc/44/47/05/867444705.db2.gz UZOYKVPRDVUGJG-UHFFFAOYSA-N -1 1 301.306 1.468 20 0 DDADMM CC(C)c1nn(C)c2sc(C(=O)N(C)c3nn[n-]n3)cc12 ZINC001334274517 867444880 /nfs/dbraw/zinc/44/48/80/867444880.db2.gz UIQIKTJLAHGFCX-UHFFFAOYSA-N -1 1 305.367 1.548 20 0 DDADMM CN1CCOc2cc(Nc3c(N)[nH]c(=S)[n-]c3=O)ccc21 ZINC001213040979 867564740 /nfs/dbraw/zinc/56/47/40/867564740.db2.gz DDYCZWOYZQCLBU-UHFFFAOYSA-N -1 1 305.363 1.625 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1CCCC(=O)C1(C)C ZINC001225899878 882101086 /nfs/dbraw/zinc/10/10/86/882101086.db2.gz SYGJNFWPCPORGZ-NSHDSACASA-N -1 1 308.334 1.886 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NCCC[C@H]1CCCCO1 ZINC001334981087 867966287 /nfs/dbraw/zinc/96/62/87/867966287.db2.gz PVIHVVITQNBFKX-UONOGXRCSA-N -1 1 312.410 1.249 20 0 DDADMM CCOc1ccc(OCCCC(=O)N(C)c2nn[n-]n2)cc1 ZINC001338075337 869786802 /nfs/dbraw/zinc/78/68/02/869786802.db2.gz CZUUYKUZRQEQCF-UHFFFAOYSA-N -1 1 305.338 1.420 20 0 DDADMM O=C(NCCc1nnc2n1CCCCC2)c1ccc([O-])cn1 ZINC001361770257 882337740 /nfs/dbraw/zinc/33/77/40/882337740.db2.gz HVWPLTRZOMLNKQ-UHFFFAOYSA-N -1 1 301.350 1.078 20 0 DDADMM C[C@]1(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)CC=CCC1 ZINC001317020516 870127576 /nfs/dbraw/zinc/12/75/76/870127576.db2.gz TUQAOHYXDQYXQV-WBMJQRKESA-N -1 1 319.409 1.241 20 0 DDADMM O=C(Nc1[nH]nc2cc(O)ccc21)c1cnc(C2CC2)[n-]c1=O ZINC001298318545 870466033 /nfs/dbraw/zinc/46/60/33/870466033.db2.gz UZIKHNSKCVXOOF-UHFFFAOYSA-N -1 1 311.301 1.894 20 0 DDADMM C[C@H]1[C@@H](c2ccccc2)CCN1c1nnc(Cc2nnn[n-]2)n1C ZINC001339603999 870577784 /nfs/dbraw/zinc/57/77/84/870577784.db2.gz QHEFDGBRANTJQA-AAEUAGOBSA-N -1 1 324.392 1.301 20 0 DDADMM C[C@H]1[C@@H](c2ccccc2)CCN1c1nnc(Cc2nn[n-]n2)n1C ZINC001339603999 870577800 /nfs/dbraw/zinc/57/78/00/870577800.db2.gz QHEFDGBRANTJQA-AAEUAGOBSA-N -1 1 324.392 1.301 20 0 DDADMM CC(C)=CC(=O)N[C@@H]1CC[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001339641911 870598364 /nfs/dbraw/zinc/59/83/64/870598364.db2.gz MAVTXXZXNDTZLL-QWHCGFSZSA-N -1 1 317.389 1.863 20 0 DDADMM Cc1cc(C)n2nc(C(=O)Nc3cc([O-])c(F)cc3F)nc2n1 ZINC001298973755 870789767 /nfs/dbraw/zinc/78/97/67/870789767.db2.gz ZDAIQIHISPUQKB-UHFFFAOYSA-N -1 1 319.271 1.977 20 0 DDADMM COc1cccc2[nH]c(C(=O)NC3(c4nn[n-]n4)CCC3)cc21 ZINC001303221461 871111251 /nfs/dbraw/zinc/11/12/51/871111251.db2.gz INPNJGDLUFSNAR-UHFFFAOYSA-N -1 1 312.333 1.499 20 0 DDADMM CCC(CC)CCCN(C)C(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC001342164979 872045655 /nfs/dbraw/zinc/04/56/55/872045655.db2.gz PXOVPRAYZLIFGE-HNNXBMFYSA-N -1 1 300.399 1.104 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2ccccc2N)c1 ZINC001206375686 872262304 /nfs/dbraw/zinc/26/23/04/872262304.db2.gz QLQACBBIPUKNLY-UHFFFAOYSA-N -1 1 307.331 1.984 20 0 DDADMM CC(C)(C)c1ccc2[nH]c(C(=O)NN3CC(=O)[N-]C3=O)cc2c1 ZINC001342797774 872389387 /nfs/dbraw/zinc/38/93/87/872389387.db2.gz ZHVFSDMUPMUNGL-UHFFFAOYSA-N -1 1 314.345 1.662 20 0 DDADMM COC1=CC[C@H](Oc2cc(=O)[n-]c(N3CCOCC3)n2)CC1 ZINC001226729379 882616018 /nfs/dbraw/zinc/61/60/18/882616018.db2.gz WXUJBYXWWZPGNF-LBPRGKRZSA-N -1 1 307.350 1.480 20 0 DDADMM CCN(c1nnc(-c2nnn[n-]2)n1Cc1cccs1)C(C)C ZINC001348379737 874521535 /nfs/dbraw/zinc/52/15/35/874521535.db2.gz DERCKJHLZFTTBW-UHFFFAOYSA-N -1 1 318.410 1.803 20 0 DDADMM CCN(c1nnc(-c2nn[n-]n2)n1Cc1cccs1)C(C)C ZINC001348379737 874521541 /nfs/dbraw/zinc/52/15/41/874521541.db2.gz DERCKJHLZFTTBW-UHFFFAOYSA-N -1 1 318.410 1.803 20 0 DDADMM C[C@@H]1C[C@H]1N1C[C@@H](NC(=O)c2cc(Cl)ccc2[O-])CC1=O ZINC001362031984 882873664 /nfs/dbraw/zinc/87/36/64/882873664.db2.gz VBNAKXQHIQSVJP-UBHAPETDSA-N -1 1 308.765 1.785 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@]1(C)CCCC[C@H]1O ZINC001349176888 875013685 /nfs/dbraw/zinc/01/36/85/875013685.db2.gz TUZIZVCJCCDCNP-YMTOWFKASA-N -1 1 311.407 1.450 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2ccc([O-])cn2)ccc1OC ZINC001362036421 882886811 /nfs/dbraw/zinc/88/68/11/882886811.db2.gz BDIPPCRJTZINJL-UHFFFAOYSA-N -1 1 316.313 1.512 20 0 DDADMM CCn1cc(CC(=O)N2CC[C@H](c3nn[n-]n3)C2)c2ccccc21 ZINC001350234891 875590848 /nfs/dbraw/zinc/59/08/48/875590848.db2.gz PLYZQDCFVRZLIW-LBPRGKRZSA-N -1 1 324.388 1.733 20 0 DDADMM Cc1ccccc1[C@@H]1C[C@@H](C)N(c2nnc(-c3nnn[n-]3)n2C)C1 ZINC001350430062 875684371 /nfs/dbraw/zinc/68/43/71/875684371.db2.gz CAHDMYIRDONMKU-VXGBXAGGSA-N -1 1 324.392 1.686 20 0 DDADMM Cc1ccccc1[C@@H]1C[C@@H](C)N(c2nnc(-c3nn[n-]n3)n2C)C1 ZINC001350430062 875684374 /nfs/dbraw/zinc/68/43/74/875684374.db2.gz CAHDMYIRDONMKU-VXGBXAGGSA-N -1 1 324.392 1.686 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCc2sc(Cl)cc2C1 ZINC001350431298 875686089 /nfs/dbraw/zinc/68/60/89/875686089.db2.gz QYLMSWACHLUZTN-UHFFFAOYSA-N -1 1 322.785 1.273 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCc2sc(Cl)cc2C1 ZINC001350431298 875686092 /nfs/dbraw/zinc/68/60/92/875686092.db2.gz QYLMSWACHLUZTN-UHFFFAOYSA-N -1 1 322.785 1.273 20 0 DDADMM CC(C)[C@@H](CNC(=O)C1=CCCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001378958989 876000882 /nfs/dbraw/zinc/00/08/82/876000882.db2.gz GMJBAXZQJIRVSF-CYBMUJFWSA-N -1 1 321.425 1.631 20 0 DDADMM Cc1occc1C(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001378987862 876083268 /nfs/dbraw/zinc/08/32/68/876083268.db2.gz XIAIEESSGCRJOU-GFCCVEGCSA-N -1 1 319.365 1.052 20 0 DDADMM O=C(NCCNC(=O)c1ccncc1[O-])c1ccc(F)cc1 ZINC000177316585 876083446 /nfs/dbraw/zinc/08/34/46/876083446.db2.gz JCJVCOKEGXKZHS-UHFFFAOYSA-N -1 1 303.293 1.086 20 0 DDADMM CC1(C)CC[C@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001379044174 876215922 /nfs/dbraw/zinc/21/59/22/876215922.db2.gz TWPYRRXUEHOVJK-NSHDSACASA-N -1 1 317.389 1.602 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C12CCC2 ZINC001352281513 876675850 /nfs/dbraw/zinc/67/58/50/876675850.db2.gz MXNXOICKTDWHOA-IUCAKERBSA-N -1 1 309.391 1.467 20 0 DDADMM CCC(C)(C)CC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001227527565 883059741 /nfs/dbraw/zinc/05/97/41/883059741.db2.gz NMUAMAGIJFLKJV-UHFFFAOYSA-N -1 1 323.441 1.015 20 0 DDADMM COc1ncc(Nc2cc(N)ccc2O)cc1[N-]S(C)(=O)=O ZINC001216156310 876880972 /nfs/dbraw/zinc/88/09/72/876880972.db2.gz MBLGDLHXQHOHLT-UHFFFAOYSA-N -1 1 324.362 1.493 20 0 DDADMM CCCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)c2cnncc2[O-])C1 ZINC001353541430 877407379 /nfs/dbraw/zinc/40/73/79/877407379.db2.gz PJOXQKQKZLMQRM-VXGBXAGGSA-N -1 1 320.393 1.339 20 0 DDADMM CCN(c1nnc(-c2nnn[n-]2)n1Cc1ccccc1)C(C)C ZINC001354356724 877915053 /nfs/dbraw/zinc/91/50/53/877915053.db2.gz GAPNWBQMXZAPRT-UHFFFAOYSA-N -1 1 312.381 1.741 20 0 DDADMM CCN(c1nnc(-c2nn[n-]n2)n1Cc1ccccc1)C(C)C ZINC001354356724 877915064 /nfs/dbraw/zinc/91/50/64/877915064.db2.gz GAPNWBQMXZAPRT-UHFFFAOYSA-N -1 1 312.381 1.741 20 0 DDADMM C[C@@H]1CN(c2nnc(-c3nnn[n-]3)n2C)CC[C@H]1c1ccccc1 ZINC001355332500 878506074 /nfs/dbraw/zinc/50/60/74/878506074.db2.gz COJJMCCDTXLJJO-DGCLKSJQSA-N -1 1 324.392 1.625 20 0 DDADMM C[C@@H]1CN(c2nnc(-c3nn[n-]n3)n2C)CC[C@H]1c1ccccc1 ZINC001355332500 878506083 /nfs/dbraw/zinc/50/60/83/878506083.db2.gz COJJMCCDTXLJJO-DGCLKSJQSA-N -1 1 324.392 1.625 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cncc(F)c2)ccc1F ZINC000314182980 878619309 /nfs/dbraw/zinc/61/93/09/878619309.db2.gz JSRZDDKQAINMLE-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM C[C@@H](c1cccs1)N(C)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001355763415 878706704 /nfs/dbraw/zinc/70/67/04/878706704.db2.gz BZGUNBGGWUQVAG-ZETCQYMHSA-N -1 1 306.351 1.417 20 0 DDADMM CC(C)c1ccoc1C(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001374276146 912405401 /nfs/dbraw/zinc/40/54/01/912405401.db2.gz LPYFGDCODQNHBY-JTQLQIEISA-N -1 1 321.381 1.477 20 0 DDADMM C[C@H](CNC(=O)C1CC1)N(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001380586977 879992332 /nfs/dbraw/zinc/99/23/32/879992332.db2.gz HQEAQFDHWFMHBT-SECBINFHSA-N -1 1 318.377 1.046 20 0 DDADMM CC(C)OCCC(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001380628194 880078267 /nfs/dbraw/zinc/07/82/67/880078267.db2.gz FYKOCJQPKWAMOS-GFCCVEGCSA-N -1 1 323.393 1.179 20 0 DDADMM CCOC(=O)[C@H]1C[C@H](OCc2nn[n-]n2)c2ccccc2O1 ZINC001223027792 880791146 /nfs/dbraw/zinc/79/11/46/880791146.db2.gz SSVALWSYGVVHTN-NWDGAFQWSA-N -1 1 304.306 1.172 20 0 DDADMM CSc1ncc(C(=O)N(C)[C@@H](C)C(=O)OC(C)C)c(=O)[n-]1 ZINC001362134856 883124052 /nfs/dbraw/zinc/12/40/52/883124052.db2.gz YTJXJXFTYBREBX-QMMMGPOBSA-N -1 1 313.379 1.316 20 0 DDADMM CS(=O)(=O)CCN(C(=O)c1cccc([O-])c1F)C1CC1 ZINC001362169815 883200860 /nfs/dbraw/zinc/20/08/60/883200860.db2.gz XPQJHWPXTWYIJI-UHFFFAOYSA-N -1 1 301.339 1.181 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2ncc(C)cc2[O-])cn1 ZINC001362186891 883245322 /nfs/dbraw/zinc/24/53/22/883245322.db2.gz VOPIRNUBRHGEIV-UHFFFAOYSA-N -1 1 301.302 1.207 20 0 DDADMM C[C@H](CN(C)C(=O)CC1(C)CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001382074793 883356616 /nfs/dbraw/zinc/35/66/16/883356616.db2.gz WNCNGCBHUKVSBR-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM C[C@H](CC(=O)c1ccccc1)NC(=O)CCCc1nn[n-]n1 ZINC001362229424 883356855 /nfs/dbraw/zinc/35/68/55/883356855.db2.gz BONCBUWZZFKARR-LLVKDONJSA-N -1 1 301.350 1.300 20 0 DDADMM O=C(NC[C@H](O)COc1ccccc1)c1ccc(F)c([O-])c1 ZINC001362250927 883410022 /nfs/dbraw/zinc/41/00/22/883410022.db2.gz KFWBBLXFIPOEIG-LBPRGKRZSA-N -1 1 305.305 1.701 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC(Cn2ccnn2)CC1 ZINC001362315909 883547353 /nfs/dbraw/zinc/54/73/53/883547353.db2.gz PKFCTSUUGSRDLS-UHFFFAOYSA-N -1 1 304.325 1.675 20 0 DDADMM S=c1nncc(O[C@@H]2CCN(c3ccnc(Cl)n3)C2)[n-]1 ZINC001228636972 883583656 /nfs/dbraw/zinc/58/36/56/883583656.db2.gz SFVWLIWQMMCATE-SSDOTTSWSA-N -1 1 310.770 1.261 20 0 DDADMM CNC(=O)[C@H](Cc1ccccc1)NC(=O)c1cc(F)ccc1[O-] ZINC001362337566 883596070 /nfs/dbraw/zinc/59/60/70/883596070.db2.gz IJBZTJIIEGEIHR-AWEZNQCLSA-N -1 1 316.332 1.618 20 0 DDADMM Cn1c(=O)[nH]cc1C(=O)Nc1ccc(Br)c([O-])c1 ZINC001362360076 883643542 /nfs/dbraw/zinc/64/35/42/883643542.db2.gz VEEOBJBBBGALOO-UHFFFAOYSA-N -1 1 312.123 1.846 20 0 DDADMM O=C(NC[C@@H]1COC2(CCOCC2)O1)c1ccc(F)c([O-])c1 ZINC001362392972 883706163 /nfs/dbraw/zinc/70/61/63/883706163.db2.gz KJJHYTODKNCDGJ-LLVKDONJSA-N -1 1 311.309 1.183 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](CCCO)c1ccccc1)c1nn[n-]n1 ZINC001362393257 883708151 /nfs/dbraw/zinc/70/81/51/883708151.db2.gz NYZQQWFKWVIXMR-ZIAGYGMSSA-N -1 1 317.393 1.713 20 0 DDADMM NC(=O)C[C@H](NC(=O)c1cncc([O-])c1)c1ccccc1Cl ZINC001362402620 883730425 /nfs/dbraw/zinc/73/04/25/883730425.db2.gz RRFXEIBMUCIRJS-ZDUSSCGKSA-N -1 1 319.748 1.787 20 0 DDADMM O=c1ccc2c(OC3CCS(=O)(=O)CC3)cc([O-])cc2o1 ZINC001229130163 883836784 /nfs/dbraw/zinc/83/67/84/883836784.db2.gz WAVPCQRDBMGOBP-UHFFFAOYSA-N -1 1 310.327 1.455 20 0 DDADMM CC(C)CCCC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001229330780 883925066 /nfs/dbraw/zinc/92/50/66/883925066.db2.gz VCYBHCCZWUOCBK-UHFFFAOYSA-N -1 1 323.441 1.015 20 0 DDADMM CCC(CC)(NC(=O)c1ccnn1CC(C)C)c1nn[n-]n1 ZINC001362493445 883932780 /nfs/dbraw/zinc/93/27/80/883932780.db2.gz BXMRLTKVHHYMAQ-UHFFFAOYSA-N -1 1 305.386 1.498 20 0 DDADMM O=C1[N-]C(=O)[C@H](Cc2ccc(OC[C@H]3COCO3)cc2)S1 ZINC001229623498 884083375 /nfs/dbraw/zinc/08/33/75/884083375.db2.gz JNWIFGMFUNNWLC-NEPJUHHUSA-N -1 1 309.343 1.332 20 0 DDADMM Cc1coc(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C(C)(C)C)c1 ZINC001382521374 884175987 /nfs/dbraw/zinc/17/59/87/884175987.db2.gz LYUWEMQQPVYLET-NSHDSACASA-N -1 1 321.381 1.346 20 0 DDADMM C[C@](CO)(NC(=O)c1ccc(F)c([O-])c1)c1ccc(O)cc1 ZINC001362606711 884206366 /nfs/dbraw/zinc/20/63/66/884206366.db2.gz RFXDZYYNSVSUMP-MRXNPFEDSA-N -1 1 305.305 1.874 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(CO)c(F)c1)c1nn[n-]n1 ZINC001362623747 884252744 /nfs/dbraw/zinc/25/27/44/884252744.db2.gz HNHIIGYKWOVQIJ-UHFFFAOYSA-N -1 1 307.329 1.276 20 0 DDADMM C[C@H](c1ccccn1)N1CC[C@@H](Oc2nc(Cl)[n-]c(=O)n2)C1 ZINC001230241153 884386885 /nfs/dbraw/zinc/38/68/85/884386885.db2.gz WEFFYGIWWNMUTE-NXEZZACHSA-N -1 1 321.768 1.840 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](O)c2ccc(C)cc2)c(=O)[n-]1 ZINC001362756938 884564547 /nfs/dbraw/zinc/56/45/47/884564547.db2.gz ORNQBVPWOVVSLX-GFCCVEGCSA-N -1 1 319.386 1.676 20 0 DDADMM CCCC(=O)N[C@@]12CCC[C@@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001382786835 884689641 /nfs/dbraw/zinc/68/96/41/884689641.db2.gz NOCZDQRWGBFJSC-SUMWQHHRSA-N -1 1 317.389 1.841 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1noc2c1CCCC2 ZINC001362833566 884751152 /nfs/dbraw/zinc/75/11/52/884751152.db2.gz VRUJZIDHCTUKCB-UHFFFAOYSA-N -1 1 304.354 1.365 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1cccnc1 ZINC001382956533 885031464 /nfs/dbraw/zinc/03/14/64/885031464.db2.gz OCCUGTYQSRCWLY-NSHDSACASA-N -1 1 314.345 1.073 20 0 DDADMM CCO[C@H](CC)C(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001382956800 885033395 /nfs/dbraw/zinc/03/33/95/885033395.db2.gz ORQLKLUBOIQONF-DGCLKSJQSA-N -1 1 323.393 1.179 20 0 DDADMM Cn1cc([C@@H]2C[C@H](NC(=O)c3ccc(F)c([O-])c3)CCO2)cn1 ZINC001362971402 885116485 /nfs/dbraw/zinc/11/64/85/885116485.db2.gz ANXRGYWNOJBZST-DOMZBBRYSA-N -1 1 319.336 1.915 20 0 DDADMM COC(=O)C(C)(C)N1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001362977007 885128813 /nfs/dbraw/zinc/12/88/13/885128813.db2.gz KYZQWTPZFWFDMD-UHFFFAOYSA-N -1 1 324.352 1.241 20 0 DDADMM O=C(N[C@@H]1CCCC(F)(F)[C@H]1O)c1c(F)ccc([O-])c1F ZINC001363034036 885281050 /nfs/dbraw/zinc/28/10/50/885281050.db2.gz KUIVPECFUUGCJN-HQJQHLMTSA-N -1 1 307.243 1.949 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C1(Cc2ccc(O)cc2)CC1 ZINC001363035366 885284142 /nfs/dbraw/zinc/28/41/42/885284142.db2.gz CLWPTIAFADMRFF-UHFFFAOYSA-N -1 1 315.329 1.233 20 0 DDADMM O=c1[n-]c(O[C@@H]2C[C@@H]3CC(=O)C[C@H]2N3Cc2ccccc2)n[nH]1 ZINC001231303771 885487548 /nfs/dbraw/zinc/48/75/48/885487548.db2.gz WYDRBOGNQCHTFH-IACUBPJLSA-N -1 1 314.345 1.264 20 0 DDADMM CC(C)C(=O)N(C)Cc1ccc(C(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001363130045 885542040 /nfs/dbraw/zinc/54/20/40/885542040.db2.gz UWFKFUKEDVDXGU-UHFFFAOYSA-N -1 1 316.361 1.982 20 0 DDADMM CCC(CC)(NC(=O)C(F)(F)C1CCOCC1)c1nn[n-]n1 ZINC001363134762 885551694 /nfs/dbraw/zinc/55/16/94/885551694.db2.gz FCMZBYWUSAMTCY-UHFFFAOYSA-N -1 1 317.340 1.393 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(C(C)=O)cc1)c1nn[n-]n1 ZINC001363137718 885555696 /nfs/dbraw/zinc/55/56/96/885555696.db2.gz SJTHURDGWOWXPR-UHFFFAOYSA-N -1 1 301.350 1.848 20 0 DDADMM CSc1nc(CNC(=O)CCc2cc(C)n[nH]2)cc(=O)[n-]1 ZINC001363143233 885572033 /nfs/dbraw/zinc/57/20/33/885572033.db2.gz SBSOJHNVOFMVQK-UHFFFAOYSA-N -1 1 307.379 1.185 20 0 DDADMM O=C([O-])c1ccc(CN2CCc3[nH]c(=O)[nH]c(=O)c3C2)s1 ZINC001231464777 885684753 /nfs/dbraw/zinc/68/47/53/885684753.db2.gz RNOJIPOOQSJZRO-UHFFFAOYSA-N -1 1 307.331 1.206 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc(OC2CCC2)cc1 ZINC001363277140 885889300 /nfs/dbraw/zinc/88/93/00/885889300.db2.gz LJUMSAZLXSRNGV-UHFFFAOYSA-N -1 1 313.361 1.940 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1c2c[nH]nc2CC[C@@H]1C ZINC001363277977 885892266 /nfs/dbraw/zinc/89/22/66/885892266.db2.gz WXDUEYAOFWYLDP-IINYFYTJSA-N -1 1 317.397 1.274 20 0 DDADMM Cc1c[nH]c(CC(N)=O)c1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001363312834 885989296 /nfs/dbraw/zinc/98/92/96/885989296.db2.gz OBJSPWLHQNJPKR-UHFFFAOYSA-N -1 1 321.764 1.640 20 0 DDADMM CC/C=C(\C)C(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001277622368 886134518 /nfs/dbraw/zinc/13/45/18/886134518.db2.gz NTDMHOUNADMRKT-SBKJXLNCSA-N -1 1 307.398 1.193 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC001363372928 886161857 /nfs/dbraw/zinc/16/18/57/886161857.db2.gz DANJOPOJYWKABH-LBPRGKRZSA-N -1 1 320.324 1.092 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1C[C@@H]1c1ccc(F)cc1 ZINC001363375831 886169787 /nfs/dbraw/zinc/16/97/87/886169787.db2.gz GNRWZJABQKFQFN-NEPJUHHUSA-N -1 1 301.325 1.638 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@@H](NC(N)=O)c1ccccc1 ZINC001363376806 886172588 /nfs/dbraw/zinc/17/25/88/886172588.db2.gz VVISFQAZTMUEFJ-SNVBAGLBSA-N -1 1 303.322 1.162 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1C[C@H]1[C@H]1CCCO1 ZINC001363466278 886416784 /nfs/dbraw/zinc/41/67/84/886416784.db2.gz QRYTUHCCKBXCME-OPRDCNLKSA-N -1 1 309.391 1.510 20 0 DDADMM Cc1cc(C(=O)NCc2nc([O-])cc(=O)[nH]2)c(C)n1C1CC1 ZINC001363488816 886472163 /nfs/dbraw/zinc/47/21/63/886472163.db2.gz JTQJFEDGDBHXCU-UHFFFAOYSA-N -1 1 302.334 1.571 20 0 DDADMM Cc1noc(C)c1C(C)(C)C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363526081 886552965 /nfs/dbraw/zinc/55/29/65/886552965.db2.gz RJGLKLRUTYMOBR-SNVBAGLBSA-N -1 1 304.354 1.098 20 0 DDADMM COCC(=O)N[C@@H]1CCCN(Cc2cccc([O-])c2Cl)C1 ZINC001232789949 886588431 /nfs/dbraw/zinc/58/84/31/886588431.db2.gz YSPJTVUXGNAYMN-GFCCVEGCSA-N -1 1 312.797 1.773 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@@H]1CCC2(CCC2)O1 ZINC001363543927 886594718 /nfs/dbraw/zinc/59/47/18/886594718.db2.gz KPHFHVJSDOUWNK-LBPRGKRZSA-N -1 1 307.398 1.676 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CCC2)cnn1CCC(C)C ZINC001363545490 886601821 /nfs/dbraw/zinc/60/18/21/886601821.db2.gz AYOWHDFUGSSFPD-UHFFFAOYSA-N -1 1 317.397 1.560 20 0 DDADMM CCC(=O)c1cc(C(=O)NC2(c3nn[n-]n3)CCC2)ccc1F ZINC001363555600 886625001 /nfs/dbraw/zinc/62/50/01/886625001.db2.gz XFRVVPJBKBNUOR-UHFFFAOYSA-N -1 1 317.324 1.741 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001363557749 886630358 /nfs/dbraw/zinc/63/03/58/886630358.db2.gz ITLCGEZNJUYWSY-KXNHARMFSA-N -1 1 318.377 1.691 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001363557749 886630368 /nfs/dbraw/zinc/63/03/68/886630368.db2.gz ITLCGEZNJUYWSY-KXNHARMFSA-N -1 1 318.377 1.691 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001363557749 886630379 /nfs/dbraw/zinc/63/03/79/886630379.db2.gz ITLCGEZNJUYWSY-KXNHARMFSA-N -1 1 318.377 1.691 20 0 DDADMM [O-]c1c(F)ccc(CN2CCN(c3ncncn3)CC2)c1F ZINC001232941081 886684557 /nfs/dbraw/zinc/68/45/57/886684557.db2.gz UWQOPBYBZCDSRH-UHFFFAOYSA-N -1 1 307.304 1.178 20 0 DDADMM COC[C@H](NC(=O)C1CC(C)(C)CC(C)(C)C1)c1nn[n-]n1 ZINC001363586217 886699080 /nfs/dbraw/zinc/69/90/80/886699080.db2.gz JDBIERSOPYCMPB-NSHDSACASA-N -1 1 309.414 1.856 20 0 DDADMM COC(=O)C1(O)CCN(Cc2cc([O-])c(F)c(F)c2)CC1 ZINC001232974488 886704577 /nfs/dbraw/zinc/70/45/77/886704577.db2.gz VECJWYPYXJRRPJ-UHFFFAOYSA-N -1 1 301.289 1.170 20 0 DDADMM CN(Cc1ncc(Br)cn1)C(=O)c1cncc([O-])c1 ZINC001363591522 886712263 /nfs/dbraw/zinc/71/22/63/886712263.db2.gz QYBPDOWQGYRVTL-UHFFFAOYSA-N -1 1 323.150 1.612 20 0 DDADMM COC(=O)C[C@H]1COCCN1Cc1cc(Cl)ncc1[O-] ZINC001233038759 886746879 /nfs/dbraw/zinc/74/68/79/886746879.db2.gz GXTPKKYGVHAZLY-JTQLQIEISA-N -1 1 300.742 1.205 20 0 DDADMM O=C(N[C@@H]1CCCN(Cc2cc(Cl)ncc2[O-])C1)C1CC1 ZINC001233038055 886746972 /nfs/dbraw/zinc/74/69/72/886746972.db2.gz MUEMWCKOYQFEKX-GFCCVEGCSA-N -1 1 309.797 1.931 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@@H]2CCC(=O)[C@H](C)C2)[n-]c1=O ZINC001363631021 886817462 /nfs/dbraw/zinc/81/74/62/886817462.db2.gz NAJPMOWNCZDEIW-NXEZZACHSA-N -1 1 321.377 1.547 20 0 DDADMM COC(=O)c1cc2n(n1)CCC[C@H]2NC(=O)c1cncc([O-])c1 ZINC001363677351 886953043 /nfs/dbraw/zinc/95/30/43/886953043.db2.gz IZRGAJDMOHFCRF-LLVKDONJSA-N -1 1 316.317 1.035 20 0 DDADMM Cn1cc(C(=O)CNC(=O)Cc2ccc([O-])c(Cl)c2)cn1 ZINC001363774644 887187359 /nfs/dbraw/zinc/18/73/59/887187359.db2.gz KHZPQTXMOGTLPU-UHFFFAOYSA-N -1 1 307.737 1.321 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1scc2c1OCCO2 ZINC001363801014 887256309 /nfs/dbraw/zinc/25/63/09/887256309.db2.gz LAKLJRPBSAVTKH-UHFFFAOYSA-N -1 1 323.378 1.331 20 0 DDADMM CCc1cccc(OCC(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001363813034 887288554 /nfs/dbraw/zinc/28/85/54/887288554.db2.gz ZCBVDDXJYNXHBJ-UHFFFAOYSA-N -1 1 317.393 1.826 20 0 DDADMM COc1ncc(C(=O)NC2(c3nn[n-]n3)CCC2)cc1Cl ZINC001363820123 887312140 /nfs/dbraw/zinc/31/21/40/887312140.db2.gz LEYUZDGKKRXBGO-UHFFFAOYSA-N -1 1 308.729 1.066 20 0 DDADMM CCOC(=O)c1[nH]nc(NC(=O)c2c[n-]ncc2=O)c1C1CC1 ZINC001363837196 887355115 /nfs/dbraw/zinc/35/51/15/887355115.db2.gz XSQOCZNUJKMAQH-UHFFFAOYSA-N -1 1 317.305 1.212 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccc(O)cn2)[n-]c1=O ZINC001363846584 887383497 /nfs/dbraw/zinc/38/34/97/887383497.db2.gz GSPOQGFYYPXIRJ-LLVKDONJSA-N -1 1 316.317 1.269 20 0 DDADMM COc1coc(CN2CCC(c3n[n-]c(=O)o3)CC2)cc1=O ZINC001363871676 887439042 /nfs/dbraw/zinc/43/90/42/887439042.db2.gz MUAWEKODMVWTLJ-UHFFFAOYSA-N -1 1 307.306 1.117 20 0 DDADMM COC(=O)[C@](C)(Cn1cccn1)NC(=O)c1ccc(F)c([O-])c1 ZINC001363882137 887461092 /nfs/dbraw/zinc/46/10/92/887461092.db2.gz DQSKFIWTAJCTHQ-HNNXBMFYSA-N -1 1 321.308 1.090 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)C1CC2(CC2)C1 ZINC001363965026 887626112 /nfs/dbraw/zinc/62/61/12/887626112.db2.gz OOJHJQZOENBZKE-LLVKDONJSA-N -1 1 318.377 1.835 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)C1CC2(CC2)C1 ZINC001363965026 887626121 /nfs/dbraw/zinc/62/61/21/887626121.db2.gz OOJHJQZOENBZKE-LLVKDONJSA-N -1 1 318.377 1.835 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)C1CC2(CC2)C1 ZINC001363965026 887626127 /nfs/dbraw/zinc/62/61/27/887626127.db2.gz OOJHJQZOENBZKE-LLVKDONJSA-N -1 1 318.377 1.835 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1NC(=O)C[C@H]1c1ccccc1 ZINC001364018991 887734214 /nfs/dbraw/zinc/73/42/14/887734214.db2.gz FUIGDGHLDZXYSV-ZDUSSCGKSA-N -1 1 324.340 1.678 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)sn1 ZINC001364028476 887752822 /nfs/dbraw/zinc/75/28/22/887752822.db2.gz RAQNJWNISPYFTR-PJFCORBGSA-N -1 1 306.409 1.242 20 0 DDADMM COC[C@@H](NC(=O)Cc1ccc(F)cc1Cl)c1nn[n-]n1 ZINC001364038091 887773415 /nfs/dbraw/zinc/77/34/15/887773415.db2.gz VOABMYDVYOAPNG-SNVBAGLBSA-N -1 1 313.720 1.039 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(C)onc1N)[C@H]1CCCOC1 ZINC001364078322 887863917 /nfs/dbraw/zinc/86/39/17/887863917.db2.gz YJZXZHHNDNYEHG-VHSXEESVSA-N -1 1 303.384 1.049 20 0 DDADMM COC(=O)c1nc(-c2ccccc2)[n-]c(=O)c1O[C@@H](C)CON ZINC001234554554 888087490 /nfs/dbraw/zinc/08/74/90/888087490.db2.gz NYRPCRZWKBUALI-VIFPVBQESA-N -1 1 319.317 1.293 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1OC[C@@H]1CCO[C@@H]1C ZINC001234553728 888088483 /nfs/dbraw/zinc/08/84/83/888088483.db2.gz FAXHWPMEVJGXEE-ZJUUUORDSA-N -1 1 310.350 1.896 20 0 DDADMM COC(=O)c1nc(-c2ccccc2)[n-]c(=O)c1OC1CN(C)C1 ZINC001234556144 888091796 /nfs/dbraw/zinc/09/17/96/888091796.db2.gz CNTRZAGAWGMJQN-UHFFFAOYSA-N -1 1 315.329 1.329 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)CCC(C)(C)C(C)=O)[n-]c1=O ZINC001364233534 888187845 /nfs/dbraw/zinc/18/78/45/888187845.db2.gz JKQSQWDLOYYMQQ-UHFFFAOYSA-N -1 1 323.393 1.938 20 0 DDADMM CCOC(=O)C[C@@H](Oc1nc2sccc2[n-]1)C(=O)OCC ZINC001234848177 888223097 /nfs/dbraw/zinc/22/30/97/888223097.db2.gz MORAHERJUKUDQU-SECBINFHSA-N -1 1 312.347 1.888 20 0 DDADMM O=C(Nc1ccc(C2CC2)nn1)N1CCC(c2nn[n-]n2)CC1 ZINC001364318521 888369633 /nfs/dbraw/zinc/36/96/33/888369633.db2.gz OZIBYDCFRYKKDT-UHFFFAOYSA-N -1 1 314.353 1.279 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3CC34CCOCC4)ccnc1-2 ZINC001364337259 888412360 /nfs/dbraw/zinc/41/23/60/888412360.db2.gz SYZTYOZUAZHHMI-GFCCVEGCSA-N -1 1 301.350 1.032 20 0 DDADMM COc1nnc([N-]C(=O)c2cc(C(F)(F)F)ncn2)s1 ZINC001364355799 888445518 /nfs/dbraw/zinc/44/55/18/888445518.db2.gz NDPPKFHCCAEDIU-UHFFFAOYSA-N -1 1 305.241 1.608 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Cc2cc(C)no2)[n-]c1=O ZINC001364415209 888584510 /nfs/dbraw/zinc/58/45/10/888584510.db2.gz HEHQOCYFHZKAMT-NSHDSACASA-N -1 1 318.333 1.393 20 0 DDADMM CCOc1cccc2c1OCC(C(=O)Nc1c[n-][nH]c1=O)=C2 ZINC001364512226 888809685 /nfs/dbraw/zinc/80/96/85/888809685.db2.gz NHSUKPMCXFPJAF-UHFFFAOYSA-N -1 1 301.302 1.929 20 0 DDADMM O=C(c1coc(C2CCC2)n1)N1CCC(c2nn[n-]n2)CC1 ZINC001364527576 888852954 /nfs/dbraw/zinc/85/29/54/888852954.db2.gz FIUKYHBQWFEUTQ-UHFFFAOYSA-N -1 1 302.338 1.475 20 0 DDADMM Cc1noc([C@@H](NCc2nc3c(c(=O)[n-]2)COCC3)C(C)C)n1 ZINC001364551632 888907001 /nfs/dbraw/zinc/90/70/01/888907001.db2.gz ODBMGWRGLMBCCK-ZDUSSCGKSA-N -1 1 319.365 1.433 20 0 DDADMM O=C(NCCc1ccc(O)c(CO)c1)c1cc(F)ccc1[O-] ZINC001364637657 889093427 /nfs/dbraw/zinc/09/34/27/889093427.db2.gz CODQIXUCWBHZMD-UHFFFAOYSA-N -1 1 305.305 1.702 20 0 DDADMM CO[C@](C)(C(=O)N(Cc1nn[n-]n1)CC(C)C)c1ccccc1 ZINC001364650209 889119996 /nfs/dbraw/zinc/11/99/96/889119996.db2.gz FRPWKQXGVHUWRO-INIZCTEOSA-N -1 1 317.393 1.746 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1cccc(F)c1)c1c[nH]nc1Cl ZINC001364783563 889406302 /nfs/dbraw/zinc/40/63/02/889406302.db2.gz HVVGCWXNDSAOAD-VIFPVBQESA-N -1 1 319.745 1.214 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H]2CCCCS2)sn1 ZINC001364805023 889451263 /nfs/dbraw/zinc/45/12/63/889451263.db2.gz JKKAWXFUAHPTFG-MRVPVSSYSA-N -1 1 308.450 1.716 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2CCCCS2)sn1 ZINC001364805027 889451288 /nfs/dbraw/zinc/45/12/88/889451288.db2.gz JKKAWXFUAHPTFG-QMMMGPOBSA-N -1 1 308.450 1.716 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1C[C@H]1c1ccccc1 ZINC001364837216 889515218 /nfs/dbraw/zinc/51/52/18/889515218.db2.gz ZUGLALKYCHRRKP-QWRGUYRKSA-N -1 1 310.400 1.986 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2cnoc2)c(F)c1 ZINC001364920073 889667393 /nfs/dbraw/zinc/66/73/93/889667393.db2.gz CHKGCGGHTNAYRJ-UHFFFAOYSA-N -1 1 304.274 1.440 20 0 DDADMM C[C@@H](C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC001385933489 890018645 /nfs/dbraw/zinc/01/86/45/890018645.db2.gz SSVSBRXWULVMJG-SRVKXCTJSA-N -1 1 319.405 1.846 20 0 DDADMM O=C(CCC(F)F)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001386001579 890137196 /nfs/dbraw/zinc/13/71/96/890137196.db2.gz BEMOIIOXFHTAPM-DTORHVGOSA-N -1 1 313.304 1.210 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)c1noc(C)n1 ZINC001365135680 890174669 /nfs/dbraw/zinc/17/46/69/890174669.db2.gz XXYHPQNGFZZWLO-SNVBAGLBSA-N -1 1 300.340 1.245 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2c[nH]c(C)n2)[n-]c1=O ZINC001365147788 890196139 /nfs/dbraw/zinc/19/61/39/890196139.db2.gz RIUDLWBSUSFOLE-JTQLQIEISA-N -1 1 303.322 1.200 20 0 DDADMM Cc1ccc(CN2C(=O)CC[C@H]2C(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001365212099 890362824 /nfs/dbraw/zinc/36/28/24/890362824.db2.gz SQDHWAFOHDDZEU-ZDUSSCGKSA-N -1 1 314.345 1.553 20 0 DDADMM C[C@H](Oc1ccc(Oc2cc(Cl)nc(=O)[n-]2)cc1)C(N)=O ZINC001365216214 890373118 /nfs/dbraw/zinc/37/31/18/890373118.db2.gz LPTGWLZPJGBQPL-ZETCQYMHSA-N -1 1 309.709 1.881 20 0 DDADMM CCc1occc1C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001386241861 890628247 /nfs/dbraw/zinc/62/82/47/890628247.db2.gz FQTLJDMODZXCOI-SNVBAGLBSA-N -1 1 317.345 1.491 20 0 DDADMM CS(=O)(=O)c1cccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)c1 ZINC001239839019 890755472 /nfs/dbraw/zinc/75/54/72/890755472.db2.gz MESUTZNCNJQJTH-UHFFFAOYSA-N -1 1 315.350 1.570 20 0 DDADMM CC(C)c1nn(C)cc1NC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001365498250 890921425 /nfs/dbraw/zinc/92/14/25/890921425.db2.gz AHFAYDYKGRBGMQ-UHFFFAOYSA-N -1 1 318.385 1.468 20 0 DDADMM COCCn1cc(-c2ccc3nc(C(=O)OC)[n-]c3c2)cn1 ZINC001240628401 891003543 /nfs/dbraw/zinc/00/35/43/891003543.db2.gz USKVPTCYFDYKMD-UHFFFAOYSA-N -1 1 300.318 1.859 20 0 DDADMM COCCn1cc(-c2ccc3[n-]c(C(=O)OC)nc3c2)cn1 ZINC001240628401 891003558 /nfs/dbraw/zinc/00/35/58/891003558.db2.gz USKVPTCYFDYKMD-UHFFFAOYSA-N -1 1 300.318 1.859 20 0 DDADMM CCOC(=O)c1cnc([S@@](C)=O)nc1-c1ccc([O-])c(F)c1 ZINC001240782814 891050352 /nfs/dbraw/zinc/05/03/52/891050352.db2.gz KLYRBXVGFURIQZ-JOCHJYFZSA-N -1 1 324.333 1.902 20 0 DDADMM O=C(CCC1CC1)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001386553355 891197436 /nfs/dbraw/zinc/19/74/36/891197436.db2.gz WBPUVQYZPFPVBG-ZDUSSCGKSA-N -1 1 317.389 1.556 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC[C@H]1CCCS1 ZINC001365723854 891399453 /nfs/dbraw/zinc/39/94/53/891399453.db2.gz HKQGIDLFRIPTEV-MRVPVSSYSA-N -1 1 308.450 1.716 20 0 DDADMM NS(=O)(=O)c1cnc(-c2ccc(Cl)c([O-])c2)c(F)c1 ZINC001243579435 891678583 /nfs/dbraw/zinc/67/85/83/891678583.db2.gz UPMFQSYILDJMEN-UHFFFAOYSA-N -1 1 302.714 1.894 20 0 DDADMM CN1CCN(c2nccnc2-c2cnc(F)c(C(=O)[O-])c2)CC1 ZINC001244751060 891902877 /nfs/dbraw/zinc/90/28/77/891902877.db2.gz CHCLJPZSGOZMHV-UHFFFAOYSA-N -1 1 317.324 1.128 20 0 DDADMM COc1ncc(-c2cnc(F)cc2C)cc1[N-]S(C)(=O)=O ZINC001244792991 891928436 /nfs/dbraw/zinc/92/84/36/891928436.db2.gz WRIBRRDMHSQLRV-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM O=CC(O)n1[n-]c(-c2cc(F)c(Cl)nc2Cl)cc1=O ZINC001245919694 892272763 /nfs/dbraw/zinc/27/27/63/892272763.db2.gz LYLZNQGVKGYXFS-UHFFFAOYSA-N -1 1 306.080 1.729 20 0 DDADMM O=C(CCCF)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001387280255 892746758 /nfs/dbraw/zinc/74/67/58/892746758.db2.gz ALMWOFTYVCBSHP-NSHDSACASA-N -1 1 309.341 1.115 20 0 DDADMM O=C(NCCN(C(=O)c1ncccc1[O-])C1CC1)c1ccc[nH]1 ZINC001387460799 893154006 /nfs/dbraw/zinc/15/40/06/893154006.db2.gz PQPQDAIRFSGOFI-UHFFFAOYSA-N -1 1 314.345 1.150 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)CNCc2cc(C3CC3)no2)c1[O-] ZINC001374743329 913766217 /nfs/dbraw/zinc/76/62/17/913766217.db2.gz GTYMGMGDOFSMTE-MRVPVSSYSA-N -1 1 319.365 1.197 20 0 DDADMM O=C([N-]CCCc1nc(-c2cccnc2)no1)C(F)(F)F ZINC001248432897 893634722 /nfs/dbraw/zinc/63/47/22/893634722.db2.gz ZCKDDEJPOZGFEO-UHFFFAOYSA-N -1 1 300.240 1.743 20 0 DDADMM O=C([O-])c1c(F)ccc(CN2CCC3(CNC(=O)C3)CC2)c1F ZINC001249759532 894118409 /nfs/dbraw/zinc/11/84/09/894118409.db2.gz KDDUOQLCAFJBSF-UHFFFAOYSA-N -1 1 324.327 1.765 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(OCc2nn[n-]n2)cc1)n1cccn1 ZINC000346123831 894162756 /nfs/dbraw/zinc/16/27/56/894162756.db2.gz QNRKDGCNYUHGGG-JTQLQIEISA-N -1 1 313.321 1.175 20 0 DDADMM [O-]c1ccc(Cl)c(NC[C@H](O)CN2CCOCC2)c1Cl ZINC001251026435 894578123 /nfs/dbraw/zinc/57/81/23/894578123.db2.gz RQXBFOAKQOARAF-VIFPVBQESA-N -1 1 321.204 1.804 20 0 DDADMM O=C(CC1CC1)NC1(CNC(=O)c2ncccc2[O-])CCCC1 ZINC001388199543 894772190 /nfs/dbraw/zinc/77/21/90/894772190.db2.gz UNIUFFGRQDZLGY-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM CO[C@@H](C)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])CC(C)C ZINC001388521181 895381829 /nfs/dbraw/zinc/38/18/29/895381829.db2.gz PFAJMRSBHLZYKQ-NWDGAFQWSA-N -1 1 323.393 1.083 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)NC1CC=CC1 ZINC001252683035 895382001 /nfs/dbraw/zinc/38/20/01/895382001.db2.gz LHIWNYHBWPVHPM-ONGXEEELSA-N -1 1 319.327 1.946 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccccc2C)cc(C(N)=O)c1 ZINC001254626093 896539321 /nfs/dbraw/zinc/53/93/21/896539321.db2.gz POADWBGLOHHJPE-UHFFFAOYSA-N -1 1 320.370 1.903 20 0 DDADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CC(C)(C)C1 ZINC001367411067 896699551 /nfs/dbraw/zinc/69/95/51/896699551.db2.gz DFXDOGKSTDIXLU-LBPRGKRZSA-N -1 1 323.441 1.567 20 0 DDADMM CCOC(=O)c1cc([N-]S(=O)(=O)c2cccc(C)c2)ccn1 ZINC001256872769 897615221 /nfs/dbraw/zinc/61/52/21/897615221.db2.gz JXLBLAAVVDMFQW-UHFFFAOYSA-N -1 1 320.370 1.789 20 0 DDADMM CC[C@H](C)[C@H](C)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001389647390 897716064 /nfs/dbraw/zinc/71/60/64/897716064.db2.gz CEVNITVCFOAUOD-RYUDHWBXSA-N -1 1 319.405 1.658 20 0 DDADMM CCn1ccc([N-]S(=O)(=O)c2cc(F)ccc2F)cc1=O ZINC001258949424 898376227 /nfs/dbraw/zinc/37/62/27/898376227.db2.gz AUUOJRNVCQPMNY-UHFFFAOYSA-N -1 1 314.313 1.947 20 0 DDADMM CC(=O)NC[C@H](C)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258950391 898376796 /nfs/dbraw/zinc/37/67/96/898376796.db2.gz YVAPJIIXFSAOCY-QMMMGPOBSA-N -1 1 306.334 1.015 20 0 DDADMM C[C@@H](Cc1cnccn1)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258949831 898377605 /nfs/dbraw/zinc/37/76/05/898377605.db2.gz VOIRUFUBIMSAMM-VIFPVBQESA-N -1 1 313.329 1.664 20 0 DDADMM O=C1CCCC[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259043668 898437884 /nfs/dbraw/zinc/43/78/84/898437884.db2.gz VQHOLNASMCZYDN-JTQLQIEISA-N -1 1 307.293 1.894 20 0 DDADMM COC(=O)[C@H]1C[C@@H]1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259082426 898457927 /nfs/dbraw/zinc/45/79/27/898457927.db2.gz AIEFDZIDFKZNCY-RQJHMYQMSA-N -1 1 323.292 1.191 20 0 DDADMM COC(=O)[C@H](CCSC)[N-]S(=O)(=O)CCC(F)(F)F ZINC001259875229 898884577 /nfs/dbraw/zinc/88/45/77/898884577.db2.gz QVCUTDHWJWXPSR-ZETCQYMHSA-N -1 1 323.358 1.153 20 0 DDADMM COc1cnc(Br)c([N-]S(=O)(=O)C(F)F)c1 ZINC001259951519 898971310 /nfs/dbraw/zinc/97/13/10/898971310.db2.gz XMQAUYSHKYECLX-UHFFFAOYSA-N -1 1 317.111 1.817 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(-c2cnco2)c(OC)c1 ZINC001259971685 898995959 /nfs/dbraw/zinc/99/59/59/898995959.db2.gz KPNUQYFPCUOVKJ-UHFFFAOYSA-N -1 1 312.347 1.738 20 0 DDADMM COCCS(=O)(=O)[N-]c1c(F)c(OC)cc(OC)c1F ZINC001259971121 898996331 /nfs/dbraw/zinc/99/63/31/898996331.db2.gz VNOSPPLSKOPQMU-UHFFFAOYSA-N -1 1 311.306 1.370 20 0 DDADMM COC(=O)c1sc2cccc(OC)c2c1[N-]S(N)(=O)=O ZINC001260074090 899038207 /nfs/dbraw/zinc/03/82/07/899038207.db2.gz AGRWLPQOHWWKIG-UHFFFAOYSA-N -1 1 316.360 1.312 20 0 DDADMM CCOC(=O)c1sc2ccccc2c1[N-]S(N)(=O)=O ZINC001260074903 899038463 /nfs/dbraw/zinc/03/84/63/899038463.db2.gz QVUAKDSCGZZXIR-UHFFFAOYSA-N -1 1 300.361 1.693 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2c(Cl)[nH]ccc2=O)cn1C ZINC001260543212 899160516 /nfs/dbraw/zinc/16/05/16/899160516.db2.gz KZVJYKZLQFUXGD-UHFFFAOYSA-N -1 1 302.743 1.283 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-]c1ccccc1-c1nnn(CC)n1 ZINC001260742235 899243036 /nfs/dbraw/zinc/24/30/36/899243036.db2.gz AQTWIBUCZJJTCD-SNVBAGLBSA-N -1 1 309.395 1.900 20 0 DDADMM C[C@](CNC(=O)c1ncccc1[O-])(NC(=O)C1CC1)C1CC1 ZINC001390785925 900177803 /nfs/dbraw/zinc/17/78/03/900177803.db2.gz BNXFMZGFANUNLC-MRXNPFEDSA-N -1 1 303.362 1.212 20 0 DDADMM C[C@H](c1ccccc1F)N(C)c1nnc(Cc2nnn[n-]2)n1C ZINC001262871393 900403476 /nfs/dbraw/zinc/40/34/76/900403476.db2.gz NFICNFXBRGBSDP-SECBINFHSA-N -1 1 316.344 1.256 20 0 DDADMM C[C@H](c1ccccc1F)N(C)c1nnc(Cc2nn[n-]n2)n1C ZINC001262871393 900403482 /nfs/dbraw/zinc/40/34/82/900403482.db2.gz NFICNFXBRGBSDP-SECBINFHSA-N -1 1 316.344 1.256 20 0 DDADMM Cc1nc2ccc(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)cc2n1C ZINC001263886556 900800342 /nfs/dbraw/zinc/80/03/42/900800342.db2.gz NJUBWPBUFXJJCD-LLVKDONJSA-N -1 1 311.349 1.025 20 0 DDADMM O=C(c1cccc(Cl)n1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001263911710 900814182 /nfs/dbraw/zinc/81/41/82/900814182.db2.gz QIGWDUPFHGSZLN-SECBINFHSA-N -1 1 306.757 1.343 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCC1(C)CC1 ZINC001369397623 901184470 /nfs/dbraw/zinc/18/44/70/901184470.db2.gz HHPTXDFPWCFEMP-GHMZBOCLSA-N -1 1 307.398 1.170 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCCOCc2ccccc2)[n-]n1 ZINC000390068009 901347407 /nfs/dbraw/zinc/34/74/07/901347407.db2.gz FCVVQXYMJIIMEJ-UHFFFAOYSA-N -1 1 314.389 1.619 20 0 DDADMM CC(=O)CCCC(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001369788334 901947342 /nfs/dbraw/zinc/94/73/42/901947342.db2.gz PUVCHHZAVWYHRD-NSHDSACASA-N -1 1 321.377 1.123 20 0 DDADMM CC[C@@H](CNC(=O)c1cc(C)ccc1F)NCc1n[nH]c(=O)[n-]1 ZINC001391723754 902451885 /nfs/dbraw/zinc/45/18/85/902451885.db2.gz HVBVINLLVKLRLE-JTQLQIEISA-N -1 1 321.356 1.256 20 0 DDADMM CCC[C@@H](C)C(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001370288045 902863458 /nfs/dbraw/zinc/86/34/58/902863458.db2.gz GJVHBTGYCRSYQM-OLZOCXBDSA-N -1 1 323.441 1.617 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CCC1CCCC1 ZINC001392029774 903122146 /nfs/dbraw/zinc/12/21/46/903122146.db2.gz AOBIGFDIDJLETM-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM Cc1nncn1CCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001293768335 914689447 /nfs/dbraw/zinc/68/94/47/914689447.db2.gz UWDFDJNJAIUUAQ-UHFFFAOYSA-N -1 1 312.329 1.581 20 0 DDADMM Cc1cnc(CN(C)C[C@H](C)NC(=O)c2ncccc2[O-])cn1 ZINC001375030199 914703395 /nfs/dbraw/zinc/70/33/95/914703395.db2.gz FADYLKLYBZGNDK-LBPRGKRZSA-N -1 1 315.377 1.136 20 0 DDADMM CC(C)[C@H](C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001396920078 914736481 /nfs/dbraw/zinc/73/64/81/914736481.db2.gz XTXNQZBIADCIMW-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1nnc([C@@H](C)N(C)CCN(C)C(=O)c2ncccc2[O-])o1 ZINC001392269572 903713333 /nfs/dbraw/zinc/71/33/33/903713333.db2.gz QJHRDVBEFXVHJP-SNVBAGLBSA-N -1 1 319.365 1.244 20 0 DDADMM C[C@H](CNC(=O)c1ccc(Cl)[nH]1)NC(=O)c1ncccc1[O-] ZINC001392297402 903804923 /nfs/dbraw/zinc/80/49/23/903804923.db2.gz LVOAEYPVGVRFKU-MRVPVSSYSA-N -1 1 322.752 1.317 20 0 DDADMM CC(C)CC(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001396975049 914853758 /nfs/dbraw/zinc/85/37/58/914853758.db2.gz NLBUEEAGKAWVPW-LBPRGKRZSA-N -1 1 305.378 1.458 20 0 DDADMM CC1(CC(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001373198426 909186912 /nfs/dbraw/zinc/18/69/12/909186912.db2.gz DBSFSBLKVYGLEZ-NSHDSACASA-N -1 1 307.398 1.029 20 0 DDADMM CCC(CC)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001394713015 910373459 /nfs/dbraw/zinc/37/34/59/910373459.db2.gz QZJFUJHGQGTDHE-LBPRGKRZSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H](C)NC(=O)C(C)(F)F ZINC001394936814 910957553 /nfs/dbraw/zinc/95/75/53/910957553.db2.gz WXXFCBTUKPBHFI-HTQZYQBOSA-N -1 1 301.293 1.065 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)c1occc1C ZINC001373824946 911115961 /nfs/dbraw/zinc/11/59/61/911115961.db2.gz OHXPFJXEFIKRNS-UHFFFAOYSA-N -1 1 317.345 1.581 20 0 DDADMM CC1(C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])CCC1 ZINC001374050902 911738838 /nfs/dbraw/zinc/73/88/38/911738838.db2.gz YBIUWBKDTXDXHP-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@](CNC(=O)C1CCC1)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001375355816 915696543 /nfs/dbraw/zinc/69/65/43/915696543.db2.gz DOLREEJCGYWDLR-QGZVFWFLSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNC(=O)C1(C)CCC1 ZINC001375698607 916735828 /nfs/dbraw/zinc/73/58/28/916735828.db2.gz UESMBAZNMWILRX-LLVKDONJSA-N -1 1 305.378 1.460 20 0 DDADMM C[C@@H]1CC[C@H](CC(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)C1 ZINC001376768308 919314031 /nfs/dbraw/zinc/31/40/31/919314031.db2.gz OYPLHICCIFYFJI-NEPJUHHUSA-N -1 1 321.425 1.465 20 0 DDADMM Cc1ccsc1C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001376869123 919616960 /nfs/dbraw/zinc/61/69/60/919616960.db2.gz ATRWXVNUPPSQBO-SNVBAGLBSA-N -1 1 319.386 1.705 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cccc4c3CCC4)nc2n1 ZINC000622872159 365551606 /nfs/dbraw/zinc/55/16/06/365551606.db2.gz XONQKQHAJSLDOL-UHFFFAOYSA-N -1 1 309.329 1.467 20 0 DDADMM CC[C@@H](OC1CCCC1)C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000622871204 365551852 /nfs/dbraw/zinc/55/18/52/365551852.db2.gz UABSQRITPVYXTQ-LLVKDONJSA-N -1 1 319.365 1.402 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC[C@H]3CC[C@@H](C)O3)nc2n1 ZINC000622994362 365586989 /nfs/dbraw/zinc/58/69/89/365586989.db2.gz MHKFJAMNSZTASS-MWLCHTKSSA-N -1 1 319.365 1.266 20 0 DDADMM O=c1cc(/C=C\c2cc3c(c(Cl)c2)OCO3)nc2nc[n-]n21 ZINC000614334322 361809501 /nfs/dbraw/zinc/80/95/01/361809501.db2.gz BKSXNFYLOGIBQB-UPHRSURJSA-N -1 1 316.704 1.970 20 0 DDADMM O=C([C@H](O)C1CCCCC1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614387918 361832560 /nfs/dbraw/zinc/83/25/60/361832560.db2.gz DBYYCNALCJWVRU-VXGBXAGGSA-N -1 1 309.366 1.422 20 0 DDADMM COCCCn1cc(C)c([N-]S(=O)(=O)N2CC[C@H](C)C2)n1 ZINC000451087053 231005916 /nfs/dbraw/zinc/00/59/16/231005916.db2.gz NUSOVHJCXVTRMG-NSHDSACASA-N -1 1 316.427 1.227 20 0 DDADMM COCCCn1cc(C)c([N-]S(=O)(=O)N2CCC[C@H]2C)n1 ZINC000451091082 231007839 /nfs/dbraw/zinc/00/78/39/231007839.db2.gz UWIZFCWNPGYCFC-GFCCVEGCSA-N -1 1 316.427 1.369 20 0 DDADMM COc1ccc(C=CC(=O)N[N-]C(=O)c2cc(C)[nH]n2)cc1 ZINC000033321360 539030722 /nfs/dbraw/zinc/03/07/22/539030722.db2.gz ZUYAGNKISUJSAO-YVMONPNESA-N -1 1 300.318 1.201 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ncc(Cl)s2)co1 ZINC000153625094 539204300 /nfs/dbraw/zinc/20/43/00/539204300.db2.gz YJMJGBKQFJAYPM-UHFFFAOYSA-N -1 1 321.767 1.550 20 0 DDADMM CC(C)Oc1ccc(CCNC(=O)CCCc2nn[n-]n2)cc1 ZINC000623173664 365698019 /nfs/dbraw/zinc/69/80/19/365698019.db2.gz KDQOUHWMRHRHQW-UHFFFAOYSA-N -1 1 317.393 1.669 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc([C@@H]3CCCS3)no2)co1 ZINC000274081592 539279218 /nfs/dbraw/zinc/27/92/18/539279218.db2.gz GJYONIYUDSLPTK-QMMMGPOBSA-N -1 1 315.376 1.806 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000254953127 282220121 /nfs/dbraw/zinc/22/01/21/282220121.db2.gz XQZCUKDACLWDOT-JPVGGKMYSA-N -1 1 315.333 1.599 20 0 DDADMM O=C([O-])Cc1cccc(NC(=O)[C@H]2Cc3ccccc3CN2)c1 ZINC000320969913 539297248 /nfs/dbraw/zinc/29/72/48/539297248.db2.gz KLTRWFLTLPTYLM-MRXNPFEDSA-N -1 1 310.353 1.967 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@@H](NC(N)=O)c1cccc(C)c1 ZINC000615225686 362204542 /nfs/dbraw/zinc/20/45/42/362204542.db2.gz FLWIDEXEBJPHCV-LLVKDONJSA-N -1 1 317.349 1.470 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1ccccc1OC)c1nn[n-]n1 ZINC000255679645 282274375 /nfs/dbraw/zinc/27/43/75/282274375.db2.gz PBDYAXZNHDDIAU-ZWLSGLHFSA-N -1 1 301.350 1.879 20 0 DDADMM CN1C[C@@H]2CCCN(S(=O)(=O)c3cccc(C(=O)[O-])c3)[C@@H]2C1 ZINC000424603636 529823639 /nfs/dbraw/zinc/82/36/39/529823639.db2.gz TXRDLOWDLAUHBT-GXTWGEPZSA-N -1 1 324.402 1.100 20 0 DDADMM CN(C[C@H]1CCCC[C@@H]1O)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000305836051 529964151 /nfs/dbraw/zinc/96/41/51/529964151.db2.gz XBGQKVWPLCKTBY-RISCZKNCSA-N -1 1 315.373 1.959 20 0 DDADMM C[C@@H]1CCCC[C@@]1(C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000457234153 232019194 /nfs/dbraw/zinc/01/91/94/232019194.db2.gz ANZRULOOMTVYSQ-BDJLRTHQSA-N -1 1 305.378 1.602 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCC[C@H]2F)o1 ZINC000412679066 530028492 /nfs/dbraw/zinc/02/84/92/530028492.db2.gz CCZGYKBBPRJVKO-RKDXNWHRSA-N -1 1 304.343 1.198 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccc(F)cc2F)c[nH]c1=O ZINC000158292158 290740156 /nfs/dbraw/zinc/74/01/56/290740156.db2.gz JWWVTFIQXZYYPT-UHFFFAOYSA-N -1 1 300.286 1.762 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCC(=O)C[C@H]2C)c1Br ZINC000616007615 362517885 /nfs/dbraw/zinc/51/78/85/362517885.db2.gz VMDWROQCKGVABT-MUWHJKNJSA-N -1 1 314.183 1.846 20 0 DDADMM CC[C@@H](C)N1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)CC1 ZINC000615985095 362510072 /nfs/dbraw/zinc/51/00/72/362510072.db2.gz SPVIUGSITIXPKN-SNVBAGLBSA-N -1 1 304.350 1.485 20 0 DDADMM O=C(CN1CCN(C2CC2)C1=O)Nc1ccc([O-])c(F)c1F ZINC000616101685 362547690 /nfs/dbraw/zinc/54/76/90/362547690.db2.gz SNMCKXHVEAQFRF-UHFFFAOYSA-N -1 1 311.288 1.509 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)Cc1ccc(F)c(C)c1 ZINC000330898233 232146863 /nfs/dbraw/zinc/14/68/63/232146863.db2.gz UWEVGIFFOBXJBP-UHFFFAOYSA-N -1 1 313.354 1.664 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCNC(=O)CC1 ZINC000616357974 362655490 /nfs/dbraw/zinc/65/54/90/362655490.db2.gz KTSRRTXKICHHRU-JTQLQIEISA-N -1 1 320.393 1.187 20 0 DDADMM COc1ccc(NC(=O)Cc2ccco2)cc1[N-]S(C)(=O)=O ZINC000359578083 539487392 /nfs/dbraw/zinc/48/73/92/539487392.db2.gz OSYGPXSHEJRLMB-UHFFFAOYSA-N -1 1 324.358 1.841 20 0 DDADMM CCc1[nH]n(C)c2nnc(NC(=O)C(=O)c3ccc([O-])cc3)c1-2 ZINC000436697251 539525054 /nfs/dbraw/zinc/52/50/54/539525054.db2.gz JDODDAKJHAEMCN-UHFFFAOYSA-N -1 1 313.317 1.386 20 0 DDADMM Cc1noc([C@@H](C)N(C)C(=O)c2ccc3[nH][n-]c(=O)c3c2)n1 ZINC000616709976 362789325 /nfs/dbraw/zinc/78/93/25/362789325.db2.gz UCDQQGYVVJGNMX-SSDOTTSWSA-N -1 1 301.306 1.793 20 0 DDADMM C[C@H](NC(=O)CCCc1nn[n-]n1)c1ccc2c(c1)CCCO2 ZINC000636239668 422738437 /nfs/dbraw/zinc/73/84/37/422738437.db2.gz QMPOAKLBXFKOOV-NSHDSACASA-N -1 1 315.377 1.725 20 0 DDADMM CCCC[C@H](COC)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000152557467 186085223 /nfs/dbraw/zinc/08/52/23/186085223.db2.gz GKXULXZZZYQZPS-LLVKDONJSA-N -1 1 318.395 1.123 20 0 DDADMM C[C@H](Oc1ccc(C(F)(F)F)cc1)C(=O)Nc1nnn[n-]1 ZINC000188049412 186249755 /nfs/dbraw/zinc/24/97/55/186249755.db2.gz ODFSVBFKQGQSJU-LURJTMIESA-N -1 1 301.228 1.625 20 0 DDADMM C[C@H](Oc1ccc(C(F)(F)F)cc1)C(=O)Nc1nn[n-]n1 ZINC000188049412 186249757 /nfs/dbraw/zinc/24/97/57/186249757.db2.gz ODFSVBFKQGQSJU-LURJTMIESA-N -1 1 301.228 1.625 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H](O)C[C@H]1c1cccc(F)c1 ZINC000225677478 186288920 /nfs/dbraw/zinc/28/89/20/186288920.db2.gz JYPOPYNKNNCYQF-OLZOCXBDSA-N -1 1 302.305 1.874 20 0 DDADMM CN(C)C(=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)cc1 ZINC000172365013 198085869 /nfs/dbraw/zinc/08/58/69/198085869.db2.gz AQMCOOYXEPVEQT-CYBMUJFWSA-N -1 1 312.391 1.309 20 0 DDADMM CCNc1nc([O-])c(CC(=O)Nc2ccc(F)cc2F)s1 ZINC000003539412 352111582 /nfs/dbraw/zinc/11/15/82/352111582.db2.gz SPEGAYIGSVNTKW-SNVBAGLBSA-N -1 1 313.329 1.901 20 0 DDADMM CCCc1n[n-]c(=S)n1CC(=O)Nc1ccc(OC)nc1 ZINC000017296905 352163886 /nfs/dbraw/zinc/16/38/86/352163886.db2.gz BLGDLZYVNIFLCA-UHFFFAOYSA-N -1 1 307.379 1.935 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cccc(COC)c2)o1 ZINC000033047879 352287280 /nfs/dbraw/zinc/28/72/80/352287280.db2.gz OPFCSASJHXNUAN-UHFFFAOYSA-N -1 1 324.358 1.586 20 0 DDADMM O=S(=O)([N-]CC1=CCCOC1)c1nc2ccccc2s1 ZINC000564908551 304025832 /nfs/dbraw/zinc/02/58/32/304025832.db2.gz OCYDGFIGHVVMDD-UHFFFAOYSA-N -1 1 310.400 1.921 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H](C)C(=O)Nc2nccs2)n1 ZINC000041626057 352310725 /nfs/dbraw/zinc/31/07/25/352310725.db2.gz PIFIIPWKPNZEJZ-SSDOTTSWSA-N -1 1 310.404 1.908 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)NCCc2n[n-]c(=S)n2C)c1 ZINC000067051468 353032508 /nfs/dbraw/zinc/03/25/08/353032508.db2.gz DUWYINVPYAWYPJ-NSHDSACASA-N -1 1 320.418 1.912 20 0 DDADMM CCO[C@@H](C)C(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000066484376 353000546 /nfs/dbraw/zinc/00/05/46/353000546.db2.gz ILCDLMGALVTSHN-VIFPVBQESA-N -1 1 316.379 1.430 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)[N-]c2cc(C(N)=O)ccc2F)c1 ZINC000358561292 299197682 /nfs/dbraw/zinc/19/76/82/299197682.db2.gz MPNXNCMUNOYCSR-UHFFFAOYSA-N -1 1 324.337 1.011 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2ccn(-c3ccncc3)n2)cn1C ZINC000071092343 353191706 /nfs/dbraw/zinc/19/17/06/353191706.db2.gz KBLCEQARUCKLAM-UHFFFAOYSA-N -1 1 318.362 1.110 20 0 DDADMM O=C(C=Cc1nc2ccccc2s1)NC1(c2nn[n-]n2)CC1 ZINC000492033939 234365296 /nfs/dbraw/zinc/36/52/96/234365296.db2.gz SLIJURFDDQUCFT-AATRIKPKSA-N -1 1 312.358 1.628 20 0 DDADMM CCc1cc2c(C[N@@H+]3CC[C@H](CO)C3)cc(=O)oc2cc1[O-] ZINC000174705746 198373034 /nfs/dbraw/zinc/37/30/34/198373034.db2.gz JGBZACMUXHZOOL-NSHDSACASA-N -1 1 303.358 1.875 20 0 DDADMM CNC(=O)N1CCN(C(=O)c2cc3ccccc3cc2[O-])CC1 ZINC000081842703 353695304 /nfs/dbraw/zinc/69/53/04/353695304.db2.gz BTWAHFBQCNMKJP-UHFFFAOYSA-N -1 1 313.357 1.643 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2ccc[nH]c2=O)c(F)cc1F ZINC000084650089 353725083 /nfs/dbraw/zinc/72/50/83/353725083.db2.gz ULXFBJBDDOGFCH-UHFFFAOYSA-N -1 1 300.286 1.762 20 0 DDADMM CN(CCCc1cc(-c2ccccc2)no1)Cc1n[nH]c(=O)[n-]1 ZINC000091553581 353829915 /nfs/dbraw/zinc/82/99/15/353829915.db2.gz LWYPSNOWVZTWCL-UHFFFAOYSA-N -1 1 313.361 1.818 20 0 DDADMM Cc1cnc(C(=O)NCc2ccnc(-n3cccn3)c2)c([O-])c1 ZINC000358639465 299210873 /nfs/dbraw/zinc/21/08/73/299210873.db2.gz VHWQNUGDQGHGLA-UHFFFAOYSA-N -1 1 309.329 1.606 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2cc(C)on2)c(=O)[n-]1 ZINC000142709856 354146000 /nfs/dbraw/zinc/14/60/00/354146000.db2.gz PUQGZYCQUXDATR-UHFFFAOYSA-N -1 1 322.390 1.346 20 0 DDADMM O=C([O-])C1CCC(NC(=O)[C@@H]2NCCc3ccccc32)CC1 ZINC000314746413 354487821 /nfs/dbraw/zinc/48/78/21/354487821.db2.gz XMGZIIAVWYVDJT-SSDMNJCBSA-N -1 1 302.374 1.633 20 0 DDADMM COC(=O)c1cc(NS(=O)(=O)c2ncc[n-]2)c(F)cc1F ZINC000314969569 354489347 /nfs/dbraw/zinc/48/93/47/354489347.db2.gz WIQVJXQQPZWSJH-UHFFFAOYSA-N -1 1 317.273 1.275 20 0 DDADMM COCc1nc(CNC(=O)c2cc(F)cc(Cl)c2[O-])n[nH]1 ZINC000617935585 363389109 /nfs/dbraw/zinc/38/91/09/363389109.db2.gz HTWAYWJRSGMVKE-UHFFFAOYSA-N -1 1 314.704 1.379 20 0 DDADMM CCOC(=O)c1ccc(C[N-]S(=O)(=O)c2conc2C)cc1 ZINC000601314730 358491886 /nfs/dbraw/zinc/49/18/86/358491886.db2.gz OOUNUXLYDFSECO-UHFFFAOYSA-N -1 1 324.358 1.638 20 0 DDADMM O=C([O-])C1CCN(CC(=O)N2CCC[C@@H]3CCCC[C@@H]32)CC1 ZINC000261600588 304041557 /nfs/dbraw/zinc/04/15/57/304041557.db2.gz BNSKKDXVECHROY-ZFWWWQNUSA-N -1 1 308.422 1.964 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2oc(CC)nc2C)s1 ZINC000590706666 355152763 /nfs/dbraw/zinc/15/27/63/355152763.db2.gz KAXSDHFVDZYVRF-UHFFFAOYSA-N -1 1 310.335 1.826 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1cccc(-c2nn[nH]n2)c1 ZINC000074811099 191364526 /nfs/dbraw/zinc/36/45/26/191364526.db2.gz DMMVFRXFUUCBLQ-UHFFFAOYSA-N -1 1 315.358 1.976 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)C3(O)CCOCC3)[nH][n-]2)c1 ZINC000332627577 235217468 /nfs/dbraw/zinc/21/74/68/235217468.db2.gz DWHZUOCSDHRATI-UHFFFAOYSA-N -1 1 319.336 1.426 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)C[C@H](OC)C(C)C ZINC000592011886 355463875 /nfs/dbraw/zinc/46/38/75/355463875.db2.gz GNTIMPKSDWXJMI-RYUDHWBXSA-N -1 1 309.428 1.165 20 0 DDADMM CC(=O)CNC(=O)[C@@H](C)Sc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000358880583 291066883 /nfs/dbraw/zinc/06/68/83/291066883.db2.gz IUBRCPGOFWWOGX-ZCFIWIBFSA-N -1 1 323.296 1.387 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2cn(C3CCC3)nn2)s1 ZINC000592605658 355641165 /nfs/dbraw/zinc/64/11/65/355641165.db2.gz QKZNTWDNFZLZAF-UHFFFAOYSA-N -1 1 322.350 1.284 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2CN(CC(F)(F)F)C2)n1 ZINC000593901332 356047744 /nfs/dbraw/zinc/04/77/44/356047744.db2.gz LYXMYOCXCHSJGR-UHFFFAOYSA-N -1 1 320.271 1.019 20 0 DDADMM CCC[C@H](CC)S(=O)(=O)[N-]c1ccn(CC(=O)OCC)n1 ZINC000594463347 356225632 /nfs/dbraw/zinc/22/56/32/356225632.db2.gz WFXRXMMQAYHGQW-NSHDSACASA-N -1 1 317.411 1.767 20 0 DDADMM COC(=O)[C@](C)(CCF)[N-]S(=O)(=O)Cc1csc(C)n1 ZINC000594866982 356344677 /nfs/dbraw/zinc/34/46/77/356344677.db2.gz RSBFDRJBMVDYOG-NSHDSACASA-N -1 1 324.399 1.162 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](CC(C)C)N2CCOCC2)c([O-])c1 ZINC000358745805 299240209 /nfs/dbraw/zinc/24/02/09/299240209.db2.gz STXSFJFDONGWBE-AWEZNQCLSA-N -1 1 321.421 1.572 20 0 DDADMM C[C@H](CCNC(=O)Cc1ccc([O-])c(Cl)c1)[S@@](C)=O ZINC000601334584 358503688 /nfs/dbraw/zinc/50/36/88/358503688.db2.gz BEDJFTBUMNNBDV-AYLIAGHASA-N -1 1 303.811 1.861 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C(C)(C)C(C)(C)O)cc1C ZINC000595320514 356448413 /nfs/dbraw/zinc/44/84/13/356448413.db2.gz GAHKNBDPRQSQEP-UHFFFAOYSA-N -1 1 319.379 1.202 20 0 DDADMM O=C(NCc1n[n-]c(=S)n1C1CC1)[C@@H](O)c1ccccc1 ZINC000081354888 192255506 /nfs/dbraw/zinc/25/55/06/192255506.db2.gz JVZKGWLMRQCWRT-LBPRGKRZSA-N -1 1 304.375 1.625 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](C2CCCCC2)C1 ZINC000618186316 363492657 /nfs/dbraw/zinc/49/26/57/363492657.db2.gz JJRLUQIYVXHJJL-NSHDSACASA-N -1 1 321.377 1.939 20 0 DDADMM COCCN(CC(=O)[O-])C(=O)c1cc(-c2ccc(C)cc2)[nH]n1 ZINC000237065419 202140463 /nfs/dbraw/zinc/14/04/63/202140463.db2.gz QVOGCSLLXKNJTR-UHFFFAOYSA-N -1 1 317.345 1.558 20 0 DDADMM Cn1c(C2CCN(C(=O)C3(O)CCCCC3)CC2)n[n-]c1=S ZINC000086583949 192585717 /nfs/dbraw/zinc/58/57/17/192585717.db2.gz VQJIQTLWRCDIJM-UHFFFAOYSA-N -1 1 324.450 1.879 20 0 DDADMM Cc1cnc(C(=O)N[C@]2(C(=O)OC(C)(C)C)CCOC2)c([O-])c1 ZINC000598168561 357480713 /nfs/dbraw/zinc/48/07/13/357480713.db2.gz QVOWMFHSNQYLNI-MRXNPFEDSA-N -1 1 322.361 1.326 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]CC(=O)OC(C)(C)C)C1CC1 ZINC000599237586 357859380 /nfs/dbraw/zinc/85/93/80/357859380.db2.gz KSCWFBHRFNAEMS-NSHDSACASA-N -1 1 307.412 1.063 20 0 DDADMM COC(=O)[C@H](C[C@H]1CCCOC1)N=c1nc(C2CC2)[n-]s1 ZINC000599162163 357834599 /nfs/dbraw/zinc/83/45/99/357834599.db2.gz DPYQLAXXDGGKBM-KOLCDFICSA-N -1 1 311.407 1.608 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](CO)CCC(C)C)o1 ZINC000599410605 357924858 /nfs/dbraw/zinc/92/48/58/357924858.db2.gz MHVRMIYHZXIABW-JTQLQIEISA-N -1 1 319.379 1.142 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N2CCc3nc[nH]c3C2)c1 ZINC000599952008 358088698 /nfs/dbraw/zinc/08/86/98/358088698.db2.gz KGOODXNOUPGDAF-QFIPXVFZSA-N -1 1 319.386 1.111 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCS(=O)(=O)CC1 ZINC000180496912 199166707 /nfs/dbraw/zinc/16/67/07/199166707.db2.gz SZSFAVHXDXZDHS-UHFFFAOYSA-N -1 1 323.292 1.282 20 0 DDADMM Cc1ccc(C)n1-c1sccc1C(=O)NCc1nn[n-]n1 ZINC000600505583 358242473 /nfs/dbraw/zinc/24/24/73/358242473.db2.gz PKOGNFNLQVMNJS-UHFFFAOYSA-N -1 1 302.363 1.599 20 0 DDADMM CC(C)Cc1ccc(C(=O)CCC(=O)NCc2nn[n-]n2)cc1 ZINC000600504882 358242651 /nfs/dbraw/zinc/24/26/51/358242651.db2.gz LAGSOURXLJHWRM-UHFFFAOYSA-N -1 1 315.377 1.678 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(CC(C)C)[nH]c(=O)c1)c1nn[n-]n1 ZINC000180553573 199174916 /nfs/dbraw/zinc/17/49/16/199174916.db2.gz CJLPLKDDWDRVKK-GFCCVEGCSA-N -1 1 318.381 1.770 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)CC2(OC)CCC2)cn1 ZINC000601978085 358763616 /nfs/dbraw/zinc/76/36/16/358763616.db2.gz SKLSSJJSXGRNLI-UHFFFAOYSA-N -1 1 314.363 1.179 20 0 DDADMM C[C@@H](C[S@](C)=O)N(C)C(=O)N=c1[n-]sc2ccccc21 ZINC000603052925 359378641 /nfs/dbraw/zinc/37/86/41/359378641.db2.gz YEHMFMBMPSUTAL-LXGOIASLSA-N -1 1 311.432 1.949 20 0 DDADMM Cc1ccc(CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000618486712 363648780 /nfs/dbraw/zinc/64/87/80/363648780.db2.gz BVIIOJPKCQSVBW-INIZCTEOSA-N -1 1 307.357 1.956 20 0 DDADMM CC1(C)CN(C(=O)c2c(F)ccc([O-])c2F)CCS1(=O)=O ZINC000281012909 216022879 /nfs/dbraw/zinc/02/28/79/216022879.db2.gz NPLTUUBUZYSJPN-UHFFFAOYSA-N -1 1 319.329 1.320 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)CN(C)C(=O)C3CC3)[nH][n-]2)s1 ZINC000603547362 359656582 /nfs/dbraw/zinc/65/65/82/359656582.db2.gz NSAWPWSKALHKSD-UHFFFAOYSA-N -1 1 318.402 1.675 20 0 DDADMM CCc1ccccc1S(=O)(=O)NN=c1nc(OC)cc(C)[n-]1 ZINC000187517956 200122222 /nfs/dbraw/zinc/12/22/22/200122222.db2.gz GANHSHWAQBVQOT-UHFFFAOYSA-N -1 1 322.390 1.661 20 0 DDADMM O=C(COc1ccc(F)cc1F)NC1(c2nn[n-]n2)CCCC1 ZINC000605381359 359848030 /nfs/dbraw/zinc/84/80/30/359848030.db2.gz ITQRZNHMSXNUJI-UHFFFAOYSA-N -1 1 323.303 1.442 20 0 DDADMM O=S(=O)([N-]c1ccn(CCc2ccncc2)n1)N1CCCC1 ZINC000607881097 360060101 /nfs/dbraw/zinc/06/01/01/360060101.db2.gz NZEVREPQLPOLCP-UHFFFAOYSA-N -1 1 321.406 1.273 20 0 DDADMM C[C@@H]1CC[C@H](CCC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000608356371 360164477 /nfs/dbraw/zinc/16/44/77/360164477.db2.gz NUNKKEMHZVTRBM-UXIGCNINSA-N -1 1 315.377 1.753 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@H]2COC[C@]2(C)C1 ZINC000618713512 363731875 /nfs/dbraw/zinc/73/18/75/363731875.db2.gz WCTAVPXQRZIRNW-XPTSAGLGSA-N -1 1 309.391 1.321 20 0 DDADMM COCC[C@](C)(O)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000611685804 360791932 /nfs/dbraw/zinc/79/19/32/360791932.db2.gz SPMXELWEOIQVHE-INIZCTEOSA-N -1 1 304.346 1.458 20 0 DDADMM Cc1nc2n(n1)CCN(C(=O)c1ncc3ccccc3c1[O-])C2 ZINC000611884640 360849428 /nfs/dbraw/zinc/84/94/28/360849428.db2.gz IMHFOCNQHNXPKJ-UHFFFAOYSA-N -1 1 309.329 1.496 20 0 DDADMM CO[C@H](C)[C@@H](C)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000292458907 223094675 /nfs/dbraw/zinc/09/46/75/223094675.db2.gz GQOBLSCBHYWZFQ-BXKDBHETSA-N -1 1 320.393 1.524 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC[C@H](OCCO)C1 ZINC000612680810 361107071 /nfs/dbraw/zinc/10/70/71/361107071.db2.gz YZLMXQDJARMFQR-ZDUSSCGKSA-N -1 1 316.357 1.554 20 0 DDADMM Cc1ccn([C@@H](C)CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000612818429 361155821 /nfs/dbraw/zinc/15/58/21/361155821.db2.gz WHGMATBSVDAUOY-NWDGAFQWSA-N -1 1 303.370 1.062 20 0 DDADMM C[C@H]1SCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@@H]1C ZINC000118460594 291259219 /nfs/dbraw/zinc/25/92/19/291259219.db2.gz RVUDCUBEQFZYLF-NXEZZACHSA-N -1 1 303.387 1.889 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@H]2CCNC2=O)c1 ZINC000613304903 361374498 /nfs/dbraw/zinc/37/44/98/361374498.db2.gz LJNIBDXVQJGCPH-ZETCQYMHSA-N -1 1 313.151 1.538 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cn(C)nc1-c1ccco1)c1nn[n-]n1 ZINC000613474054 361434168 /nfs/dbraw/zinc/43/41/68/361434168.db2.gz FCMULKYGIHHQGW-VIFPVBQESA-N -1 1 315.337 1.069 20 0 DDADMM CCO[C@H]1COCC[C@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000613497352 361443184 /nfs/dbraw/zinc/44/31/84/361443184.db2.gz DRTCDVAAFIGXLM-KGLIPLIRSA-N -1 1 316.357 1.864 20 0 DDADMM Cc1ccc2c(c1)CC[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)O2 ZINC000281524905 216361331 /nfs/dbraw/zinc/36/13/31/216361331.db2.gz IPBPDUDRGSVQCX-FZMZJTMJSA-N -1 1 315.377 1.464 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc([C@H]3C[C@H]3C)[nH]n2)o1 ZINC000194552361 201250236 /nfs/dbraw/zinc/25/02/36/201250236.db2.gz MXKRQPLBVVVUDS-SFYZADRCSA-N -1 1 324.362 1.287 20 0 DDADMM C[C@H](CN(C)C(=O)CSCc1ccc(F)cc1)c1nn[n-]n1 ZINC000614040822 361673042 /nfs/dbraw/zinc/67/30/42/361673042.db2.gz JMVYISOPLXVOEZ-SNVBAGLBSA-N -1 1 323.397 1.834 20 0 DDADMM C[C@H]1CCN1C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000620211569 364336422 /nfs/dbraw/zinc/33/64/22/364336422.db2.gz OBPQAKSBLLTIEG-VIFPVBQESA-N -1 1 300.380 1.591 20 0 DDADMM CC(C)(CNC(=O)C(=O)c1ccc([O-])cc1)[C@@]1(O)CCCOC1 ZINC000620107365 364289973 /nfs/dbraw/zinc/28/99/73/364289973.db2.gz LAKLXENOYWHSNN-QGZVFWFLSA-N -1 1 321.373 1.259 20 0 DDADMM CCO[C@@H]1C[C@H]1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091159926 193008377 /nfs/dbraw/zinc/00/83/77/193008377.db2.gz QRHNDPKWFVSXOM-BXKDBHETSA-N -1 1 316.354 1.561 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)N3C[C@@H](C)[C@@](C)(CO)C3)c[nH]c12 ZINC000622181269 365317334 /nfs/dbraw/zinc/31/73/34/365317334.db2.gz NYKPHMPHXWHQCP-KZULUSFZSA-N -1 1 314.385 1.927 20 0 DDADMM CC[C@H](C)[C@H]1CCCCN1S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349631597 283989957 /nfs/dbraw/zinc/98/99/57/283989957.db2.gz XGCNWIUHFWBMIN-GXSJLCMTSA-N -1 1 301.412 1.985 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)CCO3)cn1 ZINC000349971861 284123750 /nfs/dbraw/zinc/12/37/50/284123750.db2.gz LLFPPHXHKYZCCR-UHFFFAOYSA-N -1 1 306.343 1.826 20 0 DDADMM CCCCc1noc([C@H](C)N2CC[C@@](COC)(C(=O)[O-])C2)n1 ZINC000566360053 304148731 /nfs/dbraw/zinc/14/87/31/304148731.db2.gz GEGHUBUIMJCITM-XHDPSFHLSA-N -1 1 311.382 1.896 20 0 DDADMM COc1ccc(-c2cc(C(=O)N(C)[C@H](C)C(=O)[O-])n[nH]2)cc1 ZINC000262117919 203203810 /nfs/dbraw/zinc/20/38/10/203203810.db2.gz JHRWFLOIVRCUAT-SECBINFHSA-N -1 1 303.318 1.630 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(C(=O)c1cc(-c3ccco3)[nH]n1)CC2 ZINC000262430626 203292511 /nfs/dbraw/zinc/29/25/11/203292511.db2.gz MGTGYELWPHTSJJ-JTQLQIEISA-N -1 1 315.329 1.997 20 0 DDADMM C[C@@H](C(=O)Nc1cccc2ncccc21)N(C)CCC(=O)[O-] ZINC000262612482 203350850 /nfs/dbraw/zinc/35/08/50/203350850.db2.gz CFLHVRWDAVZOTM-NSHDSACASA-N -1 1 301.346 1.968 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCN(C(=O)c2cncc([O-])c2)C1 ZINC000264740295 204118150 /nfs/dbraw/zinc/11/81/50/204118150.db2.gz GNLKOOOWCWMNOP-LLVKDONJSA-N -1 1 307.350 1.526 20 0 DDADMM O=C(NCCCN1CCOCC1)c1c(F)ccc([O-])c1F ZINC000350766942 284257813 /nfs/dbraw/zinc/25/78/13/284257813.db2.gz GEHSZQFLGXSKFI-UHFFFAOYSA-N -1 1 300.305 1.123 20 0 DDADMM CC1(C)CCC[C@@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000274748975 211896724 /nfs/dbraw/zinc/89/67/24/211896724.db2.gz DYWQHYOTLZEQNA-SNVBAGLBSA-N -1 1 312.391 1.804 20 0 DDADMM Cc1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(C(C)C)n1 ZINC000274744973 211893505 /nfs/dbraw/zinc/89/35/05/211893505.db2.gz GDCPZGHBMVFUKN-SECBINFHSA-N -1 1 303.370 1.297 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2ccncc2F)s1 ZINC000351505890 284351896 /nfs/dbraw/zinc/35/18/96/284351896.db2.gz DERVQEMMEICNGT-UHFFFAOYSA-N -1 1 316.335 1.292 20 0 DDADMM C[C@@H]1OCC[C@@]12CN(C(=O)C(=O)c1ccc([O-])cc1)C[C@@H](C)O2 ZINC000288352097 220102827 /nfs/dbraw/zinc/10/28/27/220102827.db2.gz VRQNSDBPXPXMNP-BWACUDIHSA-N -1 1 319.357 1.370 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@H]1O)c1cncc([O-])c1 ZINC000282919811 217325226 /nfs/dbraw/zinc/32/52/26/217325226.db2.gz DYJRMZWOGWXDCI-FZMZJTMJSA-N -1 1 306.362 1.085 20 0 DDADMM O=C(c1cc(F)c([O-])c(F)c1)N1CCC[C@H](c2nc(=O)[nH][nH]2)C1 ZINC000282919742 217325451 /nfs/dbraw/zinc/32/54/51/217325451.db2.gz NSXSUYKNZBFINQ-ZETCQYMHSA-N -1 1 324.287 1.102 20 0 DDADMM C[C@H](O)C1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000283031469 217398944 /nfs/dbraw/zinc/39/89/44/217398944.db2.gz KOAMJLBCHZRCSL-VIFPVBQESA-N -1 1 306.391 1.251 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CSC[C@H]3CCCCO3)ccnc1-2 ZINC000283018699 217391084 /nfs/dbraw/zinc/39/10/84/217391084.db2.gz FBAOQGJCGFSXSN-SHTJFRFBSA-N -1 1 320.418 1.583 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@H](c2nncn2C2CC2)C1 ZINC000267946390 206353307 /nfs/dbraw/zinc/35/33/07/206353307.db2.gz INJAONOIOSRBDH-NSHDSACASA-N -1 1 313.361 1.733 20 0 DDADMM CCN(C(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1)c1ccccc1 ZINC000151545893 248295055 /nfs/dbraw/zinc/29/50/55/248295055.db2.gz JVQJOFCPSLYJRN-UHFFFAOYSA-N -1 1 324.340 1.999 20 0 DDADMM CCN(C(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[n-]1)c1ccccc1 ZINC000151545893 248295058 /nfs/dbraw/zinc/29/50/58/248295058.db2.gz JVQJOFCPSLYJRN-UHFFFAOYSA-N -1 1 324.340 1.999 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCn2c(nnc2-c2ccccc2)C1 ZINC000173353367 248335952 /nfs/dbraw/zinc/33/59/52/248335952.db2.gz WSZFLHLJTQPYQN-UHFFFAOYSA-N -1 1 321.340 1.702 20 0 DDADMM C[C@@H](CCc1ccco1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000040432770 183066303 /nfs/dbraw/zinc/06/63/03/183066303.db2.gz MEZXNLTVQYMNLW-VIFPVBQESA-N -1 1 317.798 1.966 20 0 DDADMM O=C(c1ccc2ccncc2n1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000567229079 304205545 /nfs/dbraw/zinc/20/55/45/304205545.db2.gz RLRDBVGAMIYOHC-LLVKDONJSA-N -1 1 309.333 1.163 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C)C(=O)N2CCCC[C@@H]2C)c([O-])c1 ZINC000334039166 249188645 /nfs/dbraw/zinc/18/86/45/249188645.db2.gz VJBVRTLOZGENTP-RYUDHWBXSA-N -1 1 305.378 1.615 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCO[C@@H](C2CCC2)C1 ZINC000334133330 249227029 /nfs/dbraw/zinc/22/70/29/249227029.db2.gz WECZSKHZFACOMB-CYBMUJFWSA-N -1 1 307.419 1.780 20 0 DDADMM COc1cncc(S(=O)(=O)Nc2c(C)cccc2C(=O)[O-])c1 ZINC000337188335 249380668 /nfs/dbraw/zinc/38/06/68/249380668.db2.gz QHFHVENYJURYNP-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM Cc1nc([C@H]2CN(C(=O)c3cccc(C(=O)[O-])c3)CCO2)n[nH]1 ZINC000567269645 304209778 /nfs/dbraw/zinc/20/97/78/304209778.db2.gz QDJILJGZVFXRJE-GFCCVEGCSA-N -1 1 316.317 1.025 20 0 DDADMM Fc1ccc(Cc2n[n-]c(=NCCc3nc[nH]n3)s2)cc1 ZINC000338678518 250087472 /nfs/dbraw/zinc/08/74/72/250087472.db2.gz SIZLRHKRDFGXOT-UHFFFAOYSA-N -1 1 304.354 1.463 20 0 DDADMM NC(=O)CCCCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000338769617 250129537 /nfs/dbraw/zinc/12/95/37/250129537.db2.gz WQRGBDZOWYOUGY-UHFFFAOYSA-N -1 1 302.334 1.767 20 0 DDADMM C[C@@H](CN1CCCC1=O)[N-]S(=O)(=O)c1sccc1F ZINC000338869009 250172380 /nfs/dbraw/zinc/17/23/80/250172380.db2.gz ZHRJLUUIVFVSPM-QMMMGPOBSA-N -1 1 306.384 1.176 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC[C@@H](N2CCOCC2)C1 ZINC000105569928 194111713 /nfs/dbraw/zinc/11/17/13/194111713.db2.gz HIECXOIAMMVRDM-GFCCVEGCSA-N -1 1 310.781 1.592 20 0 DDADMM O=C([O-])CCC(=O)NCCCN1CCN(c2ccccc2)CC1 ZINC000567384136 304216910 /nfs/dbraw/zinc/21/69/10/304216910.db2.gz VYINIPLLOINFFS-UHFFFAOYSA-N -1 1 319.405 1.180 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2COc3ccccc3O2)n1 ZINC000339173820 250327408 /nfs/dbraw/zinc/32/74/08/250327408.db2.gz LNQGBJZXONPFMO-GFCCVEGCSA-N -1 1 317.301 1.365 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCC(=O)c2ccccc21)c1nn[n-]n1 ZINC000285331186 218384390 /nfs/dbraw/zinc/38/43/90/218384390.db2.gz QRFYTVHKMNRKFS-STQMWFEESA-N -1 1 313.361 1.917 20 0 DDADMM C[C@@H](Sc1nc(C(F)(F)F)cc(=O)[n-]1)C(=O)NC1CC1 ZINC000109713645 194280743 /nfs/dbraw/zinc/28/07/43/194280743.db2.gz MWDPZEHZEJUDPX-RXMQYKEDSA-N -1 1 307.297 1.960 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1(c2ccccc2)CC1 ZINC000352184348 284848353 /nfs/dbraw/zinc/84/83/53/284848353.db2.gz PQYXFRNBFWWPTL-UHFFFAOYSA-N -1 1 315.329 1.358 20 0 DDADMM Cc1noc(C(C)(C)[N-]S(=O)(=O)c2cncc(F)c2)n1 ZINC000340742759 251187812 /nfs/dbraw/zinc/18/78/12/251187812.db2.gz UPPXICZWMBXYFL-UHFFFAOYSA-N -1 1 300.315 1.126 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCC[C@@H]1F ZINC000340827633 251231237 /nfs/dbraw/zinc/23/12/37/251231237.db2.gz PKEGWGWDYZXHOT-NWDGAFQWSA-N -1 1 306.341 1.601 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)NCCN(C)C1CC1 ZINC000061995372 184200732 /nfs/dbraw/zinc/20/07/32/184200732.db2.gz PEXQHYNBIXLYKL-UHFFFAOYSA-N -1 1 312.391 1.066 20 0 DDADMM O=S(=O)(Cc1nnnn1-c1ccccc1)c1ccc([O-])cc1 ZINC000063927338 184290539 /nfs/dbraw/zinc/29/05/39/184290539.db2.gz UWTWWHMGKZXGJN-UHFFFAOYSA-N -1 1 316.342 1.342 20 0 DDADMM COCC[C@@H](C)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000412470778 224036166 /nfs/dbraw/zinc/03/61/66/224036166.db2.gz JXCIVLSECBVMOI-SNVBAGLBSA-N -1 1 320.393 1.383 20 0 DDADMM COC(=O)c1cc(F)cc([N-]C(=O)c2ncc(OC)cn2)c1F ZINC000352428145 285043502 /nfs/dbraw/zinc/04/35/02/285043502.db2.gz NPHYGWIQWFFNMZ-UHFFFAOYSA-N -1 1 323.255 1.802 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(F)cc1F ZINC000352430687 285045284 /nfs/dbraw/zinc/04/52/84/285045284.db2.gz HLBPBHVPDYKIAP-ZETCQYMHSA-N -1 1 319.271 1.187 20 0 DDADMM O=C(NCCc1ccc(Cl)cc1)c1cc(=O)n2[n-]cnc2n1 ZINC000352437273 285050328 /nfs/dbraw/zinc/05/03/28/285050328.db2.gz CAPHDTXUTXIOGL-UHFFFAOYSA-N -1 1 317.736 1.044 20 0 DDADMM COC[C@@H](CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O)OC ZINC000272983152 210362001 /nfs/dbraw/zinc/36/20/01/210362001.db2.gz PGWWPXKRCLASSA-SNVBAGLBSA-N -1 1 311.382 1.179 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2cncc(F)c2)s1 ZINC000352564418 285144190 /nfs/dbraw/zinc/14/41/90/285144190.db2.gz FHRQYNWCQOXUPF-UHFFFAOYSA-N -1 1 302.356 1.113 20 0 DDADMM CC(C)Cc1nc(=NCC2(S(C)(=O)=O)CCC2)s[n-]1 ZINC000360008604 299579978 /nfs/dbraw/zinc/57/99/78/299579978.db2.gz XYFHGHCMMOQVDI-UHFFFAOYSA-N -1 1 303.453 1.538 20 0 DDADMM CO[C@]1(C)CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000332526165 533368775 /nfs/dbraw/zinc/36/87/75/533368775.db2.gz CJUZACMVXOUIIQ-MRXNPFEDSA-N -1 1 301.346 1.564 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(Cl)cc(F)cc1Cl)C(N)=O ZINC000435429712 533479604 /nfs/dbraw/zinc/47/96/04/533479604.db2.gz YTNQAVKJVVEISF-SCSAIBSYSA-N -1 1 315.153 1.285 20 0 DDADMM CO[C@@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1sccc1F ZINC000451791476 533503077 /nfs/dbraw/zinc/50/30/77/533503077.db2.gz QFTOBLARRIHDKJ-PSASIEDQSA-N -1 1 309.384 1.217 20 0 DDADMM C[C@H](CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1)C(C)(C)C ZINC000330988824 533634547 /nfs/dbraw/zinc/63/45/47/533634547.db2.gz MAPYOXGZMSXFFG-SNVBAGLBSA-N -1 1 311.455 1.672 20 0 DDADMM C[C@@H]1CCN([C@H]2CCN(c3ccccc3F)C2=O)C[C@@H]1C(=O)[O-] ZINC000568883205 304319665 /nfs/dbraw/zinc/31/96/65/304319665.db2.gz SPGJHJWFAITCTB-XUJVJEKNSA-N -1 1 320.364 1.974 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H]2CCC[C@@H](F)C2)oc1C ZINC000569335862 304347734 /nfs/dbraw/zinc/34/77/34/304347734.db2.gz COYCZUZTXHLDJU-NXEZZACHSA-N -1 1 319.354 1.934 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1(c2ccccc2)CCC1 ZINC000416138072 286188226 /nfs/dbraw/zinc/18/82/26/286188226.db2.gz OHGQPFBGJVKNSU-UHFFFAOYSA-N -1 1 315.329 1.706 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C[C@@H](C)C(C)C ZINC000114411717 407570250 /nfs/dbraw/zinc/57/02/50/407570250.db2.gz UHJKRVJLHFYHGM-SNVBAGLBSA-N -1 1 304.394 1.938 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCCO1)c1ccc(F)c(F)c1F ZINC000049064607 407115030 /nfs/dbraw/zinc/11/50/30/407115030.db2.gz PCNXOHWSXFNBIO-MRVPVSSYSA-N -1 1 309.309 1.951 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCO[C@@H](C)C1)c2=O ZINC000046762367 407063292 /nfs/dbraw/zinc/06/32/92/407063292.db2.gz DZTMPYHLJMFAQH-JTQLQIEISA-N -1 1 302.330 1.398 20 0 DDADMM C[C@@H](C(=O)[N-]OCC(N)=O)c1ccc(Br)cc1 ZINC000089462545 407136241 /nfs/dbraw/zinc/13/62/41/407136241.db2.gz ARTNCQKMEIXGNO-SSDOTTSWSA-N -1 1 301.140 1.086 20 0 DDADMM CCNC(=O)CCCC(=O)NCc1n[n-]c(=S)n1C1CC1 ZINC000066637768 407256385 /nfs/dbraw/zinc/25/63/85/407256385.db2.gz LZMKPHNHWOPFHE-UHFFFAOYSA-N -1 1 311.411 1.198 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1ccc(F)c(F)c1F ZINC000062160401 407230897 /nfs/dbraw/zinc/23/08/97/407230897.db2.gz PQFPUMZYNSFHJJ-UHFFFAOYSA-N -1 1 313.297 1.509 20 0 DDADMM CO[C@H](C(=O)NCCc1n[n-]c(=S)n1C)c1ccccc1 ZINC000067050919 407266870 /nfs/dbraw/zinc/26/68/70/407266870.db2.gz DUOHJTIAQGZIBL-LBPRGKRZSA-N -1 1 306.391 1.524 20 0 DDADMM CC[C@@H](CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000103521250 407341741 /nfs/dbraw/zinc/34/17/41/407341741.db2.gz LZTGWWYHGDPVTQ-JTQLQIEISA-N -1 1 322.390 1.731 20 0 DDADMM CC[C@@H](CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000103521250 407341743 /nfs/dbraw/zinc/34/17/43/407341743.db2.gz LZTGWWYHGDPVTQ-JTQLQIEISA-N -1 1 322.390 1.731 20 0 DDADMM CC[C@H]1CCCN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000104034959 407345129 /nfs/dbraw/zinc/34/51/29/407345129.db2.gz PJDPLVLSPVQNSS-ZDUSSCGKSA-N -1 1 316.405 1.831 20 0 DDADMM C[C@@H](CC[N-]S(=O)(=O)c1cc(F)ccc1F)[S@@](C)=O ZINC000124167858 407350100 /nfs/dbraw/zinc/35/01/00/407350100.db2.gz SRMNXNDBZNWHOO-ASCAZGACSA-N -1 1 311.375 1.400 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2ccccc2)o1 ZINC000107697688 407378486 /nfs/dbraw/zinc/37/84/86/407378486.db2.gz MNCXXRWGIRRDTE-UHFFFAOYSA-N -1 1 309.343 1.587 20 0 DDADMM CC(C)C[C@H](CO)N(C)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000185627680 407463278 /nfs/dbraw/zinc/46/32/78/407463278.db2.gz ZAUWVJRHFRQSPV-LLVKDONJSA-N -1 1 303.362 1.402 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cccc(C)n2)c1 ZINC000151988612 407539706 /nfs/dbraw/zinc/53/97/06/407539706.db2.gz AICQDNGRDHRAMF-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM Cc1cccc2[nH]cc(CC(=O)N3CCC[C@@H](c4nn[n-]n4)C3)c21 ZINC000129459739 407611792 /nfs/dbraw/zinc/61/17/92/407611792.db2.gz XCXFVNYLHDQXNY-GFCCVEGCSA-N -1 1 324.388 1.938 20 0 DDADMM O=S(=O)(Cc1csc(Br)c1)c1ncn[n-]1 ZINC000153042382 407740792 /nfs/dbraw/zinc/74/07/92/407740792.db2.gz QMLMQELKAOWCTP-UHFFFAOYSA-N -1 1 308.182 1.603 20 0 DDADMM O=S(=O)(Cc1csc(Br)c1)c1nc[n-]n1 ZINC000153042382 407740797 /nfs/dbraw/zinc/74/07/97/407740797.db2.gz QMLMQELKAOWCTP-UHFFFAOYSA-N -1 1 308.182 1.603 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2ccc3c(c2)OCO3)[nH]n1 ZINC000180161444 407861511 /nfs/dbraw/zinc/86/15/11/407861511.db2.gz DMNPLRMMAKUUOR-UHFFFAOYSA-N -1 1 309.347 1.892 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)CCC[C@H]2C)o1 ZINC000133484772 407851674 /nfs/dbraw/zinc/85/16/74/407851674.db2.gz DOURDRIKUXQYBY-GHMZBOCLSA-N -1 1 314.407 1.838 20 0 DDADMM NC(=O)COc1ccc(NC(=O)c2ccc([O-])cc2F)cc1 ZINC000181108442 407954547 /nfs/dbraw/zinc/95/45/47/407954547.db2.gz DRSSVGSLYNQKLM-UHFFFAOYSA-N -1 1 304.277 1.648 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H]1OC(=O)c2ccccc21)c1nn[n-]n1 ZINC000268243955 407968755 /nfs/dbraw/zinc/96/87/55/407968755.db2.gz VNMRGUUVDMJCHQ-VXGBXAGGSA-N -1 1 315.333 1.459 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](CO)CC1)c1cc(F)c(F)cc1F ZINC000245614558 408029315 /nfs/dbraw/zinc/02/93/15/408029315.db2.gz MDOILASEBBMKCO-KYZUINATSA-N -1 1 323.336 1.933 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cc(C(C)(C)C)[nH]n2)n[nH]1 ZINC000181753258 408034088 /nfs/dbraw/zinc/03/40/88/408034088.db2.gz KZTZWNUJHDPASV-UHFFFAOYSA-N -1 1 305.338 1.859 20 0 DDADMM COC(=O)C[N-]S(=O)(=O)Cc1ccc(Cl)cc1Cl ZINC000181605105 408017137 /nfs/dbraw/zinc/01/71/37/408017137.db2.gz ZLIRDNBZGFKTBH-UHFFFAOYSA-N -1 1 312.174 1.586 20 0 DDADMM NC(=O)[C@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000175294041 408097087 /nfs/dbraw/zinc/09/70/87/408097087.db2.gz OZWNQPBMRQQHTE-WPRPVWTQSA-N -1 1 318.345 1.287 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)N2CC[C@@](C)(C(=O)[O-])C2)n[nH]1 ZINC000263356066 408116198 /nfs/dbraw/zinc/11/61/98/408116198.db2.gz JAFNBAUYQFJULT-CQSZACIVSA-N -1 1 309.370 1.108 20 0 DDADMM CN(Cc1ccc([S@@](C)=O)cc1)C(=O)c1cncc([O-])c1 ZINC000175452447 408134604 /nfs/dbraw/zinc/13/46/04/408134604.db2.gz GEXOQEFYCUDDFR-OAQYLSRUSA-N -1 1 304.371 1.797 20 0 DDADMM Cc1nc(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(C)s1 ZINC000155495807 408175145 /nfs/dbraw/zinc/17/51/45/408175145.db2.gz AHHWWGVIHOEEIA-JTQLQIEISA-N -1 1 306.395 1.222 20 0 DDADMM Cc1noc(C)c1CSCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000273414175 408188386 /nfs/dbraw/zinc/18/83/86/408188386.db2.gz DRXKTBUWZNNCAE-QMMMGPOBSA-N -1 1 324.410 1.300 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCc2ccccc2C1 ZINC000175918286 408238468 /nfs/dbraw/zinc/23/84/68/408238468.db2.gz OZYDTXZZRVAODQ-UHFFFAOYSA-N -1 1 315.398 1.999 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2[C@H](C)CCC[C@@H]2C)o1 ZINC000246386524 408242086 /nfs/dbraw/zinc/24/20/86/408242086.db2.gz NTYOEQUKTGDNIB-IWIIMEHWSA-N -1 1 314.407 1.742 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC([C@@H](O)C(F)(F)F)CC1 ZINC000151038507 408244614 /nfs/dbraw/zinc/24/46/14/408244614.db2.gz LCNIEMLBGKLUDF-LLVKDONJSA-N -1 1 304.268 1.563 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC[C@@H](C)C1 ZINC000263673993 408201201 /nfs/dbraw/zinc/20/12/01/408201201.db2.gz FYHILXNNRDKHEA-SNVBAGLBSA-N -1 1 302.378 1.853 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000263676456 408201715 /nfs/dbraw/zinc/20/17/15/408201715.db2.gz JYGJNGWTXNQABR-LLVKDONJSA-N -1 1 321.377 1.774 20 0 DDADMM COc1ccc(CNC(=O)c2ncccc2[O-])c(OC)c1OC ZINC000263682406 408203629 /nfs/dbraw/zinc/20/36/29/408203629.db2.gz NWNIJEKVLIGZER-UHFFFAOYSA-N -1 1 318.329 1.743 20 0 DDADMM CN(Cc1ccccc1)C(=O)C[N-]C(=O)C(F)(F)C(F)F ZINC000176071030 408280380 /nfs/dbraw/zinc/28/03/80/408280380.db2.gz ZSPBRTGDXGOPSY-UHFFFAOYSA-N -1 1 306.259 1.662 20 0 DDADMM O=C(NC1CC1)N[C@H]1CCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000273676468 408285615 /nfs/dbraw/zinc/28/56/15/408285615.db2.gz INRVCSXKABZDAY-NSHDSACASA-N -1 1 307.325 1.207 20 0 DDADMM COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1ccc([O-])cc1F ZINC000190695411 408305698 /nfs/dbraw/zinc/30/56/98/408305698.db2.gz JASORUOQCCZKEE-UDZFHETQSA-N -1 1 307.321 1.945 20 0 DDADMM CCC[C@@H]1SCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132724526 162043230 /nfs/dbraw/zinc/04/32/30/162043230.db2.gz XWIIEWYQUBOGIC-NSHDSACASA-N -1 1 306.391 1.989 20 0 DDADMM CO[C@@]1(C)C[C@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C1(C)C ZINC000132803666 162045188 /nfs/dbraw/zinc/04/51/88/162045188.db2.gz NAHQEECYYKOBIV-ZBEGNZNMSA-N -1 1 318.377 1.607 20 0 DDADMM CO[C@@H]1CC[C@@H]2OCCN(C(=O)c3ccc([O-])cc3F)[C@@H]2C1 ZINC000133433193 162058717 /nfs/dbraw/zinc/05/87/17/162058717.db2.gz PNPZDHQJJSDNMT-DFBGVHRSSA-N -1 1 309.337 1.940 20 0 DDADMM CC(C)COC[C@@H](O)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000159221956 408391360 /nfs/dbraw/zinc/39/13/60/408391360.db2.gz FVSJOQFUELHENJ-JTQLQIEISA-N -1 1 323.361 1.277 20 0 DDADMM Cc1nc(C(C)(C)[N-]S(=O)(=O)c2cccc(F)c2F)no1 ZINC000264185303 408394008 /nfs/dbraw/zinc/39/40/08/408394008.db2.gz LBWOJYZVDBAPON-UHFFFAOYSA-N -1 1 317.317 1.870 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2c(c1)OCCCO2)c1nn[n-]n1 ZINC000176736510 408428498 /nfs/dbraw/zinc/42/84/98/408428498.db2.gz GADILPZOQAIWOM-NSHDSACASA-N -1 1 317.349 1.632 20 0 DDADMM Cc1nc(CN2CCN(C(=O)c3ncccc3[O-])CC2)oc1C ZINC000264494224 408511448 /nfs/dbraw/zinc/51/14/48/408511448.db2.gz WWFRWTAAYOMRGH-UHFFFAOYSA-N -1 1 316.361 1.350 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H](C)c1c(C)noc1C)c1nn[n-]n1 ZINC000269913214 408466967 /nfs/dbraw/zinc/46/69/67/408466967.db2.gz IUQGVGAQPLCMAE-KCJUWKMLSA-N -1 1 306.370 1.956 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@H]1OC(=O)c2ccccc21)c1nn[n-]n1 ZINC000274800755 408535025 /nfs/dbraw/zinc/53/50/25/408535025.db2.gz SMSWFFFPPWPMFG-JOYOIKCWSA-N -1 1 315.333 1.063 20 0 DDADMM Cc1nnc([N-]C(=O)c2cc(S(C)(=O)=O)cs2)s1 ZINC000161726335 408634215 /nfs/dbraw/zinc/63/42/15/408634215.db2.gz CNFRALUBBUNSLA-UHFFFAOYSA-N -1 1 303.390 1.564 20 0 DDADMM C[C@@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1cc2ccccc2o1 ZINC000178308276 408802517 /nfs/dbraw/zinc/80/25/17/408802517.db2.gz KFZJBBDIPSDPIT-SNVBAGLBSA-N -1 1 314.345 1.697 20 0 DDADMM C[C@@]1(C(=O)[O-])CCC[C@@H]1NS(=O)(=O)c1c(F)cccc1F ZINC000164848926 408805106 /nfs/dbraw/zinc/80/51/06/408805106.db2.gz VFQBYHRSWTVIRQ-GXFFZTMASA-N -1 1 319.329 1.887 20 0 DDADMM CC(C)[C@H](NC(=O)CN1CC[C@@H](C(=O)[O-])C1)c1ccccc1 ZINC000252671453 408807670 /nfs/dbraw/zinc/80/76/70/408807670.db2.gz VUSSJUQOKXVZCA-ZBFHGGJFSA-N -1 1 304.390 1.906 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@H]1[C@H]1CCCO1 ZINC000285622472 408830663 /nfs/dbraw/zinc/83/06/63/408830663.db2.gz ARAYUQZOTYEGIA-NWDGAFQWSA-N -1 1 318.402 1.802 20 0 DDADMM Cc1nc(C2(NC(=O)C(=O)c3ccc([O-])cc3)CCCC2)no1 ZINC000280939613 408862806 /nfs/dbraw/zinc/86/28/06/408862806.db2.gz LEMQUOXWGBDNSN-UHFFFAOYSA-N -1 1 315.329 1.852 20 0 DDADMM CC(C)(C)[C@H](O)C[C@H](CO)NC(=O)c1c(F)ccc([O-])c1F ZINC000285964059 408898023 /nfs/dbraw/zinc/89/80/23/408898023.db2.gz QCZWCSMCTNKUFE-LDYMZIIASA-N -1 1 317.332 1.558 20 0 DDADMM O=C(C(=O)N1CC[C@H](n2cc(Cl)cn2)C1)c1ccc([O-])cc1 ZINC000291672906 408905498 /nfs/dbraw/zinc/90/54/98/408905498.db2.gz YQFIVLBGNORLHM-LBPRGKRZSA-N -1 1 319.748 1.898 20 0 DDADMM CC1(CC(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CCCC1 ZINC000291685549 408906184 /nfs/dbraw/zinc/90/61/84/408906184.db2.gz NIPPKWNTVZESGW-UHFFFAOYSA-N -1 1 312.391 1.949 20 0 DDADMM CC1CCC(N2C[C@H](C(=O)[N-]OC[C@H]3CCOC3)CC2=O)CC1 ZINC000189248480 163090159 /nfs/dbraw/zinc/09/01/59/163090159.db2.gz VZLGLRQSBUDAOL-RAFNIBEQSA-N -1 1 324.421 1.498 20 0 DDADMM CN1CC[C@@H]2CCN(C(=O)c3s[n-]c(=O)c3Cl)[C@@H]2C1 ZINC000286239317 408947279 /nfs/dbraw/zinc/94/72/79/408947279.db2.gz DLZWMBGYMQADKO-HTQZYQBOSA-N -1 1 301.799 1.668 20 0 DDADMM O=S(=O)(Cc1ccccn1)c1nnc(Cc2ccccc2)[n-]1 ZINC000195283155 163314328 /nfs/dbraw/zinc/31/43/28/163314328.db2.gz FZQHSMAWDWOOMC-UHFFFAOYSA-N -1 1 314.370 1.764 20 0 DDADMM O=S(=O)(Cc1ccccn1)c1nc(Cc2ccccc2)n[n-]1 ZINC000195283155 163314329 /nfs/dbraw/zinc/31/43/29/163314329.db2.gz FZQHSMAWDWOOMC-UHFFFAOYSA-N -1 1 314.370 1.764 20 0 DDADMM O=S(=O)(Cc1ccccn1)c1n[n-]c(Cc2ccccc2)n1 ZINC000195283155 163314330 /nfs/dbraw/zinc/31/43/30/163314330.db2.gz FZQHSMAWDWOOMC-UHFFFAOYSA-N -1 1 314.370 1.764 20 0 DDADMM Cc1nc(CS(=O)(=O)c2nc(Cc3ccccc3)n[n-]2)no1 ZINC000195288127 163315903 /nfs/dbraw/zinc/31/59/03/163315903.db2.gz BPUIRLCFXSVFEV-UHFFFAOYSA-N -1 1 319.346 1.061 20 0 DDADMM Cc1nc(CS(=O)(=O)c2nnc(Cc3ccccc3)[n-]2)no1 ZINC000195288127 163315905 /nfs/dbraw/zinc/31/59/05/163315905.db2.gz BPUIRLCFXSVFEV-UHFFFAOYSA-N -1 1 319.346 1.061 20 0 DDADMM Cc1nc(CS(=O)(=O)c2n[n-]c(Cc3ccccc3)n2)no1 ZINC000195288127 163315909 /nfs/dbraw/zinc/31/59/09/163315909.db2.gz BPUIRLCFXSVFEV-UHFFFAOYSA-N -1 1 319.346 1.061 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)F)c1c(Cl)cccc1Cl ZINC000228618414 163341826 /nfs/dbraw/zinc/34/18/26/163341826.db2.gz LXEXYDTWHPPRGB-ZETCQYMHSA-N -1 1 320.144 1.898 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)Oc1ccc(C=O)cc1)c1nn[n-]n1 ZINC000287682895 409076037 /nfs/dbraw/zinc/07/60/37/409076037.db2.gz WHVOWALFAVVHPE-GWCFXTLKSA-N -1 1 317.349 1.437 20 0 DDADMM Cc1ocnc1C[N-]S(=O)(=O)c1cccnc1C(F)(F)F ZINC000287736783 409084400 /nfs/dbraw/zinc/08/44/00/409084400.db2.gz HRSLVAMHXOERSZ-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM CC(C)OCCCCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287764335 409089152 /nfs/dbraw/zinc/08/91/52/409089152.db2.gz VUOCQFQLGVFAQN-UYRXBGFRSA-N -1 1 305.382 1.669 20 0 DDADMM COC(=O)[C@H](CF)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000287976869 409125599 /nfs/dbraw/zinc/12/55/99/409125599.db2.gz AEMSUXPJXJODKX-QMMMGPOBSA-N -1 1 309.215 1.652 20 0 DDADMM C[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccc2c(c1)OCO2 ZINC000293597736 409145785 /nfs/dbraw/zinc/14/57/85/409145785.db2.gz VOOQSSKYHCQMIW-VHSXEESVSA-N -1 1 317.349 1.294 20 0 DDADMM COc1ccc(NC(=O)CC(C)(C)O)cc1[N-]S(C)(=O)=O ZINC000279841855 409250127 /nfs/dbraw/zinc/25/01/27/409250127.db2.gz AICJWXFUQBIKKJ-UHFFFAOYSA-N -1 1 316.379 1.166 20 0 DDADMM COC[C@H](C[N-]S(=O)(=O)c1cc(Cl)ccc1F)OC ZINC000284179499 409291001 /nfs/dbraw/zinc/29/10/01/409291001.db2.gz GONVGZXVGUXDJD-VIFPVBQESA-N -1 1 311.762 1.419 20 0 DDADMM CCc1ccnc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000294253451 409266370 /nfs/dbraw/zinc/26/63/70/409266370.db2.gz NMKFTUHWQIQVCW-LLVKDONJSA-N -1 1 301.350 1.075 20 0 DDADMM O=S(=O)([N-]CCN1CC=CCC1)c1ccc(F)c(F)c1F ZINC000280227164 409272983 /nfs/dbraw/zinc/27/29/83/409272983.db2.gz LILBHBWTGADCNT-UHFFFAOYSA-N -1 1 320.336 1.644 20 0 DDADMM CN(C)[C@H](CNC(=O)C(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC000290606868 409460146 /nfs/dbraw/zinc/46/01/46/409460146.db2.gz VVLNHSQWGINVFB-SNVBAGLBSA-N -1 1 304.268 1.509 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2c([O-])cccc2F)CCC1 ZINC000280700767 409418298 /nfs/dbraw/zinc/41/82/98/409418298.db2.gz GLGHNTCUVFBJCO-UHFFFAOYSA-N -1 1 301.339 1.228 20 0 DDADMM CCC(CC)(CNC(=O)N1CCN(CC2CC2)CC1)C(=O)[O-] ZINC000316945915 164023956 /nfs/dbraw/zinc/02/39/56/164023956.db2.gz YUUXLZTVTPTJKU-UHFFFAOYSA-N -1 1 311.426 1.615 20 0 DDADMM O=C([C@H]1CCCc2n[nH]cc21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000407999117 164193479 /nfs/dbraw/zinc/19/34/79/164193479.db2.gz UWOABLTXHHJONH-UWVGGRQHSA-N -1 1 317.349 1.324 20 0 DDADMM O=C([C@H]1CCCc2n[nH]cc21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000407999121 164193830 /nfs/dbraw/zinc/19/38/30/164193830.db2.gz UWOABLTXHHJONH-ZJUUUORDSA-N -1 1 317.349 1.324 20 0 DDADMM O=S(=O)([N-][C@H]1CCCOCC1)c1ccc(Br)o1 ZINC000408213515 164262904 /nfs/dbraw/zinc/26/29/04/164262904.db2.gz BOEQCDXAIXCCKB-QMMMGPOBSA-N -1 1 324.196 1.890 20 0 DDADMM COc1cc(C(=O)N2C[C@@H]3CC[C@H](O)[C@H]3C2)cc(Cl)c1[O-] ZINC000408429341 164329285 /nfs/dbraw/zinc/32/92/85/164329285.db2.gz JVYJIPSTSWALPZ-PEXQALLHSA-N -1 1 311.765 1.897 20 0 DDADMM CO[C@H](C)c1nsc(=NC[C@@H](C(C)C)N2CCOCC2)[n-]1 ZINC000337906452 409556028 /nfs/dbraw/zinc/55/60/28/409556028.db2.gz CUANQRXWIMIZGD-NEPJUHHUSA-N -1 1 314.455 1.436 20 0 DDADMM CO[C@@H](C)c1nc(=NCCCN2CCCCCC2=O)s[n-]1 ZINC000337913169 409564015 /nfs/dbraw/zinc/56/40/15/409564015.db2.gz LJXBMHDQJOUEHD-NSHDSACASA-N -1 1 312.439 1.872 20 0 DDADMM CO[C@@H](C)c1nsc(=NCCCCN2CCOCC2)[n-]1 ZINC000337919465 409567184 /nfs/dbraw/zinc/56/71/84/409567184.db2.gz XAIWZUBCYYVBCZ-NSHDSACASA-N -1 1 300.428 1.192 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H](CCO)C2CCOCC2)s[n-]1 ZINC000337858637 409514457 /nfs/dbraw/zinc/51/44/57/409514457.db2.gz IAVHSVXGAPFQRD-KOLCDFICSA-N -1 1 301.412 1.257 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cccc2c1ccn(C)c2=O ZINC000337869466 409524365 /nfs/dbraw/zinc/52/43/65/409524365.db2.gz QBQWQMVIVRSJSW-SNVBAGLBSA-N -1 1 310.375 1.315 20 0 DDADMM CC1(Cn2cc(C(=O)Nc3ccc(Cl)cc3[O-])nn2)COC1 ZINC000353871538 409525260 /nfs/dbraw/zinc/52/52/60/409525260.db2.gz PYIFTQWRJURYBE-UHFFFAOYSA-N -1 1 322.752 1.926 20 0 DDADMM COC(=O)[C@@H](CN=c1[n-]c([C@@H](C)OC)ns1)CC(C)C ZINC000337880438 409535314 /nfs/dbraw/zinc/53/53/14/409535314.db2.gz UGCDGQJNMBRLCQ-NXEZZACHSA-N -1 1 301.412 1.915 20 0 DDADMM COC(=O)[C@@H](CN=c1nc([C@@H](C)OC)[n-]s1)CC(C)C ZINC000337880438 409535321 /nfs/dbraw/zinc/53/53/21/409535321.db2.gz UGCDGQJNMBRLCQ-NXEZZACHSA-N -1 1 301.412 1.915 20 0 DDADMM Cc1nn(C)c(C)c1CCNC(=O)c1c(F)ccc([O-])c1F ZINC000342441859 409634689 /nfs/dbraw/zinc/63/46/89/409634689.db2.gz PWXWHPTXJVSKMV-UHFFFAOYSA-N -1 1 309.316 1.993 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1c(C)cccc1C(=O)[O-] ZINC000349114713 409699713 /nfs/dbraw/zinc/69/97/13/409699713.db2.gz VISUSBIYCUFONX-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1ccc(C(=O)[O-])cc1C ZINC000349116380 409699951 /nfs/dbraw/zinc/69/99/51/409699951.db2.gz ZOOWXZAAJGXFEA-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM O=C(CC1CCCC1)NC1CCC(C(=O)Nc2nnn[n-]2)CC1 ZINC000342859389 409955891 /nfs/dbraw/zinc/95/58/91/409955891.db2.gz PWTYWBAJDWXEHA-UHFFFAOYSA-N -1 1 320.397 1.394 20 0 DDADMM O=C(CC1CCCC1)NC1CCC(C(=O)Nc2nn[n-]n2)CC1 ZINC000342859389 409955895 /nfs/dbraw/zinc/95/58/95/409955895.db2.gz PWTYWBAJDWXEHA-UHFFFAOYSA-N -1 1 320.397 1.394 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)C[C@H](C)C(C)(C)C)co1 ZINC000636430727 422851199 /nfs/dbraw/zinc/85/11/99/422851199.db2.gz JYJLTUQGDXQOGW-JTQLQIEISA-N -1 1 316.423 1.942 20 0 DDADMM CCCCOc1ccc(C(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000297889698 410036199 /nfs/dbraw/zinc/03/61/99/410036199.db2.gz VKYSIOMLRNATSM-UHFFFAOYSA-N -1 1 303.322 1.335 20 0 DDADMM CCOc1cc(CN(CCO)CCOC)cc(Cl)c1O ZINC000297915834 410045773 /nfs/dbraw/zinc/04/57/73/410045773.db2.gz SRAZTLJLJCVGPT-UHFFFAOYSA-N -1 1 303.786 1.885 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)[C@@H](C)c1ccccc1 ZINC000357383921 410004447 /nfs/dbraw/zinc/00/44/47/410004447.db2.gz SWOXOMFEQASLKZ-NXEZZACHSA-N -1 1 317.345 1.818 20 0 DDADMM C[C@@H]1C[C@H](C)[C@@H](C)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000354735255 410050450 /nfs/dbraw/zinc/05/04/50/410050450.db2.gz PKZUYJHUJREIGJ-GRYCIOLGSA-N -1 1 305.378 1.410 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1F ZINC000332304378 410053588 /nfs/dbraw/zinc/05/35/88/410053588.db2.gz IKBGQYKBDHALIC-SNVBAGLBSA-N -1 1 321.308 1.943 20 0 DDADMM COC(=O)c1c(F)cccc1S(=O)(=O)[N-][C@@H]1CCC[C@H]1F ZINC000339122092 410058419 /nfs/dbraw/zinc/05/84/19/410058419.db2.gz HRTQWABMZUFTGL-PSASIEDQSA-N -1 1 319.329 1.781 20 0 DDADMM CCn1cc([C@@H]2CN(C(=O)c3ncc(C)cc3[O-])CCO2)cn1 ZINC000332309257 410057370 /nfs/dbraw/zinc/05/73/70/410057370.db2.gz JFJUZPQARKIIBX-AWEZNQCLSA-N -1 1 316.361 1.526 20 0 DDADMM CN1CC[C@H](c2nc(-c3ccc([O-])cc3F)no2)S1(=O)=O ZINC000351372541 410069547 /nfs/dbraw/zinc/06/95/47/410069547.db2.gz QYOVRYGLUZLCPE-SNVBAGLBSA-N -1 1 313.310 1.288 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)NC(C)(C)c2nn[n-]n2)cc1C ZINC000354792409 410092047 /nfs/dbraw/zinc/09/20/47/410092047.db2.gz RITWZVGVAKZVQO-UHFFFAOYSA-N -1 1 315.377 1.831 20 0 DDADMM COc1cccc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000332377208 410109302 /nfs/dbraw/zinc/10/93/02/410109302.db2.gz GQBAZMGLUSQLQS-JTQLQIEISA-N -1 1 318.333 1.128 20 0 DDADMM Cc1nc(CC[N-]S(=O)(=O)c2nc(C)c(C)s2)c(C)o1 ZINC000329111778 410109417 /nfs/dbraw/zinc/10/94/17/410109417.db2.gz GXIBBKPGFBCTGM-UHFFFAOYSA-N -1 1 315.420 1.886 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CCSC1 ZINC000354861283 410132353 /nfs/dbraw/zinc/13/23/53/410132353.db2.gz GNZMODBSQWAZES-JTQLQIEISA-N -1 1 306.391 1.421 20 0 DDADMM CC(C)C[C@@H](N)c1noc(-c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)n1 ZINC000339384964 410145670 /nfs/dbraw/zinc/14/56/70/410145670.db2.gz JHVOOBRIGVBIQI-SECBINFHSA-N -1 1 316.321 1.532 20 0 DDADMM CC(C)Cn1nccc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343179631 410215879 /nfs/dbraw/zinc/21/58/79/410215879.db2.gz MICKWQPAEAOMQB-UHFFFAOYSA-N -1 1 317.349 1.008 20 0 DDADMM N=c1nc(N2CCN(Cc3ccc(F)cc3F)CC2)s[n-]1 ZINC000332443316 410161606 /nfs/dbraw/zinc/16/16/06/410161606.db2.gz IVNXQNXCBOTWMC-UHFFFAOYSA-N -1 1 311.361 1.551 20 0 DDADMM CC(C)Cn1c(SCc2nn[n-]n2)nnc1-c1ccco1 ZINC000298315684 410176119 /nfs/dbraw/zinc/17/61/19/410176119.db2.gz PTKKUDMDEJMYOA-UHFFFAOYSA-N -1 1 305.367 2.000 20 0 DDADMM Cn1[n-]c(CN2CCO[C@H](c3ccccc3Cl)C2)nc1=O ZINC000329260089 410196465 /nfs/dbraw/zinc/19/64/65/410196465.db2.gz CSNRQATWQONITM-LBPRGKRZSA-N -1 1 308.769 1.335 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)Cc2[nH]nc3ccccc32)s[n-]1 ZINC000357699737 410197510 /nfs/dbraw/zinc/19/75/10/410197510.db2.gz VMPMBBYJEYLXDS-QMMMGPOBSA-N -1 1 317.374 1.725 20 0 DDADMM CCCS(=O)(=O)[N-]c1cn(CC)cc(Br)c1=O ZINC000351992124 410398520 /nfs/dbraw/zinc/39/85/20/410398520.db2.gz BTEXRXCNTJDHOA-UHFFFAOYSA-N -1 1 323.212 1.782 20 0 DDADMM CC(=O)N1CC(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)C1 ZINC000352010380 410412054 /nfs/dbraw/zinc/41/20/54/410412054.db2.gz CQUISPASAOLTJQ-UHFFFAOYSA-N -1 1 300.318 1.199 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)C2CCOCC2)sc1C ZINC000333336066 410488506 /nfs/dbraw/zinc/48/85/06/410488506.db2.gz ZIXDDYYJJKPLKY-VIFPVBQESA-N -1 1 304.437 1.853 20 0 DDADMM CCc1cccnc1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000339732684 410424287 /nfs/dbraw/zinc/42/42/87/410424287.db2.gz CNSYKEZCHNUXMN-UHFFFAOYSA-N -1 1 309.347 1.397 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)CO[C@H]2CCOC2)sc1C ZINC000333243911 410439701 /nfs/dbraw/zinc/43/97/01/410439701.db2.gz IYDFVIWKCZUPCL-KWQFWETISA-N -1 1 320.436 1.232 20 0 DDADMM C[C@@H](CCC1CC1)NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000347501400 410589196 /nfs/dbraw/zinc/58/91/96/410589196.db2.gz UUUDQFHGQSYYPG-JTQLQIEISA-N -1 1 324.454 1.361 20 0 DDADMM CCN(CC)CCS(=O)(=O)[N-][C@H](C(C)C)C(F)(F)F ZINC000352199710 410541135 /nfs/dbraw/zinc/54/11/35/410541135.db2.gz COKOVZXKUQYTRC-SNVBAGLBSA-N -1 1 304.378 1.835 20 0 DDADMM CCN(CC)CCS(=O)(=O)[N-][C@@H](C(C)C)C(F)(F)F ZINC000352199709 410541569 /nfs/dbraw/zinc/54/15/69/410541569.db2.gz COKOVZXKUQYTRC-JTQLQIEISA-N -1 1 304.378 1.835 20 0 DDADMM CC(=O)Nc1ccc(F)c([N-]S(=O)(=O)c2ccnn2C)c1 ZINC000340078635 410660923 /nfs/dbraw/zinc/66/09/23/410660923.db2.gz AHGPVNSHWWLJPS-UHFFFAOYSA-N -1 1 312.326 1.318 20 0 DDADMM CN(CCC(F)(F)F)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000355616145 410610870 /nfs/dbraw/zinc/61/08/70/410610870.db2.gz LDERDZMLFVOTSK-UHFFFAOYSA-N -1 1 302.256 1.698 20 0 DDADMM COc1ccc2c(c1)C[C@@H](C)N(Cc1nc(=O)n(C)[n-]1)[C@@H]2C ZINC000347618346 410633735 /nfs/dbraw/zinc/63/37/35/410633735.db2.gz VSIZKMWMODFKLF-GHMZBOCLSA-N -1 1 302.378 1.625 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@H]2C(F)F)c1 ZINC000359677337 410808619 /nfs/dbraw/zinc/80/86/19/410808619.db2.gz GOCPQHXCYGCPOA-SFYZADRCSA-N -1 1 320.317 1.140 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1CC[C@@H]1C ZINC000343937474 410827045 /nfs/dbraw/zinc/82/70/45/410827045.db2.gz YPHSSLZMJLDHNY-TVQRCGJNSA-N -1 1 302.378 1.899 20 0 DDADMM Cc1nc(SCC(=O)N(C)CC(F)(F)F)[n-]c(=O)c1C ZINC000330680147 410872965 /nfs/dbraw/zinc/87/29/65/410872965.db2.gz NNJOCZIPTHSKHM-UHFFFAOYSA-N -1 1 309.313 1.912 20 0 DDADMM O=C(NC1(CCO)CCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000353359669 410938689 /nfs/dbraw/zinc/93/86/89/410938689.db2.gz CCCGXVWFWMOOHQ-UHFFFAOYSA-N -1 1 301.346 1.348 20 0 DDADMM CCCN(CC(=O)Nc1ccccc1C)Cc1nc(=O)n(C)[n-]1 ZINC000353496877 411029399 /nfs/dbraw/zinc/02/93/99/411029399.db2.gz CAWFDPGOUHMECL-UHFFFAOYSA-N -1 1 317.393 1.268 20 0 DDADMM CCn1ncnc1C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000360345313 411130056 /nfs/dbraw/zinc/13/00/56/411130056.db2.gz LAJDNQBONXEYKJ-UHFFFAOYSA-N -1 1 320.296 1.194 20 0 DDADMM O=C(N[C@H]1CCOC2(CCOCC2)C1)c1ccc([O-])cc1F ZINC000331430674 411087205 /nfs/dbraw/zinc/08/72/05/411087205.db2.gz QDMKUCODJWJZCM-NSHDSACASA-N -1 1 309.337 1.989 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](C1CCCCC1)C(F)(F)F ZINC000631701181 422887182 /nfs/dbraw/zinc/88/71/82/422887182.db2.gz WEPCTROPJZFQLP-NSHDSACASA-N -1 1 305.304 1.760 20 0 DDADMM CCCc1nc(=NC(=O)NC[C@H]2NC(C)(C)O[C@@H]2C)s[n-]1 ZINC000580169545 422896658 /nfs/dbraw/zinc/89/66/58/422896658.db2.gz HEZLNOIBOLMQHH-RKDXNWHRSA-N -1 1 313.427 1.147 20 0 DDADMM C[C@H]1CN(C(=O)CCc2nn[n-]n2)C[C@@H](c2ccsc2)O1 ZINC000631789723 422922805 /nfs/dbraw/zinc/92/28/05/422922805.db2.gz WHUXFKLTLVQMQV-ONGXEEELSA-N -1 1 307.379 1.182 20 0 DDADMM CO[C@@H]1C[C@@H](C(=O)[O-])N(Cc2cccc(-n3cccn3)c2)C1 ZINC000580456563 422935031 /nfs/dbraw/zinc/93/50/31/422935031.db2.gz IDBKZMBOEYQHCL-CABCVRRESA-N -1 1 301.346 1.546 20 0 DDADMM Cc1nc([C@@H]2CCCOC2)sc1C(=O)N=c1ccc([O-])n[nH]1 ZINC000580627146 422945330 /nfs/dbraw/zinc/94/53/30/422945330.db2.gz WXRUNKFMODRYIY-SECBINFHSA-N -1 1 320.374 1.515 20 0 DDADMM Cc1nc([C@@H]2CCCOC2)sc1C(=O)N=c1ccc(O)n[n-]1 ZINC000580627146 422945331 /nfs/dbraw/zinc/94/53/31/422945331.db2.gz WXRUNKFMODRYIY-SECBINFHSA-N -1 1 320.374 1.515 20 0 DDADMM O=C(CCCc1nccs1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000647658935 422987439 /nfs/dbraw/zinc/98/74/39/422987439.db2.gz BDFHGGMXEXGYJB-SNVBAGLBSA-N -1 1 322.390 1.961 20 0 DDADMM CC1(C)[C@@H](NC(=O)c2c([O-])cccc2F)C[C@@H]1N1CCOCC1 ZINC000640517941 423007584 /nfs/dbraw/zinc/00/75/84/423007584.db2.gz HJBYULFDMSZAPQ-KBPBESRZSA-N -1 1 322.380 1.760 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1c[nH]c(=O)[nH]1 ZINC000647741221 423026497 /nfs/dbraw/zinc/02/64/97/423026497.db2.gz SJZASUVKZGESOR-UHFFFAOYSA-N -1 1 305.338 1.147 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCOc2c(C)cc(C)cc21 ZINC000650218433 423089316 /nfs/dbraw/zinc/08/93/16/423089316.db2.gz BMJUSALFYFWPJO-UHFFFAOYSA-N -1 1 323.374 1.600 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2c(C)nn3cccnc23)n[nH]1 ZINC000611485028 416664843 /nfs/dbraw/zinc/66/48/43/416664843.db2.gz USEIUDLOPCMOCG-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM COc1cc(-c2nc(-c3cncnc3N)no2)cc(Cl)c1[O-] ZINC000350818872 306757522 /nfs/dbraw/zinc/75/75/22/306757522.db2.gz CXAYYLKCRQLOGN-UHFFFAOYSA-N -1 1 319.708 1.974 20 0 DDADMM CSc1nc(CNC(=O)CCc2scnc2C)cc(=O)[n-]1 ZINC000640654846 423115301 /nfs/dbraw/zinc/11/53/01/423115301.db2.gz BMFWTCGQSHBBPT-UHFFFAOYSA-N -1 1 324.431 1.918 20 0 DDADMM CC[C@H]1C[C@@H](C(=O)NCc2cc(=O)[n-]c(SC)n2)CCO1 ZINC000640657070 423117520 /nfs/dbraw/zinc/11/75/20/423117520.db2.gz ZTCLEQBTMKNQGS-ONGXEEELSA-N -1 1 311.407 1.726 20 0 DDADMM CSc1nc(CNC(=O)[C@H](C)Oc2ccccc2)cc(=O)[n-]1 ZINC000640657394 423118880 /nfs/dbraw/zinc/11/88/80/423118880.db2.gz IDYMQQCFEJMSNR-JTQLQIEISA-N -1 1 319.386 1.988 20 0 DDADMM CSc1nc(CNC(=O)c2ccc(N(C)C)cc2)cc(=O)[n-]1 ZINC000640658123 423119068 /nfs/dbraw/zinc/11/90/68/423119068.db2.gz MKOLHWGNOAKEIJ-UHFFFAOYSA-N -1 1 318.402 1.900 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1CC[C@@H](F)C1 ZINC000360363852 418417878 /nfs/dbraw/zinc/41/78/78/418417878.db2.gz PDWKDKLFXJKQLO-NEPJUHHUSA-N -1 1 320.368 1.991 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1CC[C@H](F)C1 ZINC000360363859 418418108 /nfs/dbraw/zinc/41/81/08/418418108.db2.gz PDWKDKLFXJKQLO-RYUDHWBXSA-N -1 1 320.368 1.991 20 0 DDADMM Cn1[n-]cc2/c(=N/C(=O)C3C[C@H]4CCC[C@@H](C3)C4=O)ccnc1-2 ZINC000366209585 418443579 /nfs/dbraw/zinc/44/35/79/418443579.db2.gz VLXNJRUCVTZKCI-VCUPLCCXSA-N -1 1 312.373 1.676 20 0 DDADMM C[C@@H](Sc1ccccn1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366734383 418509885 /nfs/dbraw/zinc/50/98/85/418509885.db2.gz CJNMWJMZYLGOJQ-MNOVXSKESA-N -1 1 318.406 1.482 20 0 DDADMM Cc1ccc(C[C@@H](C)[N-]S(=O)(=O)c2c(C)onc2N)s1 ZINC000290822290 221949209 /nfs/dbraw/zinc/94/92/09/221949209.db2.gz TXUJOXSDDCWQNO-SSDOTTSWSA-N -1 1 315.420 1.845 20 0 DDADMM CCC[C@H](C)CS(=O)(=O)[N-][C@@H](CC(F)(F)F)C(=O)OC ZINC000360845114 418532035 /nfs/dbraw/zinc/53/20/35/418532035.db2.gz JJGAJILIFGLWMJ-IUCAKERBSA-N -1 1 319.345 1.836 20 0 DDADMM C[N@@H+]1CCC[C@H]1CNS(=O)(=O)c1cnc(Cl)c(Cl)c1 ZINC000224011372 418563952 /nfs/dbraw/zinc/56/39/52/418563952.db2.gz FNBUDJUZQLLKLY-QMMMGPOBSA-N -1 1 324.233 1.761 20 0 DDADMM CCO[C@H]1C[C@@](O)(CNC(=O)c2cc(F)ccc2[O-])C1(C)C ZINC000191802491 222109519 /nfs/dbraw/zinc/10/95/19/222109519.db2.gz BMGONUIEFJIYTN-XJKSGUPXSA-N -1 1 311.353 1.827 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](O)[C@@H](C)C3)cnc2n1 ZINC000189459953 222045596 /nfs/dbraw/zinc/04/55/96/222045596.db2.gz IUXSNFRVHYFQAC-ZANVPECISA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](O)[C@@H](C)C3)c[n-]c2n1 ZINC000189459953 222045598 /nfs/dbraw/zinc/04/55/98/222045598.db2.gz IUXSNFRVHYFQAC-ZANVPECISA-N -1 1 301.346 1.487 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@H]1O)c1cc(F)ccc1[O-] ZINC000367238814 418576080 /nfs/dbraw/zinc/57/60/80/418576080.db2.gz QSHCXMBVCDQQNZ-NHYWBVRUSA-N -1 1 323.364 1.829 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)c2cccnc2)C1)C(F)(F)F ZINC000291094565 222131426 /nfs/dbraw/zinc/13/14/26/222131426.db2.gz LASOIBRLFDIEJL-JTQLQIEISA-N -1 1 315.295 1.612 20 0 DDADMM O=C([O-])[C@@H]1CN(CC(=O)N2CCCCC2)Cc2ccccc21 ZINC000316181361 418599751 /nfs/dbraw/zinc/59/97/51/418599751.db2.gz XWIDFEHECRDXPF-OAHLLOKOSA-N -1 1 302.374 1.683 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@]2(CCOC2)C1 ZINC000375087818 418603061 /nfs/dbraw/zinc/60/30/61/418603061.db2.gz BKODNKNXVYTKFI-CQSZACIVSA-N -1 1 304.375 1.271 20 0 DDADMM O=S(=O)([N-]c1nc(C2CCC2)n[nH]1)c1cn2c(n1)CCCC2 ZINC000375717736 418676084 /nfs/dbraw/zinc/67/60/84/418676084.db2.gz PNNZCSGUZKIGBC-UHFFFAOYSA-N -1 1 322.394 1.406 20 0 DDADMM O=S(=O)([N-]CCc1cnccn1)c1c(F)cccc1Cl ZINC000361977680 418734483 /nfs/dbraw/zinc/73/44/83/418734483.db2.gz WUSQBCYYDBWKTL-UHFFFAOYSA-N -1 1 315.757 1.790 20 0 DDADMM Cc1cccc(C)c1OCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000371024612 418756726 /nfs/dbraw/zinc/75/67/26/418756726.db2.gz GSSGQWJBBBUQJB-CYBMUJFWSA-N -1 1 315.377 1.602 20 0 DDADMM COc1ccc(CCCCC(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000410855536 418852041 /nfs/dbraw/zinc/85/20/41/418852041.db2.gz CHRPOTDVNPGLSE-UHFFFAOYSA-N -1 1 317.349 1.264 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@](C)(O)C2CC2)c(F)c1 ZINC000425200678 228389110 /nfs/dbraw/zinc/38/91/10/228389110.db2.gz KCWWVYPCODRMME-ZDUSSCGKSA-N -1 1 305.346 1.713 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cnn(CC(F)(F)F)c1)OC ZINC000421158100 419507426 /nfs/dbraw/zinc/50/74/26/419507426.db2.gz RNGCZNQYGCDDDW-SECBINFHSA-N -1 1 315.317 1.612 20 0 DDADMM COC(=O)Cc1ccc([N-]S(=O)(=O)C[C@H](C)OC)cc1F ZINC000421163457 419511744 /nfs/dbraw/zinc/51/17/44/419511744.db2.gz HWJFQYSUJMHJHQ-VIFPVBQESA-N -1 1 319.354 1.318 20 0 DDADMM CCOC(=O)c1nnsc1[N-]C(=O)c1ncc(F)cc1F ZINC000428175061 419827092 /nfs/dbraw/zinc/82/70/92/419827092.db2.gz JWLYOMQTEZMENO-UHFFFAOYSA-N -1 1 314.273 1.640 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H]([C@H](O)C(F)(F)F)C2)c([O-])c1 ZINC000434327454 229280929 /nfs/dbraw/zinc/28/09/29/229280929.db2.gz QRBRLYJVCUUNGA-CABZTGNLSA-N -1 1 318.295 1.871 20 0 DDADMM CO[C@]1(CNC(=O)c2ccc(C(F)(F)F)cc2[O-])CCOC1 ZINC000436617256 229501277 /nfs/dbraw/zinc/50/12/77/229501277.db2.gz NBJURHAUHDJNIO-ZDUSSCGKSA-N -1 1 319.279 1.946 20 0 DDADMM Cc1cc(C)c(C)c(OCCC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000430322846 420107045 /nfs/dbraw/zinc/10/70/45/420107045.db2.gz SARJHPRFWZFTTO-UHFFFAOYSA-N -1 1 315.377 1.699 20 0 DDADMM CCCCn1ncc(C(=O)NC2(c3nn[n-]n3)CC2)c1CC ZINC000430315375 420107582 /nfs/dbraw/zinc/10/75/82/420107582.db2.gz NKBHIEDQKZUJCL-UHFFFAOYSA-N -1 1 303.370 1.178 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(C)(C)c2cccnc2)n1 ZINC000415635210 420130908 /nfs/dbraw/zinc/13/09/08/420130908.db2.gz CHSJMYXAKOGGAV-UHFFFAOYSA-N -1 1 302.334 1.898 20 0 DDADMM COc1ccc2c(c1)CCCN2C(=O)CN(C)CCC(=O)[O-] ZINC000430650032 420172282 /nfs/dbraw/zinc/17/22/82/420172282.db2.gz BXEUVPIKYPIFEJ-UHFFFAOYSA-N -1 1 306.362 1.381 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1cccc(C)c1 ZINC000416132757 420252269 /nfs/dbraw/zinc/25/22/69/420252269.db2.gz HFPFXYJELYSRHO-UHFFFAOYSA-N -1 1 303.318 1.477 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc3nncn3c2)c1 ZINC000436509004 420333975 /nfs/dbraw/zinc/33/39/75/420333975.db2.gz QONCBQZPHFNJDG-UHFFFAOYSA-N -1 1 312.285 1.474 20 0 DDADMM Cc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c(O)c1C ZINC000436537905 420339701 /nfs/dbraw/zinc/33/97/01/420339701.db2.gz PRXBJOYECBSBPX-UHFFFAOYSA-N -1 1 301.350 1.721 20 0 DDADMM O=C(c1cnc(C2CC2)nc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425318050 420347454 /nfs/dbraw/zinc/34/74/54/420347454.db2.gz HDUUSQHRDZCQBT-UHFFFAOYSA-N -1 1 315.333 1.462 20 0 DDADMM CC(C)(C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1cccnc1 ZINC000425324160 420351854 /nfs/dbraw/zinc/35/18/54/420351854.db2.gz QCPZDOQYMBLNQH-UHFFFAOYSA-N -1 1 316.361 1.854 20 0 DDADMM CN1C(=O)COc2ccc(NC(=O)c3ccc(O)cc3[O-])cc21 ZINC000436669759 420353398 /nfs/dbraw/zinc/35/33/98/420353398.db2.gz NLTPUWNJTBXBRL-UHFFFAOYSA-N -1 1 314.297 1.705 20 0 DDADMM C[C@H](c1ccccc1)[C@@H](NC(=O)c1cc(F)ccc1[O-])C(N)=O ZINC000436834109 420374846 /nfs/dbraw/zinc/37/48/46/420374846.db2.gz YFCNDAKHVYHHIB-MEBBXXQBSA-N -1 1 316.332 1.919 20 0 DDADMM O=c1[nH]c2cc(F)c(S(=O)(=O)[N-][C@H]3CCC[C@H]3F)cc2o1 ZINC000416485446 420389647 /nfs/dbraw/zinc/38/96/47/420389647.db2.gz AYGBXMZLPHNTDT-SVRRBLITSA-N -1 1 318.301 1.429 20 0 DDADMM O=S(=O)(Cc1nccn1Cc1ccccc1)c1nc[n-]n1 ZINC000444407348 230096613 /nfs/dbraw/zinc/09/66/13/230096613.db2.gz NERDYCOKYZNTHI-UHFFFAOYSA-N -1 1 303.347 1.023 20 0 DDADMM CCNC(=O)C(C)(C)[N-]S(=O)(=O)c1sccc1Cl ZINC000416517217 420404205 /nfs/dbraw/zinc/40/42/05/420404205.db2.gz HZQDUFXWRLENCR-UHFFFAOYSA-N -1 1 310.828 1.595 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)Cc1cccc(Cl)c1 ZINC000439215141 420500051 /nfs/dbraw/zinc/50/00/51/420500051.db2.gz PAKIZVZGDGEPAY-UHFFFAOYSA-N -1 1 315.782 1.870 20 0 DDADMM CC[C@@H](C[C@H](C)CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000440762961 420604987 /nfs/dbraw/zinc/60/49/87/420604987.db2.gz RSFIZHQORYFWBM-QWRGUYRKSA-N -1 1 303.362 1.450 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NCc1cccn1C)c2=O ZINC000440816014 420609372 /nfs/dbraw/zinc/60/93/72/420609372.db2.gz XDNVVBLBCCHDIS-UHFFFAOYSA-N -1 1 311.341 1.805 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cnccc2C(F)(F)F)n1 ZINC000451031619 420584849 /nfs/dbraw/zinc/58/48/49/420584849.db2.gz WTHOZVHJOLWFRQ-UHFFFAOYSA-N -1 1 321.284 1.582 20 0 DDADMM C[C@@H](Cn1cccn1)[N-]S(=O)(=O)c1sccc1Cl ZINC000451048166 420587495 /nfs/dbraw/zinc/58/74/95/420587495.db2.gz VOUROSLDVUGSJF-QMMMGPOBSA-N -1 1 305.812 1.965 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000492545393 420589793 /nfs/dbraw/zinc/58/97/93/420589793.db2.gz ABNBMSFSPQBCFH-AYYIZTPMSA-N -1 1 315.333 1.204 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1ccc(OC)cn1)c1nn[n-]n1 ZINC000492552603 420591060 /nfs/dbraw/zinc/59/10/60/420591060.db2.gz PSQLEWIJGZZAPO-VFFGBVLKSA-N -1 1 302.338 1.274 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)/C=C\c2cnn(C)c2C)c1 ZINC000492760725 420653116 /nfs/dbraw/zinc/65/31/16/420653116.db2.gz CYUAVJAUJQGDHW-ALCCZGGFSA-N -1 1 315.329 1.873 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](C)C[C@H](C)O)o1 ZINC000443240161 420750795 /nfs/dbraw/zinc/75/07/95/420750795.db2.gz JXDWOMHZURBCQP-UWVGGRQHSA-N -1 1 319.379 1.142 20 0 DDADMM COCC1(CCNC(=O)c2nc3ccccc3c(=O)[n-]2)CCC1 ZINC000454242352 420823440 /nfs/dbraw/zinc/82/34/40/420823440.db2.gz SHADUYYZXUYKJG-UHFFFAOYSA-N -1 1 315.373 1.860 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2C[C@H]2C(C)C)co1 ZINC000456299476 421138854 /nfs/dbraw/zinc/13/88/54/421138854.db2.gz OBDGOBYPHGYFKA-ONGXEEELSA-N -1 1 300.380 1.210 20 0 DDADMM CC1(O)CC(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)C1 ZINC000450592610 421214606 /nfs/dbraw/zinc/21/46/06/421214606.db2.gz ACNIMSWRGFLMQB-UHFFFAOYSA-N -1 1 316.354 1.297 20 0 DDADMM COC(=O)N1CCC([C@@H](C)NC(=O)c2ncc(C)cc2[O-])CC1 ZINC000492229394 421216327 /nfs/dbraw/zinc/21/63/27/421216327.db2.gz JGBGXJJQUOUUSL-LLVKDONJSA-N -1 1 321.377 1.692 20 0 DDADMM CC(C)[C@H]1CCCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544738459 421229907 /nfs/dbraw/zinc/22/99/07/421229907.db2.gz YFVIZBJXXQFKEF-MNOVXSKESA-N -1 1 303.366 1.362 20 0 DDADMM Cc1nc(-c2ccc(NC[C@@H]3COCCO3)nc2)[n-]c(=O)c1C ZINC000525193401 421281101 /nfs/dbraw/zinc/28/11/01/421281101.db2.gz JEWXTBYCTQLLQG-CYBMUJFWSA-N -1 1 316.361 1.688 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1Cc2ccccc2S1)c1nn[n-]n1 ZINC000547488993 421337056 /nfs/dbraw/zinc/33/70/56/421337056.db2.gz OERFGNGDPFLXMZ-BXKDBHETSA-N -1 1 303.391 1.479 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(Cc2ccsc2)CC1 ZINC000547651010 421350319 /nfs/dbraw/zinc/35/03/19/421350319.db2.gz DLSOWJJXKKSQCK-UHFFFAOYSA-N -1 1 303.387 1.807 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)N[C@@H]2CC[C@H]2O)c([O-])c1 ZINC000497178121 421378885 /nfs/dbraw/zinc/37/88/85/421378885.db2.gz MFIAVOVEUMAIGO-VXGBXAGGSA-N -1 1 322.361 1.992 20 0 DDADMM Cc1cc(C)n([C@@H](C)CC(=O)N(C)C[C@H](C)c2nn[n-]n2)n1 ZINC000548137491 421405731 /nfs/dbraw/zinc/40/57/31/421405731.db2.gz PJTOTEHVOOZZSZ-CABZTGNLSA-N -1 1 305.386 1.226 20 0 DDADMM C[C@@H](CN(C)c1nc(C(F)(F)F)nc2[nH]cnc21)C(=O)[O-] ZINC000562789366 421413010 /nfs/dbraw/zinc/41/30/10/421413010.db2.gz VQCMYKAGRQXHET-YFKPBYRVSA-N -1 1 303.244 1.529 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(C(F)(F)F)CCCC1)c1nn[n-]n1 ZINC000563516669 421516196 /nfs/dbraw/zinc/51/61/96/421516196.db2.gz DJEBKALTKVAKNA-QMMMGPOBSA-N -1 1 305.304 1.884 20 0 DDADMM COc1ccccc1O[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000552216427 421580639 /nfs/dbraw/zinc/58/06/39/421580639.db2.gz GBPLEUFZJGRLRJ-GFCCVEGCSA-N -1 1 318.377 1.160 20 0 DDADMM CCOC(=O)c1ccccc1NC(=O)CN(C)C[C@@H](C)C(=O)[O-] ZINC000517032834 421590084 /nfs/dbraw/zinc/59/00/84/421590084.db2.gz CHIDUUHBXOAOLJ-LLVKDONJSA-N -1 1 322.361 1.454 20 0 DDADMM O=C(CCNC(=O)c1cc(F)ccc1[O-])Nc1ccccn1 ZINC000530713116 421613767 /nfs/dbraw/zinc/61/37/67/421613767.db2.gz JNACRTDUUSRYQD-UHFFFAOYSA-N -1 1 303.293 1.685 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-][C@@H](c1ccccc1)C(F)F ZINC000518288379 421647060 /nfs/dbraw/zinc/64/70/60/421647060.db2.gz IUOLVLLZBDWPJX-LBPRGKRZSA-N -1 1 321.345 1.865 20 0 DDADMM O=C(CN(Cc1ncccc1[O-])C[C@H]1CCCO1)N1CCCC1 ZINC000554855544 421663161 /nfs/dbraw/zinc/66/31/61/421663161.db2.gz SLNVAMNFOXIGJE-CQSZACIVSA-N -1 1 319.405 1.391 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](O)Cc1ccccc1Cl)c1nn[n-]n1 ZINC000517210506 421600252 /nfs/dbraw/zinc/60/02/52/421600252.db2.gz LHVDWAMICGLJCF-SKDRFNHKSA-N -1 1 323.784 1.019 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C2C[C@@H](C)O[C@H](C)C2)c1 ZINC000534316092 421690912 /nfs/dbraw/zinc/69/09/12/421690912.db2.gz PKFGIQIEVDKNAB-RKDXNWHRSA-N -1 1 317.363 1.301 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@]1(Cc2cccc(Cl)c2)CCOC1 ZINC000571279862 421703046 /nfs/dbraw/zinc/70/30/46/421703046.db2.gz BNVUNBORKIEIKZ-ZDUSSCGKSA-N -1 1 307.741 1.441 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@]1(Cc2cccc(Cl)c2)CCOC1 ZINC000571279862 421703048 /nfs/dbraw/zinc/70/30/48/421703048.db2.gz BNVUNBORKIEIKZ-ZDUSSCGKSA-N -1 1 307.741 1.441 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc2c(c1)CCCCC2=O ZINC000555089376 421669242 /nfs/dbraw/zinc/66/92/42/421669242.db2.gz HZRXBUGWSTZZLI-UHFFFAOYSA-N -1 1 311.345 1.528 20 0 DDADMM Cc1ccncc1N1CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000533449230 421674604 /nfs/dbraw/zinc/67/46/04/421674604.db2.gz IQRFBSMZCCRYMJ-UHFFFAOYSA-N -1 1 322.372 1.624 20 0 DDADMM O=C(CN1CCC(=Cc2ccccc2F)CC1)Nc1nnn[n-]1 ZINC000571763357 421743505 /nfs/dbraw/zinc/74/35/05/421743505.db2.gz ZANCDAOAFSSDKO-UHFFFAOYSA-N -1 1 316.340 1.457 20 0 DDADMM O=C(CN1CCC(=Cc2ccccc2F)CC1)Nc1nn[n-]n1 ZINC000571763357 421743507 /nfs/dbraw/zinc/74/35/07/421743507.db2.gz ZANCDAOAFSSDKO-UHFFFAOYSA-N -1 1 316.340 1.457 20 0 DDADMM CCCCOc1ccc(C(=O)Nc2cc(OC)n(C)n2)c([O-])c1 ZINC000558714994 421823337 /nfs/dbraw/zinc/82/33/37/421823337.db2.gz AWLNBJXZLPDRDA-UHFFFAOYSA-N -1 1 319.361 2.566 20 0 DDADMM O=C(N[C@@H]1CC(=O)N2CCCC[C@H]12)c1ccc(Cl)cc1[O-] ZINC000558708653 421823383 /nfs/dbraw/zinc/82/33/83/421823383.db2.gz WISYJOSTMZXBOT-VXGBXAGGSA-N -1 1 308.765 1.929 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2cccc3c2OCO3)o1 ZINC000557692910 421787355 /nfs/dbraw/zinc/78/73/55/421787355.db2.gz XQZSKDDJOMSFNY-SSDOTTSWSA-N -1 1 311.319 1.146 20 0 DDADMM CCCCN1CCc2ccc(NC(=O)CCc3nn[n-]n3)cc21 ZINC000631806118 421848449 /nfs/dbraw/zinc/84/84/49/421848449.db2.gz YJUQCLHCYGZCNS-UHFFFAOYSA-N -1 1 314.393 1.934 20 0 DDADMM COc1cccc([C@H]2C[C@H](NC(=O)CCc3nn[n-]n3)C2)c1 ZINC000633337787 421849722 /nfs/dbraw/zinc/84/97/22/421849722.db2.gz GKLWEFNIKHQOIZ-HAQNSBGRSA-N -1 1 301.350 1.203 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C)c2nc(C(F)(F)F)no2)c([O-])c1 ZINC000627819349 421902429 /nfs/dbraw/zinc/90/24/29/421902429.db2.gz DMGZGTPSNAHAPC-ZCFIWIBFSA-N -1 1 316.239 1.988 20 0 DDADMM CC(C)(c1ccc(F)cc1)C1(NC(=O)CCc2nn[n-]n2)CC1 ZINC000633357601 421860876 /nfs/dbraw/zinc/86/08/76/421860876.db2.gz PXMJVDVILZCUSG-UHFFFAOYSA-N -1 1 317.368 1.898 20 0 DDADMM CN(CCCOc1ccccc1)C(=O)CCCc1nn[n-]n1 ZINC000635268052 421868566 /nfs/dbraw/zinc/86/85/66/421868566.db2.gz XQGRWHQONUBYLJ-UHFFFAOYSA-N -1 1 303.366 1.450 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NC[C@H](Cc1ccccc1)C(=O)[O-] ZINC000630137851 421912367 /nfs/dbraw/zinc/91/23/67/421912367.db2.gz YFGNUIFGXAGWFI-OCCSQVGLSA-N -1 1 315.373 1.648 20 0 DDADMM CCn1nnc(C)c1CNC(=O)c1ncc2ccccc2c1[O-] ZINC000627868887 421919754 /nfs/dbraw/zinc/91/97/54/421919754.db2.gz KESDWZAOUWSBKB-UHFFFAOYSA-N -1 1 311.345 1.790 20 0 DDADMM C[C@@H]1CN(S(=O)(=O)c2cccc(Cl)c2[O-])[C@H](C)CN1 ZINC000631929890 421946891 /nfs/dbraw/zinc/94/68/91/421946891.db2.gz PXXJRINVMOHBAX-RKDXNWHRSA-N -1 1 304.799 1.417 20 0 DDADMM C[C@@H](O)C[C@H](C)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632019252 422025911 /nfs/dbraw/zinc/02/59/11/422025911.db2.gz SFBURISWNNFHEC-NKWVEPMBSA-N -1 1 315.317 1.114 20 0 DDADMM C[C@@H]1CC(=O)NCCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000630348309 422036185 /nfs/dbraw/zinc/03/61/85/422036185.db2.gz JNTFLDOYTZEUPS-SECBINFHSA-N -1 1 304.375 1.461 20 0 DDADMM CCC[C@@H](OCC)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630226653 421974930 /nfs/dbraw/zinc/97/49/30/421974930.db2.gz DMLCLZJBXAKCBT-GFCCVEGCSA-N -1 1 300.399 1.199 20 0 DDADMM Cc1ccc(CCC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1 ZINC000630225457 421975095 /nfs/dbraw/zinc/97/50/95/421975095.db2.gz VKTHFNWFBJQEOY-OAHLLOKOSA-N -1 1 318.417 1.935 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3[nH]ccc32)CC1 ZINC000630224381 421975708 /nfs/dbraw/zinc/97/57/08/421975708.db2.gz OXORPDVBCBSMIW-LBPRGKRZSA-N -1 1 315.373 1.789 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccsc2)CC1 ZINC000630224888 421975900 /nfs/dbraw/zinc/97/59/00/421975900.db2.gz QPPXRZLWKLSQFI-GFCCVEGCSA-N -1 1 310.419 1.688 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cccc(Cl)c2)CC1 ZINC000630224939 421976330 /nfs/dbraw/zinc/97/63/30/421976330.db2.gz RFWMTXBXUPGTHE-NSHDSACASA-N -1 1 310.781 1.961 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCOC[C@@H]1c1cc(F)cc(F)c1 ZINC000633632104 422014859 /nfs/dbraw/zinc/01/48/59/422014859.db2.gz HJMDSTCDZKAVNV-GFCCVEGCSA-N -1 1 323.303 1.011 20 0 DDADMM C[N@@H+](CC(=O)Nc1ccc(-c2nn[nH]n2)cc1)CC(C)(C)C ZINC000635468704 422019271 /nfs/dbraw/zinc/01/92/71/422019271.db2.gz CTQKWHJOYBDMLO-UHFFFAOYSA-N -1 1 302.382 1.783 20 0 DDADMM CN(CC(=O)Nc1ccc(-c2nn[nH]n2)cc1)CC(C)(C)C ZINC000635468704 422019276 /nfs/dbraw/zinc/01/92/76/422019276.db2.gz CTQKWHJOYBDMLO-UHFFFAOYSA-N -1 1 302.382 1.783 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCO[C@@H]3C23CCC3)sn1 ZINC000632140443 422116394 /nfs/dbraw/zinc/11/63/94/422116394.db2.gz HEGVYXKPOKDLOR-WCQGTBRESA-N -1 1 314.432 1.687 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC2(C)CCOCC2)c1 ZINC000632136155 422111595 /nfs/dbraw/zinc/11/15/95/422111595.db2.gz LFLAKFICVVAHAU-UHFFFAOYSA-N -1 1 301.364 1.248 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCO[C@@]3(CCOC3)C2)sn1 ZINC000632174118 422139512 /nfs/dbraw/zinc/13/95/12/422139512.db2.gz RVPRPROVEXNAHK-PWSUYJOCSA-N -1 1 318.420 1.068 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)[C@H]2CCCOC2)c1 ZINC000632192354 422152579 /nfs/dbraw/zinc/15/25/79/422152579.db2.gz ASOLJGFOWSKNKD-MNOVXSKESA-N -1 1 315.391 1.494 20 0 DDADMM C/C=C/C[C@H](CO)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632228068 422178761 /nfs/dbraw/zinc/17/87/61/422178761.db2.gz RIMYQSMEROFWHL-HMDXOVGESA-N -1 1 301.364 1.006 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@H](C3CC3)C2)c1 ZINC000632236636 422186250 /nfs/dbraw/zinc/18/62/50/422186250.db2.gz AWIQDAAVVZPURS-ZDUSSCGKSA-N -1 1 313.375 1.200 20 0 DDADMM CCC(C)(C)[C@H](O)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000635566485 422117904 /nfs/dbraw/zinc/11/79/04/422117904.db2.gz WTJMHQYONHFELO-CQSZACIVSA-N -1 1 320.393 1.465 20 0 DDADMM CO[C@@H](CC[N-]S(=O)(=O)c1cc(C)ns1)C(F)(F)F ZINC000632263110 422204765 /nfs/dbraw/zinc/20/47/65/422204765.db2.gz MZBLIMGETSZZTO-ZETCQYMHSA-N -1 1 318.342 1.697 20 0 DDADMM NC(=O)c1csc(=NC[C@H]2CC[C@H](c3ccccc3)O2)[n-]1 ZINC000574894504 422207360 /nfs/dbraw/zinc/20/73/60/422207360.db2.gz FKJYRWFCIGWYJG-DGCLKSJQSA-N -1 1 303.387 1.996 20 0 DDADMM O=C(NCC[C@H](O)C(F)F)c1ccc(Br)cc1[O-] ZINC000633998429 422210496 /nfs/dbraw/zinc/21/04/96/422210496.db2.gz GQPXIMVIZSNOLZ-QMMMGPOBSA-N -1 1 324.121 1.901 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCSc2ccc(F)cc21 ZINC000630611064 422220928 /nfs/dbraw/zinc/22/09/28/422220928.db2.gz XIVAUGIRDAJFEK-JTQLQIEISA-N -1 1 307.354 1.625 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)[C@H]2C[C@@H](O)C2)CC1 ZINC000635683998 422236819 /nfs/dbraw/zinc/23/68/19/422236819.db2.gz NJWRRHRQICPSCF-OTVXOJSOSA-N -1 1 303.358 1.584 20 0 DDADMM COCCOCCN(CCO)C(=O)c1ccc(Cl)cc1[O-] ZINC000628599460 422254346 /nfs/dbraw/zinc/25/43/46/422254346.db2.gz HLHNEPRNWCAFGJ-UHFFFAOYSA-N -1 1 317.769 1.143 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ncccc2N(C)C)sn1 ZINC000632345875 422264022 /nfs/dbraw/zinc/26/40/22/422264022.db2.gz XDDHOOYCUHBOCP-UHFFFAOYSA-N -1 1 312.420 1.391 20 0 DDADMM CC(C)(C)c1ccc(CCNC(=O)CCc2nn[n-]n2)cc1 ZINC000630733307 422300624 /nfs/dbraw/zinc/30/06/24/422300624.db2.gz SAVRVRBXHPFHMK-UHFFFAOYSA-N -1 1 301.394 1.789 20 0 DDADMM O=C(c1n[nH]cc1C(F)(F)F)N1CCc2c(=O)[nH]cnc2C1 ZINC000632403117 422313181 /nfs/dbraw/zinc/31/31/81/422313181.db2.gz LTGRZERBHQEKPW-UHFFFAOYSA-N -1 1 313.239 1.123 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2COCC2(C)C)c1 ZINC000632419310 422328589 /nfs/dbraw/zinc/32/85/89/422328589.db2.gz CGMTWESOUMIQLZ-GFCCVEGCSA-N -1 1 301.364 1.104 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)CCC(=O)N1 ZINC000632432209 422338346 /nfs/dbraw/zinc/33/83/46/422338346.db2.gz HISJCEUCCFWXGJ-NSHDSACASA-N -1 1 308.306 1.164 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCc1ccc(F)c(F)c1F ZINC000635791879 422347698 /nfs/dbraw/zinc/34/76/98/422347698.db2.gz NBTVVJJZPFILMC-UHFFFAOYSA-N -1 1 313.283 1.299 20 0 DDADMM Cc1cc(Cl)ccc1OCCCNC(=O)CCc1nn[n-]n1 ZINC000630822277 422355448 /nfs/dbraw/zinc/35/54/48/422355448.db2.gz GBSZHDZMGDCXLA-UHFFFAOYSA-N -1 1 323.784 1.679 20 0 DDADMM CC(C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632459693 422361264 /nfs/dbraw/zinc/36/12/64/422361264.db2.gz KZCHQVCGVQODOM-SSDOTTSWSA-N -1 1 310.322 1.409 20 0 DDADMM CC[C@H](NS(=O)(=O)c1cc(OC)ccc1[O-])[C@H](O)C(C)C ZINC000632457644 422361742 /nfs/dbraw/zinc/36/17/42/422361742.db2.gz KTXXAYVQFQIOAU-SMDDNHRTSA-N -1 1 317.407 1.475 20 0 DDADMM O=C1CC[C@H]([N-]S(=O)(=O)c2sccc2Cl)CCN1 ZINC000632473541 422373174 /nfs/dbraw/zinc/37/31/74/422373174.db2.gz GYCWHUPNJNJUQT-ZETCQYMHSA-N -1 1 308.812 1.349 20 0 DDADMM COc1ccc(N(CC(C)C)C(=O)CCc2nn[n-]n2)cc1 ZINC000630850970 422373691 /nfs/dbraw/zinc/37/36/91/422373691.db2.gz LJXUDBOBYWFNBB-UHFFFAOYSA-N -1 1 303.366 1.830 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2cnccc2c1 ZINC000575750839 422319803 /nfs/dbraw/zinc/31/98/03/422319803.db2.gz CCNPITVNESDBJR-UHFFFAOYSA-N -1 1 308.345 1.947 20 0 DDADMM C[C@@H](C(=O)[O-])[N@@H+](Cc1ccc(S(C)(=O)=O)cc1)C1CCC1 ZINC000577628357 422397744 /nfs/dbraw/zinc/39/77/44/422397744.db2.gz ZGIWZBNLIPBZQD-NSHDSACASA-N -1 1 311.403 1.918 20 0 DDADMM C[C@H]1CCc2[n-]n(-c3ccc(S(=O)(=O)N(C)C)cc3)c(=O)c21 ZINC000634629138 422514141 /nfs/dbraw/zinc/51/41/41/422514141.db2.gz NTNVQGVSVYKVEL-HZMBPMFUSA-N -1 1 321.402 1.686 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@]2(C)C[C@H]3C[C@H]3C2)o1 ZINC000629050156 422455765 /nfs/dbraw/zinc/45/57/65/422455765.db2.gz BVJPYFFTSXSIIS-WDAIWFPHSA-N -1 1 312.391 1.354 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)[C@@H](C)O1 ZINC000632646862 422494869 /nfs/dbraw/zinc/49/48/69/422494869.db2.gz RIPCZFUTWHHNLV-QYNIQEEDSA-N -1 1 313.301 1.273 20 0 DDADMM C[C@H]1c2nncn2CCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632745767 422554182 /nfs/dbraw/zinc/55/41/82/422554182.db2.gz OUTURLMPHURDRA-VIFPVBQESA-N -1 1 306.753 1.783 20 0 DDADMM CC(C)OC[C@@H](CO)N(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632764350 422562821 /nfs/dbraw/zinc/56/28/21/422562821.db2.gz QXOXOSADSDAVBH-GFCCVEGCSA-N -1 1 315.797 1.832 20 0 DDADMM Cc1cnc(C2CCN(C(=O)CCc3nn[n-]n3)CC2)s1 ZINC000632763656 422563848 /nfs/dbraw/zinc/56/38/48/422563848.db2.gz LGGQRZDRQNSGBV-UHFFFAOYSA-N -1 1 306.395 1.303 20 0 DDADMM CCCN(CCc1cccc(F)c1)C(=O)CCc1nn[n-]n1 ZINC000631289926 422663838 /nfs/dbraw/zinc/66/38/38/422663838.db2.gz LLSSAVDYAAELOL-UHFFFAOYSA-N -1 1 305.357 1.753 20 0 DDADMM O=C([O-])[C@H]1CC[C@H]1C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000634846181 422681775 /nfs/dbraw/zinc/68/17/75/422681775.db2.gz CDKKFHLZMVNPGP-ZNMIVQPWSA-N -1 1 302.374 1.488 20 0 DDADMM O=C(c1ccnc(C2CC2)n1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000646066239 423323082 /nfs/dbraw/zinc/32/30/82/423323082.db2.gz WVUXWYJYZZPLCY-JTQLQIEISA-N -1 1 315.333 1.462 20 0 DDADMM COc1cccc(CO[C@@H](C)C(=O)Nc2c(C)[n-][nH]c2=O)c1 ZINC000646409259 423478288 /nfs/dbraw/zinc/47/82/88/423478288.db2.gz MICDAAUCPPNQOM-JTQLQIEISA-N -1 1 305.334 1.976 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCn1cnc2sccc2c1=O ZINC000646410523 423480402 /nfs/dbraw/zinc/48/04/02/423480402.db2.gz LJGJRDROOFGRDL-UHFFFAOYSA-N -1 1 319.346 1.224 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCCN1C(=O)c1cccs1 ZINC000646410727 423480657 /nfs/dbraw/zinc/48/06/57/423480657.db2.gz VLGCHDILKZEVTE-VIFPVBQESA-N -1 1 320.374 1.729 20 0 DDADMM O=C(c1ccc2oc(=O)nc-2[n-]1)N1CCN(C2CCCC2)CC1 ZINC000646642857 423557003 /nfs/dbraw/zinc/55/70/03/423557003.db2.gz NRHQDXGQUKJJDQ-UHFFFAOYSA-N -1 1 316.361 1.629 20 0 DDADMM O=S(=O)([N-]CC(F)(F)CO)c1ccc(Cl)c(F)c1 ZINC000651646445 423630572 /nfs/dbraw/zinc/63/05/72/423630572.db2.gz DTBZQRJDYMEXHG-UHFFFAOYSA-N -1 1 303.689 1.385 20 0 DDADMM Cn1nnc2c1CC[C@@H](NC(=O)c1ccc(Cl)cc1[O-])C2 ZINC000654112574 423708753 /nfs/dbraw/zinc/70/87/53/423708753.db2.gz OXLGOYCDAHTBMB-SECBINFHSA-N -1 1 306.753 1.461 20 0 DDADMM Cn1nccc1[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCO1 ZINC000654051125 423682267 /nfs/dbraw/zinc/68/22/67/423682267.db2.gz BMPIKDZCIXLYGL-GXFFZTMASA-N -1 1 302.334 1.171 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(c2ccc3nncn3n2)CC1 ZINC000649308814 423741174 /nfs/dbraw/zinc/74/11/74/423741174.db2.gz RNIKPFUKWIYFIM-UHFFFAOYSA-N -1 1 323.356 1.929 20 0 DDADMM C[C@H](C(=O)N1CCC(C)CC1)N1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639824554 423762908 /nfs/dbraw/zinc/76/29/08/423762908.db2.gz JBYGBVQOOHOSRT-OLZOCXBDSA-N -1 1 321.425 1.309 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC000647262047 423924087 /nfs/dbraw/zinc/92/40/87/423924087.db2.gz VAFVUDFVZCXOFX-MNOVXSKESA-N -1 1 300.318 1.455 20 0 DDADMM O=C(c1cnc2ccccn21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644883983 424013723 /nfs/dbraw/zinc/01/37/23/424013723.db2.gz KUEILGOMKQAPHP-SNVBAGLBSA-N -1 1 313.317 1.443 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)CC1CC1)c1cccc(F)c1F ZINC000657182960 424192820 /nfs/dbraw/zinc/19/28/20/424192820.db2.gz NAALOPAWIGGCKJ-SNVBAGLBSA-N -1 1 305.346 1.652 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1[nH]ccc1C1CC1 ZINC000663968372 424309811 /nfs/dbraw/zinc/30/98/11/424309811.db2.gz ALLYHWAHRNJUKF-CYBMUJFWSA-N -1 1 308.345 1.925 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@]1(O)CCSC1 ZINC000640342428 424362355 /nfs/dbraw/zinc/36/23/55/424362355.db2.gz NIZNVATZNOFBML-CYBMUJFWSA-N -1 1 309.416 1.708 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2sc(C)nc2C)on1 ZINC000660011199 424454412 /nfs/dbraw/zinc/45/44/12/424454412.db2.gz FNLBDBKCEHNROJ-UHFFFAOYSA-N -1 1 303.365 1.235 20 0 DDADMM COc1c(C)cc(CN(C)[C@@H]2CCN(CC(=O)[O-])C2=O)cc1C ZINC000662202029 424465020 /nfs/dbraw/zinc/46/50/20/424465020.db2.gz MTSJYBOEBLHIBL-CQSZACIVSA-N -1 1 320.389 1.429 20 0 DDADMM COc1ccc(CN(C2CC2)[C@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662202145 424466972 /nfs/dbraw/zinc/46/69/72/424466972.db2.gz WSQNAMDKZUFGNQ-HNNXBMFYSA-N -1 1 318.373 1.345 20 0 DDADMM O=C([O-])CCCCC(=O)N[C@H](Cc1nc[nH]n1)c1ccccc1 ZINC000655542793 424557485 /nfs/dbraw/zinc/55/74/85/424557485.db2.gz BVRQLIQIQOSKBS-CYBMUJFWSA-N -1 1 316.361 1.850 20 0 DDADMM COc1ccnc(N2CCN(C(=O)CCCCC(=O)[O-])CC2)c1 ZINC000655556212 424563571 /nfs/dbraw/zinc/56/35/71/424563571.db2.gz RAXDCKIQMRQAIN-UHFFFAOYSA-N -1 1 321.377 1.384 20 0 DDADMM O=C(NCC1CCC(O)CC1)c1nc2ccccc2c(=O)[n-]1 ZINC000664423646 424584866 /nfs/dbraw/zinc/58/48/66/424584866.db2.gz GXJPQQCZHNIPRQ-UHFFFAOYSA-N -1 1 301.346 1.204 20 0 DDADMM COCC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C(C)=O)c(O)c2)C1 ZINC000665243249 424764896 /nfs/dbraw/zinc/76/48/96/424764896.db2.gz MWDIEMUMMAXJGH-CQSZACIVSA-N -1 1 320.389 1.435 20 0 DDADMM CC(C)c1nc(CNC(=O)NC[C@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665312091 424779966 /nfs/dbraw/zinc/77/99/66/424779966.db2.gz CLTKBFYZNNAENV-JTQLQIEISA-N -1 1 309.370 1.228 20 0 DDADMM CNC(=O)C[C@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000323092787 271030952 /nfs/dbraw/zinc/03/09/52/271030952.db2.gz XIXQKQYOSGJJLG-ZETCQYMHSA-N -1 1 315.167 1.409 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1ccc(Cl)c(F)c1)c1nn[n-]n1 ZINC000344547995 272057062 /nfs/dbraw/zinc/05/70/62/272057062.db2.gz TWFZENXEYXSSAX-MRVPVSSYSA-N -1 1 311.748 1.797 20 0 DDADMM C[C@H]1Sc2ccc(C(=O)Nc3ccncc3[O-])cc2NC1=O ZINC000345379857 272236318 /nfs/dbraw/zinc/23/63/18/272236318.db2.gz QUVPZZKXAIZGGO-MRVPVSSYSA-N -1 1 315.354 1.894 20 0 DDADMM Cc1nc(-c2cnn(C)c2)sc1C(=O)Nc1ccncc1[O-] ZINC000345380402 272236406 /nfs/dbraw/zinc/23/64/06/272236406.db2.gz PPNHKPVVNBNAIX-UHFFFAOYSA-N -1 1 315.358 1.627 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1c[nH]cn1)c1cc(C)cc(C)c1 ZINC000345576200 272282512 /nfs/dbraw/zinc/28/25/12/272282512.db2.gz BKCMEKOGDMZDBL-CYBMUJFWSA-N -1 1 323.374 1.219 20 0 DDADMM CC1(C)CCC[C@@H]1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331690543 276109066 /nfs/dbraw/zinc/10/90/66/276109066.db2.gz WPIBJYSLEWPBQX-JTQLQIEISA-N -1 1 324.454 1.361 20 0 DDADMM CC(=O)[C@H]([N-]S(=O)(=O)[C@H]1CCO[C@H]1C)c1ccccc1F ZINC000416650119 278236769 /nfs/dbraw/zinc/23/67/69/278236769.db2.gz QHNNKJLHYKJQBR-BPNCWPANSA-N -1 1 315.366 1.553 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)[C@@H]1CCCC[C@H]1C(=O)OC)C(F)F ZINC000451627201 288054605 /nfs/dbraw/zinc/05/46/05/288054605.db2.gz XKWPNDBRTCUHOH-KXUCPTDWSA-N -1 1 313.366 1.681 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccsc1C(=O)OC)C(F)F ZINC000451082492 288057080 /nfs/dbraw/zinc/05/70/80/288057080.db2.gz OFDTXRVNCUTGKR-LURJTMIESA-N -1 1 313.347 1.857 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H](c1ccccc1)N1CCc2ccccc21 ZINC000135465348 280069440 /nfs/dbraw/zinc/06/94/40/280069440.db2.gz JUUWGJFOROMOIX-OAHLLOKOSA-N -1 1 320.356 1.942 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H](c1ccccc1)N1CCc2ccccc21 ZINC000135465348 280069442 /nfs/dbraw/zinc/06/94/42/280069442.db2.gz JUUWGJFOROMOIX-OAHLLOKOSA-N -1 1 320.356 1.942 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCCC[C@H]1C(=O)[O-] ZINC000263419890 280291240 /nfs/dbraw/zinc/29/12/40/280291240.db2.gz KWWSKPBYCCELBL-IUCAKERBSA-N -1 1 324.299 1.155 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cc(Cl)cc(Br)c1 ZINC000123201787 281167594 /nfs/dbraw/zinc/16/75/94/281167594.db2.gz TYQUJSPXYLPMSS-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc(Cl)cc(Br)c1 ZINC000123201787 281167595 /nfs/dbraw/zinc/16/75/95/281167595.db2.gz TYQUJSPXYLPMSS-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM NS(=O)(=O)c1cccc(CNC(=O)c2c([O-])cccc2F)c1 ZINC000128336568 281308032 /nfs/dbraw/zinc/30/80/32/281308032.db2.gz FTQGBZCDSFRWPC-UHFFFAOYSA-N -1 1 324.333 1.109 20 0 DDADMM CN(C)C(=O)N1CCN(C(=O)c2cncc([O-])c2)C(C)(C)C1 ZINC000408003263 288979460 /nfs/dbraw/zinc/97/94/60/288979460.db2.gz DRPAFSBRIKFWHU-UHFFFAOYSA-N -1 1 306.366 1.005 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)c3c(F)cccc3F)ccnc1-2 ZINC000340372352 294132516 /nfs/dbraw/zinc/13/25/16/294132516.db2.gz PSHJRDHSTHOPCZ-UHFFFAOYSA-N -1 1 324.312 1.421 20 0 DDADMM CCN(CC)S(=O)(=O)[N-]c1cn(C)nc1C(F)(F)F ZINC000361576653 299898076 /nfs/dbraw/zinc/89/80/76/299898076.db2.gz IZEIGXNRBZQDDU-UHFFFAOYSA-N -1 1 300.306 1.437 20 0 DDADMM CC(C)CC[C@@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353992763 298331999 /nfs/dbraw/zinc/33/19/99/298331999.db2.gz LQXSXRZATJMJMJ-NSHDSACASA-N -1 1 303.366 1.458 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCO[C@@H](C)C2)o1 ZINC000354288078 298392910 /nfs/dbraw/zinc/39/29/10/298392910.db2.gz BAMDXCZADFRBRY-VHSXEESVSA-N -1 1 317.363 1.302 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000573612592 304603969 /nfs/dbraw/zinc/60/39/69/304603969.db2.gz KWKVWWWVCXCBEF-ZJUUUORDSA-N -1 1 324.402 1.818 20 0 DDADMM CC[C@H](CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363517466 300279266 /nfs/dbraw/zinc/27/92/66/300279266.db2.gz IWBFGJQUMGKQMM-OCCSQVGLSA-N -1 1 315.377 1.684 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCCN(c2ncccn2)CC1 ZINC000364224668 300360326 /nfs/dbraw/zinc/36/03/26/300360326.db2.gz TUKROXGVSPZCCA-UHFFFAOYSA-N -1 1 316.336 1.674 20 0 DDADMM CC1(C(=O)Nc2nnn[n-]2)CCN(C(=O)c2ccccc2)CC1 ZINC000364236988 300361855 /nfs/dbraw/zinc/36/18/55/300361855.db2.gz JBEPHWPELJGXED-UHFFFAOYSA-N -1 1 314.349 1.081 20 0 DDADMM CC1(C(=O)Nc2nn[n-]n2)CCN(C(=O)c2ccccc2)CC1 ZINC000364236988 300361856 /nfs/dbraw/zinc/36/18/56/300361856.db2.gz JBEPHWPELJGXED-UHFFFAOYSA-N -1 1 314.349 1.081 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CCN(c2cccnn2)CC1 ZINC000364464510 300400601 /nfs/dbraw/zinc/40/06/01/300400601.db2.gz XDDGHMJFLOAUBW-UHFFFAOYSA-N -1 1 313.361 1.318 20 0 DDADMM CO[C@H](C)c1nsc(=NC[C@@H]2CN3CCCC[C@@H]3CO2)[n-]1 ZINC000370285852 301309924 /nfs/dbraw/zinc/30/99/24/301309924.db2.gz QIMBFGILYWJJNZ-IJLUTSLNSA-N -1 1 312.439 1.333 20 0 DDADMM O=C([N-]CCCN1CCOC[C@H]1c1ccco1)C(F)(F)F ZINC000370319617 301315746 /nfs/dbraw/zinc/31/57/46/301315746.db2.gz YJWKHCFLVXAOSU-JTQLQIEISA-N -1 1 306.284 1.722 20 0 DDADMM O=C(c1ccc(-c2ccccc2)[nH]1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370858760 301398196 /nfs/dbraw/zinc/39/81/96/301398196.db2.gz SBVJYWJQCOHWCB-AWEZNQCLSA-N -1 1 324.344 1.409 20 0 DDADMM CC(=O)N1CCC[C@H]1[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000370891113 301399732 /nfs/dbraw/zinc/39/97/32/301399732.db2.gz IFCQLACWGOMGDT-STQMWFEESA-N -1 1 303.362 1.403 20 0 DDADMM CCOc1cc(C(=O)N2C[C@@H](O)C[C@@H]2CO)cc(Cl)c1[O-] ZINC000375176732 301908520 /nfs/dbraw/zinc/90/85/20/301908520.db2.gz PFOYWTLJPMLPEI-ZJUUUORDSA-N -1 1 315.753 1.012 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CC1(C)C ZINC000377694015 302213892 /nfs/dbraw/zinc/21/38/92/302213892.db2.gz KRZVJSFZBFDDLU-MRVPVSSYSA-N -1 1 313.300 1.942 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC=C(c2cccnc2)CC1 ZINC000377840379 302241503 /nfs/dbraw/zinc/24/15/03/302241503.db2.gz RNYZHYTWFYUDJA-UHFFFAOYSA-N -1 1 320.374 1.269 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1CC(=O)N(C)Cc1cccs1 ZINC000397020958 302351907 /nfs/dbraw/zinc/35/19/07/302351907.db2.gz RKFVRBWWESZOQT-VXGBXAGGSA-N -1 1 310.419 1.892 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cnc2n1CCC2)c1ccco1 ZINC000356432372 306851529 /nfs/dbraw/zinc/85/15/29/306851529.db2.gz VWWUAWSZTWKWMK-SNVBAGLBSA-N -1 1 311.363 1.088 20 0 DDADMM CC1(C)C[C@H](C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C(C)(C)O1 ZINC000528882800 303069207 /nfs/dbraw/zinc/06/92/07/303069207.db2.gz NLSHPHRWBGIYTN-GHMZBOCLSA-N -1 1 307.398 1.499 20 0 DDADMM COC(=O)c1cnccc1[N-]S(=O)(=O)Cc1ccccc1 ZINC000357373335 306879655 /nfs/dbraw/zinc/87/96/55/306879655.db2.gz RDIBSEAUUBVGBW-UHFFFAOYSA-N -1 1 306.343 1.232 20 0 DDADMM CCSCC[C@@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000357373707 306879713 /nfs/dbraw/zinc/87/97/13/306879713.db2.gz FLIPONFUFCVIQQ-SSDOTTSWSA-N -1 1 301.368 1.158 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCOC(C)(C)C)o1 ZINC000530641110 303206391 /nfs/dbraw/zinc/20/63/91/303206391.db2.gz CWDYAOCLQJPWJJ-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM NC(=O)[C@@H](c1ccc(F)cc1)N1C[C@@H]2CCC[C@@]2(C(=O)[O-])C1 ZINC000262230876 303289886 /nfs/dbraw/zinc/28/98/86/303289886.db2.gz WTBOWEAPXUAAFO-NORZTCDRSA-N -1 1 306.337 1.539 20 0 DDADMM O=C(c1noc2c1CCCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000533577768 303321466 /nfs/dbraw/zinc/32/14/66/303321466.db2.gz FCXDYVYDGUGPMZ-SECBINFHSA-N -1 1 302.338 1.086 20 0 DDADMM Cc1nc(CCNC(=O)c2csc(=NC3CC3)[n-]2)c(C)o1 ZINC000535733689 303350351 /nfs/dbraw/zinc/35/03/51/303350351.db2.gz CYQVOVLGFCXSDS-UHFFFAOYSA-N -1 1 306.391 1.717 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cccc2c1CCO2 ZINC000357676698 306905720 /nfs/dbraw/zinc/90/57/20/306905720.db2.gz UUUGOIWUGHVMRO-UHFFFAOYSA-N -1 1 313.313 1.091 20 0 DDADMM CCO[C@H]1COCC[C@@H]1[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000545370280 303493683 /nfs/dbraw/zinc/49/36/83/303493683.db2.gz NANRJVIXORURPL-QWRGUYRKSA-N -1 1 320.436 1.232 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2CC(C)(C)C2)o1 ZINC000548059035 303568197 /nfs/dbraw/zinc/56/81/97/303568197.db2.gz JCQBFXUFALAZIV-UHFFFAOYSA-N -1 1 300.380 1.354 20 0 DDADMM CC(C)(C)[C@H](O)C[C@H](CO)NC(=O)c1cc(Cl)ccc1[O-] ZINC000552008870 303649779 /nfs/dbraw/zinc/64/97/79/303649779.db2.gz AOJQYRGANCLDJN-ZWNOBZJWSA-N -1 1 315.797 1.933 20 0 DDADMM CC(C)(C)[C@@H](O)C[C@H](CO)NC(=O)c1cc(Cl)ccc1[O-] ZINC000552008869 303649986 /nfs/dbraw/zinc/64/99/86/303649986.db2.gz AOJQYRGANCLDJN-MFKMUULPSA-N -1 1 315.797 1.933 20 0 DDADMM O=C(COc1ccccc1Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366651969 307078732 /nfs/dbraw/zinc/07/87/32/307078732.db2.gz IZOGUCPESGDYOG-SNVBAGLBSA-N -1 1 321.768 1.638 20 0 DDADMM Cc1nc(Cl)ccc1CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000367051733 307083436 /nfs/dbraw/zinc/08/34/36/307083436.db2.gz CJSNRZNYMOECSK-LLVKDONJSA-N -1 1 320.784 1.505 20 0 DDADMM C[C@@H]1c2ccccc2O[C@H]1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000374743099 307213407 /nfs/dbraw/zinc/21/34/07/307213407.db2.gz GVCSOEWEXIORFY-KZHTWXLSSA-N -1 1 308.341 1.845 20 0 DDADMM CC(C)(C)OC(=O)NCC[C@@H](NC(=O)c1cnn[nH]1)C(F)F ZINC000496148059 307328738 /nfs/dbraw/zinc/32/87/38/307328738.db2.gz BKAAYKZKAZGKOK-SSDOTTSWSA-N -1 1 319.312 1.083 20 0 DDADMM COc1ccc([C@H](C)Nc2nc3[nH][n-]cc-3c(=O)n2)c(OC)c1 ZINC000528160953 307528180 /nfs/dbraw/zinc/52/81/80/307528180.db2.gz ZUXYDGVIPZGIGR-QMMMGPOBSA-N -1 1 315.333 1.622 20 0 DDADMM CC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)c2cccc(Cl)c2O1 ZINC000543485871 307706682 /nfs/dbraw/zinc/70/66/82/307706682.db2.gz RIBXNXGNEIHODW-VIFPVBQESA-N -1 1 308.769 1.939 20 0 DDADMM CO[C@@H](Cc1ccccc1)CS(=O)(=O)[N-]c1c[nH]nc1C ZINC000566076673 308043061 /nfs/dbraw/zinc/04/30/61/308043061.db2.gz PRRBHNKMVDFUQL-ZDUSSCGKSA-N -1 1 309.391 1.718 20 0 DDADMM C[C@@H]1CNCCN1C(=O)c1cc(C(=O)[O-])n(-c2ccccc2)n1 ZINC000566977273 308067760 /nfs/dbraw/zinc/06/77/60/308067760.db2.gz UMPAXYGFZRDVHA-LLVKDONJSA-N -1 1 314.345 1.004 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1nc2ccccc2s1)C1CC1 ZINC000567783221 308095585 /nfs/dbraw/zinc/09/55/85/308095585.db2.gz QDLQBAOJUINULA-NSHDSACASA-N -1 1 312.416 2.000 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)N[C@H]2CCc3nc[nH]c3C2)s[n-]1 ZINC000570051872 308158234 /nfs/dbraw/zinc/15/82/34/308158234.db2.gz ZVTMHBRXOMUJGD-YUMQZZPRSA-N -1 1 322.394 1.070 20 0 DDADMM CCCc1nc(=NC(=O)N[C@H]2CCCc3cn[nH]c32)s[n-]1 ZINC000574322843 308268018 /nfs/dbraw/zinc/26/80/18/308268018.db2.gz PQDXWNHHKUPRQZ-VIFPVBQESA-N -1 1 306.395 1.835 20 0 DDADMM O=C(COc1cc(F)cc(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000577312919 308378553 /nfs/dbraw/zinc/37/85/53/308378553.db2.gz UQMYWZDSPHGZJQ-VIFPVBQESA-N -1 1 323.303 1.263 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CC23CC3)c(C(F)(F)F)n1 ZINC000577604193 308400656 /nfs/dbraw/zinc/40/06/56/308400656.db2.gz BCZBRDSEWPWVDK-ZETCQYMHSA-N -1 1 309.313 1.517 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2nc(C)c(C)s2)CCCC1 ZINC000584094931 331711529 /nfs/dbraw/zinc/71/15/29/331711529.db2.gz IVWSLOHBKXWZMO-UHFFFAOYSA-N -1 1 304.437 1.997 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)[C@H]1NCCc2ccccc21 ZINC000397093014 337249444 /nfs/dbraw/zinc/24/94/44/337249444.db2.gz LUZORONZHPMYJN-KYOSRNDESA-N -1 1 302.374 1.585 20 0 DDADMM O=C(N[C@H]1CCC[C@@H]1O)c1ccc(Br)c([O-])c1 ZINC000095979195 484017773 /nfs/dbraw/zinc/01/77/73/484017773.db2.gz UQBRWFCPWFBLDD-UWVGGRQHSA-N -1 1 300.152 1.798 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(=O)[nH]n1)C1CCCCC1 ZINC000656731943 484307334 /nfs/dbraw/zinc/30/73/34/484307334.db2.gz RXLPAGSZIJQHFI-NSHDSACASA-N -1 1 315.395 1.519 20 0 DDADMM C[C@@H]1CCNC(=O)[C@@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000669635977 484596503 /nfs/dbraw/zinc/59/65/03/484596503.db2.gz OMJNZBMSRCTIQP-NOZJJQNGSA-N -1 1 308.359 1.236 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H](C(=O)[O-])C3CCCC3)C2)n[nH]1 ZINC000663042114 484620410 /nfs/dbraw/zinc/62/04/10/484620410.db2.gz SJEWGVKIIVYKDP-OLZOCXBDSA-N -1 1 320.393 1.710 20 0 DDADMM CN(C)Cc1cc(CNC(=O)[C@H](C(=O)[O-])C2CC2)ccc1F ZINC000663101758 484666324 /nfs/dbraw/zinc/66/63/24/484666324.db2.gz PYMYNQLAMDVFBW-CQSZACIVSA-N -1 1 308.353 1.614 20 0 DDADMM O=C([O-])[C@@H](C(=O)NCCN1CCc2ccccc2C1)C1CC1 ZINC000663113774 484678824 /nfs/dbraw/zinc/67/88/24/484678824.db2.gz KTDDHSNUXOFBRH-OAHLLOKOSA-N -1 1 302.374 1.272 20 0 DDADMM O=C([O-])C1(C(=O)NCCN2CCc3ccccc3C2)CC=CC1 ZINC000663114809 484680157 /nfs/dbraw/zinc/68/01/57/484680157.db2.gz QXZLYYUCOKWNCH-UHFFFAOYSA-N -1 1 314.385 1.582 20 0 DDADMM NC(=O)N1CCCN(C(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000667924289 484856929 /nfs/dbraw/zinc/85/69/29/484856929.db2.gz LCHACEADVSLSRC-UHFFFAOYSA-N -1 1 314.345 1.167 20 0 DDADMM CC(C)(C)OCCCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000664371299 484857554 /nfs/dbraw/zinc/85/75/54/484857554.db2.gz LDVIVIXPSIICBQ-UHFFFAOYSA-N -1 1 303.362 1.858 20 0 DDADMM CC(C)(CNC(=O)c1ccc([O-])c(F)c1)C1(O)CCOCC1 ZINC000668057004 484929990 /nfs/dbraw/zinc/92/99/90/484929990.db2.gz INWKCOUQXRLTPV-UHFFFAOYSA-N -1 1 311.353 1.829 20 0 DDADMM O=S(=O)([N-]C1(C(F)F)CCCC1)c1cnn2c1OCCC2 ZINC000668204481 485023096 /nfs/dbraw/zinc/02/30/96/485023096.db2.gz ZIGPLDCMLBPCOG-UHFFFAOYSA-N -1 1 321.349 1.522 20 0 DDADMM Cc1ccc2nc(CNC(=O)NCCCCC(=O)[O-])[nH]c2c1 ZINC000666531790 485259961 /nfs/dbraw/zinc/25/99/61/485259961.db2.gz FGAPGGFRQWCJJY-UHFFFAOYSA-N -1 1 304.350 1.925 20 0 DDADMM CCCC(=O)NC1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000672747287 485342860 /nfs/dbraw/zinc/34/28/60/485342860.db2.gz ZULXEOYYHGZLBS-UHFFFAOYSA-N -1 1 318.373 1.482 20 0 DDADMM O=C(N[C@@H]1CCCc2c1cnn2CCO)c1cc(F)ccc1[O-] ZINC000678325007 485539274 /nfs/dbraw/zinc/53/92/74/485539274.db2.gz NGZMZBIZQPPAJE-CYBMUJFWSA-N -1 1 319.336 1.528 20 0 DDADMM Cc1nc(CCCC(=O)NC2(c3nn[n-]n3)CCCC2)cs1 ZINC000674242988 485567461 /nfs/dbraw/zinc/56/74/61/485567461.db2.gz GCWSYRXFHHJTID-UHFFFAOYSA-N -1 1 320.422 1.873 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)[C@H]2CCCCO2)sc1C ZINC000679011554 485755289 /nfs/dbraw/zinc/75/52/89/485755289.db2.gz DNOULAJLCCDRHC-MWLCHTKSSA-N -1 1 304.437 1.996 20 0 DDADMM O=C([O-])[C@@]1(NC(=O)c2ccc3[nH]nnc3c2)CCc2ccccc21 ZINC000675990909 486082802 /nfs/dbraw/zinc/08/28/02/486082802.db2.gz YMAYZCHRXRIPLK-QGZVFWFLSA-N -1 1 322.324 1.614 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cccc(N3CCCNC3=O)c2)c1[O-] ZINC000676630816 486273647 /nfs/dbraw/zinc/27/36/47/486273647.db2.gz AGJLGXBRKIUHTI-UHFFFAOYSA-N -1 1 315.333 1.596 20 0 DDADMM O=C(NCc1noc(C(F)(F)F)n1)c1ccc([O-])cc1F ZINC000684188384 486280028 /nfs/dbraw/zinc/28/00/28/486280028.db2.gz NZYLCUUTCGRNJP-UHFFFAOYSA-N -1 1 305.187 1.863 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)C(=O)c1ccccc1 ZINC000684351691 486329437 /nfs/dbraw/zinc/32/94/37/486329437.db2.gz IENAABNPRSTBOL-CYBMUJFWSA-N -1 1 307.313 1.288 20 0 DDADMM O=C(NCC1CCS(=O)(=O)CC1)c1ccc([O-])c(F)c1 ZINC000681059510 486334998 /nfs/dbraw/zinc/33/49/98/486334998.db2.gz VMNHOGXJXVGQGU-UHFFFAOYSA-N -1 1 301.339 1.086 20 0 DDADMM CC[C@H](C)[C@@H](OC)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000684566903 486384975 /nfs/dbraw/zinc/38/49/75/486384975.db2.gz JBPJXEJCODEMIF-CYZMBNFOSA-N -1 1 303.366 1.466 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C3CCCC2CCC3)co1 ZINC000677310064 486437227 /nfs/dbraw/zinc/43/72/27/486437227.db2.gz BKJNJJRPBQGYQQ-UHFFFAOYSA-N -1 1 312.391 1.735 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccn3ccnc3c2)co1 ZINC000684854557 486445961 /nfs/dbraw/zinc/44/59/61/486445961.db2.gz VLBQLKHUFGPJAH-UHFFFAOYSA-N -1 1 320.330 1.088 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)cc1C ZINC000151421615 490673790 /nfs/dbraw/zinc/67/37/90/490673790.db2.gz DDPCRBUQZRDBFC-UHFFFAOYSA-N -1 1 323.374 1.922 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-][C@H](C)C2CC2)c1F ZINC000599207938 500798099 /nfs/dbraw/zinc/79/80/99/500798099.db2.gz UPXBUWVFYQAQTL-SSDOTTSWSA-N -1 1 319.329 1.828 20 0 DDADMM CS[C@H]1CCN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000295598494 534100367 /nfs/dbraw/zinc/10/03/67/534100367.db2.gz SDRXQFULQLOGPJ-NSHDSACASA-N -1 1 320.418 1.146 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H]3CC[C@H](C)O3)cnc2n1 ZINC000412417550 534401356 /nfs/dbraw/zinc/40/13/56/534401356.db2.gz NIROYVZQUCLPNR-QWRGUYRKSA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@H]1CC[C@H](C)O1)c2=O ZINC000412417550 534401364 /nfs/dbraw/zinc/40/13/64/534401364.db2.gz NIROYVZQUCLPNR-QWRGUYRKSA-N -1 1 301.346 1.941 20 0 DDADMM NC(=O)[C@@H]1CCC[C@H]([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000451219754 534432775 /nfs/dbraw/zinc/43/27/75/534432775.db2.gz QDATVMPOZUBVIY-SFYZADRCSA-N -1 1 322.839 1.724 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CCC[C@@H](O)C1 ZINC000439260969 534646188 /nfs/dbraw/zinc/64/61/88/534646188.db2.gz RMKWRHAYXUTVFZ-VXGBXAGGSA-N -1 1 318.377 1.219 20 0 DDADMM Cn1[n-]c(CN2CCC(Cc3c(F)cccc3F)CC2)nc1=O ZINC000451959821 534665497 /nfs/dbraw/zinc/66/54/97/534665497.db2.gz NXZOOGXHDWKOBO-UHFFFAOYSA-N -1 1 322.359 1.841 20 0 DDADMM CCCN(C(=O)c1ncc(C)cc1[O-])[C@H]1CCS(=O)(=O)C1 ZINC000330915152 527796957 /nfs/dbraw/zinc/79/69/57/527796957.db2.gz YUVABBZFFGNXDF-NSHDSACASA-N -1 1 312.391 1.135 20 0 DDADMM CCOC(=O)[C@H](CC)C([O-])=Nc1nc([C@@H](C)OC)ns1 ZINC000412324184 527989210 /nfs/dbraw/zinc/98/92/10/527989210.db2.gz MSFNKNFDTMRGNJ-HTQZYQBOSA-N -1 1 301.368 1.195 20 0 DDADMM CCNC(=O)c1ccc(=NCCOc2ccccc2F)[n-]n1 ZINC000413021481 528227795 /nfs/dbraw/zinc/22/77/95/528227795.db2.gz BDDKIWRHHMEIBD-UHFFFAOYSA-N -1 1 304.325 1.278 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2cccc(OCC)c2)[n-]n1 ZINC000433417493 528229942 /nfs/dbraw/zinc/22/99/42/528229942.db2.gz LTGFNGWVZSFGNK-UHFFFAOYSA-N -1 1 314.389 1.702 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCOC[C@H]2C)o1 ZINC000432301185 528242787 /nfs/dbraw/zinc/24/27/87/528242787.db2.gz VQLLDRREVMCTKZ-ZJUUUORDSA-N -1 1 317.363 1.160 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451188859 528817494 /nfs/dbraw/zinc/81/74/94/528817494.db2.gz FRQXJEIPASMJFI-UHFFFAOYSA-N -1 1 301.295 1.413 20 0 DDADMM CCC[C@@H](C)C(=O)NCCN=c1nc(C(F)(F)F)[n-]s1 ZINC000431503186 528854165 /nfs/dbraw/zinc/85/41/65/528854165.db2.gz VZAOUIOJMNWJCL-SSDOTTSWSA-N -1 1 310.345 1.943 20 0 DDADMM CCCC[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(=O)OC ZINC000295002618 528983520 /nfs/dbraw/zinc/98/35/20/528983520.db2.gz RSEYITGCMKHQFE-JTQLQIEISA-N -1 1 322.390 1.480 20 0 DDADMM CC1(C)CCC[C@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331902071 528986550 /nfs/dbraw/zinc/98/65/50/528986550.db2.gz JAQMAUFQBGQHOE-JTQLQIEISA-N -1 1 309.439 1.426 20 0 DDADMM CCN1CCN(C(=O)c2ccc([O-])cc2F)C[C@H]1c1ncc[nH]1 ZINC000437005793 529134916 /nfs/dbraw/zinc/13/49/16/529134916.db2.gz ZABWGDJSSDBTDO-AWEZNQCLSA-N -1 1 318.352 1.773 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]2C)o1 ZINC000337208285 535696990 /nfs/dbraw/zinc/69/69/90/535696990.db2.gz QGJGRSLUOQCAKU-VHSXEESVSA-N -1 1 301.364 1.923 20 0 DDADMM CCc1nc(COC(=O)c2sccc2-c2nn[n-]n2)no1 ZINC000736704722 598845075 /nfs/dbraw/zinc/84/50/75/598845075.db2.gz SCSWJUURJHAIJK-UHFFFAOYSA-N -1 1 306.307 1.231 20 0 DDADMM OCC[C@@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000738394437 598854348 /nfs/dbraw/zinc/85/43/48/598854348.db2.gz RIDXITYWZJKFKZ-VIFPVBQESA-N -1 1 308.773 1.514 20 0 DDADMM OCC[C@@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000738394437 598854349 /nfs/dbraw/zinc/85/43/49/598854349.db2.gz RIDXITYWZJKFKZ-VIFPVBQESA-N -1 1 308.773 1.514 20 0 DDADMM C[C@@H](Cn1cccn1)Nc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736079811 598958012 /nfs/dbraw/zinc/95/80/12/598958012.db2.gz NMDBUGCJNWRDDL-JTQLQIEISA-N -1 1 321.348 1.507 20 0 DDADMM C[C@@H](Cn1cccn1)Nc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736079811 598958014 /nfs/dbraw/zinc/95/80/14/598958014.db2.gz NMDBUGCJNWRDDL-JTQLQIEISA-N -1 1 321.348 1.507 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2N1CCCSCC1 ZINC000738437366 598960793 /nfs/dbraw/zinc/96/07/93/598960793.db2.gz OTGJWUIXSAWXJP-UHFFFAOYSA-N -1 1 313.390 1.753 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2N1CCCSCC1 ZINC000738437366 598960795 /nfs/dbraw/zinc/96/07/95/598960795.db2.gz OTGJWUIXSAWXJP-UHFFFAOYSA-N -1 1 313.390 1.753 20 0 DDADMM c1cnn(C2CN(c3c4ccccc4nnc3-c3nnn[n-]3)C2)c1 ZINC000738433033 598970051 /nfs/dbraw/zinc/97/00/51/598970051.db2.gz MMGOZISWYZMECI-UHFFFAOYSA-N -1 1 319.332 1.068 20 0 DDADMM c1cnn(C2CN(c3c4ccccc4nnc3-c3nn[n-]n3)C2)c1 ZINC000738433033 598970053 /nfs/dbraw/zinc/97/00/53/598970053.db2.gz MMGOZISWYZMECI-UHFFFAOYSA-N -1 1 319.332 1.068 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)[C@@H]1C ZINC000736247576 598985858 /nfs/dbraw/zinc/98/58/58/598985858.db2.gz ADTOCLITLLXUNX-FOGDFJRCSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)[C@@H]1C ZINC000736247576 598985860 /nfs/dbraw/zinc/98/58/60/598985860.db2.gz ADTOCLITLLXUNX-FOGDFJRCSA-N -1 1 300.366 1.816 20 0 DDADMM CCC(CC)N(CCOC)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736345783 598991815 /nfs/dbraw/zinc/99/18/15/598991815.db2.gz LDNYESGKKMRLTO-UHFFFAOYSA-N -1 1 318.381 1.539 20 0 DDADMM CCC(CC)N(CCOC)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736345783 598991816 /nfs/dbraw/zinc/99/18/16/598991816.db2.gz LDNYESGKKMRLTO-UHFFFAOYSA-N -1 1 318.381 1.539 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)[C@@H](C)C1 ZINC000736241468 599005644 /nfs/dbraw/zinc/00/56/44/599005644.db2.gz ODLUZMNEPDOTDX-JFGNBEQYSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)[C@@H](C)C1 ZINC000736241468 599005646 /nfs/dbraw/zinc/00/56/46/599005646.db2.gz ODLUZMNEPDOTDX-JFGNBEQYSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@@H]1c2cccn2CCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736304617 599020569 /nfs/dbraw/zinc/02/05/69/599020569.db2.gz PSAKLJIALUNXAI-SNVBAGLBSA-N -1 1 309.333 1.280 20 0 DDADMM C[C@@H]1c2cccn2CCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736304617 599020571 /nfs/dbraw/zinc/02/05/71/599020571.db2.gz PSAKLJIALUNXAI-SNVBAGLBSA-N -1 1 309.333 1.280 20 0 DDADMM O[C@@H]1CCC[C@H]1CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000823699331 599079681 /nfs/dbraw/zinc/07/96/81/599079681.db2.gz USEBPECFAAUJAG-JOYOIKCWSA-N -1 1 311.349 1.383 20 0 DDADMM O[C@@H]1CCC[C@H]1CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000823699331 599079684 /nfs/dbraw/zinc/07/96/84/599079684.db2.gz USEBPECFAAUJAG-JOYOIKCWSA-N -1 1 311.349 1.383 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736244742 599086612 /nfs/dbraw/zinc/08/66/12/599086612.db2.gz MUUKVBZIJHWFRC-GHMZBOCLSA-N -1 1 300.366 1.912 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736244742 599086614 /nfs/dbraw/zinc/08/66/14/599086614.db2.gz MUUKVBZIJHWFRC-GHMZBOCLSA-N -1 1 300.366 1.912 20 0 DDADMM O=C(OCCc1ccccc1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738222253 599155422 /nfs/dbraw/zinc/15/54/22/599155422.db2.gz MYHCCKSQNWLXDJ-UHFFFAOYSA-N -1 1 313.292 1.800 20 0 DDADMM O=C(OCCc1ccccc1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738222253 599155424 /nfs/dbraw/zinc/15/54/24/599155424.db2.gz MYHCCKSQNWLXDJ-UHFFFAOYSA-N -1 1 313.292 1.800 20 0 DDADMM O=C([O-])CCNC(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000821524897 597666425 /nfs/dbraw/zinc/66/64/25/597666425.db2.gz DYMZNRPRUIUZQB-SNVBAGLBSA-N -1 1 307.354 1.046 20 0 DDADMM CN(CC(F)F)C1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000821595499 607328732 /nfs/dbraw/zinc/32/87/32/607328732.db2.gz ZGSLFBBUFYOCMW-UHFFFAOYSA-N -1 1 323.351 1.427 20 0 DDADMM CC(C)[C@@H](NCC(=O)N1CCSc2ccccc21)C(=O)[O-] ZINC000035391801 598189427 /nfs/dbraw/zinc/18/94/27/598189427.db2.gz DDFRHFLGYKSIKQ-CQSZACIVSA-N -1 1 308.403 1.824 20 0 DDADMM CN(CCOc1ccccc1F)c1nccnc1-c1nnn[n-]1 ZINC000736804139 598339057 /nfs/dbraw/zinc/33/90/57/598339057.db2.gz IFCAEAXDEKZENL-UHFFFAOYSA-N -1 1 315.312 1.311 20 0 DDADMM CN(CCOc1ccccc1F)c1nccnc1-c1nn[n-]n1 ZINC000736804139 598339058 /nfs/dbraw/zinc/33/90/58/598339058.db2.gz IFCAEAXDEKZENL-UHFFFAOYSA-N -1 1 315.312 1.311 20 0 DDADMM FC(F)Oc1ccccc1CNc1nccnc1-c1nnn[n-]1 ZINC000737638040 598341043 /nfs/dbraw/zinc/34/10/43/598341043.db2.gz BGRDETWPGBRBLT-UHFFFAOYSA-N -1 1 319.275 1.870 20 0 DDADMM FC(F)Oc1ccccc1CNc1nccnc1-c1nn[n-]n1 ZINC000737638040 598341044 /nfs/dbraw/zinc/34/10/44/598341044.db2.gz BGRDETWPGBRBLT-UHFFFAOYSA-N -1 1 319.275 1.870 20 0 DDADMM COc1ccc(OCCNc2cnc(-c3nnn[n-]3)cn2)cc1 ZINC000737112712 598386646 /nfs/dbraw/zinc/38/66/46/598386646.db2.gz YXEHQHFGKSGNSD-UHFFFAOYSA-N -1 1 313.321 1.156 20 0 DDADMM COc1ccc(OCCNc2cnc(-c3nn[n-]n3)cn2)cc1 ZINC000737112712 598386647 /nfs/dbraw/zinc/38/66/47/598386647.db2.gz YXEHQHFGKSGNSD-UHFFFAOYSA-N -1 1 313.321 1.156 20 0 DDADMM COc1ccc2c(c1)CCCN(c1nccnc1-c1nnn[n-]1)C2 ZINC000737118898 598673363 /nfs/dbraw/zinc/67/33/63/598673363.db2.gz JFEITTHFBCSNPT-UHFFFAOYSA-N -1 1 323.360 1.618 20 0 DDADMM COc1ccc2c(c1)CCCN(c1nccnc1-c1nn[n-]n1)C2 ZINC000737118898 598673365 /nfs/dbraw/zinc/67/33/65/598673365.db2.gz JFEITTHFBCSNPT-UHFFFAOYSA-N -1 1 323.360 1.618 20 0 DDADMM Fc1ccccc1OCCNc1cccc(-c2nnn[n-]2)n1 ZINC000737670309 599395523 /nfs/dbraw/zinc/39/55/23/599395523.db2.gz VAKYQFMPEWXCBT-UHFFFAOYSA-N -1 1 300.297 1.892 20 0 DDADMM Fc1ccccc1OCCNc1cccc(-c2nn[n-]n2)n1 ZINC000737670309 599395529 /nfs/dbraw/zinc/39/55/29/599395529.db2.gz VAKYQFMPEWXCBT-UHFFFAOYSA-N -1 1 300.297 1.892 20 0 DDADMM CN(C)[C@@H](CNC(=O)CCCNC(=O)[O-])c1cccc(F)c1 ZINC000737359038 599742066 /nfs/dbraw/zinc/74/20/66/599742066.db2.gz RFLOBEIMUZGEGN-ZDUSSCGKSA-N -1 1 311.357 1.592 20 0 DDADMM CN(CC(=O)Nc1ccc(C(=O)[O-])cc1)C[C@@H]1CCCOC1 ZINC000737394400 599763343 /nfs/dbraw/zinc/76/33/43/599763343.db2.gz DWUGROIHVBVFBX-LBPRGKRZSA-N -1 1 306.362 1.682 20 0 DDADMM COc1cc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])ccc1C ZINC000314701347 599777409 /nfs/dbraw/zinc/77/74/09/599777409.db2.gz GXKKCLWUBOGVSR-LBPRGKRZSA-N -1 1 303.318 1.152 20 0 DDADMM CCCC[C@H]1NC(=O)N(CN2CCCC[C@H]2CC(=O)[O-])C1=O ZINC000736984210 599838728 /nfs/dbraw/zinc/83/87/28/599838728.db2.gz JXUCSLREDYXHOY-NWDGAFQWSA-N -1 1 311.382 1.384 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)NC[C@H](c1ccsc1)N(C)C ZINC000736369079 599842524 /nfs/dbraw/zinc/84/25/24/599842524.db2.gz PTDHYNRUBYMGLE-VXGBXAGGSA-N -1 1 313.423 1.759 20 0 DDADMM O=C([O-])c1ccc(CN2CCC(Nc3cccnn3)CC2)o1 ZINC000740124262 599915622 /nfs/dbraw/zinc/91/56/22/599915622.db2.gz OSYITNVQXVRUHA-UHFFFAOYSA-N -1 1 302.334 1.844 20 0 DDADMM Cn1ccnc1CN1CCCN(Cc2ccc(C(=O)[O-])o2)CC1 ZINC000739056984 599918605 /nfs/dbraw/zinc/91/86/05/599918605.db2.gz WGFBRZLEWNEVKY-UHFFFAOYSA-N -1 1 318.377 1.419 20 0 DDADMM COc1ccc([C@H](NC(=O)CNC(C)(C)C(=O)[O-])C2CC2)cc1 ZINC000737960704 599925511 /nfs/dbraw/zinc/92/55/11/599925511.db2.gz OHJAEWPCYUUVPL-OAHLLOKOSA-N -1 1 320.389 1.715 20 0 DDADMM C[C@H](CN1CCN(C)CC1)Nc1nc(Cl)c(C(=O)[O-])s1 ZINC000736586957 600008573 /nfs/dbraw/zinc/00/85/73/600008573.db2.gz RADNBYUPBIRWGK-MRVPVSSYSA-N -1 1 318.830 1.543 20 0 DDADMM CN1CCN(c2ccc(NC(=O)[C@@H]3C[C@H]3C(=O)[O-])cc2)CC1 ZINC000737530922 600062090 /nfs/dbraw/zinc/06/20/90/600062090.db2.gz ZJDXRSFFZWQFFW-ZIAGYGMSSA-N -1 1 303.362 1.098 20 0 DDADMM CCC[C@@](C)(NCC(=O)NCCc1ccccc1C)C(=O)[O-] ZINC000736961586 600154976 /nfs/dbraw/zinc/15/49/76/600154976.db2.gz CAXKQXADZAGTQL-QGZVFWFLSA-N -1 1 306.406 1.887 20 0 DDADMM C[C@@](NS(=O)(=O)c1c(F)cc(F)cc1F)(C(=O)[O-])C1CC1 ZINC000037908967 600239954 /nfs/dbraw/zinc/23/99/54/600239954.db2.gz BXHTUDSMWNLDFB-LBPRGKRZSA-N -1 1 323.292 1.636 20 0 DDADMM Cc1cc(NC(=O)NC[C@H]2CN(C)CCN2C)ccc1C(=O)[O-] ZINC000389979038 600278251 /nfs/dbraw/zinc/27/82/51/600278251.db2.gz WNDPIXAHKQKZMZ-ZDUSSCGKSA-N -1 1 320.393 1.061 20 0 DDADMM CCOC[C@@H]1CCCN(CC(=O)N[C@H](C(=O)[O-])[C@@H](C)CC)C1 ZINC000737162941 600286684 /nfs/dbraw/zinc/28/66/84/600286684.db2.gz UVKMDPSSIPHVMC-GUTXKFCHSA-N -1 1 314.426 1.350 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)CCSCC(=O)[O-] ZINC000736825188 600298168 /nfs/dbraw/zinc/29/81/68/600298168.db2.gz LBEGOAYCIGNILI-NEPJUHHUSA-N -1 1 300.424 1.280 20 0 DDADMM C[C@@H](CN(C)[C@@H](C)C(=O)Nc1ccc(F)cc1F)C(=O)[O-] ZINC000736570164 600362537 /nfs/dbraw/zinc/36/25/37/600362537.db2.gz BMKALARFNZXSJE-IUCAKERBSA-N -1 1 300.305 1.944 20 0 DDADMM CCNC(=O)c1cccc(NC(=O)CN(C)C[C@H](C)C(=O)[O-])c1 ZINC000737121972 600366531 /nfs/dbraw/zinc/36/65/31/600366531.db2.gz FUZYLNHHBGOVAV-NSHDSACASA-N -1 1 321.377 1.027 20 0 DDADMM CCO[C@@H]1CCCN([C@@H](C)C(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC000737165788 600434923 /nfs/dbraw/zinc/43/49/23/600434923.db2.gz VGHZUNLSDPNNSS-GXTWGEPZSA-N -1 1 312.410 1.199 20 0 DDADMM CC(C)[C@@H](NC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1)C(=O)[O-] ZINC000736384812 600441741 /nfs/dbraw/zinc/44/17/41/600441741.db2.gz IVKORKOCCBEMQZ-SNVBAGLBSA-N -1 1 321.358 1.463 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)NCc1ccc(C(=O)[O-])o1 ZINC000737358252 600479530 /nfs/dbraw/zinc/47/95/30/600479530.db2.gz JTAVHYPRDDVKNQ-AWEZNQCLSA-N -1 1 316.357 1.767 20 0 DDADMM COC[C@@H]1CCN([C@@H](C)C(=O)Nc2cc(C(=O)[O-])ccc2C)C1 ZINC000737752736 600573879 /nfs/dbraw/zinc/57/38/79/600573879.db2.gz XHYGUGQVGSAMEO-QWHCGFSZSA-N -1 1 320.389 1.989 20 0 DDADMM C[C@@H](CSCC1=Nc2ccccc2S(=O)(=O)N1)C(=O)[O-] ZINC000736613052 600598223 /nfs/dbraw/zinc/59/82/23/600598223.db2.gz IYEDLURHXWFFFH-QMMMGPOBSA-N -1 1 314.388 1.462 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2ccnc(C)c2)cc1C(=O)[O-] ZINC000317734799 600658866 /nfs/dbraw/zinc/65/88/66/600658866.db2.gz WJAZASHMIDQENG-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM CN(C1CCN(C)CC1)S(=O)(=O)c1csc(C(=O)[O-])c1 ZINC000070156370 600685614 /nfs/dbraw/zinc/68/56/14/600685614.db2.gz UVIQJUMARJJTKY-UHFFFAOYSA-N -1 1 318.420 1.161 20 0 DDADMM CCCN(C)CC(=O)Nc1ccc(C(=O)NCCC(=O)[O-])cc1 ZINC000737007184 600786328 /nfs/dbraw/zinc/78/63/28/600786328.db2.gz CGTDUZGXVAORCH-UHFFFAOYSA-N -1 1 321.377 1.171 20 0 DDADMM Cc1ccc([C@@H]2C[C@@H](C)CCN2CC(=O)NCCC(=O)[O-])o1 ZINC000832930044 600858251 /nfs/dbraw/zinc/85/82/51/600858251.db2.gz PEJNPPBYRBLJGZ-AAEUAGOBSA-N -1 1 308.378 1.952 20 0 DDADMM COCCN1CCCN(C(=O)[C@H]2CCC[C@@H](C(=O)[O-])C2)CC1 ZINC000320647091 600910632 /nfs/dbraw/zinc/91/06/32/600910632.db2.gz FWQHCUDFXBLQHX-UONOGXRCSA-N -1 1 312.410 1.058 20 0 DDADMM CCN(CC)CC(=O)Nc1cc(C(=O)[O-])cc(OC)c1OC ZINC000829672068 600970526 /nfs/dbraw/zinc/97/05/26/600970526.db2.gz YAEITSWJUNPLIA-UHFFFAOYSA-N -1 1 310.350 1.682 20 0 DDADMM COC(=O)c1cc(CN2CCSC[C@@H]2CC(=O)[O-])c(C)o1 ZINC000831248713 601018463 /nfs/dbraw/zinc/01/84/63/601018463.db2.gz PZDNPURLLQMUPA-NSHDSACASA-N -1 1 313.375 1.767 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CCC3(C2)CCOCC3)cc1 ZINC000833104412 601052120 /nfs/dbraw/zinc/05/21/20/601052120.db2.gz ASWSYCXTXDEXJI-UHFFFAOYSA-N -1 1 318.373 1.826 20 0 DDADMM CC(C)c1cc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)nn1C ZINC000827101882 601097156 /nfs/dbraw/zinc/09/71/56/601097156.db2.gz KDBZBAIWILTNHI-GFCCVEGCSA-N -1 1 322.409 1.165 20 0 DDADMM O=C([O-])CC1(NCc2cnn(-c3ccccc3)n2)CCOCC1 ZINC000833205735 601365007 /nfs/dbraw/zinc/36/50/07/601365007.db2.gz NHTMZEABOKDTCT-UHFFFAOYSA-N -1 1 316.361 1.381 20 0 DDADMM Cc1cc(CNC(=O)c2cc(C)c(OCC(=O)[O-])c(C)c2)n[nH]1 ZINC000832882623 601688594 /nfs/dbraw/zinc/68/85/94/601688594.db2.gz LGJWPJYHPIXRFZ-UHFFFAOYSA-N -1 1 317.345 1.728 20 0 DDADMM CCN(C)[C@H](C(=O)N1C[C@H](C)[C@@H](C(=O)[O-])C1)c1ccccc1 ZINC000829608223 601758676 /nfs/dbraw/zinc/75/86/76/601758676.db2.gz GZWNCHVVRZPEAQ-QEJZJMRPSA-N -1 1 304.390 1.859 20 0 DDADMM CC(C)[C@H](NCC(=O)Nc1ccccc1OC(F)F)C(=O)[O-] ZINC000817977519 601822175 /nfs/dbraw/zinc/82/21/75/601822175.db2.gz HOGYILXZIHSYCA-LBPRGKRZSA-N -1 1 316.304 1.925 20 0 DDADMM CC(C)[C@H](NCC(=O)NC(=O)N[C@H]1CCCC[C@@H]1C)C(=O)[O-] ZINC000818097684 601824800 /nfs/dbraw/zinc/82/48/00/601824800.db2.gz ZTRZUPQYOZMCOH-GVXVVHGQSA-N -1 1 313.398 1.090 20 0 DDADMM C[C@H](C(=O)Nc1ccc(Cl)cn1)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000826012676 601921488 /nfs/dbraw/zinc/92/14/88/601921488.db2.gz ICOADHLFBAMVBD-YMTOWFKASA-N -1 1 311.769 1.859 20 0 DDADMM CCN(CC)C(=O)CN1CCCC[C@@H]1[C@H]1CCCN1C(=O)[O-] ZINC000739409346 601927663 /nfs/dbraw/zinc/92/76/63/601927663.db2.gz VLWLLGVZSHDFBM-ZIAGYGMSSA-N -1 1 311.426 1.852 20 0 DDADMM O=C(CN(C1CC1)C1CCN(C(=O)[O-])CC1)Nc1nccs1 ZINC000740367474 601932691 /nfs/dbraw/zinc/93/26/91/601932691.db2.gz QZWZZOMZLOADFH-UHFFFAOYSA-N -1 1 324.406 1.688 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)N2CCc3sccc3C2)C1 ZINC000740642584 601945610 /nfs/dbraw/zinc/94/56/10/601945610.db2.gz NVGVPWWMVFNQFH-NSHDSACASA-N -1 1 323.418 1.222 20 0 DDADMM CCN(C(=O)CN1CC[C@H](CNC(=O)[O-])C1)C1CCCCC1 ZINC000739382801 601952891 /nfs/dbraw/zinc/95/28/91/601952891.db2.gz WCMOLLCKSQLYQF-CYBMUJFWSA-N -1 1 311.426 1.757 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)N2CCC[C@H]3CCCC[C@H]32)C1 ZINC000740644025 601955897 /nfs/dbraw/zinc/95/58/97/601955897.db2.gz WLUHPELPXSEZTA-RRFJBIMHSA-N -1 1 323.437 1.757 20 0 DDADMM C[C@H](NC(=O)[C@H](C)N1CC[C@@H](CNC(=O)[O-])C1)c1ccccc1 ZINC000738953319 601960724 /nfs/dbraw/zinc/96/07/24/601960724.db2.gz PNUGJTYIEJOFDC-IHRRRGAJSA-N -1 1 319.405 1.842 20 0 DDADMM C[C@H](C(=O)NCCc1ccccc1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738628096 602043137 /nfs/dbraw/zinc/04/31/37/602043137.db2.gz IZAJVPVXGDVWEB-HIFRSBDPSA-N -1 1 319.405 1.323 20 0 DDADMM Cc1cccc(NCc2ccnc(-c3nnn[n-]3)c2)c1C(N)=O ZINC000826299410 607549879 /nfs/dbraw/zinc/54/98/79/607549879.db2.gz CZRQSLWCNXIEBN-UHFFFAOYSA-N -1 1 309.333 1.281 20 0 DDADMM Cc1cccc(NCc2ccnc(-c3nn[n-]n3)c2)c1C(N)=O ZINC000826299410 607549880 /nfs/dbraw/zinc/54/98/80/607549880.db2.gz CZRQSLWCNXIEBN-UHFFFAOYSA-N -1 1 309.333 1.281 20 0 DDADMM Cc1ccc(CNC(=O)CN[C@H](C(=O)[O-])C(C)(C)C)cc1F ZINC000832931623 602228763 /nfs/dbraw/zinc/22/87/63/602228763.db2.gz GDCFBQYQBBJYLK-CQSZACIVSA-N -1 1 310.369 1.839 20 0 DDADMM Clc1nsc(N2CCc3ncccc3C2)c1-c1nnn[n-]1 ZINC000826354130 607564204 /nfs/dbraw/zinc/56/42/04/607564204.db2.gz QLVSEZXOCYCXQO-UHFFFAOYSA-N -1 1 319.781 1.934 20 0 DDADMM Clc1nsc(N2CCc3ncccc3C2)c1-c1nn[n-]n1 ZINC000826354130 607564205 /nfs/dbraw/zinc/56/42/05/607564205.db2.gz QLVSEZXOCYCXQO-UHFFFAOYSA-N -1 1 319.781 1.934 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(CC(=O)N2CCC(C)CC2)CC1 ZINC000739114703 602451193 /nfs/dbraw/zinc/45/11/93/602451193.db2.gz XBNYDPWQCIAWLD-ZDUSSCGKSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N1C(=O)CN1CCC(N(C)C(=O)[O-])CC1 ZINC000737743565 602536763 /nfs/dbraw/zinc/53/67/63/602536763.db2.gz HZTADYSGLRGAFZ-CHWSQXEVSA-N -1 1 311.426 1.850 20 0 DDADMM COC(=O)c1cc(CN2CC[C@@H](CN(C)C(=O)[O-])C2)c(C)o1 ZINC000739776971 602543332 /nfs/dbraw/zinc/54/33/32/602543332.db2.gz HJPOCQUMMYWRQD-NSHDSACASA-N -1 1 310.350 1.806 20 0 DDADMM CN1CCCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@@H]3N2C(=O)[O-])CC1 ZINC000739725101 602639194 /nfs/dbraw/zinc/63/91/94/602639194.db2.gz DAPXCFHCYWBPOW-IHRRRGAJSA-N -1 1 309.410 1.462 20 0 DDADMM CN(CC(=O)NCc1ccco1)C[C@@H]1CCCN(C(=O)[O-])C1 ZINC000739624901 602701622 /nfs/dbraw/zinc/70/16/22/602701622.db2.gz ABIRYVLWJMDKBL-LBPRGKRZSA-N -1 1 309.366 1.218 20 0 DDADMM CC(C)(C)C(=O)N1CCN(C[C@@H]2CCCN(C(=O)[O-])C2)CC1 ZINC000738673188 602702089 /nfs/dbraw/zinc/70/20/89/602702089.db2.gz IECJIOZBZOSVAO-ZDUSSCGKSA-N -1 1 311.426 1.567 20 0 DDADMM O=C([O-])NC[C@H]1CCN([C@H]2CCN(c3ccccc3)C2=O)C1 ZINC000740644041 602736306 /nfs/dbraw/zinc/73/63/06/602736306.db2.gz WRJZZUDRZCMUPS-OCCSQVGLSA-N -1 1 303.362 1.381 20 0 DDADMM C[C@H]1C[N@H+](Cc2nc(=O)c3sccc3[n-]2)CC[C@@H]1NC(=O)[O-] ZINC000739191836 602787781 /nfs/dbraw/zinc/78/77/81/602787781.db2.gz QPBOBXAROOEYLX-IUCAKERBSA-N -1 1 322.390 1.875 20 0 DDADMM C[C@H]1C[N@@H+](Cc2nc(=O)c3sccc3[n-]2)CC[C@@H]1NC(=O)[O-] ZINC000739191836 602787784 /nfs/dbraw/zinc/78/77/84/602787784.db2.gz QPBOBXAROOEYLX-IUCAKERBSA-N -1 1 322.390 1.875 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc3n[nH]cc32)C[C@H](C)N1C(=O)[O-] ZINC000739168446 602807960 /nfs/dbraw/zinc/80/79/60/602807960.db2.gz PNLOEXPQFQLPEN-AOOOYVTPSA-N -1 1 302.334 1.776 20 0 DDADMM CC[NH+](CC)C[C@@H](C)NC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739407441 602853965 /nfs/dbraw/zinc/85/39/65/602853965.db2.gz LDKTYTZKOSPGHP-SNVBAGLBSA-N -1 1 309.366 1.942 20 0 DDADMM C[C@@H](CS(C)(=O)=O)N(C[C@@H]1CCCN(C(=O)[O-])C1)C1CC1 ZINC000738949268 602862641 /nfs/dbraw/zinc/86/26/41/602862641.db2.gz KCFRAPXOLOHQBY-NWDGAFQWSA-N -1 1 318.439 1.274 20 0 DDADMM COc1ccccc1[C@H](NC(=O)[O-])C(=O)N[C@@H]1CCCN(C)C1 ZINC000739979340 602905383 /nfs/dbraw/zinc/90/53/83/602905383.db2.gz RQIKORZOWKBNKW-RISCZKNCSA-N -1 1 321.377 1.214 20 0 DDADMM CCc1cc(CNC(=O)N2CCC(CN(C)C(=O)[O-])CC2)n[nH]1 ZINC000739535457 602916638 /nfs/dbraw/zinc/91/66/38/602916638.db2.gz FLKABNYPGDPQCM-UHFFFAOYSA-N -1 1 323.397 1.504 20 0 DDADMM CCc1cc(CNC(=O)N2CCC[C@H](N(C)C(=O)[O-])C2)[nH]n1 ZINC000739540128 602916746 /nfs/dbraw/zinc/91/67/46/602916746.db2.gz RVSAVGBNMDZGNX-LBPRGKRZSA-N -1 1 309.370 1.256 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCC[C@@H]2C(=O)NCc2ccco2)C1 ZINC000740452818 602978288 /nfs/dbraw/zinc/97/82/88/602978288.db2.gz YBDTVUJSQRFOBO-TZMCWYRMSA-N -1 1 321.377 1.360 20 0 DDADMM CCN1CCCC[C@@H]1C(=O)NC[C@@H](NC(=O)[O-])c1ccccc1 ZINC000739453193 603033129 /nfs/dbraw/zinc/03/31/29/603033129.db2.gz DYZJNMVAEQSXEJ-HUUCEWRRSA-N -1 1 319.405 1.986 20 0 DDADMM CNC(=O)c1cccc(CN2CCC(CN(C)C(=O)[O-])CC2)c1 ZINC000739747598 603036355 /nfs/dbraw/zinc/03/63/55/603036355.db2.gz XIIAWCUXERRKFT-UHFFFAOYSA-N -1 1 319.405 1.868 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)N1CCC[C@@H]2CCCC[C@H]21 ZINC000739676468 603252448 /nfs/dbraw/zinc/25/24/48/603252448.db2.gz KPNGHXLMVBJUOU-UONOGXRCSA-N -1 1 311.426 1.757 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)N(C)Cc1ccc(F)cc1 ZINC000739676538 603252459 /nfs/dbraw/zinc/25/24/59/603252459.db2.gz NTAQXTKYXDLVNX-UHFFFAOYSA-N -1 1 311.357 1.374 20 0 DDADMM Cc1nc(CN2CCN(C[C@H]3CCN(C(=O)[O-])C3)CC2)cs1 ZINC000740217736 603323774 /nfs/dbraw/zinc/32/37/74/603323774.db2.gz BWJPOFSFUIFDON-CYBMUJFWSA-N -1 1 324.450 1.569 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CC[C@H](NC(=O)[O-])[C@H](C)C2)c1 ZINC000740054975 603345869 /nfs/dbraw/zinc/34/58/69/603345869.db2.gz WVAZRWOBAOXQSH-MFKMUULPSA-N -1 1 316.361 1.990 20 0 DDADMM CC(C)C[C@@H](NC(=O)[O-])C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000824217284 603482706 /nfs/dbraw/zinc/48/27/06/603482706.db2.gz DQCMDEFAYTZYIW-SNVBAGLBSA-N -1 1 318.337 1.488 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])CCN1CCC(=O)Nc1cc(N)ccc1F ZINC000826027769 603567955 /nfs/dbraw/zinc/56/79/55/603567955.db2.gz WBDDMOXGGVWUHO-SNVBAGLBSA-N -1 1 324.356 1.421 20 0 DDADMM C[C@@H](C(=O)N(C)Cc1nnc[nH]1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823655785 603644730 /nfs/dbraw/zinc/64/47/30/603644730.db2.gz VXDZQCPBKMVVOR-MFKMUULPSA-N -1 1 317.349 1.408 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H]3CCN(C(=O)[O-])C3)[nH]c2c1 ZINC000830432542 603763866 /nfs/dbraw/zinc/76/38/66/603763866.db2.gz GXLSQGOVWCDWFV-SNVBAGLBSA-N -1 1 302.334 1.487 20 0 DDADMM Cc1cc(NC(=O)CN(C)C2CCC(NC(=O)[O-])CC2)n(C)n1 ZINC000830103294 603783636 /nfs/dbraw/zinc/78/36/36/603783636.db2.gz HGNZEUKDBWDXFV-UHFFFAOYSA-N -1 1 323.397 1.178 20 0 DDADMM CCc1cc(CNC(=O)N[C@H]2CCC[C@@H]2CNC(=O)[O-])[nH]n1 ZINC000827563713 603809441 /nfs/dbraw/zinc/80/94/41/603809441.db2.gz ILJGXFLKNBVJIW-SKDRFNHKSA-N -1 1 309.370 1.208 20 0 DDADMM CC(C)N1C[C@@H](NCc2cccc3c2N(C(=O)[O-])CC3)CC1=O ZINC000824357356 603860909 /nfs/dbraw/zinc/86/09/09/603860909.db2.gz PWTQVDFOZSVSJM-AWEZNQCLSA-N -1 1 317.389 1.826 20 0 DDADMM O=C([O-])N1CCCC[C@@H]1CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000831635231 603942427 /nfs/dbraw/zinc/94/24/27/603942427.db2.gz QGGNQWSIHRHBIR-MNOVXSKESA-N -1 1 306.366 1.478 20 0 DDADMM O=C([O-])N1CCC[C@@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000831641854 603944413 /nfs/dbraw/zinc/94/44/13/603944413.db2.gz WPJVVKFFWFPELB-QWRGUYRKSA-N -1 1 306.366 1.336 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N(C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000829833047 603953558 /nfs/dbraw/zinc/95/35/58/603953558.db2.gz DYULLOXQVUEIJL-SSDOTTSWSA-N -1 1 320.271 1.561 20 0 DDADMM CN(C)[C@@H](CNC(=O)CCNC(=O)[O-])c1ccc(Cl)cc1 ZINC000827993668 603969957 /nfs/dbraw/zinc/96/99/57/603969957.db2.gz DUNKWDUXCMYNFZ-LBPRGKRZSA-N -1 1 313.785 1.717 20 0 DDADMM CC(C)N1CCC[C@@H](N2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])C1=O ZINC000824363887 603974332 /nfs/dbraw/zinc/97/43/32/603974332.db2.gz YAYQVKVFJRSXBU-HZSPNIEDSA-N -1 1 309.410 1.603 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)[C@@]1(C)CCN(C(=O)[O-])C1 ZINC000826087659 604054238 /nfs/dbraw/zinc/05/42/38/604054238.db2.gz QDRWUDBDKHBCAZ-WWGRRREGSA-N -1 1 309.410 1.462 20 0 DDADMM O=C([O-])N1CCC[C@@H](CN[C@@H]2CS(=O)(=O)c3ccccc32)C1 ZINC000831980822 604136181 /nfs/dbraw/zinc/13/61/81/604136181.db2.gz PAXIEIQHSFFNGH-WCQYABFASA-N -1 1 324.402 1.495 20 0 DDADMM COc1ccc(NC(=O)Cc2cc(C)[nH]n2)cc1NC(=O)[O-] ZINC000829453919 604164186 /nfs/dbraw/zinc/16/41/86/604164186.db2.gz LCCZJCIQICSRAV-UHFFFAOYSA-N -1 1 304.306 1.998 20 0 DDADMM C[C@H](CC(=O)N(C)Cc1nnc[nH]1)[C@H]1CCCN(C(=O)[O-])C1 ZINC000824558332 604358838 /nfs/dbraw/zinc/35/88/38/604358838.db2.gz OHKYDJZZTDHJTO-MNOVXSKESA-N -1 1 309.370 1.179 20 0 DDADMM COc1cccc([C@@H](CNC(=O)C2(NC(=O)[O-])CC2)N(C)C)c1 ZINC000829543503 604422851 /nfs/dbraw/zinc/42/28/51/604422851.db2.gz LNTVFSXPJGGADW-CYBMUJFWSA-N -1 1 321.377 1.214 20 0 DDADMM C[C@](CO)(Nc1snc(Cl)c1-c1nnn[n-]1)C1CC1 ZINC000824433165 608189887 /nfs/dbraw/zinc/18/98/87/608189887.db2.gz COXLYIWKWQCANP-SNVBAGLBSA-N -1 1 300.775 1.550 20 0 DDADMM C[C@](CO)(Nc1snc(Cl)c1-c1nn[n-]n1)C1CC1 ZINC000824433165 608189888 /nfs/dbraw/zinc/18/98/88/608189888.db2.gz COXLYIWKWQCANP-SNVBAGLBSA-N -1 1 300.775 1.550 20 0 DDADMM CCc1noc(C)c1NC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000833679312 604519000 /nfs/dbraw/zinc/51/90/00/604519000.db2.gz PAVCDPJMEBBTCL-SNVBAGLBSA-N -1 1 324.381 1.558 20 0 DDADMM CCc1[nH]c(C(=O)N2C[C@@H](C)N(C)C[C@H]2C)c(C)c1C(=O)[O-] ZINC000833666604 604579576 /nfs/dbraw/zinc/57/95/76/604579576.db2.gz AVBZETDZYJYPTN-NXEZZACHSA-N -1 1 307.394 1.748 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H](NC(=O)[O-])C(C)C)C2)n[nH]1 ZINC000830734533 604644434 /nfs/dbraw/zinc/64/44/34/604644434.db2.gz MSRXWHQUROOYKW-MNOVXSKESA-N -1 1 309.370 1.111 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@H](C)CN(C)C(=O)[O-])C2)n[nH]1 ZINC000830735899 604647056 /nfs/dbraw/zinc/64/70/56/604647056.db2.gz XHCINTWTWSYEEW-KOLCDFICSA-N -1 1 309.370 1.065 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)Nc2nc(CC(=O)[O-])cs2)n[nH]1 ZINC000832884091 604653205 /nfs/dbraw/zinc/65/32/05/604653205.db2.gz VTSPYJPVVZSSOQ-ZETCQYMHSA-N -1 1 323.378 1.554 20 0 DDADMM COc1ccccc1NC(=O)CN(C[C@@H](C)C(=O)[O-])C1CC1 ZINC000832623700 604740242 /nfs/dbraw/zinc/74/02/42/604740242.db2.gz UHBITYJCUAZILA-LLVKDONJSA-N -1 1 306.362 1.819 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCN(c3ccc(O)cc3)CC2)O1 ZINC000833578486 604823307 /nfs/dbraw/zinc/82/33/07/604823307.db2.gz JLRBSBZNRUXQLW-HNNXBMFYSA-N -1 1 318.373 1.662 20 0 DDADMM C[C@H](CN(CN1Cc2ccc(F)cc2C1=O)C1CC1)C(=O)[O-] ZINC000833515960 604861148 /nfs/dbraw/zinc/86/11/48/604861148.db2.gz BVIYHWPJYICIMO-SNVBAGLBSA-N -1 1 306.337 1.924 20 0 DDADMM Cn1ccnc1[C@@H](O)C1CCN(Cc2cc(C(=O)[O-])co2)CC1 ZINC000833761165 604923759 /nfs/dbraw/zinc/92/37/59/604923759.db2.gz YUHAQIJZEBQKTN-AWEZNQCLSA-N -1 1 319.361 1.657 20 0 DDADMM CC(C)CN(CC(F)(F)F)C(=O)CN1CCC[C@H]1CC(=O)[O-] ZINC000833488988 604967213 /nfs/dbraw/zinc/96/72/13/604967213.db2.gz VRCAVIRCCWAZOH-NSHDSACASA-N -1 1 324.343 1.972 20 0 DDADMM O=C([O-])[C@@H](O)C1CCN(C[C@H](O)c2cccc(Cl)c2)CC1 ZINC000833771282 604979515 /nfs/dbraw/zinc/97/95/15/604979515.db2.gz ZLTAEYDIUCYOER-KBPBESRZSA-N -1 1 313.781 1.531 20 0 DDADMM O=C([O-])[C@@H]1CC12CC[NH+](CCC[N-]C(=O)C(F)(F)F)CC2 ZINC000833771425 605042517 /nfs/dbraw/zinc/04/25/17/605042517.db2.gz FEJRCHCTUBKQMZ-VIFPVBQESA-N -1 1 308.300 1.242 20 0 DDADMM CC(C)Cc1nc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cs1 ZINC000833493792 605080926 /nfs/dbraw/zinc/08/09/26/605080926.db2.gz MJEOCTPDUCZUMA-JTQLQIEISA-N -1 1 322.390 1.490 20 0 DDADMM CCN(C)[C@H](C(=O)N1CC[C@H](NC(=O)[O-])C1)c1ccccc1 ZINC000739384557 605134511 /nfs/dbraw/zinc/13/45/11/605134511.db2.gz IBPRBOCOYMKZCP-KBPBESRZSA-N -1 1 305.378 1.548 20 0 DDADMM CC(C)c1nn(C)cc1C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833511853 605161110 /nfs/dbraw/zinc/16/11/10/605161110.db2.gz IQXYLHSPXQSENB-LBPRGKRZSA-N -1 1 322.409 1.165 20 0 DDADMM C[C@@H]1C[C@@H](c2ccccc2)CN1CN1C[C@@H](C(=O)[O-])CC1=O ZINC000833599369 605174220 /nfs/dbraw/zinc/17/42/20/605174220.db2.gz WLZZYATWATUCCW-YUELXQCFSA-N -1 1 302.374 1.755 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(C(C)(C)C)n[nH]2)CCN1CCC(=O)[O-] ZINC000833623975 605277696 /nfs/dbraw/zinc/27/76/96/605277696.db2.gz SHYPWBDXDUFEIK-NSHDSACASA-N -1 1 322.409 1.328 20 0 DDADMM CC(C)(C)OC(=O)CCCCN1CCO[C@H](CC(=O)[O-])C1 ZINC000833434313 605376020 /nfs/dbraw/zinc/37/60/20/605376020.db2.gz VCSKBEVYSXMGTR-GFCCVEGCSA-N -1 1 301.383 1.674 20 0 DDADMM CCc1cc(CNC(=O)N2CC[C@H](NC(=O)[O-])C[C@H]2C)[nH]n1 ZINC000827557729 605553473 /nfs/dbraw/zinc/55/34/73/605553473.db2.gz WSBZGWIPAUBSCJ-KOLCDFICSA-N -1 1 309.370 1.302 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(Cc2cnc(N(C)C)nc2)C1 ZINC000833812467 605600513 /nfs/dbraw/zinc/60/05/13/605600513.db2.gz JWSYNQJLMMEVIT-AAEUAGOBSA-N -1 1 307.398 1.411 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(Cc2cc(C(N)=O)cs2)C1 ZINC000833816150 605604868 /nfs/dbraw/zinc/60/48/68/605604868.db2.gz YELZNWIZFUXYLU-VHSXEESVSA-N -1 1 311.407 1.715 20 0 DDADMM CC(C)CNC(=O)[C@H](C)N1CCC(CCN(C)C(=O)[O-])CC1 ZINC000833797173 605654242 /nfs/dbraw/zinc/65/42/42/605654242.db2.gz UFJGEXSDBQCQJR-ZDUSSCGKSA-N -1 1 313.442 1.859 20 0 DDADMM O=C([O-])Nc1ccccc1C(=O)NCCCCN1CCOCC1 ZINC000740044749 605683561 /nfs/dbraw/zinc/68/35/61/605683561.db2.gz AKASYVLZEOOCLO-UHFFFAOYSA-N -1 1 321.377 1.619 20 0 DDADMM CC(C)C[C@H](NC(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000736427159 605722976 /nfs/dbraw/zinc/72/29/76/605722976.db2.gz QUKVPHYONRQJCX-LBPRGKRZSA-N -1 1 317.349 1.888 20 0 DDADMM O=C([O-])NC[C@H]1CCC[N@@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000834220634 605807563 /nfs/dbraw/zinc/80/75/63/605807563.db2.gz OYTRJKOPCIQPHY-CQSZACIVSA-N -1 1 321.377 1.214 20 0 DDADMM O=C([O-])Nc1cccc(CC(=O)N2CCc3[nH]nnc3C2)c1 ZINC000834265936 605845090 /nfs/dbraw/zinc/84/50/90/605845090.db2.gz UMRAQJZUVKZJGJ-UHFFFAOYSA-N -1 1 301.306 1.022 20 0 DDADMM CCC(CC)(CNC(=O)[O-])C(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000833842508 605877967 /nfs/dbraw/zinc/87/79/67/605877967.db2.gz XHCTXQFGAFXGPJ-UHFFFAOYSA-N -1 1 323.397 1.585 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C2CC2)[nH]n1 ZINC000834096382 605971098 /nfs/dbraw/zinc/97/10/98/605971098.db2.gz OZEATCIMUJOHBC-MNOVXSKESA-N -1 1 304.350 1.644 20 0 DDADMM CCN(CC)C(=O)[C@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821170767 606134023 /nfs/dbraw/zinc/13/40/23/606134023.db2.gz WEMRRBAOQVDKBW-QMMMGPOBSA-N -1 1 323.378 1.342 20 0 DDADMM CCN(CC)C(=O)[C@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821170767 606134025 /nfs/dbraw/zinc/13/40/25/606134025.db2.gz WEMRRBAOQVDKBW-QMMMGPOBSA-N -1 1 323.378 1.342 20 0 DDADMM CC[C@@H]1CCCCCN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820981689 606160738 /nfs/dbraw/zinc/16/07/38/606160738.db2.gz VUNDZMPUUWXLBC-SNVBAGLBSA-N -1 1 318.385 1.787 20 0 DDADMM CC[C@@H]1CCCCCN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820981689 606160740 /nfs/dbraw/zinc/16/07/40/606160740.db2.gz VUNDZMPUUWXLBC-SNVBAGLBSA-N -1 1 318.385 1.787 20 0 DDADMM CCCC(=O)N[C@@H]1CCCN(c2cccc(-c3nnn[n-]3)n2)C1 ZINC000821000733 606215925 /nfs/dbraw/zinc/21/59/25/606215925.db2.gz IOQZENDFGNEEMK-LLVKDONJSA-N -1 1 315.381 1.147 20 0 DDADMM CCCC(=O)N[C@@H]1CCCN(c2cccc(-c3nn[n-]n3)n2)C1 ZINC000821000733 606215927 /nfs/dbraw/zinc/21/59/27/606215927.db2.gz IOQZENDFGNEEMK-LLVKDONJSA-N -1 1 315.381 1.147 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@@H]1Cc2ccccc2O1 ZINC000823294610 606294976 /nfs/dbraw/zinc/29/49/76/606294976.db2.gz JUJZYTZSBKVEGR-AWEZNQCLSA-N -1 1 323.312 1.515 20 0 DDADMM CCc1ocnc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000821563961 606295427 /nfs/dbraw/zinc/29/54/27/606295427.db2.gz NPNOJQNBJYAPAZ-UHFFFAOYSA-N -1 1 300.278 1.375 20 0 DDADMM C[S@@](=O)c1ccc(CNc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000821941778 606430716 /nfs/dbraw/zinc/43/07/16/606430716.db2.gz JHWRMTFBRITNSQ-JOCHJYFZSA-N -1 1 314.374 1.611 20 0 DDADMM C[S@@](=O)c1ccc(CNc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000821941778 606430717 /nfs/dbraw/zinc/43/07/17/606430717.db2.gz JHWRMTFBRITNSQ-JOCHJYFZSA-N -1 1 314.374 1.611 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000820076504 606516267 /nfs/dbraw/zinc/51/62/67/606516267.db2.gz KBCFLRURIWEUQJ-NSHDSACASA-N -1 1 319.365 1.756 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000820076504 606516268 /nfs/dbraw/zinc/51/62/68/606516268.db2.gz KBCFLRURIWEUQJ-NSHDSACASA-N -1 1 319.365 1.756 20 0 DDADMM Cc1cccc(C)c1OCC(=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000822293884 606532271 /nfs/dbraw/zinc/53/22/71/606532271.db2.gz QDGKJDMFZJZGNY-UHFFFAOYSA-N -1 1 313.321 1.224 20 0 DDADMM Cc1cccc(C)c1OCC(=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000822293884 606532274 /nfs/dbraw/zinc/53/22/74/606532274.db2.gz QDGKJDMFZJZGNY-UHFFFAOYSA-N -1 1 313.321 1.224 20 0 DDADMM CCCC[C@H](COC)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821043362 606567966 /nfs/dbraw/zinc/56/79/66/606567966.db2.gz OFDAGFQFNMKSHQ-SECBINFHSA-N -1 1 309.395 1.863 20 0 DDADMM CCCC[C@H](COC)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821043362 606567967 /nfs/dbraw/zinc/56/79/67/606567967.db2.gz OFDAGFQFNMKSHQ-SECBINFHSA-N -1 1 309.395 1.863 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCC2(C1)CCOCC2 ZINC000823483562 606580121 /nfs/dbraw/zinc/58/01/21/606580121.db2.gz JKNKTSLKCZARAB-UHFFFAOYSA-N -1 1 319.390 1.571 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCC2(C1)CCOCC2 ZINC000823483562 606580122 /nfs/dbraw/zinc/58/01/22/606580122.db2.gz JKNKTSLKCZARAB-UHFFFAOYSA-N -1 1 319.390 1.571 20 0 DDADMM CC(C)c1cc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)n[nH]1 ZINC000820187937 606651897 /nfs/dbraw/zinc/65/18/97/606651897.db2.gz ZTLOOVQIXJGVPX-UHFFFAOYSA-N -1 1 313.321 1.465 20 0 DDADMM CC(C)c1cc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)n[nH]1 ZINC000820187937 606651898 /nfs/dbraw/zinc/65/18/98/606651898.db2.gz ZTLOOVQIXJGVPX-UHFFFAOYSA-N -1 1 313.321 1.465 20 0 DDADMM O=C(OC[C@H]1Cc2ccccc2O1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823400545 606760322 /nfs/dbraw/zinc/76/03/22/606760322.db2.gz GGSSUSLCKDTIFB-GFCCVEGCSA-N -1 1 323.312 1.422 20 0 DDADMM O=C(OC[C@H]1Cc2ccccc2O1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823400545 606760324 /nfs/dbraw/zinc/76/03/24/606760324.db2.gz GGSSUSLCKDTIFB-GFCCVEGCSA-N -1 1 323.312 1.422 20 0 DDADMM CCO[C@@H](CC(=O)OCc1ccnc(-c2nnn[n-]2)c1)C(C)C ZINC000821351632 606762877 /nfs/dbraw/zinc/76/28/77/606762877.db2.gz UGQLUPNNOUMTNZ-ZDUSSCGKSA-N -1 1 319.365 1.756 20 0 DDADMM CCO[C@@H](CC(=O)OCc1ccnc(-c2nn[n-]n2)c1)C(C)C ZINC000821351632 606762879 /nfs/dbraw/zinc/76/28/79/606762879.db2.gz UGQLUPNNOUMTNZ-ZDUSSCGKSA-N -1 1 319.365 1.756 20 0 DDADMM CC1(O)CCN(c2snc(Cl)c2-c2nnn[n-]2)CC1 ZINC000820628395 607117241 /nfs/dbraw/zinc/11/72/41/607117241.db2.gz BISNQHOBNGWEAX-UHFFFAOYSA-N -1 1 300.775 1.328 20 0 DDADMM CC1(O)CCN(c2snc(Cl)c2-c2nn[n-]n2)CC1 ZINC000820628395 607117243 /nfs/dbraw/zinc/11/72/43/607117243.db2.gz BISNQHOBNGWEAX-UHFFFAOYSA-N -1 1 300.775 1.328 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCc2cccc(F)c21 ZINC000823478721 607178812 /nfs/dbraw/zinc/17/88/12/607178812.db2.gz QECBWVNXFYPPEM-UHFFFAOYSA-N -1 1 310.292 1.604 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCc2cccc(F)c21 ZINC000823478721 607178813 /nfs/dbraw/zinc/17/88/13/607178813.db2.gz QECBWVNXFYPPEM-UHFFFAOYSA-N -1 1 310.292 1.604 20 0 DDADMM Cc1nc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cc(C2CC2)n1 ZINC000822449968 607250811 /nfs/dbraw/zinc/25/08/11/607250811.db2.gz XJFVXIBRVYZFGS-UHFFFAOYSA-N -1 1 322.332 1.490 20 0 DDADMM Cc1nc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cc(C2CC2)n1 ZINC000822449968 607250812 /nfs/dbraw/zinc/25/08/12/607250812.db2.gz XJFVXIBRVYZFGS-UHFFFAOYSA-N -1 1 322.332 1.490 20 0 DDADMM Fc1cccc(OCCOC[C@@H]2CCCO2)c1-c1nnn[n-]1 ZINC000826378178 607864888 /nfs/dbraw/zinc/86/48/88/607864888.db2.gz YVVLDBMLIDSVRO-JTQLQIEISA-N -1 1 308.313 1.580 20 0 DDADMM Fc1cccc(OCCOC[C@@H]2CCCO2)c1-c1nn[n-]n1 ZINC000826378178 607864889 /nfs/dbraw/zinc/86/48/89/607864889.db2.gz YVVLDBMLIDSVRO-JTQLQIEISA-N -1 1 308.313 1.580 20 0 DDADMM Cn1cc([C@@H]2CCCN(c3cccc(-c4nnn[n-]4)n3)C2)cn1 ZINC000826360158 607898502 /nfs/dbraw/zinc/89/85/02/607898502.db2.gz ZGIPZOPLWPTDIO-LLVKDONJSA-N -1 1 310.365 1.379 20 0 DDADMM Cn1cc([C@@H]2CCCN(c3cccc(-c4nn[n-]n4)n3)C2)cn1 ZINC000826360158 607898503 /nfs/dbraw/zinc/89/85/03/607898503.db2.gz ZGIPZOPLWPTDIO-LLVKDONJSA-N -1 1 310.365 1.379 20 0 DDADMM CC1=CCN(S(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)CC1 ZINC000824859505 607906122 /nfs/dbraw/zinc/90/61/22/607906122.db2.gz POWCDVHIPRWHQT-UHFFFAOYSA-N -1 1 323.353 1.347 20 0 DDADMM CC1=CCN(S(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)CC1 ZINC000824859505 607906123 /nfs/dbraw/zinc/90/61/23/607906123.db2.gz POWCDVHIPRWHQT-UHFFFAOYSA-N -1 1 323.353 1.347 20 0 DDADMM Cc1cc(O)ccc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826271945 607976643 /nfs/dbraw/zinc/97/66/43/607976643.db2.gz NYYVJSOTVBTOHY-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM Cc1cc(O)ccc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826271945 607976644 /nfs/dbraw/zinc/97/66/44/607976644.db2.gz NYYVJSOTVBTOHY-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825485192 608139527 /nfs/dbraw/zinc/13/95/27/608139527.db2.gz DXHRBFZJDCWYNH-SFYZADRCSA-N -1 1 323.378 1.246 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825485192 608139528 /nfs/dbraw/zinc/13/95/28/608139528.db2.gz DXHRBFZJDCWYNH-SFYZADRCSA-N -1 1 323.378 1.246 20 0 DDADMM c1nc(-c2nn[n-]n2)nn1Cc1ccc(Oc2ccccc2)nn1 ZINC000826522653 608231098 /nfs/dbraw/zinc/23/10/98/608231098.db2.gz JHSSHLKQIDIDDQ-UHFFFAOYSA-N -1 1 321.304 1.089 20 0 DDADMM O=S(=O)(CC1CCC1)Oc1cccc(F)c1-c1nnn[n-]1 ZINC000826484963 608248207 /nfs/dbraw/zinc/24/82/07/608248207.db2.gz WPCPUKGHBWIAIV-UHFFFAOYSA-N -1 1 312.326 1.515 20 0 DDADMM O=S(=O)(CC1CCC1)Oc1cccc(F)c1-c1nn[n-]n1 ZINC000826484963 608248208 /nfs/dbraw/zinc/24/82/08/608248208.db2.gz WPCPUKGHBWIAIV-UHFFFAOYSA-N -1 1 312.326 1.515 20 0 DDADMM Cc1nn(C)c(C)c1CCCNc1cccc(-c2nnn[n-]2)n1 ZINC000826333638 608413689 /nfs/dbraw/zinc/41/36/89/608413689.db2.gz PMBADLIIFPXELV-UHFFFAOYSA-N -1 1 312.381 1.657 20 0 DDADMM Cc1nn(C)c(C)c1CCCNc1cccc(-c2nn[n-]n2)n1 ZINC000826333638 608413690 /nfs/dbraw/zinc/41/36/90/608413690.db2.gz PMBADLIIFPXELV-UHFFFAOYSA-N -1 1 312.381 1.657 20 0 DDADMM c1ccc(N2CCC[C@H](Nc3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826522282 608420675 /nfs/dbraw/zinc/42/06/75/608420675.db2.gz UOAYEHXBSPUFLC-LBPRGKRZSA-N -1 1 322.376 1.738 20 0 DDADMM c1ccc(N2CCC[C@H](Nc3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826522282 608420676 /nfs/dbraw/zinc/42/06/76/608420676.db2.gz UOAYEHXBSPUFLC-LBPRGKRZSA-N -1 1 322.376 1.738 20 0 DDADMM COc1ccccc1[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000826219302 608421666 /nfs/dbraw/zinc/42/16/66/608421666.db2.gz XZGHXTSTCMYJEZ-LLVKDONJSA-N -1 1 323.360 1.659 20 0 DDADMM COc1ccccc1[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000826219302 608421667 /nfs/dbraw/zinc/42/16/67/608421667.db2.gz XZGHXTSTCMYJEZ-LLVKDONJSA-N -1 1 323.360 1.659 20 0 DDADMM c1csc(N2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)c1 ZINC000826526875 608432579 /nfs/dbraw/zinc/43/25/79/608432579.db2.gz QECRCXZNEJVVIC-UHFFFAOYSA-N -1 1 314.378 1.045 20 0 DDADMM c1csc(N2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)c1 ZINC000826526875 608432580 /nfs/dbraw/zinc/43/25/80/608432580.db2.gz QECRCXZNEJVVIC-UHFFFAOYSA-N -1 1 314.378 1.045 20 0 DDADMM C[C@@H]([C@H]1Cc2ccccc2O1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC000824385663 608433728 /nfs/dbraw/zinc/43/37/28/608433728.db2.gz YRIWKJMJURZURU-IINYFYTJSA-N -1 1 323.360 1.485 20 0 DDADMM C[C@@H]([C@H]1Cc2ccccc2O1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC000824385663 608433729 /nfs/dbraw/zinc/43/37/29/608433729.db2.gz YRIWKJMJURZURU-IINYFYTJSA-N -1 1 323.360 1.485 20 0 DDADMM CO[C@@H]1CCC[C@@H]1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000826145341 608473086 /nfs/dbraw/zinc/47/30/86/608473086.db2.gz FDEQPROPGRBPFC-RKDXNWHRSA-N -1 1 307.379 1.473 20 0 DDADMM CO[C@@H]1CCC[C@@H]1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000826145341 608473088 /nfs/dbraw/zinc/47/30/88/608473088.db2.gz FDEQPROPGRBPFC-RKDXNWHRSA-N -1 1 307.379 1.473 20 0 DDADMM CCOC(=O)[C@H]1CCCCN1Cc1ccc(-c2nnn[n-]2)o1 ZINC000825499440 608499903 /nfs/dbraw/zinc/49/99/03/608499903.db2.gz NEMVRRKSLIHDIB-LLVKDONJSA-N -1 1 305.338 1.377 20 0 DDADMM CCOC(=O)[C@H]1CCCCN1Cc1ccc(-c2nn[n-]n2)o1 ZINC000825499440 608499905 /nfs/dbraw/zinc/49/99/05/608499905.db2.gz NEMVRRKSLIHDIB-LLVKDONJSA-N -1 1 305.338 1.377 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1N1CCN(c2ccccn2)CC1 ZINC000826280650 608540939 /nfs/dbraw/zinc/54/09/39/608540939.db2.gz KPCRLQWNCWABAJ-UHFFFAOYSA-N -1 1 322.376 1.292 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCO[C@H]([C@H]3CCOC3)C2)[n-]1 ZINC000797086113 699993006 /nfs/dbraw/zinc/99/30/06/699993006.db2.gz RABJBBMBPKZYRH-WDMOLILDSA-N -1 1 323.345 1.542 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)c1ccccc1 ZINC000973817218 695551485 /nfs/dbraw/zinc/55/14/85/695551485.db2.gz HHLOIEBRUHZNAA-BETUJISGSA-N -1 1 311.341 1.478 20 0 DDADMM O=C([O-])[C@H](CC(F)(F)F)NC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000797724106 700021050 /nfs/dbraw/zinc/02/10/50/700021050.db2.gz JISAHCDCFCTUMX-YUMQZZPRSA-N -1 1 320.271 1.492 20 0 DDADMM O=C(COC(=O)c1ccc2c[nH]nc2c1)[N-]C(=O)c1ccccc1 ZINC000025787348 696092996 /nfs/dbraw/zinc/09/29/96/696092996.db2.gz GUPSTRXWVZJNRQ-UHFFFAOYSA-N -1 1 323.308 1.676 20 0 DDADMM O=C(CNC(=O)c1c([O-])cccc1Cl)NCC(F)(F)F ZINC000049349514 696223199 /nfs/dbraw/zinc/22/31/99/696223199.db2.gz OPNIJZAMOJZZNI-UHFFFAOYSA-N -1 1 310.659 1.454 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)C=C1CCC1)C(=O)c1ncccc1[O-] ZINC000977600256 696229929 /nfs/dbraw/zinc/22/99/29/696229929.db2.gz BGWCQARIIYJQDI-ZDUSSCGKSA-N -1 1 315.373 1.570 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1cc[nH]c1 ZINC000977836311 696283143 /nfs/dbraw/zinc/28/31/43/696283143.db2.gz AFVHTDQIEBNXTE-GFCCVEGCSA-N -1 1 314.345 1.102 20 0 DDADMM Cc1cc(C(F)(F)F)nc(N2CCN(CC(=O)[O-])CC2)n1 ZINC000058020083 696301757 /nfs/dbraw/zinc/30/17/57/696301757.db2.gz UUUBVMWKRSKFSA-UHFFFAOYSA-N -1 1 304.272 1.010 20 0 DDADMM Cn1c(CNC(=O)CNc2ccc(C#N)cc2)n[n-]c1=S ZINC000066626255 696354455 /nfs/dbraw/zinc/35/44/55/696354455.db2.gz QXCLADNADAXCPI-UHFFFAOYSA-N -1 1 302.363 1.078 20 0 DDADMM Cc1nn(CC(=O)NCc2n[n-]c(=S)n2C)c(C)c1Cl ZINC000066626280 696354497 /nfs/dbraw/zinc/35/44/97/696354497.db2.gz CCSWRUNAAUHZLZ-UHFFFAOYSA-N -1 1 314.802 1.261 20 0 DDADMM CC(C)n1c(CNC(=O)CCCn2cccn2)n[n-]c1=S ZINC000066639912 696354647 /nfs/dbraw/zinc/35/46/47/696354647.db2.gz NPPXRIGSYVNBCO-UHFFFAOYSA-N -1 1 308.411 1.815 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)O[C@H](C)C(=O)C1CC1 ZINC000798612137 700080292 /nfs/dbraw/zinc/08/02/92/700080292.db2.gz HMACNDTVZLXELR-SNVBAGLBSA-N -1 1 317.345 1.505 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H]2CCc3cc(F)ccc32)s1 ZINC000079742899 696462980 /nfs/dbraw/zinc/46/29/80/696462980.db2.gz SNPCKHKLTZVHKC-QWRGUYRKSA-N -1 1 307.350 1.276 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000079956108 696465382 /nfs/dbraw/zinc/46/53/82/696465382.db2.gz WOZHVWITOCENIH-PWSUYJOCSA-N -1 1 305.403 1.595 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC2(c3nccs3)CCCC2)s1 ZINC000080339850 696533617 /nfs/dbraw/zinc/53/36/17/696533617.db2.gz KXPUFEMZVRSOGB-QMMMGPOBSA-N -1 1 324.431 1.375 20 0 DDADMM CC[C@H](C)[C@@](C)(O)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000081944314 696548816 /nfs/dbraw/zinc/54/88/16/696548816.db2.gz SWBDBJOPXPGGHF-QFYYESIMSA-N -1 1 309.410 1.729 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc2ccccc2o1)OCC1CC1 ZINC000084937509 696562272 /nfs/dbraw/zinc/56/22/72/696562272.db2.gz NCTQOTGDEAMFIT-UHFFFAOYSA-N -1 1 309.343 1.664 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)C1=CCCCO1 ZINC000091160978 696586580 /nfs/dbraw/zinc/58/65/80/696586580.db2.gz USVVDUNEQUMFOL-UHFFFAOYSA-N -1 1 314.338 1.830 20 0 DDADMM O=C(NC[C@H]1C[C@H](NC(=O)C2CC=CC2)C1)c1ncccc1[O-] ZINC000979663057 696621377 /nfs/dbraw/zinc/62/13/77/696621377.db2.gz BZLUKWMHOPQKCD-AULYBMBSSA-N -1 1 315.373 1.378 20 0 DDADMM C[C@H]1C[C@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979685161 696626541 /nfs/dbraw/zinc/62/65/41/696626541.db2.gz HMFQRUJKPNXJOC-FIQHERPVSA-N -1 1 303.362 1.068 20 0 DDADMM Cc1ccccc1[C@@H](O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000112384006 696650263 /nfs/dbraw/zinc/65/02/63/696650263.db2.gz HBHSWTDJLVYIAM-HNNXBMFYSA-N -1 1 323.352 1.695 20 0 DDADMM C[C@H](c1ccc(F)cc1)N(C)C(=O)Cc1sc(N)nc1[O-] ZINC000120839091 696701870 /nfs/dbraw/zinc/70/18/70/696701870.db2.gz NKSRSYMPEQNWIR-LDYMZIIASA-N -1 1 309.366 1.692 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799020410 700110077 /nfs/dbraw/zinc/11/00/77/700110077.db2.gz MHSBVVKATJWRIX-SNVBAGLBSA-N -1 1 312.307 1.898 20 0 DDADMM CCC(CC)CC(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000130602408 696799487 /nfs/dbraw/zinc/79/94/87/696799487.db2.gz GPFJDBMLNIGTNO-UHFFFAOYSA-N -1 1 306.366 1.638 20 0 DDADMM O=C(NC1=NCCS1)c1ccc(Br)c([O-])c1 ZINC000133015207 696821915 /nfs/dbraw/zinc/82/19/15/696821915.db2.gz QOTIGVGPLSCPAH-UHFFFAOYSA-N -1 1 301.165 1.987 20 0 DDADMM O=C(Nc1ccccc1C(=O)Nc1nnn[n-]1)c1cccs1 ZINC000135469667 696849490 /nfs/dbraw/zinc/84/94/90/696849490.db2.gz OKDKLRDFWOMJRV-UHFFFAOYSA-N -1 1 314.330 1.766 20 0 DDADMM O=C(Nc1ccccc1C(=O)Nc1nn[n-]n1)c1cccs1 ZINC000135469667 696849491 /nfs/dbraw/zinc/84/94/91/696849491.db2.gz OKDKLRDFWOMJRV-UHFFFAOYSA-N -1 1 314.330 1.766 20 0 DDADMM CCC[C@@H](C)N1C[C@H](C(=O)[N-]OCc2cccnc2)CC1=O ZINC000155851394 696954831 /nfs/dbraw/zinc/95/48/31/696954831.db2.gz HSZXDALQLIDLGH-TZMCWYRMSA-N -1 1 305.378 1.667 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1ccc[nH]c1=O ZINC000156350217 696961785 /nfs/dbraw/zinc/96/17/85/696961785.db2.gz ONLZRZQMIHKSGK-UHFFFAOYSA-N -1 1 310.107 1.903 20 0 DDADMM O=C(Nc1ccn(Cc2ccccn2)n1)c1ccc(O)cc1[O-] ZINC000748676219 700131231 /nfs/dbraw/zinc/13/12/31/700131231.db2.gz MGLSKYDXQBQRSI-UHFFFAOYSA-N -1 1 310.313 1.990 20 0 DDADMM CC(C)(C)CC(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982451118 697130946 /nfs/dbraw/zinc/13/09/46/697130946.db2.gz NIXPFDMNYFIGMJ-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000984065149 697335291 /nfs/dbraw/zinc/33/52/91/697335291.db2.gz ORGLMQOPGCYHLZ-VFJIKVCNSA-N -1 1 317.389 1.816 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C[C@@H]1CCC[C@@H]1C ZINC000186191679 697531053 /nfs/dbraw/zinc/53/10/53/697531053.db2.gz CYCLPCBRDYFECV-JQWIXIFHSA-N -1 1 318.377 1.638 20 0 DDADMM CC(C)C(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]2C1 ZINC000985737282 697565220 /nfs/dbraw/zinc/56/52/20/697565220.db2.gz CNNWIJSICBYULG-OLZOCXBDSA-N -1 1 317.389 1.364 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)[N-]OC3CCOCC3)CC2=O)cc1 ZINC000772722185 697667384 /nfs/dbraw/zinc/66/73/84/697667384.db2.gz DROPRQUVBDADAF-ZDUSSCGKSA-N -1 1 318.373 1.575 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1cccs1 ZINC000773147272 697718586 /nfs/dbraw/zinc/71/85/86/697718586.db2.gz ATIHCHQHBYZRQC-RKDXNWHRSA-N -1 1 309.420 1.681 20 0 DDADMM O=C(Cc1ccccc1Cl)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773148503 697719393 /nfs/dbraw/zinc/71/93/93/697719393.db2.gz DZYQCHNEXWKJIM-LLVKDONJSA-N -1 1 323.809 1.712 20 0 DDADMM Cc1ccc(C)c(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000773165420 697722732 /nfs/dbraw/zinc/72/27/32/697722732.db2.gz XLSAUXBBLZAHGU-GFCCVEGCSA-N -1 1 303.391 1.747 20 0 DDADMM [O-]c1c(Br)cccc1CNc1cnn(CCO)c1 ZINC000238199409 697817582 /nfs/dbraw/zinc/81/75/82/697817582.db2.gz WLHGEMHIEJAVOR-UHFFFAOYSA-N -1 1 312.167 1.956 20 0 DDADMM O=C(NCc1nc2ccccc2[nH]1)c1ccc2n[n-]c(=S)n2c1 ZINC000773956905 697827545 /nfs/dbraw/zinc/82/75/45/697827545.db2.gz QJCPPFMRNVYSGN-UHFFFAOYSA-N -1 1 324.369 1.824 20 0 DDADMM C[C@@H](CN(C)C(=O)C1=NO[C@@H](c2ccccc2)C1)c1nn[n-]n1 ZINC000774000844 697832590 /nfs/dbraw/zinc/83/25/90/697832590.db2.gz PMAKDGIDXAWEHM-GXFFZTMASA-N -1 1 314.349 1.279 20 0 DDADMM O=C(NC[C@@H](O)c1ccccc1)c1ccc2n[n-]c(=S)n2c1 ZINC000774050684 697837655 /nfs/dbraw/zinc/83/76/55/697837655.db2.gz XVVQPANDCDKBRT-GFCCVEGCSA-N -1 1 314.370 1.481 20 0 DDADMM CCCc1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)n[nH]1 ZINC000774912348 697941579 /nfs/dbraw/zinc/94/15/79/697941579.db2.gz DQVUAWPPIVLLHP-UHFFFAOYSA-N -1 1 307.272 1.588 20 0 DDADMM O=C(c1cc2c(s1)CCC2)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776565026 698117622 /nfs/dbraw/zinc/11/76/22/698117622.db2.gz QTCOIRPQKGBPMY-SECBINFHSA-N -1 1 321.431 1.680 20 0 DDADMM CC1CC(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C)C1 ZINC000987671240 698192675 /nfs/dbraw/zinc/19/26/75/698192675.db2.gz IJRRNLYIWWABQP-KPFVRQRISA-N -1 1 317.389 1.553 20 0 DDADMM COC(=O)[C@@](C)(NCc1cc(=O)oc2cc([O-])ccc12)C1CC1 ZINC000777717084 698217482 /nfs/dbraw/zinc/21/74/82/698217482.db2.gz PJIXMBWOTSEKOV-KRWDZBQOSA-N -1 1 317.341 1.930 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2c(Cl)ccnc2Cl)n[nH]1 ZINC000778003987 698236469 /nfs/dbraw/zinc/23/64/69/698236469.db2.gz KPTWBWSSORWMNR-UHFFFAOYSA-N -1 1 321.189 1.898 20 0 DDADMM O=C(COC(=O)COc1ccsc1)[N-]C(=O)c1ccccc1 ZINC000800309049 700215125 /nfs/dbraw/zinc/21/51/25/700215125.db2.gz CFKAMKKDFNYSPI-UHFFFAOYSA-N -1 1 319.338 1.627 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000988211862 698309857 /nfs/dbraw/zinc/30/98/57/698309857.db2.gz QIMQPPZTSJQTRQ-NEPJUHHUSA-N -1 1 323.368 1.456 20 0 DDADMM CCc1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cc(=O)[nH]1 ZINC000778502213 698342441 /nfs/dbraw/zinc/34/24/41/698342441.db2.gz IGOJXPFPEDGBMH-AWEZNQCLSA-N -1 1 324.344 1.382 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)CC1CC1)N1CCCCC1 ZINC000778640988 698355115 /nfs/dbraw/zinc/35/51/15/698355115.db2.gz NRQUKYNOJAPOPF-CYBMUJFWSA-N -1 1 302.440 1.353 20 0 DDADMM CCOC(=O)COC(=O)c1ccc(Br)c([O-])c1 ZINC000778830299 698373301 /nfs/dbraw/zinc/37/33/01/698373301.db2.gz XDFVPXRNYROOGL-UHFFFAOYSA-N -1 1 303.108 1.875 20 0 DDADMM Cc1cnc(C(=O)NC/C=C\CNC(=O)OC(C)(C)C)c([O-])c1 ZINC000779085997 698394694 /nfs/dbraw/zinc/39/46/94/698394694.db2.gz SQHUQYQCYBKBRK-WAYWQWQTSA-N -1 1 321.377 1.906 20 0 DDADMM Cc1cc(CN[C@@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)no1 ZINC000988687957 698437009 /nfs/dbraw/zinc/43/70/09/698437009.db2.gz ZUVQPQAJOFLARW-ZWNOBZJWSA-N -1 1 316.361 1.476 20 0 DDADMM CC[C@H](CO)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000779813300 698470771 /nfs/dbraw/zinc/47/07/71/698470771.db2.gz RZZIILJMGKQAKV-GFCCVEGCSA-N -1 1 305.374 1.832 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)Cc1cc[nH]n1 ZINC000293852736 698580138 /nfs/dbraw/zinc/58/01/38/698580138.db2.gz JVCRXEZFHOHCRX-PSASIEDQSA-N -1 1 318.299 1.010 20 0 DDADMM CCCc1cc(=O)[n-]c(SCc2cn(CC(=O)OC)nn2)n1 ZINC000294962323 698583201 /nfs/dbraw/zinc/58/32/01/698583201.db2.gz NFTSWPXJOUCZTC-UHFFFAOYSA-N -1 1 323.378 1.192 20 0 DDADMM CCOc1cccc(N2CCN(CC(=O)[O-])CC2)c1[N+](=O)[O-] ZINC000317502858 698698732 /nfs/dbraw/zinc/69/87/32/698698732.db2.gz DUJCHFIQFRPRFL-UHFFFAOYSA-N -1 1 309.322 1.200 20 0 DDADMM O=C([O-])c1nnc2ccccc2c1NCCN1CCSCC1 ZINC000318681936 698706851 /nfs/dbraw/zinc/70/68/51/698706851.db2.gz LPWQICWBSKNGAJ-UHFFFAOYSA-N -1 1 318.402 1.789 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@H](N)Cc1c[nH]c2ccccc12)C(=O)[O-] ZINC000322557482 698735337 /nfs/dbraw/zinc/73/53/37/698735337.db2.gz DRNUORNJWNTSAN-ZIAGYGMSSA-N -1 1 317.389 1.511 20 0 DDADMM O=C([N-]C1CN(C(=O)c2c(F)cc(F)cc2F)C1)C(F)F ZINC000990025775 698903633 /nfs/dbraw/zinc/90/36/33/698903633.db2.gz GVRFLXGSJREMRB-UHFFFAOYSA-N -1 1 308.206 1.310 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1cccc(F)c1 ZINC000990116034 698959460 /nfs/dbraw/zinc/95/94/60/698959460.db2.gz DIQGETMBBIDXOJ-UHFFFAOYSA-N -1 1 315.304 1.181 20 0 DDADMM O=C(COC(=O)c1coc(C2CC2)n1)[N-]C(=O)c1ccccc1 ZINC000786159491 699130593 /nfs/dbraw/zinc/13/05/93/699130593.db2.gz AEPQZJNCVXYIMU-UHFFFAOYSA-N -1 1 314.297 1.665 20 0 DDADMM Cc1cscc1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000990721544 699141368 /nfs/dbraw/zinc/14/13/68/699141368.db2.gz IUHAPEIKDAOBQA-UHFFFAOYSA-N -1 1 317.370 1.412 20 0 DDADMM O=C(NC1CN(C(=O)C2CC3(CCC3)C2)C1)c1ncccc1[O-] ZINC000990974616 699197177 /nfs/dbraw/zinc/19/71/77/699197177.db2.gz INAMPCGOOWTLQU-UHFFFAOYSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1cccnc1Cl)C(F)(F)F ZINC000705059582 699239480 /nfs/dbraw/zinc/23/94/80/699239480.db2.gz UOMHKNWBQXARLG-QMMMGPOBSA-N -1 1 318.704 1.327 20 0 DDADMM O=C([N-]c1n[nH]c(-c2ccccn2)n1)c1ccnc(F)c1F ZINC000788643463 699330202 /nfs/dbraw/zinc/33/02/02/699330202.db2.gz MKMOQOIWSXQPJZ-UHFFFAOYSA-N -1 1 302.244 1.792 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cccc(-c2ncn(C)n2)c1 ZINC000788933190 699348743 /nfs/dbraw/zinc/34/87/43/699348743.db2.gz RDNBHBLGCHHWEJ-UHFFFAOYSA-N -1 1 319.346 1.281 20 0 DDADMM CCC(=O)COC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000727188164 699404001 /nfs/dbraw/zinc/40/40/01/699404001.db2.gz GSFYYKKDVKJKIW-UHFFFAOYSA-N -1 1 305.334 1.507 20 0 DDADMM COc1nn(C)cc1NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000789865747 699415658 /nfs/dbraw/zinc/41/56/58/699415658.db2.gz CEPUPNGVQNUGIZ-UHFFFAOYSA-N -1 1 305.338 1.608 20 0 DDADMM CNC(=O)C1(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)CC1 ZINC000730043783 699497427 /nfs/dbraw/zinc/49/74/27/699497427.db2.gz NXIWKZYBGRAHLF-UHFFFAOYSA-N -1 1 317.297 1.068 20 0 DDADMM CN1C(=S)N=NC1CCNC(=O)c1c([O-])cccc1Cl ZINC000731446473 699528856 /nfs/dbraw/zinc/52/88/56/699528856.db2.gz CRQVGIKSLNYXSY-UHFFFAOYSA-N -1 1 312.782 1.435 20 0 DDADMM CC(=CC(=O)NCc1nn[n-]n1)C12CC3CC(CC(C3)C1)C2 ZINC000731887534 699539855 /nfs/dbraw/zinc/53/98/55/699539855.db2.gz NMULAXNOFNUOHR-WTDSWWLTSA-N -1 1 301.394 1.979 20 0 DDADMM C/C(=C\C(=O)NCc1nn[n-]n1)C12CC3CC(CC(C3)C1)C2 ZINC000731887534 699539856 /nfs/dbraw/zinc/53/98/56/699539856.db2.gz NMULAXNOFNUOHR-WTDSWWLTSA-N -1 1 301.394 1.979 20 0 DDADMM CC(C)=C[C@@H]1[C@@H](C(=O)N2CCO[C@H](c3nn[n-]n3)C2)C1(C)C ZINC000732090356 699546074 /nfs/dbraw/zinc/54/60/74/699546074.db2.gz HYVJYNSXQRMLHY-WOPDTQHZSA-N -1 1 305.382 1.338 20 0 DDADMM COC(=O)Nc1cccc(OS(=O)(=O)c2c[n-]nc2C)c1 ZINC000732215884 699550631 /nfs/dbraw/zinc/55/06/31/699550631.db2.gz IWOHJNTUYYXIEN-UHFFFAOYSA-N -1 1 311.319 1.664 20 0 DDADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CCCC[C@@H]1C ZINC000733145771 699580711 /nfs/dbraw/zinc/58/07/11/699580711.db2.gz JUKMVIVSXWXTPH-QWHCGFSZSA-N -1 1 307.394 1.354 20 0 DDADMM C[C@H]1Cc2ccccc2N(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000733148404 699580724 /nfs/dbraw/zinc/58/07/24/699580724.db2.gz KCKGAKRZLKKZSB-LBPRGKRZSA-N -1 1 313.357 1.152 20 0 DDADMM COc1cc(OC)cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000791166055 699613677 /nfs/dbraw/zinc/61/36/77/699613677.db2.gz UMNDLRCYCBAHHN-UHFFFAOYSA-N -1 1 312.329 1.611 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3noc4ccccc34)ccnc1-2 ZINC000791286580 699619900 /nfs/dbraw/zinc/61/99/00/699619900.db2.gz PIUORFLEWIBYFF-UHFFFAOYSA-N -1 1 307.313 1.664 20 0 DDADMM C[C@H]1CCCC[C@@H]1CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000733989563 699630871 /nfs/dbraw/zinc/63/08/71/699630871.db2.gz CGJPIERSGVMSLD-QWHCGFSZSA-N -1 1 307.394 1.259 20 0 DDADMM CCC[C@H](NC(=O)C1=NO[C@@H](c2ccccc2)C1)c1nn[n-]n1 ZINC000736426597 699725229 /nfs/dbraw/zinc/72/52/29/699725229.db2.gz QYANLMWFKFZOKY-WCQYABFASA-N -1 1 314.349 1.675 20 0 DDADMM CCCc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000736497088 699726631 /nfs/dbraw/zinc/72/66/31/699726631.db2.gz NRUFCDOZBSRVGQ-CYBMUJFWSA-N -1 1 301.350 1.366 20 0 DDADMM O=S(=O)(Oc1cccc(Oc2ncccn2)c1)c1c[n-]cn1 ZINC000736631338 699728552 /nfs/dbraw/zinc/72/85/52/699728552.db2.gz MEUIYWUINFGCLC-UHFFFAOYSA-N -1 1 318.314 1.760 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)c2c([O-])cccc2Cl)CCS1(=O)=O ZINC000736709638 699730538 /nfs/dbraw/zinc/73/05/38/699730538.db2.gz BQJNMMVLTVGBPS-DTWKUNHWSA-N -1 1 317.794 1.693 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-]C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000793202857 699740196 /nfs/dbraw/zinc/74/01/96/699740196.db2.gz BYRVLCKHNSVRDM-SECBINFHSA-N -1 1 308.363 1.330 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-]C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000793204146 699740449 /nfs/dbraw/zinc/74/04/49/699740449.db2.gz PRXJIYDQXDKMPB-VIFPVBQESA-N -1 1 308.363 1.330 20 0 DDADMM O=C(C=Cc1ccc(Cl)cc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737795090 699745339 /nfs/dbraw/zinc/74/53/39/699745339.db2.gz IKJFHKYZRRFWCH-GCLPIYDQSA-N -1 1 319.752 1.466 20 0 DDADMM O=C(CCc1cccc(F)c1F)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737857508 699746657 /nfs/dbraw/zinc/74/66/57/699746657.db2.gz SFTPVPYFGVYTGJ-NSHDSACASA-N -1 1 323.303 1.011 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)OC(C)(C)C)C1 ZINC000793483594 699759674 /nfs/dbraw/zinc/75/96/74/699759674.db2.gz NQYGVFMUVCITHR-UHFFFAOYSA-N -1 1 307.350 1.479 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1C=O ZINC000793718816 699774153 /nfs/dbraw/zinc/77/41/53/699774153.db2.gz QPQOMVSKNPPDAY-GFCCVEGCSA-N -1 1 313.361 1.649 20 0 DDADMM CN(C)c1noc(C[N-]C(=O)C(F)(F)c2ccc(F)cc2)n1 ZINC000793851842 699782853 /nfs/dbraw/zinc/78/28/53/699782853.db2.gz HKIOTKGRHFTNCS-UHFFFAOYSA-N -1 1 314.267 1.683 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccccc1NC1CCCCC1 ZINC000794882144 699833755 /nfs/dbraw/zinc/83/37/55/699833755.db2.gz LFXWVHZRBDZSSE-UHFFFAOYSA-N -1 1 316.361 1.628 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C(=O)OC)c2ccsc2)[n-]1 ZINC000796344400 699928111 /nfs/dbraw/zinc/92/81/11/699928111.db2.gz JZLZRXSZNSQCTG-NSHDSACASA-N -1 1 323.326 1.934 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](Cc2ccccc2)C(N)=O)[n-]1 ZINC000796346242 699928437 /nfs/dbraw/zinc/92/84/37/699928437.db2.gz SVHCLMOLVIOSPY-ZDUSSCGKSA-N -1 1 316.313 1.055 20 0 DDADMM CCCN(CCC)C(=O)COC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796366493 699929618 /nfs/dbraw/zinc/92/96/18/699929618.db2.gz SFHMIGIAFJIYAY-UHFFFAOYSA-N -1 1 310.350 1.607 20 0 DDADMM CC(C)O[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000796419004 699932853 /nfs/dbraw/zinc/93/28/53/699932853.db2.gz VDJFGHPTXXDMOY-ZDUSSCGKSA-N -1 1 305.334 1.318 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)O[C@H](C)C(=O)OC ZINC000744574790 699954327 /nfs/dbraw/zinc/95/43/27/699954327.db2.gz DPLVFGKEQRXYQT-SECBINFHSA-N -1 1 315.347 1.167 20 0 DDADMM COC(=O)[C@H](C)OC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801325333 700297220 /nfs/dbraw/zinc/29/72/20/700297220.db2.gz COHCOFHLZDEHDV-QMMMGPOBSA-N -1 1 308.265 1.435 20 0 DDADMM CC(C)c1ccc(-n2cc([O-])c(C(=O)OCC(N)=O)n2)cc1 ZINC000801413118 700305291 /nfs/dbraw/zinc/30/52/91/700305291.db2.gz YUJYDWPPNFHCBQ-UHFFFAOYSA-N -1 1 303.318 1.343 20 0 DDADMM COCC(=O)COC(=O)c1nn(-c2ccc(F)cc2C)cc1[O-] ZINC000801427658 700307865 /nfs/dbraw/zinc/30/78/65/700307865.db2.gz JHJJXHAQCHVZON-UHFFFAOYSA-N -1 1 322.292 1.398 20 0 DDADMM Cn1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c(C(F)F)n1 ZINC000801700067 700331957 /nfs/dbraw/zinc/33/19/57/700331957.db2.gz FROYNFYHKIIKSF-UHFFFAOYSA-N -1 1 306.276 1.265 20 0 DDADMM CC[C@@H]1CC[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)O1 ZINC000757132710 700658766 /nfs/dbraw/zinc/65/87/66/700658766.db2.gz LEQCLBFDZZLAFB-CHWSQXEVSA-N -1 1 305.330 1.444 20 0 DDADMM O=S(=O)([N-]Cc1ccon1)c1ccc(Br)o1 ZINC000759051129 700735560 /nfs/dbraw/zinc/73/55/60/700735560.db2.gz TXXXWPVGNHSZON-UHFFFAOYSA-N -1 1 307.125 1.509 20 0 DDADMM CCC(=O)CCCOC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000759590299 700761220 /nfs/dbraw/zinc/76/12/20/700761220.db2.gz IGIFQVVYEDNCBC-UHFFFAOYSA-N -1 1 303.336 1.104 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cncc2ccccc21 ZINC000759866500 700778505 /nfs/dbraw/zinc/77/85/05/700778505.db2.gz GMTFBTOIAGZMQJ-UHFFFAOYSA-N -1 1 310.313 1.114 20 0 DDADMM O=C1OCc2ccc(S(=O)(=O)[N-]c3cccc(O)c3)cc21 ZINC000762461729 700892866 /nfs/dbraw/zinc/89/28/66/700892866.db2.gz AOMDDJMJMCUEHP-UHFFFAOYSA-N -1 1 305.311 1.863 20 0 DDADMM Cn1[n-]c(COC(=O)C(C)(C)c2ccc(Cl)cc2)nc1=O ZINC000765457274 701013562 /nfs/dbraw/zinc/01/35/62/701013562.db2.gz CGSPMYMLCAJQPR-UHFFFAOYSA-N -1 1 309.753 1.783 20 0 DDADMM Cn1[n-]c(COC(=O)CCCc2cc(F)ccc2F)nc1=O ZINC000765498241 701016512 /nfs/dbraw/zinc/01/65/12/701016512.db2.gz SSTGPEUAQZJUGN-UHFFFAOYSA-N -1 1 311.288 1.453 20 0 DDADMM CCOC1CC(COC(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000802947076 701069390 /nfs/dbraw/zinc/06/93/90/701069390.db2.gz NETJUUNYIMYSRK-UHFFFAOYSA-N -1 1 317.363 1.160 20 0 DDADMM CN(CC(=O)NCc1ccco1)C(=O)c1ccc([O-])c(F)c1 ZINC000767297354 701095968 /nfs/dbraw/zinc/09/59/68/701095968.db2.gz WISZWNOGUJKCQO-UHFFFAOYSA-N -1 1 306.293 1.513 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C(C)(C)c1ccc(F)cc1 ZINC000809815338 701691987 /nfs/dbraw/zinc/69/19/87/701691987.db2.gz WCPKMHIJYOZYIB-UHFFFAOYSA-N -1 1 313.354 1.918 20 0 DDADMM Cc1ccc(F)c(CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000768000512 701151617 /nfs/dbraw/zinc/15/16/17/701151617.db2.gz MOEIHVVEZGVZIK-UHFFFAOYSA-N -1 1 319.336 1.033 20 0 DDADMM CS(=O)(=O)CCN(C(=O)c1ccc([O-])c(F)c1)C1CC1 ZINC000768323023 701169474 /nfs/dbraw/zinc/16/94/74/701169474.db2.gz VOZWBKTVQFFQGM-UHFFFAOYSA-N -1 1 301.339 1.181 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2cnc(Cl)c(F)c2)on1 ZINC000805014529 701225636 /nfs/dbraw/zinc/22/56/36/701225636.db2.gz CPRVYHDWPCXDRK-UHFFFAOYSA-N -1 1 319.745 1.903 20 0 DDADMM CCC1(CC)[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C[C@@H]1OC ZINC000830884848 706596945 /nfs/dbraw/zinc/59/69/45/706596945.db2.gz SABAVVWITAAHIQ-UWVGGRQHSA-N -1 1 321.830 1.935 20 0 DDADMM O=C(O[C@@H]1CCCN(Cc2ccccc2)C1=O)c1cn[n-]n1 ZINC000805604239 701397994 /nfs/dbraw/zinc/39/79/94/701397994.db2.gz ALRGNJWBOBWWAY-CYBMUJFWSA-N -1 1 300.318 1.153 20 0 DDADMM C[C@H](c1ccc(F)c(F)c1)N(C)C(=O)COC(=O)c1cn[n-]n1 ZINC000805604393 701398094 /nfs/dbraw/zinc/39/80/94/701398094.db2.gz HOLRADFUPDRCCV-MRVPVSSYSA-N -1 1 324.287 1.459 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC34CCOCC4)ccnc1-2 ZINC000806481329 701437000 /nfs/dbraw/zinc/43/70/00/701437000.db2.gz CWSISLATEFZESC-UHFFFAOYSA-N -1 1 301.350 1.129 20 0 DDADMM CC[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCCO1 ZINC000806487244 701437609 /nfs/dbraw/zinc/43/76/09/701437609.db2.gz VXAVCVQXORRKJJ-LLVKDONJSA-N -1 1 303.366 1.375 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@H](c4ccccn4)C3)ccnc1-2 ZINC000806493075 701438029 /nfs/dbraw/zinc/43/80/29/701438029.db2.gz SEWSHUZVEQHZSS-LBPRGKRZSA-N -1 1 322.372 1.758 20 0 DDADMM C[C@H](CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)C(C)(C)C ZINC000806900479 701453209 /nfs/dbraw/zinc/45/32/09/701453209.db2.gz WTIMQCQXPWDLFO-SNVBAGLBSA-N -1 1 317.393 1.079 20 0 DDADMM Cc1ccc(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(Cl)n1 ZINC000807134815 701465293 /nfs/dbraw/zinc/46/52/93/701465293.db2.gz HELBILXCUIHHPS-NSHDSACASA-N -1 1 320.784 1.505 20 0 DDADMM CCc1nnc(CN2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)o1 ZINC000810066562 701719678 /nfs/dbraw/zinc/71/96/78/701719678.db2.gz NASDJOZKQDQKDC-SECBINFHSA-N -1 1 320.315 1.523 20 0 DDADMM CCc1nnc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000810225150 701744825 /nfs/dbraw/zinc/74/48/25/701744825.db2.gz VTEZTQRZMNMECN-UHFFFAOYSA-N -1 1 317.378 1.077 20 0 DDADMM O=C(C[C@H]1C=CCCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952615 706609395 /nfs/dbraw/zinc/60/93/95/706609395.db2.gz LTSAFVGOQGTQCB-NSHDSACASA-N -1 1 303.362 1.618 20 0 DDADMM COC(=O)[C@]1(C)CCCN(CC(=O)[N-]OCc2ccccc2)C1 ZINC000839664624 701771200 /nfs/dbraw/zinc/77/12/00/701771200.db2.gz COUILCDOBLFYPG-QGZVFWFLSA-N -1 1 320.389 1.510 20 0 DDADMM Cc1nc(CS(=O)(=O)[N-][C@]2(C)CC(C)(C)OC2=O)cs1 ZINC000882223690 707545888 /nfs/dbraw/zinc/54/58/88/707545888.db2.gz PFAVWWWIGBLECA-GFCCVEGCSA-N -1 1 318.420 1.355 20 0 DDADMM CC[C@H](C[C@H](C)O)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000867931385 701838129 /nfs/dbraw/zinc/83/81/29/701838129.db2.gz IWVXVODBSZSMKS-JGVFFNPUSA-N -1 1 311.325 1.932 20 0 DDADMM O=C(C1CC2(CSC2)C1)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000868064294 701921677 /nfs/dbraw/zinc/92/16/77/701921677.db2.gz UCSKTKHAEQXHHW-UHFFFAOYSA-N -1 1 309.391 1.624 20 0 DDADMM O=C(C1CC2(CSC2)C1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000868064294 701921683 /nfs/dbraw/zinc/92/16/83/701921683.db2.gz UCSKTKHAEQXHHW-UHFFFAOYSA-N -1 1 309.391 1.624 20 0 DDADMM CC(C)C[C@@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868432206 702124872 /nfs/dbraw/zinc/12/48/72/702124872.db2.gz BAYPQFRMEMUSFJ-SNVBAGLBSA-N -1 1 308.382 1.489 20 0 DDADMM O=C(CCc1ccoc1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831140456 706642779 /nfs/dbraw/zinc/64/27/79/706642779.db2.gz XJRODKWQPDIYEK-UHFFFAOYSA-N -1 1 304.268 1.349 20 0 DDADMM Cc1ccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c(C)n1 ZINC000831149185 706644340 /nfs/dbraw/zinc/64/43/40/706644340.db2.gz KCINGOMFBYDHFP-UHFFFAOYSA-N -1 1 315.295 1.449 20 0 DDADMM Cc1cc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c(C)o1 ZINC000831158837 706646575 /nfs/dbraw/zinc/64/65/75/706646575.db2.gz RGGBGRXTTBNYCI-UHFFFAOYSA-N -1 1 304.268 1.647 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H](CC(C)C)C(N)=O)c1 ZINC000840680833 702202565 /nfs/dbraw/zinc/20/25/65/702202565.db2.gz JRICAQWHWNHQQI-AWEZNQCLSA-N -1 1 321.373 1.828 20 0 DDADMM C[C@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)c1ccnn1C ZINC000817265681 702319755 /nfs/dbraw/zinc/31/97/55/702319755.db2.gz KFUBXHRQOKMWFV-NSHDSACASA-N -1 1 315.329 1.023 20 0 DDADMM CC1CC(CNC(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000868796169 702323317 /nfs/dbraw/zinc/32/33/17/702323317.db2.gz WZIUZRAHNGJADL-ZPPKWKGLSA-N -1 1 321.343 1.885 20 0 DDADMM CSc1ccnc(NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC000866288221 706665675 /nfs/dbraw/zinc/66/56/75/706665675.db2.gz FFUITHSSZMCKDB-UHFFFAOYSA-N -1 1 305.363 1.379 20 0 DDADMM COC(=O)[C@@]1(F)CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000866288348 706666117 /nfs/dbraw/zinc/66/61/17/706666117.db2.gz YIHVZMHILRYNHC-CQSZACIVSA-N -1 1 315.728 1.702 20 0 DDADMM Cc1cnc(C(=O)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)o1 ZINC000813818027 702419472 /nfs/dbraw/zinc/41/94/72/702419472.db2.gz JHPHEYKCIZPHRK-UHFFFAOYSA-N -1 1 319.283 1.514 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H](C)c1ccn(C)n1 ZINC000841551658 702495068 /nfs/dbraw/zinc/49/50/68/702495068.db2.gz MTLDLWCJOHDROP-ZETCQYMHSA-N -1 1 317.802 1.155 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@H]4CSC[C@@H]4C3)ccnc1-2 ZINC000879416523 706674579 /nfs/dbraw/zinc/67/45/79/706674579.db2.gz SYHWCONOUOELEP-QWRGUYRKSA-N -1 1 317.418 1.559 20 0 DDADMM COc1ccccc1[C@H](C)N(OC)C(=O)CCc1nn[n-]n1 ZINC000869222127 702517342 /nfs/dbraw/zinc/51/73/42/702517342.db2.gz ZOZSPZZQRGEXKC-JTQLQIEISA-N -1 1 305.338 1.292 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@@H]1CCO[C@H]1C ZINC000869386566 702589362 /nfs/dbraw/zinc/58/93/62/702589362.db2.gz VUFYXRWLKSBNRM-RYUDHWBXSA-N -1 1 319.361 1.562 20 0 DDADMM COC(=O)C1=CC[C@@H](NC(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000869403865 702595736 /nfs/dbraw/zinc/59/57/36/702595736.db2.gz NUTQBHOWTGEWBO-LLVKDONJSA-N -1 1 309.749 1.966 20 0 DDADMM C[C@H]1CCC[C@H](C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843018318 702804319 /nfs/dbraw/zinc/80/43/19/702804319.db2.gz MTLGVGNKXHWHMU-STQMWFEESA-N -1 1 316.467 1.743 20 0 DDADMM C/C(=C\C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C(C)(C)C ZINC000843018888 702804398 /nfs/dbraw/zinc/80/43/98/702804398.db2.gz WPRWNFCNXWASGN-ZRDIBKRKSA-N -1 1 316.467 1.909 20 0 DDADMM CO[C@@H]1COC[C@H]1[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000843687402 702913631 /nfs/dbraw/zinc/91/36/31/702913631.db2.gz YZUPBMKHUWCHAW-NXEZZACHSA-N -1 1 307.243 1.587 20 0 DDADMM COc1ccc(-c2nc(CNC(=O)CCCC(=O)[O-])n[nH]2)cc1 ZINC000844243959 702998657 /nfs/dbraw/zinc/99/86/57/702998657.db2.gz MWFLKLKGHGZYKV-UHFFFAOYSA-N -1 1 318.333 1.351 20 0 DDADMM COc1ccc(-c2n[nH]c(CNC(=O)CCCC(=O)[O-])n2)cc1 ZINC000844243959 702998659 /nfs/dbraw/zinc/99/86/59/702998659.db2.gz MWFLKLKGHGZYKV-UHFFFAOYSA-N -1 1 318.333 1.351 20 0 DDADMM O=C([O-])COCC(=O)Nc1ccc2nc([C@@H]3CCCO3)[nH]c2c1 ZINC000844251621 703000696 /nfs/dbraw/zinc/00/06/96/703000696.db2.gz VYGUXHQDVJDLQV-LBPRGKRZSA-N -1 1 319.317 1.454 20 0 DDADMM CC(C)n1cnc([N-]C(=O)c2coc(C3CCOCC3)n2)n1 ZINC000844736646 703066125 /nfs/dbraw/zinc/06/61/25/703066125.db2.gz WHQXGFAOOVJMHK-UHFFFAOYSA-N -1 1 305.338 1.993 20 0 DDADMM C[C@H]1Oc2ccccc2[C@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000831550452 706729804 /nfs/dbraw/zinc/72/98/04/706729804.db2.gz OFDKHMNFESBUIE-HQJQHLMTSA-N -1 1 313.766 1.864 20 0 DDADMM CCn1nc(C)c(CN2C[C@@H](C(=O)[O-])[C@@H](c3ccccc3)C2)n1 ZINC000846285025 703261237 /nfs/dbraw/zinc/26/12/37/703261237.db2.gz KFGKAZIXXMOEEN-HUUCEWRRSA-N -1 1 314.389 1.907 20 0 DDADMM CCO[N-]C(=O)CNC(=O)[C@H](c1ccccc1)N(CC)CC ZINC000846495314 703283282 /nfs/dbraw/zinc/28/32/82/703283282.db2.gz GVKICISSUOITDK-HNNXBMFYSA-N -1 1 307.394 1.253 20 0 DDADMM CC(=CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1cnccn1 ZINC000847093240 703376634 /nfs/dbraw/zinc/37/66/34/703376634.db2.gz WCWVLUVHXYDLSC-ZJRUKIMVSA-N -1 1 315.333 1.375 20 0 DDADMM CC(C)(CO)[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000848246872 703531854 /nfs/dbraw/zinc/53/18/54/703531854.db2.gz BZWPVALJOWBMOP-GFCCVEGCSA-N -1 1 320.418 1.545 20 0 DDADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)Cc1cc(F)ccc1Cl ZINC000849368857 703640362 /nfs/dbraw/zinc/64/03/62/703640362.db2.gz UEBGPCWFNIKTTB-JTQLQIEISA-N -1 1 307.730 1.214 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)C[C@@H](OC)C1CCCC1)C(=O)OC ZINC000849384599 703641954 /nfs/dbraw/zinc/64/19/54/703641954.db2.gz PJNFXFSXECDKGO-QWHCGFSZSA-N -1 1 321.439 1.453 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1c(F)cccc1F)C(OC)OC ZINC000849405291 703644045 /nfs/dbraw/zinc/64/40/45/703644045.db2.gz HSWBZWSUUBOBRA-GFCCVEGCSA-N -1 1 323.361 1.782 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC[C@H]2CC2(F)F)o1 ZINC000851382583 703797361 /nfs/dbraw/zinc/79/73/61/703797361.db2.gz WLDRQALUGJPZPQ-ZETCQYMHSA-N -1 1 309.290 1.390 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)OCCC1CS(=O)(=O)C1 ZINC000869736347 703839450 /nfs/dbraw/zinc/83/94/50/703839450.db2.gz HBRKKLWIAVIUOW-UHFFFAOYSA-N -1 1 318.778 1.566 20 0 DDADMM O=C([N-]Cc1ccnc(-n2cncn2)c1)C(F)(F)C(F)F ZINC000870317948 703995732 /nfs/dbraw/zinc/99/57/32/703995732.db2.gz ZVTSLLZDCLDEMY-UHFFFAOYSA-N -1 1 303.219 1.179 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879761970 706783321 /nfs/dbraw/zinc/78/33/21/706783321.db2.gz ATGADOWEQAJEKI-CWSCBRNRSA-N -1 1 323.359 1.433 20 0 DDADMM CCN(CC)C(=O)[C@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763556 706784755 /nfs/dbraw/zinc/78/47/55/706784755.db2.gz OUQWKXIDXMKRDD-GWCFXTLKSA-N -1 1 323.359 1.386 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(CCO[C@@H]2CC2(F)F)C1 ZINC000879768254 706787772 /nfs/dbraw/zinc/78/77/72/706787772.db2.gz ZOVQHLCVIBYKEQ-PSASIEDQSA-N -1 1 316.270 1.554 20 0 DDADMM CC[C@H](C)[C@H](NCC1=NS(=O)(=O)c2ccccc21)C(=O)[O-] ZINC000852729909 704108053 /nfs/dbraw/zinc/10/80/53/704108053.db2.gz PQBRVONQHMNXEA-ZANVPECISA-N -1 1 310.375 1.267 20 0 DDADMM CC(C)c1nc(CNS(=O)(=O)c2cccnc2Cl)n[nH]1 ZINC000831839968 706790199 /nfs/dbraw/zinc/79/01/99/706790199.db2.gz WOKPJOXSZSUQIC-UHFFFAOYSA-N -1 1 315.786 1.455 20 0 DDADMM CC1(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CCCCCC1 ZINC000819620052 704151440 /nfs/dbraw/zinc/15/14/40/704151440.db2.gz HIADDNFIYFOWDO-UHFFFAOYSA-N -1 1 307.398 1.370 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1c2ccccc2C[C@H]1C ZINC000866773336 706795817 /nfs/dbraw/zinc/79/58/17/706795817.db2.gz DXOGUEZIJSICSB-FTNGCUSPSA-N -1 1 316.448 1.872 20 0 DDADMM CC(C)n1cc([C@@H](C)[N-]S(=O)(=O)N=S(C)(C)=O)cn1 ZINC000866779531 706798019 /nfs/dbraw/zinc/79/80/19/706798019.db2.gz PEADHLJNBRYFAI-SECBINFHSA-N -1 1 308.429 1.087 20 0 DDADMM CS[C@H]1C[C@H](NC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000871092118 704216168 /nfs/dbraw/zinc/21/61/68/704216168.db2.gz IVMUKRUMEOCWMZ-XYPYZODXSA-N -1 1 320.418 1.604 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@H](C)OC)c1 ZINC000820148333 704230185 /nfs/dbraw/zinc/23/01/85/704230185.db2.gz AVSUNJJYJVJWLM-PRWKNARSSA-N -1 1 300.376 1.771 20 0 DDADMM NC(=O)[C@H](C1CC1)N(CC1CC1)C(=O)c1ccc([O-])cc1F ZINC000871395138 704285530 /nfs/dbraw/zinc/28/55/30/704285530.db2.gz ZJFLAFQTWBOTEC-AWEZNQCLSA-N -1 1 306.337 1.647 20 0 DDADMM Cn1[n-]c(C(=O)Nc2ccccc2N2CCN(C)CC2)cc1=O ZINC000820483808 704288215 /nfs/dbraw/zinc/28/82/15/704288215.db2.gz OZFZZUPHJARRHX-UHFFFAOYSA-N -1 1 315.377 1.130 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCN(C(C)(C)C)C2=O)[n-]1 ZINC000820685631 704319549 /nfs/dbraw/zinc/31/95/49/704319549.db2.gz GXQLAFMQNSFDFH-LLVKDONJSA-N -1 1 308.334 1.358 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2CC=CCC2)c1C(F)(F)F ZINC000866814634 706811995 /nfs/dbraw/zinc/81/19/95/706811995.db2.gz SKTAQYPOHSRNAF-MRVPVSSYSA-N -1 1 309.313 1.826 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)C(=O)C2CC2)c1 ZINC000821351959 704395310 /nfs/dbraw/zinc/39/53/10/704395310.db2.gz FNAIXXYPCXIIGT-CWKPULSASA-N -1 1 310.371 1.714 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2nccc(C)n2)c1 ZINC000821352712 704395456 /nfs/dbraw/zinc/39/54/56/704395456.db2.gz MTGNTLMXFMHCPZ-QFIPXVFZSA-N -1 1 320.370 1.645 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cnc(C)nc2)c1 ZINC000821353447 704395594 /nfs/dbraw/zinc/39/55/94/704395594.db2.gz UHKRFCHJDHYZTG-QFIPXVFZSA-N -1 1 320.370 1.645 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H]2CCCCC2=O)c1 ZINC000821365018 704397357 /nfs/dbraw/zinc/39/73/57/704397357.db2.gz JUGHMCIYXTUSHV-SZNDQCEHSA-N -1 1 310.371 1.858 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H]2CCC[C@H]2OC)c1 ZINC000821386013 704401582 /nfs/dbraw/zinc/40/15/82/704401582.db2.gz DWJANRGYNUGFOZ-LKBUQDJMSA-N -1 1 312.387 1.914 20 0 DDADMM CCC(F)(F)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000854530821 704413270 /nfs/dbraw/zinc/41/32/70/704413270.db2.gz HBPQRYIZNPUSNU-UHFFFAOYSA-N -1 1 308.306 1.284 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@@H](N2CCOC2=O)C1 ZINC000855502803 704488887 /nfs/dbraw/zinc/48/88/87/704488887.db2.gz HEQPYENZLZDEJC-MRVPVSSYSA-N -1 1 312.272 1.337 20 0 DDADMM CO[C@@H](C)CCC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417359 704528022 /nfs/dbraw/zinc/52/80/22/704528022.db2.gz RQZKXJYWVMSHKV-VWYCJHECSA-N -1 1 324.343 1.717 20 0 DDADMM CC[C@@H](OC)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418638 704528194 /nfs/dbraw/zinc/52/81/94/704528194.db2.gz HXJHVWLTACJHMI-KXUCPTDWSA-N -1 1 310.316 1.327 20 0 DDADMM CCCOCC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856420111 704528314 /nfs/dbraw/zinc/52/83/14/704528314.db2.gz XUWLRABKBRJARQ-ZJUUUORDSA-N -1 1 310.316 1.329 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[O-])CN1CCS(=O)(=O)C1CCCCC1 ZINC000857084087 704550164 /nfs/dbraw/zinc/55/01/64/704550164.db2.gz NVHNOTYQSVBPSM-STQMWFEESA-N -1 1 317.451 1.919 20 0 DDADMM COCC1(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCCCC1 ZINC000857614253 704607769 /nfs/dbraw/zinc/60/77/69/704607769.db2.gz BKEGKFKBURMGAT-UHFFFAOYSA-N -1 1 302.378 1.877 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCCc3ccsc3)nc2n1 ZINC000857622483 704608266 /nfs/dbraw/zinc/60/82/66/704608266.db2.gz UXHUFYMGKAJWEL-UHFFFAOYSA-N -1 1 317.374 1.749 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3c3ccccc3)nc2n1 ZINC000857683293 704613344 /nfs/dbraw/zinc/61/33/44/704613344.db2.gz AAOMVMOWIJQZMK-QWHCGFSZSA-N -1 1 323.356 1.722 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1[C@H]2CCCO[C@@H]2C1(C)C ZINC000866872674 706830758 /nfs/dbraw/zinc/83/07/58/706830758.db2.gz AGJGRHNRPJLTIZ-LMPWWPNBSA-N -1 1 324.468 1.142 20 0 DDADMM COC(C)(C)C[C@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866875965 706831647 /nfs/dbraw/zinc/83/16/47/706831647.db2.gz AENQRKRYSZHVEM-QMMMGPOBSA-N -1 1 308.350 1.842 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@H]1CCC(F)(F)C1 ZINC000867220695 706928745 /nfs/dbraw/zinc/92/87/45/706928745.db2.gz HBRUZFQXDRVJKZ-VIFPVBQESA-N -1 1 316.395 1.518 20 0 DDADMM CCc1nc(CN2CCN(c3cc(Cl)[n-]c(=O)n3)CC2)no1 ZINC000858416207 704708321 /nfs/dbraw/zinc/70/83/21/704708321.db2.gz KLKVSJRUEOYBLU-UHFFFAOYSA-N -1 1 324.772 1.103 20 0 DDADMM CC(C)(CNc1cc(Cl)[n-]c(=O)n1)[C@@]1(O)CCCOC1 ZINC000858633528 704737759 /nfs/dbraw/zinc/73/77/59/704737759.db2.gz VKNKCMOSBYUNLK-CYBMUJFWSA-N -1 1 301.774 1.815 20 0 DDADMM Cn1ncc(CCC[N-]S(=O)(=O)c2ccc(C(F)F)o2)n1 ZINC000867310491 706955786 /nfs/dbraw/zinc/95/57/86/706955786.db2.gz ZTCAQTXZSIVFJZ-UHFFFAOYSA-N -1 1 320.321 1.257 20 0 DDADMM CCC(F)(F)C(C)(C)CS(=O)(=O)[N-]CC(=O)OC(C)C ZINC000858946196 704775598 /nfs/dbraw/zinc/77/55/98/704775598.db2.gz CVPZXQCNYDLNJE-UHFFFAOYSA-N -1 1 315.382 1.929 20 0 DDADMM CC[C@@H](C)[C@@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)OC ZINC000867329650 706962881 /nfs/dbraw/zinc/96/28/81/706962881.db2.gz HUOXINASBRHGGF-RKDXNWHRSA-N -1 1 308.350 1.699 20 0 DDADMM O=C([O-])[C@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCCC1CC1 ZINC000873644128 704815452 /nfs/dbraw/zinc/81/54/52/704815452.db2.gz VSDFJPFLNJZFSP-GHMZBOCLSA-N -1 1 322.327 1.773 20 0 DDADMM COc1ccccc1[C@H](CO)Nc1cccc(-c2nnn[n-]2)n1 ZINC000821882785 704834366 /nfs/dbraw/zinc/83/43/66/704834366.db2.gz KPAPEMKIQCZQLP-LBPRGKRZSA-N -1 1 312.333 1.416 20 0 DDADMM COc1ccccc1[C@H](CO)Nc1cccc(-c2nn[n-]n2)n1 ZINC000821882785 704834371 /nfs/dbraw/zinc/83/43/71/704834371.db2.gz KPAPEMKIQCZQLP-LBPRGKRZSA-N -1 1 312.333 1.416 20 0 DDADMM COCOCCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000859382702 704885553 /nfs/dbraw/zinc/88/55/53/704885553.db2.gz MQUBJZRIUAENOH-UHFFFAOYSA-N -1 1 313.297 1.393 20 0 DDADMM CC1=NO[C@H](C[N-]S(=O)(=O)c2cc(Cl)cnc2Cl)C1 ZINC000867347861 706969530 /nfs/dbraw/zinc/96/95/30/706969530.db2.gz AUILGWMJUAKJFR-QMMMGPOBSA-N -1 1 324.189 1.832 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cnc(C)cc1C ZINC000867369311 706977807 /nfs/dbraw/zinc/97/78/07/706977807.db2.gz QCIXBJZPIAZDPR-SFHVURJKSA-N -1 1 305.425 1.151 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[C@H]1c1ccco1 ZINC000867409493 706991642 /nfs/dbraw/zinc/99/16/42/706991642.db2.gz MKMFGNSUNYIKQB-NXEZZACHSA-N -1 1 306.409 1.478 20 0 DDADMM CCn1nc(CN2CC[C@@](OC)(C(=O)[O-])C2)c2ccccc21 ZINC000859820205 705012883 /nfs/dbraw/zinc/01/28/83/705012883.db2.gz REVIWPCKGRMLQO-INIZCTEOSA-N -1 1 303.362 1.732 20 0 DDADMM CCOc1cccc(/C=C/C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000860026133 705075308 /nfs/dbraw/zinc/07/53/08/705075308.db2.gz PONJJUUBLLZVKV-BQYQJAHWSA-N -1 1 303.318 1.264 20 0 DDADMM O=C(c1cccc2scnc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000860350302 705162196 /nfs/dbraw/zinc/16/21/96/705162196.db2.gz ZGSRQPURMAOMKQ-VIFPVBQESA-N -1 1 314.374 1.829 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@@H]1CC12CCC2 ZINC000874786834 705171898 /nfs/dbraw/zinc/17/18/98/705171898.db2.gz OAGSJZQNUNUKEN-CQSZACIVSA-N -1 1 324.384 1.742 20 0 DDADMM COCCN(C)c1nc(-c2ccc([O-])c(C(N)=O)c2)cs1 ZINC000823208251 705172265 /nfs/dbraw/zinc/17/22/65/705172265.db2.gz GRLBLVLWFNLVBM-UHFFFAOYSA-N -1 1 307.375 1.697 20 0 DDADMM C[C@@H](CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)C(C)(C)C ZINC000875295413 705343314 /nfs/dbraw/zinc/34/33/14/705343314.db2.gz QFKWCRYIPYYHKS-VIFPVBQESA-N -1 1 323.359 1.989 20 0 DDADMM C[C@H](C(=O)NCc1ccc([O-])c(Cl)c1)N1C(=O)CCC1=O ZINC000834834142 707134007 /nfs/dbraw/zinc/13/40/07/707134007.db2.gz YPAOYWPDHJWLBW-MRVPVSSYSA-N -1 1 310.737 1.199 20 0 DDADMM O=C(C[C@@H]1C=CS(=O)(=O)C1)NCc1ccc([O-])c(Cl)c1 ZINC000834833766 707134231 /nfs/dbraw/zinc/13/42/31/707134231.db2.gz LHWHNHCJLPRHTA-JTQLQIEISA-N -1 1 315.778 1.610 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@H]2CC23CC(OCC)C3)[n-]1 ZINC000875680318 705475470 /nfs/dbraw/zinc/47/54/70/705475470.db2.gz RUEMKQZGPARVQM-KGXJWFGYSA-N -1 1 307.350 1.730 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CC23CC(OCC)C3)n1 ZINC000875680318 705475474 /nfs/dbraw/zinc/47/54/74/705475474.db2.gz RUEMKQZGPARVQM-KGXJWFGYSA-N -1 1 307.350 1.730 20 0 DDADMM O=C(CNC(=O)c1ncc2ccccc2c1[O-])OCC1CC1 ZINC000825347740 705651340 /nfs/dbraw/zinc/65/13/40/705651340.db2.gz QOLLRZFGINHSGR-UHFFFAOYSA-N -1 1 300.314 1.623 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H](OC2CCC2)CC1 ZINC000825374071 705657570 /nfs/dbraw/zinc/65/75/70/705657570.db2.gz CKLKYUPVPBDIQM-ZDUSSCGKSA-N -1 1 307.398 1.473 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)[C@@H]1C[C@@]1(C)Br ZINC000862152333 705665038 /nfs/dbraw/zinc/66/50/38/705665038.db2.gz BZXMUOURYWBFCK-WRWORJQWSA-N -1 1 308.172 1.549 20 0 DDADMM CC(C)C1(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000876300664 705683618 /nfs/dbraw/zinc/68/36/18/705683618.db2.gz GBTHOWITHQFOII-UHFFFAOYSA-N -1 1 306.366 1.243 20 0 DDADMM CCc1cnccc1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825739512 705724982 /nfs/dbraw/zinc/72/49/82/705724982.db2.gz FCZCXODARSNPFF-UHFFFAOYSA-N -1 1 314.374 1.816 20 0 DDADMM CCc1cnccc1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825739512 705724984 /nfs/dbraw/zinc/72/49/84/705724984.db2.gz FCZCXODARSNPFF-UHFFFAOYSA-N -1 1 314.374 1.816 20 0 DDADMM COc1ccccc1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826220452 705783236 /nfs/dbraw/zinc/78/32/36/705783236.db2.gz OGMPBTWHPHQJRN-UHFFFAOYSA-N -1 1 314.305 1.353 20 0 DDADMM COc1ccccc1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826220452 705783240 /nfs/dbraw/zinc/78/32/40/705783240.db2.gz OGMPBTWHPHQJRN-UHFFFAOYSA-N -1 1 314.305 1.353 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]C[C@H](OC)C(F)(F)F)C(C)C ZINC000827155591 705941549 /nfs/dbraw/zinc/94/15/49/705941549.db2.gz XLKZOPNLGGGGGT-BDAKNGLRSA-N -1 1 307.334 1.154 20 0 DDADMM CON(C)C(=O)[C@H](C)[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000863629024 705971455 /nfs/dbraw/zinc/97/14/55/705971455.db2.gz YMVLECBLZFMCTP-ZETCQYMHSA-N -1 1 322.258 1.581 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@H](OC)C1CC1 ZINC000827320345 705974484 /nfs/dbraw/zinc/97/44/84/705974484.db2.gz GLPRODINULKLIZ-STQMWFEESA-N -1 1 319.423 1.063 20 0 DDADMM CCc1c(=O)n(CCS(C)(=O)=O)[n-]c1-c1cccc(OC)c1 ZINC000871950675 707252926 /nfs/dbraw/zinc/25/29/26/707252926.db2.gz FHNYLOHIZJUIPM-CYBMUJFWSA-N -1 1 324.402 1.312 20 0 DDADMM CC1(C)C[C@H](S(=O)(=O)CCC[N-]C(=O)C(F)(F)F)CO1 ZINC000864440935 706170321 /nfs/dbraw/zinc/17/03/21/706170321.db2.gz SYYGOUXSIQCYTR-QMMMGPOBSA-N -1 1 317.329 1.037 20 0 DDADMM CC1(C)C[C@@H](S(=O)(=O)CCC[N-]C(=O)C(F)(F)F)CO1 ZINC000864440934 706170428 /nfs/dbraw/zinc/17/04/28/706170428.db2.gz SYYGOUXSIQCYTR-MRVPVSSYSA-N -1 1 317.329 1.037 20 0 DDADMM O=C(C(=O)N1CC(CC2CCOCC2)C1)c1ccc([O-])cc1 ZINC000881921174 707425651 /nfs/dbraw/zinc/42/56/51/707425651.db2.gz ZCRYRIQWUYYNMV-UHFFFAOYSA-N -1 1 303.358 1.850 20 0 DDADMM COc1ccc(F)cc1CS(=O)(=O)[N-]Cc1noc(C)n1 ZINC000881935428 707433171 /nfs/dbraw/zinc/43/31/71/707433171.db2.gz MLVMIXQPKLASJD-UHFFFAOYSA-N -1 1 315.326 1.145 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](C)CCN1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878452936 706391665 /nfs/dbraw/zinc/39/16/65/706391665.db2.gz FMKDJXRMJAWCKA-GWCFXTLKSA-N -1 1 321.377 1.028 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C=CC(=O)OC)o1)c1nn[n-]n1 ZINC000829800519 706398210 /nfs/dbraw/zinc/39/82/10/706398210.db2.gz GZWDHZIWHARIKM-BJPMOSCESA-N -1 1 319.321 1.250 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2c(c1)C[C@@H](C)O2)c1nn[n-]n1 ZINC000829802111 706398588 /nfs/dbraw/zinc/39/85/88/706398588.db2.gz XRUPIVJJFHAMCX-BXKDBHETSA-N -1 1 301.350 1.794 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)CS1 ZINC000872497176 707447406 /nfs/dbraw/zinc/44/74/06/707447406.db2.gz GFFRMVCCZVHRAR-MHIIWPAESA-N -1 1 314.498 1.611 20 0 DDADMM CC[C@@H](C)N(OC)C(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC000830474875 706522938 /nfs/dbraw/zinc/52/29/38/706522938.db2.gz PEBSRCLDVAOEBR-MRVPVSSYSA-N -1 1 306.322 1.547 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@@H]1[C@H]1C[C@H]1C ZINC000865861841 706546078 /nfs/dbraw/zinc/54/60/78/706546078.db2.gz VTZNWMLJJACARI-GHORINQJSA-N -1 1 314.389 1.960 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830784448 706579004 /nfs/dbraw/zinc/57/90/04/706579004.db2.gz QMTCEADQJRKCIU-ADADXYTCSA-N -1 1 318.339 1.948 20 0 DDADMM COC/C(C)=C/C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830789722 706579941 /nfs/dbraw/zinc/57/99/41/706579941.db2.gz KZNHXSQGYIGKRK-LZGFCCKTSA-N -1 1 308.300 1.249 20 0 DDADMM CCCCC[C@@H](O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830794726 706581073 /nfs/dbraw/zinc/58/10/73/706581073.db2.gz ANOTZDLGMJSORZ-ZWNOBZJWSA-N -1 1 324.343 1.597 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)/C=C/c2ccc[nH]2)C1 ZINC000830807334 706583052 /nfs/dbraw/zinc/58/30/52/706583052.db2.gz HQDQHLWDLFTTRM-MUBLQREKSA-N -1 1 315.295 1.697 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830815407 706584903 /nfs/dbraw/zinc/58/49/03/706584903.db2.gz AQYPQGGEXTVFNH-AUTRQRHGSA-N -1 1 308.300 1.081 20 0 DDADMM CCO[C@@H]1C[C@H]1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830826414 706587871 /nfs/dbraw/zinc/58/78/71/706587871.db2.gz CEIMXVBANIYEKM-LNLATYFQSA-N -1 1 308.300 1.081 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCCOC2)C1 ZINC000830826524 706587922 /nfs/dbraw/zinc/58/79/22/706587922.db2.gz FAUVLHWBCHANMF-JOYOIKCWSA-N -1 1 308.300 1.083 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC1(C)CCOCC1 ZINC000866937187 706850090 /nfs/dbraw/zinc/85/00/90/706850090.db2.gz CADQNDNFIIPZSE-UHFFFAOYSA-N -1 1 312.457 1.145 20 0 DDADMM CC[C@H](O)CC[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000866968580 706859206 /nfs/dbraw/zinc/85/92/06/706859206.db2.gz PZPWPNAPRDJHOS-JEOXALJRSA-N -1 1 320.436 1.139 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCCC(F)(F)C1 ZINC000866983046 706864051 /nfs/dbraw/zinc/86/40/51/706864051.db2.gz CNHZJSQTYQIFMA-QPFGOUBPSA-N -1 1 304.384 1.516 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)O1)C(=O)c1ccc([O-])cc1 ZINC000832403551 706905871 /nfs/dbraw/zinc/90/58/71/706905871.db2.gz VQUZHFPXDADMRC-CQSZACIVSA-N -1 1 319.357 1.419 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CSC[C@H]1C ZINC000867262075 706941087 /nfs/dbraw/zinc/94/10/87/706941087.db2.gz PSZUJILAJFHLRI-RKDXNWHRSA-N -1 1 300.471 1.080 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867357022 706973090 /nfs/dbraw/zinc/97/30/90/706973090.db2.gz AUFMXXCESVGGJG-YUMQZZPRSA-N -1 1 322.228 1.743 20 0 DDADMM CCc1ncc(C[N-]S(=O)(=O)c2ccc(F)nc2F)o1 ZINC000867361219 706974416 /nfs/dbraw/zinc/97/44/16/706974416.db2.gz MQBGRWIFVSTOPJ-UHFFFAOYSA-N -1 1 303.290 1.389 20 0 DDADMM O=S(=O)([N-]CCC[C@H]1CCOC1)c1ccc(F)nc1F ZINC000867367134 706976921 /nfs/dbraw/zinc/97/69/21/706976921.db2.gz KMYMHAMAEOEBQJ-VIFPVBQESA-N -1 1 306.334 1.455 20 0 DDADMM Cn1cnnc1CCC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000867381249 706982038 /nfs/dbraw/zinc/98/20/38/706982038.db2.gz JTTZVKVIRUCUMW-UHFFFAOYSA-N -1 1 320.374 1.472 20 0 DDADMM C[C@H](CN(C)C(=O)c1scnc1C(F)F)c1nn[n-]n1 ZINC000867381849 706982367 /nfs/dbraw/zinc/98/23/67/706982367.db2.gz RPXWOBUUIYZMBD-RXMQYKEDSA-N -1 1 302.310 1.470 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@H]1CCCc2[nH]ncc21)c1ccccc1F ZINC000833125278 707001049 /nfs/dbraw/zinc/00/10/49/707001049.db2.gz UOXQWVNYXJNSMW-XPTSAGLGSA-N -1 1 317.320 1.911 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C1=CCS(=O)(=O)C1 ZINC000834823673 707130817 /nfs/dbraw/zinc/13/08/17/707130817.db2.gz ZUFIYPHIERZKNQ-UHFFFAOYSA-N -1 1 301.751 1.017 20 0 DDADMM Cc1nnc2n1C[C@H](C(=O)NCc1ccc([O-])c(Cl)c1)CC2 ZINC000834829404 707132685 /nfs/dbraw/zinc/13/26/85/707132685.db2.gz WPMBFCRWRJYZTM-LLVKDONJSA-N -1 1 320.780 1.824 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCc2ncnn2CCF)cc1 ZINC000871798637 707208334 /nfs/dbraw/zinc/20/83/34/707208334.db2.gz NSNQFBFWSUOHDL-UHFFFAOYSA-N -1 1 322.340 1.437 20 0 DDADMM CCc1[n-]n(-c2nc3ccccc3c(=O)n2CC)c(=O)c1F ZINC000871941679 707250696 /nfs/dbraw/zinc/25/06/96/707250696.db2.gz DSPRBFNZVQZTPR-LBPRGKRZSA-N -1 1 302.309 1.867 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)Nc1ccc(F)c(C(=O)[O-])c1 ZINC000909110679 712938356 /nfs/dbraw/zinc/93/83/56/712938356.db2.gz VSFDYQZOAPQLMD-SNVBAGLBSA-N -1 1 310.325 1.431 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)CCSC)o1 ZINC000835818340 707332758 /nfs/dbraw/zinc/33/27/58/707332758.db2.gz PMGMPWNOIFDKHO-QMMMGPOBSA-N -1 1 307.393 1.486 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccoc1)c1c(F)ccc([O-])c1F ZINC000881717870 707333224 /nfs/dbraw/zinc/33/32/24/707333224.db2.gz FCCODEDLICABIK-SNVBAGLBSA-N -1 1 311.284 1.844 20 0 DDADMM C[C@@H](O)CC[N-]S(=O)(=O)N=[S@@](C)(=O)C1CCCCC1 ZINC000881913474 707421594 /nfs/dbraw/zinc/42/15/94/707421594.db2.gz PMEORAXWDUBAOG-MGNBDDOMSA-N -1 1 312.457 1.022 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H]2C[C@H](O)C2)c(F)c1 ZINC000872579196 707491535 /nfs/dbraw/zinc/49/15/35/707491535.db2.gz WWGFHBVYTVILEP-ZKCHVHJHSA-N -1 1 307.318 1.023 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCCCCC(=O)[O-] ZINC000836718104 707506753 /nfs/dbraw/zinc/50/67/53/707506753.db2.gz ZKJHDWCTWIDUMN-VIFPVBQESA-N -1 1 312.288 1.061 20 0 DDADMM CC1CCC(N2C[C@@H](C(=O)[N-]OC(C)(C)CO)CC2=O)CC1 ZINC000836804113 707519167 /nfs/dbraw/zinc/51/91/67/707519167.db2.gz SUIPVNQCIIETBH-CPCZMJQVSA-N -1 1 312.410 1.232 20 0 DDADMM COc1cccc(Cl)c1CC(=O)[N-]O[C@@H](CO)C(C)C ZINC000836890862 707539833 /nfs/dbraw/zinc/53/98/33/707539833.db2.gz LFEIOGBXYSRQMX-ZDUSSCGKSA-N -1 1 301.770 1.956 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-][C@]1(C)CC(C)(C)OC1=O)C1CC1 ZINC000882225021 707547307 /nfs/dbraw/zinc/54/73/07/707547307.db2.gz RMWKXCNGNGTICC-BXUZGUMPSA-N -1 1 319.423 1.205 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)[N-]O[C@@H](CO)C(C)C)CC2=O)cc1 ZINC000836906078 707548262 /nfs/dbraw/zinc/54/82/62/707548262.db2.gz NOFNHJGFBNQRPQ-ZFWWWQNUSA-N -1 1 320.389 1.413 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)CC=C(Cl)Cl ZINC000882319360 707582427 /nfs/dbraw/zinc/58/24/27/707582427.db2.gz JRQPAAUKOCXYGA-LURJTMIESA-N -1 1 308.158 1.126 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cc(=O)[nH]c(C3CC3)n2)[n-]1 ZINC000837739663 707733603 /nfs/dbraw/zinc/73/36/03/707733603.db2.gz DTVZMTNNSAFBAB-UHFFFAOYSA-N -1 1 317.301 1.531 20 0 DDADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]c1ccc(F)c(F)c1 ZINC000882659678 707735700 /nfs/dbraw/zinc/73/57/00/707735700.db2.gz GSYXTPZQBRBAFF-GOSISDBHSA-N -1 1 313.351 1.196 20 0 DDADMM COCc1cccc([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)c1 ZINC000882676434 707744380 /nfs/dbraw/zinc/74/43/80/707744380.db2.gz KSLLQCBIWYELSE-IBGZPJMESA-N -1 1 321.424 1.064 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccc(-c3nnc(C)o3)cc2)CC1 ZINC000882706014 707754881 /nfs/dbraw/zinc/75/48/81/707754881.db2.gz BPGAIVJORKBNBH-UHFFFAOYSA-N -1 1 323.374 1.966 20 0 DDADMM O=C([O-])C[C@H]1CN(C(=O)c2cc(C3CCCCC3)[nH]n2)CCO1 ZINC000909265609 712977767 /nfs/dbraw/zinc/97/77/67/712977767.db2.gz MYBVCOBTLROUPP-LBPRGKRZSA-N -1 1 321.377 1.773 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCC[C@]23CCCO3)sn1 ZINC000882791839 707797598 /nfs/dbraw/zinc/79/75/98/707797598.db2.gz JLTQYCYWHJQDBM-PWSUYJOCSA-N -1 1 302.421 1.832 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1C[C@H](O)CC2(CCOCC2)C1 ZINC000882964118 707875810 /nfs/dbraw/zinc/87/58/10/707875810.db2.gz TUHRWMSSFDUATD-GFCCVEGCSA-N -1 1 309.337 1.535 20 0 DDADMM COc1ccc([C@H](CC(=O)[O-])NC(=O)[C@H](C)N(C)C)c(OC)c1 ZINC000909368747 713001906 /nfs/dbraw/zinc/00/19/06/713001906.db2.gz QKYJVRPFOXBRCC-GWCFXTLKSA-N -1 1 324.377 1.286 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@@H](C(=O)[O-])c1ccc(Cl)c(F)c1 ZINC000909391842 713006798 /nfs/dbraw/zinc/00/67/98/713006798.db2.gz XYKMGJYYBCDNDO-VXGBXAGGSA-N -1 1 314.744 1.815 20 0 DDADMM COc1cnc([C@@H]2CCCN2c2ncc(Cl)cn2)[n-]c1=O ZINC000897224547 708261096 /nfs/dbraw/zinc/26/10/96/708261096.db2.gz RYVBZQHNCIYMIE-VIFPVBQESA-N -1 1 307.741 1.976 20 0 DDADMM CC1(C)CO[C@H](CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)C1 ZINC000897640077 708403250 /nfs/dbraw/zinc/40/32/50/708403250.db2.gz IERNYXHUWTXQBC-GHMZBOCLSA-N -1 1 309.366 1.686 20 0 DDADMM O=C(C[C@H]1CCC2(CCC2)O1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000897641194 708403586 /nfs/dbraw/zinc/40/35/86/708403586.db2.gz YGWNJFVJWCSLHY-NWDGAFQWSA-N -1 1 321.377 1.973 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(CO)(C(F)(F)F)CC1 ZINC000885004952 708439829 /nfs/dbraw/zinc/43/98/29/708439829.db2.gz AZDMUFAEENZVAZ-UHFFFAOYSA-N -1 1 304.268 1.564 20 0 DDADMM CCO[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccns2)C12CCC2 ZINC000885031785 708449015 /nfs/dbraw/zinc/44/90/15/708449015.db2.gz OJGPRJTWIVMCBT-ZJUUUORDSA-N -1 1 302.421 1.769 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC2(CCCC2)C1)c1ccns1 ZINC000885062991 708459739 /nfs/dbraw/zinc/45/97/39/708459739.db2.gz CHTJEURJPFUSOE-SNVBAGLBSA-N -1 1 302.421 1.913 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC(=O)CC[C@H]1C ZINC000885092718 708469247 /nfs/dbraw/zinc/46/92/47/708469247.db2.gz NPOAUPCMEVOFMT-SECBINFHSA-N -1 1 316.361 1.174 20 0 DDADMM O=S(=O)([N-][C@@H]([C@@H](CO)C1CC1)C1CC1)c1ccns1 ZINC000885217228 708496708 /nfs/dbraw/zinc/49/67/08/708496708.db2.gz CYDJIQROWPATTH-CMPLNLGQSA-N -1 1 302.421 1.219 20 0 DDADMM O=S(=O)([N-][C@H](C1CC1)[C@@H]1CCCCO1)c1ccns1 ZINC000885280011 708509867 /nfs/dbraw/zinc/50/98/67/708509867.db2.gz LRMNVUOLGCNWKA-CMPLNLGQSA-N -1 1 302.421 1.769 20 0 DDADMM COC(=O)C[C@](C)([N-]S(=O)(=O)c1ccns1)C1CC1 ZINC000885340014 708522772 /nfs/dbraw/zinc/52/27/72/708522772.db2.gz ZOOXWKXDCIBCSH-NSHDSACASA-N -1 1 304.393 1.153 20 0 DDADMM CN1Cc2ccccc2[C@@H]1C[N-]S(=O)(=O)c1ccns1 ZINC000885343847 708523212 /nfs/dbraw/zinc/52/32/12/708523212.db2.gz OGUFUQMDYDPQKW-LBPRGKRZSA-N -1 1 309.416 1.608 20 0 DDADMM CC1(C)CO[C@H](CC(=O)OCCC[N-]C(=O)C(F)(F)F)C1 ZINC000885628534 708587344 /nfs/dbraw/zinc/58/73/44/708587344.db2.gz GYASSGYUQWCCTH-SECBINFHSA-N -1 1 311.300 1.803 20 0 DDADMM O=C(NCCO[C@@H]1CCOC1)c1c([O-])cnc2c(F)cccc21 ZINC000885709830 708603716 /nfs/dbraw/zinc/60/37/16/708603716.db2.gz FDLDXQIKKHESQR-SNVBAGLBSA-N -1 1 320.320 1.615 20 0 DDADMM O=S(=O)([N-]CC(F)(F)F)c1cnc2onc(C3CC3)c2c1 ZINC000886405123 708754815 /nfs/dbraw/zinc/75/48/15/708754815.db2.gz WOBMUACJGXBCKH-UHFFFAOYSA-N -1 1 321.280 1.941 20 0 DDADMM CC[C@H](CCO)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927786673 713055933 /nfs/dbraw/zinc/05/59/33/713055933.db2.gz YMIGJNCEPBBZOT-SNVBAGLBSA-N -1 1 316.348 1.921 20 0 DDADMM CN(C(=O)c1csc(NC2CCCCC2)n1)c1nn[n-]n1 ZINC000912618056 713052753 /nfs/dbraw/zinc/05/27/53/713052753.db2.gz LELJAALFYDSNGF-UHFFFAOYSA-N -1 1 307.383 1.677 20 0 DDADMM Cn1nnc2c1C[C@H](NC(=O)Cc1ccc([O-])c(Cl)c1)CC2 ZINC000886738423 708830312 /nfs/dbraw/zinc/83/03/12/708830312.db2.gz UMAQIGVSVQVVHY-SNVBAGLBSA-N -1 1 320.780 1.390 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1SCCc2ccccc21 ZINC000898756787 708856461 /nfs/dbraw/zinc/85/64/61/708856461.db2.gz MADPQFCLGOKVDP-AWEZNQCLSA-N -1 1 317.370 1.535 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc([O-])c(F)c2)CCS1(=O)=O ZINC000887176733 708981166 /nfs/dbraw/zinc/98/11/66/708981166.db2.gz HIGWSYSWZKSFQR-PSASIEDQSA-N -1 1 301.339 1.227 20 0 DDADMM C[C@@H](O)[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccccc1 ZINC000912734680 713077960 /nfs/dbraw/zinc/07/79/60/713077960.db2.gz MIXQXHLFSSUPKT-YGRLFVJLSA-N -1 1 313.357 1.912 20 0 DDADMM C[C@@H]1C[C@@]2(CC[C@@H](CNC(=O)c3c([O-])cccc3F)O2)CO1 ZINC000899480560 709094382 /nfs/dbraw/zinc/09/43/82/709094382.db2.gz UICKZFFBRFWLHS-GDLVEWKHSA-N -1 1 309.337 1.988 20 0 DDADMM C[C@@H]1OC[C@]2(CC[C@H](CNC(=O)c3cncc([O-])c3)O2)[C@H]1C ZINC000899482732 709095153 /nfs/dbraw/zinc/09/51/53/709095153.db2.gz ICQYLZQMZOHCQY-FNNPODNZSA-N -1 1 306.362 1.490 20 0 DDADMM COC(=O)N[C@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000899625408 709129558 /nfs/dbraw/zinc/12/95/58/709129558.db2.gz QAOPNRKOMSFWFZ-JTQLQIEISA-N -1 1 312.753 1.545 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@@H]1C[C@@H]1CCCO1 ZINC000887845455 709141672 /nfs/dbraw/zinc/14/16/72/709141672.db2.gz YYWJXMFPUDDRFS-STQMWFEESA-N -1 1 309.337 1.941 20 0 DDADMM COC(=O)CCCO[N-]C(=O)Cc1cc2ccccc2c(=O)[nH]1 ZINC000888816614 709385023 /nfs/dbraw/zinc/38/50/23/709385023.db2.gz ODXYRKIBLPCCJP-UHFFFAOYSA-N -1 1 318.329 1.484 20 0 DDADMM C[C@]1([C@@H]2CCCN(C(=O)c3ccc([O-])c(F)c3)C2)COC(=O)N1 ZINC000928023780 713105480 /nfs/dbraw/zinc/10/54/80/713105480.db2.gz QOVUEWALINORRU-BDJLRTHQSA-N -1 1 322.336 1.882 20 0 DDADMM O=C(N[C@@H](CCO)C1CCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000889115853 709449718 /nfs/dbraw/zinc/44/97/18/709449718.db2.gz QPHXFDIXGMSIPP-LBPRGKRZSA-N -1 1 320.418 1.689 20 0 DDADMM COC(=O)C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C(C)(C)C ZINC000889172227 709457736 /nfs/dbraw/zinc/45/77/36/709457736.db2.gz MOQPYRBKXMGOQX-GFCCVEGCSA-N -1 1 307.346 1.669 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1ccsc1)c1nn[n-]n1 ZINC000912858153 713109134 /nfs/dbraw/zinc/10/91/34/713109134.db2.gz DXRZNBYSGQAJKI-ZMWSMAPVSA-N -1 1 309.420 1.885 20 0 DDADMM O=C([N-]CCCOC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)C(F)(F)F ZINC000909576141 709522137 /nfs/dbraw/zinc/52/21/37/709522137.db2.gz XTFDAQNXXHTHRW-KGDYZURWSA-N -1 1 307.268 1.022 20 0 DDADMM CCC[C@H](NC(=O)N[C@H]1CCCN2CCSC[C@@H]12)C(=O)[O-] ZINC000900295747 709526421 /nfs/dbraw/zinc/52/64/21/709526421.db2.gz NCYMHRMATWZQSQ-SRVKXCTJSA-N -1 1 315.439 1.119 20 0 DDADMM CCO[C@@H](CC(=O)N[C@@H](CCSC)c1nn[n-]n1)C(C)C ZINC000912861681 713110402 /nfs/dbraw/zinc/11/04/02/713110402.db2.gz UTWSVMHNRCBJCD-QWRGUYRKSA-N -1 1 315.443 1.561 20 0 DDADMM CSCC[C@H](NC(=O)COCC1CCCC1)c1nn[n-]n1 ZINC000912862745 713110842 /nfs/dbraw/zinc/11/08/42/713110842.db2.gz AWDFQAXVAREYBH-NSHDSACASA-N -1 1 313.427 1.317 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000889645161 709572161 /nfs/dbraw/zinc/57/21/61/709572161.db2.gz OWYBIPWHMVGBKD-NXEZZACHSA-N -1 1 312.753 1.654 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000909685001 709576930 /nfs/dbraw/zinc/57/69/30/709576930.db2.gz YSTVJVDKDRJDSV-OXIWPEFWSA-N -1 1 303.362 1.226 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000909698067 709584153 /nfs/dbraw/zinc/58/41/53/709584153.db2.gz JLOXAGYVHGPDAI-ZBEGNZNMSA-N -1 1 305.378 1.789 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](C(=O)[O-])c2ccc(F)cc2)c1C ZINC000909703246 709587693 /nfs/dbraw/zinc/58/76/93/709587693.db2.gz LKIFFKUQLFSOEM-GFCCVEGCSA-N -1 1 305.309 1.764 20 0 DDADMM C[C@H](C(=O)[O-])N(Cc1ccccc1)C(=O)CCc1c[nH]nn1 ZINC000909745960 709603478 /nfs/dbraw/zinc/60/34/78/709603478.db2.gz FZBIZNRHVXZEFX-LLVKDONJSA-N -1 1 302.334 1.239 20 0 DDADMM C[C@H](C(=O)[O-])N(Cc1ccccc1)C(=O)CCc1cnn[nH]1 ZINC000909745960 709603480 /nfs/dbraw/zinc/60/34/80/709603480.db2.gz FZBIZNRHVXZEFX-LLVKDONJSA-N -1 1 302.334 1.239 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)/C=C/[C@H]2CCCO2)[n-]c1=O ZINC000889786420 709635352 /nfs/dbraw/zinc/63/53/52/709635352.db2.gz MHOTWYZZPHGWEG-JIVBQCDMSA-N -1 1 319.361 1.590 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H](O)C2CCC2)[n-]c1=O ZINC000889788213 709635949 /nfs/dbraw/zinc/63/59/49/709635949.db2.gz YGAWKFFYVRAJPL-JQWIXIFHSA-N -1 1 307.350 1.015 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CC[C@H]2CCCO2)[n-]c1=O ZINC000889793865 709638759 /nfs/dbraw/zinc/63/87/59/709638759.db2.gz DDOTXUDXUIFGPL-NEPJUHHUSA-N -1 1 321.377 1.814 20 0 DDADMM CC[C@@](CNC(=O)Cc1cc(C)n[nH]1)(C(=O)[O-])c1ccccc1 ZINC000909847446 709650472 /nfs/dbraw/zinc/65/04/72/709650472.db2.gz YYTJNOYJCJUFNR-KRWDZBQOSA-N -1 1 315.373 1.809 20 0 DDADMM CS[C@@H]1CCCC[C@H]1NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909878544 709665898 /nfs/dbraw/zinc/66/58/98/709665898.db2.gz LYESOOLLQOGAEX-JHJVBQTASA-N -1 1 314.451 1.573 20 0 DDADMM COC(=O)c1cc(C)c(C(=O)[N-]c2ncn(C(C)(C)C)n2)o1 ZINC000889926025 709677354 /nfs/dbraw/zinc/67/73/54/709677354.db2.gz JWWOQBHHHYDMDA-UHFFFAOYSA-N -1 1 306.322 1.973 20 0 DDADMM CC[C@H](NC(=O)CN1CCC[C@H](C(=O)[O-])C1)[C@H]1CCCCO1 ZINC000909919572 709689239 /nfs/dbraw/zinc/68/92/39/709689239.db2.gz JLHHBGNMPBLOKS-MELADBBJSA-N -1 1 312.410 1.247 20 0 DDADMM CCC[C@H](C(=O)[O-])n1ccc(NC(=O)C2(N(C)C)CCC2)n1 ZINC000909952042 709705360 /nfs/dbraw/zinc/70/53/60/709705360.db2.gz CXDHIHGFFJYUSF-LLVKDONJSA-N -1 1 308.382 1.732 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)C1(N2CCOCC2)CCC1 ZINC000909985881 709720818 /nfs/dbraw/zinc/72/08/18/709720818.db2.gz RQIJVVZILNDJHI-UHFFFAOYSA-N -1 1 312.316 1.864 20 0 DDADMM Cn1nnc2c1C[C@H](C(=O)Nc1cc([O-])c(F)cc1F)CC2 ZINC000909986941 709721509 /nfs/dbraw/zinc/72/15/09/709721509.db2.gz DIJASWTYISMYJZ-SSDOTTSWSA-N -1 1 308.288 1.543 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1C[C@@H](C)CO1 ZINC000900709774 709722090 /nfs/dbraw/zinc/72/20/90/709722090.db2.gz JUILFBFOYRNIQP-PRHODGIISA-N -1 1 304.350 1.093 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(c3cccnc3)CC2)n1 ZINC000909989501 709723966 /nfs/dbraw/zinc/72/39/66/709723966.db2.gz VTCZVTKGJASXBG-UHFFFAOYSA-N -1 1 300.318 1.652 20 0 DDADMM CC[C@H](C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1)[C@H](C)O ZINC000900791956 709760283 /nfs/dbraw/zinc/76/02/83/709760283.db2.gz KREXERQCDACTLD-DCAQKATOSA-N -1 1 309.366 1.261 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@@H]1CC=C(C(=O)[O-])C1)c1ccccc1 ZINC000910200128 709810124 /nfs/dbraw/zinc/81/01/24/709810124.db2.gz LDEDURHGUCXKJF-HUUCEWRRSA-N -1 1 302.374 1.969 20 0 DDADMM COCc1ccc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000910232092 709828549 /nfs/dbraw/zinc/82/85/49/709828549.db2.gz RXURUGPFYPBPNV-CYBMUJFWSA-N -1 1 306.362 1.568 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1[nH]nc2c1CCC2)C1CCCCC1 ZINC000910234515 709829752 /nfs/dbraw/zinc/82/97/52/709829752.db2.gz TUWUSZNDNYFJGV-LBPRGKRZSA-N -1 1 305.378 1.909 20 0 DDADMM C[C@@H]1CN(C(=O)CN(C)CCc2ccccc2)C[C@@]1(C)C(=O)[O-] ZINC000910260681 709845434 /nfs/dbraw/zinc/84/54/34/709845434.db2.gz QIRRRZHAMKCUBS-RDTXWAMCSA-N -1 1 318.417 1.730 20 0 DDADMM Cc1nc(NCCCN2C[C@@H](C)O[C@H](C)C2)ncc1C(=O)[O-] ZINC000910276179 709859010 /nfs/dbraw/zinc/85/90/10/709859010.db2.gz ABVNLUAAJINTPB-GHMZBOCLSA-N -1 1 308.382 1.394 20 0 DDADMM C[C@@H](CCNC(=O)c1c([O-])cnc2c(F)cccc21)[S@](C)=O ZINC000901111927 709930058 /nfs/dbraw/zinc/93/00/58/709930058.db2.gz ZVRABNOYFJYSIT-AUADJRAKSA-N -1 1 324.377 1.966 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(C(=O)c1cccc3nn[nH]c31)C2 ZINC000910417513 709930332 /nfs/dbraw/zinc/93/03/32/709930332.db2.gz BOYOAFGFMATXOO-VFZGTOFNSA-N -1 1 300.318 1.285 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N2CC(F)(F)C[C@H]2C(=O)[O-])C1 ZINC000910434862 709939765 /nfs/dbraw/zinc/93/97/65/709939765.db2.gz GIZZAQYZEDYESM-SFGNSQDASA-N -1 1 313.304 1.396 20 0 DDADMM Cc1sc([C@@H]2CCCN2C(=O)c2ccn[nH]2)nc1C(=O)[O-] ZINC000910720925 710056796 /nfs/dbraw/zinc/05/67/96/710056796.db2.gz ITAADYRUSJKJIN-VIFPVBQESA-N -1 1 306.347 1.850 20 0 DDADMM CN(CC(=O)N1CCC[C@H](C(=O)[O-])C1)Cc1ccccc1O ZINC000901625684 710100613 /nfs/dbraw/zinc/10/06/13/710100613.db2.gz DOUIQJOWUGKREF-ZDUSSCGKSA-N -1 1 306.362 1.147 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCOC1CCCCC1 ZINC000901654377 710109652 /nfs/dbraw/zinc/10/96/52/710109652.db2.gz PCDWISJKLBYOHN-UHFFFAOYSA-N -1 1 314.407 1.994 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCC[C@H](C)[C@H]2C(=O)[O-])C1 ZINC000901695311 710121276 /nfs/dbraw/zinc/12/12/76/710121276.db2.gz SWQDPUZVNOVVRA-JCRCQARHSA-N -1 1 305.378 1.787 20 0 DDADMM CCC[C@@H]1CN(C(=O)[C@]2(C(=O)[O-])C[C@H]2C)CCN1CCOC ZINC000901712088 710129354 /nfs/dbraw/zinc/12/93/54/710129354.db2.gz VGMBVURRBYLPNF-IOASZLSFSA-N -1 1 312.410 1.057 20 0 DDADMM CCn1cc(Cl)c([N-]S(=O)(=O)C[C@@H](OC)C2CC2)n1 ZINC000901717257 710131148 /nfs/dbraw/zinc/13/11/48/710131148.db2.gz JUIFGUIXRVXKEI-SNVBAGLBSA-N -1 1 307.803 1.723 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])[C@@H]1CCCS(=O)(=O)C1 ZINC000910987142 710141946 /nfs/dbraw/zinc/14/19/46/710141946.db2.gz KEYYVHZBDMWUFW-SSDOTTSWSA-N -1 1 305.302 1.434 20 0 DDADMM C[C@H](C(=O)Nc1cc(F)cc(F)c1[O-])[C@H](C)S(C)(=O)=O ZINC000910991469 710144298 /nfs/dbraw/zinc/14/42/98/710144298.db2.gz MFLBWSYRVJQVIG-BQBZGAKWSA-N -1 1 307.318 1.678 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@@H]2CC[C@H]2C(=O)[O-])N2CCCC2)o1 ZINC000901934258 710178792 /nfs/dbraw/zinc/17/87/92/710178792.db2.gz IUKFAGFWRJXGKQ-MGPQQGTHSA-N -1 1 320.389 1.952 20 0 DDADMM CCN(C)c1ccc(CN2CCSC[C@@H]2CC(=O)[O-])cn1 ZINC000901983862 710192588 /nfs/dbraw/zinc/19/25/88/710192588.db2.gz IKPVYZSNCRAGGL-ZDUSSCGKSA-N -1 1 309.435 1.930 20 0 DDADMM CCc1c(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cnn1C ZINC000891623381 710251303 /nfs/dbraw/zinc/25/13/03/710251303.db2.gz QZJGZBQAGLXQTQ-UHFFFAOYSA-N -1 1 301.350 1.609 20 0 DDADMM CO[C@@H]1CCCN(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000928414787 713186173 /nfs/dbraw/zinc/18/61/73/713186173.db2.gz ZXPGLNDJTUBMBZ-LLVKDONJSA-N -1 1 308.382 1.370 20 0 DDADMM Cc1ccc(C(=O)N(C)c2nn[n-]n2)c(C)c1Br ZINC000892954806 710531763 /nfs/dbraw/zinc/53/17/63/710531763.db2.gz RDBFIUIWJGTNTG-UHFFFAOYSA-N -1 1 310.155 1.856 20 0 DDADMM COCc1nc(N[C@@H](CO)Cc2ccc(F)cc2)cc(=O)[n-]1 ZINC000893060753 710548729 /nfs/dbraw/zinc/54/87/29/710548729.db2.gz LSIWIOVXHTZMLE-GFCCVEGCSA-N -1 1 307.325 1.483 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@@H]2CCN(C)[C@@H]2C)c1 ZINC000928434257 713194034 /nfs/dbraw/zinc/19/40/34/713194034.db2.gz WDAKCROJXSEGKA-HQHPYACNSA-N -1 1 310.419 1.012 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CC[C@@H](C(=O)[O-])[C@H](C)C2)C1 ZINC000902162001 710641279 /nfs/dbraw/zinc/64/12/79/710641279.db2.gz QOQMOIPCIBHDRB-FPQZTECRSA-N -1 1 305.378 1.645 20 0 DDADMM O=C([O-])C[C@H](NC(=O)c1[nH]nc2c1CCCC2)C(F)(F)F ZINC000911153526 710657636 /nfs/dbraw/zinc/65/76/36/710657636.db2.gz DONXIYHENVBWPQ-QMMMGPOBSA-N -1 1 305.256 1.424 20 0 DDADMM CN(C)[C@H](CNC(=O)c1cncc(C(=O)[O-])c1)c1ccsc1 ZINC000911193298 710677669 /nfs/dbraw/zinc/67/76/69/710677669.db2.gz LGBPFTVNZRHYOR-CYBMUJFWSA-N -1 1 319.386 1.874 20 0 DDADMM COc1ccc2c(c1)OC[C@@H](NCc1cc(C(=O)[O-])no1)C2 ZINC000902417318 710746358 /nfs/dbraw/zinc/74/63/58/710746358.db2.gz BXKJCTOQZOHOAN-JTQLQIEISA-N -1 1 304.302 1.475 20 0 DDADMM COCc1nc(N(CCCO)Cc2ccccn2)cc(=O)[n-]1 ZINC000893639370 710759659 /nfs/dbraw/zinc/75/96/59/710759659.db2.gz YKCHTNMHBBOUTC-UHFFFAOYSA-N -1 1 304.350 1.113 20 0 DDADMM C[C@@H]1CN(C)[C@@H](C)CN1C(=O)C12CCC(C(=O)[O-])(CC1)CC2 ZINC000911440543 710800244 /nfs/dbraw/zinc/80/02/44/710800244.db2.gz MMMNEYNRYDYEAR-IQFARLITSA-N -1 1 308.422 1.963 20 0 DDADMM C[C@]1(c2ccccc2)C[C@@H]1NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911446861 710803103 /nfs/dbraw/zinc/80/31/03/710803103.db2.gz SRJOOVPUPJUCAC-DHSIGJKJSA-N -1 1 316.401 1.629 20 0 DDADMM CC(C)(O)c1cccc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000911471516 710811486 /nfs/dbraw/zinc/81/14/86/710811486.db2.gz OJZBMXVHALFFDI-GFCCVEGCSA-N -1 1 320.389 1.649 20 0 DDADMM CSCC(C)(C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913439007 713215918 /nfs/dbraw/zinc/21/59/18/713215918.db2.gz VYFKVOFZDLUESO-LLVKDONJSA-N -1 1 305.407 1.795 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCO[C@@H](C)CC1 ZINC000928535869 713219360 /nfs/dbraw/zinc/21/93/60/713219360.db2.gz SHDLFZOIBRVTLW-JTQLQIEISA-N -1 1 318.377 1.232 20 0 DDADMM CC(C)OCC(C)(C)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911773005 710976589 /nfs/dbraw/zinc/97/65/89/710976589.db2.gz XTMCRNYBVNCWPP-LBPRGKRZSA-N -1 1 300.399 1.103 20 0 DDADMM COCc1nc(NC[C@@H]2CC(=O)N(C(C)(C)C)C2)cc(=O)[n-]1 ZINC000894602341 711206046 /nfs/dbraw/zinc/20/60/46/711206046.db2.gz SENGWXCFETXRAC-JTQLQIEISA-N -1 1 308.382 1.388 20 0 DDADMM O=C(/C=C\CCc1ccccc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913493548 713236689 /nfs/dbraw/zinc/23/66/89/713236689.db2.gz BAUAVDYJEGPYPK-VYLZPFMQSA-N -1 1 313.361 1.289 20 0 DDADMM O=C(c1cccc(C(F)F)c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494548 713237441 /nfs/dbraw/zinc/23/74/41/713237441.db2.gz FBYDIHCRGXMRKX-SNVBAGLBSA-N -1 1 309.276 1.351 20 0 DDADMM O=S(=O)([N-]C1(c2ccccc2F)COC1)c1ccns1 ZINC000903654064 711236526 /nfs/dbraw/zinc/23/65/26/711236526.db2.gz UVHYPGOTTYBLRP-UHFFFAOYSA-N -1 1 314.363 1.486 20 0 DDADMM O=C(C/C=C\c1ccc(F)cc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496175 713238222 /nfs/dbraw/zinc/23/82/22/713238222.db2.gz UUHMXGQCLVIFIF-UIIMQBOASA-N -1 1 317.324 1.342 20 0 DDADMM Cc1cc(CN2CC[C@@H](c3ncc(C(=O)[O-])s3)C2)nn1C ZINC000903926222 711335773 /nfs/dbraw/zinc/33/57/73/711335773.db2.gz ZXARJVKWMYVZOR-SNVBAGLBSA-N -1 1 306.391 1.873 20 0 DDADMM O=C([O-])c1cnc([C@H]2CCN(CC(=O)N3CCCCC3)C2)s1 ZINC000903929012 711336513 /nfs/dbraw/zinc/33/65/13/711336513.db2.gz UVDGOGWMJKRDCH-NSHDSACASA-N -1 1 323.418 1.643 20 0 DDADMM COc1ccnc(CN[C@H]2C[C@H](NC(=O)[O-])C23CCC3)c1F ZINC000904251785 711418324 /nfs/dbraw/zinc/41/83/24/711418324.db2.gz OYBQVYJDJMTPGJ-RYUDHWBXSA-N -1 1 309.341 1.898 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC(N2CCCC2=O)C1 ZINC000896311074 711704953 /nfs/dbraw/zinc/70/49/53/711704953.db2.gz KOINQNAIZOEBGP-UHFFFAOYSA-N -1 1 323.780 1.562 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC[C@H](O)[C@H](F)C1 ZINC000896314666 711705434 /nfs/dbraw/zinc/70/54/34/711705434.db2.gz MEMPGJUIWVRNKS-PWSUYJOCSA-N -1 1 302.733 1.660 20 0 DDADMM O=C(NC12CCN(CC1)C2)c1nn(-c2cccc(F)c2)cc1[O-] ZINC000913634645 713273717 /nfs/dbraw/zinc/27/37/17/713273717.db2.gz XWCVEICJDYCYHU-UHFFFAOYSA-N -1 1 316.336 1.295 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@]3(c4ccccc4)CCCO3)ccnc1-2 ZINC000904942769 711905590 /nfs/dbraw/zinc/90/55/90/711905590.db2.gz YAMIVFLKKQENKL-GOSISDBHSA-N -1 1 322.368 1.986 20 0 DDADMM CN1CC[C@H]1CNC(=O)CC1(C(=O)[O-])Cc2ccccc2C1 ZINC000905132095 711946449 /nfs/dbraw/zinc/94/64/49/711946449.db2.gz XZIBBCUGDOMTQW-AWEZNQCLSA-N -1 1 302.374 1.067 20 0 DDADMM O=C(CSc1ccc(F)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742048 713291709 /nfs/dbraw/zinc/29/17/09/713291709.db2.gz CWSGZBYIGTXCNX-UHFFFAOYSA-N -1 1 321.381 1.837 20 0 DDADMM C[C@H](Oc1cccc(F)c1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742813 713292164 /nfs/dbraw/zinc/29/21/64/713292164.db2.gz VWYLIFWNYANYSA-JTQLQIEISA-N -1 1 319.340 1.512 20 0 DDADMM C[C@@H](Oc1cccc(F)c1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742814 713292213 /nfs/dbraw/zinc/29/22/13/713292213.db2.gz VWYLIFWNYANYSA-SNVBAGLBSA-N -1 1 319.340 1.512 20 0 DDADMM CC(=O)[C@@H](C)CCCCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743841 713292646 /nfs/dbraw/zinc/29/26/46/713292646.db2.gz NJWPTPMECYAZJB-NSHDSACASA-N -1 1 307.398 1.691 20 0 DDADMM Cc1csc(CCC(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913744995 713293387 /nfs/dbraw/zinc/29/33/87/713293387.db2.gz NVHMQZLEEWGECN-UHFFFAOYSA-N -1 1 306.395 1.303 20 0 DDADMM C[C@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1c(F)cccc1F ZINC000913744647 713293458 /nfs/dbraw/zinc/29/34/58/713293458.db2.gz XRGVVCKMPAFHOV-VIFPVBQESA-N -1 1 321.331 1.988 20 0 DDADMM CCCCCCO[C@@H](C)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745708 713293663 /nfs/dbraw/zinc/29/36/63/713293663.db2.gz BTVZEDPVPYYTPK-LBPRGKRZSA-N -1 1 309.414 1.891 20 0 DDADMM Cc1nsc(C)c1CN1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC000905369335 712018513 /nfs/dbraw/zinc/01/85/13/712018513.db2.gz LFJQNZBBJDOJIR-NSHDSACASA-N -1 1 321.406 1.887 20 0 DDADMM COc1cc([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)ccn1 ZINC000906065336 712235255 /nfs/dbraw/zinc/23/52/55/712235255.db2.gz UOYRHKIYUWTYKS-LJQANCHMSA-N -1 1 321.424 1.643 20 0 DDADMM COc1cc(F)c(S(=O)(=O)N[C@@H]2CCC[N@@H+](C)C2)cc1F ZINC000913955122 713324636 /nfs/dbraw/zinc/32/46/36/713324636.db2.gz CPMPTTVNPNHISW-SECBINFHSA-N -1 1 320.361 1.346 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cn1 ZINC000907477938 712582657 /nfs/dbraw/zinc/58/26/57/712582657.db2.gz WDBUGJDHGJVFOS-GFCCVEGCSA-N -1 1 318.406 1.648 20 0 DDADMM O=C(Cc1cnccc1Cl)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480381 712583541 /nfs/dbraw/zinc/58/35/41/712583541.db2.gz OTFWOMJVBJWAAD-JTQLQIEISA-N -1 1 324.797 1.107 20 0 DDADMM O=C([C@H]1CCC(F)(F)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480889 712583752 /nfs/dbraw/zinc/58/37/52/712583752.db2.gz WVBWOYLSLQRIIS-JGVFFNPUSA-N -1 1 303.338 1.252 20 0 DDADMM C[C@@H]1C(=O)N(C)CCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907540190 712591844 /nfs/dbraw/zinc/59/18/44/712591844.db2.gz UQFBYJKGTXMWRI-SECBINFHSA-N -1 1 317.320 1.382 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)CCO1 ZINC000907617881 712603971 /nfs/dbraw/zinc/60/39/71/712603971.db2.gz OXVUAXSWPXDTAM-LBPRGKRZSA-N -1 1 306.293 1.524 20 0 DDADMM CCN1CCN(C(=O)[C@]2(C(=O)[O-])C[C@H]2c2ccccc2)[C@H](C)C1 ZINC000907827338 712632022 /nfs/dbraw/zinc/63/20/22/712632022.db2.gz ZGSILUQHNWKEPW-XUWXXGDYSA-N -1 1 316.401 1.798 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3cc(F)ccc3C)CC2)n1 ZINC000907864182 712637925 /nfs/dbraw/zinc/63/79/25/712637925.db2.gz JDCFQXSNBPHCGO-UHFFFAOYSA-N -1 1 311.338 1.793 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3ccc(Cl)nc3)CC2)n1 ZINC000907864642 712637963 /nfs/dbraw/zinc/63/79/63/712637963.db2.gz LFAKFHHUICIXAU-UHFFFAOYSA-N -1 1 314.754 1.394 20 0 DDADMM CC[C@@H]1CCCC[C@H]1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907943974 712652775 /nfs/dbraw/zinc/65/27/75/712652775.db2.gz OEQROBTXASWQOT-VXGBXAGGSA-N -1 1 307.398 1.226 20 0 DDADMM Cn1nc2c(c1C(=O)N1CCC[C@H](c3n[n-]c(=O)o3)C1)CCC2 ZINC000907958136 712655180 /nfs/dbraw/zinc/65/51/80/712655180.db2.gz RQPHTUPZWPNPDZ-VIFPVBQESA-N -1 1 317.349 1.017 20 0 DDADMM CCCCS(=O)(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000908015263 712666479 /nfs/dbraw/zinc/66/64/79/712666479.db2.gz AXBZIXMKLSBCGD-JTQLQIEISA-N -1 1 315.395 1.458 20 0 DDADMM CCO[C@]12CCC[C@@]1([N-]S(=O)(=O)C[C@H]1CCCO1)CCO2 ZINC000908024977 712668074 /nfs/dbraw/zinc/66/80/74/712668074.db2.gz SOFUMYBCPOQYIZ-MCIONIFRSA-N -1 1 319.423 1.161 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC12CCCC2)c1c[nH]nc1Cl ZINC000908193338 712706722 /nfs/dbraw/zinc/70/67/22/712706722.db2.gz VHMALVNBJQVFAK-VIFPVBQESA-N -1 1 305.787 1.443 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@H]1C1CC1)c1ccc(F)c(F)c1F ZINC000908420920 712767522 /nfs/dbraw/zinc/76/75/22/712767522.db2.gz YUQQWPBHKUNANP-WPRPVWTQSA-N -1 1 321.320 1.807 20 0 DDADMM CCC(=O)c1cccc(NC(=O)N[C@H](CN(C)C)C(=O)[O-])c1 ZINC000908619141 712824143 /nfs/dbraw/zinc/82/41/43/712824143.db2.gz MROCXOYDOPQTEO-GFCCVEGCSA-N -1 1 307.350 1.416 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)C12CCC2 ZINC000908825768 712862883 /nfs/dbraw/zinc/86/28/83/712862883.db2.gz SIZHBGVUNJOAMU-BFHYXJOUSA-N -1 1 324.421 1.247 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N(CCC(=O)[O-])CC2CC2)C1 ZINC000908875443 712876783 /nfs/dbraw/zinc/87/67/83/712876783.db2.gz GVJHNMFEBSAUTR-MFKMUULPSA-N -1 1 305.378 1.789 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)C[C@@H]1CCCCO1 ZINC000918056436 713527428 /nfs/dbraw/zinc/52/74/28/713527428.db2.gz RKKXBXQVRWFGSU-STQMWFEESA-N -1 1 321.439 1.407 20 0 DDADMM O=S(=O)([N-]Cc1nccc2c1CCCC2)c1ccns1 ZINC000920944492 713704195 /nfs/dbraw/zinc/70/41/95/713704195.db2.gz AMQJTWNVERIBLL-UHFFFAOYSA-N -1 1 309.416 1.895 20 0 DDADMM C[C@@H]1C[C@H]1[C@@H]1C[C@H]1[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000921309151 713729012 /nfs/dbraw/zinc/72/90/12/713729012.db2.gz YUFQBNULXJRYEM-LURQLKTLSA-N -1 1 323.340 1.762 20 0 DDADMM O=S(=O)([N-][C@H]1c2ccccc2OC[C@H]1F)c1ccns1 ZINC000921318048 713731990 /nfs/dbraw/zinc/73/19/90/713731990.db2.gz NWJYLUWFZRNSMQ-SKDRFNHKSA-N -1 1 314.363 1.893 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCN2CCSC[C@H]12)c1ccns1 ZINC000921322179 713733878 /nfs/dbraw/zinc/73/38/78/713733878.db2.gz OTZGIURTLPJXRU-NXEZZACHSA-N -1 1 319.477 1.001 20 0 DDADMM CCOC(=O)[C@H](C[C@H](C)CC)[N-]S(=O)(=O)Cc1ccon1 ZINC000921382192 713749889 /nfs/dbraw/zinc/74/98/89/713749889.db2.gz ZCYGKQVNBBJTEE-PWSUYJOCSA-N -1 1 318.395 1.462 20 0 DDADMM CCOC(=O)[C@H](C[C@@H](C)CC)[N-]S(=O)(=O)CC1(F)CC1 ZINC000921383089 713750716 /nfs/dbraw/zinc/75/07/16/713750716.db2.gz OVNATWAWEKYOAS-QWRGUYRKSA-N -1 1 309.403 1.776 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C(C)(C)/C=C/Cl)c1 ZINC000921501309 713791299 /nfs/dbraw/zinc/79/12/99/713791299.db2.gz HMZKTVPLLVXZTE-SNAWJCMRSA-N -1 1 307.755 1.876 20 0 DDADMM CCC[C@H](O)CC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000921682708 713841462 /nfs/dbraw/zinc/84/14/62/713841462.db2.gz BNFAIRZXWRLACP-QMMMGPOBSA-N -1 1 310.778 1.704 20 0 DDADMM O=S(=O)([N-]C1CSC1)c1ncccc1Br ZINC000921870461 713891675 /nfs/dbraw/zinc/89/16/75/713891675.db2.gz MTFVPEYPLGDTEC-UHFFFAOYSA-N -1 1 309.210 1.238 20 0 DDADMM O=C(OCCO[C@H]1CCOC1)c1nn(-c2ccccc2)cc1[O-] ZINC000922195488 713987338 /nfs/dbraw/zinc/98/73/38/713987338.db2.gz FDGSNQHUKHATMT-ZDUSSCGKSA-N -1 1 318.329 1.540 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC[C@@H]2CCNC(=O)[C@H]21 ZINC000922449079 714057257 /nfs/dbraw/zinc/05/72/57/714057257.db2.gz TYDDMSQRPPRJEQ-RNCFNFMXSA-N -1 1 308.765 1.786 20 0 DDADMM CC(=O)N[C@@H]1CCCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000943011385 717947440 /nfs/dbraw/zinc/94/74/40/717947440.db2.gz BCEFCTMCBYQGHR-GFCCVEGCSA-N -1 1 318.377 1.190 20 0 DDADMM CC(C)(C)OC(=O)N(CCCOC(=O)c1cn[n-]n1)C1CC1 ZINC000922730479 714130725 /nfs/dbraw/zinc/13/07/25/714130725.db2.gz GAMAFDSCYYEYGC-UHFFFAOYSA-N -1 1 310.354 1.751 20 0 DDADMM CCSc1ccccc1CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000923078158 714230471 /nfs/dbraw/zinc/23/04/71/714230471.db2.gz DNDFQXQYNWYUEW-UHFFFAOYSA-N -1 1 307.375 1.506 20 0 DDADMM COC[C@H](CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)OC ZINC000932047004 714245776 /nfs/dbraw/zinc/24/57/76/714245776.db2.gz COXRMYAULNPUHA-ZDUSSCGKSA-N -1 1 320.349 1.361 20 0 DDADMM C[C@H](O)CN(C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1CC1 ZINC000932057128 714248096 /nfs/dbraw/zinc/24/80/96/714248096.db2.gz COATZXDFNSDMOO-NSHDSACASA-N -1 1 316.361 1.955 20 0 DDADMM C[C@@H](NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1(CO)CC1 ZINC000932058222 714248703 /nfs/dbraw/zinc/24/87/03/714248703.db2.gz MTVDLZFMCHSYLN-LLVKDONJSA-N -1 1 316.361 1.860 20 0 DDADMM CC[C@@H](NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H](C)O ZINC000932060141 714249251 /nfs/dbraw/zinc/24/92/51/714249251.db2.gz ZBIIIOBVOHYBOH-GXFFZTMASA-N -1 1 304.350 1.859 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](C(=O)[O-])C[C@@H]2[C@@H]2CCCO2)n[nH]1 ZINC000923162727 714258801 /nfs/dbraw/zinc/25/88/01/714258801.db2.gz HMRNOEAZMUSSDM-UHTWSYAYSA-N -1 1 307.350 1.203 20 0 DDADMM COc1ccc(NC(=O)C[N@@H+]2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)cc1 ZINC000923530448 714392506 /nfs/dbraw/zinc/39/25/06/714392506.db2.gz IXLSNLXRJGYJDI-LSDHHAIUSA-N -1 1 318.373 1.676 20 0 DDADMM O=C(CC1CC1)N[C@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943038936 717974089 /nfs/dbraw/zinc/97/40/89/717974089.db2.gz JCMBSUWSIHORNY-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM COC(=O)[C@H](C[C@H]1CCCO1)NC(=O)c1ccc([O-])cc1F ZINC000924870650 714683806 /nfs/dbraw/zinc/68/38/06/714683806.db2.gz SSLUXTNWWIMIMD-MFKMUULPSA-N -1 1 311.309 1.372 20 0 DDADMM COC(=O)c1ccc(C)c2c1CCCN2Cc1nc(=O)n(C)[n-]1 ZINC000934066499 714734681 /nfs/dbraw/zinc/73/46/81/714734681.db2.gz JPIKUXYXJSCXFC-UHFFFAOYSA-N -1 1 316.361 1.156 20 0 DDADMM COc1cc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)sn1 ZINC000934269523 714781097 /nfs/dbraw/zinc/78/10/97/714781097.db2.gz HYRYVEODYIQEMP-JTQLQIEISA-N -1 1 322.390 1.993 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2noc(C3CC3)n2)[n-]c1=O ZINC000934276695 714784904 /nfs/dbraw/zinc/78/49/04/714784904.db2.gz IIUPCKVWRPJFDC-SNVBAGLBSA-N -1 1 317.349 1.788 20 0 DDADMM Cc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c2ncccc12 ZINC000935368088 715038629 /nfs/dbraw/zinc/03/86/29/715038629.db2.gz QBDRCPLNQOZFEZ-LLVKDONJSA-N -1 1 310.361 1.932 20 0 DDADMM O=C([O-])[C@@H](c1ccccc1)N1CCN(C(=O)OCCCF)CC1 ZINC000926471547 715073240 /nfs/dbraw/zinc/07/32/40/715073240.db2.gz QIPYPTIXDNKHAI-CQSZACIVSA-N -1 1 324.352 1.926 20 0 DDADMM CO[C@@H]1C[C@H]1C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000935988897 715142392 /nfs/dbraw/zinc/14/23/92/715142392.db2.gz DXQLLJWEUFIECU-DAWVFNFOSA-N -1 1 322.327 1.325 20 0 DDADMM C[C@H](C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937211630 715292962 /nfs/dbraw/zinc/29/29/62/715292962.db2.gz NQOKAVIAFFQKHG-AAEUAGOBSA-N -1 1 317.389 1.506 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956850334 715637177 /nfs/dbraw/zinc/63/71/77/715637177.db2.gz KOKPZQRXYPQHGU-SJCJKPOMSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CCC1 ZINC000955473478 715804707 /nfs/dbraw/zinc/80/47/07/715804707.db2.gz UWILFGMYQCKHCP-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC2(CC2)C1 ZINC000938708862 715969802 /nfs/dbraw/zinc/96/98/02/715969802.db2.gz VEXDMUUIQKYFRG-LBPRGKRZSA-N -1 1 315.373 1.308 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])C1=CCCC1 ZINC000955979421 716047311 /nfs/dbraw/zinc/04/73/11/716047311.db2.gz JITPJHHTBMACNV-ZDUSSCGKSA-N -1 1 315.373 1.618 20 0 DDADMM O=C(c1ccsc1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959862018 716324232 /nfs/dbraw/zinc/32/42/32/716324232.db2.gz MGOOUDBOOBUYAC-NSHDSACASA-N -1 1 321.406 1.356 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)C2(C3CC3)CC2)C1)c1ncccc1[O-] ZINC000940138988 716646792 /nfs/dbraw/zinc/64/67/92/716646792.db2.gz LCZWTFANLNXEPW-GFCCVEGCSA-N -1 1 315.373 1.308 20 0 DDADMM CC[C@@H](F)C(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964555475 717302432 /nfs/dbraw/zinc/30/24/32/717302432.db2.gz FPKQCQXFCVHVDY-VXGBXAGGSA-N -1 1 323.368 1.646 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964848049 717452878 /nfs/dbraw/zinc/45/28/78/717452878.db2.gz ISJKQWGBRZBKEL-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964848041 717453034 /nfs/dbraw/zinc/45/30/34/717453034.db2.gz IOTSCXKQHORKRE-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000943608456 718159528 /nfs/dbraw/zinc/15/95/28/718159528.db2.gz GUSAJGHKCHNPMX-LPWJVIDDSA-N -1 1 317.389 1.600 20 0 DDADMM CCCC(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])CC2 ZINC000944916418 718364426 /nfs/dbraw/zinc/36/44/26/718364426.db2.gz GDHUNAGHGIILPV-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC000945571850 718497907 /nfs/dbraw/zinc/49/79/07/718497907.db2.gz RZENTJDDQVYYJQ-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)C=C2CCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966314110 718511419 /nfs/dbraw/zinc/51/14/19/718511419.db2.gz UZUKGVLVULAAPV-YPMHNXCESA-N -1 1 315.373 1.474 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(C)(C)F ZINC000966324503 718515191 /nfs/dbraw/zinc/51/51/91/718515191.db2.gz AESYRKASZGYWBH-ZJUUUORDSA-N -1 1 309.341 1.112 20 0 DDADMM C[C@@H]1CCCN(C(=O)C2CC2)[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000945698760 718536548 /nfs/dbraw/zinc/53/65/48/718536548.db2.gz SIQJCUZPADWMCI-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)[C@H]1C[C@@H]1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967759212 719007633 /nfs/dbraw/zinc/00/76/33/719007633.db2.gz VSHIMEIHYNIBMH-JQWIXIFHSA-N -1 1 303.362 1.116 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2=CCCC2)C1 ZINC000967897789 719078481 /nfs/dbraw/zinc/07/84/81/719078481.db2.gz AGVCEIGCEMGMHB-UHFFFAOYSA-N -1 1 315.373 1.570 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)CC[N@H+]1CCF ZINC000947925782 719308820 /nfs/dbraw/zinc/30/88/20/719308820.db2.gz RPYDNDGTUQDOLX-UWVGGRQHSA-N -1 1 322.340 1.481 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)CCN1CCF ZINC000947925782 719308823 /nfs/dbraw/zinc/30/88/23/719308823.db2.gz RPYDNDGTUQDOLX-UWVGGRQHSA-N -1 1 322.340 1.481 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1[C@@H]2CCC[C@@H]21 ZINC000949272501 719987643 /nfs/dbraw/zinc/98/76/43/719987643.db2.gz REQNVTZEAVVQSG-NMKXLXIOSA-N -1 1 315.373 1.164 20 0 DDADMM C[C@H](C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000949535040 720145526 /nfs/dbraw/zinc/14/55/26/720145526.db2.gz MNHQTNFMURKWOR-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)C1CC2(CC2)C1)c1ncccc1[O-] ZINC000949537795 720146954 /nfs/dbraw/zinc/14/69/54/720146954.db2.gz BVBPFJPGJJFLHI-GFCCVEGCSA-N -1 1 315.373 1.308 20 0 DDADMM CC1(C)CCC[C@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000970827246 720950084 /nfs/dbraw/zinc/95/00/84/720950084.db2.gz UFGRFJBDDHYVGC-NWDGAFQWSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(c1ncccc1[O-])N1[C@@H]2CCC[C@H]1CN(C(=O)C1CC1)C2 ZINC000952629512 721485160 /nfs/dbraw/zinc/48/51/60/721485160.db2.gz YYVSWORNILJZRY-BETUJISGSA-N -1 1 315.373 1.403 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)C(C)(C)C)CC1 ZINC000953888839 721674758 /nfs/dbraw/zinc/67/47/58/721674758.db2.gz ZMVFFVULIHZZFJ-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM C/C(=C\C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000954124115 721723570 /nfs/dbraw/zinc/72/35/70/721723570.db2.gz LXWAXKYRBHVKIX-DHZHZOJOSA-N -1 1 315.373 1.426 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])c1nc(C)ns1 ZINC001100501019 733511544 /nfs/dbraw/zinc/51/15/44/733511544.db2.gz POCIKXHKNZRRDZ-UHFFFAOYSA-N -1 1 307.379 1.203 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001087141926 733526245 /nfs/dbraw/zinc/52/62/45/733526245.db2.gz ABNYIIMIKVVKKI-WZRBSPASSA-N -1 1 321.425 1.273 20 0 DDADMM CC1(CC(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203210773 738792288 /nfs/dbraw/zinc/79/22/88/738792288.db2.gz IATLQDSWWLISAW-QWRGUYRKSA-N -1 1 319.409 1.218 20 0 DDADMM Cc1ccccc1[C@H]1CCCN1c1nnc(-c2nnn[n-]2)n1C ZINC001121267937 782431693 /nfs/dbraw/zinc/43/16/93/782431693.db2.gz HBTQINYJWYRNEP-GFCCVEGCSA-N -1 1 310.365 1.645 20 0 DDADMM Cc1ccccc1[C@H]1CCCN1c1nnc(-c2nn[n-]n2)n1C ZINC001121267937 782431697 /nfs/dbraw/zinc/43/16/97/782431697.db2.gz HBTQINYJWYRNEP-GFCCVEGCSA-N -1 1 310.365 1.645 20 0 DDADMM CCc1nsc(NC[C@@H](C)N(C)C(=O)c2ncccc2[O-])n1 ZINC001104536887 736204054 /nfs/dbraw/zinc/20/40/54/736204054.db2.gz WXBCTLMZNWBLBS-SECBINFHSA-N -1 1 321.406 1.774 20 0 DDADMM CSCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008070172 737380063 /nfs/dbraw/zinc/38/00/63/737380063.db2.gz OKPOCIBYEDBWKJ-NSHDSACASA-N -1 1 323.418 1.117 20 0 DDADMM Cc1noc(C)c1C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692892000 738965575 /nfs/dbraw/zinc/96/55/75/738965575.db2.gz HXWHBIUGXHCREJ-UHFFFAOYSA-N -1 1 319.745 1.957 20 0 DDADMM CCC(CC)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075683347 739858253 /nfs/dbraw/zinc/85/82/53/739858253.db2.gz TZJMBVOGMDLJQD-NSHDSACASA-N -1 1 307.394 1.848 20 0 DDADMM CCOCCCC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075721842 740115197 /nfs/dbraw/zinc/11/51/97/740115197.db2.gz PYDCSYRUCNQHRX-LBPRGKRZSA-N -1 1 323.393 1.229 20 0 DDADMM O=C(N[C@H]1C[C@H](Nc2ncc(F)cn2)C1)c1ncccc1[O-] ZINC001059196890 740362765 /nfs/dbraw/zinc/36/27/65/740362765.db2.gz MSGZJUIMIMJTAU-MGCOHNPYSA-N -1 1 303.297 1.089 20 0 DDADMM Cc1cc(C)nc(N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001059200814 740369148 /nfs/dbraw/zinc/36/91/48/740369148.db2.gz CMWAZTIQZMVFES-HAQNSBGRSA-N -1 1 313.361 1.567 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1Cc1cscn1 ZINC001088537370 741710899 /nfs/dbraw/zinc/71/08/99/741710899.db2.gz UPJIXKFECSUELH-PWSUYJOCSA-N -1 1 318.402 1.637 20 0 DDADMM CN(Cc1cc(F)c([O-])c(F)c1)C1CCS(=O)(=O)CC1 ZINC000093476765 741926749 /nfs/dbraw/zinc/92/67/49/741926749.db2.gz GXQHVMLYPDOHSR-UHFFFAOYSA-N -1 1 305.346 1.679 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])c1cccnc1OCCO ZINC001142546300 742152244 /nfs/dbraw/zinc/15/22/44/742152244.db2.gz UUJQCWOYZSJSPA-UHFFFAOYSA-N -1 1 310.256 1.689 20 0 DDADMM CN(C(=O)c1nc(Br)sc1Cl)c1nn[n-]n1 ZINC001168357573 742262230 /nfs/dbraw/zinc/26/22/30/742262230.db2.gz AVEHLNPBNLQYFJ-UHFFFAOYSA-N -1 1 323.563 1.349 20 0 DDADMM CCO[C@H](CC)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076243882 742623419 /nfs/dbraw/zinc/62/34/19/742623419.db2.gz CJWWUNKMWOLXSO-WCQYABFASA-N -1 1 323.393 1.227 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002106637 742864616 /nfs/dbraw/zinc/86/46/16/742864616.db2.gz RBIYQKHRYPLIKN-CYBMUJFWSA-N -1 1 317.389 1.816 20 0 DDADMM Cc1cccc(O)c1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001168692610 743043628 /nfs/dbraw/zinc/04/36/28/743043628.db2.gz FMDMBIRPAKMJCK-AWEZNQCLSA-N -1 1 309.329 1.733 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001011644297 743568205 /nfs/dbraw/zinc/56/82/05/743568205.db2.gz JYKNZIASZIENPF-WDEREUQCSA-N -1 1 321.352 1.770 20 0 DDADMM COc1ccc(C(=O)C(=O)Nc2cc(=O)[n-]c(SC)n2)cc1 ZINC001183348297 743828418 /nfs/dbraw/zinc/82/84/18/743828418.db2.gz OOXPDRUDNMEFIZ-UHFFFAOYSA-N -1 1 319.342 1.734 20 0 DDADMM CC(=O)N1CCCC[C@H]1CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001184122334 743970122 /nfs/dbraw/zinc/97/01/22/743970122.db2.gz DLHRZEIYWSYNSL-QMMMGPOBSA-N -1 1 319.287 1.553 20 0 DDADMM O=C(NC1CN(Cc2ncc(C3CC3)o2)C1)c1ncccc1[O-] ZINC001030240067 743973928 /nfs/dbraw/zinc/97/39/28/743973928.db2.gz RIUBCIPZOVHQFF-UHFFFAOYSA-N -1 1 314.345 1.267 20 0 DDADMM CCN(CCN(C)C)S(=O)(=O)c1ccc(C)c(C(=O)[O-])c1 ZINC001184659956 744078947 /nfs/dbraw/zinc/07/89/47/744078947.db2.gz YIODWKYXLNFUFV-UHFFFAOYSA-N -1 1 314.407 1.265 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)C12CCC(O)(CC1)CC2 ZINC001185269194 744194748 /nfs/dbraw/zinc/19/47/48/744194748.db2.gz KWROWPVBSOMLIL-UHFFFAOYSA-N -1 1 304.272 1.847 20 0 DDADMM COc1nccc(F)c1C(=O)Nc1cc(=O)[n-]c(SC)n1 ZINC001185544006 744252559 /nfs/dbraw/zinc/25/25/59/744252559.db2.gz FRZOTDHBQIMEMO-UHFFFAOYSA-N -1 1 310.310 1.699 20 0 DDADMM O=C(NC[C@H]1C(=O)Nc2ccccc21)c1ccc([O-])c(F)c1 ZINC001186242803 744362913 /nfs/dbraw/zinc/36/29/13/744362913.db2.gz PKYYKRZCLDOZIF-LLVKDONJSA-N -1 1 300.289 1.997 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CCN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC001186219311 744366328 /nfs/dbraw/zinc/36/63/28/744366328.db2.gz SUAGYXJIRUJKJK-GFCCVEGCSA-N -1 1 320.364 1.862 20 0 DDADMM CSc1[nH]nc(NC(=O)c2ccc([O-])cc2F)c1C(N)=O ZINC001186318317 744373759 /nfs/dbraw/zinc/37/37/59/744373759.db2.gz HTYNNEPEZLPCDP-UHFFFAOYSA-N -1 1 310.310 1.328 20 0 DDADMM COC(=O)[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ccc([O-])cc1F)C2 ZINC001186327597 744375732 /nfs/dbraw/zinc/37/57/32/744375732.db2.gz RFWNRBYVNGHPFG-AXFHLTTASA-N -1 1 307.321 1.945 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cnn(Cc2ccccc2)c1 ZINC001187243366 744523682 /nfs/dbraw/zinc/52/36/82/744523682.db2.gz IHTVIWPZGWLLBK-UHFFFAOYSA-N -1 1 309.391 1.710 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ncc(C(=O)OC)cc1Cl ZINC001187246576 744525164 /nfs/dbraw/zinc/52/51/64/744525164.db2.gz QKBJVTBBZNYEAQ-UHFFFAOYSA-N -1 1 322.770 1.300 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]Cc1cc2c(cc1C)OCCO2 ZINC001187324087 744540180 /nfs/dbraw/zinc/54/01/80/744540180.db2.gz FEEKIFWAWJEDGL-UHFFFAOYSA-N -1 1 324.358 1.541 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]c1cccnc1Br ZINC001187327889 744540620 /nfs/dbraw/zinc/54/06/20/744540620.db2.gz IFNUOSUGKIFFLS-UHFFFAOYSA-N -1 1 318.152 1.941 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]c1cc(CO)ccc1Cl ZINC001187380115 744552857 /nfs/dbraw/zinc/55/28/57/744552857.db2.gz VLVHPIJTKQPGRV-UHFFFAOYSA-N -1 1 302.739 1.930 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)Cc1ccc2[nH]ccc2n1 ZINC001187413905 744559270 /nfs/dbraw/zinc/55/92/70/744559270.db2.gz KCJTWUDMXPZONY-UHFFFAOYSA-N -1 1 314.261 1.343 20 0 DDADMM CCOC(=O)[C@@H](CCSC)[N-]S(=O)(=O)c1nccs1 ZINC001187910452 744628788 /nfs/dbraw/zinc/62/87/88/744628788.db2.gz AHPPFIFQXHFTNV-MRVPVSSYSA-N -1 1 324.449 1.106 20 0 DDADMM Cc1cccc(C2(C[N-]S(=O)(=O)c3nccs3)CC2)n1 ZINC001187920910 744635110 /nfs/dbraw/zinc/63/51/10/744635110.db2.gz UBQCILSSJASTIO-UHFFFAOYSA-N -1 1 309.416 1.857 20 0 DDADMM COC(=O)CC1CC(C[N-]S(=O)(=O)c2nccs2)C1 ZINC001187923495 744635813 /nfs/dbraw/zinc/63/58/13/744635813.db2.gz SERWAXSJOQOCOK-UHFFFAOYSA-N -1 1 304.393 1.011 20 0 DDADMM O=S(=O)([N-]Cc1ncon1)c1ccc(Cl)nc1Cl ZINC001187926312 744636243 /nfs/dbraw/zinc/63/62/43/744636243.db2.gz DSIMBOLKOSYYKV-UHFFFAOYSA-N -1 1 309.134 1.250 20 0 DDADMM O=C(N[C@]12C[C@H]1CN(C(=O)c1ccco1)C2)c1ccncc1[O-] ZINC001188637149 744743831 /nfs/dbraw/zinc/74/38/31/744743831.db2.gz OPWLOOJBIXIOAP-QFYYESIMSA-N -1 1 313.313 1.025 20 0 DDADMM Cn1cc(-c2cc(CNC(=O)c3ccncc3[O-])ccn2)cn1 ZINC001188637937 744744377 /nfs/dbraw/zinc/74/43/77/744744377.db2.gz JVBLFZLVDWHRCM-UHFFFAOYSA-N -1 1 309.329 1.513 20 0 DDADMM CN(C)c1nc(NC(=O)c2cccc(F)n2)c(N=O)c(=O)[n-]1 ZINC001188705340 744751948 /nfs/dbraw/zinc/75/19/48/744751948.db2.gz ZPTZAYPZBWBLAE-UHFFFAOYSA-N -1 1 306.257 1.433 20 0 DDADMM C[C@@H](C[C@H](C)Nc1cc(F)ncn1)NC(=O)c1ncccc1[O-] ZINC001089271390 744842609 /nfs/dbraw/zinc/84/26/09/744842609.db2.gz JDBGNIFKDDJRFL-UWVGGRQHSA-N -1 1 319.340 1.725 20 0 DDADMM CN1C(=O)NCc2cccc([N-]S(=O)(=O)CCCF)c21 ZINC001189919829 745036417 /nfs/dbraw/zinc/03/64/17/745036417.db2.gz OGCMWVWKDFKCCK-UHFFFAOYSA-N -1 1 301.343 1.447 20 0 DDADMM CO[C@H](C)C1CN(C(=O)c2cnc(-c3ccccn3)[n-]c2=O)C1 ZINC001190627988 745278997 /nfs/dbraw/zinc/27/89/97/745278997.db2.gz DUZNCHXKPOHVJN-SNVBAGLBSA-N -1 1 314.345 1.351 20 0 DDADMM CNC(=O)Cc1ccc([N-]S(=O)(=O)c2ccncc2)cc1 ZINC001190886576 745367584 /nfs/dbraw/zinc/36/75/84/745367584.db2.gz BUQAMPNCYUQBGQ-UHFFFAOYSA-N -1 1 305.359 1.171 20 0 DDADMM O=S(=O)([N-]c1cccc(C2OCCO2)c1)c1ccncc1 ZINC001190893372 745370545 /nfs/dbraw/zinc/37/05/45/745370545.db2.gz GSNGDKMOWIHXKX-UHFFFAOYSA-N -1 1 306.343 1.928 20 0 DDADMM COc1cc(C(=O)N(C(=N)N)c2cc(C)[nH]n2)cc(Cl)c1[O-] ZINC001191157696 745438652 /nfs/dbraw/zinc/43/86/52/745438652.db2.gz AGPMDQAKTQFAIH-UHFFFAOYSA-N -1 1 323.740 1.626 20 0 DDADMM CSc1ncc(C(=O)NCc2cccc3n[nH]cc32)c(=O)[n-]1 ZINC001191437764 745512502 /nfs/dbraw/zinc/51/25/02/745512502.db2.gz PZIOECDIWCYMEP-UHFFFAOYSA-N -1 1 315.358 1.710 20 0 DDADMM O=C([O-])CCc1ccc(S(=O)(=O)Nc2ccnnc2)cc1 ZINC001192107048 745692069 /nfs/dbraw/zinc/69/20/69/745692069.db2.gz WSAMJOBMUZGYMT-UHFFFAOYSA-N -1 1 307.331 1.295 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CCC2(CCC(=O)O2)CC1 ZINC001192665503 745868038 /nfs/dbraw/zinc/86/80/38/745868038.db2.gz XZODXLLAICCYOR-UHFFFAOYSA-N -1 1 311.284 1.982 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc(F)c(CO)c1 ZINC001193051734 745955555 /nfs/dbraw/zinc/95/55/55/745955555.db2.gz DTNPZEOAKFQWNA-UHFFFAOYSA-N -1 1 319.354 1.401 20 0 DDADMM COc1cc(OC)c([N-]S(=O)(=O)C[C@H]2CCCO2)cn1 ZINC001193214364 746016872 /nfs/dbraw/zinc/01/68/72/746016872.db2.gz YXSZGZLTDWUQPP-SECBINFHSA-N -1 1 302.352 1.020 20 0 DDADMM CNC(=O)c1ccc(F)c([N-]S(=O)(=O)C[C@@H]2CCCO2)c1 ZINC001193264577 746036725 /nfs/dbraw/zinc/03/67/25/746036725.db2.gz SWRLWYIYVYIIQO-JTQLQIEISA-N -1 1 316.354 1.106 20 0 DDADMM COC(=O)c1cccc(F)c1[N-]S(=O)(=O)c1ccccc1N ZINC001193928287 746224165 /nfs/dbraw/zinc/22/41/65/746224165.db2.gz DFJBAFHJUXIOMZ-UHFFFAOYSA-N -1 1 324.333 1.995 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cncc(C(F)(F)F)n2)[n-]n1 ZINC001194284531 746340593 /nfs/dbraw/zinc/34/05/93/746340593.db2.gz ZXCYAXLKERXLGW-UHFFFAOYSA-N -1 1 315.211 1.257 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CC1)c1ncccc1C(F)(F)F ZINC001194592542 746416588 /nfs/dbraw/zinc/41/65/88/746416588.db2.gz KRCFDIQXSFHWAG-VIFPVBQESA-N -1 1 310.297 1.150 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1cc(CO)ccc1F ZINC001194712201 746447532 /nfs/dbraw/zinc/44/75/32/746447532.db2.gz WIAQCSADACIMOJ-UHFFFAOYSA-N -1 1 312.322 1.522 20 0 DDADMM COC(=O)c1cncc(NC(=O)c2c[n-]c(C(F)(F)F)n2)n1 ZINC001194770291 746461933 /nfs/dbraw/zinc/46/19/33/746461933.db2.gz FBVIMCABCUHJDN-UHFFFAOYSA-N -1 1 315.211 1.257 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CCC[C@@](F)(CO)CC1 ZINC001195299008 746570430 /nfs/dbraw/zinc/57/04/30/746570430.db2.gz FPLVYDDWGULLRG-ZDUSSCGKSA-N -1 1 302.733 1.767 20 0 DDADMM O=C(N[C@H]1C(=O)NCc2ccccc21)c1cc([O-])cnc1Cl ZINC001195312481 746573995 /nfs/dbraw/zinc/57/39/95/746573995.db2.gz HPBSAJUZHMVZCG-GFCCVEGCSA-N -1 1 317.732 1.542 20 0 DDADMM Cc1ccc([O-])c(C(=O)NC(=N)c2cnc3ccc(F)cn32)n1 ZINC001195336364 746577050 /nfs/dbraw/zinc/57/70/50/746577050.db2.gz XZGLYIBGWFQAQO-UHFFFAOYSA-N -1 1 313.292 1.638 20 0 DDADMM CCC(=O)N1C[C@@H]2CCC[C@]2(NC(=O)c2ncccc2[O-])C1 ZINC001007358315 752109870 /nfs/dbraw/zinc/10/98/70/752109870.db2.gz YEVDUXCTJYEXMV-ZBEGNZNMSA-N -1 1 303.362 1.308 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cc(OC)ccc1C(=O)OC ZINC001195487638 746622907 /nfs/dbraw/zinc/62/29/07/746622907.db2.gz WYKNYHSTKCWLLV-UHFFFAOYSA-N -1 1 312.347 1.925 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cccc(OC)c1C(=O)OC ZINC001195490269 746624637 /nfs/dbraw/zinc/62/46/37/746624637.db2.gz FTDAEGGECZKRIU-UHFFFAOYSA-N -1 1 312.347 1.925 20 0 DDADMM CC(C)(C)OC(=O)Nc1cnc(C(=O)[N-]c2nonc2N)cn1 ZINC001195625416 746660143 /nfs/dbraw/zinc/66/01/43/746660143.db2.gz ABUPYEGZVQFYGJ-UHFFFAOYSA-N -1 1 321.297 1.041 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC([NH2+]Cc2ccns2)C1 ZINC000998673793 752120021 /nfs/dbraw/zinc/12/00/21/752120021.db2.gz XYJQLNUKPNTLLU-UHFFFAOYSA-N -1 1 318.402 1.637 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(Cl)[nH]c(=O)c2)n1 ZINC001196172571 746792214 /nfs/dbraw/zinc/79/22/14/746792214.db2.gz WSMUFMAUMMOOCJ-UHFFFAOYSA-N -1 1 310.697 1.593 20 0 DDADMM CC(C)(C)c1nnc([N-]C(=O)c2cccc(S(C)(=O)=O)n2)o1 ZINC001196405068 746863021 /nfs/dbraw/zinc/86/30/21/746863021.db2.gz LGJYXOQYIYNOKE-UHFFFAOYSA-N -1 1 324.362 1.418 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccnc3OCC3CC3)c1-2 ZINC001197662872 747226111 /nfs/dbraw/zinc/22/61/11/747226111.db2.gz QAPZMYIHUHIEIU-UHFFFAOYSA-N -1 1 324.344 1.471 20 0 DDADMM Cc1cn2cccc([N-]S(=O)(=O)Cc3ccccn3)c2n1 ZINC001197782636 747263039 /nfs/dbraw/zinc/26/30/39/747263039.db2.gz LOOHJMKDKNZJDQ-UHFFFAOYSA-N -1 1 302.359 1.980 20 0 DDADMM NC(=O)c1cc(F)cc([N-]S(=O)(=O)Cc2ccccn2)c1 ZINC001197821194 747288778 /nfs/dbraw/zinc/28/87/78/747288778.db2.gz FZGPCNYJMBYMCV-UHFFFAOYSA-N -1 1 309.322 1.262 20 0 DDADMM CC(C)n1cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)ccc1=O ZINC001199515773 747883321 /nfs/dbraw/zinc/88/33/21/747883321.db2.gz UKFOWYPOCKKXPZ-UHFFFAOYSA-N -1 1 314.305 1.053 20 0 DDADMM CCOC(=O)[C@H](C)NC(=S)Nc1cc(=O)[n-]c(SC)n1 ZINC001199807505 748004735 /nfs/dbraw/zinc/00/47/35/748004735.db2.gz IPHZXUNPHSUBGS-LURJTMIESA-N -1 1 316.408 1.142 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC1)c1c(F)cccc1Br ZINC001201013435 748390282 /nfs/dbraw/zinc/39/02/82/748390282.db2.gz UHARASOOZKHWKU-SSDOTTSWSA-N -1 1 324.171 1.655 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1ccc(F)c(F)c1O ZINC001201914513 748639827 /nfs/dbraw/zinc/63/98/27/748639827.db2.gz JGCCLEAWMXHSAW-UHFFFAOYSA-N -1 1 309.290 1.365 20 0 DDADMM CCC(CC)C(=O)N1CCCC[C@H]1[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004734155 748693322 /nfs/dbraw/zinc/69/33/22/748693322.db2.gz RXLKMSCIGLEYNE-YPMHNXCESA-N -1 1 323.441 1.806 20 0 DDADMM C[C@@H]1CCN(C(=O)CC2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004755674 748738550 /nfs/dbraw/zinc/73/85/50/748738550.db2.gz XAEJCLITMNXKRN-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(CC)C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995486878 748822864 /nfs/dbraw/zinc/82/28/64/748822864.db2.gz BXTIXYODEXLFHC-LLVKDONJSA-N -1 1 309.414 1.273 20 0 DDADMM COC(OC)[C@@H](C)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000923647159 749322976 /nfs/dbraw/zinc/32/29/76/749322976.db2.gz AFLGBUJDUQWOTH-MRVPVSSYSA-N -1 1 302.758 1.852 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001034972055 751086734 /nfs/dbraw/zinc/08/67/34/751086734.db2.gz AXONKLVPNCYPSX-WDEREUQCSA-N -1 1 309.414 1.273 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035041115 751143760 /nfs/dbraw/zinc/14/37/60/751143760.db2.gz ISXJYBVOJRUWJA-IJLUTSLNSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008064356 752528573 /nfs/dbraw/zinc/52/85/73/752528573.db2.gz OJZKRVDVHYMRDY-WZRBSPASSA-N -1 1 317.389 1.410 20 0 DDADMM CC(C)CC(=O)N1C[C@H](CNC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001009983415 753521259 /nfs/dbraw/zinc/52/12/59/753521259.db2.gz YRJFLHFDAZEJFC-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001010129081 753629562 /nfs/dbraw/zinc/62/95/62/753629562.db2.gz VJZLDKHTLGGWOY-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)NC[C@@H]1C[C@@H](C)N(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001010163734 753651186 /nfs/dbraw/zinc/65/11/86/753651186.db2.gz KUBCNIYQNRPFJW-KOLCDFICSA-N -1 1 318.377 1.046 20 0 DDADMM Cc1ncsc1CN1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010730896 754129113 /nfs/dbraw/zinc/12/91/13/754129113.db2.gz ILOAXZFOJLHIEM-NSHDSACASA-N -1 1 318.402 1.556 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036142 754319184 /nfs/dbraw/zinc/31/91/84/754319184.db2.gz DSKKAYSMHDVEOJ-GFCCVEGCSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064381842 754658332 /nfs/dbraw/zinc/65/83/32/754658332.db2.gz OIALFCRLXFXZIH-RYUDHWBXSA-N -1 1 317.389 1.602 20 0 DDADMM CC(=O)N1CCC[C@@H]1[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001013913046 755537634 /nfs/dbraw/zinc/53/76/34/755537634.db2.gz RTSSCVJRKILEAQ-ZIAGYGMSSA-N -1 1 317.389 1.650 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001014896050 756005091 /nfs/dbraw/zinc/00/50/91/756005091.db2.gz VVNVDEXXHNMVNW-GKADRNHJSA-N -1 1 317.389 1.863 20 0 DDADMM CCC(=O)N1CCC[C@@H]([C@H]2CCCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001052995987 758056973 /nfs/dbraw/zinc/05/69/73/758056973.db2.gz DBHJXZVZOYXFPT-QWHCGFSZSA-N -1 1 321.425 1.371 20 0 DDADMM CC(=O)N[C@H]1CC[C@H](C)N(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001017882752 758337541 /nfs/dbraw/zinc/33/75/41/758337541.db2.gz DPJWJGAHRHXJGG-CABZTGNLSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1CC1 ZINC001018099899 758546939 /nfs/dbraw/zinc/54/69/39/758546939.db2.gz NFQIJDOUIVXBDN-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM CSCC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001018102212 758548193 /nfs/dbraw/zinc/54/81/93/758548193.db2.gz WOZGWQUVNVVRTH-QWRGUYRKSA-N -1 1 323.418 1.260 20 0 DDADMM O=c1c(NCc2ncccc2[O-])ccnn1Cc1cccnc1 ZINC001169733607 762640456 /nfs/dbraw/zinc/64/04/56/762640456.db2.gz UYGBEBGBUVZMBU-UHFFFAOYSA-N -1 1 309.329 1.399 20 0 DDADMM CC[C@@H](C)CN1CC2(C1)C[C@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001053774610 758797225 /nfs/dbraw/zinc/79/72/25/758797225.db2.gz GXDFDXTWSNTBRF-PWSUYJOCSA-N -1 1 322.409 1.043 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001085304791 759248297 /nfs/dbraw/zinc/24/82/97/759248297.db2.gz SBEQGYQPZFBORU-KSSYENDESA-N -1 1 319.409 1.073 20 0 DDADMM Cc1ccccc1C(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054349406 759527424 /nfs/dbraw/zinc/52/74/24/759527424.db2.gz RMHWVMVXDFHSQS-WCQYABFASA-N -1 1 315.377 1.069 20 0 DDADMM CN(C(=O)[C@H](C(=O)OC(C)(C)C)C1CCCC1)c1nn[n-]n1 ZINC001131172847 767917755 /nfs/dbraw/zinc/91/77/55/767917755.db2.gz VGGWQJRZRLXZGX-SNVBAGLBSA-N -1 1 309.370 1.311 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2C[C@@H](NCc3cscn3)C2)c1[O-] ZINC001086061756 760877942 /nfs/dbraw/zinc/87/79/42/760877942.db2.gz CXGMHXJXTFHERL-AOOOYVTPSA-N -1 1 321.406 1.178 20 0 DDADMM Cc1ccc(N(C)C[C@H](C)NC(=O)c2ncccc2[O-])nn1 ZINC001108946645 763158705 /nfs/dbraw/zinc/15/87/05/763158705.db2.gz PMDHBRMHSPNNLY-NSHDSACASA-N -1 1 301.350 1.140 20 0 DDADMM Cc1ccnc(N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C)n1 ZINC001050206467 763320556 /nfs/dbraw/zinc/32/05/56/763320556.db2.gz PXUZQYNIFMMLCZ-NEPJUHHUSA-N -1 1 313.361 1.283 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cncc4[nH]ccc43)nc2n1 ZINC001131556037 768186790 /nfs/dbraw/zinc/18/67/90/768186790.db2.gz IZEWSAQUNZIPML-UHFFFAOYSA-N -1 1 323.316 1.109 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(CC=C(Cl)Cl)C1 ZINC001042646570 764309834 /nfs/dbraw/zinc/30/98/34/764309834.db2.gz RLGJOWJLRURYLM-UHFFFAOYSA-N -1 1 316.188 1.862 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1cnc(F)cn1 ZINC001112983843 765083565 /nfs/dbraw/zinc/08/35/65/765083565.db2.gz QUIFDFCTTUWITF-DTWKUNHWSA-N -1 1 305.313 1.335 20 0 DDADMM COc1c(F)cc(F)cc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170216398 766175414 /nfs/dbraw/zinc/17/54/14/766175414.db2.gz KPSDMAUFCRKFEC-UHFFFAOYSA-N -1 1 309.232 1.746 20 0 DDADMM Cc1nc2cccnc2c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170218264 766176518 /nfs/dbraw/zinc/17/65/18/766176518.db2.gz SMDSFXZEBPABBR-UHFFFAOYSA-N -1 1 310.277 1.106 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc(Cl)nc3nccnc32)[n-]1 ZINC001170224258 766197970 /nfs/dbraw/zinc/19/79/70/766197970.db2.gz VWPDUXVTRGUEMV-UHFFFAOYSA-N -1 1 315.684 1.189 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc(C3CC3)nc3c2CCC3)[n-]1 ZINC001170227474 766201697 /nfs/dbraw/zinc/20/16/97/766201697.db2.gz OERBEXCQUYVGBJ-UHFFFAOYSA-N -1 1 309.333 1.958 20 0 DDADMM C[S@@](=N)(=O)c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001170228508 766202629 /nfs/dbraw/zinc/20/26/29/766202629.db2.gz GNMQWJHYCRUFHV-OAQYLSRUSA-N -1 1 304.335 1.838 20 0 DDADMM Cc1ccnc(N[C@@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)n1 ZINC001069065123 767814194 /nfs/dbraw/zinc/81/41/94/767814194.db2.gz HIYMYNCFXVXNNX-VXGBXAGGSA-N -1 1 313.361 1.601 20 0 DDADMM CCC1(NC(=O)c2ncccc2[O-])CCN(C(=O)C2CC2)CC1 ZINC001069087015 767839003 /nfs/dbraw/zinc/83/90/03/767839003.db2.gz GPCRAXHQRLALLD-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CCCCC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648341 768643173 /nfs/dbraw/zinc/64/31/73/768643173.db2.gz GBKFHTCOVJTNRV-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM CC/C(C)=C/C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648384 768643617 /nfs/dbraw/zinc/64/36/17/768643617.db2.gz HOCIMRCGSOHPIR-RSKUSDAESA-N -1 1 317.389 1.864 20 0 DDADMM COC(=O)[C@]1(C)COCCN1Cc1c(F)cc([O-])cc1F ZINC001144567415 772567543 /nfs/dbraw/zinc/56/75/43/772567543.db2.gz JRJUDOUDJHCCHX-AWEZNQCLSA-N -1 1 301.289 1.434 20 0 DDADMM O=C([O-])c1ccsc1CN1CCN(c2cnccn2)CC1 ZINC001144665022 772590237 /nfs/dbraw/zinc/59/02/37/772590237.db2.gz OBJCCNLECYCAOM-UHFFFAOYSA-N -1 1 304.375 1.559 20 0 DDADMM [O-]c1cc(F)c(CN2CCN(c3ncc(O)cn3)CC2)cc1F ZINC001144659256 772592241 /nfs/dbraw/zinc/59/22/41/772592241.db2.gz ANPBWCSLPMMKDO-UHFFFAOYSA-N -1 1 322.315 1.488 20 0 DDADMM CCCCC[C@@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)C(C)C ZINC001147051016 773028710 /nfs/dbraw/zinc/02/87/10/773028710.db2.gz OGXTZLVXOWQPLV-GFCCVEGCSA-N -1 1 311.430 1.569 20 0 DDADMM COC(=O)Cc1ccc(Nc2cc(=O)[n-]c(N(C)C)n2)cc1 ZINC001212617737 773361040 /nfs/dbraw/zinc/36/10/40/773361040.db2.gz WCXLLGCRUKBDCR-UHFFFAOYSA-N -1 1 302.334 1.707 20 0 DDADMM CC(=O)CN(C)C(=O)c1cc([O-])c(Br)c(O)c1 ZINC001148983434 773664616 /nfs/dbraw/zinc/66/46/16/773664616.db2.gz CCMDBIYWSHSRGR-UHFFFAOYSA-N -1 1 302.124 1.521 20 0 DDADMM Cc1cc(C)nc(N[C@H](C)CCNC(=O)c2ncccc2[O-])n1 ZINC001099104178 774833314 /nfs/dbraw/zinc/83/33/14/774833314.db2.gz BEPZEFUFSOWZOD-SNVBAGLBSA-N -1 1 315.377 1.815 20 0 DDADMM O=C(NCCN(c1cc(F)ncn1)C1CC1)c1ncccc1[O-] ZINC001101323409 777068409 /nfs/dbraw/zinc/06/84/09/777068409.db2.gz GPVAACVYPMMBAP-UHFFFAOYSA-N -1 1 317.324 1.115 20 0 DDADMM CSc1nc(Nc2cccc(S(N)(=O)=O)c2)cc(=O)[n-]1 ZINC001174240163 777434620 /nfs/dbraw/zinc/43/46/20/777434620.db2.gz MMIRUIBLBZDTBJ-UHFFFAOYSA-N -1 1 312.376 1.295 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2ccc3ncnn3c2)ccc1N ZINC001174373396 777469703 /nfs/dbraw/zinc/46/97/03/777469703.db2.gz USLJASGFXDOISO-UHFFFAOYSA-N -1 1 303.347 1.421 20 0 DDADMM CCOC(=O)c1nc[n-]c1-c1nc(-c2cc(F)ccn2)no1 ZINC001212768261 777707530 /nfs/dbraw/zinc/70/75/30/777707530.db2.gz WOBZIODHLHRTCP-UHFFFAOYSA-N -1 1 303.253 1.838 20 0 DDADMM Cn1cc2cc([N-]S(=O)(=O)c3ccccc3N)ccc2n1 ZINC001175621603 777848309 /nfs/dbraw/zinc/84/83/09/777848309.db2.gz VTUVNUOBMGHPRO-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM CCC(C)(CC)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102588146 778227227 /nfs/dbraw/zinc/22/72/27/778227227.db2.gz PGQVKVMYIMVVPP-VXGBXAGGSA-N -1 1 323.441 1.521 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ncccc1OCc1ccccc1 ZINC001176835779 778267469 /nfs/dbraw/zinc/26/74/69/778267469.db2.gz BWYVUYVRHDEYLO-UHFFFAOYSA-N -1 1 310.317 1.355 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cccc(OC(F)(F)F)c1F ZINC001176844437 778268692 /nfs/dbraw/zinc/26/86/92/778268692.db2.gz CPIVSCXKXOCWBV-UHFFFAOYSA-N -1 1 305.191 1.419 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C1(c2ccccn2)CC1 ZINC001176799679 778272877 /nfs/dbraw/zinc/27/28/77/778272877.db2.gz SPMCXRGBCSUMAB-UHFFFAOYSA-N -1 1 301.262 1.351 20 0 DDADMM CC[C@@H](C)CC(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102913657 778486233 /nfs/dbraw/zinc/48/62/33/778486233.db2.gz STFDTYZBOVYJHB-JHJVBQTASA-N -1 1 323.441 1.521 20 0 DDADMM Cc1[nH]c(=S)sc1CC(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001177603450 778664287 /nfs/dbraw/zinc/66/42/87/778664287.db2.gz SOPBBGRCGKQUJA-UHFFFAOYSA-N -1 1 322.375 1.293 20 0 DDADMM Cn1cnc(CC(=O)Nc2nc(Br)ccc2[O-])c1 ZINC001177844916 778758538 /nfs/dbraw/zinc/75/85/38/778758538.db2.gz CMEXWJXUMFGRBX-UHFFFAOYSA-N -1 1 311.139 1.464 20 0 DDADMM O=C(Cc1ccc(C2CC2)cn1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001177970236 778793962 /nfs/dbraw/zinc/79/39/62/778793962.db2.gz KGISEVAEFNJNSY-UHFFFAOYSA-N -1 1 310.317 1.512 20 0 DDADMM Cc1n[nH]c(C(=O)NCCCCCCNCc2ccon2)c1[O-] ZINC001178204309 778942934 /nfs/dbraw/zinc/94/29/34/778942934.db2.gz SHOFQDYCONNFGC-UHFFFAOYSA-N -1 1 321.381 1.492 20 0 DDADMM Cc1cccnc1C1(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)CC1 ZINC001178209871 778945193 /nfs/dbraw/zinc/94/51/93/778945193.db2.gz WUGJAXNZFNCKGA-UHFFFAOYSA-N -1 1 310.317 1.432 20 0 DDADMM C[C@@H]1CN(C(=O)C2CCCC2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001103811375 779065991 /nfs/dbraw/zinc/06/59/91/779065991.db2.gz PQDNFBKXVJNUBN-DGCLKSJQSA-N -1 1 321.425 1.275 20 0 DDADMM CC(C)C[C@@H](C)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001409136423 844411108 /nfs/dbraw/zinc/41/11/08/844411108.db2.gz MVGFPDIKAGSACG-GFCCVEGCSA-N -1 1 319.405 1.658 20 0 DDADMM O=S(=O)([N-]C1CC(O)C1)c1cc(Cl)c(Cl)s1 ZINC001257439182 855136230 /nfs/dbraw/zinc/13/62/30/855136230.db2.gz YEDSSMAPRSHRRY-UHFFFAOYSA-N -1 1 302.204 1.857 20 0 DDADMM CN(CCOc1ccc(Cl)cc1)C(=O)c1cnncc1[O-] ZINC001417599024 839785071 /nfs/dbraw/zinc/78/50/71/839785071.db2.gz XXUBHJMSVZBFJW-UHFFFAOYSA-N -1 1 307.737 1.987 20 0 DDADMM CCN(CC[NH2+]Cc1c(C)noc1C)C(=O)c1ncccc1[O-] ZINC001268445878 840208075 /nfs/dbraw/zinc/20/80/75/840208075.db2.gz QRMHEOINITVMNN-UHFFFAOYSA-N -1 1 318.377 1.644 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1c(C)cccc1C ZINC001414232037 844534892 /nfs/dbraw/zinc/53/48/92/844534892.db2.gz XPCKBOCAAJZAJS-UHFFFAOYSA-N -1 1 323.374 1.292 20 0 DDADMM CS(=O)(=O)c1ccsc1[N-]C(=O)c1ncc(Cl)cn1 ZINC001361729361 840439947 /nfs/dbraw/zinc/43/99/47/840439947.db2.gz AOYHKUBCCSBTEF-UHFFFAOYSA-N -1 1 317.779 1.847 20 0 DDADMM O=C(Nc1ccc([O-])nn1)c1cccn(Cc2ccccc2)c1=O ZINC001269739222 841996844 /nfs/dbraw/zinc/99/68/44/841996844.db2.gz BWSLAFHRDWLLPA-UHFFFAOYSA-N -1 1 322.324 1.645 20 0 DDADMM CN1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001269992092 842210545 /nfs/dbraw/zinc/21/05/45/842210545.db2.gz XHRGJKACIAJFGL-AOOOYVTPSA-N -1 1 300.280 1.940 20 0 DDADMM COC(=O)c1coc(C[N-]S(=O)(=O)c2cccs2)n1 ZINC001364012151 842940654 /nfs/dbraw/zinc/94/06/54/842940654.db2.gz JARREUKJOGAWPR-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM CN1CCC2(CCN(C(=O)c3ccc(F)c(=O)[n-]3)CC2)C1=O ZINC001271742068 843830575 /nfs/dbraw/zinc/83/05/75/843830575.db2.gz IYCQOYWTRUSQQX-UHFFFAOYSA-N -1 1 307.325 1.011 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3nccc4ccccc43)c1-2 ZINC001149141559 861471832 /nfs/dbraw/zinc/47/18/32/861471832.db2.gz TULNEEWFKMGNML-UHFFFAOYSA-N -1 1 304.313 1.835 20 0 DDADMM CC/C=C(\C)C(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001272195199 844696443 /nfs/dbraw/zinc/69/64/43/844696443.db2.gz NHDKYWCXMYHNLX-CLFQDTNISA-N -1 1 319.409 1.289 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H](C)c1ccccn1 ZINC001424364270 844698402 /nfs/dbraw/zinc/69/84/02/844698402.db2.gz ZCZQQFPAGXKERV-SECBINFHSA-N -1 1 313.404 1.629 20 0 DDADMM CCOC(=O)C[C@H](OCCCC[P@](=O)([O-])O)C(F)(F)F ZINC001225021143 845782071 /nfs/dbraw/zinc/78/20/71/845782071.db2.gz DVRSFINSNRUYOQ-QMMMGPOBSA-N -1 1 322.216 1.845 20 0 DDADMM C[C@@H]1[C@H](OCCCC[P@](=O)([O-])O)CN1C(=O)OC(C)(C)C ZINC001225019563 845782170 /nfs/dbraw/zinc/78/21/70/845782170.db2.gz YMFSASSPWGZTGQ-GHMZBOCLSA-N -1 1 323.326 1.969 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(C)(C)C1CC1 ZINC001364954761 845918319 /nfs/dbraw/zinc/91/83/19/845918319.db2.gz ANQQSXDHNNRZBV-UHFFFAOYSA-N -1 1 315.395 1.301 20 0 DDADMM Cc1c(F)c(F)ccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001299267508 847375338 /nfs/dbraw/zinc/37/53/38/847375338.db2.gz VNJZTFDWOSEGAF-UHFFFAOYSA-N -1 1 309.272 1.152 20 0 DDADMM Cc1csc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1 ZINC001137774800 848669549 /nfs/dbraw/zinc/66/95/49/848669549.db2.gz KXSKXOBEVNUMGY-UHFFFAOYSA-N -1 1 312.372 1.662 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCC(C)(F)F ZINC001410853899 849865970 /nfs/dbraw/zinc/86/59/70/849865970.db2.gz OZPCFZRIGAZIED-DTWKUNHWSA-N -1 1 317.340 1.025 20 0 DDADMM Cn1nc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c2c1CCCC2 ZINC001411355118 850907802 /nfs/dbraw/zinc/90/78/02/850907802.db2.gz PNAMGYVKWRCRDE-UHFFFAOYSA-N -1 1 310.361 1.206 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H](C)c1ccccc1 ZINC001273716987 851267901 /nfs/dbraw/zinc/26/79/01/851267901.db2.gz YPVPDDWZRFYKJX-GFCCVEGCSA-N -1 1 317.393 1.252 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC[C@@]3(CCC[C@H]3C(N)=O)C2)n1 ZINC001274974816 852723584 /nfs/dbraw/zinc/72/35/84/852723584.db2.gz PABSAMWVZGDSLW-ZBEGNZNMSA-N -1 1 303.362 1.213 20 0 DDADMM CCCC(=O)Nc1ccc(CNC(=O)CCc2nn[n-]n2)cc1 ZINC001411646067 853514304 /nfs/dbraw/zinc/51/43/04/853514304.db2.gz WBOHLPWWUZVAOL-UHFFFAOYSA-N -1 1 316.365 1.187 20 0 DDADMM O=C(NCCS(=O)(=O)CC1CC1)c1cccc([O-])c1F ZINC001411892775 853936843 /nfs/dbraw/zinc/93/68/43/853936843.db2.gz ZQQRMAGXYJAMEV-UHFFFAOYSA-N -1 1 301.339 1.086 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2C1=O)c1ccc(F)c([O-])c1 ZINC001411926897 853984198 /nfs/dbraw/zinc/98/41/98/853984198.db2.gz DBFGEPIOJHHEGL-GFCCVEGCSA-N -1 1 301.273 1.905 20 0 DDADMM NC(=O)[C@@H]1CC12CCN(C(=O)c1ccc(Cl)cc1[O-])CC2 ZINC001275933749 853985415 /nfs/dbraw/zinc/98/54/15/853985415.db2.gz HSTXYAFHQRLVOH-NSHDSACASA-N -1 1 308.765 1.773 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)[C@H]3CCCO3)nc2n1 ZINC001412244736 854370623 /nfs/dbraw/zinc/37/06/23/854370623.db2.gz UYFGHNCWEYMAGI-MWLCHTKSSA-N -1 1 319.365 1.124 20 0 DDADMM CC(C)(C)OC(=O)c1n[nH]cc1NC(=O)c1ccc([O-])cn1 ZINC001412291352 854413563 /nfs/dbraw/zinc/41/35/63/854413563.db2.gz KIJHBHSEJJFWPT-UHFFFAOYSA-N -1 1 304.306 1.718 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@H]1[C@@H]1CCCO1 ZINC001412311041 854432137 /nfs/dbraw/zinc/43/21/37/854432137.db2.gz ZWPVACPOZPXWIY-UTUOFQBUSA-N -1 1 305.378 1.911 20 0 DDADMM CCc1nnc(C)cc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001412370205 854482671 /nfs/dbraw/zinc/48/26/71/854482671.db2.gz RWQPHMCJZBGIRA-NSHDSACASA-N -1 1 321.410 1.085 20 0 DDADMM CC(C)c1cc(C(=O)NCc2nc([O-])cc(=O)[nH]2)cc(=O)[nH]1 ZINC001412378367 854489123 /nfs/dbraw/zinc/48/91/23/854489123.db2.gz XIMYAWZOGXRHAK-UHFFFAOYSA-N -1 1 304.306 1.042 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001412386237 854494167 /nfs/dbraw/zinc/49/41/67/854494167.db2.gz GFJJSFWATMQYPY-RAIGVLPGSA-N -1 1 317.389 1.410 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](CO)Cc2ccco2)c(=O)[n-]1 ZINC001412398092 854505995 /nfs/dbraw/zinc/50/59/95/854505995.db2.gz DKGGPIDCKSEPTJ-VIFPVBQESA-N -1 1 323.374 1.078 20 0 DDADMM CC(C)(c1nnc(C(=O)[N-]c2ccns2)o1)S(C)(=O)=O ZINC001412399590 854507421 /nfs/dbraw/zinc/50/74/21/854507421.db2.gz DYDPJODROMRSIU-UHFFFAOYSA-N -1 1 316.364 1.058 20 0 DDADMM CCO[C@H](CC)C(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001412456761 854571594 /nfs/dbraw/zinc/57/15/94/854571594.db2.gz GFBMJVGTRQQTEH-DGCLKSJQSA-N -1 1 323.393 1.179 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)C1(C2CC2)CC1 ZINC001412492643 854620659 /nfs/dbraw/zinc/62/06/59/854620659.db2.gz OMFHXPSYBIRAPF-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@@H]1OCc2ccccc21 ZINC001412527310 854661123 /nfs/dbraw/zinc/66/11/23/854661123.db2.gz ISDJUSYPUAIRBS-AWEZNQCLSA-N -1 1 315.377 1.846 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccnc(C(F)(F)F)c1 ZINC001412540926 854684586 /nfs/dbraw/zinc/68/45/86/854684586.db2.gz LPSBRXRDAITYNK-UHFFFAOYSA-N -1 1 312.255 1.423 20 0 DDADMM CC[C@H](Sc1ccccc1)C(=O)N[C@H](COC)c1nn[n-]n1 ZINC001412600515 854792049 /nfs/dbraw/zinc/79/20/49/854792049.db2.gz DVBHIXOZXYTCJY-NEPJUHHUSA-N -1 1 321.406 1.574 20 0 DDADMM CCC[C@H](NC(=O)c1sc(NCC)nc1C)c1nn[n-]n1 ZINC001412638289 854860022 /nfs/dbraw/zinc/86/00/22/854860022.db2.gz HCMGCQBCOGMSTQ-QMMMGPOBSA-N -1 1 309.399 1.668 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(C(C)=O)c2)n[n-]1 ZINC001412833788 855525600 /nfs/dbraw/zinc/52/56/00/855525600.db2.gz AUKFENVLSBEUBO-UHFFFAOYSA-N -1 1 316.317 1.114 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(C(C)=O)c2)n1 ZINC001412833788 855525607 /nfs/dbraw/zinc/52/56/07/855525607.db2.gz AUKFENVLSBEUBO-UHFFFAOYSA-N -1 1 316.317 1.114 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCO[C@H](COc2ccccc2)C1 ZINC001412834863 855543356 /nfs/dbraw/zinc/54/33/56/855543356.db2.gz ZGFZEMSDUOGVOW-HNNXBMFYSA-N -1 1 314.341 1.707 20 0 DDADMM O=C(c1cc(F)cc(F)c1O)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC001412890021 855759142 /nfs/dbraw/zinc/75/91/42/855759142.db2.gz XWBBTNKJINHSKK-ZETCQYMHSA-N -1 1 324.287 1.514 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCS(=O)(=O)[C@@H]2CCC[C@H]21 ZINC001412954040 855811246 /nfs/dbraw/zinc/81/12/46/855811246.db2.gz CNXPGARBNPZDDO-ZYHUDNBSSA-N -1 1 313.350 1.323 20 0 DDADMM CC[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)OC(C)(C)C ZINC001413185565 856527527 /nfs/dbraw/zinc/52/75/27/856527527.db2.gz HZUFJFMANLIPEG-NSHDSACASA-N -1 1 321.377 1.910 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H](C)C1CC1)c1cccs1 ZINC001413289269 856611617 /nfs/dbraw/zinc/61/16/17/856611617.db2.gz OCTGLHNMLQFARB-JOYOIKCWSA-N -1 1 317.432 1.928 20 0 DDADMM CCOC[C@@H]([N-]S(=O)(=O)c1cc(OC)ns1)C(C)C ZINC001413364160 856715884 /nfs/dbraw/zinc/71/58/84/856715884.db2.gz YVIGZDWQXQEMDC-SECBINFHSA-N -1 1 308.425 1.491 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1csc(C)n1)c1noc(C)n1 ZINC001413365062 856716041 /nfs/dbraw/zinc/71/60/41/856716041.db2.gz DCUFYOBZNIJMSC-JTQLQIEISA-N -1 1 316.408 1.714 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)C2CCCCC2)[n-]n1 ZINC001413389998 856744214 /nfs/dbraw/zinc/74/42/14/856744214.db2.gz PLFAUOHQBSQRRP-VIFPVBQESA-N -1 1 315.395 1.443 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)C2CCCCC2)n[n-]1 ZINC001413389998 856744219 /nfs/dbraw/zinc/74/42/19/856744219.db2.gz PLFAUOHQBSQRRP-VIFPVBQESA-N -1 1 315.395 1.443 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc(Br)o1 ZINC001413504470 856901275 /nfs/dbraw/zinc/90/12/75/856901275.db2.gz AKAQUERSHOVBAQ-UHFFFAOYSA-N -1 1 312.127 1.364 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1SCCc2ccccc21 ZINC001413506087 856903356 /nfs/dbraw/zinc/90/33/56/856903356.db2.gz FZSMHXANRFSCOB-GFCCVEGCSA-N -1 1 315.402 1.726 20 0 DDADMM C[C@H]1CS(=O)(=O)CCCN1C(=O)c1ccc(F)c([O-])c1 ZINC001413634053 857265492 /nfs/dbraw/zinc/26/54/92/857265492.db2.gz ZJYTWRKLLXBPED-VIFPVBQESA-N -1 1 301.339 1.181 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCSC(C)(C)C1 ZINC001413667413 857307355 /nfs/dbraw/zinc/30/73/55/857307355.db2.gz DOQYDZCKJABVJR-ZETCQYMHSA-N -1 1 313.379 1.300 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N(Cc1ccoc1)C1CC1 ZINC001413672653 857314453 /nfs/dbraw/zinc/31/44/53/857314453.db2.gz PWVNSLRNYRGSLI-UHFFFAOYSA-N -1 1 315.329 1.301 20 0 DDADMM CCN1CC[C@@H]1C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001413709907 857382450 /nfs/dbraw/zinc/38/24/50/857382450.db2.gz NFTFERRWMGHNCB-LLVKDONJSA-N -1 1 320.393 1.171 20 0 DDADMM CSc1nc(NC(=O)c2ccc3nncn3c2)cc(=O)[n-]1 ZINC001151661711 862909629 /nfs/dbraw/zinc/90/96/29/862909629.db2.gz AAYMKVJDZOVTCI-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM CSc1nc(NC(=O)c2nnc3c(F)cccn23)cc(=O)[n-]1 ZINC001156326452 862939381 /nfs/dbraw/zinc/93/93/81/862939381.db2.gz IBFNYJHGSWZGKZ-UHFFFAOYSA-N -1 1 320.309 1.338 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@H](n2ccnc2)C1 ZINC001123645271 859341279 /nfs/dbraw/zinc/34/12/79/859341279.db2.gz OUBSUYOJRSFSCO-JTQLQIEISA-N -1 1 319.390 1.453 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CCC[C@H]2OCC[C@H]21 ZINC001123665917 859350778 /nfs/dbraw/zinc/35/07/78/859350778.db2.gz WNDSMRAERSCFER-IVZWLZJFSA-N -1 1 309.391 1.467 20 0 DDADMM Cc1cccc([C@@H](O)CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c1 ZINC001123760110 859401516 /nfs/dbraw/zinc/40/15/16/859401516.db2.gz JRXHXUWQYRRKON-NSHDSACASA-N -1 1 319.386 1.551 20 0 DDADMM O=C(c1ccc2cnccc2c1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123910486 859466975 /nfs/dbraw/zinc/46/69/75/859466975.db2.gz PNRYMNCTRLXQDT-LBPRGKRZSA-N -1 1 322.372 1.843 20 0 DDADMM O=C(c1ccccn1)[C@H](OCC[P@@](=O)([O-])O)c1ccccn1 ZINC001224603627 881466794 /nfs/dbraw/zinc/46/67/94/881466794.db2.gz ARKWIMHRBDNRHG-CQSZACIVSA-N -1 1 322.257 1.595 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H]2CCO[C@]3(CCOC3)C2)c1 ZINC001137888625 859988797 /nfs/dbraw/zinc/98/87/97/859988797.db2.gz BTTRJODPGGUEIB-SUMWQHHRSA-N -1 1 319.357 1.581 20 0 DDADMM CCOC(=O)C1CN(Cc2cn(CC(=O)[O-])c3ccccc23)C1 ZINC001138194212 860040958 /nfs/dbraw/zinc/04/09/58/860040958.db2.gz FLSSTQULVPMMBT-UHFFFAOYSA-N -1 1 316.357 1.721 20 0 DDADMM COc1cccc(CN2CCC[C@H](OC)C2)c1OCC(=O)[O-] ZINC001139266455 860340919 /nfs/dbraw/zinc/34/09/19/860340919.db2.gz MQBQJRABUSGVIQ-ZDUSSCGKSA-N -1 1 309.362 1.769 20 0 DDADMM COc1cccc(CN2CC3(C2)CCOCC3)c1OCC(=O)[O-] ZINC001139268071 860341906 /nfs/dbraw/zinc/34/19/06/860341906.db2.gz NPXZIBKJRIALAZ-UHFFFAOYSA-N -1 1 321.373 1.771 20 0 DDADMM CN(C)C(=O)N[C@@H]1CCCN(Cc2cc(F)c([O-])c(F)c2)C1 ZINC001140991949 860753532 /nfs/dbraw/zinc/75/35/32/860753532.db2.gz HERZKJXXDAXPSP-LLVKDONJSA-N -1 1 313.348 1.906 20 0 DDADMM Cc1nc(CN[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])co1 ZINC001381363735 881588060 /nfs/dbraw/zinc/58/80/60/881588060.db2.gz IPVTVDBXPDIKTM-VHSXEESVSA-N -1 1 304.350 1.380 20 0 DDADMM O=C(Cn1ccccc1=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001141921290 860969533 /nfs/dbraw/zinc/96/95/33/860969533.db2.gz CMGPZMAAXMFRKJ-UHFFFAOYSA-N -1 1 310.313 1.378 20 0 DDADMM NC(=O)C1(NC(=O)c2ccc(C(F)(F)F)c([O-])c2)CCCC1 ZINC001362162978 883185827 /nfs/dbraw/zinc/18/58/27/883185827.db2.gz ZICNMGYJCNBOCM-UHFFFAOYSA-N -1 1 316.279 1.939 20 0 DDADMM CCN(C(=O)c1cc(=O)c2cccc(O)c2[n-]1)C1CN(C)C1 ZINC001152679200 863488422 /nfs/dbraw/zinc/48/84/22/863488422.db2.gz KYFYCZWVXSEJPZ-UHFFFAOYSA-N -1 1 301.346 1.422 20 0 DDADMM COC[C@@H](NC(=O)c1cc(=O)c2cccc(O)c2[n-]1)C1CC1 ZINC001152681003 863489772 /nfs/dbraw/zinc/48/97/72/863489772.db2.gz AWGCEPVLYDYSDI-GFCCVEGCSA-N -1 1 302.330 1.801 20 0 DDADMM O=C(NCCc1nncs1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681991 863492867 /nfs/dbraw/zinc/49/28/67/863492867.db2.gz UWDJZIITBYXIQZ-UHFFFAOYSA-N -1 1 316.342 1.470 20 0 DDADMM NC(=O)c1nccnc1NC(=O)c1c([O-])ccc2ccccc21 ZINC001153499057 863916192 /nfs/dbraw/zinc/91/61/92/863916192.db2.gz MUUMAZYYCNFDFV-UHFFFAOYSA-N -1 1 308.297 1.687 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001153810968 864124815 /nfs/dbraw/zinc/12/48/15/864124815.db2.gz FUMFWNSZDZDAHD-XYOKQWHBSA-N -1 1 300.366 1.683 20 0 DDADMM O=C(NC[C@@H](CO)c1ccccc1)c1c[n-]c2cccnc2c1=O ZINC001153863825 864176927 /nfs/dbraw/zinc/17/69/27/864176927.db2.gz VUTDASNLSXDRQB-ZDUSSCGKSA-N -1 1 323.352 1.429 20 0 DDADMM COc1ccc2c(c1)[n-]c(C(=O)NC(=N)c1ncc[nH]1)cc2=O ZINC001154119567 864424209 /nfs/dbraw/zinc/42/42/09/864424209.db2.gz POYFUSNNUIUTEH-UHFFFAOYSA-N -1 1 311.301 1.015 20 0 DDADMM O=C(C=Cc1ccco1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001330906072 864693264 /nfs/dbraw/zinc/69/32/64/864693264.db2.gz AXBQYGPITDLICA-ARJAWSKDSA-N -1 1 301.302 1.178 20 0 DDADMM Cc1cc2c(c(N[C@H]3CCc4ccccc4[C@H]3O)n1)C(=O)[N-]C2=O ZINC001158687544 864816482 /nfs/dbraw/zinc/81/64/82/864816482.db2.gz YNKDVTIBTWBHGE-DZGCQCFKSA-N -1 1 323.352 1.734 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ncoc3C3CC3)nc2n1 ZINC001331209355 864942943 /nfs/dbraw/zinc/94/29/43/864942943.db2.gz GWDWSVFAIVGBBI-UHFFFAOYSA-N -1 1 314.305 1.098 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@@H]1CC=CCC1 ZINC001331253333 864967950 /nfs/dbraw/zinc/96/79/50/864967950.db2.gz ZRBUHUQIRCYVNY-LLVKDONJSA-N -1 1 308.765 1.887 20 0 DDADMM Cc1cccc2ncc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)nc12 ZINC001159185430 865154733 /nfs/dbraw/zinc/15/47/33/865154733.db2.gz IIKMFHOZHYOEDY-UHFFFAOYSA-N -1 1 309.289 1.711 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2cc(N3CCCCC3)ncn2)[n-]1 ZINC001159199072 865162550 /nfs/dbraw/zinc/16/25/50/865162550.db2.gz BVYIRZPJCXECKF-UHFFFAOYSA-N -1 1 312.337 1.582 20 0 DDADMM CC(C)COc1nccnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159199652 865164223 /nfs/dbraw/zinc/16/42/23/865164223.db2.gz POGSKKNDHUULTE-UHFFFAOYSA-N -1 1 301.310 1.627 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)NC[C@H]1C=CCC1 ZINC001331796440 865335859 /nfs/dbraw/zinc/33/58/59/865335859.db2.gz QMRSYBVTTHTZDC-JTQLQIEISA-N -1 1 308.765 1.744 20 0 DDADMM CC(=O)CCc1ccc(O[C@@H](C)C(=O)N(C)c2nn[n-]n2)cc1 ZINC001361554521 881882795 /nfs/dbraw/zinc/88/27/95/881882795.db2.gz IPGTUVDVMHSETL-NSHDSACASA-N -1 1 317.349 1.152 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)[C@](C)(OC)C2CC2)sn1 ZINC001475391825 865615061 /nfs/dbraw/zinc/61/50/61/865615061.db2.gz SQQIXWGRSIRTGA-UFBFGSQYSA-N -1 1 320.436 1.634 20 0 DDADMM O=C([O-])/C=C\c1ccc(C(=O)NCCCCN2CCOCC2)o1 ZINC001332177674 865642343 /nfs/dbraw/zinc/64/23/43/865642343.db2.gz FSATXUQWPGRPNF-XQRVVYSFSA-N -1 1 322.361 1.220 20 0 DDADMM NCc1nccnc1[N-]C(F)(F)c1cnc(Cl)cc1N ZINC001160245826 865745690 /nfs/dbraw/zinc/74/56/90/865745690.db2.gz LQQMSILWRJNTCB-UHFFFAOYSA-N -1 1 300.700 1.727 20 0 DDADMM Cc1csc(CCC[N-]S(=O)(=O)c2ccns2)n1 ZINC001332332153 865767865 /nfs/dbraw/zinc/76/78/65/865767865.db2.gz NRTTWTLWTCYGFX-UHFFFAOYSA-N -1 1 303.434 1.819 20 0 DDADMM Cc1nc2cc(F)ccc2c(Nc2c(O)[nH]c(=O)[n-]c2=S)n1 ZINC001160849849 866150010 /nfs/dbraw/zinc/15/00/10/866150010.db2.gz UQITXSHVFAASLM-SECBINFHSA-N -1 1 319.321 1.025 20 0 DDADMM CC1(C)C[C@@H]1CNC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001332846405 866185023 /nfs/dbraw/zinc/18/50/23/866185023.db2.gz CKDOXAYOQMILTN-SNVBAGLBSA-N -1 1 310.781 1.824 20 0 DDADMM Cn1nnnc1C1CCN(Cc2ccc(/C=C\C(=O)[O-])o2)CC1 ZINC001333122713 866441274 /nfs/dbraw/zinc/44/12/74/866441274.db2.gz SUNSXKFXGIZWEM-PLNGDYQASA-N -1 1 317.349 1.281 20 0 DDADMM CCOC(=O)c1noc([N-]C(=O)c2coc(OCC)n2)c1C ZINC001361688188 882161098 /nfs/dbraw/zinc/16/10/98/882161098.db2.gz XRBSWLZEMCNIKO-UHFFFAOYSA-N -1 1 309.278 1.799 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H]1c1cc(C)on1 ZINC001361694815 882175921 /nfs/dbraw/zinc/17/59/21/882175921.db2.gz GGSBVVIAPZIDCW-SECBINFHSA-N -1 1 320.305 1.170 20 0 DDADMM COCCOCc1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001337324800 869437041 /nfs/dbraw/zinc/43/70/41/869437041.db2.gz PPTMNRMKRSHXDS-UHFFFAOYSA-N -1 1 303.318 1.598 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2CCCN(C(C)C)C2)sn1 ZINC001363849688 887390945 /nfs/dbraw/zinc/39/09/45/887390945.db2.gz FULHMKOVYYQINJ-JTQLQIEISA-N -1 1 319.452 1.303 20 0 DDADMM CCCN(Cc1ccccc1)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001338561141 870045008 /nfs/dbraw/zinc/04/50/08/870045008.db2.gz ODXDYXHYJPPDPS-UHFFFAOYSA-N -1 1 314.349 1.575 20 0 DDADMM CC(C)=C(C)CC(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001317026455 870134979 /nfs/dbraw/zinc/13/49/79/870134979.db2.gz MHEJTFLIBQKLQV-LBPRGKRZSA-N -1 1 307.398 1.241 20 0 DDADMM COC(=O)C1=CC[C@H](NC(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001166729962 870200400 /nfs/dbraw/zinc/20/04/00/870200400.db2.gz AUDYMHFUFLXSSN-LBPRGKRZSA-N -1 1 303.314 1.505 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CC[C@@H](c2ccccc2)C1 ZINC001339057916 870324236 /nfs/dbraw/zinc/32/42/36/870324236.db2.gz LCCLHDJTUJUYPV-GFCCVEGCSA-N -1 1 310.361 1.905 20 0 DDADMM COC(=O)CC1(CNC(=O)C(=O)c2ccc([O-])cc2)CCCC1 ZINC001339217452 870407898 /nfs/dbraw/zinc/40/78/98/870407898.db2.gz GKDIFTDJSRJREG-UHFFFAOYSA-N -1 1 319.357 1.815 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1cc(F)cc(F)c1 ZINC001339260561 870425867 /nfs/dbraw/zinc/42/58/67/870425867.db2.gz OLWYQEIQSJCZSX-UHFFFAOYSA-N -1 1 320.307 1.393 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1cc(F)cc(F)c1 ZINC001339260561 870425882 /nfs/dbraw/zinc/42/58/82/870425882.db2.gz OLWYQEIQSJCZSX-UHFFFAOYSA-N -1 1 320.307 1.393 20 0 DDADMM C=C/C(C)=C/CC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001299015315 870837770 /nfs/dbraw/zinc/83/77/70/870837770.db2.gz UAUJCDUPYRAGIB-UWUHSAQLSA-N -1 1 315.373 1.640 20 0 DDADMM CSc1nc(CNC(=O)C=Cc2ncccc2C)cc(=O)[n-]1 ZINC001299998425 870951751 /nfs/dbraw/zinc/95/17/51/870951751.db2.gz LNMMLHGEBALZFZ-AATRIKPKSA-N -1 1 316.386 1.937 20 0 DDADMM CNc1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)nc1 ZINC001301653365 871017118 /nfs/dbraw/zinc/01/71/18/871017118.db2.gz CRXCXQOLYPAADC-CYBMUJFWSA-N -1 1 309.333 1.156 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCC1CCCCCCC1 ZINC001361839066 882472928 /nfs/dbraw/zinc/47/29/28/882472928.db2.gz KXZMYXDYLPRDJX-UHFFFAOYSA-N -1 1 319.405 1.994 20 0 DDADMM O=C(c1cccc2nccnc21)N1CCC(c2nn[n-]n2)CC1 ZINC001302753151 871081748 /nfs/dbraw/zinc/08/17/48/871081748.db2.gz MVHOTGFMTSRJIM-UHFFFAOYSA-N -1 1 309.333 1.163 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCc2cc(C(C)(C)C)ccc21 ZINC001341253782 871576700 /nfs/dbraw/zinc/57/67/00/871576700.db2.gz FHVBICUJQPQJNB-UHFFFAOYSA-N -1 1 324.392 1.987 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCc2cc(C(C)(C)C)ccc21 ZINC001341253782 871576721 /nfs/dbraw/zinc/57/67/21/871576721.db2.gz FHVBICUJQPQJNB-UHFFFAOYSA-N -1 1 324.392 1.987 20 0 DDADMM O=C(Cc1ccccc1N1CCCC1=O)Nc1c[n-][nH]c1=O ZINC001413844274 871823919 /nfs/dbraw/zinc/82/39/19/871823919.db2.gz IOHDYBDRRBTJEZ-UHFFFAOYSA-N -1 1 300.318 1.423 20 0 DDADMM O=C(COC(=O)c1ccc2nccn2c1)c1ccc([O-])cc1O ZINC001318404708 872006281 /nfs/dbraw/zinc/00/62/81/872006281.db2.gz WMQSWGUPJKYPKQ-UHFFFAOYSA-N -1 1 312.281 1.785 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](C)Cn2ccnc2)c(=O)[n-]1 ZINC001361916183 882633248 /nfs/dbraw/zinc/63/32/48/882633248.db2.gz ZIEAVQFFMJINFQ-SECBINFHSA-N -1 1 307.379 1.167 20 0 DDADMM CCCN(C)c1nnc(Cc2nnn[n-]2)n1Cc1cccs1 ZINC001343568285 872674293 /nfs/dbraw/zinc/67/42/93/872674293.db2.gz ZISGSTVVWJNYHT-UHFFFAOYSA-N -1 1 318.410 1.338 20 0 DDADMM CCCN(C)c1nnc(Cc2nn[n-]n2)n1Cc1cccs1 ZINC001343568285 872674297 /nfs/dbraw/zinc/67/42/97/872674297.db2.gz ZISGSTVVWJNYHT-UHFFFAOYSA-N -1 1 318.410 1.338 20 0 DDADMM CC(C)Cn1c(-c2nnn[n-]2)nnc1N(C)C[C@H]1CC=CCC1 ZINC001343694405 872721067 /nfs/dbraw/zinc/72/10/67/872721067.db2.gz LFKHNAXVYQOKJI-LBPRGKRZSA-N -1 1 316.413 1.907 20 0 DDADMM CC(C)Cn1c(-c2nn[n-]n2)nnc1N(C)C[C@H]1CC=CCC1 ZINC001343694405 872721073 /nfs/dbraw/zinc/72/10/73/872721073.db2.gz LFKHNAXVYQOKJI-LBPRGKRZSA-N -1 1 316.413 1.907 20 0 DDADMM Cc1nc([C@H](C)[N-]S(=O)(=O)c2cccnc2Cl)no1 ZINC001319651108 872736417 /nfs/dbraw/zinc/73/64/17/872736417.db2.gz HLEIAQCJGYZFDX-LURJTMIESA-N -1 1 302.743 1.466 20 0 DDADMM CC[C@@H](C)CC(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001479686875 872785902 /nfs/dbraw/zinc/78/59/02/872785902.db2.gz VFVNSAVEKBEBOJ-OLZOCXBDSA-N -1 1 323.441 1.807 20 0 DDADMM CCc1c(C(=O)OCc2nn[n-]n2)cnn1-c1ccc(C)cc1 ZINC001345162441 873281627 /nfs/dbraw/zinc/28/16/27/873281627.db2.gz JQBGXPZODATBKD-UHFFFAOYSA-N -1 1 312.333 1.613 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cncc(Cl)n2)[n-]1 ZINC001361957362 882709017 /nfs/dbraw/zinc/70/90/17/882709017.db2.gz IFVPGNVUYVDEGG-UHFFFAOYSA-N -1 1 322.708 1.700 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N(C)CCC1CC1 ZINC001347000523 873968479 /nfs/dbraw/zinc/96/84/79/873968479.db2.gz LQPFJYQTEWLKLA-UHFFFAOYSA-N -1 1 320.401 1.121 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N(C)CCC1CC1 ZINC001347000523 873968488 /nfs/dbraw/zinc/96/84/88/873968488.db2.gz LQPFJYQTEWLKLA-UHFFFAOYSA-N -1 1 320.401 1.121 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)cnc1F ZINC001347661913 874235101 /nfs/dbraw/zinc/23/51/01/874235101.db2.gz SRBBDEUSRYPMMT-SNVBAGLBSA-N -1 1 304.329 1.137 20 0 DDADMM Cc1nnc(CN(C)C(=O)c2c(C)[nH]c(=O)[n-]c2=S)s1 ZINC001347840792 874289154 /nfs/dbraw/zinc/28/91/54/874289154.db2.gz FAPWKQZGSCFIMF-UHFFFAOYSA-N -1 1 311.392 1.211 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCN(C[C@H]2CCCO2)CC1 ZINC001362015500 882830158 /nfs/dbraw/zinc/83/01/58/882830158.db2.gz LMKSNUVMSYKWHH-CYBMUJFWSA-N -1 1 308.353 1.468 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC1Cc2ccccc2C1 ZINC001348862011 874837133 /nfs/dbraw/zinc/83/71/33/874837133.db2.gz ZKDWZUHGCQTJEL-UHFFFAOYSA-N -1 1 315.398 1.924 20 0 DDADMM Cn1cc(CCC(=O)N2CC[C@@H](c3nn[n-]n3)C2)c2ccccc21 ZINC001349002051 874918851 /nfs/dbraw/zinc/91/88/51/874918851.db2.gz JHROFEFFBMZZBY-CYBMUJFWSA-N -1 1 324.388 1.640 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](C)C(=O)OC(C)C)c(=O)[n-]1 ZINC001362042869 882902601 /nfs/dbraw/zinc/90/26/01/882902601.db2.gz KTESKTOZYGRSDP-QMMMGPOBSA-N -1 1 313.379 1.222 20 0 DDADMM CC[C@@H](C)CCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210294561 875316876 /nfs/dbraw/zinc/31/68/76/875316876.db2.gz IGSWYXDCQRTVLK-NEPJUHHUSA-N -1 1 309.414 1.417 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(CNC(C)=O)c1)c1nn[n-]n1 ZINC001362051337 882920253 /nfs/dbraw/zinc/92/02/53/882920253.db2.gz KQOAPCAOBNFXEV-ZDUSSCGKSA-N -1 1 316.365 1.107 20 0 DDADMM CCC[C@H](CC)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210377416 875355628 /nfs/dbraw/zinc/35/56/28/875355628.db2.gz GIQZQEXYPBKJDC-NWDGAFQWSA-N -1 1 309.414 1.417 20 0 DDADMM CCC[C@@H](C)CC(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001378893877 875862989 /nfs/dbraw/zinc/86/29/89/875862989.db2.gz GHWPLMWPGXUBEE-GFCCVEGCSA-N -1 1 323.441 1.759 20 0 DDADMM Cc1cc(Nc2cc(=O)[n-]c(N(C)C)n2)cc2c1OCC(=O)N2 ZINC001213282119 875873463 /nfs/dbraw/zinc/87/34/63/875873463.db2.gz GSPIYUUDEPIPRL-UHFFFAOYSA-N -1 1 315.333 1.631 20 0 DDADMM CCCCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001378932945 875936163 /nfs/dbraw/zinc/93/61/63/875936163.db2.gz ZGMUCCPZESSKLK-VXGBXAGGSA-N -1 1 309.414 1.417 20 0 DDADMM Cc1[nH][nH]c(=O)c1CCNC(=O)c1ncc2ccccc2c1[O-] ZINC001362078223 882979490 /nfs/dbraw/zinc/97/94/90/882979490.db2.gz MAVBJMOTYADLRM-LLVKDONJSA-N -1 1 312.329 1.182 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CCC=CO1 ZINC001362084246 882991472 /nfs/dbraw/zinc/99/14/72/882991472.db2.gz RSAMMJSUXWVYQD-LBPRGKRZSA-N -1 1 302.334 1.361 20 0 DDADMM C[C@@H](O)CC(=O)Oc1cccc([O-])c1I ZINC001227383065 882992519 /nfs/dbraw/zinc/99/25/19/882992519.db2.gz YWERFQZFZFPOIN-ZCFIWIBFSA-N -1 1 322.098 1.673 20 0 DDADMM Nc1nc2nc(CN[C@H](c3ccccc3)C3CC3)cc(=O)n2[n-]1 ZINC001351139619 876075563 /nfs/dbraw/zinc/07/55/63/876075563.db2.gz FTZZRLPCJRYHPE-CQSZACIVSA-N -1 1 310.361 1.241 20 0 DDADMM O=S(=O)([N-]C1(c2ncon2)CC1)c1cc2ccccc2o1 ZINC001213851122 876078209 /nfs/dbraw/zinc/07/82/09/876078209.db2.gz AYFVLCOMRYAXMC-UHFFFAOYSA-N -1 1 305.315 1.783 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)c2csnc2OC)CCC1 ZINC001364821685 889484465 /nfs/dbraw/zinc/48/44/65/889484465.db2.gz JWJLHJKNZGAYEF-UHFFFAOYSA-N -1 1 320.436 1.637 20 0 DDADMM CC(C)[C@@H](C)C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001381959428 883059270 /nfs/dbraw/zinc/05/92/70/883059270.db2.gz GUGZCDGXTNLUBD-VXGBXAGGSA-N -1 1 307.394 1.656 20 0 DDADMM Cc1c(C(=O)N2CC[C@H](c3nn[n-]n3)C2)cnc2ccccc12 ZINC001352586778 876816972 /nfs/dbraw/zinc/81/69/72/876816972.db2.gz VBSIACJVCJFNGO-NSHDSACASA-N -1 1 308.345 1.686 20 0 DDADMM COc1ncc(Nc2ccc(C)cn2)cc1[N-]S(C)(=O)=O ZINC001216150927 876878770 /nfs/dbraw/zinc/87/87/70/876878770.db2.gz AGCIPYQTKGKGOA-UHFFFAOYSA-N -1 1 308.363 1.909 20 0 DDADMM COc1ncc(Nc2ccc(CO)nc2)cc1[N-]S(C)(=O)=O ZINC001216152902 876879948 /nfs/dbraw/zinc/87/99/48/876879948.db2.gz GWUXUPJRKJLXGW-UHFFFAOYSA-N -1 1 324.362 1.093 20 0 DDADMM COc1ncc(Nc2cnc(C)c(C)n2)cc1[N-]S(C)(=O)=O ZINC001216152503 876881262 /nfs/dbraw/zinc/88/12/62/876881262.db2.gz UPWCXIYBARCEFC-UHFFFAOYSA-N -1 1 323.378 1.612 20 0 DDADMM O=C(/C=C/C1CC1)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001353089327 877111628 /nfs/dbraw/zinc/11/16/28/877111628.db2.gz WTLVBMVMRVXEIT-OQHXTRMZSA-N -1 1 315.373 1.378 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CC2(CCC2)C1 ZINC001362123695 883101080 /nfs/dbraw/zinc/10/10/80/883101080.db2.gz HEJCYEPIADVSMA-UHFFFAOYSA-N -1 1 324.384 1.695 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2cncc(N)c2)n1 ZINC001218528913 877543553 /nfs/dbraw/zinc/54/35/53/877543553.db2.gz VQKXKLFHXBCZKO-UHFFFAOYSA-N -1 1 303.322 1.135 20 0 DDADMM NC(=O)[C@H]1CC12CCN(C(=O)c1cc([O-])cc(F)c1F)CC2 ZINC001276827408 880251522 /nfs/dbraw/zinc/25/15/22/880251522.db2.gz KMVCGPMEWXIQAU-SNVBAGLBSA-N -1 1 310.300 1.398 20 0 DDADMM NC(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1cc([O-])cc(F)c1F ZINC001276828602 880359132 /nfs/dbraw/zinc/35/91/32/880359132.db2.gz VOZCDDNSVQIGDM-WBKUBZFFSA-N -1 1 310.300 1.300 20 0 DDADMM Cc1cnc(CNC2(CNC(=O)c3ncccc3[O-])CCC2)o1 ZINC001380861759 880551385 /nfs/dbraw/zinc/55/13/85/880551385.db2.gz RPINTVVJXUVFGE-UHFFFAOYSA-N -1 1 316.361 1.526 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@]2(CCC[C@H]2OCc2nn[n-]n2)C1 ZINC001223029960 880791015 /nfs/dbraw/zinc/79/10/15/880791015.db2.gz RGBCZOPFVWGDIF-IAQYHMDHSA-N -1 1 323.397 1.896 20 0 DDADMM CNC(=O)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1Cl ZINC001362139728 883136031 /nfs/dbraw/zinc/13/60/31/883136031.db2.gz XYABVSNOCVAHKV-UHFFFAOYSA-N -1 1 322.756 1.174 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H](C)c2ccc(C(=O)OC)cc2)n1 ZINC001228308346 883436760 /nfs/dbraw/zinc/43/67/60/883436760.db2.gz DDFSWPUIWDLHHE-QMMMGPOBSA-N -1 1 305.290 1.518 20 0 DDADMM COC(=O)c1nc(O[C@@H](C)c2ccc(C(=O)OC)cc2)n[n-]1 ZINC001228308346 883436763 /nfs/dbraw/zinc/43/67/63/883436763.db2.gz DDFSWPUIWDLHHE-QMMMGPOBSA-N -1 1 305.290 1.518 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1OC1=C(C)[C@@H](C)OC1=O ZINC001228550966 883546642 /nfs/dbraw/zinc/54/66/42/883546642.db2.gz ADWGYIRKIQJFEN-SSDOTTSWSA-N -1 1 310.331 1.540 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H](Oc3cnnc(=S)[n-]3)[C@H]1C2 ZINC001228636653 883583899 /nfs/dbraw/zinc/58/38/99/883583899.db2.gz PZPLTPQZWKGRFO-IVZWLZJFSA-N -1 1 324.406 1.937 20 0 DDADMM Cn1c(CNC(=O)c2cccc([O-])c2F)nnc1C1CCC1 ZINC001362351942 883627687 /nfs/dbraw/zinc/62/76/87/883627687.db2.gz JFVTYXDCWAPVHL-UHFFFAOYSA-N -1 1 304.325 1.857 20 0 DDADMM O=C(N[C@H](CO)Cc1ccncc1)c1c(F)ccc([O-])c1F ZINC001362361611 883645313 /nfs/dbraw/zinc/64/53/13/883645313.db2.gz ZPTSAEILKATPLA-JTQLQIEISA-N -1 1 308.284 1.399 20 0 DDADMM O=C(COc1ccsn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001362433339 883796009 /nfs/dbraw/zinc/79/60/09/883796009.db2.gz HOZMVMYFUYJSSG-QMMMGPOBSA-N -1 1 310.335 1.017 20 0 DDADMM CC(C)(C)OC(=O)N[C@H](CNC(=O)c1ccc([O-])cn1)C1CC1 ZINC001362448756 883835286 /nfs/dbraw/zinc/83/52/86/883835286.db2.gz OTXLBHKPQJNBBG-CYBMUJFWSA-N -1 1 321.377 1.820 20 0 DDADMM CCC[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccn1 ZINC001362496267 883939802 /nfs/dbraw/zinc/93/98/02/883939802.db2.gz GREUOOAQOXKLIZ-NWDGAFQWSA-N -1 1 302.382 1.741 20 0 DDADMM CSc1ncc(C(=O)NCc2ccc(O)c(F)c2)c(=O)[n-]1 ZINC001362518999 883990827 /nfs/dbraw/zinc/99/08/27/883990827.db2.gz LICMMGPIRQPCAY-UHFFFAOYSA-N -1 1 309.322 1.679 20 0 DDADMM Cc1ccc(N2CCN(C(=O)c3ccc([O-])cn3)CC2=O)cc1 ZINC001362530264 884015991 /nfs/dbraw/zinc/01/59/91/884015991.db2.gz ZQDGJUUPJIXHHC-UHFFFAOYSA-N -1 1 311.341 1.585 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC[C@H](O)[C@H](CO)C1 ZINC001362592052 884170573 /nfs/dbraw/zinc/17/05/73/884170573.db2.gz QSWVGRLZFSNGAK-ONGXEEELSA-N -1 1 319.279 1.226 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C12CC(C1)C2 ZINC001362610402 884214144 /nfs/dbraw/zinc/21/41/44/884214144.db2.gz DFXANKZFDXIOES-SVPDRVBRSA-N -1 1 304.312 1.845 20 0 DDADMM O=C(NC[C@H](O)C(F)(F)F)c1c([O-])cnc2c(F)cccc21 ZINC001362621297 884244410 /nfs/dbraw/zinc/24/44/10/884244410.db2.gz OPAQGYORUZEGOS-VIFPVBQESA-N -1 1 318.226 1.733 20 0 DDADMM CCC(CC)(NC(=O)c1nnc(C(C)C)s1)c1nn[n-]n1 ZINC001362624386 884251686 /nfs/dbraw/zinc/25/16/86/884251686.db2.gz JVKNSFFBCRQNJR-UHFFFAOYSA-N -1 1 309.399 1.620 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cccc([O-])c1F)c1ccccn1 ZINC001362637764 884289068 /nfs/dbraw/zinc/28/90/68/884289068.db2.gz LQNKQCJPNZPIHG-CYBMUJFWSA-N -1 1 304.277 1.570 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCc2cccnc2)[n-]1 ZINC001362659038 884346557 /nfs/dbraw/zinc/34/65/57/884346557.db2.gz JCUMGTGWFJWPHY-JTQLQIEISA-N -1 1 317.349 1.186 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCc2cccnc2)n[n-]1 ZINC001362659038 884346574 /nfs/dbraw/zinc/34/65/74/884346574.db2.gz JCUMGTGWFJWPHY-JTQLQIEISA-N -1 1 317.349 1.186 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCc2cccnc2)n1 ZINC001362659038 884346591 /nfs/dbraw/zinc/34/65/91/884346591.db2.gz JCUMGTGWFJWPHY-JTQLQIEISA-N -1 1 317.349 1.186 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@@H]1C(=O)Nc2c1cccc2F ZINC001362660055 884347439 /nfs/dbraw/zinc/34/74/39/884347439.db2.gz XRXNQTUJWMTPAT-QMMMGPOBSA-N -1 1 304.281 1.627 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(OC)o2)n[n-]1 ZINC001362661514 884352274 /nfs/dbraw/zinc/35/22/74/884352274.db2.gz QWSTYCKTBARZOL-SSDOTTSWSA-N -1 1 308.294 1.074 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(OC)o2)[n-]1 ZINC001362661514 884352288 /nfs/dbraw/zinc/35/22/88/884352288.db2.gz QWSTYCKTBARZOL-SSDOTTSWSA-N -1 1 308.294 1.074 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(OC)o2)n1 ZINC001362661514 884352299 /nfs/dbraw/zinc/35/22/99/884352299.db2.gz QWSTYCKTBARZOL-SSDOTTSWSA-N -1 1 308.294 1.074 20 0 DDADMM CCOc1ccc2c(c1)[C@H](NC(=O)CCc1nn[n-]n1)CCO2 ZINC001362689548 884421163 /nfs/dbraw/zinc/42/11/63/884421163.db2.gz YDELQOOZHLAXRE-GFCCVEGCSA-N -1 1 317.349 1.171 20 0 DDADMM COC(=O)C[C@](C)(NC(=O)c1ccc([O-])cn1)c1ccccn1 ZINC001362690605 884422615 /nfs/dbraw/zinc/42/26/15/884422615.db2.gz XYJWYGZRNVQRCY-INIZCTEOSA-N -1 1 315.329 1.391 20 0 DDADMM CC(C)[C@H](NC(=O)CCc1nn[n-]n1)[C@@H](O)c1ccccc1 ZINC001362757074 884564900 /nfs/dbraw/zinc/56/49/00/884564900.db2.gz BXGPJLXACJPBIY-GJZGRUSLSA-N -1 1 303.366 1.007 20 0 DDADMM CN(Cc1ncnn1CC(F)F)C(=O)c1ccc(F)c([O-])c1 ZINC001362769825 884596932 /nfs/dbraw/zinc/59/69/32/884596932.db2.gz XJVLODKEAXIIGK-UHFFFAOYSA-N -1 1 314.267 1.660 20 0 DDADMM O=C([C@@H]1CCCC[C@@H]1C(F)(F)F)N1CC[C@H](c2nn[n-]n2)C1 ZINC001362807518 884690276 /nfs/dbraw/zinc/69/02/76/884690276.db2.gz PMVQRFTWWYKHMO-AEJSXWLSSA-N -1 1 317.315 1.884 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC001362815577 884708323 /nfs/dbraw/zinc/70/83/23/884708323.db2.gz HPWHJKLRWCRPQG-SSDOTTSWSA-N -1 1 322.271 1.385 20 0 DDADMM CCc1nnc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)s1 ZINC001362819722 884714818 /nfs/dbraw/zinc/71/48/18/884714818.db2.gz WVCPPKGVMBYDAG-UHFFFAOYSA-N -1 1 309.351 1.209 20 0 DDADMM Cc1n[nH]c(C)c1C[C@H](C)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001362828927 884740197 /nfs/dbraw/zinc/74/01/97/884740197.db2.gz DIEPGWXTJWRDBK-JTQLQIEISA-N -1 1 319.413 1.403 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1CCCc2c(O)cccc21 ZINC001362835741 884758751 /nfs/dbraw/zinc/75/87/51/884758751.db2.gz OKOFRTHPEJSPRG-LBPRGKRZSA-N -1 1 313.361 1.521 20 0 DDADMM O=C(N[C@@H](C1CC1)C1(CO)CCOCC1)c1cccc([O-])c1F ZINC001362865494 884831678 /nfs/dbraw/zinc/83/16/78/884831678.db2.gz FXKZQATXORYLEZ-HNNXBMFYSA-N -1 1 323.364 1.829 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@H]1CC1(F)F ZINC001362890861 884897616 /nfs/dbraw/zinc/89/76/16/884897616.db2.gz RUROWGQSTDNCHW-HTQZYQBOSA-N -1 1 314.292 1.300 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@H]1CC1(F)F ZINC001362890861 884897627 /nfs/dbraw/zinc/89/76/27/884897627.db2.gz RUROWGQSTDNCHW-HTQZYQBOSA-N -1 1 314.292 1.300 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@H]1CC1(F)F ZINC001362890861 884897640 /nfs/dbraw/zinc/89/76/40/884897640.db2.gz RUROWGQSTDNCHW-HTQZYQBOSA-N -1 1 314.292 1.300 20 0 DDADMM COC[C@@H](NC(=O)[C@H](C)OC1CCCCCC1)c1nn[n-]n1 ZINC001362907610 884953042 /nfs/dbraw/zinc/95/30/42/884953042.db2.gz ICZVSOHOIAPKAC-CMPLNLGQSA-N -1 1 311.386 1.131 20 0 DDADMM COCC[C@@H](C)C(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001382947362 885014898 /nfs/dbraw/zinc/01/48/98/885014898.db2.gz CKTXZJSAYAQHOV-NEPJUHHUSA-N -1 1 323.393 1.037 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cnc(C3CC3)o2)n[n-]1 ZINC001362933001 885018182 /nfs/dbraw/zinc/01/81/82/885018182.db2.gz IDRHFSXFYGDNHF-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cnc(C3CC3)o2)[n-]1 ZINC001362933001 885018187 /nfs/dbraw/zinc/01/81/87/885018187.db2.gz IDRHFSXFYGDNHF-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cnc(C3CC3)o2)n1 ZINC001362933001 885018192 /nfs/dbraw/zinc/01/81/92/885018192.db2.gz IDRHFSXFYGDNHF-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM Cc1cc(C)cc(OCCC(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC001363035323 885284035 /nfs/dbraw/zinc/28/40/35/885284035.db2.gz BGBZKPHEVMFLQN-UHFFFAOYSA-N -1 1 317.345 1.590 20 0 DDADMM COC(=O)[C@@]1(NC(=O)c2cnncc2[O-])CCCC(C)(C)C1 ZINC001363091276 885442397 /nfs/dbraw/zinc/44/23/97/885442397.db2.gz XYPGUXWTVWFJBS-OAHLLOKOSA-N -1 1 307.350 1.424 20 0 DDADMM C[C@H](NC(=O)c1ccc([O-])cn1)c1nc(C(F)(F)F)no1 ZINC001363104486 885473862 /nfs/dbraw/zinc/47/38/62/885473862.db2.gz BOAZOLPAPMQCGE-YFKPBYRVSA-N -1 1 302.212 1.680 20 0 DDADMM CC(C)C(=O)Nc1nc(CC(=O)Nc2c[n-][nH]c2=O)cs1 ZINC001363125917 885527563 /nfs/dbraw/zinc/52/75/63/885527563.db2.gz FHEPHCJEPQIQRK-UHFFFAOYSA-N -1 1 309.351 1.348 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@H]1CCC=CO1 ZINC001363152994 885593082 /nfs/dbraw/zinc/59/30/82/885593082.db2.gz MLOOKHBOEMMWLH-DCAQKATOSA-N -1 1 320.311 1.737 20 0 DDADMM COC(=O)C1=NO[C@@]2(CCN(C(=O)c3cccc([O-])c3F)C2)C1 ZINC001363182115 885659647 /nfs/dbraw/zinc/65/96/47/885659647.db2.gz ZLBHWBPWNAEZIP-HNNXBMFYSA-N -1 1 322.292 1.065 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(O)ccc2C)n[n-]1 ZINC001363232856 885778484 /nfs/dbraw/zinc/77/84/84/885778484.db2.gz USDGFSYSIMNLFR-SECBINFHSA-N -1 1 318.333 1.486 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(O)ccc2C)[n-]1 ZINC001363232856 885778495 /nfs/dbraw/zinc/77/84/95/885778495.db2.gz USDGFSYSIMNLFR-SECBINFHSA-N -1 1 318.333 1.486 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(O)ccc2C)n1 ZINC001363232856 885778507 /nfs/dbraw/zinc/77/85/07/885778507.db2.gz USDGFSYSIMNLFR-SECBINFHSA-N -1 1 318.333 1.486 20 0 DDADMM COC(=O)[C@@]12COC[C@@H]1C[N@@H+](Cc1ccc(Cl)c(O)c1)C2 ZINC001231732405 885837969 /nfs/dbraw/zinc/83/79/69/885837969.db2.gz ZOWUJDREJRLSPY-NHYWBVRUSA-N -1 1 311.765 1.667 20 0 DDADMM CSCC[C@H](NC(=O)CCc1c(C)noc1C)c1nn[n-]n1 ZINC001363281454 885904287 /nfs/dbraw/zinc/90/42/87/885904287.db2.gz YBGUXKZAWJVKAR-NSHDSACASA-N -1 1 324.410 1.348 20 0 DDADMM CCOC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)c1ccc([O-])cn1 ZINC001363305932 885969055 /nfs/dbraw/zinc/96/90/55/885969055.db2.gz AIRIGASIRQQVJE-AAEUAGOBSA-N -1 1 308.334 1.018 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H](C(=O)N(Cc2nn[n-]n2)CC(C)C)C1 ZINC001363353804 886111748 /nfs/dbraw/zinc/11/17/48/886111748.db2.gz HSVOQCQMEOUWRV-NWDGAFQWSA-N -1 1 323.397 1.164 20 0 DDADMM Cc1cccc(COCC(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001363354551 886114094 /nfs/dbraw/zinc/11/40/94/886114094.db2.gz IWHVOHKKOLMNIS-UHFFFAOYSA-N -1 1 317.393 1.710 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@@H](C)[C@H](O)CC ZINC001363399510 886226369 /nfs/dbraw/zinc/22/63/69/886226369.db2.gz LBCXKOPIYNNVDI-GARJFASQSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@@H](C)[C@H](O)CC ZINC001363399510 886226381 /nfs/dbraw/zinc/22/63/81/886226381.db2.gz LBCXKOPIYNNVDI-GARJFASQSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@@H](C)[C@H](O)CC ZINC001363399510 886226400 /nfs/dbraw/zinc/22/64/00/886226400.db2.gz LBCXKOPIYNNVDI-GARJFASQSA-N -1 1 324.381 1.052 20 0 DDADMM COC[C@@H](NC(=O)[C@@H](C)CCOc1ccccc1)c1nn[n-]n1 ZINC001363440120 886341630 /nfs/dbraw/zinc/34/16/30/886341630.db2.gz YHTXHYHKAZMATD-WCQYABFASA-N -1 1 319.365 1.109 20 0 DDADMM COC(=O)[C@@H](Cc1ccc(F)cc1)NC(=O)c1ncccc1[O-] ZINC001363441623 886346529 /nfs/dbraw/zinc/34/65/29/886346529.db2.gz SODBYDDHEYLWIU-GFCCVEGCSA-N -1 1 318.304 1.440 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H](CO)[C@@H]1C ZINC001363445191 886354832 /nfs/dbraw/zinc/35/48/32/886354832.db2.gz XIGUILMEVNSPHN-NWDGAFQWSA-N -1 1 307.394 1.847 20 0 DDADMM COc1nc(C)ccc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363544021 886596171 /nfs/dbraw/zinc/59/61/71/886596171.db2.gz LHPYHNKIRZSBEB-UHFFFAOYSA-N -1 1 304.354 1.210 20 0 DDADMM C[C@H](O)c1cn(C2CN(Cc3ccc([O-])c(F)c3F)C2)nn1 ZINC001363575983 886674599 /nfs/dbraw/zinc/67/45/99/886674599.db2.gz KRCOQKYDYPSFRA-QMMMGPOBSA-N -1 1 310.304 1.372 20 0 DDADMM CCOC(=O)c1nc[nH]c1CNC(=O)c1cc(F)ccc1[O-] ZINC001363651321 886887854 /nfs/dbraw/zinc/88/78/54/886887854.db2.gz KIVPOMVNGRUVAM-UHFFFAOYSA-N -1 1 307.281 1.361 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc(N(C)C)cc1F ZINC001363797361 887243051 /nfs/dbraw/zinc/24/30/51/887243051.db2.gz ASITYFBAHSBSRV-UHFFFAOYSA-N -1 1 320.372 1.703 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@@H](C)OCc1ccccc1 ZINC001233757577 887283131 /nfs/dbraw/zinc/28/31/31/887283131.db2.gz FFSCAKJKKLWYEW-LLVKDONJSA-N -1 1 318.329 1.953 20 0 DDADMM O=C(C[N-]S(=O)(=O)Cc1ccon1)c1c(F)cccc1F ZINC001363924491 887550564 /nfs/dbraw/zinc/55/05/64/887550564.db2.gz GVLUJNDLZAZWDQ-UHFFFAOYSA-N -1 1 316.285 1.255 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)c2ncc(C)s2)sn1 ZINC001363955599 887607232 /nfs/dbraw/zinc/60/72/32/887607232.db2.gz QJLPJWWDEMUATD-ZETCQYMHSA-N -1 1 319.433 1.956 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CC(C)(F)F ZINC001363957405 887610764 /nfs/dbraw/zinc/61/07/64/887610764.db2.gz CDDFCBXJHIUHMV-MRVPVSSYSA-N -1 1 316.308 1.690 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CC(C)(F)F ZINC001363957405 887610775 /nfs/dbraw/zinc/61/07/75/887610775.db2.gz CDDFCBXJHIUHMV-MRVPVSSYSA-N -1 1 316.308 1.690 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CC(C)(F)F ZINC001363957405 887610782 /nfs/dbraw/zinc/61/07/82/887610782.db2.gz CDDFCBXJHIUHMV-MRVPVSSYSA-N -1 1 316.308 1.690 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2c(C)c(C)n[nH]c2=O)sn1 ZINC001363987642 887674434 /nfs/dbraw/zinc/67/44/34/887674434.db2.gz ZMBLSKNEVHPLPT-UHFFFAOYSA-N -1 1 314.392 1.042 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@@H]2CCO[C@@H](CC)C2)n[n-]1 ZINC001364064316 887836411 /nfs/dbraw/zinc/83/64/11/887836411.db2.gz QFUUBJVJFKLTNX-MNOVXSKESA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H]2CCO[C@@H](CC)C2)n1 ZINC001364064316 887836421 /nfs/dbraw/zinc/83/64/21/887836421.db2.gz QFUUBJVJFKLTNX-MNOVXSKESA-N -1 1 324.381 1.193 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(C)onc1N)[C@@H]1CCCOC1 ZINC001364078319 887865239 /nfs/dbraw/zinc/86/52/39/887865239.db2.gz YJZXZHHNDNYEHG-NXEZZACHSA-N -1 1 303.384 1.049 20 0 DDADMM CO[C@@H]1CC[N@@H+](CCOc2ccc(OP(=O)([O-])[O-])cc2)C1 ZINC001234336610 887880108 /nfs/dbraw/zinc/88/01/08/887880108.db2.gz SEHILWSVPUGJKC-CYBMUJFWSA-N -1 1 317.278 1.258 20 0 DDADMM COC(=O)[C@H](Cc1ccccc1O)NC(=O)c1ccc([O-])cn1 ZINC001364088554 887889068 /nfs/dbraw/zinc/88/90/68/887889068.db2.gz PMKRMZRYGYGWRK-ZDUSSCGKSA-N -1 1 316.313 1.007 20 0 DDADMM CCC[C@H](CC(=O)OCC)Oc1c(C(N)=O)nc(C)[n-]c1=O ZINC001234469939 888001918 /nfs/dbraw/zinc/00/19/18/888001918.db2.gz LXPVZKIZKSOFCF-SECBINFHSA-N -1 1 311.338 1.090 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1OC[C@H]1Cc2ccccc2O1 ZINC001234557220 888089684 /nfs/dbraw/zinc/08/96/84/888089684.db2.gz GVTVACWQODZRDG-LLVKDONJSA-N -1 1 316.313 1.660 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]1Oc1c(=O)[n-]c(C)nc1C(=O)OC ZINC001234558141 888095528 /nfs/dbraw/zinc/09/55/28/888095528.db2.gz SDMMCSLKDIERQC-ZJUUUORDSA-N -1 1 324.333 1.388 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1OC1=C(C)[C@H](C)OC1=O ZINC001234560776 888097983 /nfs/dbraw/zinc/09/79/83/888097983.db2.gz PMUTUOXWJPYQLU-QMMMGPOBSA-N -1 1 322.317 1.690 20 0 DDADMM CCOC(=O)CC1CC(Oc2c(=O)[n-]c(C)nc2C(=O)OC)C1 ZINC001234563968 888102140 /nfs/dbraw/zinc/10/21/40/888102140.db2.gz BAZPGLWPRJXCPS-UHFFFAOYSA-N -1 1 324.333 1.388 20 0 DDADMM CCOC(=O)[C@H](CC)Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O ZINC001234565063 888105851 /nfs/dbraw/zinc/10/58/51/888105851.db2.gz KBPKLYHILSWURC-QMMMGPOBSA-N -1 1 311.338 1.125 20 0 DDADMM COC(=O)c1ccc(C(=O)NCCc2c(F)cc([O-])cc2F)[nH]1 ZINC001364240367 888199681 /nfs/dbraw/zinc/19/96/81/888199681.db2.gz AAXUEDRCEJWRCN-UHFFFAOYSA-N -1 1 324.283 1.758 20 0 DDADMM CCCOC(=O)[C@H](C)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001364437093 888634329 /nfs/dbraw/zinc/63/43/29/888634329.db2.gz DXMFVZBNAJNXHR-DCAQKATOSA-N -1 1 324.343 1.860 20 0 DDADMM Cc1nn(C)cc1CN1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001364457646 888679358 /nfs/dbraw/zinc/67/93/58/888679358.db2.gz PKOQFHSJFKGXEA-JQWIXIFHSA-N -1 1 318.343 1.760 20 0 DDADMM C[C@@H](NC(=O)c1cnncc1[O-])c1cc(F)ccc1N(C)C ZINC001364464250 888697724 /nfs/dbraw/zinc/69/77/24/888697724.db2.gz HCKLYEBNIYXZNY-SECBINFHSA-N -1 1 304.325 1.878 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001364479085 888729108 /nfs/dbraw/zinc/72/91/08/888729108.db2.gz RRUXSLAKVDZWMP-XYPYZODXSA-N -1 1 321.377 1.820 20 0 DDADMM O=C(NCc1nnc([C@@H]2CCOC2)[nH]1)c1ccc([O-])c(Cl)c1 ZINC001364517589 888828742 /nfs/dbraw/zinc/82/87/42/888828742.db2.gz KXWDONHVFGITCT-SECBINFHSA-N -1 1 322.752 1.598 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1csc(C)n1 ZINC001364749425 889332300 /nfs/dbraw/zinc/33/23/00/889332300.db2.gz MXDDTMDPLJHBSK-UHFFFAOYSA-N -1 1 319.433 1.438 20 0 DDADMM CCc1ccc([C@H](C)CC(=O)N[C@@H](COC)c2nn[n-]n2)cc1 ZINC001364814209 889469420 /nfs/dbraw/zinc/46/94/20/889469420.db2.gz MQVRMCAAXRHRBQ-RISCZKNCSA-N -1 1 317.393 1.760 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cncc(C)c1)c1ccc(C)o1 ZINC001364813370 889469749 /nfs/dbraw/zinc/46/97/49/889469749.db2.gz GSPAQSHMHFZMRV-ZDUSSCGKSA-N -1 1 310.375 1.957 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC2(C)CCOCC2)sn1 ZINC001364828651 889496736 /nfs/dbraw/zinc/49/67/36/889496736.db2.gz NGFNNFLFQZGHNM-UHFFFAOYSA-N -1 1 306.409 1.247 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@]12C[C@H]1CCCC2 ZINC001364923796 889675750 /nfs/dbraw/zinc/67/57/50/889675750.db2.gz PRLOOYUMPUCXBZ-NOZJJQNGSA-N -1 1 313.379 1.197 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1cc2cc(F)ccc2o1)C(N)=O ZINC001364983223 889815235 /nfs/dbraw/zinc/81/52/35/889815235.db2.gz NTXYNYVBGQOSAC-CYBMUJFWSA-N -1 1 314.338 1.504 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3OCc4ccccc43)ccnc1-2 ZINC001365051800 889986205 /nfs/dbraw/zinc/98/62/05/889986205.db2.gz PZEFCEHAEQKTQO-OAHLLOKOSA-N -1 1 323.356 1.735 20 0 DDADMM CN(Cc1c(F)c([O-])ccc1Br)C[C@@H](O)CO ZINC001238309062 890164524 /nfs/dbraw/zinc/16/45/24/890164524.db2.gz IZVWXYYCYJVCJD-SSDOTTSWSA-N -1 1 308.147 1.079 20 0 DDADMM NC(=O)C1(NCc2nc(=O)c3sccc3[n-]2)CCCCC1 ZINC001365273925 890479264 /nfs/dbraw/zinc/47/92/64/890479264.db2.gz HULRKNQEISTPDS-UHFFFAOYSA-N -1 1 306.391 1.675 20 0 DDADMM C[C@H](NC(=O)NCc1ccc([O-])c(Cl)c1)c1nnnn1C ZINC001365431838 890787717 /nfs/dbraw/zinc/78/77/17/890787717.db2.gz OEIOOSDRUAEQIC-ZETCQYMHSA-N -1 1 310.745 1.130 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N([C@@H](C)C(C)C)C2CC2)[n-]n1 ZINC001365634858 891216408 /nfs/dbraw/zinc/21/64/08/891216408.db2.gz UIMZDXZOURTMQK-VIFPVBQESA-N -1 1 315.395 1.394 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N([C@@H](C)C(C)C)C2CC2)n[n-]1 ZINC001365634858 891216422 /nfs/dbraw/zinc/21/64/22/891216422.db2.gz UIMZDXZOURTMQK-VIFPVBQESA-N -1 1 315.395 1.394 20 0 DDADMM COC(=O)[C@](C)([N-]S(=O)(=O)Cc1ccno1)c1ccccc1 ZINC001365645717 891242993 /nfs/dbraw/zinc/24/29/93/891242993.db2.gz WWAUGIKCRBTURC-CQSZACIVSA-N -1 1 324.358 1.182 20 0 DDADMM CC[C@@H]1CCC[C@@H]1NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365682656 891309181 /nfs/dbraw/zinc/30/91/81/891309181.db2.gz XXUBGNADBCTQPS-BDAKNGLRSA-N -1 1 301.368 1.053 20 0 DDADMM CC[C@@H]1CCC[C@@H]1NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365682656 891309197 /nfs/dbraw/zinc/30/91/97/891309197.db2.gz XXUBGNADBCTQPS-BDAKNGLRSA-N -1 1 301.368 1.053 20 0 DDADMM COc1ncc(-c2cncc(N(C)C)c2)cc1[N-]S(C)(=O)=O ZINC001244796436 891930653 /nfs/dbraw/zinc/93/06/53/891930653.db2.gz VXSGNBTVGSTTKO-UHFFFAOYSA-N -1 1 322.390 1.590 20 0 DDADMM CCCC(=O)N(C)CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001386891721 891949163 /nfs/dbraw/zinc/94/91/63/891949163.db2.gz ZGVYBULQLWVFES-UHFFFAOYSA-N -1 1 306.366 1.048 20 0 DDADMM Cc1c(C(=O)[O-])cccc1-c1ccnc(N2CCN(C)CC2)n1 ZINC001245297279 892069860 /nfs/dbraw/zinc/06/98/60/892069860.db2.gz MWGFTLCVDDPLJD-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM C[C@H](O)Cn1[n-]c(-c2ccc(N3CCOCC3)cc2)cc1=O ZINC001245942591 892280738 /nfs/dbraw/zinc/28/07/38/892280738.db2.gz LINSSDDKVHDAME-LBPRGKRZSA-N -1 1 303.362 1.473 20 0 DDADMM CCC[C@H](C)C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001366198069 892713937 /nfs/dbraw/zinc/71/39/37/892713937.db2.gz HOIDKBKXQMDADW-STQMWFEESA-N -1 1 323.441 1.015 20 0 DDADMM O=[P@]([O-])(O)CCNC(=S)Nc1c(F)cc(F)cc1F ZINC001247437050 893188332 /nfs/dbraw/zinc/18/83/32/893188332.db2.gz QPDLTBRTCAWTAN-UHFFFAOYSA-N -1 1 314.225 1.568 20 0 DDADMM CCCCNC(=O)C1(NC(=O)c2cnncc2O)CCCCC1 ZINC001292553911 913794016 /nfs/dbraw/zinc/79/40/16/913794016.db2.gz CYOXZYIROSUAQP-UHFFFAOYSA-N -1 1 320.393 1.531 20 0 DDADMM CCCCNC(=O)C1(NC(=O)c2cnncc2[O-])CCCCC1 ZINC001292553911 913794026 /nfs/dbraw/zinc/79/40/26/913794026.db2.gz CYOXZYIROSUAQP-UHFFFAOYSA-N -1 1 320.393 1.531 20 0 DDADMM CN(CCNC(=O)[C@]12C[C@H]1CCC2)C(=O)c1ncccc1[O-] ZINC001387962682 894236744 /nfs/dbraw/zinc/23/67/44/894236744.db2.gz MUVQYSHKVQQYRG-BDJLRTHQSA-N -1 1 303.362 1.166 20 0 DDADMM O=c1[nH]c2cc([N-]S(=O)(=O)c3ccccc3)ccc2c(=O)[nH]1 ZINC001251148851 894646883 /nfs/dbraw/zinc/64/68/83/894646883.db2.gz DGFDOCCAFLRVOU-UHFFFAOYSA-N -1 1 317.326 1.842 20 0 DDADMM CC(=O)Nc1ccc(S(=O)(=O)[N-]c2c(C)ncn2C)cc1 ZINC001251812801 894820160 /nfs/dbraw/zinc/82/01/60/894820160.db2.gz CXPKIXCTMGGRGR-UHFFFAOYSA-N -1 1 308.363 1.488 20 0 DDADMM Cc1ccc(C(=O)NC[C@@H](C)CNC(=O)c2ncccc2[O-])o1 ZINC001388388356 895124051 /nfs/dbraw/zinc/12/40/51/895124051.db2.gz FXMQQERIIJMSRE-SNVBAGLBSA-N -1 1 317.345 1.485 20 0 DDADMM COC(=O)c1cc(Cl)cc([N-]S(=O)(=O)C(C)C)c1O ZINC001252523572 895241470 /nfs/dbraw/zinc/24/14/70/895241470.db2.gz UJMKXBLTHYMDHF-UHFFFAOYSA-N -1 1 307.755 1.982 20 0 DDADMM C[C@@H](CC(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1)C1CC1 ZINC001367166643 896053574 /nfs/dbraw/zinc/05/35/74/896053574.db2.gz UWZVCLDEWJFRMY-NSHDSACASA-N -1 1 321.425 1.465 20 0 DDADMM CCc1coc(C[N-]S(=O)(=O)c2ccccc2C(=O)OC)n1 ZINC001253942233 896165815 /nfs/dbraw/zinc/16/58/15/896165815.db2.gz OEWYAYVLVIMRCH-UHFFFAOYSA-N -1 1 324.358 1.502 20 0 DDADMM C[C@@H](CC(C)(C)C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001389186384 896638968 /nfs/dbraw/zinc/63/89/68/896638968.db2.gz VMKGYJGEDJORLB-NSHDSACASA-N -1 1 319.405 1.800 20 0 DDADMM O=c1[n-][nH]c(=O)c2cc(-c3nnc([C@@H]4CCOC4)o3)ccc12 ZINC001255524854 896986176 /nfs/dbraw/zinc/98/61/76/896986176.db2.gz XYPSKYIQXFSNLT-MRVPVSSYSA-N -1 1 300.274 1.595 20 0 DDADMM Cn1nnc2cc([N-]S(=O)(=O)c3cccc(F)c3)ccc21 ZINC001255642956 897067504 /nfs/dbraw/zinc/06/75/04/897067504.db2.gz XNVNUDMCFCKLJI-UHFFFAOYSA-N -1 1 306.322 1.908 20 0 DDADMM Cc1ncoc1CN[C@H](CNC(=O)c1[nH]nc(C)c1[O-])C1CC1 ZINC001389590790 897582676 /nfs/dbraw/zinc/58/26/76/897582676.db2.gz GNUCIVYHQNIRCK-LLVKDONJSA-N -1 1 319.365 1.018 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367770321 897730336 /nfs/dbraw/zinc/73/03/36/897730336.db2.gz GKLJIHVTWHGAEI-YUMQZZPRSA-N -1 1 323.319 1.083 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)CN(C)Cc2cncc(C)c2)c1[O-] ZINC001367793549 897815995 /nfs/dbraw/zinc/81/59/95/897815995.db2.gz IHPFPXTVROCRKJ-NSHDSACASA-N -1 1 317.393 1.377 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)CNCc2ccncc2Cl)c1[O-] ZINC001374874885 914202506 /nfs/dbraw/zinc/20/25/06/914202506.db2.gz BQKFEDRGXIWOCQ-QMMMGPOBSA-N -1 1 323.784 1.380 20 0 DDADMM CCCC(CCC)C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001367963270 898331950 /nfs/dbraw/zinc/33/19/50/898331950.db2.gz UHZFMEGXESDQMV-CYBMUJFWSA-N -1 1 323.441 1.015 20 0 DDADMM O=C1OCC=C1[N-]S(=O)(=O)c1ccc(Br)s1 ZINC001259062562 898443585 /nfs/dbraw/zinc/44/35/85/898443585.db2.gz ADDCQKFYPWWDBM-UHFFFAOYSA-N -1 1 324.177 1.230 20 0 DDADMM O=S(=O)([N-]CCc1cnoc1)c1cc(F)c(F)cc1F ZINC001259044378 898437151 /nfs/dbraw/zinc/43/71/51/898437151.db2.gz DWFAXXZUKSFKDD-UHFFFAOYSA-N -1 1 306.265 1.613 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccccn1)c1ccc(F)cc1 ZINC001259290966 898587718 /nfs/dbraw/zinc/58/77/18/898587718.db2.gz VCJANSRYOVOIAZ-CYBMUJFWSA-N -1 1 324.333 1.413 20 0 DDADMM CC(C)(O)[C@H](F)C[N-]S(=O)(=O)c1cc(F)c(F)c(F)c1 ZINC001259505457 898707187 /nfs/dbraw/zinc/70/71/87/898707187.db2.gz NIFRXBLJHLHNDI-SECBINFHSA-N -1 1 315.288 1.491 20 0 DDADMM COc1cc(F)ncc1[N-]S(=O)(=O)CCC(F)(F)F ZINC001259867503 898880460 /nfs/dbraw/zinc/88/04/60/898880460.db2.gz XIIICNZYNQAMDJ-UHFFFAOYSA-N -1 1 302.249 1.923 20 0 DDADMM O=S(=O)([N-]CC1(Cc2ccncc2)CCOCC1)C(F)F ZINC001259964061 898985571 /nfs/dbraw/zinc/98/55/71/898985571.db2.gz ZMUXDZNWJSIGCZ-UHFFFAOYSA-N -1 1 320.361 1.563 20 0 DDADMM COc1ccc2c(c1)SC[C@H]([N-]S(=O)(=O)C(F)F)C2 ZINC001259965073 898988256 /nfs/dbraw/zinc/98/82/56/898988256.db2.gz UUPVKQKREQPBEL-MRVPVSSYSA-N -1 1 309.359 1.854 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(C(=O)OC(C)C)cc1 ZINC001259971310 898995138 /nfs/dbraw/zinc/99/51/38/898995138.db2.gz LNOOHDOYBJQZMT-UHFFFAOYSA-N -1 1 301.364 1.640 20 0 DDADMM C=CC(=O)NCC[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260205151 899088269 /nfs/dbraw/zinc/08/82/69/899088269.db2.gz YGPRIINAXRNTTF-UHFFFAOYSA-N -1 1 324.736 1.199 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-]c1cncc(OC)c1C(=O)OC ZINC001260727542 899231619 /nfs/dbraw/zinc/23/16/19/899231619.db2.gz VZLAEUZEAILXAG-QMMMGPOBSA-N -1 1 302.352 1.417 20 0 DDADMM COC(=O)c1cccc(Cl)c1[N-]S(=O)(=O)c1cn[nH]c1 ZINC001260960941 899299417 /nfs/dbraw/zinc/29/94/17/899299417.db2.gz YHLYHMVSJRUHSQ-UHFFFAOYSA-N -1 1 315.738 1.651 20 0 DDADMM Cc1cncc(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)c1 ZINC000361744436 899379761 /nfs/dbraw/zinc/37/97/61/899379761.db2.gz PWEHUNVEWMWGAT-UHFFFAOYSA-N -1 1 310.317 1.734 20 0 DDADMM CCOC(=O)COCCNC(=O)c1c([O-])cccc1Cl ZINC001261272702 899443225 /nfs/dbraw/zinc/44/32/25/899443225.db2.gz QTILYEUMIZSKCB-UHFFFAOYSA-N -1 1 301.726 1.355 20 0 DDADMM C[C@H](CNC(=O)C(C)(C)c1ccccc1)NCc1n[nH]c(=O)[n-]1 ZINC001390520688 899594917 /nfs/dbraw/zinc/59/49/17/899594917.db2.gz FROKULCJTLZOFZ-LLVKDONJSA-N -1 1 317.393 1.082 20 0 DDADMM COc1ncc(C=CC(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC001262806805 900383907 /nfs/dbraw/zinc/38/39/07/900383907.db2.gz PEYFISCACCNNGT-IHWYPQMZSA-N -1 1 314.227 1.274 20 0 DDADMM CN(C(=O)[C@]1(C(=O)[O-])CNCCO1)c1ccc(C(C)(C)C)cc1 ZINC001263077233 900484104 /nfs/dbraw/zinc/48/41/04/900484104.db2.gz ZJKSEGXIUICKGD-KRWDZBQOSA-N -1 1 320.389 1.390 20 0 DDADMM CC(=Cc1cccnc1)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001263911432 900814498 /nfs/dbraw/zinc/81/44/98/900814498.db2.gz IWDQYRIFSWZFLU-CEFACKQISA-N -1 1 312.377 1.479 20 0 DDADMM C[C@H](CC(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C)C1CC1 ZINC001369557223 901528708 /nfs/dbraw/zinc/52/87/08/901528708.db2.gz WAXANFDRPWWPSO-PWSUYJOCSA-N -1 1 323.441 1.567 20 0 DDADMM O=C(N[C@H]1C[C@H](NCC(F)(F)C(F)F)C1)c1ncccc1[O-] ZINC001265474423 902051983 /nfs/dbraw/zinc/05/19/83/902051983.db2.gz XBXZFTYQWMJRRJ-ZKCHVHJHSA-N -1 1 321.274 1.538 20 0 DDADMM CCN(CCCNC(=O)[C@@H](C)C(F)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001266069785 902879251 /nfs/dbraw/zinc/87/92/51/902879251.db2.gz AROBCNXPWWXJOF-MRVPVSSYSA-N -1 1 323.319 1.037 20 0 DDADMM CCN(CCCNC(=O)[C@@]12C[C@@H]1CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001266080207 902898640 /nfs/dbraw/zinc/89/86/40/902898640.db2.gz FXVOWIGBKVDHNY-LRDDRELGSA-N -1 1 321.425 1.419 20 0 DDADMM COC[C@H](C)CC(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001370620434 903528002 /nfs/dbraw/zinc/52/80/02/903528002.db2.gz UCSUSGQVZSDRSD-NEPJUHHUSA-N -1 1 323.393 1.037 20 0 DDADMM CCCC(=O)NC[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001392527497 904364930 /nfs/dbraw/zinc/36/49/30/904364930.db2.gz WZNGTTITJHSSHC-SNVBAGLBSA-N -1 1 322.409 1.649 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)C1CC=CC1 ZINC001294037637 914873009 /nfs/dbraw/zinc/87/30/09/914873009.db2.gz UPEMLJLOJBSAJZ-UHFFFAOYSA-N -1 1 303.362 1.332 20 0 DDADMM CCCCC(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001371550811 905189418 /nfs/dbraw/zinc/18/94/18/905189418.db2.gz NCVXMIROSWUZLJ-CHWSQXEVSA-N -1 1 317.389 1.650 20 0 DDADMM CN(CCCNC(=O)C1CCCCC1)C(=O)c1ncccc1[O-] ZINC001283483064 907431685 /nfs/dbraw/zinc/43/16/85/907431685.db2.gz NQAFSDMYASFNBN-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM C[C@H](C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-])C(C)(F)F ZINC001373102776 908967198 /nfs/dbraw/zinc/96/71/98/908967198.db2.gz IVYJKGBZYNLSBX-DTWKUNHWSA-N -1 1 315.320 1.313 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001285534144 910752677 /nfs/dbraw/zinc/75/26/77/910752677.db2.gz WTNODWNECQKNTP-OPVGQWETSA-N -1 1 303.362 1.236 20 0 DDADMM CC(C)C[C@H](C)CC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001373971083 911498069 /nfs/dbraw/zinc/49/80/69/911498069.db2.gz KUWKYYKOJPPGIB-QWHCGFSZSA-N -1 1 323.441 1.663 20 0 DDADMM CC/C(C)=C\C(=O)N[C@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001286193699 911808532 /nfs/dbraw/zinc/80/85/32/911808532.db2.gz ZABORZVJQJBYSX-NXIHDVOMSA-N -1 1 317.389 1.720 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)s1 ZINC001375198578 915223180 /nfs/dbraw/zinc/22/31/80/915223180.db2.gz AYAABPGGQZQTCP-JTQLQIEISA-N -1 1 321.406 1.178 20 0 DDADMM CCCC[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001397256809 915579673 /nfs/dbraw/zinc/57/96/73/915579673.db2.gz JASHVQPXLVQMCI-UPJWGTAASA-N -1 1 319.405 1.991 20 0 DDADMM C/C=C\C[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)OCC ZINC001296212524 916279601 /nfs/dbraw/zinc/27/96/01/916279601.db2.gz IPVSZRHQXKNHBJ-FHMRSRPSSA-N -1 1 319.361 1.687 20 0 DDADMM COc1ccc(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c(O)c1 ZINC001296516038 916463517 /nfs/dbraw/zinc/46/35/17/916463517.db2.gz SYBZXMNGXOUWBB-UHFFFAOYSA-N -1 1 307.331 1.746 20 0 DDADMM CO[C@@H](C)CCC(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001375690098 916713114 /nfs/dbraw/zinc/71/31/14/916713114.db2.gz SYKZNGCPSORKFV-RYUDHWBXSA-N -1 1 323.393 1.084 20 0 DDADMM C[C@@H](CNC(=O)C1(C)C(C)(C)C1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001376917755 919776718 /nfs/dbraw/zinc/77/67/18/919776718.db2.gz DSDFOVOSRFQRCE-VIFPVBQESA-N -1 1 309.414 1.177 20 0 DDADMM CC[C@@H](F)CN(C)C[C@H]1CCCN1C(=O)c1n[nH]c(C)c1[O-] ZINC001377637736 922536492 /nfs/dbraw/zinc/53/64/92/922536492.db2.gz WNMNXFSHUQBDCH-VXGBXAGGSA-N -1 1 312.389 1.708 20 0 DDADMM O=C(NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)C1(C2CC2)CC1 ZINC001377697641 922734570 /nfs/dbraw/zinc/73/45/70/922734570.db2.gz LCZULUZECIUMPU-LLVKDONJSA-N -1 1 319.409 1.029 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc4c(c3)CCCC4)nc2n1 ZINC000622871700 365551624 /nfs/dbraw/zinc/55/16/24/365551624.db2.gz FIIQUPWAKKAZFJ-UHFFFAOYSA-N -1 1 323.356 1.279 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCO[C@@H](CC)C3)nc2n1 ZINC000622994074 365587561 /nfs/dbraw/zinc/58/75/61/365587561.db2.gz CMFZARRTDFRKQY-ONGXEEELSA-N -1 1 319.365 1.124 20 0 DDADMM Cc1cc([N-]S(=O)(=O)C[C@H]2CCCCO2)ccc1C(N)=O ZINC000076937334 185058542 /nfs/dbraw/zinc/05/85/42/185058542.db2.gz SSLVDNQQBAFGEI-GFCCVEGCSA-N -1 1 312.391 1.405 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3ccoc3CC)nc2n1 ZINC000622997598 365591363 /nfs/dbraw/zinc/59/13/63/365591363.db2.gz DNVYNRGFQQLODB-UHFFFAOYSA-N -1 1 315.333 1.778 20 0 DDADMM CCOC(COCCNC(=O)c1cc(F)ccc1[O-])OCC ZINC000278530881 214217087 /nfs/dbraw/zinc/21/70/87/214217087.db2.gz DEERFZDOZVUECZ-UHFFFAOYSA-N -1 1 315.341 1.677 20 0 DDADMM CCN1CCN(C(=O)c2c(C)nc(C(C)(C)C)[nH]c2=O)[C@@H](C)C1 ZINC000286557626 219052936 /nfs/dbraw/zinc/05/29/36/219052936.db2.gz ADNAYUHMRZAKJF-NSHDSACASA-N -1 1 320.437 1.954 20 0 DDADMM O=C(COc1ccccc1O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614473882 361874598 /nfs/dbraw/zinc/87/45/98/361874598.db2.gz NCWPUVIRQOEKDK-UHFFFAOYSA-N -1 1 319.317 1.266 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2cnc(OCC)cn2)s1 ZINC000614504531 361890439 /nfs/dbraw/zinc/89/04/39/361890439.db2.gz SKKXZDMMXIAQDS-UHFFFAOYSA-N -1 1 323.334 1.156 20 0 DDADMM CC[C@]1(C(C)C)C[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000457307957 529389745 /nfs/dbraw/zinc/38/97/45/529389745.db2.gz WFMZJXNOVPKPCZ-SMDDNHRTSA-N -1 1 314.407 1.742 20 0 DDADMM COCCC[N-]S(=O)(=O)c1sccc1Br ZINC000042350750 536589971 /nfs/dbraw/zinc/58/99/71/536589971.db2.gz MYCNSCATRNORKT-UHFFFAOYSA-N -1 1 314.226 1.825 20 0 DDADMM CCc1[nH]n(C)c2nnc(NC(=O)c3cc(F)ccc3[O-])c1-2 ZINC000094152141 539177903 /nfs/dbraw/zinc/17/79/03/539177903.db2.gz VACYBXSVVXKIRI-UHFFFAOYSA-N -1 1 303.297 1.956 20 0 DDADMM C[C@@H](CO)C[N-]S(=O)(=O)c1sccc1Br ZINC000083220342 185220306 /nfs/dbraw/zinc/22/03/06/185220306.db2.gz WFLICUYMFOKGCZ-ZCFIWIBFSA-N -1 1 314.226 1.417 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)C[C@@H](O)C3CC3)cnc2n1 ZINC000089880318 539173355 /nfs/dbraw/zinc/17/33/55/539173355.db2.gz KFZRTIAOVUBKHJ-CYBMUJFWSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)C[C@@H](O)C3CC3)c[n-]c2n1 ZINC000089880318 539173356 /nfs/dbraw/zinc/17/33/56/539173356.db2.gz KFZRTIAOVUBKHJ-CYBMUJFWSA-N -1 1 301.346 1.487 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C)S[C@@H](C)C2)co1 ZINC000153888420 290655990 /nfs/dbraw/zinc/65/59/90/290655990.db2.gz XVSQFJPTHLJQFE-DTORHVGOSA-N -1 1 318.420 1.154 20 0 DDADMM CC[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@H](O)C(C)C ZINC000414414187 529580419 /nfs/dbraw/zinc/58/04/19/529580419.db2.gz MXKUATWNPYFBOV-WCQYABFASA-N -1 1 303.362 1.448 20 0 DDADMM CCOC(=O)C[C@H](Sc1ncc(C(=O)OC)[n-]1)C(C)=O ZINC000286616960 219085919 /nfs/dbraw/zinc/08/59/19/219085919.db2.gz USBCZYUHCBCEKZ-VIFPVBQESA-N -1 1 300.336 1.199 20 0 DDADMM CCOC(=O)C[C@H](Sc1nc(C(=O)OC)c[n-]1)C(C)=O ZINC000286616960 219085921 /nfs/dbraw/zinc/08/59/21/219085921.db2.gz USBCZYUHCBCEKZ-VIFPVBQESA-N -1 1 300.336 1.199 20 0 DDADMM CCOC(=O)C[C@@H](Sc1ncc(C(=O)OC)[n-]1)C(C)=O ZINC000286616956 219086206 /nfs/dbraw/zinc/08/62/06/219086206.db2.gz USBCZYUHCBCEKZ-SECBINFHSA-N -1 1 300.336 1.199 20 0 DDADMM CCOC(=O)C[C@@H](Sc1nc(C(=O)OC)c[n-]1)C(C)=O ZINC000286616956 219086208 /nfs/dbraw/zinc/08/62/08/219086208.db2.gz USBCZYUHCBCEKZ-SECBINFHSA-N -1 1 300.336 1.199 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCC(=O)[C@H](C)C1(C)C)c1nn[n-]n1 ZINC000333388745 539320511 /nfs/dbraw/zinc/32/05/11/539320511.db2.gz YRXQLSHWNZMQCY-OUAUKWLOSA-N -1 1 307.398 1.403 20 0 DDADMM Cc1ccc(/C=C\C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])o1 ZINC000255754045 282277998 /nfs/dbraw/zinc/27/79/98/282277998.db2.gz HFSJZLAOMCEJJR-DAXSKMNVSA-N -1 1 322.342 1.593 20 0 DDADMM CO[C@H](C)CN(C)C(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000286623616 219089258 /nfs/dbraw/zinc/08/92/58/219089258.db2.gz UTNSMUYNGFOWNG-SNVBAGLBSA-N -1 1 320.393 1.478 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCOCCN1 ZINC000615499823 362309080 /nfs/dbraw/zinc/30/90/80/362309080.db2.gz VIYWKQYOPASQNK-UHFFFAOYSA-N -1 1 301.140 1.132 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(F)cc2F)n[n-]1 ZINC000615919680 362486900 /nfs/dbraw/zinc/48/69/00/362486900.db2.gz IZUAWWWULQFUKN-UHFFFAOYSA-N -1 1 310.260 1.190 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(F)cc2F)n1 ZINC000615919680 362486904 /nfs/dbraw/zinc/48/69/04/362486904.db2.gz IZUAWWWULQFUKN-UHFFFAOYSA-N -1 1 310.260 1.190 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)Oc2ccccc2)n[n-]1 ZINC000615917432 362487054 /nfs/dbraw/zinc/48/70/54/362487054.db2.gz MSHILBIACKZVPZ-SNVBAGLBSA-N -1 1 318.333 1.065 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)Oc2ccccc2)n1 ZINC000615917432 362487059 /nfs/dbraw/zinc/48/70/59/362487059.db2.gz MSHILBIACKZVPZ-SNVBAGLBSA-N -1 1 318.333 1.065 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(SC)o2)n[n-]1 ZINC000615917423 362487197 /nfs/dbraw/zinc/48/71/97/362487197.db2.gz MQVGUNJVTNNJCM-UHFFFAOYSA-N -1 1 310.335 1.226 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(SC)o2)n1 ZINC000615917423 362487199 /nfs/dbraw/zinc/48/71/99/362487199.db2.gz MQVGUNJVTNNJCM-UHFFFAOYSA-N -1 1 310.335 1.226 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/C(C)(C)C)c1 ZINC000292637367 530031738 /nfs/dbraw/zinc/03/17/38/530031738.db2.gz ZIHIMBRMPONYMP-BQYQJAHWSA-N -1 1 312.391 1.841 20 0 DDADMM C[C@H](Cc1cnn(C)c1)C(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000457531796 232073629 /nfs/dbraw/zinc/07/36/29/232073629.db2.gz VGBBDXRLZVXSKT-SECBINFHSA-N -1 1 300.322 1.616 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cn(C)nc2C2CC2)c1Br ZINC000616001524 362516657 /nfs/dbraw/zinc/51/66/57/362516657.db2.gz CXGRNRDDGGVYIF-UHFFFAOYSA-N -1 1 324.182 1.766 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCOC[C@@H]2C)c1Br ZINC000616009692 362519695 /nfs/dbraw/zinc/51/96/95/362519695.db2.gz ZFXRJNRFGUEUIO-XPUUQOCRSA-N -1 1 302.172 1.514 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC(C)(C)c1ccccc1C ZINC000330874096 232129333 /nfs/dbraw/zinc/12/93/33/232129333.db2.gz DKQWVLFNSKNYEP-UHFFFAOYSA-N -1 1 323.418 1.960 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)c1ccccc1C ZINC000330874096 232129335 /nfs/dbraw/zinc/12/93/35/232129335.db2.gz DKQWVLFNSKNYEP-UHFFFAOYSA-N -1 1 323.418 1.960 20 0 DDADMM O=C(NC1(CO)CCSCC1)c1ncc2ccccc2c1[O-] ZINC000616226581 362592770 /nfs/dbraw/zinc/59/27/70/362592770.db2.gz LGADWZDPSJTCNI-UHFFFAOYSA-N -1 1 318.398 1.928 20 0 DDADMM C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)CCc1nn[n-]n1 ZINC000631395179 422737632 /nfs/dbraw/zinc/73/76/32/422737632.db2.gz NUJUCBMZDLQAJV-ZYHUDNBSSA-N -1 1 303.341 1.676 20 0 DDADMM C[C@H]1CN(C(=O)CCCc2nn[n-]n2)C[C@H](CC(F)(F)F)O1 ZINC000636240144 422737664 /nfs/dbraw/zinc/73/76/64/422737664.db2.gz KKHZWOPKHLOGCJ-IUCAKERBSA-N -1 1 321.303 1.091 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3ccncc3)no2)co1 ZINC000161591000 290786775 /nfs/dbraw/zinc/78/67/75/290786775.db2.gz CYMIPOOXSMKULK-UHFFFAOYSA-N -1 1 306.303 1.300 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2cc(F)ccc2F)CCC1 ZINC000119294660 185721280 /nfs/dbraw/zinc/72/12/80/185721280.db2.gz GRKMADFWJAWRDT-UHFFFAOYSA-N -1 1 305.302 1.339 20 0 DDADMM COC[C@@H](CNC(=O)c1ccc(C(F)(F)F)cc1[O-])OC ZINC000272638687 210041442 /nfs/dbraw/zinc/04/14/42/210041442.db2.gz AXDAPKKHOFSMRP-SECBINFHSA-N -1 1 307.268 1.802 20 0 DDADMM Cc1ccc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c(Cl)c1 ZINC000358413638 299145939 /nfs/dbraw/zinc/14/59/39/299145939.db2.gz OEZGKQJRCZPNCR-JTQLQIEISA-N -1 1 307.785 1.966 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CCS(=O)(=O)C1 ZINC000191846984 186262981 /nfs/dbraw/zinc/26/29/81/186262981.db2.gz HENRZCMRVRUKIK-VIFPVBQESA-N -1 1 317.794 1.552 20 0 DDADMM CN1CC[C@H](NC(=O)c2ccc(Br)cc2[O-])C1=O ZINC000172417554 198094175 /nfs/dbraw/zinc/09/41/75/198094175.db2.gz BCPFFXHNAGZZMZ-VIFPVBQESA-N -1 1 313.151 1.115 20 0 DDADMM CC(C)[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)c1ncon1 ZINC000287221550 219388533 /nfs/dbraw/zinc/38/85/33/219388533.db2.gz VVGILZUEFILJOC-SNVBAGLBSA-N -1 1 318.362 1.528 20 0 DDADMM O=S(=O)([N-]CC1(O)CCCC1)c1nc2ccccc2s1 ZINC000564904299 304024960 /nfs/dbraw/zinc/02/49/60/304024960.db2.gz DBYGPNSIZKEWJC-UHFFFAOYSA-N -1 1 312.416 1.880 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1C[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC000617464276 363121339 /nfs/dbraw/zinc/12/13/39/363121339.db2.gz NQOIQTWEGHXGJH-JFGNBEQYSA-N -1 1 307.419 1.372 20 0 DDADMM COC[C@H](C)n1ccc([N-]S(=O)(=O)N2CCC[C@@H]2C)n1 ZINC000489039777 233920454 /nfs/dbraw/zinc/92/04/54/233920454.db2.gz KNXUWCXCIIWSBS-QWRGUYRKSA-N -1 1 302.400 1.232 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc(C)c(C(N)=O)c2)cn1 ZINC000032081758 352274690 /nfs/dbraw/zinc/27/46/90/352274690.db2.gz AHQVBESUIVNXPC-UHFFFAOYSA-N -1 1 321.358 1.298 20 0 DDADMM Cc1ccc([N-]S(C)(=O)=O)c(C(=O)Nc2ccn(C)n2)c1 ZINC000063690263 352919668 /nfs/dbraw/zinc/91/96/68/352919668.db2.gz DWDXSWGDWSVPBM-UHFFFAOYSA-N -1 1 308.363 1.352 20 0 DDADMM CCn1c(CCNC(=O)COCc2ccccc2)n[n-]c1=S ZINC000067077175 353032923 /nfs/dbraw/zinc/03/29/23/353032923.db2.gz KJFKZZYURZZNSU-UHFFFAOYSA-N -1 1 320.418 1.836 20 0 DDADMM Cc1cnc(C(=O)NCC(=O)N2CCc3ccccc32)c([O-])c1 ZINC000358601496 299205117 /nfs/dbraw/zinc/20/51/17/299205117.db2.gz NUHULXZPDNYGND-UHFFFAOYSA-N -1 1 311.341 1.415 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC[C@H](N2CCCC2=O)C1 ZINC000080027353 353587472 /nfs/dbraw/zinc/58/74/72/353587472.db2.gz HGSYQWOBXXAVPI-LBPRGKRZSA-N -1 1 306.337 1.758 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC ZINC000091052379 353807619 /nfs/dbraw/zinc/80/76/19/353807619.db2.gz NGJOLWLRBSQDLT-SNVBAGLBSA-N -1 1 307.318 1.585 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)c2cscn2)o1 ZINC000617829393 363335121 /nfs/dbraw/zinc/33/51/21/363335121.db2.gz GAUZJAWOOMDOET-ZETCQYMHSA-N -1 1 315.376 1.135 20 0 DDADMM Cc1cccc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1Cl ZINC000277040708 213257754 /nfs/dbraw/zinc/25/77/54/213257754.db2.gz KIICULHOUVRISK-JTQLQIEISA-N -1 1 307.785 1.966 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)c1 ZINC000292216740 222915798 /nfs/dbraw/zinc/91/57/98/222915798.db2.gz MAYAWLYGLUWEBN-YABSGUDNSA-N -1 1 324.402 1.675 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)c1ccco1 ZINC000584837027 354779614 /nfs/dbraw/zinc/77/96/14/354779614.db2.gz SVEUZTPDMJQSCG-WCQYABFASA-N -1 1 303.380 1.312 20 0 DDADMM CCn1ccc(=NC(=O)c2ccccc2[N-]S(=O)(=O)CC)[nH]1 ZINC000618039665 363438041 /nfs/dbraw/zinc/43/80/41/363438041.db2.gz UHMNZXPOPGISRE-UHFFFAOYSA-N -1 1 322.390 1.339 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCCCCOC)o1 ZINC000358825441 291045574 /nfs/dbraw/zinc/04/55/74/291045574.db2.gz DPXXCHKFISRWII-UHFFFAOYSA-N -1 1 319.379 1.551 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CCCN(C)C2)c(F)c1 ZINC000588680133 354928227 /nfs/dbraw/zinc/92/82/27/354928227.db2.gz QHRLPFRKLXPHGP-VIFPVBQESA-N -1 1 320.361 1.346 20 0 DDADMM COCC(C)(C)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000589627775 355006932 /nfs/dbraw/zinc/00/69/32/355006932.db2.gz KMPWFJVPSYNQGB-UHFFFAOYSA-N -1 1 309.334 1.677 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nc3cccnc3s2)n1 ZINC000590356012 355076031 /nfs/dbraw/zinc/07/60/31/355076031.db2.gz PLISTSLNLZHILO-UHFFFAOYSA-N -1 1 317.330 1.843 20 0 DDADMM COc1ccc(-n2ccc(CNC(=O)c3ncccc3[O-])n2)cc1 ZINC000171271146 306683642 /nfs/dbraw/zinc/68/36/42/306683642.db2.gz XFEBEPRXPZHWIE-UHFFFAOYSA-N -1 1 324.340 1.912 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cc(C)[nH]c1C(=O)OC)OC ZINC000591490493 355321367 /nfs/dbraw/zinc/32/13/67/355321367.db2.gz YYVBMIDRUCQSGL-SECBINFHSA-N -1 1 304.368 1.276 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)C[C@H](OC)C(C)C)C(=O)OCC ZINC000592046666 355476504 /nfs/dbraw/zinc/47/65/04/355476504.db2.gz FPDBAWVAKHYSEN-BZGOVLQXSA-N -1 1 321.439 1.475 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)Cc1ccon1 ZINC000592075505 355484723 /nfs/dbraw/zinc/48/47/23/355484723.db2.gz LZCKCRZVVIPXMU-JTQLQIEISA-N -1 1 304.368 1.072 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)[C@H]1CCO[C@H]1C ZINC000592075875 355485438 /nfs/dbraw/zinc/48/54/38/355485438.db2.gz QWNCWPKDQLTQIK-DCAQKATOSA-N -1 1 307.412 1.061 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccn(C(C)(C)C)n2)n1 ZINC000594019775 356090964 /nfs/dbraw/zinc/09/09/64/356090964.db2.gz UCPYOQXODOMBFO-UHFFFAOYSA-N -1 1 305.338 1.790 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCS[C@@H]2C)cc1C ZINC000595349081 356459182 /nfs/dbraw/zinc/45/91/82/356459182.db2.gz XRSJRPVUDZNWOT-RKDXNWHRSA-N -1 1 319.404 1.547 20 0 DDADMM CCc1nc(CN=c2[n-]c(-c3cc(F)cc(OC)c3)no2)n[nH]1 ZINC000624247541 366257783 /nfs/dbraw/zinc/25/77/83/366257783.db2.gz JURZKIRRCGQIEW-UHFFFAOYSA-N -1 1 318.312 1.599 20 0 DDADMM CN(CC1CN(C)C1)C(=O)c1nn(-c2cccc(F)c2)cc1[O-] ZINC000618190391 363495654 /nfs/dbraw/zinc/49/56/54/363495654.db2.gz QQHRWRWVMFCLTI-UHFFFAOYSA-N -1 1 318.352 1.351 20 0 DDADMM CN(C[C@@H]1COCCO1)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000618227443 363527752 /nfs/dbraw/zinc/52/77/52/363527752.db2.gz PGWVGGWOWJHJLI-SNVBAGLBSA-N -1 1 319.279 1.898 20 0 DDADMM O=C([O-])c1cn(C2CCN(Cc3ccc(F)cc3)CC2)nn1 ZINC000565256358 304058810 /nfs/dbraw/zinc/05/88/10/304058810.db2.gz YNTQPVWVEIWDSS-UHFFFAOYSA-N -1 1 304.325 1.953 20 0 DDADMM COC(=O)C1(C)CN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000597797095 357334028 /nfs/dbraw/zinc/33/40/28/357334028.db2.gz ASAASDZRRJVSFC-UHFFFAOYSA-N -1 1 300.314 1.576 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(=O)[nH]c(C3CC3)n2)n1 ZINC000598727665 357705803 /nfs/dbraw/zinc/70/58/03/357705803.db2.gz BPRFQHFCHBJGAQ-UHFFFAOYSA-N -1 1 317.305 1.212 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC3(CSC)CC3)nc2n1 ZINC000598893832 357752565 /nfs/dbraw/zinc/75/25/65/357752565.db2.gz YLJRFXVLHOPZSG-UHFFFAOYSA-N -1 1 321.406 1.452 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2c([O-])cccc2F)CS1(=O)=O ZINC000618325830 363588573 /nfs/dbraw/zinc/58/85/73/363588573.db2.gz NPUPWPMDKVGPLP-DTWKUNHWSA-N -1 1 301.339 1.227 20 0 DDADMM O=C(NCC1(CO)COC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000180772357 199207110 /nfs/dbraw/zinc/20/71/10/199207110.db2.gz KQRJKELNGSTURR-UHFFFAOYSA-N -1 1 305.252 1.150 20 0 DDADMM CC(C)CCSCC(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000600872066 358334119 /nfs/dbraw/zinc/33/41/19/358334119.db2.gz DHPQTXHWCGSJNB-UHFFFAOYSA-N -1 1 316.467 1.773 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@H]2CSC)c1 ZINC000601375698 358522281 /nfs/dbraw/zinc/52/22/81/358522281.db2.gz ZUHUNYBOYAOYOK-SNVBAGLBSA-N -1 1 317.432 1.917 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCC[C@H](C)C2)n[n-]1 ZINC000603152687 359439299 /nfs/dbraw/zinc/43/92/99/359439299.db2.gz DMGLWGMAFFYJEH-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCC[C@H](C)C2)[n-]1 ZINC000603152687 359439301 /nfs/dbraw/zinc/43/93/01/359439301.db2.gz DMGLWGMAFFYJEH-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCC[C@H](C)C2)n1 ZINC000603152687 359439303 /nfs/dbraw/zinc/43/93/03/359439303.db2.gz DMGLWGMAFFYJEH-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(C)cs2)n[n-]1 ZINC000603157212 359444021 /nfs/dbraw/zinc/44/40/21/359444021.db2.gz USTCCKMJDWDBSQ-MRVPVSSYSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(C)cs2)[n-]1 ZINC000603157212 359444029 /nfs/dbraw/zinc/44/40/29/359444029.db2.gz USTCCKMJDWDBSQ-MRVPVSSYSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(C)cs2)n1 ZINC000603157212 359444034 /nfs/dbraw/zinc/44/40/34/359444034.db2.gz USTCCKMJDWDBSQ-MRVPVSSYSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCCSC2)n[n-]1 ZINC000603158462 359445535 /nfs/dbraw/zinc/44/55/35/359445535.db2.gz ZCZGGSNFORQUCO-DTWKUNHWSA-N -1 1 312.395 1.302 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCCSC2)[n-]1 ZINC000603158462 359445540 /nfs/dbraw/zinc/44/55/40/359445540.db2.gz ZCZGGSNFORQUCO-DTWKUNHWSA-N -1 1 312.395 1.302 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCCSC2)n1 ZINC000603158462 359445544 /nfs/dbraw/zinc/44/55/44/359445544.db2.gz ZCZGGSNFORQUCO-DTWKUNHWSA-N -1 1 312.395 1.302 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2Cc3ccccc32)n[n-]1 ZINC000603160230 359446521 /nfs/dbraw/zinc/44/65/21/359446521.db2.gz DBAGWCHPOSDMQU-CABZTGNLSA-N -1 1 314.345 1.499 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2Cc3ccccc32)[n-]1 ZINC000603160230 359446525 /nfs/dbraw/zinc/44/65/25/359446525.db2.gz DBAGWCHPOSDMQU-CABZTGNLSA-N -1 1 314.345 1.499 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2Cc3ccccc32)n1 ZINC000603160230 359446531 /nfs/dbraw/zinc/44/65/31/359446531.db2.gz DBAGWCHPOSDMQU-CABZTGNLSA-N -1 1 314.345 1.499 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2ccccc2F)n[n-]1 ZINC000603161777 359446851 /nfs/dbraw/zinc/44/68/51/359446851.db2.gz GPMMIQOMYIFPAY-VIFPVBQESA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2ccccc2F)[n-]1 ZINC000603161777 359446856 /nfs/dbraw/zinc/44/68/56/359446856.db2.gz GPMMIQOMYIFPAY-VIFPVBQESA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2ccccc2F)n1 ZINC000603161777 359446861 /nfs/dbraw/zinc/44/68/61/359446861.db2.gz GPMMIQOMYIFPAY-VIFPVBQESA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cccc(F)c2)n[n-]1 ZINC000603161349 359446915 /nfs/dbraw/zinc/44/69/15/359446915.db2.gz FBNHVMRHKLSHCN-MRVPVSSYSA-N -1 1 306.297 1.612 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cccc(F)c2)[n-]1 ZINC000603161349 359446921 /nfs/dbraw/zinc/44/69/21/359446921.db2.gz FBNHVMRHKLSHCN-MRVPVSSYSA-N -1 1 306.297 1.612 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cccc(F)c2)n1 ZINC000603161349 359446923 /nfs/dbraw/zinc/44/69/23/359446923.db2.gz FBNHVMRHKLSHCN-MRVPVSSYSA-N -1 1 306.297 1.612 20 0 DDADMM COC(=O)c1cnc(S[C@@H](C)C(=O)NCc2ccccc2)[n-]1 ZINC000187597827 200133206 /nfs/dbraw/zinc/13/32/06/200133206.db2.gz SSGHNYUVWPNINH-JTQLQIEISA-N -1 1 319.386 1.993 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H](C)C(=O)NCc2ccccc2)n1 ZINC000187597827 200133207 /nfs/dbraw/zinc/13/32/07/200133207.db2.gz SSGHNYUVWPNINH-JTQLQIEISA-N -1 1 319.386 1.993 20 0 DDADMM CCOC1CC(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)C1 ZINC000188297902 200223781 /nfs/dbraw/zinc/22/37/81/200223781.db2.gz QQBBHOGRHPGMGC-UHFFFAOYSA-N -1 1 321.830 1.557 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc(-c2ccoc2)n[nH]1 ZINC000605530949 359868118 /nfs/dbraw/zinc/86/81/18/359868118.db2.gz FIHFNPYRVFKRTR-UHFFFAOYSA-N -1 1 313.321 1.382 20 0 DDADMM O=C(CN1C(=O)COc2ccccc21)Nc1c([O-])cccc1F ZINC000608366011 360167151 /nfs/dbraw/zinc/16/71/51/360167151.db2.gz YYJWZFVAZANDHE-UHFFFAOYSA-N -1 1 316.288 1.895 20 0 DDADMM COC(=O)CCSCCNC(=O)c1cc(F)ccc1[O-] ZINC000610573228 360473793 /nfs/dbraw/zinc/47/37/93/360473793.db2.gz YVRHAENCRVBADT-UHFFFAOYSA-N -1 1 301.339 1.557 20 0 DDADMM COC(=O)C(C)(C)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000611335287 360700672 /nfs/dbraw/zinc/70/06/72/360700672.db2.gz AXYQOEMNIATUBJ-LLVKDONJSA-N -1 1 306.362 1.839 20 0 DDADMM CSc1n[nH]c(NC(=O)c2cc(C)nc3c2cnn3C)n1 ZINC000359077720 299321833 /nfs/dbraw/zinc/32/18/33/299321833.db2.gz HHTGBQCPMHQILC-UHFFFAOYSA-N -1 1 303.351 1.369 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2c(c1)C[C@H](C)O2)c1nn[n-]n1 ZINC000613471981 361432624 /nfs/dbraw/zinc/43/26/24/361432624.db2.gz IGPQLZGCKPGEEL-ZJUUUORDSA-N -1 1 301.350 1.399 20 0 DDADMM CC1(C)CCN(C(=O)c2ccc([O-])cc2F)CCS1(=O)=O ZINC000194187851 201193961 /nfs/dbraw/zinc/19/39/61/201193961.db2.gz UPNVQTMMKAIXJH-UHFFFAOYSA-N -1 1 315.366 1.571 20 0 DDADMM CN1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CCC1=O ZINC000619060699 363878493 /nfs/dbraw/zinc/87/84/93/363878493.db2.gz ANXAKRBZSRHEGC-UHFFFAOYSA-N -1 1 316.279 1.715 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1C[C@@H](O)C12CCC2 ZINC000619084288 363892588 /nfs/dbraw/zinc/89/25/88/363892588.db2.gz XTZBENFCNQIBBW-WDEREUQCSA-N -1 1 319.405 1.821 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H]1OCC[C@@H]1NC(=O)c1cncc([O-])c1 ZINC000348864285 283732972 /nfs/dbraw/zinc/73/29/72/283732972.db2.gz CKSBYGRUYXJRTO-DZGCQCFKSA-N -1 1 316.361 1.398 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1ccc(C(=O)[O-])c(F)c1 ZINC000349086121 283837597 /nfs/dbraw/zinc/83/75/97/283837597.db2.gz RCGAOIUWFZLNQO-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccc2c(c1)COC2 ZINC000622610053 365450785 /nfs/dbraw/zinc/45/07/85/365450785.db2.gz OFBSENRHGUADSG-OAHLLOKOSA-N -1 1 321.340 1.749 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)CCc1cccc(Cl)c1 ZINC000625492980 366964347 /nfs/dbraw/zinc/96/43/47/366964347.db2.gz OTHODLINIRIIBP-GFCCVEGCSA-N -1 1 317.794 1.160 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2ncccc2Br)C1 ZINC000625645277 367069939 /nfs/dbraw/zinc/06/99/39/367069939.db2.gz VGTCSAWINTWCRY-ZKCHVHJHSA-N -1 1 321.196 1.300 20 0 DDADMM CO[C@H]1C[C@@H](C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000625670220 367082229 /nfs/dbraw/zinc/08/22/29/367082229.db2.gz PJUUZHLBYNAULW-OCAPTIKFSA-N -1 1 309.309 1.807 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)NC(=O)NCc1ccccc1)C(=O)[O-] ZINC000262291096 203251564 /nfs/dbraw/zinc/25/15/64/203251564.db2.gz NOCCYCBEVSZLRC-DGCLKSJQSA-N -1 1 321.377 1.244 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC[C@@H](F)C1 ZINC000566400084 304149902 /nfs/dbraw/zinc/14/99/02/304149902.db2.gz NLSQLOUICBSFCJ-LLVKDONJSA-N -1 1 306.341 1.555 20 0 DDADMM CC(C)(NC(=O)CCc1nnc(C2CCCC2)o1)c1nn[n-]n1 ZINC000350149211 284158364 /nfs/dbraw/zinc/15/83/64/284158364.db2.gz DWMMIOQFOIDYKC-UHFFFAOYSA-N -1 1 319.369 1.224 20 0 DDADMM Cc1cc(C(=O)N=c2nc(C)[nH][n-]2)nn1-c1cccc(F)c1 ZINC000350765013 284256974 /nfs/dbraw/zinc/25/69/74/284256974.db2.gz FEYWJZWUUGIJGE-UHFFFAOYSA-N -1 1 300.297 1.421 20 0 DDADMM CC(C)(C)OC(=O)C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000264841909 204201867 /nfs/dbraw/zinc/20/18/67/204201867.db2.gz LGBWDFSUEJBBIH-UHFFFAOYSA-N -1 1 306.362 1.981 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1O[C@@H](C)C[C@H]1C ZINC000282484556 217030420 /nfs/dbraw/zinc/03/04/20/217030420.db2.gz HHJWIVRIYVHMME-CEFVDNRUSA-N -1 1 318.377 1.069 20 0 DDADMM O=C(NCCCOCCO)c1c([O-])cnc2ccc(Cl)cc21 ZINC000282744390 217219278 /nfs/dbraw/zinc/21/92/78/217219278.db2.gz ZKXUEJIFTLUGAM-UHFFFAOYSA-N -1 1 324.764 1.723 20 0 DDADMM O=C(Cc1ccc(F)cc1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282804236 217262555 /nfs/dbraw/zinc/26/25/55/217262555.db2.gz AQWNRHUGNLSLQB-NSHDSACASA-N -1 1 304.325 1.186 20 0 DDADMM COc1cc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)ccc1C ZINC000282887545 217302130 /nfs/dbraw/zinc/30/21/30/217302130.db2.gz KEDUEDUKDGLQIS-GFCCVEGCSA-N -1 1 316.361 1.435 20 0 DDADMM CO[C@H]1CCN(C(=O)CCc2c(C)nc(SC)[n-]c2=O)C1 ZINC000267581633 206157433 /nfs/dbraw/zinc/15/74/33/206157433.db2.gz CIQDELZIBGGMMT-JTQLQIEISA-N -1 1 311.407 1.392 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(-c2ccco2)n[nH]1)c1nn[n-]n1 ZINC000267693620 206223353 /nfs/dbraw/zinc/22/33/53/206223353.db2.gz OUMKNFNLEBFOJQ-MRVPVSSYSA-N -1 1 301.310 1.454 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccccc1Cl)C1CC1 ZINC000267740237 206252181 /nfs/dbraw/zinc/25/21/81/206252181.db2.gz LECQBGOVBPNKAR-LBPRGKRZSA-N -1 1 317.794 1.711 20 0 DDADMM COC(=O)c1ccc(F)cc1S(=O)(=O)[N-]C(C)(C)CF ZINC000351774096 284516066 /nfs/dbraw/zinc/51/60/66/284516066.db2.gz LYMFUXCNMCBWCQ-UHFFFAOYSA-N -1 1 307.318 1.639 20 0 DDADMM CCOc1ccc(NC(=O)[C@@H](C)S(=O)(=O)c2ncn[n-]2)cc1 ZINC000267932377 206345471 /nfs/dbraw/zinc/34/54/71/206345471.db2.gz WIMOIYPBOZIFBK-SECBINFHSA-N -1 1 324.362 1.004 20 0 DDADMM CCOc1ccc(NC(=O)[C@@H](C)S(=O)(=O)c2nc[n-]n2)cc1 ZINC000267932377 206345477 /nfs/dbraw/zinc/34/54/77/206345477.db2.gz WIMOIYPBOZIFBK-SECBINFHSA-N -1 1 324.362 1.004 20 0 DDADMM O=C1NCCc2c([N-]S(=O)(=O)C[C@H]3CCCCO3)cccc21 ZINC000333936125 249153696 /nfs/dbraw/zinc/15/36/96/249153696.db2.gz KDJQDPJPGPGHLD-LLVKDONJSA-N -1 1 324.402 1.283 20 0 DDADMM O=C([O-])[C@@H]1c2ccccc2CCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000336876779 249280586 /nfs/dbraw/zinc/28/05/86/249280586.db2.gz JRUQFVLZZPXQTR-HNNXBMFYSA-N -1 1 322.324 1.782 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)CCC(=O)OC)cc1 ZINC000042301720 183251477 /nfs/dbraw/zinc/25/14/77/183251477.db2.gz GXCJRLKZIBGAML-UHFFFAOYSA-N -1 1 315.347 1.168 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2cn(C)cn2)sc1C ZINC000579570549 422792193 /nfs/dbraw/zinc/79/21/93/422792193.db2.gz RCBOLOGOQUUQFR-UHFFFAOYSA-N -1 1 300.409 1.014 20 0 DDADMM COC(=O)c1cc(CN2CCC[C@H](c3n[n-]c(=O)o3)C2)oc1C ZINC000284411470 218016770 /nfs/dbraw/zinc/01/67/70/218016770.db2.gz XEXNUFSCZCZNQG-JTQLQIEISA-N -1 1 321.333 1.431 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2noc3ccccc32)CCC1 ZINC000273981879 211240174 /nfs/dbraw/zinc/24/01/74/211240174.db2.gz QPQQLVRSIDRQSN-UHFFFAOYSA-N -1 1 324.358 1.343 20 0 DDADMM CC[C@H]1CN(CC[N-]S(=O)(=O)c2sccc2F)CCO1 ZINC000338977083 250229236 /nfs/dbraw/zinc/22/92/36/250229236.db2.gz ZCWACFKCCROQRO-JTQLQIEISA-N -1 1 322.427 1.276 20 0 DDADMM O=C(c1ccc2nccn2c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000339198274 250339188 /nfs/dbraw/zinc/33/91/88/250339188.db2.gz WOINMYZENLAJEM-JTQLQIEISA-N -1 1 313.317 1.443 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCC(=O)OC(C)(C)C)sc1C ZINC000352094771 284777351 /nfs/dbraw/zinc/77/73/51/284777351.db2.gz HRSSLHNHTKDKBK-UHFFFAOYSA-N -1 1 320.436 1.770 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CCC[C@@H]2C)co1 ZINC000339999767 250807830 /nfs/dbraw/zinc/80/78/30/250807830.db2.gz SMSXMVDCBKNQAA-VHSXEESVSA-N -1 1 300.380 1.354 20 0 DDADMM COCC(C)(C)CCCN=c1[n-]cc(S(N)(=O)=O)s1 ZINC000340882471 251258901 /nfs/dbraw/zinc/25/89/01/251258901.db2.gz SWFWFLXWNWGMQE-UHFFFAOYSA-N -1 1 307.441 1.077 20 0 DDADMM O=C(N[C@H]1CCN(c2ccccc2F)C1=O)c1ncccc1[O-] ZINC000112402988 194469278 /nfs/dbraw/zinc/46/92/78/194469278.db2.gz FLTDEAOEVGMABI-NSHDSACASA-N -1 1 315.304 1.462 20 0 DDADMM CCC[C@@]1(C)CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000352405877 285029713 /nfs/dbraw/zinc/02/97/13/285029713.db2.gz CJFMJBQFPCYJQO-HNNXBMFYSA-N -1 1 303.366 1.460 20 0 DDADMM C[C@@H]1CCN([C@H]2CCCN(CC(F)(F)F)C2=O)C[C@H]1C(=O)[O-] ZINC000567856012 304248528 /nfs/dbraw/zinc/24/85/28/304248528.db2.gz ACEPQOAMRDQVIY-MXWKQRLJSA-N -1 1 322.327 1.582 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(NC(C)=O)ccc1O)c1nn[n-]n1 ZINC000270028941 208086281 /nfs/dbraw/zinc/08/62/81/208086281.db2.gz GUYOKBKUUUASAB-LLVKDONJSA-N -1 1 318.337 1.135 20 0 DDADMM CC(C)(NC(=O)CNC(=O)c1ncccc1[O-])c1ccccc1 ZINC000352528086 285117003 /nfs/dbraw/zinc/11/70/03/285117003.db2.gz JEMDBQLMSVWBIS-UHFFFAOYSA-N -1 1 313.357 1.569 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2C[C@@H](O)C[C@H]2C)c(=O)[n-]1 ZINC000331013724 533071729 /nfs/dbraw/zinc/07/17/29/533071729.db2.gz OIFBCRIGOIZZGW-SCZZXKLOSA-N -1 1 311.407 1.127 20 0 DDADMM CC[C@H](C)[C@@H]1CCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352550093 285133749 /nfs/dbraw/zinc/13/37/49/285133749.db2.gz JXXFRQPOPVHPEC-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CCOC(=O)[C@H](C)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000158073148 197241542 /nfs/dbraw/zinc/24/15/42/197241542.db2.gz KOJGLEMSRHGYEA-MRVPVSSYSA-N -1 1 307.318 1.442 20 0 DDADMM COCC(=O)N[C@H](C(=O)Nc1ccc([O-])c(Cl)c1)C(C)C ZINC000157819873 197217422 /nfs/dbraw/zinc/21/74/22/197217422.db2.gz KSQLXELKNLBOAD-ZDUSSCGKSA-N -1 1 314.769 1.771 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCc3ccccc32)co1 ZINC000157146042 197165880 /nfs/dbraw/zinc/16/58/80/197165880.db2.gz DLJUZSQMMBPVCM-UHFFFAOYSA-N -1 1 320.370 1.781 20 0 DDADMM CC(C)(C)S(=O)(=O)CCNC(=O)c1ccc([O-])cc1F ZINC000154890070 197002105 /nfs/dbraw/zinc/00/21/05/197002105.db2.gz VPANVYIOLBPYQM-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM CSC[C@@](C)(O)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000120369581 195153277 /nfs/dbraw/zinc/15/32/77/195153277.db2.gz WZBQMZIEQDGCAL-NSHDSACASA-N -1 1 311.375 1.357 20 0 DDADMM Cc1ccccc1[C@H]1CN(C(=O)CCc2nn[n-]n2)[C@H](C)CO1 ZINC000631514940 422808246 /nfs/dbraw/zinc/80/82/46/422808246.db2.gz BRCDUEBAMUOLSM-TZMCWYRMSA-N -1 1 315.377 1.429 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@@]2(O)CCOC2)c1 ZINC000413689370 224237980 /nfs/dbraw/zinc/23/79/80/224237980.db2.gz DWUBAMJDHCYTEL-GFCCVEGCSA-N -1 1 316.151 1.553 20 0 DDADMM O=C(c1cccc2cccnc21)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000289548562 221058419 /nfs/dbraw/zinc/05/84/19/221058419.db2.gz GATXNZGVUXESDU-LBPRGKRZSA-N -1 1 323.356 1.666 20 0 DDADMM COC(=O)CCC(=O)CSc1nc(C(F)F)cc(=O)[n-]1 ZINC000289527136 221041330 /nfs/dbraw/zinc/04/13/30/221041330.db2.gz MZIHSIBRMCWNOL-UHFFFAOYSA-N -1 1 306.290 1.734 20 0 DDADMM C[C@H](O)C[C@H](C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352954976 285412553 /nfs/dbraw/zinc/41/25/53/285412553.db2.gz SYCRSQLNPCGFHT-BQBZGAKWSA-N -1 1 312.185 1.480 20 0 DDADMM CCO[C@H](C)c1nc(CN(C)C(=O)CCc2nn[n-]n2)cs1 ZINC000631538575 422820201 /nfs/dbraw/zinc/82/02/01/422820201.db2.gz SXKKRSGHDIPZHB-SECBINFHSA-N -1 1 324.410 1.345 20 0 DDADMM O=C([O-])C1(C(=O)NCc2nc3ccc(F)cc3[nH]2)CCCC1 ZINC000569008287 304330231 /nfs/dbraw/zinc/33/02/31/304330231.db2.gz TWYSGVSTNPUFFO-UHFFFAOYSA-N -1 1 305.309 1.963 20 0 DDADMM CCOCCCN(C[C@H](C)C(=O)[O-])C(=O)c1cc(C2CC2)[nH]n1 ZINC000262470136 286055744 /nfs/dbraw/zinc/05/57/44/286055744.db2.gz ZSVAQONYABLSMZ-NSHDSACASA-N -1 1 323.393 1.877 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCc2ccccc2O1)c1nn[n-]n1 ZINC000569688612 304374523 /nfs/dbraw/zinc/37/45/23/304374523.db2.gz YSPQUUGJUPQTAE-DGCLKSJQSA-N -1 1 301.350 1.551 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2c(C)cc(C)cc2C)n1 ZINC000031721300 406941819 /nfs/dbraw/zinc/94/18/19/406941819.db2.gz SMRHKMGDQHEUTB-UHFFFAOYSA-N -1 1 322.390 1.716 20 0 DDADMM Nc1cc(N)nc(SCc2nc(=O)c3sccc3[n-]2)n1 ZINC000031818952 406943720 /nfs/dbraw/zinc/94/37/20/406943720.db2.gz QSWIQZFUXNDFDO-UHFFFAOYSA-N -1 1 306.376 1.231 20 0 DDADMM COCc1cccc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)c1 ZINC000077704762 407003338 /nfs/dbraw/zinc/00/33/38/407003338.db2.gz AZCPEOAODZJIJO-UHFFFAOYSA-N -1 1 320.370 1.733 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCC[C@H]1O)c1cc(F)ccc1F ZINC000085987990 407107841 /nfs/dbraw/zinc/10/78/41/407107841.db2.gz BVDRIBVLJXPSIW-JOYOIKCWSA-N -1 1 305.346 1.794 20 0 DDADMM Cc1ccc(NC(=O)CS(=O)(=O)c2ncn[n-]2)cc1Cl ZINC000086170011 407108641 /nfs/dbraw/zinc/10/86/41/407108641.db2.gz RFRHTNDTCIVEMN-UHFFFAOYSA-N -1 1 314.754 1.179 20 0 DDADMM Cc1ccc(NC(=O)CS(=O)(=O)c2nc[n-]n2)cc1Cl ZINC000086170011 407108644 /nfs/dbraw/zinc/10/86/44/407108644.db2.gz RFRHTNDTCIVEMN-UHFFFAOYSA-N -1 1 314.754 1.179 20 0 DDADMM O=C(NC1CCN(c2ncccn2)CC1)c1cc(F)ccc1[O-] ZINC000080002957 407068238 /nfs/dbraw/zinc/06/82/38/407068238.db2.gz SNCGYJBZXGDKFU-UHFFFAOYSA-N -1 1 316.336 1.720 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2C[C@H]2c2ccccc2)co1 ZINC000122852581 407312164 /nfs/dbraw/zinc/31/21/64/407312164.db2.gz ZHRBMBPIOYUTSR-STQMWFEESA-N -1 1 320.370 1.474 20 0 DDADMM CN(CC(=O)Nc1nc(-c2ccco2)n[nH]1)C(=O)OC(C)(C)C ZINC000103269073 407335545 /nfs/dbraw/zinc/33/55/45/407335545.db2.gz JTGQMEHDXXZVNU-UHFFFAOYSA-N -1 1 321.337 1.870 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)C(=O)OC(C)(C)C ZINC000125882901 407400346 /nfs/dbraw/zinc/40/03/46/407400346.db2.gz XSTOPAPOFSLEDV-NWDGAFQWSA-N -1 1 321.439 1.451 20 0 DDADMM CN(CC(=O)Nc1cc(F)cc(F)c1)C(=O)c1cncc([O-])c1 ZINC000111597847 407411877 /nfs/dbraw/zinc/41/18/77/407411877.db2.gz UJJXWRIXKLAOPU-UHFFFAOYSA-N -1 1 321.283 1.776 20 0 DDADMM CC[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000113421914 407501341 /nfs/dbraw/zinc/50/13/41/407501341.db2.gz SBGGULYJAVHUHU-JTQLQIEISA-N -1 1 308.363 1.341 20 0 DDADMM CC[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000113421914 407501350 /nfs/dbraw/zinc/50/13/50/407501350.db2.gz SBGGULYJAVHUHU-JTQLQIEISA-N -1 1 308.363 1.341 20 0 DDADMM CCC(=O)[C@H]1CCCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000185820100 407511068 /nfs/dbraw/zinc/51/10/68/407511068.db2.gz WIISDHYRYYWBJY-GFCCVEGCSA-N -1 1 316.361 1.648 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc2ccc(OC(F)(F)F)cc2[nH]1 ZINC000170852327 407530010 /nfs/dbraw/zinc/53/00/10/407530010.db2.gz XCQQCDSRBXNFKY-UHFFFAOYSA-N -1 1 312.211 1.832 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCOC[C@@H]1C[C@H](O)c1ccco1 ZINC000178800548 407608406 /nfs/dbraw/zinc/60/84/06/407608406.db2.gz BYTGVGJDDBWYTE-JSGCOSHPSA-N -1 1 318.329 1.345 20 0 DDADMM O=C([O-])[C@H]1CCCC[N@H+]1Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC000261770323 407611293 /nfs/dbraw/zinc/61/12/93/407611293.db2.gz VYLJBXXEJJAWGQ-GFCCVEGCSA-N -1 1 321.764 1.787 20 0 DDADMM COc1ccc(OCCCNC(=O)c2ncccc2[O-])cc1 ZINC000171272088 407646026 /nfs/dbraw/zinc/64/60/26/407646026.db2.gz SMWJYGKCTIKFOH-UHFFFAOYSA-N -1 1 302.330 1.995 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)(F)F)c1cc(F)cc(F)c1 ZINC000228544671 407647892 /nfs/dbraw/zinc/64/78/92/407647892.db2.gz GXOSYLIATJDJEQ-MRVPVSSYSA-N -1 1 305.224 1.166 20 0 DDADMM CC1(C)CCC[C@@H](CC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1 ZINC000131138588 407722400 /nfs/dbraw/zinc/72/24/00/407722400.db2.gz HLTUKHPMSWHBHX-VIFPVBQESA-N -1 1 314.411 1.753 20 0 DDADMM CC1(C)CCC[C@@H](CC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1 ZINC000131138588 407722411 /nfs/dbraw/zinc/72/24/11/407722411.db2.gz HLTUKHPMSWHBHX-VIFPVBQESA-N -1 1 314.411 1.753 20 0 DDADMM CC[C@H]1CN(C(=O)c2c(C)[n-]c(=O)nc2SC)C[C@@H](C)O1 ZINC000267044827 407726528 /nfs/dbraw/zinc/72/65/28/407726528.db2.gz UXHXMVAUSJVRPJ-SCZZXKLOSA-N -1 1 311.407 1.852 20 0 DDADMM CNC(=O)[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(C)C ZINC000178930344 407661492 /nfs/dbraw/zinc/66/14/92/407661492.db2.gz GLNMEPKUPGAYID-NSHDSACASA-N -1 1 324.324 1.153 20 0 DDADMM CNC(=O)CCN(C)C(=O)c1ccc(Br)c([O-])c1 ZINC000179171486 407743732 /nfs/dbraw/zinc/74/37/32/407743732.db2.gz UQJXZBUDXGNJDG-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM Cc1nc(N2CCN(C(=O)c3cncc([O-])c3)CC2)sc1C ZINC000179183357 407747232 /nfs/dbraw/zinc/74/72/32/407747232.db2.gz IXGHGPYXKXZTMV-UHFFFAOYSA-N -1 1 318.402 1.823 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCO[C@@H]3CCCC[C@@H]31)c2=O ZINC000179436824 407788557 /nfs/dbraw/zinc/78/85/57/407788557.db2.gz RGELPFFJXKXNHN-QWHCGFSZSA-N -1 1 316.361 1.458 20 0 DDADMM CC[C@@H](SC)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000272134478 407788663 /nfs/dbraw/zinc/78/86/63/407788663.db2.gz NKEVSIYGMITXGF-SNVBAGLBSA-N -1 1 304.393 1.120 20 0 DDADMM CCC[C@H](NC(=O)CCNc1ccccc1C)c1nn[n-]n1 ZINC000268250499 407971631 /nfs/dbraw/zinc/97/16/31/407971631.db2.gz WYVIIOJGTJHUDX-ZDUSSCGKSA-N -1 1 302.382 1.968 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)c1nn(-c2ccccc2F)cc1[O-] ZINC000174175098 407917742 /nfs/dbraw/zinc/91/77/42/407917742.db2.gz ADNJKTYUQIPAST-UHFFFAOYSA-N -1 1 316.296 1.107 20 0 DDADMM O=C(CCc1cncc(F)c1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000180830367 407924985 /nfs/dbraw/zinc/92/49/85/407924985.db2.gz XLWYRFOCRLWIBQ-UHFFFAOYSA-N -1 1 303.219 1.929 20 0 DDADMM CC[C@@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1ccc(OC)cc1 ZINC000182559899 306705361 /nfs/dbraw/zinc/70/53/61/306705361.db2.gz OCCHBYDGQVJYKR-CYBMUJFWSA-N -1 1 318.377 1.349 20 0 DDADMM COC(=O)C1(CNC(=O)c2ccc([O-])cc2F)CCOCC1 ZINC000273088940 408052278 /nfs/dbraw/zinc/05/22/78/408052278.db2.gz JBYWJVZCTISJHB-UHFFFAOYSA-N -1 1 311.309 1.231 20 0 DDADMM CC[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)[C@@H]1CCCO1 ZINC000119436303 408070563 /nfs/dbraw/zinc/07/05/63/408070563.db2.gz JIHGQHBKLXIWDX-RYUDHWBXSA-N -1 1 304.350 1.361 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN([C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000263301807 408098647 /nfs/dbraw/zinc/09/86/47/408098647.db2.gz GRUJGDSISIMNFH-XUJVJEKNSA-N -1 1 320.364 1.974 20 0 DDADMM C[C@@H](N1CCN(C(=O)c2ncccc2[O-])CC1)C(F)(F)F ZINC000175428145 408128175 /nfs/dbraw/zinc/12/81/75/408128175.db2.gz YMKHATBSXUSPNT-SECBINFHSA-N -1 1 303.284 1.496 20 0 DDADMM O=C([O-])[C@H]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000263462814 408147328 /nfs/dbraw/zinc/14/73/28/408147328.db2.gz WCEUETYGURRKAZ-LBPRGKRZSA-N -1 1 300.318 1.409 20 0 DDADMM Cc1ccc(F)c(OCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000155589813 408183323 /nfs/dbraw/zinc/18/33/23/408183323.db2.gz JXJDOCIKOLSFDW-NSHDSACASA-N -1 1 319.340 1.432 20 0 DDADMM C[C@H]1CN=C(N2CCN(C(=O)c3ncccc3[O-])CC2)S1 ZINC000175871420 408227311 /nfs/dbraw/zinc/22/73/11/408227311.db2.gz RBOFLSPCPUCWDA-JTQLQIEISA-N -1 1 306.391 1.036 20 0 DDADMM C[C@H]1C[C@@H](CC[N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000273637055 408273871 /nfs/dbraw/zinc/27/38/71/408273871.db2.gz XSDXJYYCUQLNAD-UWVGGRQHSA-N -1 1 321.830 1.557 20 0 DDADMM Cc1ccc(S(=O)(=O)N[C@@H](C)c2nnc[nH]2)c(C)c1C(=O)[O-] ZINC000273676573 408284954 /nfs/dbraw/zinc/28/49/54/408284954.db2.gz GYXBWHYAQGYTDA-VIFPVBQESA-N -1 1 324.362 1.159 20 0 DDADMM CC[C@@H](C)Oc1ccc(C(=O)NCCCc2nc(=O)[n-][nH]2)cc1 ZINC000176177502 408300752 /nfs/dbraw/zinc/30/07/52/408300752.db2.gz UOIIWMFZNZBRNM-LLVKDONJSA-N -1 1 318.377 1.638 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1Oc2ccccc2[C@H]1C)c1nn[n-]n1 ZINC000136735859 162122258 /nfs/dbraw/zinc/12/22/58/162122258.db2.gz JGVBWFLNWVOFMD-SUZMYJTESA-N -1 1 301.350 1.722 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CCCc2c(O)cccc21)c1nn[n-]n1 ZINC000191022404 408360674 /nfs/dbraw/zinc/36/06/74/408360674.db2.gz VALQKCPSGVDCAE-GWCFXTLKSA-N -1 1 315.377 1.587 20 0 DDADMM COC[C@H](C)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000158956006 408373914 /nfs/dbraw/zinc/37/39/14/408373914.db2.gz GYAYVLKWFPAAIJ-VIFPVBQESA-N -1 1 306.366 1.135 20 0 DDADMM O=C(NCCc1nnc2n1CCCC2)c1cc(Cl)ccc1[O-] ZINC000159095098 408383940 /nfs/dbraw/zinc/38/39/40/408383940.db2.gz BMLXFBBVROWILM-UHFFFAOYSA-N -1 1 320.780 1.946 20 0 DDADMM CCc1ccccc1OCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183398451 408398211 /nfs/dbraw/zinc/39/82/11/408398211.db2.gz CWOKJKXDIOKFRO-LLVKDONJSA-N -1 1 303.366 1.403 20 0 DDADMM Cc1nc2ccccc2n1CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183400152 408401524 /nfs/dbraw/zinc/40/15/24/408401524.db2.gz OKGVVAXAAWYEHK-JTQLQIEISA-N -1 1 313.365 1.120 20 0 DDADMM COc1cc(Cl)ccc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183420593 408408004 /nfs/dbraw/zinc/40/80/04/408408004.db2.gz QLRKCDVCEOHMQG-MRVPVSSYSA-N -1 1 309.757 1.737 20 0 DDADMM CCC(CC)c1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)on1 ZINC000183437157 408409820 /nfs/dbraw/zinc/40/98/20/408409820.db2.gz QYMHFCOOPRTVJG-SECBINFHSA-N -1 1 306.370 1.967 20 0 DDADMM CC[C@H](C)[C@@H](O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000269740479 408412169 /nfs/dbraw/zinc/41/21/69/408412169.db2.gz DVSINDIOMUDVOM-CPCISQLKSA-N -1 1 311.325 1.789 20 0 DDADMM CCc1ccc(OCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183439526 408412812 /nfs/dbraw/zinc/41/28/12/408412812.db2.gz ROARBPAODUHBCX-NSHDSACASA-N -1 1 303.366 1.403 20 0 DDADMM Cc1ccc(OCCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c(C)c1 ZINC000183450268 408416857 /nfs/dbraw/zinc/41/68/57/408416857.db2.gz UPNHCZVMFLWASO-ZDUSSCGKSA-N -1 1 317.393 1.848 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](C)C[S@@](C)=O)cc(Cl)c1[O-] ZINC000183557159 408440961 /nfs/dbraw/zinc/44/09/61/408440961.db2.gz CSPRRXQVRZRDPQ-FFVOIRBGSA-N -1 1 319.810 1.941 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CCCO[C@@H]1C ZINC000191797104 408494761 /nfs/dbraw/zinc/49/47/61/408494761.db2.gz WEJRMRZGLWVTEZ-NEPJUHHUSA-N -1 1 318.377 1.071 20 0 DDADMM NC(=O)CO[N-]C(=O)CCc1cc(F)ccc1Br ZINC000183914160 408506509 /nfs/dbraw/zinc/50/65/09/408506509.db2.gz GRFUMNBUVKCZKS-UHFFFAOYSA-N -1 1 319.130 1.054 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000264384034 408468790 /nfs/dbraw/zinc/46/87/90/408468790.db2.gz MCFXLHBINQIOAW-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc([C@@H]2CCOC2)c1)c1nn[n-]n1 ZINC000274810649 408540526 /nfs/dbraw/zinc/54/05/26/408540526.db2.gz VLBUBKOYBBZWGR-BXUZGUMPSA-N -1 1 315.377 1.579 20 0 DDADMM CCO[C@H]1C[C@](CO)(NC(=O)c2c([O-])cccc2F)C1(C)C ZINC000275672253 408642618 /nfs/dbraw/zinc/64/26/18/408642618.db2.gz RTQRRCCBFOQATL-BLLLJJGKSA-N -1 1 311.353 1.827 20 0 DDADMM Cc1nnc2n1C[C@@H](CNC(=O)c1cc(Cl)ccc1[O-])CC2 ZINC000265423801 408644522 /nfs/dbraw/zinc/64/45/22/408644522.db2.gz KSDCINNCPUFNEB-SNVBAGLBSA-N -1 1 320.780 1.938 20 0 DDADMM CC[C@H]1CCC[C@@H]1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000162570752 408714364 /nfs/dbraw/zinc/71/43/64/408714364.db2.gz DFBQTYZKGOMLLN-JSGCOSHPSA-N -1 1 316.405 1.877 20 0 DDADMM CCN(C)CCNS(=O)(=O)c1ccc(C)c(C(=O)[O-])c1C ZINC000184964926 408727756 /nfs/dbraw/zinc/72/77/56/408727756.db2.gz YWYLOMAUVNJZKM-UHFFFAOYSA-N -1 1 314.407 1.232 20 0 DDADMM Cc1nc([N-]S(=O)(=O)Cc2ccc(F)c(Cl)c2)n(C)n1 ZINC000194702690 408792859 /nfs/dbraw/zinc/79/28/59/408792859.db2.gz OJIJGDWKDRLWOS-UHFFFAOYSA-N -1 1 318.761 1.858 20 0 DDADMM O=C(NCCOc1cccc(C(F)(F)F)c1)c1cnn[nH]1 ZINC000194202025 408770783 /nfs/dbraw/zinc/77/07/83/408770783.db2.gz NCPMGPCYSWUMKU-UHFFFAOYSA-N -1 1 300.240 1.632 20 0 DDADMM Cc1cc(C)c(S(=O)(=O)N[C@@H](C)CN(C)C)cc1C(=O)[O-] ZINC000168644630 408818951 /nfs/dbraw/zinc/81/89/51/408818951.db2.gz FHQUTORODYLWQL-NSHDSACASA-N -1 1 314.407 1.230 20 0 DDADMM COCC1(C(=O)Nc2cc(C(=O)OC)ccc2[O-])CCOCC1 ZINC000291555654 408884653 /nfs/dbraw/zinc/88/46/53/408884653.db2.gz MPFJUQRRTOXWSD-UHFFFAOYSA-N -1 1 323.345 1.561 20 0 DDADMM O=C([N-]CC1CCN(C(=O)c2ccncn2)CC1)C(F)(F)F ZINC000281648007 408903500 /nfs/dbraw/zinc/90/35/00/408903500.db2.gz HYFQVGWCRPHTAI-UHFFFAOYSA-N -1 1 316.283 1.007 20 0 DDADMM CC1CCC(N2C[C@@H](C(=O)[N-]OC[C@@H]3CCOC3)CC2=O)CC1 ZINC000189248456 163090495 /nfs/dbraw/zinc/09/04/95/163090495.db2.gz VZLGLRQSBUDAOL-FUUBYGMNSA-N -1 1 324.421 1.498 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(COC)cc(C(=O)OC)c1 ZINC000291826573 408929147 /nfs/dbraw/zinc/92/91/47/408929147.db2.gz FLGBFVBUZRPOHW-UHFFFAOYSA-N -1 1 317.363 1.008 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)c2cnn(C)c2C)cc1F ZINC000292017786 408964347 /nfs/dbraw/zinc/96/43/47/408964347.db2.gz ZNQPYQREQXKJFE-UHFFFAOYSA-N -1 1 317.317 1.816 20 0 DDADMM CC(C)NC(=O)NC(=O)[C@@H](C)Sc1nc(C2CC2)cc(=O)[n-]1 ZINC000277765655 409007774 /nfs/dbraw/zinc/00/77/74/409007774.db2.gz SBEGYKMPXNIDOI-MRVPVSSYSA-N -1 1 324.406 1.774 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCCCOC)c1 ZINC000292315770 409023201 /nfs/dbraw/zinc/02/32/01/409023201.db2.gz TZNNUBHEBNKYEB-UHFFFAOYSA-N -1 1 316.379 1.056 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCO[C@H]3C2(C)C)c1Cl ZINC000247166854 163548961 /nfs/dbraw/zinc/54/89/61/163548961.db2.gz YESGMHMEGYMYLD-DJLDLDEBSA-N -1 1 319.814 1.165 20 0 DDADMM CO[C@]1(C)CCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000287731843 409083085 /nfs/dbraw/zinc/08/30/85/409083085.db2.gz TXODYYBOIFQBCU-PWDRBQJJSA-N -1 1 303.366 1.375 20 0 DDADMM CCOC(=O)Cc1nnc([N-]C(=O)c2ncoc2C2CC2)s1 ZINC000293435627 409112653 /nfs/dbraw/zinc/11/26/53/409112653.db2.gz IRHHBHBSQYBIHY-UHFFFAOYSA-N -1 1 322.346 1.761 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@@H](CC)OC)CC2)n1 ZINC000278964006 409121850 /nfs/dbraw/zinc/12/18/50/409121850.db2.gz ZUYFJXNQYDHAQC-LLVKDONJSA-N -1 1 324.381 1.112 20 0 DDADMM CC[C@@H](C)CONC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000293707113 409164279 /nfs/dbraw/zinc/16/42/79/409164279.db2.gz LNINKGQTWIZPBM-SECBINFHSA-N -1 1 306.366 1.276 20 0 DDADMM C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1cc2ccccc2cc1[O-] ZINC000289046257 409211356 /nfs/dbraw/zinc/21/13/56/409211356.db2.gz XFIWITUBQGNYQW-IINYFYTJSA-N -1 1 319.382 1.708 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H](C)c1nccs1 ZINC000289263139 409240083 /nfs/dbraw/zinc/24/00/83/409240083.db2.gz AKFIMNHEPBLHNG-LURJTMIESA-N -1 1 302.381 1.104 20 0 DDADMM COC(=O)[C@H](c1ccsc1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000289398715 409262885 /nfs/dbraw/zinc/26/28/85/409262885.db2.gz KVDZXKFNXZCXLY-KOLCDFICSA-N -1 1 322.390 1.253 20 0 DDADMM CCO[C@@H]1C[C@](CO)(NC(=O)C(=O)c2ccc([O-])cc2)C1(C)C ZINC000295521252 409328555 /nfs/dbraw/zinc/32/85/55/409328555.db2.gz ILAKBJUNEWHYNJ-CXAGYDPISA-N -1 1 321.373 1.257 20 0 DDADMM CCOC1CN(C(=O)CCc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000295074083 409313280 /nfs/dbraw/zinc/31/32/80/409313280.db2.gz YZWHZGLFZRGGTB-UHFFFAOYSA-N -1 1 318.377 1.232 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCCc1ncccc1C ZINC000295990286 409393591 /nfs/dbraw/zinc/39/35/91/409393591.db2.gz JTSHODDYXGSDTD-UHFFFAOYSA-N -1 1 310.379 1.180 20 0 DDADMM C[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CC[S@](=O)C1 ZINC000290275353 409343835 /nfs/dbraw/zinc/34/38/35/409343835.db2.gz YMEQBQZUTJTPEV-SQFXPLBJSA-N -1 1 303.330 1.511 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CC=CC[C@H]1C ZINC000285383976 409420885 /nfs/dbraw/zinc/42/08/85/409420885.db2.gz CZEMMXPXCRHHRJ-ZWNOBZJWSA-N -1 1 314.389 1.858 20 0 DDADMM Nc1nc(NC2CCC(C(=O)[O-])CC2)cc(-n2cccn2)n1 ZINC000263539446 163981382 /nfs/dbraw/zinc/98/13/82/163981382.db2.gz BRAAAKYJFJIWDM-UHFFFAOYSA-N -1 1 302.338 1.300 20 0 DDADMM CO[C@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)C(C)(C)C ZINC000295366668 409452323 /nfs/dbraw/zinc/45/23/23/409452323.db2.gz RKXPDCDJTQHPQH-SNVBAGLBSA-N -1 1 308.407 1.809 20 0 DDADMM CC1(C)OCC[C@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000295390756 409464153 /nfs/dbraw/zinc/46/41/53/409464153.db2.gz USEBJGLEBGIKNA-LLVKDONJSA-N -1 1 309.309 1.950 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCO[C@@]3(CCSC3)C2)c([O-])c1 ZINC000408371721 164310972 /nfs/dbraw/zinc/31/09/72/164310972.db2.gz DDTTXMIKLHGHRF-NHYWBVRUSA-N -1 1 308.403 1.880 20 0 DDADMM COC(=O)[C@H](Cc1ccccc1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC000342086182 409557518 /nfs/dbraw/zinc/55/75/18/409557518.db2.gz SXLOGPXOAXAVDU-CMPLNLGQSA-N -1 1 304.350 1.020 20 0 DDADMM CO[C@@H](C)c1nc(=N[C@H]2CCOC3(CCOCC3)C2)s[n-]1 ZINC000337858705 409514738 /nfs/dbraw/zinc/51/47/38/409514738.db2.gz ICJOXGKIYTWXNC-QWRGUYRKSA-N -1 1 313.423 1.808 20 0 DDADMM O=C(Nc1ccc(Cl)cc1O)c1cc(=O)n2[n-]cnc2n1 ZINC000353871458 409524513 /nfs/dbraw/zinc/52/45/13/409524513.db2.gz PEVIXEUTETWWIC-UHFFFAOYSA-N -1 1 305.681 1.029 20 0 DDADMM CCc1ccccc1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000337895752 409546361 /nfs/dbraw/zinc/54/63/61/409546361.db2.gz DDMMJZJQCILWBU-UHFFFAOYSA-N -1 1 313.357 1.396 20 0 DDADMM CO[C@H](C)c1nsc(=NC[C@H](C(C)C)N2CCOCC2)[n-]1 ZINC000337906457 409554408 /nfs/dbraw/zinc/55/44/08/409554408.db2.gz CUANQRXWIMIZGD-VXGBXAGGSA-N -1 1 314.455 1.436 20 0 DDADMM C[C@H]1CC[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000356766102 409586415 /nfs/dbraw/zinc/58/64/15/409586415.db2.gz WASAZKDSSFRYFV-VHSXEESVSA-N -1 1 314.407 1.885 20 0 DDADMM CCC[C@H](NCC(=O)NCc1ccccc1COCC)C(=O)[O-] ZINC000296532363 409602631 /nfs/dbraw/zinc/60/26/31/409602631.db2.gz SRCPAONYHAPJOJ-HNNXBMFYSA-N -1 1 322.405 1.682 20 0 DDADMM COCCCS(=O)(=O)c1n[n-]c(CCC2CCCC2)n1 ZINC000342405799 409604348 /nfs/dbraw/zinc/60/43/48/409604348.db2.gz JFQBZFOITZETHT-UHFFFAOYSA-N -1 1 301.412 1.738 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NCCC2CC2)o1 ZINC000331621071 409703545 /nfs/dbraw/zinc/70/35/45/409703545.db2.gz GUUWQWKVUSAFTK-UHFFFAOYSA-N -1 1 314.407 1.886 20 0 DDADMM C[C@H]1C(=O)CC[C@H]1CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000331600645 409678102 /nfs/dbraw/zinc/67/81/02/409678102.db2.gz AUDJMEPBJSFPAP-KOLCDFICSA-N -1 1 307.350 1.487 20 0 DDADMM CC(=O)Nc1ccc(C(=O)N=c2nc(C3CCC3)[nH][n-]2)nc1 ZINC000354339638 409779511 /nfs/dbraw/zinc/77/95/11/409779511.db2.gz MYWFPVRPCQNPJM-UHFFFAOYSA-N -1 1 300.322 1.100 20 0 DDADMM CC[C@@H](C)N(CC(=O)OC)C(=O)c1cc(F)cc2nn[nH]c21 ZINC000296933318 409713565 /nfs/dbraw/zinc/71/35/65/409713565.db2.gz NTTKTSSAIKIMPU-MRVPVSSYSA-N -1 1 308.313 1.511 20 0 DDADMM C[C@H]1CN(CC(=O)N(C)Cc2cccs2)CC[C@H]1C(=O)[O-] ZINC000314679228 409830595 /nfs/dbraw/zinc/83/05/95/409830595.db2.gz ZVIYIAHCBASFLO-WCQYABFASA-N -1 1 310.419 1.749 20 0 DDADMM C[C@@H]1CN(CC(=O)N(C)Cc2cccs2)CC[C@@H]1C(=O)[O-] ZINC000314679230 409831659 /nfs/dbraw/zinc/83/16/59/409831659.db2.gz ZVIYIAHCBASFLO-YPMHNXCESA-N -1 1 310.419 1.749 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCC(C)(F)F)c(C(F)(F)F)n1 ZINC000297176578 409839350 /nfs/dbraw/zinc/83/93/50/409839350.db2.gz SPSNQWVTTSUNJA-UHFFFAOYSA-N -1 1 321.271 1.763 20 0 DDADMM CC(C)(CN1CCOCC1)NC(=O)c1cc(Cl)ccc1[O-] ZINC000308712667 409785110 /nfs/dbraw/zinc/78/51/10/409785110.db2.gz YJKWAWLSFUGFSA-UHFFFAOYSA-N -1 1 312.797 1.886 20 0 DDADMM O=C([O-])Cc1cccc(S(=O)(=O)Nc2ccccc2O)c1 ZINC000357023573 409803202 /nfs/dbraw/zinc/80/32/02/409803202.db2.gz XJWIKRFPKNCNEM-UHFFFAOYSA-N -1 1 307.327 1.820 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC(F)(F)C(F)(F)C1 ZINC000332030204 409818517 /nfs/dbraw/zinc/81/85/17/409818517.db2.gz VAVLCTIGGCMMSH-UHFFFAOYSA-N -1 1 315.226 1.650 20 0 DDADMM CCOc1cc(C(=O)NOC(C)(C)CO)cc(Cl)c1[O-] ZINC000297298671 409900231 /nfs/dbraw/zinc/90/02/31/409900231.db2.gz USYADGFFXKJBJS-UHFFFAOYSA-N -1 1 303.742 1.877 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332178403 409954043 /nfs/dbraw/zinc/95/40/43/409954043.db2.gz MVZRGFFVFMLQCN-NEPJUHHUSA-N -1 1 317.345 1.949 20 0 DDADMM CCC(CC)n1nc(C)cc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000342871180 409963635 /nfs/dbraw/zinc/96/36/35/409963635.db2.gz NONIELRCNVELGW-UHFFFAOYSA-N -1 1 305.386 1.731 20 0 DDADMM O=C(Nc1nnn[n-]1)C1(c2ccc(Cl)cc2)CCOCC1 ZINC000346343555 409929036 /nfs/dbraw/zinc/92/90/36/409929036.db2.gz ZYPUWZTVCXRRLX-UHFFFAOYSA-N -1 1 307.741 1.540 20 0 DDADMM O=C(Nc1nn[n-]n1)C1(c2ccc(Cl)cc2)CCOCC1 ZINC000346343555 409929040 /nfs/dbraw/zinc/92/90/40/409929040.db2.gz ZYPUWZTVCXRRLX-UHFFFAOYSA-N -1 1 307.741 1.540 20 0 DDADMM O=C(Cc1ccccc1F)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297663821 409948848 /nfs/dbraw/zinc/94/88/48/409948848.db2.gz GJXFBJGBBNRPFK-UHFFFAOYSA-N -1 1 303.297 1.017 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCCOC1 ZINC000357423270 410031133 /nfs/dbraw/zinc/03/11/33/410031133.db2.gz UZNLFDQKEZOYCJ-LBPRGKRZSA-N -1 1 309.309 1.951 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2CCCc3ccccc32)n1 ZINC000354718045 410037217 /nfs/dbraw/zinc/03/72/17/410037217.db2.gz FFFXQWIWOHYOMP-LLVKDONJSA-N -1 1 320.374 1.267 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2CCCc3ccccc32)[n-]1 ZINC000354718045 410037226 /nfs/dbraw/zinc/03/72/26/410037226.db2.gz FFFXQWIWOHYOMP-LLVKDONJSA-N -1 1 320.374 1.267 20 0 DDADMM CC(C)c1ccccc1OCCC(=O)Nc1nn[nH]c1C(N)=O ZINC000297912635 410045116 /nfs/dbraw/zinc/04/51/16/410045116.db2.gz XCECYTXFLOYIOR-UHFFFAOYSA-N -1 1 317.349 1.435 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)[C@H](C)c1ccccc1 ZINC000357383922 410004634 /nfs/dbraw/zinc/00/46/34/410004634.db2.gz SWOXOMFEQASLKZ-UWVGGRQHSA-N -1 1 317.345 1.818 20 0 DDADMM Cc1nc([C@@H]2CCCO2)sc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000342931203 410006101 /nfs/dbraw/zinc/00/61/01/410006101.db2.gz WUGKCYADLQBQCL-QMMMGPOBSA-N -1 1 320.378 1.235 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-][C@@H](CC(C)(C)C)C(F)(F)F ZINC000357453899 410048140 /nfs/dbraw/zinc/04/81/40/410048140.db2.gz NZBCTVRGXYMZFF-ZETCQYMHSA-N -1 1 314.333 1.461 20 0 DDADMM CC(C)(NC(=O)Cc1cccc(Br)c1)c1nn[n-]n1 ZINC000354767292 410073043 /nfs/dbraw/zinc/07/30/43/410073043.db2.gz XPWNUMVBJKMRRO-UHFFFAOYSA-N -1 1 324.182 1.556 20 0 DDADMM CCn1cnnc1[C@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000332380900 410112507 /nfs/dbraw/zinc/11/25/07/410112507.db2.gz YTCAOWDWMSNGCA-LBPRGKRZSA-N -1 1 315.377 1.727 20 0 DDADMM CCC1(CC)CN(C(=O)c2cncc([O-])c2)CCS1(=O)=O ZINC000354827002 410114125 /nfs/dbraw/zinc/11/41/25/410114125.db2.gz FUJNXSNWNFKDRI-UHFFFAOYSA-N -1 1 312.391 1.217 20 0 DDADMM COc1ccccc1CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332417120 410140312 /nfs/dbraw/zinc/14/03/12/410140312.db2.gz VAHTWAGYXVSKQG-GFCCVEGCSA-N -1 1 317.345 1.733 20 0 DDADMM Cc1ccc(O)c(NC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000343151957 410188834 /nfs/dbraw/zinc/18/88/34/410188834.db2.gz NZQTZJXNXVFILK-UHFFFAOYSA-N -1 1 301.302 1.170 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)[C@H]1CCCO1 ZINC000339448093 410193484 /nfs/dbraw/zinc/19/34/84/410193484.db2.gz NBQQSBVDCDUTIT-WDEREUQCSA-N -1 1 317.363 1.302 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)[C@H]1CCCO1 ZINC000339448084 410194198 /nfs/dbraw/zinc/19/41/98/410194198.db2.gz NBQQSBVDCDUTIT-GHMZBOCLSA-N -1 1 317.363 1.302 20 0 DDADMM O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)c1c([O-])cccc1F ZINC000332677774 410204911 /nfs/dbraw/zinc/20/49/11/410204911.db2.gz RTWJYHCCFVWWJF-GFCCVEGCSA-N -1 1 322.336 1.041 20 0 DDADMM O=C(CCc1nccs1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351850227 410286495 /nfs/dbraw/zinc/28/64/95/410286495.db2.gz GNECIHMMYLBFNE-UHFFFAOYSA-N -1 1 308.363 1.571 20 0 DDADMM COC(=O)c1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(F)c1 ZINC000357990382 410334578 /nfs/dbraw/zinc/33/45/78/410334578.db2.gz LZIFILAICMHDBE-MRVPVSSYSA-N -1 1 321.312 1.001 20 0 DDADMM CCc1ccc(C(=O)[O-])cc1S(=O)(=O)Nc1cnc(C)nc1 ZINC000343263543 410288935 /nfs/dbraw/zinc/28/89/35/410288935.db2.gz MNFPILQYNQTOGR-UHFFFAOYSA-N -1 1 321.358 1.846 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000343392916 410416251 /nfs/dbraw/zinc/41/62/51/410416251.db2.gz QVQGAPOQAWOUQL-IJLUTSLNSA-N -1 1 305.378 1.458 20 0 DDADMM CCC1(NC(=O)c2c[n-]c3c(cnn3C)c2=O)CCOCC1 ZINC000358340184 410451337 /nfs/dbraw/zinc/45/13/37/410451337.db2.gz PESJQKJPNFCIPX-UHFFFAOYSA-N -1 1 304.350 1.363 20 0 DDADMM O=C(c1cccc2ncccc21)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000298953175 410461910 /nfs/dbraw/zinc/46/19/10/410461910.db2.gz NXEFUZLPTMIQNZ-NSHDSACASA-N -1 1 323.356 1.666 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C[S@@](C)=O)c2ccccc2)c([O-])c1 ZINC000358621801 410497701 /nfs/dbraw/zinc/49/77/01/410497701.db2.gz BPBFPBIDKQYSTK-MCMMXHMISA-N -1 1 318.398 1.945 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1occ2c1CCC2 ZINC000343610382 410567377 /nfs/dbraw/zinc/56/73/77/410567377.db2.gz XHNYCZCXQBNBSB-UHFFFAOYSA-N -1 1 303.318 1.353 20 0 DDADMM C[C@@H](CSc1ccc(F)cc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000352197425 410540013 /nfs/dbraw/zinc/54/00/13/410540013.db2.gz ROGSKEKRIHSCJY-VIFPVBQESA-N -1 1 321.381 1.873 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CSC[C@@H]2C)o1 ZINC000352320109 410620077 /nfs/dbraw/zinc/62/00/77/410620077.db2.gz NVVXMIZLVQXWJO-IUCAKERBSA-N -1 1 319.404 1.486 20 0 DDADMM CN(C(=O)CNC(=O)c1ncccc1[O-])C1CCC(C)(C)CC1 ZINC000343819054 410723773 /nfs/dbraw/zinc/72/37/73/410723773.db2.gz BFTOPMLYNOEMND-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1cc(F)cc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1 ZINC000347711468 410683784 /nfs/dbraw/zinc/68/37/84/410683784.db2.gz CIDCNJDYWHTAAU-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM CCN(C)C(=O)[C@@H](C)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC000355982797 410759596 /nfs/dbraw/zinc/75/95/96/410759596.db2.gz JHXKNOXJKWDCHM-SNVBAGLBSA-N -1 1 301.350 1.068 20 0 DDADMM CO[C@@H](C)c1nc(=NCC2(S(C)(=O)=O)CCCC2)s[n-]1 ZINC000343916578 410809441 /nfs/dbraw/zinc/80/94/41/410809441.db2.gz QJHWFBRPHRSCBT-VIFPVBQESA-N -1 1 319.452 1.437 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@@H]2C=CCC2)c1 ZINC000359679201 410812668 /nfs/dbraw/zinc/81/26/68/410812668.db2.gz IVPQUQJNAXRZQQ-SNVBAGLBSA-N -1 1 310.375 1.595 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(C)o1)[C@H](O)C(F)(F)F ZINC000330647958 410846540 /nfs/dbraw/zinc/84/65/40/410846540.db2.gz IHXJJMDJXBNGJX-APPZFPTMSA-N -1 1 301.286 1.568 20 0 DDADMM Cn1cc([N-]S(=O)(=O)c2cc(Cl)ccc2F)ccc1=O ZINC000340634025 410863806 /nfs/dbraw/zinc/86/38/06/410863806.db2.gz XWAAUAFQKZRDFQ-UHFFFAOYSA-N -1 1 316.741 1.979 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)c2cncs2)co1 ZINC000348270513 410886276 /nfs/dbraw/zinc/88/62/76/410886276.db2.gz GCQODRPZWXRGLE-SSDOTTSWSA-N -1 1 315.376 1.135 20 0 DDADMM CCCN(CC)c1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cn1 ZINC000359782163 410876602 /nfs/dbraw/zinc/87/66/02/410876602.db2.gz GNZGTVYLINDSCX-UHFFFAOYSA-N -1 1 317.397 1.496 20 0 DDADMM COc1ccc(OC)c(CCC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000359780847 410877545 /nfs/dbraw/zinc/87/75/45/410877545.db2.gz DLYUGUKBFHIMRU-UHFFFAOYSA-N -1 1 319.365 1.201 20 0 DDADMM O=C(NCc1ccc(OCCO)cc1)c1c(F)ccc([O-])c1F ZINC000348255045 410880746 /nfs/dbraw/zinc/88/07/46/410880746.db2.gz LRRARWXVDRSTIE-UHFFFAOYSA-N -1 1 323.295 1.972 20 0 DDADMM CC(C)(NC(=O)CCSc1ccccc1F)c1nn[n-]n1 ZINC000359806645 410890456 /nfs/dbraw/zinc/89/04/56/410890456.db2.gz YGPNDEVZBKCRFY-UHFFFAOYSA-N -1 1 309.370 1.873 20 0 DDADMM O=C(CCCOc1ccccc1Cl)NC1(c2nn[n-]n2)CC1 ZINC000348284961 410896585 /nfs/dbraw/zinc/89/65/85/410896585.db2.gz XRFCIZZHKFRSFE-UHFFFAOYSA-N -1 1 321.768 1.818 20 0 DDADMM C[C@H]1CCc2sc(C(=O)NC3(c4nn[n-]n4)CC3)cc2C1 ZINC000348290477 410900135 /nfs/dbraw/zinc/90/01/35/410900135.db2.gz FRMCUGDDUGRRPN-QMMMGPOBSA-N -1 1 303.391 1.805 20 0 DDADMM CC(C)(C(=O)NC1(c2nn[n-]n2)CC1)c1ccccc1Cl ZINC000348297823 410907701 /nfs/dbraw/zinc/90/77/01/410907701.db2.gz LXNUBPRSWBSNHL-UHFFFAOYSA-N -1 1 305.769 1.936 20 0 DDADMM C[C@H]1COCC[C@@H]1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000353332213 410922767 /nfs/dbraw/zinc/92/27/67/410922767.db2.gz PCBHAMGKWOJYDD-WDEREUQCSA-N -1 1 301.346 1.326 20 0 DDADMM Cn1[n-]c(CNC(C)(C)c2nc(C(F)(F)F)cs2)nc1=O ZINC000348516201 410993483 /nfs/dbraw/zinc/99/34/83/410993483.db2.gz QDWPSZOZGXZFFL-UHFFFAOYSA-N -1 1 321.328 1.609 20 0 DDADMM C[C@H]1CC(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C[C@H](C)C1 ZINC000356511580 411065031 /nfs/dbraw/zinc/06/50/31/411065031.db2.gz KVRBEGOHHAKFIV-HTQZYQBOSA-N -1 1 300.384 1.219 20 0 DDADMM C[C@H]1CC(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C[C@H](C)C1 ZINC000356511580 411065038 /nfs/dbraw/zinc/06/50/38/411065038.db2.gz KVRBEGOHHAKFIV-HTQZYQBOSA-N -1 1 300.384 1.219 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C(N)=O)C(C)(C)C)sc1C ZINC000331223284 411025046 /nfs/dbraw/zinc/02/50/46/411025046.db2.gz CZXYPPIIBQPUJT-MRVPVSSYSA-N -1 1 305.425 1.988 20 0 DDADMM O=C(NCCC1(O)CCOCC1)c1c(F)ccc([O-])c1F ZINC000341375075 411031223 /nfs/dbraw/zinc/03/12/23/411031223.db2.gz OYWKBZMDNTZEDQ-UHFFFAOYSA-N -1 1 301.289 1.332 20 0 DDADMM Cc1nccc(N2CCN(C(=O)c3ccc([O-])cc3F)CC2)n1 ZINC000128925450 196004923 /nfs/dbraw/zinc/00/49/23/196004923.db2.gz GFOIGGJSYGDAMB-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM CCO[C@H](C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C1CC1 ZINC000629952074 422891745 /nfs/dbraw/zinc/89/17/45/422891745.db2.gz QFXYYHRITULIQE-STQMWFEESA-N -1 1 301.350 1.220 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC[C@@H](CO)[C@@H](O)C1 ZINC000649862297 422965506 /nfs/dbraw/zinc/96/55/06/422965506.db2.gz PVUGUONPDVESGR-CABZTGNLSA-N -1 1 319.279 1.226 20 0 DDADMM NS(=O)(=O)c1c[n-]c(=NC[C@@H]2CC3CCC2CC3)s1 ZINC000645302911 422983853 /nfs/dbraw/zinc/98/38/53/422983853.db2.gz APRRQIMHUSGVMC-RTBKNWGFSA-N -1 1 301.437 1.451 20 0 DDADMM CC(C)(C)O[C@H]1C[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000647674669 422995073 /nfs/dbraw/zinc/99/50/73/422995073.db2.gz TXMMHHRGTQRBOK-PHIMTYICSA-N -1 1 315.373 1.999 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N(C)C[C@H]1CCCO1 ZINC000652386681 422996213 /nfs/dbraw/zinc/99/62/13/422996213.db2.gz DFGJYLOPKXBHNV-SWLSCSKDSA-N -1 1 320.389 1.500 20 0 DDADMM CCOCc1nc([C@@H](C)NC(=O)c2ccc([O-])c(F)c2)no1 ZINC000642928618 423004956 /nfs/dbraw/zinc/00/49/56/423004956.db2.gz SHBYGSHJKKSGLU-MRVPVSSYSA-N -1 1 309.297 1.942 20 0 DDADMM CCOc1cc(C(=O)NCc2c[nH]c(=O)[nH]2)cc(Cl)c1[O-] ZINC000647740100 423024201 /nfs/dbraw/zinc/02/42/01/423024201.db2.gz RNDSGQDFTQTERW-UHFFFAOYSA-N -1 1 311.725 1.803 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1CCC[C@H]1O ZINC000133911402 196345279 /nfs/dbraw/zinc/34/52/79/196345279.db2.gz RHSNXSIAGFUQMF-CHWSQXEVSA-N -1 1 318.377 1.014 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CCOC2(C)C)c(F)c1 ZINC000650091537 423043227 /nfs/dbraw/zinc/04/32/27/423043227.db2.gz XIAMBRHREFJCPA-NSHDSACASA-N -1 1 321.345 1.819 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N=c2ncn(CC(=O)[O-])[nH]2)cc1 ZINC000647780958 423044843 /nfs/dbraw/zinc/04/48/43/423044843.db2.gz VWWCFYUVXPIQAB-UHFFFAOYSA-N -1 1 302.334 1.334 20 0 DDADMM CC[C@H](C)Oc1ccc(C(=O)N=c2ncn(CC(=O)[O-])[nH]2)cc1 ZINC000647780794 423045471 /nfs/dbraw/zinc/04/54/71/423045471.db2.gz OBAHEIWVDQCBSO-JTQLQIEISA-N -1 1 318.333 1.214 20 0 DDADMM O=C([O-])Cn1cnc(=NC(=O)c2cc3c(s2)CCCCC3)[nH]1 ZINC000647782606 423046754 /nfs/dbraw/zinc/04/67/54/423046754.db2.gz UJVFPBSAPDSNBM-UHFFFAOYSA-N -1 1 320.374 1.367 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(C(=O)NC(C)C)cc1 ZINC000647816636 423060563 /nfs/dbraw/zinc/06/05/63/423060563.db2.gz OBCMOXDZTXIUSX-UHFFFAOYSA-N -1 1 302.334 1.814 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCNC(=O)c1ccc(C)cc1O ZINC000647816386 423058459 /nfs/dbraw/zinc/05/84/59/423058459.db2.gz HJZGDBQESZMEHI-UHFFFAOYSA-N -1 1 318.333 1.196 20 0 DDADMM CSc1nc(CNC(=O)CCc2ccncc2)cc(=O)[n-]1 ZINC000640656506 423117430 /nfs/dbraw/zinc/11/74/30/423117430.db2.gz CSXBTWBQNWUPIB-UHFFFAOYSA-N -1 1 304.375 1.548 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)Cc3nncn3C)c[n-]c2[nH+]1 ZINC000360496477 418451265 /nfs/dbraw/zinc/45/12/65/418451265.db2.gz MHIJGNFTFICKLQ-UHFFFAOYSA-N -1 1 312.333 1.045 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)Cc3nncn3C)c[n-]c2n1 ZINC000360496477 418451269 /nfs/dbraw/zinc/45/12/69/418451269.db2.gz MHIJGNFTFICKLQ-UHFFFAOYSA-N -1 1 312.333 1.045 20 0 DDADMM C[C@@H]1CCC[C@H](C)N1C(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000373835443 418465322 /nfs/dbraw/zinc/46/53/22/418465322.db2.gz LGJGEYICDUAXNA-TXEJJXNPSA-N -1 1 321.425 1.479 20 0 DDADMM CCSc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cn1 ZINC000366708488 418508240 /nfs/dbraw/zinc/50/82/40/418508240.db2.gz GXYCLOFMQRIJGF-LLVKDONJSA-N -1 1 318.406 1.727 20 0 DDADMM O=C(CCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1cccs1 ZINC000366746208 418512060 /nfs/dbraw/zinc/51/20/60/418512060.db2.gz SLGGIGLPUACMCA-SNVBAGLBSA-N -1 1 319.390 1.630 20 0 DDADMM COCc1nc(=NC[C@@H]2CCC3(CCOCC3)[C@H]2O)s[n-]1 ZINC000374635819 418549480 /nfs/dbraw/zinc/54/94/80/418549480.db2.gz GQLCWAQELPVPBW-JQWIXIFHSA-N -1 1 313.423 1.086 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](C3CC3)C2)o1 ZINC000290981594 222047691 /nfs/dbraw/zinc/04/76/91/222047691.db2.gz UCNSKKVZLJETKE-LLVKDONJSA-N -1 1 312.391 1.450 20 0 DDADMM O=C(Cc1ccc(F)c(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000361217764 418608282 /nfs/dbraw/zinc/60/82/82/418608282.db2.gz PCMUCZOZGOBVNZ-JTQLQIEISA-N -1 1 307.304 1.427 20 0 DDADMM CSc1ccc(C(=O)N2CCOC[C@H]2c2nnc[nH]2)c(O)c1 ZINC000375266313 418623142 /nfs/dbraw/zinc/62/31/42/418623142.db2.gz LNSFUUCYHUOHKA-NSHDSACASA-N -1 1 320.374 1.446 20 0 DDADMM C[C@H](CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC000364768467 418809536 /nfs/dbraw/zinc/80/95/36/418809536.db2.gz GJXREMXHNQDZFC-ZWNOBZJWSA-N -1 1 319.340 1.433 20 0 DDADMM C[C@@H]1CCCN(Cc2nc3ccccc3c(=O)n2C)[C@@H]1C(=O)[O-] ZINC000424264183 228252673 /nfs/dbraw/zinc/25/26/73/228252673.db2.gz GRYNQKQDWBMGCJ-ABAIWWIYSA-N -1 1 315.373 1.619 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2CCN(C3CC3)C2)c(F)c1 ZINC000425161128 228376300 /nfs/dbraw/zinc/37/63/00/228376300.db2.gz XEDPBJKLKHYRRJ-JTQLQIEISA-N -1 1 316.373 1.788 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCCC[C@H]2O)c(F)c1 ZINC000425174557 228380623 /nfs/dbraw/zinc/38/06/23/228380623.db2.gz FANFSBGRONEQOB-VXGBXAGGSA-N -1 1 305.346 1.855 20 0 DDADMM COCCc1cc(NC(=O)c2csc(=NC3CC3)[n-]2)n(C)n1 ZINC000427121350 419596863 /nfs/dbraw/zinc/59/68/63/419596863.db2.gz ILPVOIMPBFQEQS-UHFFFAOYSA-N -1 1 321.406 1.314 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(NC(=O)c2ncccc2O)c1 ZINC000427650210 419709072 /nfs/dbraw/zinc/70/90/72/419709072.db2.gz FKYHNXUDMRSJPO-UHFFFAOYSA-N -1 1 322.342 1.539 20 0 DDADMM CCc1c(C(=O)NC2(c3nn[n-]n3)CC2)cnn1CCC(C)C ZINC000427897310 419763775 /nfs/dbraw/zinc/76/37/75/419763775.db2.gz SGKCJRWNKSQCPL-UHFFFAOYSA-N -1 1 317.397 1.424 20 0 DDADMM O=C(CC(=O)NCC1CC1)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000427949251 419774432 /nfs/dbraw/zinc/77/44/32/419774432.db2.gz MDQTXZMKQLFDBH-UHFFFAOYSA-N -1 1 304.375 1.415 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1CCC(F)(F)C1 ZINC000435231386 229363996 /nfs/dbraw/zinc/36/39/96/229363996.db2.gz VMCRVZICKMVLFZ-SECBINFHSA-N -1 1 313.304 1.069 20 0 DDADMM O=c1nc(NCC[C@@H]2COc3ccccc3O2)nc2[nH][n-]cc1-2 ZINC000418987081 420039672 /nfs/dbraw/zinc/03/96/72/420039672.db2.gz HEUNOFCXQCQMPO-SECBINFHSA-N -1 1 313.317 1.074 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccc(C)c(C)n1 ZINC000415382526 420043099 /nfs/dbraw/zinc/04/30/99/420043099.db2.gz PSPZPWMSVUJDQY-UHFFFAOYSA-N -1 1 302.334 1.283 20 0 DDADMM O=S(=O)(Cc1cccnc1)c1nc(-c2ccccc2F)n[n-]1 ZINC000429902447 420058392 /nfs/dbraw/zinc/05/83/92/420058392.db2.gz LYXHDIUGZDFMLL-UHFFFAOYSA-N -1 1 318.333 1.980 20 0 DDADMM O=S(=O)(Cc1cccnc1)c1n[n-]c(-c2ccccc2F)n1 ZINC000429902447 420058395 /nfs/dbraw/zinc/05/83/95/420058395.db2.gz LYXHDIUGZDFMLL-UHFFFAOYSA-N -1 1 318.333 1.980 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CC(=O)c3ccccc32)n1 ZINC000415646013 420134515 /nfs/dbraw/zinc/13/45/15/420134515.db2.gz JKWOVWNEBUTVEX-NSHDSACASA-N -1 1 313.313 1.895 20 0 DDADMM COc1cc(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)ccc1C ZINC000416137901 420254142 /nfs/dbraw/zinc/25/41/42/420254142.db2.gz XDICEIUDAYITET-UHFFFAOYSA-N -1 1 319.317 1.143 20 0 DDADMM CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)[C@@H]1CCCC[C@@H]1C ZINC000416143309 420256576 /nfs/dbraw/zinc/25/65/76/420256576.db2.gz FXXCXPRIZJVCBS-VHSXEESVSA-N -1 1 309.366 1.937 20 0 DDADMM COc1cc(C)cc(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)c1 ZINC000416180530 420270255 /nfs/dbraw/zinc/27/02/55/420270255.db2.gz PMXLOUSREFSWMA-UHFFFAOYSA-N -1 1 319.317 1.143 20 0 DDADMM C[C@H](CN1CCN(C)CC1)N=c1nc(C2CCCC2)[n-]s1 ZINC000420606105 420329373 /nfs/dbraw/zinc/32/93/73/420329373.db2.gz WMICRTDRXICAJN-GFCCVEGCSA-N -1 1 309.483 1.665 20 0 DDADMM O=S(=O)([N-]c1ccc(-c2nc[nH]n2)cc1F)c1cn[nH]c1 ZINC000436477468 420330283 /nfs/dbraw/zinc/33/02/83/420330283.db2.gz LZMNQMSIKGNCHD-UHFFFAOYSA-N -1 1 308.298 1.135 20 0 DDADMM COc1cccc(C(=O)N=c2nc([C@@H](C)OC)[n-]s2)c1O ZINC000436586824 420341967 /nfs/dbraw/zinc/34/19/67/420341967.db2.gz VBGDHTOQQRLAAP-SSDOTTSWSA-N -1 1 309.347 1.634 20 0 DDADMM NC(=O)c1ccc(CNC(=O)c2ccc(Cl)cc2[O-])cn1 ZINC000436583713 420342834 /nfs/dbraw/zinc/34/28/34/420342834.db2.gz FHTFRKWHOQOUAT-UHFFFAOYSA-N -1 1 305.721 1.470 20 0 DDADMM CC(C)CC(=O)NCCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425311185 420345128 /nfs/dbraw/zinc/34/51/28/420345128.db2.gz XWEHYTWKHHMTQI-NSHDSACASA-N -1 1 324.381 1.034 20 0 DDADMM C[C@@H](NC(=O)c1cc(F)ccc1[O-])C1(S(C)(=O)=O)CC1 ZINC000436647112 420347513 /nfs/dbraw/zinc/34/75/13/420347513.db2.gz KIKBETLTEXWGLZ-MRVPVSSYSA-N -1 1 301.339 1.227 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2cccc(O)c2)ccc1O ZINC000436708894 420359136 /nfs/dbraw/zinc/35/91/36/420359136.db2.gz WIJUSFYWEKPAHH-UHFFFAOYSA-N -1 1 323.326 1.685 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1ccc(C)c(C)c1 ZINC000416239651 420292375 /nfs/dbraw/zinc/29/23/75/420292375.db2.gz ACBGASZSURYKKC-UHFFFAOYSA-N -1 1 317.345 1.785 20 0 DDADMM CNC(=O)C[C@H](NC(=O)c1ncc(C)cc1[O-])c1ccccc1 ZINC000435947151 420298626 /nfs/dbraw/zinc/29/86/26/420298626.db2.gz AQKGUBZYDOWNSA-ZDUSSCGKSA-N -1 1 313.357 1.703 20 0 DDADMM CCc1ccnc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1 ZINC000436868002 420379264 /nfs/dbraw/zinc/37/92/64/420379264.db2.gz FZRVNZYHEAABIT-UHFFFAOYSA-N -1 1 321.358 1.249 20 0 DDADMM O=C(N[C@H]1CN(c2ccccc2)C1=O)c1c([O-])cccc1F ZINC000436905419 420381276 /nfs/dbraw/zinc/38/12/76/420381276.db2.gz SPCLNHCBPKEOJO-LBPRGKRZSA-N -1 1 300.289 1.677 20 0 DDADMM C[S@@](=O)c1cccc(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436921784 420384357 /nfs/dbraw/zinc/38/43/57/420384357.db2.gz UXIAYYQXABCVIC-OAQYLSRUSA-N -1 1 303.339 1.951 20 0 DDADMM C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@H](O)Cc1ccccc1 ZINC000436973011 420389092 /nfs/dbraw/zinc/38/90/92/420389092.db2.gz RSDASWOVTUGESY-BLLLJJGKSA-N -1 1 313.353 1.683 20 0 DDADMM C[C@H]1CC[C@@H](C[N-]S(=O)(=O)c2ccc(Br)o2)O1 ZINC000416528163 420407241 /nfs/dbraw/zinc/40/72/41/420407241.db2.gz DAQUSRKILAAUEN-YUMQZZPRSA-N -1 1 324.196 1.888 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@@H](CO)C1)c1ncccc1C(F)(F)F ZINC000645744269 423164211 /nfs/dbraw/zinc/16/42/11/423164211.db2.gz VFVROPVNIGITPE-BDAKNGLRSA-N -1 1 324.324 1.540 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000416564674 420419234 /nfs/dbraw/zinc/41/92/34/420419234.db2.gz TWIUPLUDWMXBFE-VWYCJHECSA-N -1 1 313.375 1.923 20 0 DDADMM COCC[C@]1([N-]S(=O)(=O)c2cccc(F)c2F)CCOC1 ZINC000445073870 230155426 /nfs/dbraw/zinc/15/54/26/230155426.db2.gz VLRBUGNBBADNHD-ZDUSSCGKSA-N -1 1 321.345 1.439 20 0 DDADMM O=C(CSc1nc2cc(Cl)ccc2[nH]1)Nc1nn[n-]n1 ZINC000439276598 420505272 /nfs/dbraw/zinc/50/52/72/420505272.db2.gz QVBSPOIVHNOJRZ-UHFFFAOYSA-N -1 1 309.742 1.460 20 0 DDADMM CCc1ncnc(Oc2ccc([N-]S(C)(=O)=O)nc2)c1F ZINC000439122439 420481351 /nfs/dbraw/zinc/48/13/51/420481351.db2.gz XWUPRWXQIINOMC-UHFFFAOYSA-N -1 1 312.326 1.737 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CO[C@H](C)C1 ZINC000439253771 420502241 /nfs/dbraw/zinc/50/22/41/420502241.db2.gz BKRBWJNHGIYAEP-KCJUWKMLSA-N -1 1 304.350 1.093 20 0 DDADMM COC(=O)CC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451025517 420581868 /nfs/dbraw/zinc/58/18/68/420581868.db2.gz JZELQPCNFVQYKQ-UHFFFAOYSA-N -1 1 301.295 1.413 20 0 DDADMM O=c1nc(NCc2ccncc2OCC(F)F)nc2[nH][n-]cc1-2 ZINC000440664056 420595121 /nfs/dbraw/zinc/59/51/21/420595121.db2.gz KDYDHLUETIFTOX-UHFFFAOYSA-N -1 1 322.275 1.083 20 0 DDADMM O=C(C(=O)N1CCC[C@@H]1[C@@H]1CCC[C@H]1O)c1ccc([O-])cc1 ZINC000452689790 420644833 /nfs/dbraw/zinc/64/48/33/420644833.db2.gz WOVCBXHOBTZQDH-RRFJBIMHSA-N -1 1 303.358 1.727 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc3c(c2)CC(C)(C)O3)n1 ZINC000447872376 420808863 /nfs/dbraw/zinc/80/88/63/420808863.db2.gz DMUVAYZBSDMCNM-UHFFFAOYSA-N -1 1 323.374 1.570 20 0 DDADMM Cc1ccc(C=CC(=O)Nc2nn[nH]c2C(=O)NC2CC2)cn1 ZINC000493368775 420831519 /nfs/dbraw/zinc/83/15/19/420831519.db2.gz MOJKJMVMRVZYQR-QPJJXVBHSA-N -1 1 312.333 1.052 20 0 DDADMM COc1cc(C=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)ccc1F ZINC000493435871 420850473 /nfs/dbraw/zinc/85/04/73/420850473.db2.gz USTHUAASQJGUJA-BXKUYDPTSA-N -1 1 319.340 1.623 20 0 DDADMM CCCc1nc(=NC(=O)N2CCN(CC)C[C@H]2CC)s[n-]1 ZINC000455380469 421004310 /nfs/dbraw/zinc/00/43/10/421004310.db2.gz NWILEMBLDOFGNO-LLVKDONJSA-N -1 1 311.455 1.861 20 0 DDADMM C[C@H]1CC=C(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC1 ZINC000456012243 421088677 /nfs/dbraw/zinc/08/86/77/421088677.db2.gz HYZRNCJCXOVVIP-VIFPVBQESA-N -1 1 310.375 1.725 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc(C(F)(F)F)[nH]1 ZINC000449924018 421112374 /nfs/dbraw/zinc/11/23/74/421112374.db2.gz GXNQKXUQWBVVDA-UHFFFAOYSA-N -1 1 314.271 1.746 20 0 DDADMM CNC(=O)CC[C@@H]1CCCCN1C(=O)c1cccc2nn[nH]c21 ZINC000561387543 421323714 /nfs/dbraw/zinc/32/37/14/421323714.db2.gz ZGZDJCLOISGETC-NSHDSACASA-N -1 1 315.377 1.479 20 0 DDADMM C[C@H](CN(C(=O)c1cc(-c2ccoc2)[nH]n1)C1CC1)C(=O)[O-] ZINC000561910424 421336788 /nfs/dbraw/zinc/33/67/88/421336788.db2.gz WQFPIOATLLYFEK-SECBINFHSA-N -1 1 303.318 1.995 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@H]1CC[C@H](C(F)(F)F)C1 ZINC000562699705 421401910 /nfs/dbraw/zinc/40/19/10/421401910.db2.gz YECYMVQSQWSKDV-WDSKDSINSA-N -1 1 305.260 1.081 20 0 DDADMM Cc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(C2CC2)n1 ZINC000562925613 421425563 /nfs/dbraw/zinc/42/55/63/421425563.db2.gz OXBQLKJOAGODNC-VIFPVBQESA-N -1 1 300.366 1.656 20 0 DDADMM CCc1nc(CN=c2[n-]nc(-c3ccc(OC)cc3)s2)n[nH]1 ZINC000562927628 421427316 /nfs/dbraw/zinc/42/73/16/421427316.db2.gz AFYMTZPZNWUWSW-UHFFFAOYSA-N -1 1 316.390 1.928 20 0 DDADMM O=C(c1cc(=O)[nH]c(C2CC2)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000548435663 421437892 /nfs/dbraw/zinc/43/78/92/421437892.db2.gz GLKMHUOTGGIIOZ-SNVBAGLBSA-N -1 1 314.349 1.198 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)nn1[C@H](C)C1CC1 ZINC000548467158 421442025 /nfs/dbraw/zinc/44/20/25/421442025.db2.gz OYXSLZWESJGUTD-MWLCHTKSSA-N -1 1 317.397 1.551 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc2ccccc2o1)C(=O)NCCF ZINC000548775087 421472084 /nfs/dbraw/zinc/47/20/84/421472084.db2.gz VIXQIARKQYRYAV-SECBINFHSA-N -1 1 314.338 1.185 20 0 DDADMM CCCc1nc(=NC(=O)NCc2n[nH]c(C3CC3)n2)s[n-]1 ZINC000563865954 421549877 /nfs/dbraw/zinc/54/98/77/421549877.db2.gz RWCSWHDRNQILPA-UHFFFAOYSA-N -1 1 307.383 1.230 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)CC3(CO)CC3)cnc2n1 ZINC000552071825 421571694 /nfs/dbraw/zinc/57/16/94/421571694.db2.gz DZSBLTKKTIMPEH-UHFFFAOYSA-N -1 1 301.346 1.488 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)CC3(CO)CC3)c[n-]c2n1 ZINC000552071825 421571697 /nfs/dbraw/zinc/57/16/97/421571697.db2.gz DZSBLTKKTIMPEH-UHFFFAOYSA-N -1 1 301.346 1.488 20 0 DDADMM COc1ccnc(N2CCN(C(=O)[C@@H](C(=O)[O-])C(C)C)CC2)c1 ZINC000570399530 421644398 /nfs/dbraw/zinc/64/43/98/421644398.db2.gz GBQKTDQRLUKBMZ-AWEZNQCLSA-N -1 1 321.377 1.096 20 0 DDADMM C[C@H]1OCC[C@@]1(O)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000570506638 421649728 /nfs/dbraw/zinc/64/97/28/421649728.db2.gz YUJYBDOIVSBYDU-QMTHXVAHSA-N -1 1 311.359 1.251 20 0 DDADMM COCc1nsc(=N[C@@H]2CCCC[C@@H]2N2CCOCC2)[n-]1 ZINC000532421851 421660042 /nfs/dbraw/zinc/66/00/42/421660042.db2.gz QFXZMWAIRPKQBP-NEPJUHHUSA-N -1 1 312.439 1.162 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](C)c1cnn(C(C)C)c1 ZINC000554908927 421665279 /nfs/dbraw/zinc/66/52/79/421665279.db2.gz XJZURFBSRGIEFM-QMMMGPOBSA-N -1 1 313.383 1.212 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)c1cnn(C(C)C)c1 ZINC000554908927 421665280 /nfs/dbraw/zinc/66/52/80/421665280.db2.gz XJZURFBSRGIEFM-QMMMGPOBSA-N -1 1 313.383 1.212 20 0 DDADMM C[C@@H]1[C@H](c2ccccc2)CCN1Cc1cn(CC(=O)[O-])nn1 ZINC000571172525 421694012 /nfs/dbraw/zinc/69/40/12/421694012.db2.gz ZKAMDGCTVBZHTF-IUODEOHRSA-N -1 1 300.362 1.741 20 0 DDADMM CC1(C)[C@H](NC(=O)C(=O)c2ccc([O-])cc2)[C@@H]2CCCO[C@H]21 ZINC000519755412 421723902 /nfs/dbraw/zinc/72/39/02/421723902.db2.gz PKAUGLCXAOFOPU-NWANDNLSSA-N -1 1 303.358 1.895 20 0 DDADMM Cc1ccccc1NCCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000537122836 421727676 /nfs/dbraw/zinc/72/76/76/421727676.db2.gz RLUGTYOUXVFECU-ZDUSSCGKSA-N -1 1 314.393 1.716 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000533572963 421678938 /nfs/dbraw/zinc/67/89/38/421678938.db2.gz IFQLJCQHSDUOCF-UPJWGTAASA-N -1 1 307.398 1.501 20 0 DDADMM COC(=O)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000533572698 421679982 /nfs/dbraw/zinc/67/99/82/421679982.db2.gz IWXOTTPENLWXOC-GFCCVEGCSA-N -1 1 315.333 1.006 20 0 DDADMM O=C(c1ccn(C2CCCC2)n1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000540427662 421763038 /nfs/dbraw/zinc/76/30/38/421763038.db2.gz VZXABZZOAGENEH-NSHDSACASA-N -1 1 315.381 1.531 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)[C@H]2CCCOC2)sc1C ZINC000541871394 421809956 /nfs/dbraw/zinc/80/99/56/421809956.db2.gz RCZJWHXKXDHSMR-KOLCDFICSA-N -1 1 304.437 1.853 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2ccc(C)o2)c1 ZINC000558718160 421824078 /nfs/dbraw/zinc/82/40/78/421824078.db2.gz KLGDVYSVGGSGKT-UHFFFAOYSA-N -1 1 313.331 1.489 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)Cc2c(F)cccc2F)s1 ZINC000540941778 421778797 /nfs/dbraw/zinc/77/87/97/421778797.db2.gz COCDQMSKSQHMOP-UHFFFAOYSA-N -1 1 319.358 1.744 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC[C@H]1CCCCO1 ZINC000572392825 421782490 /nfs/dbraw/zinc/78/24/90/421782490.db2.gz NWTOWJMZZQVWPF-SNVBAGLBSA-N -1 1 311.407 1.902 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCOC(C)(C)C)c1 ZINC000631837827 421872260 /nfs/dbraw/zinc/87/22/60/421872260.db2.gz XTDJWKGFKMCDGW-UHFFFAOYSA-N -1 1 303.380 1.494 20 0 DDADMM CCCOc1ccc(CNCc2cc(C(=O)[O-])nn2C)cn1 ZINC000635304434 421893474 /nfs/dbraw/zinc/89/34/74/421893474.db2.gz GWTBOQJFBOLCLH-UHFFFAOYSA-N -1 1 304.350 1.592 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1ccc(F)cc1F)C1CC1 ZINC000543725939 421840625 /nfs/dbraw/zinc/84/06/25/421840625.db2.gz SQPOIIOTFGIDRC-GFCCVEGCSA-N -1 1 319.329 1.336 20 0 DDADMM CC(C)[C@H]1C[C@@H](CC(=O)NC2(c3nn[n-]n3)CCCC2)CCO1 ZINC000543822689 421842004 /nfs/dbraw/zinc/84/20/04/421842004.db2.gz CJKFRPOFVXINIM-QWHCGFSZSA-N -1 1 321.425 1.927 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2ccc(C)cc2Cl)[n-]1 ZINC000573057139 421904995 /nfs/dbraw/zinc/90/49/95/421904995.db2.gz WDUDHJYYTLBZQS-UHFFFAOYSA-N -1 1 315.782 1.887 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2ccc(C)cc2Cl)n[n-]1 ZINC000573057139 421904997 /nfs/dbraw/zinc/90/49/97/421904997.db2.gz WDUDHJYYTLBZQS-UHFFFAOYSA-N -1 1 315.782 1.887 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@H]1CCCN1C1CC1)Cc1ccccc1 ZINC000630137901 421912111 /nfs/dbraw/zinc/91/21/11/421912111.db2.gz ZOTHTURGZPOCLM-GOEBONIOSA-N -1 1 316.401 1.673 20 0 DDADMM CN(CCN1CCCC1)S(=O)(=O)c1cccc(Cl)c1[O-] ZINC000631898163 421922918 /nfs/dbraw/zinc/92/29/18/421922918.db2.gz BJBAUIIWXMVJEH-UHFFFAOYSA-N -1 1 318.826 1.762 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)Nc1cnn(C(C)(C)C(=O)[O-])c1 ZINC000630171826 421935649 /nfs/dbraw/zinc/93/56/49/421935649.db2.gz XDWLDAMRFCGCJN-VIFPVBQESA-N -1 1 305.338 1.243 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)C[C@H]2CCCOC2)c1 ZINC000631923361 421943014 /nfs/dbraw/zinc/94/30/14/421943014.db2.gz TZFYEIGPUJOZLN-LLVKDONJSA-N -1 1 315.391 1.448 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1C[C@]23COC[C@@]2(C1)CCCC3 ZINC000635314777 421903712 /nfs/dbraw/zinc/90/37/12/421903712.db2.gz MTEPXARTSABCAB-IYBDPMFKSA-N -1 1 305.378 1.511 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCCC[C@H]2O)c1 ZINC000632022969 422027609 /nfs/dbraw/zinc/02/76/09/422027609.db2.gz ZWJAWGBQGRAZGI-CMPLNLGQSA-N -1 1 315.391 1.230 20 0 DDADMM Cc1cccc(C)c1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630224280 421975605 /nfs/dbraw/zinc/97/56/05/421975605.db2.gz MSNJIJXFWXRRNB-CQSZACIVSA-N -1 1 304.390 1.924 20 0 DDADMM COc1nc(C)ccc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630227017 421979440 /nfs/dbraw/zinc/97/94/40/421979440.db2.gz GTPFQVXXKBGVLV-UHFFFAOYSA-N -1 1 321.377 1.020 20 0 DDADMM COc1ccc([C@H]2CCCN2C(=O)CCc2nn[n-]n2)cc1F ZINC000633630372 422014332 /nfs/dbraw/zinc/01/43/32/422014332.db2.gz MYHKEIIGWXVNOW-GFCCVEGCSA-N -1 1 319.340 1.644 20 0 DDADMM O=C(Nc1ccc(-c2nn[nH]n2)cc1)[C@@H]1CC[N@@H+]1C1CCCC1 ZINC000635468980 422018966 /nfs/dbraw/zinc/01/89/66/422018966.db2.gz HHQAZKPDSXSGDV-AWEZNQCLSA-N -1 1 312.377 1.822 20 0 DDADMM O=C(Nc1ccc(-c2nn[nH]n2)cc1)[C@@H]1CC[N@H+]1C1CCCC1 ZINC000635468980 422018970 /nfs/dbraw/zinc/01/89/70/422018970.db2.gz HHQAZKPDSXSGDV-AWEZNQCLSA-N -1 1 312.377 1.822 20 0 DDADMM O=C([O-])c1cncnc1N1CCN(CCc2cccs2)CC1 ZINC000582005912 422087428 /nfs/dbraw/zinc/08/74/28/422087428.db2.gz QKXGNFIIOIWHQO-UHFFFAOYSA-N -1 1 318.402 1.601 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2c3ccccc3C[C@@H]2O)sn1 ZINC000632122088 422102099 /nfs/dbraw/zinc/10/20/99/422102099.db2.gz LHLZWCSTHNWCNQ-AAEUAGOBSA-N -1 1 310.400 1.388 20 0 DDADMM CN(Cc1ccc(OC(F)F)cc1)C(=O)CCc1nn[n-]n1 ZINC000630446112 422109799 /nfs/dbraw/zinc/10/97/99/422109799.db2.gz DZLQQEJRGZUYIN-UHFFFAOYSA-N -1 1 311.292 1.392 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1(C(F)F)CCCC1 ZINC000633690314 422050322 /nfs/dbraw/zinc/05/03/22/422050322.db2.gz CEIKSECPRIJYDR-UHFFFAOYSA-N -1 1 300.309 1.264 20 0 DDADMM O=C([C@H]1Cc2ccc(Cl)cc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000632054257 422054457 /nfs/dbraw/zinc/05/44/57/422054457.db2.gz QRCFBLFLXXJEHT-MFKMUULPSA-N -1 1 317.780 1.899 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](c1ccccc1)C1CC1 ZINC000633692812 422054669 /nfs/dbraw/zinc/05/46/69/422054669.db2.gz UMHMNXOMSTYDBQ-CQSZACIVSA-N -1 1 312.373 1.632 20 0 DDADMM CN(C(=O)CCc1nn[n-]n1)[C@H](CCc1ccccc1)C(F)F ZINC000633698135 422057787 /nfs/dbraw/zinc/05/77/87/422057787.db2.gz XMFLMBWQNXJYCL-GFCCVEGCSA-N -1 1 323.347 1.857 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCC(=O)c2cccn21)c1nn[n-]n1 ZINC000574596556 422141579 /nfs/dbraw/zinc/14/15/79/422141579.db2.gz VVDIQKMUPGCOPP-MWLCHTKSSA-N -1 1 302.338 1.176 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC(C)(C)C[C@@H](C)O)c1 ZINC000632181550 422143015 /nfs/dbraw/zinc/14/30/15/422143015.db2.gz KEXWUABRGAGERK-SNVBAGLBSA-N -1 1 317.407 1.476 20 0 DDADMM CCN(CC(C)(C)O)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632160241 422129830 /nfs/dbraw/zinc/12/98/30/422129830.db2.gz XQECPKXBOCAOEI-UHFFFAOYSA-N -1 1 303.380 1.182 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)[C@H](Cc1ccccc1)OC ZINC000574925144 422217787 /nfs/dbraw/zinc/21/77/87/422217787.db2.gz RKFHOCBZQHIUFA-NSHDSACASA-N -1 1 303.318 1.393 20 0 DDADMM N=c1nc(N2CCN(C(=O)C3CCC=CCC3)CC2)s[n-]1 ZINC000635664915 422220894 /nfs/dbraw/zinc/22/08/94/422220894.db2.gz SSXAAMGGQAQKNK-UHFFFAOYSA-N -1 1 307.423 1.346 20 0 DDADMM C[C@H](NC(=O)CCCc1nn[n-]n1)[C@@H]1Oc2ccccc2[C@@H]1C ZINC000635673010 422226818 /nfs/dbraw/zinc/22/68/18/422226818.db2.gz PUOUBKLDCNTFHP-XMZIXOGTSA-N -1 1 315.377 1.592 20 0 DDADMM CC[C@H](C)[C@@H](O)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000575056062 422246647 /nfs/dbraw/zinc/24/66/47/422246647.db2.gz RKYZSLZKQCTCEI-ONGXEEELSA-N -1 1 314.432 1.982 20 0 DDADMM Cc1ccsc1CNC(=O)CN1CC[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000575059519 422248107 /nfs/dbraw/zinc/24/81/07/422248107.db2.gz ZLBUFXRYXIUTDI-JQWIXIFHSA-N -1 1 310.419 1.715 20 0 DDADMM COc1cccc([C@H](N)C(=O)N2C[C@H](C(=O)[O-])CC[C@@H]2C)c1 ZINC000630573657 422190084 /nfs/dbraw/zinc/19/00/84/422190084.db2.gz HHKHPLWXKFSNEP-SUHUHFCYSA-N -1 1 306.362 1.407 20 0 DDADMM CC(C)C(=O)NCCN(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632244321 422190668 /nfs/dbraw/zinc/19/06/68/422190668.db2.gz HDUQCYCGPWIBQI-UHFFFAOYSA-N -1 1 312.797 1.819 20 0 DDADMM CCNC(=O)[C@@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C(C)C ZINC000632365886 422277422 /nfs/dbraw/zinc/27/74/22/422277422.db2.gz OXCCVYKFFSZGJL-JTQLQIEISA-N -1 1 324.349 1.656 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)c1ccccc1OC(F)F ZINC000630704347 422278547 /nfs/dbraw/zinc/27/85/47/422278547.db2.gz VXCYPRDTXZPRKG-QMMMGPOBSA-N -1 1 311.292 1.611 20 0 DDADMM Cc1cc(C[C@@H](C)[N-]S(=O)(=O)c2cc(F)ccc2F)[nH]n1 ZINC000632394178 422303083 /nfs/dbraw/zinc/30/30/83/422303083.db2.gz KKOKACHWWIRIAZ-SECBINFHSA-N -1 1 315.345 1.906 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccc(Br)cc1 ZINC000630794714 422340402 /nfs/dbraw/zinc/34/04/02/422340402.db2.gz SZTGDBOONBPBKT-UHFFFAOYSA-N -1 1 310.155 1.211 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccnc1)c1nc(C(F)(F)F)no1 ZINC000632480800 422381556 /nfs/dbraw/zinc/38/15/56/422381556.db2.gz YIGZLCAMEOVBKJ-LURJTMIESA-N -1 1 322.268 1.523 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1cccc(OCC(F)F)c1 ZINC000630891784 422400146 /nfs/dbraw/zinc/40/01/46/422400146.db2.gz DEJLOSFZTCUMRI-UHFFFAOYSA-N -1 1 311.292 1.093 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1C[C@H](Sc2ccccc2)C1 ZINC000634375016 422410586 /nfs/dbraw/zinc/41/05/86/422410586.db2.gz KUPSSDXLKOJSRZ-UMSPYCQHSA-N -1 1 303.391 1.572 20 0 DDADMM COc1ccccc1CC(C)(C)NC(=O)CCc1nn[n-]n1 ZINC000630929960 422420380 /nfs/dbraw/zinc/42/03/80/422420380.db2.gz BPLOWVJDIZLKCU-UHFFFAOYSA-N -1 1 303.366 1.278 20 0 DDADMM O=c1c2c([n-]n1C1CCS(=O)(=O)CC1)-c1ccccc1CC2 ZINC000634619746 422510735 /nfs/dbraw/zinc/51/07/35/422510735.db2.gz IYCLJFJRMZZATE-CQSZACIVSA-N -1 1 318.398 1.373 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC(=O)C2(C)CCCC2)sn1 ZINC000632598875 422462703 /nfs/dbraw/zinc/46/27/03/422462703.db2.gz CDRAAQQBTJWXTR-UHFFFAOYSA-N -1 1 302.421 1.879 20 0 DDADMM COC[C@@H]1CCCN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632597490 422464385 /nfs/dbraw/zinc/46/43/85/422464385.db2.gz UIDMSHKJJOCDTI-JTQLQIEISA-N -1 1 301.364 1.200 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC000635944670 422496629 /nfs/dbraw/zinc/49/66/29/422496629.db2.gz ARTFWFBDFCBPGM-FZMZJTMJSA-N -1 1 305.382 1.083 20 0 DDADMM CCCCn1c(N)c(N(C)Cc2ncccc2[O-])c(=O)[nH]c1=O ZINC000578281800 422536137 /nfs/dbraw/zinc/53/61/37/422536137.db2.gz HXJPHWPWAIGBIH-UHFFFAOYSA-N -1 1 319.365 1.068 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2ncc(C(F)(F)F)[nH]2)sn1 ZINC000634658185 422541113 /nfs/dbraw/zinc/54/11/13/422541113.db2.gz UWQZQCWAMOTHJP-UHFFFAOYSA-N -1 1 312.298 1.994 20 0 DDADMM O=S(=O)([N-][C@H]1CCn2cncc2C1)c1cc(F)ccc1F ZINC000634661364 422543831 /nfs/dbraw/zinc/54/38/31/422543831.db2.gz PWLYWOLVQAAUEC-JTQLQIEISA-N -1 1 313.329 1.455 20 0 DDADMM C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCCN2C(=O)CCc2nn[n-]n2)C1 ZINC000632820037 422603755 /nfs/dbraw/zinc/60/37/55/422603755.db2.gz CDUCUANDMUAJNK-MQYQWHSLSA-N -1 1 321.425 1.311 20 0 DDADMM CCn1nnc(C)c1CN1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000578660853 422620367 /nfs/dbraw/zinc/62/03/67/422620367.db2.gz YGMHVHACCOIYIC-HNNXBMFYSA-N -1 1 300.362 1.790 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3CC[C@H](F)C3)c(=O)c2c(OC)c1 ZINC000627608143 422622457 /nfs/dbraw/zinc/62/24/57/422622457.db2.gz XLZUQDHANBQUDF-VIFPVBQESA-N -1 1 320.320 1.729 20 0 DDADMM O=C([O-])c1cccc2c1CCN(CCOC1CCOCC1)C2 ZINC000629362919 422632639 /nfs/dbraw/zinc/63/26/39/422632639.db2.gz ZNOVKBUTYRDPQT-UHFFFAOYSA-N -1 1 305.374 1.939 20 0 DDADMM C[C@@H](CS(C)(=O)=O)N(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631257012 422637058 /nfs/dbraw/zinc/63/70/58/422637058.db2.gz ZAAFXPGXFCRAER-VIFPVBQESA-N -1 1 319.810 1.480 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[nH]2)cc1)[C@H]1CCCc2nn[nH]c21 ZINC000629379604 422640847 /nfs/dbraw/zinc/64/08/47/422640847.db2.gz FVVNPLAVFVFDEH-LBPRGKRZSA-N -1 1 324.344 1.755 20 0 DDADMM C[C@@H]1CC[C@H](C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)O1 ZINC000645752846 423171912 /nfs/dbraw/zinc/17/19/12/423171912.db2.gz GPEIQEMYICEVIO-RKDXNWHRSA-N -1 1 324.324 1.946 20 0 DDADMM Cc1cnc(C(=O)N2CCC(Cn3cnnc3)CC2)c([O-])c1 ZINC000652848720 423172977 /nfs/dbraw/zinc/17/29/77/423172977.db2.gz AVSZBNJWLMWYGD-UHFFFAOYSA-N -1 1 301.350 1.240 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](CO)CCF)cc(Cl)c1[O-] ZINC000652923246 423218458 /nfs/dbraw/zinc/21/84/58/423218458.db2.gz FVPLKBMGAUFIMV-SECBINFHSA-N -1 1 305.733 1.895 20 0 DDADMM CO[C@H](CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)C(F)(F)F ZINC000653028426 423266234 /nfs/dbraw/zinc/26/62/34/423266234.db2.gz DPOXUKZILJQYRB-JGVFFNPUSA-N -1 1 323.271 1.449 20 0 DDADMM CCN(CC)CCS(=O)(=O)N[C@@H](CC(C)(C)C)C(=O)[O-] ZINC000646059245 423318494 /nfs/dbraw/zinc/31/84/94/423318494.db2.gz RMLXIZOQSZCOOE-NSHDSACASA-N -1 1 308.444 1.137 20 0 DDADMM O=c1[n-]c(CN2CCC[C@H](O)[C@H]2CCc2ccccc2)n[nH]1 ZINC000646073535 423324340 /nfs/dbraw/zinc/32/43/40/423324340.db2.gz BMZLOYHTDPUDQW-KGLIPLIRSA-N -1 1 302.378 1.468 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)N[C@@H]3CC[C@H](C(=O)[O-])C3)C2)n[nH]1 ZINC000646177563 423379886 /nfs/dbraw/zinc/37/98/86/423379886.db2.gz AHSNAQRGRXVYQF-SDDRHHMPSA-N -1 1 321.381 1.255 20 0 DDADMM O=C([O-])[C@]1(C(=O)Nc2ccn(-c3ccncc3)n2)CC=CCC1 ZINC000653306348 423431619 /nfs/dbraw/zinc/43/16/19/423431619.db2.gz KTMSSXPPKPHOGM-MRXNPFEDSA-N -1 1 312.329 1.439 20 0 DDADMM O=C([O-])[C@]1(C(=O)N2CCN(C3CCCC3)CC2)CC=CCC1 ZINC000653306555 423433542 /nfs/dbraw/zinc/43/35/42/423433542.db2.gz QNISYEMETMNQGE-QGZVFWFLSA-N -1 1 306.406 1.884 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC/C=C/c2cccnc2)o1 ZINC000646400910 423476003 /nfs/dbraw/zinc/47/60/03/423476003.db2.gz XEDATDBAHGWYGO-HWKANZROSA-N -1 1 321.358 1.026 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1N ZINC000646520404 423520928 /nfs/dbraw/zinc/52/09/28/423520928.db2.gz RRKAAWHIWSABHO-LLVKDONJSA-N -1 1 302.334 1.686 20 0 DDADMM CC(C)C[C@]1(C(=O)Nc2n[n-]c(C(F)(F)F)n2)CCNC1=O ZINC000639486298 423530402 /nfs/dbraw/zinc/53/04/02/423530402.db2.gz ZVGUENWHWLMMCD-LLVKDONJSA-N -1 1 319.287 1.314 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)C[C@H]2C(C)(C)C2(F)F)n1 ZINC000641311418 423543779 /nfs/dbraw/zinc/54/37/79/423543779.db2.gz OZKLUXOVFVSOEP-SVRRBLITSA-N -1 1 309.338 1.650 20 0 DDADMM O=C(NCc1ccc(CO)cc1)c1csc(=NC2CC2)[n-]1 ZINC000648972779 423569485 /nfs/dbraw/zinc/56/94/85/423569485.db2.gz ZHHCSGJUZKIMNA-UHFFFAOYSA-N -1 1 303.387 1.562 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@H]2C2CCCC2)c1 ZINC000651638030 423626402 /nfs/dbraw/zinc/62/64/02/423626402.db2.gz JFKLODVQMBDJIY-NWDGAFQWSA-N -1 1 324.402 1.804 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC2(CC=CC2)[C@@H]1C(C)C ZINC000649139213 423648797 /nfs/dbraw/zinc/64/87/97/423648797.db2.gz WSMUHPJBKKMSEJ-LBPRGKRZSA-N -1 1 319.361 1.713 20 0 DDADMM CC(C)N1CCC[C@@H](N2CCC[C@@H](c3n[n-]c(=N)o3)C2)C1=O ZINC000639822857 423759978 /nfs/dbraw/zinc/75/99/78/423759978.db2.gz XMKNABXCABHBPT-VXGBXAGGSA-N -1 1 307.398 1.061 20 0 DDADMM C[C@H](C(=O)N1CCCCCC1)N1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639827482 423766924 /nfs/dbraw/zinc/76/69/24/423766924.db2.gz ZEODKUOGHCUIBZ-CHWSQXEVSA-N -1 1 321.425 1.453 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCS(=O)(=O)[C@@H]2CCC[C@@H]21 ZINC000654343123 423773353 /nfs/dbraw/zinc/77/33/53/423773353.db2.gz BLFGHKUBONTEOO-WCQYABFASA-N -1 1 313.350 1.323 20 0 DDADMM Cc1c(C(=O)N(C)C)occ1S(=O)(=O)[N-][C@@H](C)C(F)F ZINC000641704871 423886279 /nfs/dbraw/zinc/88/62/79/423886279.db2.gz HUJWJCTWTMWYQL-ZETCQYMHSA-N -1 1 310.322 1.222 20 0 DDADMM CNc1ccc(Cl)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000644869233 423997103 /nfs/dbraw/zinc/99/71/03/423997103.db2.gz XXZACAUXCYLGON-SECBINFHSA-N -1 1 320.784 1.915 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2C[C@@H](C)n3ncnc32)sc1C ZINC000657069739 424074465 /nfs/dbraw/zinc/07/44/65/424074465.db2.gz XZZLAKIVVSFPJS-MUWHJKNJSA-N -1 1 313.408 1.336 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H](CO)CC2CC2)c(F)c1 ZINC000657182559 424193616 /nfs/dbraw/zinc/19/36/16/424193616.db2.gz RKPKSMXTAUILGF-NSHDSACASA-N -1 1 319.373 1.960 20 0 DDADMM CC(C)CN1CCN(C(=O)c2ccc(OCC(=O)[O-])cc2)CC1 ZINC000659751702 424218891 /nfs/dbraw/zinc/21/88/91/424218891.db2.gz AGVGUJIBFSNBQP-UHFFFAOYSA-N -1 1 320.389 1.564 20 0 DDADMM C[C@H](O)CN(C(=O)N=c1[n-]sc2ccccc21)[C@@H](C)CO ZINC000640338741 424359210 /nfs/dbraw/zinc/35/92/10/424359210.db2.gz GPBKMKACURSCBD-UWVGGRQHSA-N -1 1 309.391 1.314 20 0 DDADMM COC[C@@](C)(CCO)NC(=O)N=c1[n-]sc2ccccc21 ZINC000640342834 424362366 /nfs/dbraw/zinc/36/23/66/424362366.db2.gz QJYWOPVVEMBBBC-CQSZACIVSA-N -1 1 309.391 1.627 20 0 DDADMM CCN(CCS(=O)(=O)c1cccc(OC)c1)[C@@H](C)C(=O)[O-] ZINC000662218344 424484538 /nfs/dbraw/zinc/48/45/38/424484538.db2.gz XUCIYAPSSOGYDN-NSHDSACASA-N -1 1 315.391 1.264 20 0 DDADMM CC(C)N(Cc1cccc(F)c1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662200491 424466040 /nfs/dbraw/zinc/46/60/40/424466040.db2.gz BQAXOBLBMBBZDK-CQSZACIVSA-N -1 1 308.353 1.722 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CC2=CCCC2)c(C(F)(F)F)n1 ZINC000660039872 424479733 /nfs/dbraw/zinc/47/97/33/424479733.db2.gz QEAGXTAZXXQFBC-UHFFFAOYSA-N -1 1 309.313 1.828 20 0 DDADMM O=C([O-])[C@H](CNC(=O)N[C@@H]1CCCc2cn[nH]c21)C1CCC1 ZINC000665307661 424779014 /nfs/dbraw/zinc/77/90/14/424779014.db2.gz LOHMQZNHPFXFIQ-VXGBXAGGSA-N -1 1 306.366 1.587 20 0 DDADMM CCN1C[C@H](C)N(C(=O)NC[C@H](C(=O)[O-])C2CCC2)C[C@@H]1C ZINC000665322288 424783180 /nfs/dbraw/zinc/78/31/80/424783180.db2.gz APRFQFPRFLSXIJ-OBJOEFQTSA-N -1 1 311.426 1.611 20 0 DDADMM CN(CCC(=O)NCc1ccccc1Cl)Cc1n[n-]c(=O)o1 ZINC000660850834 424791327 /nfs/dbraw/zinc/79/13/27/424791327.db2.gz SQMVGDZGASPXOR-UHFFFAOYSA-N -1 1 324.768 1.567 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCc2cc(Cl)ccc21 ZINC000341715705 271186289 /nfs/dbraw/zinc/18/62/89/271186289.db2.gz VVZHXMPZFAGMAF-UHFFFAOYSA-N -1 1 315.720 1.274 20 0 DDADMM C[C@@H]1CCC[C@@H]1CNC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000342091825 271294638 /nfs/dbraw/zinc/29/46/38/271294638.db2.gz IZLPDSGTQNTBLX-GHMZBOCLSA-N -1 1 324.454 1.218 20 0 DDADMM C[C@H]1C[C@@H](CCNC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000342359411 271388171 /nfs/dbraw/zinc/38/81/71/271388171.db2.gz PYYJKIBVTQEZPJ-RYUDHWBXSA-N -1 1 315.373 1.858 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)F)c1cccnc1C(F)(F)F ZINC000344204636 271971440 /nfs/dbraw/zinc/97/14/40/271971440.db2.gz BGCGXGHJLYLINC-RXMQYKEDSA-N -1 1 320.239 1.005 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](CO)[C@@H]1CCCO1 ZINC000344940928 272142010 /nfs/dbraw/zinc/14/20/10/272142010.db2.gz NNPLRGMEGKEVQN-QWRGUYRKSA-N -1 1 323.393 1.058 20 0 DDADMM Cc1oc2ccccc2c1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000345341624 272224925 /nfs/dbraw/zinc/22/49/25/272224925.db2.gz BSJRYVGASLOSEM-UHFFFAOYSA-N -1 1 320.330 1.515 20 0 DDADMM Cc1oc2ccccc2c1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000345341624 272224927 /nfs/dbraw/zinc/22/49/27/272224927.db2.gz BSJRYVGASLOSEM-UHFFFAOYSA-N -1 1 320.330 1.515 20 0 DDADMM CO[C@H](CC[N-]S(=O)(=O)c1cnc(C)s1)C(F)(F)F ZINC000345348341 272226828 /nfs/dbraw/zinc/22/68/28/272226828.db2.gz WRJCOUSENFPVSI-SSDOTTSWSA-N -1 1 318.342 1.697 20 0 DDADMM CCCCN1CC(=O)N[C@H]1c1n[nH]c2cc(C(=O)[O-])ccc21 ZINC000345400159 272240649 /nfs/dbraw/zinc/24/06/49/272240649.db2.gz XDPBOLIWXTVCCQ-CQSZACIVSA-N -1 1 302.334 1.492 20 0 DDADMM O=S(=O)([N-]c1ccccc1-c1nnc[nH]1)c1cncc(F)c1 ZINC000345522792 272273451 /nfs/dbraw/zinc/27/34/51/272273451.db2.gz RQDRVKAWRYEFHS-UHFFFAOYSA-N -1 1 319.321 1.807 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)N[C@@H]1CCOc2ccccc21)C(=O)[O-] ZINC000185051509 276025766 /nfs/dbraw/zinc/02/57/66/276025766.db2.gz LQTPJCJIZSEKGV-IACUBPJLSA-N -1 1 320.389 1.858 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2nc(CCC(C)C)no2)n[n-]1 ZINC000086170472 281015594 /nfs/dbraw/zinc/01/55/94/281015594.db2.gz VDIHQDGKRABFMA-UHFFFAOYSA-N -1 1 313.383 1.313 20 0 DDADMM CCc1ncc(NC(=O)c2cc(NC(C)=O)ccc2[O-])cn1 ZINC000123607534 281174141 /nfs/dbraw/zinc/17/41/41/281174141.db2.gz IJILCMGCOZRXAU-UHFFFAOYSA-N -1 1 300.318 1.955 20 0 DDADMM Cc1nc(CNC(=O)c2ccc(Br)cc2[O-])no1 ZINC000124488682 281190786 /nfs/dbraw/zinc/19/07/86/281190786.db2.gz NIZHAPSOHNBGJA-UHFFFAOYSA-N -1 1 312.123 1.776 20 0 DDADMM CN(C)C1CN(C(=O)c2nn(-c3ccc(F)cc3)cc2[O-])C1 ZINC000135017850 289072448 /nfs/dbraw/zinc/07/24/48/289072448.db2.gz GDPWFCPZWUALQT-UHFFFAOYSA-N -1 1 304.325 1.103 20 0 DDADMM CCC[C@@H](C)[C@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353993963 298333786 /nfs/dbraw/zinc/33/37/86/298333786.db2.gz YAYRXMZDKOIVEN-ZYHUDNBSSA-N -1 1 303.366 1.458 20 0 DDADMM COc1ccc(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000362781482 300139784 /nfs/dbraw/zinc/13/97/84/300139784.db2.gz NJRFPCSBVWKTDV-LBPRGKRZSA-N -1 1 301.350 1.157 20 0 DDADMM CCC[C@H](NC(=O)C[C@H](O)Cc1ccccc1)c1nn[n-]n1 ZINC000362950096 300186845 /nfs/dbraw/zinc/18/68/45/300186845.db2.gz XUHFAVGNHAKSQR-OLZOCXBDSA-N -1 1 303.366 1.151 20 0 DDADMM O=C(N[C@H]1CC(=O)N2CCCC[C@H]12)c1c(F)ccc([O-])c1F ZINC000367724141 300877455 /nfs/dbraw/zinc/87/74/55/300877455.db2.gz WAYXZFUYOUKEAY-VHSXEESVSA-N -1 1 310.300 1.554 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCN1Cc1ccccc1)c1nn[n-]n1 ZINC000368526712 301049128 /nfs/dbraw/zinc/04/91/28/301049128.db2.gz UQEHZPZBNWXDGV-TZMCWYRMSA-N -1 1 314.393 1.036 20 0 DDADMM O=S(=O)(C[C@@H]1Cc2ccccc2O1)c1nc2ncncc2[n-]1 ZINC000368643605 301067299 /nfs/dbraw/zinc/06/72/99/301067299.db2.gz NEHRKZIZADEWPG-JTQLQIEISA-N -1 1 316.342 1.130 20 0 DDADMM O=S(=O)(C[C@@H]1Cc2ccccc2O1)c1nc2cncnc2[n-]1 ZINC000368643605 301067300 /nfs/dbraw/zinc/06/73/00/301067300.db2.gz NEHRKZIZADEWPG-JTQLQIEISA-N -1 1 316.342 1.130 20 0 DDADMM O=S(=O)(C[C@@H]1Cc2ccccc2O1)c1nc2c[n-]cnc-2n1 ZINC000368643605 301067302 /nfs/dbraw/zinc/06/73/02/301067302.db2.gz NEHRKZIZADEWPG-JTQLQIEISA-N -1 1 316.342 1.130 20 0 DDADMM CCCCN1CCOC[C@H]1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000368973617 301114365 /nfs/dbraw/zinc/11/43/65/301114365.db2.gz KPJVQOIRNRLPJL-QMMMGPOBSA-N -1 1 321.303 1.263 20 0 DDADMM Cc1nc(=NCCN2CCN(C)C[C@@H]2c2ccccc2)s[n-]1 ZINC000369672692 301209685 /nfs/dbraw/zinc/20/96/85/301209685.db2.gz RLNLRMOWAYTURK-OAHLLOKOSA-N -1 1 317.462 1.669 20 0 DDADMM O=C(C1CCCC1)N1CCC[C@@H](S(=O)(=O)[N-]CC(F)F)C1 ZINC000369920285 301239816 /nfs/dbraw/zinc/23/98/16/301239816.db2.gz OHQLUGNGECQAKD-LLVKDONJSA-N -1 1 324.393 1.352 20 0 DDADMM O=C(Nc1cccc(-c2n[nH]c(=O)[n-]2)c1)[C@@H]1C[C@H]1c1nc[nH]n1 ZINC000370564069 301365976 /nfs/dbraw/zinc/36/59/76/301365976.db2.gz RUWYWKANJYDLBQ-NXEZZACHSA-N -1 1 311.305 1.038 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@@H]1c1cc[nH]n1)c2=O ZINC000376010574 302023915 /nfs/dbraw/zinc/02/39/15/302023915.db2.gz CIGGZJLSORFDSK-GFCCVEGCSA-N -1 1 312.333 1.374 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2ccc([O-])cc2F)CC12CCC2 ZINC000376044822 302030258 /nfs/dbraw/zinc/03/02/58/302030258.db2.gz CYPGAZHOYAXCIB-GFCCVEGCSA-N -1 1 307.321 1.947 20 0 DDADMM CN(C(=O)N1CC[N@H+]2CCC[C@@H]2[C@@H]1C(=O)[O-])C1CCCCC1 ZINC000377156588 302149626 /nfs/dbraw/zinc/14/96/26/302149626.db2.gz DVIWURYZFBOHKQ-ZIAGYGMSSA-N -1 1 309.410 1.604 20 0 DDADMM COC(=O)C[C@@H]1COCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000377445282 302180675 /nfs/dbraw/zinc/18/06/75/302180675.db2.gz BFHKMNFGYLDSQV-SNVBAGLBSA-N -1 1 313.737 1.450 20 0 DDADMM CCCCCCNC(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000377536480 302189940 /nfs/dbraw/zinc/18/99/40/302189940.db2.gz UJMZGTXZTRPURV-UHFFFAOYSA-N -1 1 309.414 1.386 20 0 DDADMM O=C(c1cc2ccccc2s1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000377605132 302197338 /nfs/dbraw/zinc/19/73/38/302197338.db2.gz YHYDZAVOJKBZHU-SNVBAGLBSA-N -1 1 315.358 1.628 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCS[C@H]2CCCC[C@H]21 ZINC000377857341 302243514 /nfs/dbraw/zinc/24/35/14/302243514.db2.gz RYEDOSXDYFFUOX-ZJUUUORDSA-N -1 1 317.436 1.444 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2cccc(Cl)c2F)n1 ZINC000528445473 303027550 /nfs/dbraw/zinc/02/75/50/303027550.db2.gz MSGCDCMDVBKXAC-UHFFFAOYSA-N -1 1 318.761 1.569 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCC23CC3)c1 ZINC000529409916 303125911 /nfs/dbraw/zinc/12/59/11/303125911.db2.gz VGLUVKWAQOVGRP-LLVKDONJSA-N -1 1 324.402 1.819 20 0 DDADMM O=C(CN1C(=O)[C@H]2CCCC[C@@H]2C1=O)Nc1cccc(F)c1[O-] ZINC000530005620 303169755 /nfs/dbraw/zinc/16/97/55/303169755.db2.gz JOCJBIALLMMNRS-UWVGGRQHSA-N -1 1 320.320 1.645 20 0 DDADMM C[C@@H]([C@H]1Cc2ccccc2O1)N(C)Cc1cnc(C(=O)[O-])cn1 ZINC000530161587 303177398 /nfs/dbraw/zinc/17/73/98/303177398.db2.gz COKULKDVAZFXSO-MEDUHNTESA-N -1 1 313.357 1.999 20 0 DDADMM C[C@@H]1CN(CCCOc2ccc3c(c2)OCO3)C[C@@H](C(=O)[O-])O1 ZINC000530169035 303178877 /nfs/dbraw/zinc/17/88/77/303178877.db2.gz FBDJJRLEYSQXIP-ABAIWWIYSA-N -1 1 323.345 1.358 20 0 DDADMM CN(CC(=O)NC(C)(C)C)C(=O)c1csc(=NC2CC2)[n-]1 ZINC000532280212 303281391 /nfs/dbraw/zinc/28/13/91/303281391.db2.gz UKHDZYFHJRVOIG-UHFFFAOYSA-N -1 1 310.423 1.126 20 0 DDADMM O=C(NCCOC[C@@H]1CCCO1)c1csc(=NC2CC2)[n-]1 ZINC000532664442 303292656 /nfs/dbraw/zinc/29/26/56/303292656.db2.gz NTBMSGYFLGVOKO-NSHDSACASA-N -1 1 311.407 1.065 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCO[C@@H]2C2CC2)sc1C ZINC000534817766 303336987 /nfs/dbraw/zinc/33/69/87/303336987.db2.gz BSUXZHRAFVQVGD-GHMZBOCLSA-N -1 1 302.421 1.606 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](c2ccccc2)C1 ZINC000357594014 306897064 /nfs/dbraw/zinc/89/70/64/306897064.db2.gz FPZJMPIIPAUCHM-NSHDSACASA-N -1 1 315.329 1.526 20 0 DDADMM O=C1COc2ccc(S(=O)(=O)[N-]c3ncccc3F)cc2N1 ZINC000539795786 303398307 /nfs/dbraw/zinc/39/83/07/303398307.db2.gz NHZMIBZAKWSDEN-UHFFFAOYSA-N -1 1 323.305 1.352 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1nc(C)ncc1Cl ZINC000543128360 303453999 /nfs/dbraw/zinc/45/39/99/303453999.db2.gz DHVMCEGJZSLTMT-UHFFFAOYSA-N -1 1 323.740 1.023 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC[C@@H]1CCOC1 ZINC000543346352 303456845 /nfs/dbraw/zinc/45/68/45/303456845.db2.gz WKBKOOLRUURPBO-LLVKDONJSA-N -1 1 307.394 1.945 20 0 DDADMM O=C(c1cccc2ccsc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000364163844 307042433 /nfs/dbraw/zinc/04/24/33/307042433.db2.gz AOTQALWUUPTHOY-NSHDSACASA-N -1 1 315.358 1.628 20 0 DDADMM Cc1nc(S(=O)(=O)[C@H](C)c2nc(-c3ccccc3)no2)n[n-]1 ZINC000367511749 307095925 /nfs/dbraw/zinc/09/59/25/307095925.db2.gz DWAHCDYYCMMCMC-MRVPVSSYSA-N -1 1 319.346 1.698 20 0 DDADMM O=C(COc1cccc(Cl)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000371019326 307140971 /nfs/dbraw/zinc/14/09/71/307140971.db2.gz RDVNPXORKJPXIH-SNVBAGLBSA-N -1 1 321.768 1.638 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H]3COc4ccccc4C3)ccnc1-2 ZINC000376602896 307249205 /nfs/dbraw/zinc/24/92/05/307249205.db2.gz UPNJWEFTOCMFRM-ZEFYERGUSA-N -1 1 323.356 1.467 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C(N)=O)C(C)C)c(F)c1 ZINC000425215733 307302277 /nfs/dbraw/zinc/30/22/77/307302277.db2.gz JJLKAZOJYSYZPI-SNVBAGLBSA-N -1 1 306.334 1.061 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)/C=C\c3ccc4c(c3)OCO4)ccnc1-2 ZINC000493697627 307308362 /nfs/dbraw/zinc/30/83/62/307308362.db2.gz ZBIQBCSDLQFURK-MVERQFCCSA-N -1 1 322.324 1.722 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1CCO[C@H]1C)C1CCCCC1 ZINC000527483262 307508212 /nfs/dbraw/zinc/50/82/12/307508212.db2.gz JMMRXHFIHXTNCQ-CYZMBNFOSA-N -1 1 319.423 1.205 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(F)(F)C[C@H]2C)co1 ZINC000530018323 307579111 /nfs/dbraw/zinc/57/91/11/307579111.db2.gz RZROIKTXWORZPM-MRVPVSSYSA-N -1 1 322.333 1.448 20 0 DDADMM C[C@H](N=c1ccc(N2CCn3nncc3C2)n[n-]1)c1ccccc1 ZINC000566484238 308055228 /nfs/dbraw/zinc/05/52/28/308055228.db2.gz QVKXYLTVAFYYDD-ZDUSSCGKSA-N -1 1 321.388 1.683 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cc(C)cc3c[nH]nc32)[C@@H](C(=O)[O-])C1 ZINC000566506094 308055862 /nfs/dbraw/zinc/05/58/62/308055862.db2.gz OCTOMWLHYYDXKN-WCQYABFASA-N -1 1 317.345 1.576 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CCCC[C@@H]2O)sc1C ZINC000570017933 308157006 /nfs/dbraw/zinc/15/70/06/308157006.db2.gz MFEBRADGRSUFLX-MNOVXSKESA-N -1 1 304.437 1.589 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccc(C)cn2)o1 ZINC000575919363 308282007 /nfs/dbraw/zinc/28/20/07/308282007.db2.gz AIYZDDLTMQNKAL-UHFFFAOYSA-N -1 1 324.358 1.638 20 0 DDADMM COC(=O)c1ccc(C)c(NC(=O)CN(C)C[C@@H](C)C(=O)[O-])c1 ZINC000576111649 308289613 /nfs/dbraw/zinc/28/96/13/308289613.db2.gz GLDAZACJXZJNIA-LLVKDONJSA-N -1 1 322.361 1.373 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCC1 ZINC000576120200 308290389 /nfs/dbraw/zinc/29/03/89/308290389.db2.gz SSFXNLPRNSLNSA-LLVKDONJSA-N -1 1 303.366 1.506 20 0 DDADMM C[C@@H]1CN(Cc2ncnn2-c2ccccc2)C[C@]1(C)C(=O)[O-] ZINC000576950468 308351853 /nfs/dbraw/zinc/35/18/53/308351853.db2.gz GENFUVIVLWMFCP-WBMJQRKESA-N -1 1 300.362 1.810 20 0 DDADMM CCC(=O)N1CCC[N@@H+](Cc2cc(=O)[nH]c(C3CC3)n2)CC1 ZINC000583249010 337288275 /nfs/dbraw/zinc/28/82/75/337288275.db2.gz YSKNBDGFFZDPBF-UHFFFAOYSA-N -1 1 304.394 1.504 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cn2c(n1)CCCC2 ZINC000442292197 484136783 /nfs/dbraw/zinc/13/67/83/484136783.db2.gz FAKHCTNEHQAFFU-UHFFFAOYSA-N -1 1 300.244 1.609 20 0 DDADMM COCCN1CCCN(C(=O)[C@H](C(=O)[O-])C2CCCC2)CC1 ZINC000663038846 484616865 /nfs/dbraw/zinc/61/68/65/484616865.db2.gz DWPMWLKIUWSWGV-CQSZACIVSA-N -1 1 312.410 1.058 20 0 DDADMM C[C@@H]1C[C@H](C)[C@@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000669755647 484636195 /nfs/dbraw/zinc/63/61/95/484636195.db2.gz UNFQWINVGBRBCI-LFSVMHDDSA-N -1 1 301.350 1.219 20 0 DDADMM O=C([O-])[C@@H](C(=O)N1CC[C@@H](CN2CCOCC2)C1)C1CCCC1 ZINC000663061018 484636461 /nfs/dbraw/zinc/63/64/61/484636461.db2.gz FJSSOQPCSSHSLH-DZGCQCFKSA-N -1 1 324.421 1.058 20 0 DDADMM CN(C)[C@@H](CNC(=O)C1(C(=O)[O-])CCC1)c1cccc(F)c1 ZINC000663075237 484647162 /nfs/dbraw/zinc/64/71/62/484647162.db2.gz HMAJEWFIHFRTJC-ZDUSSCGKSA-N -1 1 308.353 1.800 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000663106114 484670821 /nfs/dbraw/zinc/67/08/21/484670821.db2.gz OFONOZIBIQJYEH-WBMJQRKESA-N -1 1 324.377 1.331 20 0 DDADMM Cc1ccc(C)c(S(=O)(=O)[N-]c2ccnc(OCCO)c2)c1 ZINC000663301960 484793098 /nfs/dbraw/zinc/79/30/98/484793098.db2.gz VSBBPHSYDOBSIK-UHFFFAOYSA-N -1 1 322.386 1.870 20 0 DDADMM Cc1cc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])cs1 ZINC000671788302 485190197 /nfs/dbraw/zinc/19/01/97/485190197.db2.gz YSMSYHBVOACRGS-UHFFFAOYSA-N -1 1 312.372 1.662 20 0 DDADMM Cc1oc(C2CC2)nc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000672770907 485346348 /nfs/dbraw/zinc/34/63/48/485346348.db2.gz RDBKDFNYBJTPJR-ZDUSSCGKSA-N -1 1 324.344 1.893 20 0 DDADMM C[C@@H](CN1CCCC1=O)NC(=O)c1ncc2ccccc2c1[O-] ZINC000666848346 485367267 /nfs/dbraw/zinc/36/72/67/485367267.db2.gz NTSUJCAVQRNCNK-NSHDSACASA-N -1 1 313.357 1.681 20 0 DDADMM O=c1nc(NC[C@H](CO)c2ccc(Cl)cc2)nc2[nH][n-]cc1-2 ZINC000673477185 485417029 /nfs/dbraw/zinc/41/70/29/485417029.db2.gz LYTMIRDAEHALHK-SECBINFHSA-N -1 1 319.752 1.273 20 0 DDADMM CCN(CC(=O)N(C)C)C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000673835956 485453840 /nfs/dbraw/zinc/45/38/40/485453840.db2.gz NTANCICGJOLYCS-UHFFFAOYSA-N -1 1 322.409 1.338 20 0 DDADMM COc1ccc(F)c(C(=O)[N-]c2nn3cnnc3s2)c1OC ZINC000682687209 485741799 /nfs/dbraw/zinc/74/17/99/485741799.db2.gz JWYXSHZSWFWWPT-UHFFFAOYSA-N -1 1 323.309 1.594 20 0 DDADMM CC1(c2ccccc2)CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000680580016 486229481 /nfs/dbraw/zinc/22/94/81/486229481.db2.gz QRUWMFMCQGQNFE-UHFFFAOYSA-N -1 1 323.356 1.268 20 0 DDADMM O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)c1ccc([O-])c(F)c1 ZINC000681047061 486331399 /nfs/dbraw/zinc/33/13/99/486331399.db2.gz TWCCHWFPPMGFEA-VIFPVBQESA-N -1 1 320.242 1.424 20 0 DDADMM O=C(N[C@@H]1CS(=O)(=O)c2ccccc21)c1ccc([O-])c(F)c1 ZINC000681048057 486331505 /nfs/dbraw/zinc/33/15/05/486331505.db2.gz WOSFCHJTDGLUPC-GFCCVEGCSA-N -1 1 321.329 1.790 20 0 DDADMM COCCC(=O)N1CCC(NC(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681056737 486334667 /nfs/dbraw/zinc/33/46/67/486334667.db2.gz CTOQOCQLJCECTE-UHFFFAOYSA-N -1 1 324.352 1.289 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H](O)C2CC2)c(F)c1 ZINC000676890141 486356083 /nfs/dbraw/zinc/35/60/83/486356083.db2.gz SPAPLSAQJPCQSW-LLVKDONJSA-N -1 1 307.318 1.023 20 0 DDADMM O=S(=O)(Cc1ccno1)c1nnc(Cc2ccccc2)[n-]1 ZINC000684563349 486383891 /nfs/dbraw/zinc/38/38/91/486383891.db2.gz KYODWDZBDVGAGT-UHFFFAOYSA-N -1 1 304.331 1.357 20 0 DDADMM O=S(=O)(Cc1ccno1)c1nc(Cc2ccccc2)n[n-]1 ZINC000684563349 486383894 /nfs/dbraw/zinc/38/38/94/486383894.db2.gz KYODWDZBDVGAGT-UHFFFAOYSA-N -1 1 304.331 1.357 20 0 DDADMM O=S(=O)(Cc1ccno1)c1n[n-]c(Cc2ccccc2)n1 ZINC000684563349 486383900 /nfs/dbraw/zinc/38/39/00/486383900.db2.gz KYODWDZBDVGAGT-UHFFFAOYSA-N -1 1 304.331 1.357 20 0 DDADMM O=C(CCCc1ccccn1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677049444 486386820 /nfs/dbraw/zinc/38/68/20/486386820.db2.gz PVQMKQJSHFHHSZ-LBPRGKRZSA-N -1 1 300.366 1.324 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677049540 486387016 /nfs/dbraw/zinc/38/70/16/486387016.db2.gz VDPMSUGKKMFCGH-KWQFWETISA-N -1 1 304.354 1.314 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CCN(C)c2ccccc21)c1nn[n-]n1 ZINC000681353888 486411708 /nfs/dbraw/zinc/41/17/08/486411708.db2.gz XSGQHTHMFFPHSA-WCQYABFASA-N -1 1 314.393 1.385 20 0 DDADMM O=C([O-])[C@@H](CO)NC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000684749482 486424057 /nfs/dbraw/zinc/42/40/57/486424057.db2.gz OCQCWCCTVIYGNR-MRVPVSSYSA-N -1 1 314.248 1.068 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2ncc(C)cc2[O-])oc1C ZINC000495022422 533816993 /nfs/dbraw/zinc/81/69/93/533816993.db2.gz YGOYQNLJAAKZTI-UHFFFAOYSA-N -1 1 304.302 1.714 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2C[C@H](O)C2(C)C)c(F)c1 ZINC000425178992 533819486 /nfs/dbraw/zinc/81/94/86/533819486.db2.gz STQCNEGGQRDARA-QWRGUYRKSA-N -1 1 305.346 1.711 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc2oc(=O)[nH]c2cc1F)C(C)(F)F ZINC000414459359 533964448 /nfs/dbraw/zinc/96/44/48/533964448.db2.gz ZNFGXVQNUXNVNF-YFKPBYRVSA-N -1 1 324.280 1.582 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H](CC(C)C)OC)c1 ZINC000416652900 534322533 /nfs/dbraw/zinc/32/25/33/534322533.db2.gz SZQTVDHZOMWOSS-NSHDSACASA-N -1 1 319.379 1.406 20 0 DDADMM Cn1[n-]c(CN2CCC(COc3ccccc3)CC2)nc1=O ZINC000329637271 534665990 /nfs/dbraw/zinc/66/59/90/534665990.db2.gz YKGHDRIEQZVSRV-UHFFFAOYSA-N -1 1 302.378 1.399 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CCO[C@H](CF)C1 ZINC000412557664 534692816 /nfs/dbraw/zinc/69/28/16/534692816.db2.gz VTKGSYFEHBDRIM-SECBINFHSA-N -1 1 318.142 1.965 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@H]3CCC[C@H](F)C3)CC2)s[n-]1 ZINC000424706412 534840572 /nfs/dbraw/zinc/84/05/72/534840572.db2.gz BFGGJTCHQUPWTO-UWVGGRQHSA-N -1 1 313.402 1.128 20 0 DDADMM C/C=C/C[C@@H](CO)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425176594 526270842 /nfs/dbraw/zinc/27/08/42/526270842.db2.gz NDMYRRKOYFWAFV-FSIBCCDJSA-N -1 1 305.346 1.879 20 0 DDADMM CC(C)(C)OC(=O)NCC(C)(C)C(=O)[N-]O[C@H]1CCOC1 ZINC000495265687 526442849 /nfs/dbraw/zinc/44/28/49/526442849.db2.gz PFRSYFFPQURUKQ-JTQLQIEISA-N -1 1 302.371 1.374 20 0 DDADMM CCN1C[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CC1=O ZINC000451875202 527951140 /nfs/dbraw/zinc/95/11/40/527951140.db2.gz ZKGRYXOCMHNXHH-ZETCQYMHSA-N -1 1 322.308 1.003 20 0 DDADMM CCC[C@H](NC(=O)c1cnc2cc(OC)ccn21)c1nn[n-]n1 ZINC000424702075 528205219 /nfs/dbraw/zinc/20/52/19/528205219.db2.gz FIHINMLWLBNVOQ-JTQLQIEISA-N -1 1 315.337 1.127 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)NCCC(C)(C)C(=O)[O-])n[nH]1 ZINC000424398553 528299731 /nfs/dbraw/zinc/29/97/31/528299731.db2.gz ALTXQMRMKCOBPM-SECBINFHSA-N -1 1 311.386 1.789 20 0 DDADMM CC(C)C[C@@H](CO)N(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294974244 528336977 /nfs/dbraw/zinc/33/69/77/528336977.db2.gz LGKIAMAVOWAFEK-NSHDSACASA-N -1 1 308.407 1.497 20 0 DDADMM CC(C)Cn1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000331773960 528699731 /nfs/dbraw/zinc/69/97/31/528699731.db2.gz DTSGGWZNPJVJOZ-NSHDSACASA-N -1 1 319.365 1.648 20 0 DDADMM CCOC[C@H](C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000494724627 528958823 /nfs/dbraw/zinc/95/88/23/528958823.db2.gz AIDQPEKWEUEWEW-VIFPVBQESA-N -1 1 306.366 1.135 20 0 DDADMM CCOC(=O)N1CCC([C@@H](C)NC(=O)c2cncc([O-])c2)CC1 ZINC000495496812 528960677 /nfs/dbraw/zinc/96/06/77/528960677.db2.gz GXPVGNYNHNTKRO-LLVKDONJSA-N -1 1 321.377 1.774 20 0 DDADMM CCC[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCCC1 ZINC000458327149 528973671 /nfs/dbraw/zinc/97/36/71/528973671.db2.gz AAUWHJADNKSYBN-CYBMUJFWSA-N -1 1 319.405 1.992 20 0 DDADMM CC[C@@H]1CCC[C@H]1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000452527278 529319169 /nfs/dbraw/zinc/31/91/69/529319169.db2.gz LVDIJRROCJXRKU-NEPJUHHUSA-N -1 1 305.378 1.460 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000330890329 529368864 /nfs/dbraw/zinc/36/88/64/529368864.db2.gz WBSPSPVZIFOMQI-ZJUUUORDSA-N -1 1 309.391 1.217 20 0 DDADMM COC[C@H]1CCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000736976342 598855452 /nfs/dbraw/zinc/85/54/52/598855452.db2.gz KJWHFWPXTOKFRG-LURJTMIESA-N -1 1 300.775 1.449 20 0 DDADMM COC[C@H]1CCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000736976342 598855453 /nfs/dbraw/zinc/85/54/53/598855453.db2.gz KJWHFWPXTOKFRG-LURJTMIESA-N -1 1 300.775 1.449 20 0 DDADMM C[C@H](O)C[C@H](C)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736144640 598973131 /nfs/dbraw/zinc/97/31/31/598973131.db2.gz QBNLRXQEYAFYPT-UWVGGRQHSA-N -1 1 313.365 1.629 20 0 DDADMM C[C@H](O)C[C@H](C)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736144640 598973133 /nfs/dbraw/zinc/97/31/33/598973133.db2.gz QBNLRXQEYAFYPT-UWVGGRQHSA-N -1 1 313.365 1.629 20 0 DDADMM C[C@@H]1Cc2ccccc2N1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736296507 598977440 /nfs/dbraw/zinc/97/74/40/598977440.db2.gz IPGPQBAADNRVGU-SNVBAGLBSA-N -1 1 306.329 1.853 20 0 DDADMM C[C@@H]1Cc2ccccc2N1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736296507 598977441 /nfs/dbraw/zinc/97/74/41/598977441.db2.gz IPGPQBAADNRVGU-SNVBAGLBSA-N -1 1 306.329 1.853 20 0 DDADMM CCOc1ccc(OC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000736646765 598980641 /nfs/dbraw/zinc/98/06/41/598980641.db2.gz JHYXWUIYLUIUFT-UHFFFAOYSA-N -1 1 311.301 1.880 20 0 DDADMM CCOc1ccc(OC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000736646765 598980642 /nfs/dbraw/zinc/98/06/42/598980642.db2.gz JHYXWUIYLUIUFT-UHFFFAOYSA-N -1 1 311.301 1.880 20 0 DDADMM COc1cc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)ccc1C ZINC000737046007 598995949 /nfs/dbraw/zinc/99/59/49/598995949.db2.gz YBYXMIIVKSCEKY-UHFFFAOYSA-N -1 1 324.344 1.509 20 0 DDADMM COc1cc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)ccc1C ZINC000737046007 598995951 /nfs/dbraw/zinc/99/59/51/598995951.db2.gz YBYXMIIVKSCEKY-UHFFFAOYSA-N -1 1 324.344 1.509 20 0 DDADMM CCOC(=O)CCCCOC(=O)c1sccc1-c1nn[n-]n1 ZINC000736591329 599014666 /nfs/dbraw/zinc/01/46/66/599014666.db2.gz ACHYMNQWTOFEIE-UHFFFAOYSA-N -1 1 324.362 1.818 20 0 DDADMM C[C@H]1c2cccn2CCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736304614 599020728 /nfs/dbraw/zinc/02/07/28/599020728.db2.gz PSAKLJIALUNXAI-JTQLQIEISA-N -1 1 309.333 1.280 20 0 DDADMM C[C@H]1c2cccn2CCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736304614 599020730 /nfs/dbraw/zinc/02/07/30/599020730.db2.gz PSAKLJIALUNXAI-JTQLQIEISA-N -1 1 309.333 1.280 20 0 DDADMM Cc1ccccc1O[C@@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC000822366110 599066181 /nfs/dbraw/zinc/06/61/81/599066181.db2.gz CAYVAWBEAMPPGR-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM Cc1ccccc1O[C@@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC000822366110 599066184 /nfs/dbraw/zinc/06/61/84/599066184.db2.gz CAYVAWBEAMPPGR-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)NCCc1nc2ccccc2s1 ZINC000262682734 596996057 /nfs/dbraw/zinc/99/60/57/596996057.db2.gz WPMHGQZBVFOQNV-UHFFFAOYSA-N -1 1 321.402 1.362 20 0 DDADMM Cc1cc(N2CC[C@H](N3CCC[C@@H](CC(=O)[O-])C3)C2=O)n(C)n1 ZINC000818829618 597075729 /nfs/dbraw/zinc/07/57/29/597075729.db2.gz BPWGEXDHYXDNCN-STQMWFEESA-N -1 1 320.393 1.021 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCC(c3ccccc3)=N2)CCCC1 ZINC000740376016 597080618 /nfs/dbraw/zinc/08/06/18/597080618.db2.gz MLFGCCHYIJEUBI-UHFFFAOYSA-N -1 1 315.373 1.610 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](CN2CCO[C@@H](C(=O)[O-])C2)C1 ZINC000736277689 597400109 /nfs/dbraw/zinc/40/01/09/597400109.db2.gz XKKXROIGOYNASL-NWDGAFQWSA-N -1 1 314.382 1.029 20 0 DDADMM CN1CC[C@@H](NC(=O)CSCC(=O)[O-])[C@H]1c1ccccc1 ZINC000820620723 597452284 /nfs/dbraw/zinc/45/22/84/597452284.db2.gz RETQRFYSDBHCES-IUODEOHRSA-N -1 1 308.403 1.366 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2ccc3c(=O)[nH]c(=S)[nH]c3c2)C1 ZINC000819275850 597505362 /nfs/dbraw/zinc/50/53/62/597505362.db2.gz ZRIFQWDASRUVCT-MRVPVSSYSA-N -1 1 319.342 1.171 20 0 DDADMM Cn1c2cc(NCc3ccc(-c4nnn[n-]4)o3)ccc2oc1=O ZINC000822596844 607316645 /nfs/dbraw/zinc/31/66/45/607316645.db2.gz WMADSRDPRDTBPI-UHFFFAOYSA-N -1 1 312.289 1.517 20 0 DDADMM Cn1c2cc(NCc3ccc(-c4nn[n-]n4)o3)ccc2oc1=O ZINC000822596844 607316646 /nfs/dbraw/zinc/31/66/46/607316646.db2.gz WMADSRDPRDTBPI-UHFFFAOYSA-N -1 1 312.289 1.517 20 0 DDADMM C[NH+]1CCN(CCCCNC(=O)c2cccc(C(=O)[O-])c2)CC1 ZINC000820626989 598136843 /nfs/dbraw/zinc/13/68/43/598136843.db2.gz ZIXFKVOQIYNTKH-UHFFFAOYSA-N -1 1 319.405 1.142 20 0 DDADMM CC(C)[C@@H](NCC(=O)N[C@@H]1CCOc2ccccc21)C(=O)[O-] ZINC000820041619 598189699 /nfs/dbraw/zinc/18/96/99/598189699.db2.gz LIFTUDZMIUOIIQ-IUODEOHRSA-N -1 1 306.362 1.325 20 0 DDADMM CCCN(CC1CC1)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736472007 598259400 /nfs/dbraw/zinc/25/94/00/598259400.db2.gz RLOFLFCNTDDAGV-UHFFFAOYSA-N -1 1 322.394 1.072 20 0 DDADMM CCCN(CC1CC1)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736472007 598259402 /nfs/dbraw/zinc/25/94/02/598259402.db2.gz RLOFLFCNTDDAGV-UHFFFAOYSA-N -1 1 322.394 1.072 20 0 DDADMM c1cc2c(cc1CNc1cnc(-c3nnn[n-]3)cn1)OCCO2 ZINC000738421696 598384866 /nfs/dbraw/zinc/38/48/66/598384866.db2.gz TXKKLODDRVWYFH-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM c1cc2c(cc1CNc1cnc(-c3nn[n-]n3)cn1)OCCO2 ZINC000738421696 598384868 /nfs/dbraw/zinc/38/48/68/598384868.db2.gz TXKKLODDRVWYFH-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM CN(Cc1nccn1C(F)F)c1cccc(-c2nnn[n-]2)n1 ZINC000736831730 599404698 /nfs/dbraw/zinc/40/46/98/599404698.db2.gz SHBXZYZGDMPYSU-UHFFFAOYSA-N -1 1 306.280 1.490 20 0 DDADMM CN(Cc1nccn1C(F)F)c1cccc(-c2nn[n-]n2)n1 ZINC000736831730 599404700 /nfs/dbraw/zinc/40/47/00/599404700.db2.gz SHBXZYZGDMPYSU-UHFFFAOYSA-N -1 1 306.280 1.490 20 0 DDADMM CC(C)CC[C@](C)(O)CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820073053 599487942 /nfs/dbraw/zinc/48/79/42/599487942.db2.gz PKIYHKONMDAYTE-HNNXBMFYSA-N -1 1 318.381 1.179 20 0 DDADMM CC(C)CC[C@](C)(O)CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820073053 599487944 /nfs/dbraw/zinc/48/79/44/599487944.db2.gz PKIYHKONMDAYTE-HNNXBMFYSA-N -1 1 318.381 1.179 20 0 DDADMM c1ccc2c(c1)nc(-c1nnn[n-]1)cc2NC[C@@H]1COCCO1 ZINC000823798172 599489650 /nfs/dbraw/zinc/48/96/50/599489650.db2.gz UVSBDDQUPLDDOU-SNVBAGLBSA-N -1 1 312.333 1.242 20 0 DDADMM c1ccc2c(c1)nc(-c1nn[n-]n1)cc2NC[C@@H]1COCCO1 ZINC000823798172 599489653 /nfs/dbraw/zinc/48/96/53/599489653.db2.gz UVSBDDQUPLDDOU-SNVBAGLBSA-N -1 1 312.333 1.242 20 0 DDADMM O=C([O-])[C@H]1CCCN1CCS(=O)(=O)c1ccc(Cl)cc1 ZINC000035302114 599723053 /nfs/dbraw/zinc/72/30/53/599723053.db2.gz KSFWTBZIZKCZPN-GFCCVEGCSA-N -1 1 317.794 1.663 20 0 DDADMM Cc1ccccc1[C@H]1CC(=O)N(CN2CC[C@@H](C(=O)[O-])C2)C1 ZINC000738760179 599853489 /nfs/dbraw/zinc/85/34/89/599853489.db2.gz DOJODCLEZMUTEN-KGLIPLIRSA-N -1 1 302.374 1.675 20 0 DDADMM COCCN1CCN(CCOc2cccc(C(=O)[O-])c2)C[C@H]1C ZINC000737812905 599916711 /nfs/dbraw/zinc/91/67/11/599916711.db2.gz PSRQGKYOUNBRDZ-CQSZACIVSA-N -1 1 322.405 1.416 20 0 DDADMM CN(C)CC(=O)N[C@@H](C(=O)[O-])c1ccc(Br)cc1 ZINC000737361814 600078684 /nfs/dbraw/zinc/07/86/84/600078684.db2.gz WCICWDALGBJFDN-LLVKDONJSA-N -1 1 315.167 1.253 20 0 DDADMM O=C([O-])[C@H]1C[C@H]1C(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000739555366 600078954 /nfs/dbraw/zinc/07/89/54/600078954.db2.gz YRPFCPZEAQHHDU-KGLIPLIRSA-N -1 1 320.414 1.895 20 0 DDADMM C[C@@H](c1nc2ccccc2s1)N(C)CCC(=O)NCC(=O)[O-] ZINC000736728078 600286321 /nfs/dbraw/zinc/28/63/21/600286321.db2.gz KSDGIARXWRKAMU-JTQLQIEISA-N -1 1 321.402 1.880 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)NCCSCC(=O)[O-] ZINC000389481121 600330801 /nfs/dbraw/zinc/33/08/01/600330801.db2.gz VIFACZGWZUWHBB-NEPJUHHUSA-N -1 1 315.439 1.072 20 0 DDADMM CC(C)[C@@H](NC(=O)c1cccc(Br)c1O)C(=O)[O-] ZINC000736386549 600441727 /nfs/dbraw/zinc/44/17/27/600441727.db2.gz XUABKKIURIRFMN-SECBINFHSA-N -1 1 316.151 1.994 20 0 DDADMM CN(Cc1ccco1)C(=O)CN1[C@H](C(=O)[O-])C[C@H]2CCCC[C@@H]21 ZINC000320743287 600504909 /nfs/dbraw/zinc/50/49/09/600504909.db2.gz BANCBLIXABXBTJ-SNPRPXQTSA-N -1 1 320.389 1.956 20 0 DDADMM CCN(CC(=O)c1[nH]c(C)c(C(=O)[O-])c1C)C[C@H]1CCOC1 ZINC000737079452 600508213 /nfs/dbraw/zinc/50/82/13/600508213.db2.gz MQPWSNVXVWOXDX-GFCCVEGCSA-N -1 1 308.378 1.871 20 0 DDADMM COCC1CCN(CC(=O)N(C)c2ccccc2C(=O)[O-])CC1 ZINC000737750815 600510669 /nfs/dbraw/zinc/51/06/69/600510669.db2.gz BKQTYIUCBGTKBZ-UHFFFAOYSA-N -1 1 320.389 1.706 20 0 DDADMM COc1cccc(S(=O)(=O)Nc2cnccc2C(=O)[O-])c1 ZINC000124118821 600515160 /nfs/dbraw/zinc/51/51/60/600515160.db2.gz SPFRRIQCSSSXPI-UHFFFAOYSA-N -1 1 308.315 1.589 20 0 DDADMM C[C@H]1CCCN(C(=O)CN(CCC(=O)[O-])C[C@H]2CCCO2)C1 ZINC000736789263 600538146 /nfs/dbraw/zinc/53/81/46/600538146.db2.gz LPBROBMOOGMSJC-UONOGXRCSA-N -1 1 312.410 1.201 20 0 DDADMM COc1ccc([C@@H](CC(=O)[O-])NC(=O)c2[nH]nc(C)c2C)cc1 ZINC000737956829 600613504 /nfs/dbraw/zinc/61/35/04/600613504.db2.gz DNHIIUVVDZXMLO-CYBMUJFWSA-N -1 1 317.345 1.981 20 0 DDADMM CC[C@H](C(=O)Nc1nnc(CCC(=O)[O-])s1)N(CC)CC ZINC000736847433 600626796 /nfs/dbraw/zinc/62/67/96/600626796.db2.gz JXPHHKZJZLNXSW-SECBINFHSA-N -1 1 314.411 1.614 20 0 DDADMM COCC[N@@H+](CCC(=O)[O-])Cc1nc(=O)c2sccc2[n-]1 ZINC000737794265 600641846 /nfs/dbraw/zinc/64/18/46/600641846.db2.gz KYXMCBNVFLDTLR-UHFFFAOYSA-N -1 1 311.363 1.320 20 0 DDADMM COC(=O)c1cc(NC(=O)CN2CCC[C@H]2C)cc(C(=O)[O-])c1 ZINC000737664289 600681170 /nfs/dbraw/zinc/68/11/70/600681170.db2.gz AVOPZUJWGXYYBJ-SNVBAGLBSA-N -1 1 320.345 1.594 20 0 DDADMM O=C([O-])c1ccc2[nH]c3c(c2c1)CN(C(=O)Cc1ccn[nH]1)CC3 ZINC000833325475 600702792 /nfs/dbraw/zinc/70/27/92/600702792.db2.gz POTNOYCWFRTONK-UHFFFAOYSA-N -1 1 324.340 1.717 20 0 DDADMM C[C@@H]1C[C@@H](NS(=O)(=O)c2ccc(C(=O)[O-])s2)CCN1C ZINC000157600912 600766053 /nfs/dbraw/zinc/76/60/53/600766053.db2.gz BFOXPQKFOJPHLZ-BDAKNGLRSA-N -1 1 318.420 1.207 20 0 DDADMM CCN1CCN(c2ccc(C(=O)O[C@H](C)C(=O)[O-])cc2)CC1 ZINC000737117093 600804589 /nfs/dbraw/zinc/80/45/89/600804589.db2.gz VBPDLUFYWIVTDH-GFCCVEGCSA-N -1 1 306.362 1.458 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)C[C@H]1CCCCO1 ZINC000825977452 600820319 /nfs/dbraw/zinc/82/03/19/600820319.db2.gz HHIGMYVAAITZFR-TZMCWYRMSA-N -1 1 312.410 1.199 20 0 DDADMM Cc1nnc(SCC(=O)N2[C@H](C(=O)[O-])C[C@H]3CCCC[C@@H]32)[nH]1 ZINC000832990471 600896415 /nfs/dbraw/zinc/89/64/15/600896415.db2.gz CBYHVXRDGHBWJM-VWYCJHECSA-N -1 1 324.406 1.450 20 0 DDADMM Cc1n[nH]c(SCC(=O)N2[C@H](C(=O)[O-])C[C@H]3CCCC[C@@H]32)n1 ZINC000832990471 600896417 /nfs/dbraw/zinc/89/64/17/600896417.db2.gz CBYHVXRDGHBWJM-VWYCJHECSA-N -1 1 324.406 1.450 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CNC1(c2ccccc2)CC1)C(=O)[O-] ZINC000828719004 600997582 /nfs/dbraw/zinc/99/75/82/600997582.db2.gz OXYIAIPOWNIGNE-SWLSCSKDSA-N -1 1 304.390 1.881 20 0 DDADMM CC(C)n1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)n1 ZINC000827379914 601103016 /nfs/dbraw/zinc/10/30/16/601103016.db2.gz LZBYZJQSJWJNPF-LBPRGKRZSA-N -1 1 308.382 1.085 20 0 DDADMM Cc1ccc(O)c(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000832952950 601122328 /nfs/dbraw/zinc/12/23/28/601122328.db2.gz IJEQCJCAYMZPQG-GFCCVEGCSA-N -1 1 306.362 1.322 20 0 DDADMM COc1cc(CN(CC(=O)[O-])C(=O)[C@H](C)N(C)C)cc(OC)c1 ZINC000831989471 601137013 /nfs/dbraw/zinc/13/70/13/601137013.db2.gz CJAMUJKHNHSZRY-NSHDSACASA-N -1 1 324.377 1.067 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)CCc2nc[nH]n2)C(=O)[O-])cc1 ZINC000832922156 601137373 /nfs/dbraw/zinc/13/73/73/601137373.db2.gz ONLXIOCACQSDGW-GFCCVEGCSA-N -1 1 302.334 1.030 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@@H]2CCC[C@H](C(=O)[O-])C2)c1 ZINC000830624059 601141194 /nfs/dbraw/zinc/14/11/94/601141194.db2.gz QOBHVGUCRRXEQD-OLZOCXBDSA-N -1 1 305.378 1.973 20 0 DDADMM CCN(CCC(=O)[O-])C(=O)CSc1n[nH]c(=S)s1 ZINC000829697561 601197843 /nfs/dbraw/zinc/19/78/43/601197843.db2.gz RCUKCJKNJVYWSA-UHFFFAOYSA-N -1 1 307.422 1.242 20 0 DDADMM COC(=O)c1nn(CN2CC[C@H](C(=O)[O-])C2)c2ccccc21 ZINC000818528164 601396603 /nfs/dbraw/zinc/39/66/03/601396603.db2.gz LVSJFONAQQBREL-JTQLQIEISA-N -1 1 303.318 1.187 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccc3c(c2)OCCO3)C[C@H]1C(=O)[O-] ZINC000828441659 601500444 /nfs/dbraw/zinc/50/04/44/601500444.db2.gz RSRMMBGRJKLIAB-ZYHUDNBSSA-N -1 1 320.345 1.049 20 0 DDADMM C[C@@H](C(=O)N[C@@H](CC(=O)[O-])C1CC1)N(C)Cc1ccccc1 ZINC000825993942 601521713 /nfs/dbraw/zinc/52/17/13/601521713.db2.gz ARDLZIHZMWFXCB-WFASDCNBSA-N -1 1 304.390 1.876 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)CN1CC[C@@]2(CCOC2)C1 ZINC000833106752 601662088 /nfs/dbraw/zinc/66/20/88/601662088.db2.gz VLBFFTUCAVYVLE-MRXNPFEDSA-N -1 1 304.346 1.436 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)CN1CCC[C@@H]2COCC[C@@H]21 ZINC000833104435 601662316 /nfs/dbraw/zinc/66/23/16/601662316.db2.gz BDXXCMIQHPUDAG-DOMZBBRYSA-N -1 1 318.373 1.824 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](CC(=O)[O-])c2ccc(F)cc2)[nH]n1 ZINC000832882783 601671548 /nfs/dbraw/zinc/67/15/48/601671548.db2.gz BWBLOWUUBHSYDD-ZDUSSCGKSA-N -1 1 305.309 1.732 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC000833354091 601719185 /nfs/dbraw/zinc/71/91/85/601719185.db2.gz IAVGTUVIAQWOIP-OAHLLOKOSA-N -1 1 303.293 1.716 20 0 DDADMM C[C@@H](CS(=O)(=O)N1CCN(CCC(C)(C)C)CC1)C(=O)[O-] ZINC000827547516 601779899 /nfs/dbraw/zinc/77/98/99/601779899.db2.gz UOAFJZGZVLXAKS-LBPRGKRZSA-N -1 1 320.455 1.091 20 0 DDADMM C[C@H](CN(C)[C@H]1CCN(c2c(F)cccc2F)C1=O)C(=O)[O-] ZINC000827442198 601826496 /nfs/dbraw/zinc/82/64/96/601826496.db2.gz DQHXCWFJEBYYMM-SKDRFNHKSA-N -1 1 312.316 1.723 20 0 DDADMM CC(C)(C)NC(=O)CN1CCCC[C@@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000738702800 601928058 /nfs/dbraw/zinc/92/80/58/601928058.db2.gz ZXDFZBHCAJJWTL-OLZOCXBDSA-N -1 1 311.426 1.898 20 0 DDADMM Cc1csc2nc(CN3CC[C@@H](CNC(=O)[O-])C3)cc(=O)n12 ZINC000740205605 601958223 /nfs/dbraw/zinc/95/82/23/601958223.db2.gz CWXSJGPHJAQDDM-JTQLQIEISA-N -1 1 322.390 1.154 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000833222985 602041243 /nfs/dbraw/zinc/04/12/43/602041243.db2.gz SKSABPIWQSBBMH-ZJUUUORDSA-N -1 1 309.391 1.248 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)N[C@@H](C)C1(N2CCOCC2)CCCC1 ZINC000827275118 602172260 /nfs/dbraw/zinc/17/22/60/602172260.db2.gz ZXRYCTNKQSBTNM-STQMWFEESA-N -1 1 312.410 1.247 20 0 DDADMM CCO[C@@H](CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)C(C)C ZINC000829913020 602192538 /nfs/dbraw/zinc/19/25/38/602192538.db2.gz SCDJSZZJXGDZNR-KGLIPLIRSA-N -1 1 314.426 1.445 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)NC1CCN(Cc2cccnc2)CC1 ZINC000827284009 602298957 /nfs/dbraw/zinc/29/89/57/602298957.db2.gz XQORKHBGTNBLTE-GFCCVEGCSA-N -1 1 305.378 1.273 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)NCCCN(C)C(=O)[O-] ZINC000739669910 602457580 /nfs/dbraw/zinc/45/75/80/602457580.db2.gz JWHGXHBZIDVGTN-CQSZACIVSA-N -1 1 307.394 1.275 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN(CC[S@@](=O)c2ccccc2)C1 ZINC000740633081 602527336 /nfs/dbraw/zinc/52/73/36/602527336.db2.gz MZOQDFKCSSHBQR-YEJXKQKISA-N -1 1 310.419 1.774 20 0 DDADMM COc1ccc(OC)c([C@H](O)CN(C)[C@@H](C)CNC(=O)[O-])c1 ZINC000739930383 602555199 /nfs/dbraw/zinc/55/51/99/602555199.db2.gz MJOKDHDDPMEROL-GXFFZTMASA-N -1 1 312.366 1.325 20 0 DDADMM O=C([O-])N1CCC[C@H]1CNC(=O)Cc1[nH]nc2ccccc21 ZINC000740386739 602576520 /nfs/dbraw/zinc/57/65/20/602576520.db2.gz DUWPZEVHKKXGRC-JTQLQIEISA-N -1 1 302.334 1.364 20 0 DDADMM Cc1ccccc1CNC(=O)CN1CC[C@@H](NC(=O)[O-])[C@@H](C)C1 ZINC000740185072 602759714 /nfs/dbraw/zinc/75/97/14/602759714.db2.gz SRWCZQLEIIITFC-DZGCQCFKSA-N -1 1 319.405 1.589 20 0 DDADMM COC(=O)c1cc(CN2CC[C@@H](NC(=O)[O-])[C@H](C)C2)oc1C ZINC000739776236 602772489 /nfs/dbraw/zinc/77/24/89/602772489.db2.gz BVYFFCFIRKVISV-NOZJJQNGSA-N -1 1 310.350 1.853 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1)N1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000739175194 602783165 /nfs/dbraw/zinc/78/31/65/602783165.db2.gz JXODMNNGNNGHHY-VNHYZAJKSA-N -1 1 319.405 1.669 20 0 DDADMM C[C@H](CC(=O)NCc1n[nH]c(-c2ccccc2)n1)NC(=O)[O-] ZINC000824671190 602794775 /nfs/dbraw/zinc/79/47/75/602794775.db2.gz DPKXWOCTAUMBHE-SECBINFHSA-N -1 1 303.322 1.134 20 0 DDADMM C[C@H](CC(=O)NCc1nc(-c2ccccc2)n[nH]1)NC(=O)[O-] ZINC000824671190 602794778 /nfs/dbraw/zinc/79/47/78/602794778.db2.gz DPKXWOCTAUMBHE-SECBINFHSA-N -1 1 303.322 1.134 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826401223 607598014 /nfs/dbraw/zinc/59/80/14/607598014.db2.gz SRMDUZGYRJKYTM-ZZXKWVIFSA-N -1 1 323.312 1.724 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826401223 607598015 /nfs/dbraw/zinc/59/80/15/607598015.db2.gz SRMDUZGYRJKYTM-ZZXKWVIFSA-N -1 1 323.312 1.724 20 0 DDADMM O=C([O-])N1[C@@H]2CC[C@H]1CC(NC(=O)c1n[nH]c3ccccc31)C2 ZINC000740394833 603013986 /nfs/dbraw/zinc/01/39/86/603013986.db2.gz XPQNKYHMNOACOI-FGWVZKOKSA-N -1 1 314.345 1.966 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)CC(C)(C)NC(=O)[O-])C[C@@H](C)O1 ZINC000739183836 603015120 /nfs/dbraw/zinc/01/51/20/603015120.db2.gz UAOOLGDXFUXAEM-VXGBXAGGSA-N -1 1 315.414 1.038 20 0 DDADMM CCN1CCCC[C@H]1C(=O)NC[C@@H](NC(=O)[O-])c1ccccc1 ZINC000739453191 603033441 /nfs/dbraw/zinc/03/34/41/603033441.db2.gz DYZJNMVAEQSXEJ-CABCVRRESA-N -1 1 319.405 1.986 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1CCCc2cc(CNC(=O)[O-])ccc21 ZINC000739728307 603047976 /nfs/dbraw/zinc/04/79/76/603047976.db2.gz IZBJNCYQICGPQU-OAHLLOKOSA-N -1 1 317.389 1.828 20 0 DDADMM CC(C)[C@](C)(CNC(=O)[O-])NC(=O)c1[nH]nc2c1CCCC2 ZINC000738769257 603125856 /nfs/dbraw/zinc/12/58/56/603125856.db2.gz DYSFSVZUAADDOF-HNNXBMFYSA-N -1 1 308.382 1.701 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)Cc1n[nH]c2ccccc21)C(=O)[O-] ZINC000828197736 603169664 /nfs/dbraw/zinc/16/96/64/603169664.db2.gz FDOMFGSLFFHESY-LLVKDONJSA-N -1 1 316.361 1.706 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H](C(=O)N2CCN(C3CCCC3)CC2)C1 ZINC000740566093 603237417 /nfs/dbraw/zinc/23/74/17/603237417.db2.gz SWORMFITALPHOH-UONOGXRCSA-N -1 1 323.437 1.900 20 0 DDADMM C[C@@H](CC(=O)Nc1ccccc1N1CCN(C)CC1)NC(=O)[O-] ZINC000824702139 603278782 /nfs/dbraw/zinc/27/87/82/603278782.db2.gz ASNPHSACSZGJDC-LBPRGKRZSA-N -1 1 320.393 1.423 20 0 DDADMM CC(C)(C)CC(=O)N1CCN(C[C@@H]2CCN(C(=O)[O-])C2)CC1 ZINC000738683881 603323053 /nfs/dbraw/zinc/32/30/53/603323053.db2.gz XNQZKHHQSJMHCC-ZDUSSCGKSA-N -1 1 311.426 1.567 20 0 DDADMM C[C@H]1CN(Cn2nccc2-c2cccnc2)CC[C@H]1NC(=O)[O-] ZINC000739191020 603396847 /nfs/dbraw/zinc/39/68/47/603396847.db2.gz PSGKIGKAYUJEGI-GXTWGEPZSA-N -1 1 315.377 1.881 20 0 DDADMM C[C@H](C(=O)N1CCCCCC1)N1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000739177281 603413877 /nfs/dbraw/zinc/41/38/77/603413877.db2.gz OODDUGAFSGOJPW-MGPQQGTHSA-N -1 1 311.426 1.756 20 0 DDADMM O=C([O-])N1CCC[C@H]1[C@@H]1CCCN(C(=O)Cc2ccn[nH]2)C1 ZINC000831562704 603543652 /nfs/dbraw/zinc/54/36/52/603543652.db2.gz SFHSGRUKKJHBCP-YPMHNXCESA-N -1 1 306.366 1.333 20 0 DDADMM CC(C)[C@@H](CCN(C)[C@@H](C)C(=O)N(C)C(C)C)NC(=O)[O-] ZINC000824100586 603854027 /nfs/dbraw/zinc/85/40/27/603854027.db2.gz WODXJQKSVHDRGB-QWHCGFSZSA-N -1 1 301.431 1.856 20 0 DDADMM CC1(CNS(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)CC1 ZINC000824823480 607660160 /nfs/dbraw/zinc/66/01/60/607660160.db2.gz NXXZTQPAUJCVAI-UHFFFAOYSA-N -1 1 311.342 1.084 20 0 DDADMM C[C@H](CN(C)C(=O)[O-])C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000824862510 603934772 /nfs/dbraw/zinc/93/47/72/603934772.db2.gz MNGDMXJZZMARBR-MRVPVSSYSA-N -1 1 308.313 1.564 20 0 DDADMM C[C@@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)[C@@H]1CNC(=O)[O-] ZINC000825907248 603951995 /nfs/dbraw/zinc/95/19/95/603951995.db2.gz XKCYCVOTVNGSGZ-JTNHKYCSSA-N -1 1 320.393 1.724 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCN(Cc2ccc(-n3ccnc3)nc2)C1 ZINC000827889469 603971896 /nfs/dbraw/zinc/97/18/96/603971896.db2.gz IBTYYBWZEIDFSA-ZDUSSCGKSA-N -1 1 301.350 1.451 20 0 DDADMM CCC[C@@H](C)NC(=O)[C@@H](C)N1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000826734235 603986101 /nfs/dbraw/zinc/98/61/01/603986101.db2.gz HEWSSVFPMREUFN-SYQHCUMBSA-N -1 1 311.426 1.896 20 0 DDADMM CC(C)[C@@H]1CC(=O)N(CN2CCC[C@H]([C@@H](C)NC(=O)[O-])C2)C1 ZINC000824159230 604020357 /nfs/dbraw/zinc/02/03/57/604020357.db2.gz ZQYIEVWWJBNZDG-HZSPNIEDSA-N -1 1 311.426 1.817 20 0 DDADMM CCC[C@@H]1CC(=O)N(CN2CCC[C@H]([C@@H](C)NC(=O)[O-])C2)C1 ZINC000826770062 604025759 /nfs/dbraw/zinc/02/57/59/604025759.db2.gz YCKHZACHSGGXEH-MCIONIFRSA-N -1 1 311.426 1.961 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)c2ccc(CNC(=O)[O-])o2)c1 ZINC000828046328 604066353 /nfs/dbraw/zinc/06/63/53/604066353.db2.gz JUOPIXZYEIMJPT-UHFFFAOYSA-N -1 1 318.333 1.756 20 0 DDADMM C[C@@H](CN(C)C(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000824881000 604141914 /nfs/dbraw/zinc/14/19/14/604141914.db2.gz ROONMPBUNAQGNT-JTQLQIEISA-N -1 1 303.322 1.452 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)N2CCCC[C@@H]2C)C1 ZINC000825255701 604182162 /nfs/dbraw/zinc/18/21/62/604182162.db2.gz RSBNYILYFUIDQF-IHRRRGAJSA-N -1 1 311.426 1.756 20 0 DDADMM CC(C)n1nccc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000827379269 604299071 /nfs/dbraw/zinc/29/90/71/604299071.db2.gz FIDDJERTFVIOET-GFCCVEGCSA-N -1 1 308.382 1.085 20 0 DDADMM C[C@](CNC(=O)[O-])(NCc1cc(=O)n2ccsc2n1)C1CC1 ZINC000824953745 604342483 /nfs/dbraw/zinc/34/24/83/604342483.db2.gz WRYNWOXOLZYIDU-CQSZACIVSA-N -1 1 322.390 1.282 20 0 DDADMM O=C([O-])NC1(C(=O)NC[C@H](c2cccs2)N2CCCC2)CC1 ZINC000832161208 604423296 /nfs/dbraw/zinc/42/32/96/604423296.db2.gz AOZFCRALBUXCCX-LLVKDONJSA-N -1 1 323.418 1.801 20 0 DDADMM CN(C)[C@@H](CNC(=O)C1(NC(=O)[O-])CC1)c1ccc(F)cc1 ZINC000827994706 604435287 /nfs/dbraw/zinc/43/52/87/604435287.db2.gz NKUJQXFKAAIWHZ-LBPRGKRZSA-N -1 1 309.341 1.345 20 0 DDADMM CCOc1ccccc1NC(=O)CCN1CC[C@](F)(C(=O)[O-])C1 ZINC000830109492 604481209 /nfs/dbraw/zinc/48/12/09/604481209.db2.gz CHYLOWDQLZVSAY-MRXNPFEDSA-N -1 1 324.352 1.913 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@]3(F)CCN(C(=O)[O-])C3)n2)o1 ZINC000830159275 604585527 /nfs/dbraw/zinc/58/55/27/604585527.db2.gz DWQXLTVTUBSGMI-ZDUSSCGKSA-N -1 1 323.284 1.404 20 0 DDADMM Cc1ccc(-c2n[nH]c(SCC(=O)OCC(=O)[O-])n2)cc1 ZINC000818864145 604602874 /nfs/dbraw/zinc/60/28/74/604602874.db2.gz LBFQMVSAAKTDAY-UHFFFAOYSA-N -1 1 307.331 1.500 20 0 DDADMM Cc1cnn(CCCCCN2CCO[C@H]([C@H](C)NC(=O)[O-])C2)c1 ZINC000830646424 604629298 /nfs/dbraw/zinc/62/92/98/604629298.db2.gz VXPQNYWEHWWRSK-GJZGRUSLSA-N -1 1 324.425 1.719 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)CC3(NC(=O)[O-])CCC3)C2)n[nH]1 ZINC000830737784 604645402 /nfs/dbraw/zinc/64/54/02/604645402.db2.gz MWCJKMNMORTPRJ-NSHDSACASA-N -1 1 321.381 1.400 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H]3CCC[C@@H]3NC(=O)[O-])C2)n[nH]1 ZINC000830733584 604646242 /nfs/dbraw/zinc/64/62/42/604646242.db2.gz HNNOZQYOTVQAQQ-UTUOFQBUSA-N -1 1 321.381 1.255 20 0 DDADMM CN(C)C(=O)c1ccc(CN2CCC3(C[C@@H]3C(=O)[O-])CC2)[nH]1 ZINC000833687160 604647495 /nfs/dbraw/zinc/64/74/95/604647495.db2.gz JHNDHCGJHBXMSP-GFCCVEGCSA-N -1 1 305.378 1.403 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H]1CC(=O)[O-])N1CCc2ccccc2C1 ZINC000825983001 604670804 /nfs/dbraw/zinc/67/08/04/604670804.db2.gz OMMMEPJBFFGBOV-XJKSGUPXSA-N -1 1 316.401 1.899 20 0 DDADMM NC(=O)N1CCCN([C@H](C(=O)[O-])c2cccc(Cl)c2)CC1 ZINC000833084115 604741689 /nfs/dbraw/zinc/74/16/89/604741689.db2.gz VYSOHIGSKFBUEE-LBPRGKRZSA-N -1 1 311.769 1.552 20 0 DDADMM O=C([O-])N1CCc2cccc(CNC[C@@H]3CCCS3(=O)=O)c21 ZINC000832087465 604776351 /nfs/dbraw/zinc/77/63/51/604776351.db2.gz VDSYKHMMQUETBU-ZDUSSCGKSA-N -1 1 324.402 1.394 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1C(=O)CCc1ccccc1C(=O)[O-] ZINC000833584761 604837778 /nfs/dbraw/zinc/83/77/78/604837778.db2.gz VDOVTOJNBZICDC-QWHCGFSZSA-N -1 1 304.390 1.868 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CC[C@H](C(F)F)C1 ZINC000833404708 604936824 /nfs/dbraw/zinc/93/68/24/604936824.db2.gz UITNLNKOBRAVLV-ONGXEEELSA-N -1 1 304.337 1.285 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN2CCC[C@H]2CC(=O)[O-])cc1 ZINC000833399573 604967389 /nfs/dbraw/zinc/96/73/89/604967389.db2.gz HKUUMWNAGAGRFU-AWEZNQCLSA-N -1 1 319.361 1.523 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)c2ccc(NC(=O)[O-])cc2)n[nH]1 ZINC000833674111 605024166 /nfs/dbraw/zinc/02/41/66/605024166.db2.gz QCBZYWXIDWKNDD-MRVPVSSYSA-N -1 1 303.322 1.948 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1CSc2ccccc21 ZINC000317348210 605077247 /nfs/dbraw/zinc/07/72/47/605077247.db2.gz DWVFEDCVHUPCAB-NEPJUHHUSA-N -1 1 317.370 1.411 20 0 DDADMM C[C@H](NC1CN(C(=O)[O-])C1)c1ccc(NC(=O)NC2CC2)cc1 ZINC000825484062 605097335 /nfs/dbraw/zinc/09/73/35/605097335.db2.gz DJPNBOJLDKOZOB-JTQLQIEISA-N -1 1 318.377 1.983 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C/c1cccnc1 ZINC000833625107 605158719 /nfs/dbraw/zinc/15/87/19/605158719.db2.gz WJGKYSOPZPDNML-MUBLQREKSA-N -1 1 303.362 1.102 20 0 DDADMM COc1ccc(O)c(C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)c1 ZINC000833733701 605165784 /nfs/dbraw/zinc/16/57/84/605165784.db2.gz ZYXOZJOFGDCDIV-LLVKDONJSA-N -1 1 322.361 1.022 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cnc(C)nc2)c(C(=O)[O-])c1 ZINC000833750827 605187997 /nfs/dbraw/zinc/18/79/97/605187997.db2.gz BOCOTNLZEPMGIY-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2[nH]ccc21 ZINC000833624392 605205105 /nfs/dbraw/zinc/20/51/05/605205105.db2.gz HYVQKDIPZLQYHM-GFCCVEGCSA-N -1 1 315.373 1.789 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2nc[nH]c21 ZINC000833627559 605209156 /nfs/dbraw/zinc/20/91/56/605209156.db2.gz YRXWCAGICDOYIG-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM CN(CC(=O)N(C)C1(C(=O)[O-])CCCCC1)[C@@H]1CCSC1 ZINC000833692006 605220077 /nfs/dbraw/zinc/22/00/77/605220077.db2.gz RQZPPEIBKPRVNR-GFCCVEGCSA-N -1 1 314.451 1.670 20 0 DDADMM CC[N@@H+](C)[C@@H](C(=O)[N-]OC[C@H](C)NC(=O)[O-])c1ccccc1 ZINC000833856495 605397909 /nfs/dbraw/zinc/39/79/09/605397909.db2.gz KPZFGPAVAFEGGI-WCQYABFASA-N -1 1 309.366 1.383 20 0 DDADMM C[C@@H](C(=O)NCC(F)(F)F)N(C)CC(C)(C)CNC(=O)[O-] ZINC000823688129 605471899 /nfs/dbraw/zinc/47/18/99/605471899.db2.gz ZYSVKUXVQQEAPO-QMMMGPOBSA-N -1 1 313.320 1.279 20 0 DDADMM O=C([O-])N[C@@H]1CCN([C@H]2CCCN(Cc3ccccc3)C2=O)C1 ZINC000834182959 605602337 /nfs/dbraw/zinc/60/23/37/605602337.db2.gz MDNCPBPJHXNIBV-CABCVRRESA-N -1 1 317.389 1.520 20 0 DDADMM C[C@H]1C[C@H](C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CC(C)(C)C1 ZINC000833588283 605720325 /nfs/dbraw/zinc/72/03/25/605720325.db2.gz DBVIKAKRPIFIKO-GVXVVHGQSA-N -1 1 307.394 1.984 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)c1cc(-c2ccco2)[nH]n1 ZINC000825831901 605729291 /nfs/dbraw/zinc/72/92/91/605729291.db2.gz ONYWCZICSBKGES-ZJUUUORDSA-N -1 1 318.333 1.930 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@H](CC(C)C)NC(=O)[O-])C2)n[nH]1 ZINC000830735963 605795169 /nfs/dbraw/zinc/79/51/69/605795169.db2.gz YUCGKPVKVRTRKB-NEPJUHHUSA-N -1 1 323.397 1.501 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N1CCCC[C@H]1CNC(=O)[O-])C2 ZINC000833915220 605853831 /nfs/dbraw/zinc/85/38/31/605853831.db2.gz DTDMITIFICMGFT-RYUDHWBXSA-N -1 1 320.393 1.472 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)N(C)Cc1ccco1 ZINC000833828352 605882947 /nfs/dbraw/zinc/88/29/47/605882947.db2.gz DFJMFSOPVQMXHQ-NWDGAFQWSA-N -1 1 309.366 1.216 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NCCC1=CCCCC1 ZINC000833833447 605911761 /nfs/dbraw/zinc/91/17/61/605911761.db2.gz YGSCWMZZWCABAX-DZGCQCFKSA-N -1 1 323.437 1.971 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCO[C@@H](Cc3ccccc3)C2)C1 ZINC000834077272 606047880 /nfs/dbraw/zinc/04/78/80/606047880.db2.gz DWXCAXKFNVNCNG-CVEARBPZSA-N -1 1 304.390 1.930 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@@H](Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC000821446924 606104782 /nfs/dbraw/zinc/10/47/82/606104782.db2.gz FYTHLUAXVFIRLX-AUWXTCHYSA-N -1 1 320.422 1.753 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@@H](Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC000821446924 606104784 /nfs/dbraw/zinc/10/47/84/606104784.db2.gz FYTHLUAXVFIRLX-AUWXTCHYSA-N -1 1 320.422 1.753 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC[C@@]3(CCCOC3)C2)c1 ZINC000823743120 606143095 /nfs/dbraw/zinc/14/30/95/606143095.db2.gz UALCDECCMYWPSX-OAHLLOKOSA-N -1 1 300.366 1.659 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC[C@@]3(CCCOC3)C2)c1 ZINC000823743120 606143097 /nfs/dbraw/zinc/14/30/97/606143097.db2.gz UALCDECCMYWPSX-OAHLLOKOSA-N -1 1 300.366 1.659 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC2(C)CCCCC2)n1 ZINC000822609061 606155810 /nfs/dbraw/zinc/15/58/10/606155810.db2.gz IQORRIKPIMSQMZ-UHFFFAOYSA-N -1 1 304.358 1.445 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC2(C)CCCCC2)n1 ZINC000822609061 606155812 /nfs/dbraw/zinc/15/58/12/606155812.db2.gz IQORRIKPIMSQMZ-UHFFFAOYSA-N -1 1 304.358 1.445 20 0 DDADMM C[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000820711373 606159385 /nfs/dbraw/zinc/15/93/85/606159385.db2.gz XJGNPXIMELCCHH-VIFPVBQESA-N -1 1 304.358 1.254 20 0 DDADMM C[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000820711373 606159387 /nfs/dbraw/zinc/15/93/87/606159387.db2.gz XJGNPXIMELCCHH-VIFPVBQESA-N -1 1 304.358 1.254 20 0 DDADMM C[C@H]1CCC[C@H](C)C1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820690102 606169264 /nfs/dbraw/zinc/16/92/64/606169264.db2.gz GKYGQDPMGXAMDK-IUCAKERBSA-N -1 1 318.385 1.546 20 0 DDADMM C[C@H]1CCC[C@H](C)C1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820690102 606169266 /nfs/dbraw/zinc/16/92/66/606169266.db2.gz GKYGQDPMGXAMDK-IUCAKERBSA-N -1 1 318.385 1.546 20 0 DDADMM CC[C@@H]1C[C@@H](C)CN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820966670 606169416 /nfs/dbraw/zinc/16/94/16/606169416.db2.gz GLTHLNKFTOJZGH-RKDXNWHRSA-N -1 1 304.358 1.253 20 0 DDADMM CC[C@@H]1C[C@@H](C)CN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820966670 606169417 /nfs/dbraw/zinc/16/94/17/606169417.db2.gz GLTHLNKFTOJZGH-RKDXNWHRSA-N -1 1 304.358 1.253 20 0 DDADMM CC[C@@H](C)CN(CC)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820868552 606171522 /nfs/dbraw/zinc/17/15/22/606171522.db2.gz AEBNZAFVJCZSSK-SECBINFHSA-N -1 1 306.374 1.500 20 0 DDADMM CC[C@@H](C)CN(CC)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820868552 606171525 /nfs/dbraw/zinc/17/15/25/606171525.db2.gz AEBNZAFVJCZSSK-SECBINFHSA-N -1 1 306.374 1.500 20 0 DDADMM COc1ccc(COC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000821824514 606180672 /nfs/dbraw/zinc/18/06/72/606180672.db2.gz LCPMLWAZDFUWQY-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM COc1ccc(COC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000821824514 606180674 /nfs/dbraw/zinc/18/06/74/606180674.db2.gz LCPMLWAZDFUWQY-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM O=C(CSC(F)(F)F)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000823055650 606293395 /nfs/dbraw/zinc/29/33/95/606293395.db2.gz UVRQQBYAGKTQRW-UHFFFAOYSA-N -1 1 319.268 1.764 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cc(Cl)c[nH]1 ZINC000823294595 606294896 /nfs/dbraw/zinc/29/48/96/606294896.db2.gz JBSMIDFWOMZDIH-UHFFFAOYSA-N -1 1 304.697 1.806 20 0 DDADMM CCOC(=O)c1ccc(CNc2nccnc2-c2nnn[n-]2)o1 ZINC000821342330 606428839 /nfs/dbraw/zinc/42/88/39/606428839.db2.gz BDPNBHSXKSVVCU-UHFFFAOYSA-N -1 1 315.293 1.039 20 0 DDADMM CCOC(=O)c1ccc(CNc2nccnc2-c2nn[n-]n2)o1 ZINC000821342330 606428841 /nfs/dbraw/zinc/42/88/41/606428841.db2.gz BDPNBHSXKSVVCU-UHFFFAOYSA-N -1 1 315.293 1.039 20 0 DDADMM COC(=O)Cc1cccc(Oc2ncccc2-c2nn[n-]n2)c1 ZINC000821663892 606432480 /nfs/dbraw/zinc/43/24/80/606432480.db2.gz SLGOLGJHQANHTN-UHFFFAOYSA-N -1 1 311.301 1.770 20 0 DDADMM CC(C)OC(=O)CCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820142705 606443889 /nfs/dbraw/zinc/44/38/89/606443889.db2.gz SGXDFKSIETUUMV-UHFFFAOYSA-N -1 1 310.745 1.669 20 0 DDADMM CC(C)OC(=O)CCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820142705 606443890 /nfs/dbraw/zinc/44/38/90/606443890.db2.gz SGXDFKSIETUUMV-UHFFFAOYSA-N -1 1 310.745 1.669 20 0 DDADMM CC(C)n1ccnc1COC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820214454 606451053 /nfs/dbraw/zinc/45/10/53/606451053.db2.gz CNWMKGSEATUWBI-UHFFFAOYSA-N -1 1 313.321 1.396 20 0 DDADMM CC(C)n1ccnc1COC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820214454 606451054 /nfs/dbraw/zinc/45/10/54/606451054.db2.gz CNWMKGSEATUWBI-UHFFFAOYSA-N -1 1 313.321 1.396 20 0 DDADMM CCOC(=O)CCCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821326501 606522845 /nfs/dbraw/zinc/52/28/45/606522845.db2.gz YKICWWRJXCKIKB-UHFFFAOYSA-N -1 1 309.351 1.001 20 0 DDADMM CCOC(=O)CCCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821326501 606522846 /nfs/dbraw/zinc/52/28/46/606522846.db2.gz YKICWWRJXCKIKB-UHFFFAOYSA-N -1 1 309.351 1.001 20 0 DDADMM Clc1nsc(N[C@@H]2CCCn3ncnc32)c1-c1nnn[n-]1 ZINC000822591479 606592760 /nfs/dbraw/zinc/59/27/60/606592760.db2.gz SUCPZJRBEOTCIB-RXMQYKEDSA-N -1 1 323.773 1.515 20 0 DDADMM Clc1nsc(N[C@@H]2CCCn3ncnc32)c1-c1nn[n-]n1 ZINC000822591479 606592762 /nfs/dbraw/zinc/59/27/62/606592762.db2.gz SUCPZJRBEOTCIB-RXMQYKEDSA-N -1 1 323.773 1.515 20 0 DDADMM Cc1n[nH]cc1CCCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822015305 606594518 /nfs/dbraw/zinc/59/45/18/606594518.db2.gz HMSCGSXJMHJDSX-UHFFFAOYSA-N -1 1 317.378 1.322 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NCCc1ccncc1 ZINC000823798361 606822816 /nfs/dbraw/zinc/82/28/16/606822816.db2.gz WXMAJDXIZCEFCM-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NCCc1ccncc1 ZINC000823798361 606822818 /nfs/dbraw/zinc/82/28/18/606822818.db2.gz WXMAJDXIZCEFCM-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCC2(C)CCCCC2)n1 ZINC000822613193 606908419 /nfs/dbraw/zinc/90/84/19/606908419.db2.gz BZOVQQLMTGFVSJ-UHFFFAOYSA-N -1 1 318.385 1.692 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCC2(C)CCCCC2)n1 ZINC000822613193 606908421 /nfs/dbraw/zinc/90/84/21/606908421.db2.gz BZOVQQLMTGFVSJ-UHFFFAOYSA-N -1 1 318.385 1.692 20 0 DDADMM O=C1OC(c2cccnc2)=NC1=Cc1ccc(-c2nnn[n-]2)o1 ZINC000823551181 606975786 /nfs/dbraw/zinc/97/57/86/606975786.db2.gz ICORAEFEMIEVOH-UXBLZVDNSA-N -1 1 308.257 1.199 20 0 DDADMM O=C1OC(c2cccnc2)=NC1=Cc1ccc(-c2nn[n-]n2)o1 ZINC000823551181 606975787 /nfs/dbraw/zinc/97/57/87/606975787.db2.gz ICORAEFEMIEVOH-UXBLZVDNSA-N -1 1 308.257 1.199 20 0 DDADMM C[C@@H](CCNc1ccc(Cl)c(-c2nnn[n-]2)n1)[S@](C)=O ZINC000820242280 607041417 /nfs/dbraw/zinc/04/14/17/607041417.db2.gz MKWIHLFAQYWTME-JKNYTWMOSA-N -1 1 314.802 1.484 20 0 DDADMM C[C@@H](CCNc1ccc(Cl)c(-c2nn[n-]n2)n1)[S@](C)=O ZINC000820242280 607041419 /nfs/dbraw/zinc/04/14/19/607041419.db2.gz MKWIHLFAQYWTME-JKNYTWMOSA-N -1 1 314.802 1.484 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCC(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000820703180 607103996 /nfs/dbraw/zinc/10/39/96/607103996.db2.gz DGBQCKMKFTYJSE-BDAKNGLRSA-N -1 1 322.394 1.857 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCC(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000820703180 607103997 /nfs/dbraw/zinc/10/39/97/607103997.db2.gz DGBQCKMKFTYJSE-BDAKNGLRSA-N -1 1 322.394 1.857 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(N[C@H]2CCOC3(CCCCC3)C2)n1 ZINC000823810485 607132679 /nfs/dbraw/zinc/13/26/79/607132679.db2.gz YQLMOARMHFGOJC-NSHDSACASA-N -1 1 315.381 1.951 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(N[C@H]2CCOC3(CCCCC3)C2)n1 ZINC000823810485 607132681 /nfs/dbraw/zinc/13/26/81/607132681.db2.gz YQLMOARMHFGOJC-NSHDSACASA-N -1 1 315.381 1.951 20 0 DDADMM CCC(CC)N(CC(C)C)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820898248 607221431 /nfs/dbraw/zinc/22/14/31/607221431.db2.gz XDINGNWWJMNFMW-UHFFFAOYSA-N -1 1 320.401 1.131 20 0 DDADMM C[C@H]1CCCCN1C(=O)COc1cccc(F)c1-c1nnn[n-]1 ZINC000824936589 607866074 /nfs/dbraw/zinc/86/60/74/607866074.db2.gz JVJYTVAROLXLLS-JTQLQIEISA-N -1 1 319.340 1.786 20 0 DDADMM C[C@H]1CCCCN1C(=O)COc1cccc(F)c1-c1nn[n-]n1 ZINC000824936589 607866075 /nfs/dbraw/zinc/86/60/75/607866075.db2.gz JVJYTVAROLXLLS-JTQLQIEISA-N -1 1 319.340 1.786 20 0 DDADMM c1cn(-c2cccc(Nc3cccc(-c4nnn[n-]4)n3)c2)nn1 ZINC000826517970 607902840 /nfs/dbraw/zinc/90/28/40/607902840.db2.gz WZXITWZJXUMMMP-UHFFFAOYSA-N -1 1 305.305 1.586 20 0 DDADMM c1cn(-c2cccc(Nc3cccc(-c4nn[n-]n4)n3)c2)nn1 ZINC000826517970 607902841 /nfs/dbraw/zinc/90/28/41/607902841.db2.gz WZXITWZJXUMMMP-UHFFFAOYSA-N -1 1 305.305 1.586 20 0 DDADMM O=C(NCc1csc(=O)[nH]1)c1ccc(-c2nnn[n-]2)s1 ZINC000826443545 608012658 /nfs/dbraw/zinc/01/26/58/608012658.db2.gz UHRJKXNAQGUPIP-UHFFFAOYSA-N -1 1 308.348 1.020 20 0 DDADMM O=C(NCc1csc(=O)[nH]1)c1ccc(-c2nn[n-]n2)s1 ZINC000826443545 608012659 /nfs/dbraw/zinc/01/26/59/608012659.db2.gz UHRJKXNAQGUPIP-UHFFFAOYSA-N -1 1 308.348 1.020 20 0 DDADMM O=C(OCc1cnc(Cl)s1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826467596 608029322 /nfs/dbraw/zinc/02/93/22/608029322.db2.gz DCRBMSNKPXWBFF-UHFFFAOYSA-N -1 1 322.737 1.729 20 0 DDADMM O=C(OCc1cnc(Cl)s1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826467596 608029323 /nfs/dbraw/zinc/02/93/23/608029323.db2.gz DCRBMSNKPXWBFF-UHFFFAOYSA-N -1 1 322.737 1.729 20 0 DDADMM Cn1ncc2c1nc(Oc1ccccc1-c1nn[nH]n1)[n-]c2=O ZINC000826366328 608171414 /nfs/dbraw/zinc/17/14/14/608171414.db2.gz CLBAHEZXYZNEIR-UHFFFAOYSA-N -1 1 310.277 1.041 20 0 DDADMM Cc1cc(-c2nn[nH]n2)ccc1Oc1nc2c(cnn2C)c(=O)[n-]1 ZINC000826255229 608181281 /nfs/dbraw/zinc/18/12/81/608181281.db2.gz PTLJCXCZUNOMQG-UHFFFAOYSA-N -1 1 324.304 1.350 20 0 DDADMM OC[C@H]1CC[C@H](Nc2snc(Cl)c2-c2nnn[n-]2)CC1 ZINC000826510436 608191551 /nfs/dbraw/zinc/19/15/51/608191551.db2.gz QQWXBYXARYUBHT-LJGSYFOKSA-N -1 1 314.802 1.940 20 0 DDADMM OC[C@H]1CC[C@H](Nc2snc(Cl)c2-c2nn[n-]n2)CC1 ZINC000826510436 608191553 /nfs/dbraw/zinc/19/15/53/608191553.db2.gz QQWXBYXARYUBHT-LJGSYFOKSA-N -1 1 314.802 1.940 20 0 DDADMM C[C@@]1(O)CCCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000824844142 608305317 /nfs/dbraw/zinc/30/53/17/608305317.db2.gz QLYFXXMGGSOPPQ-SNVBAGLBSA-N -1 1 300.775 1.328 20 0 DDADMM C[C@@]1(O)CCCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000824844142 608305319 /nfs/dbraw/zinc/30/53/19/608305319.db2.gz QLYFXXMGGSOPPQ-SNVBAGLBSA-N -1 1 300.775 1.328 20 0 DDADMM c1cnc2c(c1)cccc2CCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826525351 608394901 /nfs/dbraw/zinc/39/49/01/608394901.db2.gz GOTHLPUEFXCMFH-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM c1cnc2c(c1)cccc2CCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826525351 608394903 /nfs/dbraw/zinc/39/49/03/608394903.db2.gz GOTHLPUEFXCMFH-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM CCNC(=O)c1ccc(CNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000825476933 608397744 /nfs/dbraw/zinc/39/77/44/608397744.db2.gz ZASOZVPHIHZSGG-UHFFFAOYSA-N -1 1 324.348 1.019 20 0 DDADMM CCNC(=O)c1ccc(CNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000825476933 608397747 /nfs/dbraw/zinc/39/77/47/608397747.db2.gz ZASOZVPHIHZSGG-UHFFFAOYSA-N -1 1 324.348 1.019 20 0 DDADMM CC(C)[C@H]1OCCC[C@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000824229176 608428197 /nfs/dbraw/zinc/42/81/97/608428197.db2.gz OTYNWFCZPUKJLJ-GXFFZTMASA-N -1 1 303.370 1.520 20 0 DDADMM CC(C)[C@H]1OCCC[C@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000824229176 608428198 /nfs/dbraw/zinc/42/81/98/608428198.db2.gz OTYNWFCZPUKJLJ-GXFFZTMASA-N -1 1 303.370 1.520 20 0 DDADMM CCc1nc([C@@H]2CCCN2Cc2ccc(-c3nnn[n-]3)o2)no1 ZINC000825746063 608662695 /nfs/dbraw/zinc/66/26/95/608662695.db2.gz RCLSNIXZDDVRSB-JTQLQIEISA-N -1 1 315.337 1.742 20 0 DDADMM CCc1nc([C@@H]2CCCN2Cc2ccc(-c3nn[n-]n3)o2)no1 ZINC000825746063 608662698 /nfs/dbraw/zinc/66/26/98/608662698.db2.gz RCLSNIXZDDVRSB-JTQLQIEISA-N -1 1 315.337 1.742 20 0 DDADMM Cc1nc(C)c(-c2nn[n-]n2)c(SCOC(=O)C(C)(C)C)n1 ZINC000826324554 608669405 /nfs/dbraw/zinc/66/94/05/608669405.db2.gz WKMNKYLMHWXRAO-UHFFFAOYSA-N -1 1 322.394 1.912 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)NCCCC1CCCCC1 ZINC000826425361 608891905 /nfs/dbraw/zinc/89/19/05/608891905.db2.gz ZVWSSOBKSKOMJE-UHFFFAOYSA-N -1 1 317.397 1.540 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)NCCCC1CCCCC1 ZINC000826425361 608891907 /nfs/dbraw/zinc/89/19/07/608891907.db2.gz ZVWSSOBKSKOMJE-UHFFFAOYSA-N -1 1 317.397 1.540 20 0 DDADMM Cc1nc2c(s1)[C@@H](Nc1ccc(-c3nnn[n-]3)nn1)CCC2 ZINC000826327989 609565449 /nfs/dbraw/zinc/56/54/49/609565449.db2.gz BOQBOUPKLJAVDS-VIFPVBQESA-N -1 1 314.378 1.911 20 0 DDADMM Cc1nc2c(s1)[C@@H](Nc1ccc(-c3nn[n-]n3)nn1)CCC2 ZINC000826327989 609565451 /nfs/dbraw/zinc/56/54/51/609565451.db2.gz BOQBOUPKLJAVDS-VIFPVBQESA-N -1 1 314.378 1.911 20 0 DDADMM O=C(CCc1nc[nH]n1)Nc1nc(Br)ccc1O ZINC000121497923 696711053 /nfs/dbraw/zinc/71/10/53/696711053.db2.gz IAXCSULHWOPTEC-UHFFFAOYSA-N -1 1 312.127 1.239 20 0 DDADMM O=C(CCc1nnc[nH]1)Nc1nc(Br)ccc1[O-] ZINC000121497923 696711055 /nfs/dbraw/zinc/71/10/55/696711055.db2.gz IAXCSULHWOPTEC-UHFFFAOYSA-N -1 1 312.127 1.239 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2C[C@H](OC)C[C@H](OC)C2)[n-]1 ZINC000797055968 699991871 /nfs/dbraw/zinc/99/18/71/699991871.db2.gz SNZLXLCFCGCUDO-URLYPYJESA-N -1 1 311.334 1.541 20 0 DDADMM O=C(NC[C@H]1CCCN(C(=O)C2CC2)C1)c1ncccc1[O-] ZINC000975219724 695816118 /nfs/dbraw/zinc/81/61/18/695816118.db2.gz LELNDNRBQYNWGR-LLVKDONJSA-N -1 1 303.362 1.166 20 0 DDADMM O=C1[N-]C(=S)NC(=O)C1=CNc1cccc2c(O)cccc12 ZINC000028975927 696107839 /nfs/dbraw/zinc/10/78/39/696107839.db2.gz FPNBVSLSKWSLCQ-UHFFFAOYSA-N -1 1 313.338 1.372 20 0 DDADMM CNC(=O)c1ccccc1OS(=O)(=O)c1cnc(C(C)C)[n-]1 ZINC000747246571 700062425 /nfs/dbraw/zinc/06/24/25/700062425.db2.gz XMESLJKXGMUGDF-UHFFFAOYSA-N -1 1 323.374 1.660 20 0 DDADMM CNC(=O)c1ccccc1OS(=O)(=O)c1c[n-]c(C(C)C)n1 ZINC000747246571 700062427 /nfs/dbraw/zinc/06/24/27/700062427.db2.gz XMESLJKXGMUGDF-UHFFFAOYSA-N -1 1 323.374 1.660 20 0 DDADMM COc1ccc(CNC(=O)NN=c2nc(OC)cc[n-]2)cc1 ZINC000031663683 696122029 /nfs/dbraw/zinc/12/20/29/696122029.db2.gz QKFHBDLOLXRGHL-UHFFFAOYSA-N -1 1 303.322 1.320 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc(-n2ccnc2)nc1 ZINC000043997249 696157589 /nfs/dbraw/zinc/15/75/89/696157589.db2.gz CDSWICLMAMRAQU-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CC(=O)N(C(C)C)C1 ZINC000747372584 700066432 /nfs/dbraw/zinc/06/64/32/700066432.db2.gz MAXYSBSTSUMJMJ-NSHDSACASA-N -1 1 320.393 1.353 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)C1CC=CC1 ZINC000977493181 696187913 /nfs/dbraw/zinc/18/79/13/696187913.db2.gz WIZGAKCZWJSIFT-CYBMUJFWSA-N -1 1 315.373 1.426 20 0 DDADMM CC1CCN(CC(=O)N[C@@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000049475051 696224037 /nfs/dbraw/zinc/22/40/37/696224037.db2.gz VQNOETOYPAYMKO-OAHLLOKOSA-N -1 1 308.353 1.800 20 0 DDADMM CS[C@H](C)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977620732 696237594 /nfs/dbraw/zinc/23/75/94/696237594.db2.gz SOKIJVHOLVXDAN-MNOVXSKESA-N -1 1 323.418 1.212 20 0 DDADMM Cc1ccc(C(=O)NNC(=O)c2ccc([N+](=O)[O-])cc2)c([O-])c1 ZINC000060657458 696312202 /nfs/dbraw/zinc/31/22/02/696312202.db2.gz BWHSMRXQCXLPOZ-UHFFFAOYSA-N -1 1 315.285 1.684 20 0 DDADMM C[C@H](OCC1CC1)C(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000068351017 696371602 /nfs/dbraw/zinc/37/16/02/696371602.db2.gz BXZLKKNGZUQZLK-QMMMGPOBSA-N -1 1 312.753 1.622 20 0 DDADMM Cn1c(=S)[n-]nc1C1CCN(C(=O)C[C@@H]2CCCO2)CC1 ZINC000068428479 696372165 /nfs/dbraw/zinc/37/21/65/696372165.db2.gz QZOKSESZAXNHJT-NSHDSACASA-N -1 1 310.423 1.753 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)C=Cc1ccccc1C#N ZINC000073942026 696409191 /nfs/dbraw/zinc/40/91/91/696409191.db2.gz NLNWAOHVWPIGGV-VOTSOKGWSA-N -1 1 313.386 1.950 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2cc(C)on2)c(=O)[n-]1 ZINC000078437433 696451399 /nfs/dbraw/zinc/45/13/99/696451399.db2.gz HNJHLCSFHHGIII-UHFFFAOYSA-N -1 1 308.363 1.668 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H]2CCc3cc(F)ccc32)s1 ZINC000079742893 696463208 /nfs/dbraw/zinc/46/32/08/696463208.db2.gz SNPCKHKLTZVHKC-WDEREUQCSA-N -1 1 307.350 1.276 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC3C[C@@H]4CC2C[C@H](C3)C4)s1 ZINC000079793335 696463876 /nfs/dbraw/zinc/46/38/76/696463876.db2.gz OUNZFCAOGOORNE-FESBJMALSA-N -1 1 307.419 1.370 20 0 DDADMM CC[C@H](NC(=O)Cc1sc(N)nc1[O-])c1nc(C)cs1 ZINC000080067983 696529826 /nfs/dbraw/zinc/52/98/26/696529826.db2.gz KNSJEUHYYOHBJZ-YUMQZZPRSA-N -1 1 312.420 1.366 20 0 DDADMM CCNC(=O)CCC(=O)N[N-]C(=O)c1ccc(Cl)cc1F ZINC000082991591 696549387 /nfs/dbraw/zinc/54/93/87/696549387.db2.gz MSUBXNQFNIWGCP-UHFFFAOYSA-N -1 1 315.732 1.156 20 0 DDADMM CN1C(=S)N=NC1CNC(=O)c1ccc2ccccc2c1[O-] ZINC000108894724 696635941 /nfs/dbraw/zinc/63/59/41/696635941.db2.gz LLSGTWXQFJGFSI-UHFFFAOYSA-N -1 1 314.370 1.893 20 0 DDADMM O=C(N[C@@H]1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)C1CC1 ZINC000979833779 696651046 /nfs/dbraw/zinc/65/10/46/696651046.db2.gz SJXAWYOSCXSHDV-YNEHKIRRSA-N -1 1 315.373 1.164 20 0 DDADMM C[C@H](Cc1ccc(F)cc1)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000124011524 696737739 /nfs/dbraw/zinc/73/77/39/696737739.db2.gz OMECNIQHGUFFET-GHMZBOCLSA-N -1 1 305.357 1.780 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C1CCCCCC1 ZINC000125179827 696750545 /nfs/dbraw/zinc/75/05/45/696750545.db2.gz CPKHECHBIHHTFD-UHFFFAOYSA-N -1 1 318.377 1.782 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1c[nH]c(=O)c(C)c1 ZINC000158260673 696988072 /nfs/dbraw/zinc/98/80/72/696988072.db2.gz VFCLFUKAHOSJCQ-UHFFFAOYSA-N -1 1 316.770 1.179 20 0 DDADMM CCOc1ccccc1N1C[C@@H](C(=O)[N-]OCCOC)CC1=O ZINC000748770377 700133875 /nfs/dbraw/zinc/13/38/75/700133875.db2.gz RYXONVWBCWZWIR-LBPRGKRZSA-N -1 1 322.361 1.132 20 0 DDADMM CN(C(=O)C(F)F)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984113498 697341981 /nfs/dbraw/zinc/34/19/81/697341981.db2.gz KEWVEZDNRPIBLT-VIFPVBQESA-N -1 1 313.304 1.115 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cncs2)o1 ZINC000184627805 697505876 /nfs/dbraw/zinc/50/58/76/697505876.db2.gz UCCRLENCSBHPQL-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM O=C(CCn1cccn1)Nc1nc(Br)ccc1[O-] ZINC000188337909 697557308 /nfs/dbraw/zinc/55/73/08/697557308.db2.gz MNYDJORKZFZHFY-UHFFFAOYSA-N -1 1 311.139 1.775 20 0 DDADMM CC(C)c1nnc2n1CCN(C(=O)c1cncc([O-])c1)[C@@H]2C ZINC000189845594 697581087 /nfs/dbraw/zinc/58/10/87/697581087.db2.gz DZNBXOOASSXPFA-SNVBAGLBSA-N -1 1 301.350 1.719 20 0 DDADMM CCc1c(NC(=O)NN2CC(=O)[N-]C2=O)cnn1C(CC)CC ZINC000772451721 697632665 /nfs/dbraw/zinc/63/26/65/697632665.db2.gz AAHRPUPYLRHNHI-UHFFFAOYSA-N -1 1 322.369 1.395 20 0 DDADMM C[C@@H]1COCC[C@@H]1C(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000193176300 697653257 /nfs/dbraw/zinc/65/32/57/697653257.db2.gz IQPIVCNBANZNLC-SCZZXKLOSA-N -1 1 312.753 1.479 20 0 DDADMM CC(C)c1n[nH]cc1C(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000193376363 697662633 /nfs/dbraw/zinc/66/26/33/697662633.db2.gz UIPFVUVXKGELOK-UHFFFAOYSA-N -1 1 322.752 1.967 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)c1cccnc1 ZINC000772719604 697667190 /nfs/dbraw/zinc/66/71/90/697667190.db2.gz XUQVEXXYWMVCBF-LBPRGKRZSA-N -1 1 316.361 1.472 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)oc1C ZINC000986160107 697711888 /nfs/dbraw/zinc/71/18/88/697711888.db2.gz NEXPRZUPWXOXDH-GXSJLCMTSA-N -1 1 319.365 1.113 20 0 DDADMM C[C@H]1[C@H](NCc2csnn2)CCN1C(=O)c1ncccc1[O-] ZINC000986168404 697716030 /nfs/dbraw/zinc/71/60/30/697716030.db2.gz HIPBIOPFHBJQNU-GXSJLCMTSA-N -1 1 319.390 1.032 20 0 DDADMM CCc1occc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986219743 697739643 /nfs/dbraw/zinc/73/96/43/697739643.db2.gz RDSFIWLSKORTRT-MWLCHTKSSA-N -1 1 319.365 1.059 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(N)ccc2C)o1 ZINC000237355562 697808117 /nfs/dbraw/zinc/80/81/17/697808117.db2.gz PLMSFYFCSCTSCE-UHFFFAOYSA-N -1 1 309.347 1.331 20 0 DDADMM O=C(NCCc1nccs1)c1ccc2n[n-]c(=S)n2c1 ZINC000773879070 697817909 /nfs/dbraw/zinc/81/79/09/697817909.db2.gz KPMBLJSZVCRLHM-UHFFFAOYSA-N -1 1 305.388 1.447 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](O)c1ccccc1Cl)c1nn[n-]n1 ZINC000773995909 697831889 /nfs/dbraw/zinc/83/18/89/697831889.db2.gz IUOSCYPTMCUGIJ-LDYMZIIASA-N -1 1 309.757 1.149 20 0 DDADMM CCc1ncc(NC(=O)c2ccc3n[n-]c(=S)n3c2)cn1 ZINC000774432118 697878990 /nfs/dbraw/zinc/87/89/90/697878990.db2.gz BAWAMLMVQNXRCA-UHFFFAOYSA-N -1 1 300.347 1.623 20 0 DDADMM O=C(C[C@H](O)c1cccc(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000775545213 698007534 /nfs/dbraw/zinc/00/75/34/698007534.db2.gz LSBZNDJBEQKJEJ-AAEUAGOBSA-N -1 1 319.340 1.169 20 0 DDADMM O=C(c1cccc(Cl)c1[O-])N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000775725172 698026356 /nfs/dbraw/zinc/02/63/56/698026356.db2.gz PWCOWGLMRFCWNE-ZIAGYGMSSA-N -1 1 324.808 1.717 20 0 DDADMM Cn1ccsc1=NC(=O)c1cnc2c(F)cc(F)cc2c1[O-] ZINC000776325284 698096004 /nfs/dbraw/zinc/09/60/04/698096004.db2.gz JTZWIIMHSGHXDO-UHFFFAOYSA-N -1 1 321.308 1.947 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2cccc3c2CCCC3)n[nH]1 ZINC000778084077 698240870 /nfs/dbraw/zinc/24/08/70/698240870.db2.gz CUKAYRWAHORKIC-UHFFFAOYSA-N -1 1 320.374 1.188 20 0 DDADMM O=C(O[C@@H]1CCNC1=O)c1ccc(Br)c([O-])c1 ZINC000778829211 698373203 /nfs/dbraw/zinc/37/32/03/698373203.db2.gz TXNFNRZTMURAFR-SECBINFHSA-N -1 1 300.108 1.200 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2c(c1)CCN2C)c1nn[n-]n1 ZINC000778962798 698387802 /nfs/dbraw/zinc/38/78/02/698387802.db2.gz RQPUTPIXVSKZBF-GFCCVEGCSA-N -1 1 300.366 1.463 20 0 DDADMM CN1CCN(Cc2ccc(C(=O)[O-])c(Br)c2)CC1 ZINC000258147547 698426167 /nfs/dbraw/zinc/42/61/67/698426167.db2.gz PBFZNPQHBDGPTJ-UHFFFAOYSA-N -1 1 313.195 1.895 20 0 DDADMM CCC[C@H](NC(=O)NC[C@H](c1cccs1)N(C)C)C(=O)[O-] ZINC000780340793 698508075 /nfs/dbraw/zinc/50/80/75/698508075.db2.gz AWUSNFIPHUPFST-WDEREUQCSA-N -1 1 313.423 1.903 20 0 DDADMM CC(C)[C@H](NC(=O)NC[C@H](c1cccs1)N(C)C)C(=O)[O-] ZINC000780342135 698508622 /nfs/dbraw/zinc/50/86/22/698508622.db2.gz VXPUEFSQZVQNRO-PWSUYJOCSA-N -1 1 313.423 1.759 20 0 DDADMM CCC[C@H](NC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1)C(=O)[O-] ZINC000780365135 698511724 /nfs/dbraw/zinc/51/17/24/698511724.db2.gz RXFLPGUOMPXZOK-XQQFMLRXSA-N -1 1 315.414 1.038 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2cc(F)cc3nc[nH]c32)C1=O ZINC000281174747 698541521 /nfs/dbraw/zinc/54/15/21/698541521.db2.gz NEXBPEKOFHMYSI-AWEZNQCLSA-N -1 1 319.296 1.067 20 0 DDADMM O=C(COC(=O)c1csc(Cl)n1)[N-]C(=O)c1ccccc1 ZINC000781215289 698598603 /nfs/dbraw/zinc/59/86/03/698598603.db2.gz CISNQDZNSQKNSN-UHFFFAOYSA-N -1 1 324.745 1.910 20 0 DDADMM Cc1nc(-c2ccccc2)c(C(=O)[N-]N2CN=NC2=O)s1 ZINC000782126100 698685907 /nfs/dbraw/zinc/68/59/07/698685907.db2.gz UKSFWHLEXMQSRH-UHFFFAOYSA-N -1 1 301.331 1.800 20 0 DDADMM O=C(COC(=O)[C@@H](O)C1CCCCC1)[N-]C(=O)c1ccccc1 ZINC000782369348 698715323 /nfs/dbraw/zinc/71/53/23/698715323.db2.gz RMJYWVZHJHODHJ-HNNXBMFYSA-N -1 1 319.357 1.427 20 0 DDADMM O=C([N-]C1CN(C(=O)c2ccc(F)c(Cl)c2F)C1)C(F)F ZINC000990025772 698903779 /nfs/dbraw/zinc/90/37/79/698903779.db2.gz GTTBDUBGUCGYQS-UHFFFAOYSA-N -1 1 324.661 1.824 20 0 DDADMM CC[C@]1([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])CCOC1 ZINC000378688156 699056626 /nfs/dbraw/zinc/05/66/26/699056626.db2.gz GMDKIBIZHWAEFU-LBPRGKRZSA-N -1 1 318.326 1.581 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])[C@@H](C)O1 ZINC000384794104 699073366 /nfs/dbraw/zinc/07/33/66/699073366.db2.gz RNQWVMJQTFKRPO-NQMVMOMDSA-N -1 1 318.326 1.578 20 0 DDADMM CC[C@@H](O)CCC[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000398621592 699121312 /nfs/dbraw/zinc/12/13/12/699121312.db2.gz WANXWUHEDJRLDV-SECBINFHSA-N -1 1 320.342 1.563 20 0 DDADMM CC(C)(C)C(C)(C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990973893 699196728 /nfs/dbraw/zinc/19/67/28/699196728.db2.gz CZNVPFVGJIJJIT-UHFFFAOYSA-N -1 1 319.405 1.800 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@H]1CO)c1ccc(Cl)nc1F ZINC000703060146 699235257 /nfs/dbraw/zinc/23/52/57/699235257.db2.gz OHYJJKIRRKJOOB-DTWKUNHWSA-N -1 1 322.789 1.704 20 0 DDADMM CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2ccc(Cl)cc2[O-])C1 ZINC000714246923 699270209 /nfs/dbraw/zinc/27/02/09/699270209.db2.gz BQDKNJOKEAKXGC-KYZUINATSA-N -1 1 303.767 1.351 20 0 DDADMM O=C(NCC[C@@H]1CCCS1(=O)=O)c1cc(F)ccc1[O-] ZINC000714375742 699271364 /nfs/dbraw/zinc/27/13/64/699271364.db2.gz GXJDNGFJNBKNDK-JTQLQIEISA-N -1 1 301.339 1.228 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCCC12OCCO2)c1ccns1 ZINC000717664106 699289815 /nfs/dbraw/zinc/28/98/15/699289815.db2.gz SJPUBNUPGCRFFC-SECBINFHSA-N -1 1 304.393 1.107 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCN(c2cccnn2)CC1 ZINC000725901733 699339607 /nfs/dbraw/zinc/33/96/07/699339607.db2.gz VKLJHXUFMXOAMB-UHFFFAOYSA-N -1 1 318.764 1.798 20 0 DDADMM CCSCc1ccccc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000789100292 699361938 /nfs/dbraw/zinc/36/19/38/699361938.db2.gz RJNJWVPKBVRNJL-UHFFFAOYSA-N -1 1 307.375 1.719 20 0 DDADMM CC(C)(C)[C@@H]1CCCC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727850248 699428328 /nfs/dbraw/zinc/42/83/28/699428328.db2.gz VHKIAQZQSJVFHL-CHWSQXEVSA-N -1 1 321.421 1.648 20 0 DDADMM Cn1cc(/C=C\C(=O)Nc2nc(Br)ccc2[O-])cn1 ZINC000790043941 699436846 /nfs/dbraw/zinc/43/68/46/699436846.db2.gz WDNZZGJFYKSKNY-DJWKRKHSSA-N -1 1 323.150 1.935 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC(=O)OC(C)C)cnc2n1 ZINC000729537219 699482016 /nfs/dbraw/zinc/48/20/16/699482016.db2.gz CFKLNZUDCWUVAM-UHFFFAOYSA-N -1 1 303.318 1.325 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC(=O)OC(C)C)c2=O ZINC000729537219 699482017 /nfs/dbraw/zinc/48/20/17/699482017.db2.gz CFKLNZUDCWUVAM-UHFFFAOYSA-N -1 1 303.318 1.325 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCc1ccno1 ZINC000730941155 699519926 /nfs/dbraw/zinc/51/99/26/699519926.db2.gz RYJHKMQZOROHBF-UHFFFAOYSA-N -1 1 302.333 1.465 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3CCOc4ccccc43)ccnc1-2 ZINC000791162002 699613384 /nfs/dbraw/zinc/61/33/84/699613384.db2.gz RVAOBIMNGTZAOZ-LBPRGKRZSA-N -1 1 308.341 1.847 20 0 DDADMM CCSc1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000733783582 699616849 /nfs/dbraw/zinc/61/68/49/699616849.db2.gz NARGPVTZSGMMJS-UHFFFAOYSA-N -1 1 319.386 1.677 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3CC(c4ccccc4)=NO3)ccnc1-2 ZINC000791285969 699619910 /nfs/dbraw/zinc/61/99/10/699619910.db2.gz KVSLJIMQYDRQHD-OAHLLOKOSA-N -1 1 321.340 1.474 20 0 DDADMM C[S@](=O)CC[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000735079848 699676616 /nfs/dbraw/zinc/67/66/16/699676616.db2.gz HCDLWSIBKXWSBJ-HNNXBMFYSA-N -1 1 322.260 1.712 20 0 DDADMM CC[S@@](=O)CC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000735082556 699676962 /nfs/dbraw/zinc/67/69/62/699676962.db2.gz ZLCBXQNRYGWZHB-GOSISDBHSA-N -1 1 315.338 1.151 20 0 DDADMM C[C@@H](CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccc1F ZINC000735976215 699715331 /nfs/dbraw/zinc/71/53/31/699715331.db2.gz PBBCMCMMPHWTKZ-GWCFXTLKSA-N -1 1 319.340 1.433 20 0 DDADMM CCC(CC)CS(=O)(=O)[N-]C(=O)c1ccc2cncn2c1 ZINC000793144318 699735052 /nfs/dbraw/zinc/73/50/52/699735052.db2.gz PSJBIVRNNMOODR-UHFFFAOYSA-N -1 1 309.391 1.830 20 0 DDADMM Cc1ccc(CCN(C)CC(=O)N2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000738283613 699756665 /nfs/dbraw/zinc/75/66/65/699756665.db2.gz XVFFEABDNFBISK-MRXNPFEDSA-N -1 1 318.417 1.793 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000739588660 699776709 /nfs/dbraw/zinc/77/67/09/699776709.db2.gz YUGYPYYBPADGSD-SNVBAGLBSA-N -1 1 303.322 1.172 20 0 DDADMM COc1ncnc(OC)c1C(=O)[N-]c1cccc2nonc21 ZINC000808800812 701621016 /nfs/dbraw/zinc/62/10/16/701621016.db2.gz MVJPJEIGQLQFFT-UHFFFAOYSA-N -1 1 301.262 1.282 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C)c2nnnn2C2CC2)[n-]1 ZINC000796343146 699927942 /nfs/dbraw/zinc/92/79/42/699927942.db2.gz BKTCRTMARNOBRU-ZETCQYMHSA-N -1 1 305.294 1.041 20 0 DDADMM CCOC(=O)COC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000744889886 699964722 /nfs/dbraw/zinc/96/47/22/699964722.db2.gz NHIIHCJQPSZMKI-UHFFFAOYSA-N -1 1 321.333 1.091 20 0 DDADMM O=C(OC[C@@H]1CCOC1)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801326282 700297521 /nfs/dbraw/zinc/29/75/21/700297521.db2.gz ZBIKPJFFZCPPEY-SNVBAGLBSA-N -1 1 306.293 1.910 20 0 DDADMM COCC(=O)COC(=O)c1nn(-c2cccc(Cl)c2)cc1[O-] ZINC000801356810 700300322 /nfs/dbraw/zinc/30/03/22/700300322.db2.gz AFMHTLRTGCRXOY-UHFFFAOYSA-N -1 1 324.720 1.604 20 0 DDADMM CCOC(=O)COC(=O)c1nn(-c2ccc(Cl)cc2)cc1[O-] ZINC000801373986 700301484 /nfs/dbraw/zinc/30/14/84/700301484.db2.gz WKYDIEQHYKCOKG-UHFFFAOYSA-N -1 1 324.720 1.951 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)COc3ccc(F)cc3F)ccnc1-2 ZINC000801701726 700332697 /nfs/dbraw/zinc/33/26/97/700332697.db2.gz VSMYXZBMYDFIJO-UHFFFAOYSA-N -1 1 318.283 1.638 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3ccc(F)cc3F)ccnc1-2 ZINC000801703999 700333198 /nfs/dbraw/zinc/33/31/98/700333198.db2.gz BOSQKVOFZMPQMT-UHFFFAOYSA-N -1 1 302.284 1.801 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCc1ccc(C(N)=O)o1 ZINC000752702094 700380346 /nfs/dbraw/zinc/38/03/46/700380346.db2.gz CYXCDAHRQIMLKH-UHFFFAOYSA-N -1 1 318.333 1.236 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H]2CCc3cccnc32)o1 ZINC000802221412 700382495 /nfs/dbraw/zinc/38/24/95/700382495.db2.gz JQNBZKIIYBQSLA-JTQLQIEISA-N -1 1 322.342 1.427 20 0 DDADMM Cc1nnc(CCNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)s1 ZINC000752950198 700398474 /nfs/dbraw/zinc/39/84/74/700398474.db2.gz RPQQFOBLHDXWFO-UHFFFAOYSA-N -1 1 321.406 1.746 20 0 DDADMM NC(=O)[C@H](Cc1ccccc1)OC(=O)c1c([O-])cc(F)cc1F ZINC000754799790 700528892 /nfs/dbraw/zinc/52/88/92/700528892.db2.gz KSEJFZGYOIWAFF-ZDUSSCGKSA-N -1 1 321.279 1.924 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H](F)C(C)C)c1 ZINC000812362518 702169283 /nfs/dbraw/zinc/16/92/83/702169283.db2.gz YMJNUBDZPJZVFC-NSHDSACASA-N -1 1 304.343 1.233 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)[N-]OCC(F)F)CC2=O)cc1F ZINC000759336002 700747023 /nfs/dbraw/zinc/74/70/23/700747023.db2.gz GFOOSRIDISHDEG-SECBINFHSA-N -1 1 316.279 1.800 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@@H]1C[C@@]12CCOC2 ZINC000764658848 700981269 /nfs/dbraw/zinc/98/12/69/700981269.db2.gz UOSMDDHUXYPULB-SUMWQHHRSA-N -1 1 316.309 1.968 20 0 DDADMM CC(C)c1ccccc1OCC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765395430 701009734 /nfs/dbraw/zinc/00/97/34/701009734.db2.gz GQXQMXWTCKFPKS-UHFFFAOYSA-N -1 1 305.334 1.354 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2CCC[C@@H](C(F)(F)F)C2)nc1=O ZINC000765447812 701013286 /nfs/dbraw/zinc/01/32/86/701013286.db2.gz OXSUITJDMJSCJG-JGVFFNPUSA-N -1 1 307.272 1.520 20 0 DDADMM CCO[C@@H](C)c1ncc(C(=O)OCc2nc(=O)n(C)[n-]2)s1 ZINC000765488771 701015788 /nfs/dbraw/zinc/01/57/88/701015788.db2.gz NDMZUGRNJPYHMV-ZETCQYMHSA-N -1 1 312.351 1.019 20 0 DDADMM COc1cc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)cnc1Cl ZINC000767699066 701129809 /nfs/dbraw/zinc/12/98/09/701129809.db2.gz XIWFTNXVZZSURK-UHFFFAOYSA-N -1 1 316.770 1.884 20 0 DDADMM O=C(N[C@@H](CO)C[C@@H](O)c1ccccc1)c1ccc([O-])c(F)c1 ZINC000768321321 701169048 /nfs/dbraw/zinc/16/90/48/701169048.db2.gz QOOUDRBGNDGUOX-CZUORRHYSA-N -1 1 319.332 1.746 20 0 DDADMM COc1cccc([N-]S(=O)(=O)c2cc(C)cnc2N)c1F ZINC000769891570 701260281 /nfs/dbraw/zinc/26/02/81/701260281.db2.gz QWKUQFWOZCIPFP-UHFFFAOYSA-N -1 1 311.338 1.921 20 0 DDADMM Cn1[n-]c(COC(=O)c2ncoc2-c2ccc(F)cc2)nc1=O ZINC000770480023 701279734 /nfs/dbraw/zinc/27/97/34/701279734.db2.gz XXMTVBZOCQNWSG-UHFFFAOYSA-N -1 1 318.264 1.260 20 0 DDADMM CC(C)n1ncc2cc(NC(=O)NN3CC(=O)[N-]C3=O)ccc21 ZINC000770484807 701279916 /nfs/dbraw/zinc/27/99/16/701279916.db2.gz ONLWZXWPPDZJCT-UHFFFAOYSA-N -1 1 316.321 1.206 20 0 DDADMM CC(C)C[C@@H](C)n1nccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000770724883 701292119 /nfs/dbraw/zinc/29/21/19/701292119.db2.gz WHBPOPJAXDMFIA-SECBINFHSA-N -1 1 308.342 1.079 20 0 DDADMM CCOC(=O)[C@@H](CC=C(C)C)[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC000867761229 701735352 /nfs/dbraw/zinc/73/53/52/701735352.db2.gz YUKPLFRFLCTHCB-QWHCGFSZSA-N -1 1 319.423 1.373 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cnn(C)c2C2CC2)o1 ZINC000771483990 701321435 /nfs/dbraw/zinc/32/14/35/701321435.db2.gz RMWISFWZQSYUNC-UHFFFAOYSA-N -1 1 324.362 1.051 20 0 DDADMM COc1ccc(Cl)cc1NC(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805604272 701397783 /nfs/dbraw/zinc/39/77/83/701397783.db2.gz BKOODPXGGPWINU-ZETCQYMHSA-N -1 1 324.724 1.651 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)N1c2ccccc2CC[C@@H]1C ZINC000805606036 701398311 /nfs/dbraw/zinc/39/83/11/701398311.db2.gz RRPUNAMAZJETNA-WDEREUQCSA-N -1 1 314.345 1.718 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)N1c2ccccc2CC[C@@H]1C ZINC000805606035 701398449 /nfs/dbraw/zinc/39/84/49/701398449.db2.gz RRPUNAMAZJETNA-QWRGUYRKSA-N -1 1 314.345 1.718 20 0 DDADMM CS[C@@H]1CC[C@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806492306 701437932 /nfs/dbraw/zinc/43/79/32/701437932.db2.gz DYYXAWSAULJCOC-VHSXEESVSA-N -1 1 305.407 1.747 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Nc3cnn(C(C)(C)C)c3)ccnc1-2 ZINC000806493209 701438121 /nfs/dbraw/zinc/43/81/21/701438121.db2.gz VHUSZXLZDYNOKI-UHFFFAOYSA-N -1 1 313.365 1.937 20 0 DDADMM O=C(Cc1ccc(F)cn1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000806547151 701441273 /nfs/dbraw/zinc/44/12/73/701441273.db2.gz CYBATLJPAYIYLW-CQSZACIVSA-N -1 1 312.308 1.182 20 0 DDADMM CSCC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807708737 701484427 /nfs/dbraw/zinc/48/44/27/701484427.db2.gz OZWRTXDDLRITKR-NRPADANISA-N -1 1 312.357 1.653 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCCOC1CCC1 ZINC000808245600 701510786 /nfs/dbraw/zinc/51/07/86/701510786.db2.gz QCTKSROXQWFFIW-UHFFFAOYSA-N -1 1 300.380 1.257 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCC(C)(C)OC)c1 ZINC000867728535 701716626 /nfs/dbraw/zinc/71/66/26/701716626.db2.gz RIURPGBGVXFMEI-UHFFFAOYSA-N -1 1 303.380 1.494 20 0 DDADMM Cn1cc(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)c(Cl)n1 ZINC000814607617 701730524 /nfs/dbraw/zinc/73/05/24/701730524.db2.gz PNWUWVIQSJSVIV-UHFFFAOYSA-N -1 1 308.651 1.392 20 0 DDADMM C[C@@H]1CC(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C[C@@H](C)C1 ZINC000830960197 706611462 /nfs/dbraw/zinc/61/14/62/706611462.db2.gz YCDJFFFMGYZLAA-QWRGUYRKSA-N -1 1 319.405 1.943 20 0 DDADMM CC(C)(C)c1n[nH]cc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000815321662 701848520 /nfs/dbraw/zinc/84/85/20/701848520.db2.gz NOTWOZNEQTUPBB-UHFFFAOYSA-N -1 1 321.299 1.933 20 0 DDADMM CC[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@H](O)C(C)C ZINC000839866882 701852164 /nfs/dbraw/zinc/85/21/64/701852164.db2.gz GYWRBAXNZLXLEE-ZYHUDNBSSA-N -1 1 308.407 1.543 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H]2CCNC(=O)CC2)c1 ZINC000815419487 701864777 /nfs/dbraw/zinc/86/47/77/701864777.db2.gz XRSHKPZCQJTFNZ-LBPRGKRZSA-N -1 1 319.357 1.597 20 0 DDADMM CC(F)(F)c1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000815519697 701886025 /nfs/dbraw/zinc/88/60/25/701886025.db2.gz GAICEQNDEJMOOR-LLVKDONJSA-N -1 1 323.303 1.525 20 0 DDADMM Cc1cc(F)ncc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000868010600 701890894 /nfs/dbraw/zinc/89/08/94/701890894.db2.gz NCFRJTXYBHDEIG-VIFPVBQESA-N -1 1 306.297 1.638 20 0 DDADMM O=C([N-]OCCC1CC1)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000815793659 701934998 /nfs/dbraw/zinc/93/49/98/701934998.db2.gz JDGYSKIWRXHFLY-UHFFFAOYSA-N -1 1 315.333 1.054 20 0 DDADMM COC(=O)CCCO[N-]C(=O)[C@H](C)N1CCc2ccccc2C1 ZINC000811560150 702005840 /nfs/dbraw/zinc/00/58/40/702005840.db2.gz JGSORJQRWDUDSQ-ZDUSSCGKSA-N -1 1 320.389 1.434 20 0 DDADMM O=C(N[C@H]1COc2ccccc2[C@@H]1O)c1c([O-])cccc1F ZINC000811568898 702007750 /nfs/dbraw/zinc/00/77/50/702007750.db2.gz MMVCJUALFNKKSW-NHYWBVRUSA-N -1 1 303.289 1.756 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@]2(C)C[C@H]3C[C@H]3C2)co1 ZINC000816137263 702021429 /nfs/dbraw/zinc/02/14/29/702021429.db2.gz FOEWQUDUKSNZBN-WDAIWFPHSA-N -1 1 313.375 1.781 20 0 DDADMM C[S@](=O)C1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCC1 ZINC000831140963 706642954 /nfs/dbraw/zinc/64/29/54/706642954.db2.gz OZQVVNKVJBRYQL-NRFANRHFSA-N -1 1 324.431 1.049 20 0 DDADMM O=C(CC[C@H]1CCCCO1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831145580 706644074 /nfs/dbraw/zinc/64/40/74/706644074.db2.gz YQOLATYRMUPGFN-LLVKDONJSA-N -1 1 322.327 1.473 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000812804282 702243727 /nfs/dbraw/zinc/24/37/27/702243727.db2.gz LZSDBMPWZQTNRM-GFCCVEGCSA-N -1 1 324.352 1.651 20 0 DDADMM CC[C@H](C)CO[N-]C(=O)[C@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000816978251 702253111 /nfs/dbraw/zinc/25/31/11/702253111.db2.gz PWKOWCDSZOJYCV-STQMWFEESA-N -1 1 305.378 1.524 20 0 DDADMM CC[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000817145211 702288046 /nfs/dbraw/zinc/28/80/46/702288046.db2.gz DKCBLLKDKPBHJQ-DTWKUNHWSA-N -1 1 307.803 1.309 20 0 DDADMM CC[C@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CC1 ZINC000868777592 702315052 /nfs/dbraw/zinc/31/50/52/702315052.db2.gz CUJPLUNKGWWLTE-NSHDSACASA-N -1 1 306.366 1.243 20 0 DDADMM CC(C)CC(=O)N1CCCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000866279750 706663375 /nfs/dbraw/zinc/66/33/75/706663375.db2.gz DBURUWWNDNEYMJ-UHFFFAOYSA-N -1 1 312.801 1.920 20 0 DDADMM COc1ccccc1N1C[C@@H](C(=O)[N-]OCCC2CC2)CC1=O ZINC000817425379 702379014 /nfs/dbraw/zinc/37/90/14/702379014.db2.gz BZIBHGHXZQLSPJ-ZDUSSCGKSA-N -1 1 318.373 1.896 20 0 DDADMM CC(C)Cn1c([O-])c(C(=O)Nc2ccc(F)cc2)c(=O)[nH]c1=O ZINC000817571063 702413679 /nfs/dbraw/zinc/41/36/79/702413679.db2.gz MTBKCZIXKUQXAJ-LLVKDONJSA-N -1 1 321.308 1.115 20 0 DDADMM O=C(N[C@H]1CCOC1=O)c1ccc(Br)c([O-])c1 ZINC000869094962 702457452 /nfs/dbraw/zinc/45/74/52/702457452.db2.gz DXYDLJOPHHVGLT-QMMMGPOBSA-N -1 1 300.108 1.200 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)Cc2ccccc2Cl)C(=O)O1 ZINC000841524101 702479188 /nfs/dbraw/zinc/47/91/88/702479188.db2.gz MZBQRVUPVVXQTB-LDYMZIIASA-N -1 1 303.767 1.463 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)Cc2ccc(F)cc2F)C(=O)O1 ZINC000841525813 702480295 /nfs/dbraw/zinc/48/02/95/702480295.db2.gz UYXLHDREDVNCEG-RDDDGLTNSA-N -1 1 305.302 1.088 20 0 DDADMM CS[C@@H](C)CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016996 702804103 /nfs/dbraw/zinc/80/41/03/702804103.db2.gz XVDKGYGASWKCLC-JTQLQIEISA-N -1 1 308.469 1.058 20 0 DDADMM CCC[C@@H](C)[C@H](CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000844651093 703051270 /nfs/dbraw/zinc/05/12/70/703051270.db2.gz TZBGOJHJDULHQW-MFKMUULPSA-N -1 1 305.382 1.260 20 0 DDADMM O=C(OC[C@@H]1CCC(=O)N1)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000845156033 703118687 /nfs/dbraw/zinc/11/86/87/703118687.db2.gz TXOSKVVBWWNZIA-JTQLQIEISA-N -1 1 319.292 1.152 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3c(c2)CCN3C)n1 ZINC000846650640 703308427 /nfs/dbraw/zinc/30/84/27/703308427.db2.gz KYIJDCQFVIFOOY-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM Cc1cccc(OC[C@@H](C)[N-]S(=O)(=O)N=S(C)(C)=O)c1 ZINC000866577802 706734062 /nfs/dbraw/zinc/73/40/62/706734062.db2.gz IVPGPHRDHWKCTI-LLVKDONJSA-N -1 1 320.436 1.324 20 0 DDADMM CC1=NO[C@H](C(=O)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)C1 ZINC000847101094 703377722 /nfs/dbraw/zinc/37/77/22/703377722.db2.gz XSZMLPHLSDTDKJ-JTQLQIEISA-N -1 1 321.299 1.068 20 0 DDADMM COc1ccccc1CO[N-]C(=O)[C@H]1CCCc2nn[nH]c21 ZINC000847177981 703384583 /nfs/dbraw/zinc/38/45/83/703384583.db2.gz NPFVVPYBVJBVKP-NSHDSACASA-N -1 1 302.334 1.481 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C(=O)O1 ZINC000848073890 703503471 /nfs/dbraw/zinc/50/34/71/703503471.db2.gz MZFCFFDRIBURAZ-HZGVNTEJSA-N -1 1 303.236 1.845 20 0 DDADMM CC(C)c1scnc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000848094806 703505835 /nfs/dbraw/zinc/50/58/35/703505835.db2.gz JIFYQIYWNRBTKK-UHFFFAOYSA-N -1 1 308.363 1.145 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@H]1CCCO1 ZINC000848341431 703540769 /nfs/dbraw/zinc/54/07/69/703540769.db2.gz WATZWSKECMHEAU-BBBLOLIVSA-N -1 1 308.300 1.223 20 0 DDADMM O=C(NC[C@@H]1CN(C2CC2)C(=O)O1)c1c(F)ccc([O-])c1F ZINC000848364702 703543598 /nfs/dbraw/zinc/54/35/98/703543598.db2.gz OJRLAWXDCKLDND-MRVPVSSYSA-N -1 1 312.272 1.383 20 0 DDADMM CC(C)(C)n1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000848807939 703591524 /nfs/dbraw/zinc/59/15/24/703591524.db2.gz PFHXSLWYCKZIGP-UHFFFAOYSA-N -1 1 321.299 1.864 20 0 DDADMM CCC1(C(=O)OCc2nc(=O)n(C)[n-]2)CCC(F)(F)CC1 ZINC000850086548 703702364 /nfs/dbraw/zinc/70/23/64/703702364.db2.gz AUBMNGHLYVREMJ-UHFFFAOYSA-N -1 1 303.309 1.757 20 0 DDADMM CCOCCNC(=O)COC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869736529 703839477 /nfs/dbraw/zinc/83/94/77/703839477.db2.gz JOVXJDICYMIIGK-UHFFFAOYSA-N -1 1 315.753 1.284 20 0 DDADMM C[C@H]1CN(CCOC(=O)Cc2ccc([O-])c(Cl)c2)CCO1 ZINC000869736531 703839550 /nfs/dbraw/zinc/83/95/50/703839550.db2.gz JQGDCNJOLJDJRR-NSHDSACASA-N -1 1 313.781 1.852 20 0 DDADMM CCCCNC(=O)[C@@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764026 706784750 /nfs/dbraw/zinc/78/47/50/706784750.db2.gz RTDXQIVGKZJPML-ZWNOBZJWSA-N -1 1 323.359 1.434 20 0 DDADMM COCc1ccccc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000831822193 706786275 /nfs/dbraw/zinc/78/62/75/706786275.db2.gz VOMDIRGGRSWGRY-CYBMUJFWSA-N -1 1 320.389 1.454 20 0 DDADMM O=C([O-])c1ccc(CN2CCN(C[C@H]3CCCO3)CC2)cc1 ZINC000819666824 704156272 /nfs/dbraw/zinc/15/62/72/704156272.db2.gz LDWDPJZWYKOHAH-MRXNPFEDSA-N -1 1 304.390 1.681 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C[C@@H]2CC2(C)C)c1C(F)(F)F ZINC000866779426 706797973 /nfs/dbraw/zinc/79/79/73/706797973.db2.gz NGDYBAKNSVZRDZ-ZETCQYMHSA-N -1 1 311.329 1.763 20 0 DDADMM CC(C)n1ncc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1N ZINC000820069432 704215515 /nfs/dbraw/zinc/21/55/15/704215515.db2.gz YOSJSDDHHUNYTM-UHFFFAOYSA-N -1 1 320.353 1.155 20 0 DDADMM O=C([N-]S(=O)(=O)CCCF)c1cc2[nH]cnc2cc1F ZINC000820173641 704234174 /nfs/dbraw/zinc/23/41/74/704234174.db2.gz RKOIAQBQBFGCNO-UHFFFAOYSA-N -1 1 303.290 1.121 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1occc1Br)C2 ZINC000854240959 704370749 /nfs/dbraw/zinc/37/07/49/704370749.db2.gz GDGYKKJGPSQLRE-PRHODGIISA-N -1 1 316.151 1.575 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342154 704382013 /nfs/dbraw/zinc/38/20/13/704382013.db2.gz JHZJOXHBTULUCM-KHPPLWFESA-N -1 1 314.345 1.980 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC[C@@H](N2CCOCC2)C1 ZINC000854728904 704445264 /nfs/dbraw/zinc/44/52/64/704445264.db2.gz SFYFFIZIXQBGJN-GFCCVEGCSA-N -1 1 324.808 1.982 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](C2CCCCC2)C1 ZINC000855636283 704494041 /nfs/dbraw/zinc/49/40/41/704494041.db2.gz QOCFHZHHNKTQEF-AWEZNQCLSA-N -1 1 319.405 1.356 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@@H](O)C12CCC2 ZINC000855925659 704507190 /nfs/dbraw/zinc/50/71/90/704507190.db2.gz RFYKVJIXSFOYCP-GHMZBOCLSA-N -1 1 305.378 1.647 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1[C@H]2CCCO[C@H]2C1(C)C ZINC000866872675 706830821 /nfs/dbraw/zinc/83/08/21/706830821.db2.gz AGJGRHNRPJLTIZ-XUWJPREDSA-N -1 1 324.468 1.142 20 0 DDADMM O=S(=O)([N-]CC1OCCCO1)c1ccc(F)c(F)c1F ZINC000867160212 706912596 /nfs/dbraw/zinc/91/25/96/706912596.db2.gz HHVOMQIBUYXRML-UHFFFAOYSA-N -1 1 311.281 1.145 20 0 DDADMM C[C@H]1CN(c2cc(Cl)[n-]c(=O)n2)CCN1CC(F)(F)F ZINC000858460750 704714230 /nfs/dbraw/zinc/71/42/30/704714230.db2.gz HTNNYRBPAXNBFA-ZETCQYMHSA-N -1 1 310.707 1.908 20 0 DDADMM CC(C)N(C)C(=O)C1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858348966 704698447 /nfs/dbraw/zinc/69/84/47/704698447.db2.gz UJPBKYUMYSBPLW-UHFFFAOYSA-N -1 1 312.801 1.919 20 0 DDADMM CCC[C@@H](O)CCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000832642695 706955030 /nfs/dbraw/zinc/95/50/30/706955030.db2.gz KDSYVDDXQXEYAL-GFCCVEGCSA-N -1 1 320.393 1.262 20 0 DDADMM C[C@@H]1CCC[C@@]1(O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867313878 706957167 /nfs/dbraw/zinc/95/71/67/706957167.db2.gz SDMADHMZVBVNHB-PRHODGIISA-N -1 1 306.334 1.189 20 0 DDADMM O=C([N-]OC1CCCCC1)[C@H]1CNC(=O)C[C@@H]1C(F)(F)F ZINC000822155171 704887113 /nfs/dbraw/zinc/88/71/13/704887113.db2.gz LBZSOISDTPLKDR-UWVGGRQHSA-N -1 1 308.300 1.682 20 0 DDADMM O=S(=O)([N-]CCCC1(O)CCC1)c1ccc(F)nc1F ZINC000867421605 706996702 /nfs/dbraw/zinc/99/67/02/706996702.db2.gz XQRKZORGWNRLJE-UHFFFAOYSA-N -1 1 306.334 1.333 20 0 DDADMM O=C([N-]c1nc2n(n1)CCCC2)c1ncc(Br)cn1 ZINC000822178257 704891554 /nfs/dbraw/zinc/89/15/54/704891554.db2.gz YSVCCMREMXCBKV-UHFFFAOYSA-N -1 1 323.154 1.419 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[C@H](OC)C12CCC2 ZINC000867386023 706983719 /nfs/dbraw/zinc/98/37/19/706983719.db2.gz GNXOJNXMLDYJOV-MNOVXSKESA-N -1 1 324.468 1.286 20 0 DDADMM CC(C)Oc1cccc(CNC(=O)CCCc2nn[n-]n2)c1 ZINC000874084888 704937940 /nfs/dbraw/zinc/93/79/40/704937940.db2.gz RZZLENWSJZYOKH-UHFFFAOYSA-N -1 1 303.366 1.626 20 0 DDADMM CC[C@@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867449450 707004967 /nfs/dbraw/zinc/00/49/67/707004967.db2.gz VDOHYCPUXISRLR-BDAKNGLRSA-N -1 1 308.350 1.435 20 0 DDADMM O=C(C[C@@H](n1ccnc1)C(F)(F)F)[N-]O[C@H]1CCCCO1 ZINC000874262292 704989347 /nfs/dbraw/zinc/98/93/47/704989347.db2.gz OTWNPFIEXUFOSY-KOLCDFICSA-N -1 1 307.272 1.951 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C(OC)OC ZINC000867493415 707018253 /nfs/dbraw/zinc/01/82/53/707018253.db2.gz JBQFYXJOHZLLCJ-SSDOTTSWSA-N -1 1 310.322 1.036 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc2occc2c1 ZINC000867504182 707021443 /nfs/dbraw/zinc/02/14/43/707021443.db2.gz FNJSVELEJIJHLM-IBGZPJMESA-N -1 1 316.404 1.885 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(Cc2ccnn2-c2ccc(F)cc2)C1 ZINC000859820408 705013003 /nfs/dbraw/zinc/01/30/03/705013003.db2.gz SYCDCWBIRFJQCF-MRXNPFEDSA-N -1 1 319.336 1.687 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCc2ccccc2OC1 ZINC000822745039 705025340 /nfs/dbraw/zinc/02/53/40/705025340.db2.gz DGJXUMNHOBUPNY-GFCCVEGCSA-N -1 1 301.350 1.032 20 0 DDADMM CC(C)c1cc([C@@H]2CCCN(C(=O)NCCC(=O)[O-])C2)n[nH]1 ZINC000874403852 705042386 /nfs/dbraw/zinc/04/23/86/705042386.db2.gz WBDKMEPERCVTEJ-LLVKDONJSA-N -1 1 308.382 1.897 20 0 DDADMM CCc1cccc2[nH]c(C(=O)OCc3nc(=O)n(C)[n-]3)cc21 ZINC000860295994 705150995 /nfs/dbraw/zinc/15/09/95/705150995.db2.gz DUMIMOPNPVDGNE-UHFFFAOYSA-N -1 1 300.318 1.509 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](OC)CC[C@H]2C)c1 ZINC000867634295 707059350 /nfs/dbraw/zinc/05/93/50/707059350.db2.gz FPHJMJQMBMIODF-PWSUYJOCSA-N -1 1 315.391 1.589 20 0 DDADMM O=C(c1cccc2scnc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000860350301 705162463 /nfs/dbraw/zinc/16/24/63/705162463.db2.gz ZGSRQPURMAOMKQ-SECBINFHSA-N -1 1 314.374 1.829 20 0 DDADMM C[C@]1(CCNC(=O)CCCc2nn[n-]n2)CC1(Cl)Cl ZINC000823386518 705227973 /nfs/dbraw/zinc/22/79/73/705227973.db2.gz WMMOJYKFIXAKEA-JTQLQIEISA-N -1 1 306.197 1.613 20 0 DDADMM CC(=O)N1CCC[C@@H](C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000834833702 707134044 /nfs/dbraw/zinc/13/40/44/707134044.db2.gz IUSKTLAXCZOACR-GFCCVEGCSA-N -1 1 310.781 1.920 20 0 DDADMM O=C(CCCNC(=O)C1CC1)NCc1ccc([O-])c(Cl)c1 ZINC000834834004 707134088 /nfs/dbraw/zinc/13/40/88/707134088.db2.gz SMOWQCLPZBGODU-UHFFFAOYSA-N -1 1 310.781 1.968 20 0 DDADMM CC1(C)CC(CNC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000875520037 705417285 /nfs/dbraw/zinc/41/72/85/705417285.db2.gz PGFCVGZTWVVWKK-UHFFFAOYSA-N -1 1 321.343 1.743 20 0 DDADMM CSc1nc(CNC(=O)[C@@]23CCO[C@H]2CCCC3)cc(=O)[n-]1 ZINC000824609269 705492950 /nfs/dbraw/zinc/49/29/50/705492950.db2.gz BYEOKYPANNUEMK-NHYWBVRUSA-N -1 1 323.418 1.870 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]3[C@H]3C[C@@H]3C)nc2n1 ZINC000875838344 705531927 /nfs/dbraw/zinc/53/19/27/705531927.db2.gz KMDMDTCHZLMEMQ-OHBODLIOSA-N -1 1 315.377 1.601 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H]1[C@H](O)c1ccccc1 ZINC000825246264 705632976 /nfs/dbraw/zinc/63/29/76/705632976.db2.gz WWSMHORTLMAQFZ-XJKSGUPXSA-N -1 1 315.377 1.247 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H](OC2CCC2)CC1 ZINC000825374070 705657551 /nfs/dbraw/zinc/65/75/51/705657551.db2.gz CKLKYUPVPBDIQM-CYBMUJFWSA-N -1 1 307.398 1.473 20 0 DDADMM CCN(C(=O)Cc1noc(C)c1-c1nnn[n-]1)C1CCCC1 ZINC000825376622 705658011 /nfs/dbraw/zinc/65/80/11/705658011.db2.gz JXAPTFBMKAQLRJ-UHFFFAOYSA-N -1 1 304.354 1.497 20 0 DDADMM CCN(C(=O)Cc1noc(C)c1-c1nn[n-]n1)C1CCCC1 ZINC000825376622 705658016 /nfs/dbraw/zinc/65/80/16/705658016.db2.gz JXAPTFBMKAQLRJ-UHFFFAOYSA-N -1 1 304.354 1.497 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CCO[C@H](CF)C1 ZINC000876396375 705708283 /nfs/dbraw/zinc/70/82/83/705708283.db2.gz CNBQNKWKVJVQMA-SNVBAGLBSA-N -1 1 302.733 1.926 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N[C@@H]1Cc2cncn2C1 ZINC000876399012 705708509 /nfs/dbraw/zinc/70/85/09/705708509.db2.gz LCRSFWXLUSNXNL-SNVBAGLBSA-N -1 1 306.753 1.666 20 0 DDADMM Cc1ccc(-c2cc(C(=O)[N-]N3CCOC3=O)n[nH]2)c(C)c1 ZINC000862702485 705779890 /nfs/dbraw/zinc/77/98/90/705779890.db2.gz LFNZDHLNTDCTJF-UHFFFAOYSA-N -1 1 300.318 1.791 20 0 DDADMM Cc1ccsc1CNC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826316320 705789526 /nfs/dbraw/zinc/78/95/26/705789526.db2.gz KITPMMIMEUMVTA-UHFFFAOYSA-N -1 1 318.362 1.392 20 0 DDADMM Cc1ccsc1CNC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826316320 705789529 /nfs/dbraw/zinc/78/95/29/705789529.db2.gz KITPMMIMEUMVTA-UHFFFAOYSA-N -1 1 318.362 1.392 20 0 DDADMM Cc1nn(CCCc2ccsc2)c(=O)c(-c2nn[n-]n2)c1C ZINC000826335094 705791903 /nfs/dbraw/zinc/79/19/03/705791903.db2.gz YAWAOESZHNOFOG-UHFFFAOYSA-N -1 1 316.390 1.735 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H]2C(C)(C)C)c1-c1nnn[n-]1 ZINC000826345027 705794074 /nfs/dbraw/zinc/79/40/74/705794074.db2.gz ZSLSXMUZCXZFDJ-NSHDSACASA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H]2C(C)(C)C)c1-c1nn[n-]n1 ZINC000826345027 705794077 /nfs/dbraw/zinc/79/40/77/705794077.db2.gz ZSLSXMUZCXZFDJ-NSHDSACASA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)NCCc2cccs2)c1-c1nnn[n-]1 ZINC000826348001 705794273 /nfs/dbraw/zinc/79/42/73/705794273.db2.gz PGGCSBFRJBYKHF-UHFFFAOYSA-N -1 1 318.362 1.126 20 0 DDADMM Cc1onc(CC(=O)NCCc2cccs2)c1-c1nn[n-]n1 ZINC000826348001 705794275 /nfs/dbraw/zinc/79/42/75/705794275.db2.gz PGGCSBFRJBYKHF-UHFFFAOYSA-N -1 1 318.362 1.126 20 0 DDADMM CC1(C)CC(=O)CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000862770991 705795516 /nfs/dbraw/zinc/79/55/16/705795516.db2.gz OPBQJMJTNHEVSD-UHFFFAOYSA-N -1 1 318.402 1.849 20 0 DDADMM CC(C)c1ccccc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000826834795 705881230 /nfs/dbraw/zinc/88/12/30/705881230.db2.gz XJJISRQDLJWGKU-CYBMUJFWSA-N -1 1 301.350 1.537 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](O)CC(C)(C)C1 ZINC000827698216 706051884 /nfs/dbraw/zinc/05/18/84/706051884.db2.gz MEIWNGQMOGVEGA-NSHDSACASA-N -1 1 307.394 1.847 20 0 DDADMM CC(C)c1c(Cc2ccccc2)[n-]n(CCS(C)(=O)=O)c1=O ZINC000871951200 707252939 /nfs/dbraw/zinc/25/29/39/707252939.db2.gz LKTDNXAUPSZXQS-OAHLLOKOSA-N -1 1 322.430 1.744 20 0 DDADMM O=C(N[C@H](CO)C1CCCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000827963281 706094775 /nfs/dbraw/zinc/09/47/75/706094775.db2.gz HAYBJFVEVOQXCU-GFCCVEGCSA-N -1 1 320.418 1.689 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H](CF)C2)co1 ZINC000881598566 707302670 /nfs/dbraw/zinc/30/26/70/707302670.db2.gz PUSWYRVJQJGFPT-SECBINFHSA-N -1 1 304.343 1.009 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]1CCO)c1ccc2n[n-]c(=S)n2c1 ZINC000829092571 706287332 /nfs/dbraw/zinc/28/73/32/706287332.db2.gz GRIOTRKHTCPXDF-GXSJLCMTSA-N -1 1 306.391 1.299 20 0 DDADMM COc1ccc(NC(=O)COC2CC2)cc1[N-]S(C)(=O)=O ZINC000878114128 706298196 /nfs/dbraw/zinc/29/81/96/706298196.db2.gz DPYXBOYDCCONAN-UHFFFAOYSA-N -1 1 314.363 1.184 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-]CC1(CO)CC1)C1CCCCC1 ZINC000881959378 707444551 /nfs/dbraw/zinc/44/45/51/707444551.db2.gz DQVNYSIBGXGUHP-LJQANCHMSA-N -1 1 324.468 1.024 20 0 DDADMM COC(=O)c1cc(F)c([N-]S(=O)(=O)C[C@@H](C)OC)c(F)c1 ZINC000829833434 706404092 /nfs/dbraw/zinc/40/40/92/706404092.db2.gz FAKXSYXEVZXFDM-SSDOTTSWSA-N -1 1 323.317 1.528 20 0 DDADMM COC(=O)c1ccn(CCCC(=O)Nc2c([O-])cccc2F)n1 ZINC000878866403 706519536 /nfs/dbraw/zinc/51/95/36/706519536.db2.gz KSVLUFYMHXQFRH-UHFFFAOYSA-N -1 1 321.308 1.933 20 0 DDADMM Cc1cc(CCNC(=O)c2cnc(C3CC3)[n-]c2=O)nn1C ZINC000830605614 706543222 /nfs/dbraw/zinc/54/32/22/706543222.db2.gz KQOHBGPJURCRDQ-UHFFFAOYSA-N -1 1 301.350 1.074 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1(F)CCOCC1 ZINC000865860089 706545863 /nfs/dbraw/zinc/54/58/63/706545863.db2.gz BOFWGUQQDLZIDX-UHFFFAOYSA-N -1 1 322.340 1.187 20 0 DDADMM CCC(C)(C)[C@@H](O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830788064 706579633 /nfs/dbraw/zinc/57/96/33/706579633.db2.gz AIAVLVNKJWVSQQ-TVQRCGJNSA-N -1 1 324.343 1.453 20 0 DDADMM CC(C)OCCCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807376 706583403 /nfs/dbraw/zinc/58/34/03/706583403.db2.gz IINSUFHVYKLFKT-CYBMUJFWSA-N -1 1 324.343 1.861 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830808346 706583673 /nfs/dbraw/zinc/58/36/73/706583673.db2.gz ZNWKPRKPHTXWBF-OPQQBVKSSA-N -1 1 306.328 1.948 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)NCc1ccc(F)c(CN(C)C)c1 ZINC000908986409 712909454 /nfs/dbraw/zinc/90/94/54/712909454.db2.gz OIJJSGZNOWUNDE-JTQLQIEISA-N -1 1 311.357 1.502 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC[C@@H](O)c2ccccc2)sn1 ZINC000866889401 706835850 /nfs/dbraw/zinc/83/58/50/706835850.db2.gz TUFLWLZFXFXAKH-GFCCVEGCSA-N -1 1 312.416 1.854 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000879980944 706842465 /nfs/dbraw/zinc/84/24/65/706842465.db2.gz LVUJNVPPIOQSPH-ZDUSSCGKSA-N -1 1 321.377 1.076 20 0 DDADMM C[C@@H](CN(C)C(=O)CC[C@H]1CC1(Cl)Cl)c1nn[n-]n1 ZINC000832125364 706847810 /nfs/dbraw/zinc/84/78/10/706847810.db2.gz BRIADWFASDGMSI-YUMQZZPRSA-N -1 1 306.197 1.736 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H]1CNC(=O)c1cncc([O-])c1 ZINC000832133073 706850822 /nfs/dbraw/zinc/85/08/22/706850822.db2.gz SAKUTGUHTIRZCV-LLVKDONJSA-N -1 1 307.350 1.526 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2c[nH]nc2Cl)CC1(Cl)Cl ZINC000832141349 706853260 /nfs/dbraw/zinc/85/32/60/706853260.db2.gz HSEUSUCSMPZLOD-SSDOTTSWSA-N -1 1 318.613 1.925 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCCS1 ZINC000866955288 706855502 /nfs/dbraw/zinc/85/55/02/706855502.db2.gz RUDHADSNAAXKQZ-NSHDSACASA-N -1 1 308.375 1.924 20 0 DDADMM CC(C)[C@@H](CO)[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(C)C ZINC000832235351 706877332 /nfs/dbraw/zinc/87/73/32/706877332.db2.gz BAJUTJZTOBAUMG-KOLCDFICSA-N -1 1 323.846 1.631 20 0 DDADMM CC[C@H](CSC)[N-]S(=O)(=O)N=S(=O)(CC)CC ZINC000867057853 706885027 /nfs/dbraw/zinc/88/50/27/706885027.db2.gz DINOSGDRHYMMQB-SECBINFHSA-N -1 1 302.487 1.470 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCC(CO)(CO)CC1 ZINC000832562123 706940809 /nfs/dbraw/zinc/94/08/09/706940809.db2.gz PDTUGSJFFMEHEP-UHFFFAOYSA-N -1 1 315.369 1.752 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000909011977 712916384 /nfs/dbraw/zinc/91/63/84/712916384.db2.gz XKIGWLOPZVKASS-LURJTMIESA-N -1 1 323.296 1.461 20 0 DDADMM CC1(C)[C@@H](NC(=O)c2ccc([O-])cc2F)C[C@@H]1N1CCOCC1 ZINC000880497298 706995580 /nfs/dbraw/zinc/99/55/80/706995580.db2.gz YTIACRKRRUTWKU-GJZGRUSLSA-N -1 1 322.380 1.760 20 0 DDADMM O=C(NC[C@@H]1C[C@H]2CCC[C@@H]2O1)c1ccc2n[n-]c(=S)n2c1 ZINC000834379866 707041425 /nfs/dbraw/zinc/04/14/25/707041425.db2.gz ULUCYPCHSFQXGB-USWWRNFRSA-N -1 1 318.402 1.705 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2C[C@@H]2C(F)F)n[n-]1 ZINC000880666207 707052102 /nfs/dbraw/zinc/05/21/02/707052102.db2.gz LGZBCVWNPRPUCN-VQVTYTSYSA-N -1 1 302.281 1.060 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2C[C@@H]2C(F)F)[n-]1 ZINC000880666207 707052105 /nfs/dbraw/zinc/05/21/05/707052105.db2.gz LGZBCVWNPRPUCN-VQVTYTSYSA-N -1 1 302.281 1.060 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2C[C@@H]2C(F)F)n1 ZINC000880666207 707052108 /nfs/dbraw/zinc/05/21/08/707052108.db2.gz LGZBCVWNPRPUCN-VQVTYTSYSA-N -1 1 302.281 1.060 20 0 DDADMM CCOCc1cn(Cc2cc(=O)oc3cc([O-])ccc23)nn1 ZINC000881011993 707107027 /nfs/dbraw/zinc/10/70/27/707107027.db2.gz WEJIFBXCUKFYBP-UHFFFAOYSA-N -1 1 301.302 1.675 20 0 DDADMM Cc1cnc(SCc2cn(C[C@@H]3CCOC3)nn2)[n-]c1=O ZINC000871673413 707163898 /nfs/dbraw/zinc/16/38/98/707163898.db2.gz JZXPTELEUWOSEW-JTQLQIEISA-N -1 1 307.379 1.411 20 0 DDADMM O=C([N-]S(=O)(=O)C1CCCC1)c1cnn(-c2ccncc2)c1 ZINC000835213598 707196761 /nfs/dbraw/zinc/19/67/61/707196761.db2.gz OLIPEOKGNAWYAA-UHFFFAOYSA-N -1 1 320.374 1.270 20 0 DDADMM COCC(COC)OC(=O)c1ccc(Br)c([O-])c1 ZINC000909093168 712935677 /nfs/dbraw/zinc/93/56/77/712935677.db2.gz VTLSFYTWPRETSS-UHFFFAOYSA-N -1 1 319.151 1.973 20 0 DDADMM Cc1[n-]n(C2=NS(=O)(=O)c3ccccc32)c(=O)c1C1CC1 ZINC000871946191 707251170 /nfs/dbraw/zinc/25/11/70/707251170.db2.gz NIAXAXNFIPYUSD-LBPRGKRZSA-N -1 1 303.343 1.380 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](CF)C2)o1 ZINC000881591904 707301656 /nfs/dbraw/zinc/30/16/56/707301656.db2.gz AMCGKMDHOUFWTD-SECBINFHSA-N -1 1 304.343 1.009 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)CCC1CCCC1)N(C)C ZINC000835950232 707356913 /nfs/dbraw/zinc/35/69/13/707356913.db2.gz QNCHIZVWIVIMGI-CYBMUJFWSA-N -1 1 304.456 1.599 20 0 DDADMM Cc1nn(C(F)F)c(C)c1CC(=O)[N-]O[C@H](CO)C(C)C ZINC000836897624 707543249 /nfs/dbraw/zinc/54/32/49/707543249.db2.gz HPZHSEOIUFOMHC-LLVKDONJSA-N -1 1 305.325 1.502 20 0 DDADMM CCOC(=O)C(CC)(CC)[N-]S(=O)(=O)c1cccnc1F ZINC000882219761 707544329 /nfs/dbraw/zinc/54/43/29/707544329.db2.gz FHDIXYRIVDPYRJ-UHFFFAOYSA-N -1 1 318.370 1.621 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)c1cc[nH]c(=O)c1Br ZINC000836900083 707545326 /nfs/dbraw/zinc/54/53/26/707545326.db2.gz REOLNAWWAJSGAF-MRVPVSSYSA-N -1 1 319.155 1.228 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-][C@]1(C)CC(C)(C)OC1=O ZINC000882223453 707545940 /nfs/dbraw/zinc/54/59/40/707545940.db2.gz MIGPFOYRXBJRFD-SMDDNHRTSA-N -1 1 321.439 1.451 20 0 DDADMM CN(C(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H](CO)CC(C)(C)C ZINC000836972288 707556591 /nfs/dbraw/zinc/55/65/91/707556591.db2.gz PEPDYPKUMDVYPL-LLVKDONJSA-N -1 1 322.434 1.887 20 0 DDADMM CN1CCC[C@H](NC(=O)NCc2ccc([O-])c(Cl)c2)C1=O ZINC000872930347 707658749 /nfs/dbraw/zinc/65/87/49/707658749.db2.gz JGZZGRVKDGYRSU-NSHDSACASA-N -1 1 311.769 1.466 20 0 DDADMM CCN1CC[C@H](NC(=O)NCc2ccc([O-])c(Cl)c2)C1=O ZINC000873006678 707692034 /nfs/dbraw/zinc/69/20/34/707692034.db2.gz OSRYHNMGMQADHE-NSHDSACASA-N -1 1 311.769 1.466 20 0 DDADMM CO[C@@H]1COC[C@H]1NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873051975 707710686 /nfs/dbraw/zinc/71/06/86/707710686.db2.gz JIOREMJOACFSID-ZYHUDNBSSA-N -1 1 300.742 1.259 20 0 DDADMM CCn1nnc(C)c1C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000837788749 707745843 /nfs/dbraw/zinc/74/58/43/707745843.db2.gz QDONSJNLMWYYGZ-UHFFFAOYSA-N -1 1 317.345 1.914 20 0 DDADMM COC[C@H]1C[C@@H]([N-]S(=O)(=O)c2c(F)cc(OC)cc2F)C1 ZINC000882699303 707752486 /nfs/dbraw/zinc/75/24/86/707752486.db2.gz PUYSKYKVVMNEIR-DTORHVGOSA-N -1 1 321.345 1.677 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@H]1CCCOC1 ZINC000882760444 707776443 /nfs/dbraw/zinc/77/64/43/707776443.db2.gz DOKDSFMJNXWNIB-UWVGGRQHSA-N -1 1 320.361 1.843 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C[C@@H](O)C(F)(F)C(F)(F)F)o1 ZINC000882781486 707792677 /nfs/dbraw/zinc/79/26/77/707792677.db2.gz NQNBGXGTWQOTAL-ZCFIWIBFSA-N -1 1 323.239 1.425 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCC[C@@H](O)C(C)C ZINC000882945851 707866377 /nfs/dbraw/zinc/86/63/77/707866377.db2.gz LDSGTGYHLQAQBX-SNVBAGLBSA-N -1 1 302.396 1.094 20 0 DDADMM COc1ccc([C@H](NC(=O)CN(C)C2CCC2)C(=O)[O-])cc1 ZINC000909327448 712992540 /nfs/dbraw/zinc/99/25/40/712992540.db2.gz MEPGDZYRBLBIJF-HNNXBMFYSA-N -1 1 306.362 1.421 20 0 DDADMM CCC(CC)(CNC(=O)CN(C)[C@H]1CCSC1)C(=O)[O-] ZINC000909382103 713004908 /nfs/dbraw/zinc/00/49/08/713004908.db2.gz GJNISHQSBAJFIN-NSHDSACASA-N -1 1 302.440 1.431 20 0 DDADMM C[C@H](C(=O)N[C@@H](C(=O)[O-])c1ccc(Cl)c(F)c1)N(C)C ZINC000909391163 713006765 /nfs/dbraw/zinc/00/67/65/713006765.db2.gz QUOAQXMFCFMKMS-RDDDGLTNSA-N -1 1 302.733 1.671 20 0 DDADMM CC[C@@H]1CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)CCS1 ZINC000909409980 713010970 /nfs/dbraw/zinc/01/09/70/713010970.db2.gz DHGWBDMNYDCAFA-NWDGAFQWSA-N -1 1 300.424 1.137 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)OC[C@@H]1C[C@H]2COC[C@@H]2O1 ZINC000909464930 713025224 /nfs/dbraw/zinc/02/52/24/713025224.db2.gz APQRLTGTWNSRSK-MJVIPROJSA-N -1 1 312.749 1.935 20 0 DDADMM O=C(NCc1nc2c(s1)CCC2)c1cnc(C2CC2)[n-]c1=O ZINC000927666845 713028935 /nfs/dbraw/zinc/02/89/35/713028935.db2.gz OOBLPVBEAOMGIP-UHFFFAOYSA-N -1 1 316.386 1.935 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCN(c2ccccc2)C1)c1ccns1 ZINC000884974533 708433045 /nfs/dbraw/zinc/43/30/45/708433045.db2.gz XRVLYXVZRDUTEE-GFCCVEGCSA-N -1 1 323.443 1.948 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccns1)[C@H](O)c1cccc(F)c1 ZINC000885042376 708453405 /nfs/dbraw/zinc/45/34/05/708453405.db2.gz NYDLMXMMPDIENA-PELKAZGASA-N -1 1 316.379 1.683 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@]2(CCSC2)C1)c1ccns1 ZINC000885065567 708460114 /nfs/dbraw/zinc/46/01/14/708460114.db2.gz QRSSNSATTGKDPY-MWLCHTKSSA-N -1 1 320.461 1.476 20 0 DDADMM O=S(=O)([N-][C@@H]1CCc2cc(O)ccc2C1)c1ccns1 ZINC000885144660 708479493 /nfs/dbraw/zinc/47/94/93/708479493.db2.gz JUWWCYGZDXLLHR-LLVKDONJSA-N -1 1 310.400 1.685 20 0 DDADMM CC(C)[C@H]1C[C@@H](C[N-]S(=O)(=O)c2ccns2)CCO1 ZINC000885217593 708496636 /nfs/dbraw/zinc/49/66/36/708496636.db2.gz KDPWYGCPOVWECV-WDEREUQCSA-N -1 1 304.437 1.873 20 0 DDADMM C[C@H](O)[C@@H]([N-]S(=O)(=O)c1ccns1)c1ccccc1F ZINC000885272932 708507749 /nfs/dbraw/zinc/50/77/49/708507749.db2.gz SHLRHBUYVSVRBX-QPUJVOFHSA-N -1 1 316.379 1.683 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ccns2)OCCc2ccccc21 ZINC000885356440 708526305 /nfs/dbraw/zinc/52/63/05/708526305.db2.gz OWLHOGUZNJVVOY-AWEZNQCLSA-N -1 1 324.427 1.910 20 0 DDADMM CCOC(=O)C1(C[N-]S(=O)(=O)c2ccns2)CCC1 ZINC000885391770 708534257 /nfs/dbraw/zinc/53/42/57/708534257.db2.gz OPIIYJVENBADTL-UHFFFAOYSA-N -1 1 304.393 1.155 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)C(F)(F)F)c1ccc(F)c(F)c1 ZINC000885828239 708634272 /nfs/dbraw/zinc/63/42/72/708634272.db2.gz BLKCVMKFLCYLGL-VIFPVBQESA-N -1 1 319.251 1.556 20 0 DDADMM O=C(NCc1nc2c(s1)COCC2)C(=O)c1ccc([O-])cc1 ZINC000885971676 708673985 /nfs/dbraw/zinc/67/39/85/708673985.db2.gz FVIHFELPKNVCOA-UHFFFAOYSA-N -1 1 318.354 1.421 20 0 DDADMM CN(C)c1cnc(C(=O)NCCc2c(F)cc([O-])cc2F)cn1 ZINC000886266322 708732484 /nfs/dbraw/zinc/73/24/84/708732484.db2.gz HLXZTWVPBYCHNZ-UHFFFAOYSA-N -1 1 322.315 1.499 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NCCc1c(F)cc(O)cc1F ZINC000886269797 708733344 /nfs/dbraw/zinc/73/33/44/708733344.db2.gz HSRYAPOABJFJOX-VIFPVBQESA-N -1 1 309.316 1.931 20 0 DDADMM C[C@@H](Cc1c[nH]cn1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886269797 708733345 /nfs/dbraw/zinc/73/33/45/708733345.db2.gz HSRYAPOABJFJOX-VIFPVBQESA-N -1 1 309.316 1.931 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)c(C)n1CC(F)(F)F ZINC000912617557 713052567 /nfs/dbraw/zinc/05/25/67/713052567.db2.gz GGGNGBPFFHFFNP-UHFFFAOYSA-N -1 1 302.260 1.457 20 0 DDADMM COC[C@H]1COCCN1C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000886876400 708877161 /nfs/dbraw/zinc/87/71/61/708877161.db2.gz DXJQUEMHNZJZHR-JTQLQIEISA-N -1 1 315.753 1.542 20 0 DDADMM O=C(NC1(c2ncccn2)CCOCC1)c1ccc([O-])c(F)c1 ZINC000886943097 708898899 /nfs/dbraw/zinc/89/88/99/708898899.db2.gz MXUYSOGCPKQZJH-UHFFFAOYSA-N -1 1 317.320 1.757 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)C(=O)[C@@H](N)Cc1cc2ccccc2o1 ZINC000887398181 709036225 /nfs/dbraw/zinc/03/62/25/709036225.db2.gz RFIHYGVGSXHHQR-QWHCGFSZSA-N -1 1 304.346 1.624 20 0 DDADMM CCOCc1nc(Cl)cc(-n2[n-]cc3nncc-3c2=O)n1 ZINC000899360914 709067540 /nfs/dbraw/zinc/06/75/40/709067540.db2.gz QVJSGUHUQAMGOW-UHFFFAOYSA-N -1 1 306.713 1.089 20 0 DDADMM CCOCc1nc(Cl)cc(-n2ncc3[n-]ncc3c2=O)n1 ZINC000899360914 709067544 /nfs/dbraw/zinc/06/75/44/709067544.db2.gz QVJSGUHUQAMGOW-UHFFFAOYSA-N -1 1 306.713 1.089 20 0 DDADMM Cn1nccc1[C@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CCO1 ZINC000887606333 709081037 /nfs/dbraw/zinc/08/10/37/709081037.db2.gz XRGSUOHIBUVAKM-CQSZACIVSA-N -1 1 321.764 1.993 20 0 DDADMM C[C@@H]1C[C@@]2(CC[C@H](CNC(=O)C(=O)c3ccc([O-])cc3)O2)CO1 ZINC000899475163 709092006 /nfs/dbraw/zinc/09/20/06/709092006.db2.gz BMZIVUZHUJCYEC-ZLENFMNRSA-N -1 1 319.357 1.418 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](C2OCCO2)C1 ZINC000927995673 713098761 /nfs/dbraw/zinc/09/87/61/713098761.db2.gz CPQHSIRPJPSPFZ-NSHDSACASA-N -1 1 321.377 1.449 20 0 DDADMM CN(C)Cc1ccc(C(=O)N[C@]2(C)CCCC[C@@H]2C(=O)[O-])cn1 ZINC000909533524 709504802 /nfs/dbraw/zinc/50/48/02/709504802.db2.gz OBRDGZDYQDROIO-RHSMWYFYSA-N -1 1 319.405 1.907 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2ccc3nccnc3c2)C1 ZINC000909541761 709508732 /nfs/dbraw/zinc/50/87/32/709508732.db2.gz NAYAQWSOUJVOFH-NSHDSACASA-N -1 1 314.345 1.365 20 0 DDADMM CSCC[C@H](NC(=O)CCc1cccs1)c1nn[n-]n1 ZINC000912860250 713109479 /nfs/dbraw/zinc/10/94/79/713109479.db2.gz PMRSFDREHLDVFS-JTQLQIEISA-N -1 1 311.436 1.804 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C)ccc1F)c1nn[n-]n1 ZINC000912860371 713110216 /nfs/dbraw/zinc/11/02/16/713110216.db2.gz NKQQMOUMGFJTGT-NSHDSACASA-N -1 1 309.370 1.871 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1[nH]nc2c1CCC2)c1ccc(F)cc1 ZINC000909703417 709587608 /nfs/dbraw/zinc/58/76/08/709587608.db2.gz OZDOFXRNTWCVCF-LBPRGKRZSA-N -1 1 317.320 1.636 20 0 DDADMM O=C([O-])[C@H](CC(F)F)NC(=O)c1[nH]nc2c1CCCCC2 ZINC000909769214 709610308 /nfs/dbraw/zinc/61/03/08/709610308.db2.gz CLKIBMGPEFEQTR-VIFPVBQESA-N -1 1 301.293 1.517 20 0 DDADMM C[C@H](NC(=O)[C@H]1CCCCN1C)c1ccc(OCC(=O)[O-])cc1 ZINC000909796732 709626156 /nfs/dbraw/zinc/62/61/56/709626156.db2.gz NLTMLONOGIWAIU-SWLSCSKDSA-N -1 1 320.389 1.812 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)[n-]c1=O ZINC000889786292 709635319 /nfs/dbraw/zinc/63/53/19/709635319.db2.gz LHPZCIPEOLVLQF-KKOKHZNYSA-N -1 1 321.377 1.668 20 0 DDADMM CCC/C=C/[C@H](O)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786635 709635389 /nfs/dbraw/zinc/63/53/89/709635389.db2.gz NOSNXTCEHBOGKS-XPMWWOIKSA-N -1 1 321.377 1.572 20 0 DDADMM CC[C@H](O)[C@H](C)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889787254 709636077 /nfs/dbraw/zinc/63/60/77/709636077.db2.gz RWQOIPZWAUVEIG-AXFHLTTASA-N -1 1 309.366 1.261 20 0 DDADMM CCCCOCC(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889791666 709638026 /nfs/dbraw/zinc/63/80/26/709638026.db2.gz KMGOCDZBJPCJNG-LLVKDONJSA-N -1 1 309.366 1.671 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCOC[C@@H](C3CCC3)C2)C1 ZINC000909855258 709653982 /nfs/dbraw/zinc/65/39/82/709653982.db2.gz MIJKTQCIFNYKRN-CABCVRRESA-N -1 1 324.421 1.058 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)c1cc(C(F)F)[nH]n1 ZINC000909939917 709697373 /nfs/dbraw/zinc/69/73/73/709697373.db2.gz ZCULPKPQWMUUFS-LBPRGKRZSA-N -1 1 303.265 1.053 20 0 DDADMM O=C(NCC1(O)CCCCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000900753307 709743565 /nfs/dbraw/zinc/74/35/65/709743565.db2.gz NFPZZUCANFNEIT-UHFFFAOYSA-N -1 1 305.378 1.875 20 0 DDADMM CN(CC(=O)N1CCCCC[C@@H]1C(=O)[O-])[C@@H]1CCSC1 ZINC000910046278 709750976 /nfs/dbraw/zinc/75/09/76/709750976.db2.gz RCVKLRYPQGOZNR-VXGBXAGGSA-N -1 1 300.424 1.280 20 0 DDADMM Cc1noc(C)c1C(=O)[N-]c1nc(-c2cnn(C)c2)ns1 ZINC000900800336 709764790 /nfs/dbraw/zinc/76/47/90/709764790.db2.gz CNXZTPJIDPXNAE-UHFFFAOYSA-N -1 1 304.335 1.796 20 0 DDADMM CNc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1F ZINC000910174160 709797802 /nfs/dbraw/zinc/79/78/02/709797802.db2.gz RLEMPWKVEPGNEU-LLVKDONJSA-N -1 1 323.368 1.488 20 0 DDADMM Cc1cc(C)c(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)s1 ZINC000910180641 709800613 /nfs/dbraw/zinc/80/06/13/709800613.db2.gz YAUIXEABBDVNLK-LBPRGKRZSA-N -1 1 324.446 1.915 20 0 DDADMM CC[C@@H](C(=O)Nc1ccc(O[C@H](C)C(=O)[O-])c(F)c1)N(C)C ZINC000910232775 709828970 /nfs/dbraw/zinc/82/89/70/709828970.db2.gz KHVYJAYSOWJOAR-SKDRFNHKSA-N -1 1 312.341 1.956 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCCCc2cn[nH]n2)[C@H]2CCC[C@@H]21 ZINC000910246951 709838399 /nfs/dbraw/zinc/83/83/99/709838399.db2.gz PGVCQAGOWRCWLA-MCIONIFRSA-N -1 1 320.393 1.619 20 0 DDADMM O=C(NC[C@@H](O)c1ccc(F)cc1)c1cnc(C2CC2)[n-]c1=O ZINC000901066947 709910505 /nfs/dbraw/zinc/91/05/05/709910505.db2.gz BFGGYSKPMCWAGY-CYBMUJFWSA-N -1 1 317.320 1.662 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3C[C@@H](F)C[C@H]3C(=O)[O-])n[nH]2)c1 ZINC000910441580 709943460 /nfs/dbraw/zinc/94/34/60/709943460.db2.gz MBMZCQVLKZRAOU-CABZTGNLSA-N -1 1 306.297 1.052 20 0 DDADMM COc1cc(C(=O)N2CC[C@@H](O)[C@H](F)C2)cc(Cl)c1[O-] ZINC000890704325 709964072 /nfs/dbraw/zinc/96/40/72/709964072.db2.gz GPLQHAQHGLDAPD-NXEZZACHSA-N -1 1 303.717 1.599 20 0 DDADMM COC(=O)C[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@H]1C ZINC000928174153 713145136 /nfs/dbraw/zinc/14/51/36/713145136.db2.gz PBIBLAFNEFDBJZ-ZYHUDNBSSA-N -1 1 305.330 1.233 20 0 DDADMM COC(=O)C[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@H]1C ZINC000928174471 713145218 /nfs/dbraw/zinc/14/52/18/713145218.db2.gz YPUOQPVHDWYQBN-RKDXNWHRSA-N -1 1 313.300 1.942 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC[C@H](O)C1CC1 ZINC000890724158 709973509 /nfs/dbraw/zinc/97/35/09/709973509.db2.gz PBNYYKLZJMLDMN-NSHDSACASA-N -1 1 307.394 1.679 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(Cc2ccc(N3CCOCC3)cc2)C1 ZINC000901518168 710063740 /nfs/dbraw/zinc/06/37/40/710063740.db2.gz VRVBKJKGAMFRKP-MRXNPFEDSA-N -1 1 308.353 1.522 20 0 DDADMM O=C([O-])[C@H]1[C@@H]2CN(C(=O)c3cn[nH]c3-c3ccccc3F)C[C@@H]21 ZINC000910797953 710079981 /nfs/dbraw/zinc/07/99/81/710079981.db2.gz HQKQAZQXXWDKKB-PJXYFTJBSA-N -1 1 315.304 1.618 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)C[C@H]1CC(=O)[O-] ZINC000910857852 710097073 /nfs/dbraw/zinc/09/70/73/710097073.db2.gz LHSBPFBSRFBISG-FPQZTECRSA-N -1 1 305.378 1.645 20 0 DDADMM C[C@@H]1CN(C(=O)Cc2n[nH]c3ccccc32)C[C@H]1CC(=O)[O-] ZINC000910864188 710099684 /nfs/dbraw/zinc/09/96/84/710099684.db2.gz IAMPQJLUEOFDKX-GHMZBOCLSA-N -1 1 301.346 1.675 20 0 DDADMM Cc1c([N-]S(=O)(=O)C[C@H]2CCCC(C)(C)O2)cnn1C ZINC000901639364 710104936 /nfs/dbraw/zinc/10/49/36/710104936.db2.gz LRUYKERQKSQEAF-LLVKDONJSA-N -1 1 301.412 1.818 20 0 DDADMM CSCc1ccccc1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000901657266 710111033 /nfs/dbraw/zinc/11/10/33/710111033.db2.gz RWYXKPJAAQEVLU-UHFFFAOYSA-N -1 1 308.450 1.934 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC/C=C/Cl ZINC000891213374 710125854 /nfs/dbraw/zinc/12/58/54/710125854.db2.gz HXXIPWIXXYDQTN-ZZXKWVIFSA-N -1 1 308.769 1.853 20 0 DDADMM CCn1cc(Cl)c([N-]S(=O)(=O)C[C@H](OC)C(C)C)n1 ZINC000901718199 710131693 /nfs/dbraw/zinc/13/16/93/710131693.db2.gz PKRITXLKQUBGNG-JTQLQIEISA-N -1 1 309.819 1.969 20 0 DDADMM C[C@H](NCc1ccsc1C(F)(F)F)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901745284 710139564 /nfs/dbraw/zinc/13/95/64/710139564.db2.gz VRSBEBSFUCDJKU-BQBZGAKWSA-N -1 1 324.324 1.834 20 0 DDADMM C[C@@]1(C(=O)Nc2cc(F)cc(F)c2[O-])CCCS1(=O)=O ZINC000910989661 710143457 /nfs/dbraw/zinc/14/34/57/710143457.db2.gz ALDYYDSCPPNMJZ-LBPRGKRZSA-N -1 1 305.302 1.576 20 0 DDADMM CO[C@H](C(=O)Nc1cc(F)cc(F)c1[O-])C(=O)OC(C)(C)C ZINC000910990717 710143636 /nfs/dbraw/zinc/14/36/36/710143636.db2.gz JBRSWBPUSZDRRK-LLVKDONJSA-N -1 1 317.288 1.966 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@@H]1C[C@H](C(=O)[O-])c2ccccc21 ZINC000902016091 710202115 /nfs/dbraw/zinc/20/21/15/710202115.db2.gz KGYPMSJXKJGTIC-ZNMIVQPWSA-N -1 1 302.374 1.900 20 0 DDADMM COCc1nc(NC[C@H]2COc3ccccc3O2)cc(=O)[n-]1 ZINC000891465053 710209069 /nfs/dbraw/zinc/20/90/69/710209069.db2.gz OVWCRGGVVADXFT-JTQLQIEISA-N -1 1 303.318 1.581 20 0 DDADMM COCc1nc(NCCOc2ccc(OC)cc2)cc(=O)[n-]1 ZINC000891600947 710245734 /nfs/dbraw/zinc/24/57/34/710245734.db2.gz CMVRBHJXMBDDMU-UHFFFAOYSA-N -1 1 305.334 1.828 20 0 DDADMM C[C@H](Oc1ccccn1)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891615415 710249111 /nfs/dbraw/zinc/24/91/11/710249111.db2.gz RBEZUSFIQGZNFK-JTQLQIEISA-N -1 1 314.345 1.862 20 0 DDADMM CCn1cc(CC(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cn1 ZINC000891616865 710249861 /nfs/dbraw/zinc/24/98/61/710249861.db2.gz BEBGFFPLFKVSCM-UHFFFAOYSA-N -1 1 301.350 1.459 20 0 DDADMM CN(C(=O)c1cc2ccccc2cc1[O-])C1CS(=O)(=O)C1 ZINC000913144696 713170800 /nfs/dbraw/zinc/17/08/00/713170800.db2.gz PHGPYPFEOWKPEH-UHFFFAOYSA-N -1 1 305.355 1.414 20 0 DDADMM Cc1nc(CC2CCN(C(=O)c3cncc([O-])c3)CC2)no1 ZINC000928345600 713177252 /nfs/dbraw/zinc/17/72/52/713177252.db2.gz FXFIWSGMCDZHPU-UHFFFAOYSA-N -1 1 302.334 1.574 20 0 DDADMM CO[N-]C(=O)CNCc1cc(OC)ccc1Br ZINC000922829624 714161463 /nfs/dbraw/zinc/16/14/63/714161463.db2.gz IJJBVOSUXHFQPC-UHFFFAOYSA-N -1 1 303.156 1.225 20 0 DDADMM COCc1nc(N2CCC(C3(C)OCCO3)CC2)cc(=O)[n-]1 ZINC000892879135 710517722 /nfs/dbraw/zinc/51/77/22/710517722.db2.gz HFLXHOQIICPYDS-UHFFFAOYSA-N -1 1 309.366 1.308 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N(CC(=O)[O-])C1CCC1 ZINC000902033266 710589661 /nfs/dbraw/zinc/58/96/61/710589661.db2.gz GBFBNWFKIFLESN-UHFFFAOYSA-N -1 1 304.390 1.627 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)NC[C@H](CC(=O)[O-])C3CC3)c2C1 ZINC000902086875 710610197 /nfs/dbraw/zinc/61/01/97/710610197.db2.gz HXMOLCDNAQZXMM-ONGXEEELSA-N -1 1 305.378 1.765 20 0 DDADMM CN(C)[C@H](CNC(=O)C12CC(C(=O)[O-])(C1)C2)c1cccs1 ZINC000911100249 710633412 /nfs/dbraw/zinc/63/34/12/710633412.db2.gz IPGPLFZMRVCPIW-SFNBMPIDSA-N -1 1 308.403 1.722 20 0 DDADMM C[C@@H](C(=O)N[C@H](CC(=O)[O-])C(F)(F)F)N1CCCCCC1 ZINC000911156418 710660185 /nfs/dbraw/zinc/66/01/85/710660185.db2.gz GTBRXOPANIJUJL-VHSXEESVSA-N -1 1 310.316 1.773 20 0 DDADMM COCc1nc(N2C[C@@H](C)O[C@@]3(CCCOC3)C2)cc(=O)[n-]1 ZINC000893647425 710762653 /nfs/dbraw/zinc/76/26/53/710762653.db2.gz UOPPIPLEQTZQJH-ABAIWWIYSA-N -1 1 309.366 1.103 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCCc3occc3C2)C1 ZINC000911407320 710784157 /nfs/dbraw/zinc/78/41/57/710784157.db2.gz LJFAOHCYZKSHTQ-ZDUSSCGKSA-N -1 1 306.362 1.351 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)N(C)CCCN(C)Cc1ccco1 ZINC000911543092 710844257 /nfs/dbraw/zinc/84/42/57/710844257.db2.gz YWLFIWGXSQKZSZ-CQSZACIVSA-N -1 1 310.394 1.917 20 0 DDADMM C[C@@H]1C(=O)N(C)CN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000911602126 710875366 /nfs/dbraw/zinc/87/53/66/710875366.db2.gz WXVWSKSFNOSBKL-MRVPVSSYSA-N -1 1 303.293 1.340 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000902818417 710915485 /nfs/dbraw/zinc/91/54/85/710915485.db2.gz NJBNFXDOTSRUFL-SRVKXCTJSA-N -1 1 300.424 1.039 20 0 DDADMM O=C([O-])C1(C(=O)N[C@@H]2CCC[N@H+]3CCSC[C@H]23)CC=CC1 ZINC000902819790 710915857 /nfs/dbraw/zinc/91/58/57/710915857.db2.gz WZUBQBCOSOYVOC-VXGBXAGGSA-N -1 1 310.419 1.103 20 0 DDADMM O=C([O-])C1(C(=O)N[C@@H]2CCCN3CCSC[C@H]23)CC=CC1 ZINC000902819790 710915860 /nfs/dbraw/zinc/91/58/60/710915860.db2.gz WZUBQBCOSOYVOC-VXGBXAGGSA-N -1 1 310.419 1.103 20 0 DDADMM COC[C@@H]1C[C@@H](O)CN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000911887535 711052586 /nfs/dbraw/zinc/05/25/86/711052586.db2.gz VCKYELZDAPWEEO-VHSXEESVSA-N -1 1 320.320 1.301 20 0 DDADMM O=C([O-])[C@]1(C(=O)Nc2cccc(C(F)(F)F)c2)CNCCO1 ZINC000911905824 711061690 /nfs/dbraw/zinc/06/16/90/711061690.db2.gz FFRUSNGEGRYFMS-GFCCVEGCSA-N -1 1 318.251 1.087 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C2CC2)C2(CO)CCOCC2)c([O-])c1 ZINC000911983026 711103580 /nfs/dbraw/zinc/10/35/80/711103580.db2.gz PVUHSGGDEICSON-HNNXBMFYSA-N -1 1 320.389 1.393 20 0 DDADMM CC(C)n1nnc([N-]C(=O)c2scnc2Br)n1 ZINC000913487752 713235611 /nfs/dbraw/zinc/23/56/11/713235611.db2.gz ZKCLHLKNAJWPSE-UHFFFAOYSA-N -1 1 317.172 1.725 20 0 DDADMM O=C(C/C=C\c1ccc(F)cc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496174 713238327 /nfs/dbraw/zinc/23/83/27/713238327.db2.gz UUHMXGQCLVIFIF-FYDYADQUSA-N -1 1 317.324 1.342 20 0 DDADMM CCCN(Cc1ccc(C(=O)[O-])s1)[C@H]1CC(=O)N(C)C1=O ZINC000903686184 711244724 /nfs/dbraw/zinc/24/47/24/711244724.db2.gz FGUAFCPAKKJGAN-JTQLQIEISA-N -1 1 310.375 1.416 20 0 DDADMM NC(=O)[C@@H]1c2ccccc2CCN1CCC1(C(=O)[O-])CCC1 ZINC000903696557 711247146 /nfs/dbraw/zinc/24/71/46/711247146.db2.gz JNOCTISCNBNEIP-AWEZNQCLSA-N -1 1 302.374 1.716 20 0 DDADMM CC(C)(C)OC1CC(CC(=O)N2CCOC[C@H]2c2nn[n-]n2)C1 ZINC000913496772 713238931 /nfs/dbraw/zinc/23/89/31/713238931.db2.gz CFKYZIOOUXDWRI-MCIGGMRASA-N -1 1 323.397 1.083 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N(C)Cc1ccccc1 ZINC000903708378 711249666 /nfs/dbraw/zinc/24/96/66/711249666.db2.gz SMMPYSUIYGVTOZ-UHFFFAOYSA-N -1 1 308.378 1.068 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)Nc1cccc(C)c1 ZINC000903711778 711250087 /nfs/dbraw/zinc/25/00/87/711250087.db2.gz KGVKHJIVUPTROS-ZDUSSCGKSA-N -1 1 308.378 1.745 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C(=O)NC1CC1)c1ccccc1 ZINC000903711705 711250565 /nfs/dbraw/zinc/25/05/65/711250565.db2.gz HXKHDMZQXSBZNZ-MRXNPFEDSA-N -1 1 320.389 1.429 20 0 DDADMM COCc1nc(NCc2ccc(N(C)C(C)=O)cc2)cc(=O)[n-]1 ZINC000894735474 711252096 /nfs/dbraw/zinc/25/20/96/711252096.db2.gz NWYMDUYBNUKMQD-UHFFFAOYSA-N -1 1 316.361 1.923 20 0 DDADMM CC(C)OC[C@H](O)CN1CCOc2c(cccc2C(=O)[O-])C1 ZINC000903921210 711332547 /nfs/dbraw/zinc/33/25/47/711332547.db2.gz LHNRUKLHUJJCRH-CYBMUJFWSA-N -1 1 309.362 1.365 20 0 DDADMM O=C([O-])c1ccc2c(c1)OCCN(C[C@@H]1C[C@H]3COC[C@@H]3O1)C2 ZINC000903959071 711348560 /nfs/dbraw/zinc/34/85/60/711348560.db2.gz XNCNTSYZMSAENO-DZKIICNBSA-N -1 1 319.357 1.383 20 0 DDADMM Cc1c([C@H](NCc2ccc3c(c2)OCCO3)C(=O)[O-])cnn1C ZINC000904047979 711370201 /nfs/dbraw/zinc/37/02/01/711370201.db2.gz LNQWJGFRWFISNI-HNNXBMFYSA-N -1 1 317.345 1.415 20 0 DDADMM CC(=O)N1CCO[C@@H](c2nc(-c3ccc([O-])c(F)c3)no2)C1 ZINC000904048893 711370261 /nfs/dbraw/zinc/37/02/61/711370261.db2.gz FHRMKVADBJGVCZ-GFCCVEGCSA-N -1 1 307.281 1.501 20 0 DDADMM C[C@H](N[C@@H]1CCCN([C@@H](C)c2ccc(F)cc2)C1=O)C(=O)[O-] ZINC000904242373 711416184 /nfs/dbraw/zinc/41/61/84/711416184.db2.gz LAPDLDXUQSHZNT-COPLHBTASA-N -1 1 308.353 1.940 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2nc(C)c(C)s2)n1 ZINC000895329906 711486087 /nfs/dbraw/zinc/48/60/87/711486087.db2.gz JMQIRGGXGGNWOT-MRVPVSSYSA-N -1 1 309.395 1.906 20 0 DDADMM CCN(CC(=O)N(C)C)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895717824 711586850 /nfs/dbraw/zinc/58/68/50/711586850.db2.gz UIKLVWOAJQVRLY-UHFFFAOYSA-N -1 1 316.357 1.801 20 0 DDADMM C[C@@H](NC(=O)c1cc(F)c([O-])c(F)c1)[C@@H]1CN(C)CCN1C ZINC000896558145 711751239 /nfs/dbraw/zinc/75/12/39/711751239.db2.gz YLNDQNALZAULJD-RNCFNFMXSA-N -1 1 313.348 1.035 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C[C@@H]3CC[C@H](C4CC4)O3)ccnc1-2 ZINC000904938679 711904921 /nfs/dbraw/zinc/90/49/21/711904921.db2.gz CSESBOWFPZZJBU-SMDDNHRTSA-N -1 1 300.362 1.628 20 0 DDADMM O=C(NCCc1ccc(F)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000905151334 711952839 /nfs/dbraw/zinc/95/28/39/711952839.db2.gz MAVIFEQGUWLNEU-UHFFFAOYSA-N -1 1 318.356 1.471 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)nc1 ZINC000913744965 713293276 /nfs/dbraw/zinc/29/32/76/713293276.db2.gz MJOZXUADUSCAMV-UHFFFAOYSA-N -1 1 300.366 1.738 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@H]2NCc1cnc2cnccn12 ZINC000905793034 712147771 /nfs/dbraw/zinc/14/77/71/712147771.db2.gz DWDJHDSGPPXYIQ-LLVKDONJSA-N -1 1 312.333 1.249 20 0 DDADMM CC(C)CN(C1CC1)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906819090 712417465 /nfs/dbraw/zinc/41/74/65/712417465.db2.gz NFULALNCSDROCH-UHFFFAOYSA-N -1 1 313.375 1.900 20 0 DDADMM COC[C@](C)(CO)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907408541 712563392 /nfs/dbraw/zinc/56/33/92/712563392.db2.gz JOSFIWFSFTXZIW-HNNXBMFYSA-N -1 1 308.309 1.207 20 0 DDADMM Cc1ccccc1CCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907472304 712581012 /nfs/dbraw/zinc/58/10/12/712581012.db2.gz AZCDEGAJZSLKHC-CYBMUJFWSA-N -1 1 317.418 1.757 20 0 DDADMM O=C(C=Cc1ccsc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907473003 712581317 /nfs/dbraw/zinc/58/13/17/712581317.db2.gz AWNDXOFFPCEULL-YOLVWIGZSA-N -1 1 307.404 1.591 20 0 DDADMM O=C(c1cscc1Cl)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907474306 712581429 /nfs/dbraw/zinc/58/14/29/712581429.db2.gz GKCJCAPGQMTIIE-QMMMGPOBSA-N -1 1 315.811 1.845 20 0 DDADMM COc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c(C)c1 ZINC000907473959 712581606 /nfs/dbraw/zinc/58/16/06/712581606.db2.gz IORBBZDXEIRSIT-GFCCVEGCSA-N -1 1 319.390 1.447 20 0 DDADMM O=C(c1ccoc1C1CC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480160 712583445 /nfs/dbraw/zinc/58/34/45/712583445.db2.gz QULLYQMFFQXTSZ-JTQLQIEISA-N -1 1 305.363 1.600 20 0 DDADMM CCc1ccc(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)nc1 ZINC000907481048 712583749 /nfs/dbraw/zinc/58/37/49/712583749.db2.gz SXUBAFRHBPZVTN-LBPRGKRZSA-N -1 1 318.406 1.016 20 0 DDADMM O=C(C1CCC2(CC2)CC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480494 712583821 /nfs/dbraw/zinc/58/38/21/712583821.db2.gz AWYZJMZTICAYGB-NSHDSACASA-N -1 1 307.423 1.787 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481304 712583975 /nfs/dbraw/zinc/58/39/75/712583975.db2.gz VITRPZJKIVAWEF-OANVXVOSSA-N -1 1 316.390 1.315 20 0 DDADMM C[C@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C[C@H](CO)O1 ZINC000907648243 712608314 /nfs/dbraw/zinc/60/83/14/712608314.db2.gz BEAGFZDQYDSVRO-VHSXEESVSA-N -1 1 320.320 1.301 20 0 DDADMM CC1=C(C)C[C@@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1 ZINC000907942134 712652485 /nfs/dbraw/zinc/65/24/85/712652485.db2.gz UOELVWBHXNUWFV-LBPRGKRZSA-N -1 1 305.382 1.146 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2C[C@H]3CCC[C@@H]32)cc1C ZINC000907958078 712655281 /nfs/dbraw/zinc/65/52/81/712655281.db2.gz KYQBEUJTDSCTJV-OUAUKWLOSA-N -1 1 313.375 1.842 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](CC(=O)[O-])Cc2ccccc2)c1C ZINC000908238376 712717011 /nfs/dbraw/zinc/71/70/11/712717011.db2.gz UVOHSMGKOSFSLQ-ZDUSSCGKSA-N -1 1 301.346 1.842 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2Cc3ccccc3[C@H]2O)sn1 ZINC000908420654 712767155 /nfs/dbraw/zinc/76/71/55/712767155.db2.gz MMJKBNFYYLAXGD-DGCLKSJQSA-N -1 1 310.400 1.388 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@@H]1C1CC1)c1ccc(F)c(F)c1F ZINC000908420918 712767319 /nfs/dbraw/zinc/76/73/19/712767319.db2.gz YUQQWPBHKUNANP-SCZZXKLOSA-N -1 1 321.320 1.807 20 0 DDADMM CC1CCN(CC(=O)N2Cc3cc(C(=O)[O-])nn3C[C@H]2C)CC1 ZINC000908439387 712772861 /nfs/dbraw/zinc/77/28/61/712772861.db2.gz NRYGBQRRDVDSKW-GFCCVEGCSA-N -1 1 320.393 1.044 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CC2(C1)CCOC2 ZINC000908454095 712778067 /nfs/dbraw/zinc/77/80/67/712778067.db2.gz APZFFFXPMARNJO-UHFFFAOYSA-N -1 1 302.305 1.942 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@@H](CCF)C(=O)[O-])c1ccsc1 ZINC000908814206 712860224 /nfs/dbraw/zinc/86/02/24/712860224.db2.gz OHAWROCRQVINDD-WDEREUQCSA-N -1 1 317.386 1.463 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@H]1C[C@H]1C1CCCCC1 ZINC000908826900 712862815 /nfs/dbraw/zinc/86/28/15/712862815.db2.gz ZOUWCJVRFPLZSU-KKUMJFAQSA-N -1 1 308.422 1.868 20 0 DDADMM CN(CC(=O)N[C@@](C)(C(=O)[O-])c1ccccc1)[C@H]1CCSC1 ZINC000908933451 712890671 /nfs/dbraw/zinc/89/06/71/712890671.db2.gz PIVSQQSZBCBIDK-XJKSGUPXSA-N -1 1 322.430 1.540 20 0 DDADMM CCCCC[C@H]([N-]S(=O)(=O)CC1(F)CCC1)C(=O)OC ZINC000914230019 713363015 /nfs/dbraw/zinc/36/30/15/713363015.db2.gz BZGMXFUKEXVSNN-NSHDSACASA-N -1 1 309.403 1.920 20 0 DDADMM O=C(COCC1CC1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000915540094 713422408 /nfs/dbraw/zinc/42/24/08/713422408.db2.gz XFYFYWWLPNYONS-UHFFFAOYSA-N -1 1 304.298 1.968 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]C[C@H]2C(C)(C)C2(F)F)s1 ZINC000917656546 713508733 /nfs/dbraw/zinc/50/87/33/713508733.db2.gz YYFTUROXCJASRM-LURJTMIESA-N -1 1 312.363 1.721 20 0 DDADMM Cc1cnc(SC2CCN(S(C)(=O)=O)CC2)[n-]c1=O ZINC000917778777 713513535 /nfs/dbraw/zinc/51/35/35/713513535.db2.gz UOEALGUSKOTPFK-UHFFFAOYSA-N -1 1 303.409 1.007 20 0 DDADMM C[C@H](CN1CCN(C(=O)N(C)C)CC1)C(=O)c1ccc([O-])cc1 ZINC000929116689 713549949 /nfs/dbraw/zinc/54/99/49/713549949.db2.gz VSXLXVLRUMOXLR-CYBMUJFWSA-N -1 1 319.405 1.510 20 0 DDADMM CCN1CCC[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1=O ZINC000921491240 713786592 /nfs/dbraw/zinc/78/65/92/713786592.db2.gz FFAKNMMKDGTAHP-GFCCVEGCSA-N -1 1 322.386 1.722 20 0 DDADMM O=C([N-]CC1CCN(Cc2nncs2)CC1)C(F)(F)F ZINC000930308165 713799034 /nfs/dbraw/zinc/79/90/34/713799034.db2.gz FGBOWXHHSAXAAG-UHFFFAOYSA-N -1 1 308.329 1.429 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@]12CCC[C@H]1OCC2 ZINC000921584993 713813285 /nfs/dbraw/zinc/81/32/85/713813285.db2.gz LLTWDYVFGDVZJK-VXGBXAGGSA-N -1 1 324.468 1.288 20 0 DDADMM O=S(=O)([N-]C[C@]12CCC[C@H]1OCC2)c1ccc(F)nc1F ZINC000921584082 713813561 /nfs/dbraw/zinc/81/35/61/713813561.db2.gz BJKXNILRMPWRTM-ZWNOBZJWSA-N -1 1 318.345 1.597 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@]23C[C@H]2CCC3)o1 ZINC000921922577 713909221 /nfs/dbraw/zinc/90/92/21/713909221.db2.gz DATRSMBLXMFQTJ-YGRLFVJLSA-N -1 1 313.375 1.925 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)NCCc1c(F)cc([O-])cc1F ZINC000921966450 713922340 /nfs/dbraw/zinc/92/23/40/713922340.db2.gz JGZSGXAIXZBDSQ-JTQLQIEISA-N -1 1 321.345 1.311 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1cccc(F)c1F)C(C)C ZINC000921975645 713925823 /nfs/dbraw/zinc/92/58/23/713925823.db2.gz SKUAACVFPQERGV-GFCCVEGCSA-N -1 1 321.345 1.582 20 0 DDADMM COC(=O)C12CC(C1)CN2C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000930967274 713970447 /nfs/dbraw/zinc/97/04/47/713970447.db2.gz HACTZCRXBMWZCG-ZBFVHZJFSA-N -1 1 303.358 1.848 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H]1CCn2ccnc21 ZINC000922657496 714112560 /nfs/dbraw/zinc/11/25/60/714112560.db2.gz RIYSCNULFLNIBU-CYBMUJFWSA-N -1 1 322.315 1.854 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1COC(=O)c1cn[n-]n1 ZINC000922730793 714130825 /nfs/dbraw/zinc/13/08/25/714130825.db2.gz IMNDKJVTERYQQS-ZJUUUORDSA-N -1 1 310.354 1.465 20 0 DDADMM CC[C@]1(O)CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932047829 714245820 /nfs/dbraw/zinc/24/58/20/714245820.db2.gz DVSWITAXGOITMP-INIZCTEOSA-N -1 1 316.361 1.957 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932060764 714249427 /nfs/dbraw/zinc/24/94/27/714249427.db2.gz KVSIUTUMDILBFM-AULYBMBSSA-N -1 1 302.334 1.877 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@@H]3C[C@H](C(=O)[O-])[C@H]4C[C@H]43)c2C1 ZINC000923194759 714268187 /nfs/dbraw/zinc/26/81/87/714268187.db2.gz BTOMMBYRHXVIHJ-CDHFTJPESA-N -1 1 303.362 1.374 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC[C@@H]2/C=C/c2ccccc2)C1=O ZINC000923272380 714292598 /nfs/dbraw/zinc/29/25/98/714292598.db2.gz HSCQUZJNKMHYJD-USQNJUIBSA-N -1 1 314.385 1.850 20 0 DDADMM COC(=O)/C(C)=C\CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934271596 714782832 /nfs/dbraw/zinc/78/28/32/714782832.db2.gz CLPSDXKNWKQXNM-SDKXAQGSSA-N -1 1 307.350 1.447 20 0 DDADMM CC(C)(F)C(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956343855 715412461 /nfs/dbraw/zinc/41/24/61/715412461.db2.gz PUAOTWURFMIVPP-UHFFFAOYSA-N -1 1 323.368 1.646 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937861190 715617494 /nfs/dbraw/zinc/61/74/94/715617494.db2.gz PSBMMNCNPDJBPT-QWRGUYRKSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956850470 715637235 /nfs/dbraw/zinc/63/72/35/715637235.db2.gz NHIBUIPPXLCVEV-WBMJQRKESA-N -1 1 323.368 1.502 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC000955656944 715895079 /nfs/dbraw/zinc/89/50/79/715895079.db2.gz ZBBWNUPSECAVHF-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C(C)(C)C)C1 ZINC000959279132 716924011 /nfs/dbraw/zinc/92/40/11/716924011.db2.gz NMYHQAMMWHNQTC-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C/C=C\Cl)C[C@@H]2C)c1[O-] ZINC000941965259 717410904 /nfs/dbraw/zinc/41/09/04/717410904.db2.gz XSSIVRDZYKNTQU-CNDXBVBGSA-N -1 1 312.801 1.616 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000964768722 717417342 /nfs/dbraw/zinc/41/73/42/717417342.db2.gz VQSKSXFQSNNIQI-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2[C@@H]1CCCN2C(=O)C1CC1 ZINC000962553572 717509306 /nfs/dbraw/zinc/50/93/06/717509306.db2.gz SUAHSGBTDQVLCD-QWHCGFSZSA-N -1 1 315.373 1.403 20 0 DDADMM C[C@@H]1CCN(C/C=C\Cl)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000965940912 717826842 /nfs/dbraw/zinc/82/68/42/717826842.db2.gz MRQOOVVUBPJEOR-BCIKPAMSSA-N -1 1 309.797 1.980 20 0 DDADMM O=C(N[C@@H]1CC12CCN(C(=O)C1CC1)CC2)c1ncccc1[O-] ZINC000963682201 717877634 /nfs/dbraw/zinc/87/76/34/717877634.db2.gz KCQKXSMKONXLIS-CYBMUJFWSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000943978572 718236253 /nfs/dbraw/zinc/23/62/53/718236253.db2.gz CXANNHQNVNFESR-IJLUTSLNSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000967010707 718742620 /nfs/dbraw/zinc/74/26/20/718742620.db2.gz MMBUPORJYWDAKZ-ZDUSSCGKSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H](N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ncccn1 ZINC000968485962 719615808 /nfs/dbraw/zinc/61/58/08/719615808.db2.gz KGSDPIKGFMVVKW-NWDGAFQWSA-N -1 1 313.361 1.143 20 0 DDADMM O=C(CC1CC1)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968883476 719842238 /nfs/dbraw/zinc/84/22/38/719842238.db2.gz RRUSORZEZAPRPQ-BETUJISGSA-N -1 1 315.373 1.403 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ccccc1)c1ncccc1[O-] ZINC000949531539 720143178 /nfs/dbraw/zinc/14/31/78/720143178.db2.gz RLIDYOHJOSCQMC-ZDUSSCGKSA-N -1 1 311.341 1.432 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000949620145 720215204 /nfs/dbraw/zinc/21/52/04/720215204.db2.gz MDEVTHKPQXDBLC-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CC12CC2 ZINC000950543117 720676814 /nfs/dbraw/zinc/67/68/14/720676814.db2.gz RDIUFJAWABMXJZ-NWDGAFQWSA-N -1 1 315.373 1.308 20 0 DDADMM CC(C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000952660772 721493141 /nfs/dbraw/zinc/49/31/41/721493141.db2.gz CCBVVPWVNJWUGL-BETUJISGSA-N -1 1 317.389 1.649 20 0 DDADMM CC[C@@]1(C)C[C@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954125609 721724208 /nfs/dbraw/zinc/72/42/08/721724208.db2.gz VGEROWISKAJCDF-SJCJKPOMSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1NS(=O)(=O)c1cncc(N)c1 ZINC001211620813 735411931 /nfs/dbraw/zinc/41/19/31/735411931.db2.gz IBOMCIURKIZFDJ-UHFFFAOYSA-N -1 1 307.331 1.471 20 0 DDADMM Cc1n[nH]c(C(=O)NCCN[C@@H](C)c2ccc(F)cc2F)c1[O-] ZINC001125749416 735459091 /nfs/dbraw/zinc/45/90/91/735459091.db2.gz LTCNRDOOBQRGRN-QMMMGPOBSA-N -1 1 324.331 1.783 20 0 DDADMM CC(C)(C)OCC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692832295 738408004 /nfs/dbraw/zinc/40/80/04/738408004.db2.gz XRRJLKPJNSHYQK-UHFFFAOYSA-N -1 1 310.778 1.968 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C1CC1 ZINC001009911632 738575628 /nfs/dbraw/zinc/57/56/28/738575628.db2.gz XTMARZHCIKJYSC-QWRGUYRKSA-N -1 1 303.362 1.164 20 0 DDADMM CCC(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001020636297 732581786 /nfs/dbraw/zinc/58/17/86/732581786.db2.gz JVZFAUALLDTTBQ-NEPJUHHUSA-N -1 1 305.378 1.412 20 0 DDADMM C[C@H](c1csnn1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010730581 733189618 /nfs/dbraw/zinc/18/96/18/733189618.db2.gz GNLKYAKVOMHIBP-ZJUUUORDSA-N -1 1 319.390 1.204 20 0 DDADMM OCc1cncc([N-]c2nonc2-c2cn3ccncc3n2)c1 ZINC001211101250 733408483 /nfs/dbraw/zinc/40/84/83/733408483.db2.gz GCVUWHOZZVWDBT-UHFFFAOYSA-N -1 1 309.289 1.410 20 0 DDADMM C[C@@H](CCNC(=O)c1ccco1)NC(=O)c1ncccc1[O-] ZINC001075416821 733599640 /nfs/dbraw/zinc/59/96/40/733599640.db2.gz LAASWHAMBXXSLL-JTQLQIEISA-N -1 1 303.318 1.319 20 0 DDADMM O=S(=O)([N-]Cc1nc(-c2ccncc2)no1)c1ccns1 ZINC001211557672 734840841 /nfs/dbraw/zinc/84/08/41/734840841.db2.gz ABEBKRJUEDFZMW-UHFFFAOYSA-N -1 1 323.359 1.067 20 0 DDADMM O=C(C1CC2(CC2)C1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025081325 736284032 /nfs/dbraw/zinc/28/40/32/736284032.db2.gz RGYPEIVVQSDBHB-GFCCVEGCSA-N -1 1 319.409 1.171 20 0 DDADMM CCC(C)(C)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104608214 736451181 /nfs/dbraw/zinc/45/11/81/736451181.db2.gz CUIWVOWSUBNTKG-VXGBXAGGSA-N -1 1 323.441 1.521 20 0 DDADMM C[C@@H]1CCC[C@@]1(O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692887845 738943753 /nfs/dbraw/zinc/94/37/53/738943753.db2.gz GGNCBXBRZCPDID-PRHODGIISA-N -1 1 322.789 1.704 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C(C)(C)O ZINC000692888243 738958593 /nfs/dbraw/zinc/95/85/93/738958593.db2.gz JOOVVPVTMBZNOX-QMMMGPOBSA-N -1 1 310.778 1.702 20 0 DDADMM C[C@@H](C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn[nH]1)C2)C(C)(C)C ZINC000998157224 751552401 /nfs/dbraw/zinc/55/24/01/751552401.db2.gz WJHSAKGDQNAYBJ-NOHGZBONSA-N -1 1 319.409 1.349 20 0 DDADMM C/C=C(/C)C(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059515344 741088661 /nfs/dbraw/zinc/08/86/61/741088661.db2.gz XCQMAUIKIFYRRG-RYHWZFLVSA-N -1 1 317.389 1.722 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@@H]2CC=CCC2)C1 ZINC001029645510 741171075 /nfs/dbraw/zinc/17/10/75/741171075.db2.gz SGZNZOPSTBBWJW-QWHCGFSZSA-N -1 1 319.409 1.147 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001029767503 741279427 /nfs/dbraw/zinc/27/94/27/741279427.db2.gz IUCUUCDDNPLFKP-NWDGAFQWSA-N -1 1 321.425 1.227 20 0 DDADMM CC[C@@H](F)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088360947 741436758 /nfs/dbraw/zinc/43/67/58/741436758.db2.gz LYPBIOHNWNASRN-GHMZBOCLSA-N -1 1 313.377 1.119 20 0 DDADMM CC[C@H](C)C(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001029937499 741610334 /nfs/dbraw/zinc/61/03/34/741610334.db2.gz PFRKBLGHAMFXSY-NSHDSACASA-N -1 1 309.414 1.227 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC1(F)F ZINC001076244819 742624432 /nfs/dbraw/zinc/62/44/32/742624432.db2.gz BBOYPIBOFSCCLC-IUCAKERBSA-N -1 1 313.304 1.067 20 0 DDADMM CC(C)C(=O)N[C@]12CCC[C@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC001007075106 742690681 /nfs/dbraw/zinc/69/06/81/742690681.db2.gz XMLIIZNPNVAQRM-SJCJKPOMSA-N -1 1 317.389 1.554 20 0 DDADMM CN1CCO[C@H](C(=O)Nc2cccc(C(F)(F)F)c2[O-])C1 ZINC001180761057 742921390 /nfs/dbraw/zinc/92/13/90/742921390.db2.gz UITWJXSSVMGHBQ-JTQLQIEISA-N -1 1 304.268 1.680 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001060134813 742943022 /nfs/dbraw/zinc/94/30/22/742943022.db2.gz ZJUGEFHBKUWNLB-AVGNSLFASA-N -1 1 317.389 1.412 20 0 DDADMM COC(=O)CC(=O)Nc1cc(OC)cc(Br)c1[O-] ZINC001181386184 743176757 /nfs/dbraw/zinc/17/67/57/743176757.db2.gz USZUTKAGFJWXAY-UHFFFAOYSA-N -1 1 318.123 1.665 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(N[C@H]3Cc4cccc(Cl)c4C3)c2[nH]1 ZINC001168699645 743489107 /nfs/dbraw/zinc/48/91/07/743489107.db2.gz NIGPDOKMOVDGDO-ZETCQYMHSA-N -1 1 317.736 1.948 20 0 DDADMM CN(C)c1nc(NC(=O)[C@H]2C[C@@H]2C(F)(F)F)c(N=O)c(=O)[n-]1 ZINC001182312674 743556563 /nfs/dbraw/zinc/55/65/63/743556563.db2.gz GWLXTCRMUJZJLA-WHFBIAKZSA-N -1 1 319.243 1.783 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)C(=O)c1ccc(Cl)cc1 ZINC001182898579 743748865 /nfs/dbraw/zinc/74/88/65/743748865.db2.gz QXFJIJBTDUWIBX-UHFFFAOYSA-N -1 1 317.692 1.533 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1cn(-c2cccnc2)nn1 ZINC001183217367 743798395 /nfs/dbraw/zinc/79/83/95/743798395.db2.gz AXGRYCBAFRXZIE-UHFFFAOYSA-N -1 1 316.708 1.669 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(CO)cc2)n1 ZINC001183686485 743884179 /nfs/dbraw/zinc/88/41/79/743884179.db2.gz KORJMPTXFCUNOS-UHFFFAOYSA-N -1 1 303.318 1.260 20 0 DDADMM O=C(NC1CN(Cc2ccc(CF)cc2)C1)c1ncccc1[O-] ZINC001030239377 743975677 /nfs/dbraw/zinc/97/56/77/743975677.db2.gz HFSFKEHEXAOFBH-UHFFFAOYSA-N -1 1 315.348 1.871 20 0 DDADMM O=C(NC1CN(Cc2nc3ccccc3o2)C1)c1ncccc1[O-] ZINC001030239415 743975744 /nfs/dbraw/zinc/97/57/44/743975744.db2.gz HTVJTSROGBTGNM-UHFFFAOYSA-N -1 1 324.340 1.543 20 0 DDADMM CO[C@H](CN1CC(NC(=O)c2ncccc2[O-])C1)C1CCCC1 ZINC001030242818 743978537 /nfs/dbraw/zinc/97/85/37/743978537.db2.gz XJGNKCVBWRSTAP-OAHLLOKOSA-N -1 1 319.405 1.406 20 0 DDADMM Cc1ccccc1CC1(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)CC1 ZINC001185182040 744173129 /nfs/dbraw/zinc/17/31/29/744173129.db2.gz IQBCFKVDACCMFQ-UHFFFAOYSA-N -1 1 314.345 1.740 20 0 DDADMM Cc1ccc(CNC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001185418762 744227412 /nfs/dbraw/zinc/22/74/12/744227412.db2.gz SMHNWBXFIPBWDU-UHFFFAOYSA-N -1 1 314.305 1.346 20 0 DDADMM O=S(=O)([N-]c1ccnc(CO)c1)c1ccc2n[nH]cc2c1 ZINC001185955094 744313099 /nfs/dbraw/zinc/31/30/99/744313099.db2.gz KMAYKHOMRASTIM-UHFFFAOYSA-N -1 1 304.331 1.251 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ccc([O-])c(F)c1)c1ccccn1 ZINC001186233139 744360893 /nfs/dbraw/zinc/36/08/93/744360893.db2.gz DIOYEXKTLBHWLZ-CYBMUJFWSA-N -1 1 318.304 1.961 20 0 DDADMM CCOC(=O)[C@H](CC(C)(C)F)[N-]S(=O)(=O)c1ccco1 ZINC001186876267 744457474 /nfs/dbraw/zinc/45/74/74/744457474.db2.gz UFMYNZJJJKPVBU-VIFPVBQESA-N -1 1 307.343 1.628 20 0 DDADMM C[C@H](C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000992048909 744560848 /nfs/dbraw/zinc/56/08/48/744560848.db2.gz MMOBJKVDYXHWRH-ZBOXLXRLSA-N -1 1 317.389 1.458 20 0 DDADMM C[C@H](CCCC(C)(C)O)NC(=O)c1n[n-]nc1C(F)(F)F ZINC001187770320 744599027 /nfs/dbraw/zinc/59/90/27/744599027.db2.gz LFNWJAIKKZNFCX-SSDOTTSWSA-N -1 1 308.304 1.883 20 0 DDADMM C[S@@](=O)c1ccccc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001187866532 744622854 /nfs/dbraw/zinc/62/28/54/744622854.db2.gz SCSWSWMVARDAJS-JOCHJYFZSA-N -1 1 317.330 1.048 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)Cc1ccccc1)c1nccs1 ZINC001187913508 744629240 /nfs/dbraw/zinc/62/92/40/744629240.db2.gz YGVAXXVJLISULA-LBPRGKRZSA-N -1 1 312.416 1.273 20 0 DDADMM CCCc1onc(C)c1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001187932455 744636722 /nfs/dbraw/zinc/63/67/22/744636722.db2.gz KTKOIUABJHHHBV-UHFFFAOYSA-N -1 1 307.266 1.787 20 0 DDADMM COc1cscc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001188297356 744680682 /nfs/dbraw/zinc/68/06/82/744680682.db2.gz XUDQMODIRKTYQG-UHFFFAOYSA-N -1 1 323.334 1.969 20 0 DDADMM COc1ccc2c(c1)OC[C@@H](CNC(=O)c1ccncc1[O-])C2 ZINC001188644603 744735125 /nfs/dbraw/zinc/73/51/25/744735125.db2.gz KJYDDJMYNMBZCV-LLVKDONJSA-N -1 1 314.341 1.777 20 0 DDADMM COC(=O)c1c(F)ccc(F)c1[N-]S(=O)(=O)CC1CC1 ZINC001188969496 744802112 /nfs/dbraw/zinc/80/21/12/744802112.db2.gz RBOFCXPSRNSAEY-UHFFFAOYSA-N -1 1 305.302 1.903 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc(F)nc(F)c1F ZINC001189166286 744833250 /nfs/dbraw/zinc/83/32/50/744833250.db2.gz ICKNZZRYQHATFF-UHFFFAOYSA-N -1 1 315.167 1.350 20 0 DDADMM CC(=O)c1cccc(S(=O)(=O)[N-]c2ccnc(CO)c2)c1 ZINC001189199784 744842104 /nfs/dbraw/zinc/84/21/04/744842104.db2.gz BKRXRTYEEPFVNE-UHFFFAOYSA-N -1 1 306.343 1.577 20 0 DDADMM CCCn1ccc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)n1 ZINC001189813677 744990267 /nfs/dbraw/zinc/99/02/67/744990267.db2.gz HGVSOZLYGLSTRK-UHFFFAOYSA-N -1 1 319.325 1.505 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCCF)c1ccccc1OC ZINC001189947825 745061710 /nfs/dbraw/zinc/06/17/10/745061710.db2.gz FVBPKERQQWCJBF-LBPRGKRZSA-N -1 1 319.354 1.188 20 0 DDADMM COc1ccc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c(Cl)n1 ZINC001190101424 745099827 /nfs/dbraw/zinc/09/98/27/745099827.db2.gz KXRYXPVWGPWCHG-UHFFFAOYSA-N -1 1 320.696 1.368 20 0 DDADMM CSc1ncc(C(=O)N2CCOCC(F)(F)C2)c(=O)[n-]1 ZINC001191420562 745506662 /nfs/dbraw/zinc/50/66/62/745506662.db2.gz OADYHXDOLXDQEM-UHFFFAOYSA-N -1 1 305.306 1.012 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2cnc(SC)[n-]c2=O)cn1 ZINC001191420308 745506777 /nfs/dbraw/zinc/50/67/77/745506777.db2.gz MBNBWKRDHQDDEP-UHFFFAOYSA-N -1 1 320.330 1.338 20 0 DDADMM CSc1ncc(C(=O)NCc2c(C)cc(C)[nH]c2=O)c(=O)[n-]1 ZINC001191438242 745513014 /nfs/dbraw/zinc/51/30/14/745513014.db2.gz VRKSKDPPCHUQEL-UHFFFAOYSA-N -1 1 320.374 1.552 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2cc(C)cc(O)c2)cc1 ZINC001191619891 745555737 /nfs/dbraw/zinc/55/57/37/745555737.db2.gz ZPTDVXBFPZKBFU-UHFFFAOYSA-N -1 1 320.370 1.861 20 0 DDADMM C[C@@H](CCNc1ncc(F)cn1)NC(=O)c1ncccc1[O-] ZINC001106296620 745562131 /nfs/dbraw/zinc/56/21/31/745562131.db2.gz XGXOKZOLKPMLJL-VIFPVBQESA-N -1 1 305.313 1.337 20 0 DDADMM Cc1nsc(NCC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001106296337 745563446 /nfs/dbraw/zinc/56/34/46/745563446.db2.gz OKDPUBYOSFXYLY-MRVPVSSYSA-N -1 1 307.379 1.568 20 0 DDADMM C[C@@H](CCNc1ncc(Cl)cn1)NC(=O)c1ncccc1[O-] ZINC001106296504 745564024 /nfs/dbraw/zinc/56/40/24/745564024.db2.gz UJRWJCXEIBEJRI-VIFPVBQESA-N -1 1 321.768 1.851 20 0 DDADMM CC(C)CN1CC[C@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192055496 745686128 /nfs/dbraw/zinc/68/61/28/745686128.db2.gz YLZZEDLZGQNLAX-QMMMGPOBSA-N -1 1 323.673 1.964 20 0 DDADMM COc1cncc(C[N-]S(=O)(=O)C(Cl)(Cl)Cl)c1 ZINC001192055043 745686396 /nfs/dbraw/zinc/68/63/96/745686396.db2.gz KJRPIAJYXAOFHM-UHFFFAOYSA-N -1 1 319.597 1.837 20 0 DDADMM Nc1ccc(OCCO)c(NC(=O)c2cc([O-])cc(F)c2F)c1 ZINC001192665599 745868548 /nfs/dbraw/zinc/86/85/48/745868548.db2.gz PDUMTUYDDFXFBQ-UHFFFAOYSA-N -1 1 324.283 1.876 20 0 DDADMM CN(C1CN(C(=O)c2ccc([O-])c(F)c2F)C1)[C@H]1CCOC1 ZINC001192841175 745913305 /nfs/dbraw/zinc/91/33/05/745913305.db2.gz WJVQUEGXFNITMI-VIFPVBQESA-N -1 1 312.316 1.216 20 0 DDADMM O=C1Cc2cc(S(=O)(=O)[N-]c3ccc(CO)cc3)ccc2N1 ZINC000316097856 745966767 /nfs/dbraw/zinc/96/67/67/745966767.db2.gz MNGJPNCRKQTNIF-UHFFFAOYSA-N -1 1 318.354 1.474 20 0 DDADMM CC(=O)C(=O)Oc1ccccc1C(=O)Nc1ncccc1[O-] ZINC001193381749 746081744 /nfs/dbraw/zinc/08/17/44/746081744.db2.gz LMFISSAWPZREGO-UHFFFAOYSA-N -1 1 300.270 1.534 20 0 DDADMM CC(C)OC(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001193522160 746132408 /nfs/dbraw/zinc/13/24/08/746132408.db2.gz IAVYASPXGRTXTB-UHFFFAOYSA-N -1 1 303.103 1.225 20 0 DDADMM NC(=O)Cc1cccc([N-]S(=O)(=O)c2ccccc2N)c1 ZINC001193930079 746225029 /nfs/dbraw/zinc/22/50/29/746225029.db2.gz TZGHUNVLJIZGGF-UHFFFAOYSA-N -1 1 305.359 1.097 20 0 DDADMM Cc1[nH]c(=O)c(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1Cl ZINC001194712547 746447402 /nfs/dbraw/zinc/44/74/02/746447402.db2.gz WGHYPRZGOXCRST-UHFFFAOYSA-N -1 1 320.696 1.373 20 0 DDADMM COc1ccc(CO)cc1[N-]S(=O)(=O)C1CCC(=O)CC1 ZINC001194803869 746464223 /nfs/dbraw/zinc/46/42/23/746464223.db2.gz IYIBJWULTVDQTO-UHFFFAOYSA-N -1 1 313.375 1.441 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2nc3n[nH]cc3c(Cl)n2)n1 ZINC001195321570 746564890 /nfs/dbraw/zinc/56/48/90/746564890.db2.gz FWYXTQJQYGLESL-UHFFFAOYSA-N -1 1 304.697 1.668 20 0 DDADMM COc1ccc(CO)c([N-]S(=O)(=O)c2ccc(O)cc2)c1 ZINC001195802849 746709815 /nfs/dbraw/zinc/70/98/15/746709815.db2.gz ONHGRLHCZOKZQL-UHFFFAOYSA-N -1 1 309.343 1.694 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-][C@@H](c1ncccc1F)C1CC1 ZINC001196004847 746752831 /nfs/dbraw/zinc/75/28/31/746752831.db2.gz QVEZJPAWYUWTNF-GFCCVEGCSA-N -1 1 316.354 1.154 20 0 DDADMM O=C(NCc1n[nH]c2ccccc12)c1c[nH]c(=S)[n-]c1=O ZINC001196023197 746759833 /nfs/dbraw/zinc/75/98/33/746759833.db2.gz FGCYZQOSXAQZLX-UHFFFAOYSA-N -1 1 301.331 1.277 20 0 DDADMM O=S(=O)(Cc1cccnc1)[N-]C1(c2ccccc2F)COC1 ZINC001197476031 747169496 /nfs/dbraw/zinc/16/94/96/747169496.db2.gz IMRJONGUDNIJIZ-UHFFFAOYSA-N -1 1 322.361 1.566 20 0 DDADMM COC(=O)c1cc(F)cc([N-]S(=O)(=O)Cc2cccnc2)c1 ZINC001197457951 747179755 /nfs/dbraw/zinc/17/97/55/747179755.db2.gz MYCJAWBBIZEJCO-UHFFFAOYSA-N -1 1 324.333 1.949 20 0 DDADMM C=CS(=O)(=O)[N-]c1c(C(=O)OCC)cnn1-c1ccccn1 ZINC001198569792 747530320 /nfs/dbraw/zinc/53/03/20/747530320.db2.gz LYQLCDCNKHQWMM-UHFFFAOYSA-N -1 1 322.346 1.329 20 0 DDADMM Cc1cc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)nc2ccccc12 ZINC001198583495 747552616 /nfs/dbraw/zinc/55/26/16/747552616.db2.gz YDDUVPNZZVEFQI-UHFFFAOYSA-N -1 1 311.301 1.579 20 0 DDADMM Cc1cc2cc[nH]c2c(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001198715030 747594829 /nfs/dbraw/zinc/59/48/29/747594829.db2.gz DXGMRMLOWFDZLS-UHFFFAOYSA-N -1 1 324.300 1.758 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=S)Nc1cc(Cl)ncc1[O-] ZINC001199812436 748007804 /nfs/dbraw/zinc/00/78/04/748007804.db2.gz UKGAHIKRHGCGBT-ZCFIWIBFSA-N -1 1 303.771 1.679 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1CC1 ZINC001004328687 748312015 /nfs/dbraw/zinc/31/20/15/748312015.db2.gz WSKMCMUCIHUDED-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]CC(=O)NC1CCC1 ZINC001201768335 748599450 /nfs/dbraw/zinc/59/94/50/748599450.db2.gz YAUDDEDJDZYPSP-UHFFFAOYSA-N -1 1 323.827 1.052 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]c1cccn(C)c1=O ZINC001201769106 748600103 /nfs/dbraw/zinc/60/01/03/748600103.db2.gz QIQCYVCZHIJMEI-UHFFFAOYSA-N -1 1 319.795 1.604 20 0 DDADMM Cc1ccoc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995453470 748769669 /nfs/dbraw/zinc/76/96/69/748769669.db2.gz FDWHEHWIMVKHBS-SNVBAGLBSA-N -1 1 319.365 1.052 20 0 DDADMM O=C(CCC1CC1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202671795 749492873 /nfs/dbraw/zinc/49/28/73/749492873.db2.gz BIVNLIYTQPAYMM-NEPJUHHUSA-N -1 1 319.409 1.218 20 0 DDADMM Cc1cc(N(C)CCCNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001095540539 750025905 /nfs/dbraw/zinc/02/59/05/750025905.db2.gz ZQDPUFXXYVKQKC-UHFFFAOYSA-N -1 1 315.377 1.450 20 0 DDADMM CN(C)[C@@H](CCNC(=O)C(=O)c1ccc([O-])cc1)C(F)(F)F ZINC000831647779 750416186 /nfs/dbraw/zinc/41/61/86/750416186.db2.gz ODIUETDICDEKJG-NSHDSACASA-N -1 1 318.295 1.574 20 0 DDADMM Cc1ccnc(NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001060856592 751020035 /nfs/dbraw/zinc/02/00/35/751020035.db2.gz MHXXAHGGLMTVQY-GFCCVEGCSA-N -1 1 313.361 1.460 20 0 DDADMM CCCC(=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035148827 751233080 /nfs/dbraw/zinc/23/30/80/751233080.db2.gz RIUYIRKWJBALPR-UHFFFAOYSA-N -1 1 307.398 1.125 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036502742 752491618 /nfs/dbraw/zinc/49/16/18/752491618.db2.gz KTIBVDZQQBPDTQ-NEPJUHHUSA-N -1 1 307.398 1.193 20 0 DDADMM C[C@H]1CCCN(C(=O)CC2CCC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036528963 752523419 /nfs/dbraw/zinc/52/34/19/752523419.db2.gz BSAUTWUKLGMNIL-AAEUAGOBSA-N -1 1 321.425 1.417 20 0 DDADMM O=C([C@H]1CCCC12CC2)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999482147 752948311 /nfs/dbraw/zinc/94/83/11/752948311.db2.gz KQVCPAYZNBCIMJ-NWDGAFQWSA-N -1 1 319.409 1.171 20 0 DDADMM CC[C@H](C)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005950365 753409837 /nfs/dbraw/zinc/40/98/37/753409837.db2.gz JNJUOZGJUBCABQ-WDEREUQCSA-N -1 1 305.378 1.410 20 0 DDADMM CC[C@H](F)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005955510 753416743 /nfs/dbraw/zinc/41/67/43/753416743.db2.gz GRNJXOMHQKXFGK-ONGXEEELSA-N -1 1 309.341 1.112 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)C2CC3(CC3)C2)CC1 ZINC001011036012 754319350 /nfs/dbraw/zinc/31/93/50/754319350.db2.gz KHQARUFCBRGOBF-UHFFFAOYSA-N -1 1 315.373 1.262 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CC12CCC2 ZINC001001915026 754575831 /nfs/dbraw/zinc/57/58/31/754575831.db2.gz RWHDZGZLYZDVNQ-GFCCVEGCSA-N -1 1 315.373 1.166 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)C1CCC1)C(=O)c1ncccc1[O-] ZINC001002011966 754643001 /nfs/dbraw/zinc/64/30/01/754643001.db2.gz SRUZGBFAAQIYPS-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C=C1CCC1 ZINC001011640573 754649487 /nfs/dbraw/zinc/64/94/87/754649487.db2.gz KPTNTYDCOBQLDH-DGCLKSJQSA-N -1 1 315.373 1.617 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064381840 754658182 /nfs/dbraw/zinc/65/81/82/754658182.db2.gz OIALFCRLXFXZIH-NWDGAFQWSA-N -1 1 317.389 1.602 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001014892202 756003804 /nfs/dbraw/zinc/00/38/04/756003804.db2.gz GSFARANNWNFJQK-NWDGAFQWSA-N -1 1 305.378 1.553 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C=CCC2)c(Br)n1C ZINC000698324487 756625397 /nfs/dbraw/zinc/62/53/97/756625397.db2.gz APDSAMBOMJOMRO-MRVPVSSYSA-N -1 1 320.212 1.488 20 0 DDADMM CCOC(=O)N1CCC[C@@H](Nc2[n-]c(=O)nc3nc[nH]c32)CC1 ZINC001169659639 762582608 /nfs/dbraw/zinc/58/26/08/762582608.db2.gz UWKYNGORBQTPDR-SECBINFHSA-N -1 1 320.353 1.481 20 0 DDADMM CCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(=O)n(CC(C)C)[n-]1 ZINC001017714237 758176639 /nfs/dbraw/zinc/17/66/39/758176639.db2.gz OZIBPHTZXCSQIT-GASCZTMLSA-N -1 1 320.437 1.070 20 0 DDADMM O=C1CCC(=O)N1N=c1cccc(NCc2ncccc2[O-])[nH]1 ZINC001169730645 762637546 /nfs/dbraw/zinc/63/75/46/762637546.db2.gz GFBXQCWEZCAEAF-UHFFFAOYSA-N -1 1 313.317 1.270 20 0 DDADMM Cc1cccc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001054356113 759539893 /nfs/dbraw/zinc/53/98/93/759539893.db2.gz BNTLTEDEOPLSQG-DGCLKSJQSA-N -1 1 315.377 1.069 20 0 DDADMM O=C(C1CCC2(CC2)CC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019157566 759634202 /nfs/dbraw/zinc/63/42/02/759634202.db2.gz KGYGIJSVCAGHJB-LBPRGKRZSA-N -1 1 319.409 1.171 20 0 DDADMM Cc1ccc(N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001046796387 767932269 /nfs/dbraw/zinc/93/22/69/767932269.db2.gz GEJXCXBKTFDQEA-HAQNSBGRSA-N -1 1 313.361 1.506 20 0 DDADMM O=C(NCC1CN(C(=O)[C@H]2CC[C@H](F)C2)C1)c1ncccc1[O-] ZINC001001541617 762946157 /nfs/dbraw/zinc/94/61/57/762946157.db2.gz MEZLENNBKANLJZ-RYUDHWBXSA-N -1 1 321.352 1.114 20 0 DDADMM CN(CCCNc1cccc(F)n1)C(=O)c1ncccc1[O-] ZINC001109436745 763705975 /nfs/dbraw/zinc/70/59/75/763705975.db2.gz FVCJKHSRXCCEFK-UHFFFAOYSA-N -1 1 304.325 1.896 20 0 DDADMM Cc1nsc(NC[C@@H](NC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001109822204 764106975 /nfs/dbraw/zinc/10/69/75/764106975.db2.gz YWYAKWMDXAMFOS-SNVBAGLBSA-N -1 1 319.390 1.568 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(CCC[C@H]2CCOC2)C1 ZINC001042645913 764308468 /nfs/dbraw/zinc/30/84/68/764308468.db2.gz GUUXZSGIWRQYEH-ZDUSSCGKSA-N -1 1 319.405 1.360 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CCCF)C1 ZINC001051346507 764766537 /nfs/dbraw/zinc/76/65/37/764766537.db2.gz DCEDILFFULAEMR-VXGBXAGGSA-N -1 1 323.368 1.504 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H](C)Nc1cccc(F)n1 ZINC001112982694 765098496 /nfs/dbraw/zinc/09/84/96/765098496.db2.gz AQCQFNLDURSORZ-NXEZZACHSA-N -1 1 304.325 1.940 20 0 DDADMM CCCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001052019840 765391280 /nfs/dbraw/zinc/39/12/80/765391280.db2.gz AICSFZDLNZQVOL-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)CCCF ZINC001052421852 765809258 /nfs/dbraw/zinc/80/92/58/765809258.db2.gz NSLFROCCIJVQKP-RYUDHWBXSA-N -1 1 323.368 1.361 20 0 DDADMM CCc1nsc(N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001113575101 765896185 /nfs/dbraw/zinc/89/61/85/765896185.db2.gz MVOSECVHGFXWLF-VIFPVBQESA-N -1 1 321.406 1.456 20 0 DDADMM COCc1ccc(Cl)nc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170213730 766172968 /nfs/dbraw/zinc/17/29/68/766172968.db2.gz QERJOPVWMNURAY-UHFFFAOYSA-N -1 1 322.712 1.654 20 0 DDADMM COC(OC)c1ccccc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170217733 766176271 /nfs/dbraw/zinc/17/62/71/766176271.db2.gz SXPLRIGLAGIVSG-UHFFFAOYSA-N -1 1 317.305 1.751 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cccc(-c4nc[nH]n4)c3)c2[nH]1 ZINC001170219510 766177472 /nfs/dbraw/zinc/17/74/72/766177472.db2.gz RNUGLKXKTAYDOV-UHFFFAOYSA-N -1 1 310.277 1.244 20 0 DDADMM Cc1cc(C)nc(N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001058344047 766436843 /nfs/dbraw/zinc/43/68/43/766436843.db2.gz PMRCQVMNTKIXGM-GFCCVEGCSA-N -1 1 313.361 1.203 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1Cc1ccon1 ZINC001071455387 769461188 /nfs/dbraw/zinc/46/11/88/769461188.db2.gz ZVCCRPYANLYVAT-NEPJUHHUSA-N -1 1 316.361 1.558 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1cc[nH]n1)Cc1ccccc1 ZINC001136613747 772043501 /nfs/dbraw/zinc/04/35/01/772043501.db2.gz COUOJJOPEIKUGL-UHFFFAOYSA-N -1 1 311.349 1.084 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(NCCc2ccccn2)cc1 ZINC001136627410 772049747 /nfs/dbraw/zinc/04/97/47/772049747.db2.gz IAYOFCYALXMPBY-UHFFFAOYSA-N -1 1 323.360 1.179 20 0 DDADMM CCCCNC(=O)C1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001136720201 772110359 /nfs/dbraw/zinc/11/03/59/772110359.db2.gz FTMKESNGGSOGSG-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM Cc1ccccc1Nc1scnc1C(=O)NCc1nn[n-]n1 ZINC001143974580 772365330 /nfs/dbraw/zinc/36/53/30/772365330.db2.gz TZQJIUCODJIZCN-UHFFFAOYSA-N -1 1 315.362 1.638 20 0 DDADMM O=c1[nH]c(=O)c2c([nH]1)CCN(Cc1c(F)cc([O-])cc1F)C2 ZINC001144559667 772564855 /nfs/dbraw/zinc/56/48/55/772564855.db2.gz PMRAGSFBYLNSBN-UHFFFAOYSA-N -1 1 309.272 1.430 20 0 DDADMM CNC(=O)c1cnc2n1CCN(Cc1cc(F)c([O-])cc1F)C2 ZINC001144650963 772587636 /nfs/dbraw/zinc/58/76/36/772587636.db2.gz GHAGRJUIWWKGRE-UHFFFAOYSA-N -1 1 322.315 1.242 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@H](F)CC1CCCCC1 ZINC001147949607 773309563 /nfs/dbraw/zinc/30/95/63/773309563.db2.gz FXNLMLHLQUBFJU-LLVKDONJSA-N -1 1 313.377 1.025 20 0 DDADMM O=C(Cn1cnnn1)Nc1cc(Cl)c([O-])c2ccccc12 ZINC001148253424 773411721 /nfs/dbraw/zinc/41/17/21/773411721.db2.gz SGKAGGOQKJRFLQ-UHFFFAOYSA-N -1 1 303.709 1.824 20 0 DDADMM CSCC[C@H](NC(=O)C=C(C)c1cnccn1)c1nn[n-]n1 ZINC001148261051 773414542 /nfs/dbraw/zinc/41/45/42/773414542.db2.gz OCHQKXFSVYQDIM-PCYYEKQGSA-N -1 1 319.394 1.004 20 0 DDADMM CSCC[C@H](NC(=O)c1cnn2ccccc12)c1nn[n-]n1 ZINC001148261073 773414629 /nfs/dbraw/zinc/41/46/29/773414629.db2.gz PFYRCNJBCYEEME-JTQLQIEISA-N -1 1 317.378 1.072 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CC[C@@](C)(O)C3)cc2)[n-]1 ZINC001148328933 773437664 /nfs/dbraw/zinc/43/76/64/773437664.db2.gz BNHMPKPJLLXLGD-MRXNPFEDSA-N -1 1 301.346 1.396 20 0 DDADMM Cc1cc(C(=O)NCCCC[P@](=O)([O-])O)cc(C)c1O ZINC001148561491 773524193 /nfs/dbraw/zinc/52/41/93/773524193.db2.gz DBBWCVGRSBLCBS-UHFFFAOYSA-N -1 1 301.279 1.697 20 0 DDADMM CCCN1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001074218862 773741499 /nfs/dbraw/zinc/74/14/99/773741499.db2.gz GLWOZQGSNYSBJM-TZMCWYRMSA-N -1 1 305.378 1.113 20 0 DDADMM CCC(=O)NCC[C@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001075219028 774430439 /nfs/dbraw/zinc/43/04/39/774430439.db2.gz VPRVTWQUZGGMHH-JTQLQIEISA-N -1 1 322.409 1.649 20 0 DDADMM Cc1nccc(N[C@H](C)CCNC(=O)c2ncccc2[O-])n1 ZINC001099104886 774834852 /nfs/dbraw/zinc/83/48/52/774834852.db2.gz KYCRQTXOOHOXEO-SNVBAGLBSA-N -1 1 301.350 1.506 20 0 DDADMM Cc1nsc(N(CCNC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001101323729 777069739 /nfs/dbraw/zinc/06/97/39/777069739.db2.gz ZGQVZCLUCHQIER-UHFFFAOYSA-N -1 1 319.390 1.346 20 0 DDADMM COc1cc(Nc2cc(=O)nc(N(C)C)[nH]2)ccc1C(=O)[O-] ZINC001174682868 777550317 /nfs/dbraw/zinc/55/03/17/777550317.db2.gz PBPFUZRGNNJZIV-UHFFFAOYSA-N -1 1 304.306 1.699 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1ccc(C)nc1Cl ZINC001176180091 778063962 /nfs/dbraw/zinc/06/39/62/778063962.db2.gz BNKZCPGUTKTLLM-UHFFFAOYSA-N -1 1 324.724 1.183 20 0 DDADMM Cc1ccc(N)cc1S(=O)(=O)[N-]c1ccc2c(c1)CC(=O)N2 ZINC001176428437 778140756 /nfs/dbraw/zinc/14/07/56/778140756.db2.gz IXMZDIFSKMKAEN-UHFFFAOYSA-N -1 1 317.370 1.873 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1nc2cnccc2s1 ZINC001176725742 778221705 /nfs/dbraw/zinc/22/17/05/778221705.db2.gz NMDHEZRSAFXBML-UHFFFAOYSA-N -1 1 301.335 1.014 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1cc(C)ncn1 ZINC001103069147 778616688 /nfs/dbraw/zinc/61/66/88/778616688.db2.gz OEZLOEDLKAQSLT-NSHDSACASA-N -1 1 301.350 1.506 20 0 DDADMM CCc1nsc(N[C@@H](CC)CNC(=O)c2ncccc2[O-])n1 ZINC001103069667 778618062 /nfs/dbraw/zinc/61/80/62/778618062.db2.gz ZZCAYXOFPJKANM-VIFPVBQESA-N -1 1 321.406 1.822 20 0 DDADMM CN(C)c1nc(NC(=O)Cc2ncccc2F)c(N=O)c(=O)[n-]1 ZINC001178477599 779066133 /nfs/dbraw/zinc/06/61/33/779066133.db2.gz ZISKLEQGPZZRIL-UHFFFAOYSA-N -1 1 320.284 1.361 20 0 DDADMM CC(C)CN(CC(C)(C)C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001116281300 780493327 /nfs/dbraw/zinc/49/33/27/780493327.db2.gz AHRSHPPRAIMSIR-UHFFFAOYSA-N -1 1 309.410 1.457 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@H](c3nc4ccccc4[nH]3)C2)nc1=O ZINC001116557317 780523307 /nfs/dbraw/zinc/52/33/07/780523307.db2.gz IKIWITORXYJQGT-LLVKDONJSA-N -1 1 312.377 1.364 20 0 DDADMM Cc1cc[nH]c(=O)c1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC001116683112 780543671 /nfs/dbraw/zinc/54/36/71/780543671.db2.gz FUTXGLBQAQIZLX-UHFFFAOYSA-N -1 1 314.297 1.209 20 0 DDADMM CCC[C@H](C)CC(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001408535103 836436211 /nfs/dbraw/zinc/43/62/11/836436211.db2.gz JXFLBVCGCICKEF-LBPRGKRZSA-N -1 1 307.394 1.802 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)c1ccccn1 ZINC001408735191 838598875 /nfs/dbraw/zinc/59/88/75/838598875.db2.gz YOEOMPRZWWRBHP-UHFFFAOYSA-N -1 1 314.345 1.074 20 0 DDADMM CC/C(C)=C\C(=O)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001267944382 839235189 /nfs/dbraw/zinc/23/51/89/839235189.db2.gz VXZZTPGWKZAVOQ-BENRWUELSA-N -1 1 321.425 1.585 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ccncc2C)[n-]1 ZINC001417580020 839430003 /nfs/dbraw/zinc/43/00/03/839430003.db2.gz QMFIEOUAORZYRA-UHFFFAOYSA-N -1 1 301.302 1.960 20 0 DDADMM CC[C@H](C)N1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1ccc([O-])cn1 ZINC001269354243 841556661 /nfs/dbraw/zinc/55/66/61/841556661.db2.gz DLRRQZJJMCIMLO-NILFDRSVSA-N -1 1 317.389 1.791 20 0 DDADMM CC[C@@H]1CCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)[C@H]1C ZINC001362684888 841804659 /nfs/dbraw/zinc/80/46/59/841804659.db2.gz OCVVMEGMMLIXRU-VHSXEESVSA-N -1 1 300.380 1.448 20 0 DDADMM Cc1cnc(SCc2coc(S(N)(=O)=O)c2)[n-]c1=O ZINC001363187121 842262857 /nfs/dbraw/zinc/26/28/57/842262857.db2.gz JQOATUYGCJOCHW-UHFFFAOYSA-N -1 1 301.349 1.023 20 0 DDADMM C[C@@]1(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)C=CCC1 ZINC001326337743 861291522 /nfs/dbraw/zinc/29/15/22/861291522.db2.gz LTYXKNYDSAXMDB-MLGOLLRUSA-N -1 1 319.409 1.195 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CCN(C(=O)c1ccc([O-])cn1)CC2 ZINC001270139559 842326780 /nfs/dbraw/zinc/32/67/80/842326780.db2.gz WRNFFBUJOBMNRI-GFCCVEGCSA-N -1 1 303.362 1.118 20 0 DDADMM CC(C)(C)NC(=O)[C@H]1CC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001270139420 842328542 /nfs/dbraw/zinc/32/85/42/842328542.db2.gz WFIXPWNEFGDVTI-LLVKDONJSA-N -1 1 303.362 1.164 20 0 DDADMM CN1C[C@H]2C[C@@H](C1)N2C(=O)CC([O-])(C(F)(F)F)C(F)(F)F ZINC001270501288 842624482 /nfs/dbraw/zinc/62/44/82/842624482.db2.gz QMOKAUPQAYWBLB-KNVOCYPGSA-N -1 1 320.233 1.147 20 0 DDADMM CO[C@@H]1CCC[C@@H]1S(=O)(=O)[N-][C@H](C(=O)OC(C)C)C(C)C ZINC001363901682 842828290 /nfs/dbraw/zinc/82/82/90/842828290.db2.gz GKTJIVVXMNWESE-AGIUHOORSA-N -1 1 321.439 1.450 20 0 DDADMM Cc1cc(CN(C)[C@H](C)CNC(=O)c2ncccc2[O-])nn1C ZINC001409528547 845253408 /nfs/dbraw/zinc/25/34/08/845253408.db2.gz DZAOBAOIFCCNKN-GFCCVEGCSA-N -1 1 317.393 1.079 20 0 DDADMM CCC[C@@H](C)CC(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272377425 846083857 /nfs/dbraw/zinc/08/38/57/846083857.db2.gz WCWZESKPSPWGST-CHWSQXEVSA-N -1 1 323.441 1.665 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C(C)(C)c1nccs1 ZINC001365649609 846370660 /nfs/dbraw/zinc/37/06/60/846370660.db2.gz CPHDVAXRIJRZFL-UHFFFAOYSA-N -1 1 319.433 1.822 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCC(n2cnnc2)CC1 ZINC001155267094 861914769 /nfs/dbraw/zinc/91/47/69/861914769.db2.gz UUYMMZMMPCOMHM-UHFFFAOYSA-N -1 1 312.333 1.337 20 0 DDADMM CC1(CCC(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC001410561188 849488991 /nfs/dbraw/zinc/48/89/91/849488991.db2.gz RUEOBRBCLHBHLY-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H](NC(=O)CC(F)(F)F)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001411344883 850884500 /nfs/dbraw/zinc/88/45/00/850884500.db2.gz DNAAIJUFVUHTCZ-YUMQZZPRSA-N -1 1 319.283 1.363 20 0 DDADMM O=S(=O)([N-]Cc1ccncn1)c1ccc(F)c(F)c1F ZINC001190937962 851148815 /nfs/dbraw/zinc/14/88/15/851148815.db2.gz LPNRNIGZEPKPIT-UHFFFAOYSA-N -1 1 303.265 1.372 20 0 DDADMM CCN(CCF)[C@H](C)CNC(=O)c1n[n-]c2ccccc2c1=O ZINC001155559870 862226931 /nfs/dbraw/zinc/22/69/31/862226931.db2.gz ZXFJPGHHDIKZOQ-LLVKDONJSA-N -1 1 320.368 1.745 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)Cc1ccc(O)cc1 ZINC001150665883 862402422 /nfs/dbraw/zinc/40/24/22/862402422.db2.gz SOARSJNXYPPCOY-UHFFFAOYSA-N -1 1 305.359 1.757 20 0 DDADMM CN1CC2(C1)CN(C(=O)c1cccc(C(F)(F)F)c1[O-])C2 ZINC001275328248 852985483 /nfs/dbraw/zinc/98/54/83/852985483.db2.gz ZCIJKLIOQQILRR-UHFFFAOYSA-N -1 1 300.280 1.799 20 0 DDADMM CN1CCO[C@@]2(CCCN(C(=O)c3ccc(Cl)cc3[O-])C2)C1 ZINC001275352856 853011593 /nfs/dbraw/zinc/01/15/93/853011593.db2.gz COOAQCLZSOMUCT-INIZCTEOSA-N -1 1 324.808 1.982 20 0 DDADMM C[C@@H]1c2nc(C(N)=O)cn2CCN1C(=O)c1ccc([O-])c(F)c1 ZINC001275374366 853034056 /nfs/dbraw/zinc/03/40/56/853034056.db2.gz WXVKLCQLRJABIA-MRVPVSSYSA-N -1 1 318.308 1.044 20 0 DDADMM NC(=O)[C@H]1CC12CCN(C(=O)c1c(F)ccc([O-])c1F)CC2 ZINC001275611715 853430972 /nfs/dbraw/zinc/43/09/72/853430972.db2.gz HOQRVKFWLHRTJW-MRVPVSSYSA-N -1 1 310.300 1.398 20 0 DDADMM CC(C)C[C@H](C(=O)Nc1nnn[n-]1)N1Cc2ccccc2C1=O ZINC001411825172 853789152 /nfs/dbraw/zinc/78/91/52/853789152.db2.gz JWSIIGQRLGABCS-GFCCVEGCSA-N -1 1 314.349 1.209 20 0 DDADMM CC(C)C[C@H](C(=O)Nc1nn[n-]n1)N1Cc2ccccc2C1=O ZINC001411825172 853789156 /nfs/dbraw/zinc/78/91/56/853789156.db2.gz JWSIIGQRLGABCS-GFCCVEGCSA-N -1 1 314.349 1.209 20 0 DDADMM CSc1ccc([O-])c(C(=O)Nc2nn(C)cc2C(N)=O)c1 ZINC001411929364 853978112 /nfs/dbraw/zinc/97/81/12/853978112.db2.gz ZJNYERSOGZBCRD-UHFFFAOYSA-N -1 1 306.347 1.199 20 0 DDADMM CCCCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001412024485 854121506 /nfs/dbraw/zinc/12/15/06/854121506.db2.gz JBMSBAXKVVVXRH-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NC1CCC(O)(c2cccnc2)CC1)c1ccc([O-])cn1 ZINC001412040198 854142297 /nfs/dbraw/zinc/14/22/97/854142297.db2.gz FPJGCCLSMKOQLW-UHFFFAOYSA-N -1 1 313.357 1.742 20 0 DDADMM CSc1ncc(C(=O)NC(C)(C)C2CCOCC2)c(=O)[n-]1 ZINC001412078917 854200449 /nfs/dbraw/zinc/20/04/49/854200449.db2.gz SJYBLEPJVQMCIZ-UHFFFAOYSA-N -1 1 311.407 1.839 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)Oc1cccnc1)c1nn[n-]n1 ZINC001412108223 854227017 /nfs/dbraw/zinc/22/70/17/854227017.db2.gz JKCHPTWOTPDSNP-SNVBAGLBSA-N -1 1 304.354 1.194 20 0 DDADMM CCC(CC)(NC(=O)CCc1scnc1C)c1nn[n-]n1 ZINC001412111303 854230076 /nfs/dbraw/zinc/23/00/76/854230076.db2.gz PBVHYAZQALAHAB-UHFFFAOYSA-N -1 1 308.411 1.729 20 0 DDADMM CN(C(=O)C1=Cc2ccccc2O[C@@H]1C(F)F)c1nn[n-]n1 ZINC001412234192 854358570 /nfs/dbraw/zinc/35/85/70/854358570.db2.gz UCNHEGSIZVDVED-JTQLQIEISA-N -1 1 307.260 1.272 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](OCC)C(C)C)n[n-]1 ZINC001412328828 854438558 /nfs/dbraw/zinc/43/85/58/854438558.db2.gz XQBBVMKFONMYPE-VHSXEESVSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](OCC)C(C)C)[n-]1 ZINC001412328828 854438559 /nfs/dbraw/zinc/43/85/59/854438559.db2.gz XQBBVMKFONMYPE-VHSXEESVSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](OCC)C(C)C)n1 ZINC001412328828 854438560 /nfs/dbraw/zinc/43/85/60/854438560.db2.gz XQBBVMKFONMYPE-VHSXEESVSA-N -1 1 312.370 1.220 20 0 DDADMM CC[C@H]1CCc2[nH]c(=O)c(C(=O)N(C)c3nn[n-]n3)cc2C1 ZINC001412377912 854488393 /nfs/dbraw/zinc/48/83/93/854488393.db2.gz TZBBLVIUSPRFNO-QMMMGPOBSA-N -1 1 302.338 1.092 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](CO)Cc2ccco2)c(=O)[n-]1 ZINC001412398087 854505889 /nfs/dbraw/zinc/50/58/89/854505889.db2.gz DKGGPIDCKSEPTJ-SECBINFHSA-N -1 1 323.374 1.078 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(C)cc(O)c2)o1 ZINC001412420827 854529626 /nfs/dbraw/zinc/52/96/26/854529626.db2.gz TXSCECNWLCEHKR-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM NC(=O)[C@H](Cc1ccccc1)NC(=O)c1cccc([O-])c1F ZINC001412453073 854567397 /nfs/dbraw/zinc/56/73/97/854567397.db2.gz HRWVYRBYEIRYFU-LBPRGKRZSA-N -1 1 302.305 1.358 20 0 DDADMM O=C(Cc1csc(C2CC2)n1)NC1(c2nn[n-]n2)CCC1 ZINC001412537268 854678212 /nfs/dbraw/zinc/67/82/12/854678212.db2.gz FCHAYTMLDRKKRE-UHFFFAOYSA-N -1 1 304.379 1.272 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CO[C@H](C)CC ZINC001412587480 854767930 /nfs/dbraw/zinc/76/79/30/854767930.db2.gz KNXHMXWYOPZORK-MNOVXSKESA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CO[C@H](C)CC ZINC001412587480 854767933 /nfs/dbraw/zinc/76/79/33/854767933.db2.gz KNXHMXWYOPZORK-MNOVXSKESA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CO[C@H](C)CC ZINC001412587480 854767937 /nfs/dbraw/zinc/76/79/37/854767937.db2.gz KNXHMXWYOPZORK-MNOVXSKESA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nnc3ccc(C)cn32)c[n-]1 ZINC001156031984 862650605 /nfs/dbraw/zinc/65/06/05/862650605.db2.gz NQIADDAZNXHNJF-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)CSC(F)(F)F)c1nn[n-]n1 ZINC001412799671 855281052 /nfs/dbraw/zinc/28/10/52/855281052.db2.gz WOMSVJUTEWECEI-RNFRBKRXSA-N -1 1 311.333 1.651 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CCN2C(=O)c2ccc([O-])cn2)C1 ZINC001412819547 855304232 /nfs/dbraw/zinc/30/42/32/855304232.db2.gz ZEWYZKUUJZZVNJ-UHFFFAOYSA-N -1 1 319.361 1.623 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cccc(C(=O)N2CCCC2)n1 ZINC001413028330 855988686 /nfs/dbraw/zinc/98/86/86/855988686.db2.gz MIBTUBLVBAENDD-UHFFFAOYSA-N -1 1 315.333 1.307 20 0 DDADMM Cc1cc(C(=O)Nc2cc([O-])c(F)cc2F)ncc1C(N)=O ZINC001413048432 856120915 /nfs/dbraw/zinc/12/09/15/856120915.db2.gz HQULHXFQXQJOIC-UHFFFAOYSA-N -1 1 307.256 1.725 20 0 DDADMM COc1nnc(NCC[N-]C(=O)C(F)(F)F)c2ccccc21 ZINC001156204304 862813412 /nfs/dbraw/zinc/81/34/12/862813412.db2.gz BSYGXQJRXUPFLI-UHFFFAOYSA-N -1 1 314.267 1.729 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1CCO[C@@H](C)C1 ZINC001413319755 856644918 /nfs/dbraw/zinc/64/49/18/856644918.db2.gz JNCKOEYSPICCGB-DTWKUNHWSA-N -1 1 306.409 1.245 20 0 DDADMM C[C@@H](OCCc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001413347127 856700069 /nfs/dbraw/zinc/70/00/69/856700069.db2.gz WEBWRZKLASSZSV-LLVKDONJSA-N -1 1 317.345 1.152 20 0 DDADMM CCCS(=O)(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001413384518 856739656 /nfs/dbraw/zinc/73/96/56/856739656.db2.gz BUOCAIGYLLDJOG-IUCAKERBSA-N -1 1 316.345 1.258 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C1CCC2(COC2)CC1 ZINC001413423373 856791798 /nfs/dbraw/zinc/79/17/98/856791798.db2.gz QSECAMPUUKFZJO-UHFFFAOYSA-N -1 1 318.420 1.389 20 0 DDADMM O=C(NCc1cc(=O)[nH]c(C2CC2)n1)c1ccc([O-])cc1F ZINC001413544347 857046596 /nfs/dbraw/zinc/04/65/96/857046596.db2.gz DDHCWLMIBNJJGV-UHFFFAOYSA-N -1 1 303.293 1.834 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nnc3c(C)cccn23)c[n-]1 ZINC001156346427 862955873 /nfs/dbraw/zinc/95/58/73/862955873.db2.gz UDLQUYCMODZQNE-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC[C@@H]1C[C@H]1Cc1ccccc1 ZINC001413752629 858485670 /nfs/dbraw/zinc/48/56/70/858485670.db2.gz IJYQAVBANWBLQN-HUUCEWRRSA-N -1 1 313.405 1.908 20 0 DDADMM CCN(C(=O)c1ccoc1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001413785219 858529349 /nfs/dbraw/zinc/52/93/49/858529349.db2.gz NBLSRGSBWRSMQT-LLVKDONJSA-N -1 1 317.345 1.661 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N1CCc2ccccc2C1 ZINC001122047477 858671564 /nfs/dbraw/zinc/67/15/64/858671564.db2.gz VSLGQVLLXISOKK-UHFFFAOYSA-N -1 1 312.333 1.204 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N1CCc2c1cccc2C ZINC001122046917 858671886 /nfs/dbraw/zinc/67/18/86/858671886.db2.gz QDMRNWGRJOGVJJ-UHFFFAOYSA-N -1 1 312.333 1.644 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2nccs2)CC1 ZINC001123277902 859157986 /nfs/dbraw/zinc/15/79/86/859157986.db2.gz PJJRPVBOCXCWKD-OTDNITJGSA-N -1 1 309.391 1.164 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCCN(C)CC(F)(F)F)[n-]1 ZINC001123363751 859194708 /nfs/dbraw/zinc/19/47/08/859194708.db2.gz IQFJOFILIGZCAA-UHFFFAOYSA-N -1 1 322.283 1.842 20 0 DDADMM Cc1ccc2c(c1)CCN2c1nnc(-c2nnn[n-]2)n1CC1CC1 ZINC001123593979 859317763 /nfs/dbraw/zinc/31/77/63/859317763.db2.gz IZHQZLPGHIVMJH-UHFFFAOYSA-N -1 1 322.376 1.871 20 0 DDADMM Cc1ccc2c(c1)CCN2c1nnc(-c2nn[n-]n2)n1CC1CC1 ZINC001123593979 859317769 /nfs/dbraw/zinc/31/77/69/859317769.db2.gz IZHQZLPGHIVMJH-UHFFFAOYSA-N -1 1 322.376 1.871 20 0 DDADMM Cc1cccc2[nH]cc(CC(=O)N3CC[C@H](c4nn[n-]n4)C3)c21 ZINC001123962793 859499504 /nfs/dbraw/zinc/49/95/04/859499504.db2.gz WRTNRBUAQVZKIL-NSHDSACASA-N -1 1 310.361 1.548 20 0 DDADMM Cc1cncc(C=CC(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)c1 ZINC001123999508 859518662 /nfs/dbraw/zinc/51/86/62/859518662.db2.gz WMYYAEKYWMDPFT-NNTXTVRGSA-N -1 1 312.377 1.398 20 0 DDADMM COc1cccc(CN2CC[C@@H](O)[C@@H](C)C2)c1OCC(=O)[O-] ZINC001139268786 860344344 /nfs/dbraw/zinc/34/43/44/860344344.db2.gz UBKQLVYUFJHEAW-WCQYABFASA-N -1 1 309.362 1.361 20 0 DDADMM Cc1[nH]c(CN2CCC3(CCOC3=O)CC2)c(C)c1C(=O)[O-] ZINC001140496482 860645189 /nfs/dbraw/zinc/64/51/89/860645189.db2.gz IPZPOORLJOUGOV-UHFFFAOYSA-N -1 1 306.362 1.859 20 0 DDADMM COC[C@@H]1CN(Cc2ccc([O-])c(F)c2F)Cc2nnn(C)c21 ZINC001140888404 860725021 /nfs/dbraw/zinc/72/50/21/860725021.db2.gz RQEDYQGZIBHNEH-JTQLQIEISA-N -1 1 324.331 1.545 20 0 DDADMM Cc1ccnc(O[C@@H]2CCN(Cc3ccc(C(=O)[O-])o3)C2)n1 ZINC001140948881 860745029 /nfs/dbraw/zinc/74/50/29/860745029.db2.gz FZEFYSGPJOYBNJ-GFCCVEGCSA-N -1 1 303.318 1.730 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(c2cccc(F)n2)CC1 ZINC001141260503 860816985 /nfs/dbraw/zinc/81/69/85/860816985.db2.gz SCFVGXRYEBMACD-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM CCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(NC(C)=O)cc2)C1 ZINC001141288977 860819994 /nfs/dbraw/zinc/81/99/94/860819994.db2.gz LTDCZYYZDAQMSM-LLVKDONJSA-N -1 1 305.334 1.066 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnc3[nH]cnc32)n1 ZINC001141838591 860953976 /nfs/dbraw/zinc/95/39/76/860953976.db2.gz XEQSDZMGPPIKAL-UHFFFAOYSA-N -1 1 300.278 1.110 20 0 DDADMM O=C(Nc1cccn2nccc12)c1ccc2c(=O)[nH]nc([O-])c2c1 ZINC001154313107 860979533 /nfs/dbraw/zinc/97/95/33/860979533.db2.gz NROGFVPCUMQRSG-UHFFFAOYSA-N -1 1 321.296 1.941 20 0 DDADMM Cc1ccc2ncc([O-])c(C(=O)n3c(N)c(F)ccc3=N)c2c1 ZINC001154528395 861177637 /nfs/dbraw/zinc/17/76/37/861177637.db2.gz VMVUZRSZKFAKDW-UHFFFAOYSA-N -1 1 312.304 1.940 20 0 DDADMM CN(C(=O)c1ccc(F)c(Br)c1)c1nn[n-]n1 ZINC001361435617 881646707 /nfs/dbraw/zinc/64/67/07/881646707.db2.gz JVTFZMBLQGKLCO-UHFFFAOYSA-N -1 1 300.091 1.378 20 0 DDADMM CC(C)[C@](C)(NC(=O)c1cc(=O)c2cccc(O)c2[n-]1)C(N)=O ZINC001152680819 863490379 /nfs/dbraw/zinc/49/03/79/863490379.db2.gz WSPYFQXKUJRQCO-INIZCTEOSA-N -1 1 317.345 1.276 20 0 DDADMM O=C(NC1(c2ncccn2)CC1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152682197 863492918 /nfs/dbraw/zinc/49/29/18/863492918.db2.gz VFGVFLYWLKQELO-UHFFFAOYSA-N -1 1 322.324 1.855 20 0 DDADMM C[C@@H](NCCCNC(=O)c1ncccc1[O-])c1csnn1 ZINC001156990615 863505426 /nfs/dbraw/zinc/50/54/26/863505426.db2.gz UPRGQMXIKFBZDD-SECBINFHSA-N -1 1 307.379 1.109 20 0 DDADMM COC(=O)[C@H]1CC[C@H](Nc2nc(C)cc3c2C(=O)[N-]C3=O)CC1 ZINC001157038779 863532993 /nfs/dbraw/zinc/53/29/93/863532993.db2.gz SIINEFKEGYPZFU-MGCOHNPYSA-N -1 1 317.345 1.417 20 0 DDADMM CCN(Cc1cc(C)on1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152975182 863629770 /nfs/dbraw/zinc/62/97/70/863629770.db2.gz NMGBEZKCKGWXAS-LLVKDONJSA-N -1 1 318.377 1.724 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CC1 ZINC001329377778 863630120 /nfs/dbraw/zinc/63/01/20/863630120.db2.gz INYBFYLDPRPSBJ-SECBINFHSA-N -1 1 322.745 1.104 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cccc2c1CC(=O)N2 ZINC001153322106 863824277 /nfs/dbraw/zinc/82/42/77/863824277.db2.gz BHPIQXOCWKVCGQ-UHFFFAOYSA-N -1 1 315.245 1.033 20 0 DDADMM O=C(NCc1cnc(Cl)cn1)c1c[n-]c2cccnc2c1=O ZINC001153864063 864176270 /nfs/dbraw/zinc/17/62/70/864176270.db2.gz ZDSFKGXLEIJWRL-UHFFFAOYSA-N -1 1 315.720 1.297 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4cc(F)ccc4n3)c1-2 ZINC001153891930 864197242 /nfs/dbraw/zinc/19/72/42/864197242.db2.gz INUPWNOMPRZZQM-UHFFFAOYSA-N -1 1 322.303 1.974 20 0 DDADMM C[NH+](C)C1CN(C(=O)c2cc(=O)c3cc(Cl)ccc3[nH]2)C1 ZINC001153899591 864206598 /nfs/dbraw/zinc/20/65/98/864206598.db2.gz JUWSOJAUFKVQRG-UHFFFAOYSA-N -1 1 305.765 1.568 20 0 DDADMM O=c1nc2nc[nH]c2c(N[C@H](F)Oc2ccc(Cl)nc2)[n-]1 ZINC001159198831 865162336 /nfs/dbraw/zinc/16/23/36/865162336.db2.gz ZOKWNZDIKRQMSQ-JTQLQIEISA-N -1 1 310.676 1.851 20 0 DDADMM O=c1nc2nc[nH]c2c(N[C@@H](F)Oc2ccc(Cl)nn2)[n-]1 ZINC001159205085 865166145 /nfs/dbraw/zinc/16/61/45/865166145.db2.gz UKORDXFMAWFTFO-SECBINFHSA-N -1 1 311.664 1.246 20 0 DDADMM COc1cccc([C@H]2CNCCN2C(=O)CCCCC(=O)[O-])c1 ZINC001331718019 865271066 /nfs/dbraw/zinc/27/10/66/865271066.db2.gz RYXRUFJOPKVOQY-OAHLLOKOSA-N -1 1 320.389 1.813 20 0 DDADMM CCC[C@H](NC(=O)CCc1nc2cccnc2[nH]1)c1nn[n-]n1 ZINC001332400285 865814385 /nfs/dbraw/zinc/81/43/85/865814385.db2.gz MPUNWUHOWMRPEQ-VIFPVBQESA-N -1 1 314.353 1.061 20 0 DDADMM Cc1sc2ncnc(Nc3c(O)[nH]c(=O)[n-]c3=S)c2c1C ZINC001160847911 866147980 /nfs/dbraw/zinc/14/79/80/866147980.db2.gz RLEDRXCHJLZIQU-ZETCQYMHSA-N -1 1 321.387 1.255 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)/C=C/[C@@H]2CCOC2)[n-]c1=O ZINC001333561142 866841118 /nfs/dbraw/zinc/84/11/18/866841118.db2.gz WPOZXIKAMWHCOG-RVAOTSRCSA-N -1 1 319.361 1.447 20 0 DDADMM COc1c(C)cnc(CNc2nc3[nH][n-]cc-3c(=O)n2)c1C ZINC001320736099 866992215 /nfs/dbraw/zinc/99/22/15/866992215.db2.gz HYDMKLLOJDPUON-UHFFFAOYSA-N -1 1 300.322 1.065 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc2ccnc(Cl)c2c1 ZINC001162401973 867512861 /nfs/dbraw/zinc/51/28/61/867512861.db2.gz VGKPBUNFMPBPFE-UHFFFAOYSA-N -1 1 302.725 1.973 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@@H]1CC[C@H](C(=O)OCC)C1 ZINC001225901749 882102841 /nfs/dbraw/zinc/10/28/41/882102841.db2.gz RSJJPZGUGJCKMK-VHSXEESVSA-N -1 1 324.333 1.470 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cc2ccccc2o1 ZINC001322062753 867963307 /nfs/dbraw/zinc/96/33/07/867963307.db2.gz BJJFQIFLKIHURR-VIFPVBQESA-N -1 1 315.333 1.165 20 0 DDADMM COCCn1cc(NC(=O)C(=O)c2ccc([O-])cc2)ccc1=O ZINC001322791089 868386877 /nfs/dbraw/zinc/38/68/77/868386877.db2.gz XCLSRFNQTQCBBQ-UHFFFAOYSA-N -1 1 316.313 1.022 20 0 DDADMM COC(=O)c1c(C)ccnc1NCC[N-]C(=O)C(F)(F)F ZINC001164237807 869046125 /nfs/dbraw/zinc/04/61/25/869046125.db2.gz IWYALOWCHABHCB-UHFFFAOYSA-N -1 1 305.256 1.267 20 0 DDADMM O=C([N-]CCNc1ccc(-c2cccnc2)nn1)C(F)(F)F ZINC001164244744 869052291 /nfs/dbraw/zinc/05/22/91/869052291.db2.gz NAOAJTMAXRAAEY-UHFFFAOYSA-N -1 1 311.267 1.629 20 0 DDADMM COC(=O)c1cc(C)cnc1NCC[N-]C(=O)C(F)(F)F ZINC001164245893 869054733 /nfs/dbraw/zinc/05/47/33/869054733.db2.gz CLJFTPSQUOQNII-UHFFFAOYSA-N -1 1 305.256 1.267 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC[C@H]1C=CCC1 ZINC001336947729 869230857 /nfs/dbraw/zinc/23/08/57/869230857.db2.gz MNSOTPHKVWETSS-JTQLQIEISA-N -1 1 304.350 1.020 20 0 DDADMM Cc1cc(C)n(Cc2ccc(C(=O)N(C)c3nn[n-]n3)cc2)n1 ZINC001338075335 869785961 /nfs/dbraw/zinc/78/59/61/869785961.db2.gz CXVQNZMHLRSONZ-UHFFFAOYSA-N -1 1 311.349 1.338 20 0 DDADMM NC(=O)COc1ccc(NC(=O)c2ccc(F)c([O-])c2)cc1 ZINC001361790398 882379185 /nfs/dbraw/zinc/37/91/85/882379185.db2.gz BDVHRIIFIXMTPU-UHFFFAOYSA-N -1 1 304.277 1.648 20 0 DDADMM COC(C)(C)[C@@H]1CCCN1c1nc(C)cc2c1C(=O)[N-]C2=O ZINC001166795374 870256472 /nfs/dbraw/zinc/25/64/72/870256472.db2.gz GZLYPEYZUVTUCL-NSHDSACASA-N -1 1 303.362 1.667 20 0 DDADMM CNc1ccc(Nc2cc(S(=O)(=O)C(N)=O)ccc2[O-])cn1 ZINC001203461881 870569916 /nfs/dbraw/zinc/56/99/16/870569916.db2.gz CIXGNNJYCZYVCH-UHFFFAOYSA-N -1 1 322.346 1.839 20 0 DDADMM Cc1ccc(O)cc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001301651126 871014371 /nfs/dbraw/zinc/01/43/71/871014371.db2.gz XWOKSSVEXBAJFT-AWEZNQCLSA-N -1 1 309.329 1.733 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc2ncsc2c1 ZINC001303221482 871111393 /nfs/dbraw/zinc/11/13/93/871111393.db2.gz IZRPDBIVZMPXNJ-UHFFFAOYSA-N -1 1 300.347 1.619 20 0 DDADMM C[C@@H](c1ccccc1)[C@H]1CCCN1c1nnc(-c2nnn[n-]2)n1C ZINC001341255619 871580479 /nfs/dbraw/zinc/58/04/79/871580479.db2.gz SBPWDUAZBHRLBD-WCQYABFASA-N -1 1 324.392 1.768 20 0 DDADMM C[C@@H](c1ccccc1)[C@H]1CCCN1c1nnc(-c2nn[n-]n2)n1C ZINC001341255619 871580503 /nfs/dbraw/zinc/58/05/03/871580503.db2.gz SBPWDUAZBHRLBD-WCQYABFASA-N -1 1 324.392 1.768 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccnc2C2CC2)n[n-]1 ZINC001413844276 871824213 /nfs/dbraw/zinc/82/42/13/871824213.db2.gz BSGVVRZWUJVUTK-UHFFFAOYSA-N -1 1 315.333 1.184 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccnc2C2CC2)n1 ZINC001413844276 871824223 /nfs/dbraw/zinc/82/42/23/871824223.db2.gz BSGVVRZWUJVUTK-UHFFFAOYSA-N -1 1 315.333 1.184 20 0 DDADMM O=C(Nc1ccc2c(c1)C(=O)CCCC2)NN1CC(=O)[N-]C1=O ZINC001206483795 872369948 /nfs/dbraw/zinc/36/99/48/872369948.db2.gz YUGWUICRCASJKE-UHFFFAOYSA-N -1 1 316.317 1.184 20 0 DDADMM CN(C(=O)[C@H]1CCCC[C@@H]1NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001361903605 882611131 /nfs/dbraw/zinc/61/11/31/882611131.db2.gz ISYMXRSIDRVUHN-UWVGGRQHSA-N -1 1 324.385 1.246 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1CC[C@H](C)[C@H]1C ZINC001343955835 872821060 /nfs/dbraw/zinc/82/10/60/872821060.db2.gz FGQYYGKLOKZMKF-WDEREUQCSA-N -1 1 320.401 1.120 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1CC[C@H](C)[C@H]1C ZINC001343955835 872821076 /nfs/dbraw/zinc/82/10/76/872821076.db2.gz FGQYYGKLOKZMKF-WDEREUQCSA-N -1 1 320.401 1.120 20 0 DDADMM CNC(=O)c1ccc(OC)c(NC(=O)c2ccc([O-])cn2)c1 ZINC001361941682 882681070 /nfs/dbraw/zinc/68/10/70/882681070.db2.gz NTGCJOSZIAGSLI-UHFFFAOYSA-N -1 1 301.302 1.408 20 0 DDADMM COC(C)(C)C[C@@H](C)Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c21 ZINC001226887112 882701532 /nfs/dbraw/zinc/70/15/32/882701532.db2.gz ZHBCQIWBHAEGQG-ZCFIWIBFSA-N -1 1 310.310 1.119 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1cn2ccccc2n1 ZINC001207945785 873679548 /nfs/dbraw/zinc/67/95/48/873679548.db2.gz LHDXRPPNXZYTFA-UHFFFAOYSA-N -1 1 324.387 1.278 20 0 DDADMM COC(=O)C(C)(C)[C@@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001362251472 883409192 /nfs/dbraw/zinc/40/91/92/883409192.db2.gz JBXBWKUTUAAMEZ-LLVKDONJSA-N -1 1 306.362 1.839 20 0 DDADMM COC(CNC(=O)c1cnncc1[O-])(OC)c1ccccc1 ZINC001347241309 874068359 /nfs/dbraw/zinc/06/83/59/874068359.db2.gz BIXDBOOPIIXSLA-UHFFFAOYSA-N -1 1 303.318 1.058 20 0 DDADMM CC(C)[N@H+]1CC[C@@H](Oc2[n-]c(=O)nnc2Br)C1 ZINC001227064976 882805555 /nfs/dbraw/zinc/80/55/55/882805555.db2.gz WCNKPHZZVXFARO-SSDOTTSWSA-N -1 1 303.160 1.201 20 0 DDADMM CC(C)N1CCC[C@@H](Oc2[n-]c(=O)nnc2Br)C1 ZINC001227065923 882807366 /nfs/dbraw/zinc/80/73/66/882807366.db2.gz CBNGGOXGWSBTQC-MRVPVSSYSA-N -1 1 317.187 1.591 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H](C)Cn1ccnc1 ZINC001347767606 874269675 /nfs/dbraw/zinc/26/96/75/874269675.db2.gz VQVZDOXHTWXMOD-QMMMGPOBSA-N -1 1 307.379 1.042 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](c1cnn(C)c1)C(C)C ZINC001347885640 874298401 /nfs/dbraw/zinc/29/84/01/874298401.db2.gz SSLFGJADXKHZOV-LLVKDONJSA-N -1 1 321.406 1.640 20 0 DDADMM CC[C@@H](CNC(=O)C1C(C)(C)C1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001378233336 874494218 /nfs/dbraw/zinc/49/42/18/874494218.db2.gz OXFXMMORIAVZQZ-VIFPVBQESA-N -1 1 309.414 1.177 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NCc1nc(C)c(C)o1 ZINC001378237288 874504473 /nfs/dbraw/zinc/50/44/73/874504473.db2.gz SWGRRKMPWIVCJZ-GFCCVEGCSA-N -1 1 318.377 1.690 20 0 DDADMM C=C/C(C)=C\CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209100439 874668116 /nfs/dbraw/zinc/66/81/16/874668116.db2.gz JCKLEAWRRNDXLQ-DSDFTUOUSA-N -1 1 305.382 1.113 20 0 DDADMM NC(=O)C[C@H](NC(=O)c1ccc([O-])cn1)c1ccccc1Cl ZINC001362024951 882855938 /nfs/dbraw/zinc/85/59/38/882855938.db2.gz PKGYAUHNQOSTMH-ZDUSSCGKSA-N -1 1 319.748 1.787 20 0 DDADMM O=C(NCCNC(=O)c1ncccc1[O-])c1occc1Cl ZINC001348838424 874820358 /nfs/dbraw/zinc/82/03/58/874820358.db2.gz FFRFNCKUMRUAEV-UHFFFAOYSA-N -1 1 309.709 1.193 20 0 DDADMM C[C@H]1CCC[C@@]1(C)C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348839365 874820903 /nfs/dbraw/zinc/82/09/03/874820903.db2.gz WQWOQWCRDSYKJG-MEDUHNTESA-N -1 1 305.378 1.460 20 0 DDADMM CC(C)C(=O)N1CC(Oc2[n-]c(=O)ncc2Br)C1 ZINC001227137599 882869368 /nfs/dbraw/zinc/86/93/68/882869368.db2.gz KQHTWRPTFFMDBB-UHFFFAOYSA-N -1 1 316.155 1.190 20 0 DDADMM O=C(c1cc2ccccn2c1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001349208876 875033946 /nfs/dbraw/zinc/03/39/46/875033946.db2.gz AOPMUDAKKDGWND-LBPRGKRZSA-N -1 1 310.361 1.547 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@H]([C@H]2CCOC2)C1 ZINC001349354108 875127186 /nfs/dbraw/zinc/12/71/86/875127186.db2.gz AYWGTKWGYVULQN-ZJUUUORDSA-N -1 1 309.391 1.278 20 0 DDADMM CN(C)c1nc(Nc2ccc3[nH]nc(N)c3c2)c(N=O)c(=O)[n-]1 ZINC001209860073 875146463 /nfs/dbraw/zinc/14/64/63/875146463.db2.gz OQGXEMPWRIUPNI-UHFFFAOYSA-N -1 1 314.309 1.848 20 0 DDADMM O=C(CC1CCCC1)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001378608599 875220803 /nfs/dbraw/zinc/22/08/03/875220803.db2.gz SZYWSJVMFJZPFN-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM COCCCn1cc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cn1 ZINC001210421855 875380826 /nfs/dbraw/zinc/38/08/26/875380826.db2.gz QYWAVPIDPNCYHQ-UHFFFAOYSA-N -1 1 321.341 1.623 20 0 DDADMM CC(=O)c1cc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)ccn1 ZINC001210589791 875449007 /nfs/dbraw/zinc/44/90/07/875449007.db2.gz XMTGGAXDWDTPNF-UHFFFAOYSA-N -1 1 302.294 1.987 20 0 DDADMM O=C([O-])[C@]1(N2CCN(C(=O)C[C@H]3CC=CCC3)CC2)CCOC1 ZINC001350903549 875951228 /nfs/dbraw/zinc/95/12/28/875951228.db2.gz PWABBDIFHQXKOM-YOEHRIQHSA-N -1 1 322.405 1.121 20 0 DDADMM COC(=O)c1ncc2n1CCC[C@H]2Oc1[n-]c(=S)ncc1F ZINC001227385590 882992219 /nfs/dbraw/zinc/99/22/19/882992219.db2.gz JUCAXMXPKFODCS-SECBINFHSA-N -1 1 324.337 1.801 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)NCCCCC(=O)[O-])C[C@@H](C)O1 ZINC001352217284 876650255 /nfs/dbraw/zinc/65/02/55/876650255.db2.gz ZRHYGIIMJTTXQE-CHWSQXEVSA-N -1 1 315.414 1.040 20 0 DDADMM CC(C)(C)NC(=O)[C@@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001362109171 883061318 /nfs/dbraw/zinc/06/13/18/883061318.db2.gz INFRBFJSVYSJCU-NSHDSACASA-N -1 1 309.391 1.217 20 0 DDADMM Cc1ccc(C(=O)N(C)C)cc1NC(=O)CCCc1nn[n-]n1 ZINC001362107825 883057120 /nfs/dbraw/zinc/05/71/20/883057120.db2.gz IRZYFNZZAKQMRZ-UHFFFAOYSA-N -1 1 316.365 1.171 20 0 DDADMM COCCCN=c1ccc(N)cn1-c1cc(F)c([O-])cc1F ZINC001215572271 876754347 /nfs/dbraw/zinc/75/43/47/876754347.db2.gz BLSLPEPOVCTHCQ-UHFFFAOYSA-N -1 1 309.316 1.981 20 0 DDADMM COc1cc(C(N)=O)ccc1Nc1[nH]c(=S)[n-]c(=O)c1N=O ZINC001215634978 876771143 /nfs/dbraw/zinc/77/11/43/876771143.db2.gz ZZZQTDKEJFQCAA-UHFFFAOYSA-N -1 1 321.318 1.720 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)cc1-n1cccc1 ZINC001352595884 876823983 /nfs/dbraw/zinc/82/39/83/876823983.db2.gz HYIPKRQHAUQZLQ-CQSZACIVSA-N -1 1 322.372 1.929 20 0 DDADMM COc1ncc(Nc2cccc(CO)c2)cc1[N-]S(C)(=O)=O ZINC001216156287 876879927 /nfs/dbraw/zinc/87/99/27/876879927.db2.gz LGKBSRIQNAZPGC-UHFFFAOYSA-N -1 1 323.374 1.698 20 0 DDADMM CC(C)[C@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C(N)=O ZINC001472285564 877772373 /nfs/dbraw/zinc/77/23/73/877772373.db2.gz AULBWTIANVBKAP-LBPRGKRZSA-N -1 1 305.309 1.319 20 0 DDADMM Cn1cc(N)nc1C(=O)Nc1cccc(C(F)(F)F)c1[O-] ZINC001219312366 878112605 /nfs/dbraw/zinc/11/26/05/878112605.db2.gz CEHZXEAESUKWNQ-UHFFFAOYSA-N -1 1 300.240 1.979 20 0 DDADMM CCOC(=O)c1nc([C@H](C)Nc2cc(Cl)nc(=O)[nH]2)n[n-]1 ZINC001472827842 878189534 /nfs/dbraw/zinc/18/95/34/878189534.db2.gz CJRPLOTWTYHNTF-YFKPBYRVSA-N -1 1 312.717 1.304 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)Nc2cc(Cl)nc(=O)[nH]2)n1 ZINC001472827842 878189544 /nfs/dbraw/zinc/18/95/44/878189544.db2.gz CJRPLOTWTYHNTF-YFKPBYRVSA-N -1 1 312.717 1.304 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CC=C[C@H]1CO ZINC001219676114 878446938 /nfs/dbraw/zinc/44/69/38/878446938.db2.gz YBKYYINACKVQAU-ZDUSSCGKSA-N -1 1 300.318 1.343 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCCC[C@H]1O ZINC000315989280 879237821 /nfs/dbraw/zinc/23/78/21/879237821.db2.gz WIUKYMMACNARGB-NWDGAFQWSA-N -1 1 307.394 1.895 20 0 DDADMM O=C(CCC1CC1)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001380316893 879317349 /nfs/dbraw/zinc/31/73/49/879317349.db2.gz UFHJGOWUTBNPHU-ZDUSSCGKSA-N -1 1 321.425 1.465 20 0 DDADMM CC(C)=C(C)CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001356783831 879349228 /nfs/dbraw/zinc/34/92/28/879349228.db2.gz VIJHUMQYESIHHT-UHFFFAOYSA-N -1 1 303.362 1.474 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)c1 ZINC000316597024 879422044 /nfs/dbraw/zinc/42/20/44/879422044.db2.gz HXUVMUNJCXWWQQ-UHFFFAOYSA-N -1 1 322.342 1.562 20 0 DDADMM CC1(C)CCC[C@@H]1[C@@H]1CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)C1 ZINC001357668935 880127410 /nfs/dbraw/zinc/12/74/10/880127410.db2.gz VKMIMCWVWDGESA-XNJGSVPQSA-N -1 1 324.421 1.104 20 0 DDADMM C[C@H](CNC(=O)C(F)=C1CCCC1)NC(=O)c1ncccc1[O-] ZINC001358129236 880341684 /nfs/dbraw/zinc/34/16/84/880341684.db2.gz LELWECOYMBQBEX-SNVBAGLBSA-N -1 1 321.352 1.819 20 0 DDADMM C[C@H]1CCN(C(=O)OC(C)(C)C)CC[C@@H]1OCc1nn[n-]n1 ZINC001223029235 880790118 /nfs/dbraw/zinc/79/01/18/880790118.db2.gz IGKPGBJHRRVNHU-QWRGUYRKSA-N -1 1 311.386 1.752 20 0 DDADMM COc1c(Br)ccc(C)c1C(=O)Nc1nnn[n-]1 ZINC001362141333 883140171 /nfs/dbraw/zinc/14/01/71/883140171.db2.gz RKMKMRANMQOIIB-UHFFFAOYSA-N -1 1 312.127 1.532 20 0 DDADMM COc1c(Br)ccc(C)c1C(=O)Nc1nn[n-]n1 ZINC001362141333 883140179 /nfs/dbraw/zinc/14/01/79/883140179.db2.gz RKMKMRANMQOIIB-UHFFFAOYSA-N -1 1 312.127 1.532 20 0 DDADMM COC(=O)[C@H](Oc1[n-]c(=O)nc2c1CO[C@@H]2C)C(F)(F)F ZINC001227710075 883140300 /nfs/dbraw/zinc/14/03/00/883140300.db2.gz HXNZVZDTHFQRCM-FBCQKBJTSA-N -1 1 308.212 1.256 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H](C(=O)OC)c2ccccc2F)n1 ZINC001228312069 883440184 /nfs/dbraw/zinc/44/01/84/883440184.db2.gz PTGQNFBGSOHASQ-SECBINFHSA-N -1 1 309.253 1.024 20 0 DDADMM COC(=O)c1nc(O[C@@H](C(=O)OC)c2ccccc2F)n[n-]1 ZINC001228312069 883440187 /nfs/dbraw/zinc/44/01/87/883440187.db2.gz PTGQNFBGSOHASQ-SECBINFHSA-N -1 1 309.253 1.024 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](CO)c2ccccc2C)c(=O)[n-]1 ZINC001362310334 883535296 /nfs/dbraw/zinc/53/52/96/883535296.db2.gz OOMRSOIVIXKASS-LBPRGKRZSA-N -1 1 319.386 1.676 20 0 DDADMM NC(=O)C[C@@H](NC(=O)c1ncccc1[O-])c1ccccc1Cl ZINC001362393879 883707518 /nfs/dbraw/zinc/70/75/18/883707518.db2.gz MLRHYQFZSWHJHX-LLVKDONJSA-N -1 1 319.748 1.787 20 0 DDADMM C[C@@H]1CCN(C(=O)c2nc[n-]c(=O)c2Br)C[C@H]1F ZINC001362405646 883737586 /nfs/dbraw/zinc/73/75/86/883737586.db2.gz DUNWYXOFUMZVNM-RNFRBKRXSA-N -1 1 318.146 1.765 20 0 DDADMM CC(C)(C)[C@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C(N)=O ZINC001362432707 883796903 /nfs/dbraw/zinc/79/69/03/883796903.db2.gz YJZUWTIGOGRYPK-CQSZACIVSA-N -1 1 316.357 1.881 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc([O-])cn1)c1ccccc1F ZINC001362462585 883868580 /nfs/dbraw/zinc/86/85/80/883868580.db2.gz IRTSSSHAFXQHGU-ZDUSSCGKSA-N -1 1 304.277 1.570 20 0 DDADMM CN(C)C(=O)CC1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001362473732 883892355 /nfs/dbraw/zinc/89/23/55/883892355.db2.gz YGZLMSDOAWRIAD-UHFFFAOYSA-N -1 1 308.353 1.862 20 0 DDADMM Cc1cccc(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C(C)C)c1 ZINC001374411599 912766565 /nfs/dbraw/zinc/76/65/65/912766565.db2.gz QLDXOGLJFYJYHP-ZDUSSCGKSA-N -1 1 317.393 1.363 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1c[nH]c(C(=O)N2CCCC2)c1 ZINC001362506362 883965189 /nfs/dbraw/zinc/96/51/89/883965189.db2.gz WGRRESZRNIYVLU-UHFFFAOYSA-N -1 1 303.322 1.240 20 0 DDADMM CS(C)(=O)=Nc1cccc(NC(=O)CCc2nn[n-]n2)c1 ZINC001362530839 884019751 /nfs/dbraw/zinc/01/97/51/884019751.db2.gz DDFHFHKGVROWBN-UHFFFAOYSA-N -1 1 308.367 1.130 20 0 DDADMM CCC(CC)(NC(=O)c1cn(C(C)C)nc1C)c1nn[n-]n1 ZINC001362625199 884255621 /nfs/dbraw/zinc/25/56/21/884255621.db2.gz NGTJJINGBQJEMV-UHFFFAOYSA-N -1 1 305.386 1.731 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccc(C)c(O)c1)c1nn[n-]n1 ZINC001362626713 884259712 /nfs/dbraw/zinc/25/97/12/884259712.db2.gz UDGYZQHGNVARFC-UHFFFAOYSA-N -1 1 303.366 1.588 20 0 DDADMM CCN(CC(=O)NC(CC)(CC)c1nn[n-]n1)c1ccccc1 ZINC001362626991 884260143 /nfs/dbraw/zinc/26/01/43/884260143.db2.gz WAKHCERWXSMFRA-UHFFFAOYSA-N -1 1 316.409 1.858 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccncc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001362652774 884327446 /nfs/dbraw/zinc/32/74/46/884327446.db2.gz CVUAIYWEEYQHIC-QWHCGFSZSA-N -1 1 314.345 1.680 20 0 DDADMM NC(=O)c1ccc(CC(=O)Nc2cc([O-])c(F)cc2F)cc1 ZINC001362679187 884396751 /nfs/dbraw/zinc/39/67/51/884396751.db2.gz UQSILJLXGJPGOK-UHFFFAOYSA-N -1 1 306.268 1.951 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H]1C[C@@H](OC(C)C)C1 ZINC001362701105 884443036 /nfs/dbraw/zinc/44/30/36/884443036.db2.gz DSYGCXXFYZGLMC-AOOOYVTPSA-N -1 1 311.407 1.898 20 0 DDADMM CSc1ncc(C(=O)Nc2cc(=O)c(Cl)c[nH]2)c(=O)[n-]1 ZINC001362731104 884502083 /nfs/dbraw/zinc/50/20/83/884502083.db2.gz UKRNOPUYGFNRKA-UHFFFAOYSA-N -1 1 312.738 1.910 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1csc(Br)n1 ZINC001362791523 884648071 /nfs/dbraw/zinc/64/80/71/884648071.db2.gz AWLBGOWKIVSJAL-UHFFFAOYSA-N -1 1 303.141 1.895 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H]3CSC[C@@H]3C2)c(=O)[n-]1 ZINC001362796693 884665924 /nfs/dbraw/zinc/66/59/24/884665924.db2.gz QFVKLZFSAVCDPJ-BDAKNGLRSA-N -1 1 311.432 1.729 20 0 DDADMM CC(C)(C)[C@@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)c1nc(=O)o[n-]1 ZINC001362823753 884725754 /nfs/dbraw/zinc/72/57/54/884725754.db2.gz RWDCLHDZRFZKCA-KWQFWETISA-N -1 1 319.365 1.095 20 0 DDADMM CC[C@@H](O)[C@H](C)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001362867898 884835992 /nfs/dbraw/zinc/83/59/92/884835992.db2.gz VOZWKJSZEWDNDJ-XWLWVQCSSA-N -1 1 324.343 1.451 20 0 DDADMM COC[C@H](NC(=O)CCSc1ccc(C)cc1)c1nn[n-]n1 ZINC001362912495 884965979 /nfs/dbraw/zinc/96/59/79/884965979.db2.gz ZAXGRVMQZNEBFG-LBPRGKRZSA-N -1 1 321.406 1.494 20 0 DDADMM CN=[S@](C)(=O)c1cccc(NC(=O)c2n[nH]c(C)c2[O-])c1 ZINC001362914615 884973167 /nfs/dbraw/zinc/97/31/67/884973167.db2.gz UBMADGNIGMCHMH-OAQYLSRUSA-N -1 1 308.363 1.763 20 0 DDADMM CCOc1cc(C(=O)OC)ccc1NC(=O)c1n[nH]c(C)c1[O-] ZINC001362929217 885012284 /nfs/dbraw/zinc/01/22/84/885012284.db2.gz NNLRGHQXYJHOQZ-UHFFFAOYSA-N -1 1 319.317 1.861 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2scnc2C)n[n-]1 ZINC001362930176 885013953 /nfs/dbraw/zinc/01/39/53/885013953.db2.gz BGTJGOAGQDKVNM-SSDOTTSWSA-N -1 1 309.351 1.237 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2scnc2C)[n-]1 ZINC001362930176 885013965 /nfs/dbraw/zinc/01/39/65/885013965.db2.gz BGTJGOAGQDKVNM-SSDOTTSWSA-N -1 1 309.351 1.237 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2scnc2C)n1 ZINC001362930176 885013975 /nfs/dbraw/zinc/01/39/75/885013975.db2.gz BGTJGOAGQDKVNM-SSDOTTSWSA-N -1 1 309.351 1.237 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cccc(OC)c2)n[n-]1 ZINC001362939925 885038186 /nfs/dbraw/zinc/03/81/86/885038186.db2.gz VRBNHIHOGUYDGC-SECBINFHSA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cccc(OC)c2)[n-]1 ZINC001362939925 885038199 /nfs/dbraw/zinc/03/81/99/885038199.db2.gz VRBNHIHOGUYDGC-SECBINFHSA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cccc(OC)c2)n1 ZINC001362939925 885038209 /nfs/dbraw/zinc/03/82/09/885038209.db2.gz VRBNHIHOGUYDGC-SECBINFHSA-N -1 1 318.333 1.481 20 0 DDADMM O=C(Cn1cc(Cl)cn1)NCCc1c(F)cc([O-])cc1F ZINC001362974070 885124539 /nfs/dbraw/zinc/12/45/39/885124539.db2.gz SGFMUKNNJRWNDF-UHFFFAOYSA-N -1 1 315.707 1.879 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3cccc([O-])c3F)[C@@H]2C1 ZINC001362985244 885148148 /nfs/dbraw/zinc/14/81/48/885148148.db2.gz WBXKTEBWCDUXPI-YUTCNCBUSA-N -1 1 320.364 1.908 20 0 DDADMM NC(=O)[C@H]1CC[C@H](CNC(=O)c2c(F)ccc([O-])c2F)CC1 ZINC001363084258 885424999 /nfs/dbraw/zinc/42/49/99/885424999.db2.gz SPUNPIPELWCRMN-KYZUINATSA-N -1 1 312.316 1.692 20 0 DDADMM Cn1cc([C@@H](NC(=O)c2ccc([O-])cn2)C(F)(F)F)cn1 ZINC001363103745 885472991 /nfs/dbraw/zinc/47/29/91/885472991.db2.gz UQRUBAUWBDMILF-SNVBAGLBSA-N -1 1 300.240 1.554 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)c1cc(F)cc(F)c1[O-] ZINC001363104324 885473281 /nfs/dbraw/zinc/47/32/81/885473281.db2.gz BZFBIGPHGGLQKG-UHFFFAOYSA-N -1 1 316.289 1.125 20 0 DDADMM COCC(=O)NC1CCN(Cc2cc(C(=O)[O-])ccc2F)CC1 ZINC001231457160 885679881 /nfs/dbraw/zinc/67/98/81/885679881.db2.gz FIRQQYRNSCZRJW-UHFFFAOYSA-N -1 1 324.352 1.251 20 0 DDADMM CC(=O)Nc1cccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c1C ZINC001363215107 885731872 /nfs/dbraw/zinc/73/18/72/885731872.db2.gz BDLHKKUUHGBIMF-LLVKDONJSA-N -1 1 314.349 1.096 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2[nH]c(C)cc2C)n[n-]1 ZINC001363229518 885768619 /nfs/dbraw/zinc/76/86/19/885768619.db2.gz BEQJYGZVBMEZIT-VIFPVBQESA-N -1 1 305.338 1.417 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2[nH]c(C)cc2C)[n-]1 ZINC001363229518 885768625 /nfs/dbraw/zinc/76/86/25/885768625.db2.gz BEQJYGZVBMEZIT-VIFPVBQESA-N -1 1 305.338 1.417 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2[nH]c(C)cc2C)n1 ZINC001363229518 885768630 /nfs/dbraw/zinc/76/86/30/885768630.db2.gz BEQJYGZVBMEZIT-VIFPVBQESA-N -1 1 305.338 1.417 20 0 DDADMM NC(=O)[C@H]1CCn2nccc2CN1Cc1ccc([O-])c(F)c1F ZINC001277543642 885768854 /nfs/dbraw/zinc/76/88/54/885768854.db2.gz UEYCGMAARVYGHR-LLVKDONJSA-N -1 1 322.315 1.127 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@](C)(NC(=O)c2ccc([O-])cn2)C1 ZINC001363302219 885957282 /nfs/dbraw/zinc/95/72/82/885957282.db2.gz UMRZINFYJXBHCU-INIZCTEOSA-N -1 1 321.377 1.917 20 0 DDADMM COc1cc(Cl)cc(C(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001363376270 886170005 /nfs/dbraw/zinc/17/00/05/886170005.db2.gz OQDKIUCDGUVNTA-UHFFFAOYSA-N -1 1 307.741 1.671 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)Nc1ccc(=O)n(CCO)c1 ZINC001363516240 886531717 /nfs/dbraw/zinc/53/17/17/886531717.db2.gz WKSNOFOWZNNSKM-UHFFFAOYSA-N -1 1 322.748 1.381 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2cc([O-])c(F)c(F)c2)CCC1=O ZINC001232971112 886701030 /nfs/dbraw/zinc/70/10/30/886701030.db2.gz UNDYKUXCYVEGAW-SNVBAGLBSA-N -1 1 313.300 1.625 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC(N2CCCOC2=O)CC1 ZINC001363648066 886879007 /nfs/dbraw/zinc/87/90/07/886879007.db2.gz DCUCURQJFMCIMU-UHFFFAOYSA-N -1 1 322.336 1.978 20 0 DDADMM Oc1cnc(N2CCN(Cc3ccc([S-])cc3)CC2)nc1 ZINC001233262785 886879708 /nfs/dbraw/zinc/87/97/08/886879708.db2.gz UVPBJLDYSHZHQG-UHFFFAOYSA-N -1 1 302.403 1.793 20 0 DDADMM O=C(N[C@H]1CC[C@H](c2nccc(=O)[nH]2)CC1)c1ccc([O-])cn1 ZINC001363667722 886928875 /nfs/dbraw/zinc/92/88/75/886928875.db2.gz HZBPGHLOUDYVCD-XYPYZODXSA-N -1 1 314.345 1.739 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cnsn2)[n-]c1=O ZINC001363674723 886947212 /nfs/dbraw/zinc/94/72/12/886947212.db2.gz PIXSOINZUXENPC-MRVPVSSYSA-N -1 1 307.335 1.020 20 0 DDADMM CC(C)(CNC(N)=O)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001363864509 887424519 /nfs/dbraw/zinc/42/45/19/887424519.db2.gz HLGZMHGXXOSLBD-UHFFFAOYSA-N -1 1 320.324 1.256 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2nc(C)c(C)s2)n[n-]1 ZINC001363885444 887471926 /nfs/dbraw/zinc/47/19/26/887471926.db2.gz KZXRGZKWGDBRJD-SSDOTTSWSA-N -1 1 323.378 1.546 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2nc(C)c(C)s2)[n-]1 ZINC001363885444 887471936 /nfs/dbraw/zinc/47/19/36/887471936.db2.gz KZXRGZKWGDBRJD-SSDOTTSWSA-N -1 1 323.378 1.546 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2nc(C)c(C)s2)n1 ZINC001363885444 887471941 /nfs/dbraw/zinc/47/19/41/887471941.db2.gz KZXRGZKWGDBRJD-SSDOTTSWSA-N -1 1 323.378 1.546 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2c(C)noc2C)[n-]c1=O ZINC001363890671 887483248 /nfs/dbraw/zinc/48/32/48/887483248.db2.gz YYEVCMWSNKVHLN-JTQLQIEISA-N -1 1 318.333 1.773 20 0 DDADMM COC(=O)c1cccc2[n-]c(O[C@H]3C(=O)OCC3(C)C)nc21 ZINC001234040299 887580990 /nfs/dbraw/zinc/58/09/90/887580990.db2.gz YGIDYLIJJDBCAF-NSHDSACASA-N -1 1 304.302 1.680 20 0 DDADMM COC(=O)c1cccc2nc(O[C@H]3C(=O)OCC3(C)C)[n-]c21 ZINC001234040299 887581004 /nfs/dbraw/zinc/58/10/04/887581004.db2.gz YGIDYLIJJDBCAF-NSHDSACASA-N -1 1 304.302 1.680 20 0 DDADMM COC(=O)c1cccc2[n-]c(OC[C@H]3CN(C)CCO3)nc21 ZINC001234045768 887585795 /nfs/dbraw/zinc/58/57/95/887585795.db2.gz WLKKJEFIGCXPGQ-SNVBAGLBSA-N -1 1 305.334 1.059 20 0 DDADMM COC(=O)CC(C)(C)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC001363946517 887592880 /nfs/dbraw/zinc/59/28/80/887592880.db2.gz QSEKUYGPMDSPHI-UHFFFAOYSA-N -1 1 317.363 1.021 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C(C)C)C2CCC2)[n-]n1 ZINC001363946702 887593062 /nfs/dbraw/zinc/59/30/62/887593062.db2.gz RVIYRBQHCUDYLY-UHFFFAOYSA-N -1 1 301.368 1.148 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C(C)C)C2CCC2)n[n-]1 ZINC001363946702 887593069 /nfs/dbraw/zinc/59/30/69/887593069.db2.gz RVIYRBQHCUDYLY-UHFFFAOYSA-N -1 1 301.368 1.148 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1cccnc1 ZINC001363957385 887611228 /nfs/dbraw/zinc/61/12/28/887611228.db2.gz BVKLZKGUXHDEIC-LLVKDONJSA-N -1 1 315.333 1.354 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1cccnc1 ZINC001363957385 887611235 /nfs/dbraw/zinc/61/12/35/887611235.db2.gz BVKLZKGUXHDEIC-LLVKDONJSA-N -1 1 315.333 1.354 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1cccnc1 ZINC001363957385 887611238 /nfs/dbraw/zinc/61/12/38/887611238.db2.gz BVKLZKGUXHDEIC-LLVKDONJSA-N -1 1 315.333 1.354 20 0 DDADMM CCC1(NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCCCC1 ZINC001363979076 887657296 /nfs/dbraw/zinc/65/72/96/887657296.db2.gz LNENPNJYARNKPO-UHFFFAOYSA-N -1 1 315.395 1.588 20 0 DDADMM CCC1(NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCCCC1 ZINC001363979076 887657310 /nfs/dbraw/zinc/65/73/10/887657310.db2.gz LNENPNJYARNKPO-UHFFFAOYSA-N -1 1 315.395 1.588 20 0 DDADMM CC[C@H](F)C(=O)N(CC)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001384597294 887744196 /nfs/dbraw/zinc/74/41/96/887744196.db2.gz CSRNXSJTWSILOK-MNOVXSKESA-N -1 1 311.357 1.502 20 0 DDADMM CCC1(CC(=O)Nc2ccc(OCc3nn[n-]n3)cc2)COC1 ZINC001364046611 887791817 /nfs/dbraw/zinc/79/18/17/887791817.db2.gz HSBXACDZSFNEKH-UHFFFAOYSA-N -1 1 317.349 1.534 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCOCC12CCCC2 ZINC001364073487 887853652 /nfs/dbraw/zinc/85/36/52/887853652.db2.gz QMJNDSDURSYQHQ-JTQLQIEISA-N -1 1 315.395 1.193 20 0 DDADMM CCc1ccc(N2C[C@H](C(=O)Nc3c[n-][nH]c3=O)CC2=O)cc1 ZINC001364150319 888030132 /nfs/dbraw/zinc/03/01/32/888030132.db2.gz SKEBBEQTEJHCSM-LLVKDONJSA-N -1 1 314.345 1.669 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H]1CCC[C@H](C(=O)OC)C1 ZINC001234564898 888104465 /nfs/dbraw/zinc/10/44/65/888104465.db2.gz QXQCAENNTPXRFP-UWVGGRQHSA-N -1 1 324.333 1.388 20 0 DDADMM CCOC(=O)c1nc([C@H](C)Nc2ncc(SC)cn2)n[n-]1 ZINC001364231443 888184310 /nfs/dbraw/zinc/18/43/10/888184310.db2.gz ROVFMOBIDSTLIV-ZETCQYMHSA-N -1 1 308.367 1.666 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)Nc2ncc(SC)cn2)[n-]1 ZINC001364231443 888184318 /nfs/dbraw/zinc/18/43/18/888184318.db2.gz ROVFMOBIDSTLIV-ZETCQYMHSA-N -1 1 308.367 1.666 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)Nc2ncc(SC)cn2)n1 ZINC001364231443 888184325 /nfs/dbraw/zinc/18/43/25/888184325.db2.gz ROVFMOBIDSTLIV-ZETCQYMHSA-N -1 1 308.367 1.666 20 0 DDADMM Cn1nccc1C1(O)CN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC001364573412 888955299 /nfs/dbraw/zinc/95/52/99/888955299.db2.gz ADNVXAXKLXDLSK-UHFFFAOYSA-N -1 1 307.737 1.123 20 0 DDADMM CN(C)C(=O)c1ccc(NC(=O)c2ccc([O-])cc2F)cn1 ZINC001364733464 889299527 /nfs/dbraw/zinc/29/95/27/889299527.db2.gz XNLOGCADXWZASB-UHFFFAOYSA-N -1 1 303.293 1.880 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2ccc(F)c([O-])c2)cn1 ZINC001364794366 889431428 /nfs/dbraw/zinc/43/14/28/889431428.db2.gz LHCGLRBAFDZHFP-UHFFFAOYSA-N -1 1 324.333 1.260 20 0 DDADMM O=S(=O)([N-][C@H]1CCC2(C1)OCCO2)c1cccc(F)c1F ZINC001364809819 889461651 /nfs/dbraw/zinc/46/16/51/889461651.db2.gz NNLHQFOUCWDKKP-VIFPVBQESA-N -1 1 319.329 1.539 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NS(=O)(=O)C[C@H](C)CC)n[n-]1 ZINC001364879303 889595419 /nfs/dbraw/zinc/59/54/19/889595419.db2.gz AMSHXPRSDVGOHN-BDAKNGLRSA-N -1 1 318.399 1.008 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NS(=O)(=O)C[C@H](C)CC)[n-]1 ZINC001364879303 889595433 /nfs/dbraw/zinc/59/54/33/889595433.db2.gz AMSHXPRSDVGOHN-BDAKNGLRSA-N -1 1 318.399 1.008 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NS(=O)(=O)C[C@H](C)CC)n1 ZINC001364879303 889595439 /nfs/dbraw/zinc/59/54/39/889595439.db2.gz AMSHXPRSDVGOHN-BDAKNGLRSA-N -1 1 318.399 1.008 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2cccnc2C)c1 ZINC001364921479 889672636 /nfs/dbraw/zinc/67/26/36/889672636.db2.gz YYQSNIRBBWXQMA-UHFFFAOYSA-N -1 1 308.359 1.583 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCCc2ccccc2C1 ZINC001364958918 889761358 /nfs/dbraw/zinc/76/13/58/889761358.db2.gz FGLZFLZVDJGXBJ-CYBMUJFWSA-N -1 1 321.402 1.791 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1C[N@H+]1CCN(CC(N)=O)C(C)(C)C1 ZINC001238150360 890077275 /nfs/dbraw/zinc/07/72/75/890077275.db2.gz XABFQBOADKIAAN-UHFFFAOYSA-N -1 1 319.405 1.075 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1CCN(CC(N)=O)C(C)(C)C1 ZINC001238150360 890077292 /nfs/dbraw/zinc/07/72/92/890077292.db2.gz XABFQBOADKIAAN-UHFFFAOYSA-N -1 1 319.405 1.075 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)N[C@@H](C)C(F)F)[n-]c1=O ZINC001365266951 890464480 /nfs/dbraw/zinc/46/44/80/890464480.db2.gz YHPUYXYCZOTLEN-LURJTMIESA-N -1 1 304.297 1.379 20 0 DDADMM CCCC[C@@H](C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001374625838 913459663 /nfs/dbraw/zinc/45/96/63/913459663.db2.gz TZZNQCSAQULRFK-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM CCn1ccnc1[C@@H](C)[N-]S(=O)(=O)c1csnc1OC ZINC001365396776 890729001 /nfs/dbraw/zinc/72/90/01/890729001.db2.gz BGISQRFTYVACRE-MRVPVSSYSA-N -1 1 316.408 1.408 20 0 DDADMM CN(Cc1ccoc1)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365665034 891275126 /nfs/dbraw/zinc/27/51/26/891275126.db2.gz YEWUHPOWFSHSNP-UHFFFAOYSA-N -1 1 318.333 1.190 20 0 DDADMM CN1CCN(c2cc(-c3cc(F)cc(F)c3[O-])ncn2)CC1 ZINC001243504488 891670996 /nfs/dbraw/zinc/67/09/96/891670996.db2.gz SALJYHGHPUGGHX-UHFFFAOYSA-N -1 1 306.316 1.879 20 0 DDADMM Cc1ccc([O-])c(-c2nc3nc[nH]c3c(N3CCOCC3)n2)c1 ZINC001244402742 891847979 /nfs/dbraw/zinc/84/79/79/891847979.db2.gz JDHYZJYOHAGYAR-UHFFFAOYSA-N -1 1 311.345 1.871 20 0 DDADMM CN1CCN(c2nccnc2-c2cc(C(=O)[O-])cnc2F)CC1 ZINC001244758905 891910489 /nfs/dbraw/zinc/91/04/89/891910489.db2.gz YEAWTIFDFLORDB-UHFFFAOYSA-N -1 1 317.324 1.128 20 0 DDADMM COc1ncc(-c2ncnn3cccc23)cc1[N-]S(C)(=O)=O ZINC001244794346 891926478 /nfs/dbraw/zinc/92/64/78/891926478.db2.gz SCBHENXACCOLOL-UHFFFAOYSA-N -1 1 319.346 1.171 20 0 DDADMM COc1ncc(-c2cccc3nncn32)cc1[N-]S(C)(=O)=O ZINC001244795187 891932052 /nfs/dbraw/zinc/93/20/52/891932052.db2.gz ZHWYOZBEHOWXBE-UHFFFAOYSA-N -1 1 319.346 1.171 20 0 DDADMM O=C1Cc2cc(-c3cncc(N4CCOCC4)c3)ccc2C(=O)[N-]1 ZINC001245273894 892062399 /nfs/dbraw/zinc/06/23/99/892062399.db2.gz ZJFKAPDTJWIUNR-UHFFFAOYSA-N -1 1 323.352 1.398 20 0 DDADMM CCC[C@H](C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001396399048 913733744 /nfs/dbraw/zinc/73/37/44/913733744.db2.gz DIJNUDLQAYALGE-YNEHKIRRSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(CNC(=O)c1ncc2ccccc2c1[O-])Nc1cccnc1 ZINC001292515692 913755728 /nfs/dbraw/zinc/75/57/28/913755728.db2.gz UTIFZJDZNPAOQR-UHFFFAOYSA-N -1 1 322.324 1.704 20 0 DDADMM Cc1nn(C)c(Nc2cc([O-])c(F)c(F)c2F)c1C(N)=O ZINC001249958782 894175325 /nfs/dbraw/zinc/17/53/25/894175325.db2.gz SEZBWDZJHRIRHL-UHFFFAOYSA-N -1 1 300.240 1.694 20 0 DDADMM CC[C@H](CNC(=O)[C@H](C)C1CCC1)NC(=O)c1ncccc1[O-] ZINC001388100858 894564328 /nfs/dbraw/zinc/56/43/28/894564328.db2.gz GTAPRCJHEXLVNQ-DGCLKSJQSA-N -1 1 319.405 1.848 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])Cc1ccns1 ZINC001366715541 894685249 /nfs/dbraw/zinc/68/52/49/894685249.db2.gz KDVCHGSCTWFJPY-UHFFFAOYSA-N -1 1 320.418 1.886 20 0 DDADMM COc1cc2c(cc1[N-]S(=O)(=O)C(C)C)N(C(C)=O)CC2 ZINC001252529869 895246015 /nfs/dbraw/zinc/24/60/15/895246015.db2.gz NQXJDJLIRGXLBE-UHFFFAOYSA-N -1 1 312.391 1.754 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001388622281 895561803 /nfs/dbraw/zinc/56/18/03/895561803.db2.gz JIQQWPWKPBFLJS-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@](O)(CNc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccccc1 ZINC001253120392 895646159 /nfs/dbraw/zinc/64/61/59/895646159.db2.gz OHGJKJNXWNBIQC-CQSZACIVSA-N -1 1 301.306 1.035 20 0 DDADMM O[C@@H](CNC1=CC=C[CH]1)[C@H](c1ccc(F)cc1F)n1cncn1 ZINC001253902385 896154300 /nfs/dbraw/zinc/15/43/00/896154300.db2.gz RAOVOIMCAQGMSF-HOTGVXAUSA-N -1 1 317.319 1.754 20 0 DDADMM CCCC[C@@H](C)C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001389329518 896949478 /nfs/dbraw/zinc/94/94/78/896949478.db2.gz RAULDLNPZJPZQP-NEPJUHHUSA-N -1 1 307.394 1.848 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](Nc2[n-]c(=O)nc3nc[nH]c32)C1 ZINC001255589726 897036499 /nfs/dbraw/zinc/03/64/99/897036499.db2.gz IKTSQADLGPXFII-MRVPVSSYSA-N -1 1 320.353 1.480 20 0 DDADMM Cc1cnc([N-]S(=O)(=O)c2ccc(F)cc2F)c(=O)[nH]1 ZINC001256117997 897289191 /nfs/dbraw/zinc/28/91/91/897289191.db2.gz JSMHJQSEEORUMI-UHFFFAOYSA-N -1 1 301.274 1.157 20 0 DDADMM Cn1c([N-]S(=O)(=O)c2ccc(Cl)s2)cc(=O)[nH]c1=O ZINC001256533998 897465404 /nfs/dbraw/zinc/46/54/04/897465404.db2.gz SDMDACPDMVRSLM-UHFFFAOYSA-N -1 1 321.767 1.002 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2cccc(C)c2)ccn1 ZINC001256864345 897611410 /nfs/dbraw/zinc/61/14/10/897611410.db2.gz LDQUSDHOOMNZOZ-UHFFFAOYSA-N -1 1 306.343 1.399 20 0 DDADMM C[C@H]1CC[C@H](C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)CC1 ZINC001389686807 897792295 /nfs/dbraw/zinc/79/22/95/897792295.db2.gz HYEBRLBZXXYOIR-RAIGVLPGSA-N -1 1 321.425 1.321 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001389814608 898075981 /nfs/dbraw/zinc/07/59/81/898075981.db2.gz OTIHLAYKFGUWJY-GHMZBOCLSA-N -1 1 303.362 1.212 20 0 DDADMM Cc1cc(CNC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C2CC2)on1 ZINC001367892937 898133359 /nfs/dbraw/zinc/13/33/59/898133359.db2.gz XLPGSAXRWDXUJU-LBPRGKRZSA-N -1 1 319.365 1.018 20 0 DDADMM CSc1nc(NS(=O)(=O)c2c(C)noc2C)cc(=O)[n-]1 ZINC001258511362 898229521 /nfs/dbraw/zinc/22/95/21/898229521.db2.gz WHUZTGWAGIALSH-UHFFFAOYSA-N -1 1 316.364 1.310 20 0 DDADMM CCC[C@@](C)(CC)C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001367967311 898344902 /nfs/dbraw/zinc/34/49/02/898344902.db2.gz KOJFPSLFJKSUTL-MLGOLLRUSA-N -1 1 323.441 1.015 20 0 DDADMM CC(C)(C)N1CC([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC001259083268 898459528 /nfs/dbraw/zinc/45/95/28/898459528.db2.gz HWCYZEDVZSCMJX-UHFFFAOYSA-N -1 1 322.352 1.865 20 0 DDADMM O=S(=O)(CCc1ccccc1F)[N-]c1ccn2cnnc2c1 ZINC001259781211 898798741 /nfs/dbraw/zinc/79/87/41/898798741.db2.gz RSXORPIPAUWUBE-UHFFFAOYSA-N -1 1 320.349 1.853 20 0 DDADMM O=S(=O)([N-][C@@H]1CCN(Cc2ccccc2F)C1)C(F)F ZINC001259963215 898986588 /nfs/dbraw/zinc/98/65/88/898986588.db2.gz CMRVDSAZRYJZAG-SNVBAGLBSA-N -1 1 308.325 1.542 20 0 DDADMM O=S(=O)([N-]Cc1cnn(Cc2ccccc2)c1)C(F)F ZINC001259963513 898987306 /nfs/dbraw/zinc/98/73/06/898987306.db2.gz XPHVHGHMGYQKNN-UHFFFAOYSA-N -1 1 301.318 1.573 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2cnc3ccnn3c2)c1 ZINC001260184007 899077105 /nfs/dbraw/zinc/07/71/05/899077105.db2.gz NCCOORDCVVVGHT-UHFFFAOYSA-N -1 1 318.314 1.228 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](CO)C1)c1cc(Cl)c(F)cc1F ZINC001260206718 899087784 /nfs/dbraw/zinc/08/77/84/899087784.db2.gz ZEZXEUNQJPGNHQ-LJGSYFOKSA-N -1 1 311.737 1.667 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CC1)c1cc(Cl)c(F)cc1F ZINC001260206966 899087819 /nfs/dbraw/zinc/08/78/19/899087819.db2.gz IAMXSHJZBUNTHD-JTQLQIEISA-N -1 1 311.737 1.667 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cccc(CO)n2)cc1 ZINC001260222249 899096227 /nfs/dbraw/zinc/09/62/27/899096227.db2.gz SLYFVNBRSWMAHD-UHFFFAOYSA-N -1 1 308.315 1.073 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](O)C1)c1cc(F)c(F)cc1Cl ZINC001260286120 899109312 /nfs/dbraw/zinc/10/93/12/899109312.db2.gz AXRJCFFWBSRUTE-BQBZGAKWSA-N -1 1 311.737 1.810 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C(C)(C)COC ZINC001390380651 899297188 /nfs/dbraw/zinc/29/71/88/899297188.db2.gz MJSJTAOREGXKKA-NSHDSACASA-N -1 1 323.393 1.084 20 0 DDADMM O=S(=O)([N-]c1c(F)cccc1N1CCCC1)c1cn[nH]c1 ZINC001260961585 899301897 /nfs/dbraw/zinc/30/18/97/899301897.db2.gz GSERAAQDSBOYJW-UHFFFAOYSA-N -1 1 310.354 1.950 20 0 DDADMM O=C([O-])Cn1cc(CNCC[C@H]2OCCc3ccccc32)nn1 ZINC001262491857 900194958 /nfs/dbraw/zinc/19/49/58/900194958.db2.gz QWGGXIBJBWPLOW-OAHLLOKOSA-N -1 1 316.361 1.156 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2cc(C)c(C)cc21 ZINC001263012305 900466837 /nfs/dbraw/zinc/46/68/37/900466837.db2.gz GXVMRLMNGFYGAO-UHFFFAOYSA-N -1 1 310.365 1.789 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2cc(C)c(C)cc21 ZINC001263012305 900466842 /nfs/dbraw/zinc/46/68/42/900466842.db2.gz GXVMRLMNGFYGAO-UHFFFAOYSA-N -1 1 310.365 1.789 20 0 DDADMM Cc1ccc(CNC(=O)NCC[N-]C(=O)C(F)(F)F)cc1 ZINC000586427934 900635911 /nfs/dbraw/zinc/63/59/11/900635911.db2.gz DQUVKUSHCMULSQ-UHFFFAOYSA-N -1 1 303.284 1.473 20 0 DDADMM CCc1ccsc1C(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001374982124 914518762 /nfs/dbraw/zinc/51/87/62/914518762.db2.gz PUBQOVZKDACPAH-QMMMGPOBSA-N -1 1 309.395 1.042 20 0 DDADMM O=C(NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CC=CCC1 ZINC001265152465 901637006 /nfs/dbraw/zinc/63/70/06/901637006.db2.gz YZSQHSAYOOEXHS-LBPRGKRZSA-N -1 1 319.409 1.337 20 0 DDADMM Cc1[nH]ccc1C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001369803168 901993395 /nfs/dbraw/zinc/99/33/95/901993395.db2.gz CDBSRWATMBOEGN-SNVBAGLBSA-N -1 1 316.361 1.314 20 0 DDADMM CCC(=O)N[C@@H](C)[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001396877411 914644216 /nfs/dbraw/zinc/64/42/16/914644216.db2.gz MUWYWMCMWFEACO-NWDGAFQWSA-N -1 1 305.378 1.697 20 0 DDADMM CCN(CCCNC(=O)[C@@H]1C[C@@H]1C(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001266098036 902936124 /nfs/dbraw/zinc/93/61/24/902936124.db2.gz LKRYQUJITLILBZ-VXGBXAGGSA-N -1 1 309.414 1.131 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CC2CCC1CC2 ZINC001392136709 903364436 /nfs/dbraw/zinc/36/44/36/903364436.db2.gz GAQXOKLZJLWGES-YWPUVAFDSA-N -1 1 321.425 1.273 20 0 DDADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CC1CCC1 ZINC001392150934 903400230 /nfs/dbraw/zinc/40/02/30/903400230.db2.gz UGZKBHUPBUQEIJ-LBPRGKRZSA-N -1 1 309.414 1.321 20 0 DDADMM CC[C@@H](C)CCC(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001392559979 904468884 /nfs/dbraw/zinc/46/88/84/904468884.db2.gz SPYKVELJJVKCLM-VXGBXAGGSA-N -1 1 307.394 1.848 20 0 DDADMM CCN(C(=O)/C=C/C1CC1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001281482811 904922281 /nfs/dbraw/zinc/92/22/81/904922281.db2.gz SCZPUNHSBKOXIA-IDVQTMNDSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(F)cc1)NC(=O)c1ncccc1[O-] ZINC001392775009 905216149 /nfs/dbraw/zinc/21/61/49/905216149.db2.gz SLTRBUDHKXKRAD-JTQLQIEISA-N -1 1 317.320 1.475 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001282113958 905554782 /nfs/dbraw/zinc/55/47/82/905554782.db2.gz QXDWRQMEDGETTK-RRDLLQCMSA-N -1 1 317.389 1.768 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC12CC2 ZINC001373260988 909339351 /nfs/dbraw/zinc/33/93/51/909339351.db2.gz LJYMWHMJEINJGL-GHMZBOCLSA-N -1 1 303.362 1.212 20 0 DDADMM CN(C(=O)[C@H]1CCC1(C)C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001394430086 909610915 /nfs/dbraw/zinc/61/09/15/909610915.db2.gz BYGVOHVVNCNKQJ-VXGBXAGGSA-N -1 1 321.425 1.273 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)CCC(F)F ZINC001373413112 909792716 /nfs/dbraw/zinc/79/27/16/909792716.db2.gz OSOAOFIPIGNOCE-UHFFFAOYSA-N -1 1 301.293 1.021 20 0 DDADMM C[C@@H](CN(C)C(=O)C12CCC(CC1)C2)NCc1n[nH]c(=O)[n-]1 ZINC001394495466 909812865 /nfs/dbraw/zinc/81/28/65/909812865.db2.gz NWVBLNYFLIIVIV-NLTNOIMHSA-N -1 1 307.398 1.027 20 0 DDADMM CC1(CC(=O)NC/C=C/CNC(=O)c2ncccc2[O-])CC1 ZINC001285528916 910741630 /nfs/dbraw/zinc/74/16/30/910741630.db2.gz BDZFLEFBBZUTOG-NSCUHMNNSA-N -1 1 303.362 1.380 20 0 DDADMM CC[C@H](C)OCC(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001395069722 911290036 /nfs/dbraw/zinc/29/00/36/911290036.db2.gz UEWBCLCDZHRICR-RYUDHWBXSA-N -1 1 323.393 1.179 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C=O)ccc1OC)c1nn[n-]n1 ZINC001294421753 915128813 /nfs/dbraw/zinc/12/88/13/915128813.db2.gz HGFOGLAPABBOMY-LLVKDONJSA-N -1 1 303.322 1.292 20 0 DDADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)[C@]12C[C@H]1CCCC2 ZINC001375255561 915393501 /nfs/dbraw/zinc/39/35/01/915393501.db2.gz OMTQQAIQIWXBHY-XHBSWPGZSA-N -1 1 319.409 1.075 20 0 DDADMM O=C(NCCc1ccc(O)cc1)c1ccc2n[n-]c(=S)n2c1 ZINC001294913093 915457699 /nfs/dbraw/zinc/45/76/99/915457699.db2.gz LHMQEJAMUIZJPE-UHFFFAOYSA-N -1 1 314.370 1.696 20 0 DDADMM CCC(=O)N[C@]1(CNC(=O)c2ncccc2[O-])CCCC[C@@H]1C ZINC001375394330 915802959 /nfs/dbraw/zinc/80/29/59/915802959.db2.gz WWENGOZDFSGIHK-SJCJKPOMSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)CC=CCC1 ZINC001295469119 915823896 /nfs/dbraw/zinc/82/38/96/915823896.db2.gz HUVKMSSHLXOICE-SJCJKPOMSA-N -1 1 317.389 1.768 20 0 DDADMM Cc1nc(C)c(CNC2(CNC(=O)c3ncccc3O)CC2)o1 ZINC001375414446 915859329 /nfs/dbraw/zinc/85/93/29/915859329.db2.gz BSILZKUAEROGHC-UHFFFAOYSA-N -1 1 316.361 1.444 20 0 DDADMM Cc1cc(C)cc(C(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)c1 ZINC001376857688 919584901 /nfs/dbraw/zinc/58/49/01/919584901.db2.gz OIIACLUAOGTSGE-LLVKDONJSA-N -1 1 303.366 1.035 20 0 DDADMM CCC(=O)NC[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001377212580 920649089 /nfs/dbraw/zinc/64/90/89/920649089.db2.gz VKZICVFCUJGOIJ-SECBINFHSA-N -1 1 308.382 1.259 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)CCC1CCCC1 ZINC001377754285 922901016 /nfs/dbraw/zinc/90/10/16/922901016.db2.gz MWXXNEZSAVOELS-GHMZBOCLSA-N -1 1 309.414 1.464 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@@H](C)[C@H](C)C3)nc2n1 ZINC000622993134 365585068 /nfs/dbraw/zinc/58/50/68/365585068.db2.gz CDXDCQYDQDCSHG-GMTAPVOTSA-N -1 1 317.393 1.991 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3(CC)CCCC3)nc2n1 ZINC000622994561 365586958 /nfs/dbraw/zinc/58/69/58/365586958.db2.gz UOPIGPMIOPYRPR-UHFFFAOYSA-N -1 1 303.366 1.889 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@H](C)n3cccc3)nc2n1 ZINC000622995333 365588274 /nfs/dbraw/zinc/58/82/74/365588274.db2.gz XZELHFPBTJHOOP-JTQLQIEISA-N -1 1 314.349 1.371 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)OCCC(C)C)nc2n1 ZINC000622995161 365588889 /nfs/dbraw/zinc/58/88/89/365588889.db2.gz QTTZPINZSREVTA-SNVBAGLBSA-N -1 1 321.381 1.370 20 0 DDADMM CC[C@@H]1CCC[C@@H](NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000077136046 185111843 /nfs/dbraw/zinc/11/18/43/185111843.db2.gz TXKWENYNMKVGEX-GHMZBOCLSA-N -1 1 314.407 1.886 20 0 DDADMM C[C@@H](Cc1ccco1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614391817 361835234 /nfs/dbraw/zinc/83/52/34/361835234.db2.gz VYEALWIZQNLOAN-WDEREUQCSA-N -1 1 305.334 1.953 20 0 DDADMM COCCCn1cc(C)c([N-]S(=O)(=O)N(C)CC2CC2)n1 ZINC000451088000 231007523 /nfs/dbraw/zinc/00/75/23/231007523.db2.gz OZBBYYOQMLYEBQ-UHFFFAOYSA-N -1 1 316.427 1.227 20 0 DDADMM COC[C@@H](O)CC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451365148 231077693 /nfs/dbraw/zinc/07/76/93/231077693.db2.gz WBOJTMPYXULQMP-NSHDSACASA-N -1 1 317.338 1.248 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)F)c1cc2cc(F)ccc2o1 ZINC000451455321 231101758 /nfs/dbraw/zinc/10/17/58/231101758.db2.gz ADPPMNFVDLIVPK-SECBINFHSA-N -1 1 323.292 1.866 20 0 DDADMM CCn1ncnc1CS(=O)(=O)c1nc(-c2ccccc2)n[n-]1 ZINC000447200088 230342706 /nfs/dbraw/zinc/34/27/06/230342706.db2.gz NLZALXMGKFUAJZ-UHFFFAOYSA-N -1 1 318.362 1.057 20 0 DDADMM CCn1ncnc1CS(=O)(=O)c1n[n-]c(-c2ccccc2)n1 ZINC000447200088 230342710 /nfs/dbraw/zinc/34/27/10/230342710.db2.gz NLZALXMGKFUAJZ-UHFFFAOYSA-N -1 1 318.362 1.057 20 0 DDADMM O=C(CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)Nc1c([O-])cccc1F ZINC000089541531 539172813 /nfs/dbraw/zinc/17/28/13/539172813.db2.gz OOBFQHFOPNHOPZ-NXEZZACHSA-N -1 1 320.320 1.645 20 0 DDADMM O=c1nc([C@@H]2CCCN(c3ncnc4ccsc43)C2)[nH][n-]1 ZINC000286604704 219077362 /nfs/dbraw/zinc/07/73/62/219077362.db2.gz XYACOLATWHMNAJ-MRVPVSSYSA-N -1 1 302.363 1.487 20 0 DDADMM CS(=O)(=O)C1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000312771892 539294232 /nfs/dbraw/zinc/29/42/32/539294232.db2.gz ZODDIXMWCBSTDN-UHFFFAOYSA-N -1 1 301.339 1.181 20 0 DDADMM C[C@](NC(=O)c1ccc2[nH]nnc2c1)(C(=O)[O-])c1ccccc1 ZINC000320285245 539297153 /nfs/dbraw/zinc/29/71/53/539297153.db2.gz OXJRGIARWBVLOH-MRXNPFEDSA-N -1 1 310.313 1.688 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cc1ccccc1O[C@H]1CCOC1 ZINC000615229041 362204032 /nfs/dbraw/zinc/20/40/32/362204032.db2.gz NXICLKUWKCSERO-LBPRGKRZSA-N -1 1 317.345 1.773 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc2c(c1)CCCC(=O)N2 ZINC000615228507 362204165 /nfs/dbraw/zinc/20/41/65/362204165.db2.gz YLTYOSYFEMJZDZ-UHFFFAOYSA-N -1 1 300.318 1.951 20 0 DDADMM C[C@H]1CCCC[C@@]1(C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000457234156 232019494 /nfs/dbraw/zinc/01/94/94/232019494.db2.gz ANZRULOOMTVYSQ-MEDUHNTESA-N -1 1 305.378 1.602 20 0 DDADMM CCC[C@H](O)[C@@H](CO)NC(=O)c1ncc2ccccc2c1[O-] ZINC000616311494 362629734 /nfs/dbraw/zinc/62/97/34/362629734.db2.gz TWIRGHPOZDDPOB-OLZOCXBDSA-N -1 1 304.346 1.192 20 0 DDADMM CCOC(=O)C(C)(C)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358355619 299129336 /nfs/dbraw/zinc/12/93/36/299129336.db2.gz XFXXGEYANLEICX-UHFFFAOYSA-N -1 1 320.349 1.088 20 0 DDADMM COC(=O)[C@@H](CC(F)F)[N-]S(=O)(=O)Cc1ccccc1C ZINC000341720239 282470230 /nfs/dbraw/zinc/47/02/30/282470230.db2.gz ZFVJPURUYFPYBG-LLVKDONJSA-N -1 1 321.345 1.611 20 0 DDADMM CS(=O)(=O)c1cc(-c2nc3cccnc3c(=O)[n-]2)ccn1 ZINC000491607434 539544790 /nfs/dbraw/zinc/54/47/90/539544790.db2.gz OKTVJRAAHHNIKP-UHFFFAOYSA-N -1 1 302.315 1.196 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCSC2)c1 ZINC000279878549 215201428 /nfs/dbraw/zinc/20/14/28/215201428.db2.gz UHEMZWFZUBZVSI-QMMMGPOBSA-N -1 1 305.377 1.098 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1COC(C)(C)C1 ZINC000617054375 362936741 /nfs/dbraw/zinc/93/67/41/362936741.db2.gz DIXYYIBJVPSTIB-LLVKDONJSA-N -1 1 318.377 1.278 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)C[C@@H]3CSCCS3)ccnc1-2 ZINC000279938477 215254059 /nfs/dbraw/zinc/25/40/59/215254059.db2.gz XAJPLJNLPAOIKF-IUJQDPHBSA-N -1 1 308.432 1.519 20 0 DDADMM CC(C)Cn1ncc(C(=O)Nc2nnn[n-]2)c1-c1ccccc1 ZINC000277229913 213382138 /nfs/dbraw/zinc/38/21/38/213382138.db2.gz ZYUDTQNOBUAQIT-UHFFFAOYSA-N -1 1 311.349 1.972 20 0 DDADMM CC(C)Cn1ncc(C(=O)Nc2nn[n-]n2)c1-c1ccccc1 ZINC000277229913 213382141 /nfs/dbraw/zinc/38/21/41/213382141.db2.gz ZYUDTQNOBUAQIT-UHFFFAOYSA-N -1 1 311.349 1.972 20 0 DDADMM O=C(N=c1cc(-c2cccs2)[n-][nH]1)[C@H]1CC(=O)N(C2CC2)C1 ZINC000617365660 363073928 /nfs/dbraw/zinc/07/39/28/363073928.db2.gz FATAEAQYDUPNMB-VIFPVBQESA-N -1 1 316.386 1.510 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](C)[C@H]2C)o1 ZINC000172999203 198175250 /nfs/dbraw/zinc/17/52/50/198175250.db2.gz ACIZZTWZEVUQPV-NXEZZACHSA-N -1 1 300.380 1.448 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)CC(C)(C)OC)o1 ZINC000174141962 198296310 /nfs/dbraw/zinc/29/63/10/198296310.db2.gz LMEMSTQPKBXAPY-SECBINFHSA-N -1 1 318.395 1.121 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](C)[C@@H](O)C1 ZINC000089432273 353771851 /nfs/dbraw/zinc/77/18/51/353771851.db2.gz UEZPGSCOMDYNJZ-KOLCDFICSA-N -1 1 307.394 1.219 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCc3cc(C)ccc32)o1 ZINC000091055395 353807626 /nfs/dbraw/zinc/80/76/26/353807626.db2.gz BCXHKYIXHALWSJ-UHFFFAOYSA-N -1 1 320.370 1.699 20 0 DDADMM C[C@H]1OCC[C@H]1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091160804 353813795 /nfs/dbraw/zinc/81/37/95/353813795.db2.gz WMWFKSAZGOWSTM-PSASIEDQSA-N -1 1 316.354 1.561 20 0 DDADMM C[C@@H](CN=c1[n-]c(-c2ccc(F)cc2)no1)N1CCN(C)CC1 ZINC000623931892 366118938 /nfs/dbraw/zinc/11/89/38/366118938.db2.gz OXFOXDCPJUDTRY-LBPRGKRZSA-N -1 1 319.384 1.345 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C[C@@H]2CCc3ccccc32)n1 ZINC000115711294 353987988 /nfs/dbraw/zinc/98/79/88/353987988.db2.gz TUWPGVADKUSFQI-JTQLQIEISA-N -1 1 320.374 1.267 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C[C@@H]2CCc3ccccc32)[n-]1 ZINC000115711294 353987990 /nfs/dbraw/zinc/98/79/90/353987990.db2.gz TUWPGVADKUSFQI-JTQLQIEISA-N -1 1 320.374 1.267 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C#N)c(Cl)c1)c1nn[n-]n1 ZINC000130727660 354096190 /nfs/dbraw/zinc/09/61/90/354096190.db2.gz NLHOCHIFJQJUAN-LLVKDONJSA-N -1 1 304.741 1.996 20 0 DDADMM Cc1nc(S(=O)(=O)Nc2c(Cl)cccc2C(=O)[O-])c[nH]1 ZINC000230026943 354324441 /nfs/dbraw/zinc/32/44/41/354324441.db2.gz HJRJNDXXQCRTRT-UHFFFAOYSA-N -1 1 315.738 1.871 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1Cc1nnnn1-c1ccccc1 ZINC000322554584 354536821 /nfs/dbraw/zinc/53/68/21/354536821.db2.gz WBZJJCDCEFFEEF-KYOSRNDESA-N -1 1 313.361 1.347 20 0 DDADMM COc1ccc(-c2nc([C@@H]3CN(C)CCN3C)no2)c([O-])c1 ZINC000317615859 354511897 /nfs/dbraw/zinc/51/18/97/354511897.db2.gz GWPCOKFBDUHONQ-LBPRGKRZSA-N -1 1 304.350 1.369 20 0 DDADMM COC(=O)c1cc(Cl)cc([N-]C(=O)c2cnns2)c1O ZINC000588100873 354901474 /nfs/dbraw/zinc/90/14/74/354901474.db2.gz OGCSWBGTUCYDDG-UHFFFAOYSA-N -1 1 313.722 1.936 20 0 DDADMM COc1ccc(NC(=O)N2CC(C)C2)cc1[N-]S(C)(=O)=O ZINC000332474399 235009256 /nfs/dbraw/zinc/00/92/56/235009256.db2.gz SWJAICPQRHPTRL-UHFFFAOYSA-N -1 1 313.379 1.550 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2C[C@@H](C)N(CC)C[C@H]2C)s1 ZINC000346392907 283082551 /nfs/dbraw/zinc/08/25/51/283082551.db2.gz NIHIABQXUPZCRC-GHMZBOCLSA-N -1 1 311.455 1.859 20 0 DDADMM C[C@H](NC(=O)CC1CCCCC1)C(=O)Nc1ccncc1[O-] ZINC000332636329 235226956 /nfs/dbraw/zinc/22/69/56/235226956.db2.gz STNHUESWLNORDQ-NSHDSACASA-N -1 1 305.378 1.623 20 0 DDADMM CC[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)OC(C)(C)C ZINC000592895835 355732961 /nfs/dbraw/zinc/73/29/61/355732961.db2.gz FJPKWMUZZCGBQB-LBPRGKRZSA-N -1 1 307.346 1.811 20 0 DDADMM COc1cc(C(=O)[N-]c2cc3c(cc2F)CCC(=O)N3)on1 ZINC000346589858 283132809 /nfs/dbraw/zinc/13/28/09/283132809.db2.gz YXJLCTXTKFRJBZ-UHFFFAOYSA-N -1 1 305.265 1.959 20 0 DDADMM COc1ccc(=NC(=O)N=c2cc(-c3ccc(C)o3)[nH][nH]2)[n-]n1 ZINC000346628792 283137328 /nfs/dbraw/zinc/13/73/28/283137328.db2.gz HEPKVDDKKXHIIK-UHFFFAOYSA-N -1 1 314.305 1.265 20 0 DDADMM COC(=O)[C@H]([N-]S(C)(=O)=O)c1ccccc1Br ZINC000594842719 356334380 /nfs/dbraw/zinc/33/43/80/356334380.db2.gz HCEILWVMKKPXBI-SECBINFHSA-N -1 1 322.180 1.212 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2cc(C)ccn2)cc1C ZINC000595334515 356453302 /nfs/dbraw/zinc/45/33/02/356453302.db2.gz PCCKTJFBCYNHJI-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](CCO)C(C)C)cc1C ZINC000595315391 356446603 /nfs/dbraw/zinc/44/66/03/356446603.db2.gz MZBFRMLCDNNDLL-JTQLQIEISA-N -1 1 319.379 1.060 20 0 DDADMM O=C(c1ccc(I)c([O-])c1)N1CCOC1 ZINC000597722919 357303217 /nfs/dbraw/zinc/30/32/17/357303217.db2.gz IUOQOKDZLMKKER-UHFFFAOYSA-N -1 1 319.098 1.427 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1C[C@@H]2C[C@H]1C[S@]2=O ZINC000597825756 357346907 /nfs/dbraw/zinc/34/69/07/357346907.db2.gz XBBSXHWSFVKFSR-ZPMVXHMNSA-N -1 1 302.355 1.286 20 0 DDADMM CSCC[C@@H](O)C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000597843046 357354215 /nfs/dbraw/zinc/35/42/15/357354215.db2.gz QDQDARHFKJKTNB-SNVBAGLBSA-N -1 1 311.432 1.921 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2cncc([O-])c2)[C@H]2CCCC[C@H]12 ZINC000598173307 357483319 /nfs/dbraw/zinc/48/33/19/357483319.db2.gz BQPVXMFEVNRDSG-KFWWJZLASA-N -1 1 318.373 1.981 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H]2CCCC[C@@H]12 ZINC000598194448 357492661 /nfs/dbraw/zinc/49/26/61/357492661.db2.gz ZLFUMKWDRUFNQO-XQQFMLRXSA-N -1 1 318.373 1.981 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC3(CCC3)C[C@H]2C)o1 ZINC000372908541 307176240 /nfs/dbraw/zinc/17/62/40/307176240.db2.gz CTXOKAIWLASFLP-SNVBAGLBSA-N -1 1 312.391 1.592 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](CO)CCC(C)C)c1 ZINC000599408804 357924532 /nfs/dbraw/zinc/92/45/32/357924532.db2.gz BBYMGKGZSRXZAH-LLVKDONJSA-N -1 1 319.379 1.142 20 0 DDADMM NC(=O)[C@H]1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000180512853 199170026 /nfs/dbraw/zinc/17/00/26/199170026.db2.gz AIXRAYFEJWFAQL-ZETCQYMHSA-N -1 1 302.252 1.358 20 0 DDADMM COc1ccc(-c2cc(C(=O)N3CC[C@H](C(=O)[O-])C3)n[nH]2)cc1 ZINC000237405243 202257147 /nfs/dbraw/zinc/25/71/47/202257147.db2.gz KQQBXBNIUQPIOG-NSHDSACASA-N -1 1 315.329 1.632 20 0 DDADMM COCCS(=O)(=O)[N-]c1c(F)c(F)c(F)c(F)c1F ZINC000180698672 199198607 /nfs/dbraw/zinc/19/86/07/199198607.db2.gz NIFPMJPISDGVJX-UHFFFAOYSA-N -1 1 305.224 1.770 20 0 DDADMM Cc1ccc(CSCCNC(=O)CCc2nn[n-]n2)cc1 ZINC000600953661 358355125 /nfs/dbraw/zinc/35/51/25/358355125.db2.gz ADJMPRSYWHXUTA-UHFFFAOYSA-N -1 1 305.407 1.490 20 0 DDADMM CSCc1cc(F)ccc1CNC(=O)CCCc1nn[n-]n1 ZINC000602112090 358821323 /nfs/dbraw/zinc/82/13/23/358821323.db2.gz CYQCUDCSASECOW-UHFFFAOYSA-N -1 1 323.397 1.841 20 0 DDADMM COC(=O)C(C)(C)[C@H]1CCCN(Cc2cc(C(=O)[O-])nn2C)C1 ZINC000602066197 358798186 /nfs/dbraw/zinc/79/81/86/358798186.db2.gz MFAKWMUXUCXCTK-NSHDSACASA-N -1 1 323.393 1.530 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)c2ccsc2)n[n-]1 ZINC000603015892 359359111 /nfs/dbraw/zinc/35/91/11/359359111.db2.gz LPJONEGRDUWSSX-QMMMGPOBSA-N -1 1 308.363 1.463 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)c2ccsc2)n1 ZINC000603015892 359359113 /nfs/dbraw/zinc/35/91/13/359359113.db2.gz LPJONEGRDUWSSX-QMMMGPOBSA-N -1 1 308.363 1.463 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCCC[C@H]2C2CC2)n[n-]1 ZINC000603019681 359361964 /nfs/dbraw/zinc/36/19/64/359361964.db2.gz CNALXYNTXZTLOB-NWDGAFQWSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCCC[C@H]2C2CC2)n1 ZINC000603019681 359361969 /nfs/dbraw/zinc/36/19/69/359361969.db2.gz CNALXYNTXZTLOB-NWDGAFQWSA-N -1 1 320.393 1.814 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCC[C@@H]3C[C@@H]32)co1 ZINC000618505931 363654094 /nfs/dbraw/zinc/65/40/94/363654094.db2.gz QEBWHRAZSWTNJF-SCVCMEIPSA-N -1 1 312.391 1.354 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(SC)c2)n[n-]1 ZINC000603022732 359364963 /nfs/dbraw/zinc/36/49/63/359364963.db2.gz PPIXAMYIEKXSJS-UHFFFAOYSA-N -1 1 320.374 1.633 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(SC)c2)n1 ZINC000603022732 359364966 /nfs/dbraw/zinc/36/49/66/359364966.db2.gz PPIXAMYIEKXSJS-UHFFFAOYSA-N -1 1 320.374 1.633 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)n[n-]1 ZINC000603153384 359440555 /nfs/dbraw/zinc/44/05/55/359440555.db2.gz IBIKRWYLIQCUPP-AMDHYVTDSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)[n-]1 ZINC000603153384 359440563 /nfs/dbraw/zinc/44/05/63/359440563.db2.gz IBIKRWYLIQCUPP-AMDHYVTDSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)n1 ZINC000603153384 359440569 /nfs/dbraw/zinc/44/05/69/359440569.db2.gz IBIKRWYLIQCUPP-AMDHYVTDSA-N -1 1 306.366 1.595 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)C[C@H]1C(C)(C)C1(F)F ZINC000603371385 359598846 /nfs/dbraw/zinc/59/88/46/359598846.db2.gz ORODJWKLQYYYPW-YUMQZZPRSA-N -1 1 317.329 1.098 20 0 DDADMM COC(=O)c1cc(F)ccc1S(=O)(=O)[N-][C@H](C)C(F)F ZINC000603423780 359632409 /nfs/dbraw/zinc/63/24/09/359632409.db2.gz NZTQZSLJCKDOEP-ZCFIWIBFSA-N -1 1 311.281 1.544 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000187864833 200168150 /nfs/dbraw/zinc/16/81/50/200168150.db2.gz ZPPALRLBBDFZQA-LSDHHAIUSA-N -1 1 318.373 1.119 20 0 DDADMM O=C([O-])CCN1CCN([C@H](CO)c2ccc(Cl)cc2)CC1 ZINC000604773980 359798005 /nfs/dbraw/zinc/79/80/05/359798005.db2.gz VKJFMABIPBWAPY-CQSZACIVSA-N -1 1 312.797 1.466 20 0 DDADMM CO[C@@H](C)[C@H](C)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000188303969 200224600 /nfs/dbraw/zinc/22/46/00/200224600.db2.gz JGTXOEXYLRKBGI-WPRPVWTQSA-N -1 1 313.423 1.272 20 0 DDADMM C[C@H](Cc1ccc(C(F)(F)F)cc1)C(=O)NCc1nn[n-]n1 ZINC000606370033 359909775 /nfs/dbraw/zinc/90/97/75/359909775.db2.gz AQYHFCVAHJUGIL-MRVPVSSYSA-N -1 1 313.283 1.714 20 0 DDADMM CC(C)c1noc(=NCCN2CCN(c3ccccc3)CC2)[n-]1 ZINC000605691178 359886816 /nfs/dbraw/zinc/88/68/16/359886816.db2.gz YONRWTVACUYVGU-UHFFFAOYSA-N -1 1 315.421 1.849 20 0 DDADMM O=C(Nc1nnn[n-]1)C1(c2cncc(Br)c2)CC1 ZINC000281160333 216120154 /nfs/dbraw/zinc/12/01/54/216120154.db2.gz GBIXPZDLUVYYID-UHFFFAOYSA-N -1 1 309.127 1.028 20 0 DDADMM O=C(Nc1nn[n-]n1)C1(c2cncc(Br)c2)CC1 ZINC000281160333 216120159 /nfs/dbraw/zinc/12/01/59/216120159.db2.gz GBIXPZDLUVYYID-UHFFFAOYSA-N -1 1 309.127 1.028 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)c1ccnc(C2CC2)n1 ZINC000610231390 360392979 /nfs/dbraw/zinc/39/29/79/360392979.db2.gz RZNWDUDTBQJLPW-UHFFFAOYSA-N -1 1 315.333 1.815 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-][C@@H](COC)c1ccco1)C1CC1 ZINC000625043561 366681585 /nfs/dbraw/zinc/68/15/85/366681585.db2.gz VNMVOLWFHURJNN-GXTWGEPZSA-N -1 1 317.407 1.702 20 0 DDADMM CC(C)COC[C@H](O)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000612413716 361004114 /nfs/dbraw/zinc/00/41/14/361004114.db2.gz DXINYOWNQQGUGC-CYBMUJFWSA-N -1 1 318.373 1.704 20 0 DDADMM COC(=O)C(C)(C)[C@@H](NCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000612462485 361029509 /nfs/dbraw/zinc/02/95/09/361029509.db2.gz ZREYQZUVPJWSDR-ZDUSSCGKSA-N -1 1 318.377 1.139 20 0 DDADMM CCC[C@H](C(=O)Nc1ccc([O-])c(Cl)c1)S(N)(=O)=O ZINC000612759340 361136632 /nfs/dbraw/zinc/13/66/32/361136632.db2.gz JRCRPAZQBUZJQA-SNVBAGLBSA-N -1 1 306.771 1.441 20 0 DDADMM CCOC1(CS(=O)(=O)[N-]C(C)(C)C(F)F)CCOCC1 ZINC000625168851 366756430 /nfs/dbraw/zinc/75/64/30/366756430.db2.gz NEXPBZFTUILFDP-UHFFFAOYSA-N -1 1 315.382 1.535 20 0 DDADMM O=C(N[C@@H](CO)C1CCOCC1)c1ncc2ccccc2c1[O-] ZINC000613406077 361407889 /nfs/dbraw/zinc/40/78/89/361407889.db2.gz CUPGXPCMGTYBDE-AWEZNQCLSA-N -1 1 316.357 1.458 20 0 DDADMM COc1cccc(N2CCN(C(=O)c3ncccc3[O-])CC2)c1 ZINC000193890820 201140181 /nfs/dbraw/zinc/14/01/81/201140181.db2.gz VWNMFBNRSOOHNW-UHFFFAOYSA-N -1 1 313.357 1.758 20 0 DDADMM COC(=O)C1(CNC(=O)c2ccc([O-])c(F)c2)CCOCC1 ZINC000613526389 361454530 /nfs/dbraw/zinc/45/45/30/361454530.db2.gz IVQHDZQOHYOTKX-UHFFFAOYSA-N -1 1 311.309 1.231 20 0 DDADMM COC(=O)[C@H]1C[C@H](OC)CCN1C(=O)c1ccc([O-])c(F)c1 ZINC000613524302 361455008 /nfs/dbraw/zinc/45/50/08/361455008.db2.gz BXRCBVCRTQDQQY-ZYHUDNBSSA-N -1 1 311.309 1.324 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc([C@@H]3C[C@@H]3C)[nH]n2)o1 ZINC000194552395 201249598 /nfs/dbraw/zinc/24/95/98/201249598.db2.gz MXKRQPLBVVVUDS-JGVFFNPUSA-N -1 1 324.362 1.287 20 0 DDADMM CCOC(=O)[C@H]([N-]C(=O)C(F)F)c1ccc(OC)c(F)c1 ZINC000194874235 201303466 /nfs/dbraw/zinc/30/34/66/201303466.db2.gz XBXZTVYYQMPFSO-SNVBAGLBSA-N -1 1 305.252 1.820 20 0 DDADMM Cn1cc(C[C@H]2CCC[C@@H]2NC(=O)c2ncccc2[O-])cn1 ZINC000618932100 363809644 /nfs/dbraw/zinc/80/96/44/363809644.db2.gz OIJLAZOEXZNNTI-OLZOCXBDSA-N -1 1 300.362 1.662 20 0 DDADMM COCCCS(=O)(=O)c1nc(-c2ccc3c(c2)CCC3)n[n-]1 ZINC000195211238 201359947 /nfs/dbraw/zinc/35/99/47/201359947.db2.gz LEXAFVLLBJLDFF-UHFFFAOYSA-N -1 1 321.402 1.771 20 0 DDADMM O=c1[nH]nc(CN=c2nc(C3CCOCC3)[n-]s2)n1C1CC1 ZINC000631441060 422767360 /nfs/dbraw/zinc/76/73/60/422767360.db2.gz HCXWXOLKAYCCTR-UHFFFAOYSA-N -1 1 322.394 1.098 20 0 DDADMM CO[C@]1(C[N-]S(=O)(=O)c2cc(F)ccc2F)CCOC1 ZINC000359207685 299355776 /nfs/dbraw/zinc/35/57/76/299355776.db2.gz QMCYXWHZKJGXJO-LBPRGKRZSA-N -1 1 307.318 1.049 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC[C@H]1CC1(F)F ZINC000622199866 365325441 /nfs/dbraw/zinc/32/54/41/365325441.db2.gz DAUUJUPZCRMCGT-JTQLQIEISA-N -1 1 324.331 1.756 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1n[nH]c2c1CCCC2 ZINC000622610441 365449825 /nfs/dbraw/zinc/44/98/25/365449825.db2.gz VKGJKEVRCRDDRI-CYBMUJFWSA-N -1 1 323.360 1.321 20 0 DDADMM Cc1ncsc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622609667 365450129 /nfs/dbraw/zinc/45/01/29/365450129.db2.gz DWMGOYTXVPJGJX-SNVBAGLBSA-N -1 1 300.347 1.484 20 0 DDADMM CC(C)c1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)[nH]n1 ZINC000622609949 365450888 /nfs/dbraw/zinc/45/08/88/365450888.db2.gz LXOYDEGRUBHLOZ-ZDUSSCGKSA-N -1 1 311.349 1.566 20 0 DDADMM COCc1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)o1 ZINC000622611375 365451657 /nfs/dbraw/zinc/45/16/57/365451657.db2.gz CQVQSEFGZIGVJK-CYBMUJFWSA-N -1 1 313.317 1.459 20 0 DDADMM Cn1[n-]c(CN2CCc3cc(C(F)(F)F)ccc3C2)nc1=O ZINC000626007796 367272072 /nfs/dbraw/zinc/27/20/72/367272072.db2.gz UXHXUCOMBLUZGW-UHFFFAOYSA-N -1 1 312.295 1.686 20 0 DDADMM CC(C)c1nc(CNC(=O)N2CC[C@@H](C(=O)[O-])[C@H](C)C2)n[nH]1 ZINC000626763164 367729914 /nfs/dbraw/zinc/72/99/14/367729914.db2.gz JCZYQQIGIWZNNE-NXEZZACHSA-N -1 1 309.370 1.180 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC[C@@H]1C(F)F ZINC000626911839 367833080 /nfs/dbraw/zinc/83/30/80/367833080.db2.gz DWFFTMFFUANJPT-ZCFIWIBFSA-N -1 1 303.265 1.156 20 0 DDADMM Cn1cnc(C[N-]S(=O)(=O)c2ccc(F)cc2Cl)n1 ZINC000092265525 193182718 /nfs/dbraw/zinc/18/27/18/193182718.db2.gz CIWLNZXJTMTLCZ-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM CCC(O)(CC)CC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000349983796 284126470 /nfs/dbraw/zinc/12/64/70/284126470.db2.gz LIGPWWUUZXZKFK-UHFFFAOYSA-N -1 1 306.453 1.979 20 0 DDADMM C[C@]1(CCc2ccccc2)CCN(CC(=O)NCC(=O)[O-])C1 ZINC000262211711 203231920 /nfs/dbraw/zinc/23/19/20/203231920.db2.gz JWCUULFTUMRGJD-KRWDZBQOSA-N -1 1 304.390 1.532 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)C[C@H]2CCCC(F)(F)C2)n1 ZINC000350183066 284165723 /nfs/dbraw/zinc/16/57/23/284165723.db2.gz DBOWCEKONUJPRF-QMMMGPOBSA-N -1 1 322.333 1.796 20 0 DDADMM O=C1NCc2c1cccc2[N-]S(=O)(=O)C[C@@H]1CCCCO1 ZINC000288222124 220026699 /nfs/dbraw/zinc/02/66/99/220026699.db2.gz MSJQBWBBRLZAAY-JTQLQIEISA-N -1 1 310.375 1.241 20 0 DDADMM CCO[C@H]1C[C@H](O)C12CCN(C(=O)c1ncccc1[O-])CC2 ZINC000265967684 205005812 /nfs/dbraw/zinc/00/58/12/205005812.db2.gz LZJIDQUNOVOLFG-STQMWFEESA-N -1 1 306.362 1.179 20 0 DDADMM CCCNC(=O)CCCC(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000282763118 217233592 /nfs/dbraw/zinc/23/35/92/217233592.db2.gz KQFLSHNRFKSEFT-UHFFFAOYSA-N -1 1 322.361 1.814 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC(C)(C)C2)o1 ZINC000351545132 284370910 /nfs/dbraw/zinc/37/09/10/284370910.db2.gz KSIITHNLQAOBEF-VIFPVBQESA-N -1 1 300.380 1.496 20 0 DDADMM CC(C)n1cc(S(=O)(=O)[N-]c2cc(CN(C)C)ccn2)cn1 ZINC000267404371 206041469 /nfs/dbraw/zinc/04/14/69/206041469.db2.gz XJKNFBUTHNNGSG-UHFFFAOYSA-N -1 1 323.422 1.721 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@H](c2ccc(O)cc2)C1 ZINC000636290354 422783897 /nfs/dbraw/zinc/78/38/97/422783897.db2.gz KVOPBLZGJSPGDA-LBPRGKRZSA-N -1 1 301.350 1.244 20 0 DDADMM CCNC(=O)[C@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000039516695 183019266 /nfs/dbraw/zinc/01/92/66/183019266.db2.gz STVCOYLZVQDIHQ-ZETCQYMHSA-N -1 1 315.167 1.409 20 0 DDADMM O=S1(=O)CCC[C@@H]1CN=c1nc(C2CCCC2)[n-]s1 ZINC000333729079 249084495 /nfs/dbraw/zinc/08/44/95/249084495.db2.gz VWAVITDVHOWLKQ-SNVBAGLBSA-N -1 1 301.437 1.607 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C)C(=O)N2CCCC[C@H]2C)c([O-])c1 ZINC000334039161 249189086 /nfs/dbraw/zinc/18/90/86/249189086.db2.gz VJBVRTLOZGENTP-NEPJUHHUSA-N -1 1 305.378 1.615 20 0 DDADMM CO[C@@H](C)c1nc(=NC[C@@H]2CCN(CC(F)(F)F)C2)s[n-]1 ZINC000336847181 249252719 /nfs/dbraw/zinc/25/27/19/249252719.db2.gz UHPGWTVYSCWHKN-IUCAKERBSA-N -1 1 324.372 1.964 20 0 DDADMM COC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000042208119 183242714 /nfs/dbraw/zinc/24/27/14/183242714.db2.gz DTEWFIHRQNRXKH-SCSAIBSYSA-N -1 1 318.203 1.895 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](C)c1ccccc1F ZINC000337136098 249361654 /nfs/dbraw/zinc/36/16/54/249361654.db2.gz DQQOSFRVOPDBCM-MRVPVSSYSA-N -1 1 304.325 1.381 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc2ncsc2c1 ZINC000337150122 249366556 /nfs/dbraw/zinc/36/65/56/249366556.db2.gz KTOZWVXPQBNVLK-UHFFFAOYSA-N -1 1 315.358 1.362 20 0 DDADMM C[C@@H](CO)[N-]S(=O)(=O)c1sccc1Br ZINC000042524474 183280242 /nfs/dbraw/zinc/28/02/42/183280242.db2.gz RBKYBBDAFFTNCH-YFKPBYRVSA-N -1 1 300.199 1.170 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H](CO)C2CCCCC2)[n-]n1 ZINC000338765873 250127031 /nfs/dbraw/zinc/12/70/31/250127031.db2.gz JCOYSRZUNNNIFZ-CYBMUJFWSA-N -1 1 306.410 1.249 20 0 DDADMM NC(=O)CC1CN(C(=O)c2c([O-])cnc3ccc(Cl)cc32)C1 ZINC000338928716 250202293 /nfs/dbraw/zinc/20/22/93/250202293.db2.gz KPFZTFANNLIXJJ-UHFFFAOYSA-N -1 1 319.748 1.541 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@@H](CO)C1)c1sccc1F ZINC000338989170 250236579 /nfs/dbraw/zinc/23/65/79/250236579.db2.gz HAUOUPJUUUOCOD-NXEZZACHSA-N -1 1 307.412 1.964 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](OC)C2CC2)o1 ZINC000339057039 250273262 /nfs/dbraw/zinc/27/32/62/250273262.db2.gz SXTFOKYRYRUCES-NSHDSACASA-N -1 1 317.363 1.160 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COc2ccccc2F)n1 ZINC000339172580 250326320 /nfs/dbraw/zinc/32/63/20/250326320.db2.gz WYAWQPDKBQQNMS-UHFFFAOYSA-N -1 1 307.281 1.743 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C(C)C)N2CCCC2=O)n1 ZINC000339174827 250328813 /nfs/dbraw/zinc/32/88/13/250328813.db2.gz LADKZWVLTUNWBA-LBPRGKRZSA-N -1 1 322.365 1.172 20 0 DDADMM CCc1onc(C)c1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339195687 250337280 /nfs/dbraw/zinc/33/72/80/250337280.db2.gz XMVMTLODSJNRTM-UHFFFAOYSA-N -1 1 306.322 1.654 20 0 DDADMM O=C(COCc1ccccc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000339195149 250337459 /nfs/dbraw/zinc/33/74/59/250337459.db2.gz JDQRSGROMFGUSM-ZDUSSCGKSA-N -1 1 317.345 1.698 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)CCc2ccc(C)cc2)n1 ZINC000285165216 218315249 /nfs/dbraw/zinc/31/52/49/218315249.db2.gz RGQHCUAKNHUPOL-UHFFFAOYSA-N -1 1 308.359 1.521 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1ccc(Cl)cc1 ZINC000352128968 284808407 /nfs/dbraw/zinc/80/84/07/284808407.db2.gz LBYKBAONPTVWOC-UHFFFAOYSA-N -1 1 323.736 1.522 20 0 DDADMM CS(=O)(=O)Cc1ccc(CNC(=O)c2cncc([O-])c2)cc1 ZINC000109954788 194300823 /nfs/dbraw/zinc/30/08/23/194300823.db2.gz SSBOSYVSIUQAHR-UHFFFAOYSA-N -1 1 320.370 1.262 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])[C@@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000340326703 251008140 /nfs/dbraw/zinc/00/81/40/251008140.db2.gz ASHHWEIXWLLVKO-SSDOTTSWSA-N -1 1 320.242 1.881 20 0 DDADMM O=C(CCn1nc2ccccn2c1=O)Nc1ccc(F)cc1[O-] ZINC000340330847 251011712 /nfs/dbraw/zinc/01/17/12/251011712.db2.gz QDTDLAFDWXCRLA-UHFFFAOYSA-N -1 1 316.292 1.370 20 0 DDADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-]c1nccn1-c1ccccc1 ZINC000340633046 251145176 /nfs/dbraw/zinc/14/51/76/251145176.db2.gz GEWSOCJXAMAKLH-NSHDSACASA-N -1 1 322.390 1.091 20 0 DDADMM C[S@@](=O)CCC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000340836151 251235847 /nfs/dbraw/zinc/23/58/47/251235847.db2.gz CPGGKCJXPGLATM-QGZVFWFLSA-N -1 1 313.803 1.526 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cc(O)cc(F)c1 ZINC000340958219 251303295 /nfs/dbraw/zinc/30/32/95/251303295.db2.gz ODRBFGWRPYKZEN-UHFFFAOYSA-N -1 1 305.327 1.472 20 0 DDADMM CN(CCN1CCCC1)S(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000063052038 184268546 /nfs/dbraw/zinc/26/85/46/184268546.db2.gz NCMHBWODDACRBB-UHFFFAOYSA-N -1 1 312.391 1.101 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc(Br)c([O-])c2)C[C@@H]1O ZINC000089461336 207353916 /nfs/dbraw/zinc/35/39/16/207353916.db2.gz NEOVSFJBBBFTAN-PELKAZGASA-N -1 1 314.179 1.998 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N1C(=O)CS(=O)(=O)c1ccc([O-])cc1 ZINC000069163713 184502567 /nfs/dbraw/zinc/50/25/67/184502567.db2.gz KEAYKXPCLDXHKA-VXGBXAGGSA-N -1 1 311.403 1.955 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000117927944 194858647 /nfs/dbraw/zinc/85/86/47/194858647.db2.gz JIRGDNBJXDWFRD-UHFFFAOYSA-N -1 1 307.318 1.586 20 0 DDADMM Cc1cc(C)cc([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000352433449 285048032 /nfs/dbraw/zinc/04/80/32/285048032.db2.gz VKXGDGVUGRKFPP-NSHDSACASA-N -1 1 311.345 1.525 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)[C@H]3CCOC3)cnc2n1 ZINC000119919198 195062640 /nfs/dbraw/zinc/06/26/40/195062640.db2.gz UQZWMQZWWPIJJR-MNOVXSKESA-N -1 1 301.346 1.799 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)[C@H]1CCOC1)c2=O ZINC000119919198 195062645 /nfs/dbraw/zinc/06/26/45/195062645.db2.gz UQZWMQZWWPIJJR-MNOVXSKESA-N -1 1 301.346 1.799 20 0 DDADMM CO[C@@H]1C[C@@H](C(=O)[O-])N(C[C@H](O)COc2c(C)cccc2C)C1 ZINC000579603689 422802688 /nfs/dbraw/zinc/80/26/88/422802688.db2.gz IJSSRQDHIKDJIH-ZNMIVQPWSA-N -1 1 323.389 1.217 20 0 DDADMM Cc1nnc(C[N-]C(=O)C(F)(F)c2c(F)cccc2F)n1C ZINC000270152203 208213637 /nfs/dbraw/zinc/21/36/37/208213637.db2.gz DCEXUQYOJCVBHX-UHFFFAOYSA-N -1 1 316.258 1.810 20 0 DDADMM COC[C@H](C[N-]S(=O)(=O)c1c(F)cc(C)cc1F)OC ZINC000425165040 533036962 /nfs/dbraw/zinc/03/69/62/533036962.db2.gz BYAZOYLFOHKDBG-VIFPVBQESA-N -1 1 309.334 1.213 20 0 DDADMM O=C([O-])c1cncnc1NC[C@H](c1ccco1)N1CCCCC1 ZINC000567980286 304258023 /nfs/dbraw/zinc/25/80/23/304258023.db2.gz XLMAWKXWZLDQOC-CYBMUJFWSA-N -1 1 316.361 1.829 20 0 DDADMM CCC[C@@H](CC)S(=O)(=O)[N-]c1ccn(CCC(N)=O)n1 ZINC000567999037 304261017 /nfs/dbraw/zinc/26/10/17/304261017.db2.gz GRDSWZJLWUBRHO-SNVBAGLBSA-N -1 1 302.400 1.079 20 0 DDADMM CCN(Cc1nc(=O)[n-][nH]1)Cc1ccccc1Br ZINC000271644827 209089848 /nfs/dbraw/zinc/08/98/48/209089848.db2.gz SQTMNEQHEDAREB-UHFFFAOYSA-N -1 1 311.183 1.883 20 0 DDADMM O=C(c1ccc2cc[nH]c2c1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000289682168 221151804 /nfs/dbraw/zinc/15/18/04/221151804.db2.gz PSZIFVVTCBODOQ-LBPRGKRZSA-N -1 1 311.345 1.599 20 0 DDADMM O=C(c1coc2ccccc12)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000289778630 221220013 /nfs/dbraw/zinc/22/00/13/221220013.db2.gz WUDGEJQTZAIYFW-SNVBAGLBSA-N -1 1 312.329 1.864 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cnc(CC)s2)o1 ZINC000360167625 299608261 /nfs/dbraw/zinc/60/82/61/299608261.db2.gz AWAGHWMCDCHPII-UHFFFAOYSA-N -1 1 301.393 1.734 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1ccnc(Cl)c1)c1nn[n-]n1 ZINC000121853888 195361823 /nfs/dbraw/zinc/36/18/23/195361823.db2.gz HSPHGAWUBRRQGI-YEZKRMTDSA-N -1 1 306.757 1.919 20 0 DDADMM C[C@@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)C(=O)OC(C)(C)C ZINC000413216940 533343006 /nfs/dbraw/zinc/34/30/06/533343006.db2.gz WFYFRNZOKYRASQ-YFKPBYRVSA-N -1 1 308.260 1.740 20 0 DDADMM COc1cccc(C=CC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000491860037 533388832 /nfs/dbraw/zinc/38/88/32/533388832.db2.gz QCLNIVVDADCDHZ-FPLPWBNLSA-N -1 1 313.361 1.807 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CC[C@H](C)C2)o1 ZINC000360362890 299644889 /nfs/dbraw/zinc/64/48/89/299644889.db2.gz PYOYIHPVPOAYPH-UWVGGRQHSA-N -1 1 301.364 1.781 20 0 DDADMM C[C@H](O)CN1Cc2cccc(Br)c2C[C@@H]1C(=O)[O-] ZINC000568893440 304320732 /nfs/dbraw/zinc/32/07/32/304320732.db2.gz KWWLBKKPVNYYGN-QPUJVOFHSA-N -1 1 314.179 1.641 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@@H](CSc2ccccc2)C1 ZINC000631546880 422825999 /nfs/dbraw/zinc/82/59/99/422825999.db2.gz MMMXOAKRJAEJMO-GFCCVEGCSA-N -1 1 317.418 1.773 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@@H]1C ZINC000071207876 406835182 /nfs/dbraw/zinc/83/51/82/406835182.db2.gz IWYQCQVQABAAJU-WCBMZHEXSA-N -1 1 301.368 1.053 20 0 DDADMM COc1cc(C=CC(=O)Nc2nnn[n-]2)ccc1OC(F)F ZINC000078379969 407026433 /nfs/dbraw/zinc/02/64/33/407026433.db2.gz ANRLXOAUSLLOQR-HWKANZROSA-N -1 1 311.248 1.462 20 0 DDADMM COc1cc(C=CC(=O)Nc2nn[n-]n2)ccc1OC(F)F ZINC000078379969 407026436 /nfs/dbraw/zinc/02/64/36/407026436.db2.gz ANRLXOAUSLLOQR-HWKANZROSA-N -1 1 311.248 1.462 20 0 DDADMM O=C(NCc1nc(-c2ccncc2)no1)c1cc(F)ccc1[O-] ZINC000080001366 407068108 /nfs/dbraw/zinc/06/81/08/407068108.db2.gz SFIGKKPQDQGTPA-UHFFFAOYSA-N -1 1 314.276 1.906 20 0 DDADMM CCn1c(CCNC(=O)Cc2ccc(C)nc2)n[n-]c1=S ZINC000067077383 407267628 /nfs/dbraw/zinc/26/76/28/407267628.db2.gz CHVFUSBHTINPQY-UHFFFAOYSA-N -1 1 305.407 1.565 20 0 DDADMM O=S(=O)([N-]CC(F)F)c1cncc(Br)c1 ZINC000098000508 407303543 /nfs/dbraw/zinc/30/35/43/407303543.db2.gz IZRFZMUUXPTKLO-UHFFFAOYSA-N -1 1 301.112 1.388 20 0 DDADMM Cc1cc(NC(=O)CCc2c(C)nc3cc(=O)[n-]n3c2C)n[nH]1 ZINC000101645415 407313776 /nfs/dbraw/zinc/31/37/76/407313776.db2.gz HSNAKXFBSGRZLK-UHFFFAOYSA-N -1 1 314.349 1.655 20 0 DDADMM COc1ccc(O[C@H](C)C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000124190194 407350725 /nfs/dbraw/zinc/35/07/25/407350725.db2.gz NPGBCJATIYYSPO-WDEREUQCSA-N -1 1 319.365 1.238 20 0 DDADMM COc1ccc(O[C@@H](C)C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000124190605 407350882 /nfs/dbraw/zinc/35/08/82/407350882.db2.gz NPGBCJATIYYSPO-QWRGUYRKSA-N -1 1 319.365 1.238 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2cncc([O-])c2)cc1 ZINC000109041220 407394588 /nfs/dbraw/zinc/39/45/88/407394588.db2.gz MKUDYQRPSOHLJS-UHFFFAOYSA-N -1 1 306.343 1.121 20 0 DDADMM COc1ccc(C(=O)[O-])cc1NS(=O)(=O)C[C@@H]1CCCO1 ZINC000111309571 407406728 /nfs/dbraw/zinc/40/67/28/407406728.db2.gz ZWEGJVKETRQRPE-JTQLQIEISA-N -1 1 315.347 1.314 20 0 DDADMM COCC1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000112384697 407428327 /nfs/dbraw/zinc/42/83/27/407428327.db2.gz NSFFYHKXCHMGPB-UHFFFAOYSA-N -1 1 301.346 1.422 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)c2cccnc2)c1 ZINC000151517333 407450237 /nfs/dbraw/zinc/45/02/37/407450237.db2.gz JSOMNGXAAGSBAY-VIFPVBQESA-N -1 1 310.331 1.501 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2ccon2)CCCCCC1 ZINC000266216568 407533777 /nfs/dbraw/zinc/53/37/77/407533777.db2.gz OLHSQAODHDSUGS-UHFFFAOYSA-N -1 1 316.379 1.360 20 0 DDADMM C[C@H](NC(=O)c1cc(Cl)ccc1[O-])C(=O)N1CCOCC1 ZINC000130135027 407659328 /nfs/dbraw/zinc/65/93/28/407659328.db2.gz RJJDEGGZUHHKSY-VIFPVBQESA-N -1 1 312.753 1.023 20 0 DDADMM CN(CC(=O)[O-])Cc1cc2c(cc1Br)OCO2 ZINC000178908835 407648978 /nfs/dbraw/zinc/64/89/78/407648978.db2.gz LKULKRVXAIYSIV-UHFFFAOYSA-N -1 1 302.124 1.694 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1cc(CN(C)C)ccn1 ZINC000130111177 407653369 /nfs/dbraw/zinc/65/33/69/407653369.db2.gz MXJRTVXMHHAUEJ-UHFFFAOYSA-N -1 1 323.422 1.294 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@@H]1C(C)C ZINC000186396098 407657510 /nfs/dbraw/zinc/65/75/10/407657510.db2.gz VMZJBFMGOBQLTL-VXGBXAGGSA-N -1 1 302.378 1.548 20 0 DDADMM O=C([O-])C[C@@H]1COCCN1C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000261733586 407596198 /nfs/dbraw/zinc/59/61/98/407596198.db2.gz NDBRPZAGNPFMNG-GFCCVEGCSA-N -1 1 315.329 1.392 20 0 DDADMM NC(=O)[C@H]1CCCN1C(=O)c1cc(Br)ccc1[O-] ZINC000236163307 407722900 /nfs/dbraw/zinc/72/29/00/407722900.db2.gz AQNGJYHWGAXEDL-SECBINFHSA-N -1 1 313.151 1.245 20 0 DDADMM O=C1NCCc2ccc(S(=O)(=O)[N-]c3cccc(F)c3)cc21 ZINC000116158262 407726273 /nfs/dbraw/zinc/72/62/73/407726273.db2.gz ASESBJJNIBAFGB-UHFFFAOYSA-N -1 1 320.345 1.912 20 0 DDADMM CC[C@H]1CN(C(=O)c2c(C)[n-]c(=O)nc2SC)C[C@H](C)O1 ZINC000267044836 407727157 /nfs/dbraw/zinc/72/71/57/407727157.db2.gz UXHXMVAUSJVRPJ-WPRPVWTQSA-N -1 1 311.407 1.852 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@H]1CCCCO1 ZINC000272090393 407769086 /nfs/dbraw/zinc/76/90/86/407769086.db2.gz UVTXFJWHWOQEDF-DTWKUNHWSA-N -1 1 307.803 1.309 20 0 DDADMM COC(=O)C[C@@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000267236040 407802071 /nfs/dbraw/zinc/80/20/71/407802071.db2.gz DLEJLKFNSPZJQQ-SSDOTTSWSA-N -1 1 316.151 1.836 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)CCC[C@@H]2C)co1 ZINC000153361436 407808823 /nfs/dbraw/zinc/80/88/23/407808823.db2.gz SDAWLAJHRWDPFL-MNOVXSKESA-N -1 1 314.407 1.838 20 0 DDADMM O=C(Cn1cc(NC(=O)c2ccc([O-])cc2F)cn1)NC1CC1 ZINC000272249593 407834205 /nfs/dbraw/zinc/83/42/05/407834205.db2.gz OUPBNYUNAOWIML-UHFFFAOYSA-N -1 1 318.308 1.259 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)Cn2cncn2)cc(Cl)c1[O-] ZINC000153675164 407874195 /nfs/dbraw/zinc/87/41/95/407874195.db2.gz MFAGYTKRSCKHEA-QMMMGPOBSA-N -1 1 310.741 1.464 20 0 DDADMM COCc1ccccc1CNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000262657515 407891365 /nfs/dbraw/zinc/89/13/65/407891365.db2.gz KVAYFGCURQIRAP-AWEZNQCLSA-N -1 1 320.389 1.246 20 0 DDADMM Cc1csc2nc(C)nc(NCCCc3nc(=O)[n-][nH]3)c12 ZINC000133458732 407848627 /nfs/dbraw/zinc/84/86/27/407848627.db2.gz ZQKNCMQLQNGICK-UHFFFAOYSA-N -1 1 304.379 1.764 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)cc1 ZINC000135041639 407969254 /nfs/dbraw/zinc/96/92/54/407969254.db2.gz YVSHQIYKHKUEDO-UHFFFAOYSA-N -1 1 309.347 1.614 20 0 DDADMM CC[C@@H](C)[C@](C)(O)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119290498 408033922 /nfs/dbraw/zinc/03/39/22/408033922.db2.gz AKOZZFUSWKTMJU-RFAUZJTJSA-N -1 1 306.366 1.201 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000263144224 408037933 /nfs/dbraw/zinc/03/79/33/408037933.db2.gz GYMDFYLIUFBXLV-ZWNOBZJWSA-N -1 1 314.345 1.797 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NC[C@H]1Cc2ccccc2O1)C(=O)[O-] ZINC000263170232 408044865 /nfs/dbraw/zinc/04/48/65/408044865.db2.gz RYWMBSMWGNHTQU-GHJWDPDVSA-N -1 1 320.389 1.195 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)c2cc(C)cc3c[nH]nc32)C1 ZINC000263040833 408009235 /nfs/dbraw/zinc/00/92/35/408009235.db2.gz PGHNMFINISBSAA-INIZCTEOSA-N -1 1 317.345 1.435 20 0 DDADMM CC[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@@H](C)O1 ZINC000119241808 408019990 /nfs/dbraw/zinc/01/99/90/408019990.db2.gz MYSJHKYWSXTGBF-ZJUUUORDSA-N -1 1 304.350 1.313 20 0 DDADMM Cc1ncsc1CN(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000181978172 408059164 /nfs/dbraw/zinc/05/91/64/408059164.db2.gz HPCRYLHMVYCQAS-UHFFFAOYSA-N -1 1 317.374 1.711 20 0 DDADMM CCC[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000119445193 408069280 /nfs/dbraw/zinc/06/92/80/408069280.db2.gz AXVQUULAGVRGCU-SNVBAGLBSA-N -1 1 304.350 1.315 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)C2CCOCC2)o1 ZINC000268500805 408071363 /nfs/dbraw/zinc/07/13/63/408071363.db2.gz AENVDZAWGKNWSQ-SECBINFHSA-N -1 1 317.363 1.160 20 0 DDADMM O=C([N-]C[C@@H](O)COc1ccc(F)cc1)C(F)(F)C(F)F ZINC000268570432 408100829 /nfs/dbraw/zinc/10/08/29/408100829.db2.gz OVWGYYHOEYQNGP-MRVPVSSYSA-N -1 1 313.222 1.582 20 0 DDADMM CO[C@@H]1C[C@@H](CC(=O)[O-])N(C(=O)c2cccc3c[nH]nc32)C1 ZINC000263201506 408055987 /nfs/dbraw/zinc/05/59/87/408055987.db2.gz YPOQIFKIRWSATB-WDEREUQCSA-N -1 1 303.318 1.267 20 0 DDADMM CC1CCN(CC(=O)N2[C@@H]3CCCC[C@@H]3C[C@H]2C(=O)[O-])CC1 ZINC000263393491 408127079 /nfs/dbraw/zinc/12/70/79/408127079.db2.gz FBHAYSUQGYFWIP-KFWWJZLASA-N -1 1 308.422 1.963 20 0 DDADMM CONC(=O)c1cc(NC(=O)OCC(F)(F)F)ccc1[O-] ZINC000268663125 408143802 /nfs/dbraw/zinc/14/38/02/408143802.db2.gz VAVDWBDROQTJGX-UHFFFAOYSA-N -1 1 308.212 1.794 20 0 DDADMM Cc1cccc(NC[C@H]2CCN(c3cnc(C(=O)[O-])cn3)C2)n1 ZINC000263449521 408144636 /nfs/dbraw/zinc/14/46/36/408144636.db2.gz ZXWFQJZATXNVFY-GFCCVEGCSA-N -1 1 313.361 1.817 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCSCC[C@H]2C)o1 ZINC000190007186 408145622 /nfs/dbraw/zinc/14/56/22/408145622.db2.gz JRIKQEHIJNXOLL-SECBINFHSA-N -1 1 318.420 1.155 20 0 DDADMM CCCCSCCC(=O)Nc1nc(SCCO)n[nH]1 ZINC000268699873 408164377 /nfs/dbraw/zinc/16/43/77/408164377.db2.gz KFUIXBIKNJVGMK-UHFFFAOYSA-N -1 1 304.441 1.751 20 0 DDADMM CCC[C@H](NC(=O)c1csc([C@H]2CCCO2)n1)c1nn[n-]n1 ZINC000155476597 408169619 /nfs/dbraw/zinc/16/96/19/408169619.db2.gz PAHGEYPPBJFKDT-WCBMZHEXSA-N -1 1 322.394 1.779 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cccc(COC)c1)c1nn[n-]n1 ZINC000190156256 408176381 /nfs/dbraw/zinc/17/63/81/408176381.db2.gz QMZMBKOREGSVJZ-CYBMUJFWSA-N -1 1 303.366 1.546 20 0 DDADMM O=C(c1cc(F)c(F)cc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155575740 408184577 /nfs/dbraw/zinc/18/45/77/408184577.db2.gz UKXCUQYGNSCEJB-SSDOTTSWSA-N -1 1 311.267 1.637 20 0 DDADMM CC(C)N(CCS(C)(=O)=O)C(=O)c1ccc(Cl)cc1[O-] ZINC000122144245 408258742 /nfs/dbraw/zinc/25/87/42/408258742.db2.gz SMKFBWZSSVBHGQ-UHFFFAOYSA-N -1 1 319.810 1.941 20 0 DDADMM C[C@@H](CO[C@H]1CCOC1)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000150926796 408226540 /nfs/dbraw/zinc/22/65/40/408226540.db2.gz FUFBPWXARGIFHQ-UWVGGRQHSA-N -1 1 321.345 1.437 20 0 DDADMM Cc1cc2cc(C(=O)NCCCc3nc(=O)[n-][nH]3)oc2cc1C ZINC000176270090 408329202 /nfs/dbraw/zinc/32/92/02/408329202.db2.gz ZWLFJZXAQQZSKT-UHFFFAOYSA-N -1 1 314.345 1.824 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1C[C@@H](O)C1(C)C ZINC000190530985 408271443 /nfs/dbraw/zinc/27/14/43/408271443.db2.gz ZKDKNEFKKJCPTL-VHSXEESVSA-N -1 1 307.394 1.265 20 0 DDADMM CC[C@@H](C)[C@@H](C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000182974117 408297459 /nfs/dbraw/zinc/29/74/59/408297459.db2.gz FZDHWGOGHKNMMK-RKDXNWHRSA-N -1 1 300.380 1.660 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCCOC(C)C ZINC000182980693 408298243 /nfs/dbraw/zinc/29/82/43/408298243.db2.gz PBMLYCIMJDAIBT-UHFFFAOYSA-N -1 1 320.393 1.461 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cccc(OC)c2)co1 ZINC000157344249 408299738 /nfs/dbraw/zinc/29/97/38/408299738.db2.gz GSFPWNLBZMIVSB-UHFFFAOYSA-N -1 1 310.331 1.449 20 0 DDADMM C[C@@H](CSCc1ccccc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000269610196 408360787 /nfs/dbraw/zinc/36/07/87/408360787.db2.gz AWCNQXPPGIQBOS-JTQLQIEISA-N -1 1 307.375 1.139 20 0 DDADMM CO[C@@H]1CC[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000191048230 408365468 /nfs/dbraw/zinc/36/54/68/408365468.db2.gz OXUJWQIOEQSOIH-JGVFFNPUSA-N -1 1 309.309 1.950 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000183328039 408383772 /nfs/dbraw/zinc/38/37/72/408383772.db2.gz WYIBNRBIJRTPIQ-RKDXNWHRSA-N -1 1 321.345 1.831 20 0 DDADMM CN(C)C(=O)c1cccc(CCNC(=O)c2ncccc2[O-])c1 ZINC000176510763 408383985 /nfs/dbraw/zinc/38/39/85/408383985.db2.gz GGIRUYPRCUHRNG-UHFFFAOYSA-N -1 1 313.357 1.462 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C)nc2onc(C)c21)c1nn[n-]n1 ZINC000176787186 408445191 /nfs/dbraw/zinc/44/51/91/408445191.db2.gz PMNDZZCIARYPSK-SNVBAGLBSA-N -1 1 315.337 1.624 20 0 DDADMM C[C@H](Cc1ccccc1F)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183437090 408410155 /nfs/dbraw/zinc/41/01/55/408410155.db2.gz ODODKZJPLCKWDC-GHMZBOCLSA-N -1 1 305.357 1.780 20 0 DDADMM CCC[C@H](NC(=O)c1cn(C(C)(C)C)nc1C)c1nn[n-]n1 ZINC000183482862 408422885 /nfs/dbraw/zinc/42/28/85/408422885.db2.gz CGMFESBDEUWFOA-NSHDSACASA-N -1 1 305.386 1.731 20 0 DDADMM CCC[C@@H](NC(=O)c1cnn(-c2ccccc2)n1)c1nn[n-]n1 ZINC000176766814 408438953 /nfs/dbraw/zinc/43/89/53/408438953.db2.gz YVSCIWZDJGQUAJ-LLVKDONJSA-N -1 1 312.337 1.052 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CC[C@H](C)CC2)o1 ZINC000264451277 408497095 /nfs/dbraw/zinc/49/70/95/408497095.db2.gz IHDYORSJLHSFDW-MGCOHNPYSA-N -1 1 300.380 1.496 20 0 DDADMM CC(=O)c1ccc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000274775597 408526170 /nfs/dbraw/zinc/52/61/70/408526170.db2.gz MWWYHQIRBHMBRP-JTQLQIEISA-N -1 1 301.350 1.207 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2CCCC[C@@H]2N1CC(=O)Nc1nncs1 ZINC000248573516 408590690 /nfs/dbraw/zinc/59/06/90/408590690.db2.gz TVJPMMIKMCEMEH-GUBZILKMSA-N -1 1 310.379 1.194 20 0 DDADMM CC(C)(O)C1CN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000275575961 408608612 /nfs/dbraw/zinc/60/86/12/408608612.db2.gz JXCWSHNPCVBWMX-UHFFFAOYSA-N -1 1 314.179 1.998 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H]3CCCC[C@H]3C2)o1 ZINC000178347617 408810080 /nfs/dbraw/zinc/81/00/80/408810080.db2.gz WCRAILRGRHHJSN-QWRGUYRKSA-N -1 1 312.391 1.450 20 0 DDADMM CC(=O)Nc1cccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1C ZINC000276034784 408756559 /nfs/dbraw/zinc/75/65/59/408756559.db2.gz UWVMWGKJJVHASZ-SECBINFHSA-N -1 1 316.365 1.342 20 0 DDADMM O=C(NCc1cccnc1OCC(F)(F)F)c1cnn[nH]1 ZINC000194170971 408767275 /nfs/dbraw/zinc/76/72/75/408767275.db2.gz HPPPMVVGIZGGTB-UHFFFAOYSA-N -1 1 301.228 1.071 20 0 DDADMM COCC[C@H](CO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000281680469 408910107 /nfs/dbraw/zinc/91/01/07/408910107.db2.gz PJMATYYYZHVORM-SECBINFHSA-N -1 1 307.268 1.538 20 0 DDADMM CCNC(=O)OC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000286092394 408921886 /nfs/dbraw/zinc/92/18/86/408921886.db2.gz UXKJIJUJIPHUKP-NSHDSACASA-N -1 1 307.350 1.528 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCO[C@@]2(CCCOC2)C1 ZINC000286171939 408936157 /nfs/dbraw/zinc/93/61/57/408936157.db2.gz BWAICMZOLALSPR-HNNXBMFYSA-N -1 1 313.300 1.692 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC3CCOCC3)cnc2n1 ZINC000195035363 163304321 /nfs/dbraw/zinc/30/43/21/163304321.db2.gz MPLUIXNDLZJOQW-UHFFFAOYSA-N -1 1 301.346 1.800 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC1CCOCC1)c2=O ZINC000195035363 163304323 /nfs/dbraw/zinc/30/43/23/163304323.db2.gz MPLUIXNDLZJOQW-UHFFFAOYSA-N -1 1 301.346 1.800 20 0 DDADMM CC(C)[C@H](O)CNC(=O)c1ccc(Br)c([O-])c1 ZINC000231354968 163349879 /nfs/dbraw/zinc/34/98/79/163349879.db2.gz CELONLGHGKJWQJ-LLVKDONJSA-N -1 1 302.168 1.901 20 0 DDADMM O=C(NC[C@@H]1COCCO1)c1ccc(Br)c([O-])c1 ZINC000231328203 163350095 /nfs/dbraw/zinc/35/00/95/163350095.db2.gz FDSJZERQUATWTA-SECBINFHSA-N -1 1 316.151 1.300 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CCC(O)CC1 ZINC000231209796 163350368 /nfs/dbraw/zinc/35/03/68/163350368.db2.gz FXPRBRVOTKXPSQ-UHFFFAOYSA-N -1 1 300.152 1.752 20 0 DDADMM COC(=O)C1=NO[C@H](CSc2nc(C3CC3)cc(=O)[n-]2)C1 ZINC000277743714 409003509 /nfs/dbraw/zinc/00/35/09/409003509.db2.gz QHCYCIYJMZPRPB-QMMMGPOBSA-N -1 1 309.347 1.470 20 0 DDADMM CC(C)[C@H](NC(=O)C(=O)c1ccc([O-])cc1)c1nncn1C ZINC000286387053 408974915 /nfs/dbraw/zinc/97/49/15/408974915.db2.gz KNYFMIGGCKJSNY-LBPRGKRZSA-N -1 1 302.334 1.217 20 0 DDADMM COCCN1CC[C@H](NC(=O)c2cc(F)c([O-])c(Cl)c2)C1 ZINC000278027135 409053363 /nfs/dbraw/zinc/05/33/63/409053363.db2.gz QSGLGQKONXXVEG-JTQLQIEISA-N -1 1 316.760 1.635 20 0 DDADMM CN(CCNC(=O)C(=O)c1ccc([O-])cc1)C(=O)OC(C)(C)C ZINC000287714364 409080636 /nfs/dbraw/zinc/08/06/36/409080636.db2.gz NHMKQUOTXQVNFM-UHFFFAOYSA-N -1 1 322.361 1.558 20 0 DDADMM CC(C)CCNC(=O)[C@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000283264308 409124686 /nfs/dbraw/zinc/12/46/86/409124686.db2.gz AGCKHSBQGVPYFL-CYBMUJFWSA-N -1 1 323.418 1.465 20 0 DDADMM CC(C)(C)OC(=O)NC(C)(C)CNC(=O)c1cncc([O-])c1 ZINC000279575547 409241235 /nfs/dbraw/zinc/24/12/35/409241235.db2.gz ZXBPFDONWCMQDI-UHFFFAOYSA-N -1 1 309.366 1.820 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCc2ccccc2C1 ZINC000289375576 409256938 /nfs/dbraw/zinc/25/69/38/409256938.db2.gz MXYZYCLBAWXCPP-GFCCVEGCSA-N -1 1 307.375 1.401 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@@H](OCCO)C1 ZINC000290052981 409293615 /nfs/dbraw/zinc/29/36/15/409293615.db2.gz DUJOGQSSFNINJG-SECBINFHSA-N -1 1 301.289 1.284 20 0 DDADMM CC[C@H](C)[C@@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)OC ZINC000294294863 409274101 /nfs/dbraw/zinc/27/41/01/409274101.db2.gz ULZAXYLUKJWRNC-DTWKUNHWSA-N -1 1 309.819 1.413 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCOCc1ccccc1 ZINC000290343307 409369912 /nfs/dbraw/zinc/36/99/12/409369912.db2.gz BTMFNFROLJPJLP-UHFFFAOYSA-N -1 1 311.363 1.060 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H](CO)C1)c1ccc(F)c(F)c1F ZINC000313692629 164010645 /nfs/dbraw/zinc/01/06/45/164010645.db2.gz FTCBSNWRQKFDCZ-DTWKUNHWSA-N -1 1 323.336 1.933 20 0 DDADMM Cc1c(S(=O)(=O)Nc2ccc(F)c(C(=O)[O-])c2)cnn1C ZINC000313986447 164012194 /nfs/dbraw/zinc/01/21/94/164012194.db2.gz NEGQDYKSYYPBJK-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM COc1cc(C)ccc1NS(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000315590083 164020290 /nfs/dbraw/zinc/02/02/90/164020290.db2.gz NZUJAENKHPQGRZ-UHFFFAOYSA-N -1 1 324.358 1.841 20 0 DDADMM Cc1n[nH]cc1S(=O)(=O)[N-]c1cc2c(cc1F)CCC(=O)N2 ZINC000316692011 164023558 /nfs/dbraw/zinc/02/35/58/164023558.db2.gz WZTJRKRBTJDNDT-UHFFFAOYSA-N -1 1 324.337 1.543 20 0 DDADMM C[C@]1(CCCO)CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000355110835 164127130 /nfs/dbraw/zinc/12/71/30/164127130.db2.gz CLHBEIMCECXFKX-QGZVFWFLSA-N -1 1 315.373 1.690 20 0 DDADMM Cc1n[nH]c(C)c1C=C([O-])Nc1nc(-c2cccnc2)ns1 ZINC000355298266 164129416 /nfs/dbraw/zinc/12/94/16/164129416.db2.gz IJJXTLGHLDNVOV-UHFFFAOYSA-N -1 1 314.374 1.543 20 0 DDADMM O=C(c1cccc2c1OCO2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000407994914 164192286 /nfs/dbraw/zinc/19/22/86/164192286.db2.gz FDUNYYSHTSGDEL-SECBINFHSA-N -1 1 317.301 1.524 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]2[C@H]2COCC[C@H]2O)c([O-])c1 ZINC000408261185 164278254 /nfs/dbraw/zinc/27/82/54/164278254.db2.gz MDVDFKTWZWGOIR-JHJVBQTASA-N -1 1 306.362 1.098 20 0 DDADMM Cc1cc2cn[nH]c2c(C(=O)[N-]S(=O)(=O)[C@H]2CCCOC2)c1 ZINC000408406424 164321334 /nfs/dbraw/zinc/32/13/34/164321334.db2.gz HOMZWFWSJKDTCF-NSHDSACASA-N -1 1 323.374 1.110 20 0 DDADMM C[C@@H](F)CCNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000356692031 409525129 /nfs/dbraw/zinc/52/51/29/409525129.db2.gz FYEVREDOIAVQLP-SECBINFHSA-N -1 1 320.386 1.834 20 0 DDADMM O=C(N[C@H](CO)CC1CCCC1)c1csc(=NC2CC2)[n-]1 ZINC000338244643 409832214 /nfs/dbraw/zinc/83/22/14/409832214.db2.gz DMCMHSXPJOUFJA-LBPRGKRZSA-N -1 1 309.435 1.810 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC2(CCO)CC2)c(=O)[n-]1 ZINC000349335424 409795197 /nfs/dbraw/zinc/79/51/97/409795197.db2.gz XLODCAHJSAPJKL-UHFFFAOYSA-N -1 1 311.407 1.176 20 0 DDADMM Cn1cc(C(=O)NC2(c3nn[n-]n3)CC2)c(C2CCCCC2)n1 ZINC000357052007 409820607 /nfs/dbraw/zinc/82/06/07/409820607.db2.gz QIKIRTOJSQIVEY-UHFFFAOYSA-N -1 1 315.381 1.400 20 0 DDADMM CCOC[C@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000357161219 409914888 /nfs/dbraw/zinc/91/48/88/409914888.db2.gz RNWCREALEJIRAP-NSHDSACASA-N -1 1 301.346 1.422 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccc3c(c2)OCO3)CC[C@H]1C(=O)[O-] ZINC000323625847 409920047 /nfs/dbraw/zinc/92/00/47/409920047.db2.gz CYGUWJLYLHITQD-ZYHUDNBSSA-N -1 1 320.345 1.396 20 0 DDADMM CC(C)c1cccc(OCC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000357096679 409871079 /nfs/dbraw/zinc/87/10/79/409871079.db2.gz NNMHSXGUCZZCMM-UHFFFAOYSA-N -1 1 301.350 1.507 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@]3(O)CCC[C@H]3C)cnc2n1 ZINC000332186828 409958525 /nfs/dbraw/zinc/95/85/25/409958525.db2.gz WBYBHIUROJLPJN-BMLIUANNSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@]3(O)CCC[C@H]3C)c[n-]c2n1 ZINC000332186828 409958527 /nfs/dbraw/zinc/95/85/27/409958527.db2.gz WBYBHIUROJLPJN-BMLIUANNSA-N -1 1 315.373 1.925 20 0 DDADMM Cn1ncc2c1nc(Oc1cccc3c1OCCO3)[n-]c2=O ZINC000354570712 409947472 /nfs/dbraw/zinc/94/74/72/409947472.db2.gz HMSQUQJXDGKDGS-UHFFFAOYSA-N -1 1 300.274 1.632 20 0 DDADMM C[C@@H]1CC(CCN=c2[n-]cc(S(N)(=O)=O)s2)C[C@@H](C)O1 ZINC000338483632 410020002 /nfs/dbraw/zinc/02/00/02/410020002.db2.gz MLDSLKXHRJAYLL-RKDXNWHRSA-N -1 1 319.452 1.218 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@@H]1CCC[C@@H]2CCCC[C@@H]12 ZINC000297777000 409995493 /nfs/dbraw/zinc/99/54/93/409995493.db2.gz QIIOYBKAOGMXSG-HBNTYKKESA-N -1 1 305.382 1.709 20 0 DDADMM CN(C)c1cccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332311162 410058855 /nfs/dbraw/zinc/05/88/55/410058855.db2.gz LXXLSOMXJGMUOD-GFCCVEGCSA-N -1 1 316.361 1.861 20 0 DDADMM CC(C)(NC(=O)c1cc(-c2ccccc2)ns1)c1nn[n-]n1 ZINC000354757529 410063831 /nfs/dbraw/zinc/06/38/31/410063831.db2.gz CIMLMKFDVCYLOO-UHFFFAOYSA-N -1 1 314.374 1.988 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](OC)c1ccccc1 ZINC000357598566 410125388 /nfs/dbraw/zinc/12/53/88/410125388.db2.gz LDXAUOSVESUBGP-SNVBAGLBSA-N -1 1 319.317 1.014 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2cn3ccccc3n2)sc1C ZINC000351724723 410186731 /nfs/dbraw/zinc/18/67/31/410186731.db2.gz ZPCUBZATOCLQFM-UHFFFAOYSA-N -1 1 322.415 1.886 20 0 DDADMM Cn1cnc(C(=O)N=c2cc(-c3cccc(F)c3F)[n-][nH]2)n1 ZINC000355022072 410245811 /nfs/dbraw/zinc/24/58/11/410245811.db2.gz AMTFVRSGUUKDBQ-UHFFFAOYSA-N -1 1 304.260 1.158 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H]1C1CCC1 ZINC000343246860 410272034 /nfs/dbraw/zinc/27/20/34/410272034.db2.gz PVQLXXDUYPVWFH-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(N[C@H](CO)CN1CCCCC1)c1s[n-]c(=O)c1Cl ZINC000298569341 410291847 /nfs/dbraw/zinc/29/18/47/410291847.db2.gz KHYIOIPDONDKMA-QMMMGPOBSA-N -1 1 319.814 1.079 20 0 DDADMM CCOc1cc(C(=O)NCc2ncnn2CC)cc(Cl)c1[O-] ZINC000357908437 410320573 /nfs/dbraw/zinc/32/05/73/410320573.db2.gz GLNVSLNMHLWINN-UHFFFAOYSA-N -1 1 324.768 1.986 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2c(C)nn(C)c2C)sc1C ZINC000333203469 410420077 /nfs/dbraw/zinc/42/00/77/410420077.db2.gz ADATUHDEFULGEY-UHFFFAOYSA-N -1 1 314.436 1.589 20 0 DDADMM CC[C@H](CNC(=O)CCc1nn[n-]n1)Oc1ccccc1F ZINC000631624802 422857985 /nfs/dbraw/zinc/85/79/85/422857985.db2.gz IHOXMFRDFLURBB-SNVBAGLBSA-N -1 1 307.329 1.245 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CCOCC2)c1cccc(F)c1F ZINC000333118251 410365196 /nfs/dbraw/zinc/36/51/96/410365196.db2.gz UMZCXXGXJJDCGK-LLVKDONJSA-N -1 1 303.330 1.812 20 0 DDADMM Cn1[n-]c(CN2CCNC(=O)[C@@H]2c2ccccc2Cl)nc1=O ZINC000329685500 410414102 /nfs/dbraw/zinc/41/41/02/410414102.db2.gz UTQZXBHPLMCKRS-LBPRGKRZSA-N -1 1 321.768 1.275 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC[C@@](C)(F)C1 ZINC000347309242 410460683 /nfs/dbraw/zinc/46/06/83/410460683.db2.gz FQXCESHFZYIIHD-MRXNPFEDSA-N -1 1 320.368 1.945 20 0 DDADMM CC(=O)[C@@H]1C[C@@H](CC(=O)N(C)C[C@H](C)c2nn[n-]n2)C1(C)C ZINC000358408595 410464760 /nfs/dbraw/zinc/46/47/60/410464760.db2.gz HUYUDMRBCSBQOY-DLOVCJGASA-N -1 1 307.398 1.403 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H](C(C)C)CC1 ZINC000352053446 410443441 /nfs/dbraw/zinc/44/34/41/410443441.db2.gz TVLATHMRFOYVSR-JTQLQIEISA-N -1 1 309.366 1.795 20 0 DDADMM CC(C)[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1ncon1 ZINC000355328556 410444590 /nfs/dbraw/zinc/44/45/90/410444590.db2.gz AZQOLNZZJPUJAZ-JTQLQIEISA-N -1 1 316.321 1.184 20 0 DDADMM C[C@H](NC(=O)c1cncc([O-])c1)C(=O)N1Cc2ccccc2C1 ZINC000355438977 410515045 /nfs/dbraw/zinc/51/50/45/410515045.db2.gz YDZCTGCVHLHXEA-NSHDSACASA-N -1 1 311.341 1.448 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2CCCOCC2)c1Br ZINC000330243692 410599936 /nfs/dbraw/zinc/59/99/36/410599936.db2.gz WXLRKSKAVZYKGJ-QMMMGPOBSA-N -1 1 316.199 1.912 20 0 DDADMM O=C(Cc1c[nH]c2ccc(Cl)cc12)NC1(c2nn[n-]n2)CC1 ZINC000352205372 410548956 /nfs/dbraw/zinc/54/89/56/410548956.db2.gz LMVBVLVSLHVNQQ-UHFFFAOYSA-N -1 1 316.752 1.682 20 0 DDADMM O=C(CNC(=O)c1c(F)cccc1Cl)Nc1ccncc1[O-] ZINC000347552129 410612312 /nfs/dbraw/zinc/61/23/12/410612312.db2.gz LBLYJWBDJYJMGU-UHFFFAOYSA-N -1 1 323.711 1.370 20 0 DDADMM CC(=O)NCC[C@H]1CCC[N@@H+]([C@H](C)c2nc(C)cc(=O)[n-]2)C1 ZINC000347637009 410640439 /nfs/dbraw/zinc/64/04/39/410640439.db2.gz HCZCULABMBQBDV-TZMCWYRMSA-N -1 1 306.410 1.790 20 0 DDADMM Cc1n[nH]c(C(F)F)c1NC(=O)c1ccc2c(c1)C(=O)NC2=O ZINC000355865564 410708477 /nfs/dbraw/zinc/70/84/77/410708477.db2.gz PNVGHCMSHVYFEZ-UHFFFAOYSA-N -1 1 320.255 1.792 20 0 DDADMM C[C@]1(CO)CCC[C@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000330482279 410720069 /nfs/dbraw/zinc/72/00/69/410720069.db2.gz TZZUMBFGRRVMGK-ZWNOBZJWSA-N -1 1 323.336 1.933 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000330592321 410808563 /nfs/dbraw/zinc/80/85/63/410808563.db2.gz OUYVSXAOTFZSNQ-NWDGAFQWSA-N -1 1 311.382 1.932 20 0 DDADMM CC(C)(NC(=O)Cn1ccc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000359783331 410875076 /nfs/dbraw/zinc/87/50/76/410875076.db2.gz HDUHQMBFKUMDTN-UHFFFAOYSA-N -1 1 318.768 1.859 20 0 DDADMM COc1ccc(S[C@H](C)C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000359806235 410892330 /nfs/dbraw/zinc/89/23/30/410892330.db2.gz ZQGFMCKGIZDXAL-SECBINFHSA-N -1 1 321.406 1.740 20 0 DDADMM CCN(CC)c1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348279308 410895227 /nfs/dbraw/zinc/89/52/27/410895227.db2.gz PWOGCIWMFDGICH-UHFFFAOYSA-N -1 1 300.366 1.465 20 0 DDADMM C[C@@H]1C(=O)NCCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180491566 287184084 /nfs/dbraw/zinc/18/40/84/287184084.db2.gz BNTKASKKYPVBDZ-SSDOTTSWSA-N -1 1 302.252 1.372 20 0 DDADMM CC1CCC(CC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CC1 ZINC000344027615 410906576 /nfs/dbraw/zinc/90/65/76/410906576.db2.gz UVIVWCLYGQGQRE-UHFFFAOYSA-N -1 1 323.466 1.816 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(Cl)c2cccnc12 ZINC000348298641 410906883 /nfs/dbraw/zinc/90/68/83/410906883.db2.gz RZMKALLLRHAHOW-UHFFFAOYSA-N -1 1 314.736 1.820 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cccc3c2OCO3)cc1 ZINC000359845789 410913205 /nfs/dbraw/zinc/91/32/05/410913205.db2.gz FMMWNTYXEKCAAY-UHFFFAOYSA-N -1 1 321.310 1.914 20 0 DDADMM CCc1cc(=O)[nH]c(C[N@@H+](C)C2CCC(NC(C)=O)CC2)n1 ZINC000344054073 410922908 /nfs/dbraw/zinc/92/29/08/410922908.db2.gz ASYXIVDFGSINRY-UHFFFAOYSA-N -1 1 306.410 1.624 20 0 DDADMM CCOCCC[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000353391313 410961439 /nfs/dbraw/zinc/96/14/39/410961439.db2.gz WRCKVEFFQMXUDW-UHFFFAOYSA-N -1 1 312.185 1.747 20 0 DDADMM CC(C)(NC(=O)[C@@H]1CCCc2c(O)cccc21)c1nn[n-]n1 ZINC000356324996 410964983 /nfs/dbraw/zinc/96/49/83/410964983.db2.gz SNHBIPBRYPSKRO-LLVKDONJSA-N -1 1 301.350 1.377 20 0 DDADMM CC(C)Cn1cc(NC(=O)CNC(=O)c2ncccc2[O-])cn1 ZINC000344162001 410998771 /nfs/dbraw/zinc/99/87/71/410998771.db2.gz YWEAKEGGIIQVFQ-UHFFFAOYSA-N -1 1 317.349 1.008 20 0 DDADMM CCc1nc(S(=O)(=O)[C@H](C)C(=O)N(C(C)C)C(C)C)n[n-]1 ZINC000331268050 411049363 /nfs/dbraw/zinc/04/93/63/411049363.db2.gz DICSVYYXABJKAM-SNVBAGLBSA-N -1 1 316.427 1.175 20 0 DDADMM CC(C)C(=O)N[C@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000331223381 411024729 /nfs/dbraw/zinc/02/47/29/411024729.db2.gz CGLJHAXUUZLXMV-ZDUSSCGKSA-N -1 1 318.373 1.338 20 0 DDADMM CC(C)(NC(=O)c1cnc([C@H]2CCCO2)s1)c1nn[n-]n1 ZINC000341393218 411036419 /nfs/dbraw/zinc/03/64/19/411036419.db2.gz VWKIECCZVFPAIT-SSDOTTSWSA-N -1 1 308.367 1.173 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccccc2)ccc1NC(N)=O ZINC000353695192 411112357 /nfs/dbraw/zinc/11/23/57/411112357.db2.gz HFAMLBORMPRRRT-UHFFFAOYSA-N -1 1 321.358 1.987 20 0 DDADMM COCCn1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c(C)n1 ZINC000360318296 411126327 /nfs/dbraw/zinc/12/63/27/411126327.db2.gz MMUHMMOWDXABSC-UHFFFAOYSA-N -1 1 318.259 1.227 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCOc2ccccc2F)sn1 ZINC000631649627 422867266 /nfs/dbraw/zinc/86/72/66/422867266.db2.gz BRMVJHCKJAPDBY-UHFFFAOYSA-N -1 1 316.379 1.948 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](C)OC[C@@H]2C)c1 ZINC000631721417 422893412 /nfs/dbraw/zinc/89/34/12/422893412.db2.gz RLOVPJYEPFSWCT-UWVGGRQHSA-N -1 1 301.364 1.199 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCCC[C@H]2C)c1 ZINC000133883783 196343302 /nfs/dbraw/zinc/34/33/02/196343302.db2.gz AKLMIIBHSXLLLY-KOLCDFICSA-N -1 1 301.364 1.923 20 0 DDADMM O=C([O-])[C@@H]1CN(CCN2C[C@H]3CC[C@@H](C2)O3)Cc2ccccc21 ZINC000652469738 423032644 /nfs/dbraw/zinc/03/26/44/423032644.db2.gz DHORGPNEHITBAZ-HLLBOEOZSA-N -1 1 316.401 1.534 20 0 DDADMM O=C([O-])C[C@H]1COCCN1CCCOCCc1ccccc1 ZINC000652475601 423034903 /nfs/dbraw/zinc/03/49/03/423034903.db2.gz AJBOKMVTTARLCN-INIZCTEOSA-N -1 1 307.390 1.811 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@H]1Oc2ccccc2NC1=O ZINC000647816207 423058983 /nfs/dbraw/zinc/05/89/83/423058983.db2.gz APADCIOYWMXFDZ-SNVBAGLBSA-N -1 1 302.290 1.152 20 0 DDADMM COc1cccc2c1OCC(C(=O)Nc1c(C)[n-][nH]c1=O)=C2 ZINC000647816692 423062370 /nfs/dbraw/zinc/06/23/70/423062370.db2.gz QKQOGLFVDGZXBF-UHFFFAOYSA-N -1 1 301.302 1.847 20 0 DDADMM CC(C)c1ncsc1[N-]S(=O)(=O)N1CCCOCC1 ZINC000650204817 423084554 /nfs/dbraw/zinc/08/45/54/423084554.db2.gz NDYYTLBXOCKCEU-UHFFFAOYSA-N -1 1 305.425 1.646 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)N1CCC[C@@H]1c1n[nH]c(-c2ccccc2)n1 ZINC000417378241 225309248 /nfs/dbraw/zinc/30/92/48/225309248.db2.gz RANHYMBUMJJNGN-CMPLNLGQSA-N -1 1 314.345 1.856 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)N1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000417378241 225309253 /nfs/dbraw/zinc/30/92/53/225309253.db2.gz RANHYMBUMJJNGN-CMPLNLGQSA-N -1 1 314.345 1.856 20 0 DDADMM O=C([O-])[C@@]1(NCc2nccn2CC(F)(F)F)CCSC1 ZINC000417578928 225369037 /nfs/dbraw/zinc/36/90/37/225369037.db2.gz OJJPEJXXYOQFCV-SNVBAGLBSA-N -1 1 309.313 1.495 20 0 DDADMM Cn1cc([C@H](NCc2cccc3c2OCCCO3)C(=O)[O-])cn1 ZINC000417599903 225375313 /nfs/dbraw/zinc/37/53/13/225375313.db2.gz QCVKHMPZYWXDTM-AWEZNQCLSA-N -1 1 317.345 1.497 20 0 DDADMM CC[C@@H]1[C@H](C)CCN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000185373157 287362016 /nfs/dbraw/zinc/36/20/16/287362016.db2.gz DOGHMWYLRSTGKR-MWLCHTKSSA-N -1 1 300.380 1.448 20 0 DDADMM CSc1nc(CNC(=O)c2ccn(C(C)C)n2)cc(=O)[n-]1 ZINC000640657305 423117462 /nfs/dbraw/zinc/11/74/62/423117462.db2.gz DJAHBJIFIPVZLY-UHFFFAOYSA-N -1 1 307.379 1.612 20 0 DDADMM CC(C)C(=O)C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645655460 423127627 /nfs/dbraw/zinc/12/76/27/423127627.db2.gz SRCQTNNGSAEQMD-UHFFFAOYSA-N -1 1 310.297 1.604 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]Cc1nnc(C2CC2)o1)C(C)C ZINC000645658341 423129757 /nfs/dbraw/zinc/12/97/57/423129757.db2.gz JHBBMERYFPPLLJ-SNVBAGLBSA-N -1 1 303.384 1.037 20 0 DDADMM O=S(=O)(C[C@@H]1Cc2ccccc2O1)c1n[n-]c(C2CC2)n1 ZINC000366028359 418419823 /nfs/dbraw/zinc/41/98/23/418419823.db2.gz HAYLPSSJNCUBIC-NSHDSACASA-N -1 1 305.359 1.460 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CC[C@@H](F)C1 ZINC000360373162 418419877 /nfs/dbraw/zinc/41/98/77/418419877.db2.gz QDECCBJRRIIXSB-MNOVXSKESA-N -1 1 306.341 1.601 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCCc2nn(C)cc21)c1nn[n-]n1 ZINC000366972456 418546057 /nfs/dbraw/zinc/54/60/57/418546057.db2.gz FRJNUIBWQWEREW-BXKDBHETSA-N -1 1 303.370 1.011 20 0 DDADMM O=C(CNC(=O)C(=O)c1ccc([O-])cc1)OC1CCCCC1 ZINC000289344111 418575494 /nfs/dbraw/zinc/57/54/94/418575494.db2.gz ZTGZUBCIXCKPLF-UHFFFAOYSA-N -1 1 305.330 1.567 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)Nc2c(C)n[nH]c2C)n1 ZINC000195075361 222189718 /nfs/dbraw/zinc/18/97/18/222189718.db2.gz MPIGJBBFHCMHAJ-UHFFFAOYSA-N -1 1 307.379 1.403 20 0 DDADMM CN1CCC[C@]2(CCN(C(=O)c3c(F)ccc([O-])c3F)C2)C1=O ZINC000368137109 418695050 /nfs/dbraw/zinc/69/50/50/418695050.db2.gz XJVRCBFNQMRCJN-MRXNPFEDSA-N -1 1 324.327 1.755 20 0 DDADMM CC(C)(C)C(=O)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000361962145 418730629 /nfs/dbraw/zinc/73/06/29/418730629.db2.gz OBIXRHSTMAZVQL-UHFFFAOYSA-N -1 1 324.196 1.936 20 0 DDADMM Cc1ccccc1[C@H](C)CC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000364776188 418810118 /nfs/dbraw/zinc/81/01/18/418810118.db2.gz YVDZJEZSLHKXBE-OCCSQVGLSA-N -1 1 315.377 1.602 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCN2CCOC[C@@H]2C1 ZINC000371870408 418822017 /nfs/dbraw/zinc/82/20/17/418822017.db2.gz PWFJMNGTNPLOPE-AWEZNQCLSA-N -1 1 312.369 1.702 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C3(C)CC3)CC2)n1 ZINC000365463523 418863908 /nfs/dbraw/zinc/86/39/08/418863908.db2.gz OVGRYADPMWBLKW-UHFFFAOYSA-N -1 1 306.366 1.488 20 0 DDADMM O=C(NCC[C@@]1(O)CCOC1)c1cc2ccccc2cc1[O-] ZINC000652809525 423149097 /nfs/dbraw/zinc/14/90/97/423149097.db2.gz IAVJUFDZXZPCSQ-QGZVFWFLSA-N -1 1 301.342 1.817 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@]2(O)CCO[C@@H]2C)c(F)c1 ZINC000425194896 228386568 /nfs/dbraw/zinc/38/65/68/228386568.db2.gz ROSDVGOWOWLYMH-RNCFNFMXSA-N -1 1 321.345 1.091 20 0 DDADMM NC(=O)[C@H]1CCCCC[C@H]1NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000426794677 419552072 /nfs/dbraw/zinc/55/20/72/419552072.db2.gz SQDDHKHSACMHNB-WDEREUQCSA-N -1 1 322.434 1.303 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(C(=O)OC)c(C)c1)OC ZINC000421145245 419500569 /nfs/dbraw/zinc/50/05/69/419500569.db2.gz CQVFRMDUYNKJFH-GFCCVEGCSA-N -1 1 315.391 1.948 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]1Cn1cc(C2CC2)nn1 ZINC000299741486 229376680 /nfs/dbraw/zinc/37/66/80/229376680.db2.gz FFOWDDYZKHGZNY-LBPRGKRZSA-N -1 1 313.361 1.561 20 0 DDADMM Cn1cnc(CCNC(=O)c2ccc(C(F)(F)F)cc2[O-])n1 ZINC000436751119 229521112 /nfs/dbraw/zinc/52/11/12/229521112.db2.gz IXZDOSCSLFLWOP-UHFFFAOYSA-N -1 1 314.267 1.512 20 0 DDADMM CN(CCCC(=O)[O-])CCOc1ccc(S(C)(=O)=O)cc1 ZINC000430662961 420174042 /nfs/dbraw/zinc/17/40/42/420174042.db2.gz SRBLHDMHCIBPCZ-UHFFFAOYSA-N -1 1 315.391 1.266 20 0 DDADMM COc1cccc([C@@H](C)NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)c1 ZINC000416140097 420255191 /nfs/dbraw/zinc/25/51/91/420255191.db2.gz SCBIDMVPSJTHBM-MRVPVSSYSA-N -1 1 319.317 1.396 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@H]1CCC[C@H](C)C1 ZINC000416167140 420264260 /nfs/dbraw/zinc/26/42/60/420264260.db2.gz QCLPDFDADMAXJF-VHSXEESVSA-N -1 1 309.366 1.843 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2cc(C)ccc2O)CC1 ZINC000436478402 420330548 /nfs/dbraw/zinc/33/05/48/420330548.db2.gz QCPMTWDNYZTMKE-UHFFFAOYSA-N -1 1 313.375 1.928 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc(OC)nn2)c1 ZINC000436505628 420334450 /nfs/dbraw/zinc/33/44/50/420334450.db2.gz OEVCLMYIDBBMMN-UHFFFAOYSA-N -1 1 303.274 1.230 20 0 DDADMM NC(=O)c1ccc(CNC(=O)c2cc3ccccc3cc2[O-])cn1 ZINC000436581715 420341244 /nfs/dbraw/zinc/34/12/44/420341244.db2.gz BPVIFVAFDAPGAO-UHFFFAOYSA-N -1 1 321.336 1.969 20 0 DDADMM Cc1ccccc1C[C@H](CO)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436581784 420341250 /nfs/dbraw/zinc/34/12/50/420341250.db2.gz BXGCEHQUXQIOQG-OAHLLOKOSA-N -1 1 313.353 1.603 20 0 DDADMM COC(=O)C[C@@H](C)CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425310467 420345839 /nfs/dbraw/zinc/34/58/39/420345839.db2.gz XFYPCYZNNVAKLX-VHSXEESVSA-N -1 1 311.338 1.071 20 0 DDADMM CCc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc(=O)[nH]1 ZINC000425318486 420348507 /nfs/dbraw/zinc/34/85/07/420348507.db2.gz IGFTZWRNYXLVNW-UHFFFAOYSA-N -1 1 318.333 1.458 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2cn(C)c(C(C)C)n2)n1 ZINC000416387921 420353531 /nfs/dbraw/zinc/35/35/31/420353531.db2.gz VCFKENFGYCIIJN-QMMMGPOBSA-N -1 1 313.383 1.274 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC[C@](F)(CO)C1 ZINC000436715647 420358571 /nfs/dbraw/zinc/35/85/71/420358571.db2.gz LTNBYKPBLITCIQ-GFCCVEGCSA-N -1 1 318.142 1.701 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)C3(NC(N)=O)CC3)[nH][n-]2)c1 ZINC000416250544 420296374 /nfs/dbraw/zinc/29/63/74/420296374.db2.gz FKVWSWANIZHJBG-UHFFFAOYSA-N -1 1 317.324 1.086 20 0 DDADMM C[N@H+]1CC[C@H](CNS(=O)(=O)c2c(F)cccc2Cl)C1 ZINC000416494240 420391985 /nfs/dbraw/zinc/39/19/85/420391985.db2.gz HZBWEGRFIJVIRI-SECBINFHSA-N -1 1 306.790 1.709 20 0 DDADMM C[C@H](CC(=O)OC(C)(C)C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436994561 420392220 /nfs/dbraw/zinc/39/22/20/420392220.db2.gz NEHYYCPQCDQAGN-SNVBAGLBSA-N -1 1 307.346 1.811 20 0 DDADMM Cc1cccc(CN(C)C(=O)c2nc3ccccc3c(=O)[n-]2)n1 ZINC000437171644 420398433 /nfs/dbraw/zinc/39/84/33/420398433.db2.gz LXTIONKRHRHDIL-UHFFFAOYSA-N -1 1 308.341 1.899 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2ccc(C)cn2)c1 ZINC000416505308 420400075 /nfs/dbraw/zinc/40/00/75/420400075.db2.gz CJESARMJFJALPE-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM C[C@@H]1CC[C@H](C[N-]S(=O)(=O)c2ccc(Br)o2)O1 ZINC000416528159 420406577 /nfs/dbraw/zinc/40/65/77/420406577.db2.gz DAQUSRKILAAUEN-HTQZYQBOSA-N -1 1 324.196 1.888 20 0 DDADMM COC[C@H](CN=c1ccc(C(N)=O)n[n-]1)c1ccc(Cl)cc1 ZINC000450717959 420512946 /nfs/dbraw/zinc/51/29/46/420512946.db2.gz MLGCUXAQPZUURH-NSHDSACASA-N -1 1 320.780 1.493 20 0 DDADMM C[C@@H](CN(C)C(=O)C1CC(O)(CCC(C)(C)C)C1)c1nn[n-]n1 ZINC000450840023 420543891 /nfs/dbraw/zinc/54/38/91/420543891.db2.gz IKWJHCWOKNULJE-FZWSLVFFSA-N -1 1 323.441 1.729 20 0 DDADMM CCNC(=O)c1ccc(=NCC(C)(C)C2(O)CCCC2)[n-]n1 ZINC000450916559 420559175 /nfs/dbraw/zinc/55/91/75/420559175.db2.gz UZYYDJILIZZRDP-UHFFFAOYSA-N -1 1 306.410 1.391 20 0 DDADMM N=c1nc(N2CCN(CCOc3cccc(F)c3)CC2)s[n-]1 ZINC000440566683 420588433 /nfs/dbraw/zinc/58/84/33/420588433.db2.gz MAJPVUBASVQFSH-UHFFFAOYSA-N -1 1 323.397 1.291 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000492545394 420589358 /nfs/dbraw/zinc/58/93/58/420589358.db2.gz ABNBMSFSPQBCFH-OAQKJQOQSA-N -1 1 315.333 1.204 20 0 DDADMM Nc1ccccc1CCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000448218049 420844367 /nfs/dbraw/zinc/84/43/67/420844367.db2.gz RQWDQUJFSKTLFJ-UHFFFAOYSA-N -1 1 300.366 1.300 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cn(C)nc1-c1ccoc1)c1nn[n-]n1 ZINC000447542391 420780206 /nfs/dbraw/zinc/78/02/06/420780206.db2.gz OYAQGCYQNFYWMW-VIFPVBQESA-N -1 1 315.337 1.069 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](CCC(=O)Nc2nnn[n-]2)C1 ZINC000494066193 420986342 /nfs/dbraw/zinc/98/63/42/420986342.db2.gz WHYYCJQMDFMPBS-SECBINFHSA-N -1 1 310.358 1.175 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](CCC(=O)Nc2nn[n-]n2)C1 ZINC000494066193 420986347 /nfs/dbraw/zinc/98/63/47/420986347.db2.gz WHYYCJQMDFMPBS-SECBINFHSA-N -1 1 310.358 1.175 20 0 DDADMM Cc1cc(C=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)ccc1F ZINC000493748403 420931132 /nfs/dbraw/zinc/93/11/32/420931132.db2.gz CGZULGNUPMTRTH-ZRQQLDRUSA-N -1 1 303.341 1.923 20 0 DDADMM CN(C)c1ncc(CN(C)Cc2ccc(C(=O)[O-])cc2)cn1 ZINC000455649274 421048458 /nfs/dbraw/zinc/04/84/58/421048458.db2.gz CUXXPTVIFDECEW-UHFFFAOYSA-N -1 1 300.362 1.873 20 0 DDADMM CCOc1cc(C(=O)N2CC(=O)N[C@H](C)C2)cc(Cl)c1[O-] ZINC000456203439 421118065 /nfs/dbraw/zinc/11/80/65/421118065.db2.gz ZCTWVVLYYXWFTN-MRVPVSSYSA-N -1 1 312.753 1.405 20 0 DDADMM O=C(OC[C@H]1CNC(=O)O1)c1ccc(Cl)c(Cl)c1[O-] ZINC000495785655 421064004 /nfs/dbraw/zinc/06/40/04/421064004.db2.gz RMXZJDVGYHIIJH-RXMQYKEDSA-N -1 1 306.101 1.964 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1C[C@H]1c1cccc(OC)c1)c1nn[n-]n1 ZINC000450123374 421145127 /nfs/dbraw/zinc/14/51/27/421145127.db2.gz XCHSEOWSJZUPQJ-BFHYXJOUSA-N -1 1 315.377 1.969 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C(F)(F)F)[nH]1)c1nn[n-]n1 ZINC000450122550 421145702 /nfs/dbraw/zinc/14/57/02/421145702.db2.gz PPJQQIPPMVFGLG-ZCFIWIBFSA-N -1 1 302.260 1.818 20 0 DDADMM CC(C)C[C@@H](CN=c1[n-]c(C2CCC2)no1)N1CCOCC1 ZINC000450239104 421169428 /nfs/dbraw/zinc/16/94/28/421169428.db2.gz CSXQVYRGPFCBHG-AWEZNQCLSA-N -1 1 308.426 1.918 20 0 DDADMM Cc1nn(C)c2sc(C(=O)N(C)C[C@H](C)c3nn[n-]n3)cc12 ZINC000544686812 421227133 /nfs/dbraw/zinc/22/71/33/421227133.db2.gz IQEIUQGQKCQSME-ZETCQYMHSA-N -1 1 319.394 1.332 20 0 DDADMM C[C@H]1CCC[C@@H](CCNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000544748444 421229310 /nfs/dbraw/zinc/22/93/10/421229310.db2.gz VLGUZILHXSHVFF-QWRGUYRKSA-N -1 1 303.366 1.364 20 0 DDADMM C[C@@H]1[C@H](C(=O)[N-]OC(C)(C)CO)CCN1C(=O)OC(C)(C)C ZINC000496694457 421300558 /nfs/dbraw/zinc/30/05/58/421300558.db2.gz YNEYLHZGLMZKOQ-GHMZBOCLSA-N -1 1 316.398 1.451 20 0 DDADMM O=C(Nc1nc2ccc(Br)cc2[nH]1)c1n[nH]c(=O)[n-]1 ZINC000525775638 421301607 /nfs/dbraw/zinc/30/16/07/421301607.db2.gz ZFUQEHBWLCBOQL-UHFFFAOYSA-N -1 1 323.110 1.401 20 0 DDADMM C[C@H]1Cc2ccccc2[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546088417 421284472 /nfs/dbraw/zinc/28/44/72/421284472.db2.gz MXIHNCYGMGIVOK-LKFCYVNXSA-N -1 1 309.329 1.081 20 0 DDADMM O=C(NCc1ccc2c(c1)CCS2(=O)=O)c1ncccc1[O-] ZINC000547977493 421384500 /nfs/dbraw/zinc/38/45/00/421384500.db2.gz XJZVZKOISBQVFC-UHFFFAOYSA-N -1 1 318.354 1.047 20 0 DDADMM CC(C)C[C@H](Nc1nc(C(F)(F)F)nc2[nH]cnc21)C(=O)[O-] ZINC000563521894 421516962 /nfs/dbraw/zinc/51/69/62/421516962.db2.gz PHPNAMQRAXMARQ-LURJTMIESA-N -1 1 317.271 1.705 20 0 DDADMM CS(=O)(=O)N1CCC[C@H](C(=O)Nc2ccc(F)cc2[O-])C1 ZINC000528904345 421523062 /nfs/dbraw/zinc/52/30/62/421523062.db2.gz RSNKDWAPBYADAK-VIFPVBQESA-N -1 1 316.354 1.141 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)Cc1cnn(CC)c1 ZINC000551209760 421528791 /nfs/dbraw/zinc/52/87/91/421528791.db2.gz WZZNBEQGLUGLIQ-UHFFFAOYSA-N -1 1 305.338 1.292 20 0 DDADMM CCc1csc(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000548908930 421485445 /nfs/dbraw/zinc/48/54/45/421485445.db2.gz STDYYHSUWNGSJV-SECBINFHSA-N -1 1 306.395 1.167 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCc2cccc(O)c21)c1nn[n-]n1 ZINC000551486387 421534175 /nfs/dbraw/zinc/53/41/75/421534175.db2.gz AEAJNISGLKZZBQ-WDEREUQCSA-N -1 1 301.350 1.593 20 0 DDADMM O=C([O-])C1(C(=O)NC2CCN(Cc3ccc(F)cc3)CC2)CC1 ZINC000554267370 421634908 /nfs/dbraw/zinc/63/49/08/421634908.db2.gz QCGRDZVEBQIYSS-UHFFFAOYSA-N -1 1 320.364 1.771 20 0 DDADMM CCCc1nc(=NC(=O)N[C@H](C)c2n[nH]c(CC)n2)s[n-]1 ZINC000518261554 421645713 /nfs/dbraw/zinc/64/57/13/421645713.db2.gz NWXJEAJSYDZJPM-SSDOTTSWSA-N -1 1 309.399 1.476 20 0 DDADMM CCOC(=O)c1ccc(NC(=O)CN(C)C[C@@H](C)C(=O)[O-])cc1 ZINC000518381498 421650449 /nfs/dbraw/zinc/65/04/49/421650449.db2.gz TYTXVVWEWJARAV-LLVKDONJSA-N -1 1 322.361 1.454 20 0 DDADMM CC1=CCN(CCNC(=O)N2CC[C@H](C)[C@@H](C(=O)[O-])C2)CC1 ZINC000570679986 421662008 /nfs/dbraw/zinc/66/20/08/421662008.db2.gz FJFVIWIITSJOMI-KBPBESRZSA-N -1 1 309.410 1.391 20 0 DDADMM C[C@@H](O)[C@@H]([N-]S(=O)(=O)c1cscn1)c1ccccc1F ZINC000519551823 421701309 /nfs/dbraw/zinc/70/13/09/421701309.db2.gz VLQNMKPFELIPCX-PRHODGIISA-N -1 1 316.379 1.683 20 0 DDADMM O=C(N1CCC[C@@H](c2nn[n-]n2)C1)C1(Cc2ccccc2)CC1 ZINC000538224971 421738035 /nfs/dbraw/zinc/73/80/35/421738035.db2.gz OMNACLIBSXOORO-CQSZACIVSA-N -1 1 311.389 1.929 20 0 DDADMM COC(=O)[C@H](NCc1ncccc1[O-])[C@@H]1CCC[C@@H](OC)C1 ZINC000571810659 421745737 /nfs/dbraw/zinc/74/57/37/421745737.db2.gz GAWFHMAZNMDQHO-LALPHHSUSA-N -1 1 308.378 1.624 20 0 DDADMM CC[C@@H](C)n1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1C ZINC000520475636 421753576 /nfs/dbraw/zinc/75/35/76/421753576.db2.gz IGKHQKOAVWUIBM-NXEZZACHSA-N -1 1 305.386 1.551 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2cc(C)c(F)cc2F)s1 ZINC000541870046 421809968 /nfs/dbraw/zinc/80/99/68/421809968.db2.gz PTDGMGNTYVPXOO-UHFFFAOYSA-N -1 1 319.358 1.912 20 0 DDADMM C[C@H](C[S@](C)=O)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000541308625 421789667 /nfs/dbraw/zinc/78/96/67/421789667.db2.gz KZRDMOKLVVXWMR-LZVRBXCZSA-N -1 1 301.389 1.478 20 0 DDADMM O=S(=O)([N-]CCCn1ccnn1)c1cc2ccccc2o1 ZINC000543169791 421834675 /nfs/dbraw/zinc/83/46/75/421834675.db2.gz OHEUBWCCXXHDAE-UHFFFAOYSA-N -1 1 306.347 1.393 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@@H]1Cc1cc(F)ccc1F ZINC000633446179 421906082 /nfs/dbraw/zinc/90/60/82/421906082.db2.gz QXASVLARICJRQW-GFCCVEGCSA-N -1 1 321.331 1.644 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@H](C(=O)[O-])c1ccccc1F ZINC000630147390 421918610 /nfs/dbraw/zinc/91/86/10/421918610.db2.gz URZYPRFSHJNGLS-ZANVPECISA-N -1 1 305.309 1.670 20 0 DDADMM CC(C)CCOC1CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC000635348943 421928579 /nfs/dbraw/zinc/92/85/79/421928579.db2.gz VJIAVULUXXPUEI-UHFFFAOYSA-N -1 1 309.414 1.576 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H](OCC(F)(F)F)C1 ZINC000635380492 421942434 /nfs/dbraw/zinc/94/24/34/421942434.db2.gz HNMXMNWTNPDOOT-SECBINFHSA-N -1 1 321.303 1.092 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c(C)c1 ZINC000630223184 421974263 /nfs/dbraw/zinc/97/42/63/421974263.db2.gz IJUHSCZNBHLSCJ-CQSZACIVSA-N -1 1 304.390 1.924 20 0 DDADMM COCc1ccccc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630225238 421975390 /nfs/dbraw/zinc/97/53/90/421975390.db2.gz XWSQDUFCXYOLEW-UHFFFAOYSA-N -1 1 320.389 1.454 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000630224570 421976597 /nfs/dbraw/zinc/97/65/97/421976597.db2.gz PGYNJBLOLSRNCH-LBPRGKRZSA-N -1 1 324.352 1.212 20 0 DDADMM CC[C@@H](C)[C@@H](O)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632012158 422020013 /nfs/dbraw/zinc/02/00/13/422020013.db2.gz MFQYAYMUQRNHOL-SKDRFNHKSA-N -1 1 303.380 1.086 20 0 DDADMM CC(C)[C@H]1CN(C(=O)NC[C@H](C(=O)[O-])C2CCC2)CCN1C ZINC000635521524 422071013 /nfs/dbraw/zinc/07/10/13/422071013.db2.gz TUWNDUGVICZVEG-UONOGXRCSA-N -1 1 311.426 1.469 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCC(F)(F)C2)c1 ZINC000632110752 422096487 /nfs/dbraw/zinc/09/64/87/422096487.db2.gz XGPWTSFIKIJXGZ-QMMMGPOBSA-N -1 1 307.318 1.867 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@H](c2cccc(F)c2)C1 ZINC000635506880 422053574 /nfs/dbraw/zinc/05/35/74/422053574.db2.gz WVTYLWRPXBVZFY-GFCCVEGCSA-N -1 1 303.341 1.678 20 0 DDADMM COc1ccc([C@H]2CCN(C(=O)CCc3nn[n-]n3)C2)cc1F ZINC000633695897 422054648 /nfs/dbraw/zinc/05/46/48/422054648.db2.gz DMTSSZGIBDKINH-NSHDSACASA-N -1 1 319.340 1.296 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC(C)(C)C(C)(C)O)c1 ZINC000632172709 422138718 /nfs/dbraw/zinc/13/87/18/422138718.db2.gz ORFXUMKBNPZHES-UHFFFAOYSA-N -1 1 303.380 1.229 20 0 DDADMM CC[C@@H](c1ccncc1)N(CC)C(=O)CCCc1nn[n-]n1 ZINC000635596990 422149366 /nfs/dbraw/zinc/14/93/66/422149366.db2.gz MZBQFUNIKPTPBA-ZDUSSCGKSA-N -1 1 302.382 1.917 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C)C(F)(F)[C@H](C)C1 ZINC000628398044 422165507 /nfs/dbraw/zinc/16/55/07/422165507.db2.gz KBYQLUHRPKDHTE-RNFRBKRXSA-N -1 1 317.292 1.260 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC(C)(CO)CC2)c1 ZINC000632213369 422169061 /nfs/dbraw/zinc/16/90/61/422169061.db2.gz PPRXQOLBXSFNTA-UHFFFAOYSA-N -1 1 315.391 1.184 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCO[C@@H](C3CC3)C2)sn1 ZINC000632216516 422170577 /nfs/dbraw/zinc/17/05/77/422170577.db2.gz ITHSVSKLEVRFND-GHMZBOCLSA-N -1 1 302.421 1.687 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCOC[C@@H]2C2CC2)c1 ZINC000632155442 422125397 /nfs/dbraw/zinc/12/53/97/422125397.db2.gz ZHDDCTRZOKIVAT-GFCCVEGCSA-N -1 1 313.375 1.200 20 0 DDADMM C[C@]12CN(C(=O)c3ccc(Cl)cc3[O-])C[C@]1(C)C(=O)NC2=O ZINC000633876752 422127021 /nfs/dbraw/zinc/12/70/21/422127021.db2.gz NQCKKAFRRKZJGF-HUUCEWRRSA-N -1 1 322.748 1.170 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000574540867 422130640 /nfs/dbraw/zinc/13/06/40/422130640.db2.gz VUAAZFRDKNZBBX-JTQLQIEISA-N -1 1 318.381 1.685 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCOC3(CCC3)C2)c1 ZINC000632163721 422131784 /nfs/dbraw/zinc/13/17/84/422131784.db2.gz HKIZMNPNUMRSQA-UHFFFAOYSA-N -1 1 313.375 1.344 20 0 DDADMM O=C(NC[C@H](n1cncn1)C(F)(F)F)c1cc(F)ccc1[O-] ZINC000633984256 422198205 /nfs/dbraw/zinc/19/82/05/422198205.db2.gz MHTCHEFFHASTGV-JTQLQIEISA-N -1 1 318.230 1.656 20 0 DDADMM CC[C@H]1C[C@@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)CCO1 ZINC000583987828 422232471 /nfs/dbraw/zinc/23/24/71/422232471.db2.gz YCKJZILGJGONER-QWRGUYRKSA-N -1 1 304.437 1.996 20 0 DDADMM CO[C@H](c1ccccc1Cl)[C@@H](C)NC(=O)CCc1nn[n-]n1 ZINC000632326082 422250167 /nfs/dbraw/zinc/25/01/67/422250167.db2.gz OABHPSCCWUBTRG-OTYXRUKQSA-N -1 1 323.784 1.678 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H](N)c2cccc(Cl)c2)CC[C@H]1C(=O)[O-] ZINC000630575122 422191581 /nfs/dbraw/zinc/19/15/81/422191581.db2.gz LEPFFUIAFOZRCZ-WQAKAFBOSA-N -1 1 310.781 1.909 20 0 DDADMM CN(Cc1cccc(Br)c1)C(=O)CCc1nn[n-]n1 ZINC000630697409 422275175 /nfs/dbraw/zinc/27/51/75/422275175.db2.gz MZYFMOJMYCRPOO-UHFFFAOYSA-N -1 1 324.182 1.553 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccnn1CC1CCC1)c1nn[n-]n1 ZINC000632436082 422341577 /nfs/dbraw/zinc/34/15/77/422341577.db2.gz BONCLKOVLDGKAJ-SNVBAGLBSA-N -1 1 303.370 1.072 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]2C[C@@H]21)c1c[nH]nc1C(F)(F)F ZINC000632441687 422345760 /nfs/dbraw/zinc/34/57/60/422345760.db2.gz ITQGUAYMRBLFTD-GJMOJQLCSA-N -1 1 309.313 1.895 20 0 DDADMM CCO[C@H]1COCC[C@H]1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632451985 422354088 /nfs/dbraw/zinc/35/40/88/422354088.db2.gz IKXOERBLQFAITH-OCCSQVGLSA-N -1 1 313.781 1.898 20 0 DDADMM O=S(=O)(Cc1ccc(F)cc1)[N-]Cc1nc(C(F)F)no1 ZINC000632481184 422381445 /nfs/dbraw/zinc/38/14/45/422381445.db2.gz IWJWQFOVQGUCBK-UHFFFAOYSA-N -1 1 321.280 1.766 20 0 DDADMM O=C(N[C@@H]1COCC[C@H]1O)c1ccc(Br)cc1[O-] ZINC000634464227 422442664 /nfs/dbraw/zinc/44/26/64/422442664.db2.gz CZOQHBCJMDNMBI-NXEZZACHSA-N -1 1 316.151 1.034 20 0 DDADMM C/C=C/CNC(=O)c1c[n-]c2cc(OC)cc(OC)c2c1=O ZINC000629030831 422449841 /nfs/dbraw/zinc/44/98/41/422449841.db2.gz IRQBISMCXULFNJ-SNAWJCMRSA-N -1 1 302.330 1.851 20 0 DDADMM COc1ccc([C@H]2CCN(C(=O)CCc3nn[n-]n3)C2)cc1 ZINC000630876767 422389429 /nfs/dbraw/zinc/38/94/29/422389429.db2.gz SLIVIWCKZYPIKM-LBPRGKRZSA-N -1 1 301.350 1.157 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](c1ccccc1)[C@@H]1CCCO1 ZINC000630889922 422397374 /nfs/dbraw/zinc/39/73/74/422397374.db2.gz QUQDGMPCMVVMJF-WFASDCNBSA-N -1 1 301.350 1.169 20 0 DDADMM CC[C@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])[C@H](C)CO1 ZINC000632509992 422401593 /nfs/dbraw/zinc/40/15/93/422401593.db2.gz UOUAXTDKXZYBHX-MNOVXSKESA-N -1 1 315.391 1.589 20 0 DDADMM Cc1cccc2c1OCC[C@H]2NC(=O)CCCc1nn[n-]n1 ZINC000635855949 422412022 /nfs/dbraw/zinc/41/20/22/422412022.db2.gz SZGZWQCHCFNOPQ-GFCCVEGCSA-N -1 1 301.350 1.471 20 0 DDADMM C[C@H](Sc1nnnn1C1CC1)C(=O)Nc1cccc(F)c1[O-] ZINC000628961192 422423194 /nfs/dbraw/zinc/42/31/94/422423194.db2.gz VCJMAFNJMVJYJV-ZETCQYMHSA-N -1 1 323.353 1.972 20 0 DDADMM COCCCN(CCO)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632682332 422518713 /nfs/dbraw/zinc/51/87/13/422518713.db2.gz XWPNBVPRUNLSGZ-UHFFFAOYSA-N -1 1 301.770 1.446 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2Cc3ccccc3CO2)sn1 ZINC000632590226 422458218 /nfs/dbraw/zinc/45/82/18/422458218.db2.gz MIBWJHIDEJEMCT-ZDUSSCGKSA-N -1 1 324.427 1.871 20 0 DDADMM CCC[C@@H](NC(=O)c1cnn2c1C[C@H](C)CC2)c1nn[n-]n1 ZINC000577954483 422463648 /nfs/dbraw/zinc/46/36/48/422463648.db2.gz HTIHRDFLLQOPFG-MWLCHTKSSA-N -1 1 303.370 1.250 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2C[C@@H](C)O[C@@H]2C)c(F)c1 ZINC000632647508 422494284 /nfs/dbraw/zinc/49/42/84/422494284.db2.gz DFIQAOFZBXMZIY-DNSOKLHBSA-N -1 1 321.345 1.818 20 0 DDADMM Cn1cnnc1-n1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634591984 422495241 /nfs/dbraw/zinc/49/52/41/422495241.db2.gz USAJGJOVZVPNCA-CYBMUJFWSA-N -1 1 310.361 1.040 20 0 DDADMM COc1ccc(COCCN2C[C@@H](OC)C[C@]2(C)C(=O)[O-])cc1 ZINC000634695382 422555380 /nfs/dbraw/zinc/55/53/80/422555380.db2.gz UJAKWSMAOPKYPM-DOTOQJQBSA-N -1 1 323.389 1.776 20 0 DDADMM COC[C@H](NC(=O)CCc1nn[n-]n1)c1ccc(Cl)cc1 ZINC000632780905 422574495 /nfs/dbraw/zinc/57/44/95/422574495.db2.gz VBJNSDRHQVEAAI-NSHDSACASA-N -1 1 309.757 1.290 20 0 DDADMM CCO[C@@H](C(=O)N=c1[nH][n-]c(C)c1Br)C(C)C ZINC000627669491 422655511 /nfs/dbraw/zinc/65/55/11/422655511.db2.gz IOTBXYMVDCBYOZ-SECBINFHSA-N -1 1 304.188 1.902 20 0 DDADMM CNS(=O)(=O)CCCNC(=O)c1cc2ccccc2cc1[O-] ZINC000629347165 422624065 /nfs/dbraw/zinc/62/40/65/422624065.db2.gz KWUZYXKRRTZYSY-UHFFFAOYSA-N -1 1 322.386 1.215 20 0 DDADMM CCc1ccc(CNC(=O)CN2CC[C@@H](C(=O)[O-])[C@H](C)C2)s1 ZINC000629495725 422700794 /nfs/dbraw/zinc/70/07/94/422700794.db2.gz LISVTUMKCFQKEQ-BXUZGUMPSA-N -1 1 324.446 1.969 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000629495580 422701597 /nfs/dbraw/zinc/70/15/97/422701597.db2.gz JDZAGQOCOKPYBG-MNOVXSKESA-N -1 1 324.343 1.828 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1c(F)cccc1N1CCCC1 ZINC000633001243 422705595 /nfs/dbraw/zinc/70/55/95/422705595.db2.gz ZWBIEQJGQFUVQK-UHFFFAOYSA-N -1 1 318.356 1.188 20 0 DDADMM Cc1cc(C(=O)N2CCN(Cc3ncccc3O)[C@H](C)C2)n[nH]1 ZINC000579201151 422721867 /nfs/dbraw/zinc/72/18/67/422721867.db2.gz XAKGBTFIMDIOJJ-GFCCVEGCSA-N -1 1 315.377 1.165 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CC[C@@H]3C[C@@H]3C2)c(C(F)(F)F)n1 ZINC000645871902 423221570 /nfs/dbraw/zinc/22/15/70/423221570.db2.gz CPNORPIRKAJONZ-IWSPIJDZSA-N -1 1 323.340 1.906 20 0 DDADMM COc1ccnc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000646067593 423323221 /nfs/dbraw/zinc/32/32/21/423323221.db2.gz NCQGAUXZDIBPKS-SECBINFHSA-N -1 1 304.306 1.199 20 0 DDADMM CO[C@@H](CC(=O)Nc1n[n-]c(C(F)(F)F)n1)C(F)(F)F ZINC000651165888 423423684 /nfs/dbraw/zinc/42/36/84/423423684.db2.gz YUSXAWJOKKXOLE-VKHMYHEASA-N -1 1 306.166 1.729 20 0 DDADMM CN1CCN(C(=O)[C@@H]2CC[C@H](C(=O)[O-])C2)C2(CCCCC2)C1 ZINC000653304615 423431288 /nfs/dbraw/zinc/43/12/88/423431288.db2.gz RZXPZJPSACCSJT-KGLIPLIRSA-N -1 1 308.422 1.964 20 0 DDADMM O=C([O-])C[C@H]1CCCCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000653319564 423438596 /nfs/dbraw/zinc/43/85/96/423438596.db2.gz SSILOLYPVGIXJE-CYBMUJFWSA-N -1 1 314.345 1.941 20 0 DDADMM O=C(NCC=Cc1cccnc1)c1csc(=NC2CC2)[n-]1 ZINC000646402029 423476021 /nfs/dbraw/zinc/47/60/21/423476021.db2.gz FRDWREBUKXIMFK-RQOWECAXSA-N -1 1 300.387 1.978 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](NC(=O)c1ccco1)C(C)C ZINC000646409737 423477028 /nfs/dbraw/zinc/47/70/28/423477028.db2.gz WAQPUBPUJZPXTO-JTQLQIEISA-N -1 1 306.322 1.410 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(=O)Nc2cc(F)ccc21 ZINC000646412740 423479824 /nfs/dbraw/zinc/47/98/24/423479824.db2.gz LVABREXHVWQQFW-SECBINFHSA-N -1 1 304.281 1.627 20 0 DDADMM CC(=O)N[C@H](CC(=O)Nc1c(C)[n-][nH]c1=O)c1ccc(C)cc1 ZINC000646413146 423480608 /nfs/dbraw/zinc/48/06/08/423480608.db2.gz QNLTUPDPZCQLAX-CYBMUJFWSA-N -1 1 316.361 1.938 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C)n1nnc(-c2ccccc2)n1 ZINC000646411670 423481256 /nfs/dbraw/zinc/48/12/56/423481256.db2.gz MUQAKEGUYCMQNX-VIFPVBQESA-N -1 1 313.321 1.277 20 0 DDADMM CCc1nc(C)c(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)o1 ZINC000648894481 423527456 /nfs/dbraw/zinc/52/74/56/423527456.db2.gz ONHXTUHLDRAFOH-GFCCVEGCSA-N -1 1 312.333 1.578 20 0 DDADMM CN1CCN(C(=O)N=c2[n-]nc(C(C)(C)C)s2)CC1(C)C ZINC000639573661 423586290 /nfs/dbraw/zinc/58/62/90/423586290.db2.gz KGGJJNGAERHQPA-UHFFFAOYSA-N -1 1 311.455 1.815 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(C3CC3)CC2)co1 ZINC000646774402 423603085 /nfs/dbraw/zinc/60/30/85/423603085.db2.gz FCWLMIZCCNPGMQ-UHFFFAOYSA-N -1 1 312.391 1.450 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2C[C@H]3CC[C@@H]2O3)c(F)c1 ZINC000651831846 423703171 /nfs/dbraw/zinc/70/31/71/423703171.db2.gz LASIWTSDFTVVOX-HFKOZYHYSA-N -1 1 319.329 1.572 20 0 DDADMM COC1(C[C@H](NC(=O)c2ccc3[nH]nnc3c2)C(=O)[O-])CCC1 ZINC000652103008 423863685 /nfs/dbraw/zinc/86/36/85/423863685.db2.gz MCJBHMGROCZHNF-LBPRGKRZSA-N -1 1 318.333 1.100 20 0 DDADMM CCOC1(CS(=O)(=O)[N-][C@@H](C)C(F)F)CCOCC1 ZINC000641705776 423886909 /nfs/dbraw/zinc/88/69/09/423886909.db2.gz OPNDPUPTLXKIPR-VIFPVBQESA-N -1 1 301.355 1.145 20 0 DDADMM CC[C@@H](C)c1nc([C@H](C)NC(=O)NCC2(C(=O)[O-])CCC2)n[nH]1 ZINC000659423185 423828781 /nfs/dbraw/zinc/82/87/81/423828781.db2.gz PHSXLGZGAJQLEF-ZJUUUORDSA-N -1 1 323.397 1.933 20 0 DDADMM CC[C@H](C)c1nc([C@H](C)NC(=O)NCC2(C(=O)[O-])CCC2)n[nH]1 ZINC000659423183 423829002 /nfs/dbraw/zinc/82/90/02/423829002.db2.gz PHSXLGZGAJQLEF-UWVGGRQHSA-N -1 1 323.397 1.933 20 0 DDADMM O=C([O-])c1cncc(N2CCN(CCc3cccs3)CC2)n1 ZINC000649385770 423834526 /nfs/dbraw/zinc/83/45/26/423834526.db2.gz FPDONDZEIILEOO-UHFFFAOYSA-N -1 1 318.402 1.601 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]CC(F)(F)F)cc1Cl ZINC000647275594 423940106 /nfs/dbraw/zinc/94/01/06/423940106.db2.gz PMUBVEYEFRZYAS-UHFFFAOYSA-N -1 1 304.677 1.584 20 0 DDADMM Cc1c(F)cccc1N(Cc1nn(C)c(=O)[n-]1)[C@@H]1CCO[C@H]1C ZINC000639933046 423946691 /nfs/dbraw/zinc/94/66/91/423946691.db2.gz VZQZDEQWZJUTEK-SMDDNHRTSA-N -1 1 320.368 1.740 20 0 DDADMM C[C@H](CCCO)[N-]S(=O)(=O)c1ncccc1Br ZINC000656932269 423892544 /nfs/dbraw/zinc/89/25/44/423892544.db2.gz UBCYVYFEUKYFRQ-MRVPVSSYSA-N -1 1 323.212 1.283 20 0 DDADMM CN1CCN(Cc2cccc(NC(=O)C(C)(C)C(=O)[O-])c2)CC1 ZINC000649453529 423908958 /nfs/dbraw/zinc/90/89/58/423908958.db2.gz OLARCYUNRRLFJJ-UHFFFAOYSA-N -1 1 319.405 1.483 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1C[C@H]1C(=O)[O-])c1ccc(Cl)cc1 ZINC000649462191 423927907 /nfs/dbraw/zinc/92/79/07/423927907.db2.gz JFWGXUWUJGERPR-JHJVBQTASA-N -1 1 310.781 1.780 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1CC[C@H](C)[C@H](C(=O)[O-])C1 ZINC000647368889 424001404 /nfs/dbraw/zinc/00/14/04/424001404.db2.gz RGWUNYQRXJYDQJ-QWHCGFSZSA-N -1 1 320.389 1.985 20 0 DDADMM CCc1c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cnn1C ZINC000644887063 424015278 /nfs/dbraw/zinc/01/52/78/424015278.db2.gz IOWFIBVQNMTGPU-UHFFFAOYSA-N -1 1 305.338 1.091 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCc2ccoc2)c(C(F)(F)F)n1 ZINC000657147144 424156781 /nfs/dbraw/zinc/15/67/81/424156781.db2.gz ZXIQKINBOVOUQW-UHFFFAOYSA-N -1 1 323.296 1.553 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC(N2CN=NC2=O)CC1 ZINC000655042016 424158837 /nfs/dbraw/zinc/15/88/37/424158837.db2.gz ROMUDFFGOCHGFW-UHFFFAOYSA-N -1 1 306.297 1.306 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2ccc(N)cc2F)o1 ZINC000659926770 424376117 /nfs/dbraw/zinc/37/61/17/424376117.db2.gz NPPWIUVKMRQCCD-ZCFIWIBFSA-N -1 1 300.315 1.139 20 0 DDADMM COCC[C@@](C)(O)CNC(=O)N=c1[n-]sc2ccccc21 ZINC000640341193 424362016 /nfs/dbraw/zinc/36/20/16/424362016.db2.gz SVSDGPOIBGFUOZ-CQSZACIVSA-N -1 1 309.391 1.627 20 0 DDADMM CN1CCOC[C@@H]1CNC(=O)N=c1[n-]sc2ccccc21 ZINC000640342813 424362096 /nfs/dbraw/zinc/36/20/96/424362096.db2.gz MMWJBSPSDUIIKQ-JTQLQIEISA-N -1 1 306.391 1.170 20 0 DDADMM COc1cccc(S(=O)(=O)NC[C@H](C)[N@@H+](C)C2CC2)c1F ZINC000655497488 424514826 /nfs/dbraw/zinc/51/48/26/424514826.db2.gz CWWYSSCWMWLGRE-JTQLQIEISA-N -1 1 316.398 1.595 20 0 DDADMM COc1ccccc1C[C@@H](C)N(C)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662207228 424475318 /nfs/dbraw/zinc/47/53/18/424475318.db2.gz UZLNHMSVXKGWQX-OCCSQVGLSA-N -1 1 320.389 1.244 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)c2ccnc(C(=O)[O-])c2)CC1 ZINC000655558108 424565461 /nfs/dbraw/zinc/56/54/61/424565461.db2.gz ODEDHEZHBRGXFM-UHFFFAOYSA-N -1 1 305.378 1.726 20 0 DDADMM O=C(NC[C@@H](CO)CC1CC1)c1nc2ccccc2c(=O)[n-]1 ZINC000664401017 424575398 /nfs/dbraw/zinc/57/53/98/424575398.db2.gz IZGVPUNWALTRSB-NSHDSACASA-N -1 1 301.346 1.062 20 0 DDADMM C[C@@H](NC(=O)c1ccc2[nH]nnc2c1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000655576420 424577137 /nfs/dbraw/zinc/57/71/37/424577137.db2.gz QYIPHSPWBCHUKR-DVVUODLYSA-N -1 1 316.361 1.823 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)NC[C@H](C(=O)[O-])C1CCC1 ZINC000665317743 424781925 /nfs/dbraw/zinc/78/19/25/424781925.db2.gz GSEJEFJXFSWSNG-KBPBESRZSA-N -1 1 311.426 1.613 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)NC[C@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665322451 424783147 /nfs/dbraw/zinc/78/31/47/424783147.db2.gz DWOWSFRMJCBZIW-SCZZXKLOSA-N -1 1 309.370 1.228 20 0 DDADMM CN(CCC(=O)N1CCOc2ccccc21)Cc1n[n-]c(=O)o1 ZINC000660843158 424785722 /nfs/dbraw/zinc/78/57/22/424785722.db2.gz WCOQILQFNJLZJK-UHFFFAOYSA-N -1 1 318.333 1.023 20 0 DDADMM CCOc1ccc(NC(=O)CCN(C)Cc2n[n-]c(=O)o2)cc1 ZINC000660846788 424788480 /nfs/dbraw/zinc/78/84/80/424788480.db2.gz IQEHJAKYAZVVLW-UHFFFAOYSA-N -1 1 320.349 1.635 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)N=c2[n-]nc(C(F)F)s2)n[nH]1 ZINC000342873039 271552432 /nfs/dbraw/zinc/55/24/32/271552432.db2.gz RHZSJQSKNRCQQI-SCSAIBSYSA-N -1 1 317.325 1.461 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]CCC2(F)CCC2)oc1C ZINC000344890945 272134854 /nfs/dbraw/zinc/13/48/54/272134854.db2.gz DEWKJQMUDOBBPY-UHFFFAOYSA-N -1 1 319.354 1.935 20 0 DDADMM COc1cc(C(=O)N[C@H](CO)[C@@H]2CCCO2)cc(Cl)c1[O-] ZINC000344909092 272138111 /nfs/dbraw/zinc/13/81/11/272138111.db2.gz QPDJCTITOJOENV-MNOVXSKESA-N -1 1 315.753 1.324 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3cccnc3)no2)o1 ZINC000345715980 272312193 /nfs/dbraw/zinc/31/21/93/272312193.db2.gz WWVZSMPNYUOGHV-UHFFFAOYSA-N -1 1 306.303 1.300 20 0 DDADMM CC(C)C[C@H](CNC(=O)N1CCN(C)C[C@@H]1C(C)C)C(=O)[O-] ZINC000424405866 279150836 /nfs/dbraw/zinc/15/08/36/279150836.db2.gz FHCQXNRUATUOOW-ZIAGYGMSSA-N -1 1 313.442 1.715 20 0 DDADMM CCc1ccc(-c2noc(CS(=O)(=O)c3ncn[n-]3)n2)cc1 ZINC000086169734 281015650 /nfs/dbraw/zinc/01/56/50/281015650.db2.gz WHZXHJLLEROMNW-UHFFFAOYSA-N -1 1 319.346 1.391 20 0 DDADMM CCc1ccc(-c2noc(CS(=O)(=O)c3nc[n-]n3)n2)cc1 ZINC000086169734 281015652 /nfs/dbraw/zinc/01/56/52/281015652.db2.gz WHZXHJLLEROMNW-UHFFFAOYSA-N -1 1 319.346 1.391 20 0 DDADMM NC(=O)c1ccn(-c2ccc(NC(=O)c3ccccc3[O-])cc2)n1 ZINC000103008148 281048448 /nfs/dbraw/zinc/04/84/48/281048448.db2.gz YVWJQDUIZVFPDD-UHFFFAOYSA-N -1 1 322.324 1.929 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ncccc1[O-])c1ccc(F)cc1 ZINC000123440788 281171196 /nfs/dbraw/zinc/17/11/96/281171196.db2.gz KTRNDBWLQLPNPS-GFCCVEGCSA-N -1 1 318.304 1.961 20 0 DDADMM Cc1c(C(=O)NCCCc2nc(=O)[n-][nH]2)cnn1CCC(C)C ZINC000123982474 281181572 /nfs/dbraw/zinc/18/15/72/281181572.db2.gz PKLBAUXZFXVNRK-UHFFFAOYSA-N -1 1 320.397 1.012 20 0 DDADMM CCOc1cc(NC(=O)c2cc(=O)n3[n-]cnc3n2)ccc1C ZINC000356923254 298914709 /nfs/dbraw/zinc/91/47/09/298914709.db2.gz RINYFCLGKDEBIL-UHFFFAOYSA-N -1 1 313.317 1.377 20 0 DDADMM C[C@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@]2(CCCOC2)O1 ZINC000408410400 300103776 /nfs/dbraw/zinc/10/37/76/300103776.db2.gz PRDCIRCRNNPIJR-YVEFUNNKSA-N -1 1 319.357 1.371 20 0 DDADMM CCNC(=O)[C@@H](C)Nc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000362730596 300125566 /nfs/dbraw/zinc/12/55/66/300125566.db2.gz YYNOLZBKVGZEOO-LLVKDONJSA-N -1 1 315.377 1.798 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc2ccc(=O)[nH]c21)c1nn[n-]n1 ZINC000362950059 300186613 /nfs/dbraw/zinc/18/66/13/300186613.db2.gz XIVJWXBGBAWBKH-LLVKDONJSA-N -1 1 312.333 1.725 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])cc2F)CC2(CCOCC2)O1 ZINC000279234795 300193565 /nfs/dbraw/zinc/19/35/65/300193565.db2.gz PPGVSJXSVIEUDE-NSHDSACASA-N -1 1 309.337 1.941 20 0 DDADMM CNC(=O)c1ccc(=NC[C@H]2C[C@@]2(C)c2cccs2)[n-]n1 ZINC000363299156 300246981 /nfs/dbraw/zinc/24/69/81/300246981.db2.gz LXDZDOPWDYNBMU-MEBBXXQBSA-N -1 1 302.403 1.709 20 0 DDADMM C[C@@H](CC1CCCCC1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363407962 300263333 /nfs/dbraw/zinc/26/33/33/300263333.db2.gz JOKHPZXFSOSDPI-AAEUAGOBSA-N -1 1 307.398 1.706 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)C1(N(C)C)Cc2ccccc2C1 ZINC000364250397 300362802 /nfs/dbraw/zinc/36/28/02/300362802.db2.gz NBSMEUKPERFXNQ-UHFFFAOYSA-N -1 1 304.390 1.409 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@](C)(C(N)=O)C(C)C)c(F)c1 ZINC000425233317 301297230 /nfs/dbraw/zinc/29/72/30/301297230.db2.gz LARRUWPUYLBZHB-CYBMUJFWSA-N -1 1 320.361 1.452 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)[C@@H]2C[C@@H]3CC[C@H]2C3)CCSC1 ZINC000575776747 304732729 /nfs/dbraw/zinc/73/27/29/304732729.db2.gz VCMMYNQNWWBKQL-LSCVPOLPSA-N -1 1 319.448 1.143 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C[C@@H]2CCCCC(=O)N2)c1 ZINC000374395925 301801165 /nfs/dbraw/zinc/80/11/65/301801165.db2.gz QDAHMNQQNSPNTN-NSHDSACASA-N -1 1 320.345 1.566 20 0 DDADMM C[C@H](O)c1cn(C2CN(C(=O)c3ccc(Cl)cc3[O-])C2)nn1 ZINC000374817158 301848022 /nfs/dbraw/zinc/84/80/22/301848022.db2.gz HTDSYALEBGIYPK-QMMMGPOBSA-N -1 1 322.752 1.388 20 0 DDADMM CN(C)C(=O)OC1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000496545435 302588416 /nfs/dbraw/zinc/58/84/16/302588416.db2.gz GJIBTGPUVZYWHS-UHFFFAOYSA-N -1 1 320.345 1.264 20 0 DDADMM Cc1c(Cl)cc(S(=O)(=O)NCCN(C)C)cc1C(=O)[O-] ZINC000516654444 302794928 /nfs/dbraw/zinc/79/49/28/302794928.db2.gz NUXZJNIIMCEKHL-UHFFFAOYSA-N -1 1 320.798 1.187 20 0 DDADMM C[C@]1(Br)C[C@H]1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000528140970 303006789 /nfs/dbraw/zinc/00/67/89/303006789.db2.gz REXLDYBQTHLQNG-NOWQDGDBSA-N -1 1 313.077 1.936 20 0 DDADMM COCc1n[n-]c(=NCC(C)(C)N2C[C@@H](C)O[C@H](C)C2)s1 ZINC000357047619 306868236 /nfs/dbraw/zinc/86/82/36/306868236.db2.gz FYYFJVXEYRAWIE-GHMZBOCLSA-N -1 1 314.455 1.406 20 0 DDADMM Cc1cc(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)ncc1Cl ZINC000528882647 303069368 /nfs/dbraw/zinc/06/93/68/303069368.db2.gz MGRYBGCFEKTJTK-SNVBAGLBSA-N -1 1 320.784 1.505 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)[C@@H](C)c1ccccc1 ZINC000357383924 306880233 /nfs/dbraw/zinc/88/02/33/306880233.db2.gz SWOXOMFEQASLKZ-ZJUUUORDSA-N -1 1 317.345 1.818 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000530052633 303171335 /nfs/dbraw/zinc/17/13/35/303171335.db2.gz WDKTXWUXXWLBJH-MNOVXSKESA-N -1 1 313.350 1.323 20 0 DDADMM O=S(=O)([N-]CCc1cnccn1)c1cc2ccccc2o1 ZINC000530374549 303194112 /nfs/dbraw/zinc/19/41/12/303194112.db2.gz DOLKPCZSMWVEMM-UHFFFAOYSA-N -1 1 303.343 1.744 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1Cc2ccccc2S1)c1nn[n-]n1 ZINC000530960162 303222131 /nfs/dbraw/zinc/22/21/31/303222131.db2.gz PWLQCXJMPCJZBA-JQWIXIFHSA-N -1 1 303.391 1.874 20 0 DDADMM Cc1ccccc1[C@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000531097745 303230102 /nfs/dbraw/zinc/23/01/02/303230102.db2.gz RWSCVISAWYXMNB-HNNXBMFYSA-N -1 1 323.352 1.695 20 0 DDADMM Cc1nc(-c2ccc(N[C@H]3CC[S@@](=O)C3)nc2)[n-]c(=O)c1C ZINC000533228994 303313978 /nfs/dbraw/zinc/31/39/78/303313978.db2.gz ITZNRUQVZARCIS-AMXDTQDGSA-N -1 1 318.402 1.794 20 0 DDADMM O=C(N=c1nc(C(F)(F)F)[n-][nH]1)c1cc(-n2ccnc2)ccn1 ZINC000538654045 303386296 /nfs/dbraw/zinc/38/62/96/303386296.db2.gz ZTRSVPWPAJNLOF-UHFFFAOYSA-N -1 1 323.238 1.078 20 0 DDADMM O=C(c1cccc2cnccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000539324784 303394968 /nfs/dbraw/zinc/39/49/68/303394968.db2.gz CTJMSUBWRGHMGW-LBPRGKRZSA-N -1 1 308.345 1.768 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC[C@H]1CCOC1 ZINC000543346353 303456850 /nfs/dbraw/zinc/45/68/50/303456850.db2.gz WKBKOOLRUURPBO-NSHDSACASA-N -1 1 307.394 1.945 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1C[C@H](O)C2(CC2)C1 ZINC000368753682 307109055 /nfs/dbraw/zinc/10/90/55/307109055.db2.gz KVQHEWDXNZLABO-NSHDSACASA-N -1 1 312.163 1.752 20 0 DDADMM Cc1ccc(C(C)(C)C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000370863483 307138693 /nfs/dbraw/zinc/13/86/93/307138693.db2.gz WEQIRPSZTWRCEH-ZDUSSCGKSA-N -1 1 315.377 1.386 20 0 DDADMM Cn1[n-]cc2/c(=N/C(=O)N3CC[C@@]4(C3)CCCOC4)ccnc1-2 ZINC000376608689 307249465 /nfs/dbraw/zinc/24/94/65/307249465.db2.gz FTUJKQVCMYHMQO-CXDFQFJYSA-N -1 1 315.377 1.376 20 0 DDADMM COC[C@@H]1CCCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000376618269 307249889 /nfs/dbraw/zinc/24/98/89/307249889.db2.gz MEUXNSPDQUEKAI-NODXGKJPSA-N -1 1 317.393 1.622 20 0 DDADMM Cn1[n-]cc2/c(=N/C(=O)N3CCOCC4(CCC4)C3)ccnc1-2 ZINC000376645676 307251363 /nfs/dbraw/zinc/25/13/63/307251363.db2.gz XLCFYQARBYOFLA-CPNJWEJPSA-N -1 1 315.377 1.376 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCO[C@H]([C@H]2CCCO2)C1 ZINC000377632591 307275116 /nfs/dbraw/zinc/27/51/16/307275116.db2.gz TVHPURQXZDDVOL-NEPJUHHUSA-N -1 1 313.300 1.690 20 0 DDADMM C[C@H]1OC(=O)N[C@H]1C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000496698971 307357003 /nfs/dbraw/zinc/35/70/03/307357003.db2.gz HCHFYRQMJRQPDO-SREIQFSDSA-N -1 1 322.271 1.212 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@@H]1CCCO1 ZINC000535898278 307661723 /nfs/dbraw/zinc/66/17/23/307661723.db2.gz IFSTWVDXDVPXTG-MNOVXSKESA-N -1 1 304.437 1.996 20 0 DDADMM C[C@@H]1CCc2ccccc2[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544781464 307719527 /nfs/dbraw/zinc/71/95/27/307719527.db2.gz YVYBPNBRSBFYEF-MEBBXXQBSA-N -1 1 323.356 1.471 20 0 DDADMM CCCc1nc(C)c(NC(=O)c2cc(=O)n3[n-]cnc3n2)s1 ZINC000548587273 307777770 /nfs/dbraw/zinc/77/77/70/307777770.db2.gz RGIIDJXKJZKKRJ-UHFFFAOYSA-N -1 1 318.362 1.387 20 0 DDADMM Cc1cncc(-c2nc(CN3C[C@@H](C)[C@@](C)(C(=O)[O-])C3)no2)c1 ZINC000565112603 308021436 /nfs/dbraw/zinc/02/14/36/308021436.db2.gz WAMJLRZWFBILGV-BZNIZROVSA-N -1 1 316.361 1.983 20 0 DDADMM NC(=O)[C@@H]1[C@@H]2CCC[C@@H]2C[N@H+]1Cc1cc(=O)[nH]c(C2CC2)n1 ZINC000568483282 308119659 /nfs/dbraw/zinc/11/96/59/308119659.db2.gz PGKMLMWAJCYJJD-QKCSRTOESA-N -1 1 302.378 1.145 20 0 DDADMM CO[C@H]1CCN(Cc2cnn(C)c2C(F)(F)F)[C@H](C(=O)[O-])C1 ZINC000573267264 308235378 /nfs/dbraw/zinc/23/53/78/308235378.db2.gz CRZPYSLWCILDHS-UWVGGRQHSA-N -1 1 321.299 1.503 20 0 DDADMM COc1cc(C(=O)N2CC[C@@H](c3nnc[nH]3)C2)cc(Cl)c1[O-] ZINC000575917623 308281797 /nfs/dbraw/zinc/28/17/97/308281797.db2.gz CVDIUMLWMIREFK-MRVPVSSYSA-N -1 1 322.752 1.802 20 0 DDADMM O=S(=O)([N-]CC1(CCO)CC1)c1nc2ccccc2s1 ZINC000576514092 308319874 /nfs/dbraw/zinc/31/98/74/308319874.db2.gz IKNVGEKTDMGBFF-UHFFFAOYSA-N -1 1 312.416 1.737 20 0 DDADMM C(CN1CCSCC1)N=c1[n-]nc(C2CCOCC2)s1 ZINC000580410036 308601843 /nfs/dbraw/zinc/60/18/43/308601843.db2.gz OTMPEORUTFXPAT-UHFFFAOYSA-N -1 1 314.480 1.315 20 0 DDADMM Cc1nn(-c2ccccc2)c(=NC(=O)c2cncc(C)c2O)[n-]1 ZINC000580484757 308608285 /nfs/dbraw/zinc/60/82/85/308608285.db2.gz IRRPHNVWDMUDDM-UHFFFAOYSA-N -1 1 309.329 1.247 20 0 DDADMM Nc1nc2c(s1)C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)CC2 ZINC000583635273 336009431 /nfs/dbraw/zinc/00/94/31/336009431.db2.gz ARKLWOZSWJVAPW-VIFPVBQESA-N -1 1 317.370 1.118 20 0 DDADMM C[C@H]1CN(CCCN=c2nc(C(F)(F)F)[n-]s2)C[C@H](C)O1 ZINC000582760004 337137003 /nfs/dbraw/zinc/13/70/03/337137003.db2.gz DGPTUQARXMKDBX-IUCAKERBSA-N -1 1 324.372 1.890 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C2CC2)C(C)(C)CO)sc1C ZINC000582777496 337147475 /nfs/dbraw/zinc/14/74/75/337147475.db2.gz PIOPOUVJTLJZPP-LLVKDONJSA-N -1 1 318.464 1.835 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)c1c(F)cccc1F ZINC000584448658 337339117 /nfs/dbraw/zinc/33/91/17/337339117.db2.gz XXYLRFIJYQFLSQ-UHFFFAOYSA-N -1 1 303.290 1.499 20 0 DDADMM C[C@@H]1C[NH2+][C@@H](C)CN1C(=O)c1ccc(Br)c([O-])c1 ZINC000235683322 484177288 /nfs/dbraw/zinc/17/72/88/484177288.db2.gz FLZOBIYUCMRRKY-DTWKUNHWSA-N -1 1 313.195 1.977 20 0 DDADMM CCC[C@H](NC(=O)C1CCN(C(=O)OCC)CC1)c1nn[n-]n1 ZINC000493512581 484236544 /nfs/dbraw/zinc/23/65/44/484236544.db2.gz SAIQATDAZGFXKG-NSHDSACASA-N -1 1 324.385 1.026 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(C)cc1O)[C@@H]1CCOC1 ZINC000656614763 484244737 /nfs/dbraw/zinc/24/47/37/484244737.db2.gz OIOXJFDIBWBBDS-RISCZKNCSA-N -1 1 315.391 1.494 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1ccc2c(c1)CCNC2=O ZINC000656633014 484251314 /nfs/dbraw/zinc/25/13/14/484251314.db2.gz QDPQEXGPXLFWDV-UHFFFAOYSA-N -1 1 312.391 1.139 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1C[C@H]1C1CCCCC1 ZINC000343528914 484455554 /nfs/dbraw/zinc/45/55/54/484455554.db2.gz AOMATQKFQDNEGV-QWHCGFSZSA-N -1 1 317.389 1.602 20 0 DDADMM O=C([O-])[C@@H](C(=O)N1CCN(C[C@@H]2CCOC2)CC1)C1CCCC1 ZINC000663103439 484668883 /nfs/dbraw/zinc/66/88/83/484668883.db2.gz AZGZLLLUTSKBTC-DZGCQCFKSA-N -1 1 324.421 1.058 20 0 DDADMM C[C@H](C(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1)N1CCCCCC1 ZINC000663138911 484697183 /nfs/dbraw/zinc/69/71/83/484697183.db2.gz QSVMVHKTJVLXSP-GFCCVEGCSA-N -1 1 322.409 1.906 20 0 DDADMM CCN1CC[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1=O ZINC000669984790 484706306 /nfs/dbraw/zinc/70/63/06/484706306.db2.gz DSRCMKPMWUOYAU-QMMMGPOBSA-N -1 1 322.308 1.003 20 0 DDADMM Cc1noc(CCCNC(=O)c2ncc3ccccc3c2[O-])n1 ZINC000668031093 484917522 /nfs/dbraw/zinc/91/75/22/484917522.db2.gz MDRKHUFWLDNYTI-UHFFFAOYSA-N -1 1 312.329 1.994 20 0 DDADMM C[C@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@H](C(F)(F)F)O1 ZINC000672952497 485372049 /nfs/dbraw/zinc/37/20/49/485372049.db2.gz QRMABFNWWMYZNH-GZMMTYOYSA-N -1 1 317.263 1.753 20 0 DDADMM O=C(N[C@@H]1CCc2cc(O)ccc2C1)C(=O)c1ccc([O-])cc1 ZINC000673137870 485391294 /nfs/dbraw/zinc/39/12/94/485391294.db2.gz XKNZGNHBHJVRDG-CQSZACIVSA-N -1 1 311.337 1.954 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2ccn(C)c2)c(F)c1 ZINC000673929405 485469245 /nfs/dbraw/zinc/46/92/45/485469245.db2.gz DLVBGRHVOWNCLT-UHFFFAOYSA-N -1 1 316.329 1.790 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2cc(CN(C)C)ccn2)c1 ZINC000674043341 485520248 /nfs/dbraw/zinc/52/02/48/485520248.db2.gz WPSXNVNXOMKDCA-UHFFFAOYSA-N -1 1 321.402 1.953 20 0 DDADMM O=C(NCCC1CS(=O)(=O)C1)c1ccc2ccccc2c1[O-] ZINC000682560905 485677937 /nfs/dbraw/zinc/67/79/37/485677937.db2.gz JYPUSOBDOQGHAQ-UHFFFAOYSA-N -1 1 319.382 1.710 20 0 DDADMM COC1(CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC000683019115 485860833 /nfs/dbraw/zinc/86/08/33/485860833.db2.gz YWEJDLHVVSBNCL-ZDUSSCGKSA-N -1 1 301.350 1.365 20 0 DDADMM Cc1cc(F)c(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1F ZINC000675140784 485864300 /nfs/dbraw/zinc/86/43/00/485864300.db2.gz BBLWZSSPNPYQFE-UHFFFAOYSA-N -1 1 307.304 1.986 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccc2cc[nH]c2n1 ZINC000679915343 486034995 /nfs/dbraw/zinc/03/49/95/486034995.db2.gz JCJIRVCMIFSOQI-CYBMUJFWSA-N -1 1 319.328 1.595 20 0 DDADMM C[C@@H]1COCC[C@@H]1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000683526874 486039105 /nfs/dbraw/zinc/03/91/05/486039105.db2.gz KVYSHVDFAVGENG-WXHSDQCUSA-N -1 1 301.350 1.078 20 0 DDADMM CS(=O)(=O)c1ccc(F)c([N-]C(=O)c2nccs2)c1 ZINC000683730938 486092993 /nfs/dbraw/zinc/09/29/93/486092993.db2.gz YYUMIRGPUNOOSU-UHFFFAOYSA-N -1 1 300.336 1.938 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@]2(C)CCO[C@H]2C)c(F)c1 ZINC000680105404 486095003 /nfs/dbraw/zinc/09/50/03/486095003.db2.gz SBUKMTQEFHGWCM-SDBXPKJASA-N -1 1 321.345 1.819 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCNC(=O)[C@H]1c1ccccc1 ZINC000681038023 486328990 /nfs/dbraw/zinc/32/89/90/486328990.db2.gz UOOARVVTOZTEDY-OAHLLOKOSA-N -1 1 314.316 1.845 20 0 DDADMM COc1cc(C(=O)N[C@H]2CCN(C)C(=O)C2)cc(Cl)c1[O-] ZINC000684488471 486368337 /nfs/dbraw/zinc/36/83/37/486368337.db2.gz PDDPSLKSNFFKFN-VIFPVBQESA-N -1 1 312.753 1.405 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1Cc2ccc(F)cc21 ZINC000684567337 486385024 /nfs/dbraw/zinc/38/50/24/486385024.db2.gz MOKQSPJUEABSFP-LSDHHAIUSA-N -1 1 323.331 1.884 20 0 DDADMM COc1cncc(S(=O)(=O)[N-]c2cc(C(C)(C)C)n[nH]2)c1 ZINC000685001442 486484452 /nfs/dbraw/zinc/48/44/52/486484452.db2.gz HIPNLWQZOPEXQS-UHFFFAOYSA-N -1 1 310.379 1.912 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c[nH]nc1-c1ccc(Cl)s1 ZINC000681730196 486502902 /nfs/dbraw/zinc/50/29/02/486502902.db2.gz KBXVVYLHUOKELQ-UHFFFAOYSA-N -1 1 309.742 1.235 20 0 DDADMM CC1(C)CN(C(=O)c2c(F)ccc([O-])c2F)CCN1CCO ZINC000685477142 486546059 /nfs/dbraw/zinc/54/60/59/486546059.db2.gz JFYRXLCJCRFVFT-UHFFFAOYSA-N -1 1 314.332 1.199 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](O)CCc1ccccc1)c1nn[n-]n1 ZINC000677931496 486583104 /nfs/dbraw/zinc/58/31/04/486583104.db2.gz OKBHCKOABOZVJL-OLZOCXBDSA-N -1 1 303.366 1.151 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=O)C=Cc2ccc(O)cc2)n1 ZINC000126238226 490655078 /nfs/dbraw/zinc/65/50/78/490655078.db2.gz ABSDTUZIYFZMBB-VMPITWQZSA-N -1 1 300.318 1.656 20 0 DDADMM COc1cc(S(=O)(=O)N=c2cc3ccccn3[n-]2)ccc1F ZINC000552035535 500631501 /nfs/dbraw/zinc/63/15/01/500631501.db2.gz SZKDUADRCQZYPC-UHFFFAOYSA-N -1 1 321.333 1.705 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-][C@H](C(=O)OC(C)C)C(C)C)on1 ZINC000451843383 533744932 /nfs/dbraw/zinc/74/49/32/533744932.db2.gz RZLPONMHTHESAV-LBPRGKRZSA-N -1 1 318.395 1.379 20 0 DDADMM C[C@@H](CN=c1[n-]c(C(N)=O)cs1)N1CCc2ccccc21 ZINC000432313728 533867199 /nfs/dbraw/zinc/86/71/99/533867199.db2.gz LSPYLLWPQVYYAL-JTQLQIEISA-N -1 1 302.403 1.527 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCNc2ccccn2)sc1C ZINC000533253358 518192723 /nfs/dbraw/zinc/19/27/23/518192723.db2.gz GRVOVYHHCKFGPA-UHFFFAOYSA-N -1 1 312.420 1.545 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cccc(N)c2)c1 ZINC000158283781 522374718 /nfs/dbraw/zinc/37/47/18/522374718.db2.gz HAIHAIJWIGIKNX-UHFFFAOYSA-N -1 1 310.331 1.127 20 0 DDADMM CC(=O)c1cccc(NC(=O)NCCCc2nc(=O)[n-][nH]2)c1 ZINC000174374111 534891408 /nfs/dbraw/zinc/89/14/08/534891408.db2.gz RMUKVPSYLRJZNV-UHFFFAOYSA-N -1 1 303.322 1.055 20 0 DDADMM CC(C)(C)OC(=O)C[N-]S(=O)(=O)C[C@H]1CCCC1(F)F ZINC000451211485 526370548 /nfs/dbraw/zinc/37/05/48/526370548.db2.gz UGJFYHLDZZNIOZ-SECBINFHSA-N -1 1 313.366 1.683 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@](C)(C(=O)[N-]OCC(F)F)C1 ZINC000495311871 526419184 /nfs/dbraw/zinc/41/91/84/526419184.db2.gz JHEMPAZVRVCILU-CYBMUJFWSA-N -1 1 308.325 1.946 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(c2cc(C3CC3)[nH]n2)CC1 ZINC000189244720 526882397 /nfs/dbraw/zinc/88/23/97/526882397.db2.gz ATIDSIZQDSVBOS-UHFFFAOYSA-N -1 1 313.361 1.350 20 0 DDADMM CCN(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000451447471 527782077 /nfs/dbraw/zinc/78/20/77/527782077.db2.gz BRLLGXDKLFKUOC-ZETCQYMHSA-N -1 1 310.828 1.547 20 0 DDADMM CCC[C@H](NC(=O)Cc1cccc(NC(C)=O)c1)c1nn[n-]n1 ZINC000424709082 528179433 /nfs/dbraw/zinc/17/94/33/528179433.db2.gz NIQVRWTUNSLXPU-ZDUSSCGKSA-N -1 1 316.365 1.358 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H]2CCCO[C@@H]2C(C)C)[n-]n1 ZINC000413180246 528230837 /nfs/dbraw/zinc/23/08/37/528230837.db2.gz PCHYXTYCQCIPHI-SWLSCSKDSA-N -1 1 306.410 1.511 20 0 DDADMM CC(C)[C@@H](NS(C)(=O)=O)C(=O)Nc1ccc([O-])c(F)c1F ZINC000456780186 528596362 /nfs/dbraw/zinc/59/63/62/528596362.db2.gz ILKCFZXSMUCEGN-LLVKDONJSA-N -1 1 322.333 1.183 20 0 DDADMM CCC[C@@H](NC(=O)C1CN(C(=O)OC(C)(C)C)C1)c1nn[n-]n1 ZINC000495296254 528970771 /nfs/dbraw/zinc/97/07/71/528970771.db2.gz DEASORROSNRGQV-SNVBAGLBSA-N -1 1 324.385 1.024 20 0 DDADMM CCC[C@@H](O)[C@@H](CO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000412545944 529053766 /nfs/dbraw/zinc/05/37/66/529053766.db2.gz ZFDHVMBCBXSZEK-GHMZBOCLSA-N -1 1 321.295 1.663 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1cnn(C)c1C)C(F)(F)F ZINC000292621275 529258610 /nfs/dbraw/zinc/25/86/10/529258610.db2.gz OMBWREVNFCRGIG-XVKPBYJWSA-N -1 1 313.345 1.984 20 0 DDADMM O[C@@H]1CCC[C@@H](CNc2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000823697030 599574941 /nfs/dbraw/zinc/57/49/41/599574941.db2.gz IBWXOTZTDOLWSP-RKDXNWHRSA-N -1 1 308.773 1.878 20 0 DDADMM O[C@@H]1CCC[C@@H](CNc2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000823697030 599574943 /nfs/dbraw/zinc/57/49/43/599574943.db2.gz IBWXOTZTDOLWSP-RKDXNWHRSA-N -1 1 308.773 1.878 20 0 DDADMM Cc1cc(C)cc(CCNC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737251153 598943918 /nfs/dbraw/zinc/94/39/18/598943918.db2.gz AQPOYDCBQXHGDP-UHFFFAOYSA-N -1 1 322.372 1.851 20 0 DDADMM Cc1cc(C)cc(CCNC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737251153 598943920 /nfs/dbraw/zinc/94/39/20/598943920.db2.gz AQPOYDCBQXHGDP-UHFFFAOYSA-N -1 1 322.372 1.851 20 0 DDADMM COc1cc(F)ccc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737054422 598989928 /nfs/dbraw/zinc/98/99/28/598989928.db2.gz PTTZMDDPXOPZTR-UHFFFAOYSA-N -1 1 314.280 1.662 20 0 DDADMM COc1cc(F)ccc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737054422 598989930 /nfs/dbraw/zinc/98/99/30/598989930.db2.gz PTTZMDDPXOPZTR-UHFFFAOYSA-N -1 1 314.280 1.662 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC[C@@H](c2ccccc2)C1 ZINC000738257731 598999660 /nfs/dbraw/zinc/99/96/60/598999660.db2.gz CNCFTCCOFBYNCQ-CQSZACIVSA-N -1 1 320.356 1.891 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC[C@@H](c2ccccc2)C1 ZINC000738257731 598999661 /nfs/dbraw/zinc/99/96/61/598999661.db2.gz CNCFTCCOFBYNCQ-CQSZACIVSA-N -1 1 320.356 1.891 20 0 DDADMM CC(=O)c1ccc(OC(=O)c2ccc(-c3nnn[n-]3)nc2)c(C)c1 ZINC000735495355 599002698 /nfs/dbraw/zinc/00/26/98/599002698.db2.gz GLHGOAZSEKGTKZ-UHFFFAOYSA-N -1 1 323.312 1.992 20 0 DDADMM CC(=O)c1ccc(OC(=O)c2ccc(-c3nn[n-]n3)nc2)c(C)c1 ZINC000735495355 599002700 /nfs/dbraw/zinc/00/27/00/599002700.db2.gz GLHGOAZSEKGTKZ-UHFFFAOYSA-N -1 1 323.312 1.992 20 0 DDADMM CCc1csc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000736699809 599004307 /nfs/dbraw/zinc/00/43/07/599004307.db2.gz QFVJDQZLYWRLON-UHFFFAOYSA-N -1 1 301.335 1.533 20 0 DDADMM CCc1csc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000736699809 599004309 /nfs/dbraw/zinc/00/43/09/599004309.db2.gz QFVJDQZLYWRLON-UHFFFAOYSA-N -1 1 301.335 1.533 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC[C@H](c2ccco2)C1 ZINC000738259213 599032152 /nfs/dbraw/zinc/03/21/52/599032152.db2.gz OBTVGFRCDACCNV-NSHDSACASA-N -1 1 310.317 1.484 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC[C@H](c2ccco2)C1 ZINC000738259213 599032153 /nfs/dbraw/zinc/03/21/53/599032153.db2.gz OBTVGFRCDACCNV-NSHDSACASA-N -1 1 310.317 1.484 20 0 DDADMM C[C@H]1CCCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)[C@H]1C ZINC000820710682 599348898 /nfs/dbraw/zinc/34/88/98/599348898.db2.gz RXEDDKJEAALXRM-UWVGGRQHSA-N -1 1 322.394 1.071 20 0 DDADMM C[C@H]1CCCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)[C@H]1C ZINC000820710682 599348900 /nfs/dbraw/zinc/34/89/00/599348900.db2.gz RXEDDKJEAALXRM-UWVGGRQHSA-N -1 1 322.394 1.071 20 0 DDADMM Cc1ncc2c(n1)CCC[C@H]2Nc1nccnc1-c1nnn[n-]1 ZINC000737500939 599171882 /nfs/dbraw/zinc/17/18/82/599171882.db2.gz RHTZGINLNZDPPX-LLVKDONJSA-N -1 1 309.337 1.245 20 0 DDADMM Cc1ncc2c(n1)CCC[C@H]2Nc1nccnc1-c1nn[n-]n1 ZINC000737500939 599171885 /nfs/dbraw/zinc/17/18/85/599171885.db2.gz RHTZGINLNZDPPX-LLVKDONJSA-N -1 1 309.337 1.245 20 0 DDADMM COc1cccc([C@@H](CNC(=O)N(C)CCC(=O)[O-])N(C)C)c1 ZINC000320926695 596988673 /nfs/dbraw/zinc/98/86/73/596988673.db2.gz CGAJPAWDLDJBRS-CQSZACIVSA-N -1 1 323.393 1.414 20 0 DDADMM O=C([O-])C1CCN(CN2C(=O)OC[C@@H]2Cc2ccccc2)CC1 ZINC000738545256 597075159 /nfs/dbraw/zinc/07/51/59/597075159.db2.gz YNHXORSFUFMUOV-HNNXBMFYSA-N -1 1 318.373 1.804 20 0 DDADMM CCOC(=O)[C@@H](C)N(C)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821313468 607304410 /nfs/dbraw/zinc/30/44/10/607304410.db2.gz FUGFJMCCIMQKIE-SSDOTTSWSA-N -1 1 310.745 1.303 20 0 DDADMM CCOC(=O)[C@@H](C)N(C)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821313468 607304411 /nfs/dbraw/zinc/30/44/11/607304411.db2.gz FUGFJMCCIMQKIE-SSDOTTSWSA-N -1 1 310.745 1.303 20 0 DDADMM CC(C)Cc1nnc(NC(=O)CN[C@@H](C(=O)[O-])C(C)C)s1 ZINC000819992781 598189075 /nfs/dbraw/zinc/18/90/75/598189075.db2.gz CADBRURPVWPXJD-LLVKDONJSA-N -1 1 314.411 1.374 20 0 DDADMM Cc1ccccc1OCCCNc1nccnc1-c1nnn[n-]1 ZINC000737430843 598243684 /nfs/dbraw/zinc/24/36/84/598243684.db2.gz AHTANEKOHHXNCI-UHFFFAOYSA-N -1 1 311.349 1.846 20 0 DDADMM Cc1ccccc1OCCCNc1nccnc1-c1nn[n-]n1 ZINC000737430843 598243686 /nfs/dbraw/zinc/24/36/86/598243686.db2.gz AHTANEKOHHXNCI-UHFFFAOYSA-N -1 1 311.349 1.846 20 0 DDADMM CCC[C@H](CC)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736422826 598270030 /nfs/dbraw/zinc/27/00/30/598270030.db2.gz JNHOUMPXKQOHRC-VIFPVBQESA-N -1 1 310.383 1.119 20 0 DDADMM CCC[C@H](CC)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736422826 598270029 /nfs/dbraw/zinc/27/00/29/598270029.db2.gz JNHOUMPXKQOHRC-VIFPVBQESA-N -1 1 310.383 1.119 20 0 DDADMM c1ccc(N2CCC(Nc3nccnc3-c3nnn[n-]3)CC2)nc1 ZINC000738436563 598345268 /nfs/dbraw/zinc/34/52/68/598345268.db2.gz SZVDJFFJPDCQJZ-UHFFFAOYSA-N -1 1 323.364 1.133 20 0 DDADMM c1ccc(N2CCC(Nc3nccnc3-c3nn[n-]n3)CC2)nc1 ZINC000738436563 598345270 /nfs/dbraw/zinc/34/52/70/598345270.db2.gz SZVDJFFJPDCQJZ-UHFFFAOYSA-N -1 1 323.364 1.133 20 0 DDADMM CCc1nn(Cc2ccc(C)cc2)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736706360 598377858 /nfs/dbraw/zinc/37/78/58/598377858.db2.gz JYPTUNVJUIMHER-UHFFFAOYSA-N -1 1 324.388 1.905 20 0 DDADMM c1ccc(N2CC[C@H](CNc3cnc(-c4nnn[n-]4)cn3)C2)cc1 ZINC000738434652 598387510 /nfs/dbraw/zinc/38/75/10/598387510.db2.gz HOYIVDHTHDNPGK-GFCCVEGCSA-N -1 1 322.376 1.595 20 0 DDADMM c1ccc(N2CC[C@H](CNc3cnc(-c4nn[n-]n4)cn3)C2)cc1 ZINC000738434652 598387513 /nfs/dbraw/zinc/38/75/13/598387513.db2.gz HOYIVDHTHDNPGK-GFCCVEGCSA-N -1 1 322.376 1.595 20 0 DDADMM CS(=O)(=O)CCSc1cccc(F)c1-c1nn[n-]n1 ZINC000737162588 598427223 /nfs/dbraw/zinc/42/72/23/598427223.db2.gz NIOROACQZXCAHO-UHFFFAOYSA-N -1 1 302.356 1.143 20 0 DDADMM CCOc1ccc(-c2nn[nH]n2)cc1[N-]S(=O)(=O)C1CC1 ZINC000736633661 598451957 /nfs/dbraw/zinc/45/19/57/598451957.db2.gz FBRKGMZJMADEGE-UHFFFAOYSA-N -1 1 309.351 1.170 20 0 DDADMM CN(C[C@@H]1CCCCO1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736793327 598576166 /nfs/dbraw/zinc/57/61/66/598576166.db2.gz KOMXLTRVGHAKLJ-VIFPVBQESA-N -1 1 308.773 1.920 20 0 DDADMM CN(C[C@@H]1CCCCO1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736793327 598576167 /nfs/dbraw/zinc/57/61/67/598576167.db2.gz KOMXLTRVGHAKLJ-VIFPVBQESA-N -1 1 308.773 1.920 20 0 DDADMM CC(C)(C)OC(=O)CCCNc1cccc(-c2nnn[n-]2)n1 ZINC000819928206 599688710 /nfs/dbraw/zinc/68/87/10/599688710.db2.gz JZGMMOBJNFSVPM-UHFFFAOYSA-N -1 1 304.354 1.796 20 0 DDADMM CC(C)(C)OC(=O)CCCNc1cccc(-c2nn[n-]n2)n1 ZINC000819928206 599688711 /nfs/dbraw/zinc/68/87/11/599688711.db2.gz JZGMMOBJNFSVPM-UHFFFAOYSA-N -1 1 304.354 1.796 20 0 DDADMM C[C@H](O)C1CCN(c2snc(Cl)c2-c2nn[n-]n2)CC1 ZINC000736146153 598679751 /nfs/dbraw/zinc/67/97/51/598679751.db2.gz XOUZAFQEILZASW-LURJTMIESA-N -1 1 314.802 1.574 20 0 DDADMM C[C@H](O)C1CCN(c2snc(Cl)c2-c2nnn[n-]2)CC1 ZINC000736146153 598679749 /nfs/dbraw/zinc/67/97/49/598679749.db2.gz XOUZAFQEILZASW-LURJTMIESA-N -1 1 314.802 1.574 20 0 DDADMM CCN(CC)S(=O)(=O)c1ccc(Cl)cc1-c1nn[n-]n1 ZINC000736531294 599333466 /nfs/dbraw/zinc/33/34/66/599333466.db2.gz FDZGHDYLEBONRY-UHFFFAOYSA-N -1 1 315.786 1.551 20 0 DDADMM O=C(NCCNc1cccc(-c2nnn[n-]2)n1)c1ccccc1 ZINC000738077647 599403035 /nfs/dbraw/zinc/40/30/35/599403035.db2.gz IIXAQQQNVVAEAJ-UHFFFAOYSA-N -1 1 309.333 1.104 20 0 DDADMM O=C(NCCNc1cccc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000738077647 599403037 /nfs/dbraw/zinc/40/30/37/599403037.db2.gz IIXAQQQNVVAEAJ-UHFFFAOYSA-N -1 1 309.333 1.104 20 0 DDADMM COc1ccc([C@H](O)CNc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000737074567 599406930 /nfs/dbraw/zinc/40/69/30/599406930.db2.gz JAFOKVXRUUSFRJ-CYBMUJFWSA-N -1 1 312.333 1.416 20 0 DDADMM COc1ccc([C@H](O)CNc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000737074567 599406932 /nfs/dbraw/zinc/40/69/32/599406932.db2.gz JAFOKVXRUUSFRJ-CYBMUJFWSA-N -1 1 312.333 1.416 20 0 DDADMM Cn1nccc1C1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000737629446 599422946 /nfs/dbraw/zinc/42/29/46/599422946.db2.gz VFIFTMQVZBXSJH-UHFFFAOYSA-N -1 1 310.365 1.379 20 0 DDADMM Cn1nccc1C1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000737629446 599422949 /nfs/dbraw/zinc/42/29/49/599422949.db2.gz VFIFTMQVZBXSJH-UHFFFAOYSA-N -1 1 310.365 1.379 20 0 DDADMM CN(CCNc1cccc(-c2nnn[n-]2)n1)CC(F)(F)F ZINC000736800686 599437732 /nfs/dbraw/zinc/43/77/32/599437732.db2.gz NGUHEXOVHJGBLQ-UHFFFAOYSA-N -1 1 301.276 1.168 20 0 DDADMM CN(CCNc1cccc(-c2nn[n-]n2)n1)CC(F)(F)F ZINC000736800686 599437734 /nfs/dbraw/zinc/43/77/34/599437734.db2.gz NGUHEXOVHJGBLQ-UHFFFAOYSA-N -1 1 301.276 1.168 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCSc1ccccc1 ZINC000314155095 599776874 /nfs/dbraw/zinc/77/68/74/599776874.db2.gz WQQJEPAEXQWUFV-ZDUSSCGKSA-N -1 1 319.386 1.704 20 0 DDADMM O=C(NCCCc1ccccn1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823196475 607395834 /nfs/dbraw/zinc/39/58/34/607395834.db2.gz GKPSYVZNQRTTTA-UHFFFAOYSA-N -1 1 309.333 1.019 20 0 DDADMM O=C(NCCCc1ccccn1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823196475 607395836 /nfs/dbraw/zinc/39/58/36/607395836.db2.gz GKPSYVZNQRTTTA-UHFFFAOYSA-N -1 1 309.333 1.019 20 0 DDADMM CCN(CCNS(=O)(=O)c1ccc(C(=O)[O-])s1)C1CC1 ZINC000217912684 599877128 /nfs/dbraw/zinc/87/71/28/599877128.db2.gz KTIFWWPUWGVCBZ-UHFFFAOYSA-N -1 1 318.420 1.209 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]1C(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000739538664 600060699 /nfs/dbraw/zinc/06/06/99/600060699.db2.gz MOADWJBGEPNVBE-JHJVBQTASA-N -1 1 306.362 1.644 20 0 DDADMM NC(=O)c1ccc(COc2cccc(F)c2-c2nnn[n-]2)cc1 ZINC000826393305 607864756 /nfs/dbraw/zinc/86/47/56/607864756.db2.gz MLBZDCDLOWHSEC-UHFFFAOYSA-N -1 1 313.292 1.684 20 0 DDADMM NC(=O)c1ccc(COc2cccc(F)c2-c2nn[n-]n2)cc1 ZINC000826393305 607864757 /nfs/dbraw/zinc/86/47/57/607864757.db2.gz MLBZDCDLOWHSEC-UHFFFAOYSA-N -1 1 313.292 1.684 20 0 DDADMM CCC[C@](C)(NCC(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)C(=O)[O-] ZINC000736962274 600324138 /nfs/dbraw/zinc/32/41/38/600324138.db2.gz DDWSJYIJODTXOG-HNNXBMFYSA-N -1 1 320.349 1.440 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)NC1CCC(C(=O)[O-])CC1 ZINC000391360923 600358501 /nfs/dbraw/zinc/35/85/01/600358501.db2.gz QVHOJXGDKPIJLM-VXGQWTEUSA-N -1 1 323.437 1.898 20 0 DDADMM CC(C)[C@@]1(C)NC(=O)N(CN2CCCC[C@@H]2CC(=O)[O-])C1=O ZINC000736392634 600417476 /nfs/dbraw/zinc/41/74/76/600417476.db2.gz VFRFFXWIELVMLG-IAQYHMDHSA-N -1 1 311.382 1.240 20 0 DDADMM CC1CCN(C(=O)CN(CCC(=O)[O-])C[C@H]2CCCO2)CC1 ZINC000736790279 600511000 /nfs/dbraw/zinc/51/10/00/600511000.db2.gz DBJGJSBUXZRGPR-CQSZACIVSA-N -1 1 312.410 1.201 20 0 DDADMM CCC(C)(C)NC(=O)CN(CCC(=O)[O-])C[C@H]1CCCO1 ZINC000736859153 600515449 /nfs/dbraw/zinc/51/54/49/600515449.db2.gz OMKDCNTVHROQNW-GFCCVEGCSA-N -1 1 300.399 1.247 20 0 DDADMM CN(CC(=O)[O-])C(=O)CCN1CCc2c(Cl)cccc2C1 ZINC000737401757 600572397 /nfs/dbraw/zinc/57/23/97/600572397.db2.gz VCLUPNUSNMZGGX-UHFFFAOYSA-N -1 1 310.781 1.631 20 0 DDADMM C[C@@H](C(=O)N[C@](C)(C(=O)[O-])C1CC1)N(C)Cc1ccccc1 ZINC000736213061 600609258 /nfs/dbraw/zinc/60/92/58/600609258.db2.gz YEIQQMCIPWXIFP-SJCJKPOMSA-N -1 1 304.390 1.876 20 0 DDADMM Cc1ccc(N2C(=O)C[C@H](N3CCC[C@H](C(=O)[O-])C3)C2=O)cc1 ZINC000738608696 600685104 /nfs/dbraw/zinc/68/51/04/600685104.db2.gz RXLJNTCTFGQYDK-JSGCOSHPSA-N -1 1 316.357 1.424 20 0 DDADMM C[C@H](O)CN1CCN([C@@H](C(=O)[O-])c2ccccc2F)C[C@@H]1C ZINC000827796137 600999566 /nfs/dbraw/zinc/99/95/66/600999566.db2.gz MFKCBXVDWCHGSA-SLEUVZQESA-N -1 1 310.369 1.338 20 0 DDADMM Cc1ncc(/C=C/C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)s1 ZINC000833007916 601087328 /nfs/dbraw/zinc/08/73/28/601087328.db2.gz FXMDETKIFVCIGZ-PGLGOXFNSA-N -1 1 323.418 1.472 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000827375462 601093366 /nfs/dbraw/zinc/09/33/66/601093366.db2.gz VPTPKJMTLIJKKO-SNVBAGLBSA-N -1 1 312.316 1.586 20 0 DDADMM CCCn1cc(NC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cn1 ZINC000829579973 601134789 /nfs/dbraw/zinc/13/47/89/601134789.db2.gz OJNPSWIWXHRETE-LBPRGKRZSA-N -1 1 323.397 1.306 20 0 DDADMM C[C@@H](O)CN1CCN(C(=O)Nc2ccc(C(=O)[O-])cc2)C[C@H]1C ZINC000827796634 601192000 /nfs/dbraw/zinc/19/20/00/601192000.db2.gz ZUBBXHOTRVRLEV-VXGBXAGGSA-N -1 1 321.377 1.304 20 0 DDADMM Cc1cc(CNS(=O)(=O)c2ccc(F)c(C(=O)[O-])c2)n[nH]1 ZINC000738231731 601248050 /nfs/dbraw/zinc/24/80/50/601248050.db2.gz APAATJNJACILSO-UHFFFAOYSA-N -1 1 313.310 1.034 20 0 DDADMM CN(C(=O)CSc1n[nH]c(=S)s1)C(C)(C)C(=O)[O-] ZINC000830496076 601282025 /nfs/dbraw/zinc/28/20/25/601282025.db2.gz VYGORSFPOAYPJK-UHFFFAOYSA-N -1 1 307.422 1.241 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=S)NCc2ccccc2)CC1 ZINC000827385444 601338849 /nfs/dbraw/zinc/33/88/49/601338849.db2.gz KMIJTQHLEWSOQN-ZDUSSCGKSA-N -1 1 321.446 1.542 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(CN1C(=O)[C@@H]3CCCCC[C@H]31)CC2 ZINC000833178008 601398478 /nfs/dbraw/zinc/39/84/78/601398478.db2.gz PCQBCWBQZUTGAD-HZSPNIEDSA-N -1 1 306.406 1.922 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN2C[C@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000825903155 601499673 /nfs/dbraw/zinc/49/96/73/601499673.db2.gz WXTGXDTYLYLAAO-IINYFYTJSA-N -1 1 319.361 1.236 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccc3c(c2)OCO3)C[C@H]1C(=O)[O-] ZINC000315595305 601504736 /nfs/dbraw/zinc/50/47/36/601504736.db2.gz OXINYYAUBNKDGS-GXSJLCMTSA-N -1 1 306.318 1.006 20 0 DDADMM CCOc1ccccc1NC(=O)CN1C[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000315412038 601526248 /nfs/dbraw/zinc/52/62/48/601526248.db2.gz LCFWEMPNSQANQZ-RYUDHWBXSA-N -1 1 306.362 1.676 20 0 DDADMM CC(C)(C(=O)[O-])c1ccc(NC(=O)NCc2c[nH]nn2)cc1 ZINC000398335800 601626132 /nfs/dbraw/zinc/62/61/32/601626132.db2.gz CWECLFVYDGMYBY-UHFFFAOYSA-N -1 1 303.322 1.489 20 0 DDADMM COC(=O)[C@@H](NCc1ccnc(-c2nnn[n-]2)c1)c1ccccc1 ZINC000826111626 607519306 /nfs/dbraw/zinc/51/93/06/607519306.db2.gz ILJCLPDABMEXOH-AWEZNQCLSA-N -1 1 324.344 1.266 20 0 DDADMM COC(=O)[C@@H](NCc1ccnc(-c2nn[n-]n2)c1)c1ccccc1 ZINC000826111626 607519308 /nfs/dbraw/zinc/51/93/08/607519308.db2.gz ILJCLPDABMEXOH-AWEZNQCLSA-N -1 1 324.344 1.266 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1[nH]nc2c1CCC2)c1ccccc1F ZINC000833126522 601737930 /nfs/dbraw/zinc/73/79/30/601737930.db2.gz QTNWXSBYAUVXED-ZDUSSCGKSA-N -1 1 303.293 1.593 20 0 DDADMM C[C@]1(C(=O)[O-])CCN([C@@H]2CC(=O)N(c3ccccc3)C2=O)C1 ZINC000316693870 601849821 /nfs/dbraw/zinc/84/98/21/601849821.db2.gz OTHNZGZXOACBEG-WBMJQRKESA-N -1 1 302.330 1.115 20 0 DDADMM CC1CCC2(CC1)NC(=O)N(CN1CC[C@](C)(C(=O)[O-])C1)C2=O ZINC000828232860 601891448 /nfs/dbraw/zinc/89/14/48/601891448.db2.gz JXSFYDSWQZUYLR-AJQRCPHMSA-N -1 1 323.393 1.241 20 0 DDADMM CCN(CC)C(=O)CN1CCCC[C@H]1[C@H]1CCCN1C(=O)[O-] ZINC000739409343 601928223 /nfs/dbraw/zinc/92/82/23/601928223.db2.gz VLWLLGVZSHDFBM-UONOGXRCSA-N -1 1 311.426 1.852 20 0 DDADMM CC[C@H](C)NC(=O)CN1CCCC[C@@H]1[C@H]1CCCN1C(=O)[O-] ZINC000739243372 601928274 /nfs/dbraw/zinc/92/82/74/601928274.db2.gz GKVTWLNYVOCIDV-BFHYXJOUSA-N -1 1 311.426 1.898 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(C[C@H](O)c2ccc(F)cc2F)C1 ZINC000740642521 601940430 /nfs/dbraw/zinc/94/04/30/601940430.db2.gz KAIRPIYSNCGVPC-ZANVPECISA-N -1 1 300.305 1.588 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738628524 601961143 /nfs/dbraw/zinc/96/11/43/601961143.db2.gz KFTNOPAISQVGGA-GXTWGEPZSA-N -1 1 305.378 1.281 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)N2CCc3ccccc3C2)C1 ZINC000740641629 601964142 /nfs/dbraw/zinc/96/41/42/601964142.db2.gz JWKWGIJDUWMQRH-ZDUSSCGKSA-N -1 1 317.389 1.161 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)NCCc2ccc(F)cc2)C1 ZINC000740641551 601964372 /nfs/dbraw/zinc/96/43/72/601964372.db2.gz JFOHEJWTNVYBAV-ZDUSSCGKSA-N -1 1 323.368 1.074 20 0 DDADMM C[C@@H](C(=O)N1CCC(C)CC1)N1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000739111608 601980453 /nfs/dbraw/zinc/98/04/53/601980453.db2.gz CNPUABGIQGSVEN-KBPBESRZSA-N -1 1 311.426 1.708 20 0 DDADMM CSCc1nnc(SCc2ccnc(-c3nnn[n-]3)c2)o1 ZINC000826228877 607537808 /nfs/dbraw/zinc/53/78/08/607537808.db2.gz FEYWSDULTNSZRI-UHFFFAOYSA-N -1 1 321.391 1.800 20 0 DDADMM CSCc1nnc(SCc2ccnc(-c3nn[n-]n3)c2)o1 ZINC000826228877 607537809 /nfs/dbraw/zinc/53/78/09/607537809.db2.gz FEYWSDULTNSZRI-UHFFFAOYSA-N -1 1 321.391 1.800 20 0 DDADMM O=C([O-])CCN(C[C@H]1CCCO1)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000833232653 602019740 /nfs/dbraw/zinc/01/97/40/602019740.db2.gz FMUVCYXQNKCQDB-NEPJUHHUSA-N -1 1 321.377 1.312 20 0 DDADMM CC(C)CN1CCN(C(=O)NCCN(C(=O)[O-])C2CC2)CC1 ZINC000738822943 602080420 /nfs/dbraw/zinc/08/04/20/602080420.db2.gz CYWNOVCKHUWTFP-UHFFFAOYSA-N -1 1 312.414 1.112 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)[O-])C(=O)N1CCN(C2CCCC2)CC1 ZINC000739238281 602118737 /nfs/dbraw/zinc/11/87/37/602118737.db2.gz UBKBNSVOEPAZKG-JSGCOSHPSA-N -1 1 311.426 1.756 20 0 DDADMM CCN(C)[C@H](C(=O)NC1CCN(C(=O)[O-])CC1)c1ccccc1 ZINC000737068153 602166683 /nfs/dbraw/zinc/16/66/83/602166683.db2.gz FSUVZWMUEORMIF-HNNXBMFYSA-N -1 1 319.405 1.938 20 0 DDADMM O=C([O-])CC1(NCc2nc(-c3ccsc3)no2)CCOCC1 ZINC000833205955 602256523 /nfs/dbraw/zinc/25/65/23/602256523.db2.gz RUVFDWKQAUCCCM-UHFFFAOYSA-N -1 1 323.374 1.912 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000739311216 602362834 /nfs/dbraw/zinc/36/28/34/602362834.db2.gz DGSIUHLTYJAMBD-UPJWGTAASA-N -1 1 315.414 1.038 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(CC(=O)N2CCCCCC2)CC1 ZINC000739029587 602451692 /nfs/dbraw/zinc/45/16/92/602451692.db2.gz VPPQVMORUJZSOF-ZDUSSCGKSA-N -1 1 311.426 1.757 20 0 DDADMM CCN(CC)[C@@H](C(=O)N[C@@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000739408692 602532570 /nfs/dbraw/zinc/53/25/70/602532570.db2.gz YWIQZZYPKNFZJI-HUUCEWRRSA-N -1 1 319.405 1.938 20 0 DDADMM CC(C)C[C@H](C)N(C)C(=O)CN1CCC(N(C)C(=O)[O-])CC1 ZINC000737620988 602537886 /nfs/dbraw/zinc/53/78/86/602537886.db2.gz ZRWYPVGUVNRMCC-ZDUSSCGKSA-N -1 1 313.442 1.954 20 0 DDADMM CCCN(CC(=O)N1CCCCCC1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739350109 602546382 /nfs/dbraw/zinc/54/63/82/602546382.db2.gz MJDJFVJFEDQMNU-AWEZNQCLSA-N -1 1 311.426 1.853 20 0 DDADMM Cc1cc(CN2CCN(C[C@@H]3CCCN(C(=O)[O-])C3)CC2)on1 ZINC000740074668 602702417 /nfs/dbraw/zinc/70/24/17/602702417.db2.gz YEZJNPPSZOEYEQ-AWEZNQCLSA-N -1 1 322.409 1.491 20 0 DDADMM Cc1cnn(C[C@@H]2CN(C[C@@H]3CCCN(C(=O)[O-])C3)CCO2)c1 ZINC000740201204 602707064 /nfs/dbraw/zinc/70/70/64/602707064.db2.gz QUIVQVVWLRCJOL-GJZGRUSLSA-N -1 1 322.409 1.282 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])CCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000739155450 602732044 /nfs/dbraw/zinc/73/20/44/602732044.db2.gz UHVZMWBHAICMKP-SECBINFHSA-N -1 1 304.306 1.494 20 0 DDADMM C[C@@H]1C[C@H](C)CN(C(=O)CN2CC[C@H](NC(=O)[O-])[C@H](C)C2)C1 ZINC000739073184 602770394 /nfs/dbraw/zinc/77/03/94/602770394.db2.gz FOURTOPQANWTDY-RQJABVFESA-N -1 1 311.426 1.469 20 0 DDADMM C[C@@H]1CN(C[C@H](O)c2ccc(F)cc2F)CC[C@H]1NC(=O)[O-] ZINC000739174865 602774792 /nfs/dbraw/zinc/77/47/92/602774792.db2.gz AGTJCBNSKDMALP-FZQKWOKYSA-N -1 1 314.332 1.976 20 0 DDADMM CCc1cc(CNC(=O)N2CC[C@H](NC(=O)[O-])[C@@H](C)C2)[nH]n1 ZINC000739535779 602838482 /nfs/dbraw/zinc/83/84/82/602838482.db2.gz GLJHNVIVJSJBFJ-CABZTGNLSA-N -1 1 309.370 1.160 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(NC(=O)[O-])cc1[O-])C[NH+]1CCCC1 ZINC000738939362 602850777 /nfs/dbraw/zinc/85/07/77/602850777.db2.gz KZYKSDAYBYSECU-NSHDSACASA-N -1 1 321.377 1.944 20 0 DDADMM CCc1cc(CNC(=O)N[C@H](C)[C@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000739537466 602911331 /nfs/dbraw/zinc/91/13/31/602911331.db2.gz GXEQHCLEFWOZAT-MNOVXSKESA-N -1 1 323.397 1.550 20 0 DDADMM CC(C)[C@H](CNC(=O)N1CCN(C)C[C@H]1C(C)C)NC(=O)[O-] ZINC000738785558 602916138 /nfs/dbraw/zinc/91/61/38/602916138.db2.gz NKMJHEYQBQOQKX-STQMWFEESA-N -1 1 314.430 1.260 20 0 DDADMM C[C@H](C(=O)NC[C@@H]1CCCN1C(=O)[O-])N(C)Cc1ccccc1 ZINC000738624965 602990698 /nfs/dbraw/zinc/99/06/98/602990698.db2.gz NOUXGAAFUKPWOF-HIFRSBDPSA-N -1 1 319.405 1.766 20 0 DDADMM O=C([O-])N1CCC[C@H]1C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000740474733 603033873 /nfs/dbraw/zinc/03/38/73/603033873.db2.gz AYZPMLSCDPYXAH-NSHDSACASA-N -1 1 301.306 1.348 20 0 DDADMM O=C(Cc1cccc(O)c1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826423550 607604762 /nfs/dbraw/zinc/60/47/62/607604762.db2.gz USYONMJNRVYGQL-UHFFFAOYSA-N -1 1 311.301 1.253 20 0 DDADMM O=C(Cc1cccc(O)c1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826423550 607604764 /nfs/dbraw/zinc/60/47/64/607604764.db2.gz USYONMJNRVYGQL-UHFFFAOYSA-N -1 1 311.301 1.253 20 0 DDADMM O=C([O-])NCCN(Cc1ccc(C(=O)NC2CC2)cc1)C1CC1 ZINC000740657383 603082213 /nfs/dbraw/zinc/08/22/13/603082213.db2.gz AIOWADKMASMGOD-UHFFFAOYSA-N -1 1 317.389 1.811 20 0 DDADMM COCCN(CC(=O)Nc1cccc(Cl)c1O)C(=O)[O-] ZINC000739823403 603310128 /nfs/dbraw/zinc/31/01/28/603310128.db2.gz VCAZDWGMRGMQQA-UHFFFAOYSA-N -1 1 302.714 1.611 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCN(Cc3ccsc3)CC2)C1 ZINC000740503999 603324264 /nfs/dbraw/zinc/32/42/64/603324264.db2.gz SAUMIFHIITZLMJ-CYBMUJFWSA-N -1 1 309.435 1.866 20 0 DDADMM Cc1cc(C(=O)N2CCC(N(CC3CC3)C(=O)[O-])CC2)n[nH]1 ZINC000829918744 603467382 /nfs/dbraw/zinc/46/73/82/603467382.db2.gz BMULXOVWQMHWDJ-UHFFFAOYSA-N -1 1 306.366 1.713 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@H]1CCN(C(=O)[O-])C1 ZINC000826690340 603522957 /nfs/dbraw/zinc/52/29/57/603522957.db2.gz KKYQJPJUPZNPAC-BFHYXJOUSA-N -1 1 309.410 1.462 20 0 DDADMM CC(C)C[C@@H](NC(=O)[O-])C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000824211276 603547341 /nfs/dbraw/zinc/54/73/41/603547341.db2.gz ONKXQTXLHHLIMG-GFCCVEGCSA-N -1 1 308.382 1.798 20 0 DDADMM CCCCn1c(=O)[nH]nc1S[C@@H]1CN(C(=O)[O-])CCC1=O ZINC000826853945 603679835 /nfs/dbraw/zinc/67/98/35/603679835.db2.gz TVEJUIBDMKAVGE-SECBINFHSA-N -1 1 314.367 1.197 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCOC[C@@H]2Cc2ccccc2)C1 ZINC000831879195 603720068 /nfs/dbraw/zinc/72/00/68/603720068.db2.gz VAWVBLLMACLGDJ-CVEARBPZSA-N -1 1 304.390 1.930 20 0 DDADMM CCc1cc(CNC(=O)N2CCC[C@@H]2CN(C)C(=O)[O-])[nH]n1 ZINC000827563577 603808664 /nfs/dbraw/zinc/80/86/64/603808664.db2.gz GDWZNHYFUZNIPB-GFCCVEGCSA-N -1 1 309.370 1.256 20 0 DDADMM C[C@H](C(=O)N1[C@H](C)CCC[C@H]1C)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823654472 603813861 /nfs/dbraw/zinc/81/38/61/603813861.db2.gz KIDVNNXILSXZCI-SYQHCUMBSA-N -1 1 311.426 1.849 20 0 DDADMM O=C([O-])N1CC[C@](F)(C(=O)N2CCN(C3CCCC3)CC2)C1 ZINC000831893474 603834301 /nfs/dbraw/zinc/83/43/01/603834301.db2.gz BRQGXTQXBZNZFW-OAHLLOKOSA-N -1 1 313.373 1.165 20 0 DDADMM C[C@H](NC(=O)CC[C@@H](NC(=O)[O-])c1ccccc1)c1nnc[nH]1 ZINC000825114001 603938751 /nfs/dbraw/zinc/93/87/51/603938751.db2.gz BFMWHUBRXRYMSQ-CMPLNLGQSA-N -1 1 317.349 1.771 20 0 DDADMM CC(C)[C@@H](CCN(C)C(=O)[C@H]1CCCc2[nH]ncc21)NC(=O)[O-] ZINC000824078941 603953689 /nfs/dbraw/zinc/95/36/89/603953689.db2.gz MPWYCORIKBYJNL-WCQYABFASA-N -1 1 322.409 1.970 20 0 DDADMM Cc1ccc2nc(CNC(=O)NCCCN(C)C(=O)[O-])[nH]c2c1 ZINC000830434030 604001874 /nfs/dbraw/zinc/00/18/74/604001874.db2.gz YQYBJZYWFUQXGE-UHFFFAOYSA-N -1 1 319.365 1.670 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1C[C@H](O)c1ccc(F)cc1F ZINC000832573962 604083046 /nfs/dbraw/zinc/08/30/46/604083046.db2.gz WDUKFQWWYLRMIG-MFKMUULPSA-N -1 1 300.305 1.730 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1C[C@@H](O)c1ccc(F)c(F)c1 ZINC000832572845 604086537 /nfs/dbraw/zinc/08/65/37/604086537.db2.gz IKDSIOYIORKOFV-ZWNOBZJWSA-N -1 1 300.305 1.730 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)N2CCC[C@@H](C)C2)C1 ZINC000825904401 604166951 /nfs/dbraw/zinc/16/69/51/604166951.db2.gz FURHQVPMQPULDZ-MCIONIFRSA-N -1 1 311.426 1.613 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2c(C)[nH]c(C(=O)[O-])c2C)C1 ZINC000399673123 604308608 /nfs/dbraw/zinc/30/86/08/604308608.db2.gz SVOSTWBRLFONNZ-LBPRGKRZSA-N -1 1 323.393 1.170 20 0 DDADMM O=C([O-])N[C@@H]1CCN(Cc2ccc(C(=O)N3CCCC3)cc2)C1 ZINC000740595338 604371685 /nfs/dbraw/zinc/37/16/85/604371685.db2.gz FQKPMTXECUWFBL-OAHLLOKOSA-N -1 1 317.389 1.765 20 0 DDADMM CC(C)Cc1ncc(CN2CCC([C@@H](O)C(=O)[O-])CC2)s1 ZINC000833495100 604764295 /nfs/dbraw/zinc/76/42/95/604764295.db2.gz VEABEYZFMPSVFZ-CQSZACIVSA-N -1 1 312.435 1.999 20 0 DDADMM C[C@@H]1CN(C)C[C@H](C)N1C(=O)CCc1ccccc1C(=O)[O-] ZINC000833627019 604796939 /nfs/dbraw/zinc/79/69/39/604796939.db2.gz PMQROAFVNMBWIO-BETUJISGSA-N -1 1 304.390 1.868 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2ccsc2C(=O)[O-])n[nH]1 ZINC000829319876 605029084 /nfs/dbraw/zinc/02/90/84/605029084.db2.gz VOWPRKHACRRGOR-UHFFFAOYSA-N -1 1 315.376 1.210 20 0 DDADMM C[C@H]1C[C@H](NS(=O)(=O)c2ccsc2C(=O)[O-])CCN1C ZINC000157605229 605039869 /nfs/dbraw/zinc/03/98/69/605039869.db2.gz RIEAJQRAGQZUTL-DTWKUNHWSA-N -1 1 318.420 1.207 20 0 DDADMM O=C([O-])c1ccccc1CCC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000833764115 605057289 /nfs/dbraw/zinc/05/72/89/605057289.db2.gz XAVRKMXKKMUSTB-LBPRGKRZSA-N -1 1 313.357 1.714 20 0 DDADMM C[C@H](C[C@@H](O)c1cccs1)Nc1nccnc1-c1nnn[n-]1 ZINC000824394177 607732766 /nfs/dbraw/zinc/73/27/66/607732766.db2.gz WDHKORFSGLYFBY-RKDXNWHRSA-N -1 1 317.378 1.642 20 0 DDADMM C[C@H](C[C@@H](O)c1cccs1)Nc1nccnc1-c1nn[n-]n1 ZINC000824394177 607732767 /nfs/dbraw/zinc/73/27/67/607732767.db2.gz WDHKORFSGLYFBY-RKDXNWHRSA-N -1 1 317.378 1.642 20 0 DDADMM O=C(CN(C[C@@H]1CCCO1)C(=O)[O-])N[C@H]1CCCc2cn[nH]c21 ZINC000831473965 605126243 /nfs/dbraw/zinc/12/62/43/605126243.db2.gz IORWHMKEQFFIMW-RYUDHWBXSA-N -1 1 322.365 1.062 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)Nc2cc(C)[nH]c2C(=O)[O-])C[C@@H]1C ZINC000833658014 605160207 /nfs/dbraw/zinc/16/02/07/605160207.db2.gz PPCFUDBNJAFYBV-WDEREUQCSA-N -1 1 308.382 1.968 20 0 DDADMM C[C@@]1(O)CCCN(CC(=O)NCc2ccc(NC(=O)[O-])cc2)C1 ZINC000833571715 605215024 /nfs/dbraw/zinc/21/50/24/605215024.db2.gz KKCOTURQJMBJRM-MRXNPFEDSA-N -1 1 321.377 1.240 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NCC[C@H]2CCN(C(=O)[O-])C2)n[nH]1 ZINC000830036170 605292288 /nfs/dbraw/zinc/29/22/88/605292288.db2.gz LCWJYQSWFSBCGN-JQWIXIFHSA-N -1 1 323.397 1.338 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NC[C@@H]2CCCCN2C(=O)[O-])n[nH]1 ZINC000830036316 605301566 /nfs/dbraw/zinc/30/15/66/605301566.db2.gz MUFXPDVWGFGRNU-GWCFXTLKSA-N -1 1 323.397 1.481 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NCC2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000830036404 605301701 /nfs/dbraw/zinc/30/17/01/605301701.db2.gz OSGJMJYLTXOIDX-JTQLQIEISA-N -1 1 323.397 1.338 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC(N(C)C(=O)[O-])CC2)n[nH]1 ZINC000830030593 605301755 /nfs/dbraw/zinc/30/17/55/605301755.db2.gz PDGNUHHMYAAIIS-SNVBAGLBSA-N -1 1 323.397 1.433 20 0 DDADMM Cc1cccc([C@H]2CC(=O)N(CN3CC[C@@H](C(=O)[O-])C3)C2)c1 ZINC000821111944 605345518 /nfs/dbraw/zinc/34/55/18/605345518.db2.gz CGZVFXOVGUPKBK-CABCVRRESA-N -1 1 302.374 1.675 20 0 DDADMM O=C([O-])N[C@@H]1CCCN(Cc2ccccc2-n2cncn2)C1 ZINC000834182248 605492988 /nfs/dbraw/zinc/49/29/88/605492988.db2.gz MBQFPBIYYXVJTB-CYBMUJFWSA-N -1 1 301.350 1.499 20 0 DDADMM CC(C)N1CCN(C(=O)C[C@H]2CSCCN2C(=O)[O-])CC1 ZINC000824362902 605598499 /nfs/dbraw/zinc/59/84/99/605598499.db2.gz JPEHZJYXTIYPTC-LBPRGKRZSA-N -1 1 315.439 1.025 20 0 DDADMM Cn1cc(N2CCN(Cc3cccc(NC(=O)[O-])c3)CC2)cn1 ZINC000833948772 605598628 /nfs/dbraw/zinc/59/86/28/605598628.db2.gz CZYMGJRONZFCGL-UHFFFAOYSA-N -1 1 315.377 1.832 20 0 DDADMM Cc1cc(CNC(=O)[C@@H]2c3ccccc3CCN2C(=O)[O-])n[nH]1 ZINC000830041719 605722387 /nfs/dbraw/zinc/72/23/87/605722387.db2.gz RCUKURDZLUHZAZ-AWEZNQCLSA-N -1 1 314.345 1.612 20 0 DDADMM O=C([O-])N1CCc2ccc(OC(=O)CCc3nc[nH]n3)cc2C1 ZINC000834001305 605824878 /nfs/dbraw/zinc/82/48/78/605824878.db2.gz OSHAZSGDDPSIJR-UHFFFAOYSA-N -1 1 316.317 1.379 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CCC[C@H]1CNC(=O)[O-])C2 ZINC000833916202 605847589 /nfs/dbraw/zinc/84/75/89/605847589.db2.gz OPGDUPAKHUQPMB-MNOVXSKESA-N -1 1 306.366 1.082 20 0 DDADMM O=C([O-])N1CCC[C@H](CN2CCN(c3ccc(F)cn3)CC2)C1 ZINC000834101307 605975972 /nfs/dbraw/zinc/97/59/72/605975972.db2.gz XKQAINXJPONBKH-CYBMUJFWSA-N -1 1 322.384 1.733 20 0 DDADMM O=C([O-])N[C@H](CC(F)F)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000834131391 606075252 /nfs/dbraw/zinc/07/52/52/606075252.db2.gz HUOIQGLHMHZEBI-HTQZYQBOSA-N -1 1 302.281 1.195 20 0 DDADMM O=C([O-])N1CCC[C@H]1C(=O)OC[C@@H]1CCCN1Cc1ccco1 ZINC000834284764 606092644 /nfs/dbraw/zinc/09/26/44/606092644.db2.gz INFHHLRYBBWTIQ-JSGCOSHPSA-N -1 1 322.361 1.930 20 0 DDADMM COc1ccnc(COC(=O)c2ccc(-c3nn[n-]n3)s2)c1 ZINC000821903127 606139825 /nfs/dbraw/zinc/13/98/25/606139825.db2.gz BIQWHWNGRISUFS-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM COc1ccnc(COC(=O)c2ccc(-c3nnn[n-]3)s2)c1 ZINC000821903127 606139823 /nfs/dbraw/zinc/13/98/23/606139823.db2.gz BIQWHWNGRISUFS-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)[C@@H]2C[C@@H]2C2CC2)n1 ZINC000819971032 606259525 /nfs/dbraw/zinc/25/95/25/606259525.db2.gz BTHYYMGOAAHJRF-NXEZZACHSA-N -1 1 315.381 1.803 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)[C@@H]2C[C@@H]2C2CC2)n1 ZINC000819971032 606259526 /nfs/dbraw/zinc/25/95/26/606259526.db2.gz BTHYYMGOAAHJRF-NXEZZACHSA-N -1 1 315.381 1.803 20 0 DDADMM CC(C)OC(=O)[C@H](C)CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820137189 606467980 /nfs/dbraw/zinc/46/79/80/606467980.db2.gz ICLSGLDHTVKHSF-MRVPVSSYSA-N -1 1 324.772 1.915 20 0 DDADMM CC(C)OC(=O)[C@H](C)CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820137189 606467981 /nfs/dbraw/zinc/46/79/81/606467981.db2.gz ICLSGLDHTVKHSF-MRVPVSSYSA-N -1 1 324.772 1.915 20 0 DDADMM C[C@H](Oc1ccc(F)cc1)C(=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000820535110 606531970 /nfs/dbraw/zinc/53/19/70/606531970.db2.gz NOPBWYVYPUCYJI-ZETCQYMHSA-N -1 1 317.284 1.135 20 0 DDADMM C[C@H](Oc1ccc(F)cc1)C(=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000820535110 606531972 /nfs/dbraw/zinc/53/19/72/606531972.db2.gz NOPBWYVYPUCYJI-ZETCQYMHSA-N -1 1 317.284 1.135 20 0 DDADMM CCC1(C(=O)Nc2nn(C(C)(C)C)cc2-c2nnn[n-]2)COC1 ZINC000820945432 606684379 /nfs/dbraw/zinc/68/43/79/606684379.db2.gz SYWANBIHADMUFH-UHFFFAOYSA-N -1 1 319.369 1.183 20 0 DDADMM CCC1(C(=O)Nc2nn(C(C)(C)C)cc2-c2nn[n-]n2)COC1 ZINC000820945432 606684380 /nfs/dbraw/zinc/68/43/80/606684380.db2.gz SYWANBIHADMUFH-UHFFFAOYSA-N -1 1 319.369 1.183 20 0 DDADMM Cc1noc(CCCOC(=O)c2sccc2-c2nn[n-]n2)n1 ZINC000822527871 606948479 /nfs/dbraw/zinc/94/84/79/606948479.db2.gz HRINUWNMIQFZOI-UHFFFAOYSA-N -1 1 320.334 1.409 20 0 DDADMM C[C@H](C[C@H]1CCOC1)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820231655 607128308 /nfs/dbraw/zinc/12/83/08/607128308.db2.gz CTJWMBHNLYUHNT-RKDXNWHRSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@H](C[C@H]1CCOC1)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820231655 607128307 /nfs/dbraw/zinc/12/83/07/607128307.db2.gz CTJWMBHNLYUHNT-RKDXNWHRSA-N -1 1 307.379 1.473 20 0 DDADMM CN(C[C@@H]1CCC[C@@H]1O)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825909430 607851926 /nfs/dbraw/zinc/85/19/26/607851926.db2.gz YINLAPFWNOEGSN-WPRPVWTQSA-N -1 1 308.773 1.512 20 0 DDADMM CN(C[C@@H]1CCC[C@@H]1O)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825909430 607851927 /nfs/dbraw/zinc/85/19/27/607851927.db2.gz YINLAPFWNOEGSN-WPRPVWTQSA-N -1 1 308.773 1.512 20 0 DDADMM C[C@@H]1CCCN(C(=O)COc2cccc(F)c2-c2nnn[n-]2)C1 ZINC000824935765 607865089 /nfs/dbraw/zinc/86/50/89/607865089.db2.gz CVECQWFJEBOWJB-SNVBAGLBSA-N -1 1 319.340 1.643 20 0 DDADMM C[C@@H]1CCCN(C(=O)COc2cccc(F)c2-c2nn[n-]n2)C1 ZINC000824935765 607865090 /nfs/dbraw/zinc/86/50/90/607865090.db2.gz CVECQWFJEBOWJB-SNVBAGLBSA-N -1 1 319.340 1.643 20 0 DDADMM CCNC(=O)c1cccc(Oc2cccc(-c3nnn[n-]3)n2)c1 ZINC000825476302 607898032 /nfs/dbraw/zinc/89/80/32/607898032.db2.gz FRFSZURAOITFJS-UHFFFAOYSA-N -1 1 310.317 1.804 20 0 DDADMM CCNC(=O)c1cccc(Oc2cccc(-c3nn[n-]n3)n2)c1 ZINC000825476302 607898033 /nfs/dbraw/zinc/89/80/33/607898033.db2.gz FRFSZURAOITFJS-UHFFFAOYSA-N -1 1 310.317 1.804 20 0 DDADMM OCCCN(Cc1ccccc1)c1cccc(-c2nnn[n-]2)n1 ZINC000826510118 607902376 /nfs/dbraw/zinc/90/23/76/607902376.db2.gz YTAFQFOBKCFEQE-UHFFFAOYSA-N -1 1 310.361 1.651 20 0 DDADMM OCCCN(Cc1ccccc1)c1cccc(-c2nn[n-]n2)n1 ZINC000826510118 607902377 /nfs/dbraw/zinc/90/23/77/607902377.db2.gz YTAFQFOBKCFEQE-UHFFFAOYSA-N -1 1 310.361 1.651 20 0 DDADMM C[C@@H](O)CN(Cc1ccccc1)c1cccc(-c2nnn[n-]2)n1 ZINC000824707130 607902991 /nfs/dbraw/zinc/90/29/91/607902991.db2.gz NZMTXSHGKHVRFE-GFCCVEGCSA-N -1 1 310.361 1.649 20 0 DDADMM C[C@@H](O)CN(Cc1ccccc1)c1cccc(-c2nn[n-]n2)n1 ZINC000824707130 607902992 /nfs/dbraw/zinc/90/29/92/607902992.db2.gz NZMTXSHGKHVRFE-GFCCVEGCSA-N -1 1 310.361 1.649 20 0 DDADMM COc1ccc(C)cc1NC(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000826190123 608013428 /nfs/dbraw/zinc/01/34/28/608013428.db2.gz PXVBHZFWPLFBDE-UHFFFAOYSA-N -1 1 313.321 1.019 20 0 DDADMM COc1ccc(C)cc1NC(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000826190123 608013429 /nfs/dbraw/zinc/01/34/29/608013429.db2.gz PXVBHZFWPLFBDE-UHFFFAOYSA-N -1 1 313.321 1.019 20 0 DDADMM O=C(N[C@@H](CCO)c1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826426355 608073612 /nfs/dbraw/zinc/07/36/12/608073612.db2.gz LPTZFFXYOMJIEP-ZDUSSCGKSA-N -1 1 324.344 1.115 20 0 DDADMM O=C(N[C@@H](CCO)c1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826426355 608073613 /nfs/dbraw/zinc/07/36/13/608073613.db2.gz LPTZFFXYOMJIEP-ZDUSSCGKSA-N -1 1 324.344 1.115 20 0 DDADMM OC[C@H]1CCCC[C@H]1Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000826509034 608186876 /nfs/dbraw/zinc/18/68/76/608186876.db2.gz JUAIPAQRIPCTCG-RNFRBKRXSA-N -1 1 314.802 1.940 20 0 DDADMM OC[C@H]1CCCC[C@H]1Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000826509034 608186877 /nfs/dbraw/zinc/18/68/77/608186877.db2.gz JUAIPAQRIPCTCG-RNFRBKRXSA-N -1 1 314.802 1.940 20 0 DDADMM C[C@@]1(O)CCCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000824843603 608304751 /nfs/dbraw/zinc/30/47/51/608304751.db2.gz HQIQDQXCTOFEDK-MRXNPFEDSA-N -1 1 310.361 1.766 20 0 DDADMM C[C@@]1(O)CCCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000824843603 608304753 /nfs/dbraw/zinc/30/47/53/608304753.db2.gz HQIQDQXCTOFEDK-MRXNPFEDSA-N -1 1 310.361 1.766 20 0 DDADMM CN(Cc1ccc(F)c(F)c1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825966169 608395120 /nfs/dbraw/zinc/39/51/20/608395120.db2.gz RALKINKDDXNICQ-UHFFFAOYSA-N -1 1 303.276 1.571 20 0 DDADMM CN(Cc1ccc(F)c(F)c1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825966169 608395121 /nfs/dbraw/zinc/39/51/21/608395121.db2.gz RALKINKDDXNICQ-UHFFFAOYSA-N -1 1 303.276 1.571 20 0 DDADMM Cc1cccc(C)c1NC(=O)CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826297158 608395153 /nfs/dbraw/zinc/39/51/53/608395153.db2.gz XOQXFQNEIKXIGJ-UHFFFAOYSA-N -1 1 324.348 1.324 20 0 DDADMM Cc1cccc(C)c1NC(=O)CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826297158 608395155 /nfs/dbraw/zinc/39/51/55/608395155.db2.gz XOQXFQNEIKXIGJ-UHFFFAOYSA-N -1 1 324.348 1.324 20 0 DDADMM O[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)c1c(F)cccc1F ZINC000826502470 608423318 /nfs/dbraw/zinc/42/33/18/608423318.db2.gz DONYVZCAUACLEV-JTQLQIEISA-N -1 1 319.275 1.080 20 0 DDADMM O[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)c1c(F)cccc1F ZINC000826502470 608423319 /nfs/dbraw/zinc/42/33/19/608423319.db2.gz DONYVZCAUACLEV-JTQLQIEISA-N -1 1 319.275 1.080 20 0 DDADMM c1cc(N2CCSC3(CCCCC3)C2)nnc1-c1nnn[n-]1 ZINC000826516889 608430879 /nfs/dbraw/zinc/43/08/79/608430879.db2.gz GRHOWMXIPXXZFL-UHFFFAOYSA-N -1 1 317.422 1.913 20 0 DDADMM c1cc(N2CCSC3(CCCCC3)C2)nnc1-c1nn[n-]n1 ZINC000826516889 608430881 /nfs/dbraw/zinc/43/08/81/608430881.db2.gz GRHOWMXIPXXZFL-UHFFFAOYSA-N -1 1 317.422 1.913 20 0 DDADMM CCc1ccnc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c1 ZINC000825728623 608555124 /nfs/dbraw/zinc/55/51/24/608555124.db2.gz SUFGORUCEGATQF-UHFFFAOYSA-N -1 1 310.317 1.782 20 0 DDADMM COc1cc(Cn2ccnc2-c2nnn[n-]2)ccc1SC ZINC000826179755 608887973 /nfs/dbraw/zinc/88/79/73/608887973.db2.gz CRMJUJUSSXFBLW-UHFFFAOYSA-N -1 1 302.363 1.842 20 0 DDADMM COc1cc(Cn2ccnc2-c2nn[n-]n2)ccc1SC ZINC000826179755 608887974 /nfs/dbraw/zinc/88/79/74/608887974.db2.gz CRMJUJUSSXFBLW-UHFFFAOYSA-N -1 1 302.363 1.842 20 0 DDADMM COCc1noc(COc2cccc(F)c2-c2nnn[n-]2)n1 ZINC000826166185 609174161 /nfs/dbraw/zinc/17/41/61/609174161.db2.gz YIHPBLFNVGQOFD-UHFFFAOYSA-N -1 1 306.257 1.114 20 0 DDADMM COCc1noc(COc2cccc(F)c2-c2nn[n-]n2)n1 ZINC000826166185 609174163 /nfs/dbraw/zinc/17/41/63/609174163.db2.gz YIHPBLFNVGQOFD-UHFFFAOYSA-N -1 1 306.257 1.114 20 0 DDADMM O=C(NCc1ccc(-c2nn[nH]n2)cc1)c1ccc([O-])cc1F ZINC000826441730 609300873 /nfs/dbraw/zinc/30/08/73/609300873.db2.gz RGPVXKBXKLSSEA-UHFFFAOYSA-N -1 1 313.292 1.641 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)c1cncc(F)c1 ZINC000824516014 609417982 /nfs/dbraw/zinc/41/79/82/609417982.db2.gz VHZKBFSKHQTOLT-SSDOTTSWSA-N -1 1 318.337 1.953 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)c1cncc(F)c1 ZINC000824516014 609417984 /nfs/dbraw/zinc/41/79/84/609417984.db2.gz VHZKBFSKHQTOLT-SSDOTTSWSA-N -1 1 318.337 1.953 20 0 DDADMM CC(C)[C@@H]1C[C@@H](CC(=O)n2ncc(-c3nn[n-]n3)c2N)CCO1 ZINC000824214033 609434113 /nfs/dbraw/zinc/43/41/13/609434113.db2.gz DQINKTXSIUKGKN-ONGXEEELSA-N -1 1 319.369 1.127 20 0 DDADMM c1ccc(OC[C@@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000826522980 609573666 /nfs/dbraw/zinc/57/36/66/609573666.db2.gz VWULBCPLQQXWGM-GFCCVEGCSA-N -1 1 323.360 1.562 20 0 DDADMM c1ccc(OC[C@@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000826522980 609573669 /nfs/dbraw/zinc/57/36/69/609573669.db2.gz VWULBCPLQQXWGM-GFCCVEGCSA-N -1 1 323.360 1.562 20 0 DDADMM CC(C)NS(=O)(=O)[N-]CC(F)(F)C1(O)CCCCC1 ZINC000908495879 712789941 /nfs/dbraw/zinc/78/99/41/712789941.db2.gz FQSXMMWGKPJMHT-UHFFFAOYSA-N -1 1 300.371 1.149 20 0 DDADMM Cc1c(C(=O)Nc2nc(Br)ccc2[O-])cnn1C ZINC000121544445 696712012 /nfs/dbraw/zinc/71/20/12/696712012.db2.gz IEMRMVZBEMWOEI-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM Cc1nn(C)cc1NC(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC000746291506 700016595 /nfs/dbraw/zinc/01/65/95/700016595.db2.gz IPWSCMQDAQDGGI-UHFFFAOYSA-N -1 1 314.305 1.039 20 0 DDADMM CC1CC(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000974008928 695619230 /nfs/dbraw/zinc/61/92/30/695619230.db2.gz NDLOZOUZLPEUJK-CAODYFQJSA-N -1 1 303.362 1.210 20 0 DDADMM Cn1cc(N2CCN(C(=O)c3c([O-])cccc3Cl)CC2)cn1 ZINC000746409856 700023070 /nfs/dbraw/zinc/02/30/70/700023070.db2.gz PRAJUYMWBXZNDT-UHFFFAOYSA-N -1 1 320.780 1.742 20 0 DDADMM Cc1noc(C)c1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000003564278 696009816 /nfs/dbraw/zinc/00/98/16/696009816.db2.gz HARDFVBOVACCJB-UHFFFAOYSA-N -1 1 302.286 1.405 20 0 DDADMM CCOc1ccccc1NC(C)=C1C(=O)[N-]C(=S)NC1=O ZINC000009955126 696037832 /nfs/dbraw/zinc/03/78/32/696037832.db2.gz HAFARYVSESQWJM-UHFFFAOYSA-N -1 1 305.359 1.302 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2cccc(Cl)c2)n1 ZINC000031721289 696122418 /nfs/dbraw/zinc/12/24/18/696122418.db2.gz HOUDNROXPRCNSR-UHFFFAOYSA-N -1 1 314.754 1.444 20 0 DDADMM COc1cc[n-]c(=NNC(=O)c2cc(-c3ccccc3)n[nH]2)n1 ZINC000032427856 696124138 /nfs/dbraw/zinc/12/41/38/696124138.db2.gz OJJJBVWYEZDRPQ-UHFFFAOYSA-N -1 1 310.317 1.632 20 0 DDADMM CCOCCCC(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000046523850 696188318 /nfs/dbraw/zinc/18/83/18/696188318.db2.gz QJQYCWRJXDADRV-UHFFFAOYSA-N -1 1 323.345 1.312 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ccco1)C(=O)c1ncccc1[O-] ZINC000977601997 696230541 /nfs/dbraw/zinc/23/05/41/696230541.db2.gz REFFAIIFOQULRK-LLVKDONJSA-N -1 1 315.329 1.367 20 0 DDADMM CN(C[C@H]1CCN1C(=O)CC1(C)CC1)C(=O)c1ncccc1[O-] ZINC000977604947 696231579 /nfs/dbraw/zinc/23/15/79/696231579.db2.gz YIDPVEXSCAWLLI-GFCCVEGCSA-N -1 1 317.389 1.650 20 0 DDADMM CN(C[C@H]1CCN1C(=O)C1CC=CC1)C(=O)c1ncccc1[O-] ZINC000977620948 696237530 /nfs/dbraw/zinc/23/75/30/696237530.db2.gz UFGFPGIAZULHNV-CYBMUJFWSA-N -1 1 315.373 1.426 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)[C@@H]1CC1(C)C)C(=O)c1ncccc1[O-] ZINC000977623720 696238201 /nfs/dbraw/zinc/23/82/01/696238201.db2.gz ZKUBRRRXUBDXJR-RYUDHWBXSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1ccc(NC(=O)c2cc(NS(C)(=O)=O)ccc2[O-])nc1 ZINC000055128059 696282143 /nfs/dbraw/zinc/28/21/43/696282143.db2.gz ZDCAOYKXWLAEBD-UHFFFAOYSA-N -1 1 321.358 1.719 20 0 DDADMM CO[C@H](C)C(=O)Nc1nc(Cc2n[n-]c(=S)n2C)cs1 ZINC000057307520 696296173 /nfs/dbraw/zinc/29/61/73/696296173.db2.gz WWTOMIGGUUTCHW-ZCFIWIBFSA-N -1 1 313.408 1.498 20 0 DDADMM O=C(CCOc1ccccc1)N[N-]C(=O)c1cccnc1Cl ZINC000061481677 696319894 /nfs/dbraw/zinc/31/98/94/696319894.db2.gz KVPLAQRPNLUVPE-UHFFFAOYSA-N -1 1 319.748 1.965 20 0 DDADMM CC(=O)N[C@H](C(=O)NCc1n[n-]c(=S)n1C1CC1)C(C)C ZINC000066638204 696354538 /nfs/dbraw/zinc/35/45/38/696354538.db2.gz JMLFAYXXOIHKMG-NSHDSACASA-N -1 1 311.411 1.052 20 0 DDADMM CC1CC(C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000978741939 696450690 /nfs/dbraw/zinc/45/06/90/696450690.db2.gz MEXWSSKXLBOOKI-JSTMSRMQSA-N -1 1 317.389 1.458 20 0 DDADMM CC[C@H](Cc1ccccc1)NC(=O)Cc1sc(N)nc1[O-] ZINC000079970521 696465801 /nfs/dbraw/zinc/46/58/01/696465801.db2.gz BLEKKRJWGNPRAJ-VXGBXAGGSA-N -1 1 305.403 1.471 20 0 DDADMM CC[C@H]1c2ccccc2CCN1C(=O)Cc1sc(N)nc1[O-] ZINC000080293396 696532511 /nfs/dbraw/zinc/53/25/11/696532511.db2.gz IWNIBFWVSHGSDV-QWHCGFSZSA-N -1 1 317.414 1.869 20 0 DDADMM CC(C)[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccn1 ZINC000080386510 696533792 /nfs/dbraw/zinc/53/37/92/696533792.db2.gz RRPFVBDFUCWGTR-PWSUYJOCSA-N -1 1 306.391 1.242 20 0 DDADMM CC1(C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)CCC1 ZINC000979663508 696621447 /nfs/dbraw/zinc/62/14/47/696621447.db2.gz APTIFTHFLNIAEZ-HAQNSBGRSA-N -1 1 317.389 1.602 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCc2cc3ccccc3[nH]2)s1 ZINC000126551727 696763248 /nfs/dbraw/zinc/76/32/48/696763248.db2.gz ZBJMWPGIASLPCS-GFCCVEGCSA-N -1 1 316.386 1.173 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCc3cccc(F)c3C2)s1 ZINC000132555119 696816176 /nfs/dbraw/zinc/81/61/76/696816176.db2.gz VHCSAVOVYCHIFK-NSHDSACASA-N -1 1 307.350 1.057 20 0 DDADMM CCCc1n[n-]c(=S)n1CC(=O)NC[C@@H](C)Cn1cccn1 ZINC000149994295 696876680 /nfs/dbraw/zinc/87/66/80/696876680.db2.gz DFKUUIXQIDIRRW-LLVKDONJSA-N -1 1 322.438 1.542 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1cccc(F)c1F ZINC000153192658 696911274 /nfs/dbraw/zinc/91/12/74/696911274.db2.gz CGZHCILAWDVPDN-HZGVNTEJSA-N -1 1 313.329 1.489 20 0 DDADMM C[C@H](Cc1ccc(F)cc1)C(=O)Nc1nc(SCCO)n[nH]1 ZINC000155304021 696945737 /nfs/dbraw/zinc/94/57/37/696945737.db2.gz SUPLDTYZOMBVHO-SECBINFHSA-N -1 1 324.381 1.846 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000981212856 697036535 /nfs/dbraw/zinc/03/65/35/697036535.db2.gz OANFAUXNPWLLEI-RVMXOQNASA-N -1 1 317.389 1.458 20 0 DDADMM CC[C@@](C)(CC(=O)OC)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000748658498 700130593 /nfs/dbraw/zinc/13/05/93/700130593.db2.gz QMBKWONPXWXHSG-ZDUSSCGKSA-N -1 1 321.345 1.975 20 0 DDADMM CC(C)C(=O)N(C)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984108197 697341488 /nfs/dbraw/zinc/34/14/88/697341488.db2.gz UYRJQSHPWUCLOU-LBPRGKRZSA-N -1 1 305.378 1.506 20 0 DDADMM O=C(COC(=O)c1cc(C2CC2)no1)[N-]C(=O)c1ccccc1 ZINC000799582671 700151500 /nfs/dbraw/zinc/15/15/00/700151500.db2.gz RGQFWTZPYUEJKF-UHFFFAOYSA-N -1 1 314.297 1.665 20 0 DDADMM Cc1ccsc1C(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000181997849 697468878 /nfs/dbraw/zinc/46/88/78/697468878.db2.gz DEYSWQFPDYOFEL-UHFFFAOYSA-N -1 1 318.358 1.495 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)N1CCc2ccccc21)c1nn[n-]n1 ZINC000187262885 697543364 /nfs/dbraw/zinc/54/33/64/697543364.db2.gz WSQQIOPAOUNLDF-RYUDHWBXSA-N -1 1 314.393 1.213 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2CCCCO2)cc1 ZINC000772308797 697619128 /nfs/dbraw/zinc/61/91/28/697619128.db2.gz DHCHXVDDTWTRDH-CYBMUJFWSA-N -1 1 321.329 1.064 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1cnc2sccn2c1=O ZINC000193196185 697653415 /nfs/dbraw/zinc/65/34/15/697653415.db2.gz RICVYGDCAGLHTA-UHFFFAOYSA-N -1 1 322.733 1.762 20 0 DDADMM CC(C)[C@H](C(=O)[N-]OC1CCOCC1)N1C[C@@H](C)O[C@H](C)C1 ZINC000772707918 697664100 /nfs/dbraw/zinc/66/41/00/697664100.db2.gz PFLXGHNUIAEUBO-UMVBOHGHSA-N -1 1 314.426 1.347 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000772709279 697664723 /nfs/dbraw/zinc/66/47/23/697664723.db2.gz RDQXKQQVFBKHGE-LLVKDONJSA-N -1 1 322.336 1.405 20 0 DDADMM Cc1conc1CN[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC000986167898 697714915 /nfs/dbraw/zinc/71/49/15/697714915.db2.gz DMMKFIHVSGPJHD-NEPJUHHUSA-N -1 1 316.361 1.476 20 0 DDADMM Cc1conc1CN[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC000986167899 697715081 /nfs/dbraw/zinc/71/50/81/697715081.db2.gz DMMKFIHVSGPJHD-NWDGAFQWSA-N -1 1 316.361 1.476 20 0 DDADMM Cc1cc(Cl)cc(C=O)c1OS(=O)(=O)c1c[n-]cn1 ZINC000774779934 697928288 /nfs/dbraw/zinc/92/82/88/697928288.db2.gz CYJUJJONKOUYRX-UHFFFAOYSA-N -1 1 300.723 1.952 20 0 DDADMM O=C([N-]CCCOC(=O)c1cc(O)ccc1O)C(F)(F)F ZINC000774963571 697948314 /nfs/dbraw/zinc/94/83/14/697948314.db2.gz XZPGCNDRHGKJLK-UHFFFAOYSA-N -1 1 307.224 1.323 20 0 DDADMM Cn1[n-]c(COC(=O)C2(Cc3cccc(F)c3)CCC2)nc1=O ZINC000775065585 697959121 /nfs/dbraw/zinc/95/91/21/697959121.db2.gz IIWSVDKOAUPQKV-UHFFFAOYSA-N -1 1 319.336 1.704 20 0 DDADMM CN(C)c1nccc(CNC(=O)c2c([O-])cccc2Cl)n1 ZINC000775844352 698043821 /nfs/dbraw/zinc/04/38/21/698043821.db2.gz FOOWDDBTRHVSNQ-UHFFFAOYSA-N -1 1 306.753 1.832 20 0 DDADMM CCOC(=O)N1CCC[C@@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000777214686 698174077 /nfs/dbraw/zinc/17/40/77/698174077.db2.gz UQDRZLGKKIBFIB-NWDGAFQWSA-N -1 1 321.377 1.774 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccccc1F ZINC000987859420 698249063 /nfs/dbraw/zinc/24/90/63/698249063.db2.gz ULGPEDDAOIOFRL-UWVGGRQHSA-N -1 1 319.340 1.042 20 0 DDADMM Cc1cccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)c1 ZINC000987939225 698269830 /nfs/dbraw/zinc/26/98/30/698269830.db2.gz PRKWTKQFJDKFQA-AAEUAGOBSA-N -1 1 315.377 1.212 20 0 DDADMM CN(C[C@H]1CCN(C(=O)C(C)(C)C)C1)C(=O)c1ncccc1[O-] ZINC000988331623 698330316 /nfs/dbraw/zinc/33/03/16/698330316.db2.gz ODQKAQTZLCMVQG-GFCCVEGCSA-N -1 1 319.405 1.754 20 0 DDADMM CCCC(=O)N1CCC(CN(C)C(=O)c2ncccc2[O-])CC1 ZINC000988420299 698363824 /nfs/dbraw/zinc/36/38/24/698363824.db2.gz MNZVRKNWBWMONU-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM CC[C@@H]1C[C@@H](OC(=O)c2coc(S(=O)(=O)[N-]C)c2)CCO1 ZINC000779295499 698410695 /nfs/dbraw/zinc/41/06/95/698410695.db2.gz NXOKCTNFKNYGNT-MNOVXSKESA-N -1 1 317.363 1.302 20 0 DDADMM CC[C@@H]1C[C@@H](OC(=O)c2ccc(S(=O)(=O)[N-]C)o2)CCO1 ZINC000779301312 698411153 /nfs/dbraw/zinc/41/11/53/698411153.db2.gz YQDBRPLFMMXFJJ-ZJUUUORDSA-N -1 1 317.363 1.302 20 0 DDADMM [O-]C([NH+]=c1cc[nH]cc1)=C([O-])[C@H](O)c1nc2ccccc2s1 ZINC000779474741 698426706 /nfs/dbraw/zinc/42/67/06/698426706.db2.gz DTZWQCNYDFDXIQ-OLZOCXBDSA-N -1 1 315.354 1.146 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1=CCCCCC1 ZINC000988701723 698443422 /nfs/dbraw/zinc/44/34/22/698443422.db2.gz VOAGNBXURKWOFL-AAEUAGOBSA-N -1 1 319.409 1.480 20 0 DDADMM Cc1csc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)c1 ZINC000988794362 698466994 /nfs/dbraw/zinc/46/69/94/698466994.db2.gz UZSIZELEHUBCLF-VHSXEESVSA-N -1 1 321.406 1.273 20 0 DDADMM O=C([N-]N1CCCC1=O)c1cc(Br)ncc1Cl ZINC000779836175 698474279 /nfs/dbraw/zinc/47/42/79/698474279.db2.gz VNLXPWKWJIHPMK-UHFFFAOYSA-N -1 1 318.558 1.765 20 0 DDADMM CO[C@H]1C[C@H](CC(=O)[O-])N(C(=O)c2[nH]nc3ccccc32)C1 ZINC000263161018 698494062 /nfs/dbraw/zinc/49/40/62/698494062.db2.gz VMZJBYKUPKDAPD-ZJUUUORDSA-N -1 1 303.318 1.267 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)C[C@H](OC)c1ccccc1)C(=O)OC ZINC000296453914 698592953 /nfs/dbraw/zinc/59/29/53/698592953.db2.gz WRPSETCHCHDCQK-OLZOCXBDSA-N -1 1 315.391 1.245 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2cnc(Cl)c(F)c2)o1 ZINC000311448628 698673558 /nfs/dbraw/zinc/67/35/58/698673558.db2.gz IOACIGLLVHGGAR-UHFFFAOYSA-N -1 1 305.718 1.649 20 0 DDADMM Cn1ccc(CC(=O)OCc2cc(=O)oc3cc([O-])ccc23)n1 ZINC000782385749 698717839 /nfs/dbraw/zinc/71/78/39/698717839.db2.gz OBKORAHMAXMZLS-UHFFFAOYSA-N -1 1 314.297 1.518 20 0 DDADMM COc1cccc([C@H](CNC(=O)NC(C)(C)C(=O)[O-])N(C)C)c1 ZINC000320823090 698723848 /nfs/dbraw/zinc/72/38/48/698723848.db2.gz POGYMOFRQZPSHT-ZDUSSCGKSA-N -1 1 323.393 1.460 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H]2C[C@@]2(CO)C1 ZINC000783799282 698881853 /nfs/dbraw/zinc/88/18/53/698881853.db2.gz YVAAVWHPJSELRZ-HWPZZCPQSA-N -1 1 305.378 1.243 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1ccsc1 ZINC000990175890 698983006 /nfs/dbraw/zinc/98/30/06/698983006.db2.gz LIWRLLJCTMDEKZ-UHFFFAOYSA-N -1 1 303.343 1.103 20 0 DDADMM C/C=C/C[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000385068684 699074032 /nfs/dbraw/zinc/07/40/32/699074032.db2.gz VMPPAMWRMHDXOX-SNAWJCMRSA-N -1 1 308.201 1.345 20 0 DDADMM CC(C)[C@H](CO)C[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000394034463 699105760 /nfs/dbraw/zinc/10/57/60/699105760.db2.gz NGIIBPYXNDSABP-VIFPVBQESA-N -1 1 320.342 1.277 20 0 DDADMM Cn1ncc(C(=O)[N-]c2nc(-c3ccc(F)cc3)ns2)n1 ZINC000786155551 699130199 /nfs/dbraw/zinc/13/01/99/699130199.db2.gz INPPJHOXZJUYSH-UHFFFAOYSA-N -1 1 304.310 1.725 20 0 DDADMM O=C(Cc1ccsc1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969871 699195604 /nfs/dbraw/zinc/19/56/04/699195604.db2.gz QRTGHIIRLOSFRA-UHFFFAOYSA-N -1 1 317.370 1.032 20 0 DDADMM CC1(C)CC[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990972405 699196233 /nfs/dbraw/zinc/19/62/33/699196233.db2.gz OVJGCHAIRNPZKE-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(C[C@@H]1C=CCCC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990978431 699197888 /nfs/dbraw/zinc/19/78/88/699197888.db2.gz PMFUCLOGLADHKA-GFCCVEGCSA-N -1 1 315.373 1.474 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2CCC(C)(C)CO2)c1Cl ZINC000711240877 699256599 /nfs/dbraw/zinc/25/65/99/699256599.db2.gz UXGMEWDWBMTNMV-SECBINFHSA-N -1 1 321.830 1.557 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(CCCS(=O)(=O)C(C)(C)C)C1 ZINC000718735031 699294624 /nfs/dbraw/zinc/29/46/24/699294624.db2.gz OLGWUXDSXROHNT-NEPJUHHUSA-N -1 1 305.440 1.632 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1CCCCCCC1 ZINC000727851305 699427965 /nfs/dbraw/zinc/42/79/65/699427965.db2.gz KBSGWGBBZSAEEU-UHFFFAOYSA-N -1 1 307.394 1.403 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2cn(C)c(Cl)n2)c(=O)[nH]1 ZINC000790401855 699457542 /nfs/dbraw/zinc/45/75/42/699457542.db2.gz CDYYEKJCMSTMKG-UHFFFAOYSA-N -1 1 302.743 1.283 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1nc(Cl)cs1 ZINC000790567258 699467121 /nfs/dbraw/zinc/46/71/21/699467121.db2.gz GDOQNJUOBJKDJS-VIFPVBQESA-N -1 1 320.765 1.829 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000731854918 699538138 /nfs/dbraw/zinc/53/81/38/699538138.db2.gz LIMHDSJIQJICCW-ZDUSSCGKSA-N -1 1 301.350 1.537 20 0 DDADMM CC(C)Cc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000732092246 699545886 /nfs/dbraw/zinc/54/58/86/699545886.db2.gz WYMFQEVPBGGCQD-CQSZACIVSA-N -1 1 315.377 1.612 20 0 DDADMM O=C(Cc1cc2ccccc2o1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732090342 699546179 /nfs/dbraw/zinc/54/61/79/699546179.db2.gz HPDJHXKVOQOSGQ-ZDUSSCGKSA-N -1 1 313.317 1.089 20 0 DDADMM CC(C)CNC(=O)C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000732266934 699552252 /nfs/dbraw/zinc/55/22/52/699552252.db2.gz QQFUKWCFXSJVNO-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000732834281 699572666 /nfs/dbraw/zinc/57/26/66/699572666.db2.gz PEXBKRSUTHQBLS-SNVBAGLBSA-N -1 1 317.267 1.022 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N(CC1CC1)C1CCCCC1 ZINC000733146314 699580820 /nfs/dbraw/zinc/58/08/20/699580820.db2.gz IZTFWZNRFZTXFG-UHFFFAOYSA-N -1 1 319.405 1.498 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)COc3ccccc3F)ccnc1-2 ZINC000791166839 699613681 /nfs/dbraw/zinc/61/36/81/699613681.db2.gz KVTXUXRBYBWZII-UHFFFAOYSA-N -1 1 300.293 1.498 20 0 DDADMM Cc1cccc2[nH]cc(CC(=O)N3CCC(c4nn[n-]n4)CC3)c21 ZINC000737409049 699739693 /nfs/dbraw/zinc/73/96/93/699739693.db2.gz PTFDJZWSGVQMDL-UHFFFAOYSA-N -1 1 324.388 1.938 20 0 DDADMM O=C([C@@H]1Cc2cc(F)ccc2O1)N1CCC(c2nn[n-]n2)CC1 ZINC000737791961 699744954 /nfs/dbraw/zinc/74/49/54/699744954.db2.gz LPUZLDBNADANAJ-ZDUSSCGKSA-N -1 1 317.324 1.049 20 0 DDADMM O=C(CCCc1cccs1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737834888 699746018 /nfs/dbraw/zinc/74/60/18/699746018.db2.gz ABNOUCCHEBGHDQ-LLVKDONJSA-N -1 1 307.379 1.184 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1cccnc1Cl)C(F)(F)F ZINC000738376192 699758113 /nfs/dbraw/zinc/75/81/13/699758113.db2.gz HMAKDUHVMZGCOO-ZETCQYMHSA-N -1 1 318.704 1.591 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H](O)CN1CCCc1nc(-c2ccccc2)no1 ZINC000740057129 699785494 /nfs/dbraw/zinc/78/54/94/699785494.db2.gz POQFGJRCFRCBKZ-OLZOCXBDSA-N -1 1 317.345 1.189 20 0 DDADMM CSCCO[N-]C(=O)[C@@H](C(C)C)N1C[C@@H](C)O[C@@H](C)C1 ZINC000794191536 699796782 /nfs/dbraw/zinc/79/67/82/699796782.db2.gz VXADRGUAVFOZQK-FRRDWIJNSA-N -1 1 304.456 1.531 20 0 DDADMM COC(=O)[C@]12CN(C(=O)c3ccc([O-])c(F)c3)C[C@H]1COCC2 ZINC000794248995 699799135 /nfs/dbraw/zinc/79/91/35/699799135.db2.gz XLDSWDYLCVUXDF-MEDUHNTESA-N -1 1 323.320 1.183 20 0 DDADMM COC(=O)[C@H](NCc1cc(=O)oc2cc([O-])ccc12)C1CC1 ZINC000794569574 699816983 /nfs/dbraw/zinc/81/69/83/699816983.db2.gz XLQXCUDBZVDTEB-OAHLLOKOSA-N -1 1 303.314 1.540 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)OCCCF)o1 ZINC000741446153 699840344 /nfs/dbraw/zinc/84/03/44/699840344.db2.gz UTBKQMWUYPNBFM-UHFFFAOYSA-N -1 1 307.343 1.873 20 0 DDADMM O=C(COC(=O)C1CCC(O)CC1)[N-]C(=O)c1ccccc1 ZINC000795645080 699881035 /nfs/dbraw/zinc/88/10/35/699881035.db2.gz RGRGSLZWVQSOLG-UHFFFAOYSA-N -1 1 305.330 1.037 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C(N)=O)c2ccc(F)cc2)[n-]1 ZINC000796344517 699927958 /nfs/dbraw/zinc/92/79/58/699927958.db2.gz IOANTWQYLCZOCP-GFCCVEGCSA-N -1 1 320.276 1.324 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)Nc2cccc(F)c2)[n-]1 ZINC000796347661 699928447 /nfs/dbraw/zinc/92/84/47/699928447.db2.gz UTUKFFJIJOOCQM-UHFFFAOYSA-N -1 1 320.276 1.736 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2ccoc2C(=O)OC)[n-]1 ZINC000796363089 699928888 /nfs/dbraw/zinc/92/88/88/699928888.db2.gz PJECLBTUMDIPNH-UHFFFAOYSA-N -1 1 307.258 1.538 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)Nc2ccccc2F)[n-]1 ZINC000796363506 699929412 /nfs/dbraw/zinc/92/94/12/699929412.db2.gz TUQXEVXAANYONS-UHFFFAOYSA-N -1 1 320.276 1.736 20 0 DDADMM CCC(C)(C)NC(=O)[C@H](C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796369650 699929817 /nfs/dbraw/zinc/92/98/17/699929817.db2.gz QGNNNEJGHZGLAL-VIFPVBQESA-N -1 1 310.350 1.651 20 0 DDADMM CC[C@@H]1OCC[C@H]1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000796460310 699934989 /nfs/dbraw/zinc/93/49/89/699934989.db2.gz YDTQTVBSVDGLRA-YPMHNXCESA-N -1 1 318.377 1.483 20 0 DDADMM CCOCCCOC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000744795323 699961378 /nfs/dbraw/zinc/96/13/78/699961378.db2.gz IVQLJUCJGXXCCO-UHFFFAOYSA-N -1 1 307.393 1.703 20 0 DDADMM COC(=O)CCCOC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801202846 700277587 /nfs/dbraw/zinc/27/75/87/700277587.db2.gz JHDIXPXSNXTWCX-UHFFFAOYSA-N -1 1 304.302 1.688 20 0 DDADMM Cn1cc([C@@H](O)C[N-]S(=O)(=O)c2cc3ccccc3o2)cn1 ZINC000751452766 700290708 /nfs/dbraw/zinc/29/07/08/700290708.db2.gz FPYPKYPWJDNMJW-LBPRGKRZSA-N -1 1 321.358 1.178 20 0 DDADMM O=C(OC[C@@H]1COC(=O)O1)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801325424 700297079 /nfs/dbraw/zinc/29/70/79/700297079.db2.gz RGGPTLQWEWBWPB-SNVBAGLBSA-N -1 1 322.248 1.409 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)OCCOCCO)n1 ZINC000801417117 700305623 /nfs/dbraw/zinc/30/56/23/700305623.db2.gz AUVYZSKDCYNLNB-UHFFFAOYSA-N -1 1 320.345 1.306 20 0 DDADMM COC(=O)[C@H](C)OC(=O)c1nn(-c2cccc(C)c2C)cc1[O-] ZINC000801418845 700305684 /nfs/dbraw/zinc/30/56/84/700305684.db2.gz UJPVMBZMICTYOE-NSHDSACASA-N -1 1 318.329 1.913 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801700403 700332017 /nfs/dbraw/zinc/33/20/17/700332017.db2.gz LDUQREUSMZTJDU-BXUZGUMPSA-N -1 1 302.378 1.876 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3Cc4ccccc4S3)ccnc1-2 ZINC000801702371 700332305 /nfs/dbraw/zinc/33/23/05/700332305.db2.gz CMSJIQRIYAIYGZ-AWEZNQCLSA-N -1 1 310.382 1.997 20 0 DDADMM CCCCC[C@@H]([N-]S(=O)(=O)Cc1cc(C)no1)C(=O)OC ZINC000751988947 700333775 /nfs/dbraw/zinc/33/37/75/700333775.db2.gz NDFMWBNHROIHIH-GFCCVEGCSA-N -1 1 318.395 1.524 20 0 DDADMM Cc1ccc2c(CC(=O)N3CCC[C@H](c4nn[n-]n4)C3)c[nH]c2c1 ZINC000752913181 700395468 /nfs/dbraw/zinc/39/54/68/700395468.db2.gz KPMKFDNIXWKITJ-LBPRGKRZSA-N -1 1 324.388 1.938 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)CCSC)c1 ZINC000754023270 700477299 /nfs/dbraw/zinc/47/72/99/700477299.db2.gz KYJHXAPZOYMVQI-MRVPVSSYSA-N -1 1 307.393 1.486 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)Cc2ccco2)co1 ZINC000755490911 700567660 /nfs/dbraw/zinc/56/76/60/700567660.db2.gz SXZMCBONGMVIGF-SECBINFHSA-N -1 1 312.347 1.142 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](CCOC)C(C)C)co1 ZINC000756571605 700629806 /nfs/dbraw/zinc/62/98/06/700629806.db2.gz HSZTWFBHVXZFPZ-LLVKDONJSA-N -1 1 319.379 1.406 20 0 DDADMM Cc1cc(=O)[nH]cc1[N-]S(=O)(=O)c1cn(C)c(Cl)n1 ZINC000759698520 700766584 /nfs/dbraw/zinc/76/65/84/700766584.db2.gz DYIICYQBVKDKIE-UHFFFAOYSA-N -1 1 302.743 1.283 20 0 DDADMM CN(CC(=O)NCc1ccco1)C(=O)c1c(F)ccc([O-])c1F ZINC000809538887 701667577 /nfs/dbraw/zinc/66/75/77/701667577.db2.gz VGKDRPJERMZPIU-UHFFFAOYSA-N -1 1 324.283 1.652 20 0 DDADMM C[C@@H](C[C@H]1CCOC1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000759793122 700773099 /nfs/dbraw/zinc/77/30/99/700773099.db2.gz MXSFOZXXDZKSOF-DTWKUNHWSA-N -1 1 307.803 1.167 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-]C[C@@H](OC)C(F)(F)F ZINC000760574803 700814828 /nfs/dbraw/zinc/81/48/28/700814828.db2.gz NYJAUSMWNDIATP-SSDOTTSWSA-N -1 1 319.326 1.612 20 0 DDADMM COc1cc(C(=O)NCC(=O)OC(C)C)cc(Cl)c1[O-] ZINC000763249478 700931326 /nfs/dbraw/zinc/93/13/26/700931326.db2.gz CCHTVMKHIAUMMA-UHFFFAOYSA-N -1 1 301.726 1.736 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cc2occc2[nH]1 ZINC000763977746 700955831 /nfs/dbraw/zinc/95/58/31/700955831.db2.gz PYGXWCKWXMVSJJ-CYBMUJFWSA-N -1 1 308.301 1.793 20 0 DDADMM Cn1[n-]c(COC(=O)COc2ccc3ccccc3c2)nc1=O ZINC000765386887 701009214 /nfs/dbraw/zinc/00/92/14/701009214.db2.gz QTJAPMDHLRQPFM-UHFFFAOYSA-N -1 1 313.313 1.384 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(OC3CCC3)cc2)nc1=O ZINC000765486759 701015553 /nfs/dbraw/zinc/01/55/53/701015553.db2.gz NGJTWBNTWCQDBV-UHFFFAOYSA-N -1 1 303.318 1.397 20 0 DDADMM O=C(C=Cc1ccc2[nH]ccc2c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000765790588 701027306 /nfs/dbraw/zinc/02/73/06/701027306.db2.gz OIWOADPCFMQOBZ-XBPVQUQDSA-N -1 1 324.344 1.294 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)[N-]C(=O)C(F)(F)C1(O)CCC1 ZINC000765842466 701028813 /nfs/dbraw/zinc/02/88/13/701028813.db2.gz WLDPAWQCWIUEGB-ZCFIWIBFSA-N -1 1 319.226 1.147 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1c(F)cccc1Cl)NC1CC1 ZINC000766470241 701052422 /nfs/dbraw/zinc/05/24/22/701052422.db2.gz REBPHLBPJORXLA-UHFFFAOYSA-N -1 1 306.746 1.036 20 0 DDADMM CC[S@](=O)CC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000766503191 701054244 /nfs/dbraw/zinc/05/42/44/701054244.db2.gz VJVMEYIZJOJINY-KRWDZBQOSA-N -1 1 313.803 1.526 20 0 DDADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1cccc(F)c1F ZINC000766862898 701066615 /nfs/dbraw/zinc/06/66/15/701066615.db2.gz UEEQPXQSINKKOD-VIFPVBQESA-N -1 1 323.299 1.082 20 0 DDADMM O=C(Nc1nncn1C1CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000803517780 701124937 /nfs/dbraw/zinc/12/49/37/701124937.db2.gz WEVHOGWWKIZBTI-UHFFFAOYSA-N -1 1 301.335 1.197 20 0 DDADMM CCC1N=NC(=S)N1CCNC(=O)c1ccc([O-])c(F)c1 ZINC000768182742 701162671 /nfs/dbraw/zinc/16/26/71/701162671.db2.gz BYHULSLYXRCDBC-UHFFFAOYSA-N -1 1 310.354 1.404 20 0 DDADMM O=C(N[C@@H](CO)C[C@H](O)c1ccccc1)c1ccc([O-])c(F)c1 ZINC000768321320 701168901 /nfs/dbraw/zinc/16/89/01/701168901.db2.gz QOOUDRBGNDGUOX-CJNGLKHVSA-N -1 1 319.332 1.746 20 0 DDADMM CCC[C@H](C)[C@@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000768346208 701170506 /nfs/dbraw/zinc/17/05/06/701170506.db2.gz PYKYNTCZBPOAGO-STQMWFEESA-N -1 1 307.394 1.354 20 0 DDADMM CC[C@@H]([N-]C(=O)C(F)(F)C(=O)N[C@H](CC)C(F)F)C(F)F ZINC000866002924 706592227 /nfs/dbraw/zinc/59/22/27/706592227.db2.gz KNPUVTZSPDBEOH-PHDIDXHHSA-N -1 1 322.249 1.942 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2c(F)cccc2F)C1 ZINC000770773525 701294919 /nfs/dbraw/zinc/29/49/19/701294919.db2.gz UYPJSIVQSYXGHE-SECBINFHSA-N -1 1 314.288 1.012 20 0 DDADMM C[C@H]1OCC[C@@H]1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000771081127 701308842 /nfs/dbraw/zinc/30/88/42/701308842.db2.gz JYPLGFDZLHOHAC-SKDRFNHKSA-N -1 1 304.298 1.967 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC[S@](=O)C(C)(C)C)sc1C ZINC000771951759 701338054 /nfs/dbraw/zinc/33/80/54/701338054.db2.gz GXOJFGJTEQOWAJ-SFHVURJKSA-N -1 1 324.493 1.585 20 0 DDADMM COC(=O)C(C)(C)C(=O)[C@H](C)OC(=O)c1ccc([O-])cc1F ZINC000805522467 701389601 /nfs/dbraw/zinc/38/96/01/701389601.db2.gz AHYYRNGYSRHBNO-QMMMGPOBSA-N -1 1 312.293 1.845 20 0 DDADMM CCc1ccc([C@@H](C)NC(=O)[C@H](C)OC(=O)c2cn[n-]n2)cc1 ZINC000805604327 701398154 /nfs/dbraw/zinc/39/81/54/701398154.db2.gz FBHDOOUWWPUUFL-MNOVXSKESA-N -1 1 316.361 1.790 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2csc(C)c2)co1 ZINC000806024179 701421448 /nfs/dbraw/zinc/42/14/48/701421448.db2.gz AMNVYHAKGICEBF-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM CC[C@@H](C[C@@H](C)CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806487804 701437553 /nfs/dbraw/zinc/43/75/53/701437553.db2.gz DOSATZHJLARRAA-MNOVXSKESA-N -1 1 305.382 1.260 20 0 DDADMM Cc1nc(-c2ccc(NCc3cnnn3C)nc2)[n-]c(=O)c1C ZINC000808115527 701499009 /nfs/dbraw/zinc/49/90/09/701499009.db2.gz HORQPPZKILJQAP-UHFFFAOYSA-N -1 1 311.349 1.602 20 0 DDADMM CCC(CC)(C[N-]S(=O)(=O)c1nncn1C)C(F)(F)F ZINC000867729878 701718347 /nfs/dbraw/zinc/71/83/47/701718347.db2.gz GFFIZBXHVQPZIO-UHFFFAOYSA-N -1 1 314.333 1.462 20 0 DDADMM CC1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000867896221 701815196 /nfs/dbraw/zinc/81/51/96/701815196.db2.gz KQESRKOFKIELCA-UHFFFAOYSA-N -1 1 306.366 1.196 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2CCCn3nccc32)c1Cl ZINC000867901031 701817442 /nfs/dbraw/zinc/81/74/42/701817442.db2.gz CVWDLWFVZGTSAF-QMMMGPOBSA-N -1 1 315.786 1.083 20 0 DDADMM O=S(=O)([N-][C@H]1CCCn2nccc21)c1ccc(F)nc1F ZINC000867902190 701818386 /nfs/dbraw/zinc/81/83/86/701818386.db2.gz RMLUTSCWUQPLDM-QMMMGPOBSA-N -1 1 314.317 1.370 20 0 DDADMM C[C@@H]1CCCC[C@@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000867968158 701861216 /nfs/dbraw/zinc/86/12/16/701861216.db2.gz ABISYKWYFDGVEH-PWSUYJOCSA-N -1 1 320.393 1.633 20 0 DDADMM CC[C@H](C)CO[N-]C(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000815713721 701919161 /nfs/dbraw/zinc/91/91/61/701919161.db2.gz BUNWLIQNJWCMMP-NSHDSACASA-N -1 1 317.349 1.300 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2c[nH]nc2Cl)s1 ZINC000831101818 706634784 /nfs/dbraw/zinc/63/47/84/706634784.db2.gz MGSWDQIFPSDBGQ-SCSAIBSYSA-N -1 1 307.788 1.263 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H]2CCC(C)(C)C2=O)co1 ZINC000840367842 702086287 /nfs/dbraw/zinc/08/62/87/702086287.db2.gz APYXBOPMNKUXRS-VIFPVBQESA-N -1 1 315.347 1.102 20 0 DDADMM O=C([N-]CC1CN(C(=O)[C@H]2Cc3ccccc32)C1)C(F)(F)F ZINC000831144858 706643883 /nfs/dbraw/zinc/64/38/83/706643883.db2.gz NEFLHVPAAHEMFL-LBPRGKRZSA-N -1 1 312.291 1.463 20 0 DDADMM O=C(CCN1C(=O)[C@@H]2CCCC[C@H]2C1=O)[N-]Oc1ccccc1 ZINC000816684095 702149272 /nfs/dbraw/zinc/14/92/72/702149272.db2.gz MIGAEOHTCCDRDC-ZIAGYGMSSA-N -1 1 316.357 1.662 20 0 DDADMM O=C(/C=C\c1ccsc1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831159014 706646350 /nfs/dbraw/zinc/64/63/50/706646350.db2.gz UBURPRIATOPSBP-UPHRSURJSA-N -1 1 318.320 1.898 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000812402017 702176286 /nfs/dbraw/zinc/17/62/86/702176286.db2.gz PRWFBMNEWIOFBF-KJTBDMATSA-N -1 1 312.728 1.979 20 0 DDADMM O=C([N-]CCC[S@@](=O)c1ccc2n[nH]cc2c1)C(F)(F)F ZINC000840881098 702270426 /nfs/dbraw/zinc/27/04/26/702270426.db2.gz NRJHELBNLTUMDC-OAQYLSRUSA-N -1 1 319.308 1.739 20 0 DDADMM O=C([N-]OC1CCCCC1)C(=O)NCc1ccc2cncn2c1 ZINC000817849691 702501263 /nfs/dbraw/zinc/50/12/63/702501263.db2.gz OGJZLGJXFLZQBO-UHFFFAOYSA-N -1 1 316.361 1.331 20 0 DDADMM C[C@H]1CCC[C@H]1CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869475639 702618691 /nfs/dbraw/zinc/61/86/91/702618691.db2.gz TWPATXHDUCBHPE-UWVGGRQHSA-N -1 1 323.311 1.994 20 0 DDADMM COc1ccccc1CNC(=O)CO[N-]C(=O)CC(C)(C)C ZINC000842060525 702665198 /nfs/dbraw/zinc/66/51/98/702665198.db2.gz MKBWXQLMQKLEMK-UHFFFAOYSA-N -1 1 308.378 1.795 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCc2cccc(C)n2)co1 ZINC000842841089 702782710 /nfs/dbraw/zinc/78/27/10/702782710.db2.gz LUNXNOADAXHFJA-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM CCC[C@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C(C)C ZINC000843016965 702804263 /nfs/dbraw/zinc/80/42/63/702804263.db2.gz WLROYIVBOVNXON-ZDUSSCGKSA-N -1 1 318.483 1.989 20 0 DDADMM C[C@@H]1CCC[C@@H](C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843018315 702804300 /nfs/dbraw/zinc/80/43/00/702804300.db2.gz MTLGVGNKXHWHMU-CHWSQXEVSA-N -1 1 316.467 1.743 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C(C2CC2)C2CC2)c1C(F)(F)F ZINC000866449715 706701534 /nfs/dbraw/zinc/70/15/34/706701534.db2.gz ZZLAVNQUTFNSQB-UHFFFAOYSA-N -1 1 323.340 1.906 20 0 DDADMM C[C@H]1CCn2ncc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)c2C1 ZINC000843587318 702905581 /nfs/dbraw/zinc/90/55/81/702905581.db2.gz LIPQIGPXNFEVPY-JTQLQIEISA-N -1 1 310.361 1.373 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-]c1c[nH]c(=O)c(C)c1 ZINC000844659981 703052252 /nfs/dbraw/zinc/05/22/52/703052252.db2.gz ZTOGRTKXWLUZSH-LLVKDONJSA-N -1 1 316.379 1.569 20 0 DDADMM COc1ccc(F)cc1[C@@H](C)[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866530185 706723237 /nfs/dbraw/zinc/72/32/37/706723237.db2.gz YGCRDBSCSWQDPP-MRVPVSSYSA-N -1 1 324.399 1.457 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@@]1(C)C[C@@]1(F)Cl ZINC000845973697 703221981 /nfs/dbraw/zinc/22/19/81/703221981.db2.gz BYDRRZGVMVAQIS-UWVGGRQHSA-N -1 1 311.766 1.548 20 0 DDADMM CC(C)CCOc1ccccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000847207673 703388022 /nfs/dbraw/zinc/38/80/22/703388022.db2.gz ZYHXKVUWFJOACD-UHFFFAOYSA-N -1 1 320.349 1.700 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C[C@H]1CCCO1 ZINC000848338703 703540466 /nfs/dbraw/zinc/54/04/66/703540466.db2.gz RUKKOFDMTQORJD-GMTAPVOTSA-N -1 1 322.327 1.614 20 0 DDADMM CS(=O)(=O)CCSCCC(=O)c1ccc([O-])cc1O ZINC000848432211 703550043 /nfs/dbraw/zinc/55/00/43/703550043.db2.gz VTMILMGCFOBUFX-UHFFFAOYSA-N -1 1 304.389 1.448 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]C[C@]1(C)CC1(F)F ZINC000849460644 703650920 /nfs/dbraw/zinc/65/09/20/703650920.db2.gz OONKMURPACQGAP-VIFPVBQESA-N -1 1 313.757 1.706 20 0 DDADMM CCc1c[nH]c(=O)c(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000850244987 703716915 /nfs/dbraw/zinc/71/69/15/703716915.db2.gz LEVYAIRHYFQZJP-UHFFFAOYSA-N -1 1 320.267 1.575 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CCC2(F)F)co1 ZINC000851317240 703792026 /nfs/dbraw/zinc/79/20/26/703792026.db2.gz GOXBFJVTYYXDQW-MRVPVSSYSA-N -1 1 309.290 1.390 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCc2ccc(F)cc21 ZINC000866746650 706785480 /nfs/dbraw/zinc/78/54/80/706785480.db2.gz HGNAEYWRFMKUFN-BLVKFPJESA-N -1 1 320.411 1.765 20 0 DDADMM CCC(C)(C)CS(=O)(=O)[N-]C(=O)c1ccc2cncn2c1 ZINC000870661229 704097826 /nfs/dbraw/zinc/09/78/26/704097826.db2.gz QPLZGHQUYQNMTL-UHFFFAOYSA-N -1 1 309.391 1.830 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1CC(=O)Nc1ccc(Cl)nc1 ZINC000852730892 704108454 /nfs/dbraw/zinc/10/84/54/704108454.db2.gz WFOHIAMAOHACBW-NXEZZACHSA-N -1 1 311.769 1.859 20 0 DDADMM O=C(c1ccc2cccnn21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000820067288 704215015 /nfs/dbraw/zinc/21/50/15/704215015.db2.gz AKXDXOJWVDOHSY-UHFFFAOYSA-N -1 1 313.317 1.443 20 0 DDADMM COC(=O)C1=NO[C@]2(CCN(C(=O)c3ccc([O-])c(F)c3)C2)C1 ZINC000871382732 704279935 /nfs/dbraw/zinc/27/99/35/704279935.db2.gz CPDWFURCYPJYAT-OAHLLOKOSA-N -1 1 322.292 1.065 20 0 DDADMM O=C(NCC[C@@H]1CNC(=O)C1)c1ccc2ccc(O)cc2c1[O-] ZINC000831929505 706805370 /nfs/dbraw/zinc/80/53/70/706805370.db2.gz JIDITGQVSJLJDH-JTQLQIEISA-N -1 1 314.341 1.507 20 0 DDADMM CC[C@H](OC1CCCC1)C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000820939077 704348763 /nfs/dbraw/zinc/34/87/63/704348763.db2.gz PVCLPOXJJCKULG-VIFPVBQESA-N -1 1 322.394 2.000 20 0 DDADMM CC[C@H](OC1CCCC1)C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000820939077 704348766 /nfs/dbraw/zinc/34/87/66/704348766.db2.gz PVCLPOXJJCKULG-VIFPVBQESA-N -1 1 322.394 2.000 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@@H]2CCOC2)c1 ZINC000821260781 704384605 /nfs/dbraw/zinc/38/46/05/704384605.db2.gz XSVYFCYTHSSXRY-LAJNKCICSA-N -1 1 312.387 1.773 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@H]2CC=CCC2)c1C(F)(F)F ZINC000866814635 706812144 /nfs/dbraw/zinc/81/21/44/706812144.db2.gz SKTAQYPOHSRNAF-QMMMGPOBSA-N -1 1 309.313 1.826 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H]1c1ccc(F)cc1 ZINC000855565087 704491596 /nfs/dbraw/zinc/49/15/96/704491596.db2.gz CZNBESLJENRTQA-ZDUSSCGKSA-N -1 1 317.320 1.039 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1C[C@H](O)C12CCC2 ZINC000855925661 704507151 /nfs/dbraw/zinc/50/71/51/704507151.db2.gz RFYKVJIXSFOYCP-QWRGUYRKSA-N -1 1 305.378 1.647 20 0 DDADMM O=C([N-]OCCN1CCCC1=O)c1coc(=O)c2ccccc12 ZINC000856045134 704511811 /nfs/dbraw/zinc/51/18/11/704511811.db2.gz KUCMQFNCGOWADV-UHFFFAOYSA-N -1 1 316.313 1.077 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2CC23CC3)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856414104 704527922 /nfs/dbraw/zinc/52/79/22/704527922.db2.gz CQZMSOQJZQGKMF-UTLUCORTSA-N -1 1 304.312 1.702 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2CCSC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418066 704528138 /nfs/dbraw/zinc/52/81/38/704528138.db2.gz SOZVJUBAJAKIQR-UTLUCORTSA-N -1 1 324.368 1.655 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)[N-]O[C@@H](CO)C(C)C)c2=O ZINC000857287383 704574662 /nfs/dbraw/zinc/57/46/62/704574662.db2.gz PSBRDCODDRGRJL-AWEZNQCLSA-N -1 1 320.345 1.215 20 0 DDADMM CCn1ncn([N-]C(=O)c2cc(Cl)c(F)cc2Cl)c1=O ZINC000857511689 704596915 /nfs/dbraw/zinc/59/69/15/704596915.db2.gz ZRNKBEZKTUHRMK-UHFFFAOYSA-N -1 1 319.123 1.895 20 0 DDADMM CC(C)c1nn(C)cc1-c1cnc2[n-]c(S(C)(=O)=O)nc2c1 ZINC000857832876 704630947 /nfs/dbraw/zinc/63/09/47/704630947.db2.gz GXCNVSWZWQHYRM-UHFFFAOYSA-N -1 1 319.390 1.885 20 0 DDADMM CC(C)c1nn(C)cc1-c1c[n-]c2nc(S(C)(=O)=O)nc-2c1 ZINC000857832876 704630949 /nfs/dbraw/zinc/63/09/49/704630949.db2.gz GXCNVSWZWQHYRM-UHFFFAOYSA-N -1 1 319.390 1.885 20 0 DDADMM O=S(=O)([N-]CC1OCCCO1)c1cc(Cl)ccc1F ZINC000867160334 706912462 /nfs/dbraw/zinc/91/24/62/706912462.db2.gz BIXHEDJTVFFLOX-UHFFFAOYSA-N -1 1 309.746 1.520 20 0 DDADMM CCO[N-]C(=O)CNCc1cc(OCC)c(OC)cc1F ZINC000858183332 704675118 /nfs/dbraw/zinc/67/51/18/704675118.db2.gz CDCMVQVPPWWUGU-UHFFFAOYSA-N -1 1 300.330 1.390 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1C[C@H](C)O[C@@H]1C ZINC000867404571 706989904 /nfs/dbraw/zinc/98/99/04/706989904.db2.gz RIBCRBZNWJGTSP-AXFHLTTASA-N -1 1 312.457 1.142 20 0 DDADMM CCOC(=O)[C@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)C(C)C ZINC000874147814 704952443 /nfs/dbraw/zinc/95/24/43/704952443.db2.gz NKVGDJUXCOEALS-LURJTMIESA-N -1 1 308.260 1.597 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]C1(c2ccccc2F)CCC1 ZINC000867449080 707004590 /nfs/dbraw/zinc/00/45/90/707004590.db2.gz OEMCWXVJSLJSLD-UHFFFAOYSA-N -1 1 320.411 1.767 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1cccnc1C ZINC000867562531 707040664 /nfs/dbraw/zinc/04/06/64/707040664.db2.gz YSIARLQBVDMRHD-UHFFFAOYSA-N -1 1 305.425 1.232 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2c(C=O)c[nH]c2c1)c1nn[n-]n1 ZINC000859945221 705049127 /nfs/dbraw/zinc/04/91/27/705049127.db2.gz NOBKQEYYENOSML-GFCCVEGCSA-N -1 1 312.333 1.765 20 0 DDADMM COC(=O)CC[C@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000874530834 705096018 /nfs/dbraw/zinc/09/60/18/705096018.db2.gz QWCSEZUNAHTYCS-GFCCVEGCSA-N -1 1 319.357 1.767 20 0 DDADMM O=C(C(=O)N1CCO[C@@H](C(F)(F)F)CC1)c1ccc([O-])cc1 ZINC000874544620 705100985 /nfs/dbraw/zinc/10/09/85/705100985.db2.gz PLLDFFGQBRLQTF-LLVKDONJSA-N -1 1 317.263 1.755 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CC(C)(C)CC[C@H]2O)sc1C ZINC000867616616 707055544 /nfs/dbraw/zinc/05/55/44/707055544.db2.gz YQSPIGQHHGXVQQ-GHMZBOCLSA-N -1 1 318.464 1.978 20 0 DDADMM CO[C@H]1C[C@H](OC(=O)CCc2c(C)nc(SC)[n-]c2=O)C1 ZINC000861300766 705424264 /nfs/dbraw/zinc/42/42/64/705424264.db2.gz NSINRWYYUAYAKP-MGCOHNPYSA-N -1 1 312.391 1.866 20 0 DDADMM C[C@@H]1CCC[C@H]1CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875556972 705428825 /nfs/dbraw/zinc/42/88/25/705428825.db2.gz OKNAQAWSKFYOHC-KOLCDFICSA-N -1 1 321.343 1.743 20 0 DDADMM CCn1nncc1C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000824844443 705541024 /nfs/dbraw/zinc/54/10/24/705541024.db2.gz BQBRZTCGXIQAOR-UHFFFAOYSA-N -1 1 316.258 1.984 20 0 DDADMM CCCN(CC(C)C)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825307662 705643083 /nfs/dbraw/zinc/64/30/83/705643083.db2.gz KGTUDYHFVOKUFK-UHFFFAOYSA-N -1 1 306.370 1.600 20 0 DDADMM CCCN(CC(C)C)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825307662 705643084 /nfs/dbraw/zinc/64/30/84/705643084.db2.gz KGTUDYHFVOKUFK-UHFFFAOYSA-N -1 1 306.370 1.600 20 0 DDADMM CCC(CC)N(CC)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876300895 705683402 /nfs/dbraw/zinc/68/34/02/705683402.db2.gz KTBYUPWRDJJQKU-UHFFFAOYSA-N -1 1 322.409 1.975 20 0 DDADMM O=C(NCCOC1CCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000825504195 705683386 /nfs/dbraw/zinc/68/33/86/705683386.db2.gz SPEYOXIBZOCPMA-UHFFFAOYSA-N -1 1 306.391 1.707 20 0 DDADMM CCOC(=O)c1cnn(CCC2CCCC2)c1-c1nn[n-]n1 ZINC000825570402 705694776 /nfs/dbraw/zinc/69/47/76/705694776.db2.gz JTRJKVASFDASGN-UHFFFAOYSA-N -1 1 304.354 1.820 20 0 DDADMM CCON(CC)C(=O)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000862625546 705757360 /nfs/dbraw/zinc/75/73/60/705757360.db2.gz LOQOXMZOAMRGBS-UHFFFAOYSA-N -1 1 317.345 1.470 20 0 DDADMM Cc1onc(CC(=O)N(CC2CC2)C(C)C)c1-c1nnn[n-]1 ZINC000826343640 705792896 /nfs/dbraw/zinc/79/28/96/705792896.db2.gz ISWCLESLTYRAAU-UHFFFAOYSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N(CC2CC2)C(C)C)c1-c1nn[n-]n1 ZINC000826343640 705792898 /nfs/dbraw/zinc/79/28/98/705792898.db2.gz ISWCLESLTYRAAU-UHFFFAOYSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)CC[C@H]2C)c1-c1nnn[n-]1 ZINC000826343662 705792933 /nfs/dbraw/zinc/79/29/33/705792933.db2.gz JJJOOFIYVCGZDQ-RKDXNWHRSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)CC[C@H]2C)c1-c1nn[n-]n1 ZINC000826343662 705792935 /nfs/dbraw/zinc/79/29/35/705792935.db2.gz JJJOOFIYVCGZDQ-RKDXNWHRSA-N -1 1 304.354 1.353 20 0 DDADMM c1cc(C[C@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)ccn1 ZINC000826514965 705807658 /nfs/dbraw/zinc/80/76/58/705807658.db2.gz IVFUSGRGQMCWHC-GFCCVEGCSA-N -1 1 308.349 1.121 20 0 DDADMM c1cc(C[C@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)ccn1 ZINC000826514965 705807660 /nfs/dbraw/zinc/80/76/60/705807660.db2.gz IVFUSGRGQMCWHC-GFCCVEGCSA-N -1 1 308.349 1.121 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N[C@H]3C[C@H](O)C3)c2)o1 ZINC000826659896 705837017 /nfs/dbraw/zinc/83/70/17/705837017.db2.gz NXTSKTZQKGSJER-XYPYZODXSA-N -1 1 301.298 1.718 20 0 DDADMM O=C(CC[C@H]1CC1(Cl)Cl)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000826834029 705881044 /nfs/dbraw/zinc/88/10/44/705881044.db2.gz LJHOHQLBPCYAPF-JGVFFNPUSA-N -1 1 320.180 1.074 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@]1(C)CC=CCC1 ZINC000835294963 707215985 /nfs/dbraw/zinc/21/59/85/707215985.db2.gz ZDKQRIHHOVDHLG-INIZCTEOSA-N -1 1 316.361 1.558 20 0 DDADMM Cc1ccccc1[C@@H](C)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000827960416 706094408 /nfs/dbraw/zinc/09/44/08/706094408.db2.gz GHJFEUNDAYAVRE-YPMHNXCESA-N -1 1 301.350 1.212 20 0 DDADMM CC[C@H](C(=O)Nc1ccc(C(=O)NCCC(=O)[O-])cc1)N(C)C ZINC000828585962 706203345 /nfs/dbraw/zinc/20/33/45/706203345.db2.gz BHLQCAKHTGQKDZ-CYBMUJFWSA-N -1 1 321.377 1.170 20 0 DDADMM CCO[C@@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)[C@H]1CCOC1 ZINC000864975318 706317187 /nfs/dbraw/zinc/31/71/87/706317187.db2.gz SGJZPGDXNQBPMT-NKWVEPMBSA-N -1 1 308.260 1.204 20 0 DDADMM CCO[C@H]1C[C@@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)C1 ZINC000872494417 707445404 /nfs/dbraw/zinc/44/54/04/707445404.db2.gz CJUBRJLYLIRURC-VOQFUICPSA-N -1 1 312.457 1.285 20 0 DDADMM CC(=O)CN1c2ccccc2C[C@H]1C[N-]C(=O)C(F)(F)F ZINC000878722538 706481429 /nfs/dbraw/zinc/48/14/29/706481429.db2.gz HFVOBIPQYYXWGP-NSHDSACASA-N -1 1 300.280 1.685 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H](Cc1cccnc1)C1CCCC1 ZINC000865709100 706502014 /nfs/dbraw/zinc/50/20/14/706502014.db2.gz AEZWNTFKLFKABY-CYBMUJFWSA-N -1 1 300.366 1.260 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2sccc2Cl)CCCC1 ZINC000830552596 706535270 /nfs/dbraw/zinc/53/52/70/706535270.db2.gz BSIDVYLWMIPAGT-UHFFFAOYSA-N -1 1 308.812 1.478 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)[C@H]2CCO[C@H]21 ZINC000830663409 706556651 /nfs/dbraw/zinc/55/66/51/706556651.db2.gz FMLNJLDZUSSTOB-FTLITQJKSA-N -1 1 305.787 1.155 20 0 DDADMM Cn1cc([C@@H](O)C[N-]S(=O)(=O)c2sccc2Cl)cn1 ZINC000830691540 706563200 /nfs/dbraw/zinc/56/32/00/706563200.db2.gz XIFJHIHLIXHTCL-VIFPVBQESA-N -1 1 321.811 1.147 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCC(=O)C2)C1 ZINC000830795988 706581478 /nfs/dbraw/zinc/58/14/78/706581478.db2.gz UCLUXPQMJDOWCN-PELKAZGASA-N -1 1 306.284 1.025 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@]2(C)CCCO2)C1 ZINC000830815401 706584699 /nfs/dbraw/zinc/58/46/99/706584699.db2.gz AQCMGPAZAFLTDO-RYUDHWBXSA-N -1 1 308.300 1.225 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCCCO2)C1 ZINC000830816874 706585844 /nfs/dbraw/zinc/58/58/44/706585844.db2.gz UVTLRPOETKREEE-CABZTGNLSA-N -1 1 308.300 1.225 20 0 DDADMM Cc1ccc(N)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000832054838 706833863 /nfs/dbraw/zinc/83/38/63/706833863.db2.gz SKVQSNHRAYVPJS-SNVBAGLBSA-N -1 1 302.334 1.686 20 0 DDADMM CC1(O)CCC([N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000866923060 706846029 /nfs/dbraw/zinc/84/60/29/706846029.db2.gz HAWWYJPXFWVYIL-UHFFFAOYSA-N -1 1 306.334 1.332 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1CCC[C@H]2OCC[C@H]21 ZINC000866963751 706857352 /nfs/dbraw/zinc/85/73/52/706857352.db2.gz WBRVYLHDFHDVLB-QJPTWQEYSA-N -1 1 322.452 1.040 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC1(C2CC2)CCC1 ZINC000867000105 706868574 /nfs/dbraw/zinc/86/85/74/706868574.db2.gz DHSCCUDWKRGFII-UHFFFAOYSA-N -1 1 308.469 1.909 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1Cl)[C@H](C)O ZINC000832309644 706888671 /nfs/dbraw/zinc/88/86/71/706888671.db2.gz JFJJPXDECQTDTD-BQBZGAKWSA-N -1 1 313.206 1.826 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2cnc(CC)s2)co1 ZINC000832478845 706922954 /nfs/dbraw/zinc/92/29/54/706922954.db2.gz SEVRWJOAESIFSK-UHFFFAOYSA-N -1 1 301.393 1.734 20 0 DDADMM COC(=O)CNc1cc(C)cc(C[N-]C(=O)C(F)(F)F)c1 ZINC000834663682 707100092 /nfs/dbraw/zinc/10/00/92/707100092.db2.gz JQOAKZDUALDCIJ-UHFFFAOYSA-N -1 1 304.268 1.758 20 0 DDADMM COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)c1c([O-])cccc1Cl ZINC000871564625 707121545 /nfs/dbraw/zinc/12/15/45/707121545.db2.gz DOXPZANXQYSKBS-PRHODGIISA-N -1 1 313.737 1.354 20 0 DDADMM CNc1nnc(CC(=O)NCc2ccc([O-])c(Cl)c2)s1 ZINC000834825034 707131252 /nfs/dbraw/zinc/13/12/52/707131252.db2.gz GQGNWIKJBNMWGR-UHFFFAOYSA-N -1 1 312.782 1.798 20 0 DDADMM Cn1nccc1COCC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834824877 707131383 /nfs/dbraw/zinc/13/13/83/707131383.db2.gz AKRUUBXBSWMFAR-UHFFFAOYSA-N -1 1 309.753 1.612 20 0 DDADMM Cc1nc(SCc2cn(C[C@H]3CCOC3)nn2)[n-]c(=O)c1C ZINC000871665188 707161025 /nfs/dbraw/zinc/16/10/25/707161025.db2.gz AHCMFQTWEGIRJL-LLVKDONJSA-N -1 1 321.406 1.719 20 0 DDADMM CC[C@H](C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)[C@@H](C)O ZINC000881446894 707260688 /nfs/dbraw/zinc/26/06/88/707260688.db2.gz QICOUOKKTZMZSQ-VDDIYKPWSA-N -1 1 310.316 1.063 20 0 DDADMM CC[C@](C)(COC(=O)c1coc(S(=O)(=O)[N-]C)c1)OC ZINC000835957745 707358609 /nfs/dbraw/zinc/35/86/09/707358609.db2.gz BXIXLDMPNLUVKT-GFCCVEGCSA-N -1 1 305.352 1.160 20 0 DDADMM COC(=O)[C@@H]1[C@H](CNC(=O)c2cc(Cl)ccc2[O-])C1(F)F ZINC000881819469 707379540 /nfs/dbraw/zinc/37/95/40/707379540.db2.gz UVVKQTUPUHRAOL-WPRPVWTQSA-N -1 1 319.691 1.830 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCCS1 ZINC000872461751 707425146 /nfs/dbraw/zinc/42/51/46/707425146.db2.gz WEJZNWNPGYINFK-VVVCHXIZSA-N -1 1 314.498 1.613 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@H]1CCCN1Cc1ccccn1 ZINC000836893440 707541085 /nfs/dbraw/zinc/54/10/85/707541085.db2.gz BASNNRGLQNWDNI-CABCVRRESA-N -1 1 307.394 1.111 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@H](C(C)C)N1C[C@@H](C)O[C@@H](C)C1 ZINC000836897715 707543480 /nfs/dbraw/zinc/54/34/80/707543480.db2.gz IJQLHXFWDAKIIM-BARDWOONSA-N -1 1 316.442 1.185 20 0 DDADMM CCc1nnc(SCC(=O)[N-]C(=O)c2ccc(OC)cc2)o1 ZINC000882332929 707587911 /nfs/dbraw/zinc/58/79/11/707587911.db2.gz LGGBVVYYHDXGPA-UHFFFAOYSA-N -1 1 321.358 1.689 20 0 DDADMM O=S(=O)(N[C@@H]1CCCN2CCCC[C@H]12)c1cccnc1F ZINC000882336713 707590286 /nfs/dbraw/zinc/59/02/86/707590286.db2.gz QTYUGKJRAKGXQQ-VXGBXAGGSA-N -1 1 313.398 1.516 20 0 DDADMM C[C@H](O)C1([N-]S(=O)(=O)N=[S@@](C)(=O)C2CCCCC2)CC1 ZINC000882415077 707629262 /nfs/dbraw/zinc/62/92/62/707629262.db2.gz ZANVVBVWYLXVRF-OVWNDWIMSA-N -1 1 324.468 1.165 20 0 DDADMM COC[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000882700995 707753099 /nfs/dbraw/zinc/75/30/99/707753099.db2.gz YJHWMNBIPUJHAN-OCAPTIKFSA-N -1 1 324.196 1.745 20 0 DDADMM CC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CC(F)(F)C1 ZINC000873439372 707852122 /nfs/dbraw/zinc/85/21/22/707852122.db2.gz FPVHNAPFGVOAFQ-UHFFFAOYSA-N -1 1 311.284 1.922 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CC[C@@](C)(C(=O)[O-])C3)n[nH]2)c1 ZINC000909300516 712985771 /nfs/dbraw/zinc/98/57/71/712985771.db2.gz PUFXXFHUUSYTLA-OAHLLOKOSA-N -1 1 302.334 1.352 20 0 DDADMM C[C@]1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCCCO1 ZINC000838443007 707920824 /nfs/dbraw/zinc/92/08/24/707920824.db2.gz QIKUMWUEWPSNRW-MRXNPFEDSA-N -1 1 305.330 1.445 20 0 DDADMM COc1ccc([C@H](NC(=O)[C@@H]2CCCCN2C)C(=O)[O-])cc1 ZINC000909328886 712992916 /nfs/dbraw/zinc/99/29/16/712992916.db2.gz MTFQLLLYDUERIB-KBPBESRZSA-N -1 1 306.362 1.421 20 0 DDADMM CCCCC[C@@H](NC(=O)c1ccc(CN(C)C)nc1)C(=O)[O-] ZINC000909347901 712997094 /nfs/dbraw/zinc/99/70/94/712997094.db2.gz PXLSVHFVNCHQDT-CQSZACIVSA-N -1 1 307.394 1.907 20 0 DDADMM CN1CCC[C@@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C1=O ZINC000896839166 708161199 /nfs/dbraw/zinc/16/11/99/708161199.db2.gz VUVPOPAVFMWLKY-CQSZACIVSA-N -1 1 314.341 1.602 20 0 DDADMM Cc1ccc(OCCNC(=O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000909397152 713007704 /nfs/dbraw/zinc/00/77/04/713007704.db2.gz YPVGJBGJIQAEMT-AWEZNQCLSA-N -1 1 320.389 1.287 20 0 DDADMM Cc1ccc(OCCNC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000909397152 713007707 /nfs/dbraw/zinc/00/77/07/713007707.db2.gz YPVGJBGJIQAEMT-AWEZNQCLSA-N -1 1 320.389 1.287 20 0 DDADMM O=C([O-])CCC1CCN(C(=O)c2c[nH]cc3ncnc2-3)CC1 ZINC000909438045 713018223 /nfs/dbraw/zinc/01/82/23/713018223.db2.gz QGHCHKHBHWVTNR-UHFFFAOYSA-N -1 1 302.334 1.675 20 0 DDADMM CC(C)(C)N1CC[C@@H]1C(=O)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000897677282 708416288 /nfs/dbraw/zinc/41/62/88/708416288.db2.gz LAJIDSKXQXYOFA-WDEREUQCSA-N -1 1 307.398 1.089 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@@H]2CCO[C@H]2C12CCC2)c1ccns1 ZINC000885074418 708463330 /nfs/dbraw/zinc/46/33/30/708463330.db2.gz PQQVRMKOQYQLFM-JMJZKYOTSA-N -1 1 300.405 1.379 20 0 DDADMM COc1ccc2c(c1)CC[C@H]([N-]S(=O)(=O)c1ccns1)C2 ZINC000885102569 708472973 /nfs/dbraw/zinc/47/29/73/708472973.db2.gz UOWAFDQUEFXKLA-LBPRGKRZSA-N -1 1 324.427 1.988 20 0 DDADMM CC[C@@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C(=O)NC ZINC000897936992 708498872 /nfs/dbraw/zinc/49/88/72/708498872.db2.gz CZQLWKAJCSSFGO-CYBMUJFWSA-N -1 1 302.330 1.505 20 0 DDADMM C[C@]1(CNC(=O)c2ccc3ccc(O)cc3c2[O-])CCC(=O)N1 ZINC000897942922 708501269 /nfs/dbraw/zinc/50/12/69/708501269.db2.gz QDPQCNQRIURPGU-QGZVFWFLSA-N -1 1 314.341 1.650 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC=Cc1ccccc1 ZINC000912546269 713033789 /nfs/dbraw/zinc/03/37/89/713033789.db2.gz CRPGTNDUEGYTNU-YVMONPNESA-N -1 1 321.358 1.188 20 0 DDADMM O=S(=O)([N-][C@@H]1COc2cc(F)ccc2C1)c1ccns1 ZINC000885299292 708514208 /nfs/dbraw/zinc/51/42/08/708514208.db2.gz ARFMTEFZXYTXNA-JTQLQIEISA-N -1 1 314.363 1.564 20 0 DDADMM COc1ccc(CC[N-]S(=O)(=O)c2ccns2)cc1O ZINC000885350548 708524826 /nfs/dbraw/zinc/52/48/26/708524826.db2.gz PRXZFGXHKRBOLY-UHFFFAOYSA-N -1 1 314.388 1.378 20 0 DDADMM O=C(N[C@@H](C1CC1)[C@H]1CCCOC1)c1cnc(C2CC2)[n-]c1=O ZINC000885494988 708559310 /nfs/dbraw/zinc/55/93/10/708559310.db2.gz VOTBDCCKECUJAX-JSGCOSHPSA-N -1 1 317.389 1.995 20 0 DDADMM O=C(NCCc1cccc2c1OCCO2)c1cncc([O-])c1 ZINC000885775202 708625284 /nfs/dbraw/zinc/62/52/84/708625284.db2.gz NSFDJBSVXWIKGP-UHFFFAOYSA-N -1 1 300.314 1.531 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@]1(O)CCSC1 ZINC000886266445 708732262 /nfs/dbraw/zinc/73/22/62/708732262.db2.gz MJVCBMKMQNEAMZ-CYBMUJFWSA-N -1 1 303.330 1.197 20 0 DDADMM CN1CC[C@](C)(C(=O)NCCc2c(F)cc([O-])cc2F)C1=O ZINC000886269775 708733265 /nfs/dbraw/zinc/73/32/65/708733265.db2.gz GXOZQTGDLFHHKP-OAHLLOKOSA-N -1 1 312.316 1.198 20 0 DDADMM C[C@@](O)(CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C(F)F ZINC000886310459 708740214 /nfs/dbraw/zinc/74/02/14/708740214.db2.gz LVKCWPFIVFTOEK-VHSXEESVSA-N -1 1 304.259 1.145 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]CC(F)(F)C(F)F ZINC000886403751 708754458 /nfs/dbraw/zinc/75/44/58/708754458.db2.gz POMWYOJBKSCSGO-UHFFFAOYSA-N -1 1 303.281 1.161 20 0 DDADMM CC(C)[C@](C)(CO)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927790058 713056853 /nfs/dbraw/zinc/05/68/53/713056853.db2.gz VUXGMCDBUNXUCK-HNNXBMFYSA-N -1 1 316.348 1.919 20 0 DDADMM CN(CC1(CO)CC1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927784958 713055594 /nfs/dbraw/zinc/05/55/94/713055594.db2.gz UEDQBSFYBFAGEN-UHFFFAOYSA-N -1 1 314.332 1.627 20 0 DDADMM C[C@@H](CC(=O)c1ccc(Cl)cc1)C(=O)N(C)c1nn[n-]n1 ZINC000912616944 713052462 /nfs/dbraw/zinc/05/24/62/713052462.db2.gz AAEWTAFDYVWWOR-QMMMGPOBSA-N -1 1 307.741 1.725 20 0 DDADMM C[C@@]1(CO)C[C@H](O)CN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000912652725 713061094 /nfs/dbraw/zinc/06/10/94/713061094.db2.gz BZBDYEPDFTWTPO-FVMDXXJSSA-N -1 1 320.320 1.037 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CCCN1c1ccccc1 ZINC000898755367 708855807 /nfs/dbraw/zinc/85/58/07/708855807.db2.gz QBEAXGBXVCXBFI-GFCCVEGCSA-N -1 1 314.345 1.173 20 0 DDADMM COC[C@@H]1COCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000886858847 708867433 /nfs/dbraw/zinc/86/74/33/708867433.db2.gz JNDATASNHXRYFD-SNVBAGLBSA-N -1 1 320.320 1.567 20 0 DDADMM COc1cc(C(=O)N2C[C@@H](O)C[C@]2(C)CO)cc(Cl)c1[O-] ZINC000912671968 713066152 /nfs/dbraw/zinc/06/61/52/713066152.db2.gz VKRPNLVSAMAOJB-LKFCYVNXSA-N -1 1 315.753 1.012 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc([O-])cc2F)CCS1(=O)=O ZINC000887179553 708982463 /nfs/dbraw/zinc/98/24/63/708982463.db2.gz IMXHWJAUYFRUOU-RKDXNWHRSA-N -1 1 301.339 1.227 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1c2ccccc2CC[C@H]1F ZINC000899229522 709027843 /nfs/dbraw/zinc/02/78/43/709027843.db2.gz XQFQLHOUMDYWIJ-IUODEOHRSA-N -1 1 303.341 1.664 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])C[C@H]1C(=O)NCc1ccc2cncn2c1 ZINC000899789608 709196646 /nfs/dbraw/zinc/19/66/46/709196646.db2.gz UJGMUEHPFVHJSP-XJKSGUPXSA-N -1 1 301.346 1.697 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@](O)(C[N-]C(=O)C(F)(F)F)C1 ZINC000888124957 709219529 /nfs/dbraw/zinc/21/95/29/709219529.db2.gz PYMJXTXTGAUNKM-NSHDSACASA-N -1 1 312.288 1.037 20 0 DDADMM CSCC[C@H](NC(=O)CC(F)(F)C(F)F)c1nn[n-]n1 ZINC000912860116 713109390 /nfs/dbraw/zinc/10/93/90/713109390.db2.gz NTKZROCEXPICNS-YFKPBYRVSA-N -1 1 315.296 1.401 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)[C@@H]2CCCc3n[nH]nc32)c2ccccc21 ZINC000909577498 709523323 /nfs/dbraw/zinc/52/33/23/709523323.db2.gz KMKNGMAPRYXSQC-GHMZBOCLSA-N -1 1 312.329 1.440 20 0 DDADMM CSCC[C@H](NC(=O)C1CC2(CC2(F)F)C1)c1nn[n-]n1 ZINC000912863114 713111483 /nfs/dbraw/zinc/11/14/83/713111483.db2.gz LPQJCWSHDQJOPQ-KAIZJQOQSA-N -1 1 317.365 1.546 20 0 DDADMM CCCN(C)CC(=O)Nc1ccc(C(=O)N[C@@H](C)C(=O)[O-])cc1 ZINC000909747510 709603903 /nfs/dbraw/zinc/60/39/03/709603903.db2.gz YZLCFBVFFMECOX-NSHDSACASA-N -1 1 321.377 1.170 20 0 DDADMM Cc1cc([C@@H](NC(=O)c2[nH]nc3c2CCC3)C(=O)[O-])ccc1F ZINC000909793303 709623178 /nfs/dbraw/zinc/62/31/78/709623178.db2.gz MSPDTPGAHFPKDD-CYBMUJFWSA-N -1 1 317.320 1.902 20 0 DDADMM COc1ccc([C@H](NC(=O)CN(C)C2CCC2)C(=O)[O-])cc1F ZINC000909800232 709627879 /nfs/dbraw/zinc/62/78/79/709627879.db2.gz JCZYGAKCXKHCKI-HNNXBMFYSA-N -1 1 324.352 1.561 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@@H](C(=O)[O-])[C@@H]1C ZINC000909806203 709630499 /nfs/dbraw/zinc/63/04/99/709630499.db2.gz LYIBEBXPCLSJHV-NKWVEPMBSA-N -1 1 305.256 1.672 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Cc2ccco2)[n-]c1=O ZINC000889791970 709638321 /nfs/dbraw/zinc/63/83/21/709638321.db2.gz QBJOIACBVUEVLZ-LLVKDONJSA-N -1 1 303.318 1.690 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cnn(C)c2C)[n-]c1=O ZINC000889794039 709639446 /nfs/dbraw/zinc/63/94/46/709639446.db2.gz FRHURNKBSLQWCW-LLVKDONJSA-N -1 1 317.349 1.210 20 0 DDADMM CC[C@](CNC(=O)CCc1cnc[nH]1)(C(=O)[O-])c1ccccc1 ZINC000909846529 709649776 /nfs/dbraw/zinc/64/97/76/709649776.db2.gz SGYGVWFBMMLRPL-QGZVFWFLSA-N -1 1 315.373 1.891 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)C1 ZINC000909903469 709680269 /nfs/dbraw/zinc/68/02/69/709680269.db2.gz PXARLQRMLQKHGG-IGJVIKARSA-N -1 1 317.389 1.645 20 0 DDADMM CCSC1(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CC1 ZINC000909986410 709721079 /nfs/dbraw/zinc/72/10/79/709721079.db2.gz JVRYLJZDJVMVPH-LLVKDONJSA-N -1 1 300.424 1.185 20 0 DDADMM CCC/C=C/[C@H](O)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000900709030 709722015 /nfs/dbraw/zinc/72/20/15/709722015.db2.gz GDECVYPJFKHSDV-YBJDMEARSA-N -1 1 318.377 1.385 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N2CC[C@H](C(=O)[O-])[C@H](C)C2)C1 ZINC000909988569 709722903 /nfs/dbraw/zinc/72/29/03/709722903.db2.gz QOQMOIPCIBHDRB-JULQROHOSA-N -1 1 305.378 1.645 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000909988936 709723211 /nfs/dbraw/zinc/72/32/11/709723211.db2.gz JRQQEWVMSRFXFV-SVRRBLITSA-N -1 1 319.283 1.920 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC([C@H](F)C(=O)[O-])C1 ZINC000910132724 709785405 /nfs/dbraw/zinc/78/54/05/709785405.db2.gz OZDKAKRQVRCTSK-ZETCQYMHSA-N -1 1 309.219 1.232 20 0 DDADMM CC(C)N1CCC[C@H]1C(=O)N[C@H](C)c1ncc(C(=O)[O-])s1 ZINC000910150040 709792320 /nfs/dbraw/zinc/79/23/20/709792320.db2.gz LTDWMZLUWSBEDE-ZJUUUORDSA-N -1 1 311.407 1.891 20 0 DDADMM O=C([O-])Cc1ccc(CNC(=O)[C@@H]2CCc3[nH]cnc3C2)cc1 ZINC000910195670 709807785 /nfs/dbraw/zinc/80/77/85/709807785.db2.gz GWYUUICQEGPMBU-CYBMUJFWSA-N -1 1 313.357 1.458 20 0 DDADMM COC[C@](C)(O)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900898903 709817366 /nfs/dbraw/zinc/81/73/66/709817366.db2.gz NNHRBAFAIYRLLB-OAHLLOKOSA-N -1 1 308.309 1.207 20 0 DDADMM CCOC(=O)[C@@H]1[C@@H]2CCN(C(=O)c3ccc([O-])cc3F)C[C@@H]21 ZINC000928119019 713134787 /nfs/dbraw/zinc/13/47/87/713134787.db2.gz TUELEDGMEJBHOP-SCDSUCTJSA-N -1 1 307.321 1.803 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)C[C@@]1(C)C(=O)[O-] ZINC000910261152 709844983 /nfs/dbraw/zinc/84/49/83/709844983.db2.gz UZFNOLYIBCTQFP-JSKSWSIUSA-N -1 1 305.378 1.645 20 0 DDADMM C[C@@H]1CN(C[C@H]2CCCN2c2ccc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC000900989497 709866088 /nfs/dbraw/zinc/86/60/88/709866088.db2.gz FEWMPJQQSCTQDB-VNHYZAJKSA-N -1 1 319.405 1.858 20 0 DDADMM O=C(NC[C@H](O)[C@@H]1CCCO1)c1c([O-])cnc2c(F)cccc21 ZINC000912992551 713140714 /nfs/dbraw/zinc/14/07/14/713140714.db2.gz ZHYURUOGNGRRMW-AAEUAGOBSA-N -1 1 320.320 1.349 20 0 DDADMM O=C([O-])[C@@H]1CC(F)(F)CN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000910431474 709937345 /nfs/dbraw/zinc/93/73/45/709937345.db2.gz GADAGEKTRJRQKY-YFKPBYRVSA-N -1 1 313.182 1.363 20 0 DDADMM C[C@H](C(=O)N1CC(F)(F)C[C@H]1C(=O)[O-])N1CCCCCC1 ZINC000910433909 709939097 /nfs/dbraw/zinc/93/90/97/709939097.db2.gz WTUGNWGTJRHPTJ-MNOVXSKESA-N -1 1 304.337 1.572 20 0 DDADMM CCN(CC(=O)N[C@@H]1C[C@H](C(=O)[O-])c2ccccc21)C1CC1 ZINC000910475851 709961163 /nfs/dbraw/zinc/96/11/63/709961163.db2.gz DUPISDKVBLWZIK-LSDHHAIUSA-N -1 1 302.374 1.900 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)Cc1n[nH]c2c1CCCC2)C1CCCC1 ZINC000910558116 709998627 /nfs/dbraw/zinc/99/86/27/709998627.db2.gz BVGUICHSKSDVBK-CQSZACIVSA-N -1 1 319.405 1.981 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]1CNC(=O)[C@H]1CCCCN1Cc1ccccc1 ZINC000910606362 710020243 /nfs/dbraw/zinc/02/02/43/710020243.db2.gz OSPDGVNBWSRKDJ-BZUAXINKSA-N -1 1 316.401 1.878 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000901454946 710045157 /nfs/dbraw/zinc/04/51/57/710045157.db2.gz WTQDMERXSAZBQZ-CYBMUJFWSA-N -1 1 312.410 1.058 20 0 DDADMM COC[C@H](NCc1ccc(OC)c(OC)c1Cl)C(=O)[O-] ZINC000901477972 710050656 /nfs/dbraw/zinc/05/06/56/710050656.db2.gz MDMZKPKYKJNHBR-VIFPVBQESA-N -1 1 303.742 1.546 20 0 DDADMM COCCOc1cc(C(=O)[O-])ccc1NC(=O)C[N@@H+](C)C(C)C ZINC000910695917 710051407 /nfs/dbraw/zinc/05/14/07/710051407.db2.gz GXWFHUGSGDPAKO-UHFFFAOYSA-N -1 1 324.377 1.689 20 0 DDADMM COCCOc1cc(C(=O)[O-])ccc1NC(=O)CN(C)C(C)C ZINC000910695917 710051410 /nfs/dbraw/zinc/05/14/10/710051410.db2.gz GXWFHUGSGDPAKO-UHFFFAOYSA-N -1 1 324.377 1.689 20 0 DDADMM CCOC(=O)/C=C/CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000931568436 714130473 /nfs/dbraw/zinc/13/04/73/714130473.db2.gz GMFXUYBGKPOMJH-ZYOFXKKJSA-N -1 1 308.300 1.249 20 0 DDADMM C[C@@H]1CN(C(=O)c2cn[nH]c2-c2ccccn2)C[C@H]1CC(=O)[O-] ZINC000910858232 710096986 /nfs/dbraw/zinc/09/69/86/710096986.db2.gz QIKAFDRXXTZIRB-GHMZBOCLSA-N -1 1 314.345 1.655 20 0 DDADMM O=C([N-][C@@H](CO)[C@H](O)C1CCCCC1)C(F)(F)C(F)F ZINC000913052879 713156168 /nfs/dbraw/zinc/15/61/68/713156168.db2.gz LMPNFZZKXADFDR-DTWKUNHWSA-N -1 1 301.280 1.305 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1cnc2ccc(Cl)cn12 ZINC000901661421 710112686 /nfs/dbraw/zinc/11/26/86/710112686.db2.gz JWWITZJEARGUBP-UHFFFAOYSA-N -1 1 311.769 1.911 20 0 DDADMM O=C([O-])[C@@H](Cc1ccc(F)cc1)NC(=O)CCc1cnc[nH]1 ZINC000910905667 710113638 /nfs/dbraw/zinc/11/36/38/710113638.db2.gz UZCCCHGBFDXYOH-CYBMUJFWSA-N -1 1 305.309 1.294 20 0 DDADMM O=C([O-])[C@@H](Cc1ccc(F)cc1)NC(=O)[C@H]1CCCN1C1CC1 ZINC000910906346 710114214 /nfs/dbraw/zinc/11/42/14/710114214.db2.gz BNYWRMDGPQMPLD-HUUCEWRRSA-N -1 1 320.364 1.564 20 0 DDADMM O=C([O-])[C@@H](Cc1ccc(F)cc1)NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000910908589 710115114 /nfs/dbraw/zinc/11/51/14/710115114.db2.gz ULWFKAGKFNAZFO-JHJVBQTASA-N -1 1 317.320 1.464 20 0 DDADMM O=C(/C=C\c1ccc(C(=O)[O-])o1)NCCN1CCSCC1 ZINC000901952331 710183413 /nfs/dbraw/zinc/18/34/13/710183413.db2.gz MOKUGRTVMBDUOY-RQOWECAXSA-N -1 1 310.375 1.156 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc2c(n1)CCCN2 ZINC000892151848 710381613 /nfs/dbraw/zinc/38/16/13/710381613.db2.gz BYQZCKQLJNMDOO-UHFFFAOYSA-N -1 1 312.255 1.829 20 0 DDADMM COCc1nc(NCc2c(C)cc(C)nc2OC)cc(=O)[n-]1 ZINC000892302845 710413104 /nfs/dbraw/zinc/41/31/04/710413104.db2.gz WZWDPYHDXVHGEA-UHFFFAOYSA-N -1 1 304.350 1.961 20 0 DDADMM COCc1nc(N2CCC(OC[C@@H]3CCCO3)CC2)cc(=O)[n-]1 ZINC000892858781 710514190 /nfs/dbraw/zinc/51/41/90/710514190.db2.gz YAHKVYLLPGNZCA-ZDUSSCGKSA-N -1 1 323.393 1.493 20 0 DDADMM COCc1nc(N[C@H]2CCN(C(=O)C3CCCC3)C2)cc(=O)[n-]1 ZINC000892930286 710527087 /nfs/dbraw/zinc/52/70/87/710527087.db2.gz WXRHWGHQXXPHMT-LBPRGKRZSA-N -1 1 320.393 1.532 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)Cc1n[nH]c2c1CCCC2)C(F)(F)F ZINC000911163060 710663801 /nfs/dbraw/zinc/66/38/01/710663801.db2.gz VYALJNLICKSOAW-SNVBAGLBSA-N -1 1 319.283 1.353 20 0 DDADMM CCCN1CCN(C(=O)CCc2ccccc2C(=O)[O-])CC1 ZINC000911214026 710688893 /nfs/dbraw/zinc/68/88/93/710688893.db2.gz PAXLTBFMENRKLX-UHFFFAOYSA-N -1 1 304.390 1.872 20 0 DDADMM O=C([O-])c1ccc(CC(=O)NCCN2CCSCC2)cc1 ZINC000911213670 710688986 /nfs/dbraw/zinc/68/89/86/710688986.db2.gz XYDUGADGEFAKHJ-UHFFFAOYSA-N -1 1 308.403 1.092 20 0 DDADMM CCN(CC)[C@H](CNC(=O)[C@@H]1CC[C@@H]1C(=O)[O-])c1ccco1 ZINC000911254279 710710572 /nfs/dbraw/zinc/71/05/72/710710572.db2.gz RCZHAUBDOQYURL-FRRDWIJNSA-N -1 1 308.378 1.890 20 0 DDADMM Cc1cc(C(=O)[O-])cc(C(=O)NCCN2CCCOCC2)c1 ZINC000911504691 710827313 /nfs/dbraw/zinc/82/73/13/710827313.db2.gz IJFLCSLFOAVPOF-UHFFFAOYSA-N -1 1 306.362 1.145 20 0 DDADMM CCc1cnccc1[C@H](C)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911604669 710877166 /nfs/dbraw/zinc/87/71/66/710877166.db2.gz ABBNFIAYXPDQGM-GXTWGEPZSA-N -1 1 319.405 1.618 20 0 DDADMM CCO[C@H](C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C(C)C ZINC000913436248 713214792 /nfs/dbraw/zinc/21/47/92/713214792.db2.gz ARYZGEFLTWFWGD-STQMWFEESA-N -1 1 303.366 1.466 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CC1(Cl)Cl ZINC000913437936 713215668 /nfs/dbraw/zinc/21/56/68/713215668.db2.gz LWGDVXJLEKTSJG-DTWKUNHWSA-N -1 1 312.160 1.599 20 0 DDADMM CC(Cl)(Cl)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913439126 713216496 /nfs/dbraw/zinc/21/64/96/713216496.db2.gz ZJOXQUQDZXNNHJ-MRVPVSSYSA-N -1 1 300.149 1.599 20 0 DDADMM O=C([O-])C1(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)CC2(CCC2)C1 ZINC000911770534 710975450 /nfs/dbraw/zinc/97/54/50/710975450.db2.gz ADEKFDSGLMLFAJ-SNVBAGLBSA-N -1 1 304.350 1.156 20 0 DDADMM O=C(NC[C@H]1CC[C@@H](C2CC2)O1)c1nc2ccccc2c(=O)[n-]1 ZINC000903002216 710992333 /nfs/dbraw/zinc/99/23/33/710992333.db2.gz YMWVQOZYRKLUCL-RISCZKNCSA-N -1 1 313.357 1.611 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cc(C)nnc1N(C)C)C(C)C ZINC000903176624 711055475 /nfs/dbraw/zinc/05/54/75/711055475.db2.gz RTEJYNVNWKNPSL-GFCCVEGCSA-N -1 1 316.427 1.264 20 0 DDADMM Cc1cn2c(nc(CN3CCCC[C@H]3CC(=O)[O-])cc2=O)s1 ZINC000903408741 711118608 /nfs/dbraw/zinc/11/86/08/711118608.db2.gz KZYSNTFQTDYTCO-LBPRGKRZSA-N -1 1 321.402 1.894 20 0 DDADMM COCc1nc(N[C@H]2COc3cc(F)ccc3C2)cc(=O)[n-]1 ZINC000894561515 711182214 /nfs/dbraw/zinc/18/22/14/711182214.db2.gz WTAZDKWTYUTDRQ-LLVKDONJSA-N -1 1 305.309 1.883 20 0 DDADMM Cc1cc2ccccc2nc1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494371 713237186 /nfs/dbraw/zinc/23/71/86/713237186.db2.gz XPVVBSFMGVOMEQ-ZDUSSCGKSA-N -1 1 324.344 1.270 20 0 DDADMM COc1cc(OC)cc([C@H](C(=O)[O-])[N@H+]2CCOC[C@H](C)C2)c1 ZINC000903632979 711231189 /nfs/dbraw/zinc/23/11/89/711231189.db2.gz ABQHPRJVRUAWGQ-IAQYHMDHSA-N -1 1 309.362 1.798 20 0 DDADMM O=S(=O)([N-]c1cnc2ccccn12)c1cnc2n1CCCC2 ZINC000903643099 711233353 /nfs/dbraw/zinc/23/33/53/711233353.db2.gz XCZSSSXOVAHUDG-UHFFFAOYSA-N -1 1 317.374 1.668 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]C1(c2ccccc2F)COC1)OC ZINC000903654165 711236519 /nfs/dbraw/zinc/23/65/19/711236519.db2.gz YOMDAMWAWPQBLO-NSHDSACASA-N -1 1 317.382 1.396 20 0 DDADMM CC[C@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)C1CCC(C)CC1 ZINC000913496688 713238719 /nfs/dbraw/zinc/23/87/19/713238719.db2.gz AHUZDDCKHATESU-HOAMVYINSA-N -1 1 321.425 1.952 20 0 DDADMM COCCCn1nccc1CN[C@H](C(=O)[O-])c1ccc(F)cc1 ZINC000903710907 711250069 /nfs/dbraw/zinc/25/00/69/711250069.db2.gz UYCIEPWWRRJYTL-HNNXBMFYSA-N -1 1 321.352 1.974 20 0 DDADMM COCc1nc(N2Cc3ccccc3N(C)C(=O)C2)cc(=O)[n-]1 ZINC000894737222 711252355 /nfs/dbraw/zinc/25/23/55/711252355.db2.gz SBSCDQJNLYGENP-UHFFFAOYSA-N -1 1 314.345 1.312 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(O)(Cc2ccccn2)CC1 ZINC000912436891 711328163 /nfs/dbraw/zinc/32/81/63/711328163.db2.gz OLZRMEYAVWKBIZ-UHFFFAOYSA-N -1 1 313.357 1.392 20 0 DDADMM O=C([O-])[C@@H]1c2ccccc2OCCN1CCO[C@H]1CC1(F)F ZINC000903931053 711338473 /nfs/dbraw/zinc/33/84/73/711338473.db2.gz NOZAOZMUCAXLNK-STQMWFEESA-N -1 1 313.300 1.931 20 0 DDADMM CCn1nccc1CN[C@@H](CC(=O)[O-])c1ccc2c(c1)OCO2 ZINC000904045193 711369487 /nfs/dbraw/zinc/36/94/87/711369487.db2.gz ALVODZBFCWOCHC-ZDUSSCGKSA-N -1 1 317.345 1.937 20 0 DDADMM Cc1cccc(C[N@@H+]2CCO[C@@H](CNc3cc(=O)[nH]cn3)C2)c1 ZINC000895017961 711370665 /nfs/dbraw/zinc/37/06/65/711370665.db2.gz IQCBPQFZADATFS-HNNXBMFYSA-N -1 1 314.389 1.804 20 0 DDADMM COc1cc(CN[C@@H](C(=O)[O-])c2cnn(C)c2C)cc(OC)c1 ZINC000904049756 711370921 /nfs/dbraw/zinc/37/09/21/711370921.db2.gz ZQWCWIPPZSZVNF-OAHLLOKOSA-N -1 1 319.361 1.661 20 0 DDADMM O=C(CCCc1ccc(C2CC2)cc1)NN1CC(=O)[N-]C1=O ZINC000895488467 711525247 /nfs/dbraw/zinc/52/52/47/711525247.db2.gz YJAVQJSWFAUUHO-UHFFFAOYSA-N -1 1 301.346 1.470 20 0 DDADMM c1ccc(-n2[n-]nnc2=N[C@H]2CCCN3CCSC[C@@H]23)cc1 ZINC000895571460 711546294 /nfs/dbraw/zinc/54/62/94/711546294.db2.gz GLEYFXVRPQNJKT-KBPBESRZSA-N -1 1 316.434 1.076 20 0 DDADMM CCOC(=O)[C@H](C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895843571 711623487 /nfs/dbraw/zinc/62/34/87/711623487.db2.gz MBBMIESMYJJUNO-VIFPVBQESA-N -1 1 303.314 1.932 20 0 DDADMM C[C@H](NC(=O)c1cccc(Cl)c1[O-])[C@@H]1CN(C)CCN1C ZINC000896549377 711747753 /nfs/dbraw/zinc/74/77/53/711747753.db2.gz NUYASLCMPWSURR-GWCFXTLKSA-N -1 1 311.813 1.410 20 0 DDADMM O=C(CCc1cccc(F)c1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913743292 713292201 /nfs/dbraw/zinc/29/22/01/713292201.db2.gz OJBTVJITQYIVDK-UHFFFAOYSA-N -1 1 321.331 1.817 20 0 DDADMM O=C(Cc1cc(F)ccc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913742959 713292223 /nfs/dbraw/zinc/29/22/23/713292223.db2.gz SWTGBKNDTZPHDD-UHFFFAOYSA-N -1 1 307.304 1.427 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cn1 ZINC000913743963 713292466 /nfs/dbraw/zinc/29/24/66/713292466.db2.gz UHDCCRYYZGLEJP-UHFFFAOYSA-N -1 1 300.366 1.738 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744252 713292787 /nfs/dbraw/zinc/29/27/87/713292787.db2.gz GSXKRDNVBUCOSC-NSCUHMNNSA-N -1 1 304.379 1.384 20 0 DDADMM O=C([C@@H]1CC[C@H](C(F)(F)F)C1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744849 713293376 /nfs/dbraw/zinc/29/33/76/713293376.db2.gz HKWNPMQJJAHYRS-ZJUUUORDSA-N -1 1 317.315 1.884 20 0 DDADMM Cn1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(C2CCC2)n1 ZINC000913745710 713293678 /nfs/dbraw/zinc/29/36/78/713293678.db2.gz BWOWSIXLKCIYBX-UHFFFAOYSA-N -1 1 315.381 1.221 20 0 DDADMM COc1ccc([C@H](NC[C@@H](O)C(F)(F)F)C(=O)[O-])cc1F ZINC000905396098 712027343 /nfs/dbraw/zinc/02/73/43/712027343.db2.gz MTGUANHEZJJTMT-ZJUUUORDSA-N -1 1 311.231 1.473 20 0 DDADMM CN(C)c1ncc(CN[C@@H]2CCCn3nc(C(=O)[O-])cc32)n1C ZINC000905793653 712147847 /nfs/dbraw/zinc/14/78/47/712147847.db2.gz HFRMAUIZUCDREJ-LLVKDONJSA-N -1 1 318.381 1.006 20 0 DDADMM O=C([O-])[C@H](c1ccccc1)N1CCN(C(=O)OCC2CC2)CC1 ZINC000905991502 712213063 /nfs/dbraw/zinc/21/30/63/712213063.db2.gz RCNXBCMQFKHTBQ-HNNXBMFYSA-N -1 1 318.373 1.977 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1cncc2c1CCCC2 ZINC000906069482 712236364 /nfs/dbraw/zinc/23/63/64/712236364.db2.gz WMAJFTZFVPIWLF-IBGZPJMESA-N -1 1 317.436 1.735 20 0 DDADMM Cn1nc2c(c1C(=O)Nc1n[n-]c(C(F)(F)F)n1)CCC2 ZINC000906376428 712310008 /nfs/dbraw/zinc/31/00/08/712310008.db2.gz NLLGBSJQXYOIJM-UHFFFAOYSA-N -1 1 300.244 1.298 20 0 DDADMM COc1cc(F)c(S(=O)(=O)NC[C@@H]2CCC[N@@H+]2C)cc1F ZINC000913970998 713326763 /nfs/dbraw/zinc/32/67/63/713326763.db2.gz TVRANFYNSDQNIK-VIFPVBQESA-N -1 1 320.361 1.346 20 0 DDADMM C[C@]1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCO[C@H]1C1CC1 ZINC000907430738 712569844 /nfs/dbraw/zinc/56/98/44/712569844.db2.gz BHHVUYWAVVWBGT-SUMWQHHRSA-N -1 1 317.389 1.995 20 0 DDADMM CCc1nc(C)c(C(=O)N2CCSC[C@H]2c2nn[n-]n2)s1 ZINC000907478714 712583079 /nfs/dbraw/zinc/58/30/79/712583079.db2.gz YHWLJUQWRKWDAM-QMMMGPOBSA-N -1 1 324.435 1.457 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCSC[C@H]2c2nn[n-]n2)cn1 ZINC000907480065 712583562 /nfs/dbraw/zinc/58/35/62/712583562.db2.gz OOOZCMQPCXJSOG-RXNFCKPNSA-N -1 1 316.390 1.233 20 0 DDADMM CCc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c(O)c1 ZINC000907480144 712583613 /nfs/dbraw/zinc/58/36/13/712583613.db2.gz QJYXYAVBXUEQKP-NSHDSACASA-N -1 1 319.390 1.398 20 0 DDADMM CO[C@@H]1CCCC[C@H]1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481748 712584175 /nfs/dbraw/zinc/58/41/75/712584175.db2.gz YQWHHELMUYQDBL-GMTAPVOTSA-N -1 1 311.411 1.021 20 0 DDADMM C[C@H]1CC2(CC([N-]S(=O)(=O)c3c[nH]nc3Cl)C2)CO1 ZINC000907733967 712619879 /nfs/dbraw/zinc/61/98/79/712619879.db2.gz XGPYQAOQFRUHHG-JYPKXMCJSA-N -1 1 305.787 1.299 20 0 DDADMM COC1(CS(=O)(=O)[N-]C2(c3nc(C)no3)CC2)CCCC1 ZINC000907859750 712637318 /nfs/dbraw/zinc/63/73/18/712637318.db2.gz JKOVIIMNWQURIS-UHFFFAOYSA-N -1 1 315.395 1.246 20 0 DDADMM CCOC(=O)[C@H]1CSCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907908219 712646551 /nfs/dbraw/zinc/64/65/51/712646551.db2.gz YHRASOVDLZMCBM-SNVBAGLBSA-N -1 1 323.374 1.138 20 0 DDADMM C[C@@]1(C(N)=O)CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000907921934 712648748 /nfs/dbraw/zinc/64/87/48/712648748.db2.gz OCXSZYRBADJSEH-MRXNPFEDSA-N -1 1 317.320 1.417 20 0 DDADMM CC(C)=CCC[C@@H](C)CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907939557 712652110 /nfs/dbraw/zinc/65/21/10/712652110.db2.gz DNVVFZJPVCHALL-CYBMUJFWSA-N -1 1 321.425 1.782 20 0 DDADMM CC(C)=C[C@@H]1[C@@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C1(C)C ZINC000907944265 712652931 /nfs/dbraw/zinc/65/29/31/712652931.db2.gz RYGHXJUICXSPBG-NEPJUHHUSA-N -1 1 319.409 1.248 20 0 DDADMM CN(CC(=O)N[C@@H](CC(=O)[O-])C(C)(C)C)[C@H]1CCSC1 ZINC000908033328 712669591 /nfs/dbraw/zinc/66/95/91/712669591.db2.gz LTDGWRIRIHXELD-QWRGUYRKSA-N -1 1 302.440 1.429 20 0 DDADMM COCCO[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000908037355 712670313 /nfs/dbraw/zinc/67/03/13/712670313.db2.gz VGOYGBHNJMZXDA-GFCCVEGCSA-N -1 1 321.377 1.327 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2(C3CC3)CCC2)c1 ZINC000908297329 712731441 /nfs/dbraw/zinc/73/14/41/712731441.db2.gz WMIIUTFPWWMZMN-UHFFFAOYSA-N -1 1 324.402 1.819 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@H](O)C(Cl)(Cl)Cl ZINC000908369844 712754525 /nfs/dbraw/zinc/75/45/25/712754525.db2.gz GPLWUORUDCZSQC-BYPYZUCNSA-N -1 1 302.545 1.483 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@@H]1C1CC1)c1ccc(F)c(F)c1F ZINC000908420917 712767235 /nfs/dbraw/zinc/76/72/35/712767235.db2.gz YUQQWPBHKUNANP-PSASIEDQSA-N -1 1 321.320 1.807 20 0 DDADMM CN1C[C@H](C(=O)Nc2cccc([O-])c2Br)NC1=O ZINC000908708464 712839068 /nfs/dbraw/zinc/83/90/68/712839068.db2.gz XSQZNXCBVINSJO-SSDOTTSWSA-N -1 1 314.139 1.117 20 0 DDADMM CS[C@@H]1CC[C@@H](NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000908833497 712864217 /nfs/dbraw/zinc/86/42/17/712864217.db2.gz ONRPHCOUZNGEHJ-IJLUTSLNSA-N -1 1 300.424 1.183 20 0 DDADMM CN1CCC[C@@H](NC(=O)N2CCc3occc3[C@@H]2C(=O)[O-])C1 ZINC000908871836 712875456 /nfs/dbraw/zinc/87/54/56/712875456.db2.gz WGIUCKKEFFVHEX-ZWNOBZJWSA-N -1 1 307.350 1.067 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(c2cc(F)ncn2)CC1 ZINC000908895741 712881974 /nfs/dbraw/zinc/88/19/74/712881974.db2.gz NYAVPYSUNRUIIS-UHFFFAOYSA-N -1 1 320.299 1.423 20 0 DDADMM CCc1ccc([C@@H](COC)[N-]S(=O)(=O)CC2(F)CC2)o1 ZINC000914182946 713354853 /nfs/dbraw/zinc/35/48/53/713354853.db2.gz GLPPZAGUYFNAJZ-LLVKDONJSA-N -1 1 305.371 1.951 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)CC1(F)CCC1)C(=O)OC ZINC000916589344 713459503 /nfs/dbraw/zinc/45/95/03/713459503.db2.gz WGONTMNSAMCMIW-WDEREUQCSA-N -1 1 309.403 1.776 20 0 DDADMM C[C@@H](CN1CCCS(=O)(=O)CC1)C(=O)c1ccc([O-])cc1 ZINC000929679149 713667232 /nfs/dbraw/zinc/66/72/32/713667232.db2.gz HHJGWRDZHWSEDX-LBPRGKRZSA-N -1 1 311.403 1.332 20 0 DDADMM CCN(C(=O)C[N-]S(=O)(=O)Cc1ccon1)c1ccccc1 ZINC000921011343 713707795 /nfs/dbraw/zinc/70/77/95/713707795.db2.gz ZTFMWZXSTIPFPW-UHFFFAOYSA-N -1 1 323.374 1.147 20 0 DDADMM CCC[C@@H](O)CC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000921682703 713841397 /nfs/dbraw/zinc/84/13/97/713841397.db2.gz BNFAIRZXWRLACP-MRVPVSSYSA-N -1 1 310.778 1.704 20 0 DDADMM COC[C@@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)C(C)C ZINC000921901279 713902466 /nfs/dbraw/zinc/90/24/66/713902466.db2.gz QDHJFEUXNMHMKJ-SECBINFHSA-N -1 1 309.819 1.270 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@]23C[C@H]2CCC3)cc1C ZINC000921920165 713908338 /nfs/dbraw/zinc/90/83/38/713908338.db2.gz HFUHUFRSZDGSQS-YGRLFVJLSA-N -1 1 313.375 1.843 20 0 DDADMM CC(=O)N[C@@H](C)c1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC000963884009 717933993 /nfs/dbraw/zinc/93/39/93/717933993.db2.gz MGCQXLWUTIUDHT-NSHDSACASA-N -1 1 313.357 1.914 20 0 DDADMM CCC1(CC)CNC(=O)[C@H]1[N-]S(=O)(=O)c1cc(C)ns1 ZINC000922043432 713944893 /nfs/dbraw/zinc/94/48/93/713944893.db2.gz OLCOADZMIYLPJB-SNVBAGLBSA-N -1 1 317.436 1.035 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCOC[C@@H]3CC3CC3)ccnc1-2 ZINC000931132347 714010753 /nfs/dbraw/zinc/01/07/53/714010753.db2.gz WQOMKJDXDPGNGI-LBPRGKRZSA-N -1 1 315.377 1.375 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N(CCCO)C1CC1 ZINC000932045707 714245348 /nfs/dbraw/zinc/24/53/48/714245348.db2.gz GKCCRPSDHXSMPO-UHFFFAOYSA-N -1 1 316.361 1.957 20 0 DDADMM CC[C@H](O)CCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932044770 714245378 /nfs/dbraw/zinc/24/53/78/714245378.db2.gz ATRFUJINFLDPFG-ZDUSSCGKSA-N -1 1 304.350 1.860 20 0 DDADMM C[C@@]1(O)CCCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932048211 714246119 /nfs/dbraw/zinc/24/61/19/714246119.db2.gz MMYIGWVNPDCMIW-MRXNPFEDSA-N -1 1 316.361 1.957 20 0 DDADMM CC1(C)[C@H](O)CCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932055315 714247947 /nfs/dbraw/zinc/24/79/47/714247947.db2.gz ZYNPNFJLGAOFRP-CYBMUJFWSA-N -1 1 316.361 1.955 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@H]1CCC[C@@H]1O ZINC000932061580 714249603 /nfs/dbraw/zinc/24/96/03/714249603.db2.gz SIWGKTZNLITFLF-STQMWFEESA-N -1 1 302.334 1.613 20 0 DDADMM Cn1[n-]c(CN(Cc2nc3ccccc3s2)C2CC2)nc1=O ZINC000932398347 714323400 /nfs/dbraw/zinc/32/34/00/714323400.db2.gz IICDVQILDHZVJW-UHFFFAOYSA-N -1 1 315.402 1.883 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H]2[C@@H]3C(=O)CC[C@H]23)c1 ZINC000923575585 714412973 /nfs/dbraw/zinc/41/29/73/714412973.db2.gz JKFOVVQWDUWISR-VBNZEHGJSA-N -1 1 302.326 1.906 20 0 DDADMM Cn1[n-]c(COC(=O)C[C@@H]2C[C@H]2Cc2ccccc2)nc1=O ZINC000924257372 714551068 /nfs/dbraw/zinc/55/10/68/714551068.db2.gz KEFQDRHOGRUFTG-OLZOCXBDSA-N -1 1 301.346 1.421 20 0 DDADMM CCn1nncc1CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934277586 714785094 /nfs/dbraw/zinc/78/50/94/714785094.db2.gz BZYRQERZNWASKJ-NSHDSACASA-N -1 1 304.354 1.139 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc(OC)cc1C)c1nn[n-]n1 ZINC000934314501 714792384 /nfs/dbraw/zinc/79/23/84/714792384.db2.gz ZIYQWQLYGZZGKY-CYBMUJFWSA-N -1 1 303.366 1.717 20 0 DDADMM CC[S@@](C)(=O)=NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000925850199 714950831 /nfs/dbraw/zinc/95/08/31/714950831.db2.gz GTSIZIQIPUAYPT-HXUWFJFHSA-N -1 1 317.436 1.789 20 0 DDADMM Cc1cc(Cl)nc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000935036701 714960903 /nfs/dbraw/zinc/96/09/03/714960903.db2.gz HDMUMLKZOQDHTG-VIFPVBQESA-N -1 1 306.757 1.576 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@]1(c2ccccc2)CCCO1)c1nn[n-]n1 ZINC000935368343 715038661 /nfs/dbraw/zinc/03/86/61/715038661.db2.gz QVVGYTMRXXUSCX-BLLLJJGKSA-N -1 1 315.377 1.468 20 0 DDADMM O=C(C[C@@H]1CC[C@H](C2CC2)O1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000935457392 715060503 /nfs/dbraw/zinc/06/05/03/715060503.db2.gz QBQYYZBGCMAKQG-SDDRHHMPSA-N -1 1 323.422 1.164 20 0 DDADMM O=C([N-]OCCC(F)(F)F)[C@@H]1C[C@]12C(=O)Nc1ccccc12 ZINC000935502678 715072659 /nfs/dbraw/zinc/07/26/59/715072659.db2.gz XTUNJMIDHWHWIT-TVQRCGJNSA-N -1 1 314.263 1.897 20 0 DDADMM O=C(c1cnn(C2CCC2)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000935795013 715128974 /nfs/dbraw/zinc/12/89/74/715128974.db2.gz UERMCYZALIPPJA-SNVBAGLBSA-N -1 1 317.349 1.717 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849427 715636244 /nfs/dbraw/zinc/63/62/44/715636244.db2.gz RINNYYQNLDAGQR-GTNSWQLSSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000956849193 715636517 /nfs/dbraw/zinc/63/65/17/715636517.db2.gz NBWDVWVOGWPGQX-PIGZYNQJSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)c1ccoc1)c1ncccc1[O-] ZINC000955889295 715996753 /nfs/dbraw/zinc/99/67/53/715996753.db2.gz ULWLQQNZTRQWPH-LBPRGKRZSA-N -1 1 315.329 1.415 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955970431 716044340 /nfs/dbraw/zinc/04/43/40/716044340.db2.gz YYYBETOMWCUSEW-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959016114 716825316 /nfs/dbraw/zinc/82/53/16/716825316.db2.gz LWXPMFVSWNFXKQ-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959037576 716838615 /nfs/dbraw/zinc/83/86/15/716838615.db2.gz HNQIQDCKQRASMR-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)=CC(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964411983 717253617 /nfs/dbraw/zinc/25/36/17/717253617.db2.gz VNSABMBUEJJZTH-CYBMUJFWSA-N -1 1 317.389 1.864 20 0 DDADMM CCCC(=O)N1CCC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000965914427 717813259 /nfs/dbraw/zinc/81/32/59/717813259.db2.gz REPPCBIZHFPARZ-ZDUSSCGKSA-N -1 1 319.405 1.898 20 0 DDADMM O=C(CCC1CC1)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000945508131 718470927 /nfs/dbraw/zinc/47/09/27/718470927.db2.gz HBPLSVVFMLRQGT-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(CC1CC1)N[C@H]1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946092184 718676745 /nfs/dbraw/zinc/67/67/45/718676745.db2.gz VNYYLSLBOZGHGG-STQMWFEESA-N -1 1 317.389 1.602 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C/C=C\Cl)[C@@H](C)C2)c1[O-] ZINC000947209151 719074359 /nfs/dbraw/zinc/07/43/59/719074359.db2.gz ASNWCSOVYXTSIQ-CNDXBVBGSA-N -1 1 312.801 1.759 20 0 DDADMM C[C@@H]1CN(C(=O)CCC2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948007221 719330403 /nfs/dbraw/zinc/33/04/03/719330403.db2.gz VOVUCGXIVUSFMQ-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)C1(C2CC2)CC1)c1ncccc1[O-] ZINC000949538000 720146785 /nfs/dbraw/zinc/14/67/85/720146785.db2.gz FABOHBBUXFDKGP-LBPRGKRZSA-N -1 1 315.373 1.308 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)c1ccc[nH]1 ZINC000950539849 720675818 /nfs/dbraw/zinc/67/58/18/720675818.db2.gz YWFLMLOUAQZWRV-LLVKDONJSA-N -1 1 314.345 1.150 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000952094799 721309810 /nfs/dbraw/zinc/30/98/10/721309810.db2.gz GOEYEWAXFYSVCG-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM CCCC(=O)N1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000952529211 721469073 /nfs/dbraw/zinc/46/90/73/721469073.db2.gz NCZHBCJJRPDOPK-OLZOCXBDSA-N -1 1 317.389 1.793 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2CCN(C(=O)C3CC3)[C@H]2C1 ZINC000953319396 721593181 /nfs/dbraw/zinc/59/31/81/721593181.db2.gz KNUMLUPGQYRZLI-AAEUAGOBSA-N -1 1 315.373 1.260 20 0 DDADMM CC(=O)N1CCC[C@@H]1C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953465999 721611137 /nfs/dbraw/zinc/61/11/37/721611137.db2.gz DFMXYMHYRXGSGE-CQSZACIVSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1ccoc1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953739257 721650980 /nfs/dbraw/zinc/65/09/80/721650980.db2.gz XCLIJEIVRNOCGF-UHFFFAOYSA-N -1 1 315.329 1.285 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954125406 721724230 /nfs/dbraw/zinc/72/42/30/721724230.db2.gz NKICXNSYSMTMAA-QWRGUYRKSA-N -1 1 303.362 1.116 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000954128081 721725056 /nfs/dbraw/zinc/72/50/56/721725056.db2.gz QXAUEHPGUPVJSM-VXGBXAGGSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C1CC1 ZINC001009911630 738575740 /nfs/dbraw/zinc/57/57/40/738575740.db2.gz XTMARZHCIKJYSC-MNOVXSKESA-N -1 1 303.362 1.164 20 0 DDADMM COc1ncc(Nc2cccnc2C)cc1[N-]S(C)(=O)=O ZINC001216151125 734530305 /nfs/dbraw/zinc/53/03/05/734530305.db2.gz FRSZUSXAERLDBQ-UHFFFAOYSA-N -1 1 308.363 1.909 20 0 DDADMM Cc1cc(C)nc(NC[C@H](C)N(C)C(=O)c2ncccc2[O-])n1 ZINC001104535924 736199038 /nfs/dbraw/zinc/19/90/38/736199038.db2.gz CVNDUUTZKQMKMQ-LBPRGKRZSA-N -1 1 315.377 1.767 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025104966 736302162 /nfs/dbraw/zinc/30/21/62/736302162.db2.gz JVJAZSWCMZCMSW-NWDGAFQWSA-N -1 1 309.414 1.273 20 0 DDADMM O=C([C@@H]1C[C@H]1C1CC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025109908 736307073 /nfs/dbraw/zinc/30/70/73/736307073.db2.gz BBUYBGMOFQGDNI-FRRDWIJNSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)CCO1 ZINC000692897459 738966736 /nfs/dbraw/zinc/96/67/36/738966736.db2.gz ZNGBNQGHWUKALI-YUMQZZPRSA-N -1 1 308.762 1.720 20 0 DDADMM CC(C)[N@H+](C)CC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692903573 738982480 /nfs/dbraw/zinc/98/24/80/738982480.db2.gz DCADJCMDRPXWAK-UHFFFAOYSA-N -1 1 309.794 1.493 20 0 DDADMM CCN(C(=O)c1n[nH]c(C)c1[O-])[C@H]1CCCN(CCF)CC1 ZINC000997974909 751434645 /nfs/dbraw/zinc/43/46/45/751434645.db2.gz GAMMSNVWBHDLFG-LBPRGKRZSA-N -1 1 312.389 1.710 20 0 DDADMM Cc1conc1CN1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001028499523 739592031 /nfs/dbraw/zinc/59/20/31/739592031.db2.gz IKVGXZGHYYUGEJ-GFCCVEGCSA-N -1 1 316.361 1.336 20 0 DDADMM COc1ccc(N)cc1S(=O)(=O)[N-]c1cc(N)ccc1F ZINC001211834777 739729133 /nfs/dbraw/zinc/72/91/33/739729133.db2.gz AYBRKEHZQYHZMH-UHFFFAOYSA-N -1 1 311.338 1.800 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C(C)(C)C)CN1C(=O)c1ncccc1[O-] ZINC001012512894 741010354 /nfs/dbraw/zinc/01/03/54/741010354.db2.gz SUTNAQPZSMRCFQ-MNOVXSKESA-N -1 1 305.378 1.553 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029823029 741358318 /nfs/dbraw/zinc/35/83/18/741358318.db2.gz OHLBYCWBPVECCS-NSHDSACASA-N -1 1 309.414 1.227 20 0 DDADMM COCCCCC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075867327 741372896 /nfs/dbraw/zinc/37/28/96/741372896.db2.gz ODPACUHKNNFOSR-LBPRGKRZSA-N -1 1 323.393 1.229 20 0 DDADMM CN(C(=O)c1cc2cc(Br)ccc2[nH]1)c1nn[n-]n1 ZINC001168360241 742322279 /nfs/dbraw/zinc/32/22/79/742322279.db2.gz XTIIUFFXYDAOSX-UHFFFAOYSA-N -1 1 321.138 1.720 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)CCNC(=O)c2ncccc2[O-])co1 ZINC001076244947 742624747 /nfs/dbraw/zinc/62/47/47/742624747.db2.gz HFVOKYCGMBPISD-JTQLQIEISA-N -1 1 317.345 1.627 20 0 DDADMM C[C@H](CC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-])C1CC1 ZINC001076938766 743113508 /nfs/dbraw/zinc/11/35/08/743113508.db2.gz LQNAZZRUCURZOV-NEPJUHHUSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1cc(CN2CCC(NC(=O)c3ncccc3[O-])CC2)on1 ZINC001002661007 743314597 /nfs/dbraw/zinc/31/45/97/743314597.db2.gz XQKHBKBBSSPRFA-UHFFFAOYSA-N -1 1 316.361 1.478 20 0 DDADMM CS(=O)(=O)c1cccc(-c2noc(-c3ncccc3[O-])n2)c1 ZINC001212481022 743591076 /nfs/dbraw/zinc/59/10/76/743591076.db2.gz VTKAJBGDZRBVJQ-UHFFFAOYSA-N -1 1 317.326 1.908 20 0 DDADMM CC[C@@H]1CCN(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)C1=O ZINC001183666733 743877825 /nfs/dbraw/zinc/87/78/25/743877825.db2.gz MLFXMDVGSMQOGC-ZCFIWIBFSA-N -1 1 305.260 1.021 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C12CCC(O)(CC1)CC2 ZINC001185270880 744195196 /nfs/dbraw/zinc/19/51/96/744195196.db2.gz IOEZMDLSOFVXQJ-UHFFFAOYSA-N -1 1 308.294 1.310 20 0 DDADMM CN(C)c1nc(NS(=O)(=O)c2ccsc2)cc(=O)[n-]1 ZINC001185351666 744204535 /nfs/dbraw/zinc/20/45/35/744204535.db2.gz DQPVPXKXWMEULW-UHFFFAOYSA-N -1 1 300.365 1.111 20 0 DDADMM CCC[C@@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001186019188 744326939 /nfs/dbraw/zinc/32/69/39/744326939.db2.gz NCYPRYOWOBROIN-VXGBXAGGSA-N -1 1 309.414 1.273 20 0 DDADMM CN(C)c1cccc(CC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001186060804 744338893 /nfs/dbraw/zinc/33/88/93/744338893.db2.gz YDCBRPBWALGTNS-UHFFFAOYSA-N -1 1 317.305 1.533 20 0 DDADMM COC(=O)[C@H](COC(C)(C)C)NC(=O)c1ccc([O-])c(F)c1 ZINC001186237776 744362178 /nfs/dbraw/zinc/36/21/78/744362178.db2.gz ZKNMCOKIYVQCQJ-NSHDSACASA-N -1 1 313.325 1.618 20 0 DDADMM Cc1cc2n(n1)c(=O)cc(C)n2C(=O)c1ccc([O-])cc1F ZINC001186326523 744374908 /nfs/dbraw/zinc/37/49/08/744374908.db2.gz DNOJOOPGWVXVOY-UHFFFAOYSA-N -1 1 301.277 1.646 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(C(=O)OCC)cc1C ZINC001187280709 744533567 /nfs/dbraw/zinc/53/35/67/744533567.db2.gz YPLWXTLMBGMCPA-UHFFFAOYSA-N -1 1 315.391 1.950 20 0 DDADMM COc1ccc([C@H](CO)[N-]S(=O)(=O)c2cnoc2C)cc1 ZINC001187400548 744556489 /nfs/dbraw/zinc/55/64/89/744556489.db2.gz GPSUAPZSSJPUEI-LBPRGKRZSA-N -1 1 312.347 1.004 20 0 DDADMM COc1ccc2c(c1)[C@@H]([N-]S(=O)(=O)c1cnoc1C)CC2 ZINC001187411119 744558132 /nfs/dbraw/zinc/55/81/32/744558132.db2.gz HBXPBHAQYYZCLZ-ZDUSSCGKSA-N -1 1 308.359 1.957 20 0 DDADMM COc1ccccc1[C@H](C)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001187677425 744590362 /nfs/dbraw/zinc/59/03/62/744590362.db2.gz ZGZWXIINYNVGIG-ZETCQYMHSA-N -1 1 304.306 1.221 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001168429119 744594976 /nfs/dbraw/zinc/59/49/76/744594976.db2.gz CKMLHVCKURVGBU-RMKNXTFCSA-N -1 1 303.293 1.747 20 0 DDADMM Cc1cc(C)c(CNC(=O)c2n[n-]nc2C(F)(F)F)c(=O)[nH]1 ZINC001187766018 744608109 /nfs/dbraw/zinc/60/81/09/744608109.db2.gz BPZLLZAJIIXWCH-UHFFFAOYSA-N -1 1 315.255 1.471 20 0 DDADMM CCOC(=O)[C@H](CCSC)[N-]S(=O)(=O)c1nccs1 ZINC001187910453 744628799 /nfs/dbraw/zinc/62/87/99/744628799.db2.gz AHPPFIFQXHFTNV-QMMMGPOBSA-N -1 1 324.449 1.106 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CCCCC1)c1nccs1 ZINC001187913459 744629296 /nfs/dbraw/zinc/62/92/96/744629296.db2.gz XNDIYUWNLKGQDZ-NSHDSACASA-N -1 1 304.437 1.753 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC2(C1)OCCO2)c1nccs1 ZINC001187918718 744635147 /nfs/dbraw/zinc/63/51/47/744635147.db2.gz MIUDBHYEFWRTIU-SECBINFHSA-N -1 1 304.393 1.107 20 0 DDADMM NC(=O)c1cc(O)cc(NC(=O)c2ncc(C(F)(F)F)[n-]2)c1 ZINC001188288650 744679160 /nfs/dbraw/zinc/67/91/60/744679160.db2.gz IEZCMOFWQODAJM-UHFFFAOYSA-N -1 1 314.223 1.485 20 0 DDADMM NC(=O)c1cc(O)cc(NC(=O)c2nc(C(F)(F)F)c[n-]2)c1 ZINC001188288650 744679162 /nfs/dbraw/zinc/67/91/62/744679162.db2.gz IEZCMOFWQODAJM-UHFFFAOYSA-N -1 1 314.223 1.485 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(Cl)cnc1Cl)c1nc[nH]n1 ZINC001188838804 744770939 /nfs/dbraw/zinc/77/09/39/744770939.db2.gz VMEUXQUPZBMLCT-YFKPBYRVSA-N -1 1 322.177 1.546 20 0 DDADMM Cc1ccnc(N[C@@H](C)C[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001089272570 744846742 /nfs/dbraw/zinc/84/67/42/744846742.db2.gz PRBMQRKZZIJMCT-NEPJUHHUSA-N -1 1 315.377 1.895 20 0 DDADMM Cc1cnc([N-]S(=O)(=O)Cc2cccc(F)c2F)c(=O)[nH]1 ZINC001189491344 744904486 /nfs/dbraw/zinc/90/44/86/744904486.db2.gz DGZJJRTUASYOMS-UHFFFAOYSA-N -1 1 315.301 1.298 20 0 DDADMM O=S(=O)(Cc1ccc(F)cc1F)[N-]c1cnc2ccnn2c1 ZINC001189789395 744982415 /nfs/dbraw/zinc/98/24/15/744982415.db2.gz QKFPXXFFDCKAAD-UHFFFAOYSA-N -1 1 324.312 1.949 20 0 DDADMM O=C(NCc1cc[nH]c(=O)c1)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190267497 745168184 /nfs/dbraw/zinc/16/81/84/745168184.db2.gz IOFVAWGVUPRARL-UHFFFAOYSA-N -1 1 322.324 1.880 20 0 DDADMM Cn1nccc1C[N-]S(=O)(=O)c1cc(F)cc(F)c1F ZINC001190349781 745189278 /nfs/dbraw/zinc/18/92/78/745189278.db2.gz RXSLZVXPYBSKMQ-UHFFFAOYSA-N -1 1 305.281 1.316 20 0 DDADMM Cc1nccc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2F)n1 ZINC001190402358 745200318 /nfs/dbraw/zinc/20/03/18/745200318.db2.gz XKSUXJRAPSMSFL-UHFFFAOYSA-N -1 1 317.292 1.681 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(F)cc(F)c1F)c1cnn(C)c1 ZINC001190401558 745200710 /nfs/dbraw/zinc/20/07/10/745200710.db2.gz KNCCBKCGOBFXGG-ZETCQYMHSA-N -1 1 319.308 1.877 20 0 DDADMM COC(=O)c1cc(F)cc(F)c1NS(=O)(=O)c1ncc[n-]1 ZINC001190730743 745310200 /nfs/dbraw/zinc/31/02/00/745310200.db2.gz ZGSGFASRXZCCES-UHFFFAOYSA-N -1 1 317.273 1.275 20 0 DDADMM COCC[C@@H]1CNCCN1C(=O)c1cc(F)c(F)c([O-])c1F ZINC001122402252 745456584 /nfs/dbraw/zinc/45/65/84/745456584.db2.gz MOWJWFVZAZIJQJ-MRVPVSSYSA-N -1 1 318.295 1.260 20 0 DDADMM COCOc1ccc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)cc1 ZINC001191262682 745458168 /nfs/dbraw/zinc/45/81/68/745458168.db2.gz BYDBJKYLHNHRJX-UHFFFAOYSA-N -1 1 313.317 1.270 20 0 DDADMM CCn1cc(NC(=O)c2cnc(SC)[n-]c2=O)ccc1=O ZINC001191441243 745513646 /nfs/dbraw/zinc/51/36/46/745513646.db2.gz RLXBGBJCXFSRHA-UHFFFAOYSA-N -1 1 306.347 1.338 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2cccc(O)c2C)cc1 ZINC001191613259 745565800 /nfs/dbraw/zinc/56/58/00/745565800.db2.gz WIPPRKSOTFCFTE-UHFFFAOYSA-N -1 1 320.370 1.861 20 0 DDADMM CSc1nc(NC(=O)c2cnc(C(F)F)cn2)cc(=O)[n-]1 ZINC001192558398 745816037 /nfs/dbraw/zinc/81/60/37/745816037.db2.gz RUVVFGMDBSVBDH-UHFFFAOYSA-N -1 1 313.289 1.884 20 0 DDADMM Cc1nc2nc[nH]c2c(NC(=O)c2c([O-])ccc(F)c2F)n1 ZINC001192728935 745869770 /nfs/dbraw/zinc/86/97/70/745869770.db2.gz BSOBNTUVKHNRIB-UHFFFAOYSA-N -1 1 305.244 1.897 20 0 DDADMM O=C(N[C@H]1CCCN(Cc2cscn2)C1)c1ncccc1[O-] ZINC001007179220 752050055 /nfs/dbraw/zinc/05/00/55/752050055.db2.gz YCXWISHOYJHZRF-NSHDSACASA-N -1 1 318.402 1.638 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1cncc(OC(F)F)c1 ZINC001193213123 746015810 /nfs/dbraw/zinc/01/58/10/746015810.db2.gz JKOWQSIYDCJTDP-SECBINFHSA-N -1 1 308.306 1.604 20 0 DDADMM O=C(NC1CCC(Nc2ncccn2)CC1)c1ncccc1[O-] ZINC001060589556 746153686 /nfs/dbraw/zinc/15/36/86/746153686.db2.gz VQUAXHUDRXOUTG-UHFFFAOYSA-N -1 1 313.361 1.730 20 0 DDADMM Cc1[nH]c(=O)ncc1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001194181484 746299316 /nfs/dbraw/zinc/29/93/16/746299316.db2.gz REQQUWGCLQMFSY-UHFFFAOYSA-N -1 1 311.301 1.634 20 0 DDADMM Cc1[n-]n(-c2ccccc2)c(=O)c1C(=O)N1CC[N@H+]2CC[C@H]2C1 ZINC001194270186 746327205 /nfs/dbraw/zinc/32/72/05/746327205.db2.gz KCOUGVZTUMIYMF-AWEZNQCLSA-N -1 1 312.373 1.416 20 0 DDADMM Cc1[n-]n(-c2ccccc2)c(=O)c1C(=O)N1CCN2CC[C@H]2C1 ZINC001194270186 746327211 /nfs/dbraw/zinc/32/72/11/746327211.db2.gz KCOUGVZTUMIYMF-AWEZNQCLSA-N -1 1 312.373 1.416 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc(C)cc3Cl)c1-2 ZINC001194888229 746489337 /nfs/dbraw/zinc/48/93/37/746489337.db2.gz HJYSZANIDGOSJB-UHFFFAOYSA-N -1 1 302.725 1.644 20 0 DDADMM Cc1[nH][nH]c2ncnc(=NC(=O)c3cc([O-])cnc3Cl)c1-2 ZINC001195298250 746570749 /nfs/dbraw/zinc/57/07/49/746570749.db2.gz SILCLLAZMIYBFY-UHFFFAOYSA-N -1 1 304.697 1.041 20 0 DDADMM CC(C)NC(=O)[C@@H](NC(=O)c1cc([O-])cnc1Cl)C(C)C ZINC001195313303 746574299 /nfs/dbraw/zinc/57/42/99/746574299.db2.gz XHIVQFIILPZRBE-NSHDSACASA-N -1 1 313.785 1.720 20 0 DDADMM COC(=O)[C@@](C)(NC(=O)c1nc(C)ccc1[O-])C(F)(F)F ZINC001195336077 746576971 /nfs/dbraw/zinc/57/69/71/746576971.db2.gz UWGLLEZYFZHGFP-LLVKDONJSA-N -1 1 306.240 1.319 20 0 DDADMM CSc1nc(NC(=O)c2nc(C)ccc2[O-])cc(=O)n1C ZINC001195332575 746589342 /nfs/dbraw/zinc/58/93/42/746589342.db2.gz ZFNATXWKFIRCFW-UHFFFAOYSA-N -1 1 306.347 1.164 20 0 DDADMM CO[C@H](CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S)C(F)(F)F ZINC001123660715 746689781 /nfs/dbraw/zinc/68/97/81/746689781.db2.gz VZYRPIYXUIBWJT-RXMQYKEDSA-N -1 1 311.285 1.086 20 0 DDADMM CCOC(=O)c1cc([N-]S(=O)(=O)c2ccc(O)cc2)ccn1 ZINC001195767048 746691056 /nfs/dbraw/zinc/69/10/56/746691056.db2.gz DETUMFQQZQEQLP-UHFFFAOYSA-N -1 1 322.342 1.187 20 0 DDADMM CC1(C(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)CC(C)(C)C2)CC1 ZINC001089393297 746778325 /nfs/dbraw/zinc/77/83/25/746778325.db2.gz DESWZWBVOUQVTP-NSHDSACASA-N -1 1 321.425 1.417 20 0 DDADMM Cc1cnc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1Cl ZINC001197298844 747123467 /nfs/dbraw/zinc/12/34/67/747123467.db2.gz UZGNSPNDKQPMDI-UHFFFAOYSA-N -1 1 320.696 1.325 20 0 DDADMM COc1ccc2c(c1)NC(=O)C[C@@H]2C[N-]C(=O)C(F)(F)F ZINC001142767977 747176152 /nfs/dbraw/zinc/17/61/52/747176152.db2.gz KSGQIQAQKMWWLL-SSDOTTSWSA-N -1 1 302.252 1.800 20 0 DDADMM O=C(NCC1CN(Cc2ccccc2F)C1)c1ncccc1[O-] ZINC001031627305 747248304 /nfs/dbraw/zinc/24/83/04/747248304.db2.gz JLUFDBGJUSEATM-UHFFFAOYSA-N -1 1 315.348 1.788 20 0 DDADMM O=S(=O)(Cc1ccccn1)[N-]C1(c2ccccc2F)COC1 ZINC001197838092 747274440 /nfs/dbraw/zinc/27/44/40/747274440.db2.gz HSIZAPYECLCKFP-UHFFFAOYSA-N -1 1 322.361 1.566 20 0 DDADMM CN(C(=O)c1ccccc1O)[C@H]1CC[N@H+](Cc2cnn(C)c2)C1 ZINC001032912425 747629925 /nfs/dbraw/zinc/62/99/25/747629925.db2.gz DBLRVBPCUDLRPY-AWEZNQCLSA-N -1 1 314.389 1.472 20 0 DDADMM O=C(Nc1nnccc1C(F)(F)F)c1ccc([O-])c(=O)[nH]1 ZINC001199197582 747737439 /nfs/dbraw/zinc/73/74/39/747737439.db2.gz VRPMDWPHHWPIEC-UHFFFAOYSA-N -1 1 300.196 1.554 20 0 DDADMM [O-]c1cnc(Cl)cc1NC(=S)NCCN1CCOCC1 ZINC001200121271 748139794 /nfs/dbraw/zinc/13/97/94/748139794.db2.gz ZQQAMJCHVIKSOT-UHFFFAOYSA-N -1 1 316.814 1.059 20 0 DDADMM CC[C@@H](C)CNC(=S)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001200242260 748176255 /nfs/dbraw/zinc/17/62/55/748176255.db2.gz UBUULHWMVFLIHD-SSDOTTSWSA-N -1 1 312.399 1.979 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@H](O)c1ccco1 ZINC001201728079 748586567 /nfs/dbraw/zinc/58/65/67/748586567.db2.gz BOEZVGVBDAHBQX-ZETCQYMHSA-N -1 1 322.795 1.710 20 0 DDADMM CCCOC(=O)C[N-]S(=O)(=O)c1sc(Cl)nc1C ZINC001201768481 748599662 /nfs/dbraw/zinc/59/96/62/748599662.db2.gz DSEMLKWUBWQJJU-UHFFFAOYSA-N -1 1 312.800 1.336 20 0 DDADMM C[C@@H]1CCN(C(=O)C2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004766096 748763868 /nfs/dbraw/zinc/76/38/68/748763868.db2.gz GHYLWMWPLIWWPU-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)=CC(=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035153317 751238518 /nfs/dbraw/zinc/23/85/18/751238518.db2.gz MUWBCAJZLVSZBY-UHFFFAOYSA-N -1 1 319.409 1.291 20 0 DDADMM CS[C@H](C)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005950797 753410847 /nfs/dbraw/zinc/41/08/47/753410847.db2.gz JVDZETASTMUIRO-VHSXEESVSA-N -1 1 323.418 1.115 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005956036 753416601 /nfs/dbraw/zinc/41/66/01/753416601.db2.gz HODCJLDGJISADF-GWCFXTLKSA-N -1 1 323.368 1.358 20 0 DDADMM O=C(NC1(CNC(=O)c2ncccc2[O-])CCC1)C1=CCCC1 ZINC001062839346 753759963 /nfs/dbraw/zinc/75/99/63/753759963.db2.gz MYQSWHNYGDHREB-UHFFFAOYSA-N -1 1 315.373 1.666 20 0 DDADMM O=C(CCC1CC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010767422 754153430 /nfs/dbraw/zinc/15/34/30/754153430.db2.gz RJEPGEZXGOMBRV-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM CC1(C(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CCC1 ZINC001078699966 754302046 /nfs/dbraw/zinc/30/20/46/754302046.db2.gz DVMLFROUKZIQRS-GHMZBOCLSA-N -1 1 319.409 1.218 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036041 754319157 /nfs/dbraw/zinc/31/91/57/754319157.db2.gz XDBMGZJORDCEDL-VXGBXAGGSA-N -1 1 303.362 1.118 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011679439 754673806 /nfs/dbraw/zinc/67/38/06/754673806.db2.gz MRCWILFOLKBJLY-JQWIXIFHSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)CC2CC2)CN1C(=O)c1ncccc1[O-] ZINC001012507901 755113736 /nfs/dbraw/zinc/11/37/36/755113736.db2.gz XFIOMCGEGKPWOV-PWSUYJOCSA-N -1 1 303.362 1.307 20 0 DDADMM CC/C(C)=C\C(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079722314 755546898 /nfs/dbraw/zinc/54/68/98/755546898.db2.gz ZAAGLRVYPWHZPX-XFXZXTDPSA-N -1 1 317.389 1.816 20 0 DDADMM CCC(C)(CC)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000951910 762404361 /nfs/dbraw/zinc/40/43/61/762404361.db2.gz SOJVVBCEJYUCBE-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM CCC(=O)N[C@@H]1CCC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001014573514 755805292 /nfs/dbraw/zinc/80/52/92/755805292.db2.gz QLDYBGRCXYUGFO-YNEHKIRRSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C(=O)N[C@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015342949 756252487 /nfs/dbraw/zinc/25/24/87/756252487.db2.gz NVYMLVAAHFLGOE-RYUDHWBXSA-N -1 1 305.378 1.458 20 0 DDADMM CN(C(=O)C1CCCC1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016160872 756795639 /nfs/dbraw/zinc/79/56/39/756795639.db2.gz KFNSGMVFDNLPLT-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)[C@H]2CC2(C)C)C1 ZINC001017107989 757643477 /nfs/dbraw/zinc/64/34/77/757643477.db2.gz ZJBIMBOFMXQPPB-VXGBXAGGSA-N -1 1 321.425 1.227 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001085163703 758321968 /nfs/dbraw/zinc/32/19/68/758321968.db2.gz XLOCVTUNGADFKH-OJROKELRSA-N -1 1 319.409 1.073 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)CC2CC2)CN1C(=O)c1ncccc1[O-] ZINC001017913062 758382746 /nfs/dbraw/zinc/38/27/46/758382746.db2.gz UYVJDRHRRMZMLJ-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM C/C=C(/C)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066032277 759015126 /nfs/dbraw/zinc/01/51/26/759015126.db2.gz OKOODGNFTJFZAZ-RPHDBTCBSA-N -1 1 317.389 1.864 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(c2ncc(F)cn2)CC1 ZINC001057127239 762694708 /nfs/dbraw/zinc/69/47/08/762694708.db2.gz KLTAFXQDXUTHHB-UHFFFAOYSA-N -1 1 317.324 1.069 20 0 DDADMM CC1(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001085288859 759239107 /nfs/dbraw/zinc/23/91/07/759239107.db2.gz DDCPCQCEFQQUQY-VXGBXAGGSA-N -1 1 321.425 1.608 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@H]1CC12CCC2 ZINC001085348222 759283020 /nfs/dbraw/zinc/28/30/20/759283020.db2.gz UVHSKWSFRFOZJV-IJLUTSLNSA-N -1 1 319.409 1.218 20 0 DDADMM C[C@@H](C[C@H]1CCOC1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000826908656 759431337 /nfs/dbraw/zinc/43/13/37/759431337.db2.gz DDPGQONQYVRHMC-VHSXEESVSA-N -1 1 306.391 1.563 20 0 DDADMM CN(C[C@H]1CCN1Cc1cscn1)C(=O)c1ncccc1[O-] ZINC001085561012 759698013 /nfs/dbraw/zinc/69/80/13/759698013.db2.gz ANYSSDOGICARIS-GFCCVEGCSA-N -1 1 318.402 1.590 20 0 DDADMM Cc1cc(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])on1 ZINC001038195059 760908780 /nfs/dbraw/zinc/90/87/80/760908780.db2.gz RWPIGWVPTMHCFT-NSHDSACASA-N -1 1 302.334 1.088 20 0 DDADMM Cc1ccncc1CN1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042642581 764306115 /nfs/dbraw/zinc/30/61/15/764306115.db2.gz NNYAXSGXSGLPBN-UHFFFAOYSA-N -1 1 312.373 1.447 20 0 DDADMM CC(C)CC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052422095 765809964 /nfs/dbraw/zinc/80/99/64/765809964.db2.gz PSBTZTZAVCBMIU-QWHCGFSZSA-N -1 1 319.405 1.658 20 0 DDADMM Cc1nsc(N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001113575089 765896422 /nfs/dbraw/zinc/89/64/22/765896422.db2.gz MPDODJQMFVPPQW-QMMMGPOBSA-N -1 1 307.379 1.202 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)c1cncc(Cl)n1 ZINC001113574908 765896765 /nfs/dbraw/zinc/89/67/65/765896765.db2.gz JASCIDDGWFGUSD-SECBINFHSA-N -1 1 321.768 1.485 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cccc(C(F)(F)F)n3)c2[nH]1 ZINC001170217312 766176473 /nfs/dbraw/zinc/17/64/73/766176473.db2.gz QSSNDLRNVCIBAX-UHFFFAOYSA-N -1 1 312.211 1.873 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccc(Cn3cncn3)cc2)[n-]1 ZINC001170227239 766201000 /nfs/dbraw/zinc/20/10/00/766201000.db2.gz HZMZALCKXYVWIX-UHFFFAOYSA-N -1 1 308.305 1.442 20 0 DDADMM CNC(=O)c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)cc1F ZINC001170229229 766203431 /nfs/dbraw/zinc/20/34/31/766203431.db2.gz OPHXERRFJYUQDZ-UHFFFAOYSA-N -1 1 302.269 1.301 20 0 DDADMM O=C(NC1CC(CNc2cccc(F)n2)C1)c1ncccc1[O-] ZINC001067843618 766747939 /nfs/dbraw/zinc/74/79/39/766747939.db2.gz RYOSXAXQTDTIRI-UHFFFAOYSA-N -1 1 316.336 1.942 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3scnc3Cl)nc2n1 ZINC001129409067 766871588 /nfs/dbraw/zinc/87/15/88/766871588.db2.gz LWTDRKNYSGHGTK-UHFFFAOYSA-N -1 1 310.726 1.088 20 0 DDADMM Cc1ncc(CN2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)o1 ZINC001046259168 767314072 /nfs/dbraw/zinc/31/40/72/767314072.db2.gz FYSVFGAFWGQJKQ-INIZCTEOSA-N -1 1 316.361 1.478 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2ccsc2[C@@H]1C ZINC001121677868 782623183 /nfs/dbraw/zinc/62/31/83/782623183.db2.gz BKVZMOYFQTYMAH-QMMMGPOBSA-N -1 1 316.394 1.663 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2ccsc2[C@@H]1C ZINC001121677868 782623189 /nfs/dbraw/zinc/62/31/89/782623189.db2.gz BKVZMOYFQTYMAH-QMMMGPOBSA-N -1 1 316.394 1.663 20 0 DDADMM O=C(NC[C@H]1C[C@@H](Nc2cccc(F)n2)C1)c1ncccc1[O-] ZINC001046333385 767431269 /nfs/dbraw/zinc/43/12/69/767431269.db2.gz CYDZSEGHSXMLPT-PHIMTYICSA-N -1 1 316.336 1.942 20 0 DDADMM Cc1nc(-c2cccc(C(=O)N(C)c3nn[n-]n3)c2)cs1 ZINC001130971971 767825035 /nfs/dbraw/zinc/82/50/35/767825035.db2.gz LIQBFKYMWAADJW-UHFFFAOYSA-N -1 1 300.347 1.908 20 0 DDADMM CCC1(C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001070648241 768643780 /nfs/dbraw/zinc/64/37/80/768643780.db2.gz BVYOFUYNXWQGCT-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM CSCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001071559328 769623574 /nfs/dbraw/zinc/62/35/74/769623574.db2.gz IBCUIXYXUUTXMM-MNOVXSKESA-N -1 1 323.418 1.117 20 0 DDADMM NC(=O)c1ccn(-c2ccc(NC(=O)c3ccc([O-])cn3)cc2)n1 ZINC001136531062 771996059 /nfs/dbraw/zinc/99/60/59/771996059.db2.gz RPAVRIPTSBHYHC-UHFFFAOYSA-N -1 1 323.312 1.324 20 0 DDADMM C=CC(=O)Nc1ccc([O-])c(C(=O)N(C)c2cnn(C)c2)c1 ZINC001136899684 772195272 /nfs/dbraw/zinc/19/52/72/772195272.db2.gz JIEDMZAZOZKWHG-UHFFFAOYSA-N -1 1 300.318 1.527 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cnc(-c2ccccc2Cl)nc1 ZINC001136946448 772219794 /nfs/dbraw/zinc/21/97/94/772219794.db2.gz ARSAASYUESMIAV-UHFFFAOYSA-N -1 1 301.697 1.562 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnc(-c2ccccc2Cl)nc1 ZINC001136946448 772219798 /nfs/dbraw/zinc/21/97/98/772219798.db2.gz ARSAASYUESMIAV-UHFFFAOYSA-N -1 1 301.697 1.562 20 0 DDADMM COCCCC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001143802651 772308775 /nfs/dbraw/zinc/30/87/75/772308775.db2.gz FRUYSFKLQXRVNI-UWVGGRQHSA-N -1 1 310.316 1.471 20 0 DDADMM Cc1ccccc1[C@H](O)C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001144522697 772556426 /nfs/dbraw/zinc/55/64/26/772556426.db2.gz NEPVXGLQCLNCJW-VIFPVBQESA-N -1 1 304.262 1.266 20 0 DDADMM CSc1ncc(C(=O)NCCCc2ccc(O)cc2)c(=O)[n-]1 ZINC001147790740 773247485 /nfs/dbraw/zinc/24/74/85/773247485.db2.gz MTCYDNUCLXJAPU-UHFFFAOYSA-N -1 1 319.386 1.972 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cc(Cl)ncc2CO)c1[O-] ZINC001147833601 773260148 /nfs/dbraw/zinc/26/01/48/773260148.db2.gz JETWTZGDKAAXGN-UHFFFAOYSA-N -1 1 323.736 1.381 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2nnc(C(C)(C)C)o2)c1[O-] ZINC001147834161 773261284 /nfs/dbraw/zinc/26/12/84/773261284.db2.gz OIXQQBGGJAFVFC-UHFFFAOYSA-N -1 1 306.322 1.521 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cccc(C2CCC2)c1 ZINC001147835081 773261820 /nfs/dbraw/zinc/26/18/20/773261820.db2.gz PGGGKLZYUFWXQB-UHFFFAOYSA-N -1 1 315.377 1.297 20 0 DDADMM CC1(C)CCC[C@@H](CC(=O)NCCNCc2n[nH]c(=O)[n-]2)C1 ZINC001147865117 773277435 /nfs/dbraw/zinc/27/74/35/773277435.db2.gz NJIYDWMOBOPNML-NSHDSACASA-N -1 1 309.414 1.323 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2OCCN(CC3CC3)[C@@H]2C1 ZINC001074218981 773742392 /nfs/dbraw/zinc/74/23/92/773742392.db2.gz IKXOBIAHWNRMIO-UKRRQHHQSA-N -1 1 317.389 1.113 20 0 DDADMM O=P([O-])([O-])CC[NH2+][C@@H]1CCc2cc(F)c(F)c(F)c2C1 ZINC001171506736 776325094 /nfs/dbraw/zinc/32/50/94/776325094.db2.gz ZNWJKKPYQWUXFJ-MRVPVSSYSA-N -1 1 309.224 1.729 20 0 DDADMM CCOC(=O)c1nc[n-]c1-c1nc(-c2cncc(OC)c2)no1 ZINC001212767923 777701790 /nfs/dbraw/zinc/70/17/90/777701790.db2.gz FCSRUSNNAKRDJV-UHFFFAOYSA-N -1 1 315.289 1.707 20 0 DDADMM Cc1[nH]nc2ccc(NS(=O)(=O)c3cc(N)ccc3N)cc12 ZINC001175702288 777865226 /nfs/dbraw/zinc/86/52/26/777865226.db2.gz MALROTNVUOMMAW-UHFFFAOYSA-N -1 1 317.374 1.837 20 0 DDADMM Cc1n[nH]c2ccc([N-]S(=O)(=O)c3cc(N)ccc3N)cc12 ZINC001175702288 777865237 /nfs/dbraw/zinc/86/52/37/777865237.db2.gz MALROTNVUOMMAW-UHFFFAOYSA-N -1 1 317.374 1.837 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc(Cl)nc(C2CC2)c1 ZINC001176727203 778221541 /nfs/dbraw/zinc/22/15/41/778221541.db2.gz YPYMJNLTCMAPSU-UHFFFAOYSA-N -1 1 318.768 1.935 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1cncc(Cl)n1 ZINC001103069644 778617421 /nfs/dbraw/zinc/61/74/21/778617421.db2.gz ZATFTCUVLIXIIH-SECBINFHSA-N -1 1 321.768 1.851 20 0 DDADMM CC(C)(Cc1cccnc1)C(=O)NCCCC[P@](=O)([O-])O ZINC001178063093 778845612 /nfs/dbraw/zinc/84/56/12/778845612.db2.gz NBGXQSZMKYMNFE-UHFFFAOYSA-N -1 1 314.322 1.724 20 0 DDADMM C[C@@H](CNC(=O)c1c([O-])cnc2c(F)cccc21)c1ncon1 ZINC001178714518 779156736 /nfs/dbraw/zinc/15/67/36/779156736.db2.gz JPJSZDTVEYMZNB-QMMMGPOBSA-N -1 1 316.292 1.996 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNc1ncc(Cl)cn1 ZINC001103917420 779169198 /nfs/dbraw/zinc/16/91/98/779169198.db2.gz MNOMSDURAGZHSZ-VIFPVBQESA-N -1 1 321.768 1.709 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104050592 779270888 /nfs/dbraw/zinc/27/08/88/779270888.db2.gz FUVRTWKSGHHJDP-LGSVWZNTSA-N -1 1 307.398 1.051 20 0 DDADMM COc1cc(CC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)ccc1F ZINC001180425835 779755578 /nfs/dbraw/zinc/75/55/78/779755578.db2.gz GDLWBLUYLYQQAC-UHFFFAOYSA-N -1 1 322.252 1.615 20 0 DDADMM Cc1ccn([C@H](C)CC(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC001118462870 781130144 /nfs/dbraw/zinc/13/01/44/781130144.db2.gz ITJBFYQQRSRAJG-SNVBAGLBSA-N -1 1 321.299 1.754 20 0 DDADMM CN(C(=O)c1ccc2c(c1)nc(C(F)F)n2C)c1nn[nH]n1 ZINC001119490305 781476089 /nfs/dbraw/zinc/47/60/89/781476089.db2.gz JYLLYYSREMOEAS-UHFFFAOYSA-N -1 1 307.264 1.301 20 0 DDADMM CN(C(=O)c1ccc2c(c1)nc(C(F)F)n2C)c1nn[n-]n1 ZINC001119490305 781476091 /nfs/dbraw/zinc/47/60/91/781476091.db2.gz JYLLYYSREMOEAS-UHFFFAOYSA-N -1 1 307.264 1.301 20 0 DDADMM CCOCC[C@H](NCc1cnn(-c2ccccc2)n1)C(=O)[O-] ZINC001119615975 781537346 /nfs/dbraw/zinc/53/73/46/781537346.db2.gz YPVHYLUJJSTWGV-AWEZNQCLSA-N -1 1 304.350 1.237 20 0 DDADMM CCCCCC(C)(C)C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001267669850 838611449 /nfs/dbraw/zinc/61/14/49/838611449.db2.gz LGQQSSAJPKLJRS-UHFFFAOYSA-N -1 1 323.441 1.015 20 0 DDADMM CC(C)CC(=O)Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001142709984 861241429 /nfs/dbraw/zinc/24/14/29/861241429.db2.gz GNXNNWBOXLXQQV-UHFFFAOYSA-N -1 1 313.317 1.958 20 0 DDADMM O=C(Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12)C(F)F ZINC001142788841 861261610 /nfs/dbraw/zinc/26/16/10/861261610.db2.gz MGRSAXBIJYDBNX-UHFFFAOYSA-N -1 1 307.216 1.177 20 0 DDADMM CN(C)C(=O)c1cc2c([nH]1)CN(C(=O)c1ccc([O-])cn1)CC2 ZINC001270139055 842325506 /nfs/dbraw/zinc/32/55/06/842325506.db2.gz QDEJVVKGYBDOOV-UHFFFAOYSA-N -1 1 314.345 1.016 20 0 DDADMM CCN(C(=O)[C@@H]1CC12CN(C(=O)c1ccc([O-])cn1)C2)C(C)C ZINC001270138487 842326449 /nfs/dbraw/zinc/32/64/49/842326449.db2.gz DZSZBZSCEKSVDU-ZDUSSCGKSA-N -1 1 317.389 1.506 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H]1CCN1C(C)(C)C ZINC001364011179 842939853 /nfs/dbraw/zinc/93/98/53/842939853.db2.gz KVLODJXGZDBYCQ-SECBINFHSA-N -1 1 319.452 1.303 20 0 DDADMM O=C(NCCc1ccn(-c2ccccc2)n1)c1cnncc1O ZINC001143145419 861388425 /nfs/dbraw/zinc/38/84/25/861388425.db2.gz JYQCUWKHMDHVAK-UHFFFAOYSA-N -1 1 309.329 1.340 20 0 DDADMM CC(C)CN1CC[C@]2(CCCN2C(=O)c2cncc([O-])c2)C1=O ZINC001271387118 843545882 /nfs/dbraw/zinc/54/58/82/843545882.db2.gz QJQFKHZTLDYEGC-QGZVFWFLSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(CN1CCCC1=O)Nc1cccc(C(F)(F)F)c1[O-] ZINC001143249237 861441088 /nfs/dbraw/zinc/44/10/88/861441088.db2.gz PWJIWTSIUWIKIK-UHFFFAOYSA-N -1 1 302.252 1.972 20 0 DDADMM Cc1cc(F)cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1N ZINC001154775745 861443496 /nfs/dbraw/zinc/44/34/96/861443496.db2.gz HHYWLCWROFYIQG-SNVBAGLBSA-N -1 1 322.369 1.160 20 0 DDADMM CN1C(=O)C[C@@]2(CCCN(C(=O)c3cc([O-])cc(F)c3)C2)C1=O ZINC001271560642 843699084 /nfs/dbraw/zinc/69/90/84/843699084.db2.gz ANZLBHDLGZFHBC-MRXNPFEDSA-N -1 1 320.320 1.142 20 0 DDADMM CCOCCS(=O)(=O)c1nc(-c2ccc(CC)cc2)n[n-]1 ZINC001326561853 861448123 /nfs/dbraw/zinc/44/81/23/861448123.db2.gz PYBUURGWIRQDGD-UHFFFAOYSA-N -1 1 309.391 1.844 20 0 DDADMM CCOCCS(=O)(=O)c1n[n-]c(-c2ccc(CC)cc2)n1 ZINC001326561853 861448129 /nfs/dbraw/zinc/44/81/29/861448129.db2.gz PYBUURGWIRQDGD-UHFFFAOYSA-N -1 1 309.391 1.844 20 0 DDADMM COC(=O)c1[n-]cnc1NC(=O)C(=O)c1ccc(Cl)s1 ZINC001272350076 846058630 /nfs/dbraw/zinc/05/86/30/846058630.db2.gz MASCSIXJNCTKCE-UHFFFAOYSA-N -1 1 313.722 1.733 20 0 DDADMM CNC(=O)[C@H]1CC12CCN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC001272401155 846101351 /nfs/dbraw/zinc/10/13/51/846101351.db2.gz MCSKCFOIPSHKEP-LLVKDONJSA-N -1 1 306.337 1.520 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CC[C@H](CO)[C@H](O)C1 ZINC001149650339 861782462 /nfs/dbraw/zinc/78/24/62/861782462.db2.gz LULPGOVSCCKYPL-BDJLRTHQSA-N -1 1 317.341 1.066 20 0 DDADMM CN(C)C(=O)C=CC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001149665299 861791689 /nfs/dbraw/zinc/79/16/89/861791689.db2.gz WGIUUQYJUKFRDL-BQYQJAHWSA-N -1 1 300.318 1.161 20 0 DDADMM Cc1[nH]c(CN2CCC[C@]23CCN(C)C3=O)c(C)c1C(=O)[O-] ZINC001273171408 848627715 /nfs/dbraw/zinc/62/77/15/848627715.db2.gz FJVHVUMXQKSTPL-MRXNPFEDSA-N -1 1 305.378 1.526 20 0 DDADMM CC(C)Nc1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cn1 ZINC001411358382 850939297 /nfs/dbraw/zinc/93/92/97/850939297.db2.gz ZGUBHRHUAYABPO-UHFFFAOYSA-N -1 1 310.361 1.809 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CC[C@H](CO)[C@H](O)C3)c([O-])c2n1 ZINC001155653228 862343322 /nfs/dbraw/zinc/34/33/22/862343322.db2.gz BILOABSLKZKMAS-TZMCWYRMSA-N -1 1 316.357 1.064 20 0 DDADMM NC(=O)[C@H]1CCC[C@@]12CCN(C(=O)c1cc([O-])cnc1Cl)C2 ZINC001274970568 852720271 /nfs/dbraw/zinc/72/02/71/852720271.db2.gz SSYCUNRTCXGDDH-ABAIWWIYSA-N -1 1 323.780 1.558 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@@H]2C[C@@]21C(=O)N1CC=CC1 ZINC001275361083 853015866 /nfs/dbraw/zinc/01/58/66/853015866.db2.gz NEYMZLOVQMMXNO-DIFFPNOSSA-N -1 1 316.332 1.534 20 0 DDADMM CN(C)C(=O)c1[nH]nc2c1CN(C(=O)c1cccc([S-])c1)C2 ZINC001275358960 853017637 /nfs/dbraw/zinc/01/76/37/853017637.db2.gz RCMWDRBSYKWLIX-UHFFFAOYSA-N -1 1 316.386 1.556 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@]12CCN(C(=O)c1ccc(F)c([O-])c1)C2 ZINC001275408151 853079588 /nfs/dbraw/zinc/07/95/88/853079588.db2.gz LOSRURYTINPCNA-ZBEGNZNMSA-N -1 1 306.337 1.649 20 0 DDADMM CCn1nc(C)c(C(=O)Nc2[n-]c(C(=O)OC)cc2C(C)=O)n1 ZINC001411535178 853323212 /nfs/dbraw/zinc/32/32/12/853323212.db2.gz HXZGVQKRKSPIQP-UHFFFAOYSA-N -1 1 319.321 1.176 20 0 DDADMM CN(C)C(=O)CN1CCC12CN(Cc1cccc([O-])c1Cl)C2 ZINC001275565670 853362013 /nfs/dbraw/zinc/36/20/13/853362013.db2.gz JDZFVKOFKFHFIX-UHFFFAOYSA-N -1 1 323.824 1.394 20 0 DDADMM Cc1cc(F)c(Br)cc1C(=O)NCc1nn[n-]n1 ZINC001411752492 853683225 /nfs/dbraw/zinc/68/32/25/853683225.db2.gz JVNCZKYUBPRJGQ-UHFFFAOYSA-N -1 1 314.118 1.340 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)N(C)C(=O)c1cccc([O-])c1F ZINC001411797364 853751774 /nfs/dbraw/zinc/75/17/74/853751774.db2.gz BIASMDWBNVNRMS-SECBINFHSA-N -1 1 303.355 1.427 20 0 DDADMM NC(=O)c1ccc(NC(=O)Cc2ccc([O-])c(Cl)c2)cn1 ZINC001411934396 853994522 /nfs/dbraw/zinc/99/45/22/853994522.db2.gz BAFMZJDSCQOVPT-UHFFFAOYSA-N -1 1 305.721 1.721 20 0 DDADMM CCSc1cccnc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC001411990350 854080244 /nfs/dbraw/zinc/08/02/44/854080244.db2.gz KYARRAORQOMUMF-SECBINFHSA-N -1 1 306.395 1.582 20 0 DDADMM COC(=O)N1CCC(NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001412059749 854170192 /nfs/dbraw/zinc/17/01/92/854170192.db2.gz YCPFGLLNMYTTBJ-UHFFFAOYSA-N -1 1 320.349 1.020 20 0 DDADMM Cc1ccc(N2CCNC2=O)cc1NC(=O)c1ccc([O-])cn1 ZINC001412071311 854186868 /nfs/dbraw/zinc/18/68/68/854186868.db2.gz ZKYODCHXFNTNMK-UHFFFAOYSA-N -1 1 312.329 1.878 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H](C(=O)NC(CC)(CC)c2nn[n-]n2)C1 ZINC001412111174 854229884 /nfs/dbraw/zinc/22/98/84/854229884.db2.gz ORSRFHJBTIABBF-WDEREUQCSA-N -1 1 323.397 1.311 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)[C@H]3CCCO3)nc2n1 ZINC001412244713 854370979 /nfs/dbraw/zinc/37/09/79/854370979.db2.gz UYFGHNCWEYMAGI-GXSJLCMTSA-N -1 1 319.365 1.124 20 0 DDADMM CNC(=O)c1ccc(NC(=O)c2ccc([O-])cn2)c(OC)c1 ZINC001412378220 854488326 /nfs/dbraw/zinc/48/83/26/854488326.db2.gz GWJXELHFKZXCAH-UHFFFAOYSA-N -1 1 301.302 1.408 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(Cc2ccsc2)CC1 ZINC001412384858 854493413 /nfs/dbraw/zinc/49/34/13/854493413.db2.gz INOBNKMOHFJZLV-UHFFFAOYSA-N -1 1 303.387 1.807 20 0 DDADMM O=C(CCNC(=O)c1ccc([O-])cc1F)NCc1ccccc1 ZINC001412472092 854596670 /nfs/dbraw/zinc/59/66/70/854596670.db2.gz PGARCQHFTFIWPL-UHFFFAOYSA-N -1 1 316.332 1.968 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@H](C)C1CCC1 ZINC001412491696 854619269 /nfs/dbraw/zinc/61/92/69/854619269.db2.gz NMNNNBCNKAFUAR-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1C[C@@H](O)CC2(CCOCC2)C1 ZINC001412494385 854623311 /nfs/dbraw/zinc/62/33/11/854623311.db2.gz AMDZUKVDJSNCOZ-LBPRGKRZSA-N -1 1 309.337 1.535 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc(C(F)F)ncn1 ZINC001412551962 854713155 /nfs/dbraw/zinc/71/31/55/854713155.db2.gz YKMDZPXVPDGOMA-UHFFFAOYSA-N -1 1 311.296 1.226 20 0 DDADMM CCOC1(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CCC1 ZINC001412569022 854734783 /nfs/dbraw/zinc/73/47/83/854734783.db2.gz BFKCYXVHWUDCJG-UHFFFAOYSA-N -1 1 317.349 1.676 20 0 DDADMM CO[C@H]1CCC[C@H]1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001412571399 854736494 /nfs/dbraw/zinc/73/64/94/854736494.db2.gz MKUYGKFJZDTWQS-OLZOCXBDSA-N -1 1 317.349 1.532 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1nc(C2CC2)cs1 ZINC001412731774 855042060 /nfs/dbraw/zinc/04/20/60/855042060.db2.gz VAVSWRZUYPXZJF-UHFFFAOYSA-N -1 1 322.346 1.160 20 0 DDADMM CC(C)(C)CC(C)(C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001412838965 855581558 /nfs/dbraw/zinc/58/15/58/855581558.db2.gz LGIKEEYXRHCMHP-UHFFFAOYSA-N -1 1 302.400 1.609 20 0 DDADMM CC(C)(C)CC(C)(C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001412838965 855581561 /nfs/dbraw/zinc/58/15/61/855581561.db2.gz LGIKEEYXRHCMHP-UHFFFAOYSA-N -1 1 302.400 1.609 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ncccc2SC)n[n-]1 ZINC001412843656 855719328 /nfs/dbraw/zinc/71/93/28/855719328.db2.gz VWIYRPHVJJGUMJ-UHFFFAOYSA-N -1 1 321.362 1.028 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ncccc2SC)n1 ZINC001412843656 855719333 /nfs/dbraw/zinc/71/93/33/855719333.db2.gz VWIYRPHVJJGUMJ-UHFFFAOYSA-N -1 1 321.362 1.028 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC(C2CC2)CC1 ZINC001413047933 856109491 /nfs/dbraw/zinc/10/94/91/856109491.db2.gz YSMPHZYOGFJINB-UHFFFAOYSA-N -1 1 303.362 1.166 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@@H+]1Cc1ccc(=O)[nH]c1 ZINC001413235023 856572279 /nfs/dbraw/zinc/57/22/79/856572279.db2.gz RFABIEOONGSZKI-ONGXEEELSA-N -1 1 317.311 1.819 20 0 DDADMM COc1nc(NCC[N-]C(=O)C(F)(F)F)nc2ccccc21 ZINC001156202986 862812003 /nfs/dbraw/zinc/81/20/03/862812003.db2.gz BPNQNXMGMAPNOS-UHFFFAOYSA-N -1 1 314.267 1.729 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCc2ccoc2)n[n-]1 ZINC001413268553 856594855 /nfs/dbraw/zinc/59/48/55/856594855.db2.gz HOCBWYIMUCKPJT-VIFPVBQESA-N -1 1 306.322 1.384 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCc2ccoc2)[n-]1 ZINC001413268553 856594865 /nfs/dbraw/zinc/59/48/65/856594865.db2.gz HOCBWYIMUCKPJT-VIFPVBQESA-N -1 1 306.322 1.384 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCc2ccoc2)n1 ZINC001413268553 856594877 /nfs/dbraw/zinc/59/48/77/856594877.db2.gz HOCBWYIMUCKPJT-VIFPVBQESA-N -1 1 306.322 1.384 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H](C)C1CC1)c1cccs1 ZINC001413289261 856611833 /nfs/dbraw/zinc/61/18/33/856611833.db2.gz OCTGLHNMLQFARB-BXKDBHETSA-N -1 1 317.432 1.928 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](CO)CCC(C)(C)C)sn1 ZINC001413356052 856711053 /nfs/dbraw/zinc/71/10/53/856711053.db2.gz POAXIBOLWSAKCU-JTQLQIEISA-N -1 1 306.453 1.917 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C)C[C@H]2C)[n-]n1 ZINC001413389952 856744047 /nfs/dbraw/zinc/74/40/47/856744047.db2.gz PFDWRTRZQPCJBK-RKDXNWHRSA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C)C[C@H]2C)n[n-]1 ZINC001413389952 856744051 /nfs/dbraw/zinc/74/40/51/856744051.db2.gz PFDWRTRZQPCJBK-RKDXNWHRSA-N -1 1 301.368 1.005 20 0 DDADMM CC1(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCC(=O)CC1 ZINC001413456870 856835901 /nfs/dbraw/zinc/83/59/01/856835901.db2.gz WCRNDNBLOASENC-UHFFFAOYSA-N -1 1 319.361 1.021 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(OC)c(C2CC2)c1)c1nn[n-]n1 ZINC001413557718 857063458 /nfs/dbraw/zinc/06/34/58/857063458.db2.gz UXIHITCFEXKCLB-GFCCVEGCSA-N -1 1 317.349 1.203 20 0 DDADMM Cc1cccn2c(C(=O)Nc3n[n-]c(C(F)(F)F)n3)nnc12 ZINC001156346335 862955637 /nfs/dbraw/zinc/95/56/37/862955637.db2.gz VLLFXYIHMIMXSD-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(C1)CN(C(=O)c1ccc([O-])cn1)C2 ZINC001413809112 858556435 /nfs/dbraw/zinc/55/64/35/858556435.db2.gz FCHSTBGVZWTIGA-UHFFFAOYSA-N -1 1 319.361 1.480 20 0 DDADMM C[C@@H]1CCN(c2nnc(Cc3nnn[n-]3)n2Cc2ccccc2)C1 ZINC001122051665 858673019 /nfs/dbraw/zinc/67/30/19/858673019.db2.gz AXCRKLMZUCAHNV-GFCCVEGCSA-N -1 1 324.392 1.277 20 0 DDADMM C[C@@H]1CCN(c2nnc(Cc3nn[n-]n3)n2Cc2ccccc2)C1 ZINC001122051665 858673023 /nfs/dbraw/zinc/67/30/23/858673023.db2.gz AXCRKLMZUCAHNV-GFCCVEGCSA-N -1 1 324.392 1.277 20 0 DDADMM Nc1nc2nc(CN[C@H](c3cccs3)C3CC3)cc(=O)n2[n-]1 ZINC001328555880 863061476 /nfs/dbraw/zinc/06/14/76/863061476.db2.gz BVAAZKWASYNZRZ-LBPRGKRZSA-N -1 1 316.390 1.302 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H]1CCc2cccnc21 ZINC001123831740 859433195 /nfs/dbraw/zinc/43/31/95/859433195.db2.gz BGPRVWMWHCCGBC-SNVBAGLBSA-N -1 1 316.386 1.634 20 0 DDADMM Cn1ccc2ccc(C(=O)N3CCC[C@@H](Cc4nn[n-]n4)C3)cc21 ZINC001123999149 859518829 /nfs/dbraw/zinc/51/88/29/859518829.db2.gz PPJZJJXKFADKDI-LBPRGKRZSA-N -1 1 324.388 1.786 20 0 DDADMM O=C([O-])Cn1cc(CN2CC[C@](F)(CO)C2)c2ccccc21 ZINC001138188813 860037691 /nfs/dbraw/zinc/03/76/91/860037691.db2.gz MBSYVMTYPXUUMR-MRXNPFEDSA-N -1 1 306.337 1.632 20 0 DDADMM Cn1cc(C=O)cc1C(=O)Nc1nc(Br)ccc1[O-] ZINC001138326090 860056453 /nfs/dbraw/zinc/05/64/53/860056453.db2.gz SBANHBGDYVNSIR-UHFFFAOYSA-N -1 1 324.134 1.953 20 0 DDADMM O=C(c1cc2ncccc2o1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001138803592 860195427 /nfs/dbraw/zinc/19/54/27/860195427.db2.gz HYGNYPSAMZKKQR-VIFPVBQESA-N -1 1 314.301 1.936 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccnc2cccnc21 ZINC001154306789 860971954 /nfs/dbraw/zinc/97/19/54/860971954.db2.gz CAQVLBNLGXRSJL-UHFFFAOYSA-N -1 1 309.262 1.318 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCC[C@H](OCCO)C2)c1 ZINC001154311149 860978118 /nfs/dbraw/zinc/97/81/18/860978118.db2.gz LPSHVBOXBHBTGC-ZDUSSCGKSA-N -1 1 307.346 1.127 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn3cccc(F)c3n2)n1 ZINC001154539184 861188729 /nfs/dbraw/zinc/18/87/29/861188729.db2.gz PHYYYKGDPAJPGP-UHFFFAOYSA-N -1 1 317.280 1.626 20 0 DDADMM Cc1ccc2oc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)cc2c1 ZINC001152390062 863317602 /nfs/dbraw/zinc/31/76/02/863317602.db2.gz ZUWJICUEUWVFPK-UHFFFAOYSA-N -1 1 315.333 1.085 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)c2cccc(O)c2[n-]1)c1cnn(C)c1 ZINC001152681549 863490986 /nfs/dbraw/zinc/49/09/86/863490986.db2.gz OKURMHPWLXHZGV-VIFPVBQESA-N -1 1 312.329 1.871 20 0 DDADMM C[C@]1(CO)CN(C(=O)c2c([O-])ccc3ccccc32)CC[C@@H]1O ZINC001153499072 863914308 /nfs/dbraw/zinc/91/43/08/863914308.db2.gz NHQJFEFOMCMFMZ-MAUKXSAKSA-N -1 1 315.369 1.751 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nc3ccccc3n2C)c[n-]1 ZINC001153634573 863989610 /nfs/dbraw/zinc/98/96/10/863989610.db2.gz KCNZXJPUWDVYSF-UHFFFAOYSA-N -1 1 313.317 1.725 20 0 DDADMM O=C(c1onc2ccccc21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001157660225 864024857 /nfs/dbraw/zinc/02/48/57/864024857.db2.gz MSEZKIXFSWDQMW-UHFFFAOYSA-N -1 1 314.301 1.936 20 0 DDADMM O=Cc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)ccc1F ZINC001330555613 864448992 /nfs/dbraw/zinc/44/89/92/864448992.db2.gz YTHJAXSMWILNBM-JTQLQIEISA-N -1 1 319.292 1.747 20 0 DDADMM Cc1noc(C(C)C)c1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC001331447008 865090697 /nfs/dbraw/zinc/09/06/97/865090697.db2.gz FSRBYOOEKVFXOU-UHFFFAOYSA-N -1 1 316.321 1.398 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CCC1CCCCC1 ZINC001381460351 881838598 /nfs/dbraw/zinc/83/85/98/881838598.db2.gz XLSSHRQEFYHVRR-NEPJUHHUSA-N -1 1 323.441 1.854 20 0 DDADMM COC(=O)c1cc2ccncc2nc1[N-]c1nccnc1CN ZINC001160245660 865742964 /nfs/dbraw/zinc/74/29/64/865742964.db2.gz IUBRVWRAUFJYRK-UHFFFAOYSA-N -1 1 310.317 1.409 20 0 DDADMM Cc1cnn(C[C@@H](NCc2nn(C)c3ccccc23)C(=O)[O-])c1 ZINC001332473126 865864742 /nfs/dbraw/zinc/86/47/42/865864742.db2.gz GNPOEHFQJHCZOG-CQSZACIVSA-N -1 1 313.361 1.321 20 0 DDADMM Cc1csc2nc(C)nc(Nc3c(O)[nH]c(=O)[n-]c3=S)c12 ZINC001160858355 866152827 /nfs/dbraw/zinc/15/28/27/866152827.db2.gz RCDFZFOXKHFHBO-ZETCQYMHSA-N -1 1 321.387 1.255 20 0 DDADMM Cc1c(C(=O)Nc2c[n-][nH]c2=O)nnn1-c1ccccc1Cl ZINC001161384103 866611567 /nfs/dbraw/zinc/61/15/67/866611567.db2.gz FOPYGCTWRMVECW-UHFFFAOYSA-N -1 1 318.724 1.910 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)Nc1nccn1C ZINC001161937498 867119043 /nfs/dbraw/zinc/11/90/43/867119043.db2.gz BGGAZNJVXWWKIX-UHFFFAOYSA-N -1 1 324.344 1.055 20 0 DDADMM O=C(NCc1cnn2ccccc12)c1cnc(C2CC2)[n-]c1=O ZINC001334157468 867338056 /nfs/dbraw/zinc/33/80/56/867338056.db2.gz WQBRCWQKBHTKOP-UHFFFAOYSA-N -1 1 309.329 1.637 20 0 DDADMM CC(C)(CO)O[N-]C(=O)CCc1nc(-c2ccccc2)no1 ZINC001324638172 867421051 /nfs/dbraw/zinc/42/10/51/867421051.db2.gz HVFXRQUVQOAYRC-UHFFFAOYSA-N -1 1 305.334 1.488 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CSCC(C)=O)[n-]1 ZINC001361648911 882085207 /nfs/dbraw/zinc/08/52/07/882085207.db2.gz NCXLXGUKORKIMO-UHFFFAOYSA-N -1 1 312.347 1.265 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)Cc1ccsc1 ZINC001361673052 882131600 /nfs/dbraw/zinc/13/16/00/882131600.db2.gz HAHOEPUZVFKSRU-SSDOTTSWSA-N -1 1 309.347 1.319 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(Cc2cscn2)CC1 ZINC001361681406 882148680 /nfs/dbraw/zinc/14/86/80/882148680.db2.gz YZTFUTVMSMGMEQ-UHFFFAOYSA-N -1 1 321.377 1.946 20 0 DDADMM CC/C=C(/C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163676590 868621600 /nfs/dbraw/zinc/62/16/00/868621600.db2.gz CYHUGPYANRUHKD-WCIBSUBMSA-N -1 1 307.398 1.243 20 0 DDADMM C[C@@H](CCCNC(=O)c1cocn1)NC(=O)c1ncccc1[O-] ZINC001336011976 868678998 /nfs/dbraw/zinc/67/89/98/868678998.db2.gz CDQCQGYDUQUWKG-JTQLQIEISA-N -1 1 318.333 1.104 20 0 DDADMM Cn1c(=O)oc2cc(NCC[N-]C(=O)C(F)(F)F)ccc21 ZINC001164244129 869050223 /nfs/dbraw/zinc/05/02/23/869050223.db2.gz VVRAHZAGMQSMLY-UHFFFAOYSA-N -1 1 303.240 1.222 20 0 DDADMM COC(=O)COc1ccc(NCC[N-]C(=O)C(F)(F)F)cc1 ZINC001164251115 869059175 /nfs/dbraw/zinc/05/91/75/869059175.db2.gz LOBPDYIJWAPARE-UHFFFAOYSA-N -1 1 320.267 1.329 20 0 DDADMM C[C@@H](CN(C)C(=O)/C=C/C(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001337081445 869313031 /nfs/dbraw/zinc/31/30/31/869313031.db2.gz SEEDDEUYLPLHEE-BCPZQOPPSA-N -1 1 319.405 1.966 20 0 DDADMM O=C([O-])Cc1nccnc1N1CC2(CN(Cc3ccccc3)C2)C1 ZINC001165123347 869392258 /nfs/dbraw/zinc/39/22/58/869392258.db2.gz SWXZKAWVDZGPFH-UHFFFAOYSA-N -1 1 324.384 1.426 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)CCc1ccco1 ZINC001361756083 882305898 /nfs/dbraw/zinc/30/58/98/882305898.db2.gz OXYGELGSTROJGU-QMMMGPOBSA-N -1 1 307.306 1.241 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H](OC)C2CC2)[n-]c1Cl ZINC001361766626 882327349 /nfs/dbraw/zinc/32/73/49/882327349.db2.gz ITWDWIONVFULKB-MRVPVSSYSA-N -1 1 301.730 1.603 20 0 DDADMM CCN(Cc1cccc(Cl)c1)c1nnc(-c2nnn[n-]2)n1C ZINC001337932329 869698943 /nfs/dbraw/zinc/69/89/43/869698943.db2.gz GXCGVMLBQDQXMV-UHFFFAOYSA-N -1 1 318.772 1.675 20 0 DDADMM CCN(Cc1cccc(Cl)c1)c1nnc(-c2nn[n-]n2)n1C ZINC001337932329 869698947 /nfs/dbraw/zinc/69/89/47/869698947.db2.gz GXCGVMLBQDQXMV-UHFFFAOYSA-N -1 1 318.772 1.675 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CC2(CC2)c2ccccc21 ZINC001338560439 870044531 /nfs/dbraw/zinc/04/45/31/870044531.db2.gz GKGXEOSVDHENMA-UHFFFAOYSA-N -1 1 310.317 1.342 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CC=CC1 ZINC001297965519 870219872 /nfs/dbraw/zinc/21/98/72/870219872.db2.gz VDWSPQOMPIPLGI-ZDUSSCGKSA-N -1 1 317.389 1.624 20 0 DDADMM Cc1cc(C(=O)COCc2nn[n-]n2)c(C)n1-c1nccs1 ZINC001339183302 870392143 /nfs/dbraw/zinc/39/21/43/870392143.db2.gz QEGIWXRJTLGXED-UHFFFAOYSA-N -1 1 318.362 1.463 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)C[C@@H](C)c1ccccc1 ZINC001339260294 870424702 /nfs/dbraw/zinc/42/47/02/870424702.db2.gz KYQXZZINKDKBPH-LLVKDONJSA-N -1 1 312.381 1.718 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)C[C@@H](C)c1ccccc1 ZINC001339260294 870424706 /nfs/dbraw/zinc/42/47/06/870424706.db2.gz KYQXZZINKDKBPH-LLVKDONJSA-N -1 1 312.381 1.718 20 0 DDADMM Cc1cc2c(c(N3CCO[C@H](c4ccccn4)C3)n1)C(=O)[N-]C2=O ZINC001167012113 870471353 /nfs/dbraw/zinc/47/13/53/870471353.db2.gz KCZMJLZQIXNOOA-ZDUSSCGKSA-N -1 1 324.340 1.247 20 0 DDADMM CC(C)OCCCC(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001298577741 870628506 /nfs/dbraw/zinc/62/85/06/870628506.db2.gz BYINAHGXQHDTGL-GFCCVEGCSA-N -1 1 323.393 1.227 20 0 DDADMM CCc1ccccc1-n1cc(C(=O)Nc2c(C)[n-][nH]c2=O)nn1 ZINC001298673545 870667264 /nfs/dbraw/zinc/66/72/64/870667264.db2.gz LAUXRKMRTNRDIV-UHFFFAOYSA-N -1 1 312.333 1.819 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1Nc1cnn([C@H]2CCOC2)c1 ZINC001205167664 871408347 /nfs/dbraw/zinc/40/83/47/871408347.db2.gz BXIYTPAUIORRIM-ZETCQYMHSA-N -1 1 308.323 1.770 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CC2OCCCO2)[n-]1 ZINC001361961181 882714357 /nfs/dbraw/zinc/71/43/57/882714357.db2.gz WFGOBNMKGBIGEU-UHFFFAOYSA-N -1 1 310.306 1.096 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCSCC(C)C ZINC001347081481 874003469 /nfs/dbraw/zinc/00/34/69/874003469.db2.gz OLUXUINHTFTLMH-UHFFFAOYSA-N -1 1 301.437 1.898 20 0 DDADMM COc1cccc2cc(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)oc21 ZINC001347976277 874323879 /nfs/dbraw/zinc/32/38/79/874323879.db2.gz XPBKWPDISDZHER-SNVBAGLBSA-N -1 1 313.317 1.584 20 0 DDADMM O=C(c1cc2ccccc2cc1O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347974420 874324507 /nfs/dbraw/zinc/32/45/07/874324507.db2.gz JTOKLIBQQUCYIZ-GFCCVEGCSA-N -1 1 309.329 1.688 20 0 DDADMM CCN(Cc1ccncc1)C(=O)c1cnc(SC)[n-]c1=O ZINC001362013067 882821377 /nfs/dbraw/zinc/82/13/77/882821377.db2.gz CWROVSWKDFVMJQ-UHFFFAOYSA-N -1 1 304.375 1.961 20 0 DDADMM Cc1cnc(F)cc1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001348167768 874396229 /nfs/dbraw/zinc/39/62/29/874396229.db2.gz XMYYNPCAYFGGEF-JTQLQIEISA-N -1 1 304.329 1.137 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1[C@H]2Cc3ccccc3[C@@H]12 ZINC001348293970 874469227 /nfs/dbraw/zinc/46/92/27/874469227.db2.gz IKXDMBQUCUCLBV-CYZMBNFOSA-N -1 1 313.382 1.847 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)c2cn(C)nc2C)c(=O)[n-]1 ZINC001362022012 882850343 /nfs/dbraw/zinc/85/03/43/882850343.db2.gz ZLFHDMYUYNFLEY-SSDOTTSWSA-N -1 1 307.379 1.437 20 0 DDADMM CCCC[C@@H](C)C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001378336245 874711462 /nfs/dbraw/zinc/71/14/62/874711462.db2.gz RCLUYYKCCMNXDB-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CCOC(=O)C1CC(Oc2[n-]c(=O)ncc2Br)C1 ZINC001227133652 882863340 /nfs/dbraw/zinc/86/33/40/882863340.db2.gz LVICSULTYGMQDL-UHFFFAOYSA-N -1 1 317.139 1.665 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](c2nn[n-]n2)C1)c1cn(C)c2ccccc12 ZINC001349001825 874918326 /nfs/dbraw/zinc/91/83/26/874918326.db2.gz COYLVUUGCJVWIS-VXGBXAGGSA-N -1 1 324.388 1.811 20 0 DDADMM Cc1[nH]c2ccccc2c1CC(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001349003263 874920186 /nfs/dbraw/zinc/92/01/86/874920186.db2.gz UMXQGIXOMLXMCV-NSHDSACASA-N -1 1 310.361 1.548 20 0 DDADMM O=C(NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1)c1ccoc1 ZINC001378465970 874930246 /nfs/dbraw/zinc/93/02/46/874930246.db2.gz QBSZLXAZUNDFMN-UHFFFAOYSA-N -1 1 319.365 1.135 20 0 DDADMM C[C@H]1CC[C@H](CC(=O)NCCCNC(=O)c2ncccc2[O-])C1 ZINC001349940745 875466859 /nfs/dbraw/zinc/46/68/59/875466859.db2.gz UPCQIVWYSRBUBX-STQMWFEESA-N -1 1 319.405 1.850 20 0 DDADMM Cc1cscc1C(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001378756972 875561132 /nfs/dbraw/zinc/56/11/32/875561132.db2.gz IHKWGTCHUGVRLI-SECBINFHSA-N -1 1 309.395 1.131 20 0 DDADMM C/C=C(\C)C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001350672610 875825138 /nfs/dbraw/zinc/82/51/38/875825138.db2.gz LVEGCDZVHGBWJZ-QNCMIEPLSA-N -1 1 303.362 1.426 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1Nc1cnc2nccnc2c1 ZINC001213212505 875850558 /nfs/dbraw/zinc/85/05/58/875850558.db2.gz FQFMHKZXXDAHTB-UHFFFAOYSA-N -1 1 301.291 1.951 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cnc3nccnc3c2)cc1 ZINC001213222694 875852840 /nfs/dbraw/zinc/85/28/40/875852840.db2.gz DUNOGVCEODXVER-UHFFFAOYSA-N -1 1 301.331 1.408 20 0 DDADMM NC(=O)CN(Cc1ccccc1)C(=O)c1ccc([O-])cc1F ZINC001362081696 882987587 /nfs/dbraw/zinc/98/75/87/882987587.db2.gz VVNDQMLHCPRHSP-UHFFFAOYSA-N -1 1 302.305 1.659 20 0 DDADMM CCC[C@](C)(NC(=O)c1cnc(SC)[n-]c1=O)C(=O)OC ZINC001362081620 882988144 /nfs/dbraw/zinc/98/81/44/882988144.db2.gz WTETYVANTOFUBP-ZDUSSCGKSA-N -1 1 313.379 1.366 20 0 DDADMM CCC[C@H](OC)C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001351406458 876233469 /nfs/dbraw/zinc/23/34/69/876233469.db2.gz IYCIRVGUVKXEBN-ZDUSSCGKSA-N -1 1 323.393 1.181 20 0 DDADMM O=c1[nH]c2nc(O[C@H]3CCc4cc(F)ccc43)[n-]c2c(=O)[nH]1 ZINC001227432596 883015574 /nfs/dbraw/zinc/01/55/74/883015574.db2.gz PFUCOWVAAONHAB-VIFPVBQESA-N -1 1 302.265 1.970 20 0 DDADMM O=c1[nH]c2[n-]c(O[C@H]3CCc4cc(F)ccc43)nc2c(=O)[nH]1 ZINC001227432596 883015591 /nfs/dbraw/zinc/01/55/91/883015591.db2.gz PFUCOWVAAONHAB-VIFPVBQESA-N -1 1 302.265 1.970 20 0 DDADMM COc1c(C)ccc(F)c1[N-]S(=O)(=O)c1cncc(N)c1 ZINC001214532487 876378864 /nfs/dbraw/zinc/37/88/64/876378864.db2.gz VDBCZKFMSMKLQN-UHFFFAOYSA-N -1 1 311.338 1.921 20 0 DDADMM Cc1c(C)c([N-]S(=O)(=O)c2cc(N)ccc2N)ccc1CO ZINC001215248423 876620962 /nfs/dbraw/zinc/62/09/62/876620962.db2.gz BDQQLHSFZRDBQK-UHFFFAOYSA-N -1 1 321.402 1.761 20 0 DDADMM COc1ncc(Nc2cnccc2C)cc1[N-]S(C)(=O)=O ZINC001216151878 876878520 /nfs/dbraw/zinc/87/85/20/876878520.db2.gz PLOFJPVLBJQQLH-UHFFFAOYSA-N -1 1 308.363 1.909 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@H](c2nccs2)C1 ZINC001352750857 876907015 /nfs/dbraw/zinc/90/70/15/876907015.db2.gz RQMHTMYODVAGST-QMMMGPOBSA-N -1 1 322.415 1.866 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2csc(Cl)n2)n[n-]1 ZINC001413852206 876972999 /nfs/dbraw/zinc/97/29/99/876972999.db2.gz VMDMFJZRCJDVKF-UHFFFAOYSA-N -1 1 315.742 1.021 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2csc(Cl)n2)n1 ZINC001413852206 876973005 /nfs/dbraw/zinc/97/30/05/876973005.db2.gz VMDMFJZRCJDVKF-UHFFFAOYSA-N -1 1 315.742 1.021 20 0 DDADMM O=C(OCc1ccccc1)C1CC(Oc2nc(=O)[nH]c(=O)[n-]2)C1 ZINC001227568465 883084727 /nfs/dbraw/zinc/08/47/27/883084727.db2.gz MYKHJMYBXHGECQ-UHFFFAOYSA-N -1 1 317.301 1.184 20 0 DDADMM C[C@H](c1ccccn1)N1CCC[C@H](Oc2nc(=O)[n-]c(=O)[nH]2)C1 ZINC001227570738 883087612 /nfs/dbraw/zinc/08/76/12/883087612.db2.gz NVXYETJNTCWCDL-MNOVXSKESA-N -1 1 317.349 1.282 20 0 DDADMM O=C(/C=C/C1CC1)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001353089325 877111687 /nfs/dbraw/zinc/11/16/87/877111687.db2.gz WTLVBMVMRVXEIT-LJLILKBBSA-N -1 1 315.373 1.378 20 0 DDADMM COCc1n[nH]c(CNC(=O)c2ncc3ccccc3c2[O-])n1 ZINC001300401880 877540932 /nfs/dbraw/zinc/54/09/32/877540932.db2.gz QMUMFTSQAUEMJN-UHFFFAOYSA-N -1 1 313.317 1.135 20 0 DDADMM COC(=O)c1c(NC(=O)[C@H](C)CC(C)C)n[n-]c1OCCO ZINC001413866173 877548683 /nfs/dbraw/zinc/54/86/83/877548683.db2.gz MAVVPVOMBUETSZ-SECBINFHSA-N -1 1 313.354 1.188 20 0 DDADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)[C@H](C)CC(C)C ZINC001413866173 877548697 /nfs/dbraw/zinc/54/86/97/877548697.db2.gz MAVVPVOMBUETSZ-SECBINFHSA-N -1 1 313.354 1.188 20 0 DDADMM N[C@@H](Cc1cccc2ccccc21)C(=O)NC1C(=O)N=CN=C1[O-] ZINC001218701600 877673690 /nfs/dbraw/zinc/67/36/90/877673690.db2.gz AYSPFZJTILZXKH-ZDUSSCGKSA-N -1 1 324.340 1.550 20 0 DDADMM CN1CCN(c2ccc(NC(=O)C3(C(=O)[O-])CC3)cc2)CC1 ZINC001354028069 877692235 /nfs/dbraw/zinc/69/22/35/877692235.db2.gz URQATYWLKXJKPI-UHFFFAOYSA-N -1 1 303.362 1.242 20 0 DDADMM C/C=C(/C)C(=O)NC1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001354191521 877800681 /nfs/dbraw/zinc/80/06/81/877800681.db2.gz BSSYNSVXSWIHOC-JYOAFUTRSA-N -1 1 317.389 1.911 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)C1CC=CC1 ZINC001287599315 912337896 /nfs/dbraw/zinc/33/78/96/912337896.db2.gz XLNFIIMSEZDKAN-NSHDSACASA-N -1 1 303.362 1.330 20 0 DDADMM C[C@@H]1c2sccc2CCN1c1nnc(-c2noc(=O)[n-]2)n1C ZINC001355763846 878707480 /nfs/dbraw/zinc/70/74/80/878707480.db2.gz GIHTYRLWFZPLME-SSDOTTSWSA-N -1 1 318.362 1.344 20 0 DDADMM CC/C(C)=C/C(=O)NCCN(CC)C(=O)c1ncccc1[O-] ZINC001356042322 878841927 /nfs/dbraw/zinc/84/19/27/878841927.db2.gz JVAJRPDQEYVYHT-VAWYXSNFSA-N -1 1 305.378 1.722 20 0 DDADMM CC(C)C[C@H](CNC(=O)N1CCN(C)C(C)(C)C1)CC(=O)[O-] ZINC000315985841 879239689 /nfs/dbraw/zinc/23/96/89/879239689.db2.gz OIRQTJNDIDGLDD-ZDUSSCGKSA-N -1 1 313.442 1.859 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000316636366 879432111 /nfs/dbraw/zinc/43/21/11/879432111.db2.gz AEOONZDSXHRPBM-JTQLQIEISA-N -1 1 307.350 1.249 20 0 DDADMM CC[C@@H](C)OC(=O)N1CCCC[C@H]1CCOCc1nn[n-]n1 ZINC001223027349 880788200 /nfs/dbraw/zinc/78/82/00/880788200.db2.gz OIFNSNZEKCEHQS-NEPJUHHUSA-N -1 1 311.386 1.896 20 0 DDADMM CC(C)CCC(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001382010916 883169931 /nfs/dbraw/zinc/16/99/31/883169931.db2.gz RWGKUXNLQYKQCZ-LBPRGKRZSA-N -1 1 309.414 1.273 20 0 DDADMM CCCOc1ncccc1CNC(=O)CCCc1nn[n-]n1 ZINC001362158265 883176500 /nfs/dbraw/zinc/17/65/00/883176500.db2.gz DKMGFCFCCRSUDI-UHFFFAOYSA-N -1 1 304.354 1.023 20 0 DDADMM Cc1cnc(C(=O)NC2(C(=O)NCC3CC3)CCCC2)c([O-])c1 ZINC001362169624 883201681 /nfs/dbraw/zinc/20/16/81/883201681.db2.gz IYDWYPBINWSVHV-UHFFFAOYSA-N -1 1 317.389 1.664 20 0 DDADMM CN(C)c1ccc(NC(=O)c2ccc(F)c([O-])c2)c(C(N)=O)c1 ZINC001362179724 883227483 /nfs/dbraw/zinc/22/74/83/883227483.db2.gz TZLNEBIMGBAZMG-UHFFFAOYSA-N -1 1 317.320 1.949 20 0 DDADMM CCO[C@H]1C[C@H](O)C12CCN(C(=O)c1ccc([O-])cn1)CC2 ZINC001362190142 883254447 /nfs/dbraw/zinc/25/44/47/883254447.db2.gz WORQAFNTTDXHFH-KBPBESRZSA-N -1 1 306.362 1.179 20 0 DDADMM O=C(c1ccc(C2CC2)[nH]c1=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362208172 883301706 /nfs/dbraw/zinc/30/17/06/883301706.db2.gz LTUKESIYFUUYQI-JTQLQIEISA-N -1 1 314.349 1.198 20 0 DDADMM C[C@@H]1[C@H](NC(=O)CCCF)CCN1C(=O)c1ncccc1[O-] ZINC001395840199 912704236 /nfs/dbraw/zinc/70/42/36/912704236.db2.gz HBEHOKUEANRVTO-GHMZBOCLSA-N -1 1 309.341 1.256 20 0 DDADMM COC(=O)C1(NC(=O)c2c([O-])cnc3c(F)cccc32)CCC1 ZINC001362268248 883444760 /nfs/dbraw/zinc/44/47/60/883444760.db2.gz RGVVIAKQZNLIII-UHFFFAOYSA-N -1 1 318.304 1.905 20 0 DDADMM C[C@H](c1ccccn1)N1CCC[C@H](Oc2nc(O)cc(=O)[n-]2)C1 ZINC001228390233 883476721 /nfs/dbraw/zinc/47/67/21/883476721.db2.gz FHLNABBSNVLEMA-NEPJUHHUSA-N -1 1 316.361 1.887 20 0 DDADMM CSc1ncc(C(=O)NCc2ccn(C(C)C)n2)c(=O)[n-]1 ZINC001362305785 883526358 /nfs/dbraw/zinc/52/63/58/883526358.db2.gz BNPMUFRHIFCMHM-UHFFFAOYSA-N -1 1 307.379 1.612 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H](C3CCOCC3)C2)c(=O)[n-]1 ZINC001362316157 883547977 /nfs/dbraw/zinc/54/79/77/883547977.db2.gz RCRHUVYBHQLDCX-LLVKDONJSA-N -1 1 323.418 1.793 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(F)c([O-])c2)C[C@@]2(CCOC2)O1 ZINC001362379106 883679270 /nfs/dbraw/zinc/67/92/70/883679270.db2.gz RMZVJNMAPGLZOS-MRXNPFEDSA-N -1 1 309.337 1.941 20 0 DDADMM CCC[C@H](NC(=O)Cc1c(C)cc(C)[nH]c1=O)c1nn[n-]n1 ZINC001362390923 883702198 /nfs/dbraw/zinc/70/21/98/883702198.db2.gz FTHPKCHXSFDHCM-NSHDSACASA-N -1 1 304.354 1.117 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC[C@H](c2nnc(C3CC3)[nH]2)C1 ZINC001362392993 883705208 /nfs/dbraw/zinc/70/52/08/883705208.db2.gz KKHYLPHJFLKXLO-NSHDSACASA-N -1 1 313.361 1.803 20 0 DDADMM NC(=O)C[C@@H](NC(=O)c1ncccc1[O-])c1ccc(Cl)cc1 ZINC001362402376 883730119 /nfs/dbraw/zinc/73/01/19/883730119.db2.gz QAZDVCLTBSFZJW-LLVKDONJSA-N -1 1 319.748 1.787 20 0 DDADMM COc1cc2ccc(=O)oc2c(OC2CN(C(C)=O)C2)c1[O-] ZINC001229214566 883874267 /nfs/dbraw/zinc/87/42/67/883874267.db2.gz FDKXZLBNFUTOIO-UHFFFAOYSA-N -1 1 305.286 1.117 20 0 DDADMM C[C@H](CN(C)C(=O)c1ocnc1C(F)(F)F)c1nn[n-]n1 ZINC001362498003 883944295 /nfs/dbraw/zinc/94/42/95/883944295.db2.gz JRHKUNRUDLBUFG-RXMQYKEDSA-N -1 1 304.232 1.082 20 0 DDADMM COC(=O)[C@]1(C)CCCN1C(=O)c1cnc(SC)[n-]c1=O ZINC001362498476 883947291 /nfs/dbraw/zinc/94/72/91/883947291.db2.gz ZTRKSQDTVJMSHE-ZDUSSCGKSA-N -1 1 311.363 1.072 20 0 DDADMM O=C(c1ns[n-]c1=O)N(CCC(F)(F)F)CC(F)(F)F ZINC001362503020 883957100 /nfs/dbraw/zinc/95/71/00/883957100.db2.gz ZDJIIGXZKKEFMZ-UHFFFAOYSA-N -1 1 323.218 1.788 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)c2c(C)[n-]c(=O)nc2SC)C12CCC2 ZINC001362539153 884040518 /nfs/dbraw/zinc/04/05/18/884040518.db2.gz YYSSFTJRQZFCIL-NXEZZACHSA-N -1 1 323.418 1.900 20 0 DDADMM CC(C)c1nnc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC001362558308 884091569 /nfs/dbraw/zinc/09/15/69/884091569.db2.gz AFCXEBRCROLOHS-MRVPVSSYSA-N -1 1 307.383 1.194 20 0 DDADMM CCC(CC)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001382644556 884398027 /nfs/dbraw/zinc/39/80/27/884398027.db2.gz DDZAHJPHMDDJLV-WCQYABFASA-N -1 1 319.405 1.943 20 0 DDADMM O=C(c1cnc(C2CC2)o1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001362702112 884444693 /nfs/dbraw/zinc/44/46/93/884444693.db2.gz BMIKEBJFBWNPAC-VIFPVBQESA-N -1 1 304.306 1.660 20 0 DDADMM O=C(c1nc(C2CC2)cs1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362708567 884459454 /nfs/dbraw/zinc/45/94/54/884459454.db2.gz KYNNWOVAYSOHQZ-VIFPVBQESA-N -1 1 304.379 1.553 20 0 DDADMM CC(C)c1ccc(C(=O)NCc2nc([O-])cc(=O)[nH]2)c(=O)[nH]1 ZINC001362722173 884486464 /nfs/dbraw/zinc/48/64/64/884486464.db2.gz ILISMVZZPLSUJM-UHFFFAOYSA-N -1 1 304.306 1.042 20 0 DDADMM CC(C)[C@H](C)C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001382707255 884516214 /nfs/dbraw/zinc/51/62/14/884516214.db2.gz RRBGSOSNVUMIMU-HIFPTAJRSA-N -1 1 319.405 1.704 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(F)c([O-])c2)C[C@@]2(CCCOC2)O1 ZINC001362762387 884579247 /nfs/dbraw/zinc/57/92/47/884579247.db2.gz BNZRMQONIREEPP-MEDUHNTESA-N -1 1 309.337 1.941 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1C[C@H]2[C@H](C[C@@H]2c2ccccc2)C1 ZINC001362778682 884615277 /nfs/dbraw/zinc/61/52/77/884615277.db2.gz CDLFENFOWQBWGZ-KFWWJZLASA-N -1 1 311.389 1.785 20 0 DDADMM CCC(CC)(NC(=O)c1ccnc(OC(C)C)c1)c1nn[n-]n1 ZINC001362788122 884639960 /nfs/dbraw/zinc/63/99/60/884639960.db2.gz UDUSLJSANZCNFM-UHFFFAOYSA-N -1 1 318.381 1.827 20 0 DDADMM C[C@@H](NC(=O)Cc1ccoc1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382759246 884644043 /nfs/dbraw/zinc/64/40/43/884644043.db2.gz BNFKJTKIGDRIOU-MNOVXSKESA-N -1 1 317.345 1.246 20 0 DDADMM C[C@H](NC(=O)c1ccsn1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382767555 884658559 /nfs/dbraw/zinc/65/85/59/884658559.db2.gz QPBATKNGJRLFCE-IUCAKERBSA-N -1 1 320.374 1.181 20 0 DDADMM CCCC(=O)N[C@@]12CCC[C@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001382786812 884690925 /nfs/dbraw/zinc/69/09/25/884690925.db2.gz NOCZDQRWGBFJSC-CXAGYDPISA-N -1 1 317.389 1.841 20 0 DDADMM Cc1ccccc1OCC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001362828413 884737678 /nfs/dbraw/zinc/73/76/78/884737678.db2.gz BNWDTPASXNQUPZ-UHFFFAOYSA-N -1 1 303.366 1.572 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1coc(C2CCC2)n1 ZINC001362830019 884744501 /nfs/dbraw/zinc/74/45/01/884744501.db2.gz IDNOCPNRRVKTFN-UHFFFAOYSA-N -1 1 304.354 1.754 20 0 DDADMM CCCC(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001382842577 884790377 /nfs/dbraw/zinc/79/03/77/884790377.db2.gz MPUXAWZZCVSNQJ-RYUDHWBXSA-N -1 1 305.378 1.697 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCC[C@H]1c1ncccn1 ZINC001362849370 884790677 /nfs/dbraw/zinc/79/06/77/884790677.db2.gz RZCIEFHZICLGLZ-NSHDSACASA-N -1 1 301.354 1.066 20 0 DDADMM CCNc1nc(C(=O)NC(CC)(CC)c2nn[n-]n2)cs1 ZINC001362856214 884809912 /nfs/dbraw/zinc/80/99/12/884809912.db2.gz MAGFJRQBQPVINI-UHFFFAOYSA-N -1 1 309.399 1.533 20 0 DDADMM COC[C@@H](NC(=O)C[C@@H]1CCc2ccccc21)c1nn[n-]n1 ZINC001362910835 884961692 /nfs/dbraw/zinc/96/16/92/884961692.db2.gz SGVPMPBSOXFFFB-WCQYABFASA-N -1 1 301.350 1.124 20 0 DDADMM COC[C@H](NC(=O)CCOc1cc(C)cc(C)c1)c1nn[n-]n1 ZINC001362912318 884964912 /nfs/dbraw/zinc/96/49/12/884964912.db2.gz XACIUTFOTUFKKX-ZDUSSCGKSA-N -1 1 319.365 1.089 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(OC(C)C)CCC2)n[n-]1 ZINC001362937982 885034101 /nfs/dbraw/zinc/03/41/01/885034101.db2.gz RGSUWUUPKXBGMR-SNVBAGLBSA-N -1 1 324.381 1.506 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(OC(C)C)CCC2)[n-]1 ZINC001362937982 885034108 /nfs/dbraw/zinc/03/41/08/885034108.db2.gz RGSUWUUPKXBGMR-SNVBAGLBSA-N -1 1 324.381 1.506 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(OC(C)C)CCC2)n1 ZINC001362937982 885034118 /nfs/dbraw/zinc/03/41/18/885034118.db2.gz RGSUWUUPKXBGMR-SNVBAGLBSA-N -1 1 324.381 1.506 20 0 DDADMM CC(C)(C)OC(=O)c1cc(NC(=O)CCc2nn[n-]n2)ccn1 ZINC001363051891 885336986 /nfs/dbraw/zinc/33/69/86/885336986.db2.gz UIYMIRVHKDSPGZ-UHFFFAOYSA-N -1 1 318.337 1.121 20 0 DDADMM Cn1cc([C@@H]2CCCN(C(=O)c3ccc([O-])c(F)c3)C2)nn1 ZINC001363064632 885375032 /nfs/dbraw/zinc/37/50/32/885375032.db2.gz CVJAPQDCUDQILD-LLVKDONJSA-N -1 1 304.325 1.680 20 0 DDADMM CCCC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C(C)C ZINC001383208037 885409474 /nfs/dbraw/zinc/40/94/74/885409474.db2.gz UOQRHPLNTCQLJV-LBPRGKRZSA-N -1 1 307.394 1.848 20 0 DDADMM NC(=O)c1csc(CNC(=O)c2cc(Cl)ccc2[O-])n1 ZINC001363086526 885428268 /nfs/dbraw/zinc/42/82/68/885428268.db2.gz DZKNJSBHBITWIT-UHFFFAOYSA-N -1 1 311.750 1.531 20 0 DDADMM CCCC[C@H](CNC(=O)[C@@H](C)OC)NC(=O)c1ncccc1[O-] ZINC001383223900 885434579 /nfs/dbraw/zinc/43/45/79/885434579.db2.gz MZHPDSSIDNFGNN-VXGBXAGGSA-N -1 1 323.393 1.227 20 0 DDADMM Nc1ncc2c(n1)CN(C(=O)c1c([O-])cccc1Cl)CC2 ZINC001363098300 885457939 /nfs/dbraw/zinc/45/79/39/885457939.db2.gz YBCBVGFEFXLBRE-UHFFFAOYSA-N -1 1 304.737 1.616 20 0 DDADMM CCC[C@H](C)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001383283157 885544685 /nfs/dbraw/zinc/54/46/85/885544685.db2.gz LYZIYBGOQNBJQD-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM COC(=O)c1c(C)c(C)sc1NC(=O)c1cnncc1[O-] ZINC001363157255 885603138 /nfs/dbraw/zinc/60/31/38/885603138.db2.gz UMOOAVFPXFPLBS-UHFFFAOYSA-N -1 1 307.331 1.899 20 0 DDADMM O=C(NCc1ccc(Br)cn1)c1ccc([O-])cn1 ZINC001363186110 885665249 /nfs/dbraw/zinc/66/52/49/885665249.db2.gz SCYKOCLPOXITSR-UHFFFAOYSA-N -1 1 308.135 1.875 20 0 DDADMM O=C([O-])c1ccc(F)c(CN2CCC3(CNC(=O)C3)CC2)c1 ZINC001231455764 885675595 /nfs/dbraw/zinc/67/55/95/885675595.db2.gz DCVAHFNISBVDMD-UHFFFAOYSA-N -1 1 306.337 1.626 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc2c(c1)C[C@@H](C)O2 ZINC001363274807 885880421 /nfs/dbraw/zinc/88/04/21/885880421.db2.gz APVUMYXESDFUJP-LLVKDONJSA-N -1 1 315.377 1.822 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1CCC(=O)c2cccn21 ZINC001363277836 885892863 /nfs/dbraw/zinc/89/28/63/885892863.db2.gz VHYRKOVDEOJTCJ-GFCCVEGCSA-N -1 1 316.365 1.204 20 0 DDADMM COCc1nc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)no1 ZINC001363332253 886048579 /nfs/dbraw/zinc/04/85/79/886048579.db2.gz VEXQKKOARUBJFK-VIFPVBQESA-N -1 1 321.337 1.057 20 0 DDADMM CC(C)NC(=O)[C@@H]1CCCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001363372532 886162129 /nfs/dbraw/zinc/16/21/29/886162129.db2.gz QIZRUZOJJMTPOK-GFCCVEGCSA-N -1 1 308.353 1.908 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccncc1Br ZINC001363375948 886171317 /nfs/dbraw/zinc/17/13/17/886171317.db2.gz JBQPUNWWDRIYEH-UHFFFAOYSA-N -1 1 323.154 1.166 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(CN2CCOCC2)o1 ZINC001363376707 886173119 /nfs/dbraw/zinc/17/31/19/886173119.db2.gz RIDSMUYGANCZOB-UHFFFAOYSA-N -1 1 306.322 1.101 20 0 DDADMM Cc1conc1CN(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001374487877 913029695 /nfs/dbraw/zinc/02/96/95/913029695.db2.gz CPFANWMSAQKKIK-UHFFFAOYSA-N -1 1 304.350 1.288 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)COC2(C)CCC2)n1 ZINC001363472519 886431128 /nfs/dbraw/zinc/43/11/28/886431128.db2.gz DRDBZZJYQGPJKQ-VIFPVBQESA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)COC2(C)CCC2)n[n-]1 ZINC001363472519 886431096 /nfs/dbraw/zinc/43/10/96/886431096.db2.gz DRDBZZJYQGPJKQ-VIFPVBQESA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)COC2(C)CCC2)[n-]1 ZINC001363472519 886431114 /nfs/dbraw/zinc/43/11/14/886431114.db2.gz DRDBZZJYQGPJKQ-VIFPVBQESA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2occc2C)n[n-]1 ZINC001363476611 886441025 /nfs/dbraw/zinc/44/10/25/886441025.db2.gz QDRQXLJMKYZVIA-VIFPVBQESA-N -1 1 306.322 1.303 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2occc2C)[n-]1 ZINC001363476611 886441032 /nfs/dbraw/zinc/44/10/32/886441032.db2.gz QDRQXLJMKYZVIA-VIFPVBQESA-N -1 1 306.322 1.303 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2occc2C)n1 ZINC001363476611 886441045 /nfs/dbraw/zinc/44/10/45/886441045.db2.gz QDRQXLJMKYZVIA-VIFPVBQESA-N -1 1 306.322 1.303 20 0 DDADMM CCc1c(C(=O)N[C@@H](CCSC)c2nn[n-]n2)cnn1CC ZINC001363544817 886598481 /nfs/dbraw/zinc/59/84/81/886598481.db2.gz VURMEKYWQVPQEA-JTQLQIEISA-N -1 1 323.426 1.203 20 0 DDADMM CN(Cc1ccc(F)c([O-])c1F)C1CCS(=O)(=O)CC1 ZINC001232937873 886685920 /nfs/dbraw/zinc/68/59/20/886685920.db2.gz USZYIHVCMCGOMP-UHFFFAOYSA-N -1 1 305.346 1.679 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2nccn2C(C)C)[n-]c1=O ZINC001363601657 886739657 /nfs/dbraw/zinc/73/96/57/886739657.db2.gz KRYGVUAVEXDBMH-UHFFFAOYSA-N -1 1 319.365 1.633 20 0 DDADMM COc1ncnc2c1CC[N@@H+](Cc1cccc(O)c1F)CC2 ZINC001233051104 886760351 /nfs/dbraw/zinc/76/03/51/886760351.db2.gz LVGQIMCYAHBKLU-UHFFFAOYSA-N -1 1 303.337 1.931 20 0 DDADMM CSc1nc(CNC(=O)[C@@H](C)c2c(C)n[nH]c2C)cc(=O)[n-]1 ZINC001363627681 886807300 /nfs/dbraw/zinc/80/73/00/886807300.db2.gz BORKTXMCYWHZEF-ZETCQYMHSA-N -1 1 321.406 1.664 20 0 DDADMM CC(C)C(=O)NCCCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001288824396 913083509 /nfs/dbraw/zinc/08/35/09/913083509.db2.gz JPQNLSRIFJEDTD-GFCCVEGCSA-N -1 1 307.394 1.848 20 0 DDADMM CS[C@@H]1CCCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC001363772454 887183846 /nfs/dbraw/zinc/18/38/46/887183846.db2.gz YJXGYEMZWVYCLH-ZYHUDNBSSA-N -1 1 323.418 1.307 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C1C[C@H]2CC[C@@H](C1)S2 ZINC001363801041 887256503 /nfs/dbraw/zinc/25/65/03/887256503.db2.gz LOZJFOLNYVOWBO-YOGCLGLASA-N -1 1 309.439 1.859 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H](C)CC(=O)OC(C)(C)C ZINC001233755779 887281520 /nfs/dbraw/zinc/28/15/20/887281520.db2.gz DVXXKCYHGYXPFE-QMMMGPOBSA-N -1 1 312.322 1.468 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H]1CCC[C@H](C(=O)OC)C1 ZINC001233757777 887283883 /nfs/dbraw/zinc/28/38/83/887283883.db2.gz GTMBOVDIKKOJLO-DTWKUNHWSA-N -1 1 310.306 1.079 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccn(C2CCCC2)n1 ZINC001363817301 887303268 /nfs/dbraw/zinc/30/32/68/887303268.db2.gz FOWXMPQTBSFLCU-UHFFFAOYSA-N -1 1 301.354 1.321 20 0 DDADMM O=C(N[C@H]1Cc2cccnc2NC1=O)c1cc(F)ccc1[O-] ZINC001363824728 887324388 /nfs/dbraw/zinc/32/43/88/887324388.db2.gz WKDYNEFKWXMAIV-NSHDSACASA-N -1 1 301.277 1.220 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2n[nH]c(C)c2C)[n-]c1=O ZINC001363846810 887383043 /nfs/dbraw/zinc/38/30/43/887383043.db2.gz IFGYNAVVRYOSOC-SNVBAGLBSA-N -1 1 317.349 1.508 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cncc(Cl)c2)n[n-]1 ZINC001363886228 887472184 /nfs/dbraw/zinc/47/21/84/887472184.db2.gz MOGSRYSHROXAMX-ZETCQYMHSA-N -1 1 323.740 1.521 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cncc(Cl)c2)[n-]1 ZINC001363886228 887472192 /nfs/dbraw/zinc/47/21/92/887472192.db2.gz MOGSRYSHROXAMX-ZETCQYMHSA-N -1 1 323.740 1.521 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cncc(Cl)c2)n1 ZINC001363886228 887472201 /nfs/dbraw/zinc/47/22/01/887472201.db2.gz MOGSRYSHROXAMX-ZETCQYMHSA-N -1 1 323.740 1.521 20 0 DDADMM CC(C)(C)/C=C/C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001277824280 887536357 /nfs/dbraw/zinc/53/63/57/887536357.db2.gz WDXGIFXCLSHWQO-MLRMMBSGSA-N -1 1 307.398 1.193 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2ccns2)CN1c1ccccc1 ZINC001364041843 887781031 /nfs/dbraw/zinc/78/10/31/887781031.db2.gz BHCFZALPIOXOFT-JTQLQIEISA-N -1 1 323.399 1.227 20 0 DDADMM O=S(=O)(NCCc1c(F)cc([O-])cc1F)c1cscn1 ZINC001364105670 887932559 /nfs/dbraw/zinc/93/25/59/887932559.db2.gz BCPIYHBYRMKUHQ-UHFFFAOYSA-N -1 1 320.342 1.648 20 0 DDADMM C[C@H](C(=O)NCc1nc([O-])cc(=O)[nH]1)c1cccc(Cl)c1 ZINC001364203252 888139963 /nfs/dbraw/zinc/13/99/63/888139963.db2.gz IAGBBVRUBHFCLS-QMMMGPOBSA-N -1 1 307.737 1.961 20 0 DDADMM COC(=O)c1cc(NC(=O)c2ccc(OC)cc2[O-])n(C)n1 ZINC001364287488 888299751 /nfs/dbraw/zinc/29/97/51/888299751.db2.gz KYEHZCPNKGJRAN-UHFFFAOYSA-N -1 1 305.290 1.173 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2CC[C@H](C3CC3)O2)c(=O)[n-]1 ZINC001364362138 888459193 /nfs/dbraw/zinc/45/91/93/888459193.db2.gz BVTZGIURPLPXPX-GXSJLCMTSA-N -1 1 309.391 1.592 20 0 DDADMM CCn1ncnc1CN1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001364456920 888678080 /nfs/dbraw/zinc/67/80/80/888678080.db2.gz NSVHDPHNTWPURL-UWVGGRQHSA-N -1 1 319.331 1.329 20 0 DDADMM CC1(c2ccccc2C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CC1 ZINC001364484372 888739891 /nfs/dbraw/zinc/73/98/91/888739891.db2.gz JIHPAODSSPKPOE-UHFFFAOYSA-N -1 1 320.374 1.512 20 0 DDADMM CC1(c2ccccc2C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CC1 ZINC001364484372 888739899 /nfs/dbraw/zinc/73/98/99/888739899.db2.gz JIHPAODSSPKPOE-UHFFFAOYSA-N -1 1 320.374 1.512 20 0 DDADMM CCCCC(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001385422919 889078882 /nfs/dbraw/zinc/07/88/82/889078882.db2.gz DKDSXLSBJPMKGB-UHFFFAOYSA-N -1 1 305.378 1.698 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C1CC1)[C@H]1CCCOC1 ZINC001364985436 889820162 /nfs/dbraw/zinc/82/01/62/889820162.db2.gz UMNZZKLPQRCYIG-WDEREUQCSA-N -1 1 315.395 1.049 20 0 DDADMM CSCC[C@H](NC(=O)Nc1cc(C)ccn1)c1nn[n-]n1 ZINC001365048404 889977930 /nfs/dbraw/zinc/97/79/30/889977930.db2.gz YTIWJHGCTXQJBW-VIFPVBQESA-N -1 1 307.383 1.519 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3OCC[C@H]32)sn1 ZINC001365135762 890174864 /nfs/dbraw/zinc/17/48/64/890174864.db2.gz YNXBPNMLAFTCGI-AEJSXWLSSA-N -1 1 318.420 1.388 20 0 DDADMM CCc1nnc(CNC(=O)NCc2ccc([O-])c(Cl)c2)[nH]1 ZINC001365177684 890269801 /nfs/dbraw/zinc/26/98/01/890269801.db2.gz WZHZCIWHMPTEPJ-UHFFFAOYSA-N -1 1 309.757 1.726 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccccc1[S@@](=O)C(F)(F)F ZINC001365211924 890361542 /nfs/dbraw/zinc/36/15/42/890361542.db2.gz QWVRIZFHOLNFDG-OAQYLSRUSA-N -1 1 319.264 1.995 20 0 DDADMM Cc1cccc([C@@H](CC(=O)Nc2c[n-][nH]c2=O)NC(N)=O)c1 ZINC001365212089 890363284 /nfs/dbraw/zinc/36/32/84/890363284.db2.gz SMUFMESEHITTNB-SNVBAGLBSA-N -1 1 303.322 1.162 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2cnc(C)cn2)c1 ZINC001365415238 890764173 /nfs/dbraw/zinc/76/41/73/890764173.db2.gz YEDBHHRSJUKLKF-UHFFFAOYSA-N -1 1 323.374 1.020 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1-c1cc(N2CCN(C)CC2)ncn1 ZINC001240998926 891115705 /nfs/dbraw/zinc/11/57/05/891115705.db2.gz DJMAEYXOXOPWFE-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM CC(=O)Nc1nc2[nH]cnc2c(-c2c(F)ccc([O-])c2F)n1 ZINC001241291659 891142019 /nfs/dbraw/zinc/14/20/19/891142019.db2.gz PRSIXJNFQLXQLE-UHFFFAOYSA-N -1 1 305.244 1.914 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H](C)C1CC1)c1ccsc1 ZINC001365701985 891363094 /nfs/dbraw/zinc/36/30/94/891363094.db2.gz IAAXPBIETGOHLW-JOYOIKCWSA-N -1 1 317.432 1.928 20 0 DDADMM COc1ncc(-c2cncc3ncoc32)cc1[N-]S(C)(=O)=O ZINC001244794314 891927333 /nfs/dbraw/zinc/92/73/33/891927333.db2.gz RRFGYXJNOVGTKC-UHFFFAOYSA-N -1 1 320.330 1.665 20 0 DDADMM CCc1ccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nn1 ZINC001244793660 891929190 /nfs/dbraw/zinc/92/91/90/891929190.db2.gz PNJGKIUQJWKXHG-UHFFFAOYSA-N -1 1 308.363 1.481 20 0 DDADMM CCOc1cnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)cn1 ZINC001244795806 891930572 /nfs/dbraw/zinc/93/05/72/891930572.db2.gz KEUFHODEEMAEPB-UHFFFAOYSA-N -1 1 324.362 1.317 20 0 DDADMM COC(=O)c1nc2ccc(-c3ccc(NC(C)=O)nc3)nc2[n-]1 ZINC001245515130 892160135 /nfs/dbraw/zinc/16/01/35/892160135.db2.gz KRIPTNKXSSRJHO-UHFFFAOYSA-N -1 1 311.301 1.765 20 0 DDADMM COCCn1[n-]c(-c2ccc(N3CCOCC3)cc2)cc1=O ZINC001245942025 892279823 /nfs/dbraw/zinc/27/98/23/892279823.db2.gz GBVGZTCGTOHJLB-UHFFFAOYSA-N -1 1 303.362 1.739 20 0 DDADMM CC(C)CCC(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001366136169 892553338 /nfs/dbraw/zinc/55/33/38/892553338.db2.gz XJANNTNUYRZHBW-YHWZYXNKSA-N -1 1 321.425 1.558 20 0 DDADMM C[C@@H]1[C@H](CO)CCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001366262624 892930492 /nfs/dbraw/zinc/93/04/92/892930492.db2.gz JRAXZQRRIKIPJM-NEPJUHHUSA-N -1 1 316.361 1.813 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001292489723 913730699 /nfs/dbraw/zinc/73/06/99/913730699.db2.gz KEFVCGMRUVVOLR-GHMZBOCLSA-N -1 1 306.362 1.546 20 0 DDADMM c1ccc(N2CCCCC2)c(-c2noc(Cc3nnn[n-]3)n2)c1 ZINC001247142187 893120572 /nfs/dbraw/zinc/12/05/72/893120572.db2.gz JZXRZIZSXYDMQY-UHFFFAOYSA-N -1 1 311.349 1.831 20 0 DDADMM c1ccc(N2CCCCC2)c(-c2noc(Cc3nn[n-]n3)n2)c1 ZINC001247142187 893120585 /nfs/dbraw/zinc/12/05/85/893120585.db2.gz JZXRZIZSXYDMQY-UHFFFAOYSA-N -1 1 311.349 1.831 20 0 DDADMM Cc1cc(Br)ccc1-c1noc(Cc2nnn[n-]2)n1 ZINC001247143177 893125069 /nfs/dbraw/zinc/12/50/69/893125069.db2.gz XHFJPMXXKHXCLO-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM Cc1cc(Br)ccc1-c1noc(Cc2nn[n-]n2)n1 ZINC001247143177 893125078 /nfs/dbraw/zinc/12/50/78/893125078.db2.gz XHFJPMXXKHXCLO-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)Cc1cccs1 ZINC001387696007 893658829 /nfs/dbraw/zinc/65/88/29/893658829.db2.gz MUVDCHZINYMPQL-UHFFFAOYSA-N -1 1 319.386 1.280 20 0 DDADMM CCOC(=O)c1nonc1[N-]c1ccc2c(c1)C(=O)N(C)C2 ZINC001249979889 894178878 /nfs/dbraw/zinc/17/88/78/894178878.db2.gz ZUPKFYIQSDSWNX-UHFFFAOYSA-N -1 1 302.290 1.576 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2ccc3nncn3c2)c1 ZINC001250009317 894186582 /nfs/dbraw/zinc/18/65/82/894186582.db2.gz HPXASDUCECXGFM-UHFFFAOYSA-N -1 1 304.331 1.582 20 0 DDADMM C[C@H]1CCCC[C@H]1C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001388026141 894393051 /nfs/dbraw/zinc/39/30/51/894393051.db2.gz JIWHHJMOUFPRJN-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(C(C)C)CC1 ZINC001388058956 894473242 /nfs/dbraw/zinc/47/32/42/894473242.db2.gz UTNIBQPNMXANPW-GFCCVEGCSA-N -1 1 319.405 1.848 20 0 DDADMM [O-]c1ccc(Cl)c(NC[C@@H](O)CN2CCOCC2)c1Cl ZINC001251026434 894578814 /nfs/dbraw/zinc/57/88/14/894578814.db2.gz RQXBFOAKQOARAF-SECBINFHSA-N -1 1 321.204 1.804 20 0 DDADMM O=S(=O)(Cc1ccccc1)[N-]c1cccnc1OC1COC1 ZINC001252817534 895474733 /nfs/dbraw/zinc/47/47/33/895474733.db2.gz OGERXVAKTHIKBF-UHFFFAOYSA-N -1 1 320.370 1.801 20 0 DDADMM COCCn1nc(C)cc1[N-]S(=O)(=O)CC(F)(F)F ZINC001253123780 895648006 /nfs/dbraw/zinc/64/80/06/895648006.db2.gz JVFIMNCJXLLCND-UHFFFAOYSA-N -1 1 301.290 1.142 20 0 DDADMM CCS(=O)(=O)[N-]c1cc(N2C[C@H](C)O[C@@H](C)C2)nc(C)n1 ZINC001253277736 895766454 /nfs/dbraw/zinc/76/64/54/895766454.db2.gz OIGZMVKVBKRARF-UWVGGRQHSA-N -1 1 314.411 1.160 20 0 DDADMM CCS(=O)(=O)[N-]c1cc(OC)cc(Br)c1O ZINC001253348588 895793072 /nfs/dbraw/zinc/79/30/72/895793072.db2.gz FYJRRMMJMGOKIN-UHFFFAOYSA-N -1 1 310.169 1.925 20 0 DDADMM CC(C)CCC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001374823906 914045692 /nfs/dbraw/zinc/04/56/92/914045692.db2.gz JBEFFXHYXGQQCV-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM CC(C)c1ccc(S(=O)(=O)NCC[P@](=O)([O-])O)cc1 ZINC001255359963 896859689 /nfs/dbraw/zinc/85/96/89/896859689.db2.gz ANNNUORDZXUIKT-UHFFFAOYSA-N -1 1 307.308 1.266 20 0 DDADMM Cc1cccc([C@H](C)C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001367757358 897689555 /nfs/dbraw/zinc/68/95/55/897689555.db2.gz LDMGDIHPWBFCLT-RYUDHWBXSA-N -1 1 317.393 1.217 20 0 DDADMM CC[C@H](C)CC(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001389830712 898110918 /nfs/dbraw/zinc/11/09/18/898110918.db2.gz UQPUPSJSPSMQSA-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM Cc1ccc(F)cc1S(=O)(=O)[N-]C1(C(F)(F)F)COC1 ZINC001258930948 898366950 /nfs/dbraw/zinc/36/69/50/898366950.db2.gz OVOPPYFJJFHVBI-UHFFFAOYSA-N -1 1 313.272 1.744 20 0 DDADMM C[C@H]1OCC[C@H]1C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259041711 898436853 /nfs/dbraw/zinc/43/68/53/898436853.db2.gz DJTYPSCNWDQZGE-SFYZADRCSA-N -1 1 309.309 1.807 20 0 DDADMM CC1(C)C[C@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)O1 ZINC001259043388 898437510 /nfs/dbraw/zinc/43/75/10/898437510.db2.gz XAPWIVJGCXBXSN-SSDOTTSWSA-N -1 1 309.309 1.950 20 0 DDADMM O=c1[nH]cc([N-]S(=O)(=O)c2ccc(Cl)cc2F)c(=O)[nH]1 ZINC001259506638 898707999 /nfs/dbraw/zinc/70/79/99/898707999.db2.gz BBCKPXXTTDRXAQ-UHFFFAOYSA-N -1 1 319.701 1.481 20 0 DDADMM O=c1nc2nc[nH]c2c(NS(=O)(=O)CCc2ccccc2)[n-]1 ZINC001259740967 898773907 /nfs/dbraw/zinc/77/39/07/898773907.db2.gz HHUJWTCVNOJIJM-UHFFFAOYSA-N -1 1 319.346 1.043 20 0 DDADMM O=C(c1ccccc1)N1CC[C@@H]([N-]S(=O)(=O)C(F)F)C1 ZINC001259963195 898986274 /nfs/dbraw/zinc/98/62/74/898986274.db2.gz BRBFMQCUMSJWEB-SNVBAGLBSA-N -1 1 304.318 1.043 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2cccc(CO)n2)c1 ZINC001260184637 899079210 /nfs/dbraw/zinc/07/92/10/899079210.db2.gz UTZLQGXEOOMKNN-UHFFFAOYSA-N -1 1 308.315 1.073 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)CO1 ZINC001260206439 899089614 /nfs/dbraw/zinc/08/96/14/899089614.db2.gz ONUWKHNESMIZDN-YFKPBYRVSA-N -1 1 311.693 1.212 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]C[C@H]2C[C@H](F)C2)s1 ZINC001260709180 899225741 /nfs/dbraw/zinc/22/57/41/899225741.db2.gz YTXYHUCEKDKKAC-ZKCHVHJHSA-N -1 1 307.372 1.128 20 0 DDADMM CC(=O)Nc1cccc(S(=O)(=O)[N-]c2ncccc2F)c1 ZINC001260802902 899267495 /nfs/dbraw/zinc/26/74/95/899267495.db2.gz JQMDAKPCEZIVBY-UHFFFAOYSA-N -1 1 309.322 1.980 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C(C)(C)COC ZINC001390380648 899296911 /nfs/dbraw/zinc/29/69/11/899296911.db2.gz MJSJTAOREGXKKA-LLVKDONJSA-N -1 1 323.393 1.084 20 0 DDADMM C[C@@H](CNC(=O)CCCc1ccccc1)NCc1n[nH]c(=O)[n-]1 ZINC001390472187 899484393 /nfs/dbraw/zinc/48/43/93/899484393.db2.gz YHZJARLDCIHBEQ-LBPRGKRZSA-N -1 1 317.393 1.128 20 0 DDADMM Cc1ccccc1CCC(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001390488396 899523182 /nfs/dbraw/zinc/52/31/82/899523182.db2.gz XHSUOPCSTKNCHK-LBPRGKRZSA-N -1 1 317.393 1.046 20 0 DDADMM O=C(NCCCNC(=O)C1(C(F)F)CC1)c1ncccc1[O-] ZINC001293263143 914379036 /nfs/dbraw/zinc/37/90/36/914379036.db2.gz XSZMVNJYWSMYSH-UHFFFAOYSA-N -1 1 313.304 1.069 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC001261974919 899870825 /nfs/dbraw/zinc/87/08/25/899870825.db2.gz CATWWAJNLAZYJM-MDZLAQPJSA-N -1 1 322.792 1.967 20 0 DDADMM CCOc1ccc(CN(C)c2nnc(-c3nnn[n-]3)n2C)cc1 ZINC001262852505 900396637 /nfs/dbraw/zinc/39/66/37/900396637.db2.gz UMRKEBLOIPJYOM-UHFFFAOYSA-N -1 1 314.353 1.030 20 0 DDADMM CCOc1ccc(CN(C)c2nnc(-c3nn[n-]n3)n2C)cc1 ZINC001262852505 900396645 /nfs/dbraw/zinc/39/66/45/900396645.db2.gz UMRKEBLOIPJYOM-UHFFFAOYSA-N -1 1 314.353 1.030 20 0 DDADMM CCO[N-]C(=O)[C@@H](N)c1ccccc1I ZINC001263092015 900491630 /nfs/dbraw/zinc/49/16/30/900491630.db2.gz CWDPQYHAFIDLEK-VIFPVBQESA-N -1 1 320.130 1.359 20 0 DDADMM CCCCCOC1CN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001263757366 900694431 /nfs/dbraw/zinc/69/44/31/900694431.db2.gz SVQAKVPMBSSTCP-UHFFFAOYSA-N -1 1 311.407 1.811 20 0 DDADMM CC[C@H](CNC(=O)C(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001374972010 914489029 /nfs/dbraw/zinc/48/90/29/914489029.db2.gz FEMBFUVLDWVRLU-MRVPVSSYSA-N -1 1 301.293 1.067 20 0 DDADMM C[C@H]1C[C@H]1C(=O)N(C)CC(C)(C)CNC(=O)c1ncccc1[O-] ZINC001369731218 901836287 /nfs/dbraw/zinc/83/62/87/901836287.db2.gz MEEVHMAMHYBURQ-NWDGAFQWSA-N -1 1 319.405 1.658 20 0 DDADMM CC[C@@H](CNC(=O)c1occc1Cl)NCc1n[nH]c(=O)[n-]1 ZINC001391717134 902432574 /nfs/dbraw/zinc/43/25/74/902432574.db2.gz DWQLJHQTVAHHKK-ZETCQYMHSA-N -1 1 313.745 1.055 20 0 DDADMM CC[C@H](CNC(=O)[C@H](C)c1ccccc1)NCc1n[nH]c(=O)[n-]1 ZINC001391744247 902508550 /nfs/dbraw/zinc/50/85/50/902508550.db2.gz RJIKPAPSNSNFHZ-DGCLKSJQSA-N -1 1 317.393 1.298 20 0 DDADMM CC1CC(C(=O)NCCC2CCN(Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001391839259 902698051 /nfs/dbraw/zinc/69/80/51/902698051.db2.gz OKUIAMSDJYIZBX-UHFFFAOYSA-N -1 1 321.425 1.275 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)C1(CF)CC1 ZINC001370471402 903236724 /nfs/dbraw/zinc/23/67/24/903236724.db2.gz KLGXQWGIDYOYAY-SNVBAGLBSA-N -1 1 309.341 1.114 20 0 DDADMM Cc1coc(C(=O)N(C)C[C@H](C)NC(=O)c2ncccc2[O-])c1 ZINC001370580191 903447374 /nfs/dbraw/zinc/44/73/74/903447374.db2.gz NTNCVNHCDFQYBP-NSHDSACASA-N -1 1 317.345 1.579 20 0 DDADMM Cc1noc(C)c1N(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001293966731 914808955 /nfs/dbraw/zinc/80/89/55/914808955.db2.gz LZZKYAVRNRJHJN-UHFFFAOYSA-N -1 1 303.347 1.899 20 0 DDADMM Cc1c(Cl)cccc1C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001371200923 904470927 /nfs/dbraw/zinc/47/09/27/904470927.db2.gz WDIMVECDJMHKMB-QMMMGPOBSA-N -1 1 323.784 1.380 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC1(C)C ZINC001375060502 914822952 /nfs/dbraw/zinc/82/29/52/914822952.db2.gz PLDMLUYXGQKTKB-MNOVXSKESA-N -1 1 305.378 1.458 20 0 DDADMM CCOCCC(=O)N[C@H](C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001281440426 904852502 /nfs/dbraw/zinc/85/25/02/904852502.db2.gz WZAVHSOZQRMSTR-VXGBXAGGSA-N -1 1 323.393 1.227 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC[C@@H](C)CC1 ZINC001392979710 905850714 /nfs/dbraw/zinc/85/07/14/905850714.db2.gz GPVGWXQSGQRRDH-AVGNSLFASA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@@H]1CCC[C@@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282981428 906392934 /nfs/dbraw/zinc/39/29/34/906392934.db2.gz LPOLEOFADKKGPE-NEPJUHHUSA-N -1 1 305.378 1.460 20 0 DDADMM CCC1(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CCC1 ZINC001372484632 907414430 /nfs/dbraw/zinc/41/44/30/907414430.db2.gz KWTTZEALGWQZRN-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1ccc(F)s1 ZINC001394005399 908515124 /nfs/dbraw/zinc/51/51/24/908515124.db2.gz UMIKUBVLRQSEPX-RQJHMYQMSA-N -1 1 313.358 1.008 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001394427357 909606065 /nfs/dbraw/zinc/60/60/65/909606065.db2.gz JNSOZEGTZIEKAL-ZBEGNZNMSA-N -1 1 323.368 1.504 20 0 DDADMM CCCCC(=O)N[C@]1(CNCc2n[nH]c(=O)[n-]2)CCCC[C@@H]1C ZINC001373892821 911291346 /nfs/dbraw/zinc/29/13/46/911291346.db2.gz BXGYSMFCVZVEKP-LRDDRELGSA-N -1 1 323.441 1.855 20 0 DDADMM Cc1c[nH]cc1C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001395089568 911339610 /nfs/dbraw/zinc/33/96/10/911339610.db2.gz KQDQKQWAUQXIJC-NSHDSACASA-N -1 1 316.361 1.314 20 0 DDADMM CCCCC(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001395407634 911920270 /nfs/dbraw/zinc/92/02/70/911920270.db2.gz GERNWGCSPGRJEY-HAQNSBGRSA-N -1 1 305.378 1.602 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H](CNC(=O)CC1CC1)C1CC1 ZINC001395491987 912099345 /nfs/dbraw/zinc/09/93/45/912099345.db2.gz YKQIHMGBYHTESG-ZDUSSCGKSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)C1CC1 ZINC001375081844 914897032 /nfs/dbraw/zinc/89/70/32/914897032.db2.gz LOJKZNLRVGZGKP-LLVKDONJSA-N -1 1 318.377 1.094 20 0 DDADMM O=C(NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1)C1=CCCCCC1 ZINC001376204445 917956923 /nfs/dbraw/zinc/95/69/23/917956923.db2.gz IFDYVTBNFAESFO-ZDUSSCGKSA-N -1 1 319.409 1.385 20 0 DDADMM CCC(C)(C)C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001376432114 918478126 /nfs/dbraw/zinc/47/81/26/918478126.db2.gz OPFOTOPWKGPFQH-YOGCLGLASA-N -1 1 321.425 1.558 20 0 DDADMM Cc1noc([C@@H](C)N(C)[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001378035705 923773761 /nfs/dbraw/zinc/77/37/61/923773761.db2.gz BFNVXHDLAUVZEQ-NXEZZACHSA-N -1 1 319.365 1.290 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)Cc3ccco3)nc2n1 ZINC000622869887 365548718 /nfs/dbraw/zinc/54/87/18/365548718.db2.gz KLNRCQDTJUBNPO-QMMMGPOBSA-N -1 1 301.306 1.136 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000622869625 365548799 /nfs/dbraw/zinc/54/87/99/365548799.db2.gz AAIVHHBNUYAHDU-ZETCQYMHSA-N -1 1 317.271 1.643 20 0 DDADMM Cc1cc(C)cc(CC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c1 ZINC000622869842 365548831 /nfs/dbraw/zinc/54/88/31/365548831.db2.gz IOIZZIBXAKWVOK-UHFFFAOYSA-N -1 1 311.345 1.524 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](OC)C3CCCC3)nc2n1 ZINC000622992368 365585119 /nfs/dbraw/zinc/58/51/19/365585119.db2.gz IKJIBVHBFRTCCD-LBPRGKRZSA-N -1 1 319.365 1.124 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)c3ccccc3)nc2n1 ZINC000622993070 365586005 /nfs/dbraw/zinc/58/60/05/365586005.db2.gz AINYTGFCEKVTPJ-JTQLQIEISA-N -1 1 311.345 1.722 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CCCOC(C)C)nc2n1 ZINC000622994382 365586809 /nfs/dbraw/zinc/58/68/09/365586809.db2.gz NBOMRTXGBJCUPB-UHFFFAOYSA-N -1 1 307.354 1.124 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3C[C@H](C)C[C@@H](C)C3)nc2n1 ZINC000622994342 365586864 /nfs/dbraw/zinc/58/68/64/365586864.db2.gz LFHUOTKPBIBJCA-NXEZZACHSA-N -1 1 317.393 1.991 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC[C@@H](OC)C3)nc2n1 ZINC000622993731 365587547 /nfs/dbraw/zinc/58/75/47/365587547.db2.gz YSRVMKCDAZZQSY-MWLCHTKSSA-N -1 1 319.365 1.124 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H](C)CC(C)C)nc2n1 ZINC000622994859 365589086 /nfs/dbraw/zinc/58/90/86/365589086.db2.gz FPALEAHMSMAPOI-JTQLQIEISA-N -1 1 305.382 1.991 20 0 DDADMM CC1(C)CCC[C@](O)(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000614475694 361876843 /nfs/dbraw/zinc/87/68/43/361876843.db2.gz QWNGNXOTVBZICM-MRXNPFEDSA-N -1 1 323.393 1.813 20 0 DDADMM C[C@H]1NC(=O)CC[C@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451508946 231114638 /nfs/dbraw/zinc/11/46/38/231114638.db2.gz NJKIUICWNURUBN-HTRCEHHLSA-N -1 1 308.812 1.347 20 0 DDADMM CO[C@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000451776817 231190035 /nfs/dbraw/zinc/19/00/35/231190035.db2.gz QJJFCAPEIUZARN-KOLCDFICSA-N -1 1 321.345 1.295 20 0 DDADMM CC[C@@]1(C(C)C)C[C@H]1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000457276536 529521166 /nfs/dbraw/zinc/52/11/66/529521166.db2.gz KFBWJSOMHFCJLM-RISCZKNCSA-N -1 1 314.407 1.742 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@H]2COCC[C@H]21 ZINC000328873541 231373565 /nfs/dbraw/zinc/37/35/65/231373565.db2.gz RDUCOJAISKUJNX-GXFFZTMASA-N -1 1 307.419 1.780 20 0 DDADMM CS(=O)(=O)N1CCC[C@H]1C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000179012292 539233416 /nfs/dbraw/zinc/23/34/16/539233416.db2.gz PPMWRGDCAZIABZ-JTQLQIEISA-N -1 1 318.782 1.408 20 0 DDADMM CC[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)[C@H](O)C(C)C ZINC000412717194 529577603 /nfs/dbraw/zinc/57/76/03/529577603.db2.gz LEOMOYCLJQIHMK-NWDGAFQWSA-N -1 1 306.366 1.199 20 0 DDADMM O=C1CCCN1c1ccc([N-]S(=O)(=O)CCC2CC2)nc1 ZINC000358179433 299093791 /nfs/dbraw/zinc/09/37/91/299093791.db2.gz ZZSAPZRSQIASSO-UHFFFAOYSA-N -1 1 309.391 1.750 20 0 DDADMM CN(C(=O)c1ncc2ccccc2c1[O-])[C@@H]1CCC(=O)N(C)C1 ZINC000615219088 362200856 /nfs/dbraw/zinc/20/08/56/362200856.db2.gz POLMMTYWENXSCR-GFCCVEGCSA-N -1 1 313.357 1.633 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@H](C)NC(=O)C1CCCCC1 ZINC000615225794 362204526 /nfs/dbraw/zinc/20/45/26/362204526.db2.gz GJZJLGUWQDCUQP-VIFPVBQESA-N -1 1 308.382 1.837 20 0 DDADMM CCc1nc(C)c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)o1 ZINC000331765933 529718456 /nfs/dbraw/zinc/71/84/56/529718456.db2.gz CCDYNEAVNWXAIK-VIFPVBQESA-N -1 1 306.322 1.654 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1COc2ccc(Br)cc21 ZINC000095442941 185366741 /nfs/dbraw/zinc/36/67/41/185366741.db2.gz XUMLDCLYOXLBBK-SSDOTTSWSA-N -1 1 310.111 1.077 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1COc2ccc(Br)cc21 ZINC000095442941 185366742 /nfs/dbraw/zinc/36/67/42/185366742.db2.gz XUMLDCLYOXLBBK-SSDOTTSWSA-N -1 1 310.111 1.077 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]CC(F)(F)F)cnc1C ZINC000291816599 222637698 /nfs/dbraw/zinc/63/76/98/222637698.db2.gz DLXUGEIBYIQMNY-UHFFFAOYSA-N -1 1 312.269 1.017 20 0 DDADMM C[C@H]1C[C@@H](c2ccccc2)N(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000343612946 539358290 /nfs/dbraw/zinc/35/82/90/539358290.db2.gz BBWNOXHKXQPTLH-FZMZJTMJSA-N -1 1 323.356 1.641 20 0 DDADMM C[C@@H](CN(C)C)[N-]S(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000286709148 219121799 /nfs/dbraw/zinc/12/17/99/219121799.db2.gz MQQUVCQYOAANTJ-ZETCQYMHSA-N -1 1 312.769 1.847 20 0 DDADMM O=C(NCCCOC[C@@H]1CCCO1)C(=O)c1ccc([O-])cc1 ZINC000280666494 282332609 /nfs/dbraw/zinc/33/26/09/282332609.db2.gz AZCIWFKHQHYBIH-AWEZNQCLSA-N -1 1 307.346 1.277 20 0 DDADMM COC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1ccc([O-])c(F)c1 ZINC000615815055 362452481 /nfs/dbraw/zinc/45/24/81/362452481.db2.gz KPBFHFMBELSORO-SUNKGSAMSA-N -1 1 307.321 1.945 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C)c(Cl)c2)n[n-]1 ZINC000615920220 362487660 /nfs/dbraw/zinc/48/76/60/362487660.db2.gz SNPMQIQWKPCKHJ-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C)c(Cl)c2)n1 ZINC000615920220 362487664 /nfs/dbraw/zinc/48/76/64/362487664.db2.gz SNPMQIQWKPCKHJ-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccnc(SC)c2)n[n-]1 ZINC000615920298 362488506 /nfs/dbraw/zinc/48/85/06/362488506.db2.gz USIDUUXVPBWTKD-UHFFFAOYSA-N -1 1 321.362 1.028 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccnc(SC)c2)n1 ZINC000615920298 362488511 /nfs/dbraw/zinc/48/85/11/362488511.db2.gz USIDUUXVPBWTKD-UHFFFAOYSA-N -1 1 321.362 1.028 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)CC(C)(C)C)o1 ZINC000457205880 530028658 /nfs/dbraw/zinc/02/86/58/530028658.db2.gz CKKKJASIXOQQGU-SECBINFHSA-N -1 1 302.396 1.742 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3ncoc3C)no2)co1 ZINC000351015288 539421114 /nfs/dbraw/zinc/42/11/14/539421114.db2.gz GGJKEBUSICMXMF-UHFFFAOYSA-N -1 1 310.291 1.201 20 0 DDADMM COCc1nnc(S(=O)(=O)CCOC2CCCCCC2)[n-]1 ZINC000353906763 539443327 /nfs/dbraw/zinc/44/33/27/539443327.db2.gz VBJQHGXJXSOHLP-UHFFFAOYSA-N -1 1 317.411 1.464 20 0 DDADMM COCc1nc(S(=O)(=O)CCOC2CCCCCC2)n[n-]1 ZINC000353906763 539443332 /nfs/dbraw/zinc/44/33/32/539443332.db2.gz VBJQHGXJXSOHLP-UHFFFAOYSA-N -1 1 317.411 1.464 20 0 DDADMM COC[C@@H]1C[C@@H](O)CN1C(=O)c1ncc2ccccc2c1[O-] ZINC000616532786 362739742 /nfs/dbraw/zinc/73/97/42/362739742.db2.gz FVAXXPVNKYNAPQ-NWDGAFQWSA-N -1 1 302.330 1.162 20 0 DDADMM CCOC[C@@H](NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C(C)C ZINC000287012205 219269031 /nfs/dbraw/zinc/26/90/31/219269031.db2.gz BSWYOSSFLYNPPT-FDIDITGRSA-N -1 1 305.382 1.524 20 0 DDADMM CCOc1cc(C(=O)N2CC(CC(N)=O)C2)cc(Cl)c1[O-] ZINC000279935119 215252097 /nfs/dbraw/zinc/25/20/97/215252097.db2.gz HHROZLVLHBNYHE-UHFFFAOYSA-N -1 1 312.753 1.392 20 0 DDADMM CSCCO[N-]C(=O)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000280039175 215330620 /nfs/dbraw/zinc/33/06/20/215330620.db2.gz PEJWXXVCNPMRNS-CYBMUJFWSA-N -1 1 308.403 1.446 20 0 DDADMM Cc1ccc(-c2cc(C(=O)N=c3[n-]nc(C4CC4)n3C)[nH]n2)o1 ZINC000617289294 363038807 /nfs/dbraw/zinc/03/88/07/363038807.db2.gz JXQGYVJFYVRQCS-UHFFFAOYSA-N -1 1 312.333 1.658 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](Cn3ccnn3)C2)c([O-])c1 ZINC000331706101 234021752 /nfs/dbraw/zinc/02/17/52/234021752.db2.gz AZKOYJZHPJXHAY-LBPRGKRZSA-N -1 1 301.350 1.240 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCc2csc(C)n2)n1 ZINC000045489344 352413005 /nfs/dbraw/zinc/41/30/05/352413005.db2.gz LWCZPSJLEXFRAW-UHFFFAOYSA-N -1 1 308.367 1.119 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NC[C@@H](OC)c2ccccc2)n1 ZINC000045578275 352416170 /nfs/dbraw/zinc/41/61/70/352416170.db2.gz XEZMPRJVMKPVQS-GFCCVEGCSA-N -1 1 317.349 1.499 20 0 DDADMM COc1cccc(CCNC(=O)NN=c2nc(OC)cc[n-]2)c1 ZINC000045759539 352423111 /nfs/dbraw/zinc/42/31/11/352423111.db2.gz IWVDQKAGXIVEJY-UHFFFAOYSA-N -1 1 317.349 1.363 20 0 DDADMM COCCN(C)C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000055931588 352752228 /nfs/dbraw/zinc/75/22/28/352752228.db2.gz ZXBKGHZAWMNQFK-UHFFFAOYSA-N -1 1 318.395 1.075 20 0 DDADMM O=S(=O)([N-]c1ccccc1)c1cccc(-n2cnnn2)c1 ZINC000065832373 352964752 /nfs/dbraw/zinc/96/47/52/352964752.db2.gz ZRDSPQDUAGQOIT-UHFFFAOYSA-N -1 1 301.331 1.463 20 0 DDADMM O=S(=O)([N-]CCOC[C@@H]1CCOC1)c1cc(F)ccc1F ZINC000068942940 353138105 /nfs/dbraw/zinc/13/81/05/353138105.db2.gz RHHINDSIABCIAI-SNVBAGLBSA-N -1 1 321.345 1.296 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(CN2CCCCC2=O)CC1 ZINC000173648874 198236500 /nfs/dbraw/zinc/23/65/00/198236500.db2.gz LNHFAMAPOJFYSM-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)CC(C)(C)OC)o1 ZINC000174141953 198296242 /nfs/dbraw/zinc/29/62/42/198296242.db2.gz LMEMSTQPKBXAPY-VIFPVBQESA-N -1 1 318.395 1.121 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@]2(CNC(=O)C2)C1 ZINC000075259685 353356802 /nfs/dbraw/zinc/35/68/02/353356802.db2.gz PBDPFLLRFZALRM-HNNXBMFYSA-N -1 1 308.765 1.788 20 0 DDADMM O=C(N[C@H]1CCN(CC(F)(F)F)C1)c1cc(F)ccc1[O-] ZINC000080022409 353587836 /nfs/dbraw/zinc/58/78/36/353587836.db2.gz SASOGBHEPJSETM-VIFPVBQESA-N -1 1 306.259 1.898 20 0 DDADMM O=C(N[C@H]1CC[S@@](=O)C1)c1cc(Br)ccc1[O-] ZINC000081790512 353692624 /nfs/dbraw/zinc/69/26/24/353692624.db2.gz RPTODUZWZNNWGZ-QPFGOUBPSA-N -1 1 318.192 1.406 20 0 DDADMM Cc1c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cnn1C(C)C ZINC000092527823 353861685 /nfs/dbraw/zinc/86/16/85/353861685.db2.gz OWXPUIZNCMURPH-NSHDSACASA-N -1 1 303.370 1.305 20 0 DDADMM CCc1nsc([N-]c2cnn(CCN3CCOCC3)c2)n1 ZINC000092649921 353864767 /nfs/dbraw/zinc/86/47/67/353864767.db2.gz VJECLFGKHLZMTI-UHFFFAOYSA-N -1 1 308.411 1.373 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)[C@@H]1CCN(c2ccccc2)C1=O ZINC000131355315 354099674 /nfs/dbraw/zinc/09/96/74/354099674.db2.gz ZQSFCSZXXFPMAX-OAHLLOKOSA-N -1 1 311.341 1.665 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000316413952 354502870 /nfs/dbraw/zinc/50/28/70/354502870.db2.gz OKKOCFDLKDRLIR-INIZCTEOSA-N -1 1 300.314 1.877 20 0 DDADMM CCC(CC)(CNC(=O)[C@H]1NCCc2ccccc21)C(=O)[O-] ZINC000316794354 354506384 /nfs/dbraw/zinc/50/63/84/354506384.db2.gz HADFFBJAERCHLR-AWEZNQCLSA-N -1 1 304.390 1.881 20 0 DDADMM C[C@H](O)C1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000332511920 235049790 /nfs/dbraw/zinc/04/97/90/235049790.db2.gz KGBMUKCSFGFCLT-JTQLQIEISA-N -1 1 301.346 1.156 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C(C)C)C(=O)OC ZINC000592045905 355475813 /nfs/dbraw/zinc/47/58/13/355475813.db2.gz LZZCYRYURONNKX-VXGBXAGGSA-N -1 1 309.428 1.309 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)[C@H]2C[C@@H]2C)n[n-]1 ZINC000593895611 356046007 /nfs/dbraw/zinc/04/60/07/356046007.db2.gz ZSTXULVXWYGXJQ-GARJFASQSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)[C@H]2C[C@@H]2C)[n-]1 ZINC000593895611 356046010 /nfs/dbraw/zinc/04/60/10/356046010.db2.gz ZSTXULVXWYGXJQ-GARJFASQSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)[C@H]2C[C@@H]2C)n1 ZINC000593895611 356046012 /nfs/dbraw/zinc/04/60/12/356046012.db2.gz ZSTXULVXWYGXJQ-GARJFASQSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nnn(C(C)C)c2C)n1 ZINC000593901604 356047448 /nfs/dbraw/zinc/04/74/48/356047448.db2.gz PBZZVEODGATXBB-UHFFFAOYSA-N -1 1 306.326 1.320 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC000594854136 356339167 /nfs/dbraw/zinc/33/91/67/356339167.db2.gz YVIZAMNKWHFONC-VWYCJHECSA-N -1 1 313.375 1.781 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C)CC(C)(C)O)cc1C ZINC000595333031 356451560 /nfs/dbraw/zinc/45/15/60/356451560.db2.gz IRTIGTIVCVKODP-SECBINFHSA-N -1 1 319.379 1.202 20 0 DDADMM CCC[C@H](C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)OC ZINC000595334631 356453051 /nfs/dbraw/zinc/45/30/51/356453051.db2.gz PWJKIMIQTKGFBS-SNVBAGLBSA-N -1 1 319.379 1.468 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2nnn(CC(F)(F)F)n2)n1 ZINC000565283574 304059238 /nfs/dbraw/zinc/05/92/38/304059238.db2.gz HMFYOICGVVXWEQ-UHFFFAOYSA-N -1 1 320.300 1.586 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1(OC)CCC1)C(C)(C)C ZINC000601347255 358510268 /nfs/dbraw/zinc/51/02/68/358510268.db2.gz DESDPQMSEPSZKR-SNVBAGLBSA-N -1 1 307.412 1.063 20 0 DDADMM O=C(NCCOC[C@@H]1CCCO1)c1c(F)ccc([O-])c1F ZINC000565331173 304063002 /nfs/dbraw/zinc/06/30/02/304063002.db2.gz FPWITQHMVVBHJF-VIFPVBQESA-N -1 1 301.289 1.596 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CSCC(=O)OC(C)(C)C ZINC000597690666 357286852 /nfs/dbraw/zinc/28/68/52/357286852.db2.gz AFASOIIYIKHCPE-UHFFFAOYSA-N -1 1 301.368 1.437 20 0 DDADMM COC(=O)C(C)(C)n1ccc(NC(=O)c2ccccc2[O-])n1 ZINC000598256910 357509468 /nfs/dbraw/zinc/50/94/68/357509468.db2.gz NEQNXOUFPWWIAD-UHFFFAOYSA-N -1 1 303.318 1.171 20 0 DDADMM C[C@@H](CC1CCCCC1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000347696155 283261192 /nfs/dbraw/zinc/26/11/92/283261192.db2.gz SUTFGNOPBUYEPG-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ccc([O-])cc2F)CS1(=O)=O ZINC000618324221 363587498 /nfs/dbraw/zinc/58/74/98/363587498.db2.gz RZNJFIBOUYDTEH-BDAKNGLRSA-N -1 1 301.339 1.227 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)CSC)nc2n1 ZINC000598892448 357751993 /nfs/dbraw/zinc/75/19/93/357751993.db2.gz FEJPQWNAYAXEOB-MRVPVSSYSA-N -1 1 309.395 1.308 20 0 DDADMM COC(=O)[C@H](C[C@@H]1CCCOC1)N=c1nc(C2CC2)[n-]s1 ZINC000599162165 357834860 /nfs/dbraw/zinc/83/48/60/357834860.db2.gz DPYQLAXXDGGKBM-ONGXEEELSA-N -1 1 311.407 1.608 20 0 DDADMM CCC1([N-]S(=O)(=O)c2cc(F)cc(C(=O)OC)c2F)CC1 ZINC000599319094 357892307 /nfs/dbraw/zinc/89/23/07/357892307.db2.gz BEHIJHILUAQYRF-UHFFFAOYSA-N -1 1 319.329 1.972 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)C[C@H](C)OC)CCCC(C)(C)C1 ZINC000599410972 357925844 /nfs/dbraw/zinc/92/58/44/357925844.db2.gz DRPGVXKRFSNDPA-SMDDNHRTSA-N -1 1 321.439 1.453 20 0 DDADMM O=S(=O)([N-]CC(F)(F)C(F)F)c1cccc2nonc21 ZINC000276736333 213076763 /nfs/dbraw/zinc/07/67/63/213076763.db2.gz ADWRJAXZDYOPOY-UHFFFAOYSA-N -1 1 313.232 1.402 20 0 DDADMM O=C(Cc1csc(Cc2ccccc2)n1)NCc1nn[n-]n1 ZINC000599334082 357898135 /nfs/dbraw/zinc/89/81/35/357898135.db2.gz CJPMYDJVMXODRC-UHFFFAOYSA-N -1 1 314.374 1.106 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCN(CCO)CC1 ZINC000180359513 199149696 /nfs/dbraw/zinc/14/96/96/199149696.db2.gz GNADGJXHPQNNJO-UHFFFAOYSA-N -1 1 318.295 1.161 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c[nH]cc1-c1ccc(Cl)s1 ZINC000600497205 358241087 /nfs/dbraw/zinc/24/10/87/358241087.db2.gz YZDUXSKHJBVHHF-UHFFFAOYSA-N -1 1 308.754 1.840 20 0 DDADMM CC(C)(C(=O)NCc1nn[n-]n1)c1cccc(Br)c1 ZINC000600496864 358241160 /nfs/dbraw/zinc/24/11/60/358241160.db2.gz XANKVERPVNLWKT-UHFFFAOYSA-N -1 1 324.182 1.556 20 0 DDADMM CSCC[C@@H](O)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000600673310 358279150 /nfs/dbraw/zinc/27/91/50/358279150.db2.gz BNMQGRVTVOBHOC-GFCCVEGCSA-N -1 1 307.375 1.630 20 0 DDADMM CC(C)[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(F)(F)F ZINC000348089102 283363089 /nfs/dbraw/zinc/36/30/89/283363089.db2.gz HZQIXZYCNBYEMA-JTQLQIEISA-N -1 1 316.283 1.991 20 0 DDADMM O=C(CC[C@H]1CCCCO1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000600965735 358360813 /nfs/dbraw/zinc/36/08/13/358360813.db2.gz WTMREBDQVDZTOU-UKRRQHHQSA-N -1 1 315.377 1.755 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccccc1)c1cccs1 ZINC000348112889 283374909 /nfs/dbraw/zinc/37/49/09/283374909.db2.gz DELBYOJZANQLIA-LBPRGKRZSA-N -1 1 311.384 1.941 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](SC)C2)c1 ZINC000601426132 358547866 /nfs/dbraw/zinc/54/78/66/358547866.db2.gz QRRKHJOCANYJHS-SNVBAGLBSA-N -1 1 303.405 1.527 20 0 DDADMM C[C@@H]1CCC[C@@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000181032824 199242961 /nfs/dbraw/zinc/24/29/61/199242961.db2.gz PSTZKLGLWWWMCW-NXEZZACHSA-N -1 1 312.391 1.804 20 0 DDADMM C/C=C/C[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OCC ZINC000601394942 358533741 /nfs/dbraw/zinc/53/37/41/358533741.db2.gz ZGJGDGCUPDORHA-DFVUYQKZSA-N -1 1 318.420 1.628 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCC(=O)OC(C)C)sn1 ZINC000601394831 358534048 /nfs/dbraw/zinc/53/40/48/358534048.db2.gz ZBZWXJDVDCKUPN-UHFFFAOYSA-N -1 1 306.409 1.462 20 0 DDADMM Cc1c(C(=O)NCc2nn[n-]n2)cnn1-c1ccccc1Cl ZINC000601720893 358663105 /nfs/dbraw/zinc/66/31/05/358663105.db2.gz MXJWGLSWTSUTBT-UHFFFAOYSA-N -1 1 317.740 1.277 20 0 DDADMM COC(=O)[C@]1(C)C[C@H](OC)CN1C(=O)c1ccc([O-])c(F)c1 ZINC000601745528 358675339 /nfs/dbraw/zinc/67/53/39/358675339.db2.gz YUBSAMYKQYLQIX-BONVTDFDSA-N -1 1 311.309 1.324 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccc(Br)cc2)c1=O ZINC000601930945 358743218 /nfs/dbraw/zinc/74/32/18/358743218.db2.gz LFJBLPXQHUBBCF-MRVPVSSYSA-N -1 1 311.135 1.961 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3CCC[C@@H]3CCO)ccnc1-2 ZINC000287662279 219660191 /nfs/dbraw/zinc/66/01/91/219660191.db2.gz PSOMRUVZMGUVET-KCMYEVRDSA-N -1 1 303.366 1.014 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CC[C@@H](C)[C@@H](C)C2)n[n-]1 ZINC000603024645 359366798 /nfs/dbraw/zinc/36/67/98/359366798.db2.gz YAIHHDGKNTUNKC-OUAUKWLOSA-N -1 1 308.382 1.670 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CC[C@@H](C)[C@@H](C)C2)n1 ZINC000603024645 359366803 /nfs/dbraw/zinc/36/68/03/359366803.db2.gz YAIHHDGKNTUNKC-OUAUKWLOSA-N -1 1 308.382 1.670 20 0 DDADMM C[C@H](C[S@@](C)=O)N(C)C(=O)N=c1[n-]sc2ccccc21 ZINC000603052918 359378199 /nfs/dbraw/zinc/37/81/99/359378199.db2.gz YEHMFMBMPSUTAL-GSDQLPOLSA-N -1 1 311.432 1.949 20 0 DDADMM CN(C)c1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000618488599 363650732 /nfs/dbraw/zinc/65/07/32/363650732.db2.gz YCLGWFFBXAYUKK-OAHLLOKOSA-N -1 1 322.372 1.785 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccccc2C)n[n-]1 ZINC000603163521 359447956 /nfs/dbraw/zinc/44/79/56/359447956.db2.gz JKDNEKKXPIRZEI-SNVBAGLBSA-N -1 1 302.334 1.781 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccccc2C)[n-]1 ZINC000603163521 359447959 /nfs/dbraw/zinc/44/79/59/359447959.db2.gz JKDNEKKXPIRZEI-SNVBAGLBSA-N -1 1 302.334 1.781 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccccc2C)n1 ZINC000603163521 359447963 /nfs/dbraw/zinc/44/79/63/359447963.db2.gz JKDNEKKXPIRZEI-SNVBAGLBSA-N -1 1 302.334 1.781 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](C)C(=O)N(C)C)cc(Cl)c1[O-] ZINC000186809993 200014630 /nfs/dbraw/zinc/01/46/30/200014630.db2.gz DYHHXRRKYXMVRZ-QMMMGPOBSA-N -1 1 314.769 1.651 20 0 DDADMM COC(=O)c1cccc(CS(=O)(=O)[N-][C@H](C)C(F)F)c1 ZINC000603423516 359631010 /nfs/dbraw/zinc/63/10/10/359631010.db2.gz LVYPOMRCUUOSGI-MRVPVSSYSA-N -1 1 307.318 1.546 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2cc(C)n[nH]2)c(=O)[n-]1 ZINC000603489185 359648344 /nfs/dbraw/zinc/64/83/44/359648344.db2.gz RAWMAJSDVDLOAV-UHFFFAOYSA-N -1 1 321.406 1.493 20 0 DDADMM O=C([O-])c1ccc(C(=O)Nc2ccn(-c3ccncc3)n2)cn1 ZINC000603674490 359670401 /nfs/dbraw/zinc/67/04/01/359670401.db2.gz HZAFOFZSXRNLBI-UHFFFAOYSA-N -1 1 309.285 1.035 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N(C)Cc2ccco2)n1 ZINC000187593641 200132408 /nfs/dbraw/zinc/13/24/08/200132408.db2.gz SBBXZSTTWLVMEL-UHFFFAOYSA-N -1 1 309.347 1.540 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H]3CC[C@@H](O)C3)cnc2n1 ZINC000188253183 200217418 /nfs/dbraw/zinc/21/74/18/200217418.db2.gz GQTWTAOFANJPBN-WDEREUQCSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@H]3CC[C@@H](O)C3)c[n-]c2n1 ZINC000188253183 200217420 /nfs/dbraw/zinc/21/74/20/200217420.db2.gz GQTWTAOFANJPBN-WDEREUQCSA-N -1 1 301.346 1.535 20 0 DDADMM O=C(Cc1cccc2cccnc21)NC1(c2nn[n-]n2)CCCC1 ZINC000605381368 359848508 /nfs/dbraw/zinc/84/85/08/359848508.db2.gz JKFPVMYQXJACMP-UHFFFAOYSA-N -1 1 322.372 1.876 20 0 DDADMM CC(C)CN1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1=O ZINC000188695290 200290141 /nfs/dbraw/zinc/29/01/41/200290141.db2.gz KMLCPZUTEBIVEY-UHFFFAOYSA-N -1 1 310.781 1.986 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1Cc2cc(F)ccc2O1 ZINC000607112878 359998365 /nfs/dbraw/zinc/99/83/65/359998365.db2.gz QDRBTNYDXJPVOG-LBPRGKRZSA-N -1 1 317.324 1.228 20 0 DDADMM O=C(c1cc[nH]c1C1CCC1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000565810028 304093427 /nfs/dbraw/zinc/09/34/27/304093427.db2.gz DQZBZAAMQQCXIH-NSHDSACASA-N -1 1 300.366 1.815 20 0 DDADMM O=C(NCc1nnnn1C1CC1)c1ccc2ccccc2c1[O-] ZINC000608477398 360188412 /nfs/dbraw/zinc/18/84/12/360188412.db2.gz AGKROTYZKVAAPZ-UHFFFAOYSA-N -1 1 309.329 1.797 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)c1ccn(C(C)C)n1 ZINC000610231224 360393021 /nfs/dbraw/zinc/39/30/21/360393021.db2.gz DTMCVTRGADLHRX-UHFFFAOYSA-N -1 1 305.338 1.925 20 0 DDADMM CSc1cc(C)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)o1 ZINC000612817372 361155356 /nfs/dbraw/zinc/15/53/56/361155356.db2.gz QWTWPSXNWZEAFX-VIFPVBQESA-N -1 1 307.379 1.843 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@]2(O)C[C@H]2C1 ZINC000618757366 363751032 /nfs/dbraw/zinc/75/10/32/363751032.db2.gz HZLDGPKCPHACKS-MGPLVRAMSA-N -1 1 305.378 1.385 20 0 DDADMM NC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)c1cc(Cl)ccc1[O-] ZINC000613872499 361612872 /nfs/dbraw/zinc/61/28/72/361612872.db2.gz PHZCFJSCSMDIQJ-PLMOITTCSA-N -1 1 308.765 1.772 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccc(Cl)c1F)c1ncn(C)n1 ZINC000275387345 212303246 /nfs/dbraw/zinc/30/32/46/212303246.db2.gz ANQFSQRMNLTNPH-ZETCQYMHSA-N -1 1 318.761 1.647 20 0 DDADMM CO[C@@H]1CC[C@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000621708932 364994389 /nfs/dbraw/zinc/99/43/89/364994389.db2.gz FOAPJLVKJDAWLU-YNEHKIRRSA-N -1 1 301.350 1.220 20 0 DDADMM O=C(c1cc(F)c[nH]c1=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000622012118 365184605 /nfs/dbraw/zinc/18/46/05/365184605.db2.gz RJOQKUGVQNVDPO-UHFFFAOYSA-N -1 1 308.269 1.035 20 0 DDADMM CC(C)(C[C@H]1CCCN(C(=O)c2cncc([O-])c2)C1)C(N)=O ZINC000622636009 365461095 /nfs/dbraw/zinc/46/10/95/365461095.db2.gz RXNZLGBNIYGFCB-LLVKDONJSA-N -1 1 305.378 1.541 20 0 DDADMM COCCS(=O)(=O)[N-][C@H](CCc1ccccc1)C(F)F ZINC000625422835 366916789 /nfs/dbraw/zinc/91/67/89/366916789.db2.gz JUQFJEPRRVXZGA-GFCCVEGCSA-N -1 1 307.362 1.819 20 0 DDADMM CC[C@H](Cc1ccccc1)NS(=O)(=O)c1c(C)o[n-]c1=N ZINC000349694588 284018868 /nfs/dbraw/zinc/01/88/68/284018868.db2.gz QFNRCYITRMMOAV-GFCCVEGCSA-N -1 1 309.391 1.695 20 0 DDADMM CC[C@H](Cc1ccccc1)[N-]S(=O)(=O)c1c(C)onc1N ZINC000349694588 284018873 /nfs/dbraw/zinc/01/88/73/284018873.db2.gz QFNRCYITRMMOAV-GFCCVEGCSA-N -1 1 309.391 1.695 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)C[C@H](C)c1ccccc1 ZINC000349725408 284031507 /nfs/dbraw/zinc/03/15/07/284031507.db2.gz XEWRBDQHNHMDDA-JTQLQIEISA-N -1 1 309.391 1.820 20 0 DDADMM C[C@H]1C[C@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CC(=O)N1 ZINC000350019519 284133709 /nfs/dbraw/zinc/13/37/09/284133709.db2.gz VYNDQJJSSJHSEJ-ONGXEEELSA-N -1 1 314.345 1.636 20 0 DDADMM C[C@H](C(=O)Nc1ccc2c(c1)OCO2)N1CCC[C@@H](C(=O)[O-])C1 ZINC000262690104 203381641 /nfs/dbraw/zinc/38/16/41/203381641.db2.gz VZWMKXGCUZUZMO-GHMZBOCLSA-N -1 1 320.345 1.539 20 0 DDADMM CNS(=O)(=O)c1ccc(C)c(NC(=O)c2ccccc2[O-])c1 ZINC000094013541 193338614 /nfs/dbraw/zinc/33/86/14/193338614.db2.gz FAXFPIKOCLIVGL-UHFFFAOYSA-N -1 1 320.370 1.861 20 0 DDADMM CCc1ncc(NS(=O)(=O)c2ccc(C(=O)[O-])c(C)c2)cn1 ZINC000350804589 284262899 /nfs/dbraw/zinc/26/28/99/284262899.db2.gz YTUDYKUQESXBIB-UHFFFAOYSA-N -1 1 321.358 1.846 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCC(C)(C)C2)c1 ZINC000350943909 284285162 /nfs/dbraw/zinc/28/51/62/284285162.db2.gz RQKFFKXZACANRM-SNVBAGLBSA-N -1 1 301.364 1.923 20 0 DDADMM COc1ccccc1CNC(=O)CSc1ncc(C)c(=O)[n-]1 ZINC000566498126 304164289 /nfs/dbraw/zinc/16/42/89/304164289.db2.gz BEBRTGZZSRGLAB-UHFFFAOYSA-N -1 1 319.386 1.908 20 0 DDADMM CS(=O)(=O)[C@H]1CCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000282477733 217025360 /nfs/dbraw/zinc/02/53/60/217025360.db2.gz LTUWYZSJHAAOFI-VIFPVBQESA-N -1 1 303.767 1.305 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCc2ccnn2C)c1 ZINC000282537681 217071038 /nfs/dbraw/zinc/07/10/38/217071038.db2.gz CRCNCUWZZQCFHR-UHFFFAOYSA-N -1 1 303.318 1.484 20 0 DDADMM COCCCNC(=O)CSc1nc(C(F)F)cc(=O)[n-]1 ZINC000351285522 284315491 /nfs/dbraw/zinc/31/54/91/284315491.db2.gz DJPKWRZXZNXPDD-UHFFFAOYSA-N -1 1 307.322 1.365 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H](NC(=O)c1cncc([O-])c1)C1CC1 ZINC000265998390 205012081 /nfs/dbraw/zinc/01/20/81/205012081.db2.gz RATOPWWBEIYCIB-CYBMUJFWSA-N -1 1 321.377 1.820 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCCC[C@@H]2OC)o1 ZINC000266251021 205216259 /nfs/dbraw/zinc/21/62/59/205216259.db2.gz XRIBFDUCBYPVIL-UWVGGRQHSA-N -1 1 317.363 1.302 20 0 DDADMM COC(=O)CCCCC(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000282815446 217269440 /nfs/dbraw/zinc/26/94/40/217269440.db2.gz PCHFLXALHWNIRP-UHFFFAOYSA-N -1 1 309.318 1.851 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N2C[C@H](C)C[C@H](C)C2)n1 ZINC000019974359 182172607 /nfs/dbraw/zinc/17/26/07/182172607.db2.gz JGWFRBDJXDUYLK-PHIMTYICSA-N -1 1 309.435 1.929 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H](CO)C1)c1cccc(F)c1F ZINC000416649314 307299695 /nfs/dbraw/zinc/29/96/95/307299695.db2.gz XNJOLJKQXUYFQT-ZJUUUORDSA-N -1 1 305.346 1.794 20 0 DDADMM COC(=O)CC[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000040418014 183063623 /nfs/dbraw/zinc/06/36/23/183063623.db2.gz ULYIQEZRXZGRID-UHFFFAOYSA-N -1 1 318.203 1.896 20 0 DDADMM COC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000042208116 183242761 /nfs/dbraw/zinc/24/27/61/183242761.db2.gz DTEWFIHRQNRXKH-BYPYZUCNSA-N -1 1 318.203 1.895 20 0 DDADMM Cc1ccc(C(C)(C)CNC(=O)CCc2nn[n-]n2)c(C)c1 ZINC000633178675 422791962 /nfs/dbraw/zinc/79/19/62/422791962.db2.gz SICNHTMDXVUVKP-UHFFFAOYSA-N -1 1 301.394 1.843 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)C[C@H]2CCCO2)c1 ZINC000338212803 249839535 /nfs/dbraw/zinc/83/95/35/249839535.db2.gz QROXNEPTJMFWLU-GXSJLCMTSA-N -1 1 317.363 1.302 20 0 DDADMM O=C(Cc1coc(-c2ccc(Cl)cc2)n1)Nc1nnn[n-]1 ZINC000104852486 194046290 /nfs/dbraw/zinc/04/62/90/194046290.db2.gz FCUXQZDHBMABIM-UHFFFAOYSA-N -1 1 304.697 1.689 20 0 DDADMM O=C(Cc1coc(-c2ccc(Cl)cc2)n1)Nc1nn[n-]n1 ZINC000104852486 194046293 /nfs/dbraw/zinc/04/62/93/194046293.db2.gz FCUXQZDHBMABIM-UHFFFAOYSA-N -1 1 304.697 1.689 20 0 DDADMM O=C1CCCCN1CCC[N-]S(=O)(=O)c1sccc1F ZINC000338891688 250184893 /nfs/dbraw/zinc/18/48/93/250184893.db2.gz HHAPNFJMEYVMDA-UHFFFAOYSA-N -1 1 320.411 1.568 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)c2sccc2F)[C@@H]1OC ZINC000338951783 250214942 /nfs/dbraw/zinc/21/49/42/250214942.db2.gz BKKUCDLFIJYJDX-AEJSXWLSSA-N -1 1 309.384 1.358 20 0 DDADMM Cc1ocnc1C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338940104 250208775 /nfs/dbraw/zinc/20/87/75/250208775.db2.gz VEDOELLMXINYHF-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)CCOCCC(C)C)n1 ZINC000285230174 218341697 /nfs/dbraw/zinc/34/16/97/218341697.db2.gz XRMOKCUQLPCCDT-UHFFFAOYSA-N -1 1 304.368 1.033 20 0 DDADMM O=C(Cn1ncc(=O)c2ccccc21)Nc1ccc(F)cc1[O-] ZINC000340331936 251011379 /nfs/dbraw/zinc/01/13/79/251011379.db2.gz TXRPIWAGVIYPIQ-UHFFFAOYSA-N -1 1 313.288 1.880 20 0 DDADMM CC(C)Cn1nccc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000567592300 304227887 /nfs/dbraw/zinc/22/78/87/304227887.db2.gz VGWMPYYBVOUNPX-NSHDSACASA-N -1 1 319.365 1.648 20 0 DDADMM COCc1ccc(S(=O)(=O)[N-]c2ccc(C(N)=O)cc2)cc1 ZINC000340881096 251259029 /nfs/dbraw/zinc/25/90/29/251259029.db2.gz AZBKMOIXCUHHDN-UHFFFAOYSA-N -1 1 320.370 1.733 20 0 DDADMM O=S(=O)([N-]CC1(CCO)CC1)c1cc(Cl)ccc1F ZINC000340916486 251274217 /nfs/dbraw/zinc/27/42/17/251274217.db2.gz AEGFTABACUUPQQ-UHFFFAOYSA-N -1 1 307.774 1.920 20 0 DDADMM CC[C@H](C)[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OC ZINC000340932083 251284221 /nfs/dbraw/zinc/28/42/21/251284221.db2.gz RLDCRTCSAMJWNI-JGVFFNPUSA-N -1 1 305.318 1.446 20 0 DDADMM CC(C)(CC(N)=O)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000340967373 251308544 /nfs/dbraw/zinc/30/85/44/251308544.db2.gz KUCDCQWSLBQXML-UHFFFAOYSA-N -1 1 308.762 1.411 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1NS(=O)(=O)c1cnc2n1CCC2 ZINC000361997824 207388765 /nfs/dbraw/zinc/38/87/65/207388765.db2.gz YSROPRARRLVNIU-UHFFFAOYSA-N -1 1 321.358 1.637 20 0 DDADMM COCC1(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)CC1 ZINC000352431348 285045897 /nfs/dbraw/zinc/04/58/97/285045897.db2.gz MIPJJGQBTLUFER-UHFFFAOYSA-N -1 1 304.350 1.095 20 0 DDADMM CCN(CCc1ccccc1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352441145 285052511 /nfs/dbraw/zinc/05/25/11/285052511.db2.gz SZQSLARSECFZKB-UHFFFAOYSA-N -1 1 311.345 1.122 20 0 DDADMM Cc1ccsc1CNC(=O)CN1C[C@@H](C(=O)[O-])CC[C@@H]1C ZINC000567857611 304248595 /nfs/dbraw/zinc/24/85/95/304248595.db2.gz JNHKSVHBIHSVNJ-RYUDHWBXSA-N -1 1 310.419 1.858 20 0 DDADMM Cc1cnn(CC(=O)N=c2cc(-c3cccc(F)c3F)[n-][nH]2)c1 ZINC000352537772 285124464 /nfs/dbraw/zinc/12/44/64/285124464.db2.gz ZXARBQXDSZAQIX-UHFFFAOYSA-N -1 1 317.299 1.920 20 0 DDADMM CO[C@H]1COCC[C@H]1CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000294636602 224134538 /nfs/dbraw/zinc/13/45/38/224134538.db2.gz URYRNVGWTMWHRW-BQBZGAKWSA-N -1 1 308.260 1.204 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)N[C@@H](C)CN(C)C)cc1C(=O)[O-] ZINC000286505500 219025114 /nfs/dbraw/zinc/02/51/14/219025114.db2.gz AZVBGKHCZXLRRQ-VIFPVBQESA-N -1 1 318.370 1.061 20 0 DDADMM CCNC(=O)N1CC[C@H](NC(=O)c2ccc(Cl)cc2[O-])C1 ZINC000157872760 197222198 /nfs/dbraw/zinc/22/21/98/197222198.db2.gz TYUGYQVDYAIKHA-JTQLQIEISA-N -1 1 311.769 1.579 20 0 DDADMM CC(C)[C@@](C)([N-]S(=O)(=O)c1c(F)cccc1Cl)C(N)=O ZINC000352624693 285182186 /nfs/dbraw/zinc/18/21/86/285182186.db2.gz AWQRRSRZKBQCSJ-GFCCVEGCSA-N -1 1 322.789 1.657 20 0 DDADMM COC(=O)C[C@@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000568023770 304262663 /nfs/dbraw/zinc/26/26/63/304262663.db2.gz VWRGROITSKCLSB-SSDOTTSWSA-N -1 1 309.746 1.709 20 0 DDADMM CN(Cc1occc1C(=O)[O-])C[C@H](O)COCc1ccccc1 ZINC000568098694 304268069 /nfs/dbraw/zinc/26/80/69/304268069.db2.gz OGXXJYURXIZWGK-AWEZNQCLSA-N -1 1 319.357 1.987 20 0 DDADMM CN(C)C(=O)c1ccc(=NCC2(C(F)(F)F)CCC2)[n-]n1 ZINC000413287580 224169300 /nfs/dbraw/zinc/16/93/00/224169300.db2.gz BQCYOVBHICEUEK-UHFFFAOYSA-N -1 1 302.300 1.745 20 0 DDADMM O=C(NC[C@H]1CC[C@@H](CO)O1)c1ccc(C(F)(F)F)cc1[O-] ZINC000294741212 224204622 /nfs/dbraw/zinc/20/46/22/224204622.db2.gz YCURZBAJMBVVDX-ZJUUUORDSA-N -1 1 319.279 1.681 20 0 DDADMM COc1ccc([C@H](NCc2c(C)cnn2C)C(=O)[O-])cc1F ZINC000417578848 533196554 /nfs/dbraw/zinc/19/65/54/533196554.db2.gz ZZWXCXIFYYUAKV-AWEZNQCLSA-N -1 1 307.325 1.792 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2sc(C)nc2C)s1 ZINC000289508138 221028922 /nfs/dbraw/zinc/02/89/22/221028922.db2.gz LOWCGSYWNNRQRB-UHFFFAOYSA-N -1 1 318.449 1.652 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2sccc2Cl)[n-]1 ZINC000352794665 285305807 /nfs/dbraw/zinc/30/58/07/285305807.db2.gz HITHUBFFYVDYAK-UHFFFAOYSA-N -1 1 306.756 1.175 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2sccc2Cl)n[n-]1 ZINC000352794665 285305810 /nfs/dbraw/zinc/30/58/10/285305810.db2.gz HITHUBFFYVDYAK-UHFFFAOYSA-N -1 1 306.756 1.175 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCCC(F)(F)C1 ZINC000289704401 221168332 /nfs/dbraw/zinc/16/83/32/221168332.db2.gz DTMURVUHLPECDC-MRVPVSSYSA-N -1 1 309.338 1.669 20 0 DDADMM O=S(=O)([N-][C@@H]1CCSC1)c1ccc(Br)o1 ZINC000352942358 285402095 /nfs/dbraw/zinc/40/20/95/285402095.db2.gz MVONQJYEZHMEHY-ZCFIWIBFSA-N -1 1 312.210 1.826 20 0 DDADMM CO[N-]C(=O)[C@@H]1CC(=O)N(C2CC2)[C@H]1c1cccc(OC)c1 ZINC000180364859 285536932 /nfs/dbraw/zinc/53/69/32/285536932.db2.gz JJISBLAVSGDORI-HIFRSBDPSA-N -1 1 304.346 1.425 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C(C)(C)C2CCC2)c1 ZINC000451863159 292033265 /nfs/dbraw/zinc/03/32/65/292033265.db2.gz HKEJXEZDCMUMMA-UHFFFAOYSA-N -1 1 301.364 1.923 20 0 DDADMM C[C@@H]1C[C@@H](c2cccc(F)c2)N(C(=O)CCc2nn[n-]n2)C1 ZINC000631528228 422813674 /nfs/dbraw/zinc/81/36/74/422813674.db2.gz UOZALSXWLYKTFP-MFKMUULPSA-N -1 1 303.341 1.881 20 0 DDADMM Cc1noc([C@@H]2OCC[C@@H]2NC(=O)c2c([O-])cccc2F)n1 ZINC000629787202 422813715 /nfs/dbraw/zinc/81/37/15/422813715.db2.gz VNQDDWYDVLEEAZ-JOYOIKCWSA-N -1 1 307.281 1.483 20 0 DDADMM O=C(N=c1[n-]nc([C@H]2CCCO2)s1)c1[nH]nc2c1CCCC2 ZINC000636351283 422815609 /nfs/dbraw/zinc/81/56/09/422815609.db2.gz DGVYHRRMIQEQJI-SNVBAGLBSA-N -1 1 319.390 1.666 20 0 DDADMM C[C@@H]1CCS(=O)(=O)CCN1C(=O)c1cc(F)ccc1[O-] ZINC000277453392 296615563 /nfs/dbraw/zinc/61/55/63/296615563.db2.gz HMSCUERKCJFOQR-SECBINFHSA-N -1 1 301.339 1.181 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCc2ccccc2O1)c1nn[n-]n1 ZINC000569688610 304374684 /nfs/dbraw/zinc/37/46/84/304374684.db2.gz YSPQUUGJUPQTAE-AAEUAGOBSA-N -1 1 301.350 1.551 20 0 DDADMM CC(=O)N1CCc2cc(S(=O)(=O)[N-]c3ccncc3)ccc21 ZINC000070825828 406826526 /nfs/dbraw/zinc/82/65/26/406826526.db2.gz QWTJFRARHIDJOX-UHFFFAOYSA-N -1 1 317.370 1.791 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc3n[nH]cc3c2)co1 ZINC000179260460 306690953 /nfs/dbraw/zinc/69/09/53/306690953.db2.gz ISFUOTABEGVYPU-UHFFFAOYSA-N -1 1 320.330 1.316 20 0 DDADMM CCC[C@H](CCO)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000075542354 406934502 /nfs/dbraw/zinc/93/45/02/406934502.db2.gz BVUMXTSZTGAOMA-LLVKDONJSA-N -1 1 303.362 1.452 20 0 DDADMM Cn1c(CCNC(=O)Cc2cccc(F)c2F)n[n-]c1=S ZINC000087301939 407119657 /nfs/dbraw/zinc/11/96/57/407119657.db2.gz BAHIVHZWXUVMAY-UHFFFAOYSA-N -1 1 312.345 1.657 20 0 DDADMM NC(=O)CO[N-]C(=O)CCCSc1ccc(Cl)cc1 ZINC000089462940 407136262 /nfs/dbraw/zinc/13/62/62/407136262.db2.gz PJTKQQHXQOLNJQ-UHFFFAOYSA-N -1 1 302.783 1.745 20 0 DDADMM COc1ccc(C(=O)Nc2cccnc2-n2cncn2)c([O-])c1 ZINC000122670666 407308162 /nfs/dbraw/zinc/30/81/62/407308162.db2.gz FBMNIJYOASBNPY-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCCC(C)C ZINC000104142596 407347473 /nfs/dbraw/zinc/34/74/73/407347473.db2.gz MJYYSIOWHMGRPH-UHFFFAOYSA-N -1 1 304.394 1.734 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cn(C)c(Cl)n1)c1ccco1 ZINC000124443470 407358180 /nfs/dbraw/zinc/35/81/80/407358180.db2.gz KWQDEPWWDLINAF-MRVPVSSYSA-N -1 1 319.770 1.333 20 0 DDADMM CCC(CC)NC(=O)[C@@H](C)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127186986 407430523 /nfs/dbraw/zinc/43/05/23/407430523.db2.gz GXYQJEBZOWJNSE-MRVPVSSYSA-N -1 1 314.411 1.149 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2ncn(C)c2Cl)o1 ZINC000222184413 407552924 /nfs/dbraw/zinc/55/29/24/407552924.db2.gz LCSWERVYCAMTQK-UHFFFAOYSA-N -1 1 304.759 1.102 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(C3CCOCC3)no2)o1 ZINC000113751021 407524193 /nfs/dbraw/zinc/52/41/93/407524193.db2.gz JQJMEEWXNKIRPL-UHFFFAOYSA-N -1 1 313.335 1.132 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C1CC1 ZINC000178839830 407621136 /nfs/dbraw/zinc/62/11/36/407621136.db2.gz MXXCNBFJMULKLL-SECBINFHSA-N -1 1 309.309 1.807 20 0 DDADMM CC[C@H]1CC[C@H](C)N1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000271437860 407685977 /nfs/dbraw/zinc/68/59/77/407685977.db2.gz NWCIWWXNROWZGF-UWVGGRQHSA-N -1 1 300.380 1.591 20 0 DDADMM CCC1(O)CN(C(=O)c2ccc(Br)c([O-])c2)C1 ZINC000232885215 407705147 /nfs/dbraw/zinc/70/51/47/407705147.db2.gz NRLFOOYCOJOVBX-UHFFFAOYSA-N -1 1 300.152 1.752 20 0 DDADMM O=C(NCc1cccnn1)c1ccc(Br)cc1[O-] ZINC000236558844 407727681 /nfs/dbraw/zinc/72/76/81/407727681.db2.gz WARRPHJJAFQSBS-UHFFFAOYSA-N -1 1 308.135 1.875 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2[C@@H](C)CCC[C@@H]2C)co1 ZINC000178928550 407661409 /nfs/dbraw/zinc/66/14/09/407661409.db2.gz ACGXZYBYLMTUAJ-UWVGGRQHSA-N -1 1 314.407 1.742 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2coc(-c3ccccc3)n2)n[n-]1 ZINC000153120301 407756514 /nfs/dbraw/zinc/75/65/14/407756514.db2.gz MKMIERPRYHVNLP-UHFFFAOYSA-N -1 1 318.358 1.996 20 0 DDADMM O=C([O-])[C@H]1COCCN1C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000262073736 407731353 /nfs/dbraw/zinc/73/13/53/407731353.db2.gz DGALWCOLJAYKTR-SNVBAGLBSA-N -1 1 307.331 1.064 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1C[C@@H]3CCCC[C@H]3C1)c2=O ZINC000179538437 407803699 /nfs/dbraw/zinc/80/36/99/407803699.db2.gz LHTLRICLYLSPGY-QWRGUYRKSA-N -1 1 300.362 1.936 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC[C@@H]2C(C)C)o1 ZINC000117298666 407826769 /nfs/dbraw/zinc/82/67/69/407826769.db2.gz IFKMUCADMCYJNS-LLVKDONJSA-N -1 1 314.407 1.838 20 0 DDADMM CCCC[C@H](CC)CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000117413162 407834006 /nfs/dbraw/zinc/83/40/06/407834006.db2.gz WXKRRKGBTMQOEY-VIFPVBQESA-N -1 1 302.400 1.753 20 0 DDADMM CCCC[C@H](CC)CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000117413162 407834013 /nfs/dbraw/zinc/83/40/13/407834013.db2.gz WXKRRKGBTMQOEY-VIFPVBQESA-N -1 1 302.400 1.753 20 0 DDADMM O=C(NCc1ccc2[nH]c(=O)[nH]c2c1)c1ccc([O-])cc1F ZINC000133624737 407865269 /nfs/dbraw/zinc/86/52/69/407865269.db2.gz GUPCLYFKODZKKV-UHFFFAOYSA-N -1 1 301.277 1.631 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CC[C@H](OCc2ccncc2)C1 ZINC000188016884 407912379 /nfs/dbraw/zinc/91/23/79/407912379.db2.gz OJSXXIJZVAEPRF-ZDUSSCGKSA-N -1 1 323.356 1.784 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)CN1CC[C@H](C(=O)[O-])C1 ZINC000262637086 407886265 /nfs/dbraw/zinc/88/62/65/407886265.db2.gz IDZIADGGVUKPFA-RYUDHWBXSA-N -1 1 306.362 1.279 20 0 DDADMM C[N@@H+](CCC(=O)[O-])[C@@H](C(N)=O)c1ccc(Br)cc1 ZINC000262638338 407889007 /nfs/dbraw/zinc/88/90/07/407889007.db2.gz IJDVWRXQGJSLNU-LLVKDONJSA-N -1 1 315.167 1.382 20 0 DDADMM CN(CCC(=O)[O-])[C@@H](C(N)=O)c1ccc(Br)cc1 ZINC000262638338 407889012 /nfs/dbraw/zinc/88/90/12/407889012.db2.gz IJDVWRXQGJSLNU-LLVKDONJSA-N -1 1 315.167 1.382 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1cc(Cl)c(=O)n(C)c1 ZINC000118957421 407965513 /nfs/dbraw/zinc/96/55/13/407965513.db2.gz BBMDMKCEABQKGO-UHFFFAOYSA-N -1 1 317.754 1.444 20 0 DDADMM CCc1c(C(=O)Nc2nnn[n-]2)cnn1-c1ccc(F)cc1 ZINC000118685417 407935322 /nfs/dbraw/zinc/93/53/22/407935322.db2.gz OBOYVANGKIGAQV-UHFFFAOYSA-N -1 1 301.285 1.339 20 0 DDADMM CCc1c(C(=O)Nc2nn[n-]n2)cnn1-c1ccc(F)cc1 ZINC000118685417 407935326 /nfs/dbraw/zinc/93/53/26/407935326.db2.gz OBOYVANGKIGAQV-UHFFFAOYSA-N -1 1 301.285 1.339 20 0 DDADMM Cc1nnc([C@H]2CCCN(C(=O)c3cc(F)ccc3[O-])C2)[nH]1 ZINC000268560020 408098884 /nfs/dbraw/zinc/09/88/84/408098884.db2.gz JWFAZOKDEFCSKT-JTQLQIEISA-N -1 1 304.325 1.978 20 0 DDADMM CC(C)[C@H]1C(=O)NCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000182257418 408106625 /nfs/dbraw/zinc/10/66/25/408106625.db2.gz UVTKJJCRZNODQX-INIZCTEOSA-N -1 1 316.357 1.455 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccc(C=O)cc1)c1nn[n-]n1 ZINC000136671811 408119087 /nfs/dbraw/zinc/11/90/87/408119087.db2.gz JCWUJPHQDZSXMY-GFCCVEGCSA-N -1 1 303.322 1.049 20 0 DDADMM Cc1cc(-c2noc([C@@H](C)NCc3nc(=O)[n-][nH]3)n2)ccc1F ZINC000273326120 408155524 /nfs/dbraw/zinc/15/55/24/408155524.db2.gz QYLZPYVSXYBREN-MRVPVSSYSA-N -1 1 318.312 1.446 20 0 DDADMM CCC[C@@H](NC(=O)c1csc([C@H]2CCCO2)n1)c1nn[n-]n1 ZINC000155476714 408171341 /nfs/dbraw/zinc/17/13/41/408171341.db2.gz PAHGEYPPBJFKDT-PSASIEDQSA-N -1 1 322.394 1.779 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(C(F)(F)F)nc1)c1nn[n-]n1 ZINC000273578698 408252529 /nfs/dbraw/zinc/25/25/29/408252529.db2.gz NUYDHUBEPCQEOU-ZETCQYMHSA-N -1 1 314.271 1.489 20 0 DDADMM COC(=O)[C@H](CO)[N-]C(=O)C(F)(F)c1cccc(Cl)c1 ZINC000273454550 408208379 /nfs/dbraw/zinc/20/83/79/408208379.db2.gz DALDJEPVJKQIIQ-VIFPVBQESA-N -1 1 307.680 1.082 20 0 DDADMM O=C([N-][C@]1(CO)CCOC1)C(F)(F)c1c(F)cccc1F ZINC000273620572 408268346 /nfs/dbraw/zinc/26/83/46/408268346.db2.gz YVFRCZXFGGXIOB-LBPRGKRZSA-N -1 1 307.243 1.324 20 0 DDADMM CNC(=O)NCC(=O)Nc1cc(C)cc(Br)c1[O-] ZINC000182923124 408284013 /nfs/dbraw/zinc/28/40/13/408284013.db2.gz LMJTXKUJGKPIJZ-UHFFFAOYSA-N -1 1 316.155 1.331 20 0 DDADMM C[C@H](CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000132103140 162030287 /nfs/dbraw/zinc/03/02/87/162030287.db2.gz HQWAZBQEIAAXJR-SECBINFHSA-N -1 1 308.363 1.341 20 0 DDADMM C[C@H](CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000132103140 162030290 /nfs/dbraw/zinc/03/02/90/162030290.db2.gz HQWAZBQEIAAXJR-SECBINFHSA-N -1 1 308.363 1.341 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)Cc2ccc(C)o2)co1 ZINC000158959266 408372792 /nfs/dbraw/zinc/37/27/92/408372792.db2.gz HFHCUZVQTKBGMO-UHFFFAOYSA-N -1 1 312.347 1.361 20 0 DDADMM C[C@@H](CN(C)C(=O)CCCOc1ccc(F)cc1)c1nn[n-]n1 ZINC000183427074 408407845 /nfs/dbraw/zinc/40/78/45/408407845.db2.gz QYSKCVRDWKLFBA-NSHDSACASA-N -1 1 321.356 1.760 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc2cc(F)ccc2o1)c1nn[n-]n1 ZINC000183445250 408414001 /nfs/dbraw/zinc/41/40/01/408414001.db2.gz PVMOOGVJGYFGJM-QMMMGPOBSA-N -1 1 303.297 1.961 20 0 DDADMM CN(c1cccnn1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000264281754 408425600 /nfs/dbraw/zinc/42/56/00/408425600.db2.gz HKCQWRLHNWXXKW-UHFFFAOYSA-N -1 1 313.361 1.318 20 0 DDADMM CCC[C@@H](NC(=O)COc1cccc(C(C)=O)c1)c1nn[n-]n1 ZINC000176777808 408439977 /nfs/dbraw/zinc/43/99/77/408439977.db2.gz XMYYZNPYHNGRFL-CYBMUJFWSA-N -1 1 317.349 1.439 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(OCCOC)cc1)c1nn[n-]n1 ZINC000176776643 408440332 /nfs/dbraw/zinc/44/03/32/408440332.db2.gz UYYVUQDBPOXOHM-CYBMUJFWSA-N -1 1 319.365 1.496 20 0 DDADMM Cn1cc([C@H]2OCCC[C@@H]2NC(=O)c2c([O-])cccc2F)cn1 ZINC000275545205 408594978 /nfs/dbraw/zinc/59/49/78/408594978.db2.gz ZZQZTGNJACPFSG-SWLSCSKDSA-N -1 1 319.336 1.915 20 0 DDADMM Cc1c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)sc2nccn12 ZINC000274789541 408533177 /nfs/dbraw/zinc/53/31/77/408533177.db2.gz PTSRCFYBGIDIOP-ZETCQYMHSA-N -1 1 305.367 1.093 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(Br)cc2[O-])C[C@@H]1O ZINC000270678700 408633601 /nfs/dbraw/zinc/63/36/01/408633601.db2.gz URXJTBYXMKUZDJ-UFBFGSQYSA-N -1 1 314.179 1.998 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cccc1F)c1ncn(C)n1 ZINC000275691396 408647002 /nfs/dbraw/zinc/64/70/02/408647002.db2.gz QWIAUYKCCZCSBW-SSDOTTSWSA-N -1 1 302.306 1.133 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cccc1F)c1ncn(C)n1 ZINC000275691405 408647264 /nfs/dbraw/zinc/64/72/64/408647264.db2.gz QWIAUYKCCZCSBW-ZETCQYMHSA-N -1 1 302.306 1.133 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H]3CCCC[C@H]3O)cnc2n1 ZINC000177552632 408655722 /nfs/dbraw/zinc/65/57/22/408655722.db2.gz LLMAMQGGWMYLFS-SMDDNHRTSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@@H]3CCCC[C@H]3O)c[n-]c2n1 ZINC000177552632 408655724 /nfs/dbraw/zinc/65/57/24/408655724.db2.gz LLMAMQGGWMYLFS-SMDDNHRTSA-N -1 1 315.373 1.925 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(F)c(C)c2)C1 ZINC000184804437 408694111 /nfs/dbraw/zinc/69/41/11/408694111.db2.gz CXYQECVWTOZSST-LBPRGKRZSA-N -1 1 324.352 1.571 20 0 DDADMM C[C@H]1CCCN1S(=O)(=O)[N-]c1ccn(Cc2ccncc2)n1 ZINC000185226471 408783008 /nfs/dbraw/zinc/78/30/08/408783008.db2.gz ANTCCFPOOGVRCE-LBPRGKRZSA-N -1 1 321.406 1.467 20 0 DDADMM NC(=O)c1cccc(CCNC(=O)c2c([O-])cccc2F)c1 ZINC000185263185 408788319 /nfs/dbraw/zinc/78/83/19/408788319.db2.gz QPYYVDGPBMTOOZ-UHFFFAOYSA-N -1 1 302.305 1.603 20 0 DDADMM CC[C@@H]1[C@@H](C)CCN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000185373197 408800654 /nfs/dbraw/zinc/80/06/54/408800654.db2.gz DOGHMWYLRSTGKR-GXSJLCMTSA-N -1 1 300.380 1.448 20 0 DDADMM CCC[C@H](NCC(=O)NCc1cccc(OCC)c1)C(=O)[O-] ZINC000185061364 408746525 /nfs/dbraw/zinc/74/65/25/408746525.db2.gz QTEOWYKOTKEIOD-AWEZNQCLSA-N -1 1 308.378 1.544 20 0 DDADMM Cc1cccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1C ZINC000194027009 408758118 /nfs/dbraw/zinc/75/81/18/408758118.db2.gz CQBJAWDOXLSXLT-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM CCOc1cccnc1C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000178129004 408778195 /nfs/dbraw/zinc/77/81/95/408778195.db2.gz UBCJXSJRHOEZHB-UHFFFAOYSA-N -1 1 310.317 1.913 20 0 DDADMM CO[C@]1(C)C[C@H]([N-]S(=O)(=O)c2c(C)onc2N)C1(C)C ZINC000290818874 408837767 /nfs/dbraw/zinc/83/77/67/408837767.db2.gz SXCNXGQJVAOUAO-QPUJVOFHSA-N -1 1 303.384 1.047 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2c(C)onc2N)CCOCC1 ZINC000290831749 408840318 /nfs/dbraw/zinc/84/03/18/408840318.db2.gz UOTIYDGPUASJDG-UHFFFAOYSA-N -1 1 303.384 1.050 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@@H](c1ccccc1)N1CCC(O)CC1 ZINC000291716751 408912036 /nfs/dbraw/zinc/91/20/36/408912036.db2.gz DMXOCZPRTZIDEZ-BCFOUKGLSA-N -1 1 324.808 1.985 20 0 DDADMM COc1cccc(F)c1[N-]S(=O)(=O)c1cccc(C(N)=O)c1 ZINC000187050281 163003660 /nfs/dbraw/zinc/00/36/60/163003660.db2.gz KGFUMRQEHUSVHO-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]1CCO)c1cc(F)c(F)cc1F ZINC000188903419 163076828 /nfs/dbraw/zinc/07/68/28/163076828.db2.gz HLPGDVSYXKMGEP-PRHODGIISA-N -1 1 323.336 1.933 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2cc(C3CC3)[nH]n2)CC1 ZINC000189968371 163126046 /nfs/dbraw/zinc/12/60/46/163126046.db2.gz JIPTXGHNTNUOCO-UHFFFAOYSA-N -1 1 313.361 1.350 20 0 DDADMM Cc1ccc(NC(=O)c2ccc(O)cc2[O-])cc1-n1cnnn1 ZINC000193281051 163251405 /nfs/dbraw/zinc/25/14/05/163251405.db2.gz SSBORARIZTZIJV-UHFFFAOYSA-N -1 1 311.301 1.634 20 0 DDADMM O=C(NC1CC1)c1ccc(I)c([O-])c1 ZINC000230885569 163348396 /nfs/dbraw/zinc/34/83/96/163348396.db2.gz RBMFTLQGYXWWMV-UHFFFAOYSA-N -1 1 303.099 1.889 20 0 DDADMM C[C@H](CO)[C@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000231351120 163350166 /nfs/dbraw/zinc/35/01/66/163350166.db2.gz IIROPZYGYHRYST-SFYZADRCSA-N -1 1 302.168 1.901 20 0 DDADMM COc1cccc(CCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1F ZINC000286223907 408944885 /nfs/dbraw/zinc/94/48/85/408944885.db2.gz XURYDTFIRXTWIE-JTQLQIEISA-N -1 1 321.356 1.542 20 0 DDADMM Cc1nc2c(c(N3CCC[C@H](c4nc(=O)[n-][nH]4)C3)n1)CCCC2 ZINC000286420805 408981704 /nfs/dbraw/zinc/98/17/04/408981704.db2.gz KZONQDHDQOCEFP-NSHDSACASA-N -1 1 314.393 1.459 20 0 DDADMM COCC[C@H](NC(=O)c1cc2ccccc2cc1[O-])C(=O)OC ZINC000292153091 408991013 /nfs/dbraw/zinc/99/10/13/408991013.db2.gz JQHDYPOOQCWJIG-AWEZNQCLSA-N -1 1 317.341 1.853 20 0 DDADMM O=C(Cc1ccc(F)c(F)c1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282852525 409067323 /nfs/dbraw/zinc/06/73/23/409067323.db2.gz GLOXJYJHKKFBJJ-SNVBAGLBSA-N -1 1 322.315 1.325 20 0 DDADMM CC1(C)NC(=O)N(Cc2noc(-c3ccc([O-])cc3F)n2)C1=O ZINC000277889422 409029622 /nfs/dbraw/zinc/02/96/22/409029622.db2.gz LGWRIHJJPNGFIJ-UHFFFAOYSA-N -1 1 320.280 1.412 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N([C@H](C)c2ccccc2)C1 ZINC000287835466 409100810 /nfs/dbraw/zinc/10/08/10/409100810.db2.gz NVUURNAFXFRPPW-UKRRQHHQSA-N -1 1 320.389 1.680 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CCC(C)(C)O1 ZINC000278856078 409101870 /nfs/dbraw/zinc/10/18/70/409101870.db2.gz DQBVTJTUCXFAHW-GFCCVEGCSA-N -1 1 318.377 1.213 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000288074249 409141972 /nfs/dbraw/zinc/14/19/72/409141972.db2.gz GDZWOHNUWMLHTO-LLVKDONJSA-N -1 1 306.337 1.616 20 0 DDADMM COc1ccccc1N1C[C@@H](C(=O)[N-]OCC2CC2)CC1=O ZINC000293702008 409163153 /nfs/dbraw/zinc/16/31/53/409163153.db2.gz DNHRZQMMOVEDDV-LBPRGKRZSA-N -1 1 304.346 1.506 20 0 DDADMM O=C([N-]OCC1CC1)[C@H](c1ccccc1)N1CCC(O)CC1 ZINC000293727414 409169819 /nfs/dbraw/zinc/16/98/19/409169819.db2.gz HJPVTNSFZINYNQ-INIZCTEOSA-N -1 1 304.390 1.642 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(C)(C)[C@@H]2C(C)C)co1 ZINC000294054569 409231999 /nfs/dbraw/zinc/23/19/99/409231999.db2.gz VYOMSGJNHNTCDI-LBPRGKRZSA-N -1 1 314.407 1.694 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]CC(F)(F)F)cs1 ZINC000284003551 409255191 /nfs/dbraw/zinc/25/51/91/409255191.db2.gz INUDHJITKIBEIJ-UHFFFAOYSA-N -1 1 303.283 1.375 20 0 DDADMM COC(=O)[C@]1(C)CN(C(=O)c2c(F)ccc([O-])c2F)C[C@H]1C ZINC000289379776 409258202 /nfs/dbraw/zinc/25/82/02/409258202.db2.gz NNDITDMXYBBTNA-ANRSDYALSA-N -1 1 313.300 1.942 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCCC1)c1cc(F)ccc1F ZINC000289448533 409274279 /nfs/dbraw/zinc/27/42/79/409274279.db2.gz FSMLHBQUORLJMP-GFCCVEGCSA-N -1 1 305.346 1.794 20 0 DDADMM O=C(NCc1n[nH]c(C2CC2)n1)c1cc(F)c(F)c([O-])c1F ZINC000295980532 409389920 /nfs/dbraw/zinc/38/99/20/409389920.db2.gz JNWQZKJTBNFLPP-UHFFFAOYSA-N -1 1 312.251 1.735 20 0 DDADMM Cc1csc(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)c1 ZINC000295998623 409396960 /nfs/dbraw/zinc/39/69/60/409396960.db2.gz XOFSNDRQGLJWRJ-UHFFFAOYSA-N -1 1 316.386 1.939 20 0 DDADMM O=C(NCCCN1CCOC1=O)c1c(F)ccc([O-])c1F ZINC000280734687 409431579 /nfs/dbraw/zinc/43/15/79/409431579.db2.gz IXEHOURGLQBKIO-UHFFFAOYSA-N -1 1 300.261 1.243 20 0 DDADMM C[C@]1(O)CCOC[C@@H]1[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000408064884 164215256 /nfs/dbraw/zinc/21/52/56/164215256.db2.gz MBVHXFPJAYDJHA-WQGACYEGSA-N -1 1 306.362 1.179 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCCO1)c1ccc(Br)o1 ZINC000408216778 164264528 /nfs/dbraw/zinc/26/45/28/164264528.db2.gz WKXHQJFPAPTXLM-QMMMGPOBSA-N -1 1 324.196 1.890 20 0 DDADMM CCO[C@@H]1C[C@H](O)C12CCN(C(=O)c1ncc(C)cc1[O-])CC2 ZINC000408228895 164268567 /nfs/dbraw/zinc/26/85/67/164268567.db2.gz KNXSHMOPLJHNHD-UONOGXRCSA-N -1 1 320.389 1.488 20 0 DDADMM COc1cc(C(=O)N2CC[C@]3(CNC(=O)C3)C2)cc(Cl)c1[O-] ZINC000408449476 164335220 /nfs/dbraw/zinc/33/52/20/164335220.db2.gz GKVSOYJBWCGPJN-HNNXBMFYSA-N -1 1 324.764 1.406 20 0 DDADMM Cc1nc(SC[C@H]2CCN(S(C)(=O)=O)C2)[n-]c(=O)c1C ZINC000408456237 164337014 /nfs/dbraw/zinc/33/70/14/164337014.db2.gz HBYBOJAKXFIMOS-JTQLQIEISA-N -1 1 317.436 1.173 20 0 DDADMM Cc1ccccc1OCCN(C)C(=O)CCCc1nn[n-]n1 ZINC000635152886 422846725 /nfs/dbraw/zinc/84/67/25/422846725.db2.gz NWVDASXWXGIVDC-UHFFFAOYSA-N -1 1 303.366 1.368 20 0 DDADMM C[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)CNC(=O)c1ncccc1[O-] ZINC000337897875 409552739 /nfs/dbraw/zinc/55/27/39/409552739.db2.gz FWXZQCNWCAFEIV-JHJVBQTASA-N -1 1 317.389 1.697 20 0 DDADMM CCC[C@@H]1C[C@H](C(=O)Nc2nc(SCCO)n[nH]2)CCO1 ZINC000356759840 409578416 /nfs/dbraw/zinc/57/84/16/409578416.db2.gz DPRWBPVKVKQRRG-NXEZZACHSA-N -1 1 314.411 1.423 20 0 DDADMM O=c1ccc([N-]S(=O)(=O)c2cccnc2C(F)(F)F)n[nH]1 ZINC000342573868 409733637 /nfs/dbraw/zinc/73/36/37/409733637.db2.gz VWZCGMOQMUVMFY-UHFFFAOYSA-N -1 1 320.252 1.397 20 0 DDADMM Cc1cc(OCC(=O)NC2(c3nn[n-]n3)CC2)cc(C)c1Cl ZINC000357062842 409827332 /nfs/dbraw/zinc/82/73/32/409827332.db2.gz YNKMRFPVEINKOX-UHFFFAOYSA-N -1 1 321.768 1.654 20 0 DDADMM Cc1ccc(S[C@@H](C)C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357065467 409832025 /nfs/dbraw/zinc/83/20/25/409832025.db2.gz FADRMDLHGWRDQV-JTQLQIEISA-N -1 1 303.391 1.794 20 0 DDADMM CC(C)c1cnc(CN2CCN(c3nc(=N)[n-]s3)CC2)s1 ZINC000346161235 409832209 /nfs/dbraw/zinc/83/22/09/409832209.db2.gz FGZVWARFUWDOKT-UHFFFAOYSA-N -1 1 324.479 1.853 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)N1CC[C@H](C)C1)c1cccs1 ZINC000349447827 409839162 /nfs/dbraw/zinc/83/91/62/409839162.db2.gz NDWAAMMFLGBCQC-ONGXEEELSA-N -1 1 318.420 1.138 20 0 DDADMM CC(C)(C)c1ccc(OCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357082629 409855771 /nfs/dbraw/zinc/85/57/71/409855771.db2.gz XOUBTEKOERAECO-UHFFFAOYSA-N -1 1 315.377 1.682 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(OC2CCCC2)nc1 ZINC000357092933 409865263 /nfs/dbraw/zinc/86/52/63/409865263.db2.gz CVWVCWQZEHYMEK-UHFFFAOYSA-N -1 1 314.349 1.335 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2ncccc2[O-])ccc1OC ZINC000357109977 409883588 /nfs/dbraw/zinc/88/35/88/409883588.db2.gz KFAXZYPQSNONDV-UHFFFAOYSA-N -1 1 316.313 1.512 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2ccc(=O)[nH]c2)oc1C ZINC000357329680 409977207 /nfs/dbraw/zinc/97/72/07/409977207.db2.gz DUMSUNXGLGXPFH-UHFFFAOYSA-N -1 1 312.303 1.276 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@@]1(O)CCSC1 ZINC000631609559 422852556 /nfs/dbraw/zinc/85/25/56/422852556.db2.gz LTGFVQLIUQSICY-ZDUSSCGKSA-N -1 1 301.795 1.572 20 0 DDADMM O=C(c1cc(F)ccc1O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332324743 410069264 /nfs/dbraw/zinc/06/92/64/410069264.db2.gz OBTFDCNNLMZDAH-QMMMGPOBSA-N -1 1 307.281 1.640 20 0 DDADMM CCN1C[C@H](c2noc(-c3ccc(OC)cc3[O-])n2)CC1=O ZINC000351446554 410084453 /nfs/dbraw/zinc/08/44/53/410084453.db2.gz UXVDEVRKZWHVHG-SECBINFHSA-N -1 1 303.318 1.787 20 0 DDADMM CCOc1ncccc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332362736 410097999 /nfs/dbraw/zinc/09/79/99/410097999.db2.gz STWDYZKMUTWTJJ-SNVBAGLBSA-N -1 1 318.333 1.589 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H](CO)C[C@H](O)C(C)(C)C)sc1C ZINC000343050328 410106004 /nfs/dbraw/zinc/10/60/04/410106004.db2.gz JUJURXVKRDZQIF-UWVGGRQHSA-N -1 1 316.423 1.230 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@@H](c3nccs3)C2)c([O-])c1 ZINC000332386834 410116755 /nfs/dbraw/zinc/11/67/55/410116755.db2.gz ZWLQQRSPMNSXOY-LLVKDONJSA-N -1 1 305.359 1.766 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1ccccc1Cl ZINC000357585585 410117702 /nfs/dbraw/zinc/11/77/02/410117702.db2.gz QISWKZSRDZCCBG-UHFFFAOYSA-N -1 1 323.736 1.522 20 0 DDADMM COc1ccc(C(=O)CSc2n[nH]c(=O)[n-]2)c2c1C(=O)CO2 ZINC000351649884 410131464 /nfs/dbraw/zinc/13/14/64/410131464.db2.gz LHISQCVTROXTDL-UHFFFAOYSA-N -1 1 321.314 1.069 20 0 DDADMM O=C([O-])C(=O)N[C@@H](c1nnc[nH]1)c1cccc(C(F)(F)F)c1 ZINC000354968327 410211306 /nfs/dbraw/zinc/21/13/06/410211306.db2.gz AFLWPFVQSICVFW-MRVPVSSYSA-N -1 1 314.223 1.114 20 0 DDADMM O=C(c1ccnc(C2CC2)n1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351843205 410277026 /nfs/dbraw/zinc/27/70/26/410277026.db2.gz CKOGWNXCFBXJMA-UHFFFAOYSA-N -1 1 315.333 1.462 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000343392918 410417674 /nfs/dbraw/zinc/41/76/74/410417674.db2.gz QVQGAPOQAWOUQL-WOPDTQHZSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)CC[S@](C)=O)cnc2n1 ZINC000358161409 410389737 /nfs/dbraw/zinc/38/97/37/410389737.db2.gz CQOAFCNOJXWNKZ-MGUXCZDKSA-N -1 1 321.402 1.531 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)CC[S@](C)=O)c2=O ZINC000358161409 410389741 /nfs/dbraw/zinc/38/97/41/410389741.db2.gz CQOAFCNOJXWNKZ-MGUXCZDKSA-N -1 1 321.402 1.531 20 0 DDADMM C[C@@H](NC(=O)c1csc(=NC2CC2)[n-]1)c1cc[nH]c(=O)c1 ZINC000355252501 410399822 /nfs/dbraw/zinc/39/98/22/410399822.db2.gz LBBIWGSYRPUNPZ-MRVPVSSYSA-N -1 1 304.375 1.731 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)Cn2cccn2)sc1C ZINC000333187292 410411585 /nfs/dbraw/zinc/41/15/85/410411585.db2.gz UQWHHNOPGUBIBR-QMMMGPOBSA-N -1 1 300.409 1.323 20 0 DDADMM O=C(N=c1[nH][nH]cc1-c1ccc2c(c1)OCO2)c1cncc([O-])c1 ZINC000339903699 410543700 /nfs/dbraw/zinc/54/37/00/410543700.db2.gz XHOMLWYDKAOSAI-UHFFFAOYSA-N -1 1 324.296 1.580 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]2CCO)sc1C ZINC000330273749 410609864 /nfs/dbraw/zinc/60/98/64/410609864.db2.gz ZQZOZGORUYMXLJ-GHMZBOCLSA-N -1 1 304.437 1.589 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(c2ccc(O)cc2)CC1 ZINC000635172374 422859021 /nfs/dbraw/zinc/85/90/21/422859021.db2.gz ZDQXWZAURIYYFZ-UHFFFAOYSA-N -1 1 315.377 1.634 20 0 DDADMM CC[C@H]1CCC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343709876 410638397 /nfs/dbraw/zinc/63/83/97/410638397.db2.gz DDIZSLXCSUVHAA-NWDGAFQWSA-N -1 1 305.378 1.602 20 0 DDADMM O=S(=O)([N-]C1CCC(CO)CC1)c1cccc(F)c1F ZINC000340058765 410647790 /nfs/dbraw/zinc/64/77/90/410647790.db2.gz RSOIKVPQGLCDAA-UHFFFAOYSA-N -1 1 305.346 1.794 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CC(=O)N(C(CC)CC)C1)c1nn[n-]n1 ZINC000343739092 410658395 /nfs/dbraw/zinc/65/83/95/410658395.db2.gz YPVAVLQNWUPBJU-ZYHUDNBSSA-N -1 1 322.413 1.194 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CC(=O)N(C(CC)CC)C1)c1nn[n-]n1 ZINC000343739086 410659343 /nfs/dbraw/zinc/65/93/43/410659343.db2.gz YPVAVLQNWUPBJU-CMPLNLGQSA-N -1 1 322.413 1.194 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCOC(C)(C)C2)o1 ZINC000330440111 410692347 /nfs/dbraw/zinc/69/23/47/410692347.db2.gz PCKGGRKJFAKOJZ-SECBINFHSA-N -1 1 317.363 1.302 20 0 DDADMM COc1cccc(C(F)(F)CNc2nc3[nH][n-]cc-3c(=O)n2)n1 ZINC000343925094 410815096 /nfs/dbraw/zinc/81/50/96/410815096.db2.gz ADYMHADFHRLRPI-UHFFFAOYSA-N -1 1 322.275 1.040 20 0 DDADMM Cc1cc(CN2CCN(c3nc(=N)[n-]s3)CC2)cc(C)c1O ZINC000347932325 410798613 /nfs/dbraw/zinc/79/86/13/410798613.db2.gz WLXVQIUMEDQINX-UHFFFAOYSA-N -1 1 319.434 1.595 20 0 DDADMM C[C@@H](NC(=O)c1c(F)ccc([O-])c1F)c1nnc2n1CCC2 ZINC000347937409 410803367 /nfs/dbraw/zinc/80/33/67/410803367.db2.gz SEZCAXBIWAWYRF-SSDOTTSWSA-N -1 1 308.288 1.699 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)NCc1cnc[nH]1 ZINC000359684400 410816469 /nfs/dbraw/zinc/81/64/69/410816469.db2.gz RMVYRULPODMBOP-UHFFFAOYSA-N -1 1 308.363 1.101 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000356108808 410839103 /nfs/dbraw/zinc/83/91/03/410839103.db2.gz UVVJIUOLPWGWEB-QWRGUYRKSA-N -1 1 301.346 1.468 20 0 DDADMM O=C(Nc1nncn1C1CC1)c1[n-]cnc1C(=O)c1ccccc1 ZINC000356179034 410880876 /nfs/dbraw/zinc/88/08/76/410880876.db2.gz FDNLDWHJMWVXEE-UHFFFAOYSA-N -1 1 322.328 1.819 20 0 DDADMM C[C@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000344001907 410881918 /nfs/dbraw/zinc/88/19/18/410881918.db2.gz BWPMLLLKICSTON-JMCQJSRRSA-N -1 1 309.309 1.950 20 0 DDADMM C[C@H](Oc1cccc(Cl)c1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359788743 410883722 /nfs/dbraw/zinc/88/37/22/410883722.db2.gz NTMPQVSBNRYQLY-QMMMGPOBSA-N -1 1 309.757 1.672 20 0 DDADMM COC[C@@H](O)CCNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000301857574 410817357 /nfs/dbraw/zinc/81/73/57/410817357.db2.gz CLJHZZDYOBBKPF-ZDUSSCGKSA-N -1 1 318.377 1.670 20 0 DDADMM Cc1cccc(CSCC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000359805650 410891472 /nfs/dbraw/zinc/89/14/72/410891472.db2.gz YTCPHHYSZFPFSX-UHFFFAOYSA-N -1 1 305.407 1.793 20 0 DDADMM O=C(CSCc1ccc(Cl)cc1)NC1(c2nn[n-]n2)CC1 ZINC000348289151 410900114 /nfs/dbraw/zinc/90/01/14/410900114.db2.gz AUNFNNYZKCSWTO-UHFFFAOYSA-N -1 1 323.809 1.892 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccccc1Br ZINC000348272422 410887405 /nfs/dbraw/zinc/88/74/05/410887405.db2.gz CLSNHZBENPMTLF-UHFFFAOYSA-N -1 1 308.139 1.381 20 0 DDADMM CC(C)c1nn(C)cc1[N-]S(=O)(=O)C[C@H]1CCCCO1 ZINC000331090814 410941483 /nfs/dbraw/zinc/94/14/83/410941483.db2.gz VTIORAUCCJWHQQ-LLVKDONJSA-N -1 1 301.412 1.854 20 0 DDADMM CCOC(=O)C1(CC(=O)NC2(c3nn[n-]n3)CCCC2)CCC1 ZINC000356477486 411050793 /nfs/dbraw/zinc/05/07/93/411050793.db2.gz KPKUOERWHFPLPY-UHFFFAOYSA-N -1 1 321.381 1.209 20 0 DDADMM C[N@@H+]1CC[C@@H](NS(=O)(=O)c2cccnc2C(F)(F)F)C1 ZINC000344309767 411085327 /nfs/dbraw/zinc/08/53/27/411085327.db2.gz SDDSRCPSYIUAAU-MRVPVSSYSA-N -1 1 309.313 1.083 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCOC3(CCOCC3)C2)c([O-])c1 ZINC000331385890 411074914 /nfs/dbraw/zinc/07/49/14/411074914.db2.gz HXTWHGAFHFDAPC-GFCCVEGCSA-N -1 1 306.362 1.554 20 0 DDADMM CC(C)CN1CCN(C(=O)c2ccc([O-])cc2F)[C@@H](C)C1=O ZINC000331228568 411030570 /nfs/dbraw/zinc/03/05/70/411030570.db2.gz FVDZXSQZLUWQNK-NSHDSACASA-N -1 1 308.353 1.860 20 0 DDADMM CC(C)[C@@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000353828860 411134022 /nfs/dbraw/zinc/13/40/22/411134022.db2.gz JAKJXVSFYAGAJP-GFCCVEGCSA-N -1 1 305.378 1.412 20 0 DDADMM CC(C)[C@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000353828861 411134137 /nfs/dbraw/zinc/13/41/37/411134137.db2.gz JAKJXVSFYAGAJP-LBPRGKRZSA-N -1 1 305.378 1.412 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3ncccc3[O-])[C@H]2C1 ZINC000356612783 411107039 /nfs/dbraw/zinc/10/70/39/411107039.db2.gz GEVIQWCWXRBEAJ-RWMBFGLXSA-N -1 1 303.362 1.164 20 0 DDADMM CCN1CCC[C@@H]1CNS(=O)(=O)c1cccc(Cl)c1[O-] ZINC000631648812 422866960 /nfs/dbraw/zinc/86/69/60/422866960.db2.gz RJHAAMZTKQCPJW-SNVBAGLBSA-N -1 1 318.826 1.808 20 0 DDADMM CC(C)C(=O)NCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631671948 422874409 /nfs/dbraw/zinc/87/44/09/422874409.db2.gz CPAMBFYIFCVBDM-UHFFFAOYSA-N -1 1 310.322 1.268 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)c2cscn2)o1 ZINC000580724847 422951017 /nfs/dbraw/zinc/95/10/17/422951017.db2.gz IZLLWKLYJZNWBS-SSDOTTSWSA-N -1 1 316.360 1.562 20 0 DDADMM CC(C)N1CC[C@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192042244 745680471 /nfs/dbraw/zinc/68/04/71/745680471.db2.gz AKRJVGIPIZIJRO-ZETCQYMHSA-N -1 1 309.646 1.716 20 0 DDADMM COC(=O)C(C)(C)C[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192044492 745681027 /nfs/dbraw/zinc/68/10/27/745681027.db2.gz VAOWKLLVHZPOPM-UHFFFAOYSA-N -1 1 312.602 1.433 20 0 DDADMM O=C(NCCCOc1ncccn1)c1csc(=NC2CC2)[n-]1 ZINC000640577695 423042688 /nfs/dbraw/zinc/04/26/88/423042688.db2.gz WSWFKKDLDZDWGR-UHFFFAOYSA-N -1 1 319.390 1.128 20 0 DDADMM CCC(=O)N1CC[C@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192054883 745686415 /nfs/dbraw/zinc/68/64/15/745686415.db2.gz HYPDLVWOQBNHBA-LURJTMIESA-N -1 1 323.629 1.244 20 0 DDADMM CC[C@@H](CCO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645560722 423085521 /nfs/dbraw/zinc/08/55/21/423085521.db2.gz UHGAKJRBPCVNJX-QMMMGPOBSA-N -1 1 312.313 1.540 20 0 DDADMM Cc1nnc([N-][C@@H]2CCCCN(CC(=O)OC(C)(C)C)C2=O)o1 ZINC000601252592 416622993 /nfs/dbraw/zinc/62/29/93/416622993.db2.gz NRCFXZDOCYELHY-LLVKDONJSA-N -1 1 324.381 1.513 20 0 DDADMM CC1([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCOCC1 ZINC000645626409 423114573 /nfs/dbraw/zinc/11/45/73/423114573.db2.gz KJTYFZGQRDWIBQ-UHFFFAOYSA-N -1 1 324.324 1.948 20 0 DDADMM Cc1nc(-c2ccc(NCCn3cncn3)nc2)[n-]c(=O)c1C ZINC000527492987 417244597 /nfs/dbraw/zinc/24/45/97/417244597.db2.gz DFSGZDXASCDQLQ-UHFFFAOYSA-N -1 1 311.349 1.565 20 0 DDADMM CSc1nc(CNC(=O)c2ncc3n2CCCC3)cc(=O)[n-]1 ZINC000640654110 423114274 /nfs/dbraw/zinc/11/42/74/423114274.db2.gz NOTFKNDCEAJQDW-UHFFFAOYSA-N -1 1 319.390 1.367 20 0 DDADMM CCCCN(C)S(=O)(=O)n1[n-]c(=O)c2ccc(OC)cc21 ZINC000647918447 423116145 /nfs/dbraw/zinc/11/61/45/423116145.db2.gz PNDRYWIMHGFMSK-UHFFFAOYSA-N -1 1 313.379 1.575 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CC[C@H](F)C1 ZINC000360373163 418420105 /nfs/dbraw/zinc/42/01/05/418420105.db2.gz QDECCBJRRIIXSB-QWRGUYRKSA-N -1 1 306.341 1.601 20 0 DDADMM O=C(NC[C@@H](CO)c1cccnc1)c1csc(=NC2CC2)[n-]1 ZINC000360445341 418437331 /nfs/dbraw/zinc/43/73/31/418437331.db2.gz NKPOWZYIMOARKS-NSHDSACASA-N -1 1 318.402 1.040 20 0 DDADMM O=C(c1nccc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366661472 418502019 /nfs/dbraw/zinc/50/20/19/418502019.db2.gz ZRCVNFSVJVPSAF-LBPRGKRZSA-N -1 1 308.345 1.768 20 0 DDADMM CCc1n[n-]c(=NC(=O)N2CCCC[C@@H]2c2n[nH]c(C)n2)s1 ZINC000374559307 418540486 /nfs/dbraw/zinc/54/04/86/418540486.db2.gz VQPYAHKYXSGWPF-SECBINFHSA-N -1 1 321.410 1.708 20 0 DDADMM CN(C)C(=O)Cc1ccc(NC(=O)c2ccc(O)cc2[O-])cc1 ZINC000193335325 222150504 /nfs/dbraw/zinc/15/05/04/222150504.db2.gz QZHPEIBDQAOIPE-UHFFFAOYSA-N -1 1 314.341 1.981 20 0 DDADMM COCCN(CC(=O)[O-])C(=O)c1c[nH]c2ccccc2c1=O ZINC000315057887 418595535 /nfs/dbraw/zinc/59/55/35/418595535.db2.gz FHOSMEIHFDDYRM-UHFFFAOYSA-N -1 1 304.302 1.114 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1C[C@@H](c2ccccc2C)C1 ZINC000367672290 418621398 /nfs/dbraw/zinc/62/13/98/418621398.db2.gz RCCFQVMEPYPJEC-HAQNSBGRSA-N -1 1 321.402 1.929 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@@H](c2ccccc2C)C1 ZINC000367672290 418621402 /nfs/dbraw/zinc/62/14/02/418621402.db2.gz RCCFQVMEPYPJEC-HAQNSBGRSA-N -1 1 321.402 1.929 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@@H](CC2CCCC2)C1 ZINC000368134443 418693990 /nfs/dbraw/zinc/69/39/90/418693990.db2.gz XVMYUXKBZCWZTO-LBPRGKRZSA-N -1 1 313.423 1.986 20 0 DDADMM Cc1nc(C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)no1 ZINC000362055572 418745964 /nfs/dbraw/zinc/74/59/64/418745964.db2.gz XCYSXWHUGJVPDH-UHFFFAOYSA-N -1 1 315.329 1.819 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC[C@H]([C@@H]3CCOC3)C1)c2=O ZINC000364697181 418801898 /nfs/dbraw/zinc/80/18/98/418801898.db2.gz NLEQSNAOGBAEKC-WDEREUQCSA-N -1 1 316.361 1.173 20 0 DDADMM Cc1ccccc1[C@H](C)CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000364776190 418810415 /nfs/dbraw/zinc/81/04/15/418810415.db2.gz YVDZJEZSLHKXBE-TZMCWYRMSA-N -1 1 315.377 1.602 20 0 DDADMM Cc1ccc2cccc(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)c2n1 ZINC000371741341 418813299 /nfs/dbraw/zinc/81/32/99/418813299.db2.gz KXDUMRYKCLPIMX-CYBMUJFWSA-N -1 1 324.344 1.270 20 0 DDADMM CN1CCC[C@@]2(CCN(C(=O)c3cc(F)ccc3[O-])C2)C1=O ZINC000372545199 418882037 /nfs/dbraw/zinc/88/20/37/418882037.db2.gz IDUKOPNNXHWRGA-INIZCTEOSA-N -1 1 306.337 1.616 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H](CC)CC(F)(F)F ZINC000410666122 418837146 /nfs/dbraw/zinc/83/71/46/418837146.db2.gz XZDQTVGSMSFIOI-MRVPVSSYSA-N -1 1 321.299 1.936 20 0 DDADMM Cc1c(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)cnn1C ZINC000425170554 228379277 /nfs/dbraw/zinc/37/92/77/228379277.db2.gz NJXGQIWLMDUTIN-UHFFFAOYSA-N -1 1 315.345 1.794 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC[C@H](O)C(C)C)c(F)c1 ZINC000425174710 228381289 /nfs/dbraw/zinc/38/12/89/228381289.db2.gz DCLWFBATBOWSCN-LBPRGKRZSA-N -1 1 307.362 1.959 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc([S@@](C)=O)cc1)OC ZINC000421151630 419502978 /nfs/dbraw/zinc/50/29/78/419502978.db2.gz KFYJZDYTRCSYFY-BBATYDOGSA-N -1 1 305.421 1.591 20 0 DDADMM CCc1ncc([N-]S(=O)(=O)C[C@@H](CC(C)C)OC)cn1 ZINC000421149866 419503062 /nfs/dbraw/zinc/50/30/62/419503062.db2.gz ICIDUFGPNJSAOB-GFCCVEGCSA-N -1 1 301.412 1.842 20 0 DDADMM COC(=O)[C@H]1c2ccccc2CCN1C(=O)c1ncccc1[O-] ZINC000427241320 419625708 /nfs/dbraw/zinc/62/57/08/419625708.db2.gz BTXXDVWGIIVIBE-OAHLLOKOSA-N -1 1 312.325 1.700 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CS(=O)(=O)c3ccccc32)c([O-])c1 ZINC000427450644 419664681 /nfs/dbraw/zinc/66/46/81/419664681.db2.gz NFSDHOHSPWAHRN-LLVKDONJSA-N -1 1 318.354 1.354 20 0 DDADMM C[C@]1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC1(F)F ZINC000421798322 419733555 /nfs/dbraw/zinc/73/35/55/419733555.db2.gz YVWIPERZDREGIT-SNVBAGLBSA-N -1 1 306.290 1.023 20 0 DDADMM CCCN1C[C@@H](CNC(=O)c2c(F)ccc([O-])c2F)CC1=O ZINC000421927874 419775411 /nfs/dbraw/zinc/77/54/11/419775411.db2.gz ZVDYQFNIEDDHJO-SECBINFHSA-N -1 1 312.316 1.659 20 0 DDADMM COc1ccccc1-c1n[n-]c(=NC(=O)N2CCNCC2)s1 ZINC000415800562 420182936 /nfs/dbraw/zinc/18/29/36/420182936.db2.gz FGPARPKYRVLICV-UHFFFAOYSA-N -1 1 319.390 1.073 20 0 DDADMM CCOC(=O)[C@H](C(=O)Nc1nc(SC)n[nH]1)c1ccccc1 ZINC000416141818 420254341 /nfs/dbraw/zinc/25/43/41/420254341.db2.gz DWRFWHHPUGWNKL-JTQLQIEISA-N -1 1 320.374 1.812 20 0 DDADMM CC(=O)N1CC[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000436754583 420362886 /nfs/dbraw/zinc/36/28/86/420362886.db2.gz ZTRBPRHUQKWCIL-SNVBAGLBSA-N -1 1 316.279 1.762 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ncncc2Cl)c1 ZINC000436516108 420334729 /nfs/dbraw/zinc/33/47/29/420334729.db2.gz ULUHLCIHRPNMMF-UHFFFAOYSA-N -1 1 307.693 1.875 20 0 DDADMM COC(=O)c1ccnc(NC(=O)c2ccc(OC)cc2[O-])c1 ZINC000436550267 420338685 /nfs/dbraw/zinc/33/86/85/420338685.db2.gz SBZJRTRSXVSTMM-UHFFFAOYSA-N -1 1 302.286 1.835 20 0 DDADMM CCOC(=O)[C@@H](CC)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425295344 420341023 /nfs/dbraw/zinc/34/10/23/420341023.db2.gz FMFNPEAJDRCSLU-ZJUUUORDSA-N -1 1 311.338 1.071 20 0 DDADMM O=C(Nc1ccc(N2CCC2=O)cc1)C(=O)c1ccc([O-])cc1 ZINC000436634077 420345603 /nfs/dbraw/zinc/34/56/03/420345603.db2.gz JIINBPNTFIAPOH-UHFFFAOYSA-N -1 1 310.309 1.950 20 0 DDADMM CCC(=O)c1ccc([O-])c(NC(=O)CN2CCN(CC)CC2)c1 ZINC000436646303 420347459 /nfs/dbraw/zinc/34/74/59/420347459.db2.gz NXZJPYRZPHJNSF-UHFFFAOYSA-N -1 1 319.405 1.561 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)Cn2cc(Cl)cn2)c1 ZINC000436638866 420347774 /nfs/dbraw/zinc/34/77/74/420347774.db2.gz HRVYFSVQYYFCDT-UHFFFAOYSA-N -1 1 309.709 1.667 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@H](CC)OC)[C@H](C)CC ZINC000420675163 420351689 /nfs/dbraw/zinc/35/16/89/420351689.db2.gz OJEIHBSEZVQKRC-GRYCIOLGSA-N -1 1 309.428 1.309 20 0 DDADMM Cc1nnc([C@@H]2CCCCN2C(=O)C(=O)c2ccc([O-])cc2)[nH]1 ZINC000436725437 420360419 /nfs/dbraw/zinc/36/04/19/420360419.db2.gz UWIZKBGVXZORLB-ZDUSSCGKSA-N -1 1 314.345 1.755 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cccc(CO)c2)c1 ZINC000436727224 420361001 /nfs/dbraw/zinc/36/10/01/420361001.db2.gz YOGKIPPKVCWYRW-UHFFFAOYSA-N -1 1 301.298 1.923 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C(C)C)[C@H]1C(C)C ZINC000416247648 420295741 /nfs/dbraw/zinc/29/57/41/420295741.db2.gz PHPSRFSWJYLJPG-GXSJLCMTSA-N -1 1 309.366 1.649 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCCC(C)(C)C1 ZINC000416248798 420295868 /nfs/dbraw/zinc/29/58/68/420295868.db2.gz UKQOYXYTKSCKDG-SECBINFHSA-N -1 1 309.366 1.843 20 0 DDADMM COCCOC1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000436751528 420362536 /nfs/dbraw/zinc/36/25/36/420362536.db2.gz LZPHFHMSSNKXEM-UHFFFAOYSA-N -1 1 319.279 1.898 20 0 DDADMM CN(CCCNC(=O)C1CCC1)C(=O)c1ccc([O-])cc1F ZINC000436880147 420380194 /nfs/dbraw/zinc/38/01/94/420380194.db2.gz JALAGWVWKJWIHW-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1)[C@@H]1CCOC1 ZINC000436910797 420382920 /nfs/dbraw/zinc/38/29/20/420382920.db2.gz DMLQRNIWQAZVQY-MRVPVSSYSA-N -1 1 308.725 1.806 20 0 DDADMM O=C(Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1)[C@@H]1CCOC1 ZINC000436910797 420382922 /nfs/dbraw/zinc/38/29/22/420382922.db2.gz DMLQRNIWQAZVQY-MRVPVSSYSA-N -1 1 308.725 1.806 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCc2n[nH]c(=O)cc2C1 ZINC000436956604 420387016 /nfs/dbraw/zinc/38/70/16/420387016.db2.gz NTIZPWQAUYINDL-UHFFFAOYSA-N -1 1 305.721 1.740 20 0 DDADMM Cc1nnc(CCNC(=O)c2cc3ccccc3cc2[O-])n1C ZINC000437000309 420391337 /nfs/dbraw/zinc/39/13/37/420391337.db2.gz TXNLSBKKCWDJTL-UHFFFAOYSA-N -1 1 310.357 1.955 20 0 DDADMM O=C(N[C@H](CO)[C@@H]1CCCO1)c1ccc2ccccc2c1[O-] ZINC000436804995 420367842 /nfs/dbraw/zinc/36/78/42/420367842.db2.gz CKEWUOBVHKHTEK-CABCVRRESA-N -1 1 301.342 1.815 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ccc(C)c(C)n2)c1 ZINC000416554803 420416240 /nfs/dbraw/zinc/41/62/40/420416240.db2.gz ZLTROOAASSMOTG-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM CCC[C@H]1CCCC[C@@H]1CN=c1ccc(C(=O)NCCO)n[n-]1 ZINC000450907094 420557818 /nfs/dbraw/zinc/55/78/18/420557818.db2.gz JUVRRTUGZLQHAC-UONOGXRCSA-N -1 1 320.437 1.639 20 0 DDADMM COCc1nc2cc([N-]S(=O)(=O)C[C@@H](C)OC)ccc2o1 ZINC000450971848 420572910 /nfs/dbraw/zinc/57/29/10/420572910.db2.gz HNYFEPQVIZPDNN-SECBINFHSA-N -1 1 314.363 1.751 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@H]1CCCC1(F)F)c2=O ZINC000452808522 420666894 /nfs/dbraw/zinc/66/68/94/420666894.db2.gz MMYIBQJHISJVOF-MRVPVSSYSA-N -1 1 310.304 1.839 20 0 DDADMM COc1cc(C(=O)N(C)CC(C)(CO)CO)cc(Cl)c1[O-] ZINC000442801825 420718898 /nfs/dbraw/zinc/71/88/98/420718898.db2.gz PXEPJRKRTIZXGZ-UHFFFAOYSA-N -1 1 317.769 1.117 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCSC2)o1 ZINC000447946272 420824825 /nfs/dbraw/zinc/82/48/25/420824825.db2.gz ZSCYMXXZCBJARR-VIFPVBQESA-N -1 1 319.404 1.488 20 0 DDADMM CC(C)Oc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000493485565 420862609 /nfs/dbraw/zinc/86/26/09/420862609.db2.gz KNLIVRZBFFQEKM-YVMONPNESA-N -1 1 313.361 1.806 20 0 DDADMM COc1cc(C)ccc1CN1CCN(c2nc(=N)[n-]s2)CC1 ZINC000449050808 420941598 /nfs/dbraw/zinc/94/15/98/420941598.db2.gz VUQSHXSCVPNLIW-UHFFFAOYSA-N -1 1 319.434 1.590 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(-n2cccc2)ccn1)c1nn[n-]n1 ZINC000487129243 421005044 /nfs/dbraw/zinc/00/50/44/421005044.db2.gz XABQBYQXFCYUSY-LLVKDONJSA-N -1 1 311.349 1.261 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN2C(=O)OCC[C@H]2C1 ZINC000495944011 421099804 /nfs/dbraw/zinc/09/98/04/421099804.db2.gz CONNVQWFOZZPIJ-QMMMGPOBSA-N -1 1 312.272 1.337 20 0 DDADMM CCOC(=O)N1CC[C@H](N=c2nc(C(F)(F)F)[n-]s2)C1 ZINC000495993929 421115320 /nfs/dbraw/zinc/11/53/20/421115320.db2.gz ZEVMMNXQLRVVHU-LURJTMIESA-N -1 1 310.301 1.622 20 0 DDADMM CC[C@@H](C(=O)N1CCC[C@@H]1C(=O)[O-])N(C)Cc1ccccc1 ZINC000455816975 421065787 /nfs/dbraw/zinc/06/57/87/421065787.db2.gz XHZROLVQSRAEIL-LSDHHAIUSA-N -1 1 304.390 1.973 20 0 DDADMM Cc1nn(C)c2sc(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)cc12 ZINC000544686808 421227866 /nfs/dbraw/zinc/22/78/66/421227866.db2.gz IQEIUQGQKCQSME-SSDOTTSWSA-N -1 1 319.394 1.332 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCC[C@@H](CO)C2)sc1C ZINC000561111539 421306625 /nfs/dbraw/zinc/30/66/25/421306625.db2.gz NULODSZEXRAQSH-GHMZBOCLSA-N -1 1 304.437 1.589 20 0 DDADMM COc1cc(CNC(=O)c2csc(=NC3CC3)[n-]2)ccc1O ZINC000524850998 421274749 /nfs/dbraw/zinc/27/47/49/421274749.db2.gz UIXVCJFUHBXESN-UHFFFAOYSA-N -1 1 319.386 1.784 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@](C)(C(=O)[N-]OCCCO)C1 ZINC000496641629 421290307 /nfs/dbraw/zinc/29/03/07/421290307.db2.gz IPYHBSCPOQCYSS-OAHLLOKOSA-N -1 1 316.398 1.454 20 0 DDADMM Cc1cc(F)ccc1-n1cc(C(=O)Nc2ccncc2[O-])nn1 ZINC000507390310 421428449 /nfs/dbraw/zinc/42/84/49/421428449.db2.gz RADGCZMLFZOCFE-UHFFFAOYSA-N -1 1 313.292 1.490 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1ccc(-n2cccc2)cc1)c1nn[n-]n1 ZINC000548466408 421441781 /nfs/dbraw/zinc/44/17/81/421441781.db2.gz LUOOFPFQOCXSTA-ZDUSSCGKSA-N -1 1 324.388 1.795 20 0 DDADMM C[C@H](NC(=O)OC(C)(C)C)[C@H](C)NC(=O)c1cncc([O-])c1 ZINC000497226071 421391048 /nfs/dbraw/zinc/39/10/48/421391048.db2.gz HYFPIWMRBBOBLY-UWVGGRQHSA-N -1 1 309.366 1.819 20 0 DDADMM CS(=O)(=O)CC1(CN(CC(=O)[O-])Cc2ccccc2)CC1 ZINC000563284960 421482667 /nfs/dbraw/zinc/48/26/67/421482667.db2.gz WGAPORGLNXIJBY-UHFFFAOYSA-N -1 1 311.403 1.398 20 0 DDADMM Cc1nc(-c2ccc(NCCC3(O)CCC3)nc2)[n-]c(=O)c1C ZINC000553594703 421621652 /nfs/dbraw/zinc/62/16/52/421621652.db2.gz NSFLOMGVXUSVCB-UHFFFAOYSA-N -1 1 314.389 2.000 20 0 DDADMM Cc1nc(CC(C)C)oc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000530402760 421599448 /nfs/dbraw/zinc/59/94/48/421599448.db2.gz YYFOCULYIISEAJ-UHFFFAOYSA-N -1 1 318.381 1.894 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@]2(O)CCC[C@@H]2C)sc1C ZINC000555429222 421678112 /nfs/dbraw/zinc/67/81/12/421678112.db2.gz JGZURQSTYBDGCN-QPUJVOFHSA-N -1 1 304.437 1.589 20 0 DDADMM COC(=O)[C@H](NCc1ncccc1[O-])[C@H]1CCC[C@@H](OC)C1 ZINC000571810675 421745608 /nfs/dbraw/zinc/74/56/08/421745608.db2.gz GAWFHMAZNMDQHO-YWPYICTPSA-N -1 1 308.378 1.624 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1Cc1ncn(-c2ccccc2)n1 ZINC000572653627 421809403 /nfs/dbraw/zinc/80/94/03/421809403.db2.gz OVEZYTZIMKVJLP-XPKDYRNWSA-N -1 1 312.373 1.952 20 0 DDADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000520834527 421765977 /nfs/dbraw/zinc/76/59/77/421765977.db2.gz FLRIYTPWZALTMD-MNOVXSKESA-N -1 1 300.318 1.232 20 0 DDADMM C[C@H](C(=O)N=c1cc(-c2cccs2)[n-][nH]1)[C@@H]1CCC(=O)N1 ZINC000627770249 421883105 /nfs/dbraw/zinc/88/31/05/421883105.db2.gz MATWVVVOWFIUJS-IUCAKERBSA-N -1 1 304.375 1.413 20 0 DDADMM COc1ccc(CNC(=O)[C@@H]2CCCN2C2CC2)cc1C(=O)[O-] ZINC000630097560 421887182 /nfs/dbraw/zinc/88/71/82/421887182.db2.gz JOLUGVBGLZPCDP-AWEZNQCLSA-N -1 1 318.373 1.636 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@]1(CCO)CCOC1 ZINC000631825655 421861124 /nfs/dbraw/zinc/86/11/24/421861124.db2.gz PKLNFXJLWVTWDC-HNNXBMFYSA-N -1 1 313.781 1.493 20 0 DDADMM CO[C@@H](C)CC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000631881650 421909419 /nfs/dbraw/zinc/90/94/19/421909419.db2.gz FZFYSCJMWXLYRH-LURJTMIESA-N -1 1 301.290 1.132 20 0 DDADMM O=C([O-])COc1cccc(NC(=O)[C@@H]2CCCN2C2CC2)c1 ZINC000630135556 421910855 /nfs/dbraw/zinc/91/08/55/421910855.db2.gz PGBYCFKXBBHAGL-AWEZNQCLSA-N -1 1 304.346 1.715 20 0 DDADMM CO[C@H]1C[C@@H](CC(=O)[O-])N(C(=O)c2cccc3n[nH]cc32)C1 ZINC000630188439 421946843 /nfs/dbraw/zinc/94/68/43/421946843.db2.gz APKIBTNVLAYUAO-UWVGGRQHSA-N -1 1 303.318 1.267 20 0 DDADMM C[C@H]1CN(S(=O)(=O)c2cccc(Cl)c2[O-])[C@@H](C)CN1 ZINC000631929889 421948076 /nfs/dbraw/zinc/94/80/76/421948076.db2.gz PXXJRINVMOHBAX-IUCAKERBSA-N -1 1 304.799 1.417 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)N(C)C1CCOCC1)c2=O ZINC000573335636 421949847 /nfs/dbraw/zinc/94/98/47/421949847.db2.gz FSEKRNDMAAKKJK-UHFFFAOYSA-N -1 1 316.357 1.788 20 0 DDADMM Cc1cccc(C)c1OC[C@@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635418307 421974824 /nfs/dbraw/zinc/97/48/24/421974824.db2.gz LIHZXALOBPDZIH-CYBMUJFWSA-N -1 1 317.393 1.723 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCOc2c(Cl)cccc21 ZINC000635413182 421971498 /nfs/dbraw/zinc/97/14/98/421971498.db2.gz PTOCJCSUSZIGJW-NSHDSACASA-N -1 1 321.768 1.816 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630223178 421972247 /nfs/dbraw/zinc/97/22/47/421972247.db2.gz IIKFLJWKUUGLEW-STQMWFEESA-N -1 1 300.399 1.055 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CC[C@@H]2CC[C@@H](C)O2)CC1 ZINC000630223563 421972615 /nfs/dbraw/zinc/97/26/15/421972615.db2.gz JZJKZLMPRMBKOY-MJBXVCDLSA-N -1 1 312.410 1.342 20 0 DDADMM CON1CCC(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CC1 ZINC000633442356 421904024 /nfs/dbraw/zinc/90/40/24/421904024.db2.gz AOXLZTILKURBHZ-UHFFFAOYSA-N -1 1 306.391 1.772 20 0 DDADMM CC(=O)N1CCCN(C(=O)N=c2[n-]sc3ccccc32)CC1 ZINC000630345317 422033740 /nfs/dbraw/zinc/03/37/40/422033740.db2.gz AVJDCAZUWDACNS-UHFFFAOYSA-N -1 1 318.402 1.804 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C(C)(C)c2ccccc2)CC1 ZINC000630224147 421976040 /nfs/dbraw/zinc/97/60/40/421976040.db2.gz MIMLLSRJFYRNQD-CQSZACIVSA-N -1 1 318.417 1.972 20 0 DDADMM CN1C[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)CCC1=O ZINC000631997413 422008601 /nfs/dbraw/zinc/00/86/01/422008601.db2.gz ZWLWIVDAAGFFNO-ZETCQYMHSA-N -1 1 308.306 1.116 20 0 DDADMM Cc1ccc2c(CCNC(=O)CCCc3nn[n-]n3)c[nH]c2c1 ZINC000635461560 422011813 /nfs/dbraw/zinc/01/18/13/422011813.db2.gz HTDXJOVUASRATN-UHFFFAOYSA-N -1 1 312.377 1.671 20 0 DDADMM CCC[C@@](C)(O)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632010718 422018100 /nfs/dbraw/zinc/01/81/00/422018100.db2.gz DLTPXYKWLFNHQS-CYBMUJFWSA-N -1 1 303.380 1.230 20 0 DDADMM CN(C(=O)NCc1cccc(C(=O)[O-])c1)[C@@H]1CC[N@H+](C2CC2)C1 ZINC000635524141 422073352 /nfs/dbraw/zinc/07/33/52/422073352.db2.gz HCXITBMILFNJQL-OAHLLOKOSA-N -1 1 317.389 1.763 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@@]2(CCSC2)C1 ZINC000574248750 422081260 /nfs/dbraw/zinc/08/12/60/422081260.db2.gz ZAXUAFTWYZCPIW-GFCCVEGCSA-N -1 1 317.436 1.303 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)CC1(OC)CCC1)c1ccco1 ZINC000632060254 422058885 /nfs/dbraw/zinc/05/88/85/422058885.db2.gz HIJUMHZYLKTTMO-NSHDSACASA-N -1 1 303.380 1.456 20 0 DDADMM C[C@@H]1c2ccc(F)cc2CCN1C(=O)CCCc1nn[n-]n1 ZINC000635590684 422141932 /nfs/dbraw/zinc/14/19/32/422141932.db2.gz OYPSOCHZRPIOBD-SNVBAGLBSA-N -1 1 303.341 1.807 20 0 DDADMM O=C(NC[C@H]1CCCSC1)c1nc2ccccc2c(=O)[n-]1 ZINC000574670029 422158449 /nfs/dbraw/zinc/15/84/49/422158449.db2.gz SJKMZYCXRMDEDK-SNVBAGLBSA-N -1 1 303.387 1.796 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@]2(C)CCO[C@H]2C2CC2)sn1 ZINC000632205961 422161902 /nfs/dbraw/zinc/16/19/02/422161902.db2.gz YGDBRDKOYBFSNY-RYUDHWBXSA-N -1 1 302.421 1.687 20 0 DDADMM Cc1cc([C@@H]2CN(C(=O)CCc3nn[n-]n3)CCO2)ccc1F ZINC000632210745 422165675 /nfs/dbraw/zinc/16/56/75/422165675.db2.gz QWTDFOWLKAVDEF-ZDUSSCGKSA-N -1 1 319.340 1.180 20 0 DDADMM Cc1ccc([C@H]2COCCN2C(=O)CCCc2nn[n-]n2)o1 ZINC000635579235 422130739 /nfs/dbraw/zinc/13/07/39/422130739.db2.gz ZIHJOXCUILCCCE-LLVKDONJSA-N -1 1 305.338 1.024 20 0 DDADMM CO[C@@H](CC[N-]S(=O)(=O)c1conc1C)C(F)(F)F ZINC000632262733 422204524 /nfs/dbraw/zinc/20/45/24/422204524.db2.gz CIRICJFGUFZVOX-QMMMGPOBSA-N -1 1 302.274 1.229 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1C[C@@H](CO)[C@H](CO)C1 ZINC000628521418 422206949 /nfs/dbraw/zinc/20/69/49/422206949.db2.gz BBRZEDGTEFDQLZ-UWVGGRQHSA-N -1 1 319.279 1.084 20 0 DDADMM CNC(=O)C1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000630623065 422228123 /nfs/dbraw/zinc/22/81/23/422228123.db2.gz FXAKWDOCFZRSDQ-UHFFFAOYSA-N -1 1 310.781 1.573 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](c2nncn2C)C(C)C)sn1 ZINC000632327580 422250296 /nfs/dbraw/zinc/25/02/96/422250296.db2.gz AFLQQUGTGGUUIX-SNVBAGLBSA-N -1 1 315.424 1.256 20 0 DDADMM COc1cccc([C@H](N)C(=O)N2C[C@H](C)C[C@@H](C(=O)[O-])C2)c1 ZINC000630574446 422189271 /nfs/dbraw/zinc/18/92/71/422189271.db2.gz PSPWEJJMHDAXQL-QKCSRTOESA-N -1 1 306.362 1.264 20 0 DDADMM O=C(NC1CC1)c1[nH]nnc1NC(=O)c1ccnc(C2CC2)c1 ZINC000574856925 422195763 /nfs/dbraw/zinc/19/57/63/422195763.db2.gz GLNSHZHOCPDFIO-UHFFFAOYSA-N -1 1 312.333 1.222 20 0 DDADMM CO[C@H](Cc1ccccc1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000584213068 422282761 /nfs/dbraw/zinc/28/27/61/422282761.db2.gz KSAWXGMTTYGPAN-ZIAGYGMSSA-N -1 1 315.377 1.163 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@]2(O)CCC[C@@H]2C)c1 ZINC000632374213 422288498 /nfs/dbraw/zinc/28/84/98/422288498.db2.gz MUMFSFXTEZZERV-IINYFYTJSA-N -1 1 315.391 1.230 20 0 DDADMM COC(C)(C)c1nc(=NC(=O)c2cnc3[nH]cccc2-3)s[n-]1 ZINC000634152494 422290787 /nfs/dbraw/zinc/29/07/87/422290787.db2.gz HUFSPFNCRPGZAN-UHFFFAOYSA-N -1 1 317.374 1.970 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)[C@@H](C)C(C)(C)O)c1 ZINC000632394358 422302615 /nfs/dbraw/zinc/30/26/15/422302615.db2.gz NZWSYOBABFHRML-VIFPVBQESA-N -1 1 303.380 1.181 20 0 DDADMM COc1cccc(C2=CCN(C(=O)CCCc3nn[n-]n3)C2)c1 ZINC000635778851 422337405 /nfs/dbraw/zinc/33/74/05/422337405.db2.gz HOYXEANFUUDCMQ-UHFFFAOYSA-N -1 1 313.361 1.457 20 0 DDADMM COCc1ccc([C@H]2CCCN2C(=O)CCCc2nn[n-]n2)o1 ZINC000635807291 422362054 /nfs/dbraw/zinc/36/20/54/422362054.db2.gz MONCVGIIBGHODL-GFCCVEGCSA-N -1 1 319.365 1.626 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@H](CO)[C@H]2C)c1 ZINC000632461228 422363763 /nfs/dbraw/zinc/36/37/63/422363763.db2.gz OAWIYVKGQVTJCL-GHMZBOCLSA-N -1 1 315.391 1.182 20 0 DDADMM NC(=O)[C@H]1C[C@H](NC(=O)c2ccc(Br)cc2[O-])C1 ZINC000628777621 422322055 /nfs/dbraw/zinc/32/20/55/422322055.db2.gz UQQDKRAPWAYPFX-FKQCQYRASA-N -1 1 313.151 1.148 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CC1(F)F ZINC000632558845 422435927 /nfs/dbraw/zinc/43/59/27/422435927.db2.gz ZHELOUMZRKSZOF-SSDOTTSWSA-N -1 1 319.255 1.752 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCS[C@H]2C)c1 ZINC000632504982 422397478 /nfs/dbraw/zinc/39/74/78/422397478.db2.gz QIIATJVRVGHXON-WPRPVWTQSA-N -1 1 303.405 1.573 20 0 DDADMM Cc1cnc(C2CCN(C(=O)CCCc3nn[n-]n3)CC2)s1 ZINC000635857337 422413382 /nfs/dbraw/zinc/41/33/82/422413382.db2.gz FUXSCQDYUCBTOH-UHFFFAOYSA-N -1 1 320.422 1.694 20 0 DDADMM C[C@@H]1CCc2[n-]n(-c3ccccc3S(=O)(=O)N(C)C)c(=O)c21 ZINC000634613572 422506009 /nfs/dbraw/zinc/50/60/09/422506009.db2.gz BQVIITZIFGRKJX-YGRLFVJLSA-N -1 1 321.402 1.686 20 0 DDADMM CCc1c(C)[n-]n(-c2ccccc2S(=O)(=O)N(C)C)c1=O ZINC000634613675 422506072 /nfs/dbraw/zinc/50/60/72/422506072.db2.gz FMWZWBOZHGFCST-NSHDSACASA-N -1 1 309.391 1.686 20 0 DDADMM Cn1nncc1-n1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634631642 422516170 /nfs/dbraw/zinc/51/61/70/422516170.db2.gz ZGKWETSMTOQBTD-ZDUSSCGKSA-N -1 1 310.361 1.040 20 0 DDADMM Cc1c(-c2ccccc2)[n-]n([C@H]2CCCS(=O)(=O)C2)c1=O ZINC000634633774 422518526 /nfs/dbraw/zinc/51/85/26/422518526.db2.gz GFHFZQWZBMJYDJ-AAEUAGOBSA-N -1 1 306.387 1.446 20 0 DDADMM COCC(COC)n1[n-]c(-c2ccc(OC)cc2)c(C)c1=O ZINC000634635258 422519044 /nfs/dbraw/zinc/51/90/44/422519044.db2.gz AHVQLJWTLVQFHK-LLVKDONJSA-N -1 1 306.362 1.539 20 0 DDADMM CCC[C@@H](CNS(=O)(=O)c1cc(OC)ccc1[O-])OCC ZINC000632590098 422456973 /nfs/dbraw/zinc/45/69/73/422456973.db2.gz IYMJWGSRCREBQW-LBPRGKRZSA-N -1 1 317.407 1.884 20 0 DDADMM COc1cc2c(cc1F)CC[C@@H]2NC(=O)CCCc1nn[n-]n1 ZINC000635903081 422458541 /nfs/dbraw/zinc/45/85/41/422458541.db2.gz XXYODFYXIDKIIN-LBPRGKRZSA-N -1 1 319.340 1.474 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@H]1CCCO1)c1ccc(C(F)F)o1 ZINC000632592103 422459581 /nfs/dbraw/zinc/45/95/81/422459581.db2.gz BBPIWSLSFULFPM-JGVFFNPUSA-N -1 1 311.306 1.035 20 0 DDADMM O=C(NC[C@H]1C[C@@H](O)C1)c1cc(Br)ccc1[O-] ZINC000629069462 422463695 /nfs/dbraw/zinc/46/36/95/422463695.db2.gz NCWKBLUVRINJSO-OTSSQURYSA-N -1 1 300.152 1.655 20 0 DDADMM Cc1nc(SC[C@@H]2CCS(=O)(=O)C2)[n-]c(=O)c1C1CC1 ZINC000631023816 422476861 /nfs/dbraw/zinc/47/68/61/422476861.db2.gz YEWIGLZUXZMBIN-VIFPVBQESA-N -1 1 314.432 1.895 20 0 DDADMM O=c1c2c([n-]n1-c1ccncn1)CN(Cc1ccccc1)CC2 ZINC000634600229 422499236 /nfs/dbraw/zinc/49/92/36/422499236.db2.gz ALSGBPMLRYPIKL-AWEZNQCLSA-N -1 1 307.357 1.701 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H]1O)c1ncccc1C(F)(F)F ZINC000632700676 422528945 /nfs/dbraw/zinc/52/89/45/422528945.db2.gz PTWGSYPYKCPMOY-IUCAKERBSA-N -1 1 310.297 1.292 20 0 DDADMM O=S(=O)([N-][C@@H]1CCn2cncc2C1)c1cc(F)ccc1F ZINC000634661365 422542564 /nfs/dbraw/zinc/54/25/64/422542564.db2.gz PWLYWOLVQAAUEC-SNVBAGLBSA-N -1 1 313.329 1.455 20 0 DDADMM CC1(C)CN(C(=O)CCc2nn[n-]n2)CC[C@@H]1C(F)(F)F ZINC000632744463 422554110 /nfs/dbraw/zinc/55/41/10/422554110.db2.gz BRNOIRRUOSZTQY-QMMMGPOBSA-N -1 1 305.304 1.569 20 0 DDADMM COCCN(C(=O)Cc1ccc([O-])c(Cl)c1)[C@H]1CCOC1 ZINC000632786802 422576111 /nfs/dbraw/zinc/57/61/11/422576111.db2.gz MPYMWTIDAZKPNE-LBPRGKRZSA-N -1 1 313.781 1.852 20 0 DDADMM Cc1ncc(S(=O)(=O)Nc2cc(C)cc(C)c2C(=O)[O-])n1C ZINC000629290766 422586551 /nfs/dbraw/zinc/58/65/51/422586551.db2.gz UFYNVGHAZUHWEV-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM CCN1CCOC[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629377724 422640504 /nfs/dbraw/zinc/64/05/04/422640504.db2.gz WLWAZTRLAPCVEN-AWEZNQCLSA-N -1 1 316.361 1.237 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1(CO)CCOCC1 ZINC000629380772 422641108 /nfs/dbraw/zinc/64/11/08/422641108.db2.gz XPXYWVZBEBNEJO-UHFFFAOYSA-N -1 1 317.345 1.306 20 0 DDADMM C[C@@H]1CCN(CC(=O)N(C)Cc2cccs2)C[C@@H]1C(=O)[O-] ZINC000579007123 422689361 /nfs/dbraw/zinc/68/93/61/422689361.db2.gz YJHQWVMFIJPEMK-YPMHNXCESA-N -1 1 310.419 1.749 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1ccc(C)cn1 ZINC000579011454 422690434 /nfs/dbraw/zinc/69/04/34/422690434.db2.gz XDVABMWAJHWDPD-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)[C@@H](N)c2cccc(Cl)c2)C1 ZINC000650788922 423260205 /nfs/dbraw/zinc/26/02/05/423260205.db2.gz FWZHSCIXDDXDHU-XWIASGKRSA-N -1 1 310.781 1.909 20 0 DDADMM CC(=O)NCC(C)(C)C(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000653017260 423260270 /nfs/dbraw/zinc/26/02/70/423260270.db2.gz YCDGXKQGYJWOFO-UHFFFAOYSA-N -1 1 306.391 1.661 20 0 DDADMM COc1ccnc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000646067594 423321828 /nfs/dbraw/zinc/32/18/28/423321828.db2.gz NCQGAUXZDIBPKS-VIFPVBQESA-N -1 1 304.306 1.199 20 0 DDADMM CCS(=O)(=O)c1cccc(C(=O)Nc2c(C)[n-][nH]c2=O)c1 ZINC000646409647 423478005 /nfs/dbraw/zinc/47/80/05/423478005.db2.gz PUIFMDDQYNAAFJ-UHFFFAOYSA-N -1 1 309.347 1.470 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCCc1ccc2[nH]c(=O)[nH]c2c1 ZINC000646412438 423481154 /nfs/dbraw/zinc/48/11/54/423481154.db2.gz IJLQEUVRVJTHPR-UHFFFAOYSA-N -1 1 315.333 1.967 20 0 DDADMM CC(C)Oc1ccc(-c2cn(Cc3nc(=O)n(C)[n-]3)nn2)cc1 ZINC000641156451 423429645 /nfs/dbraw/zinc/42/96/45/423429645.db2.gz RVHNOAFNGDGOJI-UHFFFAOYSA-N -1 1 314.349 1.202 20 0 DDADMM NC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)C1 ZINC000651836280 423704267 /nfs/dbraw/zinc/70/42/67/423704267.db2.gz NPCJLSLBRVYHRE-WAAGHKOSSA-N -1 1 312.322 1.114 20 0 DDADMM Cc1nn(CCSc2nc(C(F)(F)F)cc(=O)[n-]2)c(=O)o1 ZINC000641449859 423646082 /nfs/dbraw/zinc/64/60/82/423646082.db2.gz DXPMJNMUYZPOAQ-UHFFFAOYSA-N -1 1 322.268 1.451 20 0 DDADMM NS(=O)(=O)c1cncc(-c2nc3cccc(F)c3c(=O)[n-]2)c1 ZINC000644623200 423731297 /nfs/dbraw/zinc/73/12/97/423731297.db2.gz FNBCYZDBIQNKJV-UHFFFAOYSA-N -1 1 320.305 1.184 20 0 DDADMM O=S(=O)([N-]CC1CSC1)c1ncccc1Br ZINC000641613738 423755874 /nfs/dbraw/zinc/75/58/74/423755874.db2.gz XWPQLFURWBDDPM-UHFFFAOYSA-N -1 1 323.237 1.485 20 0 DDADMM C[C@H](NC(=O)NC[C@@](C)(C(=O)[O-])c1ccccc1)c1nnc[nH]1 ZINC000652112583 423870715 /nfs/dbraw/zinc/87/07/15/423870715.db2.gz FCYRRHINCWLUCY-ZUZCIYMTSA-N -1 1 317.349 1.207 20 0 DDADMM CCOCCN1CCN(c2cncc(C(=O)[O-])n2)C[C@H]1CC ZINC000649388828 423836955 /nfs/dbraw/zinc/83/69/55/423836955.db2.gz WASKAATVNSOYKP-GFCCVEGCSA-N -1 1 308.382 1.112 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cc(OC)cc(OC)c2)CC1 ZINC000641679002 423846837 /nfs/dbraw/zinc/84/68/37/423846837.db2.gz ZZHMDVIXKAVBPA-UHFFFAOYSA-N -1 1 301.364 1.625 20 0 DDADMM CN(C)CCN(C1CCCC1)S(=O)(=O)c1ccccc1[O-] ZINC000656933753 423896223 /nfs/dbraw/zinc/89/62/23/423896223.db2.gz RNSNNIFTPLAJGO-UHFFFAOYSA-N -1 1 312.435 1.887 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]1C(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000649462024 423922182 /nfs/dbraw/zinc/92/21/82/423922182.db2.gz YRPFCPZEAQHHDU-ZIAGYGMSSA-N -1 1 320.414 1.895 20 0 DDADMM CC1(C)C[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CO1 ZINC000657040675 424030710 /nfs/dbraw/zinc/03/07/10/424030710.db2.gz GBRKSDMBKSCXJN-ZETCQYMHSA-N -1 1 309.309 1.950 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](CO)C2CCC2)c(F)c1 ZINC000657053502 424042669 /nfs/dbraw/zinc/04/26/69/424042669.db2.gz GDMUJMRQEPBIQT-LBPRGKRZSA-N -1 1 305.346 1.713 20 0 DDADMM COCCCOC1CN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640341572 424362211 /nfs/dbraw/zinc/36/22/11/424362211.db2.gz IBOFHEPLQOXOCT-UHFFFAOYSA-N -1 1 321.402 1.987 20 0 DDADMM C[C@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CC(=O)N1C ZINC000640340330 424362976 /nfs/dbraw/zinc/36/29/76/424362976.db2.gz RWFNDKJATUDKAH-VIFPVBQESA-N -1 1 304.375 1.413 20 0 DDADMM CC(=O)NCCN(Cc1cnn(C(C)(C)C)c1)[C@H](C)C(=O)[O-] ZINC000662210730 424479878 /nfs/dbraw/zinc/47/98/78/424479878.db2.gz JOXMLOFZGWVQLJ-LLVKDONJSA-N -1 1 310.398 1.049 20 0 DDADMM CC(C)N(C)C(=O)[C@H](C)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000662223500 424487532 /nfs/dbraw/zinc/48/75/32/424487532.db2.gz OLSJDBHTWJOVCX-WFASDCNBSA-N -1 1 304.390 1.926 20 0 DDADMM CCN1OC[C@H](N2CCC(C(=O)c3ccc([O-])cc3)CC2)C1=O ZINC000660024520 424467033 /nfs/dbraw/zinc/46/70/33/424467033.db2.gz VOHAPEGVXUXENZ-HNNXBMFYSA-N -1 1 318.373 1.449 20 0 DDADMM CCN(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H]1CC[N@H+](CC)C1 ZINC000664341490 424547953 /nfs/dbraw/zinc/54/79/53/424547953.db2.gz MXMNDNXFYFTZME-GFCCVEGCSA-N -1 1 314.389 1.479 20 0 DDADMM O=C([O-])CCCCC(=O)NCCc1nnc(-c2ccccc2)[nH]1 ZINC000655541876 424555367 /nfs/dbraw/zinc/55/53/67/424555367.db2.gz GSEZPYMOAAPZPL-UHFFFAOYSA-N -1 1 316.361 1.775 20 0 DDADMM COC[C@@H](CC(C)(C)C)[N-]S(=O)(=O)c1c(C)onc1N ZINC000655649961 424613313 /nfs/dbraw/zinc/61/33/13/424613313.db2.gz BAAINQWEIUZACR-SECBINFHSA-N -1 1 305.400 1.295 20 0 DDADMM O=S(=O)([N-][C@@H](C[C@H]1CCCO1)C(F)(F)F)c1cccnc1 ZINC000655662189 424618531 /nfs/dbraw/zinc/61/85/31/424618531.db2.gz ORPLUADMVPODQB-KOLCDFICSA-N -1 1 324.324 1.860 20 0 DDADMM CCc1nc(CNC(=O)N[C@H](C)[C@@H]2C[C@H](C(=O)[O-])C2(C)C)n[nH]1 ZINC000655879323 424689795 /nfs/dbraw/zinc/68/97/95/424689795.db2.gz PDVYRIMBCHFGSN-KXUCPTDWSA-N -1 1 323.397 1.302 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)NC[C@@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665322268 424783355 /nfs/dbraw/zinc/78/33/55/424783355.db2.gz ABJFWTDEQXCMAC-GXSJLCMTSA-N -1 1 323.397 1.789 20 0 DDADMM CN(CCC(=O)N1CCc2ccccc2C1)Cc1n[n-]c(=O)o1 ZINC000660846379 424788107 /nfs/dbraw/zinc/78/81/07/424788107.db2.gz ZILQAKQZLZJXLF-UHFFFAOYSA-N -1 1 316.361 1.182 20 0 DDADMM COc1ccc(-c2noc(CN(C)C[C@H](C)C(=O)[O-])n2)cc1 ZINC000314607899 271013048 /nfs/dbraw/zinc/01/30/48/271013048.db2.gz DRZFVLJWHJKQNQ-JTQLQIEISA-N -1 1 305.334 1.898 20 0 DDADMM CCC1(C)CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343372754 271754225 /nfs/dbraw/zinc/75/42/25/271754225.db2.gz RFJDPFXPQZRWGZ-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2ccc(F)c(F)c2F)[n-]n1 ZINC000343482686 271783572 /nfs/dbraw/zinc/78/35/72/271783572.db2.gz ZUGNMHZIEHSBMM-UHFFFAOYSA-N -1 1 324.306 1.720 20 0 DDADMM COC(=O)[C@H]([N-]S(C)(=O)=O)c1ccc(Cl)cc1Cl ZINC000343975611 271925700 /nfs/dbraw/zinc/92/57/00/271925700.db2.gz ITAQXEYKFVZFIR-SECBINFHSA-N -1 1 312.174 1.757 20 0 DDADMM Cc1nc2c(c(N3CCC(c4nc(=O)[n-][nH]4)CC3)n1)CCCC2 ZINC000287815302 282009345 /nfs/dbraw/zinc/00/93/45/282009345.db2.gz PZVRIBUSMGSRNW-UHFFFAOYSA-N -1 1 314.393 1.459 20 0 DDADMM C[C@@H]1[C@H](N2CCOCC2)CCN1C(=O)c1cc(Cl)ccc1[O-] ZINC000290950445 289047603 /nfs/dbraw/zinc/04/76/03/289047603.db2.gz PEKCLGHESWDUMX-BXUZGUMPSA-N -1 1 324.808 1.981 20 0 DDADMM Cn1cc([C@@H]2C[C@@H](NC(=O)c3ncccc3[O-])CCO2)cn1 ZINC000572565771 304538220 /nfs/dbraw/zinc/53/82/20/304538220.db2.gz HLYBBGDYMYUAQM-AAEUAGOBSA-N -1 1 302.334 1.171 20 0 DDADMM COCC(C)(C)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000171016902 298227007 /nfs/dbraw/zinc/22/70/07/298227007.db2.gz QSPKHSSWTQGRMC-UHFFFAOYSA-N -1 1 313.423 1.274 20 0 DDADMM C[C@H](Oc1cccc(F)c1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000362782919 300139871 /nfs/dbraw/zinc/13/98/71/300139871.db2.gz XMJSJIPKQRLKJV-WDEREUQCSA-N -1 1 319.340 1.512 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)[C@](C)(O)C3CCC3)[nH]c21 ZINC000362908877 300175731 /nfs/dbraw/zinc/17/57/31/300175731.db2.gz LMANYUYFTOSCRT-MRXNPFEDSA-N -1 1 317.345 1.839 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CC3CCC2CC3)o1 ZINC000362917919 300178091 /nfs/dbraw/zinc/17/80/91/300178091.db2.gz LVEJLJHNWKXKLU-ILDUYXDCSA-N -1 1 313.375 1.923 20 0 DDADMM CN(C)[C@@]1(C(=O)[N-]OCC(F)(F)F)CCc2ccccc21 ZINC000363110768 300213143 /nfs/dbraw/zinc/21/31/43/300213143.db2.gz MKYNNAJNBBRCCE-ZDUSSCGKSA-N -1 1 302.296 2.000 20 0 DDADMM O=C([C@@H]1CC[C@@H]2CCCC[C@H]2C1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363443839 300268495 /nfs/dbraw/zinc/26/84/95/300268495.db2.gz ZGGVHXBJIVTXAW-IGQOVBAYSA-N -1 1 319.409 1.706 20 0 DDADMM O=C1CCc2cc([N-]S(=O)(=O)CCOCC3CC3)ccc21 ZINC000574511333 304658562 /nfs/dbraw/zinc/65/85/62/304658562.db2.gz ISFXAJZQKNOYLQ-UHFFFAOYSA-N -1 1 309.387 1.984 20 0 DDADMM CC1(C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)COC1 ZINC000363703445 300299114 /nfs/dbraw/zinc/29/91/14/300299114.db2.gz UVGAASGSBGUTCV-UHFFFAOYSA-N -1 1 303.358 1.850 20 0 DDADMM C[C@@]1(C(=O)Nc2nc(Br)ccc2[O-])CNC(=O)C1 ZINC000363895915 300322342 /nfs/dbraw/zinc/32/23/42/300322342.db2.gz WDFJBKQVJISUBR-NSHDSACASA-N -1 1 314.139 1.014 20 0 DDADMM CCC[C@@H](NC(=O)[C@]1(N(C)C)CCc2ccccc21)C(=O)[O-] ZINC000364210222 300358098 /nfs/dbraw/zinc/35/80/98/300358098.db2.gz NSRQXONFMKKHRL-PBHICJAKSA-N -1 1 304.390 1.759 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCO[C@H](c2ncon2)C1 ZINC000364261058 300364464 /nfs/dbraw/zinc/36/44/64/300364464.db2.gz KROMYUWAOAFOPP-NSHDSACASA-N -1 1 309.709 1.642 20 0 DDADMM Cc1cc(N2CCN(C(=O)c3c(F)ccc([O-])c3F)CC2)n[nH]1 ZINC000368584947 301058353 /nfs/dbraw/zinc/05/83/53/301058353.db2.gz OXQYZSDQMWHEDY-UHFFFAOYSA-N -1 1 322.315 1.664 20 0 DDADMM O=C(c1ccc2ncsc2c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370870810 301398642 /nfs/dbraw/zinc/39/86/42/301398642.db2.gz WNZFQMBMBGBGTO-SNVBAGLBSA-N -1 1 316.346 1.023 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-]c1nn(-c2cccnc2)cc1C ZINC000371686155 301488324 /nfs/dbraw/zinc/48/83/24/301488324.db2.gz ROAKBCYSUHSEBG-UHFFFAOYSA-N -1 1 323.422 1.964 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H]3CCCCC[C@@H]32)co1 ZINC000372310526 301548127 /nfs/dbraw/zinc/54/81/27/301548127.db2.gz DCDRVRZJGOAQPI-PWSUYJOCSA-N -1 1 312.391 1.592 20 0 DDADMM COCCO[N-]C(=O)[C@H](C(C)C)[C@H](C)NC(=O)OC(C)(C)C ZINC000497213788 302691674 /nfs/dbraw/zinc/69/16/74/302691674.db2.gz JQXFYIXIKUJVQK-NWDGAFQWSA-N -1 1 318.414 1.866 20 0 DDADMM O=c1nc(N[C@@H]2[C@@H]3Cc4c(cccc4Cl)[C@@H]32)nc2[nH][n-]cc1-2 ZINC000528215884 303010363 /nfs/dbraw/zinc/01/03/63/303010363.db2.gz HNDBAZMZDXOVQW-JFUSQASVSA-N -1 1 313.748 1.836 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc([C@H]3CC[C@@H](C)O3)no2)o1 ZINC000528254788 303012764 /nfs/dbraw/zinc/01/27/64/303012764.db2.gz WJVNCRVGORSDFL-HTQZYQBOSA-N -1 1 313.335 1.478 20 0 DDADMM O=C(c1cnn(C2CC2)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000529253320 303104913 /nfs/dbraw/zinc/10/49/13/303104913.db2.gz ZZRVBLHKEYEIHH-VIFPVBQESA-N -1 1 303.322 1.326 20 0 DDADMM CN(C)c1cccnc1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000357369404 306879322 /nfs/dbraw/zinc/87/93/22/306879322.db2.gz GOJPYORJBXZEIU-UHFFFAOYSA-N -1 1 323.356 1.314 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CC[C@H]3C[C@H]3C2)o1 ZINC000529583916 303138693 /nfs/dbraw/zinc/13/86/93/303138693.db2.gz BZERGBOWJZABSK-OUAUKWLOSA-N -1 1 312.391 1.354 20 0 DDADMM C[C@H](COc1ccccc1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000531611930 303251528 /nfs/dbraw/zinc/25/15/28/303251528.db2.gz XICDQCLNNMBMSB-GFCCVEGCSA-N -1 1 315.377 1.800 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(C(=O)c1cc(-c3ccoc3)[nH]n1)CC2 ZINC000531743640 303254307 /nfs/dbraw/zinc/25/43/07/303254307.db2.gz UKMSPEUQVVQDIM-LLVKDONJSA-N -1 1 315.329 1.997 20 0 DDADMM CCC(=O)N1CCCN(C(=O)c2csc(=NC3CC3)[n-]2)CC1 ZINC000531773516 303255739 /nfs/dbraw/zinc/25/57/39/303255739.db2.gz PVRAGDOPUAPWCR-UHFFFAOYSA-N -1 1 322.434 1.224 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@H]3CC34CCCCC4)CC2)s[n-]1 ZINC000531908219 303265224 /nfs/dbraw/zinc/26/52/24/303265224.db2.gz LFFQMDIPGWTNPF-LLVKDONJSA-N -1 1 321.450 1.570 20 0 DDADMM Cc1ccc(F)c(OCC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000532220701 303279214 /nfs/dbraw/zinc/27/92/14/303279214.db2.gz KZSODHUUTMMSDI-UHFFFAOYSA-N -1 1 319.340 1.612 20 0 DDADMM CC(C)CN1CC(=O)N[C@@H]1c1n[nH]c2cc(C(=O)[O-])ccc21 ZINC000535025098 303340331 /nfs/dbraw/zinc/34/03/31/303340331.db2.gz SBZOWYXSXCXBKM-AWEZNQCLSA-N -1 1 302.334 1.348 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3COCCN3C)[nH][n-]2)s1 ZINC000538855643 303391342 /nfs/dbraw/zinc/39/13/42/303391342.db2.gz BSGVICPXVHZBLD-NSHDSACASA-N -1 1 306.391 1.138 20 0 DDADMM C[C@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCCc2ccccc21 ZINC000547309335 303523782 /nfs/dbraw/zinc/52/37/82/303523782.db2.gz OUDMPGNTZQSZOY-KRWDZBQOSA-N -1 1 323.356 1.399 20 0 DDADMM O=S(=O)(N=c1cc2ccccn2[n-]1)c1ccc(F)cc1F ZINC000551953507 303646728 /nfs/dbraw/zinc/64/67/28/303646728.db2.gz XEGXUHUSJQHSQM-UHFFFAOYSA-N -1 1 309.297 1.835 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2[C@H](C)C2(F)F)c1Br ZINC000359884800 306965935 /nfs/dbraw/zinc/96/59/35/306965935.db2.gz SJRPHGVUAVJVLM-UJURSFKZSA-N -1 1 308.126 1.996 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCOC[C@H]1c1ncon1 ZINC000364982222 307056039 /nfs/dbraw/zinc/05/60/39/307056039.db2.gz OSPXWDASEGQMEA-JTQLQIEISA-N -1 1 309.709 1.642 20 0 DDADMM CC(C)(Cc1ccccc1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000365327685 307064777 /nfs/dbraw/zinc/06/47/77/307064777.db2.gz QGQLIHLVKSPWSJ-ZDUSSCGKSA-N -1 1 315.377 1.369 20 0 DDADMM C[C@H](Cc1ccccc1F)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366664642 307079146 /nfs/dbraw/zinc/07/91/46/307079146.db2.gz VEOMHFQIKJWYQJ-YPMHNXCESA-N -1 1 317.368 1.924 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3CCO[C@@H]3C3CC3)ccnc1-2 ZINC000376672747 307252841 /nfs/dbraw/zinc/25/28/41/307252841.db2.gz MNIYRBPYZPBRHQ-SAUWQKFDSA-N -1 1 301.350 1.031 20 0 DDADMM O=C1CCCN1CC[NH2+]Cc1cccc(O)c1Br ZINC000405458387 307298189 /nfs/dbraw/zinc/29/81/89/307298189.db2.gz YKEOFQCUWRXNAV-UHFFFAOYSA-N -1 1 313.195 1.867 20 0 DDADMM C[C@@H](N=c1[n-]c(C(N)=O)cs1)[C@H](C)NC(=O)OC(C)(C)C ZINC000496835348 307363327 /nfs/dbraw/zinc/36/33/27/307363327.db2.gz NRCFWZPQTFUYNJ-SFYZADRCSA-N -1 1 314.411 1.378 20 0 DDADMM Cc1ccc([C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C2CC2)cc1 ZINC000544689461 307718608 /nfs/dbraw/zinc/71/86/08/307718608.db2.gz KGPDIAFZWNZFTI-OAHLLOKOSA-N -1 1 323.356 1.607 20 0 DDADMM O=C(C(=O)N1CCC[C@H](N2CCCC2=O)C1)c1ccc([O-])cc1 ZINC000548802191 307795052 /nfs/dbraw/zinc/79/50/52/307795052.db2.gz RXPCNLIDFRLPHF-ZDUSSCGKSA-N -1 1 316.357 1.188 20 0 DDADMM C[C@H](CCCO)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000564324152 307995663 /nfs/dbraw/zinc/99/56/63/307995663.db2.gz CWGBSBGVLLABEI-SNVBAGLBSA-N -1 1 314.432 1.983 20 0 DDADMM COc1ccc2nc(CN3CCC[C@@](C)(C(=O)[O-])C3)[nH]c2n1 ZINC000565485380 308032609 /nfs/dbraw/zinc/03/26/09/308032609.db2.gz CUZLFDVAWNGIFO-OAHLLOKOSA-N -1 1 304.350 1.653 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C[C@H](OC)C2CC2)cn1 ZINC000565598778 308034451 /nfs/dbraw/zinc/03/44/51/308034451.db2.gz XUDSEIFKGSOQGI-LBPRGKRZSA-N -1 1 314.363 1.035 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)CC(C)(C)OC)C(=O)OC ZINC000566168747 308048180 /nfs/dbraw/zinc/04/81/80/308048180.db2.gz ZZMHUYGVYLCTTI-WDEREUQCSA-N -1 1 309.428 1.309 20 0 DDADMM COc1ccccc1NC(=O)CN1CC[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000566222502 308049601 /nfs/dbraw/zinc/04/96/01/308049601.db2.gz ZKWHZPOAEBQOEU-RYUDHWBXSA-N -1 1 306.362 1.676 20 0 DDADMM O=C([O-])CN(C(=O)c1cccc2n[nH]cc21)C1CCOCC1 ZINC000566974311 308067507 /nfs/dbraw/zinc/06/75/07/308067507.db2.gz QXGJIWGZQAAJHG-UHFFFAOYSA-N -1 1 303.318 1.269 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N[C@H]2CCc3nc[nH]c3C2)s[n-]1 ZINC000570051871 308158301 /nfs/dbraw/zinc/15/83/01/308158301.db2.gz ZVTMHBRXOMUJGD-SFYZADRCSA-N -1 1 322.394 1.070 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000573195207 308232819 /nfs/dbraw/zinc/23/28/19/308232819.db2.gz FMWPPUNOEUPZCI-UDZFHETQSA-N -1 1 315.329 1.995 20 0 DDADMM C[C@@H]1CCN(CC(=O)N2CCc3ccccc32)C[C@H]1C(=O)[O-] ZINC000574157287 308263390 /nfs/dbraw/zinc/26/33/90/308263390.db2.gz VIQFQXIURIGJJX-TZMCWYRMSA-N -1 1 302.374 1.618 20 0 DDADMM Cc1cc(NCCNC(=O)c2ccc(Cl)cc2[O-])n(C)n1 ZINC000576645207 308329589 /nfs/dbraw/zinc/32/95/89/308329589.db2.gz GVVKBWCIHMHWLW-UHFFFAOYSA-N -1 1 308.769 1.929 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3c([O-])cccc3F)[C@H]2C1 ZINC000579286069 308520314 /nfs/dbraw/zinc/52/03/14/308520314.db2.gz OOFRWMMGFACMBD-SGMGOOAPSA-N -1 1 320.364 1.908 20 0 DDADMM O=C(C(=O)N1CCC[C@@H]1[C@@H]1CCCOC1)c1ccc([O-])cc1 ZINC000580835870 308634921 /nfs/dbraw/zinc/63/49/21/308634921.db2.gz UBFULULMHSOXPU-UKRRQHHQSA-N -1 1 303.358 1.993 20 0 DDADMM NC(=O)N1CC[C@H](CNC(=O)c2ccc3ccccc3c2[O-])C1 ZINC000583105270 337238072 /nfs/dbraw/zinc/23/80/72/337238072.db2.gz SWCCRVGPBIBENG-LLVKDONJSA-N -1 1 313.357 1.676 20 0 DDADMM COc1ccccc1[C@@H](CO)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000584521605 337354904 /nfs/dbraw/zinc/35/49/04/337354904.db2.gz DBQZYJVKSLGYQV-CQSZACIVSA-N -1 1 315.325 1.433 20 0 DDADMM CC1CCN(C(=O)CN2Cc3ccccc3[C@H](C(=O)[O-])C2)CC1 ZINC000390989571 483983878 /nfs/dbraw/zinc/98/38/78/483983878.db2.gz HYVJQVIXEWOOPL-MRXNPFEDSA-N -1 1 316.401 1.929 20 0 DDADMM O=C(C1CC1)C1(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)CC1 ZINC000425304216 484117253 /nfs/dbraw/zinc/11/72/53/484117253.db2.gz PUJYLSAIRNCUNG-JTQLQIEISA-N -1 1 305.334 1.241 20 0 DDADMM Cc1ccc2nc(CNC(=O)C[C@@]3(C(=O)[O-])CCOC3)[nH]c2c1 ZINC000656480262 484161418 /nfs/dbraw/zinc/16/14/18/484161418.db2.gz MAVVKDCAIIJFOJ-INIZCTEOSA-N -1 1 317.345 1.369 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccccc1F)[C@H]1CCOC1 ZINC000656613488 484243614 /nfs/dbraw/zinc/24/36/14/484243614.db2.gz KINOBFRAVWPWFB-GXFFZTMASA-N -1 1 303.355 1.619 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H](C(=O)[O-])C1CC1)c1cccc(F)c1 ZINC000663075219 484646560 /nfs/dbraw/zinc/64/65/60/484646560.db2.gz HBYAOCZUQOQUIE-KGLIPLIRSA-N -1 1 308.353 1.655 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000663077159 484648737 /nfs/dbraw/zinc/64/87/37/484648737.db2.gz VAEFALGMMCUOCY-DOMZBBRYSA-N -1 1 312.341 1.034 20 0 DDADMM CCCCCc1cc(C(=O)N2C[C@@H](OC)C[C@H]2CC(=O)[O-])n[nH]1 ZINC000659159881 484662564 /nfs/dbraw/zinc/66/25/64/484662564.db2.gz CQKSXULJRHHOOY-STQMWFEESA-N -1 1 323.393 1.847 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000663136261 484695106 /nfs/dbraw/zinc/69/51/06/484695106.db2.gz WWVZCIGJHNROKI-LSDHHAIUSA-N -1 1 302.374 1.681 20 0 DDADMM CC1(C)Cc2n[nH]cc2CN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000663197725 484733430 /nfs/dbraw/zinc/73/34/30/484733430.db2.gz GTSOMOHZVPGIQK-UHFFFAOYSA-N -1 1 313.357 1.909 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@@H]1Cc2ccccc2CN1)C1CCC1 ZINC000663279349 484781866 /nfs/dbraw/zinc/78/18/66/484781866.db2.gz GXIDUJMLHADIAK-GJZGRUSLSA-N -1 1 302.374 1.318 20 0 DDADMM O=C(c1cnn2c1CCCC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405458 484808849 /nfs/dbraw/zinc/80/88/49/484808849.db2.gz CSLOXCUKQKSRCR-UHFFFAOYSA-N -1 1 317.349 1.328 20 0 DDADMM CN(C(=O)c1ncc2ccccc2c1[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000665526348 484908302 /nfs/dbraw/zinc/90/83/02/484908302.db2.gz ODVGZRUUGFEQJK-LLVKDONJSA-N -1 1 320.370 1.200 20 0 DDADMM CN(CC(=O)N1CCCC1)C(=O)c1ncc2ccccc2c1[O-] ZINC000665616523 484971231 /nfs/dbraw/zinc/97/12/31/484971231.db2.gz HHDUFCUXLBXQIK-UHFFFAOYSA-N -1 1 313.357 1.635 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1n[nH]c2ccccc21)C1CCOCC1 ZINC000668308138 485095258 /nfs/dbraw/zinc/09/52/58/485095258.db2.gz UPVGRXCCMGIYFH-GFCCVEGCSA-N -1 1 303.318 1.173 20 0 DDADMM CSc1ccc(O)c(C(=O)NC[C@H]2C[N@@H+](C)CCN2C)c1 ZINC000668582270 485226694 /nfs/dbraw/zinc/22/66/94/485226694.db2.gz UNKOIQCBDPOXFV-NSHDSACASA-N -1 1 309.435 1.090 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)c2cnccc2C)o1 ZINC000678424524 485576719 /nfs/dbraw/zinc/57/67/19/485576719.db2.gz PWKYXPGKOKSBJO-JTQLQIEISA-N -1 1 323.374 1.382 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2cnnn2C)n[nH]c1-c1ccccc1 ZINC000682807006 485779780 /nfs/dbraw/zinc/77/97/80/485779780.db2.gz KQQFDKOFUDSQQD-UHFFFAOYSA-N -1 1 318.362 1.314 20 0 DDADMM CCC[C@H](NC(=O)CNc1ccccc1Cl)c1nn[n-]n1 ZINC000675144146 485865998 /nfs/dbraw/zinc/86/59/98/485865998.db2.gz IBUFOYBCRXDBHR-NSHDSACASA-N -1 1 308.773 1.923 20 0 DDADMM CCC[C@@H](NC(=O)CCOc1ccc(OC)cc1)c1nn[n-]n1 ZINC000675144605 485866524 /nfs/dbraw/zinc/86/65/24/485866524.db2.gz RJKALKLSZNVPAI-CYBMUJFWSA-N -1 1 319.365 1.635 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2cnc3onc(C)c3c2)o1 ZINC000675276750 485898362 /nfs/dbraw/zinc/89/83/62/485898362.db2.gz LLIGUYCZZYCHDY-SSDOTTSWSA-N -1 1 323.334 1.262 20 0 DDADMM CC(C)Oc1cncc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000675800686 486040147 /nfs/dbraw/zinc/04/01/47/486040147.db2.gz VZFHKWVROJBKCQ-UHFFFAOYSA-N -1 1 316.365 1.581 20 0 DDADMM CN(Cc1ncnn1CC(F)F)C(=O)c1ccc([O-])c(F)c1 ZINC000683892217 486149212 /nfs/dbraw/zinc/14/92/12/486149212.db2.gz NBIRENCPSWTWMD-UHFFFAOYSA-N -1 1 314.267 1.660 20 0 DDADMM O=C(c1c[nH]nc1-c1ccoc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000684058412 486231110 /nfs/dbraw/zinc/23/11/10/486231110.db2.gz WRYKPXOQOGYEAL-VIFPVBQESA-N -1 1 313.321 1.203 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H]3CCCC(=O)N3)cnc2n1 ZINC000684154001 486264364 /nfs/dbraw/zinc/26/43/64/486264364.db2.gz TUOSEPYXMWINPC-JTQLQIEISA-N -1 1 314.345 1.042 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@H]1CCCC(=O)N1)c2=O ZINC000684154001 486264370 /nfs/dbraw/zinc/26/43/70/486264370.db2.gz TUOSEPYXMWINPC-JTQLQIEISA-N -1 1 314.345 1.042 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(C(=O)NC(C)C)cc2)c1[O-] ZINC000676706838 486296014 /nfs/dbraw/zinc/29/60/14/486296014.db2.gz CXXLTSJFCZFYQX-UHFFFAOYSA-N -1 1 302.334 1.814 20 0 DDADMM CN1CCC[C@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C1 ZINC000680973479 486315374 /nfs/dbraw/zinc/31/53/74/486315374.db2.gz FXPFUWSDHWXWAO-VIFPVBQESA-N -1 1 306.790 1.852 20 0 DDADMM CC(C)OCCN1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681051611 486332493 /nfs/dbraw/zinc/33/24/93/486332493.db2.gz BWLRXMMWFFYAJS-UHFFFAOYSA-N -1 1 310.369 1.714 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](Cc2nc[nH]n2)c2ccccc2)c1[O-] ZINC000684482636 486365324 /nfs/dbraw/zinc/36/53/24/486365324.db2.gz RHROZOCICXXNBY-LLVKDONJSA-N -1 1 312.333 1.256 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2ccc([O-])c(F)c2)CCC1 ZINC000684498411 486371753 /nfs/dbraw/zinc/37/17/53/486371753.db2.gz QVQSKIKAQVFMJO-UHFFFAOYSA-N -1 1 301.339 1.228 20 0 DDADMM COCc1ccccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677047856 486386079 /nfs/dbraw/zinc/38/60/79/486386079.db2.gz OAXSEHJUKRYZIP-NSHDSACASA-N -1 1 301.350 1.366 20 0 DDADMM CC1N=NC(C(=O)Nc2ccc3c(c2)CCN3C(N)=O)=C1[O-] ZINC000677123920 486397129 /nfs/dbraw/zinc/39/71/29/486397129.db2.gz KNZWEMFXVHDVQC-UHFFFAOYSA-N -1 1 301.306 1.117 20 0 DDADMM COc1cccc2c1OC[C@@H](NC(=O)c1ncc(C)cc1[O-])C2 ZINC000681728613 486502990 /nfs/dbraw/zinc/50/29/90/486502990.db2.gz ZJNKGNOFFOMOIM-LBPRGKRZSA-N -1 1 314.341 1.838 20 0 DDADMM C[C@@H](NC(=O)c1c(F)ccc([O-])c1F)[C@@H]1CN(C)CCO1 ZINC000685451477 486542112 /nfs/dbraw/zinc/54/21/12/486542112.db2.gz YVHPHDIOGKYYFX-KCJUWKMLSA-N -1 1 300.305 1.119 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N2CCN(C)CC[C@@H]2C)c1 ZINC000424663934 533807259 /nfs/dbraw/zinc/80/72/59/533807259.db2.gz IUTBCPINSXIFGH-XMHCIUCPSA-N -1 1 324.446 1.355 20 0 DDADMM C[C@H](CC[N-]S(=O)(=O)c1sccc1Cl)[S@](C)=O ZINC000451320396 533943232 /nfs/dbraw/zinc/94/32/32/533943232.db2.gz ADIHPVGIFREURR-QZTNRIJFSA-N -1 1 315.869 1.837 20 0 DDADMM C[C@@H]1C[C@H]1c1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)o1 ZINC000491945612 534047272 /nfs/dbraw/zinc/04/72/72/534047272.db2.gz OPKXLZBCKDYLTM-RCKQQEMXSA-N -1 1 301.350 1.981 20 0 DDADMM C[C@H]1COCC[C@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000432309464 534051526 /nfs/dbraw/zinc/05/15/26/534051526.db2.gz XKNDLOLNBMWCHL-JGVFFNPUSA-N -1 1 324.196 1.745 20 0 DDADMM COC(=O)NCCCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000491487419 534101812 /nfs/dbraw/zinc/10/18/12/534101812.db2.gz CYVNJTZRNDEVFS-UHFFFAOYSA-N -1 1 318.333 1.857 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc3n[nH]nc3c2)c1 ZINC000436514726 534231862 /nfs/dbraw/zinc/23/18/62/534231862.db2.gz QFJFHNFGOMZOJA-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCC(C)(C)O2)o1 ZINC000494330530 534239561 /nfs/dbraw/zinc/23/95/61/534239561.db2.gz PBMUMJMUDJAAFV-SECBINFHSA-N -1 1 317.363 1.302 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N[C@@H](C(C)=O)C(C)C)n1 ZINC000432670425 534307667 /nfs/dbraw/zinc/30/76/67/534307667.db2.gz ONWACRIWGQEJCW-LLVKDONJSA-N -1 1 313.379 1.018 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)[C@H](OC)C2CC2)c1 ZINC000451999829 534322143 /nfs/dbraw/zinc/32/21/43/534322143.db2.gz WCGHEUGZGJMSFF-UFBFGSQYSA-N -1 1 317.363 1.158 20 0 DDADMM CC(C)NC(=O)[C@H]1CCC[C@H](N=c2[n-]c(C(N)=O)cs2)C1 ZINC000432315750 527714743 /nfs/dbraw/zinc/71/47/43/527714743.db2.gz WHFJJTOEKGVFQP-UWVGGRQHSA-N -1 1 310.423 1.159 20 0 DDADMM CC(C)[C@H](O)CCN=c1ccc(C(=O)NC2CCCC2)n[n-]1 ZINC000432236564 527722073 /nfs/dbraw/zinc/72/20/73/527722073.db2.gz AYHXLHNKAQGEMP-CQSZACIVSA-N -1 1 306.410 1.390 20 0 DDADMM CC(C)[C@H]1OCC[C@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000331892656 527921709 /nfs/dbraw/zinc/92/17/09/527921709.db2.gz HTJZHTBOTXVCTA-VXGBXAGGSA-N -1 1 309.366 1.542 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)[C@H]1OC ZINC000330012182 527947034 /nfs/dbraw/zinc/94/70/34/527947034.db2.gz KEIIPJKGLXMDRH-HBNTYKKESA-N -1 1 320.436 1.231 20 0 DDADMM CCNC(=O)[C@H](C)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000298171239 528220161 /nfs/dbraw/zinc/22/01/61/528220161.db2.gz PWVWQSHTBXCUHX-VIFPVBQESA-N -1 1 308.382 1.043 20 0 DDADMM CCNC(=O)c1ccc(=NCCO[C@H]2CCCC[C@H]2C)[n-]n1 ZINC000413062177 528228638 /nfs/dbraw/zinc/22/86/38/528228638.db2.gz QVHXTIAHKACKHC-OCCSQVGLSA-N -1 1 306.410 1.655 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@](C)(O)C(C)C)o1 ZINC000443301781 528243186 /nfs/dbraw/zinc/24/31/86/528243186.db2.gz BDPWJMUYKICYDE-ZDUSSCGKSA-N -1 1 319.379 1.142 20 0 DDADMM CC(C)C[C@H](CNC(=O)c1n[nH]nc1-c1ccccc1)C(=O)[O-] ZINC000424239706 528529419 /nfs/dbraw/zinc/52/94/19/528529419.db2.gz FKSXJFVZAVOPHC-GFCCVEGCSA-N -1 1 316.361 1.948 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc2c(c1)CCO2 ZINC000295776702 528561846 /nfs/dbraw/zinc/56/18/46/528561846.db2.gz XLPIHGWSTAWCJN-UHFFFAOYSA-N -1 1 307.346 1.554 20 0 DDADMM CC(C)[C@@H]1C[C@@H](CN=c2ccc(C(=O)N(C)C)n[n-]2)CCO1 ZINC000413308439 528758688 /nfs/dbraw/zinc/75/86/88/528758688.db2.gz CYXGTUVOAKRWGO-JSGCOSHPSA-N -1 1 306.410 1.463 20 0 DDADMM CCCc1occc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000294555355 528802819 /nfs/dbraw/zinc/80/28/19/528802819.db2.gz WVAIMGJPEWLSLI-SNVBAGLBSA-N -1 1 304.350 1.663 20 0 DDADMM CCC[C@@H](NC(=O)Cc1c(C)nn(C(C)C)c1C)c1nn[n-]n1 ZINC000294890735 528974600 /nfs/dbraw/zinc/97/46/00/528974600.db2.gz IMRVOAUXGLWBCB-CYBMUJFWSA-N -1 1 319.413 1.794 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H]1COc2ccccc2O1)c1nn[n-]n1 ZINC000294996154 528974675 /nfs/dbraw/zinc/97/46/75/528974675.db2.gz PPWXWRFAKKWPRF-GHMZBOCLSA-N -1 1 317.349 1.387 20 0 DDADMM CC1(C)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC[C@H]1O ZINC000332519093 529111030 /nfs/dbraw/zinc/11/10/30/529111030.db2.gz OKASFRSWMOSZHT-GFCCVEGCSA-N -1 1 301.346 1.156 20 0 DDADMM COC1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)CC1 ZINC000736965303 598955758 /nfs/dbraw/zinc/95/57/58/598955758.db2.gz BKWUBXZCWYZION-UHFFFAOYSA-N -1 1 311.349 1.425 20 0 DDADMM COC1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)CC1 ZINC000736965303 598955760 /nfs/dbraw/zinc/95/57/60/598955760.db2.gz BKWUBXZCWYZION-UHFFFAOYSA-N -1 1 311.349 1.425 20 0 DDADMM c1nc(CNc2c3ccccc3nnc2-c2nnn[n-]2)cs1 ZINC000738437411 598964396 /nfs/dbraw/zinc/96/43/96/598964396.db2.gz PEBPXWWYRMBEIP-UHFFFAOYSA-N -1 1 310.346 1.879 20 0 DDADMM c1nc(CNc2c3ccccc3nnc2-c2nn[n-]n2)cs1 ZINC000738437411 598964397 /nfs/dbraw/zinc/96/43/97/598964397.db2.gz PEBPXWWYRMBEIP-UHFFFAOYSA-N -1 1 310.346 1.879 20 0 DDADMM CCC[C@](C)(O)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736417834 598969117 /nfs/dbraw/zinc/96/91/17/598969117.db2.gz WYPDWVAUODQUAF-HNNXBMFYSA-N -1 1 313.365 1.773 20 0 DDADMM CCC[C@](C)(O)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736417834 598969119 /nfs/dbraw/zinc/96/91/19/598969119.db2.gz WYPDWVAUODQUAF-HNNXBMFYSA-N -1 1 313.365 1.773 20 0 DDADMM C[C@H](C1CC1)n1nccc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735963982 598981303 /nfs/dbraw/zinc/98/13/03/598981303.db2.gz TUKGRGWXVWCBQN-SECBINFHSA-N -1 1 324.348 1.682 20 0 DDADMM C[C@H](C1CC1)n1nccc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735963982 598981304 /nfs/dbraw/zinc/98/13/04/598981304.db2.gz TUKGRGWXVWCBQN-SECBINFHSA-N -1 1 324.348 1.682 20 0 DDADMM C[C@@H]1CCCC[C@@H]1N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736274335 598987852 /nfs/dbraw/zinc/98/78/52/598987852.db2.gz ULVAVNFNKJRZBF-MFKMUULPSA-N -1 1 300.366 1.912 20 0 DDADMM C[C@@H]1CCCC[C@@H]1N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736274335 598987853 /nfs/dbraw/zinc/98/78/53/598987853.db2.gz ULVAVNFNKJRZBF-MFKMUULPSA-N -1 1 300.366 1.912 20 0 DDADMM CC(=O)Nc1ccc(Oc2nccnc2-c2nn[n-]n2)c(F)c1 ZINC000819817774 599084347 /nfs/dbraw/zinc/08/43/47/599084347.db2.gz MPXNRTLKINZGCT-UHFFFAOYSA-N -1 1 315.268 1.547 20 0 DDADMM Cc1noc(C2CCN(c3oc(C)nc3-c3nn[n-]n3)CC2)n1 ZINC000737535323 599109950 /nfs/dbraw/zinc/10/99/50/599109950.db2.gz TVJCVBZCTWCUSM-UHFFFAOYSA-N -1 1 316.325 1.239 20 0 DDADMM CSCCCn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000821971179 599125511 /nfs/dbraw/zinc/12/55/11/599125511.db2.gz YMCSOMOIJLYLAH-UHFFFAOYSA-N -1 1 301.375 1.935 20 0 DDADMM COC(C)(C)C[C@H](C)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736958504 599212459 /nfs/dbraw/zinc/21/24/59/599212459.db2.gz STGGKAUSMRIBKS-VIFPVBQESA-N -1 1 304.354 1.195 20 0 DDADMM COC(C)(C)C[C@H](C)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736958504 599212461 /nfs/dbraw/zinc/21/24/61/599212461.db2.gz STGGKAUSMRIBKS-VIFPVBQESA-N -1 1 304.354 1.195 20 0 DDADMM O=C(N[C@@H]1CC[C@H]2CCC[C@H]2C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738025326 599227894 /nfs/dbraw/zinc/22/78/94/599227894.db2.gz MNIDNIIDQXXSCG-NTZNESFSSA-N -1 1 312.377 1.960 20 0 DDADMM O=C(N[C@@H]1CC[C@H]2CCC[C@H]2C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738025326 599227897 /nfs/dbraw/zinc/22/78/97/599227897.db2.gz MNIDNIIDQXXSCG-NTZNESFSSA-N -1 1 312.377 1.960 20 0 DDADMM Cc1ccc([C@H](CNC(=O)NCCC(=O)[O-])N2CCCC2)o1 ZINC000818879419 597217859 /nfs/dbraw/zinc/21/78/59/597217859.db2.gz ZGOKEFBGYRPHIT-LBPRGKRZSA-N -1 1 309.366 1.499 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cccc2nccn21 ZINC000823294923 607320441 /nfs/dbraw/zinc/32/04/41/607320441.db2.gz XVDWGQIRHANYLL-UHFFFAOYSA-N -1 1 321.300 1.472 20 0 DDADMM O=C([O-])[C@@H]1CCN(Cc2cc3c(cc2OC(F)F)OCO3)C1 ZINC000821511571 598129718 /nfs/dbraw/zinc/12/97/18/598129718.db2.gz KIYRRHMUYOHMLF-MRVPVSSYSA-N -1 1 315.272 1.923 20 0 DDADMM O=C([O-])c1ccc(C(=O)N[C@@H](c2nn[nH]n2)c2ccccc2)cc1 ZINC000821544553 598160714 /nfs/dbraw/zinc/16/07/14/598160714.db2.gz DDLIIEYSHRYPDU-CYBMUJFWSA-N -1 1 323.312 1.417 20 0 DDADMM COc1ccc([C@@H](C)Nc2nccnc2-c2nnn[n-]2)cc1F ZINC000737073973 598339575 /nfs/dbraw/zinc/33/95/75/598339575.db2.gz GVLWXYSFTLOBLN-MRVPVSSYSA-N -1 1 315.312 1.978 20 0 DDADMM COc1ccc([C@@H](C)Nc2nccnc2-c2nn[n-]n2)cc1F ZINC000737073973 598339577 /nfs/dbraw/zinc/33/95/77/598339577.db2.gz GVLWXYSFTLOBLN-MRVPVSSYSA-N -1 1 315.312 1.978 20 0 DDADMM C[C@@H](COCC(F)(F)F)Nc1nccnc1-c1nnn[n-]1 ZINC000736036430 598348364 /nfs/dbraw/zinc/34/83/64/598348364.db2.gz ALTIGVPLNSCXOQ-LURJTMIESA-N -1 1 303.248 1.036 20 0 DDADMM C[C@@H](COCC(F)(F)F)Nc1nccnc1-c1nn[n-]n1 ZINC000736036430 598348368 /nfs/dbraw/zinc/34/83/68/598348368.db2.gz ALTIGVPLNSCXOQ-LURJTMIESA-N -1 1 303.248 1.036 20 0 DDADMM CC(C)(C)c1nc(CNc2n[nH]cc2-c2nnn[n-]2)cs1 ZINC000735657954 598717889 /nfs/dbraw/zinc/71/78/89/598717889.db2.gz ZLUAIDHLQZIBFL-UHFFFAOYSA-N -1 1 304.383 1.956 20 0 DDADMM CC(C)(C)c1nc(CNc2n[nH]cc2-c2nn[n-]n2)cs1 ZINC000735657954 598717891 /nfs/dbraw/zinc/71/78/91/598717891.db2.gz ZLUAIDHLQZIBFL-UHFFFAOYSA-N -1 1 304.383 1.956 20 0 DDADMM C[C@@H](CNc1snc(Cl)c1-c1nnn[n-]1)Cn1cccn1 ZINC000736027185 598744227 /nfs/dbraw/zinc/74/42/27/598744227.db2.gz ZCOFJWSVRQOFTD-ZETCQYMHSA-N -1 1 324.801 1.921 20 0 DDADMM C[C@@H](CNc1snc(Cl)c1-c1nn[n-]n1)Cn1cccn1 ZINC000736027185 598744229 /nfs/dbraw/zinc/74/42/29/598744229.db2.gz ZCOFJWSVRQOFTD-ZETCQYMHSA-N -1 1 324.801 1.921 20 0 DDADMM CC(=O)Nc1ccc(CCNc2nccnc2-c2nnn[n-]2)cc1 ZINC000735484162 598755168 /nfs/dbraw/zinc/75/51/68/598755168.db2.gz JLGNCISWMATGHI-UHFFFAOYSA-N -1 1 324.348 1.270 20 0 DDADMM CC(=O)Nc1ccc(CCNc2nccnc2-c2nn[n-]n2)cc1 ZINC000735484162 598755169 /nfs/dbraw/zinc/75/51/69/598755169.db2.gz JLGNCISWMATGHI-UHFFFAOYSA-N -1 1 324.348 1.270 20 0 DDADMM O[C@H]1CCC[C@H]1CNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000738388819 599325324 /nfs/dbraw/zinc/32/53/24/599325324.db2.gz GYYMSGMYOJWURY-BONVTDFDSA-N -1 1 310.361 1.988 20 0 DDADMM O[C@H]1CCC[C@H]1CNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000738388819 599325327 /nfs/dbraw/zinc/32/53/27/599325327.db2.gz GYYMSGMYOJWURY-BONVTDFDSA-N -1 1 310.361 1.988 20 0 DDADMM Cc1nn(C)c(C)c1CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000822492050 599511924 /nfs/dbraw/zinc/51/19/24/599511924.db2.gz PIRFILXSLSCXBT-UHFFFAOYSA-N -1 1 324.801 1.939 20 0 DDADMM Cc1nn(C)c(C)c1CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000822492050 599511925 /nfs/dbraw/zinc/51/19/25/599511925.db2.gz PIRFILXSLSCXBT-UHFFFAOYSA-N -1 1 324.801 1.939 20 0 DDADMM c1cnn(C2CN(c3cc(-c4nnn[n-]4)nc4ccccc43)C2)c1 ZINC000823792230 599540715 /nfs/dbraw/zinc/54/07/15/599540715.db2.gz QCBNOCLMMPGUSE-UHFFFAOYSA-N -1 1 318.344 1.673 20 0 DDADMM c1cnn(C2CN(c3cc(-c4nn[n-]n4)nc4ccccc43)C2)c1 ZINC000823792230 599540717 /nfs/dbraw/zinc/54/07/17/599540717.db2.gz QCBNOCLMMPGUSE-UHFFFAOYSA-N -1 1 318.344 1.673 20 0 DDADMM CCN1CCCC[C@@H]1C(=O)Nc1cccc(OCC(=O)[O-])c1 ZINC000737114931 599731845 /nfs/dbraw/zinc/73/18/45/599731845.db2.gz MSYCUQBMNCDNER-CQSZACIVSA-N -1 1 306.362 1.963 20 0 DDADMM Cc1cc(CN2CCC(c3nncn3C)CC2)oc1C(=O)[O-] ZINC000738382931 599914609 /nfs/dbraw/zinc/91/46/09/599914609.db2.gz PGQZTMDBYHBEOI-UHFFFAOYSA-N -1 1 304.350 1.794 20 0 DDADMM CN(c1cccnn1)C1CCN(Cc2cc(C(=O)[O-])co2)CC1 ZINC000737506036 599915298 /nfs/dbraw/zinc/91/52/98/599915298.db2.gz KBMGERXDRGELRX-UHFFFAOYSA-N -1 1 316.361 1.869 20 0 DDADMM CCCOc1cccc(CNC(=O)CNC(C)(C)C(=O)[O-])c1 ZINC000737034891 599923341 /nfs/dbraw/zinc/92/33/41/599923341.db2.gz UORXVOWGNILSAY-UHFFFAOYSA-N -1 1 308.378 1.544 20 0 DDADMM NC(=O)C[C@H]1CCCCN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000739160930 599994502 /nfs/dbraw/zinc/99/45/02/599994502.db2.gz SDKIWXJALBPPBJ-CYBMUJFWSA-N -1 1 319.361 1.053 20 0 DDADMM C[C@@H]1CN(c2ccc(C(=O)[O-])cc2)CCN1CCn1cncn1 ZINC000736823445 600025515 /nfs/dbraw/zinc/02/55/15/600025515.db2.gz MMQLTGOWPBEFJV-CYBMUJFWSA-N -1 1 315.377 1.187 20 0 DDADMM CN1CCN(c2ccccc2NC(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC000737529974 600062238 /nfs/dbraw/zinc/06/22/38/600062238.db2.gz QEOKXBKFQSEZJP-VXGBXAGGSA-N -1 1 303.362 1.098 20 0 DDADMM O=C([O-])C1(NCC(=O)NCCc2ccc(F)cc2)CCCCC1 ZINC000739307478 600150261 /nfs/dbraw/zinc/15/02/61/600150261.db2.gz AHKXVRGWPBIXBF-UHFFFAOYSA-N -1 1 322.380 1.862 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000736327189 600151108 /nfs/dbraw/zinc/15/11/08/600151108.db2.gz CDNUYHHINIQEOM-NSHDSACASA-N -1 1 309.366 1.579 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)[O-] ZINC000737523076 600174816 /nfs/dbraw/zinc/17/48/16/600174816.db2.gz SUYAUDBUCDYLDL-CABCVRRESA-N -1 1 315.373 1.374 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)CN1CCc2ccccc2CC1)C(=O)[O-] ZINC000736886456 600216280 /nfs/dbraw/zinc/21/62/80/600216280.db2.gz PNVHMYOUESCWPI-CXAGYDPISA-N -1 1 318.417 1.703 20 0 DDADMM Cc1[nH]nc(S(=O)(=O)Nc2ccccc2Cl)c1C(=O)[O-] ZINC000738827062 600229333 /nfs/dbraw/zinc/22/93/33/600229333.db2.gz HFTPILXCHTUXMP-UHFFFAOYSA-N -1 1 315.738 1.871 20 0 DDADMM CC(C)[C@@]1(C)CC(=O)N(CN2CCCC[C@@H]2CC(=O)[O-])C1=O ZINC000736390984 600259736 /nfs/dbraw/zinc/25/97/36/600259736.db2.gz MNIMXJZLCVUCIO-MLGOLLRUSA-N -1 1 310.394 1.694 20 0 DDADMM CN(CCC(=O)NCC(=O)[O-])Cc1ccc(Br)o1 ZINC000737412189 600289721 /nfs/dbraw/zinc/28/97/21/600289721.db2.gz KIWAFJMGRKHVTM-UHFFFAOYSA-N -1 1 319.155 1.065 20 0 DDADMM C[C@@H](CN(C)CC(=O)Nc1ccc(N2CCCC2)cc1)C(=O)[O-] ZINC000736573444 600361242 /nfs/dbraw/zinc/36/12/42/600361242.db2.gz VSTLWPSAEXHDFM-ZDUSSCGKSA-N -1 1 319.405 1.878 20 0 DDADMM CCNC(=O)c1cccc(NC(=O)CN[C@](C)(CC)C(=O)[O-])c1 ZINC000737122828 600559636 /nfs/dbraw/zinc/55/96/36/600559636.db2.gz RZTQXDSNXIBALR-MRXNPFEDSA-N -1 1 321.377 1.218 20 0 DDADMM CC[C@@](C)(NCC(=O)NCCc1c(F)cccc1F)C(=O)[O-] ZINC000736861170 600560034 /nfs/dbraw/zinc/56/00/34/600560034.db2.gz HAJMDRAWYVFGAF-OAHLLOKOSA-N -1 1 314.332 1.466 20 0 DDADMM CC[C@](C)(NCC(=O)NC(=O)N[C@H]1CCCC[C@@H]1C)C(=O)[O-] ZINC000736861911 600561609 /nfs/dbraw/zinc/56/16/09/600561609.db2.gz YCZJEPAYNAXZBH-PGUXBMHVSA-N -1 1 313.398 1.234 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N[C@@H]1CCCC[C@@H]1C ZINC000737794079 600641917 /nfs/dbraw/zinc/64/19/17/600641917.db2.gz IUZOGKMWVYTXAM-QWHCGFSZSA-N -1 1 300.399 1.104 20 0 DDADMM C[C@H]1CCN(CCNS(=O)(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC000828289884 600792735 /nfs/dbraw/zinc/79/27/35/600792735.db2.gz OVIUGRPCDYPYGT-NSHDSACASA-N -1 1 312.391 1.005 20 0 DDADMM CC[C@H](O)CN1CCN([C@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000829004380 601012786 /nfs/dbraw/zinc/01/27/86/601012786.db2.gz HCLRJGQUJUKWBO-WFASDCNBSA-N -1 1 310.369 1.340 20 0 DDADMM C[C@@](NCC(=O)N[C@@H]1CCOc2ccccc21)(C(=O)[O-])C1CC1 ZINC000827729299 601032644 /nfs/dbraw/zinc/03/26/44/601032644.db2.gz ZSKKDYVRHLSWDQ-DYVFJYSZSA-N -1 1 318.373 1.469 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CC2(O)CCCCC2)CC1 ZINC000827363080 601084733 /nfs/dbraw/zinc/08/47/33/601084733.db2.gz YYNJPIDPIJEBDP-ZDUSSCGKSA-N -1 1 312.410 1.079 20 0 DDADMM Cc1nnc([C@H]2CN([C@H](C(=O)[O-])c3ccccc3)CCO2)[nH]1 ZINC000738338595 601105883 /nfs/dbraw/zinc/10/58/83/601105883.db2.gz SCCSOLHKINPZBZ-OLZOCXBDSA-N -1 1 302.334 1.312 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2cccs2)CC1 ZINC000827354689 601107181 /nfs/dbraw/zinc/10/71/81/601107181.db2.gz GFUBVIWROLNNCF-ZYOFXKKJSA-N -1 1 308.403 1.769 20 0 DDADMM CN(CC(C)(C)O)[C@H]1CCN(c2sccc2C(=O)[O-])C1=O ZINC000830701746 601169068 /nfs/dbraw/zinc/16/90/68/601169068.db2.gz AOYAAZVNEFZXKL-JTQLQIEISA-N -1 1 312.391 1.254 20 0 DDADMM CC(C)[C@@H](NC(=O)CSc1n[nH]c(=S)s1)C(=O)[O-] ZINC000817937937 601273993 /nfs/dbraw/zinc/27/39/93/601273993.db2.gz LXYYJGYKDZJXCA-ZCFIWIBFSA-N -1 1 307.422 1.144 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NC(=O)[C@@H](C)N(C)Cc1ccccc1 ZINC000831545304 601292063 /nfs/dbraw/zinc/29/20/63/601292063.db2.gz TXWMJGVGIPPMPL-DYVFJYSZSA-N -1 1 322.405 1.503 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H](C(=O)N2CCN(C3CCOCC3)CC2)C1 ZINC000833185579 601293691 /nfs/dbraw/zinc/29/36/91/601293691.db2.gz NYWBUULWPVZFKB-UONOGXRCSA-N -1 1 324.421 1.201 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H](C(=O)N2CCC(c3nc[nH]n3)CC2)C1 ZINC000833185117 601295299 /nfs/dbraw/zinc/29/52/99/601295299.db2.gz KBQVMPBYLFQANZ-NWDGAFQWSA-N -1 1 306.366 1.402 20 0 DDADMM COC(=O)[C@@H]1CCN(Cn2nc(C(=O)[O-])c3ccccc32)C1 ZINC000831115260 601397274 /nfs/dbraw/zinc/39/72/74/601397274.db2.gz WXKLEISLUUYGSJ-SNVBAGLBSA-N -1 1 303.318 1.187 20 0 DDADMM CSc1ccc([C@H](CC(=O)[O-])NC(=O)[C@H](C)N(C)C)cc1 ZINC000832777715 601448839 /nfs/dbraw/zinc/44/88/39/601448839.db2.gz YYKWCVJQBLAKEF-GWCFXTLKSA-N -1 1 310.419 1.991 20 0 DDADMM Cc1cc(C(=O)OCCc2c[nH]nn2)cc(C)c1OCC(=O)[O-] ZINC000832868800 601501027 /nfs/dbraw/zinc/50/10/27/601501027.db2.gz WCRINYXJUACWPS-UHFFFAOYSA-N -1 1 319.317 1.284 20 0 DDADMM Cc1cc(C(=O)OCCc2cnn[nH]2)cc(C)c1OCC(=O)[O-] ZINC000832868800 601501028 /nfs/dbraw/zinc/50/10/28/601501028.db2.gz WCRINYXJUACWPS-UHFFFAOYSA-N -1 1 319.317 1.284 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CCCC[C@H]2CCO)cc1 ZINC000833106054 601544317 /nfs/dbraw/zinc/54/43/17/601544317.db2.gz PDMADWDLTFXZBS-AWEZNQCLSA-N -1 1 306.362 1.560 20 0 DDADMM Cc1ccc2nc(CNS(=O)(=O)C[C@@H](C)C(=O)[O-])[nH]c2c1 ZINC000832958648 601797628 /nfs/dbraw/zinc/79/76/28/601797628.db2.gz FEQUOYSVQDOUQB-SECBINFHSA-N -1 1 311.363 1.011 20 0 DDADMM CCC[C@H](C)NC(=O)[C@@H](C)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091132644 601925450 /nfs/dbraw/zinc/92/54/50/601925450.db2.gz WPEMJCIFMKQVHR-TUAOUCFPSA-N -1 1 302.440 1.572 20 0 DDADMM COC(=O)c1cc(NC(=O)C2(N(C)C)CCC2)cc(C(=O)[O-])c1 ZINC000831257313 601938571 /nfs/dbraw/zinc/93/85/71/601938571.db2.gz ISGZSUMRSVLBIT-UHFFFAOYSA-N -1 1 320.345 1.594 20 0 DDADMM O=C([O-])NC[C@H]1CC[N@H+](Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000740647028 601961444 /nfs/dbraw/zinc/96/14/44/601961444.db2.gz WGQADZVQDDETOQ-MRVPVSSYSA-N -1 1 308.363 1.486 20 0 DDADMM O=C([O-])NC[C@H]1CC[N@@H+](Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000740647028 601961447 /nfs/dbraw/zinc/96/14/47/601961447.db2.gz WGQADZVQDDETOQ-MRVPVSSYSA-N -1 1 308.363 1.486 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC000830585066 602011556 /nfs/dbraw/zinc/01/15/56/602011556.db2.gz MRLJQAOTJTWAEX-PBHICJAKSA-N -1 1 304.390 1.483 20 0 DDADMM CC(C)C[C@H]1CCC(=O)N(CN(C[C@@H](C)C(=O)[O-])C2CC2)C1=O ZINC000826831754 602082357 /nfs/dbraw/zinc/08/23/57/602082357.db2.gz GRTYEGLNFMNHLT-CHWSQXEVSA-N -1 1 324.421 1.940 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)Nc1ccc(CN2CCN(C)CC2)cc1 ZINC000827311015 602095113 /nfs/dbraw/zinc/09/51/13/602095113.db2.gz OMGYGCJIEGNMRG-ZDUSSCGKSA-N -1 1 319.405 1.483 20 0 DDADMM Cc1cc(Cl)ncc1NS(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000165996781 602158490 /nfs/dbraw/zinc/15/84/90/602158490.db2.gz VUTATDTXEWIQBF-UHFFFAOYSA-N -1 1 315.738 1.871 20 0 DDADMM O=C1NCc2cccc(NCc3ccnc(-c4nnn[n-]4)c3)c21 ZINC000826482897 607547904 /nfs/dbraw/zinc/54/79/04/607547904.db2.gz UKADFPCOXXXSIG-UHFFFAOYSA-N -1 1 307.317 1.117 20 0 DDADMM O=C1NCc2cccc(NCc3ccnc(-c4nn[n-]n4)c3)c21 ZINC000826482897 607547906 /nfs/dbraw/zinc/54/79/06/607547906.db2.gz UKADFPCOXXXSIG-UHFFFAOYSA-N -1 1 307.317 1.117 20 0 DDADMM O=c1sc2ccccc2n1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826502049 607549525 /nfs/dbraw/zinc/54/95/25/607549525.db2.gz SHNMKHSWJCGSLR-UHFFFAOYSA-N -1 1 310.342 1.686 20 0 DDADMM O=c1sc2ccccc2n1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826502049 607549526 /nfs/dbraw/zinc/54/95/26/607549526.db2.gz SHNMKHSWJCGSLR-UHFFFAOYSA-N -1 1 310.342 1.686 20 0 DDADMM c1cn(-c2cccc(NCc3ccnc(-c4nnn[n-]4)c3)c2)nn1 ZINC000826516823 607552342 /nfs/dbraw/zinc/55/23/42/607552342.db2.gz CSAFTBCHSCHJGY-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1cn(-c2cccc(NCc3ccnc(-c4nn[n-]n4)c3)c2)nn1 ZINC000826516823 607552345 /nfs/dbraw/zinc/55/23/45/607552345.db2.gz CSAFTBCHSCHJGY-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM CCOC(=O)c1nc(CN[C@H](C(=O)[O-])C(C)(C)C)cs1 ZINC000829895380 602226152 /nfs/dbraw/zinc/22/61/52/602226152.db2.gz QMEMQUCLQFSAGG-SECBINFHSA-N -1 1 300.380 1.909 20 0 DDADMM C[C@H]1c2cc(F)cc(F)c2CCN1CCC(=O)NCC(=O)[O-] ZINC000828528454 602242246 /nfs/dbraw/zinc/24/22/46/602242246.db2.gz UNZFEBUQJCOZMS-VIFPVBQESA-N -1 1 312.316 1.475 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCCN1Cc1cnc2ccnn2c1 ZINC000738998057 602260952 /nfs/dbraw/zinc/26/09/52/602260952.db2.gz CWEGPRAWWITUPU-WCQYABFASA-N -1 1 303.366 1.740 20 0 DDADMM O=C([O-])CNC(=O)CN1CCCCC[C@H]1C[C@H](O)c1ccco1 ZINC000821530529 602349118 /nfs/dbraw/zinc/34/91/18/602349118.db2.gz HVWZEESLIODOEO-STQMWFEESA-N -1 1 324.377 1.149 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000739311539 602377968 /nfs/dbraw/zinc/37/79/68/602377968.db2.gz DPVWTLFMZSPLBW-HNNXBMFYSA-N -1 1 319.405 1.597 20 0 DDADMM Cc1ccc(N2C(=O)C[C@H](N3CC[C@@](C)(C(=O)[O-])C3)C2=O)cc1 ZINC000832934620 602389940 /nfs/dbraw/zinc/38/99/40/602389940.db2.gz GRVFKDSZPNCRGH-SUMWQHHRSA-N -1 1 316.357 1.424 20 0 DDADMM CCc1nnc(CN[C@]2(CNC(=O)[O-])CCCC[C@H]2C)n1C ZINC000739560305 602554282 /nfs/dbraw/zinc/55/42/82/602554282.db2.gz RVTQCFCSANSNDB-ABAIWWIYSA-N -1 1 309.414 1.684 20 0 DDADMM CN(C[C@@H]1CCN(Cn2nccc2-c2ccncc2)C1)C(=O)[O-] ZINC000739666343 602693705 /nfs/dbraw/zinc/69/37/05/602693705.db2.gz YHUWJTZXHKAIBK-ZDUSSCGKSA-N -1 1 315.377 1.834 20 0 DDADMM CNc1cc2c(nn1)CCN(C[C@@H]1CCCN(C(=O)[O-])C1)C2 ZINC000739756427 602706968 /nfs/dbraw/zinc/70/69/68/602706968.db2.gz WTUXGWWCCBJXDR-NSHDSACASA-N -1 1 305.382 1.266 20 0 DDADMM COC(=O)c1cc(CN2CC[C@@H](NC(=O)[O-])[C@H](C)C2)c(C)o1 ZINC000739777359 602783954 /nfs/dbraw/zinc/78/39/54/602783954.db2.gz IVIVSVKMHDIWAY-BXKDBHETSA-N -1 1 310.350 1.853 20 0 DDADMM Cc1ccccc1OC[C@H](O)CN1C[C@@H](C)N(C(=O)[O-])[C@H](C)C1 ZINC000740193198 602835056 /nfs/dbraw/zinc/83/50/56/602835056.db2.gz GPJKPZCDABIYOI-RBSFLKMASA-N -1 1 322.405 1.807 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1CNC(=O)[C@H]1CCCCN1C ZINC000739205978 602839327 /nfs/dbraw/zinc/83/93/27/602839327.db2.gz NPVDVTAMANKJFK-QJPTWQEYSA-N -1 1 313.398 1.090 20 0 DDADMM CN(CCN1CCN(C(=O)[O-])CC1)C[C@H]1CC1(Cl)Cl ZINC000739684800 602898581 /nfs/dbraw/zinc/89/85/81/602898581.db2.gz PQNDCFIMTXJFFM-SNVBAGLBSA-N -1 1 310.225 1.408 20 0 DDADMM C[C@@H]1CN(C(=O)c2c[nH]c3ccccc3c2=O)CCN1C(=O)[O-] ZINC000739167947 602941140 /nfs/dbraw/zinc/94/11/40/602941140.db2.gz SGCBAZVBQOPUPY-SNVBAGLBSA-N -1 1 315.329 1.352 20 0 DDADMM O=C([O-])N1CCCC[C@H](NC(=O)N2CCN3CCCC[C@H]3C2)C1 ZINC000740520695 602975114 /nfs/dbraw/zinc/97/51/14/602975114.db2.gz TXXNERMXOYCVRJ-KBPBESRZSA-N -1 1 324.425 1.399 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)C[C@H]2CCN(C(=O)[O-])C2)c1 ZINC000740194162 602981310 /nfs/dbraw/zinc/98/13/10/602981310.db2.gz BGPYTRISSBICQW-GFCCVEGCSA-N -1 1 306.366 1.260 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000740573389 602983837 /nfs/dbraw/zinc/98/38/37/602983837.db2.gz SIHYAGBXDDELEH-QWHCGFSZSA-N -1 1 315.333 1.642 20 0 DDADMM CC(C)N(CCC(=O)N1C[C@@H]2CCCCN2C[C@H]1C)C(=O)[O-] ZINC000738839071 602989919 /nfs/dbraw/zinc/98/99/19/602989919.db2.gz XTKVPWIGHPCWFN-KGLIPLIRSA-N -1 1 311.426 1.850 20 0 DDADMM O=C([O-])NC1(C(=O)Nc2ccn(-c3ccncc3)n2)CCCC1 ZINC000740543302 603034021 /nfs/dbraw/zinc/03/40/21/603034021.db2.gz TXBFJFKDBPKRTE-UHFFFAOYSA-N -1 1 315.333 1.786 20 0 DDADMM CCCc1nnc([C@@H]2CN(C[C@H]3CCN(C(=O)[O-])C3)CCO2)[nH]1 ZINC000739380283 603072336 /nfs/dbraw/zinc/07/23/36/603072336.db2.gz UABTVBHVTKGYKI-NEPJUHHUSA-N -1 1 323.397 1.130 20 0 DDADMM CCCc1n[nH]c([C@@H]2CN(C[C@H]3CCN(C(=O)[O-])C3)CCO2)n1 ZINC000739380283 603072342 /nfs/dbraw/zinc/07/23/42/603072342.db2.gz UABTVBHVTKGYKI-NEPJUHHUSA-N -1 1 323.397 1.130 20 0 DDADMM CCCc1nnc([C@H]2CN(C[C@@H]3CCN(C(=O)[O-])C3)CCO2)[nH]1 ZINC000739380285 603073175 /nfs/dbraw/zinc/07/31/75/603073175.db2.gz UABTVBHVTKGYKI-NWDGAFQWSA-N -1 1 323.397 1.130 20 0 DDADMM CCCc1n[nH]c([C@H]2CN(C[C@@H]3CCN(C(=O)[O-])C3)CCO2)n1 ZINC000739380285 603073177 /nfs/dbraw/zinc/07/31/77/603073177.db2.gz UABTVBHVTKGYKI-NWDGAFQWSA-N -1 1 323.397 1.130 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(NC(=O)[O-])cc2[O-])C[C@@H]1[NH+](C)C ZINC000739168346 603076086 /nfs/dbraw/zinc/07/60/86/603076086.db2.gz OCLUOSPOKTUJSA-SKDRFNHKSA-N -1 1 307.350 1.504 20 0 DDADMM O=C([O-])NCCN1CCCC[C@@H]1CNC(=O)Nc1ccccc1 ZINC000740658991 603082882 /nfs/dbraw/zinc/08/28/82/603082882.db2.gz PITBDVCZJHHSNL-CQSZACIVSA-N -1 1 320.393 1.930 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)N[C@H](CNC(=O)[O-])C1CC1 ZINC000739199887 603089637 /nfs/dbraw/zinc/08/96/37/603089637.db2.gz STVKKTRYKBHYKK-MRVWCRGKSA-N -1 1 324.425 1.301 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)N1CCC[C@H]2CCCC[C@@H]21 ZINC000739676467 603252442 /nfs/dbraw/zinc/25/24/42/603252442.db2.gz KPNGHXLMVBJUOU-KGLIPLIRSA-N -1 1 311.426 1.757 20 0 DDADMM C[C@H](C(=O)N1CCc2ccccc21)N(C)CCCNC(=O)[O-] ZINC000738620696 603253065 /nfs/dbraw/zinc/25/30/65/603253065.db2.gz WSVKEDDFAHNFID-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1noc([C@H](C)N2CCN(C[C@H]3CCN(C(=O)[O-])C3)CC2)n1 ZINC000740261796 603341179 /nfs/dbraw/zinc/34/11/79/603341179.db2.gz ALZRPKRGLVYXBG-WCQYABFASA-N -1 1 323.397 1.057 20 0 DDADMM C[C@H]1C[C@H](C)CN(C(=O)CN(C)CC(C)(C)CNC(=O)[O-])C1 ZINC000825783381 603545419 /nfs/dbraw/zinc/54/54/19/603545419.db2.gz HBEUCPCXNMZXDU-STQMWFEESA-N -1 1 313.442 1.717 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1CCc1nc2ccccc2c(=O)[nH]1 ZINC000832573324 603576104 /nfs/dbraw/zinc/57/61/04/603576104.db2.gz MMZJKUMRJWCNLR-NSHDSACASA-N -1 1 316.361 1.610 20 0 DDADMM C[C@@H](N(C)CN1C[C@@H]2CN(C(=O)[O-])CCN2C1=O)C(C)(C)C ZINC000825054031 603597029 /nfs/dbraw/zinc/59/70/29/603597029.db2.gz ADEPJFJROQRNFA-NEPJUHHUSA-N -1 1 312.414 1.410 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N(C)[C@H]2CCN(C(=O)[O-])C2)c1 ZINC000829914685 603711488 /nfs/dbraw/zinc/71/14/88/603711488.db2.gz SZABMHYMMHMERN-NSHDSACASA-N -1 1 302.334 1.696 20 0 DDADMM Cc1ccc2nc(CNC(=O)C3CCN(C(=O)[O-])CC3)[nH]c2c1 ZINC000830434041 603764722 /nfs/dbraw/zinc/76/47/22/603764722.db2.gz ZBHQRWYFIBKUII-UHFFFAOYSA-N -1 1 316.361 1.878 20 0 DDADMM O=C([O-])N1CC[C@@](F)(C(=O)N2CCN(C3CCCC3)CC2)C1 ZINC000831893473 603834262 /nfs/dbraw/zinc/83/42/62/603834262.db2.gz BRQGXTQXBZNZFW-HNNXBMFYSA-N -1 1 313.373 1.165 20 0 DDADMM Cc1ccc2nc(CN3CC[C@H](N(C)C(=O)[O-])C3)cc(=O)n2c1 ZINC000830453346 603850863 /nfs/dbraw/zinc/85/08/63/603850863.db2.gz GVKMIMNSZVHISY-ZDUSSCGKSA-N -1 1 316.361 1.187 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@@H](NC(=O)[O-])c1cccc(Cl)c1 ZINC000828427023 604131186 /nfs/dbraw/zinc/13/11/86/604131186.db2.gz UDXNHYZNBPWDGV-NSHDSACASA-N -1 1 323.740 1.425 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN([C@@H](C)C(=O)NC2CCCC2)C1 ZINC000825252701 604184723 /nfs/dbraw/zinc/18/47/23/604184723.db2.gz GDMPBONOXYVQEU-AVGNSLFASA-N -1 1 311.426 1.802 20 0 DDADMM C[C@H](Oc1ccc(CN(C)Cc2cnn(C)c2)cc1)C(=O)[O-] ZINC000833539317 604519411 /nfs/dbraw/zinc/51/94/11/604519411.db2.gz YRPBKSPMYNIMAG-LBPRGKRZSA-N -1 1 303.362 1.904 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CN(C(=O)c2cccc3c[nH]nc32)CCO1 ZINC000825334045 604713306 /nfs/dbraw/zinc/71/33/06/604713306.db2.gz FBCDHSGFTRJOIP-BXKDBHETSA-N -1 1 318.333 1.060 20 0 DDADMM CC(C)(O)CN1CCN(Cc2ccc(C(=O)[O-])cc2F)CC1 ZINC000833462771 604753288 /nfs/dbraw/zinc/75/32/88/604753288.db2.gz IUQLBSOCUMUYKB-UHFFFAOYSA-N -1 1 310.369 1.412 20 0 DDADMM CC1CCN(CC(=O)N[C@H]2CCCC[C@@H]2CNC(=O)[O-])CC1 ZINC000825945775 604863276 /nfs/dbraw/zinc/86/32/76/604863276.db2.gz ZQRAAZNBEAJGBU-KGLIPLIRSA-N -1 1 311.426 1.661 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1CCCN([C@H](C(=O)[O-])c2cccnc2)C1 ZINC000833420122 604870687 /nfs/dbraw/zinc/87/06/87/604870687.db2.gz AWNXADWYDZFKQM-KBPBESRZSA-N -1 1 319.405 1.834 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCc1ccccc1 ZINC000833621296 605042996 /nfs/dbraw/zinc/04/29/96/605042996.db2.gz ADMJDJZPRQBEOL-CQSZACIVSA-N -1 1 319.405 1.420 20 0 DDADMM CC(C)Oc1cccc([C@@H](C)N[C@H](C)C(=O)NCC(=O)[O-])c1 ZINC000833507168 605070199 /nfs/dbraw/zinc/07/01/99/605070199.db2.gz XEJYLYUXUBTXKR-VXGBXAGGSA-N -1 1 308.378 1.714 20 0 DDADMM C[C@@H](NC1CN(C(=O)[O-])C1)c1ccc(N2CCCC2=O)cc1 ZINC000825479881 605099562 /nfs/dbraw/zinc/09/95/62/605099562.db2.gz QQMGKLNYPSXNHA-LLVKDONJSA-N -1 1 303.362 1.826 20 0 DDADMM Cc1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)ccc1F ZINC000833741920 605110931 /nfs/dbraw/zinc/11/09/31/605110931.db2.gz CGNFRPXDZUEIHP-GFCCVEGCSA-N -1 1 308.353 1.755 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](C[N@H+]2CC[C@@H](Oc3cccnc3)C2)O1 ZINC000833584252 605115362 /nfs/dbraw/zinc/11/53/62/605115362.db2.gz MXLNRKOJUXUZBD-ZIAGYGMSSA-N -1 1 304.346 1.682 20 0 DDADMM Clc1ccccc1OCCNc1nccnc1-c1nnn[n-]1 ZINC000826353945 607736588 /nfs/dbraw/zinc/73/65/88/607736588.db2.gz KEUZKMLPWGAACA-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM Clc1ccccc1OCCNc1nccnc1-c1nn[n-]n1 ZINC000826353945 607736589 /nfs/dbraw/zinc/73/65/89/607736589.db2.gz KEUZKMLPWGAACA-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM CC(=O)N1CCN(Cc2ccccc2CC(=O)[O-])C(C)(C)C1 ZINC000833391247 605202443 /nfs/dbraw/zinc/20/24/43/605202443.db2.gz PDOUVHMMPFJLEG-UHFFFAOYSA-N -1 1 304.390 1.756 20 0 DDADMM C[C@H]1CN(C(=O)c2cc3ccccc3[nH]2)CCN1CCC(=O)[O-] ZINC000833619631 605274788 /nfs/dbraw/zinc/27/47/88/605274788.db2.gz DBIKBFVMJOXRFK-LBPRGKRZSA-N -1 1 315.373 1.789 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC[C@H]2CNC(=O)[O-])n[nH]1 ZINC000830030278 605301522 /nfs/dbraw/zinc/30/15/22/605301522.db2.gz NLQYJJZHCPNMSI-CABZTGNLSA-N -1 1 309.370 1.091 20 0 DDADMM O=C([O-])NCCNCc1cc2c(cc1Br)OCO2 ZINC000834243427 605323176 /nfs/dbraw/zinc/32/31/76/605323176.db2.gz LHHZBXRXYZDZOS-UHFFFAOYSA-N -1 1 317.139 1.535 20 0 DDADMM O=C(C[C@@H]1CSCCN1C(=O)[O-])Nc1ccc2[nH]nnc2c1 ZINC000831419734 605328055 /nfs/dbraw/zinc/32/80/55/605328055.db2.gz YEBYBMQNKCNZNR-SECBINFHSA-N -1 1 321.362 1.382 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(CN3C[C@@H](C4CC4)CC3=O)C[C@@H]21 ZINC000834181457 605331933 /nfs/dbraw/zinc/33/19/33/605331933.db2.gz MGTHZTTWHPQSJL-CBBWQLFWSA-N -1 1 321.421 1.571 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[N@@H+]1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000834220473 605342171 /nfs/dbraw/zinc/34/21/71/605342171.db2.gz LBRFSYFAGFLNEI-NSHDSACASA-N -1 1 318.329 1.731 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[N@H+]1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000834220473 605342180 /nfs/dbraw/zinc/34/21/80/605342180.db2.gz LBRFSYFAGFLNEI-NSHDSACASA-N -1 1 318.329 1.731 20 0 DDADMM Cc1cc(CN2CCOCC3(CCOCC3)C2)oc1C(=O)[O-] ZINC000833745841 605447973 /nfs/dbraw/zinc/44/79/73/605447973.db2.gz WYZAZCMFSUDHAF-UHFFFAOYSA-N -1 1 309.362 1.915 20 0 DDADMM Cn1cccc1C(=O)CN1C[C@@H]2CCC[C@@H](NC(=O)[O-])[C@H]2C1 ZINC000833952658 605455035 /nfs/dbraw/zinc/45/50/35/605455035.db2.gz OHJPGWFEWOHUKE-RWMBFGLXSA-N -1 1 305.378 1.576 20 0 DDADMM O=C(NCc1ncccc1F)c1ccc(-c2nnn[n-]2)s1 ZINC000826444257 607759003 /nfs/dbraw/zinc/75/90/03/607759003.db2.gz YIYDYOBHUUORFR-UHFFFAOYSA-N -1 1 304.310 1.392 20 0 DDADMM O=C(NCc1ncccc1F)c1ccc(-c2nn[n-]n2)s1 ZINC000826444257 607759004 /nfs/dbraw/zinc/75/90/04/607759004.db2.gz YIYDYOBHUUORFR-UHFFFAOYSA-N -1 1 304.310 1.392 20 0 DDADMM O=C([O-])N1CC[C@@H](N(Cc2cnc3cnccn23)C2CC2)C1 ZINC000834086338 605621352 /nfs/dbraw/zinc/62/13/52/605621352.db2.gz XWPWUFVOLGKCKD-GFCCVEGCSA-N -1 1 301.350 1.446 20 0 DDADMM O=C([O-])N[C@H](CNS(=O)(=O)c1c(F)cccc1F)C1CC1 ZINC000834149800 605806109 /nfs/dbraw/zinc/80/61/09/605806109.db2.gz IMVIZRRUCRPBDI-SNVBAGLBSA-N -1 1 320.317 1.289 20 0 DDADMM O=C([O-])N1CCN(CCCNc2ccnc3ccncc32)CC1 ZINC000834113386 605948499 /nfs/dbraw/zinc/94/84/99/605948499.db2.gz UUZCWLGHMKQOEO-UHFFFAOYSA-N -1 1 315.377 1.149 20 0 DDADMM Cn1cc(C[C@H]2CCN(c3cccc(-c4nnn[n-]4)n3)C2)cn1 ZINC000822673960 606138088 /nfs/dbraw/zinc/13/80/88/606138088.db2.gz HRQPKFWOTZKQKD-LLVKDONJSA-N -1 1 310.365 1.064 20 0 DDADMM Cn1cc(C[C@H]2CCN(c3cccc(-c4nn[n-]n4)n3)C2)cn1 ZINC000822673960 606138089 /nfs/dbraw/zinc/13/80/89/606138089.db2.gz HRQPKFWOTZKQKD-LLVKDONJSA-N -1 1 310.365 1.064 20 0 DDADMM Cn1cc(CCCOC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000822681104 606139874 /nfs/dbraw/zinc/13/98/74/606139874.db2.gz TUGHASAQCIPQPI-UHFFFAOYSA-N -1 1 318.362 1.451 20 0 DDADMM Cn1cc(CCCOC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000822681104 606139876 /nfs/dbraw/zinc/13/98/76/606139876.db2.gz TUGHASAQCIPQPI-UHFFFAOYSA-N -1 1 318.362 1.451 20 0 DDADMM C[C@@H]1c2ccccc2CN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820825654 606158315 /nfs/dbraw/zinc/15/83/15/606158315.db2.gz AKNNYZXOLOFBSA-SECBINFHSA-N -1 1 324.348 1.709 20 0 DDADMM C[C@@H]1c2ccccc2CN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820825654 606158317 /nfs/dbraw/zinc/15/83/17/606158317.db2.gz AKNNYZXOLOFBSA-SECBINFHSA-N -1 1 324.348 1.709 20 0 DDADMM C[C@H]1CCC[C@H](N(C)C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820697362 606164816 /nfs/dbraw/zinc/16/48/16/606164816.db2.gz GGVHSFRRPYBNIJ-UWVGGRQHSA-N -1 1 318.385 1.643 20 0 DDADMM C[C@H]1CCC[C@H](N(C)C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820697362 606164818 /nfs/dbraw/zinc/16/48/18/606164818.db2.gz GGVHSFRRPYBNIJ-UWVGGRQHSA-N -1 1 318.385 1.643 20 0 DDADMM Clc1ccc(Sc2nnnn2C2CC2)nc1-c1nnn[n-]1 ZINC000822582057 606445082 /nfs/dbraw/zinc/44/50/82/606445082.db2.gz PNGHNDHWSGCYOY-UHFFFAOYSA-N -1 1 321.757 1.388 20 0 DDADMM Clc1ccc(Sc2nnnn2C2CC2)nc1-c1nn[n-]n1 ZINC000822582057 606445083 /nfs/dbraw/zinc/44/50/83/606445083.db2.gz PNGHNDHWSGCYOY-UHFFFAOYSA-N -1 1 321.757 1.388 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N(C[C@H]1CCOC1)C1CC1 ZINC000823478565 606535747 /nfs/dbraw/zinc/53/57/47/606535747.db2.gz LWQIGIRECLMRQG-SECBINFHSA-N -1 1 319.390 1.569 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N(C[C@H]1CCOC1)C1CC1 ZINC000823478565 606535748 /nfs/dbraw/zinc/53/57/48/606535748.db2.gz LWQIGIRECLMRQG-SECBINFHSA-N -1 1 319.390 1.569 20 0 DDADMM CCN(Cc1cnn(C)c1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821230415 606538234 /nfs/dbraw/zinc/53/82/34/606538234.db2.gz JWCLPFCSOTXWTC-UHFFFAOYSA-N -1 1 317.378 1.324 20 0 DDADMM CCN(Cc1cnn(C)c1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821230415 606538235 /nfs/dbraw/zinc/53/82/35/606538235.db2.gz JWCLPFCSOTXWTC-UHFFFAOYSA-N -1 1 317.378 1.324 20 0 DDADMM CCC1(CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCC1 ZINC000820962777 606579331 /nfs/dbraw/zinc/57/93/31/606579331.db2.gz ZDZORCMZJAFJFU-UHFFFAOYSA-N -1 1 304.358 1.302 20 0 DDADMM CCC1(CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCC1 ZINC000820962777 606579332 /nfs/dbraw/zinc/57/93/32/606579332.db2.gz ZDZORCMZJAFJFU-UHFFFAOYSA-N -1 1 304.358 1.302 20 0 DDADMM CCCC[C@H](CC)CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821040507 606595564 /nfs/dbraw/zinc/59/55/64/606595564.db2.gz MJQYBCXBJWBPCS-JTQLQIEISA-N -1 1 320.401 1.938 20 0 DDADMM CCCC[C@H](CC)CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821040507 606595565 /nfs/dbraw/zinc/59/55/65/606595565.db2.gz MJQYBCXBJWBPCS-JTQLQIEISA-N -1 1 320.401 1.938 20 0 DDADMM CCC(CC)[C@H](O)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820893302 606595570 /nfs/dbraw/zinc/59/55/70/606595570.db2.gz MTYSDUMXQWYFNN-SECBINFHSA-N -1 1 309.395 1.455 20 0 DDADMM CCC(CC)[C@H](O)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820893302 606595572 /nfs/dbraw/zinc/59/55/72/606595572.db2.gz MTYSDUMXQWYFNN-SECBINFHSA-N -1 1 309.395 1.455 20 0 DDADMM C[C@H](NC(=O)Nc1nn(C)cc1-c1nnn[n-]1)c1ccccc1 ZINC000820380601 606595711 /nfs/dbraw/zinc/59/57/11/606595711.db2.gz XEZHNAUGTOFQSN-VIFPVBQESA-N -1 1 312.337 1.483 20 0 DDADMM C[C@H](NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)c1ccccc1 ZINC000820380601 606595712 /nfs/dbraw/zinc/59/57/12/606595712.db2.gz XEZHNAUGTOFQSN-VIFPVBQESA-N -1 1 312.337 1.483 20 0 DDADMM Cc1ccc(C=CCC(=O)Nc2nn(C)cc2-c2nnn[n-]2)cc1 ZINC000822232843 606622727 /nfs/dbraw/zinc/62/27/27/606622727.db2.gz CALUWVZYUYXPBJ-ARJAWSKDSA-N -1 1 323.360 1.951 20 0 DDADMM Cc1ccc(C=CCC(=O)Nc2nn(C)cc2-c2nn[n-]n2)cc1 ZINC000822232843 606622728 /nfs/dbraw/zinc/62/27/28/606622728.db2.gz CALUWVZYUYXPBJ-ARJAWSKDSA-N -1 1 323.360 1.951 20 0 DDADMM C[C@@H](OCC1CC1)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000820518614 606647867 /nfs/dbraw/zinc/64/78/67/606647867.db2.gz HPKZHCUJXYTFFD-SECBINFHSA-N -1 1 303.322 1.120 20 0 DDADMM C[C@@H](OCC1CC1)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000820518614 606647868 /nfs/dbraw/zinc/64/78/68/606647868.db2.gz HPKZHCUJXYTFFD-SECBINFHSA-N -1 1 303.322 1.120 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2N1CC[C@@]2(CCOC2)C1 ZINC000823792217 606803379 /nfs/dbraw/zinc/80/33/79/606803379.db2.gz PXGDQLKCNVHRSJ-MRXNPFEDSA-N -1 1 323.360 1.427 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2N1CC[C@@]2(CCOC2)C1 ZINC000823792217 606803381 /nfs/dbraw/zinc/80/33/81/606803381.db2.gz PXGDQLKCNVHRSJ-MRXNPFEDSA-N -1 1 323.360 1.427 20 0 DDADMM Cc1ccc2c(c1)[C@@H](NC(=O)c1ccc(-c3nnn[n-]3)nc1)CO2 ZINC000822274995 606936756 /nfs/dbraw/zinc/93/67/56/606936756.db2.gz MPFCFNLORVWQJK-ZDUSSCGKSA-N -1 1 322.328 1.434 20 0 DDADMM Cc1ccc2c(c1)[C@@H](NC(=O)c1ccc(-c3nn[n-]n3)nc1)CO2 ZINC000822274995 606936757 /nfs/dbraw/zinc/93/67/57/606936757.db2.gz MPFCFNLORVWQJK-ZDUSSCGKSA-N -1 1 322.328 1.434 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1ccnc(Cl)c1 ZINC000823383666 607024501 /nfs/dbraw/zinc/02/45/01/607024501.db2.gz WZYYHNKBLDZEGR-UHFFFAOYSA-N -1 1 307.726 1.624 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1ccnc(Cl)c1 ZINC000823383666 607024502 /nfs/dbraw/zinc/02/45/02/607024502.db2.gz WZYYHNKBLDZEGR-UHFFFAOYSA-N -1 1 307.726 1.624 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000820533408 607105905 /nfs/dbraw/zinc/10/59/05/607105905.db2.gz DZQQBNARAUUTEG-QMMMGPOBSA-N -1 1 316.346 1.729 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000820533408 607105907 /nfs/dbraw/zinc/10/59/07/607105907.db2.gz DZQQBNARAUUTEG-QMMMGPOBSA-N -1 1 316.346 1.729 20 0 DDADMM O=C(CCc1cscn1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822982650 607109096 /nfs/dbraw/zinc/10/90/96/607109096.db2.gz FZCKGIPAFGGRHL-UHFFFAOYSA-N -1 1 307.364 1.351 20 0 DDADMM O=C(CCc1cscn1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822982650 607109098 /nfs/dbraw/zinc/10/90/98/607109098.db2.gz FZCKGIPAFGGRHL-UHFFFAOYSA-N -1 1 307.364 1.351 20 0 DDADMM c1cc(N[C@H]2CCOC3(CCOCC3)C2)nc(-c2nnn[n-]2)c1 ZINC000823756727 607175876 /nfs/dbraw/zinc/17/58/76/607175876.db2.gz GGJNTPUWOMUKHV-NSHDSACASA-N -1 1 316.365 1.402 20 0 DDADMM c1cc(N[C@H]2CCOC3(CCOCC3)C2)nc(-c2nn[n-]n2)c1 ZINC000823756727 607175877 /nfs/dbraw/zinc/17/58/77/607175877.db2.gz GGJNTPUWOMUKHV-NSHDSACASA-N -1 1 316.365 1.402 20 0 DDADMM c1nn(C[C@H]2CCCO2)cc1Nc1cccc(-c2nnn[n-]2)n1 ZINC000826517633 607896638 /nfs/dbraw/zinc/89/66/38/607896638.db2.gz JQFUDUFHRGUNQJ-LLVKDONJSA-N -1 1 312.337 1.381 20 0 DDADMM c1nn(C[C@H]2CCCO2)cc1Nc1cccc(-c2nn[n-]n2)n1 ZINC000826517633 607896639 /nfs/dbraw/zinc/89/66/39/607896639.db2.gz JQFUDUFHRGUNQJ-LLVKDONJSA-N -1 1 312.337 1.381 20 0 DDADMM CCCCC[C@H](Nc1cccc(-c2nnn[n-]2)n1)C(=O)OC ZINC000825268189 607901504 /nfs/dbraw/zinc/90/15/04/607901504.db2.gz RRGMWHXURCLQCV-NSHDSACASA-N -1 1 304.354 1.796 20 0 DDADMM CCCCC[C@H](Nc1cccc(-c2nn[n-]n2)n1)C(=O)OC ZINC000825268189 607901505 /nfs/dbraw/zinc/90/15/05/607901505.db2.gz RRGMWHXURCLQCV-NSHDSACASA-N -1 1 304.354 1.796 20 0 DDADMM CCC[C@H]1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CCO1 ZINC000825260080 607969798 /nfs/dbraw/zinc/96/97/98/607969798.db2.gz MRSZDVCOUGMDBE-VIFPVBQESA-N -1 1 308.773 1.920 20 0 DDADMM CCC[C@H]1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CCO1 ZINC000825260080 607969799 /nfs/dbraw/zinc/96/97/99/607969799.db2.gz MRSZDVCOUGMDBE-VIFPVBQESA-N -1 1 308.773 1.920 20 0 DDADMM c1[nH]c2ccccc2c1CCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826522782 607987984 /nfs/dbraw/zinc/98/79/84/607987984.db2.gz OEGNSGMTKSTHBK-UHFFFAOYSA-N -1 1 306.333 1.793 20 0 DDADMM c1[nH]c2ccccc2c1CCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826522782 607987985 /nfs/dbraw/zinc/98/79/85/607987985.db2.gz OEGNSGMTKSTHBK-UHFFFAOYSA-N -1 1 306.333 1.793 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1cnc2ccc(F)cc2c1 ZINC000826395840 608012587 /nfs/dbraw/zinc/01/25/87/608012587.db2.gz VAAHAEFPTUNAIZ-UHFFFAOYSA-N -1 1 324.279 1.021 20 0 DDADMM Cc1ccncc1C=CC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826315646 608142733 /nfs/dbraw/zinc/14/27/33/608142733.db2.gz PBGUQAZLMNHQMP-NSCUHMNNSA-N -1 1 322.328 1.722 20 0 DDADMM Cc1ccncc1C=CC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826315646 608142734 /nfs/dbraw/zinc/14/27/34/608142734.db2.gz PBGUQAZLMNHQMP-NSCUHMNNSA-N -1 1 322.328 1.722 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)s1)C1CCOCC1 ZINC000826463067 608173185 /nfs/dbraw/zinc/17/31/85/608173185.db2.gz RJIBMFUGSAFDQH-UHFFFAOYSA-N -1 1 322.346 1.081 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)s1)C1CCOCC1 ZINC000826463067 608173187 /nfs/dbraw/zinc/17/31/87/608173187.db2.gz RJIBMFUGSAFDQH-UHFFFAOYSA-N -1 1 322.346 1.081 20 0 DDADMM CC[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)C(=O)OC(C)(C)C ZINC000825679884 608236265 /nfs/dbraw/zinc/23/62/65/608236265.db2.gz NREWORXNTMGPSX-MRVPVSSYSA-N -1 1 305.342 1.189 20 0 DDADMM CC[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)C(=O)OC(C)(C)C ZINC000825679884 608236267 /nfs/dbraw/zinc/23/62/67/608236267.db2.gz NREWORXNTMGPSX-MRVPVSSYSA-N -1 1 305.342 1.189 20 0 DDADMM c1cn(-c2ccccc2)nc1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826520062 608402784 /nfs/dbraw/zinc/40/27/84/608402784.db2.gz ZERVHVLNCFTGRC-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1cn(-c2ccccc2)nc1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826520062 608402785 /nfs/dbraw/zinc/40/27/85/608402785.db2.gz ZERVHVLNCFTGRC-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM C[C@@H]1CO[C@@H](c2ccccc2)CN1c1ccc(-c2nnn[n-]2)nn1 ZINC000825007403 608429301 /nfs/dbraw/zinc/42/93/01/608429301.db2.gz YXLWDOKOSDXXDE-BXUZGUMPSA-N -1 1 323.360 1.623 20 0 DDADMM C[C@@H]1CO[C@@H](c2ccccc2)CN1c1ccc(-c2nn[n-]n2)nn1 ZINC000825007403 608429304 /nfs/dbraw/zinc/42/93/04/608429304.db2.gz YXLWDOKOSDXXDE-BXUZGUMPSA-N -1 1 323.360 1.623 20 0 DDADMM Cc1nn(Cc2ccc(CF)cc2)c(=O)c(-c2nn[n-]n2)c1C ZINC000826335041 609267329 /nfs/dbraw/zinc/26/73/29/609267329.db2.gz VHQPFSFKPLXREC-UHFFFAOYSA-N -1 1 314.324 1.558 20 0 DDADMM C[C@H]1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)C[C@@H]1F ZINC000824962682 609320206 /nfs/dbraw/zinc/32/02/06/609320206.db2.gz WTAVGJBAJINEMN-ONGXEEELSA-N -1 1 313.340 1.994 20 0 DDADMM C[C@H]1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)C[C@@H]1F ZINC000824962682 609320207 /nfs/dbraw/zinc/32/02/07/609320207.db2.gz WTAVGJBAJINEMN-ONGXEEELSA-N -1 1 313.340 1.994 20 0 DDADMM Cc1onc(CC(=O)NCCC2=CCCC2)c1-c1nnn[n-]1 ZINC000826347501 609467861 /nfs/dbraw/zinc/46/78/61/609467861.db2.gz TYBOQPOHNPYBCY-UHFFFAOYSA-N -1 1 302.338 1.322 20 0 DDADMM Cc1onc(CC(=O)NCCC2=CCCC2)c1-c1nn[n-]n1 ZINC000826347501 609467863 /nfs/dbraw/zinc/46/78/63/609467863.db2.gz TYBOQPOHNPYBCY-UHFFFAOYSA-N -1 1 302.338 1.322 20 0 DDADMM COCC[C@@H](Cc1ccco1)Nc1cccc(-c2nnn[n-]2)n1 ZINC000826154211 609588598 /nfs/dbraw/zinc/58/85/98/609588598.db2.gz KNKWCQJGTVYUBY-NSHDSACASA-N -1 1 314.349 1.914 20 0 DDADMM COCC[C@@H](Cc1ccco1)Nc1cccc(-c2nn[n-]n2)n1 ZINC000826154211 609588600 /nfs/dbraw/zinc/58/86/00/609588600.db2.gz KNKWCQJGTVYUBY-NSHDSACASA-N -1 1 314.349 1.914 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C(F)F)[C@@H]2CCCO2)[n-]1 ZINC000797179190 699997792 /nfs/dbraw/zinc/99/77/92/699997792.db2.gz IDTOTQIGDRQNKT-VHSXEESVSA-N -1 1 303.261 1.771 20 0 DDADMM CCC(=O)N1CC[C@@H]2[C@@H](CCCN2C(=O)c2ncccc2[O-])C1 ZINC000973716630 695518498 /nfs/dbraw/zinc/51/84/98/695518498.db2.gz DQPYRRPVUOXLHS-QWHCGFSZSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@]1(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000974054584 695629088 /nfs/dbraw/zinc/62/90/88/695629088.db2.gz HBAQYFHNLKXRTJ-JKDFXYPNSA-N -1 1 315.373 1.521 20 0 DDADMM CNC(=O)C[N-]S(=O)(=O)c1c(Cl)cc(F)cc1Cl ZINC000797819153 700025343 /nfs/dbraw/zinc/02/53/43/700025343.db2.gz HQGDNPUKZDJYKV-UHFFFAOYSA-N -1 1 315.153 1.157 20 0 DDADMM CCCN(C(=O)N[C@H]1CCCc2cn[nH]c21)[C@H](COC)C(=O)[O-] ZINC000797724565 700020872 /nfs/dbraw/zinc/02/08/72/700020872.db2.gz MKGOLTLKFNYCCS-NWDGAFQWSA-N -1 1 324.381 1.308 20 0 DDADMM COc1csc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000746884523 700043479 /nfs/dbraw/zinc/04/34/79/700043479.db2.gz IOHRMUDOYDDOCP-GFCCVEGCSA-N -1 1 315.358 1.789 20 0 DDADMM O=C(CCCC1CCCCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798148692 700044494 /nfs/dbraw/zinc/04/44/94/700044494.db2.gz NGOGUFBMUNXSHO-UHFFFAOYSA-N -1 1 321.425 1.760 20 0 DDADMM O=C(C=C1CCC1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976406475 695971591 /nfs/dbraw/zinc/97/15/91/695971591.db2.gz WBQNKTJQBDFNFG-JYAVWHMHSA-N -1 1 313.357 1.084 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976407524 695971992 /nfs/dbraw/zinc/97/19/92/695971992.db2.gz YRSZDJBJAVBZLW-TVEHIPJCSA-N -1 1 315.373 1.020 20 0 DDADMM CCC(=O)OCC(=O)[N-]C(=O)c1ccc(OC(F)F)cc1 ZINC000010745824 696040981 /nfs/dbraw/zinc/04/09/81/696040981.db2.gz UVRQDNMEAPGPED-UHFFFAOYSA-N -1 1 301.245 1.498 20 0 DDADMM Cc1ccc(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)cn1 ZINC000061851488 696321785 /nfs/dbraw/zinc/32/17/85/696321785.db2.gz UFXKVNGSFJGJOZ-UHFFFAOYSA-N -1 1 312.325 1.432 20 0 DDADMM COCc1ccc(C(=O)NCCc2n[n-]c(=S)n2C)cc1 ZINC000067050964 696358521 /nfs/dbraw/zinc/35/85/21/696358521.db2.gz VCJUBGYFVNRZCU-UHFFFAOYSA-N -1 1 306.391 1.597 20 0 DDADMM O=C(/N=c1\nc(-c2ccccc2Cl)[nH][n-]1)c1cc[n+]([O-])cc1 ZINC000076365779 696429023 /nfs/dbraw/zinc/42/90/23/696429023.db2.gz PHIUASYZDWHVGV-UHFFFAOYSA-N -1 1 315.720 1.433 20 0 DDADMM O=C(CC1CC1)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978742804 696450684 /nfs/dbraw/zinc/45/06/84/696450684.db2.gz NZNLZPRVZVQNQK-TXEJJXNPSA-N -1 1 303.362 1.212 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H]2CCc3ccc(F)cc32)s1 ZINC000080087942 696530300 /nfs/dbraw/zinc/53/03/00/696530300.db2.gz OLWLGLAZSRYXQX-WDEREUQCSA-N -1 1 307.350 1.276 20 0 DDADMM CN1CCCc2cc(NC(=O)Cc3sc(N)nc3[O-])ccc21 ZINC000080182048 696531354 /nfs/dbraw/zinc/53/13/54/696531354.db2.gz QQRRBKQMUSZFJI-GFCCVEGCSA-N -1 1 318.402 1.354 20 0 DDADMM Cc1cc(C)cc(CCNC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080453739 696534816 /nfs/dbraw/zinc/53/48/16/696534816.db2.gz VSTGVZXQTBBGNH-LBPRGKRZSA-N -1 1 305.403 1.309 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)c(C)s1 ZINC000798821890 700099360 /nfs/dbraw/zinc/09/93/60/700099360.db2.gz OCCKORSGZRXXHT-LBPRGKRZSA-N -1 1 318.464 1.756 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)[C@H](C)Sc1ccccn1 ZINC000084978592 696562285 /nfs/dbraw/zinc/56/22/85/696562285.db2.gz AXEFTBXTFUGRBA-VIFPVBQESA-N -1 1 323.447 1.941 20 0 DDADMM CC(C)C1(O)CN(C(=O)c2ccc(Br)cc2[O-])C1 ZINC000089663753 696578906 /nfs/dbraw/zinc/57/89/06/696578906.db2.gz YDDKNJPQABMKQB-UHFFFAOYSA-N -1 1 314.179 1.998 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)CSCC(F)(F)F ZINC000090685149 696583615 /nfs/dbraw/zinc/58/36/15/696583615.db2.gz YZEAOAQAPPBRNT-UHFFFAOYSA-N -1 1 314.358 1.661 20 0 DDADMM Cc1c2ccccc2oc1C(=O)[N-]NC(=O)[C@@H]1CCO[C@H]1C ZINC000092210750 696593055 /nfs/dbraw/zinc/59/30/55/696593055.db2.gz ZWINWAVDDBACHO-CMPLNLGQSA-N -1 1 302.330 1.927 20 0 DDADMM COC(=O)C[C@H]1CCCCN1CC(=O)[N-]OCc1ccccc1 ZINC000093170528 696599493 /nfs/dbraw/zinc/59/94/93/696599493.db2.gz FSSHHARDNWOTLU-OAHLLOKOSA-N -1 1 320.389 1.652 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)c(C)c1 ZINC000798811903 700098725 /nfs/dbraw/zinc/09/87/25/700098725.db2.gz MSKKHZSEUVZWRW-AWEZNQCLSA-N -1 1 312.435 1.695 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)[C@@H]1CCCC[C@H]1C(=O)N1CCCC1 ZINC000112281276 696649821 /nfs/dbraw/zinc/64/98/21/696649821.db2.gz FZHQQNDFQKMGEV-RBSFLKMASA-N -1 1 324.421 1.990 20 0 DDADMM CC[C@@H](C)OCC(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799023356 700110544 /nfs/dbraw/zinc/11/05/44/700110544.db2.gz ZJFMUVVOFRIBOJ-SNVBAGLBSA-N -1 1 324.343 1.719 20 0 DDADMM CC[C@@H](C)[C@@H](C)C(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000131991486 696809996 /nfs/dbraw/zinc/80/99/96/696809996.db2.gz VGPZXCZBZQHICS-NXEZZACHSA-N -1 1 306.366 1.494 20 0 DDADMM CCOC(=O)N1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000143182531 696869213 /nfs/dbraw/zinc/86/92/13/696869213.db2.gz HJKRWBSAWGRZKH-UHFFFAOYSA-N -1 1 312.753 1.960 20 0 DDADMM O=C(N[C@H]1CCO[C@@H](C2CC2)C1)c1nnc2ccccc2c1O ZINC000156293021 696960756 /nfs/dbraw/zinc/96/07/56/696960756.db2.gz ABGOCVJLDGMCNB-SMDDNHRTSA-N -1 1 313.357 1.611 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982465621 697134260 /nfs/dbraw/zinc/13/42/60/697134260.db2.gz JGFBOEQDFDOPQK-RYUDHWBXSA-N -1 1 305.378 1.412 20 0 DDADMM O=C(CCC1CC1)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982558480 697150185 /nfs/dbraw/zinc/15/01/85/697150185.db2.gz RXWXOOIEECRSNX-CYBMUJFWSA-N -1 1 317.389 1.556 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)c2ccc(C#N)c(Cl)c2)n[nH]1 ZINC000160780194 697320017 /nfs/dbraw/zinc/32/00/17/697320017.db2.gz FTGIOYBZNOBAAY-UHFFFAOYSA-N -1 1 303.709 1.318 20 0 DDADMM CCc1ccc(S(=O)(=O)NC[C@H](C)N(C)C)cc1C(=O)[O-] ZINC000162287732 697327488 /nfs/dbraw/zinc/32/74/88/697327488.db2.gz OVPKJNRUTHRFLY-JTQLQIEISA-N -1 1 314.407 1.176 20 0 DDADMM CCN(C[C@@H]1CCCO1)C(=O)c1nnc2ccccc2c1O ZINC000171765870 697361633 /nfs/dbraw/zinc/36/16/33/697361633.db2.gz VCHXTCRADWYDJI-NSHDSACASA-N -1 1 301.346 1.564 20 0 DDADMM CN(Cc1cc(=O)oc2cc([O-])ccc12)C[C@H](O)C(F)(F)F ZINC000181285775 697457280 /nfs/dbraw/zinc/45/72/80/697457280.db2.gz SRGGSFFMMNENOT-LBPRGKRZSA-N -1 1 317.263 1.854 20 0 DDADMM O=C(Nc1ccc2c(c1)Cc1ccccc1-2)NN1CC(=O)[N-]C1=O ZINC000190922997 697599136 /nfs/dbraw/zinc/59/91/36/697599136.db2.gz TXPXQBLACCIQPX-UHFFFAOYSA-N -1 1 322.324 1.846 20 0 DDADMM COCCOc1cccc(CC(=O)[N-]O[C@@H]2CCCCO2)c1 ZINC000772439861 697631879 /nfs/dbraw/zinc/63/18/79/697631879.db2.gz FYFOXKYSGDTMEU-MRXNPFEDSA-N -1 1 309.362 1.829 20 0 DDADMM C[C@@H](O)c1ccc(CNC(=O)c2c[n-]c(=O)c([N+](=O)[O-])c2)cc1 ZINC000193453842 697667519 /nfs/dbraw/zinc/66/75/19/697667519.db2.gz CTBKGJVCHRBYEL-SECBINFHSA-N -1 1 317.301 1.679 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1c[nH]c(=S)[nH]1 ZINC000194573742 697714712 /nfs/dbraw/zinc/71/47/12/697714712.db2.gz GULZEWVPAUHMLN-UHFFFAOYSA-N -1 1 315.152 1.814 20 0 DDADMM CCC1N=NC(=S)N1CCNC(=O)c1c([O-])cccc1F ZINC000773135282 697717505 /nfs/dbraw/zinc/71/75/05/697717505.db2.gz BCWIHAVARUUIOS-UHFFFAOYSA-N -1 1 310.354 1.404 20 0 DDADMM O=C(CC[C@@H]1CCCCO1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773157516 697720402 /nfs/dbraw/zinc/72/04/02/697720402.db2.gz CRLIIDJHBVTIHG-WDEREUQCSA-N -1 1 311.411 1.166 20 0 DDADMM Cc1ccc(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1 ZINC000773158413 697720716 /nfs/dbraw/zinc/72/07/16/697720716.db2.gz OFLAJEHMTBTJCY-LBPRGKRZSA-N -1 1 303.391 1.367 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)COC(=O)c1ccc([O-])cc1F ZINC000773574666 697782988 /nfs/dbraw/zinc/78/29/88/697782988.db2.gz TWXMMQQVPGPHHE-UHFFFAOYSA-N -1 1 321.308 1.677 20 0 DDADMM CCn1cc(NC(=O)c2ccc3n[n-]c(=S)n3c2)ccc1=O ZINC000773828799 697810048 /nfs/dbraw/zinc/81/00/48/697810048.db2.gz DIPJCXBAJUBWKZ-UHFFFAOYSA-N -1 1 315.358 1.452 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)C[C@@H]2CCOC2)o1 ZINC000774713164 697917350 /nfs/dbraw/zinc/91/73/50/697917350.db2.gz DSHLNZZZCBKOPJ-UWVGGRQHSA-N -1 1 317.363 1.160 20 0 DDADMM C[C@H](Cc1ccccc1)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776563982 698117215 /nfs/dbraw/zinc/11/72/15/698117215.db2.gz LLBBFCCMWSMART-YPMHNXCESA-N -1 1 317.418 1.695 20 0 DDADMM Cc1nonc1[N-]C(=O)c1cnn(-c2cccc(Cl)c2)n1 ZINC000776873807 698144611 /nfs/dbraw/zinc/14/46/11/698144611.db2.gz TYTQQDVEVUQKEK-UHFFFAOYSA-N -1 1 304.697 1.864 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](O)c2c(F)cccc2F)c([O-])c1 ZINC000778994992 698389642 /nfs/dbraw/zinc/38/96/42/698389642.db2.gz AXDWREHLDSUQLM-GFCCVEGCSA-N -1 1 308.284 1.837 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)C=Cc2ccc(F)cc2)n1 ZINC000254998228 698410323 /nfs/dbraw/zinc/41/03/23/698410323.db2.gz CPGVIGNMRFTOJQ-CLFYSBASSA-N -1 1 324.337 1.542 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC23CCC(CC2)C3)o1 ZINC000800458401 700226484 /nfs/dbraw/zinc/22/64/84/700226484.db2.gz LYTOPDZTHQUFEO-UHFFFAOYSA-N -1 1 313.375 1.925 20 0 DDADMM CC[C@@H](CO)C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000779734743 698458136 /nfs/dbraw/zinc/45/81/36/698458136.db2.gz XOQQMOOULKAELQ-VIFPVBQESA-N -1 1 316.379 1.024 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@@H]1[C@@H]2CCCO[C@H]12 ZINC000780129972 698490995 /nfs/dbraw/zinc/49/09/95/698490995.db2.gz HFMZSFQFSJDKEC-MAZHCROVSA-N -1 1 316.309 1.967 20 0 DDADMM CC[C@H](NC(=O)NC[C@@H](c1cccc(F)c1)N(C)C)C(=O)[O-] ZINC000780359449 698510606 /nfs/dbraw/zinc/51/06/06/698510606.db2.gz MMSKLOFECYBRCU-STQMWFEESA-N -1 1 311.357 1.591 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000780361465 698511211 /nfs/dbraw/zinc/51/12/11/698511211.db2.gz XMDSMKGXQYOIFP-ZDUSSCGKSA-N -1 1 311.357 1.545 20 0 DDADMM CC[C@H](NC(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000780379932 698513175 /nfs/dbraw/zinc/51/31/75/698513175.db2.gz AAINDWNQFULGOO-AWEZNQCLSA-N -1 1 305.378 1.207 20 0 DDADMM CCC[C@H](NC(=O)NC[C@H](c1ccsc1)N(C)C)C(=O)[O-] ZINC000780387046 698514205 /nfs/dbraw/zinc/51/42/05/698514205.db2.gz YXQJBWSWJGTGRR-NWDGAFQWSA-N -1 1 313.423 1.903 20 0 DDADMM O=C([O-])[C@@H](NC(=O)N[C@H]1CCCc2cn[nH]c21)c1ccccc1 ZINC000780682239 698547760 /nfs/dbraw/zinc/54/77/60/698547760.db2.gz AGDXYEMNWLBUBA-JSGCOSHPSA-N -1 1 314.345 1.912 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H]1CCC=CO1 ZINC000781474309 698623393 /nfs/dbraw/zinc/62/33/93/698623393.db2.gz DGXZXOXUUIECLB-LBPRGKRZSA-N -1 1 316.361 1.403 20 0 DDADMM O=C([N-]CCC[S@](=O)c1ccc(CO)cc1)C(F)(F)F ZINC000783724584 698871138 /nfs/dbraw/zinc/87/11/38/698871138.db2.gz IGJHKUTZSQOXGS-FQEVSTJZSA-N -1 1 309.309 1.355 20 0 DDADMM CC(=O)NC(C)(C)c1noc(-c2cc3c(cc2[O-])OCO3)n1 ZINC000350569451 698871766 /nfs/dbraw/zinc/87/17/66/698871766.db2.gz MKWLQYPRIRMKNK-UHFFFAOYSA-N -1 1 305.290 1.542 20 0 DDADMM Cc1nc(NC[C@H]2C[N@@H+](Cc3ccccc3)CCO2)cc(=O)[nH]1 ZINC000381836527 699065927 /nfs/dbraw/zinc/06/59/27/699065927.db2.gz AQIZNCAQIYCHNN-HNNXBMFYSA-N -1 1 314.389 1.804 20 0 DDADMM CCC(=O)N1CC(C)(C)CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000991040395 699214754 /nfs/dbraw/zinc/21/47/54/699214754.db2.gz JQQFVQDGACPHBK-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703057411 699235367 /nfs/dbraw/zinc/23/53/67/699235367.db2.gz CLVFTBNFTQTVPY-SFYZADRCSA-N -1 1 308.762 1.577 20 0 DDADMM O=C(NCC[C@@H]1CCCS1(=O)=O)c1ccc([O-])cc1F ZINC000714377239 699271373 /nfs/dbraw/zinc/27/13/73/699271373.db2.gz UKDMDSXRBHWUOQ-JTQLQIEISA-N -1 1 301.339 1.228 20 0 DDADMM O=C(NCC[C@H]1CCCS1(=O)=O)c1cc(Cl)ccc1[O-] ZINC000714383510 699271378 /nfs/dbraw/zinc/27/13/78/699271378.db2.gz UQTKOTSOAKWMDW-SNVBAGLBSA-N -1 1 317.794 1.743 20 0 DDADMM C[C@@H](COCc1ccccc1)CN1CCN(C(=O)C(=O)[O-])CC1 ZINC000719408984 699301969 /nfs/dbraw/zinc/30/19/69/699301969.db2.gz VTIJPTINBNUPQA-CQSZACIVSA-N -1 1 320.389 1.068 20 0 DDADMM C/C=C(/CC)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000789240066 699372711 /nfs/dbraw/zinc/37/27/11/699372711.db2.gz WPJJVKVQNJTRGR-WCIBSUBMSA-N -1 1 305.330 1.851 20 0 DDADMM C/C=C(\CC)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000789240065 699372723 /nfs/dbraw/zinc/37/27/23/699372723.db2.gz WPJJVKVQNJTRGR-NYYWCZLTSA-N -1 1 305.330 1.851 20 0 DDADMM CC(C)(C)c1csc(NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC000727848664 699428249 /nfs/dbraw/zinc/42/82/49/699428249.db2.gz QKTKQTIODJPHKA-UHFFFAOYSA-N -1 1 322.390 1.319 20 0 DDADMM Cc1ccc([C@H](C)N(C)C(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000727849646 699428293 /nfs/dbraw/zinc/42/82/93/699428293.db2.gz IYSLCRGYGJOTMT-ZDUSSCGKSA-N -1 1 315.373 1.455 20 0 DDADMM COC(=O)c1cncc(C(=O)Nc2nc(Cl)ccc2[O-])c1 ZINC000790056418 699438513 /nfs/dbraw/zinc/43/85/13/699438513.db2.gz KSGPFMFUPPTHOW-UHFFFAOYSA-N -1 1 307.693 1.875 20 0 DDADMM C[C@@H](Cc1ccc(F)cc1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732090853 699546149 /nfs/dbraw/zinc/54/61/49/699546149.db2.gz OLQABAAFUDZCET-GWCFXTLKSA-N -1 1 319.340 1.118 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCC1CCOCC1 ZINC000733008369 699576865 /nfs/dbraw/zinc/57/68/65/699576865.db2.gz JMHUITLPAUZGEP-UHFFFAOYSA-N -1 1 319.404 1.703 20 0 DDADMM CC(C)(C)C(=O)Nc1ccccc1C(=O)NCc1nn[n-]n1 ZINC000733156237 699581365 /nfs/dbraw/zinc/58/13/65/699581365.db2.gz VHWZIBHDOYGAGP-UHFFFAOYSA-N -1 1 302.338 1.114 20 0 DDADMM C[C@H]1CCCC[C@H]1CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000733989564 699630988 /nfs/dbraw/zinc/63/09/88/699630988.db2.gz CGJPIERSGVMSLD-STQMWFEESA-N -1 1 307.394 1.259 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H](C)C(C)(C)C)o1 ZINC000734440151 699651182 /nfs/dbraw/zinc/65/11/82/699651182.db2.gz PYBKFDAWINWXLU-VIFPVBQESA-N -1 1 302.396 1.600 20 0 DDADMM COc1ccnc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1 ZINC000734909969 699668379 /nfs/dbraw/zinc/66/83/79/699668379.db2.gz MJITVZUBTUOIHS-UHFFFAOYSA-N -1 1 314.297 1.204 20 0 DDADMM C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1c([O-])cccc1Cl ZINC000736709637 699730535 /nfs/dbraw/zinc/73/05/35/699730535.db2.gz BQJNMMVLTVGBPS-BDAKNGLRSA-N -1 1 317.794 1.693 20 0 DDADMM CCCN(C)CC(=O)Nc1ccccc1-n1ccc(C(=O)[O-])n1 ZINC000737825229 699745615 /nfs/dbraw/zinc/74/56/15/699745615.db2.gz BTXSDEORMMOWIZ-UHFFFAOYSA-N -1 1 316.361 1.851 20 0 DDADMM CC(C)CCNC(=O)[C@H](C)N1CCC([C@H](C)NC(=O)[O-])CC1 ZINC000738817842 699766485 /nfs/dbraw/zinc/76/64/85/699766485.db2.gz HFDQCUGDVIJWJB-STQMWFEESA-N -1 1 313.442 1.905 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ccc(Cl)nc2)n1 ZINC000795470265 699870450 /nfs/dbraw/zinc/87/04/50/699870450.db2.gz RSKOWVGANYYYSI-UHFFFAOYSA-N -1 1 315.738 1.219 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cc(-n4cccc4)ccn3)ccnc1-2 ZINC000808784771 701620140 /nfs/dbraw/zinc/62/01/40/701620140.db2.gz YIBAXQOANSJCFX-UHFFFAOYSA-N -1 1 318.340 1.780 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nnc(C3CC3)n2C)[n-]1 ZINC000796343371 699927970 /nfs/dbraw/zinc/92/79/70/699927970.db2.gz ARCBDWRFZGYNBP-UHFFFAOYSA-N -1 1 304.306 1.164 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nnc3n2CCCC3)[n-]1 ZINC000796344958 699928094 /nfs/dbraw/zinc/92/80/94/699928094.db2.gz MKKUXSIOJSRSLY-UHFFFAOYSA-N -1 1 304.306 1.086 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N2[C@@H](C)CCC[C@@H]2C)[n-]1 ZINC000796347197 699928494 /nfs/dbraw/zinc/92/84/94/699928494.db2.gz XTNQBZHRYZXYOA-QWRGUYRKSA-N -1 1 322.361 1.748 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)NC2CCCC2)[n-]1 ZINC000796359973 699929193 /nfs/dbraw/zinc/92/91/93/699929193.db2.gz CEVGRCJBTNZBHP-VIFPVBQESA-N -1 1 308.334 1.405 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)NCC2CCCCC2)[n-]1 ZINC000796364291 699929510 /nfs/dbraw/zinc/92/95/10/699929510.db2.gz CYXRCUFMHRXVHM-UHFFFAOYSA-N -1 1 322.361 1.655 20 0 DDADMM CC(=O)NC(C)(C)C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000744934587 699966044 /nfs/dbraw/zinc/96/60/44/699966044.db2.gz QLDWFRCSZXANEQ-UHFFFAOYSA-N -1 1 307.346 1.595 20 0 DDADMM C[C@H]1Oc2ccccc2[C@H]1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000808861752 701624320 /nfs/dbraw/zinc/62/43/20/701624320.db2.gz MZWAOKDQHIEIHM-BMIGLBTASA-N -1 1 323.356 1.986 20 0 DDADMM Cc1ccc([C@H](O)C[N-]S(=O)(=O)c2cccc(F)c2F)o1 ZINC000751446867 700290438 /nfs/dbraw/zinc/29/04/38/700290438.db2.gz NBTIDVGYRZYNTF-SNVBAGLBSA-N -1 1 317.313 1.878 20 0 DDADMM O=C(OC[C@H]1COC(=O)O1)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801325423 700297178 /nfs/dbraw/zinc/29/71/78/700297178.db2.gz RGGPTLQWEWBWPB-JTQLQIEISA-N -1 1 322.248 1.409 20 0 DDADMM CC[C@H](OC(=O)c1nn(-c2ccc(F)cc2)cc1[O-])C(=O)OC ZINC000801326444 700297717 /nfs/dbraw/zinc/29/77/17/700297717.db2.gz SBWJHYWMUAGELB-LBPRGKRZSA-N -1 1 322.292 1.825 20 0 DDADMM CCOC(=O)COC(=O)c1nn(-c2ccc(C)cc2)cc1[O-] ZINC000801366262 700300976 /nfs/dbraw/zinc/30/09/76/700300976.db2.gz DDEQTZFGEZQQPD-UHFFFAOYSA-N -1 1 304.302 1.606 20 0 DDADMM CCNC(=O)COC(=O)c1nn(-c2cccc(C)c2C)cc1[O-] ZINC000801418125 700305903 /nfs/dbraw/zinc/30/59/03/700305903.db2.gz VRZWOOKQBJKHGJ-UHFFFAOYSA-N -1 1 317.345 1.488 20 0 DDADMM C[S@](=O)CCNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000801618774 700327393 /nfs/dbraw/zinc/32/73/93/700327393.db2.gz ZUESNJWMZFAONK-FQEVSTJZSA-N -1 1 312.778 1.702 20 0 DDADMM O=S(=O)([N-]c1ccc2nccn2c1)c1cccc2nonc21 ZINC000753923960 700467432 /nfs/dbraw/zinc/46/74/32/700467432.db2.gz PAJKZDKONQYVPL-UHFFFAOYSA-N -1 1 315.314 1.671 20 0 DDADMM Cc1ccc(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)o1 ZINC000754333104 700496600 /nfs/dbraw/zinc/49/66/00/700496600.db2.gz ODQVOTMDTXHGSL-UHFFFAOYSA-N -1 1 301.298 1.630 20 0 DDADMM CCc1ccc(OS(=O)(=O)c2n[n-]c(C)c2C(=O)OC)cc1 ZINC000755936030 700593785 /nfs/dbraw/zinc/59/37/85/700593785.db2.gz GMXZAPSXFZSVEK-UHFFFAOYSA-N -1 1 324.358 1.835 20 0 DDADMM COC[C@@H](C)CC(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000756652961 700633633 /nfs/dbraw/zinc/63/36/33/700633633.db2.gz IUFFYZRKQFPJEB-NSHDSACASA-N -1 1 323.345 1.167 20 0 DDADMM O=S(=O)([N-]Cc1nccnc1Cl)c1cc(F)ccc1F ZINC000809380208 701661802 /nfs/dbraw/zinc/66/18/02/701661802.db2.gz XSCRCIFZLKQTQQ-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM c1nc(C2OCCO2)sc1CNC1(c2nnn[n-]2)CCCC1 ZINC000809632243 701676528 /nfs/dbraw/zinc/67/65/28/701676528.db2.gz LYBNDTXEYOIKMG-UHFFFAOYSA-N -1 1 322.394 1.261 20 0 DDADMM Cc1cc(C)cc(CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000765092661 700998780 /nfs/dbraw/zinc/99/87/80/700998780.db2.gz AFNVWYARIBNALV-UHFFFAOYSA-N -1 1 315.373 1.202 20 0 DDADMM Cn1[n-]c(COC(=O)CCc2c[nH]c3ccccc23)nc1=O ZINC000765387587 701009200 /nfs/dbraw/zinc/00/92/00/701009200.db2.gz WHWGQUXCHMAXFF-UHFFFAOYSA-N -1 1 300.318 1.266 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc3ccc(Cl)cc3[nH]2)nc1=O ZINC000765434668 701012554 /nfs/dbraw/zinc/01/25/54/701012554.db2.gz MSIJOCXGIAQDKN-UHFFFAOYSA-N -1 1 306.709 1.600 20 0 DDADMM COc1ccc(C2(C(=O)OCc3nc(=O)n(C)[n-]3)CCC2)cc1 ZINC000765461089 701013800 /nfs/dbraw/zinc/01/38/00/701013800.db2.gz IWEKFZBQUWPFQZ-UHFFFAOYSA-N -1 1 317.345 1.282 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc(OC3CCCC3)c2)nc1=O ZINC000765473852 701014606 /nfs/dbraw/zinc/01/46/06/701014606.db2.gz ONUGRIUYSPINAB-UHFFFAOYSA-N -1 1 317.345 1.787 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc([C@@H]3CCCOC3)cc2)nc1=O ZINC000765517741 701017783 /nfs/dbraw/zinc/01/77/83/701017783.db2.gz YWTVIZQQPQAWLE-CYBMUJFWSA-N -1 1 317.345 1.359 20 0 DDADMM O=C(NCc1ccc(-n2cncn2)nc1)c1ccc([O-])c(F)c1 ZINC000767296999 701095831 /nfs/dbraw/zinc/09/58/31/701095831.db2.gz MOGLMXJICZPSCO-UHFFFAOYSA-N -1 1 313.292 1.437 20 0 DDADMM CC(=O)CCN(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000768340381 701169850 /nfs/dbraw/zinc/16/98/50/701169850.db2.gz VBZDXPYCRUDZKL-UHFFFAOYSA-N -1 1 304.350 1.032 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1COC(C)(C)O1 ZINC000769284710 701239378 /nfs/dbraw/zinc/23/93/78/701239378.db2.gz YEGJJKYTTIBCHH-SNVBAGLBSA-N -1 1 323.393 1.669 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCO[C@]2(CCOC2)C1 ZINC000769624119 701250854 /nfs/dbraw/zinc/25/08/54/701250854.db2.gz OQRQWOAXTXUXLR-MRXNPFEDSA-N -1 1 321.377 1.246 20 0 DDADMM COc1ccc(C=O)cc1Oc1nc2c(cnn2C)c(=O)[n-]1 ZINC000770945584 701301404 /nfs/dbraw/zinc/30/14/04/701301404.db2.gz OYWCZWJVDRRFAQ-UHFFFAOYSA-N -1 1 300.274 1.682 20 0 DDADMM O=C(OCc1ccc(S(=O)(=O)C(F)F)cc1)c1cn[n-]n1 ZINC000805603437 701397306 /nfs/dbraw/zinc/39/73/06/701397306.db2.gz JYHNBQRSRFKIFE-UHFFFAOYSA-N -1 1 317.273 1.158 20 0 DDADMM CC[C@H](OC(=O)c1cn[n-]n1)C(=O)c1ccc(NC(C)=O)cc1 ZINC000805604773 701397779 /nfs/dbraw/zinc/39/77/79/701397779.db2.gz QQYDTXCPBHRHAO-ZDUSSCGKSA-N -1 1 316.317 1.581 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)Nc1ccc(OC(F)F)cc1 ZINC000805606395 701399151 /nfs/dbraw/zinc/39/91/51/701399151.db2.gz WTEMHNQRQHAWTE-UHFFFAOYSA-N -1 1 312.232 1.202 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)C1(C)CC1)N1CCCCC1 ZINC000806028011 701421828 /nfs/dbraw/zinc/42/18/28/701421828.db2.gz WRMGRHIJERHROD-LBPRGKRZSA-N -1 1 302.440 1.495 20 0 DDADMM CC[C@H](OC)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807707110 701484385 /nfs/dbraw/zinc/48/43/85/701484385.db2.gz KXWRONZKTVQOFM-NAKRPEOUSA-N -1 1 324.343 1.715 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)c1c[nH]nc1Cl ZINC000830944884 706607722 /nfs/dbraw/zinc/60/77/22/706607722.db2.gz RMBWVCFPZOWYSP-SFGNSQDASA-N -1 1 317.798 1.299 20 0 DDADMM CCOC(=O)[C@H](CC=C(C)C)[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC000867761230 701735324 /nfs/dbraw/zinc/73/53/24/701735324.db2.gz YUKPLFRFLCTHCB-STQMWFEESA-N -1 1 319.423 1.373 20 0 DDADMM CCCCCCOCC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830959280 706611458 /nfs/dbraw/zinc/61/14/58/706611458.db2.gz LQGPIEAODCWMCB-UHFFFAOYSA-N -1 1 323.393 1.468 20 0 DDADMM C[C@@H]1CCC[C@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000830959842 706611608 /nfs/dbraw/zinc/61/16/08/706611608.db2.gz UUGPGLLTHTZUGX-MNOVXSKESA-N -1 1 305.378 1.697 20 0 DDADMM CC(C)(C)N1CC[C@](F)(C(=O)[N-]S(=O)(=O)C2CCC2)C1 ZINC000810722159 701829387 /nfs/dbraw/zinc/82/93/87/701829387.db2.gz OBBDWYMJCRAHTK-CYBMUJFWSA-N -1 1 306.403 1.197 20 0 DDADMM Cn1ncnc1N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000866125930 706626622 /nfs/dbraw/zinc/62/66/22/706626622.db2.gz RRAKDPYNAGOBGY-UHFFFAOYSA-N -1 1 307.785 1.496 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(O)cc(C(F)(F)F)c2)nc1=O ZINC000811308616 701956029 /nfs/dbraw/zinc/95/60/29/701956029.db2.gz QFNVAZXTFZEXRZ-UHFFFAOYSA-N -1 1 317.223 1.190 20 0 DDADMM C[C@H]1[C@@H](CO)CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000840556303 702151745 /nfs/dbraw/zinc/15/17/45/702151745.db2.gz CHLCKDMQIXXIIG-GXSJLCMTSA-N -1 1 306.391 1.251 20 0 DDADMM O=C(NCC1=CCCCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868657716 702250220 /nfs/dbraw/zinc/25/02/20/702250220.db2.gz HKPSDNJUWQPPBN-UHFFFAOYSA-N -1 1 318.377 1.555 20 0 DDADMM CC1(C)C[C@@H]1NC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868703274 702276501 /nfs/dbraw/zinc/27/65/01/702276501.db2.gz UEPXAJQNMKNIFP-UFBFGSQYSA-N -1 1 307.316 1.637 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccnc(C(F)(F)F)c1)c1nn[n-]n1 ZINC000813515929 702365519 /nfs/dbraw/zinc/36/55/19/702365519.db2.gz DKCKJOKZHULXHW-SSDOTTSWSA-N -1 1 314.271 1.489 20 0 DDADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)[N-]OCCC1CC1 ZINC000817403798 702371745 /nfs/dbraw/zinc/37/17/45/702371745.db2.gz PDGDXXRNZLGWLB-SNVBAGLBSA-N -1 1 316.361 1.529 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(NC(C)=O)CCCCC2)n1 ZINC000841221830 702393696 /nfs/dbraw/zinc/39/36/96/702393696.db2.gz KTKHPFKYLKCZSG-UHFFFAOYSA-N -1 1 322.365 1.364 20 0 DDADMM CC(C)Cc1cc(N2CC(C[N-]C(=O)C(F)(F)F)C2)ncn1 ZINC000866294120 706667216 /nfs/dbraw/zinc/66/72/16/706667216.db2.gz LMYADLONWBLPSZ-UHFFFAOYSA-N -1 1 316.327 1.790 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)[N-]n1cnn(CC)c1=O)C(F)(F)F ZINC000879383487 706668543 /nfs/dbraw/zinc/66/85/43/706668543.db2.gz AAZQZCZPMRRYML-YUMQZZPRSA-N -1 1 309.292 1.295 20 0 DDADMM CC(C)=CCOc1cccc(CC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000841382181 702430354 /nfs/dbraw/zinc/43/03/54/702430354.db2.gz SRXGXZYSBIZYPR-UHFFFAOYSA-N -1 1 317.345 1.157 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2sccc2Cl)OCCCO1 ZINC000831259390 706671483 /nfs/dbraw/zinc/67/14/83/706671483.db2.gz KUHISZCQUZEGKV-UHFFFAOYSA-N -1 1 311.812 1.833 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)Cc2ccccc2Cl)C(=O)O1 ZINC000841524093 702479508 /nfs/dbraw/zinc/47/95/08/702479508.db2.gz MZBQRVUPVVXQTB-KCJUWKMLSA-N -1 1 303.767 1.463 20 0 DDADMM CC[C@@H]1C[C@@H](C)CN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869213365 702512531 /nfs/dbraw/zinc/51/25/31/702512531.db2.gz IQDOYRAEQGVLMC-GHMZBOCLSA-N -1 1 320.393 1.585 20 0 DDADMM C[C@H]1CCC[C@@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869414088 702599578 /nfs/dbraw/zinc/59/95/78/702599578.db2.gz YPBGFLAPDKCZJD-VHSXEESVSA-N -1 1 323.311 1.994 20 0 DDADMM CC[C@H](Nc1cc(C)cc(C[N-]C(=O)C(F)(F)F)c1)C(N)=O ZINC000842157574 702677697 /nfs/dbraw/zinc/67/76/97/702677697.db2.gz SBVYBNJZHXXFLX-NSHDSACASA-N -1 1 317.311 1.849 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C(C)(C)C(F)F)CCC1 ZINC000843014783 702803660 /nfs/dbraw/zinc/80/36/60/702803660.db2.gz QTNDOCNQYQAKPZ-UHFFFAOYSA-N -1 1 312.382 1.208 20 0 DDADMM CC(C)C[C@H](C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014975 702803829 /nfs/dbraw/zinc/80/38/29/702803829.db2.gz JYTORQNIJULJHY-LBPRGKRZSA-N -1 1 304.456 1.599 20 0 DDADMM Cc1cc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)oc1C ZINC000843017015 702804211 /nfs/dbraw/zinc/80/42/11/702804211.db2.gz YJBUHTRWQDJBEC-UHFFFAOYSA-N -1 1 314.407 1.440 20 0 DDADMM Cc1ccc(CC(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)o1 ZINC000843017014 702804286 /nfs/dbraw/zinc/80/42/86/702804286.db2.gz YGGVNPLPILZDEO-UHFFFAOYSA-N -1 1 314.407 1.061 20 0 DDADMM CCCCO[C@H](C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843019836 702804560 /nfs/dbraw/zinc/80/45/60/702804560.db2.gz YVJSSLJOGPMSMD-GFCCVEGCSA-N -1 1 320.455 1.122 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1ccccc1OC ZINC000866483347 706710497 /nfs/dbraw/zinc/71/04/97/706710497.db2.gz FTWWHWLSRYWMAF-NSHDSACASA-N -1 1 320.436 1.708 20 0 DDADMM COC(=O)[C@H]1C[C@@H](O)CN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000844120024 702974516 /nfs/dbraw/zinc/97/45/16/702974516.db2.gz RUVXHTKSXORBGC-DTWKUNHWSA-N -1 1 313.737 1.042 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CC2(CCC2)Oc2ccccc21 ZINC000866543072 706725458 /nfs/dbraw/zinc/72/54/58/706725458.db2.gz LFULYTDPOHVFJM-LBPRGKRZSA-N -1 1 313.361 1.695 20 0 DDADMM CC(=O)c1cccc([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)c1 ZINC000882659646 707735662 /nfs/dbraw/zinc/73/56/62/707735662.db2.gz FMORBGCGFQNILB-LJQANCHMSA-N -1 1 319.408 1.120 20 0 DDADMM O=C(CC1(Cn2cnnn2)CCCCC1)[N-]OCC(F)F ZINC000845944738 703218666 /nfs/dbraw/zinc/21/86/66/703218666.db2.gz SUTUTBFVWFBJMJ-UHFFFAOYSA-N -1 1 303.313 1.327 20 0 DDADMM CC(=Cc1cccnc1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847090199 703376312 /nfs/dbraw/zinc/37/63/12/703376312.db2.gz HWNQWPAGEWJSDC-ZWXCPPHNSA-N -1 1 314.345 1.980 20 0 DDADMM CCCN(C)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848507819 703559189 /nfs/dbraw/zinc/55/91/89/703559189.db2.gz XSVSTQIGOGACEZ-NXEZZACHSA-N -1 1 309.332 1.980 20 0 DDADMM CCc1cc(CNC(=O)N[C@H](C)[C@@H]2C[C@H](C(=O)[O-])C2(C)C)[nH]n1 ZINC000848580243 703565157 /nfs/dbraw/zinc/56/51/57/703565157.db2.gz ZMOVEZGRGZDFJH-JIMOISOXSA-N -1 1 322.409 1.907 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1Cc1cnc(N)s1 ZINC000848715514 703579684 /nfs/dbraw/zinc/57/96/84/703579684.db2.gz CLDXBQBLFQCWBH-VXNVDRBHSA-N -1 1 322.356 1.757 20 0 DDADMM CCCn1nccc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000850241480 703716700 /nfs/dbraw/zinc/71/67/00/703716700.db2.gz HRTPJMHBXIDOSN-UHFFFAOYSA-N -1 1 307.272 1.519 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2CCCC3(C2)OCCO3)[n-]1 ZINC000870628748 704090806 /nfs/dbraw/zinc/09/08/06/704090806.db2.gz AZQZTXLKXLWNLH-JTQLQIEISA-N -1 1 309.318 1.644 20 0 DDADMM CCCCOC(=O)CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852758434 704117465 /nfs/dbraw/zinc/11/74/65/704117465.db2.gz HSURATMIUFUYQX-MNOVXSKESA-N -1 1 324.343 1.719 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]C(=O)C(F)(F)C(F)F)[C@H]1n1cccn1 ZINC000819397107 704120436 /nfs/dbraw/zinc/12/04/36/704120436.db2.gz JGPWURJGYPEUMX-IWSPIJDZSA-N -1 1 309.263 1.618 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCN(C)C(=O)C1 ZINC000819620988 704151978 /nfs/dbraw/zinc/15/19/78/704151978.db2.gz XEIUOZUMZFDASQ-LLVKDONJSA-N -1 1 320.393 1.212 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)s1 ZINC000819681099 704157697 /nfs/dbraw/zinc/15/76/97/704157697.db2.gz SPWQOILDEFFLAP-IHWYPQMZSA-N -1 1 320.374 1.960 20 0 DDADMM CCc1nnc(N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)n1CC ZINC000871062451 704211713 /nfs/dbraw/zinc/21/17/13/704211713.db2.gz DYQMSENPGHBZBG-LBPRGKRZSA-N -1 1 319.331 1.508 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2ncc(C)o2)c1 ZINC000820462231 704283193 /nfs/dbraw/zinc/28/31/93/704283193.db2.gz HJWWXQBQNYGLQK-NRFANRHFSA-N -1 1 309.343 1.843 20 0 DDADMM C[C@H]1CCCC[C@@H]1OCC[N-]S(=O)(=O)N=S(C)(C)=O ZINC000820786905 704330988 /nfs/dbraw/zinc/33/09/88/704330988.db2.gz ZVOCVSLDQVBARV-QWRGUYRKSA-N -1 1 312.457 1.144 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC(CN2CCOCC2)C1 ZINC000854589194 704422847 /nfs/dbraw/zinc/42/28/47/704422847.db2.gz DKEGCTVQMXBXQA-UHFFFAOYSA-N -1 1 310.781 1.450 20 0 DDADMM CCN(OC)C(=O)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000855008670 704465932 /nfs/dbraw/zinc/46/59/32/704465932.db2.gz UDDUVPQABZZQCP-UHFFFAOYSA-N -1 1 303.318 1.080 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)C(O)[C@H](C)C1 ZINC000855691240 704497741 /nfs/dbraw/zinc/49/77/41/704497741.db2.gz ONACEFWXKMEBBY-NXEZZACHSA-N -1 1 307.394 1.703 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCc2nccnc2C1 ZINC000856241927 704519303 /nfs/dbraw/zinc/51/93/03/704519303.db2.gz JOTPAXIYGHNVIY-UHFFFAOYSA-N -1 1 313.361 1.603 20 0 DDADMM CSc1nc(C)c(CCC(=O)NOCC2CCC2)c(=O)[n-]1 ZINC000856344844 704523787 /nfs/dbraw/zinc/52/37/87/704523787.db2.gz RSQAUFHILIDWDU-UHFFFAOYSA-N -1 1 311.407 1.993 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC(C2CS(=O)(=O)C2)C1 ZINC000857276570 704573204 /nfs/dbraw/zinc/57/32/04/704573204.db2.gz RDQWYKPXAGIIMC-UHFFFAOYSA-N -1 1 315.778 1.162 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C(C)=C3CCC3)nc2n1 ZINC000857685345 704613582 /nfs/dbraw/zinc/61/35/82/704613582.db2.gz SFSAICLUIKFSLY-UHFFFAOYSA-N -1 1 301.350 1.809 20 0 DDADMM C[C@H]1CC[C@@H]([N-]S(=O)(=O)c2cnn(C)c2C(F)(F)F)C1 ZINC000867151655 706910447 /nfs/dbraw/zinc/91/04/47/706910447.db2.gz LRHUGCBFXZVNQI-JGVFFNPUSA-N -1 1 311.329 1.906 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1ccc(Cl)cn1 ZINC000867210294 706925880 /nfs/dbraw/zinc/92/58/80/706925880.db2.gz QTYJYEFDRJNUGP-SSDOTTSWSA-N -1 1 311.816 1.358 20 0 DDADMM O=c1nc(NC[C@@H]2CCC3(CCOCC3)[C@H]2O)cc(Cl)[n-]1 ZINC000858532506 704723098 /nfs/dbraw/zinc/72/30/98/704723098.db2.gz KZXHRFFNMOHVGU-CABZTGNLSA-N -1 1 313.785 1.815 20 0 DDADMM CCO[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000832692582 706964121 /nfs/dbraw/zinc/96/41/21/706964121.db2.gz VZEOGHSQYYEYQR-RKDXNWHRSA-N -1 1 307.803 1.309 20 0 DDADMM COc1cccc(COC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000821867312 704830078 /nfs/dbraw/zinc/83/00/78/704830078.db2.gz BNPSBEJGFGMPEX-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM COc1cccc(COC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000821867312 704830083 /nfs/dbraw/zinc/83/00/83/704830083.db2.gz BNPSBEJGFGMPEX-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM Cc1ccc(Cl)cc1OCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000821895331 704837772 /nfs/dbraw/zinc/83/77/72/704837772.db2.gz SXKVEDCGGAQRPX-JTQLQIEISA-N -1 1 323.784 1.802 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@]12C[C@H]1COC2(C)C ZINC000859289962 704850643 /nfs/dbraw/zinc/85/06/43/704850643.db2.gz IOAMLILFNAAPTQ-QPUJVOFHSA-N -1 1 319.814 1.228 20 0 DDADMM COc1ccc(CN(C)C(=O)CCCc2nn[n-]n2)cc1F ZINC000873822512 704861652 /nfs/dbraw/zinc/86/16/52/704861652.db2.gz BOJKWKRTEOGTEN-UHFFFAOYSA-N -1 1 307.329 1.329 20 0 DDADMM COc1ccc(-c2nc(C)sc2CC(=O)[N-]OCCO)cc1 ZINC000867495991 707019157 /nfs/dbraw/zinc/01/91/57/707019157.db2.gz WFRUUJIGUMDGOL-UHFFFAOYSA-N -1 1 322.386 1.710 20 0 DDADMM CC[C@H](COCC1CC1)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867497598 707019596 /nfs/dbraw/zinc/01/95/96/707019596.db2.gz CKVQQEWMNFPHLU-SNVBAGLBSA-N -1 1 320.361 1.843 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)NCc1ccccc1C(=O)[O-] ZINC000874411396 705047660 /nfs/dbraw/zinc/04/76/60/705047660.db2.gz BTLVGHXCGHDXIS-ZDUSSCGKSA-N -1 1 305.378 1.620 20 0 DDADMM Cn1nncc1C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000822964472 705095796 /nfs/dbraw/zinc/09/57/96/705095796.db2.gz VDKSRKMSPXSHTC-UHFFFAOYSA-N -1 1 302.231 1.502 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCSC1)c1ccc(F)nc1F ZINC000867573708 707043946 /nfs/dbraw/zinc/04/39/46/707043946.db2.gz MJLAOQVFDXIWDW-QMMMGPOBSA-N -1 1 308.375 1.781 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC[C@H]2CCCS2)c1 ZINC000867660756 707066284 /nfs/dbraw/zinc/06/62/84/707066284.db2.gz YVELKLWYCAKQTI-SNVBAGLBSA-N -1 1 319.404 1.630 20 0 DDADMM CON(Cc1ccc(Cl)cc1)C(=O)CCCc1nn[n-]n1 ZINC000823077463 705133024 /nfs/dbraw/zinc/13/30/24/705133024.db2.gz VPAYZOGZVCXHFK-UHFFFAOYSA-N -1 1 309.757 1.766 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2(C)CC(F)(F)C2)o1 ZINC000874856996 705204883 /nfs/dbraw/zinc/20/48/83/705204883.db2.gz SMTFPIFOKIATQH-UHFFFAOYSA-N -1 1 308.306 1.105 20 0 DDADMM CC(=O)N(C)c1ccccc1NCc1ccnc(-c2nnn[n-]2)c1 ZINC000823966945 705346683 /nfs/dbraw/zinc/34/66/83/705346683.db2.gz FAPXYGGTKCJZQB-UHFFFAOYSA-N -1 1 323.360 1.857 20 0 DDADMM CC(=O)N(C)c1ccccc1NCc1ccnc(-c2nn[n-]n2)c1 ZINC000823966945 705346687 /nfs/dbraw/zinc/34/66/87/705346687.db2.gz FAPXYGGTKCJZQB-UHFFFAOYSA-N -1 1 323.360 1.857 20 0 DDADMM C[C@@H]1CCn2cc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)nc2C1 ZINC000875633135 705456663 /nfs/dbraw/zinc/45/66/63/705456663.db2.gz KYEATHIICXZRMP-SNVBAGLBSA-N -1 1 310.361 1.373 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2nccc3ccsc32)C1=O ZINC000861446220 705470254 /nfs/dbraw/zinc/47/02/54/705470254.db2.gz FNSJOTDXWFTLJF-AWEZNQCLSA-N -1 1 318.358 1.662 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@@H]2C)n[n-]1 ZINC000824888202 705552058 /nfs/dbraw/zinc/55/20/58/705552058.db2.gz XUYRZJFLXLKKHT-UHFZAUJKSA-N -1 1 320.393 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@@H]2C)[n-]1 ZINC000824888202 705552063 /nfs/dbraw/zinc/55/20/63/705552063.db2.gz XUYRZJFLXLKKHT-UHFZAUJKSA-N -1 1 320.393 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@@H]2C)n1 ZINC000824888202 705552067 /nfs/dbraw/zinc/55/20/67/705552067.db2.gz XUYRZJFLXLKKHT-UHFZAUJKSA-N -1 1 320.393 1.841 20 0 DDADMM [O-]C(=NO[C@@H]1CCCCO1)Nc1ccn(C2CCOCC2)n1 ZINC000825342040 705650765 /nfs/dbraw/zinc/65/07/65/705650765.db2.gz ZBVFMGGZTRRHBZ-CYBMUJFWSA-N -1 1 310.354 1.814 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1ccn(C2CCOCC2)n1 ZINC000825342040 705650769 /nfs/dbraw/zinc/65/07/69/705650769.db2.gz ZBVFMGGZTRRHBZ-CYBMUJFWSA-N -1 1 310.354 1.814 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N[C@@H](CO)CC(F)F ZINC000876396067 705707977 /nfs/dbraw/zinc/70/79/77/705707977.db2.gz WAGBANAEZGNPIS-MRVPVSSYSA-N -1 1 308.712 1.861 20 0 DDADMM CO[C@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000826147274 705778947 /nfs/dbraw/zinc/77/89/47/705778947.db2.gz RPPZGEJWJXKHKD-VIFPVBQESA-N -1 1 308.773 1.920 20 0 DDADMM CO[C@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000826147274 705778949 /nfs/dbraw/zinc/77/89/49/705778949.db2.gz RPPZGEJWJXKHKD-VIFPVBQESA-N -1 1 308.773 1.920 20 0 DDADMM O=c1[nH]c2ccc(CNc3cccc(-c4nnn[n-]4)n3)cc2[nH]1 ZINC000826500681 705805137 /nfs/dbraw/zinc/80/51/37/705805137.db2.gz HXQUKDUQQPUEPY-UHFFFAOYSA-N -1 1 308.305 1.456 20 0 DDADMM O=c1[nH]c2ccc(CNc3cccc(-c4nn[n-]n4)n3)cc2[nH]1 ZINC000826500681 705805139 /nfs/dbraw/zinc/80/51/39/705805139.db2.gz HXQUKDUQQPUEPY-UHFFFAOYSA-N -1 1 308.305 1.456 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CC[C@@H](Cc3ccncc3)C2)c1 ZINC000826513977 705807180 /nfs/dbraw/zinc/80/71/80/705807180.db2.gz CKOIGBLSKCESHU-ZDUSSCGKSA-N -1 1 307.361 1.726 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CC[C@@H](Cc3ccncc3)C2)c1 ZINC000826513977 705807184 /nfs/dbraw/zinc/80/71/84/705807184.db2.gz CKOIGBLSKCESHU-ZDUSSCGKSA-N -1 1 307.361 1.726 20 0 DDADMM COCCN(CCC(=O)[O-])C(=O)[C@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000908958668 712903353 /nfs/dbraw/zinc/90/33/53/712903353.db2.gz HOQOGMIQWWQBRF-JQWIXIFHSA-N -1 1 309.366 1.025 20 0 DDADMM CON(C)C(=O)[C@@H](C)NC(=O)c1ccc2ccccc2c1[O-] ZINC000863703668 705990138 /nfs/dbraw/zinc/99/01/38/705990138.db2.gz UERCDPMTPJIEIA-SNVBAGLBSA-N -1 1 302.330 1.684 20 0 DDADMM COC[C@H]1CCCN1NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000863935675 706040616 /nfs/dbraw/zinc/04/06/16/706040616.db2.gz XJFGTAVMMMAASP-LLVKDONJSA-N -1 1 316.361 1.399 20 0 DDADMM COC[C@H]1CCCN1NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000863935675 706040617 /nfs/dbraw/zinc/04/06/17/706040617.db2.gz XJFGTAVMMMAASP-LLVKDONJSA-N -1 1 316.361 1.399 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@H](O)CC(C)(C)C1 ZINC000827698949 706051895 /nfs/dbraw/zinc/05/18/95/706051895.db2.gz VVEJVRSAXXOLRF-SECBINFHSA-N -1 1 311.407 1.446 20 0 DDADMM O=c1[n-]c(CNc2ncnc3sccc32)nc2c1COCC2 ZINC000864355976 706146489 /nfs/dbraw/zinc/14/64/89/706146489.db2.gz LXMVCVIWHZNRPL-UHFFFAOYSA-N -1 1 315.358 1.872 20 0 DDADMM CSCC[N-]S(=O)(=O)N=[S@](C)(=O)C1CCCCC1 ZINC000881749826 707350381 /nfs/dbraw/zinc/35/03/81/707350381.db2.gz RORKXADUDJCBQF-QGZVFWFLSA-N -1 1 314.498 1.614 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CC1(C2CC2)CC1 ZINC000872466820 707428683 /nfs/dbraw/zinc/42/86/83/707428683.db2.gz PRAKASPTRQHVCR-SFHVURJKSA-N -1 1 308.469 1.907 20 0 DDADMM COC(=O)[C@@H]1C[C@H](C)CCN1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878452938 706391671 /nfs/dbraw/zinc/39/16/71/706391671.db2.gz FMKDJXRMJAWCKA-MFKMUULPSA-N -1 1 321.377 1.028 20 0 DDADMM CC[C@@H](C)NC(=S)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000865275033 706394024 /nfs/dbraw/zinc/39/40/24/706394024.db2.gz HLUFKYIXTJOTLK-KCJUWKMLSA-N -1 1 311.373 1.802 20 0 DDADMM FC(F)(F)c1nnc([N-]Cc2cn(C[C@H]3CCCO3)nn2)o1 ZINC000865291541 706396405 /nfs/dbraw/zinc/39/64/05/706396405.db2.gz NMDOIXYZIQXHGL-MRVPVSSYSA-N -1 1 318.259 1.471 20 0 DDADMM Cc1cc(CCNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)nn1C ZINC000830640943 706551261 /nfs/dbraw/zinc/55/12/61/706551261.db2.gz XQNSNHDCFOSQSZ-UHFFFAOYSA-N -1 1 317.393 1.628 20 0 DDADMM CO[C@@H](C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830801258 706582301 /nfs/dbraw/zinc/58/23/01/706582301.db2.gz JEEUMNFHZSGFPU-SKDRFNHKSA-N -1 1 308.300 1.081 20 0 DDADMM CO[C@@H](C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C(C)C ZINC000830807413 706583265 /nfs/dbraw/zinc/58/32/65/706583265.db2.gz JDOZFSKXZYXVAJ-SKDRFNHKSA-N -1 1 310.316 1.327 20 0 DDADMM COCC(C)(C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816909 706585525 /nfs/dbraw/zinc/58/55/25/706585525.db2.gz VMXNFFUZLAGBLW-LBPRGKRZSA-N -1 1 310.316 1.329 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@@H]2CCCO2)C1 ZINC000830832901 706589147 /nfs/dbraw/zinc/58/91/47/706589147.db2.gz KJKUIRYZXLYORX-JOYOIKCWSA-N -1 1 308.300 1.225 20 0 DDADMM C[C@H](C[C@@H]1CCOC1)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866957459 706855851 /nfs/dbraw/zinc/85/58/51/706855851.db2.gz GHKFAUGTSPTEIH-BDAKNGLRSA-N -1 1 306.334 1.453 20 0 DDADMM CC1(C)C[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)CCO1 ZINC000867008042 706870695 /nfs/dbraw/zinc/87/06/95/706870695.db2.gz DESPZIVXAUZJQB-SNVBAGLBSA-N -1 1 310.441 1.040 20 0 DDADMM C[C@H]1CC([N-]S(=O)(=O)N=S2(=O)CCCC2)C[C@H](C)O1 ZINC000867040945 706879848 /nfs/dbraw/zinc/87/98/48/706879848.db2.gz KXFRVMMFOMMECH-UWVGGRQHSA-N -1 1 310.441 1.039 20 0 DDADMM CC1(C[N-]S(=O)(=O)N=S(C)(C)=O)Cc2ccccc2C1 ZINC000867043784 706881328 /nfs/dbraw/zinc/88/13/28/706881328.db2.gz BNENBOPRCULDRB-UHFFFAOYSA-N -1 1 316.448 1.354 20 0 DDADMM C[C@H](O)CC(C)(C)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867056839 706884482 /nfs/dbraw/zinc/88/44/82/706884482.db2.gz ZCPJVFCLTHQQNI-QMMMGPOBSA-N -1 1 308.350 1.435 20 0 DDADMM CCC(C)(C)c1noc(C[N-]S(=O)(=O)CCOC(C)C)n1 ZINC000867173583 706915290 /nfs/dbraw/zinc/91/52/90/706915290.db2.gz CLQMIRUPVUCCEB-UHFFFAOYSA-N -1 1 319.427 1.602 20 0 DDADMM Nc1nc2nc(CN3C[C@@H]4CC[C@H]3c3ccccc34)cc(=O)n2[n-]1 ZINC000880538648 707009891 /nfs/dbraw/zinc/00/98/91/707009891.db2.gz OSEBZGXXNUEMOK-HZMBPMFUSA-N -1 1 322.372 1.434 20 0 DDADMM CC1(C)C[C@@]1([N-]S(=O)(=O)N=S(C)(C)=O)c1ccccc1 ZINC000867539472 707033314 /nfs/dbraw/zinc/03/33/14/707033314.db2.gz LCJQBOOKNJYQBS-CYBMUJFWSA-N -1 1 316.448 1.874 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C(C)(C)[C@H]2CCCCO2)c1Cl ZINC000867684679 707074487 /nfs/dbraw/zinc/07/44/87/707074487.db2.gz TYNAXJPBGMZDBB-SECBINFHSA-N -1 1 321.830 1.700 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)c1cn2c(n1)COCC2 ZINC000834818277 707128549 /nfs/dbraw/zinc/12/85/49/707128549.db2.gz JKQCLPGDZIAHAW-UHFFFAOYSA-N -1 1 307.737 1.702 20 0 DDADMM Cn1ccnc1COCC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834825963 707131911 /nfs/dbraw/zinc/13/19/11/707131911.db2.gz OPZXQTYJYBCUNN-UHFFFAOYSA-N -1 1 309.753 1.612 20 0 DDADMM CN(CCNC(=O)N[C@H](C(=O)[O-])C1CC1)Cc1ccc(F)cc1 ZINC000909095929 712936400 /nfs/dbraw/zinc/93/64/00/712936400.db2.gz RMZFVPCRONMOIM-AWEZNQCLSA-N -1 1 323.368 1.420 20 0 DDADMM COc1cncc(CN2C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C2)c1 ZINC000872168325 707312800 /nfs/dbraw/zinc/31/28/00/707312800.db2.gz SEBFJEXMHUUHLX-QWRGUYRKSA-N -1 1 304.268 1.785 20 0 DDADMM CCC(C)(C)CCS(=O)(=O)NN=c1nc(OC)cc[n-]1 ZINC000872338528 707385283 /nfs/dbraw/zinc/38/52/83/707385283.db2.gz YOPJLMYXWLILEI-UHFFFAOYSA-N -1 1 302.400 1.558 20 0 DDADMM CC1(C)[C@@H](CS(=O)(=O)[N-]CC(=O)OCC2CC2)C1(F)F ZINC000881854367 707394471 /nfs/dbraw/zinc/39/44/71/707394471.db2.gz CVQTXJRWFYSKKK-SECBINFHSA-N -1 1 311.350 1.150 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CC1CCOCC1 ZINC000872444212 707413907 /nfs/dbraw/zinc/41/39/07/707413907.db2.gz ODJQCLQYGBFELA-GOSISDBHSA-N -1 1 312.457 1.144 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CC1CCOCC1 ZINC000872444213 707413947 /nfs/dbraw/zinc/41/39/47/707413947.db2.gz ODJQCLQYGBFELA-SFHVURJKSA-N -1 1 312.457 1.144 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCc1ccncc1 ZINC000872462021 707425378 /nfs/dbraw/zinc/42/53/78/707425378.db2.gz DBFLYSDBAYJSDI-LJQANCHMSA-N -1 1 319.452 1.355 20 0 DDADMM CN(CC(=O)NC[C@@H](Cc1ccccc1)C(=O)[O-])C1CCC1 ZINC000909182855 712956636 /nfs/dbraw/zinc/95/66/36/712956636.db2.gz OFHPPTJNLGAABU-CQSZACIVSA-N -1 1 304.390 1.530 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)[N-]OC(C)(C)CO)CC2=O)cc1F ZINC000836801020 707518040 /nfs/dbraw/zinc/51/80/40/707518040.db2.gz DRWADTGFOMRPAC-NSHDSACASA-N -1 1 324.352 1.306 20 0 DDADMM C[C@H]1CCN(C(=O)NCc2ccc3cncn3c2)[C@H](C(=O)[O-])C1 ZINC000909171602 712953224 /nfs/dbraw/zinc/95/32/24/712953224.db2.gz NRKZUQNXRVUEKU-FZMZJTMJSA-N -1 1 316.361 1.729 20 0 DDADMM COC(=O)C1(COC(=O)c2nn(-c3ccccc3)cc2[O-])CC1 ZINC000837355444 707627999 /nfs/dbraw/zinc/62/79/99/707627999.db2.gz VHFSYAGBBYQZLO-UHFFFAOYSA-N -1 1 316.313 1.688 20 0 DDADMM C[C@@H](Cn1cncn1)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872877703 707635202 /nfs/dbraw/zinc/63/52/02/707635202.db2.gz YRWYIKQMMOAFEL-VIFPVBQESA-N -1 1 309.757 1.525 20 0 DDADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H](CO)CC(C)(C)C ZINC000909231458 712968846 /nfs/dbraw/zinc/96/88/46/712968846.db2.gz MBAVFICUPWJOTK-LLVKDONJSA-N -1 1 307.394 1.929 20 0 DDADMM Cc1cccc(C[C@@H](CNC(=O)CCc2cnc[nH]2)C(=O)[O-])c1 ZINC000909248387 712973044 /nfs/dbraw/zinc/97/30/44/712973044.db2.gz ZZBYNLAVFCVDOX-AWEZNQCLSA-N -1 1 315.373 1.710 20 0 DDADMM O=S(=O)([N-]CC(F)(F)c1cc(F)cc(F)c1)N1CCC1 ZINC000882658926 707735827 /nfs/dbraw/zinc/73/58/27/707735827.db2.gz JXFQPXNFENGNIY-UHFFFAOYSA-N -1 1 312.288 1.597 20 0 DDADMM Cc1c(F)cccc1[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC000882708746 707756127 /nfs/dbraw/zinc/75/61/27/707756127.db2.gz VEZFBYCCPWPDHU-GOSISDBHSA-N -1 1 309.388 1.365 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@@H]1CCCOC1 ZINC000882760446 707776237 /nfs/dbraw/zinc/77/62/37/707776237.db2.gz DOKDSFMJNXWNIB-ZJUUUORDSA-N -1 1 320.361 1.843 20 0 DDADMM COC(=O)[C@@H](F)CNC(=O)c1ccc(Br)c([O-])c1 ZINC000838075264 707832241 /nfs/dbraw/zinc/83/22/41/707832241.db2.gz LYMSGHRWEHWSHA-QMMMGPOBSA-N -1 1 320.114 1.396 20 0 DDADMM O=C(OCCC[N-]C(=O)C(F)(F)F)[C@@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC000838453371 707922934 /nfs/dbraw/zinc/92/29/34/707922934.db2.gz PRRVOFSPHUXNTI-DJLDLDEBSA-N -1 1 307.268 1.213 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCC2CC(F)(F)C2)co1 ZINC000838981569 708009343 /nfs/dbraw/zinc/00/93/43/708009343.db2.gz SHYNAVXDBGUKSX-UHFFFAOYSA-N -1 1 323.317 1.780 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCc3ccc(F)cc32)C1 ZINC000909406884 713010253 /nfs/dbraw/zinc/01/02/53/713010253.db2.gz JBVJCNAVZNYZLF-GFCCVEGCSA-N -1 1 306.337 1.511 20 0 DDADMM C[C@@H]1CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)c2ccccc2O1 ZINC000909408392 713010425 /nfs/dbraw/zinc/01/04/25/713010425.db2.gz GTTXBJAGQLTEFZ-OLZOCXBDSA-N -1 1 318.373 1.597 20 0 DDADMM COCc1nc(N[C@@H]2C[C@@H]3C[C@H](C(=O)OC)C[C@H]23)cc(=O)[n-]1 ZINC000897019660 708209485 /nfs/dbraw/zinc/20/94/85/708209485.db2.gz OEBSYACDPCBAGF-XWLWVQCSSA-N -1 1 307.350 1.328 20 0 DDADMM COc1cccc(-c2noc([N-][C@@H]3COCC[C@H]3OC)n2)c1 ZINC000897123390 708234075 /nfs/dbraw/zinc/23/40/75/708234075.db2.gz JOXDAVAKRZDXJK-CHWSQXEVSA-N -1 1 305.334 1.961 20 0 DDADMM CO[C@]1(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCSC1 ZINC000897668859 708412882 /nfs/dbraw/zinc/41/28/82/708412882.db2.gz MSRNSOZVTZEMLL-CYBMUJFWSA-N -1 1 313.379 1.003 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C(=O)O1 ZINC000897764749 708443791 /nfs/dbraw/zinc/44/37/91/708443791.db2.gz OSQNDIFKXYOZNS-SDBXPKJASA-N -1 1 301.298 1.685 20 0 DDADMM COc1ccc([C@@H]2C[C@H]2[N-]S(=O)(=O)c2ccns2)cc1 ZINC000885157857 708481301 /nfs/dbraw/zinc/48/13/01/708481301.db2.gz SKEBYSCEGOSVGH-NWDGAFQWSA-N -1 1 310.400 1.986 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC1CCCCC1)c1ccns1 ZINC000885249714 708502089 /nfs/dbraw/zinc/50/20/89/708502089.db2.gz YOSNIDGMPVXAFN-LLVKDONJSA-N -1 1 304.437 1.753 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@@H]2Cc3cc(F)ccc3[C@@H]21)c1ccns1 ZINC000885310020 708516883 /nfs/dbraw/zinc/51/68/83/708516883.db2.gz ZYLGEJJWRVIADM-KGYLQXTDSA-N -1 1 310.375 1.899 20 0 DDADMM O=S(=O)([N-][C@H](CO)C[C@H]1CCCO1)c1cccc(F)c1F ZINC000885530026 708568534 /nfs/dbraw/zinc/56/85/34/708568534.db2.gz NXOPBAXKGFJWJU-VHSXEESVSA-N -1 1 321.345 1.173 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)c1 ZINC000885620503 708585778 /nfs/dbraw/zinc/58/57/78/708585778.db2.gz KVIRYXRMMOQJHY-QCZKYFFMSA-N -1 1 313.375 1.637 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2CC3(CCC3)CO2)sn1 ZINC000885636779 708589829 /nfs/dbraw/zinc/58/98/29/708589829.db2.gz UWXHBCRMPRLMEE-SNVBAGLBSA-N -1 1 302.421 1.689 20 0 DDADMM CN1CC(=O)N(CC(=O)Nc2cc(F)c([O-])cc2Cl)C1=O ZINC000885673966 708594358 /nfs/dbraw/zinc/59/43/58/708594358.db2.gz AYBHDNSUTUIOCK-UHFFFAOYSA-N -1 1 315.688 1.017 20 0 DDADMM C[C@H]1CO[C@H](C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)C1 ZINC000898439146 708640369 /nfs/dbraw/zinc/64/03/69/708640369.db2.gz PXEWRYYLZUVSGW-DBIOUOCHSA-N -1 1 322.327 1.469 20 0 DDADMM O=C(N[C@@H]1CCCN(CCF)C1)c1c(F)ccc([O-])c1F ZINC000898501408 708661151 /nfs/dbraw/zinc/66/11/51/708661151.db2.gz GIAPKVGWWYQYFO-SECBINFHSA-N -1 1 302.296 1.834 20 0 DDADMM CS(=O)(=O)CCCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264576 708731979 /nfs/dbraw/zinc/73/19/79/708731979.db2.gz CRFUEXSVPWWRFV-UHFFFAOYSA-N -1 1 321.345 1.154 20 0 DDADMM CCCn1cc(C(=O)NCCc2c(F)cc([O-])cc2F)nn1 ZINC000886266225 708732334 /nfs/dbraw/zinc/73/23/34/708732334.db2.gz DIIXZAKJGJNEDI-UHFFFAOYSA-N -1 1 310.304 1.644 20 0 DDADMM C[C@@H](C(=O)NCCc1c(F)cc([O-])cc1F)[C@H]1CCC(=O)N1 ZINC000886268195 708732915 /nfs/dbraw/zinc/73/29/15/708732915.db2.gz HCPBDNJVCHKFQJ-AMIZOPFISA-N -1 1 312.316 1.244 20 0 DDADMM NC(=O)[C@@H]1CC[C@H](C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000886271649 708733929 /nfs/dbraw/zinc/73/39/29/708733929.db2.gz QJAWHUPIHBSVSS-BDAKNGLRSA-N -1 1 312.316 1.231 20 0 DDADMM C[C@@H]1CS(=O)(=O)CCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000886828749 708856022 /nfs/dbraw/zinc/85/60/22/708856022.db2.gz LPZMLCMNGUDMMC-SECBINFHSA-N -1 1 317.794 1.695 20 0 DDADMM O=C(CCCCc1ccccc1)NCc1nc([O-])cc(=O)[nH]1 ZINC000898755166 708856016 /nfs/dbraw/zinc/85/60/16/708856016.db2.gz NNHHJUONMDSQKR-UHFFFAOYSA-N -1 1 301.346 1.917 20 0 DDADMM Cn1nccc1[C@@H]1CN(C(=O)c2c([O-])cccc2Cl)CCO1 ZINC000887596493 709078618 /nfs/dbraw/zinc/07/86/18/709078618.db2.gz WORJZCDGTRKCGT-ZDUSSCGKSA-N -1 1 321.764 1.993 20 0 DDADMM CN1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)[C@@H](CO)C1 ZINC000912738994 713079139 /nfs/dbraw/zinc/07/91/39/713079139.db2.gz NJOSLPJVCZJPSG-SNVBAGLBSA-N -1 1 318.295 1.160 20 0 DDADMM O=C(C(=O)N1CCOC[C@H]1C[C@H]1CCOC1)c1ccc([O-])cc1 ZINC000887813452 709130847 /nfs/dbraw/zinc/13/08/47/709130847.db2.gz ILQAVSBOUARFBG-TZMCWYRMSA-N -1 1 319.357 1.229 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000888847380 709390471 /nfs/dbraw/zinc/39/04/71/709390471.db2.gz DKYUJQRWQXPHMU-OQEOFVATSA-N -1 1 303.362 1.520 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1C[C@H](c2ccc(F)cc2F)C1 ZINC000889084842 709446298 /nfs/dbraw/zinc/44/62/98/709446298.db2.gz TVPWKKDDLWGFKB-HOMQSWHASA-N -1 1 321.331 1.863 20 0 DDADMM O=C([O-])CC[C@H]1CCCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000909483392 709483562 /nfs/dbraw/zinc/48/35/62/709483562.db2.gz KDVCJHVJZODMGS-SNVBAGLBSA-N -1 1 302.334 1.675 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2cnc3ccccc3c2)C1 ZINC000909540736 709507849 /nfs/dbraw/zinc/50/78/49/709507849.db2.gz FIGNYXPSRQRNGL-ZDUSSCGKSA-N -1 1 313.357 1.970 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1ccccc1)c1nn[n-]n1 ZINC000912860113 713109650 /nfs/dbraw/zinc/10/96/50/713109650.db2.gz NRHAZNFNVLILBU-GUOLPTJISA-N -1 1 303.391 1.824 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2c3ccccc3OC[C@H]2CO)c([O-])c1 ZINC000889573242 709549771 /nfs/dbraw/zinc/54/97/71/709549771.db2.gz QWHIQVAFSSXFAU-IAQYHMDHSA-N -1 1 314.341 1.568 20 0 DDADMM CN(C(=O)[C@@H]1CCCCN1C)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909644872 709556828 /nfs/dbraw/zinc/55/68/28/709556828.db2.gz XIAZQUPCUKBJOS-GJZGRUSLSA-N -1 1 304.390 1.625 20 0 DDADMM COCCOCCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900372306 709560762 /nfs/dbraw/zinc/56/07/62/709560762.db2.gz BIPFAUACROTZGD-UHFFFAOYSA-N -1 1 308.309 1.472 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(C(=O)c2cccc3[nH]cnc32)C1 ZINC000909711873 709591583 /nfs/dbraw/zinc/59/15/83/709591583.db2.gz PPAVIQYZXSLTQU-INIZCTEOSA-N -1 1 317.345 1.516 20 0 DDADMM C[C@@H](NC(=O)[C@@H]1CCCN1C)c1ccc(OCC(=O)[O-])cc1 ZINC000909790210 709621137 /nfs/dbraw/zinc/62/11/37/709621137.db2.gz PZJDGSSIDAJJEG-RISCZKNCSA-N -1 1 306.362 1.421 20 0 DDADMM CCO[C@@H](C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1)C1CC1 ZINC000889785008 709635111 /nfs/dbraw/zinc/63/51/11/709635111.db2.gz BNAFQSRGUMYPHD-WCQYABFASA-N -1 1 321.377 1.669 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2c(C)ccn2C)[n-]c1=O ZINC000889789350 709636750 /nfs/dbraw/zinc/63/67/50/709636750.db2.gz DCSOMOKMGBDYRM-NSHDSACASA-N -1 1 316.361 1.815 20 0 DDADMM CC[C@](CNC(=O)C1(N(C)C)CC1)(C(=O)[O-])c1ccccc1 ZINC000909846535 709649674 /nfs/dbraw/zinc/64/96/74/709649674.db2.gz SLSJCTCHSPNFFO-MRXNPFEDSA-N -1 1 304.390 1.629 20 0 DDADMM CN(CC(=O)NC[C@H](CC1CC1)C(=O)[O-])[C@H]1CCSC1 ZINC000909881977 709667787 /nfs/dbraw/zinc/66/77/87/709667787.db2.gz RRHIQTMIKVEODM-RYUDHWBXSA-N -1 1 300.424 1.041 20 0 DDADMM O=C(N[C@@H]1CCCN2CCSC[C@H]12)C(=O)c1ccc([O-])cc1 ZINC000928085066 713122887 /nfs/dbraw/zinc/12/28/87/713122887.db2.gz GJYWMEGQXZFGGW-ZIAGYGMSSA-N -1 1 320.414 1.271 20 0 DDADMM CC[S@@](=O)CCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900647338 709693741 /nfs/dbraw/zinc/69/37/41/709693741.db2.gz OXFKOIBUFGVKHA-OAQYLSRUSA-N -1 1 310.350 1.578 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)c2cccc3[nH]cnc32)CC12CCC2 ZINC000909937118 709695391 /nfs/dbraw/zinc/69/53/91/709695391.db2.gz BLXPCDJRAVHTGV-INIZCTEOSA-N -1 1 317.320 1.982 20 0 DDADMM CC(=O)N1CSC[C@@H]1C(=O)Nc1cc([O-])c(F)cc1F ZINC000909980166 709716203 /nfs/dbraw/zinc/71/62/03/709716203.db2.gz YSCDLFWLQLFPSV-SNVBAGLBSA-N -1 1 302.302 1.530 20 0 DDADMM COC(=O)CCN(C(=O)c1cnc(C2CC2)[n-]c1=O)C(C)C ZINC000900697301 709716217 /nfs/dbraw/zinc/71/62/17/709716217.db2.gz KZVOXHIBRQZDKV-UHFFFAOYSA-N -1 1 307.350 1.473 20 0 DDADMM COCC1(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)CCCCC1 ZINC000910011692 709734838 /nfs/dbraw/zinc/73/48/38/709734838.db2.gz UIICZRUGXKZCEH-ZDUSSCGKSA-N -1 1 312.410 1.249 20 0 DDADMM CCc1cncc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000910169192 709796053 /nfs/dbraw/zinc/79/60/53/709796053.db2.gz AAAUSIFNCHKFIL-LBPRGKRZSA-N -1 1 305.378 1.265 20 0 DDADMM CCN(CC(=O)N[C@H](Cc1cccc(OC)c1)C(=O)[O-])C1CC1 ZINC000910209658 709816108 /nfs/dbraw/zinc/81/61/08/709816108.db2.gz PGDGBNWKFZRCPB-OAHLLOKOSA-N -1 1 320.389 1.291 20 0 DDADMM C[C@@H]1CN(C[C@@H]2CCCN2c2ccc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC000900989492 709865651 /nfs/dbraw/zinc/86/56/51/709865651.db2.gz FEWMPJQQSCTQDB-GUTXKFCHSA-N -1 1 319.405 1.858 20 0 DDADMM C/C=C/[C@@H](O)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000901052750 709902243 /nfs/dbraw/zinc/90/22/43/709902243.db2.gz LBAPJZLSMKPZFA-XYBNCVKDSA-N -1 1 303.358 1.751 20 0 DDADMM O=C(NCCSCCCO)c1c([O-])cnc2c(F)cccc21 ZINC000901054255 709902587 /nfs/dbraw/zinc/90/25/87/709902587.db2.gz UOAKNLDHRSKYPM-UHFFFAOYSA-N -1 1 324.377 1.925 20 0 DDADMM CC(C)OC1(CNC(=O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)CCC1 ZINC000910381352 709916404 /nfs/dbraw/zinc/91/64/04/709916404.db2.gz LSJRMQWLHHSPDE-ZDUSSCGKSA-N -1 1 312.410 1.247 20 0 DDADMM CC(C)OC1(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)CCC1 ZINC000910381352 709916405 /nfs/dbraw/zinc/91/64/05/709916405.db2.gz LSJRMQWLHHSPDE-ZDUSSCGKSA-N -1 1 312.410 1.247 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C(=O)C1(N(C)C)CC1)CC2 ZINC000910380541 709916664 /nfs/dbraw/zinc/91/66/64/709916664.db2.gz CHYFGWOBYLGIEZ-UHFFFAOYSA-N -1 1 318.373 1.372 20 0 DDADMM Cc1cccc([C@@H](O)CNC(=O)c2cnc(C3CC3)[n-]c2=O)c1 ZINC000901113880 709931184 /nfs/dbraw/zinc/93/11/84/709931184.db2.gz IYRAJYYAQVXSOV-AWEZNQCLSA-N -1 1 313.357 1.831 20 0 DDADMM O=C(NCc1ccc(CCO)cc1)c1cnc(C2CC2)[n-]c1=O ZINC000890638585 709934625 /nfs/dbraw/zinc/93/46/25/709934625.db2.gz CIXXJUYFANRFNS-UHFFFAOYSA-N -1 1 313.357 1.524 20 0 DDADMM COc1ccc(CN(CCCO)C(=O)c2cncc([O-])c2)cc1 ZINC000890642331 709935982 /nfs/dbraw/zinc/93/59/82/709935982.db2.gz IZMQAXCDNOCLBH-UHFFFAOYSA-N -1 1 316.357 1.821 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC[C@@H](O)[C@H](F)C1 ZINC000890704579 709964283 /nfs/dbraw/zinc/96/42/83/709964283.db2.gz INRUZBVAKCSGSN-MWLCHTKSSA-N -1 1 318.142 1.700 20 0 DDADMM CCC1(CO)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000901265048 709989153 /nfs/dbraw/zinc/98/91/53/709989153.db2.gz DHJSCTXRHRXYDV-UHFFFAOYSA-N -1 1 305.378 1.684 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCN(C(=O)c3cnc(-c4ccccc4)[nH]3)C[C@@H]21 ZINC000910848942 710094070 /nfs/dbraw/zinc/09/40/70/710094070.db2.gz LKFYWTLLYJDZOI-MBNYWOFBSA-N -1 1 311.341 1.869 20 0 DDADMM CSC(C)(C)CCN(C)CC(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000901622926 710099705 /nfs/dbraw/zinc/09/97/05/710099705.db2.gz FKJKFCUUXBTOLH-LBPRGKRZSA-N -1 1 316.467 1.773 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)[C@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000901662952 710113138 /nfs/dbraw/zinc/11/31/38/710113138.db2.gz GTRHDUCHYMLQLU-PKWAYOAASA-N -1 1 321.377 1.168 20 0 DDADMM Cn1ncc(C2CC2)c1CN(CCC(=O)[O-])C[C@@H]1CCCO1 ZINC000901675960 710115975 /nfs/dbraw/zinc/11/59/75/710115975.db2.gz AXKDHBOGGXGRPA-ZDUSSCGKSA-N -1 1 307.394 1.753 20 0 DDADMM CN(C)c1noc(CCC(=O)Nc2cc(F)cc(F)c2[O-])n1 ZINC000910982961 710140855 /nfs/dbraw/zinc/14/08/55/710140855.db2.gz MZTPWZNVJSTLBJ-UHFFFAOYSA-N -1 1 312.276 1.691 20 0 DDADMM COc1cccc(C[C@H](NCc2nccnc2OC)C(=O)[O-])c1 ZINC000901755318 710142820 /nfs/dbraw/zinc/14/28/20/710142820.db2.gz JJIXTVNROXCPAR-ZDUSSCGKSA-N -1 1 317.345 1.279 20 0 DDADMM O=C([O-])C1(C(=O)N[C@@H]2CCCN3CCSC[C@@H]23)CCCC1 ZINC000910990521 710143906 /nfs/dbraw/zinc/14/39/06/710143906.db2.gz YTDDFWGOUHVCNB-NEPJUHHUSA-N -1 1 312.435 1.327 20 0 DDADMM C[C@H](CN(Cc1cc(Br)nn1C)C1CC1)C(=O)[O-] ZINC000901991827 710195168 /nfs/dbraw/zinc/19/51/68/710195168.db2.gz BEUKDHZRSQPTPC-MRVPVSSYSA-N -1 1 316.199 1.868 20 0 DDADMM COCc1nc(N2CCN(c3ccccc3O)CC2)cc(=O)[n-]1 ZINC000891422860 710196583 /nfs/dbraw/zinc/19/65/83/710196583.db2.gz COCYOHGPERLUTE-UHFFFAOYSA-N -1 1 316.361 1.361 20 0 DDADMM O=C(Cn1cc(C2CC2)cn1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891612542 710248147 /nfs/dbraw/zinc/24/81/47/710248147.db2.gz BEKHNCQTJDNNCD-UHFFFAOYSA-N -1 1 313.361 1.774 20 0 DDADMM CC[C@@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)N1CCCC1=O ZINC000891621921 710250884 /nfs/dbraw/zinc/25/08/84/710250884.db2.gz KQKUEYBKWQHWPY-LBPRGKRZSA-N -1 1 318.377 1.401 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1ccc2nccn2c1 ZINC000891624432 710251562 /nfs/dbraw/zinc/25/15/62/710251562.db2.gz ZDMQBGVEXCZMCB-UHFFFAOYSA-N -1 1 309.329 1.961 20 0 DDADMM CCN(CC)C(=O)C1CCN(c2cc(=O)[n-]c(COC)n2)CC1 ZINC000892129744 710377144 /nfs/dbraw/zinc/37/71/44/710377144.db2.gz YUNDXWLNRYOXHT-UHFFFAOYSA-N -1 1 322.409 1.413 20 0 DDADMM COCc1nc(NCc2cccc(C(=O)OC)c2)cc(=O)[n-]1 ZINC000892901591 710522565 /nfs/dbraw/zinc/52/25/65/710522565.db2.gz ZGODGKYZJWLZKI-UHFFFAOYSA-N -1 1 303.318 1.727 20 0 DDADMM C[C@H]1CN(CCCNC(=O)c2cc(C(=O)[O-])ccn2)C[C@H](C)O1 ZINC000911150627 710655245 /nfs/dbraw/zinc/65/52/45/710655245.db2.gz PJLXTHMISLHVMC-RYUDHWBXSA-N -1 1 321.377 1.009 20 0 DDADMM COCc1nc(N2C[C@H](C)O[C@@]3(CCO[C@@H]3C)C2)cc(=O)[n-]1 ZINC000893581816 710723484 /nfs/dbraw/zinc/72/34/84/710723484.db2.gz ZJVKVKVVJRECKF-RWSFTLGLSA-N -1 1 309.366 1.101 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000911325059 710742872 /nfs/dbraw/zinc/74/28/72/710742872.db2.gz GAGRCNMXRRSJTP-QWRGUYRKSA-N -1 1 306.366 1.499 20 0 DDADMM C[C@H]1CN(C(=O)c2ccccc2CCC(=O)[O-])[C@@H](C)CN1C ZINC000911437582 710798386 /nfs/dbraw/zinc/79/83/86/710798386.db2.gz BLXIOLQZDIUGCM-STQMWFEESA-N -1 1 304.390 1.868 20 0 DDADMM C[C@@H]1CN(C(=O)CC(C)(C)CC(=O)[O-])CCN1CC(C)(C)O ZINC000911501053 710825404 /nfs/dbraw/zinc/82/54/04/710825404.db2.gz RLJXICMAMHBNOQ-GFCCVEGCSA-N -1 1 314.426 1.181 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1CC1(Cl)Cl ZINC000913437929 713215610 /nfs/dbraw/zinc/21/56/10/713215610.db2.gz LWGDVXJLEKTSJG-BDAKNGLRSA-N -1 1 312.160 1.599 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1[nH]nc2c1CCCC2)c1ccc(F)cc1 ZINC000902858190 710933825 /nfs/dbraw/zinc/93/38/25/710933825.db2.gz YHVQBGMPBATTHO-ZDUSSCGKSA-N -1 1 317.320 1.983 20 0 DDADMM Cc1nc([C@H]2CCN(C(=O)CC3(CC(=O)[O-])CCCC3)C2)n[nH]1 ZINC000911775812 710979294 /nfs/dbraw/zinc/97/92/94/710979294.db2.gz XTWBBFKDNSKHFD-LBPRGKRZSA-N -1 1 320.393 1.854 20 0 DDADMM CC(C)CCCCCCNC(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC000911949070 711086120 /nfs/dbraw/zinc/08/61/20/711086120.db2.gz VLULAFXEMZRCPH-HNNXBMFYSA-N -1 1 300.399 1.152 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2cnc3n2CCCC3)[nH]nc1C1CC1 ZINC000903613593 711224314 /nfs/dbraw/zinc/22/43/14/711224314.db2.gz NHLHTFJLGAMLQH-UHFFFAOYSA-N -1 1 321.406 1.929 20 0 DDADMM CCc1ccc([C@H](C)C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000913495128 713237891 /nfs/dbraw/zinc/23/78/91/713237891.db2.gz SKDGWEDPMLKNBP-FZMZJTMJSA-N -1 1 315.377 1.466 20 0 DDADMM Cc1ccc2cccc(C(=O)N3CCOC[C@@H]3c3nn[n-]n3)c2n1 ZINC000913496253 713238312 /nfs/dbraw/zinc/23/83/12/713238312.db2.gz WRKCHODFXGTBPG-CYBMUJFWSA-N -1 1 324.344 1.270 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)NCc1cccc(C)c1 ZINC000903711967 711250962 /nfs/dbraw/zinc/25/09/62/711250962.db2.gz RCWIAVSZQJALBE-UHFFFAOYSA-N -1 1 308.378 1.034 20 0 DDADMM O=C(c1coc2cc(F)ccc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499637 713239699 /nfs/dbraw/zinc/23/96/99/713239699.db2.gz XRQTXPYPKSYJQI-LLVKDONJSA-N -1 1 317.280 1.299 20 0 DDADMM Cc1oc2ccccc2c1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499272 713239771 /nfs/dbraw/zinc/23/97/71/713239771.db2.gz UVOQYRXQOFYSGY-NSHDSACASA-N -1 1 313.317 1.468 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@]2(C)CCC[C@H]2C)n[n-]1 ZINC000912363393 711292806 /nfs/dbraw/zinc/29/28/06/711292806.db2.gz NZKQQVXABGJUJG-FTGAXOIBSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@]2(C)CCC[C@H]2C)[n-]1 ZINC000912363393 711292809 /nfs/dbraw/zinc/29/28/09/711292809.db2.gz NZKQQVXABGJUJG-FTGAXOIBSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@]2(C)CCC[C@H]2C)n1 ZINC000912363393 711292812 /nfs/dbraw/zinc/29/28/12/711292812.db2.gz NZKQQVXABGJUJG-FTGAXOIBSA-N -1 1 308.382 1.985 20 0 DDADMM CN(C[C@@H]1COCCO1)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000912456936 711336230 /nfs/dbraw/zinc/33/62/30/711336230.db2.gz TUCFCKUPLDSGBC-SNVBAGLBSA-N -1 1 320.320 1.567 20 0 DDADMM C[C@@H]1C[C@H](C)N(Cc2nnc3n2CCCC3)[C@H](C)[C@H]1C(=O)[O-] ZINC000903951499 711345063 /nfs/dbraw/zinc/34/50/63/711345063.db2.gz KOLMUQVPVJFLEC-ZAZJYDDPSA-N -1 1 306.410 1.934 20 0 DDADMM COCc1nc(N2CCC[C@H](c3ncccn3)C2)cc(=O)[n-]1 ZINC000895227851 711457899 /nfs/dbraw/zinc/45/78/99/711457899.db2.gz RHZGYEWSLNTNHA-NSHDSACASA-N -1 1 301.350 1.503 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000895791975 711607449 /nfs/dbraw/zinc/60/74/49/711607449.db2.gz WMUFAXHVGFAVKJ-LLVKDONJSA-N -1 1 315.325 1.886 20 0 DDADMM Cc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(O)c1C ZINC000913744193 713292733 /nfs/dbraw/zinc/29/27/33/713292733.db2.gz DDDLIGOTNBIHGB-UHFFFAOYSA-N -1 1 301.350 1.542 20 0 DDADMM O=C(CSCC(F)(F)F)N1CCC(c2nn[n-]n2)CC1 ZINC000913744358 713293034 /nfs/dbraw/zinc/29/30/34/713293034.db2.gz KWAXXURYUQGWBW-UHFFFAOYSA-N -1 1 309.317 1.201 20 0 DDADMM O=C(c1scnc1C1CC1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744267 713293067 /nfs/dbraw/zinc/29/30/67/713293067.db2.gz HLMSWZVLHYCAHU-UHFFFAOYSA-N -1 1 304.379 1.553 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)CC[C@@H]2CCCC2(F)F)n1 ZINC000905253971 711981217 /nfs/dbraw/zinc/98/12/17/711981217.db2.gz WIPYFOWBIGVWCT-QMMMGPOBSA-N -1 1 322.333 1.796 20 0 DDADMM O=C([C@@H]1CC(=O)c2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913745197 713293669 /nfs/dbraw/zinc/29/36/69/713293669.db2.gz SYEXWIKZAZQHIG-CYBMUJFWSA-N -1 1 311.345 1.276 20 0 DDADMM C[C@H](C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)[C@H]1CCCO1 ZINC000913780465 713299585 /nfs/dbraw/zinc/29/95/85/713299585.db2.gz WNDUOAJUZNGMPG-CWSCBRNRSA-N -1 1 322.327 1.471 20 0 DDADMM O=C([O-])C(F)(F)CNS(=O)(=O)Cc1cccc(Cl)c1 ZINC000905998734 712215849 /nfs/dbraw/zinc/21/58/49/712215849.db2.gz ASFKDFNTDGPPPT-UHFFFAOYSA-N -1 1 313.709 1.479 20 0 DDADMM CCN(Cc1ccccc1)S(=O)(=O)NCC(F)(F)C(=O)[O-] ZINC000906000460 712216750 /nfs/dbraw/zinc/21/67/50/712216750.db2.gz YKMLDNAEWSFFFQ-UHFFFAOYSA-N -1 1 322.333 1.063 20 0 DDADMM Cc1nc(C2([N-]S(=O)(=O)CC3(F)CCC3)CCCC2)no1 ZINC000913917963 713319303 /nfs/dbraw/zinc/31/93/03/713319303.db2.gz SMQZNIBZGIQJNA-UHFFFAOYSA-N -1 1 317.386 1.959 20 0 DDADMM CSCCCCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907476383 712582178 /nfs/dbraw/zinc/58/21/78/712582178.db2.gz QAVBPGOPRKDBGQ-VIFPVBQESA-N -1 1 301.441 1.350 20 0 DDADMM Cc1cc(C)c(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)s1 ZINC000907477155 712582554 /nfs/dbraw/zinc/58/25/54/712582554.db2.gz RMPPAXZSXZRNKY-SNVBAGLBSA-N -1 1 323.447 1.737 20 0 DDADMM CCc1cccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1O ZINC000907476902 712582563 /nfs/dbraw/zinc/58/25/63/712582563.db2.gz CQCVUIJRLZKRHC-NSHDSACASA-N -1 1 319.390 1.398 20 0 DDADMM Cc1cccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1F ZINC000907478984 712582980 /nfs/dbraw/zinc/58/29/80/712582980.db2.gz ZKINNVOPLPPTEI-SNVBAGLBSA-N -1 1 307.354 1.578 20 0 DDADMM O=C([C@H](F)Cc1ccccc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480642 712583785 /nfs/dbraw/zinc/58/37/85/712583785.db2.gz VIDPWMAZHNSDRI-NEPJUHHUSA-N -1 1 321.381 1.397 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1c(F)cccc1Cl)C(N)=O ZINC000907703032 712616116 /nfs/dbraw/zinc/61/61/16/712616116.db2.gz MCXWKHSAMNJQGH-LLVKDONJSA-N -1 1 308.762 1.411 20 0 DDADMM CO[C@@H]1CCOC[C@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000907831497 712632522 /nfs/dbraw/zinc/63/25/22/712632522.db2.gz HDCYYFKCNBTUHT-GHMZBOCLSA-N -1 1 307.318 1.047 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3sc(C)nc3C)CC2)n1 ZINC000907864183 712637912 /nfs/dbraw/zinc/63/79/12/712637912.db2.gz JEGXRZYFRFQEHR-UHFFFAOYSA-N -1 1 314.392 1.419 20 0 DDADMM Cc1c(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)ccn1C(C)C ZINC000907941243 712652237 /nfs/dbraw/zinc/65/22/37/712652237.db2.gz ORVHNXWADHDENW-UHFFFAOYSA-N -1 1 318.381 1.014 20 0 DDADMM C[C@H]1CCNC(=O)[C@@H]1NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000908002829 712664006 /nfs/dbraw/zinc/66/40/06/712664006.db2.gz PVQOWQJDGOAONW-ISVAXAHUSA-N -1 1 317.320 1.334 20 0 DDADMM CN(CC(=O)N1CCc2ccc(C(=O)[O-])cc21)[C@@H]1CCSC1 ZINC000908063288 712674621 /nfs/dbraw/zinc/67/46/21/712674621.db2.gz WZFJBINUWUCZTL-CYBMUJFWSA-N -1 1 320.414 1.711 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@]23C[C@H]2COC32CCC2)sn1 ZINC000908119194 712692192 /nfs/dbraw/zinc/69/21/92/712692192.db2.gz IEJJJNCCDZFBQY-CMPLNLGQSA-N -1 1 314.432 1.689 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H](C)[S@@]1=O ZINC000908138184 712695835 /nfs/dbraw/zinc/69/58/35/712695835.db2.gz GVJXDLGVHHJKRF-JOWVRCJESA-N -1 1 323.418 1.431 20 0 DDADMM C[C@@]1(NC(=O)c2c([O-])cnc3c(F)cccc32)CCOC1=O ZINC000908294038 712730386 /nfs/dbraw/zinc/73/03/86/712730386.db2.gz VMLXPRGNLGMSAI-OAHLLOKOSA-N -1 1 304.277 1.515 20 0 DDADMM C[C@]1(NC(=O)c2ccc3ccc(O)cc3c2[O-])CCOC1=O ZINC000908294071 712730714 /nfs/dbraw/zinc/73/07/14/712730714.db2.gz WUSNKILHNCXNPU-INIZCTEOSA-N -1 1 301.298 1.686 20 0 DDADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@H]1CC(C)(C)OC1=O ZINC000908369588 712754021 /nfs/dbraw/zinc/75/40/21/712754021.db2.gz BUPRTTIPNOOUPD-JTQLQIEISA-N -1 1 305.334 1.226 20 0 DDADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)Nc1cccc([O-])c1Br ZINC000908708000 712839027 /nfs/dbraw/zinc/83/90/27/712839027.db2.gz MLTXYJGJHZZAEY-RQJHMYQMSA-N -1 1 313.151 1.605 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)N[C@H](C(=O)[O-])C1CC1)c1ccco1 ZINC000908875697 712876806 /nfs/dbraw/zinc/87/68/06/712876806.db2.gz IWNYKNQTCQBYKG-JSGCOSHPSA-N -1 1 323.393 1.825 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]C[C@H]2CCCC2(F)F)s1 ZINC000916090875 713441513 /nfs/dbraw/zinc/44/15/13/713441513.db2.gz RVWATOVCSGUCRR-SSDOTTSWSA-N -1 1 312.363 1.865 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1COC[C@H]1C ZINC000928897697 713507446 /nfs/dbraw/zinc/50/74/46/713507446.db2.gz HZTWYOVYAYFOQH-NOZJJQNGSA-N -1 1 318.377 1.135 20 0 DDADMM CCN(C(=O)NCc1ccc2cncn2c1)C(C)(C)C(=O)[O-] ZINC000929016108 713528427 /nfs/dbraw/zinc/52/84/27/713528427.db2.gz RYBKIAQKAUZGMY-UHFFFAOYSA-N -1 1 304.350 1.729 20 0 DDADMM COC(=O)/C(C)=C/C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000921233176 713724452 /nfs/dbraw/zinc/72/44/52/713724452.db2.gz QENBGGSXIFTIIU-FNORWQNLSA-N -1 1 304.393 1.158 20 0 DDADMM CCc1cc(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)n(C)n1 ZINC000930471753 713843594 /nfs/dbraw/zinc/84/35/94/713843594.db2.gz USEYCWGWCMSFOL-UHFFFAOYSA-N -1 1 302.260 1.301 20 0 DDADMM CC[C@H](CS(=O)(=O)NCCc1c(F)cc([O-])cc1F)OC ZINC000921967085 713922596 /nfs/dbraw/zinc/92/25/96/713922596.db2.gz BHSPRVOEOMYHIQ-SNVBAGLBSA-N -1 1 323.361 1.557 20 0 DDADMM Cc1cn(C)nc1CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000931568000 714129806 /nfs/dbraw/zinc/12/98/06/714129806.db2.gz NQCUULWBUWXKGI-GFCCVEGCSA-N -1 1 304.316 1.371 20 0 DDADMM C[C@@H](O)CCN(C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932046868 714245791 /nfs/dbraw/zinc/24/57/91/714245791.db2.gz ZQPNLERNUCQWEQ-LLVKDONJSA-N -1 1 304.350 1.813 20 0 DDADMM COCC1(NC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC1 ZINC000932048018 714246304 /nfs/dbraw/zinc/24/63/04/714246304.db2.gz RNIQQZYBGMULJX-UHFFFAOYSA-N -1 1 302.334 1.878 20 0 DDADMM CC[C@@]1(C(=O)[O-])CCCN(CC(=O)Nc2ncccc2C)C1 ZINC000923468546 714366237 /nfs/dbraw/zinc/36/62/37/714366237.db2.gz CBSQMSITUDLBEK-MRXNPFEDSA-N -1 1 305.378 1.905 20 0 DDADMM CC[C@@]1(C(=O)[O-])CCCN(CC(=O)N(C)CC(F)(F)F)C1 ZINC000923471517 714366756 /nfs/dbraw/zinc/36/67/56/714366756.db2.gz QQWAXCKOYXOKRY-GFCCVEGCSA-N -1 1 310.316 1.584 20 0 DDADMM O=c1cc(CN2CCC(O)(C3CCC3)CC2)nc2cc[n-]n21 ZINC000932818493 714419011 /nfs/dbraw/zinc/41/90/11/714419011.db2.gz QGOHSDNXPHCXKJ-UHFFFAOYSA-N -1 1 302.378 1.150 20 0 DDADMM O=C(N[C@@H]1C(=O)NCC12CCOCC2)c1c([O-])cccc1Cl ZINC000923945706 714492820 /nfs/dbraw/zinc/49/28/20/714492820.db2.gz SQUMHIZVPFTZPC-GFCCVEGCSA-N -1 1 324.764 1.071 20 0 DDADMM CC(C)N(C)C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933108420 714516334 /nfs/dbraw/zinc/51/63/34/714516334.db2.gz VDBMWPMNUJIBRZ-UHFFFAOYSA-N -1 1 300.305 1.196 20 0 DDADMM CCN(Cc1nc2c(c(=O)[n-]1)COCC2)C(C)(C)C(=O)OC ZINC000933185143 714530199 /nfs/dbraw/zinc/53/01/99/714530199.db2.gz KJSPLURIWNZYSD-UHFFFAOYSA-N -1 1 309.366 1.028 20 0 DDADMM COC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)c1c([O-])cccc1F ZINC000924870140 714683412 /nfs/dbraw/zinc/68/34/12/714683412.db2.gz RBZAXSWHOZPNFQ-ONGXEEELSA-N -1 1 311.309 1.372 20 0 DDADMM C[C@H](O)CN(C)C(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC000934031740 714726437 /nfs/dbraw/zinc/72/64/37/714726437.db2.gz PPTUAEJCHMOJMG-JTQLQIEISA-N -1 1 303.314 1.918 20 0 DDADMM CCOC(=O)CCCN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934273242 714783283 /nfs/dbraw/zinc/78/32/83/714783283.db2.gz MYSMAALOCUELOJ-NSHDSACASA-N -1 1 309.366 1.671 20 0 DDADMM Cc1ccccc1[C@H](C)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000935457755 715060591 /nfs/dbraw/zinc/06/05/91/715060591.db2.gz YTNRVOXLFSBGDA-WCQYABFASA-N -1 1 317.418 1.928 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CC2CCC2)C1 ZINC000937018875 715267766 /nfs/dbraw/zinc/26/77/66/715267766.db2.gz OOZUVWAKUJFIQC-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937038767 715270093 /nfs/dbraw/zinc/27/00/93/715270093.db2.gz WFDDJKUZLYJHOJ-YPMHNXCESA-N -1 1 317.389 1.506 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C(C)(C)F)CCN1C(=O)c1ncccc1[O-] ZINC000955652181 715893087 /nfs/dbraw/zinc/89/30/87/715893087.db2.gz PQGJEFUQODXXOD-MNOVXSKESA-N -1 1 323.368 1.645 20 0 DDADMM CC(=O)N(C)[C@@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000938990837 716074679 /nfs/dbraw/zinc/07/46/79/716074679.db2.gz MVZXIIAWGUWTPS-GFCCVEGCSA-N -1 1 320.393 1.307 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)co1 ZINC000940132203 716642451 /nfs/dbraw/zinc/64/24/51/716642451.db2.gz QOFUKJLQLLNTGY-GFCCVEGCSA-N -1 1 315.329 1.333 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2C2CC2)C1)c1ncccc1[O-] ZINC000940134142 716643735 /nfs/dbraw/zinc/64/37/35/716643735.db2.gz CDDLUAKAIXBQGD-FRRDWIJNSA-N -1 1 315.373 1.164 20 0 DDADMM C/C=C(\C)C(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964474028 717280092 /nfs/dbraw/zinc/28/00/92/717280092.db2.gz SIAASNJNWADCKU-ACDNVWSCSA-N -1 1 317.389 1.864 20 0 DDADMM CCC(=O)N1CCC2(C1)CCN(C(=O)c1ncccc1[O-])CC2 ZINC000944377899 718296332 /nfs/dbraw/zinc/29/63/32/718296332.db2.gz NQOOKARDAVXCDO-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)C)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945516255 718472123 /nfs/dbraw/zinc/47/21/23/718472123.db2.gz HFDGRBXBUZDTCT-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1CCCC1 ZINC000966341648 718520832 /nfs/dbraw/zinc/52/08/32/718520832.db2.gz AVKZNXUYFHMJNQ-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)CCC1 ZINC000947728526 719255977 /nfs/dbraw/zinc/25/59/77/719255977.db2.gz CKYXKQVODQKBHK-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ccc[nH]1 ZINC000948618858 719592205 /nfs/dbraw/zinc/59/22/05/719592205.db2.gz LQVUNJGSELODHX-ZYHUDNBSSA-N -1 1 314.345 1.006 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC000948630213 719601184 /nfs/dbraw/zinc/60/11/84/719601184.db2.gz RQIAYSBXTSDHQU-DDHJBXDOSA-N -1 1 303.362 1.020 20 0 DDADMM CC(C)(C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC000969485746 720153776 /nfs/dbraw/zinc/15/37/76/720153776.db2.gz TYRXYPSRAKAKDD-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(Cc2ccns2)C1 ZINC000969543508 720181735 /nfs/dbraw/zinc/18/17/35/720181735.db2.gz PJCZEMBETDHKTI-SNVBAGLBSA-N -1 1 318.402 1.494 20 0 DDADMM CCc1ccccc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969752370 720277715 /nfs/dbraw/zinc/27/77/15/720277715.db2.gz KYMOSDRKPVPALD-GFCCVEGCSA-N -1 1 315.377 1.077 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2coc(C)n2)C1 ZINC000949951837 720428138 /nfs/dbraw/zinc/42/81/38/720428138.db2.gz DYMAPGRFHVTJOJ-UHFFFAOYSA-N -1 1 316.361 1.430 20 0 DDADMM CCC(=O)N1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000952529387 721469060 /nfs/dbraw/zinc/46/90/60/721469060.db2.gz VBOSMAIBYMPTBY-NEPJUHHUSA-N -1 1 303.362 1.403 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CCCCN1C(=O)C1CC1 ZINC000953518155 721619878 /nfs/dbraw/zinc/61/98/78/721619878.db2.gz ZITXKIMSSIEMDC-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954125407 721724143 /nfs/dbraw/zinc/72/41/43/721724143.db2.gz NKICXNSYSMTMAA-WDEREUQCSA-N -1 1 303.362 1.116 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954125668 721724180 /nfs/dbraw/zinc/72/41/80/721724180.db2.gz XGVWJLAKRLVJAC-UHFFFAOYSA-N -1 1 319.405 1.752 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2(C)CCCC2)C1 ZINC000954127641 721724785 /nfs/dbraw/zinc/72/47/85/721724785.db2.gz CFKDPLBZTYRNMP-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCCN(C/C=C\Cl)C2)c1[O-] ZINC001023307102 735147070 /nfs/dbraw/zinc/14/70/70/735147070.db2.gz RVJAZMJOGIGFGT-USEMIUTHSA-N -1 1 312.801 1.618 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000974055142 737349137 /nfs/dbraw/zinc/34/91/37/737349137.db2.gz BPKSZIVWZRZSBL-XQHKEYJVSA-N -1 1 317.389 1.600 20 0 DDADMM CC1(C)[C@@H](O)C[C@@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692892763 738963610 /nfs/dbraw/zinc/96/36/10/738963610.db2.gz KKYFYBAIXJEDFP-YUMQZZPRSA-N -1 1 308.762 1.312 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)c1ncn(C)n1 ZINC000692828008 738392616 /nfs/dbraw/zinc/39/26/16/738392616.db2.gz VLDQUHRIAFHWBG-ZCFIWIBFSA-N -1 1 319.749 1.042 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)CCCO1 ZINC000692893929 738964383 /nfs/dbraw/zinc/96/43/83/738964383.db2.gz NRLBRQXEDLWBJZ-LLVKDONJSA-N -1 1 308.762 1.722 20 0 DDADMM Cc1cc(N(C)C)ncc1[N-]S(=O)(=O)c1ccccc1N ZINC001206748397 739278971 /nfs/dbraw/zinc/27/89/71/739278971.db2.gz GPVWZURVWDPJNL-UHFFFAOYSA-N -1 1 306.391 1.839 20 0 DDADMM O=C(NCC[C@@H]1CCN(C(=O)C(F)F)C1)c1ncccc1[O-] ZINC001058979967 739420884 /nfs/dbraw/zinc/42/08/84/739420884.db2.gz BJNIXZTYOIJBJD-SECBINFHSA-N -1 1 313.304 1.021 20 0 DDADMM O=C(N[C@H]1C[C@@H](Nc2ncnc3[nH]cnc32)C1)c1ccccc1O ZINC001059554326 741283951 /nfs/dbraw/zinc/28/39/51/741283951.db2.gz OHQNMJFWLSZJOJ-AOOOYVTPSA-N -1 1 324.344 1.431 20 0 DDADMM Cc1nc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C)co1 ZINC001088538868 741712505 /nfs/dbraw/zinc/71/25/05/741712505.db2.gz VJTUEGRBLHPKMY-MFKMUULPSA-N -1 1 316.361 1.476 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1ccncc1 ZINC001076243913 742623043 /nfs/dbraw/zinc/62/30/43/742623043.db2.gz DMCKPYVPWLEJMW-NSHDSACASA-N -1 1 314.345 1.121 20 0 DDADMM C[C@@H](C(=O)Nc1ccc2ccc(S(=O)(=O)[O-])cc2c1)N(C)C ZINC001180862125 742968994 /nfs/dbraw/zinc/96/89/94/742968994.db2.gz YCDCCYUHXPDBDJ-JTQLQIEISA-N -1 1 322.386 1.975 20 0 DDADMM C[C@@H]1C(=O)OC[C@@H]1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001182075769 743470844 /nfs/dbraw/zinc/47/08/44/743470844.db2.gz AZIRYGAGUPBDHN-ONGXEEELSA-N -1 1 301.302 1.326 20 0 DDADMM C[C@]1(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC1(Cl)Cl ZINC001182142141 743497483 /nfs/dbraw/zinc/49/74/83/743497483.db2.gz HRKGKAZMHLJCRB-SECBINFHSA-N -1 1 318.120 1.238 20 0 DDADMM C[C@]1(C(=O)Nc2[n-]c(=O)nc3[nH]c(=O)[nH]c32)CC1(Cl)Cl ZINC001182142141 743497488 /nfs/dbraw/zinc/49/74/88/743497488.db2.gz HRKGKAZMHLJCRB-SECBINFHSA-N -1 1 318.120 1.238 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2NC(=O)c3ccccc32)n1 ZINC001182418536 743620477 /nfs/dbraw/zinc/62/04/77/743620477.db2.gz SIOJXTIJQYPDRC-LLVKDONJSA-N -1 1 314.301 1.010 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)OCC(F)(F)F)NC1CCCC1 ZINC001183194784 743794727 /nfs/dbraw/zinc/79/47/27/743794727.db2.gz PRPSEVCMQRPILF-UHFFFAOYSA-N -1 1 318.242 1.333 20 0 DDADMM O=C(NC1CN(Cc2cc(F)ccc2F)C1)c1ncccc1[O-] ZINC001030239140 743974534 /nfs/dbraw/zinc/97/45/34/743974534.db2.gz CEISCDIFWWESGN-UHFFFAOYSA-N -1 1 319.311 1.680 20 0 DDADMM O=C(NC1CN(Cc2cccc(F)c2F)C1)c1ncccc1[O-] ZINC001030241605 743977270 /nfs/dbraw/zinc/97/72/70/743977270.db2.gz IZLJEBZUDVFOOR-UHFFFAOYSA-N -1 1 319.311 1.680 20 0 DDADMM Cc1ccc(CCN2CC(NC(=O)c3ncccc3[O-])C2)cc1 ZINC001030241614 743977328 /nfs/dbraw/zinc/97/73/28/743977328.db2.gz JBKKQVSHDOKIDD-UHFFFAOYSA-N -1 1 311.385 1.752 20 0 DDADMM C[C@@H](C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccc(O)cc1 ZINC001184284763 744016101 /nfs/dbraw/zinc/01/61/01/744016101.db2.gz QQGGIWBCVHVXGG-ZCFIWIBFSA-N -1 1 315.289 1.164 20 0 DDADMM C[C@H]1C[C@H]1c1ncc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cn1 ZINC001185143002 744160580 /nfs/dbraw/zinc/16/05/80/744160580.db2.gz DMCNSKPNHMYXHD-POYBYMJQSA-N -1 1 311.305 1.224 20 0 DDADMM CCN(CCN(C)C)S(=O)(=O)c1ccc(F)c(C(=O)[O-])c1 ZINC001186139936 744349727 /nfs/dbraw/zinc/34/97/27/744349727.db2.gz ZPZFCKXPCDZHSE-UHFFFAOYSA-N -1 1 318.370 1.096 20 0 DDADMM Cc1cnn(C)c1NS(=O)(=O)c1ccc(F)c(C(=O)[O-])c1 ZINC001186144550 744350066 /nfs/dbraw/zinc/35/00/66/744350066.db2.gz ZQLZPHZOFRMCCR-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM COC(=O)[C@H](CCSC)NC(=O)c1ccc([O-])c(F)c1 ZINC001186236212 744361890 /nfs/dbraw/zinc/36/18/90/744361890.db2.gz BSIZOBDENQBKQE-JTQLQIEISA-N -1 1 301.339 1.556 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CCN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC001186219312 744366683 /nfs/dbraw/zinc/36/66/83/744366683.db2.gz SUAGYXJIRUJKJK-LBPRGKRZSA-N -1 1 320.364 1.862 20 0 DDADMM O=S(=O)([N-]c1cccc(CO)c1)c1ccc2nsnc2c1 ZINC001186263204 744367317 /nfs/dbraw/zinc/36/73/17/744367317.db2.gz OHRBCORLEIBZLS-UHFFFAOYSA-N -1 1 321.383 1.984 20 0 DDADMM O=C(NCC[P@@](=O)([O-])O)NC12CC3CC(CC(C3)C1)C2 ZINC001186694675 744436179 /nfs/dbraw/zinc/43/61/79/744436179.db2.gz FKUXPOSRRYYTQV-UHFFFAOYSA-N -1 1 302.311 1.432 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992052115 744562616 /nfs/dbraw/zinc/56/26/16/744562616.db2.gz HMFQRUJKPNXJOC-XKGSQUFQSA-N -1 1 303.362 1.068 20 0 DDADMM CC(=O)N(C)c1cccc(C[N-]S(=O)(=O)c2cnoc2C)c1 ZINC001187389018 744562913 /nfs/dbraw/zinc/56/29/13/744562913.db2.gz PHFNWKDKROILOC-UHFFFAOYSA-N -1 1 323.374 1.444 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1ncncc1C ZINC001187988540 744643388 /nfs/dbraw/zinc/64/33/88/744643388.db2.gz KUJBBWUJFSHHNY-UHFFFAOYSA-N -1 1 320.374 1.799 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cccnn1 ZINC001187986994 744643655 /nfs/dbraw/zinc/64/36/55/744643655.db2.gz PLYYLTIHDICMNX-UHFFFAOYSA-N -1 1 306.347 1.491 20 0 DDADMM COc1ccc(C(=O)N(C)C)cc1[N-]S(=O)(=O)C1CCC1 ZINC001188137358 744664356 /nfs/dbraw/zinc/66/43/56/744664356.db2.gz ABHQULOPMRRYBL-UHFFFAOYSA-N -1 1 312.391 1.691 20 0 DDADMM CNC(=O)c1cccnc1NC(=O)c1ncc(C(F)(F)F)[n-]1 ZINC001188280293 744686181 /nfs/dbraw/zinc/68/61/81/744686181.db2.gz FCNCMCHXGFCLCN-UHFFFAOYSA-N -1 1 313.239 1.435 20 0 DDADMM CNC(=O)c1cccnc1NC(=O)c1nc(C(F)(F)F)c[n-]1 ZINC001188280293 744686183 /nfs/dbraw/zinc/68/61/83/744686183.db2.gz FCNCMCHXGFCLCN-UHFFFAOYSA-N -1 1 313.239 1.435 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1c[nH]nc1-c1ccccc1 ZINC001189698041 744956424 /nfs/dbraw/zinc/95/64/24/744956424.db2.gz SCSUQBQLKAUNRM-UHFFFAOYSA-N -1 1 321.300 1.701 20 0 DDADMM COc1nc(S(=O)(=O)[N-]CC2(C)CC(F)(F)C2)ccc1F ZINC001190117068 745120731 /nfs/dbraw/zinc/12/07/31/745120731.db2.gz UOYHRPSFENBLRN-UHFFFAOYSA-N -1 1 324.324 1.943 20 0 DDADMM CCCc1nnsc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001190171678 745138446 /nfs/dbraw/zinc/13/84/46/745138446.db2.gz KTGWWMOXOSAKLI-UHFFFAOYSA-N -1 1 305.323 1.115 20 0 DDADMM C[C@H]1C[C@@H](CO)N(C(=O)c2cnc(-c3ccccc3)[n-]c2=O)C1 ZINC001190261855 745165944 /nfs/dbraw/zinc/16/59/44/745165944.db2.gz ZRAJOVKYHFDYTG-AAEUAGOBSA-N -1 1 313.357 1.692 20 0 DDADMM O=C(c1cnc(-c2ccccn2)[n-]c1=O)N1CCc2[nH]ccc2C1 ZINC001190628495 745278840 /nfs/dbraw/zinc/27/88/40/745278840.db2.gz ONRIPNMTJMXQHP-UHFFFAOYSA-N -1 1 321.340 1.771 20 0 DDADMM CC(=O)CC(C)(C)NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629580 745279099 /nfs/dbraw/zinc/27/90/99/745279099.db2.gz SVIFNNVTGDZNJD-UHFFFAOYSA-N -1 1 314.345 1.732 20 0 DDADMM CCOC(=O)Cc1cccc([N-]S(=O)(=O)c2ccncc2)c1 ZINC001190903280 745379912 /nfs/dbraw/zinc/37/99/12/745379912.db2.gz BHMUWLYPHKAYLY-UHFFFAOYSA-N -1 1 320.370 1.988 20 0 DDADMM CN(C)c1nc(Nc2cnn(C3COC3)c2)c(N=O)c(=O)[n-]1 ZINC001205549796 745421355 /nfs/dbraw/zinc/42/13/55/745421355.db2.gz NHAVGLYMZRENFV-UHFFFAOYSA-N -1 1 305.298 1.158 20 0 DDADMM CSc1ncc(C(=O)N2CC(F)(F)CC[C@@H]2CO)c(=O)[n-]1 ZINC001191429798 745509499 /nfs/dbraw/zinc/50/94/99/745509499.db2.gz SLESJTHPVFJIAH-SSDOTTSWSA-N -1 1 319.333 1.136 20 0 DDADMM CCOc1cnc(NC(=O)c2cnc(SC)[n-]c2=O)cn1 ZINC001191429921 745510106 /nfs/dbraw/zinc/51/01/06/745510106.db2.gz ZKSKIBVYGSBRMN-UHFFFAOYSA-N -1 1 307.335 1.345 20 0 DDADMM CCOC(CCNC(=O)c1cnc(SC)[n-]c1=O)OCC ZINC001191437238 745511795 /nfs/dbraw/zinc/51/17/95/745511795.db2.gz LAMNHJDZSMCQRN-UHFFFAOYSA-N -1 1 315.395 1.423 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1[nH]ncc1-c1ccccn1 ZINC001191495501 745523610 /nfs/dbraw/zinc/52/36/10/745523610.db2.gz CGDREFUWHMFOOH-UHFFFAOYSA-N -1 1 324.277 1.159 20 0 DDADMM Cc1nsc(NCC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001106296338 745563561 /nfs/dbraw/zinc/56/35/61/745563561.db2.gz OKDPUBYOSFXYLY-QMMMGPOBSA-N -1 1 307.379 1.568 20 0 DDADMM O=S(=O)(Cc1ccc(Cl)cc1F)[N-][C@@H]1COC[C@@H]1F ZINC001191931119 745647618 /nfs/dbraw/zinc/64/76/18/745647618.db2.gz BTIYDNTULHAQRO-WDEREUQCSA-N -1 1 311.737 1.635 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc(CO)cc1 ZINC001193051728 745955493 /nfs/dbraw/zinc/95/54/93/745955493.db2.gz DNCCWHBDMCWBNT-UHFFFAOYSA-N -1 1 301.364 1.262 20 0 DDADMM O=C1NCc2c([N-]S(=O)(=O)C[C@@H]3CCCO3)cccc2N1 ZINC001193275441 746041503 /nfs/dbraw/zinc/04/15/03/746041503.db2.gz SZWYMZNZPHFHJG-VIFPVBQESA-N -1 1 311.363 1.242 20 0 DDADMM C[C@H]1CCCC[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998620433 752057756 /nfs/dbraw/zinc/05/77/56/752057756.db2.gz GUKNKHHLPFQKMF-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM Cn1cnc2cc([N-]S(=O)(=O)c3ccccc3N)ccc21 ZINC001193929363 746224721 /nfs/dbraw/zinc/22/47/21/746224721.db2.gz QDFBAQFUOLNLMM-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1cccc2c1CNC(=O)C2 ZINC001193934600 746227162 /nfs/dbraw/zinc/22/71/62/746227162.db2.gz JERIBYWZJIBTJC-UHFFFAOYSA-N -1 1 317.370 1.242 20 0 DDADMM CN1c2cc([N-]S(=O)(=O)c3ccccc3N)ccc2CC1=O ZINC001193924904 746239330 /nfs/dbraw/zinc/23/93/30/746239330.db2.gz WTTKVGGBBKEFCZ-UHFFFAOYSA-N -1 1 317.370 1.589 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cnc(OC(C)C)c(C)c2)[n-]n1 ZINC001194282993 746338917 /nfs/dbraw/zinc/33/89/17/746338917.db2.gz JZPGXPXRGVTJRX-UHFFFAOYSA-N -1 1 318.333 1.939 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)Oc2cc(C)ccc2C=O)n[n-]1 ZINC001194415910 746371769 /nfs/dbraw/zinc/37/17/69/746371769.db2.gz CBMIRWOVGPQYGV-UHFFFAOYSA-N -1 1 324.314 1.085 20 0 DDADMM CCOC(=O)c1nc[n-]c1NC(=O)c1cccn(CC)c1=O ZINC001194469464 746381083 /nfs/dbraw/zinc/38/10/83/746381083.db2.gz PUYBCPUAYHZNAV-UHFFFAOYSA-N -1 1 304.306 1.020 20 0 DDADMM CCN1CC[C@@]2(CN(C(=O)c3nc(C)ccc3[O-])C[C@@H]2C)C1=O ZINC001195321157 746564067 /nfs/dbraw/zinc/56/40/67/746564067.db2.gz CMJUFHIUTJEQTG-GTNSWQLSSA-N -1 1 317.389 1.426 20 0 DDADMM COC(=O)/C=C/c1ccc(NC(=O)c2nc(C)ccc2[O-])nc1 ZINC001195323031 746564810 /nfs/dbraw/zinc/56/48/10/746564810.db2.gz SDNCWBGTKXEOOA-VMPITWQZSA-N -1 1 313.313 1.929 20 0 DDADMM COC(=O)[C@]1(F)CCN(C(=O)c2cc([O-])cnc2Cl)C1 ZINC001195299721 746570382 /nfs/dbraw/zinc/57/03/82/746570382.db2.gz RUXCLMPWAQVGOT-LBPRGKRZSA-N -1 1 302.689 1.168 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CCC[C@@](O)(CF)CC1 ZINC001195300171 746570572 /nfs/dbraw/zinc/57/05/72/746570572.db2.gz XSJGGHVTBNEYPU-ZDUSSCGKSA-N -1 1 302.733 1.767 20 0 DDADMM COC(=O)CCCCCNC(=O)c1cc([O-])cnc1Cl ZINC001195312883 746573759 /nfs/dbraw/zinc/57/37/59/746573759.db2.gz MATALFSUMFHSMM-UHFFFAOYSA-N -1 1 300.742 1.904 20 0 DDADMM O=C(NC(=S)Nc1[n-]c(=O)nc2nc[nH]c21)c1ccccc1 ZINC001195437625 746614336 /nfs/dbraw/zinc/61/43/36/746614336.db2.gz PCUVKNFKPUOTHJ-UHFFFAOYSA-N -1 1 314.330 1.185 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=S)NCc1ccccc1 ZINC001195600438 746651600 /nfs/dbraw/zinc/65/16/00/746651600.db2.gz RNYLFGOEWLNUJM-UHFFFAOYSA-N -1 1 305.319 1.772 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1ccc(OC(F)F)cc1 ZINC001195930751 746739225 /nfs/dbraw/zinc/73/92/25/746739225.db2.gz NHSWZZVWJOYJLC-UHFFFAOYSA-N -1 1 309.290 1.593 20 0 DDADMM CC1(C)COc2cc(NC(=O)c3c[nH]c(=S)[n-]c3=O)nn2C1 ZINC001196014442 746756402 /nfs/dbraw/zinc/75/64/02/746756402.db2.gz PLILFSUCMSABHX-UHFFFAOYSA-N -1 1 321.362 1.338 20 0 DDADMM O=C(Nc1ccc2[nH]c(CO)nc2c1)c1c[nH]c(=S)[n-]c1=O ZINC001196020429 746759277 /nfs/dbraw/zinc/75/92/77/746759277.db2.gz GNOWFJOQDGKHDN-UHFFFAOYSA-N -1 1 317.330 1.092 20 0 DDADMM O=C(N[C@H]1COCc2ccccc21)c1c[nH]c(=S)[n-]c1=O ZINC001196023514 746760124 /nfs/dbraw/zinc/76/01/24/746760124.db2.gz JWUXQHOQYOCVJP-NSHDSACASA-N -1 1 303.343 1.472 20 0 DDADMM Cc1cc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)nn1C1CCC1 ZINC001197423576 747160788 /nfs/dbraw/zinc/16/07/88/747160788.db2.gz DMOWPQNORFLNFW-UHFFFAOYSA-N -1 1 311.349 1.517 20 0 DDADMM Cc1ccnc(N2CCC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001061343526 747676339 /nfs/dbraw/zinc/67/63/39/747676339.db2.gz KRSHZHCIHIVSBJ-LBPRGKRZSA-N -1 1 313.361 1.284 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCCOC(C)(C)C ZINC001212415804 752626108 /nfs/dbraw/zinc/62/61/08/752626108.db2.gz PHIWMKNXAYYFLW-UHFFFAOYSA-N -1 1 308.425 1.635 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001004612208 748587802 /nfs/dbraw/zinc/58/78/02/748587802.db2.gz CHEKDVLUPCYOPK-VXGBXAGGSA-N -1 1 303.362 1.022 20 0 DDADMM CC(C)CCC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202448439 748784345 /nfs/dbraw/zinc/78/43/45/748784345.db2.gz QFJZTVSWJOIZTN-NEPJUHHUSA-N -1 1 321.425 1.464 20 0 DDADMM CC(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC000995781250 749239920 /nfs/dbraw/zinc/23/99/20/749239920.db2.gz LXUXSPOKNMOJOY-WOPDTQHZSA-N -1 1 303.362 1.305 20 0 DDADMM C[C@@H](CNc1ncnc2ccccc21)NC(=O)c1ncccc1[O-] ZINC001108323026 761933485 /nfs/dbraw/zinc/93/34/85/761933485.db2.gz GSWHNQOMXVZPSW-NSHDSACASA-N -1 1 323.356 1.961 20 0 DDADMM C[C@@H](CNc1cc(Cl)c(=O)[nH]n1)NC(=O)c1ncccc1[O-] ZINC001108323750 761934688 /nfs/dbraw/zinc/93/46/88/761934688.db2.gz VZWXLXCBHQVFSK-ZETCQYMHSA-N -1 1 323.740 1.167 20 0 DDADMM CC1(C)CN(C(=O)C2(C3CC3)CC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995932855 749301475 /nfs/dbraw/zinc/30/14/75/749301475.db2.gz JECCMWBMWNBKTE-NSHDSACASA-N -1 1 319.409 1.027 20 0 DDADMM O=C(NC/C=C\CNc1cccc(F)n1)c1ncccc1[O-] ZINC001107139792 749351822 /nfs/dbraw/zinc/35/18/22/749351822.db2.gz RQDPANBFRRDMIN-UPHRSURJSA-N -1 1 302.309 1.719 20 0 DDADMM CCCNC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1[O-] ZINC001202593925 749454559 /nfs/dbraw/zinc/45/45/59/749454559.db2.gz SVKVNKKIEYEMOY-UHFFFAOYSA-N -1 1 301.368 1.174 20 0 DDADMM CC1(C)CN(C(=O)[C@H]2CC23CCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996360874 749536745 /nfs/dbraw/zinc/53/67/45/749536745.db2.gz UACUQOKVOFKZDW-GHMZBOCLSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066704555 749771618 /nfs/dbraw/zinc/77/16/18/749771618.db2.gz PPIWSMCUAWDSIW-RYUDHWBXSA-N -1 1 305.378 1.364 20 0 DDADMM O=C([C@@H]1CC12CCCC2)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000622770 762017137 /nfs/dbraw/zinc/01/71/37/762017137.db2.gz ZZADDIZTAZOKQW-RYUDHWBXSA-N -1 1 319.409 1.171 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])Nc1cccc(F)n1 ZINC001115719149 750885677 /nfs/dbraw/zinc/88/56/77/750885677.db2.gz IZHOKOXOPFLPRL-JTQLQIEISA-N -1 1 304.325 1.894 20 0 DDADMM O=C(N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)[C@]12C[C@H]1CCCC2 ZINC001035041031 751143894 /nfs/dbraw/zinc/14/38/94/751143894.db2.gz IBOSPZKNPMMBSC-XHBSWPGZSA-N -1 1 319.409 1.171 20 0 DDADMM O=C(C[C@H]1C=CCCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999480159 752946653 /nfs/dbraw/zinc/94/66/53/752946653.db2.gz CVCWHSZXCBFRNU-QWHCGFSZSA-N -1 1 319.409 1.337 20 0 DDADMM CS[C@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062601866 753155551 /nfs/dbraw/zinc/15/55/51/753155551.db2.gz JMXXGRJWUJMXKK-SNVBAGLBSA-N -1 1 323.418 1.307 20 0 DDADMM O=C(N[C@@H]1CCCN(Cc2ccon2)C1)c1ncccc1[O-] ZINC001007178177 753266615 /nfs/dbraw/zinc/26/66/15/753266615.db2.gz RNXRRVCFUMDRGI-LLVKDONJSA-N -1 1 302.334 1.170 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036684971 753348331 /nfs/dbraw/zinc/34/83/31/753348331.db2.gz PYUWMUFDZYRVIL-GHMZBOCLSA-N -1 1 323.372 1.197 20 0 DDADMM CCC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001009834211 753398658 /nfs/dbraw/zinc/39/86/58/753398658.db2.gz UYJXHQBNIIMVPS-AVGNSLFASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](NC(=O)CCCc1nn[n-]n1)c1ccc2[nH]c(=O)oc2c1 ZINC001169118496 762239264 /nfs/dbraw/zinc/23/92/64/762239264.db2.gz WHNAODPJABNFGB-MRVPVSSYSA-N -1 1 316.321 1.247 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(c2nccnc2F)C1 ZINC001062923027 753848607 /nfs/dbraw/zinc/84/86/07/753848607.db2.gz HMTZLZOWGMSODQ-JTQLQIEISA-N -1 1 317.324 1.067 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(c2cnc(F)cn2)C1 ZINC001062922935 753848844 /nfs/dbraw/zinc/84/88/44/753848844.db2.gz DSPIXPUZAGGUFX-JTQLQIEISA-N -1 1 317.324 1.067 20 0 DDADMM Cc1ncc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001010729922 754128156 /nfs/dbraw/zinc/12/81/56/754128156.db2.gz DODXXLPUYNBZDY-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM CC1(C)CC(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001001706847 754471991 /nfs/dbraw/zinc/47/19/91/754471991.db2.gz ZQUVZIUFJBFKPU-UHFFFAOYSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ccco1 ZINC001011408824 754508279 /nfs/dbraw/zinc/50/82/79/754508279.db2.gz ATQFURJUNPXAPU-WDEREUQCSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1CCC1 ZINC001011609994 754630642 /nfs/dbraw/zinc/63/06/42/754630642.db2.gz GGHHKDQSVOVUJL-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccco2)CN1C(=O)c1ncccc1[O-] ZINC001012505303 755112776 /nfs/dbraw/zinc/11/27/76/755112776.db2.gz JHAIWPFUFGXXLB-GHMZBOCLSA-N -1 1 315.329 1.413 20 0 DDADMM CC(C)C(=O)N[C@@H]1CC[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001018003698 758463222 /nfs/dbraw/zinc/46/32/22/758463222.db2.gz ZKSZLYMQNMRYDY-VXGBXAGGSA-N -1 1 305.378 1.553 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)C1CC2(CC2)C1 ZINC001085326706 759267628 /nfs/dbraw/zinc/26/76/28/759267628.db2.gz CUDBNXDFGHRCPT-VXGBXAGGSA-N -1 1 319.409 1.218 20 0 DDADMM CN(C)c1cccnc1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000828197191 759527928 /nfs/dbraw/zinc/52/79/28/759527928.db2.gz ASULHPWXIVEZRI-UHFFFAOYSA-N -1 1 313.361 1.451 20 0 DDADMM Cc1sccc1C(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054639973 759932554 /nfs/dbraw/zinc/93/25/54/759932554.db2.gz VETZMPHNOCNEFO-KCJUWKMLSA-N -1 1 321.406 1.131 20 0 DDADMM CN(C(=O)C=Cc1ccc(C(=O)OC(C)(C)C)o1)c1nn[n-]n1 ZINC001131172425 767917490 /nfs/dbraw/zinc/91/74/90/767917490.db2.gz KYMXRGGELHJPKN-SOFGYWHQSA-N -1 1 319.321 1.424 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)C(C)(C)F)C1 ZINC001016430757 760291911 /nfs/dbraw/zinc/29/19/11/760291911.db2.gz LRJUSTITNJBNQT-UHFFFAOYSA-N -1 1 309.341 1.255 20 0 DDADMM CC1(C)CC(Nc2[n-]c(=O)nc3nc[nH]c32)CC(C)(C)N1O ZINC001169981619 763414462 /nfs/dbraw/zinc/41/44/62/763414462.db2.gz YHJFBJWNLBTBPY-UHFFFAOYSA-N -1 1 306.370 1.881 20 0 DDADMM CCC(CC)CN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001050893291 764244809 /nfs/dbraw/zinc/24/48/09/764244809.db2.gz ZEVXYPPCLBKTTN-AWEZNQCLSA-N -1 1 321.421 1.654 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C2CN(C[C@@H]3CC[C@H]4C[C@H]4C3)C2)c1[O-] ZINC001042620159 764279405 /nfs/dbraw/zinc/27/94/05/764279405.db2.gz VUHSUWDPOYOARG-FRRDWIJNSA-N -1 1 318.421 1.616 20 0 DDADMM Cc1cc(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)no1 ZINC001042643214 764306220 /nfs/dbraw/zinc/30/62/20/764306220.db2.gz YITCYUGGLXSIOG-UHFFFAOYSA-N -1 1 302.334 1.040 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(Cc2ccsc2)C1 ZINC001042646121 764309893 /nfs/dbraw/zinc/30/98/93/764309893.db2.gz KKBFIJYEKGWPRO-UHFFFAOYSA-N -1 1 303.387 1.805 20 0 DDADMM Cc1nc(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)co1 ZINC001042646599 764310370 /nfs/dbraw/zinc/31/03/70/764310370.db2.gz TUHFUQPPYDEYIA-UHFFFAOYSA-N -1 1 302.334 1.040 20 0 DDADMM COc1ccnc(N[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112984812 765085013 /nfs/dbraw/zinc/08/50/13/765085013.db2.gz YJMRCOXYEAYQEU-UWVGGRQHSA-N -1 1 317.349 1.205 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc4c(c3)NC(=O)CC4)c2[nH]1 ZINC001170215847 766174198 /nfs/dbraw/zinc/17/41/98/766174198.db2.gz BHUXOPULBYQMRM-UHFFFAOYSA-N -1 1 312.289 1.344 20 0 DDADMM CC(C)CCC(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131788129 768355485 /nfs/dbraw/zinc/35/54/85/768355485.db2.gz PWPQSTPTGUIRCT-OLZOCXBDSA-N -1 1 323.441 1.014 20 0 DDADMM Cc1nccn1-c1cc(Nc2[n-]c(=O)nc3nc[nH]c32)ncn1 ZINC001170226386 766200005 /nfs/dbraw/zinc/20/00/05/766200005.db2.gz KKNYQFRSEDRLHJ-UHFFFAOYSA-N -1 1 309.293 1.086 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ncnc3c2SCCC3)[n-]1 ZINC001170226723 766200496 /nfs/dbraw/zinc/20/04/96/766200496.db2.gz QZHRBYAFYKUBME-UHFFFAOYSA-N -1 1 301.335 1.630 20 0 DDADMM Cc1nsc(N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001058147948 766274058 /nfs/dbraw/zinc/27/40/58/766274058.db2.gz XJOCYLDPGTVWRY-SNVBAGLBSA-N -1 1 319.390 1.346 20 0 DDADMM C[C@H]1C[C@@H](Nc2ncc(F)cn2)CN1C(=O)c1ncccc1[O-] ZINC001069062999 767810711 /nfs/dbraw/zinc/81/07/11/767810711.db2.gz LKKSALUIOLTKNB-GXSJLCMTSA-N -1 1 317.324 1.431 20 0 DDADMM CCC(CC)C(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131834426 768413698 /nfs/dbraw/zinc/41/36/98/768413698.db2.gz OVPDFQSFHFXYRY-WCQYABFASA-N -1 1 323.441 1.014 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1(CF)CC1 ZINC001071952410 770336216 /nfs/dbraw/zinc/33/62/16/770336216.db2.gz LPUSCTRGLHSHEH-WDEREUQCSA-N -1 1 321.352 1.114 20 0 DDADMM Cc1conc1CN[C@@H](C)C[C@@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001134089366 770642680 /nfs/dbraw/zinc/64/26/80/770642680.db2.gz DZGWTVHJUTWRFZ-VHSXEESVSA-N -1 1 321.381 1.407 20 0 DDADMM C[C@H]1CC[C@@](C)(C(=O)NCCNCc2n[nH]c(=O)[n-]2)C1(C)C ZINC001134785852 771177230 /nfs/dbraw/zinc/17/72/30/771177230.db2.gz FSALEXDEFQRMOJ-BONVTDFDSA-N -1 1 309.414 1.179 20 0 DDADMM Cc1ccc(N[C@@H](CNC(=O)c2ncccc2[O-])C2CC2)nn1 ZINC001096652087 771373748 /nfs/dbraw/zinc/37/37/48/771373748.db2.gz UFYCMZFQUUYVRF-LBPRGKRZSA-N -1 1 313.361 1.506 20 0 DDADMM NC(=O)CCN(C(=O)C(=O)c1ccc([O-])cc1)c1ccccc1 ZINC001136556416 772015661 /nfs/dbraw/zinc/01/56/61/772015661.db2.gz AZFLJEGXDVPXJK-UHFFFAOYSA-N -1 1 312.325 1.484 20 0 DDADMM C[C@H]1CN(C2CN(Cc3c(F)cc([O-])cc3F)C2)C[C@H](C)O1 ZINC001144567031 772567444 /nfs/dbraw/zinc/56/74/44/772567444.db2.gz AHLBFBIWZUDIPT-QWRGUYRKSA-N -1 1 312.360 1.964 20 0 DDADMM CN(C)C(=O)[C@@H]1C[C@@H]2[C@@H](CC[N@H+]2Cc2sccc2C(=O)[O-])O1 ZINC001144671206 772591505 /nfs/dbraw/zinc/59/15/05/772591505.db2.gz QXOJHRTZTLEOHF-UTUOFQBUSA-N -1 1 324.402 1.266 20 0 DDADMM COC(=O)c1ccccc1C(=O)Nc1cc(=O)[n-]c(SC)n1 ZINC001148225522 773402875 /nfs/dbraw/zinc/40/28/75/773402875.db2.gz NIFVQPDTHUUVJI-UHFFFAOYSA-N -1 1 319.342 1.943 20 0 DDADMM COc1ccc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1O ZINC001148267578 773417307 /nfs/dbraw/zinc/41/73/07/773417307.db2.gz QDNLEDTYVZUOIA-UHFFFAOYSA-N -1 1 301.262 1.025 20 0 DDADMM COCc1ccc(C(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001148448803 773473872 /nfs/dbraw/zinc/47/38/72/773473872.db2.gz REJHGTMPZLNCFR-UHFFFAOYSA-N -1 1 301.279 1.521 20 0 DDADMM Cc1nn(C)c(C)c1CNC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001148461467 773476728 /nfs/dbraw/zinc/47/67/28/773476728.db2.gz HROMSXUGBFEUIQ-UHFFFAOYSA-N -1 1 301.346 1.793 20 0 DDADMM CC(=O)c1cc(C(=O)N2C[C@H]3CCC[N@H+](C)[C@@H]3C2)ccc1O ZINC001148661883 773570233 /nfs/dbraw/zinc/57/02/33/773570233.db2.gz FOZZNSDHNAZTKA-UKRRQHHQSA-N -1 1 302.374 1.761 20 0 DDADMM CCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001074771843 774118746 /nfs/dbraw/zinc/11/87/46/774118746.db2.gz XHZPLVIQJVAADO-NEPJUHHUSA-N -1 1 305.378 1.697 20 0 DDADMM CCCc1nsc(N(C)CCNC(=O)c2ncccc2[O-])n1 ZINC001100071211 775646270 /nfs/dbraw/zinc/64/62/70/775646270.db2.gz ZYWMNARHDLWFJG-UHFFFAOYSA-N -1 1 321.406 1.457 20 0 DDADMM CCc1cc(C)nc(N(C)CCNC(=O)c2ncccc2[O-])n1 ZINC001100071167 775646460 /nfs/dbraw/zinc/64/64/60/775646460.db2.gz XJIRHJXKNKJRLQ-UHFFFAOYSA-N -1 1 315.377 1.314 20 0 DDADMM O=C(NCCCNc1ncnc2ccccc21)c1ncccc1[O-] ZINC001094375921 775820642 /nfs/dbraw/zinc/82/06/42/775820642.db2.gz VPSNMTJVVRBLKS-UHFFFAOYSA-N -1 1 323.356 1.962 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(N[C@H]3Cc4ccc(Cl)cc4C3)c2[nH]1 ZINC001172712114 776879758 /nfs/dbraw/zinc/87/97/58/776879758.db2.gz KDAHQFMEZSZWSZ-VIFPVBQESA-N -1 1 317.736 1.948 20 0 DDADMM COC(=O)[C@H]1CCC[C@H](Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC1 ZINC001172778771 776888438 /nfs/dbraw/zinc/88/84/38/776888438.db2.gz WKUGBTSTWWAIDN-YUMQZZPRSA-N -1 1 321.337 1.250 20 0 DDADMM c1nc2ccc([N-]c3nonc3-c3cn4ccncc4n3)cn2n1 ZINC001174372812 777468020 /nfs/dbraw/zinc/46/80/20/777468020.db2.gz PMDDDBPGEWJSMS-UHFFFAOYSA-N -1 1 319.288 1.566 20 0 DDADMM Cc1csc(CCC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001176182751 778064618 /nfs/dbraw/zinc/06/46/18/778064618.db2.gz OTLKGBHKTUFSHN-UHFFFAOYSA-N -1 1 303.347 2.000 20 0 DDADMM COc1ncccc1CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001176214213 778080721 /nfs/dbraw/zinc/08/07/21/778080721.db2.gz XQKGHKCAOPUCCG-UHFFFAOYSA-N -1 1 301.228 1.408 20 0 DDADMM O=C([O-])Cn1cc(Nc2nnc(Br)s2)cn1 ZINC001176264786 778111144 /nfs/dbraw/zinc/11/11/44/778111144.db2.gz WJEWWTXPESJPSX-UHFFFAOYSA-N -1 1 304.129 1.325 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)C=Cc1ccc(Cl)nc1 ZINC001177895942 778775065 /nfs/dbraw/zinc/77/50/65/778775065.db2.gz SMWVSHCJTDSVIQ-DUXPYHPUSA-N -1 1 307.697 1.170 20 0 DDADMM CC(C)=CC(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001103846095 779088773 /nfs/dbraw/zinc/08/87/73/779088773.db2.gz LZRWYLLYZBCNNS-VXGBXAGGSA-N -1 1 307.398 1.051 20 0 DDADMM CCc1nsc(NC[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001103917625 779169762 /nfs/dbraw/zinc/16/97/62/779169762.db2.gz SLGHYNOCCGFXIM-SECBINFHSA-N -1 1 321.406 1.679 20 0 DDADMM CCC[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)C(C)C ZINC001179634020 779443314 /nfs/dbraw/zinc/44/33/14/779443314.db2.gz PECXHUIFOHEWFE-XQQFMLRXSA-N -1 1 323.441 1.662 20 0 DDADMM C[C@@H](NC(=O)c1c[nH]c2ccccc2c1=O)c1nn(C)cc1[O-] ZINC001179919817 779560158 /nfs/dbraw/zinc/56/01/58/779560158.db2.gz CVCZHMLWKOZVIB-SECBINFHSA-N -1 1 312.329 1.458 20 0 DDADMM CCc1c(C(=O)N(C)c2nn[nH]n2)cnn1Cc1ccccc1 ZINC001119490360 781476269 /nfs/dbraw/zinc/47/62/69/781476269.db2.gz MDCZLUAOOJFAOG-UHFFFAOYSA-N -1 1 311.349 1.284 20 0 DDADMM CCc1c(C(=O)N(C)c2nn[n-]n2)cnn1Cc1ccccc1 ZINC001119490360 781476271 /nfs/dbraw/zinc/47/62/71/781476271.db2.gz MDCZLUAOOJFAOG-UHFFFAOYSA-N -1 1 311.349 1.284 20 0 DDADMM CCCCC(=O)NCCC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001267160716 837437823 /nfs/dbraw/zinc/43/78/23/837437823.db2.gz HYXXBHGYKOWDBH-GFCCVEGCSA-N -1 1 309.414 1.561 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@@]1(C)CCOC1 ZINC000397188472 836234789 /nfs/dbraw/zinc/23/47/89/836234789.db2.gz NPDQQOSDWRTZER-SNVBAGLBSA-N -1 1 310.828 1.810 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N(C)CCNC(=O)c2ncccc2[O-])C1 ZINC001408554148 837234671 /nfs/dbraw/zinc/23/46/71/837234671.db2.gz CYXMLWSVAQOOEG-RYUDHWBXSA-N -1 1 305.378 1.412 20 0 DDADMM NC(=O)[C@H]1CC12CN(C(=O)c1cc3cc(O)ccc3cc1[O-])C2 ZINC001269104279 841210695 /nfs/dbraw/zinc/21/06/95/841210695.db2.gz AIGNIOZEVCRSCS-CYBMUJFWSA-N -1 1 312.325 1.198 20 0 DDADMM NC(=O)[C@H]1CC12CCN(C(=O)c1ccc([O-])cc1Cl)CC2 ZINC001269762403 842019743 /nfs/dbraw/zinc/01/97/43/842019743.db2.gz PPYVTHNIPIKULM-LLVKDONJSA-N -1 1 308.765 1.773 20 0 DDADMM CCc1ncoc1C(=O)Nc1n[nH]c2cc(C)n(C)c(=O)c21 ZINC001142821588 861271043 /nfs/dbraw/zinc/27/10/43/861271043.db2.gz LXAWZRLDBUQMDA-UHFFFAOYSA-N -1 1 301.306 1.373 20 0 DDADMM CC(F)(F)CN1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001363005602 842151677 /nfs/dbraw/zinc/15/16/77/842151677.db2.gz RXHBLWOQCNHMDP-UHFFFAOYSA-N -1 1 302.296 1.944 20 0 DDADMM C[C@]1(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)C=CCC1 ZINC001326337742 861290306 /nfs/dbraw/zinc/29/03/06/861290306.db2.gz LTYXKNYDSAXMDB-LRDDRELGSA-N -1 1 319.409 1.195 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CC[C@H](C2CC2)O1)C(C)C ZINC001364105395 843011391 /nfs/dbraw/zinc/01/13/91/843011391.db2.gz AABOBLULXOEPBD-JHJVBQTASA-N -1 1 319.423 1.061 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)NCCS(C)(=O)=O)cc2c1 ZINC001154744081 861414454 /nfs/dbraw/zinc/41/44/54/861414454.db2.gz IFWQEVHOUZZKGH-UHFFFAOYSA-N -1 1 323.370 1.328 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)N3CC[C@@H](C(N)=O)C3)cc2c1 ZINC001154754202 861428018 /nfs/dbraw/zinc/42/80/18/861428018.db2.gz UHEDQRMQHNJXLZ-LLVKDONJSA-N -1 1 314.341 1.501 20 0 DDADMM Cc1cnc(CN[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])nc1 ZINC001409325813 844903069 /nfs/dbraw/zinc/90/30/69/844903069.db2.gz QVOYVFLEVIFZLW-VXGBXAGGSA-N -1 1 315.377 1.182 20 0 DDADMM O=[P@]([O-])(O)CCOC1CCN(c2ccnc(Cl)n2)CC1 ZINC001224606258 844922021 /nfs/dbraw/zinc/92/20/21/844922021.db2.gz NHPRCZHJBJXPPQ-UHFFFAOYSA-N -1 1 321.701 1.293 20 0 DDADMM N=c1c(N)cnc(Cl)n1C(=O)c1ccc2cccnc2c1[O-] ZINC001149315390 861538947 /nfs/dbraw/zinc/53/89/47/861538947.db2.gz YWTFVGNPFOGRRZ-UHFFFAOYSA-N -1 1 315.720 1.540 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc2cc[nH]c2c1F ZINC001154955034 861600139 /nfs/dbraw/zinc/60/01/39/861600139.db2.gz QQVVJQKLTDODGA-UHFFFAOYSA-N -1 1 312.264 1.931 20 0 DDADMM Cn1cnc2c1cccc2C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001154991729 861628102 /nfs/dbraw/zinc/62/81/02/861628102.db2.gz PMQFYJWQCMUZQS-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM C[C@H](CO)N(CC1CCC1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001155085673 861717048 /nfs/dbraw/zinc/71/70/48/861717048.db2.gz QCBGBEAOGIVAJS-SNVBAGLBSA-N -1 1 320.418 1.641 20 0 DDADMM Cc1ncoc1CN1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001032369285 847176063 /nfs/dbraw/zinc/17/60/63/847176063.db2.gz YCFZEHKKNQHCHE-RYUDHWBXSA-N -1 1 314.345 1.183 20 0 DDADMM COC(=O)[C@@H]1CCCN(C(=O)c2[n-][nH]c3cc(=O)ccc2-3)[C@@H]1C ZINC001155266379 861911853 /nfs/dbraw/zinc/91/18/53/861911853.db2.gz FBFIQOOUWCDXCZ-MWLCHTKSSA-N -1 1 317.345 1.682 20 0 DDADMM CC[C@@H](C)C[C@H](C)NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364791217 848650231 /nfs/dbraw/zinc/65/02/31/848650231.db2.gz ULKCZQYJAROCRR-BDAKNGLRSA-N -1 1 303.384 1.299 20 0 DDADMM CC[C@@H](C)C[C@H](C)NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364791217 848650233 /nfs/dbraw/zinc/65/02/33/848650233.db2.gz ULKCZQYJAROCRR-BDAKNGLRSA-N -1 1 303.384 1.299 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cc(Cl)c[nH]1 ZINC001410317494 849064427 /nfs/dbraw/zinc/06/44/27/849064427.db2.gz NYWFYYVYRDSLNN-QMMMGPOBSA-N -1 1 322.752 1.317 20 0 DDADMM CCC[C@@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001150044949 862049470 /nfs/dbraw/zinc/04/94/70/862049470.db2.gz QPWTUGZOUPHXDL-CYBMUJFWSA-N -1 1 317.393 1.300 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCCC1CC1 ZINC001410876258 849899958 /nfs/dbraw/zinc/89/99/58/849899958.db2.gz VNKQTZBXXHJMBH-CMPLNLGQSA-N -1 1 307.398 1.170 20 0 DDADMM CC(C)C[C@H](C)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001410883413 849913877 /nfs/dbraw/zinc/91/38/77/849913877.db2.gz KXDRDLBBSFKCLL-LBPRGKRZSA-N -1 1 319.405 1.752 20 0 DDADMM Cc1nc(-c2noc(Cc3nnn[n-]3)n2)ccc1Br ZINC001247140672 850803088 /nfs/dbraw/zinc/80/30/88/850803088.db2.gz XTVMZZASGZSGQA-UHFFFAOYSA-N -1 1 322.126 1.306 20 0 DDADMM Cc1nc(-c2noc(Cc3nn[n-]n3)n2)ccc1Br ZINC001247140672 850803096 /nfs/dbraw/zinc/80/30/96/850803096.db2.gz XTVMZZASGZSGQA-UHFFFAOYSA-N -1 1 322.126 1.306 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@]3(C)COc4ccccc4O3)ccnc1-2 ZINC001411357635 850935959 /nfs/dbraw/zinc/93/59/59/850935959.db2.gz XAHVIWNJQZVHPW-QGZVFWFLSA-N -1 1 324.340 1.510 20 0 DDADMM O=S(=O)([N-]C1(c2ccccc2F)COC1)c1nccs1 ZINC001187911232 851086255 /nfs/dbraw/zinc/08/62/55/851086255.db2.gz QCIUTWZQNONRMY-UHFFFAOYSA-N -1 1 314.363 1.486 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCCCC1(C)C ZINC001273772149 851325723 /nfs/dbraw/zinc/32/57/23/851325723.db2.gz QZSPILGHWXVRGV-LBPRGKRZSA-N -1 1 323.441 1.665 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc4c3CCCO4)c1-2 ZINC001155597945 862277316 /nfs/dbraw/zinc/27/73/16/862277316.db2.gz QAVOTFZEYLWTRB-UHFFFAOYSA-N -1 1 309.329 1.612 20 0 DDADMM CN1C[C@@]2(F)CN(Cc3ccc(Cl)c([O-])c3)C[C@@]2(F)C1=O ZINC001274183939 852013605 /nfs/dbraw/zinc/01/36/05/852013605.db2.gz QZAXXSRMKUAFPP-ZIAGYGMSSA-N -1 1 316.735 1.750 20 0 DDADMM Cc1cccn2ncc(C(=O)NCCCC[P@](=O)([O-])O)c12 ZINC001155632962 862314977 /nfs/dbraw/zinc/31/49/77/862314977.db2.gz DKEZTVYSVVXQOG-UHFFFAOYSA-N -1 1 311.278 1.330 20 0 DDADMM CN(C)C(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1ccncc1[O-] ZINC001275218130 852905975 /nfs/dbraw/zinc/90/59/75/852905975.db2.gz QDRFOQNEYQWUJC-XXSPCDMZSA-N -1 1 303.362 1.020 20 0 DDADMM NC(=O)c1cc2c([nH]1)CN(C(=O)c1c([O-])cccc1Cl)CC2 ZINC001275466722 853162476 /nfs/dbraw/zinc/16/24/76/853162476.db2.gz POFQPLIHHDAZOU-UHFFFAOYSA-N -1 1 319.748 1.671 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C[C@@H]2CCOC2)[n-]c1Cl ZINC001411545870 853339526 /nfs/dbraw/zinc/33/95/26/853339526.db2.gz GDUIPPGBUMLRSW-ZETCQYMHSA-N -1 1 301.730 1.605 20 0 DDADMM O=C(NCc1nnc2n1CCCCC2)c1ccc([O-])cc1F ZINC001411618610 853468071 /nfs/dbraw/zinc/46/80/71/853468071.db2.gz MOWYETSCQKNPML-UHFFFAOYSA-N -1 1 304.325 1.779 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2c(s1)CCOC2 ZINC001155824249 862478737 /nfs/dbraw/zinc/47/87/37/862478737.db2.gz IVQZOMRCIGUMIT-UHFFFAOYSA-N -1 1 322.302 1.672 20 0 DDADMM COc1ccc(O[C@@H](C)CNC(=O)c2ccc([O-])cn2)cc1 ZINC001411860492 853849888 /nfs/dbraw/zinc/84/98/88/853849888.db2.gz CYYQGSSVXDVKNE-NSHDSACASA-N -1 1 302.330 1.993 20 0 DDADMM COCCOCN1CC2(C1)CN(Cc1ccc(C(=O)[O-])cc1)C2 ZINC001275905602 853923706 /nfs/dbraw/zinc/92/37/06/853923706.db2.gz FUVMAZILRRKWHO-UHFFFAOYSA-N -1 1 320.389 1.123 20 0 DDADMM O=C(c1ocnc1C(F)(F)F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001411935613 853996149 /nfs/dbraw/zinc/99/61/49/853996149.db2.gz GKNMKUAQDMAKGO-LURJTMIESA-N -1 1 316.243 1.226 20 0 DDADMM COC(=O)[C@@H](C)Cc1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001412012501 854105918 /nfs/dbraw/zinc/10/59/18/854105918.db2.gz LIZUFTGVDANEDU-JTQLQIEISA-N -1 1 317.349 1.123 20 0 DDADMM COc1ncc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cn1 ZINC001412162864 854280119 /nfs/dbraw/zinc/28/01/19/854280119.db2.gz NHLRIWMVVMYSDO-UHFFFAOYSA-N -1 1 301.306 1.112 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@]3(CC3(F)F)C2)c(=O)[n-]1 ZINC001412304067 854424514 /nfs/dbraw/zinc/42/45/14/854424514.db2.gz HWECNLWBLCENQL-LLVKDONJSA-N -1 1 301.318 1.775 20 0 DDADMM O=C(NCC1N=NC(=O)N1C1CC1)c1c(F)ccc([O-])c1F ZINC001412310149 854432248 /nfs/dbraw/zinc/43/22/48/854432248.db2.gz YJFUNCWHGYDKMN-UHFFFAOYSA-N -1 1 310.260 1.232 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(CC)CCOCC2)n[n-]1 ZINC001412327091 854444873 /nfs/dbraw/zinc/44/48/73/854444873.db2.gz LJKOIXVMEJQZQV-JTQLQIEISA-N -1 1 324.381 1.365 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(CC)CCOCC2)[n-]1 ZINC001412327091 854444877 /nfs/dbraw/zinc/44/48/77/854444877.db2.gz LJKOIXVMEJQZQV-JTQLQIEISA-N -1 1 324.381 1.365 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(CC)CCOCC2)n1 ZINC001412327091 854444881 /nfs/dbraw/zinc/44/48/81/854444881.db2.gz LJKOIXVMEJQZQV-JTQLQIEISA-N -1 1 324.381 1.365 20 0 DDADMM CSCC[C@H](NC(=O)C(C)(C)n1cnc(C)c1)c1nn[n-]n1 ZINC001412368911 854481739 /nfs/dbraw/zinc/48/17/39/854481739.db2.gz OHSBPIYKUQVBLC-JTQLQIEISA-N -1 1 323.426 1.050 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@H](O)c1cccc(F)c1 ZINC001412524860 854657970 /nfs/dbraw/zinc/65/79/70/854657970.db2.gz CHJOPJPHLKPQAT-ZDUSSCGKSA-N -1 1 321.356 1.447 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)c(=O)[n-]1 ZINC001412580306 854750629 /nfs/dbraw/zinc/75/06/29/854750629.db2.gz IGEAAOXQVGIHOE-SFGNSQDASA-N -1 1 309.391 1.447 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1cc(F)c[nH]1 ZINC001412583116 854755349 /nfs/dbraw/zinc/75/53/49/854755349.db2.gz GVKLMBHPIFRAAC-SNVBAGLBSA-N -1 1 321.312 1.426 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1cc(F)c[nH]1 ZINC001412583116 854755352 /nfs/dbraw/zinc/75/53/52/854755352.db2.gz GVKLMBHPIFRAAC-SNVBAGLBSA-N -1 1 321.312 1.426 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1cc(F)c[nH]1 ZINC001412583116 854755356 /nfs/dbraw/zinc/75/53/56/854755356.db2.gz GVKLMBHPIFRAAC-SNVBAGLBSA-N -1 1 321.312 1.426 20 0 DDADMM COC[C@H](NC(=O)COc1ccccc1C(C)C)c1nn[n-]n1 ZINC001412597726 854790003 /nfs/dbraw/zinc/79/00/03/854790003.db2.gz UJPWPWFOCJIFPY-LBPRGKRZSA-N -1 1 319.365 1.206 20 0 DDADMM CC(=O)N[C@@H](C)CN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001412636797 854859283 /nfs/dbraw/zinc/85/92/83/854859283.db2.gz XRXANIGWAKXGCH-VIFPVBQESA-N -1 1 308.382 1.211 20 0 DDADMM O=C(N[C@H]1CCCC[C@@H]1N1CCOCC1)c1ccc(F)c([O-])c1 ZINC001412647329 854874817 /nfs/dbraw/zinc/87/48/17/854874817.db2.gz SCVPITIQBVSZEU-GJZGRUSLSA-N -1 1 322.380 1.905 20 0 DDADMM CC(C)(C)NC(=O)[C@@H]1CC12CN(C(=O)c1cc([O-])cc(F)c1)C2 ZINC001276183513 854919675 /nfs/dbraw/zinc/91/96/75/854919675.db2.gz OUCRENLFNSILBL-ZDUSSCGKSA-N -1 1 320.364 1.908 20 0 DDADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C=C1CCC1 ZINC001276251662 855050427 /nfs/dbraw/zinc/05/04/27/855050427.db2.gz VCABLQOLDGHVIE-LBPRGKRZSA-N -1 1 307.398 1.385 20 0 DDADMM COC(=O)c1ccc(CN(C)C(=O)c2cncc([O-])c2)nc1 ZINC001412853787 855725743 /nfs/dbraw/zinc/72/57/43/855725743.db2.gz PBHATPPKUPUXJB-UHFFFAOYSA-N -1 1 301.302 1.241 20 0 DDADMM CSc1ncc(C(=O)Nc2cccc3c2CNC3=O)c(=O)[n-]1 ZINC001412926588 855789237 /nfs/dbraw/zinc/78/92/37/855789237.db2.gz BHFHEMVYAFCKMW-UHFFFAOYSA-N -1 1 316.342 1.400 20 0 DDADMM CCC(CC)(NC(=O)Cn1ccc(C(F)F)n1)c1nn[n-]n1 ZINC001412938998 855795070 /nfs/dbraw/zinc/79/50/70/855795070.db2.gz XADOYBXHWWDFEW-UHFFFAOYSA-N -1 1 313.312 1.166 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCCCN1C(=O)c1cccc([O-])c1F ZINC001412981713 855870911 /nfs/dbraw/zinc/87/09/11/855870911.db2.gz KASSKQIYOODZKZ-JTQLQIEISA-N -1 1 315.366 1.571 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC(O[C@@H]2CCOC2)CC1 ZINC001413075435 856355547 /nfs/dbraw/zinc/35/55/47/856355547.db2.gz OHSUEKNTHRICFE-CYBMUJFWSA-N -1 1 309.337 1.941 20 0 DDADMM CSCC[C@H](NC(=O)Cc1ccc(O)cc1)c1nn[n-]n1 ZINC001413298091 856620514 /nfs/dbraw/zinc/62/05/14/856620514.db2.gz KDZOXLNVICPKCP-NSHDSACASA-N -1 1 307.379 1.058 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2[C@@H](C)CCC[C@@H]2C)[n-]n1 ZINC001413351513 856702778 /nfs/dbraw/zinc/70/27/78/856702778.db2.gz WTNXRVKTYRQYDJ-IUCAKERBSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2[C@@H](C)CCC[C@@H]2C)n[n-]1 ZINC001413351513 856702782 /nfs/dbraw/zinc/70/27/82/856702782.db2.gz WTNXRVKTYRQYDJ-IUCAKERBSA-N -1 1 315.395 1.299 20 0 DDADMM O=C(C[N-]S(=O)(=O)Cc1ccno1)CC1CCCCC1 ZINC001413423300 856791177 /nfs/dbraw/zinc/79/11/77/856791177.db2.gz QIOBNLIMLJWVIP-UHFFFAOYSA-N -1 1 300.380 1.634 20 0 DDADMM CC(C)[C@H](C[N-]S(=O)(=O)C(F)F)NC(=O)OC(C)(C)C ZINC001259962919 856802397 /nfs/dbraw/zinc/80/23/97/856802397.db2.gz WLPQGNUFVRCVLW-QMMMGPOBSA-N -1 1 316.370 1.678 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N(CC(F)F)C1CCC1 ZINC001413586621 857175530 /nfs/dbraw/zinc/17/55/30/857175530.db2.gz DOOYQOXQQCDPLO-UHFFFAOYSA-N -1 1 313.304 1.163 20 0 DDADMM CCOc1cc(C(=O)N[C@@H]2C[C@@H](O)[C@@H](O)C2)cc(Cl)c1[O-] ZINC001413602527 857220005 /nfs/dbraw/zinc/22/00/05/857220005.db2.gz LPGXYZGRLHLLMQ-UIKWRGBMSA-N -1 1 315.753 1.058 20 0 DDADMM Cc1c(CC(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)cnn1C ZINC001413669753 857310076 /nfs/dbraw/zinc/31/00/76/857310076.db2.gz APDOLNVMVOKBTM-UHFFFAOYSA-N -1 1 305.338 1.198 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc3ncncc3c2)n[nH]1 ZINC001151767414 862956356 /nfs/dbraw/zinc/95/63/56/862956356.db2.gz FIBOELILINHDSC-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cncnc2C)[n-]c1=O ZINC001413765400 858499500 /nfs/dbraw/zinc/49/95/00/858499500.db2.gz KOFJQZHWYCCLDN-LLVKDONJSA-N -1 1 315.333 1.267 20 0 DDADMM O=C([O-])CCCCNC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC001121840068 858609549 /nfs/dbraw/zinc/60/95/49/858609549.db2.gz HJVNRBCGMYMYOB-NWDGAFQWSA-N -1 1 315.439 1.120 20 0 DDADMM Cc1ncccc1C=CC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123910695 859466852 /nfs/dbraw/zinc/46/68/52/859466852.db2.gz UAWSCXSIRLAMLA-YBJDMEARSA-N -1 1 312.377 1.398 20 0 DDADMM O=C(c1cnn(Cc2ccccc2)c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123960727 859497183 /nfs/dbraw/zinc/49/71/83/859497183.db2.gz DYTJOAOXZLTPSP-CYBMUJFWSA-N -1 1 323.360 1.074 20 0 DDADMM C[C@@H]1CN(Cc2ncccc2[O-])Cc2nnc(C(F)(F)F)n21 ZINC001138395302 860091950 /nfs/dbraw/zinc/09/19/50/860091950.db2.gz BMZQWHFORUYQCJ-MRVPVSSYSA-N -1 1 313.283 1.974 20 0 DDADMM COc1cccc(C[N@H+]2CCC[C@H](CO)CC2)c1OCC(=O)[O-] ZINC001139267764 860342672 /nfs/dbraw/zinc/34/26/72/860342672.db2.gz GUJCYDRDBRJDKT-ZDUSSCGKSA-N -1 1 323.389 1.753 20 0 DDADMM [O-]c1cnccc1CN1CCCn2c(Cn3cccc3)nnc2C1 ZINC001140276479 860606364 /nfs/dbraw/zinc/60/63/64/860606364.db2.gz MJZYOZWHTCWSHO-UHFFFAOYSA-N -1 1 324.388 1.634 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2ccc([O-])c(F)c2F)CCO1 ZINC001140892872 860726699 /nfs/dbraw/zinc/72/66/99/860726699.db2.gz BYBHIAHTDQVKHF-LLVKDONJSA-N -1 1 301.289 1.434 20 0 DDADMM N=C([N-]C(=O)C(=O)c1ccco1)c1cnc2ccc(F)cn12 ZINC001142291371 861107884 /nfs/dbraw/zinc/10/78/84/861107884.db2.gz XTMOVFFWNXFCAQ-UHFFFAOYSA-N -1 1 300.249 1.391 20 0 DDADMM O=Cc1ccc(-c2ccc(C(=O)NCc3nnc[nH]3)c([O-])c2)o1 ZINC001142371735 861138385 /nfs/dbraw/zinc/13/83/85/861138385.db2.gz WNCCVLVNTXUDLF-UHFFFAOYSA-N -1 1 312.285 1.513 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccn2ccccc12 ZINC001156474747 863079806 /nfs/dbraw/zinc/07/98/06/863079806.db2.gz FNBNTOSOUBVTKI-UHFFFAOYSA-N -1 1 310.273 1.221 20 0 DDADMM O=C(c1ccc2cnccc2n1)N1CCC(c2nn[n-]n2)CC1 ZINC001152486410 863387603 /nfs/dbraw/zinc/38/76/03/863387603.db2.gz SDWGVKJHGJNKBA-UHFFFAOYSA-N -1 1 309.333 1.163 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(Cl)cn2)n1 ZINC001361441328 881659425 /nfs/dbraw/zinc/65/94/25/881659425.db2.gz ZHYODUXZBMIZDC-UHFFFAOYSA-N -1 1 308.725 1.816 20 0 DDADMM Cn1cc(CCNC(=O)c2cc(=O)c3cccc(O)c3[n-]2)cn1 ZINC001152681050 863490663 /nfs/dbraw/zinc/49/06/63/863490663.db2.gz CHJKTJRVCLMBGS-UHFFFAOYSA-N -1 1 312.329 1.352 20 0 DDADMM COCC1(NC(=O)c2cc(=O)c3cccc(O)c3[n-]2)CCC1 ZINC001152680939 863491069 /nfs/dbraw/zinc/49/10/69/863491069.db2.gz YNBATQJTWMACMJ-UHFFFAOYSA-N -1 1 302.330 1.945 20 0 DDADMM Cn1ccnc1CCNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152685164 863495617 /nfs/dbraw/zinc/49/56/17/863495617.db2.gz OBGVCUYJFHPGKW-UHFFFAOYSA-N -1 1 312.329 1.352 20 0 DDADMM CCN(CCC(F)(F)F)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152834648 863558820 /nfs/dbraw/zinc/55/88/20/863558820.db2.gz BOQNFAASFZYPDW-MRVPVSSYSA-N -1 1 322.331 1.816 20 0 DDADMM CN(C)Cc1ccnc(NC(=N)SCCS(=O)(=O)[O-])c1 ZINC001157326844 863741316 /nfs/dbraw/zinc/74/13/16/863741316.db2.gz CWYMEDIDYSDRTI-UHFFFAOYSA-N -1 1 318.424 1.111 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC[C@@H]1CCCC[C@@H]1O ZINC001157586267 863954104 /nfs/dbraw/zinc/95/41/04/863954104.db2.gz JCXKKMYSZZPLNO-UWVGGRQHSA-N -1 1 311.407 1.450 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cnc2ncccc2c1 ZINC001153772658 864086544 /nfs/dbraw/zinc/08/65/44/864086544.db2.gz CZIRBKOYZXVWPK-UHFFFAOYSA-N -1 1 307.273 1.254 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3nc[nH]c3n2)n1 ZINC001153782527 864097092 /nfs/dbraw/zinc/09/70/92/864097092.db2.gz OTUOWNVXOHPNQA-UHFFFAOYSA-N -1 1 300.278 1.110 20 0 DDADMM Cc1ncc(CNC(=O)c2c[n-]c3cccnc3c2=O)s1 ZINC001153854154 864161700 /nfs/dbraw/zinc/16/17/00/864161700.db2.gz NXJXYASKBLAZIU-UHFFFAOYSA-N -1 1 300.343 1.618 20 0 DDADMM O=c1nc2nc[nH]c2c(NC(F)(F)c2cncc(Cl)n2)[n-]1 ZINC001159199478 865163749 /nfs/dbraw/zinc/16/37/49/865163749.db2.gz MEHDUQYPDIXGMQ-UHFFFAOYSA-N -1 1 313.655 1.663 20 0 DDADMM NCc1nccnc1[N-]C(F)(F)c1cc[n+]([O-])c(Cl)c1 ZINC001160252319 865747828 /nfs/dbraw/zinc/74/78/28/865747828.db2.gz XLCCWLBMTXVHHB-UHFFFAOYSA-N -1 1 301.684 1.384 20 0 DDADMM CO[C@@H](C(=O)[O-])C(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001332424637 865831015 /nfs/dbraw/zinc/83/10/15/865831015.db2.gz ZYTVGZYGNSPCAT-OAHLLOKOSA-N -1 1 320.389 1.129 20 0 DDADMM CC(=O)O[C@H]1CO[C@@H]2[C@H](Oc3cc([O-])ccc3C(C)=O)CO[C@@H]21 ZINC001225619171 881940930 /nfs/dbraw/zinc/94/09/30/881940930.db2.gz MMLRLNNDGMZECK-ZJIFWQFVSA-N -1 1 322.313 1.072 20 0 DDADMM CCOc1cc(C(=O)[N-]c2ccc(S(C)(=O)=O)cn2)on1 ZINC001361582558 881943807 /nfs/dbraw/zinc/94/38/07/881943807.db2.gz ZDYGUBIUUGTNPA-UHFFFAOYSA-N -1 1 311.319 1.124 20 0 DDADMM Cc1cccc(F)c1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC001319985530 866416285 /nfs/dbraw/zinc/41/62/85/866416285.db2.gz ODMGDSHCSCNWJL-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM CC(=O)N(C)C1CCN(Cc2ccc(/C=C\C(=O)[O-])o2)CC1 ZINC001333123189 866441303 /nfs/dbraw/zinc/44/13/03/866441303.db2.gz YYSUGDUUMVHBBR-WAYWQWQTSA-N -1 1 306.362 1.820 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CCc2nnc(-c3ccccc3)n2C1 ZINC001161392099 866622334 /nfs/dbraw/zinc/62/23/34/866622334.db2.gz YUQHWKQXBRWTAV-NSHDSACASA-N -1 1 324.344 1.575 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCCCn1ccnc1 ZINC001323741818 866810234 /nfs/dbraw/zinc/81/02/34/866810234.db2.gz JXSBCJBLJJBUOK-UHFFFAOYSA-N -1 1 321.406 1.619 20 0 DDADMM C[C@@H]1[C@H](Oc2cc(=O)[n-]c(=S)[nH]2)CN1C(=O)OC(C)(C)C ZINC001225764523 882018514 /nfs/dbraw/zinc/01/85/14/882018514.db2.gz FYEUXEICNVEGOA-HTQZYQBOSA-N -1 1 313.379 1.858 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C[C@@H]2CCCOC2)[n-]c1Cl ZINC001361642772 882071526 /nfs/dbraw/zinc/07/15/26/882071526.db2.gz UZQYNWDPUVVREQ-QMMMGPOBSA-N -1 1 315.757 1.995 20 0 DDADMM Cc1cc2c(c(NC[C@@H]3COc4cnccc43)n1)C(=O)[N-]C2=O ZINC001162573636 867653211 /nfs/dbraw/zinc/65/32/11/867653211.db2.gz JDGVKXOJCVOYLO-SECBINFHSA-N -1 1 310.313 1.257 20 0 DDADMM CCOC(=O)c1nc(NC(=O)COC(C)(C)C)[n-]c1Cl ZINC001361673283 882132378 /nfs/dbraw/zinc/13/23/78/882132378.db2.gz XGPKUXPCSZBMLC-UHFFFAOYSA-N -1 1 303.746 1.993 20 0 DDADMM CC/C(C)=C/C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001334996178 867982601 /nfs/dbraw/zinc/98/26/01/867982601.db2.gz DJGWGAGDTJXCDV-OBIHZWKSSA-N -1 1 317.389 1.720 20 0 DDADMM CCn1c2ccccc2nc1SCC(=O)N(C)c1nn[n-]n1 ZINC001335058666 868037148 /nfs/dbraw/zinc/03/71/48/868037148.db2.gz BMYFBZZTWKKIJD-UHFFFAOYSA-N -1 1 317.378 1.324 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@]1(C)C=CCC1)NC(=O)c1ncccc1[O-] ZINC001337385080 869462675 /nfs/dbraw/zinc/46/26/75/869462675.db2.gz WCNXNGNQTAUJHW-SJCJKPOMSA-N -1 1 317.389 1.720 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=Cc2nccs2)n[n-]1 ZINC001166125574 869847709 /nfs/dbraw/zinc/84/77/09/869847709.db2.gz AHKZSGKCNYTLOH-ZJELKQJVSA-N -1 1 321.362 1.329 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=Cc2nccs2)[n-]1 ZINC001166125574 869847721 /nfs/dbraw/zinc/84/77/21/869847721.db2.gz AHKZSGKCNYTLOH-ZJELKQJVSA-N -1 1 321.362 1.329 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C=Cc2nccs2)n1 ZINC001166125574 869847734 /nfs/dbraw/zinc/84/77/34/869847734.db2.gz AHKZSGKCNYTLOH-ZJELKQJVSA-N -1 1 321.362 1.329 20 0 DDADMM O=C(Cc1nc2ncccn2n1)Nc1ccc([O-])c(F)c1F ZINC001297819809 870180359 /nfs/dbraw/zinc/18/03/59/870180359.db2.gz GOKRPHCYNYLZBD-UHFFFAOYSA-N -1 1 305.244 1.289 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)C1=CCS(=O)(=O)C1 ZINC001361796924 882390710 /nfs/dbraw/zinc/39/07/10/882390710.db2.gz LRPBDRLJUZPJMB-UHFFFAOYSA-N -1 1 319.342 1.076 20 0 DDADMM O=C(NC/C=C\CNC(=O)[C@@H]1CC12CCC2)c1ncccc1[O-] ZINC001298356777 870497787 /nfs/dbraw/zinc/49/77/87/870497787.db2.gz MPVMWFIAEPZQRS-BIOMNHJASA-N -1 1 315.373 1.380 20 0 DDADMM C[C@H](C(=O)N=c1ccnc2n(C)[n-]cc1-2)c1ccc2c(c1)OCO2 ZINC001361809603 882414484 /nfs/dbraw/zinc/41/44/84/882414484.db2.gz MPPBUQQTTGXQGY-JTQLQIEISA-N -1 1 324.340 1.813 20 0 DDADMM CN1CCN(c2ccc(NC(=O)[C@@H]3CC[C@@H]3C(=O)[O-])cc2)CC1 ZINC001339586363 870567365 /nfs/dbraw/zinc/56/73/65/870567365.db2.gz ZASPEPHRSXJJDQ-CABCVRRESA-N -1 1 317.389 1.488 20 0 DDADMM CCC(C)(C)CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001226442254 882433955 /nfs/dbraw/zinc/43/39/55/882433955.db2.gz SHCPHCVYEOYOMN-VXGBXAGGSA-N -1 1 323.441 1.854 20 0 DDADMM CC(C)CC1(CNC(=O)[C@@]2(C(=O)[O-])CNCCO2)CCCC1 ZINC001340748585 871327714 /nfs/dbraw/zinc/32/77/14/871327714.db2.gz RKMIAJYQEQZRGE-MRXNPFEDSA-N -1 1 312.410 1.152 20 0 DDADMM O=C([N-]N1CC(=O)NC1=O)c1cc2cc(Cl)ccc2s1 ZINC001306329098 871337112 /nfs/dbraw/zinc/33/71/12/871337112.db2.gz UOXRKUDETQLKNY-UHFFFAOYSA-N -1 1 309.734 1.751 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1ccc(OC)cc1 ZINC001340919386 871424999 /nfs/dbraw/zinc/42/49/99/871424999.db2.gz OIDWYIXJTVOLJL-UHFFFAOYSA-N -1 1 314.353 1.123 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1ccc(OC)cc1 ZINC001340919386 871425008 /nfs/dbraw/zinc/42/50/08/871425008.db2.gz OIDWYIXJTVOLJL-UHFFFAOYSA-N -1 1 314.353 1.123 20 0 DDADMM CCn1c(-c2cc(Cl)ncc2[O-])nnc1N1CC[C@@H](OC)C1 ZINC001341053231 871481806 /nfs/dbraw/zinc/48/18/06/871481806.db2.gz ACBXEEKAJBPCMV-SECBINFHSA-N -1 1 323.784 1.944 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccsc1)c1ccc(F)nc1F ZINC001309347461 871567459 /nfs/dbraw/zinc/56/74/59/871567459.db2.gz COLLKZQOFQATKH-MRVPVSSYSA-N -1 1 320.342 1.433 20 0 DDADMM COC(=O)[C@H](C)[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001341700754 871783245 /nfs/dbraw/zinc/78/32/45/871783245.db2.gz SYDQOQLDCBRJFS-YFKPBYRVSA-N -1 1 313.162 1.228 20 0 DDADMM CC/C=C(/C)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001316769306 872015057 /nfs/dbraw/zinc/01/50/57/872015057.db2.gz VCEZQVZVCBXMLT-OTAKNEKHSA-N -1 1 321.425 1.727 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCCc1cnn(C)c1 ZINC001319128966 872452547 /nfs/dbraw/zinc/45/25/47/872452547.db2.gz ZJVMVQWXXNPDCL-UHFFFAOYSA-N -1 1 321.406 1.309 20 0 DDADMM Cc1cc(N(C)C)ncc1[N-]S(=O)(=O)c1ccc(F)cc1N ZINC001206754761 872552198 /nfs/dbraw/zinc/55/21/98/872552198.db2.gz LCGAFLBBECZHBS-UHFFFAOYSA-N -1 1 324.381 1.978 20 0 DDADMM CC(C)C(=O)NC1CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001361918587 882638354 /nfs/dbraw/zinc/63/83/54/882638354.db2.gz OYKWBCUFCDBVSS-UHFFFAOYSA-N -1 1 308.353 1.908 20 0 DDADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C=CC(C)(C)C ZINC001319606021 872714865 /nfs/dbraw/zinc/71/48/65/872714865.db2.gz UUBGTNPKSDZWJO-ZHRWSRJISA-N -1 1 323.441 1.733 20 0 DDADMM O=S(=O)([N-]C1(CCO)CC1)c1cnc(Cl)cc1Cl ZINC001343848202 872784066 /nfs/dbraw/zinc/78/40/66/872784066.db2.gz FYKTZZMWDHGWCJ-UHFFFAOYSA-N -1 1 311.190 1.582 20 0 DDADMM O=c1[nH][n-]cc1-c1nnc(N2CC=CCC2)n1C[C@@H]1CCCO1 ZINC001344007734 872833147 /nfs/dbraw/zinc/83/31/47/872833147.db2.gz NAMRFMPATYMRMR-NSHDSACASA-N -1 1 316.365 1.319 20 0 DDADMM Cc1coc(C(=O)OCCc2c(C)nc3nc[n-]n3c2=O)c1C ZINC001344042949 872843479 /nfs/dbraw/zinc/84/34/79/872843479.db2.gz DZQYSHCYQBOZOU-UHFFFAOYSA-N -1 1 316.317 1.335 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)CCCOC)o1 ZINC001344312739 872977639 /nfs/dbraw/zinc/97/76/39/872977639.db2.gz KFLWYEQHXMNOLQ-SECBINFHSA-N -1 1 305.352 1.160 20 0 DDADMM C[C@H](Cc1ccccc1)Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c12 ZINC001226888024 882704411 /nfs/dbraw/zinc/70/44/11/882704411.db2.gz MKOYUGQPJOQGAA-MRVPVSSYSA-N -1 1 314.301 1.547 20 0 DDADMM CC(C)(C)OC(=O)N[C@H](CC(=O)Nc1nnn[n-]1)C(C)(C)C ZINC001362010224 882816964 /nfs/dbraw/zinc/81/69/64/882816964.db2.gz YTQNTIFKFKEYDA-MRVPVSSYSA-N -1 1 312.374 1.468 20 0 DDADMM CC(C)(C)OC(=O)N[C@H](CC(=O)Nc1nn[n-]n1)C(C)(C)C ZINC001362010224 882816983 /nfs/dbraw/zinc/81/69/83/882816983.db2.gz YTQNTIFKFKEYDA-MRVPVSSYSA-N -1 1 312.374 1.468 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC([C@@H]2CCCO2)CC1 ZINC001348320131 874480952 /nfs/dbraw/zinc/48/09/52/874480952.db2.gz DDBXSWAZAMNRAY-NSHDSACASA-N -1 1 323.418 1.811 20 0 DDADMM COC[C@@H](C)OC[C@H](C)Oc1[n-]c(=O)ncc1Br ZINC001227139033 882871038 /nfs/dbraw/zinc/87/10/38/882871038.db2.gz XWPZDXQHGFIWKK-SFYZADRCSA-N -1 1 321.171 1.764 20 0 DDADMM COC[C@@H](C)OC[C@@H](C)Oc1[n-]c(=O)ncc1Br ZINC001227139031 882871078 /nfs/dbraw/zinc/87/10/78/882871078.db2.gz XWPZDXQHGFIWKK-HTQZYQBOSA-N -1 1 321.171 1.764 20 0 DDADMM CCOC(=O)Nc1ccc(Cl)c(C(=O)NCc2nn[n-]n2)c1 ZINC001362038230 882890229 /nfs/dbraw/zinc/89/02/29/882890229.db2.gz FQEFVTXJNQWSQL-UHFFFAOYSA-N -1 1 324.728 1.352 20 0 DDADMM COCC(=O)c1ccc([O-])cc1O[C@H]1C[C@@](C)(C(=O)OC)C1 ZINC001227171923 882891741 /nfs/dbraw/zinc/89/17/41/882891741.db2.gz IKGNKVBXWIGRNA-AITUWYQGSA-N -1 1 308.330 1.942 20 0 DDADMM COCC1(CCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)CCC1 ZINC001349900655 875442063 /nfs/dbraw/zinc/44/20/63/875442063.db2.gz QYNWSEBLLYHXQU-UHFFFAOYSA-N -1 1 311.407 1.716 20 0 DDADMM O=C(c1nc(Cl)ccc1F)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001350428865 875683582 /nfs/dbraw/zinc/68/35/82/875683582.db2.gz LTJXNGGAGIZJKI-QMMMGPOBSA-N -1 1 324.747 1.482 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001350428121 875684218 /nfs/dbraw/zinc/68/42/18/875684218.db2.gz GNILTNJLNKNSIL-BRADTZPFSA-N -1 1 312.377 1.479 20 0 DDADMM Cc1cccnc1C=CC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001350429016 875684444 /nfs/dbraw/zinc/68/44/44/875684444.db2.gz MOKMKTBYBAWGEK-YBJDMEARSA-N -1 1 312.377 1.398 20 0 DDADMM O=c1[nH]c2nc(O[C@@H]3CCOc4ccccc43)[n-]c2c(=O)[nH]1 ZINC001227430219 883010267 /nfs/dbraw/zinc/01/02/67/883010267.db2.gz JNCRRAKUFQHVSZ-SECBINFHSA-N -1 1 300.274 1.667 20 0 DDADMM O=c1[nH]c2[n-]c(O[C@@H]3CCOc4ccccc43)nc2c(=O)[nH]1 ZINC001227430219 883010286 /nfs/dbraw/zinc/01/02/86/883010286.db2.gz JNCRRAKUFQHVSZ-SECBINFHSA-N -1 1 300.274 1.667 20 0 DDADMM Cc1ccc(F)c([N-]S(=O)(=O)c2cc(N)ccc2N)c1O ZINC001215742652 876797146 /nfs/dbraw/zinc/79/71/46/876797146.db2.gz KDBBBRRKTQRSJI-UHFFFAOYSA-N -1 1 311.338 1.805 20 0 DDADMM CCC(CC)CC(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001379315230 876801078 /nfs/dbraw/zinc/80/10/78/876801078.db2.gz QAMRVMNMXBJTKD-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM Cn1nc(-c2ccccc2)cc1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001352585279 876816738 /nfs/dbraw/zinc/81/67/38/876816738.db2.gz OVSLYMJLKDOSAX-GFCCVEGCSA-N -1 1 323.360 1.230 20 0 DDADMM NC(=O)c1nccnc1[N-]c1cnc(Br)s1 ZINC001216263845 876906408 /nfs/dbraw/zinc/90/64/08/876906408.db2.gz ORWRHTYZSOTAIH-UHFFFAOYSA-N -1 1 300.141 1.538 20 0 DDADMM CC(C)c1ccccc1C(=O)N[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001379367041 876930930 /nfs/dbraw/zinc/93/09/30/876930930.db2.gz DHKBHXYIJMVXMV-LLVKDONJSA-N -1 1 317.393 1.542 20 0 DDADMM COC(=O)c1nccnc1[N-]c1ccn(C(=O)OC(C)(C)C)n1 ZINC001216670997 877013504 /nfs/dbraw/zinc/01/35/04/877013504.db2.gz LGDPWVJYJBEIKF-UHFFFAOYSA-N -1 1 319.321 1.987 20 0 DDADMM O=C([O-])/C=C\c1ccc(CN2CCC[C@H](n3ccnn3)C2)o1 ZINC001353923638 877649014 /nfs/dbraw/zinc/64/90/14/877649014.db2.gz ZVHQGWRLLLJSGD-FJOGCWAESA-N -1 1 302.334 1.806 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)Nc2cc(Cl)nc(=O)[nH]2)n[n-]1 ZINC001472827841 878189971 /nfs/dbraw/zinc/18/99/71/878189971.db2.gz CJRPLOTWTYHNTF-RXMQYKEDSA-N -1 1 312.717 1.304 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)Nc2cc(Cl)nc(=O)[nH]2)n1 ZINC001472827841 878189978 /nfs/dbraw/zinc/18/99/78/878189978.db2.gz CJRPLOTWTYHNTF-RXMQYKEDSA-N -1 1 312.717 1.304 20 0 DDADMM C[C@@H](CNC(=O)C1=CCCC1)N(C)C(=O)c1ncccc1[O-] ZINC001380666387 880149449 /nfs/dbraw/zinc/14/94/49/880149449.db2.gz CICQRXSIDGFMSS-NSHDSACASA-N -1 1 303.362 1.474 20 0 DDADMM O=C([O-])CC[C@@H](O)C(=O)Oc1cc(Cl)c(O)cc1Cl ZINC001227640614 883119060 /nfs/dbraw/zinc/11/90/60/883119060.db2.gz DVAVYTBYPAHMPC-SSDOTTSWSA-N -1 1 309.101 1.830 20 0 DDADMM CCCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C(C)C)C1 ZINC001374377188 912670933 /nfs/dbraw/zinc/67/09/33/912670933.db2.gz URKXKSOEJPQARG-ZDUSSCGKSA-N -1 1 319.405 1.896 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H]1c1ccccn1 ZINC001362155697 883170622 /nfs/dbraw/zinc/17/06/22/883170622.db2.gz XOHMFEKDACEPRW-SNVBAGLBSA-N -1 1 316.317 1.269 20 0 DDADMM C[C@](NC(=O)c1ccc([O-])cn1)(C(N)=O)c1cccc(Cl)c1 ZINC001362185701 883241563 /nfs/dbraw/zinc/24/15/63/883241563.db2.gz JIBOAZMOWOFGHQ-OAHLLOKOSA-N -1 1 319.748 1.571 20 0 DDADMM Cn1cc(N2CCN(C(=O)c3ccc(F)c([O-])c3)CC2)cn1 ZINC001362200216 883277901 /nfs/dbraw/zinc/27/79/01/883277901.db2.gz VYYPVONKOPHDQG-UHFFFAOYSA-N -1 1 304.325 1.227 20 0 DDADMM C=C/C(C)=C/CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228035307 883297413 /nfs/dbraw/zinc/29/74/13/883297413.db2.gz DBPKHUZRTZAHNC-NALFIARHSA-N -1 1 319.409 1.550 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)c1ncc(C)s1 ZINC001362211320 883308039 /nfs/dbraw/zinc/30/80/39/883308039.db2.gz VEWIYPCSMUOEJB-LURJTMIESA-N -1 1 310.335 1.152 20 0 DDADMM COC(=O)C(C)(C)[C@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001362252191 883410107 /nfs/dbraw/zinc/41/01/07/883410107.db2.gz JBXBWKUTUAAMEZ-NSHDSACASA-N -1 1 306.362 1.839 20 0 DDADMM CCc1c(C)nc2n(c1=O)CCC[C@H]2Oc1cnnc(=S)[n-]1 ZINC001228641800 883589880 /nfs/dbraw/zinc/58/98/80/883589880.db2.gz IXAKTOMHWOZAAK-SNVBAGLBSA-N -1 1 319.390 1.502 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362356170 883634947 /nfs/dbraw/zinc/63/49/47/883634947.db2.gz OWKPKZJTGWYJGD-BDAKNGLRSA-N -1 1 305.304 1.884 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2cccc([O-])c2F)cn1 ZINC001362379036 883677416 /nfs/dbraw/zinc/67/74/16/883677416.db2.gz LTSLJTJGALMEFC-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM CCC[C@@H](NC(=O)c1cn(CC(F)F)nc1C)c1nn[n-]n1 ZINC001362382924 883686800 /nfs/dbraw/zinc/68/68/00/883686800.db2.gz APYNJGXVHOFKER-SECBINFHSA-N -1 1 313.312 1.241 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccc(F)cc1F ZINC001362404232 883734143 /nfs/dbraw/zinc/73/41/43/883734143.db2.gz ADDSKVRGLJJWJJ-UWVGGRQHSA-N -1 1 321.331 1.988 20 0 DDADMM O=C(N[C@H](CO)Cc1ccc(O)cc1)c1cccc([O-])c1F ZINC001362406355 883738491 /nfs/dbraw/zinc/73/84/91/883738491.db2.gz BQODVRNBUPJXGA-NSHDSACASA-N -1 1 305.305 1.570 20 0 DDADMM CCC(CC)(NC(=O)C[C@](C)(O)c1ccccc1)c1nn[n-]n1 ZINC001362421646 883773105 /nfs/dbraw/zinc/77/31/05/883773105.db2.gz FZLHHTLXAHOVAA-HNNXBMFYSA-N -1 1 317.393 1.629 20 0 DDADMM NC(=O)N[C@@H]1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC001362438012 883808448 /nfs/dbraw/zinc/80/84/48/883808448.db2.gz MBHRKLHNICJSBK-SNVBAGLBSA-N -1 1 315.329 1.134 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1N1CCOCC1)c1ccc([O-])cn1 ZINC001362442805 883821808 /nfs/dbraw/zinc/82/18/08/883821808.db2.gz TXDSJKUGOVGFCK-UKRRQHHQSA-N -1 1 305.378 1.160 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@H](O)C1)c1cnc(Cl)cc1Cl ZINC001330728446 883843417 /nfs/dbraw/zinc/84/34/17/883843417.db2.gz QUEREBFDDYRKBG-LJGSYFOKSA-N -1 1 311.190 1.438 20 0 DDADMM O=C(NC[C@H]1CCCS(=O)(=O)C1)c1ccc(F)c([O-])c1 ZINC001362503187 883955963 /nfs/dbraw/zinc/95/59/63/883955963.db2.gz IPJOPCSBUKVQIU-SECBINFHSA-N -1 1 301.339 1.086 20 0 DDADMM C[C@H](OC1CCCCC1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362534951 884026989 /nfs/dbraw/zinc/02/69/89/884026989.db2.gz CNAIDHGXOVQBEQ-NWDGAFQWSA-N -1 1 307.398 1.644 20 0 DDADMM CCC[C@@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccccn1 ZINC001362534700 884027448 /nfs/dbraw/zinc/02/74/48/884027448.db2.gz BGUBCMRLZKAHIN-CHWSQXEVSA-N -1 1 314.393 1.885 20 0 DDADMM O=C1[N-]C(=O)[C@@H](Cc2ccc(O[C@H]3CCCOC3=O)cc2)S1 ZINC001229623483 884083018 /nfs/dbraw/zinc/08/30/18/884083018.db2.gz JJNNKZWDZGSURD-NWDGAFQWSA-N -1 1 321.354 1.665 20 0 DDADMM COc1cc(NC(C)=O)ccc1NC(=O)CCCc1nn[n-]n1 ZINC001362649517 884318068 /nfs/dbraw/zinc/31/80/68/884318068.db2.gz VTLIGDGPMSWKOU-UHFFFAOYSA-N -1 1 318.337 1.128 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2=C(CC)OCCC2)n[n-]1 ZINC001362663367 884356260 /nfs/dbraw/zinc/35/62/60/884356260.db2.gz ZKWFJAPWUFDHHZ-VIFPVBQESA-N -1 1 322.365 1.633 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2=C(CC)OCCC2)[n-]1 ZINC001362663367 884356270 /nfs/dbraw/zinc/35/62/70/884356270.db2.gz ZKWFJAPWUFDHHZ-VIFPVBQESA-N -1 1 322.365 1.633 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2=C(CC)OCCC2)n1 ZINC001362663367 884356280 /nfs/dbraw/zinc/35/62/80/884356280.db2.gz ZKWFJAPWUFDHHZ-VIFPVBQESA-N -1 1 322.365 1.633 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1C[C@H]1C(F)(F)F)c1nn[n-]n1 ZINC001362678611 884396030 /nfs/dbraw/zinc/39/60/30/884396030.db2.gz CKVSQFCIQHQZLN-QYNIQEEDSA-N -1 1 309.317 1.309 20 0 DDADMM CCCC[C@@H](O)CCC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001362710961 884463144 /nfs/dbraw/zinc/46/31/44/884463144.db2.gz BWBDJBFGAULXHX-UKRRQHHQSA-N -1 1 317.393 1.737 20 0 DDADMM CC1(C)CN(C(=O)c2cccc([O-])c2F)C[C@]2(CCOC2)O1 ZINC001362715853 884472399 /nfs/dbraw/zinc/47/23/99/884472399.db2.gz HIJYICMWZIURAQ-INIZCTEOSA-N -1 1 309.337 1.941 20 0 DDADMM COC(=O)c1coc(CNC(=O)c2cnc(SC)[n-]c2=O)c1 ZINC001362725588 884489461 /nfs/dbraw/zinc/48/94/61/884489461.db2.gz UEOCLGOMVPANFM-UHFFFAOYSA-N -1 1 323.330 1.214 20 0 DDADMM CC(C)[C@H](NC(=O)CCCc1nn[n-]n1)[C@@H](O)c1ccccc1 ZINC001362793540 884654566 /nfs/dbraw/zinc/65/45/66/884654566.db2.gz OUXAOPTYPGROEW-HOTGVXAUSA-N -1 1 317.393 1.397 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CO[C@@H]1CCCC[C@@H]1C ZINC001362828261 884738991 /nfs/dbraw/zinc/73/89/91/884738991.db2.gz ARYNSBXHHOZHES-QWHCGFSZSA-N -1 1 309.414 1.780 20 0 DDADMM COc1ccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)cc1F ZINC001362830028 884743966 /nfs/dbraw/zinc/74/39/66/884743966.db2.gz ILFNUMRGKAYGFX-UHFFFAOYSA-N -1 1 307.329 1.646 20 0 DDADMM CN(C)c1cccc(CCC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001362833246 884752521 /nfs/dbraw/zinc/75/25/21/884752521.db2.gz GJVLPTHHGFSSCW-UHFFFAOYSA-N -1 1 314.393 1.394 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC[C@H](CCCO)C1 ZINC001362846761 884783850 /nfs/dbraw/zinc/78/38/50/884783850.db2.gz BMJMCFKDDNXZLO-JTQLQIEISA-N -1 1 311.407 1.447 20 0 DDADMM CCC(CC)(NC(=O)CSCc1cccnc1)c1nn[n-]n1 ZINC001362859461 884816507 /nfs/dbraw/zinc/81/65/07/884816507.db2.gz VMMZSGKASLBXHL-UHFFFAOYSA-N -1 1 320.422 1.660 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C1=COCCC1 ZINC001362865252 884828980 /nfs/dbraw/zinc/82/89/80/884828980.db2.gz OHHSXMNNWDFKNK-ONGXEEELSA-N -1 1 320.311 1.739 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@H]2COC(=O)N[C@@H]2C1 ZINC001362925516 885004465 /nfs/dbraw/zinc/00/44/65/885004465.db2.gz NIKOYLBXFPRQCS-IONNQARKSA-N -1 1 312.272 1.241 20 0 DDADMM CCOC(=O)C1=CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001362955195 885076078 /nfs/dbraw/zinc/07/60/78/885076078.db2.gz FFVFTPAXDQXNHC-NSHDSACASA-N -1 1 317.345 1.441 20 0 DDADMM COc1ncccc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001362959817 885089478 /nfs/dbraw/zinc/08/94/78/885089478.db2.gz FJSFQGCCSPMSLW-UHFFFAOYSA-N -1 1 306.347 1.238 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CC[C@@H]1C1CC1 ZINC001363026180 885260763 /nfs/dbraw/zinc/26/07/63/885260763.db2.gz KLPSCZMCMIVAFD-OAHLLOKOSA-N -1 1 324.384 1.694 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1CCC(=O)C[C@H]1C)c1nn[n-]n1 ZINC001363098648 885458649 /nfs/dbraw/zinc/45/86/49/885458649.db2.gz HIBXHLAVWDZRQZ-MIMYLULJSA-N -1 1 311.411 1.115 20 0 DDADMM O=C(NCc1cccc(N2CCOCC2)c1)c1ccc([O-])cn1 ZINC001363112524 885492568 /nfs/dbraw/zinc/49/25/68/885492568.db2.gz URUYISRLQLYKIE-UHFFFAOYSA-N -1 1 313.357 1.554 20 0 DDADMM Cc1nn(C)c(Br)c1C(=O)Nc1c[n-][nH]c1=O ZINC001363128535 885535852 /nfs/dbraw/zinc/53/58/52/885535852.db2.gz PTFNITAONXJJDA-UHFFFAOYSA-N -1 1 300.116 1.172 20 0 DDADMM C[C@@H](NC(=O)c1cncc([O-])c1)C(=O)NCCc1ccccc1 ZINC001363184963 885663704 /nfs/dbraw/zinc/66/37/04/885663704.db2.gz RNWCPXHLSQOPIF-GFCCVEGCSA-N -1 1 313.357 1.264 20 0 DDADMM COc1cnc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(F)c1 ZINC001363191492 885676918 /nfs/dbraw/zinc/67/69/18/885676918.db2.gz KSAKCAHCJAVTTR-UHFFFAOYSA-N -1 1 322.296 1.338 20 0 DDADMM O=C([O-])c1ccc(F)c(CN2CCC(n3cnnc3)CC2)c1 ZINC001231460904 885681812 /nfs/dbraw/zinc/68/18/12/885681812.db2.gz PNOONZVELCAAJW-UHFFFAOYSA-N -1 1 304.325 1.953 20 0 DDADMM CC(C)(C)OC(=O)CCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363195049 885684359 /nfs/dbraw/zinc/68/43/59/885684359.db2.gz IOJOGZRXTWCJMW-UHFFFAOYSA-N -1 1 309.370 1.028 20 0 DDADMM O=C([O-])c1ccc(CN2C[C@H]3CN(CC4CC4)C[C@@H](C2)O3)s1 ZINC001231464826 885684964 /nfs/dbraw/zinc/68/49/64/885684964.db2.gz YQQLWJIUKXAIOZ-BETUJISGSA-N -1 1 322.430 1.741 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cccc(C(F)(F)F)n1 ZINC001363278741 885896194 /nfs/dbraw/zinc/89/61/94/885896194.db2.gz WCCYMZINQSKNNS-UHFFFAOYSA-N -1 1 312.255 1.423 20 0 DDADMM COC(=O)c1sccc1C[NH+]1CCC(Cc2nn[nH]n2)CC1 ZINC001363297823 885943157 /nfs/dbraw/zinc/94/31/57/885943157.db2.gz QTBYAXJIOWVAEU-UHFFFAOYSA-N -1 1 321.406 1.503 20 0 DDADMM CSc1ncc(C(=O)N2CCOc3ccncc32)c(=O)[n-]1 ZINC001363340493 886070700 /nfs/dbraw/zinc/07/07/00/886070700.db2.gz KZDQRDUKGVKKJO-UHFFFAOYSA-N -1 1 304.331 1.338 20 0 DDADMM O=C([N-]n1ccc(=O)[nH]c1=O)c1cccc(Cl)c1Cl ZINC001363345102 886085741 /nfs/dbraw/zinc/08/57/41/886085741.db2.gz CTTBKXCEIOKADE-UHFFFAOYSA-N -1 1 300.101 1.640 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCOc1ccccc1 ZINC001363357009 886119019 /nfs/dbraw/zinc/11/90/19/886119019.db2.gz QXSCKXDIIVSREY-UHFFFAOYSA-N -1 1 303.366 1.653 20 0 DDADMM COc1cccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1C ZINC001363358712 886124247 /nfs/dbraw/zinc/12/42/47/886124247.db2.gz UPIBVWXDZPESBZ-UHFFFAOYSA-N -1 1 303.366 1.815 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc([O-])c2F)C[C@H](C)S1(=O)=O ZINC001363379599 886177076 /nfs/dbraw/zinc/17/70/76/886177076.db2.gz NOVVMLDRJKHCIN-IUCAKERBSA-N -1 1 301.339 1.179 20 0 DDADMM CSc1ncc(C(=O)N2CC(c3ccccn3)C2)c(=O)[n-]1 ZINC001363406495 886249117 /nfs/dbraw/zinc/24/91/17/886249117.db2.gz BGEOVLGDNOJZBO-UHFFFAOYSA-N -1 1 302.359 1.539 20 0 DDADMM COC[C@@H](NC(=O)[C@@H](C)Cc1ccccc1C)c1nn[n-]n1 ZINC001363420532 886290797 /nfs/dbraw/zinc/29/07/97/886290797.db2.gz XZIMVSWNPMQFEN-WCQYABFASA-N -1 1 303.366 1.191 20 0 DDADMM COC[C@H](NC(=O)[C@@H](C)CSc1ccccc1)c1nn[n-]n1 ZINC001363428868 886314605 /nfs/dbraw/zinc/31/46/05/886314605.db2.gz BDYYPDATQWUWEX-JQWIXIFHSA-N -1 1 321.406 1.432 20 0 DDADMM COC[C@H](NC(=O)c1cc2c(s1)CC[C@@H](C)C2)c1nn[n-]n1 ZINC001363440291 886342292 /nfs/dbraw/zinc/34/22/92/886342292.db2.gz YKOSYIZSQNWSNU-SCZZXKLOSA-N -1 1 321.406 1.504 20 0 DDADMM CSc1nc(CNC(=O)c2cnc(C3CC3)nc2)cc(=O)[n-]1 ZINC001363453805 886381903 /nfs/dbraw/zinc/38/19/03/886381903.db2.gz BJTMUBVIUIUHNA-UHFFFAOYSA-N -1 1 317.374 1.502 20 0 DDADMM CSc1ncc(C(=O)N2C[C@@H]3CCC[C@]3(CO)C2)c(=O)[n-]1 ZINC001363511513 886523947 /nfs/dbraw/zinc/52/39/47/886523947.db2.gz SRGZWLHBQXCHNL-LKFCYVNXSA-N -1 1 309.391 1.139 20 0 DDADMM Cc1noc(C)c1[C@@H](C)CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363520285 886542176 /nfs/dbraw/zinc/54/21/76/886542176.db2.gz YJFVWPGTQYFWOJ-VIFPVBQESA-N -1 1 318.381 1.704 20 0 DDADMM [O-]c1c(F)ccc(CN2CCN(c3ncccn3)CC2)c1F ZINC001232933477 886681631 /nfs/dbraw/zinc/68/16/31/886681631.db2.gz KZAZWDMOPOCRDY-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM [O-]c1c(F)ccc(CN2Cc3ccnn3CC[C@@H]2CO)c1F ZINC001232940389 886685867 /nfs/dbraw/zinc/68/58/67/886685867.db2.gz PFZCAARKBKDMOR-GFCCVEGCSA-N -1 1 309.316 1.634 20 0 DDADMM O=C(NC[C@@H](O)c1cnc[nH]1)c1cc(Cl)cc(Cl)c1[O-] ZINC001363587375 886702523 /nfs/dbraw/zinc/70/25/23/886702523.db2.gz PTFMNXYJNDZERD-SNVBAGLBSA-N -1 1 316.144 1.886 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)c1cncs1 ZINC001363590501 886709461 /nfs/dbraw/zinc/70/94/61/886709461.db2.gz XQRRYOIBCHKLBH-VIFPVBQESA-N -1 1 322.390 1.534 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)[n-]c1=O ZINC001363603977 886742925 /nfs/dbraw/zinc/74/29/25/886742925.db2.gz OVZJOEPLXIETJZ-RKDXNWHRSA-N -1 1 317.349 1.069 20 0 DDADMM COC[C@@H]1c2nnn(C)c2CCN1Cc1cc(Cl)ncc1[O-] ZINC001233035470 886743445 /nfs/dbraw/zinc/74/34/45/886743445.db2.gz XBTPFLKQTVRDHF-LLVKDONJSA-N -1 1 323.784 1.315 20 0 DDADMM COC(=O)[C@@]1(C)COCCN1Cc1cc(Cl)ncc1[O-] ZINC001233037364 886745160 /nfs/dbraw/zinc/74/51/60/886745160.db2.gz CUGPMWBSEDDGGM-CYBMUJFWSA-N -1 1 300.742 1.205 20 0 DDADMM O=C(C1CC[NH+](Cc2cccc(O)c2F)CC1)N1CCOCC1 ZINC001233052639 886760513 /nfs/dbraw/zinc/76/05/13/886760513.db2.gz RIDUCGCHCDSRBZ-UHFFFAOYSA-N -1 1 322.380 1.602 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cc(F)ccc1N1CCOCC1 ZINC001363701454 887015630 /nfs/dbraw/zinc/01/56/30/887015630.db2.gz AWGJCULAXBMERC-UHFFFAOYSA-N -1 1 306.297 1.343 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H](C)N2CCCCC2)sn1 ZINC001363747955 887131130 /nfs/dbraw/zinc/13/11/30/887131130.db2.gz DKIXOPIDVLWRFB-JTQLQIEISA-N -1 1 319.452 1.304 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@H](C)c1cnn(C)c1 ZINC001363805842 887265020 /nfs/dbraw/zinc/26/50/20/887265020.db2.gz QZJFNJQIQZXUAQ-NSHDSACASA-N -1 1 305.386 1.112 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc(C(F)F)n(C)n1 ZINC001363806709 887270308 /nfs/dbraw/zinc/27/03/08/887270308.db2.gz SBDNXRKPVGOPKH-UHFFFAOYSA-N -1 1 313.312 1.169 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1CCC2(CC2)CC1 ZINC001363879898 887457461 /nfs/dbraw/zinc/45/74/61/887457461.db2.gz ZQMOTFZQLLLHEM-UHFFFAOYSA-N -1 1 313.379 1.197 20 0 DDADMM COC(=O)c1cccc2[n-]c(O[C@H]3C=C(C)OC(=O)C3)nc21 ZINC001234039834 887583434 /nfs/dbraw/zinc/58/34/34/887583434.db2.gz UFOJJQNWHVFPQT-VIFPVBQESA-N -1 1 302.286 1.948 20 0 DDADMM COC(=O)c1cccc2nc(O[C@H]3C=C(C)OC(=O)C3)[n-]c21 ZINC001234039834 887583446 /nfs/dbraw/zinc/58/34/46/887583446.db2.gz UFOJJQNWHVFPQT-VIFPVBQESA-N -1 1 302.286 1.948 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCCC[C@@H](C)C2)[n-]n1 ZINC001363968304 887633596 /nfs/dbraw/zinc/63/35/96/887633596.db2.gz MVBPQICPLXODBI-SECBINFHSA-N -1 1 301.368 1.007 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCCC[C@@H](C)C2)n[n-]1 ZINC001363968304 887633613 /nfs/dbraw/zinc/63/36/13/887633613.db2.gz MVBPQICPLXODBI-SECBINFHSA-N -1 1 301.368 1.007 20 0 DDADMM CCOC(=O)c1cn(C)nc1NC(=O)c1cc(F)ccc1[O-] ZINC001364030411 887755451 /nfs/dbraw/zinc/75/54/51/887755451.db2.gz WYUWYDNWKUQSOZ-UHFFFAOYSA-N -1 1 307.281 1.694 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)Cc2ccco2)n[n-]1 ZINC001364062177 887828110 /nfs/dbraw/zinc/82/81/10/887828110.db2.gz KZRMDNKUENZSFK-VIFPVBQESA-N -1 1 306.322 1.069 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)Cc2ccco2)n1 ZINC001364062177 887828122 /nfs/dbraw/zinc/82/81/22/887828122.db2.gz KZRMDNKUENZSFK-VIFPVBQESA-N -1 1 306.322 1.069 20 0 DDADMM CSCC[C@H](NC(=O)Nc1ccc(C)nc1C)c1nn[n-]n1 ZINC001364092031 887899258 /nfs/dbraw/zinc/89/92/58/887899258.db2.gz WQINTJUARXKALQ-NSHDSACASA-N -1 1 321.410 1.827 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@@H](C)CC(=O)OC(C)(C)C)c(=O)[n-]1 ZINC001234470168 888002057 /nfs/dbraw/zinc/00/20/57/888002057.db2.gz OSCAPNBYILWKTC-ZETCQYMHSA-N -1 1 311.338 1.089 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@H](C)c2cccc(N(C)C)c2)c(=O)[n-]1 ZINC001234478260 888014289 /nfs/dbraw/zinc/01/42/89/888014289.db2.gz QMNYJCLBVROLIW-SECBINFHSA-N -1 1 316.361 1.796 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CCC(=O)c2ccccc21 ZINC001364206378 888143092 /nfs/dbraw/zinc/14/30/92/888143092.db2.gz OMKBOAUJYDIMJJ-NSHDSACASA-N -1 1 313.313 1.264 20 0 DDADMM COc1cnc([C@@H]2CCCN2c2cc(Cl)nc(N)n2)[n-]c1=O ZINC001364251544 888223303 /nfs/dbraw/zinc/22/33/03/888223303.db2.gz STGKHQDQFJTCHN-ZETCQYMHSA-N -1 1 322.756 1.558 20 0 DDADMM CC[C@@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001384942193 888246591 /nfs/dbraw/zinc/24/65/91/888246591.db2.gz CRPAQDQLYCJRTH-UTUOFQBUSA-N -1 1 305.378 1.458 20 0 DDADMM COCCC(=O)NC[C@H](NC(=O)c1ncccc1[O-])C(C)(C)C ZINC001396147376 913248252 /nfs/dbraw/zinc/24/82/52/913248252.db2.gz OYZAVJRICWYZDF-LBPRGKRZSA-N -1 1 323.393 1.084 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)no1 ZINC001364417277 888591324 /nfs/dbraw/zinc/59/13/24/888591324.db2.gz SLHUBFZLOUIOIS-NSHDSACASA-N -1 1 318.333 1.719 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)Cc2cccnc2)c(=O)[n-]1 ZINC001364424755 888608411 /nfs/dbraw/zinc/60/84/11/888608411.db2.gz GONDYCYLMZICHI-VIFPVBQESA-N -1 1 304.375 1.660 20 0 DDADMM O=C(CCCC(=O)C1CC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364550987 888907762 /nfs/dbraw/zinc/90/77/62/888907762.db2.gz YNFLQZULXFOLON-UHFFFAOYSA-N -1 1 319.361 1.021 20 0 DDADMM O=C(NCCc1ccc(O)c(CO)c1)c1ccc([O-])c(F)c1 ZINC001364643940 889107680 /nfs/dbraw/zinc/10/76/80/889107680.db2.gz RZQSGGUFEKLPPR-UHFFFAOYSA-N -1 1 305.305 1.702 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc(C(C)C)n(C)n1 ZINC001364651444 889122131 /nfs/dbraw/zinc/12/21/31/889122131.db2.gz NQPZVQUYYUOZDG-UHFFFAOYSA-N -1 1 305.386 1.355 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2c[nH]cn2)c(F)c1 ZINC001364897346 889628928 /nfs/dbraw/zinc/62/89/28/889628928.db2.gz KTAHQRRIEXVTHO-UHFFFAOYSA-N -1 1 303.290 1.175 20 0 DDADMM CCC1(C(=O)N[C@@H](CNC(=O)c2ncccc2[O-])C2CC2)CC1 ZINC001385865405 889888075 /nfs/dbraw/zinc/88/80/75/889888075.db2.gz LIDIAWHYFWVSRZ-LBPRGKRZSA-N -1 1 317.389 1.602 20 0 DDADMM CC[C@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001365149747 890199681 /nfs/dbraw/zinc/19/96/81/890199681.db2.gz URYGAKSBAPAIEI-KWQFWETISA-N -1 1 308.425 1.227 20 0 DDADMM Cc1ncc(CN2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)cn1 ZINC001365309961 890560443 /nfs/dbraw/zinc/56/04/43/890560443.db2.gz HCWNAHJYAUJRRI-CABZTGNLSA-N -1 1 316.327 1.816 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC12CCC2 ZINC001386245617 890636740 /nfs/dbraw/zinc/63/67/40/890636740.db2.gz XGKOSRYUIFFRKA-MNOVXSKESA-N -1 1 303.362 1.212 20 0 DDADMM CC(C)C(C)(C)C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001386293579 890731470 /nfs/dbraw/zinc/73/14/70/890731470.db2.gz KDRUIBNKUXRJPH-LLVKDONJSA-N -1 1 307.394 1.704 20 0 DDADMM O=c1[nH]cccc1C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC001365452239 890821845 /nfs/dbraw/zinc/82/18/45/890821845.db2.gz QZOTUNVYYKGCIR-UHFFFAOYSA-N -1 1 304.274 1.796 20 0 DDADMM CN1CCN(c2nccnc2-c2ccc(CC(=O)[O-])cc2)CC1 ZINC001240974629 891105057 /nfs/dbraw/zinc/10/50/57/891105057.db2.gz YBSCSOOQOUVDBD-UHFFFAOYSA-N -1 1 312.373 1.523 20 0 DDADMM CC[C@H]1CCCC[C@@H]1NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365634153 891217681 /nfs/dbraw/zinc/21/76/81/891217681.db2.gz VTWYOFIYHNPELL-UWVGGRQHSA-N -1 1 315.395 1.443 20 0 DDADMM CC[C@H]1CCCC[C@@H]1NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365634153 891217694 /nfs/dbraw/zinc/21/76/94/891217694.db2.gz VTWYOFIYHNPELL-UWVGGRQHSA-N -1 1 315.395 1.443 20 0 DDADMM Cc1[nH]nc2ccc(-c3ccc4c(c3)C(=O)[N-]S4(=O)=O)cc12 ZINC001241668810 891240693 /nfs/dbraw/zinc/24/06/93/891240693.db2.gz KRRZWBLHTDISDH-UHFFFAOYSA-N -1 1 313.338 1.970 20 0 DDADMM CC[C@H]1CCC[C@H]1NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365682657 891309349 /nfs/dbraw/zinc/30/93/49/891309349.db2.gz XXUBGNADBCTQPS-DTWKUNHWSA-N -1 1 301.368 1.053 20 0 DDADMM CC[C@H]1CCC[C@H]1NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365682657 891309366 /nfs/dbraw/zinc/30/93/66/891309366.db2.gz XXUBGNADBCTQPS-DTWKUNHWSA-N -1 1 301.368 1.053 20 0 DDADMM COCc1ccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nn1 ZINC001244790324 891923947 /nfs/dbraw/zinc/92/39/47/891923947.db2.gz JTUDBRIBQOIMPR-UHFFFAOYSA-N -1 1 324.362 1.065 20 0 DDADMM CCOc1ccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)cn1 ZINC001244793251 891928452 /nfs/dbraw/zinc/92/84/52/891928452.db2.gz ZXYUBEOFAQDCNM-UHFFFAOYSA-N -1 1 323.374 1.922 20 0 DDADMM CN(CCNC(=O)C12CCC(CC1)C2)C(=O)c1ncccc1[O-] ZINC001386981114 892120384 /nfs/dbraw/zinc/12/03/84/892120384.db2.gz CKKNPHYVVARBEU-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM COCCC(C)(C)C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001387093479 892368710 /nfs/dbraw/zinc/36/87/10/892368710.db2.gz QXLZTTQZRSUCFU-NSHDSACASA-N -1 1 323.393 1.084 20 0 DDADMM Cn1ncc2cc([N-]S(=O)(=O)c3ccc(O)c(N)c3)cnc21 ZINC001249664367 894086593 /nfs/dbraw/zinc/08/65/93/894086593.db2.gz JFQPICXIBKKFFO-UHFFFAOYSA-N -1 1 319.346 1.057 20 0 DDADMM CCCCOCC(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC000346121724 894157203 /nfs/dbraw/zinc/15/72/03/894157203.db2.gz LSCYLWYDNYGXKG-UHFFFAOYSA-N -1 1 305.338 1.534 20 0 DDADMM C[C@@H]1CCCC[C@H]1C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001388026139 894393702 /nfs/dbraw/zinc/39/37/02/894393702.db2.gz JIWHHJMOUFPRJN-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM CCC(C)(C)CC(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001366661264 894476305 /nfs/dbraw/zinc/47/63/05/894476305.db2.gz ODQKTLINUHRGEN-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM CN(C)S(=O)(=O)[N-]c1cnc(NC(=O)OC(C)(C)C)cn1 ZINC001251055475 894616088 /nfs/dbraw/zinc/61/60/88/894616088.db2.gz CMDARIPJJHVGSI-UHFFFAOYSA-N -1 1 317.371 1.042 20 0 DDADMM CCCN(CCNC(=O)[C@@H]1C[C@H]1CC)C(=O)c1ncccc1[O-] ZINC001388596509 895508364 /nfs/dbraw/zinc/50/83/64/895508364.db2.gz JGRFLKRDTKLJGT-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM O=C([N-]CCNS(=O)(=O)/C=C/c1ccccc1)C(F)(F)F ZINC001253060141 895623168 /nfs/dbraw/zinc/62/31/68/895623168.db2.gz XKXWJVHLNXBPPI-RMKNXTFCSA-N -1 1 322.308 1.255 20 0 DDADMM CCCS(=O)(=O)[N-]c1cc(N2CCOCC2)cc(Cl)n1 ZINC001253634383 895946457 /nfs/dbraw/zinc/94/64/57/895946457.db2.gz OJZVGOAFFLJMRK-UHFFFAOYSA-N -1 1 319.814 1.723 20 0 DDADMM CC(C)CCC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367314857 896423212 /nfs/dbraw/zinc/42/32/12/896423212.db2.gz PYJUBZGZTOTZSL-UHFFFAOYSA-N -1 1 309.414 1.465 20 0 DDADMM Cc1ccccc1S(=O)(=O)NCC(=O)c1ccc([O-])cc1 ZINC001254687484 896572708 /nfs/dbraw/zinc/57/27/08/896572708.db2.gz YOSZMHQWCVYQRO-UHFFFAOYSA-N -1 1 305.355 1.862 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@H](Nc2[n-]c(=O)nc3nc[nH]c32)C1 ZINC001255341079 896848824 /nfs/dbraw/zinc/84/88/24/896848824.db2.gz AYHVLEHSQQKGCZ-BDAKNGLRSA-N -1 1 305.338 1.592 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCC1(F)F ZINC001389400194 897127368 /nfs/dbraw/zinc/12/73/68/897127368.db2.gz YJQLVWKSQIIZLM-DTWKUNHWSA-N -1 1 313.304 1.067 20 0 DDADMM CCc1coc(C[N-]S(=O)(=O)c2ccc(F)cc2F)n1 ZINC001255984302 897187222 /nfs/dbraw/zinc/18/72/22/897187222.db2.gz KQIRBPXDZQMWPU-UHFFFAOYSA-N -1 1 302.302 1.994 20 0 DDADMM CCCC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001389665972 897752832 /nfs/dbraw/zinc/75/28/32/897752832.db2.gz ZEPCBNKHZDAIEI-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM COC(=O)[C@H]1CC[C@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC001258949002 898374183 /nfs/dbraw/zinc/37/41/83/898374183.db2.gz FPNNUXKCQCLLRH-WPRPVWTQSA-N -1 1 319.329 1.585 20 0 DDADMM CC(=O)NCCCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258949958 898378339 /nfs/dbraw/zinc/37/83/39/898378339.db2.gz CTLKNPSITUPNFR-UHFFFAOYSA-N -1 1 306.334 1.159 20 0 DDADMM CC(C)(O)CCC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259043383 898435425 /nfs/dbraw/zinc/43/54/25/898435425.db2.gz HNKRMWPCGSXOEP-UHFFFAOYSA-N -1 1 311.325 1.933 20 0 DDADMM CC(=O)NC[C@H](C)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259043924 898437584 /nfs/dbraw/zinc/43/75/84/898437584.db2.gz JKJBSLVLAFLYCV-ZETCQYMHSA-N -1 1 324.324 1.154 20 0 DDADMM CN1C(=O)CC[C@H]1C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259043350 898438759 /nfs/dbraw/zinc/43/87/59/898438759.db2.gz WBPMFJJCLKURIY-ZETCQYMHSA-N -1 1 322.308 1.003 20 0 DDADMM COc1nc(C)ncc1[N-]S(=O)(=O)Cc1ccc(F)cc1 ZINC001259247979 898546526 /nfs/dbraw/zinc/54/65/26/898546526.db2.gz BJKDSXOZXONMHX-UHFFFAOYSA-N -1 1 311.338 1.875 20 0 DDADMM O=c1[nH]c2ccc(S(=O)(=O)[N-]c3cccc(CO)c3)cc2o1 ZINC001259384193 898645209 /nfs/dbraw/zinc/64/52/09/898645209.db2.gz ZNOGWQVBIOFRMQ-UHFFFAOYSA-N -1 1 320.326 1.827 20 0 DDADMM COc1cccc([N-]S(=O)(=O)c2cnn(C)c2)c1Cl ZINC001259826300 898835438 /nfs/dbraw/zinc/83/54/38/898835438.db2.gz HKVUAOMFURTBPT-UHFFFAOYSA-N -1 1 301.755 1.883 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]CC(F)(F)C(F)(F)F)cn1 ZINC001259839370 898854463 /nfs/dbraw/zinc/85/44/63/898854463.db2.gz RDVNIAHVMXVSPT-UHFFFAOYSA-N -1 1 307.244 1.379 20 0 DDADMM CCOC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)CCC(F)(F)F ZINC001259873918 898882339 /nfs/dbraw/zinc/88/23/39/898882339.db2.gz MYKBFIVNRLCQRX-VIFPVBQESA-N -1 1 319.345 1.836 20 0 DDADMM C[N@H+]1CCC[C@H]2CN(S(=O)(=O)c3cccc(C(=O)[O-])c3)C[C@H]21 ZINC001260187780 899080649 /nfs/dbraw/zinc/08/06/49/899080649.db2.gz ADSCJVGVHLITHS-GXTWGEPZSA-N -1 1 324.402 1.100 20 0 DDADMM CN1CCC[C@H]2CN(S(=O)(=O)c3cccc(C(=O)[O-])c3)C[C@H]21 ZINC001260187780 899080665 /nfs/dbraw/zinc/08/06/65/899080665.db2.gz ADSCJVGVHLITHS-GXTWGEPZSA-N -1 1 324.402 1.100 20 0 DDADMM COc1ncc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)c(C)n1 ZINC001260222260 899094950 /nfs/dbraw/zinc/09/49/50/899094950.db2.gz SYOPVGOVWSFASN-UHFFFAOYSA-N -1 1 323.330 1.293 20 0 DDADMM CN1CCC[C@@](C)(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC001260232542 899102375 /nfs/dbraw/zinc/10/23/75/899102375.db2.gz UAIOIBHWYOUNMW-CQSZACIVSA-N -1 1 312.391 1.147 20 0 DDADMM O=S(=O)([N-]CC1CC(O)C1)c1cc(F)c(F)cc1Cl ZINC001260287556 899111760 /nfs/dbraw/zinc/11/17/60/899111760.db2.gz DTANDPDEFNSBEU-UHFFFAOYSA-N -1 1 311.737 1.667 20 0 DDADMM COc1ccnc(NS(=O)(=O)c2ccc(O)c(C(=O)[O-])c2)c1 ZINC001260393257 899134528 /nfs/dbraw/zinc/13/45/28/899134528.db2.gz QVGYYIPAMWOJQN-UHFFFAOYSA-N -1 1 324.314 1.295 20 0 DDADMM O=S(=O)([N-]CC1(O)COC1)c1cc(Cl)sc1Cl ZINC001260472616 899149728 /nfs/dbraw/zinc/14/97/28/899149728.db2.gz URPSQPXDWXYVTE-UHFFFAOYSA-N -1 1 318.203 1.095 20 0 DDADMM CC[C@@H](CNC(=O)CC[C@H](C)OC)NC(=O)c1ncccc1[O-] ZINC001390381936 899299051 /nfs/dbraw/zinc/29/90/51/899299051.db2.gz XPSGSCJZPXXDDD-RYUDHWBXSA-N -1 1 323.393 1.227 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-][C@@H]1c2cc(C)ccc2NC1=O ZINC001261030449 899332802 /nfs/dbraw/zinc/33/28/02/899332802.db2.gz AZYBLEBIGSUOBY-GFCCVEGCSA-N -1 1 320.374 1.307 20 0 DDADMM C/C(=C/C(=O)N1CCN([C@@]2(C(=O)[O-])CCOC2)CC1)C(C)(C)C ZINC001261843487 899784927 /nfs/dbraw/zinc/78/49/27/899784927.db2.gz IVJCKMSMQOKHEE-MOJYJUKOSA-N -1 1 324.421 1.367 20 0 DDADMM CSCC(=O)NC[C@@](C)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001390829971 900300218 /nfs/dbraw/zinc/30/02/18/900300218.db2.gz UFMBLCQLCIWPMZ-OAHLLOKOSA-N -1 1 323.418 1.165 20 0 DDADMM CCc1nc(C(=O)[N-]c2nc(-c3cnn(C)c3)ns2)co1 ZINC001262808458 900384289 /nfs/dbraw/zinc/38/42/89/900384289.db2.gz CSCVNNFQBOMRKZ-UHFFFAOYSA-N -1 1 304.335 1.741 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N(C)[C@H](C)c1cccs1 ZINC001263118459 900505913 /nfs/dbraw/zinc/50/59/13/900505913.db2.gz FNXBHVJUUCHDHN-MRVPVSSYSA-N -1 1 320.378 1.900 20 0 DDADMM O=C(c1ccn(Cc2ccccc2)n1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001263886364 900800743 /nfs/dbraw/zinc/80/07/43/900800743.db2.gz HIQYURVWCPKNMM-ZDUSSCGKSA-N -1 1 323.360 1.074 20 0 DDADMM Nc1cccc([N-]S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)c1 ZINC000386383111 901023973 /nfs/dbraw/zinc/02/39/73/901023973.db2.gz RVGBVRIIKYDANU-UHFFFAOYSA-N -1 1 319.342 1.401 20 0 DDADMM CCC[C@H](C)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369441975 901303424 /nfs/dbraw/zinc/30/34/24/901303424.db2.gz OKMNPYNSXWNYLG-RYUDHWBXSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@H](NC(=O)c1cccnc1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369654613 901709370 /nfs/dbraw/zinc/70/93/70/901709370.db2.gz UEHDUODBNZFPRB-QWRGUYRKSA-N -1 1 314.345 1.119 20 0 DDADMM CC[C@H](CNC(=O)Cc1ccc(C)s1)NCc1n[nH]c(=O)[n-]1 ZINC001391755193 902534779 /nfs/dbraw/zinc/53/47/79/902534779.db2.gz USHRYCPDGUDNDR-SNVBAGLBSA-N -1 1 323.422 1.107 20 0 DDADMM C[C@@H](CCCNC(=O)c1ncccc1[O-])NC(=O)C1CC(C)C1 ZINC001280808519 904065982 /nfs/dbraw/zinc/06/59/82/904065982.db2.gz RNKNBDAHTLCRHA-CPCZMJQVSA-N -1 1 319.405 1.848 20 0 DDADMM CCC[C@@H](C)C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001371177704 904422335 /nfs/dbraw/zinc/42/23/35/904422335.db2.gz FCIXCCLYGHRMBC-PNESKVBLSA-N -1 1 319.405 1.943 20 0 DDADMM CC[C@@H](C)CCC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001393019608 905969663 /nfs/dbraw/zinc/96/96/63/905969663.db2.gz SPYKVELJJVKCLM-NEPJUHHUSA-N -1 1 307.394 1.848 20 0 DDADMM O=C(NCCNC(=O)C1(C2CCC2)CCC1)c1ncccc1[O-] ZINC001282982909 906394835 /nfs/dbraw/zinc/39/48/35/906394835.db2.gz DVBGDAGXOVYPGZ-UHFFFAOYSA-N -1 1 317.389 1.604 20 0 DDADMM C[C@H](CCNC(=O)c1ccn(C)c1)NC(=O)c1ncccc1[O-] ZINC001373264105 909347210 /nfs/dbraw/zinc/34/72/10/909347210.db2.gz QNNQDADUXKZRBO-LLVKDONJSA-N -1 1 316.361 1.064 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])N[C@@H](C)c1ncccn1 ZINC001373749000 910848169 /nfs/dbraw/zinc/84/81/69/910848169.db2.gz KMMWLROPKGRPPE-NWDGAFQWSA-N -1 1 315.377 1.436 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@H](C)COC ZINC001294433559 915140200 /nfs/dbraw/zinc/14/02/00/915140200.db2.gz PHUAHKUPAFIYPG-GFCCVEGCSA-N -1 1 323.393 1.038 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001376257948 918087429 /nfs/dbraw/zinc/08/74/29/918087429.db2.gz GTTWVHKAUQQTAE-NWDGAFQWSA-N -1 1 305.378 1.364 20 0 DDADMM C[C@@H](CNC(=O)c1sccc1Cl)NCc1n[nH]c(=O)[n-]1 ZINC001376742925 919235805 /nfs/dbraw/zinc/23/58/05/919235805.db2.gz ZGPFHHXDPAVOQH-LURJTMIESA-N -1 1 315.786 1.133 20 0 DDADMM C[C@H](CNC(=O)Cc1ccccc1Cl)NCc1n[nH]c(=O)[n-]1 ZINC001376872650 919625268 /nfs/dbraw/zinc/62/52/68/919625268.db2.gz WZOQTMICWTXDAE-SECBINFHSA-N -1 1 323.784 1.001 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]3C3CCCC3)nc2n1 ZINC000622870331 365549909 /nfs/dbraw/zinc/54/99/09/365549909.db2.gz YIFSMCHEYIHFAN-GHMZBOCLSA-N -1 1 301.350 1.491 20 0 DDADMM CCc1c(C(=O)Nc2nc3nc(CC)cc(=O)n3[n-]2)ccn1C ZINC000622993175 365585946 /nfs/dbraw/zinc/58/59/46/365585946.db2.gz DQZSEPNFXDKIPN-UHFFFAOYSA-N -1 1 314.349 1.133 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)Cc3ccccc3C)nc2n1 ZINC000622995474 365588121 /nfs/dbraw/zinc/58/81/21/365588121.db2.gz CAWFWYDHADOCKQ-UHFFFAOYSA-N -1 1 311.345 1.470 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CC[C@H]3CCOC3)nc2n1 ZINC000622997150 365589935 /nfs/dbraw/zinc/58/99/35/365589935.db2.gz MWUHUOGXMIVZIW-JTQLQIEISA-N -1 1 319.365 1.125 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)[C@@H](C)CC)nc2n1 ZINC000622996920 365590393 /nfs/dbraw/zinc/59/03/93/365590393.db2.gz FQXKUDWUDMADPB-UWVGGRQHSA-N -1 1 305.382 1.991 20 0 DDADMM Cc1ccn([C@H](C)CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000614390077 361834320 /nfs/dbraw/zinc/83/43/20/361834320.db2.gz QVFPYJWXHWWELH-VXGBXAGGSA-N -1 1 319.365 1.637 20 0 DDADMM O=C(c1coc(C2CC2)n1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614390605 361834532 /nfs/dbraw/zinc/83/45/32/361834532.db2.gz VNVSJFAXPLUSTI-VIFPVBQESA-N -1 1 304.306 1.660 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2sccc2Cl)CN1C1CC1 ZINC000451277385 231054722 /nfs/dbraw/zinc/05/47/22/231054722.db2.gz ROEBJZRPFLYITJ-ZETCQYMHSA-N -1 1 320.823 1.443 20 0 DDADMM CC[C@@]1(O)CCN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000428736114 529570344 /nfs/dbraw/zinc/57/03/44/529570344.db2.gz GQSYYWNCQWPFPZ-MRXNPFEDSA-N -1 1 307.394 1.775 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc2cc(F)ccc2o1)C(=O)OC ZINC000451306310 529644455 /nfs/dbraw/zinc/64/44/55/529644455.db2.gz RYSDKUBRRKRMTB-JTQLQIEISA-N -1 1 315.322 1.802 20 0 DDADMM CCn1nc(C)cc1-c1c[n-]c2nc(S(C)(=O)=O)nc-2c1 ZINC000623169486 365697454 /nfs/dbraw/zinc/69/74/54/365697454.db2.gz CTBWJZUNGZMIPY-UHFFFAOYSA-N -1 1 305.363 1.553 20 0 DDADMM CN(C(=O)c1ccc(Br)cc1[O-])C1(CO)CC1 ZINC000614770374 362020756 /nfs/dbraw/zinc/02/07/56/362020756.db2.gz NZRDFYISKQZNEK-UHFFFAOYSA-N -1 1 300.152 1.752 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CC(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000320447638 539297202 /nfs/dbraw/zinc/29/72/02/539297202.db2.gz JFDRZYLBNPFMQD-LLVKDONJSA-N -1 1 320.345 1.541 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2csc(C)n2)CCCC1 ZINC000615298065 362243026 /nfs/dbraw/zinc/24/30/26/362243026.db2.gz OAASPXRXNYTQAC-UHFFFAOYSA-N -1 1 318.420 1.357 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2(O)CCCCC2)sc1C ZINC000333379780 539320509 /nfs/dbraw/zinc/32/05/09/539320509.db2.gz XHDJSSFLSPPWNS-UHFFFAOYSA-N -1 1 304.437 1.733 20 0 DDADMM CNC(=O)C[C@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000451962568 529872722 /nfs/dbraw/zinc/87/27/22/529872722.db2.gz ZNSVHGFYLOIPQJ-ZETCQYMHSA-N -1 1 308.762 1.282 20 0 DDADMM CNC(=O)OC[C@@H]1CCCCN1C(=O)c1cc(F)ccc1[O-] ZINC000292622983 529891972 /nfs/dbraw/zinc/89/19/72/529891972.db2.gz MEAUWEKCHDXFIG-NSHDSACASA-N -1 1 310.325 1.882 20 0 DDADMM CN(C[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(Cl)cc1[O-] ZINC000436561878 529956964 /nfs/dbraw/zinc/95/69/64/529956964.db2.gz KZBXYBUBFXKRIA-VIFPVBQESA-N -1 1 317.794 1.552 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C)c(OC)c2)n[n-]1 ZINC000615920258 362487689 /nfs/dbraw/zinc/48/76/89/362487689.db2.gz QFFKGPNRPXHCEB-UHFFFAOYSA-N -1 1 318.333 1.228 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C)c(OC)c2)n1 ZINC000615920258 362487693 /nfs/dbraw/zinc/48/76/93/362487693.db2.gz QFFKGPNRPXHCEB-UHFFFAOYSA-N -1 1 318.333 1.228 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc3cc[nH]c32)n[n-]1 ZINC000615920496 362488135 /nfs/dbraw/zinc/48/81/35/362488135.db2.gz YIOZRECQODEMOE-UHFFFAOYSA-N -1 1 313.317 1.393 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc3cc[nH]c32)n1 ZINC000615920496 362488140 /nfs/dbraw/zinc/48/81/40/362488140.db2.gz YIOZRECQODEMOE-UHFFFAOYSA-N -1 1 313.317 1.393 20 0 DDADMM Cc1ccc(C[C@@H](CO)NC(=O)c2cncc([O-])c2)cc1C ZINC000457238354 232020168 /nfs/dbraw/zinc/02/01/68/232020168.db2.gz CQTYMBYNNPGAKP-HNNXBMFYSA-N -1 1 300.358 1.737 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@@H]2C(C)C)c1 ZINC000292367229 530031742 /nfs/dbraw/zinc/03/17/42/530031742.db2.gz XPENDEPWEZPRBF-MNOVXSKESA-N -1 1 312.391 1.531 20 0 DDADMM COCCC[C@H](C)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616009185 362519126 /nfs/dbraw/zinc/51/91/26/362519126.db2.gz RCZWRXLYZSZKFW-ZETCQYMHSA-N -1 1 304.188 1.904 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H](C(C)C)N(C)C)c1Br ZINC000616011323 362519934 /nfs/dbraw/zinc/51/99/34/362519934.db2.gz YKNGBSKMAADRCP-SECBINFHSA-N -1 1 303.204 1.427 20 0 DDADMM CO[C@H]1CC[C@@H](C(=O)N=c2[nH][n-]c(C)c2Br)C1 ZINC000616011710 362520203 /nfs/dbraw/zinc/52/02/03/362520203.db2.gz RPFJWXPKDAHDOE-SFYZADRCSA-N -1 1 302.172 1.656 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCc2ccsc2)n[n-]1 ZINC000616174026 362573720 /nfs/dbraw/zinc/57/37/20/362573720.db2.gz QYHFASQLGPXHMR-UHFFFAOYSA-N -1 1 308.363 1.292 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCc2ccsc2)n1 ZINC000616174026 362573727 /nfs/dbraw/zinc/57/37/27/362573727.db2.gz QYHFASQLGPXHMR-UHFFFAOYSA-N -1 1 308.363 1.292 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC1CCC(F)(F)CC1)c2=O ZINC000358332931 299124100 /nfs/dbraw/zinc/12/41/00/299124100.db2.gz FEYUNRMXXBJPJP-UHFFFAOYSA-N -1 1 310.304 1.982 20 0 DDADMM CC[C@@H]1CO[C@H](C)CN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358340548 299125389 /nfs/dbraw/zinc/12/53/89/299125389.db2.gz JAZYCMCPGLQVID-NXEZZACHSA-N -1 1 304.350 1.313 20 0 DDADMM O=C(c1cncc([O-])c1)N(C[C@H]1CCCO1)[C@H]1CCSC1 ZINC000111775641 185635196 /nfs/dbraw/zinc/63/51/96/185635196.db2.gz DMJIIWPDFQSLJV-GXTWGEPZSA-N -1 1 308.403 1.914 20 0 DDADMM C[C@H]1C[C@@H](c2ccc(F)cc2)CN1C(=O)CCc1nn[n-]n1 ZINC000631395176 422737594 /nfs/dbraw/zinc/73/75/94/422737594.db2.gz NUJUCBMZDLQAJV-CMPLNLGQSA-N -1 1 303.341 1.676 20 0 DDADMM COC[C@H](C)NC(=O)c1c[n-]c2cc(OC)cc(OC)c2c1=O ZINC000623395481 365847576 /nfs/dbraw/zinc/84/75/76/365847576.db2.gz NADWBPGFYUVSGX-VIFPVBQESA-N -1 1 320.345 1.310 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCSC(C)C)o1 ZINC000286880576 219207809 /nfs/dbraw/zinc/20/78/09/219207809.db2.gz ZHBLOAMISVUEQJ-UHFFFAOYSA-N -1 1 307.393 1.486 20 0 DDADMM CNC(=O)CCCC(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000616811540 362829158 /nfs/dbraw/zinc/82/91/58/362829158.db2.gz SGFLJQNHZCXUIC-UHFFFAOYSA-N -1 1 306.391 1.723 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)C(=O)NCC3CC3)[nH][n-]2)s1 ZINC000616811752 362829878 /nfs/dbraw/zinc/82/98/78/362829878.db2.gz WAJHRDIKBYDJJM-UHFFFAOYSA-N -1 1 304.375 1.333 20 0 DDADMM CCC(=O)N1CCCC[C@@H]1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279883662 215204689 /nfs/dbraw/zinc/20/46/89/215204689.db2.gz UDKRPUDELQXAGU-CQLSMYGBSA-N -1 1 315.377 1.071 20 0 DDADMM CCOCCC1(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCC1 ZINC000279979415 215286158 /nfs/dbraw/zinc/28/61/58/215286158.db2.gz ZJNFDEQZTJSIQD-UYRXBGFRSA-N -1 1 302.378 1.877 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cn(C)c(Cl)n2)o1 ZINC000275908636 186460712 /nfs/dbraw/zinc/46/07/12/186460712.db2.gz RBRBNRCYCJGGNO-UHFFFAOYSA-N -1 1 304.759 1.102 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc2ccc(Br)cc2[nH]1 ZINC000076963678 304023052 /nfs/dbraw/zinc/02/30/52/304023052.db2.gz HHCHYHCYUJYSED-UHFFFAOYSA-N -1 1 307.111 1.696 20 0 DDADMM CC(=O)Nc1cccc(C[N-]S(=O)(=O)c2c(C)noc2C)c1 ZINC000032468766 352280655 /nfs/dbraw/zinc/28/06/55/352280655.db2.gz HLFAFSOULDGASJ-UHFFFAOYSA-N -1 1 323.374 1.728 20 0 DDADMM C[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1)C1CC1 ZINC000032993686 352286983 /nfs/dbraw/zinc/28/69/83/352286983.db2.gz PAPTZAVMDDKKRA-SECBINFHSA-N -1 1 314.407 1.885 20 0 DDADMM CC(C)OC(=O)[C@@H](C)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000080627034 192175359 /nfs/dbraw/zinc/17/53/59/192175359.db2.gz OUNOSGWKHVGGSL-VIFPVBQESA-N -1 1 321.345 1.831 20 0 DDADMM COCCn1cc(NC(=O)c2cc(NC(C)=O)ccc2[O-])cn1 ZINC000065646694 352957046 /nfs/dbraw/zinc/95/70/46/352957046.db2.gz PFWNYVKOVYWUBB-UHFFFAOYSA-N -1 1 318.333 1.446 20 0 DDADMM COc1ccc(CCCCCC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000067105584 353033790 /nfs/dbraw/zinc/03/37/90/353033790.db2.gz QGTMVCVVPUEWHL-UHFFFAOYSA-N -1 1 319.361 1.381 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000331938512 234299333 /nfs/dbraw/zinc/29/93/33/234299333.db2.gz GCTYXJYRKSTZNE-SNVBAGLBSA-N -1 1 315.329 1.279 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000331938512 234299336 /nfs/dbraw/zinc/29/93/36/234299336.db2.gz GCTYXJYRKSTZNE-SNVBAGLBSA-N -1 1 315.329 1.279 20 0 DDADMM CCc1nc([C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)no1 ZINC000069489327 353163209 /nfs/dbraw/zinc/16/32/09/353163209.db2.gz VALLDOURLYYMID-SNVBAGLBSA-N -1 1 302.334 1.752 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@@H]2[C@H](C1)C2(C)C ZINC000174028724 198283383 /nfs/dbraw/zinc/28/33/83/198283383.db2.gz JVZZSAHDTFZQBH-BETUJISGSA-N -1 1 314.389 1.296 20 0 DDADMM COC(=O)[C@H]1CCC[C@@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000081284284 353660398 /nfs/dbraw/zinc/66/03/98/353660398.db2.gz OKLFVCGJTKFRFP-RQJHMYQMSA-N -1 1 320.271 1.741 20 0 DDADMM COC[C@@](C)(O)CNC(=O)c1ccc(Br)cc1[O-] ZINC000081762368 353690961 /nfs/dbraw/zinc/69/09/61/353690961.db2.gz DGQZYZGPOJRETI-LBPRGKRZSA-N -1 1 318.167 1.282 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H](C)C[C@@H](C)O ZINC000081824374 353694450 /nfs/dbraw/zinc/69/44/50/353694450.db2.gz KCMNFUYGGPLBIJ-VHSXEESVSA-N -1 1 309.410 1.513 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC[C@H](O)C(C)C ZINC000081834306 353695016 /nfs/dbraw/zinc/69/50/16/353695016.db2.gz FXQLGYDJTCJNOB-NSHDSACASA-N -1 1 309.410 1.513 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)Cc1ccccc1F)C(=O)OC ZINC000091052222 353807042 /nfs/dbraw/zinc/80/70/42/353807042.db2.gz MCORSHOCJOBBPK-GFCCVEGCSA-N -1 1 303.355 1.587 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2CCC(F)(F)CC2)n[n-]1 ZINC000617840367 363338040 /nfs/dbraw/zinc/33/80/40/363338040.db2.gz ZFTLYFBIPQJRND-UHFFFAOYSA-N -1 1 316.308 1.423 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2CCC(F)(F)CC2)n1 ZINC000617840367 363338045 /nfs/dbraw/zinc/33/80/45/363338045.db2.gz ZFTLYFBIPQJRND-UHFFFAOYSA-N -1 1 316.308 1.423 20 0 DDADMM CC[C@H]1CN(C(=O)c2ncc(C)cc2[O-])C[C@@H](C)S1(=O)=O ZINC000346280743 283049666 /nfs/dbraw/zinc/04/96/66/283049666.db2.gz FJDBLSPGRHSLTH-MNOVXSKESA-N -1 1 312.391 1.133 20 0 DDADMM O=C(NCCNC(=O)c1ncccc1[O-])c1ccc(F)cc1 ZINC000586754967 354857037 /nfs/dbraw/zinc/85/70/37/354857037.db2.gz OFDZFHANRFWDFG-UHFFFAOYSA-N -1 1 303.293 1.086 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnc3ccncc32)n1 ZINC000590356826 355077086 /nfs/dbraw/zinc/07/70/86/355077086.db2.gz RXJMLTCSKXPHHK-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM O=C(CCN1CCCC1=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000590101287 355048601 /nfs/dbraw/zinc/04/86/01/355048601.db2.gz OTYKRYBKNMUJGO-UHFFFAOYSA-N -1 1 314.345 1.733 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C1(C)CC1)c1ccccc1F ZINC000590749669 355163325 /nfs/dbraw/zinc/16/33/25/355163325.db2.gz VXWFANSZFGXPAP-LLVKDONJSA-N -1 1 301.339 1.512 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)Cc1csc(C)n1 ZINC000591331681 355290785 /nfs/dbraw/zinc/29/07/85/355290785.db2.gz VVKRFDRHKJVCOZ-LLVKDONJSA-N -1 1 318.420 1.213 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@@H]1CCCCO1 ZINC000592075681 355484905 /nfs/dbraw/zinc/48/49/05/355484905.db2.gz PEEUWFQJQYWAIV-NWDGAFQWSA-N -1 1 321.439 1.453 20 0 DDADMM CC[C@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000592081802 355488448 /nfs/dbraw/zinc/48/84/48/355488448.db2.gz CYEGVXGQMJYGDU-ONGXEEELSA-N -1 1 319.379 1.142 20 0 DDADMM Cc1nnsc1C(=O)[N-]c1ncn(CC(=O)OC(C)(C)C)n1 ZINC000592655885 355656303 /nfs/dbraw/zinc/65/63/03/355656303.db2.gz FVMUUHQKBOFZQS-UHFFFAOYSA-N -1 1 324.366 1.032 20 0 DDADMM CC(C)(C)OC(=O)c1ccc(C(=O)Nc2ccncc2[O-])nc1 ZINC000592778054 355687099 /nfs/dbraw/zinc/68/70/99/355687099.db2.gz BMYAZVPIXFKBCB-UHFFFAOYSA-N -1 1 315.329 1.812 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1C[C@@H]1C1CCCC1 ZINC000593116507 355804710 /nfs/dbraw/zinc/80/47/10/355804710.db2.gz PJBNJDISCYDIAU-NEPJUHHUSA-N -1 1 305.378 1.784 20 0 DDADMM CN(C)C(=O)COc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000346596777 283134845 /nfs/dbraw/zinc/13/48/45/283134845.db2.gz URQKUQSSDZKWNZ-UHFFFAOYSA-N -1 1 315.329 1.507 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1C[C@@H]2C[C@H]1C[S@@]2=O ZINC000593870327 356031792 /nfs/dbraw/zinc/03/17/92/356031792.db2.gz PERSHHMJHKIXKM-OABGYEMISA-N -1 1 301.367 1.891 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)C[C@@H]2CCCCO2)c1 ZINC000346662201 283141506 /nfs/dbraw/zinc/14/15/06/283141506.db2.gz WWOJBCPOSAHFLE-ZDUSSCGKSA-N -1 1 313.375 1.784 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCc2ccccc2)cc1C ZINC000595300841 356439628 /nfs/dbraw/zinc/43/96/28/356439628.db2.gz ADRFZTVVROTDQM-UHFFFAOYSA-N -1 1 323.370 1.896 20 0 DDADMM COC(=O)[C@@H]1CCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000596742785 356965828 /nfs/dbraw/zinc/96/58/28/356965828.db2.gz KOLPFVTZOIJEQY-LBPRGKRZSA-N -1 1 300.314 1.718 20 0 DDADMM CCOC(=O)C[C@H](NC(=O)c1ncccc1[O-])C(F)(F)F ZINC000598050774 357444242 /nfs/dbraw/zinc/44/42/42/357444242.db2.gz SZVIFEHSMNEXAF-QMMMGPOBSA-N -1 1 306.240 1.401 20 0 DDADMM CCC[C@@H]([NH3+])C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000372746965 307174566 /nfs/dbraw/zinc/17/45/66/307174566.db2.gz KFGXVTLSOIZHFC-OAHLLOKOSA-N -1 1 304.390 1.941 20 0 DDADMM COC(=O)C(C)(C)n1cc(NC(=O)c2ccc([O-])c(F)c2)cn1 ZINC000598372303 357555011 /nfs/dbraw/zinc/55/50/11/357555011.db2.gz IHMZEJMIUVKPEL-UHFFFAOYSA-N -1 1 321.308 1.888 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCC2CCCC2)co1 ZINC000179265662 199006996 /nfs/dbraw/zinc/00/69/96/199006996.db2.gz AYXHDUZZEQVENO-UHFFFAOYSA-N -1 1 300.380 1.498 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)NCCOc1cccc(C)c1)C(=O)[O-] ZINC000185034338 199799455 /nfs/dbraw/zinc/79/94/55/199799455.db2.gz DKTGZNKSYDKQBC-HIFRSBDPSA-N -1 1 322.405 1.721 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(c2ccccc2Cl)CCOCC1 ZINC000600498820 358241891 /nfs/dbraw/zinc/24/18/91/358241891.db2.gz YLKQAQVKIMATMS-UHFFFAOYSA-N -1 1 321.768 1.218 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])C[C@@H]1C ZINC000348071613 283354092 /nfs/dbraw/zinc/35/40/92/283354092.db2.gz IPIWOBTVHUZTAR-WOPDTQHZSA-N -1 1 305.378 1.458 20 0 DDADMM COc1ccc(-c2cc(C(=O)N(CC(=O)[O-])C3CC3)n[nH]2)cc1 ZINC000237402755 202254430 /nfs/dbraw/zinc/25/44/30/202254430.db2.gz YXWVEXAEYDVFRJ-UHFFFAOYSA-N -1 1 315.329 1.775 20 0 DDADMM C[C@@H]1[C@H](C)[S@@](=O)CCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601205150 358446604 /nfs/dbraw/zinc/44/66/04/358446604.db2.gz XZOFWDLTAPKWLL-ARNPGYACSA-N -1 1 315.822 1.956 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601426071 358547065 /nfs/dbraw/zinc/54/70/65/358547065.db2.gz OQZYLUTXCKRNKW-SFYZADRCSA-N -1 1 323.317 1.837 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)[C@@H]1CCC[C@H]1OC ZINC000601451551 358559476 /nfs/dbraw/zinc/55/94/76/358559476.db2.gz FHWHESNRCNQDMT-QJPTWQEYSA-N -1 1 321.439 1.451 20 0 DDADMM COC(=O)Cc1c(C)[nH]n(-c2ccc(CC(=O)[O-])cc2)c1=O ZINC000601950954 358750274 /nfs/dbraw/zinc/75/02/74/358750274.db2.gz WDWPKYXVJRERJD-GFCCVEGCSA-N -1 1 304.302 1.216 20 0 DDADMM CN1CCC[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000618462480 363640339 /nfs/dbraw/zinc/64/03/39/363640339.db2.gz BPDWBNAKJCTVID-MRVPVSSYSA-N -1 1 308.325 1.476 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(C)cc2F)n[n-]1 ZINC000603152653 359439220 /nfs/dbraw/zinc/43/92/20/359439220.db2.gz CZCZHFVRBWTISF-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(C)cc2F)[n-]1 ZINC000603152653 359439225 /nfs/dbraw/zinc/43/92/25/359439225.db2.gz CZCZHFVRBWTISF-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(C)cc2F)n1 ZINC000603152653 359439229 /nfs/dbraw/zinc/43/92/29/359439229.db2.gz CZCZHFVRBWTISF-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC1(CO)CCCC1 ZINC000186984933 200043235 /nfs/dbraw/zinc/04/32/35/200043235.db2.gz KNDYGMWPNXKNPW-UHFFFAOYSA-N -1 1 321.421 1.658 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N2CCc3ccccc32)n1 ZINC000187588535 200130922 /nfs/dbraw/zinc/13/09/22/200130922.db2.gz LCHYQJYYNVLCCN-UHFFFAOYSA-N -1 1 317.370 1.878 20 0 DDADMM C[C@H](NCc1nc(=O)n(C)[n-]1)c1cnn(Cc2ccccc2)c1 ZINC000348386443 283490449 /nfs/dbraw/zinc/49/04/49/283490449.db2.gz MMVQMLCVJRWLPA-LBPRGKRZSA-N -1 1 312.377 1.204 20 0 DDADMM O=C(NC[C@@]1(CCO)CCOC1)c1ncc2ccccc2c1[O-] ZINC000612452682 361022624 /nfs/dbraw/zinc/02/26/24/361022624.db2.gz CWQIJRKQWLXBQQ-QGZVFWFLSA-N -1 1 316.357 1.459 20 0 DDADMM O=C(c1ncoc1C(F)(F)F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612818601 361155968 /nfs/dbraw/zinc/15/59/68/361155968.db2.gz VOLRCINZQQHCDT-LURJTMIESA-N -1 1 316.243 1.226 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc(-c2n[nH]c(C)n2)cc1 ZINC000193321231 201030098 /nfs/dbraw/zinc/03/00/98/201030098.db2.gz CRIILWGCJORMSI-VIFPVBQESA-N -1 1 310.379 1.557 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000281487591 216335564 /nfs/dbraw/zinc/33/55/64/216335564.db2.gz VCDJMXWIBWNKDO-KCJUWKMLSA-N -1 1 310.737 1.616 20 0 DDADMM COC(=O)CCN1CCN(C(=O)c2ccc([O-])c(F)c2)[C@H](C)C1 ZINC000613524014 361455531 /nfs/dbraw/zinc/45/55/31/361455531.db2.gz OAKDRNBUAFAHPM-LLVKDONJSA-N -1 1 324.352 1.241 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@H](n2cncn2)C1 ZINC000613651304 361503920 /nfs/dbraw/zinc/50/39/20/361503920.db2.gz CFKKRXFGCZBIHC-LBPRGKRZSA-N -1 1 309.329 1.619 20 0 DDADMM O=C(CC1OCCCO1)Nc1nc(Br)ccc1[O-] ZINC000194319686 201201169 /nfs/dbraw/zinc/20/11/69/201201169.db2.gz AYCNPKNQOYUCQY-UHFFFAOYSA-N -1 1 317.139 1.641 20 0 DDADMM CC(C)(C)N1C[C@H](CNC(=O)c2cc(F)ccc2[O-])CC1=O ZINC000620017272 364246240 /nfs/dbraw/zinc/24/62/40/364246240.db2.gz JMJMMGMIMHGTTD-JTQLQIEISA-N -1 1 308.353 1.908 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2cc(C(F)(F)F)ccc2F)C1=O ZINC000271826332 209247521 /nfs/dbraw/zinc/24/75/21/209247521.db2.gz NTKVGYXCCCZELB-UHFFFAOYSA-N -1 1 319.214 1.383 20 0 DDADMM Cc1cnc(C(=O)NCC2(CC(N)=O)CCCCC2)c([O-])c1 ZINC000620704953 364527268 /nfs/dbraw/zinc/52/72/68/364527268.db2.gz PCJFHAJRCCYRIE-UHFFFAOYSA-N -1 1 305.378 1.651 20 0 DDADMM Cn1ccc(CS(=O)(=O)c2nnc(Cc3ccccc3)[n-]2)n1 ZINC000359182768 299347730 /nfs/dbraw/zinc/34/77/30/299347730.db2.gz CRLJJBBEDVIQMF-UHFFFAOYSA-N -1 1 317.374 1.103 20 0 DDADMM Cn1ccc(CS(=O)(=O)c2nc(Cc3ccccc3)n[n-]2)n1 ZINC000359182768 299347734 /nfs/dbraw/zinc/34/77/34/299347734.db2.gz CRLJJBBEDVIQMF-UHFFFAOYSA-N -1 1 317.374 1.103 20 0 DDADMM Cn1ccc(CS(=O)(=O)c2n[n-]c(Cc3ccccc3)n2)n1 ZINC000359182768 299347736 /nfs/dbraw/zinc/34/77/36/299347736.db2.gz CRLJJBBEDVIQMF-UHFFFAOYSA-N -1 1 317.374 1.103 20 0 DDADMM CCCCOCCS(=O)(=O)c1nc(Cc2ccccc2)n[n-]1 ZINC000359186697 299349589 /nfs/dbraw/zinc/34/95/89/299349589.db2.gz CBFNBHBIAQQMTJ-UHFFFAOYSA-N -1 1 323.418 1.986 20 0 DDADMM CCCCOCCS(=O)(=O)c1n[n-]c(Cc2ccccc2)n1 ZINC000359186697 299349591 /nfs/dbraw/zinc/34/95/91/299349591.db2.gz CBFNBHBIAQQMTJ-UHFFFAOYSA-N -1 1 323.418 1.986 20 0 DDADMM COc1ccc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)cn1 ZINC000621994816 365175753 /nfs/dbraw/zinc/17/57/53/365175753.db2.gz IVYHNRIRFCVTCZ-UHFFFAOYSA-N -1 1 310.379 1.480 20 0 DDADMM COc1ccc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)cn1 ZINC000621994816 365175755 /nfs/dbraw/zinc/17/57/55/365175755.db2.gz IVYHNRIRFCVTCZ-UHFFFAOYSA-N -1 1 310.379 1.480 20 0 DDADMM Cc1cc(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)n[nH]1 ZINC000091159349 193007698 /nfs/dbraw/zinc/00/76/98/193007698.db2.gz ZVPNUNCLNJTFLW-UHFFFAOYSA-N -1 1 312.326 1.481 20 0 DDADMM Cc1cnn(CCC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000622610126 365450182 /nfs/dbraw/zinc/45/01/82/365450182.db2.gz PTEYHANYKSWEAU-AWEZNQCLSA-N -1 1 311.349 1.001 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000625493798 366963970 /nfs/dbraw/zinc/96/39/70/366963970.db2.gz XGZJBUIDQJSTEJ-VIFPVBQESA-N -1 1 307.730 1.115 20 0 DDADMM CO[C@@]1(C[N-]S(=O)(=O)c2cccc(F)c2F)CCOC1 ZINC000359244001 299374299 /nfs/dbraw/zinc/37/42/99/299374299.db2.gz YWGUSHVZBHZQIC-GFCCVEGCSA-N -1 1 307.318 1.049 20 0 DDADMM O=C(C[C@@H]1Oc2ccccc2NC1=O)[N-]OCCC(F)(F)F ZINC000275232865 212212999 /nfs/dbraw/zinc/21/29/99/212212999.db2.gz QAUNIYKJDIEMEB-JTQLQIEISA-N -1 1 318.251 1.776 20 0 DDADMM O=C(N1CCC[C@H](c2nn[n-]n2)C1)C1(Oc2ccccc2)CC1 ZINC000579461480 422771421 /nfs/dbraw/zinc/77/14/21/422771421.db2.gz WABBKLXCJBVGJW-LBPRGKRZSA-N -1 1 313.361 1.517 20 0 DDADMM CC(C)CCn1ccc([N-]S(=O)(=O)N2CC[C@H](C)C2)n1 ZINC000349983951 284126524 /nfs/dbraw/zinc/12/65/24/284126524.db2.gz CSLWVCJAGKPOAH-LBPRGKRZSA-N -1 1 300.428 1.928 20 0 DDADMM O=C([N-][C@@H](Cc1ccccc1)CN1CCOCC1)C(F)(F)F ZINC000264811677 204174806 /nfs/dbraw/zinc/17/48/06/204174806.db2.gz YAAWJXAUXRHYEC-ZDUSSCGKSA-N -1 1 316.323 1.608 20 0 DDADMM CCN(CC)S(=O)(=O)[N-]c1ccn(Cc2ccncc2)n1 ZINC000264895999 204249929 /nfs/dbraw/zinc/24/99/29/204249929.db2.gz ZAIKCWZJWQGNNH-UHFFFAOYSA-N -1 1 309.395 1.325 20 0 DDADMM CCCC[C@H](NC(N)=O)C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000282544070 217077372 /nfs/dbraw/zinc/07/73/72/217077372.db2.gz DDCDAVBWRJAZGZ-JTQLQIEISA-N -1 1 323.349 1.344 20 0 DDADMM COC[C@@H](O)CCNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000282712593 217194895 /nfs/dbraw/zinc/19/48/95/217194895.db2.gz VCGHKYRGUBDFEE-JTQLQIEISA-N -1 1 324.764 1.721 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@H](C)[C@H](O)C3)nc2)[n-]c(=O)c1C ZINC000351502762 284350246 /nfs/dbraw/zinc/35/02/46/284350246.db2.gz NVQKSGHVLIOETR-TVQRCGJNSA-N -1 1 300.362 1.678 20 0 DDADMM C[C@@H]1[C@H](C)SCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000282993103 217376302 /nfs/dbraw/zinc/37/63/02/217376302.db2.gz HVUPZVBYNXHOLK-BDAKNGLRSA-N -1 1 308.432 1.984 20 0 DDADMM Cc1sc2nc(SCc3nn[n-]n3)n(C)c(=O)c2c1C ZINC000267457291 206082946 /nfs/dbraw/zinc/08/29/46/206082946.db2.gz YNTGUTQJTDCQCK-UHFFFAOYSA-N -1 1 308.392 1.417 20 0 DDADMM CO[C@H](C)c1noc(CN(C)C(=O)c2cc(F)ccc2[O-])n1 ZINC000267501922 206110260 /nfs/dbraw/zinc/11/02/60/206110260.db2.gz JBQVXNVIICJNNU-MRVPVSSYSA-N -1 1 309.297 1.894 20 0 DDADMM Cc1nc(SCC(C)(C)CS(C)(=O)=O)[n-]c(=O)c1C ZINC000351830456 284565691 /nfs/dbraw/zinc/56/56/91/284565691.db2.gz RZWVPDSCYXTGKE-UHFFFAOYSA-N -1 1 304.437 1.962 20 0 DDADMM COC(=O)[C@]1(NC(=O)c2cc3ccccc3cc2[O-])CCOC1 ZINC000267982977 206376365 /nfs/dbraw/zinc/37/63/65/206376365.db2.gz GNPQXNXLEFDJDP-KRWDZBQOSA-N -1 1 315.325 1.607 20 0 DDADMM CCCN(C)c1ccc(C(=O)NCCCc2nc(=O)[n-][nH]2)cc1 ZINC000174579094 248371210 /nfs/dbraw/zinc/37/12/10/248371210.db2.gz OAVVRRIIZKDHDO-UHFFFAOYSA-N -1 1 317.393 1.307 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H](C)c1c(C)n[nH]c1C)c1nn[n-]n1 ZINC000579557826 422787627 /nfs/dbraw/zinc/78/76/27/422787627.db2.gz YSTJITQFRPVNHP-KCJUWKMLSA-N -1 1 305.386 1.691 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc2cccc(C)c2o1 ZINC000337134253 249360474 /nfs/dbraw/zinc/36/04/74/249360474.db2.gz CORCBTBRGPEKAO-UHFFFAOYSA-N -1 1 312.329 1.807 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](C)c1ccccc1F ZINC000337136099 249361285 /nfs/dbraw/zinc/36/12/85/249361285.db2.gz DQQOSFRVOPDBCM-QMMMGPOBSA-N -1 1 304.325 1.381 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1sccc1F)C(=O)N1CCCCC1 ZINC000338862164 250169073 /nfs/dbraw/zinc/16/90/73/250169073.db2.gz DYBUVIPQADCCSS-VIFPVBQESA-N -1 1 320.411 1.567 20 0 DDADMM CC(C)N1C[C@H]([N-]S(=O)(=O)c2sccc2F)CC1=O ZINC000338883129 250180106 /nfs/dbraw/zinc/18/01/06/250180106.db2.gz HLJOKCSOKUIPHM-MRVPVSSYSA-N -1 1 306.384 1.175 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@H](CO)C1)c1sccc1F ZINC000338989173 250236752 /nfs/dbraw/zinc/23/67/52/250236752.db2.gz HAUOUPJUUUOCOD-ZJUUUORDSA-N -1 1 307.412 1.964 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1C ZINC000339087341 250286485 /nfs/dbraw/zinc/28/64/85/250286485.db2.gz GSXJPNUVCRHXCB-UHFFFAOYSA-N -1 1 317.227 1.201 20 0 DDADMM CC(C)[C@](C)([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC000285336807 218387494 /nfs/dbraw/zinc/38/74/94/218387494.db2.gz OJPZNNCDVXYVEV-LBPRGKRZSA-N -1 1 322.789 1.657 20 0 DDADMM CS(=O)(=O)Cc1ccc(NC(=O)c2cncc([O-])c2)cc1F ZINC000339911127 250745037 /nfs/dbraw/zinc/74/50/37/250745037.db2.gz NQWTXHIWELJAKN-UHFFFAOYSA-N -1 1 324.333 1.723 20 0 DDADMM CC[C@H](CCO)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000340530420 251100011 /nfs/dbraw/zinc/10/00/11/251100011.db2.gz XPUHLXRNYHRKQG-SNVBAGLBSA-N -1 1 313.423 1.422 20 0 DDADMM O=C([O-])[C@H]1COCCN1C(=O)c1cc(-c2ccc(F)cc2)[nH]n1 ZINC000268972907 207135650 /nfs/dbraw/zinc/13/56/50/207135650.db2.gz HRAWTHSGCPIKON-CYBMUJFWSA-N -1 1 319.292 1.141 20 0 DDADMM CC(C)(NC(=O)COc1ccc(Cl)c(F)c1)c1nn[n-]n1 ZINC000340745615 251189448 /nfs/dbraw/zinc/18/94/48/251189448.db2.gz ZGDDAZWTSPPMEU-UHFFFAOYSA-N -1 1 313.720 1.423 20 0 DDADMM O=C([O-])C[N@H+]1CCCN(C(=O)c2ccc([O-])c(Cl)c2)CC1 ZINC000061125928 184162208 /nfs/dbraw/zinc/16/22/08/184162208.db2.gz DYDHZMJTNMSUFX-UHFFFAOYSA-N -1 1 312.753 1.278 20 0 DDADMM Cc1noc(C2CC2)c1[N-]S(=O)(=O)N1C[C@@H](C)OC[C@H]1C ZINC000340975391 251313173 /nfs/dbraw/zinc/31/31/73/251313173.db2.gz SYEQWIVBCSPPEV-RKDXNWHRSA-N -1 1 315.395 1.626 20 0 DDADMM CO[C@@](C)([C@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O)C1CC1 ZINC000412582328 224057580 /nfs/dbraw/zinc/05/75/80/224057580.db2.gz FNZFUOLDFBQIKQ-FVMDXXJSSA-N -1 1 318.377 1.607 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1(C)C ZINC000294969929 532978280 /nfs/dbraw/zinc/97/82/80/532978280.db2.gz LPSRZRQRCNWZEU-KPXOXKRLSA-N -1 1 320.418 1.807 20 0 DDADMM COC[C@H](CCO)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451333467 533028579 /nfs/dbraw/zinc/02/85/79/533028579.db2.gz AQGUPQYUNAWXGC-NSHDSACASA-N -1 1 317.338 1.248 20 0 DDADMM Cn1cnc(C(=O)N=c2nc(-c3cccc4ccccc43)[nH][n-]2)n1 ZINC000352506462 285098893 /nfs/dbraw/zinc/09/88/93/285098893.db2.gz KXMBYTDFYYQEAZ-UHFFFAOYSA-N -1 1 319.328 1.428 20 0 DDADMM COc1ccsc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000331868647 533092724 /nfs/dbraw/zinc/09/27/24/533092724.db2.gz SXFXMPYAZRTSRW-MRVPVSSYSA-N -1 1 309.347 1.865 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H]2C[C@H]3CC[C@@H]2O3)c(=O)[n-]1 ZINC000157157133 197166684 /nfs/dbraw/zinc/16/66/84/197166684.db2.gz JVFJPPCYTJBVDJ-JLLWLGSASA-N -1 1 323.418 1.169 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](c1nccs1)C(F)(F)F ZINC000633208805 422807021 /nfs/dbraw/zinc/80/70/21/422807021.db2.gz UNEALBZMNOIZPE-SSDOTTSWSA-N -1 1 306.273 1.009 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H](C)C2(C)CC2)co1 ZINC000155636228 197074539 /nfs/dbraw/zinc/07/45/39/197074539.db2.gz NTGHAXZYXADWHJ-VIFPVBQESA-N -1 1 300.380 1.448 20 0 DDADMM C[C@H](CN(C)C(=O)c1coc2ccc(F)cc12)c1nn[n-]n1 ZINC000568094101 304267820 /nfs/dbraw/zinc/26/78/20/304267820.db2.gz XLTRDSPQGBEMRC-MRVPVSSYSA-N -1 1 303.297 1.961 20 0 DDADMM O=c1cc(C(F)F)nc(SC[C@H]2CCS(=O)(=O)C2)[n-]1 ZINC000289610331 221101901 /nfs/dbraw/zinc/10/19/01/221101901.db2.gz UFQOOURYNNMAJC-ZCFIWIBFSA-N -1 1 310.347 1.647 20 0 DDADMM O=c1cc(C(F)F)nc(SCc2ncnn2CCF)[n-]1 ZINC000289612386 221102412 /nfs/dbraw/zinc/10/24/12/221102412.db2.gz ULXZJIYJIZGYNX-UHFFFAOYSA-N -1 1 305.285 1.973 20 0 DDADMM CO[C@H](C)CC[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352870979 285349635 /nfs/dbraw/zinc/34/96/35/285349635.db2.gz MKZYDCXIABZNIG-SSDOTTSWSA-N -1 1 312.185 1.745 20 0 DDADMM CO[C@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@@H](C)C1 ZINC000332559702 533253110 /nfs/dbraw/zinc/25/31/10/533253110.db2.gz VRHICDGHKCBDFF-QWRGUYRKSA-N -1 1 301.346 1.563 20 0 DDADMM O=S(=O)([N-][C@H]1CCSC1)c1ccc(Br)o1 ZINC000352942357 285402215 /nfs/dbraw/zinc/40/22/15/285402215.db2.gz MVONQJYEZHMEHY-LURJTMIESA-N -1 1 312.210 1.826 20 0 DDADMM COC(=O)COc1ccc(NC(=O)c2ccc(O)cc2[O-])cc1 ZINC000436620859 533561825 /nfs/dbraw/zinc/56/18/25/533561825.db2.gz CEIZUJQRUUDYRV-UHFFFAOYSA-N -1 1 317.297 1.902 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCSc1ccccc1 ZINC000635104734 422814114 /nfs/dbraw/zinc/81/41/14/422814114.db2.gz UQXXOORDEZFDRY-UHFFFAOYSA-N -1 1 305.407 1.821 20 0 DDADMM COc1ccc(CN(C)C(=O)CCCc2nn[n-]n2)cc1OC ZINC000635104591 422815212 /nfs/dbraw/zinc/81/52/12/422815212.db2.gz SPBBWVYPGUCBRJ-UHFFFAOYSA-N -1 1 319.365 1.198 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCOC[C@@H]1C1CCC1 ZINC000569005618 304329826 /nfs/dbraw/zinc/32/98/26/304329826.db2.gz WHYREQUJXWIUQQ-CYBMUJFWSA-N -1 1 307.419 1.780 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)cc1F)C1CC1 ZINC000569222823 304342805 /nfs/dbraw/zinc/34/28/05/304342805.db2.gz PIVJAYAZOJZNSD-LBPRGKRZSA-N -1 1 316.354 1.091 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCOCC1)c1cc(F)ccc1F ZINC000360605508 299702945 /nfs/dbraw/zinc/70/29/45/299702945.db2.gz SBHOCWKJLHUOEW-GFCCVEGCSA-N -1 1 321.345 1.031 20 0 DDADMM O=C([O-])c1ccccc1CCN1CCN(c2cnccn2)CC1 ZINC000569492005 304358310 /nfs/dbraw/zinc/35/83/10/304358310.db2.gz HDAWJYMVTNAEGW-UHFFFAOYSA-N -1 1 312.373 1.540 20 0 DDADMM C/C(=C\C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C)C1CC1 ZINC000114411705 407570436 /nfs/dbraw/zinc/57/04/36/407570436.db2.gz UPMQXYROOORPLV-RMKNXTFCSA-N -1 1 300.362 1.612 20 0 DDADMM C[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC(C)(C)O1 ZINC000068462986 406644917 /nfs/dbraw/zinc/64/49/17/406644917.db2.gz VZQYWWCMIPACBS-SNVBAGLBSA-N -1 1 301.346 1.975 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCOCC(C)C ZINC000074162152 406904025 /nfs/dbraw/zinc/90/40/25/406904025.db2.gz MOLVUUCHIYFNJL-UHFFFAOYSA-N -1 1 320.393 1.318 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1C[C@@H]1c1ccc(OC(F)(F)F)cc1 ZINC000076963994 406976032 /nfs/dbraw/zinc/97/60/32/406976032.db2.gz GDAZKRBZWQOPKO-BDAKNGLRSA-N -1 1 313.239 1.841 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1C[C@@H]1c1ccc(OC(F)(F)F)cc1 ZINC000076963994 406976036 /nfs/dbraw/zinc/97/60/36/406976036.db2.gz GDAZKRBZWQOPKO-BDAKNGLRSA-N -1 1 313.239 1.841 20 0 DDADMM O=C([O-])[C@@H]1CC=CC[C@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1O ZINC000029006779 406920668 /nfs/dbraw/zinc/92/06/68/406920668.db2.gz IJABJRBRUZXSIA-NXEZZACHSA-N -1 1 306.274 1.906 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCn3cccc3[C@@H]2C)o1 ZINC000033016892 406958735 /nfs/dbraw/zinc/95/87/35/406958735.db2.gz RCNNMZGJGSXALF-JTQLQIEISA-N -1 1 323.374 1.206 20 0 DDADMM CCN(CC(=O)OC)C(=O)c1ccc(Br)cc1[O-] ZINC000044490041 407024056 /nfs/dbraw/zinc/02/40/56/407024056.db2.gz YIVRCKOGOROEGI-UHFFFAOYSA-N -1 1 316.151 1.790 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)Nc1ccc(Br)cc1 ZINC000037932906 406995113 /nfs/dbraw/zinc/99/51/13/406995113.db2.gz BGJAMILFYCDGLP-UHFFFAOYSA-N -1 1 315.167 1.794 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@H](C)c2ccncc2)o1 ZINC000054085494 407177798 /nfs/dbraw/zinc/17/77/98/407177798.db2.gz MVVOFUJIPGPAFT-SNVBAGLBSA-N -1 1 323.374 1.416 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)CC2CCCC2)co1 ZINC000124513765 407360824 /nfs/dbraw/zinc/36/08/24/407360824.db2.gz YHTXBVYPRMNRPS-UHFFFAOYSA-N -1 1 300.380 1.450 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cscn2)o1 ZINC000107700226 407378680 /nfs/dbraw/zinc/37/86/80/407378680.db2.gz XSFJZLUJERZQPO-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC[C@H](C)C[C@H]2C)co1 ZINC000151741424 407488905 /nfs/dbraw/zinc/48/89/05/407488905.db2.gz DZQSIMSQVSDWDS-HOSYDEDBSA-N -1 1 314.407 1.742 20 0 DDADMM O=C(c1cccs1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000171236354 407636403 /nfs/dbraw/zinc/63/64/03/407636403.db2.gz KOWRPRNAQAXKAK-UHFFFAOYSA-N -1 1 317.370 1.447 20 0 DDADMM O=C(Cc1ccc(Br)c(F)c1)Nc1nnn[n-]1 ZINC000152445921 407636879 /nfs/dbraw/zinc/63/68/79/407636879.db2.gz WNQXDBIMBQOLLT-UHFFFAOYSA-N -1 1 300.091 1.283 20 0 DDADMM O=C(Cc1ccc(Br)c(F)c1)Nc1nn[n-]n1 ZINC000152445921 407636885 /nfs/dbraw/zinc/63/68/85/407636885.db2.gz WNQXDBIMBQOLLT-UHFFFAOYSA-N -1 1 300.091 1.283 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(CC)CCC1 ZINC000228624073 407651045 /nfs/dbraw/zinc/65/10/45/407651045.db2.gz PPMVBEJGHLRXRJ-UHFFFAOYSA-N -1 1 315.395 1.445 20 0 DDADMM CCC[C@](C)([N-]S(=O)(=O)c1cn(C)c(Cl)n1)C(=O)OC ZINC000130375093 407684374 /nfs/dbraw/zinc/68/43/74/407684374.db2.gz ILUSTHQLEDSWMP-NSHDSACASA-N -1 1 323.802 1.084 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)C1=COCCO1 ZINC000271538069 407719870 /nfs/dbraw/zinc/71/98/70/407719870.db2.gz VBNHRMMOSGOXQD-UHFFFAOYSA-N -1 1 316.310 1.024 20 0 DDADMM C[C@H](CCCNS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000229517154 407664487 /nfs/dbraw/zinc/66/44/87/407664487.db2.gz QMUUWAOHKROXDD-MRVPVSSYSA-N -1 1 307.318 1.744 20 0 DDADMM C[C@@H](O)CC(C)(C)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179529092 407803502 /nfs/dbraw/zinc/80/35/02/407803502.db2.gz UMEKUHXQOMUUGV-SECBINFHSA-N -1 1 306.366 1.201 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(-n2ccnc2)ccn1)c1nn[n-]n1 ZINC000272304346 407860079 /nfs/dbraw/zinc/86/00/79/407860079.db2.gz JMZHWGFNEFZLCB-LLVKDONJSA-N -1 1 312.337 1.052 20 0 DDADMM O=C([O-])C1(NC(=O)c2ccc3[nH]nnc3c2)CCCCCC1 ZINC000237982230 407860986 /nfs/dbraw/zinc/86/09/86/407860986.db2.gz AWWCPPKKAAKEAX-UHFFFAOYSA-N -1 1 302.334 1.865 20 0 DDADMM C[N@@H+](CCC(=O)[O-])[C@H](C(N)=O)c1ccc(Br)cc1 ZINC000262638340 407889088 /nfs/dbraw/zinc/88/90/88/407889088.db2.gz IJDVWRXQGJSLNU-NSHDSACASA-N -1 1 315.167 1.382 20 0 DDADMM CN(CCC(=O)[O-])[C@H](C(N)=O)c1ccc(Br)cc1 ZINC000262638340 407889093 /nfs/dbraw/zinc/88/90/93/407889093.db2.gz IJDVWRXQGJSLNU-NSHDSACASA-N -1 1 315.167 1.382 20 0 DDADMM CC(C)n1cccc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000181028971 407950184 /nfs/dbraw/zinc/95/01/84/407950184.db2.gz JTYJKRATGHEHGM-UHFFFAOYSA-N -1 1 323.374 1.674 20 0 DDADMM CCO[C@H]1C[C@H](O)C12CCN(C(=O)c1ccc([O-])cc1F)CC2 ZINC000181231980 407969194 /nfs/dbraw/zinc/96/91/94/407969194.db2.gz RBKFJVRHPOICFE-GJZGRUSLSA-N -1 1 323.364 1.923 20 0 DDADMM Cc1c(N[C@H](C)C(C)C)cccc1C(=O)NN1CC(=O)[N-]C1=O ZINC000175018519 408031228 /nfs/dbraw/zinc/03/12/28/408031228.db2.gz SGBWJUDIKJLJPR-LLVKDONJSA-N -1 1 318.377 1.648 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1nnc(C)n1C ZINC000273157890 408085706 /nfs/dbraw/zinc/08/57/06/408085706.db2.gz JFTGBRXIJVEFON-UHFFFAOYSA-N -1 1 323.378 1.137 20 0 DDADMM C[C@@H]1[C@H](C(=O)[O-])CCCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000263318183 408104840 /nfs/dbraw/zinc/10/48/40/408104840.db2.gz JBAGEWWSAGQMLJ-ZWNOBZJWSA-N -1 1 314.345 1.797 20 0 DDADMM O=C([O-])[C@@H]1CCCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000263420270 408135982 /nfs/dbraw/zinc/13/59/82/408135982.db2.gz KYHQAMHRDPCUKZ-GFCCVEGCSA-N -1 1 300.318 1.409 20 0 DDADMM COc1cc(C(=O)[N-]c2nc(-c3cnn(C)c3)cs2)on1 ZINC000156243297 408249000 /nfs/dbraw/zinc/24/90/00/408249000.db2.gz YCQMQCQAJDSVAY-UHFFFAOYSA-N -1 1 305.319 1.793 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1noc2ccccc12)c1nn[n-]n1 ZINC000273585583 408255094 /nfs/dbraw/zinc/25/50/94/408255094.db2.gz QJVYYHYOKQSEEP-SECBINFHSA-N -1 1 300.322 1.146 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc2c([nH]c1=O)CCCC2)c1nn[n-]n1 ZINC000273445195 408202837 /nfs/dbraw/zinc/20/28/37/408202837.db2.gz BPDSITLRYMCLNF-SECBINFHSA-N -1 1 316.365 1.055 20 0 DDADMM O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1nnn[n-]1 ZINC000183058101 408317917 /nfs/dbraw/zinc/31/79/17/408317917.db2.gz XHQBQWKVRFGRCT-UHFFFAOYSA-N -1 1 314.714 1.492 20 0 DDADMM O=C(CSc1ccc(Cl)cc1[N+](=O)[O-])Nc1nn[n-]n1 ZINC000183058101 408317921 /nfs/dbraw/zinc/31/79/21/408317921.db2.gz XHQBQWKVRFGRCT-UHFFFAOYSA-N -1 1 314.714 1.492 20 0 DDADMM CCc1ccc(C(=O)[O-])cc1S(=O)(=O)N[C@@H](C)c1nnc[nH]1 ZINC000273696101 408289993 /nfs/dbraw/zinc/28/99/93/408289993.db2.gz JCEYSBFOXWATMV-QMMMGPOBSA-N -1 1 324.362 1.105 20 0 DDADMM CO[C@@H](C)CCNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000263907361 408309728 /nfs/dbraw/zinc/30/97/28/408309728.db2.gz RFYVCMPQUMSXIW-VIFPVBQESA-N -1 1 313.423 1.686 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ccc([O-])cc2F)[C@@]12CCCO2 ZINC000274253528 408341843 /nfs/dbraw/zinc/34/18/43/408341843.db2.gz UAOCSLJCCXLLDT-FMKPAKJESA-N -1 1 309.337 1.988 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1ccc(-c2nc[nH]n2)cc1 ZINC000176469538 408375587 /nfs/dbraw/zinc/37/55/87/408375587.db2.gz NDOYXOVETFDWGM-UHFFFAOYSA-N -1 1 310.379 1.638 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1ccccc1OC)c1nn[n-]n1 ZINC000176787490 408446400 /nfs/dbraw/zinc/44/64/00/408446400.db2.gz PBDYAXZNHDDIAU-VMPCVLLUSA-N -1 1 301.350 1.879 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1C[C@@H]1c1c(F)cccc1F)c1nn[n-]n1 ZINC000183421964 408408038 /nfs/dbraw/zinc/40/80/38/408408038.db2.gz WTUZKVKGFBXORV-LPEHRKFASA-N -1 1 321.331 1.844 20 0 DDADMM CC[C@H](C(=O)[O-])N1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC000191402414 408419450 /nfs/dbraw/zinc/41/94/50/408419450.db2.gz MWXBQMHCYWRWBJ-CYBMUJFWSA-N -1 1 310.781 1.961 20 0 DDADMM CCC[C@H](NC(=O)COc1ccc(CC)cc1)c1nn[n-]n1 ZINC000176783141 408442407 /nfs/dbraw/zinc/44/24/07/408442407.db2.gz DXCBNIDZSBAJTO-ZDUSSCGKSA-N -1 1 303.366 1.799 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccc(CC)cc1)c1nn[n-]n1 ZINC000176783161 408442540 /nfs/dbraw/zinc/44/25/40/408442540.db2.gz DXCBNIDZSBAJTO-CYBMUJFWSA-N -1 1 303.366 1.799 20 0 DDADMM CN1CCN(CCNC(=O)c2cc(F)c([O-])c(Cl)c2)CC1 ZINC000184208418 408571516 /nfs/dbraw/zinc/57/15/16/408571516.db2.gz IQIJBINFXQTJKF-UHFFFAOYSA-N -1 1 315.776 1.162 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CC[C@@](C)(O)C1)c2=O ZINC000177392625 408598419 /nfs/dbraw/zinc/59/84/19/408598419.db2.gz WZVXHEBMONEUBH-MRXNPFEDSA-N -1 1 302.330 1.546 20 0 DDADMM CCC[C@@H](NCC(=O)NCc1ccc(COC)cc1)C(=O)[O-] ZINC000185028363 408739784 /nfs/dbraw/zinc/73/97/84/408739784.db2.gz LSKXCBJROIZHJH-CQSZACIVSA-N -1 1 308.378 1.292 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)[C@H](O)C(F)(F)F ZINC000270807333 408691367 /nfs/dbraw/zinc/69/13/67/408691367.db2.gz LBSHXEKBKGXRRR-BDAKNGLRSA-N -1 1 316.301 1.104 20 0 DDADMM CC(=O)c1ccc(CC(=O)Nc2nc(SCCO)n[nH]2)cc1 ZINC000270936153 408748988 /nfs/dbraw/zinc/74/89/88/408748988.db2.gz ZCMQTNLNTULHKI-UHFFFAOYSA-N -1 1 320.374 1.273 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@@H]1[C@H]1CCCO1 ZINC000285622479 408830483 /nfs/dbraw/zinc/83/04/83/408830483.db2.gz ARAYUQZOTYEGIA-VXGBXAGGSA-N -1 1 318.402 1.802 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCC(F)(F)C2)co1 ZINC000280845576 408845320 /nfs/dbraw/zinc/84/53/20/408845320.db2.gz WRTIIJUOWXOADJ-MRVPVSSYSA-N -1 1 322.333 1.353 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000291649693 408901385 /nfs/dbraw/zinc/90/13/85/408901385.db2.gz JNMFMNYNGIZAHH-LDYMZIIASA-N -1 1 309.757 1.149 20 0 DDADMM COC(=O)c1c[n-]c(SCc2nnc(C3CCC3)n2C)n1 ZINC000187583906 163023319 /nfs/dbraw/zinc/02/33/19/163023319.db2.gz INERLDAROLPLFK-UHFFFAOYSA-N -1 1 307.379 1.885 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H](Cc2ccccc2)C(=O)NC)n1 ZINC000189438651 163100419 /nfs/dbraw/zinc/10/04/19/163100419.db2.gz CMRTXMYWTZGMIU-CYBMUJFWSA-N -1 1 317.414 1.782 20 0 DDADMM O=C(Nc1ccc(-c2nc(=O)o[n-]2)cc1)c1cn[nH]c1C1CC1 ZINC000190258176 163134749 /nfs/dbraw/zinc/13/47/49/163134749.db2.gz SIENKSAIIRRUGV-UHFFFAOYSA-N -1 1 311.301 1.883 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2OCCC[C@H]12)c1cc(F)c(F)cc1F ZINC000190646082 163149723 /nfs/dbraw/zinc/14/97/23/163149723.db2.gz KOJQXMNRNLUPMP-NZXMKCKXSA-N -1 1 321.320 1.950 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2OCCC[C@H]12)c1cccc(F)c1F ZINC000190629825 163150046 /nfs/dbraw/zinc/15/00/46/163150046.db2.gz WVAPLOADJZTZCG-FBIMIBRVSA-N -1 1 303.330 1.811 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@H]3CCCCC(=O)N3)n2)o1 ZINC000193136176 163249409 /nfs/dbraw/zinc/24/94/09/163249409.db2.gz RPXQKQMTYWDHAM-SECBINFHSA-N -1 1 303.322 1.370 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)CCC3CC3)CC2)n1 ZINC000277713532 408998068 /nfs/dbraw/zinc/99/80/68/408998068.db2.gz MVSJMXHNZJMTDW-UHFFFAOYSA-N -1 1 320.393 1.878 20 0 DDADMM C[C@@H](O)[C@@H](NC(=O)C1CC1)c1nc(-c2ccc([O-])cc2F)no1 ZINC000278107431 409066320 /nfs/dbraw/zinc/06/63/20/409066320.db2.gz ILNFPSJJMKWPBO-JMCQJSRRSA-N -1 1 321.308 1.529 20 0 DDADMM COc1ccc2c(c1)[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)CC2 ZINC000278129929 409070510 /nfs/dbraw/zinc/07/05/10/409070510.db2.gz OANPYMQMQLHJSG-MFKMUULPSA-N -1 1 315.377 1.500 20 0 DDADMM C[C@@H](COCC(F)(F)F)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000283036844 409073930 /nfs/dbraw/zinc/07/39/30/409073930.db2.gz NEMRTRZGRHKWNP-QMMMGPOBSA-N -1 1 305.252 1.659 20 0 DDADMM Cc1ccc2[nH]cc(C(=O)N[C@@H]3C(=O)NCC[C@H]3C)c(=O)c2c1 ZINC000287680584 409075094 /nfs/dbraw/zinc/07/50/94/409075094.db2.gz JLVQTKOGUVSFNV-YGRLFVJLSA-N -1 1 313.357 1.503 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)cc(C)c1F ZINC000283046861 409076602 /nfs/dbraw/zinc/07/66/02/409076602.db2.gz ZVSVSSCGUDTJJH-LLVKDONJSA-N -1 1 318.352 1.874 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(F)c([O-])c(Cl)c1)c1nn[nH]n1 ZINC000278834270 409097661 /nfs/dbraw/zinc/09/76/61/409097661.db2.gz VNLLBRVBKYPQDI-LURJTMIESA-N -1 1 313.720 1.574 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N([C@@H](C)c2ccccc2)C1 ZINC000287835473 409101344 /nfs/dbraw/zinc/10/13/44/409101344.db2.gz NVUURNAFXFRPPW-ZFWWWQNUSA-N -1 1 320.389 1.680 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cnn(C)c1C)c1ccc(C)o1 ZINC000293551517 409136070 /nfs/dbraw/zinc/13/60/70/409136070.db2.gz VIZGYFVPSNDADS-LLVKDONJSA-N -1 1 313.379 1.296 20 0 DDADMM COC(C)(C)CC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000279105509 409149943 /nfs/dbraw/zinc/14/99/43/409149943.db2.gz VKXMPFDAFDMMIN-UHFFFAOYSA-N -1 1 306.366 1.071 20 0 DDADMM CC1(C)CN(C(=O)c2cc(Br)ccc2[O-])C[C@@H]1O ZINC000279493225 409225614 /nfs/dbraw/zinc/22/56/14/409225614.db2.gz YDZYPRYJTMRHLR-NSHDSACASA-N -1 1 314.179 1.998 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000290417647 409393680 /nfs/dbraw/zinc/39/36/80/409393680.db2.gz KLRAYNDEUVDRSP-MRVPVSSYSA-N -1 1 319.329 1.320 20 0 DDADMM CN(C)C(=O)O[C@@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000295373678 409454942 /nfs/dbraw/zinc/45/49/42/409454942.db2.gz DOGFFGPEWYPFAZ-LLVKDONJSA-N -1 1 310.325 1.834 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CC2)cnn1C1CCCCC1 ZINC000357095649 164138436 /nfs/dbraw/zinc/13/84/36/164138436.db2.gz LDEFXDJSTNZLPC-UHFFFAOYSA-N -1 1 315.381 1.629 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Br)o2)CCO1 ZINC000408217802 164263975 /nfs/dbraw/zinc/26/39/75/164263975.db2.gz ZXPPBVCUQVLCTC-JGVFFNPUSA-N -1 1 324.196 1.888 20 0 DDADMM Cc1noc(C2CCN(C(=O)c3ncc(C)cc3[O-])CC2)n1 ZINC000408224158 164267106 /nfs/dbraw/zinc/26/71/06/164267106.db2.gz NPZPXWICRCKVGV-UHFFFAOYSA-N -1 1 302.334 1.807 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC(C)(O)CC1 ZINC000408276548 164283091 /nfs/dbraw/zinc/28/30/91/164283091.db2.gz JYPSUIZUTXPXHV-UHFFFAOYSA-N -1 1 307.394 1.775 20 0 DDADMM C[C@H]1CCN(C(=O)CCc2nn[n-]n2)[C@@H]1c1cccc(F)c1 ZINC000631592247 422844320 /nfs/dbraw/zinc/84/43/20/422844320.db2.gz OSBZPLMTHROAEG-BONVTDFDSA-N -1 1 303.341 1.881 20 0 DDADMM Cc1cnc(C(=O)N2CC(=O)N(C(C)C)C(C)(C)C2)c([O-])c1 ZINC000408369924 164309991 /nfs/dbraw/zinc/30/99/91/164309991.db2.gz DRXTWDXCNMFZLL-UHFFFAOYSA-N -1 1 305.378 1.567 20 0 DDADMM O=c1[n-]nc([C@H]2CCCN(C[C@H](O)c3cccc(Cl)c3)C2)o1 ZINC000295454601 409489942 /nfs/dbraw/zinc/48/99/42/409489942.db2.gz WRJBLQSHJWGIEM-AAEUAGOBSA-N -1 1 323.780 1.929 20 0 DDADMM CO[C@H](C)c1nc(=NCCCN(C)CC(F)(F)F)s[n-]1 ZINC000337904347 409554967 /nfs/dbraw/zinc/55/49/67/409554967.db2.gz ACUQMVDFYALBHC-MRVPVSSYSA-N -1 1 312.361 1.964 20 0 DDADMM COC(=O)[C@@H](Cc1ccccc1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC000342086194 409556593 /nfs/dbraw/zinc/55/65/93/409556593.db2.gz SXLOGPXOAXAVDU-JQWIXIFHSA-N -1 1 304.350 1.020 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H](NC(=O)c2csc(=NC3CC3)[n-]2)C1(C)C ZINC000344841359 409524550 /nfs/dbraw/zinc/52/45/50/409524550.db2.gz TZAMZSAWBURNFU-GGZOMVNGSA-N -1 1 309.435 1.929 20 0 DDADMM NC(=O)NCc1ccc(S(=O)(=O)[N-]c2ccc(F)cc2)cc1 ZINC000353931229 409569220 /nfs/dbraw/zinc/56/92/20/409569220.db2.gz IEBWHNVADGMMTL-UHFFFAOYSA-N -1 1 323.349 1.795 20 0 DDADMM CN=c1[n-]nc(CC(=O)Nc2cc(F)cc(Cl)c2O)s1 ZINC000353888931 409540639 /nfs/dbraw/zinc/54/06/39/409540639.db2.gz MQDUIPHMFCZMTM-UHFFFAOYSA-N -1 1 316.745 1.681 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@@H](C(C)C)[C@@H]1C(C)C ZINC000331497712 409573352 /nfs/dbraw/zinc/57/33/52/409573352.db2.gz JMLDVHGORHVTRE-QWRGUYRKSA-N -1 1 301.412 1.697 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCCCC1CCCC1 ZINC000337950426 409591843 /nfs/dbraw/zinc/59/18/43/409591843.db2.gz RIPWIIUZSIKLIN-UHFFFAOYSA-N -1 1 305.378 1.604 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc([C@@H]2CCCOC2)cc1 ZINC000357064457 409833225 /nfs/dbraw/zinc/83/32/25/409833225.db2.gz BSODDPIVZIFZJL-CYBMUJFWSA-N -1 1 313.361 1.513 20 0 DDADMM O=C(CCCc1ccc(Cl)cc1)NC1(c2nn[n-]n2)CC1 ZINC000357069220 409838181 /nfs/dbraw/zinc/83/81/81/409838181.db2.gz MATFNMKHNUJDJV-UHFFFAOYSA-N -1 1 305.769 1.981 20 0 DDADMM COCCOC1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000331937240 409799349 /nfs/dbraw/zinc/79/93/49/409799349.db2.gz IZMRHPVKOIBTJA-UHFFFAOYSA-N -1 1 323.393 1.276 20 0 DDADMM C[C@@H]1CN(C(=O)NCc2cccc(C(=O)[O-])c2)C[C@H](C)N1C ZINC000318137066 409872670 /nfs/dbraw/zinc/87/26/70/409872670.db2.gz BUCYBHOKNVEQOB-TXEJJXNPSA-N -1 1 305.378 1.619 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCc1cc(C)cc(C)c1 ZINC000349575016 409874122 /nfs/dbraw/zinc/87/41/22/409874122.db2.gz CTBVNOZXUCILOR-UHFFFAOYSA-N -1 1 309.391 1.533 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1cc(C)cc(C)c1 ZINC000349575016 409874130 /nfs/dbraw/zinc/87/41/30/409874130.db2.gz CTBVNOZXUCILOR-UHFFFAOYSA-N -1 1 309.391 1.533 20 0 DDADMM Cn1cnn(CCc2nc(-c3ccc([O-])cc3Cl)no2)c1=O ZINC000350565571 409961673 /nfs/dbraw/zinc/96/16/73/409961673.db2.gz KRODOJYLEILOMS-UHFFFAOYSA-N -1 1 321.724 1.234 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cc3[nH]ncc3c(C)c2)cn1 ZINC000346485584 409983415 /nfs/dbraw/zinc/98/34/15/409983415.db2.gz PBXRZCXCLJTCDX-UHFFFAOYSA-N -1 1 305.363 1.889 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc(C)c(F)c2)C1 ZINC000324339778 409925687 /nfs/dbraw/zinc/92/56/87/409925687.db2.gz DBVPGVSNPSNLHP-INIZCTEOSA-N -1 1 324.352 1.496 20 0 DDADMM CC(C)(NC(=O)c1cccc(-c2cscn2)c1)c1nn[n-]n1 ZINC000354757512 410064104 /nfs/dbraw/zinc/06/41/04/410064104.db2.gz CEMMWQRYFRWUBP-UHFFFAOYSA-N -1 1 314.374 1.988 20 0 DDADMM CC(C)(NC(=O)[C@@H]1C[C@@H]1c1ccc(F)cc1F)c1nn[n-]n1 ZINC000354775786 410078666 /nfs/dbraw/zinc/07/86/66/410078666.db2.gz QOZJYVFWVINHEO-NXEZZACHSA-N -1 1 307.304 1.633 20 0 DDADMM COCCO[C@H]1COCC[C@H]1[NH2+]Cc1cccc([O-])c1Cl ZINC000339360942 410125286 /nfs/dbraw/zinc/12/52/86/410125286.db2.gz MWYAPFRUEKDTIQ-OCCSQVGLSA-N -1 1 315.797 1.956 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2ccc3c[nH]nc3c2)[n-]n1 ZINC000343084633 410131810 /nfs/dbraw/zinc/13/18/10/410131810.db2.gz ZTPQCYFKEDRSQI-UHFFFAOYSA-N -1 1 310.361 1.179 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](C)Cc1ccccc1 ZINC000357682982 410185746 /nfs/dbraw/zinc/18/57/46/410185746.db2.gz CJFXBAMWTPXAES-SNVBAGLBSA-N -1 1 300.362 1.317 20 0 DDADMM O=C(N[C@H]1CCCN(CC(F)(F)F)C1)c1cncc([O-])c1 ZINC000298438417 410227890 /nfs/dbraw/zinc/22/78/90/410227890.db2.gz PDUXPLLNCQDKNO-JTQLQIEISA-N -1 1 303.284 1.544 20 0 DDADMM Cc1ccc(C=C([O-])Nc2nc(-c3cccnc3)ns2)cn1 ZINC000339599481 410316414 /nfs/dbraw/zinc/31/64/14/410316414.db2.gz BPUPBILOIUBKAQ-UHFFFAOYSA-N -1 1 311.370 1.907 20 0 DDADMM CC(C)C1CCC([NH+]2CCN(Cc3nc(=O)n(C)[n-]3)CC2)CC1 ZINC000329567300 410363552 /nfs/dbraw/zinc/36/35/52/410363552.db2.gz HSYUBMJWFHCVDJ-UHFFFAOYSA-N -1 1 321.469 1.441 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)c2cnn(C)c2C)sc1C ZINC000333118153 410366020 /nfs/dbraw/zinc/36/60/20/410366020.db2.gz GTZXVLALFIKGKQ-QMMMGPOBSA-N -1 1 314.436 1.841 20 0 DDADMM COCCOc1ccc(/C=C/c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000352040605 410436823 /nfs/dbraw/zinc/43/68/23/410436823.db2.gz QDKAPHONXKXQKG-GORDUTHDSA-N -1 1 312.329 1.613 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCS[C@@H](C(C)C)C1 ZINC000352045779 410441930 /nfs/dbraw/zinc/44/19/30/410441930.db2.gz RNNXSEFTQUDDQL-MRVPVSSYSA-N -1 1 313.379 1.110 20 0 DDADMM CNC(=O)CC[C@H]1CCCCN1C(=O)c1ncc(C)cc1[O-] ZINC000343504248 410496571 /nfs/dbraw/zinc/49/65/71/410496571.db2.gz NURGAUVHHWDXNL-GFCCVEGCSA-N -1 1 305.378 1.617 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)CCOc1ccccc1 ZINC000352141851 410508185 /nfs/dbraw/zinc/50/81/85/410508185.db2.gz SZQYUUWJTMFZIA-UHFFFAOYSA-N -1 1 319.317 1.047 20 0 DDADMM CCCCN(C(=O)CCc1nn[n-]n1)[C@@H]1CCCC[C@H]1OC ZINC000633317841 422858226 /nfs/dbraw/zinc/85/82/26/422858226.db2.gz AIXKDCRGXZUKEP-CHWSQXEVSA-N -1 1 309.414 1.719 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H](C)c1ccccn1 ZINC000352178319 410527468 /nfs/dbraw/zinc/52/74/68/410527468.db2.gz LTMRPRWYGWBMID-QMMMGPOBSA-N -1 1 304.306 1.125 20 0 DDADMM CC(C)c1nc(=NC[C@@H]2CCCC[C@@H]2NS(C)(=O)=O)o[n-]1 ZINC000343618027 410574147 /nfs/dbraw/zinc/57/41/47/410574147.db2.gz BVYDNCHPGWAHEF-QWRGUYRKSA-N -1 1 316.427 1.135 20 0 DDADMM CCOc1c(Cl)cccc1CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000359306451 410592600 /nfs/dbraw/zinc/59/26/00/410592600.db2.gz MFFMVGFSWDIBMI-UHFFFAOYSA-N -1 1 323.784 1.846 20 0 DDADMM CC(=O)N(C)C1CCN(C(=O)c2cc(F)cc3nn[nH]c32)CC1 ZINC000330254761 410602324 /nfs/dbraw/zinc/60/23/24/410602324.db2.gz BANIQOHUKXTQGA-UHFFFAOYSA-N -1 1 319.340 1.180 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCCn2ccnc2)c(=O)[n-]1 ZINC000340052536 410642901 /nfs/dbraw/zinc/64/29/01/410642901.db2.gz RHDVAQGOSYEFQC-UHFFFAOYSA-N -1 1 321.406 1.158 20 0 DDADMM C[C@]1(CO)CCC[C@@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000330482277 410719593 /nfs/dbraw/zinc/71/95/93/410719593.db2.gz TZZUMBFGRRVMGK-GXFFZTMASA-N -1 1 323.336 1.933 20 0 DDADMM CCc1n[n-]c(=NC(=O)c2n[nH]c3c2cccc3OC)s1 ZINC000347933356 410796507 /nfs/dbraw/zinc/79/65/07/410796507.db2.gz MXNGUGYUOBUIFT-UHFFFAOYSA-N -1 1 303.347 1.660 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](CO)C3CCCC3)cnc2n1 ZINC000359653639 410797616 /nfs/dbraw/zinc/79/76/16/410797616.db2.gz ZRSJECULTQESDT-CQSZACIVSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H](CO)C3CCCC3)c[n-]c2n1 ZINC000359653639 410797625 /nfs/dbraw/zinc/79/76/25/410797625.db2.gz ZRSJECULTQESDT-CQSZACIVSA-N -1 1 315.373 1.925 20 0 DDADMM CCOC(=O)C1(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)CCC1 ZINC000356157064 410868777 /nfs/dbraw/zinc/86/87/77/410868777.db2.gz KYXVUKZKHQCZQK-UHFFFAOYSA-N -1 1 320.271 1.886 20 0 DDADMM CC(C)(NC(=O)c1ccc(-n2cccc2)c(F)c1)c1nn[n-]n1 ZINC000359781162 410876103 /nfs/dbraw/zinc/87/61/03/410876103.db2.gz DQEZDUSRUIRDJH-UHFFFAOYSA-N -1 1 314.324 1.795 20 0 DDADMM CC(C)c1ccc(OCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000359787482 410879568 /nfs/dbraw/zinc/87/95/68/410879568.db2.gz LQEMODBKJBMNCQ-UHFFFAOYSA-N -1 1 303.366 1.753 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CC[C@@H](C)C2)c1 ZINC000359698993 410820895 /nfs/dbraw/zinc/82/08/95/410820895.db2.gz IYQUQUCSJGFGLN-ZJUUUORDSA-N -1 1 312.391 1.675 20 0 DDADMM COc1ccc2nc(C(=O)NC3(c4nn[n-]n4)CC3)ccc2c1 ZINC000348272935 410889032 /nfs/dbraw/zinc/88/90/32/410889032.db2.gz DPFZQMSNKHZCST-UHFFFAOYSA-N -1 1 310.317 1.176 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1csc(-c2ccoc2)n1 ZINC000348278457 410892586 /nfs/dbraw/zinc/89/25/86/410892586.db2.gz BXHGXWWDHCYWMT-UHFFFAOYSA-N -1 1 302.319 1.335 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(Br)cc1 ZINC000348277418 410892718 /nfs/dbraw/zinc/89/27/18/410892718.db2.gz LYRORSFABPDSPW-UHFFFAOYSA-N -1 1 308.139 1.381 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@]12CCCc1ccccc12 ZINC000348281132 410895184 /nfs/dbraw/zinc/89/51/84/410895184.db2.gz JLBAMKUXILZDRE-BBRMVZONSA-N -1 1 309.373 1.599 20 0 DDADMM O=C(NCc1ccccc1Cn1cncn1)c1ncccc1[O-] ZINC000337660303 410926291 /nfs/dbraw/zinc/92/62/91/410926291.db2.gz IJRNPNQSJVNJJW-UHFFFAOYSA-N -1 1 309.329 1.357 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2[C@@H](C)C2(F)F)c1Br ZINC000359884798 410933965 /nfs/dbraw/zinc/93/39/65/410933965.db2.gz SJRPHGVUAVJVLM-INEUFUBQSA-N -1 1 308.126 1.996 20 0 DDADMM COCCn1nc(C)c([N-]S(=O)(=O)C[C@H]2CCCO2)c1C ZINC000331207890 411016546 /nfs/dbraw/zinc/01/65/46/411016546.db2.gz VKKRZVMBWFHJCY-GFCCVEGCSA-N -1 1 317.411 1.067 20 0 DDADMM CCCc1cc(=NC(=O)c2[n-]cnc2C(=O)c2ccccc2)[nH][nH]1 ZINC000353502988 411033378 /nfs/dbraw/zinc/03/33/78/411033378.db2.gz SIPMHYQYWRNQIP-UHFFFAOYSA-N -1 1 323.356 1.991 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H]1C[C@@H](C(C)=O)C1(C)C)c1nn[n-]n1 ZINC000353840579 411134479 /nfs/dbraw/zinc/13/44/79/411134479.db2.gz GKNQSXVAAJUURC-SRVKXCTJSA-N -1 1 307.398 1.799 20 0 DDADMM Cc1noc(C)c1NC(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC000353653836 411099758 /nfs/dbraw/zinc/09/97/58/411099758.db2.gz BSSALNWPVDDMPA-UHFFFAOYSA-N -1 1 315.289 1.602 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc([C@H]3C[C@@H]3C)[nH]n2)co1 ZINC000131136169 196142374 /nfs/dbraw/zinc/14/23/74/196142374.db2.gz KPMGNYAFCAHMCU-CBAPKCEASA-N -1 1 324.362 1.287 20 0 DDADMM O=C(c1ccc(CO)c(F)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000647675912 422995841 /nfs/dbraw/zinc/99/58/41/422995841.db2.gz OQGHHJJUWCOLEN-UHFFFAOYSA-N -1 1 321.308 1.426 20 0 DDADMM CC[C@@H](C)C[C@@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000647673153 422993894 /nfs/dbraw/zinc/99/38/94/422993894.db2.gz CSXDRZRBZIFFDF-MNOVXSKESA-N -1 1 303.362 1.450 20 0 DDADMM Cc1nn(CC2CC2)c(C)c1CC(=O)N=c1ccc(=O)[n-][nH]1 ZINC000649934943 422998497 /nfs/dbraw/zinc/99/84/97/422998497.db2.gz IDALKXPQVQUDHH-UHFFFAOYSA-N -1 1 301.350 1.009 20 0 DDADMM Cc1nn(CC2CC2)c(C)c1CC(=O)N=c1ccc(O)n[n-]1 ZINC000649934943 422998503 /nfs/dbraw/zinc/99/85/03/422998503.db2.gz IDALKXPQVQUDHH-UHFFFAOYSA-N -1 1 301.350 1.009 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3cncs3)no2)co1 ZINC000350914308 306759162 /nfs/dbraw/zinc/75/91/62/306759162.db2.gz HIFYWKCVARKCCP-UHFFFAOYSA-N -1 1 312.332 1.361 20 0 DDADMM CCn1cc(CN[C@@H](C(=O)[O-])c2ccc(OC)c(F)c2)cn1 ZINC000417563491 225364348 /nfs/dbraw/zinc/36/43/48/225364348.db2.gz DKBXLVPKPYJCGM-CQSZACIVSA-N -1 1 307.325 1.966 20 0 DDADMM C[C@H](CN(C)C(=O)C1(Oc2ccccc2)CC1)c1nn[n-]n1 ZINC000643341035 423118336 /nfs/dbraw/zinc/11/83/36/423118336.db2.gz PGWIYVXVIMRZND-LLVKDONJSA-N -1 1 301.350 1.373 20 0 DDADMM CSc1nc(CNC(=O)CCc2ccccc2O)cc(=O)[n-]1 ZINC000640658817 423118558 /nfs/dbraw/zinc/11/85/58/423118558.db2.gz UGHNXSMYHDBQBB-UHFFFAOYSA-N -1 1 319.386 1.859 20 0 DDADMM O=C(c1ncccc1[O-])N1CCO[C@@H](COc2ccccc2)C1 ZINC000360561848 418464562 /nfs/dbraw/zinc/46/45/62/418464562.db2.gz OFXMSPOKEBJAPO-CQSZACIVSA-N -1 1 314.341 1.707 20 0 DDADMM CCOc1cc(C(=O)N(CC(N)=O)C(C)C)cc(Cl)c1[O-] ZINC000192573322 418524744 /nfs/dbraw/zinc/52/47/44/418524744.db2.gz GIMWIOPSSBBHQO-UHFFFAOYSA-N -1 1 314.769 1.780 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCCC(=O)OC(C)C)sc1C ZINC000360865707 418536383 /nfs/dbraw/zinc/53/63/83/418536383.db2.gz RFINFHMTBSHMBW-UHFFFAOYSA-N -1 1 320.436 1.770 20 0 DDADMM CCc1n[n-]c(=NC(=O)N2CCCC[C@H]2c2n[nH]c(C)n2)s1 ZINC000374559308 418540832 /nfs/dbraw/zinc/54/08/32/418540832.db2.gz VQPYAHKYXSGWPF-VIFPVBQESA-N -1 1 321.410 1.708 20 0 DDADMM Cc1c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cnn1CC(C)C ZINC000367247678 418576696 /nfs/dbraw/zinc/57/66/96/418576696.db2.gz ATFOWPLHXVRORG-LBPRGKRZSA-N -1 1 317.397 1.380 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)S(=O)(=O)c1nnc(C(C)(C)C)[n-]1 ZINC000195168017 222192610 /nfs/dbraw/zinc/19/26/10/222192610.db2.gz RCPXKOMQEGPPKU-BDAKNGLRSA-N -1 1 316.427 1.179 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)S(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000195168017 222192613 /nfs/dbraw/zinc/19/26/13/222192613.db2.gz RCPXKOMQEGPPKU-BDAKNGLRSA-N -1 1 316.427 1.179 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)S(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195168017 222192618 /nfs/dbraw/zinc/19/26/18/222192618.db2.gz RCPXKOMQEGPPKU-BDAKNGLRSA-N -1 1 316.427 1.179 20 0 DDADMM O=S(=O)([N-]c1ccccc1Br)c1ncc[nH]1 ZINC000309288858 418590109 /nfs/dbraw/zinc/59/01/09/418590109.db2.gz VIZUEQZRFKXGOM-UHFFFAOYSA-N -1 1 302.153 1.973 20 0 DDADMM O=C(c1s[n-]c(=O)c1Cl)N1CCCC[C@@H]1c1nnc[nH]1 ZINC000375092935 418603021 /nfs/dbraw/zinc/60/30/21/418603021.db2.gz FSAYMXQLHJQPAD-ZCFIWIBFSA-N -1 1 313.770 1.988 20 0 DDADMM O=C(Cc1ccccc1)N1CC[C@H]([N-]C(=O)C(F)(F)F)C1 ZINC000367608944 418614903 /nfs/dbraw/zinc/61/49/03/418614903.db2.gz UGICQMIJBFINDN-NSHDSACASA-N -1 1 300.280 1.509 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@@H]1[C@H]1CCCC1=O ZINC000375289017 418625122 /nfs/dbraw/zinc/62/51/22/418625122.db2.gz WISNDSGSYBDFAJ-TZMCWYRMSA-N -1 1 307.321 1.742 20 0 DDADMM COc1cc(C(=O)Nc2nc(-c3ccc(C)o3)n[nH]2)nn1C ZINC000361500911 418664174 /nfs/dbraw/zinc/66/41/74/418664174.db2.gz KKDOJKWJDVETDF-UHFFFAOYSA-N -1 1 302.294 1.368 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(Cl)n1 ZINC000368004572 418672266 /nfs/dbraw/zinc/67/22/66/418672266.db2.gz GFEJMPPLQVWENW-SECBINFHSA-N -1 1 322.756 1.079 20 0 DDADMM CC(C)(C)OC(=O)N1CCC2(C[C@@H]2C(=O)Nc2nnn[n-]2)CC1 ZINC000371238039 418770537 /nfs/dbraw/zinc/77/05/37/418770537.db2.gz FXTTZYNWQWEMEK-SECBINFHSA-N -1 1 322.369 1.175 20 0 DDADMM CC(C)(C)OC(=O)N1CCC2(C[C@@H]2C(=O)Nc2nn[n-]n2)CC1 ZINC000371238039 418770538 /nfs/dbraw/zinc/77/05/38/418770538.db2.gz FXTTZYNWQWEMEK-SECBINFHSA-N -1 1 322.369 1.175 20 0 DDADMM C[C@H](CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC000364768466 418808992 /nfs/dbraw/zinc/80/89/92/418808992.db2.gz GJXREMXHNQDZFC-MFKMUULPSA-N -1 1 319.340 1.433 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000411290124 418889029 /nfs/dbraw/zinc/88/90/29/418889029.db2.gz IOPGWDUXMNTIGH-NTSWFWBYSA-N -1 1 306.244 1.351 20 0 DDADMM CCC[N@@H+]1CCCN(C(=O)C(C)(C)NC(=O)C(F)(F)F)CC1 ZINC000365241366 418845081 /nfs/dbraw/zinc/84/50/81/418845081.db2.gz HEVMKFLAISRLOW-UHFFFAOYSA-N -1 1 323.359 1.388 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)C[S@](C)=O)c(F)c1 ZINC000425166212 228377394 /nfs/dbraw/zinc/37/73/94/228377394.db2.gz DQGDNMKBRPWZDR-CVJBHZAOSA-N -1 1 311.375 1.319 20 0 DDADMM NC(=O)[C@H]1CCCCC[C@@H]1NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000426794676 419550922 /nfs/dbraw/zinc/55/09/22/419550922.db2.gz SQDDHKHSACMHNB-QWRGUYRKSA-N -1 1 322.434 1.303 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2nc3ccc(C)cc3[nH]2)cn1 ZINC000427634493 419703208 /nfs/dbraw/zinc/70/32/08/419703208.db2.gz UBFYTZKOYJUGKJ-UHFFFAOYSA-N -1 1 305.363 1.889 20 0 DDADMM CCOC(=O)C[C@@H]1C[C@@H](OC)CN1C(=O)c1ncc(C)cc1[O-] ZINC000427814205 419740973 /nfs/dbraw/zinc/74/09/73/419740973.db2.gz WTRNPDAKQUATCT-NWDGAFQWSA-N -1 1 322.361 1.278 20 0 DDADMM CCOC(=O)[C@@H](NC(=O)c1ncc(C)cc1[O-])[C@@H]1CCCOC1 ZINC000428050673 419803464 /nfs/dbraw/zinc/80/34/64/419803464.db2.gz XFUTZLYAYIWRDY-YPMHNXCESA-N -1 1 322.361 1.184 20 0 DDADMM CO[C@H](C)CN(C(=O)c1nc2ccccc2c(=O)[n-]1)C(C)C ZINC000354525525 306777985 /nfs/dbraw/zinc/77/79/85/306777985.db2.gz XFTAVEVICALMNA-LLVKDONJSA-N -1 1 303.362 1.809 20 0 DDADMM CC[C@]1(O)CCN(C(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000429624174 420031219 /nfs/dbraw/zinc/03/12/19/420031219.db2.gz TTZSLVUWFWDULJ-INIZCTEOSA-N -1 1 301.346 1.631 20 0 DDADMM CC[C@]1(O)CCN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000429624174 420031222 /nfs/dbraw/zinc/03/12/22/420031222.db2.gz TTZSLVUWFWDULJ-INIZCTEOSA-N -1 1 301.346 1.631 20 0 DDADMM COc1ccnc(CS(=O)(=O)c2ccc([O-])cc2)c1OC ZINC000429911304 420059785 /nfs/dbraw/zinc/05/97/85/420059785.db2.gz RWVWLSWZAYRSKN-UHFFFAOYSA-N -1 1 309.343 1.778 20 0 DDADMM COc1cc(C(=O)N[C@@H](CO)CC(F)F)cc(Cl)c1[O-] ZINC000430520341 420127354 /nfs/dbraw/zinc/12/73/54/420127354.db2.gz OJPZYTKXYREJPV-SSDOTTSWSA-N -1 1 309.696 1.800 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC[C@@H]1CC(C)C ZINC000416192800 420275155 /nfs/dbraw/zinc/27/51/55/420275155.db2.gz OYVWVGXTLXRHCE-SNVBAGLBSA-N -1 1 309.366 1.937 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1cccc(C(C)C)c1 ZINC000416206108 420279238 /nfs/dbraw/zinc/27/92/38/420279238.db2.gz AGUQGSARYRAAPR-UHFFFAOYSA-N -1 1 317.345 1.950 20 0 DDADMM NC(=O)CC1(C[N-]S(=O)(=O)c2cc(Cl)ccc2F)CC1 ZINC000420706903 420363500 /nfs/dbraw/zinc/36/35/00/420363500.db2.gz RNDLXUMZSROOOH-UHFFFAOYSA-N -1 1 320.773 1.413 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCc2ccncn2)c1 ZINC000436504346 420335432 /nfs/dbraw/zinc/33/54/32/420335432.db2.gz MXVUOMIUZMOTOK-UHFFFAOYSA-N -1 1 301.302 1.540 20 0 DDADMM CC(=O)N[C@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)C(C)(C)C ZINC000425296051 420342161 /nfs/dbraw/zinc/34/21/61/420342161.db2.gz GECIVLPCLHSDOL-WDEREUQCSA-N -1 1 324.381 1.032 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1cnn(C)c1 ZINC000425294940 420342674 /nfs/dbraw/zinc/34/26/74/420342674.db2.gz FDGMXOZTPQMFBI-QWRGUYRKSA-N -1 1 319.365 1.409 20 0 DDADMM CCOC(=O)C(C)(C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425314820 420345549 /nfs/dbraw/zinc/34/55/49/420345549.db2.gz ABAZYGGKPZYSSE-UHFFFAOYSA-N -1 1 311.338 1.071 20 0 DDADMM Cc1oc(C2CC2)nc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425321583 420351306 /nfs/dbraw/zinc/35/13/06/420351306.db2.gz MREMVJLZCPRXIW-UHFFFAOYSA-N -1 1 318.333 1.969 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-]C[C@@](C)(O)C(F)(F)F ZINC000420690953 420358717 /nfs/dbraw/zinc/35/87/17/420358717.db2.gz PURRSVHFJSMHIG-NXEZZACHSA-N -1 1 321.361 1.280 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)[C@@H]1CCC[C@@H](C)C1 ZINC000416241663 420291378 /nfs/dbraw/zinc/29/13/78/420291378.db2.gz DLMMRXBUNNGKHF-KXUCPTDWSA-N -1 1 309.366 1.841 20 0 DDADMM CNC(=O)N[C@H]1CCN(C(=O)c2cc3ccccc3cc2[O-])C1 ZINC000436810313 420370565 /nfs/dbraw/zinc/37/05/65/420370565.db2.gz XJRSLOBLVCWTFQ-ZDUSSCGKSA-N -1 1 313.357 1.689 20 0 DDADMM COc1cc(C(=O)NCC2([S@@](C)=O)CC2)cc(Cl)c1[O-] ZINC000442928835 229975244 /nfs/dbraw/zinc/97/52/44/229975244.db2.gz WUAFEIDKOQGIHF-HXUWFJFHSA-N -1 1 317.794 1.695 20 0 DDADMM CC(C)n1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])n1 ZINC000436871786 420378797 /nfs/dbraw/zinc/37/87/97/420378797.db2.gz LADUCHYFNVJZER-UHFFFAOYSA-N -1 1 324.362 1.069 20 0 DDADMM CC(C)NC(=O)CN(C)Cc1nc(-c2ccc([O-])c(F)c2)no1 ZINC000436875663 420378996 /nfs/dbraw/zinc/37/89/96/420378996.db2.gz VVIKPDZQMCXEJM-UHFFFAOYSA-N -1 1 322.340 1.538 20 0 DDADMM CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)c1c([O-])cccc1F ZINC000436931347 420383704 /nfs/dbraw/zinc/38/37/04/420383704.db2.gz BGAGKKUAVSJTPX-OAHLLOKOSA-N -1 1 313.325 1.354 20 0 DDADMM CNC(=O)c1cccc(CNC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000437002496 420392428 /nfs/dbraw/zinc/39/24/28/420392428.db2.gz HPKHOZLLEFEVLH-UHFFFAOYSA-N -1 1 312.325 1.251 20 0 DDADMM O=C(CCc1ccc(F)cc1F)NC1(c2nn[n-]n2)CCCC1 ZINC000443754312 230027662 /nfs/dbraw/zinc/02/76/62/230027662.db2.gz XRJVEOXSHTZCLO-UHFFFAOYSA-N -1 1 321.331 1.996 20 0 DDADMM C[C@@H]1CC[C@@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)O1 ZINC000416534248 420409967 /nfs/dbraw/zinc/40/99/67/420409967.db2.gz LBGJBCVIKVCKQN-SFYZADRCSA-N -1 1 309.309 1.950 20 0 DDADMM O=c1cc(CN2CC[C@@H](CC(F)(F)F)C2)nc2cc[n-]n21 ZINC000444695031 230121292 /nfs/dbraw/zinc/12/12/92/230121292.db2.gz LCSDBFYBAPCRPP-VIFPVBQESA-N -1 1 300.284 1.797 20 0 DDADMM COc1cc2c(cc1F)ncnc2NCCCc1nc(=O)[n-][nH]1 ZINC000302176551 230142867 /nfs/dbraw/zinc/14/28/67/230142867.db2.gz OQIBNUIRLWOFBO-UHFFFAOYSA-N -1 1 318.312 1.234 20 0 DDADMM O=c1[nH]nc2c(-c3nc(-c4ccc([O-])c(F)c4)no3)cccn12 ZINC000445478714 230179373 /nfs/dbraw/zinc/17/93/73/230179373.db2.gz RXRSYMZJPLQWQN-UHFFFAOYSA-N -1 1 313.248 1.997 20 0 DDADMM O=C(N=c1nc(-c2ccccn2)[nH][n-]1)c1ccc(C2CC2)cn1 ZINC000446013742 230218458 /nfs/dbraw/zinc/21/84/58/230218458.db2.gz UZLPZSJFEAVJKU-UHFFFAOYSA-N -1 1 306.329 1.813 20 0 DDADMM CC(=O)c1ccc2c(c1)CN(S(=O)(=O)c1c(C)o[n-]c1=N)C2 ZINC000416591168 420426862 /nfs/dbraw/zinc/42/68/62/420426862.db2.gz NMSVVDRIOMEOBM-UHFFFAOYSA-N -1 1 321.358 1.303 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H](O)C(C)(C)C3)cnc2n1 ZINC000438717720 420464858 /nfs/dbraw/zinc/46/48/58/420464858.db2.gz OCBDGVLTBPIAPL-CYBMUJFWSA-N -1 1 315.373 1.877 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](O)C(C)(C)C3)c[n-]c2n1 ZINC000438717720 420464860 /nfs/dbraw/zinc/46/48/60/420464860.db2.gz OCBDGVLTBPIAPL-CYBMUJFWSA-N -1 1 315.373 1.877 20 0 DDADMM CSC[C@@](C)(O)CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000440156127 420558212 /nfs/dbraw/zinc/55/82/12/420558212.db2.gz OFFBXOCTGXKXKV-HNNXBMFYSA-N -1 1 321.402 1.488 20 0 DDADMM CSC[C@@](C)(O)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000440156127 420558217 /nfs/dbraw/zinc/55/82/17/420558217.db2.gz OFFBXOCTGXKXKV-HNNXBMFYSA-N -1 1 321.402 1.488 20 0 DDADMM COC(=O)[C@H](C)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451021893 420582183 /nfs/dbraw/zinc/58/21/83/420582183.db2.gz BUNJDTZMEOXQRU-ZETCQYMHSA-N -1 1 301.295 1.412 20 0 DDADMM CC(C)Oc1cccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000493113095 420759982 /nfs/dbraw/zinc/75/99/82/420759982.db2.gz FFQNFCTYMYTWDE-SREVYHEPSA-N -1 1 313.361 1.806 20 0 DDADMM Cn1ncnc1CS(=O)(=O)c1ncc(-c2ccc(F)cc2)[n-]1 ZINC000447480724 420775311 /nfs/dbraw/zinc/77/53/11/420775311.db2.gz UAVMULXXCBJTNF-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM Cn1ncnc1CS(=O)(=O)c1nc(-c2ccc(F)cc2)c[n-]1 ZINC000447480724 420775314 /nfs/dbraw/zinc/77/53/14/420775314.db2.gz UAVMULXXCBJTNF-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM Cc1cnc(C(=O)NCc2cccc(N3CCC3=O)c2)c([O-])c1 ZINC000443043110 420737778 /nfs/dbraw/zinc/73/77/78/420737778.db2.gz NMBWPBUJDWRGAI-UHFFFAOYSA-N -1 1 311.341 1.762 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@]2(C)CCOC2)c1Br ZINC000448315372 420849027 /nfs/dbraw/zinc/84/90/27/420849027.db2.gz BFNKXAHMZDZTHY-NSHDSACASA-N -1 1 302.172 1.522 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@@H]2C1 ZINC000495930035 421097247 /nfs/dbraw/zinc/09/72/47/421097247.db2.gz NYICSWDKLUPARB-WDEREUQCSA-N -1 1 323.397 1.199 20 0 DDADMM COC(=O)NC(C)(C)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000496101380 421133584 /nfs/dbraw/zinc/13/35/84/421133584.db2.gz HRFQRGVZNNVEIP-UHFFFAOYSA-N -1 1 318.333 1.855 20 0 DDADMM O=S(=O)([N-]C1(c2nc[nH]n2)CCC1)c1sccc1Cl ZINC000560287784 421242868 /nfs/dbraw/zinc/24/28/68/421242868.db2.gz KKKWFUINKAYJCA-UHFFFAOYSA-N -1 1 318.811 1.877 20 0 DDADMM O=C(N[C@H]1C[C@@H]1C1CCCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000544678523 421227326 /nfs/dbraw/zinc/22/73/26/421227326.db2.gz AHLAGEHYOIDSEL-MNOVXSKESA-N -1 1 301.350 1.116 20 0 DDADMM C[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544684587 421228140 /nfs/dbraw/zinc/22/81/40/421228140.db2.gz YBABSNBAZCZWRM-CKYFFXLPSA-N -1 1 301.350 1.211 20 0 DDADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCCC[C@@H]1C ZINC000544738626 421228863 /nfs/dbraw/zinc/22/88/63/421228863.db2.gz YEZBQMDGIHOGSW-JQWIXIFHSA-N -1 1 303.366 1.458 20 0 DDADMM CC(C)(C)OC(=O)NC1(C(=O)Nc2nnn[n-]2)CCCCC1 ZINC000491391354 421197300 /nfs/dbraw/zinc/19/73/00/421197300.db2.gz JDSLIMYDWHFSAI-UHFFFAOYSA-N -1 1 310.358 1.366 20 0 DDADMM CC(C)(C)OC(=O)NC1(C(=O)Nc2nn[n-]n2)CCCCC1 ZINC000491391354 421197302 /nfs/dbraw/zinc/19/73/02/421197302.db2.gz JDSLIMYDWHFSAI-UHFFFAOYSA-N -1 1 310.358 1.366 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H]1CC12CC2 ZINC000561072608 421304222 /nfs/dbraw/zinc/30/42/22/421304222.db2.gz GBGLGRUSNQUMJC-LLVKDONJSA-N -1 1 300.362 1.511 20 0 DDADMM O=C(NC[C@H](O)[C@@H]1CCOC1)c1ccc2ccccc2c1[O-] ZINC000524244445 421256096 /nfs/dbraw/zinc/25/60/96/421256096.db2.gz AXAOYHXTQLKWCK-DOMZBBRYSA-N -1 1 301.342 1.673 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]2CCCC[C@@H]12 ZINC000545451175 421260053 /nfs/dbraw/zinc/26/00/53/421260053.db2.gz RXWJFFYZYOKLJV-SCVCMEIPSA-N -1 1 301.350 1.068 20 0 DDADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCCc2ccccc21 ZINC000546067766 421282889 /nfs/dbraw/zinc/28/28/89/421282889.db2.gz PPAUVFFEHVFJQG-AWEZNQCLSA-N -1 1 323.356 1.567 20 0 DDADMM COc1ccccc1[C@H](CO)NC(=O)c1ncc(C)cc1[O-] ZINC000547656643 421350987 /nfs/dbraw/zinc/35/09/87/421350987.db2.gz DHGNVYHLENQVFW-LBPRGKRZSA-N -1 1 302.330 1.568 20 0 DDADMM CCCN(CCC)S(=O)(=O)[N-]c1ccn([C@@H](C)COC)n1 ZINC000548290004 421418476 /nfs/dbraw/zinc/41/84/76/421418476.db2.gz TVIDZBARWXUPIT-LBPRGKRZSA-N -1 1 318.443 1.869 20 0 DDADMM COc1cc(=NC(=O)c2cnc3c(C)cccc3c2[O-])[nH]n1C ZINC000548517419 421446928 /nfs/dbraw/zinc/44/69/28/421446928.db2.gz IOBXSUHIAFXLRH-UHFFFAOYSA-N -1 1 312.329 1.253 20 0 DDADMM O=C(NCc1noc(-c2cccs2)n1)c1cncc([O-])c1 ZINC000550214505 421516062 /nfs/dbraw/zinc/51/60/62/421516062.db2.gz ZIFHCJFPXMTWRE-UHFFFAOYSA-N -1 1 302.315 1.829 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC[C@H]2CC=CCC2)o1 ZINC000529865400 421563686 /nfs/dbraw/zinc/56/36/86/421563686.db2.gz BIJQOUQYUNQJKW-NSHDSACASA-N -1 1 312.391 1.664 20 0 DDADMM O=C([C@@H]1CC[C@H](C(F)(F)F)C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000552121283 421575109 /nfs/dbraw/zinc/57/51/09/421575109.db2.gz ZLGYZHINKCAXBH-UTLUCORTSA-N -1 1 317.315 1.884 20 0 DDADMM Cc1c(F)cc(S(=O)(=O)NC[C@H](C)N(C)C)cc1C(=O)[O-] ZINC000570401198 421644153 /nfs/dbraw/zinc/64/41/53/421644153.db2.gz KUDBHVSEZFJMPS-QMMMGPOBSA-N -1 1 318.370 1.061 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC000532299102 421654710 /nfs/dbraw/zinc/65/47/10/421654710.db2.gz ZUBMTOYPHILNHP-FBIMIBRVSA-N -1 1 323.418 1.756 20 0 DDADMM Cn1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c(C(F)F)n1 ZINC000534394563 421691035 /nfs/dbraw/zinc/69/10/35/421691035.db2.gz NXQDRJUFONIHFX-UHFFFAOYSA-N -1 1 310.186 1.747 20 0 DDADMM CCN(C)C(=O)CS(=O)(=O)c1nc(-c2ccc(C)cc2)n[n-]1 ZINC000571985678 421757831 /nfs/dbraw/zinc/75/78/31/421757831.db2.gz XWKJRJQYMYFFRN-UHFFFAOYSA-N -1 1 322.390 1.032 20 0 DDADMM CCN(C)C(=O)CS(=O)(=O)c1n[n-]c(-c2ccc(C)cc2)n1 ZINC000571985678 421757834 /nfs/dbraw/zinc/75/78/34/421757834.db2.gz XWKJRJQYMYFFRN-UHFFFAOYSA-N -1 1 322.390 1.032 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(Oc2ccc(Cl)cc2)CC1 ZINC000557398789 421769838 /nfs/dbraw/zinc/76/98/38/421769838.db2.gz AVXRCSFKCVPBMA-UHFFFAOYSA-N -1 1 319.752 1.570 20 0 DDADMM O=C([O-])[C@@H](Cc1ccccc1)NC(=O)[C@@H]1CCCN1C1CC1 ZINC000630097150 421886016 /nfs/dbraw/zinc/88/60/16/421886016.db2.gz VSBWPVRVOIETLF-CABCVRRESA-N -1 1 302.374 1.425 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N(CCCO)Cc1ccccc1 ZINC000543748924 421841185 /nfs/dbraw/zinc/84/11/85/421841185.db2.gz CTCMLWMQMIJIHI-UHFFFAOYSA-N -1 1 310.357 1.983 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc2c(c1)COC2)c1nn[n-]n1 ZINC000544556616 421845687 /nfs/dbraw/zinc/84/56/87/421845687.db2.gz NKZCLYOUDIOMJB-ZDUSSCGKSA-N -1 1 301.350 1.430 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cc(F)cc(F)c2)CC1 ZINC000630222325 421972834 /nfs/dbraw/zinc/97/28/34/421972834.db2.gz KZIBRPRBBVEFAH-UHFFFAOYSA-N -1 1 312.316 1.586 20 0 DDADMM Cc1oc(CN(C)C(=O)[C@H](C)Cc2cnc[nH]2)cc1C(=O)[O-] ZINC000630132464 421909059 /nfs/dbraw/zinc/90/90/59/421909059.db2.gz NHYOCKBRZWJBTD-SECBINFHSA-N -1 1 305.334 1.847 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCOc2c(F)cccc21 ZINC000635412572 421969466 /nfs/dbraw/zinc/96/94/66/421969466.db2.gz YGXUVCQZLIJAGG-LLVKDONJSA-N -1 1 305.313 1.302 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)[C@H]2CCOC2)c1 ZINC000632030872 422035283 /nfs/dbraw/zinc/03/52/83/422035283.db2.gz ANZZMFKKKZBPIV-ZJUUUORDSA-N -1 1 301.364 1.104 20 0 DDADMM CCC(=O)N1CC[C@@H](NC(=O)N=c2[n-]sc3ccccc32)C1 ZINC000630352159 422039997 /nfs/dbraw/zinc/03/99/97/422039997.db2.gz UGRJPAJHJDDUME-SNVBAGLBSA-N -1 1 318.402 1.851 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccc(Cl)cn2)CC1 ZINC000630226711 421975437 /nfs/dbraw/zinc/97/54/37/421975437.db2.gz DSUMJVMEORFHNF-UHFFFAOYSA-N -1 1 311.769 1.356 20 0 DDADMM C[C@@H]1CN(C(=O)CCCc2nn[n-]n2)C[C@H](c2ccccc2)O1 ZINC000635422413 421976677 /nfs/dbraw/zinc/97/66/77/421976677.db2.gz MBIWXWRDAQMHFB-TZMCWYRMSA-N -1 1 315.377 1.511 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)no1 ZINC000630227273 421977984 /nfs/dbraw/zinc/97/79/84/421977984.db2.gz YVGCAEPBVMCABN-UHFFFAOYSA-N -1 1 323.393 1.593 20 0 DDADMM O=S(=O)([N-]C[C@@]1(O)CCSC1)c1ccc(C(F)F)o1 ZINC000632062874 422061948 /nfs/dbraw/zinc/06/19/48/422061948.db2.gz NJFJGSJWGYRTEY-JTQLQIEISA-N -1 1 313.347 1.364 20 0 DDADMM C[C@H](Cc1cncc2ccccc21)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000581903157 422065413 /nfs/dbraw/zinc/06/54/13/422065413.db2.gz OHFAFZWPTBBQIF-LLVKDONJSA-N -1 1 322.372 1.732 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCS1)c1c[nH]nc1C(F)(F)F ZINC000632092029 422082787 /nfs/dbraw/zinc/08/27/87/422082787.db2.gz SSYNEXDELVYEBH-ZCFIWIBFSA-N -1 1 315.342 1.602 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1C[C@H]2CCCN2c2ccccc21 ZINC000635547137 422097314 /nfs/dbraw/zinc/09/73/14/422097314.db2.gz YKFFEIJOYGZKCA-GFCCVEGCSA-N -1 1 312.377 1.538 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3c3ccsc3)nc2n1 ZINC000637271384 422109372 /nfs/dbraw/zinc/10/93/72/422109372.db2.gz LCTKWXKDDYWSAS-VHSXEESVSA-N -1 1 315.358 1.530 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])c1cc(N2CCOCC2)ncn1 ZINC000632138479 422114314 /nfs/dbraw/zinc/11/43/14/422114314.db2.gz AFNFXFRFDGLLJF-UHFFFAOYSA-N -1 1 318.308 1.410 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCc1ccc(F)cc1Cl ZINC000635563584 422117769 /nfs/dbraw/zinc/11/77/69/422117769.db2.gz RFTRYRBVSBJQQC-UHFFFAOYSA-N -1 1 311.748 1.674 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1sccc1C1CC1 ZINC000633691235 422052401 /nfs/dbraw/zinc/05/24/01/422052401.db2.gz GLFLGQFGDPFGDE-UHFFFAOYSA-N -1 1 304.375 1.691 20 0 DDADMM CC[C@H]1CN(CCNC(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000574678140 422157300 /nfs/dbraw/zinc/15/73/00/422157300.db2.gz OPVRBKNUHHRKBG-JTQLQIEISA-N -1 1 314.332 1.511 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2nnc(C(C)C)n2C)sn1 ZINC000632201734 422160132 /nfs/dbraw/zinc/16/01/32/422160132.db2.gz UQVIZBJDAZPOQA-UHFFFAOYSA-N -1 1 315.424 1.182 20 0 DDADMM CCc1ncc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)s1 ZINC000583436910 422165586 /nfs/dbraw/zinc/16/55/86/422165586.db2.gz WMMVGVBRBFZAHL-QMMMGPOBSA-N -1 1 308.363 1.814 20 0 DDADMM O=S(=O)([N-]Cc1nnc(C2CC2)o1)c1ccc(C(F)F)o1 ZINC000632239393 422186459 /nfs/dbraw/zinc/18/64/59/422186459.db2.gz NUDPUNJLVOUBJX-UHFFFAOYSA-N -1 1 319.289 1.956 20 0 DDADMM CCC(C)(C)[C@@H](O)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000635566482 422119601 /nfs/dbraw/zinc/11/96/01/422119601.db2.gz WTJMHQYONHFELO-AWEZNQCLSA-N -1 1 320.393 1.465 20 0 DDADMM COC1(CS(=O)(=O)[N-]C[C@](C)(O)C(F)(F)F)CCCC1 ZINC000632154392 422125655 /nfs/dbraw/zinc/12/56/55/422125655.db2.gz GNBHYUYIMOMLMJ-VIFPVBQESA-N -1 1 319.345 1.178 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1[C@H](C(=O)[O-])C1(C)C)c1cccc(F)c1 ZINC000574518257 422126066 /nfs/dbraw/zinc/12/60/66/422126066.db2.gz YTDDLLHPGKGAET-MELADBBJSA-N -1 1 322.380 1.901 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCSC[C@H](C)C2)c1 ZINC000632273823 422213430 /nfs/dbraw/zinc/21/34/30/422213430.db2.gz AHSKONGORFZVQU-SNVBAGLBSA-N -1 1 317.432 1.774 20 0 DDADMM CC(C)c1ncc(CN2Cc3n[nH]c(=O)n3C[C@@H]2C(=O)[O-])s1 ZINC000574911656 422213483 /nfs/dbraw/zinc/21/34/83/422213483.db2.gz XZCCPCCNTIHVTN-SECBINFHSA-N -1 1 323.378 1.033 20 0 DDADMM COC(=O)c1cccc(NC(=O)CN(C)CCCC(=O)[O-])c1 ZINC000574919729 422215813 /nfs/dbraw/zinc/21/58/13/422215813.db2.gz JUXNLLXDRINGAR-UHFFFAOYSA-N -1 1 308.334 1.208 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccccc1OCC(F)(F)F ZINC000630628662 422229797 /nfs/dbraw/zinc/22/97/97/422229797.db2.gz VCKWVIBJLZXZGA-UHFFFAOYSA-N -1 1 315.255 1.712 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCC[C@@H](F)C1 ZINC000584001098 422236559 /nfs/dbraw/zinc/23/65/59/422236559.db2.gz YBMKCSAIPWUJRL-GFCCVEGCSA-N -1 1 320.368 1.945 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](OC)C[C@@H]2C)c1 ZINC000632305486 422237264 /nfs/dbraw/zinc/23/72/64/422237264.db2.gz WBENZPFWJGRDQS-CMPLNLGQSA-N -1 1 315.391 1.589 20 0 DDADMM CCC(C)(C)CCNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000633970076 422191413 /nfs/dbraw/zinc/19/14/13/422191413.db2.gz RGTJINXISHGPBU-UHFFFAOYSA-N -1 1 302.396 1.744 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)c1ccc(OC(F)F)cc1 ZINC000630681676 422266900 /nfs/dbraw/zinc/26/69/00/422266900.db2.gz NZKUGDASUYECIH-QMMMGPOBSA-N -1 1 311.292 1.611 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1C[C@@H](CO)[C@H](C(F)(F)F)C1 ZINC000634233892 422337512 /nfs/dbraw/zinc/33/75/12/422337512.db2.gz RMKRXCDYBMRRHK-DTWKUNHWSA-N -1 1 307.243 1.774 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@H](COc2ccccc2)C1 ZINC000635784001 422338812 /nfs/dbraw/zinc/33/88/12/422338812.db2.gz OYKXKVSYNUODIC-ZDUSSCGKSA-N -1 1 315.377 1.450 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1ccccc1)C[C@@H]1CCCO1 ZINC000630805642 422344462 /nfs/dbraw/zinc/34/44/62/422344462.db2.gz FPODSWGHGRPSDP-AWEZNQCLSA-N -1 1 315.377 1.340 20 0 DDADMM CC(C)(CS(C)(=O)=O)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632456931 422359812 /nfs/dbraw/zinc/35/98/12/422359812.db2.gz RHGYMADAGADIRX-UHFFFAOYSA-N -1 1 319.810 1.528 20 0 DDADMM O=C(N[C@@H]1COCCC1=O)c1cc(Br)ccc1[O-] ZINC000628870957 422359843 /nfs/dbraw/zinc/35/98/43/422359843.db2.gz VURXUNYYKUQSJM-SECBINFHSA-N -1 1 314.135 1.243 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H](c1ccccc1)[C@H]1CCOC1 ZINC000635765613 422325482 /nfs/dbraw/zinc/32/54/82/422325482.db2.gz SBEPHBBFRGEOMF-BBRMVZONSA-N -1 1 315.377 1.416 20 0 DDADMM COc1cc(SC)ccc1NC(=O)CCCc1nn[n-]n1 ZINC000635863727 422419225 /nfs/dbraw/zinc/41/92/25/422419225.db2.gz UJTXFHGSEQJURX-UHFFFAOYSA-N -1 1 307.379 1.892 20 0 DDADMM CO[C@@H](CNC(=O)c1c(F)ccc([O-])c1F)[C@@H]1CCOC1 ZINC000617129141 422520369 /nfs/dbraw/zinc/52/03/69/422520369.db2.gz CDALTPXLUGBUCT-KCJUWKMLSA-N -1 1 301.289 1.452 20 0 DDADMM O=S(=O)([N-]Cc1ccns1)c1c[nH]nc1C(F)(F)F ZINC000632589849 422458059 /nfs/dbraw/zinc/45/80/59/422458059.db2.gz ZTGBYLALXFMDOU-UHFFFAOYSA-N -1 1 312.298 1.364 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1C[C@@H]2CCC[C@]2(CO)C1 ZINC000629091592 422472963 /nfs/dbraw/zinc/47/29/63/422472963.db2.gz UTCKDWMGHWZGPB-ZUZCIYMTSA-N -1 1 307.419 1.374 20 0 DDADMM Cc1nc(SCCN2C(=O)N[C@@H](C)C2=O)[n-]c(=O)c1C1CC1 ZINC000631023337 422477021 /nfs/dbraw/zinc/47/70/21/422477021.db2.gz MYFIDLGILVOPGF-QMMMGPOBSA-N -1 1 322.390 1.400 20 0 DDADMM CCC[C@H](CCO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000632620368 422478608 /nfs/dbraw/zinc/47/86/08/422478608.db2.gz JRLBWQRLURVVMK-MRVPVSSYSA-N -1 1 311.325 1.933 20 0 DDADMM CCc1[n-]n(-c2nc3c(cnn3C)c(=O)[nH]2)c(=O)c1C(C)C ZINC000634605316 422501120 /nfs/dbraw/zinc/50/11/20/422501120.db2.gz HSULUALQGHZHKD-SNVBAGLBSA-N -1 1 302.338 1.454 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)CCCc2nn[n-]n2)c2ccccc2O1 ZINC000635980034 422536323 /nfs/dbraw/zinc/53/63/23/422536323.db2.gz IETKFOIXNLVPOK-ZYHUDNBSSA-N -1 1 301.350 1.551 20 0 DDADMM O=C(N[C@@H]1CCOC[C@H]1O)c1cc(Br)ccc1[O-] ZINC000629227118 422542167 /nfs/dbraw/zinc/54/21/67/422542167.db2.gz WZIFNYHHDMMZKR-MWLCHTKSSA-N -1 1 316.151 1.034 20 0 DDADMM O=C(C[C@@H]1CCCS1(=O)=O)Nc1ccc([O-])c(F)c1F ZINC000636005083 422557226 /nfs/dbraw/zinc/55/72/26/422557226.db2.gz BHLNYNRYNQXDCD-ZETCQYMHSA-N -1 1 305.302 1.576 20 0 DDADMM CN1CC[C@@](C)(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)C1=O ZINC000636032131 422584225 /nfs/dbraw/zinc/58/42/25/422584225.db2.gz DHAMQFDHKKMFLG-AWEZNQCLSA-N -1 1 304.375 1.367 20 0 DDADMM CCc1ccc(NS(=O)(=O)c2cnc(C)n2C)c(C(=O)[O-])c1 ZINC000629288626 422585454 /nfs/dbraw/zinc/58/54/54/422585454.db2.gz CWEFEFGCPXSBGR-UHFFFAOYSA-N -1 1 323.374 1.790 20 0 DDADMM CCn1ccnc1[C@H]1CCCCN1C(=O)CCc1nn[n-]n1 ZINC000632837249 422616823 /nfs/dbraw/zinc/61/68/23/422616823.db2.gz ZKDJBDUSSYKSOH-LLVKDONJSA-N -1 1 303.370 1.103 20 0 DDADMM C[C@H](C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H]1CCC(=O)N1 ZINC000629380334 422640451 /nfs/dbraw/zinc/64/04/51/422640451.db2.gz LTTAFGDQFFRADQ-GWCFXTLKSA-N -1 1 314.345 1.431 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCOC[C@H]1c1cccc(F)c1 ZINC000636199431 422709325 /nfs/dbraw/zinc/70/93/25/422709325.db2.gz GDNTYQJENMEKLG-ZDUSSCGKSA-N -1 1 319.340 1.262 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCS[C@@H](c2ccccc2)C1 ZINC000631361278 422714495 /nfs/dbraw/zinc/71/44/95/422714495.db2.gz TUKHJPICKALAQC-GFCCVEGCSA-N -1 1 303.391 1.449 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1(c2ccccc2C)CC1 ZINC000645793058 423188717 /nfs/dbraw/zinc/18/87/17/423188717.db2.gz OTGWBMMPNNHMAZ-UHFFFAOYSA-N -1 1 307.375 1.672 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC1(c2ccccc2C)CC1 ZINC000645793058 423188723 /nfs/dbraw/zinc/18/87/23/423188723.db2.gz OTGWBMMPNNHMAZ-UHFFFAOYSA-N -1 1 307.375 1.672 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)[C@H](C)CCO)c(=O)[n-]1 ZINC000652964949 423238072 /nfs/dbraw/zinc/23/80/72/423238072.db2.gz MAVLHNMKDDGESU-SECBINFHSA-N -1 1 313.423 1.374 20 0 DDADMM Cc1nsc(NC2CC2)c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000645979625 423272658 /nfs/dbraw/zinc/27/26/58/423272658.db2.gz ACEHYIICBAXNKW-SSDOTTSWSA-N -1 1 321.410 1.415 20 0 DDADMM CC1CC(C(=O)NCc2nn[n-]n2)(c2cccc(Cl)c2)C1 ZINC000648307696 423309747 /nfs/dbraw/zinc/30/97/47/423309747.db2.gz OEPHWFXHAQEEIM-UHFFFAOYSA-N -1 1 305.769 1.837 20 0 DDADMM CO[C@H](C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1)c1ccccc1 ZINC000643909465 423402480 /nfs/dbraw/zinc/40/24/80/423402480.db2.gz ZZWMJIWSJCSYSD-LBPRGKRZSA-N -1 1 313.317 1.052 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1nc(C)n(-c2ccc(F)cc2)n1 ZINC000646409107 423477611 /nfs/dbraw/zinc/47/76/11/423477611.db2.gz HGHFGTMOYVBZOF-UHFFFAOYSA-N -1 1 316.296 1.704 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C(C)C)N1C[C@@H](C)O[C@H](C)C1 ZINC000646409791 423477825 /nfs/dbraw/zinc/47/78/25/423477825.db2.gz YHCZBPUHNULQSG-BREBYQMCSA-N -1 1 310.398 1.496 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1C[C@H]1C(F)(F)F ZINC000648894496 423526176 /nfs/dbraw/zinc/52/61/76/423526176.db2.gz QOGJILBPXUZWIH-OPRDCNLKSA-N -1 1 311.267 1.604 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@@]1(C)CC1(F)F)c1ccco1 ZINC000641364995 423583782 /nfs/dbraw/zinc/58/37/82/423583782.db2.gz KSIRZHHAYBKLPE-MWLCHTKSSA-N -1 1 309.334 1.932 20 0 DDADMM O=c1cc(CN2CCC[C@H](OC3CCC3)C2)nc2cc[n-]n21 ZINC000653814113 423615046 /nfs/dbraw/zinc/61/50/46/423615046.db2.gz IOMLWEUAVFISTJ-AWEZNQCLSA-N -1 1 302.378 1.556 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@@H]2CC[C@@](O)(C(F)(F)F)C2)o1 ZINC000644276401 423581651 /nfs/dbraw/zinc/58/16/51/423581651.db2.gz VGROGIDDKLGUJY-SCZZXKLOSA-N -1 1 313.297 1.712 20 0 DDADMM CC(=O)c1[n-]c(=N[C@H]2CCCc3c2cnn3CCO)sc1C ZINC000649243935 423696850 /nfs/dbraw/zinc/69/68/50/423696850.db2.gz JIUOAECHQJKMCQ-LBPRGKRZSA-N -1 1 320.418 1.754 20 0 DDADMM Cc1nc([N-]C(=O)c2csc(-c3ncccn3)n2)nn1C ZINC000646986366 423701155 /nfs/dbraw/zinc/70/11/55/423701155.db2.gz UOCOWRHUPXKYRL-UHFFFAOYSA-N -1 1 301.335 1.289 20 0 DDADMM O=S(=O)([N-]CC[C@@]1(O)CCCOC1)c1cc(F)ccc1F ZINC000651915142 423738420 /nfs/dbraw/zinc/73/84/20/423738420.db2.gz UEXQNCJEMHINLZ-ZDUSSCGKSA-N -1 1 321.345 1.175 20 0 DDADMM CC(C)(NC(=O)Cc1nsc2ccccc12)c1nn[n-]n1 ZINC000644649587 423744654 /nfs/dbraw/zinc/74/46/54/423744654.db2.gz NOSSEMDCUVLNKN-UHFFFAOYSA-N -1 1 302.363 1.403 20 0 DDADMM CN1CCN(c2cncc(C(=O)[O-])n2)C[C@H]1Cc1ccccc1 ZINC000649391952 423842304 /nfs/dbraw/zinc/84/23/04/423842304.db2.gz WGTDZOCVFRCYFY-CQSZACIVSA-N -1 1 312.373 1.538 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc(=O)[nH]1 ZINC000644884228 424013077 /nfs/dbraw/zinc/01/30/77/424013077.db2.gz RDVIKFMEBQWDSJ-VIFPVBQESA-N -1 1 304.306 1.204 20 0 DDADMM CC1(C)c2ccccc2CCN1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000641793518 423984869 /nfs/dbraw/zinc/98/48/69/423984869.db2.gz JTMLEDNJLCKLSM-AWEZNQCLSA-N -1 1 302.374 1.465 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CCC[C@@H](C)O2)sc1C ZINC000657155643 424163604 /nfs/dbraw/zinc/16/36/04/424163604.db2.gz QIOWDEGAQZQOAA-LDYMZIIASA-N -1 1 304.437 1.996 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)CC1CC1)c1cccc(F)c1F ZINC000657182956 424192786 /nfs/dbraw/zinc/19/27/86/424192786.db2.gz NAALOPAWIGGCKJ-JTQLQIEISA-N -1 1 305.346 1.652 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2C[C@H](O)C23CCC3)sc1C ZINC000657187643 424200051 /nfs/dbraw/zinc/20/00/51/424200051.db2.gz PGVLWMXYEHNQPC-UWVGGRQHSA-N -1 1 302.421 1.342 20 0 DDADMM O=S(=O)([N-]Cc1nnnn1C1CC1)c1sccc1Cl ZINC000649812650 424220309 /nfs/dbraw/zinc/22/03/09/424220309.db2.gz CZZMDJFIHLOSME-UHFFFAOYSA-N -1 1 319.799 1.201 20 0 DDADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCc2ccc(Cl)cc21 ZINC000649747331 424178333 /nfs/dbraw/zinc/17/83/33/424178333.db2.gz WHAZFFHHAUHUTF-SNVBAGLBSA-N -1 1 306.797 1.924 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1ccc(C(=O)[O-])nc1)c1cccs1 ZINC000640107704 424180848 /nfs/dbraw/zinc/18/08/48/424180848.db2.gz TVOZOEQWLJHAPM-LBPRGKRZSA-N -1 1 319.386 1.874 20 0 DDADMM Cc1cc(=NC(=O)N=c2[n-]n(CC(F)(F)F)cc2Cl)[nH][nH]1 ZINC000640302892 424315699 /nfs/dbraw/zinc/31/56/99/424315699.db2.gz UUZFNBQGIGAEEH-UHFFFAOYSA-N -1 1 322.678 1.618 20 0 DDADMM C[C@H]1C[N@@H+](CCO)CCN1C(=O)N=c1[nH]sc2ccccc21 ZINC000640337024 424355603 /nfs/dbraw/zinc/35/56/03/424355603.db2.gz DQLXEQBCFTXJDC-NSHDSACASA-N -1 1 320.418 1.249 20 0 DDADMM CC(C)OC[C@@H](CO)N(C)C(=O)N=c1[n-]sc2ccccc21 ZINC000640338917 424359865 /nfs/dbraw/zinc/35/98/65/424359865.db2.gz HJGWBIVPLQTAKP-LLVKDONJSA-N -1 1 323.418 1.968 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CC[C@]2(CNC(=O)C2)C1 ZINC000640339773 424360364 /nfs/dbraw/zinc/36/03/64/424360364.db2.gz XGWUDVLXDXQKEI-HNNXBMFYSA-N -1 1 316.386 1.462 20 0 DDADMM C[C@H](O)C[C@@H]1COCCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640339143 424361031 /nfs/dbraw/zinc/36/10/31/424361031.db2.gz MBPQFHRXQMMSKB-WDEREUQCSA-N -1 1 321.402 1.722 20 0 DDADMM CC(C)[C@@H]1C(=O)NCCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640340610 424365109 /nfs/dbraw/zinc/36/51/09/424365109.db2.gz LJJXMBKVGZPDOD-GFCCVEGCSA-N -1 1 318.402 1.707 20 0 DDADMM C[C@H](C(=O)NC[C@H]1CCCO1)N1CCC(CCC(=O)[O-])CC1 ZINC000662219597 424489638 /nfs/dbraw/zinc/48/96/38/424489638.db2.gz YWLDRHDHJNVLFU-TZMCWYRMSA-N -1 1 312.410 1.247 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1CCCCN1Cc1ccccc1)C(=O)[O-] ZINC000655562590 424570559 /nfs/dbraw/zinc/57/05/59/424570559.db2.gz YLNXPBWJYRSCHF-HIFRSBDPSA-N -1 1 304.390 1.878 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]C[C@@H](O)C(F)(F)F)c1F ZINC000655569570 424574693 /nfs/dbraw/zinc/57/46/93/424574693.db2.gz HKRILUXCRJUVTL-MRVPVSSYSA-N -1 1 317.260 1.036 20 0 DDADMM CCN(c1cnn(C)c1)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000655595550 424586458 /nfs/dbraw/zinc/58/64/58/424586458.db2.gz SEOUDLWRBWBMIY-UHFFFAOYSA-N -1 1 311.363 1.350 20 0 DDADMM CO[C@](C)(CO)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000655623400 424600705 /nfs/dbraw/zinc/60/07/05/424600705.db2.gz QFEQDGJEPDMSNG-NSHDSACASA-N -1 1 311.762 1.155 20 0 DDADMM O=C([O-])[C@H](CNC(=O)N1CCN(C2CCC2)CC1)C1CCC1 ZINC000665325656 424784434 /nfs/dbraw/zinc/78/44/34/424784434.db2.gz LKTJMAVRGXIMOS-CQSZACIVSA-N -1 1 309.410 1.367 20 0 DDADMM O=C([O-])[C@@H](NCc1cnc(N2CCCC2)nc1)c1ccccc1 ZINC000665340916 424787493 /nfs/dbraw/zinc/78/74/93/424787493.db2.gz RZAAWLOETCXWQR-HNNXBMFYSA-N -1 1 312.373 1.992 20 0 DDADMM COc1ccc(NC(=O)c2ccnnc2)cc1[N-]S(C)(=O)=O ZINC000341645607 271152568 /nfs/dbraw/zinc/15/25/68/271152568.db2.gz ZJFCESRCZAPJQR-UHFFFAOYSA-N -1 1 322.346 1.109 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC(=O)c1cccs1 ZINC000342330090 271378860 /nfs/dbraw/zinc/37/88/60/271378860.db2.gz RUQJZJYKIQBURO-UHFFFAOYSA-N -1 1 323.399 1.887 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CSC[C@H]1C ZINC000342362156 271389675 /nfs/dbraw/zinc/38/96/75/271389675.db2.gz YOTBGXSFDZQHSK-PRHODGIISA-N -1 1 320.418 1.462 20 0 DDADMM C[C@H]1C[C@@H](CCNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000345537845 272276312 /nfs/dbraw/zinc/27/63/12/272276312.db2.gz CURXENUHFRLBLD-QWRGUYRKSA-N -1 1 318.377 1.609 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1c(F)cccc1F)C1CC1 ZINC000347091330 272612630 /nfs/dbraw/zinc/61/26/30/272612630.db2.gz KPLZLOBHCFLJGJ-GFCCVEGCSA-N -1 1 319.329 1.336 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1Cc2ccccc2[C@H]1C ZINC000416171200 277365188 /nfs/dbraw/zinc/36/51/88/277365188.db2.gz OPYOZTLUROKQCG-MRVPVSSYSA-N -1 1 301.302 1.613 20 0 DDADMM CC1(C)CCC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000456881619 278240560 /nfs/dbraw/zinc/24/05/60/278240560.db2.gz LTIDXGCWUQSJDP-JTQLQIEISA-N -1 1 303.366 1.364 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1C2)N(C)C ZINC000408505283 280177101 /nfs/dbraw/zinc/17/71/01/280177101.db2.gz YVQHOIGBAJOYPI-MROQNXINSA-N -1 1 302.440 1.207 20 0 DDADMM Cn1c(CCNC(=O)[C@@H]2Cc3cc(F)ccc3O2)n[n-]c1=S ZINC000076992972 280976139 /nfs/dbraw/zinc/97/61/39/280976139.db2.gz IDHLCYVGQIODPH-NSHDSACASA-N -1 1 322.365 1.279 20 0 DDADMM COC(=O)c1cccc(C[N-]S(=O)(=O)c2c(C)noc2C)c1 ZINC000128785619 281322629 /nfs/dbraw/zinc/32/26/29/281322629.db2.gz RLXAWBIRIWHEFH-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM Cc1nc([N-]C(=O)c2cc(-c3ccc4c(c3)CCO4)no2)n[nH]1 ZINC000128842166 281324107 /nfs/dbraw/zinc/32/41/07/281324107.db2.gz QLSXAOJAUXBYOA-UHFFFAOYSA-N -1 1 311.301 1.955 20 0 DDADMM CC1(C)Cc2ccc(C(=O)NC3(c4nn[n-]n4)CC3)c(O)c2O1 ZINC000436995120 281373532 /nfs/dbraw/zinc/37/35/32/281373532.db2.gz HCLWILRZIXPIHJ-UHFFFAOYSA-N -1 1 315.333 1.038 20 0 DDADMM Cc1nnc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)n1C1CC1 ZINC000130737323 281392962 /nfs/dbraw/zinc/39/29/62/281392962.db2.gz SVQGUTVVIJZSGG-UHFFFAOYSA-N -1 1 324.344 1.088 20 0 DDADMM CC[C@@]1(C)C[C@H]1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000135564544 281597604 /nfs/dbraw/zinc/59/76/04/281597604.db2.gz UWTCSZWNIPHGOE-LRDDRELGSA-N -1 1 302.378 1.692 20 0 DDADMM CCc1onc(C)c1[N-]S(=O)(=O)N1C[C@H](C)OC[C@H]1C ZINC000340973967 288671497 /nfs/dbraw/zinc/67/14/97/288671497.db2.gz ZCEDTYQUAJNJOV-BDAKNGLRSA-N -1 1 303.384 1.311 20 0 DDADMM CN(C)C1CN(C(=O)c2cc(Br)c(F)cc2[O-])C1 ZINC000450300788 289071645 /nfs/dbraw/zinc/07/16/45/289071645.db2.gz SWZDIJYCYDTLLJ-UHFFFAOYSA-N -1 1 317.158 1.680 20 0 DDADMM Cc1nc(C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)c[nH]1 ZINC000292637640 293162350 /nfs/dbraw/zinc/16/23/50/293162350.db2.gz WKOSCRDIDXXTME-VXNVDRBHSA-N -1 1 318.299 1.390 20 0 DDADMM CO[N-]C(=O)[C@H]1CC(=O)N(C)[C@H]1c1ccc(C(F)(F)F)cc1 ZINC000115327733 293375387 /nfs/dbraw/zinc/37/53/87/293375387.db2.gz NAPMCCXTMFZBQE-JQWIXIFHSA-N -1 1 316.279 1.902 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1c2ccccc2C[C@@H]1C ZINC000331721766 294016232 /nfs/dbraw/zinc/01/62/32/294016232.db2.gz KXPVEEVWBAMBMN-UFBFGSQYSA-N -1 1 307.375 1.607 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1c2ccccc2C[C@@H]1C ZINC000331721766 294016235 /nfs/dbraw/zinc/01/62/35/294016235.db2.gz KXPVEEVWBAMBMN-UFBFGSQYSA-N -1 1 307.375 1.607 20 0 DDADMM COCCOc1ncccc1C(=O)N=c1nc(C2CCC2)[nH][n-]1 ZINC000354340655 298406233 /nfs/dbraw/zinc/40/62/33/298406233.db2.gz MVKDWJOPIQNSSB-UHFFFAOYSA-N -1 1 317.349 1.167 20 0 DDADMM Cc1cnc(C(=O)N2CC(=O)N(C)[C@@H]2c2ccccc2)c([O-])c1 ZINC000356980813 298934032 /nfs/dbraw/zinc/93/40/32/298934032.db2.gz JHGHACFXZHQPDJ-INIZCTEOSA-N -1 1 311.341 1.709 20 0 DDADMM CO[C@@H]1CCCC[C@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000362433534 300061931 /nfs/dbraw/zinc/06/19/31/300061931.db2.gz CLZZVBDHUHQSMO-CHWSQXEVSA-N -1 1 301.346 1.611 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(C)c2c1CCC2 ZINC000574399519 304649820 /nfs/dbraw/zinc/64/98/20/304649820.db2.gz IVHMBXRARJEDCH-UHFFFAOYSA-N -1 1 312.373 1.549 20 0 DDADMM O=C(c1ccc[nH]1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000362970401 300191095 /nfs/dbraw/zinc/19/10/95/300191095.db2.gz KITQIRDAHMZHHI-UHFFFAOYSA-N -1 1 314.345 1.104 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@@H](N2CCNC2=O)C1 ZINC000363438241 300267829 /nfs/dbraw/zinc/26/78/29/300267829.db2.gz HTXAZEPBXMQDSS-LLVKDONJSA-N -1 1 323.780 1.675 20 0 DDADMM O=C(Cn1nnc2c1CCCC2)Nc1ccc([O-])c(F)c1F ZINC000574822286 304687518 /nfs/dbraw/zinc/68/75/18/304687518.db2.gz DRJWCFSUKUEOJM-UHFFFAOYSA-N -1 1 308.288 1.779 20 0 DDADMM CC[C@@H](C)[C@H](O)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000575056061 304709600 /nfs/dbraw/zinc/70/96/00/304709600.db2.gz RKYZSLZKQCTCEI-MWLCHTKSSA-N -1 1 314.432 1.982 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)c1ccon1 ZINC000368500594 301042391 /nfs/dbraw/zinc/04/23/91/301042391.db2.gz CYQJWOJAEODLML-SNVBAGLBSA-N -1 1 322.687 1.500 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCCC[C@@H]2O)c(F)c1 ZINC000425192666 301297372 /nfs/dbraw/zinc/29/73/72/301297372.db2.gz FANFSBGRONEQOB-NEPJUHHUSA-N -1 1 305.346 1.855 20 0 DDADMM CN1CCC[C@]2(CCN(C(=O)c3cc(F)ccc3[O-])C2)C1=O ZINC000372545200 301586893 /nfs/dbraw/zinc/58/68/93/301586893.db2.gz IDUKOPNNXHWRGA-MRXNPFEDSA-N -1 1 306.337 1.616 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC3(CCC3)CC2)co1 ZINC000375898479 302012732 /nfs/dbraw/zinc/01/27/32/302012732.db2.gz TUQHCNWZRNNECC-UHFFFAOYSA-N -1 1 312.391 1.594 20 0 DDADMM Cn1cc(N2CC[C@@H](NC(=O)c3c(F)ccc([O-])c3F)C2)cn1 ZINC000377714986 302218098 /nfs/dbraw/zinc/21/80/98/302218098.db2.gz IZGQPVAILWTQNG-SECBINFHSA-N -1 1 322.315 1.413 20 0 DDADMM CN1CCC2(CCN(C(=O)c3c(F)ccc([O-])c3F)CC2)C1=O ZINC000377833915 302240510 /nfs/dbraw/zinc/24/05/10/302240510.db2.gz HTIBKDHMYCKIDE-UHFFFAOYSA-N -1 1 324.327 1.755 20 0 DDADMM CN(C)[C@@]1(C(=O)N2CC[C@H](C(=O)[O-])C2)CCc2ccccc21 ZINC000378180909 302286820 /nfs/dbraw/zinc/28/68/20/302286820.db2.gz CBMPSKACTQVPPT-GUYCJALGSA-N -1 1 302.374 1.323 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@H](C)O[C@]3(CCCOC3)C2)c([O-])c1 ZINC000333105273 302333915 /nfs/dbraw/zinc/33/39/15/302333915.db2.gz DIZIWKYJVGFRFP-MLGOLLRUSA-N -1 1 306.362 1.506 20 0 DDADMM COc1ccccc1C[C@H]1CCCN(CC(=O)NCC(=O)[O-])C1 ZINC000378596932 302341699 /nfs/dbraw/zinc/34/16/99/302341699.db2.gz YQDORGOGXWJOKM-CYBMUJFWSA-N -1 1 320.389 1.151 20 0 DDADMM O=C(N=c1nc(C2CCC2)[nH][n-]1)c1ncc2c(F)cccn21 ZINC000529035862 303083480 /nfs/dbraw/zinc/08/34/80/303083480.db2.gz VRRRYURDOIYUQS-UHFFFAOYSA-N -1 1 300.297 1.533 20 0 DDADMM C[C@@H]1CN(CCN2CCCc3ccccc32)C[C@@H](C(=O)[O-])O1 ZINC000530170201 303179179 /nfs/dbraw/zinc/17/91/79/303179179.db2.gz QQEXHIJLYWCHQY-CJNGLKHVSA-N -1 1 304.390 1.613 20 0 DDADMM Cc1ccccc1[C@@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000531097746 303230255 /nfs/dbraw/zinc/23/02/55/303230255.db2.gz RWSCVISAWYXMNB-OAHLLOKOSA-N -1 1 323.352 1.695 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]C(C)(C)C)o2)[C@H]1C ZINC000357548254 306893364 /nfs/dbraw/zinc/89/33/64/306893364.db2.gz IUUWHTGFMQAULL-UWVGGRQHSA-N -1 1 314.407 1.837 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CNC2(C(=O)[O-])CCCC2)c1 ZINC000533202602 303313563 /nfs/dbraw/zinc/31/35/63/303313563.db2.gz DARKFEXADJMXNV-UHFFFAOYSA-N -1 1 319.361 1.571 20 0 DDADMM Cn1[n-]c(CN2CCn3cccc3[C@@H]2c2ccccc2)nc1=O ZINC000543706942 303462101 /nfs/dbraw/zinc/46/21/01/303462101.db2.gz BSJOZQGLIQEBAX-INIZCTEOSA-N -1 1 309.373 1.515 20 0 DDADMM C[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544684589 303476121 /nfs/dbraw/zinc/47/61/21/303476121.db2.gz YBABSNBAZCZWRM-JFGNBEQYSA-N -1 1 301.350 1.211 20 0 DDADMM COc1cccc(CCNC(=O)c2cncc([O-])c2)c1OC ZINC000555787047 303723242 /nfs/dbraw/zinc/72/32/42/303723242.db2.gz TWGQHCGFQKZFCO-UHFFFAOYSA-N -1 1 302.330 1.777 20 0 DDADMM COC[C@](C)([N-]S(=O)(=O)CCCC(C)(C)C)C(=O)OC ZINC000558435539 303770521 /nfs/dbraw/zinc/77/05/21/303770521.db2.gz CAKDAKRZJORBRZ-ZDUSSCGKSA-N -1 1 309.428 1.310 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2ccc(F)cn2)CC1 ZINC000367741581 307103273 /nfs/dbraw/zinc/10/32/73/307103273.db2.gz SRKCUQIHTDJTNO-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM O=C([C@@H]1CCC[C@@H]2CCCC[C@@H]12)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370739815 307134776 /nfs/dbraw/zinc/13/47/76/307134776.db2.gz LZJLFBRQEHNWHX-DGAVXFQQSA-N -1 1 319.409 1.706 20 0 DDADMM O=C(NCC1CCN(c2ncccn2)CC1)c1cncc([O-])c1 ZINC000374939601 307216178 /nfs/dbraw/zinc/21/61/78/307216178.db2.gz AJVYUWNUOHNANG-UHFFFAOYSA-N -1 1 313.361 1.224 20 0 DDADMM Cc1ccc2[nH]cc(C(=O)N3CC(n4cncn4)C3)c(=O)c2c1 ZINC000376074572 307237397 /nfs/dbraw/zinc/23/73/97/307237397.db2.gz ONMUSOSETJPWSN-UHFFFAOYSA-N -1 1 309.329 1.125 20 0 DDADMM C[C@@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C[C@H](C2CC2)O1 ZINC000376596172 307248921 /nfs/dbraw/zinc/24/89/21/307248921.db2.gz BQPILNQOISRHMB-WHHQEKCXSA-N -1 1 315.377 1.373 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCC4(CCO4)CC3)ccnc1-2 ZINC000376613613 307249589 /nfs/dbraw/zinc/24/95/89/307249589.db2.gz ZIABGFPZGGXEGD-PDGQHHTCSA-N -1 1 301.350 1.129 20 0 DDADMM Cn1[n-]cc2/c(=N/C(=O)N3CCC[C@@]34CCOC4)ccnc1-2 ZINC000376624439 307250178 /nfs/dbraw/zinc/25/01/78/307250178.db2.gz YTAJZWJVUWMXPM-BRFSQIRFSA-N -1 1 301.350 1.129 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H]3CCO[C@@H]3C3CC3)ccnc1-2 ZINC000376672744 307252864 /nfs/dbraw/zinc/25/28/64/307252864.db2.gz MNIYRBPYZPBRHQ-HFAKHUIQSA-N -1 1 301.350 1.031 20 0 DDADMM C[C@]1(CO)CN(C(=O)c2cc(Cl)ccc2[O-])C[C@@]1(C)CO ZINC000529966140 307578029 /nfs/dbraw/zinc/57/80/29/307578029.db2.gz AOLCQQLBOPNLSI-GASCZTMLSA-N -1 1 313.781 1.499 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Br)o2)CO1 ZINC000566164046 308047239 /nfs/dbraw/zinc/04/72/39/308047239.db2.gz KIJPGBBRNKJPKQ-NKWVEPMBSA-N -1 1 310.169 1.498 20 0 DDADMM CO[C@@H](CC(C)C)CN(C)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000565107212 308021118 /nfs/dbraw/zinc/02/11/18/308021118.db2.gz ORYZKOVFYYVRJQ-AWEZNQCLSA-N -1 1 314.426 1.303 20 0 DDADMM Cn1[n-]c(CN2CCC(Oc3cncc(Cl)c3)CC2)nc1=O ZINC000565371852 308028986 /nfs/dbraw/zinc/02/89/86/308028986.db2.gz SVAHOJSXCWOKBX-UHFFFAOYSA-N -1 1 323.784 1.200 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)Nc1ccc(CN2CCN(C)CC2)cc1 ZINC000567165517 308073493 /nfs/dbraw/zinc/07/34/93/308073493.db2.gz FUZLJIPWOLZOPB-GFCCVEGCSA-N -1 1 305.378 1.093 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000567234993 308075874 /nfs/dbraw/zinc/07/58/74/308075874.db2.gz VMCACAPCHKKVAH-LLVKDONJSA-N -1 1 308.403 1.895 20 0 DDADMM CC(C)(CO)CC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000567608627 308086747 /nfs/dbraw/zinc/08/67/47/308086747.db2.gz GMLOLZOYNVPOOJ-UHFFFAOYSA-N -1 1 314.432 1.983 20 0 DDADMM C[C@H](N=c1ccc(N2CC(=O)N[C@@H](C)C2)n[n-]1)c1ccccc1 ZINC000568622395 308124778 /nfs/dbraw/zinc/12/47/78/308124778.db2.gz LTRKDSGGWYJERT-STQMWFEESA-N -1 1 311.389 1.396 20 0 DDADMM O=S1(=O)CCC[C@@H](CN=c2nc(C(F)(F)F)[n-]s2)C1 ZINC000569404362 308142641 /nfs/dbraw/zinc/14/26/41/308142641.db2.gz POAVJCMWTOFGAN-LURJTMIESA-N -1 1 315.342 1.216 20 0 DDADMM C[C@@H]1CCN(Cc2cn(-c3ccccc3)nn2)C[C@H]1C(=O)[O-] ZINC000577179086 308368457 /nfs/dbraw/zinc/36/84/57/308368457.db2.gz ZBKJGNCEGFXYAE-IUODEOHRSA-N -1 1 300.362 1.810 20 0 DDADMM C[C@H]1CCN(Cc2cn(-c3ccccc3)nn2)C[C@@H]1C(=O)[O-] ZINC000577179132 308368600 /nfs/dbraw/zinc/36/86/00/308368600.db2.gz ZBKJGNCEGFXYAE-WFASDCNBSA-N -1 1 300.362 1.810 20 0 DDADMM C[C@@H]1OCC[C@H]1S(=O)(=O)Nc1ccc(C(=O)[O-])cc1O ZINC000578135451 308440369 /nfs/dbraw/zinc/44/03/69/308440369.db2.gz FWQWIJFBHBSUTN-WRWORJQWSA-N -1 1 301.320 1.010 20 0 DDADMM C[C@@H]1C[C@@H](CN=c2ccc(C(=O)NC3CCCC3)n[n-]2)[C@@H](C)O1 ZINC000578725246 308480265 /nfs/dbraw/zinc/48/02/65/308480265.db2.gz JZBXTIBDIMGVSA-UPJWGTAASA-N -1 1 318.421 1.796 20 0 DDADMM Cc1nc(SCC(=O)NC(=O)NC2CCCC2)[n-]c(=O)c1C ZINC000539617171 325795815 /nfs/dbraw/zinc/79/58/15/325795815.db2.gz YCTAYIBNZHMSGD-UHFFFAOYSA-N -1 1 324.406 1.660 20 0 DDADMM O=C1CN(Cc2ncccc2[O-])CCN1Cc1cccc(F)c1 ZINC000582327776 326012738 /nfs/dbraw/zinc/01/27/38/326012738.db2.gz WUTGJBUQWXSNKD-UHFFFAOYSA-N -1 1 315.348 1.771 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000584175858 331854569 /nfs/dbraw/zinc/85/45/69/331854569.db2.gz NZDBLFFGOYTDTQ-KBPBESRZSA-N -1 1 310.369 1.901 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@H]2CN(c3ccccc3)C[C@H]2C1 ZINC000582916972 337217731 /nfs/dbraw/zinc/21/77/31/337217731.db2.gz ZYHIKQFULQZPBM-GASCZTMLSA-N -1 1 309.369 1.996 20 0 DDADMM CCN(CCOc1ccc(S(C)(=O)=O)cc1)CCC(=O)[O-] ZINC000583029891 337230901 /nfs/dbraw/zinc/23/09/01/337230901.db2.gz GWKVVPZFBYLAJD-UHFFFAOYSA-N -1 1 315.391 1.266 20 0 DDADMM C[C@H]1CN(c2ncncc2C(=O)[O-])CCN1Cc1ccccc1 ZINC000396398860 337243935 /nfs/dbraw/zinc/24/39/35/337243935.db2.gz WXCWLFZEHHWXMJ-ZDUSSCGKSA-N -1 1 312.373 1.886 20 0 DDADMM CCc1cc(C(=O)N2C[C@H](C(=O)[O-])[C@@H](c3ccccc3)C2)n[nH]1 ZINC000262960729 484273700 /nfs/dbraw/zinc/27/37/00/484273700.db2.gz RQWRYHNVBIMNKG-KGLIPLIRSA-N -1 1 313.357 1.913 20 0 DDADMM CCCCCc1cc(C(=O)N2C[C@H](OC)C[C@@H]2CC(=O)[O-])n[nH]1 ZINC000659159878 484662480 /nfs/dbraw/zinc/66/24/80/484662480.db2.gz CQKSXULJRHHOOY-CHWSQXEVSA-N -1 1 323.393 1.847 20 0 DDADMM CN(CC(=O)NC1CC1)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000670412725 484817530 /nfs/dbraw/zinc/81/75/30/484817530.db2.gz IMKSLXQACVBQBU-UHFFFAOYSA-N -1 1 316.279 1.762 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2s[n-]c(=O)c2Cl)n[nH]1 ZINC000670474763 484852691 /nfs/dbraw/zinc/85/26/91/484852691.db2.gz ZTXXFYSDUPZTEB-RXMQYKEDSA-N -1 1 300.771 1.895 20 0 DDADMM CC[C@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CCCCC1 ZINC000667946874 484862206 /nfs/dbraw/zinc/86/22/06/484862206.db2.gz GJBKAIVLBBVPBF-JTQLQIEISA-N -1 1 309.366 1.985 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCc2ccc(F)cc21 ZINC000667951388 484866044 /nfs/dbraw/zinc/86/60/44/484866044.db2.gz RBOAVCLDAFPOBX-SNVBAGLBSA-N -1 1 319.292 1.453 20 0 DDADMM COc1cccnc1CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000672770800 485346222 /nfs/dbraw/zinc/34/62/22/485346222.db2.gz MANNECNFRBRMAX-HNNXBMFYSA-N -1 1 324.344 1.052 20 0 DDADMM C[C@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)c1ccncc1 ZINC000674074211 485528276 /nfs/dbraw/zinc/52/82/76/485528276.db2.gz IJFACNFIROHROU-LLVKDONJSA-N -1 1 308.341 1.852 20 0 DDADMM COc1cc(C(=O)N2CCc3c[nH]nc3C2)cc(Cl)c1[O-] ZINC000682362223 485567151 /nfs/dbraw/zinc/56/71/51/485567151.db2.gz SQTYHCOXOCARFG-UHFFFAOYSA-N -1 1 307.737 1.976 20 0 DDADMM CN1CCC[C@@H](NC(=O)c2nn(-c3ccc(F)cc3)cc2[O-])C1 ZINC000674603584 485677667 /nfs/dbraw/zinc/67/76/67/485677667.db2.gz NHYIXBHGDMSLEI-GFCCVEGCSA-N -1 1 318.352 1.541 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCCOCC2)c(F)c1 ZINC000678946274 485735611 /nfs/dbraw/zinc/73/56/11/485735611.db2.gz JXMCFVYYYNAULH-SECBINFHSA-N -1 1 321.345 1.821 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc([O-])c(F)c2)CCS1(=O)=O ZINC000683261510 485925338 /nfs/dbraw/zinc/92/53/38/485925338.db2.gz WVWWDPDLROIKGB-VIFPVBQESA-N -1 1 301.339 1.181 20 0 DDADMM Cn1cc([C@@H]2CN(C(=O)c3ccc([O-])c(F)c3)C[C@H]2CO)cn1 ZINC000683513081 486032328 /nfs/dbraw/zinc/03/23/28/486032328.db2.gz MRFDNFJUQZJJTA-STQMWFEESA-N -1 1 319.336 1.113 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](C)C[C@@H](C)O)c(F)c1 ZINC000679937593 486045680 /nfs/dbraw/zinc/04/56/80/486045680.db2.gz WBSOCHFHTPLKEN-JGVFFNPUSA-N -1 1 309.334 1.411 20 0 DDADMM CC(=O)c1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)o1 ZINC000683680875 486079927 /nfs/dbraw/zinc/07/99/27/486079927.db2.gz PKSYIWQPRUSSGX-ZDUSSCGKSA-N -1 1 311.301 1.515 20 0 DDADMM C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1ccc([O-])c(F)c1 ZINC000681047786 486330692 /nfs/dbraw/zinc/33/06/92/486330692.db2.gz HTDBGNICILVFLE-BDAKNGLRSA-N -1 1 301.339 1.179 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCN(C)c2ccccc21)c1nn[n-]n1 ZINC000676823215 486334210 /nfs/dbraw/zinc/33/42/10/486334210.db2.gz RQATYWWOZBSRJC-STQMWFEESA-N -1 1 314.393 1.781 20 0 DDADMM C/C=C\C[C@H](CO)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000681157306 486361407 /nfs/dbraw/zinc/36/14/07/486361407.db2.gz ZVZGYVNUDNYLCJ-ZBJFTSOASA-N -1 1 321.345 1.579 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)CCOC(C)(C)C)co1 ZINC000677318400 486439413 /nfs/dbraw/zinc/43/94/13/486439413.db2.gz CYIUSSQHKUVBQK-UHFFFAOYSA-N -1 1 318.395 1.075 20 0 DDADMM Cc1cnc(C(=O)NCc2nnc(C3CCC3)n2C)c([O-])c1 ZINC000681745195 486509606 /nfs/dbraw/zinc/50/96/06/486509606.db2.gz WVJIPDYJMGKQNF-UHFFFAOYSA-N -1 1 301.350 1.422 20 0 DDADMM O=C1CN(Cc2ncccc2[O-])CCN1c1cccc(F)c1 ZINC000685427502 486536811 /nfs/dbraw/zinc/53/68/11/486536811.db2.gz OGXJMKKAPNSLHB-UHFFFAOYSA-N -1 1 301.321 1.775 20 0 DDADMM CCNc1ncc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000677924874 486579577 /nfs/dbraw/zinc/57/95/77/486579577.db2.gz BFTYKLCIVGTULU-MRVPVSSYSA-N -1 1 307.383 1.108 20 0 DDADMM CCC[C@H](NC(=O)[C@H](O)CCc1ccccc1)c1nn[n-]n1 ZINC000677931497 486582704 /nfs/dbraw/zinc/58/27/04/486582704.db2.gz OKBHCKOABOZVJL-QWHCGFSZSA-N -1 1 303.366 1.151 20 0 DDADMM Cc1nc(SCC2(CS(C)(=O)=O)CC2)[n-]c(=O)c1C ZINC000331913837 534529435 /nfs/dbraw/zinc/52/94/35/534529435.db2.gz DIXVHRJBJANLLY-UHFFFAOYSA-N -1 1 302.421 1.716 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1CC1(C)C ZINC000299669127 534646763 /nfs/dbraw/zinc/64/67/63/534646763.db2.gz OXCFIYFXPQQJMM-GFCCVEGCSA-N -1 1 302.378 1.899 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC2(COC(=O)N2)CC1 ZINC000495302923 534660806 /nfs/dbraw/zinc/66/08/06/534660806.db2.gz XCJSSXYFARVDJS-UHFFFAOYSA-N -1 1 310.737 1.760 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337945270 523192485 /nfs/dbraw/zinc/19/24/85/523192485.db2.gz IYBMSAAGYFTMCE-NEPJUHHUSA-N -1 1 305.378 1.602 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H]1CO)c1ccc(Br)o1 ZINC000452029317 534870880 /nfs/dbraw/zinc/87/08/80/534870880.db2.gz IYNUBBSGDYZECB-YUMQZZPRSA-N -1 1 324.196 1.481 20 0 DDADMM CC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CCCO1)c1ccccc1F ZINC000416651611 527131111 /nfs/dbraw/zinc/13/11/11/527131111.db2.gz RRQPIYCGMQOHRD-BXUZGUMPSA-N -1 1 315.366 1.554 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)COC1 ZINC000425176461 527749806 /nfs/dbraw/zinc/74/98/06/527749806.db2.gz DNVVEYWPMUGPFP-UHFFFAOYSA-N -1 1 305.346 1.978 20 0 DDADMM CCOC(=O)[C@@H](CC)C([O-])=Nc1nc([C@@H](C)OC)ns1 ZINC000412324186 527755546 /nfs/dbraw/zinc/75/55/46/527755546.db2.gz MSFNKNFDTMRGNJ-SFYZADRCSA-N -1 1 301.368 1.195 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cccc(C(=O)OC)c1 ZINC000295760163 528562059 /nfs/dbraw/zinc/56/20/59/528562059.db2.gz VTDBRFBDKHFQDH-UHFFFAOYSA-N -1 1 323.345 1.405 20 0 DDADMM CCOC(=O)Nc1ccc(OS(=O)(=O)c2c[n-]cn2)cc1 ZINC000491566954 529111670 /nfs/dbraw/zinc/11/16/70/529111670.db2.gz GJXDXEORLCMFSQ-UHFFFAOYSA-N -1 1 311.319 1.746 20 0 DDADMM CCN(CC)S(=O)(=O)[N-]c1cnn(CC)c1C(F)(F)F ZINC000337184621 535629519 /nfs/dbraw/zinc/62/95/19/535629519.db2.gz NXKJIQLOYIATQC-UHFFFAOYSA-N -1 1 314.333 1.920 20 0 DDADMM COc1ccc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737095253 598980672 /nfs/dbraw/zinc/98/06/72/598980672.db2.gz FJSKZYCILCEYAK-UHFFFAOYSA-N -1 1 311.301 1.627 20 0 DDADMM COc1ccc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737095253 598980674 /nfs/dbraw/zinc/98/06/74/598980674.db2.gz FJSKZYCILCEYAK-UHFFFAOYSA-N -1 1 311.301 1.627 20 0 DDADMM CC(C)CCN(C(=O)c1ccc(-c2nnn[n-]2)nc1)C1CC1 ZINC000735797652 598986925 /nfs/dbraw/zinc/98/69/25/598986925.db2.gz QTASMKZYGYATBS-UHFFFAOYSA-N -1 1 300.366 1.912 20 0 DDADMM CC(C)CCN(C(=O)c1ccc(-c2nn[n-]n2)nc1)C1CC1 ZINC000735797652 598986928 /nfs/dbraw/zinc/98/69/28/598986928.db2.gz QTASMKZYGYATBS-UHFFFAOYSA-N -1 1 300.366 1.912 20 0 DDADMM C[C@@H]1CCCC[C@H]1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736270056 598997217 /nfs/dbraw/zinc/99/72/17/598997217.db2.gz IRVSHJAQHONGRB-MNOVXSKESA-N -1 1 300.366 1.818 20 0 DDADMM C[C@@H]1CCCC[C@H]1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736270056 598997219 /nfs/dbraw/zinc/99/72/19/598997219.db2.gz IRVSHJAQHONGRB-MNOVXSKESA-N -1 1 300.366 1.818 20 0 DDADMM C[C@@H](Cc1ccsc1)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736066241 598995257 /nfs/dbraw/zinc/99/52/57/598995257.db2.gz MFTBVGQHCXKFIC-VIFPVBQESA-N -1 1 314.374 1.684 20 0 DDADMM C[C@@H](Cc1ccsc1)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736066241 598995258 /nfs/dbraw/zinc/99/52/58/598995258.db2.gz MFTBVGQHCXKFIC-VIFPVBQESA-N -1 1 314.374 1.684 20 0 DDADMM O=C(Nc1cccc2c1OCCO2)c1ccc(-c2nnn[n-]2)nc1 ZINC000738185143 598996725 /nfs/dbraw/zinc/99/67/25/598996725.db2.gz SDELOOGNIUZYQT-UHFFFAOYSA-N -1 1 324.300 1.285 20 0 DDADMM O=C(Nc1cccc2c1OCCO2)c1ccc(-c2nn[n-]n2)nc1 ZINC000738185143 598996727 /nfs/dbraw/zinc/99/67/27/598996727.db2.gz SDELOOGNIUZYQT-UHFFFAOYSA-N -1 1 324.300 1.285 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCCc2ccccc2C1 ZINC000738259251 599005212 /nfs/dbraw/zinc/00/52/12/599005212.db2.gz PETXHMQQYIYMIO-UHFFFAOYSA-N -1 1 320.356 1.850 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCCc2ccccc2C1 ZINC000738259251 599005214 /nfs/dbraw/zinc/00/52/14/599005214.db2.gz PETXHMQQYIYMIO-UHFFFAOYSA-N -1 1 320.356 1.850 20 0 DDADMM OCC[C@H]1CCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000823717247 599038049 /nfs/dbraw/zinc/03/80/49/599038049.db2.gz BPCPKCPZQKWFCE-LLVKDONJSA-N -1 1 310.361 1.624 20 0 DDADMM OCC[C@H]1CCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000823717247 599038051 /nfs/dbraw/zinc/03/80/51/599038051.db2.gz BPCPKCPZQKWFCE-LLVKDONJSA-N -1 1 310.361 1.624 20 0 DDADMM CCC1(O)CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000820966363 599059963 /nfs/dbraw/zinc/05/99/63/599059963.db2.gz CQJLJYJCAOVAEB-UHFFFAOYSA-N -1 1 308.773 1.656 20 0 DDADMM CCC1(O)CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000820966363 599059966 /nfs/dbraw/zinc/05/99/66/599059966.db2.gz CQJLJYJCAOVAEB-UHFFFAOYSA-N -1 1 308.773 1.656 20 0 DDADMM Clc1nsc(NCCOC2CCC2)c1-c1nnn[n-]1 ZINC000822589887 599083828 /nfs/dbraw/zinc/08/38/28/599083828.db2.gz BQBGSXFALFZMSN-UHFFFAOYSA-N -1 1 300.775 1.958 20 0 DDADMM Clc1nsc(NCCOC2CCC2)c1-c1nn[n-]n1 ZINC000822589887 599083829 /nfs/dbraw/zinc/08/38/29/599083829.db2.gz BQBGSXFALFZMSN-UHFFFAOYSA-N -1 1 300.775 1.958 20 0 DDADMM COc1cccc([C@H](CNC(=O)N(C)CCC(=O)[O-])N(C)C)c1 ZINC000320926689 596988691 /nfs/dbraw/zinc/98/86/91/596988691.db2.gz CGAJPAWDLDJBRS-AWEZNQCLSA-N -1 1 323.393 1.414 20 0 DDADMM CC(C)[C@@]1(C)NC(=O)N(CN2CCC[C@H](CC(=O)[O-])C2)C1=O ZINC000817858545 597044651 /nfs/dbraw/zinc/04/46/51/597044651.db2.gz IEQHGSVDCQYHNB-IAQYHMDHSA-N -1 1 311.382 1.097 20 0 DDADMM O=C([O-])CSCC(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC000740485307 597101819 /nfs/dbraw/zinc/10/18/19/597101819.db2.gz JXODBTJSQHRXKX-UHFFFAOYSA-N -1 1 313.766 1.650 20 0 DDADMM CC(C)[C@H](NCC(=O)N[C@H](c1ccccc1)C1CC1)C(=O)[O-] ZINC000817977485 597108067 /nfs/dbraw/zinc/10/80/67/597108067.db2.gz BHQZDJFVVIUGJD-JKSUJKDBSA-N -1 1 304.390 1.953 20 0 DDADMM C[C@H]1C(=O)N(CN2CCC(C(=O)[O-])CC2)[C@H]1c1ccccc1 ZINC000818085467 597206397 /nfs/dbraw/zinc/20/63/97/597206397.db2.gz YMMNWVQRPNWCPP-IUODEOHRSA-N -1 1 302.374 1.960 20 0 DDADMM O=C([O-])Cc1ccccc1NS(=O)(=O)c1cncc(F)c1 ZINC000053200373 597313512 /nfs/dbraw/zinc/31/35/12/597313512.db2.gz CNMRGPXUUJRUGA-UHFFFAOYSA-N -1 1 310.306 1.649 20 0 DDADMM c1cc(-c2nnn[n-]2)oc1CNc1ccc(-n2cnnn2)cc1 ZINC000823747891 607311340 /nfs/dbraw/zinc/31/13/40/607311340.db2.gz LQCTUEDPIJBGOJ-UHFFFAOYSA-N -1 1 309.293 1.048 20 0 DDADMM c1cc(-c2nn[n-]n2)oc1CNc1ccc(-n2cnnn2)cc1 ZINC000823747891 607311341 /nfs/dbraw/zinc/31/13/41/607311341.db2.gz LQCTUEDPIJBGOJ-UHFFFAOYSA-N -1 1 309.293 1.048 20 0 DDADMM O=C([O-])[C@H]1CCN(C(=O)NC[C@H](c2ccco2)N2CCCC2)C1 ZINC000819258158 597506409 /nfs/dbraw/zinc/50/64/09/597506409.db2.gz QTVATSDPBOIEFQ-QWHCGFSZSA-N -1 1 321.377 1.533 20 0 DDADMM C[S@](=O)Cc1cccc(NCc2ccc(-c3nnn[n-]3)o2)c1 ZINC000821942426 607317706 /nfs/dbraw/zinc/31/77/06/607317706.db2.gz NOJQHIITXLJEKB-QFIPXVFZSA-N -1 1 317.374 1.950 20 0 DDADMM C[S@](=O)Cc1cccc(NCc2ccc(-c3nn[n-]n3)o2)c1 ZINC000821942426 607317707 /nfs/dbraw/zinc/31/77/07/607317707.db2.gz NOJQHIITXLJEKB-QFIPXVFZSA-N -1 1 317.374 1.950 20 0 DDADMM O=C([O-])CNC(=O)CNC1(c2cccc(C(F)(F)F)c2)CC1 ZINC000821530300 597794841 /nfs/dbraw/zinc/79/48/41/597794841.db2.gz GIKAYMVJDGEWHV-UHFFFAOYSA-N -1 1 316.279 1.485 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N1CCO[C@@H]2CCCC[C@@H]21)C(=O)[O-] ZINC000263042694 598187710 /nfs/dbraw/zinc/18/77/10/598187710.db2.gz CPFWHKUQHLFBNG-XPCVCDNBSA-N -1 1 312.410 1.245 20 0 DDADMM CC(C)c1nnc(NC(=O)CN[C@@H](C(=O)[O-])C(C)C)s1 ZINC000820062032 598189709 /nfs/dbraw/zinc/18/97/09/598189709.db2.gz XKOXPBNGNZIROF-SECBINFHSA-N -1 1 300.384 1.299 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(Nc2ccc(N3CCCCC3)nc2)n1 ZINC000738445888 598341766 /nfs/dbraw/zinc/34/17/66/598341766.db2.gz NWQFCMFFFVZZHL-UHFFFAOYSA-N -1 1 323.364 1.786 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(Nc2ccc(N3CCCCC3)nc2)n1 ZINC000738445888 598341767 /nfs/dbraw/zinc/34/17/67/598341767.db2.gz NWQFCMFFFVZZHL-UHFFFAOYSA-N -1 1 323.364 1.786 20 0 DDADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N1CCc2ccccc21 ZINC000736025585 598341993 /nfs/dbraw/zinc/34/19/93/598341993.db2.gz INKKKFYQPRZHKH-LLVKDONJSA-N -1 1 322.376 1.520 20 0 DDADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N1CCc2ccccc21 ZINC000736025585 598341996 /nfs/dbraw/zinc/34/19/96/598341996.db2.gz INKKKFYQPRZHKH-LLVKDONJSA-N -1 1 322.376 1.520 20 0 DDADMM O=Cc1ccc(Oc2nccnc2-c2nn[n-]n2)c(Cl)c1 ZINC000738313350 598350784 /nfs/dbraw/zinc/35/07/84/598350784.db2.gz IFUKIRMTFVOJMN-UHFFFAOYSA-N -1 1 302.681 1.915 20 0 DDADMM CCc1nn(Cc2cccc(C)c2)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736707764 598378186 /nfs/dbraw/zinc/37/81/86/598378186.db2.gz SVRJUNRHTYLXBF-UHFFFAOYSA-N -1 1 324.388 1.905 20 0 DDADMM Cc1cccc(N2CCN(c3cnc(-c4nnn[n-]4)cn3)CC2)c1 ZINC000737401473 598385614 /nfs/dbraw/zinc/38/56/14/598385614.db2.gz YNQRYKNWUSELPY-UHFFFAOYSA-N -1 1 322.376 1.292 20 0 DDADMM Cc1cccc(N2CCN(c3cnc(-c4nn[n-]n4)cn3)CC2)c1 ZINC000737401473 598385615 /nfs/dbraw/zinc/38/56/15/598385615.db2.gz YNQRYKNWUSELPY-UHFFFAOYSA-N -1 1 322.376 1.292 20 0 DDADMM C[C@H]1CC[C@H](NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000737196558 598510021 /nfs/dbraw/zinc/51/00/21/598510021.db2.gz QJXUNCQIEHKKEH-MGCOHNPYSA-N -1 1 322.394 1.119 20 0 DDADMM C[C@H]1CC[C@H](NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000737196558 598510022 /nfs/dbraw/zinc/51/00/22/598510022.db2.gz QJXUNCQIEHKKEH-MGCOHNPYSA-N -1 1 322.394 1.119 20 0 DDADMM C[C@H](CN1CCCC1=O)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000735999477 598575908 /nfs/dbraw/zinc/57/59/08/598575908.db2.gz AWJAFVXWADXACV-MRVPVSSYSA-N -1 1 321.772 1.338 20 0 DDADMM C[C@H](CN1CCCC1=O)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000735999477 598575910 /nfs/dbraw/zinc/57/59/10/598575910.db2.gz AWJAFVXWADXACV-MRVPVSSYSA-N -1 1 321.772 1.338 20 0 DDADMM CN(C[C@H]1COc2ccccc2O1)c1cccc(-c2nnn[n-]2)n1 ZINC000736793736 599395651 /nfs/dbraw/zinc/39/56/51/599395651.db2.gz YRUCKYSDAOABNE-NSHDSACASA-N -1 1 324.344 1.538 20 0 DDADMM CN(C[C@H]1COc2ccccc2O1)c1cccc(-c2nn[n-]n2)n1 ZINC000736793736 599395652 /nfs/dbraw/zinc/39/56/52/599395652.db2.gz YRUCKYSDAOABNE-NSHDSACASA-N -1 1 324.344 1.538 20 0 DDADMM CC[C@H](O)CCCNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000820933758 599582230 /nfs/dbraw/zinc/58/22/30/599582230.db2.gz YUISMZFXFSSGKT-JTQLQIEISA-N -1 1 313.365 1.773 20 0 DDADMM CC[C@H](O)CCCNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000820933758 599582232 /nfs/dbraw/zinc/58/22/32/599582232.db2.gz YUISMZFXFSSGKT-JTQLQIEISA-N -1 1 313.365 1.773 20 0 DDADMM CCN1CCCC[C@H]1C(=O)Nc1cccc(OCC(=O)[O-])c1 ZINC000737114930 599731857 /nfs/dbraw/zinc/73/18/57/599731857.db2.gz MSYCUQBMNCDNER-AWEZNQCLSA-N -1 1 306.362 1.963 20 0 DDADMM CC1(C)CN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CCN1Cc1ccccc1 ZINC000382602098 599776429 /nfs/dbraw/zinc/77/64/29/599776429.db2.gz SPSRBRNGHPLLNL-LSDHHAIUSA-N -1 1 316.401 1.830 20 0 DDADMM O=C([O-])[C@H]1CCN(CN2C[C@@H](c3cccc(F)c3)CC2=O)C1 ZINC000739599220 599854004 /nfs/dbraw/zinc/85/40/04/599854004.db2.gz USJBOQOTOFHJSE-STQMWFEESA-N -1 1 306.337 1.506 20 0 DDADMM CN(c1cccnn1)C1CCN(Cc2occc2C(=O)[O-])CC1 ZINC000737506794 599915264 /nfs/dbraw/zinc/91/52/64/599915264.db2.gz ZHNKSQJBMPWKAT-UHFFFAOYSA-N -1 1 316.361 1.869 20 0 DDADMM C[C@@H](CN1CCN(C)CC1)NC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000736585455 600032242 /nfs/dbraw/zinc/03/22/42/600032242.db2.gz JMJONTPGUFKDJH-LBPRGKRZSA-N -1 1 320.393 1.142 20 0 DDADMM O=C([O-])c1ccc2c(c1)CN(C(=O)c1ccc3[nH]nnc3c1)C2 ZINC000322092574 600071108 /nfs/dbraw/zinc/07/11/08/600071108.db2.gz ZVOMEYUVXVILHY-UHFFFAOYSA-N -1 1 308.297 1.812 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN(C[C@H](C)O)C(C)C ZINC000738533347 600135919 /nfs/dbraw/zinc/13/59/19/600135919.db2.gz TWXILLLEOYWBQN-LBPRGKRZSA-N -1 1 308.378 1.723 20 0 DDADMM C[C@H](CNC(=O)C(C)(C)NC(=O)[O-])N1CCc2ccccc2C1 ZINC000736593613 600154271 /nfs/dbraw/zinc/15/42/71/600154271.db2.gz RXSOVMMCOOYPFD-GFCCVEGCSA-N -1 1 319.405 1.596 20 0 DDADMM CCOC[C@@H]1CCCN(CC(=O)N[C@@H](C(=O)[O-])[C@@H](C)CC)C1 ZINC000737162943 600286942 /nfs/dbraw/zinc/28/69/42/600286942.db2.gz UVKMDPSSIPHVMC-GZBFAFLISA-N -1 1 314.426 1.350 20 0 DDADMM Cc1csc([C@@H]2CCCN2CCC(=O)N(C)CC(=O)[O-])n1 ZINC000738817211 600295981 /nfs/dbraw/zinc/29/59/81/600295981.db2.gz WFVAKCFCIYGOBL-NSHDSACASA-N -1 1 311.407 1.522 20 0 DDADMM CC1(C)CO[C@@H](c2ccccc2)CN1CCC(=O)NCC(=O)[O-] ZINC000736736897 600298010 /nfs/dbraw/zinc/29/80/10/600298010.db2.gz HYGRKUAPJGBMEK-CQSZACIVSA-N -1 1 320.389 1.429 20 0 DDADMM CCC[C@](C)(NCC(=O)NC[C@@H]1Cc2ccccc2O1)C(=O)[O-] ZINC000736962979 600324006 /nfs/dbraw/zinc/32/40/06/600324006.db2.gz IJFYYFFVPWBOIC-GUYCJALGSA-N -1 1 320.389 1.339 20 0 DDADMM Cc1cc(CN2CCC[C@@H](N3CCCC3=O)C2)oc1C(=O)[O-] ZINC000738383455 600334466 /nfs/dbraw/zinc/33/44/66/600334466.db2.gz TUEUAXLIVCZTJS-GFCCVEGCSA-N -1 1 306.362 1.873 20 0 DDADMM CCc1[nH]c(C(=O)N2CCN(C)C[C@@H]2C(C)C)c(C)c1C(=O)[O-] ZINC000737242070 600395521 /nfs/dbraw/zinc/39/55/21/600395521.db2.gz PRUILKUCODKXIP-CYBMUJFWSA-N -1 1 321.421 1.996 20 0 DDADMM Cc1nc([C@@H]2CCCN2Cc2ccc(-c3nnn[n-]3)o2)no1 ZINC000822436724 607443133 /nfs/dbraw/zinc/44/31/33/607443133.db2.gz PUVWWHOKTJHDDQ-JTQLQIEISA-N -1 1 301.310 1.488 20 0 DDADMM Cc1nc([C@@H]2CCCN2Cc2ccc(-c3nn[n-]n3)o2)no1 ZINC000822436724 607443134 /nfs/dbraw/zinc/44/31/34/607443134.db2.gz PUVWWHOKTJHDDQ-JTQLQIEISA-N -1 1 301.310 1.488 20 0 DDADMM CCN(CCC(=O)[O-])CN1C[C@@H](c2cccc(F)c2)CC1=O ZINC000737096982 600424267 /nfs/dbraw/zinc/42/42/67/600424267.db2.gz VKDKEEDLYJZTDI-ZDUSSCGKSA-N -1 1 308.353 1.896 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2ccc(C(=O)[O-])s2)n[nH]1 ZINC000737255103 600445835 /nfs/dbraw/zinc/44/58/35/600445835.db2.gz UNTWIJHXWVTMQE-UHFFFAOYSA-N -1 1 315.376 1.210 20 0 DDADMM Cc1ccc(C#N)cc1S(=O)(=O)Nc1cnccc1C(=O)[O-] ZINC000316205230 600513884 /nfs/dbraw/zinc/51/38/84/600513884.db2.gz MXPLDJDYNGCLFT-UHFFFAOYSA-N -1 1 317.326 1.761 20 0 DDADMM CCc1ccc(S(=O)(=O)Nc2cnn(CC(=O)[O-])c2)cc1 ZINC000038030420 600529272 /nfs/dbraw/zinc/52/92/72/600529272.db2.gz CBDXIKYZCSZWFY-UHFFFAOYSA-N -1 1 309.347 1.331 20 0 DDADMM Cc1ccc(C[C@@H]2CCCN2Cn2cnc(C(=O)[O-])n2)cc1 ZINC000738588971 600636831 /nfs/dbraw/zinc/63/68/31/600636831.db2.gz IBHRMRHSEHJQTD-AWEZNQCLSA-N -1 1 300.362 1.949 20 0 DDADMM Cc1ccsc1[C@H]1C[C@@H]1C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000826316416 607460450 /nfs/dbraw/zinc/46/04/50/607460450.db2.gz NLFADKAXEQXKBA-YUMQZZPRSA-N -1 1 315.362 1.459 20 0 DDADMM Cc1ccc(S(=O)(=O)NC2CCN(C)CC2)cc1C(=O)[O-] ZINC000013198118 600766627 /nfs/dbraw/zinc/76/66/27/600766627.db2.gz CJMCVNIAJZJIAJ-UHFFFAOYSA-N -1 1 312.391 1.066 20 0 DDADMM CCOC(=O)[C@@H](Nc1cccc(-c2nn[n-]n2)n1)C(C)(C)C ZINC000825553182 607902006 /nfs/dbraw/zinc/90/20/06/607902006.db2.gz LLHNTJHIYMJROP-LLVKDONJSA-N -1 1 304.354 1.651 20 0 DDADMM O=C([O-])[C@H]1C[C@H](C2CCCCC2)CN1C(=O)Cc1ccn[nH]1 ZINC000833177536 600980997 /nfs/dbraw/zinc/98/09/97/600980997.db2.gz PDMNLRUKQRYSHN-GXTWGEPZSA-N -1 1 305.378 1.834 20 0 DDADMM C[C@@](NCC(=O)NCc1cccc(Cl)c1)(C(=O)[O-])C1CC1 ZINC000827728628 601036723 /nfs/dbraw/zinc/03/67/23/601036723.db2.gz UFHFSJLEOQTBCX-HNNXBMFYSA-N -1 1 310.781 1.799 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H](C(=O)N2CCN(C[C@@H]3CCOC3)CC2)C1 ZINC000833187615 601331460 /nfs/dbraw/zinc/33/14/60/601331460.db2.gz YQQAYPVOUMGWCP-RRFJBIMHSA-N -1 1 324.421 1.058 20 0 DDADMM O=C([O-])CCN(Cc1ccncc1)C(=O)c1cc(C2CC2)[nH]n1 ZINC000833234053 601509661 /nfs/dbraw/zinc/50/96/61/601509661.db2.gz BUIDNNIHBCJIPC-UHFFFAOYSA-N -1 1 314.345 1.799 20 0 DDADMM COC(=O)c1cccc(NC(=O)CN2C[C@H](C)[C@@H](C(=O)[O-])C2)c1 ZINC000831453042 601522858 /nfs/dbraw/zinc/52/28/58/601522858.db2.gz FQMBMULHYOIVQW-GWCFXTLKSA-N -1 1 320.345 1.064 20 0 DDADMM Cc1cc(CC(=O)OCC(=O)Nc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000832882860 601610200 /nfs/dbraw/zinc/61/02/00/601610200.db2.gz DVZAKBTWVSVLRA-UHFFFAOYSA-N -1 1 317.301 1.141 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)CN1CCC[C@H]2COCC[C@H]21 ZINC000833104440 601662310 /nfs/dbraw/zinc/66/23/10/601662310.db2.gz BDXXCMIQHPUDAG-SWLSCSKDSA-N -1 1 318.373 1.824 20 0 DDADMM Cc1[nH]nc(C(=O)N2C[C@H](C(=O)[O-])[C@H](C)C2)c1Br ZINC000832989584 601717413 /nfs/dbraw/zinc/71/74/13/601717413.db2.gz CQPUDGHPGLUPNT-VDTYLAMSSA-N -1 1 316.155 1.273 20 0 DDADMM COc1ccc(CN(C)C(=O)CN[C@H](C(=O)[O-])C(C)C)cc1 ZINC000832311291 601824233 /nfs/dbraw/zinc/82/42/33/601824233.db2.gz ZKQPUNDEVSIUCQ-HNNXBMFYSA-N -1 1 308.378 1.352 20 0 DDADMM O=C(CC1(O)CCCCC1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826404125 607529396 /nfs/dbraw/zinc/52/93/96/607529396.db2.gz OWCIUCMPNLHTBM-UHFFFAOYSA-N -1 1 317.349 1.596 20 0 DDADMM CC1CCC2(CC1)NC(=O)N(CN1CC[C@@](C)(C(=O)[O-])C1)C2=O ZINC000828232862 601891703 /nfs/dbraw/zinc/89/17/03/601891703.db2.gz JXSFYDSWQZUYLR-YWCUFVOSSA-N -1 1 323.393 1.241 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)[C@H](C)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000833014387 601922640 /nfs/dbraw/zinc/92/26/40/601922640.db2.gz UUXQXARRFWGCIN-XHDPSFHLSA-N -1 1 308.382 1.161 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC000828024178 601922973 /nfs/dbraw/zinc/92/29/73/601922973.db2.gz KAHTZPMANCPDHJ-HNNXBMFYSA-N -1 1 318.333 1.004 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C[C@H]1COc2ccccc2O1 ZINC000091130698 601923791 /nfs/dbraw/zinc/92/37/91/601923791.db2.gz MZTDQFVYPDAICU-RYUDHWBXSA-N -1 1 309.387 1.719 20 0 DDADMM O=C(CN1CCCC[C@@H]1[C@@H]1CCCN1C(=O)[O-])NCC1CC1 ZINC000740369646 601926861 /nfs/dbraw/zinc/92/68/61/601926861.db2.gz PBNJSUUGYUITLJ-KGLIPLIRSA-N -1 1 309.410 1.510 20 0 DDADMM CC(C)n1nnnc1CSCc1ccnc(-c2nnn[n-]2)c1 ZINC000824377322 607535407 /nfs/dbraw/zinc/53/54/07/607535407.db2.gz BVIJAAJFLYSCMN-UHFFFAOYSA-N -1 1 317.382 1.263 20 0 DDADMM CC(C)n1nnnc1CSCc1ccnc(-c2nn[n-]n2)c1 ZINC000824377322 607535409 /nfs/dbraw/zinc/53/54/09/607535409.db2.gz BVIJAAJFLYSCMN-UHFFFAOYSA-N -1 1 317.382 1.263 20 0 DDADMM Cc1ccccc1NC(=O)[C@H](C)N1CC[C@H](CNC(=O)[O-])C1 ZINC000740186565 601958006 /nfs/dbraw/zinc/95/80/06/601958006.db2.gz PYABFPUNAOZFOU-QWHCGFSZSA-N -1 1 305.378 1.912 20 0 DDADMM Cc1cccc(NC(=O)[C@@H](C)N2CC[C@@H](CNC(=O)[O-])C2)c1 ZINC000740170885 601960720 /nfs/dbraw/zinc/96/07/20/601960720.db2.gz PZFJMHKIVZPKRU-OLZOCXBDSA-N -1 1 305.378 1.912 20 0 DDADMM O=c1ccc2ccc(OCc3ccnc(-c4nnn[n-]4)c3)cc2o1 ZINC000826502034 607539670 /nfs/dbraw/zinc/53/96/70/607539670.db2.gz RODQPJGPGZSJEE-UHFFFAOYSA-N -1 1 321.296 1.947 20 0 DDADMM O=c1ccc2ccc(OCc3ccnc(-c4nn[n-]n4)c3)cc2o1 ZINC000826502034 607539672 /nfs/dbraw/zinc/53/96/72/607539672.db2.gz RODQPJGPGZSJEE-UHFFFAOYSA-N -1 1 321.296 1.947 20 0 DDADMM O=C([O-])NCCC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000739937036 602126217 /nfs/dbraw/zinc/12/62/17/602126217.db2.gz DIDUAQONBQCFSL-GFCCVEGCSA-N -1 1 309.366 1.581 20 0 DDADMM Cc1nc2sccn2c1CN(C)CCC(=O)N(C)CC(=O)[O-] ZINC000833007524 602325351 /nfs/dbraw/zinc/32/53/51/602325351.db2.gz USLPHLFETOETFS-UHFFFAOYSA-N -1 1 324.406 1.069 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000739529008 602384068 /nfs/dbraw/zinc/38/40/68/602384068.db2.gz CQHVOLNAOWWYEH-DOMZBBRYSA-N -1 1 319.405 1.596 20 0 DDADMM C[C@@](CNC(=O)[O-])(NC(=O)Cc1[nH]nc2ccccc21)C1CC1 ZINC000738926686 602503686 /nfs/dbraw/zinc/50/36/86/602503686.db2.gz RBCSRUNOUQLKKU-INIZCTEOSA-N -1 1 316.361 1.658 20 0 DDADMM C[C@@H](CNC(=O)[O-])N(C)C(=O)c1cc(-c2ccc(F)cc2)[nH]n1 ZINC000738934534 602526083 /nfs/dbraw/zinc/52/60/83/602526083.db2.gz URKCIASGQHSDDX-VIFPVBQESA-N -1 1 320.324 1.944 20 0 DDADMM O=C([O-])N1CC[C@@H](NS(=O)(=O)c2c(F)cc(F)cc2F)C1 ZINC000740505793 602569269 /nfs/dbraw/zinc/56/92/69/602569269.db2.gz DMUBDJHAFAKXNO-SSDOTTSWSA-N -1 1 324.280 1.135 20 0 DDADMM C[C@@H]1CN(C[C@H](O)c2c(F)cccc2F)CC[C@H]1NC(=O)[O-] ZINC000739178799 602779382 /nfs/dbraw/zinc/77/93/82/602779382.db2.gz KJOQDJTXLZZAOF-WQAKAFBOSA-N -1 1 314.332 1.976 20 0 DDADMM CCc1onc(C)c1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000825776075 607593383 /nfs/dbraw/zinc/59/33/83/607593383.db2.gz DWQQAJTVEQUWMI-UHFFFAOYSA-N -1 1 314.305 1.478 20 0 DDADMM CCc1onc(C)c1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000825776075 607593385 /nfs/dbraw/zinc/59/33/85/607593385.db2.gz DWQQAJTVEQUWMI-UHFFFAOYSA-N -1 1 314.305 1.478 20 0 DDADMM Cc1cc(CNC(=O)N[C@@H](C)[C@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000740073702 602911749 /nfs/dbraw/zinc/91/17/49/602911749.db2.gz RTJHMORZFWMAQH-QWRGUYRKSA-N -1 1 309.370 1.296 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)N[C@H](CNC(=O)[O-])C1CC1 ZINC000738799988 603131939 /nfs/dbraw/zinc/13/19/39/603131939.db2.gz DDJBVZNQOXKMGE-CHWSQXEVSA-N -1 1 312.414 1.014 20 0 DDADMM O=C([O-])NC1CCC(NC(=O)N2CCN3CCCC[C@@H]3C2)CC1 ZINC000740555626 603153032 /nfs/dbraw/zinc/15/30/32/603153032.db2.gz XAZBEDBOOOZSHI-JXQTWKCFSA-N -1 1 324.425 1.445 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@H](C)N(C)Cc1ccccc1)NC(=O)[O-] ZINC000738773895 603179631 /nfs/dbraw/zinc/17/96/31/603179631.db2.gz LJHHCJIJWOGGGM-DZGCQCFKSA-N -1 1 321.421 1.915 20 0 DDADMM C[C@H](C(=O)N(C)Cc1ccccc1)N(C)CCCNC(=O)[O-] ZINC000738600456 603255536 /nfs/dbraw/zinc/25/55/36/603255536.db2.gz IYJBZJIAAHVGJM-CYBMUJFWSA-N -1 1 307.394 1.623 20 0 DDADMM CCn1ccnc1CN1CCN(C[C@H]2CCN(C(=O)[O-])C2)CC1 ZINC000739569730 603337225 /nfs/dbraw/zinc/33/72/25/603337225.db2.gz HPMJGVYJHLQEMH-CQSZACIVSA-N -1 1 321.425 1.021 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(-c3ccco3)[nH]n2)CC[C@@H]1NC(=O)[O-] ZINC000739163672 603371364 /nfs/dbraw/zinc/37/13/64/603371364.db2.gz GMVNUUWZRQNUSF-UWVGGRQHSA-N -1 1 318.333 1.788 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@H](Cc1ccccc1)N(C)C)NC(=O)[O-] ZINC000738776117 603403633 /nfs/dbraw/zinc/40/36/33/603403633.db2.gz UHVBMXHNUMRCKC-CABCVRRESA-N -1 1 321.421 1.568 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)NCC(C)(C)NC(=O)[O-] ZINC000826086990 603458507 /nfs/dbraw/zinc/45/85/07/603458507.db2.gz GDNHXFBPOVNLMS-NEPJUHHUSA-N -1 1 312.414 1.301 20 0 DDADMM CCN(CC1CCN(Cc2cccc(C(N)=O)c2)CC1)C(=O)[O-] ZINC000827098743 603504766 /nfs/dbraw/zinc/50/47/66/603504766.db2.gz FOESVLMWRQVJMJ-UHFFFAOYSA-N -1 1 319.405 1.997 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](N(C)C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823660768 603506899 /nfs/dbraw/zinc/50/68/99/603506899.db2.gz CYNJUYPKAYBSAR-DZGCQCFKSA-N -1 1 319.405 1.718 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000828001968 603510467 /nfs/dbraw/zinc/51/04/67/603510467.db2.gz YFINDZRZNVSBGQ-HUUCEWRRSA-N -1 1 319.405 1.370 20 0 DDADMM C[C@H](CNC(=O)[O-])N(C)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000824967551 603556155 /nfs/dbraw/zinc/55/61/55/603556155.db2.gz SPPFYPVZUXAMQI-SNVBAGLBSA-N -1 1 318.337 1.387 20 0 DDADMM COc1ccccc1N1CC[C@H](N[C@H]2CCN(C(=O)[O-])C2)C1=O ZINC000829639029 603607384 /nfs/dbraw/zinc/60/73/84/603607384.db2.gz XIWRBOPNVQERMX-RYUDHWBXSA-N -1 1 319.361 1.142 20 0 DDADMM O=C([O-])N1CCN(C(=O)CN[C@@H]2CCc3c2cccc3F)CC1 ZINC000832024377 603619411 /nfs/dbraw/zinc/61/94/11/603619411.db2.gz KASWPZWLMAYQSU-CQSZACIVSA-N -1 1 321.352 1.225 20 0 DDADMM C[C@H]1CCCN(C(=O)c2cc(C3CC3)[nH]n2)[C@@H]1CNC(=O)[O-] ZINC000825912874 603689456 /nfs/dbraw/zinc/68/94/56/603689456.db2.gz NIUALFZIGCGLNS-TVQRCGJNSA-N -1 1 306.366 1.795 20 0 DDADMM CC1CCN(C(=O)CN2CCC[C@H](C)[C@@H]2CNC(=O)[O-])CC1 ZINC000825937129 603712292 /nfs/dbraw/zinc/71/22/92/603712292.db2.gz HFSMPCKWARKENO-KBPBESRZSA-N -1 1 311.426 1.613 20 0 DDADMM CCc1cc(C(=O)N2CCC(CN(CC)C(=O)[O-])CC2)n[nH]1 ZINC000827556056 603757028 /nfs/dbraw/zinc/75/70/28/603757028.db2.gz DABDUOISMCJKKL-UHFFFAOYSA-N -1 1 308.382 1.824 20 0 DDADMM C[C@H](NC(=O)[C@H]1Cc2ccccc2CN1C(=O)[O-])c1nnc[nH]1 ZINC000825460064 603801545 /nfs/dbraw/zinc/80/15/45/603801545.db2.gz VLGCKUFMLABSDD-JOYOIKCWSA-N -1 1 315.333 1.087 20 0 DDADMM CN(CC(=O)Nc1nccs1)C1CCC(NC(=O)[O-])CC1 ZINC000828120483 603836344 /nfs/dbraw/zinc/83/63/44/603836344.db2.gz PCRPIWJIUINRTQ-UHFFFAOYSA-N -1 1 312.395 1.592 20 0 DDADMM C[C@@H](C(=O)N1CCN(C(=O)[O-])[C@H](C)C1)N(C)Cc1ccccc1 ZINC000823668907 603839102 /nfs/dbraw/zinc/83/91/02/603839102.db2.gz JDIRZOZUTDOIHJ-KGLIPLIRSA-N -1 1 319.405 1.718 20 0 DDADMM O=C([O-])N1CCC[C@H](CCNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000831659081 603948664 /nfs/dbraw/zinc/94/86/64/603948664.db2.gz COOCOAFUZYSSBC-NEPJUHHUSA-N -1 1 320.393 1.726 20 0 DDADMM C[C@H](C(=O)NCc1c[nH]nn1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823705185 603950869 /nfs/dbraw/zinc/95/08/69/603950869.db2.gz VCRHQARDNNGGBI-JOYOIKCWSA-N -1 1 303.322 1.066 20 0 DDADMM COc1ccc(NC(=O)CN(C)C2CCN(C(=O)[O-])CC2)cc1 ZINC000829453426 603982663 /nfs/dbraw/zinc/98/26/63/603982663.db2.gz GSRMGQXYOYOYPX-UHFFFAOYSA-N -1 1 321.377 1.708 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc(NC(=O)[O-])cc1)c1nn[nH]n1 ZINC000826742775 604002610 /nfs/dbraw/zinc/00/26/10/604002610.db2.gz DRQZGVVHELIEPF-NSHDSACASA-N -1 1 318.337 1.490 20 0 DDADMM CCN(Cc1ccccc1NC(=O)NCc1c[nH]nn1)C(=O)[O-] ZINC000827186706 604137700 /nfs/dbraw/zinc/13/77/00/604137700.db2.gz BPPXLUFAXCSQPA-UHFFFAOYSA-N -1 1 318.337 1.626 20 0 DDADMM Cc1cc(CC(=O)NC[C@@H](NC(=O)[O-])c2ccccc2)[nH]n1 ZINC000830028607 604224723 /nfs/dbraw/zinc/22/47/23/604224723.db2.gz AQJWNIQWMZPPQX-CYBMUJFWSA-N -1 1 302.334 1.386 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC(CC(=O)[O-])CC2)n[nH]1 ZINC000832884450 604306821 /nfs/dbraw/zinc/30/68/21/604306821.db2.gz ZSAGGRVKBFAJJR-JTQLQIEISA-N -1 1 308.382 1.545 20 0 DDADMM CCN1C(=O)N=NC1C1CCN(Cc2ccc(C(=O)[O-])o2)CC1 ZINC000830412489 604379572 /nfs/dbraw/zinc/37/95/72/604379572.db2.gz SAKJSFWUQCGVDL-UHFFFAOYSA-N -1 1 320.349 1.674 20 0 DDADMM C[C@@H](NC(=O)[O-])c1ccc(CNC(=O)CCc2nc[nH]n2)cc1 ZINC000825377786 604455345 /nfs/dbraw/zinc/45/53/45/604455345.db2.gz KNCVQTCUCNQCME-SNVBAGLBSA-N -1 1 317.349 1.382 20 0 DDADMM O=C([O-])NCC1(C(=O)Nc2ccc3[nH]nnc3c2)CCCC1 ZINC000832442985 604527045 /nfs/dbraw/zinc/52/70/45/604527045.db2.gz JHRUCILOOKNPCK-UHFFFAOYSA-N -1 1 303.322 1.724 20 0 DDADMM Cc1ccc2nc(CNC(=O)NC3CN(C(=O)[O-])C3)[nH]c2c1 ZINC000830432977 604594464 /nfs/dbraw/zinc/59/44/64/604594464.db2.gz OHJJNLGWSKUHEG-UHFFFAOYSA-N -1 1 303.322 1.033 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@@H](CC(C)C)NC(=O)[O-])C2)n[nH]1 ZINC000830735964 604644194 /nfs/dbraw/zinc/64/41/94/604644194.db2.gz YUCGKPVKVRTRKB-NWDGAFQWSA-N -1 1 323.397 1.501 20 0 DDADMM CC(C)CN1CCN(C(=O)Cc2cccc(C(=O)[O-])c2)CC1 ZINC000833489301 604670188 /nfs/dbraw/zinc/67/01/88/604670188.db2.gz ZNDOQKOBSFZBGB-UHFFFAOYSA-N -1 1 304.390 1.728 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1C(=O)c1cccc(OCC(=O)[O-])c1 ZINC000833588789 604838808 /nfs/dbraw/zinc/83/88/08/604838808.db2.gz RTLZFZONJGQHJV-NWDGAFQWSA-N -1 1 306.362 1.315 20 0 DDADMM CC1CCN(CC(=O)N2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])CC1 ZINC000825944467 604867414 /nfs/dbraw/zinc/86/74/14/604867414.db2.gz OASFTBRJTLBUQE-KGLIPLIRSA-N -1 1 309.410 1.462 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCc1cccc(F)c1F ZINC000833764131 604901695 /nfs/dbraw/zinc/90/16/95/604901695.db2.gz YIOPVJJTVFUQEH-LBPRGKRZSA-N -1 1 323.299 1.433 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CC[C@@H](C(F)F)C1 ZINC000833404706 604936877 /nfs/dbraw/zinc/93/68/77/604936877.db2.gz UITNLNKOBRAVLV-MWLCHTKSSA-N -1 1 304.337 1.285 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(OCC(=O)[O-])c(Cl)c1)N(C)C ZINC000832803056 604960766 /nfs/dbraw/zinc/96/07/66/604960766.db2.gz AYGSMNHANPUPIP-QMMMGPOBSA-N -1 1 300.742 1.692 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)C2CCC(C(=O)[O-])CC2)c1 ZINC000833689588 605090875 /nfs/dbraw/zinc/09/08/75/605090875.db2.gz JOBVMIPLKAQAGM-UHFFFAOYSA-N -1 1 305.378 1.973 20 0 DDADMM COCc1cccc(C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)c1 ZINC000833725687 605097953 /nfs/dbraw/zinc/09/79/53/605097953.db2.gz FZBDCSLKBHAXDI-ZDUSSCGKSA-N -1 1 320.389 1.454 20 0 DDADMM CCN(Cn1nc(C(=O)[O-])cc1C(=O)OC)C1CCCCC1 ZINC000833655138 605121475 /nfs/dbraw/zinc/12/14/75/605121475.db2.gz ZYMUBAISWKIPJM-UHFFFAOYSA-N -1 1 309.366 1.980 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000826108234 605127469 /nfs/dbraw/zinc/12/74/69/605127469.db2.gz DXNJWDVWHMJLON-PEXQALLHSA-N -1 1 322.365 1.407 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C[C@H]1C ZINC000833655889 605133897 /nfs/dbraw/zinc/13/38/97/605133897.db2.gz HCSMQXCWUWHSNR-NXEZZACHSA-N -1 1 307.394 1.884 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)C(C)(C)c1ccccc1 ZINC000833623725 605155652 /nfs/dbraw/zinc/15/56/52/605155652.db2.gz SFYVNBPTQRYIHV-AWEZNQCLSA-N -1 1 318.417 1.972 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cc2ccccn2c1 ZINC000833624552 605156375 /nfs/dbraw/zinc/15/63/75/605156375.db2.gz JANIEQOBRHAMJZ-CYBMUJFWSA-N -1 1 315.373 1.560 20 0 DDADMM O=C([O-])N[C@H]1CC[C@H](C(=O)Nc2ncc(C(F)(F)F)[nH]2)C1 ZINC000832297227 605294031 /nfs/dbraw/zinc/29/40/31/605294031.db2.gz NZPZQENIKMGKMF-WDSKDSINSA-N -1 1 306.244 1.803 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC[C@@H]2CNC(=O)[O-])n[nH]1 ZINC000830030279 605301593 /nfs/dbraw/zinc/30/15/93/605301593.db2.gz NLQYJJZHCPNMSI-JOYOIKCWSA-N -1 1 309.370 1.091 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCN(C(=O)[O-])C[C@H]2C)n[nH]1 ZINC000830031214 605301750 /nfs/dbraw/zinc/30/17/50/605301750.db2.gz TWSGWIYXTZGNCY-GXSJLCMTSA-N -1 1 309.370 1.043 20 0 DDADMM O=C(C[C@H]1CSCCN1C(=O)[O-])N[C@H]1CCCc2cn[nH]c21 ZINC000831407971 605333102 /nfs/dbraw/zinc/33/31/02/605333102.db2.gz KDLKGIYKUJLXOM-QWRGUYRKSA-N -1 1 324.406 1.389 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)[C@]2(C)CCN(C(=O)[O-])C2)[nH]n1 ZINC000831031889 605338094 /nfs/dbraw/zinc/33/80/94/605338094.db2.gz XCRUMLMEXHOSMK-CQSZACIVSA-N -1 1 318.337 1.139 20 0 DDADMM O=C([O-])Nc1ccc(CC(=O)N[C@@H]2CCc3nc[nH]c3C2)cc1 ZINC000832841240 605443149 /nfs/dbraw/zinc/44/31/49/605443149.db2.gz TZXCZRDXCMTMLF-GFCCVEGCSA-N -1 1 314.345 1.716 20 0 DDADMM Cc1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)ccc1O ZINC000833742365 605533220 /nfs/dbraw/zinc/53/32/20/605533220.db2.gz KIBDCPPMYCYJDT-GFCCVEGCSA-N -1 1 306.362 1.322 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(Cc2cnc3cnccn23)C1 ZINC000833813201 605597472 /nfs/dbraw/zinc/59/74/72/605597472.db2.gz OERUGNLUKGTDEI-NEPJUHHUSA-N -1 1 303.366 1.597 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCC(O[C@H]3CCOC3)CC2)cn1 ZINC000834260854 605621239 /nfs/dbraw/zinc/62/12/39/605621239.db2.gz ZSVDMIFFWOAYNV-AWEZNQCLSA-N -1 1 321.377 1.941 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N1CCC(CNC(=O)[O-])CC1)C2 ZINC000833915450 605847695 /nfs/dbraw/zinc/84/76/95/605847695.db2.gz HGHIKORYQBSHAI-LBPRGKRZSA-N -1 1 320.393 1.329 20 0 DDADMM O=C([O-])NCCCCC(=O)Nc1cnn(-c2ccncc2)c1 ZINC000834240251 605896319 /nfs/dbraw/zinc/89/63/19/605896319.db2.gz GNGKWHQNYRPRTJ-UHFFFAOYSA-N -1 1 303.322 1.644 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NC(C1CC1)C1CC1 ZINC000833833453 605902726 /nfs/dbraw/zinc/90/27/26/605902726.db2.gz YHPHPACZKBMOJH-QWRGUYRKSA-N -1 1 309.410 1.269 20 0 DDADMM COc1ccc(OC)c([C@H](O)CN2CC[C@H](NC(=O)[O-])C2)c1 ZINC000739932985 605915794 /nfs/dbraw/zinc/91/57/94/605915794.db2.gz WZXWJSDIQKXRLS-GXFFZTMASA-N -1 1 310.350 1.079 20 0 DDADMM O=C([O-])N1CCC2(C[C@@H]2C(=O)Nc2ccc3[nH]nnc3c2)CC1 ZINC000834055539 605941723 /nfs/dbraw/zinc/94/17/23/605941723.db2.gz UNQMGJULDFAVGB-SNVBAGLBSA-N -1 1 315.333 1.677 20 0 DDADMM O=C([O-])N1CC[C@H]([N@H+](CCC[N-]C(=O)C(F)(F)F)C2CC2)C1 ZINC000834081349 605951277 /nfs/dbraw/zinc/95/12/77/605951277.db2.gz FZFURBFYVRZSIT-JTQLQIEISA-N -1 1 323.315 1.272 20 0 DDADMM O=C([O-])N1CC[C@H]([N@@H+](CCC[N-]C(=O)C(F)(F)F)C2CC2)C1 ZINC000834081349 605951279 /nfs/dbraw/zinc/95/12/79/605951279.db2.gz FZFURBFYVRZSIT-JTQLQIEISA-N -1 1 323.315 1.272 20 0 DDADMM C[C@H]1CCCCCN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820705697 606160720 /nfs/dbraw/zinc/16/07/20/606160720.db2.gz WJUKXVAWHWIDHC-VIFPVBQESA-N -1 1 304.358 1.397 20 0 DDADMM C[C@H]1CCCCCN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820705697 606160721 /nfs/dbraw/zinc/16/07/21/606160721.db2.gz WJUKXVAWHWIDHC-VIFPVBQESA-N -1 1 304.358 1.397 20 0 DDADMM CCC(CC)(CC)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820887913 606172276 /nfs/dbraw/zinc/17/22/76/606172276.db2.gz XHORUMDCSRUPHP-UHFFFAOYSA-N -1 1 306.374 1.691 20 0 DDADMM CCC(CC)(CC)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820887913 606172278 /nfs/dbraw/zinc/17/22/78/606172278.db2.gz XHORUMDCSRUPHP-UHFFFAOYSA-N -1 1 306.374 1.691 20 0 DDADMM C[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)c1cnccn1 ZINC000820513126 606205406 /nfs/dbraw/zinc/20/54/06/606205406.db2.gz VHRPZSUGHVHLRR-SSDOTTSWSA-N -1 1 302.319 1.636 20 0 DDADMM C[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)c1cnccn1 ZINC000820513126 606205407 /nfs/dbraw/zinc/20/54/07/606205407.db2.gz VHRPZSUGHVHLRR-SSDOTTSWSA-N -1 1 302.319 1.636 20 0 DDADMM O=C(CCCn1cccn1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822964938 606293605 /nfs/dbraw/zinc/29/36/05/606293605.db2.gz UILWTRIMVYYXHF-UHFFFAOYSA-N -1 1 313.321 1.188 20 0 DDADMM CC(C)COCCCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820103048 606520115 /nfs/dbraw/zinc/52/01/15/606520115.db2.gz OGGUMUQNHWDHOA-UHFFFAOYSA-N -1 1 309.395 1.721 20 0 DDADMM CC(C)COCCCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820103048 606520116 /nfs/dbraw/zinc/52/01/16/606520116.db2.gz OGGUMUQNHWDHOA-UHFFFAOYSA-N -1 1 309.395 1.721 20 0 DDADMM CC(C)N1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000820133376 606524295 /nfs/dbraw/zinc/52/42/95/606524295.db2.gz PZWNIAVWAPROFZ-UHFFFAOYSA-N -1 1 306.395 1.094 20 0 DDADMM Cc1ccc(CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)cc1 ZINC000822237035 606597562 /nfs/dbraw/zinc/59/75/62/606597562.db2.gz BHHXDRQCERQTMI-UHFFFAOYSA-N -1 1 312.337 1.230 20 0 DDADMM Cc1ccc(CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)cc1 ZINC000822237035 606597563 /nfs/dbraw/zinc/59/75/63/606597563.db2.gz BHHXDRQCERQTMI-UHFFFAOYSA-N -1 1 312.337 1.230 20 0 DDADMM Cc1cc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c(C)n1C ZINC000822184334 606652538 /nfs/dbraw/zinc/65/25/38/606652538.db2.gz SBPZUXHSBFVQLC-UHFFFAOYSA-N -1 1 312.333 1.574 20 0 DDADMM Cc1cc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c(C)n1C ZINC000822184334 606652539 /nfs/dbraw/zinc/65/25/39/606652539.db2.gz SBPZUXHSBFVQLC-UHFFFAOYSA-N -1 1 312.333 1.574 20 0 DDADMM COc1csc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000821910895 606653468 /nfs/dbraw/zinc/65/34/68/606653468.db2.gz JYJJBTAWPUZBMT-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM COc1csc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000821910895 606653469 /nfs/dbraw/zinc/65/34/69/606653469.db2.gz JYJJBTAWPUZBMT-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)NCc1ccc(Cl)cc1 ZINC000823123035 606655270 /nfs/dbraw/zinc/65/52/70/606655270.db2.gz LJEDTTXBKMMSMK-UHFFFAOYSA-N -1 1 317.740 1.033 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)NCc1ccc(Cl)cc1 ZINC000823123035 606655271 /nfs/dbraw/zinc/65/52/71/606655271.db2.gz LJEDTTXBKMMSMK-UHFFFAOYSA-N -1 1 317.740 1.033 20 0 DDADMM C[C@@]1(c2ccccc2)CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC000820633759 606728650 /nfs/dbraw/zinc/72/86/50/606728650.db2.gz DIJBICINMZGUPT-MRXNPFEDSA-N -1 1 307.361 1.825 20 0 DDADMM C[C@@]1(c2ccccc2)CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC000820633759 606728651 /nfs/dbraw/zinc/72/86/51/606728651.db2.gz DIJBICINMZGUPT-MRXNPFEDSA-N -1 1 307.361 1.825 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@H]2CCCCC2(C)C)n1 ZINC000822614954 606908541 /nfs/dbraw/zinc/90/85/41/606908541.db2.gz YBYKKZDAXGKQIU-JTQLQIEISA-N -1 1 318.385 1.691 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@H]2CCCCC2(C)C)n1 ZINC000822614954 606908543 /nfs/dbraw/zinc/90/85/43/606908543.db2.gz YBYKKZDAXGKQIU-JTQLQIEISA-N -1 1 318.385 1.691 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)Nc2nn(C(C)(C)C)cc2-c2nnn[n-]2)O1 ZINC000820673993 606958639 /nfs/dbraw/zinc/95/86/39/606958639.db2.gz AJMBKBLACXLRDT-PSASIEDQSA-N -1 1 319.369 1.324 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)Nc2nn(C(C)(C)C)cc2-c2nn[n-]n2)O1 ZINC000820673993 606958640 /nfs/dbraw/zinc/95/86/40/606958640.db2.gz AJMBKBLACXLRDT-PSASIEDQSA-N -1 1 319.369 1.324 20 0 DDADMM Cc1cccc(C)c1CCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000822293723 607051572 /nfs/dbraw/zinc/05/15/72/607051572.db2.gz JGDUEGPFOXYFKB-UHFFFAOYSA-N -1 1 322.372 1.851 20 0 DDADMM Cc1cccc(C)c1CCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000822293723 607051574 /nfs/dbraw/zinc/05/15/74/607051574.db2.gz JGDUEGPFOXYFKB-UHFFFAOYSA-N -1 1 322.372 1.851 20 0 DDADMM CCc1ccc(O)c(C(=O)Nc2ncc(-c3nnn[n-]3)s2)c1 ZINC000821487015 607109670 /nfs/dbraw/zinc/10/96/70/607109670.db2.gz OFBPIOYODZRGEI-UHFFFAOYSA-N -1 1 316.346 1.844 20 0 DDADMM CCc1ccc(O)c(C(=O)Nc2ncc(-c3nn[n-]n3)s2)c1 ZINC000821487015 607109672 /nfs/dbraw/zinc/10/96/72/607109672.db2.gz OFBPIOYODZRGEI-UHFFFAOYSA-N -1 1 316.346 1.844 20 0 DDADMM CC1(O)CCN(c2cc(-c3nnn[n-]3)nc3ccccc32)CC1 ZINC000820629197 607117436 /nfs/dbraw/zinc/11/74/36/607117436.db2.gz VDFUAXLXDPQAFA-UHFFFAOYSA-N -1 1 310.361 1.766 20 0 DDADMM CC1(O)CCN(c2cc(-c3nn[n-]n3)nc3ccccc32)CC1 ZINC000820629197 607117438 /nfs/dbraw/zinc/11/74/38/607117438.db2.gz VDFUAXLXDPQAFA-UHFFFAOYSA-N -1 1 310.361 1.766 20 0 DDADMM O=C(N[C@H]1CCOC2(CCC2)C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823155492 607169405 /nfs/dbraw/zinc/16/94/05/607169405.db2.gz UMNVUJDFMSNFFG-NSHDSACASA-N -1 1 314.349 1.093 20 0 DDADMM O=C(N[C@H]1CCOC2(CCC2)C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823155492 607169406 /nfs/dbraw/zinc/16/94/06/607169406.db2.gz UMNVUJDFMSNFFG-NSHDSACASA-N -1 1 314.349 1.093 20 0 DDADMM CC(=O)OC1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000824009776 607809960 /nfs/dbraw/zinc/80/99/60/607809960.db2.gz DGBPRNZXTYOPFU-UHFFFAOYSA-N -1 1 322.756 1.447 20 0 DDADMM CC(=O)OC1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000824009776 607809961 /nfs/dbraw/zinc/80/99/61/607809961.db2.gz DGBPRNZXTYOPFU-UHFFFAOYSA-N -1 1 322.756 1.447 20 0 DDADMM CCOC(=O)[C@@H](Nc1cccc(-c2nnn[n-]2)n1)C(C)(C)C ZINC000825553182 607902005 /nfs/dbraw/zinc/90/20/05/607902005.db2.gz LLHNTJHIYMJROP-LLVKDONJSA-N -1 1 304.354 1.651 20 0 DDADMM c1ccc2c(c1)[nH]c1c2CN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000826524576 607988922 /nfs/dbraw/zinc/98/89/22/607988922.db2.gz ZYBCRJYSMYLYMX-UHFFFAOYSA-N -1 1 318.344 1.701 20 0 DDADMM c1ccc2c(c1)[nH]c1c2CN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000826524576 607988923 /nfs/dbraw/zinc/98/89/23/607988923.db2.gz ZYBCRJYSMYLYMX-UHFFFAOYSA-N -1 1 318.344 1.701 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])C1CCC(=O)CC1 ZINC000826479844 607998302 /nfs/dbraw/zinc/99/83/02/607998302.db2.gz JVCAAMFMRZTEPJ-UHFFFAOYSA-N -1 1 301.306 1.270 20 0 DDADMM c1cn(Cc2nc(Cc3ccccc3)no2)c(-c2nnn[n-]2)n1 ZINC000826522253 608013084 /nfs/dbraw/zinc/01/30/84/608013084.db2.gz SUDFWMMLBXTIPN-UHFFFAOYSA-N -1 1 308.305 1.085 20 0 DDADMM c1cn(Cc2nc(Cc3ccccc3)no2)c(-c2nn[n-]n2)n1 ZINC000826522253 608013085 /nfs/dbraw/zinc/01/30/85/608013085.db2.gz SUDFWMMLBXTIPN-UHFFFAOYSA-N -1 1 308.305 1.085 20 0 DDADMM CCCn1c(C)nnc1COc1cccc(F)c1-c1nnn[n-]1 ZINC000825356939 608110339 /nfs/dbraw/zinc/11/03/39/608110339.db2.gz QZLITDOZPSMORC-UHFFFAOYSA-N -1 1 317.328 1.895 20 0 DDADMM CCCn1c(C)nnc1COc1cccc(F)c1-c1nn[n-]n1 ZINC000825356939 608110340 /nfs/dbraw/zinc/11/03/40/608110340.db2.gz QZLITDOZPSMORC-UHFFFAOYSA-N -1 1 317.328 1.895 20 0 DDADMM C[C@H](Nc1snc(Cl)c1-c1nnn[n-]1)[C@@H]1CCOC1 ZINC000824671071 608185151 /nfs/dbraw/zinc/18/51/51/608185151.db2.gz WGHUFQXZXJQQAW-NTSWFWBYSA-N -1 1 300.775 1.814 20 0 DDADMM C[C@H](Nc1snc(Cl)c1-c1nn[n-]n1)[C@@H]1CCOC1 ZINC000824671071 608185152 /nfs/dbraw/zinc/18/51/52/608185152.db2.gz WGHUFQXZXJQQAW-NTSWFWBYSA-N -1 1 300.775 1.814 20 0 DDADMM COC(=O)[C@H](C)CN(C)c1snc(Cl)c1-c1nnn[n-]1 ZINC000826098891 608190255 /nfs/dbraw/zinc/19/02/55/608190255.db2.gz SPXZIDAUIXMIDT-RXMQYKEDSA-N -1 1 316.774 1.222 20 0 DDADMM COC(=O)[C@H](C)CN(C)c1snc(Cl)c1-c1nn[n-]n1 ZINC000826098891 608190256 /nfs/dbraw/zinc/19/02/56/608190256.db2.gz SPXZIDAUIXMIDT-RXMQYKEDSA-N -1 1 316.774 1.222 20 0 DDADMM C[C@@H]1COCCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000825006005 608191738 /nfs/dbraw/zinc/19/17/38/608191738.db2.gz FMPKJTDNPHIOID-ZCFIWIBFSA-N -1 1 300.775 1.592 20 0 DDADMM C[C@@H]1COCCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000825006005 608191739 /nfs/dbraw/zinc/19/17/39/608191739.db2.gz FMPKJTDNPHIOID-ZCFIWIBFSA-N -1 1 300.775 1.592 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@H](O)c1ccccc1 ZINC000826451244 608205327 /nfs/dbraw/zinc/20/53/27/608205327.db2.gz XLDVMAUBUIRKMB-CYBMUJFWSA-N -1 1 311.301 1.244 20 0 DDADMM CN(Cc1cnccn1)c1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000825992502 608379666 /nfs/dbraw/zinc/37/96/66/608379666.db2.gz ZCVFTNQQUDPYGW-UHFFFAOYSA-N -1 1 318.344 1.841 20 0 DDADMM CN(Cc1cnccn1)c1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000825992502 608379668 /nfs/dbraw/zinc/37/96/68/608379668.db2.gz ZCVFTNQQUDPYGW-UHFFFAOYSA-N -1 1 318.344 1.841 20 0 DDADMM O=C1Nc2ccccc2[C@H]1CCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826483470 608392926 /nfs/dbraw/zinc/39/29/26/608392926.db2.gz ONGYIOZCNPTLEP-SNVBAGLBSA-N -1 1 322.332 1.195 20 0 DDADMM O=C1Nc2ccccc2[C@H]1CCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826483470 608392928 /nfs/dbraw/zinc/39/29/28/608392928.db2.gz ONGYIOZCNPTLEP-SNVBAGLBSA-N -1 1 322.332 1.195 20 0 DDADMM CC(C)CCOC1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000824249460 608399533 /nfs/dbraw/zinc/39/95/33/608399533.db2.gz OAGIJDJSIDLZIB-UHFFFAOYSA-N -1 1 317.397 1.688 20 0 DDADMM CC(C)CCOC1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000824249460 608399534 /nfs/dbraw/zinc/39/95/34/608399534.db2.gz OAGIJDJSIDLZIB-UHFFFAOYSA-N -1 1 317.397 1.688 20 0 DDADMM COc1ccc(F)cc1[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826209036 608400248 /nfs/dbraw/zinc/40/02/48/608400248.db2.gz KCSCEYIJXAWYSR-QMMMGPOBSA-N -1 1 315.312 1.978 20 0 DDADMM COc1ccc(F)cc1[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826209036 608400249 /nfs/dbraw/zinc/40/02/49/608400249.db2.gz KCSCEYIJXAWYSR-QMMMGPOBSA-N -1 1 315.312 1.978 20 0 DDADMM O[C@@H](CSc1ccc(-c2nnn[n-]2)nn1)c1ccccc1 ZINC000826505556 608400499 /nfs/dbraw/zinc/40/04/99/608400499.db2.gz YVDZSPQUMYOKGX-NSHDSACASA-N -1 1 300.347 1.482 20 0 DDADMM O[C@@H](CSc1ccc(-c2nn[n-]n2)nn1)c1ccccc1 ZINC000826505556 608400502 /nfs/dbraw/zinc/40/05/02/608400502.db2.gz YVDZSPQUMYOKGX-NSHDSACASA-N -1 1 300.347 1.482 20 0 DDADMM c1ccc(N2CCC(Nc3ccc(-c4nnn[n-]4)nn3)CC2)cc1 ZINC000826522202 608404555 /nfs/dbraw/zinc/40/45/55/608404555.db2.gz QMSIJNVVVZIMBJ-UHFFFAOYSA-N -1 1 322.376 1.738 20 0 DDADMM c1ccc(N2CCC(Nc3ccc(-c4nn[n-]n4)nn3)CC2)cc1 ZINC000826522202 608404557 /nfs/dbraw/zinc/40/45/57/608404557.db2.gz QMSIJNVVVZIMBJ-UHFFFAOYSA-N -1 1 322.376 1.738 20 0 DDADMM Cc1nccc([C@H]2CCCN(c3ccc(-c4nnn[n-]4)nn3)C2)n1 ZINC000826329989 608405519 /nfs/dbraw/zinc/40/55/19/608405519.db2.gz ALFFFSUHOWPYAT-NSHDSACASA-N -1 1 323.364 1.139 20 0 DDADMM Cc1nccc([C@H]2CCCN(c3ccc(-c4nn[n-]n4)nn3)C2)n1 ZINC000826329989 608405521 /nfs/dbraw/zinc/40/55/21/608405521.db2.gz ALFFFSUHOWPYAT-NSHDSACASA-N -1 1 323.364 1.139 20 0 DDADMM Cc1cnn([C@H]2CCCN(c3ccc(-c4nnn[n-]4)nn3)C2)c1 ZINC000826319654 608415540 /nfs/dbraw/zinc/41/55/40/608415540.db2.gz MBERETZDURGWIT-NSHDSACASA-N -1 1 311.353 1.003 20 0 DDADMM Cc1cnn([C@H]2CCCN(c3ccc(-c4nn[n-]n4)nn3)C2)c1 ZINC000826319654 608415542 /nfs/dbraw/zinc/41/55/42/608415542.db2.gz MBERETZDURGWIT-NSHDSACASA-N -1 1 311.353 1.003 20 0 DDADMM c1cc(N2CCC[C@@]3(CCCOC3)C2)nnc1-c1nnn[n-]1 ZINC000826517219 608428525 /nfs/dbraw/zinc/42/85/25/608428525.db2.gz VJPGMFWNQORTNP-CQSZACIVSA-N -1 1 301.354 1.054 20 0 DDADMM c1cc(N2CCC[C@@]3(CCCOC3)C2)nnc1-c1nn[n-]n1 ZINC000826517219 608428527 /nfs/dbraw/zinc/42/85/27/608428527.db2.gz VJPGMFWNQORTNP-CQSZACIVSA-N -1 1 301.354 1.054 20 0 DDADMM Cc1c(Nc2ccc(-c3nnn[n-]3)nn2)cnn1-c1ccccc1 ZINC000826243201 608434209 /nfs/dbraw/zinc/43/42/09/608434209.db2.gz YJMSTXNRAPTUJI-UHFFFAOYSA-N -1 1 319.332 1.894 20 0 DDADMM Cc1c(Nc2ccc(-c3nn[n-]n3)nn2)cnn1-c1ccccc1 ZINC000826243201 608434210 /nfs/dbraw/zinc/43/42/10/608434210.db2.gz YJMSTXNRAPTUJI-UHFFFAOYSA-N -1 1 319.332 1.894 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1N[C@H]1c2ccccc2C[C@@H]1O ZINC000826280944 608543401 /nfs/dbraw/zinc/54/34/01/608543401.db2.gz WYLSDFFQPTXXDO-KBPBESRZSA-N -1 1 308.345 1.640 20 0 DDADMM C[C@@H]1C[C@H](Nc2snc(Cl)c2-c2nnn[n-]2)CCO1 ZINC000824898006 608800927 /nfs/dbraw/zinc/80/09/27/608800927.db2.gz COOHONBSZYGPDM-PHDIDXHHSA-N -1 1 300.775 1.956 20 0 DDADMM C[C@@H]1C[C@H](Nc2snc(Cl)c2-c2nn[n-]n2)CCO1 ZINC000824898006 608800928 /nfs/dbraw/zinc/80/09/28/608800928.db2.gz COOHONBSZYGPDM-PHDIDXHHSA-N -1 1 300.775 1.956 20 0 DDADMM C[C@H]1C[C@H](C(=O)n2ncc(-c3nn[n-]n3)c2N)CC(C)(C)C1 ZINC000824876453 609149888 /nfs/dbraw/zinc/14/98/88/609149888.db2.gz SLLUUBPJAXNFEC-IUCAKERBSA-N -1 1 303.370 1.748 20 0 DDADMM Cc1cc(Br)ccc1Cn1cnc(-c2nn[n-]n2)n1 ZINC000826256342 609307963 /nfs/dbraw/zinc/30/79/63/609307963.db2.gz DQZPENLYBCJYBI-UHFFFAOYSA-N -1 1 320.154 1.577 20 0 DDADMM C[C@H]1C[C@H](COC(=O)c2sccc2-c2nn[n-]n2)CCO1 ZINC000824883687 609390133 /nfs/dbraw/zinc/39/01/33/609390133.db2.gz ITCPGUDHNZKOFB-DTWKUNHWSA-N -1 1 308.363 1.900 20 0 DDADMM C[C@H](CN(C)c1nccnc1-c1nnn[n-]1)c1nccs1 ZINC000824412852 609606540 /nfs/dbraw/zinc/60/65/40/609606540.db2.gz GXECQHIFFBEILA-MRVPVSSYSA-N -1 1 302.367 1.353 20 0 DDADMM C[C@H](CN(C)c1nccnc1-c1nn[n-]n1)c1nccs1 ZINC000824412852 609606543 /nfs/dbraw/zinc/60/65/43/609606543.db2.gz GXECQHIFFBEILA-MRVPVSSYSA-N -1 1 302.367 1.353 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971895271 695118509 /nfs/dbraw/zinc/11/85/09/695118509.db2.gz ATHBQPVCQFHPAQ-CHWSQXEVSA-N -1 1 317.389 1.506 20 0 DDADMM CC(=O)N1CCC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000973681083 695510002 /nfs/dbraw/zinc/51/00/02/695510002.db2.gz BTRRLOGXLDMOGU-STQMWFEESA-N -1 1 303.362 1.260 20 0 DDADMM CC(=O)NC[C@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000974414814 695665369 /nfs/dbraw/zinc/66/53/69/695665369.db2.gz KLIZAJZSBRCEER-LLVKDONJSA-N -1 1 318.377 1.048 20 0 DDADMM FC(F)OC1CN(Cn2[n-]c(-c3ccccn3)nc2=S)C1 ZINC000797820667 700025151 /nfs/dbraw/zinc/02/51/51/700025151.db2.gz IQXGFFBKLVINEQ-UHFFFAOYSA-N -1 1 313.333 1.884 20 0 DDADMM CC1CCC(=CC(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1 ZINC000798269547 700054000 /nfs/dbraw/zinc/05/40/00/700054000.db2.gz FWPSHBJHDDAHBX-UHFFFAOYSA-N -1 1 305.382 1.146 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CSc2cccc[n+]2[O-])cc1 ZINC000036791474 696130743 /nfs/dbraw/zinc/13/07/43/696130743.db2.gz UQZNWFOFJFTPCI-UHFFFAOYSA-N -1 1 318.354 1.377 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1ccc(Cl)c(C(=O)[O-])c1)N(C)C ZINC000037985779 696134796 /nfs/dbraw/zinc/13/47/96/696134796.db2.gz OWXCRTZAQDBHKK-QMMMGPOBSA-N -1 1 320.798 1.267 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC(=O)OC(C)(C)C ZINC000747397352 700067717 /nfs/dbraw/zinc/06/77/17/700067717.db2.gz MRLDBONQINLAJU-UHFFFAOYSA-N -1 1 309.366 1.686 20 0 DDADMM C[C@@H](C#N)OC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000046313919 696183882 /nfs/dbraw/zinc/18/38/82/696183882.db2.gz WQOYSEIYCBAATF-QMMMGPOBSA-N -1 1 300.336 1.425 20 0 DDADMM CC1CC(C(=O)N(C)C[C@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000977544340 696207303 /nfs/dbraw/zinc/20/73/03/696207303.db2.gz NBLKGDLJIHJHEB-WXRRBKDZSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1ccc([C@H](O)C[N-]S(=O)(=O)c2cc(F)ccc2F)o1 ZINC000049410930 696223144 /nfs/dbraw/zinc/22/31/44/696223144.db2.gz PIRJCYUXVVQIJN-LLVKDONJSA-N -1 1 317.313 1.878 20 0 DDADMM CC1CCN(CC(=O)N[C@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000049475049 696224142 /nfs/dbraw/zinc/22/41/42/696224142.db2.gz VQNOETOYPAYMKO-HNNXBMFYSA-N -1 1 308.353 1.800 20 0 DDADMM CN(C[C@H]1CCN1C(=O)[C@H]1CC12CC2)C(=O)c1ncccc1[O-] ZINC000977601944 696230611 /nfs/dbraw/zinc/23/06/11/696230611.db2.gz QCCFGVCLBKGSLY-VXGBXAGGSA-N -1 1 315.373 1.260 20 0 DDADMM O=C(CSc1n[nH]c(=S)s1)[N-]OCc1cccnc1 ZINC000747580198 700076542 /nfs/dbraw/zinc/07/65/42/700076542.db2.gz BXLRWFCDPIXYEC-UHFFFAOYSA-N -1 1 314.417 1.562 20 0 DDADMM CCn1c(CNC(=O)[C@@H](C)OC[C@@H]2CCCO2)n[n-]c1=S ZINC000066635964 696354533 /nfs/dbraw/zinc/35/45/33/696354533.db2.gz PVLWZOPXHFRVKI-ZJUUUORDSA-N -1 1 314.411 1.161 20 0 DDADMM Cn1c(CCNC(=O)CNc2ccc(C#N)cc2)n[n-]c1=S ZINC000067052055 696358622 /nfs/dbraw/zinc/35/86/22/696358622.db2.gz VRLUTNGBCYHUCX-UHFFFAOYSA-N -1 1 316.390 1.120 20 0 DDADMM C[C@@H]1C[C@H](C)CN(S(=O)(=O)Nc2cc(C#N)ccc2[O-])C1 ZINC000080941246 696539117 /nfs/dbraw/zinc/53/91/17/696539117.db2.gz MWBGIBDGZNKHIK-PHIMTYICSA-N -1 1 309.391 1.898 20 0 DDADMM O=C(CCn1ccc2cc(Cl)ccc21)NN1CC(=O)[N-]C1=O ZINC000089477286 696577489 /nfs/dbraw/zinc/57/74/89/696577489.db2.gz AMMXINGSHCMVDK-UHFFFAOYSA-N -1 1 320.736 1.268 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)c1c(C)nn(C)c1Cl)C(=O)OC ZINC000091052349 696584531 /nfs/dbraw/zinc/58/45/31/696584531.db2.gz YIFLWKZWMVWXHO-MRVPVSSYSA-N -1 1 323.802 1.002 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1c(C)nn(C)c1Cl)C(=O)OC ZINC000091052348 696584688 /nfs/dbraw/zinc/58/46/88/696584688.db2.gz YIFLWKZWMVWXHO-QMMMGPOBSA-N -1 1 323.802 1.002 20 0 DDADMM CCCC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000979603791 696608607 /nfs/dbraw/zinc/60/86/07/696608607.db2.gz IYDMPLWLWPOOEB-XQQFMLRXSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000979674608 696624057 /nfs/dbraw/zinc/62/40/57/696624057.db2.gz QROSGBSRABHBSI-XYPYZODXSA-N -1 1 303.362 1.212 20 0 DDADMM O=C(NC1CCC(NC(=O)C2CC2)CC1)c1ncccc1[O-] ZINC000979979863 696671587 /nfs/dbraw/zinc/67/15/87/696671587.db2.gz LDFNSSMDVFXWGA-UHFFFAOYSA-N -1 1 303.362 1.354 20 0 DDADMM Cc1cccc(CS(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)c1 ZINC000798858483 700102619 /nfs/dbraw/zinc/10/26/19/700102619.db2.gz WILWLNDYKZVPOO-AWEZNQCLSA-N -1 1 312.435 1.527 20 0 DDADMM CC(C)[C@@H](CNC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000120911244 696703635 /nfs/dbraw/zinc/70/36/35/696703635.db2.gz AQBKIIMHNDDTJX-OLZOCXBDSA-N -1 1 319.430 1.889 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCc2cc3ccccc3[nH]2)s1 ZINC000126551948 696763278 /nfs/dbraw/zinc/76/32/78/696763278.db2.gz ZBJMWPGIASLPCS-LBPRGKRZSA-N -1 1 316.386 1.173 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C(C)(C)CC(C)C ZINC000130367435 696797180 /nfs/dbraw/zinc/79/71/80/696797180.db2.gz JNAUATHBBNNXEK-UHFFFAOYSA-N -1 1 320.393 1.884 20 0 DDADMM Cn1c(SCCC[N-]C(=O)C(F)(F)F)nnc1C1CC1 ZINC000130861356 696801815 /nfs/dbraw/zinc/80/18/15/696801815.db2.gz NDHFNJMEFDSTOK-UHFFFAOYSA-N -1 1 308.329 1.853 20 0 DDADMM CN(CC(C)(C)S(C)(=O)=O)C(=O)c1c([O-])cccc1F ZINC000130986286 696803167 /nfs/dbraw/zinc/80/31/67/696803167.db2.gz NHFSTQBWJXSSIQ-UHFFFAOYSA-N -1 1 303.355 1.427 20 0 DDADMM CC(C)C(=O)N1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000141313242 696867171 /nfs/dbraw/zinc/86/71/71/696867171.db2.gz JFFRIQUGYJVHLG-UHFFFAOYSA-N -1 1 310.781 1.986 20 0 DDADMM COc1ccccc1CO[N-]C(=O)[C@H](C)N1CCSCC1 ZINC000799094499 700117477 /nfs/dbraw/zinc/11/74/77/700117477.db2.gz NJHLAHYBRWGJNJ-LBPRGKRZSA-N -1 1 310.419 1.680 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCN(C2CC2)C(=O)C1 ZINC000748589518 700126982 /nfs/dbraw/zinc/12/69/82/700126982.db2.gz VOGAWORUZAPXTD-UHFFFAOYSA-N -1 1 318.377 1.061 20 0 DDADMM CC(C)(F)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982334838 697103423 /nfs/dbraw/zinc/10/34/23/697103423.db2.gz AFLNXSYUSFVQSQ-JTQLQIEISA-N -1 1 309.341 1.114 20 0 DDADMM C[C@H]1CC(C)(C)CC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000748723495 700131996 /nfs/dbraw/zinc/13/19/96/700131996.db2.gz QGHUAFGENNSXQF-NWDGAFQWSA-N -1 1 307.394 1.258 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC=CC1 ZINC000982669208 697167162 /nfs/dbraw/zinc/16/71/62/697167162.db2.gz BCVUYVONKOCYRH-LBPRGKRZSA-N -1 1 315.373 1.332 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2c(Cl)cccc2Cl)C(=O)O1 ZINC000748861922 700139282 /nfs/dbraw/zinc/13/92/82/700139282.db2.gz IOXACRYALAHSLT-IMTBSYHQSA-N -1 1 324.185 1.976 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H]2CCCC2(C)C)co1 ZINC000799502855 700146982 /nfs/dbraw/zinc/14/69/82/700146982.db2.gz GGJMMCJOBFFMQL-JTQLQIEISA-N -1 1 301.364 1.923 20 0 DDADMM Cc1ccc(N2CC[C@@H](NC(=O)c3ncccc3[O-])C2=O)cc1 ZINC000173386410 697377626 /nfs/dbraw/zinc/37/76/26/697377626.db2.gz DNUMELSZNZGAOC-CYBMUJFWSA-N -1 1 311.341 1.631 20 0 DDADMM O=C([N-][C@@H]1CCN(c2ncccc2F)C1)C(F)(F)C(F)F ZINC000176047089 697400218 /nfs/dbraw/zinc/40/02/18/697400218.db2.gz ZXCWYDREINFRDS-SSDOTTSWSA-N -1 1 309.238 1.816 20 0 DDADMM O=C(CCNC(=O)c1cncc([O-])c1)OCc1ccccc1 ZINC000178000433 697420033 /nfs/dbraw/zinc/42/00/33/697420033.db2.gz ZZJIKPJMBHOFDB-UHFFFAOYSA-N -1 1 300.314 1.651 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@H]1C[C@@]1(C)C(C)C ZINC000186324557 697531964 /nfs/dbraw/zinc/53/19/64/697531964.db2.gz VUJJVBPJJBAXGQ-WBMJQRKESA-N -1 1 318.377 1.494 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2c(C)cccc2F)n1 ZINC000186937772 697539575 /nfs/dbraw/zinc/53/95/75/697539575.db2.gz UTDUFWRGURZFPB-UHFFFAOYSA-N -1 1 312.326 1.238 20 0 DDADMM O=C(NC[C@H](O)c1cccc(F)c1)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000187025402 697540738 /nfs/dbraw/zinc/54/07/38/697540738.db2.gz UTSUPIGFGDSUGK-LBPRGKRZSA-N -1 1 321.264 1.298 20 0 DDADMM O=C(NC[C@@H](O)c1cccc(F)c1)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000187025383 697540742 /nfs/dbraw/zinc/54/07/42/697540742.db2.gz UTSUPIGFGDSUGK-GFCCVEGCSA-N -1 1 321.264 1.298 20 0 DDADMM CCC(C)(C)C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985606920 697542427 /nfs/dbraw/zinc/54/24/27/697542427.db2.gz OUNPLUVXYIOADZ-UHFFFAOYSA-N -1 1 309.414 1.369 20 0 DDADMM N#Cc1ccc(C(=O)NC[C@@H](O)c2ccccc2F)cc1[O-] ZINC000188602071 697561687 /nfs/dbraw/zinc/56/16/87/697561687.db2.gz XFDYOJWXXTYCJI-OAHLLOKOSA-N -1 1 300.289 1.866 20 0 DDADMM O=C(CCn1ccnn1)Nc1nc(Br)ccc1[O-] ZINC000194573254 697713904 /nfs/dbraw/zinc/71/39/04/697713904.db2.gz MNRSCNJOPOECEN-UHFFFAOYSA-N -1 1 312.127 1.170 20 0 DDADMM C[C@@](O)(CNC(=O)c1ccc2n[n-]c(=S)n2c1)c1ccco1 ZINC000774018954 697834433 /nfs/dbraw/zinc/83/44/33/697834433.db2.gz NQFUPDJHWVGWCF-CQSZACIVSA-N -1 1 318.358 1.249 20 0 DDADMM [O-]C(=NO[C@H]1CCCCO1)Nc1ccn(Cc2ccccn2)n1 ZINC000775626917 698016580 /nfs/dbraw/zinc/01/65/80/698016580.db2.gz MZJZMYQUEALJFJ-AWEZNQCLSA-N -1 1 317.349 1.906 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1ccn(Cc2ccccn2)n1 ZINC000775626917 698016585 /nfs/dbraw/zinc/01/65/85/698016585.db2.gz MZJZMYQUEALJFJ-AWEZNQCLSA-N -1 1 317.349 1.906 20 0 DDADMM C[C@@H]1CC[C@@H](CCC(=O)N2CCSC[C@H]2c2nn[n-]n2)O1 ZINC000776562118 698117172 /nfs/dbraw/zinc/11/71/72/698117172.db2.gz BGTVVKITALOPOQ-VWYCJHECSA-N -1 1 311.411 1.164 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1CCCC1(C)C ZINC000777359891 698182291 /nfs/dbraw/zinc/18/22/91/698182291.db2.gz NMFYNGRKVNIGHM-LBPRGKRZSA-N -1 1 318.377 1.638 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C[C@@H](C)C1CC1 ZINC000777360241 698182380 /nfs/dbraw/zinc/18/23/80/698182380.db2.gz ZQLGRGNOMRUIPD-SECBINFHSA-N -1 1 304.350 1.248 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)CC2CC2)C1)C(=O)c1ncccc1[O-] ZINC000987663796 698189239 /nfs/dbraw/zinc/18/92/39/698189239.db2.gz PYTNTYMGBAKEBC-ZDUSSCGKSA-N -1 1 317.389 1.508 20 0 DDADMM Cc1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cc(=O)[nH]1 ZINC000777521457 698197203 /nfs/dbraw/zinc/19/72/03/698197203.db2.gz CXKXSPFMDGBBSV-CYBMUJFWSA-N -1 1 310.317 1.128 20 0 DDADMM CCN(C)C(=O)COC(=O)c1ccc(Br)c([O-])c1 ZINC000778827009 698373012 /nfs/dbraw/zinc/37/30/12/698373012.db2.gz HIRAALRQKMLEHT-UHFFFAOYSA-N -1 1 316.151 1.790 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C(F)=C1CCCC1 ZINC000988598752 698415822 /nfs/dbraw/zinc/41/58/22/698415822.db2.gz BFIKEYUWYSEIOF-GXSJLCMTSA-N -1 1 323.372 1.387 20 0 DDADMM CCCN(Cc1cccc(C(=O)[O-])c1)[C@H]1CC(=O)N(C)C1=O ZINC000263423721 698506537 /nfs/dbraw/zinc/50/65/37/698506537.db2.gz PSYBREKNIXQODJ-ZDUSSCGKSA-N -1 1 304.346 1.354 20 0 DDADMM CN(CCNC(=O)N1CCC[C@@H]1C(=O)[O-])Cc1ccc(F)cc1 ZINC000780631884 698540811 /nfs/dbraw/zinc/54/08/11/698540811.db2.gz FNMSXSSBPNIJHF-CQSZACIVSA-N -1 1 323.368 1.516 20 0 DDADMM O=C([N-]N1Cc2ccccc2C1=O)c1c[nH]nc1-c1ccncc1 ZINC000782069755 698680957 /nfs/dbraw/zinc/68/09/57/698680957.db2.gz PJVWRHJSZTZFNE-UHFFFAOYSA-N -1 1 319.324 1.773 20 0 DDADMM CCC[C@]1(C(=O)[O-])CCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000319667375 698714307 /nfs/dbraw/zinc/71/43/07/698714307.db2.gz HALJDSSLDGPWNQ-OAHLLOKOSA-N -1 1 302.334 1.817 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@H]4C[C@@]4(CO)C3)nc2)[n-]c(=O)c1C ZINC000782403642 698721785 /nfs/dbraw/zinc/72/17/85/698721785.db2.gz QWFCNPQIRQTLQS-DYVFJYSZSA-N -1 1 312.373 1.680 20 0 DDADMM Cn1cc(C[N-]C(=O)C(F)(F)c2c(F)cccc2F)nn1 ZINC000783535785 698848492 /nfs/dbraw/zinc/84/84/92/698848492.db2.gz JVNGINUXWYSGGC-UHFFFAOYSA-N -1 1 302.231 1.502 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@]23CCC[C@@H]2N(C/C=C/Cl)CC3)c1[O-] ZINC000990194228 698990586 /nfs/dbraw/zinc/99/05/86/698990586.db2.gz QWPHPADTUMJROO-KXPYEKKKSA-N -1 1 324.812 1.903 20 0 DDADMM CN1CCN(C(=O)NCc2cccc(C(=O)[O-])c2)CC1(C)C ZINC000387744158 699082617 /nfs/dbraw/zinc/08/26/17/699082617.db2.gz YJQPRARBDFBQFY-UHFFFAOYSA-N -1 1 305.378 1.620 20 0 DDADMM C[C@H]1CC[C@@H](CC(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990974056 699196745 /nfs/dbraw/zinc/19/67/45/699196745.db2.gz FGLONFPACIUFOY-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CON1CCC([N-]S(=O)(=O)c2ccc(Cl)nc2F)CC1 ZINC000703037875 699235249 /nfs/dbraw/zinc/23/52/49/699235249.db2.gz PTKLKGUUXOOCPC-UHFFFAOYSA-N -1 1 323.777 1.178 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cnc(Cl)cn1 ZINC000787872915 699250725 /nfs/dbraw/zinc/25/07/25/699250725.db2.gz HPFHJNKIFWEHBJ-NSHDSACASA-N -1 1 315.724 1.163 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000788119005 699280840 /nfs/dbraw/zinc/28/08/40/699280840.db2.gz BQSZUSHPGARKDF-NWDGAFQWSA-N -1 1 322.336 1.263 20 0 DDADMM O=C(COCc1ccccc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000788147838 699284266 /nfs/dbraw/zinc/28/42/66/699284266.db2.gz JIJSGJZTFZLDDZ-LBPRGKRZSA-N -1 1 319.390 1.033 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@@H]2CC[C@@H]3C[C@@H]32)c1Cl ZINC000717105271 699286017 /nfs/dbraw/zinc/28/60/17/699286017.db2.gz VSQYDTVQAGEMEN-IVZWLZJFSA-N -1 1 303.815 1.788 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000991411184 699317135 /nfs/dbraw/zinc/31/71/35/699317135.db2.gz ZMMSEJHGPBFDDZ-WDEREUQCSA-N -1 1 303.362 1.164 20 0 DDADMM COC(=O)c1cnc(SCN2C(=O)c3ccccc3C2=O)[n-]1 ZINC000788506263 699319919 /nfs/dbraw/zinc/31/99/19/699319919.db2.gz SKAIEOUDOXPPKQ-UHFFFAOYSA-N -1 1 317.326 1.542 20 0 DDADMM COC(=O)c1c[n-]c(SCN2C(=O)c3ccccc3C2=O)n1 ZINC000788506263 699319921 /nfs/dbraw/zinc/31/99/21/699319921.db2.gz SKAIEOUDOXPPKQ-UHFFFAOYSA-N -1 1 317.326 1.542 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cccc2cccnc21 ZINC000726866121 699386308 /nfs/dbraw/zinc/38/63/08/699386308.db2.gz NKRFQPKKMXZQAW-UHFFFAOYSA-N -1 1 310.313 1.114 20 0 DDADMM Cc1nc2n(n1)C[C@H](NC(=O)c1c([O-])cccc1Cl)CC2 ZINC000727175707 699402319 /nfs/dbraw/zinc/40/23/19/699402319.db2.gz FNXUXINYYOLWLW-SECBINFHSA-N -1 1 306.753 1.690 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H]1C1CCCCC1 ZINC000727755491 699424706 /nfs/dbraw/zinc/42/47/06/699424706.db2.gz WMTXUHMWTFLNBH-AWEZNQCLSA-N -1 1 319.405 1.498 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])[C@H]1CC(c2cccnc2)=NO1 ZINC000790047600 699437375 /nfs/dbraw/zinc/43/73/75/699437375.db2.gz LVBWLGVVAYAONU-LLVKDONJSA-N -1 1 318.720 1.967 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cn2ccccc2n1 ZINC000730589427 699513130 /nfs/dbraw/zinc/51/31/30/699513130.db2.gz IIDSACYBSRPVEL-CQSZACIVSA-N -1 1 319.328 1.367 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1ccc(F)cc1F)C(F)(F)F ZINC000733323046 699588846 /nfs/dbraw/zinc/58/88/46/699588846.db2.gz ZZSDJJKVGZRZNB-SECBINFHSA-N -1 1 319.251 1.820 20 0 DDADMM COc1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c(OC)c1 ZINC000791165255 699613748 /nfs/dbraw/zinc/61/37/48/699613748.db2.gz NZYXJVCKEWDFBP-UHFFFAOYSA-N -1 1 312.329 1.611 20 0 DDADMM CN(Cc1cc[nH]n1)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000735298419 699689400 /nfs/dbraw/zinc/68/94/00/699689400.db2.gz PDHUPDNPZJTEIR-UHFFFAOYSA-N -1 1 301.379 1.815 20 0 DDADMM C[C@H]1COCCN1CCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736292767 699722748 /nfs/dbraw/zinc/72/27/48/699722748.db2.gz LUIXVLBCJJGOOZ-VIFPVBQESA-N -1 1 323.788 1.048 20 0 DDADMM CCC[C@@H](NC(=O)CN(CC)c1ccccc1)c1nn[n-]n1 ZINC000736430511 699725519 /nfs/dbraw/zinc/72/55/19/699725519.db2.gz PSOQDIDCZHBMPC-CYBMUJFWSA-N -1 1 302.382 1.684 20 0 DDADMM CCN1CCN(CC(=O)Nc2cccc(NC(=O)[O-])c2)CC1 ZINC000737117630 699736615 /nfs/dbraw/zinc/73/66/15/699736615.db2.gz ZJJFFOYQGJLRHL-UHFFFAOYSA-N -1 1 306.366 1.353 20 0 DDADMM CCOC(=O)[C@H]1CN(C(=O)c2c([O-])cccc2Cl)CCO1 ZINC000741292186 699828896 /nfs/dbraw/zinc/82/88/96/699828896.db2.gz PFEMYYLCEUGHLZ-LLVKDONJSA-N -1 1 313.737 1.450 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cccc4ccc(=O)[nH]c43)ccnc1-2 ZINC000808784026 701620266 /nfs/dbraw/zinc/62/02/66/701620266.db2.gz NGDPFSKQRKTBAW-UHFFFAOYSA-N -1 1 319.324 1.848 20 0 DDADMM O=C([N-]CCCOC(=O)[C@@H](O)Cc1ccccc1)C(F)(F)F ZINC000796266696 699922574 /nfs/dbraw/zinc/92/25/74/699922574.db2.gz AUEVXQVOOQINNT-NSHDSACASA-N -1 1 319.279 1.202 20 0 DDADMM CCOC(=O)[C@H](CC(C)C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796362185 699929110 /nfs/dbraw/zinc/92/91/10/699929110.db2.gz MMZSPWXNQSMKTG-LBPRGKRZSA-N -1 1 311.334 1.936 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C)C(=O)NCCC(C)C)[n-]1 ZINC000796363557 699929338 /nfs/dbraw/zinc/92/93/38/699929338.db2.gz UFCXGQKAPMIFOG-SNVBAGLBSA-N -1 1 310.350 1.509 20 0 DDADMM CCNC(=O)COC(=O)c1nn(-c2ccc(C)cc2)cc1[O-] ZINC000801370016 700301310 /nfs/dbraw/zinc/30/13/10/700301310.db2.gz GIADEBBBSQKMIE-UHFFFAOYSA-N -1 1 303.318 1.179 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)OCC(=O)N(C)C)n1 ZINC000801418898 700305561 /nfs/dbraw/zinc/30/55/61/700305561.db2.gz WYJGKDOIHLEVGG-UHFFFAOYSA-N -1 1 317.345 1.385 20 0 DDADMM Cc1cc(F)ccc1-n1cc([O-])c(C(=O)O[C@H]2CCNC2=O)n1 ZINC000801430809 700308366 /nfs/dbraw/zinc/30/83/66/700308366.db2.gz WPZDWOMVWBXKRZ-LBPRGKRZSA-N -1 1 319.292 1.071 20 0 DDADMM Cc1cc(F)ccc1-n1cc([O-])c(C(=O)O[C@@H]2CCNC2=O)n1 ZINC000801430807 700308478 /nfs/dbraw/zinc/30/84/78/700308478.db2.gz WPZDWOMVWBXKRZ-GFCCVEGCSA-N -1 1 319.292 1.071 20 0 DDADMM C[C@H]1CCCC[C@H]1OCC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801700406 700331939 /nfs/dbraw/zinc/33/19/39/700331939.db2.gz LDUQREUSMZTJDU-SMDDNHRTSA-N -1 1 302.378 1.876 20 0 DDADMM Cc1nc(Cl)ccc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000751980430 700333076 /nfs/dbraw/zinc/33/30/76/700333076.db2.gz YKUNCUJJDMIAAP-UHFFFAOYSA-N -1 1 306.757 1.756 20 0 DDADMM COc1ccc(C)cc1CC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801703387 700333134 /nfs/dbraw/zinc/33/31/34/700333134.db2.gz MRENEXOMFKQKND-UHFFFAOYSA-N -1 1 310.357 1.840 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)CO[C@H]1CCOC1 ZINC000753784338 700456987 /nfs/dbraw/zinc/45/69/87/700456987.db2.gz DJJLLAWDXDXDAH-PWSUYJOCSA-N -1 1 323.393 1.538 20 0 DDADMM O=Cc1c[nH]c(C(=O)Nc2nc(Br)ccc2[O-])c1 ZINC000816426616 702085006 /nfs/dbraw/zinc/08/50/06/702085006.db2.gz PEOOAIUEWCVEPU-UHFFFAOYSA-N -1 1 310.107 1.943 20 0 DDADMM C[C@@](O)(C(=O)Nc1nnn[n-]1)c1cccc(C(F)(F)F)c1 ZINC000754846300 700532652 /nfs/dbraw/zinc/53/26/52/700532652.db2.gz RXSGAKLFQIRKHX-JTQLQIEISA-N -1 1 301.228 1.065 20 0 DDADMM C[C@@](O)(C(=O)Nc1nn[n-]n1)c1cccc(C(F)(F)F)c1 ZINC000754846300 700532656 /nfs/dbraw/zinc/53/26/56/700532656.db2.gz RXSGAKLFQIRKHX-JTQLQIEISA-N -1 1 301.228 1.065 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC2C[C@@H](C)O[C@H](C)C2)o1 ZINC000756049469 700600633 /nfs/dbraw/zinc/60/06/33/700600633.db2.gz APFPYMADPCNPLT-RKDXNWHRSA-N -1 1 317.363 1.301 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(OC)C2CCCC2)o1 ZINC000757657894 700667828 /nfs/dbraw/zinc/66/78/28/700667828.db2.gz HBZJXKJAHINTBN-UHFFFAOYSA-N -1 1 302.352 1.134 20 0 DDADMM O=S(=O)([N-]Cc1nccnc1Cl)c1cccc(F)c1F ZINC000809379702 701661911 /nfs/dbraw/zinc/66/19/11/701661911.db2.gz KNNJQOOJMJYXEP-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM O=C([N-]OCC(F)F)[C@H]1CC(=O)N(c2ccc(F)cc2F)C1 ZINC000759335974 700747013 /nfs/dbraw/zinc/74/70/13/700747013.db2.gz DYXBRZBTDINMPQ-ZETCQYMHSA-N -1 1 320.242 1.631 20 0 DDADMM COc1ccc([C@H](O)CNC(=O)c2ncc(C)cc2[O-])cc1 ZINC000763249842 700931633 /nfs/dbraw/zinc/93/16/33/700931633.db2.gz BVYRUOLWGIHVAO-CQSZACIVSA-N -1 1 302.330 1.568 20 0 DDADMM CCc1nnc([C@H](C)NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)[nH]1 ZINC000765233666 701004108 /nfs/dbraw/zinc/00/41/08/701004108.db2.gz BPIDEYJXHAVFJZ-VIFPVBQESA-N -1 1 318.381 1.786 20 0 DDADMM Cn1[n-]c(COC(=O)C2(c3ccc(Cl)cc3)CC2)nc1=O ZINC000765387327 701009259 /nfs/dbraw/zinc/00/92/59/701009259.db2.gz VLQINUFBKQPIPB-UHFFFAOYSA-N -1 1 307.737 1.537 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2CCSc3ccccc32)nc1=O ZINC000765489332 701015642 /nfs/dbraw/zinc/01/56/42/701015642.db2.gz RAKNTCDHSHMJPT-JTQLQIEISA-N -1 1 305.359 1.431 20 0 DDADMM CC[C@H](C)Oc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765493273 701015924 /nfs/dbraw/zinc/01/59/24/701015924.db2.gz VHWMZDKHXCUZRU-JTQLQIEISA-N -1 1 305.334 1.643 20 0 DDADMM Cc1c(C(=O)OCc2nc(=O)n(C)[n-]2)cnn1CCC(C)C ZINC000765502214 701016302 /nfs/dbraw/zinc/01/63/02/701016302.db2.gz MFWUHFIQPKGLLV-UHFFFAOYSA-N -1 1 307.354 1.016 20 0 DDADMM CC/C(=C/C(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(OC)cc1 ZINC000765504250 701016667 /nfs/dbraw/zinc/01/66/67/701016667.db2.gz YMSRMXUKYZFMFC-LUAWRHEFSA-N -1 1 317.345 1.654 20 0 DDADMM Cn1cc(C[N-]S(=O)(=O)c2c(Cl)ccnc2Cl)cn1 ZINC000767080573 701082260 /nfs/dbraw/zinc/08/22/60/701082260.db2.gz IICBRXTZTXZPFF-UHFFFAOYSA-N -1 1 321.189 1.600 20 0 DDADMM O=C(NCc1nc(C(F)(F)F)n[nH]1)c1c(F)ccc([O-])c1F ZINC000809779523 701689222 /nfs/dbraw/zinc/68/92/22/701689222.db2.gz QFZWEFMULHCXFO-UHFFFAOYSA-N -1 1 322.193 1.737 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)/C=C\SC)cc1 ZINC000767653395 701125975 /nfs/dbraw/zinc/12/59/75/701125975.db2.gz RQJYPBADMPKERJ-FPLPWBNLSA-N -1 1 309.343 1.372 20 0 DDADMM O=C([N-]OC1CCCCC1)C(=O)NC[C@H]1CCCCN1C1CC1 ZINC000809821894 701693213 /nfs/dbraw/zinc/69/32/13/701693213.db2.gz ADWXFKBZGQFHQJ-CQSZACIVSA-N -1 1 323.437 1.500 20 0 DDADMM O=C(COC(=O)c1cc(O)cc(O)c1)[N-]C(=O)c1ccccc1 ZINC000768598699 701189735 /nfs/dbraw/zinc/18/97/35/701189735.db2.gz PDKOHSNIGZEBEA-UHFFFAOYSA-N -1 1 315.281 1.211 20 0 DDADMM COCCCO[N-]C(=O)[C@@H](C)c1ccc(S(C)(=O)=O)cc1 ZINC000805038755 701227582 /nfs/dbraw/zinc/22/75/82/701227582.db2.gz DIDFNGALTHTQMY-NSHDSACASA-N -1 1 315.391 1.278 20 0 DDADMM CCOC(=O)[C@H]1CSCCN1C(=O)c1cc(F)ccc1[O-] ZINC000769667220 701251584 /nfs/dbraw/zinc/25/15/84/701251584.db2.gz LLRNCUKBBQZPCJ-LLVKDONJSA-N -1 1 313.350 1.652 20 0 DDADMM O=C(N[C@@H](CO)C1CC1)c1ccc(Br)cc1[O-] ZINC000771040523 701305988 /nfs/dbraw/zinc/30/59/88/701305988.db2.gz XTGMFOKIEXHHJI-JTQLQIEISA-N -1 1 300.152 1.655 20 0 DDADMM C[C@@H](OC(=O)c1nn(-c2ccccc2)cc1[O-])c1nncn1C ZINC000805345889 701377140 /nfs/dbraw/zinc/37/71/40/701377140.db2.gz PRYUZSWHDSFGTO-SNVBAGLBSA-N -1 1 313.317 1.624 20 0 DDADMM CCCCOCCOC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000805443678 701382252 /nfs/dbraw/zinc/38/22/52/701382252.db2.gz JHGMYSNKLCSVDI-UHFFFAOYSA-N -1 1 321.377 1.954 20 0 DDADMM CCC(F)(F)C[N-]C(=O)C(F)(F)C(=O)NCC(F)(F)CC ZINC000866026262 706598231 /nfs/dbraw/zinc/59/82/31/706598231.db2.gz VRTCOZNQEDQRMF-UHFFFAOYSA-N -1 1 322.249 1.945 20 0 DDADMM CCc1ccc([C@H](C)NC(=O)[C@@H](C)OC(=O)c2cn[n-]n2)cc1 ZINC000805604329 701398102 /nfs/dbraw/zinc/39/81/02/701398102.db2.gz FBHDOOUWWPUUFL-WDEREUQCSA-N -1 1 316.361 1.790 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)N[C@@H](C)c1ccc(Cl)cc1 ZINC000805607619 701399471 /nfs/dbraw/zinc/39/94/71/701399471.db2.gz MVBHAJMOYIOFDK-IUCAKERBSA-N -1 1 322.752 1.881 20 0 DDADMM Cc1cc(-c2noc(COC(=O)c3cn[n-]n3)n2)ccc1F ZINC000805608523 701399825 /nfs/dbraw/zinc/39/98/25/701399825.db2.gz FXCIZQGWXBWMBC-UHFFFAOYSA-N -1 1 303.253 1.659 20 0 DDADMM CO[C@H](C)C(=O)OCCc1c(C)nc(-c2ccccn2)[n-]c1=O ZINC000806016667 701421219 /nfs/dbraw/zinc/42/12/19/701421219.db2.gz ZVLIUFZHQJNRFQ-LLVKDONJSA-N -1 1 317.345 1.673 20 0 DDADMM CC(C)[C@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000806487958 701437478 /nfs/dbraw/zinc/43/74/78/701437478.db2.gz HEMGPPBRHHZOSN-CYBMUJFWSA-N -1 1 303.366 1.231 20 0 DDADMM Cc1ncc(CN(C)C(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000806488489 701437581 /nfs/dbraw/zinc/43/75/81/701437581.db2.gz HYMSGJREJMSSQK-UHFFFAOYSA-N -1 1 316.390 1.771 20 0 DDADMM O=C(COCc1ccccn1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000806546573 701441265 /nfs/dbraw/zinc/44/12/65/701441265.db2.gz PIUMSIGYBPNWRJ-OAHLLOKOSA-N -1 1 324.344 1.017 20 0 DDADMM CC(C)C(NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)C(C)C ZINC000807018177 701458610 /nfs/dbraw/zinc/45/86/10/701458610.db2.gz HYKNACQFJMKPGG-UHFFFAOYSA-N -1 1 317.393 1.077 20 0 DDADMM NC(=O)c1ccc(C(=O)Nc2ccc(F)cc2[O-])nc1Cl ZINC000807084919 701463312 /nfs/dbraw/zinc/46/33/12/701463312.db2.gz XKXDSWNKUJXUSC-UHFFFAOYSA-N -1 1 309.684 1.931 20 0 DDADMM CO[C@@H](C)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807706856 701484444 /nfs/dbraw/zinc/48/44/44/701484444.db2.gz GNQCYVPDCUNDPC-XKNYDFJKSA-N -1 1 310.316 1.325 20 0 DDADMM CCOC(=O)[C@H](CC=C(C)C)[N-]S(=O)(=O)[C@@H]1CCO[C@H]1C ZINC000867759297 701734949 /nfs/dbraw/zinc/73/49/49/701734949.db2.gz NUDRISKCXDBGHI-RWMBFGLXSA-N -1 1 319.423 1.371 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)Nc2ccon2)C1)C(F)(F)F ZINC000810200982 701742339 /nfs/dbraw/zinc/74/23/39/701742339.db2.gz SEPURQLJBMMOEK-QMMMGPOBSA-N -1 1 320.271 1.597 20 0 DDADMM CC[C@@H](CC(C)C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952139 706609630 /nfs/dbraw/zinc/60/96/30/706609630.db2.gz CIKOKLKBRKWWIG-NSHDSACASA-N -1 1 307.394 1.943 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@H]2CSC[C@@H]2C1 ZINC000866152646 706633809 /nfs/dbraw/zinc/63/38/09/706633809.db2.gz ZQYZZIZIWKOUTC-QWRGUYRKSA-N -1 1 315.398 1.748 20 0 DDADMM C[C@H](Cc1ccco1)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831118016 706638167 /nfs/dbraw/zinc/63/81/67/706638167.db2.gz ZFICWSRSBXNIRO-SECBINFHSA-N -1 1 318.295 1.595 20 0 DDADMM O=c1nc(NCCSCCC(F)(F)F)nc2[nH][n-]cc1-2 ZINC000866184289 706642780 /nfs/dbraw/zinc/64/27/80/706642780.db2.gz MGWHDYWKRKITSM-UHFFFAOYSA-N -1 1 307.301 1.530 20 0 DDADMM CN(C)C(=O)C1([N-]C(=O)C(F)(F)c2c(F)cccc2F)CC1 ZINC000840501891 702133709 /nfs/dbraw/zinc/13/37/09/702133709.db2.gz ZOQAMYYAHUOWKP-UHFFFAOYSA-N -1 1 318.270 1.794 20 0 DDADMM CCN1CCN(c2ccc(NC(=O)[C@H](C)C(=O)[O-])cc2F)CC1 ZINC000868466109 702144711 /nfs/dbraw/zinc/14/47/11/702144711.db2.gz MIJSPJHWJWWRCK-NSHDSACASA-N -1 1 323.368 1.627 20 0 DDADMM CC1(CCNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000868559229 702197293 /nfs/dbraw/zinc/19/72/93/702197293.db2.gz UAPVPOAFHANCQN-UHFFFAOYSA-N -1 1 306.366 1.244 20 0 DDADMM COc1ccccc1N1C[C@H](C(=O)[N-]OC2CCC2)CC1=O ZINC000812791579 702239979 /nfs/dbraw/zinc/23/99/79/702239979.db2.gz QRUBJFGHHNQBKR-LLVKDONJSA-N -1 1 304.346 1.648 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@@H]1CC(=O)N(Cc2ccco2)C1 ZINC000812804702 702243839 /nfs/dbraw/zinc/24/38/39/702243839.db2.gz CCJLKMDOXRPEKL-GFCCVEGCSA-N -1 1 310.350 1.101 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000816996609 702255594 /nfs/dbraw/zinc/25/55/94/702255594.db2.gz QBKFKZPJUXCPKZ-SECBINFHSA-N -1 1 317.794 1.552 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](C(=O)NCc2n[nH]c(-c3ccccc3)n2)C1 ZINC000840942562 702287944 /nfs/dbraw/zinc/28/79/44/702287944.db2.gz GCGUEKOIQCHZPL-NWDGAFQWSA-N -1 1 314.345 1.589 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](C(=O)NCc2nc(-c3ccccc3)n[nH]2)C1 ZINC000840942562 702287949 /nfs/dbraw/zinc/28/79/49/702287949.db2.gz GCGUEKOIQCHZPL-NWDGAFQWSA-N -1 1 314.345 1.589 20 0 DDADMM COC(=O)[C@H](CF)[N-]C(=O)C(F)(F)Oc1ccc(C=O)cc1 ZINC000813692099 702399419 /nfs/dbraw/zinc/39/94/19/702399419.db2.gz SJDQHIGAXIXDBY-JTQLQIEISA-N -1 1 319.235 1.098 20 0 DDADMM CCc1cc(C)nc(N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000866294337 706667403 /nfs/dbraw/zinc/66/74/03/706667403.db2.gz OHPPBBOQCMTXQB-UHFFFAOYSA-N -1 1 302.300 1.462 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)o1 ZINC000813817470 702419752 /nfs/dbraw/zinc/41/97/52/702419752.db2.gz CQKWBIQHAZDWFN-SECBINFHSA-N -1 1 319.283 1.514 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)C[C@H]2CCCCC2(F)F)C(=O)O1 ZINC000841520170 702476489 /nfs/dbraw/zinc/47/64/89/702476489.db2.gz GAFVNWVJPVLMTN-OPRDCNLKSA-N -1 1 311.350 1.435 20 0 DDADMM CO[C@@](C)([C@@H](C)[N-]S(=O)(=O)c1ncn(C)c1Cl)C1CC1 ZINC000841557797 702497251 /nfs/dbraw/zinc/49/72/51/702497251.db2.gz GUBCBNZASAPZNT-PELKAZGASA-N -1 1 321.830 1.555 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC(O)(c2cccc3ccccc32)C1 ZINC000869184972 702501383 /nfs/dbraw/zinc/50/13/83/702501383.db2.gz XEYFZMMWFQLSEL-UHFFFAOYSA-N -1 1 323.356 1.016 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cnn2c1CCCC2 ZINC000869224220 702518207 /nfs/dbraw/zinc/51/82/07/702518207.db2.gz NTKRKCNGDOAYAV-UHFFFAOYSA-N -1 1 309.366 1.151 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cccnc1Cl ZINC000869224666 702518378 /nfs/dbraw/zinc/51/83/78/702518378.db2.gz SPSKYIBUSVWESW-UHFFFAOYSA-N -1 1 300.742 1.667 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCc1cccc(C)n1 ZINC000842016612 702655746 /nfs/dbraw/zinc/65/57/46/702655746.db2.gz ADRWXPIZXKXFES-UHFFFAOYSA-N -1 1 307.375 1.591 20 0 DDADMM Cc1cscc1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843015361 702803851 /nfs/dbraw/zinc/80/38/51/702803851.db2.gz HJGYORZPYCJNFI-UHFFFAOYSA-N -1 1 316.448 1.600 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C[C@@H]2C=CCCC2)CCC1 ZINC000843016947 702804124 /nfs/dbraw/zinc/80/41/24/702804124.db2.gz YPTNVFHXOOWICF-CYBMUJFWSA-N -1 1 314.451 1.663 20 0 DDADMM CC[C@H](CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C(C)C ZINC000843018652 702804290 /nfs/dbraw/zinc/80/42/90/702804290.db2.gz RCNUWAKJFUDVGY-CYBMUJFWSA-N -1 1 318.483 1.989 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2cccc(F)c2)CCC1 ZINC000843019441 702804579 /nfs/dbraw/zinc/80/45/79/702804579.db2.gz ROVLZFFNPRUQSC-UHFFFAOYSA-N -1 1 314.382 1.370 20 0 DDADMM CCCO[N-]C(=O)[C@@H](C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000844085853 702969899 /nfs/dbraw/zinc/96/98/99/702969899.db2.gz TXJVGFAXPHBEMG-NSHDSACASA-N -1 1 314.407 1.498 20 0 DDADMM CCO[N-]C(=O)CNCc1cc(Br)cnc1Cl ZINC000846078620 703235067 /nfs/dbraw/zinc/23/50/67/703235067.db2.gz ASBJCWUPTIUJOV-UHFFFAOYSA-N -1 1 322.590 1.655 20 0 DDADMM CCCC[C@@](C)(F)C(=O)NCc1n[n-]c(C(=O)OCC)n1 ZINC000879654779 706750535 /nfs/dbraw/zinc/75/05/35/706750535.db2.gz RRLMEDBWXHMZSI-CYBMUJFWSA-N -1 1 300.334 1.516 20 0 DDADMM CCCC[C@@](C)(F)C(=O)NCc1nc(C(=O)OCC)n[n-]1 ZINC000879654779 706750537 /nfs/dbraw/zinc/75/05/37/706750537.db2.gz RRLMEDBWXHMZSI-CYBMUJFWSA-N -1 1 300.334 1.516 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@]2(C)CCC[C@@H]2CC)n[n-]1 ZINC000879654456 706750673 /nfs/dbraw/zinc/75/06/73/706750673.db2.gz IWYSWYZFDAKFOJ-ZUZCIYMTSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@]2(C)CCC[C@@H]2CC)n1 ZINC000879654456 706750675 /nfs/dbraw/zinc/75/06/75/706750675.db2.gz IWYSWYZFDAKFOJ-ZUZCIYMTSA-N -1 1 308.382 1.814 20 0 DDADMM O=C(NCc1nc([C@H]2CCCO2)n[nH]1)c1c([O-])cccc1Cl ZINC000848276355 703535089 /nfs/dbraw/zinc/53/50/89/703535089.db2.gz PJMBARYPBRAIMG-SNVBAGLBSA-N -1 1 322.752 1.945 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)CC(C)(C)O ZINC000848339491 703540450 /nfs/dbraw/zinc/54/04/50/703540450.db2.gz DVADARYVAWZTSN-RKDXNWHRSA-N -1 1 310.316 1.205 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)Cc1ccon1)C(=O)OCc1ccccc1 ZINC000848465378 703553344 /nfs/dbraw/zinc/55/33/44/703553344.db2.gz QXYSLPHAEPEUNV-LLVKDONJSA-N -1 1 324.358 1.226 20 0 DDADMM CC(F)(F)C(C)(C)[N-]S(=O)(=O)c1cn2c(n1)CCCC2 ZINC000848697955 703577262 /nfs/dbraw/zinc/57/72/62/703577262.db2.gz BIRGFDOSRFNKHT-UHFFFAOYSA-N -1 1 307.366 1.932 20 0 DDADMM O=S(=O)([N-]C[C@]12OCCC[C@H]1C2(F)F)c1cscn1 ZINC000849458591 703650713 /nfs/dbraw/zinc/65/07/13/703650713.db2.gz CQRIRHMRFFRLTP-APPZFPTMSA-N -1 1 310.347 1.236 20 0 DDADMM CC1(C)C[C@@H](OC(=O)c2sccc2[N-]S(C)(=O)=O)CO1 ZINC000849699356 703669043 /nfs/dbraw/zinc/66/90/43/703669043.db2.gz ISIYCKKEARKVJC-MRVPVSSYSA-N -1 1 319.404 1.844 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC/C=C\C(F)(F)F)o1 ZINC000851286135 703789946 /nfs/dbraw/zinc/78/99/46/703789946.db2.gz CZITVPSFESSOBZ-DJWKRKHSSA-N -1 1 313.253 1.463 20 0 DDADMM CCN1C[C@@H](COC(=O)Cc2ccc([O-])c(Cl)c2)OC1=O ZINC000869734650 703838786 /nfs/dbraw/zinc/83/87/86/703838786.db2.gz JPNXANOMYDGOBQ-JTQLQIEISA-N -1 1 313.737 1.972 20 0 DDADMM CC1(C)CN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1c1ccco1 ZINC000869786745 703853437 /nfs/dbraw/zinc/85/34/37/703853437.db2.gz BEODACGGARZTNU-CYBMUJFWSA-N -1 1 317.345 1.129 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCC1SCCS1 ZINC000851845146 703869079 /nfs/dbraw/zinc/86/90/79/703869079.db2.gz HCGJZRZLNQMSRT-UHFFFAOYSA-N -1 1 309.438 1.040 20 0 DDADMM CC(C)N(C)C(=O)[C@@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763227 706784481 /nfs/dbraw/zinc/78/44/81/706784481.db2.gz CYTXLBQWYOOEAU-MFKMUULPSA-N -1 1 323.359 1.385 20 0 DDADMM CCCC[C@@H](C(=O)OC)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879766122 706785784 /nfs/dbraw/zinc/78/57/84/706785784.db2.gz VGTVRCTUWSFYHY-GXFFZTMASA-N -1 1 324.343 1.861 20 0 DDADMM CCn1nc(C)c(C[N-]S(=O)(=O)c2sccc2Cl)n1 ZINC000819891284 704181722 /nfs/dbraw/zinc/18/17/22/704181722.db2.gz RZVKHDWSRZYTAP-UHFFFAOYSA-N -1 1 320.827 1.800 20 0 DDADMM C[C@@H]1CCN(C(=S)NC2CCC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000853052450 704189334 /nfs/dbraw/zinc/18/93/34/704189334.db2.gz UDYMRUIWTKMKJL-SCZZXKLOSA-N -1 1 323.384 1.802 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2cnccn2)c1 ZINC000819979648 704196679 /nfs/dbraw/zinc/19/66/79/704196679.db2.gz ICEXCBYTQJHHNV-SAHAZLINSA-N -1 1 320.370 1.897 20 0 DDADMM O=C(c1scnc1Cl)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000820062666 704213677 /nfs/dbraw/zinc/21/36/77/704213677.db2.gz DWIXIULNWQMZFO-UHFFFAOYSA-N -1 1 314.754 1.905 20 0 DDADMM CCOC1CC(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000820067724 704215097 /nfs/dbraw/zinc/21/50/97/704215097.db2.gz JFDAGZBZNDEYBX-UHFFFAOYSA-N -1 1 309.366 1.686 20 0 DDADMM C[C@H]1CCN(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)C1=O ZINC000853624140 704288630 /nfs/dbraw/zinc/28/86/30/704288630.db2.gz XBQAMJVAZZTSOA-VWYCJHECSA-N -1 1 321.343 1.201 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(Cl)ccnc1Cl)[C@H](C)O ZINC000832309575 706888888 /nfs/dbraw/zinc/88/88/88/706888888.db2.gz HZISOFBKZKQOOP-XPUUQOCRSA-N -1 1 313.206 1.826 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCOC(F)F ZINC000853895753 704331816 /nfs/dbraw/zinc/33/18/16/704331816.db2.gz UDBDEZCPPJEVSP-UHFFFAOYSA-N -1 1 315.276 1.377 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1CSc2ccccc21 ZINC000866809946 706809561 /nfs/dbraw/zinc/80/95/61/706809561.db2.gz OTHOBLWWFHZZTL-SECBINFHSA-N -1 1 306.434 1.395 20 0 DDADMM COc1cccc(S([O-])=CC(=O)Oc2c(C)nn(C)c2C)c1 ZINC000821005423 704358021 /nfs/dbraw/zinc/35/80/21/704358021.db2.gz CJSQAQGLTZWRIX-QFIPXVFZSA-N -1 1 322.386 1.759 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H]2CC[C@H](OC)C2)c1 ZINC000821005811 704358505 /nfs/dbraw/zinc/35/85/05/704358505.db2.gz JJEQQSSNJUOJQI-OSRJUQGESA-N -1 1 312.387 1.914 20 0 DDADMM Cc1ncccc1C=CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342531 704382304 /nfs/dbraw/zinc/38/23/04/704382304.db2.gz WQNOJWQORYEKHJ-PLNGDYQASA-N -1 1 314.345 1.898 20 0 DDADMM C[C@H]1CCN(C(=O)[C@H](O)C2CC2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000854469307 704402698 /nfs/dbraw/zinc/40/26/98/704402698.db2.gz OHYBIEJBUGLEAX-MPKXCIJOSA-N -1 1 322.327 1.061 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1(CNC(=O)OC(C)(C)C)CC1 ZINC000854634630 704430376 /nfs/dbraw/zinc/43/03/76/704430376.db2.gz FVYJHGXISZMSAY-UHFFFAOYSA-N -1 1 310.354 1.667 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CN(C(=O)OC(C)(C)C)C1CC1 ZINC000854635452 704430701 /nfs/dbraw/zinc/43/07/01/704430701.db2.gz UAKVVNRHFXDISF-UHFFFAOYSA-N -1 1 310.354 1.762 20 0 DDADMM COCCCCC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856419280 704528261 /nfs/dbraw/zinc/52/82/61/704528261.db2.gz JOZZMNSXWYYXPQ-MNOVXSKESA-N -1 1 324.343 1.719 20 0 DDADMM CCC(CC)[C@@H](O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866845971 706822949 /nfs/dbraw/zinc/82/29/49/706822949.db2.gz TUTTWBWDBYBPPU-VIFPVBQESA-N -1 1 308.350 1.435 20 0 DDADMM CC(C)(C)n1nnc(C(=O)[N-]c2cc(C(F)(F)F)no2)n1 ZINC000857138077 704555130 /nfs/dbraw/zinc/55/51/30/704555130.db2.gz OTGSULFYOCGWBY-UHFFFAOYSA-N -1 1 304.232 1.687 20 0 DDADMM Cc1nn(CC(F)(F)F)c(C)c1CC(=O)[N-]OC(C)(C)CO ZINC000857284219 704574138 /nfs/dbraw/zinc/57/41/38/704574138.db2.gz BUVNGYVLKKKMGY-UHFFFAOYSA-N -1 1 323.315 1.423 20 0 DDADMM Cc1cc(CN2CCN(c3cc(Cl)[n-]c(=O)n3)CC2)no1 ZINC000858325418 704695292 /nfs/dbraw/zinc/69/52/92/704695292.db2.gz BAJNNAAGHZKGDP-UHFFFAOYSA-N -1 1 309.757 1.454 20 0 DDADMM CCO[C@H]1C[C@@H](O)C12CCN(c1cc(Cl)[n-]c(=O)n1)CC2 ZINC000858421124 704708932 /nfs/dbraw/zinc/70/89/32/704708932.db2.gz NYKGYDUKGPVFBE-ZJUUUORDSA-N -1 1 313.785 1.592 20 0 DDADMM CN(CCNC(=O)OC(C)(C)C)c1cc(Cl)[n-]c(=O)n1 ZINC000858565726 704728321 /nfs/dbraw/zinc/72/83/21/704728321.db2.gz AAGVVPIFHIJEIR-UHFFFAOYSA-N -1 1 302.762 1.797 20 0 DDADMM O=c1nc(NCCS(=O)(=O)CC(F)(F)F)cc(Cl)[n-]1 ZINC000858625280 704736547 /nfs/dbraw/zinc/73/65/47/704736547.db2.gz SWNQAWIXALUMGC-UHFFFAOYSA-N -1 1 319.692 1.225 20 0 DDADMM O=S(=O)([N-][C@H]1CCn2ccnc21)c1c[nH]nc1C(F)(F)F ZINC000867317746 706958882 /nfs/dbraw/zinc/95/88/82/706958882.db2.gz UKLQDUAPIQHPCO-LURJTMIESA-N -1 1 321.284 1.048 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1CCC[C@@H]1Cc1ccccc1 ZINC000822325813 704917872 /nfs/dbraw/zinc/91/78/72/704917872.db2.gz FBXYLEYGMWKCMZ-OLZOCXBDSA-N -1 1 301.346 1.228 20 0 DDADMM CCN(C)c1ccccc1CNCc1cc(C(=O)[O-])nn1C ZINC000874040759 704923836 /nfs/dbraw/zinc/92/38/36/704923836.db2.gz SXGPHRABOYRKJH-UHFFFAOYSA-N -1 1 302.378 1.864 20 0 DDADMM O=S(=O)([N-]C[C@H]1COCO1)c1cc2cc(F)ccc2o1 ZINC000867397444 706987130 /nfs/dbraw/zinc/98/71/30/706987130.db2.gz LWSUBZSUTILFGM-JTQLQIEISA-N -1 1 301.295 1.223 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@]23CCO[C@@H]2CCCC3)n1 ZINC000822547105 704971930 /nfs/dbraw/zinc/97/19/30/704971930.db2.gz HNKBRHIDEUWHED-ABAIWWIYSA-N -1 1 307.350 1.874 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN(C)Cc2cnc(N(C)C)nc2)O1 ZINC000833582178 707011478 /nfs/dbraw/zinc/01/14/78/707011478.db2.gz DKVCSLGIFZCUIK-LBPRGKRZSA-N -1 1 306.366 1.122 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(Cc2ccnn2-c2ccccc2)C1 ZINC000859818742 705012575 /nfs/dbraw/zinc/01/25/75/705012575.db2.gz BLNPBPSVDMIGFH-MRXNPFEDSA-N -1 1 301.346 1.548 20 0 DDADMM CCc1oc2ccccc2c1CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000860237175 705135834 /nfs/dbraw/zinc/13/58/34/705135834.db2.gz ULYKQWHEYREKPR-UHFFFAOYSA-N -1 1 315.329 1.703 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=Cc2ccsc2)n[n-]1 ZINC000880668242 707052831 /nfs/dbraw/zinc/05/28/31/707052831.db2.gz ZSXAENDFDVSHSR-XNPJLODASA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=Cc2ccsc2)[n-]1 ZINC000880668242 707052832 /nfs/dbraw/zinc/05/28/32/707052832.db2.gz ZSXAENDFDVSHSR-XNPJLODASA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C=Cc2ccsc2)n1 ZINC000880668242 707052839 /nfs/dbraw/zinc/05/28/39/707052839.db2.gz ZSXAENDFDVSHSR-XNPJLODASA-N -1 1 320.374 1.934 20 0 DDADMM COc1cc(C(=O)NCCCN(C)OC)cc(Cl)c1[O-] ZINC000824508433 705468085 /nfs/dbraw/zinc/46/80/85/705468085.db2.gz DBZJWVOUBKJTHV-UHFFFAOYSA-N -1 1 302.758 1.667 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@]34C[C@H]3CCCC4)nc2n1 ZINC000875838367 705531983 /nfs/dbraw/zinc/53/19/83/705531983.db2.gz KZBLOVYGIBILRS-QLJPJBMISA-N -1 1 315.377 1.889 20 0 DDADMM CC[C@H]1C[C@@H](OC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000825184620 705619262 /nfs/dbraw/zinc/61/92/62/705619262.db2.gz WUEMAQVXXSETBN-QWRGUYRKSA-N -1 1 303.322 1.376 20 0 DDADMM CC[C@H]1C[C@@H](OC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000825184620 705619263 /nfs/dbraw/zinc/61/92/63/705619263.db2.gz WUEMAQVXXSETBN-QWRGUYRKSA-N -1 1 303.322 1.376 20 0 DDADMM CC[C@H]1C[C@@H](C)CN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825183240 705619473 /nfs/dbraw/zinc/61/94/73/705619473.db2.gz NZIBTHJHEQSJJM-SCZZXKLOSA-N -1 1 304.354 1.353 20 0 DDADMM CC[C@H]1C[C@@H](C)CN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825183240 705619477 /nfs/dbraw/zinc/61/94/77/705619477.db2.gz NZIBTHJHEQSJJM-SCZZXKLOSA-N -1 1 304.354 1.353 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825193399 705621381 /nfs/dbraw/zinc/62/13/81/705621381.db2.gz LJHSSIBUTHIYSU-MNOVXSKESA-N -1 1 318.381 1.791 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825193399 705621383 /nfs/dbraw/zinc/62/13/83/705621383.db2.gz LJHSSIBUTHIYSU-MNOVXSKESA-N -1 1 318.381 1.791 20 0 DDADMM CC(C)C(C)(C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876300767 705683582 /nfs/dbraw/zinc/68/35/82/705683582.db2.gz ZPXYVKJQUPLELK-UHFFFAOYSA-N -1 1 308.382 1.489 20 0 DDADMM CCOC(=O)c1cnn(Cc2ccc(C)cc2)c1-c1nn[n-]n1 ZINC000825571005 705695176 /nfs/dbraw/zinc/69/51/76/705695176.db2.gz RXNVKUVOXWBYDB-UHFFFAOYSA-N -1 1 312.333 1.597 20 0 DDADMM Cn1cc([C@@H](CO)NC(=O)NCc2ccc([O-])c(Cl)c2)cn1 ZINC000876395698 705707843 /nfs/dbraw/zinc/70/78/43/705707843.db2.gz NMFGJCJKKYGIMV-GFCCVEGCSA-N -1 1 324.768 1.312 20 0 DDADMM CC[C@H](C)[C@](C)(O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000825972820 705753825 /nfs/dbraw/zinc/75/38/25/705753825.db2.gz DMCQSFBWARNURL-LKFCYVNXSA-N -1 1 308.407 1.545 20 0 DDADMM CC[C@@H](C(=O)Nc1ccccc1-n1ccc(C(=O)[O-])n1)N(C)C ZINC000908961553 712903750 /nfs/dbraw/zinc/90/37/50/712903750.db2.gz TXZBHIIGFHODRV-ZDUSSCGKSA-N -1 1 316.361 1.849 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCCC2(C)C)c1-c1nnn[n-]1 ZINC000826346841 705794892 /nfs/dbraw/zinc/79/48/92/705794892.db2.gz WYQSMIQMRRNJOI-SNVBAGLBSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCCC2(C)C)c1-c1nn[n-]n1 ZINC000826346841 705794894 /nfs/dbraw/zinc/79/48/94/705794894.db2.gz WYQSMIQMRRNJOI-SNVBAGLBSA-N -1 1 304.354 1.401 20 0 DDADMM O=C(CS[C@@H]1CCS(=O)(=O)C1)Nc1cccc(F)c1[O-] ZINC000863009545 705850075 /nfs/dbraw/zinc/85/00/75/705850075.db2.gz HDUDKXNADUTPCP-MRVPVSSYSA-N -1 1 319.379 1.390 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)Cc2cnc[nH]2)c(C(=O)[O-])c1 ZINC000864139338 706077763 /nfs/dbraw/zinc/07/77/63/706077763.db2.gz XACUNPVODFEPSU-SECBINFHSA-N -1 1 303.318 1.934 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2ccc(C)c(Cl)n2)[n-]1 ZINC000864434991 706168665 /nfs/dbraw/zinc/16/86/65/706168665.db2.gz GEOFMSICBRBEBI-UHFFFAOYSA-N -1 1 316.770 1.282 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2ccc(C)c(Cl)n2)n[n-]1 ZINC000864434991 706168666 /nfs/dbraw/zinc/16/86/66/706168666.db2.gz GEOFMSICBRBEBI-UHFFFAOYSA-N -1 1 316.770 1.282 20 0 DDADMM COC[C@](C)(NCc1cccnc1Br)C(=O)[O-] ZINC000864511134 706185160 /nfs/dbraw/zinc/18/51/60/706185160.db2.gz PBUMPGWRCAKOBI-NSHDSACASA-N -1 1 303.156 1.423 20 0 DDADMM O=C([O-])C1=CC[C@H](NCc2cn(-c3ccc(F)cc3)nn2)C1 ZINC000864514732 706185558 /nfs/dbraw/zinc/18/55/58/706185558.db2.gz TYGZJOFTGUJQRM-LBPRGKRZSA-N -1 1 302.309 1.669 20 0 DDADMM CCOc1cc(F)cc(CN[C@@H](C(=O)[O-])c2ccnn2C)c1 ZINC000864516548 706186206 /nfs/dbraw/zinc/18/62/06/706186206.db2.gz OQQYTCIBUZNPRY-CQSZACIVSA-N -1 1 307.325 1.873 20 0 DDADMM N[C@H](C(=O)N1CC[C@@H](C(=O)[O-])C1)c1cccc(C(F)(F)F)c1 ZINC000864606809 706210322 /nfs/dbraw/zinc/21/03/22/706210322.db2.gz GMBAWYBHEPJKOG-KOLCDFICSA-N -1 1 316.279 1.638 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@]23C[C@H]2CCC3)C1 ZINC000828681585 706216536 /nfs/dbraw/zinc/21/65/36/706216536.db2.gz UTOMCHBAPVYZTN-JIMOISOXSA-N -1 1 304.312 1.846 20 0 DDADMM CC(C)CNC(=S)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000865275680 706394416 /nfs/dbraw/zinc/39/44/16/706394416.db2.gz NTVQZYHQRLYERM-LLVKDONJSA-N -1 1 311.373 1.660 20 0 DDADMM CCC[C@@H](NC(=O)C1CCC(C(=O)N(C)C)CC1)c1nn[n-]n1 ZINC000829800906 706398658 /nfs/dbraw/zinc/39/86/58/706398658.db2.gz LHKPOAZSKIBHMO-HTAVTVPLSA-N -1 1 322.413 1.052 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@@H](C)[C@@H](C)O1 ZINC000829817494 706401750 /nfs/dbraw/zinc/40/17/50/706401750.db2.gz NPRWSAOXCOKMRM-KXUCPTDWSA-N -1 1 306.391 1.656 20 0 DDADMM Cc1ncccc1C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872509883 707454616 /nfs/dbraw/zinc/45/46/16/707454616.db2.gz XHOQPIFNLOTIPM-LJQANCHMSA-N -1 1 319.452 1.621 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(C[C@@H]3CCC4(CCOCC4)O3)C2)n[nH]1 ZINC000878621798 706447777 /nfs/dbraw/zinc/44/77/77/706447777.db2.gz ICFWMLVYZLAHLB-STQMWFEESA-N -1 1 322.409 1.418 20 0 DDADMM CO[C@H]1COCC[C@@H]1CC(=O)Nc1nc(Cl)ccc1[O-] ZINC000830185214 706471293 /nfs/dbraw/zinc/47/12/93/706471293.db2.gz WWTUMVKDIKHVDG-SCZZXKLOSA-N -1 1 300.742 1.821 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCN2C(=O)OC[C@H]2C1 ZINC000830363984 706503458 /nfs/dbraw/zinc/50/34/58/706503458.db2.gz XXRPORZZFBDGPG-LLVKDONJSA-N -1 1 313.313 1.217 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C1CCOCC1 ZINC000830763523 706575359 /nfs/dbraw/zinc/57/53/59/706575359.db2.gz GLFIYWAWIWDYOR-VIFPVBQESA-N -1 1 307.803 1.547 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](O)c2ccc(F)cc2)sn1 ZINC000866832518 706819223 /nfs/dbraw/zinc/81/92/23/706819223.db2.gz HIBHFSYOARCCJX-LLVKDONJSA-N -1 1 316.379 1.603 20 0 DDADMM CC(C)(O)CCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807448 706583386 /nfs/dbraw/zinc/58/33/86/706583386.db2.gz JRMRSVTYXWPBAV-GFCCVEGCSA-N -1 1 310.316 1.207 20 0 DDADMM CC(C)(C)[C@@H](O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816311 706585185 /nfs/dbraw/zinc/58/51/85/706585185.db2.gz OCOIJOFFTUOMDY-UFBFGSQYSA-N -1 1 310.316 1.063 20 0 DDADMM CS[C@H]1CC[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000866885782 706834635 /nfs/dbraw/zinc/83/46/35/706834635.db2.gz TXWVCHWYXUWNGH-SFYZADRCSA-N -1 1 308.375 1.922 20 0 DDADMM CC[C@@H](C[C@H](C)CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866901148 706839719 /nfs/dbraw/zinc/83/97/19/706839719.db2.gz WLIZQAKWUGKYQC-IUCAKERBSA-N -1 1 324.805 1.950 20 0 DDADMM O=Cc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)ccc1F ZINC000866901756 706840130 /nfs/dbraw/zinc/84/01/30/706840130.db2.gz NOQCGGKZDJIYHJ-SNVBAGLBSA-N -1 1 303.297 1.171 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)CC1(OC)CCCC1)C(=O)OC ZINC000867054059 706883407 /nfs/dbraw/zinc/88/34/07/706883407.db2.gz MITKICKVUSQVGF-NSHDSACASA-N -1 1 307.412 1.207 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)O1)c1ccc([O-])cc1F ZINC000832396127 706904232 /nfs/dbraw/zinc/90/42/32/706904232.db2.gz BNEPXVQIVVSIPY-LBPRGKRZSA-N -1 1 309.337 1.989 20 0 DDADMM CO[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867283161 706947513 /nfs/dbraw/zinc/94/75/13/706947513.db2.gz YEISWGXLZIORAB-RQJHMYQMSA-N -1 1 315.317 1.141 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H]1CCC[C@@H]1C1CC1 ZINC000867400917 706988430 /nfs/dbraw/zinc/98/84/30/706988430.db2.gz GJEFAHDBUZOGFN-QWHCGFSZSA-N -1 1 320.480 1.909 20 0 DDADMM CC(C)(C)c1ccncc1C[N-]S(=O)(=O)N=S(C)(C)=O ZINC000867403007 706989437 /nfs/dbraw/zinc/98/94/37/706989437.db2.gz VLQPHXNFIIGBET-UHFFFAOYSA-N -1 1 319.452 1.441 20 0 DDADMM O=C([O-])[C@H]1CCCCCN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000909020486 712918657 /nfs/dbraw/zinc/91/86/57/712918657.db2.gz PMNOVZJKIRVYTQ-GXFFZTMASA-N -1 1 306.366 1.306 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@H]2C[C@H]3CO[C@H]1[C@H]3C2)c1ccc(F)nc1F ZINC000867609470 707052919 /nfs/dbraw/zinc/05/29/19/707052919.db2.gz AFJKRDAGJRTJMS-VRDSTSQNSA-N -1 1 316.329 1.062 20 0 DDADMM O=S(=O)([N-][C@H]1[C@@H]2OC[C@@H]3C[C@H]1C[C@@H]32)c1ccc(F)nc1F ZINC000867609468 707052968 /nfs/dbraw/zinc/05/29/68/707052968.db2.gz AFJKRDAGJRTJMS-JFIPXVDISA-N -1 1 316.329 1.062 20 0 DDADMM O=S(=O)([N-][C@H](C1CCC1)[C@@H]1CCCO1)c1c[nH]nc1Cl ZINC000867679064 707071552 /nfs/dbraw/zinc/07/15/52/707071552.db2.gz PHADDCSLWIDCFR-GXSJLCMTSA-N -1 1 319.814 1.689 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)C[C@H](O)C(Cl)(Cl)Cl ZINC000909054450 712926874 /nfs/dbraw/zinc/92/68/74/712926874.db2.gz OOXISEPKNVNPLN-RQJHMYQMSA-N -1 1 308.589 1.172 20 0 DDADMM CS(=O)(=O)C1(C(=O)NCc2ccc([O-])c(Cl)c2)CCC1 ZINC000834826989 707131837 /nfs/dbraw/zinc/13/18/37/707131837.db2.gz VJXDBKFBOQGJKR-UHFFFAOYSA-N -1 1 317.794 1.629 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC000871626775 707144301 /nfs/dbraw/zinc/14/43/01/707144301.db2.gz UVWAHFRZQFPMSZ-SECBINFHSA-N -1 1 318.782 1.032 20 0 DDADMM COCCn1cc(CN[C@H](C(=O)[O-])c2ccc(OC)cc2)cn1 ZINC000871883532 707231147 /nfs/dbraw/zinc/23/11/47/707231147.db2.gz QIPIFXCBGPUQOM-HNNXBMFYSA-N -1 1 319.361 1.454 20 0 DDADMM CCc1[n-]n(-c2nc3ccccc3c(=O)n2CC)c(=O)c1F ZINC000871941678 707250686 /nfs/dbraw/zinc/25/06/86/707250686.db2.gz DSPRBFNZVQZTPR-GFCCVEGCSA-N -1 1 302.309 1.867 20 0 DDADMM COCCS(=O)(=O)[N-]c1c(C)sc(C)c1C(=O)OC ZINC000872009350 707279516 /nfs/dbraw/zinc/27/95/16/707279516.db2.gz LBFWHXSUZRJOMX-UHFFFAOYSA-N -1 1 307.393 1.540 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCC(F)CC1 ZINC000881608800 707304907 /nfs/dbraw/zinc/30/49/07/707304907.db2.gz SMUADQAIHDYTOT-UHFFFAOYSA-N -1 1 320.368 1.945 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC[C@H](CF)C2)c(=O)[n-]1 ZINC000881664896 707316110 /nfs/dbraw/zinc/31/61/10/707316110.db2.gz TZNXVWQLPYRPGL-SNVBAGLBSA-N -1 1 313.398 1.963 20 0 DDADMM COCC(C)(C)[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872446084 707415352 /nfs/dbraw/zinc/41/53/52/707415352.db2.gz UJXHRGSGGOOWBN-QGZVFWFLSA-N -1 1 300.446 1.142 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCCSC1 ZINC000872458019 707422237 /nfs/dbraw/zinc/42/22/37/707422237.db2.gz HQZBLPFUYLXNHH-HUTHGQBESA-N -1 1 314.498 1.613 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2C[C@H]3CCC[C@@H]3O2)c1Cl ZINC000872558475 707479478 /nfs/dbraw/zinc/47/94/78/707479478.db2.gz NBTMYBVFJNYYRN-UTLUCORTSA-N -1 1 319.814 1.309 20 0 DDADMM CO[C@@H]([C@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CC1 ZINC000836571592 707481978 /nfs/dbraw/zinc/48/19/78/707481978.db2.gz BQARYOWITGEMPY-ZANVPECISA-N -1 1 303.366 1.277 20 0 DDADMM CC(C)(C)C[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000837167618 707589066 /nfs/dbraw/zinc/58/90/66/707589066.db2.gz ZAUACZQCPCGPRL-GFCCVEGCSA-N -1 1 307.394 1.211 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1c(C)n[nH]c1C(F)F ZINC000882713011 707758561 /nfs/dbraw/zinc/75/85/61/707758561.db2.gz XJDTVYUMLNACQW-UHFFFAOYSA-N -1 1 319.337 1.752 20 0 DDADMM C/C=C\COc1cccc(CC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000837930136 707784192 /nfs/dbraw/zinc/78/41/92/707784192.db2.gz BZTJRJRGZPNPCR-ARJAWSKDSA-N -1 1 317.345 1.349 20 0 DDADMM CC[C@H](OC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C)C(C)=O ZINC000873353075 707821944 /nfs/dbraw/zinc/82/19/44/707821944.db2.gz MJUNJKNTYTXVAR-ZDUSSCGKSA-N -1 1 319.361 1.895 20 0 DDADMM Cc1ccccc1[C@H](CC(=O)[O-])NC(=O)CCc1cnc[nH]1 ZINC000909373431 713002729 /nfs/dbraw/zinc/00/27/29/713002729.db2.gz YFVNFFDVTJVRHY-AWEZNQCLSA-N -1 1 301.346 1.983 20 0 DDADMM COc1ccccc1C[C@H](CNC(=O)[C@H](C)N(C)C)C(=O)[O-] ZINC000909383778 713005013 /nfs/dbraw/zinc/00/50/13/713005013.db2.gz ISVYRXXKIWSFKQ-WCQYABFASA-N -1 1 308.378 1.005 20 0 DDADMM C[C@@H]1CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)c2ccccc2O1 ZINC000909408391 713010383 /nfs/dbraw/zinc/01/03/83/713010383.db2.gz GTTXBJAGQLTEFZ-CHWSQXEVSA-N -1 1 318.373 1.597 20 0 DDADMM CCN(CC(=O)N1CCc2ccc(C(=O)[O-])cc2C1)C1CC1 ZINC000909456114 713022759 /nfs/dbraw/zinc/02/27/59/713022759.db2.gz XJTJJCVZMFDVBZ-UHFFFAOYSA-N -1 1 302.374 1.754 20 0 DDADMM Cc1nc(C)c(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)s1 ZINC000897668131 708413066 /nfs/dbraw/zinc/41/30/66/708413066.db2.gz DKRUKGNZFNOIEJ-UHFFFAOYSA-N -1 1 322.390 1.797 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC000885014837 708443045 /nfs/dbraw/zinc/44/30/45/708443045.db2.gz QDJVMSPQGZHAMQ-ZJUUUORDSA-N -1 1 317.436 1.068 20 0 DDADMM CCc1cnc(CC[N-]S(=O)(=O)c2ccns2)s1 ZINC000885034798 708450091 /nfs/dbraw/zinc/45/00/91/708450091.db2.gz BJMPOFKTWXFSTI-UHFFFAOYSA-N -1 1 303.434 1.683 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccc(F)cc1F ZINC000912528264 713030863 /nfs/dbraw/zinc/03/08/63/713030863.db2.gz RPENVYHLZOVHIJ-UHFFFAOYSA-N -1 1 318.257 1.242 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1c(C)cccc1C ZINC000912532342 713031747 /nfs/dbraw/zinc/03/17/47/713031747.db2.gz VSSIDMUUUUCGPF-UHFFFAOYSA-N -1 1 310.331 1.581 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)CCC(=O)N[C@@H](C)c1ccccc1 ZINC000897952160 708503768 /nfs/dbraw/zinc/50/37/68/708503768.db2.gz DDYXNFDHWSCUGF-ZFWWWQNUSA-N -1 1 322.405 1.709 20 0 DDADMM CC(=O)N[C@H]1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000897995865 708514127 /nfs/dbraw/zinc/51/41/27/708514127.db2.gz KULOQVRONJQYIA-LBPRGKRZSA-N -1 1 314.341 1.602 20 0 DDADMM CCOC(=O)[C@@H](CC=C(C)C)[N-]S(=O)(=O)c1ccns1 ZINC000885342097 708523131 /nfs/dbraw/zinc/52/31/31/708523131.db2.gz OJVUSJCMNSOBAG-SNVBAGLBSA-N -1 1 318.420 1.709 20 0 DDADMM COC(=O)C(C)(C)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000885405950 708537352 /nfs/dbraw/zinc/53/73/52/708537352.db2.gz QSNAXNMAAUSOFT-UHFFFAOYSA-N -1 1 323.773 1.957 20 0 DDADMM C[C@@H](CF)[N-]S(=O)(=O)c1cc2nc(Cl)ncc2s1 ZINC000885408344 708537798 /nfs/dbraw/zinc/53/77/98/708537798.db2.gz CCKYZIVHXNWPJS-YFKPBYRVSA-N -1 1 309.775 1.981 20 0 DDADMM O=C(CN1CCC(=O)NC1=O)Nc1cc(F)c([O-])cc1Cl ZINC000885676874 708595334 /nfs/dbraw/zinc/59/53/34/708595334.db2.gz VGGXDDTXZSOJCA-UHFFFAOYSA-N -1 1 315.688 1.065 20 0 DDADMM CSc1nc(CNC(=O)C2(c3ccccn3)CC2)cc(=O)[n-]1 ZINC000898527021 708668718 /nfs/dbraw/zinc/66/87/18/708668718.db2.gz XLTRFDUCTOODJA-UHFFFAOYSA-N -1 1 316.386 1.647 20 0 DDADMM CCOC(=O)C1(C(=O)NCCc2c(F)cc([O-])cc2F)CC1 ZINC000886264825 708732064 /nfs/dbraw/zinc/73/20/64/708732064.db2.gz MZZWDIKCUJWKFY-UHFFFAOYSA-N -1 1 313.300 1.672 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H]1CCc2nncn2C1 ZINC000886268187 708733124 /nfs/dbraw/zinc/73/31/24/708733124.db2.gz GSTKWZUTGSTKHQ-VIFPVBQESA-N -1 1 322.315 1.183 20 0 DDADMM CC1(C)[C@H](NC(=O)NCCc2c(F)cc([O-])cc2F)C[C@@H]1O ZINC000927782745 713054936 /nfs/dbraw/zinc/05/49/36/713054936.db2.gz JIEPIVZXWHPDIC-OLZOCXBDSA-N -1 1 314.332 1.672 20 0 DDADMM CO[C@@H](C)CN(C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927784013 713055246 /nfs/dbraw/zinc/05/52/46/713055246.db2.gz CFALRUUUHHNFQG-VIFPVBQESA-N -1 1 302.321 1.889 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@@H]1C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898758792 708857210 /nfs/dbraw/zinc/85/72/10/708857210.db2.gz ZFOCUWLDQAAIOO-BDAKNGLRSA-N -1 1 305.359 1.678 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc(Cl)cc2[O-])CCS1(=O)=O ZINC000887190657 708986424 /nfs/dbraw/zinc/98/64/24/708986424.db2.gz NWIXGGLDGYWLKR-WCBMZHEXSA-N -1 1 317.794 1.741 20 0 DDADMM O=C(NC[C@H](O)[C@@H]1CCCO1)c1ccc2ccc(O)cc2c1[O-] ZINC000899131113 708994625 /nfs/dbraw/zinc/99/46/25/708994625.db2.gz MHTRUNZCKPHGLR-GJZGRUSLSA-N -1 1 317.341 1.521 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)o1 ZINC000899414430 709076936 /nfs/dbraw/zinc/07/69/36/709076936.db2.gz RIIPFLGUFZJQEJ-YTWAJWBKSA-N -1 1 312.391 1.210 20 0 DDADMM C[C@@H]1C[C@]2(CC[C@H](CNC(=O)c3ccc([O-])c(F)c3)O2)CO1 ZINC000899480972 709094866 /nfs/dbraw/zinc/09/48/66/709094866.db2.gz VXMGARKNBXLSAN-NSODJVPESA-N -1 1 309.337 1.988 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=S)NCC(C)C)[n-]c1=O ZINC000899667900 709142927 /nfs/dbraw/zinc/14/29/27/709142927.db2.gz ZKUZLZPZMAUDDW-JTQLQIEISA-N -1 1 310.423 1.858 20 0 DDADMM COC(=O)CC(C)(C)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000927967992 713090272 /nfs/dbraw/zinc/09/02/72/713090272.db2.gz JYZRGKIJOAHCMJ-UHFFFAOYSA-N -1 1 322.390 1.337 20 0 DDADMM COC(=O)[C@H]1C[C@@H]2C[C@H](NC(=O)C(=O)c3ccc([O-])cc3)[C@@H]2C1 ZINC000888471917 709320010 /nfs/dbraw/zinc/32/00/10/709320010.db2.gz TWPHPWXFAIBGDG-WVWOOGAGSA-N -1 1 317.341 1.279 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]2C[C@H](NC(=O)C(=O)c3ccc([O-])cc3)[C@@H]2C1 ZINC000888471916 709320071 /nfs/dbraw/zinc/32/00/71/709320071.db2.gz TWPHPWXFAIBGDG-OXHZDVMGSA-N -1 1 317.341 1.279 20 0 DDADMM C[C@]1([C@H]2CCCN(C(=O)c3ccc([O-])cc3F)C2)COC(=O)N1 ZINC000928023211 713105562 /nfs/dbraw/zinc/10/55/62/713105562.db2.gz GPFOQTYQPDZDDI-MGPLVRAMSA-N -1 1 322.336 1.882 20 0 DDADMM CCc1nn(C)c(CC)c1CC(=O)[N-]OCc1ccccn1 ZINC000909537272 709506271 /nfs/dbraw/zinc/50/62/71/709506271.db2.gz BROCODBCKXJUOQ-UHFFFAOYSA-N -1 1 302.378 1.730 20 0 DDADMM CCC[C@@H](NC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1)C(=O)[O-] ZINC000900287829 709522300 /nfs/dbraw/zinc/52/23/00/709522300.db2.gz RXFLPGUOMPXZOK-JHJVBQTASA-N -1 1 315.414 1.038 20 0 DDADMM C[C@@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)Cc1cccs1 ZINC000909584666 709527851 /nfs/dbraw/zinc/52/78/51/709527851.db2.gz IKOMKNSTOXHEGN-CHWSQXEVSA-N -1 1 324.446 1.840 20 0 DDADMM COCC1(C(=O)N[C@@H](CCSC)c2nn[n-]n2)CCCC1 ZINC000912862768 713110969 /nfs/dbraw/zinc/11/09/69/713110969.db2.gz BSTDTIPKKXEULR-JTQLQIEISA-N -1 1 313.427 1.317 20 0 DDADMM O=C([N-][C@H]1c2ccccc2OC[C@H]1CO)C(F)(F)C(F)F ZINC000889573552 709550244 /nfs/dbraw/zinc/55/02/44/709550244.db2.gz DUJVXTPHECNBAU-GMSGAONNSA-N -1 1 307.243 1.745 20 0 DDADMM CCN(CC(=O)N[C@H](C(=O)[O-])c1ccc(F)c(C)c1)C1CC1 ZINC000909794781 709624365 /nfs/dbraw/zinc/62/43/65/709624365.db2.gz GRVODHDVQSPPEB-HNNXBMFYSA-N -1 1 308.353 1.860 20 0 DDADMM COC(=O)[C@H](C)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000900503058 709626545 /nfs/dbraw/zinc/62/65/45/709626545.db2.gz ZGVGVZSJFRYIFS-SECBINFHSA-N -1 1 303.314 1.790 20 0 DDADMM COc1ccc([C@@H](NC(=O)CN(C)C2CCC2)C(=O)[O-])cc1F ZINC000909800234 709627871 /nfs/dbraw/zinc/62/78/71/709627871.db2.gz JCZYGAKCXKHCKI-OAHLLOKOSA-N -1 1 324.352 1.561 20 0 DDADMM CC[C@H](C)C[C@@H](NC(=O)Cc1n[nH]c2c1CCCC2)C(=O)[O-] ZINC000909867934 709661132 /nfs/dbraw/zinc/66/11/32/709661132.db2.gz PJQIDFLXOPLEFT-IINYFYTJSA-N -1 1 307.394 1.837 20 0 DDADMM O=C(NC[C@H]1CCCNC1=O)c1c([O-])cnc2c(F)cccc21 ZINC000900623646 709682875 /nfs/dbraw/zinc/68/28/75/709682875.db2.gz BLJDLVKFAHZWQV-SECBINFHSA-N -1 1 317.320 1.336 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)CCCCc2cn[nH]n2)CC12CCC2 ZINC000909941957 709698667 /nfs/dbraw/zinc/69/86/67/709698667.db2.gz UQKRYWYGBUGZLB-OAHLLOKOSA-N -1 1 324.356 1.323 20 0 DDADMM CO[C@H]1CCN(C(=O)c2ccc(O)c(Cl)c2)[C@@H](C(=O)[O-])C1 ZINC000909980633 709716525 /nfs/dbraw/zinc/71/65/25/709716525.db2.gz CHYIIWFRXFAWPY-GXSJLCMTSA-N -1 1 313.737 1.750 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(CC)cn2)n1 ZINC000909989338 709723206 /nfs/dbraw/zinc/72/32/06/709723206.db2.gz KAINOUVJAVJAKQ-UHFFFAOYSA-N -1 1 302.334 1.725 20 0 DDADMM CC1(CCC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)CC1 ZINC000910037687 709745463 /nfs/dbraw/zinc/74/54/63/709745463.db2.gz INNGVHGLWFLBOY-UHFFFAOYSA-N -1 1 309.284 1.748 20 0 DDADMM O=C([O-])[C@@H]1CCCCCN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000910045250 709749695 /nfs/dbraw/zinc/74/96/95/709749695.db2.gz MLSFGRCEUVSTSF-QMMMGPOBSA-N -1 1 305.256 1.898 20 0 DDADMM O=C(NCCCOC[C@@H]1CCOC1)c1cnc(C2CC2)[n-]c1=O ZINC000900797602 709762816 /nfs/dbraw/zinc/76/28/16/709762816.db2.gz AKRIDSODXLMJCB-NSHDSACASA-N -1 1 321.377 1.233 20 0 DDADMM CCC[C@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)OCC ZINC000910191846 709805607 /nfs/dbraw/zinc/80/56/07/709805607.db2.gz UQUDSBVWWMIWEX-CHWSQXEVSA-N -1 1 300.399 1.104 20 0 DDADMM O=C([O-])[C@H]1c2ccoc2CCN1C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000910204113 709812798 /nfs/dbraw/zinc/81/27/98/709812798.db2.gz AGRVMLRAPUDVEM-YMTOWFKASA-N -1 1 315.329 1.318 20 0 DDADMM O=C([O-])[C@@H](C[C@H]1CCCOC1)NC(=O)c1ccc2cncn2c1 ZINC000910248511 709839068 /nfs/dbraw/zinc/83/90/68/709839068.db2.gz YQLGWDSHKNUVQE-BXUZGUMPSA-N -1 1 317.345 1.334 20 0 DDADMM Cc1nc(NCCN2CCc3ccccc3C2)ncc1C(=O)[O-] ZINC000910276505 709860117 /nfs/dbraw/zinc/86/01/17/709860117.db2.gz HKXOXJVIAOBRFD-UHFFFAOYSA-N -1 1 312.373 1.953 20 0 DDADMM CN(CC(=O)N[C@](C)(CC(=O)[O-])C1CC1)[C@@H]1CCSC1 ZINC000910319616 709888134 /nfs/dbraw/zinc/88/81/34/709888134.db2.gz UJDJBKXZTORROC-BXUZGUMPSA-N -1 1 300.424 1.183 20 0 DDADMM CO[C@@](C)([C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC000910423136 709933735 /nfs/dbraw/zinc/93/37/35/709933735.db2.gz BNULLDTZKFDGMN-FVMDXXJSSA-N -1 1 305.378 1.993 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCOC[C@H]1CC1CCOCC1 ZINC000890804843 709995228 /nfs/dbraw/zinc/99/52/28/709995228.db2.gz PMCZHLSHJMQYQJ-CQSZACIVSA-N -1 1 306.362 1.445 20 0 DDADMM CC[C@@H]1CCC[C@@]1(C)C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000890963604 710044367 /nfs/dbraw/zinc/04/43/67/710044367.db2.gz LTAALVRFEIHFMD-MEBBXXQBSA-N -1 1 303.366 1.881 20 0 DDADMM Cc1cc(CN2CC[C@@](F)(C(=O)[O-])C2)ccc1-n1cncn1 ZINC000901520659 710065186 /nfs/dbraw/zinc/06/51/86/710065186.db2.gz YNGGMBGAAQAGOF-HNNXBMFYSA-N -1 1 304.325 1.574 20 0 DDADMM COc1cc(OC)c(CN2CC[C@](OC)(C(=O)[O-])C2)cc1F ZINC000901543036 710070009 /nfs/dbraw/zinc/07/00/09/710070009.db2.gz JXBWEZKTULJRCN-OAHLLOKOSA-N -1 1 313.325 1.518 20 0 DDADMM O=C([O-])CC[C@H]1CCCN(Cc2cc3c(cn2)OCCO3)C1 ZINC000901551362 710073407 /nfs/dbraw/zinc/07/34/07/710073407.db2.gz FULJWQLTZPFISQ-GFCCVEGCSA-N -1 1 306.362 1.930 20 0 DDADMM Cn1nc2c(c1CN(CCC(=O)[O-])C[C@@H]1CCCO1)CCCC2 ZINC000901677829 710117180 /nfs/dbraw/zinc/11/71/80/710117180.db2.gz NQDOUYJMOLCLIY-ZDUSSCGKSA-N -1 1 321.421 1.755 20 0 DDADMM CCn1ncc(CN[C@H](Cc2cccc(OC)c2)C(=O)[O-])n1 ZINC000901755464 710142695 /nfs/dbraw/zinc/14/26/95/710142695.db2.gz KKQCZVOKURIHGL-CQSZACIVSA-N -1 1 304.350 1.092 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000910989323 710143280 /nfs/dbraw/zinc/14/32/80/710143280.db2.gz VTOKLYZPOKDHAR-VXGBXAGGSA-N -1 1 314.451 1.573 20 0 DDADMM CS(=O)(=O)C1(C(=O)Nc2cc(F)cc(F)c2[O-])CCC1 ZINC000910994377 710145704 /nfs/dbraw/zinc/14/57/04/710145704.db2.gz SWQQQCUQFYPPKZ-UHFFFAOYSA-N -1 1 305.302 1.576 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCc1cn(-c2ccccc2C)nn1 ZINC000901796552 710149631 /nfs/dbraw/zinc/14/96/31/710149631.db2.gz XMJSDIFKRMFIJH-INIZCTEOSA-N -1 1 318.377 1.545 20 0 DDADMM CCCn1cc(CN2CC[C@](C(=O)[O-])(c3ccccc3)C2)nn1 ZINC000901824724 710155374 /nfs/dbraw/zinc/15/53/74/710155374.db2.gz BBYODJFSVDSGQD-QGZVFWFLSA-N -1 1 314.389 1.916 20 0 DDADMM COc1ccc([C@H](NCc2cnc3n2CCC3)C(=O)[O-])cc1F ZINC000901861867 710161463 /nfs/dbraw/zinc/16/14/63/710161463.db2.gz QBWMHFBZQBMIHN-HNNXBMFYSA-N -1 1 319.336 1.893 20 0 DDADMM Cc1cc(CNCc2cnc3c(cnn3C)c2)oc1C(=O)[O-] ZINC000901939782 710180641 /nfs/dbraw/zinc/18/06/41/710180641.db2.gz QUXWIAMFLINUBL-UHFFFAOYSA-N -1 1 300.318 1.858 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1CC[C@H]1C(=O)[O-])c1ccc(F)cc1 ZINC000901962440 710186156 /nfs/dbraw/zinc/18/61/56/710186156.db2.gz DBXAUDGWHIMMJY-MGPQQGTHSA-N -1 1 308.353 1.655 20 0 DDADMM COCc1nc(N(C)C[C@@H]2COc3ccccc3O2)cc(=O)[n-]1 ZINC000891482169 710215026 /nfs/dbraw/zinc/21/50/26/710215026.db2.gz SWUWDLSNOKZYMZ-LLVKDONJSA-N -1 1 317.345 1.605 20 0 DDADMM CCCN1C[C@@H](C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)CC1=O ZINC000891612765 710248282 /nfs/dbraw/zinc/24/82/82/710248282.db2.gz BQIIJLREXBNLJM-JTQLQIEISA-N -1 1 318.377 1.258 20 0 DDADMM Cc1cc(C)n(CCC(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)n1 ZINC000891627518 710252186 /nfs/dbraw/zinc/25/21/86/710252186.db2.gz WRUDPEFHCOKCGD-UHFFFAOYSA-N -1 1 315.377 1.903 20 0 DDADMM CCCc1c(C(=O)N(C)c2nn[n-]n2)cnn1-c1ccccc1 ZINC000892954651 710531878 /nfs/dbraw/zinc/53/18/78/710531878.db2.gz OWIYAYYDJPOEFM-UHFFFAOYSA-N -1 1 311.349 1.615 20 0 DDADMM CCO[C@@H]1C[C@H](Nc2cc(=O)[n-]c(COC)n2)[C@]12CCCO2 ZINC000893171929 710566282 /nfs/dbraw/zinc/56/62/82/710566282.db2.gz ABZYXOKBUZFPKY-FIXISWKDSA-N -1 1 309.366 1.467 20 0 DDADMM Cc1cc(NC(=O)COC(=O)c2cc(-c3ccco3)n[nH]2)no1 ZINC000913297381 713194388 /nfs/dbraw/zinc/19/43/88/713194388.db2.gz VEYGBJWWQUDDRD-UHFFFAOYSA-N -1 1 316.273 1.762 20 0 DDADMM CC(C)N(C)CC(=O)Nc1ccc2cnn(CC(=O)[O-])c2c1 ZINC000911073430 710622132 /nfs/dbraw/zinc/62/21/32/710622132.db2.gz WZXOQWOEVPDWNA-UHFFFAOYSA-N -1 1 304.350 1.400 20 0 DDADMM C[C@H]1Cc2cc(NC(=O)CN3CCC[C@H](C(=O)[O-])C3)ccc2O1 ZINC000911083741 710627031 /nfs/dbraw/zinc/62/70/31/710627031.db2.gz ZGKWDFXUGAKYMS-RYUDHWBXSA-N -1 1 318.373 1.745 20 0 DDADMM CN(C)[C@H](CNC(=O)c1cncc(C(=O)[O-])c1)c1cccs1 ZINC000911099589 710633399 /nfs/dbraw/zinc/63/33/99/710633399.db2.gz GNGDALFSVNFIFQ-GFCCVEGCSA-N -1 1 319.386 1.874 20 0 DDADMM COCc1nc(N[C@@H]2CC(=O)N(c3ccccc3)C2)cc(=O)[n-]1 ZINC000893445533 710656631 /nfs/dbraw/zinc/65/66/31/710656631.db2.gz MPCSXOLESVPGII-LLVKDONJSA-N -1 1 314.345 1.546 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)[C@@H]1CC[C@@H]1C(=O)[O-])c1ccco1 ZINC000911254278 710710113 /nfs/dbraw/zinc/71/01/13/710710113.db2.gz RCZHAUBDOQYURL-AGIUHOORSA-N -1 1 308.378 1.890 20 0 DDADMM Cc1ccccc1O[C@@H](C)CNCc1cn(CC(=O)[O-])nn1 ZINC000902318022 710714206 /nfs/dbraw/zinc/71/42/06/710714206.db2.gz IMHBZCMKQYIKAJ-LBPRGKRZSA-N -1 1 304.350 1.228 20 0 DDADMM O=C([O-])c1ccn(CCCC(=O)N2CCN(C3CCC3)CC2)n1 ZINC000911378631 710770371 /nfs/dbraw/zinc/77/03/71/710770371.db2.gz CPAABPNBFPHMED-UHFFFAOYSA-N -1 1 320.393 1.058 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccccc2CCC(=O)[O-])[C@@H](C)CN1C ZINC000911437577 710798410 /nfs/dbraw/zinc/79/84/10/710798410.db2.gz BLXIOLQZDIUGCM-OLZOCXBDSA-N -1 1 304.390 1.868 20 0 DDADMM C[C@@H]1CN(C(=O)CCc2ccc(C(=O)[O-])cc2)[C@@H](C)CN1C ZINC000911441233 710800401 /nfs/dbraw/zinc/80/04/01/710800401.db2.gz PHZYCNOSADZBFF-OLZOCXBDSA-N -1 1 304.390 1.868 20 0 DDADMM CC(C)(NC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccccc1F ZINC000911467076 710810910 /nfs/dbraw/zinc/81/09/10/710810910.db2.gz LVCXWZLKZUHPLQ-LBPRGKRZSA-N -1 1 322.380 1.974 20 0 DDADMM CC(C)(NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccccc1F ZINC000911467075 710811049 /nfs/dbraw/zinc/81/10/49/710811049.db2.gz LVCXWZLKZUHPLQ-GFCCVEGCSA-N -1 1 322.380 1.974 20 0 DDADMM CO[C@H](C(=O)[O-])C(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000902627209 710825631 /nfs/dbraw/zinc/82/56/31/710825631.db2.gz JFHBDQLFOVQTBN-MFKMUULPSA-N -1 1 309.366 1.339 20 0 DDADMM C[C@H](C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCCO1 ZINC000913436781 713215105 /nfs/dbraw/zinc/21/51/05/713215105.db2.gz HFWIHOZSRZGXHO-DRZSPHRISA-N -1 1 301.350 1.220 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)c1cccc(CC(=O)[O-])c1 ZINC000911569675 710859410 /nfs/dbraw/zinc/85/94/10/710859410.db2.gz FKPOAYBRKZZDFJ-OAHLLOKOSA-N -1 1 304.390 1.870 20 0 DDADMM COCc1nc(NC[C@@H](CO)c2ccc(OC)cc2)cc(=O)[n-]1 ZINC000894166357 710996265 /nfs/dbraw/zinc/99/62/65/710996265.db2.gz JMFNMGOAJONBJN-LBPRGKRZSA-N -1 1 319.361 1.525 20 0 DDADMM O=C(N[C@H]1CCOC12CCCC2)c1nc2ccccc2c(=O)[n-]1 ZINC000903038844 711011409 /nfs/dbraw/zinc/01/14/09/711011409.db2.gz HNMYDPWPNOYNHA-ZDUSSCGKSA-N -1 1 313.357 1.755 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)C(=O)c2ccc([O-])cc2)[C@@H](C)C(=O)N1C ZINC000912012600 711120455 /nfs/dbraw/zinc/12/04/55/711120455.db2.gz KGBXINWMDUFHFZ-VWYCJHECSA-N -1 1 304.346 1.041 20 0 DDADMM CC(C)Oc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000913493121 713236560 /nfs/dbraw/zinc/23/65/60/713236560.db2.gz BQXULOMXRZEOMG-CYBMUJFWSA-N -1 1 317.349 1.201 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@H+]1CCO[C@@H](C)CC1 ZINC000903633169 711230922 /nfs/dbraw/zinc/23/09/22/711230922.db2.gz NFTPJCGXGDHBTI-QWHCGFSZSA-N -1 1 320.389 1.500 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCO[C@@H](C)CC1 ZINC000903633169 711230924 /nfs/dbraw/zinc/23/09/24/711230924.db2.gz NFTPJCGXGDHBTI-QWHCGFSZSA-N -1 1 320.389 1.500 20 0 DDADMM Cc1csc(C)c1S(=O)(=O)[N-]c1[nH]nc2c1COCC2 ZINC000903649581 711235522 /nfs/dbraw/zinc/23/55/22/711235522.db2.gz USQSEEMJTQLDGV-UHFFFAOYSA-N -1 1 313.404 1.962 20 0 DDADMM CC(C)[C@H]1CC[C@H](C(=O)N2CCOC[C@@H]2c2nn[n-]n2)CC1 ZINC000913496328 713238599 /nfs/dbraw/zinc/23/85/99/713238599.db2.gz XVRLOQIHMRVMCN-JHJVBQTASA-N -1 1 307.398 1.562 20 0 DDADMM CSc1cc(C)c(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)o1 ZINC000913499435 713239555 /nfs/dbraw/zinc/23/95/55/713239555.db2.gz PTCOAIBFOKOLGV-MRVPVSSYSA-N -1 1 309.351 1.037 20 0 DDADMM COCc1nc(NC[C@@H]2CC(=O)c3ccccc3O2)cc(=O)[n-]1 ZINC000895020960 711371813 /nfs/dbraw/zinc/37/18/13/711371813.db2.gz YJYAILTVTUGWKI-JTQLQIEISA-N -1 1 315.329 1.775 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2nc3c(s2)CCC3)n1 ZINC000895233791 711459438 /nfs/dbraw/zinc/45/94/38/711459438.db2.gz PMZDVNGBHVKUIQ-QMMMGPOBSA-N -1 1 321.406 1.777 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CCS(=O)(=O)CC1 ZINC000896128745 711679894 /nfs/dbraw/zinc/67/98/94/711679894.db2.gz MXLXGOYAYBAXEJ-UHFFFAOYSA-N -1 1 321.354 1.122 20 0 DDADMM O=C(Nc1ccncc1[O-])c1csc(N2CCCCC2)n1 ZINC000896261596 711698040 /nfs/dbraw/zinc/69/80/40/711698040.db2.gz HDOOPEKUQDKWOC-UHFFFAOYSA-N -1 1 304.375 1.908 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC[C@@H](O)[C@@H](F)C1 ZINC000896314664 711705412 /nfs/dbraw/zinc/70/54/12/711705412.db2.gz MEMPGJUIWVRNKS-CMPLNLGQSA-N -1 1 302.733 1.660 20 0 DDADMM CC(C)[C@H]1C[C@H](C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000904939954 711905125 /nfs/dbraw/zinc/90/51/25/711905125.db2.gz HTCDZGJQFWDLLT-BXUZGUMPSA-N -1 1 302.378 1.732 20 0 DDADMM CN1CC[C@@H]1CNC(=O)C[C@]1(C(=O)[O-])CCc2ccccc21 ZINC000905130692 711946103 /nfs/dbraw/zinc/94/61/03/711946103.db2.gz IGRWKOXSHMKEEU-CXAGYDPISA-N -1 1 302.374 1.166 20 0 DDADMM O=C(CSCc1ccncc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743463 713292559 /nfs/dbraw/zinc/29/25/59/713292559.db2.gz WZVILPNHUMBMFC-UHFFFAOYSA-N -1 1 318.406 1.234 20 0 DDADMM C[C@](O)(CC(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccc1 ZINC000913744578 713293333 /nfs/dbraw/zinc/29/33/33/713293333.db2.gz UVNGYOTXEJKENT-INIZCTEOSA-N -1 1 315.377 1.204 20 0 DDADMM CC(C)(C(=O)N1CCC(c2nn[n-]n2)CC1)[C@@H]1CCCCO1 ZINC000913746563 713294396 /nfs/dbraw/zinc/29/43/96/713294396.db2.gz ACAFVILGMQWTNR-LBPRGKRZSA-N -1 1 307.398 1.501 20 0 DDADMM CCC/C=C/[C@H](O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000913780200 713299533 /nfs/dbraw/zinc/29/95/33/713299533.db2.gz RPYWXOISYBMYGE-ZOLRFCATSA-N -1 1 322.327 1.373 20 0 DDADMM C[C@H](C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)[C@H]1CCCO1 ZINC000913780467 713299587 /nfs/dbraw/zinc/29/95/87/713299587.db2.gz WNDUOAJUZNGMPG-OPQQBVKSSA-N -1 1 322.327 1.471 20 0 DDADMM CNc1cccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000906090976 712242372 /nfs/dbraw/zinc/24/23/72/712242372.db2.gz WLTYLTJOSQKAQU-UHFFFAOYSA-N -1 1 302.334 1.837 20 0 DDADMM CCCN(CC(F)F)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906844157 712421011 /nfs/dbraw/zinc/42/10/11/712421011.db2.gz FJXKYEILRNVKDR-UHFFFAOYSA-N -1 1 323.317 1.756 20 0 DDADMM CCN1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)CC1=O ZINC000907361582 712552465 /nfs/dbraw/zinc/55/24/65/712552465.db2.gz IHWBQEBRVYMWRR-UHFFFAOYSA-N -1 1 317.320 1.384 20 0 DDADMM CCOC1CC2(C[C@@H]2C(=O)N2CCSC[C@H]2c2nn[n-]n2)C1 ZINC000907473577 712581556 /nfs/dbraw/zinc/58/15/56/712581556.db2.gz BZQDPWANGSUTIP-PYUIQPRZSA-N -1 1 323.422 1.021 20 0 DDADMM CC(=O)C(C)(C)CCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907475654 712582194 /nfs/dbraw/zinc/58/21/94/712582194.db2.gz OYWNAWFOCIHJES-SNVBAGLBSA-N -1 1 311.411 1.212 20 0 DDADMM CCCCCCOCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477212 712582514 /nfs/dbraw/zinc/58/25/14/712582514.db2.gz SQNWFNUCOOPTNZ-LLVKDONJSA-N -1 1 313.427 1.413 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2(C3(O)CCC3)CC2)sc1C ZINC000907476882 712582517 /nfs/dbraw/zinc/58/25/17/712582517.db2.gz FGGSVBSVMVDWAF-UHFFFAOYSA-N -1 1 316.448 1.733 20 0 DDADMM Cc1nc(C)c(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)s1 ZINC000907478444 712582965 /nfs/dbraw/zinc/58/29/65/712582965.db2.gz VMBYNAAMWHWIIT-VIFPVBQESA-N -1 1 324.435 1.132 20 0 DDADMM CCc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)ccc1O ZINC000907479575 712583284 /nfs/dbraw/zinc/58/32/84/712583284.db2.gz FQBQFNJMPCFXKP-NSHDSACASA-N -1 1 319.390 1.398 20 0 DDADMM Cc1csc([C@H](C)C(=O)N2CCSC[C@H]2c2nn[n-]n2)n1 ZINC000907480296 712583640 /nfs/dbraw/zinc/58/36/40/712583640.db2.gz RVQMLXCDWNBBSK-IUCAKERBSA-N -1 1 324.435 1.385 20 0 DDADMM C[C@H](CC(F)(F)F)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481762 712584009 /nfs/dbraw/zinc/58/40/09/712584009.db2.gz YSFXFIUZJLAZIZ-RQJHMYQMSA-N -1 1 309.317 1.405 20 0 DDADMM CCN1CCN(C(=O)[C@]2(C(=O)[O-])C[C@H]2c2ccccc2)[C@@H](C)C1 ZINC000907827340 712632043 /nfs/dbraw/zinc/63/20/43/712632043.db2.gz ZGSILUQHNWKEPW-YEWWUXTCSA-N -1 1 316.401 1.798 20 0 DDADMM C[C@@H]1CN(C(=O)[C@]2(C(=O)[O-])C[C@@H]2c2ccccc2)[C@@H](C)CN1C ZINC000907831343 712632533 /nfs/dbraw/zinc/63/25/33/712632533.db2.gz JFHDXQJJCWHABD-OSDKZWGASA-N -1 1 316.401 1.796 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2C[C@H]3CCC[C@@H]32)c1C(F)(F)F ZINC000907958649 712655237 /nfs/dbraw/zinc/65/52/37/712655237.db2.gz LZBZIQFVUSVYHE-HRDYMLBCSA-N -1 1 323.340 1.906 20 0 DDADMM CCN(C(=O)c1c([O-])cnc2c(F)cccc21)[C@@H]1CCNC1=O ZINC000908005921 712664804 /nfs/dbraw/zinc/66/48/04/712664804.db2.gz KXJCDFGNNJJCMI-LLVKDONJSA-N -1 1 317.320 1.430 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOC[C@@H]1[C@H]1CCCO1 ZINC000908143486 712697309 /nfs/dbraw/zinc/69/73/09/712697309.db2.gz SFHRTNJKWBEAFL-CHWSQXEVSA-N -1 1 319.361 1.080 20 0 DDADMM O=S(=O)([N-]CC[C@@H](O)C1CC1)c1cc(F)c(F)cc1F ZINC000908305197 712734425 /nfs/dbraw/zinc/73/44/25/712734425.db2.gz VVAXZYIFSVLKRJ-LLVKDONJSA-N -1 1 309.309 1.543 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@@H]1C1CC1)c1ccc(Cl)nc1F ZINC000908405724 712762881 /nfs/dbraw/zinc/76/28/81/712762881.db2.gz KUTWZZQVEJPBHF-RKDXNWHRSA-N -1 1 320.773 1.577 20 0 DDADMM CCN(C)S(=O)(=O)[N-]CC(F)(F)C1(O)CCCCC1 ZINC000908495604 712789578 /nfs/dbraw/zinc/78/95/78/712789578.db2.gz CAWXXMBJDQOYGY-UHFFFAOYSA-N -1 1 300.371 1.103 20 0 DDADMM COC(=O)C[C@H](C)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000908574772 712811948 /nfs/dbraw/zinc/81/19/48/712811948.db2.gz PSEWWTKUOJMSPA-ZETCQYMHSA-N -1 1 323.317 1.203 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)NC[C@H](c1ccsc1)N(C)C ZINC000908815875 712860706 /nfs/dbraw/zinc/86/07/06/712860706.db2.gz ZWHSSUCFJXUTES-GFCCVEGCSA-N -1 1 313.423 1.857 20 0 DDADMM C[C@@](NC(=O)[C@@H]1CCc2[nH]cnc2C1)(C(=O)[O-])c1ccccc1 ZINC000908934848 712890888 /nfs/dbraw/zinc/89/08/88/712890888.db2.gz ZMKYNCPEVDXHEF-DIFFPNOSSA-N -1 1 313.357 1.631 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)C[C@H]1CCCCO1 ZINC000918056434 713527306 /nfs/dbraw/zinc/52/73/06/713527306.db2.gz RKKXBXQVRWFGSU-OLZOCXBDSA-N -1 1 321.439 1.407 20 0 DDADMM O=C(CN1CCCC1=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000920031460 713646515 /nfs/dbraw/zinc/64/65/15/713646515.db2.gz CDRATKBIMPJLET-UHFFFAOYSA-N -1 1 317.297 1.164 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@H](C(F)(F)F)O1)c1ccns1 ZINC000920926313 713702979 /nfs/dbraw/zinc/70/29/79/713702979.db2.gz XBOCXTGMWWROCU-NKWVEPMBSA-N -1 1 316.326 1.531 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@@H]2c3ccccc3OC[C@H]2F)nn1 ZINC000921318201 713731900 /nfs/dbraw/zinc/73/19/00/713731900.db2.gz RXADPCQDOWJTMA-BXUZGUMPSA-N -1 1 323.349 1.535 20 0 DDADMM O=S(=O)([N-]CCO[C@H]1CCOC1)c1c(F)cccc1Cl ZINC000921882779 713895915 /nfs/dbraw/zinc/89/59/15/713895915.db2.gz HXIUDZLYYOEMTH-VIFPVBQESA-N -1 1 323.773 1.563 20 0 DDADMM COC[C@@H](C[N-]S(=O)(=O)c1cc(C(=O)OC)co1)C(C)C ZINC000921896170 713900301 /nfs/dbraw/zinc/90/03/01/713900301.db2.gz ADFKNZTZZDQBJC-LLVKDONJSA-N -1 1 319.379 1.263 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)NCC1CC=CC1 ZINC000931058044 713992656 /nfs/dbraw/zinc/99/26/56/713992656.db2.gz AYMGPWHFNRZQEH-UHFFFAOYSA-N -1 1 324.327 1.412 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NCCc1ccccc1C(=O)[O-] ZINC000923123705 714244211 /nfs/dbraw/zinc/24/42/11/714244211.db2.gz DRESZRDADCSISF-NSHDSACASA-N -1 1 301.346 1.645 20 0 DDADMM CN(CC1(CO)CC1)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932048120 714246148 /nfs/dbraw/zinc/24/61/48/714246148.db2.gz HSMPIOYTONSKBE-UHFFFAOYSA-N -1 1 316.361 1.814 20 0 DDADMM C[C@]1(O)CCCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932048210 714246288 /nfs/dbraw/zinc/24/62/88/714246288.db2.gz MMYIGWVNPDCMIW-INIZCTEOSA-N -1 1 316.361 1.957 20 0 DDADMM COCCCONC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932051692 714247150 /nfs/dbraw/zinc/24/71/50/714247150.db2.gz JDPLFJURFQBZRP-UHFFFAOYSA-N -1 1 306.322 1.668 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@H](CO)CCF ZINC000932060634 714249447 /nfs/dbraw/zinc/24/94/47/714249447.db2.gz TXJZQKABNQYLTO-NSHDSACASA-N -1 1 308.313 1.420 20 0 DDADMM O=C(c1ccc2scnc2c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000932281275 714299950 /nfs/dbraw/zinc/29/99/50/714299950.db2.gz FOEWLZHOQBMYGT-JTQLQIEISA-N -1 1 316.346 1.023 20 0 DDADMM Cc1ccc(CC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1Cl ZINC000932282165 714300053 /nfs/dbraw/zinc/30/00/53/714300053.db2.gz HAWGVODJIGUSSP-GFCCVEGCSA-N -1 1 321.768 1.304 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H](OCC1CCCC1)c1ccccc1 ZINC000932533951 714356981 /nfs/dbraw/zinc/35/69/81/714356981.db2.gz ZLXKMGQYIPWRFA-OAHLLOKOSA-N -1 1 315.377 1.764 20 0 DDADMM CO[C@@H](C(=O)NCc1nn[n-]n1)c1ccccc1C(F)(F)F ZINC000932533093 714357015 /nfs/dbraw/zinc/35/70/15/714357015.db2.gz LTVPUTIMRPVLAX-SNVBAGLBSA-N -1 1 315.255 1.222 20 0 DDADMM Cc1cnc(C(=O)OCCC[N-]C(=O)C(F)(F)F)cc1C ZINC000923785028 714469989 /nfs/dbraw/zinc/46/99/89/714469989.db2.gz PMSVJGXPAKXKET-UHFFFAOYSA-N -1 1 304.268 1.924 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H]1CC2(CCC2)CO1 ZINC000924117575 714530604 /nfs/dbraw/zinc/53/06/04/714530604.db2.gz YNFYTZZGADMUFW-SNVBAGLBSA-N -1 1 323.418 1.902 20 0 DDADMM COC[C@H]1COCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000933334469 714565209 /nfs/dbraw/zinc/56/52/09/714565209.db2.gz RESKXBMBNOIHJD-LBPRGKRZSA-N -1 1 305.330 1.346 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N[C@H]3C[C@@H](O)C3)c2)o1 ZINC000934944110 714935482 /nfs/dbraw/zinc/93/54/82/714935482.db2.gz NXTSKTZQKGSJER-PHIMTYICSA-N -1 1 301.298 1.718 20 0 DDADMM CC(C)S(=O)(=O)C=C([O-])N=[S@@](C)(=O)c1ccccc1 ZINC000925962685 714974955 /nfs/dbraw/zinc/97/49/55/714974955.db2.gz QFFBFUVGTNWXMO-SFHVURJKSA-N -1 1 303.405 1.493 20 0 DDADMM CCS(=O)(=O)C=C([O-])N=[S@@](C)(=O)c1ccc(OC)cc1 ZINC000925988977 714983016 /nfs/dbraw/zinc/98/30/16/714983016.db2.gz NECNODHWAKNBBK-IBGZPJMESA-N -1 1 319.404 1.113 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000937065739 715272647 /nfs/dbraw/zinc/27/26/47/715272647.db2.gz CEHTZMFXLWMABQ-QNCMIEPLSA-N -1 1 303.362 1.426 20 0 DDADMM CC[C@@H](F)C(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937451340 715374943 /nfs/dbraw/zinc/37/49/43/715374943.db2.gz FPKQCQXFCVHVDY-NWDGAFQWSA-N -1 1 323.368 1.646 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)c2ccco2)C1 ZINC000956849595 715636476 /nfs/dbraw/zinc/63/64/76/715636476.db2.gz VCFBUZDYKPSSJD-MRXNPFEDSA-N -1 1 315.329 1.415 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(F)F ZINC000955457339 715788707 /nfs/dbraw/zinc/78/87/07/715788707.db2.gz FRTOBTMNFGDMAI-DTWKUNHWSA-N -1 1 313.304 1.162 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)C1CCCC1)c1ncccc1[O-] ZINC000955846357 715970959 /nfs/dbraw/zinc/97/09/59/715970959.db2.gz ATNOLROZFFYAGX-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM CC[C@H](C)C(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000961050136 716778337 /nfs/dbraw/zinc/77/83/37/716778337.db2.gz ILXBHCAJJJOQCT-RWMBFGLXSA-N -1 1 317.389 1.364 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2CC2)C1 ZINC000959002331 716813943 /nfs/dbraw/zinc/81/39/43/716813943.db2.gz WIRFONPSKBEZFF-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2(C)CC2)C1 ZINC000959181628 716894245 /nfs/dbraw/zinc/89/42/45/716894245.db2.gz GGPNOEHFEDDKJE-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940780347 716956310 /nfs/dbraw/zinc/95/63/10/716956310.db2.gz KPSJZQLYNYCAID-LBPRGKRZSA-N -1 1 317.389 1.508 20 0 DDADMM O=C(C=C1CCC1)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943949451 718230318 /nfs/dbraw/zinc/23/03/18/718230318.db2.gz HQXSLPSLJDVRQB-CYBMUJFWSA-N -1 1 315.373 1.618 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000966306438 718506826 /nfs/dbraw/zinc/50/68/26/718506826.db2.gz KIEISWARFOMBJW-WJQOWHFOSA-N -1 1 303.362 1.330 20 0 DDADMM C[C@H]1CCCN(C(=O)C2CC2)[C@H]1CNC(=O)c1ncccc1[O-] ZINC000945698759 718536823 /nfs/dbraw/zinc/53/68/23/718536823.db2.gz SIQJCUZPADWMCI-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000945933569 718618067 /nfs/dbraw/zinc/61/80/67/718618067.db2.gz XCKXTYRBSURIEN-RYUDHWBXSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)C)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966815346 718672020 /nfs/dbraw/zinc/67/20/20/718672020.db2.gz YYVOZJLGJOKRAI-MNOVXSKESA-N -1 1 305.378 1.410 20 0 DDADMM C/C=C(/C)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000946203176 718710249 /nfs/dbraw/zinc/71/02/49/718710249.db2.gz IQBVSBVNYDVZSJ-JYOAFUTRSA-N -1 1 303.362 1.474 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)F)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000947085491 719018739 /nfs/dbraw/zinc/01/87/39/719018739.db2.gz UCNIFKOQCYOHIK-NXEZZACHSA-N -1 1 309.341 1.112 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1Cc1ccon1 ZINC000947230335 719079746 /nfs/dbraw/zinc/07/97/46/719079746.db2.gz FIPMVTWFBFIOLS-RYUDHWBXSA-N -1 1 316.361 1.558 20 0 DDADMM Cc1nc([C@H](C)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)no1 ZINC000968481705 719611126 /nfs/dbraw/zinc/61/11/26/719611126.db2.gz NYPUPQNKBXPVDL-GXSJLCMTSA-N -1 1 317.349 1.044 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949535698 720145424 /nfs/dbraw/zinc/14/54/24/720145424.db2.gz YLVIVEXCROAILW-SNVBAGLBSA-N -1 1 307.325 1.381 20 0 DDADMM Cc1coc(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])c1 ZINC000951659833 721121467 /nfs/dbraw/zinc/12/14/67/721121467.db2.gz CUBGZZXTACZLLR-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM CCC(C)(C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000951840375 721208947 /nfs/dbraw/zinc/20/89/47/721208947.db2.gz YHZPDTCFPXSZLD-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)C(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000953271435 721583518 /nfs/dbraw/zinc/58/35/18/721583518.db2.gz ADBJVKDVVAKOPA-CHWSQXEVSA-N -1 1 317.389 1.506 20 0 DDADMM CCC(=O)N1CCCC[C@H]1[C@H](C)NC(=O)c1ncccc1[O-] ZINC000953498156 721615701 /nfs/dbraw/zinc/61/57/01/721615701.db2.gz KILJJTQQDSXKNR-RYUDHWBXSA-N -1 1 305.378 1.697 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)C(C)(C)F)CC1 ZINC000953888834 721674755 /nfs/dbraw/zinc/67/47/55/721674755.db2.gz ZGHJBCWODPDNBR-UHFFFAOYSA-N -1 1 323.368 1.598 20 0 DDADMM Cc1cc[nH]c1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954179472 721739965 /nfs/dbraw/zinc/73/99/65/721739965.db2.gz ZPDZHWFIXHHFGD-UHFFFAOYSA-N -1 1 314.345 1.020 20 0 DDADMM CCCC(=O)N1C[C@H](CNC(=O)c2ncccc2[O-])C[C@H]1C ZINC001009936643 738619795 /nfs/dbraw/zinc/61/97/95/738619795.db2.gz LUPIPRAOWNLXOP-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM CCCC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001009936645 738619810 /nfs/dbraw/zinc/61/98/10/738619810.db2.gz LUPIPRAOWNLXOP-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1noc([C@@H](C)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001038184592 732925384 /nfs/dbraw/zinc/92/53/84/732925384.db2.gz SXRWNIBMUHYRMO-MWLCHTKSSA-N -1 1 317.349 1.044 20 0 DDADMM C[C@@H](c1csnn1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038194094 733199685 /nfs/dbraw/zinc/19/96/85/733199685.db2.gz MZKZLJSPPCJBLC-UWVGGRQHSA-N -1 1 319.390 1.204 20 0 DDADMM Cc1ncsc1CN1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038195651 733217158 /nfs/dbraw/zinc/21/71/58/733217158.db2.gz WYDVMUGWIBOFSQ-LLVKDONJSA-N -1 1 318.402 1.556 20 0 DDADMM CC1(CC(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203210766 738791710 /nfs/dbraw/zinc/79/17/10/738791710.db2.gz IATLQDSWWLISAW-GHMZBOCLSA-N -1 1 319.409 1.218 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001011035933 734378611 /nfs/dbraw/zinc/37/86/11/734378611.db2.gz IZHUNCOCDGIRJZ-QWHCGFSZSA-N -1 1 317.389 1.508 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CCCN(CCF)[C@@H]3C2(C)C)c1[O-] ZINC001087282051 735928123 /nfs/dbraw/zinc/92/81/23/735928123.db2.gz QSUNYYLXDCHUKS-HONMWMINSA-N -1 1 324.400 1.612 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)NC(=O)C(C)(C)C)n1 ZINC000585681556 738918803 /nfs/dbraw/zinc/91/88/03/738918803.db2.gz AYJLEYFFHBIGLF-QMMMGPOBSA-N -1 1 310.354 1.076 20 0 DDADMM C[C@@H](CNc1cnc(F)cn1)N(C)C(=O)c1ncccc1[O-] ZINC001104536882 736203973 /nfs/dbraw/zinc/20/39/73/736203973.db2.gz WSKMJMALBANSNQ-VIFPVBQESA-N -1 1 305.313 1.289 20 0 DDADMM CC/C=C\CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](OC)C1 ZINC001212122317 742423396 /nfs/dbraw/zinc/42/33/96/742423396.db2.gz HZDNFFRGNCSWGT-NTQVKLLNSA-N -1 1 322.409 1.209 20 0 DDADMM CS[C@@H](C)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075711474 740074552 /nfs/dbraw/zinc/07/45/52/740074552.db2.gz KKLJUQBJJSZUIP-UWVGGRQHSA-N -1 1 311.407 1.163 20 0 DDADMM O=C(c1ccc[nH]1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088261662 741270630 /nfs/dbraw/zinc/27/06/30/741270630.db2.gz JFZNDPGZMAPPSW-NSHDSACASA-N -1 1 318.381 1.013 20 0 DDADMM CC[C@H](C)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088266759 741288475 /nfs/dbraw/zinc/28/84/75/741288475.db2.gz ILQXTGQCDZKZIK-RYUDHWBXSA-N -1 1 309.414 1.417 20 0 DDADMM O=C(Cc1cc2ccccc2o1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001181104601 743059051 /nfs/dbraw/zinc/05/90/51/743059051.db2.gz KCJNAPWLGWNQQL-UHFFFAOYSA-N -1 1 309.285 1.986 20 0 DDADMM O=C(NC1CCN(Cc2cnns2)CC1)c1ncccc1[O-] ZINC001002665719 743324582 /nfs/dbraw/zinc/32/45/82/743324582.db2.gz UJJSLNHWWOGITA-UHFFFAOYSA-N -1 1 319.390 1.033 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](CO)c2ccccc2)n1 ZINC001181924972 743394870 /nfs/dbraw/zinc/39/48/70/743394870.db2.gz SKUCELYLBIUPOI-LLVKDONJSA-N -1 1 303.318 1.301 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001011644296 743567473 /nfs/dbraw/zinc/56/74/73/743567473.db2.gz JYKNZIASZIENPF-QWRGUYRKSA-N -1 1 321.352 1.770 20 0 DDADMM CC(=CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1C ZINC001182509700 743651001 /nfs/dbraw/zinc/65/10/01/743651001.db2.gz KEIWDBHYOGDHCG-CSKARUKUSA-N -1 1 320.374 1.559 20 0 DDADMM CC(=CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1C ZINC001182509700 743651004 /nfs/dbraw/zinc/65/10/04/743651004.db2.gz KEIWDBHYOGDHCG-CSKARUKUSA-N -1 1 320.374 1.559 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C=C(C)c2ccco2)n[n-]1 ZINC001183192592 743793837 /nfs/dbraw/zinc/79/38/37/743793837.db2.gz BHADREUBEBMDRU-CLFYSBASSA-N -1 1 304.306 1.294 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C=C(C)c2ccco2)n1 ZINC001183192592 743793841 /nfs/dbraw/zinc/79/38/41/743793841.db2.gz BHADREUBEBMDRU-CLFYSBASSA-N -1 1 304.306 1.294 20 0 DDADMM O=C(Nc1ccc(-c2nc(=O)o[n-]2)cc1)c1cncc2nc[nH]c21 ZINC001183209638 743804581 /nfs/dbraw/zinc/80/45/81/743804581.db2.gz GDGITLIWOWSEEJ-UHFFFAOYSA-N -1 1 322.284 1.554 20 0 DDADMM Cc1nnc([C@H](C)N2CC(NC(=O)c3ncccc3[O-])C2)s1 ZINC001030241325 743976849 /nfs/dbraw/zinc/97/68/49/743976849.db2.gz DXTYKQYXOHRETK-QMMMGPOBSA-N -1 1 319.390 1.122 20 0 DDADMM Cn1ncc(Cl)c1[N-]S(=O)(=O)c1cccnc1Cl ZINC001184835903 744111066 /nfs/dbraw/zinc/11/10/66/744111066.db2.gz IDGBRCORHOLOSL-UHFFFAOYSA-N -1 1 307.162 1.923 20 0 DDADMM O=S(=O)(Cc1cc(F)cc(F)c1)[N-]c1cnn(CCO)c1 ZINC001185096705 744153399 /nfs/dbraw/zinc/15/33/99/744153399.db2.gz MLARDWOQSNSHBX-UHFFFAOYSA-N -1 1 317.317 1.096 20 0 DDADMM C[C@H]1CN(C2CN(C(=O)c3ccc([O-])c(F)c3)C2)C[C@H](C)O1 ZINC001186220183 744366953 /nfs/dbraw/zinc/36/69/53/744366953.db2.gz ZOHNTUNNCBGKBU-QWRGUYRKSA-N -1 1 308.353 1.465 20 0 DDADMM NC(=O)c1ccc(CC(=O)Nc2ccc([O-])c(Cl)c2)cn1 ZINC001151582364 744446623 /nfs/dbraw/zinc/44/66/23/744446623.db2.gz HJXINAXUSACRBP-UHFFFAOYSA-N -1 1 305.721 1.721 20 0 DDADMM CCOC(=O)CCNC(=O)Nc1cc2ccc([O-])cc2oc1=O ZINC001187181654 744512548 /nfs/dbraw/zinc/51/25/48/744512548.db2.gz CUHVJXVFACHVBT-UHFFFAOYSA-N -1 1 320.301 1.573 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2nccs2)n(-c2ccncc2)n1 ZINC001187860821 744621842 /nfs/dbraw/zinc/62/18/42/744621842.db2.gz KLRVBRKUGXYFEF-UHFFFAOYSA-N -1 1 321.387 1.833 20 0 DDADMM O=S(=O)([N-]CC1CN(Cc2ccccc2)C1)c1nccs1 ZINC001187908944 744627956 /nfs/dbraw/zinc/62/79/56/744627956.db2.gz PMRSMQPMDWGTIP-UHFFFAOYSA-N -1 1 323.443 1.553 20 0 DDADMM O=S(=O)([N-]C1(Cc2ccc(O)cc2)CC1)c1nccs1 ZINC001187923829 744635808 /nfs/dbraw/zinc/63/58/08/744635808.db2.gz XLKXRGHXWZEWIW-UHFFFAOYSA-N -1 1 310.400 1.902 20 0 DDADMM O=C([N-]C1CCN(S(=O)(=O)C2CCC2)CC1)C(F)(F)F ZINC001188123320 744663040 /nfs/dbraw/zinc/66/30/40/744663040.db2.gz PXLJIJRHSIJJIK-UHFFFAOYSA-N -1 1 314.329 1.012 20 0 DDADMM O=S(=O)([N-]CCOc1ccc(Cl)cn1)c1ccns1 ZINC001188157046 744672610 /nfs/dbraw/zinc/67/26/10/744672610.db2.gz QHYNDDVABVAPGG-UHFFFAOYSA-N -1 1 319.795 1.549 20 0 DDADMM CSc1ncc(Cl)c(CNC(=O)c2ccncc2[O-])n1 ZINC001188637846 744744182 /nfs/dbraw/zinc/74/41/82/744744182.db2.gz HROXPMMLCWUUSA-UHFFFAOYSA-N -1 1 310.766 1.883 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1ccc2nccn2n1 ZINC001151713761 744758685 /nfs/dbraw/zinc/75/86/85/744758685.db2.gz GXEISSHZHVXWNU-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM O=S(=O)(CC1CC1)[N-]c1cnc2c(C(F)(F)F)cnn2c1 ZINC001188938753 744795713 /nfs/dbraw/zinc/79/57/13/744795713.db2.gz UBTXPEUTYJTXOU-UHFFFAOYSA-N -1 1 320.296 1.900 20 0 DDADMM COc1cc(C(=O)Nc2ccc3[nH][n-]c(=O)c3c2)c(OC)nn1 ZINC001189073171 744822343 /nfs/dbraw/zinc/82/23/43/744822343.db2.gz HRHOYWMKOQGISI-UHFFFAOYSA-N -1 1 315.289 1.328 20 0 DDADMM Cc1ccc(N[C@H](C)C[C@@H](C)NC(=O)c2ncccc2[O-])nn1 ZINC001089271157 744841480 /nfs/dbraw/zinc/84/14/80/744841480.db2.gz HZJJKPSQZRFPQO-VXGBXAGGSA-N -1 1 315.377 1.895 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2c3c(nn2C)CCC3)c(OC)c1 ZINC001190110184 745117460 /nfs/dbraw/zinc/11/74/60/745117460.db2.gz KPQDTOUMSCREHR-UHFFFAOYSA-N -1 1 317.345 1.884 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2cnn3c2OCCC3)c(OC)c1 ZINC001190120278 745122079 /nfs/dbraw/zinc/12/20/79/745122079.db2.gz DVKKLMXLNKCERM-UHFFFAOYSA-N -1 1 319.317 1.641 20 0 DDADMM COc1ccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c(OC)n1 ZINC001190232256 745146671 /nfs/dbraw/zinc/14/66/71/745146671.db2.gz JVDZEXQZYNSJEH-UHFFFAOYSA-N -1 1 317.227 1.488 20 0 DDADMM O=C(c1cnc(-c2ccccc2)[n-]c1=O)N1CC[C@@H](O)[C@H](F)C1 ZINC001190260731 745165612 /nfs/dbraw/zinc/16/56/12/745165612.db2.gz LYSBYAPZDZYGKJ-CHWSQXEVSA-N -1 1 317.320 1.394 20 0 DDADMM Cc1ccnc(CNC(=O)c2cnc(-c3ccccn3)[n-]c2=O)n1 ZINC001190618376 745260759 /nfs/dbraw/zinc/26/07/59/745260759.db2.gz RTSBYIYOWVKFSA-UHFFFAOYSA-N -1 1 322.328 1.273 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2cnc(OC)c(N)c2)c1 ZINC001203502850 745509889 /nfs/dbraw/zinc/50/98/89/745509889.db2.gz BPCINYCMLDIYOO-UHFFFAOYSA-N -1 1 323.374 1.915 20 0 DDADMM CSc1ncc(C(=O)Nc2cn[nH]c(=O)c2Cl)c(=O)[n-]1 ZINC001191429813 745510278 /nfs/dbraw/zinc/51/02/78/745510278.db2.gz UEABBOGYDFITBJ-UHFFFAOYSA-N -1 1 313.726 1.305 20 0 DDADMM C[C@H](CCNc1ncncc1Cl)NC(=O)c1ncccc1[O-] ZINC001106296157 745562791 /nfs/dbraw/zinc/56/27/91/745562791.db2.gz IWMVUQKZNLYVQX-SECBINFHSA-N -1 1 321.768 1.851 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)c1cnn(C)c1 ZINC001192042509 745680354 /nfs/dbraw/zinc/68/03/54/745680354.db2.gz FQPMVGDSCOIELB-RXMQYKEDSA-N -1 1 306.602 1.728 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2cnc(Cl)c(C)c2)o1 ZINC001192594540 745836151 /nfs/dbraw/zinc/83/61/51/745836151.db2.gz QOYJPHCOUDSQFO-UHFFFAOYSA-N -1 1 302.743 1.213 20 0 DDADMM O=C(NCc1ccc2[nH]nnc2c1)c1ccc(F)c(F)c1[O-] ZINC001192811688 745901378 /nfs/dbraw/zinc/90/13/78/745901378.db2.gz SKLVAHIBEGTISA-UHFFFAOYSA-N -1 1 304.256 1.872 20 0 DDADMM O=C(NCc1ccc2nn[nH]c2c1)c1ccc(F)c(F)c1[O-] ZINC001192811688 745901381 /nfs/dbraw/zinc/90/13/81/745901381.db2.gz SKLVAHIBEGTISA-UHFFFAOYSA-N -1 1 304.256 1.872 20 0 DDADMM Cc1ccc2c(c1[N-]S(=O)(=O)C[C@H]1CCCO1)CNC2=O ZINC001193252355 746031146 /nfs/dbraw/zinc/03/11/46/746031146.db2.gz KWRCNUCETVADDQ-SNVBAGLBSA-N -1 1 310.375 1.159 20 0 DDADMM COc1c(F)ccc([N-]S(=O)(=O)C[C@H]2CCCO2)c1F ZINC001193264334 746036679 /nfs/dbraw/zinc/03/66/79/746036679.db2.gz QCTUSYVHSKHMAX-MRVPVSSYSA-N -1 1 307.318 1.894 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2ccc(C(F)(F)F)cn2)o1 ZINC001194330701 746357674 /nfs/dbraw/zinc/35/76/74/746357674.db2.gz UAYZGFLMGKCGJI-UHFFFAOYSA-N -1 1 322.268 1.270 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cnn(C)c1C(F)F ZINC001195263063 746559020 /nfs/dbraw/zinc/55/90/20/746559020.db2.gz NTODRMKJNIOKIN-UHFFFAOYSA-N -1 1 314.248 1.693 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2C[C@@H]3C[C@H]2[C@H](C(F)(F)F)O3)n1 ZINC001195322505 746564847 /nfs/dbraw/zinc/56/48/47/746564847.db2.gz BZUBOUCPQJVKHN-DKCNOQQISA-N -1 1 302.252 1.640 20 0 DDADMM CCOC(=O)[C@H]1CCCCN1C(=O)c1cc([O-])cnc1Cl ZINC001195305286 746572018 /nfs/dbraw/zinc/57/20/18/746572018.db2.gz HTEILMDISFHDKJ-LLVKDONJSA-N -1 1 312.753 1.998 20 0 DDADMM O=C([N-]c1nnco1)c1cn(Cc2c(F)cccc2F)nn1 ZINC001195371991 746587033 /nfs/dbraw/zinc/58/70/33/746587033.db2.gz HKKIIFIELPNSDS-UHFFFAOYSA-N -1 1 306.232 1.240 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cccc2c1CCN(C)C2=O ZINC001195492968 746625627 /nfs/dbraw/zinc/62/56/27/746625627.db2.gz SZGKZJRWIQAKGJ-UHFFFAOYSA-N -1 1 307.375 1.758 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cccnc1OC(F)F ZINC001195738003 746695238 /nfs/dbraw/zinc/69/52/38/746695238.db2.gz FRMDIXAHWUNTDA-UHFFFAOYSA-N -1 1 324.220 1.371 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)c2ccc(O)cc2)cc1F ZINC001195810744 746713262 /nfs/dbraw/zinc/71/32/62/746713262.db2.gz LNHNCUQXRYVOEH-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM CCc1cc2ncc(NC(=O)c3c[nH]c(=S)[n-]c3=O)cn2n1 ZINC001196014187 746756882 /nfs/dbraw/zinc/75/68/82/746756882.db2.gz OBGXBCGETVAKAS-UHFFFAOYSA-N -1 1 316.346 1.328 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCOC[C@@H]1c1cccnc1 ZINC001196016557 746757315 /nfs/dbraw/zinc/75/73/15/746757315.db2.gz UPDKEYKIRGOXRL-LLVKDONJSA-N -1 1 318.358 1.080 20 0 DDADMM COC(=O)/C=C/[C@@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC001196100797 746777828 /nfs/dbraw/zinc/77/78/28/746777828.db2.gz QYGOTCGFFXIKTB-XUTFHDRNSA-N -1 1 322.745 1.270 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cc(C2CC2)n(C)n1 ZINC001123791888 746858324 /nfs/dbraw/zinc/85/83/24/746858324.db2.gz ZCGBOAUTNHYKOQ-UHFFFAOYSA-N -1 1 305.363 1.643 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1Cc2ccccc21 ZINC000998680625 752127645 /nfs/dbraw/zinc/12/76/45/752127645.db2.gz HEURNHLXFMHDLH-AWEZNQCLSA-N -1 1 323.352 1.068 20 0 DDADMM COc1ccnc(F)c1C(=O)[N-]c1n[nH]c(-c2ccncc2)n1 ZINC001197135729 747062407 /nfs/dbraw/zinc/06/24/07/747062407.db2.gz IYUTUPWCXXVBCO-UHFFFAOYSA-N -1 1 314.280 1.662 20 0 DDADMM O=C1Nc2cc([N-]S(=O)(=O)Cc3cccnc3)ccc2C1=O ZINC001197465695 747167381 /nfs/dbraw/zinc/16/73/81/747167381.db2.gz VVFYWDQWEFVGAJ-UHFFFAOYSA-N -1 1 317.326 1.158 20 0 DDADMM C=CS(=O)(=O)[N-]c1cc(OC)c(OCC)cc1C(=O)OC ZINC001198620700 747567021 /nfs/dbraw/zinc/56/70/21/747567021.db2.gz LSKUDYSQQOSPNN-UHFFFAOYSA-N -1 1 315.347 1.766 20 0 DDADMM COc1cncc(NS(=O)(=O)c2ccccc2C(=O)[O-])c1 ZINC001198740508 747585960 /nfs/dbraw/zinc/58/59/60/747585960.db2.gz IGXBWBYSKTUXTP-UHFFFAOYSA-N -1 1 308.315 1.589 20 0 DDADMM COc1cc(NS(=O)(=O)c2ccccc2C(=O)[O-])ncc1C ZINC001198750694 747598623 /nfs/dbraw/zinc/59/86/23/747598623.db2.gz SQIWOJUFWGQTCZ-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=S)Nc1cc(F)c([O-])cc1F ZINC001199839682 748015296 /nfs/dbraw/zinc/01/52/96/748015296.db2.gz GAKAKWAPFNJRST-ZCFIWIBFSA-N -1 1 304.318 1.908 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(Cl)c(Cl)cc1F)C(N)=O ZINC001201244275 748442017 /nfs/dbraw/zinc/44/20/17/748442017.db2.gz LEFMHSMRRIZYIQ-BYPYZUCNSA-N -1 1 315.153 1.285 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H](C)c1cnccn1 ZINC001201763746 748597082 /nfs/dbraw/zinc/59/70/82/748597082.db2.gz FORFCCWXWIZLCV-ZCFIWIBFSA-N -1 1 318.811 1.934 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H](C)c1cncnc1 ZINC001201767146 748599145 /nfs/dbraw/zinc/59/91/45/748599145.db2.gz OZPUDAIXYLRQAW-LURJTMIESA-N -1 1 318.811 1.934 20 0 DDADMM CC(C)=CC(=O)N1CCCC[C@H]1[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004681965 748645847 /nfs/dbraw/zinc/64/58/47/748645847.db2.gz LYGDKCXCTZYDTM-RYUDHWBXSA-N -1 1 307.398 1.336 20 0 DDADMM COc1ccc(NC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001202400054 748779984 /nfs/dbraw/zinc/77/99/84/748779984.db2.gz QLSJVACXTTXNOO-UHFFFAOYSA-N -1 1 300.278 1.711 20 0 DDADMM C[C@H](C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C1CCC1 ZINC000995965237 749304463 /nfs/dbraw/zinc/30/44/63/749304463.db2.gz IIWIFTCKDBMWER-CMPLNLGQSA-N -1 1 321.425 1.273 20 0 DDADMM CC/C=C(/C)C(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202582492 749443727 /nfs/dbraw/zinc/44/37/27/749443727.db2.gz QJHSXOZYKFTJLZ-JUYDSFNJSA-N -1 1 319.409 1.384 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccccc1NC(=O)c1ccccc1 ZINC001149050724 749460245 /nfs/dbraw/zinc/46/02/45/749460245.db2.gz ZVAVQOMEFYNQCR-UHFFFAOYSA-N -1 1 322.328 1.382 20 0 DDADMM Cc1c(Br)cncc1-c1noc(-c2cn[n-]n2)n1 ZINC001212800045 749524350 /nfs/dbraw/zinc/52/43/50/749524350.db2.gz LRBAOIVHKRLLSH-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM Cc1cc(-c2noc(-c3cn[n-]n3)n2)ncc1Br ZINC001212800220 749526063 /nfs/dbraw/zinc/52/60/63/749526063.db2.gz WTIMDDXJYUQOIL-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM CC[C@H](F)CN1CC=C(CNC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001000587549 761968090 /nfs/dbraw/zinc/96/80/90/761968090.db2.gz JVOPBNXRJZSEPZ-LBPRGKRZSA-N -1 1 310.373 1.534 20 0 DDADMM C[C@H](CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C1CC1 ZINC000996684839 749906390 /nfs/dbraw/zinc/90/63/90/749906390.db2.gz ZVWDKOXQLGUNGI-PWSUYJOCSA-N -1 1 321.425 1.273 20 0 DDADMM Cc1nccc(N(C)CCCNC(=O)c2ncccc2[O-])n1 ZINC001095540341 750024706 /nfs/dbraw/zinc/02/47/06/750024706.db2.gz NJHNKWVIWIBKLS-UHFFFAOYSA-N -1 1 301.350 1.142 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])c1cncc(Cl)n1 ZINC001095540196 750024985 /nfs/dbraw/zinc/02/49/85/750024985.db2.gz FDUPZYCZQQUJJS-UHFFFAOYSA-N -1 1 321.768 1.487 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])c1cccc(F)n1 ZINC001095862756 750601161 /nfs/dbraw/zinc/60/11/61/750601161.db2.gz CBUYSAXVIOGXRT-UHFFFAOYSA-N -1 1 318.352 1.968 20 0 DDADMM Cc1cc(CN2CCC([N-]C(=O)C(F)(F)F)CC2)nn1C ZINC001138830996 750924240 /nfs/dbraw/zinc/92/42/40/750924240.db2.gz OYVPYJPRYYVHCV-UHFFFAOYSA-N -1 1 304.316 1.371 20 0 DDADMM COCOc1cccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)c1 ZINC001212900309 750935953 /nfs/dbraw/zinc/93/59/53/750935953.db2.gz XQXLWWCRBQPVHD-UHFFFAOYSA-N -1 1 324.358 1.758 20 0 DDADMM CC1(C)CN(C(=O)CC2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001008069120 752531495 /nfs/dbraw/zinc/53/14/95/752531495.db2.gz JVCNGLDGKVQZHJ-ZDUSSCGKSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062434467 752987590 /nfs/dbraw/zinc/98/75/90/752987590.db2.gz ARQCRGQDNXZYEY-MNOVXSKESA-N -1 1 303.362 1.212 20 0 DDADMM O=C(CC1CCC1)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078587376 754211392 /nfs/dbraw/zinc/21/13/92/754211392.db2.gz YDZBZZVJZLAEEQ-VXGBXAGGSA-N -1 1 319.409 1.218 20 0 DDADMM O=C(/C=C\C1CC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010881222 754226482 /nfs/dbraw/zinc/22/64/82/754226482.db2.gz IAXJOGYDOIMEBA-WAYWQWQTSA-N -1 1 301.346 1.038 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1ccnc(F)c1 ZINC001169223949 762287612 /nfs/dbraw/zinc/28/76/12/762287612.db2.gz CODXYBLAHWZIPF-UHFFFAOYSA-N -1 1 308.338 1.677 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@H]2CC[C@H](F)C2)CC1 ZINC001011035282 754318228 /nfs/dbraw/zinc/31/82/28/754318228.db2.gz BPKDBZQWYKSUKE-RYUDHWBXSA-N -1 1 321.352 1.210 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]1CNc1cnc(F)cn1 ZINC001064897575 754938181 /nfs/dbraw/zinc/93/81/81/754938181.db2.gz QBJCZTRUCHWBNI-JTQLQIEISA-N -1 1 317.324 1.433 20 0 DDADMM CC(C)=C(F)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001012474397 755095875 /nfs/dbraw/zinc/09/58/75/755095875.db2.gz JWUPMWGJUYPNMF-QWRGUYRKSA-N -1 1 321.352 1.770 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012513576 755117419 /nfs/dbraw/zinc/11/74/19/755117419.db2.gz VLTPRQXQGFRBMS-PWSUYJOCSA-N -1 1 303.362 1.307 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(Cc3cccnc3)C[C@H]2C)c1[O-] ZINC001079577847 755451977 /nfs/dbraw/zinc/45/19/77/755451977.db2.gz RRMPUWDYEDSHRS-ZWNOBZJWSA-N -1 1 315.377 1.069 20 0 DDADMM O=C(N[C@@H]1CC[C@@H](CNC(=O)C2CC2)C1)c1ncccc1[O-] ZINC001015411961 756287759 /nfs/dbraw/zinc/28/77/59/756287759.db2.gz UPAWAKGSQFVAOI-ZYHUDNBSSA-N -1 1 303.362 1.212 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)C2CCC2)C1 ZINC001016430338 757038227 /nfs/dbraw/zinc/03/82/27/757038227.db2.gz ISRMCWCZPAFKEQ-UHFFFAOYSA-N -1 1 303.362 1.307 20 0 DDADMM CC[C@H](F)CN1CC[C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C[C@@H]21 ZINC001084922190 758066854 /nfs/dbraw/zinc/06/68/54/758066854.db2.gz PQXIZZPSEQBMEN-AGIUHOORSA-N -1 1 324.400 1.708 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc2c(c1)CCO2)c1nn[n-]n1 ZINC000824911470 759212653 /nfs/dbraw/zinc/21/26/53/759212653.db2.gz CQATXIHFVQAWBR-NSHDSACASA-N -1 1 319.390 1.359 20 0 DDADMM O=C(NC[C@@H](O)Cc1ccccc1)c1cnc(C2CC2)[n-]c1=O ZINC000828594372 759683921 /nfs/dbraw/zinc/68/39/21/759683921.db2.gz QYLASBUGZMXVKY-ZDUSSCGKSA-N -1 1 313.357 1.393 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)c1cc[nH]c1 ZINC001067254600 761056056 /nfs/dbraw/zinc/05/60/56/761056056.db2.gz FJEGLQRGBJIICM-UHFFFAOYSA-N -1 1 316.361 1.350 20 0 DDADMM O=C(N[C@H]1CC[C@H](CNc2ncccn2)C1)c1ncccc1[O-] ZINC001069461144 768028528 /nfs/dbraw/zinc/02/85/28/768028528.db2.gz JMELUGYKJZVPTE-RYUDHWBXSA-N -1 1 313.361 1.588 20 0 DDADMM CC(C)C(=O)N1CCCC[C@@H]1[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039190358 761816008 /nfs/dbraw/zinc/81/60/08/761816008.db2.gz LLANTHCNIXSZJN-QWHCGFSZSA-N -1 1 321.425 1.369 20 0 DDADMM Cc1occc1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001535640 762943025 /nfs/dbraw/zinc/94/30/25/762943025.db2.gz AMAWQDGLYHKWMH-UHFFFAOYSA-N -1 1 315.329 1.191 20 0 DDADMM CN(CCCNc1cnc(F)cn1)C(=O)c1ncccc1[O-] ZINC001109437000 763707191 /nfs/dbraw/zinc/70/71/91/763707191.db2.gz UEYNTDFDPMWFKL-UHFFFAOYSA-N -1 1 305.313 1.291 20 0 DDADMM C[C@H](NC(=O)C(C)(C)F)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530369 763714998 /nfs/dbraw/zinc/71/49/98/763714998.db2.gz MNFUADMKEDFONK-QWRGUYRKSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@H](NC(=O)CC1CC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530274 763715727 /nfs/dbraw/zinc/71/57/27/763715727.db2.gz MDZAPISITALPKE-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM Cc1nccc(NC[C@@H](NC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001109821875 764104819 /nfs/dbraw/zinc/10/48/19/764104819.db2.gz SKNHLRACDIHIGO-GFCCVEGCSA-N -1 1 313.361 1.506 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1Nc1nccnc1F ZINC001043148523 764713373 /nfs/dbraw/zinc/71/33/73/764713373.db2.gz XTBQHKKGZDBGJF-NXEZZACHSA-N -1 1 317.324 1.289 20 0 DDADMM O=C(NC[C@H]1C[C@@H](Nc2nccnc2F)C1)c1ncccc1[O-] ZINC001046333432 767431359 /nfs/dbraw/zinc/43/13/59/767431359.db2.gz GEZWIOPKNRKQQI-AOOOYVTPSA-N -1 1 317.324 1.337 20 0 DDADMM C[C@H]1[C@H](Nc2cc(F)ncn2)CCN1C(=O)c1ncccc1[O-] ZINC001068823404 767641147 /nfs/dbraw/zinc/64/11/47/767641147.db2.gz UFVPGEQPZMETRB-VHSXEESVSA-N -1 1 317.324 1.431 20 0 DDADMM CC(C)c1nsc([N-]C(=O)c2cn(-c3cccnc3)nn2)n1 ZINC001133192532 769577119 /nfs/dbraw/zinc/57/71/19/769577119.db2.gz JJBPUBGXGXSPDQ-UHFFFAOYSA-N -1 1 315.362 1.890 20 0 DDADMM O=C(NCCNc1cnccn1)c1ncc2ccccc2c1[O-] ZINC001136423882 771961352 /nfs/dbraw/zinc/96/13/52/771961352.db2.gz AKRNSKOAVVLQNS-UHFFFAOYSA-N -1 1 309.329 1.572 20 0 DDADMM COC[C@@H]1c2nnn(C)c2CCN1Cc1c(F)cc([O-])cc1F ZINC001144550294 772562989 /nfs/dbraw/zinc/56/29/89/772562989.db2.gz HGZKCAAREZWTHO-CQSZACIVSA-N -1 1 324.331 1.545 20 0 DDADMM CCN(CCN1CCOCC1)Cc1c(F)cc([O-])cc1F ZINC001144559190 772564820 /nfs/dbraw/zinc/56/48/20/772564820.db2.gz LHZMRVGSWCIVFN-UHFFFAOYSA-N -1 1 300.349 1.825 20 0 DDADMM Cc1ccc(OCCC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001144753793 772611346 /nfs/dbraw/zinc/61/13/46/772611346.db2.gz FLRYMIJHJCYKCK-UHFFFAOYSA-N -1 1 304.306 1.186 20 0 DDADMM CCCCOC(=O)[C@H](C)NC(=O)c1c(CO)cnc(C)c1[O-] ZINC001147843617 773266440 /nfs/dbraw/zinc/26/64/40/773266440.db2.gz YLKMHDYRBYOEQL-JTQLQIEISA-N -1 1 310.350 1.050 20 0 DDADMM CSCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073676611 773294991 /nfs/dbraw/zinc/29/49/91/773294991.db2.gz SMJJALHUDYBVSU-GHMZBOCLSA-N -1 1 323.418 1.117 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1ccc(OC)c(O)c1 ZINC001148258723 773413538 /nfs/dbraw/zinc/41/35/38/773413538.db2.gz KGILWBQJOAEEBN-UHFFFAOYSA-N -1 1 305.290 1.553 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CC[C@@H](O)[C@H](F)C3)cc2)[n-]1 ZINC001148328930 773437642 /nfs/dbraw/zinc/43/76/42/773437642.db2.gz BJTULPOBCGTSIZ-ZIAGYGMSSA-N -1 1 319.336 1.344 20 0 DDADMM C[C@@H]1CN(C(=O)CCCF)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001073900542 773510763 /nfs/dbraw/zinc/51/07/63/773510763.db2.gz LOAZUBJODUUYSI-NEPJUHHUSA-N -1 1 323.368 1.504 20 0 DDADMM Cn1ccc(NC(=O)c2cc([O-])c(Br)c(O)c2)n1 ZINC001148981296 773663414 /nfs/dbraw/zinc/66/34/14/773663414.db2.gz HWFICHONPRRNKN-UHFFFAOYSA-N -1 1 312.123 1.846 20 0 DDADMM Cc1cc(C)nc(N[C@@H](C)CCNC(=O)c2ncccc2[O-])n1 ZINC001099104176 774834054 /nfs/dbraw/zinc/83/40/54/774834054.db2.gz BEPZEFUFSOWZOD-JTQLQIEISA-N -1 1 315.377 1.815 20 0 DDADMM CC(C)c1nccc(NCCNC(=O)c2ncccc2[O-])n1 ZINC001093562852 774890384 /nfs/dbraw/zinc/89/03/84/774890384.db2.gz JUKWEZPQVRBZRZ-UHFFFAOYSA-N -1 1 301.350 1.543 20 0 DDADMM COC(=O)c1c(C)cc(Cl)nc1[N-]c1nccnc1CN ZINC001171441951 775773248 /nfs/dbraw/zinc/77/32/48/775773248.db2.gz XFZCLTQZLDDQRG-UHFFFAOYSA-N -1 1 307.741 1.822 20 0 DDADMM C[C@@H]1C[C@H](N[C@@H]2SC(=O)[N-]C2=O)CN1Cc1ccccc1 ZINC001171725750 776424559 /nfs/dbraw/zinc/42/45/59/776424559.db2.gz BUICWFKZQFMNOI-SCDSUCTJSA-N -1 1 305.403 1.548 20 0 DDADMM CNC(=O)c1cccc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)c1 ZINC001174140207 777414220 /nfs/dbraw/zinc/41/42/20/777414220.db2.gz MGRACFBDERXAIS-UHFFFAOYSA-N -1 1 316.321 1.749 20 0 DDADMM COc1ncc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)c(OC)n1 ZINC001174870999 777621302 /nfs/dbraw/zinc/62/13/02/777621302.db2.gz NDRYWFOKILDIFV-UHFFFAOYSA-N -1 1 321.297 1.197 20 0 DDADMM COc1cccnc1CCC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001175459253 777811319 /nfs/dbraw/zinc/81/13/19/777811319.db2.gz WRMFLTHELQTONL-UHFFFAOYSA-N -1 1 319.277 1.261 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@@]1(C)C=CCC1 ZINC001102246312 777972696 /nfs/dbraw/zinc/97/26/96/777972696.db2.gz DDBZGZRYEHRNDP-HSMVNMDESA-N -1 1 319.409 1.051 20 0 DDADMM Nc1cc(F)ccc1S(=O)(=O)[N-]c1ccc2nonc2c1 ZINC001176327613 778116736 /nfs/dbraw/zinc/11/67/36/778116736.db2.gz LMFCXYFBKIMIAP-UHFFFAOYSA-N -1 1 308.294 1.745 20 0 DDADMM CN(C)c1nc(Nc2ccc3c(c2)CC(=O)N3)c(N=O)c(=O)[n-]1 ZINC001176426562 778140142 /nfs/dbraw/zinc/14/01/42/778140142.db2.gz PRIUJRFXYHJRTP-UHFFFAOYSA-N -1 1 314.305 1.884 20 0 DDADMM O=C(Cc1cc(Cl)ccn1)NCCCC[P@@](=O)([O-])O ZINC001177661727 778683885 /nfs/dbraw/zinc/68/38/85/778683885.db2.gz LOVCUAXMLPUOSD-UHFFFAOYSA-N -1 1 306.686 1.352 20 0 DDADMM COC[C@@H](NC(=O)c1cc(C)cc(C=O)c1[O-])[C@H]1CCCOC1 ZINC001178047437 778834048 /nfs/dbraw/zinc/83/40/48/778834048.db2.gz KHMNHTHWQHVXFF-SWLSCSKDSA-N -1 1 321.373 1.685 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[C@H](c3cnccn3)C2)c1 ZINC001178845529 779197763 /nfs/dbraw/zinc/19/77/63/779197763.db2.gz PNUJEBBYOPTJBK-LBPRGKRZSA-N -1 1 311.341 1.933 20 0 DDADMM CC(C)=C(C)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179341344 779354306 /nfs/dbraw/zinc/35/43/06/779354306.db2.gz CPIRUIAPAXKMNG-RYUDHWBXSA-N -1 1 307.398 1.336 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(F)(F)C(F)(F)Cl)n1 ZINC001179773906 779473106 /nfs/dbraw/zinc/47/31/06/779473106.db2.gz FAGLNKUFBUCDFG-UHFFFAOYSA-N -1 1 317.626 1.992 20 0 DDADMM Cc1ccccc1O[C@H](C)C(=O)NCCCC[P@](=O)([O-])O ZINC001180527815 779801763 /nfs/dbraw/zinc/80/17/63/779801763.db2.gz WSHIPPZMUKJUDD-GFCCVEGCSA-N -1 1 315.306 1.836 20 0 DDADMM C[C@@H](C(=O)N(C)CCNC(=O)c1ncccc1[O-])C1CCCC1 ZINC001408554223 837239039 /nfs/dbraw/zinc/23/90/39/837239039.db2.gz GOWYZWLCOXTBRB-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)CC2(C)CC2)CC1 ZINC001029978159 844361544 /nfs/dbraw/zinc/36/15/44/844361544.db2.gz SFBOXBZPIVKIDX-UHFFFAOYSA-N -1 1 321.425 1.371 20 0 DDADMM CC[C@@H](CNC(=O)[C@H]1CCC=CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001267808946 839014296 /nfs/dbraw/zinc/01/42/96/839014296.db2.gz BUBLWWQDKLNRSW-STQMWFEESA-N -1 1 321.425 1.631 20 0 DDADMM CNC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257519977 855157023 /nfs/dbraw/zinc/15/70/23/855157023.db2.gz AWSNBPNTCRCJTE-BYPYZUCNSA-N -1 1 317.219 1.468 20 0 DDADMM O=C(CCC(F)F)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409159782 844441323 /nfs/dbraw/zinc/44/13/23/844441323.db2.gz KHDGXHUEZQOSPQ-UHFFFAOYSA-N -1 1 313.304 1.021 20 0 DDADMM CCCCCC(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001268265205 839916771 /nfs/dbraw/zinc/91/67/71/839916771.db2.gz WLMJGKYLQLHBDY-OLZOCXBDSA-N -1 1 321.425 1.513 20 0 DDADMM CC[C@@H](C)N1CC[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC001268842755 840892251 /nfs/dbraw/zinc/89/22/51/840892251.db2.gz PLCMUVFNFNEREB-PXAZEXFGSA-N -1 1 317.389 1.650 20 0 DDADMM CCOCC(=O)N(C(=S)[N-]C(=O)OC)c1ccccc1N ZINC001142830399 861276724 /nfs/dbraw/zinc/27/67/24/861276724.db2.gz PIVKRZRFLKXWEZ-UHFFFAOYSA-N -1 1 311.363 1.279 20 0 DDADMM O=C([O-])[C@@]1(C(F)(F)F)CC[N@@H+](CCOC[C@H]2CCCO2)C1 ZINC001326575155 861455701 /nfs/dbraw/zinc/45/57/01/861455701.db2.gz AMFXFCDYTYXTME-ZYHUDNBSSA-N -1 1 311.300 1.521 20 0 DDADMM C[C@H]1CC[C@@H](OCC[P@](=O)([O-])O)CN1C(=O)OC(C)(C)C ZINC001224603043 844921458 /nfs/dbraw/zinc/92/14/58/844921458.db2.gz VDKRETLKFWZFTJ-WDEREUQCSA-N -1 1 323.326 1.969 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1onc2c1CCCC2 ZINC001149499966 861661536 /nfs/dbraw/zinc/66/15/36/861661536.db2.gz CTRBGURCQUSDIA-ZDUSSCGKSA-N -1 1 324.344 1.586 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)C2CCC(C)CC2)[n-]n1 ZINC001365633939 846365944 /nfs/dbraw/zinc/36/59/44/846365944.db2.gz OPGFRCWDBBKRMZ-UHFFFAOYSA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)C2CCC(C)CC2)n[n-]1 ZINC001365633939 846365953 /nfs/dbraw/zinc/36/59/53/846365953.db2.gz OPGFRCWDBBKRMZ-UHFFFAOYSA-N -1 1 315.395 1.396 20 0 DDADMM Cc1nc(C(C)C)oc1C[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC001365720086 846462013 /nfs/dbraw/zinc/46/20/13/846462013.db2.gz AGKOIZBXGJTYMQ-UHFFFAOYSA-N -1 1 318.786 1.961 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1CCCC[C@@H]1OC ZINC001365385856 890702634 /nfs/dbraw/zinc/70/26/34/890702634.db2.gz QECGNPLGJBNJKN-IUCAKERBSA-N -1 1 306.409 1.388 20 0 DDADMM O=C(N[C@@H]1CCS(=O)(=O)C1)c1cc2cccc(O)c2cc1[O-] ZINC001149653778 861785563 /nfs/dbraw/zinc/78/55/63/861785563.db2.gz QLOXTRUYXDFJNO-SNVBAGLBSA-N -1 1 321.354 1.168 20 0 DDADMM O=C(NCc1cccc2ccnn21)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273815 861922722 /nfs/dbraw/zinc/92/27/22/861922722.db2.gz XVDYXQGEIDSPQD-UHFFFAOYSA-N -1 1 307.313 1.846 20 0 DDADMM O=C(NCCO[C@@H]1CCCCO1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273507 861923755 /nfs/dbraw/zinc/92/37/55/861923755.db2.gz PYUNPUQFVOTJFE-CYBMUJFWSA-N -1 1 305.334 1.542 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1C[C@H]1C1CC1)NC(=O)c1ncccc1[O-] ZINC001410186926 848870232 /nfs/dbraw/zinc/87/02/32/848870232.db2.gz IKVDXVYXKPVDSI-ADEWGFFLSA-N -1 1 303.362 1.068 20 0 DDADMM CCC[C@H](C)CC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001410334103 849091962 /nfs/dbraw/zinc/09/19/62/849091962.db2.gz PNWJDXYVKBPIBU-RYUDHWBXSA-N -1 1 307.394 1.848 20 0 DDADMM C[C@H](CN(C)C(=O)C1CCCCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001410413482 849218359 /nfs/dbraw/zinc/21/83/59/849218359.db2.gz IPXCMJHNXHTIMZ-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM CC[C@@H](F)C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001411332108 850841950 /nfs/dbraw/zinc/84/19/50/850841950.db2.gz XPFFNWICUGOPOZ-VQXHTEKXSA-N -1 1 309.341 1.160 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cnc2cccc(O)c2c1 ZINC001155544402 862210807 /nfs/dbraw/zinc/21/08/07/862210807.db2.gz XOKYPCFSAIRTOZ-UHFFFAOYSA-N -1 1 322.284 1.565 20 0 DDADMM COc1cc(C[NH2+]C/C=C/CNC(=O)c2ccccc2O)on1 ZINC001273860281 851431659 /nfs/dbraw/zinc/43/16/59/851431659.db2.gz DPYOBIDZUDFPNT-SNAWJCMRSA-N -1 1 317.345 1.465 20 0 DDADMM Cc1cc(NC(=O)c2ccc3ccc(C)nc3c2[O-])n(N)c(=O)c1 ZINC001155660015 862352404 /nfs/dbraw/zinc/35/24/04/862352404.db2.gz PUQCDMRDVVCTPH-UHFFFAOYSA-N -1 1 324.340 1.685 20 0 DDADMM CN(C)C(=O)[C@]12C[C@H]1CCN2C(=O)c1cc([O-])cnc1Cl ZINC001274970173 852719967 /nfs/dbraw/zinc/71/99/67/852719967.db2.gz JFSDGWQAZCIJRA-CLAHSXSESA-N -1 1 309.753 1.133 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cn3c(n2)CCC3)[n-]1 ZINC001411535059 853321877 /nfs/dbraw/zinc/32/18/77/853321877.db2.gz HIYASXDYTBZRBW-UHFFFAOYSA-N -1 1 316.317 1.399 20 0 DDADMM COC(=O)C1CCC(NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001411779784 853724151 /nfs/dbraw/zinc/72/41/51/853724151.db2.gz AGBQXTFNKOWRGK-UHFFFAOYSA-N -1 1 319.361 1.521 20 0 DDADMM Cc1ccc2nc(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)cn2c1 ZINC001150890437 862516690 /nfs/dbraw/zinc/51/66/90/862516690.db2.gz OYYUHKLVWFEHES-UHFFFAOYSA-N -1 1 309.289 1.267 20 0 DDADMM CC1(CNC(=O)c2c([O-])cnc3c(F)cccc32)OCCO1 ZINC001411925236 853983451 /nfs/dbraw/zinc/98/34/51/853983451.db2.gz FRKJQRNAKQWYRC-UHFFFAOYSA-N -1 1 306.293 1.572 20 0 DDADMM CC(C)c1occc1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412055423 854159948 /nfs/dbraw/zinc/15/99/48/854159948.db2.gz HNDIGRXFNCAMEY-UHFFFAOYSA-N -1 1 305.338 1.040 20 0 DDADMM CS(=O)(=O)C[C@H]1CCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001412173114 854294162 /nfs/dbraw/zinc/29/41/62/854294162.db2.gz IIZVRJOYWASAJQ-VIFPVBQESA-N -1 1 301.339 1.038 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc([O-])cn1)[C@@H]1CCC[C@@H](OC)C1 ZINC001412315823 854436600 /nfs/dbraw/zinc/43/66/00/854436600.db2.gz ZONOSSWHEYROAU-MPKXVKKWSA-N -1 1 322.361 1.264 20 0 DDADMM COC(=O)[C@H](Cc1ccccc1O)NC(=O)c1ncccc1[O-] ZINC001412361369 854474257 /nfs/dbraw/zinc/47/42/57/854474257.db2.gz AEOARLSARWGIES-NSHDSACASA-N -1 1 316.313 1.007 20 0 DDADMM CC(C)(C)N1C[C@@H](CNC(=O)c2cccc([O-])c2F)CC1=O ZINC001412371620 854477604 /nfs/dbraw/zinc/47/76/04/854477604.db2.gz WPXOWFNSHUPJJE-SNVBAGLBSA-N -1 1 308.353 1.908 20 0 DDADMM O=C(Nc1ccc(N2CCOCC2)cn1)c1ccc([O-])cn1 ZINC001412393597 854506697 /nfs/dbraw/zinc/50/66/97/854506697.db2.gz OCPRXDXKJPDVJN-UHFFFAOYSA-N -1 1 300.318 1.271 20 0 DDADMM NS(=O)(=O)c1cc([N-]C(=O)c2c(F)cncc2F)cs1 ZINC001412469005 854586653 /nfs/dbraw/zinc/58/66/53/854586653.db2.gz OHDOWHAUQBCCRX-UHFFFAOYSA-N -1 1 319.314 1.321 20 0 DDADMM CCCc1nc(C)c(C(=O)N2CCC(c3nn[n-]n3)CC2)o1 ZINC001412493826 854621719 /nfs/dbraw/zinc/62/17/19/854621719.db2.gz UUXOOTHDISLDKM-UHFFFAOYSA-N -1 1 304.354 1.468 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H](O)c1ccc(Cl)cc1 ZINC001412535686 854676496 /nfs/dbraw/zinc/67/64/96/854676496.db2.gz DMBLJTRRWKNMTN-JTQLQIEISA-N -1 1 307.741 1.082 20 0 DDADMM C[C@H](CSC(F)(F)F)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001412547197 854701430 /nfs/dbraw/zinc/70/14/30/854701430.db2.gz WRAKNCZTUNEWEJ-ZCFIWIBFSA-N -1 1 309.317 1.584 20 0 DDADMM CO[C@@H]1CCC[C@H]1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001412571396 854736528 /nfs/dbraw/zinc/73/65/28/854736528.db2.gz MKUYGKFJZDTWQS-CHWSQXEVSA-N -1 1 317.349 1.532 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H](O)C(C)(C)C ZINC001412580288 854749720 /nfs/dbraw/zinc/74/97/20/854749720.db2.gz AZNVVKREOTXGSX-CABZTGNLSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H](O)C(C)(C)C ZINC001412580288 854749726 /nfs/dbraw/zinc/74/97/26/854749726.db2.gz AZNVVKREOTXGSX-CABZTGNLSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H](O)C(C)(C)C ZINC001412580288 854749729 /nfs/dbraw/zinc/74/97/29/854749729.db2.gz AZNVVKREOTXGSX-CABZTGNLSA-N -1 1 324.381 1.052 20 0 DDADMM COC[C@@H](NC(=O)C/C=C\c1ccc(C)cc1)c1nn[n-]n1 ZINC001412609953 854808451 /nfs/dbraw/zinc/80/84/51/854808451.db2.gz PSXPKVZRDZCKHL-DHCBQETCSA-N -1 1 301.350 1.415 20 0 DDADMM CSc1ncc(C(=O)N2CCO[C@H](c3ccco3)C2)c(=O)[n-]1 ZINC001412631896 854848489 /nfs/dbraw/zinc/84/84/89/854848489.db2.gz CFZGUCIDSLVVEN-NSHDSACASA-N -1 1 321.358 1.711 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cccnc1C1CC1 ZINC001412778952 855260572 /nfs/dbraw/zinc/26/05/72/855260572.db2.gz RUXWEZGGSUTCQX-UHFFFAOYSA-N -1 1 312.329 1.428 20 0 DDADMM COC(=O)/C=C/[C@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC001309958617 871612266 /nfs/dbraw/zinc/61/22/66/871612266.db2.gz BFNGQZPSYFDMTJ-SDLBARTOSA-N -1 1 309.796 1.798 20 0 DDADMM CCOC(=O)N1CCC[C@H]([C@@H](C)NC(=O)c2ccc([O-])cn2)C1 ZINC001412861589 855734070 /nfs/dbraw/zinc/73/40/70/855734070.db2.gz YDWACUJRCONGKT-NEPJUHHUSA-N -1 1 321.377 1.774 20 0 DDADMM O=C(NCc1nnc([C@H]2CCOC2)[nH]1)c1cc(F)cc(F)c1[O-] ZINC001412864780 855736611 /nfs/dbraw/zinc/73/66/11/855736611.db2.gz UKSIUVUOKIVFBA-ZETCQYMHSA-N -1 1 324.287 1.222 20 0 DDADMM CSc1ncc(C(=O)NCc2ncnn2C(C)(C)C)c(=O)[n-]1 ZINC001412887123 855756199 /nfs/dbraw/zinc/75/61/99/855756199.db2.gz SGCFGHVKENYTKQ-UHFFFAOYSA-N -1 1 322.394 1.181 20 0 DDADMM CCC(CC)(NC(=O)c1ccsc1COC)c1nn[n-]n1 ZINC001412931514 855796000 /nfs/dbraw/zinc/79/60/00/855796000.db2.gz DKJIHQDHMQIBML-UHFFFAOYSA-N -1 1 309.395 1.853 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)c1noc(C)n1 ZINC001413375860 856729423 /nfs/dbraw/zinc/72/94/23/856729423.db2.gz MUPSIVALGMHQHH-LURJTMIESA-N -1 1 305.747 1.184 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)c2nccnc2C)sn1 ZINC001413425453 856794916 /nfs/dbraw/zinc/79/49/16/856794916.db2.gz FDYRETIPRSEJLF-MRVPVSSYSA-N -1 1 314.392 1.290 20 0 DDADMM CC[C@H](NC(=O)CCc1nn[n-]n1)c1cccc(OC)c1OC ZINC001413428859 856797280 /nfs/dbraw/zinc/79/72/80/856797280.db2.gz SRXLCOQQAMTLCV-NSHDSACASA-N -1 1 319.365 1.417 20 0 DDADMM COc1cccc([C@H](C)C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001413503352 856899317 /nfs/dbraw/zinc/89/93/17/856899317.db2.gz LIFHJYJPOIULNP-LBPRGKRZSA-N -1 1 317.393 1.997 20 0 DDADMM NC(=O)c1ccc(C(=O)NCc2ccc([O-])c(Cl)c2)cn1 ZINC001413523069 856928341 /nfs/dbraw/zinc/92/83/41/856928341.db2.gz BNEMHGWFTLMVGO-UHFFFAOYSA-N -1 1 305.721 1.470 20 0 DDADMM Cc1cc(O)cc(=O)n1CC(=O)NCc1ccc([O-])c(Cl)c1 ZINC001413525894 856928433 /nfs/dbraw/zinc/92/84/33/856928433.db2.gz NAMZMIHZPFBBPS-UHFFFAOYSA-N -1 1 322.748 1.538 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)c1cnn(CC2CCC2)c1 ZINC001413778773 858520710 /nfs/dbraw/zinc/52/07/10/858520710.db2.gz WIKCSTCZALLHFC-SNVBAGLBSA-N -1 1 303.370 1.006 20 0 DDADMM CCCCN(C)c1nnc(-c2nnn[n-]2)n1Cc1cccs1 ZINC001123001017 859047500 /nfs/dbraw/zinc/04/75/00/859047500.db2.gz NPHALYIKDNHWPJ-UHFFFAOYSA-N -1 1 318.410 1.804 20 0 DDADMM CCCCN(C)c1nnc(-c2nn[n-]n2)n1Cc1cccs1 ZINC001123001017 859047510 /nfs/dbraw/zinc/04/75/10/859047510.db2.gz NPHALYIKDNHWPJ-UHFFFAOYSA-N -1 1 318.410 1.804 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1CCc2cccnc21 ZINC001123831739 859433413 /nfs/dbraw/zinc/43/34/13/859433413.db2.gz BGPRVWMWHCCGBC-JTQLQIEISA-N -1 1 316.386 1.634 20 0 DDADMM O=C(C=CC=C(Cl)Cl)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123911007 859468826 /nfs/dbraw/zinc/46/88/26/859468826.db2.gz ZLBWSWUFYCXWBR-ZGQKAHPSSA-N -1 1 316.192 1.856 20 0 DDADMM O=C([O-])Cn1cc(CN2C[C@H](CO)C[C@H](F)C2)c2ccccc21 ZINC001138188823 860037866 /nfs/dbraw/zinc/03/78/66/860037866.db2.gz MLHGTDWQSUIMQW-OCCSQVGLSA-N -1 1 320.364 1.878 20 0 DDADMM CCc1cc(C(=O)[N-]c2nnc(CCCC(=O)OC)s2)no1 ZINC001361364049 881508514 /nfs/dbraw/zinc/50/85/14/881508514.db2.gz ZULHOKLTROLWBA-UHFFFAOYSA-N -1 1 324.362 1.837 20 0 DDADMM COc1cccc(CN2CC[C@H](O)C[C@H]2C)c1OCC(=O)[O-] ZINC001139272176 860346025 /nfs/dbraw/zinc/34/60/25/860346025.db2.gz ZVTJQRDJACVMMA-YPMHNXCESA-N -1 1 309.362 1.504 20 0 DDADMM CNC(=O)Nc1cccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC001361377120 881528535 /nfs/dbraw/zinc/52/85/35/881528535.db2.gz MRKRYTYRLJXKHT-UHFFFAOYSA-N -1 1 324.344 1.345 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2C[N@@H+](Cc3ccncc3O)C[C@@H]21 ZINC001140282108 860611307 /nfs/dbraw/zinc/61/13/07/860611307.db2.gz MKGVYHKVNOVEQG-OLZOCXBDSA-N -1 1 305.378 1.838 20 0 DDADMM NC(=O)[C@@H]1CC[C@H](CCC(=O)Nc2ccc([O-])c(F)c2F)O1 ZINC001140657308 860668302 /nfs/dbraw/zinc/66/83/02/860668302.db2.gz NHYJCNCVETXDQU-XCBNKYQSSA-N -1 1 314.288 1.422 20 0 DDADMM [O-]c1ccc(CN2CCN(c3ccncn3)CC2)c(F)c1F ZINC001140893687 860726836 /nfs/dbraw/zinc/72/68/36/860726836.db2.gz YPUNMVSVCIKCFR-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@H](Oc3cnccn3)C2)o1 ZINC001140948962 860744675 /nfs/dbraw/zinc/74/46/75/860744675.db2.gz HJWNITDTWPBKRX-NSHDSACASA-N -1 1 303.318 1.811 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)c1nc2ccccn2c1F ZINC001154241627 860910773 /nfs/dbraw/zinc/91/07/73/860910773.db2.gz YOMCYGZYLIKDNX-UHFFFAOYSA-N -1 1 317.280 1.626 20 0 DDADMM CN(C(=O)c1ccc(Cl)c(Br)c1)c1nn[n-]n1 ZINC001361437020 881648424 /nfs/dbraw/zinc/64/84/24/881648424.db2.gz VCDHXSQEQXCTPG-UHFFFAOYSA-N -1 1 316.546 1.892 20 0 DDADMM Cc1cc(CNC(=O)c2sc(C(=O)[O-])c3c2OCCO3)n[nH]1 ZINC001152707466 863501629 /nfs/dbraw/zinc/50/16/29/863501629.db2.gz CTIAAYLDXRCPNU-UHFFFAOYSA-N -1 1 323.330 1.179 20 0 DDADMM CCN(Cc1ncccn1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152974666 863627776 /nfs/dbraw/zinc/62/77/76/863627776.db2.gz CXNKGALKKINWQW-GFCCVEGCSA-N -1 1 315.377 1.218 20 0 DDADMM CSc1ncc(C(=O)NCc2c(C)nn(C)c2C)c(=O)[n-]1 ZINC001362170467 883205172 /nfs/dbraw/zinc/20/51/72/883205172.db2.gz KUUJWZBSSKROAD-UHFFFAOYSA-N -1 1 307.379 1.184 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cnc2ncccc2c1 ZINC001153773346 864084203 /nfs/dbraw/zinc/08/42/03/864084203.db2.gz PRHCJZSPBOVGBX-UHFFFAOYSA-N -1 1 309.262 1.318 20 0 DDADMM Cc1oc2ccccc2c1CC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001153871218 864182175 /nfs/dbraw/zinc/18/21/75/864182175.db2.gz BUNFUKXOHBSKID-UHFFFAOYSA-N -1 1 311.345 1.992 20 0 DDADMM Cc1cc2c(c(NCC(=O)Cc3ccccc3)n1)C(=O)[N-]C2=O ZINC001159164252 865140291 /nfs/dbraw/zinc/14/02/91/865140291.db2.gz KKNXRQPJZCGRQY-UHFFFAOYSA-N -1 1 309.325 1.497 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1coc2ccccc12 ZINC001159180522 865149727 /nfs/dbraw/zinc/14/97/27/865149727.db2.gz BGWZDTSEHIVZNL-UHFFFAOYSA-N -1 1 315.285 1.573 20 0 DDADMM COc1nc2ccccc2nc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159192312 865157685 /nfs/dbraw/zinc/15/76/85/865157685.db2.gz MIECHRWOTGAQIE-UHFFFAOYSA-N -1 1 309.289 1.754 20 0 DDADMM COC(=O)c1c(C)cc(C)nc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159198173 865162442 /nfs/dbraw/zinc/16/24/42/865162442.db2.gz IPZQBFGOCMTKHY-UHFFFAOYSA-N -1 1 314.305 1.601 20 0 DDADMM CN(C(=O)c1ccc(/C=C/C(=O)[O-])o1)[C@H]1CN2CCC1CC2 ZINC001332654289 866026483 /nfs/dbraw/zinc/02/64/83/866026483.db2.gz UXEPNHCQQPOSNS-LQPUYASZSA-N -1 1 304.346 1.544 20 0 DDADMM Cc1cccc2c(C)cc(Nc3c(O)[nH]c(=O)[n-]c3=S)nc12 ZINC001160847325 866146347 /nfs/dbraw/zinc/14/63/47/866146347.db2.gz GZGAIVGJZXILJH-GFCCVEGCSA-N -1 1 314.370 1.799 20 0 DDADMM C[C@@H]1[C@@H](Oc2cc(=O)[n-]c(=S)[nH]2)CN1C(=O)OC(C)(C)C ZINC001225764530 882017470 /nfs/dbraw/zinc/01/74/70/882017470.db2.gz FYEUXEICNVEGOA-SFYZADRCSA-N -1 1 313.379 1.858 20 0 DDADMM Cc1cccc2c1O[C@H](C(=O)N=c1ccnc3n(C)[n-]cc1-3)C2 ZINC001361628614 882042689 /nfs/dbraw/zinc/04/26/89/882042689.db2.gz NTGHPYMYFJLSKA-AWEZNQCLSA-N -1 1 308.341 1.592 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCCNC(=O)C(C)(C)C ZINC001324501346 867315039 /nfs/dbraw/zinc/31/50/39/867315039.db2.gz UCNOSVNKODNQKP-UHFFFAOYSA-N -1 1 316.398 1.318 20 0 DDADMM Cc1c(C(=O)[N-]S(=O)(=O)C2(Cl)CC2)ccc2cncn21 ZINC001334310242 867475965 /nfs/dbraw/zinc/47/59/65/867475965.db2.gz AGVUGYCMRWMHFH-UHFFFAOYSA-N -1 1 313.766 1.431 20 0 DDADMM CCn1nc(C[NH2+][C@H](Cc2ccn[nH]2)C(=O)[O-])c2ccccc21 ZINC001335193171 868124554 /nfs/dbraw/zinc/12/45/54/868124554.db2.gz QMDWBRYCEHEBRN-CYBMUJFWSA-N -1 1 313.361 1.565 20 0 DDADMM CCCCC1(C(=O)NC[C@H](C)N(C)Cc2nc(=O)n(C)[n-]2)CC1 ZINC001381561666 882170180 /nfs/dbraw/zinc/17/01/80/882170180.db2.gz BGBMBGVRTXTXPR-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2nccnc2C)c(=O)[n-]1 ZINC001361723712 882233325 /nfs/dbraw/zinc/23/33/25/882233325.db2.gz QRTCFGBRHXJRTF-UHFFFAOYSA-N -1 1 319.390 1.882 20 0 DDADMM CC[C@H](C)[C@H](Nc1ccc2nc(C(=O)OC)nc-2[n-]1)C(=O)OC ZINC001164135356 868966191 /nfs/dbraw/zinc/96/61/91/868966191.db2.gz ZOKPCRHTNOLJHU-KWQFWETISA-N -1 1 320.349 1.744 20 0 DDADMM CC[C@H](C)[C@H](Nc1ccc2nc(C(=O)OC)[n-]c2n1)C(=O)OC ZINC001164135356 868966204 /nfs/dbraw/zinc/96/62/04/868966204.db2.gz ZOKPCRHTNOLJHU-KWQFWETISA-N -1 1 320.349 1.744 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CCCCCCO ZINC001337238033 869395278 /nfs/dbraw/zinc/39/52/78/869395278.db2.gz IBZZUVAJCHHSRU-UHFFFAOYSA-N -1 1 323.361 1.804 20 0 DDADMM CC(=O)c1c[n-]c(=O)nc1OC[C@H]1CC[C@]2(CCCCO2)O1 ZINC001226238050 882298455 /nfs/dbraw/zinc/29/84/55/882298455.db2.gz PFRUSXRDHBSRPW-ABAIWWIYSA-N -1 1 308.334 1.839 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc3occc3[nH]2)n[n-]1 ZINC001166128257 869850998 /nfs/dbraw/zinc/85/09/98/869850998.db2.gz ZAIMFUQMLKSOOX-ZETCQYMHSA-N -1 1 317.305 1.547 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc3occc3[nH]2)[n-]1 ZINC001166128257 869851008 /nfs/dbraw/zinc/85/10/08/869851008.db2.gz ZAIMFUQMLKSOOX-ZETCQYMHSA-N -1 1 317.305 1.547 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc3occc3[nH]2)n1 ZINC001166128257 869851018 /nfs/dbraw/zinc/85/10/18/869851018.db2.gz ZAIMFUQMLKSOOX-ZETCQYMHSA-N -1 1 317.305 1.547 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1ccnn1C[C@H]1CCOC1 ZINC001338340365 869930500 /nfs/dbraw/zinc/93/05/00/869930500.db2.gz IZLISCMORNFWBF-DGCLKSJQSA-N -1 1 310.354 1.499 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2nccnc2Cl)c1 ZINC001297675956 870135502 /nfs/dbraw/zinc/13/55/02/870135502.db2.gz CJORNUSTWJDYEP-UHFFFAOYSA-N -1 1 305.721 1.887 20 0 DDADMM CN(C(=O)c1ccc(CNC(=O)C(C)(C)C)cc1)c1nn[n-]n1 ZINC001361803339 882402679 /nfs/dbraw/zinc/40/26/79/882402679.db2.gz YYSJXMZANQKEQM-UHFFFAOYSA-N -1 1 316.365 1.139 20 0 DDADMM CN(Cc1cc(F)c(F)c(F)c1)c1nnc(-c2nnn[n-]2)n1C ZINC001339441791 870498656 /nfs/dbraw/zinc/49/86/56/870498656.db2.gz XKCYKFUZLNVGHL-UHFFFAOYSA-N -1 1 324.270 1.049 20 0 DDADMM CN(Cc1cc(F)c(F)c(F)c1)c1nnc(-c2nn[n-]n2)n1C ZINC001339441791 870498663 /nfs/dbraw/zinc/49/86/63/870498663.db2.gz XKCYKFUZLNVGHL-UHFFFAOYSA-N -1 1 324.270 1.049 20 0 DDADMM CCN(CCc1cccs1)c1nnc(-c2nnn[n-]2)n1C ZINC001339544443 870547371 /nfs/dbraw/zinc/54/73/71/870547371.db2.gz UFDMAWYUYUODLK-UHFFFAOYSA-N -1 1 304.383 1.126 20 0 DDADMM CCN(CCc1cccs1)c1nnc(-c2nn[n-]n2)n1C ZINC001339544443 870547380 /nfs/dbraw/zinc/54/73/80/870547380.db2.gz UFDMAWYUYUODLK-UHFFFAOYSA-N -1 1 304.383 1.126 20 0 DDADMM CCCCC1CCC(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1 ZINC001339871318 870750917 /nfs/dbraw/zinc/75/09/17/870750917.db2.gz UPMGEWPZTIVRJA-SEEARECTSA-N -1 1 312.410 1.295 20 0 DDADMM C/C=C(/C)C(=O)NCCC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001317428497 870816387 /nfs/dbraw/zinc/81/63/87/870816387.db2.gz XRQSPLIROCSWCL-LCKPPEIMSA-N -1 1 307.398 1.337 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NC(C)(C)c2cn(C)nn2)c1 ZINC001299826043 870940486 /nfs/dbraw/zinc/94/04/86/870940486.db2.gz IUPJBIIKQXMWKZ-UHFFFAOYSA-N -1 1 302.334 1.307 20 0 DDADMM Cc1cc2c(cc1C)N(c1nnc(-c3noc(=O)[n-]3)n1C)CC2 ZINC001340190773 870947919 /nfs/dbraw/zinc/94/79/19/870947919.db2.gz NCAAAENENYLMJC-UHFFFAOYSA-N -1 1 312.333 1.469 20 0 DDADMM COC(=O)[C@@H]1COCCC12CN(Cc1ccc(C(=O)[O-])cc1)C2 ZINC001204156660 870971068 /nfs/dbraw/zinc/97/10/68/870971068.db2.gz HSVDZAUAVLHBCJ-AWEZNQCLSA-N -1 1 319.357 1.396 20 0 DDADMM COc1cncc(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c1 ZINC001301532105 870999665 /nfs/dbraw/zinc/99/96/65/870999665.db2.gz ILOZAOWIQYISEE-UHFFFAOYSA-N -1 1 306.347 1.113 20 0 DDADMM CCOC(OCC)[C@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001204293347 871043429 /nfs/dbraw/zinc/04/34/29/871043429.db2.gz DWXCKPKUELDVTE-JTQLQIEISA-N -1 1 321.381 1.251 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])c1cnc2sccn2c1=O ZINC001302481962 871069583 /nfs/dbraw/zinc/06/95/83/871069583.db2.gz NBPNLQLLFYGHLX-UHFFFAOYSA-N -1 1 305.290 1.853 20 0 DDADMM NS(=O)(=O)c1sccc1C(=O)Nc1cccc(F)c1[O-] ZINC001302487733 871071531 /nfs/dbraw/zinc/07/15/31/871071531.db2.gz XAWUZZSPUJUBES-UHFFFAOYSA-N -1 1 316.335 1.493 20 0 DDADMM CC[C@H](C)C(=O)N(C)[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001381692107 882494323 /nfs/dbraw/zinc/49/43/23/882494323.db2.gz UCCWRMIKAQTJNJ-AAEUAGOBSA-N -1 1 319.405 1.800 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)Cc1nocc1C)C(=O)OCC ZINC001309391562 871569844 /nfs/dbraw/zinc/56/98/44/871569844.db2.gz MNAJSGQHSMPMFI-QZPNVGJNSA-N -1 1 316.379 1.300 20 0 DDADMM C[C@H](c1ccccc1)[C@@H]1CCCN1c1nnc(-c2nnn[n-]2)n1C ZINC001341255621 871579829 /nfs/dbraw/zinc/57/98/29/871579829.db2.gz SBPWDUAZBHRLBD-YPMHNXCESA-N -1 1 324.392 1.768 20 0 DDADMM C[C@H](c1ccccc1)[C@@H]1CCCN1c1nnc(-c2nn[n-]n2)n1C ZINC001341255621 871579839 /nfs/dbraw/zinc/57/98/39/871579839.db2.gz SBPWDUAZBHRLBD-YPMHNXCESA-N -1 1 324.392 1.768 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)c1csnn1 ZINC001309593521 871582951 /nfs/dbraw/zinc/58/29/51/871582951.db2.gz MCBNLHRMPKZCQC-YFKPBYRVSA-N -1 1 323.321 1.995 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCc2c1cccc2O ZINC001361872410 882546153 /nfs/dbraw/zinc/54/61/53/882546153.db2.gz OHENQLKKWQFZEH-VIFPVBQESA-N -1 1 317.301 1.019 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2cnn3cccnc23)c1 ZINC001205987299 871976502 /nfs/dbraw/zinc/97/65/02/871976502.db2.gz ONJCBLLCXLNLMP-UHFFFAOYSA-N -1 1 304.331 1.582 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N1CCc2ccccc2CC1 ZINC001342763640 872372396 /nfs/dbraw/zinc/37/23/96/872372396.db2.gz BMONAVKKRPQFFE-UHFFFAOYSA-N -1 1 324.388 1.999 20 0 DDADMM CC1(C)C[C@@H](Oc2cc(=O)[n-]c(N3CCOCC3)n2)CCO1 ZINC001226728876 882615511 /nfs/dbraw/zinc/61/55/11/882615511.db2.gz WCEBCGFXXZZCKZ-NSHDSACASA-N -1 1 309.366 1.355 20 0 DDADMM O=C([O-])[C@@]1(C(=O)Nc2cccc(C(F)F)c2)CNCCO1 ZINC001343235326 872546565 /nfs/dbraw/zinc/54/65/65/872546565.db2.gz YITUFVARVJWRAL-ZDUSSCGKSA-N -1 1 300.261 1.006 20 0 DDADMM CC(=O)Nc1cc(NC(=O)CCc2nn[n-]n2)c(F)cc1F ZINC001361945403 882686933 /nfs/dbraw/zinc/68/69/33/882686933.db2.gz WJLPBCXPDQQAOX-UHFFFAOYSA-N -1 1 310.264 1.008 20 0 DDADMM CC(C)[C@H](C)CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207660391 873450886 /nfs/dbraw/zinc/45/08/86/873450886.db2.gz GJPIGGCOAFWMPZ-VXGBXAGGSA-N -1 1 309.414 1.273 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2C[C@H]3C[C@H](C(=O)OC)C[C@H]32)[n-]1 ZINC001346693503 873851857 /nfs/dbraw/zinc/85/18/57/873851857.db2.gz VRCZDNTUBJODLK-COMAGPEQSA-N -1 1 321.329 1.546 20 0 DDADMM O=C(c1ccc(F)c2occc21)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347473479 874154292 /nfs/dbraw/zinc/15/42/92/874154292.db2.gz HZOCNUARVLYHNZ-QMMMGPOBSA-N -1 1 301.281 1.715 20 0 DDADMM O=C(c1c(F)ccnc1Cl)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001347659742 874231358 /nfs/dbraw/zinc/23/13/58/874231358.db2.gz IGVKULUESDPVAG-MRVPVSSYSA-N -1 1 324.747 1.482 20 0 DDADMM C[C@H](C(=O)[N-]OCCN1CCCC1=O)c1ccc(F)cc1F ZINC001347864774 874294845 /nfs/dbraw/zinc/29/48/45/874294845.db2.gz CLJXTVBOEDDLTH-JTQLQIEISA-N -1 1 312.316 1.739 20 0 DDADMM CC[C@@H](CNC(=O)C12CCC(CC1)C2)NCc1n[nH]c(=O)[n-]1 ZINC001378265132 874577040 /nfs/dbraw/zinc/57/70/40/874577040.db2.gz DNYNQOCGRRWLMN-VTWZXRTESA-N -1 1 307.398 1.075 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@H](c2cccnc2)C1 ZINC001348745787 874754221 /nfs/dbraw/zinc/75/42/21/874754221.db2.gz AWMQUCJETNYLKV-LLVKDONJSA-N -1 1 316.386 1.804 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001349209990 875036274 /nfs/dbraw/zinc/03/62/74/875036274.db2.gz DHQPGQSJFCFFAJ-CNELAYHGSA-N -1 1 312.377 1.479 20 0 DDADMM CCC[C@](C)(CC)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210347143 875340725 /nfs/dbraw/zinc/34/07/25/875340725.db2.gz AIBDHLRXSREEDC-WBMJQRKESA-N -1 1 323.441 1.807 20 0 DDADMM O=C(NCC1CC1)[C@@H]1CCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001362056517 882931373 /nfs/dbraw/zinc/93/13/73/882931373.db2.gz ABWYXUHGBHJEIL-GFCCVEGCSA-N -1 1 320.364 1.910 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1ccoc1Cl ZINC001378767415 875590558 /nfs/dbraw/zinc/59/05/58/875590558.db2.gz NITQDHIORFTQTH-SSDOTTSWSA-N -1 1 313.745 1.007 20 0 DDADMM CSc1ncc(C(=O)N2CCO[C@@H](C(F)F)C2)c(=O)[n-]1 ZINC001364717549 889263299 /nfs/dbraw/zinc/26/32/99/889263299.db2.gz KPBUIASDOBMOPN-SSDOTTSWSA-N -1 1 305.306 1.010 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc(C(N)=O)c(C)c2)c(=O)[n-]1 ZINC001362071404 882964188 /nfs/dbraw/zinc/96/41/88/882964188.db2.gz GQEZUVWFGHOWDX-UHFFFAOYSA-N -1 1 318.358 1.564 20 0 DDADMM C[C@@H](CC(=O)OC(C)(C)C)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227436531 883018745 /nfs/dbraw/zinc/01/87/45/883018745.db2.gz YKNPQORPACBVKZ-LURJTMIESA-N -1 1 310.310 1.263 20 0 DDADMM COCOc1cccc(F)c1Nc1c(N)[nH]c(=S)[n-]c1=O ZINC001214432714 876337183 /nfs/dbraw/zinc/33/71/83/876337183.db2.gz BNFDVQAWKOQLJJ-UHFFFAOYSA-N -1 1 312.326 1.919 20 0 DDADMM Cc1nnc([C@H](C)N[C@H](C)CN(C)C(=O)c2ncccc2[O-])o1 ZINC001379098917 876395503 /nfs/dbraw/zinc/39/55/03/876395503.db2.gz LZMHPEIKGUKVFF-ZJUUUORDSA-N -1 1 319.365 1.290 20 0 DDADMM CC(=O)C1([N-]S(=O)(=O)c2cnc(Cl)cc2Cl)CC1 ZINC001351827658 876450901 /nfs/dbraw/zinc/45/09/01/876450901.db2.gz MAAKLYJJZYDXJV-UHFFFAOYSA-N -1 1 309.174 1.788 20 0 DDADMM CC(C)SCC(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001379274005 876720915 /nfs/dbraw/zinc/72/09/15/876720915.db2.gz ZWYUJJZAVOEMCO-UHFFFAOYSA-N -1 1 323.418 1.212 20 0 DDADMM CO[C@@H](C)CCC(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001381958537 883058875 /nfs/dbraw/zinc/05/88/75/883058875.db2.gz CIYMTBRMLUVPKX-RYUDHWBXSA-N -1 1 323.393 1.179 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)NC[C@@H]1CCC=CO1 ZINC001352476866 876764630 /nfs/dbraw/zinc/76/46/30/876764630.db2.gz JDOHQZFINLPILL-NSHDSACASA-N -1 1 324.764 1.471 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@H](c2ccccn2)C1 ZINC001352900996 876995549 /nfs/dbraw/zinc/99/55/49/876995549.db2.gz ORGFTZKFNMUIJR-JTQLQIEISA-N -1 1 316.386 1.804 20 0 DDADMM COC(=O)c1cc([O-])c(Br)c(O[C@H]2C[C@@H](O)C2)c1 ZINC001218423297 877480820 /nfs/dbraw/zinc/48/08/20/877480820.db2.gz LHNBFXPWQPDXOV-OCAPTIKFSA-N -1 1 317.135 1.843 20 0 DDADMM C/C=C(\C)C(=O)NC1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001354191522 877799720 /nfs/dbraw/zinc/79/97/20/877799720.db2.gz BSSYNSVXSWIHOC-QDEBKDIKSA-N -1 1 317.389 1.911 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cnc(F)cc2C)n[n-]1 ZINC001300603238 877964412 /nfs/dbraw/zinc/96/44/12/877964412.db2.gz QQFNATGRYSBUDG-QMMMGPOBSA-N -1 1 321.312 1.315 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cnc(F)cc2C)[n-]1 ZINC001300603238 877964418 /nfs/dbraw/zinc/96/44/18/877964418.db2.gz QQFNATGRYSBUDG-QMMMGPOBSA-N -1 1 321.312 1.315 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cnc(F)cc2C)n1 ZINC001300603238 877964435 /nfs/dbraw/zinc/96/44/35/877964435.db2.gz QQFNATGRYSBUDG-QMMMGPOBSA-N -1 1 321.312 1.315 20 0 DDADMM COC(=O)c1c(NC(=O)c2cc(C)oc2C)n[n-]c1OCCO ZINC001413869840 878134417 /nfs/dbraw/zinc/13/44/17/878134417.db2.gz VSQBAZVWNVNMIN-UHFFFAOYSA-N -1 1 323.305 1.030 20 0 DDADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)c1cc(C)oc1C ZINC001413869840 878134422 /nfs/dbraw/zinc/13/44/22/878134422.db2.gz VSQBAZVWNVNMIN-UHFFFAOYSA-N -1 1 323.305 1.030 20 0 DDADMM C[C@@H](C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C(C)(C)C ZINC001379868471 878193016 /nfs/dbraw/zinc/19/30/16/878193016.db2.gz FIJXEUHOZJTQAR-ONGXEEELSA-N -1 1 309.414 1.177 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@@H]1CCNc2ccccc21 ZINC001220471660 879044365 /nfs/dbraw/zinc/04/43/65/879044365.db2.gz WBWRQBBTDVYHDG-SECBINFHSA-N -1 1 310.317 1.596 20 0 DDADMM Brc1cccc2c1O[C@H](COCc1nn[n-]n1)C2 ZINC001223026659 880788780 /nfs/dbraw/zinc/78/87/80/880788780.db2.gz FISKZHPSVADICN-QMMMGPOBSA-N -1 1 311.139 1.483 20 0 DDADMM C[C@H]1OCc2c1nc(=O)[n-]c2OC[C@H]1COc2ccccc2O1 ZINC001227709074 883141148 /nfs/dbraw/zinc/14/11/48/883141148.db2.gz AWBCLXXAEMQNHV-NXEZZACHSA-N -1 1 316.313 1.992 20 0 DDADMM C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1cccc([O-])c1F ZINC001362178597 883224245 /nfs/dbraw/zinc/22/42/45/883224245.db2.gz JQGCZWXWTIPKKR-IUCAKERBSA-N -1 1 301.339 1.179 20 0 DDADMM CC(=O)Nc1cc(Cl)ccc1NC(=O)CCc1nn[n-]n1 ZINC001362233045 883364397 /nfs/dbraw/zinc/36/43/97/883364397.db2.gz DUVLCKUJSAALFU-UHFFFAOYSA-N -1 1 308.729 1.383 20 0 DDADMM CS(=O)(=O)C1CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001362242342 883388390 /nfs/dbraw/zinc/38/83/90/883388390.db2.gz TZYWJNWZZNMNNL-UHFFFAOYSA-N -1 1 301.339 1.181 20 0 DDADMM COC(=O)c1n[n-]c(OC2CC(OCc3ccccc3)C2)n1 ZINC001228311695 883440160 /nfs/dbraw/zinc/44/01/60/883440160.db2.gz NJOWCZMCELBBBM-UHFFFAOYSA-N -1 1 303.318 1.718 20 0 DDADMM COC(=O)c1nc(OC2CC(OCc3ccccc3)C2)n[n-]1 ZINC001228311695 883440165 /nfs/dbraw/zinc/44/01/65/883440165.db2.gz NJOWCZMCELBBBM-UHFFFAOYSA-N -1 1 303.318 1.718 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2cnncc2[O-])cc1Cl ZINC001362289662 883489330 /nfs/dbraw/zinc/48/93/30/883489330.db2.gz BRLGHUQJZQUIHK-UHFFFAOYSA-N -1 1 307.693 1.875 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC[C@H]1CN1CCOCC1 ZINC001362346357 883616204 /nfs/dbraw/zinc/61/62/04/883616204.db2.gz KGTALQONGYXFFD-ZDUSSCGKSA-N -1 1 308.353 1.468 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@H]1O)c1ccc(F)c([O-])c1 ZINC001362385549 883690901 /nfs/dbraw/zinc/69/09/01/883690901.db2.gz NUNZJIDLQAACGJ-WFASDCNBSA-N -1 1 323.364 1.829 20 0 DDADMM CCC[C@@H](NC(=O)c1[nH]nc(C(F)(F)F)c1C)c1nn[n-]n1 ZINC001362391802 883703777 /nfs/dbraw/zinc/70/37/77/883703777.db2.gz ICZZCBBHUSNMJR-ZCFIWIBFSA-N -1 1 317.275 1.521 20 0 DDADMM O=C(NCCO)c1ccc(NC(=O)c2ccc(O)cc2[O-])cc1 ZINC001362410719 883749851 /nfs/dbraw/zinc/74/98/51/883749851.db2.gz UZESYKPHFQQVAN-UHFFFAOYSA-N -1 1 316.313 1.072 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](CO)c2ccccc2)c(=O)[n-]1 ZINC001362432426 883796454 /nfs/dbraw/zinc/79/64/54/883796454.db2.gz IXXLZNUGSLHBIH-NSHDSACASA-N -1 1 305.359 1.368 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N(CCCO)Cc1ccccn1 ZINC001362441292 883816228 /nfs/dbraw/zinc/81/62/28/883816228.db2.gz YNQFZKNSGXLEIZ-UHFFFAOYSA-N -1 1 304.321 1.951 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)Oc1ccccc1F)c1nn[n-]n1 ZINC001362494024 883934329 /nfs/dbraw/zinc/93/43/29/883934329.db2.gz FROWLCVNKBEKJX-JTQLQIEISA-N -1 1 321.356 1.938 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2[C@@H]1O)c1cccc([O-])c1F ZINC001362502374 883955480 /nfs/dbraw/zinc/95/54/80/883955480.db2.gz GXTQDQDDYDURGP-ABAIWWIYSA-N -1 1 303.289 1.756 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1([C@H](O)c2ccccc2)CC1 ZINC001362513346 883979015 /nfs/dbraw/zinc/97/90/15/883979015.db2.gz BRYHYMJUIUUGPP-OAHLLOKOSA-N -1 1 315.377 1.152 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@@](C)(O)c2ccccc2)[n-]1 ZINC001362533337 884023358 /nfs/dbraw/zinc/02/33/58/884023358.db2.gz JCCZOVLRICMGFD-MRXNPFEDSA-N -1 1 317.345 1.823 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@@](C)(O)c2ccccc2)n1 ZINC001362533337 884023380 /nfs/dbraw/zinc/02/33/80/884023380.db2.gz JCCZOVLRICMGFD-MRXNPFEDSA-N -1 1 317.345 1.823 20 0 DDADMM O=C(Nc1nn(CC(F)(F)F)cc1Cl)c1cnncc1[O-] ZINC001362615912 884229787 /nfs/dbraw/zinc/22/97/87/884229787.db2.gz XLTJNAVOXGWDSZ-UHFFFAOYSA-N -1 1 321.646 1.847 20 0 DDADMM Nc1nnc(C2CCN(C(=O)c3cc(F)ccc3[O-])CC2)o1 ZINC001362630127 884266979 /nfs/dbraw/zinc/26/69/79/884266979.db2.gz HYMUAIYGUZEQEJ-UHFFFAOYSA-N -1 1 306.297 1.516 20 0 DDADMM Cc1cnc(C(=O)N2NC(=O)C[C@@]23CCCC[C@H]3C)c([O-])c1 ZINC001362674374 884383453 /nfs/dbraw/zinc/38/34/53/884383453.db2.gz MMTAUQDKEGSDNK-BDJLRTHQSA-N -1 1 303.362 1.922 20 0 DDADMM CCCC[C@H](O)CCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001362702454 884447635 /nfs/dbraw/zinc/44/76/35/884447635.db2.gz FQPPTCWQULFNIC-NEPJUHHUSA-N -1 1 311.382 1.813 20 0 DDADMM COC[C@@H](C)n1ccc(NC(=O)c2cnc(SC)[n-]c2=O)n1 ZINC001362708705 884458741 /nfs/dbraw/zinc/45/87/41/884458741.db2.gz LMDCXYBGJCHCGK-MRVPVSSYSA-N -1 1 323.378 1.560 20 0 DDADMM COC[C@H](C)n1ccc(NC(=O)c2cnc(SC)[n-]c2=O)n1 ZINC001362708718 884458885 /nfs/dbraw/zinc/45/88/85/884458885.db2.gz LMDCXYBGJCHCGK-QMMMGPOBSA-N -1 1 323.378 1.560 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc([O-])c2F)CC2(CCOCC2)O1 ZINC001362780809 884621556 /nfs/dbraw/zinc/62/15/56/884621556.db2.gz YIGKGIFHBLJMNA-LLVKDONJSA-N -1 1 309.337 1.941 20 0 DDADMM CCC(CC)(NC(=O)COc1ccc(O)cc1)c1nn[n-]n1 ZINC001362788921 884643362 /nfs/dbraw/zinc/64/33/62/884643362.db2.gz WSSYKUYJAAJJAD-UHFFFAOYSA-N -1 1 305.338 1.116 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCNC(=O)c1ccc(F)cc1 ZINC001362791955 884650433 /nfs/dbraw/zinc/65/04/33/884650433.db2.gz DEOYHZHTPBNRDH-UHFFFAOYSA-N -1 1 306.297 1.321 20 0 DDADMM O=C(NCc1cccnc1OCC(F)F)c1cnncc1[O-] ZINC001362815230 884705595 /nfs/dbraw/zinc/70/55/95/884705595.db2.gz POMAFKSPGDDLPD-UHFFFAOYSA-N -1 1 310.260 1.151 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cccnc1C(F)F ZINC001362830197 884744015 /nfs/dbraw/zinc/74/40/15/884744015.db2.gz KSYFMHNZRWLRAG-UHFFFAOYSA-N -1 1 310.308 1.831 20 0 DDADMM CCC(CC)(NC(=O)c1nccnc1SC)c1nn[n-]n1 ZINC001362856950 884811063 /nfs/dbraw/zinc/81/10/63/884811063.db2.gz NXHJZOHTNDBNTR-UHFFFAOYSA-N -1 1 307.383 1.157 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccccc2NC)n[n-]1 ZINC001362938310 885033712 /nfs/dbraw/zinc/03/37/12/885033712.db2.gz SEWJOXICIIHRMY-SECBINFHSA-N -1 1 317.349 1.514 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccccc2NC)[n-]1 ZINC001362938310 885033727 /nfs/dbraw/zinc/03/37/27/885033727.db2.gz SEWJOXICIIHRMY-SECBINFHSA-N -1 1 317.349 1.514 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccccc2NC)n1 ZINC001362938310 885033741 /nfs/dbraw/zinc/03/37/41/885033741.db2.gz SEWJOXICIIHRMY-SECBINFHSA-N -1 1 317.349 1.514 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCc3ccccc3NC2=O)c([O-])c1 ZINC001362985253 885148176 /nfs/dbraw/zinc/14/81/76/885148176.db2.gz NZVKRFDKKKAANY-CYBMUJFWSA-N -1 1 311.341 1.779 20 0 DDADMM CC[C@@H](C)n1nccc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001362996527 885181948 /nfs/dbraw/zinc/18/19/48/885181948.db2.gz CFLSLLFCORXSHU-ZJUUUORDSA-N -1 1 323.426 1.591 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cccc(=O)[nH]2)[n-]c1=O ZINC001363043454 885310692 /nfs/dbraw/zinc/31/06/92/885310692.db2.gz WWEAOJUESVEVNY-JTQLQIEISA-N -1 1 316.317 1.269 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H](CC1CC1)C(=O)Nc1ccncc1[O-] ZINC001363108901 885485889 /nfs/dbraw/zinc/48/58/89/885485889.db2.gz LXJMIOAAQKCUOU-LBPRGKRZSA-N -1 1 321.377 1.841 20 0 DDADMM Cc1ncc(NC(=O)c2c(F)ccc([O-])c2F)cc1C(N)=O ZINC001363160457 885611900 /nfs/dbraw/zinc/61/19/00/885611900.db2.gz XOJJFRUBGFJWKT-UHFFFAOYSA-N -1 1 307.256 1.725 20 0 DDADMM O=C(N[C@H](CO)Cc1cncs1)c1c(F)ccc([O-])c1F ZINC001363169785 885632602 /nfs/dbraw/zinc/63/26/02/885632602.db2.gz RYUQZRANCVATGE-ZETCQYMHSA-N -1 1 314.313 1.460 20 0 DDADMM CNC(=O)Nc1cc(NC(=O)c2ccc([O-])cn2)ccc1F ZINC001363177965 885649892 /nfs/dbraw/zinc/64/98/92/885649892.db2.gz HUBBMSTWYDJKQD-UHFFFAOYSA-N -1 1 304.281 1.930 20 0 DDADMM O=C([O-])c1ccc(F)c(CN2CCN(C[C@@H]3CCCO3)CC2)c1 ZINC001231458100 885678088 /nfs/dbraw/zinc/67/80/88/885678088.db2.gz RFQKLVFUDJVVOJ-HNNXBMFYSA-N -1 1 322.380 1.821 20 0 DDADMM CC(C)c1nocc1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363207378 885715080 /nfs/dbraw/zinc/71/50/80/885715080.db2.gz MSPSHZSZJVNEMR-UHFFFAOYSA-N -1 1 318.333 1.296 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC(C2CCC2)CC1 ZINC001363227944 885765908 /nfs/dbraw/zinc/76/59/08/885765908.db2.gz QJMGOUVAUPLJHZ-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccn(CC)c2C)n[n-]1 ZINC001363232864 885779491 /nfs/dbraw/zinc/77/94/91/885779491.db2.gz UTKICMSOFNRJKR-VIFPVBQESA-N -1 1 319.365 1.602 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccn(CC)c2C)[n-]1 ZINC001363232864 885779501 /nfs/dbraw/zinc/77/95/01/885779501.db2.gz UTKICMSOFNRJKR-VIFPVBQESA-N -1 1 319.365 1.602 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccn(CC)c2C)n1 ZINC001363232864 885779511 /nfs/dbraw/zinc/77/95/11/885779511.db2.gz UTKICMSOFNRJKR-VIFPVBQESA-N -1 1 319.365 1.602 20 0 DDADMM CSc1c(F)cccc1[N-]C(=O)c1nc(N)nc(N(C)C)n1 ZINC001363237812 885793248 /nfs/dbraw/zinc/79/32/48/885793248.db2.gz NCUGJSDLGVQYQW-UHFFFAOYSA-N -1 1 322.369 1.633 20 0 DDADMM COc1ccc(CN2CC[C@H](N(C)C(C)=O)C2)cc1C(=O)[O-] ZINC001231755790 885860509 /nfs/dbraw/zinc/86/05/09/885860509.db2.gz YQUJFFAHSICXFI-ZDUSSCGKSA-N -1 1 306.362 1.446 20 0 DDADMM CCC(CC)n1nccc1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363277541 885889827 /nfs/dbraw/zinc/88/98/27/885889827.db2.gz MKVMOIVHTLRCKS-UHFFFAOYSA-N -1 1 303.370 1.567 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCS(=O)(=O)C[C@H]1C1CC1 ZINC001363300960 885954142 /nfs/dbraw/zinc/95/41/42/885954142.db2.gz YJYTUEUDEXEHKQ-NSHDSACASA-N -1 1 313.350 1.181 20 0 DDADMM Cn1ccnc1[C@@H]1C[C@@H](NC(=O)c2ccc([O-])cn2)CCO1 ZINC001363443899 886351273 /nfs/dbraw/zinc/35/12/73/886351273.db2.gz LAVLAFAULAMPFO-GWCFXTLKSA-N -1 1 302.334 1.171 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1C[C@H]1[C@@H]1CCCO1 ZINC001363466275 886416632 /nfs/dbraw/zinc/41/66/32/886416632.db2.gz QRYTUHCCKBXCME-BBBLOLIVSA-N -1 1 309.391 1.510 20 0 DDADMM O=C(NCc1nc2c(c(=O)[nH]1)COCC2)c1ccc(F)c([O-])c1 ZINC001363521188 886545379 /nfs/dbraw/zinc/54/53/79/886545379.db2.gz IZVOIRNVLVJUBV-UHFFFAOYSA-N -1 1 319.292 1.030 20 0 DDADMM COC[C@H]1CN(Cc2ccc(F)c([O-])c2F)Cc2nnn(C)c21 ZINC001232934907 886681610 /nfs/dbraw/zinc/68/16/10/886681610.db2.gz XWOJAJGWNCRTIL-SNVBAGLBSA-N -1 1 324.331 1.545 20 0 DDADMM [O-]c1cc(CN2CCN(c3ccncn3)CC2)cc(F)c1F ZINC001232974870 886705749 /nfs/dbraw/zinc/70/57/49/886705749.db2.gz CKEBTNFXKNGPEW-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM COC[C@H]1Cn2ccnc2CN(Cc2cc(Cl)ncc2[O-])C1 ZINC001233035752 886743906 /nfs/dbraw/zinc/74/39/06/886743906.db2.gz IIYCVTCLMFSHCP-LLVKDONJSA-N -1 1 322.796 1.916 20 0 DDADMM COC(=O)[C@H]1COCCC12CN(Cc1ccc([S-])cc1)C2 ZINC001233262294 886879831 /nfs/dbraw/zinc/87/98/31/886879831.db2.gz ZQDMDKMJBROJNO-CQSZACIVSA-N -1 1 307.415 1.987 20 0 DDADMM CNc1cnc(C(=O)NCCc2c(F)cc([O-])cc2F)cn1 ZINC001363652382 886892376 /nfs/dbraw/zinc/89/23/76/886892376.db2.gz LBIROXKJLHRENH-UHFFFAOYSA-N -1 1 308.288 1.475 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC1CCC2(CC1)OCCO2 ZINC001233755471 887281156 /nfs/dbraw/zinc/28/11/56/887281156.db2.gz BPQAXULJOZEUEV-UHFFFAOYSA-N -1 1 310.306 1.033 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H]1CCC[C@@H](C(=O)OC)C1 ZINC001233757773 887286524 /nfs/dbraw/zinc/28/65/24/887286524.db2.gz GTMBOVDIKKOJLO-BDAKNGLRSA-N -1 1 310.306 1.079 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H](C)COCc1ccccc1 ZINC001233759896 887290521 /nfs/dbraw/zinc/29/05/21/887290521.db2.gz RSDKSTJMNOHAJD-LLVKDONJSA-N -1 1 318.329 1.953 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H]1CCCn2cc(C)nc21 ZINC001233760731 887292099 /nfs/dbraw/zinc/29/20/99/887292099.db2.gz XBNYZXQIZFHEIN-SECBINFHSA-N -1 1 304.306 1.388 20 0 DDADMM CN(C[C@H](O)c1cccnc1)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363887168 887473549 /nfs/dbraw/zinc/47/35/49/887473549.db2.gz QLVJEDSWMLWNJC-ZDUSSCGKSA-N -1 1 314.345 1.260 20 0 DDADMM CCOC(=O)c1n[nH]c(CNCc2ccc(O)c(OCC)c2)n1 ZINC001363931815 887563123 /nfs/dbraw/zinc/56/31/23/887563123.db2.gz CAGWZEVPDFCYDF-UHFFFAOYSA-N -1 1 320.349 1.376 20 0 DDADMM COC(=O)c1cccc2[n-]c(OC3=C(C)[C@H](C)OC3=O)nc21 ZINC001234044849 887584920 /nfs/dbraw/zinc/58/49/20/887584920.db2.gz NVADCEJCIVDLLN-QMMMGPOBSA-N -1 1 302.286 1.948 20 0 DDADMM CCOC(=O)c1n[n-]c(CNCc2ccsc2C(=O)OC)n1 ZINC001363947998 887594759 /nfs/dbraw/zinc/59/47/59/887594759.db2.gz VFDXPJUYFJARSM-UHFFFAOYSA-N -1 1 324.362 1.119 20 0 DDADMM CC(=O)c1cn(C2CN(Cc3ccc([O-])c(F)c3F)C2)nn1 ZINC001363948980 887598168 /nfs/dbraw/zinc/59/81/68/887598168.db2.gz LYNLNXZPHCIELW-UHFFFAOYSA-N -1 1 308.288 1.521 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC(C)(C)n2cccn2)sn1 ZINC001364024055 887739457 /nfs/dbraw/zinc/73/94/57/887739457.db2.gz GRUFPQDTELAYGH-UHFFFAOYSA-N -1 1 316.408 1.062 20 0 DDADMM COC(=O)c1c(NC(=O)CCCC2CC2)n[n-]c1OCCO ZINC001364103537 887925449 /nfs/dbraw/zinc/92/54/49/887925449.db2.gz KXEHGIBSZSYAPI-UHFFFAOYSA-N -1 1 311.338 1.086 20 0 DDADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)CCCC1CC1 ZINC001364103537 887925452 /nfs/dbraw/zinc/92/54/52/887925452.db2.gz KXEHGIBSZSYAPI-UHFFFAOYSA-N -1 1 311.338 1.086 20 0 DDADMM Cc1cccc([C@H]2C(=O)NCCN2C(=O)c2ccc([O-])cn2)c1 ZINC001364127079 887976771 /nfs/dbraw/zinc/97/67/71/887976771.db2.gz NBBXIQDPKOZYOC-HNNXBMFYSA-N -1 1 311.341 1.409 20 0 DDADMM Cc1ccccc1OC[C@@H](C)Oc1c(C(N)=O)nc(C)[n-]c1=O ZINC001234478270 888013514 /nfs/dbraw/zinc/01/35/14/888013514.db2.gz QPSXHDLSNBYSFD-SNVBAGLBSA-N -1 1 317.345 1.744 20 0 DDADMM COC(=O)C(C)(C)n1cc(NC(=O)c2ccc(F)c([O-])c2)cn1 ZINC001364189654 888112057 /nfs/dbraw/zinc/11/20/57/888112057.db2.gz NJCGKFDVWNJGOV-UHFFFAOYSA-N -1 1 321.308 1.888 20 0 DDADMM CCOC(=O)[C@@H](CC)Oc1[n-]c(=O)c(F)cc1C(=O)OC ZINC001234607014 888137913 /nfs/dbraw/zinc/13/79/13/888137913.db2.gz VJAGCHPVBJGZCK-SECBINFHSA-N -1 1 301.270 1.433 20 0 DDADMM C[C@@H]1OC(=O)N[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001364264036 888248591 /nfs/dbraw/zinc/24/85/91/888248591.db2.gz YUQIFOBGHZXKHF-QPUJVOFHSA-N -1 1 302.290 1.013 20 0 DDADMM COc1cc(OC)cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001364639269 889095111 /nfs/dbraw/zinc/09/51/11/889095111.db2.gz IXRULELECCZZPA-UHFFFAOYSA-N -1 1 319.365 1.515 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H]([C@@H]3CCCO3)C2)c(=O)[n-]1 ZINC001364742454 889322447 /nfs/dbraw/zinc/32/24/47/889322447.db2.gz RDPDWSOJTFXREP-KOLCDFICSA-N -1 1 309.391 1.545 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H]1C[C@@H]1OCC ZINC001364772294 889381006 /nfs/dbraw/zinc/38/10/06/889381006.db2.gz ZCSXRBRWQAUQAK-DCAQKATOSA-N -1 1 322.365 1.070 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H]1C[C@@H]1OCC ZINC001364772294 889381018 /nfs/dbraw/zinc/38/10/18/889381018.db2.gz ZCSXRBRWQAUQAK-DCAQKATOSA-N -1 1 322.365 1.070 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H]1C[C@@H]1OCC ZINC001364772294 889381035 /nfs/dbraw/zinc/38/10/35/889381035.db2.gz ZCSXRBRWQAUQAK-DCAQKATOSA-N -1 1 322.365 1.070 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@@H](C)C[C@H]2C)[n-]n1 ZINC001364780133 889400089 /nfs/dbraw/zinc/40/00/89/889400089.db2.gz VAICIZKSGYUKBM-OPRDCNLKSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@@H](C)C[C@H]2C)n[n-]1 ZINC001364780133 889400098 /nfs/dbraw/zinc/40/00/98/889400098.db2.gz VAICIZKSGYUKBM-OPRDCNLKSA-N -1 1 315.395 1.299 20 0 DDADMM CC(=O)c1ccc(CC[N-]S(=O)(=O)c2c(C)onc2N)cc1 ZINC001364798037 889437249 /nfs/dbraw/zinc/43/72/49/889437249.db2.gz KSDIQBIOVIANCM-UHFFFAOYSA-N -1 1 323.374 1.289 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2cc(OC)ccc2[O-])on1 ZINC001364803042 889446163 /nfs/dbraw/zinc/44/61/63/889446163.db2.gz FKMODJTXWHLZMG-UHFFFAOYSA-N -1 1 312.347 1.430 20 0 DDADMM CCOC(=O)[C@](C)(NC(=O)c1ccc([O-])cn1)c1ccccc1 ZINC001364881008 889600762 /nfs/dbraw/zinc/60/07/62/889600762.db2.gz ZKKZYURFBIWWLC-QGZVFWFLSA-N -1 1 314.341 1.996 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CC2(CCOCC2)CC[C@@H]1CO ZINC001364907136 889646194 /nfs/dbraw/zinc/64/61/94/889646194.db2.gz GOGCJYKCXPPJHL-GFCCVEGCSA-N -1 1 306.362 1.181 20 0 DDADMM COCCc1noc(CN(C)Cc2ccc([O-])c(F)c2F)n1 ZINC001364923047 889674491 /nfs/dbraw/zinc/67/44/91/889674491.db2.gz KMBPCLNBPVCYBX-UHFFFAOYSA-N -1 1 313.304 1.874 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)c2cn(C)nc2C)sn1 ZINC001365032043 889941239 /nfs/dbraw/zinc/94/12/39/889941239.db2.gz AKFQNZGZPAFVJT-QMMMGPOBSA-N -1 1 316.408 1.233 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCC(=O)N2CCC[C@H]2C1 ZINC001238244175 890149302 /nfs/dbraw/zinc/14/93/02/890149302.db2.gz IPELQKIGYODVSV-ZDUSSCGKSA-N -1 1 306.337 1.721 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1sc(C)nc1C)c1noc(C)n1 ZINC001365130002 890164386 /nfs/dbraw/zinc/16/43/86/890164386.db2.gz BLUFOFNQMJLOFE-SECBINFHSA-N -1 1 316.408 1.881 20 0 DDADMM Cc1[nH][n-]c(=O)c1Sc1nnc(Cc2ccccc2F)o1 ZINC001365251438 890436449 /nfs/dbraw/zinc/43/64/49/890436449.db2.gz GZHWZRXIBLMEKC-LLVKDONJSA-N -1 1 306.322 1.766 20 0 DDADMM C[C@@H]1CCC[C@H](CNC(=O)Nc2nn[nH]c2C(=O)NC2CC2)C1 ZINC001365300377 890540577 /nfs/dbraw/zinc/54/05/77/890540577.db2.gz JMYGZJAEWSOHEC-ZJUUUORDSA-N -1 1 320.397 1.645 20 0 DDADMM CCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1CC ZINC001416986363 890588390 /nfs/dbraw/zinc/58/83/90/890588390.db2.gz KNWYLKDMMMDZRK-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM COc1cnc(C2(NC(=O)O[C@@H]3CCOC3)CCCC2)[n-]c1=O ZINC001365464303 890842092 /nfs/dbraw/zinc/84/20/92/890842092.db2.gz CQBRNAOWUFHEAV-SNVBAGLBSA-N -1 1 323.349 1.475 20 0 DDADMM CN1CCN(c2nccc(-c3cccc(/C=C/C(=O)[O-])c3)n2)CC1 ZINC001240548554 890982283 /nfs/dbraw/zinc/98/22/83/890982283.db2.gz GLUGIWQYSCDJPJ-AATRIKPKSA-N -1 1 324.384 1.993 20 0 DDADMM NC(=O)[C@@H]1CCCN1c1cc(-c2cc(F)ccc2[O-])ncn1 ZINC001240696791 891021452 /nfs/dbraw/zinc/02/14/52/891021452.db2.gz XJLDXOPQQNTUPL-LBPRGKRZSA-N -1 1 302.309 1.442 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1-c1nccnc1N1CCN(C)CC1 ZINC001241000101 891114986 /nfs/dbraw/zinc/11/49/86/891114986.db2.gz DRNNVGGZMMRIGV-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM CN1CCN(c2cc(-c3ccc(C(=O)[O-])c(F)c3)ncn2)CC1 ZINC001241184271 891130701 /nfs/dbraw/zinc/13/07/01/891130701.db2.gz ZERHUOCRQMEGBO-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM CNc1cc(C(=O)NC2(c3nn[n-]n3)CCC2)cc(Cl)n1 ZINC000736888144 913548975 /nfs/dbraw/zinc/54/89/75/913548975.db2.gz UCEMXCYFBGUBGQ-UHFFFAOYSA-N -1 1 307.745 1.099 20 0 DDADMM O=S(=O)([N-][C@@H](C1CC1)C(F)(F)F)c1cnn2ccccc12 ZINC001365711308 891380382 /nfs/dbraw/zinc/38/03/82/891380382.db2.gz MNEGZFMOSMQWSV-NSHDSACASA-N -1 1 319.308 1.954 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C1CC1 ZINC001365722265 891396999 /nfs/dbraw/zinc/39/69/99/891396999.db2.gz VZVMOMDNMLTOGF-LBPRGKRZSA-N -1 1 301.368 1.053 20 0 DDADMM CCCCC(=O)NC[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001386653437 891411222 /nfs/dbraw/zinc/41/12/22/891411222.db2.gz XBUMCVOHMKGWOQ-SNVBAGLBSA-N -1 1 320.393 1.484 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1cncs1)c1cc(F)ccc1F ZINC001365736488 891422388 /nfs/dbraw/zinc/42/23/88/891422388.db2.gz WSPLGPIKDZJDHG-SECBINFHSA-N -1 1 320.342 1.433 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3cccc4c3OCO4)CC2)n1 ZINC001365748000 891451153 /nfs/dbraw/zinc/45/11/53/891451153.db2.gz NJTFJAMIFIFROQ-UHFFFAOYSA-N -1 1 323.330 1.074 20 0 DDADMM CCN(C(=O)[C@@H]1CC12CC2)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001396299792 913561986 /nfs/dbraw/zinc/56/19/86/913561986.db2.gz AXCMRNXXMJCMNH-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM COC(=O)c1c(F)cc(-c2ccc(-c3nnn[n-]3)nc2)cc1F ZINC001244604133 891873106 /nfs/dbraw/zinc/87/31/06/891873106.db2.gz XTHXHIBTQKJYGU-UHFFFAOYSA-N -1 1 317.255 1.994 20 0 DDADMM COC(=O)c1c(F)cc(-c2ccc(-c3nn[n-]n3)nc2)cc1F ZINC001244604133 891873118 /nfs/dbraw/zinc/87/31/18/891873118.db2.gz XTHXHIBTQKJYGU-UHFFFAOYSA-N -1 1 317.255 1.994 20 0 DDADMM COc1ncc(-c2cnc(F)nc2F)cc1[N-]S(C)(=O)=O ZINC001244792832 891928499 /nfs/dbraw/zinc/92/84/99/891928499.db2.gz GYYIQJGXMWGEBT-UHFFFAOYSA-N -1 1 316.289 1.197 20 0 DDADMM O=C(c1cnccn1)n1[n-]c(COCc2ccccc2)cc1=O ZINC001245923378 892271764 /nfs/dbraw/zinc/27/17/64/892271764.db2.gz PCPSERCIWMSYAM-UHFFFAOYSA-N -1 1 310.313 1.784 20 0 DDADMM CCC(=O)NC[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001387238434 892677711 /nfs/dbraw/zinc/67/77/11/892677711.db2.gz UBUUORVTKWEFKA-SNVBAGLBSA-N -1 1 318.377 1.048 20 0 DDADMM CCCC[C@@H](C)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001366211673 892746840 /nfs/dbraw/zinc/74/68/40/892746840.db2.gz FLDBQYNUEJZKRC-OLZOCXBDSA-N -1 1 323.441 1.807 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1ccn(C)c1 ZINC001387441130 893109477 /nfs/dbraw/zinc/10/94/77/893109477.db2.gz MEIGURRPBBBGMZ-LLVKDONJSA-N -1 1 316.361 1.064 20 0 DDADMM C[C@H](CCNC(=O)c1cc[nH]c1)NC(=O)c1ncccc1[O-] ZINC001387485535 893208654 /nfs/dbraw/zinc/20/86/54/893208654.db2.gz CAEOZHDNUPULHX-SNVBAGLBSA-N -1 1 302.334 1.054 20 0 DDADMM O=C([O-])c1c(F)ccc(CN2CCC[C@]3(CCNC3=O)C2)c1F ZINC001249765961 894121497 /nfs/dbraw/zinc/12/14/97/894121497.db2.gz DNKCBSQHZMYMQG-INIZCTEOSA-N -1 1 324.327 1.765 20 0 DDADMM CCOC(=O)c1nonc1[N-]c1cc(C)c(OC)nc1OC ZINC001250179092 894208336 /nfs/dbraw/zinc/20/83/36/894208336.db2.gz AUJCKRYSVVFXSG-UHFFFAOYSA-N -1 1 308.294 1.711 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NS(=O)(=O)c1ccccc1 ZINC001251116495 894642061 /nfs/dbraw/zinc/64/20/61/894642061.db2.gz NYAOWQVRQMKOKB-UHFFFAOYSA-N -1 1 312.332 1.670 20 0 DDADMM CCS(=O)(=O)[N-]c1ccc2c(c1C(=O)OC)OC[C@H]1C[C@@H]21 ZINC001253367012 895799691 /nfs/dbraw/zinc/79/96/91/895799691.db2.gz PAQBVCIOPXJJQN-PSASIEDQSA-N -1 1 311.359 1.731 20 0 DDADMM CCCCC1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC1 ZINC001389177141 896618727 /nfs/dbraw/zinc/61/87/27/896618727.db2.gz PRVQNXWHZLKVCS-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1ccc([C@@H](C)C(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)o1 ZINC001367388007 896633542 /nfs/dbraw/zinc/63/35/42/896633542.db2.gz GLEQCWNIKHDJOO-GXSJLCMTSA-N -1 1 321.381 1.152 20 0 DDADMM Cc1cnc(=O)[nH]c1[N-]S(=O)(=O)c1ccc(F)cc1F ZINC001256094706 897281498 /nfs/dbraw/zinc/28/14/98/897281498.db2.gz SSVAZMNUHAPPFU-UHFFFAOYSA-N -1 1 301.274 1.570 20 0 DDADMM CCOC(=O)N1CCC(Nc2[n-]c(=O)nc3nc[nH]c32)CC1 ZINC001256234562 897329483 /nfs/dbraw/zinc/32/94/83/897329483.db2.gz KKLOUOVNBKYLQH-UHFFFAOYSA-N -1 1 306.326 1.091 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1ccnnc1Cl ZINC001258361313 898154898 /nfs/dbraw/zinc/15/48/98/898154898.db2.gz NCQDQHRTHNWTGU-UHFFFAOYSA-N -1 1 322.177 1.626 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cn(C)cn2)n(-c2ccncc2)n1 ZINC001258993708 898398714 /nfs/dbraw/zinc/39/87/14/898398714.db2.gz DQHYDHJZCUJRNH-UHFFFAOYSA-N -1 1 318.362 1.110 20 0 DDADMM C[C@@]1(O)C[C@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC001259042617 898437104 /nfs/dbraw/zinc/43/71/04/898437104.db2.gz BTMRVIUJTSQFOZ-OGXFKERWSA-N -1 1 309.309 1.543 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCCN1 ZINC001259044867 898440282 /nfs/dbraw/zinc/44/02/82/898440282.db2.gz FIJBIVPPLOTZEV-ZETCQYMHSA-N -1 1 322.308 1.051 20 0 DDADMM O=C1CCC[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC001259082290 898457967 /nfs/dbraw/zinc/45/79/67/898457967.db2.gz UJEYKXPUXMVIBC-ZETCQYMHSA-N -1 1 307.293 1.894 20 0 DDADMM CCCOC(=O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259083866 898459195 /nfs/dbraw/zinc/45/91/95/898459195.db2.gz ZCFNMCGPGAWISA-UHFFFAOYSA-N -1 1 311.281 1.335 20 0 DDADMM O=c1[nH]c2ccc(S(=O)(=O)[N-]c3cccnc3F)cc2o1 ZINC001259377964 898642675 /nfs/dbraw/zinc/64/26/75/898642675.db2.gz WJJKCFYCVGKXBL-UHFFFAOYSA-N -1 1 309.278 1.868 20 0 DDADMM Cc1ccc2c(c1)[C@@H]([N-]S(=O)(=O)c1cccnc1)C(=O)N2 ZINC001259609322 898739866 /nfs/dbraw/zinc/73/98/66/898739866.db2.gz OOCSNDLGOHJRQK-CYBMUJFWSA-N -1 1 303.343 1.362 20 0 DDADMM COC(=O)C1CN(c2ccc([N-]S(=O)(=O)C(F)F)cc2)C1 ZINC001259958869 898981587 /nfs/dbraw/zinc/98/15/87/898981587.db2.gz VZLUWMLXARQOGG-UHFFFAOYSA-N -1 1 320.317 1.260 20 0 DDADMM COC(=O)C[C@@H]([N-]S(=O)(=O)C(F)F)c1cccc(O)c1 ZINC001259962010 898984186 /nfs/dbraw/zinc/98/41/86/898984186.db2.gz AZUUTHVQMLGJOW-SECBINFHSA-N -1 1 309.290 1.138 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1cnc2ccccn12 ZINC001260105412 899055171 /nfs/dbraw/zinc/05/51/71/899055171.db2.gz ARJLKOQZYCBBKF-UHFFFAOYSA-N -1 1 305.363 1.485 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2cccnc2CO)c1 ZINC001260184585 899078213 /nfs/dbraw/zinc/07/82/13/899078213.db2.gz RNMKPCHVVJGYMU-UHFFFAOYSA-N -1 1 308.315 1.073 20 0 DDADMM CC(=O)N1CC([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)C1 ZINC001260207093 899091740 /nfs/dbraw/zinc/09/17/40/899091740.db2.gz KTGXYFMZBQDHIU-UHFFFAOYSA-N -1 1 324.736 1.127 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@@H](O)C1)c1cc(F)c(F)cc1Cl ZINC001260286121 899109173 /nfs/dbraw/zinc/10/91/73/899109173.db2.gz AXRJCFFWBSRUTE-NKWVEPMBSA-N -1 1 311.737 1.810 20 0 DDADMM CCc1nnc([C@H](C)N[C@H](C)CNC(=O)c2ncccc2[O-])o1 ZINC001390347290 899221018 /nfs/dbraw/zinc/22/10/18/899221018.db2.gz UZYNJHOBKMEWNQ-ZJUUUORDSA-N -1 1 319.365 1.202 20 0 DDADMM CCOC(=O)[C@@H](CC)C(=O)OCC(=O)c1ccc([O-])cc1O ZINC001261366037 899516801 /nfs/dbraw/zinc/51/68/01/899516801.db2.gz GKBIMKRAUQYDID-SNVBAGLBSA-N -1 1 310.302 1.413 20 0 DDADMM CC(C)c1nc(CCNCc2cn(CC(=O)[O-])nn2)cs1 ZINC001262159005 899992419 /nfs/dbraw/zinc/99/24/19/899992419.db2.gz FYJTUXGHTVGCSM-UHFFFAOYSA-N -1 1 309.395 1.275 20 0 DDADMM CC(=O)NC[C@@]1(NC(=O)c2ncccc2[O-])CCCC[C@@H]1C ZINC001390878720 900425764 /nfs/dbraw/zinc/42/57/64/900425764.db2.gz XVHBIKSIXNDHSR-ZBEGNZNMSA-N -1 1 305.378 1.602 20 0 DDADMM CC(C)CCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001369388374 901161190 /nfs/dbraw/zinc/16/11/90/901161190.db2.gz GYQAFTNJQQWXOY-NWDGAFQWSA-N -1 1 309.414 1.416 20 0 DDADMM C[C@@H](CNC(=O)CCC1CC1)CNC(=O)c1ncccc1[O-] ZINC001391210095 901233121 /nfs/dbraw/zinc/23/31/21/901233121.db2.gz OJAJNNMYCHKVMR-NSHDSACASA-N -1 1 305.378 1.460 20 0 DDADMM CCC[C@H](C)C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369449455 901319992 /nfs/dbraw/zinc/31/99/92/901319992.db2.gz SKTSBKDKEFWVRZ-WDEREUQCSA-N -1 1 309.414 1.273 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C1CC(C)(C)C1 ZINC001369836342 902065510 /nfs/dbraw/zinc/06/55/10/902065510.db2.gz RYAKZGJWIUAVKF-LLVKDONJSA-N -1 1 319.405 1.800 20 0 DDADMM CC[C@H](C)C(=O)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001391840365 902698042 /nfs/dbraw/zinc/69/80/42/902698042.db2.gz HKEQBRYCCWWQSM-NSHDSACASA-N -1 1 309.414 1.275 20 0 DDADMM C[C@H](CN(C)[C@H](C)c1cnccn1)NC(=O)c1ncccc1[O-] ZINC001375033162 914720208 /nfs/dbraw/zinc/72/02/08/914720208.db2.gz ONSMROBAOBQWIW-VXGBXAGGSA-N -1 1 315.377 1.389 20 0 DDADMM Cc1noc(C)c1CN(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001392274824 903733082 /nfs/dbraw/zinc/73/30/82/903733082.db2.gz UQOBPBIVWPMALA-UHFFFAOYSA-N -1 1 318.377 1.596 20 0 DDADMM C[C@H](CNC(=O)C1CC(F)(F)C1)NC(=O)c1ncccc1[O-] ZINC001392298161 903805647 /nfs/dbraw/zinc/80/56/47/903805647.db2.gz UMLVVSLDNFLWQD-MRVPVSSYSA-N -1 1 313.304 1.067 20 0 DDADMM C[C@H](CCCNC(=O)C1CC(C)C1)NC(=O)c1ncccc1[O-] ZINC001280813367 904075864 /nfs/dbraw/zinc/07/58/64/904075864.db2.gz UZWNOYNXWOZXRE-OTTFEQOBSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)C1(CF)CC1)NC(=O)c1ncccc1[O-] ZINC001371274603 904620084 /nfs/dbraw/zinc/62/00/84/904620084.db2.gz KSPBSXXYHQMJAJ-MNOVXSKESA-N -1 1 323.368 1.550 20 0 DDADMM CCC1(C(=O)N[C@@H](C)C[C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001371285553 904633748 /nfs/dbraw/zinc/63/37/48/904633748.db2.gz XMKQSILBOWSWGY-RYUDHWBXSA-N -1 1 319.405 1.991 20 0 DDADMM CC[C@@H](C)C(=O)NC[C@@H](C)N(CC)C(=O)c1ncccc1[O-] ZINC001371329293 904713310 /nfs/dbraw/zinc/71/33/10/904713310.db2.gz QQTBFQSGZDDCFP-VXGBXAGGSA-N -1 1 307.394 1.800 20 0 DDADMM CCC[C@H](C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001372482822 907409458 /nfs/dbraw/zinc/40/94/58/907409458.db2.gz GRCLXLRJYVSKSV-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])Cc1cnns1 ZINC001393616942 907499124 /nfs/dbraw/zinc/49/91/24/907499124.db2.gz COBOVSFGULVJCO-UHFFFAOYSA-N -1 1 321.406 1.233 20 0 DDADMM CCCC[C@H](C)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001393983727 908456855 /nfs/dbraw/zinc/45/68/55/908456855.db2.gz VSCGUGJRKILNIN-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CCCC1(C)C ZINC001373412587 909791778 /nfs/dbraw/zinc/79/17/78/909791778.db2.gz JYBFGMRCRPMHSR-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM CC[C@H](CC(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1)C(C)C ZINC001394536265 909930352 /nfs/dbraw/zinc/93/03/52/909930352.db2.gz HQWDLRFYWAUGDV-VXGBXAGGSA-N -1 1 311.430 1.519 20 0 DDADMM O=C(NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1)C1=CCCC1 ZINC001373940043 911415478 /nfs/dbraw/zinc/41/54/78/911415478.db2.gz YUMYQMXBFIHUTD-UHFFFAOYSA-N -1 1 319.409 1.339 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])c1ccoc1 ZINC001395444590 912002373 /nfs/dbraw/zinc/00/23/73/912002373.db2.gz DXSYCQHMBWIGHC-NSHDSACASA-N -1 1 301.302 1.025 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C=C/c3ccncc3C)nc2n1 ZINC001287285891 912158740 /nfs/dbraw/zinc/15/87/40/912158740.db2.gz MIIJLHVVXTWZPS-SNAWJCMRSA-N -1 1 324.344 1.335 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cccc(OC)c3)nc2n1 ZINC001287285866 912159973 /nfs/dbraw/zinc/15/99/73/912159973.db2.gz LLYHEKPBBSGJKK-UHFFFAOYSA-N -1 1 313.317 1.241 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H]2CCCCC23OCCO3)c1 ZINC001295453433 915807388 /nfs/dbraw/zinc/80/73/88/915807388.db2.gz IVPLDSJXWMWMHK-AWEZNQCLSA-N -1 1 319.357 1.929 20 0 DDADMM C[C@H](CNC(=O)/C=C\c1ccc[nH]1)NC(=O)c1ncccc1[O-] ZINC001295469072 915823974 /nfs/dbraw/zinc/82/39/74/915823974.db2.gz HEXAQSYDYFXLBQ-JMEBYUIHSA-N -1 1 314.345 1.063 20 0 DDADMM COc1cccc(NC(=O)c2cnc(SC)[n-]c2=O)c1O ZINC001295665525 915968549 /nfs/dbraw/zinc/96/85/49/915968549.db2.gz MUPWNGJAFGKVPB-UHFFFAOYSA-N -1 1 307.331 1.871 20 0 DDADMM CC/C(C)=C/C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001296420240 916401894 /nfs/dbraw/zinc/40/18/94/916401894.db2.gz WAICTPDISNFJGO-XSNHNAGMSA-N -1 1 317.389 1.864 20 0 DDADMM CC(C)C(=O)NC[C@@H]1[C@@H](C)CCCN1C(=O)c1ncccc1[O-] ZINC001375917588 917256363 /nfs/dbraw/zinc/25/63/63/917256363.db2.gz XPEIDZMFCOJOSV-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H](CNC(=O)Cc1ccccc1Cl)NCc1n[nH]c(=O)[n-]1 ZINC001376872652 919626731 /nfs/dbraw/zinc/62/67/31/919626731.db2.gz WZOQTMICWTXDAE-VIFPVBQESA-N -1 1 323.784 1.001 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H](C)Cc3ccco3)nc2n1 ZINC000622869886 365548768 /nfs/dbraw/zinc/54/87/68/365548768.db2.gz KLNRCQDTJUBNPO-MRVPVSSYSA-N -1 1 301.306 1.136 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ccccc3CC)nc2n1 ZINC000622994458 365587393 /nfs/dbraw/zinc/58/73/93/365587393.db2.gz PTDTXJLXQAJAQW-UHFFFAOYSA-N -1 1 311.345 1.795 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cc(C)[nH]c3C)nc2n1 ZINC000622995072 365589197 /nfs/dbraw/zinc/58/91/97/365589197.db2.gz NTCSKWYILSOZPJ-UHFFFAOYSA-N -1 1 300.322 1.177 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@H]4C[C@H]4C3)nc2n1 ZINC000622998254 365592089 /nfs/dbraw/zinc/59/20/89/365592089.db2.gz BHVANWKLGPVDIL-MYJAWHEDSA-N -1 1 301.350 1.355 20 0 DDADMM CCN1CCN(C(=O)c2c(C)nc(C(C)(C)C)[nH]c2=O)[C@H](C)C1 ZINC000286557622 219052643 /nfs/dbraw/zinc/05/26/43/219052643.db2.gz ADNAYUHMRZAKJF-LLVKDONJSA-N -1 1 320.437 1.954 20 0 DDADMM CCc1c[nH]c(=O)c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000614389352 361832369 /nfs/dbraw/zinc/83/23/69/361832369.db2.gz MBSQXRWPQSKXNG-SNVBAGLBSA-N -1 1 318.333 1.458 20 0 DDADMM NC(=O)[C@H]1CCC[C@@H]([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000451219753 231036047 /nfs/dbraw/zinc/03/60/47/231036047.db2.gz QDATVMPOZUBVIY-JGVFFNPUSA-N -1 1 322.839 1.724 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cccc(C)c2O)co1 ZINC000132587732 539189418 /nfs/dbraw/zinc/18/94/18/539189418.db2.gz KZBNYYXVDFFHLZ-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM CC[C@@]1(C(C)C)C[C@@H]1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000457276535 529520466 /nfs/dbraw/zinc/52/04/66/529520466.db2.gz KFBWJSOMHFCJLM-FZMZJTMJSA-N -1 1 314.407 1.742 20 0 DDADMM Nc1ncc(Cl)cc1S(=O)(=O)[N-]c1ccc2n[nH]nc2c1 ZINC000164793080 539225505 /nfs/dbraw/zinc/22/55/05/539225505.db2.gz FVSDMHLSTOSZGD-UHFFFAOYSA-N -1 1 324.753 1.389 20 0 DDADMM C[C@@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1[O-] ZINC000153836704 290654579 /nfs/dbraw/zinc/65/45/79/290654579.db2.gz RNTMMZUQWLIOIU-QMMMGPOBSA-N -1 1 305.783 1.599 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)[C@H](O)C(C)C ZINC000416622437 529643845 /nfs/dbraw/zinc/64/38/45/529643845.db2.gz MLEBZZRGUBYSEG-CMPLNLGQSA-N -1 1 319.379 1.140 20 0 DDADMM C[C@@H]1CC[C@H](N(CCO)C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000454343894 231568149 /nfs/dbraw/zinc/56/81/49/231568149.db2.gz ODERUJNYOAKLLK-NEPJUHHUSA-N -1 1 315.373 1.546 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@H](n1cccn1)C(F)(F)F ZINC000615229791 362205595 /nfs/dbraw/zinc/20/55/95/362205595.db2.gz WYVUSYWFRPHMHX-ZETCQYMHSA-N -1 1 303.244 1.752 20 0 DDADMM CCc1ncc(C[N-]S(=O)(=O)c2cc(C(=O)OC)co2)o1 ZINC000451961909 529756355 /nfs/dbraw/zinc/75/63/55/529756355.db2.gz VCAAFPUVNIWCCT-UHFFFAOYSA-N -1 1 314.319 1.095 20 0 DDADMM CN(C[C@@H]1CCCC[C@H]1O)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000305836054 529948085 /nfs/dbraw/zinc/94/80/85/529948085.db2.gz XBGQKVWPLCKTBY-SMDDNHRTSA-N -1 1 315.373 1.959 20 0 DDADMM C[C@]1(CNC(=O)c2ccc(C(F)(F)F)cc2[O-])CCC(=O)N1 ZINC000457205869 232014396 /nfs/dbraw/zinc/01/43/96/232014396.db2.gz ZSFMWWMYYHXACP-CYBMUJFWSA-N -1 1 316.279 1.810 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCc3nccn3C2)c1Br ZINC000616001871 362516741 /nfs/dbraw/zinc/51/67/41/362516741.db2.gz FLIFDNNPSIYCSR-MRVPVSSYSA-N -1 1 324.182 1.300 20 0 DDADMM C[C@@H](C[C@H]1CCCO1)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358331080 299122698 /nfs/dbraw/zinc/12/26/98/299122698.db2.gz LJJHLGSSSRXROP-VHSXEESVSA-N -1 1 304.350 1.361 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCc3ccc(O)cc3C1)c2=O ZINC000358388285 299139141 /nfs/dbraw/zinc/13/91/41/299139141.db2.gz UITJPFRJVSPJSM-UHFFFAOYSA-N -1 1 324.340 1.578 20 0 DDADMM COc1cc(O)cc(NC(=O)c2cnc3n(C)[n-]cc-3c2=O)c1 ZINC000358409843 299144603 /nfs/dbraw/zinc/14/46/03/299144603.db2.gz JDUYMQOPVUVHAJ-UHFFFAOYSA-N -1 1 314.301 1.640 20 0 DDADMM Cn1ccnc1[C@H](NC(=O)c1ccc([O-])cc1F)C(C)(C)CO ZINC000616864648 362850640 /nfs/dbraw/zinc/85/06/40/362850640.db2.gz IDYQAYCYXVSZCW-ZDUSSCGKSA-N -1 1 321.352 1.754 20 0 DDADMM C[C@H](C(=O)Nc1nnn[n-]1)c1ccc(Br)s1 ZINC000080353897 192151574 /nfs/dbraw/zinc/15/15/74/192151574.db2.gz IILKMMODRGEYSM-BYPYZUCNSA-N -1 1 302.157 1.766 20 0 DDADMM C[C@H](C(=O)Nc1nn[n-]n1)c1ccc(Br)s1 ZINC000080353897 192151577 /nfs/dbraw/zinc/15/15/77/192151577.db2.gz IILKMMODRGEYSM-BYPYZUCNSA-N -1 1 302.157 1.766 20 0 DDADMM COc1ccc(-c2ncc(C(=O)Nc3nn[n-]n3)s2)cc1 ZINC000080353946 192151988 /nfs/dbraw/zinc/15/19/88/192151988.db2.gz UBYMQHGGEATNDN-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM CC(C)c1ccc([C@@H](C)CC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000130189962 185945190 /nfs/dbraw/zinc/94/51/90/185945190.db2.gz SDWGYVPHSRUOAN-NSHDSACASA-N -1 1 303.362 1.887 20 0 DDADMM Cc1cccc(CCCNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)n1 ZINC000287062214 219293185 /nfs/dbraw/zinc/29/31/85/219293185.db2.gz FCWLTMNICJPANL-JCMHNJIXSA-N -1 1 324.388 1.800 20 0 DDADMM Cc1ccc(CCC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)o1 ZINC000331394834 233302776 /nfs/dbraw/zinc/30/27/76/233302776.db2.gz KLGSXPLSRINDAD-UHFFFAOYSA-N -1 1 321.406 1.133 20 0 DDADMM CCC[C@@H](NC(=O)c1noc2c1CCCCC2)c1nn[n-]n1 ZINC000155764013 186110745 /nfs/dbraw/zinc/11/07/45/186110745.db2.gz XBAMRWNAGGYHMF-SNVBAGLBSA-N -1 1 304.354 1.728 20 0 DDADMM Cn1nccc1S(=O)(=O)[N-]c1c2c(ccc1Cl)OCC2 ZINC000564811173 304015051 /nfs/dbraw/zinc/01/50/51/304015051.db2.gz YFWHNISYETVTLR-UHFFFAOYSA-N -1 1 313.766 1.809 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2C[C@@H](C)C[C@H](C)C2)o1 ZINC000172597059 198121201 /nfs/dbraw/zinc/12/12/01/198121201.db2.gz CGZRGEPAAISIQO-UWVGGRQHSA-N -1 1 314.407 1.742 20 0 DDADMM CN1CC[C@H](NC(=O)c2cc(Br)ccc2[O-])C1=O ZINC000172547418 198113213 /nfs/dbraw/zinc/11/32/13/198113213.db2.gz IDFTWJALXSOJLB-VIFPVBQESA-N -1 1 313.151 1.115 20 0 DDADMM CC[C@@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCS1 ZINC000287217484 219387345 /nfs/dbraw/zinc/38/73/45/219387345.db2.gz PQQUAPFXVCAYQO-IQHDWMNZSA-N -1 1 305.407 1.701 20 0 DDADMM O=S(=O)([N-]Cc1ncc[nH]1)c1sccc1Br ZINC000080624327 192173756 /nfs/dbraw/zinc/17/37/56/192173756.db2.gz CNOHZPHBYYOLLI-UHFFFAOYSA-N -1 1 322.209 1.712 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC1CC(F)(F)C1 ZINC000277187090 213352751 /nfs/dbraw/zinc/35/27/51/213352751.db2.gz BIHIAPMSOZLJBP-UHFFFAOYSA-N -1 1 324.331 1.898 20 0 DDADMM COC(=O)CCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000007304568 352122949 /nfs/dbraw/zinc/12/29/49/352122949.db2.gz BYZPMGGGOPSOKK-UHFFFAOYSA-N -1 1 311.281 1.335 20 0 DDADMM COc1ccc(C(=O)Nc2nc(CC(N)=O)cs2)c([O-])c1 ZINC000021495529 352173486 /nfs/dbraw/zinc/17/34/86/352173486.db2.gz PPKLJCLYGMQZQG-UHFFFAOYSA-N -1 1 307.331 1.137 20 0 DDADMM CCCCCOc1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000029023493 352234132 /nfs/dbraw/zinc/23/41/32/352234132.db2.gz DRXPIAWWPMDQCU-UHFFFAOYSA-N -1 1 305.334 1.452 20 0 DDADMM O=C(Nc1cccc(N2C(=O)CNC2=O)c1)c1ccccc1[O-] ZINC000031538620 352268533 /nfs/dbraw/zinc/26/85/33/352268533.db2.gz HNQWTMWVDAQKII-UHFFFAOYSA-N -1 1 311.297 1.701 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@H](CO)NC(=O)c2c([O-])cccc2F)C1 ZINC000617538050 363165763 /nfs/dbraw/zinc/16/57/63/363165763.db2.gz XTYTUMAFCTVRLU-WZRBSPASSA-N -1 1 311.353 1.827 20 0 DDADMM COc1cc(NC(=O)c2cnccn2)ccc1[N-]S(C)(=O)=O ZINC000033067325 352288184 /nfs/dbraw/zinc/28/81/84/352288184.db2.gz LIWZKHMYIIWWGS-UHFFFAOYSA-N -1 1 322.346 1.109 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@@H](C)Cc2ccc(O)cc2)n1 ZINC000056770159 352783540 /nfs/dbraw/zinc/78/35/40/352783540.db2.gz UFQZYUHEHYTJPL-JTQLQIEISA-N -1 1 317.349 1.448 20 0 DDADMM CCN(CC)S(=O)(=O)c1ccc([O-])c(NC(=O)COC)c1 ZINC000057028088 352795990 /nfs/dbraw/zinc/79/59/90/352795990.db2.gz YISJXMSCECMJBC-UHFFFAOYSA-N -1 1 316.379 1.008 20 0 DDADMM COc1ccccc1OCCC(=O)NCc1n[n-]c(=S)n1C ZINC000067050047 353032469 /nfs/dbraw/zinc/03/24/69/353032469.db2.gz OSPSWKVQQBAPFB-UHFFFAOYSA-N -1 1 322.390 1.572 20 0 DDADMM C[C@H](NC(=O)CN1CC[C@H](C)[C@@H](C(=O)[O-])C1)c1cccs1 ZINC000564962905 304030690 /nfs/dbraw/zinc/03/06/90/304030690.db2.gz FQCSDROFJFOSKD-SRVKXCTJSA-N -1 1 310.419 1.968 20 0 DDADMM CCCCCCOc1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000070900450 353185226 /nfs/dbraw/zinc/18/52/26/353185226.db2.gz GFZAOYSZFFUBCD-UHFFFAOYSA-N -1 1 319.361 1.842 20 0 DDADMM O=C(NCC1(CCO)CCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000072931399 353226565 /nfs/dbraw/zinc/22/65/65/353226565.db2.gz BRMSLNRGRULRDN-UHFFFAOYSA-N -1 1 315.373 1.596 20 0 DDADMM O=C(Nc1nn[n-]n1)c1nn(-c2ccc(F)cc2)c2c1CCC2 ZINC000076963599 353445737 /nfs/dbraw/zinc/44/57/37/353445737.db2.gz KRGVYXXXBSZJMZ-UHFFFAOYSA-N -1 1 313.296 1.266 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@H](NC(=O)c2cc(F)ccc2[O-])C1 ZINC000080030038 353587967 /nfs/dbraw/zinc/58/79/67/353587967.db2.gz CFVAFFLWHBRXAB-QWRGUYRKSA-N -1 1 315.366 1.617 20 0 DDADMM CC(C)OC(=O)CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601292637 358481213 /nfs/dbraw/zinc/48/12/13/358481213.db2.gz RGARNICJYLBMRF-UHFFFAOYSA-N -1 1 311.306 1.837 20 0 DDADMM CCN(C(=O)c1ncc(C)cc1[O-])[C@@H](C)CS(=O)(=O)CC ZINC000358640895 299210678 /nfs/dbraw/zinc/21/06/78/299210678.db2.gz WRVBELUBTMWWMR-NSHDSACASA-N -1 1 314.407 1.381 20 0 DDADMM C[C@H](CCC(=O)[O-])CNS(=O)(=O)c1c(F)cccc1F ZINC000123917665 354035307 /nfs/dbraw/zinc/03/53/07/354035307.db2.gz FTIZWUFNDVEPHU-MRVPVSSYSA-N -1 1 307.318 1.744 20 0 DDADMM O=c1nc(N[C@H](CO)c2cccc(Cl)c2F)nc2[nH][n-]cc1-2 ZINC000358667712 299218111 /nfs/dbraw/zinc/21/81/11/299218111.db2.gz RHQIEUIEQWTOGV-SECBINFHSA-N -1 1 323.715 1.370 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCS(=O)(=O)[C@@H]2CCC[C@H]21 ZINC000584823104 354775754 /nfs/dbraw/zinc/77/57/54/354775754.db2.gz BYCCYKRMEPAONY-DGCLKSJQSA-N -1 1 313.350 1.323 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)Cc3ccc(F)cc3)nc2n1 ZINC000588006445 354892670 /nfs/dbraw/zinc/89/26/70/354892670.db2.gz JJEWTXGFYAQFDS-UHFFFAOYSA-N -1 1 301.281 1.046 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2ccnn2C)c(F)c1 ZINC000588677420 354927331 /nfs/dbraw/zinc/92/73/31/354927331.db2.gz NNUATKQZTPUAFW-UHFFFAOYSA-N -1 1 317.317 1.185 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3cccc(F)c3)nc2n1 ZINC000588745521 354932892 /nfs/dbraw/zinc/93/28/92/354932892.db2.gz XGVYYIQSTAWNPA-UHFFFAOYSA-N -1 1 315.308 1.762 20 0 DDADMM O=C(Cn1cnc2ccccc21)NC1(c2nn[n-]n2)CCCC1 ZINC000346356792 283073042 /nfs/dbraw/zinc/07/30/42/283073042.db2.gz WZBAYIYOHYRUKG-UHFFFAOYSA-N -1 1 311.349 1.135 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2nc3c(s2)CCC3)s1 ZINC000591289699 355280110 /nfs/dbraw/zinc/28/01/10/355280110.db2.gz ZRJNYQZSUZFSQA-UHFFFAOYSA-N -1 1 324.387 1.912 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCS[C@@H]2C)o1 ZINC000592115127 355503994 /nfs/dbraw/zinc/50/39/94/355503994.db2.gz QZGWUVJCBIOOCA-RKDXNWHRSA-N -1 1 319.404 1.629 20 0 DDADMM CC[C@@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000592081800 355488855 /nfs/dbraw/zinc/48/88/55/355488855.db2.gz CYEGVXGQMJYGDU-KOLCDFICSA-N -1 1 319.379 1.142 20 0 DDADMM O=S(=O)([N-]Cc1ccncn1)c1cc(F)c(F)cc1F ZINC000346548788 283121377 /nfs/dbraw/zinc/12/13/77/283121377.db2.gz DYJMGETYNIVGQZ-UHFFFAOYSA-N -1 1 303.265 1.372 20 0 DDADMM CN(Cc1ccccc1Br)C[C@](C)(O)C(=O)[O-] ZINC000080210324 192012985 /nfs/dbraw/zinc/01/29/85/192012985.db2.gz LXGWXCAEGWSGFN-LBPRGKRZSA-N -1 1 302.168 1.717 20 0 DDADMM COC(=O)[C@@](C)(CCF)[N-]S(=O)(=O)CCC(F)(F)F ZINC000594863529 356343279 /nfs/dbraw/zinc/34/32/79/356343279.db2.gz AJJARBRSWIVMHV-MRVPVSSYSA-N -1 1 309.281 1.150 20 0 DDADMM COCC[C@H](C)[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595313215 356444252 /nfs/dbraw/zinc/44/42/52/356444252.db2.gz XXCVQVDORXLLKF-VIFPVBQESA-N -1 1 305.352 1.078 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](C)CSC)cc1C ZINC000595313031 356444796 /nfs/dbraw/zinc/44/47/96/356444796.db2.gz QZXJUGGYPGSVKO-QMMMGPOBSA-N -1 1 307.393 1.404 20 0 DDADMM CCOC(=O)CCN(C)C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081725487 192318327 /nfs/dbraw/zinc/31/83/27/192318327.db2.gz BZVKKWIPJUSYSL-UHFFFAOYSA-N -1 1 323.393 1.401 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)c2ncc[nH]2)n[n-]1 ZINC000597579816 357236669 /nfs/dbraw/zinc/23/66/69/357236669.db2.gz MDCPTUSQKQVFPP-SECBINFHSA-N -1 1 320.353 1.222 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)c2ncc[nH]2)[n-]1 ZINC000597579816 357236677 /nfs/dbraw/zinc/23/66/77/357236677.db2.gz MDCPTUSQKQVFPP-SECBINFHSA-N -1 1 320.353 1.222 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)c2ncc[nH]2)n1 ZINC000597579816 357236683 /nfs/dbraw/zinc/23/66/83/357236683.db2.gz MDCPTUSQKQVFPP-SECBINFHSA-N -1 1 320.353 1.222 20 0 DDADMM CCOC(=O)[C@H]1CCCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000598774424 357724606 /nfs/dbraw/zinc/72/46/06/357724606.db2.gz IBJCLQXQBIHNFG-CYBMUJFWSA-N -1 1 305.330 1.519 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](C)N(Cc2cc(=O)n3[n-]ccc3n2)[C@@H](C)C1 ZINC000599694450 358029134 /nfs/dbraw/zinc/02/91/34/358029134.db2.gz HIHCRFQUZFVPHW-ZSBIGDGJSA-N -1 1 318.377 1.185 20 0 DDADMM CN(CC(=O)NC1CC1)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180337938 199147576 /nfs/dbraw/zinc/14/75/76/199147576.db2.gz VFFNTXFABNZEEX-UHFFFAOYSA-N -1 1 316.279 1.762 20 0 DDADMM CC(C)Cc1nc(=NC(=O)[C@H]2CCc3[nH]cnc3C2)s[n-]1 ZINC000618349925 363600607 /nfs/dbraw/zinc/60/06/07/363600607.db2.gz IHTKKLNTJFPUCQ-VIFPVBQESA-N -1 1 305.407 1.625 20 0 DDADMM CCCN(CC)C(=O)c1ccc(C(=O)NCc2nn[n-]n2)cc1 ZINC000600505218 358242605 /nfs/dbraw/zinc/24/26/05/358242605.db2.gz NDAHKWXXHXVZNL-UHFFFAOYSA-N -1 1 316.365 1.002 20 0 DDADMM O=C(NC[C@@]1(O)CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000180781379 199207573 /nfs/dbraw/zinc/20/75/73/199207573.db2.gz MXSVPQCDKPJXIK-LBPRGKRZSA-N -1 1 305.252 1.292 20 0 DDADMM COC(=O)C1(C(=O)CSc2nc(C)c(C3CC3)c(=O)[n-]2)CC1 ZINC000601087823 358398977 /nfs/dbraw/zinc/39/89/77/358398977.db2.gz IMELXAMJNXRIGH-UHFFFAOYSA-N -1 1 322.386 1.982 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601426055 358547325 /nfs/dbraw/zinc/54/73/25/358547325.db2.gz NVAWCLGWXPZDKT-BDAKNGLRSA-N -1 1 304.393 1.072 20 0 DDADMM CCSC1(CNS(=O)(=O)c2cc(OC)ccc2[O-])CC1 ZINC000601468280 358567147 /nfs/dbraw/zinc/56/71/47/358567147.db2.gz NHHRDZMPCLSDST-UHFFFAOYSA-N -1 1 317.432 1.965 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2C[C@@H](C)O[C@@H]2C)cc1C ZINC000601498934 358576519 /nfs/dbraw/zinc/57/65/19/358576519.db2.gz ICIDRFDLIGUSSK-OPRDCNLKSA-N -1 1 317.363 1.219 20 0 DDADMM C/C=C/C[C@@H]([N-]S(=O)(=O)[C@@H]1CCC[C@H]1OC)C(=O)OCC ZINC000601393301 358533096 /nfs/dbraw/zinc/53/30/96/358533096.db2.gz FMLKOBNNZRFLMU-BXDLYYKMSA-N -1 1 319.423 1.371 20 0 DDADMM CCOC(=O)COCCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601615811 358624669 /nfs/dbraw/zinc/62/46/69/358624669.db2.gz NLWWJISSZIZBNO-UHFFFAOYSA-N -1 1 315.753 1.284 20 0 DDADMM CC(C)(C)OC(=O)COCCNC(=O)c1c([O-])cccc1F ZINC000601748596 358676705 /nfs/dbraw/zinc/67/67/05/358676705.db2.gz DEKNOYGQEBGZOS-UHFFFAOYSA-N -1 1 313.325 1.619 20 0 DDADMM CCOC(=O)c1cnc(-n2[n-]c3c(c2=O)[C@H](C)CC3)nc1C ZINC000601937682 358744625 /nfs/dbraw/zinc/74/46/25/358744625.db2.gz DIJZYSMFLKUFJX-PELKAZGASA-N -1 1 302.334 1.710 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCCCC2(C)C)n[n-]1 ZINC000603018435 359359861 /nfs/dbraw/zinc/35/98/61/359359861.db2.gz RCZAEUJAWFMXAI-SNVBAGLBSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCCCC2(C)C)n1 ZINC000603018435 359359869 /nfs/dbraw/zinc/35/98/69/359359869.db2.gz RCZAEUJAWFMXAI-SNVBAGLBSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)Cc2ccccc2)n[n-]1 ZINC000603019264 359360913 /nfs/dbraw/zinc/36/09/13/359360913.db2.gz UGUNSZXVZCCDII-LLVKDONJSA-N -1 1 316.361 1.476 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)Cc2ccccc2)n1 ZINC000603019264 359360918 /nfs/dbraw/zinc/36/09/18/359360918.db2.gz UGUNSZXVZCCDII-LLVKDONJSA-N -1 1 316.361 1.476 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCCC[C@H]2CC)n[n-]1 ZINC000603020163 359362609 /nfs/dbraw/zinc/36/26/09/359362609.db2.gz YFSBNYLDOBOPOY-MNOVXSKESA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCCC[C@H]2CC)n1 ZINC000603020163 359362613 /nfs/dbraw/zinc/36/26/13/359362613.db2.gz YFSBNYLDOBOPOY-MNOVXSKESA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@H](C)C(F)(F)F)n[n-]1 ZINC000603024651 359367023 /nfs/dbraw/zinc/36/70/23/359367023.db2.gz YDAHWDSTDDCOAL-LURJTMIESA-N -1 1 308.260 1.186 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H](C)C(F)(F)F)n1 ZINC000603024651 359367027 /nfs/dbraw/zinc/36/70/27/359367027.db2.gz YDAHWDSTDDCOAL-LURJTMIESA-N -1 1 308.260 1.186 20 0 DDADMM Cc1ccccc1CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000618487125 363649501 /nfs/dbraw/zinc/64/95/01/363649501.db2.gz KKPXWWWLHVITOD-MRXNPFEDSA-N -1 1 307.357 1.956 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCCSC)n[n-]1 ZINC000603167447 359450597 /nfs/dbraw/zinc/45/05/97/359450597.db2.gz XFWDMIYDIWQHOA-MRVPVSSYSA-N -1 1 300.384 1.302 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCCSC)[n-]1 ZINC000603167447 359450602 /nfs/dbraw/zinc/45/06/02/359450602.db2.gz XFWDMIYDIWQHOA-MRVPVSSYSA-N -1 1 300.384 1.302 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCCSC)n1 ZINC000603167447 359450604 /nfs/dbraw/zinc/45/06/04/359450604.db2.gz XFWDMIYDIWQHOA-MRVPVSSYSA-N -1 1 300.384 1.302 20 0 DDADMM COC(=O)c1cnc(S[C@H]2CCN(CC(F)(F)F)C2=O)[n-]1 ZINC000187583533 200130000 /nfs/dbraw/zinc/13/00/00/200130000.db2.gz UQMJRXQTHKVCTN-ZETCQYMHSA-N -1 1 323.296 1.452 20 0 DDADMM COC(=O)c1c[n-]c(S[C@H]2CCN(CC(F)(F)F)C2=O)n1 ZINC000187583533 200130001 /nfs/dbraw/zinc/13/00/01/200130001.db2.gz UQMJRXQTHKVCTN-ZETCQYMHSA-N -1 1 323.296 1.452 20 0 DDADMM COCCOC1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000281063354 216054749 /nfs/dbraw/zinc/05/47/49/216054749.db2.gz YYQHOAUQGBILNQ-UHFFFAOYSA-N -1 1 315.316 1.938 20 0 DDADMM COc1ccc(NC(=O)NCCF)cc1[N-]S(C)(=O)=O ZINC000281082296 216066845 /nfs/dbraw/zinc/06/68/45/216066845.db2.gz PFCOYCXCSPLTPF-UHFFFAOYSA-N -1 1 305.331 1.158 20 0 DDADMM CC(C)c1nc(C(=O)NC2(c3nn[n-]n3)CCCC2)cs1 ZINC000605382116 359847513 /nfs/dbraw/zinc/84/75/13/359847513.db2.gz ZSQDLPJFDBJEGV-UHFFFAOYSA-N -1 1 306.395 1.979 20 0 DDADMM COc1ccc(OCC(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000605381779 359847768 /nfs/dbraw/zinc/84/77/68/359847768.db2.gz WFQLSOMHEREICI-UHFFFAOYSA-N -1 1 317.349 1.173 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccn(-c2ccccc2)n1 ZINC000605381380 359848233 /nfs/dbraw/zinc/84/82/33/359848233.db2.gz KEHSSFWGISLFNM-UHFFFAOYSA-N -1 1 323.360 1.585 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC[C@@H](C)CC2)co1 ZINC000188937050 200324489 /nfs/dbraw/zinc/32/44/89/200324489.db2.gz JCLCCEVHDRGPNR-ZYHUDNBSSA-N -1 1 314.407 1.886 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(F)cc(OC)cc1F)C(=O)OC ZINC000611183138 360652764 /nfs/dbraw/zinc/65/27/64/360652764.db2.gz ZQODLTDXHOLPTO-JTQLQIEISA-N -1 1 323.317 1.203 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)c2cnccc2C)o1 ZINC000611292156 360690743 /nfs/dbraw/zinc/69/07/43/360690743.db2.gz XYGWRZIFCYUWGS-SNVBAGLBSA-N -1 1 324.358 1.809 20 0 DDADMM O=C(Cc1ccncc1Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612816455 361156005 /nfs/dbraw/zinc/15/60/05/361156005.db2.gz GDVGDIBZDOFPJE-JTQLQIEISA-N -1 1 306.757 1.197 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)c2cccc(-c3nc(=O)o[n-]3)c2)CCN1C ZINC000613227033 361337673 /nfs/dbraw/zinc/33/76/73/361337673.db2.gz PUCGVCONLOREEF-MNOVXSKESA-N -1 1 316.361 1.195 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1C[C@H](O)C12CCC2 ZINC000619079710 363890144 /nfs/dbraw/zinc/89/01/44/363890144.db2.gz FXRGNNBKNXHVCW-BDAKNGLRSA-N -1 1 309.391 1.246 20 0 DDADMM CC[C@@H]1CC[C@@H](C)N(C(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000619133142 363908515 /nfs/dbraw/zinc/90/85/15/363908515.db2.gz JNLSEOOJYAMOHI-GHMZBOCLSA-N -1 1 314.407 1.838 20 0 DDADMM O=C(N[C@@H]1CCC(=O)NC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000271830478 209250954 /nfs/dbraw/zinc/25/09/54/209250954.db2.gz FSOCXSXMWBGDCL-MRVPVSSYSA-N -1 1 302.252 1.419 20 0 DDADMM NC(=O)CC1(CNC(=O)C(=O)c2ccc([O-])cc2)CCCCC1 ZINC000620706426 364528098 /nfs/dbraw/zinc/52/80/98/364528098.db2.gz PNKVRAFTVQFJPI-UHFFFAOYSA-N -1 1 318.373 1.517 20 0 DDADMM C[C@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@](C)(C(F)F)O1 ZINC000621121948 364694447 /nfs/dbraw/zinc/69/44/47/364694447.db2.gz UHXUOIFAZSEFRI-BJOHPYRUSA-N -1 1 313.300 1.846 20 0 DDADMM C[C@@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@H]1CO ZINC000622034364 365199369 /nfs/dbraw/zinc/19/93/69/365199369.db2.gz FDZYGJPWTHHGJR-MNOVXSKESA-N -1 1 301.346 1.014 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC[C@@H](O)[C@@H](CO)C1 ZINC000622715501 365504628 /nfs/dbraw/zinc/50/46/28/365504628.db2.gz DZHSXVUGCSKHBW-LDYMZIIASA-N -1 1 319.279 1.226 20 0 DDADMM CCCN(C)C(=O)[C@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000275284568 212247983 /nfs/dbraw/zinc/24/79/83/212247983.db2.gz KTLCNQYJMZXIJH-SNVBAGLBSA-N -1 1 309.391 1.171 20 0 DDADMM CC1(C)CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@]2(CCOC2)O1 ZINC000288180315 220000886 /nfs/dbraw/zinc/00/08/86/220000886.db2.gz OGSZDMUJUVFNOL-KRWDZBQOSA-N -1 1 319.357 1.371 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1C[C@@H]1c1ccc(F)cc1 ZINC000349666722 284007772 /nfs/dbraw/zinc/00/77/72/284007772.db2.gz OCRQUWUAFAOWEW-GHMZBOCLSA-N -1 1 311.338 1.369 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@@H]1c1ccc(F)cc1 ZINC000349666722 284007778 /nfs/dbraw/zinc/00/77/78/284007778.db2.gz OCRQUWUAFAOWEW-GHMZBOCLSA-N -1 1 311.338 1.369 20 0 DDADMM COc1cc2[n-]cc(C(=O)N[C@@H](C)CF)c(=O)c2c(OC)c1 ZINC000627299542 368112442 /nfs/dbraw/zinc/11/24/42/368112442.db2.gz WYVYEHGPVLVUDM-QMMMGPOBSA-N -1 1 308.309 1.633 20 0 DDADMM CN(C)Cc1ccc(C(N)=O)cc1[N-]S(=O)(=O)c1ccoc1 ZINC000350121169 284152505 /nfs/dbraw/zinc/15/25/05/284152505.db2.gz KKDGXAVGHKEASZ-UHFFFAOYSA-N -1 1 323.374 1.241 20 0 DDADMM Cc1ncc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)cn1 ZINC000350184633 284166658 /nfs/dbraw/zinc/16/66/58/284166658.db2.gz PBVPRWXQYRBZFX-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM Cc1ncc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)cn1 ZINC000350184633 284166662 /nfs/dbraw/zinc/16/66/62/284166662.db2.gz PBVPRWXQYRBZFX-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CSC[C@H]1C(=O)N1CCCC1 ZINC000094075315 193344325 /nfs/dbraw/zinc/34/43/25/193344325.db2.gz TZFBXHOQIAAHMQ-LBPRGKRZSA-N -1 1 324.377 1.669 20 0 DDADMM COC(=O)c1cnc(S[C@@H](C)C(=O)Nc2cc(C)no2)[n-]1 ZINC000274959457 212042488 /nfs/dbraw/zinc/04/24/88/212042488.db2.gz UVQWDLVHDMETFQ-ZETCQYMHSA-N -1 1 310.335 1.612 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H](C)C(=O)Nc2cc(C)no2)n1 ZINC000274959457 212042491 /nfs/dbraw/zinc/04/24/91/212042491.db2.gz UVQWDLVHDMETFQ-ZETCQYMHSA-N -1 1 310.335 1.612 20 0 DDADMM O=C(c1cc(=O)[nH]c(C2CC2)n1)N1CC[NH2+][C@H](c2ccccc2)C1 ZINC000566464190 304161859 /nfs/dbraw/zinc/16/18/59/304161859.db2.gz CBETWPYBRNBODF-HNNXBMFYSA-N -1 1 324.384 1.846 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cc3c(cc2C)OCO3)cn1 ZINC000350803271 284262720 /nfs/dbraw/zinc/26/27/20/284262720.db2.gz QGNPBUPIDFWOKP-UHFFFAOYSA-N -1 1 322.342 1.928 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1cn(C)cn1)c1ccccc1 ZINC000278173509 214004329 /nfs/dbraw/zinc/00/43/29/214004329.db2.gz GAFOGGGWOSOLMV-CYBMUJFWSA-N -1 1 323.374 1.003 20 0 DDADMM COCCOCCN(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633146554 422778448 /nfs/dbraw/zinc/77/84/48/422778448.db2.gz PFOXOSWIDWYDAF-UHFFFAOYSA-N -1 1 301.770 1.710 20 0 DDADMM O=S(=O)(NC1(c2nnc[nH]2)CCC1)c1cc2ccccc2o1 ZINC000566893865 304186169 /nfs/dbraw/zinc/18/61/69/304186169.db2.gz FOLJYJYENYIBKF-UHFFFAOYSA-N -1 1 318.358 1.909 20 0 DDADMM COC[C@](C)(O)CC[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425189422 307301899 /nfs/dbraw/zinc/30/18/99/307301899.db2.gz SFKKBGUVCBWVMX-CYBMUJFWSA-N -1 1 323.361 1.339 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1oc2ccccc2c1C ZINC000337153951 249368115 /nfs/dbraw/zinc/36/81/15/249368115.db2.gz NPRSOCIVADDQEZ-UHFFFAOYSA-N -1 1 312.329 1.807 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](C)Oc1ccccc1F ZINC000337162577 249370632 /nfs/dbraw/zinc/37/06/32/249370632.db2.gz VIXAWLVFBXKUAE-SECBINFHSA-N -1 1 320.324 1.045 20 0 DDADMM CNC(=O)COc1ccc(NC(=O)c2ccccc2[O-])cc1 ZINC000104943390 194055157 /nfs/dbraw/zinc/05/51/57/194055157.db2.gz GRTGUILJKQBQPS-UHFFFAOYSA-N -1 1 300.314 1.769 20 0 DDADMM O=S(=O)([N-]CCn1ccnc1)c1cc(F)c(F)cc1F ZINC000105616068 194115326 /nfs/dbraw/zinc/11/53/26/194115326.db2.gz BQIJROBGYLLBJE-UHFFFAOYSA-N -1 1 305.281 1.279 20 0 DDADMM COC(=O)c1ccc(F)cc1S(=O)(=O)[N-][C@@H]1CCC[C@H]1F ZINC000339117534 250301478 /nfs/dbraw/zinc/30/14/78/250301478.db2.gz BLXDRIZQHGWYFC-GHMZBOCLSA-N -1 1 319.329 1.781 20 0 DDADMM CCCNC(=O)CCCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339193293 250338056 /nfs/dbraw/zinc/33/80/56/250338056.db2.gz AUSVBUUNMCGROH-UHFFFAOYSA-N -1 1 324.381 1.178 20 0 DDADMM Cc1cc(C(=O)[O-])cc(S(=O)(=O)N[C@@H](C)c2nnc[nH]2)c1C ZINC000273726618 211009093 /nfs/dbraw/zinc/00/90/93/211009093.db2.gz MXUOTNQQOMLUKI-VIFPVBQESA-N -1 1 324.362 1.159 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCOC[C@H]2C)o1 ZINC000285219803 218338503 /nfs/dbraw/zinc/33/85/03/218338503.db2.gz ALIJHSGQRWNPEI-NXEZZACHSA-N -1 1 317.363 1.017 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@](O)(C(F)(F)F)CC1 ZINC000287822181 296305563 /nfs/dbraw/zinc/30/55/63/296305563.db2.gz KYVZORUGNHPWGY-GFCCVEGCSA-N -1 1 304.268 1.707 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCNc1ccccc1 ZINC000352173157 284839826 /nfs/dbraw/zinc/83/98/26/284839826.db2.gz YLNMSJZKNUWXQB-UHFFFAOYSA-N -1 1 318.333 1.128 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]1c1cccs1 ZINC000352184194 284848601 /nfs/dbraw/zinc/84/86/01/284848601.db2.gz NVDIADZFKSLOGQ-QMMMGPOBSA-N -1 1 321.358 1.935 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)Cc3cccc(F)c3)ccnc1-2 ZINC000340370888 251032449 /nfs/dbraw/zinc/03/24/49/251032449.db2.gz YOLYNRHRALJEBD-UHFFFAOYSA-N -1 1 320.349 1.423 20 0 DDADMM CCOC(=O)C[C@H](C)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000340607025 251136136 /nfs/dbraw/zinc/13/61/36/251136136.db2.gz RIWMGIGBBFBSGT-QMMMGPOBSA-N -1 1 320.436 1.628 20 0 DDADMM C[C@@]1(C2CC2)NC(=O)N(CC(=O)Nc2ccc(F)cc2[O-])C1=O ZINC000269083468 207228837 /nfs/dbraw/zinc/22/88/37/207228837.db2.gz XBISPHCGFZBODU-HNNXBMFYSA-N -1 1 321.308 1.190 20 0 DDADMM C[C@H](c1nncn1C)S(=O)(=O)c1nc(-c2ccccc2)n[n-]1 ZINC000352382172 285010637 /nfs/dbraw/zinc/01/06/37/285010637.db2.gz MLOINEOLLIJHIT-SECBINFHSA-N -1 1 318.362 1.135 20 0 DDADMM CN(Cc1cccc(Cl)c1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352440813 285052456 /nfs/dbraw/zinc/05/24/56/285052456.db2.gz QCSZFIYVKOAMPF-UHFFFAOYSA-N -1 1 317.736 1.343 20 0 DDADMM O=C(Nc1cccc(-c2cnco2)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000352437953 285050776 /nfs/dbraw/zinc/05/07/76/285050776.db2.gz FMOCEZYHQGLHLK-UHFFFAOYSA-N -1 1 322.284 1.325 20 0 DDADMM O=S(=O)([N-]C[C@]1(CCO)CCOC1)c1cc(F)ccc1F ZINC000120166202 195110541 /nfs/dbraw/zinc/11/05/41/195110541.db2.gz LDHOYZFCXQDNNW-ZDUSSCGKSA-N -1 1 321.345 1.032 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)C1CC1)c1cc(Cl)ccc1F ZINC000352593975 285163928 /nfs/dbraw/zinc/16/39/28/285163928.db2.gz ZCLLMOLKSKTHPC-VIFPVBQESA-N -1 1 307.774 1.776 20 0 DDADMM COc1cnccc1[C@H](C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436564172 533142357 /nfs/dbraw/zinc/14/23/57/533142357.db2.gz PNVMYGZHGYBREC-JTQLQIEISA-N -1 1 300.314 1.856 20 0 DDADMM C[C@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)C(=O)OC(C)(C)C ZINC000413216939 224156378 /nfs/dbraw/zinc/15/63/78/224156378.db2.gz WFYFRNZOKYRASQ-RXMQYKEDSA-N -1 1 308.260 1.740 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2)s1 ZINC000289600690 221095177 /nfs/dbraw/zinc/09/51/77/221095177.db2.gz RPCQJZWANFAVEH-UHFFFAOYSA-N -1 1 319.358 1.857 20 0 DDADMM C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000113086579 299612901 /nfs/dbraw/zinc/61/29/01/299612901.db2.gz VAGXIYODGCKJME-BDAKNGLRSA-N -1 1 319.810 1.939 20 0 DDADMM COC(=O)CN1CCC[C@@H](CNC(=O)c2c([O-])cccc2F)C1 ZINC000412356014 533506968 /nfs/dbraw/zinc/50/69/68/533506968.db2.gz BVYFIOFNYNPDTI-NSHDSACASA-N -1 1 324.352 1.146 20 0 DDADMM C[C@@H](CCO)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451286768 533631475 /nfs/dbraw/zinc/63/14/75/533631475.db2.gz SUJWTAWKMMZBBR-VIFPVBQESA-N -1 1 301.339 1.869 20 0 DDADMM CCN(CC)CCS(=O)(=O)N(CCC(=O)[O-])CC(C)C ZINC000568997671 304328414 /nfs/dbraw/zinc/32/84/14/304328414.db2.gz RZUCNOPFHVKQKT-UHFFFAOYSA-N -1 1 308.444 1.091 20 0 DDADMM CC[C@@H]1OCC[C@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000569092279 304335570 /nfs/dbraw/zinc/33/55/70/304335570.db2.gz GYDJLZMPVAJNLG-BDAKNGLRSA-N -1 1 309.309 1.950 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2ncc(C)cc2[O-])[C@@H]1n1cccn1 ZINC000569267107 304344929 /nfs/dbraw/zinc/34/49/29/304344929.db2.gz VMGQPGLWQXJGON-LNSITVRQSA-N -1 1 316.361 1.441 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2ccc(F)cc2O)c[nH]1 ZINC000360629704 299708809 /nfs/dbraw/zinc/70/88/09/299708809.db2.gz ODEQYFCSOXNIEJ-UHFFFAOYSA-N -1 1 300.267 1.358 20 0 DDADMM Cc1ccccc1[N-]S(=O)(=O)c1ccc2c(c1)C(=O)NC2=O ZINC000068215941 406625037 /nfs/dbraw/zinc/62/50/37/406625037.db2.gz BPRNGRQPKAUIMM-UHFFFAOYSA-N -1 1 316.338 1.679 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1)S(=O)(=O)c1ccc([O-])cc1 ZINC000069163725 406686535 /nfs/dbraw/zinc/68/65/35/406686535.db2.gz LPYBAQJWYCZRGT-GFCCVEGCSA-N -1 1 319.382 1.871 20 0 DDADMM CC(C)COCCCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000079095204 407050160 /nfs/dbraw/zinc/05/01/60/407050160.db2.gz UPCRSDMJQYVBBY-UHFFFAOYSA-N -1 1 303.362 1.716 20 0 DDADMM CCc1nc(S(=O)(=O)CCCOCCc2ccccc2)n[n-]1 ZINC000086170556 407109004 /nfs/dbraw/zinc/10/90/04/407109004.db2.gz XBIOPJRHGKYSNX-UHFFFAOYSA-N -1 1 323.418 1.790 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)CS(=O)(=O)c2nc[n-]n2)cc1C ZINC000086169807 407109120 /nfs/dbraw/zinc/10/91/20/407109120.db2.gz HMANVEVNHHCMQW-NSHDSACASA-N -1 1 322.390 1.073 20 0 DDADMM Cc1cccc(-c2noc(CS(=O)(=O)c3ncn[n-]3)n2)c1 ZINC000086169922 407109615 /nfs/dbraw/zinc/10/96/15/407109615.db2.gz AVOFJRHCOIKMJG-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM Cc1cccc(-c2noc(CS(=O)(=O)c3nc[n-]n3)n2)c1 ZINC000086169922 407109618 /nfs/dbraw/zinc/10/96/18/407109618.db2.gz AVOFJRHCOIKMJG-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM Cc1nc(CCC(=O)[N-]OCC(N)=O)oc1-c1ccccc1 ZINC000089465607 407135703 /nfs/dbraw/zinc/13/57/03/407135703.db2.gz HGDDQAPPEFFJLR-UHFFFAOYSA-N -1 1 303.318 1.116 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC[C@@H](CO)C1 ZINC000051885688 407144578 /nfs/dbraw/zinc/14/45/78/407144578.db2.gz SINJIVYNXYFOCY-MRVPVSSYSA-N -1 1 300.152 1.609 20 0 DDADMM CCNC(=O)CCCC(=O)NCc1n[n-]c(=S)n1C(C)C ZINC000066639565 407256225 /nfs/dbraw/zinc/25/62/25/407256225.db2.gz CNFVOTSGXSDNJH-UHFFFAOYSA-N -1 1 313.427 1.444 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cc3cc(F)ccc3[nH]2)n1 ZINC000101664335 407314135 /nfs/dbraw/zinc/31/41/35/407314135.db2.gz SHDSZOSHOTUKEQ-UHFFFAOYSA-N -1 1 323.309 1.081 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cc3cc(F)ccc3[nH]2)[n-]1 ZINC000101664335 407314137 /nfs/dbraw/zinc/31/41/37/407314137.db2.gz SHDSZOSHOTUKEQ-UHFFFAOYSA-N -1 1 323.309 1.081 20 0 DDADMM Cn1c(CCNC(=O)CCOc2ccccc2F)n[n-]c1=S ZINC000067051803 407266584 /nfs/dbraw/zinc/26/65/84/407266584.db2.gz GLQXLDXBYVUJNC-UHFFFAOYSA-N -1 1 324.381 1.745 20 0 DDADMM NC(=O)NCc1ccc([N-]S(=O)(=O)c2ccccc2)cc1 ZINC000067124166 407267640 /nfs/dbraw/zinc/26/76/40/407267640.db2.gz SZUJEXYODXFSNP-UHFFFAOYSA-N -1 1 305.359 1.656 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2ccnc3ccnn32)c1 ZINC000122603951 407306305 /nfs/dbraw/zinc/30/63/05/407306305.db2.gz NFZKCIAZZSFZFR-UHFFFAOYSA-N -1 1 311.301 1.646 20 0 DDADMM CC(C)N1C(=S)N=NC1CCNC(=O)c1c([O-])cccc1F ZINC000123831930 407340477 /nfs/dbraw/zinc/34/04/77/407340477.db2.gz ZXIVQOXDJVQPOI-UHFFFAOYSA-N -1 1 324.381 1.965 20 0 DDADMM COc1cc(OC)c(CC[N-]C(=O)C(F)(F)F)c(OC)c1 ZINC000108289055 407383484 /nfs/dbraw/zinc/38/34/84/407383484.db2.gz PQVQFYDJRFAWQG-UHFFFAOYSA-N -1 1 307.268 1.933 20 0 DDADMM C[C@H]1CC[C@@H](C(N)=O)CN1Cc1nc(=O)c2sccc2[n-]1 ZINC000111220422 407401591 /nfs/dbraw/zinc/40/15/91/407401591.db2.gz LOCWZJMCAZWILF-DTWKUNHWSA-N -1 1 306.391 1.070 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)Nc2cnccn2)o1 ZINC000126302635 407410543 /nfs/dbraw/zinc/41/05/43/407410543.db2.gz LVHCFUAWCVBACG-UHFFFAOYSA-N -1 1 324.362 1.399 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCO[C@@H](Cn2cccn2)C1 ZINC000111649929 407413440 /nfs/dbraw/zinc/41/34/40/407413440.db2.gz QKDAZUTUTLIDDS-GFCCVEGCSA-N -1 1 321.764 1.783 20 0 DDADMM CCOc1ccc(C(=O)N(C)C)cc1[N-]S(=O)(=O)C1CC1 ZINC000185619631 407464310 /nfs/dbraw/zinc/46/43/10/407464310.db2.gz XKTJMRJVSIHIDJ-UHFFFAOYSA-N -1 1 312.391 1.691 20 0 DDADMM C[C@H](c1cccnc1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000114800886 407616310 /nfs/dbraw/zinc/61/63/10/407616310.db2.gz AQZGOMQBLMIQOO-CYBMUJFWSA-N -1 1 312.373 1.701 20 0 DDADMM CCC[C@@H](C)NC(=O)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000171291278 407652592 /nfs/dbraw/zinc/65/25/92/407652592.db2.gz YUEXMXQSFZTICQ-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H](NC(=O)c1cncc([O-])c1)c1nnnn1-c1ccccc1 ZINC000115727009 407703322 /nfs/dbraw/zinc/70/33/22/407703322.db2.gz BGJHRRQUCCHIHF-JTQLQIEISA-N -1 1 310.317 1.254 20 0 DDADMM CC(C)C(=O)NC1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000272182941 407808288 /nfs/dbraw/zinc/80/82/88/407808288.db2.gz DFCRXKPMIZBQEL-UHFFFAOYSA-N -1 1 308.353 1.908 20 0 DDADMM C[C@H](C[S@](C)=O)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000133369222 407835545 /nfs/dbraw/zinc/83/55/45/407835545.db2.gz RNSPONZMRMWQTM-IWEMQMMOSA-N -1 1 313.803 1.524 20 0 DDADMM CC[C@@H](C)[C@H](O)CNC(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000134282886 407890364 /nfs/dbraw/zinc/89/03/64/407890364.db2.gz YUPDRQXRRSYIKM-NOZJJQNGSA-N -1 1 303.362 1.781 20 0 DDADMM CC[C@@H](C)[C@H](O)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000134282886 407890376 /nfs/dbraw/zinc/89/03/76/407890376.db2.gz YUPDRQXRRSYIKM-NOZJJQNGSA-N -1 1 303.362 1.781 20 0 DDADMM CCCC1(C[N-]S(=O)(=O)c2cc(C(=O)OC)co2)CC1 ZINC000154096554 407971418 /nfs/dbraw/zinc/97/14/18/407971418.db2.gz OGRXWKBAIPSPIA-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1cc(Br)ccc1F ZINC000272548899 407980208 /nfs/dbraw/zinc/98/02/08/407980208.db2.gz ICQMTKMRJYMIQV-UHFFFAOYSA-N -1 1 316.130 1.648 20 0 DDADMM COC[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1ccco1 ZINC000119309122 408035030 /nfs/dbraw/zinc/03/50/30/408035030.db2.gz FGGOZNOHWRPICO-LLVKDONJSA-N -1 1 316.317 1.384 20 0 DDADMM Cc1cnc([C@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)s1 ZINC000119320519 408039274 /nfs/dbraw/zinc/03/92/74/408039274.db2.gz XZABMCGYEOGERN-QMMMGPOBSA-N -1 1 317.374 1.930 20 0 DDADMM O=C([O-])[C@@]1(C(F)(F)F)CCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC000263170052 408045967 /nfs/dbraw/zinc/04/59/67/408045967.db2.gz YSFDHDWCSVCLGN-GFCCVEGCSA-N -1 1 317.267 1.766 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2CCOC3(CCC3)C2)cc1 ZINC000154165652 407987695 /nfs/dbraw/zinc/98/76/95/407987695.db2.gz GTKSDRHVJQCTMK-UHFFFAOYSA-N -1 1 318.373 1.207 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)Cc1ccc[nH]1 ZINC000354361056 298412719 /nfs/dbraw/zinc/41/27/19/298412719.db2.gz FRTSLRKVZOUOSK-UHFFFAOYSA-N -1 1 313.361 1.581 20 0 DDADMM Cc1noc(C)c1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119180653 408009450 /nfs/dbraw/zinc/00/94/50/408009450.db2.gz SHYUDPPTTBAQCB-UHFFFAOYSA-N -1 1 301.306 1.209 20 0 DDADMM COc1ccc(NC(=O)[C@@H]2CCC[N@H+]2C2CCOCC2)c(O)c1 ZINC000135404946 408012615 /nfs/dbraw/zinc/01/26/15/408012615.db2.gz HOPPBBSVQIFDJY-HNNXBMFYSA-N -1 1 320.389 1.983 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1CCCCC[C@H]1O ZINC000135958192 408064667 /nfs/dbraw/zinc/06/46/67/408064667.db2.gz QLXVGEPZDDBNJH-NXEZZACHSA-N -1 1 311.407 1.224 20 0 DDADMM Cc1ccn2ncc(C(=O)Nc3cc(-c4nccn4C)n[nH]3)c2n1 ZINC000119455241 408073479 /nfs/dbraw/zinc/07/34/79/408073479.db2.gz ITOZAXUWXJUYBG-UHFFFAOYSA-N -1 1 322.332 1.414 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1CC(C)(C)OC1(C)C)c2=O ZINC000119491442 408081517 /nfs/dbraw/zinc/08/15/17/408081517.db2.gz TYIORWGTLSXGAM-LLVKDONJSA-N -1 1 318.377 1.750 20 0 DDADMM CCC[C@@H](NC(=O)CNc1c(C)cccc1C)c1nn[n-]n1 ZINC000136676551 408120915 /nfs/dbraw/zinc/12/09/15/408120915.db2.gz QEFMMTGGCHSCDY-GFCCVEGCSA-N -1 1 302.382 1.886 20 0 DDADMM O=C([O-])CCCNC(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000263465683 408146363 /nfs/dbraw/zinc/14/63/63/408146363.db2.gz KACPGRNVQLBHJN-LLVKDONJSA-N -1 1 321.381 1.436 20 0 DDADMM COC(=O)[C@@]12CCC[C@@H]1CN(C(=O)c1ccc([O-])cc1F)C2 ZINC000123749083 161807186 /nfs/dbraw/zinc/80/71/86/161807186.db2.gz RWZMZWTYGFOUDT-QLJPJBMISA-N -1 1 307.321 1.947 20 0 DDADMM C[C@H](OCc1ccccc1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155563529 408180878 /nfs/dbraw/zinc/18/08/78/408180878.db2.gz XHTSUSWSIRNPGA-GXTWGEPZSA-N -1 1 315.377 1.511 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cn1 ZINC000155554890 408181150 /nfs/dbraw/zinc/18/11/50/408181150.db2.gz CAWSFLWIRZXBNF-GFCCVEGCSA-N -1 1 300.366 1.738 20 0 DDADMM O=C(NCC1(O)CCOCC1)c1ccc2ccccc2c1[O-] ZINC000175957285 408250325 /nfs/dbraw/zinc/25/03/25/408250325.db2.gz HEUCCALHCFNBNL-UHFFFAOYSA-N -1 1 301.342 1.817 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)Cn2cnnn2)c1 ZINC000182933799 408288677 /nfs/dbraw/zinc/28/86/77/408288677.db2.gz JHUCMKZFWWOAQA-UHFFFAOYSA-N -1 1 312.127 1.088 20 0 DDADMM CC1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CCCCC1 ZINC000157214862 408294825 /nfs/dbraw/zinc/29/48/25/408294825.db2.gz SBYNHQVGBZRCLE-UHFFFAOYSA-N -1 1 312.391 1.949 20 0 DDADMM CCN1CCN(c2ccc(F)cc2[C@@H](C)NC(=O)C(=O)[O-])CC1 ZINC000274156337 408311015 /nfs/dbraw/zinc/31/10/15/408311015.db2.gz JRTWRZHTYIQQOE-LLVKDONJSA-N -1 1 323.368 1.229 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCc3sccc3C1)c2=O ZINC000132544108 162039967 /nfs/dbraw/zinc/03/99/67/162039967.db2.gz CDFUHMOXXJRNDB-UHFFFAOYSA-N -1 1 314.370 1.934 20 0 DDADMM C[C@@H]1CC[C@@H](C[NH+]2CCN(C(=O)c3cccc(O)c3)CC2)O1 ZINC000190882879 408336949 /nfs/dbraw/zinc/33/69/49/408336949.db2.gz MOQHCBUBKJDJNT-CJNGLKHVSA-N -1 1 304.390 1.718 20 0 DDADMM C[C@@H](O)C(=O)Nc1cccc([N-]S(=O)(=O)c2ccccc2)c1 ZINC000136428151 162119284 /nfs/dbraw/zinc/11/92/84/162119284.db2.gz WVHRAGWDYUCNFF-LLVKDONJSA-N -1 1 320.370 1.807 20 0 DDADMM C[C@H](CSCc1ccccc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000269610198 408360466 /nfs/dbraw/zinc/36/04/66/408360466.db2.gz AWCNQXPPGIQBOS-SNVBAGLBSA-N -1 1 307.375 1.139 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)cc1Cl ZINC000172365455 162272442 /nfs/dbraw/zinc/27/24/42/162272442.db2.gz IKGJZWSXZGCVPE-VIFPVBQESA-N -1 1 318.782 1.360 20 0 DDADMM CCc1ccc(CCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183402733 408401464 /nfs/dbraw/zinc/40/14/64/408401464.db2.gz ADMMPHYACHBJRY-LBPRGKRZSA-N -1 1 301.394 1.957 20 0 DDADMM CCN(CC)c1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183408593 408405047 /nfs/dbraw/zinc/40/50/47/408405047.db2.gz MXCVTLYZMPZURE-GFCCVEGCSA-N -1 1 316.409 1.922 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1C[C@@H]1c1cc(F)ccc1F)c1nn[n-]n1 ZINC000183430525 408411486 /nfs/dbraw/zinc/41/14/86/408411486.db2.gz FYVYRSAJWZOLSY-UISBYWKRSA-N -1 1 321.331 1.844 20 0 DDADMM Cc1cccc(C)c1OCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183432618 408412042 /nfs/dbraw/zinc/41/20/42/408412042.db2.gz UZBSPGAIPAEHLG-GFCCVEGCSA-N -1 1 303.366 1.457 20 0 DDADMM C[C@@H](NC(=O)c1cc(Br)ccc1[O-])c1nc[nH]n1 ZINC000176752543 408432985 /nfs/dbraw/zinc/43/29/85/408432985.db2.gz ARCZIYNBRLEYOM-ZCFIWIBFSA-N -1 1 311.139 1.764 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(NC(=O)CC)c1)c1nn[n-]n1 ZINC000176755554 408435630 /nfs/dbraw/zinc/43/56/30/408435630.db2.gz UEMAIHGZMXHATG-GFCCVEGCSA-N -1 1 316.365 1.819 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(NC(=O)CC)c1)c1nn[n-]n1 ZINC000176755538 408435656 /nfs/dbraw/zinc/43/56/56/408435656.db2.gz UEMAIHGZMXHATG-LBPRGKRZSA-N -1 1 316.365 1.819 20 0 DDADMM CCC[C@@H](NC(=O)CCc1ccc(OC)c(F)c1)c1nn[n-]n1 ZINC000176784452 408443380 /nfs/dbraw/zinc/44/33/80/408443380.db2.gz FUDWGHYJKHVLJQ-GFCCVEGCSA-N -1 1 321.356 1.938 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc3ccccc3cc2[O-])C[C@H](CO)O1 ZINC000191722006 408477878 /nfs/dbraw/zinc/47/78/78/408477878.db2.gz KSZAFJAAPWBMBT-BXUZGUMPSA-N -1 1 301.342 1.767 20 0 DDADMM Cc1nn(C(C)(C)C)cc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000274817865 408542419 /nfs/dbraw/zinc/54/24/19/408542419.db2.gz WZIHPANDVPWIRE-VIFPVBQESA-N -1 1 305.386 1.335 20 0 DDADMM O=C(NCCNC(=O)c1cncc([O-])c1)NC1CCCCC1 ZINC000270720606 408650221 /nfs/dbraw/zinc/65/02/21/408650221.db2.gz HZPLQCKKKWFOAB-UHFFFAOYSA-N -1 1 306.366 1.149 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N(C)[C@H]1CCOC1)c2=O ZINC000162625362 408718224 /nfs/dbraw/zinc/71/82/24/408718224.db2.gz IYHNNDPUFZHBFQ-JTQLQIEISA-N -1 1 302.330 1.810 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc2ccccc2c1[O-])[C@@H](C)O ZINC000275947307 408726938 /nfs/dbraw/zinc/72/69/38/408726938.db2.gz FUBXDYXLEPBINH-NOZJJQNGSA-N -1 1 303.314 1.198 20 0 DDADMM C[C@H](CNS(=O)(=O)c1csc(C(=O)[O-])c1)N(C)C1CC1 ZINC000184993244 408732680 /nfs/dbraw/zinc/73/26/80/408732680.db2.gz NQMRRKXBQRNIKP-MRVPVSSYSA-N -1 1 318.420 1.207 20 0 DDADMM COCCN(CC(=O)OC)C(=O)c1ccc(Cl)cc1[O-] ZINC000265584429 408702299 /nfs/dbraw/zinc/70/22/99/408702299.db2.gz WCOLWSFRQVWIRE-UHFFFAOYSA-N -1 1 301.726 1.307 20 0 DDADMM CCC[C@@H](NCC(=O)NCc1ccccc1COC)C(=O)[O-] ZINC000185041488 408744904 /nfs/dbraw/zinc/74/49/04/408744904.db2.gz NGYQVKNJHNLZMP-CQSZACIVSA-N -1 1 308.378 1.292 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)NCCc1ccccc1C)C(=O)[O-] ZINC000185038681 408744913 /nfs/dbraw/zinc/74/49/13/408744913.db2.gz XANIGHINRKGMMF-ZFWWWQNUSA-N -1 1 306.406 1.885 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc2ccccc2c(=O)o1)c1nn[n-]n1 ZINC000280791603 408835472 /nfs/dbraw/zinc/83/54/72/408835472.db2.gz LCCKLZYHFLDBDA-VIFPVBQESA-N -1 1 313.317 1.182 20 0 DDADMM Cc1n[nH]c(C)c1C[N@@H+]1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000291604902 408893021 /nfs/dbraw/zinc/89/30/21/408893021.db2.gz QQXHZUZSMJKRIE-NSHDSACASA-N -1 1 318.343 1.917 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2OCCC[C@H]12)c1cc(F)ccc1F ZINC000190651531 163151558 /nfs/dbraw/zinc/15/15/58/163151558.db2.gz VXNOKAXBBMGHEH-YUSALJHKSA-N -1 1 303.330 1.811 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)F)c1cc(F)ccc1Cl ZINC000228622407 163342983 /nfs/dbraw/zinc/34/29/83/163342983.db2.gz MHXNMLCYZSVQHN-ZETCQYMHSA-N -1 1 303.689 1.383 20 0 DDADMM C[N@H+]1CC[C@H]2CCN(C(=O)c3ccc4n[nH]c(=S)n4c3)[C@H]2C1 ZINC000286315948 408961784 /nfs/dbraw/zinc/96/17/84/408961784.db2.gz IYCTUPBCXBIOCC-JQWIXIFHSA-N -1 1 317.418 1.184 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(F)cc3nn[nH]c32)CC[S@@]1=O ZINC000282034342 408975867 /nfs/dbraw/zinc/97/58/67/408975867.db2.gz KNTWBTPNZQGZHE-PPRQPISWSA-N -1 1 310.354 1.080 20 0 DDADMM C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)c1cc(F)ccc1[O-] ZINC000287627662 409066736 /nfs/dbraw/zinc/06/67/36/409066736.db2.gz ZLOZNFNBMNYKDB-AAEUAGOBSA-N -1 1 308.353 1.467 20 0 DDADMM C[C@@H](CO[N-]C(=O)C1C=NC(=S)N1)NC(=O)OC(C)(C)C ZINC000282248524 409019045 /nfs/dbraw/zinc/01/90/45/409019045.db2.gz QWDDINSPSVZUAB-ZETCQYMHSA-N -1 1 316.383 1.273 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1)c1cccnc1 ZINC000282857216 409068401 /nfs/dbraw/zinc/06/84/01/409068401.db2.gz GZAPYPDHFCCMBH-JQWIXIFHSA-N -1 1 301.350 1.003 20 0 DDADMM Cc1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(C2CC2)n1 ZINC000282286723 409027253 /nfs/dbraw/zinc/02/72/53/409027253.db2.gz KLLNDZYJPHEBOL-MRVPVSSYSA-N -1 1 301.354 1.051 20 0 DDADMM CNC(=O)OC[C@H]1CCCCN1C(=O)c1cc(F)ccc1[O-] ZINC000292622980 409037614 /nfs/dbraw/zinc/03/76/14/409037614.db2.gz MEAUWEKCHDXFIG-LLVKDONJSA-N -1 1 310.325 1.882 20 0 DDADMM CO[C@H]1CCC[C@@H](CC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000283036522 409074109 /nfs/dbraw/zinc/07/41/09/409074109.db2.gz GKRUDXBJRWTEPZ-OMLJAZAOSA-N -1 1 302.378 1.876 20 0 DDADMM CCC1(CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCOCC1 ZINC000287818781 409098881 /nfs/dbraw/zinc/09/88/81/409098881.db2.gz YWALCSYLJSFGLH-MOSHPQCFSA-N -1 1 317.393 1.670 20 0 DDADMM C[C@@H](CF)NC(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000288156395 409155829 /nfs/dbraw/zinc/15/58/29/409155829.db2.gz JXYOENBEDRSTQT-VIFPVBQESA-N -1 1 305.309 1.144 20 0 DDADMM Cn1nc([C@@H]2CCCOC2)cc1NC(=O)c1cncc([O-])c1 ZINC000279133488 409155802 /nfs/dbraw/zinc/15/58/02/409155802.db2.gz AAFOTCCKSPUTNE-SNVBAGLBSA-N -1 1 302.334 1.667 20 0 DDADMM COCC1(CNC(=O)C(=O)c2ccc([O-])cc2)CCOCC1 ZINC000293714097 409165557 /nfs/dbraw/zinc/16/55/57/409165557.db2.gz RVBDZDISUDILJK-UHFFFAOYSA-N -1 1 307.346 1.134 20 0 DDADMM CO[C@@]1(C)C[C@@H](NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1(C)C ZINC000279255830 409179661 /nfs/dbraw/zinc/17/96/61/409179661.db2.gz IHXUUMDDAZVZOE-FNQXMLDPSA-N -1 1 317.393 1.667 20 0 DDADMM O=C([O-])C1(NCc2cn(-c3ccc(F)cc3Cl)nn2)CC1 ZINC000283889345 409234575 /nfs/dbraw/zinc/23/45/75/409234575.db2.gz IPPHJWSAFHGBSK-UHFFFAOYSA-N -1 1 310.716 1.767 20 0 DDADMM C[C@H]1OCC[C@@]12CN(C(=O)c1c(F)ccc([O-])c1F)CCO2 ZINC000289330324 409249683 /nfs/dbraw/zinc/24/96/83/409249683.db2.gz MBTDROBQJLJZDT-RFAUZJTJSA-N -1 1 313.300 1.690 20 0 DDADMM CC(=O)NCCN(Cc1ccsc1)C(=O)c1ncccc1[O-] ZINC000283711612 409201503 /nfs/dbraw/zinc/20/15/03/409201503.db2.gz ZOGMDDCOCNTADC-UHFFFAOYSA-N -1 1 319.386 1.627 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2C[C@H](C)O[C@H]2C)c1Br ZINC000631590108 422841170 /nfs/dbraw/zinc/84/11/70/422841170.db2.gz HANNCVAPIPSJBF-BIIVOSGPSA-N -1 1 316.199 1.909 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1c(F)ccc([O-])c1F ZINC000280702668 409416129 /nfs/dbraw/zinc/41/61/29/409416129.db2.gz FMXMRAFXRGHHSX-HTQZYQBOSA-N -1 1 300.305 1.703 20 0 DDADMM Cc1c(S(=O)(=O)Nc2ccc(C(=O)[O-])cc2C)cnn1C ZINC000313926928 164012445 /nfs/dbraw/zinc/01/24/45/164012445.db2.gz BYWKTMPTKMFYDQ-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM O=C(CC[C@@H]1CCCCO1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000408000048 164193998 /nfs/dbraw/zinc/19/39/98/164193998.db2.gz XHTSBXSYLHCVRI-NEPJUHHUSA-N -1 1 309.366 1.831 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)[N-]c2ccn(CC(F)(F)F)n2)C1 ZINC000408138303 164239236 /nfs/dbraw/zinc/23/92/36/164239236.db2.gz JLAXLWYHNJENJM-QMMMGPOBSA-N -1 1 312.317 1.444 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCCc1ccc(O)cc1 ZINC000290714135 409495639 /nfs/dbraw/zinc/49/56/39/409495639.db2.gz JSRXPYMSWPSKPH-UHFFFAOYSA-N -1 1 311.363 1.182 20 0 DDADMM CO[C@H](C)c1nc(=N[C@H](CCO)C2CCOCC2)s[n-]1 ZINC000337858639 409516158 /nfs/dbraw/zinc/51/61/58/409516158.db2.gz IAVHSVXGAPFQRD-MWLCHTKSSA-N -1 1 301.412 1.257 20 0 DDADMM CO[C@H](C)c1nc(=NCCCCCS(C)(=O)=O)s[n-]1 ZINC000337858632 409516171 /nfs/dbraw/zinc/51/61/71/409516171.db2.gz IAUSDHKJIQHLDV-SECBINFHSA-N -1 1 307.441 1.294 20 0 DDADMM O=C(Nc1nn[n-]n1)c1occc1CN1C(=O)Cc2ccccc21 ZINC000345556898 409695291 /nfs/dbraw/zinc/69/52/91/409695291.db2.gz WQZPAFLKYNLJLO-UHFFFAOYSA-N -1 1 324.300 1.134 20 0 DDADMM C[C@@]1(CO)CCC[C@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000305139317 409698737 /nfs/dbraw/zinc/69/87/37/409698737.db2.gz VMHSNEBBADOYQN-OLZOCXBDSA-N -1 1 305.346 1.794 20 0 DDADMM COCCOc1cccc(CNC(=O)c2ncccc2[O-])c1 ZINC000338103862 409725865 /nfs/dbraw/zinc/72/58/65/409725865.db2.gz SSQBPBQXZUDCMW-UHFFFAOYSA-N -1 1 302.330 1.742 20 0 DDADMM C[C@@H](C(=O)N1CCN(c2nc(=N)[n-]s2)CC1)c1ccsc1 ZINC000331650455 409734688 /nfs/dbraw/zinc/73/46/88/409734688.db2.gz LEMCQFZYBQVFPB-SECBINFHSA-N -1 1 323.447 1.464 20 0 DDADMM CCOCC(C)(C)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000342581265 409737492 /nfs/dbraw/zinc/73/74/92/409737492.db2.gz GDSGRFITOABQCG-UHFFFAOYSA-N -1 1 303.362 1.716 20 0 DDADMM Cc1cc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)nc(C)n1 ZINC000356976179 409758754 /nfs/dbraw/zinc/75/87/54/409758754.db2.gz FXXGVXISZHGOPH-UHFFFAOYSA-N -1 1 312.333 1.011 20 0 DDADMM CC(C)(C)OC(=O)C1CN(C(=O)c2cc(F)cc3nn[nH]c32)C1 ZINC000297052395 409778315 /nfs/dbraw/zinc/77/83/15/409778315.db2.gz VSIQQLJSHXBMRQ-UHFFFAOYSA-N -1 1 320.324 1.511 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1ncn(C)n1 ZINC000349406691 409823056 /nfs/dbraw/zinc/82/30/56/409823056.db2.gz CEDFZTWOHBTBSK-UHFFFAOYSA-N -1 1 323.378 1.219 20 0 DDADMM O=C(CSc1ccc2c(c1)CCC2)NC1(c2nn[n-]n2)CC1 ZINC000357067350 409837076 /nfs/dbraw/zinc/83/70/76/409837076.db2.gz JNGGLPGHRGVIFX-UHFFFAOYSA-N -1 1 315.402 1.586 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2C[C@H]2C(F)F)c1Br ZINC000338227786 409820366 /nfs/dbraw/zinc/82/03/66/409820366.db2.gz PVSFLZFDAYZESC-UHNVWZDZSA-N -1 1 308.126 1.996 20 0 DDADMM COCc1n[n-]c(=NCCN(C)Cc2ccc(F)cc2)s1 ZINC000357151860 409908178 /nfs/dbraw/zinc/90/81/78/409908178.db2.gz SWSKJGYXCUJRKC-UHFFFAOYSA-N -1 1 310.398 1.789 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@H](C)c1cccc(C)c1 ZINC000349582416 409878744 /nfs/dbraw/zinc/87/87/44/409878744.db2.gz GLRPDAKSDMDKEA-SNVBAGLBSA-N -1 1 309.391 1.786 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H](C)c1cccc(C)c1 ZINC000349582416 409878754 /nfs/dbraw/zinc/87/87/54/409878754.db2.gz GLRPDAKSDMDKEA-SNVBAGLBSA-N -1 1 309.391 1.786 20 0 DDADMM CC[N@@H+]1C[C@H](C)N(C(=O)c2csc(=NC3CC3)[nH]2)C[C@H]1C ZINC000346308122 409899974 /nfs/dbraw/zinc/89/99/74/409899974.db2.gz HKMUJHZWYDUQLW-MNOVXSKESA-N -1 1 308.451 1.694 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)C[C@H](C)c1ccc(F)cc1 ZINC000297814819 410010028 /nfs/dbraw/zinc/01/00/28/410010028.db2.gz UHPKHCLRXVBYDZ-QMMMGPOBSA-N -1 1 305.313 1.436 20 0 DDADMM CN(C)c1ccc(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)cc1 ZINC000297791089 410002067 /nfs/dbraw/zinc/00/20/67/410002067.db2.gz RMBWIUWBJOHJDJ-UHFFFAOYSA-N -1 1 314.349 1.015 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC12CCC2 ZINC000357377070 410005470 /nfs/dbraw/zinc/00/54/70/410005470.db2.gz KNYQELRCKPHMAP-UHFFFAOYSA-N -1 1 300.362 1.749 20 0 DDADMM CC(C)(NC(=O)CSc1nc2ccccc2o1)c1nn[n-]n1 ZINC000354747398 410056134 /nfs/dbraw/zinc/05/61/34/410056134.db2.gz AUMOOVRUKIYWME-UHFFFAOYSA-N -1 1 318.362 1.485 20 0 DDADMM CC(C)(NC(=O)[C@@H]1SCCc2ccccc21)c1nn[n-]n1 ZINC000354785463 410084822 /nfs/dbraw/zinc/08/48/22/410084822.db2.gz HULCUMDCAPCOGZ-LLVKDONJSA-N -1 1 303.391 1.582 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]C(C)(C)C)o2)[C@@H]1C ZINC000357548253 410096215 /nfs/dbraw/zinc/09/62/15/410096215.db2.gz IUUWHTGFMQAULL-NXEZZACHSA-N -1 1 314.407 1.837 20 0 DDADMM COc1ccccc1C[C@@H](NC(=O)CCc1nn[n-]n1)C(C)C ZINC000631612833 422854130 /nfs/dbraw/zinc/85/41/30/422854130.db2.gz FWDDXXDZNWIMSQ-CYBMUJFWSA-N -1 1 317.393 1.524 20 0 DDADMM Cn1[n-]c(CN2CCN(c3cccc(Cl)c3)CC2)nc1=O ZINC000332404987 410132424 /nfs/dbraw/zinc/13/24/24/410132424.db2.gz BIBCWYUILMIAQP-UHFFFAOYSA-N -1 1 307.785 1.084 20 0 DDADMM Cn1[n-]c(CN2CCO[C@@H](c3ccccc3Cl)C2)nc1=O ZINC000329260086 410196041 /nfs/dbraw/zinc/19/60/41/410196041.db2.gz CSNRQATWQONITM-GFCCVEGCSA-N -1 1 308.769 1.335 20 0 DDADMM C[C@@H]1CCC[C@H](N(C)C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343170608 410207349 /nfs/dbraw/zinc/20/73/49/410207349.db2.gz MCTKKSXQWMQZIE-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM CC[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C(F)(F)F ZINC000346914026 410243614 /nfs/dbraw/zinc/24/36/14/410243614.db2.gz OAPKPDXSCAZZDX-ZETCQYMHSA-N -1 1 314.285 1.258 20 0 DDADMM O=S(=O)(CCCOCC(F)(F)F)c1n[n-]c(C2CC2)n1 ZINC000357953135 410331719 /nfs/dbraw/zinc/33/17/19/410331719.db2.gz AVPCILFXYPMJMJ-UHFFFAOYSA-N -1 1 313.301 1.425 20 0 DDADMM C[C@H](NC(=O)C1CCCC1)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000298693555 410349170 /nfs/dbraw/zinc/34/91/70/410349170.db2.gz BSSNKXAHSBTJIG-LURJTMIESA-N -1 1 319.287 1.457 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H]2CCC(C)(C)C2)co1 ZINC000358106160 410364615 /nfs/dbraw/zinc/36/46/15/410364615.db2.gz ZTMVKJVAFOTUPV-NSHDSACASA-N -1 1 314.407 1.838 20 0 DDADMM O=C(NC[C@]1(CO)C[C@@H]1c1ccc(F)cc1)c1cncc([O-])c1 ZINC000355340020 410453905 /nfs/dbraw/zinc/45/39/05/410453905.db2.gz NOQIOSSTYNUYTL-WBVHZDCISA-N -1 1 316.332 1.822 20 0 DDADMM COc1cc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c(C)cc1C ZINC000358351546 410454533 /nfs/dbraw/zinc/45/45/33/410454533.db2.gz GDBYNTYDLFHRGS-UHFFFAOYSA-N -1 1 313.317 1.295 20 0 DDADMM O=C(c1cnc2ccccc2c1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000298901900 410444455 /nfs/dbraw/zinc/44/44/55/410444455.db2.gz KYNLSFAEGMREMG-LBPRGKRZSA-N -1 1 323.356 1.666 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2[C@@H]3CC[C@@H](C3)[C@@]2(C)C1 ZINC000333382649 410514190 /nfs/dbraw/zinc/51/41/90/410514190.db2.gz WKPXGZRVHZCXPO-ILMIYZIASA-N -1 1 319.361 1.405 20 0 DDADMM Cc1cc(=NC(=O)NC[C@H](c2ccccc2)N(C)C)[n-]nc1C ZINC000343547077 410522707 /nfs/dbraw/zinc/52/27/07/410522707.db2.gz ARZYLYKVYUQXPP-OAHLLOKOSA-N -1 1 313.405 1.940 20 0 DDADMM Cc1ccc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)s1 ZINC000355702501 410649645 /nfs/dbraw/zinc/64/96/45/410649645.db2.gz NJMCBEWYVMDQTQ-UHFFFAOYSA-N -1 1 302.359 1.974 20 0 DDADMM COC(=O)[C@]1([N-]S(=O)(=O)CCC(C)(C)C)CCSC1 ZINC000340069761 410652645 /nfs/dbraw/zinc/65/26/45/410652645.db2.gz OEOCUSPZRBSITO-LBPRGKRZSA-N -1 1 309.453 1.391 20 0 DDADMM COC(=O)CCCCCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000355859993 410706451 /nfs/dbraw/zinc/70/64/51/410706451.db2.gz GEHHOUQFZNACFW-UHFFFAOYSA-N -1 1 320.349 1.137 20 0 DDADMM O=S(=O)([N-][C@]1(C(F)(F)F)CCOC1)c1cccc(F)c1 ZINC000330576477 410789699 /nfs/dbraw/zinc/78/96/99/410789699.db2.gz GMAYXDMHVCLLOI-SNVBAGLBSA-N -1 1 313.272 1.825 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)Nc2ccc(C)[nH]c2=O)c1 ZINC000359645282 410790770 /nfs/dbraw/zinc/79/07/70/410790770.db2.gz SLMSQNDEJALMKF-UHFFFAOYSA-N -1 1 310.331 1.611 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCCN(C(=O)CC)C2)n1 ZINC000330615011 410829117 /nfs/dbraw/zinc/82/91/17/410829117.db2.gz UCCZJAASWOLBEE-SNVBAGLBSA-N -1 1 322.365 1.174 20 0 DDADMM Cc1ccccc1OCCCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000359787802 410882225 /nfs/dbraw/zinc/88/22/25/410882225.db2.gz MVFSYKOCXMCIDT-UHFFFAOYSA-N -1 1 303.366 1.719 20 0 DDADMM Cc1onc(-c2ccccc2)c1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348276642 410890030 /nfs/dbraw/zinc/89/00/30/410890030.db2.gz LEYUOMOQZWFMQC-UHFFFAOYSA-N -1 1 310.317 1.582 20 0 DDADMM CC(C)(NC(=O)c1ccc(Br)cc1)c1nn[n-]n1 ZINC000359795909 410887023 /nfs/dbraw/zinc/88/70/23/410887023.db2.gz WCZZKHQEKNVYQH-UHFFFAOYSA-N -1 1 310.155 1.627 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)c1ccn(C)n1 ZINC000331082432 410936957 /nfs/dbraw/zinc/93/69/57/410936957.db2.gz OVZKIDKRTGUIGK-UHFFFAOYSA-N -1 1 303.366 1.798 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)c2nccn2C)c(=O)[n-]1 ZINC000344294927 411076939 /nfs/dbraw/zinc/07/69/39/411076939.db2.gz VEILTXWVWWCYJX-UHFFFAOYSA-N -1 1 321.406 1.542 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cnc2n1CCC2)c1ccco1 ZINC000356432363 411030414 /nfs/dbraw/zinc/03/04/14/411030414.db2.gz VWWUAWSZTWKWMK-JTQLQIEISA-N -1 1 311.363 1.088 20 0 DDADMM CCCC[C@H](CCC)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353844886 411135012 /nfs/dbraw/zinc/13/50/12/411135012.db2.gz ZVMVAIJCDLYKTF-NSHDSACASA-N -1 1 305.382 1.754 20 0 DDADMM CC(C)c1cccc(N2C[C@@H](C(=O)Nc3nnn[n-]3)CC2=O)c1 ZINC000360222488 411105329 /nfs/dbraw/zinc/10/53/29/411105329.db2.gz MEVZMEHIMAWIED-NSHDSACASA-N -1 1 314.349 1.315 20 0 DDADMM CC(C)c1cccc(N2C[C@@H](C(=O)Nc3nn[n-]n3)CC2=O)c1 ZINC000360222488 411105332 /nfs/dbraw/zinc/10/53/32/411105332.db2.gz MEVZMEHIMAWIED-NSHDSACASA-N -1 1 314.349 1.315 20 0 DDADMM CC(C)c1scnc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000353772848 411127437 /nfs/dbraw/zinc/12/74/37/411127437.db2.gz MOWVSGLTLXXDAW-UHFFFAOYSA-N -1 1 315.380 1.040 20 0 DDADMM CC(C)c1scnc1C(=O)Nc1n[n-]c(S(C)(=O)=O)n1 ZINC000353772848 411127440 /nfs/dbraw/zinc/12/74/40/411127440.db2.gz MOWVSGLTLXXDAW-UHFFFAOYSA-N -1 1 315.380 1.040 20 0 DDADMM CC[C@H]1CO[C@H](C)CN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631728379 422897217 /nfs/dbraw/zinc/89/72/17/422897217.db2.gz ONNFZAGODBQYCD-MNOVXSKESA-N -1 1 315.391 1.589 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)CC(C)(C)O)cnc2n1 ZINC000629997372 422917761 /nfs/dbraw/zinc/91/77/61/422917761.db2.gz UKXYWSPEKGWZLO-JTQLQIEISA-N -1 1 303.362 1.923 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)CC(C)(C)O)c2=O ZINC000629997372 422917764 /nfs/dbraw/zinc/91/77/64/422917764.db2.gz UKXYWSPEKGWZLO-JTQLQIEISA-N -1 1 303.362 1.923 20 0 DDADMM Cc1nc(C)c(C(=O)N=c2nc(C3CCOCC3)[n-]s2)[nH]1 ZINC000630025691 422929578 /nfs/dbraw/zinc/92/95/78/422929578.db2.gz FOIUQJJYQBSOGG-UHFFFAOYSA-N -1 1 307.379 1.446 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H](C)[C@@H](C)C1 ZINC000131514666 196176478 /nfs/dbraw/zinc/17/64/78/196176478.db2.gz AVJOTNCTTQQRCH-QWRGUYRKSA-N -1 1 316.405 1.686 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(F)cc(OC)cc1F)[C@H](C)O ZINC000650208133 423086971 /nfs/dbraw/zinc/08/69/71/423086971.db2.gz OHSVDEMLQUHRPG-WRWORJQWSA-N -1 1 309.334 1.411 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3ccc(=O)[nH]c3)no2)co1 ZINC000350800392 306757196 /nfs/dbraw/zinc/75/71/96/306757196.db2.gz PXCABCPZDSTTPM-UHFFFAOYSA-N -1 1 322.302 1.005 20 0 DDADMM CSc1nc(CNC(=O)Cc2ccc(C)nc2)cc(=O)[n-]1 ZINC000640659197 423117239 /nfs/dbraw/zinc/11/72/39/423117239.db2.gz UXFLANVKOJMATC-UHFFFAOYSA-N -1 1 304.375 1.466 20 0 DDADMM COc1cccnc1CC(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640656017 423116428 /nfs/dbraw/zinc/11/64/28/423116428.db2.gz USRHLEOBUVENFS-UHFFFAOYSA-N -1 1 320.374 1.167 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCOC1 ZINC000645630988 423117994 /nfs/dbraw/zinc/11/79/94/423117994.db2.gz DSOODKLQSBUJDA-SNVBAGLBSA-N -1 1 310.297 1.558 20 0 DDADMM COCc1ccc(C(=O)NCc2cc(=O)[n-]c(SC)n2)cc1 ZINC000640658704 423119033 /nfs/dbraw/zinc/11/90/33/423119033.db2.gz RAPYPJXQGAHHHY-UHFFFAOYSA-N -1 1 319.386 1.981 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@H]1c1ccccc1C ZINC000652757580 423119157 /nfs/dbraw/zinc/11/91/57/423119157.db2.gz JQNHJESXCKSCRI-WDEREUQCSA-N -1 1 315.329 1.491 20 0 DDADMM Cc1nn(-c2ccccc2)c(C)c1CC(=O)[N-]OC(C)(C)CO ZINC000296767688 226210362 /nfs/dbraw/zinc/21/03/62/226210362.db2.gz CHOGAZXGOJZTMN-UHFFFAOYSA-N -1 1 317.389 1.850 20 0 DDADMM O=C(NC[C@H](CO)c1ccccc1Cl)c1ncccc1[O-] ZINC000360480408 418446240 /nfs/dbraw/zinc/44/62/40/418446240.db2.gz XNXSGBNRDXRKCS-SNVBAGLBSA-N -1 1 306.749 1.947 20 0 DDADMM CCOC(=O)CC(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000366319564 418456702 /nfs/dbraw/zinc/45/67/02/418456702.db2.gz CHLAEWRYPMGKGV-UHFFFAOYSA-N -1 1 319.357 1.767 20 0 DDADMM O=C(c1ccc2ncccc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366708141 418507601 /nfs/dbraw/zinc/50/76/01/418507601.db2.gz GBMDXMHHEKGSFW-CYBMUJFWSA-N -1 1 308.345 1.768 20 0 DDADMM CC[C@@H](OC1CCCC1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366712620 418507669 /nfs/dbraw/zinc/50/76/69/418507669.db2.gz YVXDYGKDJYSONP-DGCLKSJQSA-N -1 1 307.398 1.644 20 0 DDADMM COc1ccc(NC(=O)c2cccn2C)cc1[N-]S(C)(=O)=O ZINC000128133156 418511362 /nfs/dbraw/zinc/51/13/62/418511362.db2.gz LEZVSMBVJGGZDG-UHFFFAOYSA-N -1 1 323.374 1.658 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CCCOCC1 ZINC000366746870 418512463 /nfs/dbraw/zinc/51/24/63/418512463.db2.gz SAWVYLWQHFSODQ-LBPRGKRZSA-N -1 1 318.377 1.485 20 0 DDADMM O=C(Nc1ccn(Cc2ccncc2)n1)c1ccc(O)cc1[O-] ZINC000195316697 222198605 /nfs/dbraw/zinc/19/86/05/222198605.db2.gz MYOWXBDXOUXMMH-UHFFFAOYSA-N -1 1 310.313 1.990 20 0 DDADMM CC(C)OCc1nc([C@@H](C)NC(=O)c2ncccc2[O-])no1 ZINC000291286807 222288226 /nfs/dbraw/zinc/28/82/26/222288226.db2.gz OVZCUPPMLOGWGN-SECBINFHSA-N -1 1 306.322 1.586 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2CC[C@@H]3CC[N@@H+](C)[C@H]3C2)[n-]n1 ZINC000375490460 418647069 /nfs/dbraw/zinc/64/70/69/418647069.db2.gz KUUNVMAFMOIGKX-STQMWFEESA-N -1 1 319.409 1.244 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1CCCC2(C1)CCCCCC2 ZINC000367882363 418651512 /nfs/dbraw/zinc/65/15/12/418651512.db2.gz BWJUXSCHMYRNTN-UHFFFAOYSA-N -1 1 317.451 1.922 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC[C@H](N2CCOCC2)C1 ZINC000382530862 418731256 /nfs/dbraw/zinc/73/12/56/418731256.db2.gz SKZJIHWIRNAOKC-ZDUSSCGKSA-N -1 1 324.808 1.982 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)[C@H]1Cc2ccccc2CN1 ZINC000397111765 418761137 /nfs/dbraw/zinc/76/11/37/418761137.db2.gz SAAHWTFDTHEKHK-BYCMXARLSA-N -1 1 302.374 1.413 20 0 DDADMM O=S(=O)([N-]c1ccc2[nH]nnc2c1)c1cn2c(n1)CCCC2 ZINC000365043645 418832839 /nfs/dbraw/zinc/83/28/39/418832839.db2.gz RGPGPTQDCSFEHW-UHFFFAOYSA-N -1 1 318.362 1.292 20 0 DDADMM O=S(=O)([N-]c1ccc2nn[nH]c2c1)c1cn2c(n1)CCCC2 ZINC000365043645 418832842 /nfs/dbraw/zinc/83/28/42/418832842.db2.gz RGPGPTQDCSFEHW-UHFFFAOYSA-N -1 1 318.362 1.292 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)ccc1Cl ZINC000371962302 418826882 /nfs/dbraw/zinc/82/68/82/418826882.db2.gz KRXFQIKEPRITRJ-NSHDSACASA-N -1 1 307.741 1.375 20 0 DDADMM CC(C)(C)OC(=O)CCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000365250329 418846242 /nfs/dbraw/zinc/84/62/42/418846242.db2.gz ZMJPKDYGRLSSAQ-JTQLQIEISA-N -1 1 309.370 1.028 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC[C@@H](C)CCO)c(F)c1 ZINC000425240397 228396751 /nfs/dbraw/zinc/39/67/51/228396751.db2.gz UAMPPDYANKEACM-SECBINFHSA-N -1 1 307.362 1.960 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cnc(CC(C)C)nc1)OC ZINC000421164183 419510174 /nfs/dbraw/zinc/51/01/74/419510174.db2.gz JSOXUNWEQCSZTL-LBPRGKRZSA-N -1 1 301.412 1.842 20 0 DDADMM Cc1cnc(C(=O)N[C@H](CO)Cc2ccc(O)cc2)c([O-])c1 ZINC000427536412 419684371 /nfs/dbraw/zinc/68/43/71/419684371.db2.gz IUAPROLSWAVLGW-LBPRGKRZSA-N -1 1 302.330 1.135 20 0 DDADMM Cc1cnc(C(=O)NCCc2nc(-c3ccccc3)n[nH]2)c([O-])c1 ZINC000427813926 419741743 /nfs/dbraw/zinc/74/17/43/419741743.db2.gz WGBPENBWHMNFLQ-UHFFFAOYSA-N -1 1 323.356 1.853 20 0 DDADMM CCCN1C[C@@H](CNC(=O)c2csc(=NC3CC3)[n-]2)CC1=O ZINC000421909559 419765710 /nfs/dbraw/zinc/76/57/10/419765710.db2.gz FJCBHSJWOTURCO-SNVBAGLBSA-N -1 1 322.434 1.128 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2=C(C)CCC2)c1 ZINC000427906169 419766059 /nfs/dbraw/zinc/76/60/59/419766059.db2.gz ZEWRGFAIQUWTHG-UHFFFAOYSA-N -1 1 310.375 1.739 20 0 DDADMM CCCc1nc(C)c(CN2CCN(c3nc(=N)[n-]s3)CC2)o1 ZINC000428370137 419855410 /nfs/dbraw/zinc/85/54/10/419855410.db2.gz NYMNFSUYFVEVCC-UHFFFAOYSA-N -1 1 322.438 1.522 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)C1C[C@H]2CC[C@@H](C1)C2=O ZINC000433151363 229162380 /nfs/dbraw/zinc/16/23/80/229162380.db2.gz IGAIDUVYNLMNRI-ZACCUICWSA-N -1 1 303.366 1.091 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]([C@H](O)C(F)(F)F)C2)c([O-])c1 ZINC000434327456 229281165 /nfs/dbraw/zinc/28/11/65/229281165.db2.gz QRBRLYJVCUUNGA-SKDRFNHKSA-N -1 1 318.295 1.871 20 0 DDADMM Cc1ccc(Cc2n[n-]c(=NC(=O)N3CCNCC3)s2)cc1 ZINC000415169949 419972576 /nfs/dbraw/zinc/97/25/76/419972576.db2.gz WJHCLWBQKRYTTR-UHFFFAOYSA-N -1 1 317.418 1.296 20 0 DDADMM Cc1nnc(CCNC(=O)c2ccc3ccccc3c2[O-])n1C ZINC000436641246 229504201 /nfs/dbraw/zinc/50/42/01/229504201.db2.gz MQTAKNKQSDLRLE-UHFFFAOYSA-N -1 1 310.357 1.955 20 0 DDADMM O=C(N[C@@H](CO)c1c(F)cccc1F)C(=O)c1ccc([O-])cc1 ZINC000436998595 229553024 /nfs/dbraw/zinc/55/30/24/229553024.db2.gz KWSXHVBTLMFONU-ZDUSSCGKSA-N -1 1 321.279 1.703 20 0 DDADMM COC(=O)c1sc2ccccc2c1S(=O)(=O)[N-]CCF ZINC000435651527 420272437 /nfs/dbraw/zinc/27/24/37/420272437.db2.gz UQLJARFZWOMUDN-UHFFFAOYSA-N -1 1 317.363 1.936 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCCS(=O)(=O)NC)n1 ZINC000436112076 420309103 /nfs/dbraw/zinc/30/91/03/420309103.db2.gz GLABJRCXEAEACD-UHFFFAOYSA-N -1 1 305.425 1.166 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)Cc2ncccc2F)c1 ZINC000436501429 420333122 /nfs/dbraw/zinc/33/31/22/420333122.db2.gz DBHNPRGRDOACFT-UHFFFAOYSA-N -1 1 304.277 1.894 20 0 DDADMM CCc1nc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(C)o1 ZINC000425298795 420343406 /nfs/dbraw/zinc/34/34/06/420343406.db2.gz JTHBHYHETIKKDT-VIFPVBQESA-N -1 1 306.322 1.654 20 0 DDADMM COC(=O)c1cccc(NC(=O)c2ccc(O)cc2[O-])c1O ZINC000436630830 420346208 /nfs/dbraw/zinc/34/62/08/420346208.db2.gz QRJLOZAEAVFMBQ-UHFFFAOYSA-N -1 1 303.270 1.842 20 0 DDADMM Cn1nccc1[C@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000436638408 420347688 /nfs/dbraw/zinc/34/76/88/420347688.db2.gz DLXKHBAGHARHPP-ZDUSSCGKSA-N -1 1 313.357 1.715 20 0 DDADMM C[C@@H](Oc1ccccn1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425316180 420348459 /nfs/dbraw/zinc/34/84/59/420348459.db2.gz CPLGPSIMRDYKSY-SNVBAGLBSA-N -1 1 318.333 1.344 20 0 DDADMM Cc1nc(Cn2nnc(NC(=O)c3ccccc3[O-])c2C)no1 ZINC000436668398 420352481 /nfs/dbraw/zinc/35/24/81/420352481.db2.gz XYZKLXNFHMZVRB-UHFFFAOYSA-N -1 1 314.305 1.284 20 0 DDADMM CS(=O)(=O)Nc1ccc(NC(=O)c2ccc(O)cc2[O-])cc1 ZINC000436746747 420362173 /nfs/dbraw/zinc/36/21/73/420362173.db2.gz ZPKCUBCCOLRHIY-UHFFFAOYSA-N -1 1 322.342 1.722 20 0 DDADMM CCOC(=O)[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@H](C)CC ZINC000436924662 420384162 /nfs/dbraw/zinc/38/41/62/420384162.db2.gz DJGFHYXSTYEJJF-MFKMUULPSA-N -1 1 307.346 1.669 20 0 DDADMM Cn1c(CNC(=O)C(=O)c2ccc([O-])cc2)nnc1C1CCC1 ZINC000437001126 420391752 /nfs/dbraw/zinc/39/17/52/420391752.db2.gz VMPIYOPTLYKNQQ-UHFFFAOYSA-N -1 1 314.345 1.287 20 0 DDADMM C[N@H+]1CC[C@H](CNS(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000416494828 420392334 /nfs/dbraw/zinc/39/23/34/420392334.db2.gz JPZIFMGBHGQWLF-MRVPVSSYSA-N -1 1 308.325 1.334 20 0 DDADMM Cc1n[n-]c(=NC(=O)NCCc2nc3ccc(F)cc3[nH]2)s1 ZINC000443541412 230017926 /nfs/dbraw/zinc/01/79/26/230017926.db2.gz NHIKXKPJSZEWLN-UHFFFAOYSA-N -1 1 320.353 1.648 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2C[C@H]2CC(C)C)c1 ZINC000416534570 420410256 /nfs/dbraw/zinc/41/02/56/420410256.db2.gz XESFQNUGWACFJP-MWLCHTKSSA-N -1 1 301.364 1.779 20 0 DDADMM O=S(=O)(Cc1csc(-c2ccccn2)n1)c1ncn[n-]1 ZINC000444406222 230096366 /nfs/dbraw/zinc/09/63/66/230096366.db2.gz GIJWNUJEUAHDFU-UHFFFAOYSA-N -1 1 307.360 1.297 20 0 DDADMM O=S(=O)(Cc1csc(-c2ccccn2)n1)c1nc[n-]n1 ZINC000444406222 230096369 /nfs/dbraw/zinc/09/63/69/230096369.db2.gz GIJWNUJEUAHDFU-UHFFFAOYSA-N -1 1 307.360 1.297 20 0 DDADMM COCCOc1ccc(NC(=O)C(=O)c2ccc([O-])cc2)cn1 ZINC000438779707 420466045 /nfs/dbraw/zinc/46/60/45/420466045.db2.gz PBBBYZIOYHZWNO-UHFFFAOYSA-N -1 1 316.313 1.634 20 0 DDADMM COc1cc([N-]S(=O)(=O)CC(C)(C)OC)cc(OC)c1 ZINC000439251944 420503114 /nfs/dbraw/zinc/50/31/14/420503114.db2.gz YJWFVRXGXDSKJU-UHFFFAOYSA-N -1 1 303.380 1.871 20 0 DDADMM Cc1ccccc1-c1nnc(SCC(=O)Nc2nnn[n-]2)o1 ZINC000439269766 420504222 /nfs/dbraw/zinc/50/42/22/420504222.db2.gz HJIPKARPBHWOEX-UHFFFAOYSA-N -1 1 317.334 1.289 20 0 DDADMM Cc1ccccc1-c1nnc(SCC(=O)Nc2nn[n-]n2)o1 ZINC000439269766 420504225 /nfs/dbraw/zinc/50/42/25/420504225.db2.gz HJIPKARPBHWOEX-UHFFFAOYSA-N -1 1 317.334 1.289 20 0 DDADMM CC(C)(C)OC(=O)N[C@]1(C)CCCC[C@H]1C(=O)[N-]OCCO ZINC000492306595 420518752 /nfs/dbraw/zinc/51/87/52/420518752.db2.gz HIQFPDFNMJHQHJ-XHDPSFHLSA-N -1 1 316.398 1.500 20 0 DDADMM CC[C@H](NC(=O)c1coc(S(=O)(=O)[N-]C)c1)C1CCCC1 ZINC000456653297 420523061 /nfs/dbraw/zinc/52/30/61/420523061.db2.gz GDLXJODCJVDOTB-LBPRGKRZSA-N -1 1 314.407 1.886 20 0 DDADMM CCn1cc([C@@H](C)NC(=O)c2csc(=NC3CC3)[n-]2)cn1 ZINC000456701569 420529595 /nfs/dbraw/zinc/52/95/95/420529595.db2.gz XILOBFDTJSJRHY-SECBINFHSA-N -1 1 305.407 1.847 20 0 DDADMM COc1cc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)ccc1Cl ZINC000450838991 420544543 /nfs/dbraw/zinc/54/45/43/420544543.db2.gz GETVLNBDSIICFT-VIFPVBQESA-N -1 1 323.784 1.666 20 0 DDADMM CC(C)(C)[C@H](NC(N)=O)C(=O)Nc1ccc([O-])c(F)c1F ZINC000456791644 420545080 /nfs/dbraw/zinc/54/50/80/420545080.db2.gz YZCLCENMIWTPRB-SNVBAGLBSA-N -1 1 301.293 1.692 20 0 DDADMM NC(=O)c1ccc(F)c([N-]S(=O)(=O)c2cncc(F)c2)c1 ZINC000440304945 420565769 /nfs/dbraw/zinc/56/57/69/420565769.db2.gz NLNNSVGCCYUKME-UHFFFAOYSA-N -1 1 313.285 1.260 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1cncc(OC)c1)c1nn[n-]n1 ZINC000492494500 420574691 /nfs/dbraw/zinc/57/46/91/420574691.db2.gz ZZKNQFJXWULGLM-FJOGCWAESA-N -1 1 302.338 1.274 20 0 DDADMM CC(C)(C)C[C@@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000457006398 420585931 /nfs/dbraw/zinc/58/59/31/420585931.db2.gz DNTSSYSTWGJTOM-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1ccc(OC)cn1)c1nn[n-]n1 ZINC000492552606 420590217 /nfs/dbraw/zinc/59/02/17/420590217.db2.gz PSQLEWIJGZZAPO-XYLWRLHESA-N -1 1 302.338 1.274 20 0 DDADMM COc1cc(C(=O)N2C[C@@H](CO)OC[C@@H]2C)cc(Cl)c1[O-] ZINC000442670146 420698237 /nfs/dbraw/zinc/69/82/37/420698237.db2.gz DGMFXOXYDVAZDR-WPRPVWTQSA-N -1 1 315.753 1.276 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1CCO[C@@H]1C)[C@H](C)CC ZINC000442751229 420708386 /nfs/dbraw/zinc/70/83/86/420708386.db2.gz BXZDZXVOEQOZFA-DDHJBXDOSA-N -1 1 307.412 1.061 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)/C=C\COCC(F)(F)F)ccnc1-2 ZINC000493190402 420782397 /nfs/dbraw/zinc/78/23/97/420782397.db2.gz AXPWMBXYFYFKLP-SVXNPNGKSA-N -1 1 314.267 1.415 20 0 DDADMM CC[C@@H](C)[C@@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)OC ZINC000454277750 420829314 /nfs/dbraw/zinc/82/93/14/420829314.db2.gz KGONJQDSAKJOFT-ZWNOBZJWSA-N -1 1 303.362 1.714 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2cccc(OC)c2)n1 ZINC000448539185 420859549 /nfs/dbraw/zinc/85/95/49/420859549.db2.gz TUYAXUGQHBELAU-UHFFFAOYSA-N -1 1 310.331 1.179 20 0 DDADMM CC[C@H](C)N1CCN(C(=O)N=c2ccc(OC(C)C)n[n-]2)CC1 ZINC000455232571 420986366 /nfs/dbraw/zinc/98/63/66/420986366.db2.gz LCTWKVLNGITMIZ-ZDUSSCGKSA-N -1 1 321.425 1.634 20 0 DDADMM C[C@@H]1CCC[C@H](CCN=c2ccc(C(=O)NCCO)n[n-]2)C1 ZINC000488383011 421086013 /nfs/dbraw/zinc/08/60/13/421086013.db2.gz DFVMADUUHVUELD-CHWSQXEVSA-N -1 1 306.410 1.249 20 0 DDADMM COc1cc(C)cnc1C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000488501763 421094857 /nfs/dbraw/zinc/09/48/57/421094857.db2.gz HAEOFZDDNJRSGA-UHFFFAOYSA-N -1 1 310.317 1.831 20 0 DDADMM COC[C@@]1(C(=O)Nc2nc(-c3ccc(C)o3)n[nH]2)CCOC1 ZINC000450138800 421149708 /nfs/dbraw/zinc/14/97/08/421149708.db2.gz DRYBRRIEMUGGTG-AWEZNQCLSA-N -1 1 306.322 1.365 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCCCCc2ccccc21 ZINC000544744101 421229835 /nfs/dbraw/zinc/22/98/35/421229835.db2.gz ZDIGINJDRGHCMV-UHFFFAOYSA-N -1 1 323.356 1.791 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CCc2cccc(O)c21)c1nn[n-]n1 ZINC000560370947 421254107 /nfs/dbraw/zinc/25/41/07/421254107.db2.gz ARBYDEPZJUKBBH-ONGXEEELSA-N -1 1 301.350 1.197 20 0 DDADMM CCOc1cc(C(=O)NC[C@@](C)(O)COC)cc(Cl)c1[O-] ZINC000546560545 421294642 /nfs/dbraw/zinc/29/46/42/421294642.db2.gz TVONUHBFKCHJML-CQSZACIVSA-N -1 1 317.769 1.572 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(N(C)C)c(F)c1)c1nn[n-]n1 ZINC000547810449 421370290 /nfs/dbraw/zinc/37/02/90/421370290.db2.gz ORRHMMVBGNTSRN-SECBINFHSA-N -1 1 306.345 1.281 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CCCC[C@H]2O)sc1C ZINC000562551231 421380881 /nfs/dbraw/zinc/38/08/81/421380881.db2.gz MFEBRADGRSUFLX-WDEREUQCSA-N -1 1 304.437 1.589 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000563026804 421441520 /nfs/dbraw/zinc/44/15/20/421441520.db2.gz FJAAJRSTLNQUHX-XBFCOCLRSA-N -1 1 314.389 1.899 20 0 DDADMM C[N@H+]1CCCC(C)(C)[C@@H]1CNS(=O)(=O)c1cscn1 ZINC000563058080 421447271 /nfs/dbraw/zinc/44/72/71/421447271.db2.gz GFDSDAKPRSSCDJ-JTQLQIEISA-N -1 1 303.453 1.542 20 0 DDADMM C[C@@H]1CCN(C(=O)NCc2ccc3cncn3c2)C[C@H]1C(=O)[O-] ZINC000563078413 421451236 /nfs/dbraw/zinc/45/12/36/421451236.db2.gz ZDTSVAWPKHCXMA-BXUZGUMPSA-N -1 1 316.361 1.587 20 0 DDADMM Cc1ccccc1-c1nc(=NC[C@]2(O)CCN(C)C2)s[n-]1 ZINC000527968646 421460881 /nfs/dbraw/zinc/46/08/81/421460881.db2.gz OOQDXRJDJQZHBW-OAHLLOKOSA-N -1 1 304.419 1.414 20 0 DDADMM O=C([O-])C1(C(=O)NCc2nc3ccc(F)cc3[nH]2)CCOCC1 ZINC000563202405 421470869 /nfs/dbraw/zinc/47/08/69/421470869.db2.gz ZXMDNIWYCMYECE-UHFFFAOYSA-N -1 1 321.308 1.200 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)C[C@H]1CCCO1 ZINC000531785566 421645672 /nfs/dbraw/zinc/64/56/72/421645672.db2.gz STFNNMTYNJKIFG-WCBMZHEXSA-N -1 1 311.407 1.900 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(Cc2nccc(OC)c2OC)C1 ZINC000571172837 421693989 /nfs/dbraw/zinc/69/39/89/421693989.db2.gz KTFUHOMRIVXPLO-MRXNPFEDSA-N -1 1 324.377 1.412 20 0 DDADMM O=C(CCc1cncc(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000537125129 421727341 /nfs/dbraw/zinc/72/73/41/421727341.db2.gz YGTOWALWEVZASV-NSHDSACASA-N -1 1 304.329 1.073 20 0 DDADMM CCCCn1ncc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1C ZINC000537125168 421728460 /nfs/dbraw/zinc/72/84/60/421728460.db2.gz ALPNXZJEAGGOSV-LBPRGKRZSA-N -1 1 317.397 1.525 20 0 DDADMM CC(C)[C@@H]1C[C@H](CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCO1 ZINC000541957835 421815498 /nfs/dbraw/zinc/81/54/98/421815498.db2.gz OQQMYZNWOFVNCM-MCIONIFRSA-N -1 1 321.425 1.747 20 0 DDADMM CO[C@H](C)c1nc(=N[C@H]2CCN(CC(F)(F)F)C2)s[n-]1 ZINC000558687510 421820695 /nfs/dbraw/zinc/82/06/95/421820695.db2.gz SMJSVJITIVCSRF-SFYZADRCSA-N -1 1 310.345 1.716 20 0 DDADMM CC(C)Cn1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cn1 ZINC000542889127 421832073 /nfs/dbraw/zinc/83/20/73/421832073.db2.gz SDTGDBPDBVOJSJ-NSHDSACASA-N -1 1 303.370 1.072 20 0 DDADMM Cc1nc(CS(=O)(=O)c2nnc(Cc3cccs3)[n-]2)co1 ZINC000572494371 421794728 /nfs/dbraw/zinc/79/47/28/421794728.db2.gz TUVSCLLOEIEHJM-UHFFFAOYSA-N -1 1 324.387 1.727 20 0 DDADMM Cc1nc(CS(=O)(=O)c2n[n-]c(Cc3cccs3)n2)co1 ZINC000572494371 421794735 /nfs/dbraw/zinc/79/47/35/421794735.db2.gz TUVSCLLOEIEHJM-UHFFFAOYSA-N -1 1 324.387 1.727 20 0 DDADMM C[C@@H]1CCN(CC(=O)NCc2ccccc2F)C[C@@H]1C(=O)[O-] ZINC000581011067 421883788 /nfs/dbraw/zinc/88/37/88/421883788.db2.gz JBNCUFJUMBAQOB-YPMHNXCESA-N -1 1 308.353 1.485 20 0 DDADMM C[C@@H]1CCc2onc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)c2C1 ZINC000572919179 421885148 /nfs/dbraw/zinc/88/51/48/421885148.db2.gz WGMUOSXUIHPCLW-NXEZZACHSA-N -1 1 316.365 1.332 20 0 DDADMM O=C([O-])CCN(C(=O)c1ccc2cncn2c1)C1CCOCC1 ZINC000573001751 421897166 /nfs/dbraw/zinc/89/71/66/421897166.db2.gz XWEVDHRTASSWFI-UHFFFAOYSA-N -1 1 317.345 1.430 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCS[C@H]2COCC[C@@H]21 ZINC000543749378 421841227 /nfs/dbraw/zinc/84/12/27/421841227.db2.gz KLTSOGNWZDIXFW-RYUDHWBXSA-N -1 1 304.375 1.304 20 0 DDADMM Cc1ccc(F)c(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000630222977 421972846 /nfs/dbraw/zinc/97/28/46/421972846.db2.gz IEOMSKSHAUDWRV-LBPRGKRZSA-N -1 1 308.353 1.755 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CC[N@@H+](CCCS(=O)(=O)C(C)C)C1 ZINC000573127346 421926816 /nfs/dbraw/zinc/92/68/16/421926816.db2.gz YRGWTDVBWYEFPV-AWEZNQCLSA-N -1 1 305.440 1.632 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@@](C)(C(=O)[O-])c1ccc(F)cc1 ZINC000630190483 421948951 /nfs/dbraw/zinc/94/89/51/421948951.db2.gz CFAJGFYZGWZLKX-QLJPJBMISA-N -1 1 319.336 1.844 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2(O)Cc3ccccc3C2)sn1 ZINC000632015863 422023296 /nfs/dbraw/zinc/02/32/96/422023296.db2.gz YWEOAHHVPCGXEB-UHFFFAOYSA-N -1 1 324.427 1.260 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)C[C@H](C)O ZINC000632019254 422025247 /nfs/dbraw/zinc/02/52/47/422025247.db2.gz SFBURISWNNFHEC-RQJHMYQMSA-N -1 1 315.317 1.114 20 0 DDADMM COc1ccccc1[C@@H]1CCCN1C(=O)CCCc1nn[n-]n1 ZINC000635486455 422034638 /nfs/dbraw/zinc/03/46/38/422034638.db2.gz ARVMZCOCSGEQAN-ZDUSSCGKSA-N -1 1 315.377 1.895 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)C(C)C ZINC000632030252 422035958 /nfs/dbraw/zinc/03/59/58/422035958.db2.gz TYWIKZKJZSRPQJ-SSDOTTSWSA-N -1 1 315.317 1.378 20 0 DDADMM CN1C[C@@H](NC(=O)N=c2[n-]sc3ccccc32)CCC1=O ZINC000630347885 422036659 /nfs/dbraw/zinc/03/66/59/422036659.db2.gz GDQGCQNIZILAPB-VIFPVBQESA-N -1 1 304.375 1.461 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)[C@H]1CCOC1 ZINC000632032254 422038451 /nfs/dbraw/zinc/03/84/51/422038451.db2.gz USUQNMBXFRKJLM-BQBZGAKWSA-N -1 1 313.301 1.132 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H](C)c2cccs2)CC1 ZINC000630226368 421975571 /nfs/dbraw/zinc/97/55/71/421975571.db2.gz VTLSAIZLTKJMBM-NWDGAFQWSA-N -1 1 310.419 1.859 20 0 DDADMM Cc1oc(C)c(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1C ZINC000630225689 421976187 /nfs/dbraw/zinc/97/61/87/421976187.db2.gz WCLDHBVGCWQMBD-JTQLQIEISA-N -1 1 308.378 1.826 20 0 DDADMM CC(C)Cn1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)cn1 ZINC000630227674 421978529 /nfs/dbraw/zinc/97/85/29/421978529.db2.gz QKRRPTYIBODQNB-UHFFFAOYSA-N -1 1 322.409 1.160 20 0 DDADMM CCCOc1ccc2c(c1)CCN(C(=O)CCc1nn[n-]n1)C2 ZINC000632001269 422011204 /nfs/dbraw/zinc/01/12/04/422011204.db2.gz MBQDIBYWQPHRQF-UHFFFAOYSA-N -1 1 315.377 1.506 20 0 DDADMM COc1ccc([C@H]2CCN(C(=O)CCCc3nn[n-]n3)C2)cc1 ZINC000635464451 422014280 /nfs/dbraw/zinc/01/42/80/422014280.db2.gz ZOYNNFNVWKUSHM-ZDUSSCGKSA-N -1 1 315.377 1.547 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](CO)c2ccccc2)sn1 ZINC000632074028 422070548 /nfs/dbraw/zinc/07/05/48/422070548.db2.gz RLCRBURLWAXBPR-LBPRGKRZSA-N -1 1 312.416 1.506 20 0 DDADMM Cc1nn(C(C)C)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000582013475 422090136 /nfs/dbraw/zinc/09/01/36/422090136.db2.gz NKAPDRQZBRFLFM-NSHDSACASA-N -1 1 319.365 1.881 20 0 DDADMM CC(C)C(=O)NCCCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632120756 422102158 /nfs/dbraw/zinc/10/21/58/422102158.db2.gz AVTVUACJGXGDJQ-UHFFFAOYSA-N -1 1 312.797 1.867 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@H]2C[C@@H](C)O)c1 ZINC000632135824 422110672 /nfs/dbraw/zinc/11/06/72/422110672.db2.gz HEWVGAJBJMFTDH-MNOVXSKESA-N -1 1 315.391 1.325 20 0 DDADMM COc1cc(Cl)c(CN[C@@]2(C(=O)[O-])CCOC2)cc1OC ZINC000630455513 422114186 /nfs/dbraw/zinc/11/41/86/422114186.db2.gz HBQHJNBFIFTMCD-AWEZNQCLSA-N -1 1 315.753 1.691 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@]2(C)CCO[C@@H]2C2CC2)sn1 ZINC000632205962 422162323 /nfs/dbraw/zinc/16/23/23/422162323.db2.gz YGDBRDKOYBFSNY-VXGBXAGGSA-N -1 1 302.421 1.687 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccn(C3CCSCC3)n2)c1[O-] ZINC000633860284 422118577 /nfs/dbraw/zinc/11/85/77/422118577.db2.gz KYMVIQNJEWDAIZ-UHFFFAOYSA-N -1 1 307.379 1.363 20 0 DDADMM O=C(NCC[C@@H](O)C(F)F)c1ccc(Br)cc1[O-] ZINC000633998428 422209584 /nfs/dbraw/zinc/20/95/84/422209584.db2.gz GQPXIMVIZSNOLZ-MRVPVSSYSA-N -1 1 324.121 1.901 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC2(C(F)F)CC2)c1 ZINC000632291344 422226750 /nfs/dbraw/zinc/22/67/50/422226750.db2.gz YPIDNVNTVUBOHN-UHFFFAOYSA-N -1 1 307.318 1.724 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@](C)(OC)C2)c1 ZINC000632293170 422228712 /nfs/dbraw/zinc/22/87/12/422228712.db2.gz XDOYKUMUKRFMKX-CQSZACIVSA-N -1 1 315.391 1.590 20 0 DDADMM CO[C@H](CNC(=O)CCCc1nn[n-]n1)c1ccccc1Cl ZINC000635700786 422256603 /nfs/dbraw/zinc/25/66/03/422256603.db2.gz VSWHSQWXMILHCH-GFCCVEGCSA-N -1 1 323.784 1.680 20 0 DDADMM CCOc1cccc(CCNC(=O)CCCc2nn[n-]n2)c1 ZINC000635715221 422270292 /nfs/dbraw/zinc/27/02/92/422270292.db2.gz HNYSTWRWJSCHRW-UHFFFAOYSA-N -1 1 303.366 1.280 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)[C@H](O)C(F)F ZINC000632390853 422301079 /nfs/dbraw/zinc/30/10/79/422301079.db2.gz LQNWDCXODNWCAB-XRGYYRRGSA-N -1 1 319.276 1.900 20 0 DDADMM CCn1ccnc1CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632392172 422301119 /nfs/dbraw/zinc/30/11/19/422301119.db2.gz AUIJCJNQUAJPGB-UHFFFAOYSA-N -1 1 311.363 1.096 20 0 DDADMM COc1ccc2c(c1)CC[C@@H](NC(=O)CCCc1nn[n-]n1)C2 ZINC000635790939 422348030 /nfs/dbraw/zinc/34/80/30/422348030.db2.gz BTNDHHHFVGCLCM-CYBMUJFWSA-N -1 1 315.377 1.205 20 0 DDADMM O=C([O-])c1coc(=NCCN2CCc3c(Cl)cccc3C2)[nH]1 ZINC000575926165 422348325 /nfs/dbraw/zinc/34/83/25/422348325.db2.gz OZSQVYQPWHQASQ-UHFFFAOYSA-N -1 1 321.764 1.918 20 0 DDADMM O=S(=O)(Cc1ccccc1F)[N-]Cc1nc(C(F)F)no1 ZINC000632481082 422381223 /nfs/dbraw/zinc/38/12/23/422381223.db2.gz FKZNNTMAJDKDCJ-UHFFFAOYSA-N -1 1 321.280 1.766 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1C[C@H]2CCC(=O)c3cccc1c32 ZINC000635805609 422362230 /nfs/dbraw/zinc/36/22/30/422362230.db2.gz CFIGWODCDFNMRB-SNVBAGLBSA-N -1 1 311.345 1.629 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(F)c(C(F)(F)F)c1 ZINC000630840404 422365787 /nfs/dbraw/zinc/36/57/87/422365787.db2.gz QOIRPSAVGGPLIY-UHFFFAOYSA-N -1 1 303.219 1.929 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1ccccc1Br ZINC000630857170 422377975 /nfs/dbraw/zinc/37/79/75/422377975.db2.gz QYYXZCDJSQTJDN-UHFFFAOYSA-N -1 1 324.182 1.254 20 0 DDADMM COc1cccc([C@@H]2C[C@@H](C)CN2C(=O)CCc2nn[n-]n2)c1 ZINC000632412464 422321801 /nfs/dbraw/zinc/32/18/01/422321801.db2.gz BXDYVTHISRLZRU-RISCZKNCSA-N -1 1 315.377 1.751 20 0 DDADMM COc1cc(C(=O)[O-])ccc1-n1[nH]c2c(c1=O)CSCC2 ZINC000634612209 422507160 /nfs/dbraw/zinc/50/71/60/422507160.db2.gz ROHFXTNGWBBBMF-VIFPVBQESA-N -1 1 306.343 1.849 20 0 DDADMM C[C@H]1CCc2[n-]n(-c3ccc(S(=O)(=O)N(C)C)cc3)c(=O)c21 ZINC000634629139 422512860 /nfs/dbraw/zinc/51/28/60/422512860.db2.gz NTNVQGVSVYKVEL-IINYFYTJSA-N -1 1 321.402 1.686 20 0 DDADMM CC(C)(F)C[N-]S(=O)(=O)c1ccc(Br)cn1 ZINC000632608908 422471867 /nfs/dbraw/zinc/47/18/67/422471867.db2.gz LPRJSSRKXHLWAB-UHFFFAOYSA-N -1 1 311.176 1.871 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)[C@H](C)O1 ZINC000632648813 422494200 /nfs/dbraw/zinc/49/42/00/422494200.db2.gz VATYWIIBOROEEL-BUYFANAVSA-N -1 1 309.309 1.948 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000578100306 422498692 /nfs/dbraw/zinc/49/86/92/422498692.db2.gz HDXLZVLOHWVCAY-GMSGAONNSA-N -1 1 311.363 1.590 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000578100306 422498695 /nfs/dbraw/zinc/49/86/95/422498695.db2.gz HDXLZVLOHWVCAY-GMSGAONNSA-N -1 1 311.363 1.590 20 0 DDADMM C[C@H]1CCc2[n-]n(-c3nccc(N4CCOCC4)n3)c(=O)c21 ZINC000634606769 422501044 /nfs/dbraw/zinc/50/10/44/422501044.db2.gz BARBEZNIIQYZHP-GWCFXTLKSA-N -1 1 301.350 1.062 20 0 DDADMM Cc1ccc2cnccc2c1[N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000634662157 422546427 /nfs/dbraw/zinc/54/64/27/422546427.db2.gz IQGUZXNXSCFMDV-NSHDSACASA-N -1 1 321.402 1.762 20 0 DDADMM C[C@@H](CN1CCc2ccccc2[C@H]1C(=O)[O-])CS(C)(=O)=O ZINC000578330364 422549116 /nfs/dbraw/zinc/54/91/16/422549116.db2.gz JBTRKPWMNKOQDK-FZMZJTMJSA-N -1 1 311.403 1.351 20 0 DDADMM Cc1ccc(-c2n[n-]c(S(=O)(=O)Cc3cncn3C)n2)cc1 ZINC000578425304 422565116 /nfs/dbraw/zinc/56/51/16/422565116.db2.gz FSMWHSZKNLXDMP-UHFFFAOYSA-N -1 1 317.374 1.488 20 0 DDADMM Cc1ccc(-c2nc(S(=O)(=O)Cc3cncn3C)n[n-]2)cc1 ZINC000578425304 422565122 /nfs/dbraw/zinc/56/51/22/422565122.db2.gz FSMWHSZKNLXDMP-UHFFFAOYSA-N -1 1 317.374 1.488 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCCc2c(F)cc(F)cc21 ZINC000635971196 422524875 /nfs/dbraw/zinc/52/48/75/422524875.db2.gz ROIPXMMTUDVQBG-ZDUSSCGKSA-N -1 1 321.331 1.994 20 0 DDADMM CCOCCOCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629379338 422639086 /nfs/dbraw/zinc/63/90/86/422639086.db2.gz WTXIFRKYINHQJE-UHFFFAOYSA-N -1 1 305.334 1.570 20 0 DDADMM C[C@@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1ccncc1 ZINC000632882354 422641350 /nfs/dbraw/zinc/64/13/50/422641350.db2.gz ZENXEYDOXMIKPE-SNVBAGLBSA-N -1 1 302.334 1.680 20 0 DDADMM C[C@@H]1CN([C@@H]2CCN(c3ccccc3F)C2=O)CC[C@@H]1C(=O)[O-] ZINC000629495201 422700719 /nfs/dbraw/zinc/70/07/19/422700719.db2.gz HDZLUMJTURZFJZ-TYNCELHUSA-N -1 1 320.364 1.974 20 0 DDADMM CN(C)CCc1cn(Cc2nc(=O)c3sccc3[n-]2)nn1 ZINC000640810978 423204871 /nfs/dbraw/zinc/20/48/71/423204871.db2.gz PGYXHEMGOLLNLW-UHFFFAOYSA-N -1 1 304.379 1.141 20 0 DDADMM CC[C@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000645832106 423206950 /nfs/dbraw/zinc/20/69/50/423206950.db2.gz WMUMIXLTQIAKEW-KWQFWETISA-N -1 1 306.453 1.835 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc(COc2ccccc2F)on1 ZINC000184061571 263384772 /nfs/dbraw/zinc/38/47/72/263384772.db2.gz RMLSQAMVJROMDJ-UHFFFAOYSA-N -1 1 304.241 1.158 20 0 DDADMM CC[C@H](C)c1nc([C@H](C)NC(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)n[nH]1 ZINC000646178511 423380233 /nfs/dbraw/zinc/38/02/33/423380233.db2.gz RLLPAHWITWKKDR-XWLWVQCSSA-N -1 1 323.397 1.932 20 0 DDADMM Cc1[nH]ncc1C1CCN(C(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)CC1 ZINC000646179567 423382994 /nfs/dbraw/zinc/38/29/94/423382994.db2.gz RVKBLBNLLGGTAJ-QWHCGFSZSA-N -1 1 320.393 1.860 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](C(=O)Nc2ccn(-c3ccncc3)n2)C1 ZINC000653306192 423432201 /nfs/dbraw/zinc/43/22/01/423432201.db2.gz FTBNQUURBYLPKI-WDEREUQCSA-N -1 1 300.318 1.129 20 0 DDADMM CC1CCN(CC(=O)NC[C@@](C)(C(=O)[O-])c2ccccc2)CC1 ZINC000653323242 423440250 /nfs/dbraw/zinc/44/02/50/423440250.db2.gz PBJHOBSWPOKHFU-GOSISDBHSA-N -1 1 318.417 1.877 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cn(C2CCC2)nn1 ZINC000648746368 423441562 /nfs/dbraw/zinc/44/15/62/423441562.db2.gz ZDIBMIIFBXYUMS-UHFFFAOYSA-N -1 1 301.232 1.392 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)C(=O)c1cc(-c2c(C)nn(C)c2C)[nH]n1 ZINC000653341109 423446557 /nfs/dbraw/zinc/44/65/57/423446557.db2.gz ZOVGZHQJPIJPPN-LBPRGKRZSA-N -1 1 319.365 1.362 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCS(=O)(=O)C1CCCC1 ZINC000646413415 423479705 /nfs/dbraw/zinc/47/97/05/423479705.db2.gz XWOPJKZVONWOMF-UHFFFAOYSA-N -1 1 301.368 1.110 20 0 DDADMM COc1ccc(C(C)=O)cc1CC(=O)Nc1c(C)[n-][nH]c1=O ZINC000646413044 423480035 /nfs/dbraw/zinc/48/00/35/423480035.db2.gz PIFFYPLBADMSKK-UHFFFAOYSA-N -1 1 303.318 1.816 20 0 DDADMM O=C(c1cccc2ccncc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000648837846 423490687 /nfs/dbraw/zinc/49/06/87/423490687.db2.gz HNVDEDLHRLLSJK-GFCCVEGCSA-N -1 1 308.345 1.768 20 0 DDADMM CO[C@@H]1CCC[C@@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000648894460 423527374 /nfs/dbraw/zinc/52/73/74/423527374.db2.gz KVKSXHMWERZKIZ-MGPQQGTHSA-N -1 1 315.377 1.611 20 0 DDADMM C[C@H]1CO[C@H](CO)CN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000649215705 423681153 /nfs/dbraw/zinc/68/11/53/423681153.db2.gz JYOQMEZPWHLHSW-WPRPVWTQSA-N -1 1 319.279 1.633 20 0 DDADMM N=c1[n-]nc(C2CCN(CCOc3ccccc3F)CC2)o1 ZINC000639724438 423690705 /nfs/dbraw/zinc/69/07/05/423690705.db2.gz CHPQGJWJPXHKGZ-UHFFFAOYSA-N -1 1 306.341 1.880 20 0 DDADMM O=S(=O)([N-]CC1CSC1)c1ncccc1C(F)(F)F ZINC000641613348 423756198 /nfs/dbraw/zinc/75/61/98/423756198.db2.gz SFHMXSOEBXEBAI-UHFFFAOYSA-N -1 1 312.338 1.742 20 0 DDADMM CC(C)N1CCC[C@@H](N2CCC[C@H](c3n[n-]c(=N)o3)C2)C1=O ZINC000639822853 423760725 /nfs/dbraw/zinc/76/07/25/423760725.db2.gz XMKNABXCABHBPT-NWDGAFQWSA-N -1 1 307.398 1.061 20 0 DDADMM O=C(NC[C@H]1CCCNC1=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000665731956 423781120 /nfs/dbraw/zinc/78/11/20/423781120.db2.gz AGJLVTCXSJRPDQ-SECBINFHSA-N -1 1 316.279 1.667 20 0 DDADMM C[C@H](C(=O)N1C[C@@H](C)[C@H](C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000647250798 423909853 /nfs/dbraw/zinc/90/98/53/423909853.db2.gz DDIIUZRLLNNBNR-UMVBOHGHSA-N -1 1 304.390 1.686 20 0 DDADMM CN1CCN(Cc2ccc(NC(=O)C(C)(C)C(=O)[O-])cc2)CC1 ZINC000649464950 423931104 /nfs/dbraw/zinc/93/11/04/423931104.db2.gz ASDUHLAHLWTEMT-UHFFFAOYSA-N -1 1 319.405 1.483 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C2(CCO)CC2)c(F)c1 ZINC000644879296 424003951 /nfs/dbraw/zinc/00/39/51/424003951.db2.gz WVUDIHAYHNWTGC-UHFFFAOYSA-N -1 1 307.318 1.167 20 0 DDADMM O=C(c1ccn(C(F)F)n1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644886865 424016491 /nfs/dbraw/zinc/01/64/91/424016491.db2.gz OQBJBBCWRNRCSN-UHFFFAOYSA-N -1 1 313.264 1.387 20 0 DDADMM O=C(Cc1ccccc1OC1CCCC1)NCc1nn[n-]n1 ZINC000654965983 424066670 /nfs/dbraw/zinc/06/66/70/424066670.db2.gz VOTPTPYKTIOWPT-UHFFFAOYSA-N -1 1 301.350 1.380 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C1(CO)CC1 ZINC000657101107 424107937 /nfs/dbraw/zinc/10/79/37/424107937.db2.gz XPEFTDYVVVQEMK-SSDOTTSWSA-N -1 1 309.309 1.543 20 0 DDADMM C[C@@H](CNC(=O)c1c([O-])cccc1F)N1C[C@@H](C)O[C@@H](C)C1 ZINC000655243444 424331503 /nfs/dbraw/zinc/33/15/03/424331503.db2.gz FNODVTBHHAYRIX-SDDRHHMPSA-N -1 1 310.369 1.759 20 0 DDADMM CC(C)C(=O)NCCN(C)C(=O)N=c1[n-]sc2ccccc21 ZINC000640343066 424363683 /nfs/dbraw/zinc/36/36/83/424363683.db2.gz SNEBAQAVDWZBBF-UHFFFAOYSA-N -1 1 320.418 1.954 20 0 DDADMM CN1CCC[C@@H](NC(=O)N=c2[n-]sc3ccccc32)C1=O ZINC000640342394 424363763 /nfs/dbraw/zinc/36/37/63/424363763.db2.gz IXFZHVKEEZQHOT-SNVBAGLBSA-N -1 1 304.375 1.461 20 0 DDADMM Cc1ccccc1OCC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000662169545 424451983 /nfs/dbraw/zinc/45/19/83/424451983.db2.gz YSQKZJSYKZVFNU-UHFFFAOYSA-N -1 1 315.333 1.023 20 0 DDADMM COc1ccc([C@@H]2CCN([C@H]3CCN(CC(=O)[O-])C3=O)C2)cc1 ZINC000662202786 424468668 /nfs/dbraw/zinc/46/86/68/424468668.db2.gz OZFJHBDAJGHKBM-HIFRSBDPSA-N -1 1 318.373 1.170 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1CCCCN1Cc1ccccc1)C(=O)[O-] ZINC000655562592 424571168 /nfs/dbraw/zinc/57/11/68/424571168.db2.gz YLNXPBWJYRSCHF-ZFWWWQNUSA-N -1 1 304.390 1.878 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3nc(C)c(C)[nH]3)no2)co1 ZINC000665089654 424741815 /nfs/dbraw/zinc/74/18/15/424741815.db2.gz LNPANZNZXVYHTA-UHFFFAOYSA-N -1 1 323.334 1.245 20 0 DDADMM CC(C)C(=O)NCCNC(=O)c1ncc2ccccc2c1[O-] ZINC000665392947 424798241 /nfs/dbraw/zinc/79/82/41/424798241.db2.gz JTJYNBPJHAVEFX-UHFFFAOYSA-N -1 1 301.346 1.442 20 0 DDADMM Cc1cc(C(=O)N=c2nc(C(F)(F)F)[n-][nH]2)nn1C(F)F ZINC000341782339 271210982 /nfs/dbraw/zinc/21/09/82/271210982.db2.gz GYYVQZOEMQVLQZ-UHFFFAOYSA-N -1 1 310.186 1.398 20 0 DDADMM Cn1ncc(Cl)c1S(=O)(=O)[N-][C@H](C1CC1)C(F)(F)F ZINC000341890380 271233314 /nfs/dbraw/zinc/23/33/14/271233314.db2.gz UVAAXNIZGWCFBB-SSDOTTSWSA-N -1 1 317.720 1.693 20 0 DDADMM CSc1n[nH]c(NC(=O)[C@H]2CN(c3ccccn3)C[C@@H]2C)n1 ZINC000344641410 272077071 /nfs/dbraw/zinc/07/70/71/272077071.db2.gz SAXYEJGEMKFAKO-UWVGGRQHSA-N -1 1 318.406 1.633 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCO[C@@H](C(C)(C)C)C1)c2=O ZINC000344702592 272094310 /nfs/dbraw/zinc/09/43/10/272094310.db2.gz JTDIRYXKESHLPS-GFCCVEGCSA-N -1 1 318.377 1.561 20 0 DDADMM Cc1ccoc1CN(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000345069220 272166420 /nfs/dbraw/zinc/16/64/20/272166420.db2.gz WBMQWJXLGGJZGE-UHFFFAOYSA-N -1 1 303.318 1.077 20 0 DDADMM O=C(Nc1nnn[n-]1)C1CCN(C(=O)Nc2ccccc2)CC1 ZINC000345489078 272263249 /nfs/dbraw/zinc/26/32/49/272263249.db2.gz YYYNUNLXMJLIIP-UHFFFAOYSA-N -1 1 315.337 1.082 20 0 DDADMM O=C(Nc1nn[n-]n1)C1CCN(C(=O)Nc2ccccc2)CC1 ZINC000345489078 272263251 /nfs/dbraw/zinc/26/32/51/272263251.db2.gz YYYNUNLXMJLIIP-UHFFFAOYSA-N -1 1 315.337 1.082 20 0 DDADMM CNC(=O)[C@H]1CCC[C@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000345512199 272269748 /nfs/dbraw/zinc/26/97/48/272269748.db2.gz PNSCDMZRQMWJFE-GXSJLCMTSA-N -1 1 318.345 1.158 20 0 DDADMM CCN(Cc1nc(=O)n(C)[n-]1)c1cccc(Br)c1 ZINC000347445443 272694581 /nfs/dbraw/zinc/69/45/81/272694581.db2.gz SOQZBGQJAQGVBD-UHFFFAOYSA-N -1 1 311.183 1.897 20 0 DDADMM COc1cc2c(cc1NC(=O)c1cncc([O-])c1)NC(=O)CC2 ZINC000354690866 306783578 /nfs/dbraw/zinc/78/35/78/306783578.db2.gz DNCIUENXASGDAK-UHFFFAOYSA-N -1 1 313.313 1.933 20 0 DDADMM C[C@H]1CCC[C@@H](NC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000332264992 278347857 /nfs/dbraw/zinc/34/78/57/278347857.db2.gz DBNGVRZNECLSLM-WDEREUQCSA-N -1 1 324.454 1.361 20 0 DDADMM C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)c1ccc(Cl)cc1[O-] ZINC000416293401 288178952 /nfs/dbraw/zinc/17/89/52/288178952.db2.gz JTCNZXMSRHRHQW-WDEREUQCSA-N -1 1 312.797 1.573 20 0 DDADMM O=S(=O)(Cc1csc(Cc2ccccc2)n1)c1ncn[n-]1 ZINC000086169764 281015709 /nfs/dbraw/zinc/01/57/09/281015709.db2.gz RDJQJUVZXDURER-UHFFFAOYSA-N -1 1 320.399 1.826 20 0 DDADMM O=S(=O)(Cc1csc(Cc2ccccc2)n1)c1nc[n-]n1 ZINC000086169764 281015711 /nfs/dbraw/zinc/01/57/11/281015711.db2.gz RDJQJUVZXDURER-UHFFFAOYSA-N -1 1 320.399 1.826 20 0 DDADMM Cn1ccc(CC[N-]S(=O)(=O)c2ccc(F)c(F)c2F)n1 ZINC000354715326 306784617 /nfs/dbraw/zinc/78/46/17/306784617.db2.gz SXNZAHYPVLKCHD-UHFFFAOYSA-N -1 1 319.308 1.358 20 0 DDADMM CN1CCN(c2cnc(C(=O)[O-])cn2)C[C@@H]1Cc1ccccc1 ZINC000263436196 290058659 /nfs/dbraw/zinc/05/86/59/290058659.db2.gz VJWJXIUDYMSPMN-AWEZNQCLSA-N -1 1 312.373 1.538 20 0 DDADMM NC(=O)[C@@H]1Cc2ccccc2CN1C(=O)c1cc(F)ccc1[O-] ZINC000436757759 295132332 /nfs/dbraw/zinc/13/23/32/295132332.db2.gz WYPXOCKSFRAVRL-AWEZNQCLSA-N -1 1 314.316 1.584 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H]1Cc1ccccc1 ZINC000572260501 304515854 /nfs/dbraw/zinc/51/58/54/304515854.db2.gz UZDSYPFJFNNINF-MNOVXSKESA-N -1 1 315.329 1.257 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cccc(C)c2)co1 ZINC000162598074 298200629 /nfs/dbraw/zinc/20/06/29/298200629.db2.gz RXKBECOEFCBEMG-UHFFFAOYSA-N -1 1 308.359 1.426 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])c1ccc(-n2cncn2)cc1 ZINC000171236672 298230068 /nfs/dbraw/zinc/23/00/68/298230068.db2.gz WPJKWQSGVYXZFE-NSHDSACASA-N -1 1 309.329 1.859 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2cccc3c2OCO3)n1 ZINC000573936137 304623513 /nfs/dbraw/zinc/62/35/13/304623513.db2.gz NIIIPLPTPPMVQC-ZETCQYMHSA-N -1 1 311.319 1.146 20 0 DDADMM Cn1[n-]c(CN2CCC(c3c(F)cccc3F)CC2)nc1=O ZINC000362587382 300102331 /nfs/dbraw/zinc/10/23/31/300102331.db2.gz JAGJTKUUNRPRFX-UHFFFAOYSA-N -1 1 308.332 1.766 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CCN(c2ncccn2)CC1 ZINC000372416045 301567228 /nfs/dbraw/zinc/56/72/28/301567228.db2.gz IZLQGGFEQDPESF-UHFFFAOYSA-N -1 1 313.361 1.318 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(CCOc3ccc(F)cc3)C2)n[nH]1 ZINC000377497031 302185999 /nfs/dbraw/zinc/18/59/99/302185999.db2.gz LWVXDKLJJSLWSG-LLVKDONJSA-N -1 1 306.341 1.908 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3cc(C)ccn3)no2)o1 ZINC000355917622 306820091 /nfs/dbraw/zinc/82/00/91/306820091.db2.gz ULBCPYCSBDFAQB-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM Cc1cnc(C(=O)N2CCN(CC(C)C)C(=O)[C@@H]2C)c([O-])c1 ZINC000331171438 302332705 /nfs/dbraw/zinc/33/27/05/302332705.db2.gz OOYNTERQOXPKFK-LBPRGKRZSA-N -1 1 305.378 1.425 20 0 DDADMM CCOC(=O)N1CCC([C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC000495531000 302418701 /nfs/dbraw/zinc/41/87/01/302418701.db2.gz SJDRRFSXCYQTOJ-NSHDSACASA-N -1 1 321.377 1.774 20 0 DDADMM Cn1cc(C[C@H]2CCN(C(=O)C(=O)c3ccc([O-])cc3)C2)cn1 ZINC000520634263 302865658 /nfs/dbraw/zinc/86/56/58/302865658.db2.gz MPDBMSXBNRSGCR-GFCCVEGCSA-N -1 1 313.357 1.400 20 0 DDADMM CO[C@@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000528883119 303069612 /nfs/dbraw/zinc/06/96/12/303069612.db2.gz OJNNSJVWQAOTQO-WCQYABFASA-N -1 1 319.340 1.433 20 0 DDADMM COC(=O)c1c(F)ccc([N-]S(=O)(=O)c2cn[nH]c2)c1F ZINC000357341042 306877067 /nfs/dbraw/zinc/87/70/67/306877067.db2.gz NPZCVCNFIATPHL-UHFFFAOYSA-N -1 1 317.273 1.275 20 0 DDADMM O=C(Nc1cccc(-n2cccc2)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000357342067 306877170 /nfs/dbraw/zinc/87/71/70/306877170.db2.gz WLVZZHXUNFTGIV-UHFFFAOYSA-N -1 1 320.312 1.461 20 0 DDADMM O=C(N=c1nc2ccccn2[n-]1)NC[C@H]1CC[N@H+]1C1CCCC1 ZINC000529049870 303084878 /nfs/dbraw/zinc/08/48/78/303084878.db2.gz BWIFEVBMBSMZLJ-CYBMUJFWSA-N -1 1 314.393 1.290 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](n2cccn2)C(F)(F)F)c([O-])c1 ZINC000529625788 303142763 /nfs/dbraw/zinc/14/27/63/303142763.db2.gz ZIYPNEZGJNSQDM-JTQLQIEISA-N -1 1 314.267 1.826 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@H]1CC12CCS(=O)(=O)CC2 ZINC000530005416 303169766 /nfs/dbraw/zinc/16/97/66/303169766.db2.gz KAJFOEYKKXZJOF-SECBINFHSA-N -1 1 313.350 1.685 20 0 DDADMM CC(C)(C(=O)Nc1cccc(F)c1[O-])S(=O)(=O)C1CC1 ZINC000530006842 303170009 /nfs/dbraw/zinc/17/00/09/303170009.db2.gz VMRMAEYUEZPGHQ-UHFFFAOYSA-N -1 1 301.339 1.826 20 0 DDADMM O=C(NCc1cnn(CC(F)(F)F)c1)c1cncc([O-])c1 ZINC000531928895 303266218 /nfs/dbraw/zinc/26/62/18/303266218.db2.gz BWCNWJVLPUIXSW-UHFFFAOYSA-N -1 1 300.240 1.476 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2ccc3[nH]c(=O)ccc3c2)c1C ZINC000533360303 303317055 /nfs/dbraw/zinc/31/70/55/303317055.db2.gz WUEICJZHUXODFM-UHFFFAOYSA-N -1 1 318.358 1.669 20 0 DDADMM O=C(c1cc(C2CC2)[nH]n1)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000535765198 303351653 /nfs/dbraw/zinc/35/16/53/303351653.db2.gz FRURHMXEQWPAKW-UHFFFAOYSA-N -1 1 302.338 1.131 20 0 DDADMM CN(Cc1nnc2n1CCC2)C(=O)c1ccc(Cl)cc1[O-] ZINC000539846348 303400060 /nfs/dbraw/zinc/40/00/60/303400060.db2.gz URZILYMUCQNFRQ-UHFFFAOYSA-N -1 1 306.753 1.856 20 0 DDADMM Cc1cnc(C(=O)NCCc2ccc3c(c2)OCO3)c([O-])c1 ZINC000547299457 303522633 /nfs/dbraw/zinc/52/26/33/303522633.db2.gz JMAGGOHLJFOICJ-UHFFFAOYSA-N -1 1 300.314 1.797 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H](C)CC(C)(C)O ZINC000556671207 303746850 /nfs/dbraw/zinc/74/68/50/303746850.db2.gz NZWCYXIXLINLAL-VIFPVBQESA-N -1 1 320.393 1.260 20 0 DDADMM CO[C@H]1COCC[C@H]1C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000560319029 303804645 /nfs/dbraw/zinc/80/46/45/303804645.db2.gz ABACEXIXMDCOOW-QWRGUYRKSA-N -1 1 320.436 1.090 20 0 DDADMM CCN1OC[C@@H](Sc2nc(C(F)(F)F)cc(=O)[n-]2)C1=O ZINC000562717725 303889341 /nfs/dbraw/zinc/88/93/41/303889341.db2.gz PMKVQWHSUYNXKZ-RXMQYKEDSA-N -1 1 309.269 1.455 20 0 DDADMM C[C@@H]1CN(c2ccc(=NCc3ccccc3F)[n-]n2)C[C@H]1CO ZINC000562820461 303897715 /nfs/dbraw/zinc/89/77/15/303897715.db2.gz LLQBPNUOLLJPHM-OCCSQVGLSA-N -1 1 316.380 1.714 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)Cc1cc(C)no1)c1ccc(C)o1 ZINC000362584619 307021275 /nfs/dbraw/zinc/02/12/75/307021275.db2.gz WQEKAFRJGFOAFO-GFCCVEGCSA-N -1 1 314.363 1.692 20 0 DDADMM CN(CCOc1ccccc1C(=O)[O-])[C@H]1CCCCNC1=O ZINC000364238307 307044356 /nfs/dbraw/zinc/04/43/56/307044356.db2.gz DOYHFNQRPCQVFK-ZDUSSCGKSA-N -1 1 306.362 1.364 20 0 DDADMM CN(CCOc1ccccc1C(=O)[O-])[C@@H]1CCCCNC1=O ZINC000364238306 307044436 /nfs/dbraw/zinc/04/44/36/307044436.db2.gz DOYHFNQRPCQVFK-CYBMUJFWSA-N -1 1 306.362 1.364 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])C1(N2CCOCC2)CCC1 ZINC000365124915 307059747 /nfs/dbraw/zinc/05/97/47/307059747.db2.gz ZBWRFNDZJJDBMY-UHFFFAOYSA-N -1 1 311.769 1.634 20 0 DDADMM COCC1(C(=O)[O-])CCN(C(=O)c2cc(C(C)C)[nH]n2)CC1 ZINC000366416501 307072908 /nfs/dbraw/zinc/07/29/08/307072908.db2.gz GMIJGYQKZASHCG-UHFFFAOYSA-N -1 1 309.366 1.487 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@@H]2c2ccco2)c1 ZINC000367517077 307096278 /nfs/dbraw/zinc/09/62/78/307096278.db2.gz JLJAZIZFRCOKHZ-UWVGGRQHSA-N -1 1 322.342 1.375 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2ccccc2-c2nnc[nH]2)cn1 ZINC000373088411 307177922 /nfs/dbraw/zinc/17/79/22/307177922.db2.gz PVQWJWMOIOSDAF-UHFFFAOYSA-N -1 1 304.335 1.006 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cncc([O-])c2)C[C@@]2(CCCOC2)O1 ZINC000373518440 307190029 /nfs/dbraw/zinc/19/00/29/307190029.db2.gz CVPZOXGMMUKJMB-GDBMZVCRSA-N -1 1 306.362 1.587 20 0 DDADMM Cc1ccc(CNC(=O)c2ncccc2[O-])c(-n2cncn2)n1 ZINC000373825400 307195889 /nfs/dbraw/zinc/19/58/89/307195889.db2.gz UTNFTTVTFPYIFS-UHFFFAOYSA-N -1 1 310.317 1.001 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnc(C)nc1C1CC1)C1CC1 ZINC000514604431 307401140 /nfs/dbraw/zinc/40/11/40/307401140.db2.gz YEGAMHPCCIDGIV-CYBMUJFWSA-N -1 1 311.407 1.829 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3cncc([O-])c3)[C@H]2C1 ZINC000565572731 308033754 /nfs/dbraw/zinc/03/37/54/308033754.db2.gz ZOTPQLFXEWHREF-TUKIKUTGSA-N -1 1 303.362 1.164 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cc(C)cc3c[nH]nc32)[C@@H](C(=O)[O-])C1 ZINC000566506093 308056008 /nfs/dbraw/zinc/05/60/08/308056008.db2.gz OCTOMWLHYYDXKN-DGCLKSJQSA-N -1 1 317.345 1.576 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)F)c1cc2ccccc2o1 ZINC000566655430 308059901 /nfs/dbraw/zinc/05/99/01/308059901.db2.gz PQMMJIIAUCICEA-VIFPVBQESA-N -1 1 305.302 1.727 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@@H](Nc2ncccn2)C1 ZINC000570046355 308157765 /nfs/dbraw/zinc/15/77/65/308157765.db2.gz FBHVBLDXPSENEF-LLVKDONJSA-N -1 1 302.309 1.648 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCSC2)c1 ZINC000573391944 308239962 /nfs/dbraw/zinc/23/99/62/308239962.db2.gz VKQIHZSDOCYCQL-QMMMGPOBSA-N -1 1 316.404 1.121 20 0 DDADMM O=C([O-])c1cnc(N2CCN(CCc3cccs3)CC2)nc1 ZINC000575409495 308276563 /nfs/dbraw/zinc/27/65/63/308276563.db2.gz NDTDYJMDLIHLST-UHFFFAOYSA-N -1 1 318.402 1.601 20 0 DDADMM CCCC1CCC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000579662828 308547589 /nfs/dbraw/zinc/54/75/89/308547589.db2.gz CYFADFSAXCCERS-UHFFFAOYSA-N -1 1 317.393 1.849 20 0 DDADMM Cc1ncc(CNC(=O)c2cc3ccccc3cc2[O-])c(N)n1 ZINC000580534915 308611419 /nfs/dbraw/zinc/61/14/19/308611419.db2.gz CSUWGZDMLOWPIL-UHFFFAOYSA-N -1 1 308.341 1.986 20 0 DDADMM Cn1cncc1CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000582717794 337124603 /nfs/dbraw/zinc/12/46/03/337124603.db2.gz SELHTPPFKVYNTM-UHFFFAOYSA-N -1 1 301.318 1.219 20 0 DDADMM C[C@@H]1CCN(C(=O)NCc2n[nH]c(C(C)(C)C)n2)C[C@@H]1C(=O)[O-] ZINC000582783686 337160174 /nfs/dbraw/zinc/16/01/74/337160174.db2.gz QLPMKBZAHZJFPY-ZJUUUORDSA-N -1 1 323.397 1.354 20 0 DDADMM C[C@]1(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C[C@@H]1c1ccccc1 ZINC000583739223 337327768 /nfs/dbraw/zinc/32/77/68/337327768.db2.gz MSUNBFLKYUWVGN-YGRLFVJLSA-N -1 1 320.374 1.341 20 0 DDADMM C[C@]1(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C[C@@H]1c1ccccc1 ZINC000583739223 337327769 /nfs/dbraw/zinc/32/77/69/337327769.db2.gz MSUNBFLKYUWVGN-YGRLFVJLSA-N -1 1 320.374 1.341 20 0 DDADMM C[C@@H](CC(=O)OC(C)(C)C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436994560 484134766 /nfs/dbraw/zinc/13/47/66/484134766.db2.gz NEHYYCPQCDQAGN-JTQLQIEISA-N -1 1 307.346 1.811 20 0 DDADMM O=C(c1cc(F)cc2nn[nH]c21)N1CCC([C@H]2CCOC2)CC1 ZINC000669717018 484621798 /nfs/dbraw/zinc/62/17/98/484621798.db2.gz RUEMXHFPBGYDCU-NSHDSACASA-N -1 1 318.352 1.986 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000663044997 484622425 /nfs/dbraw/zinc/62/24/25/484622425.db2.gz SPYURKGYGHMGSZ-OAHLLOKOSA-N -1 1 320.389 1.562 20 0 DDADMM COCC(C)(C)N1CCN(C(=O)[C@H](C(=O)[O-])C(C)(C)C)CC1 ZINC000663116431 484681361 /nfs/dbraw/zinc/68/13/61/484681361.db2.gz HDHINBNDECXJEJ-GFCCVEGCSA-N -1 1 314.426 1.303 20 0 DDADMM O=C([O-])CCC1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000663129354 484691371 /nfs/dbraw/zinc/69/13/71/484691371.db2.gz SHRSPLUNESDSQG-LBPRGKRZSA-N -1 1 305.378 1.933 20 0 DDADMM C[C@@](NC(=O)[C@H]1CCCc2[nH]ncc21)(C(=O)[O-])c1ccccc1 ZINC000663141177 484699453 /nfs/dbraw/zinc/69/94/53/484699453.db2.gz KMCBLIYYFYPSRV-SJCJKPOMSA-N -1 1 313.357 1.946 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@@H]1NCCc2ccccc21)C1CCC1 ZINC000663280987 484783043 /nfs/dbraw/zinc/78/30/43/484783043.db2.gz XFQTXOJJZJSLJZ-HUUCEWRRSA-N -1 1 302.374 1.491 20 0 DDADMM CO[C@H](C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C)C1CCC1 ZINC000668743040 485306586 /nfs/dbraw/zinc/30/65/86/485306586.db2.gz DXQIHBVBEFJPKW-HNNXBMFYSA-N -1 1 318.377 1.483 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ncc2ccccc2c1O ZINC000668869623 485345547 /nfs/dbraw/zinc/34/55/47/485345547.db2.gz RYIALXCOHPTDMY-UHFFFAOYSA-N -1 1 324.344 1.653 20 0 DDADMM O=C(NC[C@H](CO)c1ccc(Cl)cc1)c1cncc([O-])c1 ZINC000673367940 485407431 /nfs/dbraw/zinc/40/74/31/485407431.db2.gz FNSQCGCNSSMMHM-GFCCVEGCSA-N -1 1 306.749 1.947 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(-c2nc(C3CC3)no2)cc1 ZINC000674359928 485612625 /nfs/dbraw/zinc/61/26/25/485612625.db2.gz HMYULGXGBFKRJQ-UHFFFAOYSA-N -1 1 311.305 1.057 20 0 DDADMM COc1nnc([N-]C(=O)c2cnc(-c3cnn(C)c3)s2)s1 ZINC000682678801 485736653 /nfs/dbraw/zinc/73/66/53/485736653.db2.gz LBXPTZPOIBMFRD-UHFFFAOYSA-N -1 1 322.375 1.656 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCO1 ZINC000678960100 485739997 /nfs/dbraw/zinc/73/99/97/485739997.db2.gz GENNZKWPCBSAJW-RTXFEEFZSA-N -1 1 301.350 1.220 20 0 DDADMM C[C@H]1CN(C(=O)C2CC2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000675042522 485846376 /nfs/dbraw/zinc/84/63/76/485846376.db2.gz XTJZRSKOCJFPHH-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM CCC[C@@H](NC(=O)CNc1cccc(Cl)c1)c1nn[n-]n1 ZINC000675144562 485866288 /nfs/dbraw/zinc/86/62/88/485866288.db2.gz QGBVULICOORWHO-LLVKDONJSA-N -1 1 308.773 1.923 20 0 DDADMM Cc1cc(C(=O)NCc2nn[n-]n2)c(Br)s1 ZINC000675460661 485945489 /nfs/dbraw/zinc/94/54/89/485945489.db2.gz UJPMEKHIWKDNFN-UHFFFAOYSA-N -1 1 302.157 1.262 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CCOC[C@@H]2C)c(F)c1 ZINC000683342439 485961033 /nfs/dbraw/zinc/96/10/33/485961033.db2.gz UHUKFTSJJLHYNQ-UFBFGSQYSA-N -1 1 321.345 1.677 20 0 DDADMM Cc1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)ns1 ZINC000683526917 486039487 /nfs/dbraw/zinc/03/94/87/486039487.db2.gz PZIGNYNAVOVZNP-LLVKDONJSA-N -1 1 300.347 1.484 20 0 DDADMM COc1ccc(-c2n[n-]c(S(=O)(=O)Cc3ccno3)n2)cc1 ZINC000683687290 486080488 /nfs/dbraw/zinc/08/04/88/486080488.db2.gz IKBXFFMSMCZPRM-UHFFFAOYSA-N -1 1 320.330 1.442 20 0 DDADMM COc1ccc(-c2nc(S(=O)(=O)Cc3ccno3)n[n-]2)cc1 ZINC000683687290 486080491 /nfs/dbraw/zinc/08/04/91/486080491.db2.gz IKBXFFMSMCZPRM-UHFFFAOYSA-N -1 1 320.330 1.442 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1CCC[C@@H](CC(=O)[O-])C1 ZINC000676232407 486155382 /nfs/dbraw/zinc/15/53/82/486155382.db2.gz AWEQQUYJDJEDBV-NSHDSACASA-N -1 1 324.343 1.972 20 0 DDADMM CN(C)C(=O)Cn1cc(NC(=O)c2ccc([O-])c(F)c2)cn1 ZINC000681039806 486328758 /nfs/dbraw/zinc/32/87/58/486328758.db2.gz XJBGAQWRFVWZDA-UHFFFAOYSA-N -1 1 306.297 1.068 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](CO)C(C)C)c(F)c1 ZINC000676863728 486350035 /nfs/dbraw/zinc/35/00/35/486350035.db2.gz GFKJGAAGUYZRCD-LLVKDONJSA-N -1 1 309.334 1.269 20 0 DDADMM CCc1c(C(=O)NCc2nn[n-]n2)cnn1-c1ccccc1C ZINC000681189520 486367543 /nfs/dbraw/zinc/36/75/43/486367543.db2.gz HZLQMMSEPUMRFR-UHFFFAOYSA-N -1 1 311.349 1.186 20 0 DDADMM Cc1ccc(Cl)c(OCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000681352813 486410455 /nfs/dbraw/zinc/41/04/55/486410455.db2.gz HATKOEVFFRTETK-SNVBAGLBSA-N -1 1 323.784 1.802 20 0 DDADMM O=C(NCC1(O)Cc2ccccc2C1)c1c(F)ccc([O-])c1F ZINC000685436204 486538233 /nfs/dbraw/zinc/53/82/33/486538233.db2.gz FJVPIVKJMOEWCZ-UHFFFAOYSA-N -1 1 319.307 1.930 20 0 DDADMM O=C(NCc1ccc(C2CCC2)cc1)c1cc(=O)n2[n-]cnc2n1 ZINC000581860706 490350689 /nfs/dbraw/zinc/35/06/89/490350689.db2.gz SOZJFKAHXWVILJ-UHFFFAOYSA-N -1 1 323.356 1.615 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC1CC=CC1 ZINC000126324809 490656059 /nfs/dbraw/zinc/65/60/59/490656059.db2.gz XILPCXSIKMJVNK-UHFFFAOYSA-N -1 1 300.362 1.819 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@@H](C)c2n[nH]c(C)n2)c1 ZINC000424841652 533812985 /nfs/dbraw/zinc/81/29/85/533812985.db2.gz DZSFHNZLIRFETI-AUADJRAKSA-N -1 1 322.390 1.107 20 0 DDADMM COc1ccccc1C=CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000491860526 533953696 /nfs/dbraw/zinc/95/36/96/533953696.db2.gz RXYGGNDJKQCITP-HJWRWDBZSA-N -1 1 313.361 1.807 20 0 DDADMM C[C@@H]1CC[C@H](CCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)O1 ZINC000331396878 534233079 /nfs/dbraw/zinc/23/30/79/534233079.db2.gz KWPYWJJTOXQJNR-ZYHUDNBSSA-N -1 1 309.366 1.829 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cc(C)ccc2Cl)n1 ZINC000447868280 534415876 /nfs/dbraw/zinc/41/58/76/534415876.db2.gz IVQMBZSUIMCRIM-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM NC(=O)c1csc(=N[C@H]2CCN(c3ccc(F)cc3)C2)[n-]1 ZINC000413600764 534523575 /nfs/dbraw/zinc/52/35/75/534523575.db2.gz GTYHIUJFMZVWSO-JTQLQIEISA-N -1 1 306.366 1.494 20 0 DDADMM Cc1cnc(C(=O)N2CSC[C@H]2C(=O)N(C)C(C)C)c([O-])c1 ZINC000330888968 534525574 /nfs/dbraw/zinc/52/55/74/534525574.db2.gz UZWWDCKNGUAUTH-NSHDSACASA-N -1 1 323.418 1.478 20 0 DDADMM Cc1cnc(C(=O)NCC[C@H]2C(=O)Nc3ccccc32)c([O-])c1 ZINC000494918749 534530152 /nfs/dbraw/zinc/53/01/52/534530152.db2.gz PHYVQZBWXUNYSV-GFCCVEGCSA-N -1 1 311.341 1.951 20 0 DDADMM COc1cc(C)ccc1OCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000156548323 522273905 /nfs/dbraw/zinc/27/39/05/522273905.db2.gz NTOUAOHQMJHFDA-LLVKDONJSA-N -1 1 319.365 1.158 20 0 DDADMM Cc1cnn(C[C@@H]2CN(C(=O)c3ncc(C)cc3[O-])CCO2)c1 ZINC000331741390 534748041 /nfs/dbraw/zinc/74/80/41/534748041.db2.gz XITDZFVLDPXFCS-ZDUSSCGKSA-N -1 1 316.361 1.142 20 0 DDADMM O=C(C=Cc1cncc(F)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000491863647 534783080 /nfs/dbraw/zinc/78/30/80/534783080.db2.gz YXXKVNHGRVXOQU-ONEGZZNKSA-N -1 1 302.313 1.333 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1ccc(-c2nc[nH]n2)cc1 ZINC000424057074 534860997 /nfs/dbraw/zinc/86/09/97/534860997.db2.gz AZRXZKRSOWVIBL-UHFFFAOYSA-N -1 1 322.390 1.640 20 0 DDADMM CC(C)(C)OC(=O)NCC(C)(C)C(=O)[N-]O[C@@H]1CCOC1 ZINC000495265688 526443434 /nfs/dbraw/zinc/44/34/34/526443434.db2.gz PFRSYFFPQURUKQ-SNVBAGLBSA-N -1 1 302.371 1.374 20 0 DDADMM CC(C)(C)OC(=O)[C@]1(C)CCN(C(=O)c2cncc([O-])c2)C1 ZINC000331316518 526525991 /nfs/dbraw/zinc/52/59/91/526525991.db2.gz DBSCBDQGGKACMA-MRXNPFEDSA-N -1 1 306.362 1.981 20 0 DDADMM CC(=O)N1CC[C@@H](CN(C)C(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000328768591 526646005 /nfs/dbraw/zinc/64/60/05/526646005.db2.gz IBSTXGUKFCUISE-NSHDSACASA-N -1 1 322.434 1.080 20 0 DDADMM CC(=O)OCCCS(=O)(=O)[N-][C@H](c1ccccc1)C(F)F ZINC000293006701 527111731 /nfs/dbraw/zinc/11/17/31/527111731.db2.gz OGURBROOTVHOCZ-GFCCVEGCSA-N -1 1 321.345 1.865 20 0 DDADMM CCC[C@H](C[N-]S(=O)(=O)c1ccc(C(=O)OCC)o1)OC ZINC000451639726 528153645 /nfs/dbraw/zinc/15/36/45/528153645.db2.gz MYCJHIUBZJONOQ-SNVBAGLBSA-N -1 1 319.379 1.550 20 0 DDADMM CCOc1cc(CN[C@H](C(=O)[O-])c2ccnn2C)ccc1OC ZINC000424628752 528283084 /nfs/dbraw/zinc/28/30/84/528283084.db2.gz XALLEBLYMJZUDB-HNNXBMFYSA-N -1 1 319.361 1.743 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)NCCC(C)(C)C(=O)[O-])n[nH]1 ZINC000424398555 528312001 /nfs/dbraw/zinc/31/20/01/528312001.db2.gz ALTXQMRMKCOBPM-VIFPVBQESA-N -1 1 311.386 1.789 20 0 DDADMM CCC[C@@H](C)C(=O)NCCN=c1nc([C@@H](C)OC)[n-]s1 ZINC000431480631 528853488 /nfs/dbraw/zinc/85/34/88/528853488.db2.gz CEJKCXLFDCHVGT-NXEZZACHSA-N -1 1 300.428 1.632 20 0 DDADMM CCC[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCC1 ZINC000458337891 528973392 /nfs/dbraw/zinc/97/33/92/528973392.db2.gz RJEICWBUYOPZPC-GFCCVEGCSA-N -1 1 305.378 1.602 20 0 DDADMM CCC[C@@H](O)CS(=O)(=O)c1nnc(Cc2cccs2)[n-]1 ZINC000295928990 529037567 /nfs/dbraw/zinc/03/75/67/529037567.db2.gz NILWQAHLERLKOT-SECBINFHSA-N -1 1 315.420 1.392 20 0 DDADMM CCC[C@@H](O)CS(=O)(=O)c1nc(Cc2cccs2)n[n-]1 ZINC000295928990 529037571 /nfs/dbraw/zinc/03/75/71/529037571.db2.gz NILWQAHLERLKOT-SECBINFHSA-N -1 1 315.420 1.392 20 0 DDADMM CCC[C@@H](O)CS(=O)(=O)c1n[n-]c(Cc2cccs2)n1 ZINC000295928990 529037575 /nfs/dbraw/zinc/03/75/75/529037575.db2.gz NILWQAHLERLKOT-SECBINFHSA-N -1 1 315.420 1.392 20 0 DDADMM CCN1CCN(C(=O)c2cc(Cl)c([O-])c(OC)c2)CC1=O ZINC000442805401 529133930 /nfs/dbraw/zinc/13/39/30/529133930.db2.gz YRBPSWUEQWPZPH-UHFFFAOYSA-N -1 1 312.753 1.359 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)[C@@H](O)C(F)F ZINC000295688710 529197488 /nfs/dbraw/zinc/19/74/88/529197488.db2.gz IUVYNTNFWQGMQB-GMSGAONNSA-N -1 1 315.288 1.648 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)N1CCN(Cc2ccccc2)C(C)(C)C1 ZINC000382650516 545661203 /nfs/dbraw/zinc/66/12/03/545661203.db2.gz YBNWJHOWSKANKZ-ZDUSSCGKSA-N -1 1 304.390 1.830 20 0 DDADMM c1ccc(CCNc2c3ccccc3nnc2-c2nnn[n-]2)nc1 ZINC000738425802 598935687 /nfs/dbraw/zinc/93/56/87/598935687.db2.gz BBDWHNRYFUYDBR-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM c1ccc(CCNc2c3ccccc3nnc2-c2nn[n-]n2)nc1 ZINC000738425802 598935689 /nfs/dbraw/zinc/93/56/89/598935689.db2.gz BBDWHNRYFUYDBR-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM OCC[C@H](CNc1cccc(-c2nnn[n-]2)n1)c1ccccc1 ZINC000738394459 598974654 /nfs/dbraw/zinc/97/46/54/598974654.db2.gz RQKIESQGCDZSDF-CYBMUJFWSA-N -1 1 310.361 1.840 20 0 DDADMM OCC[C@H](CNc1cccc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000738394459 598974655 /nfs/dbraw/zinc/97/46/55/598974655.db2.gz RQKIESQGCDZSDF-CYBMUJFWSA-N -1 1 310.361 1.840 20 0 DDADMM Cc1ccccc1[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCO1 ZINC000822352218 599036840 /nfs/dbraw/zinc/03/68/40/599036840.db2.gz WULKOZXISXPUEH-ZDUSSCGKSA-N -1 1 323.360 1.543 20 0 DDADMM Cc1ccccc1[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCO1 ZINC000822352218 599036842 /nfs/dbraw/zinc/03/68/42/599036842.db2.gz WULKOZXISXPUEH-ZDUSSCGKSA-N -1 1 323.360 1.543 20 0 DDADMM COc1ccc(OC)c(NC(=O)[C@@H](C)N(C)CCC(=O)[O-])c1 ZINC000818606830 597002443 /nfs/dbraw/zinc/00/24/43/597002443.db2.gz CKVDUOGVRSOLGQ-SNVBAGLBSA-N -1 1 310.350 1.437 20 0 DDADMM CN1CC[C@H](NC(=O)CSCC(=O)[O-])[C@@H]1c1ccccc1 ZINC000820620725 597452377 /nfs/dbraw/zinc/45/23/77/597452377.db2.gz RETQRFYSDBHCES-WFASDCNBSA-N -1 1 308.403 1.366 20 0 DDADMM O=C1COc2ccc(NCc3ccc(-c4nnn[n-]4)o3)cc2N1 ZINC000823540609 607309281 /nfs/dbraw/zinc/30/92/81/607309281.db2.gz HNXHIRMMVAKOOC-UHFFFAOYSA-N -1 1 312.289 1.403 20 0 DDADMM O=C1COc2ccc(NCc3ccc(-c4nn[n-]n4)o3)cc2N1 ZINC000823540609 607309282 /nfs/dbraw/zinc/30/92/82/607309282.db2.gz HNXHIRMMVAKOOC-UHFFFAOYSA-N -1 1 312.289 1.403 20 0 DDADMM Cn1cnnc1-c1cccc(NCc2ccc(-c3nnn[n-]3)o2)c1 ZINC000822704529 607309894 /nfs/dbraw/zinc/30/98/94/607309894.db2.gz MLLIGZYXUWUCMI-UHFFFAOYSA-N -1 1 322.332 1.867 20 0 DDADMM Cn1cnnc1-c1cccc(NCc2ccc(-c3nn[n-]n3)o2)c1 ZINC000822704529 607309895 /nfs/dbraw/zinc/30/98/95/607309895.db2.gz MLLIGZYXUWUCMI-UHFFFAOYSA-N -1 1 322.332 1.867 20 0 DDADMM c1nn(-c2ccccc2)cc1Nc1nccnc1-c1nnn[n-]1 ZINC000738425908 598348978 /nfs/dbraw/zinc/34/89/78/598348978.db2.gz PGWMPESMRMYBQJ-UHFFFAOYSA-N -1 1 305.305 1.586 20 0 DDADMM c1nn(-c2ccccc2)cc1Nc1nccnc1-c1nn[n-]n1 ZINC000738425908 598348980 /nfs/dbraw/zinc/34/89/80/598348980.db2.gz PGWMPESMRMYBQJ-UHFFFAOYSA-N -1 1 305.305 1.586 20 0 DDADMM CC(C)[C@](C)(O)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000820016476 599684845 /nfs/dbraw/zinc/68/48/45/599684845.db2.gz MNQACWVBYJBQGY-SNVBAGLBSA-N -1 1 302.791 1.796 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nnn[n-]2)c1C(=O)N[C@H]1C[C@H]1C ZINC000737548381 598501585 /nfs/dbraw/zinc/50/15/85/598501585.db2.gz PHGKAUXYIZVHOG-SCZZXKLOSA-N -1 1 312.333 1.697 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nn[n-]n2)c1C(=O)N[C@H]1C[C@H]1C ZINC000737548381 598501586 /nfs/dbraw/zinc/50/15/86/598501586.db2.gz PHGKAUXYIZVHOG-SCZZXKLOSA-N -1 1 312.333 1.697 20 0 DDADMM O=C(NCCNc1cccc(-c2nn[n-]n2)n1)c1cccs1 ZINC000738077246 599412736 /nfs/dbraw/zinc/41/27/36/599412736.db2.gz DVWOBTGODAVBQR-UHFFFAOYSA-N -1 1 315.362 1.165 20 0 DDADMM O=C(NCCNc1cccc(-c2nnn[n-]2)n1)c1cccs1 ZINC000738077246 599412733 /nfs/dbraw/zinc/41/27/33/599412733.db2.gz DVWOBTGODAVBQR-UHFFFAOYSA-N -1 1 315.362 1.165 20 0 DDADMM Cc1ccn2cc(CNc3cccc(-c4nnn[n-]4)n3)nc2c1 ZINC000737434921 599414875 /nfs/dbraw/zinc/41/48/75/599414875.db2.gz FESXMKOULMWVDJ-UHFFFAOYSA-N -1 1 306.333 1.830 20 0 DDADMM Cc1ccn2cc(CNc3cccc(-c4nn[n-]n4)n3)nc2c1 ZINC000737434921 599414878 /nfs/dbraw/zinc/41/48/78/599414878.db2.gz FESXMKOULMWVDJ-UHFFFAOYSA-N -1 1 306.333 1.830 20 0 DDADMM Cc1nn(C)c(C)c1[C@H]1CCCN1c1cccc(-c2nnn[n-]2)n1 ZINC000737512181 599432815 /nfs/dbraw/zinc/43/28/15/599432815.db2.gz XCOUUATVOBAVJC-CYBMUJFWSA-N -1 1 324.392 1.954 20 0 DDADMM Cc1nn(C)c(C)c1[C@H]1CCCN1c1cccc(-c2nn[n-]n2)n1 ZINC000737512181 599432818 /nfs/dbraw/zinc/43/28/18/599432818.db2.gz XCOUUATVOBAVJC-CYBMUJFWSA-N -1 1 324.392 1.954 20 0 DDADMM Cc1ncc2c(n1)CCC[C@@H]2Nc1cccc(-c2nnn[n-]2)n1 ZINC000737497350 599439642 /nfs/dbraw/zinc/43/96/42/599439642.db2.gz AHDZMTSCALJDCB-LBPRGKRZSA-N -1 1 308.349 1.850 20 0 DDADMM Cc1ncc2c(n1)CCC[C@@H]2Nc1cccc(-c2nn[n-]n2)n1 ZINC000737497350 599439645 /nfs/dbraw/zinc/43/96/45/599439645.db2.gz AHDZMTSCALJDCB-LBPRGKRZSA-N -1 1 308.349 1.850 20 0 DDADMM Cc1nn(C)c(C)c1CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000822492176 599511891 /nfs/dbraw/zinc/51/18/91/599511891.db2.gz URBRNYMESQCYAV-UHFFFAOYSA-N -1 1 318.772 1.878 20 0 DDADMM Cc1nn(C)c(C)c1CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000822492176 599511892 /nfs/dbraw/zinc/51/18/92/599511892.db2.gz URBRNYMESQCYAV-UHFFFAOYSA-N -1 1 318.772 1.878 20 0 DDADMM c1ncn(-c2ccc(Oc3nccnc3-c3nn[n-]n3)cc2)n1 ZINC000738445692 599527514 /nfs/dbraw/zinc/52/75/14/599527514.db2.gz KMIYNPUUBOANHX-UHFFFAOYSA-N -1 1 307.277 1.030 20 0 DDADMM CCSCC[C@@H](C)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821453593 599547966 /nfs/dbraw/zinc/54/79/66/599547966.db2.gz AYCUQBBBPIHJSI-SNVBAGLBSA-N -1 1 320.422 1.865 20 0 DDADMM CCSCC[C@@H](C)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821453593 599547968 /nfs/dbraw/zinc/54/79/68/599547968.db2.gz AYCUQBBBPIHJSI-SNVBAGLBSA-N -1 1 320.422 1.865 20 0 DDADMM CC1(C)[C@H](OC(=O)c2ccc(-c3nnn[n-]3)nc2)[C@H]2CCO[C@H]21 ZINC000736204339 599618627 /nfs/dbraw/zinc/61/86/27/599618627.db2.gz DYJZQWQMZKWEHW-MVWJERBFSA-N -1 1 315.333 1.232 20 0 DDADMM CC1(C)[C@H](OC(=O)c2ccc(-c3nn[n-]n3)nc2)[C@H]2CCO[C@H]21 ZINC000736204339 599618629 /nfs/dbraw/zinc/61/86/29/599618629.db2.gz DYJZQWQMZKWEHW-MVWJERBFSA-N -1 1 315.333 1.232 20 0 DDADMM CC(C)[C@](C)(O)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000820016476 599684844 /nfs/dbraw/zinc/68/48/44/599684844.db2.gz MNQACWVBYJBQGY-SNVBAGLBSA-N -1 1 302.791 1.796 20 0 DDADMM COc1ccc([C@H](NC(=O)c2[nH]nc3c2CCC3)C(=O)[O-])cc1 ZINC000737965450 599761668 /nfs/dbraw/zinc/76/16/68/599761668.db2.gz WUMUYLWSWMJLTN-ZDUSSCGKSA-N -1 1 315.329 1.463 20 0 DDADMM CC(C)C[C@@H]1NC(=O)N(CN2CCCC[C@@H]2CC(=O)[O-])C1=O ZINC000736429382 599839142 /nfs/dbraw/zinc/83/91/42/599839142.db2.gz UXYBOQNGMNJMDZ-NEPJUHHUSA-N -1 1 311.382 1.240 20 0 DDADMM CN1CCN(Cc2cccc(NC(=O)[C@H]3C[C@H]3C(=O)[O-])c2)CC1 ZINC000737529480 600076812 /nfs/dbraw/zinc/07/68/12/600076812.db2.gz XXPLAYPOGXXWLP-LSDHHAIUSA-N -1 1 317.389 1.093 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc(Br)c1O)C(=O)[O-] ZINC000736576082 600100240 /nfs/dbraw/zinc/10/02/40/600100240.db2.gz TVSBBPQFUHQLNN-ZETCQYMHSA-N -1 1 316.151 1.947 20 0 DDADMM CCC[C@](C)(NCC(=O)NCc1ccc2c(c1)OCO2)C(=O)[O-] ZINC000736965146 600154389 /nfs/dbraw/zinc/15/43/89/600154389.db2.gz STUIKIHXIBYAIT-INIZCTEOSA-N -1 1 322.361 1.265 20 0 DDADMM O=C([O-])CCc1nnc(NC(=O)c2cccc3[nH]ncc32)s1 ZINC000739776724 600203883 /nfs/dbraw/zinc/20/38/83/600203883.db2.gz JIOOVFWPMIABJK-UHFFFAOYSA-N -1 1 317.330 1.684 20 0 DDADMM CC(C)N(CCC(=O)[O-])Cc1nc2ccccc2c(=O)n1C ZINC000736469071 600445273 /nfs/dbraw/zinc/44/52/73/600445273.db2.gz XUUACDXFCKEGGK-UHFFFAOYSA-N -1 1 303.362 1.619 20 0 DDADMM O=C([O-])c1ccc(CNCc2ccc(-n3cncn3)c(F)c2)o1 ZINC000740132163 600525194 /nfs/dbraw/zinc/52/51/94/600525194.db2.gz FLNQBDFMSUTPHG-UHFFFAOYSA-N -1 1 316.292 1.987 20 0 DDADMM O=C([O-])Cn1cc(NC(=O)c2cn[nH]c2-c2ccccc2)cn1 ZINC000321111378 600532269 /nfs/dbraw/zinc/53/22/69/600532269.db2.gz YXMHPJPHFDPNTE-UHFFFAOYSA-N -1 1 311.301 1.610 20 0 DDADMM CC[C@](C)(NCC(=O)Nc1ccsc1C(=O)OC)C(=O)[O-] ZINC000736863168 600560460 /nfs/dbraw/zinc/56/04/60/600560460.db2.gz ISGAZZCGMCGMER-ZDUSSCGKSA-N -1 1 314.363 1.316 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)C[C@@H]1CCCCO1 ZINC000825977451 600820538 /nfs/dbraw/zinc/82/05/38/600820538.db2.gz HHIGMYVAAITZFR-OCCSQVGLSA-N -1 1 312.410 1.199 20 0 DDADMM CN(CC(=O)N1C[C@@H](C(=O)[O-])Oc2ccccc21)C1CCC1 ZINC000830665824 600837481 /nfs/dbraw/zinc/83/74/81/600837481.db2.gz ZDJPLFWIXMRPQH-AWEZNQCLSA-N -1 1 304.346 1.350 20 0 DDADMM CCC[N@@H+](C)CC(=O)Nc1cc(C(=O)[O-])cc(OC)c1OC ZINC000737007937 600930720 /nfs/dbraw/zinc/93/07/20/600930720.db2.gz QMVSXMYSZYLDBZ-UHFFFAOYSA-N -1 1 310.350 1.682 20 0 DDADMM CCCN(C)CC(=O)Nc1cc(C(=O)[O-])cc(OC)c1OC ZINC000737007937 600930721 /nfs/dbraw/zinc/93/07/21/600930721.db2.gz QMVSXMYSZYLDBZ-UHFFFAOYSA-N -1 1 310.350 1.682 20 0 DDADMM Cc1nnc(SCCC(=O)N[C@@H](C(=O)[O-])C(C)(C)C)[nH]1 ZINC000833022218 600957197 /nfs/dbraw/zinc/95/71/97/600957197.db2.gz ZQOWMEZIWDNSQV-VIFPVBQESA-N -1 1 300.384 1.211 20 0 DDADMM C[C@H](C(=O)N[C@H](C(=O)[O-])c1ccccn1)N1CCCCCC1 ZINC000825995113 600976373 /nfs/dbraw/zinc/97/63/73/600976373.db2.gz MXDVOYQZAKYMMW-OCCSQVGLSA-N -1 1 305.378 1.588 20 0 DDADMM COc1ccc(NS(=O)(=O)c2cccc(C(=O)[O-])c2)nc1 ZINC000832419564 600985902 /nfs/dbraw/zinc/98/59/02/600985902.db2.gz MZIGSSHZKQTJSM-UHFFFAOYSA-N -1 1 308.315 1.589 20 0 DDADMM C[C@H](O)CCN(C)[C@@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000827796350 601018698 /nfs/dbraw/zinc/01/86/98/601018698.db2.gz RONLQJTVOJPSTP-RYUDHWBXSA-N -1 1 308.378 1.092 20 0 DDADMM CC(=O)c1ccc(NC(=O)CN[C@](C)(C(=O)[O-])C2CC2)cc1 ZINC000825951230 601032268 /nfs/dbraw/zinc/03/22/68/601032268.db2.gz AVPAAQLHZHRSSJ-INIZCTEOSA-N -1 1 304.346 1.671 20 0 DDADMM Cc1ccccc1CCNC(=O)CN[C@@](C)(C(=O)[O-])C1CC1 ZINC000832979805 601037685 /nfs/dbraw/zinc/03/76/85/601037685.db2.gz SEKLOKPTWMHWRG-QGZVFWFLSA-N -1 1 304.390 1.497 20 0 DDADMM C/C(=C\CN1CCN(CCOc2ccccc2)CC1)C(=O)[O-] ZINC000825741551 601155103 /nfs/dbraw/zinc/15/51/03/601155103.db2.gz PAXQNPWYEJTCTL-VIZOYTHASA-N -1 1 304.390 1.714 20 0 DDADMM C[C@@](NCC(=O)N1CCc2ccccc2C1)(C(=O)[O-])C1CC1 ZINC000827727050 601173204 /nfs/dbraw/zinc/17/32/04/601173204.db2.gz FUPJIONMNIMMJW-KRWDZBQOSA-N -1 1 302.374 1.414 20 0 DDADMM CC[C@](C)(NC(=O)CSc1n[nH]c(=S)s1)C(=O)[O-] ZINC000828686302 601198981 /nfs/dbraw/zinc/19/89/81/601198981.db2.gz ZCXTUMQUGJBDQN-VIFPVBQESA-N -1 1 307.422 1.288 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1C[C@@H]2CCC[C@H]21 ZINC000826005094 601339758 /nfs/dbraw/zinc/33/97/58/601339758.db2.gz TUOASRKUHQUYJE-BYCMXARLSA-N -1 1 302.374 1.874 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCN(C[C@@H](C)O)[C@@H](C)C1 ZINC000830375530 601417629 /nfs/dbraw/zinc/41/76/29/601417629.db2.gz OZWFTAWNLTXJNQ-NWDGAFQWSA-N -1 1 310.394 1.427 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCO[C@H](c2n[nH]c(C)n2)C1 ZINC000830374353 601422972 /nfs/dbraw/zinc/42/29/72/601422972.db2.gz DAXXDXBSIJJQOQ-ZDUSSCGKSA-N -1 1 320.349 1.540 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C[C@@H](O)COCc2cccs2)C1 ZINC000833176129 601448122 /nfs/dbraw/zinc/44/81/22/601448122.db2.gz WSBSDVGTTNZTFO-ZWNOBZJWSA-N -1 1 303.355 1.124 20 0 DDADMM O=C([O-])C[C@H]1CN(CCC(=O)Nc2ccc(F)cc2)CCO1 ZINC000833220092 601461047 /nfs/dbraw/zinc/46/10/47/601461047.db2.gz RTLHHMBUFYEIHD-ZDUSSCGKSA-N -1 1 310.325 1.330 20 0 DDADMM C[C@H](C(=O)Nc1ccc(F)cc1F)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828424224 601517307 /nfs/dbraw/zinc/51/73/07/601517307.db2.gz OKGZYXXYNMZCPY-KKZNHRDASA-N -1 1 312.316 1.944 20 0 DDADMM C[C@H](C(=O)Nc1ccc(F)cc1F)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828424222 601517386 /nfs/dbraw/zinc/51/73/86/601517386.db2.gz OKGZYXXYNMZCPY-FXPVBKGRSA-N -1 1 312.316 1.944 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000315592948 601521493 /nfs/dbraw/zinc/52/14/93/601521493.db2.gz OMWJJSOHNMAYHR-NEPJUHHUSA-N -1 1 306.362 1.595 20 0 DDADMM COC(=O)c1cccc(NC(=O)CN2C[C@H](C)[C@H](C(=O)[O-])C2)c1 ZINC000831453043 601522897 /nfs/dbraw/zinc/52/28/97/601522897.db2.gz FQMBMULHYOIVQW-GXFFZTMASA-N -1 1 320.345 1.064 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@@H](CC(=O)[O-])C1CC1 ZINC000832847853 601530932 /nfs/dbraw/zinc/53/09/32/601530932.db2.gz ZBHNFALACFVGRL-ZETCQYMHSA-N -1 1 305.256 1.720 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C[C@H]1C(=O)[O-] ZINC000315074917 601532658 /nfs/dbraw/zinc/53/26/58/601532658.db2.gz XOPZFXGHVSDHSO-JOYOIKCWSA-N -1 1 321.764 1.803 20 0 DDADMM CO[C@@H]1CCN([C@@H](C)C(=O)NCc2ccc(C(=O)[O-])cc2)C1 ZINC000831841751 601566766 /nfs/dbraw/zinc/56/67/66/601566766.db2.gz OXZWFQCUXQOKOD-SMDDNHRTSA-N -1 1 306.362 1.110 20 0 DDADMM Cc1cc(CC(=O)OCC(=O)Nc2ccccc2C(=O)[O-])n[nH]1 ZINC000832882663 601609798 /nfs/dbraw/zinc/60/97/98/601609798.db2.gz AHVUOAHXCUROBZ-UHFFFAOYSA-N -1 1 317.301 1.141 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CC[C@](F)(C(=O)[O-])C2)o1 ZINC000829679995 601659586 /nfs/dbraw/zinc/65/95/86/601659586.db2.gz MSQJXEHYKISBCC-OAHLLOKOSA-N -1 1 312.341 1.760 20 0 DDADMM O=C([O-])Cc1ccccc1NC(=O)c1nnc2ccccc2c1O ZINC000238104516 601662808 /nfs/dbraw/zinc/66/28/08/601662808.db2.gz JWQGMNCBSLKRJO-UHFFFAOYSA-N -1 1 323.308 1.803 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1[nH]nc2c1CCC2)c1ccccc1F ZINC000833126520 601737872 /nfs/dbraw/zinc/73/78/72/601737872.db2.gz QTNWXSBYAUVXED-CYBMUJFWSA-N -1 1 303.293 1.593 20 0 DDADMM CC[C@H](NC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1)C(=O)[O-] ZINC000828976652 601761806 /nfs/dbraw/zinc/76/18/06/601761806.db2.gz ZVNCHYZGTZTNGW-QMMMGPOBSA-N -1 1 307.331 1.217 20 0 DDADMM C[C@@H]1CN(Cn2c(=O)oc3cc(Cl)ccc32)C[C@@H]1C(=O)[O-] ZINC000828465481 601812886 /nfs/dbraw/zinc/81/28/86/601812886.db2.gz YBENMTWKDOMCOY-SCZZXKLOSA-N -1 1 310.737 1.858 20 0 DDADMM COc1ccccc1[S@@](=O)Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826221156 607528442 /nfs/dbraw/zinc/52/84/42/607528442.db2.gz RQJUZIWKMHYOTN-QFIPXVFZSA-N -1 1 315.358 1.578 20 0 DDADMM COc1ccccc1[S@@](=O)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826221156 607528443 /nfs/dbraw/zinc/52/84/43/607528443.db2.gz RQJUZIWKMHYOTN-QFIPXVFZSA-N -1 1 315.358 1.578 20 0 DDADMM O=C([O-])N1CCC[C@@H](CNC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000740412869 601867365 /nfs/dbraw/zinc/86/73/65/601867365.db2.gz FQTBXKBGZOAGCI-JTQLQIEISA-N -1 1 306.366 1.408 20 0 DDADMM CCN1CCN(CC(=O)Nc2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000829776823 601876953 /nfs/dbraw/zinc/87/69/53/601876953.db2.gz VJMFKEKPAQLAAU-UHFFFAOYSA-N -1 1 309.341 1.100 20 0 DDADMM C[C@H](C(=O)N1CCCCCC1)N1CCSC[C@H]1CC(=O)[O-] ZINC000251014853 601917978 /nfs/dbraw/zinc/91/79/78/601917978.db2.gz AESSHIIBVLGQRJ-CHWSQXEVSA-N -1 1 314.451 1.670 20 0 DDADMM Cc1nnc(SCC(=O)Nc2cccc(CC(=O)[O-])c2)[nH]1 ZINC000818981433 602075289 /nfs/dbraw/zinc/07/52/89/602075289.db2.gz UOEJFAKHPZDGIJ-UHFFFAOYSA-N -1 1 306.347 1.471 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)N1CCO[C@@H](c2nc(C(C)(C)C)n[nH]2)C1 ZINC000827261784 602174834 /nfs/dbraw/zinc/17/48/34/602174834.db2.gz BMJUVBBJYIBUDL-VHSXEESVSA-N -1 1 324.381 1.113 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)N1CCO[C@@H](c2nnc(C(C)(C)C)[nH]2)C1 ZINC000827261784 602174836 /nfs/dbraw/zinc/17/48/36/602174836.db2.gz BMJUVBBJYIBUDL-VHSXEESVSA-N -1 1 324.381 1.113 20 0 DDADMM Cc1cccc(C(N)=O)c1NCc1ccnc(-c2nnn[n-]2)c1 ZINC000826296050 607551893 /nfs/dbraw/zinc/55/18/93/607551893.db2.gz HUEHYRGPYYEPNW-UHFFFAOYSA-N -1 1 309.333 1.281 20 0 DDADMM Cc1cccc(C(N)=O)c1NCc1ccnc(-c2nn[n-]n2)c1 ZINC000826296050 607551895 /nfs/dbraw/zinc/55/18/95/607551895.db2.gz HUEHYRGPYYEPNW-UHFFFAOYSA-N -1 1 309.333 1.281 20 0 DDADMM O=C([O-])Nc1ccc(CNC(=O)CN2C[C@H]3CCC[C@H]32)cc1 ZINC000833286940 602208468 /nfs/dbraw/zinc/20/84/68/602208468.db2.gz ZCLGEYCPZIPKNW-TZMCWYRMSA-N -1 1 303.362 1.877 20 0 DDADMM CS(=O)(=O)CCCN1CCC[C@@H]([C@@H]2CCCN2C(=O)[O-])C1 ZINC000739985523 602314927 /nfs/dbraw/zinc/31/49/27/602314927.db2.gz YGARXQZZNPZMBV-OLZOCXBDSA-N -1 1 318.439 1.276 20 0 DDADMM O=C([O-])NCC1(NC(=O)Cc2[nH]nc3ccccc32)CCCC1 ZINC000740616354 602519490 /nfs/dbraw/zinc/51/94/90/602519490.db2.gz XGGINBVXIOKPQU-UHFFFAOYSA-N -1 1 316.361 1.802 20 0 DDADMM O=C([O-])NCC1(NC(=O)c2[nH]nc3c2CCCC3)CCCC1 ZINC000740615976 602520147 /nfs/dbraw/zinc/52/01/47/602520147.db2.gz RSTQERIMCFHBRL-UHFFFAOYSA-N -1 1 306.366 1.599 20 0 DDADMM CCc1nnc(CN[C@@]2(CNC(=O)[O-])CCCC[C@@H]2C)n1C ZINC000739560308 602554413 /nfs/dbraw/zinc/55/44/13/602554413.db2.gz RVTQCFCSANSNDB-XHDPSFHLSA-N -1 1 309.414 1.684 20 0 DDADMM CCN(CC)[C@H](C(=O)NCCN(C)C(=O)[O-])c1ccccc1 ZINC000739406672 602572857 /nfs/dbraw/zinc/57/28/57/602572857.db2.gz LCTRMCYCPMINDH-AWEZNQCLSA-N -1 1 307.394 1.796 20 0 DDADMM CCN(CC1CCN(C(=O)[O-])CC1)[C@H](C)CS(C)(=O)=O ZINC000739412594 602737169 /nfs/dbraw/zinc/73/71/69/602737169.db2.gz BSOGEAWPSCHULY-LLVKDONJSA-N -1 1 306.428 1.131 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CC[C@@H](NC(=O)[O-])[C@@H](C)C1 ZINC000739267952 602765315 /nfs/dbraw/zinc/76/53/15/602765315.db2.gz LESRQYLQABVEAX-BFHYXJOUSA-N -1 1 311.426 1.756 20 0 DDADMM COc1ccc(C)cc1CN(C)CCN1CCN(C(=O)[O-])CC1 ZINC000739890856 602876801 /nfs/dbraw/zinc/87/68/01/602876801.db2.gz ICZWJQJABBOWKS-UHFFFAOYSA-N -1 1 321.421 1.731 20 0 DDADMM CN(C)C(=O)[C@@H](NC[C@@H]1CCCCN1C(=O)[O-])c1ccccc1 ZINC000739593091 602929127 /nfs/dbraw/zinc/92/91/27/602929127.db2.gz AOSONTBEHPMTQZ-GJZGRUSLSA-N -1 1 319.405 1.938 20 0 DDADMM O=C([O-])N(CC(=O)Nc1ccn(-c2ccncc2)n1)CC1CC1 ZINC000831468726 602937648 /nfs/dbraw/zinc/93/76/48/602937648.db2.gz PKOZFBNCVNCSQL-UHFFFAOYSA-N -1 1 315.333 1.596 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@H](CNC(=O)[O-])C1CC1)c1ccccc1 ZINC000739384913 603034448 /nfs/dbraw/zinc/03/44/48/603034448.db2.gz MRGNMHYWZOALDV-CABCVRRESA-N -1 1 319.405 1.842 20 0 DDADMM C[C@@H](CNC(=O)[O-])N(C)C(=O)[C@H](C)N(C)Cc1ccccc1 ZINC000738601163 603177992 /nfs/dbraw/zinc/17/79/92/603177992.db2.gz KUGZJKJICVJKPZ-STQMWFEESA-N -1 1 307.394 1.621 20 0 DDADMM C[C@@H](NC(=O)[C@@H](C)N(C)CCCNC(=O)[O-])c1ccccc1 ZINC000738952172 603253630 /nfs/dbraw/zinc/25/36/30/603253630.db2.gz MAOVGFAFIGOSSV-CHWSQXEVSA-N -1 1 307.394 1.842 20 0 DDADMM O=C([O-])N1CCC[C@H](CN[C@@H](CO)c2c(F)cccc2F)C1 ZINC000740514921 603277295 /nfs/dbraw/zinc/27/72/95/603277295.db2.gz HSQVQHURRHJEGO-MFKMUULPSA-N -1 1 314.332 1.978 20 0 DDADMM CCN(CC(=O)NC[C@@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000739392095 603375598 /nfs/dbraw/zinc/37/55/98/603375598.db2.gz ITNVITFRPIGZJO-LBPRGKRZSA-N -1 1 309.366 1.533 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000829833019 603619552 /nfs/dbraw/zinc/61/95/52/603619552.db2.gz DSAONHDTAGFIBE-LBPRGKRZSA-N -1 1 309.370 1.044 20 0 DDADMM C[C@H](C(=O)NCCCN(C)C(=O)[O-])N(C)Cc1ccccc1 ZINC000823695773 603672709 /nfs/dbraw/zinc/67/27/09/603672709.db2.gz ZYMBXJKXHBKBON-CYBMUJFWSA-N -1 1 307.394 1.623 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)[C@H]1CNC(=O)[O-] ZINC000825919049 603689400 /nfs/dbraw/zinc/68/94/00/603689400.db2.gz JJAHCCLBDYSOGP-RNCFNFMXSA-N -1 1 317.349 1.466 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](CNC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823663828 603728958 /nfs/dbraw/zinc/72/89/58/603728958.db2.gz UYPLBOGYQZRGAD-DZGCQCFKSA-N -1 1 319.405 1.623 20 0 DDADMM CC(C)C[C@H](CNC(=O)[O-])NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000824182825 603947383 /nfs/dbraw/zinc/94/73/83/603947383.db2.gz MKEIFHKAAORIRG-GHMZBOCLSA-N -1 1 308.382 1.628 20 0 DDADMM C[C@@]1(C(=O)Nc2nc(-c3ccccn3)n[nH]2)CCN(C(=O)[O-])C1 ZINC000825684292 604060462 /nfs/dbraw/zinc/06/04/62/604060462.db2.gz DGAIKSJXMGIVPB-CQSZACIVSA-N -1 1 316.321 1.195 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N1C(=O)CN1CCC[C@H]1CN(C)C(=O)[O-] ZINC000825887256 604090920 /nfs/dbraw/zinc/09/09/20/604090920.db2.gz KKTOAPRHSDATSC-MCIONIFRSA-N -1 1 311.426 1.850 20 0 DDADMM O=S(=O)(c1cccc(F)c1-c1nnn[n-]1)N1C[C@H]2CCC[C@H]21 ZINC000826495797 607674510 /nfs/dbraw/zinc/67/45/10/607674510.db2.gz BSEOHMTZRKHHBU-PSASIEDQSA-N -1 1 323.353 1.179 20 0 DDADMM O=S(=O)(c1cccc(F)c1-c1nn[n-]n1)N1C[C@H]2CCC[C@H]21 ZINC000826495797 607674511 /nfs/dbraw/zinc/67/45/11/607674511.db2.gz BSEOHMTZRKHHBU-PSASIEDQSA-N -1 1 323.353 1.179 20 0 DDADMM CN(CC(=O)Nc1ccc(O)cc1)C1CCN(C(=O)[O-])CC1 ZINC000828114354 604169981 /nfs/dbraw/zinc/16/99/81/604169981.db2.gz FKQGQBXWAZRGTB-UHFFFAOYSA-N -1 1 307.350 1.405 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@H]1NC(=O)N1CCN2CCCC[C@@H]2C1 ZINC000832469910 604182442 /nfs/dbraw/zinc/18/24/42/604182442.db2.gz ZAWNBLRZCKDHRE-BFHYXJOUSA-N -1 1 324.425 1.302 20 0 DDADMM CN(C)Cc1ccc(NC(=O)[C@@H]2CCCN2C(=O)[O-])cc1F ZINC000828046116 604212727 /nfs/dbraw/zinc/21/27/27/604212727.db2.gz FTAROEKOGRUZGT-ZDUSSCGKSA-N -1 1 309.341 1.968 20 0 DDADMM CC(C)CCNC(=O)[C@H](C)N1CCC[C@H]([C@@H](C)NC(=O)[O-])C1 ZINC000824238808 604222412 /nfs/dbraw/zinc/22/24/12/604222412.db2.gz LMFSGWGDFJZTAX-RDBSUJKOSA-N -1 1 313.442 1.905 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC[C@@H]([C@H]3CCOC3)C2)c1 ZINC000826514560 607682739 /nfs/dbraw/zinc/68/27/39/607682739.db2.gz VUNQRSWAMDCVTO-NEPJUHHUSA-N -1 1 300.366 1.515 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC[C@@H]([C@H]3CCOC3)C2)c1 ZINC000826514560 607682740 /nfs/dbraw/zinc/68/27/40/607682740.db2.gz VUNQRSWAMDCVTO-NEPJUHHUSA-N -1 1 300.366 1.515 20 0 DDADMM COC(=O)c1oc(CN[C@](C)(CNC(=O)[O-])C2CC2)cc1C ZINC000828809811 604343292 /nfs/dbraw/zinc/34/32/92/604343292.db2.gz KNGZRDDYTJHQDS-OAHLLOKOSA-N -1 1 310.350 1.901 20 0 DDADMM CCc1[nH]c(C(=O)N2CCN(CC)[C@H](C)C2)c(C)c1C(=O)[O-] ZINC000833666648 604470010 /nfs/dbraw/zinc/47/00/10/604470010.db2.gz CATUQXIQPHRCCI-SNVBAGLBSA-N -1 1 307.394 1.750 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)CCc1ccccn1 ZINC000833403506 604476590 /nfs/dbraw/zinc/47/65/90/604476590.db2.gz INTGMKCHOIMBRY-CYBMUJFWSA-N -1 1 319.405 1.268 20 0 DDADMM CN(C)C(=O)c1ccc(CN(CCC(=O)[O-])C[C@H]2CCCO2)[nH]1 ZINC000833687031 604656481 /nfs/dbraw/zinc/65/64/81/604656481.db2.gz AOETZQXORVSEBQ-CYBMUJFWSA-N -1 1 323.393 1.172 20 0 DDADMM CCNC(=O)NC(=O)[C@@H](C)N(CCC(=O)[O-])Cc1ccccc1 ZINC000692456161 604692626 /nfs/dbraw/zinc/69/26/26/604692626.db2.gz RFEMCTUZPWXSMO-GFCCVEGCSA-N -1 1 321.377 1.198 20 0 DDADMM C[C@@H]1[C@H](C)N(CC(=O)Nc2cccc(C(=O)[O-])c2)CCN1C ZINC000833589377 604854855 /nfs/dbraw/zinc/85/48/55/604854855.db2.gz VTLGLEGYISCAEM-NEPJUHHUSA-N -1 1 305.378 1.348 20 0 DDADMM CC(C)N(CCN(C)C)S(=O)(=O)c1cc(C(=O)[O-])cs1 ZINC000688146410 604930150 /nfs/dbraw/zinc/93/01/50/604930150.db2.gz DQDUXRUSLBSHMQ-UHFFFAOYSA-N -1 1 320.436 1.407 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)c2ccc(C(=O)[O-])nc2)n[nH]1 ZINC000833511656 605023001 /nfs/dbraw/zinc/02/30/01/605023001.db2.gz AKZODLKNXQCRDN-MRVPVSSYSA-N -1 1 303.322 1.512 20 0 DDADMM C[C@@H](CNC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C)C(C)(C)C ZINC000833627310 605052941 /nfs/dbraw/zinc/05/29/41/605052941.db2.gz WABURCFAIRPXJW-QWHCGFSZSA-N -1 1 313.442 1.859 20 0 DDADMM C[C@@]1([NH2+]CCC[N-]C(=O)C(F)(F)F)CCCC[C@@H]1C(=O)[O-] ZINC000833567737 605061116 /nfs/dbraw/zinc/06/11/16/605061116.db2.gz CHJHMEPAZJEYKO-BXKDBHETSA-N -1 1 310.316 1.678 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C/c1ccccc1 ZINC000833621834 605095834 /nfs/dbraw/zinc/09/58/34/605095834.db2.gz OBKWNTATGGXLRB-HSBSLETESA-N -1 1 302.374 1.707 20 0 DDADMM COc1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)ccc1C ZINC000833727078 605113148 /nfs/dbraw/zinc/11/31/48/605113148.db2.gz OFAZOEGMOYREPU-ZDUSSCGKSA-N -1 1 320.389 1.625 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C\c1cccc(F)c1 ZINC000833621913 605114541 /nfs/dbraw/zinc/11/45/41/605114541.db2.gz OSNMRSLDEFQLHV-CFHLNLSMSA-N -1 1 320.364 1.846 20 0 DDADMM CC(C)[C@H]1C[C@H](CC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CCO1 ZINC000833469524 605124358 /nfs/dbraw/zinc/12/43/58/605124358.db2.gz AQXDYPPZZCJESI-KWCYVHTRSA-N -1 1 323.393 1.363 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC1CCCCC1 ZINC000833622010 605135753 /nfs/dbraw/zinc/13/57/53/605135753.db2.gz DEBNJVWRKWHLRJ-ZDUSSCGKSA-N -1 1 311.426 1.757 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC[C@@H]2CN(C)C(=O)[O-])n[nH]1 ZINC000830029746 605301136 /nfs/dbraw/zinc/30/11/36/605301136.db2.gz IVFNFTMFCQMYEH-ZWNOBZJWSA-N -1 1 323.397 1.433 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H](F)Cc1ccccc1 ZINC000833618489 605302447 /nfs/dbraw/zinc/30/24/47/605302447.db2.gz BLEKCVWCPJQTMH-ZFWWWQNUSA-N -1 1 322.380 1.575 20 0 DDADMM O=C([O-])N[C@@H]1CCCN(Cc2ccc(C(=O)NC3CC3)cc2)C1 ZINC000834181458 605490974 /nfs/dbraw/zinc/49/09/74/605490974.db2.gz ISOJAHIGCIMVRV-OAHLLOKOSA-N -1 1 317.389 1.811 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCN(c3cncc(F)c3)CC2)C1 ZINC000834079666 605619564 /nfs/dbraw/zinc/61/95/64/605619564.db2.gz PWVKGWROTUNFTJ-GFCCVEGCSA-N -1 1 308.357 1.343 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)N1CCc2ccccc21 ZINC000833828782 605883413 /nfs/dbraw/zinc/88/34/13/605883413.db2.gz FNASYUQQSXEDDR-OLZOCXBDSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)CN1CCO[C@@H](COC(=O)CN(C(=O)[O-])C2CC2)C1 ZINC000833797588 605982197 /nfs/dbraw/zinc/98/21/97/605982197.db2.gz ZKKZWZBFEZTCOJ-CYBMUJFWSA-N -1 1 314.382 1.029 20 0 DDADMM C[C@@H](NC(=O)[O-])C(=O)O[C@H]1CCCN(Cc2ccccc2)C1 ZINC000833894914 606018270 /nfs/dbraw/zinc/01/82/70/606018270.db2.gz KGBJDZONUNFYFB-OCCSQVGLSA-N -1 1 306.362 1.850 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)[O-])CCN1Cc1ccc(-n2cncn2)cc1 ZINC000833828760 606073145 /nfs/dbraw/zinc/07/31/45/606073145.db2.gz PJCJOOPLBLVYNA-OLZOCXBDSA-N -1 1 315.377 1.840 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)C=Cc2cccs2)n1 ZINC000822604940 606155335 /nfs/dbraw/zinc/15/53/35/606155335.db2.gz REDNCKNGZXMWGF-PLNGDYQASA-N -1 1 301.335 1.314 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)C=Cc2cccs2)n1 ZINC000822604940 606155336 /nfs/dbraw/zinc/15/53/36/606155336.db2.gz REDNCKNGZXMWGF-PLNGDYQASA-N -1 1 301.335 1.314 20 0 DDADMM C[C@H](CCC(C)(C)C)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820242736 606162991 /nfs/dbraw/zinc/16/29/91/606162991.db2.gz QGLOBJLJXMRDAT-SECBINFHSA-N -1 1 320.401 1.937 20 0 DDADMM C[C@H](CCC(C)(C)C)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820242736 606162993 /nfs/dbraw/zinc/16/29/93/606162993.db2.gz QGLOBJLJXMRDAT-SECBINFHSA-N -1 1 320.401 1.937 20 0 DDADMM CC(C)C[C@@H]1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820067054 606171609 /nfs/dbraw/zinc/17/16/09/606171609.db2.gz BDGMOPNOAZRZNU-JTQLQIEISA-N -1 1 318.385 1.500 20 0 DDADMM CC(C)C[C@@H]1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820067054 606171611 /nfs/dbraw/zinc/17/16/11/606171611.db2.gz BDGMOPNOAZRZNU-JTQLQIEISA-N -1 1 318.385 1.500 20 0 DDADMM C/C=C(\C)C(=O)OCC(=O)Nc1oc(C)c(C)c1-c1nn[n-]n1 ZINC000820825775 606191190 /nfs/dbraw/zinc/19/11/90/606191190.db2.gz DBFMKPVDZSLQSB-FNORWQNLSA-N -1 1 319.321 1.524 20 0 DDADMM O=C1C[C@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)CN1C1CC1 ZINC000823520774 606425000 /nfs/dbraw/zinc/42/50/00/606425000.db2.gz NLQFFOBCJQETCE-ZETCQYMHSA-N -1 1 319.756 1.090 20 0 DDADMM O=C1C[C@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)CN1C1CC1 ZINC000823520774 606425002 /nfs/dbraw/zinc/42/50/02/606425002.db2.gz NLQFFOBCJQETCE-ZETCQYMHSA-N -1 1 319.756 1.090 20 0 DDADMM Clc1ccc(N[C@H]2CCc3n[nH]cc3C2)nc1-c1nnn[n-]1 ZINC000822579252 606467071 /nfs/dbraw/zinc/46/70/71/606467071.db2.gz ZKKRNFJPWFQECZ-QMMMGPOBSA-N -1 1 316.756 1.608 20 0 DDADMM Clc1ccc(N[C@H]2CCc3n[nH]cc3C2)nc1-c1nn[n-]n1 ZINC000822579252 606467073 /nfs/dbraw/zinc/46/70/73/606467073.db2.gz ZKKRNFJPWFQECZ-QMMMGPOBSA-N -1 1 316.756 1.608 20 0 DDADMM O=C(CC[C@@H]1CCCO1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822950219 606517342 /nfs/dbraw/zinc/51/73/42/606517342.db2.gz FXXPBYIHDOUNBQ-NSHDSACASA-N -1 1 303.322 1.264 20 0 DDADMM O=C(CC[C@@H]1CCCO1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822950219 606517344 /nfs/dbraw/zinc/51/73/44/606517344.db2.gz FXXPBYIHDOUNBQ-NSHDSACASA-N -1 1 303.322 1.264 20 0 DDADMM Cc1nc(CCNC(=O)c2ccc(-c3nnn[n-]3)s2)cs1 ZINC000822439915 606521531 /nfs/dbraw/zinc/52/15/31/606521531.db2.gz FSUNTDLNRASMKE-UHFFFAOYSA-N -1 1 320.403 1.666 20 0 DDADMM Cc1nc(CCNC(=O)c2ccc(-c3nn[n-]n3)s2)cs1 ZINC000822439915 606521533 /nfs/dbraw/zinc/52/15/33/606521533.db2.gz FSUNTDLNRASMKE-UHFFFAOYSA-N -1 1 320.403 1.666 20 0 DDADMM C[C@H]1c2cccn2CCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820825843 606522719 /nfs/dbraw/zinc/52/27/19/606522719.db2.gz FKBRJMLCETVSDA-VIFPVBQESA-N -1 1 314.374 1.947 20 0 DDADMM C[C@H]1c2cccn2CCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820825843 606522721 /nfs/dbraw/zinc/52/27/21/606522721.db2.gz FKBRJMLCETVSDA-VIFPVBQESA-N -1 1 314.374 1.947 20 0 DDADMM CCCOC(=O)CCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821128186 606554650 /nfs/dbraw/zinc/55/46/50/606554650.db2.gz QZNLWHMYDVLGBX-UHFFFAOYSA-N -1 1 309.351 1.001 20 0 DDADMM CCCOC(=O)CCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821128186 606554652 /nfs/dbraw/zinc/55/46/52/606554652.db2.gz QZNLWHMYDVLGBX-UHFFFAOYSA-N -1 1 309.351 1.001 20 0 DDADMM c1cc(-c2nc(C[C@@H]3CCCO3)no2)sc1-c1nnn[n-]1 ZINC000823738223 606620381 /nfs/dbraw/zinc/62/03/81/606620381.db2.gz QXMQLTGSWFVQNO-ZETCQYMHSA-N -1 1 304.335 1.700 20 0 DDADMM c1cc(-c2nc(C[C@@H]3CCCO3)no2)sc1-c1nn[n-]n1 ZINC000823738223 606620383 /nfs/dbraw/zinc/62/03/83/606620383.db2.gz QXMQLTGSWFVQNO-ZETCQYMHSA-N -1 1 304.335 1.700 20 0 DDADMM COC(=O)c1cc(F)ccc1Cn1ccnc1-c1nnn[n-]1 ZINC000821667934 606654793 /nfs/dbraw/zinc/65/47/93/606654793.db2.gz HMVCJVJSTGMEKG-UHFFFAOYSA-N -1 1 302.269 1.037 20 0 DDADMM COC(=O)c1cc(F)ccc1Cn1ccnc1-c1nn[n-]n1 ZINC000821667934 606654794 /nfs/dbraw/zinc/65/47/94/606654794.db2.gz HMVCJVJSTGMEKG-UHFFFAOYSA-N -1 1 302.269 1.037 20 0 DDADMM COC[C@H](C)CC(=O)Nc1nn(C(C)(C)C)cc1-c1nnn[n-]1 ZINC000821681245 606684646 /nfs/dbraw/zinc/68/46/46/606684646.db2.gz FWHLNKFSJWPTNW-SECBINFHSA-N -1 1 321.385 1.429 20 0 DDADMM COC[C@H](C)CC(=O)Nc1nn(C(C)(C)C)cc1-c1nn[n-]n1 ZINC000821681245 606684647 /nfs/dbraw/zinc/68/46/47/606684647.db2.gz FWHLNKFSJWPTNW-SECBINFHSA-N -1 1 321.385 1.429 20 0 DDADMM CC(C)(C)c1csc(CNc2n[nH]cc2-c2nnn[n-]2)n1 ZINC000819965849 606703284 /nfs/dbraw/zinc/70/32/84/606703284.db2.gz PJDIQLRSAKAMNX-UHFFFAOYSA-N -1 1 304.383 1.956 20 0 DDADMM CC(C)(C)c1csc(CNc2n[nH]cc2-c2nn[n-]n2)n1 ZINC000819965849 606703285 /nfs/dbraw/zinc/70/32/85/606703285.db2.gz PJDIQLRSAKAMNX-UHFFFAOYSA-N -1 1 304.383 1.956 20 0 DDADMM CCc1oc(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)cc1C ZINC000821558632 606735013 /nfs/dbraw/zinc/73/50/13/606735013.db2.gz CCBOUWQSWGAYOH-UHFFFAOYSA-N -1 1 301.310 1.316 20 0 DDADMM CCc1oc(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)cc1C ZINC000821558632 606735015 /nfs/dbraw/zinc/73/50/15/606735015.db2.gz CCBOUWQSWGAYOH-UHFFFAOYSA-N -1 1 301.310 1.316 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NCc1ccncc1 ZINC000823796977 606787716 /nfs/dbraw/zinc/78/77/16/606787716.db2.gz JJVCLMOUEGWPGS-UHFFFAOYSA-N -1 1 304.317 1.817 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NCc1ccncc1 ZINC000823796977 606787719 /nfs/dbraw/zinc/78/77/19/606787719.db2.gz JJVCLMOUEGWPGS-UHFFFAOYSA-N -1 1 304.317 1.817 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC(C)(C)CC(C)(C)C)n1 ZINC000822610053 606908647 /nfs/dbraw/zinc/90/86/47/606908647.db2.gz ZYBGQHNVZUHZFU-UHFFFAOYSA-N -1 1 320.401 1.937 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC(C)(C)CC(C)(C)C)n1 ZINC000822610053 606908649 /nfs/dbraw/zinc/90/86/49/606908649.db2.gz ZYBGQHNVZUHZFU-UHFFFAOYSA-N -1 1 320.401 1.937 20 0 DDADMM CC[C@@]1(C)CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000820949539 606936455 /nfs/dbraw/zinc/93/64/55/606936455.db2.gz CDXUPCIAEWKYIT-ZDUSSCGKSA-N -1 1 322.394 1.072 20 0 DDADMM CC[C@@]1(C)CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000820949539 606936456 /nfs/dbraw/zinc/93/64/56/606936456.db2.gz CDXUPCIAEWKYIT-ZDUSSCGKSA-N -1 1 322.394 1.072 20 0 DDADMM COc1ccc(NC(=O)c2ccc(-c3nnn[n-]3)s2)c(C)n1 ZINC000821835373 607132934 /nfs/dbraw/zinc/13/29/34/607132934.db2.gz ITJLZUJAHJRXSC-UHFFFAOYSA-N -1 1 316.346 1.893 20 0 DDADMM COc1ccc(NC(=O)c2ccc(-c3nn[n-]n3)s2)c(C)n1 ZINC000821835373 607132936 /nfs/dbraw/zinc/13/29/36/607132936.db2.gz ITJLZUJAHJRXSC-UHFFFAOYSA-N -1 1 316.346 1.893 20 0 DDADMM Cn1nccc1CCOC(=O)c1sccc1-c1nn[n-]n1 ZINC000822710108 607133867 /nfs/dbraw/zinc/13/38/67/607133867.db2.gz ZHWKWELJUAYAQI-UHFFFAOYSA-N -1 1 304.335 1.061 20 0 DDADMM c1ccc2c(c1)CC(CCNc1nccnc1-c1nnn[n-]1)C2 ZINC000826523409 607904175 /nfs/dbraw/zinc/90/41/75/607904175.db2.gz LVXIXEIBUPRHMD-UHFFFAOYSA-N -1 1 307.361 1.874 20 0 DDADMM c1ccc2c(c1)CC(CCNc1nccnc1-c1nn[n-]n1)C2 ZINC000826523409 607904176 /nfs/dbraw/zinc/90/41/76/607904176.db2.gz LVXIXEIBUPRHMD-UHFFFAOYSA-N -1 1 307.361 1.874 20 0 DDADMM c1[nH]c(CNc2ccc(-c3nnn[n-]3)nn2)nc1-c1ccccc1 ZINC000826518962 607990214 /nfs/dbraw/zinc/99/02/14/607990214.db2.gz DVTISQLZGQERIQ-UHFFFAOYSA-N -1 1 319.332 1.659 20 0 DDADMM c1[nH]c(CNc2ccc(-c3nn[n-]n3)nn2)nc1-c1ccccc1 ZINC000826518962 607990215 /nfs/dbraw/zinc/99/02/15/607990215.db2.gz DVTISQLZGQERIQ-UHFFFAOYSA-N -1 1 319.332 1.659 20 0 DDADMM CC(C)c1ocnc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000824371640 607997274 /nfs/dbraw/zinc/99/72/74/607997274.db2.gz MUIQQTIJOFXDPY-UHFFFAOYSA-N -1 1 314.305 1.936 20 0 DDADMM Cc1cncc(C=CC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c1 ZINC000826319343 607999571 /nfs/dbraw/zinc/99/95/71/607999571.db2.gz YMUXLDIDDHYHNB-GORDUTHDSA-N -1 1 322.328 1.928 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)c2scnc2C2CC2)n1 ZINC000826357830 608029044 /nfs/dbraw/zinc/02/90/44/608029044.db2.gz SJHIQVUDONKABC-UHFFFAOYSA-N -1 1 316.350 1.186 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)c2scnc2C2CC2)n1 ZINC000826357830 608029043 /nfs/dbraw/zinc/02/90/43/608029043.db2.gz SJHIQVUDONKABC-UHFFFAOYSA-N -1 1 316.350 1.186 20 0 DDADMM COc1cc(COC(=O)c2ccc(-c3nnn[n-]3)s2)ccn1 ZINC000826179758 608104203 /nfs/dbraw/zinc/10/42/03/608104203.db2.gz CUXKDJWLDQEOOG-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM COc1cc(COC(=O)c2ccc(-c3nn[n-]n3)s2)ccn1 ZINC000826179758 608104204 /nfs/dbraw/zinc/10/42/04/608104204.db2.gz CUXKDJWLDQEOOG-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM C[C@@H]1CCCC[C@@H]1N(C)C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000824938237 608148208 /nfs/dbraw/zinc/14/82/08/608148208.db2.gz UZNSAYWEBXWVNU-MNOVXSKESA-N -1 1 303.370 1.100 20 0 DDADMM C[C@@H]1CCCC[C@@H]1N(C)C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000824938237 608148210 /nfs/dbraw/zinc/14/82/10/608148210.db2.gz UZNSAYWEBXWVNU-MNOVXSKESA-N -1 1 303.370 1.100 20 0 DDADMM O=S(=O)(CCC1CC1)Oc1cccc(F)c1-c1nnn[n-]1 ZINC000826484558 608154554 /nfs/dbraw/zinc/15/45/54/608154554.db2.gz HLRMFOLKAODRSZ-UHFFFAOYSA-N -1 1 312.326 1.515 20 0 DDADMM O=S(=O)(CCC1CC1)Oc1cccc(F)c1-c1nn[n-]n1 ZINC000826484558 608154555 /nfs/dbraw/zinc/15/45/55/608154555.db2.gz HLRMFOLKAODRSZ-UHFFFAOYSA-N -1 1 312.326 1.515 20 0 DDADMM Cc1ccncc1[C@@H](C)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826314620 608201730 /nfs/dbraw/zinc/20/17/30/608201730.db2.gz FQGKTAMOIRHTSF-SNVBAGLBSA-N -1 1 309.333 1.456 20 0 DDADMM Cc1ccncc1[C@@H](C)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826314620 608201731 /nfs/dbraw/zinc/20/17/31/608201731.db2.gz FQGKTAMOIRHTSF-SNVBAGLBSA-N -1 1 309.333 1.456 20 0 DDADMM COc1ccc(CN(C)c2ccc(-c3nnn[n-]3)nn2)cc1O ZINC000826192973 608438866 /nfs/dbraw/zinc/43/88/66/608438866.db2.gz KSERLKHJQOXVQD-UHFFFAOYSA-N -1 1 313.321 1.007 20 0 DDADMM COc1ccc(CN(C)c2ccc(-c3nn[n-]n3)nn2)cc1O ZINC000826192973 608438867 /nfs/dbraw/zinc/43/88/67/608438867.db2.gz KSERLKHJQOXVQD-UHFFFAOYSA-N -1 1 313.321 1.007 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1N(C)Cc1nccn1C(F)F ZINC000826280087 608542116 /nfs/dbraw/zinc/54/21/16/608542116.db2.gz MZVRBFHKQKJEEY-UHFFFAOYSA-N -1 1 320.307 1.798 20 0 DDADMM c1cncc(C2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)c1 ZINC000826525799 608554421 /nfs/dbraw/zinc/55/44/21/608554421.db2.gz ZOCOVLCXWOFTIB-UHFFFAOYSA-N -1 1 308.349 1.436 20 0 DDADMM c1cncc(C2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)c1 ZINC000826525799 608554422 /nfs/dbraw/zinc/55/44/22/608554422.db2.gz ZOCOVLCXWOFTIB-UHFFFAOYSA-N -1 1 308.349 1.436 20 0 DDADMM C[C@H]1CN(Cc2ccc(-c3nnn[n-]3)o2)C[C@@H](C(F)(F)F)O1 ZINC000824985536 608727658 /nfs/dbraw/zinc/72/76/58/608727658.db2.gz WXJQSJGTHZDSEM-XVKPBYJWSA-N -1 1 317.271 1.611 20 0 DDADMM C[C@H]1CN(Cc2ccc(-c3nn[n-]n3)o2)C[C@@H](C(F)(F)F)O1 ZINC000824985536 608727660 /nfs/dbraw/zinc/72/76/60/608727660.db2.gz WXJQSJGTHZDSEM-XVKPBYJWSA-N -1 1 317.271 1.611 20 0 DDADMM CC[C@H]1CC[C@H](C)N1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825194751 609470163 /nfs/dbraw/zinc/47/01/63/609470163.db2.gz SNYGWRSVIUEHNZ-WPRPVWTQSA-N -1 1 304.354 1.495 20 0 DDADMM CC[C@H]1CC[C@H](C)N1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825194751 609470166 /nfs/dbraw/zinc/47/01/66/609470166.db2.gz SNYGWRSVIUEHNZ-WPRPVWTQSA-N -1 1 304.354 1.495 20 0 DDADMM C[C@@H](C[S@](C)=O)Nc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000824447868 609597519 /nfs/dbraw/zinc/59/75/19/609597519.db2.gz CLKNICYZCWYTQV-AUADJRAKSA-N -1 1 316.390 1.594 20 0 DDADMM C[C@@H](C[S@](C)=O)Nc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000824447868 609597520 /nfs/dbraw/zinc/59/75/20/609597520.db2.gz CLKNICYZCWYTQV-AUADJRAKSA-N -1 1 316.390 1.594 20 0 DDADMM CC(C)CCn1ccc(NC(=O)Cc2sc(N)nc2[O-])n1 ZINC000121973934 696717960 /nfs/dbraw/zinc/71/79/60/696717960.db2.gz KMGWLGTXFWXVRK-SECBINFHSA-N -1 1 309.395 1.215 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCC[C@H](C(=O)OC)C2)[n-]1 ZINC000797141974 699996076 /nfs/dbraw/zinc/99/60/76/699996076.db2.gz LRAHWHOKRKTRHM-VHSXEESVSA-N -1 1 309.318 1.690 20 0 DDADMM Cc1ccc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)cc1Cl ZINC000798145514 700044017 /nfs/dbraw/zinc/04/40/17/700044017.db2.gz MWFUYSJTBNTLKT-UHFFFAOYSA-N -1 1 321.768 1.285 20 0 DDADMM C[C@@H](CC(=O)N1CCC(O)(c2nn[n-]n2)CC1)c1ccccc1 ZINC000798145849 700044252 /nfs/dbraw/zinc/04/42/52/700044252.db2.gz RQPBRVZNBTXEJK-LBPRGKRZSA-N -1 1 315.377 1.204 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1cnn[nH]1 ZINC000976933733 696021666 /nfs/dbraw/zinc/02/16/66/696021666.db2.gz ZWYPXTCGCCRTHN-DDHJBXDOSA-N -1 1 305.382 1.008 20 0 DDADMM Fc1[n-]c(F)c(F)c(=NNC(=S)NC[C@H]2CCCO2)c1F ZINC000016306762 696062046 /nfs/dbraw/zinc/06/20/46/696062046.db2.gz PLIRVLXRFWNCHV-RXMQYKEDSA-N -1 1 324.303 1.608 20 0 DDADMM Cc1ccc(C(=O)OCC(=O)N[N-]C(=O)c2cccs2)n1C ZINC000031644927 696122105 /nfs/dbraw/zinc/12/21/05/696122105.db2.gz TYWOSNUOEBWYOV-UHFFFAOYSA-N -1 1 321.358 1.013 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2ccc(C)c(C)c2)n1 ZINC000031721285 696122365 /nfs/dbraw/zinc/12/23/65/696122365.db2.gz RCJKNUKKASQYOT-UHFFFAOYSA-N -1 1 308.363 1.407 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCCCNC1=O ZINC000747359352 700065943 /nfs/dbraw/zinc/06/59/43/700065943.db2.gz HYBQREDKSSWOAO-JTQLQIEISA-N -1 1 306.366 1.013 20 0 DDADMM O=C(NCCN1C(=O)CSC1=O)c1c([O-])cccc1Cl ZINC000049092407 696220586 /nfs/dbraw/zinc/22/05/86/696220586.db2.gz FTZZDRYORKXQAK-UHFFFAOYSA-N -1 1 314.750 1.471 20 0 DDADMM CC(N[C@@H](C)CCc1ccccc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000054502729 696276650 /nfs/dbraw/zinc/27/66/50/696276650.db2.gz VYSZEENBYPUQQB-JTQLQIEISA-N -1 1 317.414 1.402 20 0 DDADMM COc1ccc(S(=O)(=O)NN=c2nc(OC)cc[n-]2)c(C)c1 ZINC000055585239 696284772 /nfs/dbraw/zinc/28/47/72/696284772.db2.gz ARZJCZVNSXAFSY-UHFFFAOYSA-N -1 1 324.362 1.108 20 0 DDADMM COc1ccc2c(c1)CCC[C@H]2CC(=O)NN1CC(=O)[N-]C1=O ZINC000057137787 696294569 /nfs/dbraw/zinc/29/45/69/696294569.db2.gz CHRWLVNZZBAEPD-NSHDSACASA-N -1 1 317.345 1.088 20 0 DDADMM Cc1ccc2oc(C(=O)NCc3n[n-]c(=S)n3C)cc2n1 ZINC000066625832 696353818 /nfs/dbraw/zinc/35/38/18/696353818.db2.gz XVBWQIBUJYOGRC-UHFFFAOYSA-N -1 1 303.347 1.857 20 0 DDADMM Cn1c(CNC(=O)c2ccc(Cn3cccn3)o2)n[n-]c1=S ZINC000066625919 696353953 /nfs/dbraw/zinc/35/39/53/696353953.db2.gz FFCXDLJVDDDIKM-UHFFFAOYSA-N -1 1 318.362 1.245 20 0 DDADMM CC(C)CCSCC(=O)NCCc1n[n-]c(=S)n1C ZINC000067051898 696358375 /nfs/dbraw/zinc/35/83/75/696358375.db2.gz LGXGHMXUVJPBPE-UHFFFAOYSA-N -1 1 302.469 1.916 20 0 DDADMM O=C(NCC[C@@H](O)c1ccccc1)c1nc2ccccc2c(=O)[n-]1 ZINC000075535930 696421588 /nfs/dbraw/zinc/42/15/88/696421588.db2.gz KGOZRYCUHUTKTJ-OAHLLOKOSA-N -1 1 323.352 1.777 20 0 DDADMM C[C@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741119 696450408 /nfs/dbraw/zinc/45/04/08/696450408.db2.gz HMFQRUJKPNXJOC-NNYUYHANSA-N -1 1 303.362 1.068 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccccc1CCc1ccccc1 ZINC000078761178 696455275 /nfs/dbraw/zinc/45/52/75/696455275.db2.gz BKAHYNCROHFILH-UHFFFAOYSA-N -1 1 323.352 1.668 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCC2(c3ccccc3)CCC2)s1 ZINC000079396076 696460325 /nfs/dbraw/zinc/46/03/25/696460325.db2.gz DMNPGBAYRRHEIU-GFCCVEGCSA-N -1 1 317.414 1.571 20 0 DDADMM CSC=CC(=O)NNc1nc(=O)c2cnn(C(C)(C)C)c2[n-]1 ZINC000083609151 696552767 /nfs/dbraw/zinc/55/27/67/696552767.db2.gz YFUZSHYKCPNBOY-AATRIKPKSA-N -1 1 322.394 1.607 20 0 DDADMM O=C(CCOc1ccccc1)NNC(=O)c1cc(F)ccc1[O-] ZINC000094069300 696602212 /nfs/dbraw/zinc/60/22/12/696602212.db2.gz CDZAESVAONDMFP-UHFFFAOYSA-N -1 1 318.304 1.761 20 0 DDADMM C[C@@H](C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000979664559 696621805 /nfs/dbraw/zinc/62/18/05/696621805.db2.gz MMOBJKVDYXHWRH-NQBHXWOUSA-N -1 1 317.389 1.458 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(C2OCCO2)c1)c1nn[n-]n1 ZINC000126039324 696758474 /nfs/dbraw/zinc/75/84/74/696758474.db2.gz GDPUXYZVQVKOPG-LBPRGKRZSA-N -1 1 317.349 1.516 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@@H]1CCC[C@H](C)C1 ZINC000129294415 696787524 /nfs/dbraw/zinc/78/75/24/696787524.db2.gz HXBOMFRCEANLPM-CMPLNLGQSA-N -1 1 318.377 1.638 20 0 DDADMM CC1(CC[N-]S(=O)(=O)c2c(F)cccc2Cl)OCCO1 ZINC000133375887 696825172 /nfs/dbraw/zinc/82/51/72/696825172.db2.gz GPFPKZXHDWBJGW-UHFFFAOYSA-N -1 1 323.773 1.911 20 0 DDADMM Cc1nnc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)o1 ZINC000799172202 700126114 /nfs/dbraw/zinc/12/61/14/700126114.db2.gz DWLZTAHQFCAOMK-UHFFFAOYSA-N -1 1 306.288 1.269 20 0 DDADMM O=C(NC[C@H]1CC[C@@H](NC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000981208275 697034371 /nfs/dbraw/zinc/03/43/71/697034371.db2.gz YVIOQSYGAROVII-WCQYABFASA-N -1 1 317.389 1.602 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1=CCCC1 ZINC000982670535 697167378 /nfs/dbraw/zinc/16/73/78/697167378.db2.gz LNRSDMLSABYCFR-GFCCVEGCSA-N -1 1 315.373 1.476 20 0 DDADMM CC(Cl)(Cl)C(=O)[N-][C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000182165264 697471237 /nfs/dbraw/zinc/47/12/37/697471237.db2.gz ASVGLNFSKNPYSF-ZCFIWIBFSA-N -1 1 321.126 1.850 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(F)cc1 ZINC000985878824 697593328 /nfs/dbraw/zinc/59/33/28/697593328.db2.gz SBGBMONWEGSCAN-JOYOIKCWSA-N -1 1 319.340 1.042 20 0 DDADMM C[C@@H]1CCS(=O)(=O)CCN1C(=O)c1cc(Cl)ccc1[O-] ZINC000191763708 697613681 /nfs/dbraw/zinc/61/36/81/697613681.db2.gz YMPPCOLOODEUIC-SECBINFHSA-N -1 1 317.794 1.695 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C=C1CCCCC1 ZINC000986032179 697647620 /nfs/dbraw/zinc/64/76/20/697647620.db2.gz DYGGPHTUTBARRP-YPMHNXCESA-N -1 1 319.409 1.480 20 0 DDADMM O=C(c1ccc(F)cc1F)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773147520 697718755 /nfs/dbraw/zinc/71/87/55/697718755.db2.gz BNPAGWYDTJSIHE-SNVBAGLBSA-N -1 1 311.317 1.408 20 0 DDADMM C[S@@](=O)CCNC(=O)c1cc(Br)ccc1[O-] ZINC000223423751 697761771 /nfs/dbraw/zinc/76/17/71/697761771.db2.gz OCNAAIGRXHDBGH-MRXNPFEDSA-N -1 1 306.181 1.263 20 0 DDADMM C[C@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000230139086 697781487 /nfs/dbraw/zinc/78/14/87/697781487.db2.gz VULUMPWHASKNHG-PRHODGIISA-N -1 1 318.326 1.580 20 0 DDADMM CC(C)NC(=O)NC(=O)[C@@H](C)OC(=O)c1ccc([O-])cc1F ZINC000773566894 697782548 /nfs/dbraw/zinc/78/25/48/697782548.db2.gz PXKKWVHDMZSYEU-MRVPVSSYSA-N -1 1 312.297 1.311 20 0 DDADMM O=C(OCc1cc(=O)n2ccccc2n1)c1ccc([O-])cc1F ZINC000773570534 697783123 /nfs/dbraw/zinc/78/31/23/697783123.db2.gz QXGSXUYLOJCZAO-UHFFFAOYSA-N -1 1 314.272 1.896 20 0 DDADMM CCCN(CCOc1ccccc1C(=O)[O-])CC(=O)N(C)C ZINC000237008431 697802083 /nfs/dbraw/zinc/80/20/83/697802083.db2.gz BPCUXSSKOJRJEY-UHFFFAOYSA-N -1 1 308.378 1.564 20 0 DDADMM CN(Cc1cscn1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773847277 697813106 /nfs/dbraw/zinc/81/31/06/697813106.db2.gz IIIPWPPHHWNIJA-UHFFFAOYSA-N -1 1 305.388 1.747 20 0 DDADMM Cc1ccc(C(F)(F)C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000774006663 697833447 /nfs/dbraw/zinc/83/34/47/697833447.db2.gz WSNMUYBBQWQLDM-JTQLQIEISA-N -1 1 309.320 1.862 20 0 DDADMM O=C(NC[C@H](O)c1ccsc1)c1ccc2n[n-]c(=S)n2c1 ZINC000774046958 697837052 /nfs/dbraw/zinc/83/70/52/697837052.db2.gz DMVGFAFWABPFCK-JTQLQIEISA-N -1 1 320.399 1.543 20 0 DDADMM O=C([C@@H]1CSCCS1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000774275848 697861762 /nfs/dbraw/zinc/86/17/62/697861762.db2.gz ZSKYSAIRENCCNH-VIFPVBQESA-N -1 1 315.420 1.330 20 0 DDADMM CC(C)n1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000774948608 697945831 /nfs/dbraw/zinc/94/58/31/697945831.db2.gz HGTSGEVGVMWDCN-UHFFFAOYSA-N -1 1 307.272 1.689 20 0 DDADMM Cc1cncc(C=CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000776566140 698117777 /nfs/dbraw/zinc/11/77/77/698117777.db2.gz XGIZFVVPDNTZRU-QAVQXKDTSA-N -1 1 316.390 1.233 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2[C@@H]3CCC[C@@H]32)cc1 ZINC000776698881 698131898 /nfs/dbraw/zinc/13/18/98/698131898.db2.gz AUMKUZCLYVJPPS-NHAGDIPZSA-N -1 1 317.341 1.541 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)[C@@H]2CC2(C)C)CCN1C(=O)c1ncccc1[O-] ZINC000987615201 698166114 /nfs/dbraw/zinc/16/61/14/698166114.db2.gz GVXVTDHSAKVLRY-WOPDTQHZSA-N -1 1 317.389 1.553 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H](C)c1ccco1 ZINC000777360842 698182333 /nfs/dbraw/zinc/18/23/33/698182333.db2.gz JYFQDQJCHNCTOQ-SECBINFHSA-N -1 1 316.317 1.208 20 0 DDADMM O=C(Nn1ccccc1=O)c1ccc(Br)cc1[O-] ZINC000777784348 698221564 /nfs/dbraw/zinc/22/15/64/698221564.db2.gz OXFJIVAVEWMKOW-UHFFFAOYSA-N -1 1 309.119 1.700 20 0 DDADMM Cn1[n-]c(COC(=O)C(C)(C)COCc2ccccc2)nc1=O ZINC000800291027 700213230 /nfs/dbraw/zinc/21/32/30/700213230.db2.gz DJCDPJGQNUQNRL-UHFFFAOYSA-N -1 1 319.361 1.395 20 0 DDADMM O=C([O-])C1(CC(=O)NCCN2CCc3ccccc3C2)CCC1 ZINC000262530540 698473491 /nfs/dbraw/zinc/47/34/91/698473491.db2.gz PMNKAYGASCBVHY-UHFFFAOYSA-N -1 1 316.401 1.806 20 0 DDADMM CCC[C@](C)(NC(=O)NCCCCN1CCOCC1)C(=O)[O-] ZINC000780423904 698517392 /nfs/dbraw/zinc/51/73/92/698517392.db2.gz LRUXFCWTQZWGCO-HNNXBMFYSA-N -1 1 315.414 1.041 20 0 DDADMM CC(C)(NC(=O)NCc1n[nH]c(-c2ccccc2)n1)C(=O)[O-] ZINC000780507784 698526466 /nfs/dbraw/zinc/52/64/66/698526466.db2.gz WBJWEVUZGXVKTB-UHFFFAOYSA-N -1 1 303.322 1.134 20 0 DDADMM CC(C)(NC(=O)NCc1nc(-c2ccccc2)n[nH]1)C(=O)[O-] ZINC000780507784 698526469 /nfs/dbraw/zinc/52/64/69/698526469.db2.gz WBJWEVUZGXVKTB-UHFFFAOYSA-N -1 1 303.322 1.134 20 0 DDADMM CC(C)COC(=O)[C@H](C)NC(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000278012538 698535399 /nfs/dbraw/zinc/53/53/99/698535399.db2.gz IOORURHNYDQCDP-QMMMGPOBSA-N -1 1 311.294 1.013 20 0 DDADMM C[C@](O)(CNC(=O)c1nc2ccccc2c(=O)[n-]1)c1ccccc1 ZINC000304126692 698615764 /nfs/dbraw/zinc/61/57/64/698615764.db2.gz UONKPQKNQJNHTK-SFHVURJKSA-N -1 1 323.352 1.973 20 0 DDADMM O=C(NC1CN(C(=O)C2=CCCCCC2)C1)c1ncccc1[O-] ZINC000990970103 699195429 /nfs/dbraw/zinc/19/54/29/699195429.db2.gz VCMZKINHHALUHN-UHFFFAOYSA-N -1 1 315.373 1.618 20 0 DDADMM O=C(C[C@H]1C=CCCC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990978432 699197907 /nfs/dbraw/zinc/19/79/07/699197907.db2.gz PMFUCLOGLADHKA-LBPRGKRZSA-N -1 1 315.373 1.474 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CC1 ZINC000703102272 699235226 /nfs/dbraw/zinc/23/52/26/699235226.db2.gz VMUNRCJBDNKBNE-QMMMGPOBSA-N -1 1 308.762 1.577 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCC(C)(C)OC)co1 ZINC000787761710 699239003 /nfs/dbraw/zinc/23/90/03/699239003.db2.gz UJMFSURCIWWMOM-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM Cc1csc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)c1 ZINC000991201133 699264722 /nfs/dbraw/zinc/26/47/22/699264722.db2.gz FMUOCTPATWYQOM-UHFFFAOYSA-N -1 1 317.370 1.412 20 0 DDADMM O=C(NCC[C@H]1CCCS1(=O)=O)c1ccc([O-])cc1F ZINC000714377241 699271416 /nfs/dbraw/zinc/27/14/16/699271416.db2.gz UKDMDSXRBHWUOQ-SNVBAGLBSA-N -1 1 301.339 1.228 20 0 DDADMM CC1(C)CCC(O)(C[N-]S(=O)(=O)c2ccns2)CC1 ZINC000717787937 699291102 /nfs/dbraw/zinc/29/11/02/699291102.db2.gz NFHAZUZTMJRTOT-UHFFFAOYSA-N -1 1 304.437 1.753 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc(Cl)cc1F ZINC000726865313 699386363 /nfs/dbraw/zinc/38/63/63/699386363.db2.gz YANHAVZYKJTMLS-UHFFFAOYSA-N -1 1 311.700 1.358 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)s1 ZINC000727851456 699428208 /nfs/dbraw/zinc/42/82/08/699428208.db2.gz KKNHJHDLDSZYQY-SNVBAGLBSA-N -1 1 307.375 1.174 20 0 DDADMM CCOC(COCCNC(=O)c1ncc(C)cc1[O-])OCC ZINC000790060038 699439332 /nfs/dbraw/zinc/43/93/32/699439332.db2.gz FOHNJTVGVUMIHT-UHFFFAOYSA-N -1 1 312.366 1.241 20 0 DDADMM COCCCOC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000729076017 699466620 /nfs/dbraw/zinc/46/66/20/699466620.db2.gz NSUVJEZSXNSUCB-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OC[C@H]1CCCO1 ZINC000729120332 699470415 /nfs/dbraw/zinc/47/04/15/699470415.db2.gz SJGBJMPLPYECNE-MRVPVSSYSA-N -1 1 305.377 1.455 20 0 DDADMM CCCCN(CC(F)(F)F)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733137028 699580143 /nfs/dbraw/zinc/58/01/43/699580143.db2.gz LOYBOGKAYPPCIN-UHFFFAOYSA-N -1 1 321.299 1.118 20 0 DDADMM Cc1ccsc1-c1nsc([N-]C(=O)c2cnn(C)n2)n1 ZINC000790963261 699605125 /nfs/dbraw/zinc/60/51/25/699605125.db2.gz RDDQWISFFLBDJF-UHFFFAOYSA-N -1 1 306.376 1.956 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3cc(F)ccc3F)ccnc1-2 ZINC000791091780 699611031 /nfs/dbraw/zinc/61/10/31/699611031.db2.gz XUKVILPWYWYLLR-UHFFFAOYSA-N -1 1 317.299 1.942 20 0 DDADMM C[C@@H](Oc1cccc(F)c1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791167883 699614117 /nfs/dbraw/zinc/61/41/17/699614117.db2.gz LDUCGEFZCKQEQN-SNVBAGLBSA-N -1 1 314.320 1.887 20 0 DDADMM O=C(NCCNc1ccccc1)c1nc2ccccc2c(=O)[n-]1 ZINC000735832873 699705063 /nfs/dbraw/zinc/70/50/63/699705063.db2.gz QUSZMRKRRJFLOJ-UHFFFAOYSA-N -1 1 308.341 1.765 20 0 DDADMM Cc1ccc(CCC(=O)N2CCOC[C@H]2c2nn[n-]n2)c(C)c1 ZINC000737337828 699738530 /nfs/dbraw/zinc/73/85/30/699738530.db2.gz ICDPONLAQQUIAC-AWEZNQCLSA-N -1 1 315.377 1.349 20 0 DDADMM O=C([C@H]1CCOc2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000737792346 699744838 /nfs/dbraw/zinc/74/48/38/699744838.db2.gz NVWSIZLTWCZWSB-ZDUSSCGKSA-N -1 1 313.361 1.472 20 0 DDADMM O=C(CC/C=C\c1ccccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000737825085 699745722 /nfs/dbraw/zinc/74/57/22/699745722.db2.gz JVUNMVGIJXSHRC-SMGNDMQFSA-N -1 1 313.361 1.593 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CC[C@@H]2CCC[C@H]2C1 ZINC000742120194 699861102 /nfs/dbraw/zinc/86/11/02/699861102.db2.gz OGNQMONZRJJNIK-RWMBFGLXSA-N -1 1 305.378 1.012 20 0 DDADMM CCCCCC[C@@H](C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000743325678 699907264 /nfs/dbraw/zinc/90/72/64/699907264.db2.gz RBUOQXQLZFTQOL-SECBINFHSA-N -1 1 302.400 1.753 20 0 DDADMM CCCCCC[C@@H](C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000743325678 699907265 /nfs/dbraw/zinc/90/72/65/699907265.db2.gz RBUOQXQLZFTQOL-SECBINFHSA-N -1 1 302.400 1.753 20 0 DDADMM O=C(CCOCC(F)F)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000802487874 700405998 /nfs/dbraw/zinc/40/59/98/700405998.db2.gz AQMSXMGUWCUYLO-GFCCVEGCSA-N -1 1 311.292 1.077 20 0 DDADMM CNC(=O)C1([N-]S(=O)(=O)c2cc3ccccc3o2)CCCC1 ZINC000754028588 700477356 /nfs/dbraw/zinc/47/73/56/700477356.db2.gz XMKVMSGPUBBNFD-UHFFFAOYSA-N -1 1 322.386 1.770 20 0 DDADMM O=C(/C=C\SCc1ccco1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000754239076 700491373 /nfs/dbraw/zinc/49/13/73/700491373.db2.gz VSQOKEGKTKVUQH-UFVHFEBBSA-N -1 1 321.362 1.140 20 0 DDADMM CC[C@H](C)C(=O)COC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000756405425 700619923 /nfs/dbraw/zinc/61/99/23/700619923.db2.gz QNSQSKTZAKHQEH-VIFPVBQESA-N -1 1 319.361 1.753 20 0 DDADMM Cc1c(NS(=O)(=O)c2cc(C(=O)[O-])ccc2F)cnn1C ZINC000756802023 700641498 /nfs/dbraw/zinc/64/14/98/700641498.db2.gz KOVQJFIFXHRKCI-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM CCn1cnnc1C[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000756926156 700648779 /nfs/dbraw/zinc/64/87/79/700648779.db2.gz PNWHPGDABLREOO-UHFFFAOYSA-N -1 1 316.258 1.984 20 0 DDADMM C[C@H](CCCC(F)(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000757646080 700667463 /nfs/dbraw/zinc/66/74/63/700667463.db2.gz LQEUOIJMAOMGHO-SECBINFHSA-N -1 1 321.299 1.164 20 0 DDADMM O=C(CC1(CO)CC1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000759945681 700784149 /nfs/dbraw/zinc/78/41/49/700784149.db2.gz YZGRWZPAHSYVFE-UHFFFAOYSA-N -1 1 304.298 1.704 20 0 DDADMM O=C(CS[C@@H]1CCS(=O)(=O)C1)Nc1c([O-])cccc1F ZINC000760196624 700796952 /nfs/dbraw/zinc/79/69/52/700796952.db2.gz DBEWXVDJSMYSFD-MRVPVSSYSA-N -1 1 319.379 1.390 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cc(Cl)cs2)n1 ZINC000760811165 700824307 /nfs/dbraw/zinc/82/43/07/700824307.db2.gz XXTJKBFKOFCFCY-UHFFFAOYSA-N -1 1 306.756 1.175 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2cc(Cl)cs2)n[n-]1 ZINC000760811165 700824310 /nfs/dbraw/zinc/82/43/10/700824310.db2.gz XXTJKBFKOFCFCY-UHFFFAOYSA-N -1 1 306.756 1.175 20 0 DDADMM O=C(c1c[nH]c2cccc(F)c21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000761691102 700867160 /nfs/dbraw/zinc/86/71/60/700867160.db2.gz MFRKLQLTLZOMDV-NSHDSACASA-N -1 1 316.296 1.034 20 0 DDADMM C[C@H]1CCS(=O)(=O)CCN1C(=O)c1c([O-])cccc1Cl ZINC000762506223 700895994 /nfs/dbraw/zinc/89/59/94/700895994.db2.gz BOCJACKTMZWLRZ-VIFPVBQESA-N -1 1 317.794 1.695 20 0 DDADMM C[C@H](Sc1nc2ccccc2s1)C(=O)NCc1nn[n-]n1 ZINC000762984969 700918473 /nfs/dbraw/zinc/91/84/73/700918473.db2.gz ZEDOWIDRGSHUHH-ZETCQYMHSA-N -1 1 320.403 1.606 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000763056927 700922712 /nfs/dbraw/zinc/92/27/12/700922712.db2.gz QVAIUHPYMNWUMD-JSGCOSHPSA-N -1 1 320.389 1.798 20 0 DDADMM CC[C@@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)C1CCC(C)CC1 ZINC000764101627 700960378 /nfs/dbraw/zinc/96/03/78/700960378.db2.gz SJEBBUSMMKGNPL-PQAZSJQKSA-N -1 1 321.425 1.952 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(Br)s2)nc1=O ZINC000765385473 701009121 /nfs/dbraw/zinc/00/91/21/701009121.db2.gz IOHYKFGXUKBRNJ-UHFFFAOYSA-N -1 1 318.152 1.289 20 0 DDADMM Cn1[n-]c(COC(=O)c2c(Cl)cccc2Cl)nc1=O ZINC000765391993 701009449 /nfs/dbraw/zinc/00/94/49/701009449.db2.gz ZTXHKRVNCGLJQF-UHFFFAOYSA-N -1 1 302.117 1.772 20 0 DDADMM COc1nc(C(=O)OCc2nc(=O)n(C)[n-]2)cc2ccccc21 ZINC000765458608 701013706 /nfs/dbraw/zinc/01/37/06/701013706.db2.gz GEVFVRPHMVNQGS-UHFFFAOYSA-N -1 1 314.301 1.022 20 0 DDADMM Cn1cc(C(=O)OCc2nc(=O)n(C)[n-]2)c(C2CCCCC2)n1 ZINC000765461931 701013850 /nfs/dbraw/zinc/01/38/50/701013850.db2.gz CUYXJTURFLBTFY-UHFFFAOYSA-N -1 1 319.365 1.247 20 0 DDADMM CC[C@H](Oc1cccc(C)c1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765491405 701015612 /nfs/dbraw/zinc/01/56/12/701015612.db2.gz FDNCJMFEQSIEBZ-LBPRGKRZSA-N -1 1 305.334 1.318 20 0 DDADMM O=C([N-]C[C@H]1CCCN(Cc2ncccn2)C1)C(F)(F)F ZINC000810066669 701719516 /nfs/dbraw/zinc/71/95/16/701719516.db2.gz OHCPHCJFYJQXNU-SNVBAGLBSA-N -1 1 302.300 1.367 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000803102370 701081420 /nfs/dbraw/zinc/08/14/20/701081420.db2.gz PHRDFFXWQMJSBK-NXEZZACHSA-N -1 1 323.393 1.932 20 0 DDADMM CCOC(=O)[C@@H]1CSCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000767297821 701096451 /nfs/dbraw/zinc/09/64/51/701096451.db2.gz CDZXTDFAGDZEEK-NSHDSACASA-N -1 1 313.350 1.652 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCCCCCO ZINC000803322359 701101325 /nfs/dbraw/zinc/10/13/25/701101325.db2.gz CKOAFQORSPAIOJ-UHFFFAOYSA-N -1 1 321.377 1.690 20 0 DDADMM NC(=O)c1ccccc1NCC(=O)Nc1nc(Cl)ccc1[O-] ZINC000809795933 701690617 /nfs/dbraw/zinc/69/06/17/701690617.db2.gz WDZBAMCHOFAJAK-UHFFFAOYSA-N -1 1 320.736 1.590 20 0 DDADMM CCc1ccccc1OCCC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000803965751 701161448 /nfs/dbraw/zinc/16/14/48/701161448.db2.gz ZMGUUQHBHDYDRE-UHFFFAOYSA-N -1 1 305.334 1.183 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cccc1Cl)C1(S(C)(=O)=O)CC1 ZINC000804540342 701199012 /nfs/dbraw/zinc/19/90/12/701199012.db2.gz VSGJSBVGJNAAAF-QMMMGPOBSA-N -1 1 317.794 1.741 20 0 DDADMM CC(C)CS(=O)(=O)[N-]C(=O)[C@]1(F)CCN(C(C)(C)C)C1 ZINC000810561169 701789906 /nfs/dbraw/zinc/78/99/06/701789906.db2.gz SZNJYDROHYCXQL-ZDUSSCGKSA-N -1 1 308.419 1.301 20 0 DDADMM CC[C@@H](C)C[C@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806922994 701455121 /nfs/dbraw/zinc/45/51/21/701455121.db2.gz YBXRFHIJIFHTQX-MNOVXSKESA-N -1 1 317.393 1.221 20 0 DDADMM CO[C@@H]1CCC[C@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)C1 ZINC000814564972 701721839 /nfs/dbraw/zinc/72/18/39/701721839.db2.gz OFZBVEIJTWKOLX-VHSXEESVSA-N -1 1 311.300 1.803 20 0 DDADMM C[C@@H](O)c1ncc(C(=O)[N-]c2n[nH]c(-c3ccncc3)n2)s1 ZINC000814651054 701736666 /nfs/dbraw/zinc/73/66/66/701736666.db2.gz XTKIJGRUWHAFRV-SSDOTTSWSA-N -1 1 316.346 1.629 20 0 DDADMM O=C(N[C@H]1[C@@H]2OC[C@@H]3C[C@H]1C[C@@H]32)c1nc2ccccc2c(=O)[n-]1 ZINC000866072469 706610864 /nfs/dbraw/zinc/61/08/64/706610864.db2.gz QGAWIDGUWCZURU-CRWJRVTASA-N -1 1 311.341 1.076 20 0 DDADMM C[C@@H]1CC[C@@H](CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000830959319 706611502 /nfs/dbraw/zinc/61/15/02/706611502.db2.gz MUJIDNLKOHTWTN-GHMZBOCLSA-N -1 1 305.378 1.697 20 0 DDADMM C[C@@H]1CCC[C@H]1CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830959507 706611677 /nfs/dbraw/zinc/61/16/77/706611677.db2.gz PDMDOWAPWUGKKT-MNOVXSKESA-N -1 1 305.378 1.697 20 0 DDADMM C[C@H](c1ccc(F)cc1)N1CC[C@H](OC(=O)c2cn[n-]n2)C1=O ZINC000815246120 701830334 /nfs/dbraw/zinc/83/03/34/701830334.db2.gz TVQRMGVEVGDNHI-RNCFNFMXSA-N -1 1 318.308 1.463 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)CC(C)(C)C1CC1 ZINC000816013768 701991842 /nfs/dbraw/zinc/99/18/42/701991842.db2.gz AEYRLNFZTGMROR-UHFFFAOYSA-N -1 1 318.377 1.638 20 0 DDADMM C[C@H]1CCC[C@@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868220364 702007288 /nfs/dbraw/zinc/00/72/88/702007288.db2.gz DEPVQKHVYLDYAI-ONGXEEELSA-N -1 1 306.366 1.243 20 0 DDADMM Cc1cncc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1 ZINC000831144640 706643466 /nfs/dbraw/zinc/64/34/66/706643466.db2.gz KYKQANZONQUMFT-UHFFFAOYSA-N -1 1 301.268 1.141 20 0 DDADMM C[C@H](C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)c1ccsc1 ZINC000831144032 706643745 /nfs/dbraw/zinc/64/37/45/706643745.db2.gz BXYUYJVTCMSIOC-QMMMGPOBSA-N -1 1 320.336 1.989 20 0 DDADMM O=C(CC[C@@H]1CCCCO1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831145581 706644175 /nfs/dbraw/zinc/64/41/75/706644175.db2.gz YQOLATYRMUPGFN-NSHDSACASA-N -1 1 322.327 1.473 20 0 DDADMM COCC(C)(C)CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000840593533 702167552 /nfs/dbraw/zinc/16/75/52/702167552.db2.gz AHIKEFOMIOHABN-UHFFFAOYSA-N -1 1 307.346 1.549 20 0 DDADMM CCc1noc(C)c1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831158447 706646464 /nfs/dbraw/zinc/64/64/64/706646464.db2.gz KAXVKHWQKCWLHX-UHFFFAOYSA-N -1 1 319.283 1.296 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)[N-]OCCOC(C)C)CC2=O)cc1 ZINC000812807183 702245189 /nfs/dbraw/zinc/24/51/89/702245189.db2.gz ZWJAMQOZZMOPKB-AWEZNQCLSA-N -1 1 320.389 1.821 20 0 DDADMM CC(=O)c1nc(NCc2nc3c(c(=O)[n-]2)COCC3)sc1C ZINC000866288742 706665847 /nfs/dbraw/zinc/66/58/47/706665847.db2.gz MIIONENZCCIWQR-UHFFFAOYSA-N -1 1 320.374 1.835 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)c1ccc(C)s1 ZINC000841382629 702430237 /nfs/dbraw/zinc/43/02/37/702430237.db2.gz FMIIVQBKKWIKDF-SSDOTTSWSA-N -1 1 309.347 1.757 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@H](CCO)CC1 ZINC000817700757 702455094 /nfs/dbraw/zinc/45/50/94/702455094.db2.gz ZBIXXYSEUDIGFP-NSHDSACASA-N -1 1 320.418 1.643 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1c[nH]nc1Cl)CC(F)(F)F ZINC000841562980 702499203 /nfs/dbraw/zinc/49/92/03/702499203.db2.gz GKBMVPXGRHKNMS-YFKPBYRVSA-N -1 1 305.709 1.930 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)CC1CSC1 ZINC000869204888 702508255 /nfs/dbraw/zinc/50/82/55/702508255.db2.gz FOLUWTRSYQBNGZ-PSASIEDQSA-N -1 1 324.368 1.798 20 0 DDADMM C[C@@H]1CCCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)[C@H]1C ZINC000869214223 702513853 /nfs/dbraw/zinc/51/38/53/702513853.db2.gz RPWKRVPYLYTLQB-MNOVXSKESA-N -1 1 320.393 1.585 20 0 DDADMM C[C@@H]1OCC[C@@H]1COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000869358075 702580802 /nfs/dbraw/zinc/58/08/02/702580802.db2.gz YPMOYMIFNGZNFW-DTWKUNHWSA-N -1 1 319.404 1.701 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)CCC1CCC1 ZINC000869428973 702604494 /nfs/dbraw/zinc/60/44/94/702604494.db2.gz YBLMOSATGVZZOK-UHFFFAOYSA-N -1 1 309.284 1.748 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@H]2CC3(CO2)CCOCC3)[n-]1 ZINC000869525022 702635501 /nfs/dbraw/zinc/63/55/01/702635501.db2.gz YBNKKTMVELUDQM-LLVKDONJSA-N -1 1 323.345 1.544 20 0 DDADMM CC[C@@H](NCc1nc(=O)n(C)[n-]1)c1cccc(OC)c1OC ZINC000879465788 706686843 /nfs/dbraw/zinc/68/68/43/706686843.db2.gz JTWKLSNTHZJHIA-LLVKDONJSA-N -1 1 306.366 1.367 20 0 DDADMM COC[C@@H](C)[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1F ZINC000866385985 706686945 /nfs/dbraw/zinc/68/69/45/706686945.db2.gz VBJSWWSIOVVBNJ-HOGDKLEQSA-N -1 1 324.399 1.152 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CC2CCCC2)CCC1 ZINC000843018013 702804198 /nfs/dbraw/zinc/80/41/98/702804198.db2.gz MNIIWPVNYBDVTA-UHFFFAOYSA-N -1 1 302.440 1.497 20 0 DDADMM CCON(CC)C(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000831481556 706715898 /nfs/dbraw/zinc/71/58/98/706715898.db2.gz XRVHYGHOEBBFKU-UHFFFAOYSA-N -1 1 306.366 1.784 20 0 DDADMM CC[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)[C@@H](CC)CO1 ZINC000844649772 703051510 /nfs/dbraw/zinc/05/15/10/703051510.db2.gz CZBQDOYQEUUURH-NWDGAFQWSA-N -1 1 317.393 1.763 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-]c1ccc(=O)[nH]c1 ZINC000844663994 703052610 /nfs/dbraw/zinc/05/26/10/703052610.db2.gz ORDKZGXJVSGGIF-JTQLQIEISA-N -1 1 302.352 1.261 20 0 DDADMM COC/C(C)=C/C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000845517158 703167142 /nfs/dbraw/zinc/16/71/42/703167142.db2.gz CHSGLYNRELUASC-WEVVVXLNSA-N -1 1 304.350 1.261 20 0 DDADMM CN1CC[C@H]([N-]S(=O)(=O)c2cc(Cl)cnc2Cl)C1 ZINC000866580195 706734762 /nfs/dbraw/zinc/73/47/62/706734762.db2.gz AWEFSTFQBBSYJU-QMMMGPOBSA-N -1 1 310.206 1.371 20 0 DDADMM CC[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866591563 706738734 /nfs/dbraw/zinc/73/87/34/706738734.db2.gz HAVSZJPANNVTCJ-RNFRBKRXSA-N -1 1 310.217 1.885 20 0 DDADMM Cc1nnc(N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)n1C ZINC000848464346 703553083 /nfs/dbraw/zinc/55/30/83/703553083.db2.gz ZSAREIIHICVBDF-VXNVDRBHSA-N -1 1 305.304 1.159 20 0 DDADMM CCc1cc(=O)[n-]c(SC[C@@](O)(C(N)=O)c2ccccc2)n1 ZINC000849892666 703687320 /nfs/dbraw/zinc/68/73/20/703687320.db2.gz QQXDTBBMGBPFAA-HNNXBMFYSA-N -1 1 319.386 1.210 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)CC(F)F)N1CCCCC1 ZINC000851362110 703795211 /nfs/dbraw/zinc/79/52/11/703795211.db2.gz YDTAVKWIPXPRJY-NSHDSACASA-N -1 1 312.382 1.208 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)c2ccc3[nH]nnc3c2)CC12CCC2 ZINC000851609735 703815147 /nfs/dbraw/zinc/81/51/47/703815147.db2.gz NZDXLXKPVWRLHO-HNNXBMFYSA-N -1 1 318.308 1.377 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)c2cc(C3CC3)[nH]n2)CC12CCC2 ZINC000851611745 703815317 /nfs/dbraw/zinc/81/53/17/703815317.db2.gz SAQOBBXKZBGYTQ-HNNXBMFYSA-N -1 1 307.325 1.706 20 0 DDADMM Cc1ccccc1C(C)(C)C[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866693253 706766978 /nfs/dbraw/zinc/76/69/78/706766978.db2.gz SUWDIJYMTABTDA-UHFFFAOYSA-N -1 1 318.464 1.835 20 0 DDADMM CCN(C(=O)c1n[nH]c(C)c1Br)[C@@H](C)C(=O)[O-] ZINC000851696585 703832356 /nfs/dbraw/zinc/83/23/56/703832356.db2.gz UMJGTQFRZACARA-LURJTMIESA-N -1 1 304.144 1.416 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C)CCSC)cc1C ZINC000851765801 703848951 /nfs/dbraw/zinc/84/89/51/703848951.db2.gz YQVLOVIVYVOJIO-SECBINFHSA-N -1 1 321.420 1.795 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-][C@@H]1CCCC12OCCO2 ZINC000851818205 703863663 /nfs/dbraw/zinc/86/36/63/703863663.db2.gz PDKMZINWQQETDN-CHWSQXEVSA-N -1 1 321.439 1.263 20 0 DDADMM C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000869891404 703872139 /nfs/dbraw/zinc/87/21/39/703872139.db2.gz KQCCWVLUODUUDS-CABNGKKXSA-N -1 1 301.342 1.778 20 0 DDADMM CCCS(=O)(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000851879347 703876752 /nfs/dbraw/zinc/87/67/52/703876752.db2.gz IOUFGTPAFLBZMP-BDAKNGLRSA-N -1 1 316.345 1.115 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN1Cc1ccc(OC)c(OC)c1 ZINC000818629785 703947177 /nfs/dbraw/zinc/94/71/77/703947177.db2.gz LXZMLYMAWXQICL-MRXNPFEDSA-N -1 1 309.362 1.769 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1cncc([O-])c1 ZINC000870380327 704016064 /nfs/dbraw/zinc/01/60/64/704016064.db2.gz ALSMWXHKVJTMDQ-RYUDHWBXSA-N -1 1 319.361 1.621 20 0 DDADMM COCCn1c(C)cc(C(=O)CN2C[C@H](C(=O)[O-])[C@H](C)C2)c1C ZINC000831800600 706783041 /nfs/dbraw/zinc/78/30/41/706783041.db2.gz PNZKXBKBEQAHFR-ABAIWWIYSA-N -1 1 322.405 1.587 20 0 DDADMM CCCNC(=O)[C@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764496 706784892 /nfs/dbraw/zinc/78/48/92/706784892.db2.gz YBKMVPBSANAUPV-JOYOIKCWSA-N -1 1 309.332 1.044 20 0 DDADMM CCCCCNC(=O)CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764375 706785204 /nfs/dbraw/zinc/78/52/04/706785204.db2.gz WZPMWNPFABCZIZ-CYBMUJFWSA-N -1 1 323.359 1.436 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C[C@H](O)CC(F)(F)F)C1 ZINC000879765805 706785675 /nfs/dbraw/zinc/78/56/75/706785675.db2.gz IDPZEHIJSISYHA-APPZFPTMSA-N -1 1 322.249 1.443 20 0 DDADMM O=C([O-])[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CC1CCC1 ZINC000852736096 704109294 /nfs/dbraw/zinc/10/92/94/704109294.db2.gz KYTXHJBECWRIPB-VHSXEESVSA-N -1 1 308.300 1.383 20 0 DDADMM C[C@@H]1CCN(Cc2cn(C)cn2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852757216 704117093 /nfs/dbraw/zinc/11/70/93/704117093.db2.gz OXQOOAMPXPNJKZ-KOLCDFICSA-N -1 1 304.316 1.309 20 0 DDADMM Cc1ccc(CC[N-]S(=O)(=O)N=S2(=O)CCCC2)cn1 ZINC000866768855 706794696 /nfs/dbraw/zinc/79/46/96/706794696.db2.gz YKMINPBSBBHRDF-UHFFFAOYSA-N -1 1 317.436 1.029 20 0 DDADMM COC(=O)c1coc(C[N-]C(=O)C(F)(F)c2nccs2)c1 ZINC000871058959 704210943 /nfs/dbraw/zinc/21/09/43/704210943.db2.gz MMNJCHFAFMCPLV-UHFFFAOYSA-N -1 1 316.285 1.931 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2nc[nH]n2)c1 ZINC000853226284 704221266 /nfs/dbraw/zinc/22/12/66/704221266.db2.gz VYPYZCMUCKCNJW-FPVGNUTFSA-N -1 1 309.347 1.225 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCN(Cc2ccccc2)C1=O ZINC000820407401 704273788 /nfs/dbraw/zinc/27/37/88/704273788.db2.gz KAFLQTRXZIHOKS-LBPRGKRZSA-N -1 1 314.345 1.411 20 0 DDADMM C[S@](=O)CCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000866798307 706805153 /nfs/dbraw/zinc/80/51/53/706805153.db2.gz WNWZQMCAITYESW-KRWDZBQOSA-N -1 1 301.336 1.264 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCCc2ccccc21 ZINC000820783709 704330311 /nfs/dbraw/zinc/33/03/11/704330311.db2.gz KFDWWTBKDFXGKB-GFCCVEGCSA-N -1 1 316.448 1.669 20 0 DDADMM Cc1cc(=O)[nH]cc1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000821186480 704379438 /nfs/dbraw/zinc/37/94/38/704379438.db2.gz ZJCOBZVSOGEYAB-UHFFFAOYSA-N -1 1 322.268 1.638 20 0 DDADMM O=C(N[C@H](CCO)C(F)(F)F)c1ncc2ccccc2c1[O-] ZINC000854614377 704426380 /nfs/dbraw/zinc/42/63/80/704426380.db2.gz YDAQVXXXXQWUCV-SNVBAGLBSA-N -1 1 314.263 1.984 20 0 DDADMM COC(=O)N(C)CCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000855937527 704507730 /nfs/dbraw/zinc/50/77/30/704507730.db2.gz MXEASMVZQDGHCE-UHFFFAOYSA-N -1 1 316.741 1.482 20 0 DDADMM C[C@@H]1CCN(C(=O)C2CCOCC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856419675 704528329 /nfs/dbraw/zinc/52/83/29/704528329.db2.gz KFYXZRDDLORWQJ-KOLCDFICSA-N -1 1 322.327 1.329 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3(Cc4ccccc4)CC3)nc2n1 ZINC000857621288 704608395 /nfs/dbraw/zinc/60/83/95/704608395.db2.gz CNFIABRADXLMAD-UHFFFAOYSA-N -1 1 323.356 1.687 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C[C@@H](C)C3CC3)nc2n1 ZINC000857684007 704613432 /nfs/dbraw/zinc/61/34/32/704613432.db2.gz IBHMCNKOOFMUCC-SECBINFHSA-N -1 1 303.366 1.745 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@@H]1CC1(Cl)Cl ZINC000857737219 704619447 /nfs/dbraw/zinc/61/94/47/704619447.db2.gz RZRZRWYCQTXRDR-RXMQYKEDSA-N -1 1 322.148 1.210 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC=CO1)c1nc[nH]c1Br ZINC000867151728 706910397 /nfs/dbraw/zinc/91/03/97/706910397.db2.gz MSSFXCUOTJDUJZ-ZETCQYMHSA-N -1 1 322.184 1.143 20 0 DDADMM C[C@@H]1CC[C@@H](C[N-]S(=O)(=O)c2nc[nH]c2Br)C1 ZINC000867214905 706927428 /nfs/dbraw/zinc/92/74/28/706927428.db2.gz KOBUGKUIZDPFOH-HTQZYQBOSA-N -1 1 322.228 1.887 20 0 DDADMM O=c1nc(NCCC2CCS(=O)(=O)CC2)cc(Cl)[n-]1 ZINC000858464004 704714602 /nfs/dbraw/zinc/71/46/02/704714602.db2.gz HAXXSWFEIOLXCX-UHFFFAOYSA-N -1 1 305.787 1.462 20 0 DDADMM CCC1(CC)CN(c2cc(Cl)[n-]c(=O)n2)CC[S@]1=O ZINC000858486894 704717287 /nfs/dbraw/zinc/71/72/87/704717287.db2.gz QQAXXTKBASMVKW-LJQANCHMSA-N -1 1 303.815 1.963 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC[C@@]12CCOC2=O ZINC000832532260 706933193 /nfs/dbraw/zinc/93/31/93/706933193.db2.gz QNKYEXNYQCCUKX-KRWDZBQOSA-N -1 1 312.325 1.862 20 0 DDADMM O=C1CCCN1[C@@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858569716 704728639 /nfs/dbraw/zinc/72/86/39/704728639.db2.gz NKQQPEOKTBGVSN-SNVBAGLBSA-N -1 1 310.785 1.817 20 0 DDADMM COC(=O)C1=CC[C@@H]([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000859286023 704848600 /nfs/dbraw/zinc/84/86/00/704848600.db2.gz DEQHJEQJACONJO-MRVPVSSYSA-N -1 1 321.807 1.942 20 0 DDADMM O=C([O-])c1ccccc1CNC(=O)NCCN1CC=CCC1 ZINC000874413677 705049063 /nfs/dbraw/zinc/04/90/63/705049063.db2.gz PULCPIHJCFAAEN-UHFFFAOYSA-N -1 1 303.362 1.446 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2[C@@H]3Cc4cc(Cl)ccc4[C@@H]32)nc1=O ZINC000860277857 705146668 /nfs/dbraw/zinc/14/66/68/705146668.db2.gz PEDVHBHMSCMNSN-KGYLQXTDSA-N -1 1 319.748 1.391 20 0 DDADMM O=C(CCNC(=O)OCC(F)(F)F)[N-]Oc1ccccc1F ZINC000874912596 705225163 /nfs/dbraw/zinc/22/51/63/705225163.db2.gz LXJSPGABZDQGQS-UHFFFAOYSA-N -1 1 324.230 1.914 20 0 DDADMM CN(C)C(=O)CNC(=S)NCc1ccc([O-])c(Cl)c1 ZINC000871683998 707168161 /nfs/dbraw/zinc/16/81/61/707168161.db2.gz MILSKNOYXVBHHO-UHFFFAOYSA-N -1 1 301.799 1.098 20 0 DDADMM CC(C)NC(=O)CNC(=S)NCc1ccc([O-])c(Cl)c1 ZINC000871684230 707168658 /nfs/dbraw/zinc/16/86/58/707168658.db2.gz RNXZLUCLKPKKER-UHFFFAOYSA-N -1 1 315.826 1.534 20 0 DDADMM CSc1nc(CNC(=O)C[C@H]2CC[C@@H](C3CC3)O2)cc(=O)[n-]1 ZINC000824602800 705491600 /nfs/dbraw/zinc/49/16/00/705491600.db2.gz JILCXKBMUOGCQA-NEPJUHHUSA-N -1 1 323.418 1.868 20 0 DDADMM CCC(CC)(CC)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825090070 705598552 /nfs/dbraw/zinc/59/85/52/705598552.db2.gz RPMBKQNOPCYUIR-UHFFFAOYSA-N -1 1 306.370 1.791 20 0 DDADMM CCC(CC)(CC)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825090070 705598555 /nfs/dbraw/zinc/59/85/55/705598555.db2.gz RPMBKQNOPCYUIR-UHFFFAOYSA-N -1 1 306.370 1.791 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@]12C[C@H]1CCCC2 ZINC000876300631 705683494 /nfs/dbraw/zinc/68/34/94/705683494.db2.gz FGVSAXHVZXSLFI-QLJPJBMISA-N -1 1 318.377 1.387 20 0 DDADMM Cc1nc2n(n1)CCN(C(=O)NCc1ccc([O-])c(Cl)c1)C2 ZINC000876394336 705707973 /nfs/dbraw/zinc/70/79/73/705707973.db2.gz UAMGTAJYMFAWRN-UHFFFAOYSA-N -1 1 321.768 1.671 20 0 DDADMM CN(CCOCCO)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876396495 705708155 /nfs/dbraw/zinc/70/81/55/705708155.db2.gz HSVCQKNTCMGLFW-UHFFFAOYSA-N -1 1 302.758 1.196 20 0 DDADMM COC[C@H]1C[C@@H](O)CN1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876398237 705708484 /nfs/dbraw/zinc/70/84/84/705708484.db2.gz FRKYNWQHWRDJOI-GHMZBOCLSA-N -1 1 314.769 1.337 20 0 DDADMM CO[C@@H]1CCCN(c2snc(Cl)c2-c2nnn[n-]2)CC1 ZINC000826147151 705778652 /nfs/dbraw/zinc/77/86/52/705778652.db2.gz OMYIALWBICCHJN-SSDOTTSWSA-N -1 1 314.802 1.982 20 0 DDADMM CO[C@@H]1CCCN(c2snc(Cl)c2-c2nn[n-]n2)CC1 ZINC000826147151 705778655 /nfs/dbraw/zinc/77/86/55/705778655.db2.gz OMYIALWBICCHJN-SSDOTTSWSA-N -1 1 314.802 1.982 20 0 DDADMM Cc1ccc([C@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826285609 705787256 /nfs/dbraw/zinc/78/72/56/705787256.db2.gz DVRYUNXHVWRUGQ-ZDUSSCGKSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1ccc([C@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826285609 705787262 /nfs/dbraw/zinc/78/72/62/705787262.db2.gz DVRYUNXHVWRUGQ-ZDUSSCGKSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1onc(CC(=O)N2c3ccccc3C[C@@H]2C)c1-c1nnn[n-]1 ZINC000826345870 705793429 /nfs/dbraw/zinc/79/34/29/705793429.db2.gz WNGOSNKOKAJJDO-VIFPVBQESA-N -1 1 324.344 1.683 20 0 DDADMM Cc1onc(CC(=O)N2c3ccccc3C[C@@H]2C)c1-c1nn[n-]n1 ZINC000826345870 705793432 /nfs/dbraw/zinc/79/34/32/705793432.db2.gz WNGOSNKOKAJJDO-VIFPVBQESA-N -1 1 324.344 1.683 20 0 DDADMM Cc1onc(CC(=O)N2CCS[C@@H](C)[C@H]2C)c1-c1nnn[n-]1 ZINC000826345852 705793975 /nfs/dbraw/zinc/79/39/75/705793975.db2.gz WDAIXRIZJHKBAB-APPZFPTMSA-N -1 1 322.394 1.058 20 0 DDADMM Cc1onc(CC(=O)N2CCS[C@@H](C)[C@H]2C)c1-c1nn[n-]n1 ZINC000826345852 705793977 /nfs/dbraw/zinc/79/39/77/705793977.db2.gz WDAIXRIZJHKBAB-APPZFPTMSA-N -1 1 322.394 1.058 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCCCC2(C)C)c1-c1nnn[n-]1 ZINC000826346550 705794762 /nfs/dbraw/zinc/79/47/62/705794762.db2.gz PGIMPLCBEODWSE-NSHDSACASA-N -1 1 318.381 1.791 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCCCC2(C)C)c1-c1nn[n-]n1 ZINC000826346550 705794764 /nfs/dbraw/zinc/79/47/64/705794764.db2.gz PGIMPLCBEODWSE-NSHDSACASA-N -1 1 318.381 1.791 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2N[C@@H]1CCCOCC1 ZINC000826523485 705807955 /nfs/dbraw/zinc/80/79/55/705807955.db2.gz OINOHJNROIGDNY-SNVBAGLBSA-N -1 1 311.349 1.791 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2N[C@@H]1CCCOCC1 ZINC000826523485 705807960 /nfs/dbraw/zinc/80/79/60/705807960.db2.gz OINOHJNROIGDNY-SNVBAGLBSA-N -1 1 311.349 1.791 20 0 DDADMM O=C(C1CC2(C1)CCCCC2)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000826834460 705881220 /nfs/dbraw/zinc/88/12/20/705881220.db2.gz SBOBEFUUGQUWKU-GFCCVEGCSA-N -1 1 305.382 1.460 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CN(C)c2ccccc2)CC1 ZINC000827361826 705985429 /nfs/dbraw/zinc/98/54/29/705985429.db2.gz FQWLQCIFUHSFOF-AWEZNQCLSA-N -1 1 319.405 1.130 20 0 DDADMM COC[C@@]1(C)CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)CCO1 ZINC000863829365 706023175 /nfs/dbraw/zinc/02/31/75/706023175.db2.gz KOALMHHBJYXFDP-MRXNPFEDSA-N -1 1 323.393 1.492 20 0 DDADMM Cc1cccc2oc(C(=O)N3CCOC[C@H]3c3nn[n-]n3)cc21 ZINC000827959968 706093946 /nfs/dbraw/zinc/09/39/46/706093946.db2.gz BDYMNLNZVGSYSV-NSHDSACASA-N -1 1 313.317 1.468 20 0 DDADMM Cc1nc(SCC(C)C)sc1CC(=O)Nc1nnn[n-]1 ZINC000828072848 706113434 /nfs/dbraw/zinc/11/34/34/706113434.db2.gz IESDEQPOJFVHOV-UHFFFAOYSA-N -1 1 312.424 1.894 20 0 DDADMM Cc1nc(SCC(C)C)sc1CC(=O)Nc1nn[n-]n1 ZINC000828072848 706113435 /nfs/dbraw/zinc/11/34/35/706113435.db2.gz IESDEQPOJFVHOV-UHFFFAOYSA-N -1 1 312.424 1.894 20 0 DDADMM C[C@H]1[C@H](Cc2ccccc2)CC[N@H+]1Cn1cc(C(=O)[O-])nn1 ZINC000828150254 706128176 /nfs/dbraw/zinc/12/81/76/706128176.db2.gz PIGIEGHIXGJACM-JSGCOSHPSA-N -1 1 300.362 1.887 20 0 DDADMM C[C@H]1[C@H](Cc2ccccc2)CCN1Cn1cc(C(=O)[O-])nn1 ZINC000828150254 706128179 /nfs/dbraw/zinc/12/81/79/706128179.db2.gz PIGIEGHIXGJACM-JSGCOSHPSA-N -1 1 300.362 1.887 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Cl)nc2Cl)CO1 ZINC000828301397 706156840 /nfs/dbraw/zinc/15/68/40/706156840.db2.gz JGTGZSDRMWMWLL-NKWVEPMBSA-N -1 1 311.190 1.844 20 0 DDADMM O=C(N1CCC(c2nn[n-]n2)CC1)[C@@]12CCO[C@H]1CCCC2 ZINC000828416368 706175334 /nfs/dbraw/zinc/17/53/34/706175334.db2.gz HTRUTWUJLNFHHV-WFASDCNBSA-N -1 1 305.382 1.255 20 0 DDADMM CCCn1nccc1CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000877772868 706204370 /nfs/dbraw/zinc/20/43/70/706204370.db2.gz ANDSARBUHZJRBK-ZDUSSCGKSA-N -1 1 318.343 1.936 20 0 DDADMM Cc1cc(CCC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)no1 ZINC000828702229 706219301 /nfs/dbraw/zinc/21/93/01/706219301.db2.gz YQCOQTIWLXRSCD-UHFFFAOYSA-N -1 1 319.283 1.053 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1ccccn1 ZINC000872430891 707406496 /nfs/dbraw/zinc/40/64/96/707406496.db2.gz NBGBITMKYWYHCT-APBUJDDRSA-N -1 1 319.452 1.873 20 0 DDADMM CCOC(=O)[C@@H](NCc1nc2c(c(=O)[n-]1)COCC2)C(C)(C)C ZINC000878271961 706344263 /nfs/dbraw/zinc/34/42/63/706344263.db2.gz YQSPELWGOPQAEY-CYBMUJFWSA-N -1 1 323.393 1.322 20 0 DDADMM CCC(F)(F)C(=O)[N-][C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000830712264 706566627 /nfs/dbraw/zinc/56/66/27/706566627.db2.gz LZCUWSFXAMNPIG-SSDOTTSWSA-N -1 1 302.243 1.701 20 0 DDADMM COC[C@H](C)[C@H](C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830802196 706582559 /nfs/dbraw/zinc/58/25/59/706582559.db2.gz QZQORNDDJJLHFB-KWBADKCTSA-N -1 1 324.343 1.575 20 0 DDADMM CC[C@@H]1CC[C@@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)O1 ZINC000830816370 706585511 /nfs/dbraw/zinc/58/55/11/706585511.db2.gz OUCODLVWTIXIRH-NRUUGDAUSA-N -1 1 322.327 1.614 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)n[nH]1 ZINC000830826862 706588000 /nfs/dbraw/zinc/58/80/00/706588000.db2.gz HBHRYUFJWHJPER-LLVKDONJSA-N -1 1 304.272 1.001 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC1CC1)c1ccc(Cl)nc1F ZINC000867012844 706872105 /nfs/dbraw/zinc/87/21/05/706872105.db2.gz JQEPBHSWMOMPHM-MRVPVSSYSA-N -1 1 308.762 1.313 20 0 DDADMM O=S(=O)([N-]CCc1cnccn1)c1ccc(C(F)F)o1 ZINC000867058799 706885377 /nfs/dbraw/zinc/88/53/77/706885377.db2.gz WBZJRNYDUNBJFE-UHFFFAOYSA-N -1 1 303.290 1.528 20 0 DDADMM CCc1cnccc1C[N-]S(=O)(=O)c1occc1C(=O)OC ZINC000867067704 706888099 /nfs/dbraw/zinc/88/80/99/706888099.db2.gz SWWXOQXHBKVKRA-UHFFFAOYSA-N -1 1 324.358 1.502 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC[C@H]1CCCCO1 ZINC000867072976 706889878 /nfs/dbraw/zinc/88/98/78/706889878.db2.gz NXPKTDLCJIRLNP-LLVKDONJSA-N -1 1 312.457 1.288 20 0 DDADMM Cn1nccc1CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000867108666 706898486 /nfs/dbraw/zinc/89/84/86/706898486.db2.gz YHTRPIUSPLEQAH-UHFFFAOYSA-N -1 1 305.306 1.472 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1CCO[C@@H](C2CC2)C1 ZINC000867111443 706899324 /nfs/dbraw/zinc/89/93/24/706899324.db2.gz FTFSXTUGKUBLDW-NWDGAFQWSA-N -1 1 324.468 1.286 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]1CCO)c1ccc(F)nc1F ZINC000867135553 706907103 /nfs/dbraw/zinc/90/71/03/706907103.db2.gz XOAQKVUTDOPBMR-RKDXNWHRSA-N -1 1 306.334 1.189 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]C[C@H](c1ccccc1)C1CC1 ZINC000867302711 706952883 /nfs/dbraw/zinc/95/28/83/706952883.db2.gz SXJBJSFRIJIBQH-CYBMUJFWSA-N -1 1 316.448 1.742 20 0 DDADMM CCCN(C(=O)N[C@H]1CCc2nc[nH]c2C1)[C@@H](CC)C(=O)[O-] ZINC000909021245 712918835 /nfs/dbraw/zinc/91/88/35/712918835.db2.gz VDXRRHPJXBXORR-GWCFXTLKSA-N -1 1 308.382 1.552 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1Cc2ccccc2[C@@H]1C ZINC000867508171 707022885 /nfs/dbraw/zinc/02/28/85/707022885.db2.gz YSDNKJUCXDFPOV-KEVGGSHVSA-N -1 1 316.448 1.667 20 0 DDADMM O=C(NC1C[C@H]2CC[C@@H](C1)S2(=O)=O)c1cc(F)ccc1[O-] ZINC000834824438 707130731 /nfs/dbraw/zinc/13/07/31/707130731.db2.gz KLWSFQRHHXZPEM-FGWVZKOKSA-N -1 1 313.350 1.369 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@@H]1CCCS(=O)(=O)C1 ZINC000834825529 707131244 /nfs/dbraw/zinc/13/12/44/707131244.db2.gz MFHLJDLECJTSFL-SNVBAGLBSA-N -1 1 317.794 1.487 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@H]1C[C@@H](OC)C1 ZINC000871724412 707177380 /nfs/dbraw/zinc/17/73/80/707177380.db2.gz VGSMTVHUJKMKMK-AOOOYVTPSA-N -1 1 300.380 1.112 20 0 DDADMM CC(C)CCc1cc(=O)n(-c2ccc(S(N)(=O)=O)cc2)[n-]1 ZINC000871940683 707250668 /nfs/dbraw/zinc/25/06/68/707250668.db2.gz OJMSAGMAWNIXGC-UHFFFAOYSA-N -1 1 309.391 1.863 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(C)(C)CC(F)F)o1 ZINC000881534546 707287151 /nfs/dbraw/zinc/28/71/51/707287151.db2.gz PIEDAWIQZWPLEC-UHFFFAOYSA-N -1 1 324.349 1.599 20 0 DDADMM CO[C@@H]1CN(C[C@H]2CC3(CO2)CCOCC3)[C@@](C)(C(=O)[O-])C1 ZINC000872160228 707309331 /nfs/dbraw/zinc/30/93/31/707309331.db2.gz BGFXQPQACYJYDF-GZBFAFLISA-N -1 1 313.394 1.136 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc([O-])cc1F)C1CCOCC1 ZINC000881763828 707358148 /nfs/dbraw/zinc/35/81/48/707358148.db2.gz NMSTYBFJPDSDIB-ZDUSSCGKSA-N -1 1 311.309 1.229 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCC1(F)CCC1 ZINC000872488668 707441130 /nfs/dbraw/zinc/44/11/30/707441130.db2.gz ZFTQQYCIDGJQNC-GOSISDBHSA-N -1 1 314.448 1.999 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC(C)(C)C(C)(C)O)ccnc1-2 ZINC000836572053 707482425 /nfs/dbraw/zinc/48/24/25/707482425.db2.gz CYAZQBJOJOCKFT-UHFFFAOYSA-N -1 1 305.382 1.260 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)[N-]O[C@H](CO)C(C)C)CC2=O)cc1 ZINC000836906070 707548388 /nfs/dbraw/zinc/54/83/88/707548388.db2.gz NOFNHJGFBNQRPQ-DZGCQCFKSA-N -1 1 320.389 1.413 20 0 DDADMM O=C(N[C@H]1C[C@@H]2CCCCN2C1=O)c1c([O-])cccc1Cl ZINC000837254347 707605118 /nfs/dbraw/zinc/60/51/18/707605118.db2.gz PGUNOPMQKHYGJH-ONGXEEELSA-N -1 1 308.765 1.929 20 0 DDADMM O=C(N[C@@H]1C[C@@H]2CCCCN2C1=O)c1c([O-])cccc1Cl ZINC000837254336 707605256 /nfs/dbraw/zinc/60/52/56/707605256.db2.gz PGUNOPMQKHYGJH-GXSJLCMTSA-N -1 1 308.765 1.929 20 0 DDADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@H](CO)CC(C)(C)C ZINC000909231459 712969141 /nfs/dbraw/zinc/96/91/41/712969141.db2.gz MBAVFICUPWJOTK-NSHDSACASA-N -1 1 307.394 1.929 20 0 DDADMM Cc1cccc(C[C@H](CNC(=O)CCc2cnc[nH]2)C(=O)[O-])c1 ZINC000909248388 712973157 /nfs/dbraw/zinc/97/31/57/712973157.db2.gz ZZBYNLAVFCVDOX-CQSZACIVSA-N -1 1 315.373 1.710 20 0 DDADMM O=C(COC(=O)C1(CF)CCOCC1)[N-]C(=O)c1ccccc1 ZINC000837860957 707767365 /nfs/dbraw/zinc/76/73/65/707767365.db2.gz VCMLKEKTUIDFLO-UHFFFAOYSA-N -1 1 323.320 1.253 20 0 DDADMM CCN1CC[C@H]1C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000882766400 707783127 /nfs/dbraw/zinc/78/31/27/707783127.db2.gz FBZRUANCQHJZPA-VIFPVBQESA-N -1 1 320.361 1.346 20 0 DDADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]c1ccc2occc2c1 ZINC000882767848 707785359 /nfs/dbraw/zinc/78/53/59/707785359.db2.gz FQPSIYVZIVIVHA-IBGZPJMESA-N -1 1 317.392 1.664 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-]c1nn(C)cc1Cl ZINC000882796848 707800472 /nfs/dbraw/zinc/80/04/72/707800472.db2.gz ZBZQVPGCWKOHJB-SECBINFHSA-N -1 1 309.819 1.876 20 0 DDADMM CN1C(=O)COc2cccc([N-]S(=O)(=O)CCC3CC3)c21 ZINC000882802881 707803124 /nfs/dbraw/zinc/80/31/24/707803124.db2.gz UXQPWZISIMCMEW-UHFFFAOYSA-N -1 1 310.375 1.584 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2CC2(F)F)cc1 ZINC000837987736 707805135 /nfs/dbraw/zinc/80/51/35/707805135.db2.gz KNPCBISNSRYWGZ-JTQLQIEISA-N -1 1 313.256 1.150 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3CCN(c4ccccc4)C3)ccnc1-2 ZINC000839345879 708043540 /nfs/dbraw/zinc/04/35/40/708043540.db2.gz KFXYYWNGFMMGHX-ZDUSSCGKSA-N -1 1 321.384 1.807 20 0 DDADMM O=c1nc(N2CCCS(=O)(=O)C[C@@H]2C2CC2)cc(Cl)[n-]1 ZINC000897018888 708209112 /nfs/dbraw/zinc/20/91/12/708209112.db2.gz JDNAINKYYBJLGK-SECBINFHSA-N -1 1 317.798 1.239 20 0 DDADMM Cc1nc(C)c(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000897162258 708242333 /nfs/dbraw/zinc/24/23/33/708242333.db2.gz WOASDDRQADOLSO-JTQLQIEISA-N -1 1 306.395 1.222 20 0 DDADMM CC(C)(NC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1cccs1 ZINC000909411587 713011435 /nfs/dbraw/zinc/01/14/35/713011435.db2.gz NYTQWTBMVOPYKU-NSHDSACASA-N -1 1 310.419 1.896 20 0 DDADMM Cn1[n-]c(COC(=O)CC[C@@H]2CCc3ccccc32)nc1=O ZINC000884639093 708346191 /nfs/dbraw/zinc/34/61/91/708346191.db2.gz PVQGINGHCJDVTL-LBPRGKRZSA-N -1 1 301.346 1.662 20 0 DDADMM CN1CC[C@@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])CC1=O ZINC000897509179 708356840 /nfs/dbraw/zinc/35/68/40/708356840.db2.gz RSTOEDNTUVHYFU-LLVKDONJSA-N -1 1 314.341 1.602 20 0 DDADMM CCCNc1ccc(CNC(=O)CCCc2nn[n-]n2)cc1 ZINC000897575194 708380879 /nfs/dbraw/zinc/38/08/79/708380879.db2.gz LZTLAHJDISIZAR-UHFFFAOYSA-N -1 1 302.382 1.661 20 0 DDADMM COc1ccc(CC[N-]S(=O)(=O)c2ccns2)cc1F ZINC000884997747 708438317 /nfs/dbraw/zinc/43/83/17/708438317.db2.gz CKXBZYHARNAHDQ-UHFFFAOYSA-N -1 1 316.379 1.812 20 0 DDADMM COC[C@@H](Cc1ccccc1)[N-]S(=O)(=O)c1ccns1 ZINC000885040015 708452580 /nfs/dbraw/zinc/45/25/80/708452580.db2.gz BYRJHNJBTLYIJR-GFCCVEGCSA-N -1 1 312.416 1.679 20 0 DDADMM Cn1[n-]c(COC(=O)CCCC(=O)c2ccccc2)nc1=O ZINC000885188244 708488734 /nfs/dbraw/zinc/48/87/34/708488734.db2.gz PTNDIQDGFUVKFM-UHFFFAOYSA-N -1 1 303.318 1.205 20 0 DDADMM COCCOC(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000885196195 708491239 /nfs/dbraw/zinc/49/12/39/708491239.db2.gz VCISAXNTOYCWET-VIFPVBQESA-N -1 1 312.288 1.160 20 0 DDADMM O=S(=O)([N-][C@@H](C1CC1)C1CCOCC1)c1ccns1 ZINC000885272962 708507692 /nfs/dbraw/zinc/50/76/92/708507692.db2.gz UAMZANCITMEYFO-LBPRGKRZSA-N -1 1 302.421 1.627 20 0 DDADMM CC(C)S(=O)(=O)CC(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885674050 708594611 /nfs/dbraw/zinc/59/46/11/708594611.db2.gz DURPHGWGPBCDKQ-UHFFFAOYSA-N -1 1 309.746 1.946 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)[C@H]1CCCOC1 ZINC000885750510 708617188 /nfs/dbraw/zinc/61/71/88/708617188.db2.gz OURLNCQAJRTSGV-WDEREUQCSA-N -1 1 320.436 1.171 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)n[n-]1 ZINC000898435098 708639088 /nfs/dbraw/zinc/63/90/88/708639088.db2.gz DQFYFEWXMYZENC-JGPRNRPPSA-N -1 1 306.366 1.424 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)n1 ZINC000898435098 708639089 /nfs/dbraw/zinc/63/90/89/708639089.db2.gz DQFYFEWXMYZENC-JGPRNRPPSA-N -1 1 306.366 1.424 20 0 DDADMM CNC(=O)CCCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266520 708732595 /nfs/dbraw/zinc/73/25/95/708732595.db2.gz OWYISNJJCHXTRK-UHFFFAOYSA-N -1 1 300.305 1.245 20 0 DDADMM CCCCc1cc([N-]S(=O)(=O)c2cn(C)nc2CC)[nH]n1 ZINC000886397693 708753311 /nfs/dbraw/zinc/75/33/11/708753311.db2.gz VCEATZMQJZMOJR-UHFFFAOYSA-N -1 1 311.411 1.849 20 0 DDADMM Cc1ccc(N(Cc2cnn(C)c2)Cc2nc(=O)n(C)[n-]2)cc1 ZINC000886408698 708755569 /nfs/dbraw/zinc/75/55/69/708755569.db2.gz RNAAIZDLQBGIHY-UHFFFAOYSA-N -1 1 312.377 1.357 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1ccnc(F)c1F ZINC000886418633 708757764 /nfs/dbraw/zinc/75/77/64/708757764.db2.gz QIUQEVYAAGTIBT-UHFFFAOYSA-N -1 1 302.306 1.457 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cncc(Cl)c1)[C@H]1CCOC1 ZINC000886482972 708767820 /nfs/dbraw/zinc/76/78/20/708767820.db2.gz YRTNASCBYFUIAO-CABZTGNLSA-N -1 1 320.798 1.528 20 0 DDADMM CC(C)[C@@](C)(CO)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927790059 713056762 /nfs/dbraw/zinc/05/67/62/713056762.db2.gz VUXGMCDBUNXUCK-OAHLLOKOSA-N -1 1 316.348 1.919 20 0 DDADMM O=C(N[C@H]1CCS(=O)(=O)C2(CCC2)C1)c1ncccc1[O-] ZINC000886773725 708842500 /nfs/dbraw/zinc/84/25/00/708842500.db2.gz ZUNZZNWSTGWSEQ-JTQLQIEISA-N -1 1 310.375 1.017 20 0 DDADMM CCN(CC(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccccc1 ZINC000898749272 708854240 /nfs/dbraw/zinc/85/42/40/708854240.db2.gz AHWDRFSUTZDYMO-UHFFFAOYSA-N -1 1 302.334 1.031 20 0 DDADMM C[C@@H](Cc1cccc(F)c1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898753776 708855045 /nfs/dbraw/zinc/85/50/45/708855045.db2.gz RAUMORJFWKACDU-VIFPVBQESA-N -1 1 305.309 1.522 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)OC(C)(C)C)C(=O)c1ncccc1[O-] ZINC000887293908 709009870 /nfs/dbraw/zinc/00/98/70/709009870.db2.gz OBLYCCHIFOZWMA-NSHDSACASA-N -1 1 321.377 1.869 20 0 DDADMM O=C(NCc1cnn(CC2CCC2)c1)C(=O)c1ccc([O-])cc1 ZINC000887357245 709028681 /nfs/dbraw/zinc/02/86/81/709028681.db2.gz JHHUPEFVMMKXLD-UHFFFAOYSA-N -1 1 313.357 1.888 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(C(=O)[C@@H](N)Cc2cc3ccccc3o2)C1 ZINC000887396484 709035853 /nfs/dbraw/zinc/03/58/53/709035853.db2.gz BJNWIKVKEUYEIQ-SUMWQHHRSA-N -1 1 316.357 1.626 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCCn4nncc4C3)c[n-]c2[nH+]1 ZINC000899336599 709063143 /nfs/dbraw/zinc/06/31/43/709063143.db2.gz QPKPFPJRJRYREU-UHFFFAOYSA-N -1 1 324.344 1.281 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCCn4nncc4C3)c[n-]c2n1 ZINC000899336599 709063147 /nfs/dbraw/zinc/06/31/47/709063147.db2.gz QPKPFPJRJRYREU-UHFFFAOYSA-N -1 1 324.344 1.281 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)co1 ZINC000899402165 709075363 /nfs/dbraw/zinc/07/53/63/709075363.db2.gz BGNKFJPZPVAJJM-QCZKYFFMSA-N -1 1 312.391 1.210 20 0 DDADMM O=C(NCCNC(=O)C1CC1)c1c([O-])cnc2c(F)cccc21 ZINC000899971841 709255112 /nfs/dbraw/zinc/25/51/12/709255112.db2.gz JLEXAIYURLJKSR-UHFFFAOYSA-N -1 1 317.320 1.336 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC[C@@H]1C1OCCO1 ZINC000888447489 709314661 /nfs/dbraw/zinc/31/46/61/709314661.db2.gz RFKQWHYZVXUIAP-GFCCVEGCSA-N -1 1 311.765 1.952 20 0 DDADMM Cc1nc(CNC(=O)CN2[C@H](C)CC[C@@H]2C)sc1C(=O)[O-] ZINC000909501121 709491367 /nfs/dbraw/zinc/49/13/67/709491367.db2.gz BKGDSCZXMJVEIA-DTORHVGOSA-N -1 1 311.407 1.639 20 0 DDADMM O=C([O-])CN(CCc1ccccc1)C(=O)CCc1cnc[nH]1 ZINC000909515865 709497628 /nfs/dbraw/zinc/49/76/28/709497628.db2.gz OOIVGBMPHODZMP-UHFFFAOYSA-N -1 1 301.346 1.498 20 0 DDADMM O=C([N-]OCc1ccccn1)[C@H]1CC(=O)N(Cc2ccco2)C1 ZINC000909523727 709501023 /nfs/dbraw/zinc/50/10/23/709501023.db2.gz OWGVFNUSHOTFEH-LBPRGKRZSA-N -1 1 315.329 1.271 20 0 DDADMM CSCC[C@H](NC(=O)CC[C@H]1CC[C@@H](C)O1)c1nn[n-]n1 ZINC000912861240 713109897 /nfs/dbraw/zinc/10/98/97/713109897.db2.gz HWCUNHFVHNNCCI-MXWKQRLJSA-N -1 1 313.427 1.458 20 0 DDADMM CSCC[C@H](NC(=O)C1=C(C)OCCS1)c1nn[n-]n1 ZINC000912862564 713111166 /nfs/dbraw/zinc/11/11/66/713111166.db2.gz QGHAYGRAWYDXKF-QMMMGPOBSA-N -1 1 315.424 1.105 20 0 DDADMM Cc1c(C(=O)[O-])cnn1-c1cccc(NC(=O)[C@@H](C)N(C)C)c1 ZINC000909639307 709552933 /nfs/dbraw/zinc/55/29/33/709552933.db2.gz GFWRCKZENNXAFN-LLVKDONJSA-N -1 1 316.361 1.768 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1c2ccccc2OC[C@H]1F ZINC000928067803 713115160 /nfs/dbraw/zinc/11/51/60/713115160.db2.gz DYRFYWUFQGDCSP-QMTHXVAHSA-N -1 1 305.313 1.110 20 0 DDADMM CSC[C@H]1CCCN1C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909725834 709597751 /nfs/dbraw/zinc/59/77/51/709597751.db2.gz LVNLNDGUGYTPSS-NWDGAFQWSA-N -1 1 300.424 1.137 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@@H](CC(F)F)C(=O)[O-])c1ccccc1 ZINC000909775412 709613850 /nfs/dbraw/zinc/61/38/50/709613850.db2.gz YCEDFOCFTPJQFY-WCQYABFASA-N -1 1 314.332 1.904 20 0 DDADMM CCN(CC(=O)N[C@@H](C)c1ccc(OCC(=O)[O-])cc1)C1CC1 ZINC000909799735 709627778 /nfs/dbraw/zinc/62/77/78/709627778.db2.gz ZPIQGBVVBTYPCR-LBPRGKRZSA-N -1 1 320.389 1.812 20 0 DDADMM CCC1(CC(=O)N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)COC1 ZINC000889780853 709632070 /nfs/dbraw/zinc/63/20/70/709632070.db2.gz LRDIQPWXYFCEIU-NSHDSACASA-N -1 1 321.377 1.671 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2C[C@@H](C)CO2)[n-]c1=O ZINC000889786582 709635437 /nfs/dbraw/zinc/63/54/37/709635437.db2.gz MUKNIAWPVGCZAT-OUAUKWLOSA-N -1 1 307.350 1.279 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CSC(F)F)[n-]c1=O ZINC000889786033 709635473 /nfs/dbraw/zinc/63/54/73/709635473.db2.gz JPJSLMBDUNLLLP-ZETCQYMHSA-N -1 1 319.333 1.810 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccncc2C)[n-]c1=O ZINC000889786050 709635564 /nfs/dbraw/zinc/63/55/64/709635564.db2.gz KAPXVQNFUMDMGP-GFCCVEGCSA-N -1 1 314.345 1.872 20 0 DDADMM CCCCC[C@@H](O)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889787815 709636481 /nfs/dbraw/zinc/63/64/81/709636481.db2.gz PZGKWKZKIKAFMW-VXGBXAGGSA-N -1 1 323.393 1.796 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H](C)n2cccn2)[n-]c1=O ZINC000889794024 709639494 /nfs/dbraw/zinc/63/94/94/709639494.db2.gz FIMUVZIJUKOCDK-MNOVXSKESA-N -1 1 317.349 1.312 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC[C@H]1CC2CCC1CC2 ZINC000909857546 709655453 /nfs/dbraw/zinc/65/54/53/709655453.db2.gz DRVJWWCGWPQGHU-NEXFUWMNSA-N -1 1 308.422 1.726 20 0 DDADMM CC[C@H](C)C[C@H](NC(=O)CN(C)[C@H]1CCSC1)C(=O)[O-] ZINC000909867388 709660525 /nfs/dbraw/zinc/66/05/25/709660525.db2.gz MSQFQSXNLKISLQ-SRVKXCTJSA-N -1 1 302.440 1.429 20 0 DDADMM C[C@@]1(CCNC(=O)CN2CCC[C@H](C(=O)[O-])C2)CC1(F)F ZINC000909958399 709708609 /nfs/dbraw/zinc/70/86/09/709708609.db2.gz LQRXAYTXFHFTGW-GXFFZTMASA-N -1 1 304.337 1.335 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NCc1ccoc1C(=O)[O-] ZINC000909961669 709709477 /nfs/dbraw/zinc/70/94/77/709709477.db2.gz GZTUCGDQPXTWRQ-UHFFFAOYSA-N -1 1 316.357 1.769 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@H]1CCC[C@]1(C)C(=O)[O-] ZINC000909992610 709726282 /nfs/dbraw/zinc/72/62/82/709726282.db2.gz FOAUQFSJZLBIMG-YJBOKZPZSA-N -1 1 318.417 1.921 20 0 DDADMM CC1(C)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]2CCO[C@H]21 ZINC000900812711 709773064 /nfs/dbraw/zinc/77/30/64/709773064.db2.gz AJNUGFLSCYHJHV-MVWJERBFSA-N -1 1 303.362 1.603 20 0 DDADMM O=C([O-])[C@H]1CSCCN(C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC000910150706 709792815 /nfs/dbraw/zinc/79/28/15/709792815.db2.gz USDJSKQBNBCNAX-ZCFIWIBFSA-N -1 1 305.306 1.237 20 0 DDADMM COc1ccc(F)c(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000910169315 709796045 /nfs/dbraw/zinc/79/60/45/709796045.db2.gz ATKKDHDBUQPALH-NSHDSACASA-N -1 1 324.352 1.247 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C[C@H](O)CC(C)(C)C)CC1 ZINC000910173580 709797200 /nfs/dbraw/zinc/79/72/00/709797200.db2.gz NCXIVWZGYAEISQ-OLZOCXBDSA-N -1 1 314.426 1.181 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C[C@@H](O)CC(C)(C)C)CC1 ZINC000910173579 709797370 /nfs/dbraw/zinc/79/73/70/709797370.db2.gz NCXIVWZGYAEISQ-CHWSQXEVSA-N -1 1 314.426 1.181 20 0 DDADMM C[C@H](C(=O)Nc1ccc(-n2ccc(C(=O)[O-])n2)c(F)c1)N(C)C ZINC000910248851 709839248 /nfs/dbraw/zinc/83/92/48/709839248.db2.gz SUOWJJJFTBFYOV-SECBINFHSA-N -1 1 320.324 1.598 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C(=O)[C@@H]1CCCN1C)CC2 ZINC000910384179 709917521 /nfs/dbraw/zinc/91/75/21/709917521.db2.gz FQEUJIGHQDVRJQ-AWEZNQCLSA-N -1 1 318.373 1.372 20 0 DDADMM C[C@]1(C2CC2)COCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000890586583 709921467 /nfs/dbraw/zinc/92/14/67/709921467.db2.gz LJYGNCGQIWBLNC-OAHLLOKOSA-N -1 1 318.402 1.659 20 0 DDADMM CO[C@@]1(C(=O)Nc2ccc([O-])c(Cl)c2)CCS(=O)(=O)C1 ZINC000901162323 709951593 /nfs/dbraw/zinc/95/15/93/709951593.db2.gz IIDNZVWWKRFDNC-LBPRGKRZSA-N -1 1 319.766 1.188 20 0 DDADMM O=C(N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)c1cnc(C2CC2)[n-]c1=O ZINC000901201218 709965472 /nfs/dbraw/zinc/96/54/72/709965472.db2.gz VMJRLOBGQPCJDU-UHTWSYAYSA-N -1 1 315.373 1.747 20 0 DDADMM CN(C(=O)c1c([O-])cnc2c(F)cccc21)[C@H]1CCNC1=O ZINC000901237064 709979622 /nfs/dbraw/zinc/97/96/22/709979622.db2.gz KEGCQXDVMHJSDI-JTQLQIEISA-N -1 1 303.293 1.040 20 0 DDADMM CC1(C)CO[C@@H](CCNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000910541615 709991008 /nfs/dbraw/zinc/99/10/08/709991008.db2.gz JZQXLKYONVTEAR-OLZOCXBDSA-N -1 1 312.410 1.104 20 0 DDADMM CN1CCN(C(=O)Cn2cc(C(=O)[O-])cn2)C2(CCCCC2)C1 ZINC000910687997 710048012 /nfs/dbraw/zinc/04/80/12/710048012.db2.gz QKRNWYBIUMTCFQ-UHFFFAOYSA-N -1 1 320.393 1.058 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)NC3CCC(C(=O)[O-])CC3)c2C1 ZINC000901483824 710052529 /nfs/dbraw/zinc/05/25/29/710052529.db2.gz XELWDBFMWZKBGX-WHXUTIOJSA-N -1 1 305.378 1.908 20 0 DDADMM CO[C@H](C(=O)[O-])C(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000901607359 710093283 /nfs/dbraw/zinc/09/32/83/710093283.db2.gz QLVPZQNQXWDAPR-STQMWFEESA-N -1 1 317.345 1.634 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)CN(C)CCc2ccccc2)C1 ZINC000901660854 710112811 /nfs/dbraw/zinc/11/28/11/710112811.db2.gz KFHLOTUCOPATHH-GDBMZVCRSA-N -1 1 318.417 1.730 20 0 DDADMM CC(=O)N1CSC[C@@H]1C(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910982772 710140932 /nfs/dbraw/zinc/14/09/32/710140932.db2.gz BJDRIMVJJQKJCP-SNVBAGLBSA-N -1 1 302.302 1.530 20 0 DDADMM O=C(CN1CCCCC(=O)C1=O)Nc1cc(F)cc(F)c1[O-] ZINC000910991779 710144442 /nfs/dbraw/zinc/14/44/42/710144442.db2.gz SCCKKGSGPPAKKD-UHFFFAOYSA-N -1 1 312.272 1.191 20 0 DDADMM CCCn1cc(CN2CC[C@@](C(=O)[O-])(c3ccccc3)C2)nn1 ZINC000901824722 710154932 /nfs/dbraw/zinc/15/49/32/710154932.db2.gz BBYODJFSVDSGQD-KRWDZBQOSA-N -1 1 314.389 1.916 20 0 DDADMM O=C([O-])[C@@H]1CSCCN(Cc2cnnn2-c2ccccc2)C1 ZINC000901892686 710167033 /nfs/dbraw/zinc/16/70/33/710167033.db2.gz VLINDAGCVUOVNP-LBPRGKRZSA-N -1 1 318.402 1.517 20 0 DDADMM Cc1cc(CN2C[C@@H](C)O[C@@H](C(=O)[O-])C2)ccc1-n1cncn1 ZINC000901911580 710172635 /nfs/dbraw/zinc/17/26/35/710172635.db2.gz YRHSSHCTJJWMHX-IUODEOHRSA-N -1 1 316.361 1.250 20 0 DDADMM CO[C@H](C(=O)[O-])C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000901928036 710176939 /nfs/dbraw/zinc/17/69/39/710176939.db2.gz OGCQNXBHFVTECG-RYUDHWBXSA-N -1 1 314.769 1.159 20 0 DDADMM CCOC(=O)N[C@H]1CCCN(c2cc(=O)[n-]c(COC)n2)C1 ZINC000891862355 710312358 /nfs/dbraw/zinc/31/23/58/710312358.db2.gz VAMIKPFURIMDNW-JTQLQIEISA-N -1 1 310.354 1.044 20 0 DDADMM COCc1nc(N2CCC[C@@H](C(=O)N3CCCC3)C2)cc(=O)[n-]1 ZINC000892471889 710442987 /nfs/dbraw/zinc/44/29/87/710442987.db2.gz UVSMRCYHOPUGAR-GFCCVEGCSA-N -1 1 320.393 1.167 20 0 DDADMM COc1cc(C(=O)NN2CCC[C@H](OC)C2)cc(Cl)c1[O-] ZINC000928416705 713186571 /nfs/dbraw/zinc/18/65/71/713186571.db2.gz ZHDSSTPNOPUXFQ-JTQLQIEISA-N -1 1 314.769 1.810 20 0 DDADMM COCc1nc(N(C)CCOCc2ccccc2)cc(=O)[n-]1 ZINC000892890237 710520125 /nfs/dbraw/zinc/52/01/25/710520125.db2.gz ASZNPUYCGXZQDU-UHFFFAOYSA-N -1 1 303.362 1.982 20 0 DDADMM Cc1cc(CNCCNC(=O)c2cccs2)oc1C(=O)[O-] ZINC000902097998 710613647 /nfs/dbraw/zinc/61/36/47/710613647.db2.gz HJTKNJYVNQWHGH-UHFFFAOYSA-N -1 1 308.359 1.867 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC000911104539 710635072 /nfs/dbraw/zinc/63/50/72/710635072.db2.gz FFNQOIUFYJUABJ-UONOGXRCSA-N -1 1 308.378 1.525 20 0 DDADMM Cc1cccc(C)c1OC[C@H](C)NCc1cn(CC(=O)[O-])nn1 ZINC000902164061 710642091 /nfs/dbraw/zinc/64/20/91/710642091.db2.gz BWUSWNWCQKAERX-ZDUSSCGKSA-N -1 1 318.377 1.537 20 0 DDADMM CN(C)[C@H](CNC(=O)c1cc(C(=O)[O-])ccn1)c1ccsc1 ZINC000911192434 710677421 /nfs/dbraw/zinc/67/74/21/710677421.db2.gz DYTYDQZYQCOVCF-CYBMUJFWSA-N -1 1 319.386 1.874 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)C3(C(=O)[O-])CCCC3)C2)n[nH]1 ZINC000911326065 710742417 /nfs/dbraw/zinc/74/24/17/710742417.db2.gz YUXLRIQQJMEJRU-LLVKDONJSA-N -1 1 306.366 1.464 20 0 DDADMM Cc1oc2ccccc2c1CCNCc1cn(CC(=O)[O-])nn1 ZINC000902440195 710755203 /nfs/dbraw/zinc/75/52/03/710755203.db2.gz IQOKYGNYGZMLJU-UHFFFAOYSA-N -1 1 314.345 1.750 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NN2CCCc3ccccc32)C1 ZINC000911386726 710773027 /nfs/dbraw/zinc/77/30/27/710773027.db2.gz HKUZMBMVHDLAAT-AWEZNQCLSA-N -1 1 317.389 1.267 20 0 DDADMM Cn1ccc(C2CCN(Cc3cccc(C(=O)[O-])n3)CC2)n1 ZINC000902562654 710797380 /nfs/dbraw/zinc/79/73/80/710797380.db2.gz OPYRGLVQHKVMNH-UHFFFAOYSA-N -1 1 300.362 1.893 20 0 DDADMM C[C@@H]1CN(C(=O)CCc2ccccc2C(=O)[O-])[C@@H](C)CN1C ZINC000911439154 710798916 /nfs/dbraw/zinc/79/89/16/710798916.db2.gz HUXLIFCHQNGJMM-OLZOCXBDSA-N -1 1 304.390 1.868 20 0 DDADMM Cc1ccc(C(C)(C)NC(=O)CN2CCC[C@H](C(=O)[O-])C2)cn1 ZINC000911475578 710814068 /nfs/dbraw/zinc/81/40/68/710814068.db2.gz FJVFQGCBACBONQ-ZDUSSCGKSA-N -1 1 319.405 1.538 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(Cc2cncs2)CC1 ZINC000913411549 713210809 /nfs/dbraw/zinc/21/08/09/713210809.db2.gz JSSOHYCOQMFJRI-UHFFFAOYSA-N -1 1 304.375 1.202 20 0 DDADMM COC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCCC1 ZINC000913436745 713215247 /nfs/dbraw/zinc/21/52/47/713215247.db2.gz GVVZKNNMXKJYED-LBPRGKRZSA-N -1 1 301.350 1.365 20 0 DDADMM C[C@H](C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCCO1 ZINC000913436782 713215376 /nfs/dbraw/zinc/21/53/76/713215376.db2.gz HFWIHOZSRZGXHO-UHTWSYAYSA-N -1 1 301.350 1.220 20 0 DDADMM CC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCOCC1 ZINC000913438844 713216020 /nfs/dbraw/zinc/21/60/20/713216020.db2.gz XGNQJKQRAPUNMQ-GFCCVEGCSA-N -1 1 301.350 1.222 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@H](C(=O)[O-])c1ccc(F)cc1 ZINC000902863285 710936995 /nfs/dbraw/zinc/93/69/95/710936995.db2.gz WHRWZJCZFUAIRK-ZANVPECISA-N -1 1 305.309 1.670 20 0 DDADMM Cc1cn2c(nc(CN3C[C@H](C)C[C@H](C(=O)[O-])C3)cc2=O)s1 ZINC000903407257 711117179 /nfs/dbraw/zinc/11/71/79/711117179.db2.gz GASBVIJFFXHDFP-KOLCDFICSA-N -1 1 321.402 1.607 20 0 DDADMM COCc1nc(N(C)[C@H](CO)Cc2ccccc2)cc(=O)[n-]1 ZINC000894471654 711139117 /nfs/dbraw/zinc/13/91/17/711139117.db2.gz NATNYHOIFZOXRL-ZDUSSCGKSA-N -1 1 303.362 1.368 20 0 DDADMM CC(C)(CCS(=O)(=O)N[C@@H]1C[NH2+]CCC1(F)F)C1CC1 ZINC000903521591 711166038 /nfs/dbraw/zinc/16/60/38/711166038.db2.gz DEXDMQWHSDLGTR-LLVKDONJSA-N -1 1 310.410 1.729 20 0 DDADMM CC(C)n1nnc([N-]C(=O)c2occc2Br)n1 ZINC000913486674 713235449 /nfs/dbraw/zinc/23/54/49/713235449.db2.gz QNSCOICNXLZZRO-UHFFFAOYSA-N -1 1 300.116 1.862 20 0 DDADMM CCCc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)s1 ZINC000913493721 713236870 /nfs/dbraw/zinc/23/68/70/713236870.db2.gz HCAYVXSXUHCMEQ-SNVBAGLBSA-N -1 1 307.379 1.427 20 0 DDADMM O=C(C/C=C\Cc1ccccc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494133 713236958 /nfs/dbraw/zinc/23/69/58/713236958.db2.gz SMZQIOABOXCBSG-WSNITJDQSA-N -1 1 313.361 1.289 20 0 DDADMM COc1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)ccc1Cl ZINC000913494811 713237278 /nfs/dbraw/zinc/23/72/78/713237278.db2.gz CYGFCOOPUPVJBJ-JTQLQIEISA-N -1 1 323.740 1.075 20 0 DDADMM O=C(c1ccc(Cl)c(F)c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496379 713238301 /nfs/dbraw/zinc/23/83/01/713238301.db2.gz YWEDFFDRVYZYIL-JTQLQIEISA-N -1 1 311.704 1.206 20 0 DDADMM C[C@]1(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)C[C@@H]1c1ccccc1 ZINC000913497833 713238752 /nfs/dbraw/zinc/23/87/52/713238752.db2.gz DMZWXHSEYGGHQV-IOASZLSFSA-N -1 1 313.361 1.293 20 0 DDADMM O=C([C@@H]1CCC[C@@H]2CCCC[C@H]12)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913497567 713238937 /nfs/dbraw/zinc/23/89/37/713238937.db2.gz LSMQJMKPLCHZJA-IGQOVBAYSA-N -1 1 319.409 1.706 20 0 DDADMM CN(CCc1cccc(F)c1)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000903901039 711322787 /nfs/dbraw/zinc/32/27/87/711322787.db2.gz YUHLDYYGDYTGFY-UHFFFAOYSA-N -1 1 322.380 1.623 20 0 DDADMM CCCN(Cc1ccc(F)cc1)C(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC000912476030 711345275 /nfs/dbraw/zinc/34/52/75/711345275.db2.gz XJIWBBOXQMAWCO-INIZCTEOSA-N -1 1 324.352 1.008 20 0 DDADMM C[C@H](c1ccc(F)cc1)N1CCC[C@H](N[C@@H](C)C(=O)[O-])C1=O ZINC000904242376 711416299 /nfs/dbraw/zinc/41/62/99/711416299.db2.gz LAPDLDXUQSHZNT-WDMOLILDSA-N -1 1 308.353 1.940 20 0 DDADMM COCc1nc(NC[C@H](O)C(F)(F)C(F)(F)F)cc(=O)[n-]1 ZINC000895163617 711438751 /nfs/dbraw/zinc/43/87/51/711438751.db2.gz SIHDZXBTHDSMJR-YFKPBYRVSA-N -1 1 317.214 1.299 20 0 DDADMM O=C(NC[C@@H]1COCCO1)c1ccc2ccc(O)cc2c1[O-] ZINC000895804840 711611160 /nfs/dbraw/zinc/61/11/60/711611160.db2.gz PQGFICGFMNUIHL-GFCCVEGCSA-N -1 1 303.314 1.396 20 0 DDADMM COCc1nc(NCCc2cccc3c2OCCO3)cc(=O)[n-]1 ZINC000896492387 711732620 /nfs/dbraw/zinc/73/26/20/711732620.db2.gz CTYRELLIXBCCKF-UHFFFAOYSA-N -1 1 317.345 1.754 20 0 DDADMM COc1cccc([N-]S(=O)(=O)N=S2(=O)CCCC2)c1F ZINC000905100940 711936695 /nfs/dbraw/zinc/93/66/95/711936695.db2.gz YNKQEEMCIYALQQ-UHFFFAOYSA-N -1 1 322.383 1.753 20 0 DDADMM C[C@@H](S[C@@H](C)C(=O)[O-])C(=O)NCc1cc(N(C)C)ccn1 ZINC000905128741 711944990 /nfs/dbraw/zinc/94/49/90/711944990.db2.gz OBTZMZNIACRAQK-ZJUUUORDSA-N -1 1 311.407 1.359 20 0 DDADMM O=C(c1ccnc2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913742092 713291691 /nfs/dbraw/zinc/29/16/91/713291691.db2.gz GOKCIMDUNCAZDC-UHFFFAOYSA-N -1 1 308.345 1.768 20 0 DDADMM O=C(c1ccc(-n2cccc2)nc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743645 713292367 /nfs/dbraw/zinc/29/23/67/713292367.db2.gz FKRPSYLOIZARGO-UHFFFAOYSA-N -1 1 323.360 1.405 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744251 713293043 /nfs/dbraw/zinc/29/30/43/713293043.db2.gz GSXKRDNVBUCOSC-IHWYPQMZSA-N -1 1 304.379 1.384 20 0 DDADMM CSc1ccccc1CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744416 713293061 /nfs/dbraw/zinc/29/30/61/713293061.db2.gz NAVKYIIHSDEGHE-UHFFFAOYSA-N -1 1 317.418 1.870 20 0 DDADMM O=C(c1nc(Cl)ccc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913744681 713293306 /nfs/dbraw/zinc/29/33/06/713293306.db2.gz ZGWORGUJBITEBF-UHFFFAOYSA-N -1 1 310.720 1.407 20 0 DDADMM CO[C@H](C(=O)N1CCC(c2nn[n-]n2)CC1)C1CCCCC1 ZINC000913745645 713293648 /nfs/dbraw/zinc/29/36/48/713293648.db2.gz MRAPXYHHBNYQDF-ZDUSSCGKSA-N -1 1 307.398 1.501 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@@H]1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000913780325 713299621 /nfs/dbraw/zinc/29/96/21/713299621.db2.gz UEVNLLFBVQGOMK-COMAGPEQSA-N -1 1 322.327 1.327 20 0 DDADMM O=C([O-])c1ccc(CNCc2ccc3c(c2)OCCO3)nc1 ZINC000905719312 712130765 /nfs/dbraw/zinc/13/07/65/712130765.db2.gz OXEBXNSHFYWXHD-UHFFFAOYSA-N -1 1 300.314 1.841 20 0 DDADMM CCOC1CC2(C[C@H]2NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000913812693 713304311 /nfs/dbraw/zinc/30/43/11/713304311.db2.gz GHEGVTPJQBZAHE-YZKWQOGNSA-N -1 1 303.362 1.747 20 0 DDADMM CCCCC1(C(=O)Nc2nc3nc(CC)cc(=O)n3[n-]2)CC1 ZINC000906056267 712232298 /nfs/dbraw/zinc/23/22/98/712232298.db2.gz AEANETKDBXSJQK-UHFFFAOYSA-N -1 1 303.366 1.889 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1ccc(SC)nc1 ZINC000906059175 712233588 /nfs/dbraw/zinc/23/35/88/712233588.db2.gz IZIJDDDLEBBQGW-KRWDZBQOSA-N -1 1 309.438 1.578 20 0 DDADMM CO[C@@H](C(=O)Nc1nnn[n-]1)c1ccc(Br)s1 ZINC000906733488 712401810 /nfs/dbraw/zinc/40/18/10/712401810.db2.gz KZNMRDDSAULVSB-ZCFIWIBFSA-N -1 1 318.156 1.350 20 0 DDADMM CO[C@@H](C(=O)Nc1nn[n-]n1)c1ccc(Br)s1 ZINC000906733488 712401811 /nfs/dbraw/zinc/40/18/11/712401811.db2.gz KZNMRDDSAULVSB-ZCFIWIBFSA-N -1 1 318.156 1.350 20 0 DDADMM O=C(N[C@H]1CCO[C@@H](C2CC2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000907403114 712562206 /nfs/dbraw/zinc/56/22/06/712562206.db2.gz DNMSTVOWYCYQFO-WCQYABFASA-N -1 1 303.362 1.747 20 0 DDADMM Cc1cncc(CCC(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000907481277 712583764 /nfs/dbraw/zinc/58/37/64/712583764.db2.gz UVQIMPGNAMJYES-LBPRGKRZSA-N -1 1 318.406 1.152 20 0 DDADMM Cc1nn(C(C)C)cc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481453 712584139 /nfs/dbraw/zinc/58/41/39/712584139.db2.gz WHLVLEXGCCDDCN-NSHDSACASA-N -1 1 321.410 1.216 20 0 DDADMM O=S(=O)([N-]C1C[C@H]2CC[C@@H](C1)S2)c1c[nH]nc1Cl ZINC000907660411 712610484 /nfs/dbraw/zinc/61/04/84/712610484.db2.gz XICQIIHGPULELU-IEESLHIDSA-N -1 1 307.828 1.768 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H]1[C@@H]2CCC(=O)[C@@H]21 ZINC000907666919 712611599 /nfs/dbraw/zinc/61/15/99/712611599.db2.gz MTABYDTWURTFEB-UAINPKIQSA-N -1 1 311.135 1.713 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C2CC3(C2)CO[C@@H](C)C3)sn1 ZINC000907733982 712619897 /nfs/dbraw/zinc/61/98/97/712619897.db2.gz XNJXJYOCEMGLSF-BMQDGWLCSA-N -1 1 302.421 1.687 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C[C@H]1C ZINC000907941880 712652501 /nfs/dbraw/zinc/65/25/01/712652501.db2.gz SBDOCSCPUSLYPQ-IJLUTSLNSA-N -1 1 307.398 1.082 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)Cc2cccnc2)o1 ZINC000907981855 712659777 /nfs/dbraw/zinc/65/97/77/712659777.db2.gz BZRHYZUKVYCFTE-SNVBAGLBSA-N -1 1 324.358 1.371 20 0 DDADMM CC1(C)COC(=O)[C@@H]1NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000908156708 712700267 /nfs/dbraw/zinc/70/02/67/712700267.db2.gz IZKYPOHFWDLXFJ-ZDUSSCGKSA-N -1 1 318.304 1.761 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)CC1CCCC1 ZINC000908292849 712730040 /nfs/dbraw/zinc/73/00/40/712730040.db2.gz RPNXHKCAJGTHIJ-YNEHKIRRSA-N -1 1 305.378 1.911 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(c2ccccc2C)=NO1 ZINC000908369785 712754337 /nfs/dbraw/zinc/75/43/37/712754337.db2.gz FULFYVBHAQLBOZ-GFCCVEGCSA-N -1 1 300.318 1.864 20 0 DDADMM CSCC(C)(C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000908378865 712756124 /nfs/dbraw/zinc/75/61/24/712756124.db2.gz ILEPSVOIKARPEU-UHFFFAOYSA-N -1 1 317.358 1.848 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CCCO1 ZINC000908454696 712778078 /nfs/dbraw/zinc/77/80/78/712778078.db2.gz HWQLTUQVEBNFLT-CYBMUJFWSA-N -1 1 305.378 1.947 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC(F)(F)C2(O)CCCCC2)c1 ZINC000908498281 712790212 /nfs/dbraw/zinc/79/02/12/712790212.db2.gz QFYIIGCAXBZELD-UHFFFAOYSA-N -1 1 323.365 1.029 20 0 DDADMM O=C([O-])c1ccc2c(c1)CCN2C(=O)CCCCc1cn[nH]n1 ZINC000908646376 712828197 /nfs/dbraw/zinc/82/81/97/712828197.db2.gz LERVPBIFIGAWAA-UHFFFAOYSA-N -1 1 314.345 1.805 20 0 DDADMM CN1C[C@@H](C(=O)Nc2cccc([O-])c2Br)NC1=O ZINC000908708465 712839094 /nfs/dbraw/zinc/83/90/94/712839094.db2.gz XSQZNXCBVINSJO-ZETCQYMHSA-N -1 1 314.139 1.117 20 0 DDADMM O=C(NCC1CC1)C(=O)Nc1cccc([O-])c1Br ZINC000908711181 712839401 /nfs/dbraw/zinc/83/94/01/712839401.db2.gz YZYOBONNQXBRQU-UHFFFAOYSA-N -1 1 313.151 1.619 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000908745150 712846217 /nfs/dbraw/zinc/84/62/17/712846217.db2.gz ZKDXKJZWUAINEX-OLZOCXBDSA-N -1 1 323.393 1.921 20 0 DDADMM O=C([O-])CN(Cc1ccc(Cl)cc1)C(=O)CN1CCCC1 ZINC000908888871 712880071 /nfs/dbraw/zinc/88/00/71/712880071.db2.gz TXASNZREYPDXIJ-UHFFFAOYSA-N -1 1 310.781 1.849 20 0 DDADMM O=S(=O)(CC1(F)CCC1)[N-][C@@H]1CCCCC12OCCO2 ZINC000914272781 713368826 /nfs/dbraw/zinc/36/88/26/713368826.db2.gz NYERULTXBOKEAX-LLVKDONJSA-N -1 1 307.387 1.484 20 0 DDADMM O=S(=O)(CC1(F)CC1)[N-]Cc1noc(C(F)(F)F)n1 ZINC000915059208 713407658 /nfs/dbraw/zinc/40/76/58/713407658.db2.gz VJWLCJKBGPOIOX-UHFFFAOYSA-N -1 1 303.237 1.010 20 0 DDADMM Cc1nc(Cc2nnc(Sc3c(C)[nH][n-]c3=O)o2)cs1 ZINC000915517074 713421835 /nfs/dbraw/zinc/42/18/35/713421835.db2.gz GDUSRJRLOCDULK-SECBINFHSA-N -1 1 309.376 1.392 20 0 DDADMM CC(C)(C)NC(=O)[C@@H]1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000928732434 713473814 /nfs/dbraw/zinc/47/38/14/713473814.db2.gz TZTNEWGOVMKGLX-ZDUSSCGKSA-N -1 1 318.373 1.481 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@H]1CCCCC1(OC)OC)OC ZINC000918061328 713527821 /nfs/dbraw/zinc/52/78/21/713527821.db2.gz ORDJHGFVPNRYSE-RYUDHWBXSA-N -1 1 309.428 1.263 20 0 DDADMM COC(=O)[C@@H]1CN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929513177 713627619 /nfs/dbraw/zinc/62/76/19/713627619.db2.gz QMOBFVDRZKRUJF-RISCZKNCSA-N -1 1 307.346 1.085 20 0 DDADMM CN(C)C(=O)N1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000920446368 713669947 /nfs/dbraw/zinc/66/99/47/713669947.db2.gz OJZXRKUERQMPKR-UHFFFAOYSA-N -1 1 311.769 1.485 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-][C@@H]1c2ccccc2OC[C@@H]1F ZINC000921318773 713732390 /nfs/dbraw/zinc/73/23/90/713732390.db2.gz CKLUWRDSNMTJRA-VHRBIJSZSA-N -1 1 315.366 1.557 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCS[C@H](C)C2)c1 ZINC000921713972 713851819 /nfs/dbraw/zinc/85/18/19/713851819.db2.gz OUNKBTNQMTWZNI-NXEZZACHSA-N -1 1 317.432 1.963 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](c2cnn(C)c2)C(C)C)sn1 ZINC000921847539 713884607 /nfs/dbraw/zinc/88/46/07/713884607.db2.gz YLXHOBXXZOYAAC-LBPRGKRZSA-N -1 1 314.436 1.861 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2c3ccccc3CC[C@H]2O)sn1 ZINC000922036433 713943284 /nfs/dbraw/zinc/94/32/84/713943284.db2.gz PYUTWIYWGFJICL-OCCSQVGLSA-N -1 1 324.427 1.778 20 0 DDADMM Nc1ccnc2c1CN(Cc1nc(=O)c3sccc3[n-]1)CC2 ZINC000931514272 714116487 /nfs/dbraw/zinc/11/64/87/714116487.db2.gz MSANCNKJDWEBQC-UHFFFAOYSA-N -1 1 313.386 1.932 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H]2CCCC(=O)N2C)c1 ZINC000923000358 714209554 /nfs/dbraw/zinc/20/95/54/714209554.db2.gz VFSJCXPJHZAMBN-CQSZACIVSA-N -1 1 319.357 1.691 20 0 DDADMM CC(C)(O)C1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932049938 714246608 /nfs/dbraw/zinc/24/66/08/714246608.db2.gz NBIDPVFUFZTEQW-UHFFFAOYSA-N -1 1 316.361 1.813 20 0 DDADMM O=C([O-])[C@@H]1CC[C@@H]1NC(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000923137059 714250632 /nfs/dbraw/zinc/25/06/32/714250632.db2.gz HRZTXCLZGWSDHT-QLFBSQMISA-N -1 1 302.374 1.630 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943097313 718000638 /nfs/dbraw/zinc/00/06/38/718000638.db2.gz PPDWETDNOXXCJN-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM COc1cnc([C@@H]2CCCN2CCn2cc(Cl)cn2)[n-]c1=O ZINC000934279236 714785190 /nfs/dbraw/zinc/78/51/90/714785190.db2.gz LLPYVQKGZXOXMR-NSHDSACASA-N -1 1 323.784 1.878 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(CC(F)F)C1CCC1 ZINC000925262520 714787531 /nfs/dbraw/zinc/78/75/31/714787531.db2.gz MGOUJARSHMUDGO-UHFFFAOYSA-N -1 1 303.265 1.156 20 0 DDADMM O=C([C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000935455502 715059616 /nfs/dbraw/zinc/05/96/16/715059616.db2.gz FCMQNSGFDKCGPK-IWTNGPMKSA-N -1 1 305.407 1.108 20 0 DDADMM CN(C(=O)C(C)(F)F)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937210283 715292798 /nfs/dbraw/zinc/29/27/98/715292798.db2.gz AZFVRVLJVRTSBE-VIFPVBQESA-N -1 1 313.304 1.115 20 0 DDADMM CN(C(=O)[C@@H]1CC12CC2)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216639 715293715 /nfs/dbraw/zinc/29/37/15/715293715.db2.gz ILPXGNZNMSMPBJ-NEPJUHHUSA-N -1 1 315.373 1.260 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000937865601 715619863 /nfs/dbraw/zinc/61/98/63/715619863.db2.gz KNYKXFHELLLPOH-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849010 715635682 /nfs/dbraw/zinc/63/56/82/715635682.db2.gz IYKLQPBJLYXWTG-LXYJIPTKSA-N -1 1 303.362 1.474 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)CC2CCC2)C1 ZINC000956848693 715635879 /nfs/dbraw/zinc/63/58/79/715635879.db2.gz DPSDXZPELTWKHH-QGZVFWFLSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)=CC(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849318 715636596 /nfs/dbraw/zinc/63/65/96/715636596.db2.gz QDOOOAIGTGEFMZ-INIZCTEOSA-N -1 1 303.362 1.474 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956850467 715637071 /nfs/dbraw/zinc/63/70/71/715637071.db2.gz NHIBUIPPXLCVEV-BLLLJJGKSA-N -1 1 323.368 1.502 20 0 DDADMM CC(C)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC000955559377 715853569 /nfs/dbraw/zinc/85/35/69/715853569.db2.gz YBAYKZARQAHXSP-VXGBXAGGSA-N -1 1 305.378 1.553 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955953160 716033665 /nfs/dbraw/zinc/03/36/65/716033665.db2.gz KKIINILVXGKWSB-LCKPPEIMSA-N -1 1 303.362 1.474 20 0 DDADMM CC[C@H](F)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000957793979 716052779 /nfs/dbraw/zinc/05/27/79/716052779.db2.gz YOFOPMFLTJNNIY-OUAUKWLOSA-N -1 1 309.341 1.112 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939089121 716126174 /nfs/dbraw/zinc/12/61/74/716126174.db2.gz HCNBWNPPGUNLQJ-QWRGUYRKSA-N -1 1 309.341 1.208 20 0 DDADMM CC(C)C(=O)N1CCC[C@H]([C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC000961134656 716826999 /nfs/dbraw/zinc/82/69/99/716826999.db2.gz HTYFVLRSSLFKKU-QWHCGFSZSA-N -1 1 321.425 1.227 20 0 DDADMM C[C@@H]1CN(C(=O)C2(C)CC2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959494014 717034022 /nfs/dbraw/zinc/03/40/22/717034022.db2.gz JIGCQDZGSWXIST-VXGBXAGGSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000965068869 717524898 /nfs/dbraw/zinc/52/48/98/717524898.db2.gz BOEUBYXOQKZJDB-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM O=C(CC1CCC1)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943931886 718226274 /nfs/dbraw/zinc/22/62/74/718226274.db2.gz XBMUZBQYDGBMCO-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000945250235 718430469 /nfs/dbraw/zinc/43/04/69/718430469.db2.gz NINRYWUCRQLSPU-BELPRIPISA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C1(C)CC1 ZINC000945287846 718436396 /nfs/dbraw/zinc/43/63/96/718436396.db2.gz IUFODIRCSUTSNQ-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)CCC2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966246612 718483525 /nfs/dbraw/zinc/48/35/25/718483525.db2.gz VOVUCGXIVUSFMQ-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)[C@@H]1C[C@@H]1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967759210 719007494 /nfs/dbraw/zinc/00/74/94/719007494.db2.gz VSHIMEIHYNIBMH-CMPLNLGQSA-N -1 1 303.362 1.116 20 0 DDADMM CC[C@H](C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000947601395 719224452 /nfs/dbraw/zinc/22/44/52/719224452.db2.gz ULZILHGHCFQRGJ-QJPTWQEYSA-N -1 1 305.378 1.410 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])C1CC=CC1 ZINC000949183205 719945384 /nfs/dbraw/zinc/94/53/84/719945384.db2.gz HQACRKGJKBWBRW-LBPRGKRZSA-N -1 1 301.346 1.084 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ccsc1)c1ncccc1[O-] ZINC000949532184 720143950 /nfs/dbraw/zinc/14/39/50/720143950.db2.gz XXGBSBOVBRQGGM-NSHDSACASA-N -1 1 317.370 1.493 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)C1CCCC1)c1ncccc1[O-] ZINC000949535728 720145505 /nfs/dbraw/zinc/14/55/05/720145505.db2.gz YZJGLLWPCONSEB-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949538543 720146738 /nfs/dbraw/zinc/14/67/38/720146738.db2.gz LTPMDPFAWFJULM-LBPRGKRZSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(/C=C\C1CC1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950285489 720555933 /nfs/dbraw/zinc/55/59/33/720555933.db2.gz OXUPBAMFTQCUNJ-MEJMFZKBSA-N -1 1 315.373 1.474 20 0 DDADMM CC[C@H](F)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000951644689 721113350 /nfs/dbraw/zinc/11/33/50/721113350.db2.gz PRYFSQHMDCHCFL-MNOVXSKESA-N -1 1 309.341 1.256 20 0 DDADMM Cc1ncoc1CN(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971396484 721277350 /nfs/dbraw/zinc/27/73/50/721277350.db2.gz AZBVAQZGBYBNBV-GFCCVEGCSA-N -1 1 316.361 1.430 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N(C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953725390 721648670 /nfs/dbraw/zinc/64/86/70/721648670.db2.gz KMQVQTAQQABBCS-YPMHNXCESA-N -1 1 317.389 1.506 20 0 DDADMM Cc1n[nH]c(C(=O)NCCNCc2cccc(C(C)C)n2)c1[O-] ZINC001125728887 735446176 /nfs/dbraw/zinc/44/61/76/735446176.db2.gz PXIMFUGLQLCQSX-UHFFFAOYSA-N -1 1 317.393 1.462 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CC12CC2 ZINC001020628428 732575213 /nfs/dbraw/zinc/57/52/13/732575213.db2.gz IOSNUXKTORUHKU-SRVKXCTJSA-N -1 1 315.373 1.307 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1CNC(=O)C1CC1 ZINC001020734848 732649463 /nfs/dbraw/zinc/64/94/63/732649463.db2.gz DTROBQLPRFHQQG-DGCLKSJQSA-N -1 1 317.389 1.412 20 0 DDADMM Cc1nocc1CN1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038182689 732890099 /nfs/dbraw/zinc/89/00/99/732890099.db2.gz BLJXWHKCBFRFKM-LBPRGKRZSA-N -1 1 302.334 1.088 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)C2CC2)CN1C(=O)c1ncccc1[O-] ZINC001010127148 738793908 /nfs/dbraw/zinc/79/39/08/738793908.db2.gz RLQMQAJQNDTWPG-WDEREUQCSA-N -1 1 303.362 1.164 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@]23C[C@H]2CCCC3)c1Cl ZINC000693538262 734575637 /nfs/dbraw/zinc/57/56/37/734575637.db2.gz YOADUIWDPLZPPM-SKDRFNHKSA-N -1 1 303.815 1.932 20 0 DDADMM C[C@H](NC(=O)C(F)(F)F)c1ccc(N[C@@H]2CO[N-]C2=O)cc1 ZINC001167522542 734659965 /nfs/dbraw/zinc/65/99/65/734659965.db2.gz IKOLGPLJCBERSS-OIBJUYFYSA-N -1 1 317.267 1.268 20 0 DDADMM Cc1ccccc1[C@H]1CCN(c2nnc(Cc3nnn[n-]3)n2C)C1 ZINC001121317473 782456912 /nfs/dbraw/zinc/45/69/12/782456912.db2.gz QRVXUIFUXYBUJO-LBPRGKRZSA-N -1 1 324.392 1.221 20 0 DDADMM Cc1ccccc1[C@H]1CCN(c2nnc(Cc3nn[n-]n3)n2C)C1 ZINC001121317473 782456915 /nfs/dbraw/zinc/45/69/15/782456915.db2.gz QRVXUIFUXYBUJO-LBPRGKRZSA-N -1 1 324.392 1.221 20 0 DDADMM CCS(=O)(=O)N1CCN(Cc2cc(F)c([O-])c(F)c2)CC1 ZINC001140990749 736810535 /nfs/dbraw/zinc/81/05/35/736810535.db2.gz PYKICUDHUKKMKG-UHFFFAOYSA-N -1 1 320.361 1.138 20 0 DDADMM C[C@@H](C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000974056614 737353545 /nfs/dbraw/zinc/35/35/45/737353545.db2.gz JZCWPYIXWLQJTL-RTXFEEFZSA-N -1 1 317.389 1.600 20 0 DDADMM CN(C)C(=O)[C@H]1CCCN(Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000092646483 739266433 /nfs/dbraw/zinc/26/64/33/739266433.db2.gz INWRLVMVDBWIOG-NSHDSACASA-N -1 1 307.350 1.601 20 0 DDADMM O=C(NC[C@@H]1CC[N@H+](Cc2ccon2)C1)c1ccccc1O ZINC001028413853 739469905 /nfs/dbraw/zinc/46/99/05/739469905.db2.gz APPCQHVQLRVFTL-LBPRGKRZSA-N -1 1 301.346 1.632 20 0 DDADMM C[C@H](NC(=O)[C@@H]1CC12CC2)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006959888 739903251 /nfs/dbraw/zinc/90/32/51/739903251.db2.gz QPCBNTODEAGIMO-JQWIXIFHSA-N -1 1 315.373 1.164 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CC[C@@H](C1)N2C/C=C/Cl ZINC001029281644 740519081 /nfs/dbraw/zinc/51/90/81/740519081.db2.gz TWQPHNQBSSQYHN-OUHYUALJSA-N -1 1 307.781 1.829 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)co1 ZINC001029717569 741253513 /nfs/dbraw/zinc/25/35/13/741253513.db2.gz TWWPLNNDEPWDII-NSHDSACASA-N -1 1 319.365 1.006 20 0 DDADMM CC(C)(C)CC(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088263523 741272965 /nfs/dbraw/zinc/27/29/65/741272965.db2.gz GWXIPIQHLAPKED-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM C/C=C(\C)C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002189743 742920533 /nfs/dbraw/zinc/92/05/33/742920533.db2.gz SRLMPXNAFXYVPC-RAMXWLAGSA-N -1 1 315.373 1.615 20 0 DDADMM Cc1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)ccc1O ZINC001168696563 743210597 /nfs/dbraw/zinc/21/05/97/743210597.db2.gz XRAYWYNHWCMCBJ-AWEZNQCLSA-N -1 1 309.329 1.733 20 0 DDADMM COc1cc(Br)c([O-])c(NC(=O)[C@@H]2CCO2)c1 ZINC001181589227 743256629 /nfs/dbraw/zinc/25/66/29/743256629.db2.gz VCJIJQXJFRKOIA-VIFPVBQESA-N -1 1 302.124 1.891 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)Cc1cccc2[nH]ccc21 ZINC001181721256 743308264 /nfs/dbraw/zinc/30/82/64/743308264.db2.gz PBHSRDLYNVRQEN-UHFFFAOYSA-N -1 1 313.273 1.948 20 0 DDADMM Cc1conc1CN1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001002665840 743324401 /nfs/dbraw/zinc/32/44/01/743324401.db2.gz VPUXRONOFGXNPN-UHFFFAOYSA-N -1 1 316.361 1.478 20 0 DDADMM CN(C)c1nc(NC(=O)[C@H]2CC[C@H](CO)CC2)c(N=O)c(=O)[n-]1 ZINC001184746690 744095155 /nfs/dbraw/zinc/09/51/55/744095155.db2.gz IOTGDYJYTAISRF-KYZUINATSA-N -1 1 323.353 1.383 20 0 DDADMM Cc1ccnc(F)c1[N-]S(=O)(=O)c1cnc(Cl)nc1 ZINC001185006099 744135790 /nfs/dbraw/zinc/13/57/90/744135790.db2.gz QJCNZWBFTQYBHV-UHFFFAOYSA-N -1 1 302.718 1.773 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C23CCC(O)(CC2)CC3)n1 ZINC001185269206 744194988 /nfs/dbraw/zinc/19/49/88/744194988.db2.gz LAMXRSNOKFPSFV-UHFFFAOYSA-N -1 1 307.350 1.610 20 0 DDADMM O=C(C[C@H](O)c1ccccc1)NCCCC[P@](=O)([O-])O ZINC001185802558 744289693 /nfs/dbraw/zinc/28/96/93/744289693.db2.gz DFUGISNZPNEFSF-LBPRGKRZSA-N -1 1 301.279 1.184 20 0 DDADMM Cc1c(F)cccc1S(=O)(=O)[N-]c1cccc(CC(N)=O)c1 ZINC001185828958 744292099 /nfs/dbraw/zinc/29/20/99/744292099.db2.gz NNIISZUODIQYTA-UHFFFAOYSA-N -1 1 322.361 1.963 20 0 DDADMM CSc1n[nH]c(NC(=O)c2cccc([S-])c2)c1C(N)=O ZINC001186130087 744348841 /nfs/dbraw/zinc/34/88/41/744348841.db2.gz BIDXNYJYIJFELG-UHFFFAOYSA-N -1 1 308.388 1.772 20 0 DDADMM Cn1c(NC(=O)c2ccc([O-])c(F)c2)nnc1C(F)(F)F ZINC001186201962 744356293 /nfs/dbraw/zinc/35/62/93/744356293.db2.gz CZCSFNJXHFQLTI-UHFFFAOYSA-N -1 1 304.203 1.931 20 0 DDADMM CN(C)c1nc(NC(=O)NCCC(F)(F)F)c(N=O)c(=O)[n-]1 ZINC001186979870 744482068 /nfs/dbraw/zinc/48/20/68/744482068.db2.gz NGYPRIBIRBVZTA-UHFFFAOYSA-N -1 1 322.247 1.720 20 0 DDADMM O=C(NCc1ccc2c(c1)CCO2)c1n[n-]nc1C(F)(F)F ZINC001187769842 744598475 /nfs/dbraw/zinc/59/84/75/744598475.db2.gz FOLOWBUKFZUOJC-UHFFFAOYSA-N -1 1 312.251 1.688 20 0 DDADMM COc1cnccc1CCNC(=O)c1n[n-]nc1C(F)(F)F ZINC001187773214 744599998 /nfs/dbraw/zinc/59/99/98/744599998.db2.gz ANMVKYXTEDCCTQ-UHFFFAOYSA-N -1 1 315.255 1.200 20 0 DDADMM COc1cncc(NC(=O)c2n[n-]nc2C(F)(F)F)c1C ZINC001187758838 744605985 /nfs/dbraw/zinc/60/59/85/744605985.db2.gz KFDZOTCBEJQDBK-UHFFFAOYSA-N -1 1 301.228 1.788 20 0 DDADMM NC(=O)Cc1cccc(NC(=O)c2n[n-]nc2C(F)(F)F)c1 ZINC001187766314 744607754 /nfs/dbraw/zinc/60/77/54/744607754.db2.gz PNQGTGQGUROKCJ-UHFFFAOYSA-N -1 1 313.239 1.104 20 0 DDADMM Cn1cc2cccc(NC(=O)c3n[n-]nc3C(F)(F)F)c2n1 ZINC001187766611 744608489 /nfs/dbraw/zinc/60/84/89/744608489.db2.gz ZRFXUPJJZBSAAE-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM CC(C)c1nc(CC[N-]S(=O)(=O)c2nccs2)no1 ZINC001187902179 744626874 /nfs/dbraw/zinc/62/68/74/744626874.db2.gz NLVQEYDTTUJYMA-UHFFFAOYSA-N -1 1 302.381 1.171 20 0 DDADMM O=S(=O)([N-]Cc1ccc(-n2ccnc2)cc1)c1nccs1 ZINC001187908980 744627911 /nfs/dbraw/zinc/62/79/11/744627911.db2.gz QWGNIPWGACKGQO-UHFFFAOYSA-N -1 1 320.399 1.807 20 0 DDADMM CC(C)[C@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC001187926342 744636383 /nfs/dbraw/zinc/63/63/83/744636383.db2.gz HAEVROBRNUGLPQ-ZETCQYMHSA-N -1 1 313.206 1.684 20 0 DDADMM CSc1ccc(NC(=O)Nc2c(O)[nH]c(=O)[n-]c2=S)cc1 ZINC001188129176 744663326 /nfs/dbraw/zinc/66/33/26/744663326.db2.gz ZAWIGSPUKZIQHO-QMMMGPOBSA-N -1 1 324.387 1.065 20 0 DDADMM Cc1ccc(C(=O)NCc2nc([O-])cc(=O)[nH]2)c2ncccc12 ZINC001168435977 744714114 /nfs/dbraw/zinc/71/41/14/744714114.db2.gz ANSGIDPEIDTFLU-UHFFFAOYSA-N -1 1 310.313 1.674 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)Cc1cc(F)ccc1F)C(=O)OC ZINC001189710783 744960507 /nfs/dbraw/zinc/96/05/07/744960507.db2.gz ZZWHWBNTYFHWOP-LBPRGKRZSA-N -1 1 321.345 1.726 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)NCC(=O)c1ccc([O-])cc1)C(=O)OC ZINC001190191514 745130379 /nfs/dbraw/zinc/13/03/79/745130379.db2.gz VKTUAFKPVBICOP-HZMBPMFUSA-N -1 1 322.361 1.462 20 0 DDADMM CC(=O)[C@@H]1CCN(C(=O)c2cnc(-c3ccccc3)[n-]c2=O)C1 ZINC001190270850 745170296 /nfs/dbraw/zinc/17/02/96/745170296.db2.gz QWOLRVJWDMGRQA-CYBMUJFWSA-N -1 1 311.341 1.900 20 0 DDADMM CSc1ncc(C(=O)NCCCC[P@](=O)([O-])O)c(C)n1 ZINC001190520859 745238860 /nfs/dbraw/zinc/23/88/60/745238860.db2.gz ARTWFQOQKQACQX-UHFFFAOYSA-N -1 1 319.323 1.195 20 0 DDADMM CC(=O)[C@@H]1CCN(C(=O)c2cnc(-c3ccccn3)[n-]c2=O)C1 ZINC001190628271 745278721 /nfs/dbraw/zinc/27/87/21/745278721.db2.gz MWCHPCJXKVWZJU-LLVKDONJSA-N -1 1 312.329 1.295 20 0 DDADMM O=S(=O)(Nc1cc2nsnc2cc1Cl)c1ncc[n-]1 ZINC001190730472 745309916 /nfs/dbraw/zinc/30/99/16/745309916.db2.gz GIKBBQQHXCLVOM-UHFFFAOYSA-N -1 1 315.767 1.869 20 0 DDADMM COc1c(C)cc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1C ZINC001191056171 745413587 /nfs/dbraw/zinc/41/35/87/745413587.db2.gz DLULDCPGJNLZDQ-UHFFFAOYSA-N -1 1 304.306 1.348 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1ccccc1N1CCCC1 ZINC001191215885 745449617 /nfs/dbraw/zinc/44/96/17/745449617.db2.gz QCTPPUUTEQJUHU-UHFFFAOYSA-N -1 1 315.333 1.323 20 0 DDADMM CSc1ncc(C(=O)Nc2nnc(-c3ccco3)o2)c(=O)[n-]1 ZINC001191421098 745507397 /nfs/dbraw/zinc/50/73/97/745507397.db2.gz ZWIFNTZFRSYWAV-UHFFFAOYSA-N -1 1 319.302 1.799 20 0 DDADMM CN1CC(NC(=O)c2ccccc2C(=O)c2ccc([O-])cc2)C1 ZINC001191509706 745530256 /nfs/dbraw/zinc/53/02/56/745530256.db2.gz JNJDQLMVBHFOOI-UHFFFAOYSA-N -1 1 310.353 1.667 20 0 DDADMM O=C(N[C@H]1C[C@H](O)C1)c1ccccc1C(=O)c1ccc([O-])cc1 ZINC001191510639 745531010 /nfs/dbraw/zinc/53/10/10/745531010.db2.gz SFHAOZADCRLTHB-MQMHXKEQSA-N -1 1 311.337 1.876 20 0 DDADMM COC(CCC[N-]S(=O)(=O)C(Cl)(Cl)Cl)OC ZINC001192055082 745686403 /nfs/dbraw/zinc/68/64/03/745686403.db2.gz LSNVYIWNUASRGQ-UHFFFAOYSA-N -1 1 314.618 1.633 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc(C(C)(C)O)cc3)c1-2 ZINC001192560060 745817622 /nfs/dbraw/zinc/81/76/22/745817622.db2.gz ZKQKXQUUOGNSEC-UHFFFAOYSA-N -1 1 311.345 1.515 20 0 DDADMM CNC(=O)c1cccnc1NC(=O)c1cc([O-])cc(F)c1F ZINC001192661206 745850449 /nfs/dbraw/zinc/85/04/49/745850449.db2.gz NDCHYRCMQVXPPP-UHFFFAOYSA-N -1 1 307.256 1.677 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2c([O-])ccc(F)c2F)n[nH]1 ZINC001192729864 745870173 /nfs/dbraw/zinc/87/01/73/745870173.db2.gz MTWYQHFJQNQBLT-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)c2ccc([O-])c(F)c2F)n1 ZINC001192826577 745905612 /nfs/dbraw/zinc/90/56/12/745905612.db2.gz FDZWCFOYZXOCEI-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1nc(Cl)cnc1Cl ZINC001193208068 746014177 /nfs/dbraw/zinc/01/41/77/746014177.db2.gz RWEAIKGXDIPFOV-LURJTMIESA-N -1 1 312.178 1.704 20 0 DDADMM Cc1cnc(CN2CCC[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001007187137 752053494 /nfs/dbraw/zinc/05/34/94/752053494.db2.gz DJWNQRZWAQBHPI-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM CC(C)CCN1CC(NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001031251997 746030840 /nfs/dbraw/zinc/03/08/40/746030840.db2.gz JHLRYLCFZSAXDU-UHFFFAOYSA-N -1 1 304.350 1.389 20 0 DDADMM COC(=O)Cc1ccccc1[N-]S(=O)(=O)c1ccccc1N ZINC001193923800 746238403 /nfs/dbraw/zinc/23/84/03/746238403.db2.gz FOMBQZGKVGAFDF-UHFFFAOYSA-N -1 1 320.370 1.785 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C2CC(NCc3cscn3)C2)c1[O-] ZINC000998631383 752073702 /nfs/dbraw/zinc/07/37/02/752073702.db2.gz KNKJULXUDASBFF-UHFFFAOYSA-N -1 1 321.406 1.273 20 0 DDADMM C[Si](C)(C)CCS(=O)(=O)[N-]c1ccc(C(N)=O)cc1O ZINC001195079985 746523650 /nfs/dbraw/zinc/52/36/50/746523650.db2.gz BNYYFBWZQWPMPJ-UHFFFAOYSA-N -1 1 316.455 1.571 20 0 DDADMM C[Si](C)(C)CCS(=O)(=O)NCCCC[P@](=O)([O-])O ZINC001195091993 746526669 /nfs/dbraw/zinc/52/66/69/746526669.db2.gz NFKLXCPHZOGGDS-UHFFFAOYSA-N -1 1 317.420 1.202 20 0 DDADMM COc1cncc(Cl)c1C(=O)NCCCC[P@](=O)([O-])O ZINC001195239900 746563417 /nfs/dbraw/zinc/56/34/17/746563417.db2.gz VWRVKPQXDMNGHB-UHFFFAOYSA-N -1 1 322.685 1.431 20 0 DDADMM Cc1cc(CNC(=O)c2c([O-])c(F)c(F)c(F)c2F)n[nH]1 ZINC001195303320 746571704 /nfs/dbraw/zinc/57/17/04/746571704.db2.gz VGDFUQWZGHLSGN-UHFFFAOYSA-N -1 1 303.215 1.910 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccccc1N1CC[C@H](O)C1 ZINC001195492682 746624777 /nfs/dbraw/zinc/62/47/77/746624777.db2.gz OSFFVQWCUQKXPY-JTQLQIEISA-N -1 1 309.391 1.701 20 0 DDADMM CC(C)COCC[N@H+]1CCCN(C(=O)c2ncccc2O)CC1 ZINC001195581223 746646558 /nfs/dbraw/zinc/64/65/58/746646558.db2.gz VLBJJQUCVDGBOW-UHFFFAOYSA-N -1 1 321.421 1.608 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ncncc2Cl)cn1 ZINC001195683252 746671706 /nfs/dbraw/zinc/67/17/06/746671706.db2.gz SYZGYFFBDKETMN-UHFFFAOYSA-N -1 1 300.727 1.334 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccnc3OC(F)F)c1-2 ZINC001195725551 746677229 /nfs/dbraw/zinc/67/72/29/746677229.db2.gz HRFGVDHCSDBMAC-UHFFFAOYSA-N -1 1 320.259 1.284 20 0 DDADMM CN1CCc2ncc([N-]S(=O)(=O)c3ccc(O)cc3)cc2C1 ZINC001195765746 746690677 /nfs/dbraw/zinc/69/06/77/746690677.db2.gz BYWIPXAOHZUNPU-UHFFFAOYSA-N -1 1 319.386 1.576 20 0 DDADMM O=C(Nc1cnn2ccc(Cl)nc12)c1c[nH]c(=S)[n-]c1=O ZINC001196014021 746756703 /nfs/dbraw/zinc/75/67/03/746756703.db2.gz KYHCXYUKTVTOPM-UHFFFAOYSA-N -1 1 322.737 1.419 20 0 DDADMM O=C(NC1(Cc2ccc(O)cc2)CC1)c1c[nH]c(=S)[n-]c1=O ZINC001196026876 746765996 /nfs/dbraw/zinc/76/59/96/746765996.db2.gz KQRHMGRGZKSOJP-UHFFFAOYSA-N -1 1 317.370 1.682 20 0 DDADMM Cc1cc2c(cn1)[C@H](NC(=O)c1c[nH]c(=S)[n-]c1=O)CC2 ZINC001196027363 746766004 /nfs/dbraw/zinc/76/60/04/746766004.db2.gz WFCFUQMJRNQPFW-LLVKDONJSA-N -1 1 302.359 1.592 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)c2cc(Cl)ncc2[O-])n1 ZINC001196387496 746851291 /nfs/dbraw/zinc/85/12/91/746851291.db2.gz OVEKPXNLRCCHLQ-UHFFFAOYSA-N -1 1 310.697 1.593 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cncc(-c2ccc(Cl)cc2)n1 ZINC001196613821 746916765 /nfs/dbraw/zinc/91/67/65/746916765.db2.gz GREKCLBCNNXKAJ-UHFFFAOYSA-N -1 1 315.724 1.240 20 0 DDADMM Cc1noc(C(C)C)c1C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001197362629 747137837 /nfs/dbraw/zinc/13/78/37/747137837.db2.gz MZJHQNHHOYYDNW-UHFFFAOYSA-N -1 1 318.293 1.388 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1ccccn1)c1ccccc1 ZINC001197838690 747275067 /nfs/dbraw/zinc/27/50/67/747275067.db2.gz UKAVCNHYDRTLSV-CQSZACIVSA-N -1 1 320.370 1.415 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001003740704 747429390 /nfs/dbraw/zinc/42/93/90/747429390.db2.gz CSFOVSURQVMQTN-GFCCVEGCSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@H]1CCc2c(C(=O)Nc3n[n-]c(C(F)(F)F)n3)n[nH]c21 ZINC001198425152 747489235 /nfs/dbraw/zinc/48/92/35/747489235.db2.gz OQKCWTPKKSOFDA-BYPYZUCNSA-N -1 1 300.244 1.849 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC[C@@H](F)C1 ZINC001003896615 747697522 /nfs/dbraw/zinc/69/75/22/747697522.db2.gz KTOFGTOHFLVUTO-VXGBXAGGSA-N -1 1 321.352 1.114 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cnc(Cl)c(Cl)c1Cl ZINC001199336310 747804739 /nfs/dbraw/zinc/80/47/39/747804739.db2.gz IUTKVDGRZBPOKC-UHFFFAOYSA-N -1 1 307.528 1.485 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cnccc1OC(F)F ZINC001199381784 747824167 /nfs/dbraw/zinc/82/41/67/747824167.db2.gz FGSAUCMGSYKTDT-UHFFFAOYSA-N -1 1 324.220 1.371 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=S)Nc1cc(F)cc(F)c1[O-] ZINC001199845203 748018051 /nfs/dbraw/zinc/01/80/51/748018051.db2.gz USMOATFDNKISQH-ZCFIWIBFSA-N -1 1 304.318 1.908 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-]c2cncnc2C)cc1 ZINC001201112517 748412669 /nfs/dbraw/zinc/41/26/69/748412669.db2.gz VCYORTCEIPLWJW-UHFFFAOYSA-N -1 1 321.358 1.514 20 0 DDADMM C[NH+]1CCN(C(=O)c2ccc(Nc3cnc[nH]c3=O)cc2)CC1 ZINC001201605479 748557874 /nfs/dbraw/zinc/55/78/74/748557874.db2.gz NYZJVWCWAKTHNJ-UHFFFAOYSA-N -1 1 313.361 1.313 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H]1CCOC[C@@H]1F ZINC001201764458 748597175 /nfs/dbraw/zinc/59/71/75/748597175.db2.gz OCKIFAIWBPZBIK-NKWVEPMBSA-N -1 1 314.791 1.510 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1sc(Cl)nc1C)C1CC1 ZINC001201768548 748600154 /nfs/dbraw/zinc/60/01/54/748600154.db2.gz FOFWIOWCUIFDEC-ZETCQYMHSA-N -1 1 324.811 1.335 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1nccc2ccccc21 ZINC001201902984 748634200 /nfs/dbraw/zinc/63/42/00/748634200.db2.gz GOJUOWPOYNZCLR-UHFFFAOYSA-N -1 1 308.359 1.930 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)CC1CC1 ZINC001004667059 748634924 /nfs/dbraw/zinc/63/49/24/748634924.db2.gz GYKBYZDRTGMVMO-CMPLNLGQSA-N -1 1 307.398 1.170 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cccc2cnn(C)c21 ZINC001201912693 748638622 /nfs/dbraw/zinc/63/86/22/748638622.db2.gz KLFRFQNURDKYIV-UHFFFAOYSA-N -1 1 311.363 1.268 20 0 DDADMM COc1ccc(NC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001202385747 748756508 /nfs/dbraw/zinc/75/65/08/748756508.db2.gz AREPGEKCDRXEID-UHFFFAOYSA-N -1 1 305.250 1.938 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cccc(F)c2CO)cc1N ZINC001214616686 749350984 /nfs/dbraw/zinc/35/09/84/749350984.db2.gz HHSQRXGOKRLWRM-UHFFFAOYSA-N -1 1 311.338 1.283 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001035100535 751182551 /nfs/dbraw/zinc/18/25/51/751182551.db2.gz QSJZLVCMOKYIRJ-DGCLKSJQSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H]1CCCN(C(=O)CCC2CC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036524778 752519301 /nfs/dbraw/zinc/51/93/01/752519301.db2.gz ROLNSXUCXLCVOU-YPMHNXCESA-N -1 1 321.425 1.417 20 0 DDADMM CC[C@@H]1CCC[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000999149033 752562991 /nfs/dbraw/zinc/56/29/91/752562991.db2.gz BBYSVWYNMLTMMT-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CCC[C@@]1(C)C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000999171839 752600995 /nfs/dbraw/zinc/60/09/95/752600995.db2.gz UEONUVYZDWCUNF-APPDUMDISA-N -1 1 317.389 1.554 20 0 DDADMM CCC1(C(=O)N2CC([C@@H](C)NC(=O)c3ncccc3[O-])C2)CC1 ZINC001005954653 753415611 /nfs/dbraw/zinc/41/56/11/753415611.db2.gz ZQIUPQJUUJWRJR-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM CSCCC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839260 753759188 /nfs/dbraw/zinc/75/91/88/753759188.db2.gz JUHSTYXJRWHXDQ-UHFFFAOYSA-N -1 1 323.418 1.309 20 0 DDADMM CCc1nocc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010729993 754128087 /nfs/dbraw/zinc/12/80/87/754128087.db2.gz FTBUDFDWNKVLPK-GFCCVEGCSA-N -1 1 316.361 1.342 20 0 DDADMM Cc1cnc(CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)s1 ZINC001010731606 754129225 /nfs/dbraw/zinc/12/92/25/754129225.db2.gz KLWTXHSHXBPEAH-NSHDSACASA-N -1 1 318.402 1.556 20 0 DDADMM CC1(C(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CCC1 ZINC001078699969 754301693 /nfs/dbraw/zinc/30/16/93/754301693.db2.gz DVMLFROUKZIQRS-WDEREUQCSA-N -1 1 319.409 1.218 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C=C1CCC1 ZINC001012466121 755090843 /nfs/dbraw/zinc/09/08/43/755090843.db2.gz ZTQVTQUMSZJFDS-YPMHNXCESA-N -1 1 315.373 1.617 20 0 DDADMM CCC(=O)N[C@]12CCC[C@@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001014104962 755597285 /nfs/dbraw/zinc/59/72/85/755597285.db2.gz QHUWZQISKUQCGW-LRDDRELGSA-N -1 1 303.362 1.451 20 0 DDADMM CCC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C1 ZINC001014497296 755761322 /nfs/dbraw/zinc/76/13/22/755761322.db2.gz NMWGBBAFUMVIOU-AVGNSLFASA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC[C@@H]1CC[C@@H](NC(=O)C2CC2)C1)c1ncccc1[O-] ZINC001015286754 756217710 /nfs/dbraw/zinc/21/77/10/756217710.db2.gz ISALWHKXRALKJM-ZYHUDNBSSA-N -1 1 303.362 1.212 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001001013483 762467845 /nfs/dbraw/zinc/46/78/45/762467845.db2.gz KHWCHRLQLJNEEB-CMPLNLGQSA-N -1 1 307.398 1.027 20 0 DDADMM COc1nc(C)cc(N[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097764872 757510663 /nfs/dbraw/zinc/51/06/63/757510663.db2.gz MEPJWSSKJOGSSL-SNVBAGLBSA-N -1 1 317.349 1.125 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)C(F)F)CN1C(=O)c1ncccc1[O-] ZINC001017880031 758333274 /nfs/dbraw/zinc/33/32/74/758333274.db2.gz RVGYHRVQNIFORD-IUCAKERBSA-N -1 1 313.304 1.162 20 0 DDADMM CC(C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])CC[C@H]1C ZINC001018099281 758545690 /nfs/dbraw/zinc/54/56/90/758545690.db2.gz LGCCCOCPAXZIIO-VXGBXAGGSA-N -1 1 305.378 1.553 20 0 DDADMM CC(C)C(=O)N1CC[C@@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001018511268 758928560 /nfs/dbraw/zinc/92/85/60/758928560.db2.gz AULCXBMLVSEJFO-OLZOCXBDSA-N -1 1 319.405 1.658 20 0 DDADMM Cc1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)ccc1Cl ZINC000827967671 759430767 /nfs/dbraw/zinc/43/07/67/759430767.db2.gz BWOMRNIBXYPITK-LLVKDONJSA-N -1 1 307.741 1.375 20 0 DDADMM Cc1cnc(CN2CC[C@H]2CN(C)C(=O)c2ncccc2[O-])o1 ZINC001085562249 759728934 /nfs/dbraw/zinc/72/89/34/759728934.db2.gz HHFACBSIVJWTBU-LBPRGKRZSA-N -1 1 316.361 1.430 20 0 DDADMM CC1(C)CC[C@@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001019347485 759832449 /nfs/dbraw/zinc/83/24/49/759832449.db2.gz GGHJAIVDEUCOMQ-MNOVXSKESA-N -1 1 307.398 1.027 20 0 DDADMM Cc1ccc([C@H](O)CNC(=O)c2cc(C)cc(C=O)c2[O-])o1 ZINC001137365391 760743841 /nfs/dbraw/zinc/74/38/41/760743841.db2.gz OAIPCHRCAYEEFS-CYBMUJFWSA-N -1 1 303.314 1.878 20 0 DDADMM C[C@@H](c1cnccn1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038193524 760908733 /nfs/dbraw/zinc/90/87/33/760908733.db2.gz KDLVKBJRCZLBDV-RYUDHWBXSA-N -1 1 313.361 1.143 20 0 DDADMM CC1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CCCC1 ZINC001001544563 762948970 /nfs/dbraw/zinc/94/89/70/762948970.db2.gz PCPSUSOHDSEGCL-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM O=C(NC[C@@H]1CN(CCF)CCC1(F)F)c1ncccc1[O-] ZINC001046932751 768129497 /nfs/dbraw/zinc/12/94/97/768129497.db2.gz SNBFVLXAQYWCJG-SNVBAGLBSA-N -1 1 317.311 1.444 20 0 DDADMM CCN1CCOC[C@@H]1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001051054996 764457003 /nfs/dbraw/zinc/45/70/03/764457003.db2.gz BFNZTIOOVGRHFU-LBPRGKRZSA-N -1 1 322.409 1.065 20 0 DDADMM CC1(C)NC(=O)Cc2cc(Nc3[n-]c(=O)nc4nc[nH]c43)ccc21 ZINC001170228158 766202910 /nfs/dbraw/zinc/20/29/10/766202910.db2.gz FAQIORQTMFLWCM-UHFFFAOYSA-N -1 1 324.344 1.710 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cc(F)ncc3C)nc2n1 ZINC001131778572 768363420 /nfs/dbraw/zinc/36/34/20/768363420.db2.gz OLMOWBOKHNGKJZ-UHFFFAOYSA-N -1 1 316.296 1.075 20 0 DDADMM Cc1nccc(NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001068476108 767354450 /nfs/dbraw/zinc/35/44/50/767354450.db2.gz PTWJUQWHNLMZDV-HAQNSBGRSA-N -1 1 313.361 1.506 20 0 DDADMM Cc1nccc(N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001046333653 767432714 /nfs/dbraw/zinc/43/27/14/767432714.db2.gz HNYWKZCVAVCMGD-TXEJJXNPSA-N -1 1 313.361 1.506 20 0 DDADMM O=C(NCCc1nc2ccccc2[nH]1)c1cnc(C2CC2)[n-]c1=O ZINC001135935784 771794453 /nfs/dbraw/zinc/79/44/53/771794453.db2.gz PCTHZNIGVZGOID-UHFFFAOYSA-N -1 1 323.356 1.908 20 0 DDADMM COC(=O)C[C@@H]1CN(Cc2c(F)cc([O-])cc2F)CCO1 ZINC001144555163 772564038 /nfs/dbraw/zinc/56/40/38/772564038.db2.gz XUJVIWMDIXDGLO-SNVBAGLBSA-N -1 1 301.289 1.434 20 0 DDADMM CCOC(=O)c1oc2nccnc2c1[N-]C(=O)c1cc[nH]n1 ZINC001146832098 772960092 /nfs/dbraw/zinc/96/00/92/772960092.db2.gz NSLRTWAPSDFBPM-UHFFFAOYSA-N -1 1 301.262 1.375 20 0 DDADMM CN(Cc1cnc[nH]1)C(=O)c1nn(-c2ccccc2F)cc1[O-] ZINC001147072423 773036599 /nfs/dbraw/zinc/03/65/99/773036599.db2.gz BAWHMPRNLGFXPZ-UHFFFAOYSA-N -1 1 315.308 1.712 20 0 DDADMM Cc1scc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1C ZINC001147536787 773171332 /nfs/dbraw/zinc/17/13/32/773171332.db2.gz YCSGSAAEVRGOOM-UHFFFAOYSA-N -1 1 305.319 1.646 20 0 DDADMM Cc1cccc(-c2noc(SCC(=O)Nc3nnn[n-]3)n2)c1 ZINC001147751593 773232749 /nfs/dbraw/zinc/23/27/49/773232749.db2.gz CJBBBQAWNIZPRP-UHFFFAOYSA-N -1 1 317.334 1.289 20 0 DDADMM Cc1cccc(-c2noc(SCC(=O)Nc3nn[n-]n3)n2)c1 ZINC001147751593 773232753 /nfs/dbraw/zinc/23/27/53/773232753.db2.gz CJBBBQAWNIZPRP-UHFFFAOYSA-N -1 1 317.334 1.289 20 0 DDADMM CC(C)(C)c1ccc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cn1 ZINC001147796406 773248663 /nfs/dbraw/zinc/24/86/63/773248663.db2.gz HNDFOBBSACRFGP-UHFFFAOYSA-N -1 1 303.322 1.415 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N(C)C3(C)COC3)cc2)[n-]1 ZINC001148332823 773438472 /nfs/dbraw/zinc/43/84/72/773438472.db2.gz FLWXKQXYPHWHQH-UHFFFAOYSA-N -1 1 301.346 1.660 20 0 DDADMM COC(C)(CNC(=O)c1ccc(-n2[n-]c(C)cc2=O)cc1)OC ZINC001148341335 773443579 /nfs/dbraw/zinc/44/35/79/773443579.db2.gz YQSLNSNNTGVUHY-UHFFFAOYSA-N -1 1 319.361 1.538 20 0 DDADMM CO[C@@H]1COCC[C@H]1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001148799675 773604208 /nfs/dbraw/zinc/60/42/08/773604208.db2.gz UCJMGATZGFNFCB-ZYHUDNBSSA-N -1 1 319.279 1.945 20 0 DDADMM Cc1ncc(NC(=O)c2cc(S(=O)(=O)[O-])ccc2O)nc1C ZINC001148915184 773633187 /nfs/dbraw/zinc/63/31/87/773633187.db2.gz KLVJSBDANKWQNJ-UHFFFAOYSA-N -1 1 323.330 1.298 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001074863345 774197728 /nfs/dbraw/zinc/19/77/28/774197728.db2.gz VBXRDSWWQDLDAW-QCNOEVLYSA-N -1 1 307.398 1.026 20 0 DDADMM CCC1(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001036814756 774699635 /nfs/dbraw/zinc/69/96/35/774699635.db2.gz SVFBZMVCPJECBH-NWDGAFQWSA-N -1 1 319.409 1.123 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])c1cncc(Cl)n1 ZINC001100501193 776241582 /nfs/dbraw/zinc/24/15/82/776241582.db2.gz ZMCVGTIGFXIUOT-UHFFFAOYSA-N -1 1 321.768 1.487 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])c1nccnc1F ZINC001101126023 776926999 /nfs/dbraw/zinc/92/69/99/776926999.db2.gz ZLCAEAKFXXKNPA-UHFFFAOYSA-N -1 1 319.340 1.363 20 0 DDADMM CN(CCNc1ncc(Cl)cn1)C(=O)c1ncccc1[O-] ZINC001101561241 777250519 /nfs/dbraw/zinc/25/05/19/777250519.db2.gz QWIBLRYRBVCEGA-UHFFFAOYSA-N -1 1 307.741 1.415 20 0 DDADMM CCOC(=O)[C@H]1CC12CCC([NH2+]CCP(=O)([O-])[O-])CC2 ZINC001173603292 777274988 /nfs/dbraw/zinc/27/49/88/777274988.db2.gz ISCDVSWGLJIHQD-QWKFWESOSA-N -1 1 305.311 1.266 20 0 DDADMM CSc1n[nH]c(NC(=O)Cc2ncccc2C)c1C(N)=O ZINC001177453268 778594505 /nfs/dbraw/zinc/59/45/05/778594505.db2.gz QIAWESAYYRXXNS-UHFFFAOYSA-N -1 1 305.363 1.115 20 0 DDADMM COC(=O)c1cc2cccnc2c(NC(=O)c2cnncc2[O-])c1 ZINC001178943988 779234910 /nfs/dbraw/zinc/23/49/10/779234910.db2.gz KJVJJYLZPUDPJH-UHFFFAOYSA-N -1 1 324.296 1.769 20 0 DDADMM CN(C)c1nc(NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)c(N=O)c(=O)[n-]1 ZINC001179554902 779416698 /nfs/dbraw/zinc/41/66/98/779416698.db2.gz QHNGPGHSHLFGAT-PRJMDXOYSA-N -1 1 307.310 1.152 20 0 DDADMM NC(=O)CCc1ccc(NC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC001117410694 780767061 /nfs/dbraw/zinc/76/70/61/780767061.db2.gz KCIOAEDJDOALRA-UHFFFAOYSA-N -1 1 312.325 1.632 20 0 DDADMM O=C(NC/C=C/C1CC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001119093817 781319045 /nfs/dbraw/zinc/31/90/45/781319045.db2.gz PKCNEHRAEYKQFC-OWOJBTEDSA-N -1 1 304.350 1.020 20 0 DDADMM O=C(NCCC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1)c1ccc[nH]1 ZINC001267162177 837442609 /nfs/dbraw/zinc/44/26/09/837442609.db2.gz UNIKXGVEORBBMG-LLVKDONJSA-N -1 1 318.381 1.013 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)N(C)CCNC(=O)c2ncccc2[O-])C1 ZINC001408554147 837234725 /nfs/dbraw/zinc/23/47/25/837234725.db2.gz CYXMLWSVAQOOEG-NWDGAFQWSA-N -1 1 305.378 1.412 20 0 DDADMM O=C([O-])c1cc(Cl)ccc1CN1CCC2(CNC(=O)N2)CC1 ZINC001142669814 861221501 /nfs/dbraw/zinc/22/15/01/861221501.db2.gz XRODBVGBGQGDNE-UHFFFAOYSA-N -1 1 323.780 1.686 20 0 DDADMM NC(=O)c1[nH]nc2c1CN(C(=O)c1ccc3ccccc3c1[O-])C2 ZINC001269595416 841803514 /nfs/dbraw/zinc/80/35/14/841803514.db2.gz REXDIIHKZHSSTD-UHFFFAOYSA-N -1 1 322.324 1.523 20 0 DDADMM CC(C)(C)/C=C/C(=O)N[C@](C)(CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001269607005 841818708 /nfs/dbraw/zinc/81/87/08/841818708.db2.gz VJVMYJLZHURRLH-KXPUMZMLSA-N -1 1 321.425 1.487 20 0 DDADMM CCC1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1 ZINC001414221814 843607825 /nfs/dbraw/zinc/60/78/25/843607825.db2.gz UCRUOPJDMCQKJT-UHFFFAOYSA-N -1 1 301.368 1.007 20 0 DDADMM CCC1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1 ZINC001414221814 843607827 /nfs/dbraw/zinc/60/78/27/843607827.db2.gz UCRUOPJDMCQKJT-UHFFFAOYSA-N -1 1 301.368 1.007 20 0 DDADMM COc1cc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)cc2[nH]ccc21 ZINC001154815877 861478744 /nfs/dbraw/zinc/47/87/44/861478744.db2.gz CUHVBPSLIQCFPF-UHFFFAOYSA-N -1 1 322.328 1.777 20 0 DDADMM CN1CC[C@]2(CCCN2C(=O)c2cc([O-])cc(F)c2F)C1=O ZINC001272249848 844895704 /nfs/dbraw/zinc/89/57/04/844895704.db2.gz NNVYRRQXWKDNJA-OAHLLOKOSA-N -1 1 310.300 1.507 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)c(C)s1 ZINC001409407649 845055466 /nfs/dbraw/zinc/05/54/66/845055466.db2.gz WEQORPNHIZHHSM-IUCAKERBSA-N -1 1 323.422 1.485 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1csnc1OC)[C@H]1CCCOC1 ZINC001365457036 846255150 /nfs/dbraw/zinc/25/51/50/846255150.db2.gz CGKVEHJKEXUQNH-UWVGGRQHSA-N -1 1 320.436 1.635 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc4cccnc4nc3C)c1-2 ZINC001149546224 861700909 /nfs/dbraw/zinc/70/09/09/861700909.db2.gz QDBSGMNQOQYNMI-UHFFFAOYSA-N -1 1 319.328 1.539 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1c[nH]c2cc(=O)ccc-2c1 ZINC001155168271 861793166 /nfs/dbraw/zinc/79/31/66/861793166.db2.gz BKURNQCZCIVYIY-UHFFFAOYSA-N -1 1 322.284 1.565 20 0 DDADMM COc1nn(C)cc1NC(=O)c1nc2ccc(Cl)nc2[n-]1 ZINC001155171869 861800585 /nfs/dbraw/zinc/80/05/85/861800585.db2.gz ZGASLEOJHZTFDZ-UHFFFAOYSA-N -1 1 306.713 1.606 20 0 DDADMM CCCCCC[C@H](O)CCC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001301575782 847948309 /nfs/dbraw/zinc/94/83/09/847948309.db2.gz JNUSOCMSTOQJJK-NSHDSACASA-N -1 1 311.382 1.616 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@]2(CC(C)C)CCNC2=O)n1 ZINC001128946500 848471507 /nfs/dbraw/zinc/47/15/07/848471507.db2.gz RNSZULVICZNFPN-HNNXBMFYSA-N -1 1 322.365 1.077 20 0 DDADMM CC1(C)CN(C(=O)c2[n-][nH]c3cc(=O)ccc2-3)[C@](C)(CO)C1 ZINC001155261690 861907183 /nfs/dbraw/zinc/90/71/83/861907183.db2.gz WTSLQUUUAYTLMD-INIZCTEOSA-N -1 1 303.362 1.892 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1C[C@@H]2COC[C@H](C1)C2(F)F ZINC001155266361 861911472 /nfs/dbraw/zinc/91/14/72/861911472.db2.gz DIPDFMJKKXMCSQ-DTORHVGOSA-N -1 1 323.299 1.622 20 0 DDADMM CCOc1cccc(CNC(=O)c2[n-][nH]c3cc(=O)ccc2-3)n1 ZINC001155273839 861923531 /nfs/dbraw/zinc/92/35/31/861923531.db2.gz ZBVPXMYGKODDNE-UHFFFAOYSA-N -1 1 312.329 1.992 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1CCC[C@H](C)O1 ZINC001434589152 849432501 /nfs/dbraw/zinc/43/25/01/849432501.db2.gz QKJQPPYDMGZTDM-IUCAKERBSA-N -1 1 306.409 1.388 20 0 DDADMM CN1C[C@@]2(CCN(Cc3ccc(F)c([O-])c3F)C2)OCC1=O ZINC001274793539 852609548 /nfs/dbraw/zinc/60/95/48/852609548.db2.gz BXHUXQZTBCKOJO-OAHLLOKOSA-N -1 1 312.316 1.104 20 0 DDADMM O=C(C=Cc1ccnc(Cl)c1)NC1(c2nn[n-]n2)CCC1 ZINC001303222801 852742760 /nfs/dbraw/zinc/74/27/60/852742760.db2.gz ZXLIGJXYVYDGFX-NSCUHMNNSA-N -1 1 304.741 1.457 20 0 DDADMM CSc1nc(NC(=O)c2cc3ncccn3n2)cc(=O)[n-]1 ZINC001150678105 862410279 /nfs/dbraw/zinc/41/02/79/862410279.db2.gz OBNSFEADQQSSRE-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ccc(F)cn2)[n-]1 ZINC001411533632 853317586 /nfs/dbraw/zinc/31/75/86/853317586.db2.gz CPYJTJIIQXDASW-UHFFFAOYSA-N -1 1 305.265 1.790 20 0 DDADMM COCc1nc2n(n1)C[C@@H](NC(=O)c1ccc(F)c([O-])c1)CC2 ZINC001411887805 853926420 /nfs/dbraw/zinc/92/64/20/853926420.db2.gz ODRZDCZAOCEYSV-JTQLQIEISA-N -1 1 320.324 1.014 20 0 DDADMM C[C@@H](CN(C)C(=O)C(C)(C)C1CCC(O)CC1)c1nn[n-]n1 ZINC001411990411 854079902 /nfs/dbraw/zinc/07/99/02/854079902.db2.gz MDHLDZCUWQXMHN-UNXYVOJBSA-N -1 1 309.414 1.339 20 0 DDADMM Cc1nccc(N2CCN(C(=O)c3ccc(F)c([O-])c3)CC2)n1 ZINC001412002728 854095007 /nfs/dbraw/zinc/09/50/07/854095007.db2.gz NSIOJSLPEIOCAA-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM CC(C)(C)OC(=O)c1cc(NC(=O)c2ccc[nH]c2=O)n[nH]1 ZINC001412270727 854397750 /nfs/dbraw/zinc/39/77/50/854397750.db2.gz QBESOIKGRCWSNQ-UHFFFAOYSA-N -1 1 304.306 1.718 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2nccc(C)n2)[n-]1 ZINC001412276910 854400422 /nfs/dbraw/zinc/40/04/22/854400422.db2.gz HJGWRPQURPJMSK-UHFFFAOYSA-N -1 1 302.290 1.355 20 0 DDADMM CCOC(=O)c1nc(NC(=O)CC2(COC)CC2)[n-]c1Cl ZINC001412299175 854420772 /nfs/dbraw/zinc/42/07/72/854420772.db2.gz DDDZOPHPVHRGFO-UHFFFAOYSA-N -1 1 315.757 1.995 20 0 DDADMM CCS(=O)(=O)C1(CNC(=O)c2cccc([O-])c2F)CC1 ZINC001412367058 854479578 /nfs/dbraw/zinc/47/95/78/854479578.db2.gz RQGOGWDFCWYCLZ-UHFFFAOYSA-N -1 1 301.339 1.228 20 0 DDADMM CCc1nnc(C(=O)[N-]c2ccccc2SCC(N)=O)s1 ZINC001412375809 854486259 /nfs/dbraw/zinc/48/62/59/854486259.db2.gz RGFXSWCIOQRERY-UHFFFAOYSA-N -1 1 322.415 1.930 20 0 DDADMM COc1cccc([C@@H]2CN(C(=O)c3ccc([O-])cn3)CCN2)c1 ZINC001412431861 854543860 /nfs/dbraw/zinc/54/38/60/854543860.db2.gz ZWCBNZVXDVDMQS-INIZCTEOSA-N -1 1 313.357 1.583 20 0 DDADMM O=C(CNC(=O)c1ccc(F)c([O-])c1)N1CCc2ccccc21 ZINC001412489778 854617655 /nfs/dbraw/zinc/61/76/55/854617655.db2.gz ZQRRCPBSBQEPDU-UHFFFAOYSA-N -1 1 314.316 1.850 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc(O)cc2[nH]ccc21 ZINC001155956883 862591561 /nfs/dbraw/zinc/59/15/61/862591561.db2.gz NHGPPXJZMVGRCM-UHFFFAOYSA-N -1 1 315.245 1.725 20 0 DDADMM COC[C@@H](NC(=O)[C@@H]1CCSc2ccccc21)c1nn[n-]n1 ZINC001412598766 854786347 /nfs/dbraw/zinc/78/63/47/854786347.db2.gz BPLFYMDFIMHERN-GHMZBOCLSA-N -1 1 319.390 1.283 20 0 DDADMM Cc1ccc(NS(C)(=O)=O)c(NC(=O)c2cnncc2[O-])c1 ZINC001412602101 854794083 /nfs/dbraw/zinc/79/40/83/854794083.db2.gz ZYHGDNBZNCVCQJ-UHFFFAOYSA-N -1 1 322.346 1.114 20 0 DDADMM CCCOc1cccc(CC(=O)N[C@@H](COC)c2nn[n-]n2)c1 ZINC001412606174 854801455 /nfs/dbraw/zinc/80/14/55/854801455.db2.gz MIFPMJBUBGQPAI-ZDUSSCGKSA-N -1 1 319.365 1.035 20 0 DDADMM O=C(c1cc([O-])cc(F)c1)N1CC[C@@H]2C[C@@]21C(=O)N1CC=CC1 ZINC001276187682 854931035 /nfs/dbraw/zinc/93/10/35/854931035.db2.gz JQRIKBXDIUAOFG-PXAZEXFGSA-N -1 1 316.332 1.534 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cnc(Cl)cc1Cl)[C@@H](C)O ZINC001328000508 862624271 /nfs/dbraw/zinc/62/42/71/862624271.db2.gz LRFQBJJFISHOPO-SVRRBLITSA-N -1 1 313.206 1.826 20 0 DDADMM CCc1noc([C@H]2CC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001412749886 855101998 /nfs/dbraw/zinc/10/19/98/855101998.db2.gz MZPUPKYQEHOBIW-VHSXEESVSA-N -1 1 302.334 1.799 20 0 DDADMM CC(C)(C)OC(=O)N[C@H](CC1CC1)C(=O)Nc1c[n-][nH]c1=O ZINC001412860798 855732783 /nfs/dbraw/zinc/73/27/83/855732783.db2.gz WPALDSOLCSBREV-SECBINFHSA-N -1 1 310.354 1.747 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)Nc2cc(C(F)F)ncn2)n[n-]1 ZINC001412901230 855771439 /nfs/dbraw/zinc/77/14/39/855771439.db2.gz SCJIKEIKYJPLPQ-ZCFIWIBFSA-N -1 1 312.280 1.882 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)Nc2cc(C(F)F)ncn2)[n-]1 ZINC001412901230 855771447 /nfs/dbraw/zinc/77/14/47/855771447.db2.gz SCJIKEIKYJPLPQ-ZCFIWIBFSA-N -1 1 312.280 1.882 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)Nc2cc(C(F)F)ncn2)n1 ZINC001412901230 855771450 /nfs/dbraw/zinc/77/14/50/855771450.db2.gz SCJIKEIKYJPLPQ-ZCFIWIBFSA-N -1 1 312.280 1.882 20 0 DDADMM CCC(CC)(NC(=O)c1cnc(NC2CC2)s1)c1nn[n-]n1 ZINC001412934315 855798447 /nfs/dbraw/zinc/79/84/47/855798447.db2.gz IEVMHDBMONDWLU-UHFFFAOYSA-N -1 1 321.410 1.676 20 0 DDADMM COC(=O)c1cc(C(C)(C)NC(=O)c2ccc(F)c([O-])c2)no1 ZINC001413037350 856012979 /nfs/dbraw/zinc/01/29/79/856012979.db2.gz JSDZAJQVTHTHMF-UHFFFAOYSA-N -1 1 322.292 1.971 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCO[C@@H]([C@@H](C)O)C1 ZINC001413100489 856430604 /nfs/dbraw/zinc/43/06/04/856430604.db2.gz HKAPRFRMEWPIPC-GHMZBOCLSA-N -1 1 323.393 1.010 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NCc2csnn2)n[n-]1 ZINC001413220975 856561839 /nfs/dbraw/zinc/56/18/39/856561839.db2.gz CABDMFRBXDEGCS-JTQLQIEISA-N -1 1 324.410 1.710 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NCc2csnn2)[n-]1 ZINC001413220975 856561845 /nfs/dbraw/zinc/56/18/45/856561845.db2.gz CABDMFRBXDEGCS-JTQLQIEISA-N -1 1 324.410 1.710 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NCc2csnn2)n1 ZINC001413220975 856561851 /nfs/dbraw/zinc/56/18/51/856561851.db2.gz CABDMFRBXDEGCS-JTQLQIEISA-N -1 1 324.410 1.710 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2C[C@](C)(OC)C2(C)C)sn1 ZINC001413292200 856614739 /nfs/dbraw/zinc/61/47/39/856614739.db2.gz CVURTSRDMRSFPD-PELKAZGASA-N -1 1 320.436 1.634 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C1(C)Cc2ccccc2C1 ZINC001413325028 856660332 /nfs/dbraw/zinc/66/03/32/856660332.db2.gz ZWCUCMKNWIDASL-UHFFFAOYSA-N -1 1 324.427 1.988 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@@H]2c2ccccc2)[n-]n1 ZINC001413345475 856694647 /nfs/dbraw/zinc/69/46/47/856694647.db2.gz BZKHBEGTTJPDSR-MNOVXSKESA-N -1 1 321.358 1.031 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@@H]2c2ccccc2)n[n-]1 ZINC001413345475 856694651 /nfs/dbraw/zinc/69/46/51/856694651.db2.gz BZKHBEGTTJPDSR-MNOVXSKESA-N -1 1 321.358 1.031 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)[C@H]1CCC[C@H]1OC)C(C)(C)C ZINC001413376010 856729279 /nfs/dbraw/zinc/72/92/79/856729279.db2.gz NRPICMIKXDPXDD-GRYCIOLGSA-N -1 1 321.439 1.451 20 0 DDADMM CC[C@H](C)n1nccc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001413501901 856896496 /nfs/dbraw/zinc/89/64/96/856896496.db2.gz GYWUTCYGWWDIRL-NSHDSACASA-N -1 1 305.386 1.666 20 0 DDADMM CC[C@@H]1COCCN1CCNC(=O)c1cc(Cl)ccc1[O-] ZINC001413529893 856937328 /nfs/dbraw/zinc/93/73/28/856937328.db2.gz JROMLHZFGONTAQ-GFCCVEGCSA-N -1 1 312.797 1.886 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Nc2ccnnc2)[n-]c1=O ZINC001413581498 857167362 /nfs/dbraw/zinc/16/73/62/857167362.db2.gz HXURDELOTDUSDN-JTQLQIEISA-N -1 1 316.321 1.350 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)C[C@@H](C)c2cnn(C)c2)n[nH]1 ZINC001413670109 857310243 /nfs/dbraw/zinc/31/02/43/857310243.db2.gz FEPFNOKINBGUNO-SNVBAGLBSA-N -1 1 319.365 1.841 20 0 DDADMM C[C@H](NC(=O)c1ccc(CN(C)C)nc1)c1nn(C)cc1[O-] ZINC001413766416 858501013 /nfs/dbraw/zinc/50/10/13/858501013.db2.gz SBBLIKRSSLFQRQ-JTQLQIEISA-N -1 1 303.366 1.073 20 0 DDADMM O=C([O-])[C@]1(C(=O)NC(C2CCCC2)C2CCCC2)CNCCO1 ZINC001122034641 858668522 /nfs/dbraw/zinc/66/85/22/858668522.db2.gz MGNLWQBTLHUTHZ-QGZVFWFLSA-N -1 1 324.421 1.295 20 0 DDADMM Nc1nc2nc(CN[C@@H](c3cccs3)C3CC3)cc(=O)n2[n-]1 ZINC001328555879 863062151 /nfs/dbraw/zinc/06/21/51/863062151.db2.gz BVAAZKWASYNZRZ-GFCCVEGCSA-N -1 1 316.390 1.302 20 0 DDADMM COCCOc1ccc(C(=O)OCc2nn[n-]n2)c(Cl)c1 ZINC001123018465 859056209 /nfs/dbraw/zinc/05/62/09/859056209.db2.gz HHSBWRVPOMGRQP-UHFFFAOYSA-N -1 1 312.713 1.235 20 0 DDADMM Cc1cc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])cc(C)n1 ZINC001137775165 859975449 /nfs/dbraw/zinc/97/54/49/859975449.db2.gz RQKJIXRVRFJTGZ-UHFFFAOYSA-N -1 1 321.358 1.304 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2C[C@@H](C1)N2Cc1ncccc1[O-] ZINC001138390814 860083763 /nfs/dbraw/zinc/08/37/63/860083763.db2.gz JSZKMTOQDZABMG-TXEJJXNPSA-N -1 1 305.378 1.981 20 0 DDADMM O=C(c1cc([O-])c(Br)c(O)c1)N1CCC(O)CC1 ZINC001161183669 860223275 /nfs/dbraw/zinc/22/32/75/860223275.db2.gz MSQNRPHLXABTHU-UHFFFAOYSA-N -1 1 316.151 1.457 20 0 DDADMM COc1nc2ccc(NC(=O)CCc3nn[n-]n3)cc2s1 ZINC001139213639 860318722 /nfs/dbraw/zinc/31/87/22/860318722.db2.gz ADPYPYYAIHDLDZ-UHFFFAOYSA-N -1 1 304.335 1.389 20 0 DDADMM Cc1[nH]c(CN2CC[C@H](Oc3ncccn3)C2)c(C)c1C(=O)[O-] ZINC001140498092 860645072 /nfs/dbraw/zinc/64/50/72/860645072.db2.gz DIMCMEQHWQPGNJ-LBPRGKRZSA-N -1 1 316.361 1.773 20 0 DDADMM CN1CCc2c1cccc2C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001154156922 860824990 /nfs/dbraw/zinc/82/49/90/860824990.db2.gz KJDBRVGWHBCZNC-UHFFFAOYSA-N -1 1 310.317 1.303 20 0 DDADMM COC(=O)[C@H]1C[C@@H](C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)C1 ZINC001361405231 881585387 /nfs/dbraw/zinc/58/53/87/881585387.db2.gz YEQGMTZWHYSMEL-DTORHVGOSA-N -1 1 305.334 1.199 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OC(C)(C)C ZINC000401798358 860900841 /nfs/dbraw/zinc/90/08/41/860900841.db2.gz RBWARLZBLGYSHL-QMMMGPOBSA-N -1 1 304.343 1.229 20 0 DDADMM Cn1cnc2cccc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)c21 ZINC001361423962 881624861 /nfs/dbraw/zinc/62/48/61/881624861.db2.gz USHXMGCSSPJCKQ-UHFFFAOYSA-N -1 1 306.329 1.481 20 0 DDADMM Cn1ncc(C(F)(F)F)c1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001361430723 881637767 /nfs/dbraw/zinc/63/77/67/881637767.db2.gz TZNUINCHWMYMJJ-UHFFFAOYSA-N -1 1 324.266 1.346 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCC2(COC2)C1 ZINC001152671692 863486321 /nfs/dbraw/zinc/48/63/21/863486321.db2.gz RBTDCAVRSWVTBC-UHFFFAOYSA-N -1 1 300.314 1.509 20 0 DDADMM COc1nccnc1NC1([P@](=O)([O-])O)Cc2ccccc2C1 ZINC001157157407 863619319 /nfs/dbraw/zinc/61/93/19/863619319.db2.gz WAWRTCVUZUDZOS-UHFFFAOYSA-N -1 1 321.273 1.570 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3c(c2)OCC3)n1 ZINC001153351729 863845699 /nfs/dbraw/zinc/84/56/99/863845699.db2.gz RKWXWAVYIGUWJK-UHFFFAOYSA-N -1 1 301.302 1.774 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccnc4ccc(F)cc43)c1-2 ZINC001153709290 864031261 /nfs/dbraw/zinc/03/12/61/864031261.db2.gz USAXPFHOCCIYSD-UHFFFAOYSA-N -1 1 322.303 1.974 20 0 DDADMM CCc1n[nH]c(Cl)c1C(=O)[N-]N1C(=O)c2ccccc2C1=O ZINC001153753993 864065825 /nfs/dbraw/zinc/06/58/25/864065825.db2.gz QRQPWJTXMBUUDK-UHFFFAOYSA-N -1 1 318.720 1.567 20 0 DDADMM CC(=O)Nc1c(C)ccnc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001159190171 865155768 /nfs/dbraw/zinc/15/57/68/865155768.db2.gz TYORMTYIYFRFGZ-UHFFFAOYSA-N -1 1 315.293 1.121 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nnc(Cl)c3ccoc32)[n-]1 ZINC001159198336 865162428 /nfs/dbraw/zinc/16/24/28/865162428.db2.gz LQYBVRPQUZXHIQ-UHFFFAOYSA-N -1 1 303.669 1.992 20 0 DDADMM CN(C(=O)c1cnn(-c2ccccc2)c1C1CC1)c1nn[n-]n1 ZINC001331922186 865434196 /nfs/dbraw/zinc/43/41/96/865434196.db2.gz NYHRKQOYATZEQA-UHFFFAOYSA-N -1 1 309.333 1.539 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCc1nc2ccccc2c(=O)[nH]1 ZINC001160088598 865673622 /nfs/dbraw/zinc/67/36/22/865673622.db2.gz IZYZXIGMLMAURP-UHFFFAOYSA-N -1 1 313.317 1.644 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(CN2CC3(CC3)CC2=O)C1 ZINC001332325798 865761013 /nfs/dbraw/zinc/76/10/13/865761013.db2.gz JMJSYIVHYXQCOG-GFCCVEGCSA-N -1 1 319.327 1.099 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CC(C)(C)CO3)nc2n1 ZINC001361571789 881920020 /nfs/dbraw/zinc/92/00/20/881920020.db2.gz GHOFKKNDOFJFEL-SNVBAGLBSA-N -1 1 319.365 1.124 20 0 DDADMM Cc1nc(C(=O)Nc2c[n-][nH]c2=O)nn1-c1ccc(F)cc1 ZINC001161384377 866611803 /nfs/dbraw/zinc/61/18/03/866611803.db2.gz MFAMTPTVGRPHBJ-UHFFFAOYSA-N -1 1 302.269 1.396 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(Cc2cccc(O)c2)CC1 ZINC001361611086 882000259 /nfs/dbraw/zinc/00/02/59/882000259.db2.gz QMHCEBBKXYDMJD-UHFFFAOYSA-N -1 1 313.357 1.451 20 0 DDADMM COC[C@@H](C)C[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001320585738 866857842 /nfs/dbraw/zinc/85/78/42/866857842.db2.gz NALLQPIAHFGNDS-ZETCQYMHSA-N -1 1 313.206 1.949 20 0 DDADMM O=C([O-])[C@H](Cn1cc(Cl)cn1)NCc1cccnc1Cl ZINC001320749340 866998701 /nfs/dbraw/zinc/99/87/01/866998701.db2.gz XRXSCGVJQMKQOO-JTQLQIEISA-N -1 1 315.160 1.828 20 0 DDADMM Cc1cnc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)o1 ZINC001333973065 867202974 /nfs/dbraw/zinc/20/29/74/867202974.db2.gz RJLTVEDIBJYXPY-UHFFFAOYSA-N -1 1 301.262 1.293 20 0 DDADMM Cc1cnc(NC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[n-]2)o1 ZINC001333973065 867202992 /nfs/dbraw/zinc/20/29/92/867202992.db2.gz RJLTVEDIBJYXPY-UHFFFAOYSA-N -1 1 301.262 1.293 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@@H]1CCC[C@H](C(=O)OC)C1 ZINC001225898418 882097808 /nfs/dbraw/zinc/09/78/08/882097808.db2.gz AHCCXNSIAIPRMV-VHSXEESVSA-N -1 1 324.333 1.470 20 0 DDADMM CCOC(=O)c1c(CN)ncn1-c1ncc(Cl)cc1C(=O)[O-] ZINC001162533040 867613404 /nfs/dbraw/zinc/61/34/04/867613404.db2.gz MLWSUWKYFROMQQ-UHFFFAOYSA-N -1 1 324.724 1.254 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@](O)(CC(=O)[N-]OCC(F)F)C1 ZINC001335354816 868244830 /nfs/dbraw/zinc/24/48/30/868244830.db2.gz BIHGDBXNFRDXGP-ZDUSSCGKSA-N -1 1 324.324 1.061 20 0 DDADMM CCOc1ccc(NC(=O)CCCc2nn[n-]n2)cc1OC ZINC001361713653 882214367 /nfs/dbraw/zinc/21/43/67/882214367.db2.gz WGCHWONCKHVZNY-UHFFFAOYSA-N -1 1 305.338 1.568 20 0 DDADMM C[C@H](c1ccccn1)N1CCC[C@H](Oc2nncc(=O)[n-]2)C1 ZINC001226168748 882245003 /nfs/dbraw/zinc/24/50/03/882245003.db2.gz AUEPOEXYDCQANQ-NEPJUHHUSA-N -1 1 301.350 1.577 20 0 DDADMM Cc1nc2c(ncc(NCC[N-]C(=O)C(F)(F)F)c2C)[nH]1 ZINC001164251608 869060734 /nfs/dbraw/zinc/06/07/34/869060734.db2.gz ZQUMFIBAVUPBKN-UHFFFAOYSA-N -1 1 301.272 1.665 20 0 DDADMM O=c1ccnc(O[C@H]2COCCN(Cc3ccccc3)C2)[n-]1 ZINC001226327307 882354169 /nfs/dbraw/zinc/35/41/69/882354169.db2.gz UWNPWYFLOBAYKT-CQSZACIVSA-N -1 1 301.346 1.462 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361781076 882362381 /nfs/dbraw/zinc/36/23/81/882362381.db2.gz DXSLRSWSNAUBOY-YUMQZZPRSA-N -1 1 307.276 1.078 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1ccc([O-])cn1)c1ccc(C)cc1 ZINC001361808048 882412709 /nfs/dbraw/zinc/41/27/09/882412709.db2.gz JUXNKZOKXAQFJF-CQSZACIVSA-N -1 1 314.341 1.782 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001298357565 870498406 /nfs/dbraw/zinc/49/84/06/870498406.db2.gz WVTZCMKSOIHPTK-FQVTYBBZSA-N -1 1 303.362 1.236 20 0 DDADMM COc1cnc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c(F)c1 ZINC001361809695 882414625 /nfs/dbraw/zinc/41/46/25/882414625.db2.gz OKMDWJWHZMAHEJ-UHFFFAOYSA-N -1 1 301.281 1.137 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CC=C(C(C)(C)C)CC1 ZINC001339731821 870660201 /nfs/dbraw/zinc/66/02/01/870660201.db2.gz HDDUWHKFSHEDCN-UHFFFAOYSA-N -1 1 302.386 1.102 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CC=C(C(C)(C)C)CC1 ZINC001339731821 870660208 /nfs/dbraw/zinc/66/02/08/870660208.db2.gz HDDUWHKFSHEDCN-UHFFFAOYSA-N -1 1 302.386 1.102 20 0 DDADMM CSc1nc(CNC(=O)c2cc(F)ncc2C)cc(=O)[n-]1 ZINC001299999414 870951205 /nfs/dbraw/zinc/95/12/05/870951205.db2.gz WOMFYGLPCMKUET-UHFFFAOYSA-N -1 1 308.338 1.677 20 0 DDADMM O=C(CCc1nc2ccccc2[nH]1)NC1(c2nn[n-]n2)CCC1 ZINC001303220914 871111189 /nfs/dbraw/zinc/11/11/89/871111189.db2.gz CEYFJJXNGNWDJP-UHFFFAOYSA-N -1 1 311.349 1.204 20 0 DDADMM CC=CC=CC(=O)N1CCC[C@@H]1c1nn[n-]c1C(=O)OCC ZINC001303490347 871126983 /nfs/dbraw/zinc/12/69/83/871126983.db2.gz BZTYJBSBWADQSL-BANSQEKZSA-N -1 1 304.350 1.777 20 0 DDADMM CC=CC=CC(=O)N1CCC[C@@H]1c1[n-]nnc1C(=O)OCC ZINC001303490347 871126994 /nfs/dbraw/zinc/12/69/94/871126994.db2.gz BZTYJBSBWADQSL-BANSQEKZSA-N -1 1 304.350 1.777 20 0 DDADMM CC=CC=CC(=O)N1CCC[C@@H]1c1n[n-]nc1C(=O)OCC ZINC001303490347 871127009 /nfs/dbraw/zinc/12/70/09/871127009.db2.gz BZTYJBSBWADQSL-BANSQEKZSA-N -1 1 304.350 1.777 20 0 DDADMM CN(C[C@H](O)c1cccnc1)C(=O)C(=O)c1ccc([O-])cc1 ZINC001304815128 871245876 /nfs/dbraw/zinc/24/58/76/871245876.db2.gz XVHSCOVERPNLEK-AWEZNQCLSA-N -1 1 300.314 1.162 20 0 DDADMM CC(C)C[C@H](NC(=O)OC(C)(C)C)C(=O)Nc1c[n-][nH]c1=O ZINC001413842996 871754824 /nfs/dbraw/zinc/75/48/24/871754824.db2.gz FIZZQUQULNUNGE-VIFPVBQESA-N -1 1 312.370 1.993 20 0 DDADMM CCCCC(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001381723230 882547507 /nfs/dbraw/zinc/54/75/07/882547507.db2.gz FTTQCODQSBUOPA-UHFFFAOYSA-N -1 1 309.414 1.609 20 0 DDADMM O=C(Nc1ccc(CN2CCOC2=O)cc1)c1ccc([O-])cn1 ZINC001361876598 882554556 /nfs/dbraw/zinc/55/45/56/882554556.db2.gz COTRALKBTQQXDZ-UHFFFAOYSA-N -1 1 313.313 1.992 20 0 DDADMM CC1(C)CCCN1c1nnc(-c2nnn[n-]2)n1Cc1ccccc1 ZINC001342190708 872060859 /nfs/dbraw/zinc/06/08/59/872060859.db2.gz UYAIGECKXWLINM-UHFFFAOYSA-N -1 1 324.392 1.885 20 0 DDADMM CC1(C)CCCN1c1nnc(-c2nn[n-]n2)n1Cc1ccccc1 ZINC001342190708 872060881 /nfs/dbraw/zinc/06/08/81/872060881.db2.gz UYAIGECKXWLINM-UHFFFAOYSA-N -1 1 324.392 1.885 20 0 DDADMM O=c1cc(O[C@@H]2CC3CCC2CC3)nc(N2CCOCC2)[n-]1 ZINC001226722560 882609759 /nfs/dbraw/zinc/60/97/59/882609759.db2.gz NCKQDCIZQGLZFK-WXRRBKDZSA-N -1 1 305.378 1.976 20 0 DDADMM CC[C@H]1OC(=O)C(Oc2cc(=O)[n-]c(N3CCOCC3)n2)=C1C ZINC001226722543 882610236 /nfs/dbraw/zinc/61/02/36/882610236.db2.gz MXHMVMQABJGCQO-SNVBAGLBSA-N -1 1 321.333 1.007 20 0 DDADMM CS(=O)(=O)NCc1ccc(NC(=O)c2ccc([O-])cn2)cc1 ZINC001361912645 882628730 /nfs/dbraw/zinc/62/87/30/882628730.db2.gz IDUXQYSXSITNIJ-UHFFFAOYSA-N -1 1 321.358 1.089 20 0 DDADMM CSCCO[N-]C(=O)[C@H]1CCCCN1Cc1ccncc1 ZINC001344406752 872998916 /nfs/dbraw/zinc/99/89/16/872998916.db2.gz FZWAIKDYLOMUKZ-CQSZACIVSA-N -1 1 309.435 1.847 20 0 DDADMM O=C(Cn1cc(NC(=O)c2cccc([O-])c2F)cn1)NC1CC1 ZINC001361942324 882682923 /nfs/dbraw/zinc/68/29/23/882682923.db2.gz ZILSWXDSRHPPMT-UHFFFAOYSA-N -1 1 318.308 1.259 20 0 DDADMM CC(=O)Nc1ccc(Cl)c(NC(=O)CCc2nn[n-]n2)c1 ZINC001361962556 882718669 /nfs/dbraw/zinc/71/86/69/882718669.db2.gz KHGMMESIGOAKNA-UHFFFAOYSA-N -1 1 308.729 1.383 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H](O)c1ccsc1 ZINC001346420404 873744895 /nfs/dbraw/zinc/74/48/95/873744895.db2.gz FLFWZCNWCVGEMQ-MRVPVSSYSA-N -1 1 311.388 1.304 20 0 DDADMM C[C@@H]1C[C@H](C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CO1 ZINC001361981124 882755081 /nfs/dbraw/zinc/75/50/81/882755081.db2.gz KUQJIIFZPSIVQK-ZJUUUORDSA-N -1 1 303.322 1.142 20 0 DDADMM O=C(Cc1ccc2cnccc2c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347196243 874050580 /nfs/dbraw/zinc/05/05/80/874050580.db2.gz DHDKCUJACYGIQG-CQSZACIVSA-N -1 1 308.345 1.307 20 0 DDADMM COC(CNC(=O)c1c([O-])cccc1F)(OC)c1ccccn1 ZINC001347385096 874119464 /nfs/dbraw/zinc/11/94/64/874119464.db2.gz KPZIMYNHUPRJJP-UHFFFAOYSA-N -1 1 320.320 1.802 20 0 DDADMM O=C(Cc1cccc2ccccc21)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347973917 874325295 /nfs/dbraw/zinc/32/52/95/874325295.db2.gz HAPDXUGDRBDINO-CQSZACIVSA-N -1 1 307.357 1.912 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H]1CC2(CCC2)CO1 ZINC001348212780 874426429 /nfs/dbraw/zinc/42/64/29/874426429.db2.gz HSJQRNTVOHYNIJ-SECBINFHSA-N -1 1 309.391 1.468 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)C[C@H]1CCCC[C@@H]1O ZINC001348213190 874426883 /nfs/dbraw/zinc/42/68/83/874426883.db2.gz QLKBTWQUBUTGMS-ZJUUUORDSA-N -1 1 311.407 1.402 20 0 DDADMM Cc1cnn([C@@H]2CCN(C(=O)c3c(C)[nH]c(=O)[n-]c3=S)C2)c1 ZINC001348652511 874694675 /nfs/dbraw/zinc/69/46/75/874694675.db2.gz CXXDFBYCWQIJTJ-SNVBAGLBSA-N -1 1 319.390 1.371 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NCC1CC=CC1 ZINC001348729283 874743245 /nfs/dbraw/zinc/74/32/45/874743245.db2.gz OSSVNOBYZIPIMW-UHFFFAOYSA-N -1 1 304.350 1.020 20 0 DDADMM O=C(Cc1c[nH]c2ccc(F)cc12)N1CC[C@H](c2nn[n-]n2)C1 ZINC001349003297 874920214 /nfs/dbraw/zinc/92/02/14/874920214.db2.gz UZLKUMMUEHIVAA-VIFPVBQESA-N -1 1 314.324 1.379 20 0 DDADMM C[C@@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)CC1(C)CC1)C1CC1 ZINC001378466533 874930178 /nfs/dbraw/zinc/93/01/78/874930178.db2.gz DMGFIMCNTLSZEV-HNNXBMFYSA-N -1 1 307.398 1.075 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)/C=C/C2CCOCC2)[n-]1 ZINC001349793159 875372472 /nfs/dbraw/zinc/37/24/72/875372472.db2.gz XCZIRTOUZYOLLE-ONEGZZNKSA-N -1 1 320.345 1.925 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC001350289703 875615427 /nfs/dbraw/zinc/61/54/27/875615427.db2.gz ICSSGLOHTUMJDA-LPEHRKFASA-N -1 1 309.391 1.467 20 0 DDADMM C[C@H]1O[C@@H](n2cnc3c2ncnc3[N-]c2nccs2)C[C@@H]1O ZINC001210991556 875619080 /nfs/dbraw/zinc/61/90/80/875619080.db2.gz MPIYLYWXHYHZMN-HRDYMLBCSA-N -1 1 318.362 1.695 20 0 DDADMM COC(=O)[C@H]1CCCCN1C(=O)c1cnc(SC)[n-]c1=O ZINC001362065051 882947814 /nfs/dbraw/zinc/94/78/14/882947814.db2.gz RJVRPNGICRHZML-SECBINFHSA-N -1 1 311.363 1.072 20 0 DDADMM Cc1cccc(C(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)c1C ZINC001378848062 875763741 /nfs/dbraw/zinc/76/37/41/875763741.db2.gz VKYWPMXINLGHOX-LLVKDONJSA-N -1 1 317.393 1.377 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CC1(C)C)NC(=O)c1ncccc1[O-] ZINC001381941990 883012902 /nfs/dbraw/zinc/01/29/02/883012902.db2.gz CZYOPIKIAXJYNY-WDEREUQCSA-N -1 1 305.378 1.410 20 0 DDADMM CNC(=O)c1ccc(OC)c(Nc2cc(=O)[n-]c(N(C)C)n2)c1 ZINC001215306090 876640802 /nfs/dbraw/zinc/64/08/02/876640802.db2.gz FGWFXWZPOCDOTK-UHFFFAOYSA-N -1 1 317.349 1.360 20 0 DDADMM COc1ccncc1Nc1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001216151514 876877925 /nfs/dbraw/zinc/87/79/25/876877925.db2.gz BXCYZLSPAHZIRR-UHFFFAOYSA-N -1 1 324.362 1.609 20 0 DDADMM COc1ncc(Nc2onc(C)c2C)cc1[N-]S(C)(=O)=O ZINC001216151160 876878041 /nfs/dbraw/zinc/87/80/41/876878041.db2.gz HHSHKLXQYSBNHF-UHFFFAOYSA-N -1 1 312.351 1.810 20 0 DDADMM COC(=O)c1ccnnc1[N-]c1ccn(C(=O)OC(C)(C)C)n1 ZINC001216672208 877015713 /nfs/dbraw/zinc/01/57/13/877015713.db2.gz BVADPNXSNDGKAV-UHFFFAOYSA-N -1 1 319.321 1.987 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)Cc1c[nH]c2ccccc12 ZINC001218672297 877656106 /nfs/dbraw/zinc/65/61/06/877656106.db2.gz UMJKVEUTWSAXJN-UHFFFAOYSA-N -1 1 313.273 1.948 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC(Cc2ccccc2)CC1 ZINC001355334530 878508566 /nfs/dbraw/zinc/50/85/66/878508566.db2.gz PVOLOLDVEORWCV-UHFFFAOYSA-N -1 1 324.392 1.454 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC(Cc2ccccc2)CC1 ZINC001355334530 878508576 /nfs/dbraw/zinc/50/85/76/878508576.db2.gz PVOLOLDVEORWCV-UHFFFAOYSA-N -1 1 324.392 1.454 20 0 DDADMM C[C@H]1CCN(c2nnc(-c3nnn[n-]3)n2C)[C@H]1c1ccccc1 ZINC001355336417 878511272 /nfs/dbraw/zinc/51/12/72/878511272.db2.gz ZWBSHJMUBNUWFQ-CMPLNLGQSA-N -1 1 310.365 1.583 20 0 DDADMM C[C@H]1CCN(c2nnc(-c3nn[n-]n3)n2C)[C@H]1c1ccccc1 ZINC001355336417 878511286 /nfs/dbraw/zinc/51/12/86/878511286.db2.gz ZWBSHJMUBNUWFQ-CMPLNLGQSA-N -1 1 310.365 1.583 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](OCc2nn[n-]n2)[C@@H](F)CC1 ZINC001223030184 880790889 /nfs/dbraw/zinc/79/08/89/880790889.db2.gz ULSGAGYTKAFOKW-VHSXEESVSA-N -1 1 315.349 1.454 20 0 DDADMM CC(C)c1noc(CCNC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC001362240798 883386685 /nfs/dbraw/zinc/38/66/85/883386685.db2.gz ZFYZXTYINWFBMX-UHFFFAOYSA-N -1 1 317.349 1.539 20 0 DDADMM COC(=O)c1n[n-]c(OC2CCN(c3ccc(C)cn3)CC2)n1 ZINC001228309941 883438062 /nfs/dbraw/zinc/43/80/62/883438062.db2.gz CGJLDCZFBWTMAF-UHFFFAOYSA-N -1 1 317.349 1.343 20 0 DDADMM COC(=O)c1nc(OC2CCN(c3ccc(C)cn3)CC2)n[n-]1 ZINC001228309941 883438070 /nfs/dbraw/zinc/43/80/70/883438070.db2.gz CGJLDCZFBWTMAF-UHFFFAOYSA-N -1 1 317.349 1.343 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@H]1C(=O)OCC1(C)C ZINC001228551499 883546243 /nfs/dbraw/zinc/54/62/43/883546243.db2.gz SXXSJOIQXUDYJO-QMMMGPOBSA-N -1 1 312.347 1.272 20 0 DDADMM CC(C)Cn1[n-]c(CC(=O)Nc2nc(C3CCC3)n[nH]2)cc1=O ZINC001362321250 883559720 /nfs/dbraw/zinc/55/97/20/883559720.db2.gz WBOGGJFUZPXTLG-UHFFFAOYSA-N -1 1 318.381 1.399 20 0 DDADMM Cc1nc([C@@H]2COCCN2C(=O)c2ccc(F)c([O-])c2)no1 ZINC001362344370 883611856 /nfs/dbraw/zinc/61/18/56/883611856.db2.gz VZUXFSUVKMTFSF-NSHDSACASA-N -1 1 307.281 1.436 20 0 DDADMM CC1N=NC(C(=O)N2C[C@@H](C)C(=O)N(C)c3ccccc32)=C1[O-] ZINC001362347989 883619833 /nfs/dbraw/zinc/61/98/33/883619833.db2.gz VLDRSVDZTZALML-SECBINFHSA-N -1 1 314.345 1.683 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cnncc1[O-])c1cc(C)cc(C)c1 ZINC001362364274 883651105 /nfs/dbraw/zinc/65/11/05/883651105.db2.gz GMLBVLBAFWTEDJ-CQSZACIVSA-N -1 1 315.329 1.443 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cnncc1[O-])c1ccc(C)c(C)c1 ZINC001362406158 883739673 /nfs/dbraw/zinc/73/96/73/883739673.db2.gz ARSFPDHHWZWHPX-AWEZNQCLSA-N -1 1 315.329 1.443 20 0 DDADMM CCOC(=O)[C@H](C)Oc1c([O-])c(OC)cc2ccc(=O)oc21 ZINC001229218215 883877424 /nfs/dbraw/zinc/87/74/24/883877424.db2.gz LATAZBUJPQMBGC-QMMMGPOBSA-N -1 1 308.286 1.838 20 0 DDADMM COC(=O)C1CC(Oc2c([O-])c(OC)cc3ccc(=O)oc32)C1 ZINC001229218621 883877743 /nfs/dbraw/zinc/87/77/43/883877743.db2.gz PHEDBULPNWJERB-UHFFFAOYSA-N -1 1 320.297 1.838 20 0 DDADMM CCC(CC)(NC(=O)c1ccc2c(c1)CCOC2)c1nn[n-]n1 ZINC001362499523 883949601 /nfs/dbraw/zinc/94/96/01/883949601.db2.gz XEFIZSRDKOXPIV-UHFFFAOYSA-N -1 1 315.377 1.718 20 0 DDADMM CCOC(=O)c1cnc(C)c(NC(=O)CCCc2nn[n-]n2)c1 ZINC001362514666 883981412 /nfs/dbraw/zinc/98/14/12/883981412.db2.gz ZVMJMAORURZZKS-UHFFFAOYSA-N -1 1 318.337 1.041 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cccc2c1OCCC2=O ZINC001362523691 884002957 /nfs/dbraw/zinc/00/29/57/884002957.db2.gz IDDSFVQFUYNDLK-UHFFFAOYSA-N -1 1 301.306 1.126 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@](C)(O)c2ccccc2)[n-]1 ZINC001362533336 884024424 /nfs/dbraw/zinc/02/44/24/884024424.db2.gz JCCZOVLRICMGFD-INIZCTEOSA-N -1 1 317.345 1.823 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@](C)(O)c2ccccc2)n1 ZINC001362533336 884024437 /nfs/dbraw/zinc/02/44/37/884024437.db2.gz JCCZOVLRICMGFD-INIZCTEOSA-N -1 1 317.345 1.823 20 0 DDADMM O=C1[N-]C(=O)[C@H](Cc2ccc(O[C@H]3CCCOC3=O)cc2)S1 ZINC001229623484 884083779 /nfs/dbraw/zinc/08/37/79/884083779.db2.gz JJNNKZWDZGSURD-RYUDHWBXSA-N -1 1 321.354 1.665 20 0 DDADMM CCOC(=O)Cc1cnc(NC(=O)c2ccc(O)cc2[O-])s1 ZINC001362574351 884125871 /nfs/dbraw/zinc/12/58/71/884125871.db2.gz ZCEAUZCZLBACQQ-UHFFFAOYSA-N -1 1 322.342 1.912 20 0 DDADMM CC[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])c1ccccn1 ZINC001362579047 884139187 /nfs/dbraw/zinc/13/91/87/884139187.db2.gz TUAWULKXCCYXIN-LLVKDONJSA-N -1 1 314.345 1.180 20 0 DDADMM O=C(c1ccc(Cl)c(O)c1)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC001362588030 884161223 /nfs/dbraw/zinc/16/12/23/884161223.db2.gz WKPZQODBEQJARJ-SECBINFHSA-N -1 1 322.752 1.889 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CCCC1CC1 ZINC001382527446 884187143 /nfs/dbraw/zinc/18/71/43/884187143.db2.gz UXNQEUKWHFYLRO-GFCCVEGCSA-N -1 1 323.441 1.711 20 0 DDADMM CCOC(=O)CC1CC(Oc2nc(=O)[n-]cc2C(=O)OC)C1 ZINC001229872324 884204825 /nfs/dbraw/zinc/20/48/25/884204825.db2.gz IUKAJAPOJUQRFG-UHFFFAOYSA-N -1 1 310.306 1.079 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC(c2nc(C)no2)C1 ZINC001362621434 884242835 /nfs/dbraw/zinc/24/28/35/884242835.db2.gz PKNJDRPROSUHKS-UHFFFAOYSA-N -1 1 321.362 1.144 20 0 DDADMM CCOC(=O)c1csc(CCNC(=O)c2ccc([O-])cn2)n1 ZINC001362627529 884260475 /nfs/dbraw/zinc/26/04/75/884260475.db2.gz SXWDJDAMRXKZPB-UHFFFAOYSA-N -1 1 321.358 1.393 20 0 DDADMM CC[C@H]1CCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)[C@H]1C ZINC001362684886 884409163 /nfs/dbraw/zinc/40/91/63/884409163.db2.gz OCVVMEGMMLIXRU-UWVGGRQHSA-N -1 1 300.380 1.448 20 0 DDADMM CC(=O)Nc1ncccc1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362684960 884410276 /nfs/dbraw/zinc/41/02/76/884410276.db2.gz GDZZAUNCBOUMDQ-UHFFFAOYSA-N -1 1 313.317 1.665 20 0 DDADMM CC(C)CCC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001382701482 884501317 /nfs/dbraw/zinc/50/13/17/884501317.db2.gz GORJXGJJHHJVTI-STQMWFEESA-N -1 1 319.405 1.943 20 0 DDADMM CC(=O)N1CC(Oc2nc3c(cc(Cl)nc3Cl)[n-]2)C1 ZINC001231048073 885163130 /nfs/dbraw/zinc/16/31/30/885163130.db2.gz FQHLGMPLKXKWHW-UHFFFAOYSA-N -1 1 301.133 1.874 20 0 DDADMM CC(=O)N1CC(Oc2nc3cc(Cl)nc(Cl)c3[n-]2)C1 ZINC001231048073 885163134 /nfs/dbraw/zinc/16/31/34/885163134.db2.gz FQHLGMPLKXKWHW-UHFFFAOYSA-N -1 1 301.133 1.874 20 0 DDADMM CC(C)(C)OC(=O)N[C@H](CC1CC1)C(=O)Nc1ccncc1[O-] ZINC001363108892 885486091 /nfs/dbraw/zinc/48/60/91/885486091.db2.gz LXJMIOAAQKCUOU-GFCCVEGCSA-N -1 1 321.377 1.841 20 0 DDADMM CCC[C@H](C)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001383283155 885545058 /nfs/dbraw/zinc/54/50/58/885545058.db2.gz LYZIYBGOQNBJQD-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2cncc([O-])c2)Cc2ccccc21 ZINC001363197641 885691333 /nfs/dbraw/zinc/69/13/33/885691333.db2.gz YWEUIRTXBUHOLT-HNNXBMFYSA-N -1 1 312.325 1.700 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(CO)c(F)c2)n1 ZINC001363212092 885725213 /nfs/dbraw/zinc/72/52/13/885725213.db2.gz VOJTXJPRKBHMEV-UHFFFAOYSA-N -1 1 307.281 1.470 20 0 DDADMM CCC[C@@H](C)C(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001383408166 885735951 /nfs/dbraw/zinc/73/59/51/885735951.db2.gz BUUGGYNFQVNQOD-PNESKVBLSA-N -1 1 319.405 1.943 20 0 DDADMM COc1cccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1O ZINC001363276153 885887232 /nfs/dbraw/zinc/88/72/32/885887232.db2.gz KLDLYLKYIBFRGS-UHFFFAOYSA-N -1 1 305.338 1.212 20 0 DDADMM CCOC(=O)[C@@]1(C)CCC[C@@H]1NC(=O)c1ncc(C)cc1[O-] ZINC001363306582 885970510 /nfs/dbraw/zinc/97/05/10/885970510.db2.gz LAGBJUXIXIXJSP-LRDDRELGSA-N -1 1 306.362 1.947 20 0 DDADMM O=C(NC[C@H]1CCN(CC(F)F)C1)c1cc(F)ccc1[O-] ZINC001363317107 886004701 /nfs/dbraw/zinc/00/47/01/886004701.db2.gz VENCFRXXEPMOLQ-SECBINFHSA-N -1 1 302.296 1.848 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2ncc(C)cc2[O-])ccn1 ZINC001363323213 886020692 /nfs/dbraw/zinc/02/06/92/886020692.db2.gz DYWMWYLTBACEPD-UHFFFAOYSA-N -1 1 301.302 1.207 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)C(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001383565471 886040500 /nfs/dbraw/zinc/04/05/00/886040500.db2.gz MQWNZYCCXCFZRQ-MNOVXSKESA-N -1 1 307.394 1.846 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1C[C@H]1c1ccc(F)cc1 ZINC001363375833 886170274 /nfs/dbraw/zinc/17/02/74/886170274.db2.gz GNRWZJABQKFQFN-RYUDHWBXSA-N -1 1 301.325 1.638 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363400748 886232667 /nfs/dbraw/zinc/23/26/67/886232667.db2.gz RWYBYQWDDJDNGT-SKDRFNHKSA-N -1 1 317.397 1.124 20 0 DDADMM Cc1nc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)c(C)s1 ZINC001363434539 886327140 /nfs/dbraw/zinc/32/71/40/886327140.db2.gz MJAUNKGTYRBFJS-JTQLQIEISA-N -1 1 306.395 1.368 20 0 DDADMM COC[C@H](NC(=O)CCOc1c(C)cccc1C)c1nn[n-]n1 ZINC001363438875 886338678 /nfs/dbraw/zinc/33/86/78/886338678.db2.gz VYFSKOHDSVGJIE-LBPRGKRZSA-N -1 1 319.365 1.089 20 0 DDADMM COC(=O)[C@H](CC1CC1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363448990 886368911 /nfs/dbraw/zinc/36/89/11/886368911.db2.gz AGKHZCCHTOZTIQ-NSHDSACASA-N -1 1 305.334 1.131 20 0 DDADMM CSc1ncc(C(=O)NCC2SCCS2)c(=O)[n-]1 ZINC001363483041 886457446 /nfs/dbraw/zinc/45/74/46/886457446.db2.gz KFNCJFSKSYFOQV-UHFFFAOYSA-N -1 1 303.434 1.440 20 0 DDADMM C[C@@H]1C[C@@]2(CC[C@H](CNC(=O)c3cccc([O-])c3F)O2)CO1 ZINC001363531909 886567167 /nfs/dbraw/zinc/56/71/67/886567167.db2.gz PSGCXRLZRGOINV-UVWXRNBGSA-N -1 1 309.337 1.988 20 0 DDADMM CCC[C@@H](O)CC(=O)N1CCC[C@@H]1c1nn[n-]c1C(=O)OCC ZINC001363556087 886628382 /nfs/dbraw/zinc/62/83/82/886628382.db2.gz HGYYJXCAOSJEHN-GHMZBOCLSA-N -1 1 324.381 1.196 20 0 DDADMM CCC[C@@H](O)CC(=O)N1CCC[C@@H]1c1[n-]nnc1C(=O)OCC ZINC001363556087 886628394 /nfs/dbraw/zinc/62/83/94/886628394.db2.gz HGYYJXCAOSJEHN-GHMZBOCLSA-N -1 1 324.381 1.196 20 0 DDADMM CCC[C@@H](O)CC(=O)N1CCC[C@@H]1c1n[n-]nc1C(=O)OCC ZINC001363556087 886628407 /nfs/dbraw/zinc/62/84/07/886628407.db2.gz HGYYJXCAOSJEHN-GHMZBOCLSA-N -1 1 324.381 1.196 20 0 DDADMM COCC(=O)N[C@@H]1CCCN(Cc2ccc(F)c([O-])c2F)C1 ZINC001232941029 886685942 /nfs/dbraw/zinc/68/59/42/886685942.db2.gz SJXPROMSKUYRLZ-LLVKDONJSA-N -1 1 314.332 1.397 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NCC1(CCNC(=O)c2ncccc2[O-])CC1 ZINC001383881503 886686612 /nfs/dbraw/zinc/68/66/12/886686612.db2.gz XGCRUICGOHNBKN-RYUDHWBXSA-N -1 1 317.389 1.460 20 0 DDADMM CN(C)C(=O)N[C@@H]1CCCN(Cc2ccc(F)c([O-])c2F)C1 ZINC001232941598 886687916 /nfs/dbraw/zinc/68/79/16/886687916.db2.gz ZMCZRJTTZQBPKB-LLVKDONJSA-N -1 1 313.348 1.906 20 0 DDADMM CCCc1nc(C)c(C(=O)N[C@@H](COC)c2nn[n-]n2)s1 ZINC001363586675 886698900 /nfs/dbraw/zinc/69/89/00/886698900.db2.gz KRBWFMUEJDHQBZ-QMMMGPOBSA-N -1 1 310.383 1.035 20 0 DDADMM O=C1CN(Cc2cc([O-])c(F)c(F)c2)CCN1c1ccccn1 ZINC001232973505 886702493 /nfs/dbraw/zinc/70/24/93/886702493.db2.gz CGHUQFPCUXNFOG-UHFFFAOYSA-N -1 1 319.311 1.914 20 0 DDADMM CCOC(=O)N1CCN(Cc2cc([O-])c(F)c(F)c2)CC1 ZINC001232973402 886703043 /nfs/dbraw/zinc/70/30/43/886703043.db2.gz WOZWFLYUGKFXJG-UHFFFAOYSA-N -1 1 300.305 1.945 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2ccc(C)cc2O)[n-]c1=O ZINC001363628563 886810599 /nfs/dbraw/zinc/81/05/99/886810599.db2.gz HRMZLLDAPHXHSJ-UHFFFAOYSA-N -1 1 317.345 1.870 20 0 DDADMM CC(C)NC(=O)Nc1ccc(C(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001363712600 887045701 /nfs/dbraw/zinc/04/57/01/887045701.db2.gz WVIBFOYUZCODFK-UHFFFAOYSA-N -1 1 303.322 1.898 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2ccnn2C2CCC2)n1 ZINC001363773350 887185614 /nfs/dbraw/zinc/18/56/14/887185614.db2.gz URIAKSRZGVAWRA-MRVPVSSYSA-N -1 1 311.367 1.339 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc([S@@](C)=O)cc1 ZINC001363813023 887288844 /nfs/dbraw/zinc/28/88/44/887288844.db2.gz YYJKZQGNTNLMDF-JOCHJYFZSA-N -1 1 321.406 1.236 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCCC2(C)C)[n-]n1 ZINC001363918740 887540268 /nfs/dbraw/zinc/54/02/68/887540268.db2.gz IKKKPHXKMZPJSU-SECBINFHSA-N -1 1 315.395 1.301 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCCC2(C)C)n[n-]1 ZINC001363918740 887540279 /nfs/dbraw/zinc/54/02/79/887540279.db2.gz IKKKPHXKMZPJSU-SECBINFHSA-N -1 1 315.395 1.301 20 0 DDADMM CC(=O)Nc1ccc(C[N-]S(=O)(=O)c2conc2C)cc1 ZINC001363939183 887579148 /nfs/dbraw/zinc/57/91/48/887579148.db2.gz WTOCDNIKMABONY-UHFFFAOYSA-N -1 1 309.347 1.420 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1C[C@@H]1C1CCCC1 ZINC001363956835 887611280 /nfs/dbraw/zinc/61/12/80/887611280.db2.gz LACCTJDJHOTAKJ-VHSXEESVSA-N -1 1 307.350 1.453 20 0 DDADMM COC[C@@H](NC(=O)c1cccc(OCC(C)C)c1)c1nn[n-]n1 ZINC001364029199 887752906 /nfs/dbraw/zinc/75/29/06/887752906.db2.gz PPGJXIRTZOVHCC-CYBMUJFWSA-N -1 1 319.365 1.352 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ncccc1OCC(F)(F)F ZINC001364086893 887884350 /nfs/dbraw/zinc/88/43/50/887884350.db2.gz AQVULTOJKZKMIN-UHFFFAOYSA-N -1 1 302.212 1.704 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C1([C@H]2CCCCO2)CC1 ZINC001364110961 887945074 /nfs/dbraw/zinc/94/50/74/887945074.db2.gz VVDLJPPQPYEDEF-SNVBAGLBSA-N -1 1 318.420 1.532 20 0 DDADMM CO[C@@](C)(CO)CNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC001364111550 887945139 /nfs/dbraw/zinc/94/51/39/887945139.db2.gz ISJMFHOFRJZEAM-OAHLLOKOSA-N -1 1 324.764 1.721 20 0 DDADMM Cc1cncc(S(=O)(=O)[N-][C@H]([C@@H]2CCOC2)C(F)(F)F)c1 ZINC001364127732 887980217 /nfs/dbraw/zinc/98/02/17/887980217.db2.gz GCTAYWDCWZQFRY-MWLCHTKSSA-N -1 1 324.324 1.636 20 0 DDADMM Cc1nc(C(N)=O)c(OC[C@H]2CC[C@@]3(CCCCO3)O2)c(=O)[n-]1 ZINC001234469971 888000512 /nfs/dbraw/zinc/00/05/12/888000512.db2.gz MIEZLBUVIVPJQR-MEBBXXQBSA-N -1 1 323.349 1.044 20 0 DDADMM NC(=O)c1nc[n-]c(=O)c1OC1CC(OCc2ccccc2)C1 ZINC001234469082 888000540 /nfs/dbraw/zinc/00/05/40/888000540.db2.gz USSCMWRWDPHYAO-UHFFFAOYSA-N -1 1 315.329 1.408 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncc(Br)cc2[O-])CC[N@H+]1C ZINC001364159105 888046465 /nfs/dbraw/zinc/04/64/65/888046465.db2.gz XJIAPTPELFPKSZ-CBAPKCEASA-N -1 1 314.183 1.372 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@@H]1CCOC[C@H]1C ZINC001234557975 888090397 /nfs/dbraw/zinc/09/03/97/888090397.db2.gz PQERQSWGQCQTKN-NXEZZACHSA-N -1 1 310.350 1.896 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1OCC[C@H]1COC(C)(C)O1 ZINC001234558403 888095307 /nfs/dbraw/zinc/09/53/07/888095307.db2.gz VFVTVWIEHOSACP-VIFPVBQESA-N -1 1 312.322 1.198 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H]1CCc2cccnc21 ZINC001234565353 888104426 /nfs/dbraw/zinc/10/44/26/888104426.db2.gz WOZHAVXQITXJKR-JTQLQIEISA-N -1 1 301.302 1.739 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@H]1CCCN(C(C)=O)C1 ZINC001234608391 888138112 /nfs/dbraw/zinc/13/81/12/888138112.db2.gz LCFOIRMWGACSLZ-VIFPVBQESA-N -1 1 312.297 1.103 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@H]2CCC[C@@H](OC)C2)n[n-]1 ZINC001364504486 888787991 /nfs/dbraw/zinc/78/79/91/888787991.db2.gz HEGDTTXKKUZRBT-WDEREUQCSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H]2CCC[C@@H](OC)C2)n1 ZINC001364504486 888788000 /nfs/dbraw/zinc/78/80/00/888788000.db2.gz HEGDTTXKKUZRBT-WDEREUQCSA-N -1 1 324.381 1.193 20 0 DDADMM CC(C)Cc1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)cc(=O)[nH]1 ZINC001364569295 888946526 /nfs/dbraw/zinc/94/65/26/888946526.db2.gz SRQLQEQBHUBIJC-SNVBAGLBSA-N -1 1 316.365 1.129 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ncc(C(F)(F)F)s1 ZINC001364661615 889147184 /nfs/dbraw/zinc/14/71/84/889147184.db2.gz DKIUMCJIMSPRRV-UHFFFAOYSA-N -1 1 318.284 1.484 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CC12CCCCC2 ZINC001364810888 889463326 /nfs/dbraw/zinc/46/33/26/889463326.db2.gz VKVOAGBPOBGACA-JTQLQIEISA-N -1 1 313.379 1.197 20 0 DDADMM COC[C@H](NC(=O)c1cccc2c1OC(C)(C)C2)c1nn[n-]n1 ZINC001364813804 889469347 /nfs/dbraw/zinc/46/93/47/889469347.db2.gz KDEWGQKTUUYCTR-NSHDSACASA-N -1 1 317.349 1.031 20 0 DDADMM CC[C@@H](C)CN(CC)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364918956 889664849 /nfs/dbraw/zinc/66/48/49/889664849.db2.gz UTFZIHJTDPKECD-SECBINFHSA-N -1 1 303.384 1.253 20 0 DDADMM CC[C@@H](C)CN(CC)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364918956 889664862 /nfs/dbraw/zinc/66/48/62/889664862.db2.gz UTFZIHJTDPKECD-SECBINFHSA-N -1 1 303.384 1.253 20 0 DDADMM CSc1nc(CNC(=O)Nc2cc(C)nn2C)cc(=O)[n-]1 ZINC001365007952 889881392 /nfs/dbraw/zinc/88/13/92/889881392.db2.gz BUJGEJNCXDQZGW-UHFFFAOYSA-N -1 1 308.367 1.268 20 0 DDADMM CCc1cnc(NC(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC001365041954 889959975 /nfs/dbraw/zinc/95/99/75/889959975.db2.gz PJIITBCADNLSQB-UHFFFAOYSA-N -1 1 307.383 1.630 20 0 DDADMM O=C(NCC1(C2CC2)CCC1)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001365300659 890540925 /nfs/dbraw/zinc/54/09/25/890540925.db2.gz KLWCHOSIOWWRHV-UHFFFAOYSA-N -1 1 318.381 1.399 20 0 DDADMM CCc1nnc(CN2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)[nH]1 ZINC001365311189 890562478 /nfs/dbraw/zinc/56/24/78/890562478.db2.gz ZRRXNLCATJTMMJ-IUCAKERBSA-N -1 1 319.331 1.399 20 0 DDADMM COc1cnc(C2(NC(=O)O[C@H]3CCOC3)CCCC2)[n-]c1=O ZINC001365464302 890842062 /nfs/dbraw/zinc/84/20/62/890842062.db2.gz CQBRNAOWUFHEAV-JTQLQIEISA-N -1 1 323.349 1.475 20 0 DDADMM Cn1nc(C(F)(F)F)cc1-c1ccc2c(c1)CC(=O)[N-]C2=O ZINC001240291984 890882069 /nfs/dbraw/zinc/88/20/69/890882069.db2.gz RPSQCUKUFWLVMI-UHFFFAOYSA-N -1 1 309.247 1.918 20 0 DDADMM C[C@H](CNC(=O)[C@H](C)C(F)(F)F)NC(=O)c1ncccc1[O-] ZINC001386422455 890949656 /nfs/dbraw/zinc/94/96/56/890949656.db2.gz AKIWHXWSSZMLJM-SFYZADRCSA-N -1 1 319.283 1.220 20 0 DDADMM CCc1cccc(NC(=O)C[N-]S(=O)(=O)Cc2ccno2)c1 ZINC001365636810 891223367 /nfs/dbraw/zinc/22/33/67/891223367.db2.gz KZRHAQGNWUHJKI-UHFFFAOYSA-N -1 1 323.374 1.295 20 0 DDADMM Cc1conc1C[N-]S(=O)(=O)c1occc1Br ZINC001365748545 891451021 /nfs/dbraw/zinc/45/10/21/891451021.db2.gz HUDSXRPOXGETRP-UHFFFAOYSA-N -1 1 321.152 1.817 20 0 DDADMM COC(=O)Cc1cccc(-c2nc(C)cc3c2C(=O)[N-]C3=O)c1 ZINC001244215881 891815669 /nfs/dbraw/zinc/81/56/69/891815669.db2.gz ZBVXJUYUVBJMRX-UHFFFAOYSA-N -1 1 310.309 1.656 20 0 DDADMM CN1CCN(c2cc(-c3cc(Cl)ccc3[O-])ncn2)CC1=O ZINC001245048987 891980417 /nfs/dbraw/zinc/98/04/17/891980417.db2.gz DJGKNIVWCXUNDW-UHFFFAOYSA-N -1 1 318.764 1.781 20 0 DDADMM C[C@@H](CNC(=O)c1cc(Cl)cs1)NCc1n[nH]c(=O)[n-]1 ZINC001366325354 893170348 /nfs/dbraw/zinc/17/03/48/893170348.db2.gz CRURIFIALITSGK-LURJTMIESA-N -1 1 315.786 1.133 20 0 DDADMM CCC(CC)C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001388342282 895026515 /nfs/dbraw/zinc/02/65/15/895026515.db2.gz QUVMKVVBROYGEH-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM CS(=O)(=O)[N-][C@H]1CCN(Cc2ccccc2)CC1(F)F ZINC001252791156 895461485 /nfs/dbraw/zinc/46/14/85/895461485.db2.gz NRZCMBIRJUYFMO-LBPRGKRZSA-N -1 1 304.362 1.445 20 0 DDADMM CC(C)[C@H](F)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367159421 896031788 /nfs/dbraw/zinc/03/17/88/896031788.db2.gz RLQJYLLUCSXEER-NSHDSACASA-N -1 1 313.377 1.023 20 0 DDADMM C[C@@H](CNC(=O)[C@]12C[C@H]1CCCC2)NC(=O)c1ncccc1[O-] ZINC001389326276 896940452 /nfs/dbraw/zinc/94/04/52/896940452.db2.gz DTBQZTDTSJBFIW-XWCIJXRUSA-N -1 1 317.389 1.602 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](Nc2[n-]c(=O)nc3nc[nH]c32)C1 ZINC001255589727 897036561 /nfs/dbraw/zinc/03/65/61/897036561.db2.gz IKTSQADLGPXFII-QMMMGPOBSA-N -1 1 320.353 1.480 20 0 DDADMM O=C([N-]CCCc1nnc(C2CCOCC2)o1)C(F)(F)F ZINC001255679917 897088054 /nfs/dbraw/zinc/08/80/54/897088054.db2.gz YFYVAWRAEMUCJW-UHFFFAOYSA-N -1 1 307.272 1.575 20 0 DDADMM CC1(CCC(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])CC1 ZINC001389710295 897839370 /nfs/dbraw/zinc/83/93/70/897839370.db2.gz GCKSCEDGIZUDHS-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]Cc1cnc2cc(C)ccn12 ZINC001258706552 898297977 /nfs/dbraw/zinc/29/79/77/898297977.db2.gz PEXKHUZXLVLVPV-UHFFFAOYSA-N -1 1 320.374 1.726 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@]12CCCOC2)c1cc(F)ccc1F ZINC001258951157 898376259 /nfs/dbraw/zinc/37/62/59/898376259.db2.gz QRVIMALURRDZEN-CHWSQXEVSA-N -1 1 303.330 1.812 20 0 DDADMM O=C(NC1(CNC(=O)c2ccc(Cl)cc2)CC1)c1cnn[nH]1 ZINC001389970374 898403168 /nfs/dbraw/zinc/40/31/68/898403168.db2.gz CLUIANLWLNWTPW-UHFFFAOYSA-N -1 1 319.752 1.151 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(Oc2cccnc2)cc1 ZINC001259024342 898422343 /nfs/dbraw/zinc/42/23/43/898422343.db2.gz LHZBRQABBNGQJK-UHFFFAOYSA-N -1 1 322.342 1.789 20 0 DDADMM O=c1cc([N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)cn[nH]1 ZINC001259495806 898700486 /nfs/dbraw/zinc/70/04/86/898700486.db2.gz ROPJGVRGBZRVGK-UHFFFAOYSA-N -1 1 305.237 1.400 20 0 DDADMM O=S(=O)(CCc1cccc(F)c1)[N-]c1ncccc1CCO ZINC001259767775 898789436 /nfs/dbraw/zinc/78/94/36/898789436.db2.gz GNCWASVNCGKMOE-UHFFFAOYSA-N -1 1 324.377 1.740 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2c(F)c(F)ccc2CO)cn1 ZINC001259839041 898851836 /nfs/dbraw/zinc/85/18/36/898851836.db2.gz XCYINCPRNLBKIH-UHFFFAOYSA-N -1 1 317.317 1.474 20 0 DDADMM CCOC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)CCC(F)(F)F ZINC001259873917 898883101 /nfs/dbraw/zinc/88/31/01/898883101.db2.gz MYKBFIVNRLCQRX-SECBINFHSA-N -1 1 319.345 1.836 20 0 DDADMM COc1ccc(CS(=O)(=O)[N-]c2cn(CCF)cn2)cc1 ZINC001259892926 898903955 /nfs/dbraw/zinc/90/39/55/898903955.db2.gz KEZVSBZZMDFEGT-UHFFFAOYSA-N -1 1 313.354 1.803 20 0 DDADMM O=S(=O)([N-]Cc1cc(O)ccc1Br)C(F)F ZINC001259962069 898983548 /nfs/dbraw/zinc/98/35/48/898983548.db2.gz CIKMBBCTKWSMLY-UHFFFAOYSA-N -1 1 316.123 1.797 20 0 DDADMM O=C1CCCCN1c1cccc(C[N-]S(=O)(=O)C(F)F)c1 ZINC001259964384 898989378 /nfs/dbraw/zinc/98/93/78/898989378.db2.gz ORPYUJWGAHNGBS-UHFFFAOYSA-N -1 1 318.345 1.846 20 0 DDADMM CCn1nc(C)c(CNCCc2ccc(CC(=O)[O-])cc2)n1 ZINC001262164489 899993976 /nfs/dbraw/zinc/99/39/76/899993976.db2.gz KMAJBILKURPVTR-UHFFFAOYSA-N -1 1 302.378 1.566 20 0 DDADMM COCC1([N-]S(=O)(=O)c2sc(Cl)nc2C)CCC1 ZINC000378712654 900553752 /nfs/dbraw/zinc/55/37/52/900553752.db2.gz VFWYQTWLJHEZNM-UHFFFAOYSA-N -1 1 310.828 1.952 20 0 DDADMM CN(C)c1ccncc1NS(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000386063950 901019523 /nfs/dbraw/zinc/01/95/23/901019523.db2.gz IAKYTWOAGCYXNT-UHFFFAOYSA-N -1 1 321.358 1.647 20 0 DDADMM CS[C@@H](C)C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001369627918 901657405 /nfs/dbraw/zinc/65/74/05/901657405.db2.gz ZANLJAIHRSPVEI-WHXUTIOJSA-N -1 1 323.418 1.163 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)c1cccnc1 ZINC001369654614 901709300 /nfs/dbraw/zinc/70/93/00/901709300.db2.gz UEHDUODBNZFPRB-WDEREUQCSA-N -1 1 314.345 1.119 20 0 DDADMM CCCCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001369736245 901844046 /nfs/dbraw/zinc/84/40/46/901844046.db2.gz FVESYXUQCSGENT-VXGBXAGGSA-N -1 1 309.414 1.560 20 0 DDADMM CC[C@@H](C)CC(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001391809382 902642287 /nfs/dbraw/zinc/64/22/87/902642287.db2.gz STNKFGUXOCRRFI-GFCCVEGCSA-N -1 1 307.394 1.754 20 0 DDADMM CN(C(=O)c1ccc(COc2ccc(F)cc2)o1)c1nn[n-]n1 ZINC001279179082 903191234 /nfs/dbraw/zinc/19/12/34/903191234.db2.gz GXTAVRDGFOKZHQ-UHFFFAOYSA-N -1 1 317.280 1.787 20 0 DDADMM CC/C(C)=C\C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001280467977 903710735 /nfs/dbraw/zinc/71/07/35/903710735.db2.gz WJERIDSBAPOVLF-MMRAYRKESA-N -1 1 305.378 1.720 20 0 DDADMM CC(C)CCC(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001371651685 905410946 /nfs/dbraw/zinc/41/09/46/905410946.db2.gz LVJPXDHFXPZIOH-BETUJISGSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)OCCC(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001283525163 907537006 /nfs/dbraw/zinc/53/70/06/907537006.db2.gz BJVDCHFHEYMHRY-UHFFFAOYSA-N -1 1 323.393 1.181 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)C1(C)CC=CC1 ZINC001283529993 907548761 /nfs/dbraw/zinc/54/87/61/907548761.db2.gz YSDSWFIKXJSMAH-UHFFFAOYSA-N -1 1 317.389 1.722 20 0 DDADMM CCCC(=O)N[C@@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001372880148 908489382 /nfs/dbraw/zinc/48/93/82/908489382.db2.gz WMWSBVYPDVDWPO-VIFPVBQESA-N -1 1 306.366 1.094 20 0 DDADMM C[C@H](CC(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001394437846 909626515 /nfs/dbraw/zinc/62/65/15/909626515.db2.gz BTTGQLXHMRYWED-ZWNOBZJWSA-N -1 1 321.425 1.273 20 0 DDADMM CCCC(C)(C)C(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001394440993 909631471 /nfs/dbraw/zinc/63/14/71/909631471.db2.gz UYMAMTABCJJPAN-GFCCVEGCSA-N -1 1 323.441 1.663 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cnc(C4CC4)o3)nc2n1 ZINC001287285831 912158976 /nfs/dbraw/zinc/15/89/76/912158976.db2.gz JYENVWAEMZPNRM-UHFFFAOYSA-N -1 1 314.305 1.098 20 0 DDADMM Cc1occc1C(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001395527328 912181276 /nfs/dbraw/zinc/18/12/76/912181276.db2.gz MWGVCWHSINOXLW-SNVBAGLBSA-N -1 1 317.345 1.579 20 0 DDADMM O=C(c1ccnc(-c2ccco2)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001295410871 915764006 /nfs/dbraw/zinc/76/40/06/915764006.db2.gz IVALHCGZZNVXMR-GFCCVEGCSA-N -1 1 324.344 1.875 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)c1cn[nH]c1 ZINC001296142566 916250698 /nfs/dbraw/zinc/25/06/98/916250698.db2.gz STKWFHSGBZONBT-JTQLQIEISA-N -1 1 321.703 1.235 20 0 DDADMM C=CC(=O)Nc1ccc([O-])c(C(=O)Nc2nnc(C)n2C)c1 ZINC001296281595 916318895 /nfs/dbraw/zinc/31/88/95/916318895.db2.gz CMVVWYQEVUSQPU-UHFFFAOYSA-N -1 1 301.306 1.206 20 0 DDADMM C[C@@H](C(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001375693774 916723216 /nfs/dbraw/zinc/72/32/16/916723216.db2.gz GTTSANQXJBZMRT-RYUDHWBXSA-N -1 1 321.421 1.952 20 0 DDADMM CO[C@@H](C(=O)Nc1nn[nH]c1C(=O)NC1CC1)C1CCCCC1 ZINC000622882864 365555692 /nfs/dbraw/zinc/55/56/92/365555692.db2.gz DHTSCAZATZVNRY-GFCCVEGCSA-N -1 1 321.381 1.231 20 0 DDADMM C[C@H](Cc1ccco1)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000622882926 365555787 /nfs/dbraw/zinc/55/57/87/365555787.db2.gz GTUCCBRAWWCXRI-MRVPVSSYSA-N -1 1 303.322 1.107 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@@H]3c3ccccc3)nc2n1 ZINC000622869811 365548702 /nfs/dbraw/zinc/54/87/02/365548702.db2.gz HMRDLJZDXCXIPR-NEPJUHHUSA-N -1 1 309.329 1.468 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@]3(C)C[C@@H]3c3ccccc3)nc2n1 ZINC000622870269 365549895 /nfs/dbraw/zinc/54/98/95/365549895.db2.gz WGMUBWICSGRJMO-PXAZEXFGSA-N -1 1 323.356 1.858 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3CC(F)(F)C3)nc2n1 ZINC000622997295 365589733 /nfs/dbraw/zinc/58/97/33/365589733.db2.gz TWAVRWPJKDOYRI-UHFFFAOYSA-N -1 1 311.292 1.354 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])cc2F)CCS(=O)(=O)C1 ZINC000278522483 214209637 /nfs/dbraw/zinc/20/96/37/214209637.db2.gz MWMZFQCIMHFCAV-SECBINFHSA-N -1 1 301.339 1.038 20 0 DDADMM Cc1cc(N2CCC[C@H](NC(=O)c3cncc([O-])c3)C2)n(C)n1 ZINC000278542672 214224811 /nfs/dbraw/zinc/22/48/11/214224811.db2.gz VAYIETPMVWFWDT-ZDUSSCGKSA-N -1 1 315.377 1.228 20 0 DDADMM Cc1cc(NC(=O)CN(C)C(=O)c2cc(F)ccc2[O-])no1 ZINC000081941897 192344108 /nfs/dbraw/zinc/34/41/08/192344108.db2.gz MXYCGEIPOBRNCL-UHFFFAOYSA-N -1 1 307.281 1.538 20 0 DDADMM CCC(C)(CC)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000135335768 539194304 /nfs/dbraw/zinc/19/43/04/539194304.db2.gz AQYWFCBCCUIDAV-UHFFFAOYSA-N -1 1 300.380 1.804 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1cnn(Cc2ccccn2)c1 ZINC000176467368 539229461 /nfs/dbraw/zinc/22/94/61/539229461.db2.gz VHEGTVWMEMASPF-UHFFFAOYSA-N -1 1 324.406 1.493 20 0 DDADMM O=C(CCNC(=O)c1ccc([O-])cc1F)Nc1ccncc1 ZINC000154858612 290680586 /nfs/dbraw/zinc/68/05/86/290680586.db2.gz UVUGFLWZBSWWPJ-UHFFFAOYSA-N -1 1 303.293 1.685 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCCC[C@@H]1N1CCCC1=O ZINC000615229547 362205541 /nfs/dbraw/zinc/20/55/41/362205541.db2.gz UKGIPIKQBPEODO-MNOVXSKESA-N -1 1 306.366 1.543 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN(c2ncns2)CC1 ZINC000615805353 362449347 /nfs/dbraw/zinc/44/93/47/362449347.db2.gz NIIVCSOSKUQEKJ-UHFFFAOYSA-N -1 1 324.793 1.860 20 0 DDADMM Cc1nc(CC[N-]S(=O)(=O)c2c(C)onc2N)sc1C ZINC000290658484 282351731 /nfs/dbraw/zinc/35/17/31/282351731.db2.gz FMJVKDHXNHZVDV-UHFFFAOYSA-N -1 1 316.408 1.160 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@@H]2CCCCO2)c(O)c1 ZINC000442835939 539530881 /nfs/dbraw/zinc/53/08/81/539530881.db2.gz GAVGGQBNPIXUMX-NSHDSACASA-N -1 1 301.364 1.712 20 0 DDADMM CCCN(C(=O)c1ccc([O-])cc1F)[C@H]1CCS(=O)(=O)C1 ZINC000181861736 199364506 /nfs/dbraw/zinc/36/45/06/199364506.db2.gz YNHLJVHFXNAQMX-JTQLQIEISA-N -1 1 315.366 1.571 20 0 DDADMM O=C(NC[C@@H]1CCc2cccnc21)c1csc(=NC2CC2)[n-]1 ZINC000623314830 365810040 /nfs/dbraw/zinc/81/00/40/365810040.db2.gz JYBWFMRAZNHBLZ-NSHDSACASA-N -1 1 314.414 1.994 20 0 DDADMM Cc1noc(COCC(=O)N=c2cc(-c3cccs3)[n-][nH]2)n1 ZINC000358379322 299135950 /nfs/dbraw/zinc/13/59/50/299135950.db2.gz ZIBRQXLDIFUGFE-UHFFFAOYSA-N -1 1 319.346 1.407 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(=O)OC ZINC000152679887 186096385 /nfs/dbraw/zinc/09/63/85/186096385.db2.gz AZDWHWOBLUXXGY-SECBINFHSA-N -1 1 311.281 1.334 20 0 DDADMM C[C@H]1CN(C)c2ccccc2CN1C(=O)CCCc1nn[n-]n1 ZINC000636242968 422740591 /nfs/dbraw/zinc/74/05/91/422740591.db2.gz CYAZVOWXZHQRDP-LBPRGKRZSA-N -1 1 314.393 1.390 20 0 DDADMM C[C@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)CCS1(=O)=O ZINC000172554570 198115091 /nfs/dbraw/zinc/11/50/91/198115091.db2.gz IINIKHGGAKJQGV-JTQLQIEISA-N -1 1 323.370 1.118 20 0 DDADMM CCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(OC)c(OC)c2)C1 ZINC000030603889 352255235 /nfs/dbraw/zinc/25/52/35/352255235.db2.gz AGGDWMGBHXHSEL-JTQLQIEISA-N -1 1 308.334 1.124 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc2[nH]c3c(c2c1)CCCC3 ZINC000030687214 352256640 /nfs/dbraw/zinc/25/66/40/352256640.db2.gz HCTPHVMXLXDVQJ-UHFFFAOYSA-N -1 1 312.329 1.243 20 0 DDADMM CC(C)c1cc(C(=O)[N-]N2C(=O)NC3(CCCCC3)C2=O)n[nH]1 ZINC000044888626 352396777 /nfs/dbraw/zinc/39/67/77/352396777.db2.gz PDDRRRDWIVENPN-UHFFFAOYSA-N -1 1 319.365 1.433 20 0 DDADMM Cc1cnn(C[C@H]2CN(C(=O)c3ncc(C)cc3[O-])CCO2)c1 ZINC000331741389 234069868 /nfs/dbraw/zinc/06/98/68/234069868.db2.gz XITDZFVLDPXFCS-CYBMUJFWSA-N -1 1 316.361 1.142 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCC[C@H](O)C1 ZINC000072620026 191202636 /nfs/dbraw/zinc/20/26/36/191202636.db2.gz CGPBERWENXZOJM-VIFPVBQESA-N -1 1 300.152 1.752 20 0 DDADMM Cc1cn2nc([N-]c3cnn(CC(=O)N(C)C)c3)sc2n1 ZINC000066817838 353018309 /nfs/dbraw/zinc/01/83/09/353018309.db2.gz HYAZVQARKSVEIF-UHFFFAOYSA-N -1 1 305.367 1.128 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2C[C@@H]2c2ccccc2)o1 ZINC000067811124 353068416 /nfs/dbraw/zinc/06/84/16/353068416.db2.gz JJLURAFCDBQGGM-NEPJUHHUSA-N -1 1 320.370 1.474 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@H](C(=O)[O-])C3)c[n-]c2[nH+]1 ZINC000564966439 304031152 /nfs/dbraw/zinc/03/11/52/304031152.db2.gz GNFMZRXTGDAVEI-JTQLQIEISA-N -1 1 315.329 1.581 20 0 DDADMM Cc1cnccc1NC(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC000073472071 353252976 /nfs/dbraw/zinc/25/29/76/353252976.db2.gz YUPXBTVDXDNDNX-UHFFFAOYSA-N -1 1 311.301 1.288 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H](C)OCC1CC1 ZINC000074161852 353296286 /nfs/dbraw/zinc/29/62/86/353296286.db2.gz WOBFULXHPZKPMI-LLVKDONJSA-N -1 1 318.377 1.071 20 0 DDADMM O=C(C=Cc1cccc(CO)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000492107867 234386155 /nfs/dbraw/zinc/38/61/55/234386155.db2.gz GKWJBJHDXJDIAJ-SREVYHEPSA-N -1 1 313.361 1.291 20 0 DDADMM O=C(/C=C/c1cccnc1)NCC(=O)Nc1ccc(F)cc1[O-] ZINC000492124312 234392246 /nfs/dbraw/zinc/39/22/46/234392246.db2.gz IHTXWJIQZASURP-ZZXKWVIFSA-N -1 1 315.304 1.694 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C)S[C@@H](C)C2)o1 ZINC000080951279 353638424 /nfs/dbraw/zinc/63/84/24/353638424.db2.gz KHYDSQCXATUAKC-DTORHVGOSA-N -1 1 318.420 1.154 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H]2CCSC2)c(=O)[n-]1 ZINC000081031961 353647299 /nfs/dbraw/zinc/64/72/99/353647299.db2.gz BCWXRVDGJYIQJQ-SECBINFHSA-N -1 1 313.448 1.355 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCC[C@@H]1O ZINC000081953855 353699421 /nfs/dbraw/zinc/69/94/21/353699421.db2.gz AAQWQPNVONGMMM-MNOVXSKESA-N -1 1 307.394 1.267 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H](C)[C@@H](O)C3)cnc2n1 ZINC000089461306 353773024 /nfs/dbraw/zinc/77/30/24/353773024.db2.gz LUWPOJCXXSHYMN-RNCFNFMXSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](C)[C@@H](O)C3)c[n-]c2n1 ZINC000089461306 353773025 /nfs/dbraw/zinc/77/30/25/353773025.db2.gz LUWPOJCXXSHYMN-RNCFNFMXSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc(CCN(Cc2n[nH]c(=O)[n-]2)C[C@H]2CCCO2)cc1 ZINC000091587604 353830982 /nfs/dbraw/zinc/83/09/82/353830982.db2.gz AGZACTPPIVGTJJ-OAHLLOKOSA-N -1 1 316.405 1.630 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cnn(CC(F)F)c1)C(F)(F)F ZINC000345635484 282992157 /nfs/dbraw/zinc/99/21/57/282992157.db2.gz FVVFXLSRUZBEHZ-SSDOTTSWSA-N -1 1 321.271 1.767 20 0 DDADMM NC(=O)c1csc(NC(=O)c2cc(-c3ccco3)n[nH]2)n1 ZINC000135759156 354116232 /nfs/dbraw/zinc/11/62/32/354116232.db2.gz IRZVMBWELJTKPG-UHFFFAOYSA-N -1 1 303.303 1.477 20 0 DDADMM O=C(Nc1ccccc1CN1CCOCC1)c1cncc([O-])c1 ZINC000346241773 283040186 /nfs/dbraw/zinc/04/01/86/283040186.db2.gz RAPFEYDSMFCTLD-UHFFFAOYSA-N -1 1 313.357 1.872 20 0 DDADMM CCC(=O)c1ccc([O-])c(NS(=O)(=O)N(C)CCOC)c1 ZINC000565053236 304041177 /nfs/dbraw/zinc/04/11/77/304041177.db2.gz GSPKYMQPCVCTSL-UHFFFAOYSA-N -1 1 316.379 1.220 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)C[C@@H]2CCCO2)cc1F ZINC000177011722 198649710 /nfs/dbraw/zinc/64/97/10/198649710.db2.gz COPGEZVUWGCYEW-QMMMGPOBSA-N -1 1 307.318 1.894 20 0 DDADMM CCOC(=O)c1coc(=N[C@H]2CN(C)Cc3ccccc32)[n-]1 ZINC000591367002 355302251 /nfs/dbraw/zinc/30/22/51/355302251.db2.gz KEMVVJBQILNWMY-ZDUSSCGKSA-N -1 1 301.346 1.872 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC ZINC000592078431 355487367 /nfs/dbraw/zinc/48/73/67/355487367.db2.gz SSCUBGFDKSDJEQ-QMMMGPOBSA-N -1 1 307.318 1.442 20 0 DDADMM CCOC(=O)[C@@H](CC1(OC)CCC1)NC(=O)c1ncccc1[O-] ZINC000593854117 356023642 /nfs/dbraw/zinc/02/36/42/356023642.db2.gz SVRVWEOLEOEEBI-LLVKDONJSA-N -1 1 322.361 1.408 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](C)C(C)C ZINC000081043277 192219755 /nfs/dbraw/zinc/21/97/55/192219755.db2.gz BMWHRQYATLQUHM-VIFPVBQESA-N -1 1 303.384 1.157 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C)C2CCC2)cc1C ZINC000595323909 356449386 /nfs/dbraw/zinc/44/93/86/356449386.db2.gz WLPCWBICAYWVNR-SECBINFHSA-N -1 1 301.364 1.842 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@]2(C)CC2(C)C)o1 ZINC000595360683 356464611 /nfs/dbraw/zinc/46/46/11/356464611.db2.gz CSQUASURUFSIKN-ZDUSSCGKSA-N -1 1 301.364 1.923 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCO[C@@H](C)C2)cc1C ZINC000595320900 356448276 /nfs/dbraw/zinc/44/82/76/356448276.db2.gz JMMQWWAFLBBHDV-UWVGGRQHSA-N -1 1 317.363 1.220 20 0 DDADMM COC[C@]1(C(=O)OC)CCCN1C(=O)c1ccc([O-])cc1F ZINC000597963083 357401015 /nfs/dbraw/zinc/40/10/15/357401015.db2.gz MPNVXNMRRPFXRM-HNNXBMFYSA-N -1 1 311.309 1.326 20 0 DDADMM CCCC1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CCC1 ZINC000347718360 283264101 /nfs/dbraw/zinc/26/41/01/283264101.db2.gz OGQSZDZULWWGQQ-UHFFFAOYSA-N -1 1 312.391 1.949 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)[C@@H]1COC(C)(C)C1)C(=O)OCC ZINC000599276721 357871822 /nfs/dbraw/zinc/87/18/22/357871822.db2.gz BFOBXWKPEVZOHJ-RAUBUVQISA-N -1 1 319.423 1.371 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CO)CCC(C)C)o1 ZINC000599410606 357924793 /nfs/dbraw/zinc/92/47/93/357924793.db2.gz MHVRMIYHZXIABW-SNVBAGLBSA-N -1 1 319.379 1.142 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(c2cccc(Br)c2)CC1 ZINC000599333986 357898153 /nfs/dbraw/zinc/89/81/53/357898153.db2.gz CHJUGTDBGHHRNR-UHFFFAOYSA-N -1 1 322.166 1.310 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N(C1CC1)[C@@H]1CC(=O)NC1=O ZINC000179492152 199044158 /nfs/dbraw/zinc/04/41/58/199044158.db2.gz DWZRUYFPEACPTC-CQSZACIVSA-N -1 1 324.336 1.565 20 0 DDADMM CC[C@@H](C)CS(=O)(=O)[N-][C@@H](C(=O)OC)c1cccs1 ZINC000347971922 283310904 /nfs/dbraw/zinc/31/09/04/283310904.db2.gz WIYIXRHXUUHRAN-MWLCHTKSSA-N -1 1 305.421 1.928 20 0 DDADMM CO[N-]C(=O)[C@H]1CC(=O)N(C2CC2)[C@@H]1c1cccc(OC)c1 ZINC000180364874 199150132 /nfs/dbraw/zinc/15/01/32/199150132.db2.gz JJISBLAVSGDORI-DZGCQCFKSA-N -1 1 304.346 1.425 20 0 DDADMM CC[C@@H](Oc1ccccc1C(C)C)C(=O)NCc1nn[n-]n1 ZINC000600496356 358241150 /nfs/dbraw/zinc/24/11/50/358241150.db2.gz FEXIOCSSLFDUOG-GFCCVEGCSA-N -1 1 303.366 1.797 20 0 DDADMM CC(C)c1c(C(=O)NCc2nn[n-]n2)cnn1-c1ccccc1 ZINC000600503226 358242589 /nfs/dbraw/zinc/24/25/89/358242589.db2.gz DDOIUDUANRVAGN-UHFFFAOYSA-N -1 1 311.349 1.439 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC(SC)CC2)c1 ZINC000601478849 358570820 /nfs/dbraw/zinc/57/08/20/358570820.db2.gz XIPLIEVRVIMECH-UHFFFAOYSA-N -1 1 317.432 1.917 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccc(C)c(C(=O)OC)c2)c1=O ZINC000601948909 358748736 /nfs/dbraw/zinc/74/87/36/358748736.db2.gz FWDHYNVPLTXJOI-JTQLQIEISA-N -1 1 304.302 1.293 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2CCC(C3CC3)CC2)n[n-]1 ZINC000603019688 359362314 /nfs/dbraw/zinc/36/23/14/359362314.db2.gz CNDDEDXXTHKLSW-UHFFFAOYSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2CCC(C3CC3)CC2)n1 ZINC000603019688 359362321 /nfs/dbraw/zinc/36/23/21/359362321.db2.gz CNDDEDXXTHKLSW-UHFFFAOYSA-N -1 1 320.393 1.814 20 0 DDADMM CS[C@H](CO)[C@H](C)NC(=O)N=c1[n-]sc2ccccc21 ZINC000603051904 359378594 /nfs/dbraw/zinc/37/85/94/359378594.db2.gz MWNAQDQTKYAGBQ-GZMMTYOYSA-N -1 1 311.432 1.952 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccc(F)cc1F ZINC000618488261 363650454 /nfs/dbraw/zinc/65/04/54/363650454.db2.gz OUQLGCSPNXOGDZ-ZDUSSCGKSA-N -1 1 315.283 1.997 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CC23CCCC3)n[n-]1 ZINC000603152646 359439389 /nfs/dbraw/zinc/43/93/89/359439389.db2.gz CYCZWBRAUVJKDX-VHSXEESVSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CC23CCCC3)[n-]1 ZINC000603152646 359439394 /nfs/dbraw/zinc/43/93/94/359439394.db2.gz CYCZWBRAUVJKDX-VHSXEESVSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CC23CCCC3)n1 ZINC000603152646 359439396 /nfs/dbraw/zinc/43/93/96/359439396.db2.gz CYCZWBRAUVJKDX-VHSXEESVSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@H](C)SC)n[n-]1 ZINC000603156692 359442834 /nfs/dbraw/zinc/44/28/34/359442834.db2.gz SOXDTOJXYBUYFR-JGVFFNPUSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@H](C)SC)[n-]1 ZINC000603156692 359442841 /nfs/dbraw/zinc/44/28/41/359442841.db2.gz SOXDTOJXYBUYFR-JGVFFNPUSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@H](C)SC)n1 ZINC000603156692 359442847 /nfs/dbraw/zinc/44/28/47/359442847.db2.gz SOXDTOJXYBUYFR-JGVFFNPUSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H]2CCC[C@@H]2C)n[n-]1 ZINC000603157190 359444241 /nfs/dbraw/zinc/44/42/41/359444241.db2.gz UQVKYISWBTWVFC-GARJFASQSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H]2CCC[C@@H]2C)[n-]1 ZINC000603157190 359444248 /nfs/dbraw/zinc/44/42/48/359444248.db2.gz UQVKYISWBTWVFC-GARJFASQSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H]2CCC[C@@H]2C)n1 ZINC000603157190 359444252 /nfs/dbraw/zinc/44/42/52/359444252.db2.gz UQVKYISWBTWVFC-GARJFASQSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@]2(C)CC2(F)F)n[n-]1 ZINC000603158515 359446096 /nfs/dbraw/zinc/44/60/96/359446096.db2.gz ZYSDZVJGSMITDR-KSBSHMNSSA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@]2(C)CC2(F)F)[n-]1 ZINC000603158515 359446100 /nfs/dbraw/zinc/44/61/00/359446100.db2.gz ZYSDZVJGSMITDR-KSBSHMNSSA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@]2(C)CC2(F)F)n1 ZINC000603158515 359446103 /nfs/dbraw/zinc/44/61/03/359446103.db2.gz ZYSDZVJGSMITDR-KSBSHMNSSA-N -1 1 302.281 1.204 20 0 DDADMM CCCSCC(=O)N[C@@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC000603167094 359451133 /nfs/dbraw/zinc/45/11/33/359451133.db2.gz UIQROLHWRJBUNH-QMMMGPOBSA-N -1 1 300.384 1.302 20 0 DDADMM CCCSCC(=O)N[C@@H](C)c1nnc(C(=O)OCC)[n-]1 ZINC000603167094 359451134 /nfs/dbraw/zinc/45/11/34/359451134.db2.gz UIQROLHWRJBUNH-QMMMGPOBSA-N -1 1 300.384 1.302 20 0 DDADMM CCCSCC(=O)N[C@@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC000603167094 359451137 /nfs/dbraw/zinc/45/11/37/359451137.db2.gz UIQROLHWRJBUNH-QMMMGPOBSA-N -1 1 300.384 1.302 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2csc(C(=O)OC)c2)n1 ZINC000358961169 299292348 /nfs/dbraw/zinc/29/23/48/299292348.db2.gz UOFKIJKTTZJUJG-UHFFFAOYSA-N -1 1 316.364 1.016 20 0 DDADMM Cc1cc(CNC(=O)c2nn(-c3ccccc3F)cc2[O-])n[nH]1 ZINC000603551474 359656820 /nfs/dbraw/zinc/65/68/20/359656820.db2.gz KMMSKDAGBDJYPO-UHFFFAOYSA-N -1 1 315.308 1.679 20 0 DDADMM Cc1ccn2cc(C(=O)NC3(c4nn[n-]n4)CCCC3)nc2c1 ZINC000605532332 359867275 /nfs/dbraw/zinc/86/72/75/359867275.db2.gz WEPNUBMONXZXBY-UHFFFAOYSA-N -1 1 311.349 1.355 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[S@@](=O)[C@H](C)C3)cnc2n1 ZINC000610091572 360381468 /nfs/dbraw/zinc/38/14/68/360381468.db2.gz AIURSBUWJAOVSV-ZQJOYCHOSA-N -1 1 319.386 1.237 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC[S@@](=O)[C@H](C)C1)c2=O ZINC000610091572 360381471 /nfs/dbraw/zinc/38/14/71/360381471.db2.gz AIURSBUWJAOVSV-ZQJOYCHOSA-N -1 1 319.386 1.237 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)Cc1ccc(C)nc1 ZINC000610231370 360393350 /nfs/dbraw/zinc/39/33/50/360393350.db2.gz PPWQGHSNVWBKNA-UHFFFAOYSA-N -1 1 302.334 1.779 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@H]2CCC(F)(F)C2)oc1C ZINC000610785289 360537178 /nfs/dbraw/zinc/53/71/78/360537178.db2.gz FKQFNVUFZCKBHU-QMMMGPOBSA-N -1 1 323.317 1.841 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2cc(OC)c(OC)cc2F)on1 ZINC000611490498 360733916 /nfs/dbraw/zinc/73/39/16/360733916.db2.gz ZDZZHFBPXQCDJI-UHFFFAOYSA-N -1 1 324.264 1.870 20 0 DDADMM Cc1ccn([C@H](C)CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000612818428 361155856 /nfs/dbraw/zinc/15/58/56/361155856.db2.gz WHGMATBSVDAUOY-NEPJUHHUSA-N -1 1 303.370 1.062 20 0 DDADMM Cc1ccn([C@H](C)CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000612818431 361156188 /nfs/dbraw/zinc/15/61/88/361156188.db2.gz WHGMATBSVDAUOY-VXGBXAGGSA-N -1 1 303.370 1.062 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnn2cccc(Cl)c12)c1nn[n-]n1 ZINC000613473301 361433692 /nfs/dbraw/zinc/43/36/92/361433692.db2.gz YPVRQDVLOVGYKA-MRVPVSSYSA-N -1 1 319.756 1.377 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc([O-])c(F)c1)c1cccc(O)c1 ZINC000613517822 361452720 /nfs/dbraw/zinc/45/27/20/361452720.db2.gz LPQIOAUQZGFLKQ-AWEZNQCLSA-N -1 1 319.288 1.881 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CCO1 ZINC000613808739 361580426 /nfs/dbraw/zinc/58/04/26/361580426.db2.gz AAVYFZPZAWINDQ-NSHDSACASA-N -1 1 305.252 1.856 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2ccc3oc(=O)nc-3[n-]2)CCN1C ZINC000613969069 361644029 /nfs/dbraw/zinc/64/40/29/361644029.db2.gz XUPQIIRBXRAROL-LLVKDONJSA-N -1 1 304.350 1.341 20 0 DDADMM CCCCc1cc([N-]S(=O)(=O)c2ccc(OC)nc2)[nH]n1 ZINC000194796790 201290314 /nfs/dbraw/zinc/29/03/14/201290314.db2.gz WKEFAHQKZPFVFF-UHFFFAOYSA-N -1 1 310.379 1.957 20 0 DDADMM O=C(c1ccc([O-])cc1F)N(CCN1CCOCC1)CC1CC1 ZINC000619886793 364177204 /nfs/dbraw/zinc/17/72/04/364177204.db2.gz AGTBYILXYVSIJZ-UHFFFAOYSA-N -1 1 322.380 1.716 20 0 DDADMM Cc1nccc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)n1 ZINC000359161712 299343007 /nfs/dbraw/zinc/34/30/07/299343007.db2.gz DAXVITYSCWLXEU-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM Cc1nccc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)n1 ZINC000359161712 299343008 /nfs/dbraw/zinc/34/30/08/299343008.db2.gz DAXVITYSCWLXEU-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM Cn1[n-]c(C(=O)NC[C@@H]2CCN2Cc2ccccc2)cc1=O ZINC000620782423 364551687 /nfs/dbraw/zinc/55/16/87/364551687.db2.gz PRMBIJAYBMSTHU-ZDUSSCGKSA-N -1 1 300.362 1.130 20 0 DDADMM C[C@@H]1CO[C@H](c2cccc(Cl)c2)CN1Cc1nc(=O)[n-][nH]1 ZINC000275497508 212373509 /nfs/dbraw/zinc/37/35/09/212373509.db2.gz GOUSZGHBVDFNIX-SKDRFNHKSA-N -1 1 308.769 1.713 20 0 DDADMM Cn1cc([C@H]2OCCC[C@@H]2NC(=O)c2cc(F)ccc2[O-])cn1 ZINC000275347286 212283992 /nfs/dbraw/zinc/28/39/92/212283992.db2.gz HRDLGTAHSOZGEC-DZGCQCFKSA-N -1 1 319.336 1.915 20 0 DDADMM COc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)sn1 ZINC000622012221 365184988 /nfs/dbraw/zinc/18/49/88/365184988.db2.gz VMTSSWKCENCJAC-UHFFFAOYSA-N -1 1 310.335 1.260 20 0 DDADMM O=C([O-])Cn1cnc(=NC(=O)c2ccc(C3CCCC3)cc2)[nH]1 ZINC000622043564 365208528 /nfs/dbraw/zinc/20/85/28/365208528.db2.gz DKNCLEOOTSRQLF-UHFFFAOYSA-N -1 1 314.345 1.695 20 0 DDADMM Cc1c(NC(=O)c2cncc([O-])c2)cnn1C1CCOCC1 ZINC000622626248 365454481 /nfs/dbraw/zinc/45/44/81/365454481.db2.gz NVADGFSPBOFKKA-UHFFFAOYSA-N -1 1 302.334 1.896 20 0 DDADMM C[N@@H+](CCNC(=O)c1cc(=O)[nH]cn1)Cc1ccc(F)cc1 ZINC000625993456 367264013 /nfs/dbraw/zinc/26/40/13/367264013.db2.gz XSMLNGYGGUUUTG-UHFFFAOYSA-N -1 1 304.325 1.183 20 0 DDADMM Cc1ccc2sc(CNC(=O)CCc3nn[n-]n3)nc2c1 ZINC000636277568 422770831 /nfs/dbraw/zinc/77/08/31/422770831.db2.gz NDKUUVIHPWNIRH-UHFFFAOYSA-N -1 1 302.363 1.367 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-]CC[C@H](O)C(F)(F)F)s1 ZINC000349962678 284120646 /nfs/dbraw/zinc/12/06/46/284120646.db2.gz CDYGCQQBOBTRFX-LURJTMIESA-N -1 1 318.342 1.297 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(Cc2nccn2-c2ccccc2)C1 ZINC000566361525 304148982 /nfs/dbraw/zinc/14/89/82/304148982.db2.gz KKXSFJGLVOQFSW-QGZVFWFLSA-N -1 1 315.373 1.795 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)c1ccc2[nH]nnc2c1 ZINC000248891383 304149152 /nfs/dbraw/zinc/14/91/52/304149152.db2.gz BRCIXXUFYXXHDY-FZQKWOKYSA-N -1 1 314.345 1.816 20 0 DDADMM Cc1ccc(C)c(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000275011326 212071638 /nfs/dbraw/zinc/07/16/38/212071638.db2.gz BZCYPERYTCKKRE-GFCCVEGCSA-N -1 1 323.374 1.001 20 0 DDADMM C[C@](NC(=O)c1cc(F)ccc1[O-])(C(N)=O)c1ccccc1 ZINC000094075110 193344153 /nfs/dbraw/zinc/34/41/53/193344153.db2.gz RLDZFVCRRZXUHT-MRXNPFEDSA-N -1 1 302.305 1.662 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282873929 217292526 /nfs/dbraw/zinc/29/25/26/217292526.db2.gz ISYNBFZYWAESBW-VXGBXAGGSA-N -1 1 316.361 1.272 20 0 DDADMM O=C(Cc1cccc(Cl)c1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282895958 217308052 /nfs/dbraw/zinc/30/80/52/217308052.db2.gz LCOOCQVRHHJYJV-LLVKDONJSA-N -1 1 320.780 1.700 20 0 DDADMM O=C(c1ccc2c(c1)CCC2)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282999117 217378443 /nfs/dbraw/zinc/37/84/43/217378443.db2.gz VJJMWYVLCWTRFV-AWEZNQCLSA-N -1 1 312.373 1.607 20 0 DDADMM CCC[C@@H](NC(=O)CCc1nc(C(C)C)no1)c1nn[n-]n1 ZINC000267681065 206216234 /nfs/dbraw/zinc/21/62/34/206216234.db2.gz MFZNSSYJTPBAEP-SECBINFHSA-N -1 1 307.358 1.296 20 0 DDADMM O=C(N[C@H]1CCC(=O)NC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000271830479 209251247 /nfs/dbraw/zinc/25/12/47/209251247.db2.gz FSOCXSXMWBGDCL-QMMMGPOBSA-N -1 1 302.252 1.419 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2cc(Br)ccc2[O-])C(=O)O1 ZINC000267881331 206319301 /nfs/dbraw/zinc/31/93/01/206319301.db2.gz WQJQSEVYKMFYQM-RCOVLWMOSA-N -1 1 314.135 1.589 20 0 DDADMM O=C1[C@H](Sc2nc(C(F)F)cc(=O)[n-]2)CCN1C1CC1 ZINC000351880945 284609237 /nfs/dbraw/zinc/60/92/37/284609237.db2.gz JGTZAMDHEWZEMH-MRVPVSSYSA-N -1 1 301.318 1.975 20 0 DDADMM CC[C@H](C)n1ncc(C(=O)N=c2[nH][n-]c(C)c2C(=O)NC)c1C ZINC000337138116 249361501 /nfs/dbraw/zinc/36/15/01/249361501.db2.gz FSVIBIVENACAGS-QMMMGPOBSA-N -1 1 318.381 1.228 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(=O)OC ZINC000284379715 218004759 /nfs/dbraw/zinc/00/47/59/218004759.db2.gz RXPPUWIHYLPYFK-VIFPVBQESA-N -1 1 309.746 1.709 20 0 DDADMM CC[C@H](c1ccncc1)N(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000352056333 284744210 /nfs/dbraw/zinc/74/42/10/284744210.db2.gz BOQMFQNFRVYSJJ-SNVBAGLBSA-N -1 1 318.333 1.515 20 0 DDADMM COc1cccc([C@H]2C[C@H](O)CN2C(=O)c2cncc([O-])c2)c1 ZINC000284908734 218229647 /nfs/dbraw/zinc/22/96/47/218229647.db2.gz GYNGCZBGUUGKAN-GOEBONIOSA-N -1 1 314.341 1.744 20 0 DDADMM CC(C)N1C[C@@H]([N-]S(=O)(=O)c2sccc2F)CC1=O ZINC000338883131 250179744 /nfs/dbraw/zinc/17/97/44/250179744.db2.gz HLJOKCSOKUIPHM-QMMMGPOBSA-N -1 1 306.384 1.175 20 0 DDADMM C[C@H]1CN(C2CC2)C[C@@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338932148 250203921 /nfs/dbraw/zinc/20/39/21/250203921.db2.gz ZTZZLDXLPJBZPV-KWQFWETISA-N -1 1 304.412 1.648 20 0 DDADMM O=C(CCc1cscn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339186013 250333486 /nfs/dbraw/zinc/33/34/86/250333486.db2.gz NHSTUNZIVDDMTH-UHFFFAOYSA-N -1 1 308.363 1.571 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(Cc2nccs2)CC1 ZINC000636309968 422797045 /nfs/dbraw/zinc/79/70/45/422797045.db2.gz IMBINIMGCWIGFW-UHFFFAOYSA-N -1 1 320.422 1.460 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)[C@@H](C)N2CC[C@H](C(=O)[O-])C2)c1C ZINC000567593031 304227699 /nfs/dbraw/zinc/22/76/99/304227699.db2.gz ORODAVMJXCESKR-MNOVXSKESA-N -1 1 322.361 1.396 20 0 DDADMM CCOC(=O)C[C@@H](C)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000340607021 251135077 /nfs/dbraw/zinc/13/50/77/251135077.db2.gz RIWMGIGBBFBSGT-MRVPVSSYSA-N -1 1 320.436 1.628 20 0 DDADMM CC(C)(C)NC(=O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000340726130 251178275 /nfs/dbraw/zinc/17/82/75/251178275.db2.gz SJEWYPJOEFDUDL-UHFFFAOYSA-N -1 1 322.789 1.672 20 0 DDADMM COC[C@H](C)n1ccc([N-]S(=O)(=O)N2CCC(C)CC2)n1 ZINC000340976256 251313409 /nfs/dbraw/zinc/31/34/09/251313409.db2.gz MZUVTOKJNATFBG-LBPRGKRZSA-N -1 1 316.427 1.479 20 0 DDADMM O=C(NC[C@@H]1CNC(=O)C1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000412465318 224035167 /nfs/dbraw/zinc/03/51/67/224035167.db2.gz QMXWSYWLJAKZRM-QMMMGPOBSA-N -1 1 319.748 1.460 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CSC[C@H]2C)c1 ZINC000352338383 284974912 /nfs/dbraw/zinc/97/49/12/284974912.db2.gz RFSLBDIMPJYBSJ-VXNVDRBHSA-N -1 1 305.377 1.096 20 0 DDADMM O=C1N[C@@H]2CCCC[C@H]2C[C@H]1S(=O)(=O)c1ccc([O-])cc1 ZINC000333831121 296391406 /nfs/dbraw/zinc/39/14/06/296391406.db2.gz LPJGDAHGKIJDQV-ZLKJLUDKSA-N -1 1 309.387 1.613 20 0 DDADMM CNC(=O)c1ccc(=NCC2([C@H]3CCCCO3)CCC2)[n-]n1 ZINC000631510212 422807341 /nfs/dbraw/zinc/80/73/41/422807341.db2.gz DLQVRXIYOMMFBG-CYBMUJFWSA-N -1 1 304.394 1.409 20 0 DDADMM NC(=O)NC(=O)OCCC[NH+]1CCN(c2ccccc2F)CC1 ZINC000120882310 195256797 /nfs/dbraw/zinc/25/67/97/195256797.db2.gz AKKXAIWLAWHVOB-UHFFFAOYSA-N -1 1 324.356 1.143 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cncc(C)c2)c1 ZINC000120894524 195259242 /nfs/dbraw/zinc/25/92/42/195259242.db2.gz XOCCWFVILVIPRT-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)Cc1ccccc1C ZINC000289535667 221049372 /nfs/dbraw/zinc/04/93/72/221049372.db2.gz QSPABQDZUNBPMU-JTQLQIEISA-N -1 1 309.391 1.783 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@H]3CC[C@@H](C(=O)[O-])O3)[nH]c21 ZINC000442662235 229935487 /nfs/dbraw/zinc/93/54/87/229935487.db2.gz HFDGJDYMOGIKCI-MNOVXSKESA-N -1 1 303.318 1.120 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cncc(C)c2)o1 ZINC000120953741 195271203 /nfs/dbraw/zinc/27/12/03/195271203.db2.gz KRKPQOMFHCRXIZ-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM CC[C@H](C)Oc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)ccn1 ZINC000124720801 195672569 /nfs/dbraw/zinc/67/25/69/195672569.db2.gz BSXQMVINWVGPJF-QWRGUYRKSA-N -1 1 318.381 1.648 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@@H]1CCCC[C@H]1C ZINC000416166012 533446293 /nfs/dbraw/zinc/44/62/93/533446293.db2.gz JNGXVVPSEPJQNB-ZJUUUORDSA-N -1 1 309.366 1.843 20 0 DDADMM COc1cc(C(=O)N2CC(O)(C(F)F)C2)cc(Cl)c1[O-] ZINC000425011217 533514972 /nfs/dbraw/zinc/51/49/72/533514972.db2.gz PVYVKMIJVJGZKE-UHFFFAOYSA-N -1 1 307.680 1.506 20 0 DDADMM CC[C@](COC)([N-]S(=O)(=O)CCC(C)(C)C)C(=O)OC ZINC000416518591 288415345 /nfs/dbraw/zinc/41/53/45/288415345.db2.gz UIANWVULBFCDQC-CYBMUJFWSA-N -1 1 309.428 1.310 20 0 DDADMM CC(C)(O)CCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000569065424 304333214 /nfs/dbraw/zinc/33/32/14/304333214.db2.gz PXJIDZDQSSMJIT-UHFFFAOYSA-N -1 1 318.370 1.687 20 0 DDADMM O=c1cc(C(F)(F)F)nc(SC[C@@H]2CCCNC2=O)[n-]1 ZINC000569435730 304352796 /nfs/dbraw/zinc/35/27/96/304352796.db2.gz BULIDHBGCWEEMV-LURJTMIESA-N -1 1 307.297 1.819 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCC[C@H]1[C@@H]1CCCOC1 ZINC000569458821 304355992 /nfs/dbraw/zinc/35/59/92/304355992.db2.gz HPQALXSQYWOEIW-RISCZKNCSA-N -1 1 300.362 1.989 20 0 DDADMM CO[C@H]1C[C@@H](CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000629821569 422825879 /nfs/dbraw/zinc/82/58/79/422825879.db2.gz NDJPMQUMAVIJRB-PHIMTYICSA-N -1 1 307.394 1.943 20 0 DDADMM CO[C@](C)([C@@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O)C1CC1 ZINC000412582330 286148757 /nfs/dbraw/zinc/14/87/57/286148757.db2.gz FNZFUOLDFBQIKQ-JDNHERCYSA-N -1 1 318.377 1.607 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](O)C(C)(C)C3)cnc2n1 ZINC000438717721 292304547 /nfs/dbraw/zinc/30/45/47/292304547.db2.gz OCBDGVLTBPIAPL-ZDUSSCGKSA-N -1 1 315.373 1.877 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](O)C(C)(C)C3)c[n-]c2n1 ZINC000438717721 292304548 /nfs/dbraw/zinc/30/45/48/292304548.db2.gz OCBDGVLTBPIAPL-ZDUSSCGKSA-N -1 1 315.373 1.877 20 0 DDADMM O=C(CN1CCCC[C@@H]1c1ccc(F)cc1)Nc1nnn[n-]1 ZINC000570142404 304397697 /nfs/dbraw/zinc/39/76/97/304397697.db2.gz FLBXSUCSKJTZIS-GFCCVEGCSA-N -1 1 304.329 1.505 20 0 DDADMM O=C(CN1CCCC[C@@H]1c1ccc(F)cc1)Nc1nn[n-]n1 ZINC000570142404 304397699 /nfs/dbraw/zinc/39/76/99/304397699.db2.gz FLBXSUCSKJTZIS-GFCCVEGCSA-N -1 1 304.329 1.505 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc2sccc2[nH]1 ZINC000579788602 422831107 /nfs/dbraw/zinc/83/11/07/422831107.db2.gz KWNISGHTXIKZNK-UHFFFAOYSA-N -1 1 302.363 1.942 20 0 DDADMM CCCc1cc(=O)[n-]c(S[C@H](C)C(=O)NCC(F)(F)F)n1 ZINC000011443261 406826945 /nfs/dbraw/zinc/82/69/45/406826945.db2.gz VWOVIDNLDNOADM-SSDOTTSWSA-N -1 1 323.340 1.882 20 0 DDADMM O=C(NCCNC(=O)c1ccc(Cl)cc1[O-])c1cccnc1 ZINC000075912540 406946001 /nfs/dbraw/zinc/94/60/01/406946001.db2.gz BCVWPLHWSOYMQH-UHFFFAOYSA-N -1 1 319.748 1.600 20 0 DDADMM O=C1OCCN1CCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000077392772 406989368 /nfs/dbraw/zinc/98/93/68/406989368.db2.gz PSNWUGPMVWPAJL-UHFFFAOYSA-N -1 1 320.317 1.085 20 0 DDADMM Cc1ccc(F)cc1NC(=O)[C@@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000086169836 407109453 /nfs/dbraw/zinc/10/94/53/407109453.db2.gz ZAEZEZIRIPLDPK-MRVPVSSYSA-N -1 1 312.326 1.053 20 0 DDADMM Cc1ccc(F)cc1NC(=O)[C@@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000086169836 407109457 /nfs/dbraw/zinc/10/94/57/407109457.db2.gz ZAEZEZIRIPLDPK-MRVPVSSYSA-N -1 1 312.326 1.053 20 0 DDADMM O=C(NC[C@@H]1CCOC1)c1ccc(Br)cc1[O-] ZINC000049450046 407121046 /nfs/dbraw/zinc/12/10/46/407121046.db2.gz HMUMZIHIPVABIO-QMMMGPOBSA-N -1 1 300.152 1.921 20 0 DDADMM COC(OC)[C@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000079611305 407059351 /nfs/dbraw/zinc/05/93/51/407059351.db2.gz FNACDAOAGNBSGB-ZETCQYMHSA-N -1 1 318.167 1.892 20 0 DDADMM CC(C)C(=O)N1CCCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000080023701 407068873 /nfs/dbraw/zinc/06/88/73/407068873.db2.gz NVPILLAACOMFLS-UHFFFAOYSA-N -1 1 308.353 1.862 20 0 DDADMM O=C(NC[C@H]1COCCO1)c1cc(Br)ccc1[O-] ZINC000054619529 407186665 /nfs/dbraw/zinc/18/66/65/407186665.db2.gz IPBAMSRUJQQQMT-VIFPVBQESA-N -1 1 316.151 1.300 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2C[C@H]2c2ccccc2)co1 ZINC000122852387 407312199 /nfs/dbraw/zinc/31/21/99/407312199.db2.gz ZHRBMBPIOYUTSR-QWHCGFSZSA-N -1 1 320.370 1.474 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC(C)CC1 ZINC000104013764 407345267 /nfs/dbraw/zinc/34/52/67/407345267.db2.gz KDRKRVHZPCYPFH-UHFFFAOYSA-N -1 1 302.378 1.440 20 0 DDADMM CCC(CC)n1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1C ZINC000124427198 407358311 /nfs/dbraw/zinc/35/83/11/407358311.db2.gz LNFRJDRMGALBDT-SNVBAGLBSA-N -1 1 319.413 1.941 20 0 DDADMM CCN(CC(F)(F)F)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000125490818 407390125 /nfs/dbraw/zinc/39/01/25/407390125.db2.gz KGAHICJCIABKRY-UHFFFAOYSA-N -1 1 314.285 1.212 20 0 DDADMM CCN(Cc1ccccc1)C(=O)CNC(=O)c1cncc([O-])c1 ZINC000111580035 407411941 /nfs/dbraw/zinc/41/19/41/407411941.db2.gz VHQSIKJBMDVMME-UHFFFAOYSA-N -1 1 313.357 1.566 20 0 DDADMM CCOCCN1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000111622193 407412903 /nfs/dbraw/zinc/41/29/03/407412903.db2.gz NDKIJVKJZKDRKC-UHFFFAOYSA-N -1 1 312.797 1.840 20 0 DDADMM O=C1CCCN1CCSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000126985749 407425708 /nfs/dbraw/zinc/42/57/08/407425708.db2.gz IVWJOSGAZHEHLW-UHFFFAOYSA-N -1 1 307.297 1.916 20 0 DDADMM COC(=O)CCCc1nnc(NC(=O)c2cncc([O-])c2)s1 ZINC000265773630 407447104 /nfs/dbraw/zinc/44/71/04/407447104.db2.gz CVQLAOFARDBKSF-UHFFFAOYSA-N -1 1 322.346 1.387 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)N2CCN([C@H](C)C(=O)[O-])CC2)c1 ZINC000261617540 407554337 /nfs/dbraw/zinc/55/43/37/407554337.db2.gz AHLLZZMFCJDKHA-KGLIPLIRSA-N -1 1 320.389 1.380 20 0 DDADMM O=C(N[C@@H]1CCCN(c2ccccc2)C1=O)c1ncccc1[O-] ZINC000114558461 407586918 /nfs/dbraw/zinc/58/69/18/407586918.db2.gz PFGFFANZMNALMK-CYBMUJFWSA-N -1 1 311.341 1.713 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2c(C)n[nH]c2C(F)F)cn1 ZINC000271254587 407600156 /nfs/dbraw/zinc/60/01/56/407600156.db2.gz GKOZCFORBZPFFM-UHFFFAOYSA-N -1 1 305.310 1.673 20 0 DDADMM C[C@@H](NC(=O)c1cc(Cl)ccc1[O-])C(=O)N1CCOCC1 ZINC000130135229 407658819 /nfs/dbraw/zinc/65/88/19/407658819.db2.gz RJJDEGGZUHHKSY-SECBINFHSA-N -1 1 312.753 1.023 20 0 DDADMM CNC(=O)[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(C)C ZINC000178925802 407659187 /nfs/dbraw/zinc/65/91/87/407659187.db2.gz KGJAKDZSKRKLIO-NSHDSACASA-N -1 1 306.334 1.014 20 0 DDADMM C[C@H](c1nccs1)N1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000186455078 407670411 /nfs/dbraw/zinc/67/04/11/407670411.db2.gz IKFNYQUUMHNFPO-LLVKDONJSA-N -1 1 318.402 1.763 20 0 DDADMM CC[C@@H]1CC[C@H](C)N1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000271437862 407686510 /nfs/dbraw/zinc/68/65/10/407686510.db2.gz NWCIWWXNROWZGF-VHSXEESVSA-N -1 1 300.380 1.591 20 0 DDADMM O=C(NCc1cccnc1OCC(F)F)c1cncc([O-])c1 ZINC000179401908 407782619 /nfs/dbraw/zinc/78/26/19/407782619.db2.gz STMUDAOHBNLKCF-UHFFFAOYSA-N -1 1 309.272 1.756 20 0 DDADMM C[C@@H](c1ccncc1)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179460378 407791622 /nfs/dbraw/zinc/79/16/22/407791622.db2.gz IBJFECIAPNBLJE-JTQLQIEISA-N -1 1 311.345 1.902 20 0 DDADMM Cc1cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)ccc1Cl ZINC000132778978 407801273 /nfs/dbraw/zinc/80/12/73/407801273.db2.gz WZHZIJAIROXHRK-UHFFFAOYSA-N -1 1 314.754 1.422 20 0 DDADMM Cc1cc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)ccc1Cl ZINC000132778978 407801281 /nfs/dbraw/zinc/80/12/81/407801281.db2.gz WZHZIJAIROXHRK-UHFFFAOYSA-N -1 1 314.754 1.422 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2ccc(F)c(Cl)c2)no1 ZINC000173398937 407879097 /nfs/dbraw/zinc/87/90/97/407879097.db2.gz HNDJWDFCILFGLS-UHFFFAOYSA-N -1 1 305.718 1.649 20 0 DDADMM C[S@@](=O)CCCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180527848 407897417 /nfs/dbraw/zinc/89/74/17/407897417.db2.gz XHQVUBJCKRBEDL-HXUWFJFHSA-N -1 1 309.309 1.909 20 0 DDADMM COc1cc(C(=O)NCC[C@@H](C)[S@](C)=O)cc(Cl)c1[O-] ZINC000154008603 407953759 /nfs/dbraw/zinc/95/37/59/407953759.db2.gz IWJGJXGOYJLYIF-ZPWHCFADSA-N -1 1 319.810 1.941 20 0 DDADMM COc1ccc(O[C@H](C)CNC(=O)c2ncccc2[O-])cc1 ZINC000174566429 407963469 /nfs/dbraw/zinc/96/34/69/407963469.db2.gz NGDYPAVYWNQFMH-LLVKDONJSA-N -1 1 302.330 1.993 20 0 DDADMM CC[C@H](C)[C@H](N[C@H]1CCN(c2ccccc2OC)C1=O)C(=O)[O-] ZINC000263186542 408051759 /nfs/dbraw/zinc/05/17/59/408051759.db2.gz UXBVVCWSUVBRHW-HUBLWGQQSA-N -1 1 320.389 1.889 20 0 DDADMM CC[C@]1(C)CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000135280939 407998494 /nfs/dbraw/zinc/99/84/94/407998494.db2.gz MFZHXLDQPKOKDN-OAHLLOKOSA-N -1 1 304.350 1.315 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N(C)Cc1cccc(OC)c1)C(=O)[O-] ZINC000263026464 408006201 /nfs/dbraw/zinc/00/62/01/408006201.db2.gz ASJJTMSBYVLSMV-LRDDRELGSA-N -1 1 322.405 1.743 20 0 DDADMM O=C(CN1CCS[C@H]2COCC[C@@H]21)[N-]OCc1ccccc1 ZINC000119464570 408077246 /nfs/dbraw/zinc/07/72/46/408077246.db2.gz VOBCQZUQXGPQEZ-GJZGRUSLSA-N -1 1 322.430 1.441 20 0 DDADMM CCC[C@H](NC(=O)CSc1ccc(OC)cc1)c1nn[n-]n1 ZINC000136625568 408112203 /nfs/dbraw/zinc/11/22/03/408112203.db2.gz SDFDVDLVQNAEGV-LBPRGKRZSA-N -1 1 321.406 1.958 20 0 DDADMM CC(C)c1nc(CNC(=O)NCc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000263430689 408137572 /nfs/dbraw/zinc/13/75/72/408137572.db2.gz YTFLABKCXIHGRI-UHFFFAOYSA-N -1 1 317.349 1.626 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)c1ccc(-c2nnc[nH]2)cc1)C(=O)[O-] ZINC000263447127 408141108 /nfs/dbraw/zinc/14/11/08/408141108.db2.gz RGTHKWMUPURKAR-CABZTGNLSA-N -1 1 302.334 1.701 20 0 DDADMM COC[C@](C)(CCO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000182837279 408260146 /nfs/dbraw/zinc/26/01/46/408260146.db2.gz IJFRKALMUXJKBI-ZDUSSCGKSA-N -1 1 321.295 1.928 20 0 DDADMM CCn1nc(C)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1Cl ZINC000273517268 408229060 /nfs/dbraw/zinc/22/90/60/408229060.db2.gz OTPMFWOUVWARTN-ZETCQYMHSA-N -1 1 311.777 1.254 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@H]1CCn2ccnc2C1 ZINC000155986213 408230951 /nfs/dbraw/zinc/23/09/51/408230951.db2.gz UIZVMMDMPGKKLN-LURJTMIESA-N -1 1 300.244 1.221 20 0 DDADMM O=S(=O)([N-]c1nc(C2CCC2)n[nH]1)c1ccc2c(c1)CCO2 ZINC000274195529 408321088 /nfs/dbraw/zinc/32/10/88/408321088.db2.gz LWHFWZDWDPBCON-UHFFFAOYSA-N -1 1 320.374 1.808 20 0 DDADMM Cc1cccc(N2CCC(NS(=O)(=O)c3c[nH]cn3)CC2)[nH+]1 ZINC000122218797 408267706 /nfs/dbraw/zinc/26/77/06/408267706.db2.gz RVVURGHTQRPMFG-UHFFFAOYSA-N -1 1 321.406 1.060 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1cnc2ccccc2c1)c1nn[n-]n1 ZINC000190706322 408305840 /nfs/dbraw/zinc/30/58/40/408305840.db2.gz JQUQGNXKGQZHBR-NSHDSACASA-N -1 1 310.361 1.553 20 0 DDADMM Cc1cc(C(=O)N2CCc3ccccc32)[n-]c2nc(=O)[nH]c(=O)c1-2 ZINC000151447216 408312201 /nfs/dbraw/zinc/31/22/01/408312201.db2.gz AVJOXBJNDUTALN-UHFFFAOYSA-N -1 1 322.324 1.535 20 0 DDADMM CC[C@@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1ccc(F)cc1 ZINC000131322394 162012358 /nfs/dbraw/zinc/01/23/58/162012358.db2.gz BQPVRTWKELOWLG-GFCCVEGCSA-N -1 1 306.341 1.480 20 0 DDADMM CC[C@@]1(C)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000191021796 408360578 /nfs/dbraw/zinc/36/05/78/408360578.db2.gz YALXZEVOEDSKCT-INIZCTEOSA-N -1 1 301.346 1.564 20 0 DDADMM CCc1ccc(S(=O)(=O)N[C@H](C)CN(C)C)cc1C(=O)[O-] ZINC000168650411 162181064 /nfs/dbraw/zinc/18/10/64/162181064.db2.gz DQYIHYJWGGHBTL-SNVBAGLBSA-N -1 1 314.407 1.176 20 0 DDADMM COCCn1cc(S(=O)(=O)[N-]c2cc(F)ccc2F)cn1 ZINC000269612109 408361053 /nfs/dbraw/zinc/36/10/53/408361053.db2.gz KLKKRVSAYRHGTD-UHFFFAOYSA-N -1 1 317.317 1.609 20 0 DDADMM CCC[C@@H](NC(=O)CCOc1ccccc1F)c1nn[n-]n1 ZINC000176787942 408445339 /nfs/dbraw/zinc/44/53/39/408445339.db2.gz JWXQJRVKDMKJQO-LLVKDONJSA-N -1 1 307.329 1.765 20 0 DDADMM Cc1ccccc1OCCCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183411012 408403472 /nfs/dbraw/zinc/40/34/72/408403472.db2.gz FHUZPMKGRNUACV-CYBMUJFWSA-N -1 1 317.393 1.929 20 0 DDADMM C[C@@H](CN(C)C(=O)COc1ccc(F)cc1F)c1nn[n-]n1 ZINC000183419474 408408201 /nfs/dbraw/zinc/40/82/01/408408201.db2.gz HTBAIOARCWFYOO-QMMMGPOBSA-N -1 1 311.292 1.119 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCSC[C@H](C)C2)o1 ZINC000191338377 408408395 /nfs/dbraw/zinc/40/83/95/408408395.db2.gz OUHTYGICTAIEHG-SECBINFHSA-N -1 1 318.420 1.013 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)Sc1ccccn1)c1nn[n-]n1 ZINC000183423321 408408560 /nfs/dbraw/zinc/40/85/60/408408560.db2.gz ZKJUBSDVOGYSCD-ZJUUUORDSA-N -1 1 306.395 1.337 20 0 DDADMM CC(C)c1ccc(OCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183432887 408410059 /nfs/dbraw/zinc/41/00/59/408410059.db2.gz MFLYOZNIJOHCHE-GFCCVEGCSA-N -1 1 317.393 1.964 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccccc1OC)c1nn[n-]n1 ZINC000176748929 408433288 /nfs/dbraw/zinc/43/32/88/408433288.db2.gz PUHYGULVIYHSEL-SNVBAGLBSA-N -1 1 305.338 1.245 20 0 DDADMM CCC[C@H](NC(=O)CSCc1cccnc1)c1nn[n-]n1 ZINC000176766277 408437721 /nfs/dbraw/zinc/43/77/21/408437721.db2.gz NCXWFBFAEGFMQJ-NSHDSACASA-N -1 1 306.395 1.486 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(F)c(F)c([O-])c1F)c1nn[nH]n1 ZINC000274770415 408524471 /nfs/dbraw/zinc/52/44/71/408524471.db2.gz LZDWCPVPYPVCKX-YFKPBYRVSA-N -1 1 315.255 1.198 20 0 DDADMM CO[C@@](C)(C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccc1F ZINC000192209606 408559124 /nfs/dbraw/zinc/55/91/24/408559124.db2.gz BRXFXKOYHZUNTM-ZUZCIYMTSA-N -1 1 321.356 1.463 20 0 DDADMM O=C(NCCCc1nnc2n1CCCC2)c1cc(F)ccc1[O-] ZINC000270573789 408586480 /nfs/dbraw/zinc/58/64/80/408586480.db2.gz CJXWJKZIPSAMAB-UHFFFAOYSA-N -1 1 318.352 1.822 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(-c2ccco2)n[nH]1)c1nn[n-]n1 ZINC000274797627 408535610 /nfs/dbraw/zinc/53/56/10/408535610.db2.gz RNUWSJMVCHGVQB-MRVPVSSYSA-N -1 1 301.310 1.059 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](C)C1CCOCC1 ZINC000162041245 408663769 /nfs/dbraw/zinc/66/37/69/408663769.db2.gz GZUXTPROFWQWHW-SNVBAGLBSA-N -1 1 321.421 1.921 20 0 DDADMM COCCOCc1ccc(CNC(=O)c2cncc([O-])c2)cc1 ZINC000291548002 408883948 /nfs/dbraw/zinc/88/39/48/408883948.db2.gz VKNCECVIWWEXSB-UHFFFAOYSA-N -1 1 316.357 1.880 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](Cc1ccccc1)C1CC1 ZINC000290802863 408836102 /nfs/dbraw/zinc/83/61/02/408836102.db2.gz RNGTYVIZWKHKTO-ZDUSSCGKSA-N -1 1 321.402 1.865 20 0 DDADMM CN(CCCCO)C(=O)c1cc(Br)ccc1[O-] ZINC000276451302 408860606 /nfs/dbraw/zinc/86/06/06/408860606.db2.gz MPZWWDVNQCQEGH-UHFFFAOYSA-N -1 1 302.168 1.999 20 0 DDADMM Cn1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c(C2CC2)n1 ZINC000291609630 408894451 /nfs/dbraw/zinc/89/44/51/408894451.db2.gz YCALEIDKERWDKO-UHFFFAOYSA-N -1 1 300.244 1.687 20 0 DDADMM COC(=O)c1cnc(S[C@@H](C(N)=O)c2ccc(F)cc2)[n-]1 ZINC000187599609 163023054 /nfs/dbraw/zinc/02/30/54/163023054.db2.gz SJPFKDGIBZZCGQ-SNVBAGLBSA-N -1 1 309.322 1.654 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H](C(N)=O)c2ccc(F)cc2)n1 ZINC000187599609 163023057 /nfs/dbraw/zinc/02/30/57/163023057.db2.gz SJPFKDGIBZZCGQ-SNVBAGLBSA-N -1 1 309.322 1.654 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C(C2CC2)C2CC2)c1 ZINC000291838454 408930807 /nfs/dbraw/zinc/93/08/07/408930807.db2.gz CPCGPSLTKDPDMZ-UHFFFAOYSA-N -1 1 324.402 1.675 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)N(C)CC(F)(F)F)c1nn[n-]n1 ZINC000193471647 163256267 /nfs/dbraw/zinc/25/62/67/163256267.db2.gz IJTKJAFPLVFFDI-SFYZADRCSA-N -1 1 308.308 1.040 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C3(C)CCC3)CC2)n1 ZINC000277653685 408986210 /nfs/dbraw/zinc/98/62/10/408986210.db2.gz ILXKSLGSKLWQSK-UHFFFAOYSA-N -1 1 320.393 1.878 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@@H]1c1cc[nH]n1 ZINC000287274758 409011771 /nfs/dbraw/zinc/01/17/71/409011771.db2.gz YMGQQSCQRLUHGZ-LLVKDONJSA-N -1 1 314.374 1.718 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(Cl)sc1Cl)C(N)=O ZINC000292322159 409024221 /nfs/dbraw/zinc/02/42/21/409024221.db2.gz ZXYOKNHANHJJRJ-SCSAIBSYSA-N -1 1 317.219 1.597 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCCCC(F)(F)F)ccnc1-2 ZINC000287672724 409074318 /nfs/dbraw/zinc/07/43/18/409074318.db2.gz QHIWSZZYSJUSCX-OCKHKDLRSA-N -1 1 301.272 1.806 20 0 DDADMM O=C(Nc1nnn[n-]1)C1(Cc2ccccc2Br)CC1 ZINC000293675613 409159339 /nfs/dbraw/zinc/15/93/39/409159339.db2.gz JWUAALQBLTVAOS-UHFFFAOYSA-N -1 1 322.166 1.924 20 0 DDADMM O=C(Nc1nn[n-]n1)C1(Cc2ccccc2Br)CC1 ZINC000293675613 409159342 /nfs/dbraw/zinc/15/93/42/409159342.db2.gz JWUAALQBLTVAOS-UHFFFAOYSA-N -1 1 322.166 1.924 20 0 DDADMM CC(C)C(=O)c1ccc([C@@H](C)C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000283494489 409166545 /nfs/dbraw/zinc/16/65/45/409166545.db2.gz OJAKKMBCHLNRQK-SNVBAGLBSA-N -1 1 317.345 1.212 20 0 DDADMM Cc1scc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c1C ZINC000294257036 409267718 /nfs/dbraw/zinc/26/77/18/409267718.db2.gz FFOSSIUSZBKNNK-JTQLQIEISA-N -1 1 306.391 1.796 20 0 DDADMM O=c1nc([C@@H]2CCCCN2Cc2nnc(-c3ccco3)o2)[nH][n-]1 ZINC000289432292 409270221 /nfs/dbraw/zinc/27/02/21/409270221.db2.gz VURFIMCVTLGIQM-VIFPVBQESA-N -1 1 316.321 1.468 20 0 DDADMM Cc1cc(CNC(=O)c2cncc([O-])c2)nc(N2CCCC2)n1 ZINC000294299646 409274140 /nfs/dbraw/zinc/27/41/40/409274140.db2.gz ZTPBOQMWQSZTFF-UHFFFAOYSA-N -1 1 313.361 1.416 20 0 DDADMM O=C([O-])[C@@H](Cc1ccccc1)NC(=O)c1ccc2[nH]nnc2c1 ZINC000320022897 164039985 /nfs/dbraw/zinc/03/99/85/164039985.db2.gz OPSYOXDSRIWUDJ-CQSZACIVSA-N -1 1 310.313 1.384 20 0 DDADMM Cc1noc([C@H]2COCCN2C(=O)c2ncc(C)cc2[O-])n1 ZINC000408063867 164214113 /nfs/dbraw/zinc/21/41/13/164214113.db2.gz JTLRYDYTQFIKNM-SNVBAGLBSA-N -1 1 304.306 1.001 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC[C@@H]1[C@H]1CCCC[C@@H]1O ZINC000408064886 164215169 /nfs/dbraw/zinc/21/51/69/164215169.db2.gz MCQAXGHPIOMOJR-UPJWGTAASA-N -1 1 306.362 1.179 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H]([C@H](C)O)C1 ZINC000408165956 164249274 /nfs/dbraw/zinc/24/92/74/164249274.db2.gz OMQQNWJRHWNNCK-WDEREUQCSA-N -1 1 307.394 1.631 20 0 DDADMM COCc1nn(C)cc1C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000296454578 409564213 /nfs/dbraw/zinc/56/42/13/409564213.db2.gz XEGIWPJGRBYSGF-UHFFFAOYSA-N -1 1 319.317 1.311 20 0 DDADMM C[C@H](C(=O)NC(C)(C)c1nn[n-]n1)c1cn(C)c2ccccc12 ZINC000356855465 409664576 /nfs/dbraw/zinc/66/45/76/409664576.db2.gz ZUJUQTRSZHDYDL-JTQLQIEISA-N -1 1 312.377 1.846 20 0 DDADMM C[C@H](C1CCCCC1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000338170336 409770001 /nfs/dbraw/zinc/77/00/01/409770001.db2.gz QVYWLXCIWZNNQS-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM COc1cccc2[nH]cc(CC(=O)NC3(c4nn[n-]n4)CC3)c21 ZINC000357069609 409835863 /nfs/dbraw/zinc/83/58/63/409835863.db2.gz CMOGJTPQBXSJLL-UHFFFAOYSA-N -1 1 312.333 1.038 20 0 DDADMM COc1ccc(S(N)(=O)=O)cc1NC(=O)c1ccccc1[O-] ZINC000314996526 409835961 /nfs/dbraw/zinc/83/59/61/409835961.db2.gz BOKAAYUKLKZALR-UHFFFAOYSA-N -1 1 322.342 1.301 20 0 DDADMM CCCCCOC1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000357155631 409907738 /nfs/dbraw/zinc/90/77/38/409907738.db2.gz YELGDTMWXHJAFG-UHFFFAOYSA-N -1 1 315.373 1.954 20 0 DDADMM COc1ccc(C(=O)N(C)C)cc1NC(=O)c1cncc([O-])c1 ZINC000346261177 409888403 /nfs/dbraw/zinc/88/84/03/409888403.db2.gz YBNOSBJKWLCIIS-UHFFFAOYSA-N -1 1 315.329 1.750 20 0 DDADMM O=c1ccncn1CCc1nc(-c2ccc([O-])c(F)c2)no1 ZINC000350581374 409966119 /nfs/dbraw/zinc/96/61/19/409966119.db2.gz PXQVAMPMMBWULN-UHFFFAOYSA-N -1 1 302.265 1.381 20 0 DDADMM COC(=O)c1c(F)ccc([N-]S(=O)(=O)C[C@H](C)OC)c1F ZINC000357354419 409990527 /nfs/dbraw/zinc/99/05/27/409990527.db2.gz GRIRGMWDKIPMGJ-ZETCQYMHSA-N -1 1 323.317 1.528 20 0 DDADMM CC[C@H](CC(=O)Nc1nn[nH]c1C(N)=O)c1ccc(C)cc1 ZINC000297817560 410010810 /nfs/dbraw/zinc/01/08/10/410010810.db2.gz PVCJMTDUPDFPPS-SNVBAGLBSA-N -1 1 301.350 1.734 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCCOC1 ZINC000357423269 410028960 /nfs/dbraw/zinc/02/89/60/410028960.db2.gz UZNLFDQKEZOYCJ-GFCCVEGCSA-N -1 1 309.309 1.951 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc([C@@H]3CCCOC3)no2)o1 ZINC000350850337 410004019 /nfs/dbraw/zinc/00/40/19/410004019.db2.gz BFJVXYOSENFCAS-MRVPVSSYSA-N -1 1 313.335 1.132 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H]2c2nc3c([nH]2)CCCC3)nc1=O ZINC000329030914 410059591 /nfs/dbraw/zinc/05/95/91/410059591.db2.gz PPGYKSLMJRELOC-LBPRGKRZSA-N -1 1 302.382 1.047 20 0 DDADMM C[C@@H](CC(=O)NC(C)(C)c1nn[n-]n1)C(=O)c1cccc(F)c1 ZINC000354771264 410077560 /nfs/dbraw/zinc/07/75/60/410077560.db2.gz JPJGJHFXDSMJHV-VIFPVBQESA-N -1 1 319.340 1.599 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC[NH+]3CCCCC3)cnc2n1 ZINC000339314171 410082119 /nfs/dbraw/zinc/08/21/19/410082119.db2.gz XXHVKFVEMHJQOE-UHFFFAOYSA-N -1 1 314.389 1.860 20 0 DDADMM C[C@@H]1[C@@H](C)CN1C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000357548255 410096331 /nfs/dbraw/zinc/09/63/31/410096331.db2.gz IUUWHTGFMQAULL-VHSXEESVSA-N -1 1 314.407 1.837 20 0 DDADMM CCO[C@@H](C)c1ncc(C(=O)NC(C)(C)c2nn[n-]n2)s1 ZINC000354807361 410099708 /nfs/dbraw/zinc/09/97/08/410099708.db2.gz VQCDCYANKXGMML-ZETCQYMHSA-N -1 1 310.383 1.419 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)C[C@H](C)C(C)(C)C)o1 ZINC000636432701 422853922 /nfs/dbraw/zinc/85/39/22/422853922.db2.gz DEKFYCGECCPZAY-JTQLQIEISA-N -1 1 316.423 1.942 20 0 DDADMM CC[C@H](C)NC(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000346728449 410116432 /nfs/dbraw/zinc/11/64/32/410116432.db2.gz YYJLMOFQFGGMDT-VIFPVBQESA-N -1 1 315.395 1.987 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCc1cc(F)cc(F)c1 ZINC000343179396 410215688 /nfs/dbraw/zinc/21/56/88/410215688.db2.gz ZDEMXLMQLQIUEZ-UHFFFAOYSA-N -1 1 321.283 1.112 20 0 DDADMM Cc1ccccc1[C@H]1CN(Cc2nc(=O)n(C)[n-]2)[C@H](C)CO1 ZINC000329598773 410379315 /nfs/dbraw/zinc/37/93/15/410379315.db2.gz PSDSGRBYEZHACQ-TZMCWYRMSA-N -1 1 302.378 1.379 20 0 DDADMM O=C(NC[C@@]1(CO)C[C@@H]1c1ccc(F)cc1)c1cncc([O-])c1 ZINC000355340018 410456110 /nfs/dbraw/zinc/45/61/10/410456110.db2.gz NOQIOSSTYNUYTL-NVXWUHKLSA-N -1 1 316.332 1.822 20 0 DDADMM COc1cc(C(=O)NC[C@@](C)(O)CSC)cc(Cl)c1[O-] ZINC000358617801 410498201 /nfs/dbraw/zinc/49/82/01/410498201.db2.gz RJKFNIPSTUYQOW-CYBMUJFWSA-N -1 1 319.810 1.898 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@]3(CCOC3)OC(C)(C)C2)c([O-])c1 ZINC000330039447 410524018 /nfs/dbraw/zinc/52/40/18/410524018.db2.gz BTOGBRORHBYYGM-MRXNPFEDSA-N -1 1 306.362 1.506 20 0 DDADMM CS[C@@H]1CN(Cc2nc(=O)c3sccc3[n-]2)C[C@H]1N(C)C ZINC000299574972 410597600 /nfs/dbraw/zinc/59/76/00/410597600.db2.gz HKVMXTBZOBXAQM-GHMZBOCLSA-N -1 1 324.475 1.874 20 0 DDADMM NC(=O)C[C@H](NC(=O)c1cncc([O-])c1)c1cccc(Cl)c1 ZINC000339915833 410550109 /nfs/dbraw/zinc/55/01/09/410550109.db2.gz XZZDUWJQMNKXQU-ZDUSSCGKSA-N -1 1 319.748 1.787 20 0 DDADMM COc1nc(C)ccc1C(=O)N=c1nc(C(F)(F)F)[n-][nH]1 ZINC000347452186 410557278 /nfs/dbraw/zinc/55/72/78/410557278.db2.gz LQGGGMTZRRYSLT-UHFFFAOYSA-N -1 1 301.228 1.210 20 0 DDADMM CC[C@@H](CNC(=O)CCc1nn[n-]n1)Oc1ccccc1Cl ZINC000631626681 422859842 /nfs/dbraw/zinc/85/98/42/422859842.db2.gz UWGNIWUDNAJEOK-JTQLQIEISA-N -1 1 323.784 1.760 20 0 DDADMM CCC(CC)(CC)CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000343868855 410763470 /nfs/dbraw/zinc/76/34/70/410763470.db2.gz AYZGPBLLMUMAHL-UHFFFAOYSA-N -1 1 307.394 1.850 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2[C@H](C)C2(F)F)c1 ZINC000359681689 410812233 /nfs/dbraw/zinc/81/22/33/410812233.db2.gz LJESTQMCXRUEPS-QUBYGPBYSA-N -1 1 320.317 1.140 20 0 DDADMM CC[C@@H](C)[C@@H]([N-]S(=O)(=O)c1cnnn1C)C(F)(F)F ZINC000359729797 410841728 /nfs/dbraw/zinc/84/17/28/410841728.db2.gz LHDDUGPWOKNQMY-HTRCEHHLSA-N -1 1 300.306 1.070 20 0 DDADMM CC(C)c1cc(C(=O)NC(C)(C)c2nn[n-]n2)nn1C(C)(C)C ZINC000359798814 410889771 /nfs/dbraw/zinc/88/97/71/410889771.db2.gz NSKLLIIVAKQBDM-UHFFFAOYSA-N -1 1 319.413 1.940 20 0 DDADMM Cc1cnn(-c2ccc(C(=O)NC3(c4nn[n-]n4)CC3)cc2)c1 ZINC000348284481 410897353 /nfs/dbraw/zinc/89/73/53/410897353.db2.gz UWPPIIGWTDBVOP-UHFFFAOYSA-N -1 1 309.333 1.113 20 0 DDADMM O=C(CCOc1ccc(Cl)cc1)NC1(c2nn[n-]n2)CC1 ZINC000348291969 410900477 /nfs/dbraw/zinc/90/04/77/410900477.db2.gz KHPCVOLKQXYWFY-UHFFFAOYSA-N -1 1 307.741 1.428 20 0 DDADMM CCNc1nc(C(=O)Nc2cc(C(=O)OC)ccc2[O-])cs1 ZINC000337698076 410952223 /nfs/dbraw/zinc/95/22/23/410952223.db2.gz UZEHWZUVCVJJME-UHFFFAOYSA-N -1 1 321.358 1.741 20 0 DDADMM O=C(CCC(=O)c1ccccn1)NC1(c2nn[n-]n2)CCCC1 ZINC000353416359 410972630 /nfs/dbraw/zinc/97/26/30/410972630.db2.gz GMSTYPLKLLNIMI-UHFFFAOYSA-N -1 1 314.349 1.143 20 0 DDADMM COC(=O)[C@]12CN(C(=O)c3ncc(C)cc3[O-])C[C@H]1CCCC2 ZINC000356361430 410984398 /nfs/dbraw/zinc/98/43/98/410984398.db2.gz PIFJVJAGBQSNCJ-SJKOYZFVSA-N -1 1 318.373 1.901 20 0 DDADMM Cc1cccc(CCCNC(=O)c2nc3ccccc3c(=O)[n-]2)n1 ZINC000353368214 410945724 /nfs/dbraw/zinc/94/57/24/410945724.db2.gz FBRUQLZNTQKPKB-UHFFFAOYSA-N -1 1 322.368 1.989 20 0 DDADMM C[C@@H]1C[C@H](C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)CO1 ZINC000331296443 411065953 /nfs/dbraw/zinc/06/59/53/411065953.db2.gz NDSDKWXJMUTQNS-BDAKNGLRSA-N -1 1 316.354 1.561 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C(C)C)C(C)(C)O)o1 ZINC000360146163 411073810 /nfs/dbraw/zinc/07/38/10/411073810.db2.gz ACEIYBIZUNIOIG-LLVKDONJSA-N -1 1 319.379 1.140 20 0 DDADMM O=C(Nc1cccc(OCCF)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000353840024 411134571 /nfs/dbraw/zinc/13/45/71/411134571.db2.gz CSINFSRWGHDXTM-UHFFFAOYSA-N -1 1 317.280 1.018 20 0 DDADMM CCC[C@H](NC(=O)C[C@H]1C[C@@H](C(C)=O)C1(C)C)c1nn[n-]n1 ZINC000353840589 411134596 /nfs/dbraw/zinc/13/45/96/411134596.db2.gz GKNQSXVAAJUURC-WOPDTQHZSA-N -1 1 307.398 1.799 20 0 DDADMM COCCOCc1ccc(C(=O)Nc2ccncc2[O-])cc1 ZINC000360174130 411088119 /nfs/dbraw/zinc/08/81/19/411088119.db2.gz AQZQRPFSAQFVMR-UHFFFAOYSA-N -1 1 302.330 1.624 20 0 DDADMM CCc1cccc(F)c1CN1CCN(c2nc(=N)[n-]s2)CC1 ZINC000353644653 411095823 /nfs/dbraw/zinc/09/58/23/411095823.db2.gz ATKKERUMVYUALS-UHFFFAOYSA-N -1 1 321.425 1.974 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCCCF)c1cccs1 ZINC000344550025 411109086 /nfs/dbraw/zinc/10/90/86/411109086.db2.gz QZJCPWBLKOLZCH-SNVBAGLBSA-N -1 1 309.384 1.631 20 0 DDADMM Cc1cccc([C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000353720217 411117924 /nfs/dbraw/zinc/11/79/24/411117924.db2.gz KFOYUSJQJHPWQO-NSHDSACASA-N -1 1 311.345 1.260 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCn3cccc3[C@@H]2C)c1 ZINC000631653692 422869646 /nfs/dbraw/zinc/86/96/46/422869646.db2.gz YZLSGQDRFQUVEJ-NSHDSACASA-N -1 1 322.386 1.968 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)[C@@H]1Oc2ccccc2[C@@H]1C ZINC000631685609 422880814 /nfs/dbraw/zinc/88/08/14/422880814.db2.gz AXCLVNSNTJMPNV-AMJWSMQMSA-N -1 1 301.350 1.202 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCO[C@H](c2ccc(F)cc2)C1 ZINC000635217423 422882133 /nfs/dbraw/zinc/88/21/33/422882133.db2.gz QDYGDCBAXYKFRF-ZDUSSCGKSA-N -1 1 319.340 1.262 20 0 DDADMM CC(C)(C)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000130528511 196087262 /nfs/dbraw/zinc/08/72/62/196087262.db2.gz RYKSMCXYFIFPLI-UHFFFAOYSA-N -1 1 312.391 1.818 20 0 DDADMM Cc1ccnc(N2CC[C@@H]([N@@H+](C)Cc3cc(=O)[nH]c(N)n3)C2)c1 ZINC000580417466 422929834 /nfs/dbraw/zinc/92/98/34/422929834.db2.gz IQVSBOQUXASYGP-CYBMUJFWSA-N -1 1 314.393 1.009 20 0 DDADMM CN(Cc1cc(Br)ccc1F)C[C@](C)(O)C(=O)[O-] ZINC000652405540 423003095 /nfs/dbraw/zinc/00/30/95/423003095.db2.gz MCWXEUWHFMUTQZ-LBPRGKRZSA-N -1 1 320.158 1.856 20 0 DDADMM COc1cncc(/C=C/CCN2CCOC[C@H]2CC(=O)[O-])c1 ZINC000652475294 423034660 /nfs/dbraw/zinc/03/46/60/423034660.db2.gz HZLGVXQDFHRTGK-KWEXDPCDSA-N -1 1 306.362 1.669 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)NCC1(C(=O)[O-])CCOCC1 ZINC000647764613 423036222 /nfs/dbraw/zinc/03/62/22/423036222.db2.gz JAOIQCWVPRXJJQ-UHFFFAOYSA-N -1 1 309.366 1.453 20 0 DDADMM COC(=O)[C@@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000134355783 196380519 /nfs/dbraw/zinc/38/05/19/196380519.db2.gz KWVSNNLWNJVFKU-ZCFIWIBFSA-N -1 1 302.124 1.446 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H](C)CCCO)c(F)c1 ZINC000650083029 423042612 /nfs/dbraw/zinc/04/26/12/423042612.db2.gz NWMPUCFYVCTGRQ-VIFPVBQESA-N -1 1 323.361 1.660 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(Cc2nnc3n2CCCCC3)C1 ZINC000652504412 423046738 /nfs/dbraw/zinc/04/67/38/423046738.db2.gz ZWRIREPVXNYBCW-INIZCTEOSA-N -1 1 306.410 1.937 20 0 DDADMM CC(C)(CN=c1nc(C2CCCC2)[n-]s1)S(C)(=O)=O ZINC000643185549 423054296 /nfs/dbraw/zinc/05/42/96/423054296.db2.gz AXUGPFWHAOTJMH-UHFFFAOYSA-N -1 1 303.453 1.853 20 0 DDADMM CSc1nc(CNC(=O)CC[C@@H]2CC[C@@H](C)O2)cc(=O)[n-]1 ZINC000640659280 423120841 /nfs/dbraw/zinc/12/08/41/423120841.db2.gz WEFNZCYCCWGNKQ-KOLCDFICSA-N -1 1 311.407 1.868 20 0 DDADMM COCCOC[C@@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000360551930 418462873 /nfs/dbraw/zinc/46/28/73/418462873.db2.gz NFBGTWUXLIATIP-SECBINFHSA-N -1 1 309.334 1.295 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC[C@@H]3OCCC[C@H]3C1)c2=O ZINC000374284400 418513348 /nfs/dbraw/zinc/51/33/48/418513348.db2.gz TWYKZQRDGYSUOT-GWCFXTLKSA-N -1 1 316.361 1.315 20 0 DDADMM O=C(NCCCO)c1cc(I)ccc1[O-] ZINC000193991644 222168298 /nfs/dbraw/zinc/16/82/98/222168298.db2.gz BPARSISXOCFRNY-UHFFFAOYSA-N -1 1 321.114 1.109 20 0 DDADMM CCCCNC(=O)[C@H](C)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000315945528 418598426 /nfs/dbraw/zinc/59/84/26/418598426.db2.gz HWRLDVYWRNPMGL-SWLSCSKDSA-N -1 1 304.390 1.975 20 0 DDADMM CSc1ccc(C(=O)N2CCOC[C@@H]2c2nnc[nH]2)c(O)c1 ZINC000375266310 418622745 /nfs/dbraw/zinc/62/27/45/418622745.db2.gz LNSFUUCYHUOHKA-LLVKDONJSA-N -1 1 320.374 1.446 20 0 DDADMM C[C@@H]1CN(c2ccccn2)C[C@H]1C(=O)[N-]OCC(F)(F)F ZINC000375409942 418636211 /nfs/dbraw/zinc/63/62/11/418636211.db2.gz BQAXFKFDEWQOGL-NXEZZACHSA-N -1 1 303.284 1.764 20 0 DDADMM O=C(CCc1ccc(F)cc1F)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370976290 418754200 /nfs/dbraw/zinc/75/42/00/418754200.db2.gz NFJJPFVJWMYEPR-GFCCVEGCSA-N -1 1 323.303 1.011 20 0 DDADMM O=C(NCC1(O)Cc2ccccc2C1)c1cc(F)ccc1[O-] ZINC000371152497 418764812 /nfs/dbraw/zinc/76/48/12/418764812.db2.gz XJLQVZSJIRDVKN-UHFFFAOYSA-N -1 1 301.317 1.791 20 0 DDADMM O=C(Nc1ccc2[n-]c(=S)oc2c1)[C@@H]1C[C@H]1c1nc[nH]n1 ZINC000364675780 418799463 /nfs/dbraw/zinc/79/94/63/418799463.db2.gz MINYGRUMTVVGGT-HTQZYQBOSA-N -1 1 301.331 1.977 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(F)c1 ZINC000372157342 418840609 /nfs/dbraw/zinc/84/06/09/418840609.db2.gz OMKLTWJJHPAZPH-VIFPVBQESA-N -1 1 305.313 1.367 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@H](C)c2n[nH]c(C)n2)c1 ZINC000424841654 228323652 /nfs/dbraw/zinc/32/36/52/228323652.db2.gz DZSFHNZLIRFETI-CLTRCRFRSA-N -1 1 322.390 1.107 20 0 DDADMM CCOCc1nc([C@@H](C)NC(=O)c2ncc(C)cc2[O-])no1 ZINC000427618942 419698679 /nfs/dbraw/zinc/69/86/79/419698679.db2.gz ULKVJDDSHBVBJG-SECBINFHSA-N -1 1 306.322 1.506 20 0 DDADMM CO[C@@H]1CC[C@H]2OCCN(C(=O)c3ncc(C)cc3[O-])[C@@H]2C1 ZINC000427651611 419707905 /nfs/dbraw/zinc/70/79/05/419707905.db2.gz AIZZCYQOHBSTCT-YRGRVCCFSA-N -1 1 306.362 1.504 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@]2(C)CC2(F)F)c1 ZINC000421909478 419765296 /nfs/dbraw/zinc/76/52/96/419765296.db2.gz PEFWVSRCOHEPCZ-LLVKDONJSA-N -1 1 320.317 1.284 20 0 DDADMM O=C(NC1(CCO)CCCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000432340884 229097750 /nfs/dbraw/zinc/09/77/50/229097750.db2.gz QHFSFBDHWZOGJV-UHFFFAOYSA-N -1 1 315.373 1.738 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]c2cc(-c3ccccc3)n[nH]2)n1 ZINC000434967923 229347560 /nfs/dbraw/zinc/34/75/60/229347560.db2.gz JEFYNMDPVLOKIC-UHFFFAOYSA-N -1 1 303.347 1.611 20 0 DDADMM CN(CCCC(=O)[O-])CC(=O)Nc1ccnn1C1CCCC1 ZINC000430664352 420173731 /nfs/dbraw/zinc/17/37/31/420173731.db2.gz OHZQWANIEHULKA-UHFFFAOYSA-N -1 1 308.382 1.733 20 0 DDADMM Cc1ccc(SCCNC(=O)CN2CC[C@H](C(=O)[O-])C2)cc1 ZINC000430683502 420177702 /nfs/dbraw/zinc/17/77/02/420177702.db2.gz ZRSCWPPUFQUFSX-ZDUSSCGKSA-N -1 1 322.430 1.610 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H]1CCc2ccccc21 ZINC000431505564 420237475 /nfs/dbraw/zinc/23/74/75/420237475.db2.gz CETSHOOZZIFOOJ-NSHDSACASA-N -1 1 315.329 1.656 20 0 DDADMM NC(=O)[C@H]1CC[C@H](CN=c2nc(C(F)(F)F)[n-]s2)CC1 ZINC000420608269 420330981 /nfs/dbraw/zinc/33/09/81/420330981.db2.gz GQMIKMSYJWFCDL-LJGSYFOKSA-N -1 1 308.329 1.682 20 0 DDADMM C[C@H]1COCCN1CCNC(=O)c1cc2ccccc2cc1[O-] ZINC000436565162 420339766 /nfs/dbraw/zinc/33/97/66/420339766.db2.gz SJGNKWJFUDKSIE-ZDUSSCGKSA-N -1 1 314.385 1.996 20 0 DDADMM CCOCCOC[C@@H](O)CNC(=O)c1cc(Cl)ccc1[O-] ZINC000436610326 420343194 /nfs/dbraw/zinc/34/31/94/420343194.db2.gz SQHKQDKAVVFHIS-NSHDSACASA-N -1 1 317.769 1.189 20 0 DDADMM O=C(NC[C@H](CO)C1CC1)c1cc(Br)ccc1[O-] ZINC000436617482 420345550 /nfs/dbraw/zinc/34/55/50/420345550.db2.gz IQHRIVHWGMBAKB-SECBINFHSA-N -1 1 314.179 1.903 20 0 DDADMM O=C([C@@H]1C[C@H]1c1cccnc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425328994 420353829 /nfs/dbraw/zinc/35/38/29/420353829.db2.gz YIDZHLCGZRPVPK-QWHCGFSZSA-N -1 1 314.345 1.680 20 0 DDADMM C[C@H](CC(=O)c1ccco1)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436705218 420357710 /nfs/dbraw/zinc/35/77/10/420357710.db2.gz RDOKQLHZDACUEM-SNVBAGLBSA-N -1 1 301.298 1.946 20 0 DDADMM O=C(NC[C@@H](CO)c1cccnc1)c1cc(Cl)ccc1[O-] ZINC000436736227 420361300 /nfs/dbraw/zinc/36/13/00/420361300.db2.gz FVRZIWXUVIWLAM-NSHDSACASA-N -1 1 306.749 1.947 20 0 DDADMM CNC(=O)CCCC(=O)N=c1cc(-c2cc(C)ccc2F)[n-][nH]1 ZINC000416251216 420295733 /nfs/dbraw/zinc/29/57/33/420295733.db2.gz IHNNGQGZVRSGCI-UHFFFAOYSA-N -1 1 318.352 1.801 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)C(=O)NCC3CC3)[nH][n-]2)c1 ZINC000416250270 420295933 /nfs/dbraw/zinc/29/59/33/420295933.db2.gz DBOZJQQBVBFVBG-UHFFFAOYSA-N -1 1 316.336 1.411 20 0 DDADMM NC(=O)NCc1ccc(NC(=O)c2ccc(O)cc2[O-])cc1 ZINC000436843691 420374641 /nfs/dbraw/zinc/37/46/41/420374641.db2.gz JWWNJQWYUYEBAY-UHFFFAOYSA-N -1 1 301.302 1.518 20 0 DDADMM CN(CCN(C)C(=O)C(=O)c1ccc([O-])cc1)CC(F)F ZINC000436907230 420381608 /nfs/dbraw/zinc/38/16/08/420381608.db2.gz RWTZEMJRYJKRBK-UHFFFAOYSA-N -1 1 300.305 1.230 20 0 DDADMM CC(C)(C)OC(=O)CCCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000436922993 420384976 /nfs/dbraw/zinc/38/49/76/420384976.db2.gz ALQXDWMOELCZKY-UHFFFAOYSA-N -1 1 307.346 1.813 20 0 DDADMM O=S(=O)([N-]Cc1cccnn1)c1c(F)cccc1Cl ZINC000443936919 230037404 /nfs/dbraw/zinc/03/74/04/230037404.db2.gz AJOQORIKAWMILW-UHFFFAOYSA-N -1 1 301.730 1.748 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H]2CCO[C@@H](C(C)C)C2)[n-]n1 ZINC000450736916 420517754 /nfs/dbraw/zinc/51/77/54/420517754.db2.gz KBTONYAILNZYMW-TZMCWYRMSA-N -1 1 306.410 1.511 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2CO[C@H](C)C2)c1Br ZINC000439515117 420520578 /nfs/dbraw/zinc/52/05/78/420520578.db2.gz VAMBIWVXEYRMPY-RNFRBKRXSA-N -1 1 302.172 1.520 20 0 DDADMM O=C(C=Cc1ccnc(Cl)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000492317962 420521692 /nfs/dbraw/zinc/52/16/92/420521692.db2.gz CLSRCFOHNKMJBB-DLRQAJBASA-N -1 1 318.768 1.668 20 0 DDADMM COCC(=O)N[C@H](C(=O)Nc1ccc([O-])c(F)c1F)C(C)C ZINC000456776837 420542334 /nfs/dbraw/zinc/54/23/34/420542334.db2.gz FOVFUGLDVWVANC-ZDUSSCGKSA-N -1 1 316.304 1.396 20 0 DDADMM COCc1nc(C)cc(Oc2ccc([N-]S(C)(=O)=O)nc2)n1 ZINC000439119405 420480646 /nfs/dbraw/zinc/48/06/46/420480646.db2.gz NHRHVGZDSWQURQ-UHFFFAOYSA-N -1 1 324.362 1.490 20 0 DDADMM C/C=C/COc1cccc(CC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000450956519 420568773 /nfs/dbraw/zinc/56/87/73/420568773.db2.gz FKYQMFJYYPIMMI-NSCUHMNNSA-N -1 1 313.361 1.503 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc2nc(N(C)C)oc2c1 ZINC000450975709 420573486 /nfs/dbraw/zinc/57/34/86/420573486.db2.gz BAZGZGUYWYGAJP-SECBINFHSA-N -1 1 313.379 1.670 20 0 DDADMM COc1ccc(C=CC(=O)N=c2ccc(=O)[n-][nH]2)c(OC)c1 ZINC000492673633 420625271 /nfs/dbraw/zinc/62/52/71/420625271.db2.gz FVLAYFQGIFJELV-DAXSKMNVSA-N -1 1 301.302 1.273 20 0 DDADMM COc1ccc(C=CC(=O)N=c2ccc([O-])n[nH]2)c(OC)c1 ZINC000492673633 420625274 /nfs/dbraw/zinc/62/52/74/420625274.db2.gz FVLAYFQGIFJELV-DAXSKMNVSA-N -1 1 301.302 1.273 20 0 DDADMM COc1cc(C(=O)N2CC[S@@](=O)[C@@H](C)C2)cc(Cl)c1[O-] ZINC000442673061 420697571 /nfs/dbraw/zinc/69/75/71/420697571.db2.gz SAFJVYGOFPCOIW-FFVOIRBGSA-N -1 1 317.794 1.647 20 0 DDADMM CCO[C@H]1C[C@]([N-]S(=O)(=O)CC(C)C)(C(=O)OC)C1(C)C ZINC000444276429 420757754 /nfs/dbraw/zinc/75/77/54/420757754.db2.gz MPYHCWDQLQSBFI-FZMZJTMJSA-N -1 1 321.439 1.309 20 0 DDADMM CC1(/C=C/C(=O)NC2(c3nn[n-]n3)CCCC2)CCOCC1 ZINC000493785598 420942445 /nfs/dbraw/zinc/94/24/45/420942445.db2.gz IBYPFQWLWQNTGK-QPJJXVBHSA-N -1 1 305.382 1.458 20 0 DDADMM CCc1nc(NC(=O)c2cncc([O-])c2)sc1C(=O)OC ZINC000486915892 420991989 /nfs/dbraw/zinc/99/19/89/420991989.db2.gz UPJCDJTWBOFSCC-UHFFFAOYSA-N -1 1 307.331 1.845 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1cccc(Cl)c1F)c1nn[n-]n1 ZINC000487115410 421004438 /nfs/dbraw/zinc/00/44/38/421004438.db2.gz IBVDGZIFPOCTIL-QMMMGPOBSA-N -1 1 311.748 1.797 20 0 DDADMM Cc1nc(=NC(=O)NCc2ccc(CN(C)C)c(F)c2)[n-]n1C ZINC000455531613 421031798 /nfs/dbraw/zinc/03/17/98/421031798.db2.gz ZHDFJYISFCCXKQ-UHFFFAOYSA-N -1 1 320.372 1.068 20 0 DDADMM CC[C@H](C)N(CC(=O)OC)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000456065216 421094642 /nfs/dbraw/zinc/09/46/42/421094642.db2.gz KBBUXQAOFHAARW-VIFPVBQESA-N -1 1 320.349 1.088 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@](C)(C(=O)[N-]OCCO)C1 ZINC000492186663 421211189 /nfs/dbraw/zinc/21/11/89/421211189.db2.gz DBAZRXOWZXABEP-CQSZACIVSA-N -1 1 302.371 1.064 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccc(F)c(F)c1 ZINC000560347533 421251016 /nfs/dbraw/zinc/25/10/16/421251016.db2.gz QMYXKAJUOCIFLO-ONGXEEELSA-N -1 1 321.331 1.988 20 0 DDADMM CN(CCC1CCCCC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546038778 421282975 /nfs/dbraw/zinc/28/29/75/421282975.db2.gz NJJVEUGYBXGLQH-UHFFFAOYSA-N -1 1 303.366 1.460 20 0 DDADMM Cn1ccc(CC[N-]S(=O)(=O)c2cc3ccccc3o2)n1 ZINC000546176645 421288358 /nfs/dbraw/zinc/28/83/58/421288358.db2.gz LYJXGEJEPCBBOP-UHFFFAOYSA-N -1 1 305.359 1.687 20 0 DDADMM C[C@H](Oc1cnc2ccccc2c1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000526929201 421338498 /nfs/dbraw/zinc/33/84/98/421338498.db2.gz HNBNKSDURUWLCR-JTQLQIEISA-N -1 1 324.344 1.321 20 0 DDADMM CCOCC(=O)N[C@H](CC(C)C)c1n[n-]c(C(=O)OCC)n1 ZINC000547739654 421362260 /nfs/dbraw/zinc/36/22/60/421362260.db2.gz HUDDKHBBFPTBGE-SNVBAGLBSA-N -1 1 312.370 1.221 20 0 DDADMM CCOCC(=O)N[C@H](CC(C)C)c1nnc(C(=O)OCC)[n-]1 ZINC000547739654 421362262 /nfs/dbraw/zinc/36/22/62/421362262.db2.gz HUDDKHBBFPTBGE-SNVBAGLBSA-N -1 1 312.370 1.221 20 0 DDADMM CCOCC(=O)N[C@H](CC(C)C)c1nc(C(=O)OCC)n[n-]1 ZINC000547739654 421362264 /nfs/dbraw/zinc/36/22/64/421362264.db2.gz HUDDKHBBFPTBGE-SNVBAGLBSA-N -1 1 312.370 1.221 20 0 DDADMM O=c1cnc2cc(S(=O)(=O)[N-]c3ccc(F)cc3)ccc2[nH]1 ZINC000562440885 421367255 /nfs/dbraw/zinc/36/72/55/421367255.db2.gz WUYQQPOBVMPPRH-UHFFFAOYSA-N -1 1 319.317 1.863 20 0 DDADMM Cc1cnc(C(=O)N(C)Cc2nnc3n2CCCCC3)c([O-])c1 ZINC000548303333 421420488 /nfs/dbraw/zinc/42/04/88/421420488.db2.gz HFAXGKVSZAKZEG-UHFFFAOYSA-N -1 1 315.377 1.686 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1cccc2cccnc21)c1nn[n-]n1 ZINC000548466590 421441986 /nfs/dbraw/zinc/44/19/86/421441986.db2.gz KVVZONDJKCSLCJ-LLVKDONJSA-N -1 1 310.361 1.553 20 0 DDADMM Cc1c(CN(C)C(=O)c2csc(=NC3CC3)[n-]2)cnn1C ZINC000548724848 421466671 /nfs/dbraw/zinc/46/66/71/421466671.db2.gz GVBIRVZCJSRISW-UHFFFAOYSA-N -1 1 305.407 1.453 20 0 DDADMM O=C(c1ccc(F)cc1O)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000552015398 421567072 /nfs/dbraw/zinc/56/70/72/421567072.db2.gz PSIHQBGVSYOJPI-UHFFFAOYSA-N -1 1 306.297 1.375 20 0 DDADMM C[C@H](CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])n1cccc1 ZINC000537504563 421729973 /nfs/dbraw/zinc/72/99/73/421729973.db2.gz MZKQWABWOUWRJQ-SNVBAGLBSA-N -1 1 323.374 1.431 20 0 DDADMM C[C@H]1CCN(CCNS(=O)(=O)c2cccc(F)c2F)C1 ZINC000555286996 421674991 /nfs/dbraw/zinc/67/49/91/421674991.db2.gz FHORGTYRVITVOV-JTQLQIEISA-N -1 1 304.362 1.585 20 0 DDADMM Cc1nc(CCCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cs1 ZINC000538223504 421737826 /nfs/dbraw/zinc/73/78/26/421737826.db2.gz PKXROJHSSYSQRR-NSHDSACASA-N -1 1 320.422 1.694 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2c(c1)C(C)(C)CO2)c1nn[n-]n1 ZINC000558961561 421828105 /nfs/dbraw/zinc/82/81/05/421828105.db2.gz DEIBCSCYLFMHLY-JTQLQIEISA-N -1 1 315.377 1.745 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc2ccccc2c(=O)[nH]1)c1nn[n-]n1 ZINC000543700910 421839877 /nfs/dbraw/zinc/83/98/77/421839877.db2.gz QZTUHGQZHQHPQB-SECBINFHSA-N -1 1 312.333 1.329 20 0 DDADMM CN(C)C(=O)c1ccc(CNCc2cccc(C(=O)[O-])c2)[nH]1 ZINC000635332249 421917942 /nfs/dbraw/zinc/91/79/42/421917942.db2.gz RRROBHOFFSPPEL-UHFFFAOYSA-N -1 1 301.346 1.705 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CCC[C@H](C(C)(C)C(=O)[O-])C1 ZINC000630158082 421926043 /nfs/dbraw/zinc/92/60/43/421926043.db2.gz QZKMRXZLCNORPP-NEPJUHHUSA-N -1 1 307.394 1.938 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CCC[C@@H](C(C)(C)C(=O)[O-])C1 ZINC000630158090 421926165 /nfs/dbraw/zinc/92/61/65/421926165.db2.gz QZKMRXZLCNORPP-NWDGAFQWSA-N -1 1 307.394 1.938 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NCc1ccc(CN(C)C)c(F)c1 ZINC000581370325 421958201 /nfs/dbraw/zinc/95/82/01/421958201.db2.gz RHDIKYIETBZWSS-AWEZNQCLSA-N -1 1 310.369 1.860 20 0 DDADMM Cc1ncc(C(=O)N2C[C@H](C(=O)[O-])C[C@H](C(F)(F)F)C2)[nH]1 ZINC000630204790 421958274 /nfs/dbraw/zinc/95/82/74/421958274.db2.gz WMBWAGYUCRAJPC-SFYZADRCSA-N -1 1 305.256 1.443 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCOc2c(F)cccc21 ZINC000635412581 421969545 /nfs/dbraw/zinc/96/95/45/421969545.db2.gz YGXUVCQZLIJAGG-NSHDSACASA-N -1 1 305.313 1.302 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2C[C@@H]2C2CCCC2)CC1 ZINC000630221171 421970260 /nfs/dbraw/zinc/97/02/60/421970260.db2.gz VDOBLVBLMAGCSU-BPLDGKMQSA-N -1 1 308.422 1.820 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2[C@@H](C)O[C@@H](C)[C@H]2C)CC1 ZINC000630221399 421970505 /nfs/dbraw/zinc/97/05/05/421970505.db2.gz ZPWGPSFARYGXRX-GGAZOKNXSA-N -1 1 312.410 1.053 20 0 DDADMM C[C@]1(Cc2ccccc2F)CCCN1C(=O)CCc1nn[n-]n1 ZINC000633563940 421970870 /nfs/dbraw/zinc/97/08/70/421970870.db2.gz FFPDRFCSQCBKAS-MRXNPFEDSA-N -1 1 317.368 1.895 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC000630222790 421972302 /nfs/dbraw/zinc/97/23/02/421972302.db2.gz OMNIYUTXOUXQHN-UHFFFAOYSA-N -1 1 310.781 1.961 20 0 DDADMM O=C([O-])C12CCC(CC1)N2C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000630324179 422023441 /nfs/dbraw/zinc/02/34/41/422023441.db2.gz IAYWSBQPEACWSF-UHFFFAOYSA-N -1 1 301.302 1.892 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccncc2Cl)CC1 ZINC000630228386 421978937 /nfs/dbraw/zinc/97/89/37/421978937.db2.gz YHQGUBXWPZKQHE-UHFFFAOYSA-N -1 1 311.769 1.356 20 0 DDADMM CSc1cccnc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630227670 421979210 /nfs/dbraw/zinc/97/92/10/421979210.db2.gz QJEKXCDTRPATOD-UHFFFAOYSA-N -1 1 323.418 1.425 20 0 DDADMM CN(C)c1ccc(S(=O)(=O)N=c2cc3ccccn3[n-]2)cn1 ZINC000581916614 422065495 /nfs/dbraw/zinc/06/54/95/422065495.db2.gz HNPRSIYMGDILPB-UHFFFAOYSA-N -1 1 317.374 1.018 20 0 DDADMM CN(Cc1ccc(F)cc1Cl)C(=O)CCCc1nn[n-]n1 ZINC000635534607 422086291 /nfs/dbraw/zinc/08/62/91/422086291.db2.gz GBPFJSLKGLKBCJ-UHFFFAOYSA-N -1 1 311.748 1.974 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2c(C)cc(C)nc2C)[n-]1 ZINC000630416599 422087532 /nfs/dbraw/zinc/08/75/32/422087532.db2.gz CVFUPNQKLVZSAW-UHFFFAOYSA-N -1 1 310.379 1.245 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2c(C)cc(C)nc2C)n[n-]1 ZINC000630416599 422087539 /nfs/dbraw/zinc/08/75/39/422087539.db2.gz CVFUPNQKLVZSAW-UHFFFAOYSA-N -1 1 310.379 1.245 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCC(F)(F)C2)c1 ZINC000632110748 422095664 /nfs/dbraw/zinc/09/56/64/422095664.db2.gz XGPWTSFIKIJXGZ-MRVPVSSYSA-N -1 1 307.318 1.867 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)[C@@H]1CN(C)CCO1 ZINC000632123881 422105147 /nfs/dbraw/zinc/10/51/47/422105147.db2.gz LPHCEWHBEQUXDN-WPRPVWTQSA-N -1 1 324.349 1.215 20 0 DDADMM CC[C@@H](NS(=O)(=O)c1cc(OC)ccc1[O-])[C@H]1CCCO1 ZINC000632145005 422117537 /nfs/dbraw/zinc/11/75/37/422117537.db2.gz SKCGATUSHBOEQF-DGCLKSJQSA-N -1 1 315.391 1.637 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(C2CC2)nc1C ZINC000633692179 422053106 /nfs/dbraw/zinc/05/31/06/422053106.db2.gz WHHCBYDRBFMSAU-UHFFFAOYSA-N -1 1 313.361 1.333 20 0 DDADMM C[C@@H]1CCN(CCNS(=O)(=O)c2cccc(Cl)c2[O-])C1 ZINC000632057077 422058127 /nfs/dbraw/zinc/05/81/27/422058127.db2.gz SDRPMFFJFAPXEX-SNVBAGLBSA-N -1 1 318.826 1.666 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2[C@H]3CCO[C@@H]3C23CCC3)sn1 ZINC000632140435 422116029 /nfs/dbraw/zinc/11/60/29/422116029.db2.gz HEGVYXKPOKDLOR-JLLWLGSASA-N -1 1 314.432 1.687 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCO[C@H](C3CC3)C2)sn1 ZINC000632216517 422171407 /nfs/dbraw/zinc/17/14/07/422171407.db2.gz ITHSVSKLEVRFND-MNOVXSKESA-N -1 1 302.421 1.687 20 0 DDADMM CC(C)[C@H](CNC(=O)CCCc1nn[n-]n1)c1cccnc1 ZINC000635658799 422210674 /nfs/dbraw/zinc/21/06/74/422210674.db2.gz JMFNWHMVZYMCSU-ZDUSSCGKSA-N -1 1 302.382 1.473 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H]1[C@H]1CCCCC1=O ZINC000635662587 422217005 /nfs/dbraw/zinc/21/70/05/422217005.db2.gz UQFSMLXWTCHXJV-VXGBXAGGSA-N -1 1 305.382 1.273 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)CC1(OC)CCCC1)[C@H]1CCCO1 ZINC000632289306 422223933 /nfs/dbraw/zinc/22/39/33/422223933.db2.gz SBMNMIAQWHMDRV-CHWSQXEVSA-N -1 1 321.439 1.059 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CSC[C@@H]2C)c1 ZINC000632331558 422253206 /nfs/dbraw/zinc/25/32/06/422253206.db2.gz OVTQXEPCZPJYHF-WCBMZHEXSA-N -1 1 303.405 1.431 20 0 DDADMM Cc1ccc(NC(=O)CCc2nn[n-]n2)cc1Br ZINC000630668228 422255948 /nfs/dbraw/zinc/25/59/48/422255948.db2.gz DKRFBZCSDIOBOA-UHFFFAOYSA-N -1 1 310.155 1.842 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2c(Cl)cnn2C)on1 ZINC000584149748 422270104 /nfs/dbraw/zinc/27/01/04/422270104.db2.gz PAUMDKGYUGEWGS-UHFFFAOYSA-N -1 1 304.759 1.102 20 0 DDADMM CCOC1CC(N(C)S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000632338598 422260131 /nfs/dbraw/zinc/26/01/31/422260131.db2.gz PCKKMUFXKYRIHI-UHFFFAOYSA-N -1 1 315.391 1.589 20 0 DDADMM O=C(Cn1nc(C(F)F)cc1C1CC1)Nc1ccncc1[O-] ZINC000635742468 422299190 /nfs/dbraw/zinc/29/91/90/422299190.db2.gz KGJXHHXYESPEBE-UHFFFAOYSA-N -1 1 308.288 1.859 20 0 DDADMM CN(Cc1ccc(Br)o1)C(=O)CCc1nn[n-]n1 ZINC000630732003 422300772 /nfs/dbraw/zinc/30/07/72/422300772.db2.gz PXVHZCSAEYKNEW-UHFFFAOYSA-N -1 1 314.143 1.146 20 0 DDADMM CC[C@@]1(O)CCCN(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC000634209736 422328921 /nfs/dbraw/zinc/32/89/21/422328921.db2.gz UQNLCAWPNSTJNT-CQSZACIVSA-N -1 1 311.407 1.590 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)Cc2cccc(C)n2)c1 ZINC000632428898 422335261 /nfs/dbraw/zinc/33/52/61/422335261.db2.gz UKUHDWXMDJVGBM-UHFFFAOYSA-N -1 1 322.386 1.925 20 0 DDADMM C[C@H]1OCC[C@H]1S(=O)(=O)Nc1cccc(C(=O)[O-])c1O ZINC000575992667 422355114 /nfs/dbraw/zinc/35/51/14/422355114.db2.gz FTVVWIOICZRSIS-GMSGAONNSA-N -1 1 301.320 1.010 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2ncccc2C)c1 ZINC000632410238 422319921 /nfs/dbraw/zinc/31/99/21/422319921.db2.gz ADRIXPNJUNHERO-UHFFFAOYSA-N -1 1 322.386 1.625 20 0 DDADMM NC(=O)CC1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000630761991 422321297 /nfs/dbraw/zinc/32/12/97/422321297.db2.gz KOKLTJPFBXIJHK-UHFFFAOYSA-N -1 1 310.781 1.702 20 0 DDADMM O=C(N[C@@H]1COCC[C@H]1O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000634465179 422442621 /nfs/dbraw/zinc/44/26/21/422442621.db2.gz RKTSCMSEVDSYOF-VXGBXAGGSA-N -1 1 322.748 1.473 20 0 DDADMM Cc1c(Br)cccc1NC(=O)CCc1nn[n-]n1 ZINC000630894833 422400064 /nfs/dbraw/zinc/40/00/64/422400064.db2.gz KRUZIJDNMIZVGY-UHFFFAOYSA-N -1 1 310.155 1.842 20 0 DDADMM CC[C@@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])[C@H](C)CO1 ZINC000632509991 422401092 /nfs/dbraw/zinc/40/10/92/422401092.db2.gz UOUAXTDKXZYBHX-GHMZBOCLSA-N -1 1 315.391 1.589 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H](c1nccs1)C(F)(F)F ZINC000635965408 422519575 /nfs/dbraw/zinc/51/95/75/422519575.db2.gz LVZQSGKMSZCQBO-QMMMGPOBSA-N -1 1 320.300 1.399 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCO[C@H](c3cnn(C)c3)C2)c([O-])c1 ZINC000577885856 422452996 /nfs/dbraw/zinc/45/29/96/422452996.db2.gz WOWKIXKURGUSAZ-OCCSQVGLSA-N -1 1 316.361 1.479 20 0 DDADMM O=C(N=c1cc(-c2cccs2)[n-][nH]1)[C@@H]1CCc2nncn2C1 ZINC000578022704 422480473 /nfs/dbraw/zinc/48/04/73/422480473.db2.gz XDDXMKXXJYJZND-SECBINFHSA-N -1 1 314.374 1.353 20 0 DDADMM OCC[C@@H]1CCN(c2ccc(=NCc3ccccc3F)[n-]n2)C1 ZINC000578307273 422541119 /nfs/dbraw/zinc/54/11/19/422541119.db2.gz AKDCICKEAKKBRB-ZDUSSCGKSA-N -1 1 316.380 1.858 20 0 DDADMM CO[C@@H]1CN(C[C@H](O)COc2ccccc2C)[C@@](C)(C(=O)[O-])C1 ZINC000634694901 422555040 /nfs/dbraw/zinc/55/50/40/422555040.db2.gz MSBORVXHQDTUAW-GRDNDAEWSA-N -1 1 323.389 1.299 20 0 DDADMM O=C(N[C@@H]1CCOC[C@H]1O)c1ccc(Br)c([O-])c1 ZINC000629243857 422556657 /nfs/dbraw/zinc/55/66/57/422556657.db2.gz LNXVPDBBMMOEAK-MWLCHTKSSA-N -1 1 316.151 1.034 20 0 DDADMM CCn1cc(N(CC(C)C)C(=O)CCCc2nn[n-]n2)cn1 ZINC000636001788 422557100 /nfs/dbraw/zinc/55/71/00/422557100.db2.gz LJUXLYIYOIRMPD-UHFFFAOYSA-N -1 1 305.386 1.428 20 0 DDADMM C[C@H](NC(=O)Cc1ccc([O-])c(Cl)c1)C(=O)N1CCCC1 ZINC000632846850 422623687 /nfs/dbraw/zinc/62/36/87/422623687.db2.gz SWLFAFUABUEDQK-JTQLQIEISA-N -1 1 310.781 1.715 20 0 DDADMM Cc1ccc(Cl)c([N-]S(=O)(=O)c2cnnn2C)c1C ZINC000629351140 422627361 /nfs/dbraw/zinc/62/73/61/422627361.db2.gz FCWCIMXODSERQT-UHFFFAOYSA-N -1 1 300.771 1.886 20 0 DDADMM COc1ncc(CN2CC[C@H](C(=O)[O-])[C@H]3CCC[C@H]32)c(C)n1 ZINC000629376543 422636809 /nfs/dbraw/zinc/63/68/09/422636809.db2.gz IORABKSMGLJWTJ-HZSPNIEDSA-N -1 1 305.378 1.869 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2ccc(C(N)=O)c(C)c2)CCC1 ZINC000634788726 422646703 /nfs/dbraw/zinc/64/67/03/422646703.db2.gz GVGQHSQDVCEVRB-UHFFFAOYSA-N -1 1 312.391 1.405 20 0 DDADMM COC[C@H](C)N(CC(=O)NCC(=O)[O-])[C@H]1CCc2ccccc21 ZINC000629507631 422706292 /nfs/dbraw/zinc/70/62/92/422706292.db2.gz OZLPPCWCOKRRJQ-WFASDCNBSA-N -1 1 320.389 1.212 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCO[C@H](CCF)C1 ZINC000629460992 422682589 /nfs/dbraw/zinc/68/25/89/422682589.db2.gz BNYLPRNTWVJUIA-SNVBAGLBSA-N -1 1 305.309 1.124 20 0 DDADMM COCCN1CCN(C(=O)C(=O)c2ccc([O-])cc2)C(C)(C)C1 ZINC000652927146 423220169 /nfs/dbraw/zinc/22/01/69/423220169.db2.gz ZVQOLWFJYYHSTE-UHFFFAOYSA-N -1 1 320.389 1.144 20 0 DDADMM CO[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC[C@@H]1C ZINC000190414821 263446844 /nfs/dbraw/zinc/44/68/44/263446844.db2.gz LQAORXHWCQCBJS-GWCFXTLKSA-N -1 1 301.346 1.420 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCS[C@H]1C ZINC000648685920 423416671 /nfs/dbraw/zinc/41/66/71/423416671.db2.gz URUMDRDUPONHMV-CMPLNLGQSA-N -1 1 320.418 1.604 20 0 DDADMM CO[C@H](CC(=O)Nc1n[n-]c(C(F)(F)F)n1)C(F)(F)F ZINC000651165887 423423884 /nfs/dbraw/zinc/42/38/84/423423884.db2.gz YUSXAWJOKKXOLE-GSVOUGTGSA-N -1 1 306.166 1.729 20 0 DDADMM Cc1ccc2nc(CNC(=O)N[C@@H]3CC[C@H](C(=O)[O-])C3)[nH]c2c1 ZINC000646151163 423369604 /nfs/dbraw/zinc/36/96/04/423369604.db2.gz RNUHDUXYWGGHTN-WDEREUQCSA-N -1 1 316.361 1.924 20 0 DDADMM CCNC(=O)CCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000648732293 423434252 /nfs/dbraw/zinc/43/42/52/423434252.db2.gz RRAZBMYOXREEFX-UHFFFAOYSA-N -1 1 302.334 1.637 20 0 DDADMM CSc1cccnc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000651353329 423503602 /nfs/dbraw/zinc/50/36/02/423503602.db2.gz BJDFBVVKFFXLDI-UHFFFAOYSA-N -1 1 304.379 1.516 20 0 DDADMM CC[C@H](NC(=O)c1cncc([O-])c1)C(=O)NCc1cccs1 ZINC000649041297 423596406 /nfs/dbraw/zinc/59/64/06/423596406.db2.gz ZWDBJSGUPYMYTC-ZDUSSCGKSA-N -1 1 319.386 1.674 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC2CN(S(C)(=O)=O)C2)n1 ZINC000641408365 423614793 /nfs/dbraw/zinc/61/47/93/423614793.db2.gz IKKBJEXTJRUMCL-UHFFFAOYSA-N -1 1 317.436 1.118 20 0 DDADMM O=S(=O)([N-]CC[C@@]1(O)CCOC1)c1c(F)cccc1Cl ZINC000651839709 423705256 /nfs/dbraw/zinc/70/52/56/423705256.db2.gz PPZGFVHAGCMXAX-GFCCVEGCSA-N -1 1 323.773 1.299 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](CC(N)=O)C1 ZINC000644512090 423680617 /nfs/dbraw/zinc/68/06/17/423680617.db2.gz ZEQIZFLLDSAEBP-SNVBAGLBSA-N -1 1 320.393 1.126 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CCF)c1cnccc1C(F)(F)F ZINC000651894621 423729595 /nfs/dbraw/zinc/72/95/95/423729595.db2.gz JRRWWSPLGPMVCP-SSDOTTSWSA-N -1 1 316.276 1.099 20 0 DDADMM CCO[C@H](CC)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000644640364 423738821 /nfs/dbraw/zinc/73/88/21/423738821.db2.gz NOBUSCSYYLFMHG-GFCCVEGCSA-N -1 1 316.379 1.054 20 0 DDADMM CC(=O)N1CC[C@@H](C[N-]S(=O)(=O)c2ccc(C(F)F)o2)C1 ZINC000641604126 423748834 /nfs/dbraw/zinc/74/88/34/423748834.db2.gz MGCAIMJWLFSCLM-VIFPVBQESA-N -1 1 322.333 1.364 20 0 DDADMM CC(=O)N1CC[C@@H](C[N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000641604402 423750078 /nfs/dbraw/zinc/75/00/78/423750078.db2.gz OEAFLWVPBUKNLI-VIFPVBQESA-N -1 1 322.839 1.548 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cccc3c2cc[nH]c3=O)CC1 ZINC000641690427 423869294 /nfs/dbraw/zinc/86/92/94/423869294.db2.gz JPDNWJIJODGLJA-UHFFFAOYSA-N -1 1 308.359 1.861 20 0 DDADMM Cc1cc(C2CCN(C(=O)c3cnc(C(=O)[O-])cn3)CC2)n[nH]1 ZINC000647190047 423836007 /nfs/dbraw/zinc/83/60/07/423836007.db2.gz GAJAQLFUAASUGT-UHFFFAOYSA-N -1 1 315.333 1.226 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cnn(CC(F)(F)F)c2)CC1 ZINC000641683773 423853839 /nfs/dbraw/zinc/85/38/39/423853839.db2.gz UTXBVHBFNRBLQG-UHFFFAOYSA-N -1 1 313.301 1.366 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]Cc1nc(C)no1)C1CCCCC1 ZINC000656970157 423939805 /nfs/dbraw/zinc/93/98/05/423939805.db2.gz IVTMROXLZTTYNJ-GFCCVEGCSA-N -1 1 317.411 1.393 20 0 DDADMM O=C(NCCO[C@H]1CCCCO1)c1nc2ccccc2c(=O)[n-]1 ZINC000641755989 423949444 /nfs/dbraw/zinc/94/94/44/423949444.db2.gz ZCENNSRCMSQKBO-ZDUSSCGKSA-N -1 1 317.345 1.196 20 0 DDADMM Cc1ccc2c([n-]cc(C(=O)N[C@@H]3CC[C@H](C(=O)[O-])C3)c2=O)[nH+]1 ZINC000647260782 423920373 /nfs/dbraw/zinc/92/03/73/423920373.db2.gz GDTKFGRPYDXFFT-VHSXEESVSA-N -1 1 315.329 1.627 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]1C(=O)NCC1(CN2CCOCC2)CCCCC1 ZINC000649462582 423928037 /nfs/dbraw/zinc/92/80/37/423928037.db2.gz IMMOFCLBFYDSNO-ZIAGYGMSSA-N -1 1 324.421 1.106 20 0 DDADMM O=C([O-])CC1(NC(=O)Nc2cnn(-c3ccncc3)c2)CCC1 ZINC000649540947 424009285 /nfs/dbraw/zinc/00/92/85/424009285.db2.gz LFIKWKXEZCXBLG-UHFFFAOYSA-N -1 1 315.333 1.786 20 0 DDADMM O=C([C@@H]1Cc2ccc(F)cc21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644884396 424010533 /nfs/dbraw/zinc/01/05/33/424010533.db2.gz UJVCIANSVNGUGV-GXFFZTMASA-N -1 1 317.320 1.960 20 0 DDADMM CCn1nc(C)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644884014 424012844 /nfs/dbraw/zinc/01/28/44/424012844.db2.gz MWNGWCSKUFCHKS-JTQLQIEISA-N -1 1 305.338 1.320 20 0 DDADMM C[C@H]1CCN(Cc2cnnn2Cc2ccccc2)C[C@@H]1C(=O)[O-] ZINC000647461753 424049733 /nfs/dbraw/zinc/04/97/33/424049733.db2.gz XDCFVXSDHYNPOC-BBRMVZONSA-N -1 1 314.389 1.869 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2ccc(C(=O)[O-])nc2)C[C@@H](C)O1 ZINC000642206997 424128684 /nfs/dbraw/zinc/12/86/84/424128684.db2.gz RLEIZAITXSUUBX-VXGBXAGGSA-N -1 1 321.377 1.009 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)C1CC1 ZINC000645073955 424141674 /nfs/dbraw/zinc/14/16/74/424141674.db2.gz XUESQEBTQPTUOW-SSDOTTSWSA-N -1 1 311.329 1.763 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H](O)C12CCC2)c1ccc(F)c(F)c1F ZINC000657184607 424196509 /nfs/dbraw/zinc/19/65/09/424196509.db2.gz KFSYPEXWCYPLGG-ZJUUUORDSA-N -1 1 321.320 1.686 20 0 DDADMM C[C@H](CN(CCC(=O)[O-])Cc1ccccc1)CS(C)(=O)=O ZINC000659790764 424256069 /nfs/dbraw/zinc/25/60/69/424256069.db2.gz XZUNJVJODXIWKA-CYBMUJFWSA-N -1 1 313.419 1.644 20 0 DDADMM C[C@@H]1C[N@@H+](CCO)CCN1C(=O)N=c1[nH]sc2ccccc21 ZINC000640337023 424356700 /nfs/dbraw/zinc/35/67/00/424356700.db2.gz DQLXEQBCFTXJDC-LLVKDONJSA-N -1 1 320.418 1.249 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCC[C@]1(O)CCCOC1 ZINC000640336872 424357108 /nfs/dbraw/zinc/35/71/08/424357108.db2.gz CKRVCMCEKILJEK-OAHLLOKOSA-N -1 1 321.402 1.771 20 0 DDADMM Cn1cnc(CCCNC(=O)N=c2[n-]sc3ccccc32)n1 ZINC000640337171 424359331 /nfs/dbraw/zinc/35/93/31/424359331.db2.gz GREDESQCRLUTCT-UHFFFAOYSA-N -1 1 316.390 1.601 20 0 DDADMM COC[C@@](C)(O)CCNC(=O)N=c1[n-]sc2ccccc21 ZINC000640340577 424363470 /nfs/dbraw/zinc/36/34/70/424363470.db2.gz WJGZAKWHRMIVJT-AWEZNQCLSA-N -1 1 309.391 1.627 20 0 DDADMM CC(C)C(=O)NCCNC(=O)N=c1[n-]sc2ccccc21 ZINC000640341123 424364124 /nfs/dbraw/zinc/36/41/24/424364124.db2.gz RQDICDUPSSOYNT-UHFFFAOYSA-N -1 1 306.391 1.612 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1coc(-c3cccs3)n1)C2 ZINC000662219577 424488508 /nfs/dbraw/zinc/48/85/08/424488508.db2.gz CZYAXUPDWANBPY-MEBBXXQBSA-N -1 1 320.370 1.936 20 0 DDADMM COc1ncc(Br)cc1CN(C)[C@H](C)C(=O)[O-] ZINC000662202158 424465847 /nfs/dbraw/zinc/46/58/47/424465847.db2.gz XELXZUYSFIYNNL-SSDOTTSWSA-N -1 1 303.156 1.758 20 0 DDADMM COc1ccccc1[C@H]1CCCN1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662201625 424467188 /nfs/dbraw/zinc/46/71/88/424467188.db2.gz JMUZSQIPVOBBCT-ZIAGYGMSSA-N -1 1 318.373 1.518 20 0 DDADMM C[C@@]1(c2ccccc2)CCN([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662207511 424475224 /nfs/dbraw/zinc/47/52/24/424475224.db2.gz YRXOGPPEEGVVKO-WMLDXEAASA-N -1 1 302.374 1.336 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1C[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC000664314344 424532197 /nfs/dbraw/zinc/53/21/97/424532197.db2.gz ZSJCCGGUTQTDPG-GRYCIOLGSA-N -1 1 313.357 1.156 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@@H](Nc2nc3[nH][n-]cc-3c(=O)n2)C12CCC2 ZINC000664471178 424603246 /nfs/dbraw/zinc/60/32/46/424603246.db2.gz ZFTBUCNXRBLHGY-GHMZBOCLSA-N -1 1 317.393 1.970 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)NC[C@@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665313716 424779978 /nfs/dbraw/zinc/77/99/78/424779978.db2.gz RHWHABOREAFCNZ-SNVBAGLBSA-N -1 1 323.397 1.402 20 0 DDADMM CCO[C@@H](CC)c1noc(-c2ccc(S(=O)(=O)[N-]C)o2)n1 ZINC000665032436 424733552 /nfs/dbraw/zinc/73/35/52/424733552.db2.gz HRSWGQOYOMRXKU-QMMMGPOBSA-N -1 1 315.351 1.725 20 0 DDADMM COCCNC(=O)c1cccc(NC(=O)c2cncc([O-])c2)c1 ZINC000341490761 271092365 /nfs/dbraw/zinc/09/23/65/271092365.db2.gz NNSRBSDBBSKEQJ-UHFFFAOYSA-N -1 1 315.329 1.416 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)c2ccon2)o1 ZINC000342346744 271383800 /nfs/dbraw/zinc/38/38/00/271383800.db2.gz IMCLXFWQSYXPFJ-SSDOTTSWSA-N -1 1 300.292 1.094 20 0 DDADMM O=S(=O)([N-]c1c(F)cccc1CO)c1cncc(F)c1 ZINC000342791483 271519303 /nfs/dbraw/zinc/51/93/03/271519303.db2.gz NQPBMGGKINTAFC-UHFFFAOYSA-N -1 1 300.286 1.653 20 0 DDADMM Cn1ccnc1C[N-]S(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000344667018 272084826 /nfs/dbraw/zinc/08/48/26/272084826.db2.gz NLMRVCAKCPIJPH-UHFFFAOYSA-N -1 1 321.736 1.830 20 0 DDADMM O=C(Nc1ccncc1[O-])c1cccc(OCC(F)(F)F)n1 ZINC000345360319 272230701 /nfs/dbraw/zinc/23/07/01/272230701.db2.gz NLUDMYDQFKDCAR-UHFFFAOYSA-N -1 1 313.235 1.798 20 0 DDADMM CC(C)(C)N1C[C@@H]([N-]S(=O)(=O)c2sccc2F)CC1=O ZINC000338919960 277158153 /nfs/dbraw/zinc/15/81/53/277158153.db2.gz JCWVZIJDSVNKKS-QMMMGPOBSA-N -1 1 320.411 1.565 20 0 DDADMM CN1C[C@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])CCC1=O ZINC000180574370 277204319 /nfs/dbraw/zinc/20/43/19/277204319.db2.gz VJEZCKYBUPUGFA-SECBINFHSA-N -1 1 316.279 1.762 20 0 DDADMM C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)c1cc(Cl)ccc1[O-] ZINC000287347442 278016345 /nfs/dbraw/zinc/01/63/45/278016345.db2.gz NFIJILHWKAXNQM-YPMHNXCESA-N -1 1 324.808 1.981 20 0 DDADMM C[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@H](C)N1CCO ZINC000456243393 288011989 /nfs/dbraw/zinc/01/19/89/288011989.db2.gz MWVRYTFNHSCJCP-AOOOYVTPSA-N -1 1 314.332 1.198 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)[C@@H]1CC2CCC1CC2)N(C)C ZINC000408499039 280176576 /nfs/dbraw/zinc/17/65/76/280176576.db2.gz UQBFWASJTZRQEC-NWINJMCUSA-N -1 1 316.467 1.597 20 0 DDADMM COc1ccc(C(=O)Nc2cccc(-c3nn[nH]n3)c2)c([O-])c1 ZINC000121667628 281142280 /nfs/dbraw/zinc/14/22/80/281142280.db2.gz UFGQIAMMGDLWQN-UHFFFAOYSA-N -1 1 311.301 1.833 20 0 DDADMM O=C(NCCCc1nnc2ccccn21)c1c([O-])cccc1F ZINC000122319544 281155200 /nfs/dbraw/zinc/15/52/00/281155200.db2.gz QWCMJPFPFIPAOE-UHFFFAOYSA-N -1 1 314.320 1.937 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2ccc(C(=O)NC)cc2)[nH]n1 ZINC000126445527 281239869 /nfs/dbraw/zinc/23/98/69/281239869.db2.gz DSSBLSKFZPDBEQ-UHFFFAOYSA-N -1 1 322.390 1.523 20 0 DDADMM CC(C)n1cnc(S(=O)(=O)[N-]c2cc(CN(C)C)ccn2)c1 ZINC000129300027 281339493 /nfs/dbraw/zinc/33/94/93/281339493.db2.gz FGIZLXZOYPVCBK-UHFFFAOYSA-N -1 1 323.422 1.721 20 0 DDADMM COc1cc(C(=O)N(C)[C@@H](C)C[S@@](C)=O)cc(Cl)c1[O-] ZINC000135250321 281581677 /nfs/dbraw/zinc/58/16/77/281581677.db2.gz HUXBBONTQSIGLI-FHZGZLOMSA-N -1 1 319.810 1.893 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)N=c2nc3ccccn3[n-]2)C[C@H]1C ZINC000354205722 298363090 /nfs/dbraw/zinc/36/30/90/298363090.db2.gz RSZXRWCALLIFPX-VXGBXAGGSA-N -1 1 302.382 1.098 20 0 DDADMM COc1cc(NC(=O)[C@@H](O)C(C)C)ccc1[N-]S(C)(=O)=O ZINC000362498307 300079040 /nfs/dbraw/zinc/07/90/40/300079040.db2.gz LNLVYRSVLOPRMV-LBPRGKRZSA-N -1 1 316.379 1.022 20 0 DDADMM C[C@@H]1CN(C)C[C@@H]1C(=O)N=c1nc(Cc2ccccc2)[n-]s1 ZINC000362785480 300140741 /nfs/dbraw/zinc/14/07/41/300140741.db2.gz MDDIJEGBMJHQAC-YPMHNXCESA-N -1 1 316.430 1.687 20 0 DDADMM CC[C@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000363790292 300307828 /nfs/dbraw/zinc/30/78/28/300307828.db2.gz RJZYAUAFMXZCMA-QWHCGFSZSA-N -1 1 319.340 1.433 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@]2(CNC(=O)O2)C1 ZINC000363922318 300326304 /nfs/dbraw/zinc/32/63/04/300326304.db2.gz IBDISSKGQMRDLG-AWEZNQCLSA-N -1 1 310.737 1.760 20 0 DDADMM C[C@H](NC(=O)N=c1nc(-c2ccsc2)[n-]s1)c1nnc[nH]1 ZINC000369615509 301205247 /nfs/dbraw/zinc/20/52/47/301205247.db2.gz JZUYFDNCJNPDBC-LURJTMIESA-N -1 1 321.391 1.689 20 0 DDADMM FC(F)(F)c1csc(NC[C@@H]2C[N@H+]3CCC[C@@H]3CO2)n1 ZINC000370749690 301384359 /nfs/dbraw/zinc/38/43/59/301384359.db2.gz VZKBFYLTPOJDMM-RKDXNWHRSA-N -1 1 307.341 1.859 20 0 DDADMM c1ccc(-n2[n-]nnc2=NC[C@@H]2CC[N@H+]2C2CCCCC2)cc1 ZINC000528116965 303004888 /nfs/dbraw/zinc/00/48/88/303004888.db2.gz WXVFXBCEAVFUOB-INIZCTEOSA-N -1 1 312.421 1.903 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1C[C@@]1(C)Br)c1nn[n-]n1 ZINC000528800148 303065216 /nfs/dbraw/zinc/06/52/16/303065216.db2.gz FEJAWJZPCWPING-MATHAZKKSA-N -1 1 302.176 1.331 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1C[C@@H](CO)[C@H](CO)C1 ZINC000529688456 303144018 /nfs/dbraw/zinc/14/40/18/303144018.db2.gz KLPISFSLMPVXRT-IUCAKERBSA-N -1 1 319.279 1.084 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@H]2CC[C@@H](C1)[S@@]2=O ZINC000530063371 303172059 /nfs/dbraw/zinc/17/20/59/303172059.db2.gz KNDVKVIVTLSMBI-KRNBLGIKSA-N -1 1 315.341 1.796 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)Cc2cnc(C(=O)[O-])cn2)C12CCC2 ZINC000530162109 303177585 /nfs/dbraw/zinc/17/75/85/303177585.db2.gz FPOSSEOJGOIBJS-ZIAGYGMSSA-N -1 1 305.378 1.954 20 0 DDADMM CO[C@H](Cc1ccc(F)cc1)CN1CCOC[C@H]1CC(=O)[O-] ZINC000530162146 303177693 /nfs/dbraw/zinc/17/76/93/303177693.db2.gz GJKGIYKKFUDCIJ-HUUCEWRRSA-N -1 1 311.353 1.559 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(Cc2cn3cc(Cl)ccc3n2)C1 ZINC000530169954 303179363 /nfs/dbraw/zinc/17/93/63/303179363.db2.gz KBLIBLPEWUPEGH-AWEZNQCLSA-N -1 1 309.753 1.663 20 0 DDADMM C[C@@H]1CCCC[C@H]1CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000531817842 303258991 /nfs/dbraw/zinc/25/89/91/303258991.db2.gz RIDRJXUGHAHAON-NEPJUHHUSA-N -1 1 323.466 1.816 20 0 DDADMM CC(C)Oc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)ccn1 ZINC000531842922 303260941 /nfs/dbraw/zinc/26/09/41/303260941.db2.gz HAFJRWBHLKGALY-UHFFFAOYSA-N -1 1 316.365 1.581 20 0 DDADMM O=C(NCCOC[C@H]1CCCO1)c1csc(=NC2CC2)[n-]1 ZINC000532664441 303292795 /nfs/dbraw/zinc/29/27/95/303292795.db2.gz NTBMSGYFLGVOKO-LLVKDONJSA-N -1 1 311.407 1.065 20 0 DDADMM O=C([O-])[C@@H]1CCN(CC(=O)NC2C3CC4CC(C3)CC2C4)C1 ZINC000532881798 303300495 /nfs/dbraw/zinc/30/04/95/303300495.db2.gz TYMPMVKZDJDBBX-VYQBCFAYSA-N -1 1 306.406 1.334 20 0 DDADMM O=C([O-])C1(NCC(=O)Nc2cccc3nsnc32)CCCC1 ZINC000532880989 303300580 /nfs/dbraw/zinc/30/05/80/303300580.db2.gz ILQNJEYUBUKZFP-UHFFFAOYSA-N -1 1 320.374 1.617 20 0 DDADMM CCCCN(CC(F)(F)F)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000357573321 306895144 /nfs/dbraw/zinc/89/51/44/306895144.db2.gz YZFNDYSRFOGPHH-UHFFFAOYSA-N -1 1 323.271 1.701 20 0 DDADMM COCc1ccsc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000537607475 303375901 /nfs/dbraw/zinc/37/59/01/303375901.db2.gz ZDWZXVHLNRLWJJ-SECBINFHSA-N -1 1 307.379 1.427 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)Nc1ccc(C)[nH]c1=O ZINC000542673046 303444716 /nfs/dbraw/zinc/44/47/16/303444716.db2.gz CYJKFBAUUFUEDC-UHFFFAOYSA-N -1 1 306.347 1.874 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCC[C@@H](S(C)(=O)=O)C2)c([O-])c1 ZINC000547976812 303564791 /nfs/dbraw/zinc/56/47/91/303564791.db2.gz NCKBWDBCQLCNKE-GHMZBOCLSA-N -1 1 312.391 1.181 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2nc(C3CC3)oc2C)n1 ZINC000563217221 303931478 /nfs/dbraw/zinc/93/14/78/303931478.db2.gz LKXGGWIONUCSOR-UHFFFAOYSA-N -1 1 308.319 1.751 20 0 DDADMM O=C(c1c(F)cccc1Cl)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000364528434 307047156 /nfs/dbraw/zinc/04/71/56/307047156.db2.gz HHJZEAIIQUOHND-SECBINFHSA-N -1 1 311.704 1.206 20 0 DDADMM CNC(=O)c1ccc(=NC[C@@H]2C[C@@]23CCCc2ccccc23)[n-]n1 ZINC000366115884 307068288 /nfs/dbraw/zinc/06/82/88/307068288.db2.gz VRNJWWFAZVYMAK-LIRRHRJNSA-N -1 1 322.412 1.964 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)[C@@H]1CCCc2cccnc21 ZINC000367685869 307102091 /nfs/dbraw/zinc/10/20/91/307102091.db2.gz MYNWNNPPARMPFD-LLVKDONJSA-N -1 1 322.390 1.489 20 0 DDADMM Cc1nn(C)c(C)c1[C@H]1COCCN1C(=O)c1cncc([O-])c1 ZINC000371441478 307149637 /nfs/dbraw/zinc/14/96/37/307149637.db2.gz YWBRJYGYTGQBOC-CQSZACIVSA-N -1 1 316.361 1.351 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H](O)C2CCCCC2)sc1C ZINC000533554704 307640431 /nfs/dbraw/zinc/64/04/31/307640431.db2.gz OMWNZBXWRQCUEF-LBPRGKRZSA-N -1 1 318.464 1.979 20 0 DDADMM Cc1ccccc1[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000544747715 307719166 /nfs/dbraw/zinc/71/91/66/307719166.db2.gz MOLHTZGSNLVAAN-HNNXBMFYSA-N -1 1 323.356 1.607 20 0 DDADMM Cc1nnc(Sc2nc3c(cnn3C)c(=O)[n-]2)n1C(C)C ZINC000548616140 307779641 /nfs/dbraw/zinc/77/96/41/307779641.db2.gz WBPLKBFEGXPWIY-UHFFFAOYSA-N -1 1 305.367 1.701 20 0 DDADMM Cc1ccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c2c1NCCC2 ZINC000557039872 307862161 /nfs/dbraw/zinc/86/21/61/307862161.db2.gz VVZMOGDFUHWWLG-UHFFFAOYSA-N -1 1 324.344 1.336 20 0 DDADMM CN1CCN(c2ncncc2C(=O)[O-])C[C@@H]1Cc1ccccc1 ZINC000565037191 308017641 /nfs/dbraw/zinc/01/76/41/308017641.db2.gz YCKJMQNBHRYXKC-AWEZNQCLSA-N -1 1 312.373 1.538 20 0 DDADMM CN(CC(=O)[O-])C(=O)[C@](C)(N)c1ccc(Br)cc1 ZINC000565063021 308018715 /nfs/dbraw/zinc/01/87/15/308018715.db2.gz SUYOUPRTDFKEBP-GFCCVEGCSA-N -1 1 315.167 1.166 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1cscn1)N1CCCC[C@H]1C ZINC000567163393 308073227 /nfs/dbraw/zinc/07/32/27/308073227.db2.gz KACYIXYMIAJBTM-MNOVXSKESA-N -1 1 303.453 1.684 20 0 DDADMM CC[C@@](C)(O)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000575176819 308272098 /nfs/dbraw/zinc/27/20/98/308272098.db2.gz GKGFJDZRZBGKHL-GFCCVEGCSA-N -1 1 300.405 1.736 20 0 DDADMM CO[C@H]1CCN(C(=O)c2[nH]nc3ccccc32)[C@@H](C(=O)[O-])C1 ZINC000576123366 308291017 /nfs/dbraw/zinc/29/10/17/308291017.db2.gz FRKIHXRSOZVAKZ-JOYOIKCWSA-N -1 1 303.318 1.267 20 0 DDADMM C[C@@H](CNc1nc(C(=O)[O-])co1)[N@H+]1CCc2sccc2C1 ZINC000576351314 308308773 /nfs/dbraw/zinc/30/87/73/308308773.db2.gz UNBPUKHVRMRORV-VIFPVBQESA-N -1 1 307.375 1.715 20 0 DDADMM C[C@@H](CNc1nc(C(=O)[O-])co1)N1CCc2sccc2C1 ZINC000576351314 308308775 /nfs/dbraw/zinc/30/87/75/308308775.db2.gz UNBPUKHVRMRORV-VIFPVBQESA-N -1 1 307.375 1.715 20 0 DDADMM CC(=O)c1cc(C(=O)N(CCN(C)C)CC(=O)[O-])ccc1C ZINC000577373270 308383216 /nfs/dbraw/zinc/38/32/16/308383216.db2.gz NQCILEIWEDAWIA-UHFFFAOYSA-N -1 1 306.362 1.286 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2CCCC[C@H]2C2CC2)n1 ZINC000577398504 308385817 /nfs/dbraw/zinc/38/58/17/308385817.db2.gz CDFHGHJCZWVDJA-UWVGGRQHSA-N -1 1 312.395 1.363 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2CCCC[C@H]2C2CC2)[n-]1 ZINC000577398504 308385818 /nfs/dbraw/zinc/38/58/18/308385818.db2.gz CDFHGHJCZWVDJA-UWVGGRQHSA-N -1 1 312.395 1.363 20 0 DDADMM C[C@@H](c1nnnn1-c1ccccc1)N1C[C@@H](C)[C@@](C)(C(=O)[O-])C1 ZINC000577500108 308392897 /nfs/dbraw/zinc/39/28/97/308392897.db2.gz PGDKYKHYILCYSJ-WQGACYEGSA-N -1 1 315.377 1.766 20 0 DDADMM COc1nc(NCCCN2C[C@@H](C)O[C@H](C)C2)ccc1C(=O)[O-] ZINC000580776266 308630347 /nfs/dbraw/zinc/63/03/47/308630347.db2.gz PTTDVGADYTXPIN-VXGBXAGGSA-N -1 1 323.393 1.122 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2cccc(F)c2F)CCC1 ZINC000582483974 337097036 /nfs/dbraw/zinc/09/70/36/337097036.db2.gz ARQYQLZSNFMIFV-UHFFFAOYSA-N -1 1 319.329 1.480 20 0 DDADMM COc1cccc(NC(=O)CN2C[C@@H](C(=O)[O-])CC[C@@H]2C)c1 ZINC000397029907 337242438 /nfs/dbraw/zinc/24/24/38/337242438.db2.gz OLERSZHXEURSKC-RYUDHWBXSA-N -1 1 306.362 1.819 20 0 DDADMM C[C@H](C(=O)NC(C)(C)C)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000387679025 483970952 /nfs/dbraw/zinc/97/09/52/483970952.db2.gz GIURAIPEVSAJSM-BXUZGUMPSA-N -1 1 304.390 1.974 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H]1NS(=O)(=O)c1c(F)cccc1F ZINC000085326229 484002821 /nfs/dbraw/zinc/00/28/21/484002821.db2.gz UWOMMPQJOPXCOH-XCBNKYQSSA-N -1 1 305.302 1.496 20 0 DDADMM COC(=O)c1cn(CCSc2nc(C3CC3)cc(=O)[n-]2)nn1 ZINC000436246492 484125687 /nfs/dbraw/zinc/12/56/87/484125687.db2.gz YYUCZVFRGZLWOD-UHFFFAOYSA-N -1 1 321.362 1.230 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(NC(=O)OC)cc1)c1nn[n-]n1 ZINC000493514229 484237128 /nfs/dbraw/zinc/23/71/28/484237128.db2.gz XROUVBHCEUAAFQ-LLVKDONJSA-N -1 1 318.337 1.649 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)C3(C(=O)[O-])CC=CC3)C2)n[nH]1 ZINC000663041900 484620270 /nfs/dbraw/zinc/62/02/70/484620270.db2.gz PFHIXSGLALYGDK-NSHDSACASA-N -1 1 304.350 1.240 20 0 DDADMM CCc1nc(C2CCN(C(=O)[C@H](C(=O)[O-])C(C)(C)C)CC2)n[nH]1 ZINC000663049810 484628467 /nfs/dbraw/zinc/62/84/67/484628467.db2.gz RAHKIJVHLXHYAW-GFCCVEGCSA-N -1 1 322.409 1.820 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@@H](C(=O)[O-])C2CC2)N2CCCC2)o1 ZINC000663106551 484672187 /nfs/dbraw/zinc/67/21/87/484672187.db2.gz RUWJMXMADGYIQM-HIFRSBDPSA-N -1 1 320.389 1.952 20 0 DDADMM Cn1cc(CNC(=O)c2c([O-])cnc3ccc(Cl)cc32)nn1 ZINC000669960114 484697858 /nfs/dbraw/zinc/69/78/58/484697858.db2.gz SBFWKDXZBSZUNG-UHFFFAOYSA-N -1 1 317.736 1.652 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@H]1Cc2ccccc2CN1)C1CCC1 ZINC000663279351 484781926 /nfs/dbraw/zinc/78/19/26/484781926.db2.gz GXIDUJMLHADIAK-HUUCEWRRSA-N -1 1 302.374 1.318 20 0 DDADMM CCc1ccccc1S(=O)(=O)[N-]c1ccnc(OCCO)c1 ZINC000663301658 484793771 /nfs/dbraw/zinc/79/37/71/484793771.db2.gz NFGIZTFDPQPKKQ-UHFFFAOYSA-N -1 1 322.386 1.816 20 0 DDADMM CC(C)(C)NC(=O)CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000668110339 484959422 /nfs/dbraw/zinc/95/94/22/484959422.db2.gz BUWQZOVXGLLOQB-UHFFFAOYSA-N -1 1 315.373 1.975 20 0 DDADMM CCCN1C[C@@H](c2nc3cc(F)c([O-])cc3c(=O)[nH]2)CC1=O ZINC000668145003 484984512 /nfs/dbraw/zinc/98/45/12/484984512.db2.gz XCHKOCUZVFKPJD-QMMMGPOBSA-N -1 1 305.309 1.494 20 0 DDADMM Nc1nnc(CNC(=O)c2ccc(C(F)(F)F)c([O-])c2)o1 ZINC000671800372 485191850 /nfs/dbraw/zinc/19/18/50/485191850.db2.gz CNEBNBZLOZYJJM-UHFFFAOYSA-N -1 1 302.212 1.306 20 0 DDADMM CCc1nc([C@H](C)NC(=O)NCc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000666563904 485274374 /nfs/dbraw/zinc/27/43/74/485274374.db2.gz OHMNHQYREJJAJH-VIFPVBQESA-N -1 1 317.349 1.626 20 0 DDADMM Cc1noc(C(F)F)c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000678755705 485675676 /nfs/dbraw/zinc/67/56/76/485675676.db2.gz YSWHEFSRWNIWCI-ZETCQYMHSA-N -1 1 312.280 1.454 20 0 DDADMM O=C(NCc1nn[n-]n1)c1csc(Cc2cccc(F)c2)n1 ZINC000679636286 485948246 /nfs/dbraw/zinc/94/82/46/485948246.db2.gz MUSXQZAYDACUIH-UHFFFAOYSA-N -1 1 318.337 1.316 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC2(CO)CCC2)c(F)c1 ZINC000680106644 486095160 /nfs/dbraw/zinc/09/51/60/486095160.db2.gz HFDNKEZBCTTZMK-UHFFFAOYSA-N -1 1 321.345 1.414 20 0 DDADMM COc1cccc([C@@H](C)CCCC(=O)NCc2nn[n-]n2)c1 ZINC000684048703 486226420 /nfs/dbraw/zinc/22/64/20/486226420.db2.gz BJTRYZICQQGUAF-NSHDSACASA-N -1 1 303.366 1.799 20 0 DDADMM CCN(C(=O)c1ccc([O-])c(F)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000681015341 486323905 /nfs/dbraw/zinc/32/39/05/486323905.db2.gz ZOJZORHTOVWOSZ-SNVBAGLBSA-N -1 1 301.339 1.181 20 0 DDADMM CCC[C@H](O)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676857893 486347712 /nfs/dbraw/zinc/34/77/12/486347712.db2.gz MGHKGOVEWPQDCN-QMMMGPOBSA-N -1 1 309.334 1.413 20 0 DDADMM CCC[C@@H](O)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676857892 486347776 /nfs/dbraw/zinc/34/77/76/486347776.db2.gz MGHKGOVEWPQDCN-MRVPVSSYSA-N -1 1 309.334 1.413 20 0 DDADMM CC(C)(CNC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(N)=O ZINC000684872904 486449607 /nfs/dbraw/zinc/44/96/07/486449607.db2.gz KQFGDEIMCZQJAU-UHFFFAOYSA-N -1 1 304.268 1.652 20 0 DDADMM Nc1ncncc1CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684877468 486450598 /nfs/dbraw/zinc/45/05/98/486450598.db2.gz QSPVLXMAIHXNCH-UHFFFAOYSA-N -1 1 312.251 1.713 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCN(C3CCCCC3)C2=O)c([O-])c1 ZINC000681738655 486507044 /nfs/dbraw/zinc/50/70/44/486507044.db2.gz BOXLRHXFXMMIQI-CYBMUJFWSA-N -1 1 317.389 1.759 20 0 DDADMM O=C(CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)Nc1c([O-])cccc1F ZINC000677647610 486514064 /nfs/dbraw/zinc/51/40/64/486514064.db2.gz OOBFQHFOPNHOPZ-AOOOYVTPSA-N -1 1 320.320 1.645 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)c1ccccc1 ZINC000685490776 486547821 /nfs/dbraw/zinc/54/78/21/486547821.db2.gz AJTJCTUNINXXND-UHFFFAOYSA-N -1 1 309.391 1.821 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCOc1cccc(C)c1 ZINC000685489809 486548005 /nfs/dbraw/zinc/54/80/05/486548005.db2.gz ZPTIUBABNHNUHT-UHFFFAOYSA-N -1 1 311.363 1.231 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC3(C2)CC(F)(F)C3)co1 ZINC000677928128 486580985 /nfs/dbraw/zinc/58/09/85/486580985.db2.gz VHUBDTCOALLMTK-UHFFFAOYSA-N -1 1 320.317 1.059 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2nc(C)c(C)[nH]c2=O)c1 ZINC000436510889 534231618 /nfs/dbraw/zinc/23/16/18/534231618.db2.gz VSQRTIQHBUACGI-UHFFFAOYSA-N -1 1 317.301 1.131 20 0 DDADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)c1cccc(C)c1C ZINC000353508950 517630598 /nfs/dbraw/zinc/63/05/98/517630598.db2.gz HWUCPZZWSIVMID-UHFFFAOYSA-N -1 1 311.345 1.701 20 0 DDADMM CC(C)(NC(=O)C=Cc1ccccc1-n1cccn1)c1nn[n-]n1 ZINC000492037539 527264030 /nfs/dbraw/zinc/26/40/30/527264030.db2.gz DBFGLUSEIRJFAC-HJWRWDBZSA-N -1 1 323.360 1.450 20 0 DDADMM CCO[C@@H]1C[C@@](CO)(NC(=O)c2ncc(C)cc2[O-])C1(C)C ZINC000332484590 527872270 /nfs/dbraw/zinc/87/22/70/527872270.db2.gz VUKSXDWDDMWHGE-WBMJQRKESA-N -1 1 308.378 1.392 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](C)C(=O)NC)cc(Cl)c1[O-] ZINC000294485596 528229438 /nfs/dbraw/zinc/22/94/38/528229438.db2.gz BVGVCVNJPBNPHG-ZETCQYMHSA-N -1 1 300.742 1.309 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC(C)(C)COC)o1 ZINC000490322534 528241556 /nfs/dbraw/zinc/24/15/56/528241556.db2.gz YRHDSCULGBIXPS-UHFFFAOYSA-N -1 1 319.379 1.407 20 0 DDADMM CCOC1(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCCC1 ZINC000331918808 528436735 /nfs/dbraw/zinc/43/67/35/528436735.db2.gz LTHVEEDYGMJGHA-UHFFFAOYSA-N -1 1 309.366 1.831 20 0 DDADMM CCCc1cc(NC(=O)c2ccc3n[n-]c(=S)n3c2)n(C)n1 ZINC000297540890 528609964 /nfs/dbraw/zinc/60/99/64/528609964.db2.gz PXRBZNDDODYCME-UHFFFAOYSA-N -1 1 316.390 1.956 20 0 DDADMM CCn1ccc(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)n1 ZINC000425183459 529171767 /nfs/dbraw/zinc/17/17/67/529171767.db2.gz GPKRJBFOZDWGTJ-UHFFFAOYSA-N -1 1 315.345 1.968 20 0 DDADMM CC[C@H]1CCC[C@H]1CNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000452536311 529233462 /nfs/dbraw/zinc/23/34/62/529233462.db2.gz YJQIKKWRFDBAKR-QWRGUYRKSA-N -1 1 314.407 1.744 20 0 DDADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)OCCF ZINC000737202262 598823482 /nfs/dbraw/zinc/82/34/82/598823482.db2.gz ZDZFSZJRIRRTRJ-UHFFFAOYSA-N -1 1 309.301 1.029 20 0 DDADMM C[C@@H]1CC(NC(=O)c2ccc(-c3nnn[n-]3)nc2)C[C@@H](C)C1 ZINC000736223678 598838158 /nfs/dbraw/zinc/83/81/58/598838158.db2.gz JQXMBZJNCHNHIY-UWVGGRQHSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@@H]1CC(NC(=O)c2ccc(-c3nn[n-]n3)nc2)C[C@@H](C)C1 ZINC000736223678 598838160 /nfs/dbraw/zinc/83/81/60/598838160.db2.gz JQXMBZJNCHNHIY-UWVGGRQHSA-N -1 1 300.366 1.816 20 0 DDADMM [O-][n+]1ccccc1Oc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000738407063 598955544 /nfs/dbraw/zinc/95/55/44/598955544.db2.gz WOQCTOCOFKEVFX-UHFFFAOYSA-N -1 1 307.273 1.236 20 0 DDADMM O=C(OCCCc1ccncc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738219242 598981000 /nfs/dbraw/zinc/98/10/00/598981000.db2.gz AAIOLTYKQPZPLM-UHFFFAOYSA-N -1 1 310.317 1.446 20 0 DDADMM O=C(OCCCc1ccncc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738219242 598981002 /nfs/dbraw/zinc/98/10/02/598981002.db2.gz AAIOLTYKQPZPLM-UHFFFAOYSA-N -1 1 310.317 1.446 20 0 DDADMM O=C(Nc1cc(F)c(F)c(F)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738143430 599038749 /nfs/dbraw/zinc/03/87/49/599038749.db2.gz BPXVQRCMKOPSLT-UHFFFAOYSA-N -1 1 320.234 1.931 20 0 DDADMM O=C(Nc1cc(F)c(F)c(F)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738143430 599038752 /nfs/dbraw/zinc/03/87/52/599038752.db2.gz BPXVQRCMKOPSLT-UHFFFAOYSA-N -1 1 320.234 1.931 20 0 DDADMM CC(=O)Nc1ccccc1CNc1cccc(-c2nnn[n-]2)n1 ZINC000819828135 599040745 /nfs/dbraw/zinc/04/07/45/599040745.db2.gz ZQYJJHVTYPJTCS-UHFFFAOYSA-N -1 1 309.333 1.832 20 0 DDADMM CC(=O)Nc1ccccc1CNc1cccc(-c2nn[n-]n2)n1 ZINC000819828135 599040747 /nfs/dbraw/zinc/04/07/47/599040747.db2.gz ZQYJJHVTYPJTCS-UHFFFAOYSA-N -1 1 309.333 1.832 20 0 DDADMM c1cnc(N2CCOC3(CCCCC3)C2)c(-c2nnn[n-]2)n1 ZINC000823809670 599056076 /nfs/dbraw/zinc/05/60/76/599056076.db2.gz PIKYXMYWLVBIIN-UHFFFAOYSA-N -1 1 301.354 1.196 20 0 DDADMM c1cnc(N2CCOC3(CCCCC3)C2)c(-c2nn[n-]n2)n1 ZINC000823809670 599056079 /nfs/dbraw/zinc/05/60/79/599056079.db2.gz PIKYXMYWLVBIIN-UHFFFAOYSA-N -1 1 301.354 1.196 20 0 DDADMM O[C@@H]1CCC[C@@H]1CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000823699329 599079734 /nfs/dbraw/zinc/07/97/34/599079734.db2.gz USEBPECFAAUJAG-BXKDBHETSA-N -1 1 311.349 1.383 20 0 DDADMM O[C@@H]1CCC[C@@H]1CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000823699329 599079737 /nfs/dbraw/zinc/07/97/37/599079737.db2.gz USEBPECFAAUJAG-BXKDBHETSA-N -1 1 311.349 1.383 20 0 DDADMM C[C@H](O)c1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000736148537 599105861 /nfs/dbraw/zinc/10/58/61/599105861.db2.gz PNBSPCWZVJJPDN-JTQLQIEISA-N -1 1 324.344 1.245 20 0 DDADMM C[C@H](O)c1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000736148537 599105863 /nfs/dbraw/zinc/10/58/63/599105863.db2.gz PNBSPCWZVJJPDN-JTQLQIEISA-N -1 1 324.344 1.245 20 0 DDADMM Cc1ccc([C@@H](O)CNC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737319412 599106677 /nfs/dbraw/zinc/10/66/77/599106677.db2.gz HPOGVGIOTBCGQC-AWEZNQCLSA-N -1 1 324.344 1.034 20 0 DDADMM Cc1ccc([C@@H](O)CNC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737319412 599106678 /nfs/dbraw/zinc/10/66/78/599106678.db2.gz HPOGVGIOTBCGQC-AWEZNQCLSA-N -1 1 324.344 1.034 20 0 DDADMM O=C1N[C@@H](c2ccc(-c3nnn[n-]3)o2)Nc2cccc(Cl)c21 ZINC000823540358 599119944 /nfs/dbraw/zinc/11/99/44/599119944.db2.gz BIOKKPDKZLBCHW-NSHDSACASA-N -1 1 316.708 1.967 20 0 DDADMM O=C1N[C@@H](c2ccc(-c3nn[n-]n3)o2)Nc2cccc(Cl)c21 ZINC000823540358 599119947 /nfs/dbraw/zinc/11/99/47/599119947.db2.gz BIOKKPDKZLBCHW-NSHDSACASA-N -1 1 316.708 1.967 20 0 DDADMM O=C1N[C@H](c2ccc(-c3nnn[n-]3)o2)Nc2cccc(Cl)c21 ZINC000823540357 599120129 /nfs/dbraw/zinc/12/01/29/599120129.db2.gz BIOKKPDKZLBCHW-LLVKDONJSA-N -1 1 316.708 1.967 20 0 DDADMM O=C1N[C@H](c2ccc(-c3nn[n-]n3)o2)Nc2cccc(Cl)c21 ZINC000823540357 599120130 /nfs/dbraw/zinc/12/01/30/599120130.db2.gz BIOKKPDKZLBCHW-LLVKDONJSA-N -1 1 316.708 1.967 20 0 DDADMM Cc1ncsc1COC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737503147 599154185 /nfs/dbraw/zinc/15/41/85/599154185.db2.gz KARCHNYHUBDRKN-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM Cc1ncsc1COC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737503147 599154187 /nfs/dbraw/zinc/15/41/87/599154187.db2.gz KARCHNYHUBDRKN-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM CN(CC(=O)N[C@H](C(=O)[O-])c1ccccc1)[C@@H]1CCSC1 ZINC000820562406 598089097 /nfs/dbraw/zinc/08/90/97/598089097.db2.gz ICGWQAQZESJTLL-OCCSQVGLSA-N -1 1 308.403 1.366 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000820006498 598077376 /nfs/dbraw/zinc/07/73/76/598077376.db2.gz GQCNRHMFFUDYEB-UHFFFAOYSA-N -1 1 321.358 1.559 20 0 DDADMM O=C1Nc2ccccc2[C@@H]1CCNc1nccnc1-c1nnn[n-]1 ZINC000738318590 598338205 /nfs/dbraw/zinc/33/82/05/598338205.db2.gz KYJRDYBTQKXEIM-JTQLQIEISA-N -1 1 322.332 1.195 20 0 DDADMM O=C1Nc2ccccc2[C@@H]1CCNc1nccnc1-c1nn[n-]n1 ZINC000738318590 598338207 /nfs/dbraw/zinc/33/82/07/598338207.db2.gz KYJRDYBTQKXEIM-JTQLQIEISA-N -1 1 322.332 1.195 20 0 DDADMM CN(C(=O)c1ccc(-c2nnn[n-]2)s1)c1ncccc1F ZINC000821573253 607354249 /nfs/dbraw/zinc/35/42/49/607354249.db2.gz HRNIPJSXUSBESA-UHFFFAOYSA-N -1 1 304.310 1.739 20 0 DDADMM CN(C(=O)c1ccc(-c2nn[n-]n2)s1)c1ncccc1F ZINC000821573253 607354250 /nfs/dbraw/zinc/35/42/50/607354250.db2.gz HRNIPJSXUSBESA-UHFFFAOYSA-N -1 1 304.310 1.739 20 0 DDADMM CC[C@@H]1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C[C@H](C)O1 ZINC000736407614 598678263 /nfs/dbraw/zinc/67/82/63/598678263.db2.gz PPLPZIPRKQNSTD-DTWKUNHWSA-N -1 1 308.773 1.919 20 0 DDADMM CC[C@@H]1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C[C@H](C)O1 ZINC000736407614 598678265 /nfs/dbraw/zinc/67/82/65/598678265.db2.gz PPLPZIPRKQNSTD-DTWKUNHWSA-N -1 1 308.773 1.919 20 0 DDADMM c1cc(-c2nnn[n-]2)oc1CNCc1nnc2n1CCCCC2 ZINC000823747219 599394709 /nfs/dbraw/zinc/39/47/09/599394709.db2.gz DFRDTRFEGMOYDO-UHFFFAOYSA-N -1 1 314.353 1.067 20 0 DDADMM c1cc(-c2nn[n-]n2)oc1CNCc1nnc2n1CCCCC2 ZINC000823747219 599394711 /nfs/dbraw/zinc/39/47/11/599394711.db2.gz DFRDTRFEGMOYDO-UHFFFAOYSA-N -1 1 314.353 1.067 20 0 DDADMM C[S@](=O)CCCNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821942681 599547649 /nfs/dbraw/zinc/54/76/49/599547649.db2.gz PIYAYSKMUUTFME-QFIPXVFZSA-N -1 1 316.390 1.595 20 0 DDADMM C[S@](=O)CCCNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000821942681 599547647 /nfs/dbraw/zinc/54/76/47/599547647.db2.gz PIYAYSKMUUTFME-QFIPXVFZSA-N -1 1 316.390 1.595 20 0 DDADMM CO[C@@]1(C)C[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1(C)C ZINC000821679239 599655638 /nfs/dbraw/zinc/65/56/38/599655638.db2.gz YVOZNZGRNYGLCB-NHYWBVRUSA-N -1 1 316.365 1.195 20 0 DDADMM CO[C@@]1(C)C[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1(C)C ZINC000821679239 599655639 /nfs/dbraw/zinc/65/56/39/599655639.db2.gz YVOZNZGRNYGLCB-NHYWBVRUSA-N -1 1 316.365 1.195 20 0 DDADMM CN(c1cc(-c2nnn[n-]2)nc2ccccc21)[C@@H]1CCN(C)C1=O ZINC000821621748 599685335 /nfs/dbraw/zinc/68/53/35/599685335.db2.gz FARUNPVZDCFEIJ-CYBMUJFWSA-N -1 1 323.360 1.082 20 0 DDADMM CN(c1cc(-c2nn[n-]n2)nc2ccccc21)[C@@H]1CCN(C)C1=O ZINC000821621748 599685336 /nfs/dbraw/zinc/68/53/36/599685336.db2.gz FARUNPVZDCFEIJ-CYBMUJFWSA-N -1 1 323.360 1.082 20 0 DDADMM O=C1C[C@H](c2cccc(Nc3nccnc3-c3nnn[n-]3)c2)CN1 ZINC000823519756 607388352 /nfs/dbraw/zinc/38/83/52/607388352.db2.gz IDCGLERURMDATL-JTQLQIEISA-N -1 1 322.332 1.004 20 0 DDADMM O=C1C[C@H](c2cccc(Nc3nccnc3-c3nn[n-]n3)c2)CN1 ZINC000823519756 607388353 /nfs/dbraw/zinc/38/83/53/607388353.db2.gz IDCGLERURMDATL-JTQLQIEISA-N -1 1 322.332 1.004 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)OC(C)(C)C ZINC000820389870 607395107 /nfs/dbraw/zinc/39/51/07/607395107.db2.gz ANMXZYKGJNKRCF-ZETCQYMHSA-N -1 1 323.378 1.388 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)OC(C)(C)C ZINC000820389870 607395109 /nfs/dbraw/zinc/39/51/09/607395109.db2.gz ANMXZYKGJNKRCF-ZETCQYMHSA-N -1 1 323.378 1.388 20 0 DDADMM O=C([O-])C[C@@H]1CCCC[N@H+]1CN1C(=O)CC2(CCCCC2)C1=O ZINC000739639319 599840487 /nfs/dbraw/zinc/84/04/87/599840487.db2.gz KQTWCTOHCZDWPK-ZDUSSCGKSA-N -1 1 322.405 1.983 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1CN1C(=O)CC2(CCCCC2)C1=O ZINC000739639319 599840489 /nfs/dbraw/zinc/84/04/89/599840489.db2.gz KQTWCTOHCZDWPK-ZDUSSCGKSA-N -1 1 322.405 1.983 20 0 DDADMM COc1cccc(CN(C)C(=O)CNC(C)(C)C(=O)[O-])c1OC ZINC000738096734 599928118 /nfs/dbraw/zinc/92/81/18/599928118.db2.gz JFADPIKBZKONQD-UHFFFAOYSA-N -1 1 324.377 1.115 20 0 DDADMM CC[C@H]1CN(Cc2cc(C(=O)[O-])oc2C)CC[N@H+]1CCOC ZINC000736943171 599960778 /nfs/dbraw/zinc/96/07/78/599960778.db2.gz JBMJQAZMATVZEB-AWEZNQCLSA-N -1 1 310.394 1.829 20 0 DDADMM CC[C@H]1CN([C@H](C(=O)[O-])c2ccc(F)cc2)CC[N@H+]1CCOC ZINC000736943130 599960990 /nfs/dbraw/zinc/96/09/90/599960990.db2.gz IDRQVSRQMCPPMU-HOTGVXAUSA-N -1 1 324.396 1.994 20 0 DDADMM O=C([O-])c1ccc(CCC(=O)N2CCN(CC3CC3)CC2)cc1 ZINC000740121749 600064171 /nfs/dbraw/zinc/06/41/71/600064171.db2.gz IXRDQVNVJRRZRO-UHFFFAOYSA-N -1 1 316.401 1.872 20 0 DDADMM CNC(=O)c1ccc(CN(C)Cc2ccc(C(=O)[O-])o2)cc1 ZINC000737545153 600108574 /nfs/dbraw/zinc/10/85/74/600108574.db2.gz VMXNNAOEAPKSLU-UHFFFAOYSA-N -1 1 302.330 1.969 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(Cl)cn1)N1CCC[C@@H](C(=O)[O-])C1 ZINC000262689219 600136396 /nfs/dbraw/zinc/13/63/96/600136396.db2.gz VVOTXCUIOGHCLL-VHSXEESVSA-N -1 1 311.769 1.859 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H](O)CN1CCCc1nc(-c2cccs2)no1 ZINC000318166204 600138827 /nfs/dbraw/zinc/13/88/27/600138827.db2.gz FYBCVGWAHXMUKQ-ZJUUUORDSA-N -1 1 323.374 1.251 20 0 DDADMM CCC[C@@](C)(NCC(=O)NCc1ccc(OC)cc1)C(=O)[O-] ZINC000736965909 600156554 /nfs/dbraw/zinc/15/65/54/600156554.db2.gz XMWNSGBTFQJCKI-MRXNPFEDSA-N -1 1 308.378 1.544 20 0 DDADMM Cc1cc(CNC(=O)Nc2cccc(OCC(=O)[O-])c2)n[nH]1 ZINC000738231908 600229038 /nfs/dbraw/zinc/22/90/38/600229038.db2.gz CUAIAKAUSKYRDS-UHFFFAOYSA-N -1 1 304.306 1.503 20 0 DDADMM Nc1nc(CN2CCC3(C[C@@H]3C(=O)[O-])CC2)nc2ccccc12 ZINC000739202671 600288674 /nfs/dbraw/zinc/28/86/74/600288674.db2.gz KZGNTGHIQPBADL-GFCCVEGCSA-N -1 1 312.373 1.899 20 0 DDADMM CC1(C)CO[C@H](c2ccccc2)CN1CCC(=O)NCC(=O)[O-] ZINC000736736896 600298076 /nfs/dbraw/zinc/29/80/76/600298076.db2.gz HYGRKUAPJGBMEK-AWEZNQCLSA-N -1 1 320.389 1.429 20 0 DDADMM Cc1cc(C)n(-c2ccc(CN3C[C@H](O)C[C@H]3C(=O)[O-])cc2)n1 ZINC000738371408 600312923 /nfs/dbraw/zinc/31/29/23/600312923.db2.gz JHYVZDMPFREPGQ-CVEARBPZSA-N -1 1 315.373 1.509 20 0 DDADMM CCC[C@@](C)(NCC(=O)NCc1cc(F)cc(F)c1)C(=O)[O-] ZINC000736965509 600323857 /nfs/dbraw/zinc/32/38/57/600323857.db2.gz VIYOEJRBPFKQFD-OAHLLOKOSA-N -1 1 314.332 1.814 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)NCCSCC(=O)[O-] ZINC000389481122 600330810 /nfs/dbraw/zinc/33/08/10/600330810.db2.gz VIFACZGWZUWHBB-NWDGAFQWSA-N -1 1 315.439 1.072 20 0 DDADMM C[C@@H](CN(C)[C@@H](C)C(=O)Nc1cc(F)ccc1F)C(=O)[O-] ZINC000736574412 600366338 /nfs/dbraw/zinc/36/63/38/600366338.db2.gz CTGGLXBYTGEDQR-IUCAKERBSA-N -1 1 300.305 1.944 20 0 DDADMM C[C@@H](CN(Cc1ccccc1)C(=O)[C@H]1CCCN1C)C(=O)[O-] ZINC000736582392 600377588 /nfs/dbraw/zinc/37/75/88/600377588.db2.gz GZXBCJKAGYYRNZ-DZGCQCFKSA-N -1 1 304.390 1.830 20 0 DDADMM C[C@H](CNC(=O)N1CC[C@H](C(=O)[O-])C1)[N@@H+](C)Cc1ccccc1 ZINC000390602154 600412407 /nfs/dbraw/zinc/41/24/07/600412407.db2.gz BNILXEILUBLLJI-HIFRSBDPSA-N -1 1 319.405 1.623 20 0 DDADMM CCN(C[C@H]1CCOC1)Cn1nc(C(=O)[O-])c(Cl)c1C ZINC000737093514 600423030 /nfs/dbraw/zinc/42/30/30/600423030.db2.gz GAGGLARRKKHWGK-SNVBAGLBSA-N -1 1 301.774 1.859 20 0 DDADMM CCN(CCC(=O)OC)Cn1ncc2cc(C(=O)[O-])ccc21 ZINC000737100588 600425067 /nfs/dbraw/zinc/42/50/67/600425067.db2.gz ALVBUAUDZIZQBJ-UHFFFAOYSA-N -1 1 305.334 1.577 20 0 DDADMM CCOCCCN(C[C@@H](C)C(=O)[O-])C(=O)[C@@H]1CCCN1C ZINC000737168027 600500204 /nfs/dbraw/zinc/50/02/04/600500204.db2.gz KVBQNZCINJJBGP-OLZOCXBDSA-N -1 1 300.399 1.057 20 0 DDADMM CC(C)[C@@H](C)NC(=O)CN(CCC(=O)[O-])C[C@@H]1CCCO1 ZINC000736354028 600513953 /nfs/dbraw/zinc/51/39/53/600513953.db2.gz TTWDOODHFPQGPZ-OLZOCXBDSA-N -1 1 300.399 1.103 20 0 DDADMM CC(C)N(CCC(=O)[O-])CN1C(=O)CN(c2ccccc2)C1=O ZINC000736467893 600608458 /nfs/dbraw/zinc/60/84/58/600608458.db2.gz JGMMBJXFWBVTAX-UHFFFAOYSA-N -1 1 319.361 1.598 20 0 DDADMM CCN(CCC(=O)[O-])C(=O)[C@H](C)N1CCc2ccccc2C1 ZINC000737094338 600639040 /nfs/dbraw/zinc/63/90/40/600639040.db2.gz UNCZBNKBOVINPF-ZDUSSCGKSA-N -1 1 304.390 1.756 20 0 DDADMM C[C@H]1C[C@H](NS(=O)(=O)c2ccc(C(=O)[O-])s2)CCN1C ZINC000157600762 600765934 /nfs/dbraw/zinc/76/59/34/600765934.db2.gz BFOXPQKFOJPHLZ-DTWKUNHWSA-N -1 1 318.420 1.207 20 0 DDADMM CN1CCN(C(=O)CCSCC(=O)[O-])C2(CCCCC2)C1 ZINC000830946022 600834164 /nfs/dbraw/zinc/83/41/64/600834164.db2.gz DVQJOMCOSJSLQY-UHFFFAOYSA-N -1 1 314.451 1.671 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)N1CC[C@@H](CCO)C1 ZINC000738518095 600970930 /nfs/dbraw/zinc/97/09/30/600970930.db2.gz HVTXSHMTTJPYFO-OLZOCXBDSA-N -1 1 320.389 1.725 20 0 DDADMM Cc1ccccc1C[C@@H](C)NC(=O)CN[C@](C)(C(=O)[O-])C1CC1 ZINC000832980580 601036049 /nfs/dbraw/zinc/03/60/49/601036049.db2.gz HJEFTTHMMSIIAX-ACJLOTCBSA-N -1 1 318.417 1.885 20 0 DDADMM O=C([O-])[C@@H](c1ccc(F)cc1)N1CCN(CCCCO)CC1 ZINC000833170994 601044254 /nfs/dbraw/zinc/04/42/54/601044254.db2.gz LCTBFAZCMUHFJY-OAHLLOKOSA-N -1 1 310.369 1.342 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCc2cscn2)CC1 ZINC000827362548 601103986 /nfs/dbraw/zinc/10/39/86/601103986.db2.gz PUMAHRQEHZCDNJ-NSHDSACASA-N -1 1 311.407 1.083 20 0 DDADMM Cc1nnc([C@H]2CN([C@H](C(=O)[O-])c3ccccc3F)CCO2)[nH]1 ZINC000738824400 601106009 /nfs/dbraw/zinc/10/60/09/601106009.db2.gz VWRIQXHQRVYWLO-OLZOCXBDSA-N -1 1 320.324 1.451 20 0 DDADMM CCCC[C@@H](C(=O)[O-])N1CCO[C@@H](c2nc(CCC)n[nH]2)C1 ZINC000736978203 601106959 /nfs/dbraw/zinc/10/69/59/601106959.db2.gz FLYFSZHPVIQWLX-NWDGAFQWSA-N -1 1 310.398 1.774 20 0 DDADMM CCCC[C@@H](C(=O)[O-])N1CCO[C@@H](c2nnc(CCC)[nH]2)C1 ZINC000736978203 601106961 /nfs/dbraw/zinc/10/69/61/601106961.db2.gz FLYFSZHPVIQWLX-NWDGAFQWSA-N -1 1 310.398 1.774 20 0 DDADMM CCN1CC[C@@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2C)C1 ZINC000389379529 601110718 /nfs/dbraw/zinc/11/07/18/601110718.db2.gz XRDALFYTVRZRPE-GFCCVEGCSA-N -1 1 312.391 1.066 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)CCc1nc[nH]n1)c1ccc(F)cc1 ZINC000833197594 601136585 /nfs/dbraw/zinc/13/65/85/601136585.db2.gz HOKLFZWETINBGZ-LLVKDONJSA-N -1 1 306.297 1.209 20 0 DDADMM CC(C)Oc1ccc(C(=O)[O-])cc1NC(=O)NCc1c[nH]nn1 ZINC000827047356 601404793 /nfs/dbraw/zinc/40/47/93/601404793.db2.gz HUNZVWCZYLVNBT-UHFFFAOYSA-N -1 1 319.321 1.612 20 0 DDADMM C[C@H]1CN(Cc2csc(-c3cnn(C)c3)n2)C[C@@H]1C(=O)[O-] ZINC000828461839 601518344 /nfs/dbraw/zinc/51/83/44/601518344.db2.gz DGHHEMTXDLFNRF-CABZTGNLSA-N -1 1 306.391 1.696 20 0 DDADMM Cc1cc(CC(=O)N[C@H](C(=O)[O-])c2ccc(Cl)cc2)[nH]n1 ZINC000832882804 601686848 /nfs/dbraw/zinc/68/68/48/601686848.db2.gz CKCAQSBDZVQPFW-ZDUSSCGKSA-N -1 1 307.737 1.856 20 0 DDADMM C[C@H]1CN(CN2C(=O)CN(c3ccccc3)C2=O)C[C@@H]1C(=O)[O-] ZINC000828451090 601815363 /nfs/dbraw/zinc/81/53/63/601815363.db2.gz SHIBAOVSWUSGSH-AAEUAGOBSA-N -1 1 317.345 1.065 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)CN[C@H](C(=O)[O-])C(C)C ZINC000832597577 601826092 /nfs/dbraw/zinc/82/60/92/601826092.db2.gz ZVWFNJRNPGJPRX-ABAIWWIYSA-N -1 1 308.378 1.571 20 0 DDADMM CN(C)CCN(C)S(=O)(=O)c1ccc(Cl)c(C(=O)[O-])c1 ZINC000107062576 601842044 /nfs/dbraw/zinc/84/20/44/601842044.db2.gz DFTLHGAGCCBJPP-UHFFFAOYSA-N -1 1 320.798 1.220 20 0 DDADMM CC(C)CN(CC(F)(F)F)C(=O)CN1CC[C@@](C)(C(=O)[O-])C1 ZINC000826870930 601917732 /nfs/dbraw/zinc/91/77/32/601917732.db2.gz IBAPHTLXIBWDQT-CYBMUJFWSA-N -1 1 324.343 1.830 20 0 DDADMM O=C([O-])c1cnc(N2CCN(CCc3ccccc3)CC2)cn1 ZINC000263519510 601930218 /nfs/dbraw/zinc/93/02/18/601930218.db2.gz QHWSCBKEYGDRGM-UHFFFAOYSA-N -1 1 312.373 1.540 20 0 DDADMM C[C@H](C(=O)NCc1ccc(F)cc1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738630963 601949004 /nfs/dbraw/zinc/94/90/04/601949004.db2.gz UNEHSZXDFBZGEY-DGCLKSJQSA-N -1 1 323.368 1.420 20 0 DDADMM S=C(SCc1ccnc(-c2nnn[n-]2)c1)N1CCCC1 ZINC000826511971 607541801 /nfs/dbraw/zinc/54/18/01/607541801.db2.gz KRQMUUOWDFERFB-UHFFFAOYSA-N -1 1 306.420 1.876 20 0 DDADMM S=C(SCc1ccnc(-c2nn[n-]n2)c1)N1CCCC1 ZINC000826511971 607541803 /nfs/dbraw/zinc/54/18/03/607541803.db2.gz KRQMUUOWDFERFB-UHFFFAOYSA-N -1 1 306.420 1.876 20 0 DDADMM C[C@@H](CNC(=O)c1cccc(NC(=O)[O-])c1)N1CCN(C)CC1 ZINC000736605537 602312806 /nfs/dbraw/zinc/31/28/06/602312806.db2.gz HWDBYLDOFSYLFN-LBPRGKRZSA-N -1 1 320.393 1.142 20 0 DDADMM CC1CCN(CC(=O)N2CCSC[C@@H]2CC(=O)[O-])CC1 ZINC000828285068 602367585 /nfs/dbraw/zinc/36/75/85/602367585.db2.gz KPSJUWGQSBVSMK-LBPRGKRZSA-N -1 1 300.424 1.137 20 0 DDADMM C[C@H](C(=O)N1CCCCC1)N1CCC(CN(C)C(=O)[O-])CC1 ZINC000738618556 602408401 /nfs/dbraw/zinc/40/84/01/602408401.db2.gz TZRFKENGYAIBGL-CYBMUJFWSA-N -1 1 311.426 1.709 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N(C)[C@@H](C)CNC(=O)[O-])o1 ZINC000739411226 602467994 /nfs/dbraw/zinc/46/79/94/602467994.db2.gz QTYLPFASURPAIV-NSHDSACASA-N -1 1 311.382 1.850 20 0 DDADMM O=C([O-])N(CCNC(=O)N1CCN2CCCC[C@H]2C1)C1CC1 ZINC000740436702 602631952 /nfs/dbraw/zinc/63/19/52/602631952.db2.gz ILEAEGMGGVSYRA-ZDUSSCGKSA-N -1 1 310.398 1.009 20 0 DDADMM Cc1cc(CN2CCN(C[C@H]3CCCN(C(=O)[O-])C3)CC2)on1 ZINC000740074671 602702497 /nfs/dbraw/zinc/70/24/97/602702497.db2.gz YEZJNPPSZOEYEQ-CQSZACIVSA-N -1 1 322.409 1.491 20 0 DDADMM CCN1CCCN(C(=O)c2csc(CNC(=O)[O-])n2)CC1 ZINC000739456596 602713907 /nfs/dbraw/zinc/71/39/07/602713907.db2.gz XFUUFKLBOQOBJA-UHFFFAOYSA-N -1 1 312.395 1.079 20 0 DDADMM O=C([O-])NC[C@H]1CCN([C@@H]2CCN(c3ccccc3)C2=O)C1 ZINC000740644042 602736326 /nfs/dbraw/zinc/73/63/26/602736326.db2.gz WRJZZUDRZCMUPS-TZMCWYRMSA-N -1 1 303.362 1.381 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)CCC(C)(C)NC(=O)[O-] ZINC000739200934 602809096 /nfs/dbraw/zinc/80/90/96/602809096.db2.gz VKEGVHBTIKTRIY-QWHCGFSZSA-N -1 1 311.426 1.898 20 0 DDADMM CCN(CC)C(=O)[C@@H](N[C@@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000739404673 602929146 /nfs/dbraw/zinc/92/91/46/602929146.db2.gz ZLMHTRYZLHNSEV-CABCVRRESA-N -1 1 319.405 1.938 20 0 DDADMM O=C([O-])N1CCCC[C@H](NC(=O)N2CCN(CC3CC3)CC2)C1 ZINC000740519141 602968978 /nfs/dbraw/zinc/96/89/78/602968978.db2.gz MLMUWLFHRYXPAC-AWEZNQCLSA-N -1 1 324.425 1.256 20 0 DDADMM O=C(O[C@H]1COc2ccccc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000826462618 607598777 /nfs/dbraw/zinc/59/87/77/607598777.db2.gz CNAIMPYHRJFYGE-ZDUSSCGKSA-N -1 1 309.285 1.552 20 0 DDADMM O=C(O[C@H]1COc2ccccc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000826462618 607598779 /nfs/dbraw/zinc/59/87/79/607598779.db2.gz CNAIMPYHRJFYGE-ZDUSSCGKSA-N -1 1 309.285 1.552 20 0 DDADMM CN(C)[C@H](CNC(=O)CC(C)(C)NC(=O)[O-])c1ccsc1 ZINC000739601461 603019011 /nfs/dbraw/zinc/01/90/11/603019011.db2.gz FIRBBTUYWKXXOO-LLVKDONJSA-N -1 1 313.423 1.903 20 0 DDADMM O=C([O-])NC[C@@H](NS(=O)(=O)c1c(F)cccc1F)C1CC1 ZINC000740612460 603069960 /nfs/dbraw/zinc/06/99/60/603069960.db2.gz JYPQDVIJWNIDJO-SNVBAGLBSA-N -1 1 320.317 1.289 20 0 DDADMM CCc1nnc([C@@H]2CN(C[C@H]3CCCN(C(=O)[O-])C3)CCO2)[nH]1 ZINC000739555334 603073042 /nfs/dbraw/zinc/07/30/42/603073042.db2.gz ZSYBTZVSKYJPQS-NEPJUHHUSA-N -1 1 323.397 1.130 20 0 DDADMM COc1ccnc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000826220850 607607666 /nfs/dbraw/zinc/60/76/66/607607666.db2.gz COEPKGIYMVLMBJ-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM COc1ccnc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000826220850 607607668 /nfs/dbraw/zinc/60/76/68/607607668.db2.gz COEPKGIYMVLMBJ-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM Cc1nc(C)c(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)o1 ZINC000826324466 607611390 /nfs/dbraw/zinc/61/13/90/607611390.db2.gz RZMVOJPNLJNLCG-UHFFFAOYSA-N -1 1 300.278 1.224 20 0 DDADMM Cc1nc(C)c(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)o1 ZINC000826324466 607611391 /nfs/dbraw/zinc/61/13/91/607611391.db2.gz RZMVOJPNLJNLCG-UHFFFAOYSA-N -1 1 300.278 1.224 20 0 DDADMM C[C@@H](CNC(=O)[O-])N(C)C(=O)[C@H](C)N1CCc2ccccc2C1 ZINC000738605773 603198175 /nfs/dbraw/zinc/19/81/75/603198175.db2.gz XTPIYVRMVIVZIS-STQMWFEESA-N -1 1 319.405 1.548 20 0 DDADMM CN(CCCNC(=O)[O-])C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000739677491 603239487 /nfs/dbraw/zinc/23/94/87/603239487.db2.gz NTJVMWPCLMPREQ-UHFFFAOYSA-N -1 1 302.334 1.806 20 0 DDADMM Cc1csc(=O)n1CCN1CCC[C@H](C)[C@H]1CNC(=O)[O-] ZINC000830655407 603716220 /nfs/dbraw/zinc/71/62/20/603716220.db2.gz PWBSAGFBHNVYMT-CMPLNLGQSA-N -1 1 313.423 1.586 20 0 DDADMM O=C([O-])N1CC[C@@H](COC(=O)Cc2[nH]nc3ccccc32)C1 ZINC000831567393 603784556 /nfs/dbraw/zinc/78/45/56/603784556.db2.gz MQKKSEUILZZOGR-SNVBAGLBSA-N -1 1 303.318 1.649 20 0 DDADMM O=C([O-])N1CC[C@@H](N[C@@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000831948237 603872720 /nfs/dbraw/zinc/87/27/20/603872720.db2.gz QOUUUGIAKHLMPE-DGCLKSJQSA-N -1 1 323.780 1.787 20 0 DDADMM O=C([O-])N1CC[C@](F)(C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000831908404 603874747 /nfs/dbraw/zinc/87/47/47/603874747.db2.gz RGGIHOOMUYOUNF-CQSZACIVSA-N -1 1 319.296 1.298 20 0 DDADMM CCN(CC1CCN(C[C@@H](C)CS(C)(=O)=O)CC1)C(=O)[O-] ZINC000827093739 603905938 /nfs/dbraw/zinc/90/59/38/603905938.db2.gz UIMWSZWXQVQERW-GFCCVEGCSA-N -1 1 320.455 1.379 20 0 DDADMM O=C([O-])N1CCCC[C@@H]1CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000831635229 603942295 /nfs/dbraw/zinc/94/22/95/603942295.db2.gz QGGNQWSIHRHBIR-GHMZBOCLSA-N -1 1 306.366 1.478 20 0 DDADMM O=C([O-])NC1(C(=O)NCc2nc3ccc(F)cc3[nH]2)CCCC1 ZINC000832173125 604059067 /nfs/dbraw/zinc/05/90/67/604059067.db2.gz SQNCBMABOSXWJV-UHFFFAOYSA-N -1 1 320.324 1.899 20 0 DDADMM O=C([O-])N1CCC[C@H](CN[C@H]2CS(=O)(=O)c3ccccc32)C1 ZINC000831980823 604135981 /nfs/dbraw/zinc/13/59/81/604135981.db2.gz PAXIEIQHSFFNGH-YPMHNXCESA-N -1 1 324.402 1.495 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)NCc2ccccc2)C1 ZINC000825263157 604179419 /nfs/dbraw/zinc/17/94/19/604179419.db2.gz FGVKJZOQYVPVKR-ZFWWWQNUSA-N -1 1 319.405 1.671 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@@H]1NC(=O)N1CCN2CCCC[C@@H]2C1 ZINC000832469913 604182412 /nfs/dbraw/zinc/18/24/12/604182412.db2.gz ZAWNBLRZCKDHRE-MJBXVCDLSA-N -1 1 324.425 1.302 20 0 DDADMM O=C([O-])N1CCC(N[C@H](CO)c2c(F)cccc2F)CC1 ZINC000831948597 604196162 /nfs/dbraw/zinc/19/61/62/604196162.db2.gz YIVISUNFMNAZAX-GFCCVEGCSA-N -1 1 300.305 1.730 20 0 DDADMM COc1ccc(NC(=O)NCCc2nc[nH]n2)cc1NC(=O)[O-] ZINC000829469193 604280539 /nfs/dbraw/zinc/28/05/39/604280539.db2.gz HANNKYFJYGHIBL-UHFFFAOYSA-N -1 1 320.309 1.267 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)[C@H]2CCC[C@H](C(=O)[O-])C2)[nH]n1 ZINC000833057384 604645006 /nfs/dbraw/zinc/64/50/06/604645006.db2.gz BKQVIJSRMCOTFL-UWVGGRQHSA-N -1 1 317.349 1.640 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@H]3CCC[C@@H]3NC(=O)[O-])C2)n[nH]1 ZINC000830733585 604645749 /nfs/dbraw/zinc/64/57/49/604645749.db2.gz HNNOZQYOTVQAQQ-WOPDTQHZSA-N -1 1 321.381 1.255 20 0 DDADMM O=C([O-])NC1(C(=O)O[C@@H]2CCN(Cc3ccccc3)C2)CC1 ZINC000832185162 604659035 /nfs/dbraw/zinc/65/90/35/604659035.db2.gz BHVYZEITXZMPQR-CYBMUJFWSA-N -1 1 304.346 1.604 20 0 DDADMM O=C([O-])N1CC(NC(=O)c2cccc(Br)c2O)C1 ZINC000831610867 604770983 /nfs/dbraw/zinc/77/09/83/604770983.db2.gz WRBBXEUPFATDBM-UHFFFAOYSA-N -1 1 315.123 1.247 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCN(c3ccccc3O)CC2)O1 ZINC000833578210 604823059 /nfs/dbraw/zinc/82/30/59/604823059.db2.gz IMDDNKKDHMWPCH-ZDUSSCGKSA-N -1 1 318.373 1.662 20 0 DDADMM CC(C)(C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1ccccc1 ZINC000314775408 604894717 /nfs/dbraw/zinc/89/47/17/604894717.db2.gz PMISWRUWEJRPOZ-ZDUSSCGKSA-N -1 1 301.346 1.499 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1CN1C(=O)NC2(CCCCCC2)C1=O ZINC000833210318 604979393 /nfs/dbraw/zinc/97/93/93/604979393.db2.gz HOVHMMCMJNIIKL-LBPRGKRZSA-N -1 1 323.393 1.528 20 0 DDADMM CCc1nc([C@H](C)NC(=O)Nc2cc(C(=O)[O-])n(C)c2)n[nH]1 ZINC000833673372 605021261 /nfs/dbraw/zinc/02/12/61/605021261.db2.gz KFFPXDUJNUVIKC-ZETCQYMHSA-N -1 1 306.326 1.287 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC1(C)CCCC1 ZINC000833621946 605051526 /nfs/dbraw/zinc/05/15/26/605051526.db2.gz CGUBYNICHNUOND-ZDUSSCGKSA-N -1 1 311.426 1.757 20 0 DDADMM C[C@H](CC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C)c1ccncc1 ZINC000833514148 605110983 /nfs/dbraw/zinc/11/09/83/605110983.db2.gz PCKXVBOUOKNJNH-ZIAGYGMSSA-N -1 1 319.405 1.583 20 0 DDADMM CN1CCN(C(=O)CCc2ccccc2C(=O)[O-])C(C)(C)C1 ZINC000833697497 605179827 /nfs/dbraw/zinc/17/98/27/605179827.db2.gz MIJMDJMUBWLQTR-UHFFFAOYSA-N -1 1 304.390 1.870 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2cc[nH]c21 ZINC000833622558 605209330 /nfs/dbraw/zinc/20/93/30/605209330.db2.gz BTWBZXAGKUEEQN-GFCCVEGCSA-N -1 1 315.373 1.789 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1CCC(=O)c1ccccc1 ZINC000833629406 605245075 /nfs/dbraw/zinc/24/50/75/605245075.db2.gz VCUDDBBWCRGNDX-AWEZNQCLSA-N -1 1 304.390 1.740 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NC[C@@H]2CCCN2C(=O)[O-])n[nH]1 ZINC000830036253 605292048 /nfs/dbraw/zinc/29/20/48/605292048.db2.gz MCPLSGNFWDNKLM-SKDRFNHKSA-N -1 1 309.370 1.091 20 0 DDADMM O=C([O-])NC1(CC(=O)Nc2ncc(C(F)(F)F)[nH]2)CCC1 ZINC000832216323 605294025 /nfs/dbraw/zinc/29/40/25/605294025.db2.gz PCFZOHHBVYEYCP-UHFFFAOYSA-N -1 1 306.244 1.947 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2csc(CNC(=O)[O-])n2)n[nH]1 ZINC000830036713 605436026 /nfs/dbraw/zinc/43/60/26/605436026.db2.gz YNYWXNPPTNSDGF-ZETCQYMHSA-N -1 1 323.378 1.303 20 0 DDADMM C[C@@H](C(=O)N1CCc2ccccc2C1)N(C)CCCNC(=O)[O-] ZINC000823679240 605468017 /nfs/dbraw/zinc/46/80/17/605468017.db2.gz ZTWADXSZSFQQMI-ZDUSSCGKSA-N -1 1 319.405 1.549 20 0 DDADMM O=C([O-])N[C@H]1CCN([C@@H]2CCCN(Cc3ccccc3)C2=O)C1 ZINC000834182967 605602275 /nfs/dbraw/zinc/60/22/75/605602275.db2.gz MDNCPBPJHXNIBV-LSDHHAIUSA-N -1 1 317.389 1.520 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CC[C@H]2CCS(=O)(=O)C2)C1 ZINC000833811913 605605386 /nfs/dbraw/zinc/60/53/86/605605386.db2.gz FSRFBYLTQPJVAJ-AVGNSLFASA-N -1 1 318.439 1.179 20 0 DDADMM CNC(=O)c1cccc(CN2CC[C@H](NC(=O)[O-])C[C@H]2C)c1 ZINC000833876647 605768918 /nfs/dbraw/zinc/76/89/18/605768918.db2.gz IGJFFXPAHMLZJH-RISCZKNCSA-N -1 1 305.378 1.667 20 0 DDADMM CN(CCNC(=O)c1cc(C(=O)[O-])no1)Cc1ccc(F)cc1 ZINC000833693563 605877517 /nfs/dbraw/zinc/87/75/17/605877517.db2.gz DSLIGYZZXYGABQ-UHFFFAOYSA-N -1 1 321.308 1.374 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NCC1CCCCC1 ZINC000833827613 605906042 /nfs/dbraw/zinc/90/60/42/605906042.db2.gz BTJMJXXBJCACEA-JSGCOSHPSA-N -1 1 311.426 1.661 20 0 DDADMM O=C([O-])N1CC[C@H](CN[C@@H](CO)c2c(F)cccc2F)C1 ZINC000834077246 605933298 /nfs/dbraw/zinc/93/32/98/605933298.db2.gz DDWPEIHSCYBHAK-SKDRFNHKSA-N -1 1 300.305 1.588 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H](C(=O)N[C@@H]2CCc3nc[nH]c3C2)C1 ZINC000834165988 605960155 /nfs/dbraw/zinc/96/01/55/605960155.db2.gz CEJBDKODOPKAQA-GMTAPVOTSA-N -1 1 306.366 1.210 20 0 DDADMM C[C@H]1c2ccccc2CN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820827415 606105186 /nfs/dbraw/zinc/10/51/86/606105186.db2.gz TVBXQZJJVQXTNT-JTQLQIEISA-N -1 1 306.329 1.979 20 0 DDADMM C[C@H]1c2ccccc2CN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820827415 606105188 /nfs/dbraw/zinc/10/51/88/606105188.db2.gz TVBXQZJJVQXTNT-JTQLQIEISA-N -1 1 306.329 1.979 20 0 DDADMM C[C@@H]1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C(C)(C)C1 ZINC000820742503 606160820 /nfs/dbraw/zinc/16/08/20/606160820.db2.gz LCSRMBUJMLFMRP-QMMMGPOBSA-N -1 1 304.358 1.253 20 0 DDADMM C[C@@H]1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C(C)(C)C1 ZINC000820742503 606160821 /nfs/dbraw/zinc/16/08/21/606160821.db2.gz LCSRMBUJMLFMRP-QMMMGPOBSA-N -1 1 304.358 1.253 20 0 DDADMM O=C(OCCN1CCCCC1=O)c1ccc(-c2nnn[n-]2)s1 ZINC000823405784 606179470 /nfs/dbraw/zinc/17/94/70/606179470.db2.gz UTALRFRPJTWSRO-UHFFFAOYSA-N -1 1 321.362 1.098 20 0 DDADMM O=C(OCCN1CCCCC1=O)c1ccc(-c2nn[n-]n2)s1 ZINC000823405784 606179472 /nfs/dbraw/zinc/17/94/72/606179472.db2.gz UTALRFRPJTWSRO-UHFFFAOYSA-N -1 1 321.362 1.098 20 0 DDADMM O=C(Nc1cnc2ccccc2n1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823375700 606189252 /nfs/dbraw/zinc/18/92/52/606189252.db2.gz GMVFFHBCSVBOPL-UHFFFAOYSA-N -1 1 318.300 1.457 20 0 DDADMM O=C(Nc1cnc2ccccc2n1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823375700 606189253 /nfs/dbraw/zinc/18/92/53/606189253.db2.gz GMVFFHBCSVBOPL-UHFFFAOYSA-N -1 1 318.300 1.457 20 0 DDADMM O=C(CCOCC1CC1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822974691 606293688 /nfs/dbraw/zinc/29/36/88/606293688.db2.gz YWVTZOQIZIFTSL-UHFFFAOYSA-N -1 1 303.322 1.328 20 0 DDADMM O=C1C[C@@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)CN1C1CC1 ZINC000823520771 606425135 /nfs/dbraw/zinc/42/51/35/606425135.db2.gz NLQFFOBCJQETCE-SSDOTTSWSA-N -1 1 319.756 1.090 20 0 DDADMM O=C1C[C@@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)CN1C1CC1 ZINC000823520771 606425137 /nfs/dbraw/zinc/42/51/37/606425137.db2.gz NLQFFOBCJQETCE-SSDOTTSWSA-N -1 1 319.756 1.090 20 0 DDADMM CN(C[C@@H]1CCCCO1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821596253 606531566 /nfs/dbraw/zinc/53/15/66/606531566.db2.gz FGJZZSKPDKRSDS-VIFPVBQESA-N -1 1 307.379 1.569 20 0 DDADMM CN(C[C@@H]1CCCCO1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821596253 606531567 /nfs/dbraw/zinc/53/15/67/606531567.db2.gz FGJZZSKPDKRSDS-VIFPVBQESA-N -1 1 307.379 1.569 20 0 DDADMM CC[C@@H](C)[C@](C)(O)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820862935 606574184 /nfs/dbraw/zinc/57/41/84/606574184.db2.gz RYXDVMXMUJOIMT-AMIZOPFISA-N -1 1 309.395 1.455 20 0 DDADMM CC[C@@H](C)[C@](C)(O)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820862935 606574185 /nfs/dbraw/zinc/57/41/85/606574185.db2.gz RYXDVMXMUJOIMT-AMIZOPFISA-N -1 1 309.395 1.455 20 0 DDADMM CCOC[C@@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821367324 606581889 /nfs/dbraw/zinc/58/18/89/606581889.db2.gz GOKCDQLQVCNIIE-SECBINFHSA-N -1 1 307.379 1.427 20 0 DDADMM CCOC[C@@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821367324 606581890 /nfs/dbraw/zinc/58/18/90/606581890.db2.gz GOKCDQLQVCNIIE-SECBINFHSA-N -1 1 307.379 1.427 20 0 DDADMM CCCCC[C@@H](C)NC(=O)Cn1cccc(-c2nn[n-]n2)c1=O ZINC000821050280 606614522 /nfs/dbraw/zinc/61/45/22/606614522.db2.gz QDSLXYLVDGOOPX-LLVKDONJSA-N -1 1 318.381 1.113 20 0 DDADMM C[C@@H]1CC[C@H](CCC(=O)OCc2ccnc(-c3nnn[n-]3)c2)O1 ZINC000820691101 606646912 /nfs/dbraw/zinc/64/69/12/606646912.db2.gz VFKJIIIVWKLYCF-ZYHUDNBSSA-N -1 1 317.349 1.653 20 0 DDADMM C[C@@H]1CC[C@H](CCC(=O)OCc2ccnc(-c3nn[n-]n3)c2)O1 ZINC000820691101 606646913 /nfs/dbraw/zinc/64/69/13/606646913.db2.gz VFKJIIIVWKLYCF-ZYHUDNBSSA-N -1 1 317.349 1.653 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1c(F)cccc1F ZINC000823436372 606651620 /nfs/dbraw/zinc/65/16/20/606651620.db2.gz RZYLCSBFLXIYDP-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1c(F)cccc1F ZINC000823436372 606651621 /nfs/dbraw/zinc/65/16/21/606651621.db2.gz RZYLCSBFLXIYDP-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)Nc1cccc(Cl)c1 ZINC000823123067 606655406 /nfs/dbraw/zinc/65/54/06/606655406.db2.gz MNWTVXWTARQBMO-UHFFFAOYSA-N -1 1 303.713 1.355 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)Nc1cccc(Cl)c1 ZINC000823123067 606655407 /nfs/dbraw/zinc/65/54/07/606655407.db2.gz MNWTVXWTARQBMO-UHFFFAOYSA-N -1 1 303.713 1.355 20 0 DDADMM CN(CCOC(C)(C)C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821603781 607165893 /nfs/dbraw/zinc/16/58/93/607165893.db2.gz OSDWRDFBSFEXSG-UHFFFAOYSA-N -1 1 304.354 1.149 20 0 DDADMM CN(CCOC(C)(C)C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821603781 607165895 /nfs/dbraw/zinc/16/58/95/607165895.db2.gz OSDWRDFBSFEXSG-UHFFFAOYSA-N -1 1 304.354 1.149 20 0 DDADMM OC[C@@H](CC1CC1)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000826507852 607850712 /nfs/dbraw/zinc/85/07/12/607850712.db2.gz XGHIFRNNNJFSIJ-ZCFIWIBFSA-N -1 1 300.775 1.550 20 0 DDADMM OC[C@@H](CC1CC1)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000826507852 607850713 /nfs/dbraw/zinc/85/07/13/607850713.db2.gz XGHIFRNNNJFSIJ-ZCFIWIBFSA-N -1 1 300.775 1.550 20 0 DDADMM Cc1ccsc1[C@H](CO)Nc1cccc(-c2nnn[n-]2)n1 ZINC000826316590 607901684 /nfs/dbraw/zinc/90/16/84/607901684.db2.gz SHYLEOYWHVTUFY-JTQLQIEISA-N -1 1 302.363 1.777 20 0 DDADMM Cc1ccsc1[C@H](CO)Nc1cccc(-c2nn[n-]n2)n1 ZINC000826316590 607901685 /nfs/dbraw/zinc/90/16/85/607901685.db2.gz SHYLEOYWHVTUFY-JTQLQIEISA-N -1 1 302.363 1.777 20 0 DDADMM COc1ccc(CN(C)c2ccc(-c3nnn[n-]3)nn2)cc1F ZINC000826192823 607988327 /nfs/dbraw/zinc/98/83/27/607988327.db2.gz CXWNKTYCGMGLKJ-UHFFFAOYSA-N -1 1 315.312 1.441 20 0 DDADMM COc1ccc(CN(C)c2ccc(-c3nn[n-]n3)nn2)cc1F ZINC000826192823 607988328 /nfs/dbraw/zinc/98/83/28/607988328.db2.gz CXWNKTYCGMGLKJ-UHFFFAOYSA-N -1 1 315.312 1.441 20 0 DDADMM Clc1nsc(N2CCC[C@H]2c2ncon2)c1-c1nnn[n-]1 ZINC000826354142 608181924 /nfs/dbraw/zinc/18/19/24/608181924.db2.gz QWJZSXNFYRKXNK-YFKPBYRVSA-N -1 1 324.757 1.701 20 0 DDADMM Clc1nsc(N2CCC[C@H]2c2ncon2)c1-c1nn[n-]n1 ZINC000826354142 608181925 /nfs/dbraw/zinc/18/19/25/608181925.db2.gz QWJZSXNFYRKXNK-YFKPBYRVSA-N -1 1 324.757 1.701 20 0 DDADMM CCc1cccnc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000825728780 608318157 /nfs/dbraw/zinc/31/81/57/608318157.db2.gz ZUPOGPBTSLEPCE-UHFFFAOYSA-N -1 1 310.317 1.576 20 0 DDADMM CCc1cccnc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000825728780 608318159 /nfs/dbraw/zinc/31/81/59/608318159.db2.gz ZUPOGPBTSLEPCE-UHFFFAOYSA-N -1 1 310.317 1.576 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000824938207 608400191 /nfs/dbraw/zinc/40/01/91/608400191.db2.gz UUKPPGMHUCZQKC-PWSUYJOCSA-N -1 1 303.370 1.664 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000824938207 608400192 /nfs/dbraw/zinc/40/01/92/608400192.db2.gz UUKPPGMHUCZQKC-PWSUYJOCSA-N -1 1 303.370 1.664 20 0 DDADMM Fc1ccc(OCCNc2ccc(-c3nnn[n-]3)nn2)cc1F ZINC000826376146 608416192 /nfs/dbraw/zinc/41/61/92/608416192.db2.gz QCDFQYLAPVLGNG-UHFFFAOYSA-N -1 1 319.275 1.426 20 0 DDADMM Fc1ccc(OCCNc2ccc(-c3nn[n-]n3)nn2)cc1F ZINC000826376146 608416194 /nfs/dbraw/zinc/41/61/94/608416194.db2.gz QCDFQYLAPVLGNG-UHFFFAOYSA-N -1 1 319.275 1.426 20 0 DDADMM CCCOc1ccc(CNc2ccc(-c3nnn[n-]3)nn2)cn1 ZINC000825339203 608416554 /nfs/dbraw/zinc/41/65/54/608416554.db2.gz RIYJPWBVRPJLCJ-UHFFFAOYSA-N -1 1 312.337 1.453 20 0 DDADMM CCCOc1ccc(CNc2ccc(-c3nn[n-]n3)nn2)cn1 ZINC000825339203 608416556 /nfs/dbraw/zinc/41/65/56/608416556.db2.gz RIYJPWBVRPJLCJ-UHFFFAOYSA-N -1 1 312.337 1.453 20 0 DDADMM CCCO[C@@H]1CCCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000825339303 608416674 /nfs/dbraw/zinc/41/66/74/608416674.db2.gz VYZDUHXCQKHUFH-LLVKDONJSA-N -1 1 303.370 1.442 20 0 DDADMM CCCO[C@@H]1CCCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000825339303 608416676 /nfs/dbraw/zinc/41/66/76/608416676.db2.gz VYZDUHXCQKHUFH-LLVKDONJSA-N -1 1 303.370 1.442 20 0 DDADMM CN1CCOc2ccc(Nc3ccc(-c4nnn[n-]4)nn3)cc21 ZINC000826045241 608427766 /nfs/dbraw/zinc/42/77/66/608427766.db2.gz SKLIJBPSRIVJCW-UHFFFAOYSA-N -1 1 310.321 1.229 20 0 DDADMM CN1CCOc2ccc(Nc3ccc(-c4nn[n-]n4)nn3)cc21 ZINC000826045241 608427767 /nfs/dbraw/zinc/42/77/67/608427767.db2.gz SKLIJBPSRIVJCW-UHFFFAOYSA-N -1 1 310.321 1.229 20 0 DDADMM C[C@H]1CO[C@H](c2ccccc2)CN1c1ccc(-c2nnn[n-]2)nn1 ZINC000825007406 608429271 /nfs/dbraw/zinc/42/92/71/608429271.db2.gz YXLWDOKOSDXXDE-FZMZJTMJSA-N -1 1 323.360 1.623 20 0 DDADMM C[C@H]1CO[C@H](c2ccccc2)CN1c1ccc(-c2nn[n-]n2)nn1 ZINC000825007406 608429272 /nfs/dbraw/zinc/42/92/72/608429272.db2.gz YXLWDOKOSDXXDE-FZMZJTMJSA-N -1 1 323.360 1.623 20 0 DDADMM Fc1ccc2c(c1F)CN(c1ccc(-c3nnn[n-]3)nn1)CC2 ZINC000826376085 608434680 /nfs/dbraw/zinc/43/46/80/608434680.db2.gz OCLYXWVIMPZBHE-UHFFFAOYSA-N -1 1 315.287 1.498 20 0 DDADMM Fc1ccc2c(c1F)CN(c1ccc(-c3nn[n-]n3)nn1)CC2 ZINC000826376085 608434681 /nfs/dbraw/zinc/43/46/81/608434681.db2.gz OCLYXWVIMPZBHE-UHFFFAOYSA-N -1 1 315.287 1.498 20 0 DDADMM CC(C)(C)[C@H]1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)CCO1 ZINC000824079910 608438140 /nfs/dbraw/zinc/43/81/40/608438140.db2.gz SEBAYRZKMJQHHF-MWLCHTKSSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)(C)[C@H]1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)CCO1 ZINC000824079910 608438142 /nfs/dbraw/zinc/43/81/42/608438142.db2.gz SEBAYRZKMJQHHF-MWLCHTKSSA-N -1 1 303.370 1.662 20 0 DDADMM Cc1ccccc1C1=CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000826306725 608585689 /nfs/dbraw/zinc/58/56/89/608585689.db2.gz JJCLFONZGGWVAY-UHFFFAOYSA-N -1 1 305.345 1.869 20 0 DDADMM Cc1ccccc1C1=CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000826306725 608585690 /nfs/dbraw/zinc/58/56/90/608585690.db2.gz JJCLFONZGGWVAY-UHFFFAOYSA-N -1 1 305.345 1.869 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)NC1(c2ccccc2)CCC1 ZINC000826425210 608890955 /nfs/dbraw/zinc/89/09/55/608890955.db2.gz UJMUJMZVCAUUFX-UHFFFAOYSA-N -1 1 323.360 1.259 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)NC1(c2ccccc2)CCC1 ZINC000826425210 608890956 /nfs/dbraw/zinc/89/09/56/608890956.db2.gz UJMUJMZVCAUUFX-UHFFFAOYSA-N -1 1 323.360 1.259 20 0 DDADMM c1cn(Cc2noc(C3CCCCC3)n2)c(-c2nnn[n-]2)n1 ZINC000826525013 608894093 /nfs/dbraw/zinc/89/40/93/608894093.db2.gz SDTJRHUTIGKQTI-UHFFFAOYSA-N -1 1 300.326 1.542 20 0 DDADMM c1cn(Cc2noc(C3CCCCC3)n2)c(-c2nn[n-]n2)n1 ZINC000826525013 608894096 /nfs/dbraw/zinc/89/40/96/608894096.db2.gz SDTJRHUTIGKQTI-UHFFFAOYSA-N -1 1 300.326 1.542 20 0 DDADMM CN(C)c1cccc(NC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000825883114 609427993 /nfs/dbraw/zinc/42/79/93/609427993.db2.gz BUOFCOKGFOKOTE-UHFFFAOYSA-N -1 1 315.362 1.642 20 0 DDADMM CN(C)c1cccc(NC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000825883114 609427995 /nfs/dbraw/zinc/42/79/95/609427995.db2.gz BUOFCOKGFOKOTE-UHFFFAOYSA-N -1 1 315.362 1.642 20 0 DDADMM C[C@H](O)[C@H]1CCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000824690176 609569895 /nfs/dbraw/zinc/56/98/95/609569895.db2.gz QJJXBLKRDGHYEC-QWRGUYRKSA-N -1 1 310.361 1.622 20 0 DDADMM C[C@H](O)[C@H]1CCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000824690176 609569897 /nfs/dbraw/zinc/56/98/97/609569897.db2.gz QJJXBLKRDGHYEC-QWRGUYRKSA-N -1 1 310.361 1.622 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCO1 ZINC000825184443 609623987 /nfs/dbraw/zinc/62/39/87/609623987.db2.gz UURNVECTDRGETN-NWDGAFQWSA-N -1 1 317.349 1.510 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCO1 ZINC000825184443 609623989 /nfs/dbraw/zinc/62/39/89/609623989.db2.gz UURNVECTDRGETN-NWDGAFQWSA-N -1 1 317.349 1.510 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000006358365 696017985 /nfs/dbraw/zinc/01/79/85/696017985.db2.gz IVRUXAFXXCXCSC-UHFFFAOYSA-N -1 1 311.281 1.334 20 0 DDADMM O=C(NCc1ccc(Cl)nc1)c1nc2ccccc2c(=O)[n-]1 ZINC000122870811 696726305 /nfs/dbraw/zinc/72/63/05/696726305.db2.gz LXEDCRUTYZTDLI-UHFFFAOYSA-N -1 1 314.732 1.902 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(C(=O)C3CCC3)C[C@H]21 ZINC000971900434 695119552 /nfs/dbraw/zinc/11/95/52/695119552.db2.gz UAZLCUZJFOQPKP-CHWSQXEVSA-N -1 1 315.373 1.260 20 0 DDADMM CN(C(=O)N[C@@H]1CCCc2cn[nH]c21)C1(C(=O)[O-])CCCC1 ZINC000797723650 700021142 /nfs/dbraw/zinc/02/11/42/700021142.db2.gz DHGDZXWKEWENQH-LLVKDONJSA-N -1 1 306.366 1.826 20 0 DDADMM O=C(c1ccc(Cl)s1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798148515 700044260 /nfs/dbraw/zinc/04/42/60/700044260.db2.gz FMIOVSOODSMVNJ-UHFFFAOYSA-N -1 1 313.770 1.038 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1ncccc1[O-] ZINC000976572164 695987067 /nfs/dbraw/zinc/98/70/67/695987067.db2.gz SOLGMUIZHBWQGF-FDYHWXHSSA-N -1 1 317.389 1.600 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2occc2C)cc1 ZINC000016416431 696063002 /nfs/dbraw/zinc/06/30/02/696063002.db2.gz NMDIRQMRNOIIEB-UHFFFAOYSA-N -1 1 317.297 1.710 20 0 DDADMM CCc1nsc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000798403065 700062303 /nfs/dbraw/zinc/06/23/03/700062303.db2.gz JWTZOYMCGJGOLW-UHFFFAOYSA-N -1 1 322.356 1.995 20 0 DDADMM CCc1ccc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)s1 ZINC000747345265 700065376 /nfs/dbraw/zinc/06/53/76/700065376.db2.gz ZWMKRFLTLKLRMH-UHFFFAOYSA-N -1 1 300.365 1.084 20 0 DDADMM CCc1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)s1 ZINC000747345265 700065378 /nfs/dbraw/zinc/06/53/78/700065378.db2.gz ZWMKRFLTLKLRMH-UHFFFAOYSA-N -1 1 300.365 1.084 20 0 DDADMM CCc1cc(CNC(=O)CSc2n[n-]c(=S)s2)n[nH]1 ZINC000747391088 700067392 /nfs/dbraw/zinc/06/73/92/700067392.db2.gz XNRIEZQULSAHEJ-UHFFFAOYSA-N -1 1 315.449 1.521 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977598186 696229224 /nfs/dbraw/zinc/22/92/24/696229224.db2.gz HBGCRJBAQUZGDW-JHJVBQTASA-N -1 1 317.389 1.506 20 0 DDADMM CCC(N[C@@H](C)CC)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000054501860 696276568 /nfs/dbraw/zinc/27/65/68/696276568.db2.gz AZNYOAIUBUFREN-OQDIYGIBSA-N -1 1 311.451 1.938 20 0 DDADMM COc1cc[n-]c(=NNC(=O)C(=O)Nc2ccc(Cl)cc2)n1 ZINC000056887312 696292950 /nfs/dbraw/zinc/29/29/50/696292950.db2.gz XGNPACLRKXDKBV-UHFFFAOYSA-N -1 1 321.724 1.220 20 0 DDADMM CCCCS(=O)(=O)[N-][C@@H](C(=O)OC)c1cc(F)ccc1F ZINC000057874216 696300567 /nfs/dbraw/zinc/30/05/67/696300567.db2.gz PVENBRWWVHWIFA-GFCCVEGCSA-N -1 1 321.345 1.898 20 0 DDADMM CCn1c(CNC(=O)[C@H](C)OC[C@H]2CCCO2)n[n-]c1=S ZINC000066635967 696354460 /nfs/dbraw/zinc/35/44/60/696354460.db2.gz PVLWZOPXHFRVKI-VHSXEESVSA-N -1 1 314.411 1.161 20 0 DDADMM O=C(CCc1ccccn1)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000068844055 696376957 /nfs/dbraw/zinc/37/69/57/696376957.db2.gz GOCADBWVHCOFRS-UHFFFAOYSA-N -1 1 319.748 1.834 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)c1cccnc1Cl ZINC000073997267 696409613 /nfs/dbraw/zinc/40/96/13/696409613.db2.gz WXYACUHFDHPKTB-UHFFFAOYSA-N -1 1 311.798 1.981 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(N(C)C)cc2)o1 ZINC000075635292 696422667 /nfs/dbraw/zinc/42/26/67/696422667.db2.gz QSCSECUYRQTOBD-UHFFFAOYSA-N -1 1 323.374 1.506 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cccc(-n2cncn2)c1 ZINC000076832903 696433294 /nfs/dbraw/zinc/43/32/94/696433294.db2.gz OHOLYDVBXIUTDK-UHFFFAOYSA-N -1 1 305.319 1.067 20 0 DDADMM O=C(NC[C@H]1C[C@@H](NC(=O)[C@@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000978741030 696450428 /nfs/dbraw/zinc/45/04/28/696450428.db2.gz RYXHXRDHFAWSQG-TUAOUCFPSA-N -1 1 315.373 1.212 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(C#N)cc1 ZINC000079484598 696460719 /nfs/dbraw/zinc/46/07/19/696460719.db2.gz UHPUNHNRIJPKTR-LDYMZIIASA-N -1 1 302.359 1.082 20 0 DDADMM C[C@H]1CCN(C(=O)Cc2sc(N)nc2[O-])c2ccccc21 ZINC000079617199 696462039 /nfs/dbraw/zinc/46/20/39/696462039.db2.gz JIEQFZFGPLFDPW-JOYOIKCWSA-N -1 1 303.387 1.874 20 0 DDADMM Cc1ccc(CCNC(=O)Cc2sc(N)nc2[O-])c(C)c1 ZINC000079764169 696463515 /nfs/dbraw/zinc/46/35/15/696463515.db2.gz QKYIVDLDOSLCKJ-GFCCVEGCSA-N -1 1 305.403 1.309 20 0 DDADMM CC(C)c1ncc(S(=O)(=O)Oc2cccc(C(N)=O)c2)[n-]1 ZINC000080716109 696537023 /nfs/dbraw/zinc/53/70/23/696537023.db2.gz FZKXLUFPYLHZRV-UHFFFAOYSA-N -1 1 309.347 1.400 20 0 DDADMM CC(C)c1nc(S(=O)(=O)Oc2cccc(C(N)=O)c2)c[n-]1 ZINC000080716109 696537025 /nfs/dbraw/zinc/53/70/25/696537025.db2.gz FZKXLUFPYLHZRV-UHFFFAOYSA-N -1 1 309.347 1.400 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)Nc1cnn(C(C)C)c1 ZINC000084334072 696557216 /nfs/dbraw/zinc/55/72/16/696557216.db2.gz UUHNSIZYCRCORT-UHFFFAOYSA-N -1 1 309.399 1.848 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)CC1CCCCC1)N(C)C ZINC000798822461 700099398 /nfs/dbraw/zinc/09/93/98/700099398.db2.gz XJAAYJMUDKXCEX-CYBMUJFWSA-N -1 1 304.456 1.599 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H]2CCCc3ccc(F)cc32)s1 ZINC000089391920 696576477 /nfs/dbraw/zinc/57/64/77/696576477.db2.gz PNKXKZYGECYYQJ-RYUDHWBXSA-N -1 1 321.377 1.666 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)CCSC)o1 ZINC000748061849 700097343 /nfs/dbraw/zinc/09/73/43/700097343.db2.gz BTZMVUGAPIDUIQ-SECBINFHSA-N -1 1 321.420 1.876 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Br)cc2[O-])CCN1C ZINC000104144584 696618598 /nfs/dbraw/zinc/61/85/98/696618598.db2.gz YIOAHVDBLBDETI-SECBINFHSA-N -1 1 313.195 1.931 20 0 DDADMM CC[C@H](CC(=O)OCCc1c(C)nc2[n-]cnn2c1=O)C(C)C ZINC000126084688 696758822 /nfs/dbraw/zinc/75/88/22/696758822.db2.gz JSDHUPYMPLNCMB-GFCCVEGCSA-N -1 1 320.393 1.884 20 0 DDADMM Cc1ccc(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)nc1 ZINC000129170236 696786052 /nfs/dbraw/zinc/78/60/52/696786052.db2.gz PEXXOHGJLXUYTN-UHFFFAOYSA-N -1 1 311.345 1.273 20 0 DDADMM Cc1nc(Cl)ccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155591962 696950477 /nfs/dbraw/zinc/95/04/77/696950477.db2.gz YOKNLDZUPYNOMC-SECBINFHSA-N -1 1 306.757 1.576 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)c2ccc[nH]2)C1)c1ncccc1[O-] ZINC000982431259 697127585 /nfs/dbraw/zinc/12/75/85/697127585.db2.gz KISDPCWQLCJZLG-LLVKDONJSA-N -1 1 314.345 1.007 20 0 DDADMM CC[C@H](F)C(=O)N1CCC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000984491977 697391923 /nfs/dbraw/zinc/39/19/23/697391923.db2.gz JCPXPQNCGFDPIA-RYUDHWBXSA-N -1 1 323.368 1.598 20 0 DDADMM C=CC(=O)NCCNC(=O)c1ccc(Br)cc1[O-] ZINC000179556675 697439410 /nfs/dbraw/zinc/43/94/10/697439410.db2.gz XZZJOJZBFLHTTD-UHFFFAOYSA-N -1 1 313.151 1.187 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@H]1C[C@]1(C)C(C)C ZINC000186324593 697531798 /nfs/dbraw/zinc/53/17/98/697531798.db2.gz VUJJVBPJJBAXGQ-MLGOLLRUSA-N -1 1 318.377 1.494 20 0 DDADMM O=C(NC[C@@H](O)c1ccsc1)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000187116126 697541053 /nfs/dbraw/zinc/54/10/53/697541053.db2.gz CDXJMALGKKFZIJ-SNVBAGLBSA-N -1 1 309.303 1.220 20 0 DDADMM CC(=O)N[N-]S(=O)(=O)c1cc(Cl)cc(C(F)(F)F)c1 ZINC000187170003 697542011 /nfs/dbraw/zinc/54/20/11/697542011.db2.gz LONYNLMVVUXBNM-UHFFFAOYSA-N -1 1 316.688 1.688 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@H]2CC23CCC3)CC1 ZINC000985688687 697556386 /nfs/dbraw/zinc/55/63/86/697556386.db2.gz XINBKQVNAYACCO-LBPRGKRZSA-N -1 1 319.409 1.123 20 0 DDADMM CCCn1c(C(=O)NN2CC(=O)[N-]C2=O)cc2ccccc21 ZINC000189782669 697580159 /nfs/dbraw/zinc/58/01/59/697580159.db2.gz UZNOQKQIMIPPFQ-UHFFFAOYSA-N -1 1 300.318 1.248 20 0 DDADMM CN([N-]C(=O)c1cc(Br)c(F)cc1F)C(N)=O ZINC000192198985 697623144 /nfs/dbraw/zinc/62/31/44/697623144.db2.gz YWRVPSAOOXNSKA-UHFFFAOYSA-N -1 1 308.082 1.383 20 0 DDADMM O=C(N[N-]C(=O)c1ccc(Cl)cc1F)c1c[nH]c(=S)[nH]1 ZINC000193101704 697649990 /nfs/dbraw/zinc/64/99/90/697649990.db2.gz PBAPDKMWKKRDCR-UHFFFAOYSA-N -1 1 314.729 1.566 20 0 DDADMM COc1cc(SC)ccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000772646976 697656881 /nfs/dbraw/zinc/65/68/81/697656881.db2.gz AMLHCHBWPKVZLQ-UHFFFAOYSA-N -1 1 310.335 1.005 20 0 DDADMM COc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1F ZINC000773157510 697720730 /nfs/dbraw/zinc/72/07/30/697720730.db2.gz KUKFEJRVHBIJLL-SNVBAGLBSA-N -1 1 323.353 1.278 20 0 DDADMM COc1cccc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)c1 ZINC000773707026 697796136 /nfs/dbraw/zinc/79/61/36/697796136.db2.gz CKLPETIPFZICPK-UHFFFAOYSA-N -1 1 314.370 1.957 20 0 DDADMM CC(C)n1ccc(CC(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000774958607 697947160 /nfs/dbraw/zinc/94/71/60/697947160.db2.gz BXVJMNCBORJYQO-UHFFFAOYSA-N -1 1 321.299 1.618 20 0 DDADMM C[C@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)c1cnn(C)c1 ZINC000774968306 697949137 /nfs/dbraw/zinc/94/91/37/697949137.db2.gz UCFRMMAQUGNFNU-QMMMGPOBSA-N -1 1 307.272 1.135 20 0 DDADMM COc1cc(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)nn1C ZINC000775094605 697962313 /nfs/dbraw/zinc/96/23/13/697962313.db2.gz RPOMCRGNVKWEHD-UHFFFAOYSA-N -1 1 305.338 1.608 20 0 DDADMM COCC[C@H]([N-]C(=O)C(F)(F)c1c(F)cccc1F)C(N)=O ZINC000775977672 698057375 /nfs/dbraw/zinc/05/73/75/698057375.db2.gz TXIPYCDJQXOCCD-VIFPVBQESA-N -1 1 322.258 1.063 20 0 DDADMM CCc1ncsc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776562614 698117241 /nfs/dbraw/zinc/11/72/41/698117241.db2.gz DWAZHLDACXXTFR-MRVPVSSYSA-N -1 1 310.408 1.149 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1CC[C@H](C)C1 ZINC000777357106 698182417 /nfs/dbraw/zinc/18/24/17/698182417.db2.gz QQZTUMQVZDLYLZ-ONGXEEELSA-N -1 1 304.350 1.248 20 0 DDADMM CCCC(=O)N1CC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987673663 698193611 /nfs/dbraw/zinc/19/36/11/698193611.db2.gz DALJIJSVZVDCTD-LBPRGKRZSA-N -1 1 305.378 1.508 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)[N-]c2ccn(Cc3ccccn3)n2)C1 ZINC000777933474 698232004 /nfs/dbraw/zinc/23/20/04/698232004.db2.gz NSMNTFOAQJMGQS-LBPRGKRZSA-N -1 1 321.406 1.325 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CCCCC1 ZINC000987876189 698255445 /nfs/dbraw/zinc/25/54/45/698255445.db2.gz KNQODOPAIBETFA-CMPLNLGQSA-N -1 1 307.398 1.170 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CCCCCC1 ZINC000988350445 698333641 /nfs/dbraw/zinc/33/36/41/698333641.db2.gz LEAIUARNRQULPF-WCQYABFASA-N -1 1 321.425 1.560 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1=CCCCC1 ZINC000988363671 698336986 /nfs/dbraw/zinc/33/69/86/698336986.db2.gz DFMVIZOFXPFLSF-DGCLKSJQSA-N -1 1 319.409 1.480 20 0 DDADMM CC(C)CCS(=O)(=O)[N-]C(=O)[C@H](C(C)C)N1CCCCC1 ZINC000778632602 698354381 /nfs/dbraw/zinc/35/43/81/698354381.db2.gz JJMISMUCULKIKP-AWEZNQCLSA-N -1 1 318.483 1.989 20 0 DDADMM CC(C)[C@@H](OC(=O)c1ccc(Br)c([O-])c1)C(N)=O ZINC000778827870 698373472 /nfs/dbraw/zinc/37/34/72/698373472.db2.gz DLEBQXGTZKNBDI-SNVBAGLBSA-N -1 1 316.151 1.821 20 0 DDADMM Cc1ncoc1CN[C@@H]1C[C@H](C)N(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC000988631424 698424218 /nfs/dbraw/zinc/42/42/18/698424218.db2.gz GVUKABCITQMTPG-GZMMTYOYSA-N -1 1 319.365 1.113 20 0 DDADMM O=C(C[N-]S(=O)(=O)Cc1ccc(F)cc1F)OCC1CC1 ZINC000779891063 698477801 /nfs/dbraw/zinc/47/78/01/698477801.db2.gz VHDOEWALXUYDND-UHFFFAOYSA-N -1 1 319.329 1.337 20 0 DDADMM CO[C@@H]1C[C@H](CC(=O)[O-])N(C(=O)c2cc(C)cc3c[nH]nc32)C1 ZINC000263001734 698487283 /nfs/dbraw/zinc/48/72/83/698487283.db2.gz KVSZWACOQHUSQN-VXGBXAGGSA-N -1 1 317.345 1.576 20 0 DDADMM Cc1cnc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c(C)c1 ZINC000780222923 698498332 /nfs/dbraw/zinc/49/83/32/698498332.db2.gz MBSMOLGHBLYPSF-UHFFFAOYSA-N -1 1 304.268 1.924 20 0 DDADMM COc1ccccc1[C@H](CNC(=O)NCC(=O)[O-])N1CCCC1 ZINC000780348497 698509120 /nfs/dbraw/zinc/50/91/20/698509120.db2.gz ZKCGCNDVERHRGQ-ZDUSSCGKSA-N -1 1 321.377 1.216 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)Cc2ccn(C)n2)c1 ZINC000782392185 698719339 /nfs/dbraw/zinc/71/93/39/698719339.db2.gz OVGQCSRDYFIJBY-UHFFFAOYSA-N -1 1 302.330 1.657 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)[C@@H]1CC[C@@H](C)CC1 ZINC000989698255 698732875 /nfs/dbraw/zinc/73/28/75/698732875.db2.gz WLHMIWNJHLVKLG-FDYHWXHSSA-N -1 1 321.425 1.416 20 0 DDADMM COCCOc1cccc(OS(=O)(=O)c2c[n-]nc2C)c1 ZINC000800960549 700257840 /nfs/dbraw/zinc/25/78/40/700257840.db2.gz UXYPIBIRFSITAG-UHFFFAOYSA-N -1 1 312.347 1.511 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@](C)(O)CC1 ZINC000389519433 699089388 /nfs/dbraw/zinc/08/93/88/699089388.db2.gz GPXODTHXIQUCAI-MRXNPFEDSA-N -1 1 307.394 1.991 20 0 DDADMM O=S(=O)([N-]CCOC(F)(F)F)c1cnc(Cl)c(F)c1 ZINC000398466175 699120968 /nfs/dbraw/zinc/12/09/68/699120968.db2.gz UVBPZBJQLBRATR-UHFFFAOYSA-N -1 1 322.667 1.689 20 0 DDADMM CCCCc1noc(COC(=O)c2cc(=O)[n-]c(N(C)C)n2)n1 ZINC000786499050 699151032 /nfs/dbraw/zinc/15/10/32/699151032.db2.gz YYWFHUHMUIIKQV-UHFFFAOYSA-N -1 1 321.337 1.331 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCCC2(C1)OCCO2 ZINC000701248927 699226359 /nfs/dbraw/zinc/22/63/59/699226359.db2.gz HJJORHJXHUNJGT-UHFFFAOYSA-N -1 1 321.377 1.593 20 0 DDADMM C[C@@H](Cn1ccnc1)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703059735 699235301 /nfs/dbraw/zinc/23/53/01/699235301.db2.gz LLTOYSJJDZUXRK-QMMMGPOBSA-N -1 1 318.761 1.438 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCSC1)c1ccc(Cl)nc1F ZINC000703109936 699235374 /nfs/dbraw/zinc/23/53/74/699235374.db2.gz CHMLLLFPPXKIBO-SSDOTTSWSA-N -1 1 310.803 1.906 20 0 DDADMM C[C@H]1COC[C@@H]1NC(=O)c1ccc(Br)cc1[O-] ZINC000710850010 699255087 /nfs/dbraw/zinc/25/50/87/699255087.db2.gz CDTVULFQYILIEF-XVKPBYJWSA-N -1 1 300.152 1.919 20 0 DDADMM CC(C)NC(=O)COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000787956210 699260135 /nfs/dbraw/zinc/26/01/35/699260135.db2.gz RMSKZKIFGNRZQQ-UHFFFAOYSA-N -1 1 321.308 1.398 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc3c2OCC3(C)C)nc1=O ZINC000789246091 699372941 /nfs/dbraw/zinc/37/29/41/699372941.db2.gz UPPPGVKJJVOQBY-UHFFFAOYSA-N -1 1 303.318 1.135 20 0 DDADMM CCOC1CC(CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000789901404 699418503 /nfs/dbraw/zinc/41/85/03/699418503.db2.gz LATIUNGZEBGNNX-HGMXIMQMSA-N -1 1 315.377 1.611 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000727848757 699428060 /nfs/dbraw/zinc/42/80/60/699428060.db2.gz PIHGYLPYUFYXQR-BFHYXJOUSA-N -1 1 319.405 1.402 20 0 DDADMM CC[C@@H](Cc1ccccc1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727860712 699428738 /nfs/dbraw/zinc/42/87/38/699428738.db2.gz HLABQSIOIRBAFU-AWEZNQCLSA-N -1 1 315.373 1.064 20 0 DDADMM Cn1cc(C(=O)[N-]N2Cc3ccccc3C2=O)c(-c2ccoc2)n1 ZINC000790023292 699434634 /nfs/dbraw/zinc/43/46/34/699434634.db2.gz OKSFXXKSQGDHSZ-UHFFFAOYSA-N -1 1 322.324 1.981 20 0 DDADMM NC(=O)c1ccc(CC(=O)Nc2nc(Cl)ccc2[O-])cc1 ZINC000790051185 699437636 /nfs/dbraw/zinc/43/76/36/699437636.db2.gz NADMDAOFUGHDRH-UHFFFAOYSA-N -1 1 305.721 1.721 20 0 DDADMM CCOC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000790164306 699446362 /nfs/dbraw/zinc/44/63/62/699446362.db2.gz LFIZYPYJVXGFCR-SSDOTTSWSA-N -1 1 309.746 1.709 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)Cc1cc(C(C)(C)C)on1 ZINC000790182300 699447031 /nfs/dbraw/zinc/44/70/31/699447031.db2.gz APEWQKVLVWMVLA-UHFFFAOYSA-N -1 1 318.395 1.343 20 0 DDADMM CC(=O)CCCOC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000731448242 699528922 /nfs/dbraw/zinc/52/89/22/699528922.db2.gz CXHXQAGKFNOMFP-UHFFFAOYSA-N -1 1 305.334 1.507 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccsc1NC(=O)c1ccco1 ZINC000732159279 699548398 /nfs/dbraw/zinc/54/83/98/699548398.db2.gz RJDFOPSCVNAAMC-UHFFFAOYSA-N -1 1 318.318 1.037 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc2c(cccc2Br)[nH]1 ZINC000732158335 699548436 /nfs/dbraw/zinc/54/84/36/699548436.db2.gz ZSXLNISHPMLNDC-UHFFFAOYSA-N -1 1 321.138 1.374 20 0 DDADMM O=C(CNC(=O)c1cncc([O-])c1)Nc1ccc(F)c(F)c1 ZINC000732265293 699552199 /nfs/dbraw/zinc/55/21/99/699552199.db2.gz UEHXWYVRCRMVPD-UHFFFAOYSA-N -1 1 307.256 1.434 20 0 DDADMM O=C(NCCNc1ncnc2sccc21)c1cncc([O-])c1 ZINC000732270990 699552617 /nfs/dbraw/zinc/55/26/17/699552617.db2.gz LSSDHJRIYSIQDO-UHFFFAOYSA-N -1 1 315.358 1.634 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1ccc(F)cc1F)C(F)(F)F ZINC000733323047 699588842 /nfs/dbraw/zinc/58/88/42/699588842.db2.gz ZZSDJJKVGZRZNB-VIFPVBQESA-N -1 1 319.251 1.820 20 0 DDADMM CO[C@@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)C(F)(F)F ZINC000733336909 699589221 /nfs/dbraw/zinc/58/92/21/699589221.db2.gz YSVLNQDBQHVJPW-VIFPVBQESA-N -1 1 315.251 1.230 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cc(=O)[nH]c4ccccc43)ccnc1-2 ZINC000791163840 699613662 /nfs/dbraw/zinc/61/36/62/699613662.db2.gz FZEDIFOIUMMSEA-UHFFFAOYSA-N -1 1 319.324 1.848 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cccc4c3oc(=O)n4C)ccnc1-2 ZINC000791288248 699619763 /nfs/dbraw/zinc/61/97/63/699619763.db2.gz YTKHKRXFFTUAFH-UHFFFAOYSA-N -1 1 323.312 1.039 20 0 DDADMM O=C(C[C@@H]1CCc2ccccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000734433457 699650734 /nfs/dbraw/zinc/65/07/34/699650734.db2.gz GGOMTFLNPOGBPO-GXTWGEPZSA-N -1 1 313.361 1.220 20 0 DDADMM Cc1cccc(C(=O)Nc2nnn[n-]2)c1NC(=O)c1ccncc1 ZINC000735821267 699704435 /nfs/dbraw/zinc/70/44/35/699704435.db2.gz VSCKLZVUHBUPPB-UHFFFAOYSA-N -1 1 323.316 1.408 20 0 DDADMM Cc1cccc(C(=O)Nc2nn[n-]n2)c1NC(=O)c1ccncc1 ZINC000735821267 699704438 /nfs/dbraw/zinc/70/44/38/699704438.db2.gz VSCKLZVUHBUPPB-UHFFFAOYSA-N -1 1 323.316 1.408 20 0 DDADMM CN(C)c1ncc(CNC(=O)c2c([O-])cccc2Cl)n1C ZINC000736682794 699729957 /nfs/dbraw/zinc/72/99/57/699729957.db2.gz OMPGBDWGLDAXRJ-UHFFFAOYSA-N -1 1 308.769 1.775 20 0 DDADMM O=C([C@@H]1CSc2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000737793771 699744771 /nfs/dbraw/zinc/74/47/71/699744771.db2.gz ZPTANARPJRIXSR-GFCCVEGCSA-N -1 1 315.402 1.795 20 0 DDADMM CCN(CC)CC(=O)Nc1ccccc1-n1ccc(C(=O)[O-])n1 ZINC000737845680 699745853 /nfs/dbraw/zinc/74/58/53/699745853.db2.gz HVLDMOZDRZGSSP-UHFFFAOYSA-N -1 1 316.361 1.851 20 0 DDADMM CN1CCC[C@@H]1C(=O)Nc1ccc2c(ccn2CC(=O)[O-])c1 ZINC000738017373 699749964 /nfs/dbraw/zinc/74/99/64/699749964.db2.gz WABJLMHUCNIKBY-CQSZACIVSA-N -1 1 301.346 1.759 20 0 DDADMM CC[C@H](C)CC[C@@H](O)CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000793711873 699773793 /nfs/dbraw/zinc/77/37/93/699773793.db2.gz DTVKJUQKQLFVIH-YNEHKIRRSA-N -1 1 309.414 1.483 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C\SC)c1 ZINC000794129600 699794535 /nfs/dbraw/zinc/79/45/35/699794535.db2.gz JTYQJYHUBOZQKX-WAYWQWQTSA-N -1 1 302.377 1.116 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCC[C@H](C)O ZINC000795491415 699871698 /nfs/dbraw/zinc/87/16/98/699871698.db2.gz PFEWIDRGVVQRPC-VIFPVBQESA-N -1 1 307.350 1.298 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N(CC(C)C)C2CC2)[n-]1 ZINC000796368670 699929395 /nfs/dbraw/zinc/92/93/95/699929395.db2.gz HOOGLMDAULACJX-UHFFFAOYSA-N -1 1 322.361 1.605 20 0 DDADMM CC(C)[C@H](OC(=O)c1nn(-c2ccccc2)cc1[O-])C(N)=O ZINC000801202743 700277194 /nfs/dbraw/zinc/27/71/94/700277194.db2.gz AFLXNRHBEBXRJW-ZDUSSCGKSA-N -1 1 303.318 1.245 20 0 DDADMM COC(=O)c1nc(Cl)ccc1S(=O)(=O)[N-]CCCF ZINC000751509666 700295959 /nfs/dbraw/zinc/29/59/59/700295959.db2.gz NVXSRWQADMOIFY-UHFFFAOYSA-N -1 1 310.734 1.160 20 0 DDADMM Cc1ccc2c(CC(=O)N3CCC[C@@H](c4nn[n-]n4)C3)c[nH]c2c1 ZINC000752913168 700395452 /nfs/dbraw/zinc/39/54/52/700395452.db2.gz KPMKFDNIXWKITJ-GFCCVEGCSA-N -1 1 324.388 1.938 20 0 DDADMM O=C([N-]N1CN=NC1=O)[C@H](F)c1ccc(C(F)(F)F)cc1 ZINC000802571984 700411542 /nfs/dbraw/zinc/41/15/42/700411542.db2.gz QOXRANKGXIGUMO-MRVPVSSYSA-N -1 1 304.203 1.783 20 0 DDADMM NC(=O)[C@@H](Cc1ccccc1)OC(=O)c1ccc([O-])cc1F ZINC000754866337 700534335 /nfs/dbraw/zinc/53/43/35/700534335.db2.gz JDIKSQWNLCOEEA-CQSZACIVSA-N -1 1 303.289 1.785 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@]2(C)CCCO2)cc1 ZINC000756558744 700628270 /nfs/dbraw/zinc/62/82/70/700628270.db2.gz PZJPRYWIALSXLI-MRXNPFEDSA-N -1 1 321.329 1.064 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@]2(CCOC2)C1 ZINC000757013831 700652480 /nfs/dbraw/zinc/65/24/80/700652480.db2.gz WRVJXLXZQBEBML-INIZCTEOSA-N -1 1 305.378 1.867 20 0 DDADMM CCOc1cc(C(=O)N2CCCNC(=O)C2)cc(Cl)c1[O-] ZINC000762593712 700899622 /nfs/dbraw/zinc/89/96/22/700899622.db2.gz UKJGXKZPCWKDGD-UHFFFAOYSA-N -1 1 312.753 1.406 20 0 DDADMM Cc1ccc2c(CC(=O)OCc3nc(=O)n(C)[n-]3)coc2c1C ZINC000765392582 701009379 /nfs/dbraw/zinc/00/93/79/701009379.db2.gz JDQGHNZYZIQSID-UHFFFAOYSA-N -1 1 315.329 1.757 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc3c(cccc3F)s2)nc1=O ZINC000765391297 701009515 /nfs/dbraw/zinc/00/95/15/701009515.db2.gz QOFLVLHAWOOTJL-UHFFFAOYSA-N -1 1 307.306 1.819 20 0 DDADMM Cc1c2cc(C)ccc2[nH]c1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765492936 701015946 /nfs/dbraw/zinc/01/59/46/701015946.db2.gz BSICYDQVOYIDLM-UHFFFAOYSA-N -1 1 300.318 1.564 20 0 DDADMM C[C@@H](CC(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(F)cc1F ZINC000765500051 701016422 /nfs/dbraw/zinc/01/64/22/701016422.db2.gz ASIUKUBDEFGARO-QMMMGPOBSA-N -1 1 311.288 1.624 20 0 DDADMM COC[C@@](C)([N-]C(=O)C(F)(F)c1ccccc1F)C(=O)OC ZINC000765860542 701028950 /nfs/dbraw/zinc/02/89/50/701028950.db2.gz OCFGJZHIUMEDOT-CYBMUJFWSA-N -1 1 319.279 1.612 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-][C@H]2CCCCC23OCCO3)on1 ZINC000766836540 701065244 /nfs/dbraw/zinc/06/52/44/701065244.db2.gz OSTNFGALXKREON-LBPRGKRZSA-N -1 1 316.379 1.088 20 0 DDADMM O=C(c1nc2ccccc2s1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000766874103 701067340 /nfs/dbraw/zinc/06/73/40/701067340.db2.gz ARVPUEAUTHCZDI-VIFPVBQESA-N -1 1 316.346 1.023 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccnc(Cl)c1F ZINC000767003619 701077608 /nfs/dbraw/zinc/07/76/08/701077608.db2.gz OHVKEHTZOGYNCI-UHFFFAOYSA-N -1 1 310.720 1.587 20 0 DDADMM C[C@@H](NC(=O)c1c(F)ccc([O-])c1F)c1nnnn1C1CC1 ZINC000809796563 701690474 /nfs/dbraw/zinc/69/04/74/701690474.db2.gz OZRRNNBNIAPYET-ZCFIWIBFSA-N -1 1 309.276 1.483 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1nncn1C1CC1 ZINC000803519659 701125519 /nfs/dbraw/zinc/12/55/19/701125519.db2.gz HNQWLKPUXKZYQO-UHFFFAOYSA-N -1 1 302.338 1.793 20 0 DDADMM O=C(Nc1cc2ccccn2n1)c1ccc2n[n-]c(=S)n2c1 ZINC000804376462 701183932 /nfs/dbraw/zinc/18/39/32/701183932.db2.gz CNZTVNMYOXIENK-UHFFFAOYSA-N -1 1 310.342 1.918 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1(c2cccc(F)c2)CCC1 ZINC000830867811 706594318 /nfs/dbraw/zinc/59/43/18/706594318.db2.gz WDJIFUPUEATLNH-UHFFFAOYSA-N -1 1 317.368 1.900 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H](CO)C2CC2)c(=O)[n-]1 ZINC000771012658 701303854 /nfs/dbraw/zinc/30/38/54/701303854.db2.gz MGLVGZOBYZZWAL-LLVKDONJSA-N -1 1 311.407 1.032 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)N(C)Cc1cccc(F)c1 ZINC000805603921 701397432 /nfs/dbraw/zinc/39/74/32/701397432.db2.gz XTECLYYMDUCWHW-VIFPVBQESA-N -1 1 306.297 1.148 20 0 DDADMM CC[C@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccc(Cl)cc1 ZINC000805603253 701397507 /nfs/dbraw/zinc/39/75/07/701397507.db2.gz JOGOXABSYOQIDV-NSHDSACASA-N -1 1 322.752 1.882 20 0 DDADMM COc1ccc(-c2cnc(COC(=O)c3cn[n-]n3)o2)cc1 ZINC000805604602 701398065 /nfs/dbraw/zinc/39/80/65/701398065.db2.gz CMRBUCDKVYAMCY-UHFFFAOYSA-N -1 1 300.274 1.825 20 0 DDADMM CCCC[C@H](OC(=O)c1cn[n-]n1)C(=O)Nc1cc(C)on1 ZINC000805605933 701398687 /nfs/dbraw/zinc/39/86/87/701398687.db2.gz RNTABXGMDSIMCO-JTQLQIEISA-N -1 1 307.310 1.455 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805606455 701398847 /nfs/dbraw/zinc/39/88/47/701398847.db2.gz IUAGGWGZUMTWCE-UWVGGRQHSA-N -1 1 318.333 1.236 20 0 DDADMM CC(C)N(Cc1ccccc1)C(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805606270 701399146 /nfs/dbraw/zinc/39/91/46/701399146.db2.gz VEHYEGAOTVBHKQ-LBPRGKRZSA-N -1 1 316.361 1.787 20 0 DDADMM O=C(NC[C@@H]1COc2ccccc2C1)C(=O)c1ccc([O-])cc1 ZINC000806321712 701431533 /nfs/dbraw/zinc/43/15/33/701431533.db2.gz HYQYBMYCBUUJQH-GFCCVEGCSA-N -1 1 311.337 1.942 20 0 DDADMM C[C@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@H](C2CC2)O1 ZINC000806489739 701437738 /nfs/dbraw/zinc/43/77/38/701437738.db2.gz BQPILNQOISRHMB-IINYFYTJSA-N -1 1 315.377 1.373 20 0 DDADMM Cc1nc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)cs1 ZINC000806493027 701438136 /nfs/dbraw/zinc/43/81/36/701438136.db2.gz NEIMHMFDAJDJRU-UHFFFAOYSA-N -1 1 302.363 1.428 20 0 DDADMM Cc1ncc2c(n1)CCC[C@H]2NC(=O)C(=O)c1ccc([O-])cc1 ZINC000806872346 701451799 /nfs/dbraw/zinc/45/17/99/701451799.db2.gz OKAANZHIGGFGAK-OAHLLOKOSA-N -1 1 311.341 1.867 20 0 DDADMM CCc1ccc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cc1 ZINC000830970753 706613512 /nfs/dbraw/zinc/61/35/12/706613512.db2.gz ZEAHETWTJSOGBL-UHFFFAOYSA-N -1 1 313.357 1.747 20 0 DDADMM C[C@@H](C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CCCC1 ZINC000830959741 706611485 /nfs/dbraw/zinc/61/14/85/706611485.db2.gz SHTYVGGGENZDBN-SNVBAGLBSA-N -1 1 305.378 1.697 20 0 DDADMM CC(C)CO[N-]C(=O)[C@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC000839708346 701792075 /nfs/dbraw/zinc/79/20/75/701792075.db2.gz CYHLAZJJKBTEQS-AWEZNQCLSA-N -1 1 322.380 1.921 20 0 DDADMM CC1(C)C[C@](C)([N-]S(=O)(=O)CCC(F)(F)F)C(=O)O1 ZINC000882223350 707545710 /nfs/dbraw/zinc/54/57/10/707545710.db2.gz KECOWVRQDHQOSJ-VIFPVBQESA-N -1 1 303.302 1.342 20 0 DDADMM O=C(N[C@H]1COc2ccccc2[C@@H]1O)C(=O)c1ccc([O-])cc1 ZINC000811580231 702011585 /nfs/dbraw/zinc/01/15/85/702011585.db2.gz ZZBMWJICBQPBCN-BBRMVZONSA-N -1 1 313.309 1.186 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868524848 702177666 /nfs/dbraw/zinc/17/76/66/702177666.db2.gz KDGFGRVIRFFIFK-ZJUUUORDSA-N -1 1 306.366 1.243 20 0 DDADMM O=C(CCc1ncccn1)Nc1nc(Br)ccc1[O-] ZINC000812536569 702196034 /nfs/dbraw/zinc/19/60/34/702196034.db2.gz HHZSKHAXNSAPPT-UHFFFAOYSA-N -1 1 323.150 1.911 20 0 DDADMM O=C(Cc1sc(N2CCC2)nc1[O-])Nc1ccccc1F ZINC000840701370 702215350 /nfs/dbraw/zinc/21/53/50/702215350.db2.gz KEQNBPNPNQRHOM-LLVKDONJSA-N -1 1 307.350 1.858 20 0 DDADMM C[C@]1(C2CCCCC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000831201352 706656289 /nfs/dbraw/zinc/65/62/89/706656289.db2.gz HLULHEUSTHAZCY-QGZVFWFLSA-N -1 1 319.405 1.498 20 0 DDADMM O=C(Cc1ccon1)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000813283408 702318201 /nfs/dbraw/zinc/31/82/01/702318201.db2.gz QYLYZEQINARGNT-VIFPVBQESA-N -1 1 319.283 1.134 20 0 DDADMM Cc1cc(N(C)C)ccc1C[C@H](C)NC(=O)CCc1nn[n-]n1 ZINC000868891016 702372533 /nfs/dbraw/zinc/37/25/33/702372533.db2.gz ZLHHRTSTGYHSRS-LBPRGKRZSA-N -1 1 316.409 1.254 20 0 DDADMM O=c1[n-]c(CNc2ccnc3cccnc32)nc2c1COCC2 ZINC000866288715 706666105 /nfs/dbraw/zinc/66/61/05/706666105.db2.gz LBLPIXXVQASNAX-UHFFFAOYSA-N -1 1 309.329 1.232 20 0 DDADMM CC1(C[N-]S(=O)(=O)C[C@@H]2CCCC2(F)F)OCCCO1 ZINC000831261123 706672140 /nfs/dbraw/zinc/67/21/40/706672140.db2.gz XYJGRQRKWXYECV-JTQLQIEISA-N -1 1 313.366 1.494 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)C2=Cc3ccccc3OC2)C(=O)O1 ZINC000841526046 702480315 /nfs/dbraw/zinc/48/03/15/702480315.db2.gz WAMBFCKKZUNGDG-CABZTGNLSA-N -1 1 309.343 1.043 20 0 DDADMM C[C@@]1(O)C[C@H]([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)C1 ZINC000841573781 702502875 /nfs/dbraw/zinc/50/28/75/702502875.db2.gz PSSHMOFGHNAJPX-XGAOUMNUSA-N -1 1 311.190 1.580 20 0 DDADMM C[C@H]1OCC[C@H]1COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000869358073 702580954 /nfs/dbraw/zinc/58/09/54/702580954.db2.gz YPMOYMIFNGZNFW-BDAKNGLRSA-N -1 1 319.404 1.701 20 0 DDADMM C[C@H]1CCC[C@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869414087 702599590 /nfs/dbraw/zinc/59/95/90/702599590.db2.gz YPBGFLAPDKCZJD-UWVGGRQHSA-N -1 1 323.311 1.994 20 0 DDADMM C[C@@H]1CCC[C@H]1CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869475642 702618674 /nfs/dbraw/zinc/61/86/74/702618674.db2.gz TWPATXHDUCBHPE-ZJUUUORDSA-N -1 1 323.311 1.994 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2(CCF)CC2)CCC1 ZINC000843014432 702803336 /nfs/dbraw/zinc/80/33/36/702803336.db2.gz PPGYQOHZHOGRPW-UHFFFAOYSA-N -1 1 306.403 1.057 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2=CCCCCC2)CCC1 ZINC000843015541 702803993 /nfs/dbraw/zinc/80/39/93/702803993.db2.gz LXIMIKZXTJJVQO-UHFFFAOYSA-N -1 1 314.451 1.807 20 0 DDADMM CCC(CC)[C@H](CNC(=O)CCCC(=O)[O-])N1CCOCC1 ZINC000844252263 703000833 /nfs/dbraw/zinc/00/08/33/703000833.db2.gz DNDDWRONHXUIID-AWEZNQCLSA-N -1 1 314.426 1.495 20 0 DDADMM COc1ccc(F)cc1[C@H](C)[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866530186 706723021 /nfs/dbraw/zinc/72/30/21/706723021.db2.gz YGCRDBSCSWQDPP-QMMMGPOBSA-N -1 1 324.399 1.457 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000845977347 703222530 /nfs/dbraw/zinc/22/25/30/703222530.db2.gz VQHOCPXBAHKLOH-JTQLQIEISA-N -1 1 315.322 1.612 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C2(CCC2)CO1 ZINC000846510743 703287811 /nfs/dbraw/zinc/28/78/11/703287811.db2.gz IHTSCPXZNJDAHU-SNVBAGLBSA-N -1 1 318.402 1.802 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@H]1CCCS1 ZINC000848340640 703540730 /nfs/dbraw/zinc/54/07/30/703540730.db2.gz MOIFJIIZNNNDML-BBBLOLIVSA-N -1 1 324.368 1.940 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@H]1CCCO1 ZINC000848341432 703540867 /nfs/dbraw/zinc/54/08/67/703540867.db2.gz WATZWSKECMHEAU-OPRDCNLKSA-N -1 1 308.300 1.223 20 0 DDADMM CC[C@H](COCC1CC1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000849419400 703645918 /nfs/dbraw/zinc/64/59/18/703645918.db2.gz MJJVEEPVZMKMLP-SNVBAGLBSA-N -1 1 321.830 1.557 20 0 DDADMM CCc1nnc([C@@H](C)OC(=O)C(C)(C)[N-]C(=O)C(F)(F)F)[nH]1 ZINC000849765531 703676043 /nfs/dbraw/zinc/67/60/43/703676043.db2.gz SUUXSPYGOYDRBG-ZCFIWIBFSA-N -1 1 322.287 1.428 20 0 DDADMM C[C@H](CC(=O)OCCC[N-]C(=O)C(F)(F)F)[C@@H]1CCCO1 ZINC000850083090 703702217 /nfs/dbraw/zinc/70/22/17/703702217.db2.gz FGDYWEGFGOPGBF-ZJUUUORDSA-N -1 1 311.300 1.803 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@@H]1CCCC12CC2 ZINC000869562509 703813358 /nfs/dbraw/zinc/81/33/58/703813358.db2.gz JBMQHPCCTVYNSV-JTQLQIEISA-N -1 1 321.295 1.748 20 0 DDADMM C[C@H](O)C1([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)CC1 ZINC000851831315 703866425 /nfs/dbraw/zinc/86/64/25/703866425.db2.gz RVIDTYUMRJLJDX-LURJTMIESA-N -1 1 311.190 1.580 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1c[nH]nc1Cl)C1CCCCC1 ZINC000851847844 703869890 /nfs/dbraw/zinc/86/98/90/703869890.db2.gz WCYVXAICXURTBB-JTQLQIEISA-N -1 1 321.830 1.937 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CC(=O)Nc2ccc(Cl)nc2)C1 ZINC000852726202 704107017 /nfs/dbraw/zinc/10/70/17/704107017.db2.gz WXJVBBFFPUPIEC-SNVBAGLBSA-N -1 1 311.769 1.860 20 0 DDADMM Cc1cccnc1C(F)(F)C(=O)[N-][C@@H](C)[C@H](O)C(F)(F)F ZINC000819568520 704143152 /nfs/dbraw/zinc/14/31/52/704143152.db2.gz VCQPFGLXRGFBEG-CBAPKCEASA-N -1 1 312.238 1.910 20 0 DDADMM CCn1nc(C)c(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n1 ZINC000819598429 704148075 /nfs/dbraw/zinc/14/80/75/704148075.db2.gz MHGINMUKSYCCSW-UHFFFAOYSA-N -1 1 318.381 1.464 20 0 DDADMM O=C(c1cccc(C(F)F)c1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000819619412 704151451 /nfs/dbraw/zinc/15/14/51/704151451.db2.gz AGSFUFQJXYBMSD-UHFFFAOYSA-N -1 1 323.303 1.261 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H]2CCC(C)(C)C2)o1 ZINC000820250882 704246307 /nfs/dbraw/zinc/24/63/07/704246307.db2.gz LQFMKJRKOABQQU-SECBINFHSA-N -1 1 301.364 1.923 20 0 DDADMM Cc1ccc(N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)nn1 ZINC000853986563 704344205 /nfs/dbraw/zinc/34/42/05/704344205.db2.gz MPGCPAJWWNKIFN-SCZZXKLOSA-N -1 1 302.300 1.678 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(-c3cc(N)ncn3)no2)c1 ZINC000821002208 704357570 /nfs/dbraw/zinc/35/75/70/704357570.db2.gz IVKAKJZFKYVVJS-UHFFFAOYSA-N -1 1 312.289 1.440 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H](C)c2ccccn2)co1 ZINC000821501087 704417995 /nfs/dbraw/zinc/41/79/95/704417995.db2.gz SABQLFFMFVICEB-SNVBAGLBSA-N -1 1 324.358 1.543 20 0 DDADMM O=C([N-][C@@H](CCO)C(F)(F)F)C(F)(F)C1CCOCC1 ZINC000854617310 704427111 /nfs/dbraw/zinc/42/71/11/704427111.db2.gz ZRTVCKPLDBEJEC-QMMMGPOBSA-N -1 1 305.243 1.478 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CN(C)C(=O)OCc1ccccc1 ZINC000854635746 704430620 /nfs/dbraw/zinc/43/06/20/704430620.db2.gz WXQWUFHPRQHFGB-UHFFFAOYSA-N -1 1 318.333 1.631 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1C[C@H]2C[C@H]2N1C(=O)OC(C)(C)C ZINC000854635156 704430779 /nfs/dbraw/zinc/43/07/79/704430779.db2.gz NXURZHXAMAZWHP-BBBLOLIVSA-N -1 1 322.365 1.760 20 0 DDADMM O=C(N[C@H]1C[C@H](O)C12CCC2)c1ccc2n[n-]c(=S)n2c1 ZINC000855919515 704506809 /nfs/dbraw/zinc/50/68/09/704506809.db2.gz DNCJRQNMICUHDJ-UWVGGRQHSA-N -1 1 304.375 1.051 20 0 DDADMM C[C@@H](CN(C)C(=O)OC(C)(C)C)NC(=O)c1cncc([O-])c1 ZINC000856376018 704525216 /nfs/dbraw/zinc/52/52/16/704525216.db2.gz HOAXJWUNKLPYJM-JTQLQIEISA-N -1 1 309.366 1.772 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)N[C@H]2C[C@H](O)C2)c([O-])c1 ZINC000856394657 704526462 /nfs/dbraw/zinc/52/64/62/704526462.db2.gz JBCFSXUXAMKKCV-XYPYZODXSA-N -1 1 322.361 1.992 20 0 DDADMM C[C@@H]1CCN(C(=O)C[C@H]2CCCO2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856414957 704527853 /nfs/dbraw/zinc/52/78/53/704527853.db2.gz UJFGBSWPPUWNRA-MXWKQRLJSA-N -1 1 322.327 1.471 20 0 DDADMM C[C@@H]1CCN(C(=O)C2CC(C)(O)C2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856416058 704528046 /nfs/dbraw/zinc/52/80/46/704528046.db2.gz SNTLZBIGTCTROZ-PSHCNPEKSA-N -1 1 322.327 1.063 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2CCCCO2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418515 704528190 /nfs/dbraw/zinc/52/81/90/704528190.db2.gz ZWJNOSJBQDUGGC-OUAUKWLOSA-N -1 1 322.327 1.471 20 0 DDADMM CO[C@@H](C)CC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856420110 704528246 /nfs/dbraw/zinc/52/82/46/704528246.db2.gz XUTFVQKCVBSSNN-UTLUCORTSA-N -1 1 310.316 1.327 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)[C@H]2CCO[C@H]21 ZINC000866861260 706828561 /nfs/dbraw/zinc/82/85/61/706828561.db2.gz JYBSWCQGYQOTDH-AVPPRXQKSA-N -1 1 318.345 1.452 20 0 DDADMM CCCC[C@](C)(F)C(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1 ZINC000857684521 704613387 /nfs/dbraw/zinc/61/33/87/704613387.db2.gz NSFMSHBKIIKPDJ-AWEZNQCLSA-N -1 1 309.345 1.837 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CSC(C)(C)C)nc2n1 ZINC000857685140 704613669 /nfs/dbraw/zinc/61/36/69/704613669.db2.gz UALSIDBCZFCHAU-UHFFFAOYSA-N -1 1 323.422 1.840 20 0 DDADMM CS(=O)(=O)CC1(CNc2cc(Cl)[n-]c(=O)n2)CCC1 ZINC000858572185 704729019 /nfs/dbraw/zinc/72/90/19/704729019.db2.gz PQHCWAOYBYZNKU-UHFFFAOYSA-N -1 1 305.787 1.462 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)[C@@H]1CCN(C(=O)c2ccccc2)C1 ZINC000858988257 704780874 /nfs/dbraw/zinc/78/08/74/704780874.db2.gz ZWSBPUAKSOVSNW-HUUCEWRRSA-N -1 1 318.373 1.723 20 0 DDADMM O=C(CCC(=O)Nc1c(F)cccc1F)[N-]OCC(F)F ZINC000821937830 704844515 /nfs/dbraw/zinc/84/45/15/704844515.db2.gz MWNUCOYJRKXYOO-UHFFFAOYSA-N -1 1 308.231 1.996 20 0 DDADMM CC1=NO[C@@H](C[N-]S(=O)(=O)c2c(F)cccc2Cl)C1 ZINC000867347768 706969222 /nfs/dbraw/zinc/96/92/22/706969222.db2.gz LBVQICCWSCKBPI-MRVPVSSYSA-N -1 1 306.746 1.922 20 0 DDADMM CC1=NO[C@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000867349186 706970312 /nfs/dbraw/zinc/97/03/12/706970312.db2.gz LKMPUDMLXUCQHS-ZETCQYMHSA-N -1 1 307.734 1.317 20 0 DDADMM CON(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000859413379 704894106 /nfs/dbraw/zinc/89/41/06/704894106.db2.gz FICHYJDOFVSRDF-SSDOTTSWSA-N -1 1 324.761 1.166 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@H]1CCC[C@@H]1C1CC1 ZINC000867400912 706988590 /nfs/dbraw/zinc/98/85/90/706988590.db2.gz GJEFAHDBUZOGFN-CHWSQXEVSA-N -1 1 320.480 1.909 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCC[C@H]1SC ZINC000867458717 707007997 /nfs/dbraw/zinc/00/79/97/707007997.db2.gz ZKSFGTATEUFJHY-VHCOLVSPSA-N -1 1 314.498 1.613 20 0 DDADMM CN(CC(=O)Nc1ccc(-c2nc(=O)o[n-]2)cc1)CC(C)(C)C ZINC000874270297 704991869 /nfs/dbraw/zinc/99/18/69/704991869.db2.gz PGWAZOXHBYAWIJ-UHFFFAOYSA-N -1 1 318.377 1.946 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccsc2C(F)(F)F)nc1=O ZINC000859993695 705065635 /nfs/dbraw/zinc/06/56/35/705065635.db2.gz DFRGHQVVBKVPKQ-UHFFFAOYSA-N -1 1 307.253 1.546 20 0 DDADMM CCn1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c(C)n1 ZINC000860204023 705129948 /nfs/dbraw/zinc/12/99/48/705129948.db2.gz AZFUCWREQGBMNG-UHFFFAOYSA-N -1 1 315.329 1.325 20 0 DDADMM COc1ccc(C[C@@](C)(CO)NC(=O)c2cncc([O-])c2)cc1 ZINC000874738315 705157928 /nfs/dbraw/zinc/15/79/28/705157928.db2.gz DYNMAONUSUBCKQ-KRWDZBQOSA-N -1 1 316.357 1.519 20 0 DDADMM O=C(c1cccc2c1CCCC2)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000823190055 705167248 /nfs/dbraw/zinc/16/72/48/705167248.db2.gz CWPDDCPUCHNGCR-AWEZNQCLSA-N -1 1 313.361 1.292 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@@H]2CCCS2)c1 ZINC000867659220 707065841 /nfs/dbraw/zinc/06/58/41/707065841.db2.gz QYJWOHFCTFWMJX-NSHDSACASA-N -1 1 317.432 1.965 20 0 DDADMM Cn1nc(C(=O)OC(C)(C)C)cc1C=C1SC(=O)[N-]C1=O ZINC000874929626 705230643 /nfs/dbraw/zinc/23/06/43/705230643.db2.gz JZTYJHBTHXXCOY-RMKNXTFCSA-N -1 1 309.347 1.699 20 0 DDADMM c1cc(NCCCOC[C@H]2CCCO2)nc(-c2nnn[n-]2)c1 ZINC000823757611 705310683 /nfs/dbraw/zinc/31/06/83/705310683.db2.gz SCTHJSVUDJVVIO-LLVKDONJSA-N -1 1 304.354 1.259 20 0 DDADMM c1cc(NCCCOC[C@H]2CCCO2)nc(-c2nn[n-]n2)c1 ZINC000823757611 705310687 /nfs/dbraw/zinc/31/06/87/705310687.db2.gz SCTHJSVUDJVVIO-LLVKDONJSA-N -1 1 304.354 1.259 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000861025326 705352262 /nfs/dbraw/zinc/35/22/62/705352262.db2.gz HIAZIVRNXABVDC-BSTOTGJRSA-N -1 1 303.366 1.275 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCS[C@H](C)C2)o1 ZINC000834690028 707103538 /nfs/dbraw/zinc/10/35/38/707103538.db2.gz WJTWNECAHRYJIH-BDAKNGLRSA-N -1 1 318.420 1.202 20 0 DDADMM CCO[C@@H]1COCC[C@H]1CC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000875632184 705456771 /nfs/dbraw/zinc/45/67/71/705456771.db2.gz AMKPGLSHKQPSEM-SMDDNHRTSA-N -1 1 318.377 1.112 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]3[C@H]3C[C@H]3C)nc2n1 ZINC000875838782 705531858 /nfs/dbraw/zinc/53/18/58/705531858.db2.gz VZILKINPVZIXKI-APHKKCJPSA-N -1 1 301.350 1.211 20 0 DDADMM C[C@@H]1COc2ccccc2CN1c1ccc(-c2nnn[n-]2)nn1 ZINC000825012256 705576670 /nfs/dbraw/zinc/57/66/70/705576670.db2.gz FTGKIPXFPMEQLK-SNVBAGLBSA-N -1 1 309.333 1.444 20 0 DDADMM C[C@@H]1COc2ccccc2CN1c1ccc(-c2nn[n-]n2)nn1 ZINC000825012256 705576673 /nfs/dbraw/zinc/57/66/73/705576673.db2.gz FTGKIPXFPMEQLK-SNVBAGLBSA-N -1 1 309.333 1.444 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H]1[C@H](O)c1ccccc1 ZINC000825246262 705633092 /nfs/dbraw/zinc/63/30/92/705633092.db2.gz WWSMHORTLMAQFZ-CZUORRHYSA-N -1 1 315.377 1.247 20 0 DDADMM C[C@@H]1OCC[C@@]1(O)CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876396640 705708530 /nfs/dbraw/zinc/70/85/30/705708530.db2.gz LGNNMKXNIAIOEB-LKFCYVNXSA-N -1 1 314.769 1.385 20 0 DDADMM CCON(CC)C(=O)CNC(=O)c1c(F)ccc([O-])c1F ZINC000862622988 705755756 /nfs/dbraw/zinc/75/57/56/705755756.db2.gz XVLBEMJPPACVQL-UHFFFAOYSA-N -1 1 302.277 1.200 20 0 DDADMM CCON(CC)C(=O)CNC(=O)c1c([O-])cccc1Cl ZINC000862623500 705756246 /nfs/dbraw/zinc/75/62/46/705756246.db2.gz UQRIPTBMHOENTJ-UHFFFAOYSA-N -1 1 300.742 1.575 20 0 DDADMM Cc1onc(CC(=O)N2CCS[C@H](C)[C@@H]2C)c1-c1nnn[n-]1 ZINC000826345854 705793563 /nfs/dbraw/zinc/79/35/63/705793563.db2.gz WDAIXRIZJHKBAB-IONNQARKSA-N -1 1 322.394 1.058 20 0 DDADMM Cc1onc(CC(=O)N2CCS[C@H](C)[C@@H]2C)c1-c1nn[n-]n1 ZINC000826345854 705793566 /nfs/dbraw/zinc/79/35/66/705793566.db2.gz WDAIXRIZJHKBAB-IONNQARKSA-N -1 1 322.394 1.058 20 0 DDADMM Cc1onc(CC(=O)NC2C(C)(C)C2(C)C)c1-c1nnn[n-]1 ZINC000826346502 705794872 /nfs/dbraw/zinc/79/48/72/705794872.db2.gz NYMLQCDVXHTDNY-UHFFFAOYSA-N -1 1 304.354 1.256 20 0 DDADMM Cc1onc(CC(=O)NC2C(C)(C)C2(C)C)c1-c1nn[n-]n1 ZINC000826346502 705794873 /nfs/dbraw/zinc/79/48/73/705794873.db2.gz NYMLQCDVXHTDNY-UHFFFAOYSA-N -1 1 304.354 1.256 20 0 DDADMM O=C(Nc1cccc2c1OCO2)c1ccc(-c2nnn[n-]2)s1 ZINC000826457172 705802030 /nfs/dbraw/zinc/80/20/30/705802030.db2.gz OIWWPIHVJCHDJY-UHFFFAOYSA-N -1 1 315.314 1.909 20 0 DDADMM O=C(Nc1cccc2c1OCO2)c1ccc(-c2nn[n-]n2)s1 ZINC000826457172 705802034 /nfs/dbraw/zinc/80/20/34/705802034.db2.gz OIWWPIHVJCHDJY-UHFFFAOYSA-N -1 1 315.314 1.909 20 0 DDADMM CC[C@H](C(=O)Nc1ccccc1-n1ccc(C(=O)[O-])n1)N(C)C ZINC000908961552 712903801 /nfs/dbraw/zinc/90/38/01/712903801.db2.gz TXZBHIIGFHODRV-CYBMUJFWSA-N -1 1 316.361 1.849 20 0 DDADMM O=C(Nc1ccnn1CC1CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000826986993 705906807 /nfs/dbraw/zinc/90/68/07/705906807.db2.gz GDYVBIYDHCNNJZ-UHFFFAOYSA-N -1 1 314.374 1.877 20 0 DDADMM C[C@@H]1C[C@@H]1C[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000863532956 705950393 /nfs/dbraw/zinc/95/03/93/705950393.db2.gz OADUHFYBVOSPRE-IMSYWVGJSA-N -1 1 308.300 1.239 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@H]1CCCCC12OCCO2)C1CC1 ZINC000827370068 705987413 /nfs/dbraw/zinc/98/74/13/705987413.db2.gz ZFVMANGYKICPAV-STQMWFEESA-N -1 1 319.423 1.017 20 0 DDADMM C/C=C/C[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000863814305 706021569 /nfs/dbraw/zinc/02/15/69/706021569.db2.gz OESBHAMIRBTEQF-YWVDXFKGSA-N -1 1 305.378 1.132 20 0 DDADMM Cc1cccc2oc(C(=O)N3CCOC[C@@H]3c3nn[n-]n3)cc21 ZINC000827959967 706093981 /nfs/dbraw/zinc/09/39/81/706093981.db2.gz BDYMNLNZVGSYSV-LLVKDONJSA-N -1 1 313.317 1.468 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000828011823 706103456 /nfs/dbraw/zinc/10/34/56/706103456.db2.gz WUEVFYJIXLEZJV-SSDOTTSWSA-N -1 1 323.802 1.319 20 0 DDADMM O=C([N-]CCc1csc(CN2CCOCC2)n1)C(F)(F)F ZINC000864367181 706148057 /nfs/dbraw/zinc/14/80/57/706148057.db2.gz POZPRXXJTDGLKH-UHFFFAOYSA-N -1 1 323.340 1.196 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(Cc2cc3n(n2)CCC3)C1 ZINC000877773185 706205123 /nfs/dbraw/zinc/20/51/23/706205123.db2.gz HWZAMZMZHFAHNI-CYBMUJFWSA-N -1 1 316.327 1.472 20 0 DDADMM Cc1cccc(OCCN(C)Cc2cn(C)nc2C(=O)[O-])c1 ZINC000864777414 706259585 /nfs/dbraw/zinc/25/95/85/706259585.db2.gz JLEMSDIKBXEEBY-UHFFFAOYSA-N -1 1 303.362 1.938 20 0 DDADMM CCc1nc(CN(C)Cc2nc3c(c(=O)[n-]2)COCC3)cs1 ZINC000877996025 706260542 /nfs/dbraw/zinc/26/05/42/706260542.db2.gz MOQHORBPWQETMP-UHFFFAOYSA-N -1 1 320.418 1.906 20 0 DDADMM CC[C@H](CSC)[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872470150 707430368 /nfs/dbraw/zinc/43/03/68/707430368.db2.gz GCPZFBDDYIUKGR-XLFHBGCDSA-N -1 1 316.514 1.859 20 0 DDADMM CO[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC[C@H]1C ZINC000829864664 706410152 /nfs/dbraw/zinc/41/01/52/706410152.db2.gz UVNKBSNOXOXEBY-KOLCDFICSA-N -1 1 306.391 1.515 20 0 DDADMM Cc1nc(SCC(=O)N2CCO[C@@H](C)C2)[n-]c(=O)c1C1CC1 ZINC000865373289 706415846 /nfs/dbraw/zinc/41/58/46/706415846.db2.gz NFLNXSXUECSWRW-VIFPVBQESA-N -1 1 323.418 1.707 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OCC ZINC000882070679 707485924 /nfs/dbraw/zinc/48/59/24/707485924.db2.gz BGDJZVDABZUCMV-ATPLWMGHSA-N -1 1 316.354 1.397 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@@H]1CCC[C@@H](C(F)(F)F)O1 ZINC000830688284 706562419 /nfs/dbraw/zinc/56/24/19/706562419.db2.gz SWIMMOGNNLGWHX-IUCAKERBSA-N -1 1 321.303 1.139 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)[C@@H]2CCCO[C@H]21 ZINC000830690745 706563099 /nfs/dbraw/zinc/56/30/99/706563099.db2.gz OQTQCNOSNFVFNC-FXBDTBDDSA-N -1 1 319.814 1.545 20 0 DDADMM CCC[C@](C)(O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816887 706585872 /nfs/dbraw/zinc/58/58/72/706585872.db2.gz VEGSLJPTNISYLB-RYUDHWBXSA-N -1 1 310.316 1.207 20 0 DDADMM CC[C@@](C)(O)C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866838340 706820870 /nfs/dbraw/zinc/82/08/70/706820870.db2.gz KFOHVPVOMQLVDW-SNVBAGLBSA-N -1 1 313.206 1.828 20 0 DDADMM CCS[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)N=[S@@](C)(=O)CC ZINC000866915962 706843744 /nfs/dbraw/zinc/84/37/44/706843744.db2.gz NHSZPNUTTMISJX-GBNMTWHSSA-N -1 1 314.498 1.613 20 0 DDADMM O=S(=O)([N-]C12CCC(CC1)C2)c1nc[nH]c1Br ZINC000867092420 706893788 /nfs/dbraw/zinc/89/37/88/706893788.db2.gz MHMQEGSRIGYQDA-UHFFFAOYSA-N -1 1 320.212 1.783 20 0 DDADMM CC(C)=CCC[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867178628 706917150 /nfs/dbraw/zinc/91/71/50/706917150.db2.gz XHSHFSZFZGUKDX-UHFFFAOYSA-N -1 1 308.201 1.807 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCCC12CCOCC2 ZINC000867232057 706933037 /nfs/dbraw/zinc/93/30/37/706933037.db2.gz HYQXUAUVEZBQKB-WLRWDXFRSA-N -1 1 324.468 1.288 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H]1CC(C)(C)CO1 ZINC000832813549 706990308 /nfs/dbraw/zinc/99/03/08/706990308.db2.gz TUAZDULWUWNERU-SECBINFHSA-N -1 1 311.407 1.757 20 0 DDADMM COCC1CCC([N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000867407793 706991171 /nfs/dbraw/zinc/99/11/71/706991171.db2.gz WCJVBFXNEARSOD-UHFFFAOYSA-N -1 1 320.361 1.843 20 0 DDADMM Cc1cc(C)cc(OC[C@@H](O)CN2CC[C@@](F)(C(=O)[O-])C2)c1 ZINC000832878250 706996975 /nfs/dbraw/zinc/99/69/75/706996975.db2.gz ALYHEWWMVLPPAA-BBRMVZONSA-N -1 1 311.353 1.542 20 0 DDADMM CC[C@H](C(=O)[O-])N(C(=O)N[C@H]1CCc2nc[nH]c2C1)C1CC1 ZINC000909021549 712919160 /nfs/dbraw/zinc/91/91/60/712919160.db2.gz XWBOSHYVXNDXKP-TVQRCGJNSA-N -1 1 306.366 1.304 20 0 DDADMM COC(=O)C1=CC[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1 ZINC000867557335 707038709 /nfs/dbraw/zinc/03/87/09/707038709.db2.gz NRIVFKJUTKHRQE-MRVPVSSYSA-N -1 1 321.301 1.757 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1c[nH]nc1Cl)[C@@H]1CCCCO1 ZINC000867682317 707073189 /nfs/dbraw/zinc/07/31/89/707073189.db2.gz GJFBIDZFSKQFDS-VIFPVBQESA-N -1 1 307.803 1.689 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@]1(C)CCC[C@H]1C ZINC000835292363 707215172 /nfs/dbraw/zinc/21/51/72/707215172.db2.gz HZBQAJKMUDLWGX-HWPZZCPQSA-N -1 1 318.377 1.638 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCc2ncccc2C)co1 ZINC000835579876 707285361 /nfs/dbraw/zinc/28/53/61/707285361.db2.gz GGNDVLBAVLJVMK-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCCS1 ZINC000872461752 707425325 /nfs/dbraw/zinc/42/53/25/707425325.db2.gz WEJZNWNPGYINFK-XLFHBGCDSA-N -1 1 314.498 1.613 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@@H](O)C12CCC2 ZINC000872504417 707450748 /nfs/dbraw/zinc/45/07/48/707450748.db2.gz LOGFMCPUPWLBHC-HQVAUKCESA-N -1 1 324.468 1.021 20 0 DDADMM CCC[C@H](C)N1C[C@H](C(=O)[N-]O[C@H](CO)C(C)C)CC1=O ZINC000836896835 707543316 /nfs/dbraw/zinc/54/33/16/707543316.db2.gz BCEJXSPLJRXJBP-YNEHKIRRSA-N -1 1 300.399 1.088 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@@H]2COC[C@]2(c2ccccc2)C1 ZINC000927230615 712960647 /nfs/dbraw/zinc/96/06/47/712960647.db2.gz GYYNUJURDAOKQV-QAPCUYQASA-N -1 1 310.353 1.827 20 0 DDADMM CN(CC(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)[O-])C1CCC1 ZINC000909236039 712970182 /nfs/dbraw/zinc/97/01/82/712970182.db2.gz OQFWCWJZHQOTOT-CYBMUJFWSA-N -1 1 322.380 1.670 20 0 DDADMM O=C(COC(=O)C[C@@H]1CC[C@H]2C[C@H]21)[N-]C(=O)c1ccccc1 ZINC000838125069 707848605 /nfs/dbraw/zinc/84/86/05/707848605.db2.gz OQMIGTBWBWKQEC-MELADBBJSA-N -1 1 301.342 1.922 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000873469531 707863692 /nfs/dbraw/zinc/86/36/92/707863692.db2.gz WVYRWTYTIPJVBF-KGLIPLIRSA-N -1 1 320.341 1.880 20 0 DDADMM O=C(NCC1(O)CCOCC1)c1ccc2ccc(O)cc2c1[O-] ZINC000896686634 708119805 /nfs/dbraw/zinc/11/98/05/708119805.db2.gz GQZJNYLFKBFJHO-UHFFFAOYSA-N -1 1 317.341 1.522 20 0 DDADMM COCC[C@](C)(O)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896835358 708159765 /nfs/dbraw/zinc/15/97/65/708159765.db2.gz QBVAZRIQWVFDLM-KRWDZBQOSA-N -1 1 319.357 1.768 20 0 DDADMM CN1CC[C@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])CC1=O ZINC000897509180 708356899 /nfs/dbraw/zinc/35/68/99/708356899.db2.gz RSTOEDNTUVHYFU-NSHDSACASA-N -1 1 314.341 1.602 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@H](CC1CC1)C(=O)[O-] ZINC000909469827 713026390 /nfs/dbraw/zinc/02/63/90/713026390.db2.gz SUAPJYATVPGQQT-OAHLLOKOSA-N -1 1 304.390 1.530 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCOc2ccccc21)c1ccns1 ZINC000885005096 708439885 /nfs/dbraw/zinc/43/98/85/708439885.db2.gz RMOXNYZXSFITSX-SNVBAGLBSA-N -1 1 310.400 1.988 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)c1ccns1 ZINC000885040952 708453015 /nfs/dbraw/zinc/45/30/15/708453015.db2.gz OXPRLAUMUPOAMJ-VIFPVBQESA-N -1 1 304.393 1.153 20 0 DDADMM CCOc1cccc(CC[N-]S(=O)(=O)c2ccns2)n1 ZINC000885057613 708458512 /nfs/dbraw/zinc/45/85/12/708458512.db2.gz PNNYFQSQLOUMAS-UHFFFAOYSA-N -1 1 313.404 1.458 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC2(CCCC2)C1)c1ccns1 ZINC000885062989 708459907 /nfs/dbraw/zinc/45/99/07/708459907.db2.gz CHTJEURJPFUSOE-JTQLQIEISA-N -1 1 302.421 1.913 20 0 DDADMM O=S(=O)([N-][C@@H]1CCN(c2ccccn2)C1)c1ccns1 ZINC000885074347 708463298 /nfs/dbraw/zinc/46/32/98/708463298.db2.gz NGLIYZSXZHRSMQ-SNVBAGLBSA-N -1 1 310.404 1.095 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)c1ccccc1 ZINC000912531328 713031440 /nfs/dbraw/zinc/03/14/40/713031440.db2.gz KBVCMIZSASNRAC-VIFPVBQESA-N -1 1 309.347 1.236 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(C)CCCC1 ZINC000912544334 713033513 /nfs/dbraw/zinc/03/35/13/713033513.db2.gz MNNYANPGDDMVRW-UHFFFAOYSA-N -1 1 301.368 1.055 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000885381832 708531122 /nfs/dbraw/zinc/53/11/22/708531122.db2.gz CDSSCPFMJQYQEP-SFYZADRCSA-N -1 1 324.855 1.983 20 0 DDADMM Cc1cc(NC(=O)CCc2nn[n-]n2)cnc1C(F)(F)F ZINC000912578253 713039232 /nfs/dbraw/zinc/03/92/32/713039232.db2.gz UOXJFQTWYWOHAJ-UHFFFAOYSA-N -1 1 300.244 1.493 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@H](c2cc(=O)[nH][nH]2)C1 ZINC000898222132 708578589 /nfs/dbraw/zinc/57/85/89/708578589.db2.gz SWZRDKNFVBJTDI-ZETCQYMHSA-N -1 1 309.272 1.729 20 0 DDADMM CC(=O)N1CCO[C@H](C(=O)Nc2cc(F)c([O-])cc2Cl)C1 ZINC000885682940 708596937 /nfs/dbraw/zinc/59/69/37/708596937.db2.gz XXRHCCAXBZWFCD-LBPRGKRZSA-N -1 1 316.716 1.371 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)c2ccccc2C)n[n-]1 ZINC000898434830 708639124 /nfs/dbraw/zinc/63/91/24/708639124.db2.gz AOGLRCKBQBNOOQ-LLVKDONJSA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)c2ccccc2C)n1 ZINC000898434830 708639126 /nfs/dbraw/zinc/63/91/26/708639126.db2.gz AOGLRCKBQBNOOQ-LLVKDONJSA-N -1 1 316.361 1.710 20 0 DDADMM O=C(CCn1ccccc1=O)NCCc1c(F)cc([O-])cc1F ZINC000886263463 708731747 /nfs/dbraw/zinc/73/17/47/708731747.db2.gz XIOKWQRAJUANMS-UHFFFAOYSA-N -1 1 322.311 1.581 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H]1CCc2nccn2C1 ZINC000886264765 708732028 /nfs/dbraw/zinc/73/20/28/708732028.db2.gz KYUDFNZHXMEXJC-JTQLQIEISA-N -1 1 321.327 1.788 20 0 DDADMM CCCS(=O)(=O)CC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264993 708732176 /nfs/dbraw/zinc/73/21/76/708732176.db2.gz TYGWUWRQMDZHAV-UHFFFAOYSA-N -1 1 321.345 1.154 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@]1(O)CCSC1 ZINC000886266446 708732423 /nfs/dbraw/zinc/73/24/23/708732423.db2.gz MJVCBMKMQNEAMZ-ZDUSSCGKSA-N -1 1 303.330 1.197 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@@H]1CC[C@H](O)C1 ZINC000927782789 713055051 /nfs/dbraw/zinc/05/50/51/713055051.db2.gz JYQHPVQSPSEYHB-ZJUUUORDSA-N -1 1 314.332 1.673 20 0 DDADMM CCOC(=O)[C@H](F)[C@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000898548421 708786038 /nfs/dbraw/zinc/78/60/38/708786038.db2.gz OXEYMYXYGFQHNB-WCQYABFASA-N -1 1 323.320 1.325 20 0 DDADMM C[C@H](O)CN(C(=O)NCCc1c(F)cc([O-])cc1F)C1CC1 ZINC000927787366 713056345 /nfs/dbraw/zinc/05/63/45/713056345.db2.gz RXPZWKPDEONCQK-VIFPVBQESA-N -1 1 314.332 1.768 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)c(C)n1Cc1ccccn1 ZINC000912617534 713052368 /nfs/dbraw/zinc/05/23/68/713052368.db2.gz FRUDWXYOCTYLJS-UHFFFAOYSA-N -1 1 311.349 1.338 20 0 DDADMM CN(C(=O)C[C@H](O)c1cc(Cl)cc(Cl)c1)c1nn[n-]n1 ZINC000912618636 713053127 /nfs/dbraw/zinc/05/31/27/713053127.db2.gz WZMZSCAILMQITM-VIFPVBQESA-N -1 1 316.148 1.593 20 0 DDADMM Cc1ccc(C2(C(=O)NCc3nc([O-])cc(=O)[nH]3)CCC2)cc1 ZINC000898753548 708855116 /nfs/dbraw/zinc/85/51/16/708855116.db2.gz BLIYTAKGKDOPLI-UHFFFAOYSA-N -1 1 313.357 1.934 20 0 DDADMM O=C(CC[C@H]1CC1(Cl)Cl)NCc1nc([O-])cc(=O)[nH]1 ZINC000898754011 708855701 /nfs/dbraw/zinc/85/57/01/708855701.db2.gz DGPDJWSQCXUOER-LURJTMIESA-N -1 1 306.149 1.478 20 0 DDADMM COCCN(Cc1nc(=O)n(C)[n-]1)Cc1ccc(C)c(C)c1 ZINC000887110346 708957693 /nfs/dbraw/zinc/95/76/93/708957693.db2.gz LSWVMAXNTWYKLU-UHFFFAOYSA-N -1 1 304.394 1.374 20 0 DDADMM O=S(=O)([N-]CCO[C@H]1CCOC1)c1ccc(C(F)F)o1 ZINC000921884851 713896785 /nfs/dbraw/zinc/89/67/85/713896785.db2.gz PYPKYUUSQRVHQG-QMMMGPOBSA-N -1 1 311.306 1.301 20 0 DDADMM C[C@]1(CNC(=O)CCCc2nn[n-]n2)CCOC2(CCC2)C1 ZINC000899493102 709098444 /nfs/dbraw/zinc/09/84/44/709098444.db2.gz YGVRIPPTAMJBSW-AWEZNQCLSA-N -1 1 307.398 1.378 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=S)NCC2CC2)[n-]c1=O ZINC000899666092 709142808 /nfs/dbraw/zinc/14/28/08/709142808.db2.gz CDAIDPQBDVUEGG-SNVBAGLBSA-N -1 1 308.407 1.612 20 0 DDADMM CC(C)NC(=O)CN(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900006807 709264052 /nfs/dbraw/zinc/26/40/52/709264052.db2.gz BNROURFLOFEJTA-UHFFFAOYSA-N -1 1 319.336 1.676 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N(CCO)Cc1ccccc1 ZINC000900146780 709306474 /nfs/dbraw/zinc/30/64/74/709306474.db2.gz DWDGHSFORHZTJH-UHFFFAOYSA-N -1 1 313.357 1.694 20 0 DDADMM C[C@@H]1C(=O)N([N-]C(=O)c2cc(F)c(F)c(F)c2)C(=O)N1C ZINC000912822962 713100858 /nfs/dbraw/zinc/10/08/58/713100858.db2.gz CEXOYKVUUOQXNN-RXMQYKEDSA-N -1 1 301.224 1.031 20 0 DDADMM COC1(OC)CCOC[C@@H]1NC(=O)c1cc(Cl)ccc1[O-] ZINC000912853268 713107592 /nfs/dbraw/zinc/10/75/92/713107592.db2.gz IABXWPMVHMIZJO-LBPRGKRZSA-N -1 1 315.753 1.553 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OCc1ccccn1)N1C[C@@H](C)O[C@H](C)C1 ZINC000909527222 709502005 /nfs/dbraw/zinc/50/20/05/709502005.db2.gz FRZRHZUUGYQWGC-FMKPAKJESA-N -1 1 321.421 1.763 20 0 DDADMM O=C([O-])CC[C@@H](Cc1ccccc1)NC(=O)CN1CCCC1 ZINC000909539036 709507463 /nfs/dbraw/zinc/50/74/63/709507463.db2.gz VYYUUNSRYWYJPY-HNNXBMFYSA-N -1 1 304.390 1.675 20 0 DDADMM Cc1ccc2c(c1)[C@@H](NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)CO2 ZINC000909551089 709512272 /nfs/dbraw/zinc/51/22/72/709512272.db2.gz DMKBOGHSGBJRKL-OCCSQVGLSA-N -1 1 318.373 1.341 20 0 DDADMM COCCC[C@@H](C)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912861863 713110632 /nfs/dbraw/zinc/11/06/32/713110632.db2.gz ANNIEQRNLRDMPO-ZJUUUORDSA-N -1 1 301.416 1.173 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](C)c1ccccc1)c1nn[n-]n1 ZINC000912862715 713111009 /nfs/dbraw/zinc/11/10/09/713111009.db2.gz WHGUVYCEUOLEHD-PWSUYJOCSA-N -1 1 305.407 1.914 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1scnc1C)c1nn[n-]n1 ZINC000912862663 713111079 /nfs/dbraw/zinc/11/10/79/713111079.db2.gz UICLWZHFLJQDCN-NWALNABHSA-N -1 1 324.435 1.588 20 0 DDADMM CSCC[C@H](NC(=O)c1c(C)nsc1C)c1nn[n-]n1 ZINC000912863086 713111410 /nfs/dbraw/zinc/11/14/10/713111410.db2.gz LBYWSWXOTAADGF-QMMMGPOBSA-N -1 1 312.424 1.497 20 0 DDADMM CN(CC(=O)N[C@@H](C(=O)[O-])c1cccs1)[C@H]1CCSC1 ZINC000909638455 709552246 /nfs/dbraw/zinc/55/22/46/709552246.db2.gz OCWKFQNSUCGYDU-JOYOIKCWSA-N -1 1 314.432 1.427 20 0 DDADMM Cc1cc(CC(=O)N[C@@](C)(CC(=O)[O-])c2cccc(F)c2)[nH]n1 ZINC000909681534 709575155 /nfs/dbraw/zinc/57/51/55/709575155.db2.gz KMZMTPITEBOOMD-INIZCTEOSA-N -1 1 319.336 1.906 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCc2ccc(F)cc2F)C1 ZINC000909722125 709595693 /nfs/dbraw/zinc/59/56/93/709595693.db2.gz QZAGBBFKJKFOPS-NSHDSACASA-N -1 1 312.316 1.378 20 0 DDADMM CC(C)C[C@@H](CNC(=O)c1ccc2n1CCN[C@@H]2C)C(=O)[O-] ZINC000900463130 709607313 /nfs/dbraw/zinc/60/73/13/709607313.db2.gz UFFHFYFNVGZQJW-NEPJUHHUSA-N -1 1 307.394 1.629 20 0 DDADMM O=C([O-])[C@@H](CC(F)F)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000909781292 709617064 /nfs/dbraw/zinc/61/70/64/709617064.db2.gz QJXWPJGJWGZBBA-SNVBAGLBSA-N -1 1 301.293 1.056 20 0 DDADMM C[C@H](NC(=O)CN(C)C1CCC1)c1ccc(OCC(=O)[O-])cc1 ZINC000909791919 709622570 /nfs/dbraw/zinc/62/25/70/709622570.db2.gz WPEXDUCSGSXBGJ-LBPRGKRZSA-N -1 1 320.389 1.812 20 0 DDADMM O=C([N-]CCCOC(=O)c1cc2c[nH]ccc-2n1)C(F)(F)F ZINC000909813543 709635160 /nfs/dbraw/zinc/63/51/60/709635160.db2.gz DQISBVBYHMHBGX-UHFFFAOYSA-N -1 1 315.251 1.788 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cc(C)sn2)[n-]c1=O ZINC000889789441 709636703 /nfs/dbraw/zinc/63/67/03/709636703.db2.gz FTVRYXPHVSXVFT-JTQLQIEISA-N -1 1 320.374 1.933 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)COC2(C)CCC2)[n-]c1=O ZINC000889789849 709636761 /nfs/dbraw/zinc/63/67/61/709636761.db2.gz KIFNJSZPXVHVLQ-LLVKDONJSA-N -1 1 321.377 1.814 20 0 DDADMM CCCCOCC(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889791667 709638022 /nfs/dbraw/zinc/63/80/22/709638022.db2.gz KMGOCDZBJPCJNG-NSHDSACASA-N -1 1 309.366 1.671 20 0 DDADMM O=C(CN1CCN(C2CC2)C1=O)Nc1cc([O-])c(F)cc1F ZINC000909988290 709722185 /nfs/dbraw/zinc/72/21/85/709722185.db2.gz DTEYRQUJPUMUHI-UHFFFAOYSA-N -1 1 311.288 1.509 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1ccc(O)c(Cl)c1)C1CCOCC1 ZINC000910016264 709736834 /nfs/dbraw/zinc/73/68/34/709736834.db2.gz STUXJWYVYNJRQS-GFCCVEGCSA-N -1 1 313.737 1.655 20 0 DDADMM Cn1nnnc1CCCNC(=O)c1cc2ccccc2cc1[O-] ZINC000890097681 709748214 /nfs/dbraw/zinc/74/82/14/709748214.db2.gz YTRCUYHFZMEVPU-UHFFFAOYSA-N -1 1 311.345 1.432 20 0 DDADMM CNc1ccccc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910179416 709799606 /nfs/dbraw/zinc/79/96/06/709799606.db2.gz BBWLSPCWONQPMR-UHFFFAOYSA-N -1 1 305.378 1.349 20 0 DDADMM CSCC(C)(C)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910179518 709799829 /nfs/dbraw/zinc/79/98/29/709799829.db2.gz YAEDTEJWHQIZMP-UHFFFAOYSA-N -1 1 302.440 1.383 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C[C@H]3CCC(C)(C)CO3)ccnc1-2 ZINC000890283245 709810822 /nfs/dbraw/zinc/81/08/22/709810822.db2.gz HHKIPLDZERAMSB-LLVKDONJSA-N -1 1 302.378 1.876 20 0 DDADMM CCOC(=O)[C@@H]1[C@@H]2CCN(C(=O)c3ncc(C)cc3[O-])C[C@@H]21 ZINC000928116732 713134272 /nfs/dbraw/zinc/13/42/72/713134272.db2.gz CFGDBYSNUHEAPR-NTZNESFSSA-N -1 1 304.346 1.367 20 0 DDADMM Cc1nc(N2CCN(CCOC(C)C)CC2)ccc1C(=O)[O-] ZINC000910299737 709875910 /nfs/dbraw/zinc/87/59/10/709875910.db2.gz AYAYJQIWFJGDBW-UHFFFAOYSA-N -1 1 307.394 1.635 20 0 DDADMM C[C@@H](C(=O)N[C@@](C)(Cc1ccc(Cl)cc1)C(=O)[O-])N(C)C ZINC000910316393 709886149 /nfs/dbraw/zinc/88/61/49/709886149.db2.gz SUHOMHKBJRLPDE-BONVTDFDSA-N -1 1 312.797 1.792 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@](C)(CC(=O)[O-])C3CC3)c2C1 ZINC000910320097 709889019 /nfs/dbraw/zinc/88/90/19/709889019.db2.gz WIIDWVZPNWXHAO-XXFAHNHDSA-N -1 1 305.378 1.908 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)c2n[nH]cc2F)CC1 ZINC000901053395 709902235 /nfs/dbraw/zinc/90/22/35/709902235.db2.gz ORDKKCCRTQCULC-UHFFFAOYSA-N -1 1 317.320 1.990 20 0 DDADMM C[C@H](C(=O)N[C@@H](C(=O)[O-])c1cccc(C(F)(F)F)c1)N(C)C ZINC000910378815 709915314 /nfs/dbraw/zinc/91/53/14/709915314.db2.gz BRHXVKRNKSNYIT-LDYMZIIASA-N -1 1 318.295 1.897 20 0 DDADMM O=C(c1cnc(NC2CC2)s1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000901177015 709956408 /nfs/dbraw/zinc/95/64/08/709956408.db2.gz QIUBZKGJFLWMDA-QMMMGPOBSA-N -1 1 319.394 1.250 20 0 DDADMM O=C([O-])[C@@H]1[C@H](CNC(=O)c2[nH]nc3c2CCCCC3)C1(F)F ZINC000910490012 709970464 /nfs/dbraw/zinc/97/04/64/709970464.db2.gz NWRVPDOETVCYBL-WPRPVWTQSA-N -1 1 313.304 1.374 20 0 DDADMM CCOC(=O)[C@H]1CCCN(CC(=O)Nc2c([O-])cccc2F)C1 ZINC000901221920 709973457 /nfs/dbraw/zinc/97/34/57/709973457.db2.gz PUEPULYQUOYFMG-NSHDSACASA-N -1 1 324.352 1.745 20 0 DDADMM C[C@](Cc1ccc(F)cc1)(NC(=O)CN1CCCC1)C(=O)[O-] ZINC000910512204 709977322 /nfs/dbraw/zinc/97/73/22/709977322.db2.gz FERGIHVQIXAQOA-MRXNPFEDSA-N -1 1 308.353 1.424 20 0 DDADMM CC(=O)N1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C(C)(C)C1 ZINC000901325876 710010480 /nfs/dbraw/zinc/01/04/80/710010480.db2.gz LLCDJZNMSDISCQ-UHFFFAOYSA-N -1 1 318.377 1.143 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2nccc3ccccc32)C1 ZINC000910667884 710041547 /nfs/dbraw/zinc/04/15/47/710041547.db2.gz DZYYSJPBFMLXQQ-CYBMUJFWSA-N -1 1 313.357 1.970 20 0 DDADMM CCN(CC)CC(=O)Nc1nc2c(s1)CC[C@@H](C(=O)[O-])C2 ZINC000910676239 710043901 /nfs/dbraw/zinc/04/39/01/710043901.db2.gz CBKKKACPXDOYDN-SECBINFHSA-N -1 1 311.407 1.613 20 0 DDADMM C[C@H](C(=O)N1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1)N1CCc2ccccc2C1 ZINC000910799217 710080658 /nfs/dbraw/zinc/08/06/58/710080658.db2.gz TWUATZXLSUAQDE-FWYOQMDTSA-N -1 1 314.385 1.222 20 0 DDADMM O=C([O-])[C@H]1CCN(C(=O)CCc2c[nH]nn2)c2ccccc21 ZINC000901636922 710103567 /nfs/dbraw/zinc/10/35/67/710103567.db2.gz PJVZJHSROYIKCR-LBPRGKRZSA-N -1 1 300.318 1.342 20 0 DDADMM O=C([O-])[C@H]1CCN(C(=O)CCc2cnn[nH]2)c2ccccc21 ZINC000901636922 710103570 /nfs/dbraw/zinc/10/35/70/710103570.db2.gz PJVZJHSROYIKCR-LBPRGKRZSA-N -1 1 300.318 1.342 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCC[C@H](C)[C@@H]1C(=O)[O-] ZINC000901696149 710121832 /nfs/dbraw/zinc/12/18/32/710121832.db2.gz WTMHUNGZPWEFMF-RDBQEKCUSA-N -1 1 305.378 1.787 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1ccc2cccnn21 ZINC000891616808 710249774 /nfs/dbraw/zinc/24/97/74/710249774.db2.gz AOBAIQFUCIDEKJ-UHFFFAOYSA-N -1 1 309.329 1.961 20 0 DDADMM O=C(C=CC1CCOCC1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891622364 710250902 /nfs/dbraw/zinc/25/09/02/710250902.db2.gz LNVXWZVVNDQVTC-AATRIKPKSA-N -1 1 303.362 1.982 20 0 DDADMM O=C(CCCn1ccnc1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891623341 710251240 /nfs/dbraw/zinc/25/12/40/710251240.db2.gz QCEPHMRLTHWBPU-UHFFFAOYSA-N -1 1 301.350 1.676 20 0 DDADMM C[C@H](Sc1nc2ccccc2s1)C(=O)N(C)c1nn[n-]n1 ZINC000892954291 710531377 /nfs/dbraw/zinc/53/13/77/710531377.db2.gz HVUGPDPUECYCKS-ZETCQYMHSA-N -1 1 320.403 1.953 20 0 DDADMM CN(C(=O)C1(c2ccc(F)cc2F)CCOCC1)c1nn[n-]n1 ZINC000892954578 710531824 /nfs/dbraw/zinc/53/18/24/710531824.db2.gz MJTIPKGFRXIKBT-UHFFFAOYSA-N -1 1 323.303 1.189 20 0 DDADMM COCc1nc(NCc2ccc(C(C)=O)c(C)n2)cc(=O)[n-]1 ZINC000893028062 710543672 /nfs/dbraw/zinc/54/36/72/710543672.db2.gz FUXHPMZVOUCLSL-UHFFFAOYSA-N -1 1 302.334 1.847 20 0 DDADMM COCc1nc(N[C@@H](C)C2CCN(C(=O)OC)CC2)cc(=O)[n-]1 ZINC000893255003 710580730 /nfs/dbraw/zinc/58/07/30/710580730.db2.gz IJMRFEUAZVEGCR-JTQLQIEISA-N -1 1 324.381 1.607 20 0 DDADMM Cc1cc(NC(=O)[C@H](C)CN2CCOCC2)cc(C(=O)[O-])c1 ZINC000911070724 710620785 /nfs/dbraw/zinc/62/07/85/710620785.db2.gz KJRXVQKPOMHKFB-GFCCVEGCSA-N -1 1 306.362 1.600 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CC[C@H](C(=O)[O-])[C@H](C)C2)C1 ZINC000902162004 710641815 /nfs/dbraw/zinc/64/18/15/710641815.db2.gz QOQMOIPCIBHDRB-VCDKRKBESA-N -1 1 305.378 1.645 20 0 DDADMM O=C([O-])Cn1cc(CN2CCCOCC2)c(-c2ccccc2)n1 ZINC000902182149 710652322 /nfs/dbraw/zinc/65/23/22/710652322.db2.gz KWQPWANGEIWMAY-UHFFFAOYSA-N -1 1 315.373 1.857 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCc3cc(F)ccc32)C1 ZINC000911164487 710664416 /nfs/dbraw/zinc/66/44/16/710664416.db2.gz NFYUKNTVJRPGFS-LBPRGKRZSA-N -1 1 306.337 1.511 20 0 DDADMM CN(C)[C@H](CNC(=O)Cn1ccc(C(=O)[O-])n1)c1ccsc1 ZINC000911192372 710676619 /nfs/dbraw/zinc/67/66/19/710676619.db2.gz CQBKZNBDHYSTTD-GFCCVEGCSA-N -1 1 322.390 1.062 20 0 DDADMM COCc1nc(N2C[C@H](C)O[C@]3(CCO[C@H]3C)C2)cc(=O)[n-]1 ZINC000893581817 710723450 /nfs/dbraw/zinc/72/34/50/710723450.db2.gz ZJVKVKVVJRECKF-ZIBATOQPSA-N -1 1 309.366 1.101 20 0 DDADMM CCN1CCN(C(=O)CCc2ccc(C(=O)[O-])cc2)[C@@H](C)C1 ZINC000911408105 710784883 /nfs/dbraw/zinc/78/48/83/710784883.db2.gz SHFXRRHNLHMOHA-ZDUSSCGKSA-N -1 1 304.390 1.870 20 0 DDADMM Cc1cnc(C(=O)NC[C@](C)(O)c2cccc(F)c2)c([O-])c1 ZINC000928474948 713209405 /nfs/dbraw/zinc/20/94/05/713209405.db2.gz IHHLNQPPLAQXOD-INIZCTEOSA-N -1 1 304.321 1.872 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)/C=C/c1ccc(C(=O)[O-])o1 ZINC000911569321 710859152 /nfs/dbraw/zinc/85/91/52/710859152.db2.gz CCBWXGKJWSFMKP-WMADIVHISA-N -1 1 306.362 1.934 20 0 DDADMM Cn1nccc1[C@@H]1CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000902925289 710961072 /nfs/dbraw/zinc/96/10/72/710961072.db2.gz AYDOZYTUMNLTNE-AWEZNQCLSA-N -1 1 323.356 1.634 20 0 DDADMM O=C([O-])c1ccc(Cl)c(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)c1 ZINC000911773838 710977240 /nfs/dbraw/zinc/97/72/40/710977240.db2.gz OTCNOAKFHQCSHB-SECBINFHSA-N -1 1 320.736 1.786 20 0 DDADMM CN1Cc2cccc([N-]S(=O)(=O)N=S(C)(C)=O)c2C1 ZINC000903122968 711039285 /nfs/dbraw/zinc/03/92/85/711039285.db2.gz HYDYVCLVZXPJFA-UHFFFAOYSA-N -1 1 303.409 1.016 20 0 DDADMM O=S(=O)([N-]c1nnc(C(F)F)[nH]1)c1ccc2c(c1)CCO2 ZINC000903149665 711048121 /nfs/dbraw/zinc/04/81/21/711048121.db2.gz CJRULXGQXAFUQI-UHFFFAOYSA-N -1 1 316.289 1.478 20 0 DDADMM CC[C@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC000913493165 713236459 /nfs/dbraw/zinc/23/64/59/713236459.db2.gz FQECJXGCKAFFDF-QWHCGFSZSA-N -1 1 301.350 1.293 20 0 DDADMM O=C(C=Cc1ccc2[nH]ccc2c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913493939 713236838 /nfs/dbraw/zinc/23/68/38/713236838.db2.gz NQXVRXHUJRDWNI-PMUGQKEBSA-N -1 1 324.344 1.294 20 0 DDADMM O=C(N[C@H]([C@H]1CCCO1)C1(CO)CCC1)c1ncccc1[O-] ZINC000912214978 711226167 /nfs/dbraw/zinc/22/61/67/711226167.db2.gz INTQHHZUFDOJDL-TZMCWYRMSA-N -1 1 306.362 1.227 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(CCOc2ccc(F)cc2Cl)C1 ZINC000903624052 711228834 /nfs/dbraw/zinc/22/88/34/711228834.db2.gz WMRROPNCUNVJGD-CYBMUJFWSA-N -1 1 303.717 1.379 20 0 DDADMM O=C([C@H]1CCCc2sccc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495020 713237997 /nfs/dbraw/zinc/23/79/97/713237997.db2.gz GAIXAYLVDZWHIG-WDEREUQCSA-N -1 1 319.390 1.281 20 0 DDADMM CC(C)(C)SCCCC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495807 713238228 /nfs/dbraw/zinc/23/82/28/713238228.db2.gz OZASCRCAZHFTSR-SNVBAGLBSA-N -1 1 313.427 1.412 20 0 DDADMM CSc1nc(CNC(=O)c2c(C3CC3)cnn2C)cc(=O)[n-]1 ZINC000912240501 711239640 /nfs/dbraw/zinc/23/96/40/711239640.db2.gz JITYFVKXIOODGL-UHFFFAOYSA-N -1 1 319.390 1.445 20 0 DDADMM C[C@@]1(CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)CC1(Cl)Cl ZINC000913497527 713238805 /nfs/dbraw/zinc/23/88/05/713238805.db2.gz LHDYJYGHEGYJFD-GMSGAONNSA-N -1 1 320.180 1.074 20 0 DDADMM O=C(C1CCC(C2CC2)CC1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913497417 713238967 /nfs/dbraw/zinc/23/89/67/713238967.db2.gz AVEXWDKXGQBQCZ-BPCQOVAHSA-N -1 1 305.382 1.316 20 0 DDADMM Cc1csc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1Cl ZINC000913497535 713239034 /nfs/dbraw/zinc/23/90/34/713239034.db2.gz LIFVDRNLGCJUIE-SSDOTTSWSA-N -1 1 313.770 1.437 20 0 DDADMM Cc1ccnc2ccc(C(=O)N3CCOC[C@H]3c3nn[n-]n3)cc12 ZINC000913497511 713239043 /nfs/dbraw/zinc/23/90/43/713239043.db2.gz KZLNOOPZMUHMOD-AWEZNQCLSA-N -1 1 324.344 1.270 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)Nc1ccccc1OC ZINC000903712168 711250759 /nfs/dbraw/zinc/25/07/59/711250759.db2.gz SAIHZPDKIXKQLL-GFCCVEGCSA-N -1 1 324.377 1.445 20 0 DDADMM CC(C)C[C@H]1CN(C)CCN1Cc1noc(CCCC(=O)[O-])n1 ZINC000903943381 711343860 /nfs/dbraw/zinc/34/38/60/711343860.db2.gz JFKWYOJJPNUSHQ-ZDUSSCGKSA-N -1 1 324.425 1.639 20 0 DDADMM CC(=O)N1CCO[C@H](c2nc(-c3ccc([O-])cc3F)no2)C1 ZINC000904050844 711370731 /nfs/dbraw/zinc/37/07/31/711370731.db2.gz NVBUWVSICCWKJU-LBPRGKRZSA-N -1 1 307.281 1.501 20 0 DDADMM COCc1nc(N2CCC[C@H](c3ccn(C)n3)C2)cc(=O)[n-]1 ZINC000895642330 711567241 /nfs/dbraw/zinc/56/72/41/711567241.db2.gz SXOUKBOQJURVTR-NSHDSACASA-N -1 1 303.366 1.446 20 0 DDADMM O=c1nc(NC[C@H]2CCC[C@H](C(F)(F)F)O2)nc2[nH][n-]cc1-2 ZINC000896033271 711666443 /nfs/dbraw/zinc/66/64/43/711666443.db2.gz IMMGPQGNQPVRHQ-HTRCEHHLSA-N -1 1 317.271 1.344 20 0 DDADMM CCn1nc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1C1CC1 ZINC000904937475 711904960 /nfs/dbraw/zinc/90/49/60/711904960.db2.gz YZHBQHYPDWSLOB-UHFFFAOYSA-N -1 1 310.361 1.688 20 0 DDADMM COc1ccc(CC(=O)N2CCC(c3nn[n-]n3)CC2)cc1F ZINC000913742346 713291758 /nfs/dbraw/zinc/29/17/58/713291758.db2.gz ALHVCQLRYQGJGV-UHFFFAOYSA-N -1 1 319.340 1.296 20 0 DDADMM O=C(c1cc2c(cccc2F)[nH]1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742460 713291763 /nfs/dbraw/zinc/29/17/63/713291763.db2.gz DOPMHNYNUYTUKF-UHFFFAOYSA-N -1 1 314.324 1.840 20 0 DDADMM O=C(Cc1cccc2cccnc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913742629 713291969 /nfs/dbraw/zinc/29/19/69/713291969.db2.gz MNTCRUNAYJJSHA-UHFFFAOYSA-N -1 1 322.372 1.697 20 0 DDADMM O=C(/C=C/SCc1ccco1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743691 713292343 /nfs/dbraw/zinc/29/23/43/713292343.db2.gz HEEFROKHOBDQDW-WEVVVXLNSA-N -1 1 319.390 1.946 20 0 DDADMM O=C(c1ccc(F)c(F)c1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913743961 713292406 /nfs/dbraw/zinc/29/24/06/713292406.db2.gz UDTFOOBSWZOXBA-UHFFFAOYSA-N -1 1 311.267 1.637 20 0 DDADMM CO[C@H]1CCC[C@@H](CC(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC000913744795 713293291 /nfs/dbraw/zinc/29/32/91/713293291.db2.gz FBPVFXBVMIKWBI-YPMHNXCESA-N -1 1 307.398 1.501 20 0 DDADMM CCc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)ccc1O ZINC000913745281 713293521 /nfs/dbraw/zinc/29/35/21/713293521.db2.gz WTFSKSUSNVHPDR-UHFFFAOYSA-N -1 1 301.350 1.488 20 0 DDADMM Cc1ccc(F)c(CC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913746096 713293977 /nfs/dbraw/zinc/29/39/77/713293977.db2.gz JITDEMJUWBYICQ-UHFFFAOYSA-N -1 1 303.341 1.596 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1cnc(Cl)c(C)c1 ZINC000906060654 712234003 /nfs/dbraw/zinc/23/40/03/712234003.db2.gz KIIPABJWMVQASJ-QGZVFWFLSA-N -1 1 311.816 1.818 20 0 DDADMM CCN(CCNc1cc(C(=O)[O-])ncn1)CCC(F)(F)F ZINC000906143591 712253162 /nfs/dbraw/zinc/25/31/62/712253162.db2.gz FHBCSTLPVXOQMD-UHFFFAOYSA-N -1 1 306.288 1.861 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)c2cc(C(=O)[O-])ccc2O)C[C@@H]1F ZINC000906921032 712439394 /nfs/dbraw/zinc/43/93/94/712439394.db2.gz UBTFBOHAXOSOKD-WPRPVWTQSA-N -1 1 317.338 1.459 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnc(CC2CC2)s1)c1nn[n-]n1 ZINC000907350555 712549980 /nfs/dbraw/zinc/54/99/80/712549980.db2.gz QZTXQEVYKHDNFL-QMMMGPOBSA-N -1 1 306.395 1.484 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@@H](C2CC2)O1)c1ccc(F)nc1F ZINC000907470626 712580337 /nfs/dbraw/zinc/58/03/37/712580337.db2.gz KLYZCSAHXNWZMW-UWVGGRQHSA-N -1 1 318.345 1.596 20 0 DDADMM O=S(=O)([N-]CC1(C2(O)CCC2)CC1)c1ccc(F)nc1F ZINC000907473681 712581526 /nfs/dbraw/zinc/58/15/26/712581526.db2.gz LHKSZILPNMMHJB-UHFFFAOYSA-N -1 1 318.345 1.333 20 0 DDADMM Cc1nc(CCC(=O)N2CCSC[C@H]2c2nn[n-]n2)cs1 ZINC000907477256 712582799 /nfs/dbraw/zinc/58/27/99/712582799.db2.gz UMIXHKNXUBNPCY-JTQLQIEISA-N -1 1 324.435 1.214 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2CC3(C2)CO[C@@H](C)C3)sc1C ZINC000907723394 712618526 /nfs/dbraw/zinc/61/85/26/712618526.db2.gz GMCHGGMLYPNNEC-KTBWXWJFSA-N -1 1 316.448 1.996 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3cccc(C)c3F)CC2)n1 ZINC000907864123 712637892 /nfs/dbraw/zinc/63/78/92/712637892.db2.gz GDKLYMJBCFSVEW-UHFFFAOYSA-N -1 1 311.338 1.793 20 0 DDADMM C[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H](C2CC2)O1 ZINC000907868413 712638655 /nfs/dbraw/zinc/63/86/55/712638655.db2.gz UCNSGIIOJMSIDH-ZANVPECISA-N -1 1 303.362 1.699 20 0 DDADMM CCCC[C@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)C(C)C ZINC000907939539 712652082 /nfs/dbraw/zinc/65/20/82/712652082.db2.gz DGPFSWIWUTWIMA-LBPRGKRZSA-N -1 1 309.414 1.472 20 0 DDADMM O=C([C@@H]1CCCC[C@H]1C1CC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907940618 712652122 /nfs/dbraw/zinc/65/21/22/712652122.db2.gz JULKGFQSSLMTBG-QWHCGFSZSA-N -1 1 319.409 1.226 20 0 DDADMM CC1=C(C)C[C@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1 ZINC000907942133 712652442 /nfs/dbraw/zinc/65/24/42/712652442.db2.gz UOELVWBHXNUWFV-GFCCVEGCSA-N -1 1 305.382 1.146 20 0 DDADMM Cc1ccc(CCC(=O)N2CCC(O)(c3nn[n-]n3)CC2)s1 ZINC000907941948 712652564 /nfs/dbraw/zinc/65/25/64/712652564.db2.gz SYQSWBWEIJJDHL-UHFFFAOYSA-N -1 1 321.406 1.012 20 0 DDADMM O=C([O-])c1ccc2c(c1)N(C(=O)[C@@H]1CCc3[nH]cnc3C1)CC2 ZINC000908063292 712674683 /nfs/dbraw/zinc/67/46/83/712674683.db2.gz XDMQDGUJJJUVIL-LLVKDONJSA-N -1 1 311.341 1.802 20 0 DDADMM O=C([O-])c1ccc2c(c1)N(C(=O)[C@H]1CCc3[nH]cnc3C1)CC2 ZINC000908063293 712674700 /nfs/dbraw/zinc/67/47/00/712674700.db2.gz XDMQDGUJJJUVIL-NSHDSACASA-N -1 1 311.341 1.802 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Br)o1)C(C)(C)O ZINC000908209509 712711097 /nfs/dbraw/zinc/71/10/97/712711097.db2.gz QYPTWSYETPBXEU-LURJTMIESA-N -1 1 312.185 1.480 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1[nH]nc2c1CCC2)CC1CCCC1 ZINC000908288134 712728950 /nfs/dbraw/zinc/72/89/50/712728950.db2.gz KDMGCUKRZXOIJG-LLVKDONJSA-N -1 1 305.378 1.909 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2cccc3[nH]ccc32)C1 ZINC000908710094 712839306 /nfs/dbraw/zinc/83/93/06/712839306.db2.gz WUBLUCDKJRWROY-NSHDSACASA-N -1 1 301.346 1.903 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC[C@H]2Cc3ccccc32)C1 ZINC000908739647 712845315 /nfs/dbraw/zinc/84/53/15/712845315.db2.gz JAMLSWKRINQUEI-UONOGXRCSA-N -1 1 302.374 1.239 20 0 DDADMM Cc1cccc2c1C[C@H](C(=O)[O-])N2C(=O)N[C@H]1CCCN(C)C1 ZINC000908868795 712874199 /nfs/dbraw/zinc/87/41/99/712874199.db2.gz AOMJIXLHPACTIU-SWLSCSKDSA-N -1 1 317.389 1.615 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cc(F)ccc1[O-])C1CCOCC1 ZINC000916796115 713467170 /nfs/dbraw/zinc/46/71/70/713467170.db2.gz UTCJQONZJVFECT-CYBMUJFWSA-N -1 1 311.309 1.229 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H]2COC[C@@H]2C)c(=O)[n-]1 ZINC000928889178 713505235 /nfs/dbraw/zinc/50/52/35/713505235.db2.gz KJNIGUPHKQMAJH-KWQFWETISA-N -1 1 311.407 1.296 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)c1cc(C)ns1 ZINC000918060349 713527649 /nfs/dbraw/zinc/52/76/49/713527649.db2.gz CGIDLMWDKBQTLX-SNVBAGLBSA-N -1 1 320.436 1.662 20 0 DDADMM C[C@@H](CN(C)[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc([O-])cc1 ZINC000929204020 713566476 /nfs/dbraw/zinc/56/64/76/713566476.db2.gz NEBAMXQKHNEUNF-WCQYABFASA-N -1 1 311.403 1.330 20 0 DDADMM Cn1ncnc1CCC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000919521051 713612300 /nfs/dbraw/zinc/61/23/00/713612300.db2.gz ACLNGPODUZHFAL-UHFFFAOYSA-N -1 1 320.374 1.472 20 0 DDADMM O=S(=O)([N-][C@H]1CCCN2CCSC[C@@H]12)c1ccns1 ZINC000921322180 713733895 /nfs/dbraw/zinc/73/38/95/713733895.db2.gz OTZGIURTLPJXRU-UWVGGRQHSA-N -1 1 319.477 1.001 20 0 DDADMM C[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000921497364 713789701 /nfs/dbraw/zinc/78/97/01/713789701.db2.gz MRBSRBRRUPRJIE-HTRCEHHLSA-N -1 1 311.190 1.702 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2C=C[C@H]3C[C@@H]2CO3)sc1C ZINC000921607771 713820166 /nfs/dbraw/zinc/82/01/66/713820166.db2.gz BFMBMMTXSQKXSI-TUAOUCFPSA-N -1 1 314.432 1.629 20 0 DDADMM COCC[C@@H]1COCCN1C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000930534084 713859738 /nfs/dbraw/zinc/85/97/38/713859738.db2.gz CMSUAVFOEIBGHE-DZGCQCFKSA-N -1 1 307.390 1.948 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000921971315 713924128 /nfs/dbraw/zinc/92/41/28/713924128.db2.gz LTYNZMIVEFSCGN-SVRRBLITSA-N -1 1 309.796 1.631 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C2([C@H]3CCCCO3)CC2)c1Cl ZINC000922103745 713962329 /nfs/dbraw/zinc/96/23/29/713962329.db2.gz FIKFMRWYORSUKU-SECBINFHSA-N -1 1 319.814 1.454 20 0 DDADMM C[C@@H]1COCC[C@@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922201700 713989423 /nfs/dbraw/zinc/98/94/23/713989423.db2.gz LPKGZOONQJQUDG-OTYXRUKQSA-N -1 1 314.332 1.937 20 0 DDADMM O=c1nc(CN2CCC[C@H]2c2nnc[nH]2)[n-]c2ccsc21 ZINC000931138841 714012848 /nfs/dbraw/zinc/01/28/48/714012848.db2.gz PLOUQXVTYRTPEC-VIFPVBQESA-N -1 1 302.363 1.852 20 0 DDADMM CC1(CNC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)COC1 ZINC000932043334 714244818 /nfs/dbraw/zinc/24/48/18/714244818.db2.gz VEPPWGWHSSCQSM-UHFFFAOYSA-N -1 1 302.334 1.736 20 0 DDADMM C[C@@](CO)(NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1CC1 ZINC000932044152 714245269 /nfs/dbraw/zinc/24/52/69/714245269.db2.gz DFNUWEDUTWCTLR-INIZCTEOSA-N -1 1 316.361 1.860 20 0 DDADMM Cc1[nH]nc2c1CCCN2Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000934311156 714791462 /nfs/dbraw/zinc/79/14/62/714791462.db2.gz FSXBSTYWLBUXOW-UHFFFAOYSA-N -1 1 301.350 1.239 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc(OC)cc1C)c1nn[n-]n1 ZINC000934314506 714792366 /nfs/dbraw/zinc/79/23/66/714792366.db2.gz ZIYQWQLYGZZGKY-ZDUSSCGKSA-N -1 1 303.366 1.717 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cccc3c2CCOC3)n[nH]1 ZINC000935259666 715014221 /nfs/dbraw/zinc/01/42/21/715014221.db2.gz ALNPKYSMZQGSGG-UHFFFAOYSA-N -1 1 315.329 1.911 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@H]2CC=CCC2)c1 ZINC000936051911 715146349 /nfs/dbraw/zinc/14/63/49/715146349.db2.gz BUTVTYGSYRORPT-NSHDSACASA-N -1 1 324.402 1.985 20 0 DDADMM CC(C)C(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937234517 715300006 /nfs/dbraw/zinc/30/00/06/715300006.db2.gz STAMAHGQZNARMM-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@@H](C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937791030 715574449 /nfs/dbraw/zinc/57/44/49/715574449.db2.gz WWLXHAMFFAVXDO-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM CC1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CCCC1 ZINC000937863522 715618675 /nfs/dbraw/zinc/61/86/75/715618675.db2.gz IPOAORYGICGUEQ-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM CCCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC000955500944 715824872 /nfs/dbraw/zinc/82/48/72/715824872.db2.gz MLTNJTMWCVOMGJ-NWDGAFQWSA-N -1 1 305.378 1.697 20 0 DDADMM O=C(c1ccc2oc(=O)nc-2[n-]1)N1CCN(CCC2CC2)CC1 ZINC000957315119 715831318 /nfs/dbraw/zinc/83/13/18/715831318.db2.gz YDYSKDNAHXPUIY-UHFFFAOYSA-N -1 1 316.361 1.486 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CC2(C)CC2)C1 ZINC000938886014 716035452 /nfs/dbraw/zinc/03/54/52/716035452.db2.gz CAOSBGZYLSJCRR-LBPRGKRZSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1cc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)co1 ZINC000959972558 716374379 /nfs/dbraw/zinc/37/43/79/716374379.db2.gz CPKLIJSLVQGSCB-LBPRGKRZSA-N -1 1 319.365 1.196 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939809307 716435642 /nfs/dbraw/zinc/43/56/42/716435642.db2.gz BBJODNKFORQXDC-QWHCGFSZSA-N -1 1 319.405 1.752 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2CCC2)C1 ZINC000959000654 716812170 /nfs/dbraw/zinc/81/21/70/716812170.db2.gz OCZYBSVQZHQZAA-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)CC2CC2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959316413 716954143 /nfs/dbraw/zinc/95/41/43/716954143.db2.gz AGGMVNWTBWLUSF-WCQYABFASA-N -1 1 317.389 1.412 20 0 DDADMM O=C(NC[C@H]1CCCCN1C(=O)C1CC1)c1ncccc1[O-] ZINC000964394786 717244315 /nfs/dbraw/zinc/24/43/15/717244315.db2.gz LLSHMBCNGWXIMO-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000964477572 717280794 /nfs/dbraw/zinc/28/07/94/717280794.db2.gz BDZLXLJNPUCDBZ-XQQFMLRXSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000965980194 717844792 /nfs/dbraw/zinc/84/47/92/717844792.db2.gz SYZYQADLSHMDOE-ZDUSSCGKSA-N -1 1 319.405 1.754 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944184994 718267302 /nfs/dbraw/zinc/26/73/02/718267302.db2.gz HQBHEIUXADWMIJ-IJLUTSLNSA-N -1 1 303.362 1.164 20 0 DDADMM CS[C@H](C)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000944594426 718322924 /nfs/dbraw/zinc/32/29/24/718322924.db2.gz YAAMNTIHGFDBAZ-SNVBAGLBSA-N -1 1 323.418 1.260 20 0 DDADMM C[C@H]1CN(C(=O)C2CC=CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966253879 718486169 /nfs/dbraw/zinc/48/61/69/718486169.db2.gz KQMLUEKKXHNPAX-WCQYABFASA-N -1 1 315.373 1.330 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cc[nH]c1 ZINC000966325339 718515727 /nfs/dbraw/zinc/51/57/27/718515727.db2.gz DRWASTWTWGIHFN-CMPLNLGQSA-N -1 1 314.345 1.006 20 0 DDADMM O=C(N[C@H]1CCC[C@H]1CNC(=O)C1CCC1)c1ncccc1[O-] ZINC000946190928 718707381 /nfs/dbraw/zinc/70/73/81/718707381.db2.gz CRYRBWLTIJUYMT-STQMWFEESA-N -1 1 317.389 1.602 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]1CNC(=O)C1CC1)c1ncccc1[O-] ZINC000946196600 718708369 /nfs/dbraw/zinc/70/83/69/718708369.db2.gz UIMLRNLYPTWZNL-VXGBXAGGSA-N -1 1 303.362 1.212 20 0 DDADMM CCC(=O)N[C@H]1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946328090 718746871 /nfs/dbraw/zinc/74/68/71/718746871.db2.gz CLXVDCJNCMBRMX-RYUDHWBXSA-N -1 1 305.378 1.602 20 0 DDADMM CC(C)(C)C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946535660 718822608 /nfs/dbraw/zinc/82/26/08/718822608.db2.gz GOMZJVJUOGFCEM-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC2(C)C)C1 ZINC000967897933 719078568 /nfs/dbraw/zinc/07/85/68/719078568.db2.gz CYKGRNOFRARKNL-GFCCVEGCSA-N -1 1 317.389 1.506 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2(CF)CC2)C1 ZINC000967907649 719082615 /nfs/dbraw/zinc/08/26/15/719082615.db2.gz OQYJFMLRKRUNDJ-UHFFFAOYSA-N -1 1 321.352 1.210 20 0 DDADMM Cc1oncc1CN1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000969543497 720181829 /nfs/dbraw/zinc/18/18/29/720181829.db2.gz PEPVEPCKAMWKEA-JTQLQIEISA-N -1 1 316.361 1.334 20 0 DDADMM CC1(C)CC(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000949722180 720291191 /nfs/dbraw/zinc/29/11/91/720291191.db2.gz BHGBLDJAPIQTSY-LBPRGKRZSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950463863 720644821 /nfs/dbraw/zinc/64/48/21/720644821.db2.gz LURVLOSUSRDHDL-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1C[C@H]1C(=O)N(C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953725389 721648636 /nfs/dbraw/zinc/64/86/36/721648636.db2.gz KMQVQTAQQABBCS-WCQYABFASA-N -1 1 317.389 1.506 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)/C=C/C2CC2)C1 ZINC000954124413 721723590 /nfs/dbraw/zinc/72/35/90/721723590.db2.gz YWCXGVUSFPOILM-VOTSOKGWSA-N -1 1 301.346 1.036 20 0 DDADMM C[C@@H](CC(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000954127992 721724868 /nfs/dbraw/zinc/72/48/68/721724868.db2.gz NVOHNQRDEWFGEI-NSHDSACASA-N -1 1 317.389 1.506 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001020492700 732433750 /nfs/dbraw/zinc/43/37/50/732433750.db2.gz KNHYOWFMLZJLIZ-GMXVVIOVSA-N -1 1 323.368 1.501 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001011035924 734378503 /nfs/dbraw/zinc/37/85/03/734378503.db2.gz IZHUNCOCDGIRJZ-CHWSQXEVSA-N -1 1 317.389 1.508 20 0 DDADMM COc1ccc(CN2CCCC[C@H]2CN(C)C(=O)C(=O)[O-])cc1 ZINC001143413090 734526170 /nfs/dbraw/zinc/52/61/70/734526170.db2.gz IYIKGVBBVQSGHH-AWEZNQCLSA-N -1 1 320.389 1.593 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001020069658 736983220 /nfs/dbraw/zinc/98/32/20/736983220.db2.gz MWNDWVODJSDNDG-SRVKXCTJSA-N -1 1 305.378 1.553 20 0 DDADMM Cc1ccc(N(C)CCCN(C)C(=O)c2ncccc2[O-])nn1 ZINC001112081205 737559030 /nfs/dbraw/zinc/55/90/30/737559030.db2.gz JNWRZEDWBYEYIO-UHFFFAOYSA-N -1 1 315.377 1.484 20 0 DDADMM Cc1conc1CN1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001028499524 739591540 /nfs/dbraw/zinc/59/15/40/739591540.db2.gz IKVGXZGHYYUGEJ-LBPRGKRZSA-N -1 1 316.361 1.336 20 0 DDADMM CC(C)C(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514504 741088234 /nfs/dbraw/zinc/08/82/34/741088234.db2.gz FHPUXLIBEDOPPX-LBPRGKRZSA-N -1 1 305.378 1.412 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2ccsc2)C1 ZINC001029641013 741165991 /nfs/dbraw/zinc/16/59/91/741165991.db2.gz ZGNKZQKONPOZPP-SNVBAGLBSA-N -1 1 321.406 1.166 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029703034 741239230 /nfs/dbraw/zinc/23/92/30/741239230.db2.gz RJWZEBCRYIEFTK-SNVBAGLBSA-N -1 1 311.361 1.054 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029747355 741273273 /nfs/dbraw/zinc/27/32/73/741273273.db2.gz UWXWNQJMSGFZJR-LBPRGKRZSA-N -1 1 323.441 1.473 20 0 DDADMM CC[C@@H](C)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088266760 741287113 /nfs/dbraw/zinc/28/71/13/741287113.db2.gz ILQXTGQCDZKZIK-VXGBXAGGSA-N -1 1 309.414 1.417 20 0 DDADMM O=C(N[C@H]1C[C@@H](Nc2cncc(Cl)n2)C1)c1ncccc1[O-] ZINC001059613347 741415178 /nfs/dbraw/zinc/41/51/78/741415178.db2.gz ARNFTXGSPRDQCS-DTORHVGOSA-N -1 1 319.752 1.604 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)/C=C\C2CC2)CC1 ZINC001029949932 741639170 /nfs/dbraw/zinc/63/91/70/741639170.db2.gz DQVXPCFIEQPZDQ-PLNGDYQASA-N -1 1 319.409 1.147 20 0 DDADMM O=S(=O)(Oc1cccc2c1OCC2)c1c[n-]nc1Cl ZINC001212113951 742185085 /nfs/dbraw/zinc/18/50/85/742185085.db2.gz OLGOECDJBLYEKI-UHFFFAOYSA-N -1 1 300.723 1.766 20 0 DDADMM COC(=O)/C=C/[C@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001181327124 743159121 /nfs/dbraw/zinc/15/91/21/743159121.db2.gz IRZVOXQXTFHFAI-UCUJLANTSA-N -1 1 307.350 1.462 20 0 DDADMM O=S(=O)([N-]c1c(F)cccc1CO)c1cnc(Cl)nc1 ZINC001185049369 744143672 /nfs/dbraw/zinc/14/36/72/744143672.db2.gz CEZRBJUTYQAAGM-UHFFFAOYSA-N -1 1 317.729 1.562 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccsc1)C(F)(F)F ZINC001185406365 744224890 /nfs/dbraw/zinc/22/48/90/744224890.db2.gz OFMSVEUJCBZLHR-ZCFIWIBFSA-N -1 1 303.283 1.130 20 0 DDADMM O=C(Cc1cccc(CC(F)(F)F)c1F)NCc1nn[n-]n1 ZINC001185922301 744315075 /nfs/dbraw/zinc/31/50/75/744315075.db2.gz QCQTVTWJWBZJPP-UHFFFAOYSA-N -1 1 317.246 1.303 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cncs2)ccc1F ZINC001186142833 744349542 /nfs/dbraw/zinc/34/95/42/744349542.db2.gz FIPYWGFKECXCRY-UHFFFAOYSA-N -1 1 302.308 1.781 20 0 DDADMM COc1ccccc1CNC(=O)NCCCC[P@](=O)([O-])O ZINC001186154448 744351795 /nfs/dbraw/zinc/35/17/95/744351795.db2.gz XDCPBARNSBYYHC-UHFFFAOYSA-N -1 1 316.294 1.452 20 0 DDADMM COC[C@H]1CN(C(=O)c2ccc([O-])c(F)c2)Cc2cn(C)nc21 ZINC001186218166 744366145 /nfs/dbraw/zinc/36/61/45/744366145.db2.gz GFJKXWNSSNJSJX-GFCCVEGCSA-N -1 1 319.336 1.651 20 0 DDADMM CCc1ccccc1NC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001187654818 744592045 /nfs/dbraw/zinc/59/20/45/744592045.db2.gz CAQOERYZXLNUQO-UHFFFAOYSA-N -1 1 314.305 1.922 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc(C(F)(F)F)c[nH]1 ZINC001187704271 744594447 /nfs/dbraw/zinc/59/44/47/744594447.db2.gz XUMVZZZPDVVJOM-UHFFFAOYSA-N -1 1 314.200 1.721 20 0 DDADMM CN(C)c1nccc(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)n1 ZINC001187996765 744645391 /nfs/dbraw/zinc/64/53/91/744645391.db2.gz MKOAPPTVDVLLTM-UHFFFAOYSA-N -1 1 324.344 1.686 20 0 DDADMM CCC(=O)N1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@]2(C)C1 ZINC000992450109 744881868 /nfs/dbraw/zinc/88/18/68/744881868.db2.gz TWOGICQLMREJDK-MEDUHNTESA-N -1 1 303.362 1.118 20 0 DDADMM CCN(CCC(N)=O)C(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190263020 745166829 /nfs/dbraw/zinc/16/68/29/745166829.db2.gz YLXNNPJMXUCUJW-UHFFFAOYSA-N -1 1 314.345 1.187 20 0 DDADMM O=C(NCc1cncnc1)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190267991 745168321 /nfs/dbraw/zinc/16/83/21/745168321.db2.gz PTEDSZYEOAZLDF-UHFFFAOYSA-N -1 1 307.313 1.569 20 0 DDADMM O=C(c1cnc(-c2ccccn2)[n-]c1=O)N1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001190619049 745259870 /nfs/dbraw/zinc/25/98/70/745259870.db2.gz SWGITCLOWJUISV-NHCYSSNCSA-N -1 1 314.320 1.674 20 0 DDADMM O=S(=O)(Nc1cc(O)ccc1Br)c1ncc[n-]1 ZINC001190718249 745292519 /nfs/dbraw/zinc/29/25/19/745292519.db2.gz ANGCZDKNIKHKMH-UHFFFAOYSA-N -1 1 318.152 1.679 20 0 DDADMM COc1cc(C(=O)n2c(N)c(F)ccc2=N)cc(Cl)c1[O-] ZINC001191129636 745435034 /nfs/dbraw/zinc/43/50/34/745435034.db2.gz RJLKSJKJXQIBAW-UHFFFAOYSA-N -1 1 311.700 1.745 20 0 DDADMM CSc1ncc(C(=O)N2CCC(n3ccnc3)CC2)c(=O)[n-]1 ZINC001191430337 745509970 /nfs/dbraw/zinc/50/99/70/745509970.db2.gz FEFUJKZPSRKCQA-UHFFFAOYSA-N -1 1 319.390 1.578 20 0 DDADMM CSc1ncc(C(=O)NC2(c3cccnn3)CC2)c(=O)[n-]1 ZINC001191438129 745512578 /nfs/dbraw/zinc/51/25/78/745512578.db2.gz SUOXDWDARBECDP-UHFFFAOYSA-N -1 1 303.347 1.113 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cn(-c2ccccc2)cn1 ZINC001191597222 745562950 /nfs/dbraw/zinc/56/29/50/745562950.db2.gz OXZXATJGIKZQBC-UHFFFAOYSA-N -1 1 321.300 1.497 20 0 DDADMM O=S(=O)([N-]CCc1ccccn1)C(Cl)(Cl)Cl ZINC001191997290 745662270 /nfs/dbraw/zinc/66/22/70/745662270.db2.gz RKZWVOPSCQTXLD-UHFFFAOYSA-N -1 1 303.598 1.871 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)Cc1ccc(Cl)cc1F ZINC001191917029 745644968 /nfs/dbraw/zinc/64/49/68/745644968.db2.gz PRCJHQDLFBNAHY-UHFFFAOYSA-N -1 1 309.746 1.462 20 0 DDADMM O=S(=O)([N-]Cc1ccnn1CCF)C(Cl)(Cl)Cl ZINC001192053786 745685722 /nfs/dbraw/zinc/68/57/22/745685722.db2.gz JGKAOAWUFGJXEW-UHFFFAOYSA-N -1 1 324.592 1.600 20 0 DDADMM CCN(CCNc1ccnc(C)n1)C(=O)c1ncccc1[O-] ZINC001106727700 745970304 /nfs/dbraw/zinc/97/03/04/745970304.db2.gz XRVNRWUFDKHURI-UHFFFAOYSA-N -1 1 301.350 1.460 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc(F)c(O)c1 ZINC001193058154 745975717 /nfs/dbraw/zinc/97/57/17/745975717.db2.gz QNDCGRNDKPWCDV-UHFFFAOYSA-N -1 1 305.327 1.615 20 0 DDADMM COC(=O)c1cccc(C(=O)Nc2cc(=O)[n-]c(SC)n2)n1 ZINC001193393770 746088597 /nfs/dbraw/zinc/08/85/97/746088597.db2.gz YRAPCUDSPKKVOC-UHFFFAOYSA-N -1 1 320.330 1.338 20 0 DDADMM COc1cc(OC)c(NC(=O)c2nccc(OC)c2[O-])cn1 ZINC001193524824 746133048 /nfs/dbraw/zinc/13/30/48/746133048.db2.gz MCIKRBABLHJUQM-UHFFFAOYSA-N -1 1 305.290 1.460 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2oc(=O)[nH]c2c1 ZINC001193931893 746225489 /nfs/dbraw/zinc/22/54/89/746225489.db2.gz ILGWNRBQUYCCSN-UHFFFAOYSA-N -1 1 305.315 1.916 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccc3c(C)n(C)nc3c2)[n-]n1 ZINC001194288458 746343408 /nfs/dbraw/zinc/34/34/08/746343408.db2.gz DLSPKADCROBZNU-UHFFFAOYSA-N -1 1 313.317 1.644 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cccn(CC)c2=O)n[n-]1 ZINC001194468843 746381003 /nfs/dbraw/zinc/38/10/03/746381003.db2.gz IDZPQLDOMWHHSB-UHFFFAOYSA-N -1 1 304.306 1.020 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1c(C)nc2ccccn21 ZINC001194676273 746435311 /nfs/dbraw/zinc/43/53/11/746435311.db2.gz IJZYMEBVNSWQRL-UHFFFAOYSA-N -1 1 318.358 1.847 20 0 DDADMM COC(=O)Cn1cc(NC(=O)c2c[n-]c(C(F)(F)F)n2)cn1 ZINC001194770959 746462451 /nfs/dbraw/zinc/46/24/51/746462451.db2.gz VOAACCOLZNARSB-UHFFFAOYSA-N -1 1 317.227 1.050 20 0 DDADMM Cc1cn(C)nc1C(=O)Nc1nc(Br)ccc1[O-] ZINC001194828726 746469371 /nfs/dbraw/zinc/46/93/71/746469371.db2.gz LBVHNERPCAMLPP-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC[C@@H](C(F)(F)F)[C@H]2CO)n1 ZINC001195323954 746565399 /nfs/dbraw/zinc/56/53/99/746565399.db2.gz ZBYGTNJPSATHQN-NXEZZACHSA-N -1 1 318.295 1.871 20 0 DDADMM CCCN=c1c(N)c(Cl)ncn1C(=O)c1nc(C)ccc1[O-] ZINC001195318251 746576095 /nfs/dbraw/zinc/57/60/95/746576095.db2.gz KESMIJNOSVBOHQ-UHFFFAOYSA-N -1 1 321.768 1.527 20 0 DDADMM COc1ccc2[nH]c(NC(=O)c3c[nH]c(=S)[n-]c3=O)nc2c1 ZINC001196008969 746754555 /nfs/dbraw/zinc/75/45/55/746754555.db2.gz ONTLSOQIPRCEPJ-UHFFFAOYSA-N -1 1 317.330 1.608 20 0 DDADMM COc1cnc(Cl)c(NC(=O)c2c[nH]c(=S)[n-]c2=O)n1 ZINC001196013494 746756611 /nfs/dbraw/zinc/75/66/11/746756611.db2.gz DJKJPCUOEOCEIE-UHFFFAOYSA-N -1 1 313.726 1.175 20 0 DDADMM O=C(N[C@@H](c1ncccc1F)C1CC1)c1c[nH]c(=S)[n-]c1=O ZINC001196027226 746765830 /nfs/dbraw/zinc/76/58/30/746765830.db2.gz VQAUTOPWDHSIDM-SNVBAGLBSA-N -1 1 320.349 1.886 20 0 DDADMM Cc1ncn(C)c1NC(=O)c1sccc1[N-]S(C)(=O)=O ZINC001196723638 746944302 /nfs/dbraw/zinc/94/43/02/746944302.db2.gz SUTVXYPMOBJGMP-UHFFFAOYSA-N -1 1 314.392 1.414 20 0 DDADMM CC(=O)N1CC[C@H]([C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003153997 747017770 /nfs/dbraw/zinc/01/77/70/747017770.db2.gz BQUWTCSGQQZRHT-KGLIPLIRSA-N -1 1 317.389 1.508 20 0 DDADMM Cc1ccc(N2CCC[C@H]2CNC(=O)c2ncccc2[O-])nn1 ZINC001061343123 747691177 /nfs/dbraw/zinc/69/11/77/747691177.db2.gz FPZDYSWRSKOEEE-LBPRGKRZSA-N -1 1 313.361 1.284 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cnn2ccncc12 ZINC001199796218 747998782 /nfs/dbraw/zinc/99/87/82/747998782.db2.gz DHTDBAVMFIFSSQ-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2ccc(F)nc2)[n-]c1=O ZINC001201747017 748591980 /nfs/dbraw/zinc/59/19/80/748591980.db2.gz DWLGYAJHIVDTTL-UHFFFAOYSA-N -1 1 321.312 1.782 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)NC(=O)c1ccccc1 ZINC001202467603 748793988 /nfs/dbraw/zinc/79/39/88/748793988.db2.gz ZRKGOKKYRJMFRY-UHFFFAOYSA-N -1 1 300.251 1.084 20 0 DDADMM CCC(CC)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995486879 748823007 /nfs/dbraw/zinc/82/30/07/748823007.db2.gz BXTIXYODEXLFHC-NSHDSACASA-N -1 1 309.414 1.273 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)C1=CCCC1 ZINC001004817618 748827798 /nfs/dbraw/zinc/82/77/98/748827798.db2.gz OXQAYJXKVWBMQC-AAEUAGOBSA-N -1 1 319.409 1.480 20 0 DDADMM CCCC[C@H](CNc1nccc(OC)n1)NC(=O)c1cnn[nH]1 ZINC001114328276 749060623 /nfs/dbraw/zinc/06/06/23/749060623.db2.gz ARRJHXFLJHQXIS-SNVBAGLBSA-N -1 1 319.369 1.004 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996153258 749401462 /nfs/dbraw/zinc/40/14/62/749401462.db2.gz HJVMFNVUXZEZCA-MNOVXSKESA-N -1 1 321.425 1.273 20 0 DDADMM CC(C)=C(F)C(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202752272 749639388 /nfs/dbraw/zinc/63/93/88/749639388.db2.gz BIVXTWAMDBAORF-UWVGGRQHSA-N -1 1 323.372 1.291 20 0 DDADMM CC1(C)CC[C@H](C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001035043012 751145049 /nfs/dbraw/zinc/14/50/49/751145049.db2.gz FKGHRVIJOFOFAM-NWDGAFQWSA-N -1 1 321.425 1.417 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035159748 751244149 /nfs/dbraw/zinc/24/41/49/751244149.db2.gz LBIAMBWDJFZCQO-GFCCVEGCSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@@]1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC=CCC1 ZINC000999239412 752693811 /nfs/dbraw/zinc/69/38/11/752693811.db2.gz JPENENMXEDUEHZ-BLLLJJGKSA-N -1 1 319.409 1.337 20 0 DDADMM Cc1oc2ccccc2c1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001128075575 752775460 /nfs/dbraw/zinc/77/54/60/752775460.db2.gz MIFSAEVLORBXQJ-UHFFFAOYSA-N -1 1 315.333 1.085 20 0 DDADMM O=C(CC1CC1)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062149872 752793400 /nfs/dbraw/zinc/79/34/00/752793400.db2.gz OBOVCXOXEHEOHD-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC000999475028 752941402 /nfs/dbraw/zinc/94/14/02/752941402.db2.gz LIVSVDULFMWORK-CMPLNLGQSA-N -1 1 307.398 1.027 20 0 DDADMM CC(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001009763499 753348682 /nfs/dbraw/zinc/34/86/82/753348682.db2.gz CPMWATPOOFGLDN-YNEHKIRRSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001010129079 753629596 /nfs/dbraw/zinc/62/95/96/753629596.db2.gz VJZLDKHTLGGWOY-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM CCn1ccc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001010733776 754130894 /nfs/dbraw/zinc/13/08/94/754130894.db2.gz RFLSINMTZWLJBD-GFCCVEGCSA-N -1 1 315.377 1.008 20 0 DDADMM C[C@@H](CCNC(=O)C1(CF)CCC1)NC(=O)c1ncccc1[O-] ZINC001078528623 754161183 /nfs/dbraw/zinc/16/11/83/754161183.db2.gz YDJSZHQTOJSSDT-NSHDSACASA-N -1 1 323.368 1.552 20 0 DDADMM CC1(C(=O)NCC2(NC(=O)c3ncccc3[O-])CCC2)CCC1 ZINC001063934721 754413240 /nfs/dbraw/zinc/41/32/40/754413240.db2.gz JFPNHZNDBCLMAQ-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC=CCC1 ZINC001000889494 762306778 /nfs/dbraw/zinc/30/67/78/762306778.db2.gz HUEDXDFFPZSWPQ-CYBMUJFWSA-N -1 1 315.373 1.332 20 0 DDADMM O=C(NC[C@H]1CC[C@H](NC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC001015284970 756215770 /nfs/dbraw/zinc/21/57/70/756215770.db2.gz YVIOQSYGAROVII-AAEUAGOBSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(N[C@H]1CC[C@H](CNC(=O)C2CC2)C1)c1ncccc1[O-] ZINC001015411959 756287570 /nfs/dbraw/zinc/28/75/70/756287570.db2.gz UPAWAKGSQFVAOI-JQWIXIFHSA-N -1 1 303.362 1.212 20 0 DDADMM C[C@@H]1CN(C(=O)C[C@H]2C=CCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082587635 756862862 /nfs/dbraw/zinc/86/28/62/756862862.db2.gz SDJLXCYHJOMMEY-FRRDWIJNSA-N -1 1 319.409 1.193 20 0 DDADMM Cc1ccc(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)nc1 ZINC000689407692 757170934 /nfs/dbraw/zinc/17/09/34/757170934.db2.gz PXGDVGKMNFHBTF-UHFFFAOYSA-N -1 1 314.798 1.298 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)C2CC=CC2)C1 ZINC001017085043 757627075 /nfs/dbraw/zinc/62/70/75/757627075.db2.gz SZXNVXLLRGFRQV-LBPRGKRZSA-N -1 1 319.409 1.147 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(c2cnc(F)cn2)C1 ZINC001065244908 758413666 /nfs/dbraw/zinc/41/36/66/758413666.db2.gz GSXNMOOVELIJQX-OAHLLOKOSA-N -1 1 317.324 1.115 20 0 DDADMM CC[C@@H](C)CN1CC2(C1)C[C@@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001053774611 758797310 /nfs/dbraw/zinc/79/73/10/758797310.db2.gz GXDFDXTWSNTBRF-ZYHUDNBSSA-N -1 1 322.409 1.043 20 0 DDADMM COCc1ccccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000824482465 759182396 /nfs/dbraw/zinc/18/23/96/759182396.db2.gz FIFPVAYFBWYIEN-UHFFFAOYSA-N -1 1 301.350 1.366 20 0 DDADMM Cc1csc(CN[C@H]2C[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)n1 ZINC001020077248 760521618 /nfs/dbraw/zinc/52/16/18/760521618.db2.gz AJOIQJTYDUUJLJ-MGCOHNPYSA-N -1 1 321.406 1.239 20 0 DDADMM CC(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001001402086 762828449 /nfs/dbraw/zinc/82/84/49/762828449.db2.gz LXUXSPOKNMOJOY-UTUOFQBUSA-N -1 1 303.362 1.305 20 0 DDADMM Cc1ccc(NC2CCN(C(=O)c3ncccc3[O-])CC2)nn1 ZINC001057314218 763398985 /nfs/dbraw/zinc/39/89/85/763398985.db2.gz LYWZUBDKWFQJPV-UHFFFAOYSA-N -1 1 313.361 1.602 20 0 DDADMM C[C@H](NC(=O)C(F)F)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532004 763718007 /nfs/dbraw/zinc/71/80/07/763718007.db2.gz VWFRAMRAVCWTLQ-DTWKUNHWSA-N -1 1 313.304 1.019 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)cc1 ZINC000809066065 768179932 /nfs/dbraw/zinc/17/99/32/768179932.db2.gz ZUSXQRCDFPVLMZ-CQSZACIVSA-N -1 1 312.435 1.527 20 0 DDADMM C[C@H]1CC[C@H](CN2CC(N(C)C(=O)c3ncccc3[O-])C2)O1 ZINC001042646400 764310159 /nfs/dbraw/zinc/31/01/59/764310159.db2.gz QJJQLQBISUQUTK-WCQYABFASA-N -1 1 305.378 1.111 20 0 DDADMM C[C@H]1CC[C@@H](CN2CC(N(C)C(=O)c3ncccc3[O-])C2)O1 ZINC001042646395 764310237 /nfs/dbraw/zinc/31/02/37/764310237.db2.gz QJJQLQBISUQUTK-AAEUAGOBSA-N -1 1 305.378 1.111 20 0 DDADMM CCCCOCCN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112783930 764756930 /nfs/dbraw/zinc/75/69/30/764756930.db2.gz ISKDCIVGSDZFMA-UHFFFAOYSA-N -1 1 307.394 1.362 20 0 DDADMM CC(C)OCCCN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112783899 764757169 /nfs/dbraw/zinc/75/71/69/764757169.db2.gz HPFOVAQZEDQISO-UHFFFAOYSA-N -1 1 307.394 1.360 20 0 DDADMM O=C(C1CCCCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044904773 765899090 /nfs/dbraw/zinc/89/90/90/765899090.db2.gz UFMNKRZJXWDPAP-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM CSc1ncc(C)c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170212803 766172797 /nfs/dbraw/zinc/17/27/97/766172797.db2.gz BGRYMFRGHUERPW-UHFFFAOYSA-N -1 1 305.323 1.280 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(-n4cccc4)cn3)c2[nH]1 ZINC001170216486 766175528 /nfs/dbraw/zinc/17/55/28/766175528.db2.gz FIZDFOVDCQEYOR-UHFFFAOYSA-N -1 1 309.289 1.645 20 0 DDADMM Cc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc(OC(F)F)n1 ZINC001170218150 766176317 /nfs/dbraw/zinc/17/63/17/766176317.db2.gz GQSOGDFCRVYPRL-UHFFFAOYSA-N -1 1 324.247 1.764 20 0 DDADMM COc1nc(SC)ncc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170233341 766187964 /nfs/dbraw/zinc/18/79/64/766187964.db2.gz OIRSAPDWYRNVNS-UHFFFAOYSA-N -1 1 305.323 1.323 20 0 DDADMM Cc1n[nH]c(C(=O)NC2(C)CCN(C/C=C/Cl)CC2)c1[O-] ZINC001045409913 766289096 /nfs/dbraw/zinc/28/90/96/766289096.db2.gz WSUQIQNGZSTBRT-ZZXKWVIFSA-N -1 1 312.801 1.761 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cccc(F)c3C)nc2n1 ZINC001131778216 768362900 /nfs/dbraw/zinc/36/29/00/768362900.db2.gz IUKDXQVNIIJJCA-UHFFFAOYSA-N -1 1 315.308 1.680 20 0 DDADMM COc1ccccc1OCCCNC(=O)CCCc1nn[n-]n1 ZINC001136697449 772090772 /nfs/dbraw/zinc/09/07/72/772090772.db2.gz RSXWXIZZYTYKHX-UHFFFAOYSA-N -1 1 319.365 1.116 20 0 DDADMM Cc1ncccc1NC(=O)c1ccc(-n2[n-]c(=O)[nH]c2=O)cc1 ZINC001137008490 772257468 /nfs/dbraw/zinc/25/74/68/772257468.db2.gz ABMYNURMMXEWBC-UHFFFAOYSA-N -1 1 311.301 1.222 20 0 DDADMM COCC(=O)N[C@@H]1CCCN(Cc2c(F)cc([O-])cc2F)C1 ZINC001144561695 772565193 /nfs/dbraw/zinc/56/51/93/772565193.db2.gz LZHLUXQVYLYTMR-SNVBAGLBSA-N -1 1 314.332 1.397 20 0 DDADMM [O-]c1cc(F)c(CN2CCN(c3cncnc3)CC2)cc1F ZINC001144659710 772592045 /nfs/dbraw/zinc/59/20/45/772592045.db2.gz PNSJHGOFSLWEBH-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@H](O)c1cccc(Cl)c1 ZINC001144825875 772633188 /nfs/dbraw/zinc/63/31/88/772633188.db2.gz KXDJETUSRDVJEG-SECBINFHSA-N -1 1 319.708 1.384 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC[C@@H](c3ncc[nH]3)C2)c1[O-] ZINC001147838033 773264153 /nfs/dbraw/zinc/26/41/53/773264153.db2.gz ILIIYTQDWNQBND-LLVKDONJSA-N -1 1 316.361 1.331 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC3(C[C@@H]3CCO)CC2)c1[O-] ZINC001147837185 773264575 /nfs/dbraw/zinc/26/45/75/773264575.db2.gz WMJNPWAAGZPYSL-ZDUSSCGKSA-N -1 1 320.389 1.213 20 0 DDADMM CC(C)CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073663535 773278762 /nfs/dbraw/zinc/27/87/62/773278762.db2.gz QGJKNBLSFGIXHZ-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM Cc1nonc1[N-]C(=O)c1ccnc(Br)c1F ZINC001147924677 773285721 /nfs/dbraw/zinc/28/57/21/773285721.db2.gz QWPGABBLINWFNA-UHFFFAOYSA-N -1 1 301.075 1.927 20 0 DDADMM C[C@@H]1C(=O)N([N-]C(=O)c2nccc3ccsc32)C(=O)N1C ZINC001148186562 773387487 /nfs/dbraw/zinc/38/74/87/773387487.db2.gz GKQIKSQSKFEFID-SSDOTTSWSA-N -1 1 304.331 1.224 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3C[C@H](O)[C@@H](C)C3)cc2)[n-]1 ZINC001148329027 773437435 /nfs/dbraw/zinc/43/74/35/773437435.db2.gz GJQRLCMDDYKPON-HZMBPMFUSA-N -1 1 301.346 1.252 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073868485 773484611 /nfs/dbraw/zinc/48/46/11/773484611.db2.gz MFGIYKIRDIXYOH-ZYFYVMIWSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])Nc1ncc(F)cn1 ZINC001099104371 774835101 /nfs/dbraw/zinc/83/51/01/774835101.db2.gz FLUXFPKRLQQBBF-VIFPVBQESA-N -1 1 305.313 1.337 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)c1 ZINC001171451910 776222717 /nfs/dbraw/zinc/22/27/17/776222717.db2.gz MSHPXDXYYIITJL-MRMHNGOSSA-N -1 1 301.342 1.666 20 0 DDADMM COC(=O)[C@@H]1CCC[C@H](Nc2[n-]c(=O)nc3nc[nH]c32)CC1 ZINC001172775493 776887797 /nfs/dbraw/zinc/88/77/97/776887797.db2.gz GYCNMQSIILNWBG-BDAKNGLRSA-N -1 1 305.338 1.592 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(N[C@@H]3CCc4ncsc4C3)c2[nH]1 ZINC001173130209 776991567 /nfs/dbraw/zinc/99/15/67/776991567.db2.gz VRIHLWNAFZHQCB-RXMQYKEDSA-N -1 1 304.335 1.142 20 0 DDADMM CC(C)c1nccc(NCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001101561104 777250532 /nfs/dbraw/zinc/25/05/32/777250532.db2.gz ITSXWHHDBBTYJR-UHFFFAOYSA-N -1 1 315.377 1.885 20 0 DDADMM CCC[C@H](C)CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101801759 777536572 /nfs/dbraw/zinc/53/65/72/777536572.db2.gz MIUJQHZUIMPADT-YNEHKIRRSA-N -1 1 323.441 1.521 20 0 DDADMM COc1cccc(F)c1[N-]S(=O)(=O)c1cc(N)ccc1N ZINC001174995070 777652083 /nfs/dbraw/zinc/65/20/83/777652083.db2.gz JGCHNKGECIKCLP-UHFFFAOYSA-N -1 1 311.338 1.800 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)CCc1cc(F)ccn1 ZINC001175414065 777780555 /nfs/dbraw/zinc/78/05/55/777780555.db2.gz CFUORUCJRFVTIQ-UHFFFAOYSA-N -1 1 307.241 1.391 20 0 DDADMM O=C(COc1cccc2ccccc21)NC1(c2nn[n-]n2)CCC1 ZINC001176716974 778231879 /nfs/dbraw/zinc/23/18/79/778231879.db2.gz OQLZLSLAFLRLSK-UHFFFAOYSA-N -1 1 323.356 1.927 20 0 DDADMM Cc1cc(NC(=O)Cc2nn[n-]n2)cc(C)c1Br ZINC001176836537 778267432 /nfs/dbraw/zinc/26/74/32/778267432.db2.gz SIXNFTNFEDSUFX-UHFFFAOYSA-N -1 1 310.155 1.760 20 0 DDADMM O=C(Cc1nn[n-]n1)N[C@H]1CC[C@@H](OCc2ccccc2)CC1 ZINC001176847465 778300668 /nfs/dbraw/zinc/30/06/68/778300668.db2.gz XMCGQJKDSICNER-OKILXGFUSA-N -1 1 315.377 1.386 20 0 DDADMM COc1ccnc(C2(C(=O)N=c3ncnc4[nH][n-]c(C)c3-4)CC2)c1 ZINC001178037858 778861288 /nfs/dbraw/zinc/86/12/88/778861288.db2.gz GDXAJPUFUDTPPH-UHFFFAOYSA-N -1 1 324.344 1.109 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNc1cncc(Cl)n1 ZINC001103917608 779170157 /nfs/dbraw/zinc/17/01/57/779170157.db2.gz SDQFQBZNAUYKSH-VIFPVBQESA-N -1 1 321.768 1.709 20 0 DDADMM O=C(C=Cc1ccc(F)cc1C(F)(F)F)NCc1nn[n-]n1 ZINC001179803407 779491647 /nfs/dbraw/zinc/49/16/47/779491647.db2.gz XONJOPPWUHLWRY-DUXPYHPUSA-N -1 1 315.230 1.687 20 0 DDADMM Cc1cc(N[C@@H](C)CN(C)C(=O)c2ncccc2[O-])ncn1 ZINC001115719182 780374302 /nfs/dbraw/zinc/37/43/02/780374302.db2.gz JQVLDXSFUYTOMO-NSHDSACASA-N -1 1 301.350 1.458 20 0 DDADMM O=C(NCC1(CF)CCOCC1)c1ccc2n[n-]c(=S)n2c1 ZINC001117826538 780907948 /nfs/dbraw/zinc/90/79/48/780907948.db2.gz TYPSYWNYUXEXJS-UHFFFAOYSA-N -1 1 324.381 1.514 20 0 DDADMM CCCc1ccc(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001267420900 838013167 /nfs/dbraw/zinc/01/31/67/838013167.db2.gz MHSKVFMZEZEOIX-UHFFFAOYSA-N -1 1 317.393 1.325 20 0 DDADMM O=C(CCCF)NCCN(C(=O)c1ncccc1[O-])C1CC1 ZINC001408934788 840515342 /nfs/dbraw/zinc/51/53/42/840515342.db2.gz YJKXAOOTGMINML-UHFFFAOYSA-N -1 1 309.341 1.258 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@](C)(O)CCC(C)C ZINC001414210985 841447224 /nfs/dbraw/zinc/44/72/24/841447224.db2.gz FSNJVVOLDDBJNH-LBPRGKRZSA-N -1 1 322.452 1.617 20 0 DDADMM CCN(C(=O)[C@]12C[C@H]1CCN2C(=O)c1ncccc1[O-])C(C)C ZINC001269346875 841552428 /nfs/dbraw/zinc/55/24/28/841552428.db2.gz MSVWXKQWXYCDCU-PXAZEXFGSA-N -1 1 317.389 1.649 20 0 DDADMM COC(=O)[C@@H]1CC(F)(F)CN1C(=O)c1ccc(F)c([O-])c1 ZINC001363248344 842318250 /nfs/dbraw/zinc/31/82/50/842318250.db2.gz JTSMAPNTUQLOLW-VIFPVBQESA-N -1 1 303.236 1.554 20 0 DDADMM NC(=O)[C@@H]1CCN(C(=O)c2ccc([O-])cn2)[C@H]1c1ccccc1 ZINC001270138931 842325044 /nfs/dbraw/zinc/32/50/44/842325044.db2.gz MTMXSWKYWXIPFD-HIFRSBDPSA-N -1 1 311.341 1.476 20 0 DDADMM CSc1nc(NC(=O)c2cnn3ccccc23)cc(=O)[n-]1 ZINC001149240899 861496938 /nfs/dbraw/zinc/49/69/38/861496938.db2.gz KHFYVADMFFKGTR-UHFFFAOYSA-N -1 1 301.331 1.804 20 0 DDADMM Cc1nc([C@H](C)N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])no1 ZINC001409538658 845272124 /nfs/dbraw/zinc/27/21/24/845272124.db2.gz LSWDQHBMFBSONF-UWVGGRQHSA-N -1 1 319.365 1.290 20 0 DDADMM CN1C(=O)C[C@]2(CCCN(C(=O)c3cccc([O-])c3F)C2)C1=O ZINC001272283244 845996929 /nfs/dbraw/zinc/99/69/29/845996929.db2.gz PYGDLZGLWQFGJH-INIZCTEOSA-N -1 1 320.320 1.142 20 0 DDADMM Cn1ncc(Cl)c1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001149500924 861662928 /nfs/dbraw/zinc/66/29/28/861662928.db2.gz QZPJXPDSYMHROR-SNVBAGLBSA-N -1 1 317.740 1.106 20 0 DDADMM O=C(N[C@H]1COCC[C@@H]1O)c1cc2cccc(O)c2cc1[O-] ZINC001149653795 861785763 /nfs/dbraw/zinc/78/57/63/861785763.db2.gz RCYYLNTUKMNUFX-JSGCOSHPSA-N -1 1 303.314 1.131 20 0 DDADMM COC(=O)CCCCCNC(=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155269577 861918561 /nfs/dbraw/zinc/91/85/61/861918561.db2.gz KYFKOSFWNNSGKD-UHFFFAOYSA-N -1 1 305.334 1.732 20 0 DDADMM CCOC(=O)C[C@@H](C)C[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001364814147 848803530 /nfs/dbraw/zinc/80/35/30/848803530.db2.gz KKDCOCCTKLUZJB-MRVPVSSYSA-N -1 1 322.408 1.019 20 0 DDADMM CC[C@H](C)CC(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001410551763 849476529 /nfs/dbraw/zinc/47/65/29/849476529.db2.gz LGOZXOHTLHESMS-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1onc(OC(F)F)c1[N-]C(=O)c1ccc(C(N)=O)s1 ZINC001411341592 850876300 /nfs/dbraw/zinc/87/63/00/850876300.db2.gz NYNHKSAHDAKCAT-UHFFFAOYSA-N -1 1 317.273 1.997 20 0 DDADMM Cc1cnc(C[NH2+]C/C=C\CNC(=O)c2ccccc2O)cn1 ZINC001273860327 851431882 /nfs/dbraw/zinc/43/18/82/851431882.db2.gz FFEAYZQDBGSTBK-PLNGDYQASA-N -1 1 312.373 1.566 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)C1(C)CCC1 ZINC001411390323 853021066 /nfs/dbraw/zinc/02/10/66/853021066.db2.gz FYPYCJLJEGUAFB-MNOVXSKESA-N -1 1 305.378 1.600 20 0 DDADMM NC(=O)[C@H]1CCC[C@]12CCN(C(=O)c1ccc(F)c([O-])c1)C2 ZINC001275408139 853079569 /nfs/dbraw/zinc/07/95/69/853079569.db2.gz LOSRURYTINPCNA-BDJLRTHQSA-N -1 1 306.337 1.649 20 0 DDADMM CCN(C(=O)[C@H]1CC12CN(C(=O)c1cncc([O-])c1)C2)C(C)C ZINC001275624262 853447479 /nfs/dbraw/zinc/44/74/79/853447479.db2.gz VYUJJDNGFVJLHF-CQSZACIVSA-N -1 1 317.389 1.506 20 0 DDADMM CCO[C@@H]1C[C@@](O)(CNC(=O)c2cccc([O-])c2F)C1(C)C ZINC001412093724 854214568 /nfs/dbraw/zinc/21/45/68/854214568.db2.gz REYAQMCGEDQZIJ-MLGOLLRUSA-N -1 1 311.353 1.827 20 0 DDADMM NC(=O)C[C@@H]1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC001412199584 854325025 /nfs/dbraw/zinc/32/50/25/854325025.db2.gz BSYUOLVTHDXJFP-JTQLQIEISA-N -1 1 314.341 1.589 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCN(c2cccnn2)CC1 ZINC001412489756 854617233 /nfs/dbraw/zinc/61/72/33/854617233.db2.gz ZMCQCRAICRJZOA-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM Cc1sc(Br)nc1C(=O)Nc1c[n-][nH]c1=O ZINC001457818209 854958451 /nfs/dbraw/zinc/95/84/51/854958451.db2.gz ABDGARLPZNXIPR-UHFFFAOYSA-N -1 1 303.141 1.895 20 0 DDADMM CCc1nnc([C@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)[nH]1 ZINC001412772164 855246421 /nfs/dbraw/zinc/24/64/21/855246421.db2.gz XEPJVYSPWIWKEH-ZETCQYMHSA-N -1 1 302.338 1.231 20 0 DDADMM CCC(CC)(NC(=O)c1ccnn1C1CCC1)c1nn[n-]n1 ZINC001412936352 855800783 /nfs/dbraw/zinc/80/07/83/855800783.db2.gz OEPRNRLPPNTUJE-UHFFFAOYSA-N -1 1 303.370 1.567 20 0 DDADMM Cn1cc([C@H]2C[C@@H](NC(=O)c3cccc([O-])c3F)CCO2)cn1 ZINC001413018293 855949304 /nfs/dbraw/zinc/94/93/04/855949304.db2.gz PTZCSKNJTOCEJO-SMDDNHRTSA-N -1 1 319.336 1.915 20 0 DDADMM CC[C@H](NC(=O)c1ccc([O-])cn1)C(=O)NCc1cccs1 ZINC001413179056 856518082 /nfs/dbraw/zinc/51/80/82/856518082.db2.gz FWMHCHSNVLXIQF-LBPRGKRZSA-N -1 1 319.386 1.674 20 0 DDADMM CSc1nc(CNC(=O)CCc2c(C)n[nH]c2C)cc(=O)[n-]1 ZINC001413200379 856547774 /nfs/dbraw/zinc/54/77/74/856547774.db2.gz SYGQLJDKDILSGS-UHFFFAOYSA-N -1 1 321.406 1.493 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)NC2CCCCC2)n[n-]1 ZINC001413403922 856770515 /nfs/dbraw/zinc/77/05/15/856770515.db2.gz JTMYLEXABWGSPH-VIFPVBQESA-N -1 1 309.370 1.674 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)NC2CCCCC2)[n-]1 ZINC001413403922 856770517 /nfs/dbraw/zinc/77/05/17/856770517.db2.gz JTMYLEXABWGSPH-VIFPVBQESA-N -1 1 309.370 1.674 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)NC2CCCCC2)n1 ZINC001413403922 856770523 /nfs/dbraw/zinc/77/05/23/856770523.db2.gz JTMYLEXABWGSPH-VIFPVBQESA-N -1 1 309.370 1.674 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1cncs1)c1sccc1Cl ZINC001413444408 856820237 /nfs/dbraw/zinc/82/02/37/856820237.db2.gz XJGLXIJUYFVKIG-ZETCQYMHSA-N -1 1 324.836 1.870 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)c1ncccc1C ZINC001413472138 856859379 /nfs/dbraw/zinc/85/93/79/856859379.db2.gz KQZOCOCDEGEZQA-VIFPVBQESA-N -1 1 313.404 1.895 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccoc1)c1cnc(C2CC2)[n-]c1=O ZINC001413474903 856860622 /nfs/dbraw/zinc/86/06/22/856860622.db2.gz IYZAVVZGHXUZHT-LLVKDONJSA-N -1 1 317.345 1.234 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cnc([C@H]2CCCO2)s1 ZINC001413506506 856904180 /nfs/dbraw/zinc/90/41/80/856904180.db2.gz GPNHAWAYHQMTSY-MRVPVSSYSA-N -1 1 320.378 1.317 20 0 DDADMM Cc1cc2c(c(N3CCOC[C@H]3c3cccnc3)n1)C(=O)[N-]C2=O ZINC001156245205 862858586 /nfs/dbraw/zinc/85/85/86/862858586.db2.gz MTMNVSSONQZWPO-ZDUSSCGKSA-N -1 1 324.340 1.247 20 0 DDADMM C[C@@]1([C@@H]2CCCN(C(=O)c3ccc([O-])cn3)C2)COC(=O)N1 ZINC001413600995 857217651 /nfs/dbraw/zinc/21/76/51/857217651.db2.gz SSQOIGDNBACXLA-BMIGLBTASA-N -1 1 305.334 1.138 20 0 DDADMM CSc1cccnc1NC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001413601122 857218726 /nfs/dbraw/zinc/21/87/26/857218726.db2.gz GEKRZVGUYWGMLH-UHFFFAOYSA-N -1 1 319.394 1.728 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC(=O)NC[C@@H]1c1ccccc1 ZINC001413633893 857265745 /nfs/dbraw/zinc/26/57/45/857265745.db2.gz YOZDFXGFSYJFNV-CQSZACIVSA-N -1 1 314.316 1.845 20 0 DDADMM CC[C@H](F)C(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072969428 857947697 /nfs/dbraw/zinc/94/76/97/857947697.db2.gz YPNQOFQGEOPKFQ-NSHDSACASA-N -1 1 323.368 1.646 20 0 DDADMM O=C(NCC1(OCCO)CCCCC1)c1cccc([O-])c1F ZINC001413736896 858222845 /nfs/dbraw/zinc/22/28/45/858222845.db2.gz DKWPYQYZJCRNEM-UHFFFAOYSA-N -1 1 311.353 1.973 20 0 DDADMM O=C([O-])[C@]1(C(=O)N2CCCC3(CCCCC3)C2)CNCCO1 ZINC001121954407 858643829 /nfs/dbraw/zinc/64/38/29/858643829.db2.gz BCXZVSGNFJHHTC-MRXNPFEDSA-N -1 1 310.394 1.003 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2C[C@@H](C1)[C@H]2OCC[P@](=O)([O-])O ZINC001224608071 881467780 /nfs/dbraw/zinc/46/77/80/881467780.db2.gz YOVYWVXAXQKNNA-URLYPYJESA-N -1 1 321.310 1.436 20 0 DDADMM O=C([O-])Cn1cc(CN2CCNC(=O)CC2)c2ccccc21 ZINC001138187679 860037022 /nfs/dbraw/zinc/03/70/22/860037022.db2.gz WAIIMLUBSCRYRA-UHFFFAOYSA-N -1 1 301.346 1.048 20 0 DDADMM Cc1[nH]c(CN2CCC[C@@]3(CCCC(=O)N3)C2)c(C)c1C(=O)[O-] ZINC001140494549 860645301 /nfs/dbraw/zinc/64/53/01/860645301.db2.gz GIINRLKZTKDKHL-KRWDZBQOSA-N -1 1 319.405 1.964 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc2[nH][nH]c(=O)c2c1 ZINC001154205598 860866982 /nfs/dbraw/zinc/86/69/82/860866982.db2.gz ATLUWKSMAQXVRN-UHFFFAOYSA-N -1 1 316.233 1.120 20 0 DDADMM COc1nc(Cl)ncc1NC(=O)c1ccc2[nH][n-]c(=O)c2c1 ZINC001154206032 860867020 /nfs/dbraw/zinc/86/70/20/860867020.db2.gz GFJYWJPJFORWOI-UHFFFAOYSA-N -1 1 319.708 1.973 20 0 DDADMM O=C(Nc1ncc([O-])cc1Br)C(=O)c1ccco1 ZINC001142279392 861102580 /nfs/dbraw/zinc/10/25/80/861102580.db2.gz XECKSMRLLTZIJD-UHFFFAOYSA-N -1 1 311.091 1.964 20 0 DDADMM COCCOc1ncccc1CNC(=O)c1cccc([O-])c1F ZINC001142366278 861136200 /nfs/dbraw/zinc/13/62/00/861136200.db2.gz FFBHYTAFGMIWPW-UHFFFAOYSA-N -1 1 320.320 1.882 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1nnc2cc[nH]cc1-2 ZINC001152095239 863157503 /nfs/dbraw/zinc/15/75/03/863157503.db2.gz VIZHHDQHFYPCIB-UHFFFAOYSA-N -1 1 301.262 1.293 20 0 DDADMM CCOC(=O)N1CCC[C@@H](OCCCC[P@](=O)([O-])O)C1 ZINC001225021131 881642884 /nfs/dbraw/zinc/64/28/84/881642884.db2.gz DMTXZMSYZONWKN-LLVKDONJSA-N -1 1 309.299 1.582 20 0 DDADMM Cc1cccc2oc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)cc21 ZINC001152904651 863595456 /nfs/dbraw/zinc/59/54/56/863595456.db2.gz BMNUXZNUCHPGEE-UHFFFAOYSA-N -1 1 315.333 1.085 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1nncc2ccccc21 ZINC001153811006 864124084 /nfs/dbraw/zinc/12/40/84/864124084.db2.gz HIIVBYLSHKONGX-UHFFFAOYSA-N -1 1 311.349 1.441 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2c[n-]c3cccnc3c2=O)CCO1 ZINC001153861400 864172255 /nfs/dbraw/zinc/17/22/55/864172255.db2.gz AXIBOMCLPBXMER-SNVBAGLBSA-N -1 1 301.346 1.611 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2nnn(C)c2C)c(=O)[n-]1 ZINC001361501737 881777291 /nfs/dbraw/zinc/77/72/91/881777291.db2.gz GPADXWZGHVWXAP-UHFFFAOYSA-N -1 1 322.394 1.221 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncnc4sccc43)c2[nH]1 ZINC001159184551 865151982 /nfs/dbraw/zinc/15/19/82/865151982.db2.gz DNDJLSCRQDMWFH-UHFFFAOYSA-N -1 1 301.291 1.464 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncnc4cc(F)ccc43)c2[nH]1 ZINC001159186729 865153953 /nfs/dbraw/zinc/15/39/53/865153953.db2.gz YWUIQFPWSLDKCX-UHFFFAOYSA-N -1 1 313.252 1.542 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncnc4ccc(F)cc43)c2[nH]1 ZINC001159186360 865154305 /nfs/dbraw/zinc/15/43/05/865154305.db2.gz UEPTYACLPDPCTJ-UHFFFAOYSA-N -1 1 313.252 1.542 20 0 DDADMM CCc1ncnc(Cl)c1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001159185484 865154365 /nfs/dbraw/zinc/15/43/65/865154365.db2.gz JPPNYTZKDBFINF-UHFFFAOYSA-N -1 1 307.701 1.465 20 0 DDADMM COc1cc(Cl)ncc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001159185995 865154833 /nfs/dbraw/zinc/15/48/33/865154833.db2.gz MSVZURGURYFMKO-UHFFFAOYSA-N -1 1 308.685 1.516 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nc(Cl)cc(C4CC4)n3)c2[nH]1 ZINC001159190075 865156320 /nfs/dbraw/zinc/15/63/20/865156320.db2.gz RWJYYDZMHCCSOT-UHFFFAOYSA-N -1 1 319.712 1.780 20 0 DDADMM O=c1nc2nc[nH]c2c(N[C@H](F)Oc2cccc(Cl)n2)[n-]1 ZINC001159197915 865159773 /nfs/dbraw/zinc/15/97/73/865159773.db2.gz FAACTOAZVNRUSX-JTQLQIEISA-N -1 1 310.676 1.851 20 0 DDADMM COc1ccc2cnc(Nc3c(O)[nH]c(=O)[n-]c3=S)cc2c1 ZINC001160853484 866151569 /nfs/dbraw/zinc/15/15/69/866151569.db2.gz ODDBKPXFZWSSMF-LLVKDONJSA-N -1 1 316.342 1.191 20 0 DDADMM O=C(c1cc(Cl)nc(Cl)c1[O-])N1CCNC2(CC2)C1 ZINC001161552797 866761550 /nfs/dbraw/zinc/76/15/50/866761550.db2.gz OSWYYPGTGCZNGQ-UHFFFAOYSA-N -1 1 302.161 1.672 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@]1(F)CCOC1 ZINC001323724859 866797897 /nfs/dbraw/zinc/79/78/97/866797897.db2.gz SMVHKTXKFHVLBO-JTQLQIEISA-N -1 1 305.103 1.617 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(Cn3cccc3)cc2)nc1=O ZINC001323966647 866982657 /nfs/dbraw/zinc/98/26/57/866982657.db2.gz FWOPRRHIUGSYEW-UHFFFAOYSA-N -1 1 312.329 1.315 20 0 DDADMM Cc1cc(C)n(C[C@H](NCc2cccnc2Cl)C(=O)[O-])n1 ZINC001324575885 867369038 /nfs/dbraw/zinc/36/90/38/867369038.db2.gz PLEJKJAYXCHLPN-LBPRGKRZSA-N -1 1 308.769 1.791 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)/C=C/C(C)(C)C ZINC001334234344 867408555 /nfs/dbraw/zinc/40/85/55/867408555.db2.gz HWYRNSNXSYXGDY-BCPZQOPPSA-N -1 1 319.405 1.966 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)Nc1ccnn1C ZINC001321414291 867573839 /nfs/dbraw/zinc/57/38/39/867573839.db2.gz NJEVVWVVNICBQH-UHFFFAOYSA-N -1 1 324.344 1.467 20 0 DDADMM CCOC(=O)c1c(CN)ncn1-c1ccccc1/C=C/C(=O)[O-] ZINC001162537692 867619225 /nfs/dbraw/zinc/61/92/25/867619225.db2.gz ZGULQKYPYIKEEM-BQYQJAHWSA-N -1 1 315.329 1.606 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCNC(=O)C[C@H]1c1ccccc1 ZINC001361663900 882111043 /nfs/dbraw/zinc/11/10/43/882111043.db2.gz UUMCPLZNSUIEGQ-HNNXBMFYSA-N -1 1 311.341 1.491 20 0 DDADMM CCOC(=O)c1nc(NC(=O)CC2OCCCO2)[n-]c1Cl ZINC001361671199 882128811 /nfs/dbraw/zinc/12/88/11/882128811.db2.gz MOGILUNQEMMCHI-UHFFFAOYSA-N -1 1 317.729 1.331 20 0 DDADMM CSc1nc(CNC(=O)c2cncc(C=O)c2)cc(=O)[n-]1 ZINC001163578228 868544214 /nfs/dbraw/zinc/54/42/14/868544214.db2.gz PBEAHGKLQUDUST-UHFFFAOYSA-N -1 1 304.331 1.042 20 0 DDADMM CCOC(=O)c1nc2ccc(N[C@@H]3SC(=O)[N-]C3=O)cc2[nH]1 ZINC001163674441 868617489 /nfs/dbraw/zinc/61/74/89/868617489.db2.gz NRPALAOAVXACMT-LLVKDONJSA-N -1 1 320.330 1.461 20 0 DDADMM C[C@H](CCCNC(=O)[C@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001336013811 868680112 /nfs/dbraw/zinc/68/01/12/868680112.db2.gz SGXVSHSWXRQLAY-VXGBXAGGSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@H]1C(=O)Nc2cc(NCC[N-]C(=O)C(F)(F)F)ccc21 ZINC001164244000 869050023 /nfs/dbraw/zinc/05/00/23/869050023.db2.gz PMQKMCIITYJDLA-SSDOTTSWSA-N -1 1 301.268 1.833 20 0 DDADMM O=c1cc(OC2CCS(=O)(=O)CC2)nc(-c2ccccc2)[n-]1 ZINC001226183332 882258361 /nfs/dbraw/zinc/25/83/61/882258361.db2.gz TVVZMIUGADXBFB-UHFFFAOYSA-N -1 1 320.370 1.805 20 0 DDADMM C[C@@H](O)C[C@H](C)[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001337594315 869558458 /nfs/dbraw/zinc/55/84/58/869558458.db2.gz GUQIMTDFHHTSBT-NKWVEPMBSA-N -1 1 313.206 1.826 20 0 DDADMM Cc1cc2c(c(N3CC[C@@H](c4ccncc4)C3)n1)C(=O)[N-]C2=O ZINC001166567479 870066759 /nfs/dbraw/zinc/06/67/59/870066759.db2.gz MEVWWHHCGCXAEL-GFCCVEGCSA-N -1 1 308.341 1.663 20 0 DDADMM CCC(CC)(NC(=O)c1nncc2ccccc21)c1nn[n-]n1 ZINC001297486949 870072256 /nfs/dbraw/zinc/07/22/56/870072256.db2.gz BLQPWCNPSRMYJV-UHFFFAOYSA-N -1 1 311.349 1.588 20 0 DDADMM CN1C[C@@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)CC1=O ZINC001361795830 882388560 /nfs/dbraw/zinc/38/85/60/882388560.db2.gz NHIYFWKQRJGSKS-QMMMGPOBSA-N -1 1 302.252 1.372 20 0 DDADMM COCCCOC1CCN(Cc2cccc(C(=O)[O-])n2)CC1 ZINC001339169396 870383890 /nfs/dbraw/zinc/38/38/90/870383890.db2.gz YRMFIATWXJTGCO-UHFFFAOYSA-N -1 1 308.378 1.797 20 0 DDADMM COC(=O)c1ccc(OCCOCc2nn[n-]n2)c(Cl)c1 ZINC001339182873 870392576 /nfs/dbraw/zinc/39/25/76/870392576.db2.gz JPMHRNSYYIIJDK-UHFFFAOYSA-N -1 1 312.713 1.235 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC[C@@H](c2ccccc2C)C1 ZINC001339260315 870425821 /nfs/dbraw/zinc/42/58/21/870425821.db2.gz LMWRZAVRNILPEN-GFCCVEGCSA-N -1 1 324.392 1.780 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC[C@@H](c2ccccc2C)C1 ZINC001339260315 870425833 /nfs/dbraw/zinc/42/58/33/870425833.db2.gz LMWRZAVRNILPEN-GFCCVEGCSA-N -1 1 324.392 1.780 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@H](Cc2ccccc2)C1 ZINC001339543295 870548539 /nfs/dbraw/zinc/54/85/39/870548539.db2.gz IZXKKRSYSVBHMK-CYBMUJFWSA-N -1 1 324.392 1.454 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@H](Cc2ccccc2)C1 ZINC001339543295 870548551 /nfs/dbraw/zinc/54/85/51/870548551.db2.gz IZXKKRSYSVBHMK-CYBMUJFWSA-N -1 1 324.392 1.454 20 0 DDADMM Cc1cc(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cn2ccnc12 ZINC001298595629 870639427 /nfs/dbraw/zinc/63/94/27/870639427.db2.gz SMFRAXIQBCAIAA-UHFFFAOYSA-N -1 1 315.358 1.988 20 0 DDADMM O=C(CCc1cccc(O)c1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001301654116 871014754 /nfs/dbraw/zinc/01/47/54/871014754.db2.gz IDIDTTWLWUBYIU-INIZCTEOSA-N -1 1 323.356 1.744 20 0 DDADMM Cc1cc(Cl)cc(C(=O)NC2(c3nn[n-]n3)CCC2)c1N ZINC001303221805 871111025 /nfs/dbraw/zinc/11/10/25/871111025.db2.gz KFSHKDKBYYCAPD-UHFFFAOYSA-N -1 1 306.757 1.553 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1Cc2ccccc2[C@H]1O ZINC001304988107 871257195 /nfs/dbraw/zinc/25/71/95/871257195.db2.gz BXSHWUDSTVGFPZ-ZYHUDNBSSA-N -1 1 317.370 1.167 20 0 DDADMM COc1ccc2c([O-])c(C(=O)N=c3cc[nH]cc3O)cnc2c1 ZINC001306522291 871348901 /nfs/dbraw/zinc/34/89/01/871348901.db2.gz MAGWJDCRYUCFTJ-UHFFFAOYSA-N -1 1 311.297 1.312 20 0 DDADMM CN(C[C@@H]1COc2ccccc2O1)C(=O)c1ccc([O-])cn1 ZINC001361852631 882501388 /nfs/dbraw/zinc/50/13/88/882501388.db2.gz CWXYUXYYKVBDID-GFCCVEGCSA-N -1 1 300.314 1.699 20 0 DDADMM CCSCC[C@@H](C)N(C)c1nnc(Cc2nnn[n-]2)n1CC ZINC001340950166 871438827 /nfs/dbraw/zinc/43/88/27/871438827.db2.gz MQZACJOCYCWRIY-SNVBAGLBSA-N -1 1 324.458 1.370 20 0 DDADMM CCSCC[C@@H](C)N(C)c1nnc(Cc2nn[n-]n2)n1CC ZINC001340950166 871438832 /nfs/dbraw/zinc/43/88/32/871438832.db2.gz MQZACJOCYCWRIY-SNVBAGLBSA-N -1 1 324.458 1.370 20 0 DDADMM CCCN(Cc1ccc([O-])c(F)c1F)[C@H]1CC(=O)N(C)C1=O ZINC001308715282 871522607 /nfs/dbraw/zinc/52/26/07/871522607.db2.gz WWHJTNMHQZMJQA-JTQLQIEISA-N -1 1 312.316 1.640 20 0 DDADMM CCOC(=O)c1n[nH]c([C@H](C)NCc2cccc(F)c2N)n1 ZINC001308840191 871530875 /nfs/dbraw/zinc/53/08/75/871530875.db2.gz IKLBYQWONONANU-QMMMGPOBSA-N -1 1 307.329 1.554 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)c1ccns1 ZINC001309737784 871593881 /nfs/dbraw/zinc/59/38/81/871593881.db2.gz DPMWILBWYBBILF-ZCFIWIBFSA-N -1 1 305.331 1.856 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccc(S(=O)(=O)C(F)F)cc1 ZINC001413843059 871756545 /nfs/dbraw/zinc/75/65/45/871756545.db2.gz GEGXSPDQEPNWFX-UHFFFAOYSA-N -1 1 317.273 1.364 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccccc1N1CCCC1=O ZINC001361876666 882554018 /nfs/dbraw/zinc/55/40/18/882554018.db2.gz IALKJZPJDRUMDY-UHFFFAOYSA-N -1 1 314.349 1.288 20 0 DDADMM COC(=O)[C@@H](C)CNC(=O)c1cc(Br)ccc1[O-] ZINC001361881926 882567701 /nfs/dbraw/zinc/56/77/01/882567701.db2.gz DRZRDCRVTQQLOQ-ZETCQYMHSA-N -1 1 316.151 1.694 20 0 DDADMM CCc1nocc1CN(C)CCCNC(=O)c1ncccc1O ZINC001316817860 871942383 /nfs/dbraw/zinc/94/23/83/871942383.db2.gz RAOZCJZCXVIFFY-UHFFFAOYSA-N -1 1 318.377 1.590 20 0 DDADMM COC1CCC(Oc2cc(=O)[n-]c(N3CCOCC3)n2)CC1 ZINC001226721113 882610970 /nfs/dbraw/zinc/61/09/70/882610970.db2.gz CJPBANUFOLEACX-UHFFFAOYSA-N -1 1 309.366 1.355 20 0 DDADMM Cc1cc(Cl)c(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1C ZINC001344425678 873000485 /nfs/dbraw/zinc/00/04/85/873000485.db2.gz OOAUSQWPTDIDID-UHFFFAOYSA-N -1 1 321.764 1.836 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nnc(-c3cccc(C)n3)o2)o1 ZINC001346024558 873592425 /nfs/dbraw/zinc/59/24/25/873592425.db2.gz UPGOZYYGYWLRSF-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM CCC(=O)N(C)C[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001381842545 882779413 /nfs/dbraw/zinc/77/94/13/882779413.db2.gz FHJAWWZDAZSFQY-VIFPVBQESA-N -1 1 306.366 1.046 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1C[C@@H](C)n2ccnc21 ZINC001347026237 873981068 /nfs/dbraw/zinc/98/10/68/873981068.db2.gz SPVULVRAGKYADO-SVRRBLITSA-N -1 1 305.363 1.412 20 0 DDADMM O=C(CCc1ccc2ccccc2n1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347198055 874050321 /nfs/dbraw/zinc/05/03/21/874050321.db2.gz NVEDTWYMAOBQLN-ZDUSSCGKSA-N -1 1 322.372 1.697 20 0 DDADMM Cc1ccc(OCCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cc1 ZINC001347250458 874071240 /nfs/dbraw/zinc/07/12/40/874071240.db2.gz MRCBRHSHOTVPHB-UHFFFAOYSA-N -1 1 319.386 1.897 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCCc2c(cnn2C)C1 ZINC001347684837 874243807 /nfs/dbraw/zinc/24/38/07/874243807.db2.gz JNEGBQTUTUCFRY-UHFFFAOYSA-N -1 1 319.390 1.101 20 0 DDADMM O=C(Cc1cccc2ccccc21)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347973915 874325451 /nfs/dbraw/zinc/32/54/51/874325451.db2.gz HAPDXUGDRBDINO-AWEZNQCLSA-N -1 1 307.357 1.912 20 0 DDADMM COc1ccc(OCCN(C)C(=O)c2ccc([O-])cn2)cc1 ZINC001362021892 882846613 /nfs/dbraw/zinc/84/66/13/882846613.db2.gz ZHFFKOVMKQHQOX-UHFFFAOYSA-N -1 1 302.330 1.947 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1cccc(F)c1F ZINC001209152003 874694635 /nfs/dbraw/zinc/69/46/35/874694635.db2.gz LTZPAOZNWJTAOM-UHFFFAOYSA-N -1 1 318.257 1.242 20 0 DDADMM Cc1sccc1CC(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348838260 874818108 /nfs/dbraw/zinc/81/81/08/874818108.db2.gz BQXIJJHZFZCNQZ-UHFFFAOYSA-N -1 1 319.386 1.246 20 0 DDADMM CC[C@H]1CCCC[C@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348838485 874820751 /nfs/dbraw/zinc/82/07/51/874820751.db2.gz GKKSFFLLJXIMLE-QWHCGFSZSA-N -1 1 319.405 1.850 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(c2ccon2)CC1 ZINC001348881489 874850662 /nfs/dbraw/zinc/85/06/62/874850662.db2.gz XSKVFUKRQBUSAW-UHFFFAOYSA-N -1 1 320.374 1.787 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCCNc1ccccn1 ZINC001348882568 874852643 /nfs/dbraw/zinc/85/26/43/874852643.db2.gz ZZGKTFCAJXVEDU-UHFFFAOYSA-N -1 1 319.390 1.406 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H]1Oc1[n-]c(=O)ncc1Br ZINC001227137458 882869793 /nfs/dbraw/zinc/86/97/93/882869793.db2.gz JGHUNUKKSIDCPU-SVRRBLITSA-N -1 1 317.139 1.665 20 0 DDADMM CO[C@@H](C)CO[C@@H](C)COc1[n-]c(=O)ncc1Br ZINC001227140717 882871749 /nfs/dbraw/zinc/87/17/49/882871749.db2.gz ZKRIZYWKOXJGHI-YUMQZZPRSA-N -1 1 321.171 1.764 20 0 DDADMM O=C(c1cccc2cc(O)ccc21)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001349003128 874920866 /nfs/dbraw/zinc/92/08/66/874920866.db2.gz SJRSQSSMMAFQIR-LLVKDONJSA-N -1 1 309.329 1.688 20 0 DDADMM C[C@H]1CC[C@@H](CC(=O)NCCCNC(=O)c2ncccc2[O-])C1 ZINC001349940744 875467106 /nfs/dbraw/zinc/46/71/06/875467106.db2.gz UPCQIVWYSRBUBX-QWHCGFSZSA-N -1 1 319.405 1.850 20 0 DDADMM CC[C@@H](CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001210750543 875511982 /nfs/dbraw/zinc/51/19/82/875511982.db2.gz FISWUOYCXOZCCK-STQMWFEESA-N -1 1 323.441 1.663 20 0 DDADMM CCN(Cc1ccccc1F)c1nnc(-c2nnn[n-]2)n1C ZINC001350431467 875686058 /nfs/dbraw/zinc/68/60/58/875686058.db2.gz SETCUTCASNTNFP-UHFFFAOYSA-N -1 1 302.317 1.161 20 0 DDADMM CCN(Cc1ccccc1F)c1nnc(-c2nn[n-]n2)n1C ZINC001350431467 875686061 /nfs/dbraw/zinc/68/60/61/875686061.db2.gz SETCUTCASNTNFP-UHFFFAOYSA-N -1 1 302.317 1.161 20 0 DDADMM O=C(NCCS(=O)(=O)c1ccccc1)c1cccc([O-])c1F ZINC001362078562 882979127 /nfs/dbraw/zinc/97/91/27/882979127.db2.gz VWRYKDHIMTWWRU-UHFFFAOYSA-N -1 1 323.345 1.735 20 0 DDADMM CC(C)N(Cc1ccco1)c1nnc(-c2c[n-][nH]c2=O)n1C ZINC001350907724 875954108 /nfs/dbraw/zinc/95/41/08/875954108.db2.gz IZRJWCPXPJPUDA-UHFFFAOYSA-N -1 1 302.338 1.919 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C/C(C)(C)C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001214358810 876297031 /nfs/dbraw/zinc/29/70/31/876297031.db2.gz NGODQGYWJAVHTJ-XIJCSBCJSA-N -1 1 307.398 1.049 20 0 DDADMM O=C([N-]C1(CNC(=O)c2c(F)cc(F)cc2F)CC1)C(F)F ZINC001379071717 876309878 /nfs/dbraw/zinc/30/98/78/876309878.db2.gz AICWHGUUSVENLQ-UHFFFAOYSA-N -1 1 322.233 1.748 20 0 DDADMM CC(C)(C)C(=O)OC1CC(Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)C1 ZINC001227431365 883016111 /nfs/dbraw/zinc/01/61/11/883016111.db2.gz VGOGEHVOMHCNPT-UHFFFAOYSA-N -1 1 322.321 1.263 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCC[C@H]1CCCCO1 ZINC001351764903 876423650 /nfs/dbraw/zinc/42/36/50/876423650.db2.gz YNNDLCVESNHZNE-SNVBAGLBSA-N -1 1 311.407 1.858 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccc(CO)c(F)c1F ZINC001215028134 876545102 /nfs/dbraw/zinc/54/51/02/876545102.db2.gz DAMDPFKREIMSOD-UHFFFAOYSA-N -1 1 300.290 1.567 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CCC(C)(C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001382126860 883472590 /nfs/dbraw/zinc/47/25/90/883472590.db2.gz QNACBWDRBXEONH-WDEREUQCSA-N -1 1 309.414 1.273 20 0 DDADMM CC(C)(C)C(=O)Nc1ccc(C(=O)Nc2c[n-][nH]c2=O)cn1 ZINC001413849048 876886463 /nfs/dbraw/zinc/88/64/63/876886463.db2.gz VRQSMWRSMLXPRU-UHFFFAOYSA-N -1 1 303.322 1.747 20 0 DDADMM O=c1nc(O[C@@H]2Cc3ccc(Br)cc3C2)[n-]c(=O)[nH]1 ZINC001227569191 883084465 /nfs/dbraw/zinc/08/44/65/883084465.db2.gz VCLGMJWJKAKPMR-SECBINFHSA-N -1 1 324.134 1.592 20 0 DDADMM CCCCCCC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216660324 877010637 /nfs/dbraw/zinc/01/06/37/877010637.db2.gz UWIAILWSVTYFOV-VXGBXAGGSA-N -1 1 309.414 1.417 20 0 DDADMM CCC1(C(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001379461365 877133651 /nfs/dbraw/zinc/13/36/51/877133651.db2.gz BXWWTRMBNRBBMD-LLVKDONJSA-N -1 1 309.414 1.465 20 0 DDADMM CCCCOc1cccc(NC(=O)[C@]2(C(=O)[O-])CNCCO2)c1 ZINC001353211959 877190366 /nfs/dbraw/zinc/19/03/66/877190366.db2.gz MTLGNUPBGREJQC-INIZCTEOSA-N -1 1 322.361 1.247 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCc3ccccc3N2)n1 ZINC001218528249 877541492 /nfs/dbraw/zinc/54/14/92/877541492.db2.gz RADMIYMLIJDYRZ-LBPRGKRZSA-N -1 1 314.345 1.952 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CCc2cc(F)ccc2C1 ZINC001355572818 878625946 /nfs/dbraw/zinc/62/59/46/878625946.db2.gz VQYZSMODYNVSLE-UHFFFAOYSA-N -1 1 314.324 1.613 20 0 DDADMM CCn1ccc(CNC2(CNC(=O)c3ncccc3[O-])CC2)n1 ZINC001380067732 878705669 /nfs/dbraw/zinc/70/56/69/878705669.db2.gz DCMLGOKNLKVCAV-UHFFFAOYSA-N -1 1 315.377 1.056 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)CCCF ZINC001380222766 879088585 /nfs/dbraw/zinc/08/85/85/879088585.db2.gz ZOSXTYSPVKVJBH-GFCCVEGCSA-N -1 1 323.368 1.600 20 0 DDADMM C[C@H](CN(C)C(=O)CCC1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001395654791 912475351 /nfs/dbraw/zinc/47/53/51/912475351.db2.gz FMOIITGNIWHTHI-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H](Cc1ccsc1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001362135332 883126239 /nfs/dbraw/zinc/12/62/39/883126239.db2.gz RDQDWEPNSQSCGT-SNVBAGLBSA-N -1 1 319.386 1.326 20 0 DDADMM C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1cccc([O-])c1F ZINC001362149756 883157311 /nfs/dbraw/zinc/15/73/11/883157311.db2.gz HTFVTKIUZICAQD-IUCAKERBSA-N -1 1 303.355 1.425 20 0 DDADMM Cc1cc(O)ccc1CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362205937 883296166 /nfs/dbraw/zinc/29/61/66/883296166.db2.gz GQDUVCFEWCJITI-GFCCVEGCSA-N -1 1 301.350 1.162 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H](NC(=O)c2cnc(SC)[n-]c2=O)C1(C)C ZINC001362220052 883331326 /nfs/dbraw/zinc/33/13/26/883331326.db2.gz JHBWXUMPWRETNC-FKTZTGRPSA-N -1 1 311.407 1.693 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCOC[C@H]1C[C@@H](O)c1ccco1 ZINC001362227854 883351827 /nfs/dbraw/zinc/35/18/27/883351827.db2.gz BRVQOTNXGIVITA-BXUZGUMPSA-N -1 1 318.329 1.345 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H](C)c2cc(OC)ccc2OC)n1 ZINC001228311615 883441623 /nfs/dbraw/zinc/44/16/23/883441623.db2.gz LRXBPHSTIPDNAX-MRVPVSSYSA-N -1 1 307.306 1.749 20 0 DDADMM COC(=O)c1nc(O[C@H](C)c2cc(OC)ccc2OC)n[n-]1 ZINC001228311615 883441634 /nfs/dbraw/zinc/44/16/34/883441634.db2.gz LRXBPHSTIPDNAX-MRVPVSSYSA-N -1 1 307.306 1.749 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC=Cc1ccccc1 ZINC001362272540 883454306 /nfs/dbraw/zinc/45/43/06/883454306.db2.gz JFAMIDQCCVILKD-VMPITWQZSA-N -1 1 301.302 1.340 20 0 DDADMM Cc1nn(C(C)(C)C)cc1[C@H](C)NC(=O)CCc1nn[n-]n1 ZINC001362297661 883508318 /nfs/dbraw/zinc/50/83/18/883508318.db2.gz GMZPNNCKWDMEQA-VIFPVBQESA-N -1 1 305.386 1.270 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1OC1=C(C)[C@H](C)OC1=O ZINC001228550968 883546740 /nfs/dbraw/zinc/54/67/40/883546740.db2.gz ADWGYIRKIQJFEN-ZETCQYMHSA-N -1 1 310.331 1.540 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H](Oc3cnnc(=S)[n-]3)[C@H]2C1 ZINC001228641574 883588727 /nfs/dbraw/zinc/58/87/27/883588727.db2.gz GEMKXTRNPQXVKU-LPEHRKFASA-N -1 1 324.406 1.795 20 0 DDADMM O=C(c1ccc([O-])cn1)N1C[C@@H]2CN(c3ccccc3)C[C@H]2C1 ZINC001362486725 883918104 /nfs/dbraw/zinc/91/81/04/883918104.db2.gz LKECWCXVFRMUBE-KBPBESRZSA-N -1 1 309.369 1.996 20 0 DDADMM COC[C@H](NC(=O)c1cnncc1[O-])c1ccc(F)c(F)c1 ZINC001362578271 884137513 /nfs/dbraw/zinc/13/75/13/884137513.db2.gz DYMGCUDADLWAKC-LBPRGKRZSA-N -1 1 309.272 1.578 20 0 DDADMM CCC1(CO)CCN(C(=O)c2cnc(SC)[n-]c2=O)CC1 ZINC001362604977 884199758 /nfs/dbraw/zinc/19/97/58/884199758.db2.gz SYLGOYOOVMVQSN-UHFFFAOYSA-N -1 1 311.407 1.529 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@H](Oc2nc(=O)[n-]cc2C(=O)OC)C1 ZINC001229871164 884200263 /nfs/dbraw/zinc/20/02/63/884200263.db2.gz RQXXXTLZESPNOH-ZJUUUORDSA-N -1 1 324.333 1.470 20 0 DDADMM O=C(N[C@@H]1CCC[C@H](F)C1)c1nc[n-]c(=O)c1Br ZINC001362608640 884212513 /nfs/dbraw/zinc/21/25/13/884212513.db2.gz ZKHJUIKYJMALOS-NKWVEPMBSA-N -1 1 318.146 1.955 20 0 DDADMM CC(C)CN1C[C@@H]2CN(C(=O)c3ccc([O-])cn3)CCN2C1=O ZINC001362621633 884244569 /nfs/dbraw/zinc/24/45/69/884244569.db2.gz QOXKLZHZWSBKBP-LBPRGKRZSA-N -1 1 318.377 1.005 20 0 DDADMM C[C@H]1OCC[C@]12CN(C(=O)c1cccc([O-])c1F)C[C@@H](C)O2 ZINC001362624849 884255028 /nfs/dbraw/zinc/25/50/28/884255028.db2.gz ZOGOAAJWSFUAON-UVWXRNBGSA-N -1 1 309.337 1.940 20 0 DDADMM CSc1cccnc1[N-]C(=O)c1ccc(S(C)(=O)=O)nc1 ZINC001362642143 884301685 /nfs/dbraw/zinc/30/16/85/884301685.db2.gz JCPBMFJUHGCETO-UHFFFAOYSA-N -1 1 323.399 1.854 20 0 DDADMM Cc1csc(CCCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC001362661141 884349168 /nfs/dbraw/zinc/34/91/68/884349168.db2.gz FADMBRHXTXUGQL-LLVKDONJSA-N -1 1 320.422 1.694 20 0 DDADMM COC(=O)c1c[n-]c(O[C@@H]2CN(C(=O)OC(C)(C)C)[C@@H]2C)n1 ZINC001230282812 884409000 /nfs/dbraw/zinc/40/90/00/884409000.db2.gz ZAYOSAQDEOVVIZ-PSASIEDQSA-N -1 1 311.338 1.583 20 0 DDADMM CN(C(=O)CC1CCN(C(=O)OC(C)(C)C)CC1)c1nn[n-]n1 ZINC001362719164 884479602 /nfs/dbraw/zinc/47/96/02/884479602.db2.gz KLXGBOUCZOKRBX-UHFFFAOYSA-N -1 1 324.385 1.200 20 0 DDADMM Cc1noc([C@@H]2OCC[C@@H]2NC(=O)c2ccc(F)c([O-])c2)n1 ZINC001362752580 884555089 /nfs/dbraw/zinc/55/50/89/884555089.db2.gz WMBILKLFAXVXJI-CMPLNLGQSA-N -1 1 307.281 1.483 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)c1nc(C)cs1)c1nn[n-]n1 ZINC001362788058 884640331 /nfs/dbraw/zinc/64/03/31/884640331.db2.gz UCHYNWSEFNWPLZ-SECBINFHSA-N -1 1 308.411 1.900 20 0 DDADMM CSc1nccnc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001362820304 884718216 /nfs/dbraw/zinc/71/82/16/884718216.db2.gz ZJPXITHEWUMMTD-UHFFFAOYSA-N -1 1 321.362 1.307 20 0 DDADMM Cc1nn(C(C)(C)C)cc1[C@H](C)NC(=O)CCCc1nn[n-]n1 ZINC001362826223 884731541 /nfs/dbraw/zinc/73/15/41/884731541.db2.gz UEQCHKUXJHNQDK-JTQLQIEISA-N -1 1 319.413 1.660 20 0 DDADMM CSc1ncc(C(=O)N2CCCC[C@H]2C[C@@H](C)O)c(=O)[n-]1 ZINC001362839634 884766998 /nfs/dbraw/zinc/76/69/98/884766998.db2.gz YLABXKXHCCHUBR-ZJUUUORDSA-N -1 1 311.407 1.670 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC001362932781 885018412 /nfs/dbraw/zinc/01/84/12/885018412.db2.gz BGUUPAQVWXAKJB-NSHDSACASA-N -1 1 320.324 1.092 20 0 DDADMM CSc1nc(CNC(=O)Cc2ccc(F)cc2)cc(=O)[n-]1 ZINC001362961957 885094352 /nfs/dbraw/zinc/09/43/52/885094352.db2.gz QSUMLKJIBAGKMF-UHFFFAOYSA-N -1 1 307.350 1.902 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C[C@@H](C)n2ccnc2)[n-]c1=O ZINC001362967953 885110795 /nfs/dbraw/zinc/11/07/95/885110795.db2.gz AQGICXWZUXATQG-SNVBAGLBSA-N -1 1 319.365 1.390 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2cccc([O-])c2F)C[C@H]1C1CC1 ZINC001362983989 885145376 /nfs/dbraw/zinc/14/53/76/885145376.db2.gz JJFYNSMTRCLRBU-NWDGAFQWSA-N -1 1 307.321 1.803 20 0 DDADMM O=C(NCc1cn(C2CCC2)nn1)c1cnc(C2CC2)[n-]c1=O ZINC001362987833 885155715 /nfs/dbraw/zinc/15/57/15/885155715.db2.gz CTODKASKATVSHQ-UHFFFAOYSA-N -1 1 314.349 1.306 20 0 DDADMM COc1cnc(C2(NC(=O)CCC(C)=O)CCCC2)[n-]c1=O ZINC001362995558 885179580 /nfs/dbraw/zinc/17/95/80/885179580.db2.gz CCLQOWHQMFADRS-UHFFFAOYSA-N -1 1 307.350 1.446 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(C[C@H]2C(=O)Nc2c[n-][nH]c2=O)C1 ZINC001363121477 885517738 /nfs/dbraw/zinc/51/77/38/885517738.db2.gz VTTJKULGVSDRBC-QMMMGPOBSA-N -1 1 308.338 1.311 20 0 DDADMM CN(C(=O)Cc1nc(C2CCCCC2)cs1)c1nn[n-]n1 ZINC001363151220 885587765 /nfs/dbraw/zinc/58/77/65/885587765.db2.gz QAMVSYLJFBANDT-UHFFFAOYSA-N -1 1 306.395 1.909 20 0 DDADMM NC(=O)[C@@H](Cc1ccc(Cl)cc1)NC(=O)c1ccc([O-])cn1 ZINC001363160695 885612124 /nfs/dbraw/zinc/61/21/24/885612124.db2.gz QZOHUZMWJGZLAK-CYBMUJFWSA-N -1 1 319.748 1.267 20 0 DDADMM NC(=O)c1[nH]nc2c1CN(Cc1ccc([O-])c(F)c1F)CC2 ZINC001277543210 885766340 /nfs/dbraw/zinc/76/63/40/885766340.db2.gz JUHFYVJCNHILAT-UHFFFAOYSA-N -1 1 308.288 1.051 20 0 DDADMM COC(=O)CO[C@H]1CCN(Cc2ccc(OC)c(C(=O)[O-])c2)C1 ZINC001231756553 885860967 /nfs/dbraw/zinc/86/09/67/885860967.db2.gz QNLGDQPWCPRNQX-LBPRGKRZSA-N -1 1 323.345 1.157 20 0 DDADMM COC(=O)[C@H](C)c1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001363307723 885975751 /nfs/dbraw/zinc/97/57/51/885975751.db2.gz ACRHASSPYGQSRF-SECBINFHSA-N -1 1 301.302 1.711 20 0 DDADMM O=C(NC1(c2ncccn2)CCC1)c1cnc(C2CC2)[n-]c1=O ZINC001363315748 885999379 /nfs/dbraw/zinc/99/93/79/885999379.db2.gz BAAPLDKIZPMCNJ-UHFFFAOYSA-N -1 1 311.345 1.659 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC(C)(C)CC(F)F ZINC001363348427 886095363 /nfs/dbraw/zinc/09/53/63/886095363.db2.gz WPGPMYCVVLRGTN-UHFFFAOYSA-N -1 1 305.281 1.308 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CCC(C)(C)O ZINC001363349465 886098677 /nfs/dbraw/zinc/09/86/77/886098677.db2.gz HYZLDHFYBMFQLD-JTQLQIEISA-N -1 1 324.381 1.196 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CCC(C)(C)O ZINC001363349465 886098687 /nfs/dbraw/zinc/09/86/87/886098687.db2.gz HYZLDHFYBMFQLD-JTQLQIEISA-N -1 1 324.381 1.196 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CCC(C)(C)O ZINC001363349465 886098693 /nfs/dbraw/zinc/09/86/93/886098693.db2.gz HYZLDHFYBMFQLD-JTQLQIEISA-N -1 1 324.381 1.196 20 0 DDADMM CC(C)C[C@@H](C(=O)NC1(c2nn[n-]n2)CCC1)n1ccnc1 ZINC001363376170 886169283 /nfs/dbraw/zinc/16/92/83/886169283.db2.gz OMKPFJNPLLOKFR-NSHDSACASA-N -1 1 303.370 1.179 20 0 DDADMM COC(=O)[C@@H](F)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001363400126 886231404 /nfs/dbraw/zinc/23/14/04/886231404.db2.gz PTTNBHZRVUATSE-VIFPVBQESA-N -1 1 310.256 1.320 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)C[C@@H]1CCOC1 ZINC001363402700 886239851 /nfs/dbraw/zinc/23/98/51/886239851.db2.gz YOPXJQJKZDGIEL-QWRGUYRKSA-N -1 1 322.365 1.072 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)C[C@@H]1CCOC1 ZINC001363402700 886239877 /nfs/dbraw/zinc/23/98/77/886239877.db2.gz YOPXJQJKZDGIEL-QWRGUYRKSA-N -1 1 322.365 1.072 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)C[C@@H]1CCOC1 ZINC001363402700 886239893 /nfs/dbraw/zinc/23/98/93/886239893.db2.gz YOPXJQJKZDGIEL-QWRGUYRKSA-N -1 1 322.365 1.072 20 0 DDADMM COC[C@@H](NC(=O)C[C@H](C)c1cccc(OC)c1)c1nn[n-]n1 ZINC001363409328 886257241 /nfs/dbraw/zinc/25/72/41/886257241.db2.gz CCEGRBNCHJPING-GXFFZTMASA-N -1 1 319.365 1.206 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(C)c2c1CCC2)c1nn[n-]n1 ZINC001363429483 886318792 /nfs/dbraw/zinc/31/87/92/886318792.db2.gz DFJUZIDZIULBSC-CYBMUJFWSA-N -1 1 301.350 1.114 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2nocc2C)c(=O)[n-]1 ZINC001363440644 886344734 /nfs/dbraw/zinc/34/47/34/886344734.db2.gz XQIJBPQCELCKAO-UHFFFAOYSA-N -1 1 322.390 1.758 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccn(C2CCSCC2)n1 ZINC001363443227 886348514 /nfs/dbraw/zinc/34/85/14/886348514.db2.gz VXTMQHJOWAKKSB-UHFFFAOYSA-N -1 1 321.410 1.426 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)c1cc[nH]c1 ZINC001383738868 886428794 /nfs/dbraw/zinc/42/87/94/886428794.db2.gz SCQDWLKAIZBTBA-LLVKDONJSA-N -1 1 316.361 1.396 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1cnc2n1CCC2 ZINC001363570104 886660049 /nfs/dbraw/zinc/66/00/49/886660049.db2.gz KNBTYEYDBFULEL-UHFFFAOYSA-N -1 1 319.390 1.285 20 0 DDADMM COc1cc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)ccc1O ZINC001363579913 886684713 /nfs/dbraw/zinc/68/47/13/886684713.db2.gz DWCAKIWPPCIOSN-SNVBAGLBSA-N -1 1 317.349 1.009 20 0 DDADMM O=C(NCc1nc2c(s1)COCC2)c1ccc(F)c([O-])c1 ZINC001363582119 886690273 /nfs/dbraw/zinc/69/02/73/886690273.db2.gz DXHXMQHEERDMKT-UHFFFAOYSA-N -1 1 308.334 1.991 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2[nH]c(C)nc2C)[n-]c1=O ZINC001363614406 886770221 /nfs/dbraw/zinc/77/02/21/886770221.db2.gz HWRNANUPHRJXHG-UHFFFAOYSA-N -1 1 305.338 1.196 20 0 DDADMM CCC[C@@H](NC(N)=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001363632898 886823131 /nfs/dbraw/zinc/82/31/31/886823131.db2.gz REEWFOJWFFVARS-GFCCVEGCSA-N -1 1 315.320 1.166 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2ccc(OCC)o2)n1 ZINC001363633844 886828301 /nfs/dbraw/zinc/82/83/01/886828301.db2.gz FLINBXZZNICSOL-VIFPVBQESA-N -1 1 308.338 1.824 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)c2ccn(C(F)F)n2)n[nH]1 ZINC001363670336 886936220 /nfs/dbraw/zinc/93/62/20/886936220.db2.gz CZUJUVXQJKJMMJ-UHFFFAOYSA-N -1 1 313.264 1.819 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)NC/C=C\Cl ZINC001363678133 886955696 /nfs/dbraw/zinc/95/56/96/886955696.db2.gz LIJBCCLCGQYKKX-RQOWECAXSA-N -1 1 319.752 1.359 20 0 DDADMM O=C(NC1CC(NCC(F)(F)F)C1)c1ccc([O-])cc1F ZINC001363782434 887206247 /nfs/dbraw/zinc/20/62/47/887206247.db2.gz MUGZFSVXOLIPAR-UHFFFAOYSA-N -1 1 306.259 1.944 20 0 DDADMM CC[C@](C)(CC(=O)OC)[N-]S(=O)(=O)c1csnc1OC ZINC001363808915 887279755 /nfs/dbraw/zinc/27/97/55/887279755.db2.gz BJHBIAAQHRCTIQ-LLVKDONJSA-N -1 1 322.408 1.162 20 0 DDADMM CCN(CC(=O)N(Cc1nn[n-]n1)CC(C)C)c1ccccc1 ZINC001363813231 887290677 /nfs/dbraw/zinc/29/06/77/887290677.db2.gz ZNHAFIHTDZQDAJ-UHFFFAOYSA-N -1 1 316.409 1.711 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc(Br)cc1 ZINC001363821742 887316144 /nfs/dbraw/zinc/31/61/44/887316144.db2.gz OOWQTKGMPAINLD-UHFFFAOYSA-N -1 1 322.166 1.771 20 0 DDADMM CCC1(C(=O)N(C)C2CC(NC(=O)c3ncccc3[O-])C2)CC1 ZINC001384464540 887515000 /nfs/dbraw/zinc/51/50/00/887515000.db2.gz SQJLXKCALHTVLE-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)c1cc[nH]c1 ZINC001384544075 887658186 /nfs/dbraw/zinc/65/81/86/887658186.db2.gz WCMOZGXOKSHRCV-MNOVXSKESA-N -1 1 316.361 1.442 20 0 DDADMM Cc1ccnc(C[N-]S(=O)(=O)c2cc(F)c(C)cc2F)n1 ZINC001364003606 887704703 /nfs/dbraw/zinc/70/47/03/887704703.db2.gz AGOSJEKRWBPZBF-UHFFFAOYSA-N -1 1 313.329 1.850 20 0 DDADMM COC(=O)c1cc(NC(=O)c2ccc(O)cc2[O-])cnc1C ZINC001364013807 887723500 /nfs/dbraw/zinc/72/35/00/887723500.db2.gz NXDFWWKCDKJKDO-UHFFFAOYSA-N -1 1 302.286 1.840 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364032090 887761292 /nfs/dbraw/zinc/76/12/92/887761292.db2.gz NTMIFDNQHSSICA-BXKDBHETSA-N -1 1 318.381 1.389 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H](C2CCC2)C1 ZINC001364063702 887833837 /nfs/dbraw/zinc/83/38/37/887833837.db2.gz BGRIVWCJBAFVJB-ZDUSSCGKSA-N -1 1 317.389 1.556 20 0 DDADMM O=P([O-])([O-])Oc1ccc(O[C@@H]2CCc3[nH+]ccn3C2)cc1 ZINC001234337031 887883265 /nfs/dbraw/zinc/88/32/65/887883265.db2.gz WFOPJAQVOOFRNA-GFCCVEGCSA-N -1 1 310.246 1.748 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H](C(=O)Nc2c[n-][nH]c2=O)C(C)(C)C1 ZINC001364086879 887884826 /nfs/dbraw/zinc/88/48/26/887884826.db2.gz ANCVAMKMVWPGMD-VIFPVBQESA-N -1 1 324.381 1.947 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C(C)C)n(C)n1)c1nn[n-]n1 ZINC001364091987 887898348 /nfs/dbraw/zinc/89/83/48/887898348.db2.gz YCNOHVCVOJQVCL-VIFPVBQESA-N -1 1 323.426 1.281 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccc(N2CCOCC2)c(F)c1 ZINC001364099231 887916582 /nfs/dbraw/zinc/91/65/82/887916582.db2.gz YHCDJHJZSZXUBO-UHFFFAOYSA-N -1 1 306.297 1.343 20 0 DDADMM O=C(Nc1ccnn1CC1CC1)N1CCC(c2nn[n-]n2)CC1 ZINC001364111902 887945842 /nfs/dbraw/zinc/94/58/42/887945842.db2.gz BQTIASCKBZXDHA-UHFFFAOYSA-N -1 1 316.369 1.218 20 0 DDADMM Cc1c(Cl)c(C(F)(F)F)nn1CC(=O)Nc1c[n-][nH]c1=O ZINC001364137570 888003514 /nfs/dbraw/zinc/00/35/14/888003514.db2.gz GJBVNRXIWLTLHM-UHFFFAOYSA-N -1 1 323.662 1.931 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@H]2Cc3ccncc3[C@H]2C)c(=O)[n-]1 ZINC001234478392 888013806 /nfs/dbraw/zinc/01/38/06/888013806.db2.gz RSNPFTPXXOGZSI-HQJQHLMTSA-N -1 1 300.318 1.092 20 0 DDADMM CNc1ccc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)nc1 ZINC001364236898 888193235 /nfs/dbraw/zinc/19/32/35/888193235.db2.gz VRCYCZNHOBTGJC-UHFFFAOYSA-N -1 1 317.349 1.293 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)[C@@H]2CCC(=O)[C@H](C)C2)n[nH]1 ZINC001364290041 888307381 /nfs/dbraw/zinc/30/73/81/888307381.db2.gz QYHGPNRZHBQNBI-NXEZZACHSA-N -1 1 307.350 1.919 20 0 DDADMM CCc1ccc(C(=O)Nc2n[nH]c(C)c2C(=O)NC)c([O-])c1 ZINC001364311607 888357897 /nfs/dbraw/zinc/35/78/97/888357897.db2.gz SGAUUSRQCDAPTG-UHFFFAOYSA-N -1 1 302.334 1.598 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2ccncn2)[n-]c1=O ZINC001364336235 888410624 /nfs/dbraw/zinc/41/06/24/888410624.db2.gz KLHMJBSOCXRRRO-UHFFFAOYSA-N -1 1 304.310 1.038 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)OC(C)(C)C)CN1C(=O)c1ccc([O-])cn1 ZINC001364414985 888585924 /nfs/dbraw/zinc/58/59/24/888585924.db2.gz HOACDOGFLRSSEB-GHMZBOCLSA-N -1 1 321.377 1.915 20 0 DDADMM CCn1ncc(C(=O)Nc2ccncc2[O-])c1Br ZINC001364489577 888752193 /nfs/dbraw/zinc/75/21/93/888752193.db2.gz GESBOSKCWLQJDP-UHFFFAOYSA-N -1 1 311.139 1.440 20 0 DDADMM CCC(=O)N(C)c1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001364620046 889049924 /nfs/dbraw/zinc/04/99/24/889049924.db2.gz JBODMNIUQJMSTR-UHFFFAOYSA-N -1 1 300.318 1.807 20 0 DDADMM CC(C)Cc1nc(C(=O)NC2(c3nn[n-]n3)CCC2)cs1 ZINC001364661391 889145564 /nfs/dbraw/zinc/14/55/64/889145564.db2.gz CQUIBCNULOHLAA-UHFFFAOYSA-N -1 1 306.395 1.664 20 0 DDADMM Cc1ccc(F)c(-c2cc(N3CCC[C@@H]3C(N)=O)ncn2)c1[O-] ZINC001236390744 889224696 /nfs/dbraw/zinc/22/46/96/889224696.db2.gz ILWIODPRYBRFRM-GFCCVEGCSA-N -1 1 316.336 1.751 20 0 DDADMM CCC[C@@H](O)[C@H](CO)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC001364746125 889327729 /nfs/dbraw/zinc/32/77/29/889327729.db2.gz RVUQHEHRIKHBLH-WCQYABFASA-N -1 1 316.785 1.367 20 0 DDADMM COC[C@H](NC(=O)C[C@@H](C)c1ccccc1F)c1nn[n-]n1 ZINC001364814200 889469514 /nfs/dbraw/zinc/46/95/14/889469514.db2.gz MPEIOOCLUAKWEG-SKDRFNHKSA-N -1 1 307.329 1.336 20 0 DDADMM O=C(c1ncoc1C1CC1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364821438 889484248 /nfs/dbraw/zinc/48/42/48/889484248.db2.gz ZXKWKQBCCZEASM-SECBINFHSA-N -1 1 302.338 1.160 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1ccc(F)cc1)c1ccns1 ZINC001364852088 889549302 /nfs/dbraw/zinc/54/93/02/889549302.db2.gz PSDROYBTBBICSN-JTQLQIEISA-N -1 1 302.352 1.294 20 0 DDADMM Cc1ccc(CN2C[C@H](C(=O)Nc3c[n-][nH]c3=O)CC2=O)cc1 ZINC001365204776 890338193 /nfs/dbraw/zinc/33/81/93/890338193.db2.gz AXUOJMVGDBRWAT-GFCCVEGCSA-N -1 1 314.345 1.411 20 0 DDADMM COC(=O)c1cc(NCc2cc(=O)[n-]c(SC)n2)ncn1 ZINC001365231114 890401596 /nfs/dbraw/zinc/40/15/96/890401596.db2.gz GWXLBLVGRFESSJ-UHFFFAOYSA-N -1 1 307.335 1.093 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@]1(C)CCCC[C@@H]1O ZINC001365679432 891303739 /nfs/dbraw/zinc/30/37/39/891303739.db2.gz BNFKXWCDSSKETH-JQWIXIFHSA-N -1 1 320.436 1.371 20 0 DDADMM O=C(C[N-]S(=O)(=O)Cc1ccno1)C1CCC(F)(F)CC1 ZINC001365715492 891387331 /nfs/dbraw/zinc/38/73/31/891387331.db2.gz WKMCDMJNXAHTCZ-UHFFFAOYSA-N -1 1 322.333 1.489 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC[C@@H]2CC[C@@H]3C[C@@H]32)sn1 ZINC001365738456 891426810 /nfs/dbraw/zinc/42/68/10/891426810.db2.gz SMIZLSKWKGNGCO-IVZWLZJFSA-N -1 1 302.421 1.866 20 0 DDADMM CCCC1(C(=O)N[C@H](C)CCNC(=O)c2ncccc2[O-])CC1 ZINC001386670085 891452199 /nfs/dbraw/zinc/45/21/99/891452199.db2.gz UTIIZSGUJXSBID-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM c1cc(-c2ccc(-c3nnn[n-]3)nc2)cc(N2CCOCC2)n1 ZINC001243900852 891752311 /nfs/dbraw/zinc/75/23/11/891752311.db2.gz YKOBZHXZXJVAPV-UHFFFAOYSA-N -1 1 309.333 1.160 20 0 DDADMM c1cc(-c2ccc(-c3nn[n-]n3)nc2)cc(N2CCOCC2)n1 ZINC001243900852 891752325 /nfs/dbraw/zinc/75/23/25/891752325.db2.gz YKOBZHXZXJVAPV-UHFFFAOYSA-N -1 1 309.333 1.160 20 0 DDADMM Cc1cc(-c2ccc3c(c2)CC(=O)[N-]C3=O)cc2c1OCC(=O)N2 ZINC001244068499 891789270 /nfs/dbraw/zinc/78/92/70/891789270.db2.gz KCKGVNPXOZBMKT-UHFFFAOYSA-N -1 1 322.320 1.805 20 0 DDADMM CCCc1nccnc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244791426 891923875 /nfs/dbraw/zinc/92/38/75/891923875.db2.gz QFYKCPMMGFYMPC-UHFFFAOYSA-N -1 1 322.390 1.871 20 0 DDADMM CCOc1ccnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c1 ZINC001244791498 891925752 /nfs/dbraw/zinc/92/57/52/891925752.db2.gz VDAYIEKBSFSSTB-UHFFFAOYSA-N -1 1 323.374 1.922 20 0 DDADMM CCOc1ncc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)cn1 ZINC001244793214 891926724 /nfs/dbraw/zinc/92/67/24/891926724.db2.gz DNUOSGJNADSECK-UHFFFAOYSA-N -1 1 324.362 1.317 20 0 DDADMM COc1ncc(-c2cnc(F)c(F)c2)cc1[N-]S(C)(=O)=O ZINC001244792983 891929216 /nfs/dbraw/zinc/92/92/16/891929216.db2.gz WMYMVTJQFFQLGP-UHFFFAOYSA-N -1 1 315.301 1.802 20 0 DDADMM COc1ncc(-c2cnc3ncoc3c2)cc1[N-]S(C)(=O)=O ZINC001244795013 891930837 /nfs/dbraw/zinc/93/08/37/891930837.db2.gz VCXXCJJERGKKOL-UHFFFAOYSA-N -1 1 320.330 1.665 20 0 DDADMM C[S@](=O)CCCNC(=S)Nc1cc(F)c([O-])cc1F ZINC001246539705 892685467 /nfs/dbraw/zinc/68/54/67/892685467.db2.gz BLDHHAOMNYBEKS-IBGZPJMESA-N -1 1 308.375 1.725 20 0 DDADMM C[C@H](CNC(=O)C12CCC(CC1)CC2)NCc1n[nH]c(=O)[n-]1 ZINC001366372999 893353975 /nfs/dbraw/zinc/35/39/75/893353975.db2.gz DLILBDJQSPXYRP-RWWNRMGGSA-N -1 1 307.398 1.075 20 0 DDADMM CN(C)c1nc(Nc2cnc3c(cnn3C)c2)c(N=O)c(=O)[n-]1 ZINC001249660204 894082410 /nfs/dbraw/zinc/08/24/10/894082410.db2.gz SYRZACCPFRLSNW-UHFFFAOYSA-N -1 1 314.309 1.671 20 0 DDADMM CCOC(=O)c1nc(N)cn1-c1cc([O-])c(F)c(F)c1F ZINC001249961478 894175677 /nfs/dbraw/zinc/17/56/77/894175677.db2.gz QVTKRIKIJQAOLL-UHFFFAOYSA-N -1 1 301.224 1.754 20 0 DDADMM O=C(NCCNC(=O)[C@@]12C[C@@H]1CCCC2)c1ncccc1[O-] ZINC001292666206 913908903 /nfs/dbraw/zinc/90/89/03/913908903.db2.gz IHQWNYAWAKTLTC-ZBEGNZNMSA-N -1 1 303.362 1.214 20 0 DDADMM CCN(CCNC(=O)[C@H](C)C1CC1)C(=O)c1ncccc1[O-] ZINC001388228209 894825826 /nfs/dbraw/zinc/82/58/26/894825826.db2.gz OYKRDOKIPWMLNK-LLVKDONJSA-N -1 1 305.378 1.412 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)C1CCCC1 ZINC001388239421 894846717 /nfs/dbraw/zinc/84/67/17/894846717.db2.gz HDZWONQLQBLWRR-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM CC[C@H](CNC(=O)c1ccc(C)cc1C)NCc1n[nH]c(=O)[n-]1 ZINC001367134733 895967476 /nfs/dbraw/zinc/96/74/76/895967476.db2.gz DNVCTABEQDLURH-GFCCVEGCSA-N -1 1 317.393 1.425 20 0 DDADMM CCCCS(=O)(=O)[N-]c1cc2c(cc1OC)nc[nH]c2=O ZINC001253871810 896148917 /nfs/dbraw/zinc/14/89/17/896148917.db2.gz ZOWLUKQVQCOQCH-UHFFFAOYSA-N -1 1 311.363 1.886 20 0 DDADMM C[C@@H](c1csnn1)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001367511209 896983398 /nfs/dbraw/zinc/98/33/98/896983398.db2.gz JCMSYMDYZNTLAT-JTQLQIEISA-N -1 1 321.406 1.404 20 0 DDADMM O=S(=O)([N-][C@H](CO)C(F)(F)F)c1cccc(Cl)c1 ZINC001256832752 897592736 /nfs/dbraw/zinc/59/27/36/897592736.db2.gz HGJZLAZQNDPDAQ-MRVPVSSYSA-N -1 1 303.689 1.542 20 0 DDADMM Cc1cccc(S(=O)(=O)NCC(=O)c2ccc([O-])cc2)c1 ZINC001256995026 897663257 /nfs/dbraw/zinc/66/32/57/897663257.db2.gz SPLOVRQUJHQDSH-UHFFFAOYSA-N -1 1 305.355 1.862 20 0 DDADMM Cc1nc([N-]S(=O)(=O)c2cc(F)ccc2F)cc(=O)[nH]1 ZINC001258942517 898371471 /nfs/dbraw/zinc/37/14/71/898371471.db2.gz YIPJACKXYRCVDC-UHFFFAOYSA-N -1 1 301.274 1.570 20 0 DDADMM O=S(=O)([N-]CCn1cnnc1)c1cc(Cl)ccc1F ZINC001259460381 898680243 /nfs/dbraw/zinc/68/02/43/898680243.db2.gz BOZFRSUDPVHTQR-UHFFFAOYSA-N -1 1 304.734 1.049 20 0 DDADMM COC(=O)[C@](C)([N-]S(=O)(=O)c1cccnc1)c1ccccc1 ZINC001259611711 898743287 /nfs/dbraw/zinc/74/32/87/898743287.db2.gz KHZIWUXYUJADGE-OAHLLOKOSA-N -1 1 320.370 1.448 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)CCC(F)(F)F)cn1 ZINC001259865780 898877636 /nfs/dbraw/zinc/87/76/36/898877636.db2.gz AEZZJZLYENZXHK-UHFFFAOYSA-N -1 1 312.269 1.562 20 0 DDADMM COc1ccc(F)c(F)c1[N-]S(=O)(=O)C1CCOCC1 ZINC001259915312 898924029 /nfs/dbraw/zinc/92/40/29/898924029.db2.gz YVIWBAZPNFGYBX-UHFFFAOYSA-N -1 1 307.318 1.894 20 0 DDADMM O=S(=O)([N-]c1cccc2c1OCC[C@H]2O)C1CCOCC1 ZINC001259915945 898926760 /nfs/dbraw/zinc/92/67/60/898926760.db2.gz GHQVVGJSHNHRSU-CYBMUJFWSA-N -1 1 313.375 1.423 20 0 DDADMM O=S(=O)([N-]Cc1cc(OC2CCOCC2)ccn1)C(F)F ZINC001259964242 898986625 /nfs/dbraw/zinc/98/66/25/898986625.db2.gz ITBIKPUFUJOWDF-UHFFFAOYSA-N -1 1 322.333 1.281 20 0 DDADMM COCCS(=O)(=O)[N-]c1c(O)cccc1Br ZINC001259971413 898995751 /nfs/dbraw/zinc/99/57/51/898995751.db2.gz VNTYYGQZYNAWIZ-UHFFFAOYSA-N -1 1 310.169 1.543 20 0 DDADMM Cc1ncnc(C)c1NS(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC001260221985 899094858 /nfs/dbraw/zinc/09/48/58/899094858.db2.gz FCUIGBLWZGPUDQ-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)CO1 ZINC001260287332 899112255 /nfs/dbraw/zinc/11/22/55/899112255.db2.gz BWTQLPUVVCXONH-YFKPBYRVSA-N -1 1 311.693 1.212 20 0 DDADMM NC(=O)C[N-]S(=O)(=O)c1ccc(Cl)c(Cl)c1Cl ZINC001260425771 899138318 /nfs/dbraw/zinc/13/83/18/899138318.db2.gz WBLLGFZQBFMKDR-UHFFFAOYSA-N -1 1 317.581 1.410 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H]2F)s1 ZINC001260707933 899225405 /nfs/dbraw/zinc/22/54/05/899225405.db2.gz PQSJTPHUBVBBPT-DTWKUNHWSA-N -1 1 321.399 1.518 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001261266383 899440683 /nfs/dbraw/zinc/44/06/83/899440683.db2.gz HALLOOIICCJFJT-CHWSQXEVSA-N -1 1 307.394 1.259 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)NCCCCCC(=O)[O-] ZINC001262175681 899999617 /nfs/dbraw/zinc/99/96/17/899999617.db2.gz OHPTYZDRWKKNLG-UHFFFAOYSA-N -1 1 300.399 1.106 20 0 DDADMM Cc1n[nH]c(C(=O)NCCN(C)C/C=C\c2ccccc2)c1[O-] ZINC001390752720 900101529 /nfs/dbraw/zinc/10/15/29/900101529.db2.gz NTNAVLYQEMJFRG-TWGQIWQCSA-N -1 1 314.389 1.799 20 0 DDADMM C[C@@H](c1ccccc1F)N(C)c1nnc(Cc2nnn[n-]2)n1C ZINC001262871394 900403392 /nfs/dbraw/zinc/40/33/92/900403392.db2.gz NFICNFXBRGBSDP-VIFPVBQESA-N -1 1 316.344 1.256 20 0 DDADMM C[C@@H](c1ccccc1F)N(C)c1nnc(Cc2nn[n-]n2)n1C ZINC001262871394 900403399 /nfs/dbraw/zinc/40/33/99/900403399.db2.gz NFICNFXBRGBSDP-VIFPVBQESA-N -1 1 316.344 1.256 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001263886689 900800787 /nfs/dbraw/zinc/80/07/87/900800787.db2.gz SHYGYDVKEQQQAD-ZJNQMXKESA-N -1 1 301.325 1.758 20 0 DDADMM C[C@H](NC(=O)c1nccs1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369695114 901789140 /nfs/dbraw/zinc/78/91/40/901789140.db2.gz YICGDSDXYSLFRN-IUCAKERBSA-N -1 1 320.374 1.181 20 0 DDADMM C[C@H](CNC(=O)c1ccccc1)N(C)C(=O)c1ncccc1[O-] ZINC001369765113 901894103 /nfs/dbraw/zinc/89/41/03/901894103.db2.gz BXMMLISJTKMGLB-GFCCVEGCSA-N -1 1 313.357 1.678 20 0 DDADMM C[C@@H](CNC(=O)[C@H]1CC1(C)C)N(C)C(=O)c1ncccc1[O-] ZINC001369822338 902038148 /nfs/dbraw/zinc/03/81/48/902038148.db2.gz KIZBLWUDPBZPCX-WDEREUQCSA-N -1 1 305.378 1.410 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NCc1cc(C)on1 ZINC001391677134 902324233 /nfs/dbraw/zinc/32/42/33/902324233.db2.gz MMBGBHCVCBNAIG-NSHDSACASA-N -1 1 304.350 1.382 20 0 DDADMM CCc1nc2ccc(C(=O)N(C)c3nn[n-]n3)cc2nc1CC ZINC001279178696 903190132 /nfs/dbraw/zinc/19/01/32/903190132.db2.gz FFGIEOLYPFRJIV-UHFFFAOYSA-N -1 1 311.349 1.544 20 0 DDADMM CC(C)CO[C@H](C)C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001392296005 903798781 /nfs/dbraw/zinc/79/87/81/903798781.db2.gz CMVBXXMQTHJWKR-VXGBXAGGSA-N -1 1 323.393 1.083 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1ccnc2ccnn21 ZINC001293900841 914756182 /nfs/dbraw/zinc/75/61/82/914756182.db2.gz WYBHCYBOAMQWOS-UHFFFAOYSA-N -1 1 302.319 1.074 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(Cl)s1 ZINC001392529696 904373174 /nfs/dbraw/zinc/37/31/74/904373174.db2.gz UPHHIQYJRXIBOB-ZCFIWIBFSA-N -1 1 315.786 1.133 20 0 DDADMM CC(C)=CC(=O)N[C@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001281221254 904579771 /nfs/dbraw/zinc/57/97/71/904579771.db2.gz KRVQMLAHWXZHMS-OLZOCXBDSA-N -1 1 317.389 1.768 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1C[C@H]1C1CC1 ZINC001294036817 914873568 /nfs/dbraw/zinc/87/35/68/914873568.db2.gz DUEUDWYXQUPFMX-QWHCGFSZSA-N -1 1 317.389 1.412 20 0 DDADMM CC1(CC(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)CC1 ZINC001393377063 906830125 /nfs/dbraw/zinc/83/01/25/906830125.db2.gz SAVFLDZGGSEPPZ-TUAOUCFPSA-N -1 1 319.409 1.170 20 0 DDADMM CC[C@@H](C)[C@@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001393812223 907986713 /nfs/dbraw/zinc/98/67/13/907986713.db2.gz VKRSZHXPAKHLBC-VXGBXAGGSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001372835606 908389949 /nfs/dbraw/zinc/38/99/49/908389949.db2.gz OSFNNXVXXQRVLF-YNEHKIRRSA-N -1 1 319.405 1.704 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)[C@@H](C)c1csnn1 ZINC001394068733 908698615 /nfs/dbraw/zinc/69/86/15/908698615.db2.gz XXKSLTPDWAIZLZ-UWVGGRQHSA-N -1 1 321.406 1.450 20 0 DDADMM CC1(CC(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001373198423 909186949 /nfs/dbraw/zinc/18/69/49/909186949.db2.gz DBSFSBLKVYGLEZ-LLVKDONJSA-N -1 1 307.398 1.029 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CCCC1(C)C ZINC001373412583 909790263 /nfs/dbraw/zinc/79/02/63/909790263.db2.gz JYBFGMRCRPMHSR-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)(C(=O)NC/C=C/CNC(=O)c1ncccc1[O-])C1CC1 ZINC001285534582 910753760 /nfs/dbraw/zinc/75/37/60/910753760.db2.gz YPGIPERJJZNVGX-ONEGZZNKSA-N -1 1 317.389 1.626 20 0 DDADMM CCCCCC(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001285590561 910835211 /nfs/dbraw/zinc/83/52/11/910835211.db2.gz MXDHVLPQYSCSNO-LBPRGKRZSA-N -1 1 307.394 1.944 20 0 DDADMM Cc1cocc1C(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001395061602 911268434 /nfs/dbraw/zinc/26/84/34/911268434.db2.gz AYUSRXQUPOJIGI-LLVKDONJSA-N -1 1 317.345 1.579 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001374159270 912039204 /nfs/dbraw/zinc/03/92/04/912039204.db2.gz KWLMOFXXXJAHKA-SNVBAGLBSA-N -1 1 307.398 1.075 20 0 DDADMM CO[C@@H](C)CC(=O)NCCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001286657763 912077428 /nfs/dbraw/zinc/07/74/28/912077428.db2.gz DBJSXMOHYMZIQP-NEPJUHHUSA-N -1 1 323.393 1.227 20 0 DDADMM COc1cc(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)ccc1O ZINC001294907515 915454650 /nfs/dbraw/zinc/45/46/50/915454650.db2.gz NFWZYDWANGCBGT-UHFFFAOYSA-N -1 1 321.358 1.424 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H]2CCCC3(C2)OCCO3)c1 ZINC001296246163 916297555 /nfs/dbraw/zinc/29/75/55/916297555.db2.gz VWYYVNDZLXEAJW-ZDUSSCGKSA-N -1 1 319.357 1.929 20 0 DDADMM Cc1cc(CN[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])no1 ZINC001377794268 923062936 /nfs/dbraw/zinc/06/29/36/923062936.db2.gz YWDLCERHBUDAIS-MNOVXSKESA-N -1 1 304.350 1.380 20 0 DDADMM O=C(NC[C@H]1CC(=O)N(C2CC2)C1)c1cc(Cl)ccc1[O-] ZINC000181216764 199267033 /nfs/dbraw/zinc/26/70/33/199267033.db2.gz NHDRBFFNWAVCKC-SECBINFHSA-N -1 1 308.765 1.786 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3CC4(CCC4)C3)nc2n1 ZINC000622993392 365585401 /nfs/dbraw/zinc/58/54/01/365585401.db2.gz LIYHFZSGVFVHTM-UHFFFAOYSA-N -1 1 301.350 1.499 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3O[C@H](C)C[C@@H]3C)nc2n1 ZINC000622997618 365591192 /nfs/dbraw/zinc/59/11/92/365591192.db2.gz FGSZOLHQVXRLLR-YGOYTEALSA-N -1 1 319.365 1.122 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3ccsc3C)nc2n1 ZINC000622997763 365591629 /nfs/dbraw/zinc/59/16/29/365591629.db2.gz KUNSSISLWUXZIA-UHFFFAOYSA-N -1 1 317.374 1.992 20 0 DDADMM Cc1cccc(COCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000623023497 365604511 /nfs/dbraw/zinc/60/45/11/365604511.db2.gz KRCIJZRQAJIGOC-LBPRGKRZSA-N -1 1 303.366 1.287 20 0 DDADMM O=C(NCCc1nnc2n1CCCCC2)c1cc(F)ccc1[O-] ZINC000081934031 192342173 /nfs/dbraw/zinc/34/21/73/192342173.db2.gz FXFNPEVIXAWYCB-UHFFFAOYSA-N -1 1 318.352 1.822 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)[C@H]1CCCO1 ZINC000278655768 214304973 /nfs/dbraw/zinc/30/49/73/214304973.db2.gz GVDMNCFGCKNPHP-VXGBXAGGSA-N -1 1 321.345 1.437 20 0 DDADMM C[C@@H](C[N@H+]1CCC[C@H](C)C1)NS(=O)(=O)[N-]CC(F)(F)F ZINC000249423920 282153482 /nfs/dbraw/zinc/15/34/82/282153482.db2.gz DLZOZIWVZGXGBI-UWVGGRQHSA-N -1 1 317.377 1.093 20 0 DDADMM COc1ccc(OC)c(NC(=O)C(=O)Nc2ncccc2[O-])c1 ZINC000358108014 299078689 /nfs/dbraw/zinc/07/86/89/299078689.db2.gz FNCQXKPUTRQKOL-UHFFFAOYSA-N -1 1 317.301 1.382 20 0 DDADMM CCN(CC)S(=O)(=O)CC(=O)Nc1c([O-])cccc1F ZINC000092551573 539176627 /nfs/dbraw/zinc/17/66/27/539176627.db2.gz CBOQHQZVYZKVIE-UHFFFAOYSA-N -1 1 304.343 1.141 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)c2ccccn2)co1 ZINC000159149712 539218026 /nfs/dbraw/zinc/21/80/26/539218026.db2.gz DNBWTAOPEDNGAF-SECBINFHSA-N -1 1 309.347 1.074 20 0 DDADMM CCc1ccccc1N1C[C@@H](C(=O)[N-]OC(C)(C)CO)CC1=O ZINC000297325848 529587493 /nfs/dbraw/zinc/58/74/93/529587493.db2.gz WNKWWNXXNAGYQV-ZDUSSCGKSA-N -1 1 320.389 1.421 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](O)CC1)c1ccc(F)c(F)c1F ZINC000252704366 282211248 /nfs/dbraw/zinc/21/12/48/282211248.db2.gz MWKPLORSTATXDY-ZKCHVHJHSA-N -1 1 309.309 1.686 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)[C@@H](O)C(C)C ZINC000416622439 529644268 /nfs/dbraw/zinc/64/42/68/529644268.db2.gz MLEBZZRGUBYSEG-JQWIXIFHSA-N -1 1 319.379 1.140 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)C1CN(C(=O)C2CCCC2)C1)C(F)F ZINC000451627147 529639219 /nfs/dbraw/zinc/63/92/19/529639219.db2.gz WWXBWNVTVVGTLH-NSHDSACASA-N -1 1 324.393 1.351 20 0 DDADMM CN(C[C@@H](O)C1CC1)C(=O)c1ccc(Br)c([O-])c1 ZINC000305726365 539292894 /nfs/dbraw/zinc/29/28/94/539292894.db2.gz RNYYRMVPZARGIJ-GFCCVEGCSA-N -1 1 314.179 1.998 20 0 DDADMM CN(C[C@@H]1CCCN1C)S(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000092625328 185329995 /nfs/dbraw/zinc/32/99/95/185329995.db2.gz QXQGMLWZNZOZOT-LBPRGKRZSA-N -1 1 312.391 1.100 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000615226082 362204074 /nfs/dbraw/zinc/20/40/74/362204074.db2.gz IYFNJVYGVVLNOU-SNVBAGLBSA-N -1 1 306.366 1.543 20 0 DDADMM CCOc1cc(C=CC(=O)Nc2nnn[n-]2)cc(Cl)c1OC ZINC000255717277 282276785 /nfs/dbraw/zinc/27/67/85/282276785.db2.gz SQMGEOCVSDHELY-PLNGDYQASA-N -1 1 323.740 1.912 20 0 DDADMM CCOc1cc(C=CC(=O)Nc2nn[n-]n2)cc(Cl)c1OC ZINC000255717277 282276790 /nfs/dbraw/zinc/27/67/90/282276790.db2.gz SQMGEOCVSDHELY-PLNGDYQASA-N -1 1 323.740 1.912 20 0 DDADMM CN(CCCC(=O)[O-])[C@@H]1CCN(c2c(F)cccc2F)C1=O ZINC000430678317 529880387 /nfs/dbraw/zinc/88/03/87/529880387.db2.gz MCXOUDNRNSHZEM-GFCCVEGCSA-N -1 1 312.316 1.867 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2cn3ccnc3s2)s1 ZINC000615433195 362286018 /nfs/dbraw/zinc/28/60/18/362286018.db2.gz OHQDVHCJBUXYOV-UHFFFAOYSA-N -1 1 323.359 1.676 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC(F)(F)c1ccc(Cl)cc1 ZINC000633050506 422735951 /nfs/dbraw/zinc/73/59/51/422735951.db2.gz QDSRMQXHFZLPTJ-UHFFFAOYSA-N -1 1 315.711 1.694 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2oc(CC)cc2C)n[n-]1 ZINC000615916586 362484155 /nfs/dbraw/zinc/48/41/55/362484155.db2.gz FFJNKJFICRTUHP-UHFFFAOYSA-N -1 1 306.322 1.375 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2oc(CC)cc2C)n1 ZINC000615916586 362484158 /nfs/dbraw/zinc/48/41/58/362484158.db2.gz FFJNKJFICRTUHP-UHFFFAOYSA-N -1 1 306.322 1.375 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(F)c(C)c2)n[n-]1 ZINC000615918499 362486337 /nfs/dbraw/zinc/48/63/37/362486337.db2.gz UALJGALGBGSMTC-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(F)c(C)c2)n1 ZINC000615918499 362486343 /nfs/dbraw/zinc/48/63/43/362486343.db2.gz UALJGALGBGSMTC-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM Cc1ccc(CC(=O)NCCc2n[n-]c(=S)n2C2CC2)cn1 ZINC000067079724 190708086 /nfs/dbraw/zinc/70/80/86/190708086.db2.gz MFNMUEDYJUIMRN-UHFFFAOYSA-N -1 1 317.418 1.880 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)(F)F)c1cc(F)ccc1Cl ZINC000228545491 201815133 /nfs/dbraw/zinc/81/51/33/201815133.db2.gz DREJRWGDJYLKCE-MRVPVSSYSA-N -1 1 321.679 1.681 20 0 DDADMM CN(C)C1(C(=O)N=c2cc(-c3cccc(F)c3F)[n-][nH]2)CC1 ZINC000616250844 362602269 /nfs/dbraw/zinc/60/22/69/362602269.db2.gz BXPGUVPGZKADOX-UHFFFAOYSA-N -1 1 306.316 1.810 20 0 DDADMM COCc1nc(OC)cc(N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000357677684 539478337 /nfs/dbraw/zinc/47/83/37/539478337.db2.gz ADCAHEFKLBPZHB-VIFPVBQESA-N -1 1 321.337 1.104 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C12CCC2 ZINC000458182499 232267053 /nfs/dbraw/zinc/26/70/53/232267053.db2.gz HUFXLNYJMISZRU-RYUDHWBXSA-N -1 1 316.361 1.361 20 0 DDADMM COc1cc(C(=O)N[C@H]2CCn3cncc3C2)cc(Cl)c1[O-] ZINC000623309476 365807047 /nfs/dbraw/zinc/80/70/47/365807047.db2.gz YWUKLMOEPWBCMK-JTQLQIEISA-N -1 1 321.764 1.996 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)CCC(=O)OCC)n1 ZINC000233420066 201898626 /nfs/dbraw/zinc/89/86/26/201898626.db2.gz RLFNHWZDQRQRNH-UHFFFAOYSA-N -1 1 312.391 1.727 20 0 DDADMM CCNC(=O)c1ccc(=NCC(CC)(CC)C(=O)OCC)[n-]n1 ZINC000601220339 358452723 /nfs/dbraw/zinc/45/27/23/358452723.db2.gz LJIPESGQFCVQRN-UHFFFAOYSA-N -1 1 322.409 1.430 20 0 DDADMM CO[C@H](C)CN(C(=O)c1c[n-]c2c(cnn2C)c1=O)C(C)C ZINC000358353057 299128524 /nfs/dbraw/zinc/12/85/24/299128524.db2.gz SMINMYWHBMJBGU-SNVBAGLBSA-N -1 1 306.366 1.559 20 0 DDADMM Cc1cc(C(=O)[O-])cc(S(=O)(=O)N[C@@H](C)CN(C)C)c1F ZINC000286907580 219220184 /nfs/dbraw/zinc/22/01/84/219220184.db2.gz PKVJGDYBBUTELD-VIFPVBQESA-N -1 1 318.370 1.061 20 0 DDADMM NC(=O)[C@@H]1CCCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000182005902 199373799 /nfs/dbraw/zinc/37/37/99/199373799.db2.gz PNNLOWVWIZZADY-MRVPVSSYSA-N -1 1 316.279 1.749 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1F ZINC000353841904 290853414 /nfs/dbraw/zinc/85/34/14/290853414.db2.gz MFOHGWAKNOIQLR-SECBINFHSA-N -1 1 315.308 1.356 20 0 DDADMM COCCNC(=O)CNC(=O)c1cc2ccccc2cc1[O-] ZINC000070722858 190914415 /nfs/dbraw/zinc/91/44/15/190914415.db2.gz YFLWOFKGBFHIJB-UHFFFAOYSA-N -1 1 302.330 1.038 20 0 DDADMM CCN1CCN(CC(=O)Nc2cc(F)cc(Cl)c2[O-])CC1 ZINC000353889593 290870697 /nfs/dbraw/zinc/87/06/97/290870697.db2.gz AMRFCZATUOQLCY-UHFFFAOYSA-N -1 1 315.776 1.761 20 0 DDADMM Cn1cc(S(=O)(=O)Nc2cccc3c2CCO3)cc1C(=O)[O-] ZINC000358491291 299171571 /nfs/dbraw/zinc/17/15/71/299171571.db2.gz JLMOIVYQQGCYAN-UHFFFAOYSA-N -1 1 322.342 1.459 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCSC[C@H]1C ZINC000172520744 198108984 /nfs/dbraw/zinc/10/89/84/198108984.db2.gz XYGDMKASIGZGAI-SECBINFHSA-N -1 1 320.418 1.146 20 0 DDADMM CC[C@H](C)[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC ZINC000008499144 352135847 /nfs/dbraw/zinc/13/58/47/352135847.db2.gz BXJFWLIXQFUGJO-QPUJVOFHSA-N -1 1 321.345 1.831 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCO[C@@H](C)C2)c(=O)[n-]1 ZINC000029980729 352249236 /nfs/dbraw/zinc/24/92/36/352249236.db2.gz DEZOEILBZDJGON-VIFPVBQESA-N -1 1 311.407 1.392 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)CCC(C)C)o1 ZINC000030567080 352254820 /nfs/dbraw/zinc/25/48/20/352254820.db2.gz RIUJXBVVHNAISR-SNVBAGLBSA-N -1 1 302.396 1.742 20 0 DDADMM CCn1c(CCNC(=O)C=Cc2cscn2)n[n-]c1=S ZINC000067076923 353032966 /nfs/dbraw/zinc/03/29/66/353032966.db2.gz QEDUYOMEMXNXOY-ONEGZZNKSA-N -1 1 309.420 1.789 20 0 DDADMM C[C@@H](Oc1ccc(F)cc1)C(=O)NCc1n[n-]c(=S)n1C ZINC000066626330 353008546 /nfs/dbraw/zinc/00/85/46/353008546.db2.gz WUOBRVXDXHKZIE-MRVPVSSYSA-N -1 1 310.354 1.700 20 0 DDADMM COc1cc(C)c(NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1F ZINC000358561099 299197990 /nfs/dbraw/zinc/19/79/90/299197990.db2.gz KLBMJMXHZDRFNP-UHFFFAOYSA-N -1 1 317.280 1.126 20 0 DDADMM CC(C)n1c(CNC(=O)[C@@H]2CCc3c[nH]nc3C2)n[n-]c1=S ZINC000080173292 353594651 /nfs/dbraw/zinc/59/46/51/353594651.db2.gz QPOGWKRRHYKXAF-SECBINFHSA-N -1 1 320.422 1.666 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H](C)C[C@H](C)O ZINC000081824383 353694513 /nfs/dbraw/zinc/69/45/13/353694513.db2.gz KCMNFUYGGPLBIJ-ZJUUUORDSA-N -1 1 309.410 1.513 20 0 DDADMM COc1ccc(CC2CCN(Cc3n[nH]c(=O)[n-]3)CC2)cc1 ZINC000091552607 353829620 /nfs/dbraw/zinc/82/96/20/353829620.db2.gz AGDILNMEVMXWRE-UHFFFAOYSA-N -1 1 302.378 1.561 20 0 DDADMM O=c1[n-]c(CN2CCCCC[C@H]2C[C@H](O)c2ccco2)n[nH]1 ZINC000091591907 353830960 /nfs/dbraw/zinc/83/09/60/353830960.db2.gz NHTZVWRIYICPBZ-RYUDHWBXSA-N -1 1 306.366 1.559 20 0 DDADMM O=c1[n-]c(CN2CCC[C@H]2C[C@@H](O)c2ccc(F)cc2)n[nH]1 ZINC000091632045 353832333 /nfs/dbraw/zinc/83/23/33/353832333.db2.gz HLUVRMMQLPVGKF-QWHCGFSZSA-N -1 1 306.341 1.325 20 0 DDADMM C[C@@H](CN(C)C(=O)CSc1ccc(F)cc1)c1nn[n-]n1 ZINC000124268776 354038129 /nfs/dbraw/zinc/03/81/29/354038129.db2.gz WDBUYRPXXOIJQA-VIFPVBQESA-N -1 1 309.370 1.693 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ncccc1[O-])c1cccs1 ZINC000153527839 354200001 /nfs/dbraw/zinc/20/00/01/354200001.db2.gz RVMWZMXUCHFLSX-SECBINFHSA-N -1 1 306.343 1.883 20 0 DDADMM C[C@H]1CC=C(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CC1 ZINC000332480762 235014797 /nfs/dbraw/zinc/01/47/97/235014797.db2.gz WLMZWWJMSLKBDS-JTQLQIEISA-N -1 1 307.423 1.346 20 0 DDADMM O=S(=O)([N-]CC1(CO)CCC1)c1cc(Cl)ccc1F ZINC000332497238 235034990 /nfs/dbraw/zinc/03/49/90/235034990.db2.gz VGUXUZYMKKXYGJ-UHFFFAOYSA-N -1 1 307.774 1.920 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2ccc(C)c(C(N)=O)c2)c1 ZINC000589439338 354990243 /nfs/dbraw/zinc/99/02/43/354990243.db2.gz LZFUARIPWABNML-UHFFFAOYSA-N -1 1 320.370 1.903 20 0 DDADMM C[C@H](O)[C@H]1CN(C(=O)c2ccc3ccccc3c2[O-])CCO1 ZINC000332518633 235054495 /nfs/dbraw/zinc/05/44/95/235054495.db2.gz LBMNJJPEUQBSDM-XHDPSFHLSA-N -1 1 301.342 1.767 20 0 DDADMM Cn1cc(N2CCN(C(=O)c3ccc(Cl)cc3[O-])CC2)cn1 ZINC000074808051 191364758 /nfs/dbraw/zinc/36/47/58/191364758.db2.gz RSNLEAKFFMKWGW-UHFFFAOYSA-N -1 1 320.780 1.742 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@@H](CC(C)(C)C)C(=O)OC)OC ZINC000592075338 355484680 /nfs/dbraw/zinc/48/46/80/355484680.db2.gz WFZKUMPLSCCHLU-MNOVXSKESA-N -1 1 309.428 1.309 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@@H](CC(C)(C)C)C(=O)OC)OC ZINC000592075339 355484890 /nfs/dbraw/zinc/48/48/90/355484890.db2.gz WFZKUMPLSCCHLU-QWRGUYRKSA-N -1 1 309.428 1.309 20 0 DDADMM COC(=O)C12CC(C(=O)Nc3n[n-]c(C(F)(F)F)n3)(C1)C2 ZINC000592754021 355677288 /nfs/dbraw/zinc/67/72/88/355677288.db2.gz JWQFGCMUFXOXHA-UHFFFAOYSA-N -1 1 304.228 1.105 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)Cc2ccccn2)c1 ZINC000594480463 356231688 /nfs/dbraw/zinc/23/16/88/356231688.db2.gz RBVWBNXJSGVOQZ-JTQLQIEISA-N -1 1 324.358 1.371 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CCOC)C1CCCCCC1 ZINC000594482816 356233214 /nfs/dbraw/zinc/23/32/14/356233214.db2.gz ZIRPCEISMZFGOM-ZDUSSCGKSA-N -1 1 321.439 1.454 20 0 DDADMM CC[C@@](C)(C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)OC ZINC000595330824 356451572 /nfs/dbraw/zinc/45/15/72/356451572.db2.gz FPFAQFCFVCSHNA-ZDUSSCGKSA-N -1 1 319.379 1.468 20 0 DDADMM CC[C@]1(C)CCCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000081320085 192248769 /nfs/dbraw/zinc/24/87/69/192248769.db2.gz FXRPZMLOJFFAPR-CQSZACIVSA-N -1 1 314.407 1.840 20 0 DDADMM CC(C)(C)OC(=O)[C@H](CN=c1ccc(C(N)=O)n[n-]1)C1CCC1 ZINC000596582325 356923483 /nfs/dbraw/zinc/92/34/83/356923483.db2.gz HNNIECUTCOGTEA-LLVKDONJSA-N -1 1 320.393 1.167 20 0 DDADMM Cc1cc(NC(=O)NN2CC(=O)[N-]C2=O)ccc1OC(C)C ZINC000276885707 213154876 /nfs/dbraw/zinc/15/48/76/213154876.db2.gz NIPOIJLDCDNRHY-UHFFFAOYSA-N -1 1 306.322 1.371 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C(=O)OC(C)(C)C)C1CC1)c1nn[n-]n1 ZINC000597508488 357201391 /nfs/dbraw/zinc/20/13/91/357201391.db2.gz SVGOAISSKWNXIQ-GXSJLCMTSA-N -1 1 323.397 1.130 20 0 DDADMM CSCCCCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000347716488 283263029 /nfs/dbraw/zinc/26/30/29/283263029.db2.gz LBHWRQQHEPWZDT-UHFFFAOYSA-N -1 1 318.420 1.511 20 0 DDADMM COCC[C@](C)(O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000178737456 198920447 /nfs/dbraw/zinc/92/04/47/198920447.db2.gz QZUKMPNVKUEEAY-LBPRGKRZSA-N -1 1 309.334 1.031 20 0 DDADMM CCS[C@@H](C(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1)C(C)C ZINC000598893496 357752821 /nfs/dbraw/zinc/75/28/21/357752821.db2.gz UKQHAVMCAFJHCA-LLVKDONJSA-N -1 1 323.422 1.696 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCc3cccc(C)c32)co1 ZINC000179558201 199055211 /nfs/dbraw/zinc/05/52/11/199055211.db2.gz BWJRYPRHPYVNSV-UHFFFAOYSA-N -1 1 320.370 1.699 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C)c(C(=O)OC)o1)c1nn[n-]n1 ZINC000599656948 358010987 /nfs/dbraw/zinc/01/09/87/358010987.db2.gz ZAHRKRJWZNDGBO-QMMMGPOBSA-N -1 1 307.310 1.159 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(CC[S@](=O)c2ccccc2)CC1 ZINC000600675513 358279339 /nfs/dbraw/zinc/27/93/39/358279339.db2.gz TWRRSMUUWIAWPL-QFIPXVFZSA-N -1 1 324.446 1.275 20 0 DDADMM COC(=O)[C@]1(C)C[C@H](OC)CN1C(=O)c1cc(F)ccc1[O-] ZINC000601734577 358669971 /nfs/dbraw/zinc/66/99/71/358669971.db2.gz DLZNFENQLRWZDA-BONVTDFDSA-N -1 1 311.309 1.324 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(Cl)c(C)c2)n[n-]1 ZINC000603016942 359359058 /nfs/dbraw/zinc/35/90/58/359359058.db2.gz NBSCLWWURAPKMB-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(Cl)c(C)c2)n1 ZINC000603016942 359359061 /nfs/dbraw/zinc/35/90/61/359359061.db2.gz NBSCLWWURAPKMB-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2CC(C(C)(C)C)C2)n[n-]1 ZINC000603021288 359363816 /nfs/dbraw/zinc/36/38/16/359363816.db2.gz JRQVXJMPSDJEJU-UHFFFAOYSA-N -1 1 308.382 1.670 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2CC(C(C)(C)C)C2)n1 ZINC000603021288 359363822 /nfs/dbraw/zinc/36/38/22/359363822.db2.gz JRQVXJMPSDJEJU-UHFFFAOYSA-N -1 1 308.382 1.670 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@]2(C)C(C)C)n[n-]1 ZINC000603152677 359439154 /nfs/dbraw/zinc/43/91/54/359439154.db2.gz DIPJWPPFGDTPIX-AMJWSMQMSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@]2(C)C(C)C)[n-]1 ZINC000603152677 359439159 /nfs/dbraw/zinc/43/91/59/359439159.db2.gz DIPJWPPFGDTPIX-AMJWSMQMSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@]2(C)C(C)C)n1 ZINC000603152677 359439162 /nfs/dbraw/zinc/43/91/62/359439162.db2.gz DIPJWPPFGDTPIX-AMJWSMQMSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@H]2C2CCCC2)n[n-]1 ZINC000603154318 359440092 /nfs/dbraw/zinc/44/00/92/359440092.db2.gz LBQOVVZBYPKZAK-ZMLRMANQSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@H]2C2CCCC2)[n-]1 ZINC000603154318 359440094 /nfs/dbraw/zinc/44/00/94/359440094.db2.gz LBQOVVZBYPKZAK-ZMLRMANQSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@H]2C2CCCC2)n1 ZINC000603154318 359440097 /nfs/dbraw/zinc/44/00/97/359440097.db2.gz LBQOVVZBYPKZAK-ZMLRMANQSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C(C)(C)C(F)F)n[n-]1 ZINC000603155587 359442128 /nfs/dbraw/zinc/44/21/28/359442128.db2.gz OHCGMIHTZYCNPU-ZCFIWIBFSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C(C)(C)C(F)F)[n-]1 ZINC000603155587 359442129 /nfs/dbraw/zinc/44/21/29/359442129.db2.gz OHCGMIHTZYCNPU-ZCFIWIBFSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C(C)(C)C(F)F)n1 ZINC000603155587 359442130 /nfs/dbraw/zinc/44/21/30/359442130.db2.gz OHCGMIHTZYCNPU-ZCFIWIBFSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CC2CC(F)(F)C2)n[n-]1 ZINC000603156175 359443409 /nfs/dbraw/zinc/44/34/09/359443409.db2.gz QXZKCCPSPADMEH-SSDOTTSWSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CC2CC(F)(F)C2)[n-]1 ZINC000603156175 359443410 /nfs/dbraw/zinc/44/34/10/359443410.db2.gz QXZKCCPSPADMEH-SSDOTTSWSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CC2CC(F)(F)C2)n1 ZINC000603156175 359443412 /nfs/dbraw/zinc/44/34/12/359443412.db2.gz QXZKCCPSPADMEH-SSDOTTSWSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cccc(C)c2F)n[n-]1 ZINC000603156746 359443716 /nfs/dbraw/zinc/44/37/16/359443716.db2.gz UPJBNGVTPMHVFQ-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cccc(C)c2F)[n-]1 ZINC000603156746 359443723 /nfs/dbraw/zinc/44/37/23/359443723.db2.gz UPJBNGVTPMHVFQ-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cccc(C)c2F)n1 ZINC000603156746 359443730 /nfs/dbraw/zinc/44/37/30/359443730.db2.gz UPJBNGVTPMHVFQ-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(C)cc2F)n[n-]1 ZINC000603152652 359439014 /nfs/dbraw/zinc/43/90/14/359439014.db2.gz CZCZHFVRBWTISF-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(C)cc2F)[n-]1 ZINC000603152652 359439019 /nfs/dbraw/zinc/43/90/19/359439019.db2.gz CZCZHFVRBWTISF-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(C)cc2F)n1 ZINC000603152652 359439023 /nfs/dbraw/zinc/43/90/23/359439023.db2.gz CZCZHFVRBWTISF-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)C[C@]1(C)CC1(F)F)C(=O)OC ZINC000603308964 359559700 /nfs/dbraw/zinc/55/97/00/359559700.db2.gz MKIJMSQVWVZXNE-KOLCDFICSA-N -1 1 313.366 1.683 20 0 DDADMM COc1ccnc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000604788037 359801282 /nfs/dbraw/zinc/80/12/82/359801282.db2.gz DKQKBVUXMNRDQP-CYBMUJFWSA-N -1 1 310.317 1.123 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(Sc2ccccc2)CCCC1 ZINC000604868793 359812530 /nfs/dbraw/zinc/81/25/30/359812530.db2.gz ZEXIATURKACPCH-UHFFFAOYSA-N -1 1 303.391 1.921 20 0 DDADMM CC[C@H](CSC)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000358985418 299299553 /nfs/dbraw/zinc/29/95/53/299299553.db2.gz UOAWTKKVQLBWEJ-SNVBAGLBSA-N -1 1 311.407 1.117 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cccc2c1OCCO2 ZINC000605381478 359848308 /nfs/dbraw/zinc/84/83/08/359848308.db2.gz SMMGSYVWGKDDDE-UHFFFAOYSA-N -1 1 315.333 1.170 20 0 DDADMM CC(C)(C)c1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)[nH]n1 ZINC000605529845 359866558 /nfs/dbraw/zinc/86/65/58/359866558.db2.gz AZGRZFHZJXANHX-UHFFFAOYSA-N -1 1 303.370 1.420 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC[C@H](C)CC2)co1 ZINC000188937077 200324529 /nfs/dbraw/zinc/32/45/29/200324529.db2.gz JCLCCEVHDRGPNR-CMPLNLGQSA-N -1 1 314.407 1.886 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C(=O)OC)c(F)c1)c1nn[n-]n1 ZINC000610886214 360563232 /nfs/dbraw/zinc/56/32/32/360563232.db2.gz SZYQOCRLYSUWLF-LLVKDONJSA-N -1 1 321.312 1.397 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)N1CC(=O)Nc2ccccc21)C(=O)[O-] ZINC000262301034 283569241 /nfs/dbraw/zinc/56/92/41/283569241.db2.gz QGNGMVDJHKOZNW-ZYHUDNBSSA-N -1 1 319.361 1.203 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H](C)c2ccncc2)c1 ZINC000611082900 360617617 /nfs/dbraw/zinc/61/76/17/360617617.db2.gz KGTVONXKDSHJFK-JTQLQIEISA-N -1 1 324.358 1.543 20 0 DDADMM O=C(N[C@H]1CC(=O)N(C2CC2)C1)c1ncc2ccccc2c1[O-] ZINC000611939447 360862733 /nfs/dbraw/zinc/86/27/33/360862733.db2.gz XMPUQHBDBPKPIH-NSHDSACASA-N -1 1 311.341 1.434 20 0 DDADMM O=C(c1ccc2cccnc2n1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612817031 361155451 /nfs/dbraw/zinc/15/54/51/361155451.db2.gz PGLHNBQLWDBSMW-LLVKDONJSA-N -1 1 309.333 1.163 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC(OCCO)CC1 ZINC000613021997 361244165 /nfs/dbraw/zinc/24/41/65/361244165.db2.gz XSBUMWWRIYTJJJ-UHFFFAOYSA-N -1 1 316.357 1.554 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncccc1[O-])c1ccccc1F ZINC000613083414 361261812 /nfs/dbraw/zinc/26/18/12/361261812.db2.gz DPRRMQVCQAANGB-LBPRGKRZSA-N -1 1 304.277 1.570 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1COC[C@@H]1c1ccccc1)c1nn[n-]n1 ZINC000613473649 361434005 /nfs/dbraw/zinc/43/40/05/361434005.db2.gz BETNVYBUPPGKTO-BNOWGMLFSA-N -1 1 315.377 1.192 20 0 DDADMM COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000275493013 212369275 /nfs/dbraw/zinc/36/92/75/212369275.db2.gz GSXBMGQUFFJRJW-CMPLNLGQSA-N -1 1 313.737 1.184 20 0 DDADMM CCn1ncc(Cl)c1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000621443683 364857852 /nfs/dbraw/zinc/85/78/52/364857852.db2.gz QDLZKDQDDMBMPH-UHFFFAOYSA-N -1 1 308.651 1.946 20 0 DDADMM O=C(Cn1cc(C2CC2)cn1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000621708946 364994647 /nfs/dbraw/zinc/99/46/47/364994647.db2.gz FWAUPDYFCPYQPP-HNNXBMFYSA-N -1 1 323.360 1.179 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@H]1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000621709568 364994832 /nfs/dbraw/zinc/99/48/32/364994832.db2.gz XQRKCQWXOGEJMQ-UPJWGTAASA-N -1 1 315.377 1.609 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NC1(CO)CCC1)c2=O ZINC000622099240 365254142 /nfs/dbraw/zinc/25/41/42/365254142.db2.gz IFGKBUNSHDCBSE-UHFFFAOYSA-N -1 1 302.330 1.182 20 0 DDADMM Cc1cc(C(=O)N2CCN([C@@H](C(=O)[O-])C3CC3)CC2)c(C)o1 ZINC000635016746 422767797 /nfs/dbraw/zinc/76/77/97/422767797.db2.gz OQFAFSAAEVKRJG-CQSZACIVSA-N -1 1 306.362 1.517 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cc(C2CC2)n[nH]1 ZINC000622609934 365450763 /nfs/dbraw/zinc/45/07/63/365450763.db2.gz LIJFFJZSJJIVKG-CYBMUJFWSA-N -1 1 309.333 1.320 20 0 DDADMM O=C(Nc1ccc(=O)n(CCO)c1)c1cc2ccccc2cc1[O-] ZINC000622737821 365518579 /nfs/dbraw/zinc/51/85/79/365518579.db2.gz QFXDMTNAUZXQPM-UHFFFAOYSA-N -1 1 324.336 1.952 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)CCc1cccc(Cl)c1 ZINC000625492983 366964090 /nfs/dbraw/zinc/96/40/90/366964090.db2.gz OTHODLINIRIIBP-LBPRGKRZSA-N -1 1 317.794 1.160 20 0 DDADMM C[C@H](CNC(=O)c1csc(=NC2CC2)[n-]1)Cn1cccn1 ZINC000349843038 284074249 /nfs/dbraw/zinc/07/42/49/284074249.db2.gz ZLSIYJUSWXBRII-SNVBAGLBSA-N -1 1 305.407 1.402 20 0 DDADMM Cc1cccc(NC(=O)NC(=O)CN2CC[C@H](C(=O)[O-])C2)c1C ZINC000262644330 203363290 /nfs/dbraw/zinc/36/32/90/203363290.db2.gz JUCLVFCUQCHBNV-LBPRGKRZSA-N -1 1 319.361 1.358 20 0 DDADMM CSc1cccc(F)c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000282516829 217055560 /nfs/dbraw/zinc/05/55/60/217055560.db2.gz XVIQAGGIFLVTJZ-MRVPVSSYSA-N -1 1 309.370 1.936 20 0 DDADMM O=C(N[C@@H](c1ccccc1)C(F)F)c1cc(=O)n2[n-]cnc2n1 ZINC000351511054 284354091 /nfs/dbraw/zinc/35/40/91/284354091.db2.gz IPNGUHUZAFZNTM-NSHDSACASA-N -1 1 319.271 1.154 20 0 DDADMM CSc1cc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)ccn1 ZINC000282820605 217272870 /nfs/dbraw/zinc/27/28/70/217272870.db2.gz CHCVHEIVNJBTHL-SNVBAGLBSA-N -1 1 319.390 1.235 20 0 DDADMM O=S(=O)(CCCCF)[N-]c1cc([C@H]2CCCOC2)[nH]n1 ZINC000288467701 220161124 /nfs/dbraw/zinc/16/11/24/220161124.db2.gz AXRMKTUFWFJEQQ-JTQLQIEISA-N -1 1 305.375 1.795 20 0 DDADMM CO[C@H]1CCN(C(=O)CCc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000267901642 206326551 /nfs/dbraw/zinc/32/65/51/206326551.db2.gz SLFRTEPYJFVHAH-LBPRGKRZSA-N -1 1 318.377 1.232 20 0 DDADMM Cc1ccc(O)c(C[N@@H+]2CCC[C@]3(CCS(=O)(=O)C3)C2)n1 ZINC000333628681 249049087 /nfs/dbraw/zinc/04/90/87/249049087.db2.gz ZRXAJKQUPSJBJX-HNNXBMFYSA-N -1 1 310.419 1.496 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](C2CCCC2)C1 ZINC000333802100 249111068 /nfs/dbraw/zinc/11/10/68/249111068.db2.gz YINFIONVCGJFEG-SNVBAGLBSA-N -1 1 307.350 1.549 20 0 DDADMM O=C(CN1CCC(OCC2CCCCC2)CC1)Nc1nnn[n-]1 ZINC000579565191 422790609 /nfs/dbraw/zinc/79/06/09/422790609.db2.gz QVIUVXZHFXRDRT-UHFFFAOYSA-N -1 1 322.413 1.200 20 0 DDADMM O=C(CN1CCC(OCC2CCCCC2)CC1)Nc1nn[n-]n1 ZINC000579565191 422790614 /nfs/dbraw/zinc/79/06/14/422790614.db2.gz QVIUVXZHFXRDRT-UHFFFAOYSA-N -1 1 322.413 1.200 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCCSCC)o1 ZINC000337207497 249389676 /nfs/dbraw/zinc/38/96/76/249389676.db2.gz OSSGYNJWFOLAPN-UHFFFAOYSA-N -1 1 321.420 1.878 20 0 DDADMM COC[C@@H](CCO)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284400824 218012689 /nfs/dbraw/zinc/01/26/89/218012689.db2.gz TYGNGLLSHMTHCL-SECBINFHSA-N -1 1 311.762 1.155 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000284401733 218012929 /nfs/dbraw/zinc/01/29/29/218012929.db2.gz QRBPHLLCEZIQBV-CHWSQXEVSA-N -1 1 312.391 1.215 20 0 DDADMM Cn1cc([C@H](CO)NCc2cc(=O)oc3cc([O-])ccc23)cn1 ZINC000273949366 211204546 /nfs/dbraw/zinc/20/45/46/211204546.db2.gz DTXPXROUYKRXGL-AWEZNQCLSA-N -1 1 315.329 1.055 20 0 DDADMM C[C@H](NC(=O)c1cc(Cl)ccc1[O-])[C@H](C)N1CCOCC1 ZINC000104937478 194055486 /nfs/dbraw/zinc/05/54/86/194055486.db2.gz KZNQHQLCOJQPFQ-QWRGUYRKSA-N -1 1 312.797 1.885 20 0 DDADMM COCCN1CC[C@H](NC(=O)c2cc(F)c([O-])c(F)c2)C1 ZINC000273923193 211177664 /nfs/dbraw/zinc/17/76/64/211177664.db2.gz GKAHWNKBMSGKQD-JTQLQIEISA-N -1 1 300.305 1.121 20 0 DDADMM Cc1ncc(Cl)c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000567380398 304216049 /nfs/dbraw/zinc/21/60/49/304216049.db2.gz ZUYCIIDSMSMCBH-UHFFFAOYSA-N -1 1 323.740 1.547 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC[C@H](C(F)(F)F)[C@H](CO)C1 ZINC000286124802 296290298 /nfs/dbraw/zinc/29/02/98/296290298.db2.gz GJOMABVECVTTIX-ONGXEEELSA-N -1 1 304.268 1.420 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1sccc1F ZINC000338958269 250218101 /nfs/dbraw/zinc/21/81/01/250218101.db2.gz UFWQDWDPQJMUSL-JGVFFNPUSA-N -1 1 309.384 1.753 20 0 DDADMM CCOc1cc(C(=O)NCc2nncn2C)cc(Cl)c1[O-] ZINC000273813895 211082580 /nfs/dbraw/zinc/08/25/80/211082580.db2.gz BDGGCCKADAYVIW-UHFFFAOYSA-N -1 1 310.741 1.503 20 0 DDADMM C[C@H](C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)S(C)(=O)=O ZINC000339128464 250305449 /nfs/dbraw/zinc/30/54/49/250305449.db2.gz PJKUGDDGOYWITP-MRVPVSSYSA-N -1 1 309.347 1.154 20 0 DDADMM O=C(COCc1ccccc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339193258 250338160 /nfs/dbraw/zinc/33/81/60/250338160.db2.gz DKNJBPLHXZXINJ-UHFFFAOYSA-N -1 1 317.345 1.698 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cnn(CC(C)C)c1 ZINC000288589750 220266515 /nfs/dbraw/zinc/26/65/15/220266515.db2.gz SGLYFMVLCNQTKZ-UHFFFAOYSA-N -1 1 317.411 1.480 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2sc(C)nc2C)n1 ZINC000285130651 218302477 /nfs/dbraw/zinc/30/24/77/218302477.db2.gz OLEULZNIJHWYNJ-UHFFFAOYSA-N -1 1 315.376 1.244 20 0 DDADMM CO[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000579588075 422796643 /nfs/dbraw/zinc/79/66/43/422796643.db2.gz VPOJYOQEKNCYOY-ZYHUDNBSSA-N -1 1 315.322 1.264 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCc2ccoc2)c1 ZINC000359687346 299515262 /nfs/dbraw/zinc/51/52/62/299515262.db2.gz XEXGYGOFFCGWBF-UHFFFAOYSA-N -1 1 324.358 1.465 20 0 DDADMM Cc1nn(C)c(C)c1NS(=O)(=O)c1ccc(C(=O)[O-])c(C)c1 ZINC000285276141 218362935 /nfs/dbraw/zinc/36/29/35/218362935.db2.gz YVTKABAYWUJWPS-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(Cc3ccccc3)no2)o1 ZINC000109491158 194269333 /nfs/dbraw/zinc/26/93/33/194269333.db2.gz MZHJSZKWVVLSRU-UHFFFAOYSA-N -1 1 319.342 1.829 20 0 DDADMM COc1ccccc1S(=O)(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000340368358 251030203 /nfs/dbraw/zinc/03/02/03/251030203.db2.gz DIQLNEBPCFSWCW-UHFFFAOYSA-N -1 1 318.358 1.151 20 0 DDADMM Cc1nc(-c2ccc(N3CCNC(=O)[C@@H]3C)nc2)[n-]c(=O)c1C ZINC000340754675 251194612 /nfs/dbraw/zinc/19/46/12/251194612.db2.gz PCNQOTACEPADSM-NSHDSACASA-N -1 1 313.361 1.186 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1CCC[C@@H]1F ZINC000340781912 251208828 /nfs/dbraw/zinc/20/88/28/251208828.db2.gz RKEDGXQCCXSSDM-STQMWFEESA-N -1 1 320.368 1.991 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)CCC(F)(F)F)CCCCC1 ZINC000340931960 251284312 /nfs/dbraw/zinc/28/43/12/251284312.db2.gz QQYMOPSMPQNWHU-UHFFFAOYSA-N -1 1 317.329 1.734 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N(C)CC1CC1 ZINC000064337190 184294926 /nfs/dbraw/zinc/29/49/26/184294926.db2.gz AAUWCLSPXUSBRB-UHFFFAOYSA-N -1 1 302.378 1.853 20 0 DDADMM CCn1nc(C)c(C(=O)[N-]c2nnc(-c3ccco3)s2)n1 ZINC000294537823 224077166 /nfs/dbraw/zinc/07/71/66/224077166.db2.gz KCRVPTKLVOCIJK-UHFFFAOYSA-N -1 1 304.335 1.970 20 0 DDADMM CC(C)[C@@H]1CCCC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000352352532 284986979 /nfs/dbraw/zinc/98/69/79/284986979.db2.gz JWGIJIWLGAJXSG-STQMWFEESA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1cccs1 ZINC000352431576 285045916 /nfs/dbraw/zinc/04/59/16/285045916.db2.gz LULXYSUMTKMOGD-QMMMGPOBSA-N -1 1 303.347 1.360 20 0 DDADMM CNC(=O)C(C)(C)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000119815101 195042042 /nfs/dbraw/zinc/04/20/42/195042042.db2.gz HNVAQDFLWCGHNM-UHFFFAOYSA-N -1 1 324.324 1.154 20 0 DDADMM CCCC[C@H]1CCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352441343 285052480 /nfs/dbraw/zinc/05/24/80/285052480.db2.gz WMTRPDHPDRPOQY-WDEREUQCSA-N -1 1 303.366 1.506 20 0 DDADMM CCCC[C@@H]1CCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352441340 285052500 /nfs/dbraw/zinc/05/25/00/285052500.db2.gz WMTRPDHPDRPOQY-GHMZBOCLSA-N -1 1 303.366 1.506 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1Cc1cn(-c2ccccc2)nn1 ZINC000567857355 304248312 /nfs/dbraw/zinc/24/83/12/304248312.db2.gz CEQGHDBUXYOALD-CHWSQXEVSA-N -1 1 300.362 1.952 20 0 DDADMM C[C@@H](CO)CCC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000270222103 208289494 /nfs/dbraw/zinc/28/94/94/208289494.db2.gz HSNISVDFGYGCBK-MRVPVSSYSA-N -1 1 311.325 1.791 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)Cc1ccnn1C ZINC000352522808 285113022 /nfs/dbraw/zinc/11/30/22/285113022.db2.gz ICVQQKPLEJWAHR-UHFFFAOYSA-N -1 1 317.393 1.794 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@H](C)C(F)(F)F)cs1 ZINC000288626466 220302550 /nfs/dbraw/zinc/30/25/50/220302550.db2.gz NGJLZMJMGYFHMF-RXMQYKEDSA-N -1 1 317.310 1.764 20 0 DDADMM Cc1ccoc1C(=O)NCCCNC(=O)c1ccc([O-])cc1F ZINC000154914021 197004702 /nfs/dbraw/zinc/00/47/02/197004702.db2.gz WQBNVCSXPCBUSC-UHFFFAOYSA-N -1 1 320.320 1.983 20 0 DDADMM CCC[C@H](NC(=O)c1cc(-c2ccoc2)n[nH]1)c1nn[n-]n1 ZINC000579660920 422807670 /nfs/dbraw/zinc/80/76/70/422807670.db2.gz UGYONFFIWHXTLO-VIFPVBQESA-N -1 1 301.310 1.454 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H](C)c1nccs1 ZINC000289263140 220843017 /nfs/dbraw/zinc/84/30/17/220843017.db2.gz AKFIMNHEPBLHNG-ZCFIWIBFSA-N -1 1 302.381 1.104 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)[N-]CC(F)(F)c2ccccc2)C1 ZINC000352734915 285264557 /nfs/dbraw/zinc/26/45/57/285264557.db2.gz MVCFYAXMASKEAG-LLVKDONJSA-N -1 1 304.362 1.955 20 0 DDADMM Cc1noc(CN2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000289584448 221085213 /nfs/dbraw/zinc/08/52/13/221085213.db2.gz WSPVZEKOINDYBD-SECBINFHSA-N -1 1 306.288 1.269 20 0 DDADMM Cc1nc2n(n1)C[C@@H](NC(=O)c1c(F)ccc([O-])c1F)CC2 ZINC000289782307 221222510 /nfs/dbraw/zinc/22/25/10/221222510.db2.gz RLJHBKYEIXQKQP-QMMMGPOBSA-N -1 1 308.288 1.315 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)c1c(F)cncc1F ZINC000289927476 221322415 /nfs/dbraw/zinc/32/24/15/221322415.db2.gz RBAMDPVTCKWZRK-RXMQYKEDSA-N -1 1 318.305 1.278 20 0 DDADMM CO[C@@H](C)CC[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352870980 285349441 /nfs/dbraw/zinc/34/94/41/285349441.db2.gz MKZYDCXIABZNIG-ZETCQYMHSA-N -1 1 312.185 1.745 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cccc1F)c1nnc2n1CCCC2 ZINC000332000073 533274426 /nfs/dbraw/zinc/27/44/26/533274426.db2.gz FUQSRMAERWBRFV-SECBINFHSA-N -1 1 304.325 1.950 20 0 DDADMM C[C@@H]1CCCC[C@]1(C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000457234154 533632619 /nfs/dbraw/zinc/63/26/19/533632619.db2.gz ANZRULOOMTVYSQ-BZNIZROVSA-N -1 1 305.378 1.602 20 0 DDADMM CCCc1nc(=NC(=O)N[C@H]2CCc3[nH]cnc3C2)s[n-]1 ZINC000569039644 304331948 /nfs/dbraw/zinc/33/19/48/304331948.db2.gz FXEQKVCLWBHFOR-QMMMGPOBSA-N -1 1 306.395 1.315 20 0 DDADMM CC[C@]([N-]S(=O)(=O)c1sccc1Cl)(C(N)=O)C(C)C ZINC000451381546 288424673 /nfs/dbraw/zinc/42/46/73/288424673.db2.gz FEGMVJKGVNQYBE-LLVKDONJSA-N -1 1 324.855 1.970 20 0 DDADMM CCn1c(CNC(=O)[C@@H]2Cc3cc(F)ccc3O2)n[n-]c1=S ZINC000076992596 406977117 /nfs/dbraw/zinc/97/71/17/406977117.db2.gz FKULTGCVPAVRLQ-NSHDSACASA-N -1 1 322.365 1.720 20 0 DDADMM O=C(NC[C@H]1CCC[C@H](O)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000075418670 406931478 /nfs/dbraw/zinc/93/14/78/406931478.db2.gz BDPQUWVVSRTJRB-QWRGUYRKSA-N -1 1 301.346 1.204 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cccc(Cl)c2C)n1 ZINC000044454536 407023680 /nfs/dbraw/zinc/02/36/80/407023680.db2.gz YNHOKOCCBNIQHO-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(Br)ccc1F ZINC000044929364 407034171 /nfs/dbraw/zinc/03/41/71/407034171.db2.gz YZQOAUFDVRPEAO-UHFFFAOYSA-N -1 1 300.091 1.031 20 0 DDADMM O=C(NC[C@H]1CCOC1)c1cc(Br)ccc1[O-] ZINC000041375322 407007682 /nfs/dbraw/zinc/00/76/82/407007682.db2.gz SVHURQRPESRUGW-MRVPVSSYSA-N -1 1 300.152 1.921 20 0 DDADMM C[C@H](C(=O)Nc1ccccc1Cl)S(=O)(=O)c1ncn[n-]1 ZINC000086169880 407108934 /nfs/dbraw/zinc/10/89/34/407108934.db2.gz JBHITSFFAGDXGD-SSDOTTSWSA-N -1 1 314.754 1.259 20 0 DDADMM C[C@H](C(=O)Nc1ccccc1Cl)S(=O)(=O)c1nc[n-]n1 ZINC000086169880 407108935 /nfs/dbraw/zinc/10/89/35/407108935.db2.gz JBHITSFFAGDXGD-SSDOTTSWSA-N -1 1 314.754 1.259 20 0 DDADMM O=C(CNC(=O)c1ccc(Cl)cc1[O-])NCC(F)(F)F ZINC000079680346 407060736 /nfs/dbraw/zinc/06/07/36/407060736.db2.gz ACRCJSOFDMBBOT-UHFFFAOYSA-N -1 1 310.659 1.454 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000047436865 407074188 /nfs/dbraw/zinc/07/41/88/407074188.db2.gz VICQEQZOXJCQLT-UHFFFAOYSA-N -1 1 312.211 1.245 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)Nc3nnn[n-]3)CCC2=O)cc1C ZINC000089752993 407146745 /nfs/dbraw/zinc/14/67/45/407146745.db2.gz NLOGMCBPGHRBPN-LLVKDONJSA-N -1 1 314.349 1.198 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)Nc3nn[n-]n3)CCC2=O)cc1C ZINC000089752993 407146746 /nfs/dbraw/zinc/14/67/46/407146746.db2.gz NLOGMCBPGHRBPN-LLVKDONJSA-N -1 1 314.349 1.198 20 0 DDADMM CCOC(=O)CC[C@@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000089783448 407148636 /nfs/dbraw/zinc/14/86/36/407148636.db2.gz LIVFRPKBMMTNLM-SECBINFHSA-N -1 1 321.345 1.975 20 0 DDADMM COc1ccccc1CCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000095976958 407219722 /nfs/dbraw/zinc/21/97/22/407219722.db2.gz KTMZQOCVWALSKX-ZDUSSCGKSA-N -1 1 315.377 1.547 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc2cc(Br)ccc2[nH]1 ZINC000102894999 407328204 /nfs/dbraw/zinc/32/82/04/407328204.db2.gz GFFONAWSIVOXRV-UHFFFAOYSA-N -1 1 307.111 1.696 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]2c2ccc[nH]2)o1 ZINC000123557129 407332489 /nfs/dbraw/zinc/33/24/89/407332489.db2.gz AFZZYQIHFVIZDN-NSHDSACASA-N -1 1 323.374 1.493 20 0 DDADMM C[C@H](Cc1ccc(O)cc1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000098022607 407304321 /nfs/dbraw/zinc/30/43/21/407304321.db2.gz DRJIJYICNYNIKV-LLVKDONJSA-N -1 1 323.352 1.990 20 0 DDADMM CC[C@@H]1CCCN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000104034953 407345348 /nfs/dbraw/zinc/34/53/48/407345348.db2.gz PJDPLVLSPVQNSS-CYBMUJFWSA-N -1 1 316.405 1.831 20 0 DDADMM Cc1cc(F)ccc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000181030556 306697234 /nfs/dbraw/zinc/69/72/34/306697234.db2.gz MQIATRWZCQCJNT-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM Cc1ccc2cc(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)ccc2n1 ZINC000124701505 407367569 /nfs/dbraw/zinc/36/75/69/407367569.db2.gz ODSSQMDLGSBTTE-SNVBAGLBSA-N -1 1 310.361 1.932 20 0 DDADMM O=c1cc(CN2CCC(OC3CCCC3)CC2)nc2cc[n-]n21 ZINC000108857946 407392036 /nfs/dbraw/zinc/39/20/36/407392036.db2.gz SEFPQTRCOBKCQI-UHFFFAOYSA-N -1 1 316.405 1.946 20 0 DDADMM Cc1nnc([N-]C(=O)c2cnc(-c3cnn(C)c3)s2)s1 ZINC000112162682 407421889 /nfs/dbraw/zinc/42/18/89/407421889.db2.gz HMIBWLDDPMXSFG-UHFFFAOYSA-N -1 1 306.376 1.956 20 0 DDADMM CC(C)CCNC(=O)[C@@H](C)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127186408 407430605 /nfs/dbraw/zinc/43/06/05/407430605.db2.gz IFIJPUAZNLHCJK-SECBINFHSA-N -1 1 314.411 1.007 20 0 DDADMM CCC(CC)(CNC(=O)c1ccc2[nH]nnc2c1)C(=O)OC ZINC000128154176 407503035 /nfs/dbraw/zinc/50/30/35/407503035.db2.gz DNSFXPGKNUIXIV-UHFFFAOYSA-N -1 1 304.350 1.667 20 0 DDADMM CCN(C)CCNS(=O)(=O)c1cc(C)c(F)c(C(=O)[O-])c1 ZINC000127632978 407449479 /nfs/dbraw/zinc/44/94/79/407449479.db2.gz JGACQSYFTUZQDS-UHFFFAOYSA-N -1 1 318.370 1.062 20 0 DDADMM O=C(NCCN1CCc2sccc2C1)c1ncccc1[O-] ZINC000171269533 407644717 /nfs/dbraw/zinc/64/47/17/407644717.db2.gz DQMHDGRSOPESBM-UHFFFAOYSA-N -1 1 303.387 1.637 20 0 DDADMM CC(C)OC(=O)C[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000186450263 407671251 /nfs/dbraw/zinc/67/12/51/407671251.db2.gz UELKCUWJZZRSQV-LBPRGKRZSA-N -1 1 306.362 1.981 20 0 DDADMM CS(=O)(=O)CCN(C(=O)c1cc(F)ccc1[O-])C1CC1 ZINC000271445057 407688920 /nfs/dbraw/zinc/68/89/20/407688920.db2.gz RJGXMTQPTKRGQM-UHFFFAOYSA-N -1 1 301.339 1.181 20 0 DDADMM CCS(=O)(=O)Nc1ccccc1C(=O)Nc1nnc(C)[nH]1 ZINC000171550965 407701524 /nfs/dbraw/zinc/70/15/24/407701524.db2.gz OXSNQGDFMGWRRZ-UHFFFAOYSA-N -1 1 309.351 1.127 20 0 DDADMM O=C(NC1(c2ncon2)CCOCC1)c1ccc(Cl)cc1[O-] ZINC000152855622 407702310 /nfs/dbraw/zinc/70/23/10/407702310.db2.gz LEQNBHYTDGPUJU-UHFFFAOYSA-N -1 1 323.736 1.864 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)Nc1ccc(=O)n(C)c1 ZINC000267072089 407739119 /nfs/dbraw/zinc/73/91/19/407739119.db2.gz ZJNCSFGDNRMSQZ-UHFFFAOYSA-N -1 1 316.361 1.739 20 0 DDADMM CCNc1nc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cs1 ZINC000267088602 407743587 /nfs/dbraw/zinc/74/35/87/407743587.db2.gz TUXBHOLTZCWVPC-UHFFFAOYSA-N -1 1 306.273 1.964 20 0 DDADMM C[C@@H](NC(=O)c1ccoc1)C(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000132855197 407807448 /nfs/dbraw/zinc/80/74/48/407807448.db2.gz GLEAGIXJRMLVLC-MRVPVSSYSA-N -1 1 315.289 1.415 20 0 DDADMM C[C@H](Oc1cccnc1)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000117763793 407856384 /nfs/dbraw/zinc/85/63/84/407856384.db2.gz SUCLHFLDPXWEJE-LURJTMIESA-N -1 1 301.228 1.625 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]c1c[nH]cc(Br)c1=O ZINC000272411836 407906760 /nfs/dbraw/zinc/90/67/60/407906760.db2.gz GQRRGVGMEYDUAH-UHFFFAOYSA-N -1 1 324.200 1.548 20 0 DDADMM Cn1cc(C(=O)C[C@H]2CCCN2C(=O)c2ncccc2[O-])cn1 ZINC000154013604 407952298 /nfs/dbraw/zinc/95/22/98/407952298.db2.gz WGIFRLPROFFQBX-GFCCVEGCSA-N -1 1 314.345 1.398 20 0 DDADMM O=C(NCCNC(=O)c1ccc2ccccc2c1[O-])c1ccn[nH]1 ZINC000180962105 407939381 /nfs/dbraw/zinc/93/93/81/407939381.db2.gz OLFLVWZGIGRCJW-UHFFFAOYSA-N -1 1 324.340 1.428 20 0 DDADMM CC[C@H](C)[C@@](C)(O)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119290846 408033464 /nfs/dbraw/zinc/03/34/64/408033464.db2.gz AKOZZFUSWKTMJU-VFZGTOFNSA-N -1 1 306.366 1.201 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC3(C2)CCCCC3)co1 ZINC000272900872 408015587 /nfs/dbraw/zinc/01/55/87/408015587.db2.gz BYAXLBDNGSASMY-UHFFFAOYSA-N -1 1 312.391 1.594 20 0 DDADMM CN(CCc1nccs1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119426998 408067480 /nfs/dbraw/zinc/06/74/80/408067480.db2.gz DJTSUWJSFXPZPG-UHFFFAOYSA-N -1 1 317.374 1.445 20 0 DDADMM O=C(NCCN1C(=O)Cc2ccccc21)c1cc(F)ccc1[O-] ZINC000175214105 408080041 /nfs/dbraw/zinc/08/00/41/408080041.db2.gz FWRWNAJCMCRRQQ-UHFFFAOYSA-N -1 1 314.316 1.850 20 0 DDADMM Cc1cc(Cl)ccc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000136247260 408088208 /nfs/dbraw/zinc/08/82/08/408088208.db2.gz SGUCQAANXRDRQI-UHFFFAOYSA-N -1 1 314.754 1.422 20 0 DDADMM Cc1cc(Cl)ccc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000136247260 408088213 /nfs/dbraw/zinc/08/82/13/408088213.db2.gz SGUCQAANXRDRQI-UHFFFAOYSA-N -1 1 314.754 1.422 20 0 DDADMM O=C(c1ccc2[nH]nnc2c1)N1CCN(c2cccs2)CC1 ZINC000137251407 408151178 /nfs/dbraw/zinc/15/11/78/408151178.db2.gz OYAPYEAKTUKHBJ-UHFFFAOYSA-N -1 1 313.386 1.982 20 0 DDADMM Cc1nn(C)cc1CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000273361915 408168084 /nfs/dbraw/zinc/16/80/84/408168084.db2.gz OOPIWECCUCZWRG-UHFFFAOYSA-N -1 1 317.393 1.760 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc(O)cc1Cl)c1nn[n-]n1 ZINC000182574517 408196321 /nfs/dbraw/zinc/19/63/21/408196321.db2.gz URDUTHMIMNQOPT-LLVKDONJSA-N -1 1 309.757 1.759 20 0 DDADMM COc1cccc2c1OC[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000126165962 161894073 /nfs/dbraw/zinc/89/40/73/161894073.db2.gz GXTZNGVRDQFZRM-NSHDSACASA-N -1 1 300.314 1.529 20 0 DDADMM COC(=O)Nc1ccccc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000273466976 408211270 /nfs/dbraw/zinc/21/12/70/408211270.db2.gz GIPUCRASCSYOMJ-VIFPVBQESA-N -1 1 318.337 1.254 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@H]1C[C@@H]1c1cc(F)ccc1F ZINC000176269862 408328550 /nfs/dbraw/zinc/32/85/50/408328550.db2.gz KASCVQAGEGCVDY-KOLCDFICSA-N -1 1 322.315 1.229 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1cc2cc(F)ccc2o1 ZINC000176271894 408329823 /nfs/dbraw/zinc/32/98/23/408329823.db2.gz UTADAIVTDQSIRT-UHFFFAOYSA-N -1 1 304.281 1.346 20 0 DDADMM C[C@@H](C[S@](C)=O)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000183193452 408349398 /nfs/dbraw/zinc/34/93/98/408349398.db2.gz ZEYLUZICINDBID-QQOXFEPMSA-N -1 1 315.338 1.149 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC2(c3ccccc3)CCC2)C1 ZINC000164624225 162154118 /nfs/dbraw/zinc/15/41/18/162154118.db2.gz SYYZIMVSQJQJKI-CQSZACIVSA-N -1 1 316.401 1.979 20 0 DDADMM COc1ccc(CCS(=O)(=O)c2n[n-]c(C3CC3)n2)cc1 ZINC000191027770 408361471 /nfs/dbraw/zinc/36/14/71/408361471.db2.gz NPDMNRCEKUUTGK-UHFFFAOYSA-N -1 1 307.375 1.707 20 0 DDADMM C[C@H]1C[C@@H](N(C)C(=O)c2nc3ccccc3c(=O)[n-]2)CC[N@H+]1C ZINC000173188224 162326020 /nfs/dbraw/zinc/32/60/20/162326020.db2.gz KTNOTLREEMAPDW-RYUDHWBXSA-N -1 1 314.389 1.478 20 0 DDADMM C[C@@H](CN(C)C(=O)CCn1ccc2ccccc21)c1nn[n-]n1 ZINC000183402854 408399993 /nfs/dbraw/zinc/39/99/93/408399993.db2.gz HSGSPZSYCPIAKD-LBPRGKRZSA-N -1 1 312.377 1.807 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@@H]1CCOc2ccccc21)c1nn[n-]n1 ZINC000183406981 408402666 /nfs/dbraw/zinc/40/26/66/408402666.db2.gz HNPUTQUGGRERSK-RYUDHWBXSA-N -1 1 315.377 1.718 20 0 DDADMM COc1ccc(CCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183425029 408407071 /nfs/dbraw/zinc/40/70/71/408407071.db2.gz GJZFDPOYDMHZPJ-NSHDSACASA-N -1 1 303.366 1.403 20 0 DDADMM COc1ccc(CCCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183444213 408413781 /nfs/dbraw/zinc/41/37/81/408413781.db2.gz LVUJQQWJPWZWCV-LBPRGKRZSA-N -1 1 317.393 1.793 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc2c(cccc2F)[nH]1)c1nn[n-]n1 ZINC000183442153 408413856 /nfs/dbraw/zinc/41/38/56/408413856.db2.gz SAMBHBAKNZPTEO-QMMMGPOBSA-N -1 1 302.313 1.696 20 0 DDADMM CCC[C@@H](NC(=O)CCOCc1ccccc1)c1nn[n-]n1 ZINC000176777700 408441078 /nfs/dbraw/zinc/44/10/78/408441078.db2.gz XRAUAMYMKPOEMW-CYBMUJFWSA-N -1 1 303.366 1.764 20 0 DDADMM CCC[C@H](NC(=O)COCCOc1ccccc1)c1nn[n-]n1 ZINC000176785564 408444159 /nfs/dbraw/zinc/44/41/59/408444159.db2.gz LVWOKKCRSNHPBC-ZDUSSCGKSA-N -1 1 319.365 1.253 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2CCO[C@H](C3CC3)C2)c1Cl ZINC000183812462 408494937 /nfs/dbraw/zinc/49/49/37/408494937.db2.gz IREZMJCHAUIDJR-UWVGGRQHSA-N -1 1 319.814 1.309 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])CCS(=O)(=O)C1 ZINC000191906000 408505624 /nfs/dbraw/zinc/50/56/24/408505624.db2.gz ONVZBLLWVRVDTA-SECBINFHSA-N -1 1 301.339 1.038 20 0 DDADMM CN(C)c1ncc(CNC(=O)c2cc(Cl)ccc2[O-])n1C ZINC000265180979 408570163 /nfs/dbraw/zinc/57/01/63/408570163.db2.gz RJQLNXVXLNCOLY-UHFFFAOYSA-N -1 1 308.769 1.775 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCCO2)cc1 ZINC000264643597 408543100 /nfs/dbraw/zinc/54/31/00/408543100.db2.gz NKZQAKSFMMTPPU-CYBMUJFWSA-N -1 1 313.375 1.784 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)C[C@H]2CCCO2)ccc1Cl ZINC000265450002 408658249 /nfs/dbraw/zinc/65/82/49/408658249.db2.gz ZMZDUESOZZNFGE-SECBINFHSA-N -1 1 318.782 1.360 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H](C)CC(C)=O)c(=O)[n-]1 ZINC000270951381 408756501 /nfs/dbraw/zinc/75/65/01/408756501.db2.gz LMFTUXAVTAEQFR-MRVPVSSYSA-N -1 1 311.407 1.629 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCc3cccc(C)c32)o1 ZINC000178110995 408775823 /nfs/dbraw/zinc/77/58/23/408775823.db2.gz QLAQAHGXPMLQGG-UHFFFAOYSA-N -1 1 320.370 1.699 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000276272429 408828275 /nfs/dbraw/zinc/82/82/75/408828275.db2.gz KEDVNKHVHNEQRK-RYUDHWBXSA-N -1 1 322.336 1.263 20 0 DDADMM CCS(=O)(=O)NCCCSc1nc(C2CC2)cc(=O)[n-]1 ZINC000276419327 408853411 /nfs/dbraw/zinc/85/34/11/408853411.db2.gz ZKIKYWJHJPJJMS-UHFFFAOYSA-N -1 1 317.436 1.481 20 0 DDADMM CCCN1CCCN(C(=O)C(=O)c2ccc([O-])cc2)CC1=O ZINC000280978304 408868120 /nfs/dbraw/zinc/86/81/20/408868120.db2.gz KZEWOIIANAWWTL-UHFFFAOYSA-N -1 1 304.346 1.046 20 0 DDADMM CN1CC[C@H]2CCN(C(=O)c3s[n-]c(=O)c3Cl)[C@H]2C1 ZINC000286239356 408947827 /nfs/dbraw/zinc/94/78/27/408947827.db2.gz DLZWMBGYMQADKO-YUMQZZPRSA-N -1 1 301.799 1.668 20 0 DDADMM CC[C@@H](C)[C@H](C)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000292185979 408996939 /nfs/dbraw/zinc/99/69/39/408996939.db2.gz JSUZRHWKCUOULG-ZJUUUORDSA-N -1 1 314.407 1.921 20 0 DDADMM O=C(CSc1nc(=O)cc([O-])n1C1CCCC1)[C@@H]1CCOC1 ZINC000277754554 409006300 /nfs/dbraw/zinc/00/63/00/409006300.db2.gz RKINUBZDMYIXJT-SNVBAGLBSA-N -1 1 324.402 1.762 20 0 DDADMM O=C(CSc1nc([O-])cc(=O)n1C1CCCC1)[C@@H]1CCOC1 ZINC000277754554 409006304 /nfs/dbraw/zinc/00/63/04/409006304.db2.gz RKINUBZDMYIXJT-SNVBAGLBSA-N -1 1 324.402 1.762 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000287404131 409032052 /nfs/dbraw/zinc/03/20/52/409032052.db2.gz JHDXKRFFOKIFRZ-CHWSQXEVSA-N -1 1 318.373 1.338 20 0 DDADMM COC(=O)C[C@H]1CSCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000283182564 409106430 /nfs/dbraw/zinc/10/64/30/409106430.db2.gz VIODYNCTVAUBBD-NSHDSACASA-N -1 1 323.370 1.082 20 0 DDADMM CS(=O)(=O)c1ccccc1CC(=O)[N-]O[C@H]1CCCCO1 ZINC000283284544 409129129 /nfs/dbraw/zinc/12/91/29/409129129.db2.gz FCLQYINWIONZRY-AWEZNQCLSA-N -1 1 313.375 1.207 20 0 DDADMM CC(C)CNC(=O)[C@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000279221609 409172195 /nfs/dbraw/zinc/17/21/95/409172195.db2.gz NPVCEXMZXQOIKS-GFCCVEGCSA-N -1 1 309.391 1.075 20 0 DDADMM COCCn1nnc2c1CCN(Cc1cccc([O-])c1Cl)C2 ZINC000293750797 409173861 /nfs/dbraw/zinc/17/38/61/409173861.db2.gz WUGHMJUCROIVAS-UHFFFAOYSA-N -1 1 322.796 1.842 20 0 DDADMM CC(C)C(=O)N1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000289108152 409220210 /nfs/dbraw/zinc/22/02/10/409220210.db2.gz MPCSDLLDIYHDQQ-UHFFFAOYSA-N -1 1 312.316 1.611 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@@H](C)O1 ZINC000289385116 409257985 /nfs/dbraw/zinc/25/79/85/409257985.db2.gz OCJDEYHCSWKWFY-GMSGAONNSA-N -1 1 315.272 1.073 20 0 DDADMM CCO[C@H]1C[C@](CO)(NC(=O)C(=O)c2ccc([O-])cc2)C1(C)C ZINC000295521273 409329356 /nfs/dbraw/zinc/32/93/56/409329356.db2.gz ILAKBJUNEWHYNJ-SUMWQHHRSA-N -1 1 321.373 1.257 20 0 DDADMM Cn1cc(N2CCN(Cc3cccc([O-])c3Cl)CC2=O)cn1 ZINC000295251163 409398761 /nfs/dbraw/zinc/39/87/61/409398761.db2.gz FYIIAPOTDCZHJI-UHFFFAOYSA-N -1 1 320.780 1.628 20 0 DDADMM CCOC(=O)C[C@H](C)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295548603 409341511 /nfs/dbraw/zinc/34/15/11/409341511.db2.gz PCZFVGBTGCGYKA-VIFPVBQESA-N -1 1 322.390 1.337 20 0 DDADMM C[C@H]1CCN(Cc2cc[nH]n2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000290492867 409420821 /nfs/dbraw/zinc/42/08/21/409420821.db2.gz OSKXYTDNGLLSHN-QXEWZRGKSA-N -1 1 304.316 1.687 20 0 DDADMM CCOC(=O)[C@@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000280714991 409423723 /nfs/dbraw/zinc/42/37/23/409423723.db2.gz GXBVXTSKZAFAJZ-GFCCVEGCSA-N -1 1 305.330 1.377 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN(c2ncccn2)CC1 ZINC000280725676 409427897 /nfs/dbraw/zinc/42/78/97/409427897.db2.gz IBLCJDHDHFWDFE-UHFFFAOYSA-N -1 1 320.299 1.423 20 0 DDADMM CN(C)S(=O)(=O)c1cccc(NC(=O)c2cncc([O-])c2)c1 ZINC000315766721 164019966 /nfs/dbraw/zinc/01/99/66/164019966.db2.gz ZQWUMCOGLOJPRW-UHFFFAOYSA-N -1 1 321.358 1.290 20 0 DDADMM C[C@@H]1CC[C@H](CCC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)O1 ZINC000407996425 164192479 /nfs/dbraw/zinc/19/24/79/164192479.db2.gz LAHJFSNTLOBVDY-GRYCIOLGSA-N -1 1 309.366 1.829 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3CCO[C@H](C)C3)cnc2n1 ZINC000408080895 164221090 /nfs/dbraw/zinc/22/10/90/164221090.db2.gz LNSBJINDNFHCCA-MNOVXSKESA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1CCO[C@H](C)C1)c2=O ZINC000408080895 164221093 /nfs/dbraw/zinc/22/10/93/164221093.db2.gz LNSBJINDNFHCCA-MNOVXSKESA-N -1 1 301.346 1.941 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCCC(C)(C)C2)co1 ZINC000408301003 164289846 /nfs/dbraw/zinc/28/98/46/164289846.db2.gz MUBKIHBPNZIBQR-UHFFFAOYSA-N -1 1 314.407 1.840 20 0 DDADMM COC(=O)CCSCCN=c1nc([C@H](C)OC)[n-]s1 ZINC000337857258 409516401 /nfs/dbraw/zinc/51/64/01/409516401.db2.gz HNAITJKBHZWQDO-QMMMGPOBSA-N -1 1 305.425 1.376 20 0 DDADMM CCc1oncc1[N-]S(=O)(=O)N1CCc2ccccc2C1 ZINC000337868731 409520686 /nfs/dbraw/zinc/52/06/86/409520686.db2.gz PQORCYSCNOBVGH-UHFFFAOYSA-N -1 1 307.375 1.952 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCc1cccc(Cl)c1 ZINC000337938423 409583380 /nfs/dbraw/zinc/58/33/80/409583380.db2.gz HRCMIOKPMPRRCP-UHFFFAOYSA-N -1 1 319.748 1.487 20 0 DDADMM CCN(C(=O)CNC(=O)c1ncccc1[O-])c1ccc(F)cc1 ZINC000337949722 409592103 /nfs/dbraw/zinc/59/21/03/409592103.db2.gz UCGXSEMPWPQFFU-UHFFFAOYSA-N -1 1 317.320 1.709 20 0 DDADMM Cc1cnc(C(=O)N[C@H](Cc2nnc[nH]2)c2ccccc2)c([O-])c1 ZINC000356882065 409688484 /nfs/dbraw/zinc/68/84/84/409688484.db2.gz NIGSNKCCSTUZCC-CYBMUJFWSA-N -1 1 323.356 1.928 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cncc3ccccc32)n1 ZINC000338114899 409729550 /nfs/dbraw/zinc/72/95/50/409729550.db2.gz CIANGGPWSWNKRB-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cncc3ccccc32)[n-]1 ZINC000338114899 409729553 /nfs/dbraw/zinc/72/95/53/409729553.db2.gz CIANGGPWSWNKRB-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM COc1ccc(C(=O)Nc2cccc(S(N)(=O)=O)c2)c([O-])c1 ZINC000314126728 409821768 /nfs/dbraw/zinc/82/17/68/409821768.db2.gz MUNUHLMPCNRDJO-UHFFFAOYSA-N -1 1 322.342 1.301 20 0 DDADMM Cc1ccc(CN2C[C@@H](C(=O)[N-]OC(C)(C)CO)CC2=O)cc1 ZINC000297150688 409826845 /nfs/dbraw/zinc/82/68/45/409826845.db2.gz IYOULPZWKJTAAH-AWEZNQCLSA-N -1 1 320.389 1.162 20 0 DDADMM CCCN(CC)c1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cn1 ZINC000357059370 409827080 /nfs/dbraw/zinc/82/70/80/409827080.db2.gz UAWWHBRZEMLHDC-UHFFFAOYSA-N -1 1 315.381 1.250 20 0 DDADMM Cc1c2ccccc2[nH]c(=O)c1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357065601 409831555 /nfs/dbraw/zinc/83/15/55/409831555.db2.gz GDCXWXLPGRTQCB-UHFFFAOYSA-N -1 1 310.317 1.181 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C)c2nnc3n2CCCC3)c([O-])c1 ZINC000332046504 409834734 /nfs/dbraw/zinc/83/47/34/409834734.db2.gz LYJJZBYJROOUCV-SNVBAGLBSA-N -1 1 301.350 1.514 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](C)CCCC(F)(F)F ZINC000349445194 409840211 /nfs/dbraw/zinc/84/02/11/409840211.db2.gz FDVWMQIUITZUAD-LURJTMIESA-N -1 1 315.317 1.795 20 0 DDADMM C[C@@H]1CN(C(=O)c2c[nH]c3ccccc3c2=O)C[C@H]1C(=O)[O-] ZINC000315168512 409840318 /nfs/dbraw/zinc/84/03/18/409840318.db2.gz WEHSKFDPWOSDSA-BXKDBHETSA-N -1 1 300.314 1.733 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)CCCC(F)(F)F ZINC000349445194 409840215 /nfs/dbraw/zinc/84/02/15/409840215.db2.gz FDVWMQIUITZUAD-LURJTMIESA-N -1 1 315.317 1.795 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)N=c1nc(-c2ccccc2)[n-]s1 ZINC000342677495 409806155 /nfs/dbraw/zinc/80/61/55/409806155.db2.gz JILVQAXCDSXLAD-UHFFFAOYSA-N -1 1 315.362 1.409 20 0 DDADMM Cc1cc(O[C@@H](C)C(=O)NC2(c3nn[n-]n3)CC2)ccc1Cl ZINC000357047187 409814868 /nfs/dbraw/zinc/81/48/68/409814868.db2.gz LJTHLPNJFIEPTL-VIFPVBQESA-N -1 1 321.768 1.734 20 0 DDADMM C[C@H]1CN(CC(=O)N2CCc3ccccc32)CC[C@@H]1C(=O)[O-] ZINC000318876142 409881672 /nfs/dbraw/zinc/88/16/72/409881672.db2.gz LIAWQKUTGIMUFZ-JSGCOSHPSA-N -1 1 302.374 1.618 20 0 DDADMM CC[C@@](C)(NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1nccs1 ZINC000357348765 409986895 /nfs/dbraw/zinc/98/68/95/409986895.db2.gz OEBUPABZVRJRMC-CYBMUJFWSA-N -1 1 324.362 1.408 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CC1 ZINC000332287514 410043545 /nfs/dbraw/zinc/04/35/45/410043545.db2.gz IVRURIMEBSDSHE-XYPYZODXSA-N -1 1 324.454 1.361 20 0 DDADMM COc1ccc(O[C@@H](C)C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354792401 410087855 /nfs/dbraw/zinc/08/78/55/410087855.db2.gz RALXBBNHEKTWMN-VIFPVBQESA-N -1 1 305.338 1.027 20 0 DDADMM CC(C)(NC(=O)c1cnc(-c2ccccc2)s1)c1nn[n-]n1 ZINC000354802595 410099734 /nfs/dbraw/zinc/09/97/34/410099734.db2.gz LGNNHAUNCIOVSY-UHFFFAOYSA-N -1 1 314.374 1.988 20 0 DDADMM CS(=O)(=O)C1(CN=c2nc(C(F)(F)F)[n-]s2)CCC1 ZINC000343045080 410099933 /nfs/dbraw/zinc/09/99/33/410099933.db2.gz KKIVKRAQOCUSTQ-UHFFFAOYSA-N -1 1 315.342 1.358 20 0 DDADMM CCc1ncc(CN2CCN(c3nc(=N)[n-]s3)CC2)s1 ZINC000355075211 410291929 /nfs/dbraw/zinc/29/19/29/410291929.db2.gz AOYWZBFDFWZINB-UHFFFAOYSA-N -1 1 310.452 1.292 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1cccc(Cl)c1 ZINC000352130524 410500834 /nfs/dbraw/zinc/50/08/34/410500834.db2.gz ZHWZDHIFVFHDSV-UHFFFAOYSA-N -1 1 309.709 1.480 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC[C@H]1C[C@@H]1C ZINC000299082183 410513336 /nfs/dbraw/zinc/51/33/36/410513336.db2.gz XSSNTJFHAMTMRY-GXFFZTMASA-N -1 1 312.373 1.867 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H]1c1ccncc1 ZINC000352191437 410537414 /nfs/dbraw/zinc/53/74/14/410537414.db2.gz UZCGELLQQRHLIP-SNVBAGLBSA-N -1 1 316.317 1.269 20 0 DDADMM CCOC(=O)C[C@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000352569936 410666649 /nfs/dbraw/zinc/66/66/49/410666649.db2.gz ZXNVUBZYHAQZMU-JTQLQIEISA-N -1 1 317.345 1.715 20 0 DDADMM CCOC(=O)C[C@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000352569936 410666654 /nfs/dbraw/zinc/66/66/54/410666654.db2.gz ZXNVUBZYHAQZMU-JTQLQIEISA-N -1 1 317.345 1.715 20 0 DDADMM Cc1cnc(C(=O)N2CCC(Cn3cncn3)CC2)c([O-])c1 ZINC000330299217 410619544 /nfs/dbraw/zinc/61/95/44/410619544.db2.gz HWNMIOZZBDVIND-UHFFFAOYSA-N -1 1 301.350 1.240 20 0 DDADMM Cc1nnsc1CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000355841597 410697428 /nfs/dbraw/zinc/69/74/28/410697428.db2.gz XGRXYIRHZOYOGR-UHFFFAOYSA-N -1 1 315.358 1.734 20 0 DDADMM Cc1nnsc1CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000355841597 410697430 /nfs/dbraw/zinc/69/74/30/410697430.db2.gz XGRXYIRHZOYOGR-UHFFFAOYSA-N -1 1 315.358 1.734 20 0 DDADMM COc1ccc2c([O-])c(C(=O)N=c3cc(C4CC4)[nH][nH]3)cnc2c1 ZINC000343788398 410699434 /nfs/dbraw/zinc/69/94/34/410699434.db2.gz XMKWLMZZGVVFSR-UHFFFAOYSA-N -1 1 324.340 1.811 20 0 DDADMM COCc1nc(=NC(=O)Cc2[nH]nc3ccccc32)s[n-]1 ZINC000355917122 410730134 /nfs/dbraw/zinc/73/01/34/410730134.db2.gz XPXJHVHVLDRVEQ-UHFFFAOYSA-N -1 1 303.347 1.164 20 0 DDADMM C[C@H]1CC[C@]2(CCN(C(=O)CNC(=O)c3ncccc3[O-])C2)C1 ZINC000359576573 410742312 /nfs/dbraw/zinc/74/23/12/410742312.db2.gz AMFBZMPUVVRHCC-SJCJKPOMSA-N -1 1 317.389 1.556 20 0 DDADMM Cc1ccc(C(=O)CCCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000343909747 410801525 /nfs/dbraw/zinc/80/15/25/410801525.db2.gz SLEAAQGQGLNRFA-UHFFFAOYSA-N -1 1 315.377 1.913 20 0 DDADMM O=c1nc(CCCNc2nc(-c3cccc(Cl)c3)no2)[nH][n-]1 ZINC000301572524 410747847 /nfs/dbraw/zinc/74/78/47/410747847.db2.gz YPZGJPWVQNDULR-UHFFFAOYSA-N -1 1 320.740 1.846 20 0 DDADMM C[C@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000343987968 410869330 /nfs/dbraw/zinc/86/93/30/410869330.db2.gz YFJRJHYHJZGIRI-KRTXAFLBSA-N -1 1 309.309 1.950 20 0 DDADMM COc1cccc(CO[C@H](C)C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000359791286 410882093 /nfs/dbraw/zinc/88/20/93/410882093.db2.gz QMKOPTGVFXWILC-SNVBAGLBSA-N -1 1 319.365 1.165 20 0 DDADMM COc1cccc(CO[C@@H](C)C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000359791284 410883872 /nfs/dbraw/zinc/88/38/72/410883872.db2.gz QMKOPTGVFXWILC-JTQLQIEISA-N -1 1 319.365 1.165 20 0 DDADMM C[C@@H](CCOc1ccccc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348278226 410893328 /nfs/dbraw/zinc/89/33/28/410893328.db2.gz NLUMJFIHFBSRSZ-NSHDSACASA-N -1 1 301.350 1.410 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)NC[C@@H](CC(C)C)C(=O)[O-] ZINC000424429817 287188958 /nfs/dbraw/zinc/18/89/58/287188958.db2.gz KWYGFNBNTUDSTH-ZIAGYGMSSA-N -1 1 313.442 1.859 20 0 DDADMM O=S(=O)(Cc1ncnn1-c1ccccc1)c1ccc([O-])cc1 ZINC000341188797 410923630 /nfs/dbraw/zinc/92/36/30/410923630.db2.gz NCIOJCXMPKVVPA-UHFFFAOYSA-N -1 1 315.354 1.947 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ncc(C)cc2[O-])CCN1CC(F)F ZINC000331207125 411017986 /nfs/dbraw/zinc/01/79/86/411017986.db2.gz PFYKIISEVRAQSO-LLVKDONJSA-N -1 1 313.348 1.897 20 0 DDADMM CSc1n[nH]c([N-]S(=O)(=O)Cc2cccc(F)c2)n1 ZINC000341355955 411019884 /nfs/dbraw/zinc/01/98/84/411019884.db2.gz DEOOXJYGGUTOEL-UHFFFAOYSA-N -1 1 302.356 1.608 20 0 DDADMM COc1cccc(CCC(=O)NC(C)(C)c2nn[n-]n2)c1F ZINC000353484762 411025088 /nfs/dbraw/zinc/02/50/88/411025088.db2.gz XQKJKUWJHSDOEU-UHFFFAOYSA-N -1 1 307.329 1.332 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2ccc(C)c(O)c2)cc1 ZINC000360229178 411106750 /nfs/dbraw/zinc/10/67/50/411106750.db2.gz AMHAORUPAIAYLY-UHFFFAOYSA-N -1 1 320.370 1.861 20 0 DDADMM NC(=O)c1cc(C(=O)[N-]c2nnc(-c3ccccn3)s2)co1 ZINC000353757826 411124281 /nfs/dbraw/zinc/12/42/81/411124281.db2.gz ZWBMCFXKAFZDHN-UHFFFAOYSA-N -1 1 315.314 1.544 20 0 DDADMM C[C@@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C(F)(F)F ZINC000629952907 422891658 /nfs/dbraw/zinc/89/16/58/422891658.db2.gz ZTHAHUBOKXPXGU-GZMMTYOYSA-N -1 1 313.283 1.994 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCOCC2(C)C)c1 ZINC000631783327 422920874 /nfs/dbraw/zinc/92/08/74/422920874.db2.gz PCMZRLFEGLBRTR-UHFFFAOYSA-N -1 1 301.364 1.200 20 0 DDADMM Cc1ncc(C[N-]S(=O)(=O)c2cccnc2C(F)(F)F)o1 ZINC000580703316 422948928 /nfs/dbraw/zinc/94/89/28/422948928.db2.gz ATKGZWOSTIKYJH-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC(=O)C(C)(C)C)o1 ZINC000361961123 307012048 /nfs/dbraw/zinc/01/20/48/307012048.db2.gz FMNQXGMKDDMVRJ-UHFFFAOYSA-N -1 1 317.363 1.350 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccccc2O)C[C@@H]1[NH+]1CCOCC1 ZINC000640528015 423013952 /nfs/dbraw/zinc/01/39/52/423013952.db2.gz VQUSLFOBWJDWSC-CABCVRRESA-N -1 1 304.390 1.621 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCO1)c1ncccc1C(F)(F)F ZINC000645421740 423028967 /nfs/dbraw/zinc/02/89/67/423028967.db2.gz MXFBELBVXIWGID-MRVPVSSYSA-N -1 1 310.297 1.558 20 0 DDADMM COC[C@@H](C)C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645492268 423056129 /nfs/dbraw/zinc/05/61/29/423056129.db2.gz OORQXSYLYCAUEO-QMMMGPOBSA-N -1 1 312.313 1.661 20 0 DDADMM CN1CC[C@@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC000645486844 423051883 /nfs/dbraw/zinc/05/18/83/423051883.db2.gz KHTGWLUNHNDNRE-MRVPVSSYSA-N -1 1 309.313 1.083 20 0 DDADMM COCC1([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CC1 ZINC000645608971 423103863 /nfs/dbraw/zinc/10/38/63/423103863.db2.gz PYRKGEMKOPUPBO-UHFFFAOYSA-N -1 1 310.297 1.558 20 0 DDADMM NC(=O)c1ccc(-c2nc(-c3ccc([O-])c(F)c3)no2)cn1 ZINC000350822057 306757693 /nfs/dbraw/zinc/75/76/93/306757693.db2.gz CYVAJEAIEMTXNS-UHFFFAOYSA-N -1 1 300.249 1.742 20 0 DDADMM CSc1nc(CNC(=O)[C@]23CCC[C@H]2OCC3)cc(=O)[n-]1 ZINC000640654101 423112919 /nfs/dbraw/zinc/11/29/19/423112919.db2.gz NCUVLBLFYLNSHC-YGRLFVJLSA-N -1 1 309.391 1.480 20 0 DDADMM CCn1cc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c(C)n1 ZINC000640654327 423115217 /nfs/dbraw/zinc/11/52/17/423115217.db2.gz QPTLFLCZNYMUBE-UHFFFAOYSA-N -1 1 307.379 1.359 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)c1nc[nH]n1 ZINC000645635870 423119516 /nfs/dbraw/zinc/11/95/16/423119516.db2.gz RMHMTKAVEWDELC-ZCFIWIBFSA-N -1 1 321.284 1.258 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H](O)C2CCC2)c(F)c1 ZINC000650313000 423127696 /nfs/dbraw/zinc/12/76/96/423127696.db2.gz HPPXBWQLUQRFIB-GFCCVEGCSA-N -1 1 321.345 1.413 20 0 DDADMM C/C=C\C[C@H](CO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645652868 423127876 /nfs/dbraw/zinc/12/78/76/423127876.db2.gz HLDGJGNCYLPVFB-PKRMOACSSA-N -1 1 324.324 1.706 20 0 DDADMM O=S(=O)([N-]CC1(CCO)CC1)c1ncccc1C(F)(F)F ZINC000645659757 423130119 /nfs/dbraw/zinc/13/01/19/423130119.db2.gz MYPIZLPFZLIACD-UHFFFAOYSA-N -1 1 324.324 1.541 20 0 DDADMM C[C@@H](NC(=O)c1cncc([O-])c1)c1ccc(-n2cncn2)cc1 ZINC000171760981 221760580 /nfs/dbraw/zinc/76/05/80/221760580.db2.gz MVISYXLGMRNXPG-LLVKDONJSA-N -1 1 309.329 1.859 20 0 DDADMM CC[C@H](C)Oc1ccc(C(=O)NCCCc2nc(=O)[n-][nH]2)cc1 ZINC000176177517 221822127 /nfs/dbraw/zinc/82/21/27/221822127.db2.gz UOIIWMFZNZBRNM-NSHDSACASA-N -1 1 318.377 1.638 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)[C@H]2CCC(=O)N2)CC1 ZINC000366319449 418456851 /nfs/dbraw/zinc/45/68/51/418456851.db2.gz AUHCRLIZMJLTRF-CQSZACIVSA-N -1 1 316.357 1.092 20 0 DDADMM NS(=O)(=O)c1ccc(C(=O)[N-]c2ccsc2Cl)o1 ZINC000187882294 222000518 /nfs/dbraw/zinc/00/05/18/222000518.db2.gz JYCKJNMJGVFILR-UHFFFAOYSA-N -1 1 306.752 1.894 20 0 DDADMM C[C@H](c1nccn1-c1ccccc1)S(=O)(=O)c1ncn[n-]1 ZINC000367344874 418588076 /nfs/dbraw/zinc/58/80/76/418588076.db2.gz BOQKORLQVNBYBO-SNVBAGLBSA-N -1 1 303.347 1.525 20 0 DDADMM C[C@H](c1nccn1-c1ccccc1)S(=O)(=O)c1nc[n-]n1 ZINC000367344874 418588078 /nfs/dbraw/zinc/58/80/78/418588078.db2.gz BOQKORLQVNBYBO-SNVBAGLBSA-N -1 1 303.347 1.525 20 0 DDADMM CN(C)C(=O)c1ccc(S(=O)(=O)[N-]c2cccc(O)c2)cc1 ZINC000194597272 222179224 /nfs/dbraw/zinc/17/92/24/222179224.db2.gz DCFNWMWZDWWDMV-UHFFFAOYSA-N -1 1 320.370 1.895 20 0 DDADMM O=C(C(C1CCC1)C1CCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000367522502 418608145 /nfs/dbraw/zinc/60/81/45/418608145.db2.gz GLBMIHVNJCFBMP-GFCCVEGCSA-N -1 1 305.382 1.316 20 0 DDADMM CC(C)OCc1nc([C@H](C)NC(=O)c2ncccc2[O-])no1 ZINC000291286808 222288538 /nfs/dbraw/zinc/28/85/38/222288538.db2.gz OVZCUPPMLOGWGN-VIFPVBQESA-N -1 1 306.322 1.586 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@]12CCOC2 ZINC000367649019 418618757 /nfs/dbraw/zinc/61/87/57/418618757.db2.gz OQJWWRXWXQPYKP-CQSZACIVSA-N -1 1 304.375 1.413 20 0 DDADMM O=C(NCCCN=c1nc(C(F)(F)F)[n-]s1)C1CCC1 ZINC000342849689 418629460 /nfs/dbraw/zinc/62/94/60/418629460.db2.gz NUCZPTRFERTPEQ-UHFFFAOYSA-N -1 1 308.329 1.697 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC[C@H](c2nc(C3CC3)no2)C1 ZINC000375541621 418656983 /nfs/dbraw/zinc/65/69/83/418656983.db2.gz KOWMXYCPIJVSIH-JTQLQIEISA-N -1 1 300.318 1.677 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC[C@@H](N2CCOCC2)C1 ZINC000382497247 418731003 /nfs/dbraw/zinc/73/10/03/418731003.db2.gz LXNJPSMDHZXIHS-GFCCVEGCSA-N -1 1 308.353 1.468 20 0 DDADMM C[C@@](N)(C(=O)N1CCC[C@H](CCC(=O)[O-])C1)c1ccccc1 ZINC000386011350 418738150 /nfs/dbraw/zinc/73/81/50/418738150.db2.gz QAWSHKFAKATVCY-DYVFJYSZSA-N -1 1 304.390 1.964 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2cc(Cl)ccc2[O-])CCS1(=O)=O ZINC000389355731 418748880 /nfs/dbraw/zinc/74/88/80/418748880.db2.gz ZTWYZGQNLHIRTP-GMSGAONNSA-N -1 1 303.767 1.351 20 0 DDADMM CC1(C)CCC(CC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CC1 ZINC000370976175 418754155 /nfs/dbraw/zinc/75/41/55/418754155.db2.gz LMHHXKMELVWXEJ-GFCCVEGCSA-N -1 1 307.398 1.706 20 0 DDADMM O=C([O-])[C@H](CNS(=O)(=O)c1c(F)cccc1F)CC1CC1 ZINC000405682317 418776434 /nfs/dbraw/zinc/77/64/34/418776434.db2.gz MLEWRCBPRAVULP-VIFPVBQESA-N -1 1 319.329 1.744 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCCC[C@H]2C)co1 ZINC000407997122 418780774 /nfs/dbraw/zinc/78/07/74/418780774.db2.gz ODDASGIPAUJKLA-SNVBAGLBSA-N -1 1 300.380 1.592 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1Cc2ccccc2N(C)C1)c1nn[n-]n1 ZINC000364656410 418796694 /nfs/dbraw/zinc/79/66/94/418796694.db2.gz KRBZAIJJJDLTCR-STQMWFEESA-N -1 1 314.393 1.466 20 0 DDADMM C[C@@H](COCC1CC1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000650376295 423144989 /nfs/dbraw/zinc/14/49/89/423144989.db2.gz LBQLZJWDVOHBKE-JTQLQIEISA-N -1 1 301.346 1.468 20 0 DDADMM CCOC(=O)c1c[n-]n(C2CCN(Cc3cnc[nH]3)CC2)c1=N ZINC000372797515 418903256 /nfs/dbraw/zinc/90/32/56/418903256.db2.gz DQIFTBBTTPHVSI-UHFFFAOYSA-N -1 1 318.381 1.033 20 0 DDADMM Cc1ccc(Cl)cc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000372194787 418843319 /nfs/dbraw/zinc/84/33/19/418843319.db2.gz BLINGSYMIIZRIL-LLVKDONJSA-N -1 1 307.741 1.375 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000298176984 228396697 /nfs/dbraw/zinc/39/66/97/228396697.db2.gz WYWFYLPOJFTOCW-JTQLQIEISA-N -1 1 320.393 1.126 20 0 DDADMM O=C(NC[C@@H](CO)c1ccccc1)c1csc(=NC2CC2)[n-]1 ZINC000426634550 419519933 /nfs/dbraw/zinc/51/99/33/419519933.db2.gz NQDGKTHNQGOHCM-LBPRGKRZSA-N -1 1 317.414 1.645 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@H](N3CCOCC3)[C@H]2C)c([O-])c1 ZINC000426770436 419545179 /nfs/dbraw/zinc/54/51/79/419545179.db2.gz RZVSCXYFNABRJW-CHWSQXEVSA-N -1 1 305.378 1.031 20 0 DDADMM O=c1cc(/C=C/c2cnnn2Cc2ccccc2)nc2nc[n-]n21 ZINC000426904933 419568460 /nfs/dbraw/zinc/56/84/60/419568460.db2.gz LTAIFGWMZYLLBM-VOTSOKGWSA-N -1 1 319.328 1.228 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)cc1F)OC ZINC000421161882 419511066 /nfs/dbraw/zinc/51/10/66/419511066.db2.gz YGAIUVYCMHNEIU-SECBINFHSA-N -1 1 304.343 1.091 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1nc2ccc(C)cc2[nH]1 ZINC000427631379 419701151 /nfs/dbraw/zinc/70/11/51/419701151.db2.gz YEHJBRKGUQNOKR-UHFFFAOYSA-N -1 1 305.363 1.889 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncc(C)cc1[O-])c1cccc(O)c1 ZINC000427656720 419711021 /nfs/dbraw/zinc/71/10/21/419711021.db2.gz LTLIXEZBUMMPPK-CYBMUJFWSA-N -1 1 316.313 1.445 20 0 DDADMM C[C@H](Oc1ccc(Cl)cc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000430312398 228896557 /nfs/dbraw/zinc/89/65/57/228896557.db2.gz OZPZEFQNUZASIJ-QMMMGPOBSA-N -1 1 307.741 1.426 20 0 DDADMM Cc1cnc(C(=O)N[C@H](CO)c2c(F)cccc2F)c([O-])c1 ZINC000428019438 419789929 /nfs/dbraw/zinc/78/99/29/419789929.db2.gz ZHDIVHAUMUECEP-LLVKDONJSA-N -1 1 308.284 1.837 20 0 DDADMM Cn1nccc1-c1ccccc1/C=C\c1cc(=O)n2[n-]cnc2n1 ZINC000431150496 229011366 /nfs/dbraw/zinc/01/13/66/229011366.db2.gz LKTBHFQMOHORON-SREVYHEPSA-N -1 1 318.340 1.989 20 0 DDADMM Cc1nc(SCc2nnnn2C2CCOCC2)[n-]c(=O)c1C ZINC000432723305 229124600 /nfs/dbraw/zinc/12/46/00/229124600.db2.gz AFZJSQRBCZPCDP-UHFFFAOYSA-N -1 1 322.394 1.429 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2occ3c2CCC3)c1 ZINC000354564760 306779391 /nfs/dbraw/zinc/77/93/91/306779391.db2.gz SAUNBGNNPSIOGI-UHFFFAOYSA-N -1 1 322.342 1.374 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C)nnc2CC)n1 ZINC000415650691 420136449 /nfs/dbraw/zinc/13/64/49/420136449.db2.gz SLPYJEQUOVDDQZ-UHFFFAOYSA-N -1 1 303.322 1.500 20 0 DDADMM Cc1cc(NC(=O)CN(C)CCCC(=O)[O-])n(C(C)(C)C)n1 ZINC000430672538 420176718 /nfs/dbraw/zinc/17/67/18/420176718.db2.gz UXYIYDFGHODHCV-UHFFFAOYSA-N -1 1 310.398 1.682 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)CCc1ccc(C)o1 ZINC000416154259 420260834 /nfs/dbraw/zinc/26/08/34/420260834.db2.gz ITYOSHFTDPZCQM-QMMMGPOBSA-N -1 1 321.333 1.549 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCSCC(C)C ZINC000416184063 420271605 /nfs/dbraw/zinc/27/16/05/420271605.db2.gz ZVFNPSGFYRGPKW-UHFFFAOYSA-N -1 1 315.395 1.406 20 0 DDADMM C[C@H](NCc1nc(=O)n(C)[n-]1)c1ccc(-n2cccn2)c(F)c1 ZINC000436582495 420340973 /nfs/dbraw/zinc/34/09/73/420340973.db2.gz LPPHCCOELVTJKY-JTQLQIEISA-N -1 1 316.340 1.284 20 0 DDADMM C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)c2ccc(Cl)cc2[O-])CC1=O ZINC000436571983 420341171 /nfs/dbraw/zinc/34/11/71/420341171.db2.gz DTBMAZUHEBIKGO-QRTLGDNMSA-N -1 1 308.765 1.785 20 0 DDADMM CCc1cccnc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425298238 420344123 /nfs/dbraw/zinc/34/41/23/420344123.db2.gz JERPZRZQNNQODE-NSHDSACASA-N -1 1 302.334 1.752 20 0 DDADMM COc1ccc(C(=O)N2CCC(c3n[nH]c(=O)o3)CC2)cn1 ZINC000425296472 420344231 /nfs/dbraw/zinc/34/42/31/420344231.db2.gz SDPZFJQHUMZJKB-UHFFFAOYSA-N -1 1 304.306 1.199 20 0 DDADMM COc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cn1 ZINC000425296472 420344235 /nfs/dbraw/zinc/34/42/35/420344235.db2.gz SDPZFJQHUMZJKB-UHFFFAOYSA-N -1 1 304.306 1.199 20 0 DDADMM O=C(Nc1ccnn1C[C@H]1CCOC1)C(=O)c1ccc([O-])cc1 ZINC000436667394 420352555 /nfs/dbraw/zinc/35/25/55/420352555.db2.gz UFHKADDECDRUAA-LLVKDONJSA-N -1 1 315.329 1.447 20 0 DDADMM CN(C)C(=O)NCCN(C)C(=O)c1cc2ccccc2cc1[O-] ZINC000436685501 420355934 /nfs/dbraw/zinc/35/59/34/420355934.db2.gz CLENIIKCXHBWHZ-UHFFFAOYSA-N -1 1 315.373 1.889 20 0 DDADMM COc1ccc(CN(C)C(=O)C(=O)c2ccc([O-])cc2)cc1O ZINC000436706636 420359701 /nfs/dbraw/zinc/35/97/01/420359701.db2.gz VPADPZFTDRZQPH-UHFFFAOYSA-N -1 1 315.325 1.948 20 0 DDADMM CCOC(=O)c1csc(NC(=O)C(=O)c2ccc([O-])cc2)n1 ZINC000436717504 420361478 /nfs/dbraw/zinc/36/14/78/420361478.db2.gz GLJFEADHFJAMFT-UHFFFAOYSA-N -1 1 320.326 1.847 20 0 DDADMM O=C(N[C@@H](CO)[C@@H]1CCCO1)c1ccc(C(F)(F)F)cc1[O-] ZINC000436809249 420369577 /nfs/dbraw/zinc/36/95/77/420369577.db2.gz SBBILAHAGNMVED-JQWIXIFHSA-N -1 1 319.279 1.681 20 0 DDADMM C[C@@](CO)(CNC(=O)C(=O)c1ccc([O-])cc1)c1ccccc1 ZINC000436789799 420365773 /nfs/dbraw/zinc/36/57/73/420365773.db2.gz DPMNOBHCODVAKV-SFHVURJKSA-N -1 1 313.353 1.641 20 0 DDADMM Cc1cc(=O)n(C)cc1NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000444110128 230048910 /nfs/dbraw/zinc/04/89/10/230048910.db2.gz OVYSBRCYFBZSLY-UHFFFAOYSA-N -1 1 312.333 1.070 20 0 DDADMM CC[C@](COC)([N-]S(=O)(=O)CCC1CCC1)C(=O)OC ZINC000416514269 420402255 /nfs/dbraw/zinc/40/22/55/420402255.db2.gz GAKWOUNITGKBEJ-CYBMUJFWSA-N -1 1 307.412 1.064 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CC(=O)c2ccccc21 ZINC000439176142 420499483 /nfs/dbraw/zinc/49/94/83/420499483.db2.gz GFDSTIXMNLWJFG-JTQLQIEISA-N -1 1 307.331 1.002 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CC(=O)c2ccccc21 ZINC000439176142 420499484 /nfs/dbraw/zinc/49/94/84/420499484.db2.gz GFDSTIXMNLWJFG-JTQLQIEISA-N -1 1 307.331 1.002 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCC[C@H]1CCOC1)c2=O ZINC000456783716 420544382 /nfs/dbraw/zinc/54/43/82/420544382.db2.gz WVWCFTZFHLRQLE-JTQLQIEISA-N -1 1 304.350 1.220 20 0 DDADMM COc1ccc(C=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000492711144 420637645 /nfs/dbraw/zinc/63/76/45/420637645.db2.gz STMHQNVJSSYDGH-DHHDDZJSSA-N -1 1 301.350 1.484 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CC)C(C)(C)C ZINC000443070467 420741309 /nfs/dbraw/zinc/74/13/09/420741309.db2.gz AOZSPLHHQURYHW-SNVBAGLBSA-N -1 1 317.411 1.689 20 0 DDADMM CCC[C@H](NC(=O)C=CCOCC(F)(F)F)c1nn[n-]n1 ZINC000493211390 420788894 /nfs/dbraw/zinc/78/88/94/420788894.db2.gz ZBCISGWFPBUEOU-WGAJWPLOSA-N -1 1 307.276 1.292 20 0 DDADMM CCC[C@H](NC(=O)/C=C/COCC(F)(F)F)c1nn[n-]n1 ZINC000493211390 420788897 /nfs/dbraw/zinc/78/88/97/420788897.db2.gz ZBCISGWFPBUEOU-WGAJWPLOSA-N -1 1 307.276 1.292 20 0 DDADMM C[C@H](O)C[C@@H]1CCCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000447893152 420811493 /nfs/dbraw/zinc/81/14/93/420811493.db2.gz LBMPTASVXIEEPL-RYUDHWBXSA-N -1 1 315.373 1.689 20 0 DDADMM COc1cc(NC(=O)[C@H]2CC2(F)F)ccc1[N-]S(C)(=O)=O ZINC000448683560 420882704 /nfs/dbraw/zinc/88/27/04/420882704.db2.gz ZRMDSPLLEOEFEE-MRVPVSSYSA-N -1 1 320.317 1.660 20 0 DDADMM CC(C)N1CCO[C@H](C(=O)N[C@H](CCC(C)(C)C)C(=O)[O-])C1 ZINC000455779213 421061397 /nfs/dbraw/zinc/06/13/97/421061397.db2.gz DYGVYRLWVUGFRO-OLZOCXBDSA-N -1 1 314.426 1.491 20 0 DDADMM COC[C@@]1(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CCOC1 ZINC000449819259 421090442 /nfs/dbraw/zinc/09/04/42/421090442.db2.gz HWWDTSUAJVKFRH-AWEZNQCLSA-N -1 1 307.375 1.552 20 0 DDADMM CC[C@H](C)N(CC(=O)[O-])C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000455819094 421067623 /nfs/dbraw/zinc/06/76/23/421067623.db2.gz ZMJXHVKOGSSYPJ-JTQLQIEISA-N -1 1 302.334 1.797 20 0 DDADMM CC[S@](=O)CC(=O)N=c1cc(-c2ccc(Cl)s2)[n-][nH]1 ZINC000450257905 421172855 /nfs/dbraw/zinc/17/28/55/421172855.db2.gz YFMSBFQXYOYYBZ-IBGZPJMESA-N -1 1 317.823 1.921 20 0 DDADMM C[C@H](OC[C@H]1CCCCO1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000522855243 421227521 /nfs/dbraw/zinc/22/75/21/421227521.db2.gz XIKQIEYQHDCSQE-NWDGAFQWSA-N -1 1 323.397 1.059 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccccc1-n1cccn1)c1nn[n-]n1 ZINC000544689547 421228073 /nfs/dbraw/zinc/22/80/73/421228073.db2.gz OJOJPPLMHGLYSM-LLVKDONJSA-N -1 1 311.349 1.261 20 0 DDADMM CCOC(=O)c1csc(=N[C@@H]2CCO[C@]3(CCOC3)C2)[n-]1 ZINC000450415867 421195326 /nfs/dbraw/zinc/19/53/26/421195326.db2.gz FDMLARYRTFIKEX-QMTHXVAHSA-N -1 1 312.391 1.492 20 0 DDADMM C[C@@H](CO[N-]C(=O)[C@@H](F)C(F)(F)F)NC(=O)OC(C)(C)C ZINC000496703922 421301923 /nfs/dbraw/zinc/30/19/23/421301923.db2.gz VUDQJYHEFBEWLW-NKWVEPMBSA-N -1 1 318.267 1.848 20 0 DDADMM CC(C)c1csc(NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC000546797367 421311258 /nfs/dbraw/zinc/31/12/58/421311258.db2.gz HGXNBRHTRNVKSH-UHFFFAOYSA-N -1 1 304.335 1.250 20 0 DDADMM CC(C)[C@H]1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000547427344 421331326 /nfs/dbraw/zinc/33/13/26/421331326.db2.gz VRGOIHXIXUUYSJ-RYUDHWBXSA-N -1 1 317.393 1.752 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC1CC(c2ccccc2)C1 ZINC000562007940 421340235 /nfs/dbraw/zinc/34/02/35/421340235.db2.gz JCALJLKRHLFMLS-UHFFFAOYSA-N -1 1 321.402 1.868 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1CC(c2ccccc2)C1 ZINC000562007940 421340238 /nfs/dbraw/zinc/34/02/38/421340238.db2.gz JCALJLKRHLFMLS-UHFFFAOYSA-N -1 1 321.402 1.868 20 0 DDADMM COC[C@@H](C)S(=O)(=O)Nc1cc(C(=O)[O-])cc([N+](=O)[O-])c1 ZINC000547544482 421342059 /nfs/dbraw/zinc/34/20/59/421342059.db2.gz HMNVNTHOPOTKMU-SSDOTTSWSA-N -1 1 318.307 1.070 20 0 DDADMM CO[C@@H]1CCC[C@@H](CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1 ZINC000527250874 421371264 /nfs/dbraw/zinc/37/12/64/421371264.db2.gz RHQXOIDOEWEVPX-JHJVBQTASA-N -1 1 307.398 1.501 20 0 DDADMM Cc1[nH]nc([N-]S(C)(=O)=O)c1-c1ccc2c(c1)OCCO2 ZINC000547819071 421371402 /nfs/dbraw/zinc/37/14/02/421371402.db2.gz CBJRTHAIUXBYDZ-UHFFFAOYSA-N -1 1 309.347 1.528 20 0 DDADMM CCc1nncn1CCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000525951462 421315140 /nfs/dbraw/zinc/31/51/40/421315140.db2.gz MHKWQTFXDZTYMM-UHFFFAOYSA-N -1 1 324.768 1.638 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnc(CC2CC2)nc1)C1CC1 ZINC000563135995 421462449 /nfs/dbraw/zinc/46/24/49/421462449.db2.gz PHXNVQCUHSTKQD-CYBMUJFWSA-N -1 1 311.407 1.596 20 0 DDADMM CC1(C)CCC[C@H]1CCN=c1ccc(C(=O)NCCO)n[n-]1 ZINC000528070672 421469681 /nfs/dbraw/zinc/46/96/81/421469681.db2.gz YOBNRMSXAWLXFK-LBPRGKRZSA-N -1 1 306.410 1.249 20 0 DDADMM Cn1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1C1CCC1 ZINC000551735825 421539575 /nfs/dbraw/zinc/53/95/75/421539575.db2.gz VSZRNYBYBJFBCH-GFCCVEGCSA-N -1 1 314.393 1.826 20 0 DDADMM CSc1cccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000518310390 421646430 /nfs/dbraw/zinc/64/64/30/421646430.db2.gz KCYXEAXOFLQZMG-NSHDSACASA-N -1 1 303.391 1.941 20 0 DDADMM CN(C)c1cccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000556663610 421719333 /nfs/dbraw/zinc/71/93/33/421719333.db2.gz VTTXKTYOYDTZIL-GFCCVEGCSA-N -1 1 300.366 1.286 20 0 DDADMM CC(C)c1nc(S(=O)(=O)[N-]c2cc(C3CC3)n[nH]2)cn1C ZINC000556908621 421750604 /nfs/dbraw/zinc/75/06/04/421750604.db2.gz GZDKXLHHYZPDOE-UHFFFAOYSA-N -1 1 309.395 1.945 20 0 DDADMM CC[C@H](C)n1nc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1C ZINC000539790973 421752517 /nfs/dbraw/zinc/75/25/17/421752517.db2.gz NHVORDSGQIZDEO-CMPLNLGQSA-N -1 1 317.397 1.695 20 0 DDADMM CN(C[C@@H]1COc2ccccc2O1)[C@H](C(=O)[O-])c1cccnc1 ZINC000520823780 421763994 /nfs/dbraw/zinc/76/39/94/421763994.db2.gz MXYNYUSICHYWAG-CJNGLKHVSA-N -1 1 314.341 1.979 20 0 DDADMM CCC[C@@H]1C[C@H](C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCO1 ZINC000540959596 421780236 /nfs/dbraw/zinc/78/02/36/421780236.db2.gz KZRYLZDPXMOFMT-FRRDWIJNSA-N -1 1 307.398 1.501 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1nc2ccccc2s1)[C@H]1CCOC1 ZINC000572479210 421791657 /nfs/dbraw/zinc/79/16/57/421791657.db2.gz MGOKFMZMFIEOAS-UWVGGRQHSA-N -1 1 312.416 2.000 20 0 DDADMM O=C(Cc1ccc(-n2cccc2)cc1)NC1(c2nn[n-]n2)CC1 ZINC000572573839 421800132 /nfs/dbraw/zinc/80/01/32/421800132.db2.gz LRPACFICCBWTIZ-UHFFFAOYSA-N -1 1 308.345 1.338 20 0 DDADMM CCOc1cc(CNCc2cc(C(=O)[O-])nn2C)ccc1OC ZINC000635308773 421898300 /nfs/dbraw/zinc/89/83/00/421898300.db2.gz KXQRUAAVQYQQRC-UHFFFAOYSA-N -1 1 319.361 1.815 20 0 DDADMM CCOc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1 ZINC000630222606 421972959 /nfs/dbraw/zinc/97/29/59/421972959.db2.gz GMIRIRHXVYPYEM-CYBMUJFWSA-N -1 1 320.389 1.706 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCOC1 ZINC000631959846 421973877 /nfs/dbraw/zinc/97/38/77/421973877.db2.gz CMVIIGOFLXGQOK-SECBINFHSA-N -1 1 313.301 1.133 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCOCC2CC2)c1 ZINC000631924940 421942920 /nfs/dbraw/zinc/94/29/20/421942920.db2.gz IJZDPRQKBBIRII-UHFFFAOYSA-N -1 1 301.364 1.106 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)Nc1ccc(CC(=O)[O-])c(F)c1 ZINC000630195148 421950838 /nfs/dbraw/zinc/95/08/38/421950838.db2.gz GYTMUFUGRSQHCF-SECBINFHSA-N -1 1 305.309 1.993 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)COCc2ccccc2)CC1 ZINC000630221571 421970410 /nfs/dbraw/zinc/97/04/10/421970410.db2.gz AAMYANQSWBBTJO-AWEZNQCLSA-N -1 1 320.389 1.211 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccc3n[nH]cc3c2)CC1 ZINC000630227117 421978305 /nfs/dbraw/zinc/97/83/05/421978305.db2.gz IFJPPQQSRWQGCP-UHFFFAOYSA-N -1 1 316.361 1.184 20 0 DDADMM Cn1nnc2c1CC[C@@H](NC(=O)Cc1ccc([O-])c(Cl)c1)C2 ZINC000633588052 421983609 /nfs/dbraw/zinc/98/36/09/421983609.db2.gz MVQZLFNYIGDZCD-SNVBAGLBSA-N -1 1 320.780 1.390 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(C(=O)c2cc(C)cc3c[nH]nc32)C1 ZINC000630251125 421988017 /nfs/dbraw/zinc/98/80/17/421988017.db2.gz DKSUPRXQYDEMDH-HNNXBMFYSA-N -1 1 303.318 1.187 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](c1cccnc1)C1CC1 ZINC000581635017 422017688 /nfs/dbraw/zinc/01/76/88/422017688.db2.gz OZTPARFSVXLROC-NSHDSACASA-N -1 1 308.363 1.220 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](c1cccnc1)C1CC1 ZINC000581635017 422017693 /nfs/dbraw/zinc/01/76/93/422017693.db2.gz OZTPARFSVXLROC-NSHDSACASA-N -1 1 308.363 1.220 20 0 DDADMM COCCC(C)(C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632061455 422061833 /nfs/dbraw/zinc/06/18/33/422061833.db2.gz QSHUTHHXNVJZLU-UHFFFAOYSA-N -1 1 315.317 1.522 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCOc2ccc(F)cc21 ZINC000635543335 422091730 /nfs/dbraw/zinc/09/17/30/422091730.db2.gz BERKETPVFDTSEF-LLVKDONJSA-N -1 1 305.313 1.302 20 0 DDADMM COc1ccc(C2(NC(=O)CCCc3nn[n-]n3)CC2)cc1 ZINC000635543367 422093335 /nfs/dbraw/zinc/09/33/35/422093335.db2.gz BLVGRHQLADVZOX-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3[C@@H]4c5ccccc5C[C@H]34)nc2n1 ZINC000637272703 422111716 /nfs/dbraw/zinc/11/17/16/422111716.db2.gz VEIMOZYPLCXBEL-IACUBPJLSA-N -1 1 321.340 1.250 20 0 DDADMM CN(CCOc1ccc(Cl)cc1)C(=O)CCc1nn[n-]n1 ZINC000630458379 422115488 /nfs/dbraw/zinc/11/54/88/422115488.db2.gz ZQIAROACLZHJIL-UHFFFAOYSA-N -1 1 309.757 1.323 20 0 DDADMM CN(C)[C@@H](CNC(=O)NCCCCC(=O)[O-])c1ccsc1 ZINC000635505022 422051941 /nfs/dbraw/zinc/05/19/41/422051941.db2.gz GRSMEHGCRZZBEQ-LBPRGKRZSA-N -1 1 313.423 1.905 20 0 DDADMM O=C(c1ccnn1CC1CCC1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000632053876 422054144 /nfs/dbraw/zinc/05/41/44/422054144.db2.gz FJAOKSCAZWGJJW-GFCCVEGCSA-N -1 1 315.381 1.216 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(F)c1F ZINC000632054485 422054486 /nfs/dbraw/zinc/05/44/86/422054486.db2.gz YUSJZDJHFSNBQU-SECBINFHSA-N -1 1 307.304 1.806 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCCc2ccc(Cl)cc21 ZINC000633700058 422060162 /nfs/dbraw/zinc/06/01/62/422060162.db2.gz PLHCKKWSSZQARD-GFCCVEGCSA-N -1 1 305.769 1.980 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCN(C)[C@H](C)[C@@H]2C)c1 ZINC000632174132 422138234 /nfs/dbraw/zinc/13/82/34/422138234.db2.gz SDEGLEOPXXGJNE-MNOVXSKESA-N -1 1 314.407 1.114 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC1(c2ccc(F)cc2F)CC1 ZINC000632187415 422150921 /nfs/dbraw/zinc/15/09/21/422150921.db2.gz AIPMBHPGLIROKV-UHFFFAOYSA-N -1 1 307.304 1.259 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](CO)C(C)(C)C)c1 ZINC000632197242 422154695 /nfs/dbraw/zinc/15/46/95/422154695.db2.gz NXAYTVVIPBXVHZ-GFCCVEGCSA-N -1 1 303.380 1.086 20 0 DDADMM O=S(=O)([N-]CC[C@@H]1CCOC1)c1c[nH]nc1C(F)(F)F ZINC000632203940 422159883 /nfs/dbraw/zinc/15/98/83/422159883.db2.gz ARNBZXDSKSAVQM-SSDOTTSWSA-N -1 1 313.301 1.133 20 0 DDADMM CC(C)(C)c1ncc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cn1 ZINC000583340496 422160501 /nfs/dbraw/zinc/16/05/01/422160501.db2.gz BHRYMKUXGPUTSP-SNVBAGLBSA-N -1 1 315.381 1.307 20 0 DDADMM COCCOCCN(CCO)Cc1cccc([O-])c1Cl ZINC000628421703 422174327 /nfs/dbraw/zinc/17/43/27/422174327.db2.gz RBXUCCGISTZLGL-UHFFFAOYSA-N -1 1 303.786 1.503 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](O)C(C)(C)C2)c1 ZINC000632258299 422199542 /nfs/dbraw/zinc/19/95/42/422199542.db2.gz IQZRRKFGUXIYTE-CYBMUJFWSA-N -1 1 315.391 1.182 20 0 DDADMM C[C@@H]1C[C@@H](c2ccccc2)CN1Cc1cn(CC(=O)[O-])nn1 ZINC000574921802 422218709 /nfs/dbraw/zinc/21/87/09/422218709.db2.gz PMSQPTDUMDTCHJ-TZMCWYRMSA-N -1 1 300.362 1.741 20 0 DDADMM CC[C@H](CNC(=O)CCCc1nn[n-]n1)Oc1cccc(F)c1 ZINC000635662299 422219120 /nfs/dbraw/zinc/21/91/20/422219120.db2.gz PXIBAEQCXFCQCA-GFCCVEGCSA-N -1 1 321.356 1.635 20 0 DDADMM O=S(=O)([N-]Cc1nncn1C1CC1)c1ccc(C(F)F)o1 ZINC000632290183 422224253 /nfs/dbraw/zinc/22/42/53/422224253.db2.gz IPIUODREXPBNOA-UHFFFAOYSA-N -1 1 318.305 1.622 20 0 DDADMM Cc1cn2ccc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)cc2n1 ZINC000635725863 422287144 /nfs/dbraw/zinc/28/71/44/422287144.db2.gz QNGQLADKCXTLOE-GFCCVEGCSA-N -1 1 311.349 1.176 20 0 DDADMM COC(C)(C)c1nc(=NC(=O)[C@@H]2CCCc3[nH]ncc32)s[n-]1 ZINC000634151078 422290774 /nfs/dbraw/zinc/29/07/74/422290774.db2.gz IAMGMGPULGWMFC-MRVPVSSYSA-N -1 1 321.406 1.623 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC2(CF)CCOCC2)c1 ZINC000632392688 422300145 /nfs/dbraw/zinc/30/01/45/422300145.db2.gz TZXVRMFCKWDXFM-UHFFFAOYSA-N -1 1 319.354 1.198 20 0 DDADMM CC(C)(C(=O)[O-])C(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000575806550 422329277 /nfs/dbraw/zinc/32/92/77/422329277.db2.gz YZGCNARFKFUYAG-UHFFFAOYSA-N -1 1 306.297 1.338 20 0 DDADMM CC(C)(C(=O)[O-])C(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000575806550 422329280 /nfs/dbraw/zinc/32/92/80/422329280.db2.gz YZGCNARFKFUYAG-UHFFFAOYSA-N -1 1 306.297 1.338 20 0 DDADMM CC[C@]1(O)CCCN(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC000634209733 422329618 /nfs/dbraw/zinc/32/96/18/422329618.db2.gz UQNLCAWPNSTJNT-AWEZNQCLSA-N -1 1 311.407 1.590 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CS1 ZINC000632456190 422360227 /nfs/dbraw/zinc/36/02/27/422360227.db2.gz WZAPZKQZEQYWRC-PHDIDXHHSA-N -1 1 315.342 1.601 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1cc(Cl)cc(Cl)c1 ZINC000630837190 422363434 /nfs/dbraw/zinc/36/34/34/422363434.db2.gz SLIBOHDOKQUNLL-UHFFFAOYSA-N -1 1 314.176 1.798 20 0 DDADMM O=C(CCc1ccc(O)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000576079657 422367218 /nfs/dbraw/zinc/36/72/18/422367218.db2.gz XMVRGKVLYZPWCO-GFCCVEGCSA-N -1 1 301.350 1.244 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCSC[C@H]1c1nccs1 ZINC000635812844 422368285 /nfs/dbraw/zinc/36/82/85/422368285.db2.gz VIZIPSQAHLGPFL-VIFPVBQESA-N -1 1 324.435 1.296 20 0 DDADMM COCCOCc1n[n-]c(=NC(C)(C)C)n1C[C@H]1CCOC1 ZINC000634473769 422447334 /nfs/dbraw/zinc/44/73/34/422447334.db2.gz KWQGMVVSBJUFMQ-GFCCVEGCSA-N -1 1 312.414 1.110 20 0 DDADMM CN(C)C1(C[N-]S(=O)(=O)c2c(Cl)ccc(F)c2F)CC1 ZINC000632488750 422385971 /nfs/dbraw/zinc/38/59/71/422385971.db2.gz LHGODPCDCMSYHD-UHFFFAOYSA-N -1 1 324.780 1.991 20 0 DDADMM CN1CCOC[C@H]1CNC(=O)c1cc2ccccc2cc1[O-] ZINC000628921544 422394788 /nfs/dbraw/zinc/39/47/88/422394788.db2.gz HUOBQBAAGCZAIH-CQSZACIVSA-N -1 1 300.358 1.606 20 0 DDADMM CN1CCOC[C@@H]1CNC(=O)c1cc2ccccc2cc1[O-] ZINC000628921543 422395632 /nfs/dbraw/zinc/39/56/32/422395632.db2.gz HUOBQBAAGCZAIH-AWEZNQCLSA-N -1 1 300.358 1.606 20 0 DDADMM Cn1cnnc1S(=O)(=O)[N-][C@@H]1CCCC[C@@H]1C(F)(F)F ZINC000632506820 422396172 /nfs/dbraw/zinc/39/61/72/422396172.db2.gz KAZMFRALCUKFJV-JGVFFNPUSA-N -1 1 312.317 1.215 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1CCC(=O)c2cccn21 ZINC000577703119 422410097 /nfs/dbraw/zinc/41/00/97/422410097.db2.gz IBSKZBOMDIHJKJ-NSHDSACASA-N -1 1 314.349 1.105 20 0 DDADMM CC(C)(NC(=O)CCc1nn[n-]n1)c1cccc(Cl)c1F ZINC000632526205 422410831 /nfs/dbraw/zinc/41/08/31/422410831.db2.gz NODJULRGJZWHRZ-UHFFFAOYSA-N -1 1 311.748 1.976 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@](F)(c2ccccc2)C1 ZINC000635902577 422459421 /nfs/dbraw/zinc/45/94/21/422459421.db2.gz FTICRSKHHHDVMO-HNNXBMFYSA-N -1 1 303.341 1.620 20 0 DDADMM COCCCNC(=O)CSc1nc(C)c(C2CC2)c(=O)[n-]1 ZINC000631029261 422477306 /nfs/dbraw/zinc/47/73/06/422477306.db2.gz UCXXGOLCNWSINK-UHFFFAOYSA-N -1 1 311.407 1.613 20 0 DDADMM O=C(NCCN1CC[C@@H](O)C1)c1cccc(C(F)(F)F)c1[O-] ZINC000629166684 422500376 /nfs/dbraw/zinc/50/03/76/422500376.db2.gz MGOZIMGWOQQYAW-SECBINFHSA-N -1 1 318.295 1.207 20 0 DDADMM C[C@@H](CNC(=O)Cc1ccc([O-])c(Cl)c1)c1nncn1C ZINC000632706215 422533097 /nfs/dbraw/zinc/53/30/97/422533097.db2.gz YVBHKDYMRKPFOW-VIFPVBQESA-N -1 1 308.769 1.637 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)c1Br ZINC000636001256 422555121 /nfs/dbraw/zinc/55/51/21/422555121.db2.gz OTHYUVIMJVKXKB-RNFRBKRXSA-N -1 1 310.155 1.368 20 0 DDADMM O=C(N[C@H]1CCOC[C@@H]1O)c1ccc(C(F)(F)F)cc1[O-] ZINC000629247584 422559874 /nfs/dbraw/zinc/55/98/74/422559874.db2.gz YOIXUUQWZADDSR-ONGXEEELSA-N -1 1 305.252 1.291 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NCCc1nnc(-c2ccccc2)[nH]1 ZINC000578442835 422571871 /nfs/dbraw/zinc/57/18/71/422571871.db2.gz JLGWZPFYNJNPLS-ZDUSSCGKSA-N -1 1 316.361 1.487 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@@H]1CSc2ccccc2O1 ZINC000636076438 422620110 /nfs/dbraw/zinc/62/01/10/422620110.db2.gz XTTAKXRTUYPYPT-SNVBAGLBSA-N -1 1 319.390 1.192 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[nH]2)cc1)[C@@H]1CCCc2nn[nH]c21 ZINC000629379603 422641180 /nfs/dbraw/zinc/64/11/80/422641180.db2.gz FVVNPLAVFVFDEH-GFCCVEGCSA-N -1 1 324.344 1.755 20 0 DDADMM O=S(=O)([N-]c1ccn(C2CCSCC2)n1)N1CCCC1 ZINC000634795226 422649437 /nfs/dbraw/zinc/64/94/37/422649437.db2.gz NQCMNBTWMUXOMD-UHFFFAOYSA-N -1 1 316.452 1.704 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1ccc(Br)cc1 ZINC000631347703 422703014 /nfs/dbraw/zinc/70/30/14/422703014.db2.gz JPRRCRXGKWWGRB-UHFFFAOYSA-N -1 1 324.182 1.254 20 0 DDADMM O=C([C@@H]1CCc2ccccc2C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000578899338 422671431 /nfs/dbraw/zinc/67/14/31/422671431.db2.gz PYYBIGKPGBHDDQ-HUUCEWRRSA-N -1 1 311.389 1.711 20 0 DDADMM CCOC(=O)c1nn(C)cc1CN1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000578987544 422683415 /nfs/dbraw/zinc/68/34/15/422683415.db2.gz FFPKVTCZRAYQTB-PWSUYJOCSA-N -1 1 309.366 1.139 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1c(F)cccc1F)c1nn[n-]n1 ZINC000183404761 263379806 /nfs/dbraw/zinc/37/98/06/263379806.db2.gz GEXXQLROVQONST-UCUJLANTSA-N -1 1 307.304 1.753 20 0 DDADMM O=C(NCC1(CO)CCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000186003861 263395476 /nfs/dbraw/zinc/39/54/76/263395476.db2.gz LCCJVMWUDJBRAB-UHFFFAOYSA-N -1 1 301.346 1.206 20 0 DDADMM NCc1ccc(C(=O)N=c2[n-]nc(CC(F)(F)F)s2)o1 ZINC000646252747 423418513 /nfs/dbraw/zinc/41/85/13/423418513.db2.gz IXIPZWFIMKMQQW-UHFFFAOYSA-N -1 1 306.269 1.369 20 0 DDADMM CC(C)CN1CCO[C@@H](CNC(=O)[C@]2(C(=O)[O-])CC=CCC2)C1 ZINC000653303670 423430360 /nfs/dbraw/zinc/43/03/60/423430360.db2.gz WTCOJEQJGHWRKX-YOEHRIQHSA-N -1 1 324.421 1.271 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccnc(-c2ccc(Cl)cc2)n1 ZINC000643982734 423430556 /nfs/dbraw/zinc/43/05/56/423430556.db2.gz UIZCCXXBQCXXFU-UHFFFAOYSA-N -1 1 315.724 1.240 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)[C@]2(C(=O)[O-])CC=CCC2)C[C@H](C)O1 ZINC000653307725 423433237 /nfs/dbraw/zinc/43/32/37/423433237.db2.gz VEUBYODMGHWWJC-VBQJREDUSA-N -1 1 324.421 1.413 20 0 DDADMM CCC[C@@H](NC(=O)COC[C@@H](C)c1ccccc1)c1nn[n-]n1 ZINC000651462235 423552203 /nfs/dbraw/zinc/55/22/03/423552203.db2.gz UGYOOHBRQANIGB-TZMCWYRMSA-N -1 1 317.393 1.977 20 0 DDADMM CS(=O)(=O)c1cccnc1C(=O)[N-]c1nnc(C2CC2)s1 ZINC000653951451 423658780 /nfs/dbraw/zinc/65/87/80/423658780.db2.gz UBMVTTAKOZWFBV-UHFFFAOYSA-N -1 1 324.387 1.466 20 0 DDADMM CSc1cccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000649219641 423683206 /nfs/dbraw/zinc/68/32/06/423683206.db2.gz SXXQMJSYKDDFDQ-UHFFFAOYSA-N -1 1 320.374 1.912 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N1C(=O)CN1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639824536 423764962 /nfs/dbraw/zinc/76/49/62/423764962.db2.gz ISSZCBBXLHJHPQ-JHJVBQTASA-N -1 1 321.425 1.451 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(CC2CC2)c1)C(C)C ZINC000647127836 423769682 /nfs/dbraw/zinc/76/96/82/423769682.db2.gz CYIQTAZHTJPCOZ-CYBMUJFWSA-N -1 1 301.412 1.706 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCS(=O)(=O)[C@H]2CCC[C@H]21 ZINC000654343124 423773195 /nfs/dbraw/zinc/77/31/95/423773195.db2.gz BLFGHKUBONTEOO-YPMHNXCESA-N -1 1 313.350 1.323 20 0 DDADMM COCCC1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000644767921 423872219 /nfs/dbraw/zinc/87/22/19/423872219.db2.gz LYJCDURPUXCLII-UHFFFAOYSA-N -1 1 307.394 1.897 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](C)C(F)F)cc1OC ZINC000641702948 423885928 /nfs/dbraw/zinc/88/59/28/423885928.db2.gz XRZPUTBCLAYGDL-LURJTMIESA-N -1 1 313.297 1.775 20 0 DDADMM COCCCC[N-]S(=O)(=O)c1ncccc1Br ZINC000656875802 423824351 /nfs/dbraw/zinc/82/43/51/423824351.db2.gz CUESFSQSDUXLRJ-UHFFFAOYSA-N -1 1 323.212 1.549 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cnn(CC(C)C)c2C)CC1 ZINC000641686269 423860784 /nfs/dbraw/zinc/86/07/84/423860784.db2.gz UBUDOTNPQCIKHB-UHFFFAOYSA-N -1 1 301.412 1.768 20 0 DDADMM CCc1nnc([N-]C(=O)c2coc(S(C)(=O)=O)c2)s1 ZINC000649490115 423960365 /nfs/dbraw/zinc/96/03/65/423960365.db2.gz UDBKKSOSKJKFNE-UHFFFAOYSA-N -1 1 301.349 1.349 20 0 DDADMM C[C@H]([N-]S(=O)(=O)C[C@H]1COc2ccccc2O1)C(F)F ZINC000641707432 423894115 /nfs/dbraw/zinc/89/41/15/423894115.db2.gz ZDBBWVDFWXBBHU-DTWKUNHWSA-N -1 1 307.318 1.399 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(C(F)(F)F)cnn1C)C(F)F ZINC000641707083 423894357 /nfs/dbraw/zinc/89/43/57/423894357.db2.gz YVLWZDIYBWLVQC-SCSAIBSYSA-N -1 1 307.244 1.371 20 0 DDADMM Cc1ccc([C@H](CNC(=O)C(C)(C)C(=O)[O-])N2CCCC2)o1 ZINC000649462549 423928107 /nfs/dbraw/zinc/92/81/07/423928107.db2.gz FQOBETXNGUNXSA-LBPRGKRZSA-N -1 1 308.378 1.952 20 0 DDADMM O=C([O-])CCCCN1Cc2ccccc2C[C@H]1C(=O)NC1CC1 ZINC000647377078 424006111 /nfs/dbraw/zinc/00/61/11/424006111.db2.gz NOPHPMZIPKFWCV-INIZCTEOSA-N -1 1 316.401 1.947 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)[nH]n1 ZINC000644883768 424009043 /nfs/dbraw/zinc/00/90/43/424009043.db2.gz AIUXIGOOKSPPEY-VIFPVBQESA-N -1 1 305.338 1.471 20 0 DDADMM O=C([C@@H]1CCc2cccnc21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887231 424018450 /nfs/dbraw/zinc/01/84/50/424018450.db2.gz RLZMFZHATCLTFS-GFCCVEGCSA-N -1 1 314.345 1.606 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCCC[C@H]2O)c(F)c1 ZINC000657040481 424031020 /nfs/dbraw/zinc/03/10/20/424031020.db2.gz PSFMZSDQAAFWFW-VXGBXAGGSA-N -1 1 321.345 1.555 20 0 DDADMM CC(=O)Nc1ccc(S(=O)(=O)[N-]CC(C)(F)F)c(F)c1 ZINC000645093020 424150379 /nfs/dbraw/zinc/15/03/79/424150379.db2.gz RCXBPYRSXVNKCP-UHFFFAOYSA-N -1 1 310.297 1.718 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCC[C@@](O)(CO)C1 ZINC000655127669 424234482 /nfs/dbraw/zinc/23/44/82/424234482.db2.gz DBZPFNUBDJLSKF-ZDUSSCGKSA-N -1 1 319.279 1.370 20 0 DDADMM O=C([O-])c1ccc(C[N@H+]2CC[C@H](Cn3ccnn3)C2)cc1F ZINC000659788111 424252905 /nfs/dbraw/zinc/25/29/05/424252905.db2.gz GBJZBRNHJPDOQT-LBPRGKRZSA-N -1 1 304.325 1.638 20 0 DDADMM O=C(NCc1nc([C@H]2CCCO2)n[nH]1)c1ccc([O-])c(F)c1 ZINC000655245466 424337663 /nfs/dbraw/zinc/33/76/63/424337663.db2.gz YDWCEBYAFALHOJ-LLVKDONJSA-N -1 1 306.297 1.431 20 0 DDADMM O=C(N=c1[nH]sc2ccccc21)NCC[N@H+]1CCCOCC1 ZINC000640338297 424360270 /nfs/dbraw/zinc/36/02/70/424360270.db2.gz BDAGKWACDFPABJ-UHFFFAOYSA-N -1 1 320.418 1.562 20 0 DDADMM CNC(=O)[C@H]1CCC[C@H]1NC(=O)N=c1[n-]sc2ccccc21 ZINC000640342880 424364144 /nfs/dbraw/zinc/36/41/44/424364144.db2.gz QRFZAJGQASWKEK-GXSJLCMTSA-N -1 1 318.402 1.754 20 0 DDADMM CCCCc1noc([C@H](C)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)n1 ZINC000662219575 424489601 /nfs/dbraw/zinc/48/96/01/424489601.db2.gz CZWHCZSPWVWYOK-FIXISWKDSA-N -1 1 309.366 1.506 20 0 DDADMM COc1ccccc1[C@@H]1CCCN1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662201622 424466938 /nfs/dbraw/zinc/46/69/38/424466938.db2.gz JMUZSQIPVOBBCT-KBPBESRZSA-N -1 1 318.373 1.518 20 0 DDADMM COCCN([C@H](C)c1ccccc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662204542 424471034 /nfs/dbraw/zinc/47/10/34/424471034.db2.gz UYWYSMAATABVOW-UKRRQHHQSA-N -1 1 320.389 1.382 20 0 DDADMM CC(C)[C@H](CNC(=O)c1cccc(-c2nnc[nH]2)c1)C(=O)[O-] ZINC000655564778 424572410 /nfs/dbraw/zinc/57/24/10/424572410.db2.gz QSERFTSMSBZNDH-LBPRGKRZSA-N -1 1 302.334 1.558 20 0 DDADMM CO[C@](C)(CO)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000655624349 424599786 /nfs/dbraw/zinc/59/97/86/424599786.db2.gz FANUSKPTOSVVFQ-ZDUSSCGKSA-N -1 1 317.338 1.248 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)NC[C@@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665322270 424783329 /nfs/dbraw/zinc/78/33/29/424783329.db2.gz ABJFWTDEQXCMAC-MWLCHTKSSA-N -1 1 323.397 1.789 20 0 DDADMM CN(CCC(=O)Nc1ccccc1Cl)Cc1n[n-]c(=O)o1 ZINC000660850878 424790720 /nfs/dbraw/zinc/79/07/20/424790720.db2.gz UJUCYZDQDNTMME-UHFFFAOYSA-N -1 1 310.741 1.889 20 0 DDADMM CN(CCN=c1nc(C(F)(F)F)[n-]s1)CC(F)(F)F ZINC000342165612 271326257 /nfs/dbraw/zinc/32/62/57/271326257.db2.gz QULFCAFJYVTQHW-UHFFFAOYSA-N -1 1 308.251 1.885 20 0 DDADMM Cc1nc2ccc([N-]S(=O)(=O)c3cn(C)nc3C)cc2[nH]1 ZINC000342449979 271419845 /nfs/dbraw/zinc/41/98/45/271419845.db2.gz OUOBYWQWTDBVNA-UHFFFAOYSA-N -1 1 305.363 1.714 20 0 DDADMM CC(C)[C@@H](CO)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000344821006 272124189 /nfs/dbraw/zinc/12/41/89/272124189.db2.gz FTJXYSWEYWJJJS-MRVPVSSYSA-N -1 1 311.325 1.647 20 0 DDADMM C[C@@H]1C[C@H](CCNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000345537843 272276506 /nfs/dbraw/zinc/27/65/06/272276506.db2.gz CURXENUHFRLBLD-GHMZBOCLSA-N -1 1 318.377 1.609 20 0 DDADMM CC1(C)CN(C(=O)c2cncc([O-])c2)C[C@@H](C(F)(F)F)O1 ZINC000293703696 278326511 /nfs/dbraw/zinc/32/65/11/278326511.db2.gz LGAISOPVYPPILR-JTQLQIEISA-N -1 1 304.268 1.969 20 0 DDADMM CC1(C)CN(CC(F)(F)F)CCN1C(=O)c1ncccc1[O-] ZINC000134063038 278329952 /nfs/dbraw/zinc/32/99/52/278329952.db2.gz YMAXYAWSYBGKNN-UHFFFAOYSA-N -1 1 317.311 1.886 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCCC[C@@H]1C(=O)[O-] ZINC000263419885 280291390 /nfs/dbraw/zinc/29/13/90/280291390.db2.gz KWWSKPBYCCELBL-BDAKNGLRSA-N -1 1 324.299 1.155 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2cc3ccccc3o2)CCCCC1 ZINC000088771212 281018604 /nfs/dbraw/zinc/01/86/04/281018604.db2.gz ZDNFVAORUXLYQJ-UHFFFAOYSA-N -1 1 322.386 1.899 20 0 DDADMM COc1ccc(C(=O)N(CCC(N)=O)c2ccccc2)c([O-])c1 ZINC000122674896 281159692 /nfs/dbraw/zinc/15/96/92/281159692.db2.gz PJFMONGLIORIIL-UHFFFAOYSA-N -1 1 314.341 1.923 20 0 DDADMM C[C@@H]1CCC[C@H](OCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1 ZINC000129501337 281347080 /nfs/dbraw/zinc/34/70/80/281347080.db2.gz JEAYWFPGAOGYJS-AGIUHOORSA-N -1 1 307.398 1.501 20 0 DDADMM CCc1[nH]nc(NC(=O)c2coc(S(=O)(=O)[N-]C)c2)c1C ZINC000131610933 281421484 /nfs/dbraw/zinc/42/14/84/281421484.db2.gz XVMTXINJHWXCEN-UHFFFAOYSA-N -1 1 312.351 1.034 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCSC(C)(C)C3)ccnc1-2 ZINC000279301649 294131182 /nfs/dbraw/zinc/13/11/82/294131182.db2.gz LOQQIESNCDHBHB-BOPFTXTBSA-N -1 1 305.407 1.701 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)N[C@@H]2CCCc3cn[nH]c32)C1 ZINC000263104732 297214642 /nfs/dbraw/zinc/21/46/42/297214642.db2.gz BFPXAJFODCTVCZ-YUSALJHKSA-N -1 1 306.366 1.539 20 0 DDADMM CCN(CC)CCS(=O)(=O)N[C@]1(C)CCCC[C@H]1C(=O)[O-] ZINC000572758470 304553209 /nfs/dbraw/zinc/55/32/09/304553209.db2.gz LYCCUPYLQUSEDG-GXTWGEPZSA-N -1 1 320.455 1.281 20 0 DDADMM Fc1ccccc1CN=c1ccc(N2CCCOCC2)n[n-]1 ZINC000574385436 304648518 /nfs/dbraw/zinc/64/85/18/304648518.db2.gz DKJCSHXGRUFAEY-UHFFFAOYSA-N -1 1 302.353 1.876 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2CCN(C)[C@@H](C(C)C)C2)s1 ZINC000362747259 300129755 /nfs/dbraw/zinc/12/97/55/300129755.db2.gz YGMBHTOLBKLKQZ-LLVKDONJSA-N -1 1 311.455 1.716 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H](O)Cc1ccccc1)c1nn[n-]n1 ZINC000362950098 300186588 /nfs/dbraw/zinc/18/65/88/300186588.db2.gz XUHFAVGNHAKSQR-STQMWFEESA-N -1 1 303.366 1.151 20 0 DDADMM CC[C@@H](C(=O)Nc1cc(-c2n[nH]c(=O)[n-]2)ccc1C)N(C)C ZINC000574464046 304654925 /nfs/dbraw/zinc/65/49/25/304654925.db2.gz YTSYNMKZPVVMKC-LBPRGKRZSA-N -1 1 303.366 1.764 20 0 DDADMM C[C@]1(C(=O)Nc2nc(Br)ccc2[O-])CNC(=O)C1 ZINC000363895912 300322491 /nfs/dbraw/zinc/32/24/91/300322491.db2.gz WDFJBKQVJISUBR-LLVKDONJSA-N -1 1 314.139 1.014 20 0 DDADMM Cc1nnc(C2CCN(C(=O)c3cc(F)ccc3[O-])CC2)[nH]1 ZINC000365861898 300597277 /nfs/dbraw/zinc/59/72/77/300597277.db2.gz NDPXYIQZHINZHY-UHFFFAOYSA-N -1 1 304.325 1.978 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(c2cncc(F)c2)CC1 ZINC000366370267 300688439 /nfs/dbraw/zinc/68/84/39/300688439.db2.gz DYYLVPYYBHBDBS-UHFFFAOYSA-N -1 1 316.336 1.674 20 0 DDADMM Cc1nc([C@H]2COCCN2C(=O)c2cc(F)ccc2[O-])no1 ZINC000369467350 301189105 /nfs/dbraw/zinc/18/91/05/301189105.db2.gz MUPUSGJTSHYNOZ-LLVKDONJSA-N -1 1 307.281 1.436 20 0 DDADMM O=C(NCC1(N2CCOCC2)CCCC1)c1ncccc1[O-] ZINC000370063337 301268902 /nfs/dbraw/zinc/26/89/02/301268902.db2.gz APRQCQNSAUGOKV-UHFFFAOYSA-N -1 1 305.378 1.162 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@@]2(CCCOC2)O1 ZINC000370716542 301382699 /nfs/dbraw/zinc/38/26/99/301382699.db2.gz MTYSSEMZZDPAGI-BDJLRTHQSA-N -1 1 309.337 1.941 20 0 DDADMM O=C(c1cccc2ccccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370857438 301398178 /nfs/dbraw/zinc/39/81/78/301398178.db2.gz NYWNPDIVGFBODM-AWEZNQCLSA-N -1 1 309.329 1.567 20 0 DDADMM Cn1cc(N2CC[C@@H](NC(=O)c3cc(Cl)ccc3[O-])C2)cn1 ZINC000377711955 302217382 /nfs/dbraw/zinc/21/73/82/302217382.db2.gz DTVDDBQTRZNFHN-LLVKDONJSA-N -1 1 320.780 1.788 20 0 DDADMM Cc1noc(C2(C)CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000378569975 302337221 /nfs/dbraw/zinc/33/72/21/302337221.db2.gz MSHKSQIFOCZIDN-UHFFFAOYSA-N -1 1 302.334 1.673 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])CN(CC(=O)Nc2cc(F)cc(F)c2)C1 ZINC000398862041 302363315 /nfs/dbraw/zinc/36/33/15/302363315.db2.gz REAPWISQIIAMEX-VHSXEESVSA-N -1 1 312.316 1.946 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@@H](CN2CCOC2=O)C1 ZINC000495873414 302480358 /nfs/dbraw/zinc/48/03/58/302480358.db2.gz ZHSYQRGCQUBIKE-SNVBAGLBSA-N -1 1 324.764 1.960 20 0 DDADMM Cn1ncc2c1nc(Oc1ccc(S(C)(=O)=O)cc1)[n-]c2=O ZINC000356472826 306852610 /nfs/dbraw/zinc/85/26/10/306852610.db2.gz KQKRFEKBJROMJE-UHFFFAOYSA-N -1 1 320.330 1.265 20 0 DDADMM Cc1cnc(SCc2csc(CC(=O)N(C)C)n2)[n-]c1=O ZINC000516878333 302799732 /nfs/dbraw/zinc/79/97/32/302799732.db2.gz HFQKUAWOBKYECG-UHFFFAOYSA-N -1 1 324.431 1.870 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000519707101 302850113 /nfs/dbraw/zinc/85/01/13/302850113.db2.gz BRFOKVHANQIOPQ-NSHDSACASA-N -1 1 308.353 1.627 20 0 DDADMM Cc1ccn(C[C@@](C)(O)C(=O)Nc2cccc(F)c2[O-])c(=O)c1 ZINC000530004118 303169854 /nfs/dbraw/zinc/16/98/54/303169854.db2.gz BGXHTWIYOVVOCQ-MRXNPFEDSA-N -1 1 320.320 1.391 20 0 DDADMM CC(C)(CNC(=O)c1ccc([O-])cc1F)C(=O)N1CCCC1 ZINC000530085517 303174127 /nfs/dbraw/zinc/17/41/27/303174127.db2.gz QHIHGRGRWDZNLF-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM CN(Cc1coc(S(C)(=O)=O)c1)C1(C(=O)[O-])CCCC1 ZINC000530164022 303177750 /nfs/dbraw/zinc/17/77/50/303177750.db2.gz BSMAXKSSLRAWLV-UHFFFAOYSA-N -1 1 301.364 1.512 20 0 DDADMM O=C([O-])[C@H]1CCN(CC(=O)NC2C3CC4CC(C3)CC2C4)C1 ZINC000532881794 303300549 /nfs/dbraw/zinc/30/05/49/303300549.db2.gz TYMPMVKZDJDBBX-KKYIZUHJSA-N -1 1 306.406 1.334 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NCC1(C)CC1 ZINC000357622366 306899842 /nfs/dbraw/zinc/89/98/42/306899842.db2.gz PUHINMCAISNTPM-UHFFFAOYSA-N -1 1 312.373 1.599 20 0 DDADMM COCc1nc(C)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000539324211 303394542 /nfs/dbraw/zinc/39/45/42/303394542.db2.gz JIJWTZZFOXYRKS-SECBINFHSA-N -1 1 322.394 1.131 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)c2c[nH]nc2C)cn1 ZINC000539854418 303399976 /nfs/dbraw/zinc/39/99/76/303399976.db2.gz GIJUAHLPJFJMGD-UHFFFAOYSA-N -1 1 310.335 1.091 20 0 DDADMM Cc1cccc2c1CC[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000545439067 303495838 /nfs/dbraw/zinc/49/58/38/303495838.db2.gz ZTIXNIQFHNUSCG-LBPRGKRZSA-N -1 1 309.329 1.143 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1ccc(C#N)nc1)C(F)(F)F ZINC000547495963 303537082 /nfs/dbraw/zinc/53/70/82/303537082.db2.gz YGBCPIMLGZXLKA-SNVBAGLBSA-N -1 1 307.297 1.963 20 0 DDADMM CN(C)c1cccc(S(=O)(=O)N=c2cc3ccccn3[n-]2)c1 ZINC000551953418 303646882 /nfs/dbraw/zinc/64/68/82/303646882.db2.gz JIUBTTXWUWLATC-UHFFFAOYSA-N -1 1 316.386 1.623 20 0 DDADMM Cc1nc(-c2ccc(N[C@H]3CC(=O)N(C)C3)nc2)[n-]c(=O)c1C ZINC000362627624 307022757 /nfs/dbraw/zinc/02/27/57/307022757.db2.gz ONSKEZJHDGYFJT-LBPRGKRZSA-N -1 1 313.361 1.504 20 0 DDADMM O=C(Nc1cnn(C[C@@H]2CCCO2)c1)c1ccc(O)cc1[O-] ZINC000365127782 307059920 /nfs/dbraw/zinc/05/99/20/307059920.db2.gz LKQIVDZDFLFOCW-LBPRGKRZSA-N -1 1 303.318 1.726 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@@H]1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370815546 307136930 /nfs/dbraw/zinc/13/69/30/307136930.db2.gz YOBQVICFTMQPJE-VWYCJHECSA-N -1 1 319.390 1.273 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](c3ccco3)C2)o1 ZINC000370837638 307137399 /nfs/dbraw/zinc/13/73/99/307137399.db2.gz IEHUFASDDKLQAT-JTQLQIEISA-N -1 1 324.358 1.410 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1C[C@H](O)C2(CC2)C1 ZINC000375297811 307220790 /nfs/dbraw/zinc/22/07/90/307220790.db2.gz ORGVLRADBGVYCX-NSHDSACASA-N -1 1 312.163 1.752 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC(n2cncn2)C1 ZINC000378447008 307288179 /nfs/dbraw/zinc/28/81/79/307288179.db2.gz FRUKGHDTGXQEGW-UHFFFAOYSA-N -1 1 312.251 1.700 20 0 DDADMM CCN(C)C(=O)OC[C@H]1CCCCN1C(=O)c1cncc([O-])c1 ZINC000495772572 307318005 /nfs/dbraw/zinc/31/80/05/307318005.db2.gz YYHJAGACKAWAMB-CYBMUJFWSA-N -1 1 321.377 1.870 20 0 DDADMM Cc1cnc(C(=O)N2CCCC[C@H]2COC(=O)N(C)C)c([O-])c1 ZINC000495826670 307319740 /nfs/dbraw/zinc/31/97/40/307319740.db2.gz HHNDPUMFGJVTTN-LBPRGKRZSA-N -1 1 321.377 1.789 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC[C@@H](CN2CCOC2=O)C1 ZINC000497260581 307386560 /nfs/dbraw/zinc/38/65/60/307386560.db2.gz JYBLXISIHZZYBB-SNVBAGLBSA-N -1 1 324.764 1.960 20 0 DDADMM O=S(=O)([N-]CC1=CCOCC1)c1cc(F)c(F)cc1F ZINC000515507744 307405889 /nfs/dbraw/zinc/40/58/89/307405889.db2.gz PZGGKJASPXGWQE-UHFFFAOYSA-N -1 1 307.293 1.729 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-]Cc1ncc(-c2ccccc2)o1 ZINC000525688426 307484837 /nfs/dbraw/zinc/48/48/37/307484837.db2.gz OTHLHRIOZQYDQS-UHFFFAOYSA-N -1 1 319.342 1.949 20 0 DDADMM COCCOc1ccc(Br)cc1[N-]S(C)(=O)=O ZINC000540869076 307688194 /nfs/dbraw/zinc/68/81/94/307688194.db2.gz HVKWCGAHUQOXAM-UHFFFAOYSA-N -1 1 324.196 1.846 20 0 DDADMM CN1CCN(c2nc(C(=O)[O-])co2)C[C@@H]1Cc1ccccc1 ZINC000565036475 308017524 /nfs/dbraw/zinc/01/75/24/308017524.db2.gz RZGCDKMFZUPSSA-ZDUSSCGKSA-N -1 1 301.346 1.736 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)N=c1nc([C@@H](C)OC)[n-]s1 ZINC000567017693 308068535 /nfs/dbraw/zinc/06/85/35/308068535.db2.gz HZTMFYUPMVGVAX-NXEZZACHSA-N -1 1 313.427 1.225 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]2CCCC[C@@H]2N1Cc1ncnn1CC(F)F ZINC000567478854 308081766 /nfs/dbraw/zinc/08/17/66/308081766.db2.gz CMFQGLVBZZGFLN-VWYCJHECSA-N -1 1 314.336 1.761 20 0 DDADMM NC(=O)[C@H]1CC[C@@H]1C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000568225699 308113017 /nfs/dbraw/zinc/11/30/17/308113017.db2.gz NSSLBFCPDXZQAP-YUMQZZPRSA-N -1 1 320.299 1.227 20 0 DDADMM CCC[C@@H]1[C@H](C)CCCN1S(=O)(=O)c1c(C)o[n-]c1=N ZINC000569410757 308142852 /nfs/dbraw/zinc/14/28/52/308142852.db2.gz IQVLTDJADDMXIR-MWLCHTKSSA-N -1 1 301.412 1.985 20 0 DDADMM Cc1nc(C(C)C)oc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000575444244 308277101 /nfs/dbraw/zinc/27/71/01/308277101.db2.gz PCBVRDSIQITYPS-SNVBAGLBSA-N -1 1 304.354 1.639 20 0 DDADMM C[C@H](N=c1ccc(N2CCn3ncnc3C2)n[n-]1)c1ccccc1 ZINC000579949627 308569010 /nfs/dbraw/zinc/56/90/10/308569010.db2.gz AMNUPGLOLHLSNW-ZDUSSCGKSA-N -1 1 321.388 1.683 20 0 DDADMM C[C@H]1CC[C@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC1 ZINC000580335403 308597971 /nfs/dbraw/zinc/59/79/71/308597971.db2.gz RXCQSRIJXWLCDP-MGCOHNPYSA-N -1 1 312.391 1.804 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)NCC1(CN2CCOCC2)CCCCC1 ZINC000580952538 308643171 /nfs/dbraw/zinc/64/31/71/308643171.db2.gz JAKIZAKVNWUKTQ-ZDUSSCGKSA-N -1 1 312.410 1.106 20 0 DDADMM C[C@H]1[C@@H](CO)CCN1C(=O)c1ccc(Br)cc1[O-] ZINC000386308137 325759468 /nfs/dbraw/zinc/75/94/68/325759468.db2.gz SBNXKDLUSAWFBQ-DTWKUNHWSA-N -1 1 314.179 1.998 20 0 DDADMM Cc1nn(C)cc1CN(C)Cc1ccc(OCC(=O)[O-])cc1 ZINC000582307212 326010386 /nfs/dbraw/zinc/01/03/86/326010386.db2.gz ZRSOISMGTAGVFT-UHFFFAOYSA-N -1 1 303.362 1.824 20 0 DDADMM O=C([O-])[C@@H]1CN(Cc2nnnn2C2CC2)C[C@@H]1c1ccccc1 ZINC000584297260 332227860 /nfs/dbraw/zinc/22/78/60/332227860.db2.gz BZCABDXMSHSCND-ZIAGYGMSSA-N -1 1 313.361 1.308 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)C3(C(N)=O)CCC3)[nH][n-]2)c1 ZINC000584339869 333804361 /nfs/dbraw/zinc/80/43/61/333804361.db2.gz DHINCRXOMCIDKB-UHFFFAOYSA-N -1 1 316.336 1.540 20 0 DDADMM Cn1[n-]c(CN2CCC(=Cc3cccc(F)c3)CC2)nc1=O ZINC000584359310 337102577 /nfs/dbraw/zinc/10/25/77/337102577.db2.gz YCBNBLGTJHGPQA-UHFFFAOYSA-N -1 1 302.353 1.927 20 0 DDADMM C[C@@H]1CN(CC[N-]S(=O)(=O)c2sccc2Cl)CCO1 ZINC000582826837 337206152 /nfs/dbraw/zinc/20/61/52/337206152.db2.gz WGNNKTBYFNFECZ-SECBINFHSA-N -1 1 324.855 1.401 20 0 DDADMM O=C(NC[C@H]1CCC[C@@H](CO)C1)c1csc(=NC2CC2)[n-]1 ZINC000584490544 337349421 /nfs/dbraw/zinc/34/94/21/337349421.db2.gz YAFJVEWEPKBAOC-WDEREUQCSA-N -1 1 309.435 1.668 20 0 DDADMM O=C([O-])[C@@H](CCF)NS(=O)(=O)c1cc(F)c(F)cc1F ZINC000656163092 483987517 /nfs/dbraw/zinc/98/75/17/483987517.db2.gz NKCVTTXKEMCEMM-MRVPVSSYSA-N -1 1 315.244 1.195 20 0 DDADMM Cc1nc([C@@](C)(NC(=O)C(=O)c2ccc([O-])cc2)C2CC2)no1 ZINC000436992103 484134844 /nfs/dbraw/zinc/13/48/44/484134844.db2.gz UJGISPGVMSKTPB-INIZCTEOSA-N -1 1 315.329 1.708 20 0 DDADMM CN(CCNC(=O)[C@@H](C(=O)[O-])C1CC1)Cc1ccc(F)cc1 ZINC000663107630 484673236 /nfs/dbraw/zinc/67/32/36/484673236.db2.gz KQKYRDIYOCTRAP-AWEZNQCLSA-N -1 1 308.353 1.485 20 0 DDADMM CC1CCN(CC(=O)N2Cc3ccccc3[C@@H](C(=O)[O-])C2)CC1 ZINC000663133898 484694523 /nfs/dbraw/zinc/69/45/23/484694523.db2.gz FWRGDPAHKNDXIF-INIZCTEOSA-N -1 1 316.401 1.929 20 0 DDADMM C[C@]1(C(=O)[O-])CCC[C@H]1NC(=O)Cc1[nH]nc2ccccc21 ZINC000659237830 484704169 /nfs/dbraw/zinc/70/41/69/484704169.db2.gz QXSQJJMVEBTFNF-CJNGLKHVSA-N -1 1 301.346 1.865 20 0 DDADMM O=C(NCc1nnc2n1CCCC2)c1ncc2ccccc2c1[O-] ZINC000666611753 485295014 /nfs/dbraw/zinc/29/50/14/485295014.db2.gz HMLCVRHTKDQALR-UHFFFAOYSA-N -1 1 323.356 1.798 20 0 DDADMM CN1C(=O)CC[C@@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000672743408 485342481 /nfs/dbraw/zinc/34/24/81/485342481.db2.gz IMTBFMCUIVSNJL-GFCCVEGCSA-N -1 1 300.318 1.342 20 0 DDADMM CC1(C)CN(C(=O)C(=O)c2ccc([O-])cc2)[C@@H]1[C@@H]1CCCO1 ZINC000685885728 485474734 /nfs/dbraw/zinc/47/47/34/485474734.db2.gz AYRUMOYHEWZCOR-DZGCQCFKSA-N -1 1 303.358 1.991 20 0 DDADMM Cn1ncc2c1nc(Oc1cccc(-c3nnco3)c1)[n-]c2=O ZINC000678388240 485565050 /nfs/dbraw/zinc/56/50/50/485565050.db2.gz NZHVWWWUHSVRPT-UHFFFAOYSA-N -1 1 310.273 1.911 20 0 DDADMM CC(=O)N[C@@H](C)c1ccc(S(=O)(=O)[N-]CC(F)F)s1 ZINC000674416881 485630863 /nfs/dbraw/zinc/63/08/63/485630863.db2.gz VVVVCUJXWLHALE-LURJTMIESA-N -1 1 312.363 1.489 20 0 DDADMM Cc1nc(SCc2nc3c(cnn3C)c(=O)[nH]2)[n-]c(=O)c1C ZINC000679009466 485754965 /nfs/dbraw/zinc/75/49/65/485754965.db2.gz LYOHBOZDUOQGCF-UHFFFAOYSA-N -1 1 318.362 1.474 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](CO)C(C)(C)C)c(F)c1 ZINC000682933359 485830802 /nfs/dbraw/zinc/83/08/02/485830802.db2.gz RAXRNDWLVJGCJR-NSHDSACASA-N -1 1 323.361 1.659 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2[nH]c(=O)oc2c1)c1nn[n-]n1 ZINC000675145032 485865827 /nfs/dbraw/zinc/86/58/27/485865827.db2.gz YWADDVIKDRTCSX-VIFPVBQESA-N -1 1 302.294 1.318 20 0 DDADMM O=C(CCCc1cccc(Br)c1)NCc1nn[n-]n1 ZINC000675460956 485944524 /nfs/dbraw/zinc/94/45/24/485944524.db2.gz YHJQPJHKYVRYCF-UHFFFAOYSA-N -1 1 324.182 1.601 20 0 DDADMM COc1ccc(C(=O)CCC(=O)NCc2nn[n-]n2)c(Cl)c1 ZINC000679635693 485948975 /nfs/dbraw/zinc/94/89/75/485948975.db2.gz GWEIAZNKUMOBQW-UHFFFAOYSA-N -1 1 323.740 1.141 20 0 DDADMM O=C(c1csnn1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000676188040 486137497 /nfs/dbraw/zinc/13/74/97/486137497.db2.gz YSHWFNDFZUIUMN-UHFFFAOYSA-N -1 1 317.370 1.979 20 0 DDADMM Cc1ccc(CNC(=O)CNC2(C(=O)[O-])CCCC2)cc1F ZINC000676230364 486151859 /nfs/dbraw/zinc/15/18/59/486151859.db2.gz BGDROGDUZYJNCP-UHFFFAOYSA-N -1 1 308.353 1.737 20 0 DDADMM O=C(Cn1cc(NC(=O)c2ccc([O-])c(F)c2)cn1)NC1CC1 ZINC000681017992 486323783 /nfs/dbraw/zinc/32/37/83/486323783.db2.gz GIWCSULXKSWWIC-UHFFFAOYSA-N -1 1 318.308 1.259 20 0 DDADMM C[C@H](NC(=O)c1ccc([O-])c(F)c1)C(=O)NCc1ccccc1 ZINC000681020616 486324699 /nfs/dbraw/zinc/32/46/99/486324699.db2.gz HCUGNHVGLZPNDV-NSHDSACASA-N -1 1 316.332 1.966 20 0 DDADMM CC(C)C(=O)NC1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681018823 486324793 /nfs/dbraw/zinc/32/47/93/486324793.db2.gz ZNEDOMAXMYVOPL-UHFFFAOYSA-N -1 1 308.353 1.908 20 0 DDADMM CC1(C)CN(C(=O)c2ccc([O-])c(F)c2)CCS1(=O)=O ZINC000681055651 486333551 /nfs/dbraw/zinc/33/35/51/486333551.db2.gz OGXFNFOWWIKQKN-UHFFFAOYSA-N -1 1 301.339 1.181 20 0 DDADMM Cn1cnnc1-c1cncc(NC(=O)c2ccc([O-])c(F)c2)c1 ZINC000681057793 486334284 /nfs/dbraw/zinc/33/42/84/486334284.db2.gz DDNPECXPSNJQCT-UHFFFAOYSA-N -1 1 313.292 1.974 20 0 DDADMM NC(=O)[C@H](NC(=O)c1ccc([O-])c(F)c1)c1ccc(F)cc1 ZINC000681086452 486345424 /nfs/dbraw/zinc/34/54/24/486345424.db2.gz YELQAUWBTDSLHE-CYBMUJFWSA-N -1 1 306.268 1.627 20 0 DDADMM CO[C@@H]1CCC[C@@H](CC(=O)NC2(c3nn[n-]n3)CCCC2)C1 ZINC000684444760 486355639 /nfs/dbraw/zinc/35/56/39/486355639.db2.gz DTWAWDNKWYOJNS-VXGBXAGGSA-N -1 1 307.398 1.681 20 0 DDADMM C[C@@H]1CCCN1C(=O)CCN1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000676989673 486375960 /nfs/dbraw/zinc/37/59/60/486375960.db2.gz XFGFPGLQTZRNIG-VXGBXAGGSA-N -1 1 307.398 1.091 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CC[C@H](O)CC2)c(F)c1 ZINC000677128726 486397733 /nfs/dbraw/zinc/39/77/33/486397733.db2.gz ZKFYIANFUINVBJ-KYZUINATSA-N -1 1 321.345 1.555 20 0 DDADMM CCn1cc([C@@H]2CSCCN2C(=O)c2ncccc2[O-])cn1 ZINC000684844869 486442337 /nfs/dbraw/zinc/44/23/37/486442337.db2.gz IMXQPZVKWXWWIL-LBPRGKRZSA-N -1 1 318.402 1.934 20 0 DDADMM COCCO[C@@H]1COCC[C@@H]1NC(=O)c1ccc([O-])c(F)c1 ZINC000681629451 486476283 /nfs/dbraw/zinc/47/62/83/486476283.db2.gz ZBYJYVVFQXOEJC-GXTWGEPZSA-N -1 1 313.325 1.082 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c2c(sc1-n1cccc1)CCC2 ZINC000677547421 486494988 /nfs/dbraw/zinc/49/49/88/486494988.db2.gz XSDINDZJSORBMT-UHFFFAOYSA-N -1 1 314.374 1.471 20 0 DDADMM CN(Cc1ccc([S@](C)=O)cc1)C(=O)c1ncccc1[O-] ZINC000490021341 490271577 /nfs/dbraw/zinc/27/15/77/490271577.db2.gz PGSSJSFDRCGSSY-NRFANRHFSA-N -1 1 304.371 1.797 20 0 DDADMM C[C@H]1C[C@H]1C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccc1[O-] ZINC000069673612 490578352 /nfs/dbraw/zinc/57/83/52/490578352.db2.gz VGUBTPABHODXJV-CMPLNLGQSA-N -1 1 324.402 1.771 20 0 DDADMM COc1cccc(C(=O)NCC(=O)Nc2c([O-])cccc2F)c1 ZINC000122994779 490634271 /nfs/dbraw/zinc/63/42/71/490634271.db2.gz RCSPGSGYRDNKJC-UHFFFAOYSA-N -1 1 318.304 1.908 20 0 DDADMM COC(=O)c1cnc(S[C@H](Cc2ccc(F)cc2)C(N)=O)[n-]1 ZINC000432669828 534307573 /nfs/dbraw/zinc/30/75/73/534307573.db2.gz MXIPIAQPVPESIB-LLVKDONJSA-N -1 1 323.349 1.524 20 0 DDADMM COC(=O)c1c[n-]c(S[C@H](Cc2ccc(F)cc2)C(N)=O)n1 ZINC000432669828 534307574 /nfs/dbraw/zinc/30/75/74/534307574.db2.gz MXIPIAQPVPESIB-LLVKDONJSA-N -1 1 323.349 1.524 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H]3CC[C@@H](C)O3)cnc2n1 ZINC000412417549 534399783 /nfs/dbraw/zinc/39/97/83/534399783.db2.gz NIROYVZQUCLPNR-MNOVXSKESA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@H]1CC[C@@H](C)O1)c2=O ZINC000412417549 534399786 /nfs/dbraw/zinc/39/97/86/534399786.db2.gz NIROYVZQUCLPNR-MNOVXSKESA-N -1 1 301.346 1.941 20 0 DDADMM CC(C)(CN=c1ccc(C(=O)NCCO)n[n-]1)C1=CCCC1 ZINC000413345063 527090291 /nfs/dbraw/zinc/09/02/91/527090291.db2.gz ZJKAEPDPRKWKTM-UHFFFAOYSA-N -1 1 304.394 1.169 20 0 DDADMM CC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1CCO[C@@H]1C)c1ccccc1F ZINC000416650122 527148797 /nfs/dbraw/zinc/14/87/97/527148797.db2.gz QHNNKJLHYKJQBR-HONMWMINSA-N -1 1 315.366 1.553 20 0 DDADMM CC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CCOC1)c1ccccc1F ZINC000416642139 527149291 /nfs/dbraw/zinc/14/92/91/527149291.db2.gz FPFLVPIUAHYBAU-FZMZJTMJSA-N -1 1 315.366 1.412 20 0 DDADMM CC(C)=CCC[C@H](C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000452545181 527421605 /nfs/dbraw/zinc/42/16/05/527421605.db2.gz UYXKXEKEAVXKMA-LBPRGKRZSA-N -1 1 305.378 1.768 20 0 DDADMM CC1(C)OCC[C@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000451700939 527811914 /nfs/dbraw/zinc/81/19/14/527811914.db2.gz YVPHXKVQCVDAHQ-SSDOTTSWSA-N -1 1 324.196 1.888 20 0 DDADMM CC(C)[C@@H]1OCC[C@@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000331892654 528830398 /nfs/dbraw/zinc/83/03/98/528830398.db2.gz HTJZHTBOTXVCTA-RYUDHWBXSA-N -1 1 309.366 1.542 20 0 DDADMM CC1(C)C(=O)N[C@H]1C1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000294796539 528867324 /nfs/dbraw/zinc/86/73/24/528867324.db2.gz PCLLQLOVZLEWJL-AWEZNQCLSA-N -1 1 320.364 1.908 20 0 DDADMM CC1(C)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC[C@@H]1O ZINC000332519094 529111550 /nfs/dbraw/zinc/11/15/50/529111550.db2.gz OKASFRSWMOSZHT-LBPRGKRZSA-N -1 1 301.346 1.156 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@@H](Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC000737161172 598974968 /nfs/dbraw/zinc/97/49/68/598974968.db2.gz HLGBRBXIAZICCU-NXEZZACHSA-N -1 1 322.394 1.029 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@@H](Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC000737161172 598974970 /nfs/dbraw/zinc/97/49/70/598974970.db2.gz HLGBRBXIAZICCU-NXEZZACHSA-N -1 1 322.394 1.029 20 0 DDADMM C[C@H]1CCCC[C@@H]1N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736274332 598987641 /nfs/dbraw/zinc/98/76/41/598987641.db2.gz ULVAVNFNKJRZBF-GWCFXTLKSA-N -1 1 300.366 1.912 20 0 DDADMM C[C@H]1CCCC[C@@H]1N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736274332 598987642 /nfs/dbraw/zinc/98/76/42/598987642.db2.gz ULVAVNFNKJRZBF-GWCFXTLKSA-N -1 1 300.366 1.912 20 0 DDADMM C[C@H]1CCC[C@H](C)C1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736244217 598988034 /nfs/dbraw/zinc/98/80/34/598988034.db2.gz FKYVYQQASLDQNS-UWVGGRQHSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@H]1CCC[C@H](C)C1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736244217 598988036 /nfs/dbraw/zinc/98/80/36/598988036.db2.gz FKYVYQQASLDQNS-UWVGGRQHSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@@H]1CCC[C@@H](CNC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736251482 598997475 /nfs/dbraw/zinc/99/74/75/598997475.db2.gz OQWSPBCRZNVARY-GHMZBOCLSA-N -1 1 300.366 1.818 20 0 DDADMM C[C@@H]1CCC[C@@H](CNC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736251482 598997477 /nfs/dbraw/zinc/99/74/77/598997477.db2.gz OQWSPBCRZNVARY-GHMZBOCLSA-N -1 1 300.366 1.818 20 0 DDADMM C[C@@H](c1ccccn1)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736201769 598998597 /nfs/dbraw/zinc/99/85/97/598998597.db2.gz HTNCVIAICGTNQX-JTQLQIEISA-N -1 1 309.333 1.490 20 0 DDADMM C[C@@H](c1ccccn1)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736201769 598998598 /nfs/dbraw/zinc/99/85/98/598998598.db2.gz HTNCVIAICGTNQX-JTQLQIEISA-N -1 1 309.333 1.490 20 0 DDADMM Cc1csc([C@H](C)NC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000737454966 599002010 /nfs/dbraw/zinc/00/20/10/599002010.db2.gz KNHCAPFXHFJDOQ-QMMMGPOBSA-N -1 1 315.362 1.518 20 0 DDADMM Cc1csc([C@H](C)NC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000737454966 599002012 /nfs/dbraw/zinc/00/20/12/599002012.db2.gz KNHCAPFXHFJDOQ-QMMMGPOBSA-N -1 1 315.362 1.518 20 0 DDADMM C[C@H]1Cc2ccccc2[C@@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736296829 599005750 /nfs/dbraw/zinc/00/57/50/599005750.db2.gz JYJAHTUDDFZGAT-ZUZCIYMTSA-N -1 1 320.356 1.925 20 0 DDADMM C[C@H]1Cc2ccccc2[C@@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736296829 599005752 /nfs/dbraw/zinc/00/57/52/599005752.db2.gz JYJAHTUDDFZGAT-ZUZCIYMTSA-N -1 1 320.356 1.925 20 0 DDADMM Clc1ccc(NCCOC[C@H]2CCCO2)nc1-c1nnn[n-]1 ZINC000737575508 599059642 /nfs/dbraw/zinc/05/96/42/599059642.db2.gz WGUXOCNXFBXESK-SECBINFHSA-N -1 1 324.772 1.523 20 0 DDADMM Clc1ccc(NCCOC[C@H]2CCCO2)nc1-c1nn[n-]n1 ZINC000737575508 599059645 /nfs/dbraw/zinc/05/96/45/599059645.db2.gz WGUXOCNXFBXESK-SECBINFHSA-N -1 1 324.772 1.523 20 0 DDADMM CCc1nncn1CCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821553461 599090749 /nfs/dbraw/zinc/09/07/49/599090749.db2.gz IXSWFWPWCPKBRB-UHFFFAOYSA-N -1 1 319.760 1.181 20 0 DDADMM CCc1nncn1CCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821553461 599090752 /nfs/dbraw/zinc/09/07/52/599090752.db2.gz IXSWFWPWCPKBRB-UHFFFAOYSA-N -1 1 319.760 1.181 20 0 DDADMM C[C@@H](O)[C@@H](C)Sc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000820468136 599099851 /nfs/dbraw/zinc/09/98/51/599099851.db2.gz RPUZICSFQZBXLD-HTQZYQBOSA-N -1 1 302.363 1.671 20 0 DDADMM CC(C)(C)c1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n[nH]1 ZINC000735648589 599105193 /nfs/dbraw/zinc/10/51/93/599105193.db2.gz SYTYILCZMJNCHL-UHFFFAOYSA-N -1 1 312.337 1.535 20 0 DDADMM CC(C)(C)c1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n[nH]1 ZINC000735648589 599105196 /nfs/dbraw/zinc/10/51/96/599105196.db2.gz SYTYILCZMJNCHL-UHFFFAOYSA-N -1 1 312.337 1.535 20 0 DDADMM Cc1nn(CCC(=O)c2ccccc2)c(=O)c(-c2nn[n-]n2)c1C ZINC000822501174 599124119 /nfs/dbraw/zinc/12/41/19/599124119.db2.gz YXECUIHNGPTJGU-UHFFFAOYSA-N -1 1 324.344 1.313 20 0 DDADMM O=C(OCc1cccnc1Cl)c1ccc(-c2nnn[n-]2)nc1 ZINC000738232897 599132795 /nfs/dbraw/zinc/13/27/95/599132795.db2.gz ALHDBDOYPFADCH-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C(OCc1cccnc1Cl)c1ccc(-c2nn[n-]n2)nc1 ZINC000738232897 599132797 /nfs/dbraw/zinc/13/27/97/599132797.db2.gz ALHDBDOYPFADCH-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)[C@@H](C)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000819023569 597080589 /nfs/dbraw/zinc/08/05/89/597080589.db2.gz RDXYDMJZXQJTNP-OLZOCXBDSA-N -1 1 322.409 1.551 20 0 DDADMM CN(C)[C@@H](CNC(=O)CSCC(=O)[O-])c1ccsc1 ZINC000739603111 597097575 /nfs/dbraw/zinc/09/75/75/597097575.db2.gz SNVODGSVLJDAPY-JTQLQIEISA-N -1 1 302.421 1.285 20 0 DDADMM O=C(N[C@@H]1CSc2ccccc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738041227 599263418 /nfs/dbraw/zinc/26/34/18/599263418.db2.gz YBYREHJMRXKXKL-GFCCVEGCSA-N -1 1 324.369 1.839 20 0 DDADMM O=C(N[C@@H]1CSc2ccccc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738041227 599263420 /nfs/dbraw/zinc/26/34/20/599263420.db2.gz YBYREHJMRXKXKL-GFCCVEGCSA-N -1 1 324.369 1.839 20 0 DDADMM O=C([O-])CCCCN1CCN(C(=O)Cc2ccccc2)CC1 ZINC000738571404 597769314 /nfs/dbraw/zinc/76/93/14/597769314.db2.gz XJTFHRCAFCQTKW-UHFFFAOYSA-N -1 1 304.390 1.628 20 0 DDADMM COc1ccccc1CN(C)C(=O)CN[C@@H](C(=O)[O-])C(C)C ZINC000820876364 598188638 /nfs/dbraw/zinc/18/86/38/598188638.db2.gz HGQLAGUJQLDXNX-OAHLLOKOSA-N -1 1 308.378 1.352 20 0 DDADMM CCC1CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736408847 598266773 /nfs/dbraw/zinc/26/67/73/598266773.db2.gz KJWAVEITNIMXIX-UHFFFAOYSA-N -1 1 322.394 1.072 20 0 DDADMM CCC1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736408847 598266774 /nfs/dbraw/zinc/26/67/74/598266774.db2.gz KJWAVEITNIMXIX-UHFFFAOYSA-N -1 1 322.394 1.072 20 0 DDADMM Cc1cnn(CCNc2snc(Cl)c2-c2nnn[n-]2)c1 ZINC000737447547 598544916 /nfs/dbraw/zinc/54/49/16/598544916.db2.gz FUELPLFWEDXZRP-UHFFFAOYSA-N -1 1 310.774 1.594 20 0 DDADMM Cc1cnn(CCNc2snc(Cl)c2-c2nn[n-]n2)c1 ZINC000737447547 598544917 /nfs/dbraw/zinc/54/49/17/598544917.db2.gz FUELPLFWEDXZRP-UHFFFAOYSA-N -1 1 310.774 1.594 20 0 DDADMM COC[C@](C)(O)CNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000821681859 599553370 /nfs/dbraw/zinc/55/33/70/599553370.db2.gz UBQAHIIQRZGLHB-OAHLLOKOSA-N -1 1 314.349 1.224 20 0 DDADMM COC[C@](C)(O)CNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821681859 599553372 /nfs/dbraw/zinc/55/33/72/599553372.db2.gz UBQAHIIQRZGLHB-OAHLLOKOSA-N -1 1 314.349 1.224 20 0 DDADMM COc1ccccc1[C@@]1(C)C[C@@H]1Nc1nccnc1-c1nnn[n-]1 ZINC000821883168 599583262 /nfs/dbraw/zinc/58/32/62/599583262.db2.gz RKEJTJBWQPJGLA-BLLLJJGKSA-N -1 1 323.360 1.807 20 0 DDADMM COc1ccccc1[C@@]1(C)C[C@@H]1Nc1nccnc1-c1nn[n-]n1 ZINC000821883168 599583264 /nfs/dbraw/zinc/58/32/64/599583264.db2.gz RKEJTJBWQPJGLA-BLLLJJGKSA-N -1 1 323.360 1.807 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2CCCC[C@@H]2[N@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC000739559330 599713876 /nfs/dbraw/zinc/71/38/76/599713876.db2.gz AYLQWKOHZGKSLD-DRZSPHRISA-N -1 1 316.361 1.240 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000378735726 599778839 /nfs/dbraw/zinc/77/88/39/599778839.db2.gz ZIBALZVCGHHVFV-FZMZJTMJSA-N -1 1 317.345 1.298 20 0 DDADMM Cn1cc(CN2CCCN(Cc3cc(C(=O)[O-])co3)CC2)cn1 ZINC000739029531 599918460 /nfs/dbraw/zinc/91/84/60/599918460.db2.gz ZMFWTLPATWXTOX-UHFFFAOYSA-N -1 1 318.377 1.419 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@@H](C)N1CCC[C@@H](C(=O)[O-])C1 ZINC000263148588 600134145 /nfs/dbraw/zinc/13/41/45/600134145.db2.gz LGGRTLVYOYWGBU-VXGBXAGGSA-N -1 1 308.382 1.588 20 0 DDADMM Cc1cccc(CNC(=O)CNC2(C(=O)[O-])CCCCC2)c1 ZINC000738709867 600319253 /nfs/dbraw/zinc/31/92/53/600319253.db2.gz YUOCYXHGIRKVGD-UHFFFAOYSA-N -1 1 304.390 1.988 20 0 DDADMM CCC[C@@](C)(NCC(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)C(=O)[O-] ZINC000736962279 600323979 /nfs/dbraw/zinc/32/39/79/600323979.db2.gz DDWSJYIJODTXOG-OAHLLOKOSA-N -1 1 320.349 1.440 20 0 DDADMM C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)N1CCC(=O)NCC(=O)[O-] ZINC000736822578 600331426 /nfs/dbraw/zinc/33/14/26/600331426.db2.gz RPBZEONRSDYUMO-XNJGSVPQSA-N -1 1 320.389 1.428 20 0 DDADMM COc1ccc(OC)c(NC(=O)[C@@H](C)N(C)C[C@H](C)C(=O)[O-])c1 ZINC000738049082 600370273 /nfs/dbraw/zinc/37/02/73/600370273.db2.gz VAVSWABYRLUYLV-WDEREUQCSA-N -1 1 324.377 1.683 20 0 DDADMM CCN(CC(=O)NC1(C(=O)[O-])CCCCC1)C[C@H]1CCCO1 ZINC000737066523 600507779 /nfs/dbraw/zinc/50/77/79/600507779.db2.gz AYPAUFNUYRWZPY-CYBMUJFWSA-N -1 1 312.410 1.391 20 0 DDADMM CC[C@@](C)(NCC(=O)NCCc1ccccc1OC)C(=O)[O-] ZINC000736861689 600560109 /nfs/dbraw/zinc/56/01/09/600560109.db2.gz SPECRFFSRRXLLG-MRXNPFEDSA-N -1 1 308.378 1.197 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](Cc2cccc(F)c2)C(=O)[O-])c1C ZINC000738249431 600617485 /nfs/dbraw/zinc/61/74/85/600617485.db2.gz UFCKOEOKRQDXAY-LBPRGKRZSA-N -1 1 319.336 1.839 20 0 DDADMM COCCN(CCC(=O)[O-])C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000737789633 600633537 /nfs/dbraw/zinc/63/35/37/600633537.db2.gz NWCFHABESDFYQD-UHFFFAOYSA-N -1 1 317.345 1.640 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N(C)C1CCC(C)CC1 ZINC000737794050 600641423 /nfs/dbraw/zinc/64/14/23/600641423.db2.gz IIJGKISVHZCHIC-UHFFFAOYSA-N -1 1 314.426 1.447 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H](C(=O)N2CCN(C3CCCC3)CC2)C1 ZINC000315640595 600654559 /nfs/dbraw/zinc/65/45/59/600654559.db2.gz ZOKDTCVSFLCEDB-ZIAGYGMSSA-N -1 1 308.422 1.964 20 0 DDADMM CCCn1cc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)cn1 ZINC000743262905 600686091 /nfs/dbraw/zinc/68/60/91/600686091.db2.gz DBEIBMSCHXEIMF-UHFFFAOYSA-N -1 1 309.347 1.792 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2ccc(C(=O)[O-])c(C)c2)n[nH]1 ZINC000829319443 600754203 /nfs/dbraw/zinc/75/42/03/600754203.db2.gz RHOYAUOLXSCBJI-UHFFFAOYSA-N -1 1 323.374 1.457 20 0 DDADMM COc1ccc(C2CCN(CC(=O)NCCC(=O)[O-])CC2)cc1 ZINC000832273223 600799910 /nfs/dbraw/zinc/79/99/10/600799910.db2.gz PZSZNBMZRLWHKC-UHFFFAOYSA-N -1 1 320.389 1.466 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H](C(=O)Nc2nc(-c3ccccn3)n[nH]2)C1 ZINC000833189534 600907663 /nfs/dbraw/zinc/90/76/63/600907663.db2.gz SRKVLWKGERQBCQ-VHSXEESVSA-N -1 1 315.333 1.696 20 0 DDADMM Cc1nnc(SCCC(=O)N[C@H](C(=O)[O-])C(C)(C)C)[nH]1 ZINC000833022217 600965374 /nfs/dbraw/zinc/96/53/74/600965374.db2.gz ZQOWMEZIWDNSQV-SECBINFHSA-N -1 1 300.384 1.211 20 0 DDADMM CN(C)C(=O)c1cccc(CN2CCSC[C@@H]2CC(=O)[O-])c1 ZINC000830575765 601020077 /nfs/dbraw/zinc/02/00/77/601020077.db2.gz XRRORJNDACRKKJ-AWEZNQCLSA-N -1 1 322.430 1.781 20 0 DDADMM CNC(=O)Cc1nc(CN(CCC(=O)[O-])CC(C)C)cs1 ZINC000830997318 601054323 /nfs/dbraw/zinc/05/43/23/601054323.db2.gz XHQGFYHYVHHECN-UHFFFAOYSA-N -1 1 313.423 1.364 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2csc3cncn32)CC1 ZINC000827380660 601105595 /nfs/dbraw/zinc/10/55/95/601105595.db2.gz SYCLOMLAHQHAEF-SNVBAGLBSA-N -1 1 322.390 1.017 20 0 DDADMM C/C(=C\CN1CCCN(C(=O)c2ccccc2)CC1)C(=O)[O-] ZINC000825741338 601155523 /nfs/dbraw/zinc/15/55/23/601155523.db2.gz LVYGPSSGHXVYIY-RIYZIHGNSA-N -1 1 302.374 1.865 20 0 DDADMM O=C([O-])c1cc(C(=O)N2CCN(C3CCCC3)CC2)ccn1 ZINC000317629857 601243419 /nfs/dbraw/zinc/24/34/19/601243419.db2.gz XUSPWDXIAAZQHL-UHFFFAOYSA-N -1 1 303.362 1.480 20 0 DDADMM COC[C@]1(C)CC(=O)N(CN2CCC3(C[C@H]3C(=O)[O-])CC2)C1 ZINC000831660446 601301047 /nfs/dbraw/zinc/30/10/47/601301047.db2.gz IKOXVIQPSUXGON-SWLSCSKDSA-N -1 1 310.394 1.016 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCN(CCOC)[C@@H](C)C1 ZINC000830375545 601417317 /nfs/dbraw/zinc/41/73/17/601417317.db2.gz PGWKHXSHPLVDAW-LBPRGKRZSA-N -1 1 310.394 1.693 20 0 DDADMM Cc1ccc(OC[C@@H](O)CN2CC[C@](F)(C(=O)[O-])C2)c(C)c1 ZINC000832951674 601444173 /nfs/dbraw/zinc/44/41/73/601444173.db2.gz TZOCLYFATZFNCM-XJKSGUPXSA-N -1 1 311.353 1.542 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1C[C@H](C)[C@H](C(=O)[O-])C1 ZINC000315592950 601521106 /nfs/dbraw/zinc/52/11/06/601521106.db2.gz OMWJJSOHNMAYHR-NWDGAFQWSA-N -1 1 306.362 1.595 20 0 DDADMM CO[C@@H]1CCN([C@H](C)C(=O)NCc2ccc(C(=O)[O-])cc2)C1 ZINC000831841750 601566757 /nfs/dbraw/zinc/56/67/57/601566757.db2.gz OXZWFQCUXQOKOD-BXUZGUMPSA-N -1 1 306.362 1.110 20 0 DDADMM CC[C@](NC(=O)Cc1cc(C)n[nH]1)(C(=O)[O-])c1ccccc1 ZINC000828939257 601680811 /nfs/dbraw/zinc/68/08/11/601680811.db2.gz FCXBITUSYSHBGZ-MRXNPFEDSA-N -1 1 301.346 1.767 20 0 DDADMM CC[C@@]1(C(C)C)NC(=O)N(CN2CC[C@@](C)(C(=O)[O-])C2)C1=O ZINC000829044377 601892023 /nfs/dbraw/zinc/89/20/23/601892023.db2.gz QJRGKKDZWFURHU-CABCVRRESA-N -1 1 311.382 1.097 20 0 DDADMM CCCCCNC(=O)[C@H](C)N1CCSC[C@H]1CC(=O)[O-] ZINC000249780888 601921726 /nfs/dbraw/zinc/92/17/26/601921726.db2.gz FQXZOLHOBSEGAF-NWDGAFQWSA-N -1 1 302.440 1.573 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)[C@@H](C)N1CC[C@](C)(C(=O)[O-])C1 ZINC000833014376 601922451 /nfs/dbraw/zinc/92/24/51/601922451.db2.gz UUXQXARRFWGCIN-ABAIWWIYSA-N -1 1 308.382 1.161 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000316686867 601923500 /nfs/dbraw/zinc/92/35/00/601923500.db2.gz NPSQJVQEMMJGLJ-CQSZACIVSA-N -1 1 307.306 1.330 20 0 DDADMM Cc1nc(NC(=O)[C@H](C)N2CC[C@@](C)(C(=O)[O-])C2)sc1C ZINC000833002664 601930684 /nfs/dbraw/zinc/93/06/84/601930684.db2.gz COXZTCFPCPBHNM-LKFCYVNXSA-N -1 1 311.407 1.884 20 0 DDADMM CN(CC1CCCCC1)C(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000739634232 601939332 /nfs/dbraw/zinc/93/93/32/601939332.db2.gz OJGZNAABLYNVHF-CQSZACIVSA-N -1 1 311.426 1.615 20 0 DDADMM Cc1ccc2nc(CN3CC[C@H](CNC(=O)[O-])C3)cc(=O)n2c1 ZINC000740157041 601962444 /nfs/dbraw/zinc/96/24/44/601962444.db2.gz MEKRPKPRZJTTKY-GFCCVEGCSA-N -1 1 316.361 1.092 20 0 DDADMM O=C([O-])CS(=O)(=O)Cc1ccc(CNCc2ccoc2)cc1 ZINC000833263169 601976319 /nfs/dbraw/zinc/97/63/19/601976319.db2.gz HSXRHKMDHOGJSK-UHFFFAOYSA-N -1 1 323.370 1.569 20 0 DDADMM O=C([O-])[C@@H](OC(=O)CCc1nc[nH]n1)c1cccc(Cl)c1 ZINC000833104616 601977571 /nfs/dbraw/zinc/97/75/71/601977571.db2.gz PIMHWWFZXFWBGW-LBPRGKRZSA-N -1 1 309.709 1.760 20 0 DDADMM O=C([O-])COc1ccc(NC(=O)[C@H]2CCCc3[nH]ncc32)cc1 ZINC000833256902 602021352 /nfs/dbraw/zinc/02/13/52/602021352.db2.gz TXKRDGIWWWQGIK-LBPRGKRZSA-N -1 1 315.329 1.932 20 0 DDADMM O=C([O-])NC[C@H]1CCCCN1C[C@@H]1COc2ccccc2O1 ZINC000740623431 602046167 /nfs/dbraw/zinc/04/61/67/602046167.db2.gz MFBXHWAZEZYGSK-CHWSQXEVSA-N -1 1 306.362 1.949 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000740033106 602054197 /nfs/dbraw/zinc/05/41/97/602054197.db2.gz XWZVVWOYNBRDSP-CYBMUJFWSA-N -1 1 323.397 1.435 20 0 DDADMM CC[C@H](C(=O)Nc1cc(C(=O)[O-])cc(C(=O)OC)c1)N(C)C ZINC000828587607 602147903 /nfs/dbraw/zinc/14/79/03/602147903.db2.gz VGJRNSUJYIXQMA-GFCCVEGCSA-N -1 1 308.334 1.450 20 0 DDADMM COCc1ccccc1CNC(=O)CN[C@H](C(=O)[O-])C(C)(C)C ZINC000831822150 602227073 /nfs/dbraw/zinc/22/70/73/602227073.db2.gz SUPPJFIKTBEATQ-OAHLLOKOSA-N -1 1 322.405 1.538 20 0 DDADMM Cc1csc(=O)n1CCN1CCCC[C@@H]1[C@@H](C)NC(=O)[O-] ZINC000740203975 602260422 /nfs/dbraw/zinc/26/04/22/602260422.db2.gz IGRBDFYIUPBDNJ-VXGBXAGGSA-N -1 1 313.423 1.729 20 0 DDADMM CC1CCN(CC(=O)N2C[C@H](C(=O)[O-])c3ccccc32)CC1 ZINC000828284078 602362980 /nfs/dbraw/zinc/36/29/80/602362980.db2.gz BXVODBYTBGCRBP-AWEZNQCLSA-N -1 1 302.374 1.933 20 0 DDADMM CC1CCN(CC(=O)N2C[C@@H](C(=O)[O-])c3ccccc32)CC1 ZINC000828284079 602363148 /nfs/dbraw/zinc/36/31/48/602363148.db2.gz BXVODBYTBGCRBP-CQSZACIVSA-N -1 1 302.374 1.933 20 0 DDADMM O=C([O-])N1CCC[C@@H](CN(C2CC2)[C@H]2CCS(=O)(=O)C2)C1 ZINC000740507617 602431059 /nfs/dbraw/zinc/43/10/59/602431059.db2.gz SUBWSUSKKGAIML-YPMHNXCESA-N -1 1 316.423 1.028 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)NC[C@H]2CCCN2C(=O)[O-])o1 ZINC000739410638 602581762 /nfs/dbraw/zinc/58/17/62/602581762.db2.gz KNPVUDHNFDZHAV-GFCCVEGCSA-N -1 1 323.393 1.994 20 0 DDADMM Cc1ncc(C(=O)N2CCC([C@H]3CCCN3C(=O)[O-])CC2)[nH]1 ZINC000740206662 602589055 /nfs/dbraw/zinc/58/90/55/602589055.db2.gz JVDWBAYAYHEGRW-CYBMUJFWSA-N -1 1 306.366 1.713 20 0 DDADMM O=C([O-])N1CC[C@@H](CCNC(=O)N2CCN(CC3CC3)CC2)C1 ZINC000740502533 602720744 /nfs/dbraw/zinc/72/07/44/602720744.db2.gz SNSBJKBFWHVJGG-CQSZACIVSA-N -1 1 324.425 1.114 20 0 DDADMM O=C([O-])NC[C@@H]1CCN([C@H]2CCCN(c3ccccc3)C2=O)C1 ZINC000740642729 602782823 /nfs/dbraw/zinc/78/28/23/602782823.db2.gz PGZOHCGOAZDARZ-ZFWWWQNUSA-N -1 1 317.389 1.772 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@@H]2CC[N@@H+](C3CC3)C2)c([O-])c1 ZINC000740699658 602855958 /nfs/dbraw/zinc/85/59/58/602855958.db2.gz VZOWLHMKXZGCQG-SNVBAGLBSA-N -1 1 305.334 1.449 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@@H]2CC[N@H+](C3CC3)C2)c([O-])c1 ZINC000740699658 602855960 /nfs/dbraw/zinc/85/59/60/602855960.db2.gz VZOWLHMKXZGCQG-SNVBAGLBSA-N -1 1 305.334 1.449 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1C[C@@H](C)N(C(=O)[O-])[C@@H](C)C1 ZINC000740029941 602956276 /nfs/dbraw/zinc/95/62/76/602956276.db2.gz GARFAZZGQXHBJL-PHIMTYICSA-N -1 1 323.397 1.433 20 0 DDADMM CC(=O)c1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)cc1 ZINC000824024774 607599766 /nfs/dbraw/zinc/59/97/66/607599766.db2.gz PBWNDYILXZRLTO-UHFFFAOYSA-N -1 1 323.312 1.821 20 0 DDADMM CC(=O)c1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)cc1 ZINC000824024774 607599767 /nfs/dbraw/zinc/59/97/67/607599767.db2.gz PBWNDYILXZRLTO-UHFFFAOYSA-N -1 1 323.312 1.821 20 0 DDADMM O=C([O-])N1CCCC[C@@H]1C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000740475689 603033668 /nfs/dbraw/zinc/03/36/68/603033668.db2.gz LZTAFYBEYIVZPH-GFCCVEGCSA-N -1 1 315.333 1.738 20 0 DDADMM O=C([O-])NC[C@H](NC(=O)c1cc(F)cc2nc[nH]c21)C1CC1 ZINC000740610736 603046017 /nfs/dbraw/zinc/04/60/17/603046017.db2.gz GVKYXZCBWOEAPD-NSHDSACASA-N -1 1 306.297 1.478 20 0 DDADMM CN(CCCNC(=O)c1c[nH]c(-c2ccccc2)n1)C(=O)[O-] ZINC000739679769 603194074 /nfs/dbraw/zinc/19/40/74/603194074.db2.gz KFPZUGNBLALVEO-UHFFFAOYSA-N -1 1 302.334 1.806 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2[C@H](C)OC(C)(C)N2C(=O)[O-])c1C ZINC000740033199 603219655 /nfs/dbraw/zinc/21/96/55/603219655.db2.gz PYHNDFKJMVBRTI-UWVGGRQHSA-N -1 1 310.354 1.260 20 0 DDADMM C[C@@H](CC(=O)NC[C@H](c1ccco1)N1CCCCC1)NC(=O)[O-] ZINC000824628217 603230874 /nfs/dbraw/zinc/23/08/74/603230874.db2.gz GTDTURNSNIYRDE-QWHCGFSZSA-N -1 1 323.393 1.969 20 0 DDADMM O=S(=O)(N[C@H]1CC=CCC1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826488742 607620885 /nfs/dbraw/zinc/62/08/85/607620885.db2.gz YDVKUWRGVWNRPE-VIFPVBQESA-N -1 1 323.353 1.393 20 0 DDADMM O=S(=O)(N[C@H]1CC=CCC1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826488742 607620886 /nfs/dbraw/zinc/62/08/86/607620886.db2.gz YDVKUWRGVWNRPE-VIFPVBQESA-N -1 1 323.353 1.393 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@H]1CC[C@H](NC(=O)[O-])C1 ZINC000826689929 603523198 /nfs/dbraw/zinc/52/31/98/603523198.db2.gz IQBXQBOJWLLDEN-BYNSBNAKSA-N -1 1 323.437 1.898 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@H]1C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000832341395 603560402 /nfs/dbraw/zinc/56/04/02/603560402.db2.gz SYQNKXDWQANTIQ-NEPJUHHUSA-N -1 1 306.366 1.552 20 0 DDADMM COC(=O)c1cc(CN2CC[C@@H](CNC(=O)[O-])C2)c(C)s1 ZINC000828744419 603604412 /nfs/dbraw/zinc/60/44/12/603604412.db2.gz SQUNVJKNEJQFDO-JTQLQIEISA-N -1 1 312.391 1.933 20 0 DDADMM COc1ccccc1N1CC[C@H](N[C@@H]2CCN(C(=O)[O-])C2)C1=O ZINC000829639027 603607189 /nfs/dbraw/zinc/60/71/89/603607189.db2.gz XIWRBOPNVQERMX-NEPJUHHUSA-N -1 1 319.361 1.142 20 0 DDADMM CC(C)(CNC(=O)[C@@H]1CCCN1Cc1ccccc1)NC(=O)[O-] ZINC000823907911 603666553 /nfs/dbraw/zinc/66/65/53/603666553.db2.gz RCXMKVQQAANTOG-AWEZNQCLSA-N -1 1 319.405 1.813 20 0 DDADMM CC(C)(CNC(=O)[C@H]1CCCN1Cc1ccccc1)NC(=O)[O-] ZINC000823907912 603666704 /nfs/dbraw/zinc/66/67/04/603666704.db2.gz RCXMKVQQAANTOG-CQSZACIVSA-N -1 1 319.405 1.813 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H]3CCC[C@@H]3NC(=O)[O-])[nH]c2c1 ZINC000830432308 603763647 /nfs/dbraw/zinc/76/36/47/603763647.db2.gz CSVCBXSSRXSVIM-QWRGUYRKSA-N -1 1 316.361 1.924 20 0 DDADMM CC1CC(NS(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)C1 ZINC000824892675 607658923 /nfs/dbraw/zinc/65/89/23/607658923.db2.gz LOBSSMGQIUSQJS-UHFFFAOYSA-N -1 1 311.342 1.083 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@H]1CCCN(C(=O)[O-])C1 ZINC000829834809 603941736 /nfs/dbraw/zinc/94/17/36/603941736.db2.gz VVFMMRMJNNKEPA-ZETCQYMHSA-N -1 1 320.271 1.609 20 0 DDADMM C[C@H](NC(=O)[O-])C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000825176968 603969217 /nfs/dbraw/zinc/96/92/17/603969217.db2.gz DLOMCAFXZBHJIB-JOYOIKCWSA-N -1 1 313.785 1.715 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)C[C@H]2CCCN2C(=O)[O-])c1 ZINC000828046870 604066322 /nfs/dbraw/zinc/06/63/22/604066322.db2.gz UHUMBGHWJSXDSK-GFCCVEGCSA-N -1 1 306.366 1.614 20 0 DDADMM Cc1nn(C)c2ncc(CN3CCC[C@H]3CNC(=O)[O-])cc12 ZINC000830862059 604082527 /nfs/dbraw/zinc/08/25/27/604082527.db2.gz SUZFOGJENAFJCC-LBPRGKRZSA-N -1 1 303.366 1.509 20 0 DDADMM O=S(=O)(NC1CC=CC1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826488522 607672956 /nfs/dbraw/zinc/67/29/56/607672956.db2.gz RPXDGRARNIUKLG-UHFFFAOYSA-N -1 1 309.326 1.003 20 0 DDADMM O=S(=O)(NC1CC=CC1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826488522 607672957 /nfs/dbraw/zinc/67/29/57/607672957.db2.gz RPXDGRARNIUKLG-UHFFFAOYSA-N -1 1 309.326 1.003 20 0 DDADMM O=C([O-])N1CCc2ccc(NC(=O)NCc3c[nH]nn3)cc2C1 ZINC000831708763 604138497 /nfs/dbraw/zinc/13/84/97/604138497.db2.gz KNTOOGRBMBKGTD-UHFFFAOYSA-N -1 1 316.321 1.163 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)N2CCC(C)CC2)C1 ZINC000825936799 604253765 /nfs/dbraw/zinc/25/37/65/604253765.db2.gz CQLYURDDOVVMCB-UONOGXRCSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(Cc2cn3cccnc3n2)C1 ZINC000825270537 604253911 /nfs/dbraw/zinc/25/39/11/604253911.db2.gz BGNVNYPTIZRHDH-NEPJUHHUSA-N -1 1 303.366 1.597 20 0 DDADMM Cc1cc(CNC(=O)C[C@@H](C)[C@@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000830041757 604355407 /nfs/dbraw/zinc/35/54/07/604355407.db2.gz SJBBSIPZGGRJQK-ZYHUDNBSSA-N -1 1 308.382 1.751 20 0 DDADMM CC(C)c1cc(C(=O)N2CCO[C@@H]([C@@H](C)NC(=O)[O-])C2)n[nH]1 ZINC000824453001 604710489 /nfs/dbraw/zinc/71/04/89/604710489.db2.gz DLYLCITWXDZOCZ-BXKDBHETSA-N -1 1 310.354 1.030 20 0 DDADMM C[C@@H](CN(Cc1nc2ccccc2c(=O)n1C)C1CC1)C(=O)[O-] ZINC000827455097 604740545 /nfs/dbraw/zinc/74/05/45/604740545.db2.gz JCWNBKLXTUBQMA-NSHDSACASA-N -1 1 315.373 1.619 20 0 DDADMM O=C([O-])N1CCN(C(=O)CN[C@H]2CCc3cc(F)ccc32)CC1 ZINC000832023896 604771510 /nfs/dbraw/zinc/77/15/10/604771510.db2.gz AEUVREDTVCJTDI-AWEZNQCLSA-N -1 1 321.352 1.225 20 0 DDADMM O=C([O-])N1CCO[C@@H](CC(=O)Nc2ccc3nc[nH]c3c2)C1 ZINC000831395778 604781791 /nfs/dbraw/zinc/78/17/91/604781791.db2.gz VPLCHPNVANSCBT-JTQLQIEISA-N -1 1 304.306 1.270 20 0 DDADMM O=C([O-])CC1(NCc2nc(-c3ccccn3)no2)CCOCC1 ZINC000833205407 604794866 /nfs/dbraw/zinc/79/48/66/604794866.db2.gz DHXGXFSUXUJJRQ-UHFFFAOYSA-N -1 1 318.333 1.245 20 0 DDADMM CC(C)(C(=O)[O-])[C@@H]1CCCN(CC(=O)Nc2ccncc2)C1 ZINC000833421613 604935343 /nfs/dbraw/zinc/93/53/43/604935343.db2.gz UFYYIUXPLJFEHM-GFCCVEGCSA-N -1 1 305.378 1.265 20 0 DDADMM Cc1nc2ccccc2nc1CN1CCC([C@@H](O)C(=O)[O-])CC1 ZINC000833756825 604985670 /nfs/dbraw/zinc/98/56/70/604985670.db2.gz QIZZBHOPFOMETE-MRXNPFEDSA-N -1 1 315.373 1.596 20 0 DDADMM C[C@@]1(c2ccccc2)CC(=O)N(CN2CC[C@@H](C(=O)[O-])C2)C1=O ZINC000820215364 605025861 /nfs/dbraw/zinc/02/58/61/605025861.db2.gz VRBCCQBBOBZTSC-PXAZEXFGSA-N -1 1 316.357 1.067 20 0 DDADMM CCOCCN(CC)[C@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000833661706 605044789 /nfs/dbraw/zinc/04/47/89/605044789.db2.gz HEDAGDNFUYWIPV-GFCCVEGCSA-N -1 1 300.399 1.057 20 0 DDADMM C[C@@H](Sc1ccccc1C(=O)N1CC(N(C)C)C1)C(=O)[O-] ZINC000833545381 605095481 /nfs/dbraw/zinc/09/54/81/605095481.db2.gz HFBLXJRIPUFSOI-SNVBAGLBSA-N -1 1 308.403 1.638 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cc2ccsc2[nH]1 ZINC000833627003 605095735 /nfs/dbraw/zinc/09/57/35/605095735.db2.gz USBFGECSAHJKRV-SNVBAGLBSA-N -1 1 321.402 1.850 20 0 DDADMM C[C@H](Oc1ccc(CN[C@H](CO)CC(F)(F)F)cc1)C(=O)[O-] ZINC000833542077 605122913 /nfs/dbraw/zinc/12/29/13/605122913.db2.gz SZVPZCRRXJUCJV-ONGXEEELSA-N -1 1 321.295 1.941 20 0 DDADMM CC(C)c1ccccc1OC[C@H](O)CN1CC[C@H](NC(=O)[O-])C1 ZINC000738878021 605161538 /nfs/dbraw/zinc/16/15/38/605161538.db2.gz UNMMVNDFCQJFBP-UONOGXRCSA-N -1 1 322.405 1.892 20 0 DDADMM C[C@@H]1[C@@H](Cc2ccccc2)CCN1CN1C[C@@H](C(=O)[O-])CC1=O ZINC000833588764 605176357 /nfs/dbraw/zinc/17/63/57/605176357.db2.gz RJJISKLRIMYFQX-BMFZPTHFSA-N -1 1 316.401 1.830 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCC([C@H](O)C(F)(F)F)CC2)O1 ZINC000833585594 605358722 /nfs/dbraw/zinc/35/87/22/605358722.db2.gz YMEUOOYMEHBUGF-PWSUYJOCSA-N -1 1 323.311 1.769 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)c2cccnc2)CCN1CCC(=O)[O-] ZINC000833620303 605406853 /nfs/dbraw/zinc/40/68/53/605406853.db2.gz WQHNNQXFXBWVPN-ZDUSSCGKSA-N -1 1 319.405 1.367 20 0 DDADMM O=C([O-])NCc1ccc(C(=O)N[C@H]2CCc3nc[nH]c3C2)o1 ZINC000832691342 605470242 /nfs/dbraw/zinc/47/02/42/605470242.db2.gz QRQFWXUNXNPWJG-QMMMGPOBSA-N -1 1 304.306 1.058 20 0 DDADMM O=C([O-])N1CCC[C@H]1CN1CCN(c2ccc(Cl)cn2)CC1 ZINC000834111439 605481756 /nfs/dbraw/zinc/48/17/56/605481756.db2.gz LEUBQAGRIGILMR-ZDUSSCGKSA-N -1 1 324.812 1.999 20 0 DDADMM Cn1cc([C@@H](N[C@@H]2CCN(C(=O)[O-])C2)c2cccc(F)c2)cn1 ZINC000833946939 605612477 /nfs/dbraw/zinc/61/24/77/605612477.db2.gz OVCBRZLACQMAFM-CABCVRRESA-N -1 1 318.352 1.991 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NC(C1CC1)C1CC1 ZINC000833833451 605902858 /nfs/dbraw/zinc/90/28/58/605902858.db2.gz YHPHPACZKBMOJH-GHMZBOCLSA-N -1 1 309.410 1.269 20 0 DDADMM O=C(OC1([C@@H]2CCOC2)CC1)c1ccc(-c2nnn[n-]2)s1 ZINC000823391868 606179478 /nfs/dbraw/zinc/17/94/78/606179478.db2.gz HRSZZELRIHJLCG-MRVPVSSYSA-N -1 1 306.347 1.654 20 0 DDADMM O=C(OC1([C@@H]2CCOC2)CC1)c1ccc(-c2nn[n-]n2)s1 ZINC000823391868 606179479 /nfs/dbraw/zinc/17/94/79/606179479.db2.gz HRSZZELRIHJLCG-MRVPVSSYSA-N -1 1 306.347 1.654 20 0 DDADMM C[C@@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)Cn1ccnc1 ZINC000820281603 606456763 /nfs/dbraw/zinc/45/67/63/606456763.db2.gz WSNYWSZWEFWPAT-VIFPVBQESA-N -1 1 318.772 1.860 20 0 DDADMM C[C@@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)Cn1ccnc1 ZINC000820281603 606456765 /nfs/dbraw/zinc/45/67/65/606456765.db2.gz WSNYWSZWEFWPAT-VIFPVBQESA-N -1 1 318.772 1.860 20 0 DDADMM COCCn1cc(Nc2ccc(Cl)c(-c3nnn[n-]3)n2)cn1 ZINC000821695156 606458779 /nfs/dbraw/zinc/45/87/79/606458779.db2.gz LQCDRTQZWCASCU-UHFFFAOYSA-N -1 1 320.744 1.502 20 0 DDADMM COCCn1cc(Nc2ccc(Cl)c(-c3nn[n-]n3)n2)cn1 ZINC000821695156 606458780 /nfs/dbraw/zinc/45/87/80/606458780.db2.gz LQCDRTQZWCASCU-UHFFFAOYSA-N -1 1 320.744 1.502 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)C2(Cc3ccccc3)CC2)n1 ZINC000822604836 606524571 /nfs/dbraw/zinc/52/45/71/606524571.db2.gz MMXDVUANULZBEE-UHFFFAOYSA-N -1 1 323.360 1.562 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)C2(Cc3ccccc3)CC2)n1 ZINC000822604836 606524573 /nfs/dbraw/zinc/52/45/73/606524573.db2.gz MMXDVUANULZBEE-UHFFFAOYSA-N -1 1 323.360 1.562 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCN2CCCC[C@@H]2C1 ZINC000823484111 606538913 /nfs/dbraw/zinc/53/89/13/606538913.db2.gz RUVPUBUKRGFDKQ-SNVBAGLBSA-N -1 1 318.406 1.239 20 0 DDADMM CCc1nc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)cs1 ZINC000821510057 606554998 /nfs/dbraw/zinc/55/49/98/606554998.db2.gz UVFBSQIAPLMBQD-UHFFFAOYSA-N -1 1 320.403 1.877 20 0 DDADMM CCc1nc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)cs1 ZINC000821510057 606554999 /nfs/dbraw/zinc/55/49/99/606554999.db2.gz UVFBSQIAPLMBQD-UHFFFAOYSA-N -1 1 320.403 1.877 20 0 DDADMM CC(=O)c1cnc(NC(=O)c2ccc(-c3nnn[n-]3)s2)s1 ZINC000819844956 606564587 /nfs/dbraw/zinc/56/45/87/606564587.db2.gz PONNYHCLBIVBGR-UHFFFAOYSA-N -1 1 320.359 1.840 20 0 DDADMM CC(=O)c1cnc(NC(=O)c2ccc(-c3nn[n-]n3)s2)s1 ZINC000819844956 606564589 /nfs/dbraw/zinc/56/45/89/606564589.db2.gz PONNYHCLBIVBGR-UHFFFAOYSA-N -1 1 320.359 1.840 20 0 DDADMM CSc1[nH]c(=O)c(C(=O)N2CCC[C@@H]2C)cc1-c1nn[n-]n1 ZINC000821969764 606585304 /nfs/dbraw/zinc/58/53/04/606585304.db2.gz DZOREUNOQPBOCH-ZETCQYMHSA-N -1 1 320.378 1.314 20 0 DDADMM CN(CC1(O)CCCC1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825902334 607778059 /nfs/dbraw/zinc/77/80/59/607778059.db2.gz RERMFLZOLDFFIV-UHFFFAOYSA-N -1 1 307.379 1.305 20 0 DDADMM CN(CC1(O)CCCC1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825902334 607778060 /nfs/dbraw/zinc/77/80/60/607778060.db2.gz RERMFLZOLDFFIV-UHFFFAOYSA-N -1 1 307.379 1.305 20 0 DDADMM Cc1noc(C)c1[C@H](C)CC(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000826338443 607836154 /nfs/dbraw/zinc/83/61/54/607836154.db2.gz VPPSVWRTYJVIPF-ZCFIWIBFSA-N -1 1 316.325 1.084 20 0 DDADMM CCNc1nnc(Sc2ccc(-c3nnn[n-]3)nn2)s1 ZINC000825486675 607988245 /nfs/dbraw/zinc/98/82/45/607988245.db2.gz VQECKQAAHQHRBN-UHFFFAOYSA-N -1 1 307.368 1.091 20 0 DDADMM CCNc1nnc(Sc2ccc(-c3nn[n-]n3)nn2)s1 ZINC000825486675 607988246 /nfs/dbraw/zinc/98/82/46/607988246.db2.gz VQECKQAAHQHRBN-UHFFFAOYSA-N -1 1 307.368 1.091 20 0 DDADMM Cc1ccn2cc(CNc3ccc(-c4nnn[n-]4)nn3)nc2c1 ZINC000826308620 607990139 /nfs/dbraw/zinc/99/01/39/607990139.db2.gz HYQWFUVOSGXFOU-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM Cc1ccn2cc(CNc3ccc(-c4nn[n-]n4)nn3)nc2c1 ZINC000826308620 607990140 /nfs/dbraw/zinc/99/01/40/607990140.db2.gz HYQWFUVOSGXFOU-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)c2nc(Cl)ccc2F)n1 ZINC000826357523 608005845 /nfs/dbraw/zinc/00/58/45/608005845.db2.gz INERCEZTHNTCKK-UHFFFAOYSA-N -1 1 322.691 1.040 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)c2nc(Cl)ccc2F)n1 ZINC000826357523 608005846 /nfs/dbraw/zinc/00/58/46/608005846.db2.gz INERCEZTHNTCKK-UHFFFAOYSA-N -1 1 322.691 1.040 20 0 DDADMM CCc1nnc(COC(=O)c2ccc(-c3nn[n-]n3)s2)s1 ZINC000825768000 608146961 /nfs/dbraw/zinc/14/69/61/608146961.db2.gz XQNFAZXPZLSDEB-UHFFFAOYSA-N -1 1 322.375 1.699 20 0 DDADMM CCc1nnc(COC(=O)c2ccc(-c3nnn[n-]3)s2)s1 ZINC000825768000 608146960 /nfs/dbraw/zinc/14/69/60/608146960.db2.gz XQNFAZXPZLSDEB-UHFFFAOYSA-N -1 1 322.375 1.699 20 0 DDADMM O=C1CN(c2cccc(-c3nnn[n-]3)n2)CN1Cc1ccccc1 ZINC000826481155 608147687 /nfs/dbraw/zinc/14/76/87/608147687.db2.gz JGKJGTAQLIRRGI-UHFFFAOYSA-N -1 1 321.344 1.068 20 0 DDADMM O=C1CN(c2cccc(-c3nn[n-]n3)n2)CN1Cc1ccccc1 ZINC000826481155 608147689 /nfs/dbraw/zinc/14/76/89/608147689.db2.gz JGKJGTAQLIRRGI-UHFFFAOYSA-N -1 1 321.344 1.068 20 0 DDADMM COC[C@H](O)CCNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000826151933 608230191 /nfs/dbraw/zinc/23/01/91/608230191.db2.gz MNBHVPNFJWQEES-SNVBAGLBSA-N -1 1 314.349 1.224 20 0 DDADMM COC[C@H](O)CCNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000826151933 608230192 /nfs/dbraw/zinc/23/01/92/608230192.db2.gz MNBHVPNFJWQEES-SNVBAGLBSA-N -1 1 314.349 1.224 20 0 DDADMM CNC(=O)[C@H]1CCC[C@@H]1Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000826060526 608233852 /nfs/dbraw/zinc/23/38/52/608233852.db2.gz NVTLBOOSPBDYOD-CBAPKCEASA-N -1 1 321.772 1.242 20 0 DDADMM CNC(=O)[C@H]1CCC[C@@H]1Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000826060526 608233854 /nfs/dbraw/zinc/23/38/54/608233854.db2.gz NVTLBOOSPBDYOD-CBAPKCEASA-N -1 1 321.772 1.242 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1ccc(Cl)c(F)c1 ZINC000826395649 608252879 /nfs/dbraw/zinc/25/28/79/608252879.db2.gz NEDMYYZBQNUMHB-UHFFFAOYSA-N -1 1 307.676 1.126 20 0 DDADMM O=C(OCc1nncn1C1CC1)c1sccc1-c1nn[n-]n1 ZINC000826468023 608334078 /nfs/dbraw/zinc/33/40/78/608334078.db2.gz WISAOXZCNAGMCM-UHFFFAOYSA-N -1 1 317.334 1.212 20 0 DDADMM O=c1cc(CNc2snc(Cl)c2-c2nnn[n-]2)cc[nH]1 ZINC000826510877 608408704 /nfs/dbraw/zinc/40/87/04/608408704.db2.gz PEZCMZHACGPXKO-UHFFFAOYSA-N -1 1 309.742 1.689 20 0 DDADMM O=c1cc(CNc2snc(Cl)c2-c2nn[n-]n2)cc[nH]1 ZINC000826510877 608408705 /nfs/dbraw/zinc/40/87/05/608408705.db2.gz PEZCMZHACGPXKO-UHFFFAOYSA-N -1 1 309.742 1.689 20 0 DDADMM C[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)[C@@H](O)c1ccc(F)cc1 ZINC000824647673 608416623 /nfs/dbraw/zinc/41/66/23/608416623.db2.gz BJTYWUBDQPDNNX-AMIZOPFISA-N -1 1 315.312 1.330 20 0 DDADMM C[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)[C@@H](O)c1ccc(F)cc1 ZINC000824647673 608416624 /nfs/dbraw/zinc/41/66/24/608416624.db2.gz BJTYWUBDQPDNNX-AMIZOPFISA-N -1 1 315.312 1.330 20 0 DDADMM CCO[C@@H]1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C12CCCC2 ZINC000825582604 608426562 /nfs/dbraw/zinc/42/65/62/608426562.db2.gz LWMTVSZXXLJPPE-NWDGAFQWSA-N -1 1 315.381 1.806 20 0 DDADMM CCO[C@@H]1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C12CCCC2 ZINC000825582604 608426564 /nfs/dbraw/zinc/42/65/64/608426564.db2.gz LWMTVSZXXLJPPE-NWDGAFQWSA-N -1 1 315.381 1.806 20 0 DDADMM c1csc([C@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCO2)c1 ZINC000826526119 608433872 /nfs/dbraw/zinc/43/38/72/608433872.db2.gz LOSCPRJALHPITP-SNVBAGLBSA-N -1 1 315.362 1.296 20 0 DDADMM c1csc([C@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCO2)c1 ZINC000826526119 608433875 /nfs/dbraw/zinc/43/38/75/608433875.db2.gz LOSCPRJALHPITP-SNVBAGLBSA-N -1 1 315.362 1.296 20 0 DDADMM C[C@H](c1ccccc1)N(C)C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000824771686 608889775 /nfs/dbraw/zinc/88/97/75/608889775.db2.gz SJRZWCJHPCMXOK-LLVKDONJSA-N -1 1 311.349 1.283 20 0 DDADMM C[C@H](c1ccccc1)N(C)C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000824771686 608889776 /nfs/dbraw/zinc/88/97/76/608889776.db2.gz SJRZWCJHPCMXOK-LLVKDONJSA-N -1 1 311.349 1.283 20 0 DDADMM Cn1c(Sc2ccc(-c3nnn[n-]3)nn2)nnc1C1CCC1 ZINC000826356570 609390919 /nfs/dbraw/zinc/39/09/19/609390919.db2.gz WTDMQLBBMDSZCL-UHFFFAOYSA-N -1 1 315.366 1.204 20 0 DDADMM Cn1c(Sc2ccc(-c3nn[n-]n3)nn2)nnc1C1CCC1 ZINC000826356570 609390922 /nfs/dbraw/zinc/39/09/22/609390922.db2.gz WTDMQLBBMDSZCL-UHFFFAOYSA-N -1 1 315.366 1.204 20 0 DDADMM CO[C@@H]1Cc2ccc(Nc3nccnc3-c3nnn[n-]3)cc2C1 ZINC000826147473 609592683 /nfs/dbraw/zinc/59/26/83/609592683.db2.gz YSFHNLFVLUAWFH-GFCCVEGCSA-N -1 1 309.333 1.514 20 0 DDADMM CO[C@@H]1Cc2ccc(Nc3nccnc3-c3nn[n-]n3)cc2C1 ZINC000826147473 609592686 /nfs/dbraw/zinc/59/26/86/609592686.db2.gz YSFHNLFVLUAWFH-GFCCVEGCSA-N -1 1 309.333 1.514 20 0 DDADMM C[C@@H](CN(C)c1ccc(-c2nnn[n-]2)nn1)c1nccs1 ZINC000824412653 609606573 /nfs/dbraw/zinc/60/65/73/609606573.db2.gz CTQAPQSCZPGKIZ-QMMMGPOBSA-N -1 1 302.367 1.353 20 0 DDADMM C[C@@H](CN(C)c1ccc(-c2nn[n-]n2)nn1)c1nccs1 ZINC000824412653 609606577 /nfs/dbraw/zinc/60/65/77/609606577.db2.gz CTQAPQSCZPGKIZ-QMMMGPOBSA-N -1 1 302.367 1.353 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971902052 695119876 /nfs/dbraw/zinc/11/98/76/695119876.db2.gz GBMLZLJDGLKRPE-FDYHWXHSSA-N -1 1 315.373 1.116 20 0 DDADMM CC(=O)N[C@H]1CCCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000747207788 700060107 /nfs/dbraw/zinc/06/01/07/700060107.db2.gz OCMAQILXKCNDHX-LBPRGKRZSA-N -1 1 320.393 1.355 20 0 DDADMM O=C([N-]NC(=O)c1ccccc1O)c1cc(-c2ccco2)[nH]n1 ZINC000030563036 696114652 /nfs/dbraw/zinc/11/46/52/696114652.db2.gz IXLZQTJAAGIGHT-UHFFFAOYSA-N -1 1 312.285 1.450 20 0 DDADMM Cc1nc(C)c(C(=O)[N-]NC(=O)c2ccc3c(c2)OCO3)s1 ZINC000030883089 696116310 /nfs/dbraw/zinc/11/63/10/696116310.db2.gz TUMOKKPQRVGXBW-UHFFFAOYSA-N -1 1 319.342 1.563 20 0 DDADMM Cc1ccc(OS(=O)(=O)c2cnc(C(C)C)[n-]2)c(C=O)n1 ZINC000747262155 700062932 /nfs/dbraw/zinc/06/29/32/700062932.db2.gz OEOLZUKEGKXDCI-UHFFFAOYSA-N -1 1 309.347 1.817 20 0 DDADMM Cc1ccc(OS(=O)(=O)c2c[n-]c(C(C)C)n2)c(C=O)n1 ZINC000747262155 700062933 /nfs/dbraw/zinc/06/29/33/700062933.db2.gz OEOLZUKEGKXDCI-UHFFFAOYSA-N -1 1 309.347 1.817 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H](CC(N)=O)C1 ZINC000747421959 700069353 /nfs/dbraw/zinc/06/93/53/700069353.db2.gz NFNZEUIJUXLRLI-NSHDSACASA-N -1 1 320.393 1.342 20 0 DDADMM COc1ccc(NC=C2C(=O)[N-]C(=S)NC2=O)cc1Cl ZINC000048403262 696212038 /nfs/dbraw/zinc/21/20/38/696212038.db2.gz IBZQMOKFTCDPOR-UHFFFAOYSA-N -1 1 311.750 1.175 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977600114 696229984 /nfs/dbraw/zinc/22/99/84/696229984.db2.gz APCJDCGMSKDKTM-YPMHNXCESA-N -1 1 323.368 1.454 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977617091 696236175 /nfs/dbraw/zinc/23/61/75/696236175.db2.gz LAZYFJKUXRPXOF-QWRGUYRKSA-N -1 1 309.341 1.208 20 0 DDADMM CCC(NCc1ccc(C)cc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000054504972 696276460 /nfs/dbraw/zinc/27/64/60/696276460.db2.gz KEEFPCGUYWCUQI-UHFFFAOYSA-N -1 1 303.387 1.280 20 0 DDADMM CC(NCCCc1ccccc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000054503654 696276529 /nfs/dbraw/zinc/27/65/29/696276529.db2.gz SZXZNHYJYHFGLZ-UHFFFAOYSA-N -1 1 303.387 1.014 20 0 DDADMM CC(C)CNC(=O)[C@H](C)OC(=O)c1c([O-])cc(F)cc1F ZINC000063543556 696328793 /nfs/dbraw/zinc/32/87/93/696328793.db2.gz NYCOGKUCZLAIGA-QMMMGPOBSA-N -1 1 301.289 1.988 20 0 DDADMM Cn1c(CCNC(=O)c2cc3ccccn3c2)n[n-]c1=S ZINC000073390594 696403774 /nfs/dbraw/zinc/40/37/74/696403774.db2.gz YAIOPOWIJVIKOL-UHFFFAOYSA-N -1 1 301.375 1.703 20 0 DDADMM CC(C)n1c(CCNC(=O)CC2(O)CCCC2)n[n-]c1=S ZINC000073497236 696404681 /nfs/dbraw/zinc/40/46/81/696404681.db2.gz JURXEOYADSCDRX-UHFFFAOYSA-N -1 1 312.439 1.875 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000978542424 696407221 /nfs/dbraw/zinc/40/72/21/696407221.db2.gz VDQWWEWJNOIAAJ-YFKTTZPYSA-N -1 1 303.362 1.068 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2n[nH]c3ccccc32)o1 ZINC000073914515 696408978 /nfs/dbraw/zinc/40/89/78/696408978.db2.gz BMGXDNIHJAUVAH-UHFFFAOYSA-N -1 1 320.330 1.316 20 0 DDADMM Cc1cc(C)n([C@H](C)C(=O)NCCn2c(C)n[n-]c2=S)n1 ZINC000073942311 696409425 /nfs/dbraw/zinc/40/94/25/696409425.db2.gz RHHPFGXAOFYEFK-SNVBAGLBSA-N -1 1 308.411 1.440 20 0 DDADMM Cc1ccc([C@H](C)N(C)C(=O)Cc2sc(N)nc2[O-])cc1 ZINC000079486626 696460645 /nfs/dbraw/zinc/46/06/45/696460645.db2.gz BUKWMGJFYFRSHU-JQWIXIFHSA-N -1 1 305.403 1.861 20 0 DDADMM CC[C@@H](c1ccncc1)N(CC)C(=O)Cc1sc(N)nc1[O-] ZINC000080420495 696534610 /nfs/dbraw/zinc/53/46/10/696534610.db2.gz UDNRMNGIJKEKBK-NWDGAFQWSA-N -1 1 320.418 1.728 20 0 DDADMM C[C@]1(c2ccccc2)C[C@@H]1NC(=O)Cc1sc(N)nc1[O-] ZINC000080486474 696535064 /nfs/dbraw/zinc/53/50/64/696535064.db2.gz NIAMGFPQNMWLMO-JRPNMDOOSA-N -1 1 303.387 1.180 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)c1ccc(F)c(F)c1)N(C)C ZINC000798814857 700099203 /nfs/dbraw/zinc/09/92/03/700099203.db2.gz YUXNQOTYHVWYSU-GFCCVEGCSA-N -1 1 320.361 1.356 20 0 DDADMM CCCC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000979603793 696608558 /nfs/dbraw/zinc/60/85/58/696608558.db2.gz IYDMPLWLWPOOEB-YNEHKIRRSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)c1ccc2c(c1)CCC2)N(C)C ZINC000798814716 700098966 /nfs/dbraw/zinc/09/89/66/700098966.db2.gz SELAVRKOZJCERG-OAHLLOKOSA-N -1 1 324.446 1.566 20 0 DDADMM O=C(NC[C@H](O)c1ccccc1)c1nnc2ccccc2c1O ZINC000109936562 696638678 /nfs/dbraw/zinc/63/86/78/696638678.db2.gz VALOVCFMTJBECM-AWEZNQCLSA-N -1 1 309.325 1.387 20 0 DDADMM CCCCC[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C(C)C ZINC000129929805 696792902 /nfs/dbraw/zinc/79/29/02/696792902.db2.gz WCHUSTULBILZLG-JTQLQIEISA-N -1 1 316.427 1.999 20 0 DDADMM CCCCC[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C(C)C ZINC000129929805 696792905 /nfs/dbraw/zinc/79/29/05/696792905.db2.gz WCHUSTULBILZLG-JTQLQIEISA-N -1 1 316.427 1.999 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccccc1NC(=O)c1ccccc1 ZINC000135464848 696849477 /nfs/dbraw/zinc/84/94/77/696849477.db2.gz YQNDGLISHDBJAU-UHFFFAOYSA-N -1 1 308.301 1.704 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccccc1NC(=O)c1ccccc1 ZINC000135464848 696849479 /nfs/dbraw/zinc/84/94/79/696849479.db2.gz YQNDGLISHDBJAU-UHFFFAOYSA-N -1 1 308.301 1.704 20 0 DDADMM CCC[C@H](NC(=O)COc1ccc2c(c1)CCC2)c1nn[n-]n1 ZINC000136636495 696855047 /nfs/dbraw/zinc/85/50/47/696855047.db2.gz ZRWDLYWLHIWIGN-AWEZNQCLSA-N -1 1 315.377 1.725 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H]2CCCc3sccc32)s1 ZINC000137363628 696858193 /nfs/dbraw/zinc/85/81/93/696858193.db2.gz KEMLEUYFKYGWFR-WPRPVWTQSA-N -1 1 309.416 1.589 20 0 DDADMM O=C(NO)c1ccc([N-]S(=O)(=O)c2ccc(F)cc2)cc1 ZINC000147492503 696873823 /nfs/dbraw/zinc/87/38/23/696873823.db2.gz HSKVHTPHFOFKNW-UHFFFAOYSA-N -1 1 310.306 1.746 20 0 DDADMM O=C(NCc1nnc2n1CCCC2)c1c([O-])cccc1Cl ZINC000150141387 696876743 /nfs/dbraw/zinc/87/67/43/696876743.db2.gz CEDFTVLLPCDOAI-UHFFFAOYSA-N -1 1 306.753 1.903 20 0 DDADMM CCC[C@H](C)N1C[C@H](C(=O)[N-]OCc2cccnc2)CC1=O ZINC000155851503 696954704 /nfs/dbraw/zinc/95/47/04/696954704.db2.gz HSZXDALQLIDLGH-GXTWGEPZSA-N -1 1 305.378 1.667 20 0 DDADMM CCc1c(NC(=O)Cc2sc(N)nc2[O-])cnn1CC(C)C ZINC000157842906 696981572 /nfs/dbraw/zinc/98/15/72/696981572.db2.gz KVJPVMJEVSJNEC-NSHDSACASA-N -1 1 323.422 1.387 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2ccc(O)cc2)c1Cl ZINC000158569556 696991664 /nfs/dbraw/zinc/99/16/64/696991664.db2.gz WRICXKDEIYTFLD-UHFFFAOYSA-N -1 1 301.755 1.258 20 0 DDADMM CC(=O)NC[C@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000983070025 697207175 /nfs/dbraw/zinc/20/71/75/697207175.db2.gz UIQZREWWYKHODQ-GFCCVEGCSA-N -1 1 320.393 1.212 20 0 DDADMM CC(=O)N[C@@H]1CCC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000983399032 697254615 /nfs/dbraw/zinc/25/46/15/697254615.db2.gz WTMTVTRRDXILQD-NEPJUHHUSA-N -1 1 318.377 1.237 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)CC2CC2)C1 ZINC000983779971 697299316 /nfs/dbraw/zinc/29/93/16/697299316.db2.gz FUHIHHDFBOOMNH-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM COc1ccccc1C(C)=CC(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000174587289 697390260 /nfs/dbraw/zinc/39/02/60/697390260.db2.gz SQQAQPSUCLZONG-ZHACJKMWSA-N -1 1 316.361 1.259 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCc2ccc(Cl)cn2)n1 ZINC000180843608 697452478 /nfs/dbraw/zinc/45/24/78/697452478.db2.gz RTCXFTAPQJCEGV-UHFFFAOYSA-N -1 1 308.729 1.360 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@@H]1C[C@H]1C(C)C ZINC000184213775 697500770 /nfs/dbraw/zinc/50/07/70/697500770.db2.gz XTEXJUSSDUFBKC-NWDGAFQWSA-N -1 1 304.350 1.104 20 0 DDADMM Cn1ccc(NC(=O)NNC(=O)c2cc(Cl)ccc2[O-])n1 ZINC000184698722 697506864 /nfs/dbraw/zinc/50/68/64/697506864.db2.gz KRRPNMUIIZZRCA-UHFFFAOYSA-N -1 1 309.713 1.246 20 0 DDADMM N#Cc1ccc(C(=O)NC[C@H](O)c2ccccc2F)cc1[O-] ZINC000188602095 697561595 /nfs/dbraw/zinc/56/15/95/697561595.db2.gz XFDYOJWXXTYCJI-HNNXBMFYSA-N -1 1 300.289 1.866 20 0 DDADMM Cc1cccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)c1 ZINC000985926891 697604495 /nfs/dbraw/zinc/60/44/95/697604495.db2.gz YFWYYPJIIIIINB-AAEUAGOBSA-N -1 1 315.377 1.212 20 0 DDADMM O=C(CNC(=O)c1ccccn1)Nc1nc(Cl)ccc1[O-] ZINC000191976175 697617188 /nfs/dbraw/zinc/61/71/88/697617188.db2.gz URUDJGCXBKNTJZ-UHFFFAOYSA-N -1 1 306.709 1.204 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1C(C)(C)C1(C)C ZINC000986127881 697695287 /nfs/dbraw/zinc/69/52/87/697695287.db2.gz XRUUKXDQVYJBDI-ZJUUUORDSA-N -1 1 321.425 1.272 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@H](NCc3nccs3)[C@H]2C)c1[O-] ZINC000986162221 697712276 /nfs/dbraw/zinc/71/22/76/697712276.db2.gz SQDYQFMNKUNAIB-ZJUUUORDSA-N -1 1 321.406 1.273 20 0 DDADMM C[C@@H]1[C@H](NCc2cnsn2)CCN1C(=O)c1ncccc1[O-] ZINC000986169699 697716479 /nfs/dbraw/zinc/71/64/79/697716479.db2.gz KOJNHESSLZAVNY-MWLCHTKSSA-N -1 1 319.390 1.032 20 0 DDADMM CN(C)c1cccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000773157581 697720311 /nfs/dbraw/zinc/72/03/11/697720311.db2.gz FQNJVAGYRYZPLP-LBPRGKRZSA-N -1 1 318.406 1.196 20 0 DDADMM O=C(c1ccc2c(c1)CCC2)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773160912 697721864 /nfs/dbraw/zinc/72/18/64/697721864.db2.gz WSIXTKQRTPJPGT-CYBMUJFWSA-N -1 1 315.402 1.619 20 0 DDADMM C[C@@H](OC(=O)c1ccc([O-])cc1F)C(=O)Nc1ncccn1 ZINC000773575542 697782896 /nfs/dbraw/zinc/78/28/96/697782896.db2.gz ZKNHXUDTEYXOBH-MRVPVSSYSA-N -1 1 305.265 1.505 20 0 DDADMM O=C(Nn1cnc2ccccc21)c1ccc2n[n-]c(=S)n2c1 ZINC000773749439 697800569 /nfs/dbraw/zinc/80/05/69/697800569.db2.gz PWVAQHLIXOOWRY-UHFFFAOYSA-N -1 1 310.342 1.752 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)c2cnccc2C)co1 ZINC000773939885 697825146 /nfs/dbraw/zinc/82/51/46/697825146.db2.gz CCHBMWFYFGFYFC-JTQLQIEISA-N -1 1 324.358 1.809 20 0 DDADMM O=C([C@H]1CSCCS1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000774275847 697861587 /nfs/dbraw/zinc/86/15/87/697861587.db2.gz ZSKYSAIRENCCNH-SECBINFHSA-N -1 1 315.420 1.330 20 0 DDADMM CN(C)c1ccc(C2CCN(Cc3n[nH]c(=O)[n-]3)CC2)cc1 ZINC000775886230 698047806 /nfs/dbraw/zinc/04/78/06/698047806.db2.gz AWYSQJATVWIDKA-UHFFFAOYSA-N -1 1 301.394 1.956 20 0 DDADMM O=C(COc1ccsc1)OCCC[N-]C(=O)C(F)(F)F ZINC000800306251 700214722 /nfs/dbraw/zinc/21/47/22/700214722.db2.gz JAZLIGHLHDPBDG-UHFFFAOYSA-N -1 1 311.281 1.739 20 0 DDADMM COC(=O)C(=O)[C@@H](C)OC(=O)c1ccc(Cl)c(Cl)c1[O-] ZINC000778310929 698260273 /nfs/dbraw/zinc/26/02/73/698260273.db2.gz JWPBRXRMTNSMCT-RXMQYKEDSA-N -1 1 321.112 1.986 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000988211863 698309948 /nfs/dbraw/zinc/30/99/48/698309948.db2.gz QIMQPPZTSJQTRQ-NWDGAFQWSA-N -1 1 323.368 1.456 20 0 DDADMM CN(C)[C@@H](CNC(=O)NC(C)(C)C(=O)[O-])c1ccc(F)cc1 ZINC000780449903 698519750 /nfs/dbraw/zinc/51/97/50/698519750.db2.gz DPWVTZIXDMQBFJ-LBPRGKRZSA-N -1 1 311.357 1.591 20 0 DDADMM COc1cccc(-c2cc(C(=O)[N-]N3CCCC3=O)n[nH]2)c1 ZINC000780701883 698551019 /nfs/dbraw/zinc/55/10/19/698551019.db2.gz ZDJVNWBIFPCJSH-UHFFFAOYSA-N -1 1 300.318 1.353 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H]1CCC(=O)NC1 ZINC000783905869 698890798 /nfs/dbraw/zinc/89/07/98/698890798.db2.gz MQGJFZHKCVFPCO-ZCFIWIBFSA-N -1 1 314.139 1.014 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2ccc(F)nc2)cc1F ZINC000385163247 699074465 /nfs/dbraw/zinc/07/44/65/699074465.db2.gz CEOGARGUFWYMDJ-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM C[C@@H](NS(=O)(=O)c1cc(C(=O)[O-])ccc1F)C(F)(F)F ZINC000389362245 699088730 /nfs/dbraw/zinc/08/87/30/699088730.db2.gz SSFMVKJORHPACN-RXMQYKEDSA-N -1 1 315.244 1.753 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)[C@@H]2CCCC[C@@H]2C(=O)[O-])C1 ZINC000399519347 699123105 /nfs/dbraw/zinc/12/31/05/699123105.db2.gz QFAKLDPCBIEQPS-MCIONIFRSA-N -1 1 312.410 1.104 20 0 DDADMM C[C@@H]1CCC[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990972878 699196256 /nfs/dbraw/zinc/19/62/56/699196256.db2.gz VBUBVDCDYFQRAM-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC1CN(C(=O)c2occc2Cl)C1)c1ncccc1[O-] ZINC000990972771 699196507 /nfs/dbraw/zinc/19/65/07/699196507.db2.gz RWCJBWKNEYLUFE-UHFFFAOYSA-N -1 1 321.720 1.288 20 0 DDADMM C[C@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C(C)(F)F ZINC000990975927 699197661 /nfs/dbraw/zinc/19/76/61/699197661.db2.gz COYMLBOWGILZJX-MRVPVSSYSA-N -1 1 313.304 1.019 20 0 DDADMM Cc1cccnc1C(F)(F)C(=O)[N-][C@@H](CO)CC(F)(F)F ZINC000787485686 699214129 /nfs/dbraw/zinc/21/41/29/699214129.db2.gz LLOGFNIZYXNVOB-MRVPVSSYSA-N -1 1 312.238 1.911 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000788117701 699280698 /nfs/dbraw/zinc/28/06/98/699280698.db2.gz KPAMLPIUYMORPX-STQMWFEESA-N -1 1 304.346 1.124 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)(F)F)c1cccnc1Cl ZINC000717829905 699291391 /nfs/dbraw/zinc/29/13/91/699291391.db2.gz LSNCZIORNIHANC-ZCFIWIBFSA-N -1 1 318.704 1.327 20 0 DDADMM CC(=O)CC(C)(C)CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000788586894 699325663 /nfs/dbraw/zinc/32/56/63/699325663.db2.gz DHHNTMZVCCQOGS-CQSZACIVSA-N -1 1 315.377 1.801 20 0 DDADMM CC(C)(C)c1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000726864548 699386130 /nfs/dbraw/zinc/38/61/30/699386130.db2.gz DUNPMAWVYKBNNV-UHFFFAOYSA-N -1 1 315.373 1.863 20 0 DDADMM O=C(COC(=O)c1ccc2ncoc2c1)[N-]C(=O)c1ccccc1 ZINC000727194556 699404234 /nfs/dbraw/zinc/40/42/34/699404234.db2.gz MOVQEUCMZNNZJQ-UHFFFAOYSA-N -1 1 324.292 1.941 20 0 DDADMM CSCc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000727857374 699428674 /nfs/dbraw/zinc/42/86/74/699428674.db2.gz XORIKTBFBXPKGL-UHFFFAOYSA-N -1 1 319.386 1.428 20 0 DDADMM CCCC[C@@H]1CCC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727860681 699428700 /nfs/dbraw/zinc/42/87/00/699428700.db2.gz GAQPSWQOJSGYBB-CHWSQXEVSA-N -1 1 307.394 1.402 20 0 DDADMM CC(C)(C)OC(=O)NCCCCCCC(=O)Nc1nnn[n-]1 ZINC000728208199 699441639 /nfs/dbraw/zinc/44/16/39/699441639.db2.gz JZLBBYMJYZQAJD-UHFFFAOYSA-N -1 1 312.374 1.613 20 0 DDADMM CC(C)(C)OC(=O)NCCCCCCC(=O)Nc1nn[n-]n1 ZINC000728208199 699441641 /nfs/dbraw/zinc/44/16/41/699441641.db2.gz JZLBBYMJYZQAJD-UHFFFAOYSA-N -1 1 312.374 1.613 20 0 DDADMM COc1c(Cl)cccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000733140208 699580555 /nfs/dbraw/zinc/58/05/55/699580555.db2.gz KCGOOJLOKCJAQM-UHFFFAOYSA-N -1 1 323.736 1.227 20 0 DDADMM CO[C@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)C(F)(F)F ZINC000733336907 699589382 /nfs/dbraw/zinc/58/93/82/699589382.db2.gz YSVLNQDBQHVJPW-SECBINFHSA-N -1 1 315.251 1.230 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)[C@H]1CCOC1)c1nc(C2CC2)no1 ZINC000735674484 699699941 /nfs/dbraw/zinc/69/99/41/699699941.db2.gz OMTPOTUNHGSVJB-WDEREUQCSA-N -1 1 315.395 1.352 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-]C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000793204145 699740490 /nfs/dbraw/zinc/74/04/90/699740490.db2.gz PRXJIYDQXDKMPB-SECBINFHSA-N -1 1 308.363 1.330 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCCS(=O)(=O)CC1 ZINC000738528477 699761825 /nfs/dbraw/zinc/76/18/25/699761825.db2.gz DCDDAKCOLVZMRL-UHFFFAOYSA-N -1 1 303.767 1.306 20 0 DDADMM Cn1c2ccc(OC(=O)c3ccc([O-])cc3F)cc2n(C)c1=O ZINC000794164073 699795883 /nfs/dbraw/zinc/79/58/83/699795883.db2.gz IRMUKWGLBZVMCW-UHFFFAOYSA-N -1 1 316.288 1.941 20 0 DDADMM O=C([O-])NC[C@H]1CCN(Cn2cnc3cc(F)c(F)cc32)C1 ZINC000740646590 699796692 /nfs/dbraw/zinc/79/66/92/699796692.db2.gz NNSBYCHZOATCRV-SECBINFHSA-N -1 1 310.304 1.862 20 0 DDADMM COc1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)c(C)cc1C ZINC000794363042 699804736 /nfs/dbraw/zinc/80/47/36/699804736.db2.gz RKABJOOUPVSIGM-UHFFFAOYSA-N -1 1 317.345 1.191 20 0 DDADMM CC(=O)N(C)c1ccc(OS(=O)(=O)c2c[n-]nc2C)cc1 ZINC000742332030 699874247 /nfs/dbraw/zinc/87/42/47/699874247.db2.gz XXKHIPASVWSVBS-UHFFFAOYSA-N -1 1 309.347 1.469 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cnc(C(C)(C)C)nc3)ccnc1-2 ZINC000808783840 701620041 /nfs/dbraw/zinc/62/00/41/701620041.db2.gz JOMRXGWRODCYDL-UHFFFAOYSA-N -1 1 310.361 1.682 20 0 DDADMM C[C@@H]1C(=O)CC[C@@H]1CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000796226276 699920543 /nfs/dbraw/zinc/92/05/43/699920543.db2.gz JQYXBQDRDRWOEY-WCQYABFASA-N -1 1 317.341 1.492 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C(=O)OC)c2ccsc2)[n-]1 ZINC000796344398 699927953 /nfs/dbraw/zinc/92/79/53/699927953.db2.gz JZLZRXSZNSQCTG-LLVKDONJSA-N -1 1 323.326 1.934 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C)C(=O)N(C(C)C)C(C)C)[n-]1 ZINC000796348330 699928355 /nfs/dbraw/zinc/92/83/55/699928355.db2.gz XXVNOYUKEFYZCX-LLVKDONJSA-N -1 1 324.377 1.992 20 0 DDADMM S=c1nc(-c2ccccn2)[n-]n1CN1C[C@H]2CC[C@@H](C1)O2 ZINC000744436512 699949298 /nfs/dbraw/zinc/94/92/98/699949298.db2.gz UFMZWGVDDHCWOQ-PHIMTYICSA-N -1 1 303.391 1.823 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2CCC(C)(O)CC2)sc1C ZINC000751485031 700293872 /nfs/dbraw/zinc/29/38/72/700293872.db2.gz ZQBMFOAQNYDJCE-UHFFFAOYSA-N -1 1 304.437 1.732 20 0 DDADMM CC[C@H](OC(=O)c1nn(-c2ccc(F)cc2)cc1[O-])C(N)=O ZINC000801325426 700297015 /nfs/dbraw/zinc/29/70/15/700297015.db2.gz RGITXRCSFKKZTO-NSHDSACASA-N -1 1 307.281 1.138 20 0 DDADMM O=C(O[C@H]1CCCNC1=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801326116 700297648 /nfs/dbraw/zinc/29/76/48/700297648.db2.gz USGALNHXWBWPJN-LBPRGKRZSA-N -1 1 319.292 1.152 20 0 DDADMM COC(=O)[C@H](C)OC(=O)c1nn(-c2ccc(OC)cc2)cc1[O-] ZINC000801364778 700300669 /nfs/dbraw/zinc/30/06/69/700300669.db2.gz FQGQETQKVYQJPI-VIFPVBQESA-N -1 1 320.301 1.305 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H]2CCc3cccnc32)co1 ZINC000802222651 700382659 /nfs/dbraw/zinc/38/26/59/700382659.db2.gz WWVNJWXNIIMMRX-NSHDSACASA-N -1 1 322.342 1.427 20 0 DDADMM O=C(NC[C@H]1CCCCS1(=O)=O)c1c([O-])cccc1Cl ZINC000752837101 700391549 /nfs/dbraw/zinc/39/15/49/700391549.db2.gz BPQKIEPXHWIUNM-SECBINFHSA-N -1 1 317.794 1.743 20 0 DDADMM Cn1ncc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)c1N ZINC000754535555 700508943 /nfs/dbraw/zinc/50/89/43/700508943.db2.gz LAAGBYBGQUUPTB-UHFFFAOYSA-N -1 1 315.285 1.171 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2ccc(C)nc2)co1 ZINC000756578127 700630065 /nfs/dbraw/zinc/63/00/65/700630065.db2.gz FVRNZTIESFSKFK-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM CC(C)CNC(=O)N1CCC[C@H](CC(=O)[N-]OCC(F)F)C1 ZINC000759335156 700747111 /nfs/dbraw/zinc/74/71/11/700747111.db2.gz OGPGJOHWGDAIKI-LLVKDONJSA-N -1 1 321.368 1.767 20 0 DDADMM CCc1cc(CNC(=O)C(C)(C)[N-]C(=O)C(F)(F)F)n[nH]1 ZINC000760323011 700802239 /nfs/dbraw/zinc/80/22/39/700802239.db2.gz SLRQSMXZWZYTAX-UHFFFAOYSA-N -1 1 306.288 1.045 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2CCC[C@@H](C(F)(F)F)C2)nc1=O ZINC000765447810 701013312 /nfs/dbraw/zinc/01/33/12/701013312.db2.gz OXSUITJDMJSCJG-HTQZYQBOSA-N -1 1 307.272 1.520 20 0 DDADMM CCCN(C)c1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765460818 701013840 /nfs/dbraw/zinc/01/38/40/701013840.db2.gz OATKLZMKSKFBSA-UHFFFAOYSA-N -1 1 304.350 1.312 20 0 DDADMM Cn1[n-]c(COC(=O)/C=C/c2ccnc3ccccc23)nc1=O ZINC000765477963 701014911 /nfs/dbraw/zinc/01/49/11/701014911.db2.gz DZVOERMFNARYGJ-VOTSOKGWSA-N -1 1 310.313 1.413 20 0 DDADMM CC[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(OC)cc1 ZINC000765502872 701016393 /nfs/dbraw/zinc/01/63/93/701016393.db2.gz LDGVAZQZEDCWGS-GFCCVEGCSA-N -1 1 305.334 1.354 20 0 DDADMM C[C@@H](O)c1cn(C2CN(C(=O)c3c(F)ccc([O-])c3F)C2)nn1 ZINC000809755992 701686300 /nfs/dbraw/zinc/68/63/00/701686300.db2.gz SYZPZVBTQJHKIV-SSDOTTSWSA-N -1 1 324.287 1.012 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(F)c(C(F)(F)F)cc1F ZINC000804369576 701182900 /nfs/dbraw/zinc/18/29/00/701182900.db2.gz VXDSSPDJAOVWFL-UHFFFAOYSA-N -1 1 307.182 1.427 20 0 DDADMM COCCCO[N-]C(=O)c1cc[nH]c(=O)c1Br ZINC000805044959 701228474 /nfs/dbraw/zinc/22/84/74/701228474.db2.gz FLQDLSNZTBYTRM-UHFFFAOYSA-N -1 1 305.128 1.248 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)Cc2ccnn2C)c1 ZINC000769330053 701241722 /nfs/dbraw/zinc/24/17/22/701241722.db2.gz HQOSRPQHHSNZHB-UHFFFAOYSA-N -1 1 302.330 1.657 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CC2(Cl)Cl)nc1Cl ZINC000769893692 701260501 /nfs/dbraw/zinc/26/05/01/701260501.db2.gz VYLDAPMQIAOUOY-RXMQYKEDSA-N -1 1 318.613 1.546 20 0 DDADMM O=C([C@H]1CCCc2sccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000771468692 701320856 /nfs/dbraw/zinc/32/08/56/701320856.db2.gz AUVIAHRHBJYUHV-WDEREUQCSA-N -1 1 319.390 1.281 20 0 DDADMM CCc1cccc(CC)c1NC(=O)COC(=O)c1cn[n-]n1 ZINC000805604476 701398086 /nfs/dbraw/zinc/39/80/86/701398086.db2.gz KJVWFMJGPWMQCB-UHFFFAOYSA-N -1 1 302.334 1.725 20 0 DDADMM Cc1ccc(F)c(N2CCC[C@@H](OC(=O)c3cn[n-]n3)C2=O)c1 ZINC000805605346 701398338 /nfs/dbraw/zinc/39/83/38/701398338.db2.gz KQIJDLCWNKRZAG-CYBMUJFWSA-N -1 1 318.308 1.605 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)c1cnc(N2CCCCC2)s1 ZINC000805606536 701398960 /nfs/dbraw/zinc/39/89/60/701398960.db2.gz YUXFHMPXWSBSFN-UHFFFAOYSA-N -1 1 321.362 1.291 20 0 DDADMM C[C@H]1CCN(CC2OCCO2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000805788607 701409370 /nfs/dbraw/zinc/40/93/70/701409370.db2.gz PVSHJQWEKQYVJU-QXEWZRGKSA-N -1 1 310.316 1.137 20 0 DDADMM CC1(S(=O)(=O)[N-]C(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC000806024974 701421398 /nfs/dbraw/zinc/42/13/98/701421398.db2.gz BXETYJRWGWORFJ-UHFFFAOYSA-N -1 1 306.347 1.084 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3ccc(Cl)nc3)ccnc1-2 ZINC000806485471 701437257 /nfs/dbraw/zinc/43/72/57/701437257.db2.gz SQQYHUIQOJAHBS-UHFFFAOYSA-N -1 1 316.752 1.712 20 0 DDADMM COc1c(C)cnc(CNC(=O)C(=O)c2ccc([O-])cc2)c1C ZINC000806708585 701446587 /nfs/dbraw/zinc/44/65/87/701446587.db2.gz NUPSKFHGTBYWGR-UHFFFAOYSA-N -1 1 314.341 1.912 20 0 DDADMM O=C(N[C@H]1CCCC2(C1)OCCO2)C(=O)c1ccc([O-])cc1 ZINC000807216344 701470055 /nfs/dbraw/zinc/47/00/55/701470055.db2.gz UPHSONKRYTYTIX-LBPRGKRZSA-N -1 1 305.330 1.377 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C[C@@H]1C ZINC000830952161 706609581 /nfs/dbraw/zinc/60/95/81/706609581.db2.gz DFBAUTVIAICKGO-GRYCIOLGSA-N -1 1 319.405 1.943 20 0 DDADMM CC1(C)CC[C@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000830960201 706611371 /nfs/dbraw/zinc/61/13/71/706611371.db2.gz YFPMCDLKYJHVMZ-JTQLQIEISA-N -1 1 305.378 1.697 20 0 DDADMM C[C@H]1CCC(=CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000830959311 706611659 /nfs/dbraw/zinc/61/16/59/706611659.db2.gz MRUHXJURTBPBHF-QBQSQJOESA-N -1 1 303.362 1.618 20 0 DDADMM CO[C@H]1CC[C@H](C)N(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000866083161 706613236 /nfs/dbraw/zinc/61/32/36/706613236.db2.gz LRDJOABEASONPR-QWRGUYRKSA-N -1 1 301.346 1.563 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCc3cnnn3C)n2)cc1 ZINC000811219934 701938206 /nfs/dbraw/zinc/93/82/06/701938206.db2.gz BPAPNLMZKXBBMR-UHFFFAOYSA-N -1 1 313.317 1.372 20 0 DDADMM O=C(N[C@H]1COc2ccccc2[C@@H]1O)c1ccc([O-])c(F)c1 ZINC000811567693 702007838 /nfs/dbraw/zinc/00/78/38/702007838.db2.gz BGHOFKXURPFODQ-WFASDCNBSA-N -1 1 303.289 1.756 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO[C@@H]1C1CC1 ZINC000831090782 706633167 /nfs/dbraw/zinc/63/31/67/706633167.db2.gz JRDUQMQGHWDNDN-MWLCHTKSSA-N -1 1 305.787 1.299 20 0 DDADMM CC1(C)CO[C@H](CCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1 ZINC000879263145 706634158 /nfs/dbraw/zinc/63/41/58/706634158.db2.gz MECLGHDXAPOLTL-VXGBXAGGSA-N -1 1 307.398 1.501 20 0 DDADMM CC1(C)C[C@H](O)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000866157315 706635859 /nfs/dbraw/zinc/63/58/59/706635859.db2.gz AAXPIFLFWFZVMM-JTQLQIEISA-N -1 1 301.346 1.156 20 0 DDADMM CC(C)(C)CCCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868295667 702051198 /nfs/dbraw/zinc/05/11/98/702051198.db2.gz CGMKQWANJCGILA-UHFFFAOYSA-N -1 1 322.409 1.880 20 0 DDADMM CCn1c(=O)c2ccc(C(=O)Nc3c[nH]nn3)cc2[n-]c1=S ZINC000816318388 702065038 /nfs/dbraw/zinc/06/50/38/702065038.db2.gz SCZBOXNSEKCGLS-UHFFFAOYSA-N -1 1 316.346 1.076 20 0 DDADMM CCC[C@@H](C)N1C[C@@H](C(=O)[N-]OCCOC(C)C)CC1=O ZINC000812805020 702243539 /nfs/dbraw/zinc/24/35/39/702243539.db2.gz WETURIRCSIKKAF-OLZOCXBDSA-N -1 1 300.399 1.496 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@](C)(OC)C2CC2)o1 ZINC000813190481 702309797 /nfs/dbraw/zinc/30/97/97/702309797.db2.gz AUXWYXJHCHBAAM-ZDUSSCGKSA-N -1 1 317.363 1.160 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NOCCN1CCCC1=O ZINC000869282498 702552210 /nfs/dbraw/zinc/55/22/10/702552210.db2.gz AVEOBKANZBZBSV-UHFFFAOYSA-N -1 1 312.753 1.258 20 0 DDADMM CSC[C@@H](C)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869393754 702591618 /nfs/dbraw/zinc/59/16/18/702591618.db2.gz XMBHWCHUCAPZCS-SSDOTTSWSA-N -1 1 315.313 1.166 20 0 DDADMM COC[C@@H](C)[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000866386123 706687017 /nfs/dbraw/zinc/68/70/17/706687017.db2.gz XQYZCLKNNJCLAK-MGNBDDOMSA-N -1 1 306.409 1.013 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H](C)c1ccccn1 ZINC000866405948 706690937 /nfs/dbraw/zinc/69/09/37/706690937.db2.gz NQVHFRVXDZMUJI-SNVBAGLBSA-N -1 1 305.425 1.485 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCOC[C@@H]1CCO ZINC000831352274 706691493 /nfs/dbraw/zinc/69/14/93/706691493.db2.gz VTLHERWMMDQWCN-LBPRGKRZSA-N -1 1 302.330 1.164 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(C)c1C1CC1)C(C)C ZINC000842587209 702736961 /nfs/dbraw/zinc/73/69/61/702736961.db2.gz ACZJBFUUZYMDSY-GFCCVEGCSA-N -1 1 301.412 1.710 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cc3n(n2)CCCC3)[n-]1 ZINC000843025262 702805192 /nfs/dbraw/zinc/80/51/92/702805192.db2.gz CHVBVAFFRSHNFI-UHFFFAOYSA-N -1 1 303.318 1.691 20 0 DDADMM COc1ccc(C)cc1C[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866471440 706707255 /nfs/dbraw/zinc/70/72/55/706707255.db2.gz QCXGLBYUMDCIQO-UHFFFAOYSA-N -1 1 306.409 1.066 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])[C@H]1CCc2ncncc2C1 ZINC000843866589 702935626 /nfs/dbraw/zinc/93/56/26/702935626.db2.gz RJVAOEVHRBMXNJ-QMMMGPOBSA-N -1 1 304.737 1.974 20 0 DDADMM COCCCO[N-]C(=O)C1(CC(=O)OC(C)(C)C)CCC1 ZINC000843884560 702938122 /nfs/dbraw/zinc/93/81/22/702938122.db2.gz DESSRUSYOZXNDM-UHFFFAOYSA-N -1 1 301.383 1.973 20 0 DDADMM C[C@@H]1C[C@H]1C[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1F ZINC000866496820 706714068 /nfs/dbraw/zinc/71/40/68/706714068.db2.gz YLJIDXJWPIFCFW-KFTBIWSRSA-N -1 1 320.411 1.773 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)[C@@H](O)c1ccccc1 ZINC000831550734 706729886 /nfs/dbraw/zinc/72/98/86/706729886.db2.gz PVDOWUFPAHIAPA-LDYMZIIASA-N -1 1 315.782 1.464 20 0 DDADMM COCCN(CC(=O)[O-])C[C@H](O)c1cccc2ccccc21 ZINC000846264512 703258087 /nfs/dbraw/zinc/25/80/87/703258087.db2.gz GKDKXSBFINGNAN-INIZCTEOSA-N -1 1 303.358 1.906 20 0 DDADMM COC1(C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)CC1 ZINC000848338929 703540487 /nfs/dbraw/zinc/54/04/87/703540487.db2.gz SWYJCLUPOUXVOX-RKDXNWHRSA-N -1 1 308.300 1.223 20 0 DDADMM CC1=NO[C@H](C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)C1 ZINC000831681993 706752815 /nfs/dbraw/zinc/75/28/15/706752815.db2.gz UBAGQELJHHPJCR-NSHDSACASA-N -1 1 315.326 1.301 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2C[C@H](OC(C)(C)C)C2)c1Cl ZINC000848495499 703557925 /nfs/dbraw/zinc/55/79/25/703557925.db2.gz IRYJRMZRCSAILU-KYZUINATSA-N -1 1 321.830 1.698 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866971277 706860370 /nfs/dbraw/zinc/86/03/70/706860370.db2.gz AJBJSEFEIVEWMK-VHSXEESVSA-N -1 1 312.457 1.142 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(OC)OC ZINC000849407217 703644469 /nfs/dbraw/zinc/64/44/69/703644469.db2.gz QCOWQZXRMGSPGK-SNVBAGLBSA-N -1 1 309.334 1.641 20 0 DDADMM CCc1nc(SCCCOC(=O)[C@@H](C)O)[n-]c(=O)c1C ZINC000849920769 703689471 /nfs/dbraw/zinc/68/94/71/703689471.db2.gz AQVIUPRYRGXFNG-SECBINFHSA-N -1 1 300.380 1.459 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CCc2cn(C)nn2)c1 ZINC000850218415 703714724 /nfs/dbraw/zinc/71/47/24/703714724.db2.gz AXFCNJTWGSDIOR-UHFFFAOYSA-N -1 1 317.345 1.442 20 0 DDADMM Cc1ccoc1CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000851105476 703780723 /nfs/dbraw/zinc/78/07/23/703780723.db2.gz OXOPVTZGBWLANP-UHFFFAOYSA-N -1 1 314.407 1.061 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869554236 703812125 /nfs/dbraw/zinc/81/21/25/703812125.db2.gz GGXOMSKAYGPZER-SECBINFHSA-N -1 1 309.284 1.604 20 0 DDADMM CC(C)N(C)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000851883205 703877604 /nfs/dbraw/zinc/87/76/04/703877604.db2.gz PPTAXGNDOUDSRS-ZJUUUORDSA-N -1 1 309.332 1.836 20 0 DDADMM CCN(CC)C(=O)[C@@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763562 706784387 /nfs/dbraw/zinc/78/43/87/706784387.db2.gz OUQWKXIDXMKRDD-ZWNOBZJWSA-N -1 1 323.359 1.386 20 0 DDADMM Cc1ccnc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)n1 ZINC000852757568 704116785 /nfs/dbraw/zinc/11/67/85/704116785.db2.gz VZOQPTSWPDPFDX-KOLCDFICSA-N -1 1 316.327 1.674 20 0 DDADMM Cc1cccnc1C(F)(F)C(=O)[N-][C@@H](C)[C@@H](O)C(F)(F)F ZINC000819568521 704143230 /nfs/dbraw/zinc/14/32/30/704143230.db2.gz VCQPFGLXRGFBEG-IONNQARKSA-N -1 1 312.238 1.910 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(c2c(F)cccc2F)=NO1 ZINC000820403156 704273065 /nfs/dbraw/zinc/27/30/65/704273065.db2.gz QXICRXLYZOYJPX-SNVBAGLBSA-N -1 1 322.271 1.834 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1(c2ccc3c(c2)OCO3)CC1 ZINC000820408229 704273860 /nfs/dbraw/zinc/27/38/60/704273860.db2.gz YBAJTDLSBPSALF-UHFFFAOYSA-N -1 1 301.302 1.823 20 0 DDADMM CC(C)[C@]1(C(=O)[N-]OCC2CC2)C[C@H]1C(=O)NOCC1CC1 ZINC000820484961 704288700 /nfs/dbraw/zinc/28/87/00/704288700.db2.gz SEKYIQMENONRHQ-XJKSGUPXSA-N -1 1 310.394 1.564 20 0 DDADMM CN1CCC[C@H]([N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)C1=O ZINC000820588195 704305692 /nfs/dbraw/zinc/30/56/92/704305692.db2.gz HFGAUHRVMOEOTD-NSHDSACASA-N -1 1 318.270 1.794 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)c1ccccn1 ZINC000820768517 704328873 /nfs/dbraw/zinc/32/88/73/704328873.db2.gz SCTUZXZKPMZXHT-JTQLQIEISA-N -1 1 303.409 1.239 20 0 DDADMM COc1cccc([S@](=O)CC(=O)O[C@H](C)c2n[nH]c(C)n2)c1 ZINC000821352748 704395442 /nfs/dbraw/zinc/39/54/42/704395442.db2.gz NNYSKZBCDCGEJN-PVBMHHQDSA-N -1 1 323.374 1.534 20 0 DDADMM O=C([O-])[C@H]1CCCN1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000821508683 704418804 /nfs/dbraw/zinc/41/88/04/704418804.db2.gz FARXZIWJEQKEEH-GFCCVEGCSA-N -1 1 301.306 1.348 20 0 DDADMM COc1cccc(C2=NO[C@@H](C(=O)Nc3c(C)[n-][nH]c3=O)C2)c1 ZINC000854635874 704430859 /nfs/dbraw/zinc/43/08/59/704430859.db2.gz XRXCMKAYGILMCV-GFCCVEGCSA-N -1 1 316.317 1.564 20 0 DDADMM COCc1cccc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)c1 ZINC000821695953 704431074 /nfs/dbraw/zinc/43/10/74/704431074.db2.gz OSISNTUEUJNGEJ-UHFFFAOYSA-N -1 1 316.346 1.722 20 0 DDADMM COCc1cccc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)c1 ZINC000821695953 704431076 /nfs/dbraw/zinc/43/10/76/704431076.db2.gz OSISNTUEUJNGEJ-UHFFFAOYSA-N -1 1 316.346 1.722 20 0 DDADMM CCOCCC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418662 704528106 /nfs/dbraw/zinc/52/81/06/704528106.db2.gz JPZLHFUGBVDPSS-ZJUUUORDSA-N -1 1 310.316 1.329 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cnc1F ZINC000857717969 704616891 /nfs/dbraw/zinc/61/68/91/704616891.db2.gz GTEQIKXHJJQNLQ-VIFPVBQESA-N -1 1 306.297 1.638 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C(C)(C)C2CC2)c1C(F)(F)F ZINC000866869339 706830398 /nfs/dbraw/zinc/83/03/98/706830398.db2.gz ZNCQZSTYYFVMAI-UHFFFAOYSA-N -1 1 311.329 1.906 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1C[C@@]1(C)c1ccccc1 ZINC000866872379 706830878 /nfs/dbraw/zinc/83/08/78/706830878.db2.gz NACYTLLNAORBGL-JMERFSKESA-N -1 1 316.448 1.669 20 0 DDADMM CN(C[C@@H](O)COCc1ccccc1)c1cc(Cl)[n-]c(=O)n1 ZINC000858407630 704707170 /nfs/dbraw/zinc/70/71/70/704707170.db2.gz KUWRKXDQDIJKKE-GFCCVEGCSA-N -1 1 323.780 1.850 20 0 DDADMM C[C@H](F)CC[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000867308744 706955472 /nfs/dbraw/zinc/95/54/72/706955472.db2.gz MSNXWQCQBVZTNO-YPMLDQLKSA-N -1 1 308.400 1.726 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc2c(c1)[C@@H](C)CC(=O)O2 ZINC000859243651 704831890 /nfs/dbraw/zinc/83/18/90/704831890.db2.gz ZPZMGUREXQJLBI-QMMMGPOBSA-N -1 1 322.342 1.898 20 0 DDADMM CCN(Cc1cc(C(=O)[O-])nn1C)C[C@H](O)c1ccc(C)cc1 ZINC000874039231 704923015 /nfs/dbraw/zinc/92/30/15/704923015.db2.gz DYMCCIFPFNTPCM-INIZCTEOSA-N -1 1 317.389 1.982 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1cccc2ccsc21 ZINC000867455464 707006778 /nfs/dbraw/zinc/00/67/78/707006778.db2.gz IRTGXORRCJLPEH-UHFFFAOYSA-N -1 1 318.445 1.963 20 0 DDADMM Cc1nc2sccn2c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000860349435 705161702 /nfs/dbraw/zinc/16/17/02/705161702.db2.gz MXDCPNRDICHYJQ-VIFPVBQESA-N -1 1 317.378 1.237 20 0 DDADMM CC(C)[C@H](NC=O)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000860380809 705170487 /nfs/dbraw/zinc/17/04/87/705170487.db2.gz QRPHBGYXEMCXEJ-HNNXBMFYSA-N -1 1 319.313 1.312 20 0 DDADMM CON(C)CCCNC(=O)c1ccc(Br)c([O-])c1 ZINC000824559912 705480027 /nfs/dbraw/zinc/48/00/27/705480027.db2.gz CHSIFOAVBFXKPI-UHFFFAOYSA-N -1 1 317.183 1.768 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@@H]([N@H+]2CC[C@H](O)C2)C1 ZINC000861709114 705545581 /nfs/dbraw/zinc/54/55/81/705545581.db2.gz DUGKNZCKSUBZIW-NEPJUHHUSA-N -1 1 310.781 1.327 20 0 DDADMM CC1(C)C[C@]1(C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876300696 705683629 /nfs/dbraw/zinc/68/36/29/705683629.db2.gz GWCCHBKDDKRZAQ-HNNXBMFYSA-N -1 1 306.366 1.243 20 0 DDADMM CCn1ncc(C[N-]C(=O)C(F)(F)c2c(F)cccc2F)n1 ZINC000862382783 705705725 /nfs/dbraw/zinc/70/57/25/705705725.db2.gz YOWJLDGOQAUKDV-UHFFFAOYSA-N -1 1 316.258 1.984 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CCn2cnnc2C1 ZINC000876394572 705707694 /nfs/dbraw/zinc/70/76/94/705707694.db2.gz XVAQSAIXNQVZSW-UHFFFAOYSA-N -1 1 307.741 1.363 20 0 DDADMM CN(CCS(C)(=O)=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876394015 705707806 /nfs/dbraw/zinc/70/78/06/705707806.db2.gz PTWZEVXFVUTRQA-UHFFFAOYSA-N -1 1 320.798 1.232 20 0 DDADMM COc1cccc2c1CCN(c1cccc(-c3nnn[n-]3)n1)C2 ZINC000826218340 705782834 /nfs/dbraw/zinc/78/28/34/705782834.db2.gz ZGVZPGXCVJCFDT-UHFFFAOYSA-N -1 1 308.345 1.833 20 0 DDADMM COc1cccc2c1CCN(c1cccc(-c3nn[n-]n3)n1)C2 ZINC000826218340 705782838 /nfs/dbraw/zinc/78/28/38/705782838.db2.gz ZGVZPGXCVJCFDT-UHFFFAOYSA-N -1 1 308.345 1.833 20 0 DDADMM Cc1nc(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)cs1 ZINC000826326736 705791442 /nfs/dbraw/zinc/79/14/42/705791442.db2.gz BSDFCOJWVMNKHR-UHFFFAOYSA-N -1 1 305.323 1.109 20 0 DDADMM Cc1nc(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)cs1 ZINC000826326736 705791446 /nfs/dbraw/zinc/79/14/46/705791446.db2.gz BSDFCOJWVMNKHR-UHFFFAOYSA-N -1 1 305.323 1.109 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCOC2(CCC2)C1 ZINC000826472203 705804363 /nfs/dbraw/zinc/80/43/63/705804363.db2.gz CDVHPZXQXGVWGR-UHFFFAOYSA-N -1 1 305.363 1.323 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCOC2(CCC2)C1 ZINC000826472203 705804368 /nfs/dbraw/zinc/80/43/68/705804368.db2.gz CDVHPZXQXGVWGR-UHFFFAOYSA-N -1 1 305.363 1.323 20 0 DDADMM CC[C@@H]1CN2CCCC[C@H]2CN1C(=O)[C@@H](C)CN(C)C(=O)[O-] ZINC000826690906 705847496 /nfs/dbraw/zinc/84/74/96/705847496.db2.gz MNQUDVJYXIGNGR-MJBXVCDLSA-N -1 1 311.426 1.708 20 0 DDADMM C[C@H]1C[N@@H+](CCOc2ccccc2C(=O)[O-])CC[C@H]1C(=O)[O-] ZINC000863531691 705949896 /nfs/dbraw/zinc/94/98/96/705949896.db2.gz AYFXTPBMFMIVPB-NWDGAFQWSA-N -1 1 307.346 1.806 20 0 DDADMM CC[C@@H](CC(C)C)C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000835292379 707215901 /nfs/dbraw/zinc/21/59/01/707215901.db2.gz INJLXSVCSSKILJ-LBPRGKRZSA-N -1 1 320.393 1.884 20 0 DDADMM CC1(C)C[C@@]1(C(=O)[O-])C(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000864057244 706054151 /nfs/dbraw/zinc/05/41/51/706054151.db2.gz INQKWYRRDORAJD-KRWDZBQOSA-N -1 1 324.421 1.201 20 0 DDADMM N[C@@H](C(=O)N1CC[C@@H](C(=O)[O-])C1)c1cccc(C(F)(F)F)c1 ZINC000864606810 706210292 /nfs/dbraw/zinc/21/02/92/706210292.db2.gz GMBAWYBHEPJKOG-MWLCHTKSSA-N -1 1 316.279 1.638 20 0 DDADMM O=S1(=O)C[C@@H]2CCN(Cc3cccc([O-])c3Cl)C[C@H]2C1 ZINC000877790534 706215337 /nfs/dbraw/zinc/21/53/37/706215337.db2.gz NFZAPJMUTJUFHJ-RYUDHWBXSA-N -1 1 315.822 1.912 20 0 DDADMM CCOc1ccc(CNCc2cn(C)nc2C(=O)[O-])cc1OC ZINC000864782968 706262008 /nfs/dbraw/zinc/26/20/08/706262008.db2.gz OQHXKVWULLMGRT-UHFFFAOYSA-N -1 1 319.361 1.815 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCC[C@@H]2CCOC2)co1 ZINC000828961806 706263288 /nfs/dbraw/zinc/26/32/88/706263288.db2.gz UMSFKEFTGLRZQH-SNVBAGLBSA-N -1 1 317.363 1.161 20 0 DDADMM C[C@@]1(CCNc2nc3[nH][n-]cc-3c(=O)n2)CC1(Cl)Cl ZINC000829059744 706282124 /nfs/dbraw/zinc/28/21/24/706282124.db2.gz XOWXHNDIOKRKTK-SNVBAGLBSA-N -1 1 302.165 1.818 20 0 DDADMM CC(C)OC(=O)[C@@H](C)N(C)Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878190991 706320602 /nfs/dbraw/zinc/32/06/02/706320602.db2.gz MSYQPVYWLHZCTP-SNVBAGLBSA-N -1 1 309.366 1.027 20 0 DDADMM COC(=O)C1(N(C)Cc2nc3c(c(=O)[n-]2)COCC3)CCCC1 ZINC000878273496 706344290 /nfs/dbraw/zinc/34/42/90/706344290.db2.gz NKBCSYVNYFFSPN-UHFFFAOYSA-N -1 1 321.377 1.173 20 0 DDADMM Cc1nccc(CCC(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000829720847 706382871 /nfs/dbraw/zinc/38/28/71/706382871.db2.gz GXGRWTVHSLIEAU-UHFFFAOYSA-N -1 1 319.283 1.329 20 0 DDADMM O=S(=O)([N-]CCSC(F)(F)F)c1c[nH]nc1Cl ZINC000830018598 706438200 /nfs/dbraw/zinc/43/82/00/706438200.db2.gz OQZICMWLKUDHLI-UHFFFAOYSA-N -1 1 309.722 1.594 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830779721 706578467 /nfs/dbraw/zinc/57/84/67/706578467.db2.gz XDRWDDUQQJCSBZ-MRVPVSSYSA-N -1 1 321.786 1.073 20 0 DDADMM COC[C@H](C)[C@H](C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830802198 706582793 /nfs/dbraw/zinc/58/27/93/706582793.db2.gz QZQORNDDJJLHFB-OUJBWJOFSA-N -1 1 324.343 1.575 20 0 DDADMM Cc1[nH]ccc1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830822653 706587051 /nfs/dbraw/zinc/58/70/51/706587051.db2.gz RGADFGJAYPQPDC-LBPRGKRZSA-N -1 1 303.284 1.606 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@H]1CCC(F)(F)C1 ZINC000866970644 706860126 /nfs/dbraw/zinc/86/01/26/706860126.db2.gz UBRJDCKFRITUDT-QMMMGPOBSA-N -1 1 302.368 1.270 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCCCC23OCCO3)sn1 ZINC000866993057 706866724 /nfs/dbraw/zinc/86/67/24/706866724.db2.gz ZSGXELBPSOPQBQ-JTQLQIEISA-N -1 1 318.420 1.416 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1cccc(F)c1F ZINC000867038234 706878886 /nfs/dbraw/zinc/87/88/86/706878886.db2.gz ZHEBVRAIATXEQU-ZETCQYMHSA-N -1 1 312.363 1.588 20 0 DDADMM O=S(=O)([N-][C@H](CCO)c1ccco1)c1ccc(F)nc1F ZINC000867116574 706901186 /nfs/dbraw/zinc/90/11/86/706901186.db2.gz BXYKWEWUULMPJL-MRVPVSSYSA-N -1 1 318.301 1.355 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@]1(C)CCO[C@H]1C1CC1 ZINC000867117725 706901512 /nfs/dbraw/zinc/90/15/12/706901512.db2.gz HRZGWCYMZZZLMT-ZKTNFTSUSA-N -1 1 324.468 1.144 20 0 DDADMM CCOC[C@H](C)[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867131115 706906117 /nfs/dbraw/zinc/90/61/17/706906117.db2.gz RHGOIEWPQONIQW-ZETCQYMHSA-N -1 1 315.317 1.142 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc([O-])cc2F)C[C@@H]1N1CCOCC1 ZINC000880497292 706995954 /nfs/dbraw/zinc/99/59/54/706995954.db2.gz YTIACRKRRUTWKU-CABCVRRESA-N -1 1 322.380 1.760 20 0 DDADMM Cn1cc(NS(=O)(=O)c2ccc3c(c2)COC3)cc1C(=O)[O-] ZINC000833052805 707000044 /nfs/dbraw/zinc/00/00/44/707000044.db2.gz KAIIDCMOJWJGNI-UHFFFAOYSA-N -1 1 322.342 1.554 20 0 DDADMM O=S(=O)([N-]CC[C@@H]1CCCOC1)c1ccc(F)nc1F ZINC000867553278 707037644 /nfs/dbraw/zinc/03/76/44/707037644.db2.gz VNHSHUMZIWCLGM-VIFPVBQESA-N -1 1 306.334 1.455 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1ccc(CN2CCCCC2)o1)C1CC1 ZINC000909042811 712924480 /nfs/dbraw/zinc/92/44/80/712924480.db2.gz KSMNVFIKVHCJIX-CQSZACIVSA-N -1 1 306.362 1.859 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)C[C@@H](O)C(Cl)(Cl)Cl ZINC000909054448 712926869 /nfs/dbraw/zinc/92/68/69/712926869.db2.gz OOXISEPKNVNPLN-NKWVEPMBSA-N -1 1 308.589 1.172 20 0 DDADMM CCO[C@@H](C(=O)Nc1nc(Cl)ccc1[O-])[C@H]1CCOC1 ZINC000867713075 707082843 /nfs/dbraw/zinc/08/28/43/707082843.db2.gz METIQSIEHNDZKV-GZMMTYOYSA-N -1 1 300.742 1.821 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCN(CCF)C1)c1ccc(F)nc1F ZINC000867714126 707083184 /nfs/dbraw/zinc/08/31/84/707083184.db2.gz YDUKWIVKSUNSGA-SECBINFHSA-N -1 1 323.340 1.072 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)C=CCOC)n[n-]1 ZINC000834686196 707102870 /nfs/dbraw/zinc/10/28/70/707102870.db2.gz FLDRHPVMZZPHBB-ZADCQDASSA-N -1 1 324.381 1.387 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)C=CCOC)[n-]1 ZINC000834686196 707102872 /nfs/dbraw/zinc/10/28/72/707102872.db2.gz FLDRHPVMZZPHBB-ZADCQDASSA-N -1 1 324.381 1.387 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)/C=C\COC)n1 ZINC000834686196 707102874 /nfs/dbraw/zinc/10/28/74/707102874.db2.gz FLDRHPVMZZPHBB-ZADCQDASSA-N -1 1 324.381 1.387 20 0 DDADMM C[C@H]([C@@H](C)C(=O)NCc1ccc([O-])c(Cl)c1)S(C)(=O)=O ZINC000834825273 707131405 /nfs/dbraw/zinc/13/14/05/707131405.db2.gz JFTHWPDCFCLZOP-RKDXNWHRSA-N -1 1 319.810 1.731 20 0 DDADMM O=C([O-])c1cc(NCCc2nc3ccc(F)cc3[nH]2)ncn1 ZINC000871594706 707132646 /nfs/dbraw/zinc/13/26/46/707132646.db2.gz SIYKQSREIMQONW-UHFFFAOYSA-N -1 1 301.281 1.845 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC(F)CC1 ZINC000881608220 707304425 /nfs/dbraw/zinc/30/44/25/707304425.db2.gz OEOFQRRDVYZZMV-UHFFFAOYSA-N -1 1 306.341 1.555 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccoc1)c1c(F)ccc([O-])c1F ZINC000881717869 707332965 /nfs/dbraw/zinc/33/29/65/707332965.db2.gz FCCODEDLICABIK-JTQLQIEISA-N -1 1 311.284 1.844 20 0 DDADMM CCCCC[C@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OC ZINC000881975332 707451351 /nfs/dbraw/zinc/45/13/51/707451351.db2.gz NOPFVFDPJHOPGO-JTQLQIEISA-N -1 1 318.370 1.621 20 0 DDADMM CCN(CC(=O)NC[C@@H](Cc1ccccc1)C(=O)[O-])C1CC1 ZINC000909179457 712955956 /nfs/dbraw/zinc/95/59/56/712955956.db2.gz NFJKBGCZDNWCDK-CQSZACIVSA-N -1 1 304.390 1.530 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)[N-]OC(C)(C)CO)CC2=O)cc1F ZINC000836800821 707517853 /nfs/dbraw/zinc/51/78/53/707517853.db2.gz DRWADTGFOMRPAC-LLVKDONJSA-N -1 1 324.352 1.306 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-][C@@H](C1CC1)C(F)(F)F ZINC000882201924 707535256 /nfs/dbraw/zinc/53/52/56/707535256.db2.gz PUVMNQLFIDPCGP-JTQLQIEISA-N -1 1 311.329 1.602 20 0 DDADMM C[C@H](F)CC[N-]S(=O)(=O)N=[S@@](C)(=O)C1CCCCC1 ZINC000882221663 707544858 /nfs/dbraw/zinc/54/48/58/707544858.db2.gz OFDBXPLHOIVURA-YPMLDQLKSA-N -1 1 314.448 1.999 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-][C@@]1(C)CC(C)(C)OC1=O ZINC000882223451 707546208 /nfs/dbraw/zinc/54/62/08/707546208.db2.gz MIGPFOYRXBJRFD-FZMZJTMJSA-N -1 1 321.439 1.451 20 0 DDADMM CCN(C)C(=O)[C@@H]([N-]S(=O)(=O)c1cccnc1F)C(C)C ZINC000882229363 707548953 /nfs/dbraw/zinc/54/89/53/707548953.db2.gz JLZUIIWSVRVJKG-NSHDSACASA-N -1 1 317.386 1.002 20 0 DDADMM C[N@H+]1CCCC(C)(C)[C@@H]1CNC(=O)N(CC(=O)[O-])C1CCC1 ZINC000909210676 712964530 /nfs/dbraw/zinc/96/45/30/712964530.db2.gz ONSOAGFUNBVDDV-ZDUSSCGKSA-N -1 1 311.426 1.756 20 0 DDADMM CCn1cc(CNC(=O)NCc2ccc([O-])c(Cl)c2)nn1 ZINC000873066351 707716314 /nfs/dbraw/zinc/71/63/14/707716314.db2.gz URIGWHCHLPNDEJ-UHFFFAOYSA-N -1 1 309.757 1.656 20 0 DDADMM C[C@@H](C(=O)NCc1nc(C(=O)[O-])cs1)N1CCCCCC1 ZINC000909257456 712975613 /nfs/dbraw/zinc/97/56/13/712975613.db2.gz AWNCUECQOKGVLE-JTQLQIEISA-N -1 1 311.407 1.722 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000909306120 712987085 /nfs/dbraw/zinc/98/70/85/712987085.db2.gz QEMWFRYGECUXLZ-CQSZACIVSA-N -1 1 304.281 1.111 20 0 DDADMM CC(C)(C)OC(=O)N1CCCC[C@H](COC(=O)c2cn[n-]n2)C1 ZINC000909310665 712988181 /nfs/dbraw/zinc/98/81/81/712988181.db2.gz BGHSNCSSQUFCFY-NSHDSACASA-N -1 1 324.381 1.999 20 0 DDADMM COc1cccc2c(C(=O)OCc3nn(C)cc3C)[nH]nc21 ZINC000909329562 712993151 /nfs/dbraw/zinc/99/31/51/712993151.db2.gz CQXYNSWTKLPLBI-UHFFFAOYSA-N -1 1 300.318 1.970 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)NCCc1cccc(C(=O)[O-])c1 ZINC000909464224 713024813 /nfs/dbraw/zinc/02/48/13/713024813.db2.gz ISOKUDXRDSZTKO-CYBMUJFWSA-N -1 1 320.389 1.012 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC([C@H]2COC(=O)N2)CC1 ZINC000884909634 708416248 /nfs/dbraw/zinc/41/62/48/708416248.db2.gz DRRPFKWPNCGYDN-GFCCVEGCSA-N -1 1 308.309 1.492 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccns2)C12CCC2 ZINC000885031784 708448911 /nfs/dbraw/zinc/44/89/11/708448911.db2.gz OJGPRJTWIVMCBT-VHSXEESVSA-N -1 1 302.421 1.769 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@@H](C)[C@@H]1C ZINC000912528612 713030682 /nfs/dbraw/zinc/03/06/82/713030682.db2.gz YWHXSJOLNPKMHX-WCABBAIRSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)c1ccc(C)cc1 ZINC000912533647 713031639 /nfs/dbraw/zinc/03/16/39/713031639.db2.gz HGMYAXOJXDFUTP-JTQLQIEISA-N -1 1 323.374 1.544 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCC(F)(F)C1 ZINC000912543194 713033224 /nfs/dbraw/zinc/03/32/24/713033224.db2.gz SWTGTWZQGQWWAW-ZETCQYMHSA-N -1 1 323.321 1.053 20 0 DDADMM CC[C@H]1CCC[C@H]([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)C1 ZINC000912542427 713033290 /nfs/dbraw/zinc/03/32/90/713033290.db2.gz NHLBRQZDYQJBSU-UWVGGRQHSA-N -1 1 315.395 1.443 20 0 DDADMM CS(=O)(=O)C1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000897937022 708499017 /nfs/dbraw/zinc/49/90/17/708499017.db2.gz DKIGDIZPBZWDIS-UHFFFAOYSA-N -1 1 321.354 1.120 20 0 DDADMM O=S(=O)(NC[C@H]1CC[N@@H+]1C1CCCCC1)c1ccns1 ZINC000885319476 708518825 /nfs/dbraw/zinc/51/88/25/708518825.db2.gz MCOXITUCDBXODY-GFCCVEGCSA-N -1 1 315.464 1.828 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000885379026 708530586 /nfs/dbraw/zinc/53/05/86/708530586.db2.gz PFHLBLIIQRGMSI-BDAKNGLRSA-N -1 1 320.361 1.546 20 0 DDADMM COC(=O)C(C)(C)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000885405114 708537320 /nfs/dbraw/zinc/53/73/20/708537320.db2.gz ACQTTXOYONCQTN-UHFFFAOYSA-N -1 1 311.359 1.910 20 0 DDADMM CCOC(=O)C1(C[N-]S(=O)(=O)c2nc(C)c(C)s2)CC1 ZINC000885430512 708543740 /nfs/dbraw/zinc/54/37/40/708543740.db2.gz KBJNXFMSKORKIK-UHFFFAOYSA-N -1 1 318.420 1.382 20 0 DDADMM O=S(=O)([N-][C@]12C[C@H]1COC2)c1cc(Cl)cnc1Cl ZINC000885491088 708558452 /nfs/dbraw/zinc/55/84/52/708558452.db2.gz MWGMIFNJEJZDPN-WKEGUHRASA-N -1 1 309.174 1.456 20 0 DDADMM O=C(N[C@H]([C@H]1CCOC1)C(F)(F)F)C(=O)c1ccc([O-])cc1 ZINC000885526982 708567550 /nfs/dbraw/zinc/56/75/50/708567550.db2.gz FRKIQSNFAOCDOZ-JOYOIKCWSA-N -1 1 317.263 1.659 20 0 DDADMM CN1C[C@H](CCNC(=O)c2ncc3ccccc3c2[O-])CC1=O ZINC000927739193 713042956 /nfs/dbraw/zinc/04/29/56/713042956.db2.gz IEUUAZOIQLEFMZ-LLVKDONJSA-N -1 1 313.357 1.539 20 0 DDADMM C[C@@H](CS(C)(=O)=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266691 708732534 /nfs/dbraw/zinc/73/25/34/708732534.db2.gz VLYOTSMDCRTFFP-QMMMGPOBSA-N -1 1 321.345 1.010 20 0 DDADMM CC(C)C[C@@H](C(N)=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886269614 708733517 /nfs/dbraw/zinc/73/35/17/708733517.db2.gz AUEOKOZGPQMXIV-NSHDSACASA-N -1 1 314.332 1.477 20 0 DDADMM CN(CC1(O)CCC1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927785172 713055723 /nfs/dbraw/zinc/05/57/23/713055723.db2.gz XPQUVPISQDXRTI-UHFFFAOYSA-N -1 1 314.332 1.769 20 0 DDADMM Cc1ccc(C)c(SCC(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC000898754052 708855540 /nfs/dbraw/zinc/85/55/40/708855540.db2.gz SWMNGFQZZPCNGS-UHFFFAOYSA-N -1 1 319.386 1.913 20 0 DDADMM C[C@@H](CCc1ccc(F)cc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898754752 708855685 /nfs/dbraw/zinc/85/56/85/708855685.db2.gz KKTGEKGHYXZDND-JTQLQIEISA-N -1 1 319.336 1.912 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CCc2c1cccc2Cl ZINC000898756780 708856495 /nfs/dbraw/zinc/85/64/95/708856495.db2.gz LUUBVWFNJWLVSV-SNVBAGLBSA-N -1 1 319.748 1.887 20 0 DDADMM Cc1ccsc1[C@H]1C[C@@H]1C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898758794 708857307 /nfs/dbraw/zinc/85/73/07/708857307.db2.gz ZFOCUWLDQAAIOO-IUCAKERBSA-N -1 1 305.359 1.678 20 0 DDADMM Cc1cnc(C(=O)N2CCOc3ccccc3[C@@H]2CO)c([O-])c1 ZINC000887113422 708958913 /nfs/dbraw/zinc/95/89/13/708958913.db2.gz UPWMROVCKCZHBN-ZDUSSCGKSA-N -1 1 314.341 1.664 20 0 DDADMM CCSCc1cccnc1NC(=O)CCCc1nn[n-]n1 ZINC000899230218 709028182 /nfs/dbraw/zinc/02/81/82/709028182.db2.gz LMJLXZSMDMSFMY-UHFFFAOYSA-N -1 1 306.395 1.809 20 0 DDADMM COC[C@@H](NC(=O)c1c(F)ccc([O-])c1F)[C@@H]1CCCOC1 ZINC000899655164 709140828 /nfs/dbraw/zinc/14/08/28/709140828.db2.gz LEENLEXMBMGFFH-MWLCHTKSSA-N -1 1 315.316 1.842 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@H]([C@H]4CCCO4)C3)ccnc1-2 ZINC000888055642 709202420 /nfs/dbraw/zinc/20/24/20/709202420.db2.gz CCFWMMSFWJUOHV-SMDDNHRTSA-N -1 1 315.377 1.375 20 0 DDADMM CCOC(=O)C1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000899915452 709236505 /nfs/dbraw/zinc/23/65/05/709236505.db2.gz JFMUTVCWXCFUHJ-UHFFFAOYSA-N -1 1 319.361 1.475 20 0 DDADMM O=C([N-]OCc1ccccn1)[C@H]1CCCN1Cc1ccccn1 ZINC000909530082 709503659 /nfs/dbraw/zinc/50/36/59/709503659.db2.gz ADCSBJPFKJBECK-MRXNPFEDSA-N -1 1 312.373 1.689 20 0 DDADMM CSCC[C@H](NC(=O)CSCCC(C)C)c1nn[n-]n1 ZINC000912860223 713109641 /nfs/dbraw/zinc/10/96/41/713109641.db2.gz LYTIPCABXPXHHO-JTQLQIEISA-N -1 1 317.484 1.889 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](C)c1ccsc1)c1nn[n-]n1 ZINC000912861214 713109923 /nfs/dbraw/zinc/10/99/23/713109923.db2.gz HCFUDIFQXGMCEO-SCZZXKLOSA-N -1 1 311.436 1.975 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CCCn2nncc2C1 ZINC000889644759 709572371 /nfs/dbraw/zinc/57/23/71/709572371.db2.gz ISBDSSDRINGPQF-UHFFFAOYSA-N -1 1 321.768 1.753 20 0 DDADMM CC1(C)C[C@@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)C(C)(C)O1 ZINC000909724297 709597031 /nfs/dbraw/zinc/59/70/31/709597031.db2.gz POKBRNLLEXEZEE-NWDGAFQWSA-N -1 1 312.410 1.245 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@H](CC(F)F)C(=O)[O-])c2C1 ZINC000909775738 709614031 /nfs/dbraw/zinc/61/40/31/709614031.db2.gz QULOQVVVXBTEGV-HZGVNTEJSA-N -1 1 301.293 1.373 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2[nH]ccc2C)[n-]c1=O ZINC000889788063 709636196 /nfs/dbraw/zinc/63/61/96/709636196.db2.gz VNKZCKRECCHANQ-SNVBAGLBSA-N -1 1 302.334 1.805 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C2=C(C)OCCC2)[n-]c1=O ZINC000889791018 709637446 /nfs/dbraw/zinc/63/74/46/709637446.db2.gz WUPIEWZCPFCKQM-LBPRGKRZSA-N -1 1 319.361 1.939 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cccnc2F)[n-]c1=O ZINC000889791248 709637486 /nfs/dbraw/zinc/63/74/86/709637486.db2.gz YRGVGXILVDKOIA-SNVBAGLBSA-N -1 1 318.308 1.702 20 0 DDADMM C[C@]1(C(=O)[O-])CCCN(C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC000909841383 709647845 /nfs/dbraw/zinc/64/78/45/709647845.db2.gz QQSUIODGUWWUKP-NSHDSACASA-N -1 1 305.256 1.755 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)Nc1ccc([C@@H](C)C(=O)[O-])cc1 ZINC000909842398 709648420 /nfs/dbraw/zinc/64/84/20/709648420.db2.gz ZENJPIWADDSDQM-CHWSQXEVSA-N -1 1 320.389 1.782 20 0 DDADMM Cn1nnnc1CCOC(=O)c1cc(Cl)cc(Cl)c1[O-] ZINC000909860528 709656734 /nfs/dbraw/zinc/65/67/34/709656734.db2.gz RCGJEKFIUROQIY-UHFFFAOYSA-N -1 1 317.132 1.622 20 0 DDADMM CC[C@@H]1CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)[C@@H](CC)CO1 ZINC000909874734 709664273 /nfs/dbraw/zinc/66/42/73/709664273.db2.gz KWQGNSPCHPJMLR-HZSPNIEDSA-N -1 1 312.410 1.199 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NC[C@@H](CC1CC1)C(=O)[O-] ZINC000909883466 709668674 /nfs/dbraw/zinc/66/86/74/709668674.db2.gz LTRPZQPPFRXZKA-MRXNPFEDSA-N -1 1 318.417 1.778 20 0 DDADMM C[C@@H]1CN(C(=O)c2cnc(-c3ccccc3)[nH]2)C[C@H](C(=O)[O-])O1 ZINC000909894370 709674462 /nfs/dbraw/zinc/67/44/62/709674462.db2.gz BATITMYKNVQGJJ-ZWNOBZJWSA-N -1 1 315.329 1.391 20 0 DDADMM CN(CC(=O)N1Cc2ccc(C(=O)[O-])cc2C1)[C@H]1CCSC1 ZINC000910040058 709745742 /nfs/dbraw/zinc/74/57/42/709745742.db2.gz NRXZUFMYSMNUJT-AWEZNQCLSA-N -1 1 320.414 1.664 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N(CC(=O)[O-])C2CCCC2)C1 ZINC000910062399 709761124 /nfs/dbraw/zinc/76/11/24/709761124.db2.gz KKNQALHZPOWQGJ-GWCFXTLKSA-N -1 1 305.378 1.931 20 0 DDADMM C[N@H+]1CCCC[C@@H]1C(=O)N1CCc2c(cccc2C(=O)[O-])C1 ZINC000910067233 709762604 /nfs/dbraw/zinc/76/26/04/709762604.db2.gz ARCRCEWIQNKWLH-OAHLLOKOSA-N -1 1 302.374 1.754 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CCc2c(cccc2C(=O)[O-])C1 ZINC000910067233 709762608 /nfs/dbraw/zinc/76/26/08/709762608.db2.gz ARCRCEWIQNKWLH-OAHLLOKOSA-N -1 1 302.374 1.754 20 0 DDADMM C[C@@H](C(=O)N1CC([C@H](F)C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000910129294 709783624 /nfs/dbraw/zinc/78/36/24/709783624.db2.gz LKDWKNPSWLDLKA-NHYWBVRUSA-N -1 1 320.364 1.314 20 0 DDADMM CCC(C)(C)OCC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910176164 709797911 /nfs/dbraw/zinc/79/79/11/709797911.db2.gz ZHPLNMFFPVYIIL-GFCCVEGCSA-N -1 1 300.399 1.199 20 0 DDADMM Cc1ncsc1/C=C\C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910179962 709799845 /nfs/dbraw/zinc/79/98/45/709799845.db2.gz PFMNKUABGAWDPK-BYCRGOAPSA-N -1 1 323.418 1.472 20 0 DDADMM CO[C@]1(C)C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1(C)C ZINC000900910605 709823988 /nfs/dbraw/zinc/82/39/88/709823988.db2.gz RXPOZROGFXYRGX-BDJLRTHQSA-N -1 1 305.378 1.993 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc(CC2CC2)s1)c1nn[n-]n1 ZINC000900984159 709862244 /nfs/dbraw/zinc/86/22/44/709862244.db2.gz MLACMMDXNLJBLS-SECBINFHSA-N -1 1 306.395 1.880 20 0 DDADMM Cc1nc([N-]C(=O)c2nnc(C3CC3)o2)n(-c2ccccc2)n1 ZINC000900995762 709870331 /nfs/dbraw/zinc/87/03/31/709870331.db2.gz RODQBRCVLPOXEV-UHFFFAOYSA-N -1 1 310.317 1.510 20 0 DDADMM CN(C[C@@H](O)C1CC1)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901003383 709873744 /nfs/dbraw/zinc/87/37/44/709873744.db2.gz GSSURTQNTMQZPP-CYBMUJFWSA-N -1 1 304.321 1.922 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCO[C@@](C)(C(=O)[O-])C1 ZINC000910323713 709891297 /nfs/dbraw/zinc/89/12/97/709891297.db2.gz PISXLBUUMRYTRG-LLVKDONJSA-N -1 1 321.255 1.053 20 0 DDADMM COCCN(CCC(=O)OC(C)(C)C)C(=O)c1cncc([O-])c1 ZINC000890660716 709942205 /nfs/dbraw/zinc/94/22/05/709942205.db2.gz IOLDEHIRLWXWND-UHFFFAOYSA-N -1 1 324.377 1.608 20 0 DDADMM O=C([O-])C12CC(C1)CN2c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000910465262 709956294 /nfs/dbraw/zinc/95/62/94/709956294.db2.gz ABEPQWRKAGLMSE-UHFFFAOYSA-N -1 1 313.239 1.425 20 0 DDADMM CN1CCCC[C@H]1C(=O)NC[C@@H](Oc1ccccc1)C(=O)[O-] ZINC000910487695 709969025 /nfs/dbraw/zinc/96/90/25/709969025.db2.gz YOBUSMHZFXHNTJ-UONOGXRCSA-N -1 1 306.362 1.119 20 0 DDADMM CC1(C)CO[C@@H](CCNC(=O)CN2CCC[C@H](C(=O)[O-])C2)C1 ZINC000910541617 709990856 /nfs/dbraw/zinc/99/08/56/709990856.db2.gz JZQXLKYONVTEAR-STQMWFEESA-N -1 1 312.410 1.104 20 0 DDADMM CC[C@@H]1CN(C(=O)CCCCc2cn[nH]n2)C[C@@]1(F)C(=O)[O-] ZINC000910549412 709994951 /nfs/dbraw/zinc/99/49/51/709994951.db2.gz OFPNGNKVGJQPSX-YGRLFVJLSA-N -1 1 312.345 1.179 20 0 DDADMM O=C([O-])C[C@H](NC(=O)[C@H]1CCc2nc[nH]c2C1)C1CCCC1 ZINC000910558067 709998927 /nfs/dbraw/zinc/99/89/27/709998927.db2.gz AWZXVMPOXNZOIW-AAEUAGOBSA-N -1 1 305.378 1.664 20 0 DDADMM CO[C@](C)(CN(C)CC(=O)N1CCC[C@@H](C(=O)[O-])C1)C1CC1 ZINC000901623207 710099252 /nfs/dbraw/zinc/09/92/52/710099252.db2.gz GMJMPYFRXVBDNS-MLGOLLRUSA-N -1 1 312.410 1.057 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1C[C@@H](C(=O)[O-])CC[C@H]1C ZINC000901649345 710107798 /nfs/dbraw/zinc/10/77/98/710107798.db2.gz GDXAGJPNPOHCBC-NJBDSQKTSA-N -1 1 305.378 1.787 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])[C@@H]1CCCCS1(=O)=O ZINC000910982037 710140334 /nfs/dbraw/zinc/14/03/34/710140334.db2.gz HSIBUNWSIJEDMH-JTQLQIEISA-N -1 1 305.302 1.576 20 0 DDADMM CC1(C)OC[C@H]2C[C@]21C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891612557 710248194 /nfs/dbraw/zinc/24/81/94/710248194.db2.gz BVALIHCJJYUGCZ-JDNHERCYSA-N -1 1 303.362 1.815 20 0 DDADMM Cn1ncc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)c1C1CC1 ZINC000891624835 710251690 /nfs/dbraw/zinc/25/16/90/710251690.db2.gz SEBCUEKJHDBZHQ-UHFFFAOYSA-N -1 1 313.361 1.924 20 0 DDADMM CCOC(=O)[C@H](COC)NC(=O)c1ncc2ccccc2c1[O-] ZINC000928303834 713169578 /nfs/dbraw/zinc/16/95/78/713169578.db2.gz WOTWZGOYWZADHN-LBPRGKRZSA-N -1 1 318.329 1.248 20 0 DDADMM CN(C(=O)c1ccc2ccccc2c1[O-])C1CS(=O)(=O)C1 ZINC000913144370 713170743 /nfs/dbraw/zinc/17/07/43/713170743.db2.gz JNTRGJPKJTXXGI-UHFFFAOYSA-N -1 1 305.355 1.414 20 0 DDADMM CC(=O)N[C@](C)(C(=O)Nc1n[n-]c(C(F)(F)F)n1)C(C)C ZINC000892153900 710382001 /nfs/dbraw/zinc/38/20/01/710382001.db2.gz UFSLDVOZWRXUHS-JTQLQIEISA-N -1 1 307.276 1.313 20 0 DDADMM CN(C(=O)c1ccccc1COC1CCOCC1)c1nn[n-]n1 ZINC000892955116 710531776 /nfs/dbraw/zinc/53/17/76/710531776.db2.gz WOYJMAGEOMPPRE-UHFFFAOYSA-N -1 1 317.349 1.172 20 0 DDADMM COCc1nc(N2CCC(CC(=O)N(C)C)CC2)cc(=O)[n-]1 ZINC000893113515 710556951 /nfs/dbraw/zinc/55/69/51/710556951.db2.gz JPDTWQFPGFLJTK-UHFFFAOYSA-N -1 1 308.382 1.023 20 0 DDADMM C[C@@H](CNCc1ncc(Br)cc1[O-])S(C)(=O)=O ZINC000893240685 710577869 /nfs/dbraw/zinc/57/78/69/710577869.db2.gz GUNIFAYAVAVGST-ZETCQYMHSA-N -1 1 323.212 1.072 20 0 DDADMM O=C([O-])c1cnc([C@@H]2CCN(C(=O)CCc3cnc[nH]3)C2)s1 ZINC000911039772 710606348 /nfs/dbraw/zinc/60/63/48/710606348.db2.gz NEDBCRLGBCUQNK-SECBINFHSA-N -1 1 320.374 1.513 20 0 DDADMM C[C@@H](C(=O)N[C@H](C(=O)[O-])c1ccc(C(F)(F)F)cc1)N(C)C ZINC000911060707 710616188 /nfs/dbraw/zinc/61/61/88/710616188.db2.gz GBZPNCFPILGYTQ-KWQFWETISA-N -1 1 318.295 1.897 20 0 DDADMM O=C([O-])c1cc(CNCCN2CCc3ccccc3C2)on1 ZINC000902112915 710620251 /nfs/dbraw/zinc/62/02/51/710620251.db2.gz QVXFTRKWGAWSBY-UHFFFAOYSA-N -1 1 301.346 1.521 20 0 DDADMM COCc1nc(N[C@H](CO)Cc2ccc(C)cc2)cc(=O)[n-]1 ZINC000893406320 710641531 /nfs/dbraw/zinc/64/15/31/710641531.db2.gz LACXQNNTUPKNAL-ZDUSSCGKSA-N -1 1 303.362 1.653 20 0 DDADMM Cc1cccc(C)c1OC[C@@H](C)NCc1cn(CC(=O)[O-])nn1 ZINC000902164060 710642182 /nfs/dbraw/zinc/64/21/82/710642182.db2.gz BWUSWNWCQKAERX-CYBMUJFWSA-N -1 1 318.377 1.537 20 0 DDADMM Cc1cccc(OC[C@H](O)CNCc2cc(C(=O)[O-])co2)c1 ZINC000902176394 710649705 /nfs/dbraw/zinc/64/97/05/710649705.db2.gz DLUNZCHCPQDYAW-CYBMUJFWSA-N -1 1 305.330 1.816 20 0 DDADMM CNC(=O)[C@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000911183582 710673371 /nfs/dbraw/zinc/67/33/71/710673371.db2.gz ALEKLBLXOKXTFB-VIFPVBQESA-N -1 1 317.320 1.288 20 0 DDADMM CN(C)[C@@H](CNC(=O)Cn1ccc(C(=O)[O-])n1)c1ccsc1 ZINC000911192373 710676854 /nfs/dbraw/zinc/67/68/54/710676854.db2.gz CQBKZNBDHYSTTD-LBPRGKRZSA-N -1 1 322.390 1.062 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1cc(C(=O)[O-])ccn1)c1ccsc1 ZINC000911192435 710677311 /nfs/dbraw/zinc/67/73/11/710677311.db2.gz DYTYDQZYQCOVCF-ZDUSSCGKSA-N -1 1 319.386 1.874 20 0 DDADMM COCC[N@H+]1CCCN(C(=O)Cc2ccc(C(=O)[O-])cc2)CC1 ZINC000911212388 710688360 /nfs/dbraw/zinc/68/83/60/710688360.db2.gz ZVZIBZTXGADUHB-UHFFFAOYSA-N -1 1 320.389 1.108 20 0 DDADMM COCCN1CCCN(C(=O)Cc2ccc(C(=O)[O-])cc2)CC1 ZINC000911212388 710688364 /nfs/dbraw/zinc/68/83/64/710688364.db2.gz ZVZIBZTXGADUHB-UHFFFAOYSA-N -1 1 320.389 1.108 20 0 DDADMM O=C([O-])Cn1cc(CNCC2(c3ccccc3F)CCC2)nn1 ZINC000902341160 710722605 /nfs/dbraw/zinc/72/26/05/710722605.db2.gz OVMSAAPHGQMZQR-UHFFFAOYSA-N -1 1 318.352 1.713 20 0 DDADMM Cc1ccc(CN2CC[C@H](NC(=O)CSCC(=O)[O-])C2)cc1 ZINC000902385686 710738858 /nfs/dbraw/zinc/73/88/58/710738858.db2.gz IIPKKMAZZZXYEK-AWEZNQCLSA-N -1 1 322.430 1.503 20 0 DDADMM COCc1nc(N2C[C@@H](C)O[C@]3(CCCOC3)C2)cc(=O)[n-]1 ZINC000893647427 710762715 /nfs/dbraw/zinc/76/27/15/710762715.db2.gz UOPPIPLEQTZQJH-IAQYHMDHSA-N -1 1 309.366 1.103 20 0 DDADMM O=C([O-])C1(C(=O)N[C@@H]2CCCc3cn[nH]c32)CC2(CCC2)C1 ZINC000911385281 710772500 /nfs/dbraw/zinc/77/25/00/710772500.db2.gz QMQKTFUYXZZQCT-LLVKDONJSA-N -1 1 303.362 1.938 20 0 DDADMM CN(C(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccc2cc[nH]c2c1 ZINC000911409271 710785577 /nfs/dbraw/zinc/78/55/77/710785577.db2.gz ZXRUJHKRQVBJJE-ZDUSSCGKSA-N -1 1 315.373 1.927 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(Cc2cncs2)CC1 ZINC000913411170 713210660 /nfs/dbraw/zinc/21/06/60/713210660.db2.gz CJJAOFGSWMQIOD-UHFFFAOYSA-N -1 1 321.377 1.946 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)C1(C(F)F)CCC1 ZINC000913437870 713215586 /nfs/dbraw/zinc/21/55/86/713215586.db2.gz PALGVIZRYKIGQH-JTQLQIEISA-N -1 1 307.304 1.841 20 0 DDADMM COCc1nc(N2CCOc3ccc(OC)cc3C2)cc(=O)[n-]1 ZINC000894074286 710952813 /nfs/dbraw/zinc/95/28/13/710952813.db2.gz MDBJJLOVTQKGDB-UHFFFAOYSA-N -1 1 317.345 1.736 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)c(F)c1 ZINC000911773885 710977451 /nfs/dbraw/zinc/97/74/51/710977451.db2.gz PPDSVQBBMFSDMS-SECBINFHSA-N -1 1 304.281 1.272 20 0 DDADMM Cc1cccc2cc(C(=O)N3CCOC[C@H]3c3nn[n-]n3)oc21 ZINC000913495088 713237817 /nfs/dbraw/zinc/23/78/17/713237817.db2.gz QSPQEKUCQVAUGF-NSHDSACASA-N -1 1 313.317 1.468 20 0 DDADMM C[C@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000913496178 713238471 /nfs/dbraw/zinc/23/84/71/713238471.db2.gz UUQZYVIJMVEJRF-CABZTGNLSA-N -1 1 305.313 1.042 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2CC2(Cl)Cl)cc(=O)[n-]1 ZINC000912246103 711242712 /nfs/dbraw/zinc/24/27/12/711242712.db2.gz COBLOIAFIVFFCR-LURJTMIESA-N -1 1 308.190 1.714 20 0 DDADMM O=C(c1cccc2ccsc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499290 713239497 /nfs/dbraw/zinc/23/94/97/713239497.db2.gz UYPJZVCZTNCVQE-NSHDSACASA-N -1 1 315.358 1.628 20 0 DDADMM COCc1nc(N[C@H]2Cc3ccccc3NC2=O)cc(=O)[n-]1 ZINC000894763994 711263494 /nfs/dbraw/zinc/26/34/94/711263494.db2.gz RZLXPPJZKCWJSK-NSHDSACASA-N -1 1 300.318 1.304 20 0 DDADMM COc1cc(C)c(CNCc2cnn(C)c2C(=O)[O-])cc1OC ZINC000904068026 711373358 /nfs/dbraw/zinc/37/33/58/711373358.db2.gz PLYPWNZVELLZDB-UHFFFAOYSA-N -1 1 319.361 1.734 20 0 DDADMM CN(C)C(=O)CN(C)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895521350 711532456 /nfs/dbraw/zinc/53/24/56/711532456.db2.gz IBCXWGWCBPIUBA-UHFFFAOYSA-N -1 1 302.330 1.411 20 0 DDADMM O=c1nc(NCC[C@H]2CC[C@H](C(F)(F)F)O2)nc2[nH][n-]cc1-2 ZINC000896013043 711664213 /nfs/dbraw/zinc/66/42/13/711664213.db2.gz WAWYOHQYXPYOQC-HTRCEHHLSA-N -1 1 317.271 1.344 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000896264630 711698451 /nfs/dbraw/zinc/69/84/51/711698451.db2.gz HYOAFMKHILPLFT-GIPNMCIBSA-N -1 1 318.377 1.243 20 0 DDADMM CN1CC[C@@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C1=O ZINC000896507080 711734847 /nfs/dbraw/zinc/73/48/47/711734847.db2.gz SNGYDCLIKJKHBN-CYBMUJFWSA-N -1 1 300.314 1.212 20 0 DDADMM O=S(=O)(CC1(F)CCC1)[N-]C[C@]12OCCC[C@H]1C2(F)F ZINC000917389896 713496114 /nfs/dbraw/zinc/49/61/14/713496114.db2.gz VCOUFHAJNWGEPS-KOLCDFICSA-N -1 1 313.341 1.612 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@]34CCO[C@H]3CCCC4)ccnc1-2 ZINC000904941249 711905136 /nfs/dbraw/zinc/90/51/36/711905136.db2.gz OXRFWFCQHSXFOH-XJKSGUPXSA-N -1 1 300.362 1.630 20 0 DDADMM O=C(c1ccc(OC(F)F)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742172 713291682 /nfs/dbraw/zinc/29/16/82/713291682.db2.gz LKTOQMIGBQFWFQ-UHFFFAOYSA-N -1 1 323.303 1.821 20 0 DDADMM Cc1cc(C)n([C@@H](C)CC(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913743014 713291951 /nfs/dbraw/zinc/29/19/51/713291951.db2.gz ATCOSZGNSHBNDQ-LBPRGKRZSA-N -1 1 317.397 1.370 20 0 DDADMM CC(C)Oc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)nc1 ZINC000913745163 713293435 /nfs/dbraw/zinc/29/34/35/713293435.db2.gz REIZVQQEEGVYJQ-UHFFFAOYSA-N -1 1 316.365 1.402 20 0 DDADMM Cc1ccn2cnc(C(=O)N3CCC(c4nn[n-]n4)CC3)c2c1 ZINC000913745839 713294078 /nfs/dbraw/zinc/29/40/78/713294078.db2.gz PVKKKJWCFMMUKI-UHFFFAOYSA-N -1 1 311.349 1.176 20 0 DDADMM CC(=O)c1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)cs1 ZINC000913746512 713294424 /nfs/dbraw/zinc/29/44/24/713294424.db2.gz XQHQQJRJFBNFIH-UHFFFAOYSA-N -1 1 305.363 1.484 20 0 DDADMM CC1(C)CC[C@@H](CC(=O)N2CCC(c3nn[n-]n3)CC2)OC1 ZINC000913747199 713294531 /nfs/dbraw/zinc/29/45/31/713294531.db2.gz TVSCUMWAZQDEEE-LBPRGKRZSA-N -1 1 307.398 1.501 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CC=CCC3)nc2n1 ZINC000906056974 712232487 /nfs/dbraw/zinc/23/24/87/712232487.db2.gz IPSUZHAHQZYLND-JTQLQIEISA-N -1 1 301.350 1.665 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1ccc(C)nc1N(C)C ZINC000906061828 712234345 /nfs/dbraw/zinc/23/43/45/712234345.db2.gz UFZOSEFQRWETQK-IBGZPJMESA-N -1 1 320.440 1.230 20 0 DDADMM COC(=O)CCCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000913877782 713313688 /nfs/dbraw/zinc/31/36/88/713313688.db2.gz IPXNNBKLELYBQK-UHFFFAOYSA-N -1 1 316.304 1.465 20 0 DDADMM O=C(C=C(C1CC1)C1CC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907473566 712581288 /nfs/dbraw/zinc/58/12/88/712581288.db2.gz BWIRLAHAISGHTM-GFCCVEGCSA-N -1 1 305.407 1.563 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c(C)s1 ZINC000907474335 712581529 /nfs/dbraw/zinc/58/15/29/712581529.db2.gz GWRQKGJRJAPKPH-JTQLQIEISA-N -1 1 309.420 1.808 20 0 DDADMM O=C(C1SCCCS1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480211 712583454 /nfs/dbraw/zinc/58/34/54/712583454.db2.gz NCCVSFUKSVAZAQ-SSDOTTSWSA-N -1 1 317.465 1.012 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1C[C@H]2C[C@@]2(CO)C1 ZINC000907611590 712602703 /nfs/dbraw/zinc/60/27/03/712602703.db2.gz NDERQKNNJNEPHS-ABKXIKBNSA-N -1 1 302.305 1.534 20 0 DDADMM C[C@@H]1CC2(CC([N-]S(=O)(=O)c3ncn(C)c3Cl)C2)CO1 ZINC000907723456 712618553 /nfs/dbraw/zinc/61/85/53/712618553.db2.gz HPKNBEAWIPLACQ-YDNLMGDJSA-N -1 1 319.814 1.309 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)c2cncs2)c1 ZINC000907932305 712650986 /nfs/dbraw/zinc/65/09/86/712650986.db2.gz MSUWREZZITZMEZ-SSDOTTSWSA-N -1 1 316.360 1.562 20 0 DDADMM O=C([O-])c1cccc2c1CCN2C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000907983216 712660013 /nfs/dbraw/zinc/66/00/13/712660013.db2.gz PHWRULVWUHOOFJ-JTQLQIEISA-N -1 1 311.341 1.802 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CCCC(C)(C)O2)c1Cl ZINC000908102691 712688316 /nfs/dbraw/zinc/68/83/16/712688316.db2.gz BJLFYTPVJQJFCR-VIFPVBQESA-N -1 1 321.830 1.700 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H](CC(=O)[O-])Cc1ccccc1 ZINC000908232712 712715087 /nfs/dbraw/zinc/71/50/87/712715087.db2.gz USHOWPBUPGAMNX-TZMCWYRMSA-N -1 1 315.373 1.791 20 0 DDADMM O=S(=O)([N-]CC[C@@H](O)C1CC1)c1cc(Cl)ccc1F ZINC000908311057 712737072 /nfs/dbraw/zinc/73/70/72/712737072.db2.gz ACFOWYIWIPYSQV-LLVKDONJSA-N -1 1 307.774 1.918 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@@H](O)C12CCCC2 ZINC000908400405 712761183 /nfs/dbraw/zinc/76/11/83/712761183.db2.gz HHJTZBHNPHRKEE-GFCCVEGCSA-N -1 1 303.362 1.579 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C/C=C/Cl)c1C(F)(F)F ZINC000908464223 712780588 /nfs/dbraw/zinc/78/05/88/712780588.db2.gz YLROFMVNVGQSBT-NSCUHMNNSA-N -1 1 303.693 1.470 20 0 DDADMM COc1cnc([C@H]2CCCN2c2nnc(C3CC3)n2C)[n-]c1=O ZINC000908631619 712826341 /nfs/dbraw/zinc/82/63/41/712826341.db2.gz VNNYGMZGKIFVTG-SNVBAGLBSA-N -1 1 316.365 1.538 20 0 DDADMM C[C@H](C(=O)[O-])N(Cc1ccccc1)C(=O)N[C@H]1CCCN(C)C1 ZINC000908870626 712875096 /nfs/dbraw/zinc/87/50/96/712875096.db2.gz LVMIGDLVJOQUNA-HIFRSBDPSA-N -1 1 319.405 1.766 20 0 DDADMM C[C@](NC(=O)[C@@H]1CCc2[nH]cnc2C1)(C(=O)[O-])c1ccccc1 ZINC000908934852 712890857 /nfs/dbraw/zinc/89/08/57/712890857.db2.gz ZMKYNCPEVDXHEF-PIGZYNQJSA-N -1 1 313.357 1.631 20 0 DDADMM O=C(COC(=O)c1nn(-c2ccccc2)cc1[O-])NC1CC1 ZINC000917945283 713520423 /nfs/dbraw/zinc/52/04/23/713520423.db2.gz NUQGOZLZYMBVKX-UHFFFAOYSA-N -1 1 301.302 1.013 20 0 DDADMM O=C([O-])[C@]12C[C@H]1CCCN2C(=O)NCc1ccc2cncn2c1 ZINC000929015691 713528255 /nfs/dbraw/zinc/52/82/55/713528255.db2.gz HUWFSRRJNFWEDB-WBMJQRKESA-N -1 1 314.345 1.483 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000929062683 713542461 /nfs/dbraw/zinc/54/24/61/713542461.db2.gz BGRRKXKQTHNLSP-VXGBXAGGSA-N -1 1 315.439 1.072 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCO[C@H]2C2CC2)c1 ZINC000921312528 713729931 /nfs/dbraw/zinc/72/99/31/713729931.db2.gz IIQJQUHAWBVSNX-RISCZKNCSA-N -1 1 313.375 1.247 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(CCOC3CCSCC3)C2)n[nH]1 ZINC000930499036 713850524 /nfs/dbraw/zinc/85/05/24/713850524.db2.gz SZUXIPXJTIDAQS-NSHDSACASA-N -1 1 312.439 1.602 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C2CC2)[C@@H]2CCCOC2)sn1 ZINC000921849053 713884909 /nfs/dbraw/zinc/88/49/09/713884909.db2.gz JEZURPLNRGKDFR-YPMHNXCESA-N -1 1 316.448 1.935 20 0 DDADMM COC[C@@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)C(C)C ZINC000921898428 713901480 /nfs/dbraw/zinc/90/14/80/713901480.db2.gz RSHLNJZQSXIKHI-SECBINFHSA-N -1 1 308.350 1.557 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC[C@@H]3CCCC[C@@H]3O)ccnc1-2 ZINC000931132450 714010938 /nfs/dbraw/zinc/01/09/38/714010938.db2.gz XGFWUXOEBABICQ-FZMZJTMJSA-N -1 1 317.393 1.405 20 0 DDADMM CO[N-]C(=O)CNCc1cc(OC)c(Br)cc1F ZINC000922828662 714161091 /nfs/dbraw/zinc/16/10/91/714161091.db2.gz VDKZYNUPBJZXLX-UHFFFAOYSA-N -1 1 321.146 1.364 20 0 DDADMM CN(C[C@@H](O)C1CC1)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932045979 714245448 /nfs/dbraw/zinc/24/54/48/714245448.db2.gz RJWNPFVVAQCGNU-CQSZACIVSA-N -1 1 316.361 1.813 20 0 DDADMM C[C@@H](O)C[C@@H](C)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932046968 714245728 /nfs/dbraw/zinc/24/57/28/714245728.db2.gz UOKDFZPWEGWCHC-GHMZBOCLSA-N -1 1 304.350 1.859 20 0 DDADMM O=C(NC[C@@H]1CC[C@H](O)C1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932049622 714246302 /nfs/dbraw/zinc/24/63/02/714246302.db2.gz KEQTYDBEIZPFCF-RISCZKNCSA-N -1 1 316.361 1.860 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CC[C@H](O)[C@@H](F)C1 ZINC000932060948 714249529 /nfs/dbraw/zinc/24/95/29/714249529.db2.gz AEPMFBKUGRCFIT-STQMWFEESA-N -1 1 320.324 1.515 20 0 DDADMM CC(C)C[C@H]1CN(C)CCN1C(=O)CCSCC(=O)[O-] ZINC000923214239 714274017 /nfs/dbraw/zinc/27/40/17/714274017.db2.gz PIYBVEKLMSTWCL-LBPRGKRZSA-N -1 1 302.440 1.383 20 0 DDADMM CC(C)c1ccc(N(CCCO)Cc2nc(=O)n(C)[n-]2)cc1 ZINC000932451324 714335005 /nfs/dbraw/zinc/33/50/05/714335005.db2.gz RTAYXOUHBJPBHA-UHFFFAOYSA-N -1 1 304.394 1.621 20 0 DDADMM CCc1cc(CC(=O)OCCC[N-]C(=O)C(F)(F)F)n(C)n1 ZINC000923815328 714474721 /nfs/dbraw/zinc/47/47/21/714474721.db2.gz MMTLCNIDMKPWJC-UHFFFAOYSA-N -1 1 321.299 1.137 20 0 DDADMM CC(C)C(=O)N[C@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943097314 718000729 /nfs/dbraw/zinc/00/07/29/718000729.db2.gz PPDWETDNOXXCJN-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2cc3n(n2)CCC3)[n-]c1=O ZINC000934270308 714781927 /nfs/dbraw/zinc/78/19/27/714781927.db2.gz PZSPNRISCDSFNW-ZDUSSCGKSA-N -1 1 315.377 1.671 20 0 DDADMM COc1cnc([C@@H]2CCCN2CCNC(=O)NC(C)C)[n-]c1=O ZINC000934270864 714782146 /nfs/dbraw/zinc/78/21/46/714782146.db2.gz WISIYFHSCPIBBA-NSHDSACASA-N -1 1 323.397 1.035 20 0 DDADMM COc1cc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)ccn1 ZINC000934271485 714782433 /nfs/dbraw/zinc/78/24/33/714782433.db2.gz FTMRPRAQOGEXLA-GFCCVEGCSA-N -1 1 316.361 1.932 20 0 DDADMM COC[C@@]1(C)NC(=O)N(CCc2c(F)cc([O-])cc2F)C1=O ZINC000925459296 714863495 /nfs/dbraw/zinc/86/34/95/714863495.db2.gz ADORNXKGZQTNJK-CQSZACIVSA-N -1 1 314.288 1.170 20 0 DDADMM O=C(c1ccnn1C1CCC1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000935037594 714961155 /nfs/dbraw/zinc/96/11/55/714961155.db2.gz XQDWDTYSEGRICD-SNVBAGLBSA-N -1 1 301.354 1.141 20 0 DDADMM CC(C)C(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956343149 715411885 /nfs/dbraw/zinc/41/18/85/715411885.db2.gz FAOMEHCGRHWGQP-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1ccoc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937683074 715501707 /nfs/dbraw/zinc/50/17/07/715501707.db2.gz SLDIOQDCMDKRAI-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM CC(C)(C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937861213 715617332 /nfs/dbraw/zinc/61/73/32/715617332.db2.gz QJYOTMBAIDGIBY-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)C1)c1ncccc1[O-] ZINC000937864457 715619240 /nfs/dbraw/zinc/61/92/40/715619240.db2.gz QRGQEPWSUYCDPD-LOWDOPEQSA-N -1 1 315.373 1.164 20 0 DDADMM Cc1cc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)co1 ZINC000937874814 715625623 /nfs/dbraw/zinc/62/56/23/715625623.db2.gz VVPLKWRJCZDVJY-LBPRGKRZSA-N -1 1 315.329 1.333 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955178780 715658354 /nfs/dbraw/zinc/65/83/54/715658354.db2.gz UOKUJYQGDGPUDA-OLZOCXBDSA-N -1 1 317.389 1.362 20 0 DDADMM CN(C(=O)C1(C)CC=CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955212538 715676300 /nfs/dbraw/zinc/67/63/00/715676300.db2.gz FVUGKWXVRIPSPX-UHFFFAOYSA-N -1 1 315.373 1.426 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939353552 716198916 /nfs/dbraw/zinc/19/89/16/716198916.db2.gz PUDZTPQZZWOWOL-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM CC1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CCC1 ZINC000940130802 716641776 /nfs/dbraw/zinc/64/17/76/716641776.db2.gz XGQIRFLHZWOPGC-LLVKDONJSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1)c1ncccc1[O-] ZINC000940131641 716642787 /nfs/dbraw/zinc/64/27/87/716642787.db2.gz HIFWQOOEITZIAJ-NMKXLXIOSA-N -1 1 315.373 1.164 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2CC2)C1 ZINC000959002333 716814421 /nfs/dbraw/zinc/81/44/21/716814421.db2.gz WIRFONPSKBEZFF-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1CN(C(=O)C2CCC2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959315801 716953461 /nfs/dbraw/zinc/95/34/61/716953461.db2.gz RSVDEFQSGFMIDF-YPMHNXCESA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964547116 717298826 /nfs/dbraw/zinc/29/88/26/717298826.db2.gz LTHPCFXAHRVNAB-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)N1Cc2ccc(CNC(=O)c3ncccc3[O-])cc2C1 ZINC000965872326 717792151 /nfs/dbraw/zinc/79/21/51/717792151.db2.gz YIBSGXZEZVKZCU-UHFFFAOYSA-N -1 1 311.341 1.579 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC000942754838 717856979 /nfs/dbraw/zinc/85/69/79/717856979.db2.gz QUEMSDYZBBKBSM-FRRDWIJNSA-N -1 1 317.389 1.506 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944211297 718272203 /nfs/dbraw/zinc/27/22/03/718272203.db2.gz CKABGNWCFNXLJQ-DGCLKSJQSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@@H]1CN(C(=O)C2=CCCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966314415 718511326 /nfs/dbraw/zinc/51/13/26/718511326.db2.gz IUOGEJNOMGJPBV-YPMHNXCESA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CC1CCC1 ZINC000966341734 718520659 /nfs/dbraw/zinc/52/06/59/718520659.db2.gz BNXPFVJRGDMJOV-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC[C@@H]1CCC[C@@H]1NC(=O)C(F)F)c1ncccc1[O-] ZINC000946076084 718666703 /nfs/dbraw/zinc/66/67/03/718666703.db2.gz CXHMPGWUWXVYFU-IUCAKERBSA-N -1 1 313.304 1.067 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]1CNC(=O)C1CCC1)c1ncccc1[O-] ZINC000946190925 718707265 /nfs/dbraw/zinc/70/72/65/718707265.db2.gz CRYRBWLTIJUYMT-CHWSQXEVSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(NC[C@@H]1CCCC[C@H]1NC(=O)C1CC1)c1ncccc1[O-] ZINC000946344355 718756821 /nfs/dbraw/zinc/75/68/21/718756821.db2.gz ZQOUPLKGZGXHQK-QWHCGFSZSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(N[C@@H]1CCCC[C@@H]1CNC(=O)C1CC1)c1ncccc1[O-] ZINC000946379607 718765140 /nfs/dbraw/zinc/76/51/40/718765140.db2.gz JDVJVBIZUFWRRY-CHWSQXEVSA-N -1 1 317.389 1.602 20 0 DDADMM CCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1CC ZINC000948389721 719478577 /nfs/dbraw/zinc/47/85/77/719478577.db2.gz KGOUXPYRRNDLON-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(c1ncccc1[O-])N1[C@@H]2CC[C@H]1CN(C(=O)C1CC1)C2 ZINC000968886225 719844534 /nfs/dbraw/zinc/84/45/34/719844534.db2.gz FZKHRGOIGOPIHU-TXEJJXNPSA-N -1 1 301.346 1.013 20 0 DDADMM C/C(=C/C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000949530573 720142626 /nfs/dbraw/zinc/14/26/26/720142626.db2.gz BEZPYIJXQBZXLB-FUWURINLSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(CC1CCC1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949535227 720145481 /nfs/dbraw/zinc/14/54/81/720145481.db2.gz QBLRRXCOGFCYKZ-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949542016 720150072 /nfs/dbraw/zinc/15/00/72/720150072.db2.gz UOXZEJYXMGXREV-PWSUYJOCSA-N -1 1 309.341 1.112 20 0 DDADMM CC(C)C(=O)N1CC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000950037960 720462844 /nfs/dbraw/zinc/46/28/44/720462844.db2.gz QFUPRCYAAKRRQB-NSHDSACASA-N -1 1 318.377 1.046 20 0 DDADMM CCCC(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000953322321 721593327 /nfs/dbraw/zinc/59/33/27/721593327.db2.gz OEGIVRSMBNYRBM-QWHCGFSZSA-N -1 1 317.389 1.650 20 0 DDADMM CCC(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC000953334499 721595129 /nfs/dbraw/zinc/59/51/29/721595129.db2.gz YBPOSXGIOHSNCG-NEPJUHHUSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@@H]1CN(C(=O)C2(C)CCC2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104500687 735336231 /nfs/dbraw/zinc/33/62/31/735336231.db2.gz ZQHLIXRWSLSTGR-VXGBXAGGSA-N -1 1 321.425 1.275 20 0 DDADMM CC[C@@H](O)CCC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692848024 738529324 /nfs/dbraw/zinc/52/93/24/738529324.db2.gz CPBGDZFETBBXMI-MRVPVSSYSA-N -1 1 310.778 1.704 20 0 DDADMM C[C@@H](CCNC(=O)[C@@H]1CC=CCC1)NC(=O)c1ncccc1[O-] ZINC001075578829 738635805 /nfs/dbraw/zinc/63/58/05/738635805.db2.gz KMCVBQCADNUWNM-QWHCGFSZSA-N -1 1 317.389 1.768 20 0 DDADMM Cc1ncc(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])s1 ZINC001038185906 732977160 /nfs/dbraw/zinc/97/71/60/732977160.db2.gz ZHLZTVAWDVADGZ-LLVKDONJSA-N -1 1 318.402 1.556 20 0 DDADMM O=C(N[C@H]1CCN(Cc2cncs2)C1)c1ncccc1[O-] ZINC001010733094 733192327 /nfs/dbraw/zinc/19/23/27/733192327.db2.gz PNJZHNAWBJUEBM-JTQLQIEISA-N -1 1 304.375 1.248 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036625 734379865 /nfs/dbraw/zinc/37/98/65/734379865.db2.gz VXABOWCEOKNZSI-LBPRGKRZSA-N -1 1 305.378 1.364 20 0 DDADMM C[C@H](c1cccc(Cl)c1)N(C)c1nnc(-c2nnn[n-]2)n1C ZINC001121267629 782431749 /nfs/dbraw/zinc/43/17/49/782431749.db2.gz CLTTVEVJFVLVJT-MRVPVSSYSA-N -1 1 318.772 1.846 20 0 DDADMM C[C@H](c1cccc(Cl)c1)N(C)c1nnc(-c2nn[n-]n2)n1C ZINC001121267629 782431753 /nfs/dbraw/zinc/43/17/53/782431753.db2.gz CLTTVEVJFVLVJT-MRVPVSSYSA-N -1 1 318.772 1.846 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)[C@H]1C[C@@H]1F)c1ccccc1N ZINC001143438897 734700869 /nfs/dbraw/zinc/70/08/69/734700869.db2.gz VKQIAKJFVSJSAF-YUMQZZPRSA-N -1 1 311.338 1.601 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)[C@H]1C[C@@H]1F)c1ccccc1N ZINC001143438897 734700870 /nfs/dbraw/zinc/70/08/70/734700870.db2.gz VKQIAKJFVSJSAF-YUMQZZPRSA-N -1 1 311.338 1.601 20 0 DDADMM CC1CC(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001024921322 736169866 /nfs/dbraw/zinc/16/98/66/736169866.db2.gz GEWCKIWAVUZDLG-HTAVTVPLSA-N -1 1 307.398 1.027 20 0 DDADMM CC(C)C(=O)N[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])C12CCC2 ZINC001025880935 736911958 /nfs/dbraw/zinc/91/19/58/736911958.db2.gz GLHKPNZWUPNKFZ-OLZOCXBDSA-N -1 1 317.389 1.600 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)/C=C\C2CC2)C1 ZINC001017088943 751460522 /nfs/dbraw/zinc/46/05/22/751460522.db2.gz VOMRRCSRNBHSJL-FWWRYZNZSA-N -1 1 319.409 1.147 20 0 DDADMM CCC(C)(CC)C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029908365 741572448 /nfs/dbraw/zinc/57/24/48/741572448.db2.gz HVLUBVBRRPFSEE-LBPRGKRZSA-N -1 1 323.441 1.617 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)[C@H]2CC2(C)C)CC1 ZINC001029957038 741643299 /nfs/dbraw/zinc/64/32/99/741643299.db2.gz PKUBFUSGHSMLTL-GFCCVEGCSA-N -1 1 321.425 1.227 20 0 DDADMM COCC(C)(C)CCC[N-]S(=O)(=O)c1csnc1OC ZINC001212061772 741957834 /nfs/dbraw/zinc/95/78/34/741957834.db2.gz RDGRIZHKWBIZIV-UHFFFAOYSA-N -1 1 322.452 1.883 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1ccns1 ZINC001076245115 742624463 /nfs/dbraw/zinc/62/44/63/742624463.db2.gz PFWKHOXLGXFFGK-VIFPVBQESA-N -1 1 320.374 1.182 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002181357 742928982 /nfs/dbraw/zinc/92/89/82/742928982.db2.gz FSVRBUNDCDJPLI-OLZOCXBDSA-N -1 1 319.405 1.896 20 0 DDADMM CC1(C(=O)N2[C@@H]3CC[C@H]2[C@H](NC(=O)c2ncccc2[O-])C3)CC1 ZINC001002200597 742950841 /nfs/dbraw/zinc/95/08/41/742950841.db2.gz WNZMUZVYEBUTOX-UTUOFQBUSA-N -1 1 315.373 1.449 20 0 DDADMM CSc1nc(NC(=O)[C@@H]2CC(=O)N(CC3CC3)C2)cc(=O)[n-]1 ZINC001182453756 743633180 /nfs/dbraw/zinc/63/31/80/743633180.db2.gz IGVKTHCOQSBIIP-SECBINFHSA-N -1 1 322.390 1.101 20 0 DDADMM Cc1ccccc1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998439027 751846870 /nfs/dbraw/zinc/84/68/70/751846870.db2.gz VWFBIHYRZBRVJT-GFCCVEGCSA-N -1 1 315.377 1.213 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)Cc1ccc2c(c1)OCC2 ZINC001182648216 743691274 /nfs/dbraw/zinc/69/12/74/743691274.db2.gz DQCMJRGHWLFJLH-UHFFFAOYSA-N -1 1 315.329 1.703 20 0 DDADMM CC(C)N1CC[C@H]1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001182828801 743733371 /nfs/dbraw/zinc/73/33/71/743733371.db2.gz KVTNIDBRZTZKSM-QMMMGPOBSA-N -1 1 308.342 1.067 20 0 DDADMM C[C@](O)(C(=O)Nc1n[n-]c(C(F)(F)F)n1)c1ccccc1 ZINC001182924246 743745480 /nfs/dbraw/zinc/74/54/80/743745480.db2.gz LQWNPSHXBGVBFS-LLVKDONJSA-N -1 1 300.240 1.670 20 0 DDADMM O=C(NC1CN(CCCC2CCOCC2)C1)c1ncccc1[O-] ZINC001030242251 743977843 /nfs/dbraw/zinc/97/78/43/743977843.db2.gz RMBSYRIAYUZBSN-UHFFFAOYSA-N -1 1 319.405 1.408 20 0 DDADMM CCOC(=O)[C@H](C)C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001184182900 743982669 /nfs/dbraw/zinc/98/26/69/743982669.db2.gz DREMBTMYNWDQKH-SNVBAGLBSA-N -1 1 303.318 1.716 20 0 DDADMM CN(C)c1nc(NC(=O)NCc2cccc(F)c2)cc(=O)[n-]1 ZINC001185799469 744289472 /nfs/dbraw/zinc/28/94/72/744289472.db2.gz UUNOXPBCKIBKJK-UHFFFAOYSA-N -1 1 305.313 1.709 20 0 DDADMM CC(C)CCN1CC(NC(=O)Cc2cc(=O)n(CC(C)C)[n-]2)C1 ZINC001030624977 744343799 /nfs/dbraw/zinc/34/37/99/744343799.db2.gz WSCIDXXFYPPNGE-UHFFFAOYSA-N -1 1 322.453 1.221 20 0 DDADMM O=C(NCCNC(=O)c1ccc([O-])cc1F)c1ccncc1 ZINC001186337622 744388558 /nfs/dbraw/zinc/38/85/58/744388558.db2.gz NWQIKLDYJXHZII-UHFFFAOYSA-N -1 1 303.293 1.086 20 0 DDADMM NC(=O)c1ccccc1OCCNC(=O)c1ccc([O-])cc1F ZINC001186339291 744389263 /nfs/dbraw/zinc/38/92/63/744389263.db2.gz BLPMBHSYRJCGFS-UHFFFAOYSA-N -1 1 318.304 1.439 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(OC(F)(F)C(F)F)cc1 ZINC001186691229 744435236 /nfs/dbraw/zinc/43/52/36/744435236.db2.gz FKTQWSJGVQFKTI-UHFFFAOYSA-N -1 1 319.218 1.366 20 0 DDADMM CC(C)CC(C)(C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186933761 744468365 /nfs/dbraw/zinc/46/83/65/744468365.db2.gz VTQBGSWYYFUPMX-LLVKDONJSA-N -1 1 309.414 1.273 20 0 DDADMM CCOC(=O)CCNC(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC001187213015 744528194 /nfs/dbraw/zinc/52/81/94/744528194.db2.gz AHJXUUBAAMXCBR-UHFFFAOYSA-N -1 1 310.306 1.254 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cccc2c1CN(C1CC1)C2=O ZINC001187292285 744535977 /nfs/dbraw/zinc/53/59/77/744535977.db2.gz QMYHOAFPADHYCA-UHFFFAOYSA-N -1 1 324.402 1.583 20 0 DDADMM O=C(NCc1ccc(O)cc1F)c1n[n-]nc1C(F)(F)F ZINC001187773789 744600350 /nfs/dbraw/zinc/60/03/50/744600350.db2.gz MLOVSNBXHWZEEC-UHFFFAOYSA-N -1 1 304.203 1.598 20 0 DDADMM C[S@](=O)c1ccccc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001187814148 744611907 /nfs/dbraw/zinc/61/19/07/744611907.db2.gz KPAMPVQPSWYJPZ-NRFANRHFSA-N -1 1 318.280 1.813 20 0 DDADMM C[S@](=O)c1ccccc1C(=O)NCCCC[P@](=O)([O-])O ZINC001187865398 744622440 /nfs/dbraw/zinc/62/24/40/744622440.db2.gz IKEVFAIGGOFLHH-FQEVSTJZSA-N -1 1 319.319 1.112 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@@H]1[N-]S(=O)(=O)c1nccs1 ZINC001187917901 744626144 /nfs/dbraw/zinc/62/61/44/744626144.db2.gz MLLPBJFVNULIRZ-IUCAKERBSA-N -1 1 304.393 1.153 20 0 DDADMM COC(=O)c1cc(NC(=O)c2ncc(C(F)(F)F)[n-]2)cn1C ZINC001188281260 744686545 /nfs/dbraw/zinc/68/65/45/744686545.db2.gz SAFMLAYNUTYDDV-UHFFFAOYSA-N -1 1 316.239 1.806 20 0 DDADMM COC(=O)c1cc(NC(=O)c2nc(C(F)(F)F)c[n-]2)cn1C ZINC001188281260 744686547 /nfs/dbraw/zinc/68/65/47/744686547.db2.gz SAFMLAYNUTYDDV-UHFFFAOYSA-N -1 1 316.239 1.806 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cc(OC(C)=O)ccc1F ZINC001188395295 744698385 /nfs/dbraw/zinc/69/83/85/744698385.db2.gz RKXGCVHFYFZXMS-UHFFFAOYSA-N -1 1 319.310 1.056 20 0 DDADMM COCCOc1ccc(CNC(=O)c2ccncc2[O-])cn1 ZINC001188637847 744744443 /nfs/dbraw/zinc/74/44/43/744744443.db2.gz HTDOAQJXKAZZLL-UHFFFAOYSA-N -1 1 303.318 1.137 20 0 DDADMM COc1cccc(C)c1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001188785438 744767954 /nfs/dbraw/zinc/76/79/54/744767954.db2.gz KEGOYSWEJHSDKF-UHFFFAOYSA-N -1 1 304.262 1.855 20 0 DDADMM Cc1c(F)cccc1NC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001189072075 744822281 /nfs/dbraw/zinc/82/22/81/744822281.db2.gz YPSKOCHOTZKRAX-UHFFFAOYSA-N -1 1 318.268 1.807 20 0 DDADMM CCOC(=O)c1c([N-]S(=O)(=O)CCCF)ccn1CC ZINC001189873321 745009842 /nfs/dbraw/zinc/00/98/42/745009842.db2.gz BVZXXGRZPMXFIT-UHFFFAOYSA-N -1 1 306.359 1.786 20 0 DDADMM O=S(=O)(CCCF)[N-]c1ncccc1N1CCOCC1 ZINC001189873322 745010225 /nfs/dbraw/zinc/01/02/25/745010225.db2.gz BWENYHSCGSYZPE-UHFFFAOYSA-N -1 1 303.359 1.020 20 0 DDADMM COc1cc(O)c(C(=O)NC2C[NH+](C(C)(C)C)C2)c(OC)c1 ZINC001190148983 745115110 /nfs/dbraw/zinc/11/51/10/745115110.db2.gz RFJPPGCLOPVWOH-UHFFFAOYSA-N -1 1 308.378 1.622 20 0 DDADMM Cc1ncc(CNC(=O)c2cnc(-c3ccccn3)[n-]c2=O)cn1 ZINC001190629452 745278906 /nfs/dbraw/zinc/27/89/06/745278906.db2.gz QZCGYBPXQARAIY-UHFFFAOYSA-N -1 1 322.328 1.273 20 0 DDADMM CCOC(=O)c1ccc(NS(=O)(=O)c2ncc[n-]2)c(F)c1 ZINC001190683472 745294826 /nfs/dbraw/zinc/29/48/26/745294826.db2.gz YRFCNLZSBFVMBS-UHFFFAOYSA-N -1 1 313.310 1.526 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ncc[nH]2)n(-c2ccncc2)n1 ZINC001190691575 745298434 /nfs/dbraw/zinc/29/84/34/745298434.db2.gz YZFMROPRXJQHLG-UHFFFAOYSA-N -1 1 304.335 1.100 20 0 DDADMM O=S(=O)([N-]c1ccc(Cn2cc[nH+]c2)cc1)c1ncc[n-]1 ZINC001190722754 745306014 /nfs/dbraw/zinc/30/60/14/745306014.db2.gz ZFWDMQZTDFCZKG-UHFFFAOYSA-N -1 1 303.347 1.455 20 0 DDADMM COC(=O)c1cccc(Cl)c1NS(=O)(=O)c1ncc[n-]1 ZINC001190722588 745306129 /nfs/dbraw/zinc/30/61/29/745306129.db2.gz VMLZJDMKNULPHV-UHFFFAOYSA-N -1 1 315.738 1.651 20 0 DDADMM Cc1cccc(CNC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001190968251 745390621 /nfs/dbraw/zinc/39/06/21/745390621.db2.gz BQNVPOAVGIFYJJ-UHFFFAOYSA-N -1 1 303.278 1.916 20 0 DDADMM CSc1ncc(C(=O)Nc2ncc(Cl)cc2N)c(=O)[n-]1 ZINC001191428169 745509447 /nfs/dbraw/zinc/50/94/47/745509447.db2.gz CUPQKPZWBXQAFO-UHFFFAOYSA-N -1 1 311.754 1.787 20 0 DDADMM COc1nccc(N)c1NC(=O)c1cnc(SC)[n-]c1=O ZINC001191429771 745509594 /nfs/dbraw/zinc/50/95/94/745509594.db2.gz RFSMFEVFFPKTMG-UHFFFAOYSA-N -1 1 307.335 1.142 20 0 DDADMM O=C(N[C@H]1[C@@H]2COC[C@@H]21)c1ccccc1C(=O)c1ccc([O-])cc1 ZINC001191518990 745533527 /nfs/dbraw/zinc/53/35/27/745533527.db2.gz ZFXRGYHLZXDHSK-FVQHAEBGSA-N -1 1 323.348 1.998 20 0 DDADMM CCOC(=O)C1=C([N-]S(=O)(=O)CC2CCCC2)[C@H](C)OC1 ZINC001191542163 745537870 /nfs/dbraw/zinc/53/78/70/745537870.db2.gz YWVBAUBWFWTJMX-JTQLQIEISA-N -1 1 317.407 1.332 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1CCCC1)c1ccncc1 ZINC001191553598 745547683 /nfs/dbraw/zinc/54/76/83/745547683.db2.gz JCKRCMKDWCKEKR-ZDUSSCGKSA-N -1 1 312.391 1.405 20 0 DDADMM O=S(=O)([N-]Cc1cnn(CCF)c1)C(Cl)(Cl)Cl ZINC001192053508 745685810 /nfs/dbraw/zinc/68/58/10/745685810.db2.gz GKPGYPDXSVWBAC-UHFFFAOYSA-N -1 1 324.592 1.600 20 0 DDADMM COC(=O)c1ccc([O-])c(C(=O)Nc2cc(N(C)C)[nH]n2)c1 ZINC001192378230 745771280 /nfs/dbraw/zinc/77/12/80/745771280.db2.gz UGQRSUAGFCNYKU-UHFFFAOYSA-N -1 1 304.306 1.220 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCCN(CCOC3CCC3)C2)c1[O-] ZINC001007134549 752032127 /nfs/dbraw/zinc/03/21/27/752032127.db2.gz OFDWBVVVQFVEOB-GFCCVEGCSA-N -1 1 322.409 1.187 20 0 DDADMM Cc1nc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)sc1C ZINC001192597229 745837007 /nfs/dbraw/zinc/83/70/07/745837007.db2.gz AMWNOLQDOOKTQG-UHFFFAOYSA-N -1 1 322.350 1.972 20 0 DDADMM CCOC(=O)/C=C(/NC(=O)c1cc([O-])cc(F)c1F)OCC ZINC001192684018 745861652 /nfs/dbraw/zinc/86/16/52/745861652.db2.gz LMVSIJHDMZPOMS-XFFZJAGNSA-N -1 1 315.272 1.841 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1ncc(Cl)nc1Cl ZINC001193205234 746012320 /nfs/dbraw/zinc/01/23/20/746012320.db2.gz FQAKBIWMSHWHCS-ZCFIWIBFSA-N -1 1 312.178 1.704 20 0 DDADMM CC[C@@H](C)C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066861284 752064994 /nfs/dbraw/zinc/06/49/94/752064994.db2.gz VUUZBANXORHEEQ-GFCCVEGCSA-N -1 1 307.394 1.754 20 0 DDADMM CSc1cncc(C(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001194098194 746287790 /nfs/dbraw/zinc/28/77/90/746287790.db2.gz UIQKYNUXCUQOIN-UHFFFAOYSA-N -1 1 304.308 1.491 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2nnccc2C(F)(F)F)[n-]n1 ZINC001194283606 746339861 /nfs/dbraw/zinc/33/98/61/746339861.db2.gz MUPYQIRQWXWYTB-UHFFFAOYSA-N -1 1 315.211 1.257 20 0 DDADMM O=C(CNS(=O)(=O)C1CCC(=O)CC1)c1ccc([O-])cc1 ZINC001194829750 746469453 /nfs/dbraw/zinc/46/94/53/746469453.db2.gz FPIKJHPTUMJMJS-UHFFFAOYSA-N -1 1 311.359 1.006 20 0 DDADMM Nc1ccc(NC(=O)c2cc([O-])cnc2Cl)c(CCO)c1 ZINC001195308248 746572571 /nfs/dbraw/zinc/57/25/71/746572571.db2.gz AFDCSDADNWJXMG-UHFFFAOYSA-N -1 1 307.737 1.810 20 0 DDADMM CCOC(CCNC(=O)c1cc([O-])cnc1Cl)OCC ZINC001195313160 746574503 /nfs/dbraw/zinc/57/45/03/746574503.db2.gz QNOBPTROUJCCQO-UHFFFAOYSA-N -1 1 302.758 1.960 20 0 DDADMM CCOC(=O)NC(=S)Nc1cc2ccc([O-])cc2oc1=O ZINC001195458432 746606721 /nfs/dbraw/zinc/60/67/21/746606721.db2.gz UWLBXEFNPPWALJ-UHFFFAOYSA-N -1 1 308.315 1.942 20 0 DDADMM COc1ccc(C(=O)CNC(=O)c2c[nH]c(=S)[n-]c2=O)cc1 ZINC001196009051 746755028 /nfs/dbraw/zinc/75/50/28/746755028.db2.gz SLIARGSHHZQQQD-UHFFFAOYSA-N -1 1 319.342 1.092 20 0 DDADMM CC(=O)N1CCC[C@H]([C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003076660 746954727 /nfs/dbraw/zinc/95/47/27/746954727.db2.gz YEVKZZYEPKSALE-KBPBESRZSA-N -1 1 317.389 1.508 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1cccnc1)C1CCCC1 ZINC001197483323 747171608 /nfs/dbraw/zinc/17/16/08/747171608.db2.gz AZWGYTROJQLHCV-ZDUSSCGKSA-N -1 1 312.391 1.233 20 0 DDADMM Cn1ncc2c1cccc2[N-]S(=O)(=O)Cc1cccnc1 ZINC001197458406 747179435 /nfs/dbraw/zinc/17/94/35/747179435.db2.gz UIZQYJVWQHCCML-UHFFFAOYSA-N -1 1 302.359 1.910 20 0 DDADMM COC(=O)C[N-]S(=O)(=O)Cc1cccc(Cl)c1Cl ZINC001197762328 747249365 /nfs/dbraw/zinc/24/93/65/747249365.db2.gz RODHXDGLGVICPG-UHFFFAOYSA-N -1 1 312.174 1.586 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2cc(F)c(F)cc2F)n1C ZINC001198391870 747491742 /nfs/dbraw/zinc/49/17/42/747491742.db2.gz HDFPGCCDCXHISA-UHFFFAOYSA-N -1 1 305.281 1.947 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)Nc1ccn2nccc2c1 ZINC001198742157 747587300 /nfs/dbraw/zinc/58/73/00/747587300.db2.gz WVKKSHDQQFKMDW-UHFFFAOYSA-N -1 1 317.326 1.833 20 0 DDADMM O=C(Nc1cc(Cl)nnc1Cl)c1ccc([O-])c(=O)[nH]1 ZINC001199197805 747737658 /nfs/dbraw/zinc/73/76/58/747737658.db2.gz DNRDSNFLWRULDO-UHFFFAOYSA-N -1 1 301.089 1.842 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(OC)cnc2Cl)n1 ZINC001199255704 747764873 /nfs/dbraw/zinc/76/48/73/747764873.db2.gz AZFXLDZNRYDOHF-UHFFFAOYSA-N -1 1 324.724 1.896 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCCC12CC2 ZINC000994872349 747780048 /nfs/dbraw/zinc/78/00/48/747780048.db2.gz UECZHBSEBXPRQN-LBPRGKRZSA-N -1 1 315.373 1.308 20 0 DDADMM CC[C@]1(C)C[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001004051701 747945122 /nfs/dbraw/zinc/94/51/22/747945122.db2.gz PLDVMSJPXVSHFG-YVEFUNNKSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1CC1 ZINC001004328682 748312723 /nfs/dbraw/zinc/31/27/23/748312723.db2.gz WSKMCMUCIHUDED-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CC1CC1 ZINC001004326049 748324198 /nfs/dbraw/zinc/32/41/98/748324198.db2.gz DZHGVHFGHZRLNR-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H]1COC[C@@H]1F ZINC001201772565 748600856 /nfs/dbraw/zinc/60/08/56/748600856.db2.gz NVTOVSBYSVAHIN-NTSWFWBYSA-N -1 1 300.764 1.120 20 0 DDADMM CC1(C)CN(C(=O)c2cccs2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995376553 748628332 /nfs/dbraw/zinc/62/83/32/748628332.db2.gz UGHHYCCBRXTNEB-SNVBAGLBSA-N -1 1 321.406 1.212 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1ccsc1C(C)=O ZINC001201904625 748634850 /nfs/dbraw/zinc/63/48/50/748634850.db2.gz KQWNURGBWWPTJH-UHFFFAOYSA-N -1 1 305.377 1.646 20 0 DDADMM O=C(NC/C=C\CNc1cncc(Cl)n1)c1ncccc1[O-] ZINC001107139774 749351327 /nfs/dbraw/zinc/35/13/27/749351327.db2.gz RHBCCFGZHXZVLX-UPHRSURJSA-N -1 1 319.752 1.629 20 0 DDADMM CCCNC(=O)Nc1ccc(S(=O)(=O)N(C)C)cc1[O-] ZINC001202594538 749454256 /nfs/dbraw/zinc/45/42/56/749454256.db2.gz LSEZUJCZMAMYBL-UHFFFAOYSA-N -1 1 301.368 1.174 20 0 DDADMM O=C(Nc1cc(F)ccc1F)Nc1[n-]c(=O)nc2[nH]c(=O)[nH]c21 ZINC001202661933 749489362 /nfs/dbraw/zinc/48/93/62/749489362.db2.gz PHUYENOYEMQTKW-UHFFFAOYSA-N -1 1 322.231 1.638 20 0 DDADMM CC1(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CCCC1 ZINC000996618474 749792952 /nfs/dbraw/zinc/79/29/52/749792952.db2.gz UUHAXNGLVVWJTP-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM Cc1cnc(CN[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)o1 ZINC000998997009 752420508 /nfs/dbraw/zinc/42/05/08/752420508.db2.gz SGWNFQQDODYRQW-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM C[C@H]1C[C@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062434469 752987713 /nfs/dbraw/zinc/98/77/13/752987713.db2.gz ARQCRGQDNXZYEY-WDEREUQCSA-N -1 1 303.362 1.212 20 0 DDADMM C[C@@H](CCNC(=O)[C@@H]1CCC1(C)C)NC(=O)c1ncccc1[O-] ZINC001077915890 753413357 /nfs/dbraw/zinc/41/33/57/753413357.db2.gz NBAITZNSQCATLR-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CC2(C)CC2)C1 ZINC001005961393 753424872 /nfs/dbraw/zinc/42/48/72/753424872.db2.gz YXTAFIVFRBHGKD-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001006012137 753508940 /nfs/dbraw/zinc/50/89/40/753508940.db2.gz LPFGXNPJRYTGQR-UTUOFQBUSA-N -1 1 317.389 1.695 20 0 DDADMM O=C(NCC1(NC(=O)c2ncccc2[O-])CCC1)C1=CCCC1 ZINC001062796577 753719079 /nfs/dbraw/zinc/71/90/79/753719079.db2.gz AWUXMYAAISQPOI-UHFFFAOYSA-N -1 1 315.373 1.666 20 0 DDADMM C[C@@H](CCNC(=O)CC(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001078238885 753874758 /nfs/dbraw/zinc/87/47/58/753874758.db2.gz BAKKQDMHFDXFPL-VIFPVBQESA-N -1 1 315.320 1.457 20 0 DDADMM Cc1ccncc1CN1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010726875 754125972 /nfs/dbraw/zinc/12/59/72/754125972.db2.gz NSUXMKNRXUOVIG-AWEZNQCLSA-N -1 1 312.373 1.495 20 0 DDADMM Cc1nnc([C@@H](C)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001010731414 754129033 /nfs/dbraw/zinc/12/90/33/754129033.db2.gz JDIRLSJUEDJEJO-MWLCHTKSSA-N -1 1 317.349 1.044 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ccoc1 ZINC001011487891 754555320 /nfs/dbraw/zinc/55/53/20/754555320.db2.gz PDOMINIZTWNKKT-ZYHUDNBSSA-N -1 1 315.329 1.413 20 0 DDADMM CCC(=O)N[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]1C ZINC001011759244 754704872 /nfs/dbraw/zinc/70/48/72/754704872.db2.gz BAABINMMQFKCRQ-BXKDBHETSA-N -1 1 318.377 1.189 20 0 DDADMM CC/C(C)=C\C(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC001079652779 755503183 /nfs/dbraw/zinc/50/31/83/755503183.db2.gz HVHRGQAAJADMAQ-XFXZXTDPSA-N -1 1 317.389 1.816 20 0 DDADMM CCN(C(=O)[C@@H](F)C(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080284625 755825893 /nfs/dbraw/zinc/82/58/93/755825893.db2.gz ZARMHMFIHAFDIP-ZDUSSCGKSA-N -1 1 323.368 1.454 20 0 DDADMM CC(C)(F)C(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082022979 756586720 /nfs/dbraw/zinc/58/67/20/756586720.db2.gz VINPQRNXXKKTLQ-NSHDSACASA-N -1 1 323.368 1.646 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)C2CC=CC2)C1 ZINC001016430007 757035814 /nfs/dbraw/zinc/03/58/14/757035814.db2.gz DAVGOFFBVMIGKR-UHFFFAOYSA-N -1 1 315.373 1.473 20 0 DDADMM CC[C@@H](CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC000822659849 758226719 /nfs/dbraw/zinc/22/67/19/758226719.db2.gz YAUVQYRCTGCJCT-JSGCOSHPSA-N -1 1 315.377 1.684 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2COC3(CN(CCC(C)C)C3)C2)c1[O-] ZINC001053773487 758796057 /nfs/dbraw/zinc/79/60/57/758796057.db2.gz AXLMBOVXICHCLC-LBPRGKRZSA-N -1 1 322.409 1.043 20 0 DDADMM Cc1ncsc1C[NH2+][C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001018751171 759221243 /nfs/dbraw/zinc/22/12/43/759221243.db2.gz VEHZWZINVUXYDP-NSHDSACASA-N -1 1 318.402 1.556 20 0 DDADMM CC1(C)CC(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001019278428 759755292 /nfs/dbraw/zinc/75/52/92/759755292.db2.gz DVQQOEDYPQCBDU-NSHDSACASA-N -1 1 307.398 1.027 20 0 DDADMM Cc1nc(CN[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)co1 ZINC001054584514 759847469 /nfs/dbraw/zinc/84/74/69/759847469.db2.gz ABPFMYICAUKZNP-ZWNOBZJWSA-N -1 1 316.361 1.334 20 0 DDADMM C[C@@H]1CN(C(=O)C2=CCCCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054600638 759872665 /nfs/dbraw/zinc/87/26/65/759872665.db2.gz QNVADSLULPERCR-YPMHNXCESA-N -1 1 319.409 1.337 20 0 DDADMM Cc1csc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001054641447 759936080 /nfs/dbraw/zinc/93/60/80/759936080.db2.gz GILTZAWHXNLZJE-NXEZZACHSA-N -1 1 321.406 1.131 20 0 DDADMM Cc1oc2ncn(C)c(=O)c2c1C(=O)[N-]c1ccnc(F)c1F ZINC001131210475 767929525 /nfs/dbraw/zinc/92/95/25/767929525.db2.gz KMIRGULFXAOQKZ-UHFFFAOYSA-N -1 1 320.255 1.760 20 0 DDADMM O=C(NC[C@@H]1CCN1C/C(Cl)=C\Cl)c1ncccc1[O-] ZINC001038195988 760910586 /nfs/dbraw/zinc/91/05/86/760910586.db2.gz ZSUYNKGSRUAZEI-ZKXNXJMVSA-N -1 1 316.188 1.910 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](Nc2ncncc2Cl)C1 ZINC001056612787 761288169 /nfs/dbraw/zinc/28/81/69/761288169.db2.gz WMFXOCNIWULXIM-SECBINFHSA-N -1 1 319.752 1.557 20 0 DDADMM C[C@H](F)CCN1CC[C@@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001038923364 761548380 /nfs/dbraw/zinc/54/83/80/761548380.db2.gz RYVUTMHZBWFNPM-VHSXEESVSA-N -1 1 322.340 1.481 20 0 DDADMM O=C(NCC1CN(C(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1)c1ncccc1[O-] ZINC001001537505 762944421 /nfs/dbraw/zinc/94/44/21/762944421.db2.gz PNQJFJWXIZQSDU-IMRBUKKESA-N -1 1 315.373 1.022 20 0 DDADMM CN(CCCNc1ncc(F)cn1)C(=O)c1ncccc1[O-] ZINC001109436766 763705596 /nfs/dbraw/zinc/70/55/96/763705596.db2.gz GXSQGOROZVZGNT-UHFFFAOYSA-N -1 1 305.313 1.291 20 0 DDADMM CC(C)CC(=O)N[C@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531627 763718129 /nfs/dbraw/zinc/71/81/29/763718129.db2.gz USLAMDGAPJNFSS-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(CCc2cccs2)C1 ZINC001042645665 764308517 /nfs/dbraw/zinc/30/85/17/764308517.db2.gz ARYABNUDNDLNSY-UHFFFAOYSA-N -1 1 317.414 1.848 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CCCF)C1 ZINC001051346506 764766690 /nfs/dbraw/zinc/76/66/90/764766690.db2.gz DCEDILFFULAEMR-RYUDHWBXSA-N -1 1 323.368 1.504 20 0 DDADMM C[C@@H](Nc1cccc(F)n1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001112982697 765098115 /nfs/dbraw/zinc/09/81/15/765098115.db2.gz AQCQFNLDURSORZ-ZJUUUORDSA-N -1 1 304.325 1.940 20 0 DDADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CN(C/C=C\Cl)C1 ZINC001044016346 765235070 /nfs/dbraw/zinc/23/50/70/765235070.db2.gz IYAVQKWZULCYPF-DJWKRKHSSA-N -1 1 322.796 1.568 20 0 DDADMM Cc1ccnc(N(C)[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001113574710 765895253 /nfs/dbraw/zinc/89/52/53/765895253.db2.gz DKCLCAMUWNSJMY-LLVKDONJSA-N -1 1 301.350 1.140 20 0 DDADMM O=C(c1cccc(F)c1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044907685 765900495 /nfs/dbraw/zinc/90/04/95/765900495.db2.gz ZJJNWKOLYPWUCA-LBPRGKRZSA-N -1 1 319.340 1.044 20 0 DDADMM COC(=O)CCc1ccccc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170234133 766189050 /nfs/dbraw/zinc/18/90/50/766189050.db2.gz SZAXVMCAJOBSIW-UHFFFAOYSA-N -1 1 313.317 1.908 20 0 DDADMM CC1(C)c2cnc(Nc3[n-]c(=O)nc4nc[nH]c43)cc2NC1=O ZINC001170226143 766200265 /nfs/dbraw/zinc/20/02/65/766200265.db2.gz DAGXRHSQTMICLG-UHFFFAOYSA-N -1 1 311.305 1.427 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1c1ncccn1 ZINC001067527352 766600860 /nfs/dbraw/zinc/60/08/60/766600860.db2.gz ADDALAIZQZLRML-NEPJUHHUSA-N -1 1 313.361 1.365 20 0 DDADMM C[C@H]1C[C@H](CNc2ncccn2)CN1C(=O)c1ncccc1[O-] ZINC001068320590 767095408 /nfs/dbraw/zinc/09/54/08/767095408.db2.gz DCQOHXMPCHTXRP-NWDGAFQWSA-N -1 1 313.361 1.540 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@]2(C)CCN(Cc3ccccn3)C2)c1[O-] ZINC001046245362 767281796 /nfs/dbraw/zinc/28/17/96/767281796.db2.gz ALFOVPJVADONTN-INIZCTEOSA-N -1 1 315.377 1.213 20 0 DDADMM CCC(=O)N[C@@H]1CC[C@@H](C)[N@H+](Cc2cc(=O)[nH]c(C3CC3)n2)C1 ZINC001071282931 769229064 /nfs/dbraw/zinc/22/90/64/769229064.db2.gz QRDWHAQBRQRWDF-DGCLKSJQSA-N -1 1 318.421 1.939 20 0 DDADMM CCC1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)CC1 ZINC001071951668 770334152 /nfs/dbraw/zinc/33/41/52/770334152.db2.gz BUNNSAJCTNJPBH-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CC1(C)CC1 ZINC001071952356 770335890 /nfs/dbraw/zinc/33/58/90/770335890.db2.gz LBSSYNZFOJXMAE-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(Br)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001144546009 772559521 /nfs/dbraw/zinc/55/95/21/772559521.db2.gz GTPLXYDGAJNZTB-UHFFFAOYSA-N -1 1 301.066 1.936 20 0 DDADMM CCS(=O)(=O)N1CCN(Cc2c(F)cc([O-])cc2F)CC1 ZINC001144558945 772564835 /nfs/dbraw/zinc/56/48/35/772564835.db2.gz GLYSQBTVORHDDY-UHFFFAOYSA-N -1 1 320.361 1.138 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCc1ncc[nH]1 ZINC001146298742 772843631 /nfs/dbraw/zinc/84/36/31/772843631.db2.gz FSHVRVFYXFRPLZ-UHFFFAOYSA-N -1 1 314.349 1.024 20 0 DDADMM Cc1ncc(C(=O)Nc2nc(Br)ccc2[O-])cn1 ZINC001146947682 772984489 /nfs/dbraw/zinc/98/44/89/772984489.db2.gz QDZUXMMCJOVMAY-UHFFFAOYSA-N -1 1 309.123 1.900 20 0 DDADMM CCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073636122 773249594 /nfs/dbraw/zinc/24/95/94/773249594.db2.gz UQMSPIJPCITHBK-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1ccc2c(n[nH]c2NC(=O)c2c(CO)cnc(C)c2[O-])n1 ZINC001147834632 773262515 /nfs/dbraw/zinc/26/25/15/773262515.db2.gz UTSMPMGAAOVPTB-UHFFFAOYSA-N -1 1 313.317 1.420 20 0 DDADMM Cc1ncc(CO)c(C(=O)N(CCO)Cc2cccs2)c1[O-] ZINC001147838544 773264401 /nfs/dbraw/zinc/26/44/01/773264401.db2.gz RTDZTALGOGNQEX-UHFFFAOYSA-N -1 1 322.386 1.284 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cccc3cnncc32)c1[O-] ZINC001147841912 773265354 /nfs/dbraw/zinc/26/53/54/773265354.db2.gz VHCPOCOULWIPKN-UHFFFAOYSA-N -1 1 310.313 1.783 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCc2ccc3ocnc3c2)c1[O-] ZINC001147843268 773266291 /nfs/dbraw/zinc/26/62/91/773266291.db2.gz DBAFXQHZIJYUPT-UHFFFAOYSA-N -1 1 313.313 1.659 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CC[C@@H](O)C[C@H]3C)cc2)[n-]1 ZINC001148333190 773439914 /nfs/dbraw/zinc/43/99/14/773439914.db2.gz QCFHNYPNJFXRHA-IUODEOHRSA-N -1 1 315.373 1.785 20 0 DDADMM CSc1nc(NC(=O)c2ccccc2C(N)=O)cc(=O)[n-]1 ZINC001148522578 773495500 /nfs/dbraw/zinc/49/55/00/773495500.db2.gz UISYNNSBBGNGMT-UHFFFAOYSA-N -1 1 304.331 1.255 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2OCCN(CC3CC3)[C@H]2C1 ZINC001074218982 773742218 /nfs/dbraw/zinc/74/22/18/773742218.db2.gz IKXOBIAHWNRMIO-ZFWWWQNUSA-N -1 1 317.389 1.113 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1CCCC1(C)C ZINC001074809389 774157522 /nfs/dbraw/zinc/15/75/22/774157522.db2.gz HZKWNLGCBXCVGX-TUAOUCFPSA-N -1 1 321.425 1.416 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])Nc1cncc(Cl)n1 ZINC001099105309 774835658 /nfs/dbraw/zinc/83/56/58/774835658.db2.gz XNCSVOUNVDLOHA-SECBINFHSA-N -1 1 321.768 1.851 20 0 DDADMM CCCC[C@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101933505 777696281 /nfs/dbraw/zinc/69/62/81/777696281.db2.gz ODBWFXYRHAQYNU-YNEHKIRRSA-N -1 1 323.441 1.521 20 0 DDADMM Cn1ncc2cccc([N-]S(=O)(=O)c3ccc(N)cc3)c21 ZINC001175443665 777794177 /nfs/dbraw/zinc/79/41/77/777794177.db2.gz UYPMEQDWZRMSGN-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM O=C(CCc1nc2ccccc2o1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001175552838 777839192 /nfs/dbraw/zinc/83/91/92/777839192.db2.gz PBAOIIHCSMFQEP-SNVBAGLBSA-N -1 1 312.333 1.290 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CCC1(C)CC1 ZINC001102495333 778172572 /nfs/dbraw/zinc/17/25/72/778172572.db2.gz PBOWVVONGYYEMF-VXGBXAGGSA-N -1 1 321.425 1.275 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc(F)c2ncccc2c1 ZINC001176727181 778221615 /nfs/dbraw/zinc/22/16/15/778221615.db2.gz YFZPKQRCRBWRNE-UHFFFAOYSA-N -1 1 312.308 1.696 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1c[nH]c2ccc(Br)cc12 ZINC001176840968 778270931 /nfs/dbraw/zinc/27/09/31/778270931.db2.gz ZLUNEERTVKPMQD-UHFFFAOYSA-N -1 1 321.138 1.625 20 0 DDADMM CCOC(=O)c1cc2cc(NC(=O)Cc3nn[n-]n3)ccc2o1 ZINC001176842312 778271093 /nfs/dbraw/zinc/27/10/93/778271093.db2.gz GQYNOROVCVGNCZ-UHFFFAOYSA-N -1 1 315.289 1.304 20 0 DDADMM CCCCCC(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102664787 778284114 /nfs/dbraw/zinc/28/41/14/778284114.db2.gz IDCBSPODTGYAJQ-CHWSQXEVSA-N -1 1 323.441 1.665 20 0 DDADMM Cc1ccc(NC[C@@H](C)CNC(=O)c2ncccc2[O-])nn1 ZINC001103924835 779176374 /nfs/dbraw/zinc/17/63/74/779176374.db2.gz WOYMMCYKPJSQCN-SNVBAGLBSA-N -1 1 301.350 1.364 20 0 DDADMM CCC[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)C(C)C ZINC001179634018 779442928 /nfs/dbraw/zinc/44/29/28/779442928.db2.gz PECXHUIFOHEWFE-AVGNSLFASA-N -1 1 323.441 1.662 20 0 DDADMM COC[C@@H](O)CNC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC001180311510 779697387 /nfs/dbraw/zinc/69/73/87/779697387.db2.gz HSBWPHFWDXIIAF-NSHDSACASA-N -1 1 319.313 1.202 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)C2(C(=O)[O-])CCCCC2)n1 ZINC001180435272 779759617 /nfs/dbraw/zinc/75/96/17/779759617.db2.gz XFOOTCWMEYLAFK-UHFFFAOYSA-N -1 1 309.322 1.560 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)CCC(=O)[O-] ZINC001118243441 781073198 /nfs/dbraw/zinc/07/31/98/781073198.db2.gz XMVAFWUSGFHTSR-UHFFFAOYSA-N -1 1 305.378 1.550 20 0 DDADMM NC(=O)C[C@@H](NCc1ccc(F)c(Cl)c1Cl)C(=O)[O-] ZINC001119549845 781507015 /nfs/dbraw/zinc/50/70/15/781507015.db2.gz WEPWZWXTCYHNRY-SSDOTTSWSA-N -1 1 309.124 1.551 20 0 DDADMM Cc1ncsc1C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001408554334 837242565 /nfs/dbraw/zinc/24/25/65/837242565.db2.gz KUEDVMYFWHHWOW-UHFFFAOYSA-N -1 1 320.374 1.054 20 0 DDADMM O=C(C=C1CCC1)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001267943807 839238792 /nfs/dbraw/zinc/23/87/92/839238792.db2.gz CNHOQLFIMWYPGE-UHFFFAOYSA-N -1 1 319.409 1.339 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1C(C)(C)C1(C)C ZINC001414252738 841235503 /nfs/dbraw/zinc/23/55/03/841235503.db2.gz WHNJYHNPMZITQZ-UHFFFAOYSA-N -1 1 315.395 1.157 20 0 DDADMM NC(=O)[C@H]1CC12CCN(C(=O)Cc1ccc([O-])c(Cl)c1)CC2 ZINC001269509232 841714144 /nfs/dbraw/zinc/71/41/44/841714144.db2.gz JEUXLAIWUQUUPC-LLVKDONJSA-N -1 1 322.792 1.702 20 0 DDADMM NC(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1ccc([O-])cc1Cl ZINC001269762227 842022480 /nfs/dbraw/zinc/02/24/80/842022480.db2.gz KNYVOPUJETYJJC-BOOASOPXSA-N -1 1 308.765 1.675 20 0 DDADMM Cc1nonc1CC(=O)Nc1nc(Br)ccc1[O-] ZINC001154598044 861262293 /nfs/dbraw/zinc/26/22/93/861262293.db2.gz WCIIQQSXYBXCPR-UHFFFAOYSA-N -1 1 313.111 1.422 20 0 DDADMM C[S@](=N)(=O)N1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001363947853 842886487 /nfs/dbraw/zinc/88/64/87/842886487.db2.gz UNDRPILAQHFZGB-HXUWFJFHSA-N -1 1 305.350 1.380 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1nocc1C ZINC001409261222 844725677 /nfs/dbraw/zinc/72/56/77/844725677.db2.gz FUHXAJMLDWMNBK-SNVBAGLBSA-N -1 1 318.333 1.022 20 0 DDADMM Cc1ccc(CC(=O)N[C@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)s1 ZINC001409462825 845135905 /nfs/dbraw/zinc/13/59/05/845135905.db2.gz IKKICSHPKAZFMC-VHSXEESVSA-N -1 1 323.422 1.106 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC[C@@H]1CC[C@@H]2C[C@@H]21 ZINC001365460960 846263662 /nfs/dbraw/zinc/26/36/62/846263662.db2.gz GIIWBBNXOVRSNN-IVZWLZJFSA-N -1 1 302.421 1.866 20 0 DDADMM COC(=O)C(F)(F)C[N-]S(=O)(=O)c1c(C)cccc1F ZINC001365466813 846268229 /nfs/dbraw/zinc/26/82/29/846268229.db2.gz GFFOLYKCYFEHCR-UHFFFAOYSA-N -1 1 311.281 1.221 20 0 DDADMM CCCCCC[C@@H](O)CCC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001301575775 847946629 /nfs/dbraw/zinc/94/66/29/847946629.db2.gz JNUSOCMSTOQJJK-LLVKDONJSA-N -1 1 311.382 1.616 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1c[nH]c2c1cccc2O ZINC001155295274 861942750 /nfs/dbraw/zinc/94/27/50/861942750.db2.gz PNVUISDTLPUAPU-UHFFFAOYSA-N -1 1 315.245 1.725 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)C2CC(C)(C)C2)[n-]n1 ZINC001434575772 849401915 /nfs/dbraw/zinc/40/19/15/849401915.db2.gz MOPSYBFDECGCCM-UHFFFAOYSA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)C2CC(C)(C)C2)n[n-]1 ZINC001434575772 849401919 /nfs/dbraw/zinc/40/19/19/849401919.db2.gz MOPSYBFDECGCCM-UHFFFAOYSA-N -1 1 301.368 1.005 20 0 DDADMM CCCCN1CC2(CN(Cc3ncccc3[O-])C2)OCC1=O ZINC001273324702 849532210 /nfs/dbraw/zinc/53/22/10/849532210.db2.gz BAMTWJDWVHLYRU-UHFFFAOYSA-N -1 1 305.378 1.001 20 0 DDADMM CC(C)CC(=O)N1CC[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001411036443 850140268 /nfs/dbraw/zinc/14/02/68/850140268.db2.gz APVIYQGNDSKRGF-KRWDZBQOSA-N -1 1 319.405 1.802 20 0 DDADMM CN1C[C@@]2(F)CN(Cc3cc(F)c([O-])cc3F)C[C@@]2(F)C1=O ZINC001273678914 851218136 /nfs/dbraw/zinc/21/81/36/851218136.db2.gz COOVOGXVAUVVAH-ZIAGYGMSSA-N -1 1 318.270 1.375 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC3(C[C@@H]3C(=O)NC(C)(C)C)C2)n1 ZINC001274973083 852722673 /nfs/dbraw/zinc/72/26/73/852722673.db2.gz ICAOYCQUXFMRIO-LLVKDONJSA-N -1 1 317.389 1.472 20 0 DDADMM C[C@@H](NC(=O)C1(C)CCC1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001411390330 853020495 /nfs/dbraw/zinc/02/04/95/853020495.db2.gz FYPYCJLJEGUAFB-WDEREUQCSA-N -1 1 305.378 1.600 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CC1CCCC1 ZINC001411538076 853330836 /nfs/dbraw/zinc/33/08/36/853330836.db2.gz VLLOAPFDKCCIIR-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM COC(=O)c1cc(Cl)cc(NC(=O)c2cnncc2[O-])c1 ZINC001411807307 853766194 /nfs/dbraw/zinc/76/61/94/853766194.db2.gz VIXKJXXYGHAXAX-UHFFFAOYSA-N -1 1 307.693 1.875 20 0 DDADMM CSc1ncc(C(=O)N2CCOC[C@H]2c2ccco2)c(=O)[n-]1 ZINC001411807522 853766277 /nfs/dbraw/zinc/76/62/77/853766277.db2.gz SSCPAGQUYTZCQR-JTQLQIEISA-N -1 1 321.358 1.711 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(OC(F)F)nc1)c1nn[n-]n1 ZINC001411853379 853838237 /nfs/dbraw/zinc/83/82/37/853838237.db2.gz DSRWDDKAHBXNBW-MRVPVSSYSA-N -1 1 312.280 1.467 20 0 DDADMM Cc1cccc(C)c1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC001411945607 854015414 /nfs/dbraw/zinc/01/54/14/854015414.db2.gz DANNELMPDSNEKN-UHFFFAOYSA-N -1 1 313.357 1.450 20 0 DDADMM COC(=O)C[C@@H](C)CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001412161713 854279227 /nfs/dbraw/zinc/27/92/27/854279227.db2.gz GRLCFDFDGQFPDU-VIFPVBQESA-N -1 1 307.350 1.589 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CCCN2CC(F)(F)F)c([O-])c1 ZINC001412560728 854725265 /nfs/dbraw/zinc/72/52/65/854725265.db2.gz GAGFVHLEALKNSG-JTQLQIEISA-N -1 1 317.311 1.852 20 0 DDADMM COC[C@H](NC(=O)[C@@H](C)c1ccc(F)cc1F)c1nn[n-]n1 ZINC001412598549 854786448 /nfs/dbraw/zinc/78/64/48/854786448.db2.gz AOZSETXHYAKNJW-CPCISQLKSA-N -1 1 311.292 1.085 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1c2c[nH]nc2CC[C@@H]1C)c1nn[n-]n1 ZINC001412643791 854868278 /nfs/dbraw/zinc/86/82/78/854868278.db2.gz OUNIIIOFFAWBMU-KPXOXKRLSA-N -1 1 303.370 1.246 20 0 DDADMM NC(=O)[C@@H]1CCC[C@]12CCN(C(=O)c1cc([O-])cc(F)c1)C2 ZINC001276183053 854920257 /nfs/dbraw/zinc/92/02/57/854920257.db2.gz IPAXVFMTTJHBAJ-XJKSGUPXSA-N -1 1 306.337 1.649 20 0 DDADMM CCc1noc([C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001412749851 855102023 /nfs/dbraw/zinc/10/20/23/855102023.db2.gz MZPUPKYQEHOBIW-NXEZZACHSA-N -1 1 302.334 1.799 20 0 DDADMM Cc1noc([C@@H](C)N(C)Cc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC001413019456 855958648 /nfs/dbraw/zinc/95/86/48/855958648.db2.gz KSNFFZZKLUMCKH-MRVPVSSYSA-N -1 1 305.338 1.139 20 0 DDADMM COC(=O)Cc1ccc(NC(=O)CCCc2nn[n-]n2)cc1F ZINC001413133115 856473790 /nfs/dbraw/zinc/47/37/90/856473790.db2.gz QCXVNDVBWYANHS-UHFFFAOYSA-N -1 1 321.312 1.016 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(SC)o2)n[n-]1 ZINC001413269973 856596123 /nfs/dbraw/zinc/59/61/23/856596123.db2.gz KWQSJPXZQIMCQJ-ZETCQYMHSA-N -1 1 324.362 1.787 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(SC)o2)[n-]1 ZINC001413269973 856596126 /nfs/dbraw/zinc/59/61/26/856596126.db2.gz KWQSJPXZQIMCQJ-ZETCQYMHSA-N -1 1 324.362 1.787 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(SC)o2)n1 ZINC001413269973 856596129 /nfs/dbraw/zinc/59/61/29/856596129.db2.gz KWQSJPXZQIMCQJ-ZETCQYMHSA-N -1 1 324.362 1.787 20 0 DDADMM COc1ccc([C@@H]2C[C@H]2C(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC001413337279 856683859 /nfs/dbraw/zinc/68/38/59/856683859.db2.gz CQCZYILOEYJZKA-NWDGAFQWSA-N -1 1 315.329 1.316 20 0 DDADMM CC[C@@H](C)n1nccc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001413501894 856896061 /nfs/dbraw/zinc/89/60/61/856896061.db2.gz GYWUTCYGWWDIRL-LLVKDONJSA-N -1 1 305.386 1.666 20 0 DDADMM CC[C@H](Oc1ccccc1F)C(=O)N[C@H](COC)c1nn[n-]n1 ZINC001413553596 857060380 /nfs/dbraw/zinc/06/03/80/857060380.db2.gz LZBWNIJYJBKHSZ-MNOVXSKESA-N -1 1 323.328 1.000 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cnc(C(C)C)[nH]2)[n-]c1=O ZINC001413619999 857245264 /nfs/dbraw/zinc/24/52/64/857245264.db2.gz DUNOFNSYAUQUNI-UHFFFAOYSA-N -1 1 319.365 1.702 20 0 DDADMM CCCO[N-]C(=O)C1(CC(=O)OC(C)(C)C)CCOCC1 ZINC001328354765 862921551 /nfs/dbraw/zinc/92/15/51/862921551.db2.gz BLCQNPNJQGXVGP-UHFFFAOYSA-N -1 1 301.383 1.973 20 0 DDADMM Cc1cc2nc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)cn2cn1 ZINC001156424752 863034203 /nfs/dbraw/zinc/03/42/03/863034203.db2.gz UXJVMMYZKDJYEI-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM Cc1ccc2n[nH]cc2c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001151918994 863053839 /nfs/dbraw/zinc/05/38/39/863053839.db2.gz YLUYZSUBMIJPEM-SNVBAGLBSA-N -1 1 311.349 1.404 20 0 DDADMM CSCCCN(C)c1nnc(-c2nnn[n-]2)n1CC1CC1 ZINC001123596384 859318743 /nfs/dbraw/zinc/31/87/43/859318743.db2.gz YYBURMFPELBKKF-UHFFFAOYSA-N -1 1 308.415 1.058 20 0 DDADMM CSCCCN(C)c1nnc(-c2nn[n-]n2)n1CC1CC1 ZINC001123596384 859318749 /nfs/dbraw/zinc/31/87/49/859318749.db2.gz YYBURMFPELBKKF-UHFFFAOYSA-N -1 1 308.415 1.058 20 0 DDADMM CCOC1CC(CCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001123822347 859430549 /nfs/dbraw/zinc/43/05/49/859430549.db2.gz BRYSASSYWFYVQY-UHFFFAOYSA-N -1 1 311.407 1.714 20 0 DDADMM O=C(c1cc2c(cccc2F)[nH]1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123962535 859498668 /nfs/dbraw/zinc/49/86/68/859498668.db2.gz UHOGYNARLDAEJO-QMMMGPOBSA-N -1 1 300.297 1.450 20 0 DDADMM O=C(c1c(Cl)nc2ccccn21)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123961976 859499677 /nfs/dbraw/zinc/49/96/77/859499677.db2.gz PPRYIXZVVVSHQC-MRVPVSSYSA-N -1 1 317.740 1.131 20 0 DDADMM Cc1ccccc1-c1cc(NC(=O)CCCc2nn[n-]n2)n[nH]1 ZINC001137825491 859982398 /nfs/dbraw/zinc/98/23/98/859982398.db2.gz ODWKBOWHECAUFY-UHFFFAOYSA-N -1 1 311.349 1.860 20 0 DDADMM CCOC(=O)c1ncn2c1CN(Cc1ccncc1[O-])CCC2 ZINC001140274908 860606264 /nfs/dbraw/zinc/60/62/64/860606264.db2.gz IFQHBKFLNUXFNF-UHFFFAOYSA-N -1 1 316.361 1.566 20 0 DDADMM Cc1[nH]c(CN2CCC3(C[C@H](O)CO3)CC2)c(C)c1C(=O)[O-] ZINC001140501425 860646753 /nfs/dbraw/zinc/64/67/53/860646753.db2.gz XHLFVSDDPSHZOL-LBPRGKRZSA-N -1 1 308.378 1.446 20 0 DDADMM O=C([O-])c1ccccc1CN1Cc2ccnn2CC[C@H]1CO ZINC001140504311 860647874 /nfs/dbraw/zinc/64/78/74/860647874.db2.gz OOHUONFNFRMRBU-AWEZNQCLSA-N -1 1 301.346 1.348 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)c1cnc2sccn2c1=O ZINC001140652909 860667251 /nfs/dbraw/zinc/66/72/51/860667251.db2.gz RTHKBGIMMJIZPI-UHFFFAOYSA-N -1 1 323.280 1.992 20 0 DDADMM COC(=O)C1(O)CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001140892332 860726825 /nfs/dbraw/zinc/72/68/25/860726825.db2.gz IXQYRBPSTQWYMV-UHFFFAOYSA-N -1 1 301.289 1.170 20 0 DDADMM Cc1noc(C)c1CC(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001142198613 861062935 /nfs/dbraw/zinc/06/29/35/861062935.db2.gz FSBUVLKAZMPCRO-UHFFFAOYSA-N -1 1 320.309 1.432 20 0 DDADMM Cc1cc(N(C(=N)N)C(=O)c2c([O-])cnc3ccc(C)cc32)n[nH]1 ZINC001154535348 861184508 /nfs/dbraw/zinc/18/45/08/861184508.db2.gz QZDIBMMAMLPNBV-UHFFFAOYSA-N -1 1 324.344 1.821 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3cnn4ccccc34)ccnc1-2 ZINC001361423676 881624636 /nfs/dbraw/zinc/62/46/36/881624636.db2.gz LALMQCGHNFLUPP-UHFFFAOYSA-N -1 1 306.329 1.171 20 0 DDADMM CCC(CC)(NC(=O)/C=C/[C@H]1COC(C)(C)O1)c1nn[n-]n1 ZINC001156925304 863450525 /nfs/dbraw/zinc/45/05/25/863450525.db2.gz BTMUTOPKDIDROK-JARNTUPDSA-N -1 1 309.370 1.039 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)CCc1ccsc1 ZINC001381395560 881661993 /nfs/dbraw/zinc/66/19/93/881661993.db2.gz SFBWEIIJXQETNC-NXEZZACHSA-N -1 1 323.422 1.187 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1C[C@@H](F)C[C@H](CO)C1 ZINC001152670432 863481872 /nfs/dbraw/zinc/48/18/72/863481872.db2.gz CWCGEVSBGHJXFU-UWVGGRQHSA-N -1 1 320.320 1.439 20 0 DDADMM O=C(NC[C@@H]1CCCCO1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152680268 863487481 /nfs/dbraw/zinc/48/74/81/863487481.db2.gz MGZIZXSHMROIBI-JTQLQIEISA-N -1 1 302.330 1.945 20 0 DDADMM O=C(NCc1ncccc1O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681793 863490629 /nfs/dbraw/zinc/49/06/29/863490629.db2.gz QHPFNROXDNICQZ-UHFFFAOYSA-N -1 1 311.297 1.677 20 0 DDADMM C[C@H](NCCCNC(=O)c1ncccc1[O-])c1csnn1 ZINC001156990616 863505345 /nfs/dbraw/zinc/50/53/45/863505345.db2.gz UPRGQMXIKFBZDD-VIFPVBQESA-N -1 1 307.379 1.109 20 0 DDADMM CSCC[C@@H](Nc1nc(C)cc2c1C(=O)[N-]C2=O)C(C)(C)O ZINC001157431069 863816056 /nfs/dbraw/zinc/81/60/56/863816056.db2.gz QCMCDOTZAPSONG-SNVBAGLBSA-N -1 1 323.418 1.578 20 0 DDADMM O=C(NCCc1ccc(CO)cc1)c1c[n-]c2cccnc2c1=O ZINC001153863532 864177187 /nfs/dbraw/zinc/17/71/87/864177187.db2.gz REQLTTZCTKGAFY-UHFFFAOYSA-N -1 1 323.352 1.388 20 0 DDADMM COC[C@H](C)CNC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001330555396 864448810 /nfs/dbraw/zinc/44/88/10/864448810.db2.gz OCVLHQQRUOWBBH-SECBINFHSA-N -1 1 314.769 1.061 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CCc2cncnc2)[n-]1 ZINC001361516530 881812048 /nfs/dbraw/zinc/81/20/48/881812048.db2.gz IYGDEJOQMOHAJN-UHFFFAOYSA-N -1 1 316.317 1.365 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3oc(C)nc3C)nc2n1 ZINC001331459517 865097490 /nfs/dbraw/zinc/09/74/90/865097490.db2.gz APYDNVZMQUXCAW-UHFFFAOYSA-N -1 1 316.321 1.227 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H](OC)C2CCC2)[n-]c1Cl ZINC001361528851 881836374 /nfs/dbraw/zinc/83/63/74/881836374.db2.gz HKLWOVPLOMMNHS-SECBINFHSA-N -1 1 315.757 1.993 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)CCC1CCCCC1 ZINC001381460360 881838933 /nfs/dbraw/zinc/83/89/33/881838933.db2.gz XLSSHRQEFYHVRR-VXGBXAGGSA-N -1 1 323.441 1.854 20 0 DDADMM COc1ccnc(Cl)c1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001159194671 865159799 /nfs/dbraw/zinc/15/97/99/865159799.db2.gz HPONGVWEJDARSM-UHFFFAOYSA-N -1 1 308.685 1.516 20 0 DDADMM C[C@]1(c2nc(-c3ccccc3/C=C\C(=O)[O-])no2)CNCCO1 ZINC001332279520 865722271 /nfs/dbraw/zinc/72/22/71/865722271.db2.gz WEERLAUQDCBGOU-MWWKQMNYSA-N -1 1 315.329 1.669 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1C[C@@H](C)C[C@H](C)C1 ZINC001474991242 866224161 /nfs/dbraw/zinc/22/41/61/866224161.db2.gz XUUPOUICNKTLLX-IUCAKERBSA-N -1 1 315.395 1.299 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(NC(=O)C2CC2)cc1 ZINC001361613596 882007876 /nfs/dbraw/zinc/00/78/76/882007876.db2.gz BKDYSLPPOVCVLD-UHFFFAOYSA-N -1 1 300.322 1.120 20 0 DDADMM COC(=O)c1cnn(CC(=O)Nc2cc(F)cc(F)c2[O-])c1 ZINC001162295971 867420721 /nfs/dbraw/zinc/42/07/21/867420721.db2.gz PHYQGZFHUUJHPR-UHFFFAOYSA-N -1 1 311.244 1.292 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cc(C)nn2C)[n-]1 ZINC001361648594 882082618 /nfs/dbraw/zinc/08/26/18/882082618.db2.gz FCNVEZOKOHZBAY-UHFFFAOYSA-N -1 1 304.306 1.298 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@@H](C)CO[C@H](C)COC ZINC001225901853 882103316 /nfs/dbraw/zinc/10/33/16/882103316.db2.gz WBLCYGDPFGAVQE-ZJUUUORDSA-N -1 1 314.338 1.178 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2cc(-c3ccccc3)no2)n[n-]1 ZINC001322099582 867978301 /nfs/dbraw/zinc/97/83/01/867978301.db2.gz UGXKRGSONXTIEP-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC001335228561 868155929 /nfs/dbraw/zinc/15/59/29/868155929.db2.gz RJFKTBWYUQMCIU-DMDPSCGWSA-N -1 1 322.792 1.967 20 0 DDADMM O=C1C[C@H]2[NH2+]CC[C@@]2([N-]c2c(F)c(F)c(F)c(F)c2F)[N-]1 ZINC001163243302 868250377 /nfs/dbraw/zinc/25/03/77/868250377.db2.gz SIUOKYCKSCNJGF-BAVBESHTSA-N -1 1 307.222 1.372 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1csc(C2CCCCC2)c1 ZINC001335395753 868271388 /nfs/dbraw/zinc/27/13/88/868271388.db2.gz AXBGUZMBOMBCQB-UHFFFAOYSA-N -1 1 307.375 1.992 20 0 DDADMM O=C(N[C@H](Cc1ccccc1)c1ncon1)c1ncccc1[O-] ZINC001163869866 868766225 /nfs/dbraw/zinc/76/62/25/868766225.db2.gz JEIFQGHZGIHLSC-GFCCVEGCSA-N -1 1 310.313 1.884 20 0 DDADMM COc1cc(SC)c(NCC[N-]C(=O)C(F)(F)F)cn1 ZINC001164251516 869061176 /nfs/dbraw/zinc/06/11/76/869061176.db2.gz VSRUNDDXCRFDPP-UHFFFAOYSA-N -1 1 309.313 1.903 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CCC=C(c2ccccc2)C1 ZINC001338122036 869815363 /nfs/dbraw/zinc/81/53/63/869815363.db2.gz IZNIVTNPGZWQHJ-UHFFFAOYSA-N -1 1 322.376 1.213 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCC=C(c2ccccc2)C1 ZINC001338122036 869815368 /nfs/dbraw/zinc/81/53/68/869815368.db2.gz IZNIVTNPGZWQHJ-UHFFFAOYSA-N -1 1 322.376 1.213 20 0 DDADMM CC[C@H]1CN(c2nnc(-c3cc(Cl)ncc3[O-])n2C)CCO1 ZINC001338182005 869856162 /nfs/dbraw/zinc/85/61/62/869856162.db2.gz ONYMCCZEWUIUMA-VIFPVBQESA-N -1 1 323.784 1.851 20 0 DDADMM C[C@H](CO)N(Cc1ccccc1)C(=O)C(=O)c1ccc([O-])cc1 ZINC001297494271 870074612 /nfs/dbraw/zinc/07/46/12/870074612.db2.gz VWCWBQPFTPGXNX-CYBMUJFWSA-N -1 1 313.353 1.985 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]1c1ccccc1 ZINC001361803972 882404468 /nfs/dbraw/zinc/40/44/68/882404468.db2.gz GZYQVVGAJUSWTJ-SNVBAGLBSA-N -1 1 301.302 1.484 20 0 DDADMM Cc1cc(=O)oc2cc([O-])cc(OC[C@H]3CN(C)CCO3)c12 ZINC001226486500 882462318 /nfs/dbraw/zinc/46/23/18/882462318.db2.gz QTMZQDFUXPKSQI-GFCCVEGCSA-N -1 1 305.330 1.516 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)C(=O)c1ccc(Cl)s1 ZINC001303221342 871111709 /nfs/dbraw/zinc/11/17/09/871111709.db2.gz GAUMAKTUUQCDKH-UHFFFAOYSA-N -1 1 311.754 1.293 20 0 DDADMM COc1ccnc(OC)c1NC(=O)C(=O)c1ccc([O-])cc1 ZINC001303467379 871125428 /nfs/dbraw/zinc/12/54/28/871125428.db2.gz WXINJXPIBHOPNX-UHFFFAOYSA-N -1 1 302.286 1.626 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](C)Cn2cccn2)c(=O)[n-]1 ZINC001361847643 882490373 /nfs/dbraw/zinc/49/03/73/882490373.db2.gz RIQYTLLMVRUIKH-SECBINFHSA-N -1 1 307.379 1.167 20 0 DDADMM Cc1c(Br)csc1C(=O)[N-]N1CC(=O)NC1=O ZINC001306327824 871338912 /nfs/dbraw/zinc/33/89/12/871338912.db2.gz JUNNCWXJIXJCTK-UHFFFAOYSA-N -1 1 318.152 1.016 20 0 DDADMM COc1ccc(CN(C)c2nnc(-c3c[n-][nH]c3=O)n2C)cc1 ZINC001341563142 871713225 /nfs/dbraw/zinc/71/32/25/871713225.db2.gz UYGGEYFYDLDSSU-UHFFFAOYSA-N -1 1 314.349 1.556 20 0 DDADMM Cc1ccc(-n2[n-]c(C(=O)NCCN3CC=CC3)cc2=O)cc1 ZINC001319028765 872405018 /nfs/dbraw/zinc/40/50/18/872405018.db2.gz UPBDYNRPCIYUCJ-UHFFFAOYSA-N -1 1 312.373 1.076 20 0 DDADMM C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1cc(Cl)ccc1[O-] ZINC001361909207 882622413 /nfs/dbraw/zinc/62/24/13/882622413.db2.gz ZZBHGLWSSILQDX-IUCAKERBSA-N -1 1 317.794 1.693 20 0 DDADMM CC1=C(Oc2[n-]c(=O)nc(C)c2Br)C(=O)O[C@@H]1C ZINC001226788541 882653486 /nfs/dbraw/zinc/65/34/86/882653486.db2.gz XPPDLKXJCZUVPM-ZCFIWIBFSA-N -1 1 315.123 1.851 20 0 DDADMM COc1cccc(CCNC(=O)c2ccc([O-])cn2)c1OC ZINC001361940297 882677342 /nfs/dbraw/zinc/67/73/42/882677342.db2.gz JHDFIXDVAWKBCZ-UHFFFAOYSA-N -1 1 302.330 1.777 20 0 DDADMM Cn1cnnc1CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001208047413 873786341 /nfs/dbraw/zinc/78/63/41/873786341.db2.gz OTYDYOFNGOGZSJ-UHFFFAOYSA-N -1 1 313.321 1.028 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](C)CCc1cccn1C ZINC001346554988 873802332 /nfs/dbraw/zinc/80/23/32/873802332.db2.gz TYXYSLQCTYIOIO-VIFPVBQESA-N -1 1 320.418 1.869 20 0 DDADMM CC[C@H](CNC(=O)[C@H](C)c1cccs1)NCc1n[nH]c(=O)[n-]1 ZINC001378292288 874627564 /nfs/dbraw/zinc/62/75/64/874627564.db2.gz JSSVEZGKQSAQOO-NXEZZACHSA-N -1 1 323.422 1.360 20 0 DDADMM CO[C@H]1C[C@@H](N(C)C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C12CCC2 ZINC001348716150 874735626 /nfs/dbraw/zinc/73/56/26/874735626.db2.gz HAAGPSFQZRATHA-ZJUUUORDSA-N -1 1 323.418 1.809 20 0 DDADMM CCC1(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])CCC1 ZINC001378483896 874961781 /nfs/dbraw/zinc/96/17/81/874961781.db2.gz HSWZLQORTVMAHQ-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM COCC(=O)c1ccc([O-])cc1O[C@H](C(=O)OC)C(F)(F)F ZINC001227169530 882890429 /nfs/dbraw/zinc/89/04/29/882890429.db2.gz VVPZNUGQZUOTQK-LLVKDONJSA-N -1 1 322.235 1.704 20 0 DDADMM O=C1[N-]S(=O)(=O)c2cc(Nc3ccc4nc[nH]c4c3)ccc21 ZINC001209848570 875143993 /nfs/dbraw/zinc/14/39/93/875143993.db2.gz KSVAPIZHMLFGCC-UHFFFAOYSA-N -1 1 314.326 1.739 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](C)Cc1cccc(O)c1 ZINC001349661970 875289482 /nfs/dbraw/zinc/28/94/82/875289482.db2.gz SLFREENPRABWKL-MRVPVSSYSA-N -1 1 319.386 1.846 20 0 DDADMM CC1(C)CC[C@@H](O)[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC001349722835 875326892 /nfs/dbraw/zinc/32/68/92/875326892.db2.gz MSSKBIZRBURVGS-GHMZBOCLSA-N -1 1 320.418 1.687 20 0 DDADMM CCN(C(=O)CNC(=O)c1ncccc1[O-])c1ccccc1C ZINC001362065028 882947726 /nfs/dbraw/zinc/94/77/26/882947726.db2.gz QPGAKFVPXIGBBB-UHFFFAOYSA-N -1 1 313.357 1.879 20 0 DDADMM O=C([O-])[C@@H](O)CCNCc1csc(-c2ccc(F)cc2)n1 ZINC001350661433 875816096 /nfs/dbraw/zinc/81/60/96/875816096.db2.gz FUOKZTUBFZZQQQ-LBPRGKRZSA-N -1 1 310.350 1.874 20 0 DDADMM C/C=C(\C)C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001350672606 875824529 /nfs/dbraw/zinc/82/45/29/875824529.db2.gz LVEGCDZVHGBWJZ-KBVBDRTLSA-N -1 1 303.362 1.426 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cnc(F)c(C)c2)[n-]c1=O ZINC001350681786 875832842 /nfs/dbraw/zinc/83/28/42/875832842.db2.gz VJGJCYADFLRNAL-UHFFFAOYSA-N -1 1 320.324 1.698 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC(CCN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001378921370 875914949 /nfs/dbraw/zinc/91/49/49/875914949.db2.gz NMHWLSCFLPXBJS-GFCCVEGCSA-N -1 1 323.441 1.617 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@@H]1CCCC1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001378987263 876081080 /nfs/dbraw/zinc/08/10/80/876081080.db2.gz ZQRISZXCXVYKLT-RYUDHWBXSA-N -1 1 323.441 1.567 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)CNC(=O)c2ncccc2[O-])s1 ZINC001362091108 883010945 /nfs/dbraw/zinc/01/09/45/883010945.db2.gz ZPLJZFWPZDJJOS-SNVBAGLBSA-N -1 1 319.386 1.764 20 0 DDADMM NC(=O)c1c(F)cc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1F ZINC001214913241 876503846 /nfs/dbraw/zinc/50/38/46/876503846.db2.gz JNAHEZQCROYMHH-UHFFFAOYSA-N -1 1 313.289 1.174 20 0 DDADMM COCCc1ccnc(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c1 ZINC001352188792 876637143 /nfs/dbraw/zinc/63/71/43/876637143.db2.gz DZXVDUAKYQMTLH-UHFFFAOYSA-N -1 1 320.374 1.616 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(C)(C)[C@H]1[C@H]1CCCO1 ZINC001352209187 876648299 /nfs/dbraw/zinc/64/82/99/876648299.db2.gz OPDYHNHAJNMKDD-MWLCHTKSSA-N -1 1 323.418 1.809 20 0 DDADMM CSc1ncc(C(=O)NCC2(CO)CCCCC2)c(=O)[n-]1 ZINC001362105555 883051312 /nfs/dbraw/zinc/05/13/12/883051312.db2.gz ZVTUPEXYTZRHFR-UHFFFAOYSA-N -1 1 311.407 1.577 20 0 DDADMM C/C(=C/C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001352759115 876912216 /nfs/dbraw/zinc/91/22/16/876912216.db2.gz WCFDNKAIWUREJP-FUWURINLSA-N -1 1 315.373 1.474 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)[C@H]1CCNc2ccccc21 ZINC001218574425 877582128 /nfs/dbraw/zinc/58/21/28/877582128.db2.gz ILPHTXFLXISODP-QMMMGPOBSA-N -1 1 301.306 1.008 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)CN(C)CCc2ccns2)c1[O-] ZINC001379640466 877613412 /nfs/dbraw/zinc/61/34/12/877613412.db2.gz HXZKVRSFTSIYSO-SECBINFHSA-N -1 1 323.422 1.173 20 0 DDADMM Cn1cnnc1C1CCN(Cc2ccc(/C=C\C(=O)[O-])o2)CC1 ZINC001353897431 877636432 /nfs/dbraw/zinc/63/64/32/877636432.db2.gz UGSZEWYQMASOMQ-PLNGDYQASA-N -1 1 316.361 1.886 20 0 DDADMM CCO[C@H](CC)C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001395562893 912285616 /nfs/dbraw/zinc/28/56/16/912285616.db2.gz WMMJVFNCWLBKLH-WCQYABFASA-N -1 1 323.393 1.179 20 0 DDADMM COc1ccc([C@@H](C)N(C)c2nnc(-c3nnn[n-]3)n2C)cc1 ZINC001355332492 878507029 /nfs/dbraw/zinc/50/70/29/878507029.db2.gz CLLPYTGNVJMGQF-SECBINFHSA-N -1 1 314.353 1.201 20 0 DDADMM COc1ccc([C@@H](C)N(C)c2nnc(-c3nn[n-]n3)n2C)cc1 ZINC001355332492 878507042 /nfs/dbraw/zinc/50/70/42/878507042.db2.gz CLLPYTGNVJMGQF-SECBINFHSA-N -1 1 314.353 1.201 20 0 DDADMM COc1ccccc1-c1cc(C(=O)[N-]c2nnn(C)n2)no1 ZINC001355914303 878776201 /nfs/dbraw/zinc/77/62/01/878776201.db2.gz OUVLVKCANAVSGZ-UHFFFAOYSA-N -1 1 300.278 1.126 20 0 DDADMM CC[C@@H](C)CC(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001380323748 879335210 /nfs/dbraw/zinc/33/52/10/879335210.db2.gz YLYADGJXTSCMJL-DGCLKSJQSA-N -1 1 323.441 1.711 20 0 DDADMM Cc1c2c(sc1NC(=O)[C@@]1(C(=O)[O-])CNCCO1)CCCC2 ZINC001357290898 879895474 /nfs/dbraw/zinc/89/54/74/879895474.db2.gz IRVXGBKBEBMMST-OAHLLOKOSA-N -1 1 324.402 1.317 20 0 DDADMM Cn1c(=O)c2ccccc2n1C([O-])=C1COc2ccccc2O1 ZINC001287882798 912544201 /nfs/dbraw/zinc/54/42/01/912544201.db2.gz SSMCGQMWCBNLKW-OAHLLOKOSA-N -1 1 310.309 1.820 20 0 DDADMM CC(C)(C)OC(=O)N1CCCC2(CC(OCc3nn[n-]n3)C2)C1 ZINC001223029130 880790929 /nfs/dbraw/zinc/79/09/29/880790929.db2.gz HAUKDXGKTRLIJS-UHFFFAOYSA-N -1 1 323.397 1.896 20 0 DDADMM O=C(CC1CSC1)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001362164670 883190445 /nfs/dbraw/zinc/19/04/45/883190445.db2.gz DPRMJOJBDYTOFC-UHFFFAOYSA-N -1 1 305.363 1.470 20 0 DDADMM C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1cccc([O-])c1F ZINC001362178578 883224826 /nfs/dbraw/zinc/22/48/26/883224826.db2.gz JQGCZWXWTIPKKR-BDAKNGLRSA-N -1 1 301.339 1.179 20 0 DDADMM CS(=O)(=O)C[C@H](NC(=O)c1ccc([O-])cn1)c1ccccc1 ZINC001362197150 883272373 /nfs/dbraw/zinc/27/23/73/883272373.db2.gz JCKOTLIECWRFTP-AWEZNQCLSA-N -1 1 320.370 1.303 20 0 DDADMM O=C(c1cccc2c1COCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362208176 883300983 /nfs/dbraw/zinc/30/09/83/883300983.db2.gz LWIWMACTIKDAKQ-LBPRGKRZSA-N -1 1 313.361 1.292 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C)nc(NC2CC2)c1)c1nn[n-]n1 ZINC001362211932 883311000 /nfs/dbraw/zinc/31/10/00/883311000.db2.gz SBYNSBWAHWHRFH-GFCCVEGCSA-N -1 1 315.381 1.749 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)CCCF)CCN1C(=O)c1ncccc1[O-] ZINC001395840203 912704826 /nfs/dbraw/zinc/70/48/26/912704826.db2.gz HBEHOKUEANRVTO-MNOVXSKESA-N -1 1 309.341 1.256 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H](NC(=O)c2cnncc2[O-])C1 ZINC001362293703 883497582 /nfs/dbraw/zinc/49/75/82/883497582.db2.gz TYFDZFLKBDSJOD-JTQLQIEISA-N -1 1 322.365 1.312 20 0 DDADMM O=C(N[C@@H](CO)[C@H]1CCOC1)c1cc2ccccc2cc1[O-] ZINC001362298144 883509223 /nfs/dbraw/zinc/50/92/23/883509223.db2.gz GYTJXAYUJRICPQ-ZFWWWQNUSA-N -1 1 301.342 1.673 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCO[C@H](c2ncon2)C1 ZINC001362345390 883613270 /nfs/dbraw/zinc/61/32/70/883613270.db2.gz GLPCPVXYXRDOFD-NSHDSACASA-N -1 1 309.709 1.642 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2Cc3cccc(O)c3C2)c(=O)[n-]1 ZINC001362356771 883637201 /nfs/dbraw/zinc/63/72/01/883637201.db2.gz TYWARVRWDVSTOV-SECBINFHSA-N -1 1 317.370 1.507 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC001362457351 883856879 /nfs/dbraw/zinc/85/68/79/883856879.db2.gz BHLVWEXVANNHHF-GEOGLEFRSA-N -1 1 309.732 1.854 20 0 DDADMM CSc1ncc(C(=O)NCCc2ncccc2C)c(=O)[n-]1 ZINC001362474523 883895280 /nfs/dbraw/zinc/89/52/80/883895280.db2.gz JHTQVOUETXWACS-UHFFFAOYSA-N -1 1 304.375 1.580 20 0 DDADMM CCC(CC)(NC(=O)[C@H](OC)c1ccccc1)c1nn[n-]n1 ZINC001362499243 883948250 /nfs/dbraw/zinc/94/82/50/883948250.db2.gz VMSQEBJNKSIWOS-GFCCVEGCSA-N -1 1 303.366 1.719 20 0 DDADMM CCC(CC)(NC(=O)[C@H](O)c1cccc(OC)c1)c1nn[n-]n1 ZINC001362500361 883950604 /nfs/dbraw/zinc/95/06/04/883950604.db2.gz YUOXMTOBIUNHCA-GFCCVEGCSA-N -1 1 319.365 1.073 20 0 DDADMM CN=[S@](C)(=O)c1cccc(NC(=O)CCCc2nn[n-]n2)c1 ZINC001362553731 884079245 /nfs/dbraw/zinc/07/92/45/884079245.db2.gz SAAKCJLNLXDFEQ-JOCHJYFZSA-N -1 1 322.394 1.248 20 0 DDADMM O=C1[N-]C(=O)[C@H](Cc2ccc(OC[C@@H]3COCO3)cc2)S1 ZINC001229623500 884081954 /nfs/dbraw/zinc/08/19/54/884081954.db2.gz JNWIFGMFUNNWLC-RYUDHWBXSA-N -1 1 309.343 1.332 20 0 DDADMM Cc1cocc1C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001382520035 884176412 /nfs/dbraw/zinc/17/64/12/884176412.db2.gz JXPAOBZZBSNHDS-NSHDSACASA-N -1 1 321.381 1.346 20 0 DDADMM CC(C)(CO)[C@@H](NC(=O)CCc1nn[n-]n1)c1cccs1 ZINC001362604005 884197741 /nfs/dbraw/zinc/19/77/41/884197741.db2.gz LVFHWMXOXIBMTA-LBPRGKRZSA-N -1 1 309.395 1.070 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCSC(C)(C)CC1 ZINC001362604598 884201307 /nfs/dbraw/zinc/20/13/07/884201307.db2.gz VLPJLAWPRINAEX-UHFFFAOYSA-N -1 1 313.379 1.254 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2CCC(OC)CC2)n[n-]1 ZINC001362662390 884350300 /nfs/dbraw/zinc/35/03/00/884350300.db2.gz VFHHPFHUAPJFGW-KPPDAEKUSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2CCC(OC)CC2)[n-]1 ZINC001362662390 884350312 /nfs/dbraw/zinc/35/03/12/884350312.db2.gz VFHHPFHUAPJFGW-KPPDAEKUSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2CCC(OC)CC2)n1 ZINC001362662390 884350327 /nfs/dbraw/zinc/35/03/27/884350327.db2.gz VFHHPFHUAPJFGW-KPPDAEKUSA-N -1 1 324.381 1.364 20 0 DDADMM COC(=O)C[C@@](C)(NC(=O)c1ccc([O-])cn1)c1ccccn1 ZINC001362690606 884422696 /nfs/dbraw/zinc/42/26/96/884422696.db2.gz XYJWYGZRNVQRCY-MRXNPFEDSA-N -1 1 315.329 1.391 20 0 DDADMM Cn1cncc1[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001362702110 884444226 /nfs/dbraw/zinc/44/42/26/884444226.db2.gz LRDKDXQIFXVRFA-GMTAPVOTSA-N -1 1 317.349 1.018 20 0 DDADMM CC(C)(C(=O)NCc1nc([O-])cc(=O)[nH]1)C1CCC(O)CC1 ZINC001362724490 884491278 /nfs/dbraw/zinc/49/12/78/884491278.db2.gz QFCNQSBPUVDZPP-UHFFFAOYSA-N -1 1 309.366 1.081 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)COC(C)(C)C ZINC001382766956 884658266 /nfs/dbraw/zinc/65/82/66/884658266.db2.gz PRLOJVFVVGYDEE-WDEREUQCSA-N -1 1 323.393 1.225 20 0 DDADMM CNC(=O)Nc1cc(NC(=O)c2cnncc2[O-])ccc1F ZINC001362807257 884690543 /nfs/dbraw/zinc/69/05/43/884690543.db2.gz ZFILWQCJKJRCEY-UHFFFAOYSA-N -1 1 305.269 1.325 20 0 DDADMM CO[C@@H]1CCOc2c(NC(=O)CCc3nn[n-]n3)cccc21 ZINC001362814565 884705437 /nfs/dbraw/zinc/70/54/37/884705437.db2.gz CUGQDCSMGPVMBH-LLVKDONJSA-N -1 1 303.322 1.241 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc2n(n1)CCCC2 ZINC001362829252 884740999 /nfs/dbraw/zinc/74/09/99/884740999.db2.gz FXBIEBGZPCWUHE-UHFFFAOYSA-N -1 1 303.370 1.031 20 0 DDADMM CC[C@@H](Oc1cccc(C)c1)C(=O)N[C@@H](COC)c1nn[n-]n1 ZINC001362906923 884948047 /nfs/dbraw/zinc/94/80/47/884948047.db2.gz GNYGEEGGZMUWGQ-QWHCGFSZSA-N -1 1 319.365 1.169 20 0 DDADMM CC[C@H]1CN(C(=O)c2cnc(SC)[n-]c2=O)[C@@H](CC)CO1 ZINC001362921695 884990863 /nfs/dbraw/zinc/99/08/63/884990863.db2.gz QHMIARQFJLAHBC-UWVGGRQHSA-N -1 1 311.407 1.934 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC(=O)c1cc2c(s1)CCCC2 ZINC001363028204 885265433 /nfs/dbraw/zinc/26/54/33/885265433.db2.gz XKPBTHMBGNMHRZ-UHFFFAOYSA-N -1 1 319.390 1.072 20 0 DDADMM CCOCC(=O)N(CCN(C)C(=O)c1ncccc1[O-])C(C)C ZINC001383146300 885315399 /nfs/dbraw/zinc/31/53/99/885315399.db2.gz NSDFDZCVNWFDGT-UHFFFAOYSA-N -1 1 323.393 1.133 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H](O)c1ccncc1 ZINC001363080410 885415585 /nfs/dbraw/zinc/41/55/85/885415585.db2.gz PGRAFHRRKYMSHT-JTQLQIEISA-N -1 1 320.374 1.071 20 0 DDADMM O=C(c1coc(C2CCCCC2)n1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363219896 885743950 /nfs/dbraw/zinc/74/39/50/885743950.db2.gz RNJCOMGPAADEQK-NSHDSACASA-N -1 1 316.365 1.865 20 0 DDADMM O=C(NCc1nnc(C2CCOCC2)[nH]1)c1cccc([O-])c1F ZINC001363223313 885753706 /nfs/dbraw/zinc/75/37/06/885753706.db2.gz FPYUWOPVRZMKCR-UHFFFAOYSA-N -1 1 320.324 1.473 20 0 DDADMM COc1ccc(CN2C[C@@H]3OCC(F)(F)[C@@H]3C2)cc1C(=O)[O-] ZINC001231750900 885855068 /nfs/dbraw/zinc/85/50/68/885855068.db2.gz OJFRULRVXXLNQX-YPMHNXCESA-N -1 1 313.300 1.859 20 0 DDADMM Cn1ccnc1[C@@H]1C[C@@H](NC(=O)c2ccc([O-])cc2F)CCO1 ZINC001363287823 885917087 /nfs/dbraw/zinc/91/70/87/885917087.db2.gz WGLYQWQULJBCMY-HZMBPMFUSA-N -1 1 319.336 1.915 20 0 DDADMM CN(C(=O)c1coc(CNC(=O)OC(C)(C)C)c1)c1nn[n-]n1 ZINC001363319331 886008214 /nfs/dbraw/zinc/00/82/14/886008214.db2.gz IPGYEZNCRFFLKK-UHFFFAOYSA-N -1 1 322.325 1.094 20 0 DDADMM CC(C)C[C@H](C(=O)NC1(c2nn[n-]n2)CCC1)n1ccnc1 ZINC001363376169 886170625 /nfs/dbraw/zinc/17/06/25/886170625.db2.gz OMKPFJNPLLOKFR-LLVKDONJSA-N -1 1 303.370 1.179 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363400743 886234211 /nfs/dbraw/zinc/23/42/11/886234211.db2.gz RWYBYQWDDJDNGT-JOYOIKCWSA-N -1 1 317.397 1.124 20 0 DDADMM COC[C@@H](NC(=O)c1cccc(C(C)(C)C)c1)c1nn[n-]n1 ZINC001363428889 886314872 /nfs/dbraw/zinc/31/48/72/886314872.db2.gz BQFISSCEYBSXEM-GFCCVEGCSA-N -1 1 303.366 1.615 20 0 DDADMM COC[C@@H](NC(=O)CCOc1c(C)cccc1C)c1nn[n-]n1 ZINC001363438874 886338412 /nfs/dbraw/zinc/33/84/12/886338412.db2.gz VYFSKOHDSVGJIE-GFCCVEGCSA-N -1 1 319.365 1.089 20 0 DDADMM COc1cc(CCC(=O)NCc2cc(=O)[n-]c(SC)n2)on1 ZINC001363459793 886398804 /nfs/dbraw/zinc/39/88/04/886398804.db2.gz YUDHXZNWOJWQBH-UHFFFAOYSA-N -1 1 324.362 1.150 20 0 DDADMM CC(C)(C)OC(=O)CN(C(=O)CCCc1nn[n-]n1)C1CCC1 ZINC001363468413 886422112 /nfs/dbraw/zinc/42/21/12/886422112.db2.gz IYDCFTHFJGUAGV-UHFFFAOYSA-N -1 1 323.397 1.245 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)c(O)c1 ZINC001363582710 886692720 /nfs/dbraw/zinc/69/27/20/886692720.db2.gz KGCWXQQITAEIIJ-SNVBAGLBSA-N -1 1 317.349 1.009 20 0 DDADMM CS(C)(=O)=NCC1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001363592959 886718058 /nfs/dbraw/zinc/71/80/58/886718058.db2.gz JTIPGOCWTSJCBH-UHFFFAOYSA-N -1 1 311.407 1.367 20 0 DDADMM COC(=O)[C@H]1CN(Cc2cc(Cl)ncc2[O-])CCCO1 ZINC001233038160 886748053 /nfs/dbraw/zinc/74/80/53/886748053.db2.gz OXOFYNGBZKJVBV-LLVKDONJSA-N -1 1 300.742 1.205 20 0 DDADMM NC(=O)c1ccc(C(=O)NCCc2c(F)cc([O-])cc2F)cc1 ZINC001363609830 886758868 /nfs/dbraw/zinc/75/88/68/886758868.db2.gz LVRBKCCWYGXSTO-UHFFFAOYSA-N -1 1 320.295 1.742 20 0 DDADMM CCOC(=O)c1nc[nH]c1CNC(=O)c1ccc(Cl)cc1[O-] ZINC001363649783 886882538 /nfs/dbraw/zinc/88/25/38/886882538.db2.gz HFIFAAFARVIFSD-UHFFFAOYSA-N -1 1 323.736 1.875 20 0 DDADMM O=C(c1cc(F)cc(F)c1[O-])N1CCC[C@H](c2nc[nH]n2)C1 ZINC001363821499 887315047 /nfs/dbraw/zinc/31/50/47/887315047.db2.gz MMLABKXLEOEAKS-QMMMGPOBSA-N -1 1 308.288 1.808 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cc(C)n[nH]2)[n-]c1=O ZINC001363849260 887390694 /nfs/dbraw/zinc/39/06/94/887390694.db2.gz NPSYLLORTCZDBX-JTQLQIEISA-N -1 1 303.322 1.200 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cc(C)[nH]n2)[n-]c1=O ZINC001363849260 887390702 /nfs/dbraw/zinc/39/07/02/887390702.db2.gz NPSYLLORTCZDBX-JTQLQIEISA-N -1 1 303.322 1.200 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ncccc2N)[n-]c1=O ZINC001363883557 887465906 /nfs/dbraw/zinc/46/59/06/887465906.db2.gz GQKDZAIYEKIOIR-JTQLQIEISA-N -1 1 315.333 1.145 20 0 DDADMM C[C@H]([C@H](C)S(C)(=O)=O)N(C)Cc1ccc([O-])c(F)c1F ZINC001363894662 887490398 /nfs/dbraw/zinc/49/03/98/887490398.db2.gz FYHYXQMEMYFKJD-BDAKNGLRSA-N -1 1 307.362 1.924 20 0 DDADMM C[C@H](C[C@@H](C)NC(=O)c1ncccc1[O-])NC(=O)c1cc[nH]c1 ZINC001384544072 887658251 /nfs/dbraw/zinc/65/82/51/887658251.db2.gz WCMOZGXOKSHRCV-GHMZBOCLSA-N -1 1 316.361 1.442 20 0 DDADMM COC[C@@H](NC(=O)[C@@H](C)CCc1ccccc1F)c1nn[n-]n1 ZINC001364025464 887747027 /nfs/dbraw/zinc/74/70/27/887747027.db2.gz HZUVBERXDGCLDD-GXFFZTMASA-N -1 1 321.356 1.411 20 0 DDADMM CN1Cc2ccccc2[C@@H](NC(=O)c2cccc([O-])c2F)C1=O ZINC001364052894 887804790 /nfs/dbraw/zinc/80/47/90/887804790.db2.gz FAPWBBVGLLDAFE-OAHLLOKOSA-N -1 1 314.316 1.974 20 0 DDADMM CCOC(C)(C)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001364112551 887947786 /nfs/dbraw/zinc/94/77/86/887947786.db2.gz UDPNAMZHNKDBSQ-UWVGGRQHSA-N -1 1 324.343 1.860 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccc(S(=O)(=O)CC2CC2)cc1 ZINC001364131201 887990183 /nfs/dbraw/zinc/99/01/83/887990183.db2.gz DFTBNVSDZMWLQU-UHFFFAOYSA-N -1 1 321.358 1.551 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](C)C2(C)CC2)cc1C ZINC001364132872 887993947 /nfs/dbraw/zinc/99/39/47/887993947.db2.gz QDEQTIRMOPJIDN-VIFPVBQESA-N -1 1 301.364 1.842 20 0 DDADMM CCOc1ccc([C@@H](C)Oc2c(C(N)=O)nc[n-]c2=O)cc1 ZINC001234469153 888002359 /nfs/dbraw/zinc/00/23/59/888002359.db2.gz WGAAIHQEAABUJK-SECBINFHSA-N -1 1 303.318 1.820 20 0 DDADMM CCc1csc(NC(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC001364140656 888011703 /nfs/dbraw/zinc/01/17/03/888011703.db2.gz UPIOQXAWUPLBMX-UHFFFAOYSA-N -1 1 307.383 1.630 20 0 DDADMM Cc1nc(C(N)=O)c(OC[C@H](C)Oc2ccccc2)c(=O)[n-]1 ZINC001234479231 888013074 /nfs/dbraw/zinc/01/30/74/888013074.db2.gz YBZAWSHZGNMMKV-VIFPVBQESA-N -1 1 303.318 1.436 20 0 DDADMM O=S(=O)([N-]CCC(F)F)c1ncccc1Br ZINC001364184335 888100857 /nfs/dbraw/zinc/10/08/57/888100857.db2.gz CNCUVCSDCFSTQU-UHFFFAOYSA-N -1 1 315.139 1.778 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@@H]1[C@H]2C[C@H]3C(=O)O[C@@H]1[C@H]3C2 ZINC001234611143 888140589 /nfs/dbraw/zinc/14/05/89/888140589.db2.gz ZDDIUYAIDJRBEV-VXOOOEQXSA-N -1 1 323.276 1.042 20 0 DDADMM COc1ccc(C(=O)NCCc2c(F)cc([O-])cc2F)nn1 ZINC001364245549 888209909 /nfs/dbraw/zinc/20/99/09/888209909.db2.gz WCCHUQYBJJUENE-UHFFFAOYSA-N -1 1 309.272 1.442 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Nc2cnsn2)[n-]c1=O ZINC001364366876 888467973 /nfs/dbraw/zinc/46/79/73/888467973.db2.gz LMWOVJVSXGJXHO-ZETCQYMHSA-N -1 1 322.350 1.411 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Nc2cnsn2)[n-]c1=O ZINC001364366873 888468348 /nfs/dbraw/zinc/46/83/48/888468348.db2.gz LMWOVJVSXGJXHO-SSDOTTSWSA-N -1 1 322.350 1.411 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1ccc(Cl)cn1 ZINC001364650315 889119842 /nfs/dbraw/zinc/11/98/42/889119842.db2.gz GKCXSSNFWYYWMY-UHFFFAOYSA-N -1 1 308.773 1.475 20 0 DDADMM COc1ccc(OCC(=O)NC2(c3nn[n-]n3)CCC2)cc1C ZINC001364661280 889145655 /nfs/dbraw/zinc/14/56/55/889145655.db2.gz AWZZUWYJUPAWCS-UHFFFAOYSA-N -1 1 317.349 1.091 20 0 DDADMM Cc1ccc(F)c(-c2ccc(S(N)(=O)=O)c(F)n2)c1[O-] ZINC001236403389 889225379 /nfs/dbraw/zinc/22/53/79/889225379.db2.gz RMIYQAMDHVHYLS-UHFFFAOYSA-N -1 1 300.286 1.688 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)c2c(C)noc2C)sn1 ZINC001364804065 889448787 /nfs/dbraw/zinc/44/87/87/889448787.db2.gz DZQBOBKYGUWHIF-ZETCQYMHSA-N -1 1 317.392 1.796 20 0 DDADMM CC[C@H](C)[C@@H](C[N-]S(=O)(=O)c1csnc1OC)OC ZINC001364850727 889547021 /nfs/dbraw/zinc/54/70/21/889547021.db2.gz YFFKFZMNTTXFRS-DTWKUNHWSA-N -1 1 308.425 1.491 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1ccc(F)cc1F)c1ncon1 ZINC001364942861 889721788 /nfs/dbraw/zinc/72/17/88/889721788.db2.gz MXFCDAINPMVOMN-UHFFFAOYSA-N -1 1 303.290 1.561 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1CCN(c2cnccn2)CC1 ZINC001238134565 890060104 /nfs/dbraw/zinc/06/01/04/890060104.db2.gz HVIJVPFNJIPPRH-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM [O-]c1cc(F)c(CN2CCN(CCCO)CC2)cc1Cl ZINC001238145076 890069944 /nfs/dbraw/zinc/06/99/44/890069944.db2.gz BZZNLNRPYMVXFS-UHFFFAOYSA-N -1 1 302.777 1.685 20 0 DDADMM CN(C)C(=O)NC1CCN(Cc2ccc(F)cc2C(=O)[O-])CC1 ZINC001238242368 890147161 /nfs/dbraw/zinc/14/71/61/890147161.db2.gz OEMYXPHWVQIEOG-UHFFFAOYSA-N -1 1 323.368 1.760 20 0 DDADMM O=C1CN(Cc2c(Br)ccc([O-])c2F)CCO1 ZINC001238307718 890164436 /nfs/dbraw/zinc/16/44/36/890164436.db2.gz ALDJGQGKPQDFRA-UHFFFAOYSA-N -1 1 304.115 1.653 20 0 DDADMM O=C(CCN1C(=O)CCc2ccccc21)Nc1c[n-][nH]c1=O ZINC001365207781 890348528 /nfs/dbraw/zinc/34/85/28/890348528.db2.gz JGUGPRIAVFBCMD-UHFFFAOYSA-N -1 1 300.318 1.423 20 0 DDADMM CN1C(=O)C[C@H](C(=O)Nc2c[n-][nH]c2=O)[C@H]1c1cccc(F)c1 ZINC001365211988 890363507 /nfs/dbraw/zinc/36/35/07/890363507.db2.gz RRTAVORPWZDUDE-GXFFZTMASA-N -1 1 318.308 1.413 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cccnc1OCC(F)(F)F ZINC001365212720 890363639 /nfs/dbraw/zinc/36/36/39/890363639.db2.gz UHSRSISSQIMHEE-UHFFFAOYSA-N -1 1 302.212 1.704 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)C(=O)c1cnncc1O ZINC001290526738 913445084 /nfs/dbraw/zinc/44/50/84/913445084.db2.gz BNGWTOFWKFJOGI-UHFFFAOYSA-N -1 1 317.330 1.165 20 0 DDADMM COc1ccc(NC(=O)N[C@@H](CCSC)c2nn[n-]n2)nc1 ZINC001365233808 890406405 /nfs/dbraw/zinc/40/64/05/890406405.db2.gz PBGISJTVPWRDQY-VIFPVBQESA-N -1 1 323.382 1.219 20 0 DDADMM c1nn(Cc2ccncc2)cc1-c1ccc(-c2nnn[n-]2)nc1 ZINC001239050020 890484391 /nfs/dbraw/zinc/48/43/91/890484391.db2.gz ZROAGWSUSLABJW-UHFFFAOYSA-N -1 1 304.317 1.569 20 0 DDADMM c1nn(Cc2ccncc2)cc1-c1ccc(-c2nn[n-]n2)nc1 ZINC001239050020 890484397 /nfs/dbraw/zinc/48/43/97/890484397.db2.gz ZROAGWSUSLABJW-UHFFFAOYSA-N -1 1 304.317 1.569 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCCC1(C)C ZINC001386243250 890630437 /nfs/dbraw/zinc/63/04/37/890630437.db2.gz RUFRMBUYFHJDLS-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM NC(=O)[C@@H]1CCCN1c1cc(-c2c(F)cc([O-])cc2F)ncn1 ZINC001241119844 891121849 /nfs/dbraw/zinc/12/18/49/891121849.db2.gz TTYZUSPXNYMEEU-LBPRGKRZSA-N -1 1 320.299 1.582 20 0 DDADMM C[C@@]1(c2cccnc2-c2c(F)ccc([O-])c2F)NC(=O)NC1=O ZINC001241291603 891141791 /nfs/dbraw/zinc/14/17/91/891141791.db2.gz SJCOMNOMLSOQMM-HNNXBMFYSA-N -1 1 319.267 1.787 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H]1CCCC[C@@H]1C ZINC001365640838 891232479 /nfs/dbraw/zinc/23/24/79/891232479.db2.gz MPHWHYZACKFILN-UWVGGRQHSA-N -1 1 315.395 1.301 20 0 DDADMM COC(=O)CCC(C)(C)[N-]S(=O)(=O)c1csnc1OC ZINC001365679019 891301569 /nfs/dbraw/zinc/30/15/69/891301569.db2.gz OFTNNONIBPGQEB-UHFFFAOYSA-N -1 1 322.408 1.162 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H](C)C1CC1)c1ccsc1 ZINC001365701984 891362501 /nfs/dbraw/zinc/36/25/01/891362501.db2.gz IAAXPBIETGOHLW-CABZTGNLSA-N -1 1 317.432 1.928 20 0 DDADMM O=C([O-])c1ccccc1-c1ccc(S(=O)(=O)C2CNC2)cc1 ZINC001242881249 891543097 /nfs/dbraw/zinc/54/30/97/891543097.db2.gz URMPYWCXPDBEQA-UHFFFAOYSA-N -1 1 317.366 1.797 20 0 DDADMM CCN(C(=O)CCC1CC1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001396301885 913570448 /nfs/dbraw/zinc/57/04/48/913570448.db2.gz UQDIXSUHNZXHLF-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CN1C(=O)[C@H]2CCCN2c2nc(-c3cccc([O-])c3F)ncc21 ZINC001243541119 891676114 /nfs/dbraw/zinc/67/61/14/891676114.db2.gz DRPUNRZHOLKJKK-SNVBAGLBSA-N -1 1 314.320 1.934 20 0 DDADMM Cc1cc2c(c(-c3ccc4c(c3)CCC(=O)N4C)n1)C(=O)[N-]C2=O ZINC001244006720 891773411 /nfs/dbraw/zinc/77/34/11/891773411.db2.gz FEOBBDYRTBNOOF-UHFFFAOYSA-N -1 1 321.336 1.850 20 0 DDADMM Cc1ccsc1C(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366018461 892224107 /nfs/dbraw/zinc/22/41/07/892224107.db2.gz HBMXBMYZRLMHCP-JTQLQIEISA-N -1 1 321.406 1.178 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](C)NCc2cc(C3CC3)no2)c1[O-] ZINC001366274090 892986049 /nfs/dbraw/zinc/98/60/49/892986049.db2.gz WDNHEWSTGVOONA-QMMMGPOBSA-N -1 1 319.365 1.197 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1CCCCC1 ZINC001387439820 893105005 /nfs/dbraw/zinc/10/50/05/893105005.db2.gz FMTAERMKBRGDAA-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CC(C)c1noc(CNC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001374750475 913796733 /nfs/dbraw/zinc/79/67/33/913796733.db2.gz ANZABILXFKRMNK-SNVBAGLBSA-N -1 1 319.365 1.202 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2ccc3nncn3c2)cc1Cl ZINC001250004845 894183867 /nfs/dbraw/zinc/18/38/67/894183867.db2.gz DUGPPVNYDMBVRP-UHFFFAOYSA-N -1 1 323.765 1.766 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])[C@H](C)c1csnn1 ZINC001366604735 894226108 /nfs/dbraw/zinc/22/61/08/894226108.db2.gz HHZQKRLXWFETPP-SNVBAGLBSA-N -1 1 321.406 1.452 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H](C)SC)NC(=O)c1ncccc1[O-] ZINC001388020370 894377107 /nfs/dbraw/zinc/37/71/07/894377107.db2.gz HRNDCQFOWGXOFH-ZJUUUORDSA-N -1 1 311.407 1.163 20 0 DDADMM O=C(NCCNC(=O)[C@H]1CCCC12CC2)c1ncccc1[O-] ZINC001292666819 913907571 /nfs/dbraw/zinc/90/75/71/913907571.db2.gz WBKAYCDWQSXUJH-LLVKDONJSA-N -1 1 303.362 1.214 20 0 DDADMM COC(=O)[C@H](O)CNc1ccc(F)c(Br)c1[O-] ZINC001252434788 895145555 /nfs/dbraw/zinc/14/55/55/895145555.db2.gz YQDPPCFJSZOZKC-SSDOTTSWSA-N -1 1 308.103 1.240 20 0 DDADMM CC(C)(C)c1ccc(OC[C@@H](O)CNCc2nnn[n-]2)cc1 ZINC001252763952 895439361 /nfs/dbraw/zinc/43/93/61/895439361.db2.gz WXMYEAQWDDRTPM-LBPRGKRZSA-N -1 1 305.382 1.027 20 0 DDADMM O[C@@H](CNC1=CC=C[CH]1)[C@@H](c1ccc(F)cc1F)n1cncn1 ZINC001253902389 896154401 /nfs/dbraw/zinc/15/44/01/896154401.db2.gz RAOVOIMCAQGMSF-JKSUJKDBSA-N -1 1 317.319 1.754 20 0 DDADMM Cc1cncc(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])c1 ZINC001389172211 896606970 /nfs/dbraw/zinc/60/69/70/896606970.db2.gz TYCKLZDSCLZWFT-LLVKDONJSA-N -1 1 314.345 1.039 20 0 DDADMM C[C@@H](CNC(=O)C1(C)CCCC1)NC(=O)c1ncccc1[O-] ZINC001389329979 896950431 /nfs/dbraw/zinc/95/04/31/896950431.db2.gz UNQOLSKOQBKGEW-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM CC[C@H](SC)C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001389629840 897677433 /nfs/dbraw/zinc/67/74/33/897677433.db2.gz GDEICIUNEIXAAU-PWSUYJOCSA-N -1 1 323.418 1.260 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)Cc1ccccc1Cl ZINC001367820755 897914405 /nfs/dbraw/zinc/91/44/05/897914405.db2.gz VICWYSADMMWOTD-VIFPVBQESA-N -1 1 323.784 1.001 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1cc(Cl)c[nH]c1=O ZINC001258530313 898238498 /nfs/dbraw/zinc/23/84/98/898238498.db2.gz FKACWAKXJIXSOH-UHFFFAOYSA-N -1 1 303.727 1.846 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)[C@H](C)Oc1ccccc1 ZINC000355850245 898555698 /nfs/dbraw/zinc/55/56/98/898555698.db2.gz ICKIKEZEIOFAQL-JTQLQIEISA-N -1 1 303.318 1.992 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])c1cc2n(n1)CCC[C@@H]2O ZINC001299587375 898674923 /nfs/dbraw/zinc/67/49/23/898674923.db2.gz XDXBAFVTJRLIEC-LBPRGKRZSA-N -1 1 309.272 1.946 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)c2cccnc2)c1F ZINC001259608626 898739745 /nfs/dbraw/zinc/73/97/45/898739745.db2.gz USPONVKWSJQISQ-UHFFFAOYSA-N -1 1 310.306 1.808 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2cc(Cl)nnc2Cl)cn1 ZINC001259820117 898829030 /nfs/dbraw/zinc/82/90/30/898829030.db2.gz AFLDLSZFARDHPS-UHFFFAOYSA-N -1 1 308.150 1.318 20 0 DDADMM O=C1OCCCN1c1cccc(C[N-]S(=O)(=O)C(F)F)c1 ZINC001259964583 898989558 /nfs/dbraw/zinc/98/95/58/898989558.db2.gz YJKZUKTWXOSLTR-UHFFFAOYSA-N -1 1 320.317 1.675 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnc2c(C(C)(C)C)cnn2c1 ZINC001259966673 898990792 /nfs/dbraw/zinc/99/07/92/898990792.db2.gz QKVLVVZVLYMJQR-UHFFFAOYSA-N -1 1 312.395 1.415 20 0 DDADMM Cc1ccccc1CS(=O)(=O)[N-]c1ncccc1CCO ZINC001260017711 899014190 /nfs/dbraw/zinc/01/41/90/899014190.db2.gz ZVAWLWUSRDVYNG-UHFFFAOYSA-N -1 1 306.387 1.867 20 0 DDADMM Cn1ncc(Cl)c1[N-]S(=O)(=O)c1cccc2nonc21 ZINC001260436109 899140137 /nfs/dbraw/zinc/14/01/37/899140137.db2.gz MURBUHATFBYLCG-UHFFFAOYSA-N -1 1 313.726 1.411 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]CC2(F)CCC2)s1 ZINC001260709607 899225766 /nfs/dbraw/zinc/22/57/66/899225766.db2.gz IJCGLKUWIKAJLZ-UHFFFAOYSA-N -1 1 307.372 1.272 20 0 DDADMM O=C1OC[C@@H]1[N-]S(=O)(=O)Cc1ccc(Cl)c(Cl)c1 ZINC001260825149 899279201 /nfs/dbraw/zinc/27/92/01/899279201.db2.gz CSSOXKFHAMJKLF-VIFPVBQESA-N -1 1 310.158 1.338 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1=CCCC1 ZINC001390379494 899294487 /nfs/dbraw/zinc/29/44/87/899294487.db2.gz JMSREGZFVYMYGH-LBPRGKRZSA-N -1 1 303.362 1.522 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC/C=C\C1CC1 ZINC001261248928 899435354 /nfs/dbraw/zinc/43/53/54/899435354.db2.gz ABMKYKQYFYZRCS-IHWYPQMZSA-N -1 1 324.384 1.765 20 0 DDADMM C[C@H](C(=O)[N-]OCCN1CCCC1=O)c1ccc(F)c(F)c1 ZINC001261754580 899750157 /nfs/dbraw/zinc/75/01/57/899750157.db2.gz FCUNFCJLHJAJIO-JTQLQIEISA-N -1 1 312.316 1.739 20 0 DDADMM C[C@@](CNC(=O)c1ncccc1[O-])(NC(=O)C1CC1)C1CC1 ZINC001390785924 900176619 /nfs/dbraw/zinc/17/66/19/900176619.db2.gz BNXFMZGFANUNLC-INIZCTEOSA-N -1 1 303.362 1.212 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1C[C@H](C)OC2(CCCC2)C1 ZINC001263846231 900760865 /nfs/dbraw/zinc/76/08/65/900760865.db2.gz LSOILPZKENZRKL-VIFPVBQESA-N -1 1 323.418 1.953 20 0 DDADMM C[C@@H](C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1)C1CCC1 ZINC001391771245 902566603 /nfs/dbraw/zinc/56/66/03/902566603.db2.gz VNVFCKLXPIXXAX-LLVKDONJSA-N -1 1 321.425 1.465 20 0 DDADMM CCC(C)(CC)C(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C(C)C ZINC001392251601 903653835 /nfs/dbraw/zinc/65/38/35/903653835.db2.gz KMMZHFOQLZGSOH-NSHDSACASA-N -1 1 311.430 1.567 20 0 DDADMM C[C@H](CNC(=O)C[C@@H]1C[C@H]1C1CC1)NC(=O)c1ncccc1[O-] ZINC001392298368 903807953 /nfs/dbraw/zinc/80/79/53/903807953.db2.gz XJOYGUHQEIMFCC-WXHSDQCUSA-N -1 1 317.389 1.458 20 0 DDADMM CCC[C@@H](CC)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001371967992 906127082 /nfs/dbraw/zinc/12/70/82/906127082.db2.gz AVDJROAGVBFZFB-CYBMUJFWSA-N -1 1 319.405 1.898 20 0 DDADMM CC[C@H](C)[C@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001393812220 907987235 /nfs/dbraw/zinc/98/72/35/907987235.db2.gz VKRSZHXPAKHLBC-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1=CCCCCC1 ZINC001393932456 908299093 /nfs/dbraw/zinc/29/90/93/908299093.db2.gz LNTNGZRMSPJWNN-MNOVXSKESA-N -1 1 307.398 1.384 20 0 DDADMM CC(C)=C(F)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C(C)C ZINC001285313221 910338201 /nfs/dbraw/zinc/33/82/01/910338201.db2.gz CKIJREIVQOBMPX-NSHDSACASA-N -1 1 323.368 1.921 20 0 DDADMM C[C@@H](C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C)C1CC1 ZINC001394810714 910626351 /nfs/dbraw/zinc/62/63/51/910626351.db2.gz KVIZFFPGPKPWGL-MWLCHTKSSA-N -1 1 309.414 1.177 20 0 DDADMM CC(C)[C@H](C)C(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001285531105 910746381 /nfs/dbraw/zinc/74/63/81/910746381.db2.gz MWKMVOYHGAHTAG-RXNFCKPNSA-N -1 1 305.378 1.482 20 0 DDADMM Cc1cnc([C@@H](C)NC[C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001374007019 911620227 /nfs/dbraw/zinc/62/02/27/911620227.db2.gz KSAHMDZUGGLVFC-LDYMZIIASA-N -1 1 321.381 1.437 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)Cc3ccc(F)cc3)nc2n1 ZINC001287285737 912159093 /nfs/dbraw/zinc/15/90/93/912159093.db2.gz GVLWWTJJCLBHEU-UHFFFAOYSA-N -1 1 315.308 1.300 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2cc3c(cncc3Cl)s2)C1=O ZINC001295174305 915621704 /nfs/dbraw/zinc/62/17/04/915621704.db2.gz SMJVVVNOTPBMDF-UHFFFAOYSA-N -1 1 324.749 1.489 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@@H](C)C1CCC1 ZINC001375693167 916721870 /nfs/dbraw/zinc/72/18/70/916721870.db2.gz DQGFTDJSITWLAB-NEPJUHHUSA-N -1 1 319.405 1.706 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@@H]1CC12CCC2 ZINC001375698618 916736195 /nfs/dbraw/zinc/73/61/95/916736195.db2.gz UGEYVRZALOQQKL-NEPJUHHUSA-N -1 1 317.389 1.460 20 0 DDADMM CC(C)C[C@@H](CNC(=O)C(C)C)NC(=O)c1ncccc1[O-] ZINC001375822161 917052450 /nfs/dbraw/zinc/05/24/50/917052450.db2.gz KEXFDMFNMBYYMY-LBPRGKRZSA-N -1 1 307.394 1.704 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccc(Cl)o1 ZINC001376759407 919290549 /nfs/dbraw/zinc/29/05/49/919290549.db2.gz RHZBDPXWPKBIGR-MRVPVSSYSA-N -1 1 323.736 1.582 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2cccc(NC(=O)CO)c2)c1 ZINC000076642585 185028381 /nfs/dbraw/zinc/02/83/81/185028381.db2.gz DWRKDGZYGCWEFS-UHFFFAOYSA-N -1 1 320.370 1.727 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@]3(C)CCc4ccccc43)nc2n1 ZINC000622869754 365548889 /nfs/dbraw/zinc/54/88/89/365548889.db2.gz FJTVNYUBNHICJZ-QGZVFWFLSA-N -1 1 323.356 1.569 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc(C(C)C)nc3)nc2n1 ZINC000622871010 365550466 /nfs/dbraw/zinc/55/04/66/365550466.db2.gz OHWXCBGEWDCWSF-UHFFFAOYSA-N -1 1 312.333 1.497 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC(C)(C)CCCO)c1 ZINC000623013597 365598020 /nfs/dbraw/zinc/59/80/20/365598020.db2.gz QDQAXNDXCHYRSY-UHFFFAOYSA-N -1 1 317.407 1.478 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cc(C(C)C)on3)nc2n1 ZINC000622994443 365587576 /nfs/dbraw/zinc/58/75/76/365587576.db2.gz PBTZZTXMIUKOMI-UHFFFAOYSA-N -1 1 316.321 1.344 20 0 DDADMM Cc1cccc(COCC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000623019021 365601347 /nfs/dbraw/zinc/60/13/47/365601347.db2.gz DCMUAJXMCBMWJY-UHFFFAOYSA-N -1 1 315.377 1.610 20 0 DDADMM Cn1nccc1N1CCC[C@H](NC(=O)c2ccc([O-])cc2F)C1 ZINC000278426037 214144459 /nfs/dbraw/zinc/14/44/59/214144459.db2.gz BWUCMEHBOYWPAJ-NSHDSACASA-N -1 1 318.352 1.664 20 0 DDADMM Cc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1OC(C)C ZINC000278392343 214138394 /nfs/dbraw/zinc/13/83/94/214138394.db2.gz DZYMKXLNUJITJO-UHFFFAOYSA-N -1 1 306.322 1.371 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCn2c(nnc2C(F)F)C1 ZINC000614232376 361764466 /nfs/dbraw/zinc/76/44/66/361764466.db2.gz GVKDDKQRANFRIL-UHFFFAOYSA-N -1 1 312.251 1.716 20 0 DDADMM COC(=O)c1c[n-]c(SCC2(CS(C)(=O)=O)CCC2)n1 ZINC000278630426 214287902 /nfs/dbraw/zinc/28/79/02/214287902.db2.gz KNHNSFKRBGNGHS-UHFFFAOYSA-N -1 1 318.420 1.503 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2CCOC[C@@H]2C)c1Br ZINC000444289202 529460261 /nfs/dbraw/zinc/46/02/61/529460261.db2.gz MUYHALDQPOSRJT-YUMQZZPRSA-N -1 1 316.199 1.768 20 0 DDADMM Cn1ccnc1CNC(=O)c1cc(Br)ccc1[O-] ZINC000221055805 539264970 /nfs/dbraw/zinc/26/49/70/539264970.db2.gz LKUKKBPXBKKETP-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CN1C(=O)CCc2ccccc21 ZINC000615228486 362204499 /nfs/dbraw/zinc/20/44/99/362204499.db2.gz XFPLGHVPFIKESE-UHFFFAOYSA-N -1 1 300.318 1.342 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC3(C2)CCCCC3)o1 ZINC000272796883 210198411 /nfs/dbraw/zinc/19/84/11/210198411.db2.gz XWCUDUCLEVJGTR-UHFFFAOYSA-N -1 1 312.391 1.594 20 0 DDADMM C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000272787931 210191123 /nfs/dbraw/zinc/19/11/23/210191123.db2.gz WIKJBFUFXSFFQD-JVXZTZIISA-N -1 1 312.753 1.260 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccccc2Cl)n[n-]1 ZINC000615917852 362485838 /nfs/dbraw/zinc/48/58/38/362485838.db2.gz OKIVREJHMPETQV-UHFFFAOYSA-N -1 1 308.725 1.565 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccccc2Cl)n1 ZINC000615917852 362485841 /nfs/dbraw/zinc/48/58/41/362485841.db2.gz OKIVREJHMPETQV-UHFFFAOYSA-N -1 1 308.725 1.565 20 0 DDADMM CCCc1ccc(C(=O)NCc2n[n-]c(C(=O)OCC)n2)cc1 ZINC000615917483 362485999 /nfs/dbraw/zinc/48/59/99/362485999.db2.gz NCWDTSVXJOILAI-UHFFFAOYSA-N -1 1 316.361 1.864 20 0 DDADMM CCCc1ccc(C(=O)NCc2nc(C(=O)OCC)n[n-]2)cc1 ZINC000615917483 362486008 /nfs/dbraw/zinc/48/60/08/362486008.db2.gz NCWDTSVXJOILAI-UHFFFAOYSA-N -1 1 316.361 1.864 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H](C1CC1)C1CCC1 ZINC000457257053 232023809 /nfs/dbraw/zinc/02/38/09/232023809.db2.gz SYVMVKNIKZXMMV-AWEZNQCLSA-N -1 1 303.362 1.212 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2[C@H]3CCCO[C@H]32)c1Br ZINC000616009447 362519136 /nfs/dbraw/zinc/51/91/36/362519136.db2.gz UOBBKYAMXKBBFV-ZXFLCMHBSA-N -1 1 300.156 1.266 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cn(C(C)C)cn2)c1Br ZINC000616009696 362519262 /nfs/dbraw/zinc/51/92/62/362519262.db2.gz ZJSQHIGKSUJWNG-UHFFFAOYSA-N -1 1 312.171 1.932 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2C[C@]23CCOC3)c1Br ZINC000616009109 362519479 /nfs/dbraw/zinc/51/94/79/362519479.db2.gz OSIBHAZTGNQLNY-HQJQHLMTSA-N -1 1 300.156 1.268 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)C(C)(C)c2c[nH]cn2)c1Br ZINC000616009104 362519608 /nfs/dbraw/zinc/51/96/08/362519608.db2.gz OQUFWMADFBVFOK-UHFFFAOYSA-N -1 1 312.171 1.542 20 0 DDADMM CC(C)(C)[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000358310748 299117775 /nfs/dbraw/zinc/11/77/75/299117775.db2.gz OAWRDKRIBKSOTK-SNVBAGLBSA-N -1 1 303.366 1.316 20 0 DDADMM CC[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1c(C)noc1C ZINC000358374953 299134530 /nfs/dbraw/zinc/13/45/30/299134530.db2.gz GKEGJRPZNLLTIK-LDYMZIIASA-N -1 1 306.370 1.560 20 0 DDADMM CCC(=O)c1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000358376341 299135253 /nfs/dbraw/zinc/13/52/53/299135253.db2.gz AKKVXLCJIOOLTB-SNVBAGLBSA-N -1 1 301.350 1.668 20 0 DDADMM CC[C@H](C)n1nc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1C ZINC000358386972 299139365 /nfs/dbraw/zinc/13/93/65/299139365.db2.gz XWXXJZXPCOJRRS-UWVGGRQHSA-N -1 1 305.386 1.551 20 0 DDADMM CC(C)C[C@@H](CNC(=O)[C@@H]1Cc2ccccc2CN1)C(=O)[O-] ZINC000316500060 290811561 /nfs/dbraw/zinc/81/15/61/290811561.db2.gz VMBMMHCMCUIOPD-GJZGRUSLSA-N -1 1 304.390 1.564 20 0 DDADMM C[C@H](CCO)CC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000564564814 304001578 /nfs/dbraw/zinc/00/15/78/304001578.db2.gz HZECWYJALLXALG-JTQLQIEISA-N -1 1 314.432 1.983 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)Cc1ccccc1F)C(F)(F)F ZINC000270470162 186399892 /nfs/dbraw/zinc/39/98/92/186399892.db2.gz HHEKXVAEKVDKQF-SNVBAGLBSA-N -1 1 315.288 1.558 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCCCOCC3CC3)ccnc1-2 ZINC000279137672 186494274 /nfs/dbraw/zinc/49/42/74/186494274.db2.gz AJGDPGSVNNKIEN-UYRXBGFRSA-N -1 1 303.366 1.280 20 0 DDADMM Cc1ccc2c(CC(=O)NN3CC(=O)[N-]C3=O)coc2c1C ZINC000025612232 352199828 /nfs/dbraw/zinc/19/98/28/352199828.db2.gz HHWXPYQROCECNV-UHFFFAOYSA-N -1 1 301.302 1.175 20 0 DDADMM CCN(C(=O)c1ccc(S(=O)(=O)[N-]C)o1)C1CCCCC1 ZINC000033045049 352287247 /nfs/dbraw/zinc/28/72/47/352287247.db2.gz MPEUPQDVJWHEEK-UHFFFAOYSA-N -1 1 314.407 1.983 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2ccc3c(c2)CC(=O)N3)c1 ZINC000038720358 352299039 /nfs/dbraw/zinc/29/90/39/352299039.db2.gz JVPZZRQHRQNAAJ-UHFFFAOYSA-N -1 1 318.354 1.991 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@H]2CCOC2)c(=O)[n-]1 ZINC000041370355 352305724 /nfs/dbraw/zinc/30/57/24/352305724.db2.gz WZZMVJZPFIIGJH-SNVBAGLBSA-N -1 1 311.407 1.298 20 0 DDADMM CCO[N-]C(=O)[C@@H]1CC(=O)N(c2cc(Cl)ccc2OC)C1 ZINC000053720217 352665375 /nfs/dbraw/zinc/66/53/75/352665375.db2.gz OJADOAYJEIBVCU-SECBINFHSA-N -1 1 312.753 1.769 20 0 DDADMM Cc1ccc([O-])c(C(=O)c2cnn(-c3ncnc4[nH]cnc43)c2)c1 ZINC000055149267 352718148 /nfs/dbraw/zinc/71/81/48/352718148.db2.gz OHDLYQYIHSDXDU-UHFFFAOYSA-N -1 1 320.312 1.784 20 0 DDADMM Cc1ccc([O-])c(C(=O)c2cnn(-c3ncnc4nc[nH]c43)c2)c1 ZINC000055149267 352718151 /nfs/dbraw/zinc/71/81/51/352718151.db2.gz OHDLYQYIHSDXDU-UHFFFAOYSA-N -1 1 320.312 1.784 20 0 DDADMM Cc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(C)n1C ZINC000331847564 234192411 /nfs/dbraw/zinc/19/24/11/234192411.db2.gz AGKUBRACYFZNOO-UHFFFAOYSA-N -1 1 304.350 1.750 20 0 DDADMM CCn1c(CNC(=O)CCCN(C)C(=O)OC)n[n-]c1=S ZINC000066636240 353009584 /nfs/dbraw/zinc/00/95/84/353009584.db2.gz VFFFSADVNBQYJA-UHFFFAOYSA-N -1 1 315.399 1.055 20 0 DDADMM CC(C)n1c(CNC(=O)[C@@H]2C[C@@H]2c2cnn(C)c2)n[n-]c1=S ZINC000066639970 353009953 /nfs/dbraw/zinc/00/99/53/353009953.db2.gz AGQUGXOVWCKIOB-GHMZBOCLSA-N -1 1 320.422 1.675 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[O-])CN1Cc1nc(N)c2ccccc2n1 ZINC000564966534 304031218 /nfs/dbraw/zinc/03/12/18/304031218.db2.gz WZUYLKVWFGLEBB-QWRGUYRKSA-N -1 1 300.362 1.727 20 0 DDADMM COc1ccc(CNC(=O)c2cnc3nc(C)ccc3c2[O-])cn1 ZINC000078744747 353530590 /nfs/dbraw/zinc/53/05/90/353530590.db2.gz LIWCVFGMARKZGZ-UHFFFAOYSA-N -1 1 324.340 1.977 20 0 DDADMM COc1ccc(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)cn1 ZINC000078744747 353530592 /nfs/dbraw/zinc/53/05/92/353530592.db2.gz LIWCVFGMARKZGZ-UHFFFAOYSA-N -1 1 324.340 1.977 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)Cc2ccc[nH]2)c(=O)[n-]1 ZINC000080978650 353642162 /nfs/dbraw/zinc/64/21/62/353642162.db2.gz NIHRRPQNAXDQBG-UHFFFAOYSA-N -1 1 320.418 1.720 20 0 DDADMM O=c1[n-]c(CN2CCCCC[C@@H]2C[C@H](O)c2ccccc2)n[nH]1 ZINC000091590993 353830760 /nfs/dbraw/zinc/83/07/60/353830760.db2.gz WEGWSCCOLXYAJW-CABCVRRESA-N -1 1 316.405 1.966 20 0 DDADMM NS(=O)(=O)c1cc(F)cc([N-]S(=O)(=O)CCC2CC2)c1 ZINC000092264889 353849363 /nfs/dbraw/zinc/84/93/63/353849363.db2.gz SHPGGCCEJLKFHU-UHFFFAOYSA-N -1 1 322.383 1.015 20 0 DDADMM O=C(CCS(=O)(=O)c1n[n-]c(C2CC2)n1)Nc1ccccc1 ZINC000127218167 354062050 /nfs/dbraw/zinc/06/20/50/354062050.db2.gz LTLURKDTJPAZAV-UHFFFAOYSA-N -1 1 320.374 1.485 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)c2cscn2)co1 ZINC000617826104 363333985 /nfs/dbraw/zinc/33/39/85/363333985.db2.gz XOBUOXGAKCXFHE-SSDOTTSWSA-N -1 1 315.376 1.135 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)CC2CCCC2)n[n-]1 ZINC000617840293 363337991 /nfs/dbraw/zinc/33/79/91/363337991.db2.gz OXRNEGFKXIKQAX-SNVBAGLBSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)CC2CCCC2)n1 ZINC000617840293 363337995 /nfs/dbraw/zinc/33/79/95/363337995.db2.gz OXRNEGFKXIKQAX-SNVBAGLBSA-N -1 1 308.382 1.814 20 0 DDADMM COC(=O)[C@H]1CCC[C@@H](C(=O)NC2(c3nn[n-]n3)CCCC2)C1 ZINC000346194081 283018753 /nfs/dbraw/zinc/01/87/53/283018753.db2.gz YLKMHXVQALEOHO-MNOVXSKESA-N -1 1 321.381 1.065 20 0 DDADMM O=c1nc(N[C@@H](CO)c2cccc(Cl)c2F)nc2[nH][n-]cc1-2 ZINC000358667713 299218285 /nfs/dbraw/zinc/21/82/85/299218285.db2.gz RHQIEUIEQWTOGV-VIFPVBQESA-N -1 1 323.715 1.370 20 0 DDADMM O=S(=O)([N-]Cc1cocn1)c1sccc1Br ZINC000397965152 354655402 /nfs/dbraw/zinc/65/54/02/354655402.db2.gz JRRHBUHEBKMDPM-UHFFFAOYSA-N -1 1 323.193 1.977 20 0 DDADMM CCOCCC[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000588673456 354927205 /nfs/dbraw/zinc/92/72/05/354927205.db2.gz XENBHNQRCLNJIS-UHFFFAOYSA-N -1 1 309.334 1.678 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)CSC)o1 ZINC000358855087 291056586 /nfs/dbraw/zinc/05/65/86/291056586.db2.gz IEPGADRKIBIFQR-MRVPVSSYSA-N -1 1 307.393 1.486 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)c2cn[nH]c2)n[n-]1 ZINC000593895720 356046752 /nfs/dbraw/zinc/04/67/52/356046752.db2.gz LSJGDROCPMXTSE-SNVBAGLBSA-N -1 1 320.353 1.222 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)c2cn[nH]c2)[n-]1 ZINC000593895720 356046758 /nfs/dbraw/zinc/04/67/58/356046758.db2.gz LSJGDROCPMXTSE-SNVBAGLBSA-N -1 1 320.353 1.222 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)c2cn[nH]c2)n1 ZINC000593895720 356046761 /nfs/dbraw/zinc/04/67/61/356046761.db2.gz LSJGDROCPMXTSE-SNVBAGLBSA-N -1 1 320.353 1.222 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H](OC)C1CCCC1)C(C)C ZINC000594750724 356307605 /nfs/dbraw/zinc/30/76/05/356307605.db2.gz OCKDCJYLJNCPDI-STQMWFEESA-N -1 1 321.439 1.309 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](C)O2)cc1C ZINC000595339613 356454775 /nfs/dbraw/zinc/45/47/75/356454775.db2.gz ZIVVLTWXOLNFIB-ZJUUUORDSA-N -1 1 317.363 1.220 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2cccc(C)n2)cc1C ZINC000595303114 356440687 /nfs/dbraw/zinc/44/06/87/356440687.db2.gz OYNAWIYCKVHESL-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC2CCCC2)cc1C ZINC000595310331 356443722 /nfs/dbraw/zinc/44/37/22/356443722.db2.gz REWQSFXTBOPDDL-UHFFFAOYSA-N -1 1 301.364 1.843 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCC[C@@](O)(C2CC2)C1 ZINC000618291800 363568666 /nfs/dbraw/zinc/56/86/66/363568666.db2.gz NIIVYNIZQNXTTG-HNNXBMFYSA-N -1 1 323.418 1.590 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncc2ccccc2c1[O-])C(C)C ZINC000596712955 356958585 /nfs/dbraw/zinc/95/85/85/356958585.db2.gz GOFCTVYAMQBUES-GFCCVEGCSA-N -1 1 302.330 1.868 20 0 DDADMM C[C@H](CN(C)C(=O)C1(C(=O)OC(C)(C)C)CCC1)c1nn[n-]n1 ZINC000597511775 357203293 /nfs/dbraw/zinc/20/32/93/357203293.db2.gz ZVIKOFCPMIIVFW-SNVBAGLBSA-N -1 1 323.397 1.274 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]CC[C@@H]2CC2(F)F)oc1C ZINC000598621561 357657466 /nfs/dbraw/zinc/65/74/66/357657466.db2.gz IUOZKLPTNQSKGD-MRVPVSSYSA-N -1 1 323.317 1.698 20 0 DDADMM COC(=O)[C@@H](CC(F)F)NC(=O)c1c(F)ccc([O-])c1F ZINC000598790802 357729981 /nfs/dbraw/zinc/72/99/81/357729981.db2.gz XARISMWAZSJOHJ-ZCFIWIBFSA-N -1 1 309.215 1.597 20 0 DDADMM CCS[C@H](C(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1)C(C)C ZINC000598893497 357752634 /nfs/dbraw/zinc/75/26/34/357752634.db2.gz UKQHAVMCAFJHCA-NSHDSACASA-N -1 1 323.422 1.696 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCC2CCCC2)co1 ZINC000179264756 199007501 /nfs/dbraw/zinc/00/75/01/199007501.db2.gz ZYJCTPWURRAURG-UHFFFAOYSA-N -1 1 314.407 1.888 20 0 DDADMM O=C(NCc1nn[n-]n1)c1csc(Cc2ccccc2)n1 ZINC000599334933 357899107 /nfs/dbraw/zinc/89/91/07/357899107.db2.gz VLYFZVKTHTTXHN-UHFFFAOYSA-N -1 1 300.347 1.177 20 0 DDADMM O=C(CCCCc1nc2ccccc2s1)NCc1nn[n-]n1 ZINC000599377486 357914884 /nfs/dbraw/zinc/91/48/84/357914884.db2.gz UJSDIIDTRVCLLG-UHFFFAOYSA-N -1 1 316.390 1.839 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCOC(C)(C)C)o1 ZINC000618338316 363596588 /nfs/dbraw/zinc/59/65/88/363596588.db2.gz ZPDLWPPQUBVPPI-UHFFFAOYSA-N -1 1 318.395 1.123 20 0 DDADMM COc1cccc(C2(C(=O)NCc3nn[n-]n3)CCCC2)c1 ZINC000600496077 358240955 /nfs/dbraw/zinc/24/09/55/358240955.db2.gz PXDDTFVGCGCOBE-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM COC[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CCO1 ZINC000180592626 199181535 /nfs/dbraw/zinc/18/15/35/199181535.db2.gz CKCKWFKUMKYLDD-JTQLQIEISA-N -1 1 319.279 1.898 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cn2ccc(C(F)F)n2)n1 ZINC000600827838 358327569 /nfs/dbraw/zinc/32/75/69/358327569.db2.gz XNYHQPTTZXPTLV-UHFFFAOYSA-N -1 1 313.264 1.359 20 0 DDADMM CC(=O)c1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000600965046 358359772 /nfs/dbraw/zinc/35/97/72/358359772.db2.gz FCBVITZYSIBAGT-HNNXBMFYSA-N -1 1 321.340 1.922 20 0 DDADMM CNC(=O)[C@@H](C)CN(C)C(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000175856774 283382039 /nfs/dbraw/zinc/38/20/39/283382039.db2.gz VYSWPOWOJJGNMY-VIFPVBQESA-N -1 1 316.361 1.098 20 0 DDADMM CNC(=O)[C@@H](C)CN(C)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000175856774 283382040 /nfs/dbraw/zinc/38/20/40/283382040.db2.gz VYSWPOWOJJGNMY-VIFPVBQESA-N -1 1 316.361 1.098 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@H](C)O[C@H]2C)o1 ZINC000601499563 358576842 /nfs/dbraw/zinc/57/68/42/358576842.db2.gz QKSXMAOWTDSWMV-LPEHRKFASA-N -1 1 317.363 1.301 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccc(C(F)F)o1 ZINC000602298468 358918772 /nfs/dbraw/zinc/91/87/72/358918772.db2.gz BDDGNIHJBIXZOE-UHFFFAOYSA-N -1 1 313.260 1.802 20 0 DDADMM CCC[C@H]1CCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000348195895 283412849 /nfs/dbraw/zinc/41/28/49/283412849.db2.gz ZLHPOIMHAPOOIP-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](CC)CC(F)F)n[n-]1 ZINC000603023391 359365428 /nfs/dbraw/zinc/36/54/28/359365428.db2.gz SVSJJTFRQAGMRZ-SSDOTTSWSA-N -1 1 304.297 1.279 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](CC)CC(F)F)n1 ZINC000603023391 359365432 /nfs/dbraw/zinc/36/54/32/359365432.db2.gz SVSJJTFRQAGMRZ-SSDOTTSWSA-N -1 1 304.297 1.279 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@H]2CC(C)C)n[n-]1 ZINC000603154343 359441458 /nfs/dbraw/zinc/44/14/58/359441458.db2.gz LIVSMXILHIAXOS-HBNTYKKESA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@H]2CC(C)C)[n-]1 ZINC000603154343 359441462 /nfs/dbraw/zinc/44/14/62/359441462.db2.gz LIVSMXILHIAXOS-HBNTYKKESA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@H]2CC(C)C)n1 ZINC000603154343 359441472 /nfs/dbraw/zinc/44/14/72/359441472.db2.gz LIVSMXILHIAXOS-HBNTYKKESA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCCC[C@@H]2C)n[n-]1 ZINC000603157972 359444758 /nfs/dbraw/zinc/44/47/58/359444758.db2.gz XPWNMXXAPONRDD-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCCC[C@@H]2C)[n-]1 ZINC000603157972 359444761 /nfs/dbraw/zinc/44/47/61/359444761.db2.gz XPWNMXXAPONRDD-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCCC[C@@H]2C)n1 ZINC000603157972 359444764 /nfs/dbraw/zinc/44/47/64/359444764.db2.gz XPWNMXXAPONRDD-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cccc(C)c2)n[n-]1 ZINC000603167111 359451166 /nfs/dbraw/zinc/45/11/66/359451166.db2.gz VCUPAKIQEBOAMN-SNVBAGLBSA-N -1 1 302.334 1.781 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cccc(C)c2)[n-]1 ZINC000603167111 359451170 /nfs/dbraw/zinc/45/11/70/359451170.db2.gz VCUPAKIQEBOAMN-SNVBAGLBSA-N -1 1 302.334 1.781 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cccc(C)c2)n1 ZINC000603167111 359451175 /nfs/dbraw/zinc/45/11/75/359451175.db2.gz VCUPAKIQEBOAMN-SNVBAGLBSA-N -1 1 302.334 1.781 20 0 DDADMM CCOc1cc(C(=O)N2CC[C@@H](C(N)=O)C2)cc(Cl)c1[O-] ZINC000186784057 200010739 /nfs/dbraw/zinc/01/07/39/200010739.db2.gz LAGYYDDDUSMIGG-MRVPVSSYSA-N -1 1 312.753 1.392 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCCC[C@H]1O ZINC000187130281 200066324 /nfs/dbraw/zinc/06/63/24/200066324.db2.gz AMFSRBVFJOKGQM-GHMZBOCLSA-N -1 1 307.394 1.409 20 0 DDADMM C[C@H](CO[C@@H]1CCOC1)NC(=O)c1c(F)ccc([O-])c1F ZINC000281045793 216041875 /nfs/dbraw/zinc/04/18/75/216041875.db2.gz QJIUWLOHYSNUHH-RKDXNWHRSA-N -1 1 301.289 1.594 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(C)(C)C(C)C)o1 ZINC000187629122 200137043 /nfs/dbraw/zinc/13/70/43/200137043.db2.gz USZICVXLSUFNJE-UHFFFAOYSA-N -1 1 302.396 1.600 20 0 DDADMM CO[C@H]1CC[C@@H](NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000188282887 200221105 /nfs/dbraw/zinc/22/11/05/200221105.db2.gz FDSSGLFULPWTDK-MNOVXSKESA-N -1 1 307.394 1.673 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@H](O)C1)c1cc(F)c(F)cc1F ZINC000188329085 200228280 /nfs/dbraw/zinc/22/82/80/200228280.db2.gz TVKBXIVXKGAQAO-SFYZADRCSA-N -1 1 309.309 1.543 20 0 DDADMM O=C(Cc1cccc(F)c1)NCC(=O)Nc1c([O-])cccc1F ZINC000605439217 359857808 /nfs/dbraw/zinc/85/78/08/359857808.db2.gz FUEVBOGHRXLXQM-UHFFFAOYSA-N -1 1 320.295 1.968 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc(Br)cc2[O-])[C@H]1CO ZINC000189395878 200394394 /nfs/dbraw/zinc/39/43/94/200394394.db2.gz DGLPLKTWNSJLJA-KCJUWKMLSA-N -1 1 314.179 1.998 20 0 DDADMM COC(=O)[C@@H]1CCCCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000611581094 360767541 /nfs/dbraw/zinc/76/75/41/360767541.db2.gz RANQJCCZHPZENN-LBPRGKRZSA-N -1 1 323.418 1.697 20 0 DDADMM O=C(Nc1nc(C2CCC2)n[nH]1)c1ccc2oc(=O)nc-2[n-]1 ZINC000613500104 361443744 /nfs/dbraw/zinc/44/37/44/361443744.db2.gz WANGYJZYHZJSIP-UHFFFAOYSA-N -1 1 300.278 1.566 20 0 DDADMM O=C(Nc1n[nH]c(C2CCC2)n1)c1ccc2oc(=O)nc-2[n-]1 ZINC000613500104 361443749 /nfs/dbraw/zinc/44/37/49/361443749.db2.gz WANGYJZYHZJSIP-UHFFFAOYSA-N -1 1 300.278 1.566 20 0 DDADMM C[C@@H]1c2nncn2CCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000613926954 361632919 /nfs/dbraw/zinc/63/29/19/361632919.db2.gz NJVALXQHBYFWMO-SNVBAGLBSA-N -1 1 309.329 1.749 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCc1c[nH]cn1 ZINC000359121911 299332251 /nfs/dbraw/zinc/33/22/51/299332251.db2.gz FMQBVNQJRBQFLH-UHFFFAOYSA-N -1 1 314.349 1.024 20 0 DDADMM CO[C@@H](C)c1nc(=NCC2(SC)CCOCC2)s[n-]1 ZINC000619744889 364139724 /nfs/dbraw/zinc/13/97/24/364139724.db2.gz BFVKKGQEAXDSRB-VIFPVBQESA-N -1 1 303.453 1.992 20 0 DDADMM CC(C)(C)N1C[C@H](CNC(=O)c2ccc([O-])cc2F)CC1=O ZINC000620017195 364246304 /nfs/dbraw/zinc/24/63/04/364246304.db2.gz IHVORHFKTVUXII-JTQLQIEISA-N -1 1 308.353 1.908 20 0 DDADMM CCS(=O)(=O)C1(CNC(=O)c2ccc([O-])c(F)c2)CC1 ZINC000620051561 364259849 /nfs/dbraw/zinc/25/98/49/364259849.db2.gz GSYCHWJXOLFKSJ-UHFFFAOYSA-N -1 1 301.339 1.228 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2CC3(C2)CCCCC3)n1 ZINC000621792694 365032985 /nfs/dbraw/zinc/03/29/85/365032985.db2.gz KSLYKOACQYQYQK-UHFFFAOYSA-N -1 1 312.395 1.507 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C2CC3(C2)CCCCC3)[n-]1 ZINC000621792694 365032990 /nfs/dbraw/zinc/03/29/90/365032990.db2.gz KSLYKOACQYQYQK-UHFFFAOYSA-N -1 1 312.395 1.507 20 0 DDADMM O=C(c1cc(F)c[nH]c1=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000621991171 365174643 /nfs/dbraw/zinc/17/46/43/365174643.db2.gz IZRVSRFQRUOHRN-ZETCQYMHSA-N -1 1 308.269 1.035 20 0 DDADMM CCOCCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091161086 193008905 /nfs/dbraw/zinc/00/89/05/193008905.db2.gz XJPUAKMGVVBGJC-UHFFFAOYSA-N -1 1 304.343 1.562 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@@H](C)[C@](C)(CO)C3)c[n-]c2[nH+]1 ZINC000622180952 365316806 /nfs/dbraw/zinc/31/68/06/365316806.db2.gz BESGTQHSOJWDIC-QGHHPUGFSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H](C)[C@](C)(CO)C3)cnc2n1 ZINC000622180952 365316813 /nfs/dbraw/zinc/31/68/13/365316813.db2.gz BESGTQHSOJWDIC-QGHHPUGFSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](C)[C@@H](CO)C3)cnc2n1 ZINC000622228842 365341563 /nfs/dbraw/zinc/34/15/63/365341563.db2.gz BKOMBOUIRPVWAL-CMPLNLGQSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](C)[C@@H](CO)C3)c[n-]c2n1 ZINC000622228842 365341571 /nfs/dbraw/zinc/34/15/71/365341571.db2.gz BKOMBOUIRPVWAL-CMPLNLGQSA-N -1 1 315.373 1.734 20 0 DDADMM O=C(NCc1noc(C(F)(F)F)n1)c1c([O-])cccc1F ZINC000622565524 365439724 /nfs/dbraw/zinc/43/97/24/365439724.db2.gz KNBQLAYFQPVHSD-UHFFFAOYSA-N -1 1 305.187 1.863 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)[N-]S(=O)(=O)CCC1CCC1 ZINC000349552381 283962936 /nfs/dbraw/zinc/96/29/36/283962936.db2.gz GHCXDBPLYZCQRY-VIFPVBQESA-N -1 1 317.329 1.590 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@@H](C(=O)[O-])[C@H](C)C2)n[nH]1 ZINC000626757615 367726639 /nfs/dbraw/zinc/72/66/39/367726639.db2.gz YMQHNWGMHHUVHY-GBIKHYSHSA-N -1 1 308.382 1.401 20 0 DDADMM O=C([O-])[C@@H]1CN([C@@H]2CCN(C3CCC3)C2=O)Cc2ccccc21 ZINC000635017439 422770683 /nfs/dbraw/zinc/77/06/83/422770683.db2.gz IPZXGANRXIWMQN-HZPDHXFCSA-N -1 1 314.385 1.824 20 0 DDADMM CC[C@H](C)N[C@H](CNC(=O)N=c1cccn[n-]1)c1ccco1 ZINC000349865646 284083248 /nfs/dbraw/zinc/08/32/48/284083248.db2.gz ASYDEIKHDDIJID-NWDGAFQWSA-N -1 1 303.366 1.742 20 0 DDADMM CCc1cc(C(=O)N(C)[C@H](Cc2ccccc2)C(=O)[O-])n[nH]1 ZINC000263501667 203474126 /nfs/dbraw/zinc/47/41/26/203474126.db2.gz ZDPFMQBLSYJGQG-CQSZACIVSA-N -1 1 301.346 1.740 20 0 DDADMM NC(=O)c1ccccc1N1CC[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000377639672 307275233 /nfs/dbraw/zinc/27/52/33/307275233.db2.gz JUHPPZFBEALDQF-MRVPVSSYSA-N -1 1 301.268 1.043 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCC2CCCC2)o1 ZINC000264595863 204017522 /nfs/dbraw/zinc/01/75/22/204017522.db2.gz MMJMYFRKYDUWOD-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM Cc1ccc2sc(CNC(=O)CCCc3nn[n-]n3)nc2c1 ZINC000636278452 422773476 /nfs/dbraw/zinc/77/34/76/422773476.db2.gz XPZYAAWMGZBALE-UHFFFAOYSA-N -1 1 316.390 1.757 20 0 DDADMM CN(CCCNC(=O)c1cncc([O-])c1)C(=O)OC(C)(C)C ZINC000264855550 204211662 /nfs/dbraw/zinc/21/16/62/204211662.db2.gz OBRXRODRDYDOAI-UHFFFAOYSA-N -1 1 309.366 1.774 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCN(C(C)=O)C2)c1 ZINC000282717592 217199310 /nfs/dbraw/zinc/19/93/10/217199310.db2.gz HAOCURWUVXXBKH-LBPRGKRZSA-N -1 1 320.345 1.376 20 0 DDADMM COC(=O)[C@H](C)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000266450578 205355843 /nfs/dbraw/zinc/35/58/43/205355843.db2.gz JMSJWIFMEFPOEM-QMMMGPOBSA-N -1 1 302.352 1.040 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)o1 ZINC000282906884 217315589 /nfs/dbraw/zinc/31/55/89/217315589.db2.gz MFVNWGMRILWYRW-MLRMMBSGSA-N -1 1 302.334 1.419 20 0 DDADMM Cn1nncc1CNC(=O)c1ccc(Br)cc1[O-] ZINC000394877648 307295814 /nfs/dbraw/zinc/29/58/14/307295814.db2.gz IUMVOGGQOUIGEA-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM CC(=O)c1ccc(NS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)cc1 ZINC000037464422 182896524 /nfs/dbraw/zinc/89/65/24/182896524.db2.gz RLZXIEKVTZJQPB-UHFFFAOYSA-N -1 1 308.315 1.716 20 0 DDADMM COCCS(=O)(=O)Nc1cc(C(=O)[O-])ccc1OC(C)C ZINC000267880897 206317375 /nfs/dbraw/zinc/31/73/75/206317375.db2.gz PPBINQRMZUZWSH-UHFFFAOYSA-N -1 1 317.363 1.560 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)c1ccc(F)cc1Cl ZINC000040162639 183041658 /nfs/dbraw/zinc/04/16/58/183041658.db2.gz QLSSYBITYWLODD-NSHDSACASA-N -1 1 311.700 1.628 20 0 DDADMM CC1(C)CN(c2ccc(=NCc3ccccc3F)[n-]n2)C[C@@H]1O ZINC000333529689 249013741 /nfs/dbraw/zinc/01/37/41/249013741.db2.gz MAFCNKKOWNCBQB-AWEZNQCLSA-N -1 1 316.380 1.857 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCC[C@@H](C(=O)N(C)C)C2)c([O-])c1 ZINC000334080644 249208173 /nfs/dbraw/zinc/20/81/73/249208173.db2.gz URTDXVMAPLOWRY-NEPJUHHUSA-N -1 1 305.378 1.472 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H](CO)C1)c1cc(F)ccc1F ZINC000336843395 249249546 /nfs/dbraw/zinc/24/95/46/249249546.db2.gz WWGOSAOZDBDAGI-KOLCDFICSA-N -1 1 305.346 1.794 20 0 DDADMM CCc1ccc([C@H](C)C(=O)N=c2[nH][n-]c(C)c2C(=O)NC)cc1 ZINC000337167006 249371928 /nfs/dbraw/zinc/37/19/28/249371928.db2.gz YOXMQOOXHRTGLB-JTQLQIEISA-N -1 1 314.389 1.804 20 0 DDADMM COC(=O)C(C)(C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000105617300 194114642 /nfs/dbraw/zinc/11/46/42/194114642.db2.gz JVDBPSWLYZSVNN-UHFFFAOYSA-N -1 1 311.281 1.334 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1sccc1F)NCc1ccco1 ZINC000338849280 250160774 /nfs/dbraw/zinc/16/07/74/250160774.db2.gz BVUWQBLKVUWYRR-UHFFFAOYSA-N -1 1 318.351 1.075 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1sccc1F)CN1CCOCC1 ZINC000338898189 250187719 /nfs/dbraw/zinc/18/77/19/250187719.db2.gz HORKAZVUCHLGSC-SNVBAGLBSA-N -1 1 322.427 1.134 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@H]1C[C@H]1c1ccccc1 ZINC000339045580 250267197 /nfs/dbraw/zinc/26/71/97/250267197.db2.gz WJGMPRZFOGZVBR-NEPJUHHUSA-N -1 1 307.375 1.478 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1C[C@H]1c1ccccc1 ZINC000339045580 250267200 /nfs/dbraw/zinc/26/72/00/250267200.db2.gz WJGMPRZFOGZVBR-NEPJUHHUSA-N -1 1 307.375 1.478 20 0 DDADMM COC(=O)c1ccc(F)cc1S(=O)(=O)[N-][C@H]1CCC[C@H]1F ZINC000339117535 250301532 /nfs/dbraw/zinc/30/15/32/250301532.db2.gz BLXDRIZQHGWYFC-MNOVXSKESA-N -1 1 319.329 1.781 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1cccc(F)c1 ZINC000352149080 284823145 /nfs/dbraw/zinc/82/31/45/284823145.db2.gz NQMQFOMPAUZSFF-UHFFFAOYSA-N -1 1 307.281 1.008 20 0 DDADMM O=C(CNC(=O)Cc1cccc(F)c1)Nc1ccncc1[O-] ZINC000109890519 194296216 /nfs/dbraw/zinc/29/62/16/194296216.db2.gz ANNGDTNAMRTSIM-UHFFFAOYSA-N -1 1 303.293 1.224 20 0 DDADMM COC(=O)[C@H](Cc1ccccc1)NC(=O)c1ncccc1[O-] ZINC000340748805 251190588 /nfs/dbraw/zinc/19/05/88/251190588.db2.gz HLIGHUGGMXRCQR-LBPRGKRZSA-N -1 1 300.314 1.301 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@H]1CCOC1)c1cc(Cl)ccc1F ZINC000340841885 251238498 /nfs/dbraw/zinc/23/84/98/251238498.db2.gz GMRTWGJZISYQET-GZMMTYOYSA-N -1 1 323.773 1.155 20 0 DDADMM CN(C)C[C@H]1CCCN1S(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000061643364 184180448 /nfs/dbraw/zinc/18/04/48/184180448.db2.gz RDXPDEYTFRTFQC-GFCCVEGCSA-N -1 1 312.391 1.100 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-]c1ccc2c(C)[nH]nc2c1 ZINC000340985396 251318137 /nfs/dbraw/zinc/31/81/37/251318137.db2.gz SUKNHNGLSVLQKQ-UHFFFAOYSA-N -1 1 305.363 1.714 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2Cc3ccccc3[C@H]2C)o1 ZINC000341058758 251360363 /nfs/dbraw/zinc/36/03/63/251360363.db2.gz DZYCIZYMAFGYOO-SNVBAGLBSA-N -1 1 320.370 1.905 20 0 DDADMM O=C([O-])[C@H]1Cn2c(=O)[nH]nc2CN1C/C=C\c1ccc(F)cc1 ZINC000567675860 304233259 /nfs/dbraw/zinc/23/32/59/304233259.db2.gz MDECSXNKXBYDGA-UDSFMNFJSA-N -1 1 318.308 1.105 20 0 DDADMM Cn1nc(C(C)(C)C)cc1C(=O)NCCc1n[n-]c(=S)n1C ZINC000067051561 184447513 /nfs/dbraw/zinc/44/75/13/184447513.db2.gz ZVCULOOFVFMKJK-UHFFFAOYSA-N -1 1 322.438 1.481 20 0 DDADMM O=C(Nc1ccc(-c2ncc[nH]2)cc1)c1cc(=O)n2[n-]cnc2n1 ZINC000352432477 285046560 /nfs/dbraw/zinc/04/65/60/285046560.db2.gz QBJQKRKYEGBQML-UHFFFAOYSA-N -1 1 321.300 1.060 20 0 DDADMM CSC[C@H](C)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000120105436 195097930 /nfs/dbraw/zinc/09/79/30/195097930.db2.gz UMTQBPLLMADLRZ-VIFPVBQESA-N -1 1 322.434 1.852 20 0 DDADMM C[C@@H]1CCN([C@@H]2CCCN(CC(F)(F)F)C2=O)C[C@H]1C(=O)[O-] ZINC000567856011 304248346 /nfs/dbraw/zinc/24/83/46/304248346.db2.gz ACEPQOAMRDQVIY-GMTAPVOTSA-N -1 1 322.327 1.582 20 0 DDADMM COC(=O)[C@]1([N-]S(=O)(=O)Cc2ccccc2)CCSC1 ZINC000270215111 208280559 /nfs/dbraw/zinc/28/05/59/208280559.db2.gz GLIMUNWOYGFNHT-ZDUSSCGKSA-N -1 1 315.416 1.155 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCC[C@H]2C)c1 ZINC000359936169 299567297 /nfs/dbraw/zinc/56/72/97/299567297.db2.gz HINRGVPKFWPXLB-NXEZZACHSA-N -1 1 301.364 1.781 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC(OCC(F)F)C1 ZINC000443579134 533078722 /nfs/dbraw/zinc/07/87/22/533078722.db2.gz IDJUDQNLFNGLHK-UHFFFAOYSA-N -1 1 319.333 1.319 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCCC[C@H]2C)co1 ZINC000158286316 197260040 /nfs/dbraw/zinc/26/00/40/197260040.db2.gz GPVDIZUWRKSBOJ-KOLCDFICSA-N -1 1 300.380 1.496 20 0 DDADMM CC[C@H](C)c1cc(C(=O)[N-]c2n[nH]c(SCCO)n2)on1 ZINC000120700820 195219336 /nfs/dbraw/zinc/21/93/36/195219336.db2.gz QQWGYKYOGRTKGF-ZETCQYMHSA-N -1 1 311.367 1.643 20 0 DDADMM O=C1CCC(=O)N1CCSc1nc(C(F)F)cc(=O)[n-]1 ZINC000289581034 221082622 /nfs/dbraw/zinc/08/26/22/221082622.db2.gz RFZKAUFFJUWANY-UHFFFAOYSA-N -1 1 303.290 1.361 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1ccc(F)c(F)c1 ZINC000289695282 221159568 /nfs/dbraw/zinc/15/95/68/221159568.db2.gz DIMXESKQOAKGQI-UHFFFAOYSA-N -1 1 317.317 1.364 20 0 DDADMM Cn1nnc2c1C[C@@H](c1nc(-c3ccc([O-])cc3F)no1)CC2 ZINC000289815241 221244854 /nfs/dbraw/zinc/24/48/54/221244854.db2.gz OERYEIRMTUZZJX-QMMMGPOBSA-N -1 1 315.308 1.982 20 0 DDADMM COCC(C)(C)N1CCN([C@H](C(=O)[O-])c2cccnc2)CC1 ZINC000568281433 304283648 /nfs/dbraw/zinc/28/36/48/304283648.db2.gz KLCLMBCHYADWJM-AWEZNQCLSA-N -1 1 307.394 1.250 20 0 DDADMM CO[C@@H]1CC[C@H]2OCCN(C(=O)c3cc(F)ccc3[O-])[C@@H]2C1 ZINC000093246230 285746407 /nfs/dbraw/zinc/74/64/07/285746407.db2.gz UKJBJAXMDQSUNB-UXIGCNINSA-N -1 1 309.337 1.940 20 0 DDADMM O=C(C(=O)N1CCO[C@]2(CCSC2)C1)c1ccc([O-])cc1 ZINC000569125703 304338301 /nfs/dbraw/zinc/33/83/01/304338301.db2.gz YCZXIESSVGCMIK-OAHLLOKOSA-N -1 1 307.371 1.309 20 0 DDADMM O=C([C@@H]1CCCc2[nH]ncc21)N1CCCC[C@@H]1c1n[nH]c(=O)[n-]1 ZINC000329159444 304350268 /nfs/dbraw/zinc/35/02/68/304350268.db2.gz DUCPYHZWPUKNKX-BXKDBHETSA-N -1 1 316.365 1.407 20 0 DDADMM CCOCCCN(C[C@@H](C)C(=O)[O-])C(=O)c1cc(C2CC2)[nH]n1 ZINC000262470132 286054877 /nfs/dbraw/zinc/05/48/77/286054877.db2.gz ZSVAQONYABLSMZ-LLVKDONJSA-N -1 1 323.393 1.877 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2sccc2[C@H]1C ZINC000416122634 286187908 /nfs/dbraw/zinc/18/79/08/286187908.db2.gz JXQVAZRZHXISRR-SSDOTTSWSA-N -1 1 321.358 1.717 20 0 DDADMM COc1cc(C(=O)N2CCNC(=O)C2(C)C)cc(Cl)c1[O-] ZINC000153754054 286195441 /nfs/dbraw/zinc/19/54/41/286195441.db2.gz ZMYIPMAOCLESKP-UHFFFAOYSA-N -1 1 312.753 1.405 20 0 DDADMM Cc1cnc(C(=O)NCc2ncn(-c3ccccc3)n2)c([O-])c1 ZINC000360948091 299779394 /nfs/dbraw/zinc/77/93/94/299779394.db2.gz ZOERDYNLYAHEBD-UHFFFAOYSA-N -1 1 309.329 1.606 20 0 DDADMM O=C(N[C@@H]1CCCS(=O)(=O)C1)c1ccc(Cl)cc1[O-] ZINC000069144916 406686134 /nfs/dbraw/zinc/68/61/34/406686134.db2.gz YFIYZYFCYZWKJS-SECBINFHSA-N -1 1 303.767 1.353 20 0 DDADMM CN(C)C(=O)c1ccc(S(=O)(=O)[N-]c2ccncc2)cc1 ZINC000028872118 406919221 /nfs/dbraw/zinc/91/92/21/406919221.db2.gz NRTBFDZDJRNHNO-UHFFFAOYSA-N -1 1 305.359 1.584 20 0 DDADMM CC(C)(NC(=O)c1ccc(N2CCCC2)c(F)c1)c1nn[n-]n1 ZINC000075148268 406924852 /nfs/dbraw/zinc/92/48/52/406924852.db2.gz MMQMKYBEHTXDJI-UHFFFAOYSA-N -1 1 318.356 1.604 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(-n3cncn3)nc2)cc1 ZINC000044895066 407032098 /nfs/dbraw/zinc/03/20/98/407032098.db2.gz JERYCFMMZWOYKZ-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM CC(C)CNC(=O)c1cccc(CS(=O)(=O)c2ncn[n-]2)c1 ZINC000086169684 407109361 /nfs/dbraw/zinc/10/93/61/407109361.db2.gz YARDJKQFAHNCEU-UHFFFAOYSA-N -1 1 322.390 1.164 20 0 DDADMM CC(C)CNC(=O)c1cccc(CS(=O)(=O)c2nc[n-]n2)c1 ZINC000086169684 407109365 /nfs/dbraw/zinc/10/93/65/407109365.db2.gz YARDJKQFAHNCEU-UHFFFAOYSA-N -1 1 322.390 1.164 20 0 DDADMM CNC(=O)COc1ccc(NC(=O)c2cc(F)ccc2[O-])cc1 ZINC000080025793 407068710 /nfs/dbraw/zinc/06/87/10/407068710.db2.gz YYBDVQKKFLIMJG-UHFFFAOYSA-N -1 1 318.304 1.908 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CC2CCC1CC2 ZINC000570936789 304427902 /nfs/dbraw/zinc/42/79/02/304427902.db2.gz UUPUYVPHARKWTI-WNYYMSAVSA-N -1 1 315.377 1.362 20 0 DDADMM C[C@@H](CC(=O)NN1CC(=O)[N-]C1=O)Cc1ccc(Cl)cc1 ZINC000089434112 407134482 /nfs/dbraw/zinc/13/44/82/407134482.db2.gz OYTPTEHGPRBGRZ-SECBINFHSA-N -1 1 309.753 1.492 20 0 DDADMM CCS(=O)(=O)NCCCNC(=O)c1cc(Cl)ccc1[O-] ZINC000051448846 407140140 /nfs/dbraw/zinc/14/01/40/407140140.db2.gz IQPBHPCFBWDVFM-UHFFFAOYSA-N -1 1 320.798 1.105 20 0 DDADMM NC(=O)[C@H]1CCN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000053892160 407174435 /nfs/dbraw/zinc/17/44/35/407174435.db2.gz PHWRWCVGSNMWPQ-ZETCQYMHSA-N -1 1 313.151 1.102 20 0 DDADMM O=C(NC[C@@H]1COCCO1)c1cc(Br)ccc1[O-] ZINC000054619527 407186872 /nfs/dbraw/zinc/18/68/72/407186872.db2.gz IPBAMSRUJQQQMT-SECBINFHSA-N -1 1 316.151 1.300 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1ccnn1C ZINC000102348309 407321864 /nfs/dbraw/zinc/32/18/64/407321864.db2.gz ZBLHJBRWKXEAKW-UHFFFAOYSA-N -1 1 308.363 1.434 20 0 DDADMM COc1ncccc1C(=O)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067079525 407267219 /nfs/dbraw/zinc/26/72/19/407267219.db2.gz PRPGBFONXOUULF-UHFFFAOYSA-N -1 1 319.390 1.652 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCC[C@@H](C)C2)co1 ZINC000122401648 407300015 /nfs/dbraw/zinc/30/00/15/407300015.db2.gz BUTSDQVSDRFASP-GHMZBOCLSA-N -1 1 314.407 1.744 20 0 DDADMM COC(=O)[C@@]12CCC[C@H]1CN(C(=O)c1ccc([O-])cc1F)C2 ZINC000123749518 407338088 /nfs/dbraw/zinc/33/80/88/407338088.db2.gz RWZMZWTYGFOUDT-MGPLVRAMSA-N -1 1 307.321 1.947 20 0 DDADMM COc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccc1Cl ZINC000124506571 407360370 /nfs/dbraw/zinc/36/03/70/407360370.db2.gz PIDOQGOXAUKBGH-MRVPVSSYSA-N -1 1 309.757 1.737 20 0 DDADMM Cn1cnc(-c2ccc([N-]S(=O)(=O)C[C@@H]3CCCO3)cc2)n1 ZINC000185637166 407467549 /nfs/dbraw/zinc/46/75/49/407467549.db2.gz MSNPEPMWFSYUQK-ZDUSSCGKSA-N -1 1 322.390 1.403 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C(=O)OC ZINC000186128611 407583795 /nfs/dbraw/zinc/58/37/95/407583795.db2.gz OYRYVGNBGMSPKW-MRVPVSSYSA-N -1 1 323.802 1.084 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ccc(C)s2)c1 ZINC000151902132 407523135 /nfs/dbraw/zinc/52/31/35/407523135.db2.gz IOZZDJWJZYSZBO-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H](O)CC(C)(C)C)c1Cl ZINC000178779449 407599391 /nfs/dbraw/zinc/59/93/91/407599391.db2.gz XTMHKQULVBHQSM-MRVPVSSYSA-N -1 1 309.819 1.149 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C1CC1 ZINC000152382472 407626949 /nfs/dbraw/zinc/62/69/49/407626949.db2.gz HFPAVAPTMIYSID-NSHDSACASA-N -1 1 309.309 1.807 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H](C)[C@@H]2C)co1 ZINC000178954707 407670378 /nfs/dbraw/zinc/67/03/78/407670378.db2.gz ASQSYRHSINDJBD-UWVGGRQHSA-N -1 1 300.380 1.448 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC[C@@H](CCO)C1 ZINC000231209041 407695967 /nfs/dbraw/zinc/69/59/67/407695967.db2.gz DKLXTBFSZHXIHM-VIFPVBQESA-N -1 1 314.179 1.999 20 0 DDADMM CC[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000152908482 407712550 /nfs/dbraw/zinc/71/25/50/407712550.db2.gz SGDORTLSZYKZFH-ZJUUUORDSA-N -1 1 301.364 1.923 20 0 DDADMM CCCNC(=O)CNC(=O)c1cc(Cl)c([O-])c(OCC)c1 ZINC000186422651 407662923 /nfs/dbraw/zinc/66/29/23/407662923.db2.gz YYPJSUUOMNVQFN-UHFFFAOYSA-N -1 1 314.769 1.700 20 0 DDADMM CS(=O)(=O)Nc1ccc(-c2nc(C3(N)CCC3)no2)cc1 ZINC000261906337 407664440 /nfs/dbraw/zinc/66/44/40/407664440.db2.gz ZELDSJNPUXVWPV-UHFFFAOYSA-N -1 1 308.363 1.446 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)N1CC(=O)Nc2ccccc21)C(=O)[O-] ZINC000262301026 407791449 /nfs/dbraw/zinc/79/14/49/407791449.db2.gz QGNGMVDJHKOZNW-CMPLNLGQSA-N -1 1 319.361 1.203 20 0 DDADMM CN(C)c1cccc([C@@H]2CCCN2CC(=O)NCC(=O)[O-])c1 ZINC000262296714 407788280 /nfs/dbraw/zinc/78/82/80/407788280.db2.gz ZWFFHIKUDIHBRG-AWEZNQCLSA-N -1 1 305.378 1.090 20 0 DDADMM CN1C[C@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)CCC1=O ZINC000132749912 407801685 /nfs/dbraw/zinc/80/16/85/407801685.db2.gz WDFQRPLDXJKOID-MRVPVSSYSA-N -1 1 320.773 1.378 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@H]2CCC[C@@H]2C1 ZINC000153345046 407803109 /nfs/dbraw/zinc/80/31/09/407803109.db2.gz GRCKJLIUGDWNOT-CHWSQXEVSA-N -1 1 314.389 1.440 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2c(C)cccc2O)o1 ZINC000179543427 407803097 /nfs/dbraw/zinc/80/30/97/407803097.db2.gz UQAFOIXKZQMYET-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM CCCC[C@@H](CC)CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000117413161 407834541 /nfs/dbraw/zinc/83/45/41/407834541.db2.gz WXKRRKGBTMQOEY-SECBINFHSA-N -1 1 302.400 1.753 20 0 DDADMM CCCC[C@@H](CC)CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000117413161 407834547 /nfs/dbraw/zinc/83/45/47/407834547.db2.gz WXKRRKGBTMQOEY-SECBINFHSA-N -1 1 302.400 1.753 20 0 DDADMM CCOc1ccccc1NC(=O)[C@@H](C)N1CC[C@@H](C(=O)[O-])C1 ZINC000262688254 407900323 /nfs/dbraw/zinc/90/03/23/407900323.db2.gz YRPIYMMIEMGKOA-VXGBXAGGSA-N -1 1 306.362 1.819 20 0 DDADMM Cc1nc(C(=O)Nc2nc(-c3ccco3)n[nH]2)c(=O)[nH]c1C ZINC000134537353 407904199 /nfs/dbraw/zinc/90/41/99/407904199.db2.gz BEMYUPQEMSJISH-UHFFFAOYSA-N -1 1 300.278 1.017 20 0 DDADMM NC(=O)CCn1ccc(NC(=O)c2cc3ccccc3cc2[O-])n1 ZINC000117704026 407851272 /nfs/dbraw/zinc/85/12/72/407851272.db2.gz DEYLLPZBIOACQU-UHFFFAOYSA-N -1 1 324.340 1.870 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@@H]([C@H](C)O)C3)c[n-]c2[nH+]1 ZINC000153934131 407937236 /nfs/dbraw/zinc/93/72/36/407937236.db2.gz JQQGZBUPLXTXAH-NWDGAFQWSA-N -1 1 315.373 1.877 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@@H]([C@H](C)O)C3)cnc2n1 ZINC000153934131 407937242 /nfs/dbraw/zinc/93/72/42/407937242.db2.gz JQQGZBUPLXTXAH-NWDGAFQWSA-N -1 1 315.373 1.877 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3CCCOCC3)cnc2n1 ZINC000180979365 407939755 /nfs/dbraw/zinc/93/97/55/407939755.db2.gz LWVLKWANBUNZGG-LLVKDONJSA-N -1 1 301.346 1.943 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1CCCOCC1)c2=O ZINC000180979365 407939759 /nfs/dbraw/zinc/93/97/59/407939759.db2.gz LWVLKWANBUNZGG-LLVKDONJSA-N -1 1 301.346 1.943 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000245455827 407986507 /nfs/dbraw/zinc/98/65/07/407986507.db2.gz IKVMLUJJRYYZMV-ATCWAGBWSA-N -1 1 314.389 1.692 20 0 DDADMM CN(C)C(=O)C1(CNC(=O)c2cc(F)ccc2[O-])CCCC1 ZINC000175233729 408082545 /nfs/dbraw/zinc/08/25/45/408082545.db2.gz VXCJGQCABAXWOF-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM CCC(CC)n1nc(C)cc1C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000182559642 408193863 /nfs/dbraw/zinc/19/38/63/408193863.db2.gz CAGKQORJVKAJQD-UHFFFAOYSA-N -1 1 320.397 1.327 20 0 DDADMM NC(=O)[C@H]1CCCCC[C@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000137601067 408160508 /nfs/dbraw/zinc/16/05/08/408160508.db2.gz KXCAQTYRIDHAQV-WDEREUQCSA-N -1 1 301.350 1.122 20 0 DDADMM C[C@H]1CCc2ccccc2N1C(=O)CN1CC[C@@H](C(=O)[O-])C1 ZINC000246238504 408187838 /nfs/dbraw/zinc/18/78/38/408187838.db2.gz INHUJCIIQXOXEB-GXTWGEPZSA-N -1 1 302.374 1.761 20 0 DDADMM CCCCn1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1C ZINC000124699463 161845604 /nfs/dbraw/zinc/84/56/04/161845604.db2.gz BGCZJGNLJPDCOS-JTQLQIEISA-N -1 1 305.386 1.380 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnn(-c2ccccc2)c1)c1nn[n-]n1 ZINC000273575019 408250877 /nfs/dbraw/zinc/25/08/77/408250877.db2.gz MFSCKMHFHIXHKJ-LLVKDONJSA-N -1 1 311.349 1.261 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(-c2ccco2)on1)c1nn[n-]n1 ZINC000273584635 408254853 /nfs/dbraw/zinc/25/48/53/408254853.db2.gz QCTNYXJICIAXPR-MRVPVSSYSA-N -1 1 302.294 1.323 20 0 DDADMM COc1cc(OC)cc(OCCNC(=O)c2cncc([O-])c2)c1 ZINC000268817167 408205076 /nfs/dbraw/zinc/20/50/76/408205076.db2.gz HXEBXBICBCMYPV-UHFFFAOYSA-N -1 1 318.329 1.613 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]Cc1nccn1C(F)F ZINC000175835597 408217557 /nfs/dbraw/zinc/21/75/57/408217557.db2.gz XAUWWBFPLLAHQN-UHFFFAOYSA-N -1 1 306.294 1.362 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CCCO2)o1 ZINC000155864403 408218561 /nfs/dbraw/zinc/21/85/61/408218561.db2.gz MQJNPJRYTVCXDT-UHFFFAOYSA-N -1 1 302.352 1.134 20 0 DDADMM NC(=O)[C@@H]1CC[C@H](CNC(=O)c2ccc3ccccc3c2[O-])O1 ZINC000150895161 408221797 /nfs/dbraw/zinc/22/17/97/408221797.db2.gz RBZGYYHXQKHRDE-RISCZKNCSA-N -1 1 314.341 1.308 20 0 DDADMM C[C@H](NC(=O)c1nn(-c2ccccc2F)cc1[O-])c1nnc[nH]1 ZINC000176234968 408320479 /nfs/dbraw/zinc/32/04/79/408320479.db2.gz FZHOOOCZXCUHHO-QMMMGPOBSA-N -1 1 316.296 1.326 20 0 DDADMM Cc1cc(C(=O)NCCCc2nc(=O)[n-][nH]2)nc2ccccc12 ZINC000176270998 408328792 /nfs/dbraw/zinc/32/87/92/408328792.db2.gz OMFPNBHESAUMRJ-UHFFFAOYSA-N -1 1 311.345 1.317 20 0 DDADMM Cc1nnsc1C(=O)[N-]c1n[nH]c(Cc2cccc(F)c2)n1 ZINC000190586301 408285883 /nfs/dbraw/zinc/28/58/83/408285883.db2.gz HFYNVCWVDGLEAZ-UHFFFAOYSA-N -1 1 318.337 1.947 20 0 DDADMM CCN1CCN(c2ccc(F)cc2[C@H](C)NC(=O)C(=O)[O-])CC1 ZINC000274156340 408311342 /nfs/dbraw/zinc/31/13/42/408311342.db2.gz JRTWRZHTYIQQOE-NSHDSACASA-N -1 1 323.368 1.229 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@@]1(C)CCCC[C@H]1O)c2=O ZINC000132817856 162045829 /nfs/dbraw/zinc/04/58/29/162045829.db2.gz PSIQJJWEMGFPGK-MLGOLLRUSA-N -1 1 318.377 1.345 20 0 DDADMM COc1ccc(Br)cc1CC(=O)Nc1nnn[n-]1 ZINC000173809271 162366123 /nfs/dbraw/zinc/36/61/23/162366123.db2.gz ZGGUFSGCOQKADZ-UHFFFAOYSA-N -1 1 312.127 1.152 20 0 DDADMM COc1ccc(Br)cc1CC(=O)Nc1nn[n-]n1 ZINC000173809271 162366125 /nfs/dbraw/zinc/36/61/25/162366125.db2.gz ZGGUFSGCOQKADZ-UHFFFAOYSA-N -1 1 312.127 1.152 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CC(C)(C)OC2(C)C)c1Cl ZINC000183314222 408378070 /nfs/dbraw/zinc/37/80/70/408378070.db2.gz BECYSDYJBZKIKT-MRVPVSSYSA-N -1 1 321.830 1.698 20 0 DDADMM COc1ccccc1C(C)=CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183429513 408410281 /nfs/dbraw/zinc/41/02/81/408410281.db2.gz GBRLLCFGKIZNQL-ZKQHCESOSA-N -1 1 315.377 1.874 20 0 DDADMM CCC[C@@H](NC(=O)CSCc1ccccn1)c1nn[n-]n1 ZINC000176756739 408435408 /nfs/dbraw/zinc/43/54/08/408435408.db2.gz YJPGUKUXFXGDOW-LLVKDONJSA-N -1 1 306.395 1.486 20 0 DDADMM CCC[C@H](NC(=O)COc1ccccc1OCC)c1nn[n-]n1 ZINC000176764075 408438106 /nfs/dbraw/zinc/43/81/06/408438106.db2.gz CSLOCTDTJWAJTN-NSHDSACASA-N -1 1 319.365 1.635 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2ccccc2C(=O)OC)o1 ZINC000274700532 408500987 /nfs/dbraw/zinc/50/09/87/408500987.db2.gz NNVOAWVXHGHRSZ-UHFFFAOYSA-N -1 1 324.358 1.502 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1Cc2cc(Cl)ccc2O1)c1nn[n-]n1 ZINC000274727369 408510933 /nfs/dbraw/zinc/51/09/33/408510933.db2.gz BQEJKWRPXZPCFI-UFBFGSQYSA-N -1 1 321.768 1.419 20 0 DDADMM Cc1cc(NC(=O)C[N@@H+]2[C@H](C(=O)[O-])C[C@@H]3CCCC[C@@H]32)n(C)n1 ZINC000248558979 408586699 /nfs/dbraw/zinc/58/66/99/408586699.db2.gz MLFOFYFCXJMVAS-AVGNSLFASA-N -1 1 320.393 1.385 20 0 DDADMM O=S(=O)([N-]CC(F)(F)CO)c1cc(Cl)cc(Cl)c1 ZINC000184301782 408586739 /nfs/dbraw/zinc/58/67/39/408586739.db2.gz LMAVXKRNOGMUPH-UHFFFAOYSA-N -1 1 320.144 1.899 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)C2CCCCCC2)co1 ZINC000162502345 408707610 /nfs/dbraw/zinc/70/76/10/408707610.db2.gz JGYRMFYFYNJTOM-UHFFFAOYSA-N -1 1 314.407 1.983 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N([C@H](C)c2ccccc2)C1 ZINC000184780810 408689546 /nfs/dbraw/zinc/68/95/46/408689546.db2.gz URQVNMBIQWNVJL-HIFRSBDPSA-N -1 1 320.389 1.680 20 0 DDADMM O=C(/C=C/c1ccncn1)Nc1nc(Br)ccc1[O-] ZINC000194573665 408787037 /nfs/dbraw/zinc/78/70/37/408787037.db2.gz MSWLMBVDJZEYDF-DAFODLJHSA-N -1 1 321.134 1.992 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)N1CCc2sccc2C1)C(=O)[O-] ZINC000185062976 408750108 /nfs/dbraw/zinc/75/01/08/408750108.db2.gz OBZWZMQXJKRWAS-ZYHUDNBSSA-N -1 1 310.419 1.864 20 0 DDADMM C[C@@H](C[S@](C)=O)NC(=O)c1ccc(Br)cc1[O-] ZINC000168285138 408818550 /nfs/dbraw/zinc/81/85/50/408818550.db2.gz GODLUBFEMQJRKB-BWKAKNAASA-N -1 1 320.208 1.652 20 0 DDADMM Cc1nnc(NC(=O)c2ccc3n[n-]c(=S)n3c2)n1C1CC1 ZINC000285818128 408869799 /nfs/dbraw/zinc/86/97/99/408869799.db2.gz SPNSKQWZNTZZCX-UHFFFAOYSA-N -1 1 315.362 1.505 20 0 DDADMM COCCOCc1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC000291485420 408872606 /nfs/dbraw/zinc/87/26/06/408872606.db2.gz OEWDEBCXTLPUDU-UHFFFAOYSA-N -1 1 316.357 1.880 20 0 DDADMM CC[C@H]1CCCC[C@@H]1OCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000187339937 163014363 /nfs/dbraw/zinc/01/43/63/163014363.db2.gz JQTQCRVGPDEHLA-AGIUHOORSA-N -1 1 309.414 1.747 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@H](O)C1)c1ccc(F)c(F)c1F ZINC000228596420 163342014 /nfs/dbraw/zinc/34/20/14/163342014.db2.gz COHXETMXJKRTKQ-BDAKNGLRSA-N -1 1 323.336 1.933 20 0 DDADMM C[C@H](CC(N)=O)NC(=O)c1ccc(Br)c([O-])c1 ZINC000231348364 163349659 /nfs/dbraw/zinc/34/96/59/163349659.db2.gz LRPGWUMHBKAPFB-ZCFIWIBFSA-N -1 1 301.140 1.148 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc(C(=O)N(C)OC)cc1 ZINC000282156928 409001769 /nfs/dbraw/zinc/00/17/69/409001769.db2.gz GIEFMYCIVRBEMC-SNVBAGLBSA-N -1 1 316.379 1.097 20 0 DDADMM COC(=O)c1cnc(S[C@H](C)C(=O)C(C)(C)C(=O)OC)[n-]1 ZINC000286404376 408978050 /nfs/dbraw/zinc/97/80/50/408978050.db2.gz FPNAIAICVSRDEV-SSDOTTSWSA-N -1 1 314.363 1.445 20 0 DDADMM COC(=O)c1c[n-]c(S[C@H](C)C(=O)C(C)(C)C(=O)OC)n1 ZINC000286404376 408978052 /nfs/dbraw/zinc/97/80/52/408978052.db2.gz FPNAIAICVSRDEV-SSDOTTSWSA-N -1 1 314.363 1.445 20 0 DDADMM O=C([N-]CCn1cc(Br)cn1)C(F)(F)C(F)F ZINC000286438448 408985029 /nfs/dbraw/zinc/98/50/29/408985029.db2.gz MFFZOAOKHQCGQQ-UHFFFAOYSA-N -1 1 318.068 1.662 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC1=CCCOC1 ZINC000292153775 408990811 /nfs/dbraw/zinc/99/08/11/408990811.db2.gz CFONKOWZDOKUBZ-UHFFFAOYSA-N -1 1 305.378 1.865 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@H](C)C(C)C)CC2)n1 ZINC000277845881 409022626 /nfs/dbraw/zinc/02/26/26/409022626.db2.gz XGOIASUGNHPDDQ-LLVKDONJSA-N -1 1 322.409 1.980 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(c2cccc(C)c2C)C1 ZINC000287731427 409083665 /nfs/dbraw/zinc/08/36/65/409083665.db2.gz HIYUSOCQKFGPCA-AWEZNQCLSA-N -1 1 320.389 1.741 20 0 DDADMM COC[C@@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000283182375 409105933 /nfs/dbraw/zinc/10/59/33/409105933.db2.gz VHHGSBPXCSDKRJ-SNVBAGLBSA-N -1 1 306.391 1.517 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(C(C)C)CC1 ZINC000293714638 409166924 /nfs/dbraw/zinc/16/69/24/409166924.db2.gz FCKXSDWKQWBATM-UHFFFAOYSA-N -1 1 301.368 1.053 20 0 DDADMM O=S(=O)([N-]c1cc([C@@H]2CCCOC2)n[nH]1)c1cccnc1 ZINC000280147908 409258169 /nfs/dbraw/zinc/25/81/69/409258169.db2.gz ADSDLNFAAYOKIE-SNVBAGLBSA-N -1 1 308.363 1.500 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@@H]1O)c1ncccc1[O-] ZINC000283717516 409202218 /nfs/dbraw/zinc/20/22/18/409202218.db2.gz UUOYBGZRFGKTJS-SMDDNHRTSA-N -1 1 306.362 1.085 20 0 DDADMM C[C@@H]1OCC[C@@]1(O)CNC(=O)c1cc2ccccc2cc1[O-] ZINC000279390818 409204923 /nfs/dbraw/zinc/20/49/23/409204923.db2.gz GDGQBZRTPMGZEW-APPDUMDISA-N -1 1 301.342 1.815 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCOC1=O ZINC000289418841 409267433 /nfs/dbraw/zinc/26/74/33/409267433.db2.gz BQEIKGLPOIJMCL-LLVKDONJSA-N -1 1 309.265 1.088 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@H](C2OCCO2)C1 ZINC000280731875 409431944 /nfs/dbraw/zinc/43/19/44/409431944.db2.gz IOOBAQGHGOPFRX-VIFPVBQESA-N -1 1 313.300 1.896 20 0 DDADMM CCCOC(=O)CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295329038 409438881 /nfs/dbraw/zinc/43/88/81/409438881.db2.gz TXZVSXCMZZQVRY-UHFFFAOYSA-N -1 1 308.363 1.091 20 0 DDADMM O=S(=O)([N-]C1CCC(CO)CC1)c1cc(F)ccc1F ZINC000312427294 164007963 /nfs/dbraw/zinc/00/79/63/164007963.db2.gz LKPLVIRRKYSURK-UHFFFAOYSA-N -1 1 305.346 1.794 20 0 DDADMM C[C@@H]1CCCN(Cc2ccc(S(C)(=O)=O)cc2)[C@H]1C(=O)[O-] ZINC000314025340 164012271 /nfs/dbraw/zinc/01/22/71/164012271.db2.gz XMBUONPXUSGYMB-BXUZGUMPSA-N -1 1 311.403 1.775 20 0 DDADMM O=C(CSc1ccc(Cl)cc1)NC1(c2nn[n-]n2)CC1 ZINC000357057307 164138053 /nfs/dbraw/zinc/13/80/53/164138053.db2.gz POZFLHDUHFBPRE-UHFFFAOYSA-N -1 1 309.782 1.751 20 0 DDADMM CC(=O)N1CCC([N-]S(=O)(=O)c2cccc(F)c2F)CC1 ZINC000407970039 164183958 /nfs/dbraw/zinc/18/39/58/164183958.db2.gz APVNKZFPJYAWOR-UHFFFAOYSA-N -1 1 318.345 1.254 20 0 DDADMM C[C@@H]1CCCN(S(=O)(=O)[N-]c2ccn(CC(F)F)n2)C1 ZINC000408352925 164305496 /nfs/dbraw/zinc/30/54/96/164305496.db2.gz WKCISWYZUSZNDI-SECBINFHSA-N -1 1 308.354 1.537 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000408410688 164323628 /nfs/dbraw/zinc/32/36/28/164323628.db2.gz XDBGTKPRUHVHCU-OCCSQVGLSA-N -1 1 302.374 1.660 20 0 DDADMM Cc1cnc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)nc1 ZINC000290757657 409509431 /nfs/dbraw/zinc/50/94/31/409509431.db2.gz TYVWKKUJARRFFB-JTQLQIEISA-N -1 1 302.300 1.680 20 0 DDADMM CO[C@@H](C)c1nc(=N[C@H](CCO)C2CCOCC2)s[n-]1 ZINC000337858635 409514416 /nfs/dbraw/zinc/51/44/16/409514416.db2.gz IAVHSVXGAPFQRD-GXSJLCMTSA-N -1 1 301.412 1.257 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@@H](C(C)C)[C@H]1C(C)C ZINC000331497715 409571049 /nfs/dbraw/zinc/57/10/49/409571049.db2.gz JMLDVHGORHVTRE-WDEREUQCSA-N -1 1 301.412 1.697 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc2c(c1)CCC2 ZINC000337948879 409593981 /nfs/dbraw/zinc/59/39/81/409593981.db2.gz XMDQHXIQDMNZSQ-UHFFFAOYSA-N -1 1 311.341 1.644 20 0 DDADMM C[C@]1(CO)CCC[C@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000305139316 409696611 /nfs/dbraw/zinc/69/66/11/409696611.db2.gz VMHSNEBBADOYQN-CHWSQXEVSA-N -1 1 305.346 1.794 20 0 DDADMM C[C@H](NC(=O)CN1CC[C@@H](C(=O)[O-])[C@H](C)C1)c1cccs1 ZINC000314219997 409821492 /nfs/dbraw/zinc/82/14/92/409821492.db2.gz WYRYVQYRBZDDDK-GRYCIOLGSA-N -1 1 310.419 1.968 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1scnc1C1CCCC1 ZINC000357058719 409828560 /nfs/dbraw/zinc/82/85/60/409828560.db2.gz RVTJBMIPYHLWLZ-UHFFFAOYSA-N -1 1 304.379 1.733 20 0 DDADMM COc1ccccc1NC(=O)CN1CCC[C@@H](CC(=O)[O-])C1 ZINC000315251078 409840245 /nfs/dbraw/zinc/84/02/45/409840245.db2.gz WIJHSPRJXJRXHP-LBPRGKRZSA-N -1 1 306.362 1.820 20 0 DDADMM CCn1cc([C@H]2OCCC[C@@H]2NC(=O)c2cncc([O-])c2)cn1 ZINC000332063176 409844812 /nfs/dbraw/zinc/84/48/12/409844812.db2.gz QNRAGMDDEKAGFV-LSDHHAIUSA-N -1 1 316.361 1.654 20 0 DDADMM CN(C)C(=O)C1(NC(=O)C(=O)c2ccc([O-])cc2)CCCCC1 ZINC000297096203 409802881 /nfs/dbraw/zinc/80/28/81/409802881.db2.gz YMZJCFKYXAGVPM-UHFFFAOYSA-N -1 1 318.373 1.482 20 0 DDADMM CCc1ccc(C(=O)CCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357038463 409810361 /nfs/dbraw/zinc/81/03/61/409810361.db2.gz ARIMHKJOXYJZMA-UHFFFAOYSA-N -1 1 313.361 1.531 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2C[C@@H]2C(F)F)c1Br ZINC000338227783 409818342 /nfs/dbraw/zinc/81/83/42/409818342.db2.gz PVSFLZFDAYZESC-CRCLSJGQSA-N -1 1 308.126 1.996 20 0 DDADMM CC(C)COc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357097903 409870507 /nfs/dbraw/zinc/87/05/07/409870507.db2.gz QZILKRUGJOAFCE-UHFFFAOYSA-N -1 1 301.350 1.654 20 0 DDADMM C[NH+](C)Cc1cccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000346254389 409880734 /nfs/dbraw/zinc/88/07/34/409880734.db2.gz PGGINHWTYCMGFC-UHFFFAOYSA-N -1 1 314.393 1.461 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)[C@@H]3CCCO3)cnc2n1 ZINC000332115774 409898169 /nfs/dbraw/zinc/89/81/69/409898169.db2.gz KFBZFLVSPSKWBV-MFKMUULPSA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)[C@@H]1CCCO1)c2=O ZINC000332115774 409898179 /nfs/dbraw/zinc/89/81/79/409898179.db2.gz KFBZFLVSPSKWBV-MFKMUULPSA-N -1 1 301.346 1.941 20 0 DDADMM COc1cc(NC(=O)NC(C)C)ccc1[N-]S(C)(=O)=O ZINC000338349167 409931148 /nfs/dbraw/zinc/93/11/48/409931148.db2.gz DHBHYFBDWXBLGJ-UHFFFAOYSA-N -1 1 301.368 1.597 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)nc1C ZINC000332261463 410021254 /nfs/dbraw/zinc/02/12/54/410021254.db2.gz BEFBTDAXNTYQIU-NSHDSACASA-N -1 1 302.334 1.807 20 0 DDADMM CCOc1ccc([C@@H](C)NC(=O)CCCc2nn[n-]n2)cc1 ZINC000635162968 422852312 /nfs/dbraw/zinc/85/23/12/422852312.db2.gz RJZLGTSSUFSASA-LLVKDONJSA-N -1 1 303.366 1.799 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1 ZINC000332292250 410046238 /nfs/dbraw/zinc/04/62/38/410046238.db2.gz GLHNWMXKEFUTNH-CYBMUJFWSA-N -1 1 315.329 1.998 20 0 DDADMM CN(C)c1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)ccn1 ZINC000332345053 410084066 /nfs/dbraw/zinc/08/40/66/410084066.db2.gz PUFISRDCWXPWAQ-LLVKDONJSA-N -1 1 317.349 1.256 20 0 DDADMM CC(C)(NC(=O)c1ccc(C(F)(F)F)cn1)c1nn[n-]n1 ZINC000354784255 410086471 /nfs/dbraw/zinc/08/64/71/410086471.db2.gz KSCIFCJYUHJQMJ-UHFFFAOYSA-N -1 1 300.244 1.279 20 0 DDADMM CCc1nnc(C)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332381467 410112208 /nfs/dbraw/zinc/11/22/08/410112208.db2.gz WYWNIXJUQJABRJ-SNVBAGLBSA-N -1 1 317.349 1.456 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(F)c1 ZINC000332382962 410113468 /nfs/dbraw/zinc/11/34/68/410113468.db2.gz ZDKSBCQZDLSSDH-VIFPVBQESA-N -1 1 321.308 1.943 20 0 DDADMM Cn1ncc2c1nc(Sc1nnc3n1CCCCC3)[n-]c2=O ZINC000329130268 410120016 /nfs/dbraw/zinc/12/00/16/410120016.db2.gz WUZHWOHFFJQLLI-UHFFFAOYSA-N -1 1 317.378 1.538 20 0 DDADMM O=C(Cc1cnccc1Cl)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332401372 410128429 /nfs/dbraw/zinc/12/84/29/410128429.db2.gz NIJZSYXAYPGWAN-SECBINFHSA-N -1 1 322.752 1.772 20 0 DDADMM C[C@H]1CCC[C@@]1(O)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000298476587 410248599 /nfs/dbraw/zinc/24/85/99/410248599.db2.gz PKWVNXLACPSLFS-RWGYXRPYSA-N -1 1 303.366 1.014 20 0 DDADMM COc1cccc(CCC(=O)NC2(c3nn[n-]n3)CC2)c1F ZINC000355040854 410257501 /nfs/dbraw/zinc/25/75/01/410257501.db2.gz OQIVMWOUKKHUOZ-UHFFFAOYSA-N -1 1 305.313 1.086 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CCOCC2)c1cc(F)ccc1F ZINC000333146145 410385220 /nfs/dbraw/zinc/38/52/20/410385220.db2.gz ZWGBWLOWVFGJIV-LBPRGKRZSA-N -1 1 303.330 1.812 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1C[C@@H]2CC[C@H](O)C[C@H]2C1 ZINC000333287100 410463102 /nfs/dbraw/zinc/46/31/02/410463102.db2.gz NQOIQTWEGHXGJH-NHCYSSNCSA-N -1 1 307.419 1.372 20 0 DDADMM CN(C)c1noc(-c2ccc(S(=O)(=O)[N-]C(C)(C)C)o2)n1 ZINC000358560209 410483187 /nfs/dbraw/zinc/48/31/87/410483187.db2.gz AWNZPVVWEIQJQT-UHFFFAOYSA-N -1 1 314.367 1.472 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc(-n3cncn3)cc2)c([O-])c1 ZINC000358607542 410494041 /nfs/dbraw/zinc/49/40/41/410494041.db2.gz YMLHJFNKUFZAEY-UHFFFAOYSA-N -1 1 309.329 1.606 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)Cc1ccccc1N1CCCC1 ZINC000347393018 410518445 /nfs/dbraw/zinc/51/84/45/410518445.db2.gz LXKGFGJTAASNQT-UHFFFAOYSA-N -1 1 301.394 1.341 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCOC[C@H]2CCCO2)[n-]1 ZINC000352164131 410519380 /nfs/dbraw/zinc/51/93/80/410519380.db2.gz MVQVDMTUIVBVIV-SNVBAGLBSA-N -1 1 311.338 1.111 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCOC[C@H]2CCCO2)n1 ZINC000352164131 410519386 /nfs/dbraw/zinc/51/93/86/410519386.db2.gz MVQVDMTUIVBVIV-SNVBAGLBSA-N -1 1 311.338 1.111 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)Cc1cccs1 ZINC000352177378 410523513 /nfs/dbraw/zinc/52/35/13/410523513.db2.gz AXOOFMYVWYLKRC-SSDOTTSWSA-N -1 1 309.347 1.319 20 0 DDADMM Cc1ncccc1[N-]S(=O)(=O)c1ccc(-n2cnnn2)cc1 ZINC000343548397 410523784 /nfs/dbraw/zinc/52/37/84/410523784.db2.gz JZOXJGHBHJTDLG-UHFFFAOYSA-N -1 1 316.346 1.167 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC2(C1)CCCCC2 ZINC000355532576 410565079 /nfs/dbraw/zinc/56/50/79/410565079.db2.gz BXVIRESZAXRSJT-UHFFFAOYSA-N -1 1 303.362 1.310 20 0 DDADMM CC[C@@](NC(=O)c1cncc([O-])c1)(C(=O)OC)c1ccccc1 ZINC000339942460 410566223 /nfs/dbraw/zinc/56/62/23/410566223.db2.gz GPLGCXMILWQOOO-KRWDZBQOSA-N -1 1 314.341 1.996 20 0 DDADMM Cc1cc(NC(=O)c2cncc([O-])c2)n(Cc2ccccn2)n1 ZINC000339910587 410547137 /nfs/dbraw/zinc/54/71/37/410547137.db2.gz SHMLUIYMHPPMGQ-UHFFFAOYSA-N -1 1 309.329 1.988 20 0 DDADMM CC[C@H]1CN(C(=O)c2cncc([O-])c2)[C@@H](CC)CN1C(C)=O ZINC000339906112 410547566 /nfs/dbraw/zinc/54/75/66/410547566.db2.gz UBUYMWKGDNYLHZ-KBPBESRZSA-N -1 1 305.378 1.649 20 0 DDADMM C[C@H]1CN(C(=O)c2csc(=NC3CC3)[nH]2)C[C@H](C)S1=O ZINC000330124992 410554067 /nfs/dbraw/zinc/55/40/67/410554067.db2.gz COJVBASZAHEEJW-IUCAKERBSA-N -1 1 313.448 1.121 20 0 DDADMM C[C@H]1CN(C(=O)c2csc(=NC3CC3)[n-]2)C[C@H](C)S1=O ZINC000330124992 410554069 /nfs/dbraw/zinc/55/40/69/410554069.db2.gz COJVBASZAHEEJW-IUCAKERBSA-N -1 1 313.448 1.121 20 0 DDADMM Cc1n[nH]c(C)c1[C@H]1COCCN1C(=O)c1cncc([O-])c1 ZINC000339936525 410561474 /nfs/dbraw/zinc/56/14/74/410561474.db2.gz DMDVWEQSTZKTEP-CYBMUJFWSA-N -1 1 302.334 1.341 20 0 DDADMM CC[C@H]1CCCC[C@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000359374126 410627459 /nfs/dbraw/zinc/62/74/59/410627459.db2.gz WHZCRMKUBKSFLK-DTWKUNHWSA-N -1 1 300.384 1.363 20 0 DDADMM CC[C@H]1CCCC[C@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000359374126 410627467 /nfs/dbraw/zinc/62/74/67/410627467.db2.gz WHZCRMKUBKSFLK-DTWKUNHWSA-N -1 1 300.384 1.363 20 0 DDADMM Cc1cnc(C(=O)N2CCC(N3CCCCC3=O)CC2)c([O-])c1 ZINC000330335652 410634608 /nfs/dbraw/zinc/63/46/08/410634608.db2.gz ZCHWJPXDQMJCKP-UHFFFAOYSA-N -1 1 317.389 1.713 20 0 DDADMM COC(=O)[C@]1([N-]S(=O)(=O)CC2CCCC2)CCSC1 ZINC000340072613 410655630 /nfs/dbraw/zinc/65/56/30/410655630.db2.gz KPYFHXNACWFANO-LBPRGKRZSA-N -1 1 307.437 1.145 20 0 DDADMM CN(C)c1noc(CSc2nc(C(F)(F)F)cc(=O)[n-]2)n1 ZINC000347782874 410717650 /nfs/dbraw/zinc/71/76/50/410717650.db2.gz XIDYDWFFONAGFQ-UHFFFAOYSA-N -1 1 321.284 1.942 20 0 DDADMM O=c1nc(CCCNc2ncnc3cc(Cl)ccc32)[nH][n-]1 ZINC000301433885 410719666 /nfs/dbraw/zinc/71/96/66/410719666.db2.gz LIZIHTXOXFXSEH-UHFFFAOYSA-N -1 1 304.741 1.739 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cc(Br)cc2cccnc21 ZINC000340171172 410725225 /nfs/dbraw/zinc/72/52/25/410725225.db2.gz KAWFIRXRZWQGMN-UHFFFAOYSA-N -1 1 319.122 1.763 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc(Br)cc2cccnc21 ZINC000340171172 410725227 /nfs/dbraw/zinc/72/52/27/410725227.db2.gz KAWFIRXRZWQGMN-UHFFFAOYSA-N -1 1 319.122 1.763 20 0 DDADMM CC[C@H](CNC(=O)CCc1nn[n-]n1)Oc1ccccc1Cl ZINC000631626682 422859914 /nfs/dbraw/zinc/85/99/14/422859914.db2.gz UWGNIWUDNAJEOK-SNVBAGLBSA-N -1 1 323.784 1.760 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@H]1CCSC1 ZINC000330646761 410846502 /nfs/dbraw/zinc/84/65/02/410846502.db2.gz JBRCTYGDBHNDNM-QMMMGPOBSA-N -1 1 318.395 1.889 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@@H]1c1c(F)cccc1F ZINC000348297399 410907444 /nfs/dbraw/zinc/90/74/44/410907444.db2.gz KUHGLRNENGEMFJ-JGVFFNPUSA-N -1 1 305.288 1.387 20 0 DDADMM O=C(CCCOc1ccc(F)cc1F)NC1(c2nn[n-]n2)CC1 ZINC000348296882 410903635 /nfs/dbraw/zinc/90/36/35/410903635.db2.gz IMLWFTVWTDHVGT-UHFFFAOYSA-N -1 1 323.303 1.442 20 0 DDADMM Cc1cc(C)c(CNC(=O)c2cc(=O)n3[n-]cnc3n2)c(C)c1 ZINC000353380830 410955268 /nfs/dbraw/zinc/95/52/68/410955268.db2.gz DSUQPRXBISRQEG-UHFFFAOYSA-N -1 1 311.345 1.273 20 0 DDADMM Cc1nc(CC2CC2)sc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000356319163 410961916 /nfs/dbraw/zinc/96/19/16/410961916.db2.gz JVUDIHWNGNTUMD-UHFFFAOYSA-N -1 1 306.395 1.582 20 0 DDADMM O=S(=O)([N-]Cc1ncccc1O)c1ccc(F)c(F)c1F ZINC000360011757 411000163 /nfs/dbraw/zinc/00/01/63/411000163.db2.gz PQRURLKWXBHTQZ-UHFFFAOYSA-N -1 1 318.276 1.683 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2cc(C)no2)CCCCC1 ZINC000331098535 410943764 /nfs/dbraw/zinc/94/37/64/410943764.db2.gz BQJJOJFGMZPPTM-UHFFFAOYSA-N -1 1 316.379 1.278 20 0 DDADMM COc1ccc([C@H](C)N(C)C(=O)CCCc2nn[n-]n2)cc1 ZINC000635198730 422872313 /nfs/dbraw/zinc/87/23/13/422872313.db2.gz GKAZJSOHTKQGSM-NSHDSACASA-N -1 1 303.366 1.751 20 0 DDADMM CCc1ccc(C(C)(C)CNC(=O)CCc2nn[n-]n2)cc1 ZINC000631666757 422873244 /nfs/dbraw/zinc/87/32/44/422873244.db2.gz HCYQQCQOMVOKGP-UHFFFAOYSA-N -1 1 301.394 1.789 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCN(C(C)C)CC2)c1 ZINC000631720983 422893551 /nfs/dbraw/zinc/89/35/51/422893551.db2.gz JSESPMICASPNSW-UHFFFAOYSA-N -1 1 314.407 1.116 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ccc([O-])c(F)c2)[C@@H]1n1cccn1 ZINC000647600280 422967611 /nfs/dbraw/zinc/96/76/11/422967611.db2.gz QWISOCPOQWFJTJ-YUELXQCFSA-N -1 1 319.336 1.876 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CCS1(=O)=O ZINC000131848255 196203784 /nfs/dbraw/zinc/20/37/84/196203784.db2.gz GVRVQGMPFSUPDF-QMMMGPOBSA-N -1 1 303.767 1.305 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@H](NC(N)=O)c1ccccc1C ZINC000647816605 423061678 /nfs/dbraw/zinc/06/16/78/423061678.db2.gz MRPVONYLCFXTFP-NSHDSACASA-N -1 1 317.349 1.470 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1ncccc1C(F)(F)F)C1CC1 ZINC000645557704 423081470 /nfs/dbraw/zinc/08/14/70/423081470.db2.gz CAADBWWNXSZIHQ-NSHDSACASA-N -1 1 324.324 1.540 20 0 DDADMM CSc1nc(CNC(=O)[C@@H](C)Oc2ccccc2)cc(=O)[n-]1 ZINC000640657395 423118525 /nfs/dbraw/zinc/11/85/25/423118525.db2.gz IDYMQQCFEJMSNR-SNVBAGLBSA-N -1 1 319.386 1.988 20 0 DDADMM O=C(N[C@H]1CCc2nnnn2CC1)c1cc2ccccc2cc1[O-] ZINC000643367788 423127736 /nfs/dbraw/zinc/12/77/36/423127736.db2.gz VESZEFMBDPTYCC-ZDUSSCGKSA-N -1 1 323.356 1.667 20 0 DDADMM CO[C@H](C)[C@H](C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645672440 423136297 /nfs/dbraw/zinc/13/62/97/423136297.db2.gz QCHFTJFAJIWIQB-JGVFFNPUSA-N -1 1 312.313 1.802 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)Cc1nc2ccc(Cl)cc2c(=O)[nH]1 ZINC000044620816 418429317 /nfs/dbraw/zinc/42/93/17/418429317.db2.gz GYVANJZXYZHOJE-NSHDSACASA-N -1 1 309.753 1.872 20 0 DDADMM O=C(CN1CCC(c2n[nH]c(=O)[n-]2)CC1)N1CCCCCC1 ZINC000373836196 418464949 /nfs/dbraw/zinc/46/49/49/418464949.db2.gz PNAWXDCVDOICMK-UHFFFAOYSA-N -1 1 307.398 1.092 20 0 DDADMM Cn1cc(C(=O)C[C@@H]2CCCN2C(=O)c2cncc([O-])c2)cn1 ZINC000366815822 418521019 /nfs/dbraw/zinc/52/10/19/418521019.db2.gz BCOXJXXUFPYQTD-ZDUSSCGKSA-N -1 1 314.345 1.398 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2Cc3ccccc32)o1 ZINC000194085519 418540460 /nfs/dbraw/zinc/54/04/60/418540460.db2.gz YFJYBOZXLVHRSV-LLVKDONJSA-N -1 1 320.370 1.257 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3C[C@H](O)C3(C)C)cnc2n1 ZINC000189395248 222043015 /nfs/dbraw/zinc/04/30/15/222043015.db2.gz FYQZBOCAYUMFHU-NEPJUHHUSA-N -1 1 301.346 1.533 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1C[C@H](O)C1(C)C)c2=O ZINC000189395248 222043018 /nfs/dbraw/zinc/04/30/18/222043018.db2.gz FYQZBOCAYUMFHU-NEPJUHHUSA-N -1 1 301.346 1.533 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cnns1 ZINC000192218078 222122883 /nfs/dbraw/zinc/12/28/83/222122883.db2.gz IGQWYMZEBDCTKN-UHFFFAOYSA-N -1 1 312.376 1.552 20 0 DDADMM O=C(Nc1ccn(CC(F)F)n1)c1cc(-c2ccco2)n[nH]1 ZINC000361202521 418604839 /nfs/dbraw/zinc/60/48/39/418604839.db2.gz AAHDYMFSKFORCD-UHFFFAOYSA-N -1 1 307.260 1.806 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC(n2ccnn2)CC1 ZINC000367670143 418621324 /nfs/dbraw/zinc/62/13/24/418621324.db2.gz MIQJZOADAVJRFO-UHFFFAOYSA-N -1 1 308.288 1.739 20 0 DDADMM O=C([O-])c1ccc(NS(=O)(=O)c2cnc3n2CCC3)cc1 ZINC000361996335 418736519 /nfs/dbraw/zinc/73/65/19/418736519.db2.gz NZUMLJVVKVXCFT-UHFFFAOYSA-N -1 1 307.331 1.328 20 0 DDADMM O=C(N[C@@H]1CCc2[nH]cnc2C1)c1cc(F)c(F)c([O-])c1F ZINC000371822027 418819717 /nfs/dbraw/zinc/81/97/17/418819717.db2.gz GJOJHSHLELPLRP-ZCFIWIBFSA-N -1 1 311.263 1.820 20 0 DDADMM Cc1nc(C)n(C[C@@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC000372412389 418866584 /nfs/dbraw/zinc/86/65/84/418866584.db2.gz OWWCXIPGDNBWSU-LBPRGKRZSA-N -1 1 301.350 1.300 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cccnc1-c1cnn(C)c1)OC ZINC000421143960 419500047 /nfs/dbraw/zinc/50/00/47/419500047.db2.gz BEVXEXKVWSENDU-LBPRGKRZSA-N -1 1 324.406 1.649 20 0 DDADMM C[C@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1(F)F ZINC000427662085 419710369 /nfs/dbraw/zinc/71/03/69/419710369.db2.gz HGQWFMMTCMGDOZ-VIFPVBQESA-N -1 1 313.304 1.021 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N[C@@]1(C)CCOC1)c2=O ZINC000412213525 419727286 /nfs/dbraw/zinc/72/72/86/419727286.db2.gz IZZGLOAAVGGFKU-INIZCTEOSA-N -1 1 302.330 1.446 20 0 DDADMM COC(=O)c1[n-]c(=NCc2cccnc2N(C)C)sc1C ZINC000427988970 419783792 /nfs/dbraw/zinc/78/37/92/419783792.db2.gz FWVCFASTTYYMRU-UHFFFAOYSA-N -1 1 306.391 1.733 20 0 DDADMM CC[C@]1(CO)CCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000428523881 419883296 /nfs/dbraw/zinc/88/32/96/419883296.db2.gz HKHRAHLPWQNBBL-INIZCTEOSA-N -1 1 318.377 1.299 20 0 DDADMM CC[C@H](C)N1CCN(C(=O)NC[C@@H](CC(C)C)C(=O)[O-])CC1 ZINC000316446149 287596932 /nfs/dbraw/zinc/59/69/32/287596932.db2.gz NOZSVJICWTUMSK-UONOGXRCSA-N -1 1 313.442 1.859 20 0 DDADMM CCC[C@@H](NC(=O)c1ccnc(-c2ncc[nH]2)c1)c1nn[n-]n1 ZINC000645729116 423157916 /nfs/dbraw/zinc/15/79/16/423157916.db2.gz FDDJLYRNGZBARC-SNVBAGLBSA-N -1 1 312.337 1.256 20 0 DDADMM O=C(N[C@H]1CCN(c2ccccc2)C1=O)C(=O)c1ccc([O-])cc1 ZINC000436666868 229510212 /nfs/dbraw/zinc/51/02/12/229510212.db2.gz VLTXFCBHKNWEBI-HNNXBMFYSA-N -1 1 324.336 1.497 20 0 DDADMM CCc1ccc(O)c(C(=O)N2CC[NH2+]C[C@H]2c2nccn2C)c1 ZINC000423632426 420106628 /nfs/dbraw/zinc/10/66/28/420106628.db2.gz OELMYHSPSRVWHE-AWEZNQCLSA-N -1 1 314.389 1.475 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)c2c(C)nn(C)c2C)n1 ZINC000415631254 420129069 /nfs/dbraw/zinc/12/90/69/420129069.db2.gz DZMCOOKBTYWPIY-MRVPVSSYSA-N -1 1 319.365 1.679 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](Cc2ccccc2)OC)n1 ZINC000415650642 420136246 /nfs/dbraw/zinc/13/62/46/420136246.db2.gz RZDKPABBINFDPL-CYBMUJFWSA-N -1 1 317.345 1.783 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCc1cccc(F)c1 ZINC000416155142 420260527 /nfs/dbraw/zinc/26/05/27/420260527.db2.gz CLEUKQXWUJRFSP-UHFFFAOYSA-N -1 1 321.308 1.398 20 0 DDADMM CCN1C[C@H](C)N(C(=O)NC[C@H](CC(C)C)C(=O)[O-])C[C@@H]1C ZINC000424424724 420318098 /nfs/dbraw/zinc/31/80/98/420318098.db2.gz POBMZKRCKUCMRK-IHRRRGAJSA-N -1 1 313.442 1.857 20 0 DDADMM CN1CCN(CCN=c2nc(C3CCCCC3)[n-]s2)CC1 ZINC000420593583 420324742 /nfs/dbraw/zinc/32/47/42/420324742.db2.gz XRNTUZASKRFLLE-UHFFFAOYSA-N -1 1 309.483 1.667 20 0 DDADMM COc1cc(C)ccc1[N-]S(=O)(=O)c1c[nH]c(=O)s1 ZINC000436479751 420331557 /nfs/dbraw/zinc/33/15/57/420331557.db2.gz XOBKVNDCOSXHOE-UHFFFAOYSA-N -1 1 300.361 1.967 20 0 DDADMM Cc1nc(-c2ccc(N(C)CCOCCO)nc2)[n-]c(=O)c1C ZINC000425262486 420333015 /nfs/dbraw/zinc/33/30/15/420333015.db2.gz RSDMQXZUTIUTNV-UHFFFAOYSA-N -1 1 318.377 1.306 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@@H](C(=O)OC)c1ccccc1)OC ZINC000420657318 420345321 /nfs/dbraw/zinc/34/53/21/420345321.db2.gz ASKLZCVEDNSKBU-CHWSQXEVSA-N -1 1 315.391 1.245 20 0 DDADMM CCc1cncc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000425316550 420348179 /nfs/dbraw/zinc/34/81/79/420348179.db2.gz CYCLJQUHWQMNJW-UHFFFAOYSA-N -1 1 302.334 1.752 20 0 DDADMM CC[C@H](NC(=O)c1coc(S(=O)(=O)[N-]C)c1)[C@H]1CC1(C)C ZINC000416277043 420301027 /nfs/dbraw/zinc/30/10/27/420301027.db2.gz OCFZCSQKERKYOD-MNOVXSKESA-N -1 1 314.407 1.742 20 0 DDADMM C[C@H](c1ccccc1)[C@@H](NC(=O)c1ccc([O-])cc1F)C(N)=O ZINC000436832913 420375823 /nfs/dbraw/zinc/37/58/23/420375823.db2.gz UDUHCUYHOMWUMZ-MEBBXXQBSA-N -1 1 316.332 1.919 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1c([O-])cccc1F)c1cccc(O)c1 ZINC000436895193 420381647 /nfs/dbraw/zinc/38/16/47/420381647.db2.gz AVJDIMIUMDKPEB-AWEZNQCLSA-N -1 1 319.288 1.881 20 0 DDADMM COCCCOC1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000436908741 420382757 /nfs/dbraw/zinc/38/27/57/420382757.db2.gz JNVDVOWTWZYHCJ-UHFFFAOYSA-N -1 1 321.373 1.619 20 0 DDADMM Cc1cn2c(n1)[C@H](CNC(=O)C(=O)c1ccc([O-])cc1)CCC2 ZINC000436966678 420387287 /nfs/dbraw/zinc/38/72/87/420387287.db2.gz OOBLIFDDIVJZOR-ZDUSSCGKSA-N -1 1 313.357 1.774 20 0 DDADMM O=S(=O)([N-]CCCC1(O)CCC1)c1cc(F)ccc1F ZINC000420717316 420367225 /nfs/dbraw/zinc/36/72/25/420367225.db2.gz LVJYYNBGDVJTHN-UHFFFAOYSA-N -1 1 305.346 1.938 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CS[C@H](C)C2)c1 ZINC000416579627 420423227 /nfs/dbraw/zinc/42/32/27/420423227.db2.gz CTIJZZFDJQELKY-VXNVDRBHSA-N -1 1 305.377 1.239 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)N2CC[C@H](c3nc[nH]n3)C2)s1 ZINC000425619548 420425197 /nfs/dbraw/zinc/42/51/97/420425197.db2.gz GWNFCWAPWWVTDW-VIFPVBQESA-N -1 1 321.410 1.442 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C1CCCC1 ZINC000456675188 420526109 /nfs/dbraw/zinc/52/61/09/420526109.db2.gz AUYOUXYKOFXGOR-LLVKDONJSA-N -1 1 314.407 1.886 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2cc(C)ccc2F)[n-]n1 ZINC000450813733 420536848 /nfs/dbraw/zinc/53/68/48/420536848.db2.gz SZJDJCAIOYOCPP-UHFFFAOYSA-N -1 1 302.353 1.750 20 0 DDADMM CC[C@@H](C[C@@H](C)CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000440762960 420604898 /nfs/dbraw/zinc/60/48/98/420604898.db2.gz RSFIZHQORYFWBM-MNOVXSKESA-N -1 1 303.362 1.450 20 0 DDADMM CCc1ccc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)o1 ZINC000492611470 420606462 /nfs/dbraw/zinc/60/64/62/420606462.db2.gz JCMIRIICYAZXSB-SKVAFPRGSA-N -1 1 301.350 1.775 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCC[C@@H]1CCOC1)c2=O ZINC000456783719 420544086 /nfs/dbraw/zinc/54/40/86/420544086.db2.gz WVWCFTZFHLRQLE-SNVBAGLBSA-N -1 1 304.350 1.220 20 0 DDADMM COCC1(C)CN(C(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000448522261 420857768 /nfs/dbraw/zinc/85/77/68/420857768.db2.gz VCEMRVXWSQAUJM-UHFFFAOYSA-N -1 1 301.346 1.752 20 0 DDADMM COCC1(C)CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000448522261 420857772 /nfs/dbraw/zinc/85/77/72/420857772.db2.gz VCEMRVXWSQAUJM-UHFFFAOYSA-N -1 1 301.346 1.752 20 0 DDADMM CCO/C=C\C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000493577619 420885269 /nfs/dbraw/zinc/88/52/69/420885269.db2.gz DYCUFLFVMDPTMP-YVMONPNESA-N -1 1 308.300 1.454 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]c2cccc(N(C)C(N)=O)c2)on1 ZINC000487349907 421018237 /nfs/dbraw/zinc/01/82/37/421018237.db2.gz GIYNAKTXNCJGMY-UHFFFAOYSA-N -1 1 324.362 1.440 20 0 DDADMM CC(C)(C(=O)N1CCN(c2nc(=N)[n-]s2)CC1)C1CCC1 ZINC000450079065 421138482 /nfs/dbraw/zinc/13/84/82/421138482.db2.gz ZGGONSPCJDEUCA-UHFFFAOYSA-N -1 1 309.439 1.426 20 0 DDADMM C[C@H]1CCc2ccccc2[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544781465 421231180 /nfs/dbraw/zinc/23/11/80/421231180.db2.gz YVYBPNBRSBFYEF-ZUZCIYMTSA-N -1 1 323.356 1.471 20 0 DDADMM O=C(CCSc1ccc2c(c1)OCCCO2)Nc1nnn[n-]1 ZINC000525480083 421291408 /nfs/dbraw/zinc/29/14/08/421291408.db2.gz FKLKLULBRWEWMR-UHFFFAOYSA-N -1 1 321.362 1.482 20 0 DDADMM O=C(CCSc1ccc2c(c1)OCCCO2)Nc1nn[n-]n1 ZINC000525480083 421291410 /nfs/dbraw/zinc/29/14/10/421291410.db2.gz FKLKLULBRWEWMR-UHFFFAOYSA-N -1 1 321.362 1.482 20 0 DDADMM C[N@H+]1CCCC(C)(C)[C@@H]1CN=c1[n-]c(C2CCOCC2)no1 ZINC000560842843 421296691 /nfs/dbraw/zinc/29/66/91/421296691.db2.gz KYIYPNCOVVYIOO-ZDUSSCGKSA-N -1 1 308.426 1.918 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccc(Cl)cc2)[n-]n1C ZINC000547499456 421338392 /nfs/dbraw/zinc/33/83/92/421338392.db2.gz ZHIJFLZJLCQOFN-UHFFFAOYSA-N -1 1 301.755 1.305 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCC[C@H](S(C)(=O)=O)C2)c([O-])c1 ZINC000547976814 421384635 /nfs/dbraw/zinc/38/46/35/421384635.db2.gz NCKBWDBCQLCNKE-QWRGUYRKSA-N -1 1 312.391 1.181 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1ccccc1-c1cn[nH]c1 ZINC000527369439 421381482 /nfs/dbraw/zinc/38/14/82/421381482.db2.gz RAXFXWWBAXERNX-LBPRGKRZSA-N -1 1 307.375 1.997 20 0 DDADMM Cc1cc(C)cc(OCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000548138545 421405228 /nfs/dbraw/zinc/40/52/28/421405228.db2.gz DVHIXQFVIQVXPY-GFCCVEGCSA-N -1 1 303.366 1.457 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)Cc2ccc(F)cc2F)n1 ZINC000514704619 421448487 /nfs/dbraw/zinc/44/84/87/421448487.db2.gz PAHAYBBXZUDFEJ-UHFFFAOYSA-N -1 1 303.290 1.276 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnc(CC2CC2)nc1)C1CC1 ZINC000563135996 421461470 /nfs/dbraw/zinc/46/14/70/421461470.db2.gz PHXNVQCUHSTKQD-ZDUSSCGKSA-N -1 1 311.407 1.596 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1noc2c1CCCCC2 ZINC000548695194 421462844 /nfs/dbraw/zinc/46/28/44/421462844.db2.gz VFOBBUIDZRBBJS-UHFFFAOYSA-N -1 1 316.365 1.656 20 0 DDADMM Cc1c(C(=O)[O-])sc2ncnc(NCCc3nc[nH]n3)c12 ZINC000563205968 421472289 /nfs/dbraw/zinc/47/22/89/421472289.db2.gz XFRHGUBNLNHPJH-UHFFFAOYSA-N -1 1 304.335 1.471 20 0 DDADMM C[C@@H](O)[C@H]1CCN(c2ccc(=NCc3ccccc3F)[n-]n2)C1 ZINC000563612223 421527671 /nfs/dbraw/zinc/52/76/71/421527671.db2.gz JCYJXYFBRGVXPY-OCCSQVGLSA-N -1 1 316.380 1.857 20 0 DDADMM Cc1nocc1CS(=O)(=O)c1nnc(Cc2cccs2)[n-]1 ZINC000563434069 421509010 /nfs/dbraw/zinc/50/90/10/421509010.db2.gz UWJBDFVKROXRHJ-UHFFFAOYSA-N -1 1 324.387 1.727 20 0 DDADMM Cc1nocc1CS(=O)(=O)c1n[n-]c(Cc2cccs2)n1 ZINC000563434069 421509012 /nfs/dbraw/zinc/50/90/12/421509012.db2.gz UWJBDFVKROXRHJ-UHFFFAOYSA-N -1 1 324.387 1.727 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1CCC(OC(F)F)CC1 ZINC000552186841 421579000 /nfs/dbraw/zinc/57/90/00/421579000.db2.gz ZKWFTILUHASAFX-UHFFFAOYSA-N -1 1 301.297 1.103 20 0 DDADMM CN1CCO[C@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)C1 ZINC000531491200 421635304 /nfs/dbraw/zinc/63/53/04/421635304.db2.gz AFAQICZXNABYPF-ZDUSSCGKSA-N -1 1 302.334 1.051 20 0 DDADMM O=C([O-])c1cncnc1NCCN1CCc2sccc2C1 ZINC000570725810 421665519 /nfs/dbraw/zinc/66/55/19/421665519.db2.gz YKHXMUDMNUFOGU-UHFFFAOYSA-N -1 1 304.375 1.128 20 0 DDADMM CCN1C[C@@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CC1=O ZINC000571076810 421686679 /nfs/dbraw/zinc/68/66/79/421686679.db2.gz WEUMJHQKXHJMCQ-NSHDSACASA-N -1 1 314.345 1.589 20 0 DDADMM CN(C)[C@@H](CNC(=O)N=c1ncn(C)[n-]1)c1ccc(Cl)cc1 ZINC000519627060 421710033 /nfs/dbraw/zinc/71/00/33/421710033.db2.gz BJEVHDFTIVDVRE-LBPRGKRZSA-N -1 1 322.800 1.315 20 0 DDADMM Cc1ccc(CC(=O)Nc2nc(SCCO)n[nH]2)cc1C ZINC000536545117 421720463 /nfs/dbraw/zinc/72/04/63/421720463.db2.gz AKYBOYACSKAHET-UHFFFAOYSA-N -1 1 306.391 1.687 20 0 DDADMM CCSCc1cc(C(=O)[N-]c2nc3n(n2)CCCC3)no1 ZINC000556701370 421724520 /nfs/dbraw/zinc/72/45/20/421724520.db2.gz RNOHZMFLSQNPES-UHFFFAOYSA-N -1 1 307.379 2.108 20 0 DDADMM CC(C)(NC(=O)[C@@H]1CCN(c2ccccc2F)C1)c1nn[n-]n1 ZINC000518867860 421669734 /nfs/dbraw/zinc/66/97/34/421669734.db2.gz XILUSSDYXDLURO-SNVBAGLBSA-N -1 1 318.356 1.217 20 0 DDADMM CC(C)c1nnc([C@H]2COCCN2Cc2ncccc2[O-])o1 ZINC000520288416 421744538 /nfs/dbraw/zinc/74/45/38/421744538.db2.gz PKKZKXYUCWYBEK-GFCCVEGCSA-N -1 1 304.350 1.867 20 0 DDADMM C[N@H+]1C[C@@H]2CCCN(C(=O)c3s[n-]c(=O)c3Cl)[C@@H]2C1 ZINC000520570002 421756941 /nfs/dbraw/zinc/75/69/41/421756941.db2.gz FTTJMNCDISGJDC-JGVFFNPUSA-N -1 1 301.799 1.668 20 0 DDADMM CC(=O)N1c2ccc(S(=O)(=O)[N-]c3cnc[nH]3)cc2C[C@H]1C ZINC000557547810 421776082 /nfs/dbraw/zinc/77/60/82/421776082.db2.gz UWCQUAMIPDIDCU-SECBINFHSA-N -1 1 320.374 1.508 20 0 DDADMM O=C(N[C@H]1C[C@@H]1c1cccc(Br)c1)c1cnn[nH]1 ZINC000521682564 421797047 /nfs/dbraw/zinc/79/70/47/421797047.db2.gz BYNQZAPBDRGSFG-ZJUUUORDSA-N -1 1 307.151 1.853 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cc(C(C)C)n(C)n1 ZINC000544057222 421843070 /nfs/dbraw/zinc/84/30/70/421843070.db2.gz GQEZJUPJVUFHFV-UHFFFAOYSA-N -1 1 319.365 1.128 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2C[C@H](C)O[C@H]2C)c1Br ZINC000633346733 421854833 /nfs/dbraw/zinc/85/48/33/421854833.db2.gz ILPVGIYMXNWFQV-APQOSEDMSA-N -1 1 302.172 1.655 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)Nc1ccc(OCC(=O)[O-])cc1 ZINC000630159497 421927335 /nfs/dbraw/zinc/92/73/35/421927335.db2.gz YJNSNSOOOGRAFR-JTQLQIEISA-N -1 1 303.318 1.690 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@@H](O)[C@H]1c1ccc(Cl)cc1 ZINC000633492343 421932004 /nfs/dbraw/zinc/93/20/04/421932004.db2.gz LEZCEYGUXCBZPQ-BXUZGUMPSA-N -1 1 321.768 1.120 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NC[C@H](C(=O)[O-])c1ccc(F)cc1 ZINC000630177221 421939061 /nfs/dbraw/zinc/93/90/61/421939061.db2.gz HRKXNBZBTLURSD-HZMBPMFUSA-N -1 1 319.336 1.712 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cccnc1N1CCCCC1 ZINC000635407555 421964144 /nfs/dbraw/zinc/96/41/44/421964144.db2.gz WSGBRENMYSICSQ-UHFFFAOYSA-N -1 1 315.381 1.546 20 0 DDADMM CCOc1cccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000630221413 421970364 /nfs/dbraw/zinc/97/03/64/421970364.db2.gz AAFRGYVVHDODNR-CYBMUJFWSA-N -1 1 320.389 1.706 20 0 DDADMM CCc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1 ZINC000630221973 421972174 /nfs/dbraw/zinc/97/21/74/421972174.db2.gz CGOKHRJOBKFVBS-ZDUSSCGKSA-N -1 1 304.390 1.870 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H](C)C[C@H](C)O)c1 ZINC000632018641 422027089 /nfs/dbraw/zinc/02/70/89/422027089.db2.gz GTJAYGFILOWLED-ZJUUUORDSA-N -1 1 303.380 1.086 20 0 DDADMM O=C([O-])[C@@]1(CNC(=O)c2cc(F)cc3nc[nH]c32)CCCOC1 ZINC000630337157 422030282 /nfs/dbraw/zinc/03/02/82/422030282.db2.gz IWUZVJRINBVHQL-OAHLLOKOSA-N -1 1 321.308 1.313 20 0 DDADMM C[C@H]1CO[C@@H](c2ccccc2)CN1C(=O)CCCc1nn[n-]n1 ZINC000635483300 422031344 /nfs/dbraw/zinc/03/13/44/422031344.db2.gz TYOHGWMCMYTCRS-GXTWGEPZSA-N -1 1 315.377 1.511 20 0 DDADMM Cc1oc(C)c(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1C ZINC000630225690 421974883 /nfs/dbraw/zinc/97/48/83/421974883.db2.gz WCLDHBVGCWQMBD-SNVBAGLBSA-N -1 1 308.378 1.826 20 0 DDADMM CSc1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)co1 ZINC000630227718 421978571 /nfs/dbraw/zinc/97/85/71/421978571.db2.gz QZUHIXCLXPLLQX-UHFFFAOYSA-N -1 1 312.391 1.623 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCc1c[nH]c2cc(F)ccc12 ZINC000635458147 422004067 /nfs/dbraw/zinc/00/40/67/422004067.db2.gz SWDYIAVWQPYASG-UHFFFAOYSA-N -1 1 316.340 1.502 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ccn(C(C)C)n2)sn1 ZINC000631996467 422007285 /nfs/dbraw/zinc/00/72/85/422007285.db2.gz CYIDGZLIRKAOBV-UHFFFAOYSA-N -1 1 300.409 1.707 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC([C@H](C)O)CC2)c1 ZINC000632006635 422015194 /nfs/dbraw/zinc/01/51/94/422015194.db2.gz IHBZLLBKIWDYHO-JTQLQIEISA-N -1 1 315.391 1.182 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@H]([C@H](C)O)C2)c1 ZINC000632129347 422106454 /nfs/dbraw/zinc/10/64/54/422106454.db2.gz UKEHTJVZELDCJI-QWRGUYRKSA-N -1 1 315.391 1.182 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)C(C)C ZINC000632055935 422055549 /nfs/dbraw/zinc/05/55/49/422055549.db2.gz MBNYETREYXPAMH-ZETCQYMHSA-N -1 1 315.317 1.378 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC(C)(OC)CC2)c1 ZINC000632181382 422142536 /nfs/dbraw/zinc/14/25/36/422142536.db2.gz GUBWIGFIGZFUOP-UHFFFAOYSA-N -1 1 315.391 1.590 20 0 DDADMM COc1ccccc1[C@H]1CCN(C(=O)CCCc2nn[n-]n2)C1 ZINC000635592734 422144646 /nfs/dbraw/zinc/14/46/46/422144646.db2.gz WVFWRXRNXHJHOK-LBPRGKRZSA-N -1 1 315.377 1.547 20 0 DDADMM CC(C)(NC(=O)c1ncc2cc(Cl)ccn21)c1nn[n-]n1 ZINC000582428856 422152541 /nfs/dbraw/zinc/15/25/41/422152541.db2.gz BMPBDFWQXZZKIU-UHFFFAOYSA-N -1 1 305.729 1.166 20 0 DDADMM C[C@H]1CCN(CC(=O)Nc2ccc3c(c2)OCO3)C[C@H]1C(=O)[O-] ZINC000583440375 422166647 /nfs/dbraw/zinc/16/66/47/422166647.db2.gz BEMZLVUGEVFJRE-CMPLNLGQSA-N -1 1 320.345 1.396 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCO[C@H]2CCCCO2)sn1 ZINC000632293991 422229410 /nfs/dbraw/zinc/22/94/10/422229410.db2.gz DINXALXEVLYTDQ-NSHDSACASA-N -1 1 320.436 1.663 20 0 DDADMM CO[C@@H](c1ccccc1F)[C@@H](C)NC(=O)CCc1nn[n-]n1 ZINC000632308013 422239187 /nfs/dbraw/zinc/23/91/87/422239187.db2.gz OQJFRGZAQGESOE-YMTOWFKASA-N -1 1 307.329 1.164 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCCOc2c(Cl)cccc21 ZINC000632310630 422239550 /nfs/dbraw/zinc/23/95/50/422239550.db2.gz XJUVVCWGAFFIQZ-NSHDSACASA-N -1 1 321.768 1.816 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2CC23CCOCC3)sn1 ZINC000632244816 422190113 /nfs/dbraw/zinc/19/01/13/422190113.db2.gz NUZWCTOUFSAPQS-SNVBAGLBSA-N -1 1 302.421 1.547 20 0 DDADMM CN1CCN(c2ccc(C(=O)[O-])nn2)C[C@@H]1Cc1ccccc1 ZINC000575752031 422318633 /nfs/dbraw/zinc/31/86/33/422318633.db2.gz HYLUPEXNGCEVOI-AWEZNQCLSA-N -1 1 312.373 1.538 20 0 DDADMM CO[C@H](c1ccc(F)cc1)[C@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635761148 422321089 /nfs/dbraw/zinc/32/10/89/422321089.db2.gz JSYWJWBABXZASO-BONVTDFDSA-N -1 1 321.356 1.554 20 0 DDADMM COc1cccc([C@H]2C[C@@H](C)CN2C(=O)CCc2nn[n-]n2)c1 ZINC000632412462 422321688 /nfs/dbraw/zinc/32/16/88/422321688.db2.gz BXDYVTHISRLZRU-BXUZGUMPSA-N -1 1 315.377 1.751 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2C[C@@H](C)n3ccnc32)c1 ZINC000632417268 422325770 /nfs/dbraw/zinc/32/57/70/422325770.db2.gz FPQBOVBVPQRAKA-MWLCHTKSSA-N -1 1 323.374 1.582 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1C[C@H](c2ccccc2F)C1 ZINC000635886772 422441158 /nfs/dbraw/zinc/44/11/58/422441158.db2.gz JEUVGYZGDSOWIM-XYPYZODXSA-N -1 1 303.341 1.724 20 0 DDADMM C[C@H](c1ccsc1)N1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC000632485301 422383998 /nfs/dbraw/zinc/38/39/98/422383998.db2.gz ZMPUQPHQBMOXQI-LLVKDONJSA-N -1 1 320.422 1.099 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(Cc2ccc(F)cc2)CC1 ZINC000630880931 422393355 /nfs/dbraw/zinc/39/33/55/422393355.db2.gz FRZQWFXLRMXIRO-UHFFFAOYSA-N -1 1 317.368 1.753 20 0 DDADMM COCCCn1nc(C(=O)Nc2cccc(F)c2[O-])ccc1=O ZINC000628959852 422418713 /nfs/dbraw/zinc/41/87/13/422418713.db2.gz DKDVRVSIFRIMCD-UHFFFAOYSA-N -1 1 321.308 1.377 20 0 DDADMM COC[C@@H](C)n1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634611054 422504941 /nfs/dbraw/zinc/50/49/41/422504941.db2.gz MJMDBJZPONMVNB-UKRRQHHQSA-N -1 1 301.390 1.742 20 0 DDADMM CCSc1cccc(CNC(=O)CCCc2nn[n-]n2)c1 ZINC000635955616 422510022 /nfs/dbraw/zinc/51/00/22/422510022.db2.gz VCNUQIFQRHOBAE-UHFFFAOYSA-N -1 1 305.407 1.951 20 0 DDADMM CC(C)(Cn1[n-]c2c(c1=O)CCc1ccccc1-2)S(C)(=O)=O ZINC000634621011 422510778 /nfs/dbraw/zinc/51/07/78/422510778.db2.gz WQHQMWKIMVTOEW-ZDUSSCGKSA-N -1 1 320.414 1.619 20 0 DDADMM Cc1c(=O)n(CC(C)(C)S(C)(=O)=O)[n-]c1-c1ccccc1 ZINC000634620351 422510801 /nfs/dbraw/zinc/51/08/01/422510801.db2.gz AMSKOAPPGCYMGJ-NSHDSACASA-N -1 1 308.403 1.692 20 0 DDADMM Cc1ccc2c(CCNC(=O)CCc3nn[n-]n3)cn(C)c2c1 ZINC000632678809 422516297 /nfs/dbraw/zinc/51/62/97/422516297.db2.gz QQJFGLIXFIIGSZ-UHFFFAOYSA-N -1 1 312.377 1.291 20 0 DDADMM CCc1c(C)[n-]n(-c2ccc(S(=O)(=O)N(C)C)cc2)c1=O ZINC000634629645 422517033 /nfs/dbraw/zinc/51/70/33/422517033.db2.gz YRZKKHAJXCKTME-CYBMUJFWSA-N -1 1 309.391 1.686 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1nc2c(s1)CSCC2 ZINC000635920504 422474798 /nfs/dbraw/zinc/47/47/98/422474798.db2.gz YCZRIWTYHBCJJR-UHFFFAOYSA-N -1 1 310.408 1.407 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2C[C@H](C)O[C@H]2C)c(F)c1 ZINC000632647511 422494893 /nfs/dbraw/zinc/49/48/93/422494893.db2.gz DFIQAOFZBXMZIY-YVZVNANGSA-N -1 1 321.345 1.818 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1cccc(F)c1F)n1cccn1 ZINC000632733130 422547917 /nfs/dbraw/zinc/54/79/17/422547917.db2.gz CTPIFHXHZGVBIV-UHFFFAOYSA-N -1 1 315.345 1.875 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1ccc2c(c1)C(=O)NC2)C1CC1 ZINC000629289026 422586394 /nfs/dbraw/zinc/58/63/94/422586394.db2.gz MXZILFBKQIUHDW-AWEZNQCLSA-N -1 1 324.402 1.487 20 0 DDADMM COC[C@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)C[C@H](C)O1 ZINC000632690126 422522932 /nfs/dbraw/zinc/52/29/32/422522932.db2.gz MCLQNSBVUNUGNO-CMPLNLGQSA-N -1 1 313.781 1.850 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H](c1cccnc1)C1CCCCC1 ZINC000631233933 422622094 /nfs/dbraw/zinc/62/20/94/422622094.db2.gz SUQGWFOXMCPOAV-AWEZNQCLSA-N -1 1 300.366 1.575 20 0 DDADMM O=C([O-])[C@@H]1CCN(Cc2cn(CC3CC3)nn2)[C@@H]2CCC[C@H]21 ZINC000629375217 422636375 /nfs/dbraw/zinc/63/63/75/422636375.db2.gz YIIPLFNPRSFZIH-RRFJBIMHSA-N -1 1 304.394 1.763 20 0 DDADMM C[C@H](NC(=O)Cc1ccc([O-])c(Cl)c1)C(=O)NCCF ZINC000632882211 422641464 /nfs/dbraw/zinc/64/14/64/422641464.db2.gz AOKMLKSZOFKQBQ-QMMMGPOBSA-N -1 1 302.733 1.179 20 0 DDADMM COC[C@@H](C)N(CC(=O)NCC(=O)[O-])[C@@H]1CCc2ccccc21 ZINC000629507629 422706362 /nfs/dbraw/zinc/70/63/62/422706362.db2.gz OZLPPCWCOKRRJQ-IUODEOHRSA-N -1 1 320.389 1.212 20 0 DDADMM COc1cncc(CNC(=O)c2csc(=NC3CC3)[n-]2)c1 ZINC000636216635 422723341 /nfs/dbraw/zinc/72/33/41/422723341.db2.gz LDSNTKBPRSWQHB-UHFFFAOYSA-N -1 1 304.375 1.473 20 0 DDADMM C[C@@H](CC(=O)[O-])CC(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000634846325 422682471 /nfs/dbraw/zinc/68/24/71/422682471.db2.gz GIYFSWVOVXDVIG-HIFRSBDPSA-N -1 1 304.390 1.878 20 0 DDADMM CC[C@@H](Cc1ccc(F)cc1)NC(=O)CCCc1nn[n-]n1 ZINC000636158564 422682480 /nfs/dbraw/zinc/68/24/80/422682480.db2.gz XVZKHMLNWVVKPE-ZDUSSCGKSA-N -1 1 305.357 1.799 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC(F)(F)c1cccc(F)c1 ZINC000636169817 422691235 /nfs/dbraw/zinc/69/12/35/422691235.db2.gz MCFAJVJUJBPPDO-UHFFFAOYSA-N -1 1 313.283 1.570 20 0 DDADMM COc1ccc(C[C@H]2CCCC[N@H+]2CC(=O)NCC(=O)[O-])cc1 ZINC000650512943 423183487 /nfs/dbraw/zinc/18/34/87/423183487.db2.gz AZZXJKRJDOAYSD-CQSZACIVSA-N -1 1 320.389 1.293 20 0 DDADMM COc1ccc(C[C@H]2CCCCN2CC(=O)NCC(=O)[O-])cc1 ZINC000650512943 423183491 /nfs/dbraw/zinc/18/34/91/423183491.db2.gz AZZXJKRJDOAYSD-CQSZACIVSA-N -1 1 320.389 1.293 20 0 DDADMM O=S(=O)([N-]CCc1cscn1)c1cc(F)ccc1F ZINC000096743210 263336001 /nfs/dbraw/zinc/33/60/01/263336001.db2.gz PZKBDBJOLNPJNG-UHFFFAOYSA-N -1 1 304.343 1.942 20 0 DDADMM CC[C@H]1CCCC[C@@H]1OCC(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000186431422 263399795 /nfs/dbraw/zinc/39/97/95/263399795.db2.gz OTENTQFGEYZPFJ-RYUDHWBXSA-N -1 1 310.398 1.132 20 0 DDADMM O=c1[n-]c(CN2CCC[C@H](O)[C@@H]2CCc2ccccc2)n[nH]1 ZINC000646073534 423325322 /nfs/dbraw/zinc/32/53/22/423325322.db2.gz BMZLOYHTDPUDQW-KBPBESRZSA-N -1 1 302.378 1.468 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@](COC)(C(=O)[O-])C2)n[nH]1 ZINC000648344259 423325555 /nfs/dbraw/zinc/32/55/55/423325555.db2.gz DSMQQWIWVILYNW-HNNXBMFYSA-N -1 1 309.366 1.316 20 0 DDADMM CC(C)Cn1nccc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000648745954 423440263 /nfs/dbraw/zinc/44/02/63/423440263.db2.gz BHPZKFRXDAYHGF-UHFFFAOYSA-N -1 1 302.260 1.928 20 0 DDADMM Cn1nc(C2CC2)nc1CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000648745946 423440921 /nfs/dbraw/zinc/44/09/21/423440921.db2.gz BDFATTMKSPYLIJ-UHFFFAOYSA-N -1 1 315.259 1.011 20 0 DDADMM O=C(NCC=Cc1cccnc1)c1csc(=NC2CC2)[n-]1 ZINC000646402028 423475935 /nfs/dbraw/zinc/47/59/35/423475935.db2.gz FRDWREBUKXIMFK-DUXPYHPUSA-N -1 1 300.387 1.978 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000646411668 423480547 /nfs/dbraw/zinc/48/05/47/423480547.db2.gz MSORHASXRRJYJT-VIFPVBQESA-N -1 1 318.308 1.554 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)[C@@H](C)O1 ZINC000639572287 423584351 /nfs/dbraw/zinc/58/43/51/423584351.db2.gz YBYWGDYBXILGLH-AAXDQBDMSA-N -1 1 301.350 1.219 20 0 DDADMM COc1cc(CS(=O)(=O)[N-]CC(=O)C(C)(C)C)sn1 ZINC000641425827 423628126 /nfs/dbraw/zinc/62/81/26/423628126.db2.gz MHZXQUHRIGJSOH-UHFFFAOYSA-N -1 1 306.409 1.186 20 0 DDADMM C[C@@H]1C(=O)N(C)CN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000649158635 423653885 /nfs/dbraw/zinc/65/38/85/423653885.db2.gz XKULWHHPAKBKJG-SSDOTTSWSA-N -1 1 302.252 1.671 20 0 DDADMM CS(=O)(=O)CC1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000647025908 423719740 /nfs/dbraw/zinc/71/97/40/423719740.db2.gz UDBJTWUKVKKBBL-UHFFFAOYSA-N -1 1 315.366 1.428 20 0 DDADMM Cn1[n-]c(CN2CC[C@@H](c3ccc4cccnc4n3)C2)nc1=O ZINC000639790799 423737587 /nfs/dbraw/zinc/73/75/87/423737587.db2.gz FJEWZMFRWNUITA-GFCCVEGCSA-N -1 1 310.361 1.041 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CC2CSC2)c(C(F)(F)F)n1 ZINC000641611870 423754615 /nfs/dbraw/zinc/75/46/15/423754615.db2.gz AXCZQIABXBDFDL-UHFFFAOYSA-N -1 1 315.342 1.080 20 0 DDADMM COCC[C@H](C)[N-]S(=O)(=O)c1ncccc1Br ZINC000656890722 423838556 /nfs/dbraw/zinc/83/85/56/423838556.db2.gz KNVCNNFAZOTWHT-QMMMGPOBSA-N -1 1 323.212 1.547 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cc(C)ccc2NC(C)=O)CC1 ZINC000641683390 423854948 /nfs/dbraw/zinc/85/49/48/423854948.db2.gz PDTUMVAXGYYVRK-UHFFFAOYSA-N -1 1 312.391 1.874 20 0 DDADMM CCOC(C)(C)C(=O)N=c1[nH][n-]c(CC)c1Br ZINC000639895301 423894691 /nfs/dbraw/zinc/89/46/91/423894691.db2.gz YTBRMVUDJZPSDM-UHFFFAOYSA-N -1 1 304.188 1.910 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)N1CCc2ccccc2C1)C(=O)[O-] ZINC000647250663 423911480 /nfs/dbraw/zinc/91/14/80/423911480.db2.gz PJZSUKGKWNYVKE-STQMWFEESA-N -1 1 304.390 1.612 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)c1ccc(C(=O)[O-])cn1 ZINC000649462197 423929014 /nfs/dbraw/zinc/92/90/14/423929014.db2.gz JJOUXWGZYOBQKR-ZIAGYGMSSA-N -1 1 317.389 1.869 20 0 DDADMM Cc1n[nH]c(C)c1CCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644883307 424010321 /nfs/dbraw/zinc/01/03/21/424010321.db2.gz BMNSHLBIYDBPJT-NSHDSACASA-N -1 1 319.365 1.454 20 0 DDADMM O=c1[n-]c([C@@H]2CCCCN2CCCO[C@H]2CCCCO2)n[nH]1 ZINC000649595087 424069081 /nfs/dbraw/zinc/06/90/81/424069081.db2.gz DCVGHJOTFVHHTL-STQMWFEESA-N -1 1 310.398 1.971 20 0 DDADMM COC[C@H](CC[N-]S(=O)(=O)c1cccc(F)c1F)OC ZINC000657054153 424046980 /nfs/dbraw/zinc/04/69/80/424046980.db2.gz UNUDGNHYTKUBJT-VIFPVBQESA-N -1 1 309.334 1.295 20 0 DDADMM Cn1[n-]c(COc2cc(CO)cc(C(F)(F)F)c2)nc1=O ZINC000657281624 424258349 /nfs/dbraw/zinc/25/83/49/424258349.db2.gz WAXBYDKHRLKBRQ-UHFFFAOYSA-N -1 1 303.240 1.199 20 0 DDADMM COCCO[C@H]1CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640338767 424358857 /nfs/dbraw/zinc/35/88/57/424358857.db2.gz GRMSJOAGEXMACZ-NSHDSACASA-N -1 1 321.402 1.987 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N(CCO)CC(F)F ZINC000640338607 424361110 /nfs/dbraw/zinc/36/11/10/424361110.db2.gz FEGBNZOAAJRGFM-UHFFFAOYSA-N -1 1 301.318 1.810 20 0 DDADMM COC[C@](C)(O)CCNC(=O)N=c1[n-]sc2ccccc21 ZINC000640340580 424362772 /nfs/dbraw/zinc/36/27/72/424362772.db2.gz WJGZAKWHRMIVJT-CQSZACIVSA-N -1 1 309.391 1.627 20 0 DDADMM CC(C)COC[C@@H](O)CNC(=O)N=c1[n-]sc2ccccc21 ZINC000640341126 424364708 /nfs/dbraw/zinc/36/47/08/424364708.db2.gz RQFIJVCEMWGNJU-NSHDSACASA-N -1 1 323.418 1.873 20 0 DDADMM C[C@@H](C(=O)NC[C@H]1CCCO1)N1CCC(CCC(=O)[O-])CC1 ZINC000662219594 424485722 /nfs/dbraw/zinc/48/57/22/424485722.db2.gz YWLDRHDHJNVLFU-GXTWGEPZSA-N -1 1 312.410 1.247 20 0 DDADMM CC(C)(C)c1csc(CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)n1 ZINC000662219279 424487064 /nfs/dbraw/zinc/48/70/64/424487064.db2.gz QABWCMGYAAJFPK-MEBBXXQBSA-N -1 1 310.419 1.974 20 0 DDADMM COc1ccc2c(c1)CCCN([C@@H]1CCN(CC(=O)[O-])C1=O)C2 ZINC000662204070 424468853 /nfs/dbraw/zinc/46/88/53/424468853.db2.gz GKTSEJPZWOQVRU-OAHLLOKOSA-N -1 1 318.373 1.129 20 0 DDADMM CC(C)(C)O[C@H]1C[C@@H](Nc2nc3[nH][n-]cc-3c(=O)n2)C12CCC2 ZINC000664471179 424603277 /nfs/dbraw/zinc/60/32/77/424603277.db2.gz ZFTBUCNXRBLHGY-MNOVXSKESA-N -1 1 317.393 1.970 20 0 DDADMM CCC(CC)CS(=O)(=O)[N-][C@@H](CC(F)F)C(=O)OC ZINC000341708999 271182486 /nfs/dbraw/zinc/18/24/86/271182486.db2.gz DSUXOZZWFPRQHY-VIFPVBQESA-N -1 1 301.355 1.539 20 0 DDADMM CS(=O)(=O)c1ccc([S@](=O)C=C([O-])c2ccc[nH]2)cc1 ZINC000341770705 271206381 /nfs/dbraw/zinc/20/63/81/271206381.db2.gz PUSLVTVWFVRLMD-LJQANCHMSA-N -1 1 311.384 1.409 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@H](C(F)(F)F)[C@@H](CO)C2)c([O-])c1 ZINC000341885242 271231442 /nfs/dbraw/zinc/23/14/42/271231442.db2.gz LMUUIQQPSMPKQD-NXEZZACHSA-N -1 1 318.295 1.729 20 0 DDADMM CC(C)C[C@@H]1CCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343824870 271882034 /nfs/dbraw/zinc/88/20/34/271882034.db2.gz FXFBCFCRCLSJPV-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1ccc([C@@H](C)[C@H](O)C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000344544750 272055115 /nfs/dbraw/zinc/05/51/15/272055115.db2.gz NJWFXRCPIYSBJT-PWSUYJOCSA-N -1 1 303.366 1.024 20 0 DDADMM COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)c1cncc([O-])c1 ZINC000339799377 277109301 /nfs/dbraw/zinc/10/93/01/277109301.db2.gz LHHINJMTFQCPEE-HNNXBMFYSA-N -1 1 312.325 1.527 20 0 DDADMM CC(C)(C)c1n[nH]cc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000299166302 277272804 /nfs/dbraw/zinc/27/28/04/277272804.db2.gz ZWPUDQCXQOBDCI-SECBINFHSA-N -1 1 318.381 1.138 20 0 DDADMM CC[C@H]1CC[C@H](C)N1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287691866 288242748 /nfs/dbraw/zinc/24/27/48/288242748.db2.gz IOZZKJVSUBVXSX-NGZWOASGSA-N -1 1 315.377 1.070 20 0 DDADMM Cc1cc(C)n(CC(=O)NCCc2n[n-]c(=S)n2C(C)C)n1 ZINC000067074427 280927443 /nfs/dbraw/zinc/92/74/43/280927443.db2.gz ZPKFLAYPJYJAGY-UHFFFAOYSA-N -1 1 322.438 1.694 20 0 DDADMM CSC[C@H](CCO)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000121202583 281133893 /nfs/dbraw/zinc/13/38/93/281133893.db2.gz LLZNBKGLYKMHQJ-VIFPVBQESA-N -1 1 311.375 1.357 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cncc(-c2ccc3c(c2)CCO3)c1 ZINC000126255605 281231998 /nfs/dbraw/zinc/23/19/98/281231998.db2.gz ZYULBTPSVXOUKJ-UHFFFAOYSA-N -1 1 308.301 1.449 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cncc(-c2ccc3c(c2)CCO3)c1 ZINC000126255605 281232001 /nfs/dbraw/zinc/23/20/01/281232001.db2.gz ZYULBTPSVXOUKJ-UHFFFAOYSA-N -1 1 308.301 1.449 20 0 DDADMM COc1cc(C(=O)N(C)[C@H](C)C[S@@](C)=O)cc(Cl)c1[O-] ZINC000135250335 281581683 /nfs/dbraw/zinc/58/16/83/281581683.db2.gz HUXBBONTQSIGLI-SQFXPLBJSA-N -1 1 319.810 1.893 20 0 DDADMM Cc1nnc([C@@H]2CCCCN2C(=O)c2ncc(C)cc2[O-])[nH]1 ZINC000407981555 293365113 /nfs/dbraw/zinc/36/51/13/293365113.db2.gz UULWVKBPKSTRBK-NSHDSACASA-N -1 1 301.350 1.890 20 0 DDADMM C[C@@H]1C[C@H](C)CN(S(=O)(=O)[N-]c2ccn(CC(F)F)n2)C1 ZINC000362019721 299985809 /nfs/dbraw/zinc/98/58/09/299985809.db2.gz VVCQSWCLIJHRBO-AOOOYVTPSA-N -1 1 322.381 1.783 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@@H]1CCOC1)c1c(F)cccc1Cl ZINC000362134638 300013110 /nfs/dbraw/zinc/01/31/10/300013110.db2.gz PGLFTWUKHVMZBA-KCJUWKMLSA-N -1 1 323.773 1.155 20 0 DDADMM Cn1[n-]c(CN[C@@H](c2ccc(F)cc2)C(F)(F)F)nc1=O ZINC000362149996 300017010 /nfs/dbraw/zinc/01/70/10/300017010.db2.gz OMDAKSYOLXFYMA-JTQLQIEISA-N -1 1 304.247 1.641 20 0 DDADMM CCO[C@H](CC(=O)NC1(c2nn[n-]n2)CCCC1)C1=CCCC1 ZINC000362601117 300104046 /nfs/dbraw/zinc/10/40/46/300104046.db2.gz SAQJPYSAGQGBAG-CYBMUJFWSA-N -1 1 319.409 1.991 20 0 DDADMM COc1cc(Cl)ccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000362782427 300139852 /nfs/dbraw/zinc/13/98/52/300139852.db2.gz SQQHFDGYAVHLSB-VIFPVBQESA-N -1 1 321.768 1.882 20 0 DDADMM O=C([C@@H]1CCCc2ccccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363394996 300261928 /nfs/dbraw/zinc/26/19/28/300261928.db2.gz GTPRCMWPZQYYGV-KGLIPLIRSA-N -1 1 313.361 1.220 20 0 DDADMM O=C1N[C@@H]2CCCC[C@H]2C[C@@H]1S(=O)(=O)c1ccc([O-])cc1 ZINC000333831117 304683011 /nfs/dbraw/zinc/68/30/11/304683011.db2.gz LPJGDAHGKIJDQV-GDLCADMTSA-N -1 1 309.387 1.613 20 0 DDADMM Cn1ccnc1-c1nnc(NC(=O)c2ccccc2[O-])s1 ZINC000366830485 300755935 /nfs/dbraw/zinc/75/59/35/300755935.db2.gz IKSSAUKQAKGDDE-UHFFFAOYSA-N -1 1 301.331 1.897 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@]2(CCCOC2)O1 ZINC000370716545 301382637 /nfs/dbraw/zinc/38/26/37/301382637.db2.gz MTYSSEMZZDPAGI-ZBEGNZNMSA-N -1 1 309.337 1.941 20 0 DDADMM CCO[C@H]1C[C@@H](NS(=O)(=O)c2c(C)o[n-]c2=N)C12CCC2 ZINC000377863679 302243917 /nfs/dbraw/zinc/24/39/17/302243917.db2.gz IQTWQDFBXZABJG-ZJUUUORDSA-N -1 1 315.395 1.022 20 0 DDADMM CCO[C@H]1C[C@@H]([N-]S(=O)(=O)c2c(C)onc2N)C12CCC2 ZINC000377863679 302243918 /nfs/dbraw/zinc/24/39/18/302243918.db2.gz IQTWQDFBXZABJG-ZJUUUORDSA-N -1 1 315.395 1.022 20 0 DDADMM COC(=O)c1cc(C)nc(N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000377978915 302261414 /nfs/dbraw/zinc/26/14/14/302261414.db2.gz VHABZFDFHSCJFO-SECBINFHSA-N -1 1 319.321 1.044 20 0 DDADMM COCCCCS(=O)(=O)[N-]c1cccc2c1OCCC2=O ZINC000378044094 302269813 /nfs/dbraw/zinc/26/98/13/302269813.db2.gz QJSALDWSETWPDK-UHFFFAOYSA-N -1 1 313.375 1.820 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)[O-])CN1CC(=O)N(C)Cc1cccs1 ZINC000397020953 302352000 /nfs/dbraw/zinc/35/20/00/302352000.db2.gz RKFVRBWWESZOQT-NWDGAFQWSA-N -1 1 310.419 1.892 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@H](CN2CCOC2=O)C1 ZINC000495973645 302506374 /nfs/dbraw/zinc/50/63/74/302506374.db2.gz YLHRVIBBBIIZDT-JTQLQIEISA-N -1 1 308.309 1.446 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCC[C@]2(C)CO)sc1C ZINC000328849724 302616759 /nfs/dbraw/zinc/61/67/59/302616759.db2.gz YXEIQNSUGUFMPL-CMPLNLGQSA-N -1 1 304.437 1.589 20 0 DDADMM O=C(NC[C@H](CO)c1cccnc1)c1c(F)ccc([O-])c1F ZINC000356482305 306852845 /nfs/dbraw/zinc/85/28/45/306852845.db2.gz JPIYKMQCMUAWKJ-SNVBAGLBSA-N -1 1 308.284 1.571 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1[C@H](C)C[C@@H]1C ZINC000525938625 302920926 /nfs/dbraw/zinc/92/09/26/302920926.db2.gz KYLANPIGJWKZTE-PHIMTYICSA-N -1 1 312.373 1.692 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2[C@H](C)O[C@H](C)[C@H]2C)sc1C ZINC000528544862 303042052 /nfs/dbraw/zinc/04/20/52/303042052.db2.gz AZLIJAHNQZEXGR-CNABLZDZSA-N -1 1 304.437 1.850 20 0 DDADMM CCn1nncc1CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000529561740 303137747 /nfs/dbraw/zinc/13/77/47/303137747.db2.gz WMQUFKRDXZXULL-UHFFFAOYSA-N -1 1 318.381 1.330 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC[C@@H]2CC=CCC2)co1 ZINC000529869720 303159817 /nfs/dbraw/zinc/15/98/17/303159817.db2.gz QXGZYUPPZIJITA-LLVKDONJSA-N -1 1 312.391 1.664 20 0 DDADMM COC[C@@H](Cc1ccccc1)N(C)Cc1cnc(C(=O)[O-])cn1 ZINC000530163785 303177467 /nfs/dbraw/zinc/17/74/67/303177467.db2.gz PXVVQALJQZPVSP-OAHLLOKOSA-N -1 1 315.373 1.864 20 0 DDADMM O=C([O-])[C@@H]1c2ccoc2CCN1CCN1C[C@H]2CC[C@@H](C1)O2 ZINC000530166354 303178019 /nfs/dbraw/zinc/17/80/19/303178019.db2.gz ZNVZZJAQCAXXGI-ZOWXZIJZSA-N -1 1 306.362 1.127 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2cc(C)on2)o1 ZINC000531117354 303231081 /nfs/dbraw/zinc/23/10/81/303231081.db2.gz URKDTBVILLHFIZ-UHFFFAOYSA-N -1 1 314.319 1.231 20 0 DDADMM Cn1cnc(CC[N-]S(=O)(=O)c2cc3ccccc3o2)n1 ZINC000531786987 303256359 /nfs/dbraw/zinc/25/63/59/303256359.db2.gz GYADNTBYNFRFIB-UHFFFAOYSA-N -1 1 306.347 1.082 20 0 DDADMM CCC[C@H](NC(=O)Cc1csc2nccn12)c1nn[n-]n1 ZINC000532507701 303288520 /nfs/dbraw/zinc/28/85/20/303288520.db2.gz YGHZYXCFLKOAPB-VIFPVBQESA-N -1 1 305.367 1.109 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)N=c2ccc(C3CC3)n[n-]2)n[nH]1 ZINC000534962376 303338771 /nfs/dbraw/zinc/33/87/71/303338771.db2.gz DNLNZEDKMKRJCV-MRVPVSSYSA-N -1 1 301.354 1.339 20 0 DDADMM CC(C)(C)[C@H]1CCCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000543701300 303461860 /nfs/dbraw/zinc/46/18/60/303461860.db2.gz KFIYSJVEIGKMRM-WDEREUQCSA-N -1 1 317.393 1.752 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H](C)C(=O)NC(=O)NC2CC2)n1 ZINC000547989196 303566970 /nfs/dbraw/zinc/56/69/70/303566970.db2.gz VSGVWYLBSAQWHD-SSDOTTSWSA-N -1 1 310.379 1.213 20 0 DDADMM CC(=O)C[C@@H](C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000551970713 303647630 /nfs/dbraw/zinc/64/76/30/303647630.db2.gz QCOKESILNZDACK-MRVPVSSYSA-N -1 1 304.350 1.078 20 0 DDADMM COc1ccc(NC(=O)CCC(C)=O)cc1[N-]S(C)(=O)=O ZINC000558634136 303776379 /nfs/dbraw/zinc/77/63/79/303776379.db2.gz KZLKFQRCPOCXHQ-UHFFFAOYSA-N -1 1 314.363 1.374 20 0 DDADMM COC[C@@](C)(O)CNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000558748086 303781958 /nfs/dbraw/zinc/78/19/58/303781958.db2.gz XKTPAFNANAKPQM-HNNXBMFYSA-N -1 1 324.764 1.721 20 0 DDADMM O=C([N-]c1n[nH]c(-c2ccncc2)n1)c1nnc2ccccc2n1 ZINC000366574757 307076599 /nfs/dbraw/zinc/07/65/99/307076599.db2.gz IEWXHRKPARYMGJ-UHFFFAOYSA-N -1 1 318.300 1.457 20 0 DDADMM O=C(c1cccc(OC(F)F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366662489 307078979 /nfs/dbraw/zinc/07/89/79/307078979.db2.gz JXIQZGIXLNRWAL-SNVBAGLBSA-N -1 1 323.303 1.821 20 0 DDADMM CCCOc1cccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000370863461 307138697 /nfs/dbraw/zinc/13/86/97/307138697.db2.gz WBNICEORKUBBMY-CYBMUJFWSA-N -1 1 317.349 1.202 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@@]12CCOC2 ZINC000372519741 307169473 /nfs/dbraw/zinc/16/94/73/307169473.db2.gz BUICSNHGZKXJDI-BLLLJJGKSA-N -1 1 316.361 1.095 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3C[C@H]3c3nnc[nH]3)[nH][n-]2)s1 ZINC000378511193 307291013 /nfs/dbraw/zinc/29/10/13/307291013.db2.gz KUFUAWFQDSUMRQ-RKDXNWHRSA-N -1 1 314.374 1.729 20 0 DDADMM CC(C)(CS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1[O-] ZINC000393650100 307295139 /nfs/dbraw/zinc/29/51/39/307295139.db2.gz MHPVBDKGGYYHHQ-UHFFFAOYSA-N -1 1 305.783 1.599 20 0 DDADMM CC[C@H](NC(=O)c1c(C)[n-]c(=O)nc1SC)[C@@H]1CCCO1 ZINC000534410962 307648418 /nfs/dbraw/zinc/64/84/18/307648418.db2.gz ISWCRSLBOAFNIU-UWVGGRQHSA-N -1 1 311.407 1.900 20 0 DDADMM CN1C(=O)COc2cc(NC(=O)c3ccc(O)cc3[O-])ccc21 ZINC000545991960 307723639 /nfs/dbraw/zinc/72/36/39/307723639.db2.gz ZVCDLYLLSZKGCX-UHFFFAOYSA-N -1 1 314.297 1.705 20 0 DDADMM CN(c1ccc(=NCc2ccccc2F)[n-]n1)[C@@H]1CCC[C@H]1O ZINC000561228084 307924423 /nfs/dbraw/zinc/92/44/23/307924423.db2.gz YEMZLUZFTGMMKT-HUUCEWRRSA-N -1 1 316.380 1.999 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)C[C@@H](C)OC)cn1 ZINC000563872634 307984354 /nfs/dbraw/zinc/98/43/54/307984354.db2.gz DMYAGLOJMYIBHD-SECBINFHSA-N -1 1 302.352 1.035 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)Cc1cc(C)no1)C(=O)OC ZINC000566167604 308047755 /nfs/dbraw/zinc/04/77/55/308047755.db2.gz SAVUTQGNRSNANR-JOYOIKCWSA-N -1 1 318.395 1.380 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@H](Nc2ncccn2)C1 ZINC000570046356 308157869 /nfs/dbraw/zinc/15/78/69/308157869.db2.gz FBHVBLDXPSENEF-NSHDSACASA-N -1 1 302.309 1.648 20 0 DDADMM O=C1CCCN1[C@@H]1CC[N@H+](Cc2cc(=O)[nH]c(C3CC3)n2)C1 ZINC000570926484 308185792 /nfs/dbraw/zinc/18/57/92/308185792.db2.gz YADYLNJLXUOYON-CYBMUJFWSA-N -1 1 302.378 1.256 20 0 DDADMM O=C([O-])c1ccc(NCC2(N3CCOCC3)CCCCC2)nn1 ZINC000573375111 308238671 /nfs/dbraw/zinc/23/86/71/308238671.db2.gz NDOSQWOJFJGODL-UHFFFAOYSA-N -1 1 320.393 1.044 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)N=c1[n-]nc(CC(C)C)s1 ZINC000575878781 308281184 /nfs/dbraw/zinc/28/11/84/308281184.db2.gz SNSKZJNSAQPZQV-NSHDSACASA-N -1 1 311.455 1.716 20 0 DDADMM CN(C1(C(=O)[O-])CCCCC1)S(=O)(=O)CCN1CCCC1 ZINC000579833261 308560406 /nfs/dbraw/zinc/56/04/06/308560406.db2.gz QLHBXZLANZYQBI-UHFFFAOYSA-N -1 1 318.439 1.131 20 0 DDADMM COc1ccccc1CN(C)C(=O)CNC1(C(=O)[O-])CCCC1 ZINC000581108583 308653975 /nfs/dbraw/zinc/65/39/75/308653975.db2.gz GNTDTZSOLYNRJT-UHFFFAOYSA-N -1 1 320.389 1.641 20 0 DDADMM O=C(c1ccc2oc(=O)[nH]c2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000583652209 336024759 /nfs/dbraw/zinc/02/47/59/336024759.db2.gz WSZCPBXITZFKTC-SECBINFHSA-N -1 1 314.305 1.066 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])CN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000398997530 337313573 /nfs/dbraw/zinc/31/35/73/337313573.db2.gz KXZYFTRLWLOPOD-WDEREUQCSA-N -1 1 314.341 1.711 20 0 DDADMM Cc1ccnc([C@@H](NC(=O)C(=O)c2ccc([O-])cc2)C2CC2)n1 ZINC000436985796 484133330 /nfs/dbraw/zinc/13/33/30/484133330.db2.gz PMDQOCNBKCJBPK-AWEZNQCLSA-N -1 1 311.341 1.941 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1cc(C)cc(C(=O)[O-])c1 ZINC000507566003 484259336 /nfs/dbraw/zinc/25/93/36/484259336.db2.gz KRCQPWDKRAAXAM-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM Cc1cc(C(N)=O)cc(S(=O)(=O)[N-]c2cc(C(C)C)n[nH]2)c1 ZINC000656727455 484303769 /nfs/dbraw/zinc/30/37/69/484303769.db2.gz IOTSXOYOBAUULN-UHFFFAOYSA-N -1 1 322.390 1.741 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(=O)[nH]n1)C1CCCC1 ZINC000656731724 484306620 /nfs/dbraw/zinc/30/66/20/484306620.db2.gz RMHOWHCINXDFSO-JTQLQIEISA-N -1 1 301.368 1.129 20 0 DDADMM O=C([O-])[C@@H](C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1)C1CC1 ZINC000663042179 484620041 /nfs/dbraw/zinc/62/00/41/484620041.db2.gz ULPZUYCWEFBJDH-VXGBXAGGSA-N -1 1 318.377 1.499 20 0 DDADMM CN(C)[C@H](CNC(=O)C1(C(=O)[O-])CC=CC1)c1cccc(F)c1 ZINC000663074543 484646160 /nfs/dbraw/zinc/64/61/60/484646160.db2.gz CQVDEBOTNIVZBU-CQSZACIVSA-N -1 1 320.364 1.966 20 0 DDADMM O=C([O-])[C@]1(C(=O)N[C@H]2CCC[N@H+]3CCCC[C@@H]23)CC=CCC1 ZINC000663120230 484684575 /nfs/dbraw/zinc/68/45/75/484684575.db2.gz UKRNOEOWSHQEJW-GRDNDAEWSA-N -1 1 306.406 1.931 20 0 DDADMM O=C([O-])[C@@H](NC(=O)NC[C@@H]1CCN1Cc1ccccc1)C1CC1 ZINC000663271238 484777041 /nfs/dbraw/zinc/77/70/41/484777041.db2.gz KJKOBOZQIOBSMW-GJZGRUSLSA-N -1 1 317.389 1.423 20 0 DDADMM O=C(c1nc2c(s1)CCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000667969358 484880993 /nfs/dbraw/zinc/88/09/93/484880993.db2.gz MPHRBIAFIPSMJQ-QMMMGPOBSA-N -1 1 304.379 1.165 20 0 DDADMM O=C([O-])c1cccc(CNC(=O)N[C@@H]2CCc3nc[nH]c3C2)c1 ZINC000666547967 485267457 /nfs/dbraw/zinc/26/74/57/485267457.db2.gz WUKTYTVBPNICLT-GFCCVEGCSA-N -1 1 314.345 1.465 20 0 DDADMM CCOC1CC(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000672770905 485346403 /nfs/dbraw/zinc/34/64/03/485346403.db2.gz RCVYAMAYBYGVQU-WXRRBKDZSA-N -1 1 301.350 1.220 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCCn1cncn1 ZINC000673832132 485453721 /nfs/dbraw/zinc/45/37/21/485453721.db2.gz OGSKRRIJTKRJGI-UHFFFAOYSA-N -1 1 318.381 1.200 20 0 DDADMM O=C(Cc1ccc(Br)c(Cl)c1)Nc1nnn[n-]1 ZINC000682502085 485630606 /nfs/dbraw/zinc/63/06/06/485630606.db2.gz HEUFPBMCLCHQDJ-UHFFFAOYSA-N -1 1 316.546 1.797 20 0 DDADMM O=C(Cc1ccc(Br)c(Cl)c1)Nc1nn[n-]n1 ZINC000682502085 485630613 /nfs/dbraw/zinc/63/06/13/485630613.db2.gz HEUFPBMCLCHQDJ-UHFFFAOYSA-N -1 1 316.546 1.797 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)[C@H]2CCCCO2)sc1C ZINC000679011551 485754981 /nfs/dbraw/zinc/75/49/81/485754981.db2.gz DNOULAJLCCDRHC-GXSJLCMTSA-N -1 1 304.437 1.996 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)C[C@@H]2Cc3ccccc3O2)n[n-]1 ZINC000683688572 486081432 /nfs/dbraw/zinc/08/14/32/486081432.db2.gz UTSJMCAGIOTFDS-NSHDSACASA-N -1 1 321.402 1.880 20 0 DDADMM CC[C@H](C)CCCCC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000680318072 486145603 /nfs/dbraw/zinc/14/56/03/486145603.db2.gz OFASPXGKFIXCGW-VIFPVBQESA-N -1 1 302.400 1.753 20 0 DDADMM CC[C@H](C)CCCCC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000680318072 486145610 /nfs/dbraw/zinc/14/56/10/486145610.db2.gz OFASPXGKFIXCGW-VIFPVBQESA-N -1 1 302.400 1.753 20 0 DDADMM CCCCn1ncc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1C ZINC000676473946 486232378 /nfs/dbraw/zinc/23/23/78/486232378.db2.gz XVUMAJUOBYHVTH-UHFFFAOYSA-N -1 1 317.397 1.704 20 0 DDADMM CCn1cnnc1-c1cccc(NC(=O)C2=C([O-])C(C)N=N2)c1 ZINC000676706803 486296146 /nfs/dbraw/zinc/29/61/46/486296146.db2.gz YTMYEZJFOPTKSK-UHFFFAOYSA-N -1 1 312.333 1.954 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(Cc2cccnc2)CC1 ZINC000681017157 486323862 /nfs/dbraw/zinc/32/38/62/486323862.db2.gz WHSAOEYTRSKLOQ-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM CN(Cc1nnc2ccccn21)C(=O)c1ccc([O-])cc1F ZINC000681514671 486438829 /nfs/dbraw/zinc/43/88/29/486438829.db2.gz CULOHUGYLYSZOP-UHFFFAOYSA-N -1 1 300.293 1.846 20 0 DDADMM COC[C@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CCO1 ZINC000684875999 486450658 /nfs/dbraw/zinc/45/06/58/486450658.db2.gz MWYBJDKPYSTNBW-SNVBAGLBSA-N -1 1 319.279 1.898 20 0 DDADMM C[C@H](C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C1CCOCC1 ZINC000677403980 486457689 /nfs/dbraw/zinc/45/76/89/486457689.db2.gz WRCCGUWRFHQBFE-FZMZJTMJSA-N -1 1 315.377 1.468 20 0 DDADMM Cc1cc(F)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1F ZINC000677925333 486580449 /nfs/dbraw/zinc/58/04/49/486580449.db2.gz FJYOFUGBDQQSMF-SECBINFHSA-N -1 1 307.304 1.806 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2ccncc2)c(F)c1 ZINC000678066548 486621733 /nfs/dbraw/zinc/62/17/33/486621733.db2.gz UGAIDKGXNLDNMG-UHFFFAOYSA-N -1 1 314.313 1.847 20 0 DDADMM Cc1c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)nnn1C(C)C ZINC000331900406 533748266 /nfs/dbraw/zinc/74/82/66/533748266.db2.gz XBFZARZTOPTQJQ-JTQLQIEISA-N -1 1 320.353 1.276 20 0 DDADMM Cc1ccc(-c2cc([N-]S(=O)(=O)c3cn(C)c(C)n3)[nH]n2)o1 ZINC000446635625 534184740 /nfs/dbraw/zinc/18/47/40/534184740.db2.gz AAEZFIIIHNOLKX-UHFFFAOYSA-N -1 1 321.362 1.821 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2C[C@H](C)O[C@@H]2C)o1 ZINC000416606603 534239703 /nfs/dbraw/zinc/23/97/03/534239703.db2.gz OFHVDRQUDSHUOG-AEJSXWLSSA-N -1 1 317.363 1.158 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@]3(C)CCC(=O)N3)cnc2n1 ZINC000412431650 534399093 /nfs/dbraw/zinc/39/90/93/534399093.db2.gz YFSQVEXVOVSXJL-INIZCTEOSA-N -1 1 314.345 1.042 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@]1(C)CCC(=O)N1)c2=O ZINC000412431650 534399103 /nfs/dbraw/zinc/39/91/03/534399103.db2.gz YFSQVEXVOVSXJL-INIZCTEOSA-N -1 1 314.345 1.042 20 0 DDADMM COC(=O)CCCc1nnc([N-]C(=O)c2cc(C)on2)s1 ZINC000157904665 523051821 /nfs/dbraw/zinc/05/18/21/523051821.db2.gz VVXPPKCQWWADFP-UHFFFAOYSA-N -1 1 310.335 1.583 20 0 DDADMM N=c1nc(N2CCN(CCc3cccc(Cl)c3)CC2)s[n-]1 ZINC000459364036 534840915 /nfs/dbraw/zinc/84/09/15/534840915.db2.gz VKFRGYUSNORVDR-UHFFFAOYSA-N -1 1 323.853 1.969 20 0 DDADMM CC(C)(CN=c1ccc(C(=O)NCCO)n[n-]1)c1cccs1 ZINC000488440289 527090403 /nfs/dbraw/zinc/09/04/03/527090403.db2.gz PJWNGIDSVGAYIU-UHFFFAOYSA-N -1 1 320.418 1.072 20 0 DDADMM CC(C)(O)C(C)(C)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454347318 527310456 /nfs/dbraw/zinc/31/04/56/527310456.db2.gz ZLXGWUMDHNKESW-UHFFFAOYSA-N -1 1 303.362 1.450 20 0 DDADMM CC=C(C=CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1)CC ZINC000492121140 527549216 /nfs/dbraw/zinc/54/92/16/527549216.db2.gz VYBZLMIQBMCJCZ-BCTKWJPASA-N -1 1 307.423 1.512 20 0 DDADMM CC=C(C=CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1)CC ZINC000492121141 527558302 /nfs/dbraw/zinc/55/83/02/527558302.db2.gz VYBZLMIQBMCJCZ-YOYJXUCXSA-N -1 1 307.423 1.512 20 0 DDADMM CCNC(=O)[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(C)C ZINC000292851732 528212953 /nfs/dbraw/zinc/21/29/53/528212953.db2.gz WJPPLIIFQWUEEW-LBPRGKRZSA-N -1 1 320.361 1.404 20 0 DDADMM CCCc1nc(=NC(=O)N2CCN(C)C[C@@H]2C(C)C)s[n-]1 ZINC000330850454 528688323 /nfs/dbraw/zinc/68/83/23/528688323.db2.gz NDGILUJIVRNWSL-LLVKDONJSA-N -1 1 311.455 1.716 20 0 DDADMM CCC[C@@H](C)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000292392407 528869652 /nfs/dbraw/zinc/86/96/52/528869652.db2.gz ZKFIFHMLSBVVOU-SECBINFHSA-N -1 1 300.380 1.675 20 0 DDADMM CC(C)[C@@]1(CO)CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000294921271 528889160 /nfs/dbraw/zinc/88/91/60/528889160.db2.gz WMCSKCQCDLEZPH-KRWDZBQOSA-N -1 1 305.374 1.832 20 0 DDADMM CC[C@](C)(CNC(=O)OC(C)(C)C)C(=O)[N-]O[C@@H]1CCOC1 ZINC000495046032 529361989 /nfs/dbraw/zinc/36/19/89/529361989.db2.gz IDDHVCHGDTUIRP-IAQYHMDHSA-N -1 1 316.398 1.764 20 0 DDADMM O[C@@H](CNc1c2ccccc2nnc1-c1nnn[n-]1)c1ccco1 ZINC000738380958 598958940 /nfs/dbraw/zinc/95/89/40/598958940.db2.gz DYNAQINIWQFWJV-NSHDSACASA-N -1 1 323.316 1.548 20 0 DDADMM O[C@@H](CNc1c2ccccc2nnc1-c1nn[n-]n1)c1ccco1 ZINC000738380958 598958942 /nfs/dbraw/zinc/95/89/42/598958942.db2.gz DYNAQINIWQFWJV-NSHDSACASA-N -1 1 323.316 1.548 20 0 DDADMM O[C@H]1CCCC[C@H]1CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000738389321 598976865 /nfs/dbraw/zinc/97/68/65/598976865.db2.gz KQUMXXGHGZSOHN-BQBZGAKWSA-N -1 1 314.802 1.940 20 0 DDADMM O[C@H]1CCCC[C@H]1CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000738389321 598976867 /nfs/dbraw/zinc/97/68/67/598976867.db2.gz KQUMXXGHGZSOHN-BQBZGAKWSA-N -1 1 314.802 1.940 20 0 DDADMM O=C(N[C@H]1CCOc2ccccc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738035040 598984110 /nfs/dbraw/zinc/98/41/10/598984110.db2.gz IIMWTCPGFSYXOO-LBPRGKRZSA-N -1 1 322.328 1.515 20 0 DDADMM O=C(N[C@H]1CCOc2ccccc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738035040 598984113 /nfs/dbraw/zinc/98/41/13/598984113.db2.gz IIMWTCPGFSYXOO-LBPRGKRZSA-N -1 1 322.328 1.515 20 0 DDADMM O=C(NC[C@@H]1CCc2ccccc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738051564 599006234 /nfs/dbraw/zinc/00/62/34/599006234.db2.gz OROMZPDVODSCPQ-LBPRGKRZSA-N -1 1 320.356 1.722 20 0 DDADMM O=C(NC[C@@H]1CCc2ccccc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738051564 599006236 /nfs/dbraw/zinc/00/62/36/599006236.db2.gz OROMZPDVODSCPQ-LBPRGKRZSA-N -1 1 320.356 1.722 20 0 DDADMM CCN(Cc1ccncc1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736555019 599026464 /nfs/dbraw/zinc/02/64/64/599026464.db2.gz BHQRVUBMHJOUPU-UHFFFAOYSA-N -1 1 309.333 1.319 20 0 DDADMM CCN(Cc1ccncc1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736555019 599026465 /nfs/dbraw/zinc/02/64/65/599026465.db2.gz BHQRVUBMHJOUPU-UHFFFAOYSA-N -1 1 309.333 1.319 20 0 DDADMM Clc1ccc(NCCOC[C@@H]2CCCO2)nc1-c1nnn[n-]1 ZINC000737575511 599059891 /nfs/dbraw/zinc/05/98/91/599059891.db2.gz WGUXOCNXFBXESK-VIFPVBQESA-N -1 1 324.772 1.523 20 0 DDADMM Clc1ccc(NCCOC[C@@H]2CCCO2)nc1-c1nn[n-]n1 ZINC000737575511 599059894 /nfs/dbraw/zinc/05/98/94/599059894.db2.gz WGUXOCNXFBXESK-VIFPVBQESA-N -1 1 324.772 1.523 20 0 DDADMM Fc1ccccc1-c1cnc(Cn2cnc(-c3nn[n-]n3)n2)o1 ZINC000737663509 599163009 /nfs/dbraw/zinc/16/30/09/599163009.db2.gz ITXRZEMVIPJJMU-UHFFFAOYSA-N -1 1 312.268 1.301 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)NCCCCCC(=O)[O-] ZINC000316860893 597235375 /nfs/dbraw/zinc/23/53/75/597235375.db2.gz XZZHIMUAAAHVLM-KGLIPLIRSA-N -1 1 311.426 1.900 20 0 DDADMM CCc1cc(CNC(=O)Nc2ccccc2CC(=O)[O-])[nH]n1 ZINC000818329395 597323206 /nfs/dbraw/zinc/32/32/06/597323206.db2.gz GBRWUWQGZWSHTG-UHFFFAOYSA-N -1 1 302.334 1.921 20 0 DDADMM CCOc1ccc(C(=O)N=c2cc[nH]cc2-c2nn[n-]n2)cc1 ZINC000736636015 599256970 /nfs/dbraw/zinc/25/69/70/599256970.db2.gz GJGVTWZGGMCFDU-UHFFFAOYSA-N -1 1 310.317 1.335 20 0 DDADMM Cc1ccc(C(N)=O)cc1NCc1ccc(-c2nn[n-]n2)s1 ZINC000822230640 607312730 /nfs/dbraw/zinc/31/27/30/607312730.db2.gz MKKLUQDUHGEMSE-UHFFFAOYSA-N -1 1 314.374 1.948 20 0 DDADMM CCOc1cc(C)nc(N2CCN(CCCCC(=O)[O-])CC2)n1 ZINC000737880961 597776599 /nfs/dbraw/zinc/77/65/99/597776599.db2.gz BZWPGYFZDVSZSV-UHFFFAOYSA-N -1 1 322.409 1.561 20 0 DDADMM CS(=O)(=O)Nc1ccccc1C(=O)OCCCCC(=O)[O-] ZINC000738138842 597827643 /nfs/dbraw/zinc/82/76/43/597827643.db2.gz KBPFJDQOBARWFM-UHFFFAOYSA-N -1 1 315.347 1.470 20 0 DDADMM CN(CC(=O)NC1(C(=O)[O-])CCCCC1)[C@@H]1CCSC1 ZINC000797431911 598089029 /nfs/dbraw/zinc/08/90/29/598089029.db2.gz ZXAKLRGJRUHUHM-LLVKDONJSA-N -1 1 300.424 1.327 20 0 DDADMM COC(=O)c1ccc(C)c(NC(=O)CN[C@@H](C(=O)[O-])C(C)C)c1 ZINC000820679984 598188581 /nfs/dbraw/zinc/18/85/81/598188581.db2.gz KCXWAJDRNJOKNH-CQSZACIVSA-N -1 1 322.361 1.419 20 0 DDADMM Cc1n[nH]c(CCNC(=O)Nc2cccc(CC(=O)[O-])c2)n1 ZINC000392439050 598212330 /nfs/dbraw/zinc/21/23/30/598212330.db2.gz XGVQTJDYLLMWFP-UHFFFAOYSA-N -1 1 303.322 1.104 20 0 DDADMM O=S(=O)(Oc1cccc(F)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738346999 598259917 /nfs/dbraw/zinc/25/99/17/598259917.db2.gz BYWVGXKLIACKDC-UHFFFAOYSA-N -1 1 321.293 1.169 20 0 DDADMM O=S(=O)(Oc1cccc(F)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738346999 598259918 /nfs/dbraw/zinc/25/99/18/598259918.db2.gz BYWVGXKLIACKDC-UHFFFAOYSA-N -1 1 321.293 1.169 20 0 DDADMM Cc1ccc2c(CC(=O)n3ncc(-c4nn[n-]n4)c3N)c[nH]c2c1 ZINC000737371302 598302661 /nfs/dbraw/zinc/30/26/61/598302661.db2.gz BFBXGYRUCNCPRL-UHFFFAOYSA-N -1 1 322.332 1.318 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(NCCCOC2CCCCC2)n1 ZINC000738446256 598339991 /nfs/dbraw/zinc/33/99/91/598339991.db2.gz WLQDTNLYIZMGCY-UHFFFAOYSA-N -1 1 303.370 1.808 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(NCCCOC2CCCCC2)n1 ZINC000738446256 598339993 /nfs/dbraw/zinc/33/99/93/598339993.db2.gz WLQDTNLYIZMGCY-UHFFFAOYSA-N -1 1 303.370 1.808 20 0 DDADMM CCOCc1ccc(CNc2nccnc2-c2nnn[n-]2)cc1 ZINC000736625757 598341897 /nfs/dbraw/zinc/34/18/97/598341897.db2.gz LSPMAARLHNVYPJ-UHFFFAOYSA-N -1 1 311.349 1.805 20 0 DDADMM CCOCc1ccc(CNc2nccnc2-c2nn[n-]n2)cc1 ZINC000736625757 598341899 /nfs/dbraw/zinc/34/18/99/598341899.db2.gz LSPMAARLHNVYPJ-UHFFFAOYSA-N -1 1 311.349 1.805 20 0 DDADMM COc1cccc(CNc2nccnc2-c2nnn[n-]2)c1OC ZINC000737131419 598345609 /nfs/dbraw/zinc/34/56/09/598345609.db2.gz CXCBICBZNIOEON-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM COc1cccc(CNc2nccnc2-c2nn[n-]n2)c1OC ZINC000737131419 598345610 /nfs/dbraw/zinc/34/56/10/598345610.db2.gz CXCBICBZNIOEON-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM Cc1ccc(OCCCNc2nccnc2-c2nnn[n-]2)cc1 ZINC000737366846 598351806 /nfs/dbraw/zinc/35/18/06/598351806.db2.gz VIEFRPSXAGCTOX-UHFFFAOYSA-N -1 1 311.349 1.846 20 0 DDADMM Cc1ccc(OCCCNc2nccnc2-c2nn[n-]n2)cc1 ZINC000737366846 598351808 /nfs/dbraw/zinc/35/18/08/598351808.db2.gz VIEFRPSXAGCTOX-UHFFFAOYSA-N -1 1 311.349 1.846 20 0 DDADMM c1ccc2c(c1)CCN2CCCNc1cnc(-c2nnn[n-]2)cn1 ZINC000738440611 598385769 /nfs/dbraw/zinc/38/57/69/598385769.db2.gz GKIABJJZWUZLMC-UHFFFAOYSA-N -1 1 322.376 1.521 20 0 DDADMM c1ccc2c(c1)CCN2CCCNc1cnc(-c2nn[n-]n2)cn1 ZINC000738440611 598385772 /nfs/dbraw/zinc/38/57/72/598385772.db2.gz GKIABJJZWUZLMC-UHFFFAOYSA-N -1 1 322.376 1.521 20 0 DDADMM COc1ccc(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)cn1 ZINC000737095550 598573188 /nfs/dbraw/zinc/57/31/88/598573188.db2.gz JTBGSUXMPNZRIQ-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM COc1ccc(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)cn1 ZINC000737095550 598573190 /nfs/dbraw/zinc/57/31/90/598573190.db2.gz JTBGSUXMPNZRIQ-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM Cc1c(Nc2nccnc2-c2nnn[n-]2)cnn1-c1ccccc1 ZINC000822130217 607383537 /nfs/dbraw/zinc/38/35/37/607383537.db2.gz QUSQMMRAECBRBX-UHFFFAOYSA-N -1 1 319.332 1.894 20 0 DDADMM Cc1c(Nc2nccnc2-c2nn[n-]n2)cnn1-c1ccccc1 ZINC000822130217 607383539 /nfs/dbraw/zinc/38/35/39/607383539.db2.gz QUSQMMRAECBRBX-UHFFFAOYSA-N -1 1 319.332 1.894 20 0 DDADMM CN1C[C@@H](Nc2cc(-c3nnn[n-]3)nc3ccccc32)CCC1=O ZINC000821621687 599565598 /nfs/dbraw/zinc/56/55/98/599565598.db2.gz BTMWRMDBRUXNKW-JTQLQIEISA-N -1 1 323.360 1.448 20 0 DDADMM CN1C[C@@H](Nc2cc(-c3nn[n-]n3)nc3ccccc32)CCC1=O ZINC000821621687 599565601 /nfs/dbraw/zinc/56/56/01/599565601.db2.gz BTMWRMDBRUXNKW-JTQLQIEISA-N -1 1 323.360 1.448 20 0 DDADMM O=C([O-])CCOc1ccc(S(=O)(=O)Nc2cccnc2)cc1 ZINC000386564710 599864665 /nfs/dbraw/zinc/86/46/65/599864665.db2.gz OQVGQJHAHNAORD-UHFFFAOYSA-N -1 1 322.342 1.736 20 0 DDADMM Cc1cccc(OCCCNC(=O)CNC(C)(C)C(=O)[O-])c1 ZINC000738737485 599926329 /nfs/dbraw/zinc/92/63/29/599926329.db2.gz DYXNYQPSYFSIHV-UHFFFAOYSA-N -1 1 308.378 1.333 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2cccc(C(=O)[O-])c2)C1 ZINC000399622741 599953912 /nfs/dbraw/zinc/95/39/12/599953912.db2.gz ZMCOIWGNYPRZQW-CQSZACIVSA-N -1 1 306.362 1.225 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1[nH]nc2c1CCC2)c1cc(F)ccc1F ZINC000739372505 599989369 /nfs/dbraw/zinc/98/93/69/599989369.db2.gz NROMPPGLXMAFFW-ZDUSSCGKSA-N -1 1 321.283 1.732 20 0 DDADMM O=C([O-])[C@H]1C[C@H]1C(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000739538331 600112672 /nfs/dbraw/zinc/11/26/72/600112672.db2.gz HTVMXYKLVBZMRR-CABCVRRESA-N -1 1 320.364 1.627 20 0 DDADMM CN1CCC[C@H]1C(=O)N(CC(=O)[O-])Cc1ccc(Cl)cc1 ZINC000737517429 600377841 /nfs/dbraw/zinc/37/78/41/600377841.db2.gz AEUJKSCPTXZBAX-ZDUSSCGKSA-N -1 1 310.781 1.847 20 0 DDADMM O=C([O-])[C@H](c1ccc(F)cc1)N1CCN(C[C@H]2CCCO2)CC1 ZINC000739517680 600429813 /nfs/dbraw/zinc/42/98/13/600429813.db2.gz QIBAFBMQHYFFEO-CVEARBPZSA-N -1 1 322.380 1.748 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)NCc1ccc(C(=O)[O-])o1 ZINC000737358253 600479607 /nfs/dbraw/zinc/47/96/07/600479607.db2.gz JTAVHYPRDDVKNQ-CQSZACIVSA-N -1 1 316.357 1.767 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)NC1CCC(C(=O)[O-])CC1 ZINC000736395220 600495495 /nfs/dbraw/zinc/49/54/95/600495495.db2.gz ACTPPCAPQVHKLE-JXQTWKCFSA-N -1 1 311.426 1.611 20 0 DDADMM CCc1cc(CNC(=O)Nc2ccc(OCC(=O)[O-])cc2)n[nH]1 ZINC000737253335 600510083 /nfs/dbraw/zinc/51/00/83/600510083.db2.gz HGODMXNOEGDVMJ-UHFFFAOYSA-N -1 1 318.333 1.757 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CCC(COc2ccccc2)CC1 ZINC000736210208 600540345 /nfs/dbraw/zinc/54/03/45/600540345.db2.gz WWTVZCJDPAXQBA-ZDUSSCGKSA-N -1 1 320.389 1.367 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CCC[C@@H](CCO)C2)cc1 ZINC000738465730 600555362 /nfs/dbraw/zinc/55/53/62/600555362.db2.gz KMHSUKIINWYWGN-LBPRGKRZSA-N -1 1 306.362 1.418 20 0 DDADMM CC[C@](C)(NCC(=O)NC(=O)Nc1ccc(C)cc1C)C(=O)[O-] ZINC000736860929 600561031 /nfs/dbraw/zinc/56/10/31/600561031.db2.gz DZBXLOGNNSNOQU-INIZCTEOSA-N -1 1 321.377 1.794 20 0 DDADMM CC[C@](C)(NCC(=O)Nc1cccc(C(=O)OC)c1)C(=O)[O-] ZINC000736862791 600562627 /nfs/dbraw/zinc/56/26/27/600562627.db2.gz GDUVGGABORHSGY-HNNXBMFYSA-N -1 1 308.334 1.255 20 0 DDADMM COc1ccc([C@H](NC(=O)c2[nH]nc(C)c2C)C(=O)[O-])cc1 ZINC000737964024 600617391 /nfs/dbraw/zinc/61/73/91/600617391.db2.gz DRFLWNVECUBFDQ-ZDUSSCGKSA-N -1 1 303.318 1.591 20 0 DDADMM C[C@H]1C[C@@H](c2cccc(F)c2)N(CC(=O)NCCC(=O)[O-])C1 ZINC000828185168 600836899 /nfs/dbraw/zinc/83/68/99/600836899.db2.gz VNFLLFSKGKFQMQ-FZMZJTMJSA-N -1 1 308.353 1.800 20 0 DDADMM Cc1nnc(SCC(=O)Nc2ccc(CNC(=O)[O-])cc2)[nH]1 ZINC000832991723 600958886 /nfs/dbraw/zinc/95/88/86/600958886.db2.gz ZGTJLLFMMDECDB-UHFFFAOYSA-N -1 1 321.362 1.612 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2ccc(CNC(=O)[O-])cc2)n1 ZINC000832991723 600958887 /nfs/dbraw/zinc/95/88/87/600958887.db2.gz ZGTJLLFMMDECDB-UHFFFAOYSA-N -1 1 321.362 1.612 20 0 DDADMM O=C([O-])Nc1ccc(CNC(=O)CN2CC[C@H](CCO)C2)cc1 ZINC000740025751 600970675 /nfs/dbraw/zinc/97/06/75/600970675.db2.gz WHGKPAZDKMAEAL-CYBMUJFWSA-N -1 1 321.377 1.097 20 0 DDADMM CCCN1CCN(C(=O)Nc2cccc(OCC(=O)[O-])c2)CC1 ZINC000390707334 601017410 /nfs/dbraw/zinc/01/74/10/601017410.db2.gz HOUYJJHYAJLJNG-UHFFFAOYSA-N -1 1 321.377 1.710 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000833019266 601089063 /nfs/dbraw/zinc/08/90/63/601089063.db2.gz IIWRJGBNKTUWJB-WDEREUQCSA-N -1 1 323.393 1.402 20 0 DDADMM C[C@H](CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)c1cccnc1 ZINC000827226772 601105432 /nfs/dbraw/zinc/10/54/32/601105432.db2.gz PXRLTBCULZUDKM-ZIAGYGMSSA-N -1 1 319.405 1.583 20 0 DDADMM CCCc1nnc([C@H]2CN(Cc3ccoc3C(=O)[O-])CCO2)[nH]1 ZINC000737049865 601105733 /nfs/dbraw/zinc/10/57/33/601105733.db2.gz GKUNFINBQVULLN-LLVKDONJSA-N -1 1 320.349 1.622 20 0 DDADMM CCCc1n[nH]c([C@H]2CN(Cc3ccoc3C(=O)[O-])CCO2)n1 ZINC000737049865 601105735 /nfs/dbraw/zinc/10/57/35/601105735.db2.gz GKUNFINBQVULLN-LLVKDONJSA-N -1 1 320.349 1.622 20 0 DDADMM COC[C@](C)(CCO)NCC(=O)Nc1cc(C(=O)[O-])ccc1C ZINC000831591065 601201903 /nfs/dbraw/zinc/20/19/03/601201903.db2.gz PNPQCKREMWANOY-INIZCTEOSA-N -1 1 324.377 1.009 20 0 DDADMM Cc1cc(NC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)sn1 ZINC000832895179 601243851 /nfs/dbraw/zinc/24/38/51/601243851.db2.gz UEKZONIKZKRXFM-SNVBAGLBSA-N -1 1 312.395 1.464 20 0 DDADMM C[C@@H]1CN(Cc2csc(-c3cnn(C)c3)n2)C[C@@H]1C(=O)[O-] ZINC000828461842 601518469 /nfs/dbraw/zinc/51/84/69/601518469.db2.gz DGHHEMTXDLFNRF-SKDRFNHKSA-N -1 1 306.391 1.696 20 0 DDADMM CC(=O)c1ccc(NC(=O)[C@H](C)N2C[C@H](C(=O)[O-])[C@H](C)C2)cc1 ZINC000825951239 601532908 /nfs/dbraw/zinc/53/29/08/601532908.db2.gz BOLJRABDPJMEID-ZETOZRRWSA-N -1 1 318.373 1.869 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN1CCCC[C@H]1CCO ZINC000832917276 601545367 /nfs/dbraw/zinc/54/53/67/601545367.db2.gz WLFDWEKJJWRFBU-AWEZNQCLSA-N -1 1 320.389 1.869 20 0 DDADMM COc1ccc(NC(=O)CCN2CCO[C@@H](CC(=O)[O-])C2)cc1 ZINC000832393635 601573446 /nfs/dbraw/zinc/57/34/46/601573446.db2.gz DKBZVURUKYOEGR-AWEZNQCLSA-N -1 1 322.361 1.199 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](c2ccccc2)[C@@H](C)C(=O)[O-])[nH]n1 ZINC000832883986 601689090 /nfs/dbraw/zinc/68/90/90/601689090.db2.gz RUXKQWJTYYVTFZ-IAQYHMDHSA-N -1 1 301.346 1.839 20 0 DDADMM O=[S@](Cc1ccnc(-c2nnn[n-]2)c1)c1ccccc1F ZINC000826495997 607528400 /nfs/dbraw/zinc/52/84/00/607528400.db2.gz IHEOCOSYLMBCCG-OAQYLSRUSA-N -1 1 303.322 1.709 20 0 DDADMM O=[S@](Cc1ccnc(-c2nn[n-]n2)c1)c1ccccc1F ZINC000826495997 607528402 /nfs/dbraw/zinc/52/84/02/607528402.db2.gz IHEOCOSYLMBCCG-OAQYLSRUSA-N -1 1 303.322 1.709 20 0 DDADMM CCOCCN1CCN(Cc2ccsc2C(=O)[O-])C[C@H]1C ZINC000830012123 601822999 /nfs/dbraw/zinc/82/29/99/601822999.db2.gz VDEFPAHYMXBCJB-GFCCVEGCSA-N -1 1 312.435 1.989 20 0 DDADMM O=C([O-])N1CCC[C@@H](CNC(=O)Cc2[nH]nc3ccccc32)C1 ZINC000740388332 601865754 /nfs/dbraw/zinc/86/57/54/601865754.db2.gz SFSPYNLJYDSSTR-NSHDSACASA-N -1 1 316.361 1.612 20 0 DDADMM COCc1cccc(CNC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)c1 ZINC000831817535 601869781 /nfs/dbraw/zinc/86/97/81/601869781.db2.gz PEVCYMNIWFFRKT-HNNXBMFYSA-N -1 1 322.405 1.538 20 0 DDADMM C[C@@H]1CCCCN1C(=O)CN1CCSC[C@@H]1CC(=O)[O-] ZINC000091131275 601885081 /nfs/dbraw/zinc/88/50/81/601885081.db2.gz KMOCGRKNHXLALL-NEPJUHHUSA-N -1 1 300.424 1.280 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CN2C[C@@H](c3ccccn3)CC2=O)C1 ZINC000828029012 601890881 /nfs/dbraw/zinc/89/08/81/601890881.db2.gz MHXNTLBYVKFOSJ-LRDDRELGSA-N -1 1 303.362 1.152 20 0 DDADMM O=C([O-])N1CCC(N(CN2CCSC2=S)C2CC2)CC1 ZINC000740501603 601912624 /nfs/dbraw/zinc/91/26/24/601912624.db2.gz ZUDTVJOPNFTWIW-UHFFFAOYSA-N -1 1 315.464 1.884 20 0 DDADMM Cn1c(CN2CC[C@](C)(C(=O)[O-])C2)nnc1-c1ccccc1 ZINC000833038308 601916583 /nfs/dbraw/zinc/91/65/83/601916583.db2.gz BRWXJBPUDXJEIU-INIZCTEOSA-N -1 1 300.362 1.779 20 0 DDADMM O=C([O-])NC[C@H]1CCN(Cc2cc(F)cc3c2OCOC3)C1 ZINC000740642819 601951163 /nfs/dbraw/zinc/95/11/63/601951163.db2.gz MAXNJFITLKIOEW-SNVBAGLBSA-N -1 1 310.325 1.782 20 0 DDADMM C[C@H](C(=O)N1CC[C@](C)(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000825982930 602030081 /nfs/dbraw/zinc/03/00/81/602030081.db2.gz NTMBULJFCYUXGA-DYVFJYSZSA-N -1 1 304.390 1.830 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000833222981 602041300 /nfs/dbraw/zinc/04/13/00/602041300.db2.gz SKSABPIWQSBBMH-UWVGGRQHSA-N -1 1 309.391 1.248 20 0 DDADMM Nc1nnc(SCc2ccnc(-c3nnn[n-]3)c2)n1C1CC1 ZINC000826396617 607545067 /nfs/dbraw/zinc/54/50/67/607545067.db2.gz FNAPMQQIAYZLPZ-UHFFFAOYSA-N -1 1 315.366 1.063 20 0 DDADMM Nc1nnc(SCc2ccnc(-c3nn[n-]n3)c2)n1C1CC1 ZINC000826396617 607545068 /nfs/dbraw/zinc/54/50/68/607545068.db2.gz FNAPMQQIAYZLPZ-UHFFFAOYSA-N -1 1 315.366 1.063 20 0 DDADMM O=C([O-])NCCCC(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000739960781 602126204 /nfs/dbraw/zinc/12/62/04/602126204.db2.gz ZUSDAZOIVIBIFO-CYBMUJFWSA-N -1 1 323.393 1.971 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C[C@H](O)COc2cccc3[nH]ccc32)C1 ZINC000833176035 602206428 /nfs/dbraw/zinc/20/64/28/602206428.db2.gz VVMTZRPPKJCQJE-ZBEGNZNMSA-N -1 1 322.336 1.406 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)c1ccc(CNC(=O)[O-])cc1 ZINC000740030547 602256776 /nfs/dbraw/zinc/25/67/76/602256776.db2.gz IVIYBONAGPIOBA-UHFFFAOYSA-N -1 1 316.361 1.848 20 0 DDADMM COC(=O)c1coc(CN2CCC[C@H](CN(C)C(=O)[O-])C2)c1 ZINC000739791493 602273040 /nfs/dbraw/zinc/27/30/40/602273040.db2.gz HVTVXRQTBQBPCC-LLVKDONJSA-N -1 1 310.350 1.888 20 0 DDADMM CCN(C)[C@H](C(=O)NCC[C@H](C)NC(=O)[O-])c1ccccc1 ZINC000739386277 602318986 /nfs/dbraw/zinc/31/89/86/602318986.db2.gz YNHSOLZKPSAGQF-JSGCOSHPSA-N -1 1 307.394 1.842 20 0 DDADMM O=C([O-])NC[C@H]1CCC[N@H+](Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000740628845 602379219 /nfs/dbraw/zinc/37/92/19/602379219.db2.gz DVMMTLSDAPOEDE-SECBINFHSA-N -1 1 322.390 1.877 20 0 DDADMM O=C([O-])NC[C@H]1CCC[N@@H+](Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000740628845 602379220 /nfs/dbraw/zinc/37/92/20/602379220.db2.gz DVMMTLSDAPOEDE-SECBINFHSA-N -1 1 322.390 1.877 20 0 DDADMM O=C([O-])NCCCNC(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000740653356 602392516 /nfs/dbraw/zinc/39/25/16/602392516.db2.gz QCOUCLHQPSEBAD-LBPRGKRZSA-N -1 1 324.381 1.373 20 0 DDADMM O=C([O-])N1CCC[C@H](CN(C2CC2)[C@@H]2CCS(=O)(=O)C2)C1 ZINC000740507616 602431243 /nfs/dbraw/zinc/43/12/43/602431243.db2.gz SUBWSUSKKGAIML-WCQYABFASA-N -1 1 316.423 1.028 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(Cc2cnc3ccnn3c2)CC1 ZINC000739012842 602446856 /nfs/dbraw/zinc/44/68/56/602446856.db2.gz AFXIUAFTOOHEGU-LLVKDONJSA-N -1 1 303.366 1.597 20 0 DDADMM CCN1CCCC[C@@H]1C(=O)N[C@@H](C)[C@H]1CCCN(C(=O)[O-])C1 ZINC000739456784 602469589 /nfs/dbraw/zinc/46/95/89/602469589.db2.gz SIUSBHFHHBLQEV-MELADBBJSA-N -1 1 311.426 1.756 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC000739534709 602723184 /nfs/dbraw/zinc/72/31/84/602723184.db2.gz PPOKJZIZZPSAGF-AWEZNQCLSA-N -1 1 320.393 1.423 20 0 DDADMM CN(C)Cc1cc(CNC(=O)CCCNC(=O)[O-])ccc1F ZINC000737368038 602761720 /nfs/dbraw/zinc/76/17/20/602761720.db2.gz IWQLKHJRDUHHKZ-UHFFFAOYSA-N -1 1 311.357 1.551 20 0 DDADMM CN(C)Cc1cc(CNC(=O)[C@@H]2CCCN2C(=O)[O-])ccc1F ZINC000739609371 602762082 /nfs/dbraw/zinc/76/20/82/602762082.db2.gz AIVHRKPNQWJQNW-AWEZNQCLSA-N -1 1 323.368 1.646 20 0 DDADMM C[C@@H]1C[N@H+](CCCNC(=O)CC(C)(C)NC(=O)[O-])C[C@H](C)O1 ZINC000739183834 603015205 /nfs/dbraw/zinc/01/52/05/603015205.db2.gz UAOOLGDXFUXAEM-TXEJJXNPSA-N -1 1 315.414 1.038 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)CC(C)(C)NC(=O)[O-])C[C@H](C)O1 ZINC000739183834 603015211 /nfs/dbraw/zinc/01/52/11/603015211.db2.gz UAOOLGDXFUXAEM-TXEJJXNPSA-N -1 1 315.414 1.038 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@@H](CNC(=O)[O-])C1CC1)c1ccccc1 ZINC000739384914 603034675 /nfs/dbraw/zinc/03/46/75/603034675.db2.gz MRGNMHYWZOALDV-GJZGRUSLSA-N -1 1 319.405 1.842 20 0 DDADMM CC(C)[C@@](C)(CNC(=O)[O-])NCc1cc(=O)n2ccsc2n1 ZINC000738769799 603079515 /nfs/dbraw/zinc/07/95/15/603079515.db2.gz GINLDSIDYDHJMN-CQSZACIVSA-N -1 1 324.406 1.528 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000832563236 603118001 /nfs/dbraw/zinc/11/80/01/603118001.db2.gz VUZGQZIMPNMJLU-SNVBAGLBSA-N -1 1 304.306 1.542 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](NC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000737568830 603170065 /nfs/dbraw/zinc/17/00/65/603170065.db2.gz YBPITRWORJTMKI-UKRRQHHQSA-N -1 1 319.405 1.766 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N[C@H]2CCCN(C(=O)[O-])C2)o1 ZINC000737086847 603190939 /nfs/dbraw/zinc/19/09/39/603190939.db2.gz PRGYLJCVHNORHY-LBPRGKRZSA-N -1 1 323.393 1.994 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](N(C)C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823660771 603506928 /nfs/dbraw/zinc/50/69/28/603506928.db2.gz CYNJUYPKAYBSAR-ZFWWWQNUSA-N -1 1 319.405 1.718 20 0 DDADMM CC(C)(C)S(=O)(=O)CCNCc1ccc(NC(=O)[O-])nc1 ZINC000823833107 603551095 /nfs/dbraw/zinc/55/10/95/603551095.db2.gz HSEJKBBRJYXQHE-UHFFFAOYSA-N -1 1 315.395 1.474 20 0 DDADMM CN(C[C@H]1CCCN1Cc1cc(=O)n2ccccc2n1)C(=O)[O-] ZINC000828224630 603581147 /nfs/dbraw/zinc/58/11/47/603581147.db2.gz ZAFUYWWHNBZEMB-CYBMUJFWSA-N -1 1 316.361 1.269 20 0 DDADMM COc1ccc2nc(S[C@@H]3CN(C(=O)[O-])CCC3=O)[nH]c2c1 ZINC000829527246 603679088 /nfs/dbraw/zinc/67/90/88/603679088.db2.gz UQZUXMUSVQLDIB-GFCCVEGCSA-N -1 1 321.358 1.985 20 0 DDADMM CNC(=O)c1cccc(CN2CCC[C@H](C)[C@@H]2CNC(=O)[O-])c1 ZINC000828568125 603724662 /nfs/dbraw/zinc/72/46/62/603724662.db2.gz ZYHLAHKZGDEKOV-WFASDCNBSA-N -1 1 319.405 1.914 20 0 DDADMM C[C@H](N1CCN(CC2CCN(C(=O)[O-])CC2)CC1)C(F)(F)F ZINC000825055443 603780986 /nfs/dbraw/zinc/78/09/86/603780986.db2.gz KXTRKMYREBLYPD-NSHDSACASA-N -1 1 323.359 1.945 20 0 DDADMM C[C@H](NC(=O)[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)[O-])c1nnc[nH]1 ZINC000825081941 603798520 /nfs/dbraw/zinc/79/85/20/603798520.db2.gz IRXRBXRTHUGUEL-MMWGEVLESA-N -1 1 307.354 1.293 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCN(CCCn2c(=O)[nH]c3ccccc32)C1 ZINC000827890946 603842170 /nfs/dbraw/zinc/84/21/70/603842170.db2.gz XOPFJTPUBGJXRB-GFCCVEGCSA-N -1 1 318.377 1.816 20 0 DDADMM CN(C(=O)[O-])C1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000827836445 603948043 /nfs/dbraw/zinc/94/80/43/603948043.db2.gz YVAGMZBORGABSU-NSHDSACASA-N -1 1 306.366 1.430 20 0 DDADMM CC(C)[C@@](C)(CNC(=O)[O-])NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000824057302 603949971 /nfs/dbraw/zinc/94/99/71/603949971.db2.gz QCYALNATRBQCSK-MEBBXXQBSA-N -1 1 308.382 1.628 20 0 DDADMM C[C@H](NC(=O)[C@@H]1CCCCCC[C@@H]1NC(=O)[O-])c1nnc[nH]1 ZINC000825095743 603995557 /nfs/dbraw/zinc/99/55/57/603995557.db2.gz XWRKPHRYWFSCKE-AXFHLTTASA-N -1 1 309.370 1.589 20 0 DDADMM COc1ccccc1[C@@H](NC(=O)[O-])C(=O)NCc1cnc[nH]1 ZINC000829611314 604050716 /nfs/dbraw/zinc/05/07/16/604050716.db2.gz MCECJPPENXDODK-GFCCVEGCSA-N -1 1 304.306 1.043 20 0 DDADMM CCCCN(CCO)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825285127 608185270 /nfs/dbraw/zinc/18/52/70/608185270.db2.gz KKNYFHFBIGPBAS-UHFFFAOYSA-N -1 1 302.791 1.575 20 0 DDADMM CCCCN(CCO)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825285127 608185272 /nfs/dbraw/zinc/18/52/72/608185272.db2.gz KKNYFHFBIGPBAS-UHFFFAOYSA-N -1 1 302.791 1.575 20 0 DDADMM CN(CCNC(=O)[O-])C[C@@H](c1cccs1)N1CCOCC1 ZINC000828329794 604365333 /nfs/dbraw/zinc/36/53/33/604365333.db2.gz MVTFNDOWRQIAOS-LBPRGKRZSA-N -1 1 313.423 1.321 20 0 DDADMM Cn1ccnc1[C@H](O)[C@H]1CCCN(Cc2cc(C(=O)[O-])co2)C1 ZINC000833059418 604425022 /nfs/dbraw/zinc/42/50/22/604425022.db2.gz SRPTXLCRPRGSJN-SMDDNHRTSA-N -1 1 319.361 1.657 20 0 DDADMM CC(C)(C)Oc1cccc(CN2CCC([C@H](O)C(=O)[O-])CC2)n1 ZINC000833447141 604561858 /nfs/dbraw/zinc/56/18/58/604561858.db2.gz CIJRCFRQYQRGAE-HNNXBMFYSA-N -1 1 322.405 1.916 20 0 DDADMM CCc1[nH]c(C(=O)N[C@H]2CCc3nc[nH]c3C2)c(C)c1C(=O)[O-] ZINC000830193320 604710354 /nfs/dbraw/zinc/71/03/54/604710354.db2.gz WKCDYYIBHZWQQT-VIFPVBQESA-N -1 1 316.361 1.594 20 0 DDADMM CCOC[C@H](C(=O)[O-])N(C)C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000829962079 604736656 /nfs/dbraw/zinc/73/66/56/604736656.db2.gz YTAAUZYXWNEZON-CYBMUJFWSA-N -1 1 317.345 1.638 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCC[C@H](Nc2ccccc2)C1 ZINC000833236375 604800445 /nfs/dbraw/zinc/80/04/45/604800445.db2.gz HIIDIRBZZGRFKA-AWEZNQCLSA-N -1 1 305.378 1.154 20 0 DDADMM Cc1ccc2c(n1)c(=O)c(-c1nn[n-]n1)cn2C[C@@H]1CCCO1 ZINC000826294864 607716331 /nfs/dbraw/zinc/71/63/31/607716331.db2.gz GZMWJHQLDFHYGA-JTQLQIEISA-N -1 1 312.333 1.064 20 0 DDADMM C[C@@H](c1ccc(C(=O)[O-])o1)N1CCC(N2CCCNC2=O)CC1 ZINC000833547475 605049385 /nfs/dbraw/zinc/04/93/85/605049385.db2.gz SWHQRBWLTYHYOA-NSHDSACASA-N -1 1 321.377 1.919 20 0 DDADMM CC(C)n1cccc1C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833626133 605109576 /nfs/dbraw/zinc/10/95/76/605109576.db2.gz ODNZUMWOXUIJCF-CYBMUJFWSA-N -1 1 307.394 1.690 20 0 DDADMM C[C@@H]1CN(C(=O)c2n[nH]c3ccccc32)CCN1CCC(=O)[O-] ZINC000833626095 605255545 /nfs/dbraw/zinc/25/55/45/605255545.db2.gz WFHYQOVYBRWHOA-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N[C@H]2CCC[C@H]2CNC(=O)[O-])n[nH]1 ZINC000830036537 605294039 /nfs/dbraw/zinc/29/40/39/605294039.db2.gz SVTPPEYZTOHTBH-GAFUQQFSSA-N -1 1 323.397 1.385 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCCN(CC(F)(F)F)CC2)O1 ZINC000833577242 605320513 /nfs/dbraw/zinc/32/05/13/605320513.db2.gz BWQSNEIIYFIRKA-NSHDSACASA-N -1 1 322.327 1.704 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(c3ncnc4[nH]cnc43)C[C@@H]21 ZINC000834186854 605374259 /nfs/dbraw/zinc/37/42/59/605374259.db2.gz VLSLQKPEYKIWLZ-KXUCPTDWSA-N -1 1 302.338 1.225 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCN(C[C@@H]3CCCO3)CC2)cn1 ZINC000834259904 605466796 /nfs/dbraw/zinc/46/67/96/605466796.db2.gz INIUQYPFNDYWCP-AWEZNQCLSA-N -1 1 320.393 1.468 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)[N@@H+](C)CCc1ccncc1 ZINC000833402978 605545309 /nfs/dbraw/zinc/54/53/09/605545309.db2.gz BOBUOHFUIFAMGO-ZDUSSCGKSA-N -1 1 319.405 1.268 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)CCc1ccncc1 ZINC000833402978 605545312 /nfs/dbraw/zinc/54/53/12/605545312.db2.gz BOBUOHFUIFAMGO-ZDUSSCGKSA-N -1 1 319.405 1.268 20 0 DDADMM C[C@@H](NC(=O)[C@H]1c2ccccc2CCN1C(=O)[O-])c1nnc[nH]1 ZINC000825100356 605758728 /nfs/dbraw/zinc/75/87/28/605758728.db2.gz CEZRFOAKODULEH-BXKDBHETSA-N -1 1 315.333 1.259 20 0 DDADMM O=C([O-])N1CCN(CCN2C(=O)CCC2=O)C2(CCCCC2)C1 ZINC000834112814 605765596 /nfs/dbraw/zinc/76/55/96/605765596.db2.gz JFFAHCNYJMGXCW-UHFFFAOYSA-N -1 1 323.393 1.134 20 0 DDADMM O=C([O-])NCC1CC[NH+](CCC[N-]C(=O)C(F)(F)F)CC1 ZINC000834223870 605785621 /nfs/dbraw/zinc/78/56/21/605785621.db2.gz GDNLVYRUUWXMJU-UHFFFAOYSA-N -1 1 311.304 1.035 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N1CCC[C@@H]1CNC(=O)[O-])C2 ZINC000833916206 605847928 /nfs/dbraw/zinc/84/79/28/605847928.db2.gz OPGDUPAKHUQPMB-WDEREUQCSA-N -1 1 306.366 1.082 20 0 DDADMM O=C([O-])N1CC[C@H](NCc2nccn2CCc2ccccc2)C1 ZINC000831964445 605860345 /nfs/dbraw/zinc/86/03/45/605860345.db2.gz WZSGGAONMMHUOA-HNNXBMFYSA-N -1 1 314.389 1.968 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NCCC1=CCCCC1 ZINC000833833450 605911798 /nfs/dbraw/zinc/91/17/98/605911798.db2.gz YGSCWMZZWCABAX-ZFWWWQNUSA-N -1 1 323.437 1.971 20 0 DDADMM CC(C)C[C@H](CNC(=O)[O-])NC(=O)CN(C)[C@H]1CCSC1 ZINC000833792996 605944516 /nfs/dbraw/zinc/94/45/16/605944516.db2.gz BJIMQACGFQVCQZ-NEPJUHHUSA-N -1 1 317.455 1.222 20 0 DDADMM Cn1cc(CN2CC[C@H](NC(=O)[O-])C2)c(-c2ccccc2)n1 ZINC000833948667 605991379 /nfs/dbraw/zinc/99/13/79/605991379.db2.gz IUEQBJPSJWCLKY-AWEZNQCLSA-N -1 1 300.362 1.929 20 0 DDADMM COc1cccc(NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)c1 ZINC000821873518 606165753 /nfs/dbraw/zinc/16/57/53/606165753.db2.gz UUKHITRWQZRKRH-UHFFFAOYSA-N -1 1 314.309 1.253 20 0 DDADMM COc1cccc(NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)c1 ZINC000821873518 606165754 /nfs/dbraw/zinc/16/57/54/606165754.db2.gz UUKHITRWQZRKRH-UHFFFAOYSA-N -1 1 314.309 1.253 20 0 DDADMM CC(C)C[C@H]1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820067055 606171852 /nfs/dbraw/zinc/17/18/52/606171852.db2.gz BDGMOPNOAZRZNU-SNVBAGLBSA-N -1 1 318.385 1.500 20 0 DDADMM CC(C)C[C@H]1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820067055 606171853 /nfs/dbraw/zinc/17/18/53/606171853.db2.gz BDGMOPNOAZRZNU-SNVBAGLBSA-N -1 1 318.385 1.500 20 0 DDADMM CCn1cnnc1CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821566865 606455290 /nfs/dbraw/zinc/45/52/90/606455290.db2.gz XULDEPRRRQYTAY-UHFFFAOYSA-N -1 1 305.733 1.139 20 0 DDADMM CCn1cnnc1CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821566865 606455292 /nfs/dbraw/zinc/45/52/92/606455292.db2.gz XULDEPRRRQYTAY-UHFFFAOYSA-N -1 1 305.733 1.139 20 0 DDADMM C[C@]1(CNC(=O)c2ccc(-c3nnn[n-]3)s2)CCCC[C@@H]1O ZINC000820618740 606581947 /nfs/dbraw/zinc/58/19/47/606581947.db2.gz NJWVQGWIWVYXSR-SMDDNHRTSA-N -1 1 321.406 1.599 20 0 DDADMM C[C@]1(CNC(=O)c2ccc(-c3nn[n-]n3)s2)CCCC[C@@H]1O ZINC000820618740 606581948 /nfs/dbraw/zinc/58/19/48/606581948.db2.gz NJWVQGWIWVYXSR-SMDDNHRTSA-N -1 1 321.406 1.599 20 0 DDADMM C[C@@H]1CCCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820705576 606595503 /nfs/dbraw/zinc/59/55/03/606595503.db2.gz VKRZELKQKABPRG-SCZZXKLOSA-N -1 1 304.358 1.300 20 0 DDADMM C[C@@H]1CCCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820705576 606595505 /nfs/dbraw/zinc/59/55/05/606595505.db2.gz VKRZELKQKABPRG-SCZZXKLOSA-N -1 1 304.358 1.300 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)o1 ZINC000822229648 606597436 /nfs/dbraw/zinc/59/74/36/606597436.db2.gz YMZHYJOFYAKISZ-QMMMGPOBSA-N -1 1 316.325 1.384 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)o1 ZINC000822229648 606597437 /nfs/dbraw/zinc/59/74/37/606597437.db2.gz YMZHYJOFYAKISZ-QMMMGPOBSA-N -1 1 316.325 1.384 20 0 DDADMM C[C@@H](CC(=O)OCc1ccnc(-c2nnn[n-]2)c1)n1cccc1 ZINC000820227144 606653376 /nfs/dbraw/zinc/65/33/76/606653376.db2.gz YZDAMTICMLIVHF-NSHDSACASA-N -1 1 312.333 1.758 20 0 DDADMM C[C@@H](CC(=O)OCc1ccnc(-c2nn[n-]n2)c1)n1cccc1 ZINC000820227144 606653377 /nfs/dbraw/zinc/65/33/77/606653377.db2.gz YZDAMTICMLIVHF-NSHDSACASA-N -1 1 312.333 1.758 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)[C@H]2C[C@@H]2Cc2ccccc2)n1 ZINC000822605124 606684438 /nfs/dbraw/zinc/68/44/38/606684438.db2.gz ZSNREDXNYUXYCX-RYUDHWBXSA-N -1 1 323.360 1.418 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)[C@H]2C[C@@H]2Cc2ccccc2)n1 ZINC000822605124 606684439 /nfs/dbraw/zinc/68/44/39/606684439.db2.gz ZSNREDXNYUXYCX-RYUDHWBXSA-N -1 1 323.360 1.418 20 0 DDADMM CCOc1cccc(CCNc2cccc(-c3nnn[n-]3)n2)n1 ZINC000821426711 606721099 /nfs/dbraw/zinc/72/10/99/606721099.db2.gz GYJNGIUGKDCKFQ-UHFFFAOYSA-N -1 1 311.349 1.710 20 0 DDADMM CCOc1cccc(CCNc2cccc(-c3nn[n-]n3)n2)n1 ZINC000821426711 606721101 /nfs/dbraw/zinc/72/11/01/606721101.db2.gz GYJNGIUGKDCKFQ-UHFFFAOYSA-N -1 1 311.349 1.710 20 0 DDADMM CC[C@]1(C)CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000820949538 606936533 /nfs/dbraw/zinc/93/65/33/606936533.db2.gz CDXUPCIAEWKYIT-CYBMUJFWSA-N -1 1 322.394 1.072 20 0 DDADMM CC[C@]1(C)CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000820949538 606936534 /nfs/dbraw/zinc/93/65/34/606936534.db2.gz CDXUPCIAEWKYIT-CYBMUJFWSA-N -1 1 322.394 1.072 20 0 DDADMM O=C1OC(c2cccnc2)=NC1=Cc1ccc(-c2nn[n-]n2)s1 ZINC000823551538 606976027 /nfs/dbraw/zinc/97/60/27/606976027.db2.gz UTDRUBOHGDQXTD-POHAHGRESA-N -1 1 324.325 1.668 20 0 DDADMM CC(C)CO[C@@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000820097699 607053482 /nfs/dbraw/zinc/05/34/82/607053482.db2.gz RUGDRBHDLYYGRN-SNVBAGLBSA-N -1 1 321.406 1.815 20 0 DDADMM CC(C)CO[C@@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000820097699 607053483 /nfs/dbraw/zinc/05/34/83/607053483.db2.gz RUGDRBHDLYYGRN-SNVBAGLBSA-N -1 1 321.406 1.815 20 0 DDADMM O=C(Cc1cccc(O)c1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000823111453 607108853 /nfs/dbraw/zinc/10/88/53/607108853.db2.gz ISVCOBCEYAPCON-UHFFFAOYSA-N -1 1 302.319 1.210 20 0 DDADMM O=C(Cc1cccc(O)c1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000823111453 607108855 /nfs/dbraw/zinc/10/88/55/607108855.db2.gz ISVCOBCEYAPCON-UHFFFAOYSA-N -1 1 302.319 1.210 20 0 DDADMM CC(C)[C@@H](O)C(C)(C)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000824201214 607824046 /nfs/dbraw/zinc/82/40/46/607824046.db2.gz YVPHLOBNLHITKU-LLVKDONJSA-N -1 1 323.422 1.701 20 0 DDADMM CC(C)[C@@H](O)C(C)(C)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000824201214 607824047 /nfs/dbraw/zinc/82/40/47/607824047.db2.gz YVPHLOBNLHITKU-LLVKDONJSA-N -1 1 323.422 1.701 20 0 DDADMM O=C(COc1cccc(F)c1-c1nnn[n-]1)NCC1CCC1 ZINC000826418446 607865554 /nfs/dbraw/zinc/86/55/54/607865554.db2.gz HFXURKQQRZZYKA-UHFFFAOYSA-N -1 1 305.313 1.301 20 0 DDADMM O=C(COc1cccc(F)c1-c1nn[n-]n1)NCC1CCC1 ZINC000826418446 607865555 /nfs/dbraw/zinc/86/55/55/607865555.db2.gz HFXURKQQRZZYKA-UHFFFAOYSA-N -1 1 305.313 1.301 20 0 DDADMM CN(C)c1nnc(Sc2cccc(-c3nnn[n-]3)n2)s1 ZINC000825887864 607899036 /nfs/dbraw/zinc/89/90/36/607899036.db2.gz LAMHPGDZRWPALZ-UHFFFAOYSA-N -1 1 306.380 1.330 20 0 DDADMM CN(C)c1nnc(Sc2cccc(-c3nn[n-]n3)n2)s1 ZINC000825887864 607899037 /nfs/dbraw/zinc/89/90/37/607899037.db2.gz LAMHPGDZRWPALZ-UHFFFAOYSA-N -1 1 306.380 1.330 20 0 DDADMM CCN(CC)C(=O)[C@@H]1CCCN1c1cccc(-c2nnn[n-]2)n1 ZINC000825399365 607899076 /nfs/dbraw/zinc/89/90/76/607899076.db2.gz QZHBSZXFZJUCGB-LBPRGKRZSA-N -1 1 315.381 1.099 20 0 DDADMM CCN(CC)C(=O)[C@@H]1CCCN1c1cccc(-c2nn[n-]n2)n1 ZINC000825399365 607899077 /nfs/dbraw/zinc/89/90/77/607899077.db2.gz QZHBSZXFZJUCGB-LBPRGKRZSA-N -1 1 315.381 1.099 20 0 DDADMM CO[C@@H]1CC[C@@H]2OCCN(c3cccc(-c4nnn[n-]4)n3)[C@@H]2C1 ZINC000826146138 607903355 /nfs/dbraw/zinc/90/33/55/607903355.db2.gz QTSBASOANRKTIM-RTXFEEFZSA-N -1 1 316.365 1.034 20 0 DDADMM CO[C@@H]1CC[C@@H]2OCCN(c3cccc(-c4nn[n-]n4)n3)[C@@H]2C1 ZINC000826146138 607903356 /nfs/dbraw/zinc/90/33/56/607903356.db2.gz QTSBASOANRKTIM-RTXFEEFZSA-N -1 1 316.365 1.034 20 0 DDADMM CC[C@@H]1CN(C(C)=O)CC[C@@H]1Nc1cccc(-c2nnn[n-]2)n1 ZINC000825212224 607904828 /nfs/dbraw/zinc/90/48/28/607904828.db2.gz QKTLHOQFHPWTCE-NEPJUHHUSA-N -1 1 315.381 1.321 20 0 DDADMM CC[C@@H]1CN(C(C)=O)CC[C@@H]1Nc1cccc(-c2nn[n-]n2)n1 ZINC000825212224 607904829 /nfs/dbraw/zinc/90/48/29/607904829.db2.gz QKTLHOQFHPWTCE-NEPJUHHUSA-N -1 1 315.381 1.321 20 0 DDADMM CCc1cnc(CCNc2ccc(-c3nnn[n-]3)nn2)s1 ZINC000825736265 607991232 /nfs/dbraw/zinc/99/12/32/607991232.db2.gz YGEYDLYCIRUYNL-UHFFFAOYSA-N -1 1 302.367 1.330 20 0 DDADMM CCc1cnc(CCNc2ccc(-c3nn[n-]n3)nn2)s1 ZINC000825736265 607991233 /nfs/dbraw/zinc/99/12/33/607991233.db2.gz YGEYDLYCIRUYNL-UHFFFAOYSA-N -1 1 302.367 1.330 20 0 DDADMM CCCn1c(C)cc(C(=O)Cn2ccnc2-c2nnn[n-]2)c1C ZINC000825351432 608013338 /nfs/dbraw/zinc/01/33/38/608013338.db2.gz DVFLTKZTEXVAMA-UHFFFAOYSA-N -1 1 313.365 1.774 20 0 DDADMM CCCn1c(C)cc(C(=O)Cn2ccnc2-c2nn[n-]n2)c1C ZINC000825351432 608013339 /nfs/dbraw/zinc/01/33/39/608013339.db2.gz DVFLTKZTEXVAMA-UHFFFAOYSA-N -1 1 313.365 1.774 20 0 DDADMM Cc1cc(C)n(-c2ccc(Cn3ccnc3-c3nnn[n-]3)cc2)n1 ZINC000826265183 608014370 /nfs/dbraw/zinc/01/43/70/608014370.db2.gz OYGCGBDEFZCDME-UHFFFAOYSA-N -1 1 320.360 1.914 20 0 DDADMM Cc1cc(C)n(-c2ccc(Cn3ccnc3-c3nn[n-]n3)cc2)n1 ZINC000826265183 608014371 /nfs/dbraw/zinc/01/43/71/608014371.db2.gz OYGCGBDEFZCDME-UHFFFAOYSA-N -1 1 320.360 1.914 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCC1=O ZINC000824874048 608058871 /nfs/dbraw/zinc/05/88/71/608058871.db2.gz BCNSXIAJUWGHME-KOLCDFICSA-N -1 1 315.333 1.310 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCC1=O ZINC000824874048 608058872 /nfs/dbraw/zinc/05/88/72/608058872.db2.gz BCNSXIAJUWGHME-KOLCDFICSA-N -1 1 315.333 1.310 20 0 DDADMM c1ccc2c(c1)CCN2CCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826523198 608396059 /nfs/dbraw/zinc/39/60/59/608396059.db2.gz DSMODVFHPFFKNN-UHFFFAOYSA-N -1 1 322.376 1.521 20 0 DDADMM c1ccc2c(c1)CCN2CCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826523198 608396060 /nfs/dbraw/zinc/39/60/60/608396060.db2.gz DSMODVFHPFFKNN-UHFFFAOYSA-N -1 1 322.376 1.521 20 0 DDADMM Cc1nc([C@H]2CCCCN2c2ccc(-c3nnn[n-]3)nn2)no1 ZINC000826325376 608401978 /nfs/dbraw/zinc/40/19/78/608401978.db2.gz VPHNCYYOMBJNCC-SNVBAGLBSA-N -1 1 313.325 1.080 20 0 DDADMM Cc1nc([C@H]2CCCCN2c2ccc(-c3nn[n-]n3)nn2)no1 ZINC000826325376 608401980 /nfs/dbraw/zinc/40/19/80/608401980.db2.gz VPHNCYYOMBJNCC-SNVBAGLBSA-N -1 1 313.325 1.080 20 0 DDADMM COc1cccc(CSc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826216810 608408799 /nfs/dbraw/zinc/40/87/99/608408799.db2.gz OKTJXRVVPMEXSK-UHFFFAOYSA-N -1 1 300.347 1.958 20 0 DDADMM COc1cccc(CSc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826216810 608408801 /nfs/dbraw/zinc/40/88/01/608408801.db2.gz OKTJXRVVPMEXSK-UHFFFAOYSA-N -1 1 300.347 1.958 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)c2ccc(-c3nnn[n-]3)nn2)C1(C)C ZINC000825581904 608422850 /nfs/dbraw/zinc/42/28/50/608422850.db2.gz FXBTZUNCSLUCFD-WDEREUQCSA-N -1 1 303.370 1.297 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)c2ccc(-c3nn[n-]n3)nn2)C1(C)C ZINC000825581904 608422852 /nfs/dbraw/zinc/42/28/52/608422852.db2.gz FXBTZUNCSLUCFD-WDEREUQCSA-N -1 1 303.370 1.297 20 0 DDADMM c1oc(-c2ccccc2)nc1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826519137 608424361 /nfs/dbraw/zinc/42/43/61/608424361.db2.gz LSGKDKRMBWTHME-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM c1oc(-c2ccccc2)nc1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826519137 608424363 /nfs/dbraw/zinc/42/43/63/608424363.db2.gz LSGKDKRMBWTHME-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM Cc1nn(C)c(C)c1C[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826333769 608425442 /nfs/dbraw/zinc/42/54/42/608425442.db2.gz URQFCAMLPDHHRD-QMMMGPOBSA-N -1 1 313.369 1.050 20 0 DDADMM Cc1nn(C)c(C)c1C[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826333769 608425444 /nfs/dbraw/zinc/42/54/44/608425444.db2.gz URQFCAMLPDHHRD-QMMMGPOBSA-N -1 1 313.369 1.050 20 0 DDADMM Cc1cccc([C@@H]2CCCN2c2ccc(-c3nnn[n-]3)nn2)n1 ZINC000826297485 608433140 /nfs/dbraw/zinc/43/31/40/608433140.db2.gz JUESRKZLXDMTFY-ZDUSSCGKSA-N -1 1 308.349 1.702 20 0 DDADMM Cc1cccc([C@@H]2CCCN2c2ccc(-c3nn[n-]n3)nn2)n1 ZINC000826297485 608433141 /nfs/dbraw/zinc/43/31/41/608433141.db2.gz JUESRKZLXDMTFY-ZDUSSCGKSA-N -1 1 308.349 1.702 20 0 DDADMM CCOC(=O)CN(Cc1ccc(-c2nn[n-]n2)s1)C(C)C ZINC000825518414 608611734 /nfs/dbraw/zinc/61/17/34/608611734.db2.gz ZRSXRZUSOFNMBL-UHFFFAOYSA-N -1 1 309.395 1.702 20 0 DDADMM CN(Cc1ccc(-c2nnn[n-]2)o1)Cc1nnc2ccccn21 ZINC000825961455 608657212 /nfs/dbraw/zinc/65/72/12/608657212.db2.gz FRSOOWLEMAIOAY-UHFFFAOYSA-N -1 1 310.321 1.134 20 0 DDADMM CN(Cc1ccc(-c2nn[n-]n2)o1)Cc1nnc2ccccn21 ZINC000825961455 608657214 /nfs/dbraw/zinc/65/72/14/608657214.db2.gz FRSOOWLEMAIOAY-UHFFFAOYSA-N -1 1 310.321 1.134 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)n2ncc(-c3nn[n-]n3)c2N)CC(C)(C)C1 ZINC000824876455 609150187 /nfs/dbraw/zinc/15/01/87/609150187.db2.gz SLLUUBPJAXNFEC-RKDXNWHRSA-N -1 1 303.370 1.748 20 0 DDADMM C[C@@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)C[C@H](C)O1 ZINC000736289093 609167198 /nfs/dbraw/zinc/16/71/98/609167198.db2.gz JNTJEDMAHXZOHA-AOOOYVTPSA-N -1 1 311.349 1.424 20 0 DDADMM C[C@@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)C[C@H](C)O1 ZINC000736289093 609167199 /nfs/dbraw/zinc/16/71/99/609167199.db2.gz JNTJEDMAHXZOHA-AOOOYVTPSA-N -1 1 311.349 1.424 20 0 DDADMM COc1cc(O)cc(NC(=O)c2ccc(-c3nnn[n-]3)s2)c1 ZINC000826181172 609262738 /nfs/dbraw/zinc/26/27/38/609262738.db2.gz NUWMBKRCAQMLRV-UHFFFAOYSA-N -1 1 317.330 1.895 20 0 DDADMM COc1cc(O)cc(NC(=O)c2ccc(-c3nn[n-]n3)s2)c1 ZINC000826181172 609262739 /nfs/dbraw/zinc/26/27/39/609262739.db2.gz NUWMBKRCAQMLRV-UHFFFAOYSA-N -1 1 317.330 1.895 20 0 DDADMM CN(C[C@@H]1CCCC[C@H]1O)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825909226 609403100 /nfs/dbraw/zinc/40/31/00/609403100.db2.gz UMZXHZAOWFMKRP-VHSXEESVSA-N -1 1 321.406 1.551 20 0 DDADMM CN(C[C@@H]1CCCC[C@H]1O)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825909226 609403102 /nfs/dbraw/zinc/40/31/02/609403102.db2.gz UMZXHZAOWFMKRP-VHSXEESVSA-N -1 1 321.406 1.551 20 0 DDADMM CC(C)N(CCCn1cnc(-c2nn[n-]n2)n1)CC(F)(F)F ZINC000824289213 609434290 /nfs/dbraw/zinc/43/42/90/609434290.db2.gz XPULHOKYUSGYJQ-UHFFFAOYSA-N -1 1 318.307 1.121 20 0 DDADMM C[C@@H](O)[C@H]1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000824686970 609566686 /nfs/dbraw/zinc/56/66/86/609566686.db2.gz DZRCTZBDSJICDJ-ZJUUUORDSA-N -1 1 311.349 1.017 20 0 DDADMM C[C@@H](O)[C@H]1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000824686970 609566689 /nfs/dbraw/zinc/56/66/89/609566689.db2.gz DZRCTZBDSJICDJ-ZJUUUORDSA-N -1 1 311.349 1.017 20 0 DDADMM CO[C@@H]1Cc2ccc(Nc3ccc(-c4nnn[n-]4)nn3)cc2C1 ZINC000826146808 609593139 /nfs/dbraw/zinc/59/31/39/609593139.db2.gz DPCJALCXVMOHLV-GFCCVEGCSA-N -1 1 309.333 1.514 20 0 DDADMM CO[C@@H]1Cc2ccc(Nc3ccc(-c4nn[n-]n4)nn3)cc2C1 ZINC000826146808 609593141 /nfs/dbraw/zinc/59/31/41/609593141.db2.gz DPCJALCXVMOHLV-GFCCVEGCSA-N -1 1 309.333 1.514 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@H]1CCC[C@H]1O ZINC000745333779 699979075 /nfs/dbraw/zinc/97/90/75/699979075.db2.gz ZMORZMNWGNSSNO-QWHCGFSZSA-N -1 1 304.298 1.703 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2CCC[C@H](C(=O)OC)C2)[n-]1 ZINC000797141972 699995970 /nfs/dbraw/zinc/99/59/70/699995970.db2.gz LRAHWHOKRKTRHM-UWVGGRQHSA-N -1 1 309.318 1.690 20 0 DDADMM C[C@H](C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)C1CC1 ZINC000976319305 695956012 /nfs/dbraw/zinc/95/60/12/695956012.db2.gz FJCIOPRXQVFPEY-PQFRYHKHSA-N -1 1 315.373 1.020 20 0 DDADMM Cc1cncc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000798402892 700062433 /nfs/dbraw/zinc/06/24/33/700062433.db2.gz BEEJLFRDYZLNLF-UHFFFAOYSA-N -1 1 302.300 1.680 20 0 DDADMM O=C([N-]NC(=O)[C@H]1CCCO1)c1cc2c(cccc2F)s1 ZINC000032259528 696123383 /nfs/dbraw/zinc/12/33/83/696123383.db2.gz ZHGDAPGXEBQPFY-SNVBAGLBSA-N -1 1 308.334 1.980 20 0 DDADMM COc1cc[n-]c(=NNC(=O)c2cc(Br)c[nH]2)n1 ZINC000048443470 696212248 /nfs/dbraw/zinc/21/22/48/696212248.db2.gz PJPMOZDXMIIYDB-UHFFFAOYSA-N -1 1 312.127 1.333 20 0 DDADMM CC(NC1CCCC1)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000054503282 696276668 /nfs/dbraw/zinc/27/66/68/696276668.db2.gz WNEVESPKIWCASK-BENRWUELSA-N -1 1 309.435 1.692 20 0 DDADMM Cc1cc2c(c(F)c1)NC(=O)C2=N[N-]c1ncnc2[nH]cnc21 ZINC000054890120 696279288 /nfs/dbraw/zinc/27/92/88/696279288.db2.gz KOOUPFJIBZTBBK-UHFFFAOYSA-N -1 1 311.280 1.569 20 0 DDADMM O=C(C[C@H]1Oc2ccccc2NC1=O)[N-]OCc1cccnc1 ZINC000747582100 700076874 /nfs/dbraw/zinc/07/68/74/700076874.db2.gz WCQQJBMTTIOFKO-CQSZACIVSA-N -1 1 313.313 1.419 20 0 DDADMM COc1cccc(COCC(=O)NCc2n[n-]c(=S)n2C)c1 ZINC000066625389 696354143 /nfs/dbraw/zinc/35/41/43/696354143.db2.gz LKHDSWZPJLSDMD-UHFFFAOYSA-N -1 1 322.390 1.319 20 0 DDADMM CCn1c(CNC(=O)c2c[nH]c3ncccc23)n[n-]c1=S ZINC000067050533 696358576 /nfs/dbraw/zinc/35/85/76/696358576.db2.gz OEWRJTFUTSIHAM-UHFFFAOYSA-N -1 1 302.363 1.767 20 0 DDADMM CC(=O)N[C@H]1C[C@@H](CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000979103561 696495099 /nfs/dbraw/zinc/49/50/99/696495099.db2.gz RIZBNEUOCGQCSZ-TXEJJXNPSA-N -1 1 320.393 1.259 20 0 DDADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000979402267 696548366 /nfs/dbraw/zinc/54/83/66/696548366.db2.gz OXTNPIOWFKPSIX-XYPYZODXSA-N -1 1 315.329 1.319 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=O)[C@@H]2C[C@H]2c2cccc(C#N)c2)n1 ZINC000081964448 696548724 /nfs/dbraw/zinc/54/87/24/696548724.db2.gz BXDYLQQKMMFJIE-UONOGXRCSA-N -1 1 323.356 1.912 20 0 DDADMM CC(C)OC(=O)CNC(=O)c1ccc(Br)cc1[O-] ZINC000104128063 696618770 /nfs/dbraw/zinc/61/87/70/696618770.db2.gz HXKBRZVBEVTWJY-UHFFFAOYSA-N -1 1 316.151 1.836 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)c1ccccc1F)N(C)C ZINC000798814367 700098751 /nfs/dbraw/zinc/09/87/51/700098751.db2.gz UWIWFCCNEIXTGW-GFCCVEGCSA-N -1 1 302.371 1.217 20 0 DDADMM CC(C)C(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]12 ZINC000979817961 696648746 /nfs/dbraw/zinc/64/87/46/696648746.db2.gz IUSQQPBUXFIAIC-FRRDWIJNSA-N -1 1 317.389 1.410 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccc(F)cc2NC2CC2)s1 ZINC000119488656 696681451 /nfs/dbraw/zinc/68/14/51/696681451.db2.gz FBJYYGBOVXOHDH-LLVKDONJSA-N -1 1 322.365 1.685 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)CC1=CCCCC1 ZINC000126225215 696760126 /nfs/dbraw/zinc/76/01/26/696760126.db2.gz MTFLZLHOXCTVDZ-UHFFFAOYSA-N -1 1 316.361 1.702 20 0 DDADMM Cc1noc([N-]C(=O)c2cc([N+](=O)[O-])c(C)c([N+](=O)[O-])c2)n1 ZINC000126975796 696767134 /nfs/dbraw/zinc/76/71/34/696767134.db2.gz NBCDVZMFIBJAIB-UHFFFAOYSA-N -1 1 307.222 1.755 20 0 DDADMM O=C(Nc1nn[n-]n1)C(=Cc1ccco1)NC(=O)c1ccccc1 ZINC000135470150 696849641 /nfs/dbraw/zinc/84/96/41/696849641.db2.gz YLFVQAVLOPISOA-XFXZXTDPSA-N -1 1 324.300 1.202 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cccc3c2OCCO3)n[n-]1 ZINC000153154554 696910409 /nfs/dbraw/zinc/91/04/09/696910409.db2.gz FKMJZIVGMJIJDQ-UHFFFAOYSA-N -1 1 309.347 1.112 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)[C@@H](C)COc2ccccc2)n[nH]1 ZINC000153205676 696911780 /nfs/dbraw/zinc/91/17/80/696911780.db2.gz VQNXEVXNRFPFBV-JTQLQIEISA-N -1 1 302.334 1.194 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cnns1 ZINC000156402512 696962442 /nfs/dbraw/zinc/96/24/42/696962442.db2.gz ZPQBZZQIOHQULP-UHFFFAOYSA-N -1 1 301.125 1.654 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(Cc2ccon2)CC1 ZINC000981027533 696983403 /nfs/dbraw/zinc/98/34/03/696983403.db2.gz ZZJNSGJUKPIKTJ-UHFFFAOYSA-N -1 1 302.334 1.123 20 0 DDADMM Cc1nc(CNC[C@H]2C[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)co1 ZINC000983476804 697268962 /nfs/dbraw/zinc/26/89/62/697268962.db2.gz WBUBEIZZLMVSMJ-XYPYZODXSA-N -1 1 319.365 1.018 20 0 DDADMM CC(C)C[C@H](O)C[N-]S(=O)(=O)c1ccc([N+](=O)[O-])s1 ZINC000160413841 697317140 /nfs/dbraw/zinc/31/71/40/697317140.db2.gz LPCMEOUAMIHKEC-QMMMGPOBSA-N -1 1 308.381 1.342 20 0 DDADMM CN(CC(C)(C)S(C)(=O)=O)C(=O)c1ccc([O-])cc1F ZINC000165424300 697339480 /nfs/dbraw/zinc/33/94/80/697339480.db2.gz ASASSRIZCLRZBU-UHFFFAOYSA-N -1 1 303.355 1.427 20 0 DDADMM O=C(CNC(=O)c1ccc(-c2ccccc2)cc1)Nc1nnn[n-]1 ZINC000170852247 697351137 /nfs/dbraw/zinc/35/11/37/697351137.db2.gz HBECVIFFHSGBBX-UHFFFAOYSA-N -1 1 322.328 1.235 20 0 DDADMM O=C(CNC(=O)c1ccc(-c2ccccc2)cc1)Nc1nn[n-]n1 ZINC000170852247 697351138 /nfs/dbraw/zinc/35/11/38/697351138.db2.gz HBECVIFFHSGBBX-UHFFFAOYSA-N -1 1 322.328 1.235 20 0 DDADMM Cn1nccc1CCNC(=O)c1ccc(Br)c([O-])c1 ZINC000183772518 697493716 /nfs/dbraw/zinc/49/37/16/697493716.db2.gz MZLLWJGOQSPHLV-UHFFFAOYSA-N -1 1 324.178 1.861 20 0 DDADMM C[C@@H](C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1)C1CCC1 ZINC000985494722 697519156 /nfs/dbraw/zinc/51/91/56/697519156.db2.gz WKPHSNIUGCWHDZ-LLVKDONJSA-N -1 1 321.425 1.369 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CCCCC1 ZINC000985935613 697606462 /nfs/dbraw/zinc/60/64/62/697606462.db2.gz PXZPBTRCIKDNJL-YPMHNXCESA-N -1 1 321.425 1.560 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CCCCCC1 ZINC000986042540 697653127 /nfs/dbraw/zinc/65/31/27/697653127.db2.gz YDQOBBPKWSQGEM-DGCLKSJQSA-N -1 1 321.425 1.560 20 0 DDADMM O=C(C[C@H](O)c1cccc(F)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000772690902 697661775 /nfs/dbraw/zinc/66/17/75/697661775.db2.gz QQINMHHEWLGMRM-LBPRGKRZSA-N -1 1 319.340 1.348 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)oc1C ZINC000986160113 697711640 /nfs/dbraw/zinc/71/16/40/697711640.db2.gz NEXPRZUPWXOXDH-MWLCHTKSSA-N -1 1 319.365 1.113 20 0 DDADMM O=C(COC1CCCCC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773157257 697720699 /nfs/dbraw/zinc/72/06/99/697720699.db2.gz BERDLKGMRYSORA-LLVKDONJSA-N -1 1 311.411 1.166 20 0 DDADMM COCc1cccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000773165600 697722720 /nfs/dbraw/zinc/72/27/20/697722720.db2.gz ZIQXNYALMXEDHH-GFCCVEGCSA-N -1 1 319.390 1.276 20 0 DDADMM CCCCOCCNC(=O)COC(=O)c1ccc([O-])cc1F ZINC000773564316 697781779 /nfs/dbraw/zinc/78/17/79/697781779.db2.gz DQFXZWCNRFHIAM-UHFFFAOYSA-N -1 1 313.325 1.621 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C2(F)CCCC2)c1 ZINC000773588823 697784114 /nfs/dbraw/zinc/78/41/14/697784114.db2.gz JNSIIFNBEMESEE-UHFFFAOYSA-N -1 1 302.327 1.260 20 0 DDADMM COC(=O)C1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000773712229 697796713 /nfs/dbraw/zinc/79/67/13/697796713.db2.gz JHENPJSVZGOFLA-UHFFFAOYSA-N -1 1 320.374 1.043 20 0 DDADMM CCc1ncncc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774931549 697943442 /nfs/dbraw/zinc/94/34/42/697943442.db2.gz JPPFVJZNGBBACN-UHFFFAOYSA-N -1 1 305.256 1.264 20 0 DDADMM CC(C)c1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)nn1C ZINC000774964876 697948098 /nfs/dbraw/zinc/94/80/98/697948098.db2.gz YJEVXHVFFFDWEA-UHFFFAOYSA-N -1 1 321.299 1.769 20 0 DDADMM O=C(C[C@H]1Oc2ccccc2NC1=O)[N-]OC1CCOCC1 ZINC000775240859 697974582 /nfs/dbraw/zinc/97/45/82/697974582.db2.gz LDXUERYBHTZBCM-CYBMUJFWSA-N -1 1 306.318 1.003 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)CCC(F)(F)F ZINC000777360232 698182386 /nfs/dbraw/zinc/18/23/86/698182386.db2.gz YYWUAWYZODJFOC-UHFFFAOYSA-N -1 1 318.255 1.154 20 0 DDADMM C[S@@](=O)CC[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000778001367 698236443 /nfs/dbraw/zinc/23/64/43/698236443.db2.gz SSXHZZFJPMHGKF-MRXNPFEDSA-N -1 1 317.219 1.045 20 0 DDADMM CCCCNC(CC)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000255388143 698417170 /nfs/dbraw/zinc/41/71/70/698417170.db2.gz XLCFPKVADFCCEJ-VAWYXSNFSA-N -1 1 311.451 1.940 20 0 DDADMM Cc1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)oc1C ZINC000988630835 698423418 /nfs/dbraw/zinc/42/34/18/698423418.db2.gz GKJMGEMIIRTLKO-ONGXEEELSA-N -1 1 319.365 1.113 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](C(=O)NCCc2nc3ccc(F)cc3[nH]2)C1 ZINC000263346988 698500847 /nfs/dbraw/zinc/50/08/47/698500847.db2.gz UJWMWIBEMLYWEL-VHSXEESVSA-N -1 1 319.336 1.862 20 0 DDADMM CC(C)(C)n1cc(C(=O)Nc2nnn[n-]2)c(Br)n1 ZINC000780376772 698512620 /nfs/dbraw/zinc/51/26/20/698512620.db2.gz CTBLRPFHKMEMOT-UHFFFAOYSA-N -1 1 314.147 1.166 20 0 DDADMM CC(C)(C)n1cc(C(=O)Nc2nn[n-]n2)c(Br)n1 ZINC000780376772 698512621 /nfs/dbraw/zinc/51/26/21/698512621.db2.gz CTBLRPFHKMEMOT-UHFFFAOYSA-N -1 1 314.147 1.166 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2CC[C@@H]1O2)c1c(Cl)ccnc1Cl ZINC000272274274 698528390 /nfs/dbraw/zinc/52/83/90/698528390.db2.gz XDRPCMWBGABASG-VDAHYXPESA-N -1 1 323.201 1.987 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N=c3sccn3C)cnc2n1 ZINC000299737945 698599276 /nfs/dbraw/zinc/59/92/76/698599276.db2.gz WXTQSMRYZCKZKR-UHFFFAOYSA-N -1 1 300.343 1.785 20 0 DDADMM CCc1ccoc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000989418615 698610326 /nfs/dbraw/zinc/61/03/26/698610326.db2.gz QAMIZTBFRVMVGU-KOLCDFICSA-N -1 1 319.365 1.059 20 0 DDADMM COc1cc(C(=O)NN2CC(=O)[N-]C2=O)cc(OC)c1C(C)C ZINC000781417831 698617760 /nfs/dbraw/zinc/61/77/60/698617760.db2.gz PGEQUKOILBVSBE-UHFFFAOYSA-N -1 1 321.333 1.024 20 0 DDADMM COc1cccc([C@H](CNC(=O)C[C@@H](C)CC(=O)[O-])N(C)C)c1 ZINC000321077329 698725194 /nfs/dbraw/zinc/72/51/94/698725194.db2.gz HFEVGGCQLQYMQS-DOMZBBRYSA-N -1 1 322.405 1.915 20 0 DDADMM S=c1nc(-c2ccccn2)[n-]n1CN1C[C@@H]2COC[C@H](C1)O2 ZINC000800863032 700250752 /nfs/dbraw/zinc/25/07/52/700250752.db2.gz DYKVEVPYVMDXRA-PHIMTYICSA-N -1 1 319.390 1.060 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCC2(CCCC2)C1 ZINC000783853113 698887017 /nfs/dbraw/zinc/88/70/17/698887017.db2.gz OILYSJGWXAFZHZ-UHFFFAOYSA-N -1 1 305.378 1.110 20 0 DDADMM C[C@H](C(=O)Nc1nc(Br)ccc1[O-])n1cccn1 ZINC000783905833 698890540 /nfs/dbraw/zinc/89/05/40/698890540.db2.gz ZXJWQAILQPMGPZ-SSDOTTSWSA-N -1 1 311.139 1.946 20 0 DDADMM O=C(c1csc2cncn21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351857363 699026693 /nfs/dbraw/zinc/02/66/93/699026693.db2.gz UBZWMNWTJCZDDK-UHFFFAOYSA-N -1 1 319.346 1.504 20 0 DDADMM CC[N@@H+]1CCC[C@H](NS(=O)(=O)c2cnc(Cl)c(F)c2)C1 ZINC000380796175 699063008 /nfs/dbraw/zinc/06/30/08/699063008.db2.gz JQUICDNIQDZTKF-VIFPVBQESA-N -1 1 321.805 1.637 20 0 DDADMM COc1cc(C(=O)NC[C@@H](O)c2cccnc2)cc(Cl)c1[O-] ZINC000785416401 699075401 /nfs/dbraw/zinc/07/54/01/699075401.db2.gz RHFNSAZTCLPILU-GFCCVEGCSA-N -1 1 322.748 1.913 20 0 DDADMM C[C@H]1CC[C@H](C[N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])O1 ZINC000397966289 699118561 /nfs/dbraw/zinc/11/85/61/699118561.db2.gz XUGMLYPPEYRQIS-DTWKUNHWSA-N -1 1 318.326 1.580 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990972950 699196223 /nfs/dbraw/zinc/19/62/23/699196223.db2.gz WBIHGGCCCHHPHJ-VXGBXAGGSA-N -1 1 317.389 1.410 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990973273 699196691 /nfs/dbraw/zinc/19/66/91/699196691.db2.gz ZMRCGHYEYKHBDI-UHFFFAOYSA-N -1 1 305.378 1.410 20 0 DDADMM CC1(C)CCC[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990975035 699197167 /nfs/dbraw/zinc/19/71/67/699197167.db2.gz LTOCMINEPJPKLJ-LBPRGKRZSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC1CN(C(=O)C2CCC=CCC2)C1)c1ncccc1[O-] ZINC000990976767 699197380 /nfs/dbraw/zinc/19/73/80/699197380.db2.gz IIJXQAZAWCPNPE-UHFFFAOYSA-N -1 1 315.373 1.474 20 0 DDADMM CC1CCN(CCNC(=O)C(=O)[N-]OC2CCCCC2)CC1 ZINC000787653173 699228043 /nfs/dbraw/zinc/22/80/43/699228043.db2.gz NTOYYJIZLGGDTF-UHFFFAOYSA-N -1 1 311.426 1.215 20 0 DDADMM O=C(CNC(=O)c1cccc(O)c1)Nc1nc(Cl)ccc1[O-] ZINC000788159117 699286083 /nfs/dbraw/zinc/28/60/83/699286083.db2.gz VUVPJVMLBJXETI-UHFFFAOYSA-N -1 1 321.720 1.515 20 0 DDADMM COCOCCC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000717637036 699289852 /nfs/dbraw/zinc/28/98/52/699289852.db2.gz FMHMJNLEUMMNMZ-UHFFFAOYSA-N -1 1 312.750 1.163 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CC(O)C2)c1ccc(F)c(F)c1F ZINC000724989860 699328729 /nfs/dbraw/zinc/32/87/29/699328729.db2.gz HJUZXGJURNUSKN-HTARLIKDSA-N -1 1 307.293 1.296 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CC(O)C2)c1ccc(F)c(F)c1F ZINC000724989859 699328819 /nfs/dbraw/zinc/32/88/19/699328819.db2.gz HJUZXGJURNUSKN-ATZMEGNHSA-N -1 1 307.293 1.296 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC(=O)OCC3CC3)cnc2n1 ZINC000726195792 699353393 /nfs/dbraw/zinc/35/33/93/699353393.db2.gz WAYIZHMJIAMGOC-UHFFFAOYSA-N -1 1 315.329 1.327 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC(=O)OCC1CC1)c2=O ZINC000726195792 699353396 /nfs/dbraw/zinc/35/33/96/699353396.db2.gz WAYIZHMJIAMGOC-UHFFFAOYSA-N -1 1 315.329 1.327 20 0 DDADMM CSCc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000732089251 699546111 /nfs/dbraw/zinc/54/61/11/699546111.db2.gz MHKDWLCENXHMBQ-GFCCVEGCSA-N -1 1 319.390 1.276 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1c(C)noc1C)C(F)(F)F ZINC000733319938 699588598 /nfs/dbraw/zinc/58/85/98/699588598.db2.gz BRHCTFGCGBSDTD-SSDOTTSWSA-N -1 1 302.274 1.147 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3cccc(CO)c3)ccnc1-2 ZINC000791088925 699611072 /nfs/dbraw/zinc/61/10/72/699611072.db2.gz KHXMNNARIOSVCU-UHFFFAOYSA-N -1 1 311.345 1.156 20 0 DDADMM Cc1cc(CN(C)C(=O)N=c2ccnc3n(C)[n-]cc2-3)no1 ZINC000791088375 699611111 /nfs/dbraw/zinc/61/11/11/699611111.db2.gz INODTKMNJUKAEL-UHFFFAOYSA-N -1 1 300.322 1.302 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H](CO)c3ccccc3)ccnc1-2 ZINC000791092037 699611232 /nfs/dbraw/zinc/61/12/32/699611232.db2.gz ZSFXDCQLTDGDAU-CQSZACIVSA-N -1 1 311.345 1.197 20 0 DDADMM CCOc1cc(C(=O)NOCC(C)(C)O)cc(Cl)c1[O-] ZINC000791263871 699618342 /nfs/dbraw/zinc/61/83/42/699618342.db2.gz NTWQAFRGBQFCLG-UHFFFAOYSA-N -1 1 303.742 1.877 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H](C)C(C)(C)C)o1 ZINC000734440150 699651054 /nfs/dbraw/zinc/65/10/54/699651054.db2.gz PYBKFDAWINWXLU-SECBINFHSA-N -1 1 302.396 1.600 20 0 DDADMM CCC[C@H](NC(=O)COc1ccccc1C=O)c1nn[n-]n1 ZINC000736430536 699725323 /nfs/dbraw/zinc/72/53/23/699725323.db2.gz UQEUNDWAHBKUHF-NSHDSACASA-N -1 1 303.322 1.049 20 0 DDADMM CCC[C@@H](NC(=O)c1cc2c([nH]c1=O)CCC2)c1nn[n-]n1 ZINC000736431257 699725666 /nfs/dbraw/zinc/72/56/66/699725666.db2.gz AOSQAPBMSGPIBN-LLVKDONJSA-N -1 1 302.338 1.060 20 0 DDADMM Clc1ccc(N2CCc3cncnc3C2)nc1-c1nnn[n-]1 ZINC000737572898 699742288 /nfs/dbraw/zinc/74/22/88/699742288.db2.gz BCGKYSWTTAZVPA-UHFFFAOYSA-N -1 1 314.740 1.268 20 0 DDADMM Clc1ccc(N2CCc3cncnc3C2)nc1-c1nn[n-]n1 ZINC000737572898 699742290 /nfs/dbraw/zinc/74/22/90/699742290.db2.gz BCGKYSWTTAZVPA-UHFFFAOYSA-N -1 1 314.740 1.268 20 0 DDADMM O=C(CCSc1ccccc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737849224 699746366 /nfs/dbraw/zinc/74/63/66/699746366.db2.gz AHDLZLCXFBVZTA-GFCCVEGCSA-N -1 1 319.390 1.282 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](CO)CC1CCC1 ZINC000794124082 699794325 /nfs/dbraw/zinc/79/43/25/699794325.db2.gz ORHCOOWNHZTJKL-GFCCVEGCSA-N -1 1 307.394 1.895 20 0 DDADMM C[C@@H]([N-]C(=O)C(F)(F)F)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000741233852 699823522 /nfs/dbraw/zinc/82/35/22/699823522.db2.gz NQICFAHDNHDMAE-YPMHNXCESA-N -1 1 316.323 1.954 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2cccnc2F)c1Cl ZINC000795266242 699854454 /nfs/dbraw/zinc/85/44/54/699854454.db2.gz XGESUPACVMYNAX-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2cccnc2Cl)n1 ZINC000795462187 699870240 /nfs/dbraw/zinc/87/02/40/699870240.db2.gz NGDQGXJPHZBLIO-UHFFFAOYSA-N -1 1 315.738 1.219 20 0 DDADMM Cn1[n-]c(COC(=O)CCSCc2ccccc2)nc1=O ZINC000795847365 699896461 /nfs/dbraw/zinc/89/64/61/699896461.db2.gz CKOPIUOXUCMXBK-UHFFFAOYSA-N -1 1 307.375 1.475 20 0 DDADMM O=C(COC(=O)[C@H]1CCC(F)(F)C1)[N-]C(=O)c1ccccc1 ZINC000796371628 699930250 /nfs/dbraw/zinc/93/02/50/699930250.db2.gz SLAQXZDPVPWQNW-NSHDSACASA-N -1 1 311.284 1.922 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CN2CCCC2=O)c1 ZINC000744621826 699956167 /nfs/dbraw/zinc/95/61/67/699956167.db2.gz QOMUJSHDAOSZGV-UHFFFAOYSA-N -1 1 305.330 1.303 20 0 DDADMM O=C(Cc1ccc(Cl)s1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000801153688 700272316 /nfs/dbraw/zinc/27/23/16/700272316.db2.gz AGFFLVYXOZBJPN-QMMMGPOBSA-N -1 1 313.770 1.057 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCCn3cccn3)n2)cc1 ZINC000801366837 700300822 /nfs/dbraw/zinc/30/08/22/700300822.db2.gz MPUANPRSPOZWAS-UHFFFAOYSA-N -1 1 312.329 1.940 20 0 DDADMM CC[C@H](OC(=O)c1nn(-c2ccc(C)cc2)cc1[O-])C(=O)OC ZINC000801369972 700301570 /nfs/dbraw/zinc/30/15/70/700301570.db2.gz DVZQKFDTVIEVBK-ZDUSSCGKSA-N -1 1 318.329 1.995 20 0 DDADMM CC(C)c1cccc(-n2cc([O-])c(C(=O)OCC(N)=O)n2)c1 ZINC000801411231 700304956 /nfs/dbraw/zinc/30/49/56/700304956.db2.gz RNRBFCPJKDTIRO-UHFFFAOYSA-N -1 1 303.318 1.343 20 0 DDADMM Cc1noc([N-]C(=O)c2cnn(-c3ccc(Cl)cc3)n2)n1 ZINC000751663446 700305443 /nfs/dbraw/zinc/30/54/43/700305443.db2.gz WJYCZMFKTDFIOJ-UHFFFAOYSA-N -1 1 304.697 1.864 20 0 DDADMM CSc1c(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cnn1C ZINC000801703866 700333098 /nfs/dbraw/zinc/33/30/98/700333098.db2.gz TUJMDGMGWXXDBV-UHFFFAOYSA-N -1 1 302.363 1.050 20 0 DDADMM CCCCC[C@H]([N-]S(=O)(=O)Cc1ccon1)C(=O)OC ZINC000751985557 700333461 /nfs/dbraw/zinc/33/34/61/700333461.db2.gz NCAVRSIVGUJNQO-NSHDSACASA-N -1 1 304.368 1.216 20 0 DDADMM CC(=CC(=O)NCc1nn[n-]n1)c1ccccc1OC(F)F ZINC000753299607 700420628 /nfs/dbraw/zinc/42/06/28/700420628.db2.gz ONFIQFMHJVERRH-VURMDHGXSA-N -1 1 309.276 1.521 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Oc2ccccc2OC)co1 ZINC000755431341 700565478 /nfs/dbraw/zinc/56/54/78/700565478.db2.gz SWTHWDHIPOAKLK-UHFFFAOYSA-N -1 1 311.315 1.416 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C=C2CCC2)cc1 ZINC000756723782 700638180 /nfs/dbraw/zinc/63/81/80/700638180.db2.gz LVCILSWVFATRJF-UHFFFAOYSA-N -1 1 303.314 1.605 20 0 DDADMM Cc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(Cl)c1 ZINC000756834014 700643533 /nfs/dbraw/zinc/64/35/33/700643533.db2.gz YFBLPUNJOUJIBA-NSHDSACASA-N -1 1 307.741 1.375 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CC[C@H](CO)CC1 ZINC000757084129 700655965 /nfs/dbraw/zinc/65/59/65/700655965.db2.gz LBPCFKZGBFXYAP-HAQNSBGRSA-N -1 1 307.394 1.895 20 0 DDADMM O=C(c1c[nH]c2cccc(F)c21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000761691101 700867121 /nfs/dbraw/zinc/86/71/21/700867121.db2.gz MFRKLQLTLZOMDV-LLVKDONJSA-N -1 1 316.296 1.034 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc([C@@]3(C)CCCO3)no2)o1 ZINC000763325766 700934223 /nfs/dbraw/zinc/93/42/23/700934223.db2.gz SUHPGJKUQFHSIF-GFCCVEGCSA-N -1 1 313.335 1.263 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2ccn(C)c2)cc1 ZINC000764113565 700960610 /nfs/dbraw/zinc/96/06/10/700960610.db2.gz BBYUFDFBRHQGQB-UHFFFAOYSA-N -1 1 316.313 1.147 20 0 DDADMM CC(C)Cc1cc([N-]S(=O)(=O)c2cn(C)c(Cl)n2)n[nH]1 ZINC000764534741 700975684 /nfs/dbraw/zinc/97/56/84/700975684.db2.gz VGRYTVUYWLPAPS-UHFFFAOYSA-N -1 1 317.802 1.796 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc(Cl)c2Cl)nc1=O ZINC000765388323 701009170 /nfs/dbraw/zinc/00/91/70/701009170.db2.gz BWDQIBFKXJRQII-UHFFFAOYSA-N -1 1 302.117 1.772 20 0 DDADMM CC(=O)Nc1cc(Cl)ccc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765463638 701013831 /nfs/dbraw/zinc/01/38/31/701013831.db2.gz LGGDCEXCWFWXME-UHFFFAOYSA-N -1 1 324.724 1.077 20 0 DDADMM O=C(CCc1nc(-c2ccncc2)no1)[N-]OCC(F)(F)F ZINC000766771710 701062560 /nfs/dbraw/zinc/06/25/60/701062560.db2.gz OZAWPGGNHPBLBR-UHFFFAOYSA-N -1 1 316.239 1.674 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CCCCS2)co1 ZINC000802925582 701068088 /nfs/dbraw/zinc/06/80/88/701068088.db2.gz JEUGGMITKGULAI-SNVBAGLBSA-N -1 1 319.404 1.630 20 0 DDADMM CC(C)(C)[C@H](O)C[C@@H](CO)NC(=O)c1c([O-])cccc1Cl ZINC000803564544 701127848 /nfs/dbraw/zinc/12/78/48/701127848.db2.gz NRHZRXQQGMYKSK-JOYOIKCWSA-N -1 1 315.797 1.933 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCCCC[C@@H]1CCO ZINC000803622584 701131142 /nfs/dbraw/zinc/13/11/42/701131142.db2.gz WXDWTLNTUDQZEE-GFCCVEGCSA-N -1 1 320.418 1.785 20 0 DDADMM O=C(C[C@H]1COC(=O)C1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000767869201 701142452 /nfs/dbraw/zinc/14/24/52/701142452.db2.gz JEKOGUVDZWSADF-SECBINFHSA-N -1 1 301.302 1.674 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](CCO)c1cccs1 ZINC000809820097 701692834 /nfs/dbraw/zinc/69/28/34/701692834.db2.gz MSOPFMGMDOOZGP-QMMMGPOBSA-N -1 1 317.392 1.029 20 0 DDADMM CCC(CC)(C[N-]S(=O)(=O)c1c(C)onc1N)SC ZINC000809821168 701692988 /nfs/dbraw/zinc/69/29/88/701692988.db2.gz NOQRDCJDTPVBBC-UHFFFAOYSA-N -1 1 307.441 1.765 20 0 DDADMM CC(C)N(CCS(C)(=O)=O)C(=O)c1c([O-])cccc1Cl ZINC000770096070 701267158 /nfs/dbraw/zinc/26/71/58/701267158.db2.gz GONFZUNTEGDKGS-UHFFFAOYSA-N -1 1 319.810 1.941 20 0 DDADMM COC(=O)[C@@]1(NC(=O)c2c([O-])cccc2Cl)CCSC1 ZINC000770130520 701268370 /nfs/dbraw/zinc/26/83/70/701268370.db2.gz RVIFTSKMCLLCDA-CYBMUJFWSA-N -1 1 315.778 1.824 20 0 DDADMM CC(C)OCc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000770266102 701273167 /nfs/dbraw/zinc/27/31/67/701273167.db2.gz RJVIRHBREDDGAI-UHFFFAOYSA-N -1 1 306.322 1.200 20 0 DDADMM Cc1cc(C(=O)OCc2nc(=O)n(C)[n-]2)c(C(F)(F)F)o1 ZINC000770476646 701279589 /nfs/dbraw/zinc/27/95/89/701279589.db2.gz HMOFPOWYGIESBS-UHFFFAOYSA-N -1 1 305.212 1.386 20 0 DDADMM O=C(Nc1ccnc(-c2ccccc2)c1)NN1CC(=O)[N-]C1=O ZINC000770621618 701286290 /nfs/dbraw/zinc/28/62/90/701286290.db2.gz GVTFHRGAWOVGMD-UHFFFAOYSA-N -1 1 311.301 1.337 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000771081126 701308819 /nfs/dbraw/zinc/30/88/19/701308819.db2.gz JYPLGFDZLHOHAC-JOYOIKCWSA-N -1 1 304.298 1.967 20 0 DDADMM COC(=O)C[C@@]1(NC(=O)c2ccc([O-])cc2F)CCCOC1 ZINC000771646029 701326673 /nfs/dbraw/zinc/32/66/73/701326673.db2.gz ANNGTYLOJXSYFA-HNNXBMFYSA-N -1 1 311.309 1.373 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CCCCC(N)=O)c1 ZINC000805563801 701394255 /nfs/dbraw/zinc/39/42/55/701394255.db2.gz UZENSDBXOTVZSV-UHFFFAOYSA-N -1 1 307.346 1.726 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)c1ccccc1Br ZINC000805609771 701399816 /nfs/dbraw/zinc/39/98/16/701399816.db2.gz ULNBTJOCLNPJAU-SSDOTTSWSA-N -1 1 324.134 1.995 20 0 DDADMM CC[C@H]1c2ccsc2CCN1C(=O)COC(=O)c1cn[n-]n1 ZINC000805608991 701400014 /nfs/dbraw/zinc/40/00/14/701400014.db2.gz KHUQUIBBQPQLLU-NSHDSACASA-N -1 1 320.374 1.559 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3CCC(C)(C)O3)ccnc1-2 ZINC000806493338 701437997 /nfs/dbraw/zinc/43/79/97/701437997.db2.gz UNIYPASTGSINIS-SNVBAGLBSA-N -1 1 303.366 1.421 20 0 DDADMM CCCc1nnc(SCCC[N-]C(=O)C(F)(F)F)n1N ZINC000808054373 701495782 /nfs/dbraw/zinc/49/57/82/701495782.db2.gz NWSOYQBYLAVKFH-UHFFFAOYSA-N -1 1 311.333 1.105 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(C[C@@H](O)c3cccc(F)c3)C2)n[nH]1 ZINC000808777908 701536236 /nfs/dbraw/zinc/53/62/36/701536236.db2.gz ZHNVVVNMUUTUHY-WCQYABFASA-N -1 1 306.341 1.562 20 0 DDADMM CCc1nnc(CN2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)o1 ZINC000810066563 701720588 /nfs/dbraw/zinc/72/05/88/701720588.db2.gz NASDJOZKQDQKDC-VIFPVBQESA-N -1 1 320.315 1.523 20 0 DDADMM CCOC(=O)[C@H](CC=C(C)C)[N-]S(=O)(=O)[C@@H]1CCO[C@@H]1C ZINC000867759296 701734895 /nfs/dbraw/zinc/73/48/95/701734895.db2.gz NUDRISKCXDBGHI-FRRDWIJNSA-N -1 1 319.423 1.371 20 0 DDADMM Cc1cc(C)nc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c1 ZINC000830952547 706609275 /nfs/dbraw/zinc/60/92/75/706609275.db2.gz KJWTYKIUGLZERI-UHFFFAOYSA-N -1 1 314.345 1.197 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C[C@@H]1C ZINC000830952164 706609562 /nfs/dbraw/zinc/60/95/62/706609562.db2.gz DFBAUTVIAICKGO-WOPDTQHZSA-N -1 1 319.405 1.943 20 0 DDADMM O=C([N-]OC1CCC1)[C@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC000839778186 701823480 /nfs/dbraw/zinc/82/34/80/701823480.db2.gz WCFVGERSDSFVTJ-ZDUSSCGKSA-N -1 1 320.364 1.817 20 0 DDADMM Cc1cc(F)ncc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000868010599 701890274 /nfs/dbraw/zinc/89/02/74/701890274.db2.gz NCFRJTXYBHDEIG-SECBINFHSA-N -1 1 306.297 1.638 20 0 DDADMM C/C=C\C[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OCC ZINC000831110903 706636895 /nfs/dbraw/zinc/63/68/95/706636895.db2.gz OQOXKMXWHKDFAN-QIUOEGRZSA-N -1 1 321.786 1.239 20 0 DDADMM Cc1nnc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)nc1C ZINC000811856276 702072637 /nfs/dbraw/zinc/07/26/37/702072637.db2.gz MYRCSONXTDFMPR-JTQLQIEISA-N -1 1 317.315 1.383 20 0 DDADMM O=C(c1csc2cncn21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000816498497 702097306 /nfs/dbraw/zinc/09/73/06/702097306.db2.gz XDIBFQRRRLZREJ-MRVPVSSYSA-N -1 1 319.346 1.504 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C)C1CN(C(=O)OC(C)(C)C)C1 ZINC000816740639 702173866 /nfs/dbraw/zinc/17/38/66/702173866.db2.gz JRUBZHGNHHJRQA-QMMMGPOBSA-N -1 1 324.381 1.865 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H](CC)OC)C(C)(C)C ZINC000817137949 702285429 /nfs/dbraw/zinc/28/54/29/702285429.db2.gz ZOWYLZRUCJSRPG-GHMZBOCLSA-N -1 1 309.428 1.309 20 0 DDADMM CC[C@@H]1C[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000817145213 702288264 /nfs/dbraw/zinc/28/82/64/702288264.db2.gz DKCBLLKDKPBHJQ-RKDXNWHRSA-N -1 1 307.803 1.309 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000868740073 702295564 /nfs/dbraw/zinc/29/55/64/702295564.db2.gz HMHJSAQOAWWEME-GARJFASQSA-N -1 1 318.377 1.243 20 0 DDADMM O=C(CN1CCCCC(=O)C1=O)Nc1ccc([O-])c(F)c1F ZINC000868787648 702320457 /nfs/dbraw/zinc/32/04/57/702320457.db2.gz GCWRWWYQDMWGIL-UHFFFAOYSA-N -1 1 312.272 1.191 20 0 DDADMM O=C([O-])C[C@H](NS(=O)(=O)C(F)F)c1ccc(F)cc1F ZINC000817419739 702377394 /nfs/dbraw/zinc/37/73/94/702377394.db2.gz GICJVDGRBXGPJJ-QMMMGPOBSA-N -1 1 315.244 1.623 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)Cc2c(F)cccc2Cl)C(=O)O1 ZINC000841520163 702476765 /nfs/dbraw/zinc/47/67/65/702476765.db2.gz FZKQKXOZNVZLTA-HQJQHLMTSA-N -1 1 321.757 1.603 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)C[C@H]1CCCC1(F)F ZINC000841533313 702483306 /nfs/dbraw/zinc/48/33/06/702483306.db2.gz FFAUKHFQPYUDME-BDAKNGLRSA-N -1 1 311.350 1.293 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@H](C)c1ccn(C)n1 ZINC000841551657 702495246 /nfs/dbraw/zinc/49/52/46/702495246.db2.gz MTLDLWCJOHDROP-SSDOTTSWSA-N -1 1 317.802 1.155 20 0 DDADMM COCC1CCC([N-]S(=O)(=O)c2c[nH]nc2Cl)CC1 ZINC000841563654 702499159 /nfs/dbraw/zinc/49/91/59/702499159.db2.gz SOABSLBUJXMWTK-UHFFFAOYSA-N -1 1 307.803 1.547 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCC2CCOCC2)o1 ZINC000814440279 702522976 /nfs/dbraw/zinc/52/29/76/702522976.db2.gz GLAGYCIHZQDXKT-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM O=C1OCc2ccc([N-]S(=O)(=O)CCOCC3CC3)cc21 ZINC000818055344 702566657 /nfs/dbraw/zinc/56/66/57/702566657.db2.gz WVTDGFDAQQVOED-UHFFFAOYSA-N -1 1 311.359 1.525 20 0 DDADMM C[C@H](CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1CC1 ZINC000869369421 702585082 /nfs/dbraw/zinc/58/50/82/702585082.db2.gz WQHVMLLOGMWWGC-MRVPVSSYSA-N -1 1 309.284 1.604 20 0 DDADMM CC[C@H](COC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)OC ZINC000842073108 702667407 /nfs/dbraw/zinc/66/74/07/702667407.db2.gz GDDIPJGAYPSHOQ-LLVKDONJSA-N -1 1 307.350 1.562 20 0 DDADMM CC(C)C[C@@H](C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014974 702803671 /nfs/dbraw/zinc/80/36/71/702803671.db2.gz JYTORQNIJULJHY-GFCCVEGCSA-N -1 1 304.456 1.599 20 0 DDADMM C[C@H](Cc1ccco1)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866477072 706708855 /nfs/dbraw/zinc/70/88/55/706708855.db2.gz CUMYMMUKZBKIGQ-MRVPVSSYSA-N -1 1 302.302 1.862 20 0 DDADMM CCC[C@H](C)[C@@H](CO)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000845967838 703221019 /nfs/dbraw/zinc/22/10/19/703221019.db2.gz DZTUEZOQIZMDAY-DTWKUNHWSA-N -1 1 309.819 1.149 20 0 DDADMM C[C@@H](COCC1CC1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000846011373 703226441 /nfs/dbraw/zinc/22/64/41/703226441.db2.gz SSEVGMQODYRGNS-QMMMGPOBSA-N -1 1 307.803 1.167 20 0 DDADMM COc1ccc(OC)c2c1CN(CC1(CC(=O)[O-])CC1)C[C@H]2O ZINC000846233589 703254652 /nfs/dbraw/zinc/25/46/52/703254652.db2.gz PVIMUFIXDSXYFN-GFCCVEGCSA-N -1 1 321.373 1.808 20 0 DDADMM CCON(C)C(=O)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000846502500 703285281 /nfs/dbraw/zinc/28/52/81/703285281.db2.gz IYPHTCAGFSKGQC-UHFFFAOYSA-N -1 1 316.741 1.194 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCCc2nc(CC)no2)[n-]1 ZINC000846651415 703308713 /nfs/dbraw/zinc/30/87/13/703308713.db2.gz RSAWFZOJEOZGOA-UHFFFAOYSA-N -1 1 321.337 1.493 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCCc2nc(CC)no2)n1 ZINC000846651415 703308715 /nfs/dbraw/zinc/30/87/15/703308715.db2.gz RSAWFZOJEOZGOA-UHFFFAOYSA-N -1 1 321.337 1.493 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C(C)(C)C(C)(F)F)n[n-]1 ZINC000879654277 706750621 /nfs/dbraw/zinc/75/06/21/706750621.db2.gz DOCNCUOICLHDNZ-UHFFFAOYSA-N -1 1 304.297 1.279 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C(C)(C)C(C)(F)F)n1 ZINC000879654277 706750625 /nfs/dbraw/zinc/75/06/25/706750625.db2.gz DOCNCUOICLHDNZ-UHFFFAOYSA-N -1 1 304.297 1.279 20 0 DDADMM CO[C@H](C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C)C1CC1 ZINC000848339889 703540712 /nfs/dbraw/zinc/54/07/12/703540712.db2.gz SDJOUIHVWACGRF-IEBDPFPHSA-N -1 1 322.327 1.469 20 0 DDADMM CCO[C@@H](C)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848342604 703541002 /nfs/dbraw/zinc/54/10/02/703541002.db2.gz UMWGGBVSZHOLBQ-KXUCPTDWSA-N -1 1 310.316 1.469 20 0 DDADMM CO[C@@H](C)CC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341746 703541123 /nfs/dbraw/zinc/54/11/23/703541123.db2.gz UIGPHHFMRZPFEP-IVZWLZJFSA-N -1 1 310.316 1.469 20 0 DDADMM COC(=O)c1c[n-]c(SC[C@]2(OC(C)C)CCOC2)n1 ZINC000849891431 703687402 /nfs/dbraw/zinc/68/74/02/703687402.db2.gz HUIIONQODLLPMQ-ZDUSSCGKSA-N -1 1 300.380 1.873 20 0 DDADMM C[C@@H](CC(=O)OCCC[N-]C(=O)C(F)(F)F)[C@@H]1CCCO1 ZINC000850083088 703702138 /nfs/dbraw/zinc/70/21/38/703702138.db2.gz FGDYWEGFGOPGBF-UWVGGRQHSA-N -1 1 311.300 1.803 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@H]1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000850105684 703704029 /nfs/dbraw/zinc/70/40/29/703704029.db2.gz CWSKKGNPOKSWPV-RKDXNWHRSA-N -1 1 311.300 1.802 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])[C@@H]1CCc2nncn2CC1 ZINC000879705541 706765327 /nfs/dbraw/zinc/76/53/27/706765327.db2.gz HDDGJEGEFJOWFK-MRVPVSSYSA-N -1 1 307.741 1.623 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCCCCCO)cc1C ZINC000851777414 703853181 /nfs/dbraw/zinc/85/31/81/703853181.db2.gz RGMALUIOGVAQOT-UHFFFAOYSA-N -1 1 319.379 1.206 20 0 DDADMM C[C@@H]1CCN(C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)C1 ZINC000851882973 703877651 /nfs/dbraw/zinc/87/76/51/703877651.db2.gz MQAKKUGDJIPCPP-MXWKQRLJSA-N -1 1 321.343 1.837 20 0 DDADMM CCn1nc(C)c(C[N-]S(=O)(=O)c2cc(F)ccc2F)n1 ZINC000819888529 704181294 /nfs/dbraw/zinc/18/12/94/704181294.db2.gz XEYILFCFWGRPOS-UHFFFAOYSA-N -1 1 316.333 1.363 20 0 DDADMM CC1=C(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C(=O)OC1(C)C ZINC000820063941 704214049 /nfs/dbraw/zinc/21/40/49/704214049.db2.gz OWFWOIIESHCNQC-UHFFFAOYSA-N -1 1 321.333 1.133 20 0 DDADMM CC1(C)COC(=O)[C@@H]1OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820606945 704309399 /nfs/dbraw/zinc/30/93/99/704309399.db2.gz GONOQADBNXAQAI-QMMMGPOBSA-N -1 1 308.319 1.037 20 0 DDADMM CC1(C)COC(=O)[C@@H]1OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820606945 704309403 /nfs/dbraw/zinc/30/94/03/704309403.db2.gz GONOQADBNXAQAI-QMMMGPOBSA-N -1 1 308.319 1.037 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1c(F)c(F)cc(F)c1F ZINC000820802184 704332903 /nfs/dbraw/zinc/33/29/03/704332903.db2.gz FZFOBZIAMWKHFJ-UHFFFAOYSA-N -1 1 320.289 1.627 20 0 DDADMM O=C(Cc1ccc2cccnc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000821195418 704380087 /nfs/dbraw/zinc/38/00/87/704380087.db2.gz RIUZMIUOUAOQTI-CQSZACIVSA-N -1 1 322.372 1.697 20 0 DDADMM O=C(N[C@@H](CCO)C(F)(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000854615040 704426565 /nfs/dbraw/zinc/42/65/65/704426565.db2.gz GIALUUKIIBFDER-ZETCQYMHSA-N -1 1 320.296 1.061 20 0 DDADMM COc1ccc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)c(F)c1 ZINC000821772827 704441003 /nfs/dbraw/zinc/44/10/03/704441003.db2.gz RKAZZIPXCXDJRV-UHFFFAOYSA-N -1 1 320.309 1.723 20 0 DDADMM COc1ccc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)c(F)c1 ZINC000821772827 704441004 /nfs/dbraw/zinc/44/10/04/704441004.db2.gz RKAZZIPXCXDJRV-UHFFFAOYSA-N -1 1 320.309 1.723 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@H](O)c1cccc(F)c1 ZINC000855133476 704469976 /nfs/dbraw/zinc/46/99/76/704469976.db2.gz HXZOWBPJKXHXCX-LBPRGKRZSA-N -1 1 313.325 1.639 20 0 DDADMM CO[C@H](CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O)[C@@H]1CCOC1 ZINC000855205264 704472895 /nfs/dbraw/zinc/47/28/95/704472895.db2.gz DVZPZXGCKDEOSO-VXGBXAGGSA-N -1 1 323.393 1.395 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@@H](N2CCOC2=O)C1 ZINC000855511264 704489600 /nfs/dbraw/zinc/48/96/00/704489600.db2.gz WIPLAHOEZXQFGV-SNVBAGLBSA-N -1 1 310.737 1.712 20 0 DDADMM O=C(c1ncccc1[O-])N1CCn2ncc(Br)c2C1 ZINC000856011323 704509761 /nfs/dbraw/zinc/50/97/61/704509761.db2.gz FQFIJAUUCIKZKD-UHFFFAOYSA-N -1 1 323.150 1.402 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@H]2COC[C@@H]2C1 ZINC000856151640 704516521 /nfs/dbraw/zinc/51/65/21/704516521.db2.gz URNKNRGXGWECCD-RYUDHWBXSA-N -1 1 305.378 1.723 20 0 DDADMM COC/C(C)=C/C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856413948 704527897 /nfs/dbraw/zinc/52/78/97/704527897.db2.gz AAOCLAXAAIBOCH-FSZCPTMNSA-N -1 1 322.327 1.495 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2CC23CC3)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856414102 704527902 /nfs/dbraw/zinc/52/79/02/704527902.db2.gz CQZMSOQJZQGKMF-BBBLOLIVSA-N -1 1 304.312 1.702 20 0 DDADMM COC[C@@H](C)CC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417936 704528080 /nfs/dbraw/zinc/52/80/80/704528080.db2.gz OMYYLRLTKMAALC-AXFHLTTASA-N -1 1 324.343 1.575 20 0 DDADMM CS/C=C/C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418075 704528188 /nfs/dbraw/zinc/52/81/88/704528188.db2.gz SSFOQHWTNHNBEU-OGSWXMBVSA-N -1 1 310.341 1.779 20 0 DDADMM CCn1cc([C@@H](C)[N-]S(=O)(=O)N=S2(=O)CCCC2)cn1 ZINC000867364157 706975562 /nfs/dbraw/zinc/97/55/62/706975562.db2.gz MBBLOKBVGKOJPL-SNVBAGLBSA-N -1 1 320.440 1.060 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]1O)c1cc(Cl)cnc1Cl ZINC000867379441 706980993 /nfs/dbraw/zinc/98/09/93/706980993.db2.gz OKSDBXWWWBHWIC-HTQZYQBOSA-N -1 1 311.190 1.580 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)[C@@H](O)C(C)C ZINC000867405045 706990233 /nfs/dbraw/zinc/99/02/33/706990233.db2.gz DLFLTVPBFFLQOC-KCJUWKMLSA-N -1 1 324.805 1.948 20 0 DDADMM CCC(C)(C)[C@@H](O)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000859762183 704998758 /nfs/dbraw/zinc/99/87/58/704998758.db2.gz YPUFNKFZFZCYPM-ZDUSSCGKSA-N -1 1 307.346 1.283 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2CCO[C@H](CCF)C2)cc1 ZINC000859904546 705035424 /nfs/dbraw/zinc/03/54/24/705035424.db2.gz HJQSUGMJVWTXEL-CQSZACIVSA-N -1 1 324.352 1.012 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC[C@H]2CCSC2)c1 ZINC000867574458 707044171 /nfs/dbraw/zinc/04/41/71/707044171.db2.gz BGQBYJSJRZNVJO-VIFPVBQESA-N -1 1 319.404 1.488 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCCCc2ccccc2)C1 ZINC000823637914 705285907 /nfs/dbraw/zinc/28/59/07/705285907.db2.gz OXYSFRBYXFVDII-INIZCTEOSA-N -1 1 318.417 1.922 20 0 DDADMM CC[C@@H](C(=O)[N-]OCCO)c1ccc(Br)cc1 ZINC000860939253 705324180 /nfs/dbraw/zinc/32/41/80/705324180.db2.gz QIZPVCNUZAOKNY-LLVKDONJSA-N -1 1 302.168 1.983 20 0 DDADMM CN(C(=O)C1(CCCOCc2ccccc2)CC1)c1nn[n-]n1 ZINC000825531929 705688944 /nfs/dbraw/zinc/68/89/44/705688944.db2.gz PSTBAWQAMXSSNQ-UHFFFAOYSA-N -1 1 315.377 1.940 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000876399455 705708570 /nfs/dbraw/zinc/70/85/70/705708570.db2.gz OUXMMZNCPFMLDE-NXEZZACHSA-N -1 1 310.737 1.360 20 0 DDADMM COc1ccccc1OC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826220203 705783012 /nfs/dbraw/zinc/78/30/12/705783012.db2.gz GAQNEEJFBBVTHX-UHFFFAOYSA-N -1 1 315.289 1.320 20 0 DDADMM COc1ccccc1OC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826220203 705783015 /nfs/dbraw/zinc/78/30/15/705783015.db2.gz GAQNEEJFBBVTHX-UHFFFAOYSA-N -1 1 315.289 1.320 20 0 DDADMM Cc1onc(CC(=O)N(C)c2cccc(C)n2)c1-c1nnn[n-]1 ZINC000826298695 705787379 /nfs/dbraw/zinc/78/73/79/705787379.db2.gz DMWHAUJRSYMCRO-UHFFFAOYSA-N -1 1 313.321 1.072 20 0 DDADMM Cc1onc(CC(=O)N(C)c2cccc(C)n2)c1-c1nn[n-]n1 ZINC000826298695 705787381 /nfs/dbraw/zinc/78/73/81/705787381.db2.gz DMWHAUJRSYMCRO-UHFFFAOYSA-N -1 1 313.321 1.072 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(C)c(O)c2)c1-c1nnn[n-]1 ZINC000826291124 705787734 /nfs/dbraw/zinc/78/77/34/705787734.db2.gz QDIHNYSECHLKEJ-UHFFFAOYSA-N -1 1 314.305 1.358 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(C)c(O)c2)c1-c1nn[n-]n1 ZINC000826291124 705787738 /nfs/dbraw/zinc/78/77/38/705787738.db2.gz QDIHNYSECHLKEJ-UHFFFAOYSA-N -1 1 314.305 1.358 20 0 DDADMM Cc1onc(CC(=O)NCCC2CCCCC2)c1-c1nnn[n-]1 ZINC000826347362 705794568 /nfs/dbraw/zinc/79/45/68/705794568.db2.gz ORCAWSWNGSATEE-UHFFFAOYSA-N -1 1 318.381 1.792 20 0 DDADMM Cc1onc(CC(=O)NCCC2CCCCC2)c1-c1nn[n-]n1 ZINC000826347362 705794571 /nfs/dbraw/zinc/79/45/71/705794571.db2.gz ORCAWSWNGSATEE-UHFFFAOYSA-N -1 1 318.381 1.792 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCO[C@@H](CCF)C1 ZINC000863072985 705859636 /nfs/dbraw/zinc/85/96/36/705859636.db2.gz DUFZGQYMLGTVHB-JTQLQIEISA-N -1 1 310.354 1.219 20 0 DDADMM CN(C[C@@H](O)C(F)(F)F)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000827803672 706069419 /nfs/dbraw/zinc/06/94/19/706069419.db2.gz QEOPMDSKCMNAQH-SSDOTTSWSA-N -1 1 320.296 1.013 20 0 DDADMM C/C(=C/c1ccccn1)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000864159965 706084647 /nfs/dbraw/zinc/08/46/47/706084647.db2.gz PKOLDRCURUZSOS-YBEMTRGBSA-N -1 1 317.389 1.492 20 0 DDADMM CCC[C@@H](C)CCNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000881528908 707286053 /nfs/dbraw/zinc/28/60/53/707286053.db2.gz IBQMBUIDZKVJDW-SNVBAGLBSA-N -1 1 302.396 1.744 20 0 DDADMM C[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H]1CCCOC1 ZINC000828080624 706115158 /nfs/dbraw/zinc/11/51/58/706115158.db2.gz GCOIUPCLCLCPKA-GXSJLCMTSA-N -1 1 306.391 1.563 20 0 DDADMM O=S(=O)(CCOCC1CCC1)[N-]N=c1ncc(Cl)c[nH]1 ZINC000872378476 707394446 /nfs/dbraw/zinc/39/44/46/707394446.db2.gz KLCYMKZSZXSICF-UHFFFAOYSA-N -1 1 320.802 1.193 20 0 DDADMM O=C(NCC1(CO)CCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000828752372 706225878 /nfs/dbraw/zinc/22/58/78/706225878.db2.gz WIUVHQJYTFLLKN-UHFFFAOYSA-N -1 1 306.391 1.301 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1ccccn1 ZINC000872430894 707406313 /nfs/dbraw/zinc/40/63/13/707406313.db2.gz NBGBITMKYWYHCT-OVWNDWIMSA-N -1 1 319.452 1.873 20 0 DDADMM Cc1cc2scc(CC(=O)NN3CC(=O)[N-]C3=O)c2s1 ZINC000877981593 706257286 /nfs/dbraw/zinc/25/72/86/706257286.db2.gz ZOJGYKXWXRFWBN-UHFFFAOYSA-N -1 1 309.372 1.397 20 0 DDADMM CN(C)c1nc(C[N-]S(=O)(=O)c2cccnc2F)cs1 ZINC000881960944 707444968 /nfs/dbraw/zinc/44/49/68/707444968.db2.gz SMMCLGXBVJZRGS-UHFFFAOYSA-N -1 1 316.383 1.222 20 0 DDADMM CO[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC[C@@H]1C ZINC000829864666 706409952 /nfs/dbraw/zinc/40/99/52/706409952.db2.gz UVNKBSNOXOXEBY-ONGXEEELSA-N -1 1 306.391 1.515 20 0 DDADMM COc1cc(C)cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1C ZINC000865595347 706472748 /nfs/dbraw/zinc/47/27/48/706472748.db2.gz IUFSWTNRFTZTAH-ZDUSSCGKSA-N -1 1 317.349 1.039 20 0 DDADMM CCO[N-]C(=O)CNCc1cc(Br)cnc1OC ZINC000865616681 706478370 /nfs/dbraw/zinc/47/83/70/706478370.db2.gz RNXITFVYFHNUHH-UHFFFAOYSA-N -1 1 318.171 1.010 20 0 DDADMM CC(C)(C)OC1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000830524187 706530584 /nfs/dbraw/zinc/53/05/84/706530584.db2.gz WMJKCPZUKOVDQC-UHFFFAOYSA-N -1 1 306.391 1.658 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1c[nH]nc1Cl)c1ccccc1 ZINC000830745366 706573206 /nfs/dbraw/zinc/57/32/06/706573206.db2.gz OTSTVYQPKXGPCF-JTQLQIEISA-N -1 1 315.782 1.729 20 0 DDADMM COCC1(CC(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000830795902 706581539 /nfs/dbraw/zinc/58/15/39/706581539.db2.gz RULHGXPVWAKUHY-GFCCVEGCSA-N -1 1 322.327 1.473 20 0 DDADMM CCOCC1(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000830800827 706582254 /nfs/dbraw/zinc/58/22/54/706582254.db2.gz AQKDOOLMTNUABP-GFCCVEGCSA-N -1 1 322.327 1.473 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCCCS2)C1 ZINC000830807618 706583189 /nfs/dbraw/zinc/58/31/89/706583189.db2.gz NLZRGYZQRFCFGL-BXKDBHETSA-N -1 1 324.368 1.942 20 0 DDADMM COCCC[C@H](C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807513 706583374 /nfs/dbraw/zinc/58/33/74/706583374.db2.gz LDQZOHLCGVQWLR-GXFFZTMASA-N -1 1 324.343 1.719 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CO[C@H](CCC(=O)OC(C)(C)C)C1 ZINC000879087965 706584830 /nfs/dbraw/zinc/58/48/30/706584830.db2.gz QIGZZRXVGRRNJA-VXGBXAGGSA-N -1 1 317.382 1.208 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CC1(CCOC)CCC1 ZINC000866960226 706856658 /nfs/dbraw/zinc/85/66/58/706856658.db2.gz KSWAMGPTNXLKAN-SFHVURJKSA-N -1 1 312.457 1.145 20 0 DDADMM COC1(CS(=O)(=O)[N-][C@H]2CCCCC23OCCO3)CCC1 ZINC000866991919 706866845 /nfs/dbraw/zinc/86/68/45/706866845.db2.gz KZVSYYSMFOUTOO-LBPRGKRZSA-N -1 1 319.423 1.161 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cc(F)cc(OC)c1 ZINC000867045030 706880976 /nfs/dbraw/zinc/88/09/76/706880976.db2.gz OLYNXKSYYBORCU-LJQANCHMSA-N -1 1 324.399 1.286 20 0 DDADMM CC(C)(C)OC(=O)CC[C@@H]1C[C@H](C(=O)[N-]OCC2CC2)CO1 ZINC000880111385 706881035 /nfs/dbraw/zinc/88/10/35/706881035.db2.gz SSUAKAKZLGGHHL-QWHCGFSZSA-N -1 1 313.394 1.971 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1Cl)[C@@H](C)O ZINC000832309647 706888845 /nfs/dbraw/zinc/88/88/45/706888845.db2.gz JFJJPXDECQTDTD-RQJHMYQMSA-N -1 1 313.206 1.826 20 0 DDADMM C[C@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867092762 706893896 /nfs/dbraw/zinc/89/38/96/706893896.db2.gz QLIOANNEKQAPGH-HZGVNTEJSA-N -1 1 324.200 1.018 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN2C(=O)OCC[C@H]2C1 ZINC000867097819 706895521 /nfs/dbraw/zinc/89/55/21/706895521.db2.gz ZCXCXSNIIZEPON-NSHDSACASA-N -1 1 324.764 1.641 20 0 DDADMM C/C=C/C[C@@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867120667 706902426 /nfs/dbraw/zinc/90/24/26/706902426.db2.gz SWVHWQIAFHCRJX-SGJFDWMWSA-N -1 1 308.762 1.480 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cccc(F)c2OC)o1 ZINC000867120157 706902542 /nfs/dbraw/zinc/90/25/42/706902542.db2.gz WNNIBNNODRYKJE-UHFFFAOYSA-N -1 1 314.338 1.863 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCC[C@@H]32)c1C(F)(F)F ZINC000867203134 706923226 /nfs/dbraw/zinc/92/32/26/706923226.db2.gz RKHOJKGCMFFZCC-SRSLHRDFSA-N -1 1 309.313 1.516 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-][C@@H]1[C@@H]2CCC[C@@H]21)c1ccccc1 ZINC000867202308 706923320 /nfs/dbraw/zinc/92/33/20/706923320.db2.gz KQEGYMBVZHQCCB-JHXTVGTDSA-N -1 1 314.432 1.776 20 0 DDADMM O=S(=O)([N-][C@H]1[C@@H]2CCC[C@@H]21)c1nc[nH]c1Br ZINC000867202810 706923323 /nfs/dbraw/zinc/92/33/23/706923323.db2.gz MTHLLBYJPMGPQB-ZMONIFLSSA-N -1 1 306.185 1.249 20 0 DDADMM CC[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867421746 706996786 /nfs/dbraw/zinc/99/67/86/706996786.db2.gz RAKOGZPOYYTTRJ-DTWKUNHWSA-N -1 1 306.334 1.596 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000867514432 707024451 /nfs/dbraw/zinc/02/44/51/707024451.db2.gz AGSQCUFINRJEIS-WOPDTQHZSA-N -1 1 306.453 1.519 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cnc2n1CCC2 ZINC000834298699 707024720 /nfs/dbraw/zinc/02/47/20/707024720.db2.gz XJZZUCUJXUEWEC-UHFFFAOYSA-N -1 1 323.150 1.945 20 0 DDADMM C[C@H]1CCC[C@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)O1 ZINC000867516472 707025384 /nfs/dbraw/zinc/02/53/84/707025384.db2.gz FSTDOOSCBOXDMZ-DTWKUNHWSA-N -1 1 306.334 1.596 20 0 DDADMM CC(C)CC[C@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867558943 707039659 /nfs/dbraw/zinc/03/96/59/707039659.db2.gz DIVUNYQSIPHDSO-SECBINFHSA-N -1 1 324.805 1.950 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CC(C)(C)CC[C@H]2O)c1Cl ZINC000867616599 707055454 /nfs/dbraw/zinc/05/54/54/707055454.db2.gz XYCBASJSUPPNHP-RKDXNWHRSA-N -1 1 321.830 1.291 20 0 DDADMM COc1ccc(CCC(=O)[N-]O[C@@H](C(=O)N(C)C)C(C)C)cc1 ZINC000871798798 707208636 /nfs/dbraw/zinc/20/86/36/707208636.db2.gz UEHVOULGKSBMMI-MRXNPFEDSA-N -1 1 322.405 1.788 20 0 DDADMM O=C([O-])c1cc(NC(=O)[C@@H]2CCc3[nH]cnc3C2)ccc1F ZINC000909106833 712937780 /nfs/dbraw/zinc/93/77/80/712937780.db2.gz XZGAUOKLGPZLLN-MRVPVSSYSA-N -1 1 303.293 1.991 20 0 DDADMM CCOC[C@H](C)[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872476074 707434881 /nfs/dbraw/zinc/43/48/81/707434881.db2.gz SQFSDLKMOHXYHK-HUTHGQBESA-N -1 1 300.446 1.142 20 0 DDADMM Cc1nn(CC(C)C)c(C)c1CC(=O)[N-]O[C@H](CO)C(C)C ZINC000836899921 707545343 /nfs/dbraw/zinc/54/53/43/707545343.db2.gz FELNJKBHPXSOQB-OAHLLOKOSA-N -1 1 311.426 1.763 20 0 DDADMM O=C(NCCC[C@@H]1CCOC1)c1ccc2n[n-]c(=S)n2c1 ZINC000837063956 707573108 /nfs/dbraw/zinc/57/31/08/707573108.db2.gz FVSVBKBQSQJGHK-SNVBAGLBSA-N -1 1 306.391 1.565 20 0 DDADMM CC(C)[C@@H]1N(C(=O)CCn2cc[n-]c(=O)c2=O)CC12CCCC2 ZINC000837192646 707595540 /nfs/dbraw/zinc/59/55/40/707595540.db2.gz UYFCVUUCTFJWSJ-AWEZNQCLSA-N -1 1 319.405 1.354 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2CCC(C)(C)CO2)sn1 ZINC000882565595 707700624 /nfs/dbraw/zinc/70/06/24/707700624.db2.gz PZZNBKWVSRQOBW-JTQLQIEISA-N -1 1 304.437 1.935 20 0 DDADMM CON1CCC(NC(=O)NCc2ccc([O-])c(Cl)c2)CC1 ZINC000873047193 707707719 /nfs/dbraw/zinc/70/77/19/707707719.db2.gz TVHQKELARJQOHM-UHFFFAOYSA-N -1 1 313.785 1.871 20 0 DDADMM CC(C)C[C@@H](CNC(=O)c1ccc(CN(C)C)nc1)C(=O)[O-] ZINC000909290857 712983443 /nfs/dbraw/zinc/98/34/43/712983443.db2.gz ZDKVDFRRSRDWTR-ZDUSSCGKSA-N -1 1 307.394 1.620 20 0 DDADMM Cn1[n-]c(COC(=O)C2CC(O)(CCC(C)(C)C)C2)nc1=O ZINC000838096616 707839999 /nfs/dbraw/zinc/83/99/99/707839999.db2.gz MPAXTHFIRVIBJY-UHFFFAOYSA-N -1 1 311.382 1.119 20 0 DDADMM CC[C@@H](C)N(CC(=O)[O-])C(=O)c1cc([C@@H]2CCCN2C)n[nH]1 ZINC000909311061 712988491 /nfs/dbraw/zinc/98/84/91/712988491.db2.gz IQBJDQLOUFYNEG-MFKMUULPSA-N -1 1 308.382 1.502 20 0 DDADMM Cn1[n-]c(COC(=O)COCc2cccc(Cl)c2)nc1=O ZINC000838420555 707916205 /nfs/dbraw/zinc/91/62/05/707916205.db2.gz GTINJVNQHJFMQK-UHFFFAOYSA-N -1 1 311.725 1.022 20 0 DDADMM COC(=O)CCN(CC(=O)[O-])Cc1cc(O)ccc1Cl ZINC000883412707 707995017 /nfs/dbraw/zinc/99/50/17/707995017.db2.gz MLBKUJXCYOWOCM-UHFFFAOYSA-N -1 1 301.726 1.495 20 0 DDADMM CCCCC[C@@H](NC(=O)[C@H](C)CN1CCOCC1)C(=O)[O-] ZINC000909342742 712996019 /nfs/dbraw/zinc/99/60/19/712996019.db2.gz OOSLBPGAEWRZMX-CHWSQXEVSA-N -1 1 300.399 1.104 20 0 DDADMM CCCCC[C@H](NC(=O)Cc1n[nH]c2c1CCCC2)C(=O)[O-] ZINC000909348845 712997268 /nfs/dbraw/zinc/99/72/68/712997268.db2.gz WUJWCCJGLXDUNO-ZDUSSCGKSA-N -1 1 307.394 1.981 20 0 DDADMM C[C@@H]1CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)C[C@@H](C)S1 ZINC000909406785 713009982 /nfs/dbraw/zinc/00/99/82/713009982.db2.gz RUABTLRHSAFHRF-UTUOFQBUSA-N -1 1 300.424 1.135 20 0 DDADMM C[C@H]1CO[C@@H](CO)CN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897013970 708207840 /nfs/dbraw/zinc/20/78/40/708207840.db2.gz IRGTVBBQCJSOPE-GXFFZTMASA-N -1 1 317.341 1.473 20 0 DDADMM COCc1nc(N2CC[C@@H](Cc3nccs3)C2)cc(=O)[n-]1 ZINC000897279677 708278232 /nfs/dbraw/zinc/27/82/32/708278232.db2.gz WPDMWGKRHCCKTA-JTQLQIEISA-N -1 1 306.391 1.854 20 0 DDADMM C[C@@H](CN(C(=O)c1cccc(-c2nnc[nH]2)c1)C1CC1)C(=O)[O-] ZINC000909455176 713022866 /nfs/dbraw/zinc/02/28/66/713022866.db2.gz DJCSSUQVNAAMBI-JTQLQIEISA-N -1 1 314.345 1.797 20 0 DDADMM COCC[C@@H](CO)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897656789 708407861 /nfs/dbraw/zinc/40/78/61/708407861.db2.gz IJGSXCGXZFOSEI-NSHDSACASA-N -1 1 305.330 1.378 20 0 DDADMM Cc1cnc(C(=O)N2CCC([C@H]3COC(=O)N3)CC2)c([O-])c1 ZINC000884909714 708416807 /nfs/dbraw/zinc/41/68/07/708416807.db2.gz GKUWALDJERYONH-LLVKDONJSA-N -1 1 305.334 1.056 20 0 DDADMM C[C@H]1Cc2ccccc2N1CC[N-]S(=O)(=O)c1ccns1 ZINC000884984305 708435508 /nfs/dbraw/zinc/43/55/08/708435508.db2.gz VZMFFPZEJKDKED-NSHDSACASA-N -1 1 323.443 1.873 20 0 DDADMM C[C@@H](c1ccccc1)[C@H](CO)[N-]S(=O)(=O)c1ccns1 ZINC000885028109 708447395 /nfs/dbraw/zinc/44/73/95/708447395.db2.gz UMIGSABIHRGMJB-JQWIXIFHSA-N -1 1 312.416 1.586 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1ccns1)c1ccsc1 ZINC000885028591 708447843 /nfs/dbraw/zinc/44/78/43/708447843.db2.gz JEPONWNKPZOGFC-SNVBAGLBSA-N -1 1 304.418 1.391 20 0 DDADMM O=S(=O)(Cc1ccc(-n2cccn2)cc1)[N-]CC(F)F ZINC000885038790 708452052 /nfs/dbraw/zinc/45/20/52/708452052.db2.gz QMXNXHKIUUNCLJ-UHFFFAOYSA-N -1 1 301.318 1.557 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccns1)C(=O)OC1CCCC1 ZINC000885040237 708452645 /nfs/dbraw/zinc/45/26/45/708452645.db2.gz DYZWEHLIIQRQRS-QMMMGPOBSA-N -1 1 304.393 1.296 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1ccc(C)s1 ZINC000912529802 713031149 /nfs/dbraw/zinc/03/11/49/713031149.db2.gz GWJRXMMHHFJPHY-UHFFFAOYSA-N -1 1 315.376 1.045 20 0 DDADMM O=S(=O)([N-][C@@H]([C@H](CO)C1CC1)C1CC1)c1ccns1 ZINC000885217231 708496753 /nfs/dbraw/zinc/49/67/53/708496753.db2.gz CYDJIQROWPATTH-ZYHUDNBSSA-N -1 1 302.421 1.219 20 0 DDADMM CNC(=O)[C@@H]1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000897996403 708514179 /nfs/dbraw/zinc/51/41/79/708514179.db2.gz PMPOLVMLTOZCFC-LLVKDONJSA-N -1 1 314.341 1.459 20 0 DDADMM COC(=O)C1(C[N-]S(=O)(=O)c2ccns2)CCCC1 ZINC000885394453 708534971 /nfs/dbraw/zinc/53/49/71/708534971.db2.gz RNUVCTZCHRHFNV-UHFFFAOYSA-N -1 1 304.393 1.155 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@H]2C)n[n-]1 ZINC000898435308 708639291 /nfs/dbraw/zinc/63/92/91/708639291.db2.gz GRQJDEXPVKIOLX-VPOLOUISSA-N -1 1 306.366 1.280 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@H]2C)n1 ZINC000898435308 708639293 /nfs/dbraw/zinc/63/92/93/708639293.db2.gz GRQJDEXPVKIOLX-VPOLOUISSA-N -1 1 306.366 1.280 20 0 DDADMM C[C@H](C(=O)NCCc1c(F)cc([O-])cc1F)[C@@H]1CCC(=O)N1 ZINC000886268198 708732799 /nfs/dbraw/zinc/73/27/99/708732799.db2.gz HCPBDNJVCHKFQJ-SDBXPKJASA-N -1 1 312.316 1.244 20 0 DDADMM C[C@@H](C(=O)NCCc1c(F)cc([O-])cc1F)[C@@H]1CCC(=O)N1 ZINC000886268197 708732860 /nfs/dbraw/zinc/73/28/60/708732860.db2.gz HCPBDNJVCHKFQJ-OQPBUACISA-N -1 1 312.316 1.244 20 0 DDADMM CCn1ccc(CC(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC000886270179 708733700 /nfs/dbraw/zinc/73/37/00/708733700.db2.gz VHMDJAUAFLMFOU-UHFFFAOYSA-N -1 1 309.316 1.788 20 0 DDADMM C[C@@]1(O)CCCN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927784059 713055162 /nfs/dbraw/zinc/05/51/62/713055162.db2.gz GYURJNMPBSZFHB-OAHLLOKOSA-N -1 1 314.332 1.769 20 0 DDADMM COc1ccc(C2(C(=O)N(C)c3nn[n-]n3)CCCC2)cc1 ZINC000912617624 713052495 /nfs/dbraw/zinc/05/24/95/713052495.db2.gz HTBLEEHHWDHHTH-UHFFFAOYSA-N -1 1 301.350 1.683 20 0 DDADMM CCN(CC(=O)N(C)c1nn[n-]n1)Cc1ccccc1Cl ZINC000912616601 713052744 /nfs/dbraw/zinc/05/27/44/713052744.db2.gz KVJPIUNZHYUVKQ-UHFFFAOYSA-N -1 1 308.773 1.338 20 0 DDADMM C[C@]1(C(=O)NCc2nc([O-])cc(=O)[nH]2)CCCc2ccccc21 ZINC000898753858 708855600 /nfs/dbraw/zinc/85/56/00/708855600.db2.gz RXCJWILFUIJHHX-KRWDZBQOSA-N -1 1 313.357 1.798 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C1(Cc2ccc(F)cc2)CC1 ZINC000898756984 708856413 /nfs/dbraw/zinc/85/64/13/708856413.db2.gz NLNJKFSSVALULD-UHFFFAOYSA-N -1 1 317.320 1.666 20 0 DDADMM Cc1ccccc1C1(C(=O)NCc2nc([O-])cc(=O)[nH]2)CCC1 ZINC000898757058 708856646 /nfs/dbraw/zinc/85/66/46/708856646.db2.gz OKLSMUZLIRRSBS-UHFFFAOYSA-N -1 1 313.357 1.934 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N[C@H]3C[C@H](CO)C3)c2)o1 ZINC000886880462 708879436 /nfs/dbraw/zinc/87/94/36/708879436.db2.gz QEBYAJWLIYLNQI-UMSPYCQHSA-N -1 1 315.325 1.965 20 0 DDADMM N[C@@H](Cc1cc2ccccc2o1)C(=O)N(CC(=O)[O-])CC1CC1 ZINC000887398212 709036420 /nfs/dbraw/zinc/03/64/20/709036420.db2.gz RQCFFEZBFLTGQM-AWEZNQCLSA-N -1 1 316.357 1.626 20 0 DDADMM O=C(NC[C@H]1CC[C@H](C2CC2)O1)c1cnc(C2CC2)[n-]c1=O ZINC000887471227 709050934 /nfs/dbraw/zinc/05/09/34/709050934.db2.gz HAVCKLGRNDAUQK-DGCLKSJQSA-N -1 1 303.362 1.747 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@]2(CO)CCC[C@@H]12 ZINC000899435052 709081030 /nfs/dbraw/zinc/08/10/30/709081030.db2.gz JDGQQWZNJGAILY-IAQYHMDHSA-N -1 1 318.402 1.395 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)CC1 ZINC000899537765 709108079 /nfs/dbraw/zinc/10/80/79/709108079.db2.gz AYURTXMJNYSAJP-CBBWQLFWSA-N -1 1 308.422 1.820 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3CC4(CCC4)CO3)ccnc1-2 ZINC000888056073 709202737 /nfs/dbraw/zinc/20/27/37/709202737.db2.gz IPGMFBWGOMHCKJ-NSHDSACASA-N -1 1 315.377 1.423 20 0 DDADMM CCN(CCC(=O)NC)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000888260733 709256642 /nfs/dbraw/zinc/25/66/42/709256642.db2.gz MPZZWVGWUINHGT-UHFFFAOYSA-N -1 1 314.769 1.652 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC2(C1)CCNC(=O)O2 ZINC000888404089 709300833 /nfs/dbraw/zinc/30/08/33/709300833.db2.gz IPNQCQKOTASJQS-UHFFFAOYSA-N -1 1 312.325 1.870 20 0 DDADMM O=C(N[C@H]1CCCCNC1=O)c1c([O-])cnc2c(F)cccc21 ZINC000900176447 709316473 /nfs/dbraw/zinc/31/64/73/709316473.db2.gz NZIRXBOMTDRBGU-NSHDSACASA-N -1 1 317.320 1.478 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)NCC1(C(=O)[O-])CCCCC1 ZINC000909526742 709502064 /nfs/dbraw/zinc/50/20/64/709502064.db2.gz PTFPXGXICCOBJB-CYBMUJFWSA-N -1 1 312.410 1.106 20 0 DDADMM CCCn1cc(NC(=O)c2cnc(C3CC3)[n-]c2=O)ccc1=O ZINC000900263840 709510474 /nfs/dbraw/zinc/51/04/74/709510474.db2.gz PJHXPAPACXEXGG-UHFFFAOYSA-N -1 1 314.345 1.884 20 0 DDADMM CCc1ccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)cc1 ZINC000912859680 713109496 /nfs/dbraw/zinc/10/94/96/713109496.db2.gz CPWMGIYKYFJXDW-LBPRGKRZSA-N -1 1 305.407 1.986 20 0 DDADMM O=C([O-])[C@H]1CN(C(=O)[C@@H]2CCCc3n[nH]nc32)c2ccccc21 ZINC000909577499 709523301 /nfs/dbraw/zinc/52/33/01/709523301.db2.gz KMKNGMAPRYXSQC-MNOVXSKESA-N -1 1 312.329 1.440 20 0 DDADMM Cc1ccsc1CCNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909601541 709536874 /nfs/dbraw/zinc/53/68/74/709536874.db2.gz JFAPZNAWKCGYAO-GFCCVEGCSA-N -1 1 310.419 1.512 20 0 DDADMM CC(=O)c1cn(C2CN(C(=O)c3cc(Cl)ccc3[O-])C2)nn1 ZINC000889536693 709537121 /nfs/dbraw/zinc/53/71/21/709537121.db2.gz CFHKTLIXORSSFD-UHFFFAOYSA-N -1 1 320.736 1.537 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)CCCCc1c[nH]nn1 ZINC000909677089 709571364 /nfs/dbraw/zinc/57/13/64/709571364.db2.gz DYYPLSLJVOUYQH-OSMZGAPFSA-N -1 1 306.366 1.229 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1cccc2nn[nH]c21 ZINC000909677278 709571859 /nfs/dbraw/zinc/57/18/59/709571859.db2.gz MCOMMWVXYULILM-KKFJDGPESA-N -1 1 300.318 1.283 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1cccc2nn[nH]c21 ZINC000909677284 709571868 /nfs/dbraw/zinc/57/18/68/709571868.db2.gz MCOMMWVXYULILM-ZDMBXUJBSA-N -1 1 300.318 1.283 20 0 DDADMM Cc1nnc(CNC(=O)c2ccc(C(F)(F)F)cc2[O-])[nH]1 ZINC000889704399 709589112 /nfs/dbraw/zinc/58/91/12/709589112.db2.gz NRPQEOMYGVDERD-UHFFFAOYSA-N -1 1 300.240 1.768 20 0 DDADMM CCc1ccc([C@@H]2CNCCN2C(=O)[C@@H]2CC[C@@H]2C(=O)[O-])cc1 ZINC000900457763 709604075 /nfs/dbraw/zinc/60/40/75/709604075.db2.gz MLIPGPOVSAXFPD-PMPSAXMXSA-N -1 1 316.401 1.833 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000900457824 709604120 /nfs/dbraw/zinc/60/41/20/709604120.db2.gz NKCBWQDGQRZAQA-SUMWQHHRSA-N -1 1 320.389 1.798 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(-c3ccn(C)c3)[nH]n2)[C@@H](C(=O)[O-])C1 ZINC000909780048 709616544 /nfs/dbraw/zinc/61/65/44/709616544.db2.gz IVQVBOVEJLOTDF-QMTHXVAHSA-N -1 1 316.361 1.741 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)[C@H](C(=O)[O-])C1 ZINC000909782078 709617487 /nfs/dbraw/zinc/61/74/87/709617487.db2.gz QKCXKQXSINKPOH-SVRRBLITSA-N -1 1 305.256 1.754 20 0 DDADMM COc1ccc([C@H](NC(=O)CN(C)C)C(=O)[O-])cc1Cl ZINC000909793406 709623991 /nfs/dbraw/zinc/62/39/91/709623991.db2.gz BWMPFXGXRJQNPP-LBPRGKRZSA-N -1 1 300.742 1.152 20 0 DDADMM O=C(NCCCc1nccs1)c1cnc(C2CC2)[n-]c1=O ZINC000900525849 709637023 /nfs/dbraw/zinc/63/70/23/709637023.db2.gz AEHBOLCJPBEEPE-UHFFFAOYSA-N -1 1 304.375 1.879 20 0 DDADMM Cc1ccccc1C1(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CC1 ZINC000909858053 709655790 /nfs/dbraw/zinc/65/57/90/709655790.db2.gz XMBFZJUQECNSEW-CQSZACIVSA-N -1 1 316.401 1.897 20 0 DDADMM CCN(CC(=O)N1CC[C@@](C(=O)[O-])(c2ccccc2)C1)C1CC1 ZINC000909858467 709656304 /nfs/dbraw/zinc/65/63/04/709656304.db2.gz PZUUBWBJBOJHRO-SFHVURJKSA-N -1 1 316.401 1.726 20 0 DDADMM CC1CCN(CC(=O)N2CC3(CCC3)[C@](F)(C(=O)[O-])C2)CC1 ZINC000909940236 709697471 /nfs/dbraw/zinc/69/74/71/709697471.db2.gz JDVJMRCZXIQXJE-MRXNPFEDSA-N -1 1 312.385 1.524 20 0 DDADMM C[C@H]1c2ccccc2C[C@H]1NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909949832 709704341 /nfs/dbraw/zinc/70/43/41/709704341.db2.gz OBJFJPUUBOOJBF-JGGQBBKZSA-N -1 1 316.401 1.628 20 0 DDADMM C[C@@]1(CCNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CC1(F)F ZINC000909958401 709708441 /nfs/dbraw/zinc/70/84/41/709708441.db2.gz LQRXAYTXFHFTGW-ZWNOBZJWSA-N -1 1 304.337 1.335 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)c1cn(C[C@@H]2CCOC2)nn1 ZINC000909973345 709714595 /nfs/dbraw/zinc/71/45/95/709714595.db2.gz XDTSURQUUWIQFJ-QMMMGPOBSA-N -1 1 324.287 1.551 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCCCC[C@H]2C(=O)[O-])C1 ZINC000910047510 709752438 /nfs/dbraw/zinc/75/24/38/709752438.db2.gz YGOZSNHOIQBJKG-RTXFEEFZSA-N -1 1 305.378 1.931 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N(CC(=O)[O-])C2CCCC2)C1 ZINC000910062402 709761116 /nfs/dbraw/zinc/76/11/16/709761116.db2.gz KKNQALHZPOWQGJ-ZWNOBZJWSA-N -1 1 305.378 1.931 20 0 DDADMM C[C@H](C(=O)Nc1ccc(C(=O)[O-])cc1OCC(F)F)N(C)C ZINC000910218351 709820647 /nfs/dbraw/zinc/82/06/47/709820647.db2.gz BYCVCHIDBYNAIR-MRVPVSSYSA-N -1 1 316.304 1.917 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)[C@H]2CCC[C@H]21 ZINC000910247986 709838947 /nfs/dbraw/zinc/83/89/47/709838947.db2.gz QGFLGZKXBOYJAD-ZAZJYDDPSA-N -1 1 317.389 1.616 20 0 DDADMM Cc1nccc(N2CCO[C@@H](C(=O)[O-])C2)c1Br ZINC000900943708 709840241 /nfs/dbraw/zinc/84/02/41/709840241.db2.gz GEECQCIAAIXNJJ-SECBINFHSA-N -1 1 301.140 1.442 20 0 DDADMM O=C([O-])CN(CC1CC1)c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000900970519 709855626 /nfs/dbraw/zinc/85/56/26/709855626.db2.gz NWUUGXLZPYJLOH-UHFFFAOYSA-N -1 1 315.255 1.673 20 0 DDADMM C[C@H](C(=O)N[C@](C)(Cc1ccc(Cl)cc1)C(=O)[O-])N(C)C ZINC000910316394 709885913 /nfs/dbraw/zinc/88/59/13/709885913.db2.gz SUHOMHKBJRLPDE-MEBBXXQBSA-N -1 1 312.797 1.792 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCO[C@](C)(C(=O)[O-])C2)c1 ZINC000910321445 709889547 /nfs/dbraw/zinc/88/95/47/709889547.db2.gz QUJVAXWZZWQCDF-HNNXBMFYSA-N -1 1 303.318 1.187 20 0 DDADMM CCN(C)[C@H](C(=O)N1CCO[C@](C)(C(=O)[O-])C1)c1ccccc1 ZINC000910322672 709890833 /nfs/dbraw/zinc/89/08/33/709890833.db2.gz JWHXXVDVZWUVGP-YOEHRIQHSA-N -1 1 320.389 1.382 20 0 DDADMM Cn1ncc2c1CCCN(C(=O)c1cnc(C3CC3)[n-]c1=O)C2 ZINC000910402143 709925006 /nfs/dbraw/zinc/92/50/06/709925006.db2.gz QOCVFCAEBXRNJY-UHFFFAOYSA-N -1 1 313.361 1.382 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC[C@H](O)[C@H](F)C1 ZINC000890690972 709955674 /nfs/dbraw/zinc/95/56/74/709955674.db2.gz HAZDZVLRJFXSLC-ZJUUUORDSA-N -1 1 307.243 1.956 20 0 DDADMM C[C@@H]1c2sccc2CCN1C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000910503922 709975431 /nfs/dbraw/zinc/97/54/31/709975431.db2.gz ISGWESVXINMJEF-YPMHNXCESA-N -1 1 322.430 1.990 20 0 DDADMM Cc1cc(CC(=O)N[C@](C)(Cc2ccc(F)cc2)C(=O)[O-])[nH]n1 ZINC000910511388 709977308 /nfs/dbraw/zinc/97/73/08/709977308.db2.gz GSKQJNXKVYLBOD-MRXNPFEDSA-N -1 1 319.336 1.602 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@](C)(Cc1ccc(F)cc1)C(=O)[O-] ZINC000910513236 709977890 /nfs/dbraw/zinc/97/78/90/709977890.db2.gz RFHLKWYABAMJTJ-XJKSGUPXSA-N -1 1 308.353 1.422 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOCC12CCCC2 ZINC000901255199 709986276 /nfs/dbraw/zinc/98/62/76/709986276.db2.gz LGCFILYMBWDYLL-UHFFFAOYSA-N -1 1 303.362 1.845 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000901500469 710058177 /nfs/dbraw/zinc/05/81/77/710058177.db2.gz NLYDOZLXXCDHIV-HNNXBMFYSA-N -1 1 304.390 1.484 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2C[C@@H](C)Cc3c[nH]nc32)C[C@H]1CC(=O)[O-] ZINC000910857855 710097047 /nfs/dbraw/zinc/09/70/47/710097047.db2.gz LHSBPFBSRFBISG-YGNMPJRFSA-N -1 1 305.378 1.645 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1cnc2nc(C)cc(C)n12 ZINC000901662914 710113195 /nfs/dbraw/zinc/11/31/95/710113195.db2.gz FAJWDLMZRJVYKX-UHFFFAOYSA-N -1 1 306.366 1.269 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC000901662800 710113246 /nfs/dbraw/zinc/11/32/46/710113246.db2.gz QLPNUYYAUGQNIW-PUHVVEEASA-N -1 1 305.378 1.645 20 0 DDADMM CN(C)CC(=O)N[C@@H](C(=O)[O-])c1ccccc1OC(F)(F)F ZINC000910939139 710124858 /nfs/dbraw/zinc/12/48/58/710124858.db2.gz ZTWWOKRQIIGYIF-LLVKDONJSA-N -1 1 320.267 1.389 20 0 DDADMM CC(=O)N1CSC[C@H]1C(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910982763 710140263 /nfs/dbraw/zinc/14/02/63/710140263.db2.gz BJDRIMVJJQKJCP-JTQLQIEISA-N -1 1 302.302 1.530 20 0 DDADMM Cn1c(CN[C@@]2(CC(=O)[O-])CCOC2)nc2ccc(Cl)cc21 ZINC000901837165 710158248 /nfs/dbraw/zinc/15/82/48/710158248.db2.gz NHSCZGBELVYEGX-OAHLLOKOSA-N -1 1 323.780 1.950 20 0 DDADMM Cc1ccccc1-n1cc(CN[C@](C)(CCF)C(=O)[O-])nn1 ZINC000901918934 710174248 /nfs/dbraw/zinc/17/42/48/710174248.db2.gz KPBJZJJGGDRZDK-OAHLLOKOSA-N -1 1 306.341 1.868 20 0 DDADMM COCc1nc(NCc2ccnc(N3CCCC3)c2)cc(=O)[n-]1 ZINC000891677372 710263634 /nfs/dbraw/zinc/26/36/34/710263634.db2.gz SVZUTZQLJVWGRL-UHFFFAOYSA-N -1 1 315.377 1.936 20 0 DDADMM COCc1nc(N(CCO)Cc2ccccc2OC)cc(=O)[n-]1 ZINC000892613460 710469163 /nfs/dbraw/zinc/46/91/63/710469163.db2.gz TUTWCTXMFUJEQZ-UHFFFAOYSA-N -1 1 319.361 1.336 20 0 DDADMM O=C([O-])Cn1cc(CN2CCC[C@@H](c3ccccc3)C2)nn1 ZINC000902240245 710676017 /nfs/dbraw/zinc/67/60/17/710676017.db2.gz WBSARFAMSGUCFK-CQSZACIVSA-N -1 1 300.362 1.742 20 0 DDADMM O=C([O-])[C@@H]1CC[C@@H]1C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000911325096 710742498 /nfs/dbraw/zinc/74/24/98/710742498.db2.gz HGSSQAUIWJQJMN-SDDRHHMPSA-N -1 1 318.377 1.499 20 0 DDADMM O=C([O-])CCc1ccccc1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000911390799 710775115 /nfs/dbraw/zinc/77/51/15/710775115.db2.gz AQMYDNJXKRNLBG-LBPRGKRZSA-N -1 1 313.357 1.714 20 0 DDADMM CCN1CCN(C(=O)c2ccc(OCC(=O)[O-])cc2)[C@@H](C)C1 ZINC000911406541 710783618 /nfs/dbraw/zinc/78/36/18/710783618.db2.gz DJYWHAWDZIXCRD-LBPRGKRZSA-N -1 1 306.362 1.316 20 0 DDADMM O=C([O-])C1(C(=O)N2CCC[C@H](CN3CCOCC3)C2)CCCC1 ZINC000911429582 710795074 /nfs/dbraw/zinc/79/50/74/710795074.db2.gz RSLZSXMMDJIMOZ-CQSZACIVSA-N -1 1 324.421 1.202 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]1SC ZINC000911554271 710850460 /nfs/dbraw/zinc/85/04/60/710850460.db2.gz RGLGYZDVOCNTIN-UTUOFQBUSA-N -1 1 323.418 1.698 20 0 DDADMM Cc1[nH]ncc1C1CCN(C(=O)CCSCC(=O)[O-])CC1 ZINC000911588993 710870189 /nfs/dbraw/zinc/87/01/89/710870189.db2.gz BGLWVAKUQZFXDJ-UHFFFAOYSA-N -1 1 311.407 1.632 20 0 DDADMM CC(C)(C)[C@H](C(=O)[O-])C(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000902818019 710915285 /nfs/dbraw/zinc/91/52/85/710915285.db2.gz HZFJJTKKCWSWSO-TUAOUCFPSA-N -1 1 314.451 1.429 20 0 DDADMM Cc1ncc(C(=O)N2CCN(c3ccc(C(=O)[O-])s3)CC2)[nH]1 ZINC000911768137 710974021 /nfs/dbraw/zinc/97/40/21/710974021.db2.gz JOBMWZFXAALYGH-UHFFFAOYSA-N -1 1 320.374 1.440 20 0 DDADMM C[C@@H]1NC(=O)[C@H](C)N(C(=O)Cc2ccc([O-])c(Cl)c2)[C@H]1C ZINC000911778244 710981121 /nfs/dbraw/zinc/98/11/21/710981121.db2.gz LHOLFAFKOSIIMJ-GUBZILKMSA-N -1 1 310.781 1.712 20 0 DDADMM COCc1ccnc(NC(=O)c2cnc(C3CC3)[n-]c2=O)c1 ZINC000911922883 711070326 /nfs/dbraw/zinc/07/03/26/711070326.db2.gz HTTPNSJWSVSOMC-UHFFFAOYSA-N -1 1 300.318 1.853 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NN1CCCC[C@H]1C ZINC000912147489 711192233 /nfs/dbraw/zinc/19/22/33/711192233.db2.gz ITQYZYIIQYBMPG-SNVBAGLBSA-N -1 1 317.393 1.500 20 0 DDADMM CSc1ccccc1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913493495 713236694 /nfs/dbraw/zinc/23/66/94/713236694.db2.gz YRSVSAAQRRMGLH-SNVBAGLBSA-N -1 1 305.363 1.135 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-][C@@H](C1CC1)C(F)(F)F ZINC000903632429 711230796 /nfs/dbraw/zinc/23/07/96/711230796.db2.gz PRMGKKJEOFBWGV-VIFPVBQESA-N -1 1 310.297 1.709 20 0 DDADMM Cc1ccc(/C=C\CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000913495513 713237690 /nfs/dbraw/zinc/23/76/90/713237690.db2.gz KZNAIFITXCXBGB-PYLYLYNFSA-N -1 1 313.361 1.512 20 0 DDADMM C[C@@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1cccc(Cl)c1 ZINC000913496267 713238390 /nfs/dbraw/zinc/23/83/90/713238390.db2.gz WWZKAYFKATYIBM-BXKDBHETSA-N -1 1 321.768 1.557 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)NC1CCC(C)CC1 ZINC000903712230 711250824 /nfs/dbraw/zinc/25/08/24/711250824.db2.gz UFKKAMWGHBXNMO-ROKHWSDSSA-N -1 1 314.426 1.493 20 0 DDADMM O=C([O-])[C@@H]1c2ccccc2OCCN1CCO[C@@H]1CC1(F)F ZINC000903931051 711338443 /nfs/dbraw/zinc/33/84/43/711338443.db2.gz NOZAOZMUCAXLNK-OLZOCXBDSA-N -1 1 313.300 1.931 20 0 DDADMM CCOc1cc(C(=O)NC2=NCC(=O)N2C)cc(Cl)c1[O-] ZINC000912499626 711353675 /nfs/dbraw/zinc/35/36/75/711353675.db2.gz HZNOVEOAHOVJCW-UHFFFAOYSA-N -1 1 311.725 1.002 20 0 DDADMM CCCn1cc(CN[C@@]2(C(=O)[O-])C[C@H](OCC)C2(C)C)nn1 ZINC000904009852 711363268 /nfs/dbraw/zinc/36/32/68/711363268.db2.gz ATPOEKKNLMZDOU-SWLSCSKDSA-N -1 1 310.398 1.436 20 0 DDADMM CCn1nc(C2CC2)cc1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000904936632 711904919 /nfs/dbraw/zinc/90/49/19/711904919.db2.gz OVBKVDOUESXGJL-UHFFFAOYSA-N -1 1 310.361 1.688 20 0 DDADMM O=C(c1cccc(OC(F)F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742122 713291622 /nfs/dbraw/zinc/29/16/22/713291622.db2.gz IHXFVFDQJVJUHN-UHFFFAOYSA-N -1 1 323.303 1.821 20 0 DDADMM COCc1nnc(S(=O)(=O)CC[C@@H]2CCCC2(F)F)[n-]1 ZINC000905249323 711980183 /nfs/dbraw/zinc/98/01/83/711980183.db2.gz MBTHINBXTLPCPM-QMMMGPOBSA-N -1 1 309.338 1.550 20 0 DDADMM COCc1nc(S(=O)(=O)CC[C@@H]2CCCC2(F)F)n[n-]1 ZINC000905249323 711980184 /nfs/dbraw/zinc/98/01/84/711980184.db2.gz MBTHINBXTLPCPM-QMMMGPOBSA-N -1 1 309.338 1.550 20 0 DDADMM O=C([C@@H]1Cc2ccc(F)cc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913745164 713293627 /nfs/dbraw/zinc/29/36/27/713293627.db2.gz RHGPORHMACVBEC-CYBMUJFWSA-N -1 1 301.325 1.385 20 0 DDADMM O=C([C@H]1[C@@H]2c3ccccc3C[C@H]12)N1CCC(c2nn[n-]n2)CC1 ZINC000913746165 713294028 /nfs/dbraw/zinc/29/40/28/713294028.db2.gz VJSQZXCIOSXFBT-RRFJBIMHSA-N -1 1 309.373 1.492 20 0 DDADMM COCc1csc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913746266 713294131 /nfs/dbraw/zinc/29/41/31/713294131.db2.gz ZSGRFAJLFNZVQL-UHFFFAOYSA-N -1 1 307.379 1.427 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CCC[C@@H](F)C2)c1 ZINC000907026783 712468280 /nfs/dbraw/zinc/46/82/80/712468280.db2.gz OORQJINTEHGBDY-SECBINFHSA-N -1 1 303.311 1.213 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCc2cnoc2)c(F)c1 ZINC000907387564 712558281 /nfs/dbraw/zinc/55/82/81/712558281.db2.gz DVAFEKNVODYUHP-UHFFFAOYSA-N -1 1 302.302 1.782 20 0 DDADMM Nc1c[nH]nc1[C@@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000907417418 712566099 /nfs/dbraw/zinc/56/60/99/712566099.db2.gz RUNPYQUMUBUTRB-SSDOTTSWSA-N -1 1 308.288 1.605 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCSC[C@H]2c2nn[n-]n2)s1 ZINC000907470424 712580057 /nfs/dbraw/zinc/58/00/57/712580057.db2.gz ABVPLCDEPPKGIZ-VIFPVBQESA-N -1 1 309.420 1.808 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)ccc1O ZINC000907479106 712583374 /nfs/dbraw/zinc/58/33/74/712583374.db2.gz JJQAAOMKRWDVKC-JTQLQIEISA-N -1 1 305.363 1.144 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)nc1C ZINC000907480205 712583469 /nfs/dbraw/zinc/58/34/69/712583469.db2.gz MVGXWFMIOFAOQW-LLVKDONJSA-N -1 1 304.379 1.142 20 0 DDADMM O=C(C[C@@H]1CCC(F)(F)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480952 712583969 /nfs/dbraw/zinc/58/39/69/712583969.db2.gz YHWQJMJPTFUTPP-IUCAKERBSA-N -1 1 317.365 1.642 20 0 DDADMM CC(=Cc1cccnc1)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481929 712584104 /nfs/dbraw/zinc/58/41/04/712584104.db2.gz ZJDKRKGCNMBFMC-PMDBQALLSA-N -1 1 316.390 1.315 20 0 DDADMM Cc1ncoc1C[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC000907597631 712600547 /nfs/dbraw/zinc/60/05/47/712600547.db2.gz KOFIMFNGLRTMBM-UHFFFAOYSA-N -1 1 304.759 1.157 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CC(O)C2)c1ccc(Br)o1 ZINC000907785725 712626057 /nfs/dbraw/zinc/62/60/57/712626057.db2.gz DQNLCUQKQQQLLT-PRZNWYJVSA-N -1 1 322.180 1.234 20 0 DDADMM CC1=CC[C@](C)(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1 ZINC000907941204 712652319 /nfs/dbraw/zinc/65/23/19/712652319.db2.gz NDOZEWWLYUOVJI-AWEZNQCLSA-N -1 1 305.382 1.146 20 0 DDADMM COc1cnc([C@@H]2CCCN2S(=O)(=O)C2CCC2)[n-]c1=O ZINC000908015293 712666284 /nfs/dbraw/zinc/66/62/84/712666284.db2.gz BRWMLFMIQSSJRO-JTQLQIEISA-N -1 1 313.379 1.210 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@@H]1C1CC1)c1cccc(F)c1F ZINC000908409921 712764206 /nfs/dbraw/zinc/76/42/06/712764206.db2.gz LKKYATCVXHHGEW-KOLCDFICSA-N -1 1 303.330 1.668 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CCCC[C@@H]3C(=O)[O-])n[nH]2)c1 ZINC000908660249 712831089 /nfs/dbraw/zinc/83/10/89/712831089.db2.gz JLPGYLZIFMXZHE-CYBMUJFWSA-N -1 1 302.334 1.495 20 0 DDADMM Cc1ncsc1CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000908696864 712836995 /nfs/dbraw/zinc/83/69/95/712836995.db2.gz PIPLAGUKUHSJAR-UHFFFAOYSA-N -1 1 304.375 1.797 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCc2ccccc2Cl)C1 ZINC000908710154 712839303 /nfs/dbraw/zinc/83/93/03/712839303.db2.gz YFWQLAUAAOVLSX-ZDUSSCGKSA-N -1 1 324.808 1.795 20 0 DDADMM CN(C)[C@H](CNC(=O)NC1(C(=O)[O-])CCC1)c1cccs1 ZINC000908746029 712846508 /nfs/dbraw/zinc/84/65/08/712846508.db2.gz IPLPBICRQJJQGL-SNVBAGLBSA-N -1 1 311.407 1.657 20 0 DDADMM CCSCC[C@H](C)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908808220 712858198 /nfs/dbraw/zinc/85/81/98/712858198.db2.gz BUYACIRAOLENIX-NWDGAFQWSA-N -1 1 302.440 1.431 20 0 DDADMM C[C@@H]1[C@H](C)SCCN1C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908810497 712859216 /nfs/dbraw/zinc/85/92/16/712859216.db2.gz YBFUTXSFXUYHSJ-WOPDTQHZSA-N -1 1 300.424 1.135 20 0 DDADMM CN(CC(=O)N[C@@](C)(C(=O)[O-])c1ccccc1)[C@@H]1CCSC1 ZINC000908933449 712890681 /nfs/dbraw/zinc/89/06/81/712890681.db2.gz PIVSQQSZBCBIDK-CZUORRHYSA-N -1 1 322.430 1.540 20 0 DDADMM CCOCc1nc([C@@H](C)[N-]S(=O)(=O)CC2(F)CCC2)no1 ZINC000915741107 713430096 /nfs/dbraw/zinc/43/00/96/713430096.db2.gz CEPINVHNUVNOKK-SECBINFHSA-N -1 1 321.374 1.479 20 0 DDADMM COC(=O)[C@H](CC1CCOCC1)NC(=O)c1ncc(C)cc1[O-] ZINC000916660484 713462824 /nfs/dbraw/zinc/46/28/24/713462824.db2.gz PJGALRWFCYNWEF-LBPRGKRZSA-N -1 1 322.361 1.184 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NN2[C@H](C)CCC[C@@H]2C)o1 ZINC000916741129 713465756 /nfs/dbraw/zinc/46/57/56/713465756.db2.gz ZNEAQWFFLTWNEZ-AOOOYVTPSA-N -1 1 315.395 1.095 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)c2nccn2C(C)C)n1 ZINC000917495777 713500466 /nfs/dbraw/zinc/50/04/66/713500466.db2.gz DPGRIUHGPYWAJY-UHFFFAOYSA-N -1 1 308.363 1.949 20 0 DDADMM COC(=O)[C@@H]1CN(C[C@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929513176 713627651 /nfs/dbraw/zinc/62/76/51/713627651.db2.gz QMOBFVDRZKRUJF-FZMZJTMJSA-N -1 1 307.346 1.085 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCN(C(=O)C2CC2)CC1 ZINC000920426630 713668552 /nfs/dbraw/zinc/66/85/52/713668552.db2.gz QMGLTWCHZTUZPQ-UHFFFAOYSA-N -1 1 308.765 1.740 20 0 DDADMM COC(=O)CC1CC([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC000921186161 713719487 /nfs/dbraw/zinc/71/94/87/713719487.db2.gz SEGULSHTKJQCNI-UHFFFAOYSA-N -1 1 319.329 1.585 20 0 DDADMM COC(=O)/C(C)=C/C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000921232649 713723838 /nfs/dbraw/zinc/72/38/38/713723838.db2.gz JPHLTUNWEPHIOU-XVNBXDOJSA-N -1 1 323.292 1.502 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@H]1c2ccccc2OC[C@@H]1F)OC ZINC000921317744 713731913 /nfs/dbraw/zinc/73/19/13/713731913.db2.gz HSIZWURMRAFGAA-OSMZGAPFSA-N -1 1 317.382 1.803 20 0 DDADMM O=S(=O)(CC1(F)CC1)[N-][C@H]1c2ccccc2OC[C@@H]1F ZINC000921318586 713731932 /nfs/dbraw/zinc/73/19/32/713731932.db2.gz ZSQGMHPXOTWFEB-JQWIXIFHSA-N -1 1 303.330 1.880 20 0 DDADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)CC(C)(C)CC ZINC000921377439 713749038 /nfs/dbraw/zinc/74/90/38/713749038.db2.gz UNBJGMCHHVASNH-SNVBAGLBSA-N -1 1 311.469 1.637 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@@H]2COC[C@@H]2O1)c1cc(F)ccc1F ZINC000921623739 713824166 /nfs/dbraw/zinc/82/41/66/713824166.db2.gz VZWUOYFQMMCKEG-QRTLGDNMSA-N -1 1 319.329 1.047 20 0 DDADMM CC1(C)[C@H](CS(=O)(=O)[N-]CC(=O)c2cncs2)C1(F)F ZINC000921677578 713839899 /nfs/dbraw/zinc/83/98/99/713839899.db2.gz FOIXIDNQTCCVHB-VIFPVBQESA-N -1 1 324.374 1.537 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCS[C@@H](C)C2)c1 ZINC000921713974 713851779 /nfs/dbraw/zinc/85/17/79/713851779.db2.gz OUNKBTNQMTWZNI-VHSXEESVSA-N -1 1 317.432 1.963 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@H]1CCCc2cccnc21 ZINC000921840966 713882643 /nfs/dbraw/zinc/88/26/43/713882643.db2.gz QOMQMSMOJJTRST-JTQLQIEISA-N -1 1 303.409 1.021 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@]12C[C@H]1CCC2 ZINC000921924862 713910456 /nfs/dbraw/zinc/91/04/56/713910456.db2.gz VXHGCPAGPJBFRF-MYFIVHGGSA-N -1 1 308.469 1.907 20 0 DDADMM C[C@H](CN1CCC[C@H]2CCNC(=O)[C@@H]21)C(=O)c1ccc(O)cc1 ZINC000931702853 714164840 /nfs/dbraw/zinc/16/48/40/714164840.db2.gz FNUMLWJKDYXERH-DVOMOZLQSA-N -1 1 316.401 1.812 20 0 DDADMM COCC1(CNC(=O)NCCc2c(F)cc([O-])cc2F)CC1 ZINC000923121803 714243970 /nfs/dbraw/zinc/24/39/70/714243970.db2.gz LCOCDGKOOHVWBB-UHFFFAOYSA-N -1 1 314.332 1.939 20 0 DDADMM O=C([O-])c1ccccc1CCNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000923133485 714249027 /nfs/dbraw/zinc/24/90/27/714249027.db2.gz QWSAPUJWXSEJAW-ZDUSSCGKSA-N -1 1 313.357 1.887 20 0 DDADMM CCO[C@@H]1[C@@H](C)[C@@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000923445610 714358281 /nfs/dbraw/zinc/35/82/81/714358281.db2.gz VOQNDUDEJWKJDS-LEWSCRJBSA-N -1 1 305.330 1.157 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1CCC[C@@](CC)(C(=O)[O-])C1 ZINC000923471892 714366573 /nfs/dbraw/zinc/36/65/73/714366573.db2.gz YTUIBNIUSWUUKG-CYBMUJFWSA-N -1 1 324.343 1.974 20 0 DDADMM COC1CN(C(=O)c2cc(-c3ccc(C=O)o3)ccc2[O-])C1 ZINC000932610784 714366771 /nfs/dbraw/zinc/36/67/71/714366771.db2.gz QCCADHVHYUSXLH-UHFFFAOYSA-N -1 1 301.298 1.936 20 0 DDADMM COC(OC)[C@@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000923642430 714437985 /nfs/dbraw/zinc/43/79/85/714437985.db2.gz UZGYCSHNHMPBQU-MRVPVSSYSA-N -1 1 318.320 1.520 20 0 DDADMM C[C@H](NCc1nc(=O)n(C)[n-]1)c1cc(Cl)cc2c1OCC2 ZINC000933271926 714551046 /nfs/dbraw/zinc/55/10/46/714551046.db2.gz KRKBMOAFGULGLX-QMMMGPOBSA-N -1 1 308.769 1.548 20 0 DDADMM COC(=O)[C@H](C[C@H]1CCCO1)NC(=O)c1cc(F)ccc1[O-] ZINC000924869678 714683517 /nfs/dbraw/zinc/68/35/17/714683517.db2.gz OUXKVIJNQVKFRI-PWSUYJOCSA-N -1 1 311.309 1.372 20 0 DDADMM CCOC(=O)/C=C/CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934270337 714781942 /nfs/dbraw/zinc/78/19/42/714781942.db2.gz QRWBMUDQGFJDMH-OKPNEXGHSA-N -1 1 307.350 1.447 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1ccnn1[C@@H]1CCCOC1 ZINC000934683300 714880648 /nfs/dbraw/zinc/88/06/48/714880648.db2.gz KCBRVBQBRMHVNH-YPMHNXCESA-N -1 1 310.354 1.814 20 0 DDADMM O=C(CCc1nn[n-]n1)N1Cc2ccccc2OC2(CCC2)C1 ZINC000925720542 714921207 /nfs/dbraw/zinc/92/12/07/714921207.db2.gz YUCNVYJGZFOSQG-UHFFFAOYSA-N -1 1 313.361 1.476 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC000937863972 715618993 /nfs/dbraw/zinc/61/89/93/715618993.db2.gz MUPANUPSSUEYSZ-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM CCC(CC)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849144 715636406 /nfs/dbraw/zinc/63/64/06/715636406.db2.gz LZYXBHWRHRLPHF-KRWDZBQOSA-N -1 1 319.405 1.944 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC000955636770 715887562 /nfs/dbraw/zinc/88/75/62/715887562.db2.gz QWHQPOAYQLYXNU-VVBALGDRSA-N -1 1 317.389 1.863 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)CC2CC2)CCN1C(=O)c1ncccc1[O-] ZINC000955647587 715892084 /nfs/dbraw/zinc/89/20/84/715892084.db2.gz CPEYTBNPQCSCGR-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000955978420 716047393 /nfs/dbraw/zinc/04/73/93/716047393.db2.gz IBCZHFPYRSUFBK-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CCCC1 ZINC000939029266 716097041 /nfs/dbraw/zinc/09/70/41/716097041.db2.gz HMANSYIAWSMIFF-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM O=C([C@@H]1CC=CCC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959868617 716327678 /nfs/dbraw/zinc/32/76/78/716327678.db2.gz MWKPEVKOIWUWOM-OLZOCXBDSA-N -1 1 319.409 1.337 20 0 DDADMM CCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959016111 716825261 /nfs/dbraw/zinc/82/52/61/716825261.db2.gz LWXPMFVSWNFXKQ-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)F)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959341298 716972965 /nfs/dbraw/zinc/97/29/65/716972965.db2.gz KJBXMRWYFBAUIC-MNOVXSKESA-N -1 1 323.368 1.360 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959428117 717012678 /nfs/dbraw/zinc/01/26/78/717012678.db2.gz RNBILCUPTBVJKT-FZXKYSEESA-N -1 1 317.389 1.578 20 0 DDADMM C[C@H](C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000941207290 717130112 /nfs/dbraw/zinc/13/01/12/717130112.db2.gz MOISQRWKOMDADU-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CC(C)C)C1 ZINC000942759688 717859120 /nfs/dbraw/zinc/85/91/20/717859120.db2.gz ZVKZFOHSQVGNFQ-CYBMUJFWSA-N -1 1 319.405 1.896 20 0 DDADMM CC(C)(F)C(=O)N[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000943592422 718155527 /nfs/dbraw/zinc/15/55/27/718155527.db2.gz SDMRPAFPSWJICS-XYPYZODXSA-N -1 1 323.368 1.693 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000943684695 718174352 /nfs/dbraw/zinc/17/43/52/718174352.db2.gz ZSTZKSIZXDBPFJ-HAQNSBGRSA-N -1 1 319.405 1.991 20 0 DDADMM C[C@@H]1CN(C(=O)CC2CC2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945104103 718397171 /nfs/dbraw/zinc/39/71/71/718397171.db2.gz OEYMIFRUWUBCOB-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000945250239 718430358 /nfs/dbraw/zinc/43/03/58/718430358.db2.gz NINRYWUCRQLSPU-WIGWWYOCSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C(F)F ZINC000945291402 718436727 /nfs/dbraw/zinc/43/67/27/718436727.db2.gz YVVICMHKRGGOAU-IUCAKERBSA-N -1 1 313.304 1.019 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(C)(F)F ZINC000966334302 718518490 /nfs/dbraw/zinc/51/84/90/718518490.db2.gz XVNAPQBHMQLIKM-IUCAKERBSA-N -1 1 313.304 1.019 20 0 DDADMM C[C@@H]1CN(C(=O)CC2(C)CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966629853 718610159 /nfs/dbraw/zinc/61/01/59/718610159.db2.gz RRCHQKXUPZAQRJ-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM CCCC(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC000945926459 718616703 /nfs/dbraw/zinc/61/67/03/718616703.db2.gz DATZRECVBVKAEV-QWHCGFSZSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CC1CC1 ZINC000948618737 719592370 /nfs/dbraw/zinc/59/23/70/719592370.db2.gz DOLYUJCUWPKKCF-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1(C)CCC1 ZINC000948630225 719601874 /nfs/dbraw/zinc/60/18/74/719601874.db2.gz SNJDANYJDHIJQE-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CCc1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)s1 ZINC000969235089 720019914 /nfs/dbraw/zinc/01/99/14/720019914.db2.gz MKTLESTXZKVRJF-SECBINFHSA-N -1 1 321.406 1.139 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])C1CCC1 ZINC000949538741 720146867 /nfs/dbraw/zinc/14/68/67/720146867.db2.gz QAWQPVSHEKQWKG-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC000949541737 720149496 /nfs/dbraw/zinc/14/94/96/720149496.db2.gz ONDXEDANXIIAOP-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)c1cccs1 ZINC000969924088 720562505 /nfs/dbraw/zinc/56/25/05/720562505.db2.gz NBIHIYQQLJXTFE-VHSXEESVSA-N -1 1 321.406 1.066 20 0 DDADMM CC(C)C(=O)N1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000952529284 721469110 /nfs/dbraw/zinc/46/91/10/721469110.db2.gz PQPKTIZPAUUSQK-OLZOCXBDSA-N -1 1 317.389 1.649 20 0 DDADMM CSCC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])C[C@H]1C ZINC001009989465 738688859 /nfs/dbraw/zinc/68/88/59/738688859.db2.gz XYZMOHHTPIFEMB-GHMZBOCLSA-N -1 1 323.418 1.117 20 0 DDADMM O=C(c1cccs1)N1CCN(Cc2ccncc2[O-])CC1 ZINC001140277045 733431215 /nfs/dbraw/zinc/43/12/15/733431215.db2.gz RCAXOGQHMURASD-UHFFFAOYSA-N -1 1 303.387 1.807 20 0 DDADMM O=C(NC[C@@H]1CCCN1Cc1cscn1)c1ncccc1[O-] ZINC001027841705 738724304 /nfs/dbraw/zinc/72/43/04/738724304.db2.gz KYHDMOZEORSSOD-LBPRGKRZSA-N -1 1 318.402 1.638 20 0 DDADMM Cc1ncc(CN2CCC[C@@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001027843982 738726929 /nfs/dbraw/zinc/72/69/29/738726929.db2.gz KPAGYMYMTBBFJF-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC001121455064 782514890 /nfs/dbraw/zinc/51/48/90/782514890.db2.gz CTCPWTOUWZRJMC-YVEFUNNKSA-N -1 1 322.372 1.689 20 0 DDADMM CCOC(=O)CN1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001140889794 736464952 /nfs/dbraw/zinc/46/49/52/736464952.db2.gz KZMSKBLYRFXXPZ-UHFFFAOYSA-N -1 1 314.332 1.351 20 0 DDADMM CN(Cc1ccon1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001027380966 738247200 /nfs/dbraw/zinc/24/72/00/738247200.db2.gz PPWKGKQMSCTZND-ZDUSSCGKSA-N -1 1 316.361 1.512 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cc(N)ccc2C)c(CO)c1 ZINC001211743089 739329255 /nfs/dbraw/zinc/32/92/55/739329255.db2.gz HLBLHAUPCIWUDE-UHFFFAOYSA-N -1 1 322.386 1.879 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)C2CC=CC2)CC1 ZINC001029949296 741638829 /nfs/dbraw/zinc/63/88/29/741638829.db2.gz MXKALCIHTDHORI-UHFFFAOYSA-N -1 1 319.409 1.147 20 0 DDADMM CCC[C@@H](C)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075961340 742281148 /nfs/dbraw/zinc/28/11/48/742281148.db2.gz VKQQIEYICKWGMF-NEPJUHHUSA-N -1 1 307.394 1.848 20 0 DDADMM C[C@@H](CCNC(=O)[C@@H]1CC12CCC2)NC(=O)c1ncccc1[O-] ZINC001076537716 742775463 /nfs/dbraw/zinc/77/54/63/742775463.db2.gz KCGTXDQZBVDZKJ-RYUDHWBXSA-N -1 1 317.389 1.602 20 0 DDADMM Nc1c(F)cccc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001168693206 743051562 /nfs/dbraw/zinc/05/15/62/743051562.db2.gz HJEHJOZWPZZKKF-CYBMUJFWSA-N -1 1 312.308 1.440 20 0 DDADMM Cc1ccsc1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998412011 751814477 /nfs/dbraw/zinc/81/44/77/751814477.db2.gz JFEGUPHYAYHNMI-JTQLQIEISA-N -1 1 321.406 1.275 20 0 DDADMM COCc1ccc(CC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001182200644 743524248 /nfs/dbraw/zinc/52/42/48/743524248.db2.gz ODWVBEKAXYTJOX-UHFFFAOYSA-N -1 1 313.317 1.386 20 0 DDADMM CN(C)c1ccc(NC(=O)c2ccc3n[n-]c(=S)n3c2)cn1 ZINC001182203088 743525970 /nfs/dbraw/zinc/52/59/70/743525970.db2.gz SZUIKNTUBWAWOY-UHFFFAOYSA-N -1 1 314.374 1.731 20 0 DDADMM CCCCN(CC)[C@@H](C(=O)N[C@@H]1CO[N-]C1=O)c1ccccc1 ZINC001182235560 743528884 /nfs/dbraw/zinc/52/88/84/743528884.db2.gz OEELQCYFKIOKHE-HUUCEWRRSA-N -1 1 319.405 1.396 20 0 DDADMM CSc1nc(NC(=O)[C@@H]2NC(=O)c3ccccc32)cc(=O)[n-]1 ZINC001182418722 743621342 /nfs/dbraw/zinc/62/13/42/743621342.db2.gz UTTDEPZRZDBXIG-LLVKDONJSA-N -1 1 316.342 1.327 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)C(=O)C1CC1)c1ccccc1N ZINC001182671039 743687516 /nfs/dbraw/zinc/68/75/16/743687516.db2.gz MPOZXBUCXFLDCD-UHFFFAOYSA-N -1 1 321.358 1.222 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)C(=O)C1CC1)c1ccccc1N ZINC001182671039 743687520 /nfs/dbraw/zinc/68/75/20/743687520.db2.gz MPOZXBUCXFLDCD-UHFFFAOYSA-N -1 1 321.358 1.222 20 0 DDADMM CC(C)(C(=O)NCc1nn[n-]n1)c1ccc(C(=O)C2CC2)cc1 ZINC001183028434 743766434 /nfs/dbraw/zinc/76/64/34/743766434.db2.gz CXTGYZAZAWDHEH-UHFFFAOYSA-N -1 1 313.361 1.386 20 0 DDADMM O=C(NC1CN([C@@H]2CCc3ccccc32)C1)c1ncccc1[O-] ZINC001030241319 743977045 /nfs/dbraw/zinc/97/70/45/743977045.db2.gz DRNLUGVPALVSPZ-OAHLLOKOSA-N -1 1 309.369 1.889 20 0 DDADMM O=C(NC1CN(C[C@H](O)C2CCCCC2)C1)c1ncccc1[O-] ZINC001030241761 743977321 /nfs/dbraw/zinc/97/73/21/743977321.db2.gz LKXBQNLUQHENRO-HNNXBMFYSA-N -1 1 319.405 1.142 20 0 DDADMM O=S(=O)([N-]CCc1cccs1)c1ccc(F)nc1F ZINC001184751843 744096130 /nfs/dbraw/zinc/09/61/30/744096130.db2.gz HBYQVJLGZBQJDO-UHFFFAOYSA-N -1 1 304.343 1.942 20 0 DDADMM COc1nc(NC(=O)c2ccc([O-])c(F)c2)c(C)c(OC)n1 ZINC001186209543 744364770 /nfs/dbraw/zinc/36/47/70/744364770.db2.gz XBQISFVIUQWEIM-UHFFFAOYSA-N -1 1 307.281 1.899 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1C[C@H](CO)[C@@H](C(F)(F)F)C1 ZINC001186318294 744373478 /nfs/dbraw/zinc/37/34/78/744373478.db2.gz GCKQYYDSIXQIPA-XCBNKYQSSA-N -1 1 307.243 1.774 20 0 DDADMM COC(=O)c1ccccc1C[N-]S(=O)(=O)c1cnoc1C ZINC001187389699 744562764 /nfs/dbraw/zinc/56/27/64/744562764.db2.gz VKPHDIOAIXTJTA-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM CCOC(=O)C1(CNC(=O)c2n[n-]nc2C(F)(F)F)CCC1 ZINC001187771215 744599170 /nfs/dbraw/zinc/59/91/70/744599170.db2.gz ZIDPGCHCUXJHLQ-UHFFFAOYSA-N -1 1 320.271 1.287 20 0 DDADMM O=C(Nc1ccc2oc(=O)[nH]c2c1)c1n[n-]nc1C(F)(F)F ZINC001187766296 744608005 /nfs/dbraw/zinc/60/80/05/744608005.db2.gz OUYRKFOUBLRKDO-UHFFFAOYSA-N -1 1 313.195 1.923 20 0 DDADMM COC(=O)[C@H]1CC[C@@H]([N-]S(=O)(=O)c2nccs2)CC1 ZINC001187913510 744629177 /nfs/dbraw/zinc/62/91/77/744629177.db2.gz YHHSVOXNSVZLSH-DTORHVGOSA-N -1 1 304.393 1.153 20 0 DDADMM O=S(=O)([N-]Cc1cccc2nccnc12)c1nccs1 ZINC001187923145 744635774 /nfs/dbraw/zinc/63/57/74/744635774.db2.gz GWNKKPXZTNGDFX-UHFFFAOYSA-N -1 1 306.372 1.565 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1ccnnc1 ZINC001187986800 744643561 /nfs/dbraw/zinc/64/35/61/744643561.db2.gz LGNXUQDHHFYYHK-UHFFFAOYSA-N -1 1 306.347 1.491 20 0 DDADMM COC(=O)c1n[nH]c(C)c1NC(=O)c1ncc(C(F)(F)F)[n-]1 ZINC001188280484 744685812 /nfs/dbraw/zinc/68/58/12/744685812.db2.gz IOZUQMFGPISJKV-UHFFFAOYSA-N -1 1 317.227 1.499 20 0 DDADMM COC(=O)c1n[nH]c(C)c1NC(=O)c1nc(C(F)(F)F)c[n-]1 ZINC001188280484 744685814 /nfs/dbraw/zinc/68/58/14/744685814.db2.gz IOZUQMFGPISJKV-UHFFFAOYSA-N -1 1 317.227 1.499 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cnc(Cl)cc1OC ZINC001188360482 744701150 /nfs/dbraw/zinc/70/11/50/744701150.db2.gz RHLUYHZYBVXCIT-UHFFFAOYSA-N -1 1 308.743 1.048 20 0 DDADMM CCN(CC)C(=O)N1CCC(NC(=O)c2ccncc2[O-])CC1 ZINC001188644480 744735422 /nfs/dbraw/zinc/73/54/22/744735422.db2.gz FOFOHNUWDWOMDW-UHFFFAOYSA-N -1 1 320.393 1.443 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1ccc(CO)o1 ZINC001189144989 744833685 /nfs/dbraw/zinc/83/36/85/744833685.db2.gz KIEKOCWXOOWOLD-UHFFFAOYSA-N -1 1 313.107 1.887 20 0 DDADMM COC(=O)c1ccncc1C(=O)[N-]c1nnc(-c2ccco2)o1 ZINC001189792933 744984117 /nfs/dbraw/zinc/98/41/17/744984117.db2.gz SMZRIYIMLOLFPJ-UHFFFAOYSA-N -1 1 314.257 1.764 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2onc(C)c2C(N)=O)c(OC)c1 ZINC001190110134 745117492 /nfs/dbraw/zinc/11/74/92/745117492.db2.gz IJHQTIYVGPASMC-UHFFFAOYSA-N -1 1 321.289 1.057 20 0 DDADMM CCCc1nnsc1C(=O)NCCCC[P@](=O)([O-])O ZINC001190170680 745137755 /nfs/dbraw/zinc/13/77/55/745137755.db2.gz SBYFESODMFWCTR-UHFFFAOYSA-N -1 1 307.312 1.178 20 0 DDADMM COC(=O)[C@@H](NC(=O)Nc1ccc([O-])c(F)c1F)C(C)C ZINC001190234503 745147110 /nfs/dbraw/zinc/14/71/10/745147110.db2.gz OBQXOZOSFPYHCH-NSHDSACASA-N -1 1 302.277 1.990 20 0 DDADMM COc1nc(C)ccc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001190813158 745354057 /nfs/dbraw/zinc/35/40/57/745354057.db2.gz XGPOUGONLWAGHP-UHFFFAOYSA-N -1 1 300.278 1.023 20 0 DDADMM COc1cc(C(=O)N2C[C@H]3CN(C)C(=O)[C@H]3C2)cc(Cl)c1[O-] ZINC001191144137 745443753 /nfs/dbraw/zinc/44/37/53/745443753.db2.gz RVSUBPWLXLLNIM-ZJUUUORDSA-N -1 1 324.764 1.214 20 0 DDADMM Cc1ccnc(NCC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001106296359 745563643 /nfs/dbraw/zinc/56/36/43/745563643.db2.gz OXPMDSKJMVKHGH-JTQLQIEISA-N -1 1 301.350 1.506 20 0 DDADMM Cn1nncc1[N-]S(=O)(=O)Cc1ccc(Cl)cc1F ZINC001191872175 745635657 /nfs/dbraw/zinc/63/56/57/745635657.db2.gz FGKSAOZLPSTQHP-UHFFFAOYSA-N -1 1 304.734 1.550 20 0 DDADMM COC(=O)[C@H]1CC[C@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192049930 745671785 /nfs/dbraw/zinc/67/17/85/745671785.db2.gz NPGLBRSUUBEEIK-WDSKDSINSA-N -1 1 324.613 1.575 20 0 DDADMM Cc1cccc2nc(CC[N-]S(=O)(=O)c3ccns3)cn21 ZINC001192167762 745706229 /nfs/dbraw/zinc/70/62/29/745706229.db2.gz RDFLSYSERHACFY-UHFFFAOYSA-N -1 1 322.415 1.620 20 0 DDADMM COC(=O)c1ccc([O-])c(C(=O)Nc2cccnc2CN)c1 ZINC001192400043 745785644 /nfs/dbraw/zinc/78/56/44/745785644.db2.gz MIPXBWKHXHKOPQ-UHFFFAOYSA-N -1 1 301.302 1.285 20 0 DDADMM CCc1c(NC(=O)c2c(F)ccc([O-])c2F)c(C(N)=O)nn1C ZINC001192527401 745820428 /nfs/dbraw/zinc/82/04/28/745820428.db2.gz WOEYEKVWOHGUOE-UHFFFAOYSA-N -1 1 324.287 1.318 20 0 DDADMM Cc1nc2nc[nH]c2c(NC(=O)c2ccc([O-])c(F)c2F)n1 ZINC001192831486 745906468 /nfs/dbraw/zinc/90/64/68/745906468.db2.gz GPEATZYJGKYVDG-UHFFFAOYSA-N -1 1 305.244 1.897 20 0 DDADMM C[C@@H](NC(=O)NCC[N-]C(=O)C(F)(F)F)c1ccc(F)cc1 ZINC001193153456 745998820 /nfs/dbraw/zinc/99/88/20/745998820.db2.gz BQWYAXNBEDLKSV-MRVPVSSYSA-N -1 1 321.274 1.864 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1nc(Cl)cnc1Cl ZINC001193208069 746014165 /nfs/dbraw/zinc/01/41/65/746014165.db2.gz RWEAIKGXDIPFOV-ZCFIWIBFSA-N -1 1 312.178 1.704 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1cncc(OC(F)F)c1 ZINC001193213124 746015378 /nfs/dbraw/zinc/01/53/78/746015378.db2.gz JKOWQSIYDCJTDP-VIFPVBQESA-N -1 1 308.306 1.604 20 0 DDADMM COc1ccnc(C(=O)Nc2ccc(C3=NCCO3)cc2)c1[O-] ZINC001193531997 746122311 /nfs/dbraw/zinc/12/23/11/746122311.db2.gz PPXGPSVDCIOFKG-UHFFFAOYSA-N -1 1 313.313 1.825 20 0 DDADMM COc1nc(NC(=O)c2nccc(OC)c2[O-])c(C)c(OC)n1 ZINC001193524890 746133732 /nfs/dbraw/zinc/13/37/32/746133732.db2.gz OQHNHXREWXCSQK-UHFFFAOYSA-N -1 1 320.305 1.164 20 0 DDADMM COc1ccnc(C(=O)Nc2cncc(C(F)(F)F)n2)c1[O-] ZINC001193525144 746134079 /nfs/dbraw/zinc/13/40/79/746134079.db2.gz WAZHMIDVHNYVJK-UHFFFAOYSA-N -1 1 314.223 1.857 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc(C(C)(C)C)nc3)c1-2 ZINC001194001649 746261889 /nfs/dbraw/zinc/26/18/89/746261889.db2.gz YGAWEXMAJRNZMT-UHFFFAOYSA-N -1 1 311.349 1.375 20 0 DDADMM O=S(=O)([N-]Cc1ccncn1)c1ncccc1C(F)(F)F ZINC001194578066 746413567 /nfs/dbraw/zinc/41/35/67/746413567.db2.gz GZWRQUWOKWZRSA-UHFFFAOYSA-N -1 1 318.280 1.369 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)N[C@@H]2CC2(F)F)c(F)cc1F ZINC001195245410 746555113 /nfs/dbraw/zinc/55/51/13/746555113.db2.gz RCUTZASMYLWVAJ-MRVPVSSYSA-N -1 1 313.228 1.349 20 0 DDADMM CCN(C)c1ncc(NC(=O)c2cc([O-])cnc2Cl)cn1 ZINC001195298871 746570855 /nfs/dbraw/zinc/57/08/55/746570855.db2.gz CLMYDXZTFHCMRR-UHFFFAOYSA-N -1 1 307.741 1.939 20 0 DDADMM CCOC(=O)[C@]12C[C@H]1CCN2C(=O)c1cc([O-])cnc1Cl ZINC001195299616 746570866 /nfs/dbraw/zinc/57/08/66/746570866.db2.gz PLWRFHAPJTWFSE-CLAHSXSESA-N -1 1 310.737 1.608 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccnc(OC)c2F)cn1 ZINC001195693703 746680491 /nfs/dbraw/zinc/68/04/91/746680491.db2.gz YKTNRTPPVLCFLZ-UHFFFAOYSA-N -1 1 313.310 1.434 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1ccc2oc(=O)ccc2c1 ZINC001195984055 746746408 /nfs/dbraw/zinc/74/64/08/746746408.db2.gz IOGQEACDAVLQRU-UHFFFAOYSA-N -1 1 311.315 1.098 20 0 DDADMM Cc1nc([C@@H]2CCCCN2C(=O)c2c[nH]c(=S)[n-]c2=O)no1 ZINC001196015000 746757740 /nfs/dbraw/zinc/75/77/40/746757740.db2.gz XXQPMYOTJAALFH-VIFPVBQESA-N -1 1 321.362 1.530 20 0 DDADMM O=C(N[C@@H]1CCCc2cccnc21)c1c[nH]c(=S)[n-]c1=O ZINC001196024406 746760222 /nfs/dbraw/zinc/76/02/22/746760222.db2.gz SAMSAYFBKCTYGD-SNVBAGLBSA-N -1 1 302.359 1.673 20 0 DDADMM COc1ccc(F)c(S(=O)(=O)[N-]C[C@@H](F)C(C)(C)O)c1 ZINC001196797133 746965037 /nfs/dbraw/zinc/96/50/37/746965037.db2.gz JWXPFXOEXCLHMT-LLVKDONJSA-N -1 1 309.334 1.222 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1nccc2cnccc21 ZINC001196922532 746997447 /nfs/dbraw/zinc/99/74/47/746997447.db2.gz MSHTXEGWPWAOOJ-UHFFFAOYSA-N -1 1 323.374 1.715 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3cccc(Cl)n3)CC2)n1 ZINC001198259070 747431978 /nfs/dbraw/zinc/43/19/78/747431978.db2.gz TVNXACYCFTXDGM-UHFFFAOYSA-N -1 1 314.754 1.394 20 0 DDADMM Cc1cccc(C)c1NC(=S)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001198381572 747471240 /nfs/dbraw/zinc/47/12/40/747471240.db2.gz QPUMNWHUKBGFGN-SECBINFHSA-N -1 1 322.415 1.125 20 0 DDADMM C[C@@H]1CCc2c(C(=O)NCCCC[P@](=O)([O-])O)n[nH]c21 ZINC001198465087 747501915 /nfs/dbraw/zinc/50/19/15/747501915.db2.gz XYLWHKDGMBFNGB-MRVPVSSYSA-N -1 1 301.283 1.147 20 0 DDADMM CCN(c1ccc(C)nn1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001089637533 747531156 /nfs/dbraw/zinc/53/11/56/747531156.db2.gz VIOSVAUZIJTYKV-GFCCVEGCSA-N -1 1 315.377 1.530 20 0 DDADMM CN1C[C@H]2CCCN(S(=O)(=O)c3ccccc3C(=O)[O-])[C@@H]2C1 ZINC001198762307 747601336 /nfs/dbraw/zinc/60/13/36/747601336.db2.gz DNEKMOPCARCGBD-DGCLKSJQSA-N -1 1 324.402 1.100 20 0 DDADMM CN1CCCC[C@@H]1CNS(=O)(=O)c1ccccc1C(=O)[O-] ZINC001198798976 747615598 /nfs/dbraw/zinc/61/55/98/747615598.db2.gz KHUCHGROTDBHAH-LLVKDONJSA-N -1 1 312.391 1.147 20 0 DDADMM Cc1cc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)n(CC(C)C)n1 ZINC001199083681 747696829 /nfs/dbraw/zinc/69/68/29/747696829.db2.gz UMSYKPZJYNIJJF-UHFFFAOYSA-N -1 1 313.365 1.448 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc(C4CCC4)nc3)c1-2 ZINC001199283390 747774040 /nfs/dbraw/zinc/77/40/40/747774040.db2.gz GMPXHQJJYPKHQE-UHFFFAOYSA-N -1 1 308.345 1.950 20 0 DDADMM CCOC(=O)[C@H](C)NC(=S)Nc1ncc(Cl)cc1[O-] ZINC001199807809 748004743 /nfs/dbraw/zinc/00/47/43/748004743.db2.gz NRAKELLQWGLIKC-LURJTMIESA-N -1 1 303.771 1.679 20 0 DDADMM [O-]c1cc(F)c(NC(=S)NCCN2CCOCC2)cc1F ZINC001200129155 748143383 /nfs/dbraw/zinc/14/33/83/748143383.db2.gz RBMABNBBGRTZOP-UHFFFAOYSA-N -1 1 317.361 1.289 20 0 DDADMM O=[P@]([O-])(O)C1(NC(=S)NC2CC2)Cc2ccccc2C1 ZINC001200635702 748298369 /nfs/dbraw/zinc/29/83/69/748298369.db2.gz REAJOWHJZZYTPY-UHFFFAOYSA-N -1 1 312.331 1.286 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-]c2cnsc2)cc1 ZINC001201110307 748411847 /nfs/dbraw/zinc/41/18/47/748411847.db2.gz UBWLBEVKPKBLDS-UHFFFAOYSA-N -1 1 312.372 1.872 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]CCc1ccncn1 ZINC001201772457 748600908 /nfs/dbraw/zinc/60/09/08/748600908.db2.gz LWZMVOKUVKQVHO-UHFFFAOYSA-N -1 1 318.811 1.416 20 0 DDADMM CC1(C)CN(C(=O)[C@]23C[C@H]2CCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996067496 749356851 /nfs/dbraw/zinc/35/68/51/749356851.db2.gz ZGFAVCOOQVVVEH-GLKRBJQHSA-N -1 1 319.409 1.027 20 0 DDADMM CC1(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)CCC1 ZINC000996139073 749392258 /nfs/dbraw/zinc/39/22/58/749392258.db2.gz ZXJMGKURPZTUKI-SNVBAGLBSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1coc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)c1 ZINC000996197838 749429107 /nfs/dbraw/zinc/42/91/07/749429107.db2.gz YNDRUNCMOLIEEC-LLVKDONJSA-N -1 1 319.365 1.052 20 0 DDADMM COc1ccc(NC(=O)NCC[N-]C(=O)C(F)(F)F)c(C)c1 ZINC001202730143 749529210 /nfs/dbraw/zinc/52/92/10/749529210.db2.gz VDBVTSIELZXGJT-UHFFFAOYSA-N -1 1 319.283 1.804 20 0 DDADMM CC1(C)CC(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001034926269 751066409 /nfs/dbraw/zinc/06/64/09/751066409.db2.gz WKHBGUBTESFBJI-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034948708 751073898 /nfs/dbraw/zinc/07/38/98/751073898.db2.gz YJLWGWDVTLDJNS-LLVKDONJSA-N -1 1 309.414 1.273 20 0 DDADMM CC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001009827173 753393892 /nfs/dbraw/zinc/39/38/92/753393892.db2.gz COEHHEADQUQXKN-AVGNSLFASA-N -1 1 303.362 1.164 20 0 DDADMM CC[C@@H](F)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005955508 753416413 /nfs/dbraw/zinc/41/64/13/753416413.db2.gz GRNJXOMHQKXFGK-MWLCHTKSSA-N -1 1 309.341 1.112 20 0 DDADMM Cc1occc1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010212196 753684701 /nfs/dbraw/zinc/68/47/01/753684701.db2.gz LZVALTXXZPLQAL-UHFFFAOYSA-N -1 1 315.329 1.287 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062841149 753753898 /nfs/dbraw/zinc/75/38/98/753753898.db2.gz YLZCGZGYSOKPDJ-VXGBXAGGSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1ccoc1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010349867 753795937 /nfs/dbraw/zinc/79/59/37/753795937.db2.gz FADCDTVCRBYJNU-UHFFFAOYSA-N -1 1 315.329 1.287 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(Cc3ncccc3C)C2)c1[O-] ZINC001010621421 754059492 /nfs/dbraw/zinc/05/94/92/754059492.db2.gz TYMBREAZMYBOJY-GFCCVEGCSA-N -1 1 315.377 1.131 20 0 DDADMM O=C(C=C1CCC1)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078593339 754204652 /nfs/dbraw/zinc/20/46/52/754204652.db2.gz BNGURPIONCWKEG-NWDGAFQWSA-N -1 1 317.393 1.138 20 0 DDADMM O=C(C=C1CCC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010976707 754285395 /nfs/dbraw/zinc/28/53/95/754285395.db2.gz XVOZLZSFIIBTSH-UHFFFAOYSA-N -1 1 301.346 1.182 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC(C)(C)C ZINC001011492570 754558251 /nfs/dbraw/zinc/55/82/51/754558251.db2.gz BEOKBWKZXZIHIA-NWDGAFQWSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CCCC1 ZINC001012151357 754891421 /nfs/dbraw/zinc/89/14/21/754891421.db2.gz YAPXDDRHAVBFSS-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)/C=C\C1CC1 ZINC001012431356 755067374 /nfs/dbraw/zinc/06/73/74/755067374.db2.gz XJFRDQIRUTWPKP-YXZYKRAASA-N -1 1 315.373 1.473 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C2(C)CC2)CN1C(=O)c1ncccc1[O-] ZINC001012505231 755112598 /nfs/dbraw/zinc/11/25/98/755112598.db2.gz IYLYTWBUORZXKH-WDEREUQCSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1Nc1cc(F)ncn1 ZINC001067076736 755707195 /nfs/dbraw/zinc/70/71/95/755707195.db2.gz UGQJNDVPAPGKFZ-VHSXEESVSA-N -1 1 317.324 1.289 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])Nc1ncnc2ccccc21 ZINC001097764480 757509310 /nfs/dbraw/zinc/50/93/10/757509310.db2.gz HVMAPHRKGCFACT-NSHDSACASA-N -1 1 323.356 1.961 20 0 DDADMM Cc1cc(N[C@H](C)CNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001097764854 757510243 /nfs/dbraw/zinc/51/02/43/757510243.db2.gz LYLFXJOGOUIGSY-SNVBAGLBSA-N -1 1 301.350 1.424 20 0 DDADMM CC(C)(F)C(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400383 757899667 /nfs/dbraw/zinc/89/96/67/757899667.db2.gz MMPRVHDKOXQOTJ-UHFFFAOYSA-N -1 1 321.352 1.424 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)CC2CC2)CN1C(=O)c1ncccc1[O-] ZINC001017913063 758382564 /nfs/dbraw/zinc/38/25/64/758382564.db2.gz UYVJDRHRRMZMLJ-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066032278 759014423 /nfs/dbraw/zinc/01/44/23/759014423.db2.gz OKOODGNFTJFZAZ-RYHWZFLVSA-N -1 1 317.389 1.864 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCCOCC1 ZINC000828406938 759640984 /nfs/dbraw/zinc/64/09/84/759640984.db2.gz APKQMPKLLLFDOK-UHFFFAOYSA-N -1 1 302.334 1.832 20 0 DDADMM C[C@H]1CN(C(=O)CC2=CCCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054493454 759720584 /nfs/dbraw/zinc/72/05/84/759720584.db2.gz YUSZNQJONLRINP-WCQYABFASA-N -1 1 319.409 1.337 20 0 DDADMM Cc1oncc1CN1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001085562329 759728843 /nfs/dbraw/zinc/72/88/43/759728843.db2.gz IXIZMXMGYDLXHF-ZDUSSCGKSA-N -1 1 316.361 1.430 20 0 DDADMM Cc1cnc(CN[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)o1 ZINC001054592268 759858265 /nfs/dbraw/zinc/85/82/65/759858265.db2.gz YIAKICWIBLLWIH-JQWIXIFHSA-N -1 1 316.361 1.334 20 0 DDADMM Cc1csc(C(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001054641450 759935357 /nfs/dbraw/zinc/93/53/57/759935357.db2.gz GILTZAWHXNLZJE-ZJUUUORDSA-N -1 1 321.406 1.131 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)/C=C/C1CC1 ZINC001020144487 760621145 /nfs/dbraw/zinc/62/11/45/760621145.db2.gz HISCMFRDMSUEOY-XTYWZOAOSA-N -1 1 315.373 1.473 20 0 DDADMM C[C@@H](F)CCN1CC[C@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001038923367 761548955 /nfs/dbraw/zinc/54/89/55/761548955.db2.gz RYVUTMHZBWFNPM-ZJUUUORDSA-N -1 1 322.340 1.481 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001542512 762947304 /nfs/dbraw/zinc/94/73/04/762947304.db2.gz UEWAXZWBSMQYNY-JQWIXIFHSA-N -1 1 303.362 1.022 20 0 DDADMM CC(C)C(=O)N[C@@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531123 763716831 /nfs/dbraw/zinc/71/68/31/763716831.db2.gz RAHLPYYLLVNMSN-RYUDHWBXSA-N -1 1 305.378 1.410 20 0 DDADMM Cc1nsc(N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001057623635 764157348 /nfs/dbraw/zinc/15/73/48/764157348.db2.gz MWSPPZWLRXEKOK-JTQLQIEISA-N -1 1 319.390 1.664 20 0 DDADMM CC(C)COCCN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112782124 764754401 /nfs/dbraw/zinc/75/44/01/764754401.db2.gz FJSUTKUTQHITLM-UHFFFAOYSA-N -1 1 307.394 1.218 20 0 DDADMM CCOC(=O)c1ccnc(Nc2[n-]c(=O)nc3nc[nH]c32)c1C ZINC001170224539 766198349 /nfs/dbraw/zinc/19/83/49/766198349.db2.gz ZKBLIYXWDCKXDD-UHFFFAOYSA-N -1 1 314.305 1.682 20 0 DDADMM COC(=O)C=Cc1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001170230349 766205081 /nfs/dbraw/zinc/20/50/81/766205081.db2.gz PLROUWUMPPZMPP-QPJJXVBHSA-N -1 1 311.301 1.988 20 0 DDADMM CC[C@@H](Cc1ccc2c(c1)OCO2)[NH2+]CCP(=O)([O-])[O-] ZINC001170406864 766296487 /nfs/dbraw/zinc/29/64/87/766296487.db2.gz FVQGZVCHHWZVDQ-NSHDSACASA-N -1 1 301.279 1.504 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cccc(C)c3F)nc2n1 ZINC001129521243 766925961 /nfs/dbraw/zinc/92/59/61/766925961.db2.gz YAHKBGXFCDHZOE-UHFFFAOYSA-N -1 1 301.281 1.426 20 0 DDADMM C[C@@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)C(=O)OC(C)(C)C ZINC001129639260 766955185 /nfs/dbraw/zinc/95/51/85/766955185.db2.gz KFRSTKXLYRRAQX-VIFPVBQESA-N -1 1 321.377 1.977 20 0 DDADMM CC[C@@H](F)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648702 768643117 /nfs/dbraw/zinc/64/31/17/768643117.db2.gz UBNFXIQBUTUJGL-GHMZBOCLSA-N -1 1 309.341 1.256 20 0 DDADMM Cc1cnn(C)c1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001136819612 772160843 /nfs/dbraw/zinc/16/08/43/772160843.db2.gz VTMVSJZVBZHOHN-UHFFFAOYSA-N -1 1 313.321 1.073 20 0 DDADMM COC[C@H]1CN(Cc2sccc2C(=O)[O-])Cc2cn(C)nc21 ZINC001144671717 772591446 /nfs/dbraw/zinc/59/14/46/772591446.db2.gz NQOULOQNWDPLDG-LLVKDONJSA-N -1 1 321.402 1.926 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1C[C@@H]2C[C@H]1C(=O)O2 ZINC001148800568 773604744 /nfs/dbraw/zinc/60/47/44/773604744.db2.gz HPXTYCIOOPGYSU-CBAPKCEASA-N -1 1 301.220 1.551 20 0 DDADMM O=C(NCc1ccncn1)c1cc([O-])c(Br)c(O)c1 ZINC001148990155 773666388 /nfs/dbraw/zinc/66/63/88/773666388.db2.gz PAEIMCDLKJITSV-UHFFFAOYSA-N -1 1 324.134 1.580 20 0 DDADMM COc1ccc(OC)c(OC)c1Nc1c(N)[nH]c(=S)[n-]c1=O ZINC001174054318 777397236 /nfs/dbraw/zinc/39/72/36/777397236.db2.gz XVTDZAXUFRYPTJ-UHFFFAOYSA-N -1 1 324.362 1.823 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3n[nH]cc3c2)c1 ZINC001174276418 777451701 /nfs/dbraw/zinc/45/17/01/777451701.db2.gz UGXUMMDBMYHTLG-UHFFFAOYSA-N -1 1 303.347 1.528 20 0 DDADMM COCCn1cc(Nc2cc(S(C)(=O)=O)ccc2[O-])cn1 ZINC001174552417 777517961 /nfs/dbraw/zinc/51/79/61/777517961.db2.gz LVMZVZBEASXQMN-UHFFFAOYSA-N -1 1 311.363 1.382 20 0 DDADMM Nc1cccc(N(c2c(F)cc([O-])cc2F)S(N)(=O)=O)c1 ZINC001175099759 777677565 /nfs/dbraw/zinc/67/75/65/777677565.db2.gz RTGRMGLTZBEJQQ-UHFFFAOYSA-N -1 1 315.301 1.594 20 0 DDADMM CC(C)c1nc(CC(=O)Nc2ccc3[nH][n-]c(=O)c3c2)no1 ZINC001175266925 777739868 /nfs/dbraw/zinc/73/98/68/777739868.db2.gz ZYHVSVVRHBMHLA-UHFFFAOYSA-N -1 1 301.306 1.956 20 0 DDADMM Cn1cc2c(cccc2[N-]S(=O)(=O)c2ccc(O)c(N)c2)n1 ZINC001175595303 777836193 /nfs/dbraw/zinc/83/61/93/777836193.db2.gz YCHUHFJNXOTVMD-UHFFFAOYSA-N -1 1 318.358 1.662 20 0 DDADMM COc1[nH+]ccc(N)c1Nc1cc(C(=O)[O-])cc(C(=O)[O-])c1 ZINC001176240479 778090945 /nfs/dbraw/zinc/09/09/45/778090945.db2.gz XOAFJIAPROILLM-UHFFFAOYSA-N -1 1 303.274 1.812 20 0 DDADMM CNc1ccc(C(F)(F)F)cc1NC(=O)Cc1nn[n-]n1 ZINC001176842755 778271969 /nfs/dbraw/zinc/27/19/69/778271969.db2.gz QVUBFCFTRYIAMQ-UHFFFAOYSA-N -1 1 300.244 1.441 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1nccc(OC)n1 ZINC001103069519 778618050 /nfs/dbraw/zinc/61/80/50/778618050.db2.gz VBNUKTUFWNKHQN-SNVBAGLBSA-N -1 1 317.349 1.206 20 0 DDADMM C[C@H](C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O)c1cccnc1 ZINC001177784337 778735610 /nfs/dbraw/zinc/73/56/10/778735610.db2.gz IAUFOCVEFUXEGW-QMMMGPOBSA-N -1 1 316.321 1.783 20 0 DDADMM Cc1ccnc(C2(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)CC2)c1 ZINC001178072774 778885037 /nfs/dbraw/zinc/88/50/37/778885037.db2.gz RYXPEFZEWHAXAH-UHFFFAOYSA-N -1 1 310.317 1.432 20 0 DDADMM CC(C)(C(=O)NCCCC[P@](=O)([O-])O)c1ncccc1F ZINC001178089731 778894940 /nfs/dbraw/zinc/89/49/40/778894940.db2.gz MXLWUYVSEJZPTO-UHFFFAOYSA-N -1 1 318.285 1.572 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cnn2ncccc12 ZINC001178784701 779169653 /nfs/dbraw/zinc/16/96/53/779169653.db2.gz BXGHQAKEHHJIBA-UHFFFAOYSA-N -1 1 318.283 1.686 20 0 DDADMM CC/C(C)=C\C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104162417 779344170 /nfs/dbraw/zinc/34/41/70/779344170.db2.gz NNPVKOGNGOTSPI-CUOXCHRPSA-N -1 1 321.425 1.441 20 0 DDADMM O=C(Cn1c2ccccc2c2ccccc21)NCc1nn[n-]n1 ZINC001180078364 779613873 /nfs/dbraw/zinc/61/38/73/779613873.db2.gz BSWUZWBIWJVVFX-UHFFFAOYSA-N -1 1 306.329 1.624 20 0 DDADMM Cc1cc(C)cc(OCC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)c1 ZINC001180538528 779820728 /nfs/dbraw/zinc/82/07/28/779820728.db2.gz NSPSRZYQXWTAAK-UHFFFAOYSA-N -1 1 304.306 1.104 20 0 DDADMM C/C(=C\C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C(C)C)C1CC1 ZINC001267235939 837577672 /nfs/dbraw/zinc/57/76/72/837577672.db2.gz MBASWAVIXMCOJH-FMIVXFBMSA-N -1 1 321.425 1.583 20 0 DDADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCCC[C@H]1C1CC1 ZINC001266788054 836836583 /nfs/dbraw/zinc/83/65/83/836836583.db2.gz SBEXBZOWYBFIEF-QWHCGFSZSA-N -1 1 321.425 1.275 20 0 DDADMM C[C@@H](C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)[C@H](C)C(C)(C)C ZINC001266882645 836991159 /nfs/dbraw/zinc/99/11/59/836991159.db2.gz MLSBXVIESDBAEY-MNOVXSKESA-N -1 1 311.430 1.377 20 0 DDADMM CC(C)N(CCCNC(=O)C1(C)CC=CC1)Cc1n[nH]c(=O)[n-]1 ZINC001267508494 838210102 /nfs/dbraw/zinc/21/01/02/838210102.db2.gz XJCCZAZQVMYUKF-UHFFFAOYSA-N -1 1 321.425 1.583 20 0 DDADMM CC(C)=C(C)CC(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001267669522 838611442 /nfs/dbraw/zinc/61/14/42/838611442.db2.gz GCRGUFMPKQCWDK-CYBMUJFWSA-N -1 1 321.425 1.537 20 0 DDADMM CC[C@H]1CCC[C@@H]1C(=O)N(CC)CCNCc1n[nH]c(=O)[n-]1 ZINC001268477304 840265444 /nfs/dbraw/zinc/26/54/44/840265444.db2.gz PCBDOJBKRSTFFU-RYUDHWBXSA-N -1 1 309.414 1.275 20 0 DDADMM NC(=O)c1[nH]nc2c1CN(C(=O)c1c([O-])ccc3ccccc31)C2 ZINC001269088388 841190619 /nfs/dbraw/zinc/19/06/19/841190619.db2.gz BVTPWZHQYNLOTO-UHFFFAOYSA-N -1 1 322.324 1.523 20 0 DDADMM CC(C)(C)C(=O)Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001142776471 861257294 /nfs/dbraw/zinc/25/72/94/861257294.db2.gz NRALXURGPSVNPP-UHFFFAOYSA-N -1 1 313.317 1.958 20 0 DDADMM NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1cc3cccc(O)c3cc1[O-])C2 ZINC001270617817 842721774 /nfs/dbraw/zinc/72/17/74/842721774.db2.gz HOTWZGHNBGLATD-BMLIUANNSA-N -1 1 312.325 1.198 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CC[C@H](C2CC2)O1)C(C)C ZINC001364105501 843011353 /nfs/dbraw/zinc/01/13/53/843011353.db2.gz AABOBLULXOEPBD-YNEHKIRRSA-N -1 1 319.423 1.061 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C/C=C/c2cccnc2)c1 ZINC001414301535 843601644 /nfs/dbraw/zinc/60/16/44/843601644.db2.gz LYAVOUCZZMHORK-HWKANZROSA-N -1 1 322.342 1.453 20 0 DDADMM CCN(C/C=C/Cl)[C@H](C)CNC(=O)c1c(C)nc[nH]c1=O ZINC001154779762 861445399 /nfs/dbraw/zinc/44/53/99/861445399.db2.gz CWDNLZMHTJIBJD-BRAIEQGRSA-N -1 1 312.801 1.683 20 0 DDADMM C/C=C(/C)C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001271729582 843817142 /nfs/dbraw/zinc/81/71/42/843817142.db2.gz FBKUTLYVYHBKTN-BERURPQDSA-N -1 1 319.409 1.336 20 0 DDADMM CC(C)C1(C(C)C)OC[C@@H](CCOCC[P@](=O)([O-])O)O1 ZINC001224602589 844921942 /nfs/dbraw/zinc/92/19/42/844921942.db2.gz SBOCCNDQMZEXCE-GFCCVEGCSA-N -1 1 310.327 1.995 20 0 DDADMM Cn1ncc2c1ncnc2NC(=O)c1ccc2cccnc2c1[O-] ZINC001149314365 861539389 /nfs/dbraw/zinc/53/93/89/861539389.db2.gz FSWRVDJDGZQSDV-UHFFFAOYSA-N -1 1 320.312 1.869 20 0 DDADMM C[C@@]1(O)CCN(C(=O)c2ccc3cccnc3c2[O-])C[C@@H]1F ZINC001149318246 861542172 /nfs/dbraw/zinc/54/21/72/861542172.db2.gz ABMPZMWAYJDFLX-BLLLJJGKSA-N -1 1 304.321 1.875 20 0 DDADMM CS[C@H](C)C(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001409664855 845524426 /nfs/dbraw/zinc/52/44/26/845524426.db2.gz SNBIPEWSFCWORO-NXEZZACHSA-N -1 1 311.407 1.021 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCC(=O)OC(C)(C)C ZINC001365684386 846396938 /nfs/dbraw/zinc/39/69/38/846396938.db2.gz VEEJUHRHSACHMW-UHFFFAOYSA-N -1 1 322.408 1.162 20 0 DDADMM Cc1[nH]nc(C(=O)N2C[C@@H]3C[C@H]2CN3CC2=CCCCC2)c1[O-] ZINC001032356783 846898997 /nfs/dbraw/zinc/89/89/97/846898997.db2.gz ZBYKJELKZXMZMX-KBPBESRZSA-N -1 1 316.405 1.823 20 0 DDADMM CCN=c1ncc(N)cn1C(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149650323 861784405 /nfs/dbraw/zinc/78/44/05/861784405.db2.gz LFEWNHGZICWSAO-UHFFFAOYSA-N -1 1 324.340 1.639 20 0 DDADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)C(F)=C1CCCC1 ZINC001280475380 847615657 /nfs/dbraw/zinc/61/56/57/847615657.db2.gz ZFSKRMBHBJAXJY-UHFFFAOYSA-N -1 1 323.372 1.436 20 0 DDADMM C[C@@]1(CO)CCCN(C(=O)c2[n-][nH]c3cc(=O)ccc2-3)CC1 ZINC001155261381 861908091 /nfs/dbraw/zinc/90/80/91/861908091.db2.gz PSXBBZIYNPWATG-MRXNPFEDSA-N -1 1 303.362 1.893 20 0 DDADMM CN(C(=O)c1[n-][nH]c2cc(=O)ccc1-2)[C@@H]1CCC2(C1)OCCO2 ZINC001155266372 861911368 /nfs/dbraw/zinc/91/13/68/861911368.db2.gz DPOGTPJNZYOJFU-SNVBAGLBSA-N -1 1 317.345 1.636 20 0 DDADMM CCCC(=O)N1CC[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001411030330 850130116 /nfs/dbraw/zinc/13/01/16/850130116.db2.gz MEQAIYXLXQYBBN-INIZCTEOSA-N -1 1 305.378 1.556 20 0 DDADMM CC(=O)NC[C@H](C)CNC(=O)c1ccc2ccc(C)nc2c1[O-] ZINC001155661036 862351051 /nfs/dbraw/zinc/35/10/51/862351051.db2.gz MAMPKJUMHGQQBN-JTQLQIEISA-N -1 1 315.373 1.751 20 0 DDADMM Cc1cc(C)n2nc(C(=O)[N-]c3nnc(C(C)(C)C)o3)nc2n1 ZINC001150704288 862424326 /nfs/dbraw/zinc/42/43/26/862424326.db2.gz IBYHEKBEBIZBLU-UHFFFAOYSA-N -1 1 315.337 1.674 20 0 DDADMM C[C@@H](NC(=O)c1cnn[nH]1)[C@H](C)NC(=O)C1(C)CCCCC1 ZINC001411427509 853096559 /nfs/dbraw/zinc/09/65/59/853096559.db2.gz OFVGREMCEFIHMM-MNOVXSKESA-N -1 1 307.398 1.398 20 0 DDADMM Cn1ccc([N-]C(=O)C(F)(F)c2cccc(Cl)c2)nc1=O ZINC001411465146 853160366 /nfs/dbraw/zinc/16/03/66/853160366.db2.gz TVFOJOPSYVJWGT-UHFFFAOYSA-N -1 1 313.691 1.998 20 0 DDADMM Cc1cc2cc(C(=O)Nc3c(N)[nH]c(=O)[n-]c3=O)ccc2o1 ZINC001150739237 862444807 /nfs/dbraw/zinc/44/48/07/862444807.db2.gz YQNNCKGODREDPS-UHFFFAOYSA-N -1 1 300.274 1.777 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cn2cccnc2n1 ZINC001150829297 862484691 /nfs/dbraw/zinc/48/46/91/862484691.db2.gz VZXAVYWJRHDZJR-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM O=C(N[C@H]1CCN(c2ccccn2)C1)c1ccc(F)c([O-])c1 ZINC001411936420 853998372 /nfs/dbraw/zinc/99/83/72/853998372.db2.gz IQCNETRSUVALNA-LBPRGKRZSA-N -1 1 301.321 1.935 20 0 DDADMM C[C@@H](NC(=O)CCCc1nn[n-]n1)c1ccc([S@](C)=O)cc1 ZINC001411993006 854084415 /nfs/dbraw/zinc/08/44/15/854084415.db2.gz JIJMSOBUZCUCDT-STFLBKPXSA-N -1 1 321.406 1.137 20 0 DDADMM O=C(Nc1cncc(F)c1)c1nc[n-]c(=O)c1Br ZINC001412080800 854202479 /nfs/dbraw/zinc/20/24/79/854202479.db2.gz FRJFFCUAPJHSNG-UHFFFAOYSA-N -1 1 313.086 1.731 20 0 DDADMM CC(C)(C)OC(=O)N=c1[n-]cc(C(=O)Nn2ccnn2)s1 ZINC001412152462 854269567 /nfs/dbraw/zinc/26/95/67/854269567.db2.gz BUYRRQPPTQGUOP-UHFFFAOYSA-N -1 1 310.339 1.466 20 0 DDADMM [O-]c1ccc(CN2CC3(CN(Cc4cn[nH]c4)C3)C2)c(F)c1F ZINC001276151975 854841340 /nfs/dbraw/zinc/84/13/40/854841340.db2.gz STSVVHDIHULGAE-UHFFFAOYSA-N -1 1 320.343 1.711 20 0 DDADMM O=C(Cc1nc(C2CC2)cs1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001412736569 855046274 /nfs/dbraw/zinc/04/62/74/855046274.db2.gz VDLJSLYVJQKECN-JTQLQIEISA-N -1 1 318.406 1.482 20 0 DDADMM CCn1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(C(C)C)n1 ZINC001412795333 855279409 /nfs/dbraw/zinc/27/94/09/855279409.db2.gz NDCOWYQCVQMQDJ-JTQLQIEISA-N -1 1 305.386 1.415 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)NC(=O)C1CCCC1)c1nn[n-]n1 ZINC001412939421 855796022 /nfs/dbraw/zinc/79/60/22/855796022.db2.gz YMKWKHNDBWPYGH-JTQLQIEISA-N -1 1 322.413 1.026 20 0 DDADMM O=C(Nc1ccc2c(c1)C(=O)NCC2)c1cnc(C2CC2)[n-]c1=O ZINC001413040961 856024613 /nfs/dbraw/zinc/02/46/13/856024613.db2.gz MSSSGKVXBXIQNR-UHFFFAOYSA-N -1 1 324.340 1.598 20 0 DDADMM COc1cccnc1CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC001413111897 856446550 /nfs/dbraw/zinc/44/65/50/856446550.db2.gz BMPDCIZPWFFINF-UHFFFAOYSA-N -1 1 320.374 1.546 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](CC(C)C)OC)n[n-]1 ZINC001413268652 856595196 /nfs/dbraw/zinc/59/51/96/856595196.db2.gz IIDAIQHENRIFRT-UWVGGRQHSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](CC(C)C)OC)[n-]1 ZINC001413268652 856595199 /nfs/dbraw/zinc/59/51/99/856595199.db2.gz IIDAIQHENRIFRT-UWVGGRQHSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](CC(C)C)OC)n1 ZINC001413268652 856595207 /nfs/dbraw/zinc/59/52/07/856595207.db2.gz IIDAIQHENRIFRT-UWVGGRQHSA-N -1 1 312.370 1.220 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2CCC23CCOCC3)c(=O)[n-]1 ZINC001413418109 856783475 /nfs/dbraw/zinc/78/34/75/856783475.db2.gz QIMSCPCAERLYJU-JTQLQIEISA-N -1 1 323.418 1.841 20 0 DDADMM CC(C)c1oncc1[N-]C(=O)c1ccc(S(N)(=O)=O)s1 ZINC001413567830 857083730 /nfs/dbraw/zinc/08/37/30/857083730.db2.gz XYWCLZWSGOZFMH-UHFFFAOYSA-N -1 1 315.376 1.759 20 0 DDADMM CC[C@H](C)S(=O)(=O)Nc1ncc(Br)[n-]c1=O ZINC001260751245 857086563 /nfs/dbraw/zinc/08/65/63/857086563.db2.gz YAIWFPNFPSGMOU-YFKPBYRVSA-N -1 1 310.173 1.073 20 0 DDADMM CSc1ncc(C(=O)N(C)Cc2scnc2C)c(=O)[n-]1 ZINC001465028406 857323026 /nfs/dbraw/zinc/32/30/26/857323026.db2.gz AAXDRXNOJPNARE-UHFFFAOYSA-N -1 1 310.404 1.941 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1CCO[C@@H](C(C)C)C1 ZINC001123680332 859357948 /nfs/dbraw/zinc/35/79/48/859357948.db2.gz HUNZVKOEELVXMN-VHSXEESVSA-N -1 1 311.407 1.713 20 0 DDADMM O=C(c1cccc2cc[nH]c21)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123910014 859467447 /nfs/dbraw/zinc/46/74/47/859467447.db2.gz DERSSZVDHIVXKV-NSHDSACASA-N -1 1 310.361 1.776 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2CC[C@@H]1[C@@H]2OCC[P@](=O)([O-])O ZINC001224601269 881463043 /nfs/dbraw/zinc/46/30/43/881463043.db2.gz FNWKGPXMSRBEKZ-GMTAPVOTSA-N -1 1 321.310 1.579 20 0 DDADMM CCO[C@@H]1CCN(Cc2cccc(OC)c2OCC(=O)[O-])C1 ZINC001139269160 860345064 /nfs/dbraw/zinc/34/50/64/860345064.db2.gz DBYWNZKLKMJKIS-CYBMUJFWSA-N -1 1 309.362 1.769 20 0 DDADMM O=C(c1cccc(F)c1)N1CCN(Cc2ccncc2[O-])CC1 ZINC001140278123 860609028 /nfs/dbraw/zinc/60/90/28/860609028.db2.gz NXRATVFXGFYFEV-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM O=C([O-])c1ccccc1CN1CCN(C[C@H]2CCCO2)CC1 ZINC001140506349 860647841 /nfs/dbraw/zinc/64/78/41/860647841.db2.gz GKJMWXNRZAVMHB-OAHLLOKOSA-N -1 1 304.390 1.681 20 0 DDADMM [O-]c1c(F)cc(CN2CCN(c3ncccn3)CC2)cc1F ZINC001140989348 860753448 /nfs/dbraw/zinc/75/34/48/860753448.db2.gz FZURZOHXLJQPBN-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(Br)cc2[nH]ccc21 ZINC001154186225 860852289 /nfs/dbraw/zinc/85/22/89/860852289.db2.gz GXCPBBSSPFJVDM-UHFFFAOYSA-N -1 1 321.138 1.374 20 0 DDADMM O=C(Cn1cccnc1=O)Nc1cccc(C(F)(F)F)c1[O-] ZINC001141954747 860980963 /nfs/dbraw/zinc/98/09/63/860980963.db2.gz ZXHKZTUXVOISTF-UHFFFAOYSA-N -1 1 313.235 1.606 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1[nH]nc2cc(F)ccc21 ZINC001154353740 861031154 /nfs/dbraw/zinc/03/11/54/861031154.db2.gz XHHRPXIPOIZNOP-UHFFFAOYSA-N -1 1 313.252 1.326 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1onc2ccccc21 ZINC001154379278 861057483 /nfs/dbraw/zinc/05/74/83/861057483.db2.gz FLUZPDXKJDZNGS-UHFFFAOYSA-N -1 1 312.245 1.109 20 0 DDADMM O=C(COc1cccnc1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001142310784 861114426 /nfs/dbraw/zinc/11/44/26/861114426.db2.gz TYSDNPHPMJPLSG-UHFFFAOYSA-N -1 1 310.313 1.990 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cccc2cncnc21 ZINC001156607404 863185051 /nfs/dbraw/zinc/18/50/51/863185051.db2.gz CTPMEPNRPYISCA-UHFFFAOYSA-N -1 1 312.245 1.481 20 0 DDADMM O=C(Cn1nc2n(c1=O)CCCCC2)Nc1cccc(F)c1[O-] ZINC001329102225 863477764 /nfs/dbraw/zinc/47/77/64/863477764.db2.gz DNILTAPOHUIOMN-UHFFFAOYSA-N -1 1 320.324 1.255 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCC2(C1)OCCO2 ZINC001152671193 863485976 /nfs/dbraw/zinc/48/59/76/863485976.db2.gz BWSMBDXJBYPJJE-UHFFFAOYSA-N -1 1 316.313 1.235 20 0 DDADMM Cc1cnnc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)c1 ZINC001157155837 863619679 /nfs/dbraw/zinc/61/96/79/863619679.db2.gz FHEAKKRDMAMDFU-UHFFFAOYSA-N -1 1 305.274 1.870 20 0 DDADMM O=C(c1cc2ccccc2[nH]c1=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001153001030 863645014 /nfs/dbraw/zinc/64/50/14/863645014.db2.gz IJMWIYSADYYRDG-SNVBAGLBSA-N -1 1 310.317 1.083 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cnc2ccnn2c1 ZINC001153049341 863679579 /nfs/dbraw/zinc/67/95/79/863679579.db2.gz KOAFBSPVZPNNCW-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)[C@H]2CC[C@H]2C(=O)OC)[n-]1 ZINC001361516766 881810996 /nfs/dbraw/zinc/81/09/96/881810996.db2.gz NAXWFTDUQOTBPH-DTWKUNHWSA-N -1 1 322.317 1.142 20 0 DDADMM CCCCNC(=O)C1CCN(Cc2cccc(C(=O)[O-])n2)CC1 ZINC001331949543 865453216 /nfs/dbraw/zinc/45/32/16/865453216.db2.gz VGZYPFFZRYYJSY-UHFFFAOYSA-N -1 1 319.405 1.908 20 0 DDADMM CC(C)(C)OC(=O)c1ccnc([N-]c2nccnc2CN)n1 ZINC001160246195 865745885 /nfs/dbraw/zinc/74/58/85/865745885.db2.gz WAVLFZBWNDTDKI-UHFFFAOYSA-N -1 1 302.338 1.424 20 0 DDADMM O=C([O-])[C@H](CO)NCc1ccc(Br)c(F)c1F ZINC001333185499 866496305 /nfs/dbraw/zinc/49/63/05/866496305.db2.gz MGOKVLRAFSKRJN-ZETCQYMHSA-N -1 1 310.094 1.262 20 0 DDADMM COC(=O)N[C@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)C(C)C ZINC001361605315 881990118 /nfs/dbraw/zinc/99/01/18/881990118.db2.gz SUHADCMZESNWFJ-NSHDSACASA-N -1 1 322.365 1.380 20 0 DDADMM CCN(C(=O)C(=O)NCCc1c(F)cc([O-])cc1F)C1CC1 ZINC001333320011 866630546 /nfs/dbraw/zinc/63/05/46/866630546.db2.gz UJWIKDAURSVWGA-UHFFFAOYSA-N -1 1 312.316 1.340 20 0 DDADMM COC(=O)c1ncc2n1CCC[C@@H]2Oc1cc(=O)[n-]c(=S)[nH]1 ZINC001225763389 882017690 /nfs/dbraw/zinc/01/76/90/882017690.db2.gz ZCGAURZUTUIMGI-QMMMGPOBSA-N -1 1 322.346 1.368 20 0 DDADMM O=C(COCc1ccncc1)OCCC[N-]C(=O)C(F)(F)F ZINC001324504360 867318112 /nfs/dbraw/zinc/31/81/12/867318112.db2.gz GZRFJCFLQXWJDO-UHFFFAOYSA-N -1 1 320.267 1.210 20 0 DDADMM COc1ccc(NC(C)=O)c(NC(=O)CCCc2nn[n-]n2)c1 ZINC001361678987 882145095 /nfs/dbraw/zinc/14/50/95/882145095.db2.gz HKUDHSMUMAIJQY-UHFFFAOYSA-N -1 1 318.337 1.128 20 0 DDADMM CC/C(C)=C/C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001334996172 867982548 /nfs/dbraw/zinc/98/25/48/867982548.db2.gz DJGWGAGDTJXCDV-JATZPVMKSA-N -1 1 317.389 1.720 20 0 DDADMM O=C(C(=O)N1CCC[C@@H](C(=O)NC2CC2)C1)c1ccc([O-])cc1 ZINC001322914663 868461066 /nfs/dbraw/zinc/46/10/66/868461066.db2.gz HTLHLAMGHJHUIT-GFCCVEGCSA-N -1 1 316.357 1.092 20 0 DDADMM O=C(CCC1CCC1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164002889 868865698 /nfs/dbraw/zinc/86/56/98/868865698.db2.gz HEZSQTSIVJJVPP-UHFFFAOYSA-N -1 1 321.425 1.467 20 0 DDADMM COC(=O)c1ccc(C)c(NCC[N-]C(=O)C(F)(F)F)n1 ZINC001164238595 869044972 /nfs/dbraw/zinc/04/49/72/869044972.db2.gz YHDLORLYHZQSOC-UHFFFAOYSA-N -1 1 305.256 1.267 20 0 DDADMM O=C(c1cnncc1[O-])N1CC[C@@]2(CC(F)(F)C2(F)F)C1 ZINC001336644542 869068970 /nfs/dbraw/zinc/06/89/70/869068970.db2.gz STEIPKYPVMJMTC-SNVBAGLBSA-N -1 1 305.231 1.689 20 0 DDADMM CC[C@@H](C)CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164284950 869086810 /nfs/dbraw/zinc/08/68/10/869086810.db2.gz JTJMHSYLDHBGJE-LLVKDONJSA-N -1 1 309.414 1.323 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cccc(C(=O)NC2CC2)c1 ZINC001361749378 882289330 /nfs/dbraw/zinc/28/93/30/882289330.db2.gz QJWRMEVUXRDGGX-UHFFFAOYSA-N -1 1 314.349 1.053 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@]1(C)C=CCC1)NC(=O)c1ncccc1[O-] ZINC001337385079 869462723 /nfs/dbraw/zinc/46/27/23/869462723.db2.gz WCNXNGNQTAUJHW-PXAZEXFGSA-N -1 1 317.389 1.720 20 0 DDADMM C=CC(=O)NCc1cccc(-c2nc(C(=O)OCC)n[n-]2)c1 ZINC001338393232 869957797 /nfs/dbraw/zinc/95/77/97/869957797.db2.gz CJRYKMJOHKUGKX-UHFFFAOYSA-N -1 1 300.318 1.451 20 0 DDADMM Cc1ccc2c(c1)CCN(c1nnc(-c3noc(=O)[n-]3)n1C)C2 ZINC001338561138 870045565 /nfs/dbraw/zinc/04/55/65/870045565.db2.gz OBZFNZQROMYGKJ-UHFFFAOYSA-N -1 1 312.333 1.030 20 0 DDADMM CC(C)N(Cc1ccc(F)cc1)c1nnc(-c2nnn[n-]2)n1C ZINC001339440918 870498852 /nfs/dbraw/zinc/49/88/52/870498852.db2.gz QZKLLDZGHREFNV-UHFFFAOYSA-N -1 1 316.344 1.549 20 0 DDADMM CC(C)N(Cc1ccc(F)cc1)c1nnc(-c2nn[n-]n2)n1C ZINC001339440918 870498855 /nfs/dbraw/zinc/49/88/55/870498855.db2.gz QZKLLDZGHREFNV-UHFFFAOYSA-N -1 1 316.344 1.549 20 0 DDADMM CCc1nc(OC)ccc1[N-]S(=O)(=O)c1ccc(N)c(N)c1 ZINC001203589909 870655759 /nfs/dbraw/zinc/65/57/59/870655759.db2.gz HHBHALBQARYYFA-UHFFFAOYSA-N -1 1 322.390 1.618 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1ccsc1 ZINC001363973288 887643958 /nfs/dbraw/zinc/64/39/58/887643958.db2.gz KMIYTGVSCUBUGP-UHFFFAOYSA-N -1 1 304.418 1.734 20 0 DDADMM CSc1nc(CNC(=O)c2ccc3cccnn32)cc(=O)[n-]1 ZINC001299998202 870950972 /nfs/dbraw/zinc/95/09/72/870950972.db2.gz BVWSRVVYDVTBBC-UHFFFAOYSA-N -1 1 315.358 1.482 20 0 DDADMM Cn1ncc(C2CC2)c1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001301648419 871016737 /nfs/dbraw/zinc/01/67/37/871016737.db2.gz CGIGCYBYWIJDPJ-CYBMUJFWSA-N -1 1 323.360 1.330 20 0 DDADMM O=C(/C=C\Cn1cncn1)NCCc1c(F)cc([O-])cc1F ZINC001304012125 871176647 /nfs/dbraw/zinc/17/66/47/871176647.db2.gz GVAZEIOMSBFUPT-UPHRSURJSA-N -1 1 308.288 1.177 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N(C)[C@H](C)c1ccccc1 ZINC001341772547 871817233 /nfs/dbraw/zinc/81/72/33/871817233.db2.gz VCGKOPHKXBRJFK-SNVBAGLBSA-N -1 1 314.349 1.839 20 0 DDADMM O=C(c1cnncc1[O-])N1CCCC[C@H]1c1noc(C2CC2)n1 ZINC001361906300 882616159 /nfs/dbraw/zinc/61/61/59/882616159.db2.gz RHIMQSGDYLXUEK-NSHDSACASA-N -1 1 315.333 1.810 20 0 DDADMM Nc1cn[n-]c(=NNC(=S)NCCc2ccccc2)c1Cl ZINC001319192171 872490642 /nfs/dbraw/zinc/49/06/42/872490642.db2.gz JXCWKBZGSOLJHY-UHFFFAOYSA-N -1 1 322.825 1.746 20 0 DDADMM CCOC(=O)c1nc(NC(=O)Cn2cc(C)cn2)[n-]c1Cl ZINC001361927405 882654354 /nfs/dbraw/zinc/65/43/54/882654354.db2.gz XQCMRPLSLLFKMS-UHFFFAOYSA-N -1 1 311.729 1.383 20 0 DDADMM O=C([O-])[C@@]1(C(=O)N2CCCC3(CCCC3)CC2)CNCCO1 ZINC001343987837 872829819 /nfs/dbraw/zinc/82/98/19/872829819.db2.gz XKIKSCQWIYUPBN-INIZCTEOSA-N -1 1 310.394 1.003 20 0 DDADMM CC[C@@H](F)C(=O)N(C)[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001381800151 882696353 /nfs/dbraw/zinc/69/63/53/882696353.db2.gz ADUNYUDCZXUSTN-NEPJUHHUSA-N -1 1 323.368 1.502 20 0 DDADMM CSc1ncc(C(=O)Nc2cccnc2N(C)C)c(=O)[n-]1 ZINC001361957215 882708397 /nfs/dbraw/zinc/70/83/97/882708397.db2.gz JHKCLKFXHLEGAS-UHFFFAOYSA-N -1 1 305.363 1.617 20 0 DDADMM C[C@@H]1C[C@H]1N1C[C@@H](NC(=O)c2c([O-])cccc2Cl)CC1=O ZINC001361966187 882727692 /nfs/dbraw/zinc/72/76/92/882727692.db2.gz BPGPPKLSPZQFIE-WCABBAIRSA-N -1 1 308.765 1.785 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1c(C)noc1Cl ZINC001347898633 874302511 /nfs/dbraw/zinc/30/25/11/874302511.db2.gz ZGGDELMKVAEVAW-UHFFFAOYSA-N -1 1 304.730 1.568 20 0 DDADMM CC1(C)COC(=O)[C@@H]1Oc1[n-]c(=O)ncc1Br ZINC001227133452 882865122 /nfs/dbraw/zinc/86/51/22/882865122.db2.gz JTRYKNBQPYRNIM-LURJTMIESA-N -1 1 303.112 1.275 20 0 DDADMM CCC[C@](C)(CC)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210347141 875341987 /nfs/dbraw/zinc/34/19/87/875341987.db2.gz AIBDHLRXSREEDC-LRDDRELGSA-N -1 1 323.441 1.807 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3ncncc3c2)c1 ZINC001210459729 875397504 /nfs/dbraw/zinc/39/75/04/875397504.db2.gz DTLLNZAURXTTQO-UHFFFAOYSA-N -1 1 315.358 1.595 20 0 DDADMM C[C@@H]1C[C@H](C)N(C(=O)CCCCc2cn[nH]n2)[C@H](C)[C@H]1C(=O)[O-] ZINC001349986579 875486534 /nfs/dbraw/zinc/48/65/34/875486534.db2.gz PSTSZIKMUCSBAE-ZAZJYDDPSA-N -1 1 322.409 1.864 20 0 DDADMM O=C(c1c(F)ccnc1Cl)N1CCC(c2nn[n-]n2)CC1 ZINC001350154799 875553423 /nfs/dbraw/zinc/55/34/23/875553423.db2.gz ZWFJAHWDZLQWKA-UHFFFAOYSA-N -1 1 310.720 1.407 20 0 DDADMM CCOC(=O)Cn1cnc2c1ncnc2[N-]c1nccs1 ZINC001210989288 875619151 /nfs/dbraw/zinc/61/91/51/875619151.db2.gz DKYMRECUGZZEQK-UHFFFAOYSA-N -1 1 304.335 1.590 20 0 DDADMM COc1c(NS(=O)(=O)c2ccccc2N)cccc1C(=O)[O-] ZINC001211037768 875636113 /nfs/dbraw/zinc/63/61/13/875636113.db2.gz YIIUZJDLMFNWBI-UHFFFAOYSA-N -1 1 322.342 1.776 20 0 DDADMM O=S(=O)([N-]Cc1cnoc1)c1cc(Cl)cnc1Cl ZINC001213343748 875904123 /nfs/dbraw/zinc/90/41/23/875904123.db2.gz MVGIRZZBOKPLNV-UHFFFAOYSA-N -1 1 308.146 1.855 20 0 DDADMM COc1cncc(-c2noc(-c3c[nH]c(=S)[n-]c3=O)n2)c1 ZINC001213866953 876087212 /nfs/dbraw/zinc/08/72/12/876087212.db2.gz OWWVQTCDAGDLHX-UHFFFAOYSA-N -1 1 303.303 1.592 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1ncc(C)s1 ZINC001364782759 889405749 /nfs/dbraw/zinc/40/57/49/889405749.db2.gz QYCGACFNSGYVCP-UHFFFAOYSA-N -1 1 305.406 1.395 20 0 DDADMM Cc1nnc(CN(C)CCN(C)C(=O)c2ncccc2[O-])s1 ZINC001379039985 876203462 /nfs/dbraw/zinc/20/34/62/876203462.db2.gz HVOXGINBAVYHLP-UHFFFAOYSA-N -1 1 321.406 1.151 20 0 DDADMM COc1ccc([C@H](C)Oc2nc3[nH]c(=O)[nH]c(=O)c3[n-]2)cc1 ZINC001227431385 883016521 /nfs/dbraw/zinc/01/65/21/883016521.db2.gz VMVZVFBCBDMXRY-ZETCQYMHSA-N -1 1 302.290 1.913 20 0 DDADMM COc1ccc([C@H](C)Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)cc1 ZINC001227431385 883016537 /nfs/dbraw/zinc/01/65/37/883016537.db2.gz VMVZVFBCBDMXRY-ZETCQYMHSA-N -1 1 302.290 1.913 20 0 DDADMM COC(=O)[C@@H](Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1)c1ccccc1 ZINC001227434983 883020770 /nfs/dbraw/zinc/02/07/70/883020770.db2.gz PVBZBYARIZOZPS-VIFPVBQESA-N -1 1 316.273 1.057 20 0 DDADMM COC(=O)[C@@H](Oc1nc2[nH]c(=O)[nH]c([O-])c-2n1)c1ccccc1 ZINC001227434983 883020784 /nfs/dbraw/zinc/02/07/84/883020784.db2.gz PVBZBYARIZOZPS-VIFPVBQESA-N -1 1 316.273 1.057 20 0 DDADMM COC(=O)[C@@H](Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)c1ccccc1 ZINC001227434983 883020800 /nfs/dbraw/zinc/02/08/00/883020800.db2.gz PVBZBYARIZOZPS-VIFPVBQESA-N -1 1 316.273 1.057 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H](O)C1CCCCC1 ZINC001351644943 876363291 /nfs/dbraw/zinc/36/32/91/876363291.db2.gz ICJIVJJXAUHQCS-SNVBAGLBSA-N -1 1 311.407 1.450 20 0 DDADMM COC(=O)c1nsnc1[N-]c1cccc(C(=O)N(C)C)c1F ZINC001215143087 876584631 /nfs/dbraw/zinc/58/46/31/876584631.db2.gz DUMXWBQJGAKYQT-UHFFFAOYSA-N -1 1 324.337 1.909 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1Cc2ccccc2[C@H]1C ZINC001352387580 876719883 /nfs/dbraw/zinc/71/98/83/876719883.db2.gz VNXXCQWDRAQUJW-SNVBAGLBSA-N -1 1 310.365 1.483 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1Cc2ccccc2[C@H]1C ZINC001352387580 876719889 /nfs/dbraw/zinc/71/98/89/876719889.db2.gz VNXXCQWDRAQUJW-SNVBAGLBSA-N -1 1 310.365 1.483 20 0 DDADMM C[C@@H](CN(C)C(=O)C(C)(C)C(C)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001379308983 876788021 /nfs/dbraw/zinc/78/80/21/876788021.db2.gz FQXBMCCAWKAEGO-QMMMGPOBSA-N -1 1 319.356 1.128 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cc(F)ccc2N)cc1N ZINC001216261167 876906298 /nfs/dbraw/zinc/90/62/98/876906298.db2.gz WMLBQGKQLBFZHV-UHFFFAOYSA-N -1 1 311.338 1.800 20 0 DDADMM CC(C)C1(C(C)C)OC[C@@H](CCOc2nc(=O)[nH]c(=O)[n-]2)O1 ZINC001227570484 883086785 /nfs/dbraw/zinc/08/67/85/883086785.db2.gz LJKTXZPNKICZAX-SNVBAGLBSA-N -1 1 313.354 1.475 20 0 DDADMM COCCCCC(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001353133106 877138696 /nfs/dbraw/zinc/13/86/96/877138696.db2.gz PKEOIDURMJWXAX-UHFFFAOYSA-N -1 1 323.393 1.893 20 0 DDADMM CCSCc1cccc(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)c1 ZINC001353370938 877285691 /nfs/dbraw/zinc/28/56/91/877285691.db2.gz QCIIROHPQBFJDW-OAHLLOKOSA-N -1 1 324.402 1.321 20 0 DDADMM CC1(N2CCOCC2)CCN(C(=O)C2(C(=O)[O-])CC=CC2)CC1 ZINC001353898905 877636331 /nfs/dbraw/zinc/63/63/31/877636331.db2.gz AIFMSPGZODNTPH-UHFFFAOYSA-N -1 1 322.405 1.121 20 0 DDADMM C[C@H](CNC(=O)C[C@H]1C=CCC1)NC(=O)c1ncccc1[O-] ZINC001354235134 877828095 /nfs/dbraw/zinc/82/80/95/877828095.db2.gz LXWWLPULZSZPCD-NEPJUHHUSA-N -1 1 303.362 1.378 20 0 DDADMM Nc1cc(F)ccc1S(=O)(=O)[N-]c1ccc2c(c1)OCCO2 ZINC000314140121 878605882 /nfs/dbraw/zinc/60/58/82/878605882.db2.gz HIAQQDKFJIKKTM-UHFFFAOYSA-N -1 1 324.333 1.980 20 0 DDADMM Cc1ccc(S(=O)(=O)N(C)[C@H]2CCN(C)C2)cc1C(=O)[O-] ZINC000314847939 878845522 /nfs/dbraw/zinc/84/55/22/878845522.db2.gz HSCQSPJMXHFZRO-NSHDSACASA-N -1 1 312.391 1.018 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)CCCF ZINC001380222767 879088957 /nfs/dbraw/zinc/08/89/57/879088957.db2.gz ZOSXTYSPVKVJBH-LBPRGKRZSA-N -1 1 323.368 1.600 20 0 DDADMM COCc1nsc([N-]C(=O)c2csc(-c3ncc[nH]3)n2)n1 ZINC001357476540 879988794 /nfs/dbraw/zinc/98/87/94/879988794.db2.gz BFUONROLBAEIAX-UHFFFAOYSA-N -1 1 322.375 1.783 20 0 DDADMM C[C@H]([C@H](C)N(C)C(=O)c1cccc([O-])c1F)S(C)(=O)=O ZINC001362149753 883156604 /nfs/dbraw/zinc/15/66/04/883156604.db2.gz HTFVTKIUZICAQD-DTWKUNHWSA-N -1 1 303.355 1.425 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H]1NC(=O)c2ccccc21)c1nn[n-]n1 ZINC001362203890 883291100 /nfs/dbraw/zinc/29/11/00/883291100.db2.gz KRUQMPMRDQDKBB-VXGBXAGGSA-N -1 1 314.349 1.032 20 0 DDADMM Cn1c(CNC(=O)c2ccc(F)c([O-])c2)nnc1C1CCC1 ZINC001362216312 883322153 /nfs/dbraw/zinc/32/21/53/883322153.db2.gz JKVCGJAFSVJPCP-UHFFFAOYSA-N -1 1 304.325 1.857 20 0 DDADMM COC(=O)[C@@H](C)[C@@H](NC(=O)c1cnncc1[O-])c1ccccc1 ZINC001362215456 883323212 /nfs/dbraw/zinc/32/32/12/883323212.db2.gz GLAYSCWIGSAXQK-IINYFYTJSA-N -1 1 315.329 1.462 20 0 DDADMM C[C@H](CN(C)C(=O)C(C)(C)C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001382087927 883392052 /nfs/dbraw/zinc/39/20/52/883392052.db2.gz FLOPRKIXQPBHAX-LLVKDONJSA-N -1 1 323.441 1.663 20 0 DDADMM COC[C@H](O)CCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362316078 883547533 /nfs/dbraw/zinc/54/75/33/883547533.db2.gz INDJVKSFUZNXNV-SECBINFHSA-N -1 1 307.268 1.538 20 0 DDADMM Cc1cnc(N2CCN(C(=O)c3ccc([O-])cn3)CC2)s1 ZINC001362341370 883605659 /nfs/dbraw/zinc/60/56/59/883605659.db2.gz USNPQXPZWOBBAH-UHFFFAOYSA-N -1 1 304.375 1.515 20 0 DDADMM CSc1ncc(C(=O)NCc2nnc(C3CC3)o2)c(=O)[n-]1 ZINC001362365298 883653082 /nfs/dbraw/zinc/65/30/82/883653082.db2.gz LRNRMSNFXBOSMZ-UHFFFAOYSA-N -1 1 307.335 1.095 20 0 DDADMM Cc1nc(C(C)C)ncc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362406150 883739019 /nfs/dbraw/zinc/73/90/19/883739019.db2.gz JUYGVMKUWFGNHB-LLVKDONJSA-N -1 1 315.381 1.441 20 0 DDADMM CC[C@H](C)CC[C@@H](O)CC(=O)NC(CC)(CC)c1nn[n-]n1 ZINC001362428044 883786031 /nfs/dbraw/zinc/78/60/31/883786031.db2.gz VDTKBXFDQXJZFW-NWDGAFQWSA-N -1 1 311.430 1.909 20 0 DDADMM Cc1c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)[nH]nc1C(F)(F)F ZINC001362450781 883839181 /nfs/dbraw/zinc/83/91/81/883839181.db2.gz FIOWPBWAMDHLFN-RXMQYKEDSA-N -1 1 317.275 1.126 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)C1=COCC1 ZINC001362465600 883874890 /nfs/dbraw/zinc/87/48/90/883874890.db2.gz SAMIGWYMZSKAOX-LLVKDONJSA-N -1 1 323.715 1.502 20 0 DDADMM COC(=O)[C@@H](Oc1nc(C)[n-]c(=O)c1OC)c1ccc(F)cc1 ZINC001230023032 884282899 /nfs/dbraw/zinc/28/28/99/884282899.db2.gz ZTJULAWLFOOXCX-NSHDSACASA-N -1 1 322.292 1.931 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccncc2F)n[n-]1 ZINC001362662584 884354198 /nfs/dbraw/zinc/35/41/98/884354198.db2.gz VYZAHCCILGRBSK-SSDOTTSWSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccncc2F)[n-]1 ZINC001362662584 884354212 /nfs/dbraw/zinc/35/42/12/884354212.db2.gz VYZAHCCILGRBSK-SSDOTTSWSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccncc2F)n1 ZINC001362662584 884354235 /nfs/dbraw/zinc/35/42/35/884354235.db2.gz VYZAHCCILGRBSK-SSDOTTSWSA-N -1 1 307.285 1.007 20 0 DDADMM CC[C@H](C)C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001382654279 884416011 /nfs/dbraw/zinc/41/60/11/884416011.db2.gz XFLQEYSCWQVMAP-UNXYVOJBSA-N -1 1 305.378 1.458 20 0 DDADMM CC[C@@]1(C(=O)N(C)c2nn[n-]n2)CCCN1C(=O)OC(C)(C)C ZINC001362717296 884477707 /nfs/dbraw/zinc/47/77/07/884477707.db2.gz HDBONCNRHYZCTI-AWEZNQCLSA-N -1 1 324.385 1.342 20 0 DDADMM CCO[C@H]1C[C@@](O)(CNC(=O)c2ccc(F)c([O-])c2)C1(C)C ZINC001362769694 884595241 /nfs/dbraw/zinc/59/52/41/884595241.db2.gz YOVIXCIWCPNMLE-XJKSGUPXSA-N -1 1 311.353 1.827 20 0 DDADMM NC(=O)c1csc(C(=O)[N-]c2nnc([C@H]3CCCO3)s2)c1 ZINC001362796716 884663927 /nfs/dbraw/zinc/66/39/27/884663927.db2.gz RGMSISCQVXYDPW-SSDOTTSWSA-N -1 1 324.387 1.802 20 0 DDADMM COC(=O)Nc1cccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c1 ZINC001362824700 884728406 /nfs/dbraw/zinc/72/84/06/884728406.db2.gz WQUBJPYKINMGAG-SNVBAGLBSA-N -1 1 316.321 1.008 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCCC(=O)C(C)(C)C ZINC001362828976 884740890 /nfs/dbraw/zinc/74/08/90/884740890.db2.gz DJINFNIUBKDYAM-UHFFFAOYSA-N -1 1 309.414 1.970 20 0 DDADMM C[C@@H]1CC[N@H+](CC(=O)Nc2cc(-c3nn[nH]n3)ccc2F)C1 ZINC001362831422 884746835 /nfs/dbraw/zinc/74/68/35/884746835.db2.gz PNEXNSIUJQFWJH-SECBINFHSA-N -1 1 304.329 1.286 20 0 DDADMM CC(C)(C)OC(=O)CC1(NC(=O)c2cnncc2[O-])CCC1 ZINC001362901888 884934750 /nfs/dbraw/zinc/93/47/50/884934750.db2.gz DPGJSPCSYFCBGQ-UHFFFAOYSA-N -1 1 307.350 1.567 20 0 DDADMM CC[C@H](NC(=O)CCc1c(C)nc(SC)[n-]c1=O)[C@H](C)O ZINC001362915312 884977058 /nfs/dbraw/zinc/97/70/58/884977058.db2.gz QJQNKRHRGJIQGE-ONGXEEELSA-N -1 1 313.423 1.421 20 0 DDADMM CSc1ncc(C(=O)N2C[C@@]3(CCCO3)[C@H]2C2CC2)c(=O)[n-]1 ZINC001363058736 885355552 /nfs/dbraw/zinc/35/55/52/885355552.db2.gz OIJOIRBGCYWDOL-ABAIWWIYSA-N -1 1 321.402 1.688 20 0 DDADMM CSc1ncc(C(=O)N[C@]2(C)CC(C)(C)OC2=O)c(=O)[n-]1 ZINC001363064684 885376173 /nfs/dbraw/zinc/37/61/73/885376173.db2.gz CXONYNIABUUPFY-CYBMUJFWSA-N -1 1 311.363 1.118 20 0 DDADMM CCC(CC)(NC(=O)CSCc1ccccn1)c1nn[n-]n1 ZINC001363136502 885553627 /nfs/dbraw/zinc/55/36/27/885553627.db2.gz OYDRJRGZAODKMT-UHFFFAOYSA-N -1 1 320.422 1.660 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)Sc1ccccn1)c1nn[n-]n1 ZINC001363138783 885561527 /nfs/dbraw/zinc/56/15/27/885561527.db2.gz UXCGSXVQPWEDFY-SNVBAGLBSA-N -1 1 320.422 1.907 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ncccc2NC(C)=O)n1 ZINC001363211238 885723887 /nfs/dbraw/zinc/72/38/87/885723887.db2.gz NOEZWNPZTJUBBK-UHFFFAOYSA-N -1 1 317.305 1.192 20 0 DDADMM CCOC(=O)[C@H]1[C@@H]2CN(Cc3ccccc3OCC(=O)[O-])C[C@@H]21 ZINC001231797514 885880311 /nfs/dbraw/zinc/88/03/11/885880311.db2.gz VSDCOFRNFXWVPE-VIKVFOODSA-N -1 1 319.357 1.391 20 0 DDADMM O=C(CCC(=O)c1cccs1)NC1(c2nn[n-]n2)CCC1 ZINC001363277687 885892659 /nfs/dbraw/zinc/89/26/59/885892659.db2.gz NOYDGSRAAULARB-UHFFFAOYSA-N -1 1 305.363 1.420 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C12CC(C(F)(F)F)(C1)C2 ZINC001363277910 885892954 /nfs/dbraw/zinc/89/29/54/885892954.db2.gz VMTIFLFRKMRYBQ-UHFFFAOYSA-N -1 1 317.315 1.917 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCCc1cccnc1 ZINC001363359164 886124994 /nfs/dbraw/zinc/12/49/94/886124994.db2.gz YNKBIZDNVCLBPQ-UHFFFAOYSA-N -1 1 302.382 1.602 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@@H]1CC(=O)Nc2ccccc21 ZINC001363376389 886172605 /nfs/dbraw/zinc/17/26/05/886172605.db2.gz LRPDBBZFQQJHNB-VIFPVBQESA-N -1 1 300.318 1.878 20 0 DDADMM CC(C)[C@H]1C[C@H](CC(=O)NC2(c3nn[n-]n3)CCC2)CCO1 ZINC001363376598 886172889 /nfs/dbraw/zinc/17/28/89/886172889.db2.gz YIXQEXSAOPVQAB-VXGBXAGGSA-N -1 1 307.398 1.536 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc[nH]c(=O)c1Br ZINC001363376917 886173235 /nfs/dbraw/zinc/17/32/35/886173235.db2.gz ZVBXQGZZUOENCV-UHFFFAOYSA-N -1 1 313.111 1.539 20 0 DDADMM CC(C)(C)[C@@H](NC(N)=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001363385034 886190250 /nfs/dbraw/zinc/19/02/50/886190250.db2.gz RMMVOOCCMYZPMI-NSHDSACASA-N -1 1 313.785 1.745 20 0 DDADMM COC[C@H](NC(=O)[C@@H](C)CCOc1ccccc1)c1nn[n-]n1 ZINC001363440111 886340921 /nfs/dbraw/zinc/34/09/21/886340921.db2.gz YHTXHYHKAZMATD-AAEUAGOBSA-N -1 1 319.365 1.109 20 0 DDADMM CSc1ncc(C(=O)N(C)Cc2cccc(C)n2)c(=O)[n-]1 ZINC001363450416 886372713 /nfs/dbraw/zinc/37/27/13/886372713.db2.gz CGPNZPVBABKTBY-UHFFFAOYSA-N -1 1 304.375 1.880 20 0 DDADMM CCCC[C@H](O)CCC(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001363458944 886394176 /nfs/dbraw/zinc/39/41/76/886394176.db2.gz RHVMJFXKBZYXAC-NSHDSACASA-N -1 1 313.423 1.852 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(C(C)C)no2)n[n-]1 ZINC001363473607 886434570 /nfs/dbraw/zinc/43/45/70/886434570.db2.gz GGOGWGKIQJSAQP-MRVPVSSYSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(C(C)C)no2)[n-]1 ZINC001363473607 886434572 /nfs/dbraw/zinc/43/45/72/886434572.db2.gz GGOGWGKIQJSAQP-MRVPVSSYSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(C(C)C)no2)n1 ZINC001363473607 886434574 /nfs/dbraw/zinc/43/45/74/886434574.db2.gz GGOGWGKIQJSAQP-MRVPVSSYSA-N -1 1 321.337 1.584 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)CC(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363526362 886553157 /nfs/dbraw/zinc/55/31/57/886553157.db2.gz SMVIBXKONFRTGV-KCJUWKMLSA-N -1 1 303.370 1.049 20 0 DDADMM O=C(NCc1ccc(N2CCNC2=O)cc1)c1ncccc1[O-] ZINC001363541898 886591023 /nfs/dbraw/zinc/59/10/23/886591023.db2.gz WTYJUTSWJTZPGM-UHFFFAOYSA-N -1 1 312.329 1.247 20 0 DDADMM CCCc1cc(C(=O)N[C@@H](COC)c2nn[n-]n2)sc1C ZINC001363584247 886694130 /nfs/dbraw/zinc/69/41/30/886694130.db2.gz DKZRVEURSJZNSY-JTQLQIEISA-N -1 1 309.395 1.640 20 0 DDADMM O=C(NC1(c2ncon2)CCCC1)c1cnc(C2CC2)[n-]c1=O ZINC001363590515 886711070 /nfs/dbraw/zinc/71/10/70/886711070.db2.gz FCBFPPIXIKWUPD-UHFFFAOYSA-N -1 1 315.333 1.642 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C(N)=O ZINC001363604175 886747175 /nfs/dbraw/zinc/74/71/75/886747175.db2.gz MEDJWMYJIDPYBH-XPTSAGLGSA-N -1 1 316.357 1.881 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)CC2(C)CC2)CN1C(=O)c1ncccc1[O-] ZINC001384087087 886940525 /nfs/dbraw/zinc/94/05/25/886940525.db2.gz DVJBGTBKOKVCOZ-VXGBXAGGSA-N -1 1 317.389 1.697 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ncsc2C2CC2)n[n-]1 ZINC001363716360 887054347 /nfs/dbraw/zinc/05/43/47/887054347.db2.gz ZDOAELMEWYLLDA-UHFFFAOYSA-N -1 1 321.362 1.245 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ncsc2C2CC2)n1 ZINC001363716360 887054358 /nfs/dbraw/zinc/05/43/58/887054358.db2.gz ZDOAELMEWYLLDA-UHFFFAOYSA-N -1 1 321.362 1.245 20 0 DDADMM CCCC(O)(CCC)C[N-]S(=O)(=O)c1csnc1OC ZINC001363783714 887211006 /nfs/dbraw/zinc/21/10/06/887211006.db2.gz NSOZUWYDWOEPTM-UHFFFAOYSA-N -1 1 322.452 1.761 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H]1COCc2ccccc21 ZINC001233760658 887292895 /nfs/dbraw/zinc/29/28/95/887292895.db2.gz WVHISDLFSOYTAF-LLVKDONJSA-N -1 1 302.286 1.619 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc(Br)c[nH]1 ZINC001363819571 887308423 /nfs/dbraw/zinc/30/84/23/887308423.db2.gz JAQCCGBKOHPZDL-UHFFFAOYSA-N -1 1 311.143 1.100 20 0 DDADMM CCO[C@@H](C)c1noc(CN2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC001363881438 887461577 /nfs/dbraw/zinc/46/15/77/887461577.db2.gz XMEMOKPDPAOEBL-VIFPVBQESA-N -1 1 323.353 1.635 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)CCC2CC2)C1 ZINC001384440741 887471183 /nfs/dbraw/zinc/47/11/83/887471183.db2.gz KHWJYFDDPJIPEV-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM CN(C)C(=O)c1ccc(NC(=O)c2ccc([O-])c(F)c2)cn1 ZINC001363891407 887483716 /nfs/dbraw/zinc/48/37/16/887483716.db2.gz CCXINLJJXOHQIZ-UHFFFAOYSA-N -1 1 303.293 1.880 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@]2(C)CCCC[C@H]2O)sn1 ZINC001363970488 887638224 /nfs/dbraw/zinc/63/82/24/887638224.db2.gz PAOODRSSJJKGQU-SKDRFNHKSA-N -1 1 320.436 1.371 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@H](c2ncon2)C1 ZINC001363975584 887650841 /nfs/dbraw/zinc/65/08/41/887650841.db2.gz LFDAWEKPOKQMJY-VIFPVBQESA-N -1 1 301.306 1.072 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)c2cccc(C)c2)[n-]n1 ZINC001363977102 887652523 /nfs/dbraw/zinc/65/25/23/887652523.db2.gz RHFOARTXKGWZAV-JTQLQIEISA-N -1 1 323.374 1.544 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)c2cccc(C)c2)n[n-]1 ZINC001363977102 887652531 /nfs/dbraw/zinc/65/25/31/887652531.db2.gz RHFOARTXKGWZAV-JTQLQIEISA-N -1 1 323.374 1.544 20 0 DDADMM CC[C@H]1CCC[C@H]1CNS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001363977129 887652586 /nfs/dbraw/zinc/65/25/86/887652586.db2.gz JAEGIYVKSVENCP-UWVGGRQHSA-N -1 1 315.395 1.301 20 0 DDADMM CC[C@H]1CCC[C@H]1CNS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001363977129 887652592 /nfs/dbraw/zinc/65/25/92/887652592.db2.gz JAEGIYVKSVENCP-UWVGGRQHSA-N -1 1 315.395 1.301 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2scnc2Cl)n[n-]1 ZINC001364084875 887876938 /nfs/dbraw/zinc/87/69/38/887876938.db2.gz RPNHDJSCSJOXMD-UHFFFAOYSA-N -1 1 315.742 1.021 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2scnc2Cl)n1 ZINC001364084875 887876944 /nfs/dbraw/zinc/87/69/44/887876944.db2.gz RPNHDJSCSJOXMD-UHFFFAOYSA-N -1 1 315.742 1.021 20 0 DDADMM COC(=O)[C@@H](Cc1ccccc1O)NC(=O)c1ccc([O-])cn1 ZINC001364088541 887890010 /nfs/dbraw/zinc/89/00/10/887890010.db2.gz PMKRMZRYGYGWRK-CYBMUJFWSA-N -1 1 316.313 1.007 20 0 DDADMM CN1c2ccc(C(=O)Nc3c[n-][nH]c3=O)cc2C(C)(C)C1=O ZINC001364100476 887918661 /nfs/dbraw/zinc/91/86/61/887918661.db2.gz VLNRMFACKRUTLN-UHFFFAOYSA-N -1 1 300.318 1.622 20 0 DDADMM CC(C)[C@H](C(=O)Nc1c[n-][nH]c1=O)N1Cc2ccccc2C1=O ZINC001364101371 887918797 /nfs/dbraw/zinc/91/87/97/887918797.db2.gz XWUXZIQGIMUPDA-CYBMUJFWSA-N -1 1 314.345 1.734 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2[C@H](C(=O)Nc3c[n-][nH]c3=O)[C@H]2C1 ZINC001364130794 887989994 /nfs/dbraw/zinc/98/99/94/887989994.db2.gz BXPOSWIYMKISNC-MBTKJCJQSA-N -1 1 308.338 1.167 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1OC[C@@H](C)OC[C@@H](C)OC ZINC001234560680 888098046 /nfs/dbraw/zinc/09/80/46/888098046.db2.gz HEHDZSAYWSDURV-RKDXNWHRSA-N -1 1 314.338 1.096 20 0 DDADMM COC(C[C@@H](C)Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O)OC ZINC001234566189 888105638 /nfs/dbraw/zinc/10/56/38/888105638.db2.gz UCNGEWZXYBSTRA-MRVPVSSYSA-N -1 1 313.354 1.181 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@@H]2CC(F)(F)C2(F)F)c(=O)[n-]1 ZINC001234564619 888105955 /nfs/dbraw/zinc/10/59/55/888105955.db2.gz GESCGRCLSDSGMZ-RXMQYKEDSA-N -1 1 323.246 1.826 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)OC(C)(C)C)C(=O)c1ccc([O-])cn1 ZINC001364239169 888197800 /nfs/dbraw/zinc/19/78/00/888197800.db2.gz DDXNLVGJFRGXPE-NSHDSACASA-N -1 1 321.377 1.869 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])CO1 ZINC001364388163 888516555 /nfs/dbraw/zinc/51/65/55/888516555.db2.gz YOQKFHXCFLRJFJ-SECBINFHSA-N -1 1 309.322 1.068 20 0 DDADMM O=C(c1nc[n-]c(=O)c1Br)N1CC[C@H](CF)C1 ZINC001364603769 889016091 /nfs/dbraw/zinc/01/60/91/889016091.db2.gz LAQGYEXGQUHDLU-ZCFIWIBFSA-N -1 1 304.119 1.376 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@@H]1CCC2(CCC2)CO1 ZINC001364651412 889122697 /nfs/dbraw/zinc/12/26/97/889122697.db2.gz MYEKPNPSBPWQSZ-ZDUSSCGKSA-N -1 1 321.425 1.924 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1cccc(F)c1 ZINC001364752122 889340356 /nfs/dbraw/zinc/34/03/56/889340356.db2.gz ZOYJJWAYHPOUHW-UHFFFAOYSA-N -1 1 316.379 1.812 20 0 DDADMM CC[C@@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)[C@@H]1CC1(C)C ZINC001364884980 889605924 /nfs/dbraw/zinc/60/59/24/889605924.db2.gz DGMKMIBKLMKLLY-DTWKUNHWSA-N -1 1 315.395 1.299 20 0 DDADMM CC[C@@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)[C@@H]1CC1(C)C ZINC001364884980 889605928 /nfs/dbraw/zinc/60/59/28/889605928.db2.gz DGMKMIBKLMKLLY-DTWKUNHWSA-N -1 1 315.395 1.299 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1C[C@H](OC(C)(C)C)C1 ZINC001364895575 889624327 /nfs/dbraw/zinc/62/43/27/889624327.db2.gz YYUJNECBWWDJLT-KYZUINATSA-N -1 1 320.436 1.776 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2CCC3(CCC3)O2)sn1 ZINC001364927581 889682557 /nfs/dbraw/zinc/68/25/57/889682557.db2.gz AKPSXUTYVBJJRV-VIFPVBQESA-N -1 1 318.420 1.532 20 0 DDADMM CC(=O)N1CCc2ccccc2[C@@H]1CC(=O)Nc1c[n-][nH]c1=O ZINC001365206402 890346163 /nfs/dbraw/zinc/34/61/63/890346163.db2.gz FPCFSJBZRVNWRD-AWEZNQCLSA-N -1 1 314.345 1.590 20 0 DDADMM CCO[C@@H](C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-])C(C)C ZINC001386270567 890684941 /nfs/dbraw/zinc/68/49/41/890684941.db2.gz ZEYYXEGOTZQKCC-BXUZGUMPSA-N -1 1 323.393 1.083 20 0 DDADMM CS(=O)(=O)c1ccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)cc1 ZINC001239704239 890716107 /nfs/dbraw/zinc/71/61/07/890716107.db2.gz VGXQWGIKYWAFKY-UHFFFAOYSA-N -1 1 315.350 1.570 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cnn(C3CCCC3)c2)n1 ZINC001365402451 890739281 /nfs/dbraw/zinc/73/92/81/890739281.db2.gz WOIGPTXFPAFNAH-UHFFFAOYSA-N -1 1 311.367 1.168 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnn(CC(C)(C)O)c3)nc2[n-]1 ZINC001240196993 890851982 /nfs/dbraw/zinc/85/19/82/890851982.db2.gz DOIZMQXXSJOVTJ-UHFFFAOYSA-N -1 1 315.333 1.379 20 0 DDADMM Cc1cc2c(c(-c3cc(C(F)(F)F)nn3C)n1)C(=O)[N-]C2=O ZINC001240290251 890881873 /nfs/dbraw/zinc/88/18/73/890881873.db2.gz VWNIELGHFGKUIA-UHFFFAOYSA-N -1 1 310.235 1.693 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CC2(CCC2)CO1)C(C)C ZINC001365619597 891173101 /nfs/dbraw/zinc/17/31/01/891173101.db2.gz NBHJPNAWTYJFLN-RYUDHWBXSA-N -1 1 319.423 1.063 20 0 DDADMM CS[C@@H](C)C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001386553861 891198860 /nfs/dbraw/zinc/19/88/60/891198860.db2.gz ZYVNJBKRMIUBCA-QWRGUYRKSA-N -1 1 323.418 1.117 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)c2ccc(C)cc2)[n-]n1 ZINC001365635441 891220148 /nfs/dbraw/zinc/22/01/48/891220148.db2.gz PURFZBFHMWFVRH-SNVBAGLBSA-N -1 1 323.374 1.544 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)c2ccc(C)cc2)n[n-]1 ZINC001365635441 891220166 /nfs/dbraw/zinc/22/01/66/891220166.db2.gz PURFZBFHMWFVRH-SNVBAGLBSA-N -1 1 323.374 1.544 20 0 DDADMM CCC(=O)Nc1cccc(C[N-]S(=O)(=O)c2conc2C)c1 ZINC001365635372 891220712 /nfs/dbraw/zinc/22/07/12/891220712.db2.gz NWXYTDGBNCYHHK-UHFFFAOYSA-N -1 1 323.374 1.810 20 0 DDADMM Nc1ncc(-c2ccc3c(c2)CC(=O)[N-]C3=O)c(C(F)(F)F)n1 ZINC001241654051 891237711 /nfs/dbraw/zinc/23/77/11/891237711.db2.gz DWVHMCHOZUBUID-UHFFFAOYSA-N -1 1 322.246 1.557 20 0 DDADMM CN1C(=O)[C@H]2CCCN2c2nc(-c3ccc(F)c([O-])c3)ncc21 ZINC001243055032 891590505 /nfs/dbraw/zinc/59/05/05/891590505.db2.gz ZKEPKZRFWDNLSU-LLVKDONJSA-N -1 1 314.320 1.934 20 0 DDADMM Cc1cc2c(c(-c3cc(F)c(F)c(F)c3N)n1)C(=O)[N-]C2=O ZINC001244158967 891808152 /nfs/dbraw/zinc/80/81/52/891808152.db2.gz XCKOGSMOKLBQHS-UHFFFAOYSA-N -1 1 307.231 1.940 20 0 DDADMM CN1CCN(c2ccc(-c3cc(C(=O)[O-])cnc3F)cn2)CC1 ZINC001244761630 891911432 /nfs/dbraw/zinc/91/14/32/891911432.db2.gz PXXOBXRZXZXILV-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM CCCc1cc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)ncn1 ZINC001244789388 891921148 /nfs/dbraw/zinc/92/11/48/891921148.db2.gz ASXLSJDGAXANDA-UHFFFAOYSA-N -1 1 322.390 1.871 20 0 DDADMM COc1ncc(-c2nc(C)c(C)nc2C)cc1[N-]S(C)(=O)=O ZINC001244792106 891928406 /nfs/dbraw/zinc/92/84/06/891928406.db2.gz ZJUBJSUEFXOPIM-UHFFFAOYSA-N -1 1 322.390 1.844 20 0 DDADMM CC(C)=C(C)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001278396822 891987901 /nfs/dbraw/zinc/98/79/01/891987901.db2.gz CNJPCXPEEBBTJN-NWDGAFQWSA-N -1 1 307.398 1.336 20 0 DDADMM Cc1ncc(CN[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C2CC2)o1 ZINC001366031490 892276476 /nfs/dbraw/zinc/27/64/76/892276476.db2.gz JONPMCQVXINQGS-LBPRGKRZSA-N -1 1 319.365 1.018 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)C1CC(F)(F)C1 ZINC001387697979 893664125 /nfs/dbraw/zinc/66/41/25/893664125.db2.gz YGZFXMWPNVJRRV-UHFFFAOYSA-N -1 1 313.304 1.021 20 0 DDADMM CC[C@H](CNC(=O)[C@H](C)SC)NC(=O)c1ncccc1[O-] ZINC001388020364 894378075 /nfs/dbraw/zinc/37/80/75/894378075.db2.gz HRNDCQFOWGXOFH-VHSXEESVSA-N -1 1 311.407 1.163 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cc(CO)cc(OC)c2)cc1 ZINC001251944030 894904249 /nfs/dbraw/zinc/90/42/49/894904249.db2.gz GGLZXQWAKUPITN-UHFFFAOYSA-N -1 1 323.370 1.997 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@@](C)(CNC(=O)c1ncccc1[O-])C1CC1 ZINC001388280071 894925194 /nfs/dbraw/zinc/92/51/94/894925194.db2.gz FVBNYRSVPBRVKS-ZMNCSTGQSA-N -1 1 317.389 1.458 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccc(C)cc1)c1ccncc1 ZINC001252231725 895042042 /nfs/dbraw/zinc/04/20/42/895042042.db2.gz ATLDDGPFLAOOPO-CQSZACIVSA-N -1 1 320.370 1.583 20 0 DDADMM CN(C)c1nc(NC[C@@H](O)c2ccc(F)cc2)c(N=O)c(=O)[n-]1 ZINC001252838625 895488501 /nfs/dbraw/zinc/48/85/01/895488501.db2.gz NGSYKOXUZUGULS-SNVBAGLBSA-N -1 1 321.312 1.931 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H]1CC2CCC1CC2)NCc1n[nH]c(=O)[n-]1 ZINC001367148486 896009628 /nfs/dbraw/zinc/00/96/28/896009628.db2.gz ITPUBJLMMJQALN-IFWUJCSASA-N -1 1 321.425 1.321 20 0 DDADMM CC(C)[C@H](F)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001388941465 896212303 /nfs/dbraw/zinc/21/23/03/896212303.db2.gz AIQXYJDCOASQDK-AAEUAGOBSA-N -1 1 323.368 1.502 20 0 DDADMM CC(C)(C)OC(=O)N1CCCC[C@H]([NH2+]CCP(=O)([O-])[O-])C1 ZINC001254187887 896286649 /nfs/dbraw/zinc/28/66/49/896286649.db2.gz FOBBZSRNGIUNHJ-NSHDSACASA-N -1 1 322.342 1.543 20 0 DDADMM Cc1ccccc1S(=O)(=O)Nc1cc(=O)[n-]c(N(C)C)n1 ZINC001254520001 896479042 /nfs/dbraw/zinc/47/90/42/896479042.db2.gz NOZQITYUDVNBDD-UHFFFAOYSA-N -1 1 308.363 1.357 20 0 DDADMM Cc1ncc(CN(C)CCN(C)C(=O)c2ncccc2[O-])s1 ZINC001367512161 896988081 /nfs/dbraw/zinc/98/80/81/896988081.db2.gz OYTMKXOGMAIUGQ-UHFFFAOYSA-N -1 1 320.418 1.756 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cccc(F)c1)C(F)(F)F ZINC001255682953 897087151 /nfs/dbraw/zinc/08/71/51/897087151.db2.gz DOVAKUIFRQIZBU-MRVPVSSYSA-N -1 1 315.244 1.208 20 0 DDADMM C[C@@H](C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-])C1CC1 ZINC001389613239 897637403 /nfs/dbraw/zinc/63/74/03/897637403.db2.gz AHJSAOWYSJMQMU-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM O=S(=O)([N-]C1(CO)CC1)c1cc(Cl)c(Cl)s1 ZINC001257509027 897868632 /nfs/dbraw/zinc/86/86/32/897868632.db2.gz YXSBXADEKSOFPH-UHFFFAOYSA-N -1 1 302.204 1.858 20 0 DDADMM CCOC(=O)C[C@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258948664 898373745 /nfs/dbraw/zinc/37/37/45/898373745.db2.gz DPXWIBNBBVVKNG-QMMMGPOBSA-N -1 1 307.318 1.585 20 0 DDADMM O=c1[nH]cccc1C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258949153 898375432 /nfs/dbraw/zinc/37/54/32/898375432.db2.gz OYQPSTWQSOWQSQ-UHFFFAOYSA-N -1 1 300.286 1.544 20 0 DDADMM CC1(C)[C@H]2CC[C@]1(CS(=O)(=O)[N-][C@H]1COC[C@@H]1F)C(=O)C2 ZINC001258979826 898391250 /nfs/dbraw/zinc/39/12/50/898391250.db2.gz XCAFBQCTJPTMDZ-RMIALFOJSA-N -1 1 319.398 1.038 20 0 DDADMM COC(=O)CS(=O)(=O)Nc1c(Cl)ccc([O-])c1Cl ZINC001259025365 898424069 /nfs/dbraw/zinc/42/40/69/898424069.db2.gz SXCFJVWNMSJGAN-UHFFFAOYSA-N -1 1 314.146 1.614 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]1F)c1ccc(Br)s1 ZINC001259059274 898440017 /nfs/dbraw/zinc/44/00/17/898440017.db2.gz FMVPYPQKWDIGQG-RFZPGFLSSA-N -1 1 300.174 1.899 20 0 DDADMM O=S(=O)([N-]c1cc(N2CCOCC2)cc(Cl)n1)C1CC1 ZINC001259271338 898562742 /nfs/dbraw/zinc/56/27/42/898562742.db2.gz JTMLUOYZIVMALK-UHFFFAOYSA-N -1 1 317.798 1.476 20 0 DDADMM O=c1[nH]cncc1[N-]S(=O)(=O)c1cc(F)c(F)c(F)c1 ZINC001259495953 898698982 /nfs/dbraw/zinc/69/89/82/898698982.db2.gz ZKFUEFSKLQMVGC-UHFFFAOYSA-N -1 1 305.237 1.400 20 0 DDADMM O=S(=O)([N-]c1ccc(-n2ccnn2)cc1)c1cccnc1 ZINC001259607451 898737506 /nfs/dbraw/zinc/73/75/06/898737506.db2.gz ROMWWSGDQPODSL-UHFFFAOYSA-N -1 1 301.331 1.463 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)c2cnn(C)c2)c1F ZINC001259827090 898834641 /nfs/dbraw/zinc/83/46/41/898834641.db2.gz IIXGDKOOFZTLJB-UHFFFAOYSA-N -1 1 313.310 1.147 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1(C)CCCCCC1 ZINC001374900555 914289066 /nfs/dbraw/zinc/28/90/66/914289066.db2.gz YSCCTAFCTZUIDM-NSHDSACASA-N -1 1 309.414 1.465 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cc(Cl)nnc2Cl)cn1 ZINC001259832157 898845066 /nfs/dbraw/zinc/84/50/66/898845066.db2.gz ITCUOQXTDQYQKG-UHFFFAOYSA-N -1 1 322.177 1.801 20 0 DDADMM NC(=O)c1cccc([N-]S(=O)(=O)CCC(F)(F)F)c1F ZINC001259873760 898882499 /nfs/dbraw/zinc/88/24/99/898882499.db2.gz GEEQXPGTBQGPAU-UHFFFAOYSA-N -1 1 314.260 1.619 20 0 DDADMM COC(=O)c1nc(Cl)ccc1[N-]S(=O)(=O)CC(C)C ZINC001259879404 898889863 /nfs/dbraw/zinc/88/98/63/898889863.db2.gz NBRCEYFHZOYCTL-UHFFFAOYSA-N -1 1 306.771 1.919 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC(C)C)c1ccccc1OC ZINC001259890557 898901264 /nfs/dbraw/zinc/90/12/64/898901264.db2.gz CNPKJWLIVGOCHT-CYBMUJFWSA-N -1 1 315.391 1.485 20 0 DDADMM O=S(=O)([N-]c1cnccc1OC(F)F)C1CCOCC1 ZINC001259908877 898918019 /nfs/dbraw/zinc/91/80/19/898918019.db2.gz JVVGXJOFWXZLBM-UHFFFAOYSA-N -1 1 308.306 1.604 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]c2ccccc2CN2CCCC2)n1 ZINC001259943085 898960547 /nfs/dbraw/zinc/96/05/47/898960547.db2.gz HUEWEEFWRVPZHW-UHFFFAOYSA-N -1 1 320.418 1.817 20 0 DDADMM O=S(=O)([N-]CC1(c2cccnc2)CCOCC1)C(F)F ZINC001259964528 898988458 /nfs/dbraw/zinc/98/84/58/898988458.db2.gz VVEIFIAEWGWOMI-UHFFFAOYSA-N -1 1 306.334 1.272 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2cccc(C(=O)[O-])c2)c(C)n1 ZINC001260183994 899075893 /nfs/dbraw/zinc/07/58/93/899075893.db2.gz MIWLVXBJEGOQFR-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM COC(C[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F)OC ZINC001260206181 899088556 /nfs/dbraw/zinc/08/85/56/899088556.db2.gz FPXZMUKZRZOSGM-UHFFFAOYSA-N -1 1 315.725 1.515 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CC1)c1cc(Cl)c(F)cc1F ZINC001260207565 899091649 /nfs/dbraw/zinc/09/16/49/899091649.db2.gz XPYAGQQUQVCTGZ-JTQLQIEISA-N -1 1 311.737 1.667 20 0 DDADMM CC(=O)OCC[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC001260474296 899149762 /nfs/dbraw/zinc/14/97/62/899149762.db2.gz IASXHYATUYBVDZ-UHFFFAOYSA-N -1 1 318.203 1.896 20 0 DDADMM CC[C@@H](C)S(=O)(=O)N1CCC([N-]C(=O)C(F)(F)F)CC1 ZINC001260732492 899236214 /nfs/dbraw/zinc/23/62/14/899236214.db2.gz LHUJDMNBRMZLFR-MRVPVSSYSA-N -1 1 316.345 1.258 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-]c1cccc(C(N)=O)c1F ZINC001261030339 899330986 /nfs/dbraw/zinc/33/09/86/899330986.db2.gz DEONWXYKQPROAW-UHFFFAOYSA-N -1 1 312.326 1.065 20 0 DDADMM C[C@H](c1ccccc1F)N(C)c1nnc(-c2nnn[n-]2)n1C ZINC001262852228 900395367 /nfs/dbraw/zinc/39/53/67/900395367.db2.gz JVNUAJYYVIEKGF-MRVPVSSYSA-N -1 1 302.317 1.332 20 0 DDADMM C[C@H](c1ccccc1F)N(C)c1nnc(-c2nn[n-]n2)n1C ZINC001262852228 900395378 /nfs/dbraw/zinc/39/53/78/900395378.db2.gz JVNUAJYYVIEKGF-MRVPVSSYSA-N -1 1 302.317 1.332 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1Cc2ccc(Cl)cc2C1 ZINC001262871274 900403550 /nfs/dbraw/zinc/40/35/50/900403550.db2.gz JMBUKPZWVMAKBQ-UHFFFAOYSA-N -1 1 316.756 1.093 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1Cc2ccc(Cl)cc2C1 ZINC001262871274 900403560 /nfs/dbraw/zinc/40/35/60/900403560.db2.gz JMBUKPZWVMAKBQ-UHFFFAOYSA-N -1 1 316.756 1.093 20 0 DDADMM CCC(C)(C)CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001369391010 901168128 /nfs/dbraw/zinc/16/81/28/901168128.db2.gz XUGULMZHZFFCIY-WDEREUQCSA-N -1 1 309.414 1.416 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)C1(CF)CC1 ZINC001369686192 901773056 /nfs/dbraw/zinc/77/30/56/901773056.db2.gz IJIMTTVZTYEEJG-ZJUUUORDSA-N -1 1 309.341 1.160 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@H]1CC12CC2 ZINC001369820745 902033246 /nfs/dbraw/zinc/03/32/46/902033246.db2.gz FURLBEGLKDXZTB-GHMZBOCLSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)SCC(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001392297474 903805135 /nfs/dbraw/zinc/80/51/35/903805135.db2.gz NOKDJDOPIFHDQS-SNVBAGLBSA-N -1 1 311.407 1.163 20 0 DDADMM CC(C)[C@@H](F)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001371504402 905122649 /nfs/dbraw/zinc/12/26/49/905122649.db2.gz RJKBXHLTQHRIRW-NQBHXWOUSA-N -1 1 323.368 1.358 20 0 DDADMM CCCC1(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])CC1 ZINC001393460382 907058389 /nfs/dbraw/zinc/05/83/89/907058389.db2.gz GYTQZULVOBXYIO-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1cc(C(=O)NCCCN(C)C(=O)c2ncccc2[O-])co1 ZINC001283527385 907541404 /nfs/dbraw/zinc/54/14/04/907541404.db2.gz ARRZVDKNRAVOHK-UHFFFAOYSA-N -1 1 317.345 1.581 20 0 DDADMM C[C@@H](C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-])c1ccco1 ZINC001372831423 908378336 /nfs/dbraw/zinc/37/83/36/908378336.db2.gz GENAIFCBCBEZEG-WDEREUQCSA-N -1 1 317.345 1.418 20 0 DDADMM CC(C)[C@@H](C)CC(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001372839460 908397236 /nfs/dbraw/zinc/39/72/36/908397236.db2.gz YFUZOUUNZGTXGK-NWDGAFQWSA-N -1 1 307.394 1.704 20 0 DDADMM COCCC[C@H](C)C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001284589239 909220692 /nfs/dbraw/zinc/22/06/92/909220692.db2.gz LFOWZNJMTYJGCJ-LBPRGKRZSA-N -1 1 323.393 1.038 20 0 DDADMM CC[C@H](C)CC(=O)N[C@H](C)CCNC(=O)c1ncccc1[O-] ZINC001373260650 909336719 /nfs/dbraw/zinc/33/67/19/909336719.db2.gz JJDPUPWNJOFHQC-NWDGAFQWSA-N -1 1 307.394 1.848 20 0 DDADMM CCC(=O)NC/C=C\CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001285444953 910530528 /nfs/dbraw/zinc/53/05/28/910530528.db2.gz IJUHIMBWWMSFKH-SREVYHEPSA-N -1 1 320.393 1.426 20 0 DDADMM CC[C@H](CNC(=O)c1ccoc1C(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001373838354 911156442 /nfs/dbraw/zinc/15/64/42/911156442.db2.gz ZUWPTMHAPHHZHT-SNVBAGLBSA-N -1 1 321.381 1.525 20 0 DDADMM CC(C)=C(F)C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001286417886 911970292 /nfs/dbraw/zinc/97/02/92/911970292.db2.gz RUZYBCLIGBPIGN-XYPYZODXSA-N -1 1 321.352 1.675 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)/C=C\C(C)(C)C ZINC001295469012 915824097 /nfs/dbraw/zinc/82/40/97/915824097.db2.gz FYPHRWDLMNQRIZ-TVRMLOFPSA-N -1 1 305.378 1.624 20 0 DDADMM CC(C)CO[C@H](C)C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001397413457 915971839 /nfs/dbraw/zinc/97/18/39/915971839.db2.gz IDHBMARQCZXVQM-VXGBXAGGSA-N -1 1 323.393 1.083 20 0 DDADMM CC(C)[C@H](F)C(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001375691975 916719335 /nfs/dbraw/zinc/71/93/35/916719335.db2.gz AEZCAGYSKPBKEG-JQWIXIFHSA-N -1 1 311.357 1.263 20 0 DDADMM CC(C)C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1C[C@H]1C ZINC001375833931 917082017 /nfs/dbraw/zinc/08/20/17/917082017.db2.gz CCKKUWIPTSTQGK-FRRDWIJNSA-N -1 1 319.405 1.704 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N[C@@H](C)c1csnn1 ZINC001376716133 919151999 /nfs/dbraw/zinc/15/19/99/919151999.db2.gz QWPIVIRJENHNSR-IUCAKERBSA-N -1 1 307.379 1.108 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CCC(F)F ZINC001377155935 920489446 /nfs/dbraw/zinc/48/94/46/920489446.db2.gz QFRKGMNGNNVDAW-MRVPVSSYSA-N -1 1 301.293 1.067 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CO[C@H]3CCC[C@@H](C)C3)nc2n1 ZINC000622871347 365551732 /nfs/dbraw/zinc/55/17/32/365551732.db2.gz YTMSONIAWUCNDC-KOLCDFICSA-N -1 1 319.365 1.260 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCC[C@H]3C)nc2n1 ZINC000622993211 365585903 /nfs/dbraw/zinc/58/59/03/365585903.db2.gz FPSOEBCJLWXIJA-MWLCHTKSSA-N -1 1 303.366 1.745 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@@H]3C3CCCC3)nc2n1 ZINC000622993761 365587362 /nfs/dbraw/zinc/58/73/62/365587362.db2.gz ZSFRIURMSSUJCE-NEPJUHHUSA-N -1 1 315.377 1.745 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CCC3CCCC3)nc2n1 ZINC000622995915 365589073 /nfs/dbraw/zinc/58/90/73/365589073.db2.gz UPSSVKRELRTJFB-UHFFFAOYSA-N -1 1 303.366 1.889 20 0 DDADMM Cn1cc([C@H](NC(=O)c2cncc([O-])c2)C(F)(F)F)cn1 ZINC000278386949 214135138 /nfs/dbraw/zinc/13/51/38/214135138.db2.gz MKHKVSGZHVMIOF-JTQLQIEISA-N -1 1 300.240 1.554 20 0 DDADMM Cc1cccc(COCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000623023496 365604037 /nfs/dbraw/zinc/60/40/37/365604037.db2.gz KRCIJZRQAJIGOC-GFCCVEGCSA-N -1 1 303.366 1.287 20 0 DDADMM CC[C@H](C)[C@H](NC(C)=O)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614388011 361833148 /nfs/dbraw/zinc/83/31/48/361833148.db2.gz FDSKDNFPFRIINW-WCQGTBRESA-N -1 1 324.381 1.032 20 0 DDADMM C[S@](=O)CCC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451210113 231034095 /nfs/dbraw/zinc/03/40/95/231034095.db2.gz RZMHWKTZTCAANZ-IBGZPJMESA-N -1 1 319.379 1.619 20 0 DDADMM O=S(=O)([N-]C[C@@]1(O)CCSC1)c1sccc1Cl ZINC000451277480 231054484 /nfs/dbraw/zinc/05/44/84/231054484.db2.gz MKEXSSPUTCPFLF-VIFPVBQESA-N -1 1 313.853 1.548 20 0 DDADMM CC[C@@H](C)[C@H](C)NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000494557035 529412423 /nfs/dbraw/zinc/41/24/23/529412423.db2.gz HTORDGJUDVAONP-ZJUUUORDSA-N -1 1 312.443 1.217 20 0 DDADMM COC1CCN(CCNC(=O)c2c([O-])cc(F)cc2F)CC1 ZINC000080054387 539164611 /nfs/dbraw/zinc/16/46/11/539164611.db2.gz PJXFIQYWOAYJGO-UHFFFAOYSA-N -1 1 314.332 1.511 20 0 DDADMM COc1cc(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)ccc1C ZINC000129336526 539184518 /nfs/dbraw/zinc/18/45/18/539184518.db2.gz PPCUGRPNFMNASK-CYBMUJFWSA-N -1 1 315.377 1.465 20 0 DDADMM CCCNC(=O)CCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000153634163 290649733 /nfs/dbraw/zinc/64/97/33/290649733.db2.gz SPKNKMLZPWWGPZ-UHFFFAOYSA-N -1 1 314.769 1.700 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(=O)OC ZINC000425170263 529643398 /nfs/dbraw/zinc/64/33/98/529643398.db2.gz RHNOIKPHBXYGAA-JTQLQIEISA-N -1 1 307.318 1.503 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(F)cc2nc[nH]c21)c1nn[n-]n1 ZINC000273479716 539278922 /nfs/dbraw/zinc/27/89/22/539278922.db2.gz IQUVAJKDULCRAO-SSDOTTSWSA-N -1 1 303.301 1.091 20 0 DDADMM COCC[C@H]1COCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000615114865 362160493 /nfs/dbraw/zinc/16/04/93/362160493.db2.gz NHXSYHGESCNBED-ZDUSSCGKSA-N -1 1 316.357 1.818 20 0 DDADMM C[C@H](C[S@](C)=O)NC(=O)c1cc(Br)ccc1[O-] ZINC000181676109 199336990 /nfs/dbraw/zinc/33/69/90/199336990.db2.gz QWKVCKYTBVWAHD-GJEGPGMTSA-N -1 1 320.208 1.652 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2ccccc2C)n[n-]1 ZINC000615916874 362484349 /nfs/dbraw/zinc/48/43/49/362484349.db2.gz GWVLGIYOPHJISU-UHFFFAOYSA-N -1 1 302.334 1.149 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2ccccc2C)n1 ZINC000615916874 362484353 /nfs/dbraw/zinc/48/43/53/362484353.db2.gz GWVLGIYOPHJISU-UHFFFAOYSA-N -1 1 302.334 1.149 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C)cc2C)n[n-]1 ZINC000615920065 362486750 /nfs/dbraw/zinc/48/67/50/362486750.db2.gz PMBBSTGUIRPAGY-UHFFFAOYSA-N -1 1 302.334 1.528 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C)cc2C)n1 ZINC000615920065 362486756 /nfs/dbraw/zinc/48/67/56/362486756.db2.gz PMBBSTGUIRPAGY-UHFFFAOYSA-N -1 1 302.334 1.528 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(Cc3cnn(C)c3)no2)c1 ZINC000350568182 539410862 /nfs/dbraw/zinc/41/08/62/539410862.db2.gz OOJYJUZRULIPEG-UHFFFAOYSA-N -1 1 313.317 1.725 20 0 DDADMM CC[C@@H](C)[C@H](OC)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616009158 362518664 /nfs/dbraw/zinc/51/86/64/362518664.db2.gz QFBJLNHVPCIAQM-MUWHJKNJSA-N -1 1 304.188 1.902 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)Cc1cc(C)ccc1F ZINC000330885520 232136330 /nfs/dbraw/zinc/13/63/30/232136330.db2.gz LSHYLBKFFGAJJA-UHFFFAOYSA-N -1 1 313.354 1.664 20 0 DDADMM Cc1cccc(CCNC(=O)c2nc3ccccc3c(=O)[n-]2)n1 ZINC000358633769 539482712 /nfs/dbraw/zinc/48/27/12/539482712.db2.gz MOUSDOPMNSCZCU-UHFFFAOYSA-N -1 1 308.341 1.599 20 0 DDADMM CCOC(=O)C(CC)(CC)CN=c1ccc(C(=O)NC)n[n-]1 ZINC000601220388 358452647 /nfs/dbraw/zinc/45/26/47/358452647.db2.gz NXVSJYSXIWOLQA-UHFFFAOYSA-N -1 1 308.382 1.040 20 0 DDADMM CCOC(=O)NCCCC(=O)Nc1nc(Cl)ccc1[O-] ZINC000279659076 215037880 /nfs/dbraw/zinc/03/78/80/215037880.db2.gz FLKKGZXDOCBWQH-UHFFFAOYSA-N -1 1 301.730 1.905 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccn(Cc2ccccc2)c1)c1nn[n-]n1 ZINC000358382872 299137605 /nfs/dbraw/zinc/13/76/05/299137605.db2.gz JACUUBXPSZWSKY-CYBMUJFWSA-N -1 1 324.388 1.925 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C1(C)C ZINC000358384036 299138359 /nfs/dbraw/zinc/13/83/59/299138359.db2.gz KRHZPFMRZCCSME-WDEREUQCSA-N -1 1 304.350 1.217 20 0 DDADMM O=C(NC[C@H]1CNC(=O)C1)c1cc(Br)ccc1[O-] ZINC000398307249 302358057 /nfs/dbraw/zinc/35/80/57/302358057.db2.gz QALMDHHDYQZPME-SSDOTTSWSA-N -1 1 313.151 1.021 20 0 DDADMM CC1(C)CN(C(=O)c2cc(F)ccc2[O-])C[C@]2(CCOC2)O1 ZINC000279959507 215272187 /nfs/dbraw/zinc/27/21/87/215272187.db2.gz DGTQXYKBPJYNET-INIZCTEOSA-N -1 1 309.337 1.941 20 0 DDADMM Cc1c(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)cnn1C(C)(C)C ZINC000279979372 215285841 /nfs/dbraw/zinc/28/58/41/215285841.db2.gz ZHYXEHBFMNZDQH-MOSHPQCFSA-N -1 1 312.377 1.854 20 0 DDADMM C[C@@H]1CC[C@@H](CNC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000331386233 233261884 /nfs/dbraw/zinc/26/18/84/233261884.db2.gz HSJXMJLXZKUMOW-GHMZBOCLSA-N -1 1 324.454 1.218 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCC1CCCC1 ZINC000171447283 186161386 /nfs/dbraw/zinc/16/13/86/186161386.db2.gz AUKPYKNZBLACFW-UHFFFAOYSA-N -1 1 316.405 1.878 20 0 DDADMM CS[C@H](C)CCC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000358516225 299181046 /nfs/dbraw/zinc/18/10/46/299181046.db2.gz YZMPDFCOMVVSEF-SECBINFHSA-N -1 1 315.468 1.131 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(C)nn1 ZINC000564874776 304022232 /nfs/dbraw/zinc/02/22/32/304022232.db2.gz IZLMPAXDZCIXQE-JTQLQIEISA-N -1 1 317.349 1.456 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@@H](CO)NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000617536606 363163505 /nfs/dbraw/zinc/16/35/05/363163505.db2.gz GANLXUIFTMRREV-NWANDNLSSA-N -1 1 321.373 1.257 20 0 DDADMM Cc1nnsc1C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000490449854 234011022 /nfs/dbraw/zinc/01/10/22/234011022.db2.gz RIAUTWADUNWXBN-UHFFFAOYSA-N -1 1 305.331 1.603 20 0 DDADMM O=C(NC[C@H]1CCS(=O)(=O)C1)c1cc2ccccc2cc1[O-] ZINC000045075560 352402009 /nfs/dbraw/zinc/40/20/09/352402009.db2.gz WHICXKRACODHRX-LLVKDONJSA-N -1 1 319.382 1.710 20 0 DDADMM COc1ccc(SCC(=O)NCc2n[n-]c(=S)n2C)cc1 ZINC000066626134 353008535 /nfs/dbraw/zinc/00/85/35/353008535.db2.gz QTTWMEPUJUKLIW-UHFFFAOYSA-N -1 1 324.431 1.895 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2ccn(-c3ccncc3)n2)cn1 ZINC000071092181 353191307 /nfs/dbraw/zinc/19/13/07/353191307.db2.gz KXHLZFZSYFLWIM-UHFFFAOYSA-N -1 1 318.362 1.285 20 0 DDADMM O=C(C=Cc1nc2ccccc2s1)NC1(c2nn[n-]n2)CC1 ZINC000492033941 234365121 /nfs/dbraw/zinc/36/51/21/234365121.db2.gz SLIJURFDDQUCFT-WAYWQWQTSA-N -1 1 312.358 1.628 20 0 DDADMM CC(C)NC(=O)CN1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000078657365 353526992 /nfs/dbraw/zinc/52/69/92/353526992.db2.gz YZFKEUFSFWTNBJ-UHFFFAOYSA-N -1 1 304.390 1.812 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)Cc1ccc(C)c(O)c1 ZINC000089453554 353772620 /nfs/dbraw/zinc/77/26/20/353772620.db2.gz OZMQEWACWQLBEQ-UHFFFAOYSA-N -1 1 306.391 1.622 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cccc(C)n2)o1 ZINC000107699668 353946075 /nfs/dbraw/zinc/94/60/75/353946075.db2.gz QCGNLPLERKUWIO-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM C[C@@H](CN=c1[n-]c(-c2ccc(F)cc2)no1)CN1CCOCC1 ZINC000623985930 366141256 /nfs/dbraw/zinc/14/12/56/366141256.db2.gz HACJKPVMUADRAC-LBPRGKRZSA-N -1 1 320.368 1.678 20 0 DDADMM CCCOCC(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000147440353 354165428 /nfs/dbraw/zinc/16/54/28/354165428.db2.gz SNTQHXFIRLHXBF-UHFFFAOYSA-N -1 1 316.379 1.432 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2ccccc2O)n1 ZINC000585681711 354827195 /nfs/dbraw/zinc/82/71/95/354827195.db2.gz JTAWFBJAHGSBBP-UHFFFAOYSA-N -1 1 303.318 1.863 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2noc3c2CCCC3)n1 ZINC000585682503 354827542 /nfs/dbraw/zinc/82/75/42/354827542.db2.gz UNMMJACLJSSFRX-UHFFFAOYSA-N -1 1 304.306 1.706 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ncn(C(C)(C)C)n2)c[n-]1 ZINC000589716283 355016239 /nfs/dbraw/zinc/01/62/39/355016239.db2.gz YEHRUYQXACHLPJ-UHFFFAOYSA-N -1 1 306.326 1.185 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CN(C)c2ccccc2)n1 ZINC000590356004 355075670 /nfs/dbraw/zinc/07/56/70/355075670.db2.gz ORJIHKADVKLYSZ-UHFFFAOYSA-N -1 1 302.334 1.661 20 0 DDADMM Cc1nc(COC(C)(C)C)oc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000565086353 304043287 /nfs/dbraw/zinc/04/32/87/304043287.db2.gz VXDVKUZZYGHGGD-UHFFFAOYSA-N -1 1 320.353 1.230 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-][C@@H]2CCCC2(F)F)CC1 ZINC000590757505 355167601 /nfs/dbraw/zinc/16/76/01/355167601.db2.gz UBHROJZJHQHCPA-SECBINFHSA-N -1 1 311.350 1.579 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC000592076352 355485639 /nfs/dbraw/zinc/48/56/39/355485639.db2.gz YUWMKXMYWSYONQ-QWRGUYRKSA-N -1 1 307.412 1.063 20 0 DDADMM COC(=O)c1coc(C[N-]S(=O)(=O)c2cc(C)cs2)n1 ZINC000593646374 355953159 /nfs/dbraw/zinc/95/31/59/355953159.db2.gz VTQQHMXIOQAZNM-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CCOCCCNC(=O)[C@@H](C)Sc1nc(C)c(C)c(=O)[n-]1 ZINC000346717051 283145511 /nfs/dbraw/zinc/14/55/11/283145511.db2.gz QHWPCPWCZLXQIN-LLVKDONJSA-N -1 1 313.423 1.822 20 0 DDADMM C[C@H](CNC(=O)C(=O)c1ccc([O-])cc1)C(=O)OC(C)(C)C ZINC000594393853 356195485 /nfs/dbraw/zinc/19/54/85/356195485.db2.gz WHEUCHLWGRHLEA-SNVBAGLBSA-N -1 1 307.346 1.669 20 0 DDADMM CSCC1(CCNS(=O)(=O)c2c(C)o[n-]c2=N)CC1 ZINC000594815427 356326480 /nfs/dbraw/zinc/32/64/80/356326480.db2.gz BRLZKJMASFJPBH-UHFFFAOYSA-N -1 1 305.425 1.207 20 0 DDADMM CSCC1(CC[N-]S(=O)(=O)c2c(C)onc2N)CC1 ZINC000594815427 356326481 /nfs/dbraw/zinc/32/64/81/356326481.db2.gz BRLZKJMASFJPBH-UHFFFAOYSA-N -1 1 305.425 1.207 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)C[C@H](OC)C1CCCCC1 ZINC000594753899 356308331 /nfs/dbraw/zinc/30/83/31/356308331.db2.gz HKQFIDDHZZBRAU-LBPRGKRZSA-N -1 1 307.412 1.064 20 0 DDADMM COC(=O)[C@@H]([N-]S(C)(=O)=O)c1ccccc1Br ZINC000594842721 356334319 /nfs/dbraw/zinc/33/43/19/356334319.db2.gz HCEILWVMKKPXBI-VIFPVBQESA-N -1 1 322.180 1.212 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](CC(C)C)N2CCOCC2)c([O-])c1 ZINC000358745811 299240096 /nfs/dbraw/zinc/24/00/96/299240096.db2.gz STXSFJFDONGWBE-CQSZACIVSA-N -1 1 321.421 1.572 20 0 DDADMM CCOC(=O)c1cncnc1CSc1ncc(C)c(=O)[n-]1 ZINC000594901007 356350554 /nfs/dbraw/zinc/35/05/54/356350554.db2.gz YJMQWMOIEZBFHE-UHFFFAOYSA-N -1 1 306.347 1.750 20 0 DDADMM CCOC[C@H](C)[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595332742 356452205 /nfs/dbraw/zinc/45/22/05/356452205.db2.gz RKUNPFBHTLPSOJ-VIFPVBQESA-N -1 1 305.352 1.078 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H](OC)C(C)C)cc1C ZINC000595312834 356444159 /nfs/dbraw/zinc/44/41/59/356444159.db2.gz NOQXALSNYKIZBP-JTQLQIEISA-N -1 1 319.379 1.324 20 0 DDADMM COCCN(CC(=O)[O-])C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000237066137 202140586 /nfs/dbraw/zinc/14/05/86/202140586.db2.gz IAAMJHRQLKNRPK-UHFFFAOYSA-N -1 1 309.347 1.311 20 0 DDADMM C[S@](=O)C1(CN=c2nc(C3CCOCC3)[n-]s2)CCC1 ZINC000596224557 356822319 /nfs/dbraw/zinc/82/23/19/356822319.db2.gz HMMIXWMQCINWEN-FQEVSTJZSA-N -1 1 315.464 1.567 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C)n([C@@H](C)C2CC2)n1)c1nn[n-]n1 ZINC000347594838 283236326 /nfs/dbraw/zinc/23/63/26/283236326.db2.gz HKKAPMHJZCWNMR-JQWIXIFHSA-N -1 1 317.397 1.947 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C(C)C)C(C)(C)O)o1 ZINC000276856659 213135998 /nfs/dbraw/zinc/13/59/98/213135998.db2.gz ACEIYBIZUNIOIG-NSHDSACASA-N -1 1 319.379 1.140 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]c2nc(CCO)cs2)on1 ZINC000597682053 357283689 /nfs/dbraw/zinc/28/36/89/357283689.db2.gz MLQOYMYQUKMFSP-UHFFFAOYSA-N -1 1 311.319 1.095 20 0 DDADMM CCOC(=O)COCCNC(=O)c1ncc2ccccc2c1[O-] ZINC000597718907 357301291 /nfs/dbraw/zinc/30/12/91/357301291.db2.gz KEZUNPXEXKOADV-UHFFFAOYSA-N -1 1 318.329 1.250 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CSCCC(C)C)nc2n1 ZINC000598839661 357741087 /nfs/dbraw/zinc/74/10/87/357741087.db2.gz SZZLHMWMQJFDJM-UHFFFAOYSA-N -1 1 309.395 1.444 20 0 DDADMM CN(C(=O)[C@H]1CSCN1C(=O)c1cc(F)ccc1[O-])C1CC1 ZINC000179496619 199044992 /nfs/dbraw/zinc/04/49/92/199044992.db2.gz FRHFBDJWDZZVRD-GFCCVEGCSA-N -1 1 324.377 1.667 20 0 DDADMM CCOC(=O)[C@H]1c2ccoc2CCN1C(=O)c1cncc([O-])c1 ZINC000600239205 358165404 /nfs/dbraw/zinc/16/54/04/358165404.db2.gz FBPSEECADWUUPO-CQSZACIVSA-N -1 1 316.313 1.683 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1cncc([O-])c1)C1CCCCC1 ZINC000600410599 358213710 /nfs/dbraw/zinc/21/37/10/358213710.db2.gz KODNFXIGNBHUSX-AWEZNQCLSA-N -1 1 306.362 1.887 20 0 DDADMM O=C(Cc1csc(-c2cccc(F)c2)n1)NCc1nn[n-]n1 ZINC000600494440 358240348 /nfs/dbraw/zinc/24/03/48/358240348.db2.gz GWNPJRKZFPOXMP-UHFFFAOYSA-N -1 1 318.337 1.321 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cccc1F)c1ncnn1C ZINC000276639535 213018128 /nfs/dbraw/zinc/01/81/28/213018128.db2.gz HERZXQDJACGEGJ-SSDOTTSWSA-N -1 1 302.306 1.133 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000280710709 215809899 /nfs/dbraw/zinc/80/98/99/215809899.db2.gz BFVFIPDHGFDQPU-HXZRUIPCSA-N -1 1 323.414 1.771 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)CC2CCC2)c1 ZINC000601510897 358582130 /nfs/dbraw/zinc/58/21/30/358582130.db2.gz AORDJXCPTCMLDM-VIFPVBQESA-N -1 1 301.364 1.923 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CC(C)(C)C(F)(F)F)n[n-]1 ZINC000603020145 359362041 /nfs/dbraw/zinc/36/20/41/359362041.db2.gz XMTDXDQYKBUIJH-UHFFFAOYSA-N -1 1 322.287 1.576 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CC(C)(C)C(F)(F)F)n1 ZINC000603020145 359362047 /nfs/dbraw/zinc/36/20/47/359362047.db2.gz XMTDXDQYKBUIJH-UHFFFAOYSA-N -1 1 322.287 1.576 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCC[C@H]3C[C@H]32)o1 ZINC000618509644 363654917 /nfs/dbraw/zinc/65/49/17/363654917.db2.gz VIYDQOOWJWSXES-GARJFASQSA-N -1 1 312.391 1.354 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H](C)SC)n[n-]1 ZINC000603156693 359444099 /nfs/dbraw/zinc/44/40/99/359444099.db2.gz SOXDTOJXYBUYFR-SFYZADRCSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H](C)SC)[n-]1 ZINC000603156693 359444104 /nfs/dbraw/zinc/44/41/04/359444104.db2.gz SOXDTOJXYBUYFR-SFYZADRCSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H](C)SC)n1 ZINC000603156693 359444109 /nfs/dbraw/zinc/44/41/09/359444109.db2.gz SOXDTOJXYBUYFR-SFYZADRCSA-N -1 1 300.384 1.300 20 0 DDADMM COC(=O)CC[C@H](Sc1nc(C)c(C)c(=O)[n-]1)C(=O)OC ZINC000603325667 359570478 /nfs/dbraw/zinc/57/04/78/359570478.db2.gz YTQWFUPBSCKBRC-VIFPVBQESA-N -1 1 314.363 1.386 20 0 DDADMM CCOc1cc(C(=O)NCCn2cnnc2C)cc(Cl)c1[O-] ZINC000186822140 200016809 /nfs/dbraw/zinc/01/68/09/200016809.db2.gz BRPPFNOAGCJLFT-UHFFFAOYSA-N -1 1 324.768 1.774 20 0 DDADMM CCOC[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C(C)C ZINC000188391289 200239085 /nfs/dbraw/zinc/23/90/85/200239085.db2.gz SYGSSPHHGLCNBZ-SECBINFHSA-N -1 1 309.819 1.413 20 0 DDADMM Cn1cc(CC(=O)NC2(c3nn[n-]n3)CCCC2)c2ccccc21 ZINC000605381472 359848382 /nfs/dbraw/zinc/84/83/82/359848382.db2.gz SCBZQDIZEPZMMP-UHFFFAOYSA-N -1 1 324.388 1.820 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCC2CCC2)o1 ZINC000618615829 363691821 /nfs/dbraw/zinc/69/18/21/363691821.db2.gz GFOTWVYJUBTUHS-UHFFFAOYSA-N -1 1 300.380 1.498 20 0 DDADMM O=S(=O)([N-]Cc1nnc(C2CC2)o1)c1cccc(F)c1F ZINC000189075100 200344865 /nfs/dbraw/zinc/34/48/65/200344865.db2.gz CYIOJZHSRYNLJT-UHFFFAOYSA-N -1 1 315.301 1.704 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@H]2CCCCO2)c(OC)n1 ZINC000607103940 359997263 /nfs/dbraw/zinc/99/72/63/359997263.db2.gz SMCBHNXWUUNPCJ-SNVBAGLBSA-N -1 1 316.379 1.410 20 0 DDADMM O=C(N=c1cc(CCc2ccccc2)[nH][nH]1)c1cncc([O-])c1 ZINC000607190105 360007408 /nfs/dbraw/zinc/00/74/08/360007408.db2.gz LEJCDSRBSBFGKA-UHFFFAOYSA-N -1 1 308.341 1.970 20 0 DDADMM CN1CCC[C@H](NC(=O)c2nn(-c3ccccc3)cc2[O-])C1 ZINC000608356773 360165457 /nfs/dbraw/zinc/16/54/57/360165457.db2.gz VLNKAQXSICAXEP-LBPRGKRZSA-N -1 1 300.362 1.402 20 0 DDADMM O=C(Nc1c([O-])cccc1F)c1cn(Cc2ccccn2)nn1 ZINC000608366823 360167222 /nfs/dbraw/zinc/16/72/22/360167222.db2.gz NOZLLQPFENGGMB-UHFFFAOYSA-N -1 1 313.292 1.818 20 0 DDADMM C[C@@H]1CC[N@@H+](CCNS(=O)(=O)c2ccc(F)cc2F)C1 ZINC000608745284 360233661 /nfs/dbraw/zinc/23/36/61/360233661.db2.gz QRGIWKZLELRESO-SNVBAGLBSA-N -1 1 304.362 1.585 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCCc2ccccc21 ZINC000281227159 216168431 /nfs/dbraw/zinc/16/84/31/216168431.db2.gz RSGOUCCTZZYANG-LBPRGKRZSA-N -1 1 307.375 1.921 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H](C)c2ccncc2)c1 ZINC000611082901 360617325 /nfs/dbraw/zinc/61/73/25/360617325.db2.gz KGTVONXKDSHJFK-SNVBAGLBSA-N -1 1 324.358 1.543 20 0 DDADMM C[C@@H](CO[C@@H]1CCOC1)NC(=O)c1ncc2ccccc2c1[O-] ZINC000612117024 360924567 /nfs/dbraw/zinc/92/45/67/360924567.db2.gz TWPRGEROCZWACC-WCQYABFASA-N -1 1 316.357 1.864 20 0 DDADMM NC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1ccc(Cl)cc1[O-] ZINC000613872308 361612407 /nfs/dbraw/zinc/61/24/07/361612407.db2.gz OTJOMHOHYBOUEC-DVYJOKAKSA-N -1 1 308.765 1.772 20 0 DDADMM O=C(NCCN1C[C@@H]2CCCCN2C1=O)c1ccc([O-])cc1F ZINC000620103125 364285546 /nfs/dbraw/zinc/28/55/46/364285546.db2.gz DPZAOXIONKTVTD-NSHDSACASA-N -1 1 321.352 1.551 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](C(=O)NC(C)C)C2)c([O-])c1 ZINC000620415839 364406942 /nfs/dbraw/zinc/40/69/42/364406942.db2.gz KCNKFGQSSGPHLV-LBPRGKRZSA-N -1 1 305.378 1.472 20 0 DDADMM CC(C)NC(=O)[C@@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000620399733 364398845 /nfs/dbraw/zinc/39/88/45/364398845.db2.gz ZHERYWWLAVAXRE-GFCCVEGCSA-N -1 1 308.353 1.908 20 0 DDADMM C[C@H](Oc1ccccn1)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000579434599 422766703 /nfs/dbraw/zinc/76/67/03/422766703.db2.gz BPZWEVQJXSUKJK-LURJTMIESA-N -1 1 301.228 1.625 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)C[C@H]2CC2(C)C)o1 ZINC000621054926 364659857 /nfs/dbraw/zinc/65/98/57/364659857.db2.gz SZZUJZOYBLJRAL-SECBINFHSA-N -1 1 300.380 1.306 20 0 DDADMM O=C([O-])[C@H]1CSCCN(C(=O)c2cccc3c[nH]nc32)C1 ZINC000621601936 364932036 /nfs/dbraw/zinc/93/20/36/364932036.db2.gz GXPLTWUSRPYBNA-SNVBAGLBSA-N -1 1 305.359 1.453 20 0 DDADMM CO[C@@H](C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C1CCCC1 ZINC000621708893 364994578 /nfs/dbraw/zinc/99/45/78/364994578.db2.gz DFPRIVLETWCFIF-UONOGXRCSA-N -1 1 315.377 1.611 20 0 DDADMM COCC1(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCCCC1 ZINC000621928956 365119567 /nfs/dbraw/zinc/11/95/67/365119567.db2.gz YHWOPNRGZYDJFY-GFCCVEGCSA-N -1 1 307.398 1.503 20 0 DDADMM CC(C)OCCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091161274 193008787 /nfs/dbraw/zinc/00/87/87/193008787.db2.gz WDNGLENXOPGSPR-UHFFFAOYSA-N -1 1 318.370 1.951 20 0 DDADMM C[C@H]1CC[C@H](C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)O1 ZINC000091160213 193009630 /nfs/dbraw/zinc/00/96/30/193009630.db2.gz VPUJMXOZMWELPP-QPUJVOFHSA-N -1 1 316.354 1.703 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622609750 365449726 /nfs/dbraw/zinc/44/97/26/365449726.db2.gz HHWJRIDBGWCMEI-OCCSQVGLSA-N -1 1 317.393 1.857 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cc(F)ccc1F ZINC000622611759 365452370 /nfs/dbraw/zinc/45/23/70/365452370.db2.gz JWGVOOYEHYGIEL-CYBMUJFWSA-N -1 1 315.283 1.997 20 0 DDADMM CC(C)(C)OC(=O)NCC(C)(C)NC(=O)c1ncccc1[O-] ZINC000275333067 212275819 /nfs/dbraw/zinc/27/58/19/212275819.db2.gz QBYLBWFAQOQBCT-UHFFFAOYSA-N -1 1 309.366 1.820 20 0 DDADMM O=S(=O)([N-][C@@H](CCc1ccccc1)C(F)F)c1c[nH]cn1 ZINC000625424497 366918676 /nfs/dbraw/zinc/91/86/76/366918676.db2.gz VQSDMLQFDIXMNJ-NSHDSACASA-N -1 1 315.345 1.955 20 0 DDADMM O=C(N[C@H](CO)C[C@@H](O)c1ccccc1)c1ncccc1[O-] ZINC000275318329 212267887 /nfs/dbraw/zinc/26/78/87/212267887.db2.gz KOTAOEWUVJNFBV-GXTWGEPZSA-N -1 1 302.330 1.002 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@@H](C)OC)c1ccccc1 ZINC000281947782 216651551 /nfs/dbraw/zinc/65/15/51/216651551.db2.gz MZXABTICWXGECP-DGCLKSJQSA-N -1 1 315.391 1.245 20 0 DDADMM CCC[C@H]1[C@H](C)CCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416153875 283997736 /nfs/dbraw/zinc/99/77/36/283997736.db2.gz GJZUUUVTHWAAGT-ZJUUUORDSA-N -1 1 309.366 1.937 20 0 DDADMM CN(C)C1CN(C(=O)N=c2[n-]nc(/C=C\c3ccco3)s2)C1 ZINC000349869285 284085625 /nfs/dbraw/zinc/08/56/25/284085625.db2.gz GDAZPLXUAZVHHA-WAYWQWQTSA-N -1 1 319.390 1.501 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2C[C@@H](C)O[C@@H](C(=O)[O-])C2)c1 ZINC000262144413 203210843 /nfs/dbraw/zinc/21/08/43/203210843.db2.gz AOCSDCQLRNXQBO-BXKDBHETSA-N -1 1 303.318 1.185 20 0 DDADMM CCC[C@@H](NCC(=O)NC(=O)Nc1ccc(C)cc1C)C(=O)[O-] ZINC000262338495 203266101 /nfs/dbraw/zinc/26/61/01/203266101.db2.gz ZRQSMHIQDXSQDW-CYBMUJFWSA-N -1 1 321.377 1.794 20 0 DDADMM C[C@H](C(=O)Nc1ccc2c(c1)OCO2)N1CCC[C@H](C(=O)[O-])C1 ZINC000262690105 203381775 /nfs/dbraw/zinc/38/17/75/203381775.db2.gz VZWMKXGCUZUZMO-MNOVXSKESA-N -1 1 320.345 1.539 20 0 DDADMM COc1ccc(C(=O)[O-])cc1NS(=O)(=O)CCOC(C)C ZINC000093665582 193285839 /nfs/dbraw/zinc/28/58/39/193285839.db2.gz ZRTPDIZGPKUHFL-UHFFFAOYSA-N -1 1 317.363 1.560 20 0 DDADMM O=C(c1cccs1)N1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000264847491 204205206 /nfs/dbraw/zinc/20/52/06/204205206.db2.gz MHTCQUHCPSZICU-UHFFFAOYSA-N -1 1 317.370 1.447 20 0 DDADMM CCc1ccsc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282806307 217264670 /nfs/dbraw/zinc/26/46/70/217264670.db2.gz AXVLTWRCBWFHAE-SNVBAGLBSA-N -1 1 306.391 1.742 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282799447 217258969 /nfs/dbraw/zinc/25/89/69/217258969.db2.gz ADTGYMDAJIIZMV-NSHDSACASA-N -1 1 302.334 1.126 20 0 DDADMM COCCCN(CCOC)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283004106 217382238 /nfs/dbraw/zinc/38/22/38/217382238.db2.gz KTIUYNUTCMFNIS-UHFFFAOYSA-N -1 1 324.406 1.143 20 0 DDADMM CN(C)C(=O)c1ccnc([N-]S(=O)(=O)CCCCF)c1 ZINC000288527568 220206067 /nfs/dbraw/zinc/20/60/67/220206067.db2.gz GUMDOWDDYFYSJH-UHFFFAOYSA-N -1 1 303.359 1.275 20 0 DDADMM COc1cccc(Cc2nnc(SCc3nn[n-]n3)o2)c1 ZINC000267423839 206055848 /nfs/dbraw/zinc/05/58/48/206055848.db2.gz TUNLFHJRFHBKCJ-UHFFFAOYSA-N -1 1 304.335 1.474 20 0 DDADMM Cn1ncc2c1CCCN(C(=O)c1c(F)ccc([O-])c1F)C2 ZINC000333987272 249170859 /nfs/dbraw/zinc/17/08/59/249170859.db2.gz HLFIJMRLYXVNIM-UHFFFAOYSA-N -1 1 307.300 1.993 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCO[C@H](C2CCC2)C1 ZINC000334133331 249226625 /nfs/dbraw/zinc/22/66/25/249226625.db2.gz WECZSKHZFACOMB-ZDUSSCGKSA-N -1 1 307.419 1.780 20 0 DDADMM COc1ccc(NC(=O)CN(C)C[C@@H](C)C(=O)[O-])cc1Cl ZINC000042706792 183299105 /nfs/dbraw/zinc/29/91/05/183299105.db2.gz KIQHXVLIPHYKII-SECBINFHSA-N -1 1 314.769 1.940 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(Br)cc1F ZINC000042809490 183311610 /nfs/dbraw/zinc/31/16/10/183311610.db2.gz KCFXEYHORASDHT-UHFFFAOYSA-N -1 1 312.160 1.976 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2cc(F)ccc2F)c1Cl ZINC000104752878 194034598 /nfs/dbraw/zinc/03/45/98/194034598.db2.gz JLJHKIGOFMCAIW-UHFFFAOYSA-N -1 1 321.736 1.830 20 0 DDADMM COC(=O)c1ccc(F)cc1S(=O)(=O)[N-][C@H]1CCC[C@@H]1F ZINC000339117536 250301944 /nfs/dbraw/zinc/30/19/44/250301944.db2.gz BLXDRIZQHGWYFC-QWRGUYRKSA-N -1 1 319.329 1.781 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc3c(c2)OCO3)co1 ZINC000339208809 250344115 /nfs/dbraw/zinc/34/41/15/250344115.db2.gz FUKKJZGIPVZTQI-UHFFFAOYSA-N -1 1 324.314 1.169 20 0 DDADMM NC(=O)C1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CC1 ZINC000271896805 209305565 /nfs/dbraw/zinc/30/55/65/209305565.db2.gz VHWJTDQWXPDYIH-UHFFFAOYSA-N -1 1 316.279 1.749 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2cc3c(cc2F)CCC(=O)N3)cn1 ZINC000340328057 251010749 /nfs/dbraw/zinc/01/07/49/251010749.db2.gz BKTWDAAXFFKSPK-UHFFFAOYSA-N -1 1 324.337 1.245 20 0 DDADMM O=C([O-])[C@]1(NCc2ccccc2Br)CCOC1 ZINC000340686169 251164896 /nfs/dbraw/zinc/16/48/96/251164896.db2.gz YFJXJYZOJVJILN-LBPRGKRZSA-N -1 1 300.152 1.782 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-]c1cc2[nH]ncc2c(C)c1 ZINC000340947961 251294788 /nfs/dbraw/zinc/29/47/88/251294788.db2.gz HEZXCULJSWXSND-UHFFFAOYSA-N -1 1 305.363 1.714 20 0 DDADMM COC(=O)c1nscc1[N-]S(=O)(=O)N1CCC(C)CC1 ZINC000340952156 251298072 /nfs/dbraw/zinc/29/80/72/251298072.db2.gz KKRRJHMBBZEOMS-UHFFFAOYSA-N -1 1 319.408 1.318 20 0 DDADMM O=C(NC[C@H](CO)Cc1cccnc1)c1c(F)ccc([O-])c1F ZINC000294511606 224063473 /nfs/dbraw/zinc/06/34/73/224063473.db2.gz RUBZYZYXLXMMIS-LLVKDONJSA-N -1 1 322.311 1.646 20 0 DDADMM CCC[C@H](CCO)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000270013425 208067669 /nfs/dbraw/zinc/06/76/69/208067669.db2.gz MNFGRWMIUSOOTD-SNVBAGLBSA-N -1 1 319.379 1.143 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H]2C)c1 ZINC000359936172 299567233 /nfs/dbraw/zinc/56/72/33/299567233.db2.gz HINRGVPKFWPXLB-ZJUUUORDSA-N -1 1 301.364 1.781 20 0 DDADMM C[C@H](CCO)C1(CNC(=O)C(=O)c2ccc([O-])cc2)CCC1 ZINC000288627408 220302557 /nfs/dbraw/zinc/30/25/57/220302557.db2.gz QQZUULCOKHOVMX-GFCCVEGCSA-N -1 1 305.374 1.880 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(Cl)cnc1N)C(F)(F)F ZINC000288678488 220344049 /nfs/dbraw/zinc/34/40/49/220344049.db2.gz UCZDWTSTLHWUOX-BYPYZUCNSA-N -1 1 303.693 1.546 20 0 DDADMM CC[C@@H](CCO)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000158325450 197262459 /nfs/dbraw/zinc/26/24/59/197262459.db2.gz WYXCKOVUYPXCGF-QMMMGPOBSA-N -1 1 311.325 1.791 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCCC1)c1cc(F)c(F)cc1F ZINC000352585441 285157984 /nfs/dbraw/zinc/15/79/84/285157984.db2.gz FBEJZGPPGCNAHB-LBPRGKRZSA-N -1 1 323.336 1.933 20 0 DDADMM Cc1ccccc1-c1nc(=N[C@@H]2C[C@H](C(=O)[O-])C[C@H]2O)s[nH]1 ZINC000629766904 422805499 /nfs/dbraw/zinc/80/54/99/422805499.db2.gz BWKMNKUOZWUHRR-MVWJERBFSA-N -1 1 319.386 1.571 20 0 DDADMM CC(C)[C@@H](O)CNC(=O)c1ccc(Br)cc1[O-] ZINC000157550619 197196297 /nfs/dbraw/zinc/19/62/97/197196297.db2.gz GEBPDYCWKJHFLI-NSHDSACASA-N -1 1 302.168 1.901 20 0 DDADMM CCc1ccc(CN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000352615503 285175195 /nfs/dbraw/zinc/17/51/95/285175195.db2.gz DTTVLCJKKHVIBG-UHFFFAOYSA-N -1 1 311.345 1.252 20 0 DDADMM CCN(C(=O)c1ccc([O-])cc1F)[C@H](C)CS(C)(=O)=O ZINC000154889185 197002143 /nfs/dbraw/zinc/00/21/43/197002143.db2.gz QZQXLRMZKBYDIK-SECBINFHSA-N -1 1 303.355 1.427 20 0 DDADMM NS(=O)(=O)[C@@H]1CCCN(Cc2cccc([O-])c2Cl)C1 ZINC000352896442 285370695 /nfs/dbraw/zinc/37/06/95/285370695.db2.gz HPAUWBXVPWJGCV-SNVBAGLBSA-N -1 1 304.799 1.299 20 0 DDADMM COC(=O)CCCCC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000434960779 533367791 /nfs/dbraw/zinc/36/77/91/533367791.db2.gz XSPQGMWBBNXLMW-UHFFFAOYSA-N -1 1 320.436 1.772 20 0 DDADMM COCCc1nc(=NC[C@@H](C(=O)OC)c2ccccc2)s[n-]1 ZINC000433428417 533328359 /nfs/dbraw/zinc/32/83/59/533328359.db2.gz WSXPJFZZVHMXOG-GFCCVEGCSA-N -1 1 321.402 1.518 20 0 DDADMM C[C@@H](CNC(=O)CCc1nn[n-]n1)Oc1ccccc1Cl ZINC000631530906 422816092 /nfs/dbraw/zinc/81/60/92/422816092.db2.gz AKIQQNHCHZRBIW-VIFPVBQESA-N -1 1 309.757 1.369 20 0 DDADMM COC(=O)[C@H](CF)NC(=O)c1ccc(Br)c([O-])c1 ZINC000360465560 299672174 /nfs/dbraw/zinc/67/21/74/299672174.db2.gz YKMMCFDKBTUKSO-QMMMGPOBSA-N -1 1 320.114 1.396 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCOC[C@H]1C1CCC1 ZINC000569005619 304329752 /nfs/dbraw/zinc/32/97/52/304329752.db2.gz WHYREQUJXWIUQQ-ZDUSSCGKSA-N -1 1 307.419 1.780 20 0 DDADMM Cc1ccc(N2CC[C@H](N3CCC(C(=O)[O-])CC3)C2=O)cc1 ZINC000262652945 292138273 /nfs/dbraw/zinc/13/82/73/292138273.db2.gz LSRIEHNQVIPBCE-HNNXBMFYSA-N -1 1 302.374 1.897 20 0 DDADMM COc1ccc(C)cc1[C@@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635127493 422828026 /nfs/dbraw/zinc/82/80/26/422828026.db2.gz NYEXNEXAJLOBGY-LLVKDONJSA-N -1 1 303.366 1.717 20 0 DDADMM Nc1ncc2c(n1)CCN(C(=O)c1cc3ccccc3cc1[O-])C2 ZINC000570565546 304415176 /nfs/dbraw/zinc/41/51/76/304415176.db2.gz HNKPHPQIWZBKCM-UHFFFAOYSA-N -1 1 320.352 1.946 20 0 DDADMM C[C@H]1C[C@H](C)CN(C(=O)CS(=O)(=O)c2ccc([O-])cc2)C1 ZINC000069163707 406687006 /nfs/dbraw/zinc/68/70/06/406687006.db2.gz PQHULVFHHFAPPS-RYUDHWBXSA-N -1 1 311.403 1.670 20 0 DDADMM CCN1CCN(C(=O)c2cc(Br)ccc2[O-])CC1 ZINC000011639411 406829331 /nfs/dbraw/zinc/82/93/31/406829331.db2.gz PIWCLIKFPVNNQQ-UHFFFAOYSA-N -1 1 313.195 1.932 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2ccccn2)c(=O)[n-]1 ZINC000029516987 406925025 /nfs/dbraw/zinc/92/50/25/406925025.db2.gz UYTFNWQBBLJXPG-UHFFFAOYSA-N -1 1 318.402 1.444 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC000037386130 406988560 /nfs/dbraw/zinc/98/85/60/406988560.db2.gz SPXKHSUTHJBVHZ-UHFFFAOYSA-N -1 1 312.174 1.835 20 0 DDADMM CCCc1nc(CS(=O)(=O)c2n[n-]c(CC)n2)cs1 ZINC000086170659 407108317 /nfs/dbraw/zinc/10/83/17/407108317.db2.gz CPHFDJAOWUGFQC-UHFFFAOYSA-N -1 1 300.409 1.750 20 0 DDADMM Cn1c(=S)[n-]nc1[C@@H]1CCCN(C(=O)Cc2ccc[nH]2)C1 ZINC000090042558 407164891 /nfs/dbraw/zinc/16/48/91/407164891.db2.gz NBWYEXCCXSXWHL-SNVBAGLBSA-N -1 1 305.407 1.754 20 0 DDADMM CCN(C[C@@H]1CCCO1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000097105113 407280228 /nfs/dbraw/zinc/28/02/28/407280228.db2.gz ONXSDVZJENSVJN-NSHDSACASA-N -1 1 301.346 1.977 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)Nc1ccc(F)cc1 ZINC000103937263 407344337 /nfs/dbraw/zinc/34/43/37/407344337.db2.gz IQVFZMUMDUYMMX-UHFFFAOYSA-N -1 1 314.320 1.960 20 0 DDADMM CC[C@@H]1CN(CC(=O)[N-]C(=O)c2ccc(OC)cc2)C[C@H](C)O1 ZINC000111276482 407404590 /nfs/dbraw/zinc/40/45/90/407404590.db2.gz GJYHNLPGGWPRKT-GXTWGEPZSA-N -1 1 320.389 1.451 20 0 DDADMM Cc1csc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)n1 ZINC000151453063 407439921 /nfs/dbraw/zinc/43/99/21/407439921.db2.gz FJIIHNYGZHRIIG-UHFFFAOYSA-N -1 1 317.330 1.349 20 0 DDADMM Cc1csc(NC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[n-]2)n1 ZINC000151453063 407439923 /nfs/dbraw/zinc/43/99/23/407439923.db2.gz FJIIHNYGZHRIIG-UHFFFAOYSA-N -1 1 317.330 1.349 20 0 DDADMM CN(Cc1ccccc1)C(=O)CNC(=O)c1c([O-])cccc1F ZINC000185964436 407552985 /nfs/dbraw/zinc/55/29/85/407552985.db2.gz BHDWCSCJJYCRLI-UHFFFAOYSA-N -1 1 316.332 1.920 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)Cc1ccoc1 ZINC000186092483 407574261 /nfs/dbraw/zinc/57/42/61/407574261.db2.gz MSMPNMPNXUOYHH-UHFFFAOYSA-N -1 1 312.322 1.971 20 0 DDADMM CCOC(=O)CN(Cc1ccccc1)C(=O)c1ncccc1[O-] ZINC000151887668 407520500 /nfs/dbraw/zinc/52/05/00/407520500.db2.gz RQOLYLFKMDHUFE-UHFFFAOYSA-N -1 1 314.341 1.993 20 0 DDADMM C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000128555654 407546799 /nfs/dbraw/zinc/54/67/99/407546799.db2.gz XADHISAEUYULBC-RKDXNWHRSA-N -1 1 317.794 1.693 20 0 DDADMM Cc1ccccc1CC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000114156541 407547359 /nfs/dbraw/zinc/54/73/59/407547359.db2.gz IWGWJIBBCBIVDE-UHFFFAOYSA-N -1 1 324.384 1.807 20 0 DDADMM O=C([O-])CC1(NC(=O)c2n[nH]c3ccccc32)CCOCC1 ZINC000225550796 407596381 /nfs/dbraw/zinc/59/63/81/407596381.db2.gz KDELLZOEQYDCGE-UHFFFAOYSA-N -1 1 303.318 1.317 20 0 DDADMM CC(C)[C@@H](O)CNC(=O)c1cc(Br)ccc1[O-] ZINC000226654536 407619260 /nfs/dbraw/zinc/61/92/60/407619260.db2.gz NCIFMCIOVDOFQL-NSHDSACASA-N -1 1 302.168 1.901 20 0 DDADMM CCOc1ccc(NC(=O)[C@H](C)N2CC[C@H](C(=O)[O-])C2)cc1 ZINC000178895353 407642867 /nfs/dbraw/zinc/64/28/67/407642867.db2.gz YKCPLCIMQKQEPP-RYUDHWBXSA-N -1 1 306.362 1.819 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](C)[C@H]2C)co1 ZINC000178954680 407671924 /nfs/dbraw/zinc/67/19/24/407671924.db2.gz ASQSYRHSINDJBD-NXEZZACHSA-N -1 1 300.380 1.448 20 0 DDADMM CC[C@H](NC(=O)c1ccc(Br)c([O-])c1)C(=O)OC ZINC000152730221 407678006 /nfs/dbraw/zinc/67/80/06/407678006.db2.gz DCHKLYONORXBIK-VIFPVBQESA-N -1 1 316.151 1.836 20 0 DDADMM O=C(NCC1CCS(=O)(=O)CC1)c1ccc(Cl)cc1[O-] ZINC000152629275 407664126 /nfs/dbraw/zinc/66/41/26/407664126.db2.gz WYOICWMNXSGLOS-UHFFFAOYSA-N -1 1 317.794 1.600 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1ccccc1-n1cccn1 ZINC000171806911 407772395 /nfs/dbraw/zinc/77/23/95/407772395.db2.gz BXFBAVYLTCZAMG-LBPRGKRZSA-N -1 1 307.375 1.793 20 0 DDADMM O=C(Cn1c(=O)c2ccccc2[nH]c1=S)[N-]OC1CCCC1 ZINC000272150674 407795070 /nfs/dbraw/zinc/79/50/70/407795070.db2.gz XEKFEBQEWDERIH-UHFFFAOYSA-N -1 1 319.386 1.676 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)CC(C)(C)OC)co1 ZINC000153569829 407853426 /nfs/dbraw/zinc/85/34/26/407853426.db2.gz FOJKCJNQQSVEPJ-VIFPVBQESA-N -1 1 318.395 1.121 20 0 DDADMM O=C([O-])[C@@H]1CCN([C@@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000262646143 407889641 /nfs/dbraw/zinc/88/96/41/407889641.db2.gz KCOKERMFVRDZKN-BXUZGUMPSA-N -1 1 306.337 1.552 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)Oc1cccc(CO)c1)c1nn[n-]n1 ZINC000180553290 407897336 /nfs/dbraw/zinc/89/73/36/407897336.db2.gz CZYFGEGXPFOOON-GXFFZTMASA-N -1 1 319.365 1.117 20 0 DDADMM CC[C@@H]1C(=O)NCCN1C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000153778278 407901760 /nfs/dbraw/zinc/90/17/60/407901760.db2.gz QXELVUAYPYEJTO-SNVBAGLBSA-N -1 1 312.753 1.405 20 0 DDADMM CN1CCN(Cc2ccc(C(=O)NCCCC(=O)[O-])cc2)CC1 ZINC000262730138 407910875 /nfs/dbraw/zinc/91/08/75/407910875.db2.gz CCMULXOHSDRGPI-UHFFFAOYSA-N -1 1 319.405 1.029 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C=Cc1ccc[nH]1 ZINC000187970452 407911050 /nfs/dbraw/zinc/91/10/50/407911050.db2.gz CHEOBGMDFJGKCV-AATRIKPKSA-N -1 1 311.345 1.297 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(C[S@@](C)=O)c1)c1nn[n-]n1 ZINC000268215947 407952891 /nfs/dbraw/zinc/95/28/91/407952891.db2.gz PKCNUHZRJMKBFY-AMXDTQDGSA-N -1 1 321.406 1.349 20 0 DDADMM CC(C)(C)OC(=O)NCC(F)(F)C[N-]S(=O)(=O)C1CC1 ZINC000188066257 407915468 /nfs/dbraw/zinc/91/54/68/407915468.db2.gz KOSDSUCYYZDURX-UHFFFAOYSA-N -1 1 314.354 1.228 20 0 DDADMM CCc1c(C(=O)Nc2nnn[n-]2)cnn1-c1cccc(Cl)c1 ZINC000118686008 407934797 /nfs/dbraw/zinc/93/47/97/407934797.db2.gz JCCRBBTVYQDVDZ-UHFFFAOYSA-N -1 1 317.740 1.854 20 0 DDADMM CCc1c(C(=O)Nc2nn[n-]n2)cnn1-c1cccc(Cl)c1 ZINC000118686008 407934800 /nfs/dbraw/zinc/93/48/00/407934800.db2.gz JCCRBBTVYQDVDZ-UHFFFAOYSA-N -1 1 317.740 1.854 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cc(C(C)=O)cn2C)n[nH]1 ZINC000181749730 408035649 /nfs/dbraw/zinc/03/56/49/408035649.db2.gz BVASQVWNNFDJCX-UHFFFAOYSA-N -1 1 304.306 1.380 20 0 DDADMM O=C(CN1CCC[C@H](c2cc[nH]n2)C1)[N-]OCc1ccccc1 ZINC000119349921 408049311 /nfs/dbraw/zinc/04/93/11/408049311.db2.gz FTBZXILOQCOYJX-HNNXBMFYSA-N -1 1 314.389 1.837 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2cc(C3CC3)n[nH]2)c[nH]1 ZINC000268459855 408052267 /nfs/dbraw/zinc/05/22/67/408052267.db2.gz DJPOGCZUMXCNSG-UHFFFAOYSA-N -1 1 310.335 1.203 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2C1=O)c1cc(F)ccc1[O-] ZINC000181539424 408007600 /nfs/dbraw/zinc/00/76/00/408007600.db2.gz ISVUQVBWQMGFSM-GFCCVEGCSA-N -1 1 301.273 1.905 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H](CO)CC(C)(C)C)c2=O ZINC000119404821 408063117 /nfs/dbraw/zinc/06/31/17/408063117.db2.gz LRLJZWFBFXCRGM-VIFPVBQESA-N -1 1 306.366 1.201 20 0 DDADMM CC[C@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)N1CCCC1=O ZINC000175225798 408083870 /nfs/dbraw/zinc/08/38/70/408083870.db2.gz QCVOTHHXNIJMOY-ZCFIWIBFSA-N -1 1 305.260 1.163 20 0 DDADMM Cc1ccn(C)c1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000189803537 408111540 /nfs/dbraw/zinc/11/15/40/408111540.db2.gz IJOVBCVJDCMEDQ-UHFFFAOYSA-N -1 1 313.361 1.216 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)NC(=O)C1CCCCC1)c1nn[n-]n1 ZINC000136641935 408114789 /nfs/dbraw/zinc/11/47/89/408114789.db2.gz CZFOZPOPMHSHDJ-PWSUYJOCSA-N -1 1 322.413 1.242 20 0 DDADMM CCC[C@H](O)CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000268629561 408129622 /nfs/dbraw/zinc/12/96/22/408129622.db2.gz RNSKFCYIBCAABE-LBPRGKRZSA-N -1 1 320.393 1.262 20 0 DDADMM CC(C)(CCC(=O)[O-])NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000263566013 408175527 /nfs/dbraw/zinc/17/55/27/408175527.db2.gz FVZYWKJJJZBCIY-UHFFFAOYSA-N -1 1 302.334 1.845 20 0 DDADMM CC1(C)[C@H](C(=O)[O-])[C@@H]1C(=O)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000263567726 408178638 /nfs/dbraw/zinc/17/86/38/408178638.db2.gz XSORJFVMPISISE-GRYCIOLGSA-N -1 1 314.345 1.367 20 0 DDADMM Cc1ccccc1C1(C(=O)NCCCc2nc(=O)[n-][nH]2)CC1 ZINC000176266165 408326480 /nfs/dbraw/zinc/32/64/80/408326480.db2.gz DYKMMGQBKMGWRW-UHFFFAOYSA-N -1 1 300.362 1.187 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)CCNC(N)=O)c1 ZINC000182935418 408288173 /nfs/dbraw/zinc/28/81/73/408288173.db2.gz YNECKITVFONHTB-UHFFFAOYSA-N -1 1 316.155 1.460 20 0 DDADMM NS(=O)(=O)Cc1ccc(NC(=O)c2cc(F)ccc2[O-])cc1 ZINC000157181369 408293036 /nfs/dbraw/zinc/29/30/36/408293036.db2.gz PCMYZCNASARMIO-UHFFFAOYSA-N -1 1 324.333 1.572 20 0 DDADMM CCOC(=O)[C@H](C)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000133078333 162051328 /nfs/dbraw/zinc/05/13/28/162051328.db2.gz HEQNGYWJIPRMQO-MRVPVSSYSA-N -1 1 323.773 1.957 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccsc1C(=O)OC)C(F)F ZINC000451082493 286917741 /nfs/dbraw/zinc/91/77/41/286917741.db2.gz OFDTXRVNCUTGKR-ZCFIWIBFSA-N -1 1 313.347 1.857 20 0 DDADMM CCN1CCc2nc3ccccc3c(C(=O)Nc3nnn[n-]3)c2C1 ZINC000172325247 162271412 /nfs/dbraw/zinc/27/14/12/162271412.db2.gz CWIVWRFHQMRAIO-UHFFFAOYSA-N -1 1 323.360 1.378 20 0 DDADMM CCN1CCc2nc3ccccc3c(C(=O)Nc3nn[n-]n3)c2C1 ZINC000172325247 162271415 /nfs/dbraw/zinc/27/14/15/162271415.db2.gz CWIVWRFHQMRAIO-UHFFFAOYSA-N -1 1 323.360 1.378 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2ccc(F)cc2Cl)no1 ZINC000173400340 162338035 /nfs/dbraw/zinc/33/80/35/162338035.db2.gz WAQCSBOARDCGPJ-UHFFFAOYSA-N -1 1 305.718 1.649 20 0 DDADMM CCOc1ccc(CCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183393041 408398431 /nfs/dbraw/zinc/39/84/31/408398431.db2.gz CVRBJFMJEZPMNR-LBPRGKRZSA-N -1 1 317.393 1.793 20 0 DDADMM COc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc(OC)c1C ZINC000183416824 408403736 /nfs/dbraw/zinc/40/37/36/408403736.db2.gz DCGAZMDAYVAEJS-SECBINFHSA-N -1 1 319.365 1.401 20 0 DDADMM C[C@@H](CN(C)C(=O)CCC(=O)c1ccccc1)c1nn[n-]n1 ZINC000183409199 408405002 /nfs/dbraw/zinc/40/50/02/408405002.db2.gz SYBJPNHVBXWUGW-NSHDSACASA-N -1 1 301.350 1.425 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccccc1C(=O)Nc1nnn[n-]1 ZINC000269729518 408409385 /nfs/dbraw/zinc/40/93/85/408409385.db2.gz RQEINXAFKIOONG-UHFFFAOYSA-N -1 1 304.310 1.799 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccccc1C(=O)Nc1nn[n-]n1 ZINC000269729518 408409390 /nfs/dbraw/zinc/40/93/90/408409390.db2.gz RQEINXAFKIOONG-UHFFFAOYSA-N -1 1 304.310 1.799 20 0 DDADMM Cc1ccc(OCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1C ZINC000183447106 408412445 /nfs/dbraw/zinc/41/24/45/408412445.db2.gz LZZSNHGZARTBPJ-LBPRGKRZSA-N -1 1 303.366 1.457 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2c(c1)OCCO2)c1nn[n-]n1 ZINC000176753699 408432058 /nfs/dbraw/zinc/43/20/58/408432058.db2.gz GVXBGKIUJVXEQZ-JTQLQIEISA-N -1 1 303.322 1.242 20 0 DDADMM CCC[C@@H](NC(=O)COCCOc1ccccc1)c1nn[n-]n1 ZINC000176785581 408442793 /nfs/dbraw/zinc/44/27/93/408442793.db2.gz LVWOKKCRSNHPBC-CYBMUJFWSA-N -1 1 319.365 1.253 20 0 DDADMM Cc1c([N-]S(=O)(=O)CCOc2ccc(F)cc2)cnn1C ZINC000176972893 408486550 /nfs/dbraw/zinc/48/65/50/408486550.db2.gz UOEANONTWVSEJX-UHFFFAOYSA-N -1 1 313.354 1.688 20 0 DDADMM CCOC(=O)N[C@@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000177072675 408514186 /nfs/dbraw/zinc/51/41/86/408514186.db2.gz OTRJBRCZODEADU-LLVKDONJSA-N -1 1 310.325 1.882 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CS[C@H]2CCO[C@@H]2C)cc1 ZINC000269924285 408470055 /nfs/dbraw/zinc/47/00/55/408470055.db2.gz BFEOZMQDPOSILV-MFKMUULPSA-N -1 1 309.387 1.862 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc([C@@H]2CCOC2)c1)c1nn[n-]n1 ZINC000274810657 408539729 /nfs/dbraw/zinc/53/97/29/408539729.db2.gz VLBUBKOYBBZWGR-SMDDNHRTSA-N -1 1 315.377 1.579 20 0 DDADMM CCC[C@H](N[C@H]1CCN(c2ccccc2OC)C1=O)C(=O)[O-] ZINC000185028249 408740578 /nfs/dbraw/zinc/74/05/78/408740578.db2.gz UKRFVVYTZSPXAJ-RYUDHWBXSA-N -1 1 306.362 1.643 20 0 DDADMM C[C@](CNC(=O)c1ccc2[nH]nnc2c1)(C(=O)[O-])c1ccccc1 ZINC000193104107 408694841 /nfs/dbraw/zinc/69/48/41/408694841.db2.gz ZJOPHFFOMCPDHJ-QGZVFWFLSA-N -1 1 324.340 1.730 20 0 DDADMM O=C(CCSc1ccc2c(c1)OCCO2)Nc1nnn[n-]1 ZINC000265719015 408757066 /nfs/dbraw/zinc/75/70/66/408757066.db2.gz YIUMYLUPVVSGOL-UHFFFAOYSA-N -1 1 307.335 1.092 20 0 DDADMM O=C(CCSc1ccc2c(c1)OCCO2)Nc1nn[n-]n1 ZINC000265719015 408757072 /nfs/dbraw/zinc/75/70/72/408757072.db2.gz YIUMYLUPVVSGOL-UHFFFAOYSA-N -1 1 307.335 1.092 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H]1CCO)c1cc(F)c(F)cc1F ZINC000188903489 163076838 /nfs/dbraw/zinc/07/68/38/163076838.db2.gz HLPGDVSYXKMGEP-UFBFGSQYSA-N -1 1 323.336 1.933 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CC(O)(C2CC2)C1 ZINC000236562562 163356843 /nfs/dbraw/zinc/35/68/43/163356843.db2.gz SLMFPCHGTFONRM-UHFFFAOYSA-N -1 1 312.163 1.752 20 0 DDADMM CC[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@H](C)[S@]1=O ZINC000286329832 408964890 /nfs/dbraw/zinc/96/48/90/408964890.db2.gz BHROINQHVHOUGW-ZFXASYOHSA-N -1 1 309.387 1.333 20 0 DDADMM COCC[C@@H](NC(=O)c1ccc2ccccc2c1[O-])C(=O)OC ZINC000292110407 408981928 /nfs/dbraw/zinc/98/19/28/408981928.db2.gz CXHMSRKJPJFPBL-CQSZACIVSA-N -1 1 317.341 1.853 20 0 DDADMM CC(C)C[C@@H](CO)N(C)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287557198 409055514 /nfs/dbraw/zinc/05/55/14/409055514.db2.gz KBRRCMGKWAOVSA-AFSRSGBESA-N -1 1 305.382 1.213 20 0 DDADMM CCC(CC)(CO)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287813942 409097439 /nfs/dbraw/zinc/09/74/39/409097439.db2.gz YOWRBLUODLPOND-UNOMPAQXSA-N -1 1 305.382 1.262 20 0 DDADMM O=C(NCCOCC(F)(F)C(F)F)C(=O)c1ccc([O-])cc1 ZINC000287984837 409126759 /nfs/dbraw/zinc/12/67/59/409126759.db2.gz HSHTUAAGDNIPNP-UHFFFAOYSA-N -1 1 323.242 1.608 20 0 DDADMM CCCCOCCCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279295946 409187196 /nfs/dbraw/zinc/18/71/96/409187196.db2.gz LFMJGRPPFRUPNC-UYRXBGFRSA-N -1 1 305.382 1.670 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCSC2)c(C(F)(F)F)n1 ZINC000293573616 409141173 /nfs/dbraw/zinc/14/11/73/409141173.db2.gz SUGFOCZUTLGURB-LURJTMIESA-N -1 1 315.342 1.223 20 0 DDADMM CC[C@@]1(C)Oc2ccc(NC(=O)NN3CC(=O)[N-]C3=O)cc2O1 ZINC000279116379 409151723 /nfs/dbraw/zinc/15/17/23/409151723.db2.gz VDNBOGDWAFMQHE-AWEZNQCLSA-N -1 1 320.305 1.172 20 0 DDADMM CS(=O)(=O)CC1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000293652902 409154876 /nfs/dbraw/zinc/15/48/76/409154876.db2.gz HDKDRXYKYSUGFC-UHFFFAOYSA-N -1 1 315.366 1.428 20 0 DDADMM CC(C)C[C@@H](CCO)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283613937 409184804 /nfs/dbraw/zinc/18/48/04/409184804.db2.gz ZEURYKPKIQNZGD-LLVKDONJSA-N -1 1 322.434 1.793 20 0 DDADMM Cc1nc2cc(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)ccc2o1 ZINC000279392396 409205100 /nfs/dbraw/zinc/20/51/00/409205100.db2.gz ADBOOIYFAGHEMQ-MRVPVSSYSA-N -1 1 300.322 1.525 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2c(F)cccc2F)n(C)n1 ZINC000284106314 409276531 /nfs/dbraw/zinc/27/65/31/409276531.db2.gz BMSMYFFZIJSFHT-UHFFFAOYSA-N -1 1 301.318 1.485 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)NC(=O)c1c(F)ccc([O-])c1F ZINC000280702672 409418279 /nfs/dbraw/zinc/41/82/79/409418279.db2.gz FMXMRAFXRGHHSX-SFYZADRCSA-N -1 1 300.305 1.703 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@H](C)NCc2ccccc21 ZINC000333839694 164073084 /nfs/dbraw/zinc/07/30/84/164073084.db2.gz KWYZDPQXEHPLLN-VIFPVBQESA-N -1 1 322.390 1.083 20 0 DDADMM Cc1nc(C)c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)s1 ZINC000407995949 164192633 /nfs/dbraw/zinc/19/26/33/164192633.db2.gz JRZIKQNLWCGIEV-VIFPVBQESA-N -1 1 308.363 1.868 20 0 DDADMM C[C@@H]1CCCN1C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000408407529 164321888 /nfs/dbraw/zinc/32/18/88/164321888.db2.gz VLXZAQPOENPYSI-SNVBAGLBSA-N -1 1 314.407 1.981 20 0 DDADMM CC(=O)Nc1ccc(O)c(C(=O)N2C[C@@H](C)[N@H+](C)C[C@H]2C)c1 ZINC000408409997 164323068 /nfs/dbraw/zinc/32/30/68/164323068.db2.gz HSSCVQSBFSDFMP-GHMZBOCLSA-N -1 1 305.378 1.515 20 0 DDADMM CCN(C(=O)CNC(=O)c1ncccc1[O-])c1nc(C)cs1 ZINC000337915517 409563144 /nfs/dbraw/zinc/56/31/44/409563144.db2.gz TYYSZRPLBTYYTH-UHFFFAOYSA-N -1 1 320.374 1.335 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2ncc(C)cc2[O-])[C@H]1C(C)C ZINC000345101897 409629026 /nfs/dbraw/zinc/62/90/26/409629026.db2.gz NROLVMPOVFUGJS-FZMZJTMJSA-N -1 1 306.362 1.755 20 0 DDADMM CC[C@H]1CCCC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337945272 409587487 /nfs/dbraw/zinc/58/74/87/409587487.db2.gz IYBMSAAGYFTMCE-RYUDHWBXSA-N -1 1 305.378 1.602 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H](O)C1)c1cccc(F)c1F ZINC000305196228 409727404 /nfs/dbraw/zinc/72/74/04/409727404.db2.gz ISFHWZFULCAIBI-VHSXEESVSA-N -1 1 305.346 1.794 20 0 DDADMM COCC[C@@H](C)S(=O)(=O)[N-][C@@H](C(=O)OC)c1ccsc1 ZINC000345641439 409729384 /nfs/dbraw/zinc/72/93/84/409729384.db2.gz GSMFBZVPMQKTMZ-MWLCHTKSSA-N -1 1 321.420 1.307 20 0 DDADMM Cc1cc(OCC(=O)NC2(c3nn[n-]n3)CC2)ccc1C(C)C ZINC000357077497 409845865 /nfs/dbraw/zinc/84/58/65/409845865.db2.gz NPAPEKUDDMDKJG-UHFFFAOYSA-N -1 1 315.377 1.816 20 0 DDADMM CO[C@@H](C(=O)Nc1nc(-c2ccco2)n[nH]1)c1cnn(C)c1 ZINC000342671107 409801024 /nfs/dbraw/zinc/80/10/24/409801024.db2.gz JQEYXFOSXFNADV-SNVBAGLBSA-N -1 1 302.294 1.124 20 0 DDADMM CC[C@@H](C)[C@@H](C)N(C1CC1)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349641389 409896611 /nfs/dbraw/zinc/89/66/11/409896611.db2.gz XCVCBLMQZJRJTM-RKDXNWHRSA-N -1 1 301.412 1.983 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1C[C@H]1c1ccc(Br)cc1 ZINC000342852949 409949070 /nfs/dbraw/zinc/94/90/70/409949070.db2.gz QLZWEUGKPQXUAX-IUCAKERBSA-N -1 1 308.139 1.704 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1C[C@H]1c1ccc(Br)cc1 ZINC000342852949 409949074 /nfs/dbraw/zinc/94/90/74/409949074.db2.gz QLZWEUGKPQXUAX-IUCAKERBSA-N -1 1 308.139 1.704 20 0 DDADMM CCCCO[C@@H]1C[C@H](N(C)C(=O)CCc2nn[n-]n2)C1(C)C ZINC000631608800 422851672 /nfs/dbraw/zinc/85/16/72/422851672.db2.gz HUMWLSNXSYOUTM-NWDGAFQWSA-N -1 1 309.414 1.575 20 0 DDADMM COCc1cccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332274747 410033155 /nfs/dbraw/zinc/03/31/55/410033155.db2.gz GZXMCBZAAXEVOV-ZDUSSCGKSA-N -1 1 317.345 1.941 20 0 DDADMM COc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)ccc1F ZINC000332271984 410033424 /nfs/dbraw/zinc/03/34/24/410033424.db2.gz CZHGCVOZAGKQQI-JTQLQIEISA-N -1 1 321.308 1.943 20 0 DDADMM Cn1nnc2cc(-c3nc(-c4ccc([O-])cc4F)no3)cnc21 ZINC000350843568 410005134 /nfs/dbraw/zinc/00/51/34/410005134.db2.gz TXMZKRJRLLVVTJ-UHFFFAOYSA-N -1 1 312.264 1.925 20 0 DDADMM C[C@H](NC(=O)CC(C)(C)C)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000332393531 410121572 /nfs/dbraw/zinc/12/15/72/410121572.db2.gz IMTAVMDGZUHUFD-JTQLQIEISA-N -1 1 322.413 1.026 20 0 DDADMM O=C(CSCC(F)(F)F)NC1(c2nn[n-]n2)CCCC1 ZINC000332421482 410143708 /nfs/dbraw/zinc/14/37/08/410143708.db2.gz SIKQMLKJPJFCMN-UHFFFAOYSA-N -1 1 309.317 1.381 20 0 DDADMM Cc1cccc(NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000329295913 410217719 /nfs/dbraw/zinc/21/77/19/410217719.db2.gz SRDQTSDMYVAUAD-UHFFFAOYSA-N -1 1 301.394 1.493 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)[C@H](C)[C@@H]2C)o1 ZINC000332724546 410237270 /nfs/dbraw/zinc/23/72/70/410237270.db2.gz SAWQJYUBKYMUGC-GUBZILKMSA-N -1 1 300.380 1.304 20 0 DDADMM COc1cc(NC(=O)N[C@@H]2C[C@@H]2C)ccc1[N-]S(C)(=O)=O ZINC000355007015 410233921 /nfs/dbraw/zinc/23/39/21/410233921.db2.gz PRDUOPYIQDDRQF-GZMMTYOYSA-N -1 1 313.379 1.597 20 0 DDADMM Cc1nn2cc(S(=O)(=O)[N-]CC(F)F)cnc2c1Cl ZINC000347097651 410343621 /nfs/dbraw/zinc/34/36/21/410343621.db2.gz VIFFYBXCIVRSSQ-UHFFFAOYSA-N -1 1 310.713 1.235 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@@H](c2nccc(C)n2)C2CC2)s1 ZINC000351929978 410348134 /nfs/dbraw/zinc/34/81/34/410348134.db2.gz YIHVFQTXPQWOPE-GFCCVEGCSA-N -1 1 324.431 1.980 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@H]1c1cccc(F)c1 ZINC000352060786 410449714 /nfs/dbraw/zinc/44/97/14/410449714.db2.gz VIWFMWGSGDQNCS-VHSXEESVSA-N -1 1 319.292 1.321 20 0 DDADMM O=C(c1cccc2ncccc21)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000298953172 410460718 /nfs/dbraw/zinc/46/07/18/410460718.db2.gz NXEFUZLPTMIQNZ-LLVKDONJSA-N -1 1 323.356 1.666 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@](C)(F)C1 ZINC000347339565 410482233 /nfs/dbraw/zinc/48/22/33/410482233.db2.gz VDHUDXFIWLXSLT-OAHLLOKOSA-N -1 1 306.341 1.555 20 0 DDADMM O=c1cc(/C=C\c2ccnn2-c2ccccc2)nc2nc[n-]n21 ZINC000352035122 410435871 /nfs/dbraw/zinc/43/58/71/410435871.db2.gz MIQGFMVNSIZEJM-SREVYHEPSA-N -1 1 304.313 1.774 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C)[C@@H]1c1ccccc1 ZINC000352056347 410447670 /nfs/dbraw/zinc/44/76/70/410447670.db2.gz BRVKYNHMEABFMA-JOYOIKCWSA-N -1 1 315.329 1.730 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N[C@H](C)c1cn[nH]c1)c2=O ZINC000339967369 410583060 /nfs/dbraw/zinc/58/30/60/410583060.db2.gz XSSSKWVBUJMBPA-SECBINFHSA-N -1 1 312.329 1.751 20 0 DDADMM O=c1[n-]nc(C2CCN(c3nccn(C4CC4)c3=O)CC2)o1 ZINC000339967145 410583522 /nfs/dbraw/zinc/58/35/22/410583522.db2.gz HNKIBSOTCBEUMV-UHFFFAOYSA-N -1 1 303.322 1.051 20 0 DDADMM Cn1nccc1S(=O)(=O)[N-]c1cc2c(cc1F)CCC(=O)N2 ZINC000340078324 410660384 /nfs/dbraw/zinc/66/03/84/410660384.db2.gz PVRVGUYBBNGBRH-UHFFFAOYSA-N -1 1 324.337 1.245 20 0 DDADMM CCC[C@@H](NC(=O)CCC1CCN(C(C)=O)CC1)c1nn[n-]n1 ZINC000343714960 410641230 /nfs/dbraw/zinc/64/12/30/410641230.db2.gz AFGVJYYECZHXNU-CYBMUJFWSA-N -1 1 322.413 1.196 20 0 DDADMM CCC[C@@H](C(=O)N1CCN(c2nc(=N)[n-]s2)CC1)C(C)C ZINC000330535762 410756499 /nfs/dbraw/zinc/75/64/99/410756499.db2.gz PNQQIAYNNCBJRJ-LLVKDONJSA-N -1 1 311.455 1.672 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1cc(C)no1)[C@@H](O)C(F)(F)F ZINC000330543088 410761905 /nfs/dbraw/zinc/76/19/05/410761905.db2.gz IWWFTOGFMBAJJZ-RKDXNWHRSA-N -1 1 316.301 1.104 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CC2(C)C)o1 ZINC000343894081 410787265 /nfs/dbraw/zinc/78/72/65/410787265.db2.gz ZRIHSZXRADUXBY-JTQLQIEISA-N -1 1 314.407 1.885 20 0 DDADMM Cc1c([N-]S(=O)(=O)Cc2cc(C(C)(C)C)on2)cnn1C ZINC000330606226 410821958 /nfs/dbraw/zinc/82/19/58/410821958.db2.gz ZUOZGPWTOPFMTN-UHFFFAOYSA-N -1 1 312.395 1.956 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H](CO)C(C)C)cnc2n1 ZINC000360169345 411085599 /nfs/dbraw/zinc/08/55/99/411085599.db2.gz DNBJDCGIIPJPOA-LLVKDONJSA-N -1 1 303.362 1.638 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@H](CO)C(C)C)c2=O ZINC000360169345 411085605 /nfs/dbraw/zinc/08/56/05/411085605.db2.gz DNBJDCGIIPJPOA-LLVKDONJSA-N -1 1 303.362 1.638 20 0 DDADMM COc1ccccc1S(=O)(=O)[N-]c1ccnc(N(C)C)c1 ZINC000360258836 411115657 /nfs/dbraw/zinc/11/56/57/411115657.db2.gz REQLQGOFGLAHKL-UHFFFAOYSA-N -1 1 307.375 1.957 20 0 DDADMM COCCN(Cc1cccs1)C(=O)CCCc1nn[n-]n1 ZINC000635203384 422876640 /nfs/dbraw/zinc/87/66/40/422876640.db2.gz QLAPMFKKEIQVSX-UHFFFAOYSA-N -1 1 309.395 1.259 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@H](C)SC)c(=O)[n-]1 ZINC000129748325 196072513 /nfs/dbraw/zinc/07/25/13/196072513.db2.gz ASWJWGBIDAWJQE-QMMMGPOBSA-N -1 1 315.464 1.601 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2c(C(F)(F)F)cnn2C)no1 ZINC000631795107 422925507 /nfs/dbraw/zinc/92/55/07/422925507.db2.gz GFEXVSNLJXHLCM-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM C[C@@H](CNC(=O)NCCCC(=O)[O-])N1CCc2ccccc2C1 ZINC000580428535 422931127 /nfs/dbraw/zinc/93/11/27/422931127.db2.gz QWWDNIREABXKAD-ZDUSSCGKSA-N -1 1 319.405 1.597 20 0 DDADMM COc1nc(NCCCN2C[C@H](C)O[C@@H](C)C2)ccc1C(=O)[O-] ZINC000580776260 422953221 /nfs/dbraw/zinc/95/32/21/422953221.db2.gz PTTDVGADYTXPIN-RYUDHWBXSA-N -1 1 323.393 1.122 20 0 DDADMM CC(C)OC[C@H](O)CN(C)Cc1nc(=O)c2sccc2[n-]1 ZINC000131660239 196189397 /nfs/dbraw/zinc/18/93/97/196189397.db2.gz XDGQWIOXZRLERL-SNVBAGLBSA-N -1 1 311.407 1.202 20 0 DDADMM CCN1CC[C@H]([N-]S(=O)(=O)c2sccc2Cl)C1=O ZINC000649985742 423012530 /nfs/dbraw/zinc/01/25/30/423012530.db2.gz YVVQYTXHKZHMQG-QMMMGPOBSA-N -1 1 308.812 1.301 20 0 DDADMM CC(C)Cc1ccc(C(=O)N=c2ncn(CC(=O)[O-])[nH]2)cc1 ZINC000647782435 423046963 /nfs/dbraw/zinc/04/69/63/423046963.db2.gz MNEVWQOEQRSXJB-UHFFFAOYSA-N -1 1 302.334 1.235 20 0 DDADMM COC[C@H](C)C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645492266 423055603 /nfs/dbraw/zinc/05/56/03/423055603.db2.gz OORQXSYLYCAUEO-MRVPVSSYSA-N -1 1 312.313 1.661 20 0 DDADMM O=S(=O)([N-]CCO[C@@H]1CCCCO1)c1cccc(F)c1F ZINC000647885537 423099967 /nfs/dbraw/zinc/09/99/67/423099967.db2.gz WHYQFCSYKXNXMH-GFCCVEGCSA-N -1 1 321.345 1.786 20 0 DDADMM O=S(=O)([N-]Cc1cscn1)c1c[nH]nc1C(F)(F)F ZINC000647897354 423106433 /nfs/dbraw/zinc/10/64/33/423106433.db2.gz XJXQMCMDVCTLCL-UHFFFAOYSA-N -1 1 312.298 1.364 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)C1CC1 ZINC000645619538 423109216 /nfs/dbraw/zinc/10/92/16/423109216.db2.gz XRWOQBBMMUNCRL-JTQLQIEISA-N -1 1 324.324 1.804 20 0 DDADMM COCCn1cc(CN[C@H](C(=O)[O-])c2cccc(F)c2)cn1 ZINC000417557884 225362184 /nfs/dbraw/zinc/36/21/84/225362184.db2.gz SNIFBCBKJWKCNW-AWEZNQCLSA-N -1 1 307.325 1.584 20 0 DDADMM Cc1cc(CN[C@]2(C(=O)[O-])CCc3c2cccc3F)n(C)n1 ZINC000417566460 225365745 /nfs/dbraw/zinc/36/57/45/225365745.db2.gz MHRNCAFQBWFXLW-MRXNPFEDSA-N -1 1 303.337 1.884 20 0 DDADMM CSc1nc(CNC(=O)c2ccc(C)c(C)n2)cc(=O)[n-]1 ZINC000640655419 423115484 /nfs/dbraw/zinc/11/54/84/423115484.db2.gz MHFPDURCLCLUIU-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM CSc1nc(CNC(=O)C2(C(=O)C3CC3)CC2)cc(=O)[n-]1 ZINC000640655020 423115653 /nfs/dbraw/zinc/11/56/53/423115653.db2.gz HXAXVBOXQBAPBY-UHFFFAOYSA-N -1 1 307.375 1.280 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)C[C@@H]1O ZINC000645660317 423130873 /nfs/dbraw/zinc/13/08/73/423130873.db2.gz ZUOYEQMNKUPTPI-BDAKNGLRSA-N -1 1 324.324 1.538 20 0 DDADMM COCCC1([N-]S(=O)(=O)c2cc(C(=O)OC)co2)CCC1 ZINC000360379014 418421455 /nfs/dbraw/zinc/42/14/55/418421455.db2.gz RRGZVDNDKJVNHD-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCOc2ccccc21 ZINC000290770942 221907281 /nfs/dbraw/zinc/90/72/81/221907281.db2.gz OMMKYIHJBFBWBU-SNVBAGLBSA-N -1 1 323.374 1.410 20 0 DDADMM O=C(NC[C@H]1Cc2ccccc21)c1nc2ccccc2c(=O)[n-]1 ZINC000194260364 418541534 /nfs/dbraw/zinc/54/15/34/418541534.db2.gz VFNJEFAZYNJCKP-GFCCVEGCSA-N -1 1 305.337 1.993 20 0 DDADMM Cc1oc(C(C)C)cc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000367522081 418607490 /nfs/dbraw/zinc/60/74/90/418607490.db2.gz BFLKLRNTHUJIDF-LBPRGKRZSA-N -1 1 305.338 1.438 20 0 DDADMM Cc1nc([C@H](NC(=O)[C@@H]2CC[C@@H]2C(=O)[O-])c2ccccc2)n[nH]1 ZINC000375612127 418662961 /nfs/dbraw/zinc/66/29/61/418662961.db2.gz NFEZHWMWWRHQTH-FRRDWIJNSA-N -1 1 314.345 1.430 20 0 DDADMM O=C([O-])c1cccc(NS(=O)(=O)c2cnc3n2CCC3)c1 ZINC000361996954 418736553 /nfs/dbraw/zinc/73/65/53/418736553.db2.gz SNAKOZXOYZPMDM-UHFFFAOYSA-N -1 1 307.331 1.328 20 0 DDADMM Cc1cc(N2CCC(NC(=O)c3ncccc3[O-])CC2)ncn1 ZINC000363425579 418765588 /nfs/dbraw/zinc/76/55/88/418765588.db2.gz DNIOYVWLRHELDS-UHFFFAOYSA-N -1 1 313.361 1.284 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC[C@@H]1[C@H]1CCCC[C@H]1O ZINC000408064885 418784998 /nfs/dbraw/zinc/78/49/98/418784998.db2.gz MCQAXGHPIOMOJR-JHJVBQTASA-N -1 1 306.362 1.179 20 0 DDADMM Cn1cc(C(C)(C)C(=O)Nc2nc(-c3ccco3)n[nH]2)cn1 ZINC000411297105 418890524 /nfs/dbraw/zinc/89/05/24/418890524.db2.gz QYDCQIUDFFYWIE-UHFFFAOYSA-N -1 1 300.322 1.715 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H](CC)CC(F)(F)F ZINC000410666123 418837087 /nfs/dbraw/zinc/83/70/87/418837087.db2.gz XZDQTVGSMSFIOI-QMMMGPOBSA-N -1 1 321.299 1.936 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@@H]2CCN(C3CC3)C2)c1 ZINC000424627295 228296792 /nfs/dbraw/zinc/29/67/92/228296792.db2.gz VNJQSHXTCRNWHE-IPQOISQHSA-N -1 1 322.430 1.156 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1c(F)cc(C)cc1F)C1CC1 ZINC000425225559 228394169 /nfs/dbraw/zinc/39/41/69/228394169.db2.gz DGRPXQOBSYMNOW-GFCCVEGCSA-N -1 1 305.346 1.977 20 0 DDADMM COCCN(Cc1ccccn1)C(=O)c1ncc(C)cc1[O-] ZINC000426621097 419521768 /nfs/dbraw/zinc/52/17/68/419521768.db2.gz YTJYXJIDJUBGSD-UHFFFAOYSA-N -1 1 301.346 1.779 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncc(C)cc1[O-])c1ccsc1 ZINC000427815263 419742855 /nfs/dbraw/zinc/74/28/55/419742855.db2.gz YJSPFHFTMPJMAK-LLVKDONJSA-N -1 1 306.343 1.801 20 0 DDADMM O=c1nc(NCc2cc(F)c(Cl)cc2F)nc2[nH][n-]cc1-2 ZINC000432924281 229137678 /nfs/dbraw/zinc/13/76/78/229137678.db2.gz KUWLDNXYAAZUGW-UHFFFAOYSA-N -1 1 311.679 1.976 20 0 DDADMM Cc1cc(C)cc(CN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000434345179 229281038 /nfs/dbraw/zinc/28/10/38/229281038.db2.gz VUYQLVKYOQFDJM-UHFFFAOYSA-N -1 1 311.345 1.307 20 0 DDADMM COC(=O)[C@@H](C)N(C)c1ccc(=NCc2ccccc2F)[n-]n1 ZINC000418941901 420025455 /nfs/dbraw/zinc/02/54/55/420025455.db2.gz WSSXEZRCTPZUKX-LLVKDONJSA-N -1 1 318.352 1.647 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2c(C)nn(CC)c2C)n1 ZINC000415645635 420134946 /nfs/dbraw/zinc/13/49/46/420134946.db2.gz IJDBJDKWONJLEX-UHFFFAOYSA-N -1 1 319.365 1.601 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)NC(=O)CC(C)(C)C)n1 ZINC000415646532 420135721 /nfs/dbraw/zinc/13/57/21/420135721.db2.gz KXFIABQNLLKYPN-SECBINFHSA-N -1 1 324.381 1.466 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](Cc2ccccc2)OC)n1 ZINC000415650643 420136056 /nfs/dbraw/zinc/13/60/56/420136056.db2.gz RZDKPABBINFDPL-ZDUSSCGKSA-N -1 1 317.345 1.783 20 0 DDADMM CC[C@@H](C)[C@@H]1CCCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416167737 420266570 /nfs/dbraw/zinc/26/65/70/420266570.db2.gz UHDHPMJWBJAIGJ-ZJUUUORDSA-N -1 1 309.366 1.937 20 0 DDADMM CCC(C)(C)[C@@H]1CCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416178592 420270407 /nfs/dbraw/zinc/27/04/07/420270407.db2.gz KUVDKZLDHILERS-VIFPVBQESA-N -1 1 309.366 1.937 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CCC(C)(C)CC1 ZINC000416185849 420271940 /nfs/dbraw/zinc/27/19/40/420271940.db2.gz XKWFPNMXIVHOJQ-UHFFFAOYSA-N -1 1 309.366 1.843 20 0 DDADMM CCC[C@H](NC(=O)Cc1noc2ccc(F)cc12)c1nn[n-]n1 ZINC000416195206 420274785 /nfs/dbraw/zinc/27/47/85/420274785.db2.gz SPELBMGVTFMYFM-JTQLQIEISA-N -1 1 318.312 1.680 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCCn2cccn2)c1 ZINC000436508535 420334374 /nfs/dbraw/zinc/33/43/74/420334374.db2.gz PSPSIPVBQKSCJW-UHFFFAOYSA-N -1 1 303.318 1.794 20 0 DDADMM CC[C@H]1C(=O)N(CC)CCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000436526173 420337716 /nfs/dbraw/zinc/33/77/16/420337716.db2.gz PSKPWQWESSNNSQ-ZDUSSCGKSA-N -1 1 304.346 1.044 20 0 DDADMM O=C(c1ccc2[nH]cnc2c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425289397 420339442 /nfs/dbraw/zinc/33/94/42/420339442.db2.gz DCCKCZRCKGRAEN-UHFFFAOYSA-N -1 1 313.317 1.671 20 0 DDADMM O=C(COc1ccsc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425297333 420343103 /nfs/dbraw/zinc/34/31/03/420343103.db2.gz IFAKOMLXKJDDIJ-SECBINFHSA-N -1 1 309.347 1.622 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC[C@](F)(CO)C1 ZINC000436714285 420358743 /nfs/dbraw/zinc/35/87/43/420358743.db2.gz HSYRHGODAPBYAU-GFCCVEGCSA-N -1 1 318.142 1.701 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2c(C)cccc2Cl)no1 ZINC000416410080 420361864 /nfs/dbraw/zinc/36/18/64/420361864.db2.gz WJMIDWWJUCPDCT-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM O=C(NC[C@H]1CCCS(=O)(=O)C1)c1ccc([O-])cc1F ZINC000436738854 420362553 /nfs/dbraw/zinc/36/25/53/420362553.db2.gz VAILCJFTMLSTFO-SECBINFHSA-N -1 1 301.339 1.086 20 0 DDADMM COC(=O)c1cncc(NC(=O)c2ccc(OC)cc2[O-])c1 ZINC000436856033 420377875 /nfs/dbraw/zinc/37/78/75/420377875.db2.gz XAGRRMPHFYFVLQ-UHFFFAOYSA-N -1 1 302.286 1.835 20 0 DDADMM CCOC[C@@H](O)CNC(=O)c1cc(Cl)c([O-])c(OCC)c1 ZINC000436964887 420386359 /nfs/dbraw/zinc/38/63/59/420386359.db2.gz HXPBELQQLGQLBP-JTQLQIEISA-N -1 1 317.769 1.572 20 0 DDADMM Cn1cnc(CC[N-]S(=O)(=O)c2c(F)cccc2Cl)c1 ZINC000416530306 420409211 /nfs/dbraw/zinc/40/92/11/420409211.db2.gz FSHYMAQTNSJUML-UHFFFAOYSA-N -1 1 317.773 1.734 20 0 DDADMM CC[C@](COC)([N-]S(=O)(=O)CCC1CCCC1)C(=O)OC ZINC000416513761 420402267 /nfs/dbraw/zinc/40/22/67/420402267.db2.gz DVPRPFIJSAKROM-CQSZACIVSA-N -1 1 321.439 1.454 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000416575205 420422442 /nfs/dbraw/zinc/42/24/42/420422442.db2.gz AKQHWXLJQYWGPJ-NSHDSACASA-N -1 1 319.329 1.585 20 0 DDADMM Cn1cccc1C[N-]S(=O)(=O)c1c(F)cc(F)cc1F ZINC000439234440 420500606 /nfs/dbraw/zinc/50/06/06/420500606.db2.gz JGEWYFHJABBEDC-UHFFFAOYSA-N -1 1 304.293 1.921 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1cccc(S(C)(=O)=O)c1 ZINC000439258065 420502556 /nfs/dbraw/zinc/50/25/56/420502556.db2.gz MHFJLZPGFDTZQS-UHFFFAOYSA-N -1 1 321.420 1.257 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1cncc(OC)c1)c1nn[n-]n1 ZINC000492494502 420574861 /nfs/dbraw/zinc/57/48/61/420574861.db2.gz ZZKNQFJXWULGLM-FYJFLYSWSA-N -1 1 302.338 1.274 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCC[C@H](C)C1 ZINC000457000103 420584885 /nfs/dbraw/zinc/58/48/85/420584885.db2.gz KWLGHTRHVAZLJS-DCAQKATOSA-N -1 1 303.366 1.362 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-][C@H](c2ccccc2)C(F)F)n1 ZINC000451038509 420585859 /nfs/dbraw/zinc/58/58/59/420585859.db2.gz NFARHFCQEXMDTE-LLVKDONJSA-N -1 1 301.318 1.705 20 0 DDADMM C[C@H](O)CCS(=O)(=O)c1n[n-]c(CCC2CCCC2)n1 ZINC000453012582 420701615 /nfs/dbraw/zinc/70/16/15/420701615.db2.gz FAWKWDMOUYMKPL-JTQLQIEISA-N -1 1 301.412 1.472 20 0 DDADMM CCn1ccnc1[C@@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454333984 420841649 /nfs/dbraw/zinc/84/16/49/420841649.db2.gz SKXDMXGOHXVGRK-SNVBAGLBSA-N -1 1 311.345 1.631 20 0 DDADMM CCC[C@@H](NC(=O)COC(C)(C)C(F)(F)F)c1nn[n-]n1 ZINC000447503977 420776372 /nfs/dbraw/zinc/77/63/72/420776372.db2.gz JCIVRFKMPIGAOC-SSDOTTSWSA-N -1 1 309.292 1.515 20 0 DDADMM C[C@H](OCc1ccc(F)cc1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000450003554 421126485 /nfs/dbraw/zinc/12/64/85/421126485.db2.gz HSZRPGZWIGKEKX-VIFPVBQESA-N -1 1 307.329 1.295 20 0 DDADMM CO[C@@H]([C@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O)C1CC1 ZINC000456541810 421180067 /nfs/dbraw/zinc/18/00/67/421180067.db2.gz DAHWQDOZORTSJE-SDBXPKJASA-N -1 1 304.350 1.217 20 0 DDADMM CC(C)[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000546798922 421311444 /nfs/dbraw/zinc/31/14/44/421311444.db2.gz DFCCKSHDDRDJIQ-LLVKDONJSA-N -1 1 303.366 1.316 20 0 DDADMM O=C(CCC(=O)c1cccs1)NC1(c2nn[n-]n2)CCCC1 ZINC000524577322 421263048 /nfs/dbraw/zinc/26/30/48/421263048.db2.gz IFHZGRKLVFCYCR-UHFFFAOYSA-N -1 1 319.390 1.810 20 0 DDADMM C[C@H](O)CN(Cc1ccccc1)C(=O)c1cccc2nn[nH]c21 ZINC000527108703 421355062 /nfs/dbraw/zinc/35/50/62/421355062.db2.gz WSXMNUDFKFOUMW-LBPRGKRZSA-N -1 1 310.357 1.981 20 0 DDADMM O=C(c1ccc(-c2nc[nH]n2)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000547779958 421366222 /nfs/dbraw/zinc/36/62/22/421366222.db2.gz OSWFIVUOOWNCOH-LBPRGKRZSA-N -1 1 324.348 1.005 20 0 DDADMM O=C(Cc1ccc(Cl)c(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000548065336 421395602 /nfs/dbraw/zinc/39/56/02/421395602.db2.gz XOEUIVRFJKJGBG-SNVBAGLBSA-N -1 1 323.759 1.941 20 0 DDADMM COCCN(C(=O)c1cccc2nn[nH]c21)[C@H]1CCCSC1 ZINC000548071574 421395762 /nfs/dbraw/zinc/39/57/62/421395762.db2.gz PGUDWJNZZKWVES-NSHDSACASA-N -1 1 320.418 1.942 20 0 DDADMM COc1cc(=NC(=O)c2cnc3nc(C)ccc3c2[O-])[nH]n1C ZINC000548189292 421410656 /nfs/dbraw/zinc/41/06/56/421410656.db2.gz WXSIDGQYERWDQI-UHFFFAOYSA-N -1 1 313.317 1.060 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000563026803 421441649 /nfs/dbraw/zinc/44/16/49/421441649.db2.gz FJAAJRSTLNQUHX-KWCYVHTRSA-N -1 1 314.389 1.899 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC([C@H](O)C(F)(F)F)CC1 ZINC000547984487 421385872 /nfs/dbraw/zinc/38/58/72/421385872.db2.gz WLSRZLYVWNUXQF-NSHDSACASA-N -1 1 304.268 1.563 20 0 DDADMM Cn1cc(C[C@H](CO)CNC(=O)c2cc(Cl)ccc2[O-])cn1 ZINC000549847535 421510245 /nfs/dbraw/zinc/51/02/45/421510245.db2.gz SHZFAJMZFQABRT-NSHDSACASA-N -1 1 323.780 1.360 20 0 DDADMM CCO[C@@H](C(=O)NC1(c2nn[n-]n2)CCCC1)c1ccccc1 ZINC000563317737 421484544 /nfs/dbraw/zinc/48/45/44/421484544.db2.gz YZMXPCUTFGCFLD-CYBMUJFWSA-N -1 1 315.377 1.863 20 0 DDADMM COCc1ccccc1S(=O)(=O)N=c1cc2ccccn2[n-]1 ZINC000551952012 421559980 /nfs/dbraw/zinc/55/99/80/421559980.db2.gz BSXMEAVFEXEXMK-UHFFFAOYSA-N -1 1 317.370 1.703 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)[C@@H](C)c2ccc(F)cc2)n1 ZINC000552020112 421568280 /nfs/dbraw/zinc/56/82/80/421568280.db2.gz KADCZCKRSSJKBD-QMMMGPOBSA-N -1 1 312.322 1.870 20 0 DDADMM C[C@H](CNc1nc(C(F)(F)F)nc2[nH]cnc21)CC(=O)[O-] ZINC000563626546 421530424 /nfs/dbraw/zinc/53/04/24/421530424.db2.gz YRKLBEQLCDVPSY-YFKPBYRVSA-N -1 1 303.244 1.846 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(N2CCCC2)ccn1)c1nn[n-]n1 ZINC000563675596 421533182 /nfs/dbraw/zinc/53/31/82/421533182.db2.gz LWGSHJZCACMHSH-LLVKDONJSA-N -1 1 315.381 1.071 20 0 DDADMM Cn1[n-]c(CN2CC[C@@H](Oc3ccc(Cl)cc3)C2)nc1=O ZINC000555687295 421687988 /nfs/dbraw/zinc/68/79/88/421687988.db2.gz VFTMWIBDBSEEPM-GFCCVEGCSA-N -1 1 308.769 1.415 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCO[C@H](C(=O)C2CC2)C1 ZINC000519569653 421703054 /nfs/dbraw/zinc/70/30/54/421703054.db2.gz YWMKHGDWJSFNPC-NSHDSACASA-N -1 1 311.284 1.491 20 0 DDADMM CC1=CCN(CCNC(=O)N=c2[n-]nc(C3CC3)s2)CC1 ZINC000555169902 421671141 /nfs/dbraw/zinc/67/11/41/421671141.db2.gz MEYVGQSOIKSXKG-UHFFFAOYSA-N -1 1 307.423 1.611 20 0 DDADMM CC[C@@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1c(C)noc1C ZINC000538226759 421737521 /nfs/dbraw/zinc/73/75/21/421737521.db2.gz XXYQWTAHNOTETJ-NWDGAFQWSA-N -1 1 318.381 1.704 20 0 DDADMM C[C@@H](c1ccccc1)N(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000557618317 421780416 /nfs/dbraw/zinc/78/04/16/421780416.db2.gz CEKDKJZGGAZCDX-NSHDSACASA-N -1 1 323.356 1.783 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H](CC(=O)[O-])c1cccs1 ZINC000630103173 421889956 /nfs/dbraw/zinc/88/99/56/421889956.db2.gz YEMADIUSSYZDOL-ONGXEEELSA-N -1 1 307.375 1.982 20 0 DDADMM C[C@H]([C@H]1Cc2ccccc2O1)N(C)Cc1cc(C(=O)[O-])nn1C ZINC000635303762 421890956 /nfs/dbraw/zinc/89/09/56/421890956.db2.gz NOJKBWXZQJCRDW-BDJLRTHQSA-N -1 1 315.373 1.942 20 0 DDADMM CO[C@H](CNC(=O)CCc1nn[n-]n1)c1ccccc1Cl ZINC000631854034 421891165 /nfs/dbraw/zinc/89/11/65/421891165.db2.gz MZEBDZMFKKAOJS-LLVKDONJSA-N -1 1 309.757 1.290 20 0 DDADMM O=C(C(=O)N1CCC[C@H]1[C@H]1CCCOC1)c1ccc([O-])cc1 ZINC000580835872 421846774 /nfs/dbraw/zinc/84/67/74/421846774.db2.gz UBFULULMHSOXPU-ZFWWWQNUSA-N -1 1 303.358 1.993 20 0 DDADMM CCN(C[C@@H]1CCOC1)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631814200 421852469 /nfs/dbraw/zinc/85/24/69/421852469.db2.gz FOHFOAYDJBMLIN-NSHDSACASA-N -1 1 315.391 1.448 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@@]1(CCO)CCOC1 ZINC000631825656 421860629 /nfs/dbraw/zinc/86/06/29/421860629.db2.gz PKLNFXJLWVTWDC-OAHLLOKOSA-N -1 1 313.781 1.493 20 0 DDADMM COc1cc(NC(=O)[C@@H]2CCCN2C2CC2)ccc1C(=O)[O-] ZINC000630185277 421943341 /nfs/dbraw/zinc/94/33/41/421943341.db2.gz ZFYFWSLYPBYBHD-ZDUSSCGKSA-N -1 1 304.346 1.959 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N(C)c1ccc(OCC(=O)[O-])cc1 ZINC000630192808 421950686 /nfs/dbraw/zinc/95/06/86/421950686.db2.gz XYAUYBOYFLJZPD-NSHDSACASA-N -1 1 317.345 1.715 20 0 DDADMM CSCC[C@H](O)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000581371693 421958037 /nfs/dbraw/zinc/95/80/37/421958037.db2.gz IISGEBMSGLOPRQ-LBPRGKRZSA-N -1 1 307.375 1.835 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccnc(C3CC3)c2)CC1 ZINC000630221169 421970373 /nfs/dbraw/zinc/97/03/73/421970373.db2.gz VDKXMRMWRKCIQK-GFCCVEGCSA-N -1 1 317.389 1.580 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccc3[nH]ccc3c2)CC1 ZINC000630221546 421970687 /nfs/dbraw/zinc/97/06/87/421970687.db2.gz BOFQEXDKKQDYPD-UHFFFAOYSA-N -1 1 315.373 1.789 20 0 DDADMM C[C@H](NC(=O)c1cnccc1N(C)C)c1ncc(C(=O)[O-])s1 ZINC000630220482 421971284 /nfs/dbraw/zinc/97/12/84/421971284.db2.gz UHPUOSYZDPEFPM-QMMMGPOBSA-N -1 1 320.374 1.793 20 0 DDADMM COc1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)ccc1C ZINC000630223259 421972293 /nfs/dbraw/zinc/97/22/93/421972293.db2.gz HEWBKNSNUURXHD-UHFFFAOYSA-N -1 1 320.389 1.625 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCOC[C@H]2CCOC2)sn1 ZINC000632020209 422026700 /nfs/dbraw/zinc/02/67/00/422026700.db2.gz WVXLONGTMXUPKH-LLVKDONJSA-N -1 1 320.436 1.173 20 0 DDADMM O=C([O-])[C@@]1(CNC(=O)c2n[nH]c3ccccc32)CCCOC1 ZINC000630335931 422028923 /nfs/dbraw/zinc/02/89/23/422028923.db2.gz AYRQESKCQUWBPF-OAHLLOKOSA-N -1 1 303.318 1.174 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc3cc[nH]c3c2)CC1 ZINC000630225045 421976221 /nfs/dbraw/zinc/97/62/21/421976221.db2.gz SFLQWMPKBJVGNG-GFCCVEGCSA-N -1 1 315.373 1.789 20 0 DDADMM Cc1nnc([C@H](C)N2CC[C@](C(=O)[O-])(c3ccccc3)C2)[nH]1 ZINC000573618999 421990076 /nfs/dbraw/zinc/99/00/76/421990076.db2.gz NWIQMPVLMXJQGR-MEDUHNTESA-N -1 1 300.362 1.902 20 0 DDADMM O=c1cc(CS(=O)(=O)c2ccc([O-])cc2)nc(C2CC2)[nH]1 ZINC000581654599 422022345 /nfs/dbraw/zinc/02/23/45/422022345.db2.gz WIVMNWHXPQUVEB-UHFFFAOYSA-N -1 1 306.343 1.739 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCCN1CCCC1=O ZINC000630419235 422088842 /nfs/dbraw/zinc/08/88/42/422088842.db2.gz QINVCAKAZIYPAM-UHFFFAOYSA-N -1 1 310.781 1.717 20 0 DDADMM Cc1nc2c(s1)[C@H](N(C)C(=O)CCCc1nn[n-]n1)CCC2 ZINC000635559210 422110669 /nfs/dbraw/zinc/11/06/69/422110669.db2.gz ACVYQSWBAVDJRG-LLVKDONJSA-N -1 1 320.422 1.823 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1csc(C(F)(F)F)c1 ZINC000633693661 422055482 /nfs/dbraw/zinc/05/54/82/422055482.db2.gz ARHIAQSEPQNYAM-UHFFFAOYSA-N -1 1 305.285 1.529 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC[C@@H]2CCO[C@@H](C)C2)sn1 ZINC000632202215 422158785 /nfs/dbraw/zinc/15/87/85/422158785.db2.gz AHMWXKBTGGMOOE-WDEREUQCSA-N -1 1 304.437 1.935 20 0 DDADMM C[C@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632203096 422160056 /nfs/dbraw/zinc/16/00/56/422160056.db2.gz MEWVNHDXRKWXRU-HZGVNTEJSA-N -1 1 313.301 1.274 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](CO)c2ccsc2)sn1 ZINC000632227207 422177892 /nfs/dbraw/zinc/17/78/92/422177892.db2.gz RDNMOUHJBHCEOJ-VIFPVBQESA-N -1 1 304.418 1.525 20 0 DDADMM O=C([O-])[C@@]1(CN=c2nc(-c3ccccc3)[nH]s2)CCCOC1 ZINC000630463000 422117822 /nfs/dbraw/zinc/11/78/22/422117822.db2.gz HOSIRJAAIVLIGZ-OAHLLOKOSA-N -1 1 319.386 1.920 20 0 DDADMM C[C@H]1CCN(Cc2nc(N)c3ccccc3n2)C[C@H]1C(=O)[O-] ZINC000574518417 422124547 /nfs/dbraw/zinc/12/45/47/422124547.db2.gz GRHIAWBPVUGPDU-CMPLNLGQSA-N -1 1 300.362 1.585 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCOC[C@H]2C2CC2)c1 ZINC000632155443 422125088 /nfs/dbraw/zinc/12/50/88/422125088.db2.gz ZHDDCTRZOKIVAT-LBPRGKRZSA-N -1 1 313.375 1.200 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CCC(=O)N1C[C@@H]2C[C@@H]2C1 ZINC000628507607 422203692 /nfs/dbraw/zinc/20/36/92/422203692.db2.gz FHYJWLKYIGHRGT-OKILXGFUSA-N -1 1 324.384 1.964 20 0 DDADMM CC[C@@H](C)[C@H]([N-]S(=O)(=O)CC1(N(C)C)CC1)C(F)(F)F ZINC000632280368 422220513 /nfs/dbraw/zinc/22/05/13/422220513.db2.gz ADUUKSVPZVKBJZ-ZJUUUORDSA-N -1 1 316.389 1.977 20 0 DDADMM Cn1ncc(C(F)(F)F)c1S(=O)(=O)[N-]C(C)(C)C(F)F ZINC000632291862 422225877 /nfs/dbraw/zinc/22/58/77/422225877.db2.gz IUTBCFWHRUCIDG-UHFFFAOYSA-N -1 1 321.271 1.761 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1COc2ccc(Cl)cc21 ZINC000635690592 422242113 /nfs/dbraw/zinc/24/21/13/422242113.db2.gz VALMQTAQGSMIGB-SNVBAGLBSA-N -1 1 307.741 1.426 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])[C@@](C)(CO)C1 ZINC000634073368 422254224 /nfs/dbraw/zinc/25/42/24/422254224.db2.gz WCGLEEKLWSDIHF-YVEFUNNKSA-N -1 1 316.357 1.552 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC(CCO)(CCO)CC1 ZINC000634112112 422269364 /nfs/dbraw/zinc/26/93/64/422269364.db2.gz RQXVVYULIZVMSW-UHFFFAOYSA-N -1 1 311.353 1.519 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000584175859 422276849 /nfs/dbraw/zinc/27/68/49/422276849.db2.gz NZDBLFFGOYTDTQ-KGLIPLIRSA-N -1 1 310.369 1.901 20 0 DDADMM Cc1cc(F)ccc1[C@H]1CCCN1CC(=O)Nc1nnn[n-]1 ZINC000584218368 422284516 /nfs/dbraw/zinc/28/45/16/422284516.db2.gz SJFHFZYYNOIIQL-GFCCVEGCSA-N -1 1 304.329 1.423 20 0 DDADMM Cc1cc(F)ccc1[C@H]1CCCN1CC(=O)Nc1nn[n-]n1 ZINC000584218368 422284520 /nfs/dbraw/zinc/28/45/20/422284520.db2.gz SJFHFZYYNOIIQL-GFCCVEGCSA-N -1 1 304.329 1.423 20 0 DDADMM Cc1cc(C[C@@H](C)[N-]S(=O)(=O)c2cccc(F)c2F)[nH]n1 ZINC000632394500 422305833 /nfs/dbraw/zinc/30/58/33/422305833.db2.gz RKRVIMYVCQXMJO-SECBINFHSA-N -1 1 315.345 1.906 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2cc(C)ns2)CCCC1 ZINC000632426553 422334314 /nfs/dbraw/zinc/33/43/14/422334314.db2.gz DNOCGCKZFKZIGD-UHFFFAOYSA-N -1 1 304.437 1.937 20 0 DDADMM CCO[C@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)C1 ZINC000632438472 422343852 /nfs/dbraw/zinc/34/38/52/422343852.db2.gz FKOTXNPQIOUNCZ-KNVOCYPGSA-N -1 1 313.301 1.274 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H]2C[C@@H]21)c1c[nH]nc1C(F)(F)F ZINC000632441686 422346258 /nfs/dbraw/zinc/34/62/58/422346258.db2.gz ITQGUAYMRBLFTD-CSMHCCOUSA-N -1 1 309.313 1.895 20 0 DDADMM CN(CCCCNC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC000630805837 422348039 /nfs/dbraw/zinc/34/80/39/422348039.db2.gz LOCOETRILBPEOO-UHFFFAOYSA-N -1 1 302.382 1.165 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCc2scnc2C)sn1 ZINC000632407410 422318458 /nfs/dbraw/zinc/31/84/58/422318458.db2.gz RJDUCILZXSRMSI-UHFFFAOYSA-N -1 1 303.434 1.737 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2c(C3CC3)cnn2C)sn1 ZINC000632558820 422435251 /nfs/dbraw/zinc/43/52/51/422435251.db2.gz YWYZYWKOJCCTBY-UHFFFAOYSA-N -1 1 312.420 1.541 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCS[C@@H]2C)c1 ZINC000632504980 422397170 /nfs/dbraw/zinc/39/71/70/422397170.db2.gz QIIATJVRVGHXON-SCZZXKLOSA-N -1 1 303.405 1.573 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(C)(F)CC2)o1 ZINC000634357464 422405173 /nfs/dbraw/zinc/40/51/73/422405173.db2.gz VEIZXONZNGGTQB-UHFFFAOYSA-N -1 1 304.343 1.152 20 0 DDADMM CCCn1ccnc1CCCN(C)C(=O)CCCc1nn[n-]n1 ZINC000635855546 422411389 /nfs/dbraw/zinc/41/13/89/422411389.db2.gz NVAZKRYAOPWBHQ-UHFFFAOYSA-N -1 1 319.413 1.220 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@H]1CC[C@@H](C(F)(F)F)NC1=O ZINC000628961091 422422891 /nfs/dbraw/zinc/42/28/91/422422891.db2.gz OPSXBSOMHWPOJJ-MUWHJKNJSA-N -1 1 320.242 1.927 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-][C@H](C)[C@H](O)C(F)(F)F)s1 ZINC000632673263 422509993 /nfs/dbraw/zinc/50/99/93/422509993.db2.gz IYXLMJXSXLEDSX-XRGYYRRGSA-N -1 1 318.342 1.296 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H](c2ccccn2)C1 ZINC000635960528 422514961 /nfs/dbraw/zinc/51/49/61/422514961.db2.gz SNNWMEGEDSNSII-GFCCVEGCSA-N -1 1 300.366 1.324 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H](C2CCC2)CC1 ZINC000634522307 422468678 /nfs/dbraw/zinc/46/86/78/422468678.db2.gz FKZRRROCSYVABY-LLVKDONJSA-N -1 1 321.377 1.939 20 0 DDADMM CCS(=O)(=O)NCCSc1nc(C)c(C2CC2)c(=O)[n-]1 ZINC000631024748 422477952 /nfs/dbraw/zinc/47/79/52/422477952.db2.gz ZEKJNEJFRWSIRC-UHFFFAOYSA-N -1 1 317.436 1.399 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1(c2ccccn2)CCC1 ZINC000635924244 422478017 /nfs/dbraw/zinc/47/80/17/422478017.db2.gz RWPZWRHVNXNMCE-UHFFFAOYSA-N -1 1 300.366 1.156 20 0 DDADMM C[C@H](CN1CCc2ccccc2[C@@H]1C(=O)[O-])CS(C)(=O)=O ZINC000578330363 422547596 /nfs/dbraw/zinc/54/75/96/422547596.db2.gz JBTRKPWMNKOQDK-BXUZGUMPSA-N -1 1 311.403 1.351 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCCn3nccc32)sc1C ZINC000632804804 422591314 /nfs/dbraw/zinc/59/13/14/422591314.db2.gz GDQBGSHZNQCROR-JTQLQIEISA-N -1 1 312.420 1.770 20 0 DDADMM NC(=O)N1CCC[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629376253 422637229 /nfs/dbraw/zinc/63/72/29/422637229.db2.gz SXQDHSMNNBECRI-LBPRGKRZSA-N -1 1 315.333 1.060 20 0 DDADMM C[C@H]1CC[N@H+](CC(=O)Nc2ccc(-n3ccc(=O)[nH]3)cc2)C1 ZINC000629379535 422640081 /nfs/dbraw/zinc/64/00/81/422640081.db2.gz DVOAUJNMUICIKK-LBPRGKRZSA-N -1 1 300.362 1.858 20 0 DDADMM C[C@@H]1CC[N@H+](CC(=O)Nc2ccc(-n3ccc(=O)[nH]3)cc2)C1 ZINC000629379534 422640276 /nfs/dbraw/zinc/64/02/76/422640276.db2.gz DVOAUJNMUICIKK-GFCCVEGCSA-N -1 1 300.362 1.858 20 0 DDADMM CN(CC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C(=O)C1CC1 ZINC000629376841 422640366 /nfs/dbraw/zinc/64/03/66/422640366.db2.gz BPLCLJZMJUKGHI-UHFFFAOYSA-N -1 1 314.345 1.385 20 0 DDADMM COc1ccc([N-]S(=O)(=O)CC2(OC)CCC2)c(OC)n1 ZINC000634787498 422647103 /nfs/dbraw/zinc/64/71/03/422647103.db2.gz CAZYCJBCNANTHQ-UHFFFAOYSA-N -1 1 316.379 1.410 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@]3(C)CCNC3=O)[nH][n-]2)s1 ZINC000636142973 422674008 /nfs/dbraw/zinc/67/40/08/422674008.db2.gz GJVUHHHHADXDSJ-CQSZACIVSA-N -1 1 304.375 1.333 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1C[C@H]1c1cccc(Br)c1 ZINC000653336122 423444278 /nfs/dbraw/zinc/44/42/78/423444278.db2.gz NTVGIDFUQIEALX-VHSXEESVSA-N -1 1 322.166 1.382 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCCNC(=O)NC1CCCCC1 ZINC000646411363 423481074 /nfs/dbraw/zinc/48/10/74/423481074.db2.gz DDFOIZAIWIPHJB-UHFFFAOYSA-N -1 1 323.397 1.774 20 0 DDADMM CN1CCN(C(=O)N=c2[n-]nc(C(F)(F)F)s2)CC1(C)C ZINC000639487393 423531043 /nfs/dbraw/zinc/53/10/43/423531043.db2.gz XUGLSRPNGZLFRX-UHFFFAOYSA-N -1 1 323.344 1.537 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(-c2ccccn2)nc1)c1nn[n-]n1 ZINC000651720599 423656814 /nfs/dbraw/zinc/65/68/14/423656814.db2.gz FIUKMUJECKXPNW-LLVKDONJSA-N -1 1 323.360 1.532 20 0 DDADMM C[C@H]1CCCCN1C(=O)CN1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639826147 423765742 /nfs/dbraw/zinc/76/57/42/423765742.db2.gz RSBIPQUNLGFVDJ-RYUDHWBXSA-N -1 1 307.398 1.063 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(CC(C)C)c1)C(C)C ZINC000647127935 423767085 /nfs/dbraw/zinc/76/70/85/423767085.db2.gz IEZVUGFICQFOHI-ZDUSSCGKSA-N -1 1 303.428 1.952 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)C[C@@H]2CCCCO2)cs1 ZINC000641688658 423865816 /nfs/dbraw/zinc/86/58/16/423865816.db2.gz KGDNBYBFEOVIHO-VIFPVBQESA-N -1 1 304.393 1.158 20 0 DDADMM COc1ccc(CCN=c2[nH]c(C(=O)[O-])co2)c(OC)c1OC ZINC000649387083 423838093 /nfs/dbraw/zinc/83/80/93/423838093.db2.gz DIVDTXVRZKZNMY-UHFFFAOYSA-N -1 1 322.317 1.475 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cccnc2OC(C)C)CC1 ZINC000641683326 423851724 /nfs/dbraw/zinc/85/17/24/423851724.db2.gz XORBBMMWZMCLFP-UHFFFAOYSA-N -1 1 300.380 1.790 20 0 DDADMM CN(C)CCN(CC(=O)[O-])C(=O)[C@H]1CCC(=O)c2ccccc21 ZINC000647200745 423854387 /nfs/dbraw/zinc/85/43/87/423854387.db2.gz CTLUSYVAWKONNI-AWEZNQCLSA-N -1 1 318.373 1.222 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]C(C)(C)C(F)F)[C@@H]1CCOC1 ZINC000656976587 423948141 /nfs/dbraw/zinc/94/81/41/423948141.db2.gz KINOOHHSDZUZJO-BDAKNGLRSA-N -1 1 301.355 1.001 20 0 DDADMM CC[C@H](O)CC[N-]S(=O)(=O)c1ncccc1Br ZINC000656936666 423898375 /nfs/dbraw/zinc/89/83/75/423898375.db2.gz NBTGCOCRELZFDO-QMMMGPOBSA-N -1 1 323.212 1.283 20 0 DDADMM CC[C@H]1CN2CCCC[C@H]2CN1C(=O)c1ccc(C(=O)[O-])cn1 ZINC000649462194 423928311 /nfs/dbraw/zinc/92/83/11/423928311.db2.gz JJOUXWGZYOBQKR-KBPBESRZSA-N -1 1 317.389 1.869 20 0 DDADMM CS(=O)(=O)C1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000654469534 423929362 /nfs/dbraw/zinc/92/93/62/423929362.db2.gz IBLINVPHJNDSTP-UHFFFAOYSA-N -1 1 323.292 1.280 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644884447 424012975 /nfs/dbraw/zinc/01/29/75/424012975.db2.gz ZHUFXIKOVDKAAL-LDYMZIIASA-N -1 1 319.365 1.625 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@H]1OCc2ccccc21)c1nn[n-]n1 ZINC000654997844 424104969 /nfs/dbraw/zinc/10/49/69/424104969.db2.gz NXKXBOWTBTXCIQ-GXFFZTMASA-N -1 1 301.350 1.423 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1ccc(C)c(C)c1 ZINC000657121464 424130524 /nfs/dbraw/zinc/13/05/24/424130524.db2.gz QBQIZPOSSUFTJH-UHFFFAOYSA-N -1 1 309.391 1.703 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)CC1CC1)c1ccc(F)c(F)c1F ZINC000657181749 424188842 /nfs/dbraw/zinc/18/88/42/424188842.db2.gz BCXZRKBDKFCUEZ-SECBINFHSA-N -1 1 323.336 1.791 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H](O)C12CCC2)c1cccc(F)c1F ZINC000657183749 424193438 /nfs/dbraw/zinc/19/34/38/424193438.db2.gz DCWIUFSLCXKPHR-GHMZBOCLSA-N -1 1 303.330 1.547 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](O)C12CCC2)c1sccc1Cl ZINC000657189054 424202752 /nfs/dbraw/zinc/20/27/52/424202752.db2.gz TXNWMMOTIBJIKQ-DTWKUNHWSA-N -1 1 307.824 1.983 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@@H]1CCCNC1=O ZINC000640337997 424359102 /nfs/dbraw/zinc/35/91/02/424359102.db2.gz RHGFDFWCFUAZTA-VIFPVBQESA-N -1 1 304.375 1.366 20 0 DDADMM CN(C(=O)N=c1[n-]sc2ccccc21)[C@H]1C[C@@H](C(N)=O)C1 ZINC000640343404 424362329 /nfs/dbraw/zinc/36/23/29/424362329.db2.gz PLGDXQIBYKBFHX-DTORHVGOSA-N -1 1 304.375 1.446 20 0 DDADMM O=C(CNC(=O)N=c1[n-]sc2ccccc21)NC1CCCC1 ZINC000640340986 424362408 /nfs/dbraw/zinc/36/24/08/424362408.db2.gz ACULNPJYGNEMLF-UHFFFAOYSA-N -1 1 318.402 1.899 20 0 DDADMM CCc1ccccc1NC(=O)CN1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000662220516 424486448 /nfs/dbraw/zinc/48/64/48/424486448.db2.gz LGTCLESAYRALCS-CXAGYDPISA-N -1 1 318.373 1.221 20 0 DDADMM O=C([O-])CN1CC[C@H](N(CCc2cccc(F)c2)C2CC2)C1=O ZINC000662204800 424468483 /nfs/dbraw/zinc/46/84/83/424468483.db2.gz JAXIDSRDUKLPRL-HNNXBMFYSA-N -1 1 320.364 1.518 20 0 DDADMM O=C([O-])[C@H](Cc1ccncc1)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000655600369 424587923 /nfs/dbraw/zinc/58/79/23/424587923.db2.gz DVTXPNGMGHOGLM-FZMZJTMJSA-N -1 1 314.345 1.037 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(C)onc1N)[C@@H]1CCCCO1 ZINC000655666960 424619292 /nfs/dbraw/zinc/61/92/92/424619292.db2.gz SSOAZTLQTNISQS-ZJUUUORDSA-N -1 1 303.384 1.191 20 0 DDADMM C[C@@H](CNC(=O)NC[C@H](c1cccc(F)c1)N(C)C)C(=O)[O-] ZINC000655860963 424684185 /nfs/dbraw/zinc/68/41/85/424684185.db2.gz YKOGNPWXKWWKAP-GXFFZTMASA-N -1 1 311.357 1.448 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCCO1 ZINC000342148639 271319919 /nfs/dbraw/zinc/31/99/19/271319919.db2.gz XJNGSNXXEUCUBJ-ZDUSSCGKSA-N -1 1 318.377 1.561 20 0 DDADMM CC(C)CC1(CNC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343828400 271882921 /nfs/dbraw/zinc/88/29/21/271882921.db2.gz NNDDXYSBAPEFFC-UHFFFAOYSA-N -1 1 305.378 1.460 20 0 DDADMM COC(=O)c1sc2[n-]c(-c3ccncn3)nc(=O)c2c1C ZINC000345399783 272240542 /nfs/dbraw/zinc/24/05/42/272240542.db2.gz ZDOAPXQYMQGERM-UHFFFAOYSA-N -1 1 302.315 1.949 20 0 DDADMM CC(C)(NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1F ZINC000345860942 272351730 /nfs/dbraw/zinc/35/17/30/272351730.db2.gz IQCDMTCNSVMHGJ-UHFFFAOYSA-N -1 1 315.308 1.222 20 0 DDADMM Cn1c(CCNC(=O)c2cncc([O-])c2)nnc1-c1ccccc1 ZINC000354695997 306783844 /nfs/dbraw/zinc/78/38/44/306783844.db2.gz PVNOYPRJNSHBGM-UHFFFAOYSA-N -1 1 323.356 1.555 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@H](N2CCOCC2)C1 ZINC000105569954 280162922 /nfs/dbraw/zinc/16/29/22/280162922.db2.gz UTJMUFQJWJOCKZ-LBPRGKRZSA-N -1 1 310.781 1.592 20 0 DDADMM COc1cccc(C=CC(=O)Nc2nnn[n-]2)c1OC(F)F ZINC000118685869 281085313 /nfs/dbraw/zinc/08/53/13/281085313.db2.gz QBQIUWNDMKHPKL-AATRIKPKSA-N -1 1 311.248 1.462 20 0 DDADMM COc1cccc(C=CC(=O)Nc2nn[n-]n2)c1OC(F)F ZINC000118685869 281085314 /nfs/dbraw/zinc/08/53/14/281085314.db2.gz QBQIUWNDMKHPKL-AATRIKPKSA-N -1 1 311.248 1.462 20 0 DDADMM CC[C@@H](SC)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000122867205 281163211 /nfs/dbraw/zinc/16/32/11/281163211.db2.gz INGAEMLDOGSFBX-LLVKDONJSA-N -1 1 308.407 1.397 20 0 DDADMM CSCC[C@H](CO)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000127041031 281261245 /nfs/dbraw/zinc/26/12/45/281261245.db2.gz CMWSCEZXUMYEGQ-MRVPVSSYSA-N -1 1 311.375 1.357 20 0 DDADMM CCCOc1cccc(CC(=O)NCCCc2nc(=O)[n-][nH]2)c1 ZINC000129466722 281345879 /nfs/dbraw/zinc/34/58/79/281345879.db2.gz QRAUJYUNYXMLJC-UHFFFAOYSA-N -1 1 318.377 1.178 20 0 DDADMM COc1cccc(COCCNC(=O)c2ncccc2[O-])c1 ZINC000152911633 281767041 /nfs/dbraw/zinc/76/70/41/281767041.db2.gz BWFSMFCCRPGSHH-UHFFFAOYSA-N -1 1 302.330 1.742 20 0 DDADMM NC(=O)Nc1ccc(CNC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000436768444 295090661 /nfs/dbraw/zinc/09/06/61/295090661.db2.gz WLQBJHSWJLPCNZ-UHFFFAOYSA-N -1 1 313.313 1.382 20 0 DDADMM COCC1(CC[N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CC1 ZINC000572598582 304542329 /nfs/dbraw/zinc/54/23/29/304542329.db2.gz RJOVHFHJLPCZNT-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)Nc1ccc(N2CCN(C)CC2)nc1 ZINC000572722433 304551018 /nfs/dbraw/zinc/55/10/18/304551018.db2.gz XVQGVOADMZYLRM-AWEZNQCLSA-N -1 1 320.393 1.129 20 0 DDADMM CCN(C(=O)c1coc(S(=O)(=O)[N-]C)c1)c1ccccc1 ZINC000163245374 298207011 /nfs/dbraw/zinc/20/70/11/298207011.db2.gz BFDHIWZQHICWPA-UHFFFAOYSA-N -1 1 308.359 1.854 20 0 DDADMM O=C(NCc1cnn(Cc2ccccc2)c1)c1cncc([O-])c1 ZINC000171863873 298237705 /nfs/dbraw/zinc/23/77/05/298237705.db2.gz GAABTEDQOYNLRR-UHFFFAOYSA-N -1 1 308.341 1.962 20 0 DDADMM CC(C)CN(CC(C)(C)C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353993917 298332736 /nfs/dbraw/zinc/33/27/36/298332736.db2.gz XIUPXSXQUAESDQ-UHFFFAOYSA-N -1 1 305.382 1.562 20 0 DDADMM CCCCOC1CCN(Cc2cc(=O)n3[n-]ccc3n2)CC1 ZINC000362457600 300068371 /nfs/dbraw/zinc/06/83/71/300068371.db2.gz ZRWDPWRVOURIRQ-UHFFFAOYSA-N -1 1 304.394 1.804 20 0 DDADMM CCNC(=O)[C@H](C)Nc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000362730597 300125225 /nfs/dbraw/zinc/12/52/25/300125225.db2.gz YYNOLZBKVGZEOO-NSHDSACASA-N -1 1 315.377 1.798 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CC[C@]2(CNC(=O)C2)C1 ZINC000365873605 300599947 /nfs/dbraw/zinc/59/99/47/300599947.db2.gz ZBGDEVOJQJNGAZ-KRWDZBQOSA-N -1 1 311.341 1.293 20 0 DDADMM Cc1ccccc1C1(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CC1 ZINC000370858172 301398034 /nfs/dbraw/zinc/39/80/34/301398034.db2.gz BPLFFSRBKQIBTA-CYBMUJFWSA-N -1 1 313.361 1.140 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@H]1[C@H]1CCCC1=O ZINC000375289016 301923046 /nfs/dbraw/zinc/92/30/46/301923046.db2.gz WISNDSGSYBDFAJ-OCCSQVGLSA-N -1 1 307.321 1.742 20 0 DDADMM CCc1nc(=NC(=O)c2c(C)cc(C)nc2OC2CCC2)[n-][nH]1 ZINC000527458012 302956071 /nfs/dbraw/zinc/95/60/71/302956071.db2.gz KIXSCIQZVPTTAD-UHFFFAOYSA-N -1 1 315.377 1.984 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@H]1C1CCCCC1 ZINC000357376201 306879853 /nfs/dbraw/zinc/87/98/53/306879853.db2.gz JBCWFXGHHJDQLV-VHSXEESVSA-N -1 1 307.350 1.595 20 0 DDADMM O=C(C[C@H]1CCCS(=O)(=O)C1)Nc1cccc(F)c1[O-] ZINC000530004366 303169742 /nfs/dbraw/zinc/16/97/42/303169742.db2.gz KUANHINZJOQIET-SECBINFHSA-N -1 1 301.339 1.685 20 0 DDADMM Cc1nnc(CN(C)CC(=O)Nc2cccc(F)c2[O-])n1C ZINC000530005243 303169758 /nfs/dbraw/zinc/16/97/58/303169758.db2.gz HJXLLEGVCZYCSD-UHFFFAOYSA-N -1 1 307.329 1.039 20 0 DDADMM CCCOc1cncc([N-]S(=O)(=O)[C@H](C)C(=O)N(C)C)c1 ZINC000530156654 303176967 /nfs/dbraw/zinc/17/69/67/303176967.db2.gz CYEYQBXCIBRRLA-SNVBAGLBSA-N -1 1 315.395 1.089 20 0 DDADMM COc1cccc([C@@H]2CN(CC(=O)NCC(=O)[O-])CC2(C)C)c1 ZINC000530204989 303183071 /nfs/dbraw/zinc/18/30/71/303183071.db2.gz AMJWSGXAWRTWHB-AWEZNQCLSA-N -1 1 320.389 1.321 20 0 DDADMM O=C([O-])C1(NCC(=O)NCc2cccc(Cl)c2)CCCC1 ZINC000532711404 303294528 /nfs/dbraw/zinc/29/45/28/303294528.db2.gz ANEXCJIJDYLFTQ-UHFFFAOYSA-N -1 1 310.781 1.943 20 0 DDADMM Cc1cc(C)c2c(c1)N(C(=O)c1cc(=O)n3[n-]cnc3n1)CCC2 ZINC000541841400 303429814 /nfs/dbraw/zinc/42/98/14/303429814.db2.gz JXJTVHBGLBYPIE-UHFFFAOYSA-N -1 1 323.356 1.627 20 0 DDADMM CC(C)(C)[C@@H]1CCCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000543701298 303461548 /nfs/dbraw/zinc/46/15/48/303461548.db2.gz KFIYSJVEIGKMRM-MNOVXSKESA-N -1 1 317.393 1.752 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N(CC1CC1)C1CCCCC1 ZINC000545332721 303492885 /nfs/dbraw/zinc/49/28/85/303492885.db2.gz DOTZYIRLKNXJHA-UHFFFAOYSA-N -1 1 315.377 1.603 20 0 DDADMM CCn1cccc(NC(=O)c2csc(=NC3CC3)[n-]2)c1=O ZINC000562714460 303888973 /nfs/dbraw/zinc/88/89/73/303888973.db2.gz MJIWDSWAZAESIO-UHFFFAOYSA-N -1 1 304.375 1.573 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccc([C@@H]2C[C@@H]2C)o1 ZINC000363682034 307033417 /nfs/dbraw/zinc/03/34/17/307033417.db2.gz WFWSGLDXXYUGDH-VHSXEESVSA-N -1 1 317.345 1.987 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1Cc2ccccc2N(C)C1)c1nn[n-]n1 ZINC000363746959 307035004 /nfs/dbraw/zinc/03/50/04/307035004.db2.gz RGEMRLHWKIKXBC-WCQYABFASA-N -1 1 314.393 1.070 20 0 DDADMM [O-]C(Nc1nc(-c2ccccc2)ns1)=C1C[C@@H]1c1nnc[nH]1 ZINC000373206576 307181654 /nfs/dbraw/zinc/18/16/54/307181654.db2.gz WIOIPIAIUJABOQ-VHSXEESVSA-N -1 1 312.358 1.487 20 0 DDADMM CCC(=O)N1CCC[C@H]1[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000373515686 307189735 /nfs/dbraw/zinc/18/97/35/307189735.db2.gz ZQMXXHWXMHHLGT-STQMWFEESA-N -1 1 317.389 1.793 20 0 DDADMM O=C(CCc1cc(F)ccc1F)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000375789449 307231221 /nfs/dbraw/zinc/23/12/21/307231221.db2.gz BUVVRLAXZPUXFV-GFCCVEGCSA-N -1 1 323.303 1.011 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2Cc3ccccc3CO2)o1 ZINC000377139556 307264064 /nfs/dbraw/zinc/26/40/64/307264064.db2.gz PDPJTUGRMLKOHE-UHFFFAOYSA-N -1 1 322.342 1.275 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC2(CC2)CC1 ZINC000377592411 307274033 /nfs/dbraw/zinc/27/40/33/307274033.db2.gz COWPCCMHRNRGJQ-UHFFFAOYSA-N -1 1 314.389 1.997 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@H]1c1nccs1 ZINC000377867713 307278045 /nfs/dbraw/zinc/27/80/45/307278045.db2.gz BBTRZBNAKZSRTB-QMMMGPOBSA-N -1 1 314.392 1.378 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(OC[C@@H]2CCOC2)CC1 ZINC000544341416 307715193 /nfs/dbraw/zinc/71/51/93/307715193.db2.gz APMNVYAFNGJVTQ-GFCCVEGCSA-N -1 1 306.362 1.445 20 0 DDADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Cc1cccs1 ZINC000546088687 307725509 /nfs/dbraw/zinc/72/55/09/307725509.db2.gz HIAUBIJQINWNPR-SECBINFHSA-N -1 1 317.374 1.088 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccc(F)c(F)c2F)[n-]n1C ZINC000548477767 307771445 /nfs/dbraw/zinc/77/14/45/307771445.db2.gz AFBXZMSRNNMRNU-UHFFFAOYSA-N -1 1 321.280 1.069 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2c(c1)CC(C)(C)O2)c1nn[n-]n1 ZINC000565019294 308016441 /nfs/dbraw/zinc/01/64/41/308016441.db2.gz AJXWPGCCWBMOEP-JTQLQIEISA-N -1 1 315.377 1.789 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C[C@@H](OC)C2CC2)cn1 ZINC000565598771 308034404 /nfs/dbraw/zinc/03/44/04/308034404.db2.gz XUDSEIFKGSOQGI-GFCCVEGCSA-N -1 1 314.363 1.035 20 0 DDADMM CO[C@@H]1C[C@@H](C(=O)[O-])N(C[C@H](O)COc2cc(C)cc(C)c2)C1 ZINC000566075219 308042712 /nfs/dbraw/zinc/04/27/12/308042712.db2.gz ZDJOTVUCZWDAKA-IMJJTQAJSA-N -1 1 323.389 1.217 20 0 DDADMM COc1ccccc1NC(=O)CN1CC[C@H](C)[C@H](C(=O)[O-])C1 ZINC000566222501 308049665 /nfs/dbraw/zinc/04/96/65/308049665.db2.gz ZKWHZPOAEBQOEU-NWDGAFQWSA-N -1 1 306.362 1.676 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)N=c1nc([C@H](C)OC)[n-]s1 ZINC000567017694 308068713 /nfs/dbraw/zinc/06/87/13/308068713.db2.gz HZTMFYUPMVGVAX-UWVGGRQHSA-N -1 1 313.427 1.225 20 0 DDADMM Cc1noc(C)c1C(C)(C)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000567175674 308074510 /nfs/dbraw/zinc/07/45/10/308074510.db2.gz CYBWCHFABOKIIL-LLVKDONJSA-N -1 1 318.381 1.488 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)C(=O)OCC ZINC000573603591 308246864 /nfs/dbraw/zinc/24/68/64/308246864.db2.gz HJOVHAARAPIYJV-VFQZCJPISA-N -1 1 319.423 1.229 20 0 DDADMM O=C1CCCN1CC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000574331603 308268174 /nfs/dbraw/zinc/26/81/74/308268174.db2.gz VVMBUUGERJRIDD-UHFFFAOYSA-N -1 1 320.773 1.380 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)[C@H](C)O1 ZINC000574738734 308270902 /nfs/dbraw/zinc/27/09/02/308270902.db2.gz ALUOCATVUREOTI-VWYCJHECSA-N -1 1 301.346 1.466 20 0 DDADMM CCC[C@@H]1CN(C(=O)[C@H](C(=O)[O-])C(C)C)CCN1CCOC ZINC000576878618 308346543 /nfs/dbraw/zinc/34/65/43/308346543.db2.gz FYXJNQSPBZVGOW-ZIAGYGMSSA-N -1 1 314.426 1.303 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](C)[C@@H]2CCCC[C@@H]21 ZINC000580170785 308584290 /nfs/dbraw/zinc/58/42/90/308584290.db2.gz YULAFGCVEIJQKA-DCAQKATOSA-N -1 1 321.377 1.937 20 0 DDADMM CO[C@@H]1COC[C@H]1NC(=O)c1cc(Br)ccc1[O-] ZINC000581193641 308661024 /nfs/dbraw/zinc/66/10/24/308661024.db2.gz YIIPQWXQOQHCDR-MWLCHTKSSA-N -1 1 316.151 1.298 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@@H](N2CCCC2=O)C1 ZINC000582513335 337099793 /nfs/dbraw/zinc/09/97/93/337099793.db2.gz AKDLIJGXFLTICN-SNVBAGLBSA-N -1 1 324.327 1.897 20 0 DDADMM COc1ncc(CN(CCC(=O)[O-])C[C@H]2CCCO2)c(C)n1 ZINC000582970833 337224448 /nfs/dbraw/zinc/22/44/48/337224448.db2.gz SUTBBRVENFCAPB-CYBMUJFWSA-N -1 1 309.366 1.249 20 0 DDADMM CCc1nc(CN(C)Cc2ccc(OCC(=O)[O-])cc2)n[nH]1 ZINC000582970490 337224524 /nfs/dbraw/zinc/22/45/24/337224524.db2.gz PAZGJLBFOZMDTR-UHFFFAOYSA-N -1 1 304.350 1.463 20 0 DDADMM COc1cccc(NC(=O)CN2C[C@@H](C(=O)[O-])CC[C@H]2C)c1 ZINC000397029904 337242378 /nfs/dbraw/zinc/24/23/78/337242378.db2.gz OLERSZHXEURSKC-NEPJUHHUSA-N -1 1 306.362 1.819 20 0 DDADMM CC(F)(F)C[N-]S(=O)(=O)CCc1ccc2c(c1)CCO2 ZINC000583275852 337301456 /nfs/dbraw/zinc/30/14/56/337301456.db2.gz ANDCSORFZHCQGG-UHFFFAOYSA-N -1 1 305.346 1.739 20 0 DDADMM COC1([C@H](C)[N-]S(=O)(=O)c2nc(C)c(C)s2)CCC1 ZINC000584458824 337343833 /nfs/dbraw/zinc/34/38/33/337343833.db2.gz NELBRMUJYYXVCO-JTQLQIEISA-N -1 1 304.437 1.996 20 0 DDADMM O=C([O-])c1cc(N2CCC[C@H](N3CCOCC3)CC2)ccn1 ZINC000656093571 483941005 /nfs/dbraw/zinc/94/10/05/483941005.db2.gz XCKRQCVVWDMWRB-ZDUSSCGKSA-N -1 1 305.378 1.471 20 0 DDADMM CCN(CCNC(=O)NC[C@H](C)C(=O)[O-])CCC(F)(F)F ZINC000655876616 483973540 /nfs/dbraw/zinc/97/35/40/483973540.db2.gz MTHLWGLMXHKTCK-VIFPVBQESA-N -1 1 313.320 1.281 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2ccccc2OCC)[n-]n1 ZINC000413119271 484042023 /nfs/dbraw/zinc/04/20/23/484042023.db2.gz NHVPGBZPXZUDQS-UHFFFAOYSA-N -1 1 314.389 1.702 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cc(C(C)(C)C)n[nH]2)cn1 ZINC000669606033 484583969 /nfs/dbraw/zinc/58/39/69/484583969.db2.gz FYBGZOJDJPGXTO-UHFFFAOYSA-N -1 1 310.379 1.912 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)[C@@]2(C(=O)[O-])CC2(C)C)C[C@H](C)O1 ZINC000663109139 484674583 /nfs/dbraw/zinc/67/45/83/484674583.db2.gz KETUWNBPCWKNDD-BFQNTYOBSA-N -1 1 312.410 1.103 20 0 DDADMM CC(C)(CC(=O)NC[C@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663229436 484753969 /nfs/dbraw/zinc/75/39/69/484753969.db2.gz OSCHPYYRNXCVAG-CQSZACIVSA-N -1 1 304.390 1.878 20 0 DDADMM CCN1CC[C@H](NC(=O)c2cc(Cl)c([O-])c(OC)c2)C1=O ZINC000670172093 484770094 /nfs/dbraw/zinc/77/00/94/484770094.db2.gz DDFCFBDISNFSAE-JTQLQIEISA-N -1 1 312.753 1.405 20 0 DDADMM O=C(NC[C@H]1CCCNC1=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000670375528 484796255 /nfs/dbraw/zinc/79/62/55/484796255.db2.gz ITJQTRUCFNMHGH-MRVPVSSYSA-N -1 1 316.279 1.667 20 0 DDADMM COc1cccc([C@@H](CNC(=O)c2cc(=O)n(C)[n-]2)N(C)C)c1 ZINC000665535758 484916528 /nfs/dbraw/zinc/91/65/28/484916528.db2.gz ALZHJDQHZOHFKE-CQSZACIVSA-N -1 1 318.377 1.167 20 0 DDADMM COc1cc2c(cc1F)nccc2N1CCO[C@H](C(=O)[O-])C1 ZINC000668130393 484973785 /nfs/dbraw/zinc/97/37/85/484973785.db2.gz DWEUMNRSRSOJEU-AWEZNQCLSA-N -1 1 306.293 1.672 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000673351121 485406467 /nfs/dbraw/zinc/40/64/67/485406467.db2.gz VCEHXVGVDPYMDQ-GFCCVEGCSA-N -1 1 306.337 1.616 20 0 DDADMM O=C(NCCC1CS(=O)(=O)C1)c1cc(Cl)ccc1[O-] ZINC000682561401 485677268 /nfs/dbraw/zinc/67/72/68/485677268.db2.gz URDBHCGPKPSYEQ-UHFFFAOYSA-N -1 1 303.767 1.210 20 0 DDADMM CCCCNc1ccccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000682824799 485788559 /nfs/dbraw/zinc/78/85/59/485788559.db2.gz BXEHAJGUIUELHE-UHFFFAOYSA-N -1 1 300.366 1.831 20 0 DDADMM C[C@H](CN(C)C(=O)C12CC(c3ccccc3)(C1)C2)c1nn[n-]n1 ZINC000682932844 485831441 /nfs/dbraw/zinc/83/14/41/485831441.db2.gz HDPLDTCATGGSSY-AXOLYNHLSA-N -1 1 311.389 1.884 20 0 DDADMM CCC[C@H](NC(=O)COCc1ccc(OC)cc1)c1nn[n-]n1 ZINC000675143792 485864380 /nfs/dbraw/zinc/86/43/80/485864380.db2.gz DJBWQPZWVVBFJD-ZDUSSCGKSA-N -1 1 319.365 1.383 20 0 DDADMM CCC[C@@H](C)N1C[C@@H](C(=O)N[C@@H](CCC)c2nn[n-]n2)CC1=O ZINC000675144341 485865852 /nfs/dbraw/zinc/86/58/52/485865852.db2.gz LFNRSWXMHTZTAR-WOPDTQHZSA-N -1 1 322.413 1.194 20 0 DDADMM CC(=O)c1cccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000676039020 486093916 /nfs/dbraw/zinc/09/39/16/486093916.db2.gz ZGPAXDSHIDZPQZ-HNNXBMFYSA-N -1 1 321.340 1.922 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(NC(=O)C3CC3)nc2)c1[O-] ZINC000676707865 486297861 /nfs/dbraw/zinc/29/78/61/486297861.db2.gz MGFVLPNGUNBXIP-UHFFFAOYSA-N -1 1 301.306 1.420 20 0 DDADMM O=C(NCCNC(=O)c1ccccc1F)c1ccc([O-])c(F)c1 ZINC000681020680 486324505 /nfs/dbraw/zinc/32/45/05/486324505.db2.gz CJMDFJKRZLOHMQ-UHFFFAOYSA-N -1 1 320.295 1.830 20 0 DDADMM CN(C(=O)[C@H]1CSCN1C(=O)c1ccc([O-])c(F)c1)C1CC1 ZINC000681037852 486327611 /nfs/dbraw/zinc/32/76/11/486327611.db2.gz RBBACIDPYYSZSR-GFCCVEGCSA-N -1 1 324.377 1.667 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCN(C)c2ccccc21)c1nn[n-]n1 ZINC000676823204 486334750 /nfs/dbraw/zinc/33/47/50/486334750.db2.gz RQATYWWOZBSRJC-CHWSQXEVSA-N -1 1 314.393 1.781 20 0 DDADMM O=C(c1cc2cc(F)ccc2[nH]1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677048105 486385498 /nfs/dbraw/zinc/38/54/98/486385498.db2.gz UTZMFWUSLSFZJJ-VIFPVBQESA-N -1 1 314.324 1.840 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(c2ccccc2)CCC1 ZINC000684841232 486441618 /nfs/dbraw/zinc/44/16/18/486441618.db2.gz QMBPFHGVQPKQQK-UHFFFAOYSA-N -1 1 321.402 1.965 20 0 DDADMM CC(C)CN(CC(N)=O)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684870806 486449645 /nfs/dbraw/zinc/44/96/45/486449645.db2.gz QSRKFBRZVQIKRQ-UHFFFAOYSA-N -1 1 318.295 1.995 20 0 DDADMM Cc1nc([C@@H]2COCCN2C(=O)c2ncc(C)cc2[O-])no1 ZINC000681743618 486509320 /nfs/dbraw/zinc/50/93/20/486509320.db2.gz QBQSBLNNSNOZDV-JTQLQIEISA-N -1 1 304.306 1.001 20 0 DDADMM Cc1c(Cl)cccc1S(=O)(=O)[N-]c1cc(C(N)=O)[nH]n1 ZINC000677644869 486512650 /nfs/dbraw/zinc/51/26/50/486512650.db2.gz IVITWSVZKGWBSA-UHFFFAOYSA-N -1 1 314.754 1.271 20 0 DDADMM CNC(=O)C1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CCC1 ZINC000677842711 486553598 /nfs/dbraw/zinc/55/35/98/486553598.db2.gz MQDBTIPSNJECQR-UHFFFAOYSA-N -1 1 314.345 1.637 20 0 DDADMM C[C@H](NC(=O)c1ccc2ccccc2c1[O-])[C@@H]1CN(C)CCO1 ZINC000119024925 490599073 /nfs/dbraw/zinc/59/90/73/490599073.db2.gz GOULWJGRUHZOAL-LRDDRELGSA-N -1 1 314.385 1.994 20 0 DDADMM COc1ccccc1Cc1nnc(SCc2nn[n-]n2)o1 ZINC000490454366 534125958 /nfs/dbraw/zinc/12/59/58/534125958.db2.gz PYFPYVAWPMDHQR-UHFFFAOYSA-N -1 1 304.335 1.474 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCC(=O)NC2(C)C)sc1C ZINC000328758785 534517638 /nfs/dbraw/zinc/51/76/38/534517638.db2.gz WFFZDUMDYPMHDH-SECBINFHSA-N -1 1 317.436 1.936 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCC[C@@](O)(CO)C1 ZINC000457907845 534695179 /nfs/dbraw/zinc/69/51/79/534695179.db2.gz DPVVXNDSSIYEBB-ZDUSSCGKSA-N -1 1 319.279 1.370 20 0 DDADMM CC(C)(CNC(=O)CNC(=O)c1ncccc1[O-])C1=CCCC1 ZINC000457189188 527107193 /nfs/dbraw/zinc/10/71/93/527107193.db2.gz WWEZQOQRMLOIGQ-UHFFFAOYSA-N -1 1 317.389 1.770 20 0 DDADMM CC(C)(NC(=O)c1cc(Br)co1)c1nn[n-]n1 ZINC000457595588 527283183 /nfs/dbraw/zinc/28/31/83/527283183.db2.gz JCWQVFPHKLZFGO-UHFFFAOYSA-N -1 1 300.116 1.220 20 0 DDADMM CC(C)C(=O)NCCC[N-]S(=O)(=O)c1sccc1Cl ZINC000451348624 527492563 /nfs/dbraw/zinc/49/25/63/527492563.db2.gz WDBZGOXTFOOZJV-UHFFFAOYSA-N -1 1 324.855 1.842 20 0 DDADMM CC(C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000416624164 527710163 /nfs/dbraw/zinc/71/01/63/527710163.db2.gz POEQFHKOZMAPQA-QMMMGPOBSA-N -1 1 306.334 1.156 20 0 DDADMM CCOC(=O)[C@@H](CO)NC(=O)c1cc2ccccc2cc1[O-] ZINC000436737652 527784805 /nfs/dbraw/zinc/78/48/05/527784805.db2.gz JMEMNYDJXOWJPD-CYBMUJFWSA-N -1 1 303.314 1.199 20 0 DDADMM CC(C)OC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000451980308 527864196 /nfs/dbraw/zinc/86/41/96/527864196.db2.gz DCPKAZWSMGBHHU-QMMMGPOBSA-N -1 1 307.318 1.583 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CC[C@@H](C)C2)o1 ZINC000444210086 528243515 /nfs/dbraw/zinc/24/35/15/528243515.db2.gz DQUXQKWKNFGJSU-NXEZZACHSA-N -1 1 301.364 1.923 20 0 DDADMM CCCNC(=O)C1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000330890572 528337823 /nfs/dbraw/zinc/33/78/23/528337823.db2.gz WCKRQGKYKJVTBS-UHFFFAOYSA-N -1 1 305.378 1.474 20 0 DDADMM CCCc1n[n-]c(=NC(=O)NCc2n[nH]c(C(C)(C)C)n2)s1 ZINC000459253864 528679504 /nfs/dbraw/zinc/67/95/04/528679504.db2.gz FYBKQYDQTWPMNJ-UHFFFAOYSA-N -1 1 323.426 1.650 20 0 DDADMM CCOC(=O)NCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000490807700 529046493 /nfs/dbraw/zinc/04/64/93/529046493.db2.gz DHYWFZRSTONFNN-UHFFFAOYSA-N -1 1 304.306 1.467 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1CN=c1ccc(C(=O)NCCO)n[n-]1 ZINC000450616543 529276665 /nfs/dbraw/zinc/27/66/65/529276665.db2.gz BKPGXVZUORFDJS-CHWSQXEVSA-N -1 1 306.410 1.249 20 0 DDADMM CC[C@H](CN=c1ccc(C(=O)NCCO)n[n-]1)CC(F)(F)F ZINC000413522558 529337138 /nfs/dbraw/zinc/33/71/38/529337138.db2.gz QWBNABSJMSDSIR-VIFPVBQESA-N -1 1 320.315 1.011 20 0 DDADMM CC[C@@H](CC1CCCC1)C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000736348520 598924223 /nfs/dbraw/zinc/92/42/23/598924223.db2.gz BTACQCYEQYSJRR-JTQLQIEISA-N -1 1 303.370 1.892 20 0 DDADMM O=C(NCCc1cccc(F)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738088615 598985588 /nfs/dbraw/zinc/98/55/88/598985588.db2.gz RBCIMEZAMVZJHV-UHFFFAOYSA-N -1 1 312.308 1.373 20 0 DDADMM O=C(NCCc1cccc(F)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738088615 598985590 /nfs/dbraw/zinc/98/55/90/598985590.db2.gz RBCIMEZAMVZJHV-UHFFFAOYSA-N -1 1 312.308 1.373 20 0 DDADMM O=C(NCc1cc2ccccc2o1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738101629 598993342 /nfs/dbraw/zinc/99/33/42/598993342.db2.gz IXKODPLZOUSZOS-UHFFFAOYSA-N -1 1 320.312 1.938 20 0 DDADMM O=C(NCc1cc2ccccc2o1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738101629 598993343 /nfs/dbraw/zinc/99/33/43/598993343.db2.gz IXKODPLZOUSZOS-UHFFFAOYSA-N -1 1 320.312 1.938 20 0 DDADMM CCOC(=O)CCCCOC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736591689 599015009 /nfs/dbraw/zinc/01/50/09/599015009.db2.gz HXTDDZOUHKZTPV-UHFFFAOYSA-N -1 1 319.321 1.152 20 0 DDADMM CCOC(=O)CCCCOC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736591689 599015011 /nfs/dbraw/zinc/01/50/11/599015011.db2.gz HXTDDZOUHKZTPV-UHFFFAOYSA-N -1 1 319.321 1.152 20 0 DDADMM O=C(OCCOC[C@H]1CCCO1)c1sccc1-c1nn[n-]n1 ZINC000738223390 599056856 /nfs/dbraw/zinc/05/68/56/599056856.db2.gz IOJIAAIZQPCAFS-SECBINFHSA-N -1 1 324.362 1.281 20 0 DDADMM O=c1cc(CNc2nccnc2-c2nnn[n-]2)c2ccccc2[nH]1 ZINC000738379352 599120421 /nfs/dbraw/zinc/12/04/21/599120421.db2.gz GQBKGIODMYBGTR-UHFFFAOYSA-N -1 1 320.316 1.523 20 0 DDADMM O=c1cc(CNc2nccnc2-c2nn[n-]n2)c2ccccc2[nH]1 ZINC000738379352 599120423 /nfs/dbraw/zinc/12/04/23/599120423.db2.gz GQBKGIODMYBGTR-UHFFFAOYSA-N -1 1 320.316 1.523 20 0 DDADMM O=C([O-])C1CCN(CC(=O)N2CCC[C@H](C(F)(F)F)C2)CC1 ZINC000740482160 596918170 /nfs/dbraw/zinc/91/81/70/596918170.db2.gz MOYIZCGLNZWAHX-NSHDSACASA-N -1 1 322.327 1.584 20 0 DDADMM O=C([O-])C1CCN(C(=O)c2c[nH]c3ccccc3c2=O)CC1 ZINC000314536877 596931307 /nfs/dbraw/zinc/93/13/07/596931307.db2.gz WTBJNJXDYMLURN-UHFFFAOYSA-N -1 1 300.314 1.877 20 0 DDADMM CC(C)C[C@H]1NC(=O)N(CN2CCC[C@H](CC(=O)[O-])C2)C1=O ZINC000817869092 597041341 /nfs/dbraw/zinc/04/13/41/597041341.db2.gz YUXDXRBPYRLUMY-VXGBXAGGSA-N -1 1 311.382 1.097 20 0 DDADMM CCN1CCN(c2ccccc2NC(=O)N[C@H](C)C(=O)[O-])CC1 ZINC000739456743 597142533 /nfs/dbraw/zinc/14/25/33/597142533.db2.gz OVRVVFFISOPRHY-GFCCVEGCSA-N -1 1 320.393 1.423 20 0 DDADMM O=C([O-])CCCN1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000738576530 597765503 /nfs/dbraw/zinc/76/55/03/597765503.db2.gz ZCFDSGRLXSHZLC-UHFFFAOYSA-N -1 1 305.378 1.108 20 0 DDADMM CS(=O)(=O)Nc1cc(F)ccc1C(=O)OCCCC(=O)[O-] ZINC000738138851 597834773 /nfs/dbraw/zinc/83/47/73/597834773.db2.gz KPQXJYJLQJUDBN-UHFFFAOYSA-N -1 1 319.310 1.219 20 0 DDADMM Cc1cc(S(=O)(=O)N(CCN(C)C)CC(=O)[O-])sc1C ZINC000821045813 598164880 /nfs/dbraw/zinc/16/48/80/598164880.db2.gz DNRMEANHPLVJMD-UHFFFAOYSA-N -1 1 320.436 1.002 20 0 DDADMM CC(C)[C@@H](NCC(=O)N[C@H](c1ccccc1)C1CC1)C(=O)[O-] ZINC000820041319 598188848 /nfs/dbraw/zinc/18/88/48/598188848.db2.gz BHQZDJFVVIUGJD-HZPDHXFCSA-N -1 1 304.390 1.953 20 0 DDADMM Cc1ccccc1OCCN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC000737431129 598385523 /nfs/dbraw/zinc/38/55/23/598385523.db2.gz FBESJKBTLUMOLL-UHFFFAOYSA-N -1 1 311.349 1.480 20 0 DDADMM Cc1ccccc1OCCN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC000737431129 598385525 /nfs/dbraw/zinc/38/55/25/598385525.db2.gz FBESJKBTLUMOLL-UHFFFAOYSA-N -1 1 311.349 1.480 20 0 DDADMM c1ccc(-c2nc(Sc3cnc(-c4nn[n-]n4)cn3)n[nH]2)cc1 ZINC000738423624 598386216 /nfs/dbraw/zinc/38/62/16/598386216.db2.gz RBVXNCHTMWHONJ-UHFFFAOYSA-N -1 1 323.345 1.593 20 0 DDADMM CN(CCN(C)c1nccnc1-c1nnn[n-]1)c1ccccc1 ZINC000736799700 598468757 /nfs/dbraw/zinc/46/87/57/598468757.db2.gz WKRWTMDWOYNFGX-UHFFFAOYSA-N -1 1 310.365 1.229 20 0 DDADMM CN(CCN(C)c1nccnc1-c1nn[n-]n1)c1ccccc1 ZINC000736799700 598468759 /nfs/dbraw/zinc/46/87/59/598468759.db2.gz WKRWTMDWOYNFGX-UHFFFAOYSA-N -1 1 310.365 1.229 20 0 DDADMM CC(=O)NC1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000735475022 598578459 /nfs/dbraw/zinc/57/84/59/598578459.db2.gz NKUKULOJZUPLEL-UHFFFAOYSA-N -1 1 321.772 1.020 20 0 DDADMM CC(=O)NC1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000735475022 598578460 /nfs/dbraw/zinc/57/84/60/598578460.db2.gz NKUKULOJZUPLEL-UHFFFAOYSA-N -1 1 321.772 1.020 20 0 DDADMM C[C@@H]1CC[C@H](C)N(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000820684279 599327333 /nfs/dbraw/zinc/32/73/33/599327333.db2.gz NXOQXGQPOQYPMR-ZJUUUORDSA-N -1 1 322.394 1.071 20 0 DDADMM C[C@@H]1CC[C@H](C)N(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000820684279 599327336 /nfs/dbraw/zinc/32/73/36/599327336.db2.gz NXOQXGQPOQYPMR-ZJUUUORDSA-N -1 1 322.394 1.071 20 0 DDADMM CC[C@H](C)C[C@@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820870621 599365046 /nfs/dbraw/zinc/36/50/46/599365046.db2.gz WHLCDDGDDSWWLY-VHSXEESVSA-N -1 1 324.410 1.365 20 0 DDADMM CC[C@H](C)C[C@@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820870621 599365050 /nfs/dbraw/zinc/36/50/50/599365050.db2.gz WHLCDDGDDSWWLY-VHSXEESVSA-N -1 1 324.410 1.365 20 0 DDADMM CN1C[C@@H](Nc2snc(Cl)c2-c2nnn[n-]2)CCC1=O ZINC000736845162 599530203 /nfs/dbraw/zinc/53/02/03/599530203.db2.gz JWRJEFPOSJPHOM-YFKPBYRVSA-N -1 1 313.774 1.009 20 0 DDADMM CN1C[C@@H](Nc2snc(Cl)c2-c2nn[n-]n2)CCC1=O ZINC000736845162 599530204 /nfs/dbraw/zinc/53/02/04/599530204.db2.gz JWRJEFPOSJPHOM-YFKPBYRVSA-N -1 1 313.774 1.009 20 0 DDADMM CC[C@@H](c1ccncc1)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736396471 599552640 /nfs/dbraw/zinc/55/26/40/599552640.db2.gz NJEODISWYLWYTH-AWEZNQCLSA-N -1 1 323.360 1.880 20 0 DDADMM CC[C@@H](c1ccncc1)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736396471 599552641 /nfs/dbraw/zinc/55/26/41/599552641.db2.gz NJEODISWYLWYTH-AWEZNQCLSA-N -1 1 323.360 1.880 20 0 DDADMM CN(C)[C@@H](CNC(=O)CCCN(C)C(=O)[O-])c1cccs1 ZINC000737422870 599724874 /nfs/dbraw/zinc/72/48/74/599724874.db2.gz PSNKYPVHSOCVIA-NSHDSACASA-N -1 1 313.423 1.857 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CCS[C@@H](C)C1 ZINC000736809682 600103520 /nfs/dbraw/zinc/10/35/20/600103520.db2.gz QXPPFVQGOLZTJC-QWRGUYRKSA-N -1 1 300.424 1.135 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)NC1CCC(C(=O)[O-])CC1 ZINC000737358783 600104939 /nfs/dbraw/zinc/10/49/39/600104939.db2.gz PYLQQQRHZPCCHG-GPANFISMSA-N -1 1 318.417 1.919 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(CC(=O)NCc1ccccc1F)CC2 ZINC000405317828 600298012 /nfs/dbraw/zinc/29/80/12/600298012.db2.gz BRKUCCYMOBSTLK-CYBMUJFWSA-N -1 1 320.364 1.629 20 0 DDADMM CCC[C@@](C)(NCC(=O)NCC(=O)c1cccs1)C(=O)[O-] ZINC000736965175 600322287 /nfs/dbraw/zinc/32/22/87/600322287.db2.gz UDOCTWDCTANLQF-CQSZACIVSA-N -1 1 312.391 1.280 20 0 DDADMM CCNC(=O)c1cccc(NC(=O)CN(C)C[C@@H](C)C(=O)[O-])c1 ZINC000737121971 600367209 /nfs/dbraw/zinc/36/72/09/600367209.db2.gz FUZYLNHHBGOVAV-LLVKDONJSA-N -1 1 321.377 1.027 20 0 DDADMM C[C@H](CN(C)CC(=O)Nc1cc(C(C)(C)C)nn1C)C(=O)[O-] ZINC000736573828 600371267 /nfs/dbraw/zinc/37/12/67/600371267.db2.gz WHPFVEVSLOUPHR-SNVBAGLBSA-N -1 1 310.398 1.309 20 0 DDADMM CCC[C@@](C)(NCC(=O)N(C)Cc1ccc(OC)cc1)C(=O)[O-] ZINC000736960279 600505962 /nfs/dbraw/zinc/50/59/62/600505962.db2.gz OHVVOSRTDUQFIS-QGZVFWFLSA-N -1 1 322.405 1.887 20 0 DDADMM CN(CC(=O)NCc1ccc(NC(=O)[O-])cc1)CC(C)(C)O ZINC000737394089 600509857 /nfs/dbraw/zinc/50/98/57/600509857.db2.gz YPUQQNRLGNICQY-UHFFFAOYSA-N -1 1 309.366 1.095 20 0 DDADMM O=C([O-])c1cccc(NC(=O)CN(CCCCO)C2CC2)c1 ZINC000739275388 600557032 /nfs/dbraw/zinc/55/70/32/600557032.db2.gz WDPZYDMSQPHXDC-UHFFFAOYSA-N -1 1 306.362 1.560 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C(=O)[O-])c2cc(F)ccc2F)c1C ZINC000738248085 600616722 /nfs/dbraw/zinc/61/67/22/600616722.db2.gz BAOQWCLFWBGSFA-LBPRGKRZSA-N -1 1 309.272 1.860 20 0 DDADMM C[C@H]1C(=O)N(CN2CCC[C@H](C(=O)[O-])C2)[C@@H]1c1ccccc1 ZINC000828144576 600716684 /nfs/dbraw/zinc/71/66/84/600716684.db2.gz YIFCGNLGDWMJGA-SNPRPXQTSA-N -1 1 302.374 1.960 20 0 DDADMM C[C@@H]1C[C@H](NS(=O)(=O)c2ccc(C(=O)[O-])s2)CCN1C ZINC000157600627 600766283 /nfs/dbraw/zinc/76/62/83/600766283.db2.gz BFOXPQKFOJPHLZ-RKDXNWHRSA-N -1 1 318.420 1.207 20 0 DDADMM O=C([O-])c1ccsc1N1CC[C@@H](N2CC[C@@H](CCO)C2)C1=O ZINC000833335869 600797869 /nfs/dbraw/zinc/79/78/69/600797869.db2.gz FNZMBMOXZXZWBL-CMPLNLGQSA-N -1 1 324.402 1.256 20 0 DDADMM CN(C)CC(=O)N(CCC(=O)[O-])Cc1cccc(F)c1F ZINC000830596484 600813621 /nfs/dbraw/zinc/81/36/21/600813621.db2.gz OSNIGEOVIQWSSD-UHFFFAOYSA-N -1 1 300.305 1.330 20 0 DDADMM CC1(C)CCN(CC(=O)N2Cc3ccccc3C[C@@H]2C(=O)[O-])C1 ZINC000828069510 600849218 /nfs/dbraw/zinc/84/92/18/600849218.db2.gz ZKCSMSNSRBEINF-OAHLLOKOSA-N -1 1 316.401 1.756 20 0 DDADMM O=C([O-])CN(Cc1nccn1CC(F)(F)F)C1CCOCC1 ZINC000833248912 600857113 /nfs/dbraw/zinc/85/71/13/600857113.db2.gz DLGGQWNXBRRDAP-UHFFFAOYSA-N -1 1 321.299 1.511 20 0 DDADMM CC(C)C[C@@H](NC(=O)CN1CCC2(C1)CCOCC2)C(=O)[O-] ZINC000826785674 600860991 /nfs/dbraw/zinc/86/09/91/600860991.db2.gz AQGQMOJROZTVBD-CYBMUJFWSA-N -1 1 312.410 1.104 20 0 DDADMM CCC[C@@](C)(NCC(=O)Nc1cc(C(C)(C)C)nn1C)C(=O)[O-] ZINC000736965948 600953805 /nfs/dbraw/zinc/95/38/05/600953805.db2.gz XXEDYEISXJJIES-MRXNPFEDSA-N -1 1 324.425 1.889 20 0 DDADMM CC(C)C[C@@H](C)N(C)C(=O)CN1CCSC[C@@H]1CC(=O)[O-] ZINC000826759923 601025945 /nfs/dbraw/zinc/02/59/45/601025945.db2.gz WEIXPPZBBZUXFC-OLZOCXBDSA-N -1 1 316.467 1.772 20 0 DDADMM Cc1csc2nc(CN3CCC[C@H](C(=O)[O-])C3)cc(=O)n12 ZINC000035296899 601030097 /nfs/dbraw/zinc/03/00/97/601030097.db2.gz KEPMOPXPCPGTKG-JTQLQIEISA-N -1 1 307.375 1.361 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CC2(Cl)Cl)CC1 ZINC000827357418 601090136 /nfs/dbraw/zinc/09/01/36/601090136.db2.gz XZWUEZMNYKAGMN-BDAKNGLRSA-N -1 1 309.193 1.188 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccnc(Cl)c2)CC1 ZINC000827380289 601099946 /nfs/dbraw/zinc/09/99/46/601099946.db2.gz QRKFGVAMZUQIPO-JTQLQIEISA-N -1 1 311.769 1.356 20 0 DDADMM CCN1C[C@@H](C)[C@@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC000737111559 601111044 /nfs/dbraw/zinc/11/10/44/601111044.db2.gz KLOKAALNAMTTMC-MFKMUULPSA-N -1 1 312.391 1.003 20 0 DDADMM O=C([O-])Nc1ccc(CNC(=O)C[N@@H+]2CCC[C@H](CO)C2)cc1 ZINC000833285874 601154592 /nfs/dbraw/zinc/15/45/92/601154592.db2.gz JLTVZYSHTZWZRG-ZDUSSCGKSA-N -1 1 321.377 1.097 20 0 DDADMM O=C([O-])Nc1ccc(CNC(=O)CN2CCC[C@H](CO)C2)cc1 ZINC000833285874 601154595 /nfs/dbraw/zinc/15/45/95/601154595.db2.gz JLTVZYSHTZWZRG-ZDUSSCGKSA-N -1 1 321.377 1.097 20 0 DDADMM O=C([O-])CC1(NC(=O)CSc2n[nH]c(=S)s2)CCC1 ZINC000833203764 601434785 /nfs/dbraw/zinc/43/47/85/601434785.db2.gz QXIYNRWXGVNCBE-UHFFFAOYSA-N -1 1 319.433 1.433 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)CN2C[C@H](C)[C@H](C(=O)[O-])C2)c1C ZINC000831218379 601523101 /nfs/dbraw/zinc/52/31/01/601523101.db2.gz ISYLMYROANNHAE-GZMMTYOYSA-N -1 1 322.361 1.253 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)CN1CCCC[C@H]1CCO ZINC000833104406 601544463 /nfs/dbraw/zinc/54/44/63/601544463.db2.gz ANDXHSXGWISVTP-LBPRGKRZSA-N -1 1 306.362 1.560 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(CCC(=O)Nc2cc(F)cc(F)c2)C1 ZINC000833100706 601554278 /nfs/dbraw/zinc/55/42/78/601554278.db2.gz YVZLXOLHJLDZMJ-CQSZACIVSA-N -1 1 316.279 1.792 20 0 DDADMM O=C([O-])CCN(Cc1cccc(F)c1F)CC1(CO)COC1 ZINC000833234154 601648818 /nfs/dbraw/zinc/64/88/18/601648818.db2.gz NVVCRHFBRQECFJ-UHFFFAOYSA-N -1 1 315.316 1.250 20 0 DDADMM O=C([O-])c1cccc(NC(=O)CN2CC[C@@]3(CCOC3)C2)c1 ZINC000833105139 601662152 /nfs/dbraw/zinc/66/21/52/601662152.db2.gz IQXIPLOZPPVVMD-MRXNPFEDSA-N -1 1 304.346 1.436 20 0 DDADMM COC[C@@](C)(NC(=O)[C@@H](C)N(C)Cc1ccccc1)C(=O)[O-] ZINC000831597024 601693706 /nfs/dbraw/zinc/69/37/06/601693706.db2.gz NZYUVSBDHPGZHG-MLGOLLRUSA-N -1 1 308.378 1.113 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1ccc(Nc2ccncc2)cc1)C1CC1 ZINC000833126156 601702556 /nfs/dbraw/zinc/70/25/56/601702556.db2.gz ZDXXWJKJBNWIFN-HNNXBMFYSA-N -1 1 311.341 1.840 20 0 DDADMM Cc1nnc(SCCC(=O)Nc2ccccc2CC(=O)[O-])[nH]1 ZINC000819036159 601729040 /nfs/dbraw/zinc/72/90/40/601729040.db2.gz ZHTXSTGATPTUSM-UHFFFAOYSA-N -1 1 320.374 1.861 20 0 DDADMM CCc1nc(CSCc2ccnc(-c3nnn[n-]3)c2)no1 ZINC000825744945 607533655 /nfs/dbraw/zinc/53/36/55/607533655.db2.gz HEQWHOGNDWLUAL-UHFFFAOYSA-N -1 1 303.351 1.641 20 0 DDADMM CCc1nc(CSCc2ccnc(-c3nn[n-]n3)c2)no1 ZINC000825744945 607533657 /nfs/dbraw/zinc/53/36/57/607533657.db2.gz HEQWHOGNDWLUAL-UHFFFAOYSA-N -1 1 303.351 1.641 20 0 DDADMM C[C@@H]1CCCN(C(=O)CN2CCSC[C@@H]2CC(=O)[O-])C1 ZINC000091130744 601919248 /nfs/dbraw/zinc/91/92/48/601919248.db2.gz YGYZMPWRICEFBI-NEPJUHHUSA-N -1 1 300.424 1.137 20 0 DDADMM CSCC[C@H](NC(=O)[O-])C(=O)Nc1ccc2nc[nH]c2c1 ZINC000740013556 601921958 /nfs/dbraw/zinc/92/19/58/601921958.db2.gz ZZQXBIZUSQYQRC-JTQLQIEISA-N -1 1 308.363 1.891 20 0 DDADMM Cc1ccccc1CN(C)C(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000740182686 601958022 /nfs/dbraw/zinc/95/80/22/601958022.db2.gz IFXXPMFWWICRDL-CQSZACIVSA-N -1 1 319.405 1.543 20 0 DDADMM Cc1ccc2nc([C@@H]3CCCN(C(=O)CNC(=O)[O-])C3)[nH]c2c1 ZINC000738667855 602065140 /nfs/dbraw/zinc/06/51/40/602065140.db2.gz VIKINDCIIQEVNA-LLVKDONJSA-N -1 1 316.361 1.845 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)Nc1ccccc1N1CCN(C)CC1 ZINC000827317921 602084440 /nfs/dbraw/zinc/08/44/40/602084440.db2.gz PGSGODHDDQICSJ-LBPRGKRZSA-N -1 1 305.378 1.488 20 0 DDADMM CN(C)[C@@H](CNC(=O)NCCN(C)C(=O)[O-])c1cccs1 ZINC000739694513 602443075 /nfs/dbraw/zinc/44/30/75/602443075.db2.gz MIDJPYYNVIKYBA-JTQLQIEISA-N -1 1 314.411 1.260 20 0 DDADMM CN(C[C@H]1CCN(CC(=O)NCc2ccccc2F)C1)C(=O)[O-] ZINC000739661618 602537489 /nfs/dbraw/zinc/53/74/89/602537489.db2.gz RIPLRYIDVVUJJX-GFCCVEGCSA-N -1 1 323.368 1.374 20 0 DDADMM O=C([O-])NC[C@H](NC(=O)c1[nH]nc2c1CCC2)C1CCCCC1 ZINC000740611957 602541453 /nfs/dbraw/zinc/54/14/53/602541453.db2.gz MSUVUXBGZBOZFC-ZDUSSCGKSA-N -1 1 320.393 1.845 20 0 DDADMM CCCN(CC(=O)NCc1ccccc1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739352561 602546529 /nfs/dbraw/zinc/54/65/29/602546529.db2.gz DHCFYDCVSRUKBB-HNNXBMFYSA-N -1 1 319.405 1.767 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CNC(=O)N1CCN2CCCC[C@H]2C1 ZINC000740420697 602615200 /nfs/dbraw/zinc/61/52/00/602615200.db2.gz SIZZQHDJQBVNOG-OLZOCXBDSA-N -1 1 310.398 1.009 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)C[C@@H](C)NC(=O)[O-])N2CCCC2)o1 ZINC000830256551 602807051 /nfs/dbraw/zinc/80/70/51/602807051.db2.gz JFTAQGCNVRUGDA-DGCLKSJQSA-N -1 1 323.393 1.887 20 0 DDADMM CN(C(=O)CN1CCCC[C@@H]1[C@H]1CCCN1C(=O)[O-])C1CC1 ZINC000739573367 602818273 /nfs/dbraw/zinc/81/82/73/602818273.db2.gz WCIHNBLFLILMCB-ZIAGYGMSSA-N -1 1 309.410 1.604 20 0 DDADMM Cc1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)cc1O ZINC000826282434 607599776 /nfs/dbraw/zinc/59/97/76/607599776.db2.gz OTHMVDSKSJSFQE-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM Cc1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)cc1O ZINC000826282434 607599778 /nfs/dbraw/zinc/59/97/78/607599778.db2.gz OTHMVDSKSJSFQE-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N[C@H]1CCC[C@H]1CNC(=O)[O-] ZINC000829834930 603004268 /nfs/dbraw/zinc/00/42/68/603004268.db2.gz XHEMOWDHBXKINU-STQMWFEESA-N -1 1 323.397 1.386 20 0 DDADMM CC(C)c1n[nH]cc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824343125 607600178 /nfs/dbraw/zinc/60/01/78/607600178.db2.gz XWIZBFXFEDIVSG-UHFFFAOYSA-N -1 1 313.321 1.465 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@H](CNC(=O)[O-])C1CC1)c1ccccc1 ZINC000739384915 603034376 /nfs/dbraw/zinc/03/43/76/603034376.db2.gz MRGNMHYWZOALDV-HUUCEWRRSA-N -1 1 319.405 1.842 20 0 DDADMM O=C([O-])N1CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)CC1 ZINC000738645684 603193511 /nfs/dbraw/zinc/19/35/11/603193511.db2.gz SXEQYWWTMDLPMU-UHFFFAOYSA-N -1 1 300.318 1.513 20 0 DDADMM COC(=O)c1ccc2c(cnn2CN(C)CCCNC(=O)[O-])c1 ZINC000739792974 603198561 /nfs/dbraw/zinc/19/85/61/603198561.db2.gz QPQHETLPDVTNJM-UHFFFAOYSA-N -1 1 320.349 1.370 20 0 DDADMM C[C@@H]1CN(CCC(=O)Nc2ccccc2F)CCN1C(=O)[O-] ZINC000739182768 603355633 /nfs/dbraw/zinc/35/56/33/603355633.db2.gz OZLIEYNGRSWVMG-LLVKDONJSA-N -1 1 309.341 1.838 20 0 DDADMM CN(C)Cc1ccsc1C(=O)NCCN(C(=O)[O-])C1CC1 ZINC000828046180 603530178 /nfs/dbraw/zinc/53/01/78/603530178.db2.gz ICYXOFAWKNOMCM-UHFFFAOYSA-N -1 1 311.407 1.682 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H]3CC[C@@H](NC(=O)[O-])C3)[nH]c2c1 ZINC000830433427 603764662 /nfs/dbraw/zinc/76/46/62/603764662.db2.gz UFJGDRCHZCBSDA-GHMZBOCLSA-N -1 1 316.361 1.924 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NCCCN(C)C(=O)[O-] ZINC000829834883 603934703 /nfs/dbraw/zinc/93/47/03/603934703.db2.gz WVLWIJBNOSHHST-UHFFFAOYSA-N -1 1 308.260 1.467 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2CCCc3[nH]ncc32)C[C@H](C)N1C(=O)[O-] ZINC000825975430 603949525 /nfs/dbraw/zinc/94/95/25/603949525.db2.gz OUBJVIPNWIEBFJ-AXFHLTTASA-N -1 1 306.366 1.429 20 0 DDADMM C[C@@H](C(=O)N1CCC(C)CC1)N1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000825936886 603982847 /nfs/dbraw/zinc/98/28/47/603982847.db2.gz DKMMBIYPFNQJIP-ZNMIVQPWSA-N -1 1 323.437 1.850 20 0 DDADMM COc1cccc(NC(=O)CN(C)C2CCN(C(=O)[O-])CC2)c1 ZINC000829571813 603986361 /nfs/dbraw/zinc/98/63/61/603986361.db2.gz RJPGMWIPFMVPST-UHFFFAOYSA-N -1 1 321.377 1.708 20 0 DDADMM O=S(=O)(NC1(C2CC2)CC1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826487248 607672496 /nfs/dbraw/zinc/67/24/96/607672496.db2.gz IWLLKVUZYQZBIZ-UHFFFAOYSA-N -1 1 323.353 1.227 20 0 DDADMM C[C@@H]1CN=C(N2CCN(C[C@@H]3CCN(C(=O)[O-])C3)CC2)S1 ZINC000826087501 604228021 /nfs/dbraw/zinc/22/80/21/604228021.db2.gz OGHFKRHAWFGOKG-NEPJUHHUSA-N -1 1 312.439 1.095 20 0 DDADMM Cc1cc([C@@H](C)N2CCO[C@@H](c3n[nH]c(C)n3)C2)oc1C(=O)[O-] ZINC000832991258 604385026 /nfs/dbraw/zinc/38/50/26/604385026.db2.gz LDGIKYXDTPOAQS-BXKDBHETSA-N -1 1 320.349 1.847 20 0 DDADMM CC(=O)N[C@H]1CCCN(Cc2c(C)nn(CCC(=O)[O-])c2C)C1 ZINC000833396074 604534275 /nfs/dbraw/zinc/53/42/75/604534275.db2.gz DJEXLNYLOYOZPT-AWEZNQCLSA-N -1 1 322.409 1.075 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)C[C@H]3CCCN3C(=O)[O-])C2)n[nH]1 ZINC000830739123 604646771 /nfs/dbraw/zinc/64/67/71/604646771.db2.gz VUHNMVCJDKEXNK-NWDGAFQWSA-N -1 1 321.381 1.352 20 0 DDADMM O=C([O-])N1CC(NC(=O)c2cn[nH]c2-c2ccccc2F)C1 ZINC000831609719 604749490 /nfs/dbraw/zinc/74/94/90/604749490.db2.gz BWWDONJVBKUSCI-UHFFFAOYSA-N -1 1 304.281 1.308 20 0 DDADMM COCCN1CC[C@H](Nc2nc(Cl)c(C(=O)[O-])s2)C1 ZINC000833723799 604828438 /nfs/dbraw/zinc/82/84/38/604828438.db2.gz AVOKMMCKIBLCNA-ZETCQYMHSA-N -1 1 305.787 1.627 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1CCCc2ccccc21 ZINC000320744954 604896581 /nfs/dbraw/zinc/89/65/81/604896581.db2.gz ZJXAOPPNTBMLRW-GJZGRUSLSA-N -1 1 313.357 1.642 20 0 DDADMM CC(C)(C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1cccc(F)c1 ZINC000833411497 604899550 /nfs/dbraw/zinc/89/95/50/604899550.db2.gz HBRRMPXAQNJMEX-ZDUSSCGKSA-N -1 1 319.336 1.639 20 0 DDADMM C[C@H](C[N@@H+](CC(=O)[N-]OCc1ccccc1)C1CC1)C(=O)[O-] ZINC000833516555 604908617 /nfs/dbraw/zinc/90/86/17/604908617.db2.gz PDAKCZHJQFXOTM-GFCCVEGCSA-N -1 1 306.362 1.420 20 0 DDADMM CN(CC(=O)N(C)c1ccccc1C(=O)[O-])[C@@H]1CCSC1 ZINC000833684697 604925891 /nfs/dbraw/zinc/92/58/91/604925891.db2.gz OYDNOGKXTXUSCZ-LLVKDONJSA-N -1 1 308.403 1.785 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCN(C)[C@@H](C)C1 ZINC000833621628 604934540 /nfs/dbraw/zinc/93/45/40/604934540.db2.gz GDANVOAZTJEROH-STQMWFEESA-N -1 1 319.405 1.025 20 0 DDADMM CC(C)C[C@@H]1CCC(=O)N(CN2CCC[C@@H]2CC(=O)[O-])C1=O ZINC000826831798 604981558 /nfs/dbraw/zinc/98/15/58/604981558.db2.gz HEKBOMZKRFTPMZ-QWHCGFSZSA-N -1 1 310.394 1.694 20 0 DDADMM CC(C)C[C@H](CNC(=O)CN(C)[C@@H]1CCSC1)C(=O)[O-] ZINC000833477466 605049532 /nfs/dbraw/zinc/04/95/32/605049532.db2.gz GEBKYRSPEAKWIY-VXGBXAGGSA-N -1 1 302.440 1.287 20 0 DDADMM C[C@H](CNC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C)C(C)(C)C ZINC000833627309 605052855 /nfs/dbraw/zinc/05/28/55/605052855.db2.gz WABURCFAIRPXJW-OLZOCXBDSA-N -1 1 313.442 1.859 20 0 DDADMM C[C@H](C(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1)c1cnn(C)c1 ZINC000833410485 605057534 /nfs/dbraw/zinc/05/75/34/605057534.db2.gz CFAKCEBGHPULMB-QMMMGPOBSA-N -1 1 313.317 1.737 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)c2ccc(OCC(=O)[O-])cc2)C[C@H]1C ZINC000833656158 605133654 /nfs/dbraw/zinc/13/36/54/605133654.db2.gz HWSZLSVBVZPRLG-CHWSQXEVSA-N -1 1 320.389 1.705 20 0 DDADMM CCC[C@@H](C(=O)Nc1ccc(C(=O)NCC(=O)[O-])cc1)N(C)C ZINC000833648424 605146101 /nfs/dbraw/zinc/14/61/01/605146101.db2.gz SHIIXTPGSIGSNE-ZDUSSCGKSA-N -1 1 321.377 1.170 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc(Cl)n1 ZINC000833627230 605159432 /nfs/dbraw/zinc/15/94/32/605159432.db2.gz VDVCUBQPWSMESH-JTQLQIEISA-N -1 1 311.769 1.356 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)CN1CCC(C)(OC)CC1)C(=O)[O-] ZINC000833637166 605186866 /nfs/dbraw/zinc/18/68/66/605186866.db2.gz CMQCIWQFXMVDCC-YPMHNXCESA-N -1 1 300.399 1.103 20 0 DDADMM CCc1ccc(C(=O)N2CCN(CCC(=O)[O-])[C@H](C)C2)cc1 ZINC000833669231 605250199 /nfs/dbraw/zinc/25/01/99/605250199.db2.gz CEEXOTYJRWZGGV-CYBMUJFWSA-N -1 1 304.390 1.870 20 0 DDADMM C[C@@H]1CN(C(=O)Cc2ccccc2Cl)CCN1CCC(=O)[O-] ZINC000833621634 605256605 /nfs/dbraw/zinc/25/66/05/605256605.db2.gz KGHHCMAGXGBUKY-GFCCVEGCSA-N -1 1 324.808 1.890 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@@H](NC(=O)[O-])[C@@H](C)C2)n[nH]1 ZINC000830028671 605301281 /nfs/dbraw/zinc/30/12/81/605301281.db2.gz BENRYZYJJSNLKO-OUJBWJOFSA-N -1 1 323.397 1.337 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCN(c3cccc(O)c3)CC2)O1 ZINC000833576857 605320528 /nfs/dbraw/zinc/32/05/28/605320528.db2.gz AMMBQVXNTCEHKZ-HNNXBMFYSA-N -1 1 318.373 1.662 20 0 DDADMM C[C@H](CC(=O)N1CCc2[nH]nnc2C1)C1CCN(C(=O)[O-])CC1 ZINC000824607086 605367529 /nfs/dbraw/zinc/36/75/29/605367529.db2.gz UZLRGFGACCZJTG-SNVBAGLBSA-N -1 1 321.381 1.106 20 0 DDADMM O=C(CC1CCN(C(=O)[O-])CC1)N[C@H]1CCc2nc[nH]c2C1 ZINC000831348940 605442055 /nfs/dbraw/zinc/44/20/55/605442055.db2.gz BCLZAYZRLOWGSI-NSHDSACASA-N -1 1 306.366 1.163 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@H]2CC[N@H+]3CCCC[C@@H]23)c(O)c1 ZINC000834258632 605643440 /nfs/dbraw/zinc/64/34/40/605643440.db2.gz ZZBTYIBZRAACKY-STQMWFEESA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@H]2CC[N@@H+]3CCCC[C@@H]23)c([O-])c1 ZINC000834258632 605643442 /nfs/dbraw/zinc/64/34/42/605643442.db2.gz ZZBTYIBZRAACKY-STQMWFEESA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@H]2CC[N@H+]3CCCC[C@@H]23)c([O-])c1 ZINC000834258632 605643445 /nfs/dbraw/zinc/64/34/45/605643445.db2.gz ZZBTYIBZRAACKY-STQMWFEESA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])N1CCC[C@@H](NCc2cccnc2OCC(F)F)C1 ZINC000834102713 605651809 /nfs/dbraw/zinc/65/18/09/605651809.db2.gz DQKPEEHBDOCSFR-LLVKDONJSA-N -1 1 315.320 1.958 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CN(Cc2[nH]nc3ccccc32)CCO1 ZINC000833818810 605678008 /nfs/dbraw/zinc/67/80/08/605678008.db2.gz MISPAKYVZDFHPQ-YGRLFVJLSA-N -1 1 304.350 1.420 20 0 DDADMM CC(C)(C)[C@H](NC(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000823851129 605690325 /nfs/dbraw/zinc/69/03/25/605690325.db2.gz WWQPMRIPERDCJG-GFCCVEGCSA-N -1 1 317.349 1.888 20 0 DDADMM O=C([O-])N1CC[C@H](NCC(=O)Nc2cccc3cccnc32)C1 ZINC000831493742 605847276 /nfs/dbraw/zinc/84/72/76/605847276.db2.gz SGWKDCPHPJAMGW-LBPRGKRZSA-N -1 1 314.345 1.515 20 0 DDADMM CCC(CC)(CNC(=O)[O-])C(=O)N1CCN(CC2CC2)CC1 ZINC000833840944 605849759 /nfs/dbraw/zinc/84/97/59/605849759.db2.gz FQARGEXVEMBOKG-UHFFFAOYSA-N -1 1 311.426 1.615 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1[C@@H]1CCN(c2ccccc2)C1=O ZINC000833831210 605880785 /nfs/dbraw/zinc/88/07/85/605880785.db2.gz MNHCCYORRQZIBC-VNHYZAJKSA-N -1 1 317.389 1.770 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1C[C@@H](O)c1c(F)cccc1F ZINC000833835455 605908764 /nfs/dbraw/zinc/90/87/64/605908764.db2.gz ZJHDVHQAPHRGRV-GIPNMCIBSA-N -1 1 314.332 1.976 20 0 DDADMM O=C([O-])Nc1cnc(C(=O)Nc2ncc(C(F)(F)F)[nH]2)cn1 ZINC000834278903 606070385 /nfs/dbraw/zinc/07/03/85/606070385.db2.gz PVWTZBWLAQCVRW-UHFFFAOYSA-N -1 1 316.199 1.561 20 0 DDADMM O=C(OCCc1cnccn1)c1ccc(-c2nnn[n-]2)s1 ZINC000823409041 606178178 /nfs/dbraw/zinc/17/81/78/606178178.db2.gz AZIRFEMOTGRTET-UHFFFAOYSA-N -1 1 302.319 1.118 20 0 DDADMM O=C(OCCc1cnccn1)c1ccc(-c2nn[n-]n2)s1 ZINC000823409041 606178179 /nfs/dbraw/zinc/17/81/79/606178179.db2.gz AZIRFEMOTGRTET-UHFFFAOYSA-N -1 1 302.319 1.118 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@@H]1COc2ccccc21 ZINC000823294567 606293540 /nfs/dbraw/zinc/29/35/40/606293540.db2.gz HKSUFISOXGZXIX-LLVKDONJSA-N -1 1 323.312 1.687 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)C1CCOCC1 ZINC000820919481 606580697 /nfs/dbraw/zinc/58/06/97/606580697.db2.gz CSCUKKOOXVHICK-SNVBAGLBSA-N -1 1 321.406 1.863 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)C1CCOCC1 ZINC000820919481 606580699 /nfs/dbraw/zinc/58/06/99/606580699.db2.gz CSCUKKOOXVHICK-SNVBAGLBSA-N -1 1 321.406 1.863 20 0 DDADMM C[C@@H](CO[C@H]1CCOC1)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820287697 606634331 /nfs/dbraw/zinc/63/43/31/606634331.db2.gz PFSKBBCIKFWKKQ-IUCAKERBSA-N -1 1 324.772 1.521 20 0 DDADMM C[C@@H](CO[C@H]1CCOC1)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820287697 606634332 /nfs/dbraw/zinc/63/43/32/606634332.db2.gz PFSKBBCIKFWKKQ-IUCAKERBSA-N -1 1 324.772 1.521 20 0 DDADMM C[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)C1CCOCC1 ZINC000820511742 606654060 /nfs/dbraw/zinc/65/40/60/606654060.db2.gz CDYGNLRXRVTBAW-MRVPVSSYSA-N -1 1 308.363 1.900 20 0 DDADMM C[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)C1CCOCC1 ZINC000820511742 606654061 /nfs/dbraw/zinc/65/40/61/606654061.db2.gz CDYGNLRXRVTBAW-MRVPVSSYSA-N -1 1 308.363 1.900 20 0 DDADMM Clc1cccnc1OCCNc1nccnc1-c1nnn[n-]1 ZINC000822591554 606722933 /nfs/dbraw/zinc/72/29/33/606722933.db2.gz UWSJRFSBDFCMCU-UHFFFAOYSA-N -1 1 318.728 1.196 20 0 DDADMM Clc1cccnc1OCCNc1nccnc1-c1nn[n-]n1 ZINC000822591554 606722935 /nfs/dbraw/zinc/72/29/35/606722935.db2.gz UWSJRFSBDFCMCU-UHFFFAOYSA-N -1 1 318.728 1.196 20 0 DDADMM O=C(C[C@@H]1CCCCO1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822941532 606752050 /nfs/dbraw/zinc/75/20/50/606752050.db2.gz YQZMIAACVHIDMO-NSHDSACASA-N -1 1 303.322 1.264 20 0 DDADMM O=C(C[C@@H]1CCCCO1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822941532 606752052 /nfs/dbraw/zinc/75/20/52/606752052.db2.gz YQZMIAACVHIDMO-NSHDSACASA-N -1 1 303.322 1.264 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC[C@@]2(C)CCCS2)n1 ZINC000822614348 606956761 /nfs/dbraw/zinc/95/67/61/606956761.db2.gz MDFCYQSYLQKAEC-GFCCVEGCSA-N -1 1 322.398 1.007 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC[C@@]2(C)CCCS2)n1 ZINC000822614348 606956762 /nfs/dbraw/zinc/95/67/62/606956762.db2.gz MDFCYQSYLQKAEC-GFCCVEGCSA-N -1 1 322.398 1.007 20 0 DDADMM C[C@@H](CC(=O)Nc1nn(C)cc1-c1nnn[n-]1)c1ccncc1 ZINC000820225667 607018086 /nfs/dbraw/zinc/01/80/86/607018086.db2.gz OSINZEFPWVVVFA-VIFPVBQESA-N -1 1 312.337 1.128 20 0 DDADMM C[C@@H](CC(=O)Nc1nn(C)cc1-c1nn[n-]n1)c1ccncc1 ZINC000820225667 607018087 /nfs/dbraw/zinc/01/80/87/607018087.db2.gz OSINZEFPWVVVFA-VIFPVBQESA-N -1 1 312.337 1.128 20 0 DDADMM C[C@H](CC[S@@](C)=O)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000820249354 607025974 /nfs/dbraw/zinc/02/59/74/607025974.db2.gz VEKNGAGQSSHJCW-WZNIZKBGSA-N -1 1 320.831 1.546 20 0 DDADMM C[C@H](CC[S@@](C)=O)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000820249354 607025976 /nfs/dbraw/zinc/02/59/76/607025976.db2.gz VEKNGAGQSSHJCW-WZNIZKBGSA-N -1 1 320.831 1.546 20 0 DDADMM CSC[C@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821962478 607166441 /nfs/dbraw/zinc/16/64/41/607166441.db2.gz QJJUWHARGSMBQP-MRVPVSSYSA-N -1 1 309.420 1.896 20 0 DDADMM CSC[C@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821962478 607166442 /nfs/dbraw/zinc/16/64/42/607166442.db2.gz QJJUWHARGSMBQP-MRVPVSSYSA-N -1 1 309.420 1.896 20 0 DDADMM C[C@@H](Cc1cccc(O)c1)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820299823 607229569 /nfs/dbraw/zinc/22/95/69/607229569.db2.gz LCEMLWIQWYPABM-JTQLQIEISA-N -1 1 324.344 1.328 20 0 DDADMM C[C@@H](Cc1cccc(O)c1)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820299823 607229570 /nfs/dbraw/zinc/22/95/70/607229570.db2.gz LCEMLWIQWYPABM-JTQLQIEISA-N -1 1 324.344 1.328 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824790786 607824647 /nfs/dbraw/zinc/82/46/47/607824647.db2.gz QQSIRMBMWQRHFX-QMMMGPOBSA-N -1 1 307.379 1.616 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824790786 607824648 /nfs/dbraw/zinc/82/46/48/607824648.db2.gz QQSIRMBMWQRHFX-QMMMGPOBSA-N -1 1 307.379 1.616 20 0 DDADMM c1cc(Sc2nnnn2C2CCCC2)nc(-c2nnn[n-]2)c1 ZINC000826517660 607896159 /nfs/dbraw/zinc/89/61/59/607896159.db2.gz KUWAUSPLRYWVEK-UHFFFAOYSA-N -1 1 315.366 1.515 20 0 DDADMM c1cc(Sc2nnnn2C2CCCC2)nc(-c2nn[n-]n2)c1 ZINC000826517660 607896160 /nfs/dbraw/zinc/89/61/60/607896160.db2.gz KUWAUSPLRYWVEK-UHFFFAOYSA-N -1 1 315.366 1.515 20 0 DDADMM Cc1nccc([C@H]2CCCN(c3cccc(-c4nnn[n-]4)n3)C2)n1 ZINC000826330250 607897465 /nfs/dbraw/zinc/89/74/65/607897465.db2.gz LQTJWUCQDRQTDO-LBPRGKRZSA-N -1 1 322.376 1.744 20 0 DDADMM Cc1nccc([C@H]2CCCN(c3cccc(-c4nn[n-]n4)n3)C2)n1 ZINC000826330250 607897466 /nfs/dbraw/zinc/89/74/66/607897466.db2.gz LQTJWUCQDRQTDO-LBPRGKRZSA-N -1 1 322.376 1.744 20 0 DDADMM C[C@H](c1ccccc1)[C@@H](CO)Nc1cccc(-c2nnn[n-]2)n1 ZINC000824772072 607898531 /nfs/dbraw/zinc/89/85/31/607898531.db2.gz TVOWGXQOPLQGPX-BXUZGUMPSA-N -1 1 310.361 1.838 20 0 DDADMM C[C@H](c1ccccc1)[C@@H](CO)Nc1cccc(-c2nn[n-]n2)n1 ZINC000824772072 607898532 /nfs/dbraw/zinc/89/85/32/607898532.db2.gz TVOWGXQOPLQGPX-BXUZGUMPSA-N -1 1 310.361 1.838 20 0 DDADMM CCN(CC)C(=O)[C@H]1CCCN1c1cccc(-c2nnn[n-]2)n1 ZINC000825399364 607899153 /nfs/dbraw/zinc/89/91/53/607899153.db2.gz QZHBSZXFZJUCGB-GFCCVEGCSA-N -1 1 315.381 1.099 20 0 DDADMM CCN(CC)C(=O)[C@H]1CCCN1c1cccc(-c2nn[n-]n2)n1 ZINC000825399364 607899154 /nfs/dbraw/zinc/89/91/54/607899154.db2.gz QZHBSZXFZJUCGB-GFCCVEGCSA-N -1 1 315.381 1.099 20 0 DDADMM CCOC(=O)[C@H]1CCCN(c2cccc(-c3nnn[n-]3)n2)C1 ZINC000825499973 607902134 /nfs/dbraw/zinc/90/21/34/607902134.db2.gz ZHMQJYLVGYXXRU-JTQLQIEISA-N -1 1 302.338 1.041 20 0 DDADMM CCOC(=O)[C@H]1CCCN(c2cccc(-c3nn[n-]n3)n2)C1 ZINC000825499973 607902135 /nfs/dbraw/zinc/90/21/35/607902135.db2.gz ZHMQJYLVGYXXRU-JTQLQIEISA-N -1 1 302.338 1.041 20 0 DDADMM c1c(CNc2ccc(-c3nnn[n-]3)nn2)onc1-c1ccccc1 ZINC000826519205 607989465 /nfs/dbraw/zinc/98/94/65/607989465.db2.gz OMBKYHQTCCGLAK-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM c1c(CNc2ccc(-c3nn[n-]n3)nn2)onc1-c1ccccc1 ZINC000826519205 607989466 /nfs/dbraw/zinc/98/94/66/607989466.db2.gz OMBKYHQTCCGLAK-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM O=C(CNC(=O)c1ccc(-c2nnn[n-]2)s1)c1cccs1 ZINC000826412392 608079936 /nfs/dbraw/zinc/07/99/36/608079936.db2.gz MIWANQFZGLIJQQ-UHFFFAOYSA-N -1 1 319.371 1.602 20 0 DDADMM O=C(CNC(=O)c1ccc(-c2nn[n-]n2)s1)c1cccs1 ZINC000826412392 608079937 /nfs/dbraw/zinc/07/99/37/608079937.db2.gz MIWANQFZGLIJQQ-UHFFFAOYSA-N -1 1 319.371 1.602 20 0 DDADMM CC[C@@H](O)CCCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000825155035 608185814 /nfs/dbraw/zinc/18/58/14/608185814.db2.gz XNWPTRDUEGGYEM-ZCFIWIBFSA-N -1 1 302.791 1.940 20 0 DDADMM CC[C@@H](O)CCCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000825155035 608185815 /nfs/dbraw/zinc/18/58/15/608185815.db2.gz XNWPTRDUEGGYEM-ZCFIWIBFSA-N -1 1 302.791 1.940 20 0 DDADMM c1cnc(N2CCC[C@@H](C[C@H]3CCOC3)C2)c(-c2nn[n-]n2)n1 ZINC000826525299 608297128 /nfs/dbraw/zinc/29/71/28/608297128.db2.gz DTXVLLOFRAUULE-NWDGAFQWSA-N -1 1 315.381 1.300 20 0 DDADMM c1cnc(N2CCC[C@@H](C[C@H]3CCOC3)C2)c(-c2nnn[n-]2)n1 ZINC000826525299 608297126 /nfs/dbraw/zinc/29/71/26/608297126.db2.gz DTXVLLOFRAUULE-NWDGAFQWSA-N -1 1 315.381 1.300 20 0 DDADMM c1ccc2nc(CNc3ccc(-c4nnn[n-]4)nn3)ccc2c1 ZINC000826524563 608405914 /nfs/dbraw/zinc/40/59/14/608405914.db2.gz ZNIOPOIELADHFA-UHFFFAOYSA-N -1 1 304.317 1.817 20 0 DDADMM c1ccc2nc(CNc3ccc(-c4nn[n-]n4)nn3)ccc2c1 ZINC000826524563 608405916 /nfs/dbraw/zinc/40/59/16/608405916.db2.gz ZNIOPOIELADHFA-UHFFFAOYSA-N -1 1 304.317 1.817 20 0 DDADMM CC(C)c1nnc(Sc2ccc(-c3nnn[n-]3)nn2)n1C ZINC000824364598 608410189 /nfs/dbraw/zinc/41/01/89/608410189.db2.gz MXJVSYYIZUEJKQ-UHFFFAOYSA-N -1 1 303.355 1.060 20 0 DDADMM CC(C)c1nnc(Sc2ccc(-c3nn[n-]n3)nn2)n1C ZINC000824364598 608410190 /nfs/dbraw/zinc/41/01/90/608410190.db2.gz MXJVSYYIZUEJKQ-UHFFFAOYSA-N -1 1 303.355 1.060 20 0 DDADMM CN(c1ccc(-c2nnn[n-]2)nn1)[C@@H]1CCc2ccccc2C1 ZINC000826003986 608418287 /nfs/dbraw/zinc/41/82/87/608418287.db2.gz DAJXJFWCOHDLON-CYBMUJFWSA-N -1 1 307.361 1.650 20 0 DDADMM CN(c1ccc(-c2nn[n-]n2)nn1)[C@@H]1CCc2ccccc2C1 ZINC000826003986 608418290 /nfs/dbraw/zinc/41/82/90/608418290.db2.gz DAJXJFWCOHDLON-CYBMUJFWSA-N -1 1 307.361 1.650 20 0 DDADMM CC(=O)Nc1ccccc1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000824004927 608424085 /nfs/dbraw/zinc/42/40/85/608424085.db2.gz GTJHZEJZQJUDCV-UHFFFAOYSA-N -1 1 310.321 1.227 20 0 DDADMM CC(=O)Nc1ccccc1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000824004927 608424086 /nfs/dbraw/zinc/42/40/86/608424086.db2.gz GTJHZEJZQJUDCV-UHFFFAOYSA-N -1 1 310.321 1.227 20 0 DDADMM COC[C@H](Nc1ccc(-c2nnn[n-]2)nn1)c1ccc(C)o1 ZINC000826151784 608427620 /nfs/dbraw/zinc/42/76/20/608427620.db2.gz JSRGBMKQBUHTSV-JTQLQIEISA-N -1 1 301.310 1.358 20 0 DDADMM COC[C@H](Nc1ccc(-c2nn[n-]n2)nn1)c1ccc(C)o1 ZINC000826151784 608427622 /nfs/dbraw/zinc/42/76/22/608427622.db2.gz JSRGBMKQBUHTSV-JTQLQIEISA-N -1 1 301.310 1.358 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NC[C@@H](C)CC1(C)OCCO1 ZINC000826280587 608544522 /nfs/dbraw/zinc/54/45/22/608544522.db2.gz HYZHFDXMPIIHJJ-JTQLQIEISA-N -1 1 318.381 1.771 20 0 DDADMM FC(F)(F)Cn1cc(NCc2ccc(-c3nnn[n-]3)o2)cn1 ZINC000826367858 608568894 /nfs/dbraw/zinc/56/88/94/608568894.db2.gz RCUOWGAUAODSED-UHFFFAOYSA-N -1 1 313.243 1.831 20 0 DDADMM FC(F)(F)Cn1cc(NCc2ccc(-c3nn[n-]n3)o2)cn1 ZINC000826367858 608568896 /nfs/dbraw/zinc/56/88/96/608568896.db2.gz RCUOWGAUAODSED-UHFFFAOYSA-N -1 1 313.243 1.831 20 0 DDADMM Brc1ccc(Cn2ccnc2-c2nnn[n-]2)s1 ZINC000823827047 608894106 /nfs/dbraw/zinc/89/41/06/608894106.db2.gz SNQJCMZMXUPYDO-UHFFFAOYSA-N -1 1 311.168 1.936 20 0 DDADMM Brc1ccc(Cn2ccnc2-c2nn[n-]n2)s1 ZINC000823827047 608894110 /nfs/dbraw/zinc/89/41/10/608894110.db2.gz SNQJCMZMXUPYDO-UHFFFAOYSA-N -1 1 311.168 1.936 20 0 DDADMM C[C@H]1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)C[C@H]1F ZINC000824962675 609320391 /nfs/dbraw/zinc/32/03/91/609320391.db2.gz WTAVGJBAJINEMN-GXSJLCMTSA-N -1 1 313.340 1.994 20 0 DDADMM C[C@H]1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)C[C@H]1F ZINC000824962675 609320393 /nfs/dbraw/zinc/32/03/93/609320393.db2.gz WTAVGJBAJINEMN-GXSJLCMTSA-N -1 1 313.340 1.994 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000971729276 695074273 /nfs/dbraw/zinc/07/42/73/695074273.db2.gz VCKZVQVTWUHZMO-XKFUZFNKSA-N -1 1 315.373 1.426 20 0 DDADMM Cc1ccc(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC000972935879 695370827 /nfs/dbraw/zinc/37/08/27/695370827.db2.gz BVMCNHZLOISZJB-XYPYZODXSA-N -1 1 315.329 1.379 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2cccc3c2OCCO3)[n-]1 ZINC000797086056 699993057 /nfs/dbraw/zinc/99/30/57/699993057.db2.gz PIDVHLFGNCLHFT-UHFFFAOYSA-N -1 1 303.270 1.792 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)c1ccoc1 ZINC000973883211 695578914 /nfs/dbraw/zinc/57/89/14/695578914.db2.gz DMKIMLXGYXTHAI-PHIMTYICSA-N -1 1 301.302 1.071 20 0 DDADMM O=C(Nc1ccc(-n2ccnc2)nc1)C(=O)c1ccc([O-])cc1 ZINC000798158689 700045139 /nfs/dbraw/zinc/04/51/39/700045139.db2.gz WBDWLBQGZRPEEH-UHFFFAOYSA-N -1 1 308.297 1.794 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCc1nccs1 ZINC000747028967 700051540 /nfs/dbraw/zinc/05/15/40/700051540.db2.gz GLBVXLOIZQUMGV-UHFFFAOYSA-N -1 1 318.401 1.933 20 0 DDADMM Cc1nc[nH]c1CC(=O)Nc1nc(Br)ccc1[O-] ZINC000798175921 700046866 /nfs/dbraw/zinc/04/68/66/700046866.db2.gz NLTCYPKUMQGHFJ-UHFFFAOYSA-N -1 1 311.139 1.762 20 0 DDADMM CNC(=S)Nc1cc(S(=O)(=O)N2CCCC2)ccc1[O-] ZINC000007560882 696028554 /nfs/dbraw/zinc/02/85/54/696028554.db2.gz QGCPGJTVFLMLKM-UHFFFAOYSA-N -1 1 315.420 1.093 20 0 DDADMM COc1csc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1 ZINC000747083265 700054024 /nfs/dbraw/zinc/05/40/24/700054024.db2.gz NBNQHIGFKQMPMP-UHFFFAOYSA-N -1 1 319.338 1.870 20 0 DDADMM CCOc1ccc(NC(C)=C2C(=O)[N-]C(=S)NC2=O)cc1 ZINC000009770548 696037478 /nfs/dbraw/zinc/03/74/78/696037478.db2.gz PQOFAIODWQHNIS-UHFFFAOYSA-N -1 1 305.359 1.302 20 0 DDADMM CC(Nc1cc(Cl)ccc1F)=C1C(=O)[N-]C(=S)NC1=O ZINC000009877015 696038053 /nfs/dbraw/zinc/03/80/53/696038053.db2.gz QHQABOBSFZNYQB-UHFFFAOYSA-N -1 1 313.741 1.696 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000977254845 696092507 /nfs/dbraw/zinc/09/25/07/696092507.db2.gz KQFBZDMEUURGTL-SSKLVLDBSA-N -1 1 315.373 1.020 20 0 DDADMM CC(C)CN1C(=O)C(=CNNc2cnccn2)C(=O)[N-]C1=S ZINC000045089214 696172945 /nfs/dbraw/zinc/17/29/45/696172945.db2.gz WVYNNZPDCCMUOQ-UITAMQMPSA-N -1 1 320.378 1.017 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccc2c(c1)CCC2)[C@@H]1CC1[N+](=O)[O-] ZINC000048613259 696214986 /nfs/dbraw/zinc/21/49/86/696214986.db2.gz HGEISARLLQWQCZ-VXGBXAGGSA-N -1 1 310.331 1.486 20 0 DDADMM CS(=O)(=O)c1ccc(F)c([N-]C(=O)c2cnsn2)c1 ZINC000048771891 696218181 /nfs/dbraw/zinc/21/81/81/696218181.db2.gz PYUQGYWCEOQVKU-UHFFFAOYSA-N -1 1 301.324 1.333 20 0 DDADMM CCCCSCC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000747474379 700071880 /nfs/dbraw/zinc/07/18/80/700071880.db2.gz RFBMZIDFHNBMKJ-ZDUSSCGKSA-N -1 1 305.407 1.939 20 0 DDADMM CCOCCO[C@@H](C)C(=O)NCc1n[n-]c(=S)n1C1CC1 ZINC000066637568 696354301 /nfs/dbraw/zinc/35/43/01/696354301.db2.gz MOBPEXPBZVUGDP-VIFPVBQESA-N -1 1 314.411 1.333 20 0 DDADMM CCn1c(CNC(=O)c2ccc3nccn3c2)n[n-]c1=S ZINC000068392610 696372174 /nfs/dbraw/zinc/37/21/74/696372174.db2.gz XBRBNYKFAUQMSL-UHFFFAOYSA-N -1 1 302.363 1.538 20 0 DDADMM C[C@H]1C[C@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000978542406 696407225 /nfs/dbraw/zinc/40/72/25/696407225.db2.gz VDQWWEWJNOIAAJ-NNYUYHANSA-N -1 1 303.362 1.068 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)C(=O)Nc1ccccc1 ZINC000073997949 696409793 /nfs/dbraw/zinc/40/97/93/696409793.db2.gz MAUMYVNHKXHSOP-UHFFFAOYSA-N -1 1 319.390 1.258 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(Cl)cc1 ZINC000079293549 696459401 /nfs/dbraw/zinc/45/94/01/696459401.db2.gz VPLHVQPGIDRIAE-GMSGAONNSA-N -1 1 311.794 1.864 20 0 DDADMM CN(Cc1ccc(F)c(F)c1)C(=O)Cc1sc(N)nc1[O-] ZINC000079455338 696460831 /nfs/dbraw/zinc/46/08/31/696460831.db2.gz WVWWZHVYPQFRFB-JTQLQIEISA-N -1 1 313.329 1.270 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2cccc(F)n2)sc1C ZINC000747904183 700091516 /nfs/dbraw/zinc/09/15/16/700091516.db2.gz CXCQDLVKGYTHKA-UHFFFAOYSA-N -1 1 301.368 1.773 20 0 DDADMM Cc1ccc([C@@H](C)CNC(=O)Cc2sc(N)nc2[O-])cc1 ZINC000080396701 696534214 /nfs/dbraw/zinc/53/42/14/696534214.db2.gz OIPKNUWFULTHQS-CMPLNLGQSA-N -1 1 305.403 1.562 20 0 DDADMM O=C(NC[C@@H](O)c1ccccc1)c1nnc2ccccc2c1O ZINC000109936558 696638621 /nfs/dbraw/zinc/63/86/21/696638621.db2.gz VALOVCFMTJBECM-CQSZACIVSA-N -1 1 309.325 1.387 20 0 DDADMM CC(C)(CNC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000120894349 696703378 /nfs/dbraw/zinc/70/33/78/696703378.db2.gz AZRRGRGNKHZASH-NSHDSACASA-N -1 1 305.403 1.427 20 0 DDADMM CCCCO[C@@H](C)C(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000124181539 696740078 /nfs/dbraw/zinc/74/00/78/696740078.db2.gz WYIGQLREPDWLPH-NSHDSACASA-N -1 1 322.365 1.017 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCc3ccc(F)cc3C2)s1 ZINC000127349831 696770349 /nfs/dbraw/zinc/77/03/49/696770349.db2.gz ZMWNWGBCLWQDBZ-NSHDSACASA-N -1 1 307.350 1.057 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCOC(C)(C)C)o1 ZINC000143997499 696870578 /nfs/dbraw/zinc/87/05/78/696870578.db2.gz RJJJHSSNIWTAEC-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM CC[C@@H](F)C[N@@H+]1CCCN(C(=O)c2c(C)nc[nH]c2=O)CC1 ZINC000981799303 696920377 /nfs/dbraw/zinc/92/03/77/696920377.db2.gz CPTGFKIQOFIDDS-GFCCVEGCSA-N -1 1 310.373 1.387 20 0 DDADMM Cc1cc(C(=O)Nc2nc(Br)ccc2[O-])n(C)n1 ZINC000156384050 696962504 /nfs/dbraw/zinc/96/25/04/696962504.db2.gz SNQIAEHOJMLHDU-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM CC[C@](C)(CC(=O)OC)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000748657511 700130489 /nfs/dbraw/zinc/13/04/89/700130489.db2.gz IRPBWJJGRNTWHK-CYBMUJFWSA-N -1 1 321.345 1.975 20 0 DDADMM CS[C@@H](C)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982483517 697137541 /nfs/dbraw/zinc/13/75/41/697137541.db2.gz IGGLDMIHSURWJZ-QWRGUYRKSA-N -1 1 323.418 1.117 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2cccc(C)c2C)C1 ZINC000748770457 700133879 /nfs/dbraw/zinc/13/38/79/700133879.db2.gz URIPBIFOXZOQFA-CYBMUJFWSA-N -1 1 306.362 1.351 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccoc1 ZINC000982671632 697167451 /nfs/dbraw/zinc/16/74/51/697167451.db2.gz RGJXDZZYRULHCJ-NSHDSACASA-N -1 1 315.329 1.272 20 0 DDADMM CC1(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000982849144 697184978 /nfs/dbraw/zinc/18/49/78/697184978.db2.gz JPHHSHCIALOKRE-LLVKDONJSA-N -1 1 303.362 1.166 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2ccc(C)cc2)co1 ZINC000163308607 697332690 /nfs/dbraw/zinc/33/26/90/697332690.db2.gz DNKZBILKNJDVSY-UHFFFAOYSA-N -1 1 309.343 1.853 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2nc(C)cs2)co1 ZINC000163670198 697334053 /nfs/dbraw/zinc/33/40/53/697334053.db2.gz DDOANRONALNHGI-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CC(=O)N1CCC[C@H]2[C@@H]1C(C)(C)[C@H]2NC(=O)c1ncccc1[O-] ZINC000984170008 697349883 /nfs/dbraw/zinc/34/98/83/697349883.db2.gz MQTGPLWLVNZPHC-BYCMXARLSA-N -1 1 317.389 1.553 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H]2CCCC2(C)C)co1 ZINC000799502856 700146988 /nfs/dbraw/zinc/14/69/88/700146988.db2.gz GGJMMCJOBFFMQL-SNVBAGLBSA-N -1 1 301.364 1.923 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000984491979 697391818 /nfs/dbraw/zinc/39/18/18/697391818.db2.gz JCPXPQNCGFDPIA-VXGBXAGGSA-N -1 1 323.368 1.598 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=O)NCc2ccc(Cl)cn2)n1 ZINC000181062725 697454566 /nfs/dbraw/zinc/45/45/66/697454566.db2.gz UAKHSWMRAXVBTI-UHFFFAOYSA-N -1 1 322.756 1.668 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)c1ccc(F)cc1 ZINC000181998677 697469038 /nfs/dbraw/zinc/46/90/38/697469038.db2.gz BKBMVMGTLMBNGE-UHFFFAOYSA-N -1 1 316.292 1.265 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@H]2CC=CCC2)CC1 ZINC000985262644 697476604 /nfs/dbraw/zinc/47/66/04/697476604.db2.gz GQKAGUVHCRUJPM-GFCCVEGCSA-N -1 1 319.409 1.289 20 0 DDADMM O=C([N-]N1Cc2ccccc2C1=O)c1c(O)cc(F)cc1F ZINC000183849867 697495332 /nfs/dbraw/zinc/49/53/32/697495332.db2.gz ZDCGTDXFCVVYKU-UHFFFAOYSA-N -1 1 304.252 1.971 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985631013 697546399 /nfs/dbraw/zinc/54/63/99/697546399.db2.gz ISBBJNUWKZMXBV-DGCLKSJQSA-N -1 1 321.425 1.369 20 0 DDADMM C[C@@H](C(=O)[N-]OC[C@H]1CCOC1)N1CCc2ccccc2C1 ZINC000188332859 697557228 /nfs/dbraw/zinc/55/72/28/697557228.db2.gz NIMDZJRLAJKFRL-KBPBESRZSA-N -1 1 304.390 1.518 20 0 DDADMM COC(=O)c1ccc(OCC[N-]C(=O)C(F)(F)C(F)F)cc1 ZINC000749385161 700160643 /nfs/dbraw/zinc/16/06/43/700160643.db2.gz GRUZYIRQCGTLLX-UHFFFAOYSA-N -1 1 323.242 1.869 20 0 DDADMM O=C(NCCSC(F)(F)F)c1nc2ccccc2c(=O)[n-]1 ZINC000194241360 697700161 /nfs/dbraw/zinc/70/01/61/697700161.db2.gz IYPRCQUCRMFFTD-UHFFFAOYSA-N -1 1 317.292 1.906 20 0 DDADMM CN1C(=O)CC[C@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000194573687 697713787 /nfs/dbraw/zinc/71/37/87/697713787.db2.gz AJPQNMIRGYKZNU-LURJTMIESA-N -1 1 314.139 1.109 20 0 DDADMM O=C(CSc1ccccc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773157511 697720461 /nfs/dbraw/zinc/72/04/61/697720461.db2.gz KXDDCZCQTLOSMH-LLVKDONJSA-N -1 1 321.431 1.609 20 0 DDADMM Cn1cc(N2CC[C@@H](OC(=O)c3ccc([O-])cc3F)C2=O)cn1 ZINC000773573899 697783127 /nfs/dbraw/zinc/78/31/27/697783127.db2.gz QJICNNUZPCRLHH-CYBMUJFWSA-N -1 1 319.292 1.227 20 0 DDADMM O=C(CNC(=O)c1ccc2n[n-]c(=S)n2c1)c1cccs1 ZINC000774090313 697842312 /nfs/dbraw/zinc/84/23/12/697842312.db2.gz GHURZRQPQGHHEO-UHFFFAOYSA-N -1 1 318.383 1.692 20 0 DDADMM O=C(c1ccc(Cl)nc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000774219166 697856712 /nfs/dbraw/zinc/85/67/12/697856712.db2.gz WIOWZOGNOJPOMW-VIFPVBQESA-N -1 1 308.725 1.843 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cccc(Cl)n1 ZINC000749736469 700181157 /nfs/dbraw/zinc/18/11/57/700181157.db2.gz JFAUKDIOOVRLAG-GFCCVEGCSA-N -1 1 314.736 1.768 20 0 DDADMM O=C(NC[C@H]1CCC[C@@H](O)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000774431030 697878352 /nfs/dbraw/zinc/87/83/52/697878352.db2.gz JITDPILCBWSCPU-GXSJLCMTSA-N -1 1 306.391 1.299 20 0 DDADMM CCC(=O)N1CCC([C@@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC000986698760 697879704 /nfs/dbraw/zinc/87/97/04/697879704.db2.gz VHQCWUACKGQXGX-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)C[C@H]2CCOC2)co1 ZINC000774751854 697923393 /nfs/dbraw/zinc/92/33/93/697923393.db2.gz SJKZRVXPQWIQIV-VHSXEESVSA-N -1 1 317.363 1.160 20 0 DDADMM O=C(CCc1nccs1)OCCC[N-]C(=O)C(F)(F)F ZINC000774926625 697942802 /nfs/dbraw/zinc/94/28/02/697942802.db2.gz PFHJKGJTPOXDFW-UHFFFAOYSA-N -1 1 310.297 1.688 20 0 DDADMM CC(C)c1ncncc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774929400 697942998 /nfs/dbraw/zinc/94/29/98/697942998.db2.gz CPYUURYPUNUTTJ-UHFFFAOYSA-N -1 1 319.283 1.825 20 0 DDADMM Cc1ccc(OCC(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1 ZINC000776564196 698117769 /nfs/dbraw/zinc/11/77/69/698117769.db2.gz NDOVOPJQWOBEKM-LBPRGKRZSA-N -1 1 319.390 1.204 20 0 DDADMM CC(C)C(=O)N1CC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987755503 698219521 /nfs/dbraw/zinc/21/95/21/698219521.db2.gz MUWVNMONRUQOSM-GFCCVEGCSA-N -1 1 305.378 1.364 20 0 DDADMM CSCC(C)(C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000800315882 700215527 /nfs/dbraw/zinc/21/55/27/700215527.db2.gz IXYBSQBLMMHCJR-UHFFFAOYSA-N -1 1 309.387 1.875 20 0 DDADMM COC[C@H]([N-]C(=O)C(F)(F)c1ccccc1F)C(=O)OC ZINC000778505590 698342404 /nfs/dbraw/zinc/34/24/04/698342404.db2.gz JZJLFVVHKQKPTH-JTQLQIEISA-N -1 1 305.252 1.222 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2c(c1)CCN2C)c1nn[n-]n1 ZINC000778962799 698387516 /nfs/dbraw/zinc/38/75/16/698387516.db2.gz RQPUTPIXVSKZBF-LBPRGKRZSA-N -1 1 300.366 1.463 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1=CCCCCC1 ZINC000988701726 698443646 /nfs/dbraw/zinc/44/36/46/698443646.db2.gz VOAGNBXURKWOFL-YPMHNXCESA-N -1 1 319.409 1.480 20 0 DDADMM C[C@@H](NC(=O)NC[C@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000780343939 698509271 /nfs/dbraw/zinc/50/92/71/698509271.db2.gz NBDMFXKCEVKOJM-VXGBXAGGSA-N -1 1 309.366 1.579 20 0 DDADMM CN(C)[C@@H](CNC(=O)N(CC(=O)[O-])C1CC1)c1cccc(F)c1 ZINC000780361711 698511061 /nfs/dbraw/zinc/51/10/61/698511061.db2.gz ZVHSDHPJQFXLCW-AWEZNQCLSA-N -1 1 323.368 1.687 20 0 DDADMM Cc1ccc([C@H](CNC(=O)N[C@@H](C)C(=O)[O-])N2CCCC2)o1 ZINC000780513757 698527382 /nfs/dbraw/zinc/52/73/82/698527382.db2.gz VOTBIFNQQMVVJJ-RYUDHWBXSA-N -1 1 309.366 1.497 20 0 DDADMM C[C@@H](NC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C)C(=O)[O-] ZINC000780618579 698538748 /nfs/dbraw/zinc/53/87/48/698538748.db2.gz HUQKSEOFCGYQQL-SKDRFNHKSA-N -1 1 313.785 1.715 20 0 DDADMM CCNS(=O)(=O)CCNC(=O)c1ccc2ccccc2c1[O-] ZINC000388836152 699086556 /nfs/dbraw/zinc/08/65/56/699086556.db2.gz CZVOYZMFZGURQB-UHFFFAOYSA-N -1 1 322.386 1.215 20 0 DDADMM O=C(NC1CN(C(=O)C2CCCCCC2)C1)c1ncccc1[O-] ZINC000990968449 699195332 /nfs/dbraw/zinc/19/53/32/699195332.db2.gz IWLBGFJFAMKYHA-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CCc1ccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)o1 ZINC000990975063 699197158 /nfs/dbraw/zinc/19/71/58/699197158.db2.gz MMPWDWNWKYQVBD-UHFFFAOYSA-N -1 1 315.329 1.197 20 0 DDADMM CCCCc1ccc(N2C[C@H](C(=O)[N-]OCCO)CC2=O)cc1 ZINC000787291078 699203161 /nfs/dbraw/zinc/20/31/61/699203161.db2.gz GFIDHHDOSGRPHW-CQSZACIVSA-N -1 1 320.389 1.422 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@H]1CO)c1ccc(Cl)nc1F ZINC000703060148 699235356 /nfs/dbraw/zinc/23/53/56/699235356.db2.gz OHYJJKIRRKJOOB-IUCAKERBSA-N -1 1 322.789 1.704 20 0 DDADMM CC(C)n1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)cn1 ZINC000787819240 699246271 /nfs/dbraw/zinc/24/62/71/699246271.db2.gz TYSPTCOTVLJWJI-UHFFFAOYSA-N -1 1 307.272 1.689 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000788146563 699284028 /nfs/dbraw/zinc/28/40/28/699284028.db2.gz CWOFFLIDNFVTJB-WDEREUQCSA-N -1 1 313.427 1.267 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C[C@H]1CC[C@H](C(F)(F)F)O1 ZINC000721469635 699314189 /nfs/dbraw/zinc/31/41/89/699314189.db2.gz WNLLEEKCUKMPSA-OPRDCNLKSA-N -1 1 313.341 1.988 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000991411180 699317229 /nfs/dbraw/zinc/31/72/29/699317229.db2.gz ZMMSEJHGPBFDDZ-GHMZBOCLSA-N -1 1 303.362 1.164 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CC[C@H](C3CC3)O2)c1Cl ZINC000722335444 699317370 /nfs/dbraw/zinc/31/73/70/699317370.db2.gz XVKROKDLYDNJRT-VHSXEESVSA-N -1 1 319.814 1.309 20 0 DDADMM O=C(Cc1cc2ccccc2[nH]c1=O)[N-]OC[C@@H]1CCOC1 ZINC000788569421 699324852 /nfs/dbraw/zinc/32/48/52/699324852.db2.gz IFZYFRYWKSCJSW-LLVKDONJSA-N -1 1 302.330 1.567 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N(Cc1ccccc1)C1CC1 ZINC000726865837 699386067 /nfs/dbraw/zinc/38/60/67/699386067.db2.gz PODYTYQNBFYONL-UHFFFAOYSA-N -1 1 313.357 1.118 20 0 DDADMM CC[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000727850409 699428230 /nfs/dbraw/zinc/42/82/30/699428230.db2.gz XSGLVBUAVXEUDB-CYBMUJFWSA-N -1 1 301.346 1.194 20 0 DDADMM CC(=CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000731880147 699539608 /nfs/dbraw/zinc/53/96/08/699539608.db2.gz HCAANPIIKNCIMV-KJJQSCHISA-N -1 1 317.324 1.342 20 0 DDADMM COc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(Cl)c1 ZINC000732089344 699546108 /nfs/dbraw/zinc/54/61/08/699546108.db2.gz HXAXNKMKBWVYGM-NSHDSACASA-N -1 1 323.740 1.075 20 0 DDADMM C[C@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1F ZINC000732089631 699546124 /nfs/dbraw/zinc/54/61/24/699546124.db2.gz KVWAPRWCZRMMIO-CABZTGNLSA-N -1 1 305.313 1.042 20 0 DDADMM COc1ccc2cc(C=CC(=O)NCc3nn[n-]n3)ccc2c1 ZINC000732158065 699548456 /nfs/dbraw/zinc/54/84/56/699548456.db2.gz RLTBLLDCTRAMQX-XVNBXDOJSA-N -1 1 309.329 1.691 20 0 DDADMM CC(C)COCCCNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791091775 699610863 /nfs/dbraw/zinc/61/08/63/699610863.db2.gz XTAKFOQAUPICHC-UHFFFAOYSA-N -1 1 305.382 1.526 20 0 DDADMM C[C@@H](CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC000735977903 699715454 /nfs/dbraw/zinc/71/54/54/699715454.db2.gz WSBUWKKKCSAXAL-WCQYABFASA-N -1 1 301.350 1.293 20 0 DDADMM Cn1c(CNC(=O)c2cncc([O-])c2)nc2cc(F)ccc21 ZINC000736679688 699730014 /nfs/dbraw/zinc/73/00/14/699730014.db2.gz JEIZRKFZXAURNC-UHFFFAOYSA-N -1 1 300.293 1.743 20 0 DDADMM Cn1nccc1-c1ccccc1OS(=O)(=O)c1c[n-]cn1 ZINC000795253492 699853923 /nfs/dbraw/zinc/85/39/23/699853923.db2.gz WOKLEHGDNSEEMU-UHFFFAOYSA-N -1 1 304.331 1.578 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CC[C@H](SC)C2)o1 ZINC000742329430 699874003 /nfs/dbraw/zinc/87/40/03/699874003.db2.gz OWBMXJPAEJSTMN-IUCAKERBSA-N -1 1 319.404 1.629 20 0 DDADMM CCC(=O)[C@@H](C)OC(=O)c1ccccc1[N-]S(=O)(=O)CC ZINC000744563438 699954164 /nfs/dbraw/zinc/95/41/64/699954164.db2.gz PMXNYSACKHOVND-SNVBAGLBSA-N -1 1 313.375 1.973 20 0 DDADMM CCC(=O)N[C@@H](C)C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000744692522 699958360 /nfs/dbraw/zinc/95/83/60/699958360.db2.gz TUXHMYFGTLQEDB-JTQLQIEISA-N -1 1 307.346 1.595 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCC1(C)COC1 ZINC000744956211 699966752 /nfs/dbraw/zinc/96/67/52/699966752.db2.gz MCNNWYWENXMTBB-UHFFFAOYSA-N -1 1 305.334 1.174 20 0 DDADMM COCCCOC(=O)c1nn(-c2ccc(OC)cc2)cc1[O-] ZINC000801361366 700300964 /nfs/dbraw/zinc/30/09/64/700300964.db2.gz DLPVDYRNHNTDMA-UHFFFAOYSA-N -1 1 306.318 1.780 20 0 DDADMM Cc1[nH]c(C=O)cc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000801409048 700304740 /nfs/dbraw/zinc/30/47/40/700304740.db2.gz LGYTUSKEQSZXBB-UHFFFAOYSA-N -1 1 306.240 1.361 20 0 DDADMM CC[C@@H](OC(=O)c1nn(-c2ccc(F)cc2C)cc1[O-])C(N)=O ZINC000801430240 700308076 /nfs/dbraw/zinc/30/80/76/700308076.db2.gz RTCUYBUILWPSDG-GFCCVEGCSA-N -1 1 321.308 1.446 20 0 DDADMM CC(C)(C)C[C@@H](O)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000751766062 700314292 /nfs/dbraw/zinc/31/42/92/700314292.db2.gz RKVUFUMCOGMMEM-GFCCVEGCSA-N -1 1 307.346 1.283 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccnn3-c3ccccc3)ccnc1-2 ZINC000801703006 700332499 /nfs/dbraw/zinc/33/24/99/700332499.db2.gz FLZTUKXZDGCCNF-UHFFFAOYSA-N -1 1 318.340 1.780 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC2CC(C)(C)C2)co1 ZINC000802295147 700393658 /nfs/dbraw/zinc/39/36/58/700393658.db2.gz YJBAIOMFANEGFP-UHFFFAOYSA-N -1 1 301.364 1.781 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H]2C[C@H](O)c2cccs2)nc1=O ZINC000754052135 700478785 /nfs/dbraw/zinc/47/87/85/700478785.db2.gz TWANFNCTGXWHGP-QWRGUYRKSA-N -1 1 308.407 1.258 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC3(C)OCCCO3)cnc2n1 ZINC000754453364 700503717 /nfs/dbraw/zinc/50/37/17/700503717.db2.gz MVOCEHNUSVZWRA-UHFFFAOYSA-N -1 1 317.345 1.527 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC1(C)OCCCO1)c2=O ZINC000754453364 700503722 /nfs/dbraw/zinc/50/37/22/700503722.db2.gz MVOCEHNUSVZWRA-UHFFFAOYSA-N -1 1 317.345 1.527 20 0 DDADMM COc1cc(C[C@@H](CO)C[N-]C(=O)C(F)(F)F)cc(OC)c1 ZINC000754894603 700536363 /nfs/dbraw/zinc/53/63/63/700536363.db2.gz DTRMPLQEXZBWFV-SNVBAGLBSA-N -1 1 321.295 1.533 20 0 DDADMM CCOC(=O)c1sc2[n-]c(Cn3cccn3)nc(=O)c2c1C ZINC000756852437 700644995 /nfs/dbraw/zinc/64/49/95/700644995.db2.gz QUXAXOJVEARBRC-UHFFFAOYSA-N -1 1 318.358 1.715 20 0 DDADMM CC1(C)CN(C(=O)CCn2cc[n-]c(=O)c2=O)c2ccccc21 ZINC000758201199 700689215 /nfs/dbraw/zinc/68/92/15/700689215.db2.gz MGPDHPFHVVNOHF-UHFFFAOYSA-N -1 1 313.357 1.251 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCc2c[nH]nc2C1 ZINC000758824622 700724730 /nfs/dbraw/zinc/72/47/30/700724730.db2.gz BHXUDCXUAAMUPM-LLVKDONJSA-N -1 1 315.377 1.624 20 0 DDADMM O=S(=O)([N-]CC1(CO)CC1)c1ccc(Br)o1 ZINC000759050653 700735568 /nfs/dbraw/zinc/73/55/68/700735568.db2.gz WFTPFKKRVUEKFV-UHFFFAOYSA-N -1 1 310.169 1.093 20 0 DDADMM Cc1nccn1CCOC(=O)C(C)(C)[N-]C(=O)C(F)(F)F ZINC000759129825 700738572 /nfs/dbraw/zinc/73/85/72/700738572.db2.gz MXUMJGFLVGXWFB-UHFFFAOYSA-N -1 1 307.272 1.192 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2=CCCC2)cc1 ZINC000761560335 700863930 /nfs/dbraw/zinc/86/39/30/700863930.db2.gz VSFJMBGUJKBNDH-UHFFFAOYSA-N -1 1 303.314 1.605 20 0 DDADMM CNc1nc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cs1 ZINC000762209223 700885135 /nfs/dbraw/zinc/88/51/35/700885135.db2.gz GBTOPTHAWOKBKD-JTQLQIEISA-N -1 1 315.362 1.217 20 0 DDADMM CC[C@@H](NC(=O)[C@H](N)c1ccc(Br)cc1)C(=O)[O-] ZINC000763027948 700920695 /nfs/dbraw/zinc/92/06/95/700920695.db2.gz HOPFMQUWLVWMQD-NXEZZACHSA-N -1 1 315.167 1.428 20 0 DDADMM Cn1[n-]c(COC(=O)CCc2cncc3ccccc32)nc1=O ZINC000765568083 701019250 /nfs/dbraw/zinc/01/92/50/701019250.db2.gz KHFGAMKDSOJSRZ-UHFFFAOYSA-N -1 1 312.329 1.333 20 0 DDADMM Cc1ccc(C(=O)CCCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000766238770 701043367 /nfs/dbraw/zinc/04/33/67/701043367.db2.gz WDUSBGTXZPWPIV-UHFFFAOYSA-N -1 1 317.345 1.513 20 0 DDADMM COCc1ccc([N-]S(=O)(=O)c2ccc(C(N)=O)cc2)cc1 ZINC000766585481 701056412 /nfs/dbraw/zinc/05/64/12/701056412.db2.gz PREYAOOXRZDCBR-UHFFFAOYSA-N -1 1 320.370 1.733 20 0 DDADMM Cc1nc2ccnn2c(C)c1CCC(=O)[N-]OCC(F)(F)F ZINC000766766987 701062319 /nfs/dbraw/zinc/06/23/19/701062319.db2.gz YIWSNKPPKHPYRM-UHFFFAOYSA-N -1 1 316.283 1.889 20 0 DDADMM O=C(Cc1cc(F)cc2c1OCOC2)[N-]OCC(F)(F)F ZINC000766764054 701062388 /nfs/dbraw/zinc/06/23/88/701062388.db2.gz VRNNUSKXOOTFBJ-UHFFFAOYSA-N -1 1 309.215 1.845 20 0 DDADMM C[C@@H](Cn1ccc2ccccc21)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000803793375 701144612 /nfs/dbraw/zinc/14/46/12/701144612.db2.gz AQZKAYCZECNBPE-NSHDSACASA-N -1 1 314.345 1.443 20 0 DDADMM CCc1ccc([C@H](O)CNC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000804153767 701168262 /nfs/dbraw/zinc/16/82/62/701168262.db2.gz KCTIQLBWJGJFLO-MRXNPFEDSA-N -1 1 313.353 1.987 20 0 DDADMM COC(=O)[C@@H](CC(F)F)NC(=O)c1c([O-])cccc1Cl ZINC000770146107 701268756 /nfs/dbraw/zinc/26/87/56/701268756.db2.gz FNCHKIBKXHZQME-SSDOTTSWSA-N -1 1 307.680 1.972 20 0 DDADMM CCC[C@](C)(O)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000770206879 701271357 /nfs/dbraw/zinc/27/13/57/701271357.db2.gz MIIMIMPXDJPAMK-INIZCTEOSA-N -1 1 323.345 1.046 20 0 DDADMM COc1ccccc1NC(=O)[C@H](OC(=O)c1cn[n-]n1)C(C)C ZINC000805609832 701399830 /nfs/dbraw/zinc/39/98/30/701399830.db2.gz VFJNMRVQYKNYRJ-CYBMUJFWSA-N -1 1 318.333 1.633 20 0 DDADMM CC(C)[C@@H]1CC[C@@H](C)C[C@@H]1OC(=O)COC(=O)c1cn[n-]n1 ZINC000805609406 701399879 /nfs/dbraw/zinc/39/98/79/701399879.db2.gz QMVIWYJIYOLLFJ-MDZLAQPJSA-N -1 1 309.366 1.966 20 0 DDADMM Cc1csc([C@@H](C)NC(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC000806480990 701436995 /nfs/dbraw/zinc/43/69/95/701436995.db2.gz FKEPAGSWEKOXIK-SECBINFHSA-N -1 1 316.390 1.989 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830947142 706608103 /nfs/dbraw/zinc/60/81/03/706608103.db2.gz OYKVEAFOHCFPHS-MWLCHTKSSA-N -1 1 305.378 1.553 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)C1=CCCCCC1 ZINC000830952381 706609507 /nfs/dbraw/zinc/60/95/07/706609507.db2.gz HREONRDTJKNZCQ-UHFFFAOYSA-N -1 1 303.362 1.762 20 0 DDADMM CC1(C)CCC[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868345689 702075259 /nfs/dbraw/zinc/07/52/59/702075259.db2.gz NYJAZZPBQYZSBU-GFCCVEGCSA-N -1 1 320.393 1.633 20 0 DDADMM C[C@@H](C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)c1ccccn1 ZINC000831125297 706639101 /nfs/dbraw/zinc/63/91/01/706639101.db2.gz JWGFLBBLIZXLRA-SECBINFHSA-N -1 1 315.295 1.322 20 0 DDADMM CCn1nncc1C(=O)Nc1nc(Br)ccc1[O-] ZINC000816426708 702084925 /nfs/dbraw/zinc/08/49/25/702084925.db2.gz SNUHHIOMWNJIAR-UHFFFAOYSA-N -1 1 312.127 1.413 20 0 DDADMM O=C(Cc1ccc(F)cn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000816498463 702097743 /nfs/dbraw/zinc/09/77/43/702097743.db2.gz WCGPNYFLSJAWMO-SECBINFHSA-N -1 1 306.297 1.258 20 0 DDADMM O=C(Cc1cccc(O)c1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831134038 706641214 /nfs/dbraw/zinc/64/12/14/706641214.db2.gz HFJZKPJXRXWBNM-UHFFFAOYSA-N -1 1 316.279 1.072 20 0 DDADMM CC[C@@H]1CC[C@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)O1 ZINC000831138900 706642144 /nfs/dbraw/zinc/64/21/44/706642144.db2.gz CYEDFMQKGFDBSR-NXEZZACHSA-N -1 1 308.300 1.081 20 0 DDADMM CC[C@@H]1CC[C@@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)O1 ZINC000831138906 706642209 /nfs/dbraw/zinc/64/22/09/706642209.db2.gz CYEDFMQKGFDBSR-ZJUUUORDSA-N -1 1 308.300 1.081 20 0 DDADMM C[C@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CCC1 ZINC000868439984 702129209 /nfs/dbraw/zinc/12/92/09/702129209.db2.gz ASTRTRQRBGZZAI-VIFPVBQESA-N -1 1 306.366 1.243 20 0 DDADMM C[C@H]1CN(C(=O)c2c([O-])cccc2Cl)C[C@H](C)S1(=O)=O ZINC000812373555 702171574 /nfs/dbraw/zinc/17/15/74/702171574.db2.gz VQRYGMAGDOCWLJ-IUCAKERBSA-N -1 1 317.794 1.693 20 0 DDADMM CON(C[C@@H]1CCC[C@@H](C)C1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000812425765 702180162 /nfs/dbraw/zinc/18/01/62/702180162.db2.gz SONPQFPWFPPBGA-CHWSQXEVSA-N -1 1 323.393 1.143 20 0 DDADMM C[C@@H]1CCC[C@H]1CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868577305 702207408 /nfs/dbraw/zinc/20/74/08/702207408.db2.gz QRFQYFULRJCIDM-MNOVXSKESA-N -1 1 320.393 1.490 20 0 DDADMM C[C@H](CC(C)(C)C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868694220 702269864 /nfs/dbraw/zinc/26/98/64/702269864.db2.gz OSFOZIVIESUQHT-SNVBAGLBSA-N -1 1 322.409 1.879 20 0 DDADMM O=C(c1ccoc1C(F)(F)F)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000813444125 702349000 /nfs/dbraw/zinc/34/90/00/702349000.db2.gz AFNMGNNHNDFBJG-SSDOTTSWSA-N -1 1 317.227 1.025 20 0 DDADMM C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)c1c([O-])cccc1Cl ZINC000841457104 702457336 /nfs/dbraw/zinc/45/73/36/702457336.db2.gz NUSZFBSMOSBLDT-WDEREUQCSA-N -1 1 312.797 1.573 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)/C=C\c1ccccc1F ZINC000841534887 702485365 /nfs/dbraw/zinc/48/53/65/702485365.db2.gz NIJNFKKAWWIMIB-KRZKBDHCSA-N -1 1 313.350 1.667 20 0 DDADMM CC[C@H](C[C@H](C)O)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000831270369 706674717 /nfs/dbraw/zinc/67/47/17/706674717.db2.gz VNVRKRSWFZAKNI-JOYOIKCWSA-N -1 1 320.393 1.260 20 0 DDADMM CCCC[C@@H](CC)CNC(CC)=C1C(=O)[N-]C(=S)NC1=O ZINC000814337145 702510063 /nfs/dbraw/zinc/51/00/63/702510063.db2.gz YLTQVBZCQPFQJX-SNVBAGLBSA-N -1 1 311.451 1.987 20 0 DDADMM CC[C@@H]1CCCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000869212856 702512783 /nfs/dbraw/zinc/51/27/83/702512783.db2.gz MLHIZNXUQRBCBX-LLVKDONJSA-N -1 1 320.393 1.587 20 0 DDADMM CCON(CC)C(=O)CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869398451 702593259 /nfs/dbraw/zinc/59/32/59/702593259.db2.gz RZUKDBAAFYCCFL-UHFFFAOYSA-N -1 1 314.769 1.504 20 0 DDADMM COC(=O)C1=CC[C@H](NC(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000869403866 702595912 /nfs/dbraw/zinc/59/59/12/702595912.db2.gz NUTQBHOWTGEWBO-NSHDSACASA-N -1 1 309.749 1.966 20 0 DDADMM C[C@@H]1CCC[C@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869414089 702599542 /nfs/dbraw/zinc/59/95/42/702599542.db2.gz YPBGFLAPDKCZJD-ZJUUUORDSA-N -1 1 323.311 1.994 20 0 DDADMM C[C@@H]1CC/C(=C/C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869431180 702604928 /nfs/dbraw/zinc/60/49/28/702604928.db2.gz QKLGULOZHAXXIB-SBMLRHLQSA-N -1 1 321.295 1.914 20 0 DDADMM CCCn1nccc1NC(=O)COC(=O)c1ccc([O-])cc1F ZINC000869463940 702615095 /nfs/dbraw/zinc/61/50/95/702615095.db2.gz BBOCQUADGARQKH-UHFFFAOYSA-N -1 1 321.308 1.933 20 0 DDADMM COC[C@@H](C)[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1F ZINC000866385984 706687031 /nfs/dbraw/zinc/68/70/31/706687031.db2.gz VBJSWWSIOVVBNJ-AYLIAGHASA-N -1 1 324.399 1.152 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1ccccc1OC ZINC000866385610 706687058 /nfs/dbraw/zinc/68/70/58/706687058.db2.gz XZIUEXJREZIYRX-UHFFFAOYSA-N -1 1 320.436 1.537 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H](C)c1ccccc1OC ZINC000866404989 706690878 /nfs/dbraw/zinc/69/08/78/706690878.db2.gz RYOJOJNKYLWABQ-OVWNDWIMSA-N -1 1 320.436 1.708 20 0 DDADMM CC[C@@H](SC)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843015810 702803948 /nfs/dbraw/zinc/80/39/48/702803948.db2.gz INHZAKBAPMVZKA-SNVBAGLBSA-N -1 1 308.469 1.058 20 0 DDADMM CCCO[N-]C(=O)[C@@H]1CC(=O)N(Cc2ccc(OC)cc2)C1 ZINC000844084299 702969577 /nfs/dbraw/zinc/96/95/77/702969577.db2.gz KNYUIYDJCIRSBO-CYBMUJFWSA-N -1 1 306.362 1.502 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CCSC(F)(F)F ZINC000866513845 706717891 /nfs/dbraw/zinc/71/78/91/706717891.db2.gz ZXVLACKEXYZCJN-INIZCTEOSA-N -1 1 314.376 1.192 20 0 DDADMM CCOc1ccccc1C[N-]S(=O)(=O)N=[S@@](C)(=O)CC ZINC000866577888 706733851 /nfs/dbraw/zinc/73/38/51/706733851.db2.gz KARVGRVLGIVBOT-IBGZPJMESA-N -1 1 320.436 1.537 20 0 DDADMM COC(=O)[C@H](CCF)[N-]C(=O)C(F)(F)c1ccccc1F ZINC000846424625 703274361 /nfs/dbraw/zinc/27/43/61/703274361.db2.gz HTEZUEVZYWJOEA-JTQLQIEISA-N -1 1 307.243 1.935 20 0 DDADMM Cc1ccc(F)cc1[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC000882661051 707737215 /nfs/dbraw/zinc/73/72/15/707737215.db2.gz ZHBYKAXDHMZDEB-SFHVURJKSA-N -1 1 309.388 1.365 20 0 DDADMM CC[C@@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866901146 706839608 /nfs/dbraw/zinc/83/96/08/706839608.db2.gz WLIZQAKWUGKYQC-BDAKNGLRSA-N -1 1 324.805 1.950 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847078592 703374796 /nfs/dbraw/zinc/37/47/96/703374796.db2.gz JMRVNOLGUXQNRI-ORAHPGNNSA-N -1 1 320.374 1.960 20 0 DDADMM CC[C@H](C)c1nc(C)c(CC(=O)[N-]OC(C)(C)CO)c(C)n1 ZINC000848164686 703518631 /nfs/dbraw/zinc/51/86/31/703518631.db2.gz PVSAZNLMHRPGGR-JTQLQIEISA-N -1 1 309.410 1.968 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@H]1CCCS1 ZINC000848340641 703540662 /nfs/dbraw/zinc/54/06/62/703540662.db2.gz MOIFJIIZNNNDML-OPRDCNLKSA-N -1 1 324.368 1.940 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)N[C@@H]1CC12CC2 ZINC000848661886 703573338 /nfs/dbraw/zinc/57/33/38/703573338.db2.gz ALFNWPJCUPVPSM-OPRDCNLKSA-N -1 1 319.327 1.780 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)C(OC)OC ZINC000849405126 703644061 /nfs/dbraw/zinc/64/40/61/703644061.db2.gz CYCGMCLIGMPXLN-VIFPVBQESA-N -1 1 308.425 1.436 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC[C@@H]2CC2(F)F)o1 ZINC000851382581 703797296 /nfs/dbraw/zinc/79/72/96/703797296.db2.gz WLDRQALUGJPZPQ-SSDOTTSWSA-N -1 1 309.290 1.390 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2[C@@H](c3ccccc3)C2(C)C)nc1=O ZINC000869643328 703824660 /nfs/dbraw/zinc/82/46/60/703824660.db2.gz ZMWOBBSTYMETCV-OLZOCXBDSA-N -1 1 301.346 1.591 20 0 DDADMM CO[C@H](C)CC[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866710437 706771911 /nfs/dbraw/zinc/77/19/11/706771911.db2.gz XYBNJWWXXADQJN-SSDOTTSWSA-N -1 1 315.317 1.142 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCOC[C@@H]3C3CCC3)ccnc1-2 ZINC000831748660 706769902 /nfs/dbraw/zinc/76/99/02/706769902.db2.gz JAUJVJVHXXMZKD-CQSZACIVSA-N -1 1 315.377 1.375 20 0 DDADMM COc1ccc(OC)c(NC(=O)[C@@H](C)N2CC[C@H](C(=O)[O-])C2)c1 ZINC000818606866 703938393 /nfs/dbraw/zinc/93/83/93/703938393.db2.gz MFAOCSRUCLPFNJ-MNOVXSKESA-N -1 1 322.361 1.437 20 0 DDADMM CCOC(=O)[C@H](CC)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763820 706784486 /nfs/dbraw/zinc/78/44/86/706784486.db2.gz HTPHQFBQBZRWEX-JOYOIKCWSA-N -1 1 310.316 1.471 20 0 DDADMM COCc1ccccc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000831822194 706786225 /nfs/dbraw/zinc/78/62/25/706786225.db2.gz VOMDIRGGRSWGRY-ZDUSSCGKSA-N -1 1 320.389 1.454 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCC1CCC1 ZINC000852733127 704108556 /nfs/dbraw/zinc/10/85/56/704108556.db2.gz MPDRMTJFCMGLSD-QWRGUYRKSA-N -1 1 322.327 1.773 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]C(=O)C(F)(F)C(F)F)[C@@H]1n1cccn1 ZINC000819397105 704120446 /nfs/dbraw/zinc/12/04/46/704120446.db2.gz JGPWURJGYPEUMX-HLTSFMKQSA-N -1 1 309.263 1.618 20 0 DDADMM CC(C)(C)N1CCc2nc(NC(=O)c3cc(=O)[nH][n-]3)sc2C1 ZINC000819678479 704157208 /nfs/dbraw/zinc/15/72/08/704157208.db2.gz MXTKXFSCUUGIDO-UHFFFAOYSA-N -1 1 321.406 1.114 20 0 DDADMM CN1CCN(c2ccc(NC(=O)[C@@H](C(=O)[O-])C3CC3)cc2)CC1 ZINC000852951000 704177869 /nfs/dbraw/zinc/17/78/69/704177869.db2.gz AQJHHLTVCNFMDX-HNNXBMFYSA-N -1 1 317.389 1.488 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2nnc(C)o2)c1 ZINC000820058259 704212710 /nfs/dbraw/zinc/21/27/10/704212710.db2.gz FEYPJSNNUFFPHY-GTUYJWLHSA-N -1 1 324.358 1.799 20 0 DDADMM CC(C)(C)CS(=O)(=O)[N-]C(=O)c1cc2[nH]cnc2cc1F ZINC000820059289 704213106 /nfs/dbraw/zinc/21/31/06/704213106.db2.gz ZXQIXWWFOAYTOO-UHFFFAOYSA-N -1 1 313.354 1.808 20 0 DDADMM C[C@@H]1CCN(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)C1=O ZINC000853624139 704288621 /nfs/dbraw/zinc/28/86/21/704288621.db2.gz XBQAMJVAZZTSOA-MXWKQRLJSA-N -1 1 321.343 1.201 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2cnnn2-c2ccccc2)o1 ZINC000820793540 704331872 /nfs/dbraw/zinc/33/18/72/704331872.db2.gz AFAUAHLMAQXNST-UHFFFAOYSA-N -1 1 319.346 1.042 20 0 DDADMM COC(=O)C[N-]C(=O)C(F)(F)c1ccc(Br)cc1 ZINC000854176962 704365225 /nfs/dbraw/zinc/36/52/25/704365225.db2.gz PODVBZODYWIEKL-UHFFFAOYSA-N -1 1 322.105 1.830 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cn1 ZINC000854342249 704381973 /nfs/dbraw/zinc/38/19/73/704381973.db2.gz MWOOEQBDALMWIL-SNAWJCMRSA-N -1 1 314.345 1.898 20 0 DDADMM CC1(/C=C/C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCOCC1 ZINC000854342541 704382332 /nfs/dbraw/zinc/38/23/32/704382332.db2.gz WYQKQWILZHEYJX-GORDUTHDSA-N -1 1 321.377 1.854 20 0 DDADMM CCOC[C@H]1CCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000821374340 704399375 /nfs/dbraw/zinc/39/93/75/704399375.db2.gz VNSAAUAAQHPMPB-ZETCQYMHSA-N -1 1 314.802 1.839 20 0 DDADMM CCOC[C@H]1CCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000821374340 704399379 /nfs/dbraw/zinc/39/93/79/704399379.db2.gz VNSAAUAAQHPMPB-ZETCQYMHSA-N -1 1 314.802 1.839 20 0 DDADMM O=C(/C=C\[C@@H]1CCCO1)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000821424648 704407679 /nfs/dbraw/zinc/40/76/79/704407679.db2.gz CBQWOGATKRJFLO-YXVBKTCISA-N -1 1 303.314 1.221 20 0 DDADMM CNC(=O)CCCCC(=O)n1[n-]c(=O)c2ccc(OC)cc21 ZINC000854507141 704409948 /nfs/dbraw/zinc/40/99/48/704409948.db2.gz NGQOVYRQGRTLGJ-UHFFFAOYSA-N -1 1 305.334 1.697 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H]1C(F)(F)F ZINC000854519960 704411287 /nfs/dbraw/zinc/41/12/87/704411287.db2.gz LBWSNOINZWUMPN-SSDOTTSWSA-N -1 1 302.281 1.795 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2C=CCC2)o1 ZINC000855421838 704484901 /nfs/dbraw/zinc/48/49/01/704484901.db2.gz LBPOPGIARNHFSL-JTQLQIEISA-N -1 1 312.391 1.805 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@H](C)C(O)[C@@H](C)C1 ZINC000855691241 704497669 /nfs/dbraw/zinc/49/76/69/704497669.db2.gz ONACEFWXKMEBBY-UWVGGRQHSA-N -1 1 307.394 1.703 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2COC[C@]2(C)C1 ZINC000855840566 704502807 /nfs/dbraw/zinc/50/28/07/704502807.db2.gz ZEVAZYBKWQMPNX-BZNIZROVSA-N -1 1 305.378 1.723 20 0 DDADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)C1CCCCC1 ZINC000856224293 704519037 /nfs/dbraw/zinc/51/90/37/704519037.db2.gz ASXVAZMTHQCEOT-LBPRGKRZSA-N -1 1 307.394 1.259 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC(N2CCOC2=O)CC1 ZINC000856294181 704522018 /nfs/dbraw/zinc/52/20/18/704522018.db2.gz DNFFLOJTPXJNQW-UHFFFAOYSA-N -1 1 308.309 1.588 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2CC2(C)C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856417685 704528186 /nfs/dbraw/zinc/52/81/86/704528186.db2.gz DJNUGTKNPGCQFJ-BBBLOLIVSA-N -1 1 306.328 1.948 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@](C)(NC(=O)c2cncc([O-])c2)C1 ZINC000856505480 704531760 /nfs/dbraw/zinc/53/17/60/704531760.db2.gz ZMVWYQHJFLILHB-INIZCTEOSA-N -1 1 321.377 1.917 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@@H]1CC1(Cl)Cl ZINC000856753090 704537226 /nfs/dbraw/zinc/53/72/26/704537226.db2.gz VJHZCWGIKBASKI-ZCFIWIBFSA-N -1 1 314.194 1.427 20 0 DDADMM O=S(=O)([N-][C@H](CCO)C1CC1)c1ccc(Cl)nc1F ZINC000866859087 706827955 /nfs/dbraw/zinc/82/79/55/706827955.db2.gz JICKCYRWKOMVRW-MRVPVSSYSA-N -1 1 308.762 1.313 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)C[C@@]2(C)CC2(Cl)Cl)no1 ZINC000866870668 706830418 /nfs/dbraw/zinc/83/04/18/706830418.db2.gz QBXIJCAGEQGGKV-MRVPVSSYSA-N -1 1 314.194 1.381 20 0 DDADMM CNc1ccc(Cl)c(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000859277919 704845990 /nfs/dbraw/zinc/84/59/90/704845990.db2.gz FZRACYFRSYCIKW-LLVKDONJSA-N -1 1 322.756 1.109 20 0 DDADMM CC1(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CCC(F)(F)CC1 ZINC000859279143 704846380 /nfs/dbraw/zinc/84/63/80/704846380.db2.gz ZLCIFCREBWIOMS-SECBINFHSA-N -1 1 315.324 1.315 20 0 DDADMM O=C(c1nccc2ccsc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000859278053 704846529 /nfs/dbraw/zinc/84/65/29/704846529.db2.gz IYHNYLBTEJYMJI-VIFPVBQESA-N -1 1 316.346 1.023 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1cnccc1C ZINC000867354145 706972745 /nfs/dbraw/zinc/97/27/45/706972745.db2.gz VMZUKSVKVMYAFW-UHFFFAOYSA-N -1 1 305.425 1.232 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[C@H](C)n2ccnc21 ZINC000867357546 706973647 /nfs/dbraw/zinc/97/36/47/706973647.db2.gz MTLDIJVABBTACT-VHSXEESVSA-N -1 1 320.440 1.231 20 0 DDADMM CCc1ncc(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)o1 ZINC000867363144 706975457 /nfs/dbraw/zinc/97/54/57/706975457.db2.gz ZQPANBMGTHHGBP-UHFFFAOYSA-N -1 1 319.745 1.903 20 0 DDADMM CCC[C@@H](NC(=O)[C@@]12CC[C@@](C)(C(=O)O1)C2(C)C)c1nn[n-]n1 ZINC000859945497 705049177 /nfs/dbraw/zinc/04/91/77/705049177.db2.gz RDWVGSGJAJZMFJ-TURNYIBQSA-N -1 1 321.381 1.279 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](CO)C1)c1ccc(Br)o1 ZINC000867585434 707047249 /nfs/dbraw/zinc/04/72/49/707047249.db2.gz KLDQHZKFOMEEBJ-KNVOCYPGSA-N -1 1 310.169 1.091 20 0 DDADMM CC[C@H]1COCCN1CCNC(=O)c1c(F)ccc([O-])c1F ZINC000874706009 705139304 /nfs/dbraw/zinc/13/93/04/705139304.db2.gz JQNASXWIUUBZCU-JTQLQIEISA-N -1 1 314.332 1.511 20 0 DDADMM Cc1ccc(NC(=O)CCc2nn[n-]n2)cc1N1CCCC1 ZINC000874943034 705235344 /nfs/dbraw/zinc/23/53/44/705235344.db2.gz JOUFCCRKNHLWNX-UHFFFAOYSA-N -1 1 300.366 1.680 20 0 DDADMM CC(C)(C)CCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875104806 705283554 /nfs/dbraw/zinc/28/35/54/705283554.db2.gz DFDWJHMGASPRCU-UHFFFAOYSA-N -1 1 309.332 1.743 20 0 DDADMM CC(C)N1CCC[C@@H]1C(=O)N[C@@H](c1nc(=O)o[n-]1)C(C)(C)C ZINC000823652774 705288198 /nfs/dbraw/zinc/28/81/98/705288198.db2.gz OICSFTDQRNTCOX-MNOVXSKESA-N -1 1 310.398 1.439 20 0 DDADMM O=C(NC[C@H]1COCO1)c1ccc(Br)cc1[O-] ZINC000861975863 705621184 /nfs/dbraw/zinc/62/11/84/705621184.db2.gz UVLQYVQTWCLAIH-QMMMGPOBSA-N -1 1 302.124 1.257 20 0 DDADMM Cc1c[nH]c(CN2CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)n1 ZINC000862368135 705702730 /nfs/dbraw/zinc/70/27/30/705702730.db2.gz DKNHICZTHDXMSW-PEXQALLHSA-N -1 1 318.343 1.996 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CCOC[C@@H]1CCO ZINC000876394804 705707620 /nfs/dbraw/zinc/70/76/20/705707620.db2.gz BNXJRLBWWMJLNQ-NSHDSACASA-N -1 1 314.769 1.338 20 0 DDADMM C[C@H](O)[C@H]1CN(C(=O)NCc2ccc([O-])c(Cl)c2)CCO1 ZINC000876396265 705708533 /nfs/dbraw/zinc/70/85/33/705708533.db2.gz BHQWMAAOISZKRD-TVQRCGJNSA-N -1 1 314.769 1.337 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(C)(C)C ZINC000826008556 705757542 /nfs/dbraw/zinc/75/75/42/705757542.db2.gz GICLNKVRDNQELS-SNVBAGLBSA-N -1 1 322.390 1.336 20 0 DDADMM C[C@](O)(C(=O)Nc1nnn[n-]1)c1ccccc1C(F)(F)F ZINC000826042277 705762600 /nfs/dbraw/zinc/76/26/00/705762600.db2.gz VZUXBEMDZALKEW-SNVBAGLBSA-N -1 1 301.228 1.065 20 0 DDADMM C[C@](O)(C(=O)Nc1nn[n-]n1)c1ccccc1C(F)(F)F ZINC000826042277 705762603 /nfs/dbraw/zinc/76/26/03/705762603.db2.gz VZUXBEMDZALKEW-SNVBAGLBSA-N -1 1 301.228 1.065 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H]3CCC[C@H]32)c1-c1nnn[n-]1 ZINC000826344971 705794006 /nfs/dbraw/zinc/79/40/06/705794006.db2.gz YJWJPUPIIYLLOO-CMPLNLGQSA-N -1 1 316.365 1.497 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H]3CCC[C@H]32)c1-c1nn[n-]n1 ZINC000826344971 705794007 /nfs/dbraw/zinc/79/40/07/705794007.db2.gz YJWJPUPIIYLLOO-CMPLNLGQSA-N -1 1 316.365 1.497 20 0 DDADMM Cc1onc(CC(=O)NCC2CCCCC2)c1-c1nnn[n-]1 ZINC000826347199 705794487 /nfs/dbraw/zinc/79/44/87/705794487.db2.gz IJAOEEARRSSBJQ-UHFFFAOYSA-N -1 1 304.354 1.402 20 0 DDADMM Cc1onc(CC(=O)NCC2CCCCC2)c1-c1nn[n-]n1 ZINC000826347199 705794491 /nfs/dbraw/zinc/79/44/91/705794491.db2.gz IJAOEEARRSSBJQ-UHFFFAOYSA-N -1 1 304.354 1.402 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc(O)c2)c1-c1nnn[n-]1 ZINC000826348830 705795614 /nfs/dbraw/zinc/79/56/14/705795614.db2.gz HHEQFWCCRRZGOT-UHFFFAOYSA-N -1 1 300.278 1.050 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc(O)c2)c1-c1nn[n-]n1 ZINC000826348830 705795618 /nfs/dbraw/zinc/79/56/18/705795618.db2.gz HHEQFWCCRRZGOT-UHFFFAOYSA-N -1 1 300.278 1.050 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1C[C@@H]2C[C@@H]2C[C@H]1C)c1nn[n-]n1 ZINC000826929293 705893015 /nfs/dbraw/zinc/89/30/15/705893015.db2.gz WLUPAFXPOWTYPZ-IIRVCBMXSA-N -1 1 309.439 1.792 20 0 DDADMM O=C(CC1OCCCO1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000863477200 705936929 /nfs/dbraw/zinc/93/69/29/705936929.db2.gz VZYORGQRDZOYQG-UHFFFAOYSA-N -1 1 303.318 1.670 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@H](OC)C1CC1 ZINC000827320339 705974468 /nfs/dbraw/zinc/97/44/68/705974468.db2.gz GLPRODINULKLIZ-OLZOCXBDSA-N -1 1 319.423 1.063 20 0 DDADMM COc1cc(C(=O)N[C@H](C)C(=O)N(C)OC)cc(Cl)c1[O-] ZINC000863703867 705990154 /nfs/dbraw/zinc/99/01/54/705990154.db2.gz YVGAIRKDYGUOQH-SSDOTTSWSA-N -1 1 316.741 1.192 20 0 DDADMM Cc1nc2sccn2c1C(=O)Nc1nc(SCCO)n[n-]1 ZINC000863896258 706031617 /nfs/dbraw/zinc/03/16/17/706031617.db2.gz LVZJIXNVNCGOFG-UHFFFAOYSA-N -1 1 324.391 1.159 20 0 DDADMM C[C@H](CO)C1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000864059750 706055507 /nfs/dbraw/zinc/05/55/07/706055507.db2.gz PWYZJTRKGUBTDU-SNVBAGLBSA-N -1 1 305.378 1.540 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC ZINC000827814227 706071108 /nfs/dbraw/zinc/07/11/08/706071108.db2.gz AQRPUKGJLTVVOC-YUMQZZPRSA-N -1 1 323.802 1.319 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CC2(CCC2)[C@](F)(C(=O)[O-])C1 ZINC000864157374 706083502 /nfs/dbraw/zinc/08/35/02/706083502.db2.gz YCPKWROKLGMLQA-ZUZCIYMTSA-N -1 1 309.341 1.394 20 0 DDADMM Nc1nc(Cl)ccc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000828083800 706115092 /nfs/dbraw/zinc/11/50/92/706115092.db2.gz RVDKEVFXJASKLW-UHFFFAOYSA-N -1 1 307.745 1.030 20 0 DDADMM O=C([C@@H](O)C1CCCCCCC1)N1CCC(c2nn[n-]n2)CC1 ZINC000828417417 706175997 /nfs/dbraw/zinc/17/59/97/706175997.db2.gz YWWRDBNUMLVFTM-AWEZNQCLSA-N -1 1 321.425 1.627 20 0 DDADMM CC1=CC[N@H+](CCNC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000828499453 706190466 /nfs/dbraw/zinc/19/04/66/706190466.db2.gz ZPNZKFPWVIBBHR-UHFFFAOYSA-N -1 1 317.418 1.400 20 0 DDADMM CC(C)Cn1nccc1CN1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000877776613 706207434 /nfs/dbraw/zinc/20/74/34/706207434.db2.gz RAXXBJZRGMSBNC-UHFFFAOYSA-N -1 1 318.343 1.649 20 0 DDADMM Cc1cnc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cc1C ZINC000828689938 706218052 /nfs/dbraw/zinc/21/80/52/706218052.db2.gz WIKSNGXKGWUZKH-UHFFFAOYSA-N -1 1 314.345 1.197 20 0 DDADMM CCO[C@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)[C@@H]1CCOC1 ZINC000864975320 706317134 /nfs/dbraw/zinc/31/71/34/706317134.db2.gz SGJZPGDXNQBPMT-RQJHMYQMSA-N -1 1 308.260 1.204 20 0 DDADMM Cc1nccn1CC(=O)C1([N-]C(=O)C(F)(F)F)CCCC1 ZINC000829384878 706325004 /nfs/dbraw/zinc/32/50/04/706325004.db2.gz NBTVGHLPANOYCC-UHFFFAOYSA-N -1 1 303.284 1.752 20 0 DDADMM COC(C)(C)CC[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872511831 707455699 /nfs/dbraw/zinc/45/56/99/707455699.db2.gz LERZDIUEASWWAE-SFHVURJKSA-N -1 1 314.473 1.532 20 0 DDADMM COCC(C)(C)CC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000872546347 707471454 /nfs/dbraw/zinc/47/14/54/707471454.db2.gz MXBCNXUAEVGTAK-UHFFFAOYSA-N -1 1 308.350 1.701 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@@H]2CCC[C@H]2O1)c1c[nH]nc1Cl ZINC000872557439 707478263 /nfs/dbraw/zinc/47/82/63/707478263.db2.gz VIVCLIJUHHNSFO-XHNCKOQMSA-N -1 1 305.787 1.299 20 0 DDADMM Cc1ccc(C2(C(=O)OCc3nc(=O)n(C)[n-]3)CCC2)cc1 ZINC000830330014 706497230 /nfs/dbraw/zinc/49/72/30/706497230.db2.gz OMQQHNBKJQLBHM-UHFFFAOYSA-N -1 1 301.346 1.582 20 0 DDADMM CCC[C@H](OC)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830795748 706581528 /nfs/dbraw/zinc/58/15/28/706581528.db2.gz OOUBCCZGOSNFJU-JOYOIKCWSA-N -1 1 310.316 1.471 20 0 DDADMM C[C@@](O)(C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CCC1 ZINC000830802194 706582825 /nfs/dbraw/zinc/58/28/25/706582825.db2.gz QZCYGDJKYMPCOJ-STQMWFEESA-N -1 1 322.327 1.207 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CO1 ZINC000830807653 706582974 /nfs/dbraw/zinc/58/29/74/706582974.db2.gz OALHMEVFCXKFRP-VDDIYKPWSA-N -1 1 308.300 1.081 20 0 DDADMM COCCC(C)(C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807744 706583586 /nfs/dbraw/zinc/58/35/86/706583586.db2.gz PQMBTCBYNRZOAO-CYBMUJFWSA-N -1 1 324.343 1.719 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCCCO2)C1 ZINC000830816876 706585933 /nfs/dbraw/zinc/58/59/33/706585933.db2.gz UVTLRPOETKREEE-SKDRFNHKSA-N -1 1 308.300 1.225 20 0 DDADMM C[C@@H](OCC1CC1)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827368 706588356 /nfs/dbraw/zinc/58/83/56/706588356.db2.gz LCIJSIVQWHBLGZ-NOZJJQNGSA-N -1 1 322.327 1.471 20 0 DDADMM O=C(N[C@H]([C@@H](CO)C1CC1)C1CC1)c1cnc(C2CC2)[n-]c1=O ZINC000908985937 712909261 /nfs/dbraw/zinc/90/92/61/712909261.db2.gz LRLXWDIXRNRVBM-KBPBESRZSA-N -1 1 317.389 1.587 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC000832067085 706836986 /nfs/dbraw/zinc/83/69/86/706836986.db2.gz OUOPPHYZJXRDEI-GHMZBOCLSA-N -1 1 313.350 1.038 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]Cc2ccc[nH]2)c1C(F)(F)F ZINC000866904882 706840566 /nfs/dbraw/zinc/84/05/66/706840566.db2.gz VTIYWVFQHDSZKN-UHFFFAOYSA-N -1 1 308.285 1.246 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H](C)c1ccncc1 ZINC000866922976 706845800 /nfs/dbraw/zinc/84/58/00/706845800.db2.gz KTBHNHHCFSYRMD-XTZNXHDOSA-N -1 1 305.425 1.137 20 0 DDADMM COCC1([N-]S(=O)(=O)N=[S@](C)(=O)c2ccccc2)CC1 ZINC000866971499 706860363 /nfs/dbraw/zinc/86/03/63/706860363.db2.gz DUSKTGCFGYUHNX-LJQANCHMSA-N -1 1 318.420 1.157 20 0 DDADMM O=S(=O)([N-]CC1(CCO)CCC1)c1ccc(Cl)nc1F ZINC000866982073 706863544 /nfs/dbraw/zinc/86/35/44/706863544.db2.gz PUBPOCWNSPWGKT-UHFFFAOYSA-N -1 1 322.789 1.705 20 0 DDADMM O=C(Nc1c([O-])cccc1F)[C@@H]1OCCO[C@@H]1C(F)(F)F ZINC000867006700 706870321 /nfs/dbraw/zinc/87/03/21/706870321.db2.gz MWQSNWJQYCIAJR-ZJUUUORDSA-N -1 1 309.215 1.816 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000832241668 706878744 /nfs/dbraw/zinc/87/87/44/706878744.db2.gz CJLPODPMOMTYFD-SFYZADRCSA-N -1 1 321.786 1.073 20 0 DDADMM CC1(C)C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C(C)(C)O1 ZINC000867065120 706887384 /nfs/dbraw/zinc/88/73/84/706887384.db2.gz SFDMHXAYHSFKJJ-VIFPVBQESA-N -1 1 320.361 1.984 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867095777 706894519 /nfs/dbraw/zinc/89/45/19/706894519.db2.gz FDJJSHUSANDVHB-SFYZADRCSA-N -1 1 322.333 1.226 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CCC3(CCOCC3)O2)c([O-])c1 ZINC000832397241 706904351 /nfs/dbraw/zinc/90/43/51/706904351.db2.gz UBFIDXXMVADPSG-LBPRGKRZSA-N -1 1 306.362 1.554 20 0 DDADMM CN(C(=O)[C@H]1CCc2[nH]cnc2C1)[C@@H](C(=O)[O-])c1ccccc1 ZINC000909003341 712913469 /nfs/dbraw/zinc/91/34/69/712913469.db2.gz YXGCSVZPTGUJOK-SWLSCSKDSA-N -1 1 313.357 1.799 20 0 DDADMM CCC(O)(CC)CC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867170842 706915104 /nfs/dbraw/zinc/91/51/04/706915104.db2.gz YDJYKROCXGXQMQ-UHFFFAOYSA-N -1 1 312.457 1.024 20 0 DDADMM CC(C)(C)O[C@H]1C[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1 ZINC000867485943 707015582 /nfs/dbraw/zinc/01/55/82/707015582.db2.gz HSYDWLADFMYIEM-XYPYZODXSA-N -1 1 324.468 1.429 20 0 DDADMM Cc1nc(NC(=O)[O-])sc1C(=O)N(C)[C@H]1CN2CCC1CC2 ZINC000833914270 707016826 /nfs/dbraw/zinc/01/68/26/707016826.db2.gz UDZPTAJBHGGJGV-JTQLQIEISA-N -1 1 324.406 1.708 20 0 DDADMM CSc1nc(CNC(=O)CCCn2cccn2)cc(=O)[n-]1 ZINC000880653409 707048613 /nfs/dbraw/zinc/04/86/13/707048613.db2.gz VVYSBDATMXMKAC-UHFFFAOYSA-N -1 1 307.379 1.197 20 0 DDADMM CCOC=CC(=O)N[C@@H](CC(C)C)c1n[n-]c(C(=O)OCC)n1 ZINC000834687102 707103210 /nfs/dbraw/zinc/10/32/10/707103210.db2.gz MPNCJMGFPHOLGI-TVRMLOFPSA-N -1 1 324.381 1.735 20 0 DDADMM CCOC=CC(=O)N[C@@H](CC(C)C)c1nnc(C(=O)OCC)[n-]1 ZINC000834687102 707103212 /nfs/dbraw/zinc/10/32/12/707103212.db2.gz MPNCJMGFPHOLGI-TVRMLOFPSA-N -1 1 324.381 1.735 20 0 DDADMM CCO/C=C\C(=O)N[C@@H](CC(C)C)c1nc(C(=O)OCC)n[n-]1 ZINC000834687102 707103215 /nfs/dbraw/zinc/10/32/15/707103215.db2.gz MPNCJMGFPHOLGI-TVRMLOFPSA-N -1 1 324.381 1.735 20 0 DDADMM O=c1cc(Cn2cc([C@@H]3CCOC3)nn2)c2ccc([O-])cc2o1 ZINC000881011017 707106804 /nfs/dbraw/zinc/10/68/04/707106804.db2.gz JYQPWJRXTHXRBB-SNVBAGLBSA-N -1 1 313.313 1.642 20 0 DDADMM CC1(C)CN(C(=O)COC(=O)c2ccc([O-])cc2F)CCO1 ZINC000909134763 712942927 /nfs/dbraw/zinc/94/29/27/712942927.db2.gz DJUOTXXHJFVNAV-UHFFFAOYSA-N -1 1 311.309 1.326 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccc2c(c1)CCC2 ZINC000881737962 707344559 /nfs/dbraw/zinc/34/45/59/707344559.db2.gz IBXGGCKTASSELX-UHFFFAOYSA-N -1 1 322.342 1.453 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1cnn(CC)c1C)C1CC1 ZINC000872421593 707404387 /nfs/dbraw/zinc/40/43/87/707404387.db2.gz PPLIVSACIKCHOQ-CYBMUJFWSA-N -1 1 301.412 1.768 20 0 DDADMM C[C@H](CO)[N-]S(=O)(=O)c1cnc(Cl)cc1C(F)(F)F ZINC000881913323 707422029 /nfs/dbraw/zinc/42/20/29/707422029.db2.gz SZQVVEPIVUYDSV-RXMQYKEDSA-N -1 1 318.704 1.413 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCn2ccnc21 ZINC000872490454 707442710 /nfs/dbraw/zinc/44/27/10/707442710.db2.gz BKQQNJCHNMRNLD-UGZDLDLSSA-N -1 1 320.440 1.059 20 0 DDADMM O=C(C[N-]S(=O)(=O)Cc1ccon1)CC1CCCCC1 ZINC000872516518 707458281 /nfs/dbraw/zinc/45/82/81/707458281.db2.gz YGAZWRGVWYINDX-UHFFFAOYSA-N -1 1 300.380 1.634 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)C[C@H](O)c1ccc(Cl)cc1 ZINC000836893335 707540808 /nfs/dbraw/zinc/54/08/08/707540808.db2.gz XPBXWPSRZDSVAO-QWHCGFSZSA-N -1 1 301.770 1.828 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000836904843 707548279 /nfs/dbraw/zinc/54/82/79/707548279.db2.gz HAWPFKJCTWTWNB-CABCVRRESA-N -1 1 320.389 1.100 20 0 DDADMM Cc1ccc(CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)cc1C ZINC000837144840 707584977 /nfs/dbraw/zinc/58/49/77/707584977.db2.gz NQIXZXCLEXWMQO-UHFFFAOYSA-N -1 1 315.373 1.202 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(Cn2cc[nH]c2=S)C1 ZINC000872752503 707585107 /nfs/dbraw/zinc/58/51/07/707585107.db2.gz FIRMVJOHOGTEOL-JTQLQIEISA-N -1 1 308.329 1.272 20 0 DDADMM CC(C)(C)OCCCN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000882329011 707586789 /nfs/dbraw/zinc/58/67/89/707586789.db2.gz SQBXCHNNRVFLHH-UHFFFAOYSA-N -1 1 321.421 1.750 20 0 DDADMM O=Cc1cnc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)nc1 ZINC000882382585 707615289 /nfs/dbraw/zinc/61/52/89/707615289.db2.gz GQQHRSZFYOKSKY-VIFPVBQESA-N -1 1 316.283 1.184 20 0 DDADMM Cc1cccc(C[C@@H](CNC(=O)C2(N(C)C)CC2)C(=O)[O-])c1 ZINC000909238155 712970606 /nfs/dbraw/zinc/97/06/06/712970606.db2.gz NSYNSWOTCCTTJC-AWEZNQCLSA-N -1 1 304.390 1.449 20 0 DDADMM O=C(NCC[C@]1(O)CCOC1)NCc1ccc([O-])c(Cl)c1 ZINC000873055107 707711893 /nfs/dbraw/zinc/71/18/93/707711893.db2.gz CNDHMZUVMMRMML-AWEZNQCLSA-N -1 1 314.769 1.386 20 0 DDADMM C[C@@H]1CCN(c2cc(CO)ccn2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000882640053 707728551 /nfs/dbraw/zinc/72/85/51/707728551.db2.gz WRUHLHDPTKCZBR-KOLCDFICSA-N -1 1 317.311 1.467 20 0 DDADMM C[N@H+]1CCC[C@@H]1C(=O)N[C@@H](CC(=O)[O-])c1ccc(F)cc1F ZINC000909344376 712996152 /nfs/dbraw/zinc/99/61/52/712996152.db2.gz YTNOLAQZOKDVDR-QWHCGFSZSA-N -1 1 312.316 1.691 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@@H](CC(=O)[O-])c1ccc(F)cc1F ZINC000909344376 712996154 /nfs/dbraw/zinc/99/61/54/712996154.db2.gz YTNOLAQZOKDVDR-QWHCGFSZSA-N -1 1 312.316 1.691 20 0 DDADMM CC1CCN(CC(=O)NC2(C(=O)[O-])CCSCC2)CC1 ZINC000909349239 712997406 /nfs/dbraw/zinc/99/74/06/712997406.db2.gz DCZWVGJZBARCJA-UHFFFAOYSA-N -1 1 300.424 1.185 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC[C@H]2C=CCC2)C1 ZINC000883785520 708064101 /nfs/dbraw/zinc/06/41/01/708064101.db2.gz UWBAHGAIUXEPEC-GXFFZTMASA-N -1 1 319.327 1.805 20 0 DDADMM COc1ccccc1C[C@H](CNC(=O)C1(N(C)C)CC1)C(=O)[O-] ZINC000909382019 713004733 /nfs/dbraw/zinc/00/47/33/713004733.db2.gz CEQSTCQYEFFECS-CYBMUJFWSA-N -1 1 320.389 1.149 20 0 DDADMM COc1ccccc1C[C@@H](CNC(=O)[C@@H](C)N(C)C)C(=O)[O-] ZINC000909383780 713005158 /nfs/dbraw/zinc/00/51/58/713005158.db2.gz ISVYRXXKIWSFKQ-YPMHNXCESA-N -1 1 308.378 1.005 20 0 DDADMM CN1CCC[C@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C1=O ZINC000896839165 708161100 /nfs/dbraw/zinc/16/11/00/708161100.db2.gz VUVPOPAVFMWLKY-AWEZNQCLSA-N -1 1 314.341 1.602 20 0 DDADMM C[C@H](COc1ccccc1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909405794 713010028 /nfs/dbraw/zinc/01/00/28/713010028.db2.gz YQTBGHMMSLWGJT-ZIAGYGMSSA-N -1 1 320.389 1.367 20 0 DDADMM CC(C)(NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccc(F)cc1 ZINC000909407302 713010373 /nfs/dbraw/zinc/01/03/73/713010373.db2.gz NXUOWFZFWVZSOP-GFCCVEGCSA-N -1 1 322.380 1.974 20 0 DDADMM C[C@@H]1C[C@H](C(=O)OCc2nc(=O)n(C)[n-]2)c2ccccc2N1 ZINC000884635452 708345975 /nfs/dbraw/zinc/34/59/75/708345975.db2.gz YSXCGPNOVDPTRH-KOLCDFICSA-N -1 1 302.334 1.140 20 0 DDADMM CO[C@H]1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])CCO1 ZINC000897504013 708355017 /nfs/dbraw/zinc/35/50/17/708355017.db2.gz FCRPOHYZEWMETB-CQSZACIVSA-N -1 1 303.314 1.696 20 0 DDADMM CC1(C)CO[C@@H](CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000897669330 708413394 /nfs/dbraw/zinc/41/33/94/708413394.db2.gz QWJYJIXLCTWDIO-NSHDSACASA-N -1 1 309.366 1.686 20 0 DDADMM CC(C)(C)N1CC[C@H]1C(=O)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000897677281 708416410 /nfs/dbraw/zinc/41/64/10/708416410.db2.gz LAJIDSKXQXYOFA-QWRGUYRKSA-N -1 1 307.398 1.089 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1C[C@@H]2COC[C@H](C1)O2 ZINC000897682930 708418839 /nfs/dbraw/zinc/41/88/39/708418839.db2.gz CBHZQFCCVSMRMI-BETUJISGSA-N -1 1 315.325 1.491 20 0 DDADMM O=C([O-])CCCN(Cc1ccccc1)C(=O)Cc1c[nH]cn1 ZINC000909475036 713027784 /nfs/dbraw/zinc/02/77/84/713027784.db2.gz KZYAPDSCZPBTFJ-UHFFFAOYSA-N -1 1 301.346 1.846 20 0 DDADMM O=S(=O)([N-]C[C@@H]1OCCc2ccccc21)c1ccns1 ZINC000885009847 708441842 /nfs/dbraw/zinc/44/18/42/708441842.db2.gz FACOABPCYGJTGW-LBPRGKRZSA-N -1 1 310.400 1.735 20 0 DDADMM Cc1cc([C@](C)(O)C[N-]S(=O)(=O)c2ccns2)c(C)o1 ZINC000885032569 708449354 /nfs/dbraw/zinc/44/93/54/708449354.db2.gz ZCTDYYBEYXJCEB-GFCCVEGCSA-N -1 1 316.404 1.539 20 0 DDADMM O=S(=O)([N-]C[C@H](O)Cc1cccc(F)c1)c1ccns1 ZINC000885212987 708496000 /nfs/dbraw/zinc/49/60/00/708496000.db2.gz YHPRFJVHPYZRSG-LLVKDONJSA-N -1 1 316.379 1.164 20 0 DDADMM C[C@@H](c1ccccc1)[C@H](O)C[N-]S(=O)(=O)c1ccns1 ZINC000885309035 708516459 /nfs/dbraw/zinc/51/64/59/708516459.db2.gz AIKKHNYMQCNDPX-CMPLNLGQSA-N -1 1 312.416 1.586 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000885383836 708532342 /nfs/dbraw/zinc/53/23/42/708532342.db2.gz VDCJRZSWJVOPMB-IONNQARKSA-N -1 1 305.425 1.033 20 0 DDADMM COC(=O)C1(C[N-]S(=O)(=O)c2cc(C)ns2)CCCC1 ZINC000885395732 708535203 /nfs/dbraw/zinc/53/52/03/708535203.db2.gz UACULYXQZJALJI-UHFFFAOYSA-N -1 1 318.420 1.463 20 0 DDADMM COc1cc(C(=O)NCCO[C@H]2CCOC2)cc(Cl)c1[O-] ZINC000885740213 708613699 /nfs/dbraw/zinc/61/36/99/708613699.db2.gz PPLHTBBAMVUAJG-JTQLQIEISA-N -1 1 315.753 1.590 20 0 DDADMM C[C@H](Cc1cnn(C)c1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886269878 708733475 /nfs/dbraw/zinc/73/34/75/708733475.db2.gz KIVUELXRHXRRLS-SNVBAGLBSA-N -1 1 323.343 1.941 20 0 DDADMM CN(Cc1cnccn1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927781989 713054359 /nfs/dbraw/zinc/05/43/59/713054359.db2.gz WFHXWEBDMMQAJP-UHFFFAOYSA-N -1 1 322.315 1.845 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC1(CO)CC1 ZINC000927781739 713054447 /nfs/dbraw/zinc/05/44/47/713054447.db2.gz AHAKIHGDFPGXRU-UHFFFAOYSA-N -1 1 300.305 1.285 20 0 DDADMM CCc1ccc([C@@H](C)C(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC000898754677 708855661 /nfs/dbraw/zinc/85/56/61/708855661.db2.gz YCZBFOJUENHTHM-SNVBAGLBSA-N -1 1 301.346 1.870 20 0 DDADMM C[C@H]1CS(=O)(=O)CCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000886828750 708856155 /nfs/dbraw/zinc/85/61/55/708856155.db2.gz LPZMLCMNGUDMMC-VIFPVBQESA-N -1 1 317.794 1.695 20 0 DDADMM O=S(=O)([N-]Cc1cccc2c1CCCN2)c1ccns1 ZINC000886886956 708882598 /nfs/dbraw/zinc/88/25/98/708882598.db2.gz YJOJAVDOSPGAER-UHFFFAOYSA-N -1 1 309.416 1.980 20 0 DDADMM O=C([N-]Cc1noc2c1COCC2)C(F)(F)c1nccs1 ZINC000886967784 708907591 /nfs/dbraw/zinc/90/75/91/708907591.db2.gz NXCGHZLIYQNMRM-UHFFFAOYSA-N -1 1 315.301 1.612 20 0 DDADMM O=C(NC[C@H]1CC[C@@H](C2CC2)O1)c1cnc(C2CC2)[n-]c1=O ZINC000887471229 709050999 /nfs/dbraw/zinc/05/09/99/709050999.db2.gz HAVCKLGRNDAUQK-YPMHNXCESA-N -1 1 303.362 1.747 20 0 DDADMM C[C@@H](O)[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccccc1 ZINC000912734679 713078101 /nfs/dbraw/zinc/07/81/01/713078101.db2.gz MIXQXHLFSSUPKT-QMTHXVAHSA-N -1 1 313.357 1.912 20 0 DDADMM O=C([O-])CC1CC(NS(=O)(=O)c2c(F)cc(F)cc2F)C1 ZINC000899933648 709242018 /nfs/dbraw/zinc/24/20/18/709242018.db2.gz WDTBEKGVKHGXDW-UHFFFAOYSA-N -1 1 323.292 1.636 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]2C[C@H](NC(=O)c3ncc(C)cc3[O-])[C@@H]2C1 ZINC000888465636 709318634 /nfs/dbraw/zinc/31/86/34/709318634.db2.gz JFMPJYJYAVQWML-KKOKHZNYSA-N -1 1 304.346 1.413 20 0 DDADMM COC(=O)c1cccc2c1CCN2C(=O)c1n[nH]c(C)c1[O-] ZINC000888672456 709359039 /nfs/dbraw/zinc/35/90/39/709359039.db2.gz MKJIMNRZICRFEI-UHFFFAOYSA-N -1 1 301.302 1.413 20 0 DDADMM CC(C)(C(=O)[O-])[C@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC000909486628 709484837 /nfs/dbraw/zinc/48/48/37/709484837.db2.gz HTVQEZPZKADQCX-QJPTWQEYSA-N -1 1 305.378 1.863 20 0 DDADMM O=C([N-]OCc1ccccn1)[C@H]1CC(=O)N(C2CCCC2)C1 ZINC000909526875 709502018 /nfs/dbraw/zinc/50/20/18/709502018.db2.gz ULQATCAZULBGAT-LBPRGKRZSA-N -1 1 303.362 1.421 20 0 DDADMM COc1cccc(OC)c1CC(=O)[N-]OCc1ccccn1 ZINC000909536251 709505889 /nfs/dbraw/zinc/50/58/89/709505889.db2.gz DALWKQUDRONSNK-UHFFFAOYSA-N -1 1 302.330 1.889 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](C)Oc1ccccc1)c1nn[n-]n1 ZINC000912859707 713109344 /nfs/dbraw/zinc/10/93/44/713109344.db2.gz DRJLEAHVJVOADU-PWSUYJOCSA-N -1 1 321.406 1.578 20 0 DDADMM CC[C@H](Cc1ccccc1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909579925 709524719 /nfs/dbraw/zinc/52/47/19/709524719.db2.gz KVFIBSRBXBTDIX-HZPDHXFCSA-N -1 1 318.417 1.921 20 0 DDADMM CCN(CC(=O)NC[C@](C)(C(=O)[O-])c1ccccc1)C1CC1 ZINC000909603165 709537078 /nfs/dbraw/zinc/53/70/78/709537078.db2.gz ZALFEERVYRALQG-KRWDZBQOSA-N -1 1 304.390 1.629 20 0 DDADMM O=C([O-])C[C@@H]1COCCN1C(=O)c1cc(C2CCCCC2)[nH]n1 ZINC000909615860 709541202 /nfs/dbraw/zinc/54/12/02/709541202.db2.gz AWTBYALPBNNVAJ-GFCCVEGCSA-N -1 1 321.377 1.773 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@H](CC(F)(F)F)C(=O)[O-])c2C1 ZINC000909673504 709569719 /nfs/dbraw/zinc/56/97/19/709569719.db2.gz TUZLVRQYCCSNMT-IMTBSYHQSA-N -1 1 319.283 1.670 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@H](c2cc[nH]n2)C1 ZINC000900389974 709569697 /nfs/dbraw/zinc/56/96/97/709569697.db2.gz YNPACFWDGSWFQP-NSHDSACASA-N -1 1 313.361 1.803 20 0 DDADMM CO[C@]12CCC[C@@]1(NC(=O)C(=O)c1ccc([O-])cc1)CCO2 ZINC000928061688 713114289 /nfs/dbraw/zinc/11/42/89/713114289.db2.gz JXQUCHHAHWHDPG-CVEARBPZSA-N -1 1 305.330 1.377 20 0 DDADMM Cc1ccc([C@H](NC(=O)CCc2cnc[nH]2)C(=O)[O-])cc1C ZINC000909692472 709580463 /nfs/dbraw/zinc/58/04/63/709580463.db2.gz CKYZZMUBSIPHRQ-HNNXBMFYSA-N -1 1 301.346 1.901 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)N[C@@H]2CSc3ccccc32)C1 ZINC000909725690 709597323 /nfs/dbraw/zinc/59/73/23/709597323.db2.gz PSYWFNHRKGSDKS-WCQYABFASA-N -1 1 320.414 1.746 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2CSc3ccccc32)C1 ZINC000909725690 709597328 /nfs/dbraw/zinc/59/73/28/709597328.db2.gz PSYWFNHRKGSDKS-WCQYABFASA-N -1 1 320.414 1.746 20 0 DDADMM C[C@H]1Cc2ccccc2CN1C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000909728222 709598448 /nfs/dbraw/zinc/59/84/48/709598448.db2.gz YIOBFJQPWJEGHS-BBRMVZONSA-N -1 1 316.401 1.756 20 0 DDADMM COc1ccc([C@@H](NC(=O)[C@@H]2CCCN2C)C(=O)[O-])cc1F ZINC000909799003 709627181 /nfs/dbraw/zinc/62/71/81/709627181.db2.gz CSNWLNOIPIZNOH-WCQYABFASA-N -1 1 310.325 1.170 20 0 DDADMM C[C@H]1[C@@H](C(=O)[O-])CCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000909804154 709629414 /nfs/dbraw/zinc/62/94/14/709629414.db2.gz HQOMYCNVSPJGKO-CABZTGNLSA-N -1 1 300.318 1.407 20 0 DDADMM CN(C)CC(=O)NCc1cccc(-c2nc(C(=O)[O-])cs2)c1 ZINC000909809055 709632356 /nfs/dbraw/zinc/63/23/56/709632356.db2.gz LZOPCWOBSQIJLG-UHFFFAOYSA-N -1 1 319.386 1.686 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H](OC)C2CC2)[n-]c1=O ZINC000889787832 709636382 /nfs/dbraw/zinc/63/63/82/709636382.db2.gz QFNPNRHLZBLOMR-PWSUYJOCSA-N -1 1 307.350 1.279 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C[C@H](C)SC)[n-]c1=O ZINC000889790807 709637692 /nfs/dbraw/zinc/63/76/92/709637692.db2.gz VAPSGTGQICBRBD-VHSXEESVSA-N -1 1 311.407 1.996 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC[C@H]2C[C@@H]2c2ccccc2)C1 ZINC000909857824 709655776 /nfs/dbraw/zinc/65/57/76/709655776.db2.gz GJZKSGFUWIPREH-ARFHVFGLSA-N -1 1 316.401 1.703 20 0 DDADMM CN(C)Cc1ccc(C(=O)N[C@H](CC(C)(C)C)C(=O)[O-])cn1 ZINC000909881154 709666962 /nfs/dbraw/zinc/66/69/62/709666962.db2.gz ZABHXVPAFHAQPM-CYBMUJFWSA-N -1 1 307.394 1.762 20 0 DDADMM O=C(N[C@@H]1CCCN2CCSC[C@@H]12)C(=O)c1ccc([O-])cc1 ZINC000928085059 713122489 /nfs/dbraw/zinc/12/24/89/713122489.db2.gz GJYWMEGQXZFGGW-KGLIPLIRSA-N -1 1 320.414 1.271 20 0 DDADMM COC[C@@H](CC(C)(C)C)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909916217 709687628 /nfs/dbraw/zinc/68/76/28/709687628.db2.gz GSNBBBYBCRBECV-QWHCGFSZSA-N -1 1 314.426 1.350 20 0 DDADMM C[C@H](C(=O)NC[C@H]1CCCc2ccc(C(=O)[O-])cc21)N(C)C ZINC000909950509 709704947 /nfs/dbraw/zinc/70/49/47/709704947.db2.gz HBTYPTYUQHBHLX-BXUZGUMPSA-N -1 1 304.390 1.871 20 0 DDADMM CNC(=O)CCCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000900695729 709715811 /nfs/dbraw/zinc/71/58/11/709715811.db2.gz OKELJZMMCPLIEQ-UHFFFAOYSA-N -1 1 302.330 1.507 20 0 DDADMM C[C@@H](C(=O)Nc1cc([O-])c(F)cc1F)[C@H](C)S(C)(=O)=O ZINC000909986911 709721777 /nfs/dbraw/zinc/72/17/77/709721777.db2.gz XHKKXBQOMSGGPP-RQJHMYQMSA-N -1 1 307.318 1.678 20 0 DDADMM Cn1nnnc1CCCNC(=O)c1ccc2ccccc2c1[O-] ZINC000890097163 709748026 /nfs/dbraw/zinc/74/80/26/709748026.db2.gz NLKQCHTYSZUJMB-UHFFFAOYSA-N -1 1 311.345 1.432 20 0 DDADMM CC(C)n1ccc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC000900782577 709755272 /nfs/dbraw/zinc/75/52/72/709755272.db2.gz YXRUCHDNAONBEV-UHFFFAOYSA-N -1 1 301.350 1.767 20 0 DDADMM C[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)CC1(C)OCCO1 ZINC000900797584 709762872 /nfs/dbraw/zinc/76/28/72/709762872.db2.gz IDHPMCGDXUYSAJ-JTQLQIEISA-N -1 1 321.377 1.579 20 0 DDADMM CN(CC(=O)N[C@H](C(=O)[O-])C1CCCCC1)[C@H]1CCSC1 ZINC000910088764 709767586 /nfs/dbraw/zinc/76/75/86/709767586.db2.gz CSLJSXDVAQZVRH-JSGCOSHPSA-N -1 1 314.451 1.573 20 0 DDADMM C[C@@H]1CN(C(=O)CCCc2nn[n-]n2)CCN1c1ccccc1 ZINC000890190736 709782908 /nfs/dbraw/zinc/78/29/08/709782908.db2.gz SINYLLCAQCZYQW-CYBMUJFWSA-N -1 1 314.393 1.260 20 0 DDADMM C[C@H]1CC(=O)NCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900841145 709786768 /nfs/dbraw/zinc/78/67/68/709786768.db2.gz CPZWZGBLUIMNAM-VIFPVBQESA-N -1 1 317.320 1.430 20 0 DDADMM CN(CC(=O)N[C@@H]1CCCC[C@@H]1C(=O)[O-])[C@H]1CCSC1 ZINC000910139171 709788315 /nfs/dbraw/zinc/78/83/15/709788315.db2.gz PURLNOJYJYLEJE-SDDRHHMPSA-N -1 1 300.424 1.183 20 0 DDADMM C[C@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)c1ncc(C(=O)[O-])s1 ZINC000910160376 709795286 /nfs/dbraw/zinc/79/52/86/709795286.db2.gz NCUIIRPEZFXHCV-AEJSXWLSSA-N -1 1 311.407 1.891 20 0 DDADMM CNc1nc(C)ccc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910171313 709796469 /nfs/dbraw/zinc/79/64/69/709796469.db2.gz WIEFHOUFJCDLPL-GFCCVEGCSA-N -1 1 320.393 1.053 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)COCC2CCCC2)CC1 ZINC000910174861 709798082 /nfs/dbraw/zinc/79/80/82/709798082.db2.gz YMFTZUDXOBEYCZ-CYBMUJFWSA-N -1 1 312.410 1.201 20 0 DDADMM COCCC(C)(C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910173956 709798129 /nfs/dbraw/zinc/79/81/29/709798129.db2.gz OTBPZAGOHNINIE-LBPRGKRZSA-N -1 1 300.399 1.057 20 0 DDADMM Cc1cc(C2(C(=O)N3CCN(C(C)(C)C(=O)[O-])CC3)CC2)on1 ZINC000910177105 709798500 /nfs/dbraw/zinc/79/85/00/709798500.db2.gz GOPDKIDNVGDSJF-UHFFFAOYSA-N -1 1 321.377 1.022 20 0 DDADMM CC(C)N(C)CC(=O)Nc1ccc(O[C@@H](C)C(=O)[O-])c(F)c1 ZINC000910226863 709825329 /nfs/dbraw/zinc/82/53/29/709825329.db2.gz DHKIAQBPGFLVGO-JTQLQIEISA-N -1 1 312.341 1.956 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)[C@@H]2CCC[C@H]21 ZINC000910249564 709839882 /nfs/dbraw/zinc/83/98/82/709839882.db2.gz XZTNNFYKKISKNZ-FUTJPDQTSA-N -1 1 317.389 1.931 20 0 DDADMM O=C(N[C@@H]1CCOC12CCCC2)c1ccc2n[n-]c(=S)n2c1 ZINC000890412899 709855821 /nfs/dbraw/zinc/85/58/21/709855821.db2.gz JBIMTTKLFPJYLQ-LLVKDONJSA-N -1 1 318.402 1.850 20 0 DDADMM Cc1nc(N2CCN(C[C@@H]3CCCO3)CC2)ccc1C(=O)[O-] ZINC000910276439 709859508 /nfs/dbraw/zinc/85/95/08/709859508.db2.gz FEJSAPQDWIOHPR-ZDUSSCGKSA-N -1 1 305.378 1.389 20 0 DDADMM O=C([O-])[C@@]1(CNC(=O)c2ccc(O)c(Cl)c2)CCCOC1 ZINC000910307524 709880954 /nfs/dbraw/zinc/88/09/54/709880954.db2.gz ZIAZVFHDXSRBIP-CQSZACIVSA-N -1 1 313.737 1.657 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CCO[C@@](C)(C(=O)[O-])C1)c1ccccc1 ZINC000910322670 709890867 /nfs/dbraw/zinc/89/08/67/709890867.db2.gz JWHXXVDVZWUVGP-RHSMWYFYSA-N -1 1 320.389 1.382 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCC[C@@H](CC(=O)[O-])C2)C1 ZINC000901453368 710044907 /nfs/dbraw/zinc/04/49/07/710044907.db2.gz NKMULVZAMKHOAE-MDZLAQPJSA-N -1 1 305.378 1.789 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@]3(C)c3ccccc3)nc2n1 ZINC000890964010 710045301 /nfs/dbraw/zinc/04/53/01/710045301.db2.gz PJLPUAGSRNYJBX-YVEFUNNKSA-N -1 1 323.356 1.642 20 0 DDADMM CCN(C)[C@@H](C(=O)N1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1)c1ccccc1 ZINC000910798809 710080401 /nfs/dbraw/zinc/08/04/01/710080401.db2.gz RJYCLYSLGRFUJI-CBBWQLFWSA-N -1 1 302.374 1.468 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCN(C(=O)c3cc(C(F)(F)F)[nH]n3)C[C@@H]21 ZINC000910847025 710093625 /nfs/dbraw/zinc/09/36/25/710093625.db2.gz WSTOGOSEOSBVGT-QIOHGKGESA-N -1 1 303.240 1.221 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@H]2[C@@H](C1)[C@H]2C(=O)[O-] ZINC000910848912 710093994 /nfs/dbraw/zinc/09/39/94/710093994.db2.gz KCVKLJVOCZZFTA-OOZYFLPDSA-N -1 1 317.267 1.530 20 0 DDADMM CCC(=O)c1cccc([N-]S(=O)(=O)N=S(C)(C)=O)c1 ZINC000901642037 710105502 /nfs/dbraw/zinc/10/55/02/710105502.db2.gz PIPQRKQDVOENKY-UHFFFAOYSA-N -1 1 304.393 1.664 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2(C)C(C)(C)C2(C)C)o1 ZINC000891160766 710110056 /nfs/dbraw/zinc/11/00/56/710110056.db2.gz IBCXUYWKVGBGQL-UHFFFAOYSA-N -1 1 314.407 1.742 20 0 DDADMM Cc1cc2cc[nH]c2cc1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000901661988 710112566 /nfs/dbraw/zinc/11/25/66/710112566.db2.gz MBYPLTHJCPXUPC-UHFFFAOYSA-N -1 1 301.393 1.861 20 0 DDADMM O=C([O-])[C@H](Cc1ccc(F)cc1)NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000910923340 710120194 /nfs/dbraw/zinc/12/01/94/710120194.db2.gz ULWFKAGKFNAZFO-UPJWGTAASA-N -1 1 317.320 1.464 20 0 DDADMM CCC[C@H]1CN(C(=O)[C@]2(C(=O)[O-])C[C@H]2C)CCN1CCOC ZINC000901712089 710129156 /nfs/dbraw/zinc/12/91/56/710129156.db2.gz VGMBVURRBYLPNF-WWGRRREGSA-N -1 1 312.410 1.057 20 0 DDADMM COc1ccccc1/C=C\CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901740810 710136575 /nfs/dbraw/zinc/13/65/75/710136575.db2.gz DREWKVUJFAJSBA-HTMRKKJOSA-N -1 1 306.362 1.276 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])[C@]12CCC(=O)N1CCS2 ZINC000910980373 710139194 /nfs/dbraw/zinc/13/91/94/710139194.db2.gz BBHLOKLBYJVDHE-CYBMUJFWSA-N -1 1 314.313 1.674 20 0 DDADMM COc1cc(CN2CC[C@@](O)(C(=O)[O-])C2)cc(C(F)(F)F)c1 ZINC000901903675 710169807 /nfs/dbraw/zinc/16/98/07/710169807.db2.gz UCTRMABDLQYTBQ-ZDUSSCGKSA-N -1 1 319.279 1.735 20 0 DDADMM C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000901963476 710186805 /nfs/dbraw/zinc/18/68/05/710186805.db2.gz OUYSGUCMAVVWHH-PXAZEXFGSA-N -1 1 302.374 1.272 20 0 DDADMM Cc1nn(C)c(OCC(F)(F)F)c1CN(CC(=O)[O-])C1CC1 ZINC000901972051 710189898 /nfs/dbraw/zinc/18/98/98/710189898.db2.gz QMWOVOFRUOFQLV-UHFFFAOYSA-N -1 1 321.299 1.719 20 0 DDADMM Cn1ncc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)c1Cl ZINC000891612764 710248415 /nfs/dbraw/zinc/24/84/15/710248415.db2.gz BPIWWCBVVSDHJR-UHFFFAOYSA-N -1 1 307.741 1.700 20 0 DDADMM CCc1cc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)n(C)n1 ZINC000891616105 710249440 /nfs/dbraw/zinc/24/94/40/710249440.db2.gz VBYPUTGHLIODRN-UHFFFAOYSA-N -1 1 301.350 1.609 20 0 DDADMM CN(C(=O)c1ccc(C(F)(F)F)cc1[O-])C1CS(=O)(=O)C1 ZINC000913139115 713169663 /nfs/dbraw/zinc/16/96/63/713169663.db2.gz OOUTUTUHNITRDJ-UHFFFAOYSA-N -1 1 323.292 1.280 20 0 DDADMM COCc1nc(NC[C@H](CO)Cc2ccccc2)cc(=O)[n-]1 ZINC000891787363 710295069 /nfs/dbraw/zinc/29/50/69/710295069.db2.gz XPUUADJPKHLVBX-CYBMUJFWSA-N -1 1 303.362 1.592 20 0 DDADMM Cc1ccc(CC(=O)N(C)c2nn[n-]n2)cc1Br ZINC000892955107 710531768 /nfs/dbraw/zinc/53/17/68/710531768.db2.gz WLVWKOBIHDQHMS-UHFFFAOYSA-N -1 1 310.155 1.476 20 0 DDADMM Cc1nc(-c2ccccn2)[n-]c(=O)c1CCOC(=O)CCF ZINC000893005470 710540259 /nfs/dbraw/zinc/54/02/59/710540259.db2.gz LUUDHIFOEGEHGW-UHFFFAOYSA-N -1 1 305.309 1.998 20 0 DDADMM O=C([O-])c1cccc(CNC[C@@H]2COc3ccccc3O2)n1 ZINC000902061556 710599679 /nfs/dbraw/zinc/59/96/79/710599679.db2.gz JLDAUPKRBMTMHC-GFCCVEGCSA-N -1 1 300.314 1.709 20 0 DDADMM O=C([O-])c1cccc2c1OCCN(C(=O)Cc1c[nH]cn1)C2 ZINC000911028146 710601629 /nfs/dbraw/zinc/60/16/29/710601629.db2.gz KUCITTKSGDNTLD-UHFFFAOYSA-N -1 1 301.302 1.072 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](c3ncc(C(=O)[O-])s3)C2)n[nH]1 ZINC000911039120 710605875 /nfs/dbraw/zinc/60/58/75/710605875.db2.gz FYYNWCVXDYBTIA-MRVPVSSYSA-N -1 1 306.347 1.503 20 0 DDADMM O=C([O-])[C@@H]1c2ccccc2CCN1C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000902100243 710615255 /nfs/dbraw/zinc/61/52/55/710615255.db2.gz ZGKLYCFQMHDPSW-NFAWXSAZSA-N -1 1 311.341 1.724 20 0 DDADMM Cc1ncc(C(=O)N2CCOc3ccccc3[C@H]2C(=O)[O-])[nH]1 ZINC000911061103 710616167 /nfs/dbraw/zinc/61/61/67/710616167.db2.gz APYOJCZVTSCZEH-ZDUSSCGKSA-N -1 1 301.302 1.379 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC000911104540 710635202 /nfs/dbraw/zinc/63/52/02/710635202.db2.gz FFNQOIUFYJUABJ-ZIAGYGMSSA-N -1 1 308.378 1.525 20 0 DDADMM COC1([C@@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)CCOCC1 ZINC000911211905 710688299 /nfs/dbraw/zinc/68/82/99/710688299.db2.gz DTTSEYRLWXAKTB-SNVBAGLBSA-N -1 1 321.377 1.374 20 0 DDADMM Cc1nn(CCC(=O)[O-])c(C)c1CN(C)CCOCC1CC1 ZINC000902357457 710729046 /nfs/dbraw/zinc/72/90/46/710729046.db2.gz ZZPZFMDSJVGXIB-UHFFFAOYSA-N -1 1 309.410 1.833 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)CCc1ccccc1C(=O)[O-] ZINC000911375175 710768912 /nfs/dbraw/zinc/76/89/12/710768912.db2.gz ABVQPOCQPJEZBK-CQSZACIVSA-N -1 1 304.390 1.870 20 0 DDADMM C[C@@H]1CN(Cc2cc(C(F)(F)F)nn2C)C[C@H]1CC(=O)[O-] ZINC000902562078 710796674 /nfs/dbraw/zinc/79/66/74/710796674.db2.gz LMTWAEZGUFTYPT-RKDXNWHRSA-N -1 1 305.300 1.982 20 0 DDADMM C[C@@H](NC(=O)[C@@H]1CC[C@@H]1C(=O)[O-])C1(N2CCOCC2)CCCC1 ZINC000911452750 710804924 /nfs/dbraw/zinc/80/49/24/710804924.db2.gz ZSSJMLZCMLAIEG-MCIONIFRSA-N -1 1 324.421 1.247 20 0 DDADMM CN1CC[C@H](NC(=O)c2cc(C(=O)[O-])on2)[C@H]1c1ccccc1 ZINC000911508961 710828902 /nfs/dbraw/zinc/82/89/02/710828902.db2.gz PFOFUZZZNNRPHJ-SMDDNHRTSA-N -1 1 315.329 1.548 20 0 DDADMM COCc1nc(NCC2CCN(C(=O)OC)CC2)cc(=O)[n-]1 ZINC000893838490 710852732 /nfs/dbraw/zinc/85/27/32/710852732.db2.gz RFNCWNQWFYKXCY-UHFFFAOYSA-N -1 1 310.354 1.219 20 0 DDADMM CCO[C@@H](C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C(C)C ZINC000913436247 713214953 /nfs/dbraw/zinc/21/49/53/713214953.db2.gz ARYZGEFLTWFWGD-QWHCGFSZSA-N -1 1 303.366 1.466 20 0 DDADMM COCc1ccc([C@@H]2COCCN2C(=O)c2cncc([O-])c2)o1 ZINC000928518151 713216454 /nfs/dbraw/zinc/21/64/54/713216454.db2.gz MKVCOQOJEYOMFA-AWEZNQCLSA-N -1 1 318.329 1.740 20 0 DDADMM CCc1[nH+]ccn1[C@@H](C)CC(=O)N1CC[C@@H](c2cc(=O)[nH][nH]2)C1 ZINC000911855584 711034729 /nfs/dbraw/zinc/03/47/29/711034729.db2.gz RJMHRBHYFBKJMT-NWDGAFQWSA-N -1 1 317.393 1.841 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)N=S(C)(C)=O ZINC000903135780 711044131 /nfs/dbraw/zinc/04/41/31/711044131.db2.gz RJHRHPYMPUWRSE-UHFFFAOYSA-N -1 1 318.420 1.706 20 0 DDADMM CCN1[C@H](C)CN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C[C@@H]1C ZINC000912023867 711127990 /nfs/dbraw/zinc/12/79/90/711127990.db2.gz IWSZCENOISRYII-AOOOYVTPSA-N -1 1 304.350 1.483 20 0 DDADMM CC[C@@H](O)[C@@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000912120379 711181724 /nfs/dbraw/zinc/18/17/24/711181724.db2.gz WDIGIRUJDLIKEE-NWDGAFQWSA-N -1 1 320.418 1.784 20 0 DDADMM C[C@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccc1F ZINC000913494584 713237427 /nfs/dbraw/zinc/23/74/27/713237427.db2.gz FZTFALMQNVSISS-CABZTGNLSA-N -1 1 305.313 1.042 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)NCc1sccc1C ZINC000903712161 711250936 /nfs/dbraw/zinc/25/09/36/711250936.db2.gz RZGPVQMSLWSOLC-UHFFFAOYSA-N -1 1 314.407 1.096 20 0 DDADMM Cn1nccc1CCN1CCOc2c(cccc2C(=O)[O-])C1 ZINC000903927335 711335689 /nfs/dbraw/zinc/33/56/89/711335689.db2.gz JXKFWQWFPORFIY-UHFFFAOYSA-N -1 1 301.346 1.555 20 0 DDADMM CN(C)Cc1csc(CN[C@@H]2C[C@H](NC(=O)[O-])C23CCC3)n1 ZINC000904258322 711420089 /nfs/dbraw/zinc/42/00/89/711420089.db2.gz LMPNHIZHMANCEF-NEPJUHHUSA-N -1 1 324.450 1.873 20 0 DDADMM O=C([O-])COCCOCCNCc1c(F)cccc1Cl ZINC000904269819 711421369 /nfs/dbraw/zinc/42/13/69/711421369.db2.gz SSXYHXUEONNBHK-UHFFFAOYSA-N -1 1 305.733 1.687 20 0 DDADMM O=C([O-])COCCOCC[NH2+]Cc1c([O-])cccc1Cl ZINC000904272643 711422074 /nfs/dbraw/zinc/42/20/74/711422074.db2.gz VMMNUVITDDWKCN-UHFFFAOYSA-N -1 1 303.742 1.253 20 0 DDADMM O=C(C[C@H]1CCC2(CCOCC2)CO1)[N-]O[C@@H]1CCCCO1 ZINC000896028455 711665830 /nfs/dbraw/zinc/66/58/30/711665830.db2.gz XTTKXLVJDTVOBB-UKRRQHHQSA-N -1 1 313.394 1.927 20 0 DDADMM O=C(N[C@@H]1[C@H]2C[C@H]3CO[C@H]1[C@H]3C2)c1cnc(C2CC2)[n-]c1=O ZINC000913652437 713275720 /nfs/dbraw/zinc/27/57/20/713275720.db2.gz CXGBSAKPEAJTCB-STXZSOOUSA-N -1 1 301.346 1.213 20 0 DDADMM COc1ccc(F)cc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742561 713291956 /nfs/dbraw/zinc/29/19/56/713291956.db2.gz JJFFUILPQGMPFZ-UHFFFAOYSA-N -1 1 305.313 1.367 20 0 DDADMM CCC[C@H]1C[C@H](C(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000913744348 713292745 /nfs/dbraw/zinc/29/27/45/713292745.db2.gz KKCNVWHXKCTRCN-OLZOCXBDSA-N -1 1 307.398 1.501 20 0 DDADMM CNc1ccc(Cl)c(C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913746531 713294319 /nfs/dbraw/zinc/29/43/19/713294319.db2.gz YLJMOSARZPAXDX-UHFFFAOYSA-N -1 1 320.784 1.915 20 0 DDADMM CCC[C@@H](C)N(C)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906821411 712417706 /nfs/dbraw/zinc/41/77/06/712417706.db2.gz UWQFLAAZTHTMAR-SECBINFHSA-N -1 1 301.364 1.900 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCOC2(CCCC2)C1)c1nn[n-]n1 ZINC000906930918 712441618 /nfs/dbraw/zinc/44/16/18/712441618.db2.gz RVMBQVFSJNVIES-NWDGAFQWSA-N -1 1 307.398 1.897 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cccnc1OC)c1ccco1 ZINC000907014326 712464655 /nfs/dbraw/zinc/46/46/55/712464655.db2.gz GYPRFNNMAWFIJW-JTQLQIEISA-N -1 1 312.347 1.349 20 0 DDADMM CC(C)[C@H]1CN(S(=O)(=O)c2csc(C(=O)[O-])c2)CCN1 ZINC000907053491 712475875 /nfs/dbraw/zinc/47/58/75/712475875.db2.gz CCVGZDXOSBWUKJ-SNVBAGLBSA-N -1 1 318.420 1.065 20 0 DDADMM Nc1c[nH]nc1[C@@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000907425049 712568570 /nfs/dbraw/zinc/56/85/70/712568570.db2.gz SBQCVCHMWNAOEC-SNVBAGLBSA-N -1 1 320.780 1.910 20 0 DDADMM CCOC1CC2(C[C@@H]2C(=O)N2CCSC[C@@H]2c2nn[n-]n2)C1 ZINC000907473576 712581104 /nfs/dbraw/zinc/58/11/04/712581104.db2.gz BZQDPWANGSUTIP-JXRVJTEKSA-N -1 1 323.422 1.021 20 0 DDADMM O=C(/C=C/C1CCCCC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907472900 712581219 /nfs/dbraw/zinc/58/12/19/712581219.db2.gz DYUIXRDRZUJKBF-NNNHXZLVSA-N -1 1 307.423 1.953 20 0 DDADMM O=C(/C=C\C1CCCCC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907472902 712581292 /nfs/dbraw/zinc/58/12/92/712581292.db2.gz DYUIXRDRZUJKBF-ZHRWSRJISA-N -1 1 307.423 1.953 20 0 DDADMM O=S(=O)([N-]CC1(C2(O)CCC2)CC1)c1cc(F)ccc1F ZINC000907476767 712582539 /nfs/dbraw/zinc/58/25/39/712582539.db2.gz DBLAGGMTLKIWQM-UHFFFAOYSA-N -1 1 317.357 1.938 20 0 DDADMM CS[C@H](C)CCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907478185 712583005 /nfs/dbraw/zinc/58/30/05/712583005.db2.gz HLGSQLCROFPHGU-BDAKNGLRSA-N -1 1 301.441 1.348 20 0 DDADMM Cc1cc(F)cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000907479019 712583056 /nfs/dbraw/zinc/58/30/56/712583056.db2.gz ZPAAXXWNBZTESJ-LLVKDONJSA-N -1 1 307.354 1.578 20 0 DDADMM O=C([C@H](F)Cc1ccccc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480647 712583743 /nfs/dbraw/zinc/58/37/43/712583743.db2.gz VIDPWMAZHNSDRI-VXGBXAGGSA-N -1 1 321.381 1.397 20 0 DDADMM C[C@H](CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccco1 ZINC000907481691 712584067 /nfs/dbraw/zinc/58/40/67/712584067.db2.gz JYBWRJAGQAMYJR-NXEZZACHSA-N -1 1 307.379 1.603 20 0 DDADMM CC(=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1cccs1 ZINC000907482954 712584480 /nfs/dbraw/zinc/58/44/80/712584480.db2.gz UTGKWNOIZYTBRF-TTZKWOQHSA-N -1 1 321.431 1.981 20 0 DDADMM C[C@]1(C(=O)Nc2nc(Cl)ccc2[O-])CCCS1(=O)=O ZINC000907667979 712611724 /nfs/dbraw/zinc/61/17/24/712611724.db2.gz ZUXGOECEAZQDOK-LLVKDONJSA-N -1 1 304.755 1.346 20 0 DDADMM CC[C@H](O)[C@H](C)C(=O)Nc1nc(Br)ccc1[O-] ZINC000907667743 712611739 /nfs/dbraw/zinc/61/17/39/712611739.db2.gz UJLYASBZKRGBIC-BQBZGAKWSA-N -1 1 303.156 1.895 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CC(O)C2)c1ccc(Br)o1 ZINC000907785724 712626220 /nfs/dbraw/zinc/62/62/20/712626220.db2.gz DQNLCUQKQQQLLT-DSQUFTABSA-N -1 1 322.180 1.234 20 0 DDADMM O=C(N[C@@]1(CCO)CCOC1)c1c([O-])cnc2c(F)cccc21 ZINC000907787954 712626555 /nfs/dbraw/zinc/62/65/55/712626555.db2.gz WXZGAQOECMMHKG-INIZCTEOSA-N -1 1 320.320 1.351 20 0 DDADMM CC(C)c1ccccc1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907940045 712652097 /nfs/dbraw/zinc/65/20/97/712652097.db2.gz ILDNQRYVZQQESZ-UHFFFAOYSA-N -1 1 315.377 1.447 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CCOC23CCCC3)c1Cl ZINC000908185776 712704881 /nfs/dbraw/zinc/70/48/81/712704881.db2.gz BELKNTZHKKEBEZ-SECBINFHSA-N -1 1 319.814 1.454 20 0 DDADMM O=S(=O)([N-]Cc1ccc(CCO)cc1)c1c[nH]nc1Cl ZINC000908266027 712724337 /nfs/dbraw/zinc/72/43/37/712724337.db2.gz YHHNLIRHGFIYRI-UHFFFAOYSA-N -1 1 315.782 1.076 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2Cc3ccccc3[C@H]2O)sc1C ZINC000908426364 712768976 /nfs/dbraw/zinc/76/89/76/712768976.db2.gz VWQKZSCFMSDSIM-CHWSQXEVSA-N -1 1 324.427 1.697 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C(C)(C)C(=O)OC(C)C)sc1C ZINC000908488616 712788352 /nfs/dbraw/zinc/78/83/52/712788352.db2.gz GDWQLLVYHIDTBC-UHFFFAOYSA-N -1 1 320.436 1.768 20 0 DDADMM O=C([O-])C[C@H](NC(=O)c1[nH]nc2c1CCC2)c1ccc(F)cc1 ZINC000908605614 712819944 /nfs/dbraw/zinc/81/99/44/712819944.db2.gz HDNHUUUTOHYJSQ-ZDUSSCGKSA-N -1 1 317.320 1.983 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cc(C)cc(C)n2)o1 ZINC000908895044 712881712 /nfs/dbraw/zinc/88/17/12/712881712.db2.gz YZBALOOMMLCNDD-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM CN(CC(=O)N[C@@H](C(=O)[O-])c1ccccc1)[C@H]1CCSC1 ZINC000908905191 712884037 /nfs/dbraw/zinc/88/40/37/712884037.db2.gz ICGWQAQZESJTLL-GXTWGEPZSA-N -1 1 308.403 1.366 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)Cc1ccon1 ZINC000918055836 713527009 /nfs/dbraw/zinc/52/70/09/713527009.db2.gz OGXAXPCWBKNTGO-LLVKDONJSA-N -1 1 304.368 1.026 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)F)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966050713 717911243 /nfs/dbraw/zinc/91/12/43/717911243.db2.gz UCNIFKOQCYOHIK-UWVGGRQHSA-N -1 1 309.341 1.112 20 0 DDADMM Cn1ncnc1CCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000919518349 713612025 /nfs/dbraw/zinc/61/20/25/713612025.db2.gz XOCBGVDPQZBBPT-UHFFFAOYSA-N -1 1 316.333 1.004 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(Oc2cccnc2)cc1 ZINC000920338610 713662750 /nfs/dbraw/zinc/66/27/50/713662750.db2.gz FTCAISVNKPPNEP-UHFFFAOYSA-N -1 1 312.285 1.071 20 0 DDADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)CC1(F)CCC1)CCO2 ZINC000921314231 713730648 /nfs/dbraw/zinc/73/06/48/713730648.db2.gz JEAYQOVYQWKGNN-OLZOCXBDSA-N -1 1 307.387 1.484 20 0 DDADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)CCC(C)(C)C1CC1 ZINC000921380433 713749633 /nfs/dbraw/zinc/74/96/33/713749633.db2.gz XUKBWCYUIXPACK-LBPRGKRZSA-N -1 1 321.439 1.310 20 0 DDADMM CCS(=O)(=O)CCN(C)C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000930109443 713758456 /nfs/dbraw/zinc/75/84/56/713758456.db2.gz DNDDVZNUUXTGBB-LBPRGKRZSA-N -1 1 313.419 1.578 20 0 DDADMM O=C(C[N-]S(=O)(=O)C[C@@H]1CCCC1(F)F)c1cncs1 ZINC000921678281 713840170 /nfs/dbraw/zinc/84/01/70/713840170.db2.gz JZXGDTRFXWXEMI-QMMMGPOBSA-N -1 1 324.374 1.681 20 0 DDADMM CCOCCONC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922039483 713943903 /nfs/dbraw/zinc/94/39/03/713943903.db2.gz PICRHDOHIPDCSB-UHFFFAOYSA-N -1 1 304.293 1.480 20 0 DDADMM C[C@H](C(=O)NCC(F)(F)F)N1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC000923529448 714391941 /nfs/dbraw/zinc/39/19/41/714391941.db2.gz MMAMQSDTCJYQNP-FKTZTGRPSA-N -1 1 308.300 1.096 20 0 DDADMM O=C(c1c2c(ccc1F)OCO2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000935037579 714961240 /nfs/dbraw/zinc/96/12/40/714961240.db2.gz XDAJSFWTHPKZEJ-QMMMGPOBSA-N -1 1 319.296 1.087 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](O)C1CCCCCCC1)c1nn[n-]n1 ZINC000935365124 715037717 /nfs/dbraw/zinc/03/77/17/715037717.db2.gz CNGALQITHQCZEU-DGCLKSJQSA-N -1 1 309.414 1.483 20 0 DDADMM O=C([C@@H]1CC[C@@H]2C[C@@H]2CC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000935457446 715060579 /nfs/dbraw/zinc/06/05/79/715060579.db2.gz SCRYFNJZFGIUPR-WISYIIOYSA-N -1 1 307.423 1.643 20 0 DDADMM O=C([C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000935829289 715131353 /nfs/dbraw/zinc/13/13/53/715131353.db2.gz IJUJKRVMLIIKKT-NJZULAAPSA-N -1 1 303.362 1.773 20 0 DDADMM CN(C(=O)C1=CCCC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211421 715293051 /nfs/dbraw/zinc/29/30/51/715293051.db2.gz JRSBBKNWPXGBJD-CYBMUJFWSA-N -1 1 315.373 1.570 20 0 DDADMM CC1(C(=O)N2CCC(C)(NC(=O)c3ncccc3[O-])CC2)CC1 ZINC000956348111 715413716 /nfs/dbraw/zinc/41/37/16/715413716.db2.gz VKJKZJCJBLEYFB-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@]1(NC(=O)C2CCC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956624991 715504465 /nfs/dbraw/zinc/50/44/65/715504465.db2.gz LEXJUBZFDYALPB-INIZCTEOSA-N -1 1 303.362 1.308 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956850626 715636951 /nfs/dbraw/zinc/63/69/51/715636951.db2.gz PYOJLCKSEYAFNW-KRWDZBQOSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C2(C)CC2)CCN1C(=O)c1ncccc1[O-] ZINC000955652259 715893359 /nfs/dbraw/zinc/89/33/59/715893359.db2.gz QFOQHVRFOMAARX-VXGBXAGGSA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)C(=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC000957504498 715930872 /nfs/dbraw/zinc/93/08/72/715930872.db2.gz IWSQUCKGAKVEOK-UHFFFAOYSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@H](C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000939916763 716498531 /nfs/dbraw/zinc/49/85/31/716498531.db2.gz WWLXHAMFFAVXDO-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000958781787 716744037 /nfs/dbraw/zinc/74/40/37/716744037.db2.gz YYVOZJLGJOKRAI-GHMZBOCLSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H](NC(=O)C1CCC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000959131380 716878656 /nfs/dbraw/zinc/87/86/56/716878656.db2.gz BSROEQJRUXKWLC-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CCCC(=O)N1C[C@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959339453 716971930 /nfs/dbraw/zinc/97/19/30/716971930.db2.gz QKEVIHAJCAMWMF-NWDGAFQWSA-N -1 1 305.378 1.412 20 0 DDADMM Cc1cc(CNCC2CC(NC(=O)c3[nH]nc(C)c3[O-])C2)no1 ZINC000961719762 717072605 /nfs/dbraw/zinc/07/26/05/717072605.db2.gz KOKUPZLRZVGSOC-UHFFFAOYSA-N -1 1 319.365 1.018 20 0 DDADMM CC1(C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC000964950280 717485985 /nfs/dbraw/zinc/48/59/85/717485985.db2.gz ASCPETXBBQPAKR-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)C(=O)N1CC[C@H]2[C@@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962940086 717633962 /nfs/dbraw/zinc/63/39/62/717633962.db2.gz BJCZBIVLHZDWRG-STQMWFEESA-N -1 1 317.389 1.649 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC000942759159 717859038 /nfs/dbraw/zinc/85/90/38/717859038.db2.gz USDPPJRQXZLVLK-STQMWFEESA-N -1 1 319.405 1.896 20 0 DDADMM CC(C)=CC(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000943827391 718202808 /nfs/dbraw/zinc/20/28/08/718202808.db2.gz UUBKHDIAHGYCFK-UHFFFAOYSA-N -1 1 303.362 1.474 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(C)(C)C ZINC000966349633 718522857 /nfs/dbraw/zinc/52/28/57/718522857.db2.gz OKHVWCMBNNSJMX-WDEREUQCSA-N -1 1 305.378 1.410 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H](C)[C@H]1CNC(=O)c1ncccc1[O-] ZINC000945736763 718550305 /nfs/dbraw/zinc/55/03/05/718550305.db2.gz UDGTWZINGDTOPG-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(NC1CCN(C(=O)[C@@H]2CC23CC3)CC1)c1ncccc1[O-] ZINC000948563317 719550690 /nfs/dbraw/zinc/55/06/90/719550690.db2.gz XLRZCJWTNIXNDK-LBPRGKRZSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000949535041 720145453 /nfs/dbraw/zinc/14/54/53/720145453.db2.gz MNHQTNFMURKWOR-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)[C@H]1CC12CCC2)c1ncccc1[O-] ZINC000949538801 720147373 /nfs/dbraw/zinc/14/73/73/720147373.db2.gz QZQZLYUZJLQYQC-NWDGAFQWSA-N -1 1 315.373 1.308 20 0 DDADMM Cc1nocc1CN1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000969542549 720181160 /nfs/dbraw/zinc/18/11/60/720181160.db2.gz FSBKNEJZLLAILB-SNVBAGLBSA-N -1 1 316.361 1.334 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCCC1 ZINC000970451443 720768092 /nfs/dbraw/zinc/76/80/92/720768092.db2.gz QWRYKRZMRWPGAU-CMPLNLGQSA-N -1 1 307.398 1.027 20 0 DDADMM CC[C@H](F)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000951644690 721113279 /nfs/dbraw/zinc/11/32/79/721113279.db2.gz PRYFSQHMDCHCFL-QWRGUYRKSA-N -1 1 309.341 1.256 20 0 DDADMM CC(=O)N(C)C1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000953691617 721643197 /nfs/dbraw/zinc/64/31/97/721643197.db2.gz NLIVNSPAHBBVSW-UHFFFAOYSA-N -1 1 318.377 1.143 20 0 DDADMM CC[C@H](C)C(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC000953888520 721674606 /nfs/dbraw/zinc/67/46/06/721674606.db2.gz SDWKCXMUTPUVLW-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954127979 721724826 /nfs/dbraw/zinc/72/48/26/721724826.db2.gz NRMSUDBQMRYFOJ-DGCLKSJQSA-N -1 1 317.389 1.506 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2cnc(N3CCC3)nc2)c1 ZINC001210724892 733260296 /nfs/dbraw/zinc/26/02/96/733260296.db2.gz RAJNHLADCFVRCD-UHFFFAOYSA-N -1 1 320.374 1.539 20 0 DDADMM O=C(NC[C@H]1CCCN1Cc1cncs1)c1ncccc1[O-] ZINC001027844028 738726697 /nfs/dbraw/zinc/72/66/97/738726697.db2.gz LTRSMLMXAIXLPN-LLVKDONJSA-N -1 1 318.402 1.638 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1nc2cc(F)c(F)cc2s1 ZINC001143397503 734405581 /nfs/dbraw/zinc/40/55/81/734405581.db2.gz VFFKUWVOYLCFTK-UHFFFAOYSA-N -1 1 310.289 1.659 20 0 DDADMM CC1(C)CCC[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000997890752 751342286 /nfs/dbraw/zinc/34/22/86/751342286.db2.gz ZDTJDBOZNGHWDT-LBPRGKRZSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@]1(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C=CCC1 ZINC001025042166 736247476 /nfs/dbraw/zinc/24/74/76/736247476.db2.gz BOXRKMBIQPQLPG-BLLLJJGKSA-N -1 1 319.409 1.337 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2ccc([O-])c(F)c2F)CCC1=O ZINC001140887963 736462722 /nfs/dbraw/zinc/46/27/22/736462722.db2.gz KHURZTNUQYPUPX-JTQLQIEISA-N -1 1 313.300 1.625 20 0 DDADMM C[C@H](NC(=O)[C@@H]1C[C@H]1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006567007 736507127 /nfs/dbraw/zinc/50/71/27/736507127.db2.gz FBCHCTCQQMWSPO-JFGNBEQYSA-N -1 1 303.362 1.020 20 0 DDADMM CO[C@](C)(C[N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CC1 ZINC000692888579 738958227 /nfs/dbraw/zinc/95/82/27/738958227.db2.gz KYLQVUBHAUUPFF-GFCCVEGCSA-N -1 1 322.789 1.968 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H](CO)C1)c1ccc(Cl)nc1F ZINC000692888843 738958320 /nfs/dbraw/zinc/95/83/20/738958320.db2.gz OFBIQOGJYOFUGS-DTWKUNHWSA-N -1 1 322.789 1.704 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN(Cc3ccns3)C2)c1[O-] ZINC001028485054 739568658 /nfs/dbraw/zinc/56/86/58/739568658.db2.gz IOALUFHCHCDUAA-JTQLQIEISA-N -1 1 321.406 1.132 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)co1 ZINC001029717568 741253611 /nfs/dbraw/zinc/25/36/11/741253611.db2.gz TWWPLNNDEPWDII-LLVKDONJSA-N -1 1 319.365 1.006 20 0 DDADMM O=C(c1ccoc1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088263136 741272786 /nfs/dbraw/zinc/27/27/86/741272786.db2.gz WVFNWOTYAOEAQZ-LBPRGKRZSA-N -1 1 319.365 1.278 20 0 DDADMM C[C@H](CN(C)C)NS(=O)(=O)c1cc(C(=O)[O-])ccc1Cl ZINC000168627920 741963504 /nfs/dbraw/zinc/96/35/04/741963504.db2.gz JCHOKTIYGYKMMN-MRVPVSSYSA-N -1 1 320.798 1.267 20 0 DDADMM Cc1nccc(NCCC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001114911342 751703435 /nfs/dbraw/zinc/70/34/35/751703435.db2.gz GIRRTCCGACNNLG-NSHDSACASA-N -1 1 315.377 1.896 20 0 DDADMM CN(C(=O)c1ccnc(OCc2ccccc2)c1)c1nn[n-]n1 ZINC001168357562 742262598 /nfs/dbraw/zinc/26/25/98/742262598.db2.gz APTCFADOBBOSEZ-UHFFFAOYSA-N -1 1 310.317 1.450 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1cc[nH]c1 ZINC001076248340 742620318 /nfs/dbraw/zinc/62/03/18/742620318.db2.gz JUKWVJDDKJRKFL-JTQLQIEISA-N -1 1 302.334 1.054 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001060116851 742687026 /nfs/dbraw/zinc/68/70/26/742687026.db2.gz NNZYQASMBIUNFZ-RYUDHWBXSA-N -1 1 323.368 1.504 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)C1(c2ccccc2)COC1 ZINC001181559708 743244439 /nfs/dbraw/zinc/24/44/39/743244439.db2.gz JKKJTFKFYGEXLS-UHFFFAOYSA-N -1 1 313.290 1.029 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H](Cc1ccccc1)c1ccccc1 ZINC001181828322 743355034 /nfs/dbraw/zinc/35/50/34/743355034.db2.gz QNGNTXGLXUGEFG-OAHLLOKOSA-N -1 1 307.357 1.842 20 0 DDADMM Cc1cc(CCC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc(C)c1O ZINC001182331912 743572143 /nfs/dbraw/zinc/57/21/43/743572143.db2.gz RVDVUNIVNVGXCA-UHFFFAOYSA-N -1 1 318.333 1.364 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H]1C[C@H]1c1ccccc1C(F)(F)F ZINC001182367793 743593463 /nfs/dbraw/zinc/59/34/63/743593463.db2.gz DQDNHBNRUAVKDO-IUCAKERBSA-N -1 1 311.267 1.638 20 0 DDADMM COc1nccc(F)c1C(=O)[N-]c1ncn(C)c2ncnc1-2 ZINC001185540428 744252102 /nfs/dbraw/zinc/25/21/02/744252102.db2.gz APLPQRDXLYHVLM-UHFFFAOYSA-N -1 1 302.269 1.110 20 0 DDADMM Cc1nc(S(C)(=O)=O)ccc1NC(=O)c1ccc([O-])cc1F ZINC001186318371 744373527 /nfs/dbraw/zinc/37/35/27/744373527.db2.gz KSEIZVDNCJPBRI-UHFFFAOYSA-N -1 1 324.333 1.891 20 0 DDADMM O=C(NC[C@H]1C(=O)Nc2ccccc21)c1ccc([O-])cc1F ZINC001186348959 744380777 /nfs/dbraw/zinc/38/07/77/744380777.db2.gz VQXDUBKEQOUXTJ-GFCCVEGCSA-N -1 1 300.289 1.997 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]c1c[nH]c(=O)c(C(F)(F)F)c1 ZINC001187338207 744543073 /nfs/dbraw/zinc/54/30/73/744543073.db2.gz VBXMZOIBOJHCFQ-UHFFFAOYSA-N -1 1 323.252 1.903 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]Cc1cncnc1C(C)(F)F ZINC001187420566 744560535 /nfs/dbraw/zinc/56/05/35/744560535.db2.gz IMIAPJPKPHBERD-UHFFFAOYSA-N -1 1 318.305 1.363 20 0 DDADMM C[C@@H](CCCC(C)(C)O)NC(=O)c1n[n-]nc1C(F)(F)F ZINC001187770322 744598975 /nfs/dbraw/zinc/59/89/75/744598975.db2.gz LFNWJAIKKZNFCX-ZETCQYMHSA-N -1 1 308.304 1.883 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2n[n-]nc2C(F)(F)F)on1 ZINC001187756886 744605802 /nfs/dbraw/zinc/60/58/02/744605802.db2.gz HTTJPDWGZBHPTR-YFKPBYRVSA-N -1 1 303.244 1.481 20 0 DDADMM Cc1ccc(C(N)=O)cc1NC(=O)c1n[n-]nc1C(F)(F)F ZINC001187766270 744607803 /nfs/dbraw/zinc/60/78/03/744607803.db2.gz NHRWGGCYLYZWLW-UHFFFAOYSA-N -1 1 313.239 1.483 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1nccs1)C1CCCC1 ZINC001187918086 744627497 /nfs/dbraw/zinc/62/74/97/744627497.db2.gz RAFYLUFDZZKDSD-VIFPVBQESA-N -1 1 304.393 1.153 20 0 DDADMM CCOC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)c1nccs1 ZINC001187908598 744628227 /nfs/dbraw/zinc/62/82/27/744628227.db2.gz MJJNJIUFYNGDAS-SECBINFHSA-N -1 1 306.409 1.399 20 0 DDADMM O=S(=O)([N-]c1ncc(Br)cc1O)C1CCC1 ZINC001188105083 744655103 /nfs/dbraw/zinc/65/51/03/744655103.db2.gz UGBMAKQEYULFKB-UHFFFAOYSA-N -1 1 307.169 1.844 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@@H]1F)c1cnc(Cl)c(Cl)c1 ZINC001188097355 744659640 /nfs/dbraw/zinc/65/96/40/744659640.db2.gz IVGAGVQESVSSMZ-JGVFFNPUSA-N -1 1 315.153 1.404 20 0 DDADMM O=C(NCC[C@H]1COc2ccccc2O1)c1ccncc1[O-] ZINC001188644587 744735318 /nfs/dbraw/zinc/73/53/18/744735318.db2.gz JKKRLKZIMPORQL-NSHDSACASA-N -1 1 300.314 1.747 20 0 DDADMM COC(=O)c1c(Cl)nccc1[N-]S(=O)(=O)CC1CC1 ZINC001188937770 744795147 /nfs/dbraw/zinc/79/51/47/744795147.db2.gz VARHLSKAGNYIKH-UHFFFAOYSA-N -1 1 304.755 1.673 20 0 DDADMM COC(=O)c1cc(F)c([N-]S(=O)(=O)CCCF)cc1F ZINC001189919802 745036239 /nfs/dbraw/zinc/03/62/39/745036239.db2.gz MQBLABJUSSEQLV-UHFFFAOYSA-N -1 1 311.281 1.853 20 0 DDADMM COc1cccnc1C[N-]S(=O)(=O)c1ncc(F)cc1F ZINC001190207952 745153574 /nfs/dbraw/zinc/15/35/74/745153574.db2.gz ZGSVMNZMCVJVQY-UHFFFAOYSA-N -1 1 315.301 1.242 20 0 DDADMM CC(C)C(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@]2(C)C1 ZINC000992813526 745218280 /nfs/dbraw/zinc/21/82/80/745218280.db2.gz MDYHPBRAXWJSMM-PXAZEXFGSA-N -1 1 317.389 1.364 20 0 DDADMM COCCN(C(=O)c1cnc(-c2ccccn2)[n-]c1=O)C(C)C ZINC001190620414 745261449 /nfs/dbraw/zinc/26/14/49/745261449.db2.gz ULDJCATWZJIJHT-UHFFFAOYSA-N -1 1 316.361 1.741 20 0 DDADMM O=C(NC[C@H]1CCCCO1)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190628893 745279038 /nfs/dbraw/zinc/27/90/38/745279038.db2.gz DEYFYYQMJCHITG-LLVKDONJSA-N -1 1 314.345 1.543 20 0 DDADMM COC[C@@H](NC(=O)c1cnc(-c2ccccn2)[n-]c1=O)C1CC1 ZINC001190637962 745282507 /nfs/dbraw/zinc/28/25/07/745282507.db2.gz RCEFXJSNVCDYKP-CYBMUJFWSA-N -1 1 314.345 1.399 20 0 DDADMM O=S(=O)(Nc1cc(Br)cnc1F)c1ncc[n-]1 ZINC001190686259 745297537 /nfs/dbraw/zinc/29/75/37/745297537.db2.gz GCWVGANTMLWYFJ-UHFFFAOYSA-N -1 1 321.131 1.507 20 0 DDADMM CSc1ncc(C(=O)Nc2cc(Cl)nc(C)n2)c(=O)[n-]1 ZINC001191420333 745506516 /nfs/dbraw/zinc/50/65/16/745506516.db2.gz NFCBGKRAFBQBDE-UHFFFAOYSA-N -1 1 311.754 1.908 20 0 DDADMM Cn1cc(C2([N-]S(=O)(=O)C(Cl)(Cl)Cl)CC2)cn1 ZINC001192042833 745680614 /nfs/dbraw/zinc/68/06/14/745680614.db2.gz MNJAFPXXHWHUHJ-UHFFFAOYSA-N -1 1 318.613 1.656 20 0 DDADMM C[C@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)c1cnn(C)c1 ZINC001192042510 745680679 /nfs/dbraw/zinc/68/06/79/745680679.db2.gz FQPMVGDSCOIELB-YFKPBYRVSA-N -1 1 306.602 1.728 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2c(F)ccc([O-])c2F)[nH]1 ZINC001192556122 745815320 /nfs/dbraw/zinc/81/53/20/745815320.db2.gz OQEKFQMLXRWWMF-UHFFFAOYSA-N -1 1 310.256 1.715 20 0 DDADMM CCOc1ccc(S(=O)(=O)Nc2ccncn2)cc1C(=O)[O-] ZINC001192608422 745841134 /nfs/dbraw/zinc/84/11/34/745841134.db2.gz LTENCTZQLVRILM-UHFFFAOYSA-N -1 1 323.330 1.374 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CCc2[nH]c(=O)[nH]c(=O)c2C1 ZINC001192664482 745868427 /nfs/dbraw/zinc/86/84/27/745868427.db2.gz MNSZOORRARCLNY-UHFFFAOYSA-N -1 1 323.255 1.070 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccnn1CC1CC1 ZINC001193016668 745960997 /nfs/dbraw/zinc/96/09/97/745960997.db2.gz BQEKBEHZLVJBKT-UHFFFAOYSA-N -1 1 315.395 1.377 20 0 DDADMM COc1nc(C)c(C(=O)NCCCC[P@](=O)([O-])O)s1 ZINC001193493621 746119118 /nfs/dbraw/zinc/11/91/18/746119118.db2.gz IKCLGSPXKJTGGM-UHFFFAOYSA-N -1 1 308.296 1.148 20 0 DDADMM COc1ccnc(C(=O)Nc2n[nH]cc2Br)c1[O-] ZINC001193520468 746132250 /nfs/dbraw/zinc/13/22/50/746132250.db2.gz NZFAERFISCOGPU-UHFFFAOYSA-N -1 1 313.111 1.534 20 0 DDADMM CSc1nc(NS(=O)(=O)c2ccccc2N)cc(=O)[n-]1 ZINC001193893250 746229544 /nfs/dbraw/zinc/22/95/44/746229544.db2.gz RUKYTDLJOPNEBG-UHFFFAOYSA-N -1 1 312.376 1.287 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](CO)C1)c1ncccc1C(F)(F)F ZINC001194577832 746412957 /nfs/dbraw/zinc/41/29/57/746412957.db2.gz DUCOTGKLDVSVRD-ZKCHVHJHSA-N -1 1 310.297 1.150 20 0 DDADMM COc1ncc(F)cc1[N-]S(=O)(=O)C1CCC(=O)CC1 ZINC001194759826 746459124 /nfs/dbraw/zinc/45/91/24/746459124.db2.gz QPPGSCAFWCDYLL-UHFFFAOYSA-N -1 1 302.327 1.483 20 0 DDADMM CC(=O)Nc1cc(NC(=O)c2c[n-]c(C(F)(F)F)n2)ncn1 ZINC001194770667 746462809 /nfs/dbraw/zinc/46/28/09/746462809.db2.gz MBCHDLAEZUMTHT-UHFFFAOYSA-N -1 1 314.227 1.429 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1c[nH]c(=O)cc1Cl ZINC001194996585 746507998 /nfs/dbraw/zinc/50/79/98/746507998.db2.gz KZWJJOAUEQMTDF-UHFFFAOYSA-N -1 1 311.641 1.292 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cc(O)cnc1Cl ZINC001195298296 746570774 /nfs/dbraw/zinc/57/07/74/746570774.db2.gz UYOLNLCUCQIWEM-UHFFFAOYSA-N -1 1 311.681 1.776 20 0 DDADMM COC(=O)c1cc(NC(=O)c2cc([O-])cnc2Cl)cn1C ZINC001195297839 746570851 /nfs/dbraw/zinc/57/08/51/746570851.db2.gz IGCYHEYSSYLLRG-UHFFFAOYSA-N -1 1 309.709 1.818 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2nnc(C(F)(F)F)n2C)n1 ZINC001195317992 746575808 /nfs/dbraw/zinc/57/58/08/746575808.db2.gz GGLKFSAMCCAQRJ-UHFFFAOYSA-N -1 1 301.228 1.495 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCO[C@@H](c2ccccc2)C1 ZINC001196012937 746757017 /nfs/dbraw/zinc/75/70/17/746757017.db2.gz FFEHJMZLFISLOR-GFCCVEGCSA-N -1 1 317.370 1.685 20 0 DDADMM COc1nc(Cl)ncc1NC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196014504 746757031 /nfs/dbraw/zinc/75/70/31/746757031.db2.gz QZGSEWSZBZFILI-UHFFFAOYSA-N -1 1 313.726 1.175 20 0 DDADMM COc1ccc2c(c1)[C@@H](NC(=O)c1c[nH]c(=S)[n-]c1=O)CC2 ZINC001196024304 746759946 /nfs/dbraw/zinc/75/99/46/746759946.db2.gz QSHUJUWYMWEHLY-LBPRGKRZSA-N -1 1 317.370 1.897 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]Cc1c(F)cc(F)cc1F ZINC001195991958 746762260 /nfs/dbraw/zinc/76/22/60/746762260.db2.gz RUQCPJUXPWYJKM-UHFFFAOYSA-N -1 1 311.281 1.086 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)Nc1cccnc1F ZINC001196721585 746944482 /nfs/dbraw/zinc/94/44/82/746944482.db2.gz OUFWCMABOIUEMS-UHFFFAOYSA-N -1 1 315.351 1.906 20 0 DDADMM Cc1c(NC(=O)c2sccc2[N-]S(C)(=O)=O)cnn1C ZINC001196721825 746944592 /nfs/dbraw/zinc/94/45/92/746944592.db2.gz VYODHODMMLVSRN-UHFFFAOYSA-N -1 1 314.392 1.414 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(Cl)c(C)cn3)c1-2 ZINC001197278334 747128776 /nfs/dbraw/zinc/12/87/76/747128776.db2.gz KOEOBMBEVPFWMB-UHFFFAOYSA-N -1 1 302.725 1.644 20 0 DDADMM C=CS(=O)(=O)[N-]c1cn2cc(Br)ccc2n1 ZINC001198569521 747529484 /nfs/dbraw/zinc/52/94/84/747529484.db2.gz DHKLKRAJBLGGJM-UHFFFAOYSA-N -1 1 302.153 1.982 20 0 DDADMM CC(C)COc1cnccc1C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001199098796 747701576 /nfs/dbraw/zinc/70/15/76/747701576.db2.gz AUETWHADDZOUOF-UHFFFAOYSA-N -1 1 319.321 1.152 20 0 DDADMM CC(C)Oc1cnccc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001199158105 747721460 /nfs/dbraw/zinc/72/14/60/747721460.db2.gz BGNBJUDWJIUCIY-UHFFFAOYSA-N -1 1 314.305 1.493 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc(OC(F)F)ccn1 ZINC001199311521 747785868 /nfs/dbraw/zinc/78/58/68/747785868.db2.gz KVBHOKYUDJPKBI-UHFFFAOYSA-N -1 1 324.220 1.371 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=S)NC[C@@H]2CCCO2)c1 ZINC001200026835 748089551 /nfs/dbraw/zinc/08/95/51/748089551.db2.gz VHUXDWGQZPSDNH-JTQLQIEISA-N -1 1 310.375 1.644 20 0 DDADMM COc1cc(S(=O)(=O)[N-]c2ccnc(CO)c2)ccc1F ZINC001200389792 748232511 /nfs/dbraw/zinc/23/25/11/748232511.db2.gz XCMFBSPLSILUBH-UHFFFAOYSA-N -1 1 312.322 1.522 20 0 DDADMM Cc1ccccc1NC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001202189377 748706755 /nfs/dbraw/zinc/70/67/55/748706755.db2.gz KADQOSBZTKGUTM-UHFFFAOYSA-N -1 1 300.278 1.668 20 0 DDADMM C[C@H]1CCN(C(=O)C2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004766092 748763841 /nfs/dbraw/zinc/76/38/41/748763841.db2.gz GHYLWMWPLIWWPU-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)(C)CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995465151 748789714 /nfs/dbraw/zinc/78/97/14/748789714.db2.gz IUGGDBFLTZAICN-SNVBAGLBSA-N -1 1 309.414 1.273 20 0 DDADMM CN1C(=O)CS/C1=C/C(=O)Nc1cc(F)cc(F)c1[O-] ZINC001162296328 749267703 /nfs/dbraw/zinc/26/77/03/749267703.db2.gz WRRUOKDSRZRFCQ-NYYWCZLTSA-N -1 1 300.286 1.656 20 0 DDADMM C[C@]1(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C[C@H]2C[C@H]2C1 ZINC000996279553 749481372 /nfs/dbraw/zinc/48/13/72/749481372.db2.gz BFPYMBLUASKZEO-FTJFQDGESA-N -1 1 315.373 1.164 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035022576 751131752 /nfs/dbraw/zinc/13/17/52/751131752.db2.gz RRUCURCCNWMLEI-GHMZBOCLSA-N -1 1 307.398 1.027 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CC[C@@H](C1)N2c1ncccn1 ZINC001061954659 752403652 /nfs/dbraw/zinc/40/36/52/752403652.db2.gz KTGSDJMONDDLHF-TXEJJXNPSA-N -1 1 311.345 1.071 20 0 DDADMM O=C(C[C@H]1CC=CCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999903538 753232878 /nfs/dbraw/zinc/23/28/78/753232878.db2.gz GLZYKKYSSXVDOB-QWHCGFSZSA-N -1 1 319.409 1.337 20 0 DDADMM CCC(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001009754923 753341773 /nfs/dbraw/zinc/34/17/73/753341773.db2.gz BYKWRPSUSNIFLZ-RWMBFGLXSA-N -1 1 317.389 1.554 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(c2cccc(F)n2)C1 ZINC001062923457 753849366 /nfs/dbraw/zinc/84/93/66/753849366.db2.gz SQEBXTCRJUNWCC-LLVKDONJSA-N -1 1 316.336 1.672 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1CCC1 ZINC001011609997 754630809 /nfs/dbraw/zinc/63/08/09/754630809.db2.gz GGHHKDQSVOVUJL-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]1CNc1nccnc1F ZINC001064897757 754938100 /nfs/dbraw/zinc/93/81/00/754938100.db2.gz RCVIUHOEOCTVGO-JTQLQIEISA-N -1 1 317.324 1.433 20 0 DDADMM CC(C)=C(F)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001012474395 755096202 /nfs/dbraw/zinc/09/62/02/755096202.db2.gz JWUPMWGJUYPNMF-GHMZBOCLSA-N -1 1 321.352 1.770 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccoc2)CN1C(=O)c1ncccc1[O-] ZINC001012504430 755112758 /nfs/dbraw/zinc/11/27/58/755112758.db2.gz HVTNJFLCPOCADG-CMPLNLGQSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)CC2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012508584 755114556 /nfs/dbraw/zinc/11/45/56/755114556.db2.gz FQZQLCOKPMYAHQ-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM CCC[C@H](C)C(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC001079652822 755503026 /nfs/dbraw/zinc/50/30/26/755503026.db2.gz JEBBOLAYRXHZNR-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM Cc1nsc(N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)n1 ZINC001067075547 755688013 /nfs/dbraw/zinc/68/80/13/755688013.db2.gz IFJVHRZNZJGDMD-WCBMZHEXSA-N -1 1 319.390 1.520 20 0 DDADMM C[C@H]1[C@H](NC(=O)CC2CC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014689415 755888428 /nfs/dbraw/zinc/88/84/28/755888428.db2.gz PJVDHUYSTFPGBC-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)C(C)(C)C)CN1C(=O)c1ncccc1[O-] ZINC001018411146 758800023 /nfs/dbraw/zinc/80/00/23/758800023.db2.gz YBOPMFUSKNKWNX-NEPJUHHUSA-N -1 1 319.405 1.943 20 0 DDADMM CC(C)=CC(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001065803894 758803222 /nfs/dbraw/zinc/80/32/22/758803222.db2.gz SIDZWVISOACLLO-ZDUSSCGKSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@@H](F)CCN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784713 758809213 /nfs/dbraw/zinc/80/92/13/758809213.db2.gz VDJBEIXBXLCCLO-NEPJUHHUSA-N -1 1 323.368 1.108 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018730652 759197703 /nfs/dbraw/zinc/19/77/03/759197703.db2.gz XMQGQFHRPAQZPZ-NEPJUHHUSA-N -1 1 315.373 1.118 20 0 DDADMM Cc1conc1CN1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001085561461 759697304 /nfs/dbraw/zinc/69/73/04/759697304.db2.gz MGTZRSXCNNYHDJ-LBPRGKRZSA-N -1 1 316.361 1.430 20 0 DDADMM CN(C[C@@H]1CCN1CCC(F)(F)F)C(=O)c1ncccc1[O-] ZINC001085562028 759728864 /nfs/dbraw/zinc/72/88/64/759728864.db2.gz BBEXJIQYKUEYPQ-JTQLQIEISA-N -1 1 317.311 1.886 20 0 DDADMM CCc1nocc1CN1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042645336 764308461 /nfs/dbraw/zinc/30/84/61/764308461.db2.gz PAXCYDKPCFQIGN-UHFFFAOYSA-N -1 1 316.361 1.294 20 0 DDADMM O=C(C1=CCCCCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045008636 766025875 /nfs/dbraw/zinc/02/58/75/766025875.db2.gz LKFGVRGPKIEZOU-ZDUSSCGKSA-N -1 1 319.409 1.481 20 0 DDADMM CC(C)(C)c1ncncc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170220118 766179176 /nfs/dbraw/zinc/17/91/76/766179176.db2.gz TXTZXUVXDUTHTQ-UHFFFAOYSA-N -1 1 301.310 1.547 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ncc(-c3cccnc3)cn2)[n-]1 ZINC001170227225 766201546 /nfs/dbraw/zinc/20/15/46/766201546.db2.gz HMGUKTOMPBUPCQ-UHFFFAOYSA-N -1 1 306.289 1.654 20 0 DDADMM Cc1nsc(NC2(CNC(=O)c3ncccc3[O-])CC2)n1 ZINC001110098095 766244351 /nfs/dbraw/zinc/24/43/51/766244351.db2.gz RBDBBHZBPVAOAQ-UHFFFAOYSA-N -1 1 305.363 1.322 20 0 DDADMM C[C@@H]1[C@@H](Nc2nccnc2F)CCN1C(=O)c1ncccc1[O-] ZINC001068821459 767638835 /nfs/dbraw/zinc/63/88/35/767638835.db2.gz BGLQUCRGAKKMMN-ZJUUUORDSA-N -1 1 317.324 1.431 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cc2ccccc2s1 ZINC001130924058 767800142 /nfs/dbraw/zinc/80/01/42/767800142.db2.gz HADSHSRCPIAYRE-UHFFFAOYSA-N -1 1 317.374 1.245 20 0 DDADMM CCC(CC)C(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131834427 768413335 /nfs/dbraw/zinc/41/33/35/768413335.db2.gz OVPDFQSFHFXYRY-YPMHNXCESA-N -1 1 323.441 1.014 20 0 DDADMM CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001071636515 769767485 /nfs/dbraw/zinc/76/74/85/769767485.db2.gz IZCBPPQLGZDPDD-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM COC(C)(C)c1nsc(NC(=O)C(=O)c2ccc([O-])cc2)n1 ZINC001170712658 770461533 /nfs/dbraw/zinc/46/15/33/770461533.db2.gz JNWIMLGQDIONBS-UHFFFAOYSA-N -1 1 321.358 1.947 20 0 DDADMM CCOC(=O)[C@H](CC(C)(C)F)Nc1ccc(-c2nn[n-]n2)nc1 ZINC001170791427 771331620 /nfs/dbraw/zinc/33/16/20/771331620.db2.gz RSTBYYAUXYOZQX-NSHDSACASA-N -1 1 322.344 1.744 20 0 DDADMM Cc1nsc(N[C@H](CNC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001096640519 771364858 /nfs/dbraw/zinc/36/48/58/771364858.db2.gz QLCWQNPDQKMLSW-SNVBAGLBSA-N -1 1 319.390 1.568 20 0 DDADMM CC(C)[C@H]1CC[C@H](C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1 ZINC001135741080 771689396 /nfs/dbraw/zinc/68/93/96/771689396.db2.gz ARECDFORKRDXAG-HAQNSBGRSA-N -1 1 309.414 1.179 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Oc2ccccc2F)nc1 ZINC001136627288 772050024 /nfs/dbraw/zinc/05/00/24/772050024.db2.gz CUMXEXKWDWZCIJ-UHFFFAOYSA-N -1 1 314.280 1.456 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCC2N=NC(=O)N2C2CC2)c1 ZINC001143933078 772345933 /nfs/dbraw/zinc/34/59/33/772345933.db2.gz SEDNTNDJVSXGPB-UHFFFAOYSA-N -1 1 316.317 1.075 20 0 DDADMM CC(=O)N[C@@H](C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1)C(C)C ZINC001144357307 772490248 /nfs/dbraw/zinc/49/02/48/772490248.db2.gz HIERGQVSLBEHGG-OAHLLOKOSA-N -1 1 316.361 1.677 20 0 DDADMM CC1(C)CN(C2CN(Cc3c(F)cc([O-])cc3F)C2)CCO1 ZINC001144559983 772565152 /nfs/dbraw/zinc/56/51/52/772565152.db2.gz UMFOQDSDCWBVEM-UHFFFAOYSA-N -1 1 312.360 1.965 20 0 DDADMM COCC(=O)NC1CCN(Cc2cc(F)c([O-])cc2F)CC1 ZINC001144666450 772589930 /nfs/dbraw/zinc/58/99/30/772589930.db2.gz IXKALPZANKBRRQ-UHFFFAOYSA-N -1 1 314.332 1.397 20 0 DDADMM COc1ccc(CC(=O)Nc2cc(=O)[n-]c(SC)n2)cc1O ZINC001144786410 772622909 /nfs/dbraw/zinc/62/29/09/772622909.db2.gz OEAULORAKAPGRX-UHFFFAOYSA-N -1 1 321.358 1.800 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCN2CCSCC2)c1 ZINC001144959049 772734747 /nfs/dbraw/zinc/73/47/47/772734747.db2.gz SXQZHZCMKLDLOC-UHFFFAOYSA-N -1 1 308.403 1.292 20 0 DDADMM COc1nc(Cl)ncc1NC(=O)c1c([O-])c(C)ncc1CO ZINC001147833602 773260016 /nfs/dbraw/zinc/26/00/16/773260016.db2.gz JGFZBEHICAZGKO-UHFFFAOYSA-N -1 1 324.724 1.292 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCCc2cc(F)cc(F)c2)c1[O-] ZINC001147843941 773267736 /nfs/dbraw/zinc/26/77/36/773267736.db2.gz JEZFTSKNDMVNQY-UHFFFAOYSA-N -1 1 322.311 1.839 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CCc4n[nH]cc4C3)cc2)[n-]1 ZINC001148329829 773438407 /nfs/dbraw/zinc/43/84/07/773438407.db2.gz YJSDFFPOQIGNNR-UHFFFAOYSA-N -1 1 323.356 1.721 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073868482 773484817 /nfs/dbraw/zinc/48/48/17/773484817.db2.gz MFGIYKIRDIXYOH-CLFQDTNISA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1CCCC12CC2 ZINC001074709705 774077366 /nfs/dbraw/zinc/07/73/66/774077366.db2.gz NIQPBTRVHQIZDV-TUAOUCFPSA-N -1 1 319.409 1.170 20 0 DDADMM Cn1ncc2cc([N-]S(=O)(=O)c3cc(N)ccc3N)ccc21 ZINC001175423278 777785688 /nfs/dbraw/zinc/78/56/88/777785688.db2.gz QCYNJOSDCWIROK-UHFFFAOYSA-N -1 1 317.374 1.539 20 0 DDADMM CN1CCN(c2ncc([N-]c3nnc(C(C)(C)C)o3)cn2)CC1 ZINC001176628535 778193585 /nfs/dbraw/zinc/19/35/85/778193585.db2.gz ZFTYELRVYHAMBC-UHFFFAOYSA-N -1 1 317.397 1.653 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)C1CCCC1 ZINC001102633514 778260887 /nfs/dbraw/zinc/26/08/87/778260887.db2.gz WJEGKBYLFJLCGA-DGCLKSJQSA-N -1 1 321.425 1.275 20 0 DDADMM CCC[C@@H](C)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102789547 778403063 /nfs/dbraw/zinc/40/30/63/778403063.db2.gz HEUCSLSLCPFJHK-JHJVBQTASA-N -1 1 323.441 1.521 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1ccnc(C)n1 ZINC001103068222 778615798 /nfs/dbraw/zinc/61/57/98/778615798.db2.gz AJAVLTRCRHTJKO-LLVKDONJSA-N -1 1 301.350 1.506 20 0 DDADMM O=C(NCc1cccc2nsnc21)C(=O)c1ccc([O-])cc1 ZINC001177781465 778734914 /nfs/dbraw/zinc/73/49/14/778734914.db2.gz GHERZNJPWAHBKY-UHFFFAOYSA-N -1 1 313.338 1.896 20 0 DDADMM Cc1nsc(NC[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001103917452 779168856 /nfs/dbraw/zinc/16/88/56/779168856.db2.gz NPJHPSPIRFYEQG-QMMMGPOBSA-N -1 1 307.379 1.425 20 0 DDADMM Cn1cc(/C=C/C(=O)NCCc2c(F)cc([O-])cc2F)cn1 ZINC001178781092 779186638 /nfs/dbraw/zinc/18/66/38/779186638.db2.gz SUIGMJKPCJPZTQ-NSCUHMNNSA-N -1 1 307.300 1.776 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)[C@H]1Cc2ccccc2O1 ZINC001178934235 779220431 /nfs/dbraw/zinc/22/04/31/779220431.db2.gz KCYFLJULOVLALW-MRVPVSSYSA-N -1 1 302.246 1.228 20 0 DDADMM O=C(COc1ccc(CO)cc1)Nc1n[n-]c(C(F)(F)F)n1 ZINC001179654417 779437011 /nfs/dbraw/zinc/43/70/11/779437011.db2.gz GJDNVPMPKMUQCU-UHFFFAOYSA-N -1 1 316.239 1.333 20 0 DDADMM C[C@H](CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)C(C)(C)C ZINC001180012818 779591174 /nfs/dbraw/zinc/59/11/74/779591174.db2.gz UXNPKTTVMFVBQV-WOPDTQHZSA-N -1 1 323.441 1.662 20 0 DDADMM O=C(Nc1cccc(C(F)(F)F)c1[O-])C1=NNC(=O)CC1 ZINC001180258880 779680387 /nfs/dbraw/zinc/68/03/87/779680387.db2.gz NFYALSTWLBPIBV-UHFFFAOYSA-N -1 1 301.224 1.616 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2nc(-c3ccccc3C)no2)n[n-]1 ZINC001115910787 780460549 /nfs/dbraw/zinc/46/05/49/780460549.db2.gz VIJBWILIKNZCIV-UHFFFAOYSA-N -1 1 319.346 1.445 20 0 DDADMM CN(CCNC(=O)C1C=CC=CC=C1)C(=O)c1ncccc1[O-] ZINC001408586155 837526535 /nfs/dbraw/zinc/52/65/35/837526535.db2.gz QNUWZTWFVHSBLF-UHFFFAOYSA-N -1 1 313.357 1.274 20 0 DDADMM CCCC[C@@H](CC)CNS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001414202340 840137746 /nfs/dbraw/zinc/13/77/46/840137746.db2.gz XLUSCKZFJJPCNF-SNVBAGLBSA-N -1 1 317.411 1.691 20 0 DDADMM CCCC[C@@H](CC)CNS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001414202340 840137751 /nfs/dbraw/zinc/13/77/51/840137751.db2.gz XLUSCKZFJJPCNF-SNVBAGLBSA-N -1 1 317.411 1.691 20 0 DDADMM Cc1cnc([C@H](C)NCCCN(C)C(=O)c2n[nH]c(C)c2[O-])o1 ZINC001268786927 840801324 /nfs/dbraw/zinc/80/13/24/840801324.db2.gz YFOXUBPUARZRRC-NSHDSACASA-N -1 1 321.381 1.533 20 0 DDADMM CCCCN1CC[C@]2(CCCN2C(=O)c2ncccc2[O-])C1=O ZINC001268843393 840892124 /nfs/dbraw/zinc/89/21/24/840892124.db2.gz VAYXBXBHBYRGEF-QGZVFWFLSA-N -1 1 317.389 1.794 20 0 DDADMM CCN(C(=O)[C@H]1CC12CN(C(=O)c1ccc([O-])cn1)C2)C(C)C ZINC001270138486 842325875 /nfs/dbraw/zinc/32/58/75/842325875.db2.gz DZSZBZSCEKSVDU-CYBMUJFWSA-N -1 1 317.389 1.506 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCOC3(CCC3)C2)sn1 ZINC001363830372 842756063 /nfs/dbraw/zinc/75/60/63/842756063.db2.gz CWXVDWATTPHHTM-SECBINFHSA-N -1 1 318.420 1.532 20 0 DDADMM CO[C@H](C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O)c1ccccc1 ZINC001143098998 861372656 /nfs/dbraw/zinc/37/26/56/861372656.db2.gz YAFOSEYCOJYIHN-VIFPVBQESA-N -1 1 304.262 1.612 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)c1[nH]ccc1-c1ccccc1 ZINC001149283534 861517388 /nfs/dbraw/zinc/51/73/88/861517388.db2.gz AYRJMRPHZLOKST-UHFFFAOYSA-N -1 1 310.313 1.813 20 0 DDADMM O=C(N[C@H](CO)C(F)(F)F)c1ccc2cccnc2c1[O-] ZINC001149329896 861550628 /nfs/dbraw/zinc/55/06/28/861550628.db2.gz SWTNJCCOJSVPLX-SECBINFHSA-N -1 1 300.236 1.593 20 0 DDADMM COC(=O)[C@@H]1C[C@H]1CNC(=O)c1ccc2cccnc2c1[O-] ZINC001149329970 861551110 /nfs/dbraw/zinc/55/11/10/861551110.db2.gz VDRYEKWTFRPXJR-CMPLNLGQSA-N -1 1 300.314 1.479 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2[nH]ccc2cn1 ZINC001154953645 861597287 /nfs/dbraw/zinc/59/72/87/861597287.db2.gz UNXUVXGFBKPZEQ-UHFFFAOYSA-N -1 1 300.234 1.414 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccnc4ccc(O)cc43)c1-2 ZINC001155129031 861759707 /nfs/dbraw/zinc/75/97/07/861759707.db2.gz BNKSKJKLQBFLQI-UHFFFAOYSA-N -1 1 320.312 1.541 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CC[C@@H](CO)[C@H](O)C1 ZINC001149650341 861783771 /nfs/dbraw/zinc/78/37/71/861783771.db2.gz LULPGOVSCCKYPL-MEDUHNTESA-N -1 1 317.341 1.066 20 0 DDADMM CN1C[C@@H](NC(=O)c2cc3cccc(O)c3cc2[O-])CCC1=O ZINC001149653770 861787028 /nfs/dbraw/zinc/78/70/28/861787028.db2.gz QIKSWJPRJGZQPB-NSHDSACASA-N -1 1 314.341 1.602 20 0 DDADMM CC(C)[C@@H](C)CC(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001410187269 848869816 /nfs/dbraw/zinc/86/98/16/848869816.db2.gz JTFKBCRDEDZPGB-NWDGAFQWSA-N -1 1 307.394 1.704 20 0 DDADMM CC[C@H](C)[C@H](C[N-]S(=O)(=O)c1cc(OC)ns1)OC ZINC001364863989 849220914 /nfs/dbraw/zinc/22/09/14/849220914.db2.gz BBUOEEIDLBUWJX-IUCAKERBSA-N -1 1 308.425 1.491 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(C)CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001410442087 849284402 /nfs/dbraw/zinc/28/44/02/849284402.db2.gz RBDFDMNHEPLEJY-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM CCOC(=O)C(CC)(CC)[N-]S(=O)(=O)Cc1nocc1C ZINC001434574507 849396679 /nfs/dbraw/zinc/39/66/79/849396679.db2.gz PQSCSFCLGGNJCO-UHFFFAOYSA-N -1 1 318.395 1.524 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(C)nn(C)c2Cl)n1 ZINC001411367593 851768404 /nfs/dbraw/zinc/76/84/04/851768404.db2.gz DMJHKQWZQTXEOD-UHFFFAOYSA-N -1 1 311.729 1.534 20 0 DDADMM Cc1ccc2ccc(C(=O)n3c(N)csc3=N)c([O-])c2n1 ZINC001155652339 862341915 /nfs/dbraw/zinc/34/19/15/862341915.db2.gz QHHISYMZIHNAKH-UHFFFAOYSA-N -1 1 300.343 1.862 20 0 DDADMM Cc1ccc2ccc(C(=O)NC[C@@H]3CNC(=O)O3)c([O-])c2n1 ZINC001155661520 862350912 /nfs/dbraw/zinc/35/09/12/862350912.db2.gz YYUHPDSNFAAJLW-SNVBAGLBSA-N -1 1 301.302 1.087 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ccnn2CC)[n-]c1Cl ZINC001411547870 853341062 /nfs/dbraw/zinc/34/10/62/853341062.db2.gz LIWJLEUFDFLKDE-UHFFFAOYSA-N -1 1 311.729 1.709 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C[C@H](C)COC)[n-]c1Cl ZINC001411549600 853345840 /nfs/dbraw/zinc/34/58/40/853345840.db2.gz SPRCRVHFLBIEHX-ZETCQYMHSA-N -1 1 303.746 1.851 20 0 DDADMM CN(C)C(=O)CN1CC2(C1)CN(Cc1cccc([O-])c1Cl)C2 ZINC001275565313 853361297 /nfs/dbraw/zinc/36/12/97/853361297.db2.gz ABIIPLBNBFDSJG-UHFFFAOYSA-N -1 1 323.824 1.251 20 0 DDADMM NC(=O)Cc1csc([N-]C(=O)c2ocnc2C(F)(F)F)n1 ZINC001411695126 853595921 /nfs/dbraw/zinc/59/59/21/853595921.db2.gz GSDWWZXALKIYDR-UHFFFAOYSA-N -1 1 320.252 1.430 20 0 DDADMM COC[C@@](C)(O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001411830255 853796923 /nfs/dbraw/zinc/79/69/23/853796923.db2.gz AEBYMJWUCJOESE-LBPRGKRZSA-N -1 1 307.268 1.538 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccccn2)C1)c1ccc(F)c([O-])c1 ZINC001411936412 853998484 /nfs/dbraw/zinc/99/84/84/853998484.db2.gz IQCNETRSUVALNA-GFCCVEGCSA-N -1 1 301.321 1.935 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCN(C(C)(C)C)C2=O)c(=O)[n-]1 ZINC001412276126 854399381 /nfs/dbraw/zinc/39/93/81/854399381.db2.gz PEKKGQONXYPXBW-SECBINFHSA-N -1 1 324.406 1.033 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(C)oc2C)n[n-]1 ZINC001412327104 854444794 /nfs/dbraw/zinc/44/47/94/854444794.db2.gz LPQLYDPVILTSHY-MRVPVSSYSA-N -1 1 306.322 1.682 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(C)oc2C)[n-]1 ZINC001412327104 854444797 /nfs/dbraw/zinc/44/47/97/854444797.db2.gz LPQLYDPVILTSHY-MRVPVSSYSA-N -1 1 306.322 1.682 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(C)oc2C)n1 ZINC001412327104 854444801 /nfs/dbraw/zinc/44/48/01/854444801.db2.gz LPQLYDPVILTSHY-MRVPVSSYSA-N -1 1 306.322 1.682 20 0 DDADMM CCC(C)(C)OC1CN(C(=O)c2cnc(SC)[n-]c2=O)C1 ZINC001412342820 854457364 /nfs/dbraw/zinc/45/73/64/854457364.db2.gz VSMGVXKNQZLSNH-UHFFFAOYSA-N -1 1 311.407 1.934 20 0 DDADMM C[C@]1(CO)C[C@H](O)CN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001412379972 854491763 /nfs/dbraw/zinc/49/17/63/854491763.db2.gz MACVNFFNDARMST-YVEFUNNKSA-N -1 1 317.341 1.209 20 0 DDADMM CC(=O)Nc1cc(NC(=O)c2cnncc2[O-])c(F)cc1F ZINC001412471222 854591659 /nfs/dbraw/zinc/59/16/59/854591659.db2.gz LYXADADSUNAKNI-UHFFFAOYSA-N -1 1 308.244 1.671 20 0 DDADMM O=C(NC[C@@H]1CCN(CC(F)F)C1)c1c(F)ccc([O-])c1F ZINC001412495316 854625483 /nfs/dbraw/zinc/62/54/83/854625483.db2.gz DPBAONOXJFESDY-QMMMGPOBSA-N -1 1 320.286 1.987 20 0 DDADMM COC(=O)c1ncoc1CNC(=O)c1ccc(Cl)cc1[O-] ZINC001412500843 854630277 /nfs/dbraw/zinc/63/02/77/854630277.db2.gz JMRUMVGCMDXLMH-UHFFFAOYSA-N -1 1 310.693 1.750 20 0 DDADMM CN(C)C(=O)Cn1cc(NC(=O)c2ccc(F)c([O-])c2)cn1 ZINC001412511087 854642319 /nfs/dbraw/zinc/64/23/19/854642319.db2.gz YLWFLPMWVWYNJR-UHFFFAOYSA-N -1 1 306.297 1.068 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C(F)(F)F)ncn1)c1nn[n-]n1 ZINC001412648010 854877692 /nfs/dbraw/zinc/87/76/92/854877692.db2.gz XQIUOHSKCHVYMF-LURJTMIESA-N -1 1 315.259 1.280 20 0 DDADMM O=C(N[C@H]1C[C@@H](O)[C@@H](O)C1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001412653041 854883675 /nfs/dbraw/zinc/88/36/75/854883675.db2.gz VHOAMZHOOMTZAQ-FJPPDTINSA-N -1 1 305.252 1.025 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cnc(Cl)cc1Cl)[C@H](C)O ZINC001328000507 862623581 /nfs/dbraw/zinc/62/35/81/862623581.db2.gz LRFQBJJFISHOPO-POYBYMJQSA-N -1 1 313.206 1.826 20 0 DDADMM CCn1nnc(C)c1CSCCC[N-]C(=O)C(F)(F)F ZINC001325360526 867967974 /nfs/dbraw/zinc/96/79/74/867967974.db2.gz ABIOFFOKZXQAHX-UHFFFAOYSA-N -1 1 310.345 1.908 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nnc3ccc(C)cn32)n1 ZINC001156028061 862646865 /nfs/dbraw/zinc/64/68/65/862646865.db2.gz NSYUKNRXWHKPAS-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM C[C@H](NC(=O)c1cccc([O-])c1F)C(=O)NCC(F)(F)F ZINC001362132856 883120070 /nfs/dbraw/zinc/12/00/70/883120070.db2.gz HGRQHQJOGBGYGH-LURJTMIESA-N -1 1 308.231 1.328 20 0 DDADMM O=C(N[C@H](CO)C[C@H](O)c1ccccc1)c1cccc([O-])c1F ZINC001412777785 855259122 /nfs/dbraw/zinc/25/91/22/855259122.db2.gz UNMFUPIRRMRFPU-WFASDCNBSA-N -1 1 319.332 1.746 20 0 DDADMM CNC(=O)[C@H]1CCC[C@H]1NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001151212361 862683287 /nfs/dbraw/zinc/68/32/87/862683287.db2.gz HAIBKRAVROFHFK-WCQYABFASA-N -1 1 304.346 1.158 20 0 DDADMM CCOC(=O)CC[C@@H](C)NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001151245803 862701214 /nfs/dbraw/zinc/70/12/14/862701214.db2.gz QLIQOLSWVCOKIH-LLVKDONJSA-N -1 1 307.346 1.975 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cnc(CC)s2)n[n-]1 ZINC001413273824 856599851 /nfs/dbraw/zinc/59/98/51/856599851.db2.gz ROGOWYTUCDQEGB-SSDOTTSWSA-N -1 1 323.378 1.491 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cnc(CC)s2)[n-]1 ZINC001413273824 856599855 /nfs/dbraw/zinc/59/98/55/856599855.db2.gz ROGOWYTUCDQEGB-SSDOTTSWSA-N -1 1 323.378 1.491 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cnc(CC)s2)n1 ZINC001413273824 856599857 /nfs/dbraw/zinc/59/98/57/856599857.db2.gz ROGOWYTUCDQEGB-SSDOTTSWSA-N -1 1 323.378 1.491 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2c(C)noc2C)n[n-]1 ZINC001413274077 856599965 /nfs/dbraw/zinc/59/99/65/856599965.db2.gz UMCBGNFDWSGLRJ-QMMMGPOBSA-N -1 1 321.337 1.006 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2c(C)noc2C)[n-]1 ZINC001413274077 856599970 /nfs/dbraw/zinc/59/99/70/856599970.db2.gz UMCBGNFDWSGLRJ-QMMMGPOBSA-N -1 1 321.337 1.006 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2c(C)noc2C)n1 ZINC001413274077 856599977 /nfs/dbraw/zinc/59/99/77/856599977.db2.gz UMCBGNFDWSGLRJ-QMMMGPOBSA-N -1 1 321.337 1.006 20 0 DDADMM CSCC[C@H](NC(=O)C[C@@H]1CCCC[C@H]1O)c1nn[n-]n1 ZINC001413299391 856621981 /nfs/dbraw/zinc/62/19/81/856621981.db2.gz OHLODYQIQFVEBU-GARJFASQSA-N -1 1 313.427 1.051 20 0 DDADMM COc1cccc([C@H](CNC(=O)c2ccc([O-])cn2)OC)c1 ZINC001413311654 856632324 /nfs/dbraw/zinc/63/23/24/856632324.db2.gz KXKOCYVGYVBHIW-HNNXBMFYSA-N -1 1 302.330 1.913 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])cn2)C[C@@H](CC(F)(F)F)O1 ZINC001413314070 856634242 /nfs/dbraw/zinc/63/42/42/856634242.db2.gz AEJJQCUIPFJCNK-PSASIEDQSA-N -1 1 304.268 1.969 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)C2(CO)CCCC2)c1 ZINC001413401693 856766068 /nfs/dbraw/zinc/76/60/68/856766068.db2.gz IIPOLALGIMLJPT-UHFFFAOYSA-N -1 1 315.391 1.326 20 0 DDADMM Cc1ccccc1CO[C@H](C)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001413510148 856910176 /nfs/dbraw/zinc/91/01/76/856910176.db2.gz OKLRPRYWXCXMQF-GFCCVEGCSA-N -1 1 315.377 1.609 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC[C@@H]1CNC(=O)C1 ZINC001413516984 856921029 /nfs/dbraw/zinc/92/10/29/856921029.db2.gz KEJBHBJQEYXVAL-JTQLQIEISA-N -1 1 320.393 1.044 20 0 DDADMM O=C(c1ncccc1[O-])N1CC2(C1)CCN(Cc1ccon1)C2 ZINC001072653442 857557734 /nfs/dbraw/zinc/55/77/34/857557734.db2.gz BXUOKYXTTRDZJP-UHFFFAOYSA-N -1 1 314.345 1.123 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@@H]1CCC[C@H](OC)C1 ZINC001328384050 862941324 /nfs/dbraw/zinc/94/13/24/862941324.db2.gz YVBLBNJSUSTRFV-NEPJUHHUSA-N -1 1 301.383 1.971 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCCOc1ccccc1 ZINC001123582630 859311242 /nfs/dbraw/zinc/31/12/42/859311242.db2.gz LGXKCTOAOGUISI-UHFFFAOYSA-N -1 1 319.386 1.978 20 0 DDADMM O=C(C=Cc1ccnc(Cl)c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123870106 859449912 /nfs/dbraw/zinc/44/99/12/859449912.db2.gz YHKFDAFSPBRZFX-YOLVWIGZSA-N -1 1 304.741 1.277 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CC[C@H]2OCC[P@](=O)([O-])O)C1 ZINC001224606217 881466781 /nfs/dbraw/zinc/46/67/81/881466781.db2.gz MTXXGMRJLBITQQ-SNVBAGLBSA-N -1 1 321.310 1.580 20 0 DDADMM [O-]c1cccnc1CN1CCn2cnc(COCC3CC3)c2C1 ZINC001138392804 860089190 /nfs/dbraw/zinc/08/91/90/860089190.db2.gz MPQGIZBLAGDUFO-UHFFFAOYSA-N -1 1 314.389 1.926 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(Cc2ncccc2[O-])C[C@@H]1CO ZINC001138394712 860089717 /nfs/dbraw/zinc/08/97/17/860089717.db2.gz LIVXDWWEMJYTBE-GFCCVEGCSA-N -1 1 323.393 1.201 20 0 DDADMM CC(C)(C)N1CC(OCCc2ccc(S(=O)(=O)[O-])cc2)C1 ZINC001224900576 881577482 /nfs/dbraw/zinc/57/74/82/881577482.db2.gz PUQVZVOUVIZONU-UHFFFAOYSA-N -1 1 313.419 1.975 20 0 DDADMM CS(=O)(=O)c1cc([N-]C(=O)c2ocnc2C(F)F)ccn1 ZINC001361403361 881581726 /nfs/dbraw/zinc/58/17/26/881581726.db2.gz RRNRXZVFIZVSPO-UHFFFAOYSA-N -1 1 317.273 1.663 20 0 DDADMM CS(=O)(=O)c1ccc(Cl)c(C(=O)[N-]c2ccon2)n1 ZINC001361414620 881607552 /nfs/dbraw/zinc/60/75/52/881607552.db2.gz ZIHASTCMKUWKSO-UHFFFAOYSA-N -1 1 301.711 1.379 20 0 DDADMM C[C@H](C(=O)OCC(=O)c1ccc([O-])cc1O)C(=O)OC(C)(C)C ZINC001328651707 863131614 /nfs/dbraw/zinc/13/16/14/863131614.db2.gz BETCLWHQLUPFEA-SECBINFHSA-N -1 1 324.329 1.802 20 0 DDADMM CCN(CCC(=O)OC)C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152679828 863488052 /nfs/dbraw/zinc/48/80/52/863488052.db2.gz QWVNKKORQOVSPX-UHFFFAOYSA-N -1 1 318.329 1.671 20 0 DDADMM O=C(c1c[nH]c(=O)c2ccccc12)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001153000441 863645314 /nfs/dbraw/zinc/64/53/14/863645314.db2.gz DLAQADIRPKOAFH-SECBINFHSA-N -1 1 310.317 1.083 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1nc2ccccc2o1 ZINC001153587081 863962304 /nfs/dbraw/zinc/96/23/04/863962304.db2.gz PVIAOHALXJCDRS-UHFFFAOYSA-N -1 1 312.245 1.109 20 0 DDADMM O=C(CC1C(=O)N=CNC1=O)Nc1cc(F)c([O-])cc1Cl ZINC001157899561 864238038 /nfs/dbraw/zinc/23/80/38/864238038.db2.gz JJIKEGQOQYVERK-UHFFFAOYSA-N -1 1 313.672 1.567 20 0 DDADMM O=S(=O)([N-]CCN1CC=CC1)c1ccc(F)c(F)c1F ZINC001330377288 864285304 /nfs/dbraw/zinc/28/53/04/864285304.db2.gz DKONYJPPICUHJE-UHFFFAOYSA-N -1 1 306.309 1.254 20 0 DDADMM CCc1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1CC ZINC001154013969 864315575 /nfs/dbraw/zinc/31/55/75/864315575.db2.gz SKCMYTULFJKRIQ-UHFFFAOYSA-N -1 1 317.393 1.155 20 0 DDADMM Cc1cnc(Nc2[n-]c(=O)nc3nc[nH]c32)nc1NCC1CC1 ZINC001159192757 865157326 /nfs/dbraw/zinc/15/73/26/865157326.db2.gz XJORLMPGFKAROW-UHFFFAOYSA-N -1 1 312.337 1.722 20 0 DDADMM COc1ccc2ccnc(Nc3c(O)[nH]c(=O)[n-]c3=S)c2c1 ZINC001160853034 866151214 /nfs/dbraw/zinc/15/12/14/866151214.db2.gz JBSFQPUNIFMZNN-SNVBAGLBSA-N -1 1 316.342 1.191 20 0 DDADMM CCc1cc2c(ccnc2Nc2c(O)[nH]c(=O)[n-]c2=S)o1 ZINC001160857691 866153017 /nfs/dbraw/zinc/15/30/17/866153017.db2.gz IKFKONCSVNVPLM-VIFPVBQESA-N -1 1 304.331 1.338 20 0 DDADMM CC(C)n1ncnc1CNCCc1ccc(OCC(=O)[O-])cc1 ZINC001334017894 867240077 /nfs/dbraw/zinc/24/00/77/867240077.db2.gz YEHOKLRTTBOXES-UHFFFAOYSA-N -1 1 318.377 1.655 20 0 DDADMM O=C(NCCCCN1CCOCC1)c1cc(F)cc(F)c1[O-] ZINC001334058391 867270335 /nfs/dbraw/zinc/27/03/35/867270335.db2.gz UZDIDPJBKFNBNJ-UHFFFAOYSA-N -1 1 314.332 1.513 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2ncc(-c3ccccc3)o2)n[n-]1 ZINC001324932353 867639454 /nfs/dbraw/zinc/63/94/54/867639454.db2.gz FBORGCPGOMGTAM-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM C[C@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])n1cccc1 ZINC001324967820 867666668 /nfs/dbraw/zinc/66/66/68/867666668.db2.gz PMWFWZZJFDCYPA-SECBINFHSA-N -1 1 309.347 1.041 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[C@@H](c3nnc[nH]3)C2)c1 ZINC001162629152 867689898 /nfs/dbraw/zinc/68/98/98/867689898.db2.gz HHXYJXODERPWLW-SNVBAGLBSA-N -1 1 300.318 1.261 20 0 DDADMM CCCCNC(=O)CSc1nc([O-])cc(=O)n1CCCC ZINC001334736975 867802198 /nfs/dbraw/zinc/80/21/98/867802198.db2.gz FLEAKCJBGLTGKA-UHFFFAOYSA-N -1 1 313.423 1.551 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1c(CC)noc1CC ZINC001325263869 867898303 /nfs/dbraw/zinc/89/83/03/867898303.db2.gz CDZAHAHLXFDMCF-UHFFFAOYSA-N -1 1 312.366 1.731 20 0 DDADMM CCCCNC(=O)CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC001335259895 868184276 /nfs/dbraw/zinc/18/42/76/868184276.db2.gz OBGHMSXZIRAHFB-LLVKDONJSA-N -1 1 308.382 1.244 20 0 DDADMM CC(C)c1nc2ncnc(NCC[N-]C(=O)C(F)(F)F)c2[nH]1 ZINC001164244729 869052511 /nfs/dbraw/zinc/05/25/11/869052511.db2.gz MEQWHBVORJSMOO-UHFFFAOYSA-N -1 1 316.287 1.567 20 0 DDADMM COCCCOc1cccc(CNC(=O)c2cnncc2[O-])c1 ZINC001337146531 869347229 /nfs/dbraw/zinc/34/72/29/869347229.db2.gz GOQGINQTCFAIPH-UHFFFAOYSA-N -1 1 317.345 1.528 20 0 DDADMM CN(Cc1cnc[nH]1)C(=O)c1nn(-c2cccc(F)c2)cc1[O-] ZINC001166402160 869983414 /nfs/dbraw/zinc/98/34/14/869983414.db2.gz LHCAGDQQQZCYJN-UHFFFAOYSA-N -1 1 315.308 1.712 20 0 DDADMM O=C(NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1)C1CCC=CCC1 ZINC001317033243 870141286 /nfs/dbraw/zinc/14/12/86/870141286.db2.gz GRELAWKYGBNETM-CYBMUJFWSA-N -1 1 319.409 1.241 20 0 DDADMM COC[C@@H]1CN(Cc2ccc(C(=O)[O-])cc2)Cc2cn(C)nc21 ZINC001204151425 870963940 /nfs/dbraw/zinc/96/39/40/870963940.db2.gz ISVVOJWKWPLDTN-HNNXBMFYSA-N -1 1 315.373 1.864 20 0 DDADMM COC[C@@H]1Cn2nccc2CN(Cc2ccc(C(=O)[O-])cc2)C1 ZINC001204155064 870967347 /nfs/dbraw/zinc/96/73/47/870967347.db2.gz SNKOHFOMCHSPLV-AWEZNQCLSA-N -1 1 315.373 1.860 20 0 DDADMM O=C(C=Cc1ccc2ccccc2n1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001302936420 871089438 /nfs/dbraw/zinc/08/94/38/871089438.db2.gz HWADGCIFJSDDNZ-MEJMFZKBSA-N -1 1 320.356 1.777 20 0 DDADMM O=S(=O)([N-]Cc1ccncn1)c1cnc(Cl)cc1Cl ZINC001309552368 871580473 /nfs/dbraw/zinc/58/04/73/871580473.db2.gz MDYAFRGXXVDKBI-UHFFFAOYSA-N -1 1 319.173 1.657 20 0 DDADMM O=C(NCC1CC1)[C@H]1CSCN1C(=O)c1ccc(F)c([O-])c1 ZINC001361878679 882560907 /nfs/dbraw/zinc/56/09/07/882560907.db2.gz UDNOABBLZHPJLE-GFCCVEGCSA-N -1 1 324.377 1.573 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1CCC[C@@H](C)C1 ZINC001342557494 872263166 /nfs/dbraw/zinc/26/31/66/872263166.db2.gz CTVXVDQJQWZQAV-LLVKDONJSA-N -1 1 320.401 1.121 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1CCC[C@@H](C)C1 ZINC001342557494 872263180 /nfs/dbraw/zinc/26/31/80/872263180.db2.gz CTVXVDQJQWZQAV-LLVKDONJSA-N -1 1 320.401 1.121 20 0 DDADMM CCn1ccnc1CN1CCC([N-]C(=O)C(F)(F)F)CC1 ZINC001207146391 873069457 /nfs/dbraw/zinc/06/94/57/873069457.db2.gz PVNPDRBQSCUOAD-UHFFFAOYSA-N -1 1 304.316 1.546 20 0 DDADMM CC1CCN(CCNC(=O)C(=O)[N-]OCc2ccccc2)CC1 ZINC001345018382 873222052 /nfs/dbraw/zinc/22/20/52/873222052.db2.gz CXVVMBQZRJDRMN-UHFFFAOYSA-N -1 1 319.405 1.083 20 0 DDADMM CCC[C@H](C)CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207651219 873442156 /nfs/dbraw/zinc/44/21/56/873442156.db2.gz PHZGMEKRMGPZPX-NWDGAFQWSA-N -1 1 309.414 1.417 20 0 DDADMM CCCC[C@H](C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207980081 873711677 /nfs/dbraw/zinc/71/16/77/873711677.db2.gz UGLINKYOGJZEEM-NWDGAFQWSA-N -1 1 309.414 1.417 20 0 DDADMM COC(=O)CC1(NC(=O)c2cccc([O-])c2F)CCOCC1 ZINC001361983863 882760959 /nfs/dbraw/zinc/76/09/59/882760959.db2.gz RTQIPXLFZKZWSQ-UHFFFAOYSA-N -1 1 311.309 1.373 20 0 DDADMM COC(=O)C(C)(C)c1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001361985477 882763435 /nfs/dbraw/zinc/76/34/35/882763435.db2.gz OGWLTTRCTDSBCJ-UHFFFAOYSA-N -1 1 317.349 1.222 20 0 DDADMM CC(=Cc1ccco1)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001347307478 874094308 /nfs/dbraw/zinc/09/43/08/874094308.db2.gz SWVATCAORZAAIY-KGTBHZDVSA-N -1 1 301.350 1.677 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1ccc(N(C)C)nc1 ZINC001347327151 874100584 /nfs/dbraw/zinc/10/05/84/874100584.db2.gz NCPXBLXZMUDCGW-UHFFFAOYSA-N -1 1 319.390 1.170 20 0 DDADMM COC/C=C/C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001347468258 874152493 /nfs/dbraw/zinc/15/24/93/874152493.db2.gz VXEVZYKDKUXDBA-AATRIKPKSA-N -1 1 307.350 1.279 20 0 DDADMM O=C(c1ccc2oc(=O)nc-2[n-]1)N1CCC(c2cnc[nH]2)CC1 ZINC001347654794 874230621 /nfs/dbraw/zinc/23/06/21/874230621.db2.gz JQBHDBCZTOFIAS-UHFFFAOYSA-N -1 1 313.317 1.671 20 0 DDADMM CO[C@H]1C[C@H](N(C)C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C12CCC2 ZINC001348716148 874735682 /nfs/dbraw/zinc/73/56/82/874735682.db2.gz HAAGPSFQZRATHA-UWVGGRQHSA-N -1 1 323.418 1.809 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc(F)c(CO)c2)c1 ZINC001209880495 875152940 /nfs/dbraw/zinc/15/29/40/875152940.db2.gz MXHBCIADRWQNRF-UHFFFAOYSA-N -1 1 311.338 1.283 20 0 DDADMM Nc1ccc(F)cc1S(=O)(=O)[N-]c1ccc(F)c(CO)c1 ZINC001209882826 875153459 /nfs/dbraw/zinc/15/34/59/875153459.db2.gz XJSAXTWDSQIQIB-UHFFFAOYSA-N -1 1 314.313 1.840 20 0 DDADMM C[C@H]1CCCN(C(=O)CCNC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC001349669926 875296365 /nfs/dbraw/zinc/29/63/65/875296365.db2.gz XFBXZPGSVFYTAW-LBPRGKRZSA-N -1 1 318.373 1.340 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2ccc(O)c(CO)c2)c1 ZINC001216142024 876874392 /nfs/dbraw/zinc/87/43/92/876874392.db2.gz SNIBKFIUHPNXJX-UHFFFAOYSA-N -1 1 309.343 1.737 20 0 DDADMM CCOC(=O)c1csc([N-]C(=O)c2nnc(C3CC3)o2)n1 ZINC001362119107 883087044 /nfs/dbraw/zinc/08/70/44/883087044.db2.gz RBZNYGCIJBUDNC-UHFFFAOYSA-N -1 1 308.319 1.833 20 0 DDADMM CC(C)CCC1CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC1 ZINC001353434127 877328204 /nfs/dbraw/zinc/32/82/04/877328204.db2.gz WTVYUWYVCURAQU-INIZCTEOSA-N -1 1 312.410 1.104 20 0 DDADMM O=S(=O)([N-]Cc1ncccn1)c1ccc(Br)o1 ZINC001364915273 889660188 /nfs/dbraw/zinc/66/01/88/889660188.db2.gz QVDMSAHODLUGBB-UHFFFAOYSA-N -1 1 318.152 1.311 20 0 DDADMM CN(Cc1ccccn1)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001355326008 878501432 /nfs/dbraw/zinc/50/14/32/878501432.db2.gz QJYYSUCLTZRLJR-UHFFFAOYSA-N -1 1 304.745 1.285 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CCCC1(C)C ZINC001374271714 912387401 /nfs/dbraw/zinc/38/74/01/912387401.db2.gz PABZPVFQJKOYBZ-QWRGUYRKSA-N -1 1 309.414 1.273 20 0 DDADMM COc1cccc([C@@H](CNC(=O)N[C@@H](C)C(=O)[O-])N(C)C)c1 ZINC000315770646 879174802 /nfs/dbraw/zinc/17/48/02/879174802.db2.gz QWEUESAIOBRGLQ-GXFFZTMASA-N -1 1 309.366 1.070 20 0 DDADMM CN(C(=O)OC(C)(C)C)[C@H]1CC[C@H](OCc2nn[n-]n2)CC1 ZINC001223029445 880790421 /nfs/dbraw/zinc/79/04/21/880790421.db2.gz LNTIYWNINIOVKV-XYPYZODXSA-N -1 1 311.386 1.894 20 0 DDADMM COc1cc(NC(C)=O)ccc1NC(=O)c1n[nH]c(C)c1[O-] ZINC001362174559 883213915 /nfs/dbraw/zinc/21/39/15/883213915.db2.gz BLKZNVDFHBFQAZ-UHFFFAOYSA-N -1 1 304.306 1.643 20 0 DDADMM CCC1CCC(NC(=O)c2cnncc2[O-])(C(=O)OC)CC1 ZINC001362197455 883272267 /nfs/dbraw/zinc/27/22/67/883272267.db2.gz PPAXWBBUCWRSTH-UHFFFAOYSA-N -1 1 307.350 1.424 20 0 DDADMM CC[C@@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C(=O)OC ZINC001362205610 883292952 /nfs/dbraw/zinc/29/29/52/883292952.db2.gz GGYVEFSGZKJIAF-SNVBAGLBSA-N -1 1 306.293 1.761 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCOC2(CCCC2)C1 ZINC001362249203 883405708 /nfs/dbraw/zinc/40/57/08/883405708.db2.gz LPLARKHVARRRFD-SECBINFHSA-N -1 1 323.349 1.118 20 0 DDADMM O=C(Nc1nnn[n-]1)c1c(Cl)cccc1Br ZINC001362252522 883411608 /nfs/dbraw/zinc/41/16/08/883411608.db2.gz DRQCNCRSIPQYFV-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM O=C(Nc1nn[n-]n1)c1c(Cl)cccc1Br ZINC001362252522 883411617 /nfs/dbraw/zinc/41/16/17/883411617.db2.gz DRQCNCRSIPQYFV-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM CCCCOC[C@@H](C)OC[C@H](C)Oc1nc(C(=O)OC)n[n-]1 ZINC001228315086 883442020 /nfs/dbraw/zinc/44/20/20/883442020.db2.gz WSVWQOGMCWTVCX-MNOVXSKESA-N -1 1 315.370 1.580 20 0 DDADMM CCCCOC[C@@H](C)OC[C@H](C)Oc1n[n-]c(C(=O)OC)n1 ZINC001228315086 883442028 /nfs/dbraw/zinc/44/20/28/883442028.db2.gz WSVWQOGMCWTVCX-MNOVXSKESA-N -1 1 315.370 1.580 20 0 DDADMM COCCC(=O)N1CCC(NC(=O)c2ccc(F)c([O-])c2)CC1 ZINC001362267437 883444392 /nfs/dbraw/zinc/44/43/92/883444392.db2.gz IFYRKVKCBYNIEY-UHFFFAOYSA-N -1 1 324.352 1.289 20 0 DDADMM Cn1ccnc1[C@@H](O)C1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001362281953 883473982 /nfs/dbraw/zinc/47/39/82/883473982.db2.gz ZKAYUGDXEHGVDX-AWEZNQCLSA-N -1 1 316.361 1.107 20 0 DDADMM O=C(N[C@@H](CO)[C@@H]1CCOC1)c1cc2ccccc2cc1[O-] ZINC001362298135 883509032 /nfs/dbraw/zinc/50/90/32/883509032.db2.gz GYTJXAYUJRICPQ-HIFRSBDPSA-N -1 1 301.342 1.673 20 0 DDADMM NC(=O)[C@@H]1c2ccccc2CCN1C(=O)c1cccc([O-])c1F ZINC001362300745 883515897 /nfs/dbraw/zinc/51/58/97/883515897.db2.gz WYZLYPANQCDLMA-HNNXBMFYSA-N -1 1 314.316 1.756 20 0 DDADMM Cc1cc(N2CC[C@@H](Oc3cnnc(=S)[n-]3)C2)nc(Cl)n1 ZINC001228641301 883588474 /nfs/dbraw/zinc/58/84/74/883588474.db2.gz CHZQZDQHLIAKRB-MRVPVSSYSA-N -1 1 324.797 1.570 20 0 DDADMM CC[C@@H](NC(=O)c1cnc(SC)[n-]c1=O)C1CCOCC1 ZINC001362394548 883709524 /nfs/dbraw/zinc/70/95/24/883709524.db2.gz SKXQJAMTMFTUMU-LLVKDONJSA-N -1 1 311.407 1.839 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccc(F)cc1F ZINC001362404235 883734222 /nfs/dbraw/zinc/73/42/22/883734222.db2.gz ADDSKVRGLJJWJJ-VHSXEESVSA-N -1 1 321.331 1.988 20 0 DDADMM CCC(CC)(NC(=O)Cn1cc(C2CC2)cn1)c1nn[n-]n1 ZINC001362429735 883790357 /nfs/dbraw/zinc/79/03/57/883790357.db2.gz XHOVKGLDSCAWEB-UHFFFAOYSA-N -1 1 303.370 1.105 20 0 DDADMM COc1cc(NC(=O)CCc2nn[n-]n2)cc(OC)c1Cl ZINC001362539019 884039166 /nfs/dbraw/zinc/03/91/66/884039166.db2.gz WSKKURMLGZKEGV-UHFFFAOYSA-N -1 1 311.729 1.442 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1C[C@@H]2COC[C@H](C1)O2 ZINC001362544844 884055047 /nfs/dbraw/zinc/05/50/47/884055047.db2.gz QXFVZDGQRYBFCP-AOOOYVTPSA-N -1 1 317.263 1.651 20 0 DDADMM CSc1nc(CNC(=O)[C@H](C)c2c(C)noc2C)cc(=O)[n-]1 ZINC001362609025 884211520 /nfs/dbraw/zinc/21/15/20/884211520.db2.gz ASLDPDUFUZPBJR-SSDOTTSWSA-N -1 1 322.390 1.929 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)Cc1cc[nH]n1 ZINC001362614165 884223570 /nfs/dbraw/zinc/22/35/70/884223570.db2.gz JVCRXEZFHOHCRX-WPRPVWTQSA-N -1 1 318.299 1.010 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(OC)cc1C)c1nn[n-]n1 ZINC001362630495 884268942 /nfs/dbraw/zinc/26/89/42/884268942.db2.gz HUIYSFJCPXJEND-UHFFFAOYSA-N -1 1 303.366 1.962 20 0 DDADMM CSc1ncc(C(=O)N[C@@]2(C)CCO[C@@H]2C2CC2)c(=O)[n-]1 ZINC001362644955 884307319 /nfs/dbraw/zinc/30/73/19/884307319.db2.gz BAEZWOFHKDLEJY-YGRLFVJLSA-N -1 1 309.391 1.592 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@H](CO)NC(=O)c2cccc([O-])c2F)C1 ZINC001362655951 884336558 /nfs/dbraw/zinc/33/65/58/884336558.db2.gz GVICIGXIWSFVQC-LOWVWBTDSA-N -1 1 311.353 1.827 20 0 DDADMM CCc1c(NC(=O)CCc2nn[n-]n2)cnn1C(CC)CC ZINC001362767856 884591213 /nfs/dbraw/zinc/59/12/13/884591213.db2.gz PAXARRDNALUAHG-UHFFFAOYSA-N -1 1 305.386 1.891 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)Cc1noc(C)n1 ZINC001362772563 884602670 /nfs/dbraw/zinc/60/26/70/884602670.db2.gz FRIBOZFJNGNTNC-UHFFFAOYSA-N -1 1 309.351 1.176 20 0 DDADMM C[C@H](NC(=O)Cc1ccoc1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382759250 884644440 /nfs/dbraw/zinc/64/44/40/884644440.db2.gz BNFKJTKIGDRIOU-QWRGUYRKSA-N -1 1 317.345 1.246 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(F)c(S(C)(=O)=O)c1 ZINC001362791936 884651386 /nfs/dbraw/zinc/65/13/86/884651386.db2.gz CDQNVWUDIZSQGV-UHFFFAOYSA-N -1 1 313.310 1.219 20 0 DDADMM CCOC(=O)[C@@]1(C)CN(C(=O)c2cccc([O-])c2F)CCO1 ZINC001362792462 884651498 /nfs/dbraw/zinc/65/14/98/884651498.db2.gz GYXLENBGEJLXBB-OAHLLOKOSA-N -1 1 311.309 1.326 20 0 DDADMM CC(C)[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)c1nncn1C ZINC001362801800 884678022 /nfs/dbraw/zinc/67/80/22/884678022.db2.gz XZZPENVUEVKCSL-NSHDSACASA-N -1 1 316.365 1.315 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)c1cn(C(F)(F)CO)nn1 ZINC001362815511 884707835 /nfs/dbraw/zinc/70/78/35/884707835.db2.gz FHLZHMKHMIAZJK-UHFFFAOYSA-N -1 1 320.202 1.056 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)c1c(C)nn(C)c1C)c1nn[n-]n1 ZINC001362851509 884796006 /nfs/dbraw/zinc/79/60/06/884796006.db2.gz BTFKRAMUJKJBFT-VIFPVBQESA-N -1 1 319.413 1.485 20 0 DDADMM CCC(CC)(NC(=O)c1cnn(C(C)(C)C)c1)c1nn[n-]n1 ZINC001362859001 884817223 /nfs/dbraw/zinc/81/72/23/884817223.db2.gz UABJDQFMKOULFS-UHFFFAOYSA-N -1 1 305.386 1.597 20 0 DDADMM CN(C)C(=O)NC1CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001362904920 884942746 /nfs/dbraw/zinc/94/27/46/884942746.db2.gz QLWNAPAJKNXEGM-UHFFFAOYSA-N -1 1 309.341 1.407 20 0 DDADMM CC[C@H](C)Oc1ccc(C(=O)N[C@@H](COC)c2nn[n-]n2)cc1 ZINC001362906850 884947846 /nfs/dbraw/zinc/94/78/46/884947846.db2.gz GHGYLXXIASXDNW-GWCFXTLKSA-N -1 1 319.365 1.495 20 0 DDADMM COC(=O)c1cccc2c1CN(C(=O)c1ncccc1[O-])CC2 ZINC001362933647 885021017 /nfs/dbraw/zinc/02/10/17/885021017.db2.gz QKAIGHPASPJGRN-UHFFFAOYSA-N -1 1 312.325 1.772 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](c1ccccc1)C1(CO)CCC1 ZINC001362952509 885070127 /nfs/dbraw/zinc/07/01/27/885070127.db2.gz AFWYGIZEHDXVEW-OAHLLOKOSA-N -1 1 315.377 1.152 20 0 DDADMM Cc1cnc(C(=O)NCCc2cccc3c2OCCO3)c([O-])c1 ZINC001362967677 885110575 /nfs/dbraw/zinc/11/05/75/885110575.db2.gz JIBSECACGVMXKL-UHFFFAOYSA-N -1 1 314.341 1.839 20 0 DDADMM Cn1nccc1[C@@H]1C[C@@H](NC(=O)c2cncc([O-])c2)CCO1 ZINC001362967740 885110931 /nfs/dbraw/zinc/11/09/31/885110931.db2.gz QGVPPVDUESSHKN-FZMZJTMJSA-N -1 1 302.334 1.171 20 0 DDADMM NC(=O)[C@H]1CC[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC001363029793 885270007 /nfs/dbraw/zinc/27/00/07/885270007.db2.gz MRZSKLNQYIOARM-IONNQARKSA-N -1 1 316.279 1.795 20 0 DDADMM CC[C@H](O[C@H]1CCC[C@@H](C)C1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363035376 885287111 /nfs/dbraw/zinc/28/71/11/885287111.db2.gz CQKOUKUKQUABHH-WOPDTQHZSA-N -1 1 323.393 1.878 20 0 DDADMM O=C(NC1CCN(c2ncccn2)CC1)c1ccc(F)c([O-])c1 ZINC001363046939 885325525 /nfs/dbraw/zinc/32/55/25/885325525.db2.gz IAIKHWNHTUZXPV-UHFFFAOYSA-N -1 1 316.336 1.720 20 0 DDADMM CN(C(=O)[C@@H]1C[C@H](NC(=O)OC(C)(C)C)C1(C)C)c1nn[n-]n1 ZINC001363057542 885354547 /nfs/dbraw/zinc/35/45/47/885354547.db2.gz WSXITNDJMJBHBJ-IUCAKERBSA-N -1 1 324.385 1.102 20 0 DDADMM CCC(CC)(NC(=O)C[C@H]1CSCCS1)c1nn[n-]n1 ZINC001363134747 885550820 /nfs/dbraw/zinc/55/08/20/885550820.db2.gz DQAVNJSOOLZXHE-VIFPVBQESA-N -1 1 315.468 1.570 20 0 DDADMM CC(C)(O)CCN1CCN(Cc2ccc(C(=O)[O-])s2)CC1 ZINC001231466315 885684202 /nfs/dbraw/zinc/68/42/02/885684202.db2.gz WXQGHVDGPQTENK-UHFFFAOYSA-N -1 1 312.435 1.725 20 0 DDADMM CCCN(Cc1nc2c(c(=O)[n-]1)COCC2)[C@H](C)C(=O)OCC ZINC001363234160 885782631 /nfs/dbraw/zinc/78/26/31/885782631.db2.gz OZMPMQKGSDIOGC-LLVKDONJSA-N -1 1 323.393 1.419 20 0 DDADMM COC(=O)C1CCN(Cc2ccc(OC)c(C(=O)[O-])c2)CC1 ZINC001231750491 885852600 /nfs/dbraw/zinc/85/26/00/885852600.db2.gz ISTWOSZVFGGQPR-UHFFFAOYSA-N -1 1 307.346 1.778 20 0 DDADMM CS(=O)(=O)NC1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001363435133 886329726 /nfs/dbraw/zinc/32/97/26/886329726.db2.gz XPRJORGGXTZKRB-UHFFFAOYSA-N -1 1 320.361 1.184 20 0 DDADMM CC[C@@H](Oc1ccccc1C)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363492038 886479662 /nfs/dbraw/zinc/47/96/62/886479662.db2.gz WGQMOSRDSLIRKR-LLVKDONJSA-N -1 1 317.345 1.670 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(F)c(O)c1)c1nn[n-]n1 ZINC001363535734 886563218 /nfs/dbraw/zinc/56/32/18/886563218.db2.gz NLQXBXABQULORA-VIFPVBQESA-N -1 1 311.342 1.269 20 0 DDADMM CC(C)C[C@@H](C(=O)N(Cc1nn[n-]n1)CC(C)C)n1ccnc1 ZINC001363543889 886595309 /nfs/dbraw/zinc/59/53/09/886595309.db2.gz KLZISAFVLDZJFH-ZDUSSCGKSA-N -1 1 319.413 1.668 20 0 DDADMM [O-]c1cc(CN2Cc3ccnn3CC[C@@H]2CO)cc(F)c1F ZINC001232974562 886705342 /nfs/dbraw/zinc/70/53/42/886705342.db2.gz XWKNXMTVDSSUOM-GFCCVEGCSA-N -1 1 309.316 1.634 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)Nc2ncnc3c2CCCC3)n[n-]1 ZINC001363744665 887122647 /nfs/dbraw/zinc/12/26/47/887122647.db2.gz PQZBYPJBHVLOOC-SECBINFHSA-N -1 1 316.365 1.823 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)Nc2ncnc3c2CCCC3)n1 ZINC001363744665 887122660 /nfs/dbraw/zinc/12/26/60/887122660.db2.gz PQZBYPJBHVLOOC-SECBINFHSA-N -1 1 316.365 1.823 20 0 DDADMM CCc1noc([C@H]2CC[C@H](NC(=O)c3cncc([O-])c3)C2)n1 ZINC001363806958 887271695 /nfs/dbraw/zinc/27/16/95/887271695.db2.gz YYSFPBCHGLZMMO-ONGXEEELSA-N -1 1 302.334 1.799 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@H](Oc2c(=O)[n-]cnc2C(=O)OC)C1 ZINC001233758325 887286068 /nfs/dbraw/zinc/28/60/68/887286068.db2.gz JQQQVSGIDCEDHW-UWVGGRQHSA-N -1 1 324.333 1.470 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCC(=O)C[C@@H]2C)n[n-]1 ZINC001363890264 887481696 /nfs/dbraw/zinc/48/16/96/887481696.db2.gz XNEAXVFCWFNMJJ-QXEWZRGKSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCC(=O)C[C@@H]2C)[n-]1 ZINC001363890264 887481702 /nfs/dbraw/zinc/48/17/02/887481702.db2.gz XNEAXVFCWFNMJJ-QXEWZRGKSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCC(=O)C[C@@H]2C)n1 ZINC001363890264 887481707 /nfs/dbraw/zinc/48/17/07/887481707.db2.gz XNEAXVFCWFNMJJ-QXEWZRGKSA-N -1 1 322.365 1.164 20 0 DDADMM C[C@H]([C@H](C)N(C)Cc1ccc([O-])c(F)c1F)S(C)(=O)=O ZINC001363894665 887490902 /nfs/dbraw/zinc/49/09/02/887490902.db2.gz FYHYXQMEMYFKJD-DTWKUNHWSA-N -1 1 307.362 1.924 20 0 DDADMM COCC1(CC[N-]S(=O)(=O)c2cc(OC)ns2)CCC1 ZINC001363921544 887545350 /nfs/dbraw/zinc/54/53/50/887545350.db2.gz UBJCLBRNTOCTQW-UHFFFAOYSA-N -1 1 320.436 1.637 20 0 DDADMM Cn1c(NC(=O)c2cnc(C3CC3)[n-]c2=O)nnc1C1CC1 ZINC001363939412 887578949 /nfs/dbraw/zinc/57/89/49/887578949.db2.gz PTNPYMLXIHZULS-UHFFFAOYSA-N -1 1 300.322 1.318 20 0 DDADMM O=C(NC[C@@H]1CCCN1CC(F)F)c1ccc(F)c([O-])c1 ZINC001363989113 887676588 /nfs/dbraw/zinc/67/65/88/887676588.db2.gz CQBQFYNOMFOJAC-JTQLQIEISA-N -1 1 302.296 1.991 20 0 DDADMM CCOC(=O)c1cn(C)nc1NC(=O)c1ccc(F)c([O-])c1 ZINC001364022356 887742198 /nfs/dbraw/zinc/74/21/98/887742198.db2.gz MJRJUUPWUYFMJX-UHFFFAOYSA-N -1 1 307.281 1.694 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364032092 887761347 /nfs/dbraw/zinc/76/13/47/887761347.db2.gz NTMIFDNQHSSICA-JOYOIKCWSA-N -1 1 318.381 1.389 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@]1(C)CC1(C)C ZINC001364063560 887834082 /nfs/dbraw/zinc/83/40/82/887834082.db2.gz GYLXTOPQJNHNHL-ZDUSSCGKSA-N -1 1 315.395 1.301 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2coc(C(F)F)c2)n[n-]1 ZINC001364064044 887834235 /nfs/dbraw/zinc/83/42/35/887834235.db2.gz NNHGIQPQWUBTJL-UHFFFAOYSA-N -1 1 314.248 1.442 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2coc(C(F)F)c2)n1 ZINC001364064044 887834242 /nfs/dbraw/zinc/83/42/42/887834242.db2.gz NNHGIQPQWUBTJL-UHFFFAOYSA-N -1 1 314.248 1.442 20 0 DDADMM CSCC[C@H](NC(=O)Cn1ccnc1C(C)C)c1nn[n-]n1 ZINC001364089804 887893003 /nfs/dbraw/zinc/89/30/03/887893003.db2.gz UOOUGYRKBQOZCB-JTQLQIEISA-N -1 1 323.426 1.130 20 0 DDADMM C[C@H](C(=O)Nc1c[n-][nH]c1=O)N(C(=O)OC(C)(C)C)C1CC1 ZINC001364094112 887902618 /nfs/dbraw/zinc/90/26/18/887902618.db2.gz PTYSJBKOHKWWLA-MRVPVSSYSA-N -1 1 310.354 1.842 20 0 DDADMM CC(C)Cn1cc(NC(=O)N2CCC(c3nn[n-]n3)CC2)cn1 ZINC001364099841 887916327 /nfs/dbraw/zinc/91/63/27/887916327.db2.gz XEOXESFXNVMXKD-UHFFFAOYSA-N -1 1 318.385 1.464 20 0 DDADMM CC[C@@](C)(OC)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001364104204 887927242 /nfs/dbraw/zinc/92/72/42/887927242.db2.gz NKYJCZNNFWFPFC-OUJBWJOFSA-N -1 1 324.343 1.860 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cncc(C)c1)c1ccccc1 ZINC001364128557 887983835 /nfs/dbraw/zinc/98/38/35/887983835.db2.gz HBHKNSPGSXHEJJ-CQSZACIVSA-N -1 1 320.370 1.583 20 0 DDADMM CC(=O)[C@@H](Oc1c(C(N)=O)nc(C)[n-]c1=O)c1ccccc1 ZINC001234470418 888001987 /nfs/dbraw/zinc/00/19/87/888001987.db2.gz SLNGZRNCGYWYTB-GFCCVEGCSA-N -1 1 301.302 1.299 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@@H](C)c2cccnc2Cl)c(=O)[n-]1 ZINC001234470923 888003775 /nfs/dbraw/zinc/00/37/75/888003775.db2.gz ZKNLPWRPVAVAQO-LURJTMIESA-N -1 1 308.725 1.778 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@@H](Oc2c(C(N)=O)nc(C)[n-]c2=O)C1 ZINC001234477570 888010645 /nfs/dbraw/zinc/01/06/45/888010645.db2.gz NQIJHYMNIAJTAJ-VHSXEESVSA-N -1 1 323.349 1.090 20 0 DDADMM CCC[C@H](Oc1[n-]c(=O)c(F)cc1C(=O)OC)C(=O)OCC ZINC001234607439 888136934 /nfs/dbraw/zinc/13/69/34/888136934.db2.gz DLXSTDNFCMXGNU-JTQLQIEISA-N -1 1 315.297 1.824 20 0 DDADMM COc1cncc(N2CCN(C(=O)c3ccc([O-])cn3)CC2)c1 ZINC001364318719 888370591 /nfs/dbraw/zinc/37/05/91/888370591.db2.gz WIBSZKQLMJTVDK-UHFFFAOYSA-N -1 1 314.345 1.153 20 0 DDADMM CS(=O)(=O)c1ccc(-c2ccc(-c3nnn[n-]3)nc2)cc1F ZINC001236111948 889106557 /nfs/dbraw/zinc/10/65/57/889106557.db2.gz IPZGDYROKURQMK-UHFFFAOYSA-N -1 1 319.321 1.471 20 0 DDADMM CS(=O)(=O)c1ccc(-c2ccc(-c3nn[n-]n3)nc2)cc1F ZINC001236111948 889106568 /nfs/dbraw/zinc/10/65/68/889106568.db2.gz IPZGDYROKURQMK-UHFFFAOYSA-N -1 1 319.321 1.471 20 0 DDADMM C[C@@H](OCc1ccc(F)cc1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001364661693 889147147 /nfs/dbraw/zinc/14/71/47/889147147.db2.gz GFTAFWCOCLXAGQ-SNVBAGLBSA-N -1 1 319.340 1.440 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1cccc(F)c1)c1c[nH]nc1Cl ZINC001364783559 889406905 /nfs/dbraw/zinc/40/69/05/889406905.db2.gz HVVGCWXNDSAOAD-SECBINFHSA-N -1 1 319.745 1.214 20 0 DDADMM COc1cc(CS(=O)(=O)[N-][C@@H](C)c2nc(C)no2)sn1 ZINC001364795358 889433386 /nfs/dbraw/zinc/43/33/86/889433386.db2.gz IUFRETIINSCTSL-LURJTMIESA-N -1 1 318.380 1.024 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccccc1)c1c[nH]nc1Cl ZINC001364805347 889453147 /nfs/dbraw/zinc/45/31/47/889453147.db2.gz PSKVYWKATKQSFS-SECBINFHSA-N -1 1 301.755 1.075 20 0 DDADMM CC[C@@H](C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001385629020 889460671 /nfs/dbraw/zinc/46/06/71/889460671.db2.gz WGUPTUGWUDAJDW-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM CN1C(=O)c2ccccc2[C@@H]1C[N-]S(=O)(=O)c1ccns1 ZINC001364901182 889637338 /nfs/dbraw/zinc/63/73/38/889637338.db2.gz MITPBIYDFMPVBY-NSHDSACASA-N -1 1 323.399 1.248 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]3CCC[C@H]3O2)sn1 ZINC001364914874 889658783 /nfs/dbraw/zinc/65/87/83/889658783.db2.gz GWDZNKBHZIMHAX-LPEHRKFASA-N -1 1 318.420 1.388 20 0 DDADMM CC[C@H](F)C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001385762605 889705788 /nfs/dbraw/zinc/70/57/88/889705788.db2.gz HZGKKJVNOWKADQ-WDEREUQCSA-N -1 1 309.341 1.160 20 0 DDADMM Cc1conc1C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC001365001609 889808177 /nfs/dbraw/zinc/80/81/77/889808177.db2.gz QRFWUCZVLDSCSA-UHFFFAOYSA-N -1 1 321.152 1.817 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C2([C@@H]3CCCO3)CCC2)sn1 ZINC001364986986 889822581 /nfs/dbraw/zinc/82/25/81/889822581.db2.gz DNMJAUOKMAHBOS-VIFPVBQESA-N -1 1 318.420 1.532 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1OCc2ccccc21 ZINC001364987252 889825227 /nfs/dbraw/zinc/82/52/27/889825227.db2.gz FEYRDFMFRLDURN-LLVKDONJSA-N -1 1 309.347 1.115 20 0 DDADMM CCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1CC ZINC001416986375 890588592 /nfs/dbraw/zinc/58/85/92/890588592.db2.gz KNWYLKDMMMDZRK-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CN(C)C(=O)c1cccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)c1 ZINC001239925320 890777718 /nfs/dbraw/zinc/77/77/18/890777718.db2.gz SWGXBWKKPCHCLR-UHFFFAOYSA-N -1 1 308.337 1.868 20 0 DDADMM NC(=O)C1CCN(c2ccc(-c3cc(F)ccc3[O-])nn2)CC1 ZINC001240693896 891022681 /nfs/dbraw/zinc/02/26/81/891022681.db2.gz GRJYBKFFZFJPAK-UHFFFAOYSA-N -1 1 316.336 1.690 20 0 DDADMM COc1cc(-c2c(F)ccc([O-])c2F)nc([S@](C)=O)n1 ZINC001241289237 891142432 /nfs/dbraw/zinc/14/24/32/891142432.db2.gz NVDUTPRXJMKWRA-FQEVSTJZSA-N -1 1 300.286 1.873 20 0 DDADMM CCCCC(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001386547248 891186884 /nfs/dbraw/zinc/18/68/84/891186884.db2.gz GAUSXRHXPSVRLR-LBPRGKRZSA-N -1 1 305.378 1.556 20 0 DDADMM O=C(CCC1CC1)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001386553354 891196779 /nfs/dbraw/zinc/19/67/79/891196779.db2.gz WBPUVQYZPFPVBG-CYBMUJFWSA-N -1 1 317.389 1.556 20 0 DDADMM COC(=O)c1nc2ccc(-c3ccc(OC)c(CO)c3)nc2[nH]1 ZINC001241930393 891305284 /nfs/dbraw/zinc/30/52/84/891305284.db2.gz QUJMGXFYOCLNHS-UHFFFAOYSA-N -1 1 313.313 1.912 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2[C@H](C)[C@@H](OC)C2(C)C)sn1 ZINC001365683838 891312408 /nfs/dbraw/zinc/31/24/08/891312408.db2.gz FSBWYYOWGLACFZ-WHGOUJPWSA-N -1 1 320.436 1.490 20 0 DDADMM CCOC(=O)c1cc2[nH]c(-c3cnn(CC(=O)[O-])c3)ccc-2n1 ZINC001242179859 891360922 /nfs/dbraw/zinc/36/09/22/891360922.db2.gz CBPVRYQSRRBLIR-UHFFFAOYSA-N -1 1 314.301 1.688 20 0 DDADMM Cc1cc2c(c(-c3ccc(-n4ccnn4)cc3)n1)C(=O)[N-]C2=O ZINC001242413826 891419904 /nfs/dbraw/zinc/41/99/04/891419904.db2.gz BFPJJCSUZDXDRZ-UHFFFAOYSA-N -1 1 305.297 1.521 20 0 DDADMM COc1cccc(N)c1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244792825 891927508 /nfs/dbraw/zinc/92/75/08/891927508.db2.gz GUPYSWKOCHLBBX-UHFFFAOYSA-N -1 1 323.374 1.720 20 0 DDADMM NC(=O)[C@H]1CCCN1c1cc(-c2cc(Cl)ccc2[O-])ncn1 ZINC001245049807 891980732 /nfs/dbraw/zinc/98/07/32/891980732.db2.gz NFANOMRYXHMAGN-GFCCVEGCSA-N -1 1 318.764 1.957 20 0 DDADMM CN(CCNC(=O)CCc1ccoc1)C(=O)c1ncccc1[O-] ZINC001387680859 893614899 /nfs/dbraw/zinc/61/48/99/893614899.db2.gz VZVUTJPKPGKHHI-UHFFFAOYSA-N -1 1 317.345 1.201 20 0 DDADMM NS(=O)(=O)c1cc2[n-]c(-c3cc[nH]c(=O)c3)nc2cc1Cl ZINC001250532184 894317079 /nfs/dbraw/zinc/31/70/79/894317079.db2.gz TVYDBAAKAMUHHI-UHFFFAOYSA-N -1 1 324.749 1.631 20 0 DDADMM NS(=O)(=O)c1cc2nc(-c3cc[nH]c(=O)c3)[n-]c2cc1Cl ZINC001250532184 894317089 /nfs/dbraw/zinc/31/70/89/894317089.db2.gz TVYDBAAKAMUHHI-UHFFFAOYSA-N -1 1 324.749 1.631 20 0 DDADMM C[C@@H]1CCCC[C@@H]1C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001388026140 894394324 /nfs/dbraw/zinc/39/43/24/894394324.db2.gz JIWHHJMOUFPRJN-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM O=C1N=CN=C([O-])C1c1nc2ccc(N3CCOCC3)cc2[nH]1 ZINC001250874850 894481381 /nfs/dbraw/zinc/48/13/81/894481381.db2.gz ROHAOGFWDJVZLN-UHFFFAOYSA-N -1 1 313.317 1.268 20 0 DDADMM CCC1(C(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001366835698 895084185 /nfs/dbraw/zinc/08/41/85/895084185.db2.gz OBJIWCQAEIJNMY-GFCCVEGCSA-N -1 1 321.425 1.419 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)N[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001374833696 914075702 /nfs/dbraw/zinc/07/57/02/914075702.db2.gz GKLJIHVTWHGAEI-HTQZYQBOSA-N -1 1 323.319 1.083 20 0 DDADMM Cc1nc(CC[N-]S(=O)(=O)c2cc(F)ccc2F)no1 ZINC001258948269 898374320 /nfs/dbraw/zinc/37/43/20/898374320.db2.gz GRLBNLLKWWMEHV-UHFFFAOYSA-N -1 1 303.290 1.177 20 0 DDADMM COC(=O)CS(=O)(=O)[N-][C@@H](c1ncccc1Cl)C1CC1 ZINC001259033277 898433566 /nfs/dbraw/zinc/43/35/66/898433566.db2.gz IYEVCXJXIALKKN-LLVKDONJSA-N -1 1 318.782 1.279 20 0 DDADMM O=c1[nH]cnc([O-])c1NS(=O)(=O)c1cc(F)c(F)cc1F ZINC001259035636 898435666 /nfs/dbraw/zinc/43/56/66/898435666.db2.gz PKJLZJPQHXSIRE-UHFFFAOYSA-N -1 1 321.236 1.106 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccnc(C(N)=O)c2)c(C)c1 ZINC001259096663 898467417 /nfs/dbraw/zinc/46/74/17/898467417.db2.gz AQUMTBAVXGNWIT-UHFFFAOYSA-N -1 1 305.359 1.020 20 0 DDADMM O=S(=O)([N-]Cc1ncc(F)cn1)c1cc(Cl)ccc1F ZINC001259459835 898679826 /nfs/dbraw/zinc/67/98/26/898679826.db2.gz MVLWEKOPKAMMPF-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001299599240 898725679 /nfs/dbraw/zinc/72/56/79/898725679.db2.gz SEXXCIMMMCABTH-NFVXFYCRSA-N -1 1 317.389 1.722 20 0 DDADMM O=c1oc2cc([O-])ccc2cc1NS(=O)(=O)c1cccnc1 ZINC001259602590 898729982 /nfs/dbraw/zinc/72/99/82/898729982.db2.gz KPGCVAXLOLLMII-UHFFFAOYSA-N -1 1 318.310 1.694 20 0 DDADMM O=c1[n-]c(Br)cnc1NS(=O)(=O)C1CCCC1 ZINC001259805105 898814927 /nfs/dbraw/zinc/81/49/27/898814927.db2.gz DABDZVQUAQXAGZ-UHFFFAOYSA-N -1 1 322.184 1.217 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cc(O)c(F)cc2F)cn1 ZINC001259837911 898852525 /nfs/dbraw/zinc/85/25/25/898852525.db2.gz KHQRFENGAWIVGZ-UHFFFAOYSA-N -1 1 303.290 1.688 20 0 DDADMM COC(=O)c1sc2nc(C)ccc2c1[N-]S(N)(=O)=O ZINC001260074096 899038629 /nfs/dbraw/zinc/03/86/29/899038629.db2.gz DJIGOWGCMKUICM-UHFFFAOYSA-N -1 1 301.349 1.007 20 0 DDADMM COC(C)(C[N-]S(=O)(=O)Cc1ccccc1Cl)OC ZINC001260697853 899221277 /nfs/dbraw/zinc/22/12/77/899221277.db2.gz SOZTYKQNGGQFHV-UHFFFAOYSA-N -1 1 307.799 1.768 20 0 DDADMM Cc1cnc([C@@H](C)N(C)CCNC(=O)c2ncccc2[O-])cn1 ZINC001390751571 900097438 /nfs/dbraw/zinc/09/74/38/900097438.db2.gz QWQZOGZHDRABJF-GFCCVEGCSA-N -1 1 315.377 1.308 20 0 DDADMM CN(c1nnc(-c2c[n-][nH]c2=O)n1C)[C@H]1CCc2ccccc2C1 ZINC001262888133 900408246 /nfs/dbraw/zinc/40/82/46/900408246.db2.gz MFDBVMMCBPNHJR-ZDUSSCGKSA-N -1 1 324.388 1.905 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001265180709 901673895 /nfs/dbraw/zinc/67/38/95/901673895.db2.gz ZCQOJJOXKVFPAA-OLZOCXBDSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@H](CNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)N(C)C(=O)c1ncccc1[O-] ZINC001369812252 902013511 /nfs/dbraw/zinc/01/35/11/902013511.db2.gz VABPZCAQFWJNLX-NMKXLXIOSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1ccoc1)NC(=O)c1ncccc1[O-] ZINC001370472309 903239925 /nfs/dbraw/zinc/23/99/25/903239925.db2.gz MJEZDYIHQWSUJM-LLVKDONJSA-N -1 1 317.345 1.200 20 0 DDADMM CSC[C@@H](C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396908656 914708751 /nfs/dbraw/zinc/70/87/51/914708751.db2.gz CMFRITHSYNTXDJ-GHMZBOCLSA-N -1 1 323.418 1.117 20 0 DDADMM CC(C)[C@@H](CNC(=O)CC1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001392203479 903520695 /nfs/dbraw/zinc/52/06/95/903520695.db2.gz SLRRESBLXRBEJZ-CYBMUJFWSA-N -1 1 323.441 1.711 20 0 DDADMM CC[C@H](C)CCC(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001392559978 904469975 /nfs/dbraw/zinc/46/99/75/904469975.db2.gz SPYKVELJJVKCLM-NWDGAFQWSA-N -1 1 307.394 1.848 20 0 DDADMM CCCC(=O)N[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001372023435 906262438 /nfs/dbraw/zinc/26/24/38/906262438.db2.gz ODVADABKDODWIF-LLVKDONJSA-N -1 1 318.377 1.190 20 0 DDADMM O=C(C[C@H]1C=CCCC1)NCCNC(=O)c1ncccc1[O-] ZINC001282983233 906398307 /nfs/dbraw/zinc/39/83/07/906398307.db2.gz IGPRIXKQSNLFIR-LBPRGKRZSA-N -1 1 303.362 1.380 20 0 DDADMM CC[C@@H](C)CC(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001372612312 907768090 /nfs/dbraw/zinc/76/80/90/907768090.db2.gz QWUATXBQICBGCE-UTUOFQBUSA-N -1 1 305.378 1.600 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1CC2(CCC2)C1 ZINC001393962571 908393290 /nfs/dbraw/zinc/39/32/90/908393290.db2.gz CIIQYABFJWXULA-UWVGGRQHSA-N -1 1 307.398 1.073 20 0 DDADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cccs1 ZINC001394197576 909055461 /nfs/dbraw/zinc/05/54/61/909055461.db2.gz ZZHJZSHYHPMXDO-JTQLQIEISA-N -1 1 323.422 1.650 20 0 DDADMM Cc1nscc1C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001416887079 910266795 /nfs/dbraw/zinc/26/67/95/910266795.db2.gz DDSAJACZJCIVHN-QMMMGPOBSA-N -1 1 320.374 1.100 20 0 DDADMM CCCC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CC1CC1 ZINC001395231918 911603310 /nfs/dbraw/zinc/60/33/10/911603310.db2.gz JLIVZCJNTGGFDH-ZDUSSCGKSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1cnc([C@@H](C)N2CCN(C(=O)c3ncccc3[O-])CC2)o1 ZINC001374065991 911784613 /nfs/dbraw/zinc/78/46/13/911784613.db2.gz PTYWGNKXISBHDJ-GFCCVEGCSA-N -1 1 316.361 1.603 20 0 DDADMM CC[C@@H](CNC(=O)[C@H]1C[C@H]2C[C@H]2C1)NC(=O)c1ncccc1[O-] ZINC001375197684 915221325 /nfs/dbraw/zinc/22/13/25/915221325.db2.gz LSRPCGICKQHJPS-LOWDOPEQSA-N -1 1 317.389 1.458 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@H]1CC=CCC1 ZINC001295468533 915823229 /nfs/dbraw/zinc/82/32/29/915823229.db2.gz CASNSOIFSIFVTG-STQMWFEESA-N -1 1 317.389 1.768 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(Cl)c(F)c1N)c1nn[n-]n1 ZINC001296064983 916221339 /nfs/dbraw/zinc/22/13/39/916221339.db2.gz UERNXDJILUZSGR-LURJTMIESA-N -1 1 312.736 1.450 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CC=CCC1 ZINC001296291123 916327361 /nfs/dbraw/zinc/32/73/61/916327361.db2.gz ZEHSZJRVFKVCPE-ZDUSSCGKSA-N -1 1 317.389 1.722 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCCC12CC2 ZINC001377751363 922893653 /nfs/dbraw/zinc/89/36/53/922893653.db2.gz WVDDOVDTMIUGAU-RYUDHWBXSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1cccc([C@@H](C)C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c1 ZINC000622871222 365551576 /nfs/dbraw/zinc/55/15/76/365551576.db2.gz UPXYNPVYROLPRA-LLVKDONJSA-N -1 1 311.345 1.777 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC[C@@H](CC)O3)nc2n1 ZINC000622996977 365590166 /nfs/dbraw/zinc/59/01/66/365590166.db2.gz HQMNJWMMTSYCPM-GHMZBOCLSA-N -1 1 319.365 1.266 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]3C(C)C)nc2n1 ZINC000622996873 365590456 /nfs/dbraw/zinc/59/04/56/365590456.db2.gz DEZMDPFIEJTLGC-GHMZBOCLSA-N -1 1 303.366 1.601 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](CC(C)C)OC)nc2n1 ZINC000622997690 365592114 /nfs/dbraw/zinc/59/21/14/365592114.db2.gz HVHKKEBGHBDXQV-LLVKDONJSA-N -1 1 321.381 1.370 20 0 DDADMM CC(C)COC[C@@H](O)CNC(=O)c1cc(Cl)ccc1[O-] ZINC000081845566 192332118 /nfs/dbraw/zinc/33/21/18/192332118.db2.gz CMFWOPJJGUGGCY-NSHDSACASA-N -1 1 301.770 1.809 20 0 DDADMM Cc1cc(N2CCC[C@@H](NC(=O)c3cncc([O-])c3)C2)n(C)n1 ZINC000278542670 214225573 /nfs/dbraw/zinc/22/55/73/214225573.db2.gz VAYIETPMVWFWDT-CYBMUJFWSA-N -1 1 315.377 1.228 20 0 DDADMM O=C(CCc1ccc(O)cc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474700 361876701 /nfs/dbraw/zinc/87/67/01/361876701.db2.gz XVIFFJGJSTUDQQ-UHFFFAOYSA-N -1 1 317.345 1.820 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)F)c1sccc1Cl ZINC000451458823 231102852 /nfs/dbraw/zinc/10/28/52/231102852.db2.gz GGKSHKIRTLICBP-YFKPBYRVSA-N -1 1 305.755 1.696 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@@H](CO)C1)c1ccc(Br)o1 ZINC000451712308 231169483 /nfs/dbraw/zinc/16/94/83/231169483.db2.gz DRTCRHHZZSPMHE-SFYZADRCSA-N -1 1 324.196 1.481 20 0 DDADMM COCCN(c1ccccc1)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000451940760 231244096 /nfs/dbraw/zinc/24/40/96/231244096.db2.gz VNURBUCLBSIBMA-UHFFFAOYSA-N -1 1 311.363 1.237 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(Br)c(F)c1 ZINC000088086084 185239472 /nfs/dbraw/zinc/23/94/72/185239472.db2.gz MAHGWROOFDDEDO-UHFFFAOYSA-N -1 1 312.160 1.976 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1cccc(C(=O)OC)c1)C(F)F ZINC000451083025 529641368 /nfs/dbraw/zinc/64/13/68/529641368.db2.gz NOVJVHVFCLEXHJ-NSHDSACASA-N -1 1 321.345 1.936 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)[C@@H](O)C(C)C ZINC000416611368 529645598 /nfs/dbraw/zinc/64/55/98/529645598.db2.gz BYABAUFNUIMUGZ-CABZTGNLSA-N -1 1 319.379 1.140 20 0 DDADMM O=C(NCCn1ccnn1)c1cc(Br)ccc1[O-] ZINC000227984248 539266525 /nfs/dbraw/zinc/26/65/25/539266525.db2.gz GHYVHSYYCSVKPH-UHFFFAOYSA-N -1 1 311.139 1.176 20 0 DDADMM C[C@H]1CC[C@@H](N(CCO)C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000454343898 231568537 /nfs/dbraw/zinc/56/85/37/231568537.db2.gz ODERUJNYOAKLLK-NWDGAFQWSA-N -1 1 315.373 1.546 20 0 DDADMM COc1cccc(C(=O)NCCn2c(C)n[n-]c2=S)c1F ZINC000090685141 185309501 /nfs/dbraw/zinc/30/95/01/185309501.db2.gz FVRZCZGZJJSROJ-UHFFFAOYSA-N -1 1 310.354 1.827 20 0 DDADMM CCN(CC1CCC1)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000358181353 299094217 /nfs/dbraw/zinc/09/42/17/299094217.db2.gz KGNNTTFLQLDSKS-UHFFFAOYSA-N -1 1 300.380 1.450 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1(NC(=O)NC(C)C)CCCCC1 ZINC000615219980 362201127 /nfs/dbraw/zinc/20/11/27/362201127.db2.gz DDFMTDDHRRLUPZ-UHFFFAOYSA-N -1 1 323.397 1.773 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1nccnc1NC(=O)C(C)(C)C ZINC000615228505 362203609 /nfs/dbraw/zinc/20/36/09/362203609.db2.gz YDSNDTUTWYWDBF-UHFFFAOYSA-N -1 1 318.337 1.451 20 0 DDADMM CC(=O)N[C@@H](CC(=O)Nc1c(C)[n-][nH]c1=O)c1cccs1 ZINC000615227412 362203821 /nfs/dbraw/zinc/20/38/21/362203821.db2.gz QVCMASBKNSVHCJ-VIFPVBQESA-N -1 1 308.363 1.691 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](Oc1ccccc1)C(C)(C)O ZINC000615218567 362199654 /nfs/dbraw/zinc/19/96/54/362199654.db2.gz MBQADMAGYFGGML-LBPRGKRZSA-N -1 1 305.334 1.581 20 0 DDADMM CN1C[C@@H]([N-]S(=O)(=O)c2sccc2Cl)CCC1=O ZINC000451216360 529821572 /nfs/dbraw/zinc/82/15/72/529821572.db2.gz JAXGPJNZSDQERM-ZETCQYMHSA-N -1 1 308.812 1.301 20 0 DDADMM C[C@H]1OCC[C@]1(O)CNC(=O)c1cc2ccccc2cc1[O-] ZINC000279390820 214844796 /nfs/dbraw/zinc/84/47/96/214844796.db2.gz GDGQBZRTPMGZEW-DIFFPNOSSA-N -1 1 301.342 1.815 20 0 DDADMM Cc1noc(C)c1C(C)(C)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000280766835 282333230 /nfs/dbraw/zinc/33/32/30/282333230.db2.gz ITFHENMFKUDCQA-QMMMGPOBSA-N -1 1 306.370 1.344 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCS(=O)(=O)C[C@@H]1C1CC1 ZINC000615780791 362437357 /nfs/dbraw/zinc/43/73/57/362437357.db2.gz VXPKVUQZNRXNAG-CYBMUJFWSA-N -1 1 313.350 1.181 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ncccc1[O-])c1ccncc1 ZINC000615834750 362458773 /nfs/dbraw/zinc/45/87/73/362458773.db2.gz HTEYAWCDOAQNAB-NSHDSACASA-N -1 1 301.302 1.216 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCC2CCCCC2)n[n-]1 ZINC000615920084 362486506 /nfs/dbraw/zinc/48/65/06/362486506.db2.gz PXRRTXNFIHNSHJ-UHFFFAOYSA-N -1 1 308.382 1.958 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCC2CCCCC2)n1 ZINC000615920084 362486509 /nfs/dbraw/zinc/48/65/09/362486509.db2.gz PXRRTXNFIHNSHJ-UHFFFAOYSA-N -1 1 308.382 1.958 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCCC[C@H]2F)co1 ZINC000412666708 530025828 /nfs/dbraw/zinc/02/58/28/530025828.db2.gz APAMGIVMWNOVEH-NXEZZACHSA-N -1 1 304.343 1.198 20 0 DDADMM C[C@H](Cc1cnn(C)c1)C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000457471474 232061566 /nfs/dbraw/zinc/06/15/66/232061566.db2.gz BMMFXMTXLSHPCT-SNVBAGLBSA-N -1 1 311.349 1.418 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCC(=O)[C@@H](C)C2)c1Br ZINC000616007485 362518407 /nfs/dbraw/zinc/51/84/07/362518407.db2.gz PZQUVTKZQMSHLL-XPUUQOCRSA-N -1 1 314.183 1.846 20 0 DDADMM Cn1cc(CNC(=O)c2ccc(Br)c([O-])c2)nn1 ZINC000389912765 539509532 /nfs/dbraw/zinc/50/95/32/539509532.db2.gz JWKUPDAYOYZSCO-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCOCC1)c1ccc(F)c(F)c1F ZINC000183383588 199585486 /nfs/dbraw/zinc/58/54/86/199585486.db2.gz ZSVKUGSHLDVVDX-MRVPVSSYSA-N -1 1 309.309 1.951 20 0 DDADMM C[C@@](CO)(NC(=O)c1csc(=NC2CC2)[n-]1)c1ccccc1 ZINC000616805482 362827621 /nfs/dbraw/zinc/82/76/21/362827621.db2.gz KXVCGWKCUAKHIG-INIZCTEOSA-N -1 1 317.414 1.777 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3CCCc4n[nH]nc43)[nH][n-]2)o1 ZINC000616823764 362832160 /nfs/dbraw/zinc/83/21/60/362832160.db2.gz XSGPWBLVRSOLBF-SECBINFHSA-N -1 1 312.333 1.577 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)c3c[nH]c(C(N)=O)c3)[nH][n-]2)s1 ZINC000623492003 365897734 /nfs/dbraw/zinc/89/77/34/365897734.db2.gz XQFCZRIGHJBLOZ-UHFFFAOYSA-N -1 1 315.358 1.548 20 0 DDADMM C[C@@H](NCc1nc(COc2ccc(F)cc2)no1)[C@H](C)C(=O)[O-] ZINC000564462206 303999705 /nfs/dbraw/zinc/99/97/05/303999705.db2.gz MIROHDBKMVXNMU-VHSXEESVSA-N -1 1 323.324 1.987 20 0 DDADMM COCC[N@@H+](Cc1cc(=O)[nH]c(C2CC2)n1)[C@@H]1CCO[C@@H]1C ZINC000459432592 233191436 /nfs/dbraw/zinc/19/14/36/233191436.db2.gz SBZCABSWLWJBOQ-BXUZGUMPSA-N -1 1 307.394 1.685 20 0 DDADMM O=S(=O)([N-]CC1=CCCOC1)c1cc(F)c(F)cc1F ZINC000287136932 219336615 /nfs/dbraw/zinc/33/66/15/219336615.db2.gz BNLCSQQGAPWEOE-UHFFFAOYSA-N -1 1 307.293 1.729 20 0 DDADMM CCOC(=O)CSCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000022428117 352177857 /nfs/dbraw/zinc/17/78/57/352177857.db2.gz JPJDOTCEVDPZNS-UHFFFAOYSA-N -1 1 311.359 1.248 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2ccc(F)c(F)c2)n1 ZINC000064317380 352933700 /nfs/dbraw/zinc/93/37/00/352933700.db2.gz UAVUKRNDZXMGMN-LURJTMIESA-N -1 1 303.290 1.696 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]3CCC[C@H]32)o1 ZINC000174364943 198325780 /nfs/dbraw/zinc/32/57/80/198325780.db2.gz BTHHXAQYGBOUJB-GHMZBOCLSA-N -1 1 312.391 1.592 20 0 DDADMM COC(=O)[C@@]1(F)CCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000074829903 353333686 /nfs/dbraw/zinc/33/36/86/353333686.db2.gz BOEVSJMGWVQYCP-CYBMUJFWSA-N -1 1 301.701 1.773 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)[C@@H]2CCCOC2)c1 ZINC000355761057 290997810 /nfs/dbraw/zinc/99/78/10/290997810.db2.gz OKBDGOUZZGTJDD-VHSXEESVSA-N -1 1 317.363 1.160 20 0 DDADMM CCc1nncn1CC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084939111 353730545 /nfs/dbraw/zinc/73/05/45/353730545.db2.gz XRRFOCLWAOODSI-UHFFFAOYSA-N -1 1 320.374 1.565 20 0 DDADMM Cc1cn2c(ncc(C(=O)Nc3ccncc3[O-])c2=O)s1 ZINC000089440751 353772473 /nfs/dbraw/zinc/77/24/73/353772473.db2.gz RTERAWJHZRVTJU-UHFFFAOYSA-N -1 1 302.315 1.417 20 0 DDADMM Cc1nc2ccccc2cc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000124653254 354041448 /nfs/dbraw/zinc/04/14/48/354041448.db2.gz URWDMIQJPUOIML-SNVBAGLBSA-N -1 1 310.361 1.932 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(O)c1C ZINC000119512080 354004771 /nfs/dbraw/zinc/00/47/71/354004771.db2.gz LAZUEAJALROTHS-NSHDSACASA-N -1 1 301.350 1.542 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2cc(C)ns2)CCCCC1 ZINC000601298624 358485181 /nfs/dbraw/zinc/48/51/81/358485181.db2.gz PBZKHNXPDLHNKR-UHFFFAOYSA-N -1 1 318.420 1.606 20 0 DDADMM Cc1nc(C(=O)[N-]c2nncs2)nn1-c1ccc(F)cc1 ZINC000198287762 354301781 /nfs/dbraw/zinc/30/17/81/354301781.db2.gz LAHNIWAVIOJFTJ-UHFFFAOYSA-N -1 1 304.310 1.819 20 0 DDADMM O=C([O-])c1cc(NCCN2CCSCC2)ccc1[N+](=O)[O-] ZINC000230940170 354328100 /nfs/dbraw/zinc/32/81/00/354328100.db2.gz XNOLLMCDDNQXPB-UHFFFAOYSA-N -1 1 311.363 1.754 20 0 DDADMM CN=c1[n-]nc(SC[C@@H](O)CN(C)Cc2ccccc2)s1 ZINC000588687796 354929394 /nfs/dbraw/zinc/92/93/94/354929394.db2.gz GEEVGQIXWQOQGG-LBPRGKRZSA-N -1 1 324.475 1.587 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2cccc(NC(N)=O)c2)c1 ZINC000589428763 354989354 /nfs/dbraw/zinc/98/93/54/354989354.db2.gz OMVWJNCECZYITE-UHFFFAOYSA-N -1 1 321.358 1.987 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2ccc(NC(N)=O)cc2)c1 ZINC000589428756 354989772 /nfs/dbraw/zinc/98/97/72/354989772.db2.gz OKTWIFPMFVIBHQ-UHFFFAOYSA-N -1 1 321.358 1.987 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CNC(=O)CC2CCCC2)n1 ZINC000590355246 355075624 /nfs/dbraw/zinc/07/56/24/355075624.db2.gz LPOMBSQUMZQCPW-UHFFFAOYSA-N -1 1 322.365 1.221 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCCOC2)o1 ZINC000358851554 291055110 /nfs/dbraw/zinc/05/51/10/291055110.db2.gz UUOCEAYLTRJVGO-SNVBAGLBSA-N -1 1 317.363 1.161 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000590760663 355169375 /nfs/dbraw/zinc/16/93/75/355169375.db2.gz IOXHXQHLZVCQIR-ZJUUUORDSA-N -1 1 318.420 1.380 20 0 DDADMM COC(=O)[C@H]1CSCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000591119549 355251359 /nfs/dbraw/zinc/25/13/59/355251359.db2.gz GVUTWHMRWSAGHG-SNVBAGLBSA-N -1 1 313.350 1.510 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CC(=O)N(C(C)(C)C)C2)n1 ZINC000591303200 355284929 /nfs/dbraw/zinc/28/49/29/355284929.db2.gz MHIKQNUHRSXZMR-SECBINFHSA-N -1 1 322.365 1.172 20 0 DDADMM CSCC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000592013445 355463943 /nfs/dbraw/zinc/46/39/43/355463943.db2.gz OYKCEKGVFPSENB-UHFFFAOYSA-N -1 1 300.327 1.742 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)Cc1cccc(F)c1 ZINC000593631616 355948175 /nfs/dbraw/zinc/94/81/75/355948175.db2.gz CWIMQWDFWYCSKN-LLVKDONJSA-N -1 1 307.318 1.146 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCC[C@H](OC)C1 ZINC000593879769 356037932 /nfs/dbraw/zinc/03/79/32/356037932.db2.gz AKGRKQGONXJLKA-NTZNESFSSA-N -1 1 322.361 1.264 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cc(C)c(C)cc1OC ZINC000594701214 356289743 /nfs/dbraw/zinc/28/97/43/356289743.db2.gz WGUOUNBJCSCCFU-UHFFFAOYSA-N -1 1 301.364 1.617 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H](OC)C1CCCC1)C1CC1 ZINC000594760362 356309884 /nfs/dbraw/zinc/30/98/84/356309884.db2.gz YQAZBTCUHAWBAB-QWHCGFSZSA-N -1 1 319.423 1.063 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCC[C@H]2OC)cc1C ZINC000595332655 356451791 /nfs/dbraw/zinc/45/17/91/356451791.db2.gz OZTRFVFLMOEFFI-NXEZZACHSA-N -1 1 317.363 1.220 20 0 DDADMM CC[C@H](c1ccccc1OC)N(C)C(=O)CCc1nn[n-]n1 ZINC000633086190 422751734 /nfs/dbraw/zinc/75/17/34/422751734.db2.gz LAEHEGJPYYFRFF-GFCCVEGCSA-N -1 1 303.366 1.751 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCC[C@](O)(C2CC2)C1 ZINC000618291801 363568748 /nfs/dbraw/zinc/56/87/48/363568748.db2.gz NIIVYNIZQNXTTG-OAHLLOKOSA-N -1 1 323.418 1.590 20 0 DDADMM COCc1ncsc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000347567607 283229846 /nfs/dbraw/zinc/22/98/46/283229846.db2.gz OPTGAGKNONITFO-QMMMGPOBSA-N -1 1 324.362 1.398 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2onc3c2CCCC3)c[n-]1 ZINC000597561495 357228943 /nfs/dbraw/zinc/22/89/43/357228943.db2.gz JAPLNCLHHMIHPY-UHFFFAOYSA-N -1 1 304.306 1.706 20 0 DDADMM COC(=O)[C@H]1C[C@H](NC(=O)c2cc(Cl)c([O-])c(OC)c2)C1 ZINC000598524373 357618618 /nfs/dbraw/zinc/61/86/18/357618618.db2.gz VRSWTJKORRLVKV-KYZUINATSA-N -1 1 313.737 1.736 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@H]1c1ccccc1 ZINC000565409495 304066820 /nfs/dbraw/zinc/06/68/20/304066820.db2.gz AXTJOBRYWNTBNC-VHSXEESVSA-N -1 1 301.302 1.182 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@@H]3CC34CCCC4)CC2)s[n-]1 ZINC000329813093 283278051 /nfs/dbraw/zinc/27/80/51/283278051.db2.gz CQKIPGFKHBPVAZ-JTQLQIEISA-N -1 1 307.423 1.180 20 0 DDADMM COC(=O)[C@@](C)(NC(=O)c1csc(=NC2CC2)[n-]1)C1CC1 ZINC000598785354 357727866 /nfs/dbraw/zinc/72/78/66/357727866.db2.gz FBROVHIWTUUQFN-AWEZNQCLSA-N -1 1 309.391 1.211 20 0 DDADMM CCc1cccc(C[N-]S(=O)(=O)c2cc(C(=O)OC)no2)c1 ZINC000599343716 357903287 /nfs/dbraw/zinc/90/32/87/357903287.db2.gz SOFRWQQVVMEFGL-UHFFFAOYSA-N -1 1 324.358 1.502 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC[C@@H]2CCCOC2)c1 ZINC000599364962 357911070 /nfs/dbraw/zinc/91/10/70/357911070.db2.gz PUSLPBWYCZATHX-JTQLQIEISA-N -1 1 317.363 1.161 20 0 DDADMM CCC(F)(F)C[N-]S(=O)(=O)c1cccnc1C(=O)OC ZINC000599434249 357934452 /nfs/dbraw/zinc/93/44/52/357934452.db2.gz WEOIGPWEJHWMDN-UHFFFAOYSA-N -1 1 308.306 1.192 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)c1 ZINC000599459091 357945741 /nfs/dbraw/zinc/94/57/41/357945741.db2.gz CRPBVQJBPMMIRD-NHRVJRKFSA-N -1 1 317.363 1.156 20 0 DDADMM Cc1nnc(SCC(=O)N=c2nc(CC(C)C)[n-]s2)[nH]1 ZINC000618347820 363599235 /nfs/dbraw/zinc/59/92/35/363599235.db2.gz UIJXBVBEVHFMSC-UHFFFAOYSA-N -1 1 312.424 1.316 20 0 DDADMM Cc1n[nH]c(SCC(=O)N=c2nc(CC(C)C)[n-]s2)n1 ZINC000618347820 363599240 /nfs/dbraw/zinc/59/92/40/363599240.db2.gz UIJXBVBEVHFMSC-UHFFFAOYSA-N -1 1 312.424 1.316 20 0 DDADMM CN1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CC1=O ZINC000180583060 199179741 /nfs/dbraw/zinc/17/97/41/199179741.db2.gz YOYUHVDKFAWGPO-UHFFFAOYSA-N -1 1 302.252 1.325 20 0 DDADMM CCC[C@H](NC(=O)c1cc(CC(C)C)[nH]c(=O)c1)c1nn[n-]n1 ZINC000180553552 199175346 /nfs/dbraw/zinc/17/53/46/199175346.db2.gz CJLPLKDDWDRVKK-LBPRGKRZSA-N -1 1 318.381 1.770 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCCC23CC3)cc1C ZINC000601480806 358571061 /nfs/dbraw/zinc/57/10/61/358571061.db2.gz LPBSSTFGYAJRBQ-SNVBAGLBSA-N -1 1 313.375 1.986 20 0 DDADMM Cc1ncoc1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000358919014 299281144 /nfs/dbraw/zinc/28/11/44/299281144.db2.gz LQUOKJQMCQOSLA-UHFFFAOYSA-N -1 1 313.310 1.746 20 0 DDADMM COC(=O)Cc1c(C)[nH]n(-c2cccc(C(=O)[O-])c2C)c1=O ZINC000601949051 358749720 /nfs/dbraw/zinc/74/97/20/358749720.db2.gz JLZPHFONEVXILX-NSHDSACASA-N -1 1 304.302 1.595 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@H]1O)c1cc(F)c(F)cc1F ZINC000185981196 199911958 /nfs/dbraw/zinc/91/19/58/199911958.db2.gz AMOOZTCAFZDKBQ-GHMZBOCLSA-N -1 1 309.309 1.686 20 0 DDADMM CC(C)COCCC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000618488252 363649871 /nfs/dbraw/zinc/64/98/71/363649871.db2.gz OPGUSYVFFGVDIO-AWEZNQCLSA-N -1 1 303.366 1.468 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2C[C@H]2C(F)(F)F)n[n-]1 ZINC000603156082 359443351 /nfs/dbraw/zinc/44/33/51/359443351.db2.gz QEHGZJZQGWMLAO-FSDSQADBSA-N -1 1 320.271 1.357 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2C[C@H]2C(F)(F)F)[n-]1 ZINC000603156082 359443354 /nfs/dbraw/zinc/44/33/54/359443354.db2.gz QEHGZJZQGWMLAO-FSDSQADBSA-N -1 1 320.271 1.357 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2C[C@H]2C(F)(F)F)n1 ZINC000603156082 359443357 /nfs/dbraw/zinc/44/33/57/359443357.db2.gz QEHGZJZQGWMLAO-FSDSQADBSA-N -1 1 320.271 1.357 20 0 DDADMM CCOc1cc(C(=O)N2CCN(C)C(=O)C2)cc(Cl)c1[O-] ZINC000186829150 200017874 /nfs/dbraw/zinc/01/78/74/200017874.db2.gz IUCPUDNRPXYEGO-UHFFFAOYSA-N -1 1 312.753 1.359 20 0 DDADMM CCO[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccc1 ZINC000187330829 200096192 /nfs/dbraw/zinc/09/61/92/200096192.db2.gz BCAZSISHRQEPDE-AAEUAGOBSA-N -1 1 303.366 1.539 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000605381512 359847749 /nfs/dbraw/zinc/84/77/49/359847749.db2.gz VUYDCNIEIQEDDY-LBPRGKRZSA-N -1 1 315.377 1.861 20 0 DDADMM CNC(=O)CC1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000281144781 216109290 /nfs/dbraw/zinc/10/92/90/216109290.db2.gz ZMECFUARUPHNHR-UHFFFAOYSA-N -1 1 312.316 1.659 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1CCOc2ccccc21 ZINC000605531439 359867843 /nfs/dbraw/zinc/86/78/43/359867843.db2.gz ZRASUGXDQBPSAU-GFCCVEGCSA-N -1 1 313.361 1.652 20 0 DDADMM O=C(NC[C@@H](O)[C@@H]1CCOC1)c1cc2ccccc2cc1[O-] ZINC000605891480 359890548 /nfs/dbraw/zinc/89/05/48/359890548.db2.gz JGDXHYSWATXRJV-CZUORRHYSA-N -1 1 301.342 1.673 20 0 DDADMM COCCn1cc(C(=O)Nc2c([O-])cccc2F)ccc1=O ZINC000608367378 360167655 /nfs/dbraw/zinc/16/76/55/360167655.db2.gz XVAVHZKCAVSWEI-UHFFFAOYSA-N -1 1 306.293 1.592 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)c1nc(C)ncc1Cl ZINC000610231013 360392671 /nfs/dbraw/zinc/39/26/71/360392671.db2.gz STZAXTCESSUYKY-UHFFFAOYSA-N -1 1 323.740 1.899 20 0 DDADMM CCC[C@@H](NC(=O)CC1CCN(OCC)CC1)c1nn[n-]n1 ZINC000612059614 360903887 /nfs/dbraw/zinc/90/38/87/360903887.db2.gz CFJIIYFKLMIQTO-GFCCVEGCSA-N -1 1 310.402 1.211 20 0 DDADMM O=C1Cc2cc(S(=O)(=O)[N-]c3cnc[nH]3)cc3c2N1CCC3 ZINC000565866321 304098620 /nfs/dbraw/zinc/09/86/20/304098620.db2.gz SZOWAQDYCAXHJF-UHFFFAOYSA-N -1 1 318.358 1.046 20 0 DDADMM C[C@@H]1CO[C@@H](CO)CN1C(=O)c1ncc2ccccc2c1[O-] ZINC000612508021 361045974 /nfs/dbraw/zinc/04/59/74/361045974.db2.gz QJXFSAVEKAPQDZ-ZYHUDNBSSA-N -1 1 302.330 1.162 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)c2ccc3oc(=O)nc-3[n-]2)n[nH]1 ZINC000613121518 361277440 /nfs/dbraw/zinc/27/74/40/361277440.db2.gz CXQUCUVRPXJNLC-ZCFIWIBFSA-N -1 1 302.294 1.100 20 0 DDADMM NC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1ccc(Cl)cc1[O-] ZINC000613872310 361612348 /nfs/dbraw/zinc/61/23/48/361612348.db2.gz OTJOMHOHYBOUEC-JQEORGNBSA-N -1 1 308.765 1.772 20 0 DDADMM CCc1noc([C@H](C)S(=O)(=O)c2nnc(C(C)(C)C)[n-]2)n1 ZINC000195171260 201352303 /nfs/dbraw/zinc/35/23/03/201352303.db2.gz LOAYDYVBZXGKSC-ZETCQYMHSA-N -1 1 313.383 1.583 20 0 DDADMM CCc1noc([C@H](C)S(=O)(=O)c2nc(C(C)(C)C)n[n-]2)n1 ZINC000195171260 201352306 /nfs/dbraw/zinc/35/23/06/201352306.db2.gz LOAYDYVBZXGKSC-ZETCQYMHSA-N -1 1 313.383 1.583 20 0 DDADMM CCc1noc([C@H](C)S(=O)(=O)c2n[n-]c(C(C)(C)C)n2)n1 ZINC000195171260 201352311 /nfs/dbraw/zinc/35/23/11/201352311.db2.gz LOAYDYVBZXGKSC-ZETCQYMHSA-N -1 1 313.383 1.583 20 0 DDADMM O=C(NC[C@@H]1CCC(=O)N1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000619137257 363909687 /nfs/dbraw/zinc/90/96/87/363909687.db2.gz BOBPBMHVWFEKDR-QMMMGPOBSA-N -1 1 302.252 1.419 20 0 DDADMM Cc1cnc(C(=O)NC[C@H]2CC(=O)N(C(C)(C)C)C2)c([O-])c1 ZINC000620016468 364245220 /nfs/dbraw/zinc/24/52/20/364245220.db2.gz UJRFVLHAIXQMNN-LLVKDONJSA-N -1 1 305.378 1.472 20 0 DDADMM C[C@H]1CO[C@@H](c2cccc(Cl)c2)CN1Cc1nc(=O)[n-][nH]1 ZINC000275497505 212374119 /nfs/dbraw/zinc/37/41/19/212374119.db2.gz GOUSZGHBVDFNIX-JOYOIKCWSA-N -1 1 308.769 1.713 20 0 DDADMM Cn1ccnc1[C@H]1OCCC[C@@H]1NC(=O)c1cc(F)ccc1[O-] ZINC000275459684 212347736 /nfs/dbraw/zinc/34/77/36/212347736.db2.gz RUYZXOGOKMUUBS-JSGCOSHPSA-N -1 1 319.336 1.915 20 0 DDADMM CC(C)CN(CC(=O)NCC(=O)[O-])Cc1cccc(Cl)c1 ZINC000621773911 365021684 /nfs/dbraw/zinc/02/16/84/365021684.db2.gz GCAFTHFEFDOBDJ-UHFFFAOYSA-N -1 1 312.797 1.999 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2CCc3cc(F)ccc32)n1 ZINC000621791619 365031609 /nfs/dbraw/zinc/03/16/09/365031609.db2.gz CQGIGCJXPJUDNA-SNVBAGLBSA-N -1 1 324.337 1.016 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2CCc3cc(F)ccc32)[n-]1 ZINC000621791619 365031613 /nfs/dbraw/zinc/03/16/13/365031613.db2.gz CQGIGCJXPJUDNA-SNVBAGLBSA-N -1 1 324.337 1.016 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc3c(c2)COC3)o1 ZINC000091675400 193089304 /nfs/dbraw/zinc/08/93/04/193089304.db2.gz ABKWUAFIEGLJCB-UHFFFAOYSA-N -1 1 322.342 1.470 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC[C@@H]1CN1CCOCC1 ZINC000091980778 193135637 /nfs/dbraw/zinc/13/56/37/193135637.db2.gz BRMHAXJPFCHYII-CYBMUJFWSA-N -1 1 308.353 1.468 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccc2[nH]cnc2c1 ZINC000622611475 365451952 /nfs/dbraw/zinc/45/19/52/365451952.db2.gz FTMPYEXNOAOUMN-CQSZACIVSA-N -1 1 319.328 1.595 20 0 DDADMM Cn1nccc1[C@@H](CO)NC(=O)c1cc2ccccc2cc1[O-] ZINC000622693795 365488602 /nfs/dbraw/zinc/48/86/02/365488602.db2.gz WRXMNBPKYOSXSU-CQSZACIVSA-N -1 1 311.341 1.742 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCCc2ccco2)[n-]n1 ZINC000359257781 299381717 /nfs/dbraw/zinc/38/17/17/299381717.db2.gz WYJHLNOOBBTISQ-UHFFFAOYSA-N -1 1 300.362 1.819 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC(Cc2ccccc2F)CC1 ZINC000262196039 203226722 /nfs/dbraw/zinc/22/67/22/203226722.db2.gz HIQIZRITKOPOQX-UHFFFAOYSA-N -1 1 308.353 1.281 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1C[C@H](C(=O)[O-])C[C@H](C)C1 ZINC000635019808 422773854 /nfs/dbraw/zinc/77/38/54/422773854.db2.gz YPOWCXUCVIHASF-VHSXEESVSA-N -1 1 310.316 1.440 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCC(C)(C)C2)o1 ZINC000350837544 284269300 /nfs/dbraw/zinc/26/93/00/284269300.db2.gz UKGDFDTVESIYIB-SECBINFHSA-N -1 1 301.364 1.923 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@H](n2cccn2)C1 ZINC000359395784 299432129 /nfs/dbraw/zinc/43/21/29/299432129.db2.gz MVNSMGKZWAVRKA-NSHDSACASA-N -1 1 309.329 1.207 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)Cc2c(C)nn(C)c2C)c1 ZINC000282725003 217204446 /nfs/dbraw/zinc/20/44/46/217204446.db2.gz HNCRKJHWKSYPNM-UHFFFAOYSA-N -1 1 317.345 1.710 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H](OC)c2cnn(C)c2)c1 ZINC000282745585 217221174 /nfs/dbraw/zinc/22/11/74/217221174.db2.gz JGEFMEIESGGWLN-CYBMUJFWSA-N -1 1 319.317 1.239 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)c1ccco1 ZINC000267074150 205787983 /nfs/dbraw/zinc/78/79/83/205787983.db2.gz RHLPXVOBWPEFNX-LLVKDONJSA-N -1 1 300.336 1.383 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)Cc1c(C)nn(C)c1C)c1nn[n-]n1 ZINC000267560322 206145980 /nfs/dbraw/zinc/14/59/80/206145980.db2.gz GXTDDETYEXUSNH-ZANVPECISA-N -1 1 319.413 1.386 20 0 DDADMM COC(=O)[C@]1(F)CCN(Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000267743886 206255924 /nfs/dbraw/zinc/25/59/24/206255924.db2.gz CSRZQOFRYKCDCL-INIZCTEOSA-N -1 1 321.304 1.586 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cccc(Cl)c1F ZINC000337166542 249371493 /nfs/dbraw/zinc/37/14/93/249371493.db2.gz YIRSXTYHRZDPGH-UHFFFAOYSA-N -1 1 310.716 1.544 20 0 DDADMM CCn1nc(C)c(CN(C)C(=O)CCCc2nn[n-]n2)c1C ZINC000636302790 422794877 /nfs/dbraw/zinc/79/48/77/422794877.db2.gz KILFXELWOUNRGW-UHFFFAOYSA-N -1 1 305.386 1.014 20 0 DDADMM COc1cc2c(c(/C=C\c3cc(=O)n4[n-]cnc4n3)c1)O[C@@H](C)C2 ZINC000352034688 284727393 /nfs/dbraw/zinc/72/73/93/284727393.db2.gz GGLVRZZXWGZXIG-XOULXFPDSA-N -1 1 324.340 1.920 20 0 DDADMM C[C@H](C[C@H]1CCCO1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000338716920 250103420 /nfs/dbraw/zinc/10/34/20/250103420.db2.gz MOCNDZQUHPOTEQ-GHMZBOCLSA-N -1 1 301.346 1.611 20 0 DDADMM COc1ccc(-c2nc([C@H]3CN(C4CC4)CCO3)no2)c([O-])c1 ZINC000273877429 211136061 /nfs/dbraw/zinc/13/60/61/211136061.db2.gz NNLHWDXTWINZND-CQSZACIVSA-N -1 1 317.345 1.987 20 0 DDADMM O=S(=O)([N-][C@H](CCO)C1CCOCC1)c1sccc1F ZINC000338954275 250216590 /nfs/dbraw/zinc/21/65/90/250216590.db2.gz OJZGVCNXKMUJDF-LLVKDONJSA-N -1 1 323.411 1.343 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1ccc(Br)o1)C1CC1 ZINC000339055391 250272876 /nfs/dbraw/zinc/27/28/76/250272876.db2.gz CMYYYOQSUMATBX-QMMMGPOBSA-N -1 1 324.196 1.745 20 0 DDADMM COC(=O)c1csc(S(=O)(=O)[N-][C@H]2CCC[C@H]2F)c1 ZINC000339118576 250302075 /nfs/dbraw/zinc/30/20/75/250302075.db2.gz JJFLTEUVZNWIBH-BDAKNGLRSA-N -1 1 307.368 1.704 20 0 DDADMM COC(=O)c1csc(S(=O)(=O)[N-][C@H]2CCC[C@@H]2F)c1 ZINC000339119056 250302124 /nfs/dbraw/zinc/30/21/24/250302124.db2.gz JJFLTEUVZNWIBH-IUCAKERBSA-N -1 1 307.368 1.704 20 0 DDADMM CN(C)c1ccnc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000339205902 250342045 /nfs/dbraw/zinc/34/20/45/250342045.db2.gz UVJMXIDONKNABA-SNVBAGLBSA-N -1 1 317.349 1.256 20 0 DDADMM O=C(COc1cccc(F)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339187413 250333298 /nfs/dbraw/zinc/33/32/98/250333298.db2.gz AXQYFAMPNFGIJX-UHFFFAOYSA-N -1 1 321.308 1.699 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCC[C@H]2C)o1 ZINC000339510089 250512572 /nfs/dbraw/zinc/51/25/72/250512572.db2.gz LONPASMKPFYJTD-NXEZZACHSA-N -1 1 300.380 1.354 20 0 DDADMM CC(C)[C@@](C)([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(N)=O ZINC000285197657 218330303 /nfs/dbraw/zinc/33/03/03/218330303.db2.gz CVRZFGVAQXNGBU-GFCCVEGCSA-N -1 1 324.324 1.282 20 0 DDADMM O=S(=O)([N-]Cc1cccnn1)c1cc(Cl)ccc1F ZINC000340870149 251253526 /nfs/dbraw/zinc/25/35/26/251253526.db2.gz LWXNYBKANKBITO-UHFFFAOYSA-N -1 1 301.730 1.748 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1ccccc1)c1cc(F)ccc1F ZINC000063000998 184265295 /nfs/dbraw/zinc/26/52/95/184265295.db2.gz UYHGVZNDHBUCQI-ZDUSSCGKSA-N -1 1 313.325 1.977 20 0 DDADMM COC(C)(C)c1nc(=NC(=O)C23CCCN(CCC2)C3)s[n-]1 ZINC000636316042 422800998 /nfs/dbraw/zinc/80/09/98/422800998.db2.gz YLWOGXXWAGDGED-UHFFFAOYSA-N -1 1 324.450 1.656 20 0 DDADMM CC(C)(NC(=O)c1ccc(OC(F)(F)F)cc1)c1nn[n-]n1 ZINC000359802013 207385480 /nfs/dbraw/zinc/38/54/80/207385480.db2.gz SRBUMWUOVDDQSI-UHFFFAOYSA-N -1 1 315.255 1.763 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC2(CCCCC2)CC1 ZINC000352425535 285041633 /nfs/dbraw/zinc/04/16/33/285041633.db2.gz ZSGKFUHVPPOPMP-UHFFFAOYSA-N -1 1 315.377 1.604 20 0 DDADMM O=C(Nc1cccc(C(F)(F)F)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000352430555 285045373 /nfs/dbraw/zinc/04/53/73/285045373.db2.gz HDKUNVDSQVEBAJ-UHFFFAOYSA-N -1 1 323.234 1.689 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(-c2nc[nH]n2)cc1)C1CC1 ZINC000567870438 304249404 /nfs/dbraw/zinc/24/94/04/304249404.db2.gz AGPYVISNSVOFPI-CYBMUJFWSA-N -1 1 322.390 1.638 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC[C@H](C(F)(F)F)[C@@H](CO)C1 ZINC000286124798 218803537 /nfs/dbraw/zinc/80/35/37/218803537.db2.gz GJOMABVECVTTIX-KOLCDFICSA-N -1 1 304.268 1.420 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@]2(C)CCOC2)c(=O)[n-]1 ZINC000157247388 197173249 /nfs/dbraw/zinc/17/32/49/197173249.db2.gz ZTTQKLOHBUMEBM-CQSZACIVSA-N -1 1 311.407 1.028 20 0 DDADMM COCC[C@H](C)CN=c1ccc(C(=O)NC2CCCC2)n[n-]1 ZINC000413497354 224207416 /nfs/dbraw/zinc/20/74/16/224207416.db2.gz WDVDVJAIIBDXIQ-LBPRGKRZSA-N -1 1 306.410 1.655 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@](O)(C(F)(F)F)C1 ZINC000289547974 221057009 /nfs/dbraw/zinc/05/70/09/221057009.db2.gz QXRAORHESGAEAE-LLVKDONJSA-N -1 1 311.206 1.810 20 0 DDADMM CCOCCN1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000289612103 221102581 /nfs/dbraw/zinc/10/25/81/221102581.db2.gz VUMKQZOUVKGCOS-UHFFFAOYSA-N -1 1 314.332 1.465 20 0 DDADMM CSCCCCCC[N-]S(=O)(=O)c1c(C)onc1N ZINC000289899825 221303040 /nfs/dbraw/zinc/30/30/40/221303040.db2.gz PRAPBDGPDWEJRA-UHFFFAOYSA-N -1 1 307.441 1.767 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@H](N2CCCC2=O)C1 ZINC000289983340 221360812 /nfs/dbraw/zinc/36/08/12/221360812.db2.gz BTZPDZJVMIRVCW-VIFPVBQESA-N -1 1 310.300 1.507 20 0 DDADMM O=S(=O)([N-]Cc1cscn1)c1ccc(Br)o1 ZINC000352884209 285359154 /nfs/dbraw/zinc/35/91/54/285359154.db2.gz QIQUAUSNHVQMNK-UHFFFAOYSA-N -1 1 323.193 1.977 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1c[nH]cn1)c1nc(C2CC2)no1 ZINC000121932858 195376601 /nfs/dbraw/zinc/37/66/01/195376601.db2.gz UCSBZFWXBGIENZ-JTQLQIEISA-N -1 1 311.367 1.346 20 0 DDADMM C[C@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1cnn(C)c1 ZINC000568620572 304302037 /nfs/dbraw/zinc/30/20/37/304302037.db2.gz NQLWIKIIYIIGEK-VIFPVBQESA-N -1 1 305.338 1.018 20 0 DDADMM O=c1cc(C(F)(F)F)nc(SC[C@H]2CCCNC2=O)[n-]1 ZINC000569435732 304352942 /nfs/dbraw/zinc/35/29/42/304352942.db2.gz BULIDHBGCWEEMV-ZCFIWIBFSA-N -1 1 307.297 1.819 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(c1ccccc1)[C@H]1C[C@@H]1C ZINC000569557272 304362937 /nfs/dbraw/zinc/36/29/37/304362937.db2.gz FBDWIXQUGRCYQI-CABZTGNLSA-N -1 1 307.375 1.999 20 0 DDADMM COc1ccc([C@H](C)NC(=O)CCCc2nn[n-]n2)cc1F ZINC000635127968 422827744 /nfs/dbraw/zinc/82/77/44/422827744.db2.gz SFUZFVHOEIZKSC-VIFPVBQESA-N -1 1 307.329 1.548 20 0 DDADMM CCCCCS(=O)(=O)[N-][C@H](CC(F)(F)F)C(=O)OC ZINC000360849861 299760805 /nfs/dbraw/zinc/76/08/05/299760805.db2.gz ZSJFNMWKHRAXJH-MRVPVSSYSA-N -1 1 305.318 1.590 20 0 DDADMM COCCCCC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000114412124 407569039 /nfs/dbraw/zinc/56/90/39/407569039.db2.gz LOHIJJFLDSYNIF-UHFFFAOYSA-N -1 1 306.366 1.072 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)C1CCCC1 ZINC000070306406 406813488 /nfs/dbraw/zinc/81/34/88/406813488.db2.gz NXJPUADQVALTMY-SECBINFHSA-N -1 1 315.395 1.443 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)Nc1ccc(C(=O)[O-])cc1C ZINC000014097370 406869907 /nfs/dbraw/zinc/86/99/07/406869907.db2.gz XSXAFTSOWPYGRB-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2cc(F)ccc2F)cc1C(N)=O ZINC000028196539 406916550 /nfs/dbraw/zinc/91/65/50/406916550.db2.gz MUYUWUUYEPDSHW-UHFFFAOYSA-N -1 1 315.301 1.203 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2ccccn2)c(=O)[n-]1 ZINC000078514403 407032338 /nfs/dbraw/zinc/03/23/38/407032338.db2.gz ZZKYYYFCAOEHCP-UHFFFAOYSA-N -1 1 304.375 1.767 20 0 DDADMM Cc1ccccc1[C@@H](C)NC(=O)[C@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000086169942 407109779 /nfs/dbraw/zinc/10/97/79/407109779.db2.gz UOOZJGOEEMVSMI-MNOVXSKESA-N -1 1 322.390 1.153 20 0 DDADMM Cc1ccccc1[C@@H](C)NC(=O)[C@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000086169942 407109780 /nfs/dbraw/zinc/10/97/80/407109780.db2.gz UOOZJGOEEMVSMI-MNOVXSKESA-N -1 1 322.390 1.153 20 0 DDADMM CCC(O)(CC)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000087041434 407115967 /nfs/dbraw/zinc/11/59/67/407115967.db2.gz PBDUAUGNIBBAHM-UHFFFAOYSA-N -1 1 311.325 1.933 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC(N2CCCC2=O)CC1 ZINC000080025743 407068333 /nfs/dbraw/zinc/06/83/33/407068333.db2.gz RWTAZYXZWGTFQE-UHFFFAOYSA-N -1 1 306.337 1.758 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2ccc(F)cc2)cc1 ZINC000047652111 407079268 /nfs/dbraw/zinc/07/92/68/407079268.db2.gz UCOCHTGPCXXJKM-UHFFFAOYSA-N -1 1 308.334 1.986 20 0 DDADMM CCOC(=O)C[C@@H](C)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000089782408 407149032 /nfs/dbraw/zinc/14/90/32/407149032.db2.gz CJUWPIKMTNQXGZ-SECBINFHSA-N -1 1 321.345 1.832 20 0 DDADMM O=C(CCc1ccncc1)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067080078 407267324 /nfs/dbraw/zinc/26/73/24/407267324.db2.gz MCNBCVILOJFQGX-UHFFFAOYSA-N -1 1 317.418 1.962 20 0 DDADMM CC(C)(C)S(=O)(=O)CCNC(=O)c1c([O-])cccc1F ZINC000124365834 407356030 /nfs/dbraw/zinc/35/60/30/407356030.db2.gz CPUHNHLJKHIRFY-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM O=S(=O)([N-]C[C@]1(O)CCSC1)c1cccc(F)c1F ZINC000124475793 407359321 /nfs/dbraw/zinc/35/93/21/407359321.db2.gz GMROTTYYGNFWBW-LLVKDONJSA-N -1 1 309.359 1.111 20 0 DDADMM C[C@@H](O)CCN(C)C(=O)c1ccc(Br)cc1[O-] ZINC000124628187 407364489 /nfs/dbraw/zinc/36/44/89/407364489.db2.gz ROKYYJNQFXFUQW-MRVPVSSYSA-N -1 1 302.168 1.998 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1ccnc(Cl)c1)c1nn[n-]n1 ZINC000124698101 407366581 /nfs/dbraw/zinc/36/65/81/407366581.db2.gz WSGCIOUOFGSFCZ-NWALNABHSA-N -1 1 306.757 1.523 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1ccc(CC(N)=O)cc1 ZINC000112334621 407426731 /nfs/dbraw/zinc/42/67/31/407426731.db2.gz VIGHQOOXQHOHKC-UHFFFAOYSA-N -1 1 304.371 1.824 20 0 DDADMM CC(C)(C)[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(N)=O ZINC000113290423 407490989 /nfs/dbraw/zinc/49/09/89/407490989.db2.gz ZKYWCYIJTWIHIX-SNVBAGLBSA-N -1 1 324.324 1.282 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000152162897 407574044 /nfs/dbraw/zinc/57/40/44/407574044.db2.gz XRARMXRBXUCXEC-NEPJUHHUSA-N -1 1 322.386 1.755 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCO1 ZINC000271304930 407627093 /nfs/dbraw/zinc/62/70/93/407627093.db2.gz VKWUPRNFCZRMFI-YUMQZZPRSA-N -1 1 309.309 1.950 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]C1(C(=O)OC)CCCCCC1)OC ZINC000420661274 286854074 /nfs/dbraw/zinc/85/40/74/286854074.db2.gz ZGGHBOIZXXQYSQ-LBPRGKRZSA-N -1 1 321.439 1.597 20 0 DDADMM O=C(NC[C@@]1(O)CCSC1)c1c[nH]c2ccccc2c1=O ZINC000267005408 407713938 /nfs/dbraw/zinc/71/39/38/407713938.db2.gz PVVUDKROGGDEJC-HNNXBMFYSA-N -1 1 304.371 1.538 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)Cc3cc(C)on3)[nH]c21 ZINC000178934787 407662341 /nfs/dbraw/zinc/66/23/41/407662341.db2.gz SUHHCIRPBRKXEC-UHFFFAOYSA-N -1 1 314.301 1.827 20 0 DDADMM CC(C)(C(=O)N1CCN(CCCC(=O)[O-])CC1)c1ccccc1 ZINC000116340635 407747996 /nfs/dbraw/zinc/74/79/96/407747996.db2.gz NNQFJWHRLWCYOA-UHFFFAOYSA-N -1 1 318.417 1.973 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCO[C@H]3CCCC[C@H]31)c2=O ZINC000179436836 407788808 /nfs/dbraw/zinc/78/88/08/407788808.db2.gz RGELPFFJXKXNHN-OLZOCXBDSA-N -1 1 316.361 1.458 20 0 DDADMM Cc1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])s1 ZINC000179538789 407802397 /nfs/dbraw/zinc/80/23/97/407802397.db2.gz YMPNMVRLGLTVFE-UHFFFAOYSA-N -1 1 312.372 1.662 20 0 DDADMM C[C@@H](C(=O)Nc1ccc2c(c1)OCO2)N1CC[C@@H](C(=O)[O-])C1 ZINC000262640392 407890077 /nfs/dbraw/zinc/89/00/77/407890077.db2.gz IVZOVXIMKILZBF-VHSXEESVSA-N -1 1 306.318 1.149 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2cncc([O-])c2)C(=O)N1c1ccccc1 ZINC000174507570 407957413 /nfs/dbraw/zinc/95/74/13/407957413.db2.gz MCSQSWJPOACXHH-IAQYHMDHSA-N -1 1 311.341 1.711 20 0 DDADMM O=C([N-]CCC[N@H+]1CCCN(CC(F)F)CC1)C(F)(F)F ZINC000181190549 407964064 /nfs/dbraw/zinc/96/40/64/407964064.db2.gz DEAGJWISLYLABH-UHFFFAOYSA-N -1 1 317.302 1.328 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@@H](O)C2CC2)c(=O)[n-]1 ZINC000268399188 408027128 /nfs/dbraw/zinc/02/71/28/408027128.db2.gz MPHXIZVQCQJJFG-LLVKDONJSA-N -1 1 311.407 1.032 20 0 DDADMM O=C(NC[C@H]1CCOC1)c1ccc(Br)c([O-])c1 ZINC000119283955 408029684 /nfs/dbraw/zinc/02/96/84/408029684.db2.gz ILYWBKPCDDOQTM-MRVPVSSYSA-N -1 1 300.152 1.921 20 0 DDADMM CC(C)CO[C@@H]1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000119346481 408044558 /nfs/dbraw/zinc/04/45/58/408044558.db2.gz OCRAUFLHJBVJEG-LLVKDONJSA-N -1 1 318.377 1.561 20 0 DDADMM CCCCN(CCOC)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119236970 408021428 /nfs/dbraw/zinc/02/14/28/408021428.db2.gz JEZVKBPVVJFMSS-UHFFFAOYSA-N -1 1 306.366 1.563 20 0 DDADMM CN(C)C(=O)CC1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000119507777 408084368 /nfs/dbraw/zinc/08/43/68/408084368.db2.gz LTPAAHHIMVSDLX-UHFFFAOYSA-N -1 1 308.353 1.862 20 0 DDADMM CS[C@H](C)CC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000121374376 408196553 /nfs/dbraw/zinc/19/65/53/408196553.db2.gz PWEGTSUEXUBBCL-MRVPVSSYSA-N -1 1 308.407 1.397 20 0 DDADMM CC1CCN(CC(=O)N2[C@H](C(=O)[O-])C[C@@H]3CCCC[C@@H]32)CC1 ZINC000263393500 408126576 /nfs/dbraw/zinc/12/65/76/408126576.db2.gz FBHAYSUQGYFWIP-KKUMJFAQSA-N -1 1 308.422 1.963 20 0 DDADMM CCCC[C@H](NC(=O)c1ccc(-c2nnc[nH]2)cc1)C(=O)[O-] ZINC000263459334 408145655 /nfs/dbraw/zinc/14/56/55/408145655.db2.gz VHACJABJVCZOHJ-LBPRGKRZSA-N -1 1 302.334 1.845 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccnc1N1CCCC1)c1nn[n-]n1 ZINC000273419287 408189686 /nfs/dbraw/zinc/18/96/86/408189686.db2.gz GJPKPBHANLNOSX-LLVKDONJSA-N -1 1 315.381 1.071 20 0 DDADMM COc1cc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccc1C ZINC000273501590 408225578 /nfs/dbraw/zinc/22/55/78/408225578.db2.gz MHGNAIYCXNLIHV-LLVKDONJSA-N -1 1 303.366 1.321 20 0 DDADMM CCc1ccc([C@@H](C)CC(=O)NCCCc2nc(=O)[n-][nH]2)cc1 ZINC000176253528 408324575 /nfs/dbraw/zinc/32/45/75/408324575.db2.gz ALGNMTYLVMNKFG-LBPRGKRZSA-N -1 1 316.405 1.903 20 0 DDADMM CCc1nnc(C)cc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000273624962 408268270 /nfs/dbraw/zinc/26/82/70/408268270.db2.gz VYIQJOANTIYGNG-UHFFFAOYSA-N -1 1 300.244 1.737 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCn2c(nnc2C(F)(F)F)C1 ZINC000132759803 162043895 /nfs/dbraw/zinc/04/38/95/162043895.db2.gz JDTXJACGDFZSEJ-UHFFFAOYSA-N -1 1 313.239 1.054 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1Oc2ccccc2[C@H]1C)c1nn[n-]n1 ZINC000136735762 162121630 /nfs/dbraw/zinc/12/16/30/162121630.db2.gz JGVBWFLNWVOFMD-CDMKHQONSA-N -1 1 301.350 1.722 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCC[C@@H](O)C1 ZINC000176428528 408362261 /nfs/dbraw/zinc/36/22/61/408362261.db2.gz QPTAIZUPDAENQI-NWDGAFQWSA-N -1 1 321.421 1.657 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(-n2ccnc2)nc1)c1nn[n-]n1 ZINC000176790899 408445396 /nfs/dbraw/zinc/44/53/96/408445396.db2.gz ZATVPAGNSCHQJZ-LLVKDONJSA-N -1 1 312.337 1.052 20 0 DDADMM C[C@@H](CN1CCN(C)CC1)NC(=O)c1cc(F)c([O-])c(F)c1 ZINC000183581789 408446331 /nfs/dbraw/zinc/44/63/31/408446331.db2.gz IYDJRGOKALOBPK-JTQLQIEISA-N -1 1 313.348 1.036 20 0 DDADMM CC(C)CO[N-]C(=O)[C@H]1CCC(=O)N(C)[C@H]1c1cnn(C)c1 ZINC000269715732 408402313 /nfs/dbraw/zinc/40/23/13/408402313.db2.gz PXSADBWTOIQRPA-JSGCOSHPSA-N -1 1 308.382 1.033 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1C[C@@H]1c1cc(F)ccc1F)c1nn[n-]n1 ZINC000183430508 408411369 /nfs/dbraw/zinc/41/13/69/408411369.db2.gz FYVYRSAJWZOLSY-XRNSZHNASA-N -1 1 321.331 1.844 20 0 DDADMM CC[C@H](C(=O)[O-])N1CCN(C(=O)c2cccc(OC)c2)CC1 ZINC000191405554 408419316 /nfs/dbraw/zinc/41/93/16/408419316.db2.gz XCYFNYIEUJCSMT-CQSZACIVSA-N -1 1 306.362 1.316 20 0 DDADMM CCO[C@H]1C[C@@](O)(CNC(=O)c2ccc([O-])cc2F)C1(C)C ZINC000191728526 408480447 /nfs/dbraw/zinc/48/04/47/408480447.db2.gz POOPMAUEAGFQEI-XJKSGUPXSA-N -1 1 311.353 1.827 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1C[C@H]1c1c(F)cccc1F)c1nn[n-]n1 ZINC000248433014 408565454 /nfs/dbraw/zinc/56/54/54/408565454.db2.gz WTUZKVKGFBXORV-BBBLOLIVSA-N -1 1 321.331 1.844 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1c1cccc(F)c1F)c1nn[n-]n1 ZINC000248429527 408566708 /nfs/dbraw/zinc/56/67/08/408566708.db2.gz QPKFIGPPEXGBJM-DVVUODLYSA-N -1 1 321.331 1.844 20 0 DDADMM CO[C@H](C)CCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000184211026 408570223 /nfs/dbraw/zinc/57/02/23/408570223.db2.gz UYABRKSSYADQCV-SECBINFHSA-N -1 1 318.370 1.951 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1ccc(Cl)s1)C(F)(F)F ZINC000270565237 408581799 /nfs/dbraw/zinc/58/17/99/408581799.db2.gz RKNAQFMVWOISBM-SSDOTTSWSA-N -1 1 323.745 1.993 20 0 DDADMM COc1ccc(OCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1C ZINC000274795935 408536165 /nfs/dbraw/zinc/53/61/65/408536165.db2.gz RBFYREAPEAGZQY-NSHDSACASA-N -1 1 319.365 1.158 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](NC(=O)c2cncc([O-])c2)C1 ZINC000275767856 408672735 /nfs/dbraw/zinc/67/27/35/408672735.db2.gz POZLVKZNTCVBJC-GFCCVEGCSA-N -1 1 321.377 1.917 20 0 DDADMM COC(=O)[C@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC000265359247 408622318 /nfs/dbraw/zinc/62/23/18/408622318.db2.gz ASZSLVZNGUEEPY-YFKPBYRVSA-N -1 1 313.162 1.228 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc2ccccc2c1[O-])[C@H](C)O ZINC000275947319 408727327 /nfs/dbraw/zinc/72/73/27/408727327.db2.gz FUBXDYXLEPBINH-ZANVPECISA-N -1 1 303.314 1.198 20 0 DDADMM CCS(=O)(=O)C1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000193089729 408692259 /nfs/dbraw/zinc/69/22/59/408692259.db2.gz PGWCRCLDAFMTKJ-UHFFFAOYSA-N -1 1 315.366 1.571 20 0 DDADMM CCCCNC(=O)Cc1noc(-c2ccc(OC)cc2[O-])n1 ZINC000184829592 408697935 /nfs/dbraw/zinc/69/79/35/408697935.db2.gz TXJSOPIPMWKLMY-UHFFFAOYSA-N -1 1 305.334 1.910 20 0 DDADMM O=C(CNC(=O)c1c([O-])cccc1F)N1CCc2ccccc21 ZINC000184842524 408701369 /nfs/dbraw/zinc/70/13/69/408701369.db2.gz TUDSJHUSHDCHKW-UHFFFAOYSA-N -1 1 314.316 1.850 20 0 DDADMM C[C@@H](O)[C@@H]1CCN(C(=O)c2ccc(Br)cc2[O-])C1 ZINC000169189077 408819815 /nfs/dbraw/zinc/81/98/15/408819815.db2.gz KWFCMWUVZZBFEO-RKDXNWHRSA-N -1 1 314.179 1.998 20 0 DDADMM CN(CCN1CCOCC1)C(=O)c1c(F)ccc([O-])c1F ZINC000280793752 408835159 /nfs/dbraw/zinc/83/51/59/408835159.db2.gz OSIYHNNPQABICZ-UHFFFAOYSA-N -1 1 300.305 1.075 20 0 DDADMM C[C@@H](CO[C@H]1CCOC1)NC(=O)c1c(F)ccc([O-])c1F ZINC000281045792 408870410 /nfs/dbraw/zinc/87/04/10/408870410.db2.gz QJIUWLOHYSNUHH-IUCAKERBSA-N -1 1 301.289 1.594 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)NC(=O)c1c(F)ccc([O-])c1F ZINC000286000866 408904929 /nfs/dbraw/zinc/90/49/29/408904929.db2.gz HFYNYHMJFJJICV-YUMQZZPRSA-N -1 1 301.289 1.988 20 0 DDADMM CN(C)c1noc(C[N-]S(=O)(=O)c2ccccc2Cl)n1 ZINC000188061429 163038513 /nfs/dbraw/zinc/03/85/13/163038513.db2.gz RHUBFVLRXLVZFL-UHFFFAOYSA-N -1 1 316.770 1.268 20 0 DDADMM O=C(Cc1ccc(F)cc1Br)Nc1nnn[n-]1 ZINC000188063265 163038553 /nfs/dbraw/zinc/03/85/53/163038553.db2.gz ZYYWZKKWLKJBJD-UHFFFAOYSA-N -1 1 300.091 1.283 20 0 DDADMM O=C(Cc1ccc(F)cc1Br)Nc1nn[n-]n1 ZINC000188063265 163038555 /nfs/dbraw/zinc/03/85/55/163038555.db2.gz ZYYWZKKWLKJBJD-UHFFFAOYSA-N -1 1 300.091 1.283 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)Oc1ccc(C=O)cc1)c1nn[n-]n1 ZINC000286130519 408928400 /nfs/dbraw/zinc/92/84/00/408928400.db2.gz QOALHUVWYFSTTL-QWRGUYRKSA-N -1 1 317.349 1.042 20 0 DDADMM CCN1CN(C(=O)c2ccc(Br)c([O-])c2)CC1=O ZINC000190603295 163148083 /nfs/dbraw/zinc/14/80/83/163148083.db2.gz JJVFSJMOWWJINR-UHFFFAOYSA-N -1 1 313.151 1.417 20 0 DDADMM CCOC(=O)[C@@]1(COC)CCCN(C(=O)c2ncccc2[O-])C1 ZINC000281806527 408932738 /nfs/dbraw/zinc/93/27/38/408932738.db2.gz WPKLTTHBKBNVTP-INIZCTEOSA-N -1 1 322.361 1.219 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CC[S@](=O)C1 ZINC000277726601 408999909 /nfs/dbraw/zinc/99/99/09/408999909.db2.gz XIBBGYNXDNHSLT-HOGDKLEQSA-N -1 1 301.795 1.886 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)CC3CC3)CC2)n1 ZINC000277835982 409021491 /nfs/dbraw/zinc/02/14/91/409021491.db2.gz WPCCQHPVHYQWRW-UHFFFAOYSA-N -1 1 306.366 1.488 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000287404135 409031898 /nfs/dbraw/zinc/03/18/98/409031898.db2.gz JHDXKRFFOKIFRZ-OLZOCXBDSA-N -1 1 318.373 1.338 20 0 DDADMM C[C@@H]1COCC[C@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000277942347 409037990 /nfs/dbraw/zinc/03/79/90/409037990.db2.gz RAKFJSDLDGBGSB-RDDDGLTNSA-N -1 1 309.309 1.807 20 0 DDADMM Cc1nsc([N-]c2cnn(Cc3nnc4n3CCCC4)c2)n1 ZINC000278006649 409049802 /nfs/dbraw/zinc/04/98/02/409049802.db2.gz YCDVAIAQFMYRFH-UHFFFAOYSA-N -1 1 316.394 1.763 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283042844 409075208 /nfs/dbraw/zinc/07/52/08/409075208.db2.gz NPABTVYXYGQPKF-IUCAKERBSA-N -1 1 321.406 1.051 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@@](O)(C(F)(F)F)CC1 ZINC000287992665 409128068 /nfs/dbraw/zinc/12/80/68/409128068.db2.gz VHWXCEKHAFTDDN-LBPRGKRZSA-N -1 1 304.268 1.707 20 0 DDADMM O=C(C[C@H]1CCCS(=O)(=O)C1)Nc1ccc(F)cc1[O-] ZINC000293620439 409148486 /nfs/dbraw/zinc/14/84/86/409148486.db2.gz ZAJUSVHVJVKODJ-SECBINFHSA-N -1 1 301.339 1.685 20 0 DDADMM COCCC1(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCCC1 ZINC000279137518 409156069 /nfs/dbraw/zinc/15/60/69/409156069.db2.gz BUMHYHPBZIBWRU-UYRXBGFRSA-N -1 1 302.378 1.877 20 0 DDADMM Cn1ncc(CSc2nc([O-])cc(=O)n2C2CCCC2)n1 ZINC000289382021 409259028 /nfs/dbraw/zinc/25/90/28/409259028.db2.gz PQOSTHYVZCAUER-UHFFFAOYSA-N -1 1 307.379 1.485 20 0 DDADMM C[C@@H](O)CC(C)(C)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279368724 409201110 /nfs/dbraw/zinc/20/11/10/409201110.db2.gz PVIZYTOQPWNMEL-IFYDOICBSA-N -1 1 305.382 1.260 20 0 DDADMM C[C@@H]1OCC[C@]12CN(C(=O)c1ccc([O-])cc1F)C[C@@H](C)O2 ZINC000279408316 409208370 /nfs/dbraw/zinc/20/83/70/409208370.db2.gz SFTHUQOLKRNGQK-GDLVEWKHSA-N -1 1 309.337 1.940 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC1CCC1)c1cc(F)c(F)cc1F ZINC000280355046 409297908 /nfs/dbraw/zinc/29/79/08/409297908.db2.gz XBBJIDDZJBZFDC-SECBINFHSA-N -1 1 323.336 1.933 20 0 DDADMM CCc1ccnc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000294253454 409266364 /nfs/dbraw/zinc/26/63/64/409266364.db2.gz NMKFTUHWQIQVCW-NSHDSACASA-N -1 1 301.350 1.075 20 0 DDADMM CC(C)(C)c1ccc([C@H](O)CCC(=O)Nc2nnn[n-]2)cc1 ZINC000295720714 409364860 /nfs/dbraw/zinc/36/48/60/409364860.db2.gz DPEIVLGDJUXDSX-GFCCVEGCSA-N -1 1 303.366 1.950 20 0 DDADMM CC(C)(C)c1ccc([C@H](O)CCC(=O)Nc2nn[n-]n2)cc1 ZINC000295720714 409364863 /nfs/dbraw/zinc/36/48/63/409364863.db2.gz DPEIVLGDJUXDSX-GFCCVEGCSA-N -1 1 303.366 1.950 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC(=O)N(CCC(F)(F)F)C1 ZINC000296010555 409400458 /nfs/dbraw/zinc/40/04/58/409400458.db2.gz SXYPBBGTBKUWRC-UHFFFAOYSA-N -1 1 320.242 1.726 20 0 DDADMM CNC(=O)CC1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000631590501 422841681 /nfs/dbraw/zinc/84/16/81/422841681.db2.gz WRHMRPOCJFTQMX-UHFFFAOYSA-N -1 1 324.808 1.963 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)N=c1ccc(C2CC2)n[n-]1 ZINC000333569035 164072785 /nfs/dbraw/zinc/07/27/85/164072785.db2.gz XQWCINUDDBXKFH-ZDUSSCGKSA-N -1 1 303.410 1.724 20 0 DDADMM Cc1cnc(C(=O)NC[C@](C)(CO)c2ccccc2)c([O-])c1 ZINC000346320837 164095244 /nfs/dbraw/zinc/09/52/44/164095244.db2.gz STJXNMYLHWITGM-QGZVFWFLSA-N -1 1 300.358 1.776 20 0 DDADMM Cc1nc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(C)s1 ZINC000407994885 164192507 /nfs/dbraw/zinc/19/25/07/164192507.db2.gz DYNVXEWRFIWFCJ-SECBINFHSA-N -1 1 308.363 1.868 20 0 DDADMM Cc1ncc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(C)n1 ZINC000408066921 164215305 /nfs/dbraw/zinc/21/53/05/164215305.db2.gz GOHHQMLWAYODJV-JTQLQIEISA-N -1 1 303.322 1.202 20 0 DDADMM O=C(N[C@@H]1CCO[C@]2(CCOC2)C1)c1c(F)ccc([O-])c1F ZINC000408202216 164259583 /nfs/dbraw/zinc/25/95/83/164259583.db2.gz IEMDFFFJVKZJFW-RFAUZJTJSA-N -1 1 313.300 1.738 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](O)[C@H](C)C1 ZINC000408376628 164312709 /nfs/dbraw/zinc/31/27/09/164312709.db2.gz NVWUDRWLXNTMJG-KOLCDFICSA-N -1 1 307.394 1.631 20 0 DDADMM O=C([C@@H]1CCc2cccnc21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000408467944 164340958 /nfs/dbraw/zinc/34/09/58/164340958.db2.gz MPHVURLBKIIYRU-VXGBXAGGSA-N -1 1 314.345 1.606 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CCS1 ZINC000337914076 409564736 /nfs/dbraw/zinc/56/47/36/409564736.db2.gz RYPPRTOYKFXIEU-LBPRGKRZSA-N -1 1 323.418 1.117 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CC(C)(C)OC1(C)C ZINC000290759562 409509812 /nfs/dbraw/zinc/50/98/12/409509812.db2.gz NMOKMKSGZMLEMT-QMMMGPOBSA-N -1 1 303.384 1.190 20 0 DDADMM CC(C)(C)Oc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)nc1 ZINC000348805522 409521764 /nfs/dbraw/zinc/52/17/64/409521764.db2.gz CZNSIPWZVSMUHQ-UHFFFAOYSA-N -1 1 302.338 1.191 20 0 DDADMM COCc1nnc(S(=O)(=O)C[C@H]2CCCC(F)(F)C2)[n-]1 ZINC000337901415 409551239 /nfs/dbraw/zinc/55/12/39/409551239.db2.gz QLVGVAPMGIWZMO-QMMMGPOBSA-N -1 1 309.338 1.550 20 0 DDADMM COCc1nc(S(=O)(=O)C[C@H]2CCCC(F)(F)C2)n[n-]1 ZINC000337901415 409551246 /nfs/dbraw/zinc/55/12/46/409551246.db2.gz QLVGVAPMGIWZMO-QMMMGPOBSA-N -1 1 309.338 1.550 20 0 DDADMM O=C(N[C@H]1CCO[C@@]2(CCOC2)C1)C(=O)c1ccc([O-])cc1 ZINC000331560505 409635981 /nfs/dbraw/zinc/63/59/81/409635981.db2.gz NFQSIYPBZQDIPT-LRDDRELGSA-N -1 1 305.330 1.029 20 0 DDADMM CCO[C@H]1C[C@@H]1NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000571368611 304455316 /nfs/dbraw/zinc/45/53/16/304455316.db2.gz OSBWHEAIUPGYSQ-QWRGUYRKSA-N -1 1 311.407 1.439 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@@H]1c1cccnc1 ZINC000331679988 409762210 /nfs/dbraw/zinc/76/22/10/409762210.db2.gz VZXALJKXCFXFSM-LLVKDONJSA-N -1 1 308.363 1.316 20 0 DDADMM CCc1cccc2c(CC(=O)NC3(c4nn[n-]n4)CC3)c[nH]c21 ZINC000357064156 409832148 /nfs/dbraw/zinc/83/21/48/409832148.db2.gz ABZGEIGDSNOREX-UHFFFAOYSA-N -1 1 310.361 1.591 20 0 DDADMM COc1ccc(CCC(=O)NC2(c3nn[n-]n3)CC2)cc1Cl ZINC000357070515 409839681 /nfs/dbraw/zinc/83/96/81/409839681.db2.gz CYOCARAQAFHZFV-UHFFFAOYSA-N -1 1 321.768 1.600 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@@H]1CCNC(=O)C1 ZINC000338203823 409799176 /nfs/dbraw/zinc/79/91/76/409799176.db2.gz NFDADGRZZNGDCH-SNVBAGLBSA-N -1 1 300.318 1.247 20 0 DDADMM Cc1nc(CC2CC2)sc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357045334 409816063 /nfs/dbraw/zinc/81/60/63/409816063.db2.gz BMGOPMAHQLJBSQ-UHFFFAOYSA-N -1 1 304.379 1.336 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccc(F)c(F)c2)CC[C@H]1C(=O)[O-] ZINC000318737428 409881918 /nfs/dbraw/zinc/88/19/18/409881918.db2.gz JZCASTSQWNWMOE-MWLCHTKSSA-N -1 1 312.316 1.946 20 0 DDADMM C[C@@H]1CCc2c(C(=O)Nc3nn[nH]c3C(N)=O)csc2C1 ZINC000297687738 409959665 /nfs/dbraw/zinc/95/96/65/409959665.db2.gz HLGKXAZKZHPTQG-ZCFIWIBFSA-N -1 1 305.363 1.342 20 0 DDADMM O=C(N=c1[n-]nc(-c2ccccn2)s1)c1[nH]nc2c1CCC2 ZINC000342870518 409961893 /nfs/dbraw/zinc/96/18/93/409961893.db2.gz NUUULXKFVCOMNL-UHFFFAOYSA-N -1 1 312.358 1.486 20 0 DDADMM C[C@@]1(c2ccc(C[NH2+]Cc3cscn3)cc2)NC(=O)NC1=O ZINC000338425773 409978347 /nfs/dbraw/zinc/97/83/47/409978347.db2.gz NZFMIHOYKSBLIQ-HNNXBMFYSA-N -1 1 316.386 1.488 20 0 DDADMM CCNC(=O)c1ccc(=NCCC2C[C@H](C)O[C@@H](C)C2)[n-]n1 ZINC000338489526 410022849 /nfs/dbraw/zinc/02/28/49/410022849.db2.gz PHTDUPLSPOJVOI-RYUDHWBXSA-N -1 1 306.410 1.654 20 0 DDADMM O=C(Cc1noc(-c2cc(F)ccc2[O-])n1)Nc1ncccn1 ZINC000351034867 410026345 /nfs/dbraw/zinc/02/63/45/410026345.db2.gz STPWLTPOHRNUST-UHFFFAOYSA-N -1 1 315.264 1.553 20 0 DDADMM COc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)ccc1F ZINC000332271986 410032157 /nfs/dbraw/zinc/03/21/57/410032157.db2.gz CZHGCVOZAGKQQI-SNVBAGLBSA-N -1 1 321.308 1.943 20 0 DDADMM COc1ncccc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332307449 410058610 /nfs/dbraw/zinc/05/86/10/410058610.db2.gz LOBJKAWGIXOTLI-SECBINFHSA-N -1 1 304.306 1.199 20 0 DDADMM CC(C)(NC(=O)[C@H]1C[C@@H]1c1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000354769518 410072551 /nfs/dbraw/zinc/07/25/51/410072551.db2.gz GPWJWPUNWKCROF-BDAKNGLRSA-N -1 1 307.304 1.633 20 0 DDADMM CC(=O)[C@@H](NC(=O)CSc1nc(C)c(C)c(=O)[n-]1)C(C)C ZINC000346713477 410109130 /nfs/dbraw/zinc/10/91/30/410109130.db2.gz GHPQRLBLXJAUNO-LBPRGKRZSA-N -1 1 311.407 1.621 20 0 DDADMM COc1cc(NC(=O)c2cncnc2)ccc1[N-]S(C)(=O)=O ZINC000354913966 410168963 /nfs/dbraw/zinc/16/89/63/410168963.db2.gz HDYYYDISWCOPBK-UHFFFAOYSA-N -1 1 322.346 1.109 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1 ZINC000339436693 410188164 /nfs/dbraw/zinc/18/81/64/410188164.db2.gz OAKNMTYPFCUAPW-UHFFFAOYSA-N -1 1 316.361 1.861 20 0 DDADMM CC(C)[C@@H](C[N@H+]1CCN2C(=O)NC(=O)[C@@H]2C1)c1ccccc1 ZINC000332678789 410205299 /nfs/dbraw/zinc/20/52/99/410205299.db2.gz UDGVZQRVFLNLCQ-CABCVRRESA-N -1 1 301.390 1.662 20 0 DDADMM O=C([O-])C(=O)N[C@H](c1nnc[nH]1)c1cccc(C(F)(F)F)c1 ZINC000354968329 410209978 /nfs/dbraw/zinc/20/99/78/410209978.db2.gz AFLWPFVQSICVFW-QMMMGPOBSA-N -1 1 314.223 1.114 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C(C)(C)C)C2)o1 ZINC000332732315 410240851 /nfs/dbraw/zinc/24/08/51/410240851.db2.gz WFUFKMMGSDPOOS-SNVBAGLBSA-N -1 1 314.407 1.696 20 0 DDADMM Cn1[n-]c(CSc2ncnc3sc4c(c32)CCC4)nc1=O ZINC000329519816 410342960 /nfs/dbraw/zinc/34/29/60/410342960.db2.gz FFGGMEDBUWOSFM-UHFFFAOYSA-N -1 1 319.415 1.894 20 0 DDADMM COCc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1 ZINC000351858699 410298008 /nfs/dbraw/zinc/29/80/08/410298008.db2.gz VTOZIBJQUURXHX-UHFFFAOYSA-N -1 1 317.345 1.941 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCC[C@@H](SC)C1 ZINC000352057308 410446218 /nfs/dbraw/zinc/44/62/18/410446218.db2.gz JRSIRAOQDKDTFS-HTQZYQBOSA-N -1 1 313.379 1.300 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1ccccc1Cl ZINC000352139768 410507383 /nfs/dbraw/zinc/50/73/83/410507383.db2.gz HBFAZIDBSGPRIM-UHFFFAOYSA-N -1 1 323.736 1.822 20 0 DDADMM CCc1ccc(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)s1 ZINC000352149150 410509435 /nfs/dbraw/zinc/50/94/35/410509435.db2.gz ONHTYYCCCBRZQT-UHFFFAOYSA-N -1 1 309.347 1.450 20 0 DDADMM CS(=O)(=O)Cc1cccc(NC(=O)c2cncc([O-])c2)c1 ZINC000339892033 410534779 /nfs/dbraw/zinc/53/47/79/410534779.db2.gz MXNJYYGWYLVLKY-UHFFFAOYSA-N -1 1 306.343 1.584 20 0 DDADMM Cn1[n-]c(CN2CCC(=Cc3ccccc3F)CC2)nc1=O ZINC000347474486 410573073 /nfs/dbraw/zinc/57/30/73/410573073.db2.gz FFJDVQWJLVXCMJ-UHFFFAOYSA-N -1 1 302.353 1.927 20 0 DDADMM O=C(c1cc(F)cc2nn[nH]c21)N1CCC(F)(F)[C@H](CO)C1 ZINC000330260586 410605283 /nfs/dbraw/zinc/60/52/83/410605283.db2.gz STKDSIAGZSVQBV-ZETCQYMHSA-N -1 1 314.267 1.187 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCC[C@@H](O)C1)c2=O ZINC000299244142 410580090 /nfs/dbraw/zinc/58/00/90/410580090.db2.gz WXBSYXVKGHYJKG-SNVBAGLBSA-N -1 1 302.330 1.546 20 0 DDADMM Cn1nc2ccccc2c1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000355648105 410624104 /nfs/dbraw/zinc/62/41/04/410624104.db2.gz DFDQEGQXSADHKF-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM CC[C@@H]1CCCC[C@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000359374129 410629680 /nfs/dbraw/zinc/62/96/80/410629680.db2.gz WHZCRMKUBKSFLK-RKDXNWHRSA-N -1 1 300.384 1.363 20 0 DDADMM CC[C@@H]1CCCC[C@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000359374129 410629681 /nfs/dbraw/zinc/62/96/81/410629681.db2.gz WHZCRMKUBKSFLK-RKDXNWHRSA-N -1 1 300.384 1.363 20 0 DDADMM COc1ccc(NC(=O)c2cn(C)cn2)cc1[N-]S(C)(=O)=O ZINC000340038956 410631992 /nfs/dbraw/zinc/63/19/92/410631992.db2.gz ZJEOFZKPHNSWKF-UHFFFAOYSA-N -1 1 324.362 1.053 20 0 DDADMM COc1ccccc1CCC(=O)Nc1nc(SCCO)n[nH]1 ZINC000343842317 410740889 /nfs/dbraw/zinc/74/08/89/410740889.db2.gz AKQAHQVYYWDBMD-UHFFFAOYSA-N -1 1 322.390 1.469 20 0 DDADMM C[C@H]1CC[C@@]2(CCN(C(=O)CNC(=O)c3ncccc3[O-])C2)C1 ZINC000359576579 410743976 /nfs/dbraw/zinc/74/39/76/410743976.db2.gz AMFBZMPUVVRHCC-YVEFUNNKSA-N -1 1 317.389 1.556 20 0 DDADMM CCCNC(=O)CNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000301729515 410784071 /nfs/dbraw/zinc/78/40/71/410784071.db2.gz OAPGJTVNBPFFGR-UHFFFAOYSA-N -1 1 315.377 1.799 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](CO)C3CCCC3)cnc2n1 ZINC000359653637 410796952 /nfs/dbraw/zinc/79/69/52/410796952.db2.gz ZRSJECULTQESDT-AWEZNQCLSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@@H](CO)C3CCCC3)c[n-]c2n1 ZINC000359653637 410796959 /nfs/dbraw/zinc/79/69/59/410796959.db2.gz ZRSJECULTQESDT-AWEZNQCLSA-N -1 1 315.373 1.925 20 0 DDADMM COc1c(CNC(=O)c2csc(=NC3CC3)[n-]2)c(C)nn1C ZINC000359734081 410842585 /nfs/dbraw/zinc/84/25/85/410842585.db2.gz ZVUJUYXWRWYBCJ-UHFFFAOYSA-N -1 1 321.406 1.120 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cc2c(cn1)CCC2 ZINC000359774549 410870738 /nfs/dbraw/zinc/87/07/38/410870738.db2.gz VXEDDBNLDFMWIU-UHFFFAOYSA-N -1 1 312.329 1.039 20 0 DDADMM CC(C)(NC(=O)C1=Cc2cc(Cl)ccc2OC1)c1nn[n-]n1 ZINC000359783134 410876813 /nfs/dbraw/zinc/87/68/13/410876813.db2.gz HMJCQEUTPJQVBY-UHFFFAOYSA-N -1 1 319.752 1.680 20 0 DDADMM O=C(Cc1c[nH]c2cc(F)ccc12)NC1(c2nn[n-]n2)CC1 ZINC000348289185 410900261 /nfs/dbraw/zinc/90/02/61/410900261.db2.gz AZFZNDFWFDNECF-UHFFFAOYSA-N -1 1 300.297 1.168 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(F)c(C(F)(F)F)c1 ZINC000348299386 410906584 /nfs/dbraw/zinc/90/65/84/410906584.db2.gz XAIVAPVYERMEBS-UHFFFAOYSA-N -1 1 315.230 1.777 20 0 DDADMM CC[C@H](Oc1ccccc1Cl)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348298709 410907570 /nfs/dbraw/zinc/90/75/70/410907570.db2.gz SSMNTFIKLHBUIM-JTQLQIEISA-N -1 1 321.768 1.816 20 0 DDADMM CCC[C@H](C)[C@H]1CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000344155751 410995363 /nfs/dbraw/zinc/99/53/63/410995363.db2.gz FGEWORUNYNIFPZ-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM COc1cc(C)nc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)c1 ZINC000341336824 411011915 /nfs/dbraw/zinc/01/19/15/411011915.db2.gz VLAAVHLWQVASRQ-UHFFFAOYSA-N -1 1 308.363 1.368 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCCOCC(C)C)c1 ZINC000631681971 422878748 /nfs/dbraw/zinc/87/87/48/422878748.db2.gz BHMFSQBSRJGFHZ-UHFFFAOYSA-N -1 1 317.407 1.742 20 0 DDADMM COc1cc(CN(C)C(=O)CCCc2nn[n-]n2)cc(OC)c1 ZINC000635237381 422890959 /nfs/dbraw/zinc/89/09/59/422890959.db2.gz LGERUMLNNPEVCF-UHFFFAOYSA-N -1 1 319.365 1.198 20 0 DDADMM Cc1cnc(CC[N-]S(=O)(=O)C(Cl)(Cl)Cl)nc1 ZINC001191997313 745661851 /nfs/dbraw/zinc/66/18/51/745661851.db2.gz RUTQLFFOTUUNCU-UHFFFAOYSA-N -1 1 318.613 1.575 20 0 DDADMM COC(=O)[C@H](CF)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000580359901 422924064 /nfs/dbraw/zinc/92/40/64/422924064.db2.gz CCFCEDNKXMMKOC-VIFPVBQESA-N -1 1 301.295 1.222 20 0 DDADMM NC(=O)c1ccc(=NCC[C@@H]2CSc3ccccc3O2)[n-]n1 ZINC000645310282 422987492 /nfs/dbraw/zinc/98/74/92/422987492.db2.gz GMQUENGULHQNRA-SNVBAGLBSA-N -1 1 316.386 1.353 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCCN1C(=O)CC(C)(C)C ZINC000647815665 423057628 /nfs/dbraw/zinc/05/76/28/423057628.db2.gz GRKVIYSITASHQR-SNVBAGLBSA-N -1 1 308.382 1.789 20 0 DDADMM CCn1cc(-c2noc(-c3ccc([O-])cc3F)n2)c(=O)[nH]c1=O ZINC000350585147 306753575 /nfs/dbraw/zinc/75/35/75/306753575.db2.gz AMWAIYDSDCBCBB-UHFFFAOYSA-N -1 1 318.264 1.531 20 0 DDADMM COc1cccc2c(C(=O)N=c3ncn(C(C)C)[n-]3)n[nH]c21 ZINC000652726334 423108315 /nfs/dbraw/zinc/10/83/15/423108315.db2.gz SUNFIAIBCHOROC-UHFFFAOYSA-N -1 1 300.322 1.418 20 0 DDADMM Cn1cc(-c2noc(-c3cc(F)ccc3[O-])n2)c(=O)[nH]c1=O ZINC000350800717 306757134 /nfs/dbraw/zinc/75/71/34/306757134.db2.gz QDKRIEDHFBGFPR-UHFFFAOYSA-N -1 1 304.237 1.048 20 0 DDADMM COCCCS(=O)(=O)[N-][C@H](C(C)=O)c1ccccc1F ZINC000416645189 225017537 /nfs/dbraw/zinc/01/75/37/225017537.db2.gz JLCOSJKESQPJQH-CYBMUJFWSA-N -1 1 303.355 1.412 20 0 DDADMM CSc1nc(CNC(=O)c2ccnn2C(F)F)cc(=O)[n-]1 ZINC000640655932 423115933 /nfs/dbraw/zinc/11/59/33/423115933.db2.gz SLFKKQZTFGDQAM-UHFFFAOYSA-N -1 1 315.305 1.426 20 0 DDADMM CC(C)OCC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645650944 423125405 /nfs/dbraw/zinc/12/54/05/423125405.db2.gz YXGGJCWXHKMLOA-UHFFFAOYSA-N -1 1 312.313 1.804 20 0 DDADMM C[C@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645648258 423126156 /nfs/dbraw/zinc/12/61/56/423126156.db2.gz XNZANKPXOCANHE-KCJUWKMLSA-N -1 1 324.324 1.946 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCOCC1)c1cccc(F)c1F ZINC000360615625 418477744 /nfs/dbraw/zinc/47/77/44/418477744.db2.gz ZNSCLUXSWNJUQU-NSHDSACASA-N -1 1 321.345 1.031 20 0 DDADMM C[C@@]1(O)CCN(C(=O)c2ccc(Br)c([O-])c2)C1 ZINC000179528792 221896701 /nfs/dbraw/zinc/89/67/01/221896701.db2.gz NBNBCBPGRBHYHM-GFCCVEGCSA-N -1 1 300.152 1.752 20 0 DDADMM O=C(N[C@H]1CC[C@@H](C(=O)N2CCCCC2)C1)c1ncccc1[O-] ZINC000366734968 418511461 /nfs/dbraw/zinc/51/14/61/418511461.db2.gz NKKMCEHISMDTLU-OLZOCXBDSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(C(=O)N1CC2(CCOCC2)[C@H]1C1CC1)c1ccc([O-])cc1 ZINC000294049670 418582520 /nfs/dbraw/zinc/58/25/20/418582520.db2.gz GWVHNRMYVMHSLK-MRXNPFEDSA-N -1 1 315.369 1.993 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H](C)C(=O)Nc2ncccn2)n1 ZINC000195074834 222190719 /nfs/dbraw/zinc/19/07/19/222190719.db2.gz PCRWQBQZJTYKIK-MRVPVSSYSA-N -1 1 305.363 1.242 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N(CCO)CC(F)F ZINC000194375829 222176408 /nfs/dbraw/zinc/17/64/08/222176408.db2.gz ZWWDCHGJBZWIBM-UHFFFAOYSA-N -1 1 324.121 1.854 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Cl)cc2[O-])C[C@H](C)S1(=O)=O ZINC000291276783 222278506 /nfs/dbraw/zinc/27/85/06/222278506.db2.gz OHJVQGHEUSTFRD-IUCAKERBSA-N -1 1 317.794 1.693 20 0 DDADMM COCc1nsc(=NC[C@@H]2CCC[C@H]2N2CCOCC2)[n-]1 ZINC000361787013 418710452 /nfs/dbraw/zinc/71/04/52/418710452.db2.gz ZJVXTKJCUZSZGD-NWDGAFQWSA-N -1 1 312.439 1.019 20 0 DDADMM C[C@H]1Oc2ccccc2[C@@H]1NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000365659114 418890063 /nfs/dbraw/zinc/89/00/63/418890063.db2.gz AJFVBRZNDZXCDP-YMTOWFKASA-N -1 1 324.340 1.926 20 0 DDADMM CC[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@H](CC)O1 ZINC000421223128 419528804 /nfs/dbraw/zinc/52/88/04/419528804.db2.gz UTPUWHCKCDQSMD-TXEJJXNPSA-N -1 1 315.373 1.953 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-]c1ccc(C(N)=O)cc1 ZINC000421135823 419498633 /nfs/dbraw/zinc/49/86/33/419498633.db2.gz BWFHFIPOBCFHQF-ZDUSSCGKSA-N -1 1 314.407 1.588 20 0 DDADMM CCn1cc([N-]S(=O)(=O)C[C@H](Cc2ccccc2)OC)cn1 ZINC000421156941 419507959 /nfs/dbraw/zinc/50/79/59/419507959.db2.gz QRVOLVBGVRUAEV-HNNXBMFYSA-N -1 1 323.418 1.902 20 0 DDADMM CCc1nn(C)cc1[N-]S(=O)(=O)C[C@H](CC(C)C)OC ZINC000421162158 419510609 /nfs/dbraw/zinc/51/06/09/419510609.db2.gz YUJYNNMCIVRTSN-NSHDSACASA-N -1 1 303.428 1.785 20 0 DDADMM COc1ccc([C@H](CCO)NC(=O)c2ncc(C)cc2[O-])cc1 ZINC000427543922 419683462 /nfs/dbraw/zinc/68/34/62/419683462.db2.gz PWKIYFMBERQMMP-AWEZNQCLSA-N -1 1 316.357 1.958 20 0 DDADMM C[C@@H](C(=O)[O-])C1(NS(=O)(=O)c2c(F)cc(F)cc2F)CC1 ZINC000650426083 423154142 /nfs/dbraw/zinc/15/41/42/423154142.db2.gz APGMNEWAJXZINS-LURJTMIESA-N -1 1 323.292 1.636 20 0 DDADMM CC(C)CN1C[C@@H]2CN(C(=O)c3ncccc3[O-])CCN2C1=O ZINC000427814859 419742146 /nfs/dbraw/zinc/74/21/46/419742146.db2.gz XKMGXDPLEQRXIZ-LBPRGKRZSA-N -1 1 318.377 1.005 20 0 DDADMM CNC(=O)[C@@H]1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000421945593 419781909 /nfs/dbraw/zinc/78/19/09/419781909.db2.gz HCSDLYSMDXBYTF-MRVPVSSYSA-N -1 1 316.279 1.619 20 0 DDADMM NC(=O)c1csc(=NCCOCc2ccc(Cl)cc2)[n-]1 ZINC000432343375 229097717 /nfs/dbraw/zinc/09/77/17/229097717.db2.gz VDCZBXUOENCVAK-UHFFFAOYSA-N -1 1 311.794 1.946 20 0 DDADMM Cn1cc([C@H](CO)NC(=O)c2ccc3ccccc3c2[O-])cn1 ZINC000436888566 229536571 /nfs/dbraw/zinc/53/65/71/229536571.db2.gz NWHAXUPCZQPNDO-HNNXBMFYSA-N -1 1 311.341 1.742 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cc(F)ccc2OC)n1 ZINC000415635814 420131625 /nfs/dbraw/zinc/13/16/25/420131625.db2.gz DNNHWWBAVCFFKH-UHFFFAOYSA-N -1 1 321.308 1.915 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(CC(C)C)C1CCCC1 ZINC000416147609 420256513 /nfs/dbraw/zinc/25/65/13/420256513.db2.gz XQYBGOXSWJALAE-UHFFFAOYSA-N -1 1 309.366 1.937 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@@H]1CCCC[C@@H]1C ZINC000416166010 420264408 /nfs/dbraw/zinc/26/44/08/420264408.db2.gz JNGXVVPSEPJQNB-UWVGGRQHSA-N -1 1 309.366 1.843 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCc2n[nH]nc2C1 ZINC000436751354 420362970 /nfs/dbraw/zinc/36/29/70/420362970.db2.gz KXPWVGCJHWPRET-UHFFFAOYSA-N -1 1 312.251 1.728 20 0 DDADMM O=C(N[C@@H]1CC[S@](=O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC000436754574 420363176 /nfs/dbraw/zinc/36/31/76/420363176.db2.gz ZQMCPSJQOYSJHB-SQFXPLBJSA-N -1 1 307.293 1.662 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc(-c2nncs2)cc1 ZINC000436479619 420330852 /nfs/dbraw/zinc/33/08/52/420330852.db2.gz UYZGEVWLLLYICR-VIFPVBQESA-N -1 1 313.404 1.982 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)COC[C@H]2CCOC2)c1 ZINC000436511397 420334264 /nfs/dbraw/zinc/33/42/64/420334264.db2.gz VUPHVZXOKGTQQX-JTQLQIEISA-N -1 1 309.318 1.170 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cnc(N(C)C)cn2)c1 ZINC000436512091 420335101 /nfs/dbraw/zinc/33/51/01/420335101.db2.gz XTZWKVPCKFXFFK-UHFFFAOYSA-N -1 1 316.317 1.287 20 0 DDADMM O=C(NCc1nc(C(F)(F)F)n[nH]1)c1cc(F)ccc1[O-] ZINC000436535233 420337607 /nfs/dbraw/zinc/33/76/07/420337607.db2.gz RBCFEXXDDRNNMK-UHFFFAOYSA-N -1 1 304.203 1.598 20 0 DDADMM COc1ccc(CNC(=O)c2c([O-])cccc2F)c(OC)n1 ZINC000436539837 420338571 /nfs/dbraw/zinc/33/85/71/420338571.db2.gz ZZBJDKOTDSJHJF-UHFFFAOYSA-N -1 1 306.293 1.874 20 0 DDADMM CNS(=O)(=O)c1cccc(NC(=O)c2ccc(O)cc2[O-])c1 ZINC000436607196 420344238 /nfs/dbraw/zinc/34/42/38/420344238.db2.gz RUGXDZHGHJPPSQ-UHFFFAOYSA-N -1 1 322.342 1.258 20 0 DDADMM O=C(CCc1cncs1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425307503 420345317 /nfs/dbraw/zinc/34/53/17/420345317.db2.gz UECOFJUBPCMCIL-SECBINFHSA-N -1 1 308.363 1.571 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@H](CC(C)C)OC)c1ccco1 ZINC000420667195 420348222 /nfs/dbraw/zinc/34/82/22/420348222.db2.gz IOXLIWXOULDGQA-QWHCGFSZSA-N -1 1 319.423 1.948 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC(O)(C(F)F)C3)cnc2n1 ZINC000425324265 420351277 /nfs/dbraw/zinc/35/12/77/420351277.db2.gz MBFSRJMPXKYTRZ-UHFFFAOYSA-N -1 1 309.272 1.096 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC(O)(C(F)F)C3)c[n-]c2n1 ZINC000425324265 420351280 /nfs/dbraw/zinc/35/12/80/420351280.db2.gz MBFSRJMPXKYTRZ-UHFFFAOYSA-N -1 1 309.272 1.096 20 0 DDADMM O=C(NC[C@@H](CCO)c1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000436703921 420359360 /nfs/dbraw/zinc/35/93/60/420359360.db2.gz NCRKSPZZWDKNSR-OAHLLOKOSA-N -1 1 313.353 1.857 20 0 DDADMM C[C@H]1COC(C)(C)CN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000436728121 420360765 /nfs/dbraw/zinc/36/07/65/420360765.db2.gz IBMMZAKEZOYLHF-VIFPVBQESA-N -1 1 304.350 1.313 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)[C@@H]1CC1(C)C ZINC000416271101 420299750 /nfs/dbraw/zinc/29/97/50/420299750.db2.gz AVRGUWFCILSOOG-VHSXEESVSA-N -1 1 314.407 1.742 20 0 DDADMM CC[C@@H](NC(=O)c1coc(S(=O)(=O)[N-]C)c1)[C@@H]1CC1(C)C ZINC000416277046 420300858 /nfs/dbraw/zinc/30/08/58/420300858.db2.gz OCFZCSQKERKYOD-WDEREUQCSA-N -1 1 314.407 1.742 20 0 DDADMM NC(=O)CCOc1ccccc1NC(=O)c1ccc(O)cc1[O-] ZINC000436795034 420368452 /nfs/dbraw/zinc/36/84/52/420368452.db2.gz XEIHXFJVSBUIEU-UHFFFAOYSA-N -1 1 316.313 1.604 20 0 DDADMM CNC(=O)[C@H](CCSC)NC(=O)c1cc(Cl)ccc1[O-] ZINC000436843438 420374877 /nfs/dbraw/zinc/37/48/77/420374877.db2.gz HJQPSINAKZROOX-JTQLQIEISA-N -1 1 316.810 1.643 20 0 DDADMM O=C(NCCn1cnc2ccccc21)C(=O)c1ccc([O-])cc1 ZINC000436932043 420384717 /nfs/dbraw/zinc/38/47/17/420384717.db2.gz NVTQGTOXJWGGRK-UHFFFAOYSA-N -1 1 309.325 1.741 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2c(F)cccc2Cl)CCC(=O)N1 ZINC000416502513 420399330 /nfs/dbraw/zinc/39/93/30/420399330.db2.gz QFVZEZICPGCWSN-GFCCVEGCSA-N -1 1 320.773 1.426 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C2CC2)C2CCC2)c1 ZINC000416512209 420402428 /nfs/dbraw/zinc/40/24/28/420402428.db2.gz PSJRBNCLTDDFEG-CYBMUJFWSA-N -1 1 313.375 1.923 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cccc3c2OCCO3)c1C ZINC000438243898 420447142 /nfs/dbraw/zinc/44/71/42/420447142.db2.gz OLYDWCQGYFVGBS-UHFFFAOYSA-N -1 1 323.374 1.853 20 0 DDADMM Cc1nc([C@H]2CCCCN2C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)n[nH]1 ZINC000417362339 420449868 /nfs/dbraw/zinc/44/98/68/420449868.db2.gz AVXWXNDIVWNOEV-OUAUKWLOSA-N -1 1 306.366 1.524 20 0 DDADMM CCOC(=O)C[C@H](NC(=O)c1ncccc1[O-])c1ccncc1 ZINC000456877163 420557655 /nfs/dbraw/zinc/55/76/55/420557655.db2.gz BDOLBXVOVDTLLT-LBPRGKRZSA-N -1 1 315.329 1.607 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2c(OC)cccc2OC)n1 ZINC000451025543 420581725 /nfs/dbraw/zinc/58/17/25/420581725.db2.gz KBWMDUMVWWIJKJ-UHFFFAOYSA-N -1 1 312.351 1.185 20 0 DDADMM COC(=O)N1CCC([C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC000492573532 420594949 /nfs/dbraw/zinc/59/49/49/420594949.db2.gz YHGQWIUFHSGBNH-JTQLQIEISA-N -1 1 307.350 1.384 20 0 DDADMM CC(C)[C@@H](CO)[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C(C)C ZINC000452904946 420677354 /nfs/dbraw/zinc/67/73/54/420677354.db2.gz BBWJWQXFOJAKMV-HUUCEWRRSA-N -1 1 307.390 1.980 20 0 DDADMM CC(C)OC(=O)[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C(C)C ZINC000452881664 420673838 /nfs/dbraw/zinc/67/38/38/420673838.db2.gz WZEMCWCBQZMXJI-ZDUSSCGKSA-N -1 1 307.346 1.667 20 0 DDADMM NC(=O)c1[nH]nnc1NC(=O)C=Cc1cccc2ccccc12 ZINC000493317913 420816952 /nfs/dbraw/zinc/81/69/52/420816952.db2.gz HDEBNRNUAURBJD-CMDGGOBGSA-N -1 1 307.313 1.709 20 0 DDADMM C[C@H](Cc1cnn(C)c1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454310760 420835430 /nfs/dbraw/zinc/83/54/30/420835430.db2.gz CVNWTPIGWDPURJ-SNVBAGLBSA-N -1 1 311.345 1.018 20 0 DDADMM CCO/C=C/C(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000493432574 420848936 /nfs/dbraw/zinc/84/89/36/420848936.db2.gz MNGRFOWIYDHZKK-BQYQJAHWSA-N -1 1 314.363 1.555 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC(C)(C)OC)o1 ZINC000472334010 420963802 /nfs/dbraw/zinc/96/38/02/420963802.db2.gz GTKQVNHLTONPJF-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM Cc1nnsc1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000493908902 420967027 /nfs/dbraw/zinc/96/70/27/420967027.db2.gz TVBZCQNXYLGLRN-UHFFFAOYSA-N -1 1 301.331 1.013 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1Cc2c(cccc2C)O1)c1nn[n-]n1 ZINC000450122745 421145406 /nfs/dbraw/zinc/14/54/06/421145406.db2.gz RELCLBDQGQVVRH-WCQYABFASA-N -1 1 301.350 1.469 20 0 DDADMM C[C@@H]1Cc2cccc(C(=O)Nc3nc(SCCO)n[nH]3)c2O1 ZINC000450123784 421145654 /nfs/dbraw/zinc/14/56/54/421145654.db2.gz APPQUBUTLFCUSB-MRVPVSSYSA-N -1 1 320.374 1.465 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)CNC(=O)c1cccc(O)c1 ZINC000456393389 421158709 /nfs/dbraw/zinc/15/87/09/421158709.db2.gz XXZQXRKWHBRBST-CQSZACIVSA-N -1 1 319.405 1.065 20 0 DDADMM Cc1cccc(Cl)c1CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000489963474 421182555 /nfs/dbraw/zinc/18/25/55/421182555.db2.gz QIEJQBUTMUFAIP-JTQLQIEISA-N -1 1 307.785 1.966 20 0 DDADMM CNC(=O)CCCS(=O)(=O)c1nc(-c2ccc(C)cc2)n[n-]1 ZINC000559995675 421231267 /nfs/dbraw/zinc/23/12/67/421231267.db2.gz RZZIPMNJOSLSLR-UHFFFAOYSA-N -1 1 322.390 1.080 20 0 DDADMM CNC(=O)CCCS(=O)(=O)c1n[n-]c(-c2ccc(C)cc2)n1 ZINC000559995675 421231270 /nfs/dbraw/zinc/23/12/70/421231270.db2.gz RZZIPMNJOSLSLR-UHFFFAOYSA-N -1 1 322.390 1.080 20 0 DDADMM Cc1cc(F)c(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1F ZINC000560201158 421240061 /nfs/dbraw/zinc/24/00/61/421240061.db2.gz HPTWHTDJGWVFJR-JTQLQIEISA-N -1 1 321.331 1.735 20 0 DDADMM O=C(CCCC1CCOCC1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000545276862 421249275 /nfs/dbraw/zinc/24/92/75/421249275.db2.gz CUVWNIJZLWAPEE-ZDUSSCGKSA-N -1 1 307.398 1.503 20 0 DDADMM Cc1ncc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(C2CC2)n1 ZINC000545277081 421249749 /nfs/dbraw/zinc/24/97/49/421249749.db2.gz QORZJEBSWWPGIT-NSHDSACASA-N -1 1 313.365 1.195 20 0 DDADMM O=S1(=O)CCCC[C@H]1CN=c1[n-]nc(-c2ccccn2)s1 ZINC000546180628 421287959 /nfs/dbraw/zinc/28/79/59/421287959.db2.gz IDOQXJFAQHEENC-JTQLQIEISA-N -1 1 324.431 1.401 20 0 DDADMM C[N@H+]1CCCC(C)(C)[C@H]1CN=c1[n-]c(C2CCOCC2)no1 ZINC000560842842 421296072 /nfs/dbraw/zinc/29/60/72/421296072.db2.gz KYIYPNCOVVYIOO-CYBMUJFWSA-N -1 1 308.426 1.918 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccc(C)cc1OC ZINC000526087004 421331348 /nfs/dbraw/zinc/33/13/48/421331348.db2.gz VSMKBOJXQLBVGJ-UHFFFAOYSA-N -1 1 317.345 1.588 20 0 DDADMM O=C([O-])C1(C(=O)NCC2(CN3CCOCC3)CCCCC2)CC1 ZINC000562224857 421344323 /nfs/dbraw/zinc/34/43/23/421344323.db2.gz WOOLNUIEZBUTKI-UHFFFAOYSA-N -1 1 324.421 1.250 20 0 DDADMM CC(C)(C)OC(=O)NCC(C)(C)C(=O)[N-]OCC(C)(C)O ZINC000497168490 421377454 /nfs/dbraw/zinc/37/74/54/421377454.db2.gz RCVAKXGCBAZAGW-UHFFFAOYSA-N -1 1 304.387 1.356 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H]1CN(Cc2ncccc2[O-])CCO1 ZINC000497197277 421384898 /nfs/dbraw/zinc/38/48/98/421384898.db2.gz RCWROKCLTZLUMD-GFCCVEGCSA-N -1 1 323.393 1.513 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)CN2CC[C@@](C)(C(=O)[O-])C2)c1C ZINC000514941306 421468132 /nfs/dbraw/zinc/46/81/32/421468132.db2.gz CFEKJCWUTLTKGB-MRXNPFEDSA-N -1 1 322.361 1.397 20 0 DDADMM COc1ccnc(N2CCN(CCCCCC(=O)[O-])CC2)n1 ZINC000516576324 421554977 /nfs/dbraw/zinc/55/49/77/421554977.db2.gz OWRRPXGOOXVHER-UHFFFAOYSA-N -1 1 308.382 1.252 20 0 DDADMM O=C([O-])C1(C(=O)NC[C@@H]2CCC[N@@H+](Cc3cccs3)C2)CC1 ZINC000554267652 421635284 /nfs/dbraw/zinc/63/52/84/421635284.db2.gz WDEUSUXHBACEMD-LBPRGKRZSA-N -1 1 322.430 1.941 20 0 DDADMM Cc1nc(CC(C)C)oc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000530453998 421601321 /nfs/dbraw/zinc/60/13/21/421601321.db2.gz PVCAGNUHKNGBCM-NSHDSACASA-N -1 1 318.381 1.714 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@@H](C)N1CCC[C@H](CC(=O)[O-])C1 ZINC000533203279 421669435 /nfs/dbraw/zinc/66/94/35/421669435.db2.gz VJXYWJVSJURRRN-CHWSQXEVSA-N -1 1 322.409 1.978 20 0 DDADMM COc1ccc(NC(=O)c2cn[nH]c2)cc1[N-]S(C)(=O)=O ZINC000520465517 421752139 /nfs/dbraw/zinc/75/21/39/421752139.db2.gz MLXQPDGUZIIJPY-UHFFFAOYSA-N -1 1 310.335 1.042 20 0 DDADMM CN1CC[C@](C)(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)C1=O ZINC000635271703 421871670 /nfs/dbraw/zinc/87/16/70/421871670.db2.gz RDRNHSSLVHWVJZ-MRXNPFEDSA-N -1 1 314.345 1.589 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C)c2nc(C(F)(F)F)no2)c([O-])c1 ZINC000627819348 421902724 /nfs/dbraw/zinc/90/27/24/421902724.db2.gz DMGZGTPSNAHAPC-LURJTMIESA-N -1 1 316.239 1.988 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN(CCO)CCc1ccccc1 ZINC000635301837 421890876 /nfs/dbraw/zinc/89/08/76/421890876.db2.gz AKXLJFMHOLNAAY-UHFFFAOYSA-N -1 1 303.362 1.155 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@@H](C)Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000630140765 421914888 /nfs/dbraw/zinc/91/48/88/421914888.db2.gz WVJAKFAMXSKKHY-WFASDCNBSA-N -1 1 315.373 1.881 20 0 DDADMM CN(C)c1ccncc1C(=O)NCC1(C(=O)[O-])CCCCC1 ZINC000630164274 421930136 /nfs/dbraw/zinc/93/01/36/421930136.db2.gz VMRVZLHXSAYNGW-UHFFFAOYSA-N -1 1 305.378 1.913 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H](C)Oc2ccccc2)CC1 ZINC000630223606 421973499 /nfs/dbraw/zinc/97/34/99/421973499.db2.gz JYPBMENXDDUDLV-KGLIPLIRSA-N -1 1 320.389 1.461 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(C(=O)c2cnccc2N(C)C)C1 ZINC000630177854 421940653 /nfs/dbraw/zinc/94/06/53/421940653.db2.gz NVWAEZQWSGHPDJ-INIZCTEOSA-N -1 1 305.378 1.721 20 0 DDADMM COc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c(F)c1 ZINC000630222605 421974109 /nfs/dbraw/zinc/97/41/09/421974109.db2.gz GLYUUXOUEGLZHX-NSHDSACASA-N -1 1 324.352 1.455 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CC(C)(C)C(F)(F)F)CC1 ZINC000630219162 421968652 /nfs/dbraw/zinc/96/86/52/421968652.db2.gz HENHTJOVAGQDOX-SNVBAGLBSA-N -1 1 324.343 1.972 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(O[C@H]2CC2(F)F)cc1 ZINC000633645309 422022631 /nfs/dbraw/zinc/02/26/31/422022631.db2.gz CKPYLVGKOQMXID-JTQLQIEISA-N -1 1 309.276 1.557 20 0 DDADMM COc1ccc(CCNC(=O)CCCc2nn[n-]n2)cc1F ZINC000635497568 422045420 /nfs/dbraw/zinc/04/54/20/422045420.db2.gz QRKMWHPZVGDYFM-UHFFFAOYSA-N -1 1 307.329 1.029 20 0 DDADMM CC[C@H](O)CCCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632028324 422033508 /nfs/dbraw/zinc/03/35/08/422033508.db2.gz YTCIUIMNUUVROH-JTQLQIEISA-N -1 1 303.380 1.230 20 0 DDADMM NC(=O)[C@H]1CCC[C@H](NC(=O)N=c2[n-]sc3ccccc32)C1 ZINC000630351881 422039580 /nfs/dbraw/zinc/03/95/80/422039580.db2.gz SQSPQTRWKHBXDD-UWVGGRQHSA-N -1 1 318.402 1.884 20 0 DDADMM COc1ccccc1C(C)(C)NC(=O)CCCc1nn[n-]n1 ZINC000635494731 422041851 /nfs/dbraw/zinc/04/18/51/422041851.db2.gz GLOOSJSNEKMPFE-UHFFFAOYSA-N -1 1 303.366 1.583 20 0 DDADMM Cc1cc(F)cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1 ZINC000630224257 421976081 /nfs/dbraw/zinc/97/60/81/421976081.db2.gz PYCUGMXWCQOTTJ-UHFFFAOYSA-N -1 1 308.353 1.755 20 0 DDADMM CCOC1(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CCCC1 ZINC000630227751 421978769 /nfs/dbraw/zinc/97/87/69/421978769.db2.gz QZVHGPJGDHRUGO-UHFFFAOYSA-N -1 1 312.410 1.343 20 0 DDADMM CC[C@@H](C)[C@H](OC)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630227159 421979062 /nfs/dbraw/zinc/97/90/62/421979062.db2.gz IBCUXSBFUDHIER-NEPJUHHUSA-N -1 1 300.399 1.055 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](c1cccnc1)C1CC1 ZINC000581635016 422016566 /nfs/dbraw/zinc/01/65/66/422016566.db2.gz OZTPARFSVXLROC-LLVKDONJSA-N -1 1 308.363 1.220 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](c1cccnc1)C1CC1 ZINC000581635016 422016571 /nfs/dbraw/zinc/01/65/71/422016571.db2.gz OZTPARFSVXLROC-LLVKDONJSA-N -1 1 308.363 1.220 20 0 DDADMM CO[C@H]1CN(C(=O)c2cc(C)cc3c[nH]nc32)[C@](C)(C(=O)[O-])C1 ZINC000630311513 422017338 /nfs/dbraw/zinc/01/73/38/422017338.db2.gz OKGVJSLSNTWCPX-BZNIZROVSA-N -1 1 317.345 1.576 20 0 DDADMM COC(=O)[C@H](NC(=O)c1c([O-])cccc1F)c1cccnc1 ZINC000581978225 422078583 /nfs/dbraw/zinc/07/85/83/422078583.db2.gz SFQCDLDDYKKFNZ-CYBMUJFWSA-N -1 1 304.277 1.570 20 0 DDADMM Cc1cnc(C(=O)NCCC(=O)Nc2ccccn2)c([O-])c1 ZINC000574382595 422098329 /nfs/dbraw/zinc/09/83/29/422098329.db2.gz WWLIJAQULGKKSP-UHFFFAOYSA-N -1 1 300.318 1.249 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[O-])CN1S(=O)(=O)c1cc(O)cc(F)c1 ZINC000630444374 422106867 /nfs/dbraw/zinc/10/68/67/422106867.db2.gz XUOOZYBEWIPFRA-IUCAKERBSA-N -1 1 317.338 1.405 20 0 DDADMM COc1cc(CN[C@@H](C(=O)[O-])c2ccnn2C)ccc1Cl ZINC000630461648 422115371 /nfs/dbraw/zinc/11/53/71/422115371.db2.gz GLDVVCCEYYZRRK-CYBMUJFWSA-N -1 1 309.753 1.998 20 0 DDADMM O=C(Cc1ccc(O)c(Cl)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000632054135 422054050 /nfs/dbraw/zinc/05/40/50/422054050.db2.gz MUPQZPQTHSKWJE-SNVBAGLBSA-N -1 1 321.768 1.507 20 0 DDADMM C[N@@H+]1CC=C(CNS(=O)(=O)c2ccc(C(F)F)o2)CC1 ZINC000632184565 422145109 /nfs/dbraw/zinc/14/51/09/422145109.db2.gz UMOGCEWVRZLNMH-UHFFFAOYSA-N -1 1 306.334 1.757 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](N)c1ccc(C(F)(F)F)cc1)C(=O)[O-] ZINC000630573316 422188659 /nfs/dbraw/zinc/18/86/59/422188659.db2.gz CZTNSDLTDAEYDG-GZMMTYOYSA-N -1 1 318.295 1.884 20 0 DDADMM COc1cccc([C@H](N)C(=O)N2CCC[C@@H](CC(=O)[O-])C2)c1 ZINC000630570640 422186434 /nfs/dbraw/zinc/18/64/34/422186434.db2.gz CMRHMSOSJJLFPR-NHYWBVRUSA-N -1 1 306.362 1.408 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(CCc1ccc(F)cc1)C1CC1 ZINC000635574201 422128158 /nfs/dbraw/zinc/12/81/58/422128158.db2.gz BSGVQMKSKARIKZ-UHFFFAOYSA-N -1 1 317.368 1.895 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(c2ccc(O)cc2)CC1 ZINC000630627612 422229886 /nfs/dbraw/zinc/22/98/86/422229886.db2.gz HNVMKLAAVISCSF-UHFFFAOYSA-N -1 1 301.350 1.244 20 0 DDADMM CO[C@@H](CNC(=O)CCCc1nn[n-]n1)c1ccccc1Cl ZINC000635700787 422256945 /nfs/dbraw/zinc/25/69/45/422256945.db2.gz VSWHSQWXMILHCH-LBPRGKRZSA-N -1 1 323.784 1.680 20 0 DDADMM COc1cccc([C@H](N)C(=O)N2C[C@H](C(=O)[O-])CC[C@H]2C)c1 ZINC000630573656 422189627 /nfs/dbraw/zinc/18/96/27/422189627.db2.gz HHKHPLWXKFSNEP-QKCSRTOESA-N -1 1 306.362 1.407 20 0 DDADMM Cc1cc(CN)oc1C(=O)N1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000630575158 422191660 /nfs/dbraw/zinc/19/16/60/422191660.db2.gz MBCVIRDGIOSEOQ-CQSZACIVSA-N -1 1 314.341 1.871 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](n3cccn3)C2)c1 ZINC000632353179 422269351 /nfs/dbraw/zinc/26/93/51/422269351.db2.gz UQHYQLRFSFFUTJ-NSHDSACASA-N -1 1 323.374 1.233 20 0 DDADMM COc1ccccc1C[C@@](C)(CO)NC(=O)c1cncc([O-])c1 ZINC000634283375 422366286 /nfs/dbraw/zinc/36/62/86/422366286.db2.gz OKGAJAANFWHDHN-KRWDZBQOSA-N -1 1 316.357 1.519 20 0 DDADMM COc1ccc2c(c1)CCC[C@@H]2N(C)C(=O)CCc1nn[n-]n1 ZINC000630849687 422373466 /nfs/dbraw/zinc/37/34/66/422373466.db2.gz IRNVSUJBIQMSQC-AWEZNQCLSA-N -1 1 315.377 1.677 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](C)C(O)[C@H](C)C2)c1 ZINC000632555900 422433981 /nfs/dbraw/zinc/43/39/81/422433981.db2.gz URRQJZXCJSYMOF-NXEZZACHSA-N -1 1 315.391 1.038 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n(C)n1 ZINC000635890188 422443096 /nfs/dbraw/zinc/44/30/96/422443096.db2.gz SQQDXFJYMSNNKM-VIFPVBQESA-N -1 1 305.338 1.091 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC2(CCC2)c2c1cccc2F ZINC000630890926 422398257 /nfs/dbraw/zinc/39/82/57/422398257.db2.gz YOTZPCMSGPUWPG-UHFFFAOYSA-N -1 1 301.325 1.740 20 0 DDADMM O=S(=O)([N-]CC[C@]1(O)CCOC1)c1ccc(C(F)F)o1 ZINC000632511082 422400466 /nfs/dbraw/zinc/40/04/66/422400466.db2.gz YKFIKXNDRZZGPU-NSHDSACASA-N -1 1 311.306 1.037 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCc2c(C)n[nH]c2C)sn1 ZINC000632521304 422408032 /nfs/dbraw/zinc/40/80/32/422408032.db2.gz YUVLULWWJTXEMJ-UHFFFAOYSA-N -1 1 300.409 1.312 20 0 DDADMM CN(CC(=O)Nc1ccc(-n2nn[n-]c2=O)cc1)CC(C)(C)C ZINC000635852799 422408258 /nfs/dbraw/zinc/40/82/58/422408258.db2.gz JZDHTDPQDLBDQR-UHFFFAOYSA-N -1 1 318.381 1.284 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2cn(C(C)C)nn2)sc1C ZINC000632528899 422411692 /nfs/dbraw/zinc/41/16/92/422411692.db2.gz PAFYYJQOONGNAT-UHFFFAOYSA-N -1 1 315.424 1.411 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-][C@@H](C)[C@@H](O)C(F)(F)F ZINC000632672332 422509639 /nfs/dbraw/zinc/50/96/39/422509639.db2.gz AXVUKYLJUCELSP-CAHLUQPWSA-N -1 1 319.326 1.347 20 0 DDADMM CC(=O)Nc1cc(C(=O)NC(C)(C)c2nn[n-]n2)ccc1C ZINC000578184979 422517448 /nfs/dbraw/zinc/51/74/48/422517448.db2.gz XIEAQTHAWAWYIG-UHFFFAOYSA-N -1 1 302.338 1.132 20 0 DDADMM CCc1c(C)[n-]n(-c2ccc(S(=O)(=O)NC3CC3)cc2)c1=O ZINC000634601728 422500008 /nfs/dbraw/zinc/50/00/08/422500008.db2.gz UYZXQAPNANUPJB-AWEZNQCLSA-N -1 1 321.402 1.876 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCO[C@H]3CC3(F)F)cnc2n1 ZINC000622161137 422538000 /nfs/dbraw/zinc/53/80/00/422538000.db2.gz KMDUKCSDTTZZGH-NSHDSACASA-N -1 1 323.299 1.798 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCO[C@H]1CC1(F)F)c2=O ZINC000622161137 422538004 /nfs/dbraw/zinc/53/80/04/422538004.db2.gz KMDUKCSDTTZZGH-NSHDSACASA-N -1 1 323.299 1.798 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCc2sc(Cl)cc2C1 ZINC000636074776 422618976 /nfs/dbraw/zinc/61/89/76/422618976.db2.gz RTQWUXPZSQOOHF-UHFFFAOYSA-N -1 1 311.798 1.822 20 0 DDADMM COC[C@H](C)N(CC(=O)NCC(=O)[O-])[C@@H]1CCc2ccccc21 ZINC000629507630 422705091 /nfs/dbraw/zinc/70/50/91/422705091.db2.gz OZLPPCWCOKRRJQ-SWLSCSKDSA-N -1 1 320.389 1.212 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCS[C@H](c2ccccc2)C1 ZINC000631361279 422714504 /nfs/dbraw/zinc/71/45/04/422714504.db2.gz TUKHJPICKALAQC-LBPRGKRZSA-N -1 1 303.391 1.449 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H]3CCC[C@@H]3C(=O)[O-])[nH]c2c1 ZINC000634822633 422668990 /nfs/dbraw/zinc/66/89/90/422668990.db2.gz ALBAIZMHZQEYEH-QWRGUYRKSA-N -1 1 301.346 1.988 20 0 DDADMM C[C@@H](O[C@@H](C)C(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000634860006 422687015 /nfs/dbraw/zinc/68/70/15/422687015.db2.gz GMQZQMWBUQDWTQ-OLZOCXBDSA-N -1 1 320.389 1.039 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(c2ccccc2F)CC1 ZINC000631385141 422732412 /nfs/dbraw/zinc/73/24/12/422732412.db2.gz DRCQJAIKIPQKNI-UHFFFAOYSA-N -1 1 303.341 1.678 20 0 DDADMM CCc1ccc(C(=O)[O-])cc1S(=O)(=O)NC[C@H](C)N(C)C ZINC000038088090 263254158 /nfs/dbraw/zinc/25/41/58/263254158.db2.gz VNTCYBRDKVBJCF-JTQLQIEISA-N -1 1 314.407 1.176 20 0 DDADMM O=C(CCCc1ccccn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000646066233 423321622 /nfs/dbraw/zinc/32/16/22/423321622.db2.gz UVWHYNAUBXCKKU-GFCCVEGCSA-N -1 1 316.361 1.899 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H](C)c3cccs3)nc2n1 ZINC000643909347 423402514 /nfs/dbraw/zinc/40/25/14/423402514.db2.gz TUSDPGOVPHUBEK-MRVPVSSYSA-N -1 1 303.347 1.530 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC/C=C\c2cccnc2)co1 ZINC000646404207 423475648 /nfs/dbraw/zinc/47/56/48/423475648.db2.gz MSFCGXIYFUQNJL-HYXAFXHYSA-N -1 1 321.358 1.026 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC[C@@H](Nc2ncccn2)C1 ZINC000648950551 423561409 /nfs/dbraw/zinc/56/14/09/423561409.db2.gz CYRSUHCNRKDJNG-LLVKDONJSA-N -1 1 302.309 1.648 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@]1(C)CC1(F)F)c1ccco1 ZINC000641364996 423583581 /nfs/dbraw/zinc/58/35/81/423583581.db2.gz KSIRZHHAYBKLPE-ONGXEEELSA-N -1 1 309.334 1.932 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)[C@H]1CCCS(=O)(=O)C1 ZINC000651554666 423590758 /nfs/dbraw/zinc/59/07/58/423590758.db2.gz QDAUPTGDRDVUGV-QMMMGPOBSA-N -1 1 303.767 1.809 20 0 DDADMM CCO[C@@H]1COC[C@H]1[N-]S(=O)(=O)C[C@@H]1C(C)(C)C1(F)F ZINC000641525820 423696459 /nfs/dbraw/zinc/69/64/59/423696459.db2.gz CIPKWAJROULHIB-OPRDCNLKSA-N -1 1 313.366 1.001 20 0 DDADMM COCCOc1ccc(CN[C@H](C(=O)[O-])c2ccnn2C)cc1 ZINC000644598438 423718625 /nfs/dbraw/zinc/71/86/25/423718625.db2.gz ZDCXZMQPMVBRGZ-HNNXBMFYSA-N -1 1 319.361 1.361 20 0 DDADMM O=S(=O)([N-]CC1CSC1)c1c[nH]nc1C(F)(F)F ZINC000641613497 423756039 /nfs/dbraw/zinc/75/60/39/423756039.db2.gz UWQYDJTUBAVANZ-UHFFFAOYSA-N -1 1 301.315 1.070 20 0 DDADMM C[C@H](C(=O)N1CCCCC1)N1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639825735 423764376 /nfs/dbraw/zinc/76/43/76/423764376.db2.gz QGIPORZQYJDVQH-NEPJUHHUSA-N -1 1 307.398 1.063 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnn([C@H](C)c2ccccc2)c1 ZINC000647135247 423774235 /nfs/dbraw/zinc/77/42/35/423774235.db2.gz IOMJCGWJLTXBDI-GFCCVEGCSA-N -1 1 309.391 1.881 20 0 DDADMM COCCC[N-]S(=O)(=O)c1ncccc1Br ZINC000656858742 423806528 /nfs/dbraw/zinc/80/65/28/423806528.db2.gz BHXZROPNXQTQGJ-UHFFFAOYSA-N -1 1 309.185 1.159 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncccc1Br)C(C)(C)O ZINC000656910956 423862813 /nfs/dbraw/zinc/86/28/13/423862813.db2.gz NAKALAZNGIVUAU-ZETCQYMHSA-N -1 1 323.212 1.282 20 0 DDADMM CCc1c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cnn1C ZINC000644883544 424009265 /nfs/dbraw/zinc/00/92/65/424009265.db2.gz NDZUZPFGABBABY-VIFPVBQESA-N -1 1 305.338 1.091 20 0 DDADMM Cc1n[nH]c(C)c1CCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644883306 424011758 /nfs/dbraw/zinc/01/17/58/424011758.db2.gz BMNSHLBIYDBPJT-LLVKDONJSA-N -1 1 319.365 1.454 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ncccc1Br)[C@H](C)O ZINC000657003065 423981811 /nfs/dbraw/zinc/98/18/11/423981811.db2.gz LHJKFIJYNKYYHS-IONNQARKSA-N -1 1 323.212 1.282 20 0 DDADMM Cc1cc(CNS(=O)(=O)c2c(F)cc(C)cc2F)n[nH]1 ZINC000644856890 423984795 /nfs/dbraw/zinc/98/47/95/423984795.db2.gz FKMXXCZXOGLRON-UHFFFAOYSA-N -1 1 301.318 1.783 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)[nH]n1 ZINC000644856890 423984806 /nfs/dbraw/zinc/98/48/06/423984806.db2.gz FKMXXCZXOGLRON-UHFFFAOYSA-N -1 1 301.318 1.783 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)n[nH]1 ZINC000644856890 423984815 /nfs/dbraw/zinc/98/48/15/423984815.db2.gz FKMXXCZXOGLRON-UHFFFAOYSA-N -1 1 301.318 1.783 20 0 DDADMM O=C(Nc1nc(-c2ccco2)n[nH]1)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000641893757 424070338 /nfs/dbraw/zinc/07/03/38/424070338.db2.gz FOVWQEHSAWGYNT-MRVPVSSYSA-N -1 1 301.306 1.014 20 0 DDADMM O=S(=O)([N-]C/C=C/CO)c1cc(Cl)sc1Cl ZINC000657084093 424091036 /nfs/dbraw/zinc/09/10/36/424091036.db2.gz HHOCFOTVLCMMIU-OWOJBTEDSA-N -1 1 302.204 1.882 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c1 ZINC000659779168 424248355 /nfs/dbraw/zinc/24/83/55/424248355.db2.gz INLUWVJWLASPQW-BDJLRTHQSA-N -1 1 315.329 1.045 20 0 DDADMM COCC(COC)[N-]c1nc(-c2cc(OC)cc(OC)c2)no1 ZINC000663970141 424309571 /nfs/dbraw/zinc/30/95/71/424309571.db2.gz KRDNTJOCUOUZIW-UHFFFAOYSA-N -1 1 323.349 1.827 20 0 DDADMM C[C@H](CNC(=O)c1ccc([O-])cc1F)N1C[C@@H](C)O[C@@H](C)C1 ZINC000655243759 424332181 /nfs/dbraw/zinc/33/21/81/424332181.db2.gz ITWLLQZEYVBXCT-UTUOFQBUSA-N -1 1 310.369 1.759 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N[C@@H](CO)[C@H]1CCCOC1 ZINC000640337549 424358565 /nfs/dbraw/zinc/35/85/65/424358565.db2.gz HVFBXYAINZPQHZ-JQWIXIFHSA-N -1 1 321.402 1.627 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@H]1CCCNC1=O ZINC000640337996 424360472 /nfs/dbraw/zinc/36/04/72/424360472.db2.gz RHGFDFWCFUAZTA-SECBINFHSA-N -1 1 304.375 1.366 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CC[C@H](n2cncn2)C1 ZINC000640339336 424361238 /nfs/dbraw/zinc/36/12/38/424361238.db2.gz QPHZBHHIFNNHPY-JTQLQIEISA-N -1 1 314.374 1.789 20 0 DDADMM C[C@H](O)C1([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CC1 ZINC000660046868 424484573 /nfs/dbraw/zinc/48/45/73/424484573.db2.gz NJVFXMPMZFLRIK-ZETCQYMHSA-N -1 1 310.297 1.292 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1ncc(-c3cccs3)o1)C2 ZINC000662219394 424486821 /nfs/dbraw/zinc/48/68/21/424486821.db2.gz WANOOSJCFJJGHN-MEBBXXQBSA-N -1 1 320.370 1.936 20 0 DDADMM Cc1cccc(C)c1NC(=O)CN1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000662220292 424489055 /nfs/dbraw/zinc/48/90/55/424489055.db2.gz HCGQERSNRUUXJA-CXAGYDPISA-N -1 1 318.373 1.275 20 0 DDADMM COc1ncc(Br)cc1CN(C)[C@@H](C)C(=O)[O-] ZINC000662202159 424464973 /nfs/dbraw/zinc/46/49/73/424464973.db2.gz XELXZUYSFIYNNL-ZETCQYMHSA-N -1 1 303.156 1.758 20 0 DDADMM O=C1Nc2ccccc2CC[C@H]1[N-]S(=O)(=O)Cc1ccon1 ZINC000655626731 424602211 /nfs/dbraw/zinc/60/22/11/424602211.db2.gz GLXXPZXLKVNQCR-CYBMUJFWSA-N -1 1 321.358 1.048 20 0 DDADMM CC(C)(C)n1nnc(C[N-]S(=O)(=O)c2ccccc2F)n1 ZINC000655638109 424607822 /nfs/dbraw/zinc/60/78/22/424607822.db2.gz XKOFPNFLXWUBTB-UHFFFAOYSA-N -1 1 313.358 1.046 20 0 DDADMM C[C@H](CNC(=O)NC[C@H](c1ccc(F)cc1)N(C)C)C(=O)[O-] ZINC000655864260 424685568 /nfs/dbraw/zinc/68/55/68/424685568.db2.gz YVUSTFMPTKIXJQ-ZWNOBZJWSA-N -1 1 311.357 1.448 20 0 DDADMM CC(C)(CCNC(=O)N[C@H]1CCC[N@H+]2CCCC[C@H]12)C(=O)[O-] ZINC000655879262 424690219 /nfs/dbraw/zinc/69/02/19/424690219.db2.gz LUPHKVWTKHYNKI-QWHCGFSZSA-N -1 1 311.426 1.803 20 0 DDADMM C[C@H](CNC(=O)N(C)CCCN(C)Cc1ccco1)C(=O)[O-] ZINC000655881061 424691184 /nfs/dbraw/zinc/69/11/84/424691184.db2.gz GRWYVSUGSDHDOR-GFCCVEGCSA-N -1 1 311.382 1.464 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)N1CCC(c2cnc[nH]2)CC1)C1CCC1 ZINC000665323862 424783499 /nfs/dbraw/zinc/78/34/99/424783499.db2.gz HZYLNNBKBJMGGC-ZDUSSCGKSA-N -1 1 320.393 1.800 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1cc(F)c(F)c(F)c1)C2 ZINC000665344494 424789244 /nfs/dbraw/zinc/78/92/44/424789244.db2.gz KUPGWEGHRUXDBQ-YMTOWFKASA-N -1 1 301.264 1.637 20 0 DDADMM COC(=O)CCCCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000341703225 271179449 /nfs/dbraw/zinc/17/94/49/271179449.db2.gz QTUJONSSYUFDCV-UHFFFAOYSA-N -1 1 317.345 1.717 20 0 DDADMM COC(=O)CCCCNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000341703225 271179453 /nfs/dbraw/zinc/17/94/53/271179453.db2.gz QTUJONSSYUFDCV-UHFFFAOYSA-N -1 1 317.345 1.717 20 0 DDADMM CCC[C@@H](NC(=O)C(=O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000343753760 271856981 /nfs/dbraw/zinc/85/69/81/271856981.db2.gz UMZCLJNOQBJJRF-SNVBAGLBSA-N -1 1 307.741 1.693 20 0 DDADMM CCc1cc(C(=O)N2C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C2)n[nH]1 ZINC000344592483 272066572 /nfs/dbraw/zinc/06/65/72/272066572.db2.gz PATGNKBJNTYZBL-YUMQZZPRSA-N -1 1 305.256 1.307 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cnn(C(F)F)c2)o1 ZINC000345290617 272209880 /nfs/dbraw/zinc/20/98/80/272209880.db2.gz PQVOACUTKHVCLO-UHFFFAOYSA-N -1 1 320.277 1.032 20 0 DDADMM CO[C@H](CC[N-]S(=O)(=O)c1c(C)noc1C)C(F)(F)F ZINC000345324282 272220145 /nfs/dbraw/zinc/22/01/45/272220145.db2.gz GVWWMTXBLYLCMZ-MRVPVSSYSA-N -1 1 316.301 1.537 20 0 DDADMM COC(=O)c1cccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000345487965 272263493 /nfs/dbraw/zinc/26/34/93/272263493.db2.gz TYDISQVFBMZPLV-UHFFFAOYSA-N -1 1 315.333 1.186 20 0 DDADMM C[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@]2(CCOC2)O1 ZINC000289387488 288011796 /nfs/dbraw/zinc/01/17/96/288011796.db2.gz ONVXHVNLHVXHOD-PSLIRLAXSA-N -1 1 313.300 1.690 20 0 DDADMM Cc1cccc2ncnc(N3CCC(c4nc(=O)[n-][nH]4)CC3)c12 ZINC000281028644 278945720 /nfs/dbraw/zinc/94/57/20/278945720.db2.gz TVFTXRZEFPPTDF-UHFFFAOYSA-N -1 1 310.361 1.734 20 0 DDADMM Cc1cc(C)c(NC(=O)[C@H](C)S(=O)(=O)c2ncn[n-]2)c(C)c1 ZINC000086169849 281015683 /nfs/dbraw/zinc/01/56/83/281015683.db2.gz QPDZMMJIJRWFRA-NSHDSACASA-N -1 1 322.390 1.531 20 0 DDADMM Cc1cc(C)c(NC(=O)[C@H](C)S(=O)(=O)c2nc[n-]n2)c(C)c1 ZINC000086169849 281015685 /nfs/dbraw/zinc/01/56/85/281015685.db2.gz QPDZMMJIJRWFRA-NSHDSACASA-N -1 1 322.390 1.531 20 0 DDADMM C[C@H]1CCNC(=O)[C@H]1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000195180226 290599792 /nfs/dbraw/zinc/59/97/92/290599792.db2.gz IDAXTTQUQSVXBZ-CPCISQLKSA-N -1 1 316.279 1.665 20 0 DDADMM CCCCCN(CCCOC)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000354337562 298405262 /nfs/dbraw/zinc/40/52/62/298405262.db2.gz AGJGHDVFODDIRF-UHFFFAOYSA-N -1 1 321.381 1.087 20 0 DDADMM Cc1cc(NC(=O)c2c[n-]c3ccccc3c2=O)c(=O)n(C)c1 ZINC000362138787 300013897 /nfs/dbraw/zinc/01/38/97/300013897.db2.gz CEJXJELDTMTLHN-UHFFFAOYSA-N -1 1 309.325 1.788 20 0 DDADMM CCCc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)ccn1 ZINC000362816066 300148537 /nfs/dbraw/zinc/14/85/37/300148537.db2.gz YERIMFJSAFNLPU-UHFFFAOYSA-N -1 1 300.366 1.747 20 0 DDADMM CCn1nc2c(cc1=O)CN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC000363121160 300214872 /nfs/dbraw/zinc/21/48/72/300214872.db2.gz YXHIOSNGCSBXBM-UHFFFAOYSA-N -1 1 317.320 1.306 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(F)cc1F ZINC000370110419 301277316 /nfs/dbraw/zinc/27/73/16/301277316.db2.gz HWPHFGFIBGVRKD-NSHDSACASA-N -1 1 309.276 1.000 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)[C@H]3COc4ccccc4C3)ccnc1-2 ZINC000370483278 301348662 /nfs/dbraw/zinc/34/86/62/301348662.db2.gz GIOFSHSUKUDTTD-KMCFQHSYSA-N -1 1 308.341 1.532 20 0 DDADMM O=C(C=Cc1ccccc1Cl)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370865986 301398765 /nfs/dbraw/zinc/39/87/65/301398765.db2.gz BBLLSQHZHDLMLC-FYJFLYSWSA-N -1 1 319.752 1.466 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCOCC[C@@H]1C ZINC000375006645 301875420 /nfs/dbraw/zinc/87/54/20/301875420.db2.gz YAUJGPZBOVFVMZ-JTQLQIEISA-N -1 1 318.377 1.232 20 0 DDADMM C[C@H]1[C@H]([N-]C(=O)C(F)(F)F)CC(=O)N1Cc1ccccc1 ZINC000375993108 302020843 /nfs/dbraw/zinc/02/08/43/302020843.db2.gz HKOBRUCEQMLWID-GXSJLCMTSA-N -1 1 300.280 1.855 20 0 DDADMM Cc1cnc(C(=O)N2CCS(=O)(=O)[C@H]3CCCC[C@H]32)c([O-])c1 ZINC000427133834 302333225 /nfs/dbraw/zinc/33/32/25/302333225.db2.gz SEFDSHQRACTIFQ-YPMHNXCESA-N -1 1 324.402 1.277 20 0 DDADMM O=C(C[C@H]1CCC(=O)NC1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000411401117 302375185 /nfs/dbraw/zinc/37/51/85/302375185.db2.gz PUHOOKWLTRHIQF-SNVBAGLBSA-N -1 1 314.345 1.637 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000416637769 302378932 /nfs/dbraw/zinc/37/89/32/302378932.db2.gz VANWTQFDGZGTQF-VHSXEESVSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCC[C@@H]1C(C)(C)C ZINC000416637769 302378933 /nfs/dbraw/zinc/37/89/33/302378933.db2.gz VANWTQFDGZGTQF-VHSXEESVSA-N -1 1 301.412 1.889 20 0 DDADMM CCOC(=O)N(C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000495531837 302419108 /nfs/dbraw/zinc/41/91/08/302419108.db2.gz ZHUJDVCJSUNEMC-UHFFFAOYSA-N -1 1 307.350 1.480 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H](C)C(F)(F)F)o1 ZINC000356417703 306851028 /nfs/dbraw/zinc/85/10/28/306851028.db2.gz XMKJMZMGBFUYJA-ZCFIWIBFSA-N -1 1 314.285 1.116 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2ccc([O-])cc2F)[C@@H]1n1cccn1 ZINC000516993117 302804065 /nfs/dbraw/zinc/80/40/65/302804065.db2.gz NRTRDSREPBRZEW-ZNMIVQPWSA-N -1 1 319.336 1.876 20 0 DDADMM Cn1cc(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)c(C(C)(C)C)n1 ZINC000283137122 302905194 /nfs/dbraw/zinc/90/51/94/302905194.db2.gz IURZLQQCANYRQW-UNOMPAQXSA-N -1 1 312.377 1.625 20 0 DDADMM COc1ccc(NS(=O)(=O)c2cccc(CC(=O)[O-])c2)cn1 ZINC000357014615 306867592 /nfs/dbraw/zinc/86/75/92/306867592.db2.gz BPBRXQUFKDIZSX-UHFFFAOYSA-N -1 1 322.342 1.518 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@]23C[C@H]2COC3(C)C)sc1C ZINC000528496359 303034781 /nfs/dbraw/zinc/03/47/81/303034781.db2.gz NIGZLIIHTJOQAO-JOYOIKCWSA-N -1 1 302.421 1.606 20 0 DDADMM O=C(c1cnn(C2CC2)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000529273532 303105901 /nfs/dbraw/zinc/10/59/01/303105901.db2.gz SAMFQMMXBIZWLG-UHFFFAOYSA-N -1 1 303.322 1.326 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2[C@H](C)[C@H]2C2CC2)c1 ZINC000529407449 303125507 /nfs/dbraw/zinc/12/55/07/303125507.db2.gz KBWKAQSQEJQCKC-NFMODRRSSA-N -1 1 324.402 1.531 20 0 DDADMM O=C(NC[C@@H](O)[C@H]1CCCO1)c1ncc2ccccc2c1[O-] ZINC000529771171 303153506 /nfs/dbraw/zinc/15/35/06/303153506.db2.gz PMTDMFNYERDTHT-CHWSQXEVSA-N -1 1 302.330 1.210 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@]2(C)CCCOC2)o1 ZINC000357420824 306883501 /nfs/dbraw/zinc/88/35/01/306883501.db2.gz OYBPULBENCOPHD-CYBMUJFWSA-N -1 1 317.363 1.304 20 0 DDADMM O=C([O-])[C@@H]1c2ccoc2CC[N@@H+]1C[C@@H](O)COCc1ccco1 ZINC000530168641 303178504 /nfs/dbraw/zinc/17/85/04/303178504.db2.gz LMFXJFJBXOKZRR-ABAIWWIYSA-N -1 1 321.329 1.434 20 0 DDADMM O=C([O-])[C@@H]1c2ccoc2CCN1C[C@@H](O)COCc1ccco1 ZINC000530168641 303178507 /nfs/dbraw/zinc/17/85/07/303178507.db2.gz LMFXJFJBXOKZRR-ABAIWWIYSA-N -1 1 321.329 1.434 20 0 DDADMM C[C@H]1CN(CCN2CCc3c(F)ccc(C(=O)[O-])c3C2)CCO1 ZINC000530169625 303178887 /nfs/dbraw/zinc/17/88/87/303178887.db2.gz PYDCONVNKBYHKB-LBPRGKRZSA-N -1 1 322.380 1.603 20 0 DDADMM CN(OCC(F)(F)F)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000530205599 303183383 /nfs/dbraw/zinc/18/33/83/303183383.db2.gz CVQYQKWLOHUNIU-UHFFFAOYSA-N -1 1 301.224 1.489 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]C(C)(C)C)o2)[C@H]1C ZINC000357548954 306893312 /nfs/dbraw/zinc/89/33/12/306893312.db2.gz IUUWHTGFMQAULL-ZJUUUORDSA-N -1 1 314.407 1.837 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCO[C@@H]3CCCC[C@@H]32)CCCC1 ZINC000533202505 303313517 /nfs/dbraw/zinc/31/35/17/303313517.db2.gz DPYJKXNBFXNZAR-QWHCGFSZSA-N -1 1 310.394 1.143 20 0 DDADMM CCc1nc([C@H](C)NC(=O)N=c2ccc(C3CC3)n[n-]2)n[nH]1 ZINC000534962377 303338496 /nfs/dbraw/zinc/33/84/96/303338496.db2.gz DNLNZEDKMKRJCV-QMMMGPOBSA-N -1 1 301.354 1.339 20 0 DDADMM CCS[C@H]1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000545332312 303492640 /nfs/dbraw/zinc/49/26/40/303492640.db2.gz CCMYBWCZINXJGK-UWVGGRQHSA-N -1 1 321.406 1.212 20 0 DDADMM CCO[C@H]1COCC[C@H]1[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000545370278 303493868 /nfs/dbraw/zinc/49/38/68/303493868.db2.gz NANRJVIXORURPL-MNOVXSKESA-N -1 1 320.436 1.232 20 0 DDADMM [O-]c1cccnc1CN1CCn2c(nnc2-c2cccnc2)C1 ZINC000561950653 303848254 /nfs/dbraw/zinc/84/82/54/303848254.db2.gz VTDXVJKDJATOFT-UHFFFAOYSA-N -1 1 308.345 1.457 20 0 DDADMM O=C(N[C@@H]1Cc2ccccc2[C@@H]1O)c1nc2ccccc2c(=O)[n-]1 ZINC000170993346 303911353 /nfs/dbraw/zinc/91/13/53/303911353.db2.gz AMHINQJYRZIKSG-CABCVRRESA-N -1 1 321.336 1.311 20 0 DDADMM CCN(CC)[C@H](C(=O)NCc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC000358349753 306940037 /nfs/dbraw/zinc/94/00/37/306940037.db2.gz DBVDNEJOZRLDRV-ZDUSSCGKSA-N -1 1 303.366 1.210 20 0 DDADMM Cc1ccc2cc(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)[nH]c2c1 ZINC000363396565 307027139 /nfs/dbraw/zinc/02/71/39/307027139.db2.gz UTVASZPEMKHNPB-CYBMUJFWSA-N -1 1 312.333 1.203 20 0 DDADMM CC[C@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363396869 307027240 /nfs/dbraw/zinc/02/72/40/307027240.db2.gz ZJRLIXDYZYHWGS-STQMWFEESA-N -1 1 301.350 1.293 20 0 DDADMM O=C(CCOc1ccc(F)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366661922 307079097 /nfs/dbraw/zinc/07/90/97/307079097.db2.gz HGZHETJLYVHGHN-NSHDSACASA-N -1 1 319.340 1.514 20 0 DDADMM CC(=CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC000370865888 307138800 /nfs/dbraw/zinc/13/88/00/307138800.db2.gz AGMFTHPIBFTVPY-RSPDNQDQSA-N -1 1 317.324 1.342 20 0 DDADMM Cc1cccc(C2(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)CC2)c1 ZINC000371298665 307146906 /nfs/dbraw/zinc/14/69/06/307146906.db2.gz YGHXNLPAMNAHRA-ZDUSSCGKSA-N -1 1 311.389 1.946 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)CCOCC(F)(F)F)[nH]n1 ZINC000372086612 307162919 /nfs/dbraw/zinc/16/29/19/307162919.db2.gz MNFUAJDYCISRND-UHFFFAOYSA-N -1 1 317.271 1.140 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCCO[C@@H]4CCC[C@H]43)ccnc1-2 ZINC000376646258 307251527 /nfs/dbraw/zinc/25/15/27/307251527.db2.gz ZKJODMLEFSZEOR-ITTZRFAVSA-N -1 1 315.377 1.517 20 0 DDADMM CCn1cc(CN(C)C(=O)c2c(C)[n-]c(=O)nc2SC)cn1 ZINC000531534250 307618524 /nfs/dbraw/zinc/61/85/24/307618524.db2.gz BMOWKZUHJRNGCG-UHFFFAOYSA-N -1 1 321.406 1.701 20 0 DDADMM CC(C)(C)[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000544747241 307719248 /nfs/dbraw/zinc/71/92/48/307719248.db2.gz BGGVCQQGBDZLDN-LLVKDONJSA-N -1 1 317.393 1.706 20 0 DDADMM O=C(CNC[C@@H]1CCCO1)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000567786919 308095687 /nfs/dbraw/zinc/09/56/87/308095687.db2.gz UUYFKUJMLSQAPT-JTQLQIEISA-N -1 1 306.391 1.267 20 0 DDADMM Cc1nc2c(F)cccc2cc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000570336450 308166647 /nfs/dbraw/zinc/16/66/47/308166647.db2.gz AWBLLNLQFOZBQH-UHFFFAOYSA-N -1 1 314.324 1.861 20 0 DDADMM O=C(CCN1CCC[C@H](c2n[nH]c(=O)[n-]2)C1)Nc1cccnc1 ZINC000571002554 308188811 /nfs/dbraw/zinc/18/88/11/308188811.db2.gz LXTYHTWFYMHEHV-NSHDSACASA-N -1 1 316.365 1.114 20 0 DDADMM O=C(NC1(c2nn[nH]n2)CC1)c1ccc(Cl)c(Cl)c1[O-] ZINC000575923232 308282461 /nfs/dbraw/zinc/28/24/61/308282461.db2.gz LRILUOCZNOVXPZ-UHFFFAOYSA-N -1 1 314.132 1.631 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2cnn(C(C)C)c2)CC1 ZINC000576842578 308344339 /nfs/dbraw/zinc/34/43/39/308344339.db2.gz QVFZEXQBWOQZOR-UHFFFAOYSA-N -1 1 315.395 1.692 20 0 DDADMM Cc1nc(C(=O)[N-]c2nc(C)n(C)n2)c(Br)s1 ZINC000580783449 308631184 /nfs/dbraw/zinc/63/11/84/308631184.db2.gz LUPIHYWPWXGBRH-UHFFFAOYSA-N -1 1 316.184 1.903 20 0 DDADMM Cc1c(S(=O)(=O)[N-][C@@H](C(C)(C)C)C(F)(F)F)cnn1C ZINC000581286921 325822361 /nfs/dbraw/zinc/82/23/61/325822361.db2.gz AFCPDXVOVGPNLX-VIFPVBQESA-N -1 1 313.345 1.984 20 0 DDADMM COc1cc(CN2CC[C@](C(=O)[O-])(C(F)(F)F)C2)ccn1 ZINC000583386786 332379150 /nfs/dbraw/zinc/37/91/50/332379150.db2.gz MLYHWXSHMWJDNF-LBPRGKRZSA-N -1 1 304.268 1.929 20 0 DDADMM CCc1ncc(C[N-]S(=O)(=O)c2cnc(CC)s2)o1 ZINC000582946162 337220961 /nfs/dbraw/zinc/22/09/61/337220961.db2.gz WYJGWRREYLJTJR-UHFFFAOYSA-N -1 1 301.393 1.734 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)[O-])CN1CC(=O)Nc1ccc2c(c1)OCO2 ZINC000397510238 337269423 /nfs/dbraw/zinc/26/94/23/337269423.db2.gz WZYAKICAHMYAOS-WDEREUQCSA-N -1 1 320.345 1.539 20 0 DDADMM CC(C)C[C@H](CNC(=O)NCCN1CC=CCC1)CC(=O)[O-] ZINC000392185071 483985411 /nfs/dbraw/zinc/98/54/11/483985411.db2.gz CQTKQHJPSKKIED-AWEZNQCLSA-N -1 1 311.426 1.685 20 0 DDADMM O=C(c1cc2cccnc2[nH]1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425304712 484117354 /nfs/dbraw/zinc/11/73/54/484117354.db2.gz QMDSKTBAHOIQKL-JTQLQIEISA-N -1 1 313.317 1.671 20 0 DDADMM CCc1ncc([N-]S(=O)(=O)C[C@H](OC)C2CCCC2)cn1 ZINC000656626395 484249958 /nfs/dbraw/zinc/24/99/58/484249958.db2.gz OHMDHKJRZQKPGE-ZDUSSCGKSA-N -1 1 313.423 1.986 20 0 DDADMM COc1ccc(-c2noc(CN3CC4CC3(C(=O)[O-])C4)n2)cc1 ZINC000656760478 484317782 /nfs/dbraw/zinc/31/77/82/484317782.db2.gz VHYCRQLDYHPTBI-UHFFFAOYSA-N -1 1 315.329 1.794 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000663106113 484670984 /nfs/dbraw/zinc/67/09/84/484670984.db2.gz OFONOZIBIQJYEH-MLGOLLRUSA-N -1 1 324.377 1.331 20 0 DDADMM O=C1CC[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)CCN1 ZINC000668324229 485104826 /nfs/dbraw/zinc/10/48/26/485104826.db2.gz FXFREJNJPYSYHX-VIFPVBQESA-N -1 1 320.773 1.426 20 0 DDADMM CC[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@]2(CCOC2)O1 ZINC000673147564 485392445 /nfs/dbraw/zinc/39/24/45/485392445.db2.gz ZXPJRZQGEZLPIH-PBHICJAKSA-N -1 1 319.357 1.371 20 0 DDADMM COCCOC[C@@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000669205275 485411363 /nfs/dbraw/zinc/41/13/63/485411363.db2.gz USVZKGGQZBWRQG-LLVKDONJSA-N -1 1 304.346 1.722 20 0 DDADMM NS(=O)(=O)c1cc(C(=O)Nc2c([O-])cccc2F)cs1 ZINC000678374580 485557378 /nfs/dbraw/zinc/55/73/78/485557378.db2.gz RTHXWBVNAVMKAZ-UHFFFAOYSA-N -1 1 316.335 1.493 20 0 DDADMM CC[C@H]1CN2CCCC[C@@H]2CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC000674351011 485610922 /nfs/dbraw/zinc/61/09/22/485610922.db2.gz ARWFEBHPLXITSW-UONOGXRCSA-N -1 1 317.389 1.869 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-]c1ccccc1Br ZINC000682833217 485793075 /nfs/dbraw/zinc/79/30/75/485793075.db2.gz XOBGTLIKMJRPCT-UHFFFAOYSA-N -1 1 317.168 1.378 20 0 DDADMM CN(CC(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000679538720 485913193 /nfs/dbraw/zinc/91/31/93/485913193.db2.gz WSQCTAGHMWKQDH-GFCCVEGCSA-N -1 1 309.366 1.533 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)Cc1csc(C)n1)[C@@H]1CCCO1 ZINC000684107997 486252726 /nfs/dbraw/zinc/25/27/26/486252726.db2.gz XZNGUTXJGYBKAU-NEPJUHHUSA-N -1 1 320.436 1.065 20 0 DDADMM CCN(C(=O)c1ccc([O-])c(F)c1)[C@H]1CCS(=O)(=O)C1 ZINC000681015335 486323653 /nfs/dbraw/zinc/32/36/53/486323653.db2.gz ZOJZORHTOVWOSZ-JTQLQIEISA-N -1 1 301.339 1.181 20 0 DDADMM CN(CC(=O)NCc1cccs1)C(=O)c1ccc([O-])c(F)c1 ZINC000681046744 486330992 /nfs/dbraw/zinc/33/09/92/486330992.db2.gz QODYXYSXJWOMMM-UHFFFAOYSA-N -1 1 322.361 1.981 20 0 DDADMM CCOc1cc(C(=O)N[C@@](C)(CO)COC)cc(Cl)c1[O-] ZINC000681070980 486337239 /nfs/dbraw/zinc/33/72/39/486337239.db2.gz MCSTVKOWFCCVSH-AWEZNQCLSA-N -1 1 317.769 1.572 20 0 DDADMM COC[C@@](C)(CO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000681075749 486341229 /nfs/dbraw/zinc/34/12/29/486341229.db2.gz PWEDPAJHPDJDBH-GFCCVEGCSA-N -1 1 307.268 1.538 20 0 DDADMM O=C(NCCN1CCOCC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684869743 486448853 /nfs/dbraw/zinc/44/88/53/486448853.db2.gz YVDZZYKUFCGFMP-UHFFFAOYSA-N -1 1 318.295 1.473 20 0 DDADMM CNC(=O)[C@H](C)CN(C)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684876393 486450329 /nfs/dbraw/zinc/45/03/29/486450329.db2.gz YCBKXVYNQYXLLX-MRVPVSSYSA-N -1 1 318.295 1.865 20 0 DDADMM O=C(CN1C(=O)[C@H]2CCCC[C@@H]2C1=O)Nc1c([O-])cccc1F ZINC000677647611 486514245 /nfs/dbraw/zinc/51/42/45/486514245.db2.gz OOBFQHFOPNHOPZ-UWVGGRQHSA-N -1 1 320.320 1.645 20 0 DDADMM O=C(CCc1ccccc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677928216 486580812 /nfs/dbraw/zinc/58/08/12/486580812.db2.gz UNGOLDMQNAQOPH-LBPRGKRZSA-N -1 1 303.341 1.678 20 0 DDADMM COc1ccc(N2C[C@@H](C(=O)[N-]OC(C)C)CC2=O)c(OC)c1 ZINC000297159287 534017972 /nfs/dbraw/zinc/01/79/72/534017972.db2.gz JOOVRJKSBSZBDC-NSHDSACASA-N -1 1 322.361 1.513 20 0 DDADMM CS[C@H](CO)[C@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000451336609 534079372 /nfs/dbraw/zinc/07/93/72/534079372.db2.gz FDDXETQJKOAYQV-POYBYMJQSA-N -1 1 315.869 1.792 20 0 DDADMM C[C@H](Cc1cnn(C)c1)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000451968773 534162072 /nfs/dbraw/zinc/16/20/72/534162072.db2.gz PZJWRJJWCBNMBF-SECBINFHSA-N -1 1 315.345 1.608 20 0 DDADMM C[C@]1(C(=O)Nc2nnn[n-]2)CC(c2ccccc2Cl)=NO1 ZINC000295807898 534169190 /nfs/dbraw/zinc/16/91/90/534169190.db2.gz KZNHWWUCWSRGEV-GFCCVEGCSA-N -1 1 306.713 1.375 20 0 DDADMM C[C@]1(C(=O)Nc2nn[n-]n2)CC(c2ccccc2Cl)=NO1 ZINC000295807898 534169198 /nfs/dbraw/zinc/16/91/98/534169198.db2.gz KZNHWWUCWSRGEV-GFCCVEGCSA-N -1 1 306.713 1.375 20 0 DDADMM C[C@@H]1OCC[C@]1(O)CNC(=O)c1ccc2ccccc2c1[O-] ZINC000318493186 534208883 /nfs/dbraw/zinc/20/88/83/534208883.db2.gz CHIPGNIUQOQPMU-GTNSWQLSSA-N -1 1 301.342 1.815 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2ncccc2C)c1 ZINC000295896853 534318978 /nfs/dbraw/zinc/31/89/78/534318978.db2.gz CXWSOFHCISFEBL-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCC2(OC)CCC2)c1 ZINC000292805577 534319606 /nfs/dbraw/zinc/31/96/06/534319606.db2.gz MRGAHGHXNOJDFA-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C=Cc1cnccn1 ZINC000491837716 534645471 /nfs/dbraw/zinc/64/54/71/534645471.db2.gz ITGGMGKDETZBRR-ARJAWSKDSA-N -1 1 324.344 1.171 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CC(CF)C2)o1 ZINC000412528976 526332625 /nfs/dbraw/zinc/33/26/25/526332625.db2.gz LGGIBZBSQRFCRM-UHFFFAOYSA-N -1 1 318.370 1.398 20 0 DDADMM CC(=O)Nc1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000491907713 526937101 /nfs/dbraw/zinc/93/71/01/526937101.db2.gz UTHCNHMPHDIBMX-TWGQIWQCSA-N -1 1 314.349 1.223 20 0 DDADMM CC(C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000416628075 527711842 /nfs/dbraw/zinc/71/18/42/527711842.db2.gz WTNMNUFLGNPYGM-ZETCQYMHSA-N -1 1 310.828 1.593 20 0 DDADMM CC(C)OCC(C)(C)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000414002411 527905560 /nfs/dbraw/zinc/90/55/60/527905560.db2.gz UTXSHVXFCWDNTL-UHFFFAOYSA-N -1 1 306.366 1.607 20 0 DDADMM CCN(CC)CCS(=O)(=O)N[C@@H](CCC(C)(C)C)C(=O)[O-] ZINC000417440215 528158484 /nfs/dbraw/zinc/15/84/84/528158484.db2.gz NSCZSVSHYTVPEL-LBPRGKRZSA-N -1 1 322.471 1.527 20 0 DDADMM CCC[C@H](O)[C@@H](CO)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000414026252 528289052 /nfs/dbraw/zinc/28/90/52/528289052.db2.gz WFUMPCRGZNVQRO-MNOVXSKESA-N -1 1 317.769 1.306 20 0 DDADMM CC(C)c1nn(C)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000331887654 528396374 /nfs/dbraw/zinc/39/63/74/528396374.db2.gz VTVWPJRUYLROHC-SNVBAGLBSA-N -1 1 319.365 1.652 20 0 DDADMM CCN(CCN=c1[n-]c(C(N)=O)cs1)c1cccc(C)c1 ZINC000432340838 528478627 /nfs/dbraw/zinc/47/86/27/528478627.db2.gz PWMWYAONSIJHGT-UHFFFAOYSA-N -1 1 304.419 1.911 20 0 DDADMM CCN(CCN=c1[n-]c(COC)ns1)CCC(F)(F)F ZINC000491601801 528478824 /nfs/dbraw/zinc/47/88/24/528478824.db2.gz OIIUYCWJLSLQPC-UHFFFAOYSA-N -1 1 312.361 1.793 20 0 DDADMM CC(F)(F)C(=O)[N-][C@H](C(N)=O)c1cccc(Br)c1 ZINC000292974566 528711247 /nfs/dbraw/zinc/71/12/47/528711247.db2.gz KQQPQMLOUKOTLE-QMMMGPOBSA-N -1 1 321.121 1.747 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCCO1 ZINC000294567462 528736076 /nfs/dbraw/zinc/73/60/76/528736076.db2.gz VSJWQOJJKRCNOX-LBPRGKRZSA-N -1 1 320.418 1.905 20 0 DDADMM CCOC(=O)Cn1cnc([N-]C(=O)c2cc(C(C)(C)C)on2)n1 ZINC000425102784 528931318 /nfs/dbraw/zinc/93/13/18/528931318.db2.gz GLNGADGUCBDRIN-UHFFFAOYSA-N -1 1 321.337 1.379 20 0 DDADMM CCCC[C@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CCC1 ZINC000416195645 528984807 /nfs/dbraw/zinc/98/48/07/528984807.db2.gz TZDNIZFWCXNFSN-JTQLQIEISA-N -1 1 309.366 1.985 20 0 DDADMM CCSc1ccc(CC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000443797578 529243739 /nfs/dbraw/zinc/24/37/39/529243739.db2.gz LIXMDFBGOGQLNU-UHFFFAOYSA-N -1 1 305.407 1.906 20 0 DDADMM CC[C@@H]1CCC[C@H]1CNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000452536310 529319496 /nfs/dbraw/zinc/31/94/96/529319496.db2.gz YJQIKKWRFDBAKR-MNOVXSKESA-N -1 1 314.407 1.744 20 0 DDADMM CC(C)(C)CCCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735601798 598876783 /nfs/dbraw/zinc/87/67/83/598876783.db2.gz DOCTURDWANTMJQ-UHFFFAOYSA-N -1 1 324.410 1.366 20 0 DDADMM CC(C)(C)CCCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735601798 598876785 /nfs/dbraw/zinc/87/67/85/598876785.db2.gz DOCTURDWANTMJQ-UHFFFAOYSA-N -1 1 324.410 1.366 20 0 DDADMM OC1(CNc2c3ccccc3nnc2-c2nnn[n-]2)CCCC1 ZINC000738387255 598962240 /nfs/dbraw/zinc/96/22/40/598962240.db2.gz OYDCVTMXVVEXDP-UHFFFAOYSA-N -1 1 311.349 1.527 20 0 DDADMM OC1(CNc2c3ccccc3nnc2-c2nn[n-]n2)CCCC1 ZINC000738387255 598962243 /nfs/dbraw/zinc/96/22/43/598962243.db2.gz OYDCVTMXVVEXDP-UHFFFAOYSA-N -1 1 311.349 1.527 20 0 DDADMM CO[C@H]1CCCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000736960337 598965290 /nfs/dbraw/zinc/96/52/90/598965290.db2.gz DUHOBGYOKPCBKS-JTQLQIEISA-N -1 1 311.349 1.425 20 0 DDADMM CO[C@H]1CCCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000736960337 598965291 /nfs/dbraw/zinc/96/52/91/598965291.db2.gz DUHOBGYOKPCBKS-JTQLQIEISA-N -1 1 311.349 1.425 20 0 DDADMM Cc1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)nc(C(C)C)n1 ZINC000737274570 598999107 /nfs/dbraw/zinc/99/91/07/598999107.db2.gz OJGLKPVNBSSKLJ-UHFFFAOYSA-N -1 1 324.348 1.736 20 0 DDADMM Cc1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)nc(C(C)C)n1 ZINC000737274570 598999108 /nfs/dbraw/zinc/99/91/08/598999108.db2.gz OJGLKPVNBSSKLJ-UHFFFAOYSA-N -1 1 324.348 1.736 20 0 DDADMM O=C(NCCCOC1CCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738075543 598999758 /nfs/dbraw/zinc/99/97/58/598999758.db2.gz LIQNLGIIROZFIT-UHFFFAOYSA-N -1 1 316.365 1.341 20 0 DDADMM O=C(NCCCOC1CCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738075543 598999760 /nfs/dbraw/zinc/99/97/60/598999760.db2.gz LIQNLGIIROZFIT-UHFFFAOYSA-N -1 1 316.365 1.341 20 0 DDADMM O=C(OCCc1ccsc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738220723 599137547 /nfs/dbraw/zinc/13/75/47/599137547.db2.gz BUOULXCUBZYABW-UHFFFAOYSA-N -1 1 301.331 1.723 20 0 DDADMM O=C(OCCc1ccsc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738220723 599137550 /nfs/dbraw/zinc/13/75/50/599137550.db2.gz BUOULXCUBZYABW-UHFFFAOYSA-N -1 1 301.331 1.723 20 0 DDADMM C[C@H](CC(=O)n1ncc(-c2nn[n-]n2)c1N)c1cccc(F)c1 ZINC000735975809 599182515 /nfs/dbraw/zinc/18/25/15/599182515.db2.gz JILMESKBRJKMOS-MRVPVSSYSA-N -1 1 315.312 1.619 20 0 DDADMM C[C@H]1CCC[C@H](CC(=O)N=c2cc[nH]cc2-c2nn[n-]n2)C1 ZINC000736247333 599255426 /nfs/dbraw/zinc/25/54/26/599255426.db2.gz BPYOCKAOVQFVSL-QWRGUYRKSA-N -1 1 300.366 1.839 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)N=c2cc[nH]cc2-c2nn[n-]n2)c1 ZINC000737400411 599255739 /nfs/dbraw/zinc/25/57/39/599255739.db2.gz BSJKOUDWHFLMIW-NSHDSACASA-N -1 1 324.344 1.398 20 0 DDADMM Cn1nnnc1-c1cccc(NCc2ccc(-c3nnn[n-]3)o2)c1 ZINC000822714463 607310855 /nfs/dbraw/zinc/31/08/55/607310855.db2.gz NNPLAYWOLGHGIR-UHFFFAOYSA-N -1 1 323.320 1.262 20 0 DDADMM Cn1nnnc1-c1cccc(NCc2ccc(-c3nn[n-]n3)o2)c1 ZINC000822714463 607310856 /nfs/dbraw/zinc/31/08/56/607310856.db2.gz NNPLAYWOLGHGIR-UHFFFAOYSA-N -1 1 323.320 1.262 20 0 DDADMM O=C([O-])C[C@H]1CCCN(Cn2cnc(-c3ccccn3)n2)C1 ZINC000821516015 597492469 /nfs/dbraw/zinc/49/24/69/597492469.db2.gz MJKLJYJWXCEWHN-GFCCVEGCSA-N -1 1 301.350 1.484 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)Nc2cccc(CC(=O)[O-])c2)n[nH]1 ZINC000820492212 597511009 /nfs/dbraw/zinc/51/10/09/597511009.db2.gz BJQFQWASIWHTNS-SECBINFHSA-N -1 1 317.349 1.877 20 0 DDADMM CC(C)OC(=O)[C@@H](C)N(C)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820142747 607304182 /nfs/dbraw/zinc/30/41/82/607304182.db2.gz UPABTBFWDHMQMM-MRVPVSSYSA-N -1 1 324.772 1.691 20 0 DDADMM CC(C)OC(=O)[C@@H](C)N(C)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820142747 607304183 /nfs/dbraw/zinc/30/41/83/607304183.db2.gz UPABTBFWDHMQMM-MRVPVSSYSA-N -1 1 324.772 1.691 20 0 DDADMM Nc1nc(NCc2cccc(C(=O)[O-])c2)cc(-n2cccn2)n1 ZINC000821368978 598181889 /nfs/dbraw/zinc/18/18/89/598181889.db2.gz LJHTXAADQCRJGD-UHFFFAOYSA-N -1 1 310.317 1.555 20 0 DDADMM c1ccc2[nH]c(CCCNc3nccnc3-c3nnn[n-]3)nc2c1 ZINC000738434034 598340300 /nfs/dbraw/zinc/34/03/00/598340300.db2.gz ZHQHRYXJCUYMIS-UHFFFAOYSA-N -1 1 321.348 1.578 20 0 DDADMM c1ccc2[nH]c(CCCNc3nccnc3-c3nn[n-]n3)nc2c1 ZINC000738434034 598340302 /nfs/dbraw/zinc/34/03/02/598340302.db2.gz ZHQHRYXJCUYMIS-UHFFFAOYSA-N -1 1 321.348 1.578 20 0 DDADMM c1ccc(-c2nc(Sc3nccnc3-c3nn[n-]n3)n[nH]2)cc1 ZINC000738421632 598341391 /nfs/dbraw/zinc/34/13/91/598341391.db2.gz DGECQCPQEHKHGO-UHFFFAOYSA-N -1 1 323.345 1.593 20 0 DDADMM c1cnc2c(c1)cccc2CCNc1nccnc1-c1nnn[n-]1 ZINC000738446060 598341958 /nfs/dbraw/zinc/34/19/58/598341958.db2.gz SNQYSBDRRFZBGS-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM c1cnc2c(c1)cccc2CCNc1nccnc1-c1nn[n-]n1 ZINC000738446060 598341960 /nfs/dbraw/zinc/34/19/60/598341960.db2.gz SNQYSBDRRFZBGS-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCc2ccc(F)cc2C1 ZINC000823478701 607351866 /nfs/dbraw/zinc/35/18/66/607351866.db2.gz PSCVFFIRBUDIKI-UHFFFAOYSA-N -1 1 324.319 1.599 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCc2ccc(F)cc2C1 ZINC000823478701 607351868 /nfs/dbraw/zinc/35/18/68/607351868.db2.gz PSCVFFIRBUDIKI-UHFFFAOYSA-N -1 1 324.319 1.599 20 0 DDADMM CCNC(=O)c1cccc(CNc2nccnc2-c2nnn[n-]2)c1 ZINC000736578072 598343964 /nfs/dbraw/zinc/34/39/64/598343964.db2.gz RHPZYQHIKRPQLO-UHFFFAOYSA-N -1 1 324.348 1.019 20 0 DDADMM CCNC(=O)c1cccc(CNc2nccnc2-c2nn[n-]n2)c1 ZINC000736578072 598343965 /nfs/dbraw/zinc/34/39/65/598343965.db2.gz RHPZYQHIKRPQLO-UHFFFAOYSA-N -1 1 324.348 1.019 20 0 DDADMM COc1ccc2c(c1)CN(c1nccnc1-c1nnn[n-]1)CC2 ZINC000737118146 598348235 /nfs/dbraw/zinc/34/82/35/598348235.db2.gz AQMDHQSLXDPVAM-UHFFFAOYSA-N -1 1 309.333 1.228 20 0 DDADMM COc1ccc2c(c1)CN(c1nccnc1-c1nn[n-]n1)CC2 ZINC000737118146 598348237 /nfs/dbraw/zinc/34/82/37/598348237.db2.gz AQMDHQSLXDPVAM-UHFFFAOYSA-N -1 1 309.333 1.228 20 0 DDADMM C[C@H]1Cc2ccccc2N1CCNc1nccnc1-c1nnn[n-]1 ZINC000736299782 598349664 /nfs/dbraw/zinc/34/96/64/598349664.db2.gz UTWNEAWBGGEHQV-NSHDSACASA-N -1 1 322.376 1.520 20 0 DDADMM C[C@H]1Cc2ccccc2N1CCNc1nccnc1-c1nn[n-]n1 ZINC000736299782 598349665 /nfs/dbraw/zinc/34/96/65/598349665.db2.gz UTWNEAWBGGEHQV-NSHDSACASA-N -1 1 322.376 1.520 20 0 DDADMM CC(C)c1ncc2c(n1)CN(c1nccnc1-c1nnn[n-]1)CC2 ZINC000735942262 598375388 /nfs/dbraw/zinc/37/53/88/598375388.db2.gz GXXUXSOOXIHYQM-UHFFFAOYSA-N -1 1 323.364 1.133 20 0 DDADMM CC(C)c1ncc2c(n1)CN(c1nccnc1-c1nn[n-]n1)CC2 ZINC000735942262 598375389 /nfs/dbraw/zinc/37/53/89/598375389.db2.gz GXXUXSOOXIHYQM-UHFFFAOYSA-N -1 1 323.364 1.133 20 0 DDADMM CCc1ccc([C@H](C)C(=O)n2ncc(-c3nn[n-]n3)c2N)cc1 ZINC000736685107 598528948 /nfs/dbraw/zinc/52/89/48/598528948.db2.gz FNRHXSGEFBQUGV-VIFPVBQESA-N -1 1 311.349 1.652 20 0 DDADMM O[C@@H]1CCC[C@@H]1CNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000738388820 599325213 /nfs/dbraw/zinc/32/52/13/599325213.db2.gz GYYMSGMYOJWURY-MEBBXXQBSA-N -1 1 310.361 1.988 20 0 DDADMM O[C@@H]1CCC[C@@H]1CNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000738388820 599325216 /nfs/dbraw/zinc/32/52/16/599325216.db2.gz GYYMSGMYOJWURY-MEBBXXQBSA-N -1 1 310.361 1.988 20 0 DDADMM COc1ccc(COC(=O)c2sccc2-c2nn[n-]n2)cn1 ZINC000821825167 599626953 /nfs/dbraw/zinc/62/69/53/599626953.db2.gz TYCRUPJNHUQZHF-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM Cc1ccc(C)n1C1CCN([C@H](C)C(=O)NCC(=O)[O-])CC1 ZINC000738578230 599714653 /nfs/dbraw/zinc/71/46/53/599714653.db2.gz BERWWUUERMIOTO-CYBMUJFWSA-N -1 1 307.394 1.331 20 0 DDADMM CN(CC(=O)N(C)c1ccccc1C(=O)[O-])C[C@@H]1CCCOC1 ZINC000737387190 599793014 /nfs/dbraw/zinc/79/30/14/599793014.db2.gz QGQKFROAUYWRDF-ZDUSSCGKSA-N -1 1 320.389 1.706 20 0 DDADMM COc1ccc(CN(C)C(=O)CNC(C)(C)C(=O)[O-])cc1F ZINC000737996025 599830527 /nfs/dbraw/zinc/83/05/27/599830527.db2.gz WSHAHXIHXRHROE-UHFFFAOYSA-N -1 1 312.341 1.246 20 0 DDADMM C[C@H](O)CN1CCN([C@@H](C(=O)[O-])c2ccc(F)cc2)C[C@@H]1C ZINC000736678263 599915858 /nfs/dbraw/zinc/91/58/58/599915858.db2.gz UJBNOZCIJWODRM-SLEUVZQESA-N -1 1 310.369 1.338 20 0 DDADMM CC(C)Oc1cccc(CNC(=O)CNC(C)(C)C(=O)[O-])c1 ZINC000736495027 599923440 /nfs/dbraw/zinc/92/34/40/599923440.db2.gz UVRUTTHIFGZTHW-UHFFFAOYSA-N -1 1 308.378 1.543 20 0 DDADMM Cc1ccc(SCCNC(=O)CNC(C)(C)C(=O)[O-])cc1 ZINC000738295158 599926448 /nfs/dbraw/zinc/92/64/48/599926448.db2.gz JVNBKMZWGXOAIC-UHFFFAOYSA-N -1 1 310.419 1.656 20 0 DDADMM CN1CCN(c2ccccc2NC(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC000737529973 600061564 /nfs/dbraw/zinc/06/15/64/600061564.db2.gz QEOKXBKFQSEZJP-RYUDHWBXSA-N -1 1 303.362 1.098 20 0 DDADMM Cn1cccc1[C@@H]1CCCN1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000738406706 600067673 /nfs/dbraw/zinc/06/76/73/600067673.db2.gz GIGXWJQGBPUTCS-HNNXBMFYSA-N -1 1 319.405 1.485 20 0 DDADMM COc1cccc([C@H](CNC(=O)[C@H]2C[C@@H]2C(=O)[O-])N(C)C)c1 ZINC000315574878 600105987 /nfs/dbraw/zinc/10/59/87/600105987.db2.gz PXGBJDHMHRJLKD-IHRRRGAJSA-N -1 1 306.362 1.135 20 0 DDADMM CN(CC(=O)NCc1ccc(NC(=O)[O-])cc1)C(C)(C)CO ZINC000737393178 600209690 /nfs/dbraw/zinc/20/96/90/600209690.db2.gz ITMRMWYCVXVXMS-UHFFFAOYSA-N -1 1 309.366 1.095 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1)N1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000736215557 600311251 /nfs/dbraw/zinc/31/12/51/600311251.db2.gz PNMAAVXXDRXZQW-ZFWWWQNUSA-N -1 1 316.401 1.878 20 0 DDADMM CC[C@H](O)[C@H]1CCCCN1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000736924244 600392123 /nfs/dbraw/zinc/39/21/23/600392123.db2.gz MNKDCKQHUJYRSE-CABCVRRESA-N -1 1 320.389 1.949 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)CN(CCC(=O)[O-])C(C)C)c1C ZINC000737642555 600445687 /nfs/dbraw/zinc/44/56/87/600445687.db2.gz GWJCKZRMOKNQRG-UHFFFAOYSA-N -1 1 324.377 1.786 20 0 DDADMM N#Cc1ccc(C(F)(F)F)nc1N1CCN(CC(=O)[O-])CC1 ZINC000316491490 600622635 /nfs/dbraw/zinc/62/26/35/600622635.db2.gz UGBBELOBLLOZMK-UHFFFAOYSA-N -1 1 314.267 1.179 20 0 DDADMM O=C([O-])[C@H]1CCC[C@H](C(=O)NCCCCN2CCOCC2)C1 ZINC000739568857 600657401 /nfs/dbraw/zinc/65/74/01/600657401.db2.gz PQDZSYPWTRXECU-KBPBESRZSA-N -1 1 312.410 1.106 20 0 DDADMM C[C@@H](O)C1CCN(Cn2ncc3cc(C(=O)[O-])ccc32)CC1 ZINC000736677943 600686849 /nfs/dbraw/zinc/68/68/49/600686849.db2.gz RJRDGFGCWYFMEA-LLVKDONJSA-N -1 1 303.362 1.785 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3CCC[C@@H](C(=O)[O-])C3)[nH]c2c1 ZINC000263232884 600762935 /nfs/dbraw/zinc/76/29/35/600762935.db2.gz MPOPNHSTSDMLOX-LLVKDONJSA-N -1 1 316.361 1.878 20 0 DDADMM Cn1nc2c(cc1=O)CN([C@@H](C(=O)[O-])c1ccc(F)cc1)CC2 ZINC000833060285 600827413 /nfs/dbraw/zinc/82/74/13/600827413.db2.gz BOESDLPNYGZPDR-OAHLLOKOSA-N -1 1 317.320 1.103 20 0 DDADMM Cc1nnc(SCC(=O)Nc2cccc(OCC(=O)[O-])c2)[nH]1 ZINC000832990485 600888691 /nfs/dbraw/zinc/88/86/91/600888691.db2.gz COXBHHHUSHSJBT-UHFFFAOYSA-N -1 1 322.346 1.307 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2cccc(OCC(=O)[O-])c2)n1 ZINC000832990485 600888692 /nfs/dbraw/zinc/88/86/92/600888692.db2.gz COXBHHHUSHSJBT-UHFFFAOYSA-N -1 1 322.346 1.307 20 0 DDADMM CCN(Cc1ccccc1)C(=O)CN[C@@](C)(C(=O)[O-])C1CC1 ZINC000829721983 601034521 /nfs/dbraw/zinc/03/45/21/601034521.db2.gz CMCDQXGCBZAJJW-QGZVFWFLSA-N -1 1 304.390 1.878 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@H]1C[C@H]1C(=O)[O-])c1ccc(Cl)cc1 ZINC000830580675 601108605 /nfs/dbraw/zinc/10/86/05/601108605.db2.gz JFWGXUWUJGERPR-YNEHKIRRSA-N -1 1 310.781 1.780 20 0 DDADMM CCN1C[C@@H](C)[C@@H](NS(=O)(=O)c2csc(C(=O)[O-])c2)C1 ZINC000737111592 601111187 /nfs/dbraw/zinc/11/11/87/601111187.db2.gz KZFQNMYDEFEWRZ-SCZZXKLOSA-N -1 1 318.420 1.065 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)CSc1n[nH]c(=S)s1 ZINC000829468598 601277926 /nfs/dbraw/zinc/27/79/26/601277926.db2.gz BLPOIRLYVIRBMC-UHFFFAOYSA-N -1 1 307.422 1.242 20 0 DDADMM Cn1cc(NC(=O)c2cc(-c3ccco3)n[nH]2)cc1C(=O)[O-] ZINC000833049742 601319658 /nfs/dbraw/zinc/31/96/58/601319658.db2.gz UKRXSYXBQOEAMX-UHFFFAOYSA-N -1 1 300.274 1.959 20 0 DDADMM CCN(CCC(=O)OC)Cn1nc(C(=O)[O-])c2ccccc21 ZINC000829705061 601400093 /nfs/dbraw/zinc/40/00/93/601400093.db2.gz NMAVJARVARMYDI-UHFFFAOYSA-N -1 1 305.334 1.577 20 0 DDADMM COc1ccc(F)c(NS(=O)(=O)c2ccc(C(=O)[O-])o2)c1 ZINC000094854489 601491708 /nfs/dbraw/zinc/49/17/08/601491708.db2.gz AMILVZVYQXTMHI-UHFFFAOYSA-N -1 1 315.278 1.926 20 0 DDADMM C[C@@H]1CN(CC(=O)N2CCSc3ccccc32)C[C@H]1C(=O)[O-] ZINC000315621942 601506051 /nfs/dbraw/zinc/50/60/51/601506051.db2.gz SPNASUWSXHTQPO-VXGBXAGGSA-N -1 1 320.414 1.778 20 0 DDADMM O=C([O-])C[C@H](NC(=O)c1c[nH]c2ccccc2c1=O)C1CC1 ZINC000317438610 601527154 /nfs/dbraw/zinc/52/71/54/601527154.db2.gz UDAVINDAAZFXQT-ZDUSSCGKSA-N -1 1 300.314 1.924 20 0 DDADMM Nc1ccc(F)c(NC(=O)CCN2CC[C@](F)(C(=O)[O-])C2)c1 ZINC000833090700 601551054 /nfs/dbraw/zinc/55/10/54/601551054.db2.gz BAJYQGPRBUNJDF-CQSZACIVSA-N -1 1 313.304 1.235 20 0 DDADMM Cc1sc(C(=O)[O-])cc1CN1CCC[C@@H](N2CCNC2=O)C1 ZINC000833032993 601622519 /nfs/dbraw/zinc/62/25/19/601622519.db2.gz SLMRVVBALNECQE-GFCCVEGCSA-N -1 1 323.418 1.744 20 0 DDADMM O=C([O-])c1cccc(NC(=O)CN2CCC[C@H]3COCC[C@H]32)c1 ZINC000833106710 601662341 /nfs/dbraw/zinc/66/23/41/601662341.db2.gz UMOAHUZDNUADLH-DZGCQCFKSA-N -1 1 318.373 1.824 20 0 DDADMM O=C([O-])[C@@H](NC(=O)CCc1nc[nH]n1)c1ccc(Cl)cc1 ZINC000833104709 601699019 /nfs/dbraw/zinc/69/90/19/601699019.db2.gz SCONEUYLXKTFLR-LBPRGKRZSA-N -1 1 308.725 1.333 20 0 DDADMM C[C@@H]1CN(C(=O)CSc2n[nH]c(=S)s2)C[C@H]1C(=O)[O-] ZINC000828340518 601759319 /nfs/dbraw/zinc/75/93/19/601759319.db2.gz IZRKCBIGGVAPNE-PHDIDXHHSA-N -1 1 319.433 1.098 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cc(C(=O)[O-])n(C)c2)cc1F ZINC000832955874 601783618 /nfs/dbraw/zinc/78/36/18/601783618.db2.gz QCBAEKCHCVJKQC-UHFFFAOYSA-N -1 1 312.322 1.972 20 0 DDADMM O=C([O-])N1CCC(CNC(=O)Cc2[nH]nc3ccccc32)CC1 ZINC000740386407 601863257 /nfs/dbraw/zinc/86/32/57/601863257.db2.gz CMWMKKFVCBJJQP-UHFFFAOYSA-N -1 1 316.361 1.612 20 0 DDADMM O=C([O-])N1CCC(CNC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000740418412 601864614 /nfs/dbraw/zinc/86/46/14/601864614.db2.gz HKQOENZANJIQBP-UHFFFAOYSA-N -1 1 303.322 1.078 20 0 DDADMM O=C([O-])N1CCC[C@H](CNC(=O)c2[nH]nc3c2CCCC3)C1 ZINC000740412870 601867285 /nfs/dbraw/zinc/86/72/85/601867285.db2.gz FQTBXKBGZOAGCI-SNVBAGLBSA-N -1 1 306.366 1.408 20 0 DDADMM CCC[C@H](C)NC(=O)[C@H](C)N1CCSC[C@H]1CC(=O)[O-] ZINC000251384021 601927158 /nfs/dbraw/zinc/92/71/58/601927158.db2.gz WPEMJCIFMKQVHR-SDDRHHMPSA-N -1 1 302.440 1.572 20 0 DDADMM O=C([O-])NC[C@@H]1CCCCN1C[C@@H]1COc2ccccc2O1 ZINC000740623435 602046004 /nfs/dbraw/zinc/04/60/04/602046004.db2.gz MFBXHWAZEZYGSK-QWHCGFSZSA-N -1 1 306.362 1.949 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)NC[C@H]1CCCN(C(=O)[O-])C1 ZINC000740030544 602053080 /nfs/dbraw/zinc/05/30/80/602053080.db2.gz ITSHYTARJBKIBQ-GFCCVEGCSA-N -1 1 323.397 1.340 20 0 DDADMM COc1cccc([C@H](CNC(=O)[C@@H](C)CC(=O)[O-])N(C)C)c1 ZINC000832534082 602077805 /nfs/dbraw/zinc/07/78/05/602077805.db2.gz SGSSNIDVLWPVGY-FZMZJTMJSA-N -1 1 308.378 1.525 20 0 DDADMM COc1cccc([C@@H](CNC(=O)[C@H](C)CC(=O)[O-])N(C)C)c1 ZINC000832534081 602077837 /nfs/dbraw/zinc/07/78/37/602077837.db2.gz SGSSNIDVLWPVGY-BXUZGUMPSA-N -1 1 308.378 1.525 20 0 DDADMM N=C(NOCc1cc(C(=O)[O-])co1)[C@H]1COc2ccccc2O1 ZINC000833079043 602160742 /nfs/dbraw/zinc/16/07/42/602160742.db2.gz UVYLFZLHJGDLOU-CYBMUJFWSA-N -1 1 318.285 1.816 20 0 DDADMM CCN(C)[C@H](C(=O)N1CC[C@H](CNC(=O)[O-])C1)c1ccccc1 ZINC000739384045 602320593 /nfs/dbraw/zinc/32/05/93/602320593.db2.gz BLAHKOUZGCULLK-HIFRSBDPSA-N -1 1 319.405 1.796 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000739316537 602340869 /nfs/dbraw/zinc/34/08/69/602340869.db2.gz SSVYNSULJANZBY-OLZOCXBDSA-N -1 1 323.393 1.969 20 0 DDADMM O=C([O-])N1CCC(CN(C2CC2)[C@H]2CCS(=O)(=O)C2)CC1 ZINC000740497575 602431237 /nfs/dbraw/zinc/43/12/37/602431237.db2.gz MZUFESKBEUCMMA-ZDUSSCGKSA-N -1 1 316.423 1.028 20 0 DDADMM CCC[C@@H](C)NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825233603 607573466 /nfs/dbraw/zinc/57/34/66/607573466.db2.gz RLFPJWUHOKRREZ-MRVPVSSYSA-N -1 1 313.358 1.473 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)NC[C@@H]1CCCN1C(=O)[O-] ZINC000740029926 602611571 /nfs/dbraw/zinc/61/15/71/602611571.db2.gz FPWOJYQDOUQWKI-LBPRGKRZSA-N -1 1 309.370 1.092 20 0 DDADMM O=C([O-])NCC1CCN(Cn2nccc2-c2ccncc2)CC1 ZINC000740646628 602694319 /nfs/dbraw/zinc/69/43/19/602694319.db2.gz OHZPSYWSDOZBGM-UHFFFAOYSA-N -1 1 315.377 1.882 20 0 DDADMM CCc1cc(CNC(=O)Cc2ccc(NC(=O)[O-])cc2)n[nH]1 ZINC000739535971 602717501 /nfs/dbraw/zinc/71/75/01/602717501.db2.gz JZMZJYBKXBPTRR-UHFFFAOYSA-N -1 1 302.334 1.921 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)c2ccc(F)c(F)c2)CC[C@@H]1NC(=O)[O-] ZINC000739180559 602785019 /nfs/dbraw/zinc/78/50/19/602785019.db2.gz PKRXCMAYYKVFKK-BIGNPOOSSA-N -1 1 314.332 1.976 20 0 DDADMM C[C@@H](C1CC1)N(C(=O)CN1CC[C@H](NC(=O)[O-])[C@H](C)C1)C1CC1 ZINC000739171597 602789555 /nfs/dbraw/zinc/78/95/55/602789555.db2.gz IUKZFXLIVHQWKG-XUJVJEKNSA-N -1 1 323.437 1.754 20 0 DDADMM CC(C)[C@H](CN[C@@H](C(=O)NC1CC1)c1ccccc1)NC(=O)[O-] ZINC000738791749 602790412 /nfs/dbraw/zinc/79/04/12/602790412.db2.gz FALXEYKBHUPMEV-LSDHHAIUSA-N -1 1 319.405 1.888 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)C1CCC(NC(=O)[O-])CC1 ZINC000738800970 602856711 /nfs/dbraw/zinc/85/67/11/602856711.db2.gz MYRISBLZVCMIHE-JXQTWKCFSA-N -1 1 311.426 1.611 20 0 DDADMM CCc1cc(CNC(=O)NCCCN(C(=O)[O-])C2CC2)[nH]n1 ZINC000739539650 602907208 /nfs/dbraw/zinc/90/72/08/602907208.db2.gz QTXFVIKOBRLRML-UHFFFAOYSA-N -1 1 309.370 1.304 20 0 DDADMM CN(C(=O)c1ccc(CNC(=O)[O-])o1)[C@@H]1CCN(C2CC2)C1 ZINC000827933308 602914897 /nfs/dbraw/zinc/91/48/97/602914897.db2.gz WQTIMZKLPVDDDP-LLVKDONJSA-N -1 1 307.350 1.356 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@H]1CCCN(C(=O)[O-])C1 ZINC000737757447 602981733 /nfs/dbraw/zinc/98/17/33/602981733.db2.gz CANCVDMQWWDUES-HZSPNIEDSA-N -1 1 309.410 1.462 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)CC1CCN(C(=O)[O-])CC1 ZINC000739198844 602989892 /nfs/dbraw/zinc/98/98/92/602989892.db2.gz NXNATGASTBPKQK-UKRRQHHQSA-N -1 1 323.437 1.852 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)C1CCC(NC(=O)[O-])CC1 ZINC000739199443 602990066 /nfs/dbraw/zinc/99/00/66/602990066.db2.gz QQBQBZFGGCQVPR-PPWQZUPISA-N -1 1 323.437 1.898 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@H]1C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000740570740 603001569 /nfs/dbraw/zinc/00/15/69/603001569.db2.gz NLOISPZYNXCUGF-NEPJUHHUSA-N -1 1 315.333 1.642 20 0 DDADMM CCN(C(C)C)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825391034 607602785 /nfs/dbraw/zinc/60/27/85/607602785.db2.gz SOPHGPFVTBHVDE-UHFFFAOYSA-N -1 1 313.358 1.425 20 0 DDADMM CCN(CCNC(=O)[C@H](C)N(C)Cc1ccccc1)C(=O)[O-] ZINC000739426145 603138346 /nfs/dbraw/zinc/13/83/46/603138346.db2.gz YJWFBHZGDXTGJX-ZDUSSCGKSA-N -1 1 307.394 1.623 20 0 DDADMM C[C@@H](OC(=O)c1sccc1-c1nn[n-]n1)C(=O)OC(C)(C)C ZINC000824727552 608103863 /nfs/dbraw/zinc/10/38/63/608103863.db2.gz BIGSYIAFBCUUQO-SSDOTTSWSA-N -1 1 324.362 1.815 20 0 DDADMM CN(CCCNC(=O)[O-])C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000739677420 603236581 /nfs/dbraw/zinc/23/65/81/603236581.db2.gz MRTMCDNENAPLOC-UHFFFAOYSA-N -1 1 308.363 1.868 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)CC[C@H]1NC(=O)[O-] ZINC000739172580 603354485 /nfs/dbraw/zinc/35/44/85/603354485.db2.gz ZZWKAPLLBAYILI-GXSJLCMTSA-N -1 1 318.333 1.788 20 0 DDADMM CCN(CC(=O)NC[C@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000739392094 603375845 /nfs/dbraw/zinc/37/58/45/603375845.db2.gz ITNVITFRPIGZJO-GFCCVEGCSA-N -1 1 309.366 1.533 20 0 DDADMM O=C([O-])N1CC[C@H](NC(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000831805559 603556634 /nfs/dbraw/zinc/55/66/34/603556634.db2.gz DXENYTOJZYAJKJ-JTQLQIEISA-N -1 1 316.321 1.141 20 0 DDADMM O=C([O-])N1CCC(CC(=O)N2CCC(c3nc[nH]n3)CC2)CC1 ZINC000831869667 603560859 /nfs/dbraw/zinc/56/08/59/603560859.db2.gz QWCPMZWCXZCFOM-UHFFFAOYSA-N -1 1 321.381 1.291 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(CNC(=O)[O-])cc1)c1nn[nH]n1 ZINC000826765622 603565395 /nfs/dbraw/zinc/56/53/95/603565395.db2.gz SMHUHXZUMXEJLC-LLVKDONJSA-N -1 1 318.337 1.239 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@@H]1CC[C@@H](NC(=O)[O-])C1 ZINC000826086658 603630350 /nfs/dbraw/zinc/63/03/50/603630350.db2.gz AGYXTSSANYAQOV-AAVRWANBSA-N -1 1 309.410 1.508 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)C1(CNC(=O)[O-])CCCC1 ZINC000826086713 603797720 /nfs/dbraw/zinc/79/77/20/603797720.db2.gz BHYGSIIQORMVOV-ZIAGYGMSSA-N -1 1 323.437 1.900 20 0 DDADMM C[C@@H](C(=O)N1C[C@H](C)C[C@H](C)C1)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000825784132 603805450 /nfs/dbraw/zinc/80/54/50/603805450.db2.gz WCJDJOVABKYBAD-CRWXNKLISA-N -1 1 311.426 1.564 20 0 DDADMM CN1CCCN(C(=O)[C@@H]2CCCCCC[C@H]2NC(=O)[O-])CC1 ZINC000828486479 603937431 /nfs/dbraw/zinc/93/74/31/603937431.db2.gz CLGINAYCYGTGBC-ZIAGYGMSSA-N -1 1 311.426 1.757 20 0 DDADMM C[C@@H](NC(=O)[C@H](CNC(=O)[O-])c1ccccc1)c1nnc[nH]1 ZINC000825077381 603939274 /nfs/dbraw/zinc/93/92/74/603939274.db2.gz YOASLZPRIHKULG-MWLCHTKSSA-N -1 1 303.322 1.033 20 0 DDADMM C[C@H](CN(C)C(=O)[O-])C(=O)N1CCN(C)CC12CCCCC2 ZINC000824840954 603940800 /nfs/dbraw/zinc/94/08/00/603940800.db2.gz OJGFPJSNVWROFK-CYBMUJFWSA-N -1 1 311.426 1.709 20 0 DDADMM CC(C)N1C(=O)CN(CC2CCN(C(=O)[O-])CC2)CC1(C)C ZINC000824352133 604062593 /nfs/dbraw/zinc/06/25/93/604062593.db2.gz VGZSTNXBSZTGEP-UHFFFAOYSA-N -1 1 311.426 1.708 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1CC(=O)N(C1CC1)C1CCCC1 ZINC000832568707 604074790 /nfs/dbraw/zinc/07/47/90/604074790.db2.gz XLYJXDZNNPTJEG-AWEZNQCLSA-N -1 1 309.410 1.652 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N1C(=O)CN1CCC[C@@H]1CN(C)C(=O)[O-] ZINC000825887258 604091397 /nfs/dbraw/zinc/09/13/97/604091397.db2.gz KKTOAPRHSDATSC-MGPQQGTHSA-N -1 1 311.426 1.850 20 0 DDADMM O=S(=O)(c1ccc(F)cc1-c1nn[n-]n1)N1C[C@@H]2CCC[C@H]21 ZINC000826495074 607674645 /nfs/dbraw/zinc/67/46/45/607674645.db2.gz BLMHVDORCADTPE-GZMMTYOYSA-N -1 1 323.353 1.179 20 0 DDADMM C[N@@H+](CCCn1ccnc1)CC(=O)Nc1ccccc1C(=O)[O-] ZINC000830781191 604379541 /nfs/dbraw/zinc/37/95/41/604379541.db2.gz NWAPXVICKVJNBM-UHFFFAOYSA-N -1 1 316.361 1.542 20 0 DDADMM C[C@@H](Oc1ccc(CN(C)[C@H]2CCN(C)C2=O)cc1)C(=O)[O-] ZINC000833536411 604657467 /nfs/dbraw/zinc/65/74/67/604657467.db2.gz CJTNYQHZXXEEIV-RISCZKNCSA-N -1 1 306.362 1.201 20 0 DDADMM O=C([O-])c1cncc(NS(=O)(=O)c2ccc3c(c2)CCO3)c1 ZINC000316851805 604666562 /nfs/dbraw/zinc/66/65/62/604666562.db2.gz MOJSZINUVSHQJF-UHFFFAOYSA-N -1 1 320.326 1.516 20 0 DDADMM Cc1ccc2c(n1)c(=O)c(-c1nn[n-]n1)cn2CCC(F)(F)F ZINC000826294956 607718421 /nfs/dbraw/zinc/71/84/21/607718421.db2.gz KWENVTUVNGNQMJ-UHFFFAOYSA-N -1 1 324.266 1.837 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1CCC[C@H]1CC(=O)[O-] ZINC000315276949 604965928 /nfs/dbraw/zinc/96/59/28/604965928.db2.gz FLIGTXVMEVJYPF-LBPRGKRZSA-N -1 1 306.362 1.881 20 0 DDADMM C[C@H]1C[C@@H](NS(=O)(=O)c2ccccc2C(=O)[O-])CN1C1CC1 ZINC000833597022 605046271 /nfs/dbraw/zinc/04/62/71/605046271.db2.gz IMDPQMJCYSXADM-WDEREUQCSA-N -1 1 324.402 1.288 20 0 DDADMM CCN1C[C@H](C)N(C(=O)NC2CCN(C(=O)[O-])CC2)C[C@@H]1C ZINC000833659235 605121173 /nfs/dbraw/zinc/12/11/73/605121173.db2.gz ZSDXMVAJQGPMSS-RYUDHWBXSA-N -1 1 312.414 1.253 20 0 DDADMM C[C@@H](Oc1ccc(CN[C@@H](CO)CC(F)(F)F)cc1)C(=O)[O-] ZINC000833542073 605123138 /nfs/dbraw/zinc/12/31/38/605123138.db2.gz SZVPZCRRXJUCJV-MWLCHTKSSA-N -1 1 321.295 1.941 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000833771399 605137121 /nfs/dbraw/zinc/13/71/21/605137121.db2.gz DHWCIOHPBGDIIS-OUAUKWLOSA-N -1 1 304.350 1.109 20 0 DDADMM C[C@H](NC(=O)Nc1cc(C(=O)[O-])c(F)cc1F)c1nnc[nH]1 ZINC000318783967 605167133 /nfs/dbraw/zinc/16/71/33/605167133.db2.gz IZAYGQZIRASOOD-YFKPBYRVSA-N -1 1 311.248 1.664 20 0 DDADMM C[C@@H](O)C[C@@H]1CCCN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000824699315 607738131 /nfs/dbraw/zinc/73/81/31/607738131.db2.gz RLSNFZWFGITFBF-BDAKNGLRSA-N -1 1 308.773 1.655 20 0 DDADMM C[C@@H](O)C[C@@H]1CCCN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000824699315 607738132 /nfs/dbraw/zinc/73/81/32/607738132.db2.gz RLSNFZWFGITFBF-BDAKNGLRSA-N -1 1 308.773 1.655 20 0 DDADMM COC(=O)c1cc(CN2CCOCC[C@H]2C)cc(C(=O)[O-])c1 ZINC000833712965 605203589 /nfs/dbraw/zinc/20/35/89/605203589.db2.gz PHEVWODLVMLRNQ-LLVKDONJSA-N -1 1 307.346 1.782 20 0 DDADMM CCc1cnccc1[C@@H](C)NCC(=O)N[C@@H](CC(C)C)C(=O)[O-] ZINC000833672342 605270208 /nfs/dbraw/zinc/27/02/08/605270208.db2.gz AJSONFYPVRWAIV-DOMZBBRYSA-N -1 1 321.421 1.910 20 0 DDADMM CCCN1CCN(C(=O)CN(C(=O)[O-])c2ccccc2)CC1 ZINC000826921799 605281215 /nfs/dbraw/zinc/28/12/15/605281215.db2.gz QYHIHTWGLHYYLZ-UHFFFAOYSA-N -1 1 305.378 1.725 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NCC2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000830036405 605301683 /nfs/dbraw/zinc/30/16/83/605301683.db2.gz OSGJMJYLTXOIDX-SNVBAGLBSA-N -1 1 323.397 1.338 20 0 DDADMM O=C(CO[C@@H]1CCCN(C(=O)[O-])C1)Nc1ccc2[nH]nnc2c1 ZINC000831524438 605388232 /nfs/dbraw/zinc/38/82/32/605388232.db2.gz SASGKSXBFXCWDJ-SNVBAGLBSA-N -1 1 319.321 1.055 20 0 DDADMM O=C([O-])NC1(CNS(=O)(=O)c2c(F)cccc2F)CCC1 ZINC000834155974 605407769 /nfs/dbraw/zinc/40/77/69/605407769.db2.gz SKTVKHWDDGUEGL-UHFFFAOYSA-N -1 1 320.317 1.433 20 0 DDADMM CC(C)(CN1CC(n2cc(C(=O)[O-])nn2)C1)c1ccccc1 ZINC000833458224 605555436 /nfs/dbraw/zinc/55/54/36/605555436.db2.gz OKONBJHZDUJYCC-UHFFFAOYSA-N -1 1 300.362 1.811 20 0 DDADMM Cn1cnnc1[C@@H]1CCCN1CCC1CCN(C(=O)[O-])CC1 ZINC000833955423 605618517 /nfs/dbraw/zinc/61/85/17/605618517.db2.gz BEPNZOITUGANLC-ZDUSSCGKSA-N -1 1 307.398 1.732 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC[C@H](N(C)C(=O)[O-])C2)n[nH]1 ZINC000830029754 605699476 /nfs/dbraw/zinc/69/94/76/605699476.db2.gz IXKYJYQTWVCMAJ-MFKMUULPSA-N -1 1 323.397 1.433 20 0 DDADMM C[C@H]1[C@H](C)N(C(=O)[O-])CCN1C[C@H](O)COCc1ccccc1 ZINC000833825581 606035985 /nfs/dbraw/zinc/03/59/85/606035985.db2.gz CGCREYNFXFGNCN-DZKIICNBSA-N -1 1 322.405 1.637 20 0 DDADMM CC[C@H]1C[C@@H](C)CN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820966664 606169159 /nfs/dbraw/zinc/16/91/59/606169159.db2.gz GLTHLNKFTOJZGH-BDAKNGLRSA-N -1 1 304.358 1.253 20 0 DDADMM CC[C@H]1C[C@@H](C)CN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820966664 606169160 /nfs/dbraw/zinc/16/91/60/606169160.db2.gz GLTHLNKFTOJZGH-BDAKNGLRSA-N -1 1 304.358 1.253 20 0 DDADMM CC(C)C[C@H](CCO)CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820054942 606289714 /nfs/dbraw/zinc/28/97/14/606289714.db2.gz DVTHMDFOSINFLP-NSHDSACASA-N -1 1 318.381 1.036 20 0 DDADMM CC(C)C[C@H](CCO)CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820054942 606289716 /nfs/dbraw/zinc/28/97/16/606289716.db2.gz DVTHMDFOSINFLP-NSHDSACASA-N -1 1 318.381 1.036 20 0 DDADMM Cn1cc(-c2nn[nH]n2)cc1C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000822649671 606363497 /nfs/dbraw/zinc/36/34/97/606363497.db2.gz IAAHIPMTTPFHFQ-UHFFFAOYSA-N -1 1 318.724 1.817 20 0 DDADMM CSC[C@](C)(O)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000821956804 606467169 /nfs/dbraw/zinc/46/71/69/606467169.db2.gz XYLXPASQGVPVFH-SECBINFHSA-N -1 1 320.831 1.503 20 0 DDADMM CSC[C@](C)(O)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000821956804 606467170 /nfs/dbraw/zinc/46/71/70/606467170.db2.gz XYLXPASQGVPVFH-SECBINFHSA-N -1 1 320.831 1.503 20 0 DDADMM O=C(NC[C@@H]1CC1(Cl)Cl)c1ccc(-c2nnn[n-]2)s1 ZINC000823171417 606524186 /nfs/dbraw/zinc/52/41/86/606524186.db2.gz UMUAZQWWHZFWBN-YFKPBYRVSA-N -1 1 318.189 1.852 20 0 DDADMM O=C(NC[C@@H]1CC1(Cl)Cl)c1ccc(-c2nn[n-]n2)s1 ZINC000823171417 606524188 /nfs/dbraw/zinc/52/41/88/606524188.db2.gz UMUAZQWWHZFWBN-YFKPBYRVSA-N -1 1 318.189 1.852 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC(C)(C)O1 ZINC000820742425 606535830 /nfs/dbraw/zinc/53/58/30/606535830.db2.gz JTOUONUIRXJTBO-QMMMGPOBSA-N -1 1 307.379 1.568 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC(C)(C)O1 ZINC000820742425 606535832 /nfs/dbraw/zinc/53/58/32/606535832.db2.gz JTOUONUIRXJTBO-QMMMGPOBSA-N -1 1 307.379 1.568 20 0 DDADMM Cc1noc(C)c1CCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822522743 606555702 /nfs/dbraw/zinc/55/57/02/606555702.db2.gz LSXRTYQHNILHED-UHFFFAOYSA-N -1 1 318.362 1.506 20 0 DDADMM Cc1noc(C)c1CCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822522743 606555704 /nfs/dbraw/zinc/55/57/04/606555704.db2.gz LSXRTYQHNILHED-UHFFFAOYSA-N -1 1 318.362 1.506 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(-c3nnn[n-]3)s2)CCCO1 ZINC000820601548 606564213 /nfs/dbraw/zinc/56/42/13/606564213.db2.gz OCXWTOVCHGGKFP-UHFFFAOYSA-N -1 1 307.379 1.569 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(-c3nn[n-]n3)s2)CCCO1 ZINC000820601548 606564214 /nfs/dbraw/zinc/56/42/14/606564214.db2.gz OCXWTOVCHGGKFP-UHFFFAOYSA-N -1 1 307.379 1.569 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)CCS1 ZINC000820988318 606577057 /nfs/dbraw/zinc/57/70/57/606577057.db2.gz FMRRHMQVICWBFZ-QMMMGPOBSA-N -1 1 309.420 1.896 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)CCS1 ZINC000820988318 606577059 /nfs/dbraw/zinc/57/70/59/606577059.db2.gz FMRRHMQVICWBFZ-QMMMGPOBSA-N -1 1 309.420 1.896 20 0 DDADMM CSc1[nH]c(=O)c(C(=O)N2CCC[C@H]2C)cc1-c1nn[n-]n1 ZINC000821969762 606585427 /nfs/dbraw/zinc/58/54/27/606585427.db2.gz DZOREUNOQPBOCH-SSDOTTSWSA-N -1 1 320.378 1.314 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC[C@H]2CC=CCC2)n1 ZINC000822614716 606597178 /nfs/dbraw/zinc/59/71/78/606597178.db2.gz QFMAWWFGMQSQEY-VIFPVBQESA-N -1 1 302.342 1.078 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC[C@H]2CC=CCC2)n1 ZINC000822614716 606597180 /nfs/dbraw/zinc/59/71/80/606597180.db2.gz QFMAWWFGMQSQEY-VIFPVBQESA-N -1 1 302.342 1.078 20 0 DDADMM OCCCCN(c1ccc(Cl)c(-c2nnn[n-]2)n1)C1CC1 ZINC000823717714 606643191 /nfs/dbraw/zinc/64/31/91/606643191.db2.gz KENLZRYVGBMPBA-UHFFFAOYSA-N -1 1 308.773 1.656 20 0 DDADMM OCCCCN(c1ccc(Cl)c(-c2nn[n-]n2)n1)C1CC1 ZINC000823717714 606643192 /nfs/dbraw/zinc/64/31/92/606643192.db2.gz KENLZRYVGBMPBA-UHFFFAOYSA-N -1 1 308.773 1.656 20 0 DDADMM C[C@@H](C(=O)OCc1ccnc(-c2nnn[n-]2)c1)C(F)(F)F ZINC000819884027 606653304 /nfs/dbraw/zinc/65/33/04/606653304.db2.gz QZVTXZXYMQCSPS-LURJTMIESA-N -1 1 301.228 1.503 20 0 DDADMM C[C@@H](C(=O)OCc1ccnc(-c2nn[n-]n2)c1)C(F)(F)F ZINC000819884027 606653305 /nfs/dbraw/zinc/65/33/05/606653305.db2.gz QZVTXZXYMQCSPS-LURJTMIESA-N -1 1 301.228 1.503 20 0 DDADMM Fc1cccc(-c2nc(Cn3ccnc3-c3nnn[n-]3)co2)c1 ZINC000822786975 606655264 /nfs/dbraw/zinc/65/52/64/606655264.db2.gz RHEAXAWAVDPXHT-UHFFFAOYSA-N -1 1 311.280 1.906 20 0 DDADMM Fc1cccc(-c2nc(Cn3ccnc3-c3nn[n-]n3)co2)c1 ZINC000822786975 606655265 /nfs/dbraw/zinc/65/52/65/606655265.db2.gz RHEAXAWAVDPXHT-UHFFFAOYSA-N -1 1 311.280 1.906 20 0 DDADMM Clc1ccc(N[C@@H]2CCc3c[nH]nc3C2)nc1-c1nnn[n-]1 ZINC000822578829 606950602 /nfs/dbraw/zinc/95/06/02/606950602.db2.gz QLBVVTRZPQAKCL-MRVPVSSYSA-N -1 1 316.756 1.608 20 0 DDADMM Clc1ccc(N[C@@H]2CCc3c[nH]nc3C2)nc1-c1nn[n-]n1 ZINC000822578829 606950604 /nfs/dbraw/zinc/95/06/04/606950604.db2.gz QLBVVTRZPQAKCL-MRVPVSSYSA-N -1 1 316.756 1.608 20 0 DDADMM Cc1cc(COC(=O)c2sccc2-c2nn[n-]n2)n(C)n1 ZINC000822201089 607092692 /nfs/dbraw/zinc/09/26/92/607092692.db2.gz QCANYBSYDQBFER-UHFFFAOYSA-N -1 1 304.335 1.327 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1cccc(F)c1F ZINC000823383763 607105489 /nfs/dbraw/zinc/10/54/89/607105489.db2.gz ZDGOQHPLKFURJX-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1cccc(F)c1F ZINC000823383763 607105490 /nfs/dbraw/zinc/10/54/90/607105490.db2.gz ZDGOQHPLKFURJX-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM c1ccc2c(c1)C[C@H]1[C@@H]2[C@H]1CNc1nccnc1-c1nnn[n-]1 ZINC000823798785 607127214 /nfs/dbraw/zinc/12/72/14/607127214.db2.gz YMHBNTZRCQDRAI-FRRDWIJNSA-N -1 1 305.345 1.655 20 0 DDADMM c1ccc2c(c1)C[C@H]1[C@@H]2[C@H]1CNc1nccnc1-c1nn[n-]n1 ZINC000823798785 607127216 /nfs/dbraw/zinc/12/72/16/607127216.db2.gz YMHBNTZRCQDRAI-FRRDWIJNSA-N -1 1 305.345 1.655 20 0 DDADMM Cc1cc(CSc2nc(C)nc(C)c2-c2nn[n-]n2)nn1C ZINC000822427618 607161477 /nfs/dbraw/zinc/16/14/77/607161477.db2.gz RBMNPTFTJXKLCO-UHFFFAOYSA-N -1 1 316.394 1.608 20 0 DDADMM O=S(=O)(NCCC1=CCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826491162 607812282 /nfs/dbraw/zinc/81/22/82/607812282.db2.gz WPPOKTPEWDLMGS-UHFFFAOYSA-N -1 1 320.378 1.040 20 0 DDADMM O=S(=O)(NCCC1=CCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826491162 607812281 /nfs/dbraw/zinc/81/22/81/607812281.db2.gz WPPOKTPEWDLMGS-UHFFFAOYSA-N -1 1 320.378 1.040 20 0 DDADMM CC[C@@H](C[C@H](C)CO)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825082053 607994300 /nfs/dbraw/zinc/99/43/00/607994300.db2.gz PHGMOGBESXDMBF-IUCAKERBSA-N -1 1 309.395 1.455 20 0 DDADMM CC[C@@H](C[C@H](C)CO)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825082053 607994301 /nfs/dbraw/zinc/99/43/01/607994301.db2.gz PHGMOGBESXDMBF-IUCAKERBSA-N -1 1 309.395 1.455 20 0 DDADMM CC[C@H](C)C(=O)Cn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000825052728 608022719 /nfs/dbraw/zinc/02/27/19/608022719.db2.gz YCOAEJCJQPVKAO-JTQLQIEISA-N -1 1 311.345 1.797 20 0 DDADMM C[C@@H](C(=O)n1ncc(-c2nn[n-]n2)c1N)c1c(F)cccc1F ZINC000824051664 608119627 /nfs/dbraw/zinc/11/96/27/608119627.db2.gz BPCJAYSYAGSBFV-ZCFIWIBFSA-N -1 1 319.275 1.368 20 0 DDADMM CC(C)c1cc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])no1 ZINC000824341846 608203738 /nfs/dbraw/zinc/20/37/38/608203738.db2.gz GBVPDMHESCTIOQ-UHFFFAOYSA-N -1 1 314.305 1.936 20 0 DDADMM c1cc(N2CCC[C@@H](C[C@@H]3CCOC3)C2)nnc1-c1nnn[n-]1 ZINC000826516829 608298991 /nfs/dbraw/zinc/29/89/91/608298991.db2.gz DHHOZBQDVBXYAE-RYUDHWBXSA-N -1 1 315.381 1.300 20 0 DDADMM c1cc(N2CCC[C@@H](C[C@@H]3CCOC3)C2)nnc1-c1nn[n-]n1 ZINC000826516829 608298993 /nfs/dbraw/zinc/29/89/93/608298993.db2.gz DHHOZBQDVBXYAE-RYUDHWBXSA-N -1 1 315.381 1.300 20 0 DDADMM Cn1nccc1CNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000826366450 608366705 /nfs/dbraw/zinc/36/67/05/608366705.db2.gz ITOZBONYGACXFE-UHFFFAOYSA-N -1 1 306.333 1.761 20 0 DDADMM Cn1nccc1CNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000826366450 608366707 /nfs/dbraw/zinc/36/67/07/608366707.db2.gz ITOZBONYGACXFE-UHFFFAOYSA-N -1 1 306.333 1.761 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000824938209 608400079 /nfs/dbraw/zinc/40/00/79/608400079.db2.gz UUKPPGMHUCZQKC-ZYHUDNBSSA-N -1 1 303.370 1.664 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000824938209 608400081 /nfs/dbraw/zinc/40/00/81/608400081.db2.gz UUKPPGMHUCZQKC-ZYHUDNBSSA-N -1 1 303.370 1.664 20 0 DDADMM COc1ccccc1C1(CNc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000826218568 608408854 /nfs/dbraw/zinc/40/88/54/608408854.db2.gz GOPXMVCPNKJVJX-UHFFFAOYSA-N -1 1 323.360 1.809 20 0 DDADMM COc1ccccc1C1(CNc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000826218568 608408856 /nfs/dbraw/zinc/40/88/56/608408856.db2.gz GOPXMVCPNKJVJX-UHFFFAOYSA-N -1 1 323.360 1.809 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC000825669453 608428951 /nfs/dbraw/zinc/42/89/51/608428951.db2.gz YCBGOXVWSAAODE-IMXIGIQMSA-N -1 1 321.410 1.148 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC000825669453 608428953 /nfs/dbraw/zinc/42/89/53/608428953.db2.gz YCBGOXVWSAAODE-IMXIGIQMSA-N -1 1 321.410 1.148 20 0 DDADMM Cc1ccsc1[C@H](CO)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826316746 608429761 /nfs/dbraw/zinc/42/97/61/608429761.db2.gz XOWDKVDSLXISHI-VIFPVBQESA-N -1 1 303.351 1.172 20 0 DDADMM Cc1ccsc1[C@H](CO)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826316746 608429763 /nfs/dbraw/zinc/42/97/63/608429763.db2.gz XOWDKVDSLXISHI-VIFPVBQESA-N -1 1 303.351 1.172 20 0 DDADMM Clc1ccc(CCNc2ccc(-c3nnn[n-]3)nn2)cn1 ZINC000826352168 608433101 /nfs/dbraw/zinc/43/31/01/608433101.db2.gz QKSHQMPWGZXDFD-UHFFFAOYSA-N -1 1 302.729 1.360 20 0 DDADMM Clc1ccc(CCNc2ccc(-c3nn[n-]n3)nn2)cn1 ZINC000826352168 608433102 /nfs/dbraw/zinc/43/31/02/608433102.db2.gz QKSHQMPWGZXDFD-UHFFFAOYSA-N -1 1 302.729 1.360 20 0 DDADMM c1ccc(N[C@H]2CCCN(c3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826522376 608433908 /nfs/dbraw/zinc/43/39/08/608433908.db2.gz XRCITSUXGZICKV-ZDUSSCGKSA-N -1 1 322.376 1.738 20 0 DDADMM c1ccc(N[C@H]2CCCN(c3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826522376 608433910 /nfs/dbraw/zinc/43/39/10/608433910.db2.gz XRCITSUXGZICKV-ZDUSSCGKSA-N -1 1 322.376 1.738 20 0 DDADMM COc1ccc(CNc2ccc(-c3nnn[n-]3)nn2)cc1Cl ZINC000826204143 608434641 /nfs/dbraw/zinc/43/46/41/608434641.db2.gz ZKHFFHXGJONKRL-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM COc1ccc(CNc2ccc(-c3nn[n-]n3)nn2)cc1Cl ZINC000826204143 608434642 /nfs/dbraw/zinc/43/46/42/608434642.db2.gz ZKHFFHXGJONKRL-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM Cc1cc(C)n(CCCNc2nc(-c3nn[n-]n3)ccc2C)n1 ZINC000826265350 608541147 /nfs/dbraw/zinc/54/11/47/608541147.db2.gz VUXSZOKNBOANIO-UHFFFAOYSA-N -1 1 312.381 1.886 20 0 DDADMM c1cc(NC[C@H]2COC3(CCCCC3)O2)nc(-c2nnn[n-]2)c1 ZINC000826517105 608586212 /nfs/dbraw/zinc/58/62/12/608586212.db2.gz QKNGUNWFXUTKNM-NSHDSACASA-N -1 1 316.365 1.749 20 0 DDADMM c1cc(NC[C@H]2COC3(CCCCC3)O2)nc(-c2nn[n-]n2)c1 ZINC000826517105 608586214 /nfs/dbraw/zinc/58/62/14/608586214.db2.gz QKNGUNWFXUTKNM-NSHDSACASA-N -1 1 316.365 1.749 20 0 DDADMM CC(C)[C@H]1C[C@@H](CC(=O)n2ncc(-c3nn[n-]n3)c2N)CCO1 ZINC000824214028 609433939 /nfs/dbraw/zinc/43/39/39/609433939.db2.gz DQINKTXSIUKGKN-GXSJLCMTSA-N -1 1 319.369 1.127 20 0 DDADMM O=S(=O)(Oc1cccc(Br)c1)c1c[nH]cn1 ZINC000121501438 696711098 /nfs/dbraw/zinc/71/10/98/696711098.db2.gz AJHKJAWCDLDXOY-UHFFFAOYSA-N -1 1 303.137 1.940 20 0 DDADMM O=S(=O)(Oc1cccc(Br)c1)c1c[n-]cn1 ZINC000121501438 696711100 /nfs/dbraw/zinc/71/11/00/696711100.db2.gz AJHKJAWCDLDXOY-UHFFFAOYSA-N -1 1 303.137 1.940 20 0 DDADMM CC(C)C(=O)Nc1cccc(OS(=O)(=O)c2c[n-]cn2)c1 ZINC000122131652 696718971 /nfs/dbraw/zinc/71/89/71/696718971.db2.gz ITSFSZXYLQEAEK-UHFFFAOYSA-N -1 1 309.347 1.772 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2ccc3c(c2)CN(C)C3=O)[n-]1 ZINC000797012822 699990533 /nfs/dbraw/zinc/99/05/33/699990533.db2.gz MOOHBOXLCLHILY-UHFFFAOYSA-N -1 1 314.297 1.606 20 0 DDADMM CCOC(=O)C[C@H](OC(=O)c1ccc(C(=O)OC)[n-]1)C1CC1 ZINC000797014873 699990878 /nfs/dbraw/zinc/99/08/78/699990878.db2.gz SPZYKOWZZRJETB-LBPRGKRZSA-N -1 1 309.318 1.690 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)C2CC3(CC3)C2)C1)c1ncccc1[O-] ZINC000973196198 695443593 /nfs/dbraw/zinc/44/35/93/695443593.db2.gz CZKWJNCAQWYBET-HAQNSBGRSA-N -1 1 315.373 1.354 20 0 DDADMM CNC(=O)C[C@@H]([N-]C(=O)C(F)(F)C(F)F)c1ccccc1 ZINC000797362334 700005993 /nfs/dbraw/zinc/00/59/93/700005993.db2.gz CZFHYAXKUJEOHE-SECBINFHSA-N -1 1 306.259 1.880 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2[nH]ccc2C)cc1 ZINC000797908509 700030855 /nfs/dbraw/zinc/03/08/55/700030855.db2.gz HQKLPCGVWANCCI-UHFFFAOYSA-N -1 1 316.313 1.445 20 0 DDADMM O=C([N-]OCc1cccnc1)[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000746945738 700046764 /nfs/dbraw/zinc/04/67/64/700046764.db2.gz KNBXQPBYKIHBKQ-CQSZACIVSA-N -1 1 311.341 1.683 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2CC=CCC2)cc1 ZINC000010849245 696041389 /nfs/dbraw/zinc/04/13/89/696041389.db2.gz PFWKNWABXJXSOC-ZDUSSCGKSA-N -1 1 317.341 1.851 20 0 DDADMM Fc1[n-]c(F)c(F)c(=NNC(=S)NC[C@@H]2CCCO2)c1F ZINC000016306760 696062019 /nfs/dbraw/zinc/06/20/19/696062019.db2.gz PLIRVLXRFWNCHV-YFKPBYRVSA-N -1 1 324.303 1.608 20 0 DDADMM CCCc1ccc(S(=O)(=O)[N-]C(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000048563489 696213831 /nfs/dbraw/zinc/21/38/31/696213831.db2.gz AGDVEADDWYCRDF-VXGBXAGGSA-N -1 1 312.347 1.950 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)C1(CF)CC1)C(=O)c1ncccc1[O-] ZINC000977596435 696228154 /nfs/dbraw/zinc/22/81/54/696228154.db2.gz CEQFTGRZDUSNKU-NSHDSACASA-N -1 1 321.352 1.210 20 0 DDADMM O=C(CSC(F)(F)F)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000747473756 700072283 /nfs/dbraw/zinc/07/22/83/700072283.db2.gz LBAPMFRSTLONGE-SECBINFHSA-N -1 1 317.296 1.658 20 0 DDADMM CC[C@@H](F)C[N@@H+]1C[C@@H]2[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])[C@@H]2C1 ZINC000977981945 696306321 /nfs/dbraw/zinc/30/63/21/696306321.db2.gz PAPAQTRMBDAMNV-KKOKHZNYSA-N -1 1 310.373 1.079 20 0 DDADMM Cc1cc(C(=O)NCc2n[n-]c(=S)n2C)c(N)c([N+](=O)[O-])c1 ZINC000066625123 696353999 /nfs/dbraw/zinc/35/39/99/696353999.db2.gz UAWOROOZNJVXAB-UHFFFAOYSA-N -1 1 322.350 1.207 20 0 DDADMM CCn1c(CNC(=O)c2cccc3n[nH]cc32)n[n-]c1=S ZINC000067050633 696358652 /nfs/dbraw/zinc/35/86/52/696358652.db2.gz SDBGZGIPUFXZRM-UHFFFAOYSA-N -1 1 302.363 1.767 20 0 DDADMM CCn1c(CCNC(=O)CCCn2cccn2)n[n-]c1=S ZINC000067077767 696358962 /nfs/dbraw/zinc/35/89/62/696358962.db2.gz QJXATHDDRNZKJE-UHFFFAOYSA-N -1 1 308.411 1.296 20 0 DDADMM CCC[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccn1 ZINC000080035597 696529915 /nfs/dbraw/zinc/52/99/15/696529915.db2.gz AVWWQASADNBXPY-GHMZBOCLSA-N -1 1 306.391 1.386 20 0 DDADMM CC(C)(C)c1cnc(NC(=O)Cc2sc(N)nc2[O-])s1 ZINC000081233410 696541676 /nfs/dbraw/zinc/54/16/76/696541676.db2.gz UGAUDCUCCGLORZ-ZCFIWIBFSA-N -1 1 312.420 1.726 20 0 DDADMM CC(C)n1c(CCNC(=O)C2(O)CCCCC2)n[n-]c1=S ZINC000086585210 696566758 /nfs/dbraw/zinc/56/67/58/696566758.db2.gz PBMZCDKXCQGNNW-UHFFFAOYSA-N -1 1 312.439 1.875 20 0 DDADMM CCOC(=O)C[C@@H](C)[S@@](=O)CCC[N-]C(=O)C(F)(F)F ZINC000091537985 696588979 /nfs/dbraw/zinc/58/89/79/696588979.db2.gz WGQRVXNQVPAGOY-ZPWHCFADSA-N -1 1 317.329 1.145 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)s1 ZINC000798812381 700098691 /nfs/dbraw/zinc/09/86/91/700098691.db2.gz FTECNYLEFIRQPV-NSHDSACASA-N -1 1 304.437 1.448 20 0 DDADMM O=C([N-]c1nnc(C(F)F)s1)c1nnc2ccccc2c1O ZINC000109957516 696639157 /nfs/dbraw/zinc/63/91/57/696639157.db2.gz KOXWUGNEFGRNAJ-UHFFFAOYSA-N -1 1 323.284 1.965 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)[C@@H]1CCCC[C@@H]1C(=O)N1CCCC1 ZINC000112281274 696649653 /nfs/dbraw/zinc/64/96/53/696649653.db2.gz FZHQQNDFQKMGEV-QLFBSQMISA-N -1 1 324.421 1.990 20 0 DDADMM CN(Cc1cnn(C)c1)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000117319234 696670361 /nfs/dbraw/zinc/67/03/61/696670361.db2.gz OOPKIEVYGYWWPX-UHFFFAOYSA-N -1 1 315.406 1.826 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)Cc1cccc(F)c1)N(C)C ZINC000798852946 700101892 /nfs/dbraw/zinc/10/18/92/700101892.db2.gz ZTPOISZUVDWFMH-ZDUSSCGKSA-N -1 1 316.398 1.358 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(F)cc1F ZINC000120805429 696701435 /nfs/dbraw/zinc/70/14/35/696701435.db2.gz OEWNJXPNLFAHSA-LDWIPMOCSA-N -1 1 313.329 1.489 20 0 DDADMM Cc1cc([C@H](C)NC(=O)Cc2sc(N)nc2[O-])c(C)s1 ZINC000120891585 696703147 /nfs/dbraw/zinc/70/31/47/696703147.db2.gz RFSCVPAATMBTGO-XVKPBYJWSA-N -1 1 311.432 1.889 20 0 DDADMM CC(C)[C@H](CNC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000120911389 696703614 /nfs/dbraw/zinc/70/36/14/696703614.db2.gz AQBKIIMHNDDTJX-STQMWFEESA-N -1 1 319.430 1.889 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccnc(-n2ccnc2)c1 ZINC000129338136 696787738 /nfs/dbraw/zinc/78/77/38/696787738.db2.gz LATJSBYLONMWOK-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000133247370 696824062 /nfs/dbraw/zinc/82/40/62/696824062.db2.gz LZCQZRFSLRKVEV-SSDOTTSWSA-N -1 1 316.151 1.836 20 0 DDADMM CCOC(=O)[C@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000133247593 696824119 /nfs/dbraw/zinc/82/41/19/696824119.db2.gz LZCQZRFSLRKVEV-ZETCQYMHSA-N -1 1 316.151 1.836 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cc2ccccc2o1)C(C)C ZINC000133883162 696831059 /nfs/dbraw/zinc/83/10/59/696831059.db2.gz IEJXPXZKEDJTAZ-ZDUSSCGKSA-N -1 1 311.359 1.909 20 0 DDADMM C[C@H](NC(=O)c1cnn[nH]1)c1ccc(N2CCOC2=O)cc1 ZINC000142398056 696868501 /nfs/dbraw/zinc/86/85/01/696868501.db2.gz JFERCQALGVUTCC-VIFPVBQESA-N -1 1 301.306 1.252 20 0 DDADMM COCCn1cnnc1SCCC[N-]C(=O)C(F)(F)F ZINC000151713848 696889683 /nfs/dbraw/zinc/88/96/83/696889683.db2.gz MENIUSOGNAUARK-UHFFFAOYSA-N -1 1 312.317 1.085 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C[C@H]1C=CCC1 ZINC000153365552 696914374 /nfs/dbraw/zinc/91/43/74/696914374.db2.gz SQIXMASVUZMUOI-NSHDSACASA-N -1 1 302.334 1.168 20 0 DDADMM COc1ccccc1CO[N-]C(=O)[C@]1(C)CCN(C(C)=O)C1 ZINC000799094356 700117481 /nfs/dbraw/zinc/11/74/81/700117481.db2.gz KQLYNGOTQWORFG-MRXNPFEDSA-N -1 1 306.362 1.502 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982671778 697167458 /nfs/dbraw/zinc/16/74/58/697167458.db2.gz CRHKUFWNSUOBFH-YNEHKIRRSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983088414 697209785 /nfs/dbraw/zinc/20/97/85/697209785.db2.gz SEMZNUQGYVXTSO-IJLUTSLNSA-N -1 1 303.362 1.022 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983340873 697243537 /nfs/dbraw/zinc/24/35/37/697243537.db2.gz DFQCECAJRFLMDL-OLZOCXBDSA-N -1 1 319.405 1.658 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(F)cc1C(F)(F)F ZINC000178364036 697423938 /nfs/dbraw/zinc/42/39/38/697423938.db2.gz DUHGPFWFJOTESG-UHFFFAOYSA-N -1 1 305.187 1.041 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1CC ZINC000984803871 697431018 /nfs/dbraw/zinc/43/10/18/697431018.db2.gz ZWNXJEAUIKVMJO-GRYCIOLGSA-N -1 1 323.368 1.645 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C[C@H]2C=CCC2)CC1 ZINC000985247832 697474936 /nfs/dbraw/zinc/47/49/36/697474936.db2.gz CJJMLHSGQFZDBT-LBPRGKRZSA-N -1 1 319.409 1.289 20 0 DDADMM CC(=O)c1cc(Cl)cc(CN2CCN(C)C(=O)[C@H]2C)c1[O-] ZINC000187041147 697540700 /nfs/dbraw/zinc/54/07/00/697540700.db2.gz YYTWWAOUSZXYLN-SECBINFHSA-N -1 1 310.781 1.911 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)s1 ZINC000985894113 697597166 /nfs/dbraw/zinc/59/71/66/697597166.db2.gz UMPGMNVWANBEPY-VHSXEESVSA-N -1 1 321.406 1.273 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CCCC1 ZINC000985913154 697601620 /nfs/dbraw/zinc/60/16/20/697601620.db2.gz ZGMIVJHGUIVZHQ-CMPLNLGQSA-N -1 1 307.398 1.170 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)c1cc[nH]c(=O)c1Br ZINC000772620512 697653480 /nfs/dbraw/zinc/65/34/80/697653480.db2.gz KMFQGIDFYRBEGU-ZETCQYMHSA-N -1 1 317.139 1.248 20 0 DDADMM O=C([C@H]1CSCCS1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000774215258 697855853 /nfs/dbraw/zinc/85/58/53/697855853.db2.gz CBOAGRPACKNNQD-DTWKUNHWSA-N -1 1 315.420 1.330 20 0 DDADMM CN(C)c1ccc(NC(=O)c2ccc3n[n-]c(=S)n3c2)nc1 ZINC000774410939 697876039 /nfs/dbraw/zinc/87/60/39/697876039.db2.gz RHASJMILTDFBEG-UHFFFAOYSA-N -1 1 314.374 1.731 20 0 DDADMM C[C@H](CN1CCN(C)CC1)NC(=O)c1cccc(Cl)c1[O-] ZINC000775724906 698025675 /nfs/dbraw/zinc/02/56/75/698025675.db2.gz JYEMRUBXQZETRP-LLVKDONJSA-N -1 1 311.813 1.411 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2ccc[nH]2)cc1 ZINC000749955187 700194409 /nfs/dbraw/zinc/19/44/09/700194409.db2.gz BPPGCIVSXKUOEY-UHFFFAOYSA-N -1 1 302.286 1.137 20 0 DDADMM CC(C)c1ncc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)s1 ZINC000776565055 698117648 /nfs/dbraw/zinc/11/76/48/698117648.db2.gz QYNRXWCZMNJALI-QMMMGPOBSA-N -1 1 324.435 1.710 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ccco1 ZINC000987669804 698191754 /nfs/dbraw/zinc/19/17/54/698191754.db2.gz ATQFURJUNPXAPU-MNOVXSKESA-N -1 1 315.329 1.413 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987676064 698194367 /nfs/dbraw/zinc/19/43/67/698194367.db2.gz CXMUCGIVRIRZHB-UPJWGTAASA-N -1 1 319.405 1.799 20 0 DDADMM C[C@@H]([N-]C(=O)C(F)(F)Oc1ccc(C=O)cc1)c1ncon1 ZINC000778409969 698334013 /nfs/dbraw/zinc/33/40/13/698334013.db2.gz OMPGAFSTBAOUCL-MRVPVSSYSA-N -1 1 311.244 1.731 20 0 DDADMM CCOC(=O)[C@@H]1CSCCN1C(=O)c1ncc(C)cc1[O-] ZINC000778413837 698334391 /nfs/dbraw/zinc/33/43/91/698334391.db2.gz PJYHJLYMEUEJPR-JTQLQIEISA-N -1 1 310.375 1.216 20 0 DDADMM COCCC(=O)COC(=O)c1ccc(Br)c([O-])c1 ZINC000778828709 698373344 /nfs/dbraw/zinc/37/33/44/698373344.db2.gz KATXTZIEROJNEA-UHFFFAOYSA-N -1 1 317.135 1.917 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](C3(C)OCCO3)C2)c([O-])c1 ZINC000779084119 698394659 /nfs/dbraw/zinc/39/46/59/698394659.db2.gz AXCDYOIMSYSJGG-LBPRGKRZSA-N -1 1 306.362 1.711 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C\c2ccco2)c1 ZINC000255203747 698414572 /nfs/dbraw/zinc/41/45/72/698414572.db2.gz CFJSBFCOLNLRMH-UTCJRWHESA-N -1 1 308.315 1.285 20 0 DDADMM Cc1nc(CN[C@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)co1 ZINC000988692853 698439389 /nfs/dbraw/zinc/43/93/89/698439389.db2.gz XIFQMUHSKONWJI-JQWIXIFHSA-N -1 1 316.361 1.476 20 0 DDADMM CC[C@H](NC(=O)NC[C@@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000780344202 698509335 /nfs/dbraw/zinc/50/93/35/698509335.db2.gz IVTLNIQXOVCAAZ-RYUDHWBXSA-N -1 1 309.366 1.579 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Cl)ncc2Cl)nc1=O ZINC000781362700 698611505 /nfs/dbraw/zinc/61/15/05/698611505.db2.gz WHSUFZNJLNOIBF-UHFFFAOYSA-N -1 1 303.105 1.167 20 0 DDADMM COC(=O)Cc1ccc(OS(=O)(=O)c2c[n-]cn2)c(F)c1 ZINC000781398478 698615370 /nfs/dbraw/zinc/61/53/70/698615370.db2.gz FQLWKLUBSBJGIF-UHFFFAOYSA-N -1 1 314.294 1.032 20 0 DDADMM O=C(NC[C@@H](O)c1ccsc1)c1nc2ccccc2c(=O)[n-]1 ZINC000304251007 698616188 /nfs/dbraw/zinc/61/61/88/698616188.db2.gz BUQQGVJVYONQPH-GFCCVEGCSA-N -1 1 315.354 1.860 20 0 DDADMM Cc1cc(NC(=O)c2cc3nn[n-]c3cc2F)c(=O)n(C)c1 ZINC000781953651 698665306 /nfs/dbraw/zinc/66/53/06/698665306.db2.gz CPXSXRIQZDCGEF-UHFFFAOYSA-N -1 1 301.281 1.356 20 0 DDADMM CC[C@H](NC(=O)NC[C@H](c1cccc(OC)c1)N(C)C)C(=O)[O-] ZINC000320317436 698718825 /nfs/dbraw/zinc/71/88/25/698718825.db2.gz DTJPOBGLVKAYIO-UONOGXRCSA-N -1 1 323.393 1.460 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@H](N)Cc1c[nH]c2ccccc12)C(=O)[O-] ZINC000322557479 698735090 /nfs/dbraw/zinc/73/50/90/698735090.db2.gz DRNUORNJWNTSAN-UONOGXRCSA-N -1 1 317.389 1.511 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)/C=C/C(C)C)cc1 ZINC000785067429 699053763 /nfs/dbraw/zinc/05/37/63/699053763.db2.gz BKPXVZNMRQCRIH-RUDMXATFSA-N -1 1 305.330 1.707 20 0 DDADMM COCCC(C)(C)[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000398403195 699120610 /nfs/dbraw/zinc/12/06/10/699120610.db2.gz OMTSAIXVDQSQEQ-UHFFFAOYSA-N -1 1 320.342 1.827 20 0 DDADMM CC[C@@H]1CCC[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971646 699196016 /nfs/dbraw/zinc/19/60/16/699196016.db2.gz IAPRNPKJYOVGMS-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC1 ZINC000990972026 699196458 /nfs/dbraw/zinc/19/64/58/699196458.db2.gz KJVIQGOLZQJMIY-UHFFFAOYSA-N -1 1 303.362 1.164 20 0 DDADMM CCN(CCS(=O)(=O)c1ccc(Cl)cc1)[C@H](C)C(=O)[O-] ZINC000707990290 699248596 /nfs/dbraw/zinc/24/85/96/699248596.db2.gz XHXDMSOREZMTTH-SNVBAGLBSA-N -1 1 319.810 1.909 20 0 DDADMM O=C(C=Cc1cccs1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000787902232 699252905 /nfs/dbraw/zinc/25/29/05/699252905.db2.gz LAIHTSSTFHKWCB-FSIBCCDJSA-N -1 1 307.404 1.591 20 0 DDADMM CCc1ccc(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1 ZINC000788146452 699284316 /nfs/dbraw/zinc/28/43/16/699284316.db2.gz BBMHGHCKXDREOH-CYBMUJFWSA-N -1 1 317.418 1.621 20 0 DDADMM COCC(C)(C)CC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000718949091 699296316 /nfs/dbraw/zinc/29/63/16/699296316.db2.gz RRELXTYBEDVAPR-UHFFFAOYSA-N -1 1 309.819 1.415 20 0 DDADMM CNC(=O)C1([N-]S(=O)(=O)c2cc(F)ccc2F)CCCC1 ZINC000725838841 699335805 /nfs/dbraw/zinc/33/58/05/699335805.db2.gz KHOSDWBPVKFFAJ-UHFFFAOYSA-N -1 1 318.345 1.302 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)C(F)(F)C1CCOCC1 ZINC000790649786 699471903 /nfs/dbraw/zinc/47/19/03/699471903.db2.gz HMUJHUJZTBOXRL-UHFFFAOYSA-N -1 1 315.324 1.147 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3cccc(N)c3)no2)o1 ZINC000731799835 699537446 /nfs/dbraw/zinc/53/74/46/699537446.db2.gz FBQPPRQSPGZJJN-UHFFFAOYSA-N -1 1 320.330 1.487 20 0 DDADMM O=C(Nc1ccccc1CN1CCSCC1)c1cc(=O)[nH][n-]1 ZINC000731896866 699539814 /nfs/dbraw/zinc/53/98/14/699539814.db2.gz MEQKGRQULCZRTR-UHFFFAOYSA-N -1 1 318.402 1.050 20 0 DDADMM COc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(Cl)c1 ZINC000732089343 699546158 /nfs/dbraw/zinc/54/61/58/699546158.db2.gz HXAXNKMKBWVYGM-LLVKDONJSA-N -1 1 323.740 1.075 20 0 DDADMM CCOc1ccc(C=O)c(OS(=O)(=O)c2c[n-]nc2C)c1 ZINC000732214506 699550490 /nfs/dbraw/zinc/55/04/90/699550490.db2.gz HMHIZIAUJZRXGL-UHFFFAOYSA-N -1 1 310.331 1.697 20 0 DDADMM CCN(CCc1cccs1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733132086 699579969 /nfs/dbraw/zinc/57/99/69/699579969.db2.gz CQYMKUVEVHBBFT-UHFFFAOYSA-N -1 1 321.402 1.079 20 0 DDADMM O=C(COC(=O)CCc1cscn1)[N-]C(=O)c1ccccc1 ZINC000733527512 699603545 /nfs/dbraw/zinc/60/35/45/699603545.db2.gz FJVPPXNYFUTIHF-UHFFFAOYSA-N -1 1 318.354 1.576 20 0 DDADMM C[C@@H]1CCCC[C@H]1CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000733989562 699630865 /nfs/dbraw/zinc/63/08/65/699630865.db2.gz CGJPIERSGVMSLD-OLZOCXBDSA-N -1 1 307.394 1.259 20 0 DDADMM O=C(CSc1nc(C(F)(F)F)cc(=O)[n-]1)N1CCCC1=O ZINC000735979946 699715277 /nfs/dbraw/zinc/71/52/77/699715277.db2.gz PXYXXAPGOFYPJJ-UHFFFAOYSA-N -1 1 321.280 1.442 20 0 DDADMM CC(C)(NC(=O)CCn1cc[n-]c(=O)c1=O)c1cccc(F)c1 ZINC000736572739 699727501 /nfs/dbraw/zinc/72/75/01/699727501.db2.gz GCICCNVUCFDCEN-UHFFFAOYSA-N -1 1 319.336 1.117 20 0 DDADMM CCCNC(=O)Nc1cccc(OS(=O)(=O)c2c[n-]cn2)c1 ZINC000736632950 699728636 /nfs/dbraw/zinc/72/86/36/699728636.db2.gz ZENCLDMVRXITOX-UHFFFAOYSA-N -1 1 324.362 1.709 20 0 DDADMM Cn1c(CNC(=O)c2ncccc2[O-])nc2cc(F)ccc21 ZINC000736679955 699730091 /nfs/dbraw/zinc/73/00/91/699730091.db2.gz SFTVRIAVMNGIAW-UHFFFAOYSA-N -1 1 300.293 1.743 20 0 DDADMM CC/C(C)=C(/C)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000794127897 699794503 /nfs/dbraw/zinc/79/45/03/699794503.db2.gz ZEIQIMPXTUXXND-KTKRTIGZSA-N -1 1 312.391 1.985 20 0 DDADMM CCc1nc(COC(=O)c2nn(-c3ccccc3)cc2[O-])no1 ZINC000801202792 700277394 /nfs/dbraw/zinc/27/73/94/700277394.db2.gz BRASJXULULJZPA-UHFFFAOYSA-N -1 1 314.301 1.880 20 0 DDADMM Cc1ccc([C@@H](O)C[N-]S(=O)(=O)c2cccc(F)c2F)o1 ZINC000751446859 700290322 /nfs/dbraw/zinc/29/03/22/700290322.db2.gz NBTIDVGYRZYNTF-JTQLQIEISA-N -1 1 317.313 1.878 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCC(=O)NC3CC3)n2)cc1 ZINC000801366113 700301122 /nfs/dbraw/zinc/30/11/22/700301122.db2.gz BVQLMKITMGHHCE-UHFFFAOYSA-N -1 1 315.329 1.322 20 0 DDADMM Cc1cc(F)ccc1-n1cc([O-])c(C(=O)O[C@H]2CCOC2=O)n1 ZINC000801426795 700307914 /nfs/dbraw/zinc/30/79/14/700307914.db2.gz FYAZOTOQAIQLDZ-LBPRGKRZSA-N -1 1 320.276 1.498 20 0 DDADMM Cc1cc(F)ccc1-n1cc([O-])c(C(=O)OCCCCO)n1 ZINC000801427563 700308145 /nfs/dbraw/zinc/30/81/45/700308145.db2.gz QONLZDGGNASAGE-UHFFFAOYSA-N -1 1 308.309 1.955 20 0 DDADMM O=C(C[C@@H]1CSCCS1)NC1(c2nn[n-]n2)CCCC1 ZINC000751977487 700332708 /nfs/dbraw/zinc/33/27/08/700332708.db2.gz GNCRBXOCEMRDGF-SECBINFHSA-N -1 1 313.452 1.324 20 0 DDADMM Cn1[n-]c(CN2CCC([C@@H](O)c3ccc(F)cc3)CC2)nc1=O ZINC000754029120 700477639 /nfs/dbraw/zinc/47/76/39/700477639.db2.gz HFSMMWRXEWTYGL-HNNXBMFYSA-N -1 1 320.368 1.193 20 0 DDADMM Cn1c(Cl)cnc1C[N-]C(=O)C(F)(F)c1nccs1 ZINC000756444347 700621952 /nfs/dbraw/zinc/62/19/52/700621952.db2.gz OAEDVZDKXLRPHC-UHFFFAOYSA-N -1 1 306.725 1.938 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCC[C@H]1CCCCO1 ZINC000758762242 700722128 /nfs/dbraw/zinc/72/21/28/700722128.db2.gz DQNMYLHMACBXRZ-LLVKDONJSA-N -1 1 314.407 1.647 20 0 DDADMM COC(=O)C[C@@H](C)C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000759001663 700732937 /nfs/dbraw/zinc/73/29/37/700732937.db2.gz HRWRSOFFAHELGZ-SNVBAGLBSA-N -1 1 308.330 1.880 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2ccc(Cl)nc2)o1 ZINC000759611196 700762322 /nfs/dbraw/zinc/76/23/22/700762322.db2.gz BAFFMUUTXGZXGV-ZCFIWIBFSA-N -1 1 302.743 1.466 20 0 DDADMM C[C@H]1C[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCC1=O ZINC000761467682 700861318 /nfs/dbraw/zinc/86/13/18/700861318.db2.gz QIOMUFGLTOBPAH-WCQYABFASA-N -1 1 317.341 1.492 20 0 DDADMM C[C@@H](CCS(C)(=O)=O)NC(=O)c1c([O-])cccc1Cl ZINC000762579891 700899015 /nfs/dbraw/zinc/89/90/15/700899015.db2.gz XGCRRMKXCJJSJH-QMMMGPOBSA-N -1 1 305.783 1.599 20 0 DDADMM CC[C@@H](OC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C(=O)OC ZINC000762811685 700908318 /nfs/dbraw/zinc/90/83/18/700908318.db2.gz RZKDRRRQBXLTHP-LLVKDONJSA-N -1 1 321.333 1.089 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](N)c1cccc(C(F)(F)F)c1)C(=O)[O-] ZINC000763084912 700924965 /nfs/dbraw/zinc/92/49/65/700924965.db2.gz QOYCOFFNTJEBDO-KCJUWKMLSA-N -1 1 318.295 1.884 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc([C@@]3(C)CCCO3)no2)co1 ZINC000763338339 700934653 /nfs/dbraw/zinc/93/46/53/700934653.db2.gz LQNKDZUTDNOVIZ-GFCCVEGCSA-N -1 1 313.335 1.263 20 0 DDADMM O=C(c1ccc(-n2cccc2)nc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000765111325 700999791 /nfs/dbraw/zinc/99/97/91/700999791.db2.gz YRIGAYZCBCXTHM-ZDUSSCGKSA-N -1 1 323.360 1.405 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(-c3ccc(F)cc3)o2)nc1=O ZINC000765407098 701010143 /nfs/dbraw/zinc/01/01/43/701010143.db2.gz AQHFEYWKNKXERR-UHFFFAOYSA-N -1 1 317.276 1.865 20 0 DDADMM C[C@H](Oc1ccc2c(c1)CCC2)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765440464 701012887 /nfs/dbraw/zinc/01/28/87/701012887.db2.gz NMCXNVFZHWGYGL-JTQLQIEISA-N -1 1 317.345 1.108 20 0 DDADMM COc1ccccc1C[C@@H](C)CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765473507 701014644 /nfs/dbraw/zinc/01/46/44/701014644.db2.gz LFRNFFNBNNLYMW-LLVKDONJSA-N -1 1 319.361 1.429 20 0 DDADMM C[C@H](CC(=O)OCc1nc(=O)n(C)[n-]1)C(=O)c1cccc(F)c1 ZINC000765487554 701015510 /nfs/dbraw/zinc/01/55/10/701015510.db2.gz QUEVZNOHSANBLM-SECBINFHSA-N -1 1 321.308 1.200 20 0 DDADMM Cc1cc2cc(C(=O)OCc3nc(=O)n(C)[n-]3)oc2cc1C ZINC000765488864 701015767 /nfs/dbraw/zinc/01/57/67/701015767.db2.gz PCGBYMQQPYLRFT-UHFFFAOYSA-N -1 1 301.302 1.828 20 0 DDADMM CC[C@@H](Oc1ccccc1C)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765493218 701015881 /nfs/dbraw/zinc/01/58/81/701015881.db2.gz UOHGDFHFFVWQLT-LLVKDONJSA-N -1 1 305.334 1.318 20 0 DDADMM CN(CCCN1CCOC1=O)Cc1nc(=O)c2sccc2[n-]1 ZINC000767956487 701147450 /nfs/dbraw/zinc/14/74/50/701147450.db2.gz XTTHRDLVFWWZKX-UHFFFAOYSA-N -1 1 322.390 1.671 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2cncn2C)c(F)c1 ZINC000809851130 701695612 /nfs/dbraw/zinc/69/56/12/701695612.db2.gz JXQHDCTYMWRFQS-UHFFFAOYSA-N -1 1 317.317 1.185 20 0 DDADMM Cc1ccc2[nH]cc(C(=O)[N-]OC3CCOCC3)c(=O)c2c1 ZINC000804567569 701201665 /nfs/dbraw/zinc/20/16/65/701201665.db2.gz SSYLXWANYFCENY-UHFFFAOYSA-N -1 1 302.330 1.677 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc2c(c1)-c1ccccc1C2 ZINC000770034687 701265414 /nfs/dbraw/zinc/26/54/14/701265414.db2.gz TVPWNKWSQLVEDG-UHFFFAOYSA-N -1 1 307.309 1.454 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2ccc(C)nc2C)co1 ZINC000772219358 701345985 /nfs/dbraw/zinc/34/59/85/701345985.db2.gz UNXQRBXDUXFHTE-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM CCC[C@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccccc1 ZINC000805604644 701397861 /nfs/dbraw/zinc/39/78/61/701397861.db2.gz NFJFUPLBJVWLKR-LBPRGKRZSA-N -1 1 302.334 1.619 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)[C@H](C)[C@H](C)O1 ZINC000806483056 701437039 /nfs/dbraw/zinc/43/70/39/701437039.db2.gz YUEGVLQKVFZTOY-MXWKQRLJSA-N -1 1 303.366 1.371 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@@H](C2CC2)O1 ZINC000806489741 701437692 /nfs/dbraw/zinc/43/76/92/701437692.db2.gz BQPILNQOISRHMB-YGRLFVJLSA-N -1 1 315.377 1.373 20 0 DDADMM CC(C)[C@@H](O)C1(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000806491206 701437908 /nfs/dbraw/zinc/43/79/08/701437908.db2.gz FJFOKADIJDQEQV-CYBMUJFWSA-N -1 1 317.393 1.260 20 0 DDADMM O=C(Nc1cccc(-c2ccccc2)c1)NN1CC(=O)[N-]C1=O ZINC000806759666 701448060 /nfs/dbraw/zinc/44/80/60/701448060.db2.gz RAWRRGNCBJLKAP-UHFFFAOYSA-N -1 1 310.313 1.942 20 0 DDADMM COC(=O)C1CC([N-]S(=O)(=O)c2c(F)cc(C)cc2F)C1 ZINC000867768877 701739185 /nfs/dbraw/zinc/73/91/85/701739185.db2.gz IYWHPMWKUGTCFN-UHFFFAOYSA-N -1 1 319.329 1.503 20 0 DDADMM CC(C)(C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CCC1 ZINC000830952611 706609573 /nfs/dbraw/zinc/60/95/73/706609573.db2.gz LSCRNNKZSMXQOJ-UHFFFAOYSA-N -1 1 305.378 1.697 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)C1CCCCCC1 ZINC000830966435 706612811 /nfs/dbraw/zinc/61/28/11/706612811.db2.gz WYRIUQPZEVKXHZ-UHFFFAOYSA-N -1 1 305.378 1.842 20 0 DDADMM O=S(=O)([N-]C1([C@H]2CCCCO2)CCC1)c1c[nH]nc1Cl ZINC000867881075 701807952 /nfs/dbraw/zinc/80/79/52/701807952.db2.gz IEKXDSLALSVTPI-SNVBAGLBSA-N -1 1 319.814 1.833 20 0 DDADMM C[C@H]1CCN(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000867891202 701813418 /nfs/dbraw/zinc/81/34/18/701813418.db2.gz UHRBEXSJCYVAEC-CABZTGNLSA-N -1 1 307.316 1.591 20 0 DDADMM O=S(=O)([N-][C@H]1CCCn2nccc21)c1c[nH]nc1Cl ZINC000867901692 701817510 /nfs/dbraw/zinc/81/75/10/701817510.db2.gz MFIPUQJXNSFDAW-ZETCQYMHSA-N -1 1 301.759 1.073 20 0 DDADMM CC1(C[N-]S(=O)(=O)C[C@@H]2CCCCC2(F)F)OCCO1 ZINC000831005944 706618775 /nfs/dbraw/zinc/61/87/75/706618775.db2.gz SIQPWXGYOVFJDA-JTQLQIEISA-N -1 1 313.366 1.494 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000810900715 701871054 /nfs/dbraw/zinc/87/10/54/701871054.db2.gz JBVJNVZCIPTOFX-ZJUUUORDSA-N -1 1 312.307 1.898 20 0 DDADMM COC(=O)CCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000811558040 702004000 /nfs/dbraw/zinc/00/40/00/702004000.db2.gz GBZVUNSEOFUACD-GFCCVEGCSA-N -1 1 320.345 1.041 20 0 DDADMM CCC(CC)N1C[C@H](C(=O)[N-]OCCCC(=O)OC)CC1=O ZINC000811559599 702004749 /nfs/dbraw/zinc/00/47/49/702004749.db2.gz GVLRPWULZVHONS-LLVKDONJSA-N -1 1 314.382 1.025 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@]2(C)C[C@H]3C[C@H]3C2)o1 ZINC000816137847 702021585 /nfs/dbraw/zinc/02/15/85/702021585.db2.gz OZVLGELKPZKNLM-WDAIWFPHSA-N -1 1 313.375 1.781 20 0 DDADMM CCn1ncc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1C1CC1 ZINC000879263137 706633964 /nfs/dbraw/zinc/63/39/64/706633964.db2.gz MBVJENATGDOTKD-NSHDSACASA-N -1 1 315.381 1.313 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)C1(O)CCCC1 ZINC000840203565 702027222 /nfs/dbraw/zinc/02/72/22/702027222.db2.gz HXEUUGPIYANDIS-UHFFFAOYSA-N -1 1 304.298 1.847 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCC1(O)CCC1 ZINC000840223394 702034722 /nfs/dbraw/zinc/03/47/22/702034722.db2.gz GLKAQEKUAQQHKA-UHFFFAOYSA-N -1 1 319.361 1.443 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NCCC2CC2)C1 ZINC000868319820 702063892 /nfs/dbraw/zinc/06/38/92/702063892.db2.gz BNGPQOJFJHBLLC-LBPRGKRZSA-N -1 1 307.316 1.639 20 0 DDADMM O=C(COCC1CCCC1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831120729 706638364 /nfs/dbraw/zinc/63/83/64/706638364.db2.gz DACCLSKTEKNGEY-UHFFFAOYSA-N -1 1 322.327 1.330 20 0 DDADMM Cc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c2ccccc12 ZINC000840332927 702072934 /nfs/dbraw/zinc/07/29/34/702072934.db2.gz GKQPYTHTOWCNLP-HNNXBMFYSA-N -1 1 323.356 1.875 20 0 DDADMM CCOc1ccc(C[C@H](C)C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000840654100 702187090 /nfs/dbraw/zinc/18/70/90/702187090.db2.gz XSSODFVSZRPVAT-NSHDSACASA-N -1 1 319.361 1.429 20 0 DDADMM O=C([N-]CCC[S@@](=O)c1ccc2c(c1)CCO2)C(F)(F)F ZINC000840876989 702269544 /nfs/dbraw/zinc/26/95/44/702269544.db2.gz VWBZOSACICJEIV-OAQYLSRUSA-N -1 1 321.320 1.798 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CO1 ZINC000868741694 702296884 /nfs/dbraw/zinc/29/68/84/702296884.db2.gz RZNSNWXYIMUULX-PTRXPTGYSA-N -1 1 323.315 1.016 20 0 DDADMM O=C([N-]OCC1CC1)[C@@H]1CC(=O)N(c2ccc3c(c2)CCC3)C1 ZINC000817334026 702343670 /nfs/dbraw/zinc/34/36/70/702343670.db2.gz BVBJMMRLONTYJM-OAHLLOKOSA-N -1 1 314.385 1.986 20 0 DDADMM C[C@H]1CC[C@H](C)N(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000869212918 702512904 /nfs/dbraw/zinc/51/29/04/702512904.db2.gz ANWDZVWUDVXZRU-QWRGUYRKSA-N -1 1 320.393 1.585 20 0 DDADMM COC(=O)[C@H](C)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000879446864 706681755 /nfs/dbraw/zinc/68/17/55/706681755.db2.gz UNCJKZMSHSKNAY-NSHDSACASA-N -1 1 312.797 1.725 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc(Cl)c1 ZINC000866380540 706685581 /nfs/dbraw/zinc/68/55/81/706685581.db2.gz HIDGGQHTOIKSTE-QGZVFWFLSA-N -1 1 310.828 1.792 20 0 DDADMM CCC[C@@H](NC(=O)CC(F)(F)C(F)(F)F)c1nn[n-]n1 ZINC000866419464 706694246 /nfs/dbraw/zinc/69/42/46/706694246.db2.gz DMVBNBJZRGGCLL-RXMQYKEDSA-N -1 1 301.219 1.745 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[O-])C[N@H+]1CCOc1ccccc1C(=O)[O-] ZINC000842934676 702791976 /nfs/dbraw/zinc/79/19/76/702791976.db2.gz UAVURFAFQFYPTM-RYUDHWBXSA-N -1 1 307.346 1.949 20 0 DDADMM CCc1ccc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)cc1 ZINC000843018733 702804095 /nfs/dbraw/zinc/80/40/95/702804095.db2.gz BGGIGKLNARHMGC-UHFFFAOYSA-N -1 1 324.446 1.793 20 0 DDADMM Nc1ccc(-c2nc([C@H]3CC(=O)N(CC4CC4)C3)no2)c([O-])c1 ZINC000843193389 702838684 /nfs/dbraw/zinc/83/86/84/702838684.db2.gz JIRZKBBKMRESGE-JTQLQIEISA-N -1 1 314.345 1.750 20 0 DDADMM CC[C@H]1CN(C(=O)COCC(=O)[O-])CC[N@H+]1Cc1ccccc1 ZINC000843330640 702860994 /nfs/dbraw/zinc/86/09/94/702860994.db2.gz RQAAEXRQEIUOMD-HNNXBMFYSA-N -1 1 320.389 1.211 20 0 DDADMM CC[C@H]1CN(C(=O)COCC(=O)[O-])CCN1Cc1ccccc1 ZINC000843330640 702860996 /nfs/dbraw/zinc/86/09/96/702860996.db2.gz RQAAEXRQEIUOMD-HNNXBMFYSA-N -1 1 320.389 1.211 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccccc1C(=O)c1ccccc1 ZINC000843386074 702871230 /nfs/dbraw/zinc/87/12/30/702871230.db2.gz HODHFDTYMNGUCW-UHFFFAOYSA-N -1 1 323.308 1.114 20 0 DDADMM CC(C)=CCC[C@H](C)CC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000843792922 702924643 /nfs/dbraw/zinc/92/46/43/702924643.db2.gz XBWGNLMBULGYOP-STQMWFEESA-N -1 1 307.398 1.872 20 0 DDADMM CC(C)O[C@@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000843922913 702942399 /nfs/dbraw/zinc/94/23/99/702942399.db2.gz INDIDPJBRMJWCQ-LLVKDONJSA-N -1 1 306.391 1.658 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC000844251990 703001036 /nfs/dbraw/zinc/00/10/36/703001036.db2.gz DCBOQYSBUTZIPD-OAHLLOKOSA-N -1 1 319.405 1.734 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)CC1 ZINC000845619089 703183049 /nfs/dbraw/zinc/18/30/49/703183049.db2.gz MSDRUJADDUDGRU-JOCQHMNTSA-N -1 1 316.467 1.743 20 0 DDADMM CCC[C@@H](C)[C@H](CO)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000845967834 703221014 /nfs/dbraw/zinc/22/10/14/703221014.db2.gz DZTUEZOQIZMDAY-BDAKNGLRSA-N -1 1 309.819 1.149 20 0 DDADMM CS(=O)(=O)c1ccc(CN2C[C@@H]3CCC[C@@]3(C(=O)[O-])C2)cc1 ZINC000846285811 703261758 /nfs/dbraw/zinc/26/17/58/703261758.db2.gz VHEBEHQRHBSSRO-XJKSGUPXSA-N -1 1 323.414 1.777 20 0 DDADMM CCN1C[C@@H](CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)CC1=O ZINC000846466403 703279739 /nfs/dbraw/zinc/27/97/39/703279739.db2.gz RPPDIEFEFLMKMM-LLVKDONJSA-N -1 1 320.393 1.212 20 0 DDADMM Cn1nc(Br)cc1NC(=O)[N-]O[C@H]1CCCCO1 ZINC000879644228 706748719 /nfs/dbraw/zinc/74/87/19/706748719.db2.gz UIDAAXHVYLECGG-VIFPVBQESA-N -1 1 319.159 1.762 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@@H]1c1ncon1 ZINC000848113246 703508119 /nfs/dbraw/zinc/50/81/19/703508119.db2.gz HIYQBKDDURSQJW-SECBINFHSA-N -1 1 316.346 1.378 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Cl)nc(C3CC3)c2)nc1=O ZINC000848802028 703591066 /nfs/dbraw/zinc/59/10/66/703591066.db2.gz JFZSHIPVIOFIKW-UHFFFAOYSA-N -1 1 308.725 1.391 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC/C=C/C(F)(F)F)o1 ZINC000851286136 703790046 /nfs/dbraw/zinc/79/00/46/703790046.db2.gz CZITVPSFESSOBZ-GORDUTHDSA-N -1 1 313.253 1.463 20 0 DDADMM C[C@@H]1C[C@@H]1CNC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000851960031 703891912 /nfs/dbraw/zinc/89/19/12/703891912.db2.gz UJNFQODMGHSPNV-DBIOUOCHSA-N -1 1 321.343 1.741 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H](C)c1cn(C)nc1C ZINC000866720307 706775247 /nfs/dbraw/zinc/77/52/47/706775247.db2.gz DMTDEAZXDCUHQX-JTQLQIEISA-N -1 1 322.456 1.132 20 0 DDADMM O=C([O-])[C@@H]1CCN(CCCS(=O)(=O)c2ccc(F)cc2)C1 ZINC000819287417 704101336 /nfs/dbraw/zinc/10/13/36/704101336.db2.gz VFSFYPMXEWPXSE-LLVKDONJSA-N -1 1 315.366 1.396 20 0 DDADMM CCN(C[C@@H](O)c1ccc(C)cc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000852726716 704107463 /nfs/dbraw/zinc/10/74/63/704107463.db2.gz XVBSOLRZPRZMSD-LSDHHAIUSA-N -1 1 320.389 1.036 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)c1nccs1)[C@H](O)C(F)(F)F ZINC000819568493 704143188 /nfs/dbraw/zinc/14/31/88/704143188.db2.gz URGAJLGLPAJVNO-WHFBIAKZSA-N -1 1 304.240 1.663 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000819970374 704194634 /nfs/dbraw/zinc/19/46/34/704194634.db2.gz KKUPFDSVSVBSHF-VIFPVBQESA-N -1 1 304.306 1.199 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H]2CCSC2)c1 ZINC000820003890 704201124 /nfs/dbraw/zinc/20/11/24/704201124.db2.gz IYHPQYWCCZPLBG-WLRWDXFRSA-N -1 1 300.401 1.852 20 0 DDADMM O=C(c1ccc(Cl)nn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000820069584 704215399 /nfs/dbraw/zinc/21/53/99/704215399.db2.gz ZWNHIOGGNOZEIT-UHFFFAOYSA-N -1 1 309.713 1.238 20 0 DDADMM COC(=O)C[C@](C)(NC(=O)C(=O)c1ccc([O-])cc1)C1CC1 ZINC000871237317 704250025 /nfs/dbraw/zinc/25/00/25/704250025.db2.gz VRCFOELZFTXLBI-INIZCTEOSA-N -1 1 305.330 1.423 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3ocnc3C)no2)o1 ZINC000820923405 704346572 /nfs/dbraw/zinc/34/65/72/704346572.db2.gz YPLZKVZTMDCWCO-UHFFFAOYSA-N -1 1 310.291 1.201 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C)[C@H](C)NC(=O)OC(C)(C)C ZINC000854635767 704430608 /nfs/dbraw/zinc/43/06/08/704430608.db2.gz XOCSXSFWGLSWFJ-SFYZADRCSA-N -1 1 312.370 1.912 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC[C@H](N2CCOCC2)C1 ZINC000854728905 704445293 /nfs/dbraw/zinc/44/52/93/704445293.db2.gz SFYFFIZIXQBGJN-LBPRGKRZSA-N -1 1 324.808 1.982 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCN(c2ncns2)CC1 ZINC000854814666 704455800 /nfs/dbraw/zinc/45/58/00/704455800.db2.gz LZRIKGRQNDNNBO-UHFFFAOYSA-N -1 1 324.793 1.860 20 0 DDADMM C[C@@H]1CCN(C(=O)C[C@@H]2CCOC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418129 704528166 /nfs/dbraw/zinc/52/81/66/704528166.db2.gz DAWOZUMBEBYFFP-VWYCJHECSA-N -1 1 322.327 1.329 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCCOC(C)(C)C)nc2n1 ZINC000857621488 704608437 /nfs/dbraw/zinc/60/84/37/704608437.db2.gz GLQPPAXKROZPOB-UHFFFAOYSA-N -1 1 307.354 1.260 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@](C)(O)c2ccsc2)sn1 ZINC000866859600 706828336 /nfs/dbraw/zinc/82/83/36/706828336.db2.gz NCLVZAULTHYGJI-NSHDSACASA-N -1 1 318.445 1.699 20 0 DDADMM CCCCSCC(=O)Nc1nc2nc(CCC)cc(=O)n2[n-]1 ZINC000857683606 704613442 /nfs/dbraw/zinc/61/34/42/704613442.db2.gz FLBRQBHNDQLYQF-UHFFFAOYSA-N -1 1 323.422 1.842 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H](O)C1)c1ccc(F)nc1F ZINC000866864744 706829401 /nfs/dbraw/zinc/82/94/01/706829401.db2.gz SKFCIIJIAXADRT-DTWKUNHWSA-N -1 1 306.334 1.189 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1nc[nH]c1Br)C1CC1 ZINC000866869271 706830441 /nfs/dbraw/zinc/83/04/41/706830441.db2.gz XWJWHUVRQALJQL-UHFFFAOYSA-N -1 1 308.201 1.639 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCn3ccnc32)c(=O)[n-]1 ZINC000857915397 704641404 /nfs/dbraw/zinc/64/14/04/704641404.db2.gz WRHDVJHCTGTZQW-UHFFFAOYSA-N -1 1 319.390 1.388 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858399700 704705801 /nfs/dbraw/zinc/70/58/01/704705801.db2.gz JYMHODZXNNBMSX-QMMMGPOBSA-N -1 1 314.773 1.939 20 0 DDADMM O=c1nc(N2CCC(N3CCCCC3=O)CC2)cc(Cl)[n-]1 ZINC000858446729 704712049 /nfs/dbraw/zinc/71/20/49/704712049.db2.gz LFZBBPBSZJZEDU-UHFFFAOYSA-N -1 1 310.785 1.817 20 0 DDADMM Cc1cc(N2CCC(Nc3cc(Cl)[n-]c(=O)n3)CC2)n[nH]1 ZINC000858510223 704720353 /nfs/dbraw/zinc/72/03/53/704720353.db2.gz XDOAIYDXQGALMZ-UHFFFAOYSA-N -1 1 308.773 1.948 20 0 DDADMM COc1cccnc1N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000858550033 704726143 /nfs/dbraw/zinc/72/61/43/704726143.db2.gz HLMAYZVSLIPLQM-JTQLQIEISA-N -1 1 317.311 1.985 20 0 DDADMM O=c1nc(NCC[S@](=O)CC(F)(F)F)cc(Cl)[n-]1 ZINC000858620941 704736119 /nfs/dbraw/zinc/73/61/19/704736119.db2.gz IVQZHPDYLXGRDU-SFHVURJKSA-N -1 1 303.693 1.559 20 0 DDADMM CC(C)C[C@H](C(=O)[O-])[N@H+]1C[C@H](C(=O)[O-])C[C@@H](c2cnn(C)c2)C1 ZINC000873645831 704815393 /nfs/dbraw/zinc/81/53/93/704815393.db2.gz RJXRBMPQMPTXDN-YRGRVCCFSA-N -1 1 323.393 1.410 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1)NC1CC1 ZINC000873831320 704864290 /nfs/dbraw/zinc/86/42/90/704864290.db2.gz BUJBYWSJBFPPCO-UHFFFAOYSA-N -1 1 304.243 1.451 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(N2CCCC2)cc1 ZINC000873896876 704886103 /nfs/dbraw/zinc/88/61/03/704886103.db2.gz CXZXNYSYVIQMAT-UHFFFAOYSA-N -1 1 300.366 1.761 20 0 DDADMM C[C@H](Cc1cnn(C)c1)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867362216 706974910 /nfs/dbraw/zinc/97/49/10/706974910.db2.gz ZWFAQBNWSAWJOM-MRVPVSSYSA-N -1 1 316.333 1.003 20 0 DDADMM CCn1cc([C@H](C)[N-]S(=O)(=O)N=S(=O)(CC)CC)cn1 ZINC000867364091 706975590 /nfs/dbraw/zinc/97/55/90/706975590.db2.gz JUBVPPHJDSKAGF-JTQLQIEISA-N -1 1 322.456 1.306 20 0 DDADMM CCO[C@@H](COC(=O)c1coc(S(=O)(=O)[N-]C)c1)C1CC1 ZINC000859609087 704950269 /nfs/dbraw/zinc/95/02/69/704950269.db2.gz XYJIMLIOYCIXBA-NSHDSACASA-N -1 1 317.363 1.160 20 0 DDADMM CC[C@@H](COCC1CC1)[N-]S(=O)(=O)N=[S@](C)(=O)CC ZINC000867499982 707020304 /nfs/dbraw/zinc/02/03/04/707020304.db2.gz YZAAZVGSLJLNIW-BBATYDOGSA-N -1 1 312.457 1.144 20 0 DDADMM CON(Cc1ccccc1Cl)C(=O)CCCc1nn[n-]n1 ZINC000823052600 705124728 /nfs/dbraw/zinc/12/47/28/705124728.db2.gz GTCFTUISWGLHTE-UHFFFAOYSA-N -1 1 309.757 1.766 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1c[nH]nc1Cl)[C@H]1CCCCO1 ZINC000867682316 707073126 /nfs/dbraw/zinc/07/31/26/707073126.db2.gz GJFBIDZFSKQFDS-SECBINFHSA-N -1 1 307.803 1.689 20 0 DDADMM C[C@@H](CNC(=O)N=c1ccnc2n(C)[n-]cc1-2)c1ccccn1 ZINC000823064031 705128997 /nfs/dbraw/zinc/12/89/97/705128997.db2.gz XRLJXGWFZSYRNO-NSHDSACASA-N -1 1 310.361 1.662 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](C)C(C)(F)F)n[n-]1 ZINC000880667604 707052683 /nfs/dbraw/zinc/05/26/83/707052683.db2.gz XYNJIKHBSBTGKO-NKWVEPMBSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](C)C(C)(F)F)[n-]1 ZINC000880667604 707052685 /nfs/dbraw/zinc/05/26/85/707052685.db2.gz XYNJIKHBSBTGKO-NKWVEPMBSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](C)C(C)(F)F)n1 ZINC000880667604 707052687 /nfs/dbraw/zinc/05/26/87/707052687.db2.gz XYNJIKHBSBTGKO-NKWVEPMBSA-N -1 1 304.297 1.450 20 0 DDADMM CCN(C(=O)OC(C)(C)C)[C@@H](C)C(=O)Nc1ccncc1[O-] ZINC000860462999 705195902 /nfs/dbraw/zinc/19/59/02/705195902.db2.gz JTHZFGPTOSVFDT-JTQLQIEISA-N -1 1 309.366 1.793 20 0 DDADMM COCCOc1cc2c(cc1C=C1SC(=O)[N-]C1=O)OCO2 ZINC000874927912 705230181 /nfs/dbraw/zinc/23/01/81/705230181.db2.gz MPYCRBZDXQCYSF-LFYBBSHMSA-N -1 1 323.326 1.764 20 0 DDADMM CN(Cc1nc(=O)o[n-]1)C(=O)c1ccc(CN2CCCCC2)o1 ZINC000823399052 705232044 /nfs/dbraw/zinc/23/20/44/705232044.db2.gz VJVBXXBRRMSHHW-UHFFFAOYSA-N -1 1 320.349 1.214 20 0 DDADMM CCC[C@@H](OCC)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000860847724 705299264 /nfs/dbraw/zinc/29/92/64/705299264.db2.gz QBKPALPSNWSNCA-GFCCVEGCSA-N -1 1 320.455 1.122 20 0 DDADMM COC(=O)N1CC(NC(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000875400594 705375255 /nfs/dbraw/zinc/37/52/55/705375255.db2.gz OCXXTSQXOIXHCX-UHFFFAOYSA-N -1 1 313.741 1.295 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@@H]2CCC3(CCOCC3)O2)[n-]1 ZINC000861126476 705375601 /nfs/dbraw/zinc/37/56/01/705375601.db2.gz RQCIGCJPOXEHGP-NSHDSACASA-N -1 1 323.345 1.686 20 0 DDADMM O=S(=O)(Cc1nccn1CC(F)F)c1ccc([O-])cc1 ZINC000871632241 707146267 /nfs/dbraw/zinc/14/62/67/707146267.db2.gz SURZLXRDKGJFJA-UHFFFAOYSA-N -1 1 302.302 1.828 20 0 DDADMM CC(C)[C@H](NC=O)C(=O)Nc1nc(Br)ccc1[O-] ZINC000861250621 705408255 /nfs/dbraw/zinc/40/82/55/705408255.db2.gz OTRREVXTALTGHA-VIFPVBQESA-N -1 1 316.155 1.259 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCCc2ccoc2)C1)C(F)(F)F ZINC000875738628 705494307 /nfs/dbraw/zinc/49/43/07/705494307.db2.gz FAUNZQPHMWEEST-UHFFFAOYSA-N -1 1 319.283 1.142 20 0 DDADMM C[C@H]1C[C@H](CCNC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000824875968 705549566 /nfs/dbraw/zinc/54/95/66/705549566.db2.gz PWTXYRJDAHSJPY-WDEREUQCSA-N -1 1 316.365 1.197 20 0 DDADMM C[C@H]1C[C@H](CCNC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000824875968 705549571 /nfs/dbraw/zinc/54/95/71/705549571.db2.gz PWTXYRJDAHSJPY-WDEREUQCSA-N -1 1 316.365 1.197 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCc2ccc(Cl)cc21 ZINC000825057291 705589714 /nfs/dbraw/zinc/58/97/14/705589714.db2.gz QGQDIWLMBPAAIW-GFCCVEGCSA-N -1 1 305.769 1.980 20 0 DDADMM CCC1(CC)CCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825171714 705615637 /nfs/dbraw/zinc/61/56/37/705615637.db2.gz UOYDTAJGAQNIDJ-UHFFFAOYSA-N -1 1 318.381 1.744 20 0 DDADMM CCC1(CC)CCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825171714 705615641 /nfs/dbraw/zinc/61/56/41/705615641.db2.gz UOYDTAJGAQNIDJ-UHFFFAOYSA-N -1 1 318.381 1.744 20 0 DDADMM CC1(C)CCc2onc(C(=O)[N-]c3nn4cnnc4s3)c2C1 ZINC000825214753 705626448 /nfs/dbraw/zinc/62/64/48/705626448.db2.gz ZWKFASUQEGNKNT-UHFFFAOYSA-N -1 1 318.362 1.941 20 0 DDADMM CON(CC(C)(C)C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876300638 705683310 /nfs/dbraw/zinc/68/33/10/705683310.db2.gz FPXDFCAFGYAACR-UHFFFAOYSA-N -1 1 324.381 1.374 20 0 DDADMM CN(C(=O)[C@@H]1Cc2ccccc2O[C@H]1C(F)F)c1nn[n-]n1 ZINC000825532577 705688807 /nfs/dbraw/zinc/68/88/07/705688807.db2.gz XYMPNOKRQBMWCT-PSASIEDQSA-N -1 1 309.276 1.048 20 0 DDADMM C[C@H]1CN(C(=O)NCc2ccc([O-])c(Cl)c2)C[C@@H](CO)O1 ZINC000876395569 705707749 /nfs/dbraw/zinc/70/77/49/705707749.db2.gz LSPLXVJLEHBWIL-ONGXEEELSA-N -1 1 314.769 1.337 20 0 DDADMM C[C@@H](O)CN(C(=O)NCc1ccc([O-])c(Cl)c1)[C@@H](C)CO ZINC000876394785 705707774 /nfs/dbraw/zinc/70/77/74/705707774.db2.gz AVNKSEWPMWZRCU-VHSXEESVSA-N -1 1 316.785 1.319 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCCC2CC2)co1 ZINC000825676483 705712567 /nfs/dbraw/zinc/71/25/67/705712567.db2.gz DJRSILDBOQFGNV-UHFFFAOYSA-N -1 1 300.380 1.498 20 0 DDADMM Cc1ccc(CN(C)C(=O)Cc2noc(C)c2-c2nnn[n-]2)o1 ZINC000826287670 705787847 /nfs/dbraw/zinc/78/78/47/705787847.db2.gz XVWNIOPAPMWSDH-UHFFFAOYSA-N -1 1 316.321 1.266 20 0 DDADMM Cc1ccc(CN(C)C(=O)Cc2noc(C)c2-c2nn[n-]n2)o1 ZINC000826287670 705787851 /nfs/dbraw/zinc/78/78/51/705787851.db2.gz XVWNIOPAPMWSDH-UHFFFAOYSA-N -1 1 316.321 1.266 20 0 DDADMM Cc1cscc1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826321273 705791059 /nfs/dbraw/zinc/79/10/59/705791059.db2.gz YGTKPCCTHHFUKX-UHFFFAOYSA-N -1 1 304.335 1.714 20 0 DDADMM Cc1cscc1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826321273 705791062 /nfs/dbraw/zinc/79/10/62/705791062.db2.gz YGTKPCCTHHFUKX-UHFFFAOYSA-N -1 1 304.335 1.714 20 0 DDADMM Cc1onc(CC(=O)NC[C@@H]2CCC[C@H](C)C2)c1-c1nnn[n-]1 ZINC000826347413 705794854 /nfs/dbraw/zinc/79/48/54/705794854.db2.gz QGKMGZCQCXZKTO-GXSJLCMTSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)NC[C@@H]2CCC[C@H](C)C2)c1-c1nn[n-]n1 ZINC000826347413 705794856 /nfs/dbraw/zinc/79/48/56/705794856.db2.gz QGKMGZCQCXZKTO-GXSJLCMTSA-N -1 1 318.381 1.648 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC(Cc2ccco2)C1 ZINC000862864776 705813981 /nfs/dbraw/zinc/81/39/81/705813981.db2.gz DOIPHAFKDZXHBJ-UHFFFAOYSA-N -1 1 314.370 1.926 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000827374384 705988954 /nfs/dbraw/zinc/98/89/54/705988954.db2.gz HLSIQILLMKRBKR-JTQLQIEISA-N -1 1 312.316 1.586 20 0 DDADMM CC1(C)CC[C@@H](O)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000864077747 706061440 /nfs/dbraw/zinc/06/14/40/706061440.db2.gz DMBOUTUSCPJABY-VXGBXAGGSA-N -1 1 305.378 1.729 20 0 DDADMM CCn1cncc1CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000827844907 706075820 /nfs/dbraw/zinc/07/58/20/706075820.db2.gz QSHFMARHOGVQNO-PWSUYJOCSA-N -1 1 318.343 1.792 20 0 DDADMM COCC1(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000827882295 706081246 /nfs/dbraw/zinc/08/12/46/706081246.db2.gz RLJTXUDLOKYTMF-UHFFFAOYSA-N -1 1 307.803 1.169 20 0 DDADMM O=C(c1ccc(F)c2occc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000827961437 706094155 /nfs/dbraw/zinc/09/41/55/706094155.db2.gz ONQBKCHBCBFIHG-LLVKDONJSA-N -1 1 317.280 1.299 20 0 DDADMM CC1(NC(=O)c2cc(-c3ccc(C=O)o3)ccc2[O-])COC1 ZINC000828134647 706125632 /nfs/dbraw/zinc/12/56/32/706125632.db2.gz DHRWJEVSWFVEQC-UHFFFAOYSA-N -1 1 301.298 1.983 20 0 DDADMM COC[C@@H](NCc1cc(OC)c(OC)cc1Cl)C(=O)[O-] ZINC000864513588 706185534 /nfs/dbraw/zinc/18/55/34/706185534.db2.gz GPAILQPVQWTARW-SNVBAGLBSA-N -1 1 303.742 1.546 20 0 DDADMM CC1(CC(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)COC1 ZINC000828682252 706217066 /nfs/dbraw/zinc/21/70/66/706217066.db2.gz ZXTVYXNDJNQXGS-GFCCVEGCSA-N -1 1 308.300 1.083 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cccnc1 ZINC000872429806 707406331 /nfs/dbraw/zinc/40/63/31/707406331.db2.gz KGWSZZRYZLDIHQ-SFHVURJKSA-N -1 1 305.425 1.312 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1cccnc1 ZINC000872431830 707406938 /nfs/dbraw/zinc/40/69/38/707406938.db2.gz OOYULTJJPGLGBX-GIGQVBGESA-N -1 1 319.452 1.873 20 0 DDADMM COc1ccccc1N(C)Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000877974571 706255558 /nfs/dbraw/zinc/25/55/58/706255558.db2.gz ZSBWHXVVBPRNTM-UHFFFAOYSA-N -1 1 301.346 1.900 20 0 DDADMM O=C1c2ccccc2C(=O)N1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000865342682 706409218 /nfs/dbraw/zinc/40/92/18/706409218.db2.gz UQNTYAWGVSKIEA-UHFFFAOYSA-N -1 1 311.297 1.051 20 0 DDADMM Cc1cnc(SCC(=O)CC[N-]C(=O)C(F)(F)F)[nH]c1=O ZINC000865369224 706415138 /nfs/dbraw/zinc/41/51/38/706415138.db2.gz NNVBZZMZKHTCAE-UHFFFAOYSA-N -1 1 323.296 1.220 20 0 DDADMM CCOC(=O)[C@H](C)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000830161076 706466597 /nfs/dbraw/zinc/46/65/97/706466597.db2.gz LKJGVTMIZMYYDH-QMMMGPOBSA-N -1 1 315.322 1.802 20 0 DDADMM CC(C)OC1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CCC1 ZINC000872567603 707484697 /nfs/dbraw/zinc/48/46/97/707484697.db2.gz RDSVCOZIYQXRFI-UHFFFAOYSA-N -1 1 321.830 1.700 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN(C)Cc2ccns2)cc1 ZINC000878900930 706528524 /nfs/dbraw/zinc/52/85/24/706528524.db2.gz KFMMRXUOBNKSCS-UHFFFAOYSA-N -1 1 319.386 1.540 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1c[nH]nc1Cl)Oc1ccccc1 ZINC000830520047 706529611 /nfs/dbraw/zinc/52/96/11/706529611.db2.gz OFIFNHBNCUNGRQ-VIFPVBQESA-N -1 1 315.782 1.809 20 0 DDADMM CCC[C@@H](OC)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830795746 706581443 /nfs/dbraw/zinc/58/14/43/706581443.db2.gz OOUBCCZGOSNFJU-BXKDBHETSA-N -1 1 310.316 1.471 20 0 DDADMM CO[C@@H](CC(C)C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830796215 706581476 /nfs/dbraw/zinc/58/14/76/706581476.db2.gz YAOASJVZPBPZBV-GXFFZTMASA-N -1 1 324.343 1.717 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2CCC(O)CC2)C1 ZINC000830802288 706582756 /nfs/dbraw/zinc/58/27/56/706582756.db2.gz SBNYUUBGWBEARM-SRHKJQAYSA-N -1 1 322.327 1.207 20 0 DDADMM CO[C@H](C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C(C)C ZINC000830807412 706583028 /nfs/dbraw/zinc/58/30/28/706583028.db2.gz JDOZFSKXZYXVAJ-JOYOIKCWSA-N -1 1 310.316 1.327 20 0 DDADMM COCCC[C@@H](C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807515 706583399 /nfs/dbraw/zinc/58/33/99/706583399.db2.gz LDQZOHLCGVQWLR-ZWNOBZJWSA-N -1 1 324.343 1.719 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830808345 706583912 /nfs/dbraw/zinc/58/39/12/706583912.db2.gz ZNWKPRKPHTXWBF-KWBADKCTSA-N -1 1 306.328 1.948 20 0 DDADMM CS[C@@H](C)CC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816483 706585783 /nfs/dbraw/zinc/58/57/83/706585783.db2.gz PRDINFYGLIGOES-KWQFWETISA-N -1 1 312.357 1.798 20 0 DDADMM CCC[C@@](C)(O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816886 706585791 /nfs/dbraw/zinc/58/57/91/706585791.db2.gz VEGSLJPTNISYLB-NWDGAFQWSA-N -1 1 310.316 1.207 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCCS2)C1 ZINC000830822300 706587007 /nfs/dbraw/zinc/58/70/07/706587007.db2.gz PVFMHCVAKNEBHL-GZMMTYOYSA-N -1 1 310.341 1.552 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC000830823757 706587517 /nfs/dbraw/zinc/58/75/17/706587517.db2.gz WIVHXPXRUGYNEU-KEPMVKOISA-N -1 1 304.312 1.702 20 0 DDADMM CCCCC[C@H]([N-]S(=O)(=O)CC1(OC)CCC1)C(=O)OC ZINC000866930456 706847540 /nfs/dbraw/zinc/84/75/40/706847540.db2.gz LQWWNUZIJFPYMS-LBPRGKRZSA-N -1 1 321.439 1.597 20 0 DDADMM C[C@@H](C[C@@H]1CCOC1)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866957461 706855771 /nfs/dbraw/zinc/85/57/71/706855771.db2.gz GHKFAUGTSPTEIH-IUCAKERBSA-N -1 1 306.334 1.453 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccnc(SC)c1 ZINC000867049977 706882297 /nfs/dbraw/zinc/88/22/97/706882297.db2.gz OZZSWCBKTRGKLD-GOSISDBHSA-N -1 1 323.465 1.256 20 0 DDADMM CCO[C@H]1C[C@@H]([N-]S(=O)(=O)c2nc[nH]c2Br)C1 ZINC000867383557 706982734 /nfs/dbraw/zinc/98/27/34/706982734.db2.gz XUJXGJAVFDQCOH-KNVOCYPGSA-N -1 1 324.200 1.018 20 0 DDADMM Cc1cc(C)cc(OC[C@H](O)CN2CC[C@@](F)(C(=O)[O-])C2)c1 ZINC000832878253 706997075 /nfs/dbraw/zinc/99/70/75/706997075.db2.gz ALYHEWWMVLPPAA-CJNGLKHVSA-N -1 1 311.353 1.542 20 0 DDADMM Cc1n[nH]c(C)c1CC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867472522 707012118 /nfs/dbraw/zinc/01/21/18/707012118.db2.gz VWSVCQKYAFABGW-UHFFFAOYSA-N -1 1 316.333 1.221 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1Cc2ccccc2[C@@H]1C ZINC000867508173 707022800 /nfs/dbraw/zinc/02/28/00/707022800.db2.gz YSDNKJUCXDFPOV-VARDARPISA-N -1 1 316.448 1.667 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CCc2ccoc2)c1C(F)(F)F ZINC000867513565 707024357 /nfs/dbraw/zinc/02/43/57/707024357.db2.gz BGDYXFIQYIRPCI-UHFFFAOYSA-N -1 1 323.296 1.553 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-]CC1CC=CC1)c1ccccc1 ZINC000867555245 707038408 /nfs/dbraw/zinc/03/84/08/707038408.db2.gz JSJFMPQKNFCPJS-IBGZPJMESA-N -1 1 314.432 1.944 20 0 DDADMM CC(C)CC[C@@H](CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867560549 707039853 /nfs/dbraw/zinc/03/98/53/707039853.db2.gz XCCYAVOYPBINDA-VIFPVBQESA-N -1 1 308.350 1.435 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)sn1 ZINC000867610532 707053102 /nfs/dbraw/zinc/05/31/02/707053102.db2.gz HPCJXGYHYYZCMN-VXIZUSDNSA-N -1 1 300.405 1.153 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C(C)(C)[C@@H]1CCCCO1 ZINC000867682463 707073146 /nfs/dbraw/zinc/07/31/46/707073146.db2.gz KKZCDRDKEVEZOP-XTZNXHDOSA-N -1 1 312.457 1.286 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@@H]1CCCCS1(=O)=O ZINC000834825168 707131568 /nfs/dbraw/zinc/13/15/68/707131568.db2.gz HVXMVBJGAGZLPR-LBPRGKRZSA-N -1 1 317.794 1.629 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCC(=O)NCC(C)C)cc1 ZINC000871799742 707209177 /nfs/dbraw/zinc/20/91/77/707209177.db2.gz RUDKJNWDYZFTFI-UHFFFAOYSA-N -1 1 308.378 1.448 20 0 DDADMM CO[C@]1(C(F)(F)F)CCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000881685271 707321397 /nfs/dbraw/zinc/32/13/97/707321397.db2.gz KVGZBKXEQFPNMQ-CYBMUJFWSA-N -1 1 317.263 1.755 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CC1CC(F)(F)C1 ZINC000872489963 707441775 /nfs/dbraw/zinc/44/17/75/707441775.db2.gz UQHMOEYYMYSQRX-SFHVURJKSA-N -1 1 318.411 1.762 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-][C@@]1(C)CC(C)(C)OC1=O)C1CC1 ZINC000882225023 707547198 /nfs/dbraw/zinc/54/71/98/707547198.db2.gz RMWKXCNGNGTICC-RISCZKNCSA-N -1 1 319.423 1.205 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-][C@H](C)c1csnn1 ZINC000882263547 707563013 /nfs/dbraw/zinc/56/30/13/707563013.db2.gz HLVMOQWAOVXLFL-PSASIEDQSA-N -1 1 321.424 1.250 20 0 DDADMM CO[C@H]([C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000837008784 707563685 /nfs/dbraw/zinc/56/36/85/707563685.db2.gz AXHJYDLJLYPBQJ-QPUJVOFHSA-N -1 1 306.391 1.561 20 0 DDADMM COCCc1nsc(NCc2cc(=O)[n-]c(SC)n2)n1 ZINC000882569569 707702942 /nfs/dbraw/zinc/70/29/42/707702942.db2.gz KFIVBZYULXCRCW-UHFFFAOYSA-N -1 1 313.408 1.557 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)CCC2CCCC2)n1 ZINC000882673959 707743434 /nfs/dbraw/zinc/74/34/34/707743434.db2.gz BBYWPIIYZPIABV-UHFFFAOYSA-N -1 1 300.384 1.312 20 0 DDADMM COCC(COC)OC(=O)c1nn(-c2ccc(C)cc2)cc1[O-] ZINC000909288077 712982691 /nfs/dbraw/zinc/98/26/91/712982691.db2.gz DWNRPTQHUMSHTD-UHFFFAOYSA-N -1 1 320.345 1.705 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)NOCC2CC2)C1)C(F)(F)F ZINC000838614963 707953805 /nfs/dbraw/zinc/95/38/05/707953805.db2.gz XYMSMINREWPIGH-JTQLQIEISA-N -1 1 323.315 1.428 20 0 DDADMM O=C1NCCN1CC1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000896847877 708163192 /nfs/dbraw/zinc/16/31/92/708163192.db2.gz JXHYLBQNMOMIPK-UHFFFAOYSA-N -1 1 311.773 1.077 20 0 DDADMM C[C@@H]1CCNC(=O)[C@H]1NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897664153 708411186 /nfs/dbraw/zinc/41/11/86/708411186.db2.gz PDLMNZGASYPOKH-OTYXRUKQSA-N -1 1 314.341 1.505 20 0 DDADMM O=C(C[C@H]1CCC2(CCC2)O1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000897668641 708412823 /nfs/dbraw/zinc/41/28/23/708412823.db2.gz JCOBFNDHRDYZAA-GFCCVEGCSA-N -1 1 321.377 1.973 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)c1ccns1)C(C)(C)C ZINC000885051044 708456037 /nfs/dbraw/zinc/45/60/37/708456037.db2.gz SRVMQWZVGAJIHR-SECBINFHSA-N -1 1 306.409 1.399 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@H]2CCO[C@H]2C12CCC2)c1ccns1 ZINC000885074411 708463348 /nfs/dbraw/zinc/46/33/48/708463348.db2.gz PQQVRMKOQYQLFM-FBIMIBRVSA-N -1 1 300.405 1.379 20 0 DDADMM O=S(=O)([N-]CCc1cnc(C2CC2)nc1)c1ccns1 ZINC000885076987 708464116 /nfs/dbraw/zinc/46/41/16/708464116.db2.gz JIHYKGFECVYPNG-UHFFFAOYSA-N -1 1 310.404 1.332 20 0 DDADMM O=S(=O)([N-]Cc1ccc2c(n1)CCCC2)c1ccns1 ZINC000885145604 708479934 /nfs/dbraw/zinc/47/99/34/708479934.db2.gz ZAAMGWOCJMAVQO-UHFFFAOYSA-N -1 1 309.416 1.895 20 0 DDADMM O=S(=O)([N-]C[C@]12OCCC[C@H]1C2(F)F)c1ccns1 ZINC000885299509 708514161 /nfs/dbraw/zinc/51/41/61/708514161.db2.gz DTBXRRIBRPWQEY-APPZFPTMSA-N -1 1 310.347 1.236 20 0 DDADMM COC(=O)C[C@@](C)([N-]S(=O)(=O)c1ccns1)C1CC1 ZINC000885340013 708522878 /nfs/dbraw/zinc/52/28/78/708522878.db2.gz ZOOXWKXDCIBCSH-LLVKDONJSA-N -1 1 304.393 1.153 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000885381831 708531309 /nfs/dbraw/zinc/53/13/09/708531309.db2.gz CDSSCPFMJQYQEP-JGVFFNPUSA-N -1 1 324.855 1.983 20 0 DDADMM O=C([N-][C@@H]([C@H]1CCOC1)C(F)(F)F)C(F)(F)C1(O)CCC1 ZINC000885538676 708570712 /nfs/dbraw/zinc/57/07/12/708570712.db2.gz WDMIWACEGVTSRK-YUMQZZPRSA-N -1 1 317.254 1.620 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C1(n2cccn2)CC1 ZINC000886263009 708731697 /nfs/dbraw/zinc/73/16/97/708731697.db2.gz IUUSVKGSXVJNBX-UHFFFAOYSA-N -1 1 307.300 1.715 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cn2c(n1)CCC2 ZINC000886269978 708733503 /nfs/dbraw/zinc/73/35/03/708733503.db2.gz NLFUEQMNYZWTJW-UHFFFAOYSA-N -1 1 307.300 1.786 20 0 DDADMM Cn1cc(CCC(=O)NCCc2c(F)cc([O-])cc2F)nn1 ZINC000886270067 708733601 /nfs/dbraw/zinc/73/36/01/708733601.db2.gz QPAQRNQEPCNEDH-UHFFFAOYSA-N -1 1 310.304 1.090 20 0 DDADMM C[C@@H]1C(=O)CCCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927783701 713055287 /nfs/dbraw/zinc/05/52/87/713055287.db2.gz FFZPHAVMYMPPMU-SECBINFHSA-N -1 1 312.316 1.976 20 0 DDADMM CCN(CCC(N)=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927785360 713055611 /nfs/dbraw/zinc/05/56/11/713055611.db2.gz SUMLIQCWQRASJA-UHFFFAOYSA-N -1 1 315.320 1.120 20 0 DDADMM CN(CC1(C)COC1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927788694 713056547 /nfs/dbraw/zinc/05/65/47/713056547.db2.gz KWQMEVRUFXRBLF-UHFFFAOYSA-N -1 1 314.332 1.891 20 0 DDADMM CCN1CC[C@H]1CNC(=O)C[C@](C)(C(=O)[O-])c1ccccc1 ZINC000887315853 709015762 /nfs/dbraw/zinc/01/57/62/709015762.db2.gz CUICKDYUDMFBLL-YOEHRIQHSA-N -1 1 304.390 1.629 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCC[C@@H](C2CCOCC2)C1 ZINC000899234425 709029375 /nfs/dbraw/zinc/02/93/75/709029375.db2.gz KJOUUWUPEQIBKB-CQSZACIVSA-N -1 1 321.425 1.578 20 0 DDADMM O=C(NCC[C@H]1CCCC[C@H]1O)c1cnc(C2CC2)[n-]c1=O ZINC000887557081 709070873 /nfs/dbraw/zinc/07/08/73/709070873.db2.gz WFQWIZKASQRCJY-ZWNOBZJWSA-N -1 1 305.378 1.731 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@H](c3ccnn3C)C2)c([O-])c1 ZINC000887594738 709077728 /nfs/dbraw/zinc/07/77/28/709077728.db2.gz YMTCTUQLJUHERQ-ZDUSSCGKSA-N -1 1 302.334 1.043 20 0 DDADMM COc1ccc(-c2n[n-]c(S(=O)(=O)CCOC3CC3)n2)cc1 ZINC000899488108 709097104 /nfs/dbraw/zinc/09/71/04/709097104.db2.gz YEVOPFBIZGKPES-UHFFFAOYSA-N -1 1 323.374 1.433 20 0 DDADMM COc1ccc(-c2nc(S(=O)(=O)CCOC3CC3)n[n-]2)cc1 ZINC000899488108 709097106 /nfs/dbraw/zinc/09/71/06/709097106.db2.gz YEVOPFBIZGKPES-UHFFFAOYSA-N -1 1 323.374 1.433 20 0 DDADMM CNS(=O)(=O)c1ccc(CCC[N-]C(=O)C(F)(F)F)cc1 ZINC000899569819 709115832 /nfs/dbraw/zinc/11/58/32/709115832.db2.gz FJWIGPOTRYUFPT-UHFFFAOYSA-N -1 1 324.324 1.206 20 0 DDADMM [O-]c1cc(F)c(CCNC(=S)NC[C@H]2CCCO2)c(F)c1 ZINC000899609693 709125678 /nfs/dbraw/zinc/12/56/78/709125678.db2.gz PSNWDWWTDWNCEQ-SNVBAGLBSA-N -1 1 316.373 1.856 20 0 DDADMM C[C@]1([C@H]2CCCN(C(=O)c3cc(F)ccc3[O-])C2)COC(=O)N1 ZINC000928023215 713105491 /nfs/dbraw/zinc/10/54/91/713105491.db2.gz GQEBTURGACHYBG-MGPLVRAMSA-N -1 1 322.336 1.882 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(Cl)o1)c1nn[n-]n1 ZINC000912860509 713109963 /nfs/dbraw/zinc/10/99/63/713109963.db2.gz WZWPHUPLSVZHKV-LURJTMIESA-N -1 1 301.759 1.670 20 0 DDADMM CSCC[C@H](NC(=O)c1cccc(F)c1F)c1nn[n-]n1 ZINC000912860376 713110086 /nfs/dbraw/zinc/11/00/86/713110086.db2.gz NOBFPZNQROQFOH-VIFPVBQESA-N -1 1 313.333 1.702 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](F)Cc1ccccc1)c1nn[n-]n1 ZINC000912862872 713110824 /nfs/dbraw/zinc/11/08/24/713110824.db2.gz YCDYFQNVKPNRRY-RYUDHWBXSA-N -1 1 323.397 1.691 20 0 DDADMM CSCC[C@H](NC(=O)C(C)=Cc1ccncc1)c1nn[n-]n1 ZINC000912862811 713110889 /nfs/dbraw/zinc/11/08/89/713110889.db2.gz DEQSAYPANXOUNZ-VMPCVLLUSA-N -1 1 318.406 1.609 20 0 DDADMM CC[C@@H](C)[C@@H](OC)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912862371 713111128 /nfs/dbraw/zinc/11/11/28/713111128.db2.gz NZTSQVFTMJFJHC-KXUCPTDWSA-N -1 1 301.416 1.171 20 0 DDADMM CCN(CC)[C@@H](C(=O)N[C@H](COC)C(=O)[O-])c1ccccc1 ZINC000909660707 709563539 /nfs/dbraw/zinc/56/35/39/709563539.db2.gz BYXAEHYQONRJRL-ZIAGYGMSSA-N -1 1 308.378 1.285 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000909675632 709570647 /nfs/dbraw/zinc/57/06/47/709570647.db2.gz GYQPASUWZQWPHV-BYULHYEWSA-N -1 1 317.267 1.754 20 0 DDADMM C[C@@H]1Cc2ccccc2CN1C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000909728224 709598341 /nfs/dbraw/zinc/59/83/41/709598341.db2.gz YIOBFJQPWJEGHS-CZUORRHYSA-N -1 1 316.401 1.756 20 0 DDADMM C[C@@H]1CC[C@]2(CCN(C(=O)C[N@H+]3CCC[C@H](C(=O)[O-])C3)C2)C1 ZINC000909730448 709599113 /nfs/dbraw/zinc/59/91/13/709599113.db2.gz MBTOPDXUWHPFER-KEYYUXOJSA-N -1 1 308.422 1.822 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@@H](Cc1cccc(Cl)c1)C(=O)[O-] ZINC000909815225 709635418 /nfs/dbraw/zinc/63/54/18/709635418.db2.gz VJIKYRDYYDGNNE-QWHCGFSZSA-N -1 1 310.781 1.546 20 0 DDADMM COc1ccc(N(C)C(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000909874092 709663884 /nfs/dbraw/zinc/66/38/84/709663884.db2.gz CFIAZXKKJRPGDP-GFCCVEGCSA-N -1 1 306.362 1.455 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000909939363 709697149 /nfs/dbraw/zinc/69/71/49/709697149.db2.gz VWQJUVHMBPHYTN-LLVKDONJSA-N -1 1 321.255 1.134 20 0 DDADMM O=C(CN1CCCCS1(=O)=O)Nc1cc([O-])c(F)cc1F ZINC000909971514 709713545 /nfs/dbraw/zinc/71/35/45/709713545.db2.gz DJUUIWJDQXOCMI-UHFFFAOYSA-N -1 1 320.317 1.035 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cccc3[nH]c(C)nc32)[C@H](C(=O)[O-])C1 ZINC000909983156 709718316 /nfs/dbraw/zinc/71/83/16/709718316.db2.gz RDEXODJTUNCJHP-GWCFXTLKSA-N -1 1 317.345 1.576 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cccc3[nH]cnc32)[C@H](C(=O)[O-])C1 ZINC000909983424 709719089 /nfs/dbraw/zinc/71/90/89/709719089.db2.gz WRBUSDPNBLJQBI-SKDRFNHKSA-N -1 1 303.318 1.267 20 0 DDADMM CCc1nocc1C(=O)[N-]c1nc(-c2cnn(C)c2)ns1 ZINC000900795062 709761923 /nfs/dbraw/zinc/76/19/23/709761923.db2.gz HABSOXYCYQIVFO-UHFFFAOYSA-N -1 1 304.335 1.741 20 0 DDADMM CN1CCC[C@H]1C(=O)N1[C@@H](C(=O)[O-])CC[C@H]1c1ccccc1 ZINC000910097854 709771516 /nfs/dbraw/zinc/77/15/16/709771516.db2.gz BLMSBJRQMHSMHL-SOUVJXGZSA-N -1 1 302.374 1.898 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1CCC[C@]1(Cc1ccccc1)C(=O)[O-] ZINC000910103122 709773057 /nfs/dbraw/zinc/77/30/57/709773057.db2.gz PSNGZDWWGPYAAV-QAPCUYQASA-N -1 1 316.401 1.769 20 0 DDADMM CC1(C)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]2CCO[C@@H]21 ZINC000900812710 709773313 /nfs/dbraw/zinc/77/33/13/709773313.db2.gz AJNUGFLSCYHJHV-JLLWLGSASA-N -1 1 303.362 1.603 20 0 DDADMM O=C(NC[C@]12C[C@H]1COC21CCC1)C(=O)c1ccc([O-])cc1 ZINC000890170348 709777010 /nfs/dbraw/zinc/77/70/10/709777010.db2.gz RTKGIYMGBBNBTB-BLLLJJGKSA-N -1 1 301.342 1.650 20 0 DDADMM CCC1(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CCOCC1 ZINC000910176648 709798677 /nfs/dbraw/zinc/79/86/77/709798677.db2.gz CVRSKMBDXJDJEY-UHFFFAOYSA-N -1 1 312.410 1.201 20 0 DDADMM CCc1cccnc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910177117 709799211 /nfs/dbraw/zinc/79/92/11/709799211.db2.gz HFNUDTYBRKYPCJ-UHFFFAOYSA-N -1 1 305.378 1.265 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2onc3c2CCCC3)CC1 ZINC000910180176 709799956 /nfs/dbraw/zinc/79/99/56/709799956.db2.gz IELRHEODWTWKBQ-UHFFFAOYSA-N -1 1 321.377 1.174 20 0 DDADMM O=C(Nc1ccnn1[C@@H]1CCCOC1)C(=O)c1ccc([O-])cc1 ZINC000890332606 709825856 /nfs/dbraw/zinc/82/58/56/709825856.db2.gz IAQCNSHOEVPVSE-GFCCVEGCSA-N -1 1 315.329 1.762 20 0 DDADMM C[C@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccc(F)cc1 ZINC000910246406 709837701 /nfs/dbraw/zinc/83/77/01/709837701.db2.gz RBPLKKDDGZMEKI-OCCSQVGLSA-N -1 1 322.380 1.842 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@@H]2COCC[C@@H]21 ZINC000901019151 709883745 /nfs/dbraw/zinc/88/37/45/709883745.db2.gz OZKPVMGMYNUKRA-YPMHNXCESA-N -1 1 303.362 1.701 20 0 DDADMM CC(C)(C)c1ccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)o1 ZINC000910349144 709905060 /nfs/dbraw/zinc/90/50/60/709905060.db2.gz PVEATVLQLRDIAA-LBPRGKRZSA-N -1 1 322.405 1.990 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2C[C@@H]3CCC[C@]3(C(=O)[O-])C2)C1 ZINC000910419610 709931689 /nfs/dbraw/zinc/93/16/89/709931689.db2.gz XGAZSUNWDXXEBK-DAWNXDAASA-N -1 1 317.389 1.789 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC[C@@H](O)C1CC1 ZINC000890724154 709973599 /nfs/dbraw/zinc/97/35/99/709973599.db2.gz PBNYYKLZJMLDMN-LLVKDONJSA-N -1 1 307.394 1.679 20 0 DDADMM C[C@H]1c2sccc2CCN1C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000910503921 709975077 /nfs/dbraw/zinc/97/50/77/709975077.db2.gz ISGWESVXINMJEF-WCQYABFASA-N -1 1 322.430 1.990 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@H]2CC2CCOCC2)c([O-])c1 ZINC000890803565 709994805 /nfs/dbraw/zinc/99/48/05/709994805.db2.gz JXMAWTCZKWKFMC-CQSZACIVSA-N -1 1 320.389 1.753 20 0 DDADMM CN(C)C(=O)NCCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901294051 710000334 /nfs/dbraw/zinc/00/03/34/710000334.db2.gz DXLPQZMEOCOISE-UHFFFAOYSA-N -1 1 320.324 1.081 20 0 DDADMM C[C@H]1CO[C@@H](C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)C1 ZINC000901316800 710007536 /nfs/dbraw/zinc/00/75/36/710007536.db2.gz DRSRBKJMTRUAPO-PRHODGIISA-N -1 1 316.354 1.561 20 0 DDADMM CN(Cc1cn(-c2ccccc2)nc1C(=O)[O-])[C@H]1CCOC1 ZINC000901429557 710037432 /nfs/dbraw/zinc/03/74/32/710037432.db2.gz BDLWORXUNIOWIQ-AWEZNQCLSA-N -1 1 301.346 1.791 20 0 DDADMM C[C@@H](Cc1ccsc1)N(C)CC(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000901625187 710100903 /nfs/dbraw/zinc/10/09/03/710100903.db2.gz CGUCUIHHTSQRLQ-JSGCOSHPSA-N -1 1 324.446 1.934 20 0 DDADMM CCCOC(=O)[C@H](C)Sc1nc([O-])cc(=O)n1CCOC ZINC000901655497 710110290 /nfs/dbraw/zinc/11/02/90/710110290.db2.gz GXILTDGKIBYRHT-VIFPVBQESA-N -1 1 316.379 1.029 20 0 DDADMM COCc1ccc([N-]S(=O)(=O)N=S(C)(C)=O)cc1OC ZINC000901686768 710117938 /nfs/dbraw/zinc/11/79/38/710117938.db2.gz LKLAYLJNAZPDKD-UHFFFAOYSA-N -1 1 322.408 1.226 20 0 DDADMM CN(C)[C@H](C(=O)Nc1cc(F)cc(F)c1[O-])c1cncn1C ZINC000910988361 710142798 /nfs/dbraw/zinc/14/27/98/710142798.db2.gz DRSBWWHMXLIEPM-LBPRGKRZSA-N -1 1 310.304 1.645 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000910989321 710143292 /nfs/dbraw/zinc/14/32/92/710143292.db2.gz VTOKLYZPOKDHAR-RYUDHWBXSA-N -1 1 314.451 1.573 20 0 DDADMM CCOC(=O)[C@@H](CC(=O)Nc1cc(F)cc(F)c1[O-])C(C)=O ZINC000910989293 710143473 /nfs/dbraw/zinc/14/34/73/710143473.db2.gz UYZCPXCGFPZKNV-VIFPVBQESA-N -1 1 315.272 1.767 20 0 DDADMM C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000901796965 710150067 /nfs/dbraw/zinc/15/00/67/710150067.db2.gz WNYNQZCESPSKFR-HICWGWBUSA-N -1 1 308.353 1.655 20 0 DDADMM CCn1ncc(CN[C@H](Cc2cccc(Cl)c2)C(=O)[O-])n1 ZINC000901868883 710163170 /nfs/dbraw/zinc/16/31/70/710163170.db2.gz OVOJYWWSSDNIOV-CYBMUJFWSA-N -1 1 308.769 1.737 20 0 DDADMM CCOC(=O)[C@@H](CSC)NC(=O)c1ccc([O-])cc1F ZINC000928287545 713164217 /nfs/dbraw/zinc/16/42/17/713164217.db2.gz JQKFDYANNWJQFW-LLVKDONJSA-N -1 1 301.339 1.556 20 0 DDADMM CCCC(=O)NC1CCN(c2cc(=O)[n-]c(COC)n2)CC1 ZINC000891830349 710304744 /nfs/dbraw/zinc/30/47/44/710304744.db2.gz GJSGRIVUFFGDHO-UHFFFAOYSA-N -1 1 308.382 1.214 20 0 DDADMM COCc1nc(N[C@H]2CCCN(c3ncccn3)C2)cc(=O)[n-]1 ZINC000891893502 710319733 /nfs/dbraw/zinc/31/97/33/710319733.db2.gz QIWZEKKHPXJQHQ-NSHDSACASA-N -1 1 316.365 1.200 20 0 DDADMM COCc1nc(NCCc2ccc3c(c2)CCO3)cc(=O)[n-]1 ZINC000892542930 710455369 /nfs/dbraw/zinc/45/53/69/710455369.db2.gz BTRGBOMCIHZJHO-UHFFFAOYSA-N -1 1 301.346 1.918 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)c(Br)s1 ZINC000892954191 710531317 /nfs/dbraw/zinc/53/13/17/710531317.db2.gz FGHPJHKOWQLEFG-UHFFFAOYSA-N -1 1 302.157 1.609 20 0 DDADMM Cc1cc(Br)ccc1CC(=O)N(C)c1nn[n-]n1 ZINC000892954243 710531503 /nfs/dbraw/zinc/53/15/03/710531503.db2.gz GAHLBGDGKYIOGZ-UHFFFAOYSA-N -1 1 310.155 1.476 20 0 DDADMM COCc1nc(NC[C@@]2(O)CCc3ccccc32)cc(=O)[n-]1 ZINC000892955849 710531994 /nfs/dbraw/zinc/53/19/94/710531994.db2.gz AZAAIZLWGUOXDP-INIZCTEOSA-N -1 1 301.346 1.575 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H](C)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000902030656 710588255 /nfs/dbraw/zinc/58/82/55/710588255.db2.gz SYMRJWFFWKVOIF-RSLMWUCJSA-N -1 1 307.394 1.840 20 0 DDADMM CCc1cc(C(=O)N2CCOc3ccccc3[C@@H]2C(=O)[O-])n[nH]1 ZINC000911052569 710612292 /nfs/dbraw/zinc/61/22/92/710612292.db2.gz ILSHYQYCDXMSDD-CQSZACIVSA-N -1 1 315.329 1.633 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1CC[C@@H]1C(=O)[O-])c1cccc(F)c1 ZINC000911105227 710635906 /nfs/dbraw/zinc/63/59/06/710635906.db2.gz RQOPMSBGRCAVJW-HZSPNIEDSA-N -1 1 308.353 1.655 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2cccc(C(=O)[O-])n2)C[C@@H](C)O1 ZINC000911150152 710655423 /nfs/dbraw/zinc/65/54/23/710655423.db2.gz FFNFKCQMMINJON-VXGBXAGGSA-N -1 1 321.377 1.009 20 0 DDADMM Cn1ccc2ccc(NC(=O)CN3CCC[C@@H](C(=O)[O-])C3)cc21 ZINC000911253833 710710063 /nfs/dbraw/zinc/71/00/63/710710063.db2.gz KKQCJWSCHGSJBL-CYBMUJFWSA-N -1 1 315.373 1.913 20 0 DDADMM COCCN1CCC[C@@H]1CNC(=O)c1ccc(/C=C/C(=O)[O-])o1 ZINC000911334587 710747416 /nfs/dbraw/zinc/74/74/16/710747416.db2.gz DUCWJSNKRGQKFJ-HOSRBBHYSA-N -1 1 322.361 1.218 20 0 DDADMM O=C([O-])Cn1cc(CN[C@@H]2[C@@H]3Cc4cc(Cl)ccc4[C@@H]32)nn1 ZINC000902496317 710771227 /nfs/dbraw/zinc/77/12/27/710771227.db2.gz WHKJYPFUYFDILQ-VHDGCEQUSA-N -1 1 318.764 1.444 20 0 DDADMM O=C([O-])C1CCC(CC(=O)N[C@@H]2CCc3nc[nH]c3C2)CC1 ZINC000911392868 710776953 /nfs/dbraw/zinc/77/69/53/710776953.db2.gz WDKMVWAOMCDQLR-HTAVTVPLSA-N -1 1 305.378 1.664 20 0 DDADMM CN(C)c1ccnc(NC(=O)[C@@H]2CC[C@H](CCC(=O)[O-])O2)c1 ZINC000911400780 710781654 /nfs/dbraw/zinc/78/16/54/710781654.db2.gz GJHDEEKOQDKCSW-NEPJUHHUSA-N -1 1 307.350 1.499 20 0 DDADMM CCN1CCN(C(=O)c2cccc(OCC(=O)[O-])c2)[C@@H](C)C1 ZINC000911407272 710784514 /nfs/dbraw/zinc/78/45/14/710784514.db2.gz JWKWHWHCEOYZIB-LBPRGKRZSA-N -1 1 306.362 1.316 20 0 DDADMM C[C@H]1[C@@H](Cc2ccccc2)CCN1Cc1cn(CC(=O)[O-])nn1 ZINC000902541374 710788681 /nfs/dbraw/zinc/78/86/81/710788681.db2.gz IJVJLGDPQHJHAG-DZGCQCFKSA-N -1 1 314.389 1.816 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1C[C@@H]2CSC[C@@H]2C1 ZINC000902751332 710889211 /nfs/dbraw/zinc/88/92/11/710889211.db2.gz SSVMAOSBZFMHJG-AOOOYVTPSA-N -1 1 301.371 1.358 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H](C(=O)NCc2ccc3cncn3c2)C1 ZINC000911645745 710903103 /nfs/dbraw/zinc/90/31/03/710903103.db2.gz MQRCOZGSSRHXDN-QWHCGFSZSA-N -1 1 301.346 1.842 20 0 DDADMM COCc1nc(N[C@@H]2CCO[C@H](c3ccnn3C)C2)cc(=O)[n-]1 ZINC000894100028 710966690 /nfs/dbraw/zinc/96/66/90/710966690.db2.gz XLLAAYFSPLZMLT-PWSUYJOCSA-N -1 1 319.365 1.394 20 0 DDADMM CC1=Nc2ccccc2C12CCN(C(=O)CCc1nn[n-]n1)CC2 ZINC000911861164 711037187 /nfs/dbraw/zinc/03/71/87/711037187.db2.gz OGYAAWAJFASNKU-UHFFFAOYSA-N -1 1 324.388 1.799 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-]c1cnc2nccn2c1 ZINC000903191114 711059541 /nfs/dbraw/zinc/05/95/41/711059541.db2.gz NTASHINWCOBPSC-LBPRGKRZSA-N -1 1 312.395 1.532 20 0 DDADMM CCCCc1ccc(NC(=O)[C@]2(C(=O)[O-])CNCCO2)cc1 ZINC000911925820 711071768 /nfs/dbraw/zinc/07/17/68/711071768.db2.gz HOPVFQBQNILVRL-INIZCTEOSA-N -1 1 306.362 1.411 20 0 DDADMM O=C([C@@H]1Cc2ccc(Cl)cc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494341 713237515 /nfs/dbraw/zinc/23/75/15/713237515.db2.gz WYKJXIPCGYKOAB-VXGBXAGGSA-N -1 1 319.752 1.093 20 0 DDADMM Cc1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c(F)cc1F ZINC000913495980 713238586 /nfs/dbraw/zinc/23/85/86/713238586.db2.gz QMIMELMKPZZDNM-LLVKDONJSA-N -1 1 309.276 1.000 20 0 DDADMM NC(=O)[C@H]1c2ccccc2CCN1CCC1(C(=O)[O-])CCC1 ZINC000903696558 711247154 /nfs/dbraw/zinc/24/71/54/711247154.db2.gz JNOCTISCNBNEIP-CQSZACIVSA-N -1 1 302.374 1.716 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N1C[C@@H](C)C[C@H](C)C1 ZINC000903711612 711250358 /nfs/dbraw/zinc/25/03/58/711250358.db2.gz DWPBHKTXMHRYNI-MELADBBJSA-N -1 1 314.426 1.303 20 0 DDADMM O=C([O-])[C@@H]1CSCCN1C[C@H]1CCC[C@@H](C(F)(F)F)O1 ZINC000903906928 711325514 /nfs/dbraw/zinc/32/55/14/711325514.db2.gz OELUZAKVVTVTFA-UTLUCORTSA-N -1 1 313.341 1.988 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(CCO[C@H]1CCOC1)CC2 ZINC000903912754 711328261 /nfs/dbraw/zinc/32/82/61/711328261.db2.gz HEXGOPBEXCCXGP-LBPRGKRZSA-N -1 1 321.373 1.557 20 0 DDADMM O=C(N[C@H]1C[C@H](O)c2ccccc21)c1cnc(C2CC2)[n-]c1=O ZINC000912463169 711339629 /nfs/dbraw/zinc/33/96/29/711339629.db2.gz CHCOGADMFYQDHR-KBPBESRZSA-N -1 1 311.341 1.968 20 0 DDADMM CO[C@@H]1CC[C@H]1N(C)[C@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000903946778 711344269 /nfs/dbraw/zinc/34/42/69/711344269.db2.gz NOQYNAHSXPINBC-KCPJHIHWSA-N -1 1 320.389 1.499 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2cc3n(n2)CCCC3)n1 ZINC000895240567 711462193 /nfs/dbraw/zinc/46/21/93/711462193.db2.gz AKGNBXZHIMLNHN-SNVBAGLBSA-N -1 1 318.381 1.365 20 0 DDADMM CS(=O)(=O)C1CCC(CNc2cc(Cl)[n-]c(=O)n2)CC1 ZINC000895416221 711506982 /nfs/dbraw/zinc/50/69/82/711506982.db2.gz SUTAAZDNXAAIGO-UHFFFAOYSA-N -1 1 319.814 1.851 20 0 DDADMM CC1(C)CO[C@@H](CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000895837256 711621458 /nfs/dbraw/zinc/62/14/58/711621458.db2.gz KDVQFGIQHGJCFO-JSGCOSHPSA-N -1 1 315.377 1.611 20 0 DDADMM CN1C[C@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])CCC1=O ZINC000896494714 711733031 /nfs/dbraw/zinc/73/30/31/711733031.db2.gz BZQLUFCOKCXHRH-LLVKDONJSA-N -1 1 314.341 1.602 20 0 DDADMM C[C@H](NC(=O)c1cc(F)c([O-])c(F)c1)[C@@H]1CN(C)CCN1C ZINC000896558147 711751641 /nfs/dbraw/zinc/75/16/41/711751641.db2.gz YLNDQNALZAULJD-ZANVPECISA-N -1 1 313.348 1.035 20 0 DDADMM CN1CC[C@H]1CNC(=O)C[C@](C)(C(=O)[O-])c1ccc(Cl)cc1 ZINC000905131420 711946098 /nfs/dbraw/zinc/94/60/98/711946098.db2.gz RKRSEUXRKCKAOZ-BBRMVZONSA-N -1 1 324.808 1.893 20 0 DDADMM O=C(NCc1cc2n(n1)CCCC2)c1nc2ccccc2c(=O)[n-]1 ZINC000905162581 711956554 /nfs/dbraw/zinc/95/65/54/711956554.db2.gz RPSPGGOQOFUQIT-UHFFFAOYSA-N -1 1 323.356 1.386 20 0 DDADMM O=C([C@H]1C[C@@H]1c1ccc(F)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742809 713292191 /nfs/dbraw/zinc/29/21/91/713292191.db2.gz VUFGUHOLCYIUPJ-KGLIPLIRSA-N -1 1 315.352 1.849 20 0 DDADMM Cc1ccc(CC(=O)N2CCC(c3nn[n-]n3)CC2)c(Cl)n1 ZINC000913744799 713293119 /nfs/dbraw/zinc/29/31/19/713293119.db2.gz FMMDOMARGYSDDI-UHFFFAOYSA-N -1 1 320.784 1.505 20 0 DDADMM CC(C)[C@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1cccnc1 ZINC000913744899 713293413 /nfs/dbraw/zinc/29/34/13/713293413.db2.gz JMHHQADUYDYOTF-AWEZNQCLSA-N -1 1 314.393 1.741 20 0 DDADMM CCNc1nc(C)c(C(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC000913745970 713294073 /nfs/dbraw/zinc/29/40/73/713294073.db2.gz RJKKVHRYZMCWGB-UHFFFAOYSA-N -1 1 321.410 1.416 20 0 DDADMM O=Cc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)ccc1F ZINC000913746635 713294362 /nfs/dbraw/zinc/29/43/62/713294362.db2.gz CMIJGMJTQIJPKU-UHFFFAOYSA-N -1 1 303.297 1.171 20 0 DDADMM O=C(C[C@H]1CCC2(CCC2)O1)N1CCC(c2nn[n-]n2)CC1 ZINC000913747295 713294488 /nfs/dbraw/zinc/29/44/88/713294488.db2.gz WPMFPTKLAKHTML-GFCCVEGCSA-N -1 1 305.382 1.398 20 0 DDADMM CCOC1CC2(C[C@@H]2NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000913812692 713304420 /nfs/dbraw/zinc/30/44/20/713304420.db2.gz GHEGVTPJQBZAHE-YWFMTQBDSA-N -1 1 303.362 1.747 20 0 DDADMM CN1C(=O)CCC[C@@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000906103366 712244949 /nfs/dbraw/zinc/24/49/49/712244949.db2.gz OCHJGTGEFHVMRU-CYBMUJFWSA-N -1 1 314.345 1.732 20 0 DDADMM COC(=O)CC(=O)Nc1cc(C)cc(Br)c1[O-] ZINC000907240187 712520912 /nfs/dbraw/zinc/52/09/12/712520912.db2.gz CWCZIDLGNLOXEL-UHFFFAOYSA-N -1 1 302.124 1.965 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnc(NC2CC2)s1)c1nn[n-]n1 ZINC000907350084 712550092 /nfs/dbraw/zinc/55/00/92/712550092.db2.gz MBRZRZVVEKIODG-ZETCQYMHSA-N -1 1 307.383 1.106 20 0 DDADMM O=C(N[C@@]1(CO)CCOC1)c1ccc2ccc(O)cc2c1[O-] ZINC000907357436 712551985 /nfs/dbraw/zinc/55/19/85/712551985.db2.gz WAHSRLTULWCVMB-MRXNPFEDSA-N -1 1 303.314 1.132 20 0 DDADMM O=C(C=Cc1ccsc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907473000 712581268 /nfs/dbraw/zinc/58/12/68/712581268.db2.gz AWNDXOFFPCEULL-JWXWKVPASA-N -1 1 307.404 1.591 20 0 DDADMM O=C([C@H]1CCOC2(CCC2)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907476393 712582282 /nfs/dbraw/zinc/58/22/82/712582282.db2.gz MVVBGUWUARLFTN-WDEREUQCSA-N -1 1 323.422 1.166 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc(Cl)n1 ZINC000907476900 712582571 /nfs/dbraw/zinc/58/25/71/712582571.db2.gz CPMUPLRUUXEHQF-VIFPVBQESA-N -1 1 324.797 1.487 20 0 DDADMM C[C@H](CC(=O)N1CCSC[C@H]1c1nn[n-]n1)[C@H]1CCCO1 ZINC000907481178 712583737 /nfs/dbraw/zinc/58/37/37/712583737.db2.gz FXZHERRPFGJJMG-OUAUKWLOSA-N -1 1 311.411 1.021 20 0 DDADMM CC(=O)[C@@H]1C[C@H](C(=O)N2CCSC[C@@H]2c2nn[n-]n2)C1(C)C ZINC000907480925 712583815 /nfs/dbraw/zinc/58/38/15/712583815.db2.gz XJMRSFUSPKHUMX-HBNTYKKESA-N -1 1 323.422 1.068 20 0 DDADMM CC(=Cc1ccco1)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480622 712583906 /nfs/dbraw/zinc/58/39/06/712583906.db2.gz PRKDYFSCAIKANA-MXMFLMJRSA-N -1 1 305.363 1.513 20 0 DDADMM Cc1ncoc1C[N-]S(=O)(=O)c1c(C(F)(F)F)cnn1C ZINC000907597455 712600495 /nfs/dbraw/zinc/60/04/95/712600495.db2.gz RXJVJCVFSDXMQT-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM O=C([O-])[C@]1(C(=O)NCCN2CC=CCC2)C[C@@H]1c1ccccc1 ZINC000907847097 712635207 /nfs/dbraw/zinc/63/52/07/712635207.db2.gz NHGQUFKFBDLVOD-CRAIPNDOSA-N -1 1 314.385 1.623 20 0 DDADMM C[C@@H](CCC(C)(C)C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907944548 712652924 /nfs/dbraw/zinc/65/29/24/712652924.db2.gz VKNRBKKULRDJAT-NSHDSACASA-N -1 1 309.414 1.472 20 0 DDADMM C[C@@H](Cc1cccnc1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000907981509 712659653 /nfs/dbraw/zinc/65/96/53/712659653.db2.gz JOLMQFVTYHSQQJ-QMMMGPOBSA-N -1 1 300.771 1.368 20 0 DDADMM CN(C(=O)[C@@H]1CCCN1C1CC1)c1cccc(CC(=O)[O-])c1 ZINC000908082595 712681560 /nfs/dbraw/zinc/68/15/60/712681560.db2.gz DTOIZQZUCAOCSM-HNNXBMFYSA-N -1 1 302.374 1.903 20 0 DDADMM O=C(NC[C@@H]1COc2ccccc21)c1cnc(C2CC2)[n-]c1=O ZINC000908244651 712718790 /nfs/dbraw/zinc/71/87/90/712718790.db2.gz DXGYOCQRVSQQRY-LLVKDONJSA-N -1 1 311.341 1.966 20 0 DDADMM O=S(=O)([N-]CC[C@@H](O)C1CC1)c1ccc(Br)o1 ZINC000908301807 712733171 /nfs/dbraw/zinc/73/31/71/712733171.db2.gz DSZVIVMWDSOQRD-MRVPVSSYSA-N -1 1 324.196 1.481 20 0 DDADMM O=S(=O)([N-]CC[C@@H](O)C1CC1)c1ccc(Cl)nc1F ZINC000908312964 712738214 /nfs/dbraw/zinc/73/82/14/712738214.db2.gz NELLWGWHWMHSNJ-MRVPVSSYSA-N -1 1 308.762 1.313 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H](c1ccncc1)C1CC1 ZINC000908390661 712759228 /nfs/dbraw/zinc/75/92/28/712759228.db2.gz PLVVKUQCDZODDS-HXPMCKFVSA-N -1 1 317.436 1.485 20 0 DDADMM C[C@@H](Cn1cccn1)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000914082904 713340713 /nfs/dbraw/zinc/34/07/13/713340713.db2.gz MLKNQUHDGIIVLL-JTQLQIEISA-N -1 1 324.331 1.797 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C(C)(C)C(=O)OC(C)C)sn1 ZINC000908484544 712787172 /nfs/dbraw/zinc/78/71/72/712787172.db2.gz HWKJECLQWLRXQN-UHFFFAOYSA-N -1 1 306.409 1.460 20 0 DDADMM CN(C(=O)c1c([O-])cnc2c(F)cccc21)C(C)(C)C(N)=O ZINC000908513667 712793694 /nfs/dbraw/zinc/79/36/94/712793694.db2.gz AYHUIDCHPKLRJE-UHFFFAOYSA-N -1 1 305.309 1.415 20 0 DDADMM CCN(CC(=O)N[C@H](CC(=O)[O-])c1ccc(F)cc1)C1CC1 ZINC000908605913 712819884 /nfs/dbraw/zinc/81/98/84/712819884.db2.gz BSOOTGDBRJESJP-CQSZACIVSA-N -1 1 308.353 1.942 20 0 DDADMM COC(=O)N(C)CC(=O)Nc1cccc([O-])c1Br ZINC000908713811 712840128 /nfs/dbraw/zinc/84/01/28/712840128.db2.gz BZEGLLMLCSELPE-UHFFFAOYSA-N -1 1 317.139 1.791 20 0 DDADMM Cc1ccccc1OCCNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908749022 712847149 /nfs/dbraw/zinc/84/71/49/712847149.db2.gz LEQJWGOZHXAQHG-AWEZNQCLSA-N -1 1 320.389 1.287 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2C[C@@H]2c2cccc(F)c2)C1 ZINC000908785052 712854320 /nfs/dbraw/zinc/85/43/20/712854320.db2.gz FIVDGLNBESWGJQ-NWANDNLSSA-N -1 1 320.364 1.594 20 0 DDADMM CCc1nnc(N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)n1CC ZINC000908791553 712855624 /nfs/dbraw/zinc/85/56/24/712855624.db2.gz ZKSFBUUIRBPOPU-JTQLQIEISA-N -1 1 318.381 1.706 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1cccs1 ZINC000908806437 712858250 /nfs/dbraw/zinc/85/82/50/712858250.db2.gz QLOKTYWUCPPQRA-GFCCVEGCSA-N -1 1 324.446 1.939 20 0 DDADMM O=C([O-])[C@H](CCF)NC(=O)NCCN1CCc2ccccc2C1 ZINC000908809151 712858510 /nfs/dbraw/zinc/85/85/10/712858510.db2.gz PDOHNEOXKCAVFV-AWEZNQCLSA-N -1 1 323.368 1.157 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccccn1)c1noc(C(F)(F)F)n1 ZINC000916390563 713453034 /nfs/dbraw/zinc/45/30/34/713453034.db2.gz FSUGDSZXDLJDOF-ZCFIWIBFSA-N -1 1 322.268 1.523 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)c1cnsn1 ZINC000919484461 713609919 /nfs/dbraw/zinc/60/99/19/713609919.db2.gz KJLSCPHFKDMBEA-UHFFFAOYSA-N -1 1 304.283 1.707 20 0 DDADMM COc1c(F)cc(S(=O)(=O)[N-][C@H](C)C(F)F)cc1F ZINC000919814072 713636533 /nfs/dbraw/zinc/63/65/33/713636533.db2.gz RHUYKTDILJHROA-RXMQYKEDSA-N -1 1 301.261 1.905 20 0 DDADMM CCN(CCS(C)(=O)=O)C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000930081857 713751639 /nfs/dbraw/zinc/75/16/39/713751639.db2.gz HRUUVQQJUVPAMM-GFCCVEGCSA-N -1 1 313.419 1.578 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](c2ncccn2)C2CC2)sn1 ZINC000921416873 713763919 /nfs/dbraw/zinc/76/39/19/713763919.db2.gz RBQWWQSFGBFTPI-NSHDSACASA-N -1 1 310.404 1.671 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C=C[C@H]2C[C@@H]1CO2)c1cccc(F)c1F ZINC000921610104 713820599 /nfs/dbraw/zinc/82/05/99/713820599.db2.gz SEANAFCTKRXPTO-AXFHLTTASA-N -1 1 315.341 1.834 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cnn(C2CCC2)c1 ZINC000930470820 713843517 /nfs/dbraw/zinc/84/35/17/713843517.db2.gz NZDBECHQBMIBIT-UHFFFAOYSA-N -1 1 300.244 1.997 20 0 DDADMM CC(=O)NCc1ccc([C@@H](C)NC(=O)c2ncccc2[O-])cc1 ZINC000963877971 717932718 /nfs/dbraw/zinc/93/27/18/717932718.db2.gz SPRKPMLYBDHCGK-LLVKDONJSA-N -1 1 313.357 1.914 20 0 DDADMM CO[C@H](C)CS(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921965606 713922354 /nfs/dbraw/zinc/92/23/54/713922354.db2.gz OQMJNDKZMVDSKO-MRVPVSSYSA-N -1 1 309.334 1.167 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C2([C@@H]3CCCCO3)CC2)c1Cl ZINC000922103746 713962529 /nfs/dbraw/zinc/96/25/29/713962529.db2.gz FIKFMRWYORSUKU-VIFPVBQESA-N -1 1 319.814 1.454 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1C[C@H]2C[C@@]2(O)C1 ZINC000932060585 714249493 /nfs/dbraw/zinc/24/94/93/714249493.db2.gz HXLFSQDJLPLDTE-MEBBXXQBSA-N -1 1 300.318 1.176 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCC[C@@H]3C[C@@]32C(=O)[O-])C1 ZINC000923148964 714255247 /nfs/dbraw/zinc/25/52/47/714255247.db2.gz BDFUOQZQMLCYGW-DVIHMLIVSA-N -1 1 303.362 1.541 20 0 DDADMM CC[C@@]1(C(=O)[O-])CCCN([C@H](C)C(=O)Nc2cc(C)no2)C1 ZINC000923467545 714365937 /nfs/dbraw/zinc/36/59/37/714365937.db2.gz DGMUDGAYVCQLJW-IAQYHMDHSA-N -1 1 309.366 1.887 20 0 DDADMM O=C([N-]CCCOC(=O)c1ccc2[nH]ccc2n1)C(F)(F)F ZINC000923469248 714366178 /nfs/dbraw/zinc/36/61/78/714366178.db2.gz BGGRXQIEWDALSV-UHFFFAOYSA-N -1 1 315.251 1.788 20 0 DDADMM CC(C)N1CCC[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000923892928 714485855 /nfs/dbraw/zinc/48/58/55/714485855.db2.gz HACHBJCJKBGFJH-AWEZNQCLSA-N -1 1 318.373 1.359 20 0 DDADMM CC[C@@H]1C(=O)NCCC[N@H+]1C[C@@H](C)C(=O)c1ccc(O)cc1 ZINC000933219998 714539966 /nfs/dbraw/zinc/53/99/66/714539966.db2.gz ZWNLSCNIDSUFFK-IUODEOHRSA-N -1 1 304.390 1.812 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc[nH]2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966159329 717986884 /nfs/dbraw/zinc/98/68/84/717986884.db2.gz FBCCVYNUHPSRLT-PWSUYJOCSA-N -1 1 314.345 1.006 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2cc(C)n(C)n2)[n-]c1=O ZINC000934269755 714781472 /nfs/dbraw/zinc/78/14/72/714781472.db2.gz KUPXCHZGZSOTBQ-GFCCVEGCSA-N -1 1 303.366 1.570 20 0 DDADMM COC(=O)/C=C(/C)CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934271196 714782797 /nfs/dbraw/zinc/78/27/97/714782797.db2.gz ZHIZCHLXNWNVBS-BRNRAETOSA-N -1 1 307.350 1.447 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2ncc(C)cn2)[n-]c1=O ZINC000934274538 714783662 /nfs/dbraw/zinc/78/36/62/714783662.db2.gz USNNTFWLAVEKJK-NSHDSACASA-N -1 1 301.350 1.626 20 0 DDADMM COc1cnc([C@H]2CCCN2CCn2nc(C)cc2C)[n-]c1=O ZINC000934279801 714785502 /nfs/dbraw/zinc/78/55/02/714785502.db2.gz POVIHPDVXLKFME-CYBMUJFWSA-N -1 1 317.393 1.841 20 0 DDADMM O=C(c1cc(Cl)ncc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000935037190 714961166 /nfs/dbraw/zinc/96/11/66/714961166.db2.gz PQORIQVRNMWLIT-SSDOTTSWSA-N -1 1 310.720 1.407 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(F)cc2ccoc21)c1nn[n-]n1 ZINC000935368786 715039010 /nfs/dbraw/zinc/03/90/10/715039010.db2.gz TZDXMFVYWXKWKC-QMMMGPOBSA-N -1 1 303.297 1.961 20 0 DDADMM Cn1cc(O[C@@H]2CCC[C@@H]2NC(=O)c2ccc([O-])c(F)c2)cn1 ZINC000926282904 715041870 /nfs/dbraw/zinc/04/18/70/715041870.db2.gz YTWYPEOYZQLUNM-DZGCQCFKSA-N -1 1 319.336 1.995 20 0 DDADMM O=C(c1cnn(C2CCC2)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000935455149 715059775 /nfs/dbraw/zinc/05/97/75/715059775.db2.gz DHRGNDUWHHAWFX-LLVKDONJSA-N -1 1 319.394 1.052 20 0 DDADMM C[C@H](C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937791029 715574408 /nfs/dbraw/zinc/57/44/08/715574408.db2.gz WWLXHAMFFAVXDO-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)/C=C/C2CC2)C1 ZINC000956848994 715635755 /nfs/dbraw/zinc/63/57/55/715635755.db2.gz IPPBFVFBZUACNA-DKRLNXSXSA-N -1 1 315.373 1.474 20 0 DDADMM CS[C@H](C)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955902026 716005683 /nfs/dbraw/zinc/00/56/83/716005683.db2.gz HOWMEWBAKGCWNV-MNOVXSKESA-N -1 1 323.418 1.260 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000955980269 716047943 /nfs/dbraw/zinc/04/79/43/716047943.db2.gz XYIGXAFAKOAKFR-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H](CC(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC000960226645 716457232 /nfs/dbraw/zinc/45/72/32/716457232.db2.gz UMVBVQGNSNZNJA-YPMHNXCESA-N -1 1 321.425 1.417 20 0 DDADMM Cc1n[nH]c(C(=O)NC2CC(CNCc3cscn3)C2)c1[O-] ZINC000961708398 717067662 /nfs/dbraw/zinc/06/76/62/717067662.db2.gz PMGLCICEKPZFIT-UHFFFAOYSA-N -1 1 321.406 1.178 20 0 DDADMM CC(=O)NC[C@H]1CCCN1C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000944177713 718266210 /nfs/dbraw/zinc/26/62/10/718266210.db2.gz LRUFKUBKLITUAT-GFCCVEGCSA-N -1 1 320.393 1.355 20 0 DDADMM CCCC(=O)N1CC[C@H]2[C@@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945855502 718594165 /nfs/dbraw/zinc/59/41/65/718594165.db2.gz YGABTLOXPIMKBN-STQMWFEESA-N -1 1 317.389 1.650 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C(C)(C)F)C1 ZINC000967898412 719078356 /nfs/dbraw/zinc/07/83/56/719078356.db2.gz HCNBZGXVESJFEH-UHFFFAOYSA-N -1 1 309.341 1.208 20 0 DDADMM CC(=O)N1CCC[C@@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000949587755 720191086 /nfs/dbraw/zinc/19/10/86/720191086.db2.gz DCQPHSJLPIBQSD-GFCCVEGCSA-N -1 1 320.393 1.355 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ccco2)C1)c1ncccc1[O-] ZINC000949778972 720332589 /nfs/dbraw/zinc/33/25/89/720332589.db2.gz LGKIXIRETYPOIZ-NSHDSACASA-N -1 1 315.329 1.415 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc[nH]c2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000949797090 720346905 /nfs/dbraw/zinc/34/69/05/720346905.db2.gz CQDHMUBREZPGMC-ZYHUDNBSSA-N -1 1 314.345 1.006 20 0 DDADMM CCCC(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC000953322323 721593417 /nfs/dbraw/zinc/59/34/17/721593417.db2.gz OEGIVRSMBNYRBM-STQMWFEESA-N -1 1 317.389 1.650 20 0 DDADMM [O-]c1cnccc1CN1CCn2c(Br)nnc2C1 ZINC001140278012 733431893 /nfs/dbraw/zinc/43/18/93/733431893.db2.gz NFHAEIXQJLTPLF-UHFFFAOYSA-N -1 1 310.155 1.157 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)C1(C)COC1)c1ccccc1N ZINC001143388653 733539816 /nfs/dbraw/zinc/53/98/16/733539816.db2.gz SJAVANBPFMICGS-UHFFFAOYSA-N -1 1 323.374 1.279 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)C1(C)COC1)c1ccccc1N ZINC001143388653 733539819 /nfs/dbraw/zinc/53/98/19/733539819.db2.gz SJAVANBPFMICGS-UHFFFAOYSA-N -1 1 323.374 1.279 20 0 DDADMM COc1cccc(C(C)=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000588451051 734508885 /nfs/dbraw/zinc/50/88/85/734508885.db2.gz IEEDVIMEQDGVMX-KGTBHZDVSA-N -1 1 315.377 1.874 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@]23C[C@@H]2CCCC3)c1Cl ZINC000693538260 734575569 /nfs/dbraw/zinc/57/55/69/734575569.db2.gz YOADUIWDPLZPPM-JOYOIKCWSA-N -1 1 303.815 1.932 20 0 DDADMM C[C@@H](CCNC(=O)c1ccc[nH]1)NC(=O)c1ncccc1[O-] ZINC001075590138 738877485 /nfs/dbraw/zinc/87/74/85/738877485.db2.gz GBLFGGJZZBWLRR-JTQLQIEISA-N -1 1 302.334 1.054 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)C2CC2)C12CCC2)c1ncccc1[O-] ZINC001025852362 736897336 /nfs/dbraw/zinc/89/73/36/736897336.db2.gz SCEUKKMAZNQRIY-OLZOCXBDSA-N -1 1 315.373 1.354 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)C1CC2(CCC2)C1 ZINC000997922623 751388680 /nfs/dbraw/zinc/38/86/80/751388680.db2.gz ASIFWJSBRROCCG-UHFFFAOYSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@@H](Cn1cccn1)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692888182 738958753 /nfs/dbraw/zinc/95/87/53/738958753.db2.gz HKGMFSGRYZQDQZ-QMMMGPOBSA-N -1 1 318.761 1.438 20 0 DDADMM CC(C)(CO)CC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692892740 738963898 /nfs/dbraw/zinc/96/38/98/738963898.db2.gz KCURCSAAJGJXHJ-UHFFFAOYSA-N -1 1 310.778 1.561 20 0 DDADMM O=S(=O)([N-][C@H]1COC2(CCC2)C1)c1ccc(Cl)nc1F ZINC000692804953 737945418 /nfs/dbraw/zinc/94/54/18/737945418.db2.gz APZBGCVWIGKVER-MRVPVSSYSA-N -1 1 320.773 1.864 20 0 DDADMM C[C@@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692893997 738964377 /nfs/dbraw/zinc/96/43/77/738964377.db2.gz OCXIBEPTRHIPBQ-WRWORJQWSA-N -1 1 308.762 1.720 20 0 DDADMM Cc1cc(C)nc(N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001058879141 739007520 /nfs/dbraw/zinc/00/75/20/739007520.db2.gz PWZJIAHJANCYCJ-LBPRGKRZSA-N -1 1 313.361 1.521 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CCC1 ZINC001012145045 740100979 /nfs/dbraw/zinc/10/09/79/740100979.db2.gz WGLXWSSRCHMKGG-PWSUYJOCSA-N -1 1 303.362 1.307 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2[nH]nc(C)c2[O-])CCN1C/C=C\Cl ZINC001087540120 740615142 /nfs/dbraw/zinc/61/51/42/740615142.db2.gz CTEMYUYTWZCKAK-LQKZOZGNSA-N -1 1 312.801 1.759 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)C[C@@H]2C=CCC2)C1 ZINC001029642293 741170813 /nfs/dbraw/zinc/17/08/13/741170813.db2.gz ZYVVKGYQJNONLJ-CHWSQXEVSA-N -1 1 319.409 1.147 20 0 DDADMM C/C=C(\C)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088291432 741337648 /nfs/dbraw/zinc/33/76/48/741337648.db2.gz HBWICZFVBBZIDL-JPOQUURFSA-N -1 1 307.398 1.337 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029857040 741431219 /nfs/dbraw/zinc/43/12/19/741431219.db2.gz GAVQYPBYECCCAG-VXGBXAGGSA-N -1 1 309.414 1.083 20 0 DDADMM CC/C=C(\C)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244262 742623231 /nfs/dbraw/zinc/62/32/31/742623231.db2.gz OMHUWRGXXHLCQT-BCMYLCSRSA-N -1 1 305.378 1.768 20 0 DDADMM Cc1c[nH]cc1C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076581393 742795831 /nfs/dbraw/zinc/79/58/31/742795831.db2.gz GTTQOUKOAFMGSZ-NSHDSACASA-N -1 1 316.361 1.362 20 0 DDADMM O=C(NN1C[C@@H]2CCC[C@@H]2C1)c1ccc2n[n-]c(=S)n2c1 ZINC001181811802 743359846 /nfs/dbraw/zinc/35/98/46/743359846.db2.gz FUQRNSUIJBMOKB-AOOOYVTPSA-N -1 1 303.391 1.395 20 0 DDADMM Cc1[nH]nc(NC(=O)CCc2nn[n-]n2)c1-c1ccc(C)cc1 ZINC001181928459 743396381 /nfs/dbraw/zinc/39/63/81/743396381.db2.gz GDHVFCSHZIEWJH-UHFFFAOYSA-N -1 1 311.349 1.778 20 0 DDADMM Cc1ccc(N[C@@H]2CCC[N@@H+](C3COC3)C2)cc1-c1nn[nH]n1 ZINC001168373471 743629296 /nfs/dbraw/zinc/62/92/96/743629296.db2.gz CJYDPWARKGNRKO-CYBMUJFWSA-N -1 1 314.393 1.450 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C12CCC(CO)(CC1)CC2 ZINC001182702168 743700742 /nfs/dbraw/zinc/70/07/42/743700742.db2.gz KEDVTPHUDJVSGQ-UHFFFAOYSA-N -1 1 322.321 1.557 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCC[C@H]1CNc1ncccn1 ZINC001060242165 743716609 /nfs/dbraw/zinc/71/66/09/743716609.db2.gz SVVOQXWVDKPYCF-LBPRGKRZSA-N -1 1 313.361 1.684 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H](O)c1ccccc1C1CCCCC1 ZINC001183656927 743887691 /nfs/dbraw/zinc/88/76/91/743887691.db2.gz GAOVLOSVYVXTRK-OAHLLOKOSA-N -1 1 315.377 1.597 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@H]1CCN1Cc1ccccc1 ZINC001183757493 743897578 /nfs/dbraw/zinc/89/75/78/743897578.db2.gz MNXLEJDZFFENML-LLVKDONJSA-N -1 1 324.344 1.272 20 0 DDADMM O=C(C[C@@H](O)C(F)(F)F)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001184036923 743958790 /nfs/dbraw/zinc/95/87/90/743958790.db2.gz BLZFHBLZHREIAQ-SNVBAGLBSA-N -1 1 315.251 1.830 20 0 DDADMM CCc1nc(C)c(CN2CC(NC(=O)c3ncccc3[O-])C2)o1 ZINC001030241280 743977015 /nfs/dbraw/zinc/97/70/15/743977015.db2.gz CGPYTZOGNXENTI-UHFFFAOYSA-N -1 1 316.361 1.260 20 0 DDADMM CC1(C)OCC(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)CO1 ZINC001184450654 744046143 /nfs/dbraw/zinc/04/61/43/744046143.db2.gz NWLWXFQCSIFYLT-UHFFFAOYSA-N -1 1 317.345 1.916 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)C12CCC(O)(CC1)CC2 ZINC001185287290 744198896 /nfs/dbraw/zinc/19/88/96/744198896.db2.gz QSPWNDLFVWZBDN-UHFFFAOYSA-N -1 1 305.311 1.146 20 0 DDADMM O=C(CN1CC[C@H](O)C1)Nc1cccc(C(F)(F)F)c1[O-] ZINC001186049102 744336068 /nfs/dbraw/zinc/33/60/68/744336068.db2.gz KCQHOMNFUYVKBE-QMMMGPOBSA-N -1 1 304.268 1.416 20 0 DDADMM CCOC(=O)c1cn[nH]c1CNC(=O)c1cccc([S-])c1 ZINC001186167580 744356717 /nfs/dbraw/zinc/35/67/17/744356717.db2.gz VBAUWRNNESZQJZ-UHFFFAOYSA-N -1 1 305.359 1.805 20 0 DDADMM CN(C)S(=O)(=O)c1ccc(NC(=O)NCC2CC2)c([O-])c1 ZINC001186613469 744420501 /nfs/dbraw/zinc/42/05/01/744420501.db2.gz LXOLJQDKJDMEII-UHFFFAOYSA-N -1 1 313.379 1.174 20 0 DDADMM Cc1cc(O)cc([N-]S(=O)(=O)c2ccc3c(c2)CC(=O)N3)c1 ZINC001186932209 744468012 /nfs/dbraw/zinc/46/80/12/744468012.db2.gz HMWAJZPIVYTMSN-UHFFFAOYSA-N -1 1 318.354 1.996 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@H]3C[C@@H]3C(=O)c3ccccc3)c1-2 ZINC001187217829 744529161 /nfs/dbraw/zinc/52/91/61/744529161.db2.gz PTKNSCPDZKKPMY-RYUDHWBXSA-N -1 1 321.340 1.492 20 0 DDADMM COc1ccc2c(c1)CC[C@@H]2[N-]S(=O)(=O)c1cnoc1C ZINC001187410923 744558108 /nfs/dbraw/zinc/55/81/08/744558108.db2.gz YTILRLRMVHSJDA-ZDUSSCGKSA-N -1 1 308.359 1.957 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]Cc1ncccc1C(F)(F)F ZINC001187389416 744562810 /nfs/dbraw/zinc/56/28/10/744562810.db2.gz TYERPGPCEFSLRN-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM Cc1ccc(CO)cc1NC(=O)c1n[n-]nc1C(F)(F)F ZINC001187757176 744605812 /nfs/dbraw/zinc/60/58/12/744605812.db2.gz YAJNNCOTODMUEQ-UHFFFAOYSA-N -1 1 300.240 1.877 20 0 DDADMM O=S(=O)([N-][C@@H]1c2ccccc2CC[C@@H]1O)c1nccs1 ZINC001187912534 744629157 /nfs/dbraw/zinc/62/91/57/744629157.db2.gz KOBVWKZPTFQUMC-NWDGAFQWSA-N -1 1 310.400 1.470 20 0 DDADMM COc1ccc2nc([N-]C(=O)c3snnc3C)nnc2c1 ZINC001187874942 744630727 /nfs/dbraw/zinc/63/07/27/744630727.db2.gz UEPKNKJKQOJOJR-UHFFFAOYSA-N -1 1 302.319 1.446 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1nccs1)Nc1ccc(F)cc1 ZINC001187918452 744634741 /nfs/dbraw/zinc/63/47/41/744634741.db2.gz FKBXWPKLWIFDLA-UHFFFAOYSA-N -1 1 315.351 1.199 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccc(-c2ccc(Cl)nn2)c1 ZINC001188496670 744713850 /nfs/dbraw/zinc/71/38/50/744713850.db2.gz GLWJDRWMLGQIOR-UHFFFAOYSA-N -1 1 315.724 1.240 20 0 DDADMM O=C(NCC1CCN(c2cnccn2)CC1)c1ccncc1[O-] ZINC001188644928 744735182 /nfs/dbraw/zinc/73/51/82/744735182.db2.gz XSQSZUMJAJQOOU-UHFFFAOYSA-N -1 1 313.361 1.224 20 0 DDADMM Cc1nccc(N[C@@H](C)C[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001089272861 744847236 /nfs/dbraw/zinc/84/72/36/744847236.db2.gz RMQKKKAPTLTRSA-QWRGUYRKSA-N -1 1 315.377 1.895 20 0 DDADMM CC(C)(C)c1cc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)[nH]n1 ZINC001189239298 744851034 /nfs/dbraw/zinc/85/10/34/744851034.db2.gz AEIHQJHTUVSAGZ-UHFFFAOYSA-N -1 1 306.282 1.559 20 0 DDADMM CCCc1ccc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)s1 ZINC001189516832 744909716 /nfs/dbraw/zinc/90/97/16/744909716.db2.gz RTFCXKHTUQVDFW-UHFFFAOYSA-N -1 1 319.346 1.982 20 0 DDADMM CSc1nc(NC(=O)c2cnc(N(C)C)nc2)cc(=O)[n-]1 ZINC001189836731 744997752 /nfs/dbraw/zinc/99/77/52/744997752.db2.gz MIPGDRCHHBVZED-UHFFFAOYSA-N -1 1 306.351 1.012 20 0 DDADMM CSc1nc(NC(=O)c2ccc(NC(C)=O)nc2)cc(=O)[n-]1 ZINC001189900837 745049260 /nfs/dbraw/zinc/04/92/60/745049260.db2.gz WAFLUSHUCZUERD-UHFFFAOYSA-N -1 1 319.346 1.510 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(OC)nc2Cl)n1 ZINC001190085905 745108165 /nfs/dbraw/zinc/10/81/65/745108165.db2.gz RNDIMNSTJLYMLS-UHFFFAOYSA-N -1 1 324.724 1.896 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(OC)nc2OC)n1 ZINC001190231690 745146577 /nfs/dbraw/zinc/14/65/77/745146577.db2.gz ALXXDOTZSNMPCZ-UHFFFAOYSA-N -1 1 320.305 1.251 20 0 DDADMM COCC1(NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)CCC1 ZINC001190629378 745278870 /nfs/dbraw/zinc/27/88/70/745278870.db2.gz PDOCOGOLBYBPRR-UHFFFAOYSA-N -1 1 314.345 1.543 20 0 DDADMM CCCCOC(=O)C(C)(C)[N-]S(=O)(=O)Cc1ccno1 ZINC001191857540 745618384 /nfs/dbraw/zinc/61/83/84/745618384.db2.gz ZWOMDCOQDGCOPR-UHFFFAOYSA-N -1 1 304.368 1.216 20 0 DDADMM Nc1c(F)cnc(Br)c1[N-]C(=O)c1ncon1 ZINC001192015109 745674136 /nfs/dbraw/zinc/67/41/36/745674136.db2.gz DDQOSLDPXVKVNT-UHFFFAOYSA-N -1 1 302.063 1.201 20 0 DDADMM CC1(CC[N-]S(=O)(=O)C(Cl)(Cl)Cl)OCCO1 ZINC001192042566 745680582 /nfs/dbraw/zinc/68/05/82/745680582.db2.gz GONFRUXMWNEQAI-UHFFFAOYSA-N -1 1 312.602 1.387 20 0 DDADMM O=C([N-]c1ccn2cnnc2c1)c1nc(Cc2ccccc2)no1 ZINC001192265069 745737182 /nfs/dbraw/zinc/73/71/82/745737182.db2.gz ANTUXAMHLDZGGO-UHFFFAOYSA-N -1 1 320.312 1.955 20 0 DDADMM CSc1ccc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)s1 ZINC001192650212 745845813 /nfs/dbraw/zinc/84/58/13/745845813.db2.gz OQHCIOWYHCLXED-UHFFFAOYSA-N -1 1 323.359 1.751 20 0 DDADMM O=C([O-])COc1ccc(S(=O)(=O)Nc2cncc(O)c2)cc1 ZINC001192682753 745860851 /nfs/dbraw/zinc/86/08/51/745860851.db2.gz DLWYBGCFNSFZEC-UHFFFAOYSA-N -1 1 324.314 1.051 20 0 DDADMM CNC(=O)NC1CCN(C(=O)c2cc([O-])cc(F)c2F)CC1 ZINC001192665965 745869063 /nfs/dbraw/zinc/86/90/63/745869063.db2.gz ZDNKFPQCVQAQDT-UHFFFAOYSA-N -1 1 313.304 1.204 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cc(C)cc(O)c1 ZINC001193059016 745975937 /nfs/dbraw/zinc/97/59/37/745975937.db2.gz NIZODGFQOQFNKJ-UHFFFAOYSA-N -1 1 301.364 1.784 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cnnn1-c1ccccc1 ZINC001193416014 746101199 /nfs/dbraw/zinc/10/11/99/746101199.db2.gz BFKYXGRZORGPFB-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM Cn1ccnc1S(=O)(=O)[N-][C@H](c1cccnc1)C(F)(F)F ZINC001193614230 746148968 /nfs/dbraw/zinc/14/89/68/746148968.db2.gz RSSBOIDMCMMNPF-SECBINFHSA-N -1 1 320.296 1.397 20 0 DDADMM CSc1nc(NC(=O)c2cn(C)c(C(C)=O)n2)cc(=O)[n-]1 ZINC001193826275 746209830 /nfs/dbraw/zinc/20/98/30/746209830.db2.gz SROXDXVQLPBNQW-UHFFFAOYSA-N -1 1 307.335 1.093 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(cc[nH]c2=O)c1 ZINC001193924181 746239504 /nfs/dbraw/zinc/23/95/04/746239504.db2.gz MJKQUEPIQFATHN-UHFFFAOYSA-N -1 1 315.354 1.911 20 0 DDADMM CCCOC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1[O-] ZINC001194124459 746281538 /nfs/dbraw/zinc/28/15/38/746281538.db2.gz FBQDDFDZDPYTGT-UHFFFAOYSA-N -1 1 302.352 1.601 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc(C(F)(F)F)o1 ZINC001194421921 746373478 /nfs/dbraw/zinc/37/34/78/746373478.db2.gz DJLWJABUHMSAPE-UHFFFAOYSA-N -1 1 315.184 1.986 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@@H](O)C1)c1ncccc1C(F)(F)F ZINC001194576631 746413034 /nfs/dbraw/zinc/41/30/34/746413034.db2.gz UIWKKEUTEUXLKX-JGVFFNPUSA-N -1 1 310.297 1.292 20 0 DDADMM CC(=O)c1nn(C)cc1[N-]S(=O)(=O)CC[Si](C)(C)C ZINC001195047368 746516296 /nfs/dbraw/zinc/51/62/96/746516296.db2.gz DNRUHELAVBPAIU-UHFFFAOYSA-N -1 1 303.460 1.703 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2cnn(C3CCOCC3)c2)n1 ZINC001195319930 746577100 /nfs/dbraw/zinc/57/71/00/746577100.db2.gz VNFYHZVRXCGNAE-UHFFFAOYSA-N -1 1 302.334 1.896 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccnc2ccc(OC)nc12 ZINC001195458659 746605906 /nfs/dbraw/zinc/60/59/06/746605906.db2.gz YFLVZZYRZSGTGX-UHFFFAOYSA-N -1 1 306.347 1.503 20 0 DDADMM Cc1c(NC(=O)c2c[nH]c(=S)[n-]c2=O)ccc2c1OCCO2 ZINC001196020378 746759130 /nfs/dbraw/zinc/75/91/30/746759130.db2.gz GEYVZKFZUIMMSP-UHFFFAOYSA-N -1 1 319.342 1.803 20 0 DDADMM COc1c(F)ccc([N-]S(=O)(=O)c2cncc(N)c2)c1F ZINC001212498494 746988749 /nfs/dbraw/zinc/98/87/49/746988749.db2.gz GVVDBDHXMIDUAK-UHFFFAOYSA-N -1 1 315.301 1.751 20 0 DDADMM CCc1cccnc1[N-]S(=O)(=O)CCCCC(=O)OC ZINC001196921895 746997519 /nfs/dbraw/zinc/99/75/19/746997519.db2.gz BQKXEHDQGLJCFL-UHFFFAOYSA-N -1 1 300.380 1.729 20 0 DDADMM C[C@@H](c1nc(-c2cccnc2)no1)[N@@H+]1CC[C@H](c2nn[nH]n2)C1 ZINC001197297093 747123624 /nfs/dbraw/zinc/12/36/24/747123624.db2.gz NPRALHLVSZPFRU-ONGXEEELSA-N -1 1 312.337 1.195 20 0 DDADMM Cc1csc(CN2CC(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001031627048 747246944 /nfs/dbraw/zinc/24/69/44/747246944.db2.gz BBPIMNZICDEQON-UHFFFAOYSA-N -1 1 318.402 1.414 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)Cc2ccccn2)cn1 ZINC001197784167 747264023 /nfs/dbraw/zinc/26/40/23/747264023.db2.gz SQDBCMTWTVVFSA-UHFFFAOYSA-N -1 1 307.331 1.205 20 0 DDADMM Cc1cnn2cc([N-]S(=O)(=O)Cc3ccccn3)cnc12 ZINC001197788678 747265031 /nfs/dbraw/zinc/26/50/31/747265031.db2.gz CONHZIULJREHRB-UHFFFAOYSA-N -1 1 303.347 1.375 20 0 DDADMM Cc1coc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)c1 ZINC001003739756 747429617 /nfs/dbraw/zinc/42/96/17/747429617.db2.gz TVYHIZFFXBHBAI-UHFFFAOYSA-N -1 1 315.329 1.191 20 0 DDADMM CC(=O)c1ccc(F)c([N-]S(=O)(=O)c2cnc(C)n2C)c1 ZINC001198399524 747478433 /nfs/dbraw/zinc/47/84/33/747478433.db2.gz DOFHUOBBPBLWML-UHFFFAOYSA-N -1 1 311.338 1.871 20 0 DDADMM CN(C)c1cncc(NS(=O)(=O)c2ccccc2C(=O)[O-])c1 ZINC001198740993 747585998 /nfs/dbraw/zinc/58/59/98/747585998.db2.gz MDRMIGHESFFMAC-UHFFFAOYSA-N -1 1 321.358 1.647 20 0 DDADMM COc1cc(C)c(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC001199704429 747957797 /nfs/dbraw/zinc/95/77/97/747957797.db2.gz QEEYKAYCABKPCF-UHFFFAOYSA-N -1 1 301.228 1.788 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(Cc2nccs2)C1 ZINC001033025085 747959673 /nfs/dbraw/zinc/95/96/73/747959673.db2.gz JEHBLXFTPGIXEB-NSHDSACASA-N -1 1 318.402 1.590 20 0 DDADMM COc1cc(-c2noc(-c3cn[n-]n3)n2)cc(OC)c1OC ZINC001212799186 749510667 /nfs/dbraw/zinc/51/06/67/749510667.db2.gz NGIVOOLQOPEYAC-UHFFFAOYSA-N -1 1 303.278 1.548 20 0 DDADMM O=C(N[C@@H]1CCCN(c2ncccn2)CC1)c1ncccc1[O-] ZINC001066744456 749868024 /nfs/dbraw/zinc/86/80/24/749868024.db2.gz XAKVETNASWYPLP-GFCCVEGCSA-N -1 1 313.361 1.366 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]1C[NH2+]Cc1ccccn1 ZINC001034915258 751049474 /nfs/dbraw/zinc/04/94/74/751049474.db2.gz UGFDMGACXPSXQE-CQSZACIVSA-N -1 1 312.373 1.577 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008066424 752529855 /nfs/dbraw/zinc/52/98/55/752529855.db2.gz BECLSMNRAAAYAZ-ZRVMKQEGSA-N -1 1 317.389 1.720 20 0 DDADMM Cc1nocc1CN1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001007178598 753291089 /nfs/dbraw/zinc/29/10/89/753291089.db2.gz SYOAUOQDWLZYEN-ZDUSSCGKSA-N -1 1 316.361 1.478 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005956038 753416809 /nfs/dbraw/zinc/41/68/09/753416809.db2.gz HODCJLDGJISADF-MFKMUULPSA-N -1 1 323.368 1.358 20 0 DDADMM COc1ccnc(-c2noc(-c3cc(C(C)=O)[n-]n3)n2)c1F ZINC001212431615 753493391 /nfs/dbraw/zinc/49/33/91/753493391.db2.gz SPWOJAHADIIHMY-UHFFFAOYSA-N -1 1 303.253 1.872 20 0 DDADMM COc1ccnc(-c2noc(-c3cc(C(C)=O)n[n-]3)n2)c1F ZINC001212431615 753493396 /nfs/dbraw/zinc/49/33/96/753493396.db2.gz SPWOJAHADIIHMY-UHFFFAOYSA-N -1 1 303.253 1.872 20 0 DDADMM O=C(N[C@@H]1CCN(CC(F)(F)C(F)F)C1)c1ncccc1[O-] ZINC001010729331 754128115 /nfs/dbraw/zinc/12/81/15/754128115.db2.gz AWICKSMGFGTWOY-MRVPVSSYSA-N -1 1 321.274 1.492 20 0 DDADMM C[C@@H](CC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001011035856 754318927 /nfs/dbraw/zinc/31/89/27/754318927.db2.gz IENMVUCMNJAPDE-LBPRGKRZSA-N -1 1 317.389 1.508 20 0 DDADMM CCC1(C(=O)NCC2(NC(=O)c3ncccc3[O-])CCC2)CC1 ZINC001064409292 754659096 /nfs/dbraw/zinc/65/90/96/754659096.db2.gz JMTAMDJWPDUMDM-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2cc[nH]c2)CCN1C(=O)c1ncccc1[O-] ZINC001011676622 754671438 /nfs/dbraw/zinc/67/14/38/754671438.db2.gz MZCXSDUOVXFFJY-CMPLNLGQSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ccoc1 ZINC001012291908 754978950 /nfs/dbraw/zinc/97/89/50/754978950.db2.gz FWGUSNOQUPNGFM-PWSUYJOCSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C(C)(F)F)CN1C(=O)c1ncccc1[O-] ZINC001012507893 755113788 /nfs/dbraw/zinc/11/37/88/755113788.db2.gz XAAVKEYPOYWPBY-IUCAKERBSA-N -1 1 313.304 1.162 20 0 DDADMM CCN(C(=O)C(C)(C)F)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079197103 755165100 /nfs/dbraw/zinc/16/51/00/755165100.db2.gz SRGJELCOJBVAPD-UHFFFAOYSA-N -1 1 309.341 1.208 20 0 DDADMM Cc1ccc(N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)nn1 ZINC001067076741 755707354 /nfs/dbraw/zinc/70/73/54/755707354.db2.gz UJEZXWVVGGBBJF-CMPLNLGQSA-N -1 1 313.361 1.458 20 0 DDADMM CC(C)CC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001014894988 756005123 /nfs/dbraw/zinc/00/51/23/756005123.db2.gz RQPGWTIXFCYITG-STQMWFEESA-N -1 1 319.405 1.943 20 0 DDADMM CCOC(=O)C1(Nc2cnc(C(=O)[O-])c(C)c2)CCN(C)CC1 ZINC001169496067 762461872 /nfs/dbraw/zinc/46/18/72/762461872.db2.gz QWMAKQRISBSQTP-UHFFFAOYSA-N -1 1 321.377 1.528 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)NCC1=CC[N@H+](C/C=C/Cl)CC1 ZINC001001069330 762521007 /nfs/dbraw/zinc/52/10/07/762521007.db2.gz KZXBWLHGGNQNGC-GORDUTHDSA-N -1 1 322.796 1.605 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])Nc1cnc2ccccc2n1 ZINC001097764458 757508529 /nfs/dbraw/zinc/50/85/29/757508529.db2.gz HFNWFJWQAWSBIB-NSHDSACASA-N -1 1 323.356 1.961 20 0 DDADMM CC[C@H](C)CN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232576 758288051 /nfs/dbraw/zinc/28/80/51/758288051.db2.gz SIJWPJJATDCTKE-ZDUSSCGKSA-N -1 1 319.405 1.360 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)C(C)(C)F)CN1C(=O)c1ncccc1[O-] ZINC001017915717 758386764 /nfs/dbraw/zinc/38/67/64/758386764.db2.gz KVEYIOKFAKEVCM-QWRGUYRKSA-N -1 1 323.368 1.645 20 0 DDADMM COC1(OC)CCOC[C@H]1NC(=O)c1c(F)ccc([O-])c1F ZINC000824278137 759165921 /nfs/dbraw/zinc/16/59/21/759165921.db2.gz MUSNYVBDGURIIX-SNVBAGLBSA-N -1 1 317.288 1.178 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085303919 759247298 /nfs/dbraw/zinc/24/72/98/759247298.db2.gz DEHRMSAQGJGKGM-IJLUTSLNSA-N -1 1 321.425 1.464 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085303920 759247425 /nfs/dbraw/zinc/24/74/25/759247425.db2.gz DEHRMSAQGJGKGM-QJPTWQEYSA-N -1 1 321.425 1.464 20 0 DDADMM O=C([C@@H](O)c1ccc(Cl)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000825535287 759249723 /nfs/dbraw/zinc/24/97/23/759249723.db2.gz DKKSMWUYTQPYLN-LBPRGKRZSA-N -1 1 321.768 1.293 20 0 DDADMM CC1(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CC=CC1 ZINC001085321146 759263941 /nfs/dbraw/zinc/26/39/41/759263941.db2.gz ICGSSLVEOONPQV-VXGBXAGGSA-N -1 1 319.409 1.384 20 0 DDADMM CCCC(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962002 759453118 /nfs/dbraw/zinc/45/31/18/759453118.db2.gz BFNKNOSFLCDIBT-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM C[C@@H]1CN(C(=O)CC2=CCCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054493452 759720509 /nfs/dbraw/zinc/72/05/09/759720509.db2.gz YUSZNQJONLRINP-DGCLKSJQSA-N -1 1 319.409 1.337 20 0 DDADMM CC[C@H](F)C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019284597 759763198 /nfs/dbraw/zinc/76/31/98/759763198.db2.gz RWMTZDOXXUYANA-NSHDSACASA-N -1 1 321.352 1.210 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NCc1cscn1 ZINC001054604410 759878674 /nfs/dbraw/zinc/87/86/74/759878674.db2.gz JAIFOOLRBVWBBN-JQWIXIFHSA-N -1 1 318.402 1.494 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2[C@H](C)c2ccccc2)c1[O-] ZINC001038161063 760870369 /nfs/dbraw/zinc/87/03/69/760870369.db2.gz KWKUIMAVICUFNG-OCCSQVGLSA-N -1 1 314.389 1.989 20 0 DDADMM O=C(CC1CCC1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001536840 762944472 /nfs/dbraw/zinc/94/44/72/762944472.db2.gz LYEZUOSRRQACSX-UHFFFAOYSA-N -1 1 303.362 1.166 20 0 DDADMM Cc1ccnc(N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001057623999 764157315 /nfs/dbraw/zinc/15/73/15/764157315.db2.gz RRFQNBDBMVIBBW-LBPRGKRZSA-N -1 1 313.361 1.602 20 0 DDADMM Cc1ccc(N[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])nn1 ZINC001112983572 765082643 /nfs/dbraw/zinc/08/26/43/765082643.db2.gz NIMQEORGXJJNGL-QWRGUYRKSA-N -1 1 301.350 1.505 20 0 DDADMM CCCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052421074 765807868 /nfs/dbraw/zinc/80/78/68/765807868.db2.gz IKBWSAPJCRTIRE-NWDGAFQWSA-N -1 1 305.378 1.412 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H](O)c1cccc(F)c1 ZINC001137406837 766099738 /nfs/dbraw/zinc/09/97/38/766099738.db2.gz QSEMEGMTNDRYMQ-SNVBAGLBSA-N -1 1 323.349 1.382 20 0 DDADMM CC1(C)CCC[C@@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045160004 766124792 /nfs/dbraw/zinc/12/47/92/766124792.db2.gz ICONCWAAFXVRNS-NWDGAFQWSA-N -1 1 321.425 1.417 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccnc(C(F)(F)F)n3)c2[nH]1 ZINC001170212868 766172475 /nfs/dbraw/zinc/17/24/75/766172475.db2.gz FDWSKCUBDZIVFK-UHFFFAOYSA-N -1 1 313.199 1.268 20 0 DDADMM CC(C)Oc1cnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cn1 ZINC001170218165 766176361 /nfs/dbraw/zinc/17/63/61/766176361.db2.gz GVEOPXAPLWBDGA-UHFFFAOYSA-N -1 1 303.282 1.037 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cncc(Br)n3)c2[nH]1 ZINC001170218549 766177752 /nfs/dbraw/zinc/17/77/52/766177752.db2.gz YDABWKJOBYPKHY-UHFFFAOYSA-N -1 1 324.098 1.012 20 0 DDADMM COC(=O)c1cc(C)cnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170228531 766202615 /nfs/dbraw/zinc/20/26/15/766202615.db2.gz GXZQHTPAJLODKU-UHFFFAOYSA-N -1 1 300.278 1.292 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCCc2sccc2C1 ZINC001121673910 782621154 /nfs/dbraw/zinc/62/11/54/782621154.db2.gz QNNNYMOVNJPFPC-UHFFFAOYSA-N -1 1 316.394 1.492 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCCc2sccc2C1 ZINC001121673910 782621157 /nfs/dbraw/zinc/62/11/57/782621157.db2.gz QNNNYMOVNJPFPC-UHFFFAOYSA-N -1 1 316.394 1.492 20 0 DDADMM CN(C(=O)c1csc(-c2ccc(F)c(F)c2)n1)c1nn[n-]n1 ZINC001130971860 767823511 /nfs/dbraw/zinc/82/35/11/767823511.db2.gz HAELBYXZNBCLTE-UHFFFAOYSA-N -1 1 322.300 1.878 20 0 DDADMM CC(C)=C(F)C(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952808 770337164 /nfs/dbraw/zinc/33/71/64/770337164.db2.gz QYIRPKYFOLAYQO-MNOVXSKESA-N -1 1 321.352 1.627 20 0 DDADMM CCCC(=O)C(=O)N(C(=S)[N-]C(=O)OC)c1ccccc1N ZINC001144041308 772378793 /nfs/dbraw/zinc/37/87/93/772378793.db2.gz YHCRAFVVVCJDLW-UHFFFAOYSA-N -1 1 323.374 1.612 20 0 DDADMM CCCCCC[C@H](F)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001147797420 773249939 /nfs/dbraw/zinc/24/99/39/773249939.db2.gz VYYCAERTRREMIT-JTQLQIEISA-N -1 1 301.366 1.025 20 0 DDADMM C[C@H](NC(=O)c1cnncc1[O-])c1cccc(-n2cccn2)c1 ZINC001148068001 773335897 /nfs/dbraw/zinc/33/58/97/773335897.db2.gz FZYMTIWUZUXNRM-NSHDSACASA-N -1 1 309.329 1.859 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccccc2C(=O)OC)n1 ZINC001148225972 773402948 /nfs/dbraw/zinc/40/29/48/773402948.db2.gz ZOCRVYSOINRCTA-UHFFFAOYSA-N -1 1 317.301 1.625 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc2c(c1)C(=O)c1ccccc1-2 ZINC001148947236 773643092 /nfs/dbraw/zinc/64/30/92/773643092.db2.gz KTCZODSKFKBEMR-UHFFFAOYSA-N -1 1 305.297 1.341 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc2cccnc2c1[O-])C(N)=O ZINC001149330365 773661300 /nfs/dbraw/zinc/66/13/00/773661300.db2.gz XRZWGHJYKASTJU-NSHDSACASA-N -1 1 319.386 1.277 20 0 DDADMM O=C(NC[C@H]1C[C@@H](O)C1)c1cc([O-])c(Br)c(O)c1 ZINC001148990229 773666487 /nfs/dbraw/zinc/66/64/87/773666487.db2.gz QTYRDGFSCKZMGA-WRXNHJIOSA-N -1 1 316.151 1.361 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2OCCN(CC3CC3)[C@H]2C1 ZINC001074218979 773741441 /nfs/dbraw/zinc/74/14/41/773741441.db2.gz IKXOBIAHWNRMIO-DZGCQCFKSA-N -1 1 317.389 1.113 20 0 DDADMM CSCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001074797965 774146248 /nfs/dbraw/zinc/14/62/48/774146248.db2.gz XBUYPYZUGFJZEF-MNOVXSKESA-N -1 1 323.418 1.260 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])Nc1nccnc1F ZINC001099104870 774835009 /nfs/dbraw/zinc/83/50/09/774835009.db2.gz KPNOZHRSUGZRCO-VIFPVBQESA-N -1 1 305.313 1.337 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])c1ccnc(C2CC2)n1 ZINC001100071138 775646774 /nfs/dbraw/zinc/64/67/74/775646774.db2.gz VSJXUWBSFLEUSI-UHFFFAOYSA-N -1 1 313.361 1.321 20 0 DDADMM CCCc1nsc(NCCCNC(=O)c2ncccc2[O-])n1 ZINC001094375677 775821208 /nfs/dbraw/zinc/82/12/08/775821208.db2.gz LGGSIZSCUHTWCG-UHFFFAOYSA-N -1 1 321.406 1.823 20 0 DDADMM O=C(Cn1nnnc1-c1ccsc1)Nc1cccc(F)c1[O-] ZINC001171636347 776405608 /nfs/dbraw/zinc/40/56/08/776405608.db2.gz HODYWLSZPIPBFP-UHFFFAOYSA-N -1 1 319.321 1.885 20 0 DDADMM COC(=O)CCCC[C@@H](C)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001173441624 777179630 /nfs/dbraw/zinc/17/96/30/777179630.db2.gz TURPFQPTDRTTSN-SSDOTTSWSA-N -1 1 309.326 1.250 20 0 DDADMM CN(C)C(=O)c1ccc(Nc2cc(=O)[n-]c(N(C)C)n2)cc1 ZINC001174004285 777393032 /nfs/dbraw/zinc/39/30/32/777393032.db2.gz FVPYOMVFXQFPNX-UHFFFAOYSA-N -1 1 301.350 1.694 20 0 DDADMM Cn1cnc2ccc([N-]S(=O)(=O)c3cc(N)ccc3N)cc21 ZINC001174349465 777462806 /nfs/dbraw/zinc/46/28/06/777462806.db2.gz KPUWAZAHFIUJFQ-UHFFFAOYSA-N -1 1 317.374 1.539 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2ccc3ncnn3c2)cc1Cl ZINC001174373824 777469424 /nfs/dbraw/zinc/46/94/24/777469424.db2.gz MLMNLKUKFJRHRI-UHFFFAOYSA-N -1 1 323.765 1.766 20 0 DDADMM Nc1cncc(S(=O)(=O)Nc2ccc(CC(=O)[O-])cc2)c1 ZINC001174949933 777645724 /nfs/dbraw/zinc/64/57/24/777645724.db2.gz LSZVCRCPDFLEPR-UHFFFAOYSA-N -1 1 307.331 1.092 20 0 DDADMM CCNC(=O)c1ccc([N-]c2nonc2C(=O)OCC)cc1 ZINC001175630278 777850929 /nfs/dbraw/zinc/85/09/29/777850929.db2.gz WSSRZUNOULGEPL-UHFFFAOYSA-N -1 1 304.306 1.740 20 0 DDADMM C[C@@H](C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C(C)(C)C ZINC001102091990 777869877 /nfs/dbraw/zinc/86/98/77/777869877.db2.gz CNBVPOJHWLBQSN-GRYCIOLGSA-N -1 1 323.441 1.377 20 0 DDADMM CCC(C)(C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102298932 778030819 /nfs/dbraw/zinc/03/08/19/778030819.db2.gz DJCCSSJDVZIBCY-GHMZBOCLSA-N -1 1 309.414 1.131 20 0 DDADMM COc1nonc1[N-]c1cccc(S(=O)(=O)NC2CC2)c1 ZINC001176101206 778046146 /nfs/dbraw/zinc/04/61/46/778046146.db2.gz WYKJUJOXAZAGFS-UHFFFAOYSA-N -1 1 310.335 1.263 20 0 DDADMM O=C(Cc1nn[n-]n1)N1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001176842489 778271543 /nfs/dbraw/zinc/27/15/43/778271543.db2.gz KNBLVCFWDVKKKG-NSHDSACASA-N -1 1 307.304 1.394 20 0 DDADMM CCOC(=O)c1cc2cc[nH]c2cc1NC(=O)Cc1nn[n-]n1 ZINC001176843011 778272559 /nfs/dbraw/zinc/27/25/59/778272559.db2.gz YRWMLYYUUSHPOL-UHFFFAOYSA-N -1 1 314.305 1.039 20 0 DDADMM COC[C@H](NC(=O)Cc1c(C)[nH]c2ccccc21)c1nn[n-]n1 ZINC001177822676 778730247 /nfs/dbraw/zinc/73/02/47/778730247.db2.gz FTMAHGYQSLXETP-ZDUSSCGKSA-N -1 1 314.349 1.036 20 0 DDADMM COc1ccc(CC(=O)Nc2cc(=O)[n-]c(SC)n2)cn1 ZINC001177877198 778788765 /nfs/dbraw/zinc/78/87/65/778788765.db2.gz KIVRUWLNBIOZSP-UHFFFAOYSA-N -1 1 306.347 1.489 20 0 DDADMM COc1cnc(F)c(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC001178424078 779050787 /nfs/dbraw/zinc/05/07/87/779050787.db2.gz KOLVGFMLOAQCCI-UHFFFAOYSA-N -1 1 319.218 1.547 20 0 DDADMM Cn1cc(C(=O)Nc2cc(F)c([O-])cc2Cl)c(=O)[nH]c1=O ZINC001179655152 779437032 /nfs/dbraw/zinc/43/70/32/779437032.db2.gz DZZUVXGXDINXTG-UHFFFAOYSA-N -1 1 313.672 1.236 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)[C@H](F)c1ccccc1 ZINC001180151696 779644615 /nfs/dbraw/zinc/64/46/15/779644615.db2.gz SURQGRKYEIBOHS-SSDOTTSWSA-N -1 1 303.253 1.365 20 0 DDADMM NCc1ccc2c(n1)N(C(=O)C1(C(=O)[O-])CCCCC1)CCC2 ZINC001180438154 779761840 /nfs/dbraw/zinc/76/18/40/779761840.db2.gz DUBDLKOYSWIJTQ-UHFFFAOYSA-N -1 1 317.389 1.855 20 0 DDADMM CCc1nsc(N[C@@H](C)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001115719542 780375531 /nfs/dbraw/zinc/37/55/31/780375531.db2.gz STLHMMWOQDLDPD-VIFPVBQESA-N -1 1 321.406 1.774 20 0 DDADMM CCc1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)nn1C ZINC001116524597 780518565 /nfs/dbraw/zinc/51/85/65/780518565.db2.gz HTZGEYOUOOHNSE-UHFFFAOYSA-N -1 1 315.329 1.096 20 0 DDADMM O=C([O-])C1(C(=O)N2CCC[C@H](N3CCOCC3)CC2)CC=CC1 ZINC001118575092 781163252 /nfs/dbraw/zinc/16/32/52/781163252.db2.gz QXKVXHMSGOXOLW-AWEZNQCLSA-N -1 1 322.405 1.121 20 0 DDADMM Cn1cc(CN[C@H]2C=C[C@H](C(=O)[O-])C2)c(Br)n1 ZINC001118920974 781272367 /nfs/dbraw/zinc/27/23/67/781272367.db2.gz ZJKZLVNTUCBXEG-CBAPKCEASA-N -1 1 300.156 1.302 20 0 DDADMM O=C([O-])[C@@H](CO)NCc1cc(Br)cnc1Cl ZINC001119549346 781507725 /nfs/dbraw/zinc/50/77/25/781507725.db2.gz HXDAACCLNBWFEF-SSDOTTSWSA-N -1 1 309.547 1.033 20 0 DDADMM O=C([O-])Cc1cccc(C(=O)NCCCCN2CCOCC2)c1 ZINC001119648593 781547827 /nfs/dbraw/zinc/54/78/27/781547827.db2.gz GSWAPQRSLUWCIR-UHFFFAOYSA-N -1 1 320.389 1.156 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2cccc(C)n2)c1 ZINC001414198345 839664878 /nfs/dbraw/zinc/66/48/78/839664878.db2.gz RDVTZJNGQXGLQI-UHFFFAOYSA-N -1 1 308.359 1.583 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)CCNCc2cscn2)c1[O-] ZINC001268360074 840056050 /nfs/dbraw/zinc/05/60/50/840056050.db2.gz MMQZLJWPBYJUHV-MRVPVSSYSA-N -1 1 309.395 1.178 20 0 DDADMM Cc1oncc1CNC/C=C/CNC(=O)c1ncccc1O ZINC001268532030 840399254 /nfs/dbraw/zinc/39/92/54/840399254.db2.gz CJHAKBXJILREGJ-NSCUHMNNSA-N -1 1 302.334 1.159 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1ccc(C(F)F)o1)C(N)=O ZINC001414204128 840454435 /nfs/dbraw/zinc/45/44/35/840454435.db2.gz YZHRJHQLQRXEKI-UHFFFAOYSA-N -1 1 310.322 1.540 20 0 DDADMM COC(=O)CCC(C)(C)NC(=O)c1cnc(SC)[n-]c1=O ZINC001361791707 840519534 /nfs/dbraw/zinc/51/95/34/840519534.db2.gz ALUSPMVRYPMWKA-UHFFFAOYSA-N -1 1 313.379 1.366 20 0 DDADMM CN1C[C@@]2(CCN(C(=O)c3cc(Cl)ccc3[O-])C2)OCC1=O ZINC001269688152 841942503 /nfs/dbraw/zinc/94/25/03/841942503.db2.gz ZYJXQXOOLYSOQY-OAHLLOKOSA-N -1 1 324.764 1.119 20 0 DDADMM CC(C)(C)NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1ccc([O-])cn1)C2 ZINC001270138939 842326543 /nfs/dbraw/zinc/32/65/43/842326543.db2.gz NCRDYPVJCCGVST-QLJPJBMISA-N -1 1 303.362 1.164 20 0 DDADMM CCC[C@@H](C)[C@@H](CO)[N-]S(=O)(=O)c1csnc1OC ZINC001364015552 842946045 /nfs/dbraw/zinc/94/60/45/842946045.db2.gz NQUKXQDAWSHBJP-RKDXNWHRSA-N -1 1 308.425 1.227 20 0 DDADMM CCCC[C@](C)(F)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001409045084 843309564 /nfs/dbraw/zinc/30/95/64/843309564.db2.gz VDQSBLJFDQSBBW-INIZCTEOSA-N -1 1 323.368 1.646 20 0 DDADMM COC(=O)C(F)(F)C[N-]S(=O)(=O)c1coc2ccccc21 ZINC001414358305 844832960 /nfs/dbraw/zinc/83/29/60/844832960.db2.gz ZSDIQBQWFBCBFH-UHFFFAOYSA-N -1 1 319.285 1.519 20 0 DDADMM CN1CCC2(CCN(C(=O)c3cc([O-])cc(F)c3F)CC2)C1=O ZINC001272251394 844899735 /nfs/dbraw/zinc/89/97/35/844899735.db2.gz ZNVOMFKUKBHPCQ-UHFFFAOYSA-N -1 1 324.327 1.755 20 0 DDADMM Cc1nc([C@@H](C)N(C)[C@H](C)CNC(=O)c2ncccc2[O-])no1 ZINC001409538646 845270936 /nfs/dbraw/zinc/27/09/36/845270936.db2.gz LSWDQHBMFBSONF-NXEZZACHSA-N -1 1 319.365 1.290 20 0 DDADMM CN1CC[C@]2(CCN(C(=O)c3ccc(Cl)cc3[O-])C2)C1=O ZINC001272264839 845468224 /nfs/dbraw/zinc/46/82/24/845468224.db2.gz JZUXLKPIRIQUPO-OAHLLOKOSA-N -1 1 308.765 1.740 20 0 DDADMM CCCC(=O)N[C@H](CNC(=O)c1ncccc1[O-])CC(C)C ZINC001409769039 845688668 /nfs/dbraw/zinc/68/86/68/845688668.db2.gz GBBLSBOMENGDAO-LBPRGKRZSA-N -1 1 307.394 1.848 20 0 DDADMM NC(=O)CC1(C[N-]S(=O)(=O)c2ccns2)CCCCC1 ZINC001365446509 846239087 /nfs/dbraw/zinc/23/90/87/846239087.db2.gz NFYSFFGNUGFFIE-UHFFFAOYSA-N -1 1 317.436 1.247 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001149501516 861662006 /nfs/dbraw/zinc/66/20/06/861662006.db2.gz VOBAQLNFTXHKSK-SCOAYWHSSA-N -1 1 321.340 1.824 20 0 DDADMM COC[C@H](NC(=O)C(C)=Cc1ccccc1Cl)c1nn[n-]n1 ZINC001155068392 861701066 /nfs/dbraw/zinc/70/10/66/861701066.db2.gz HJSJHPFQRJTGSA-CRALRDPISA-N -1 1 321.768 1.760 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(Cl)c2occc21)c1nn[n-]n1 ZINC001155075586 861703853 /nfs/dbraw/zinc/70/38/53/861703853.db2.gz DCHGKHRERHQMFQ-SNVBAGLBSA-N -1 1 321.724 1.717 20 0 DDADMM [O-]c1c(F)cc(CN2CCN(CCCO)CC2)cc1Cl ZINC001237984988 846718803 /nfs/dbraw/zinc/71/88/03/846718803.db2.gz TXUKSYYZOQCOBO-UHFFFAOYSA-N -1 1 302.777 1.685 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CC[C@H](CO)[C@@H](O)C1 ZINC001149650340 861782617 /nfs/dbraw/zinc/78/26/17/861782617.db2.gz LULPGOVSCCKYPL-BZNIZROVSA-N -1 1 317.341 1.066 20 0 DDADMM O=C(c1ccncc1[O-])N1CC[C@H]2CN(Cc3ccc[nH]3)C[C@H]21 ZINC001272764911 847394041 /nfs/dbraw/zinc/39/40/41/847394041.db2.gz DFIQQNUNBNJSKC-SWLSCSKDSA-N -1 1 312.373 1.462 20 0 DDADMM O=C1COC2(CN(Cc3ccncc3[O-])C2)CN1C1CCCC1 ZINC001273079307 847878047 /nfs/dbraw/zinc/87/80/47/847878047.db2.gz HEBIXKMEACFIFS-UHFFFAOYSA-N -1 1 317.389 1.143 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CC[C@@H](C2CC2)O1)C(C)C ZINC001364734567 847957380 /nfs/dbraw/zinc/95/73/80/847957380.db2.gz AABOBLULXOEPBD-AGIUHOORSA-N -1 1 319.423 1.061 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCC[C@](O)(CF)CC1 ZINC001155261853 861906600 /nfs/dbraw/zinc/90/66/00/861906600.db2.gz ZBCGBDZBQLENSN-OAHLLOKOSA-N -1 1 307.325 1.595 20 0 DDADMM O=C(NCc1cn(CC2CC2)cn1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273289 861923800 /nfs/dbraw/zinc/92/38/00/861923800.db2.gz LRCKBXSDBYIYOC-UHFFFAOYSA-N -1 1 311.345 1.805 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC(C)(C)C1 ZINC001434566525 848721694 /nfs/dbraw/zinc/72/16/94/848721694.db2.gz KBSJONUHUPHLGU-SECBINFHSA-N -1 1 315.395 1.443 20 0 DDADMM Cc1cnc(-c2noc(Cc3nnn[n-]3)n2)c(Br)c1 ZINC001247138794 850802856 /nfs/dbraw/zinc/80/28/56/850802856.db2.gz AAHWRJSASVHYPU-UHFFFAOYSA-N -1 1 322.126 1.306 20 0 DDADMM Cc1cnc(-c2noc(Cc3nn[n-]n3)n2)c(Br)c1 ZINC001247138794 850802860 /nfs/dbraw/zinc/80/28/60/850802860.db2.gz AAHWRJSASVHYPU-UHFFFAOYSA-N -1 1 322.126 1.306 20 0 DDADMM CN1C[C@@]2(CCN(Cc3cc(F)c([O-])cc3F)C2)OCC1=O ZINC001273679489 851218508 /nfs/dbraw/zinc/21/85/08/851218508.db2.gz LQKNKUGEHAXPHF-OAHLLOKOSA-N -1 1 312.316 1.104 20 0 DDADMM O=C([O-])c1cn(C2CCN(CCOCC(F)(F)F)CC2)nn1 ZINC001327500496 862219460 /nfs/dbraw/zinc/21/94/60/862219460.db2.gz YLSANZPWLSDFGA-UHFFFAOYSA-N -1 1 322.287 1.192 20 0 DDADMM COc1cc(C[NH2+]C/C=C\CNC(=O)c2ccccc2O)on1 ZINC001273860280 851431232 /nfs/dbraw/zinc/43/12/32/851431232.db2.gz DPYOBIDZUDFPNT-PLNGDYQASA-N -1 1 317.345 1.465 20 0 DDADMM O=C(NC12CC(C(=O)N3CC=CC3)(C1)C2)c1cc(F)ccc1[O-] ZINC001275360625 853014715 /nfs/dbraw/zinc/01/47/15/853014715.db2.gz DOHNANPPUCXICA-UHFFFAOYSA-N -1 1 316.332 1.582 20 0 DDADMM CN(C)c1cnc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cn1 ZINC001411447164 853125041 /nfs/dbraw/zinc/12/50/41/853125041.db2.gz ZHGWVZXNQWAAJU-UHFFFAOYSA-N -1 1 314.349 1.169 20 0 DDADMM CN(C)C(=O)C12CC(NC(=O)c3c(F)ccc([O-])c3F)(C1)C2 ZINC001275611797 853431417 /nfs/dbraw/zinc/43/14/17/853431417.db2.gz KHZZCUYYLQVNHX-UHFFFAOYSA-N -1 1 310.300 1.411 20 0 DDADMM CCc1nc([C@@H]2CCCCN2C(=O)c2cnncc2[O-])no1 ZINC001411798884 853753744 /nfs/dbraw/zinc/75/37/44/853753744.db2.gz JAMOGKHOOYCFIN-JTQLQIEISA-N -1 1 303.322 1.495 20 0 DDADMM CC(C)(C)c1nnc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC001411941583 854005387 /nfs/dbraw/zinc/00/53/87/854005387.db2.gz ZMAYGTBAXXWGNK-MRVPVSSYSA-N -1 1 321.410 1.369 20 0 DDADMM COCCOCN1CCC12CN(C(=O)c1ccc([O-])cc1F)C2 ZINC001275975569 854055427 /nfs/dbraw/zinc/05/54/27/854055427.db2.gz TYDLAQWIHJDGFC-UHFFFAOYSA-N -1 1 324.352 1.052 20 0 DDADMM COC(=O)CN(CCc1ccccc1)C(=O)c1ccc([O-])cn1 ZINC001412001603 854097101 /nfs/dbraw/zinc/09/71/01/854097101.db2.gz CUOHYGNMZTZARE-UHFFFAOYSA-N -1 1 314.341 1.645 20 0 DDADMM CC(C)c1nc([C@H]2COCCN2C(=O)c2ccc([O-])cn2)no1 ZINC001412020541 854116957 /nfs/dbraw/zinc/11/69/57/854116957.db2.gz PAXXSPZDPVESDY-GFCCVEGCSA-N -1 1 318.333 1.507 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001412173102 854294873 /nfs/dbraw/zinc/29/48/73/854294873.db2.gz IIZVRJOYWASAJQ-SECBINFHSA-N -1 1 301.339 1.038 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CNC(=O)Nc1cccc(C)c1 ZINC001412186555 854313744 /nfs/dbraw/zinc/31/37/44/854313744.db2.gz JYAMSBUSGCNJEZ-UHFFFAOYSA-N -1 1 303.322 1.492 20 0 DDADMM CCOC(=O)Cc1cnc(N(C)C(=O)c2ccc([O-])cn2)s1 ZINC001412232684 854361702 /nfs/dbraw/zinc/36/17/02/854361702.db2.gz GUUFYJQILGHZTJ-UHFFFAOYSA-N -1 1 321.358 1.626 20 0 DDADMM CSc1ncc(C(=O)N2CC3(C[C@H]2C)CCOCC3)c(=O)[n-]1 ZINC001412265429 854391608 /nfs/dbraw/zinc/39/16/08/854391608.db2.gz FETTVYNWXGWLIP-SNVBAGLBSA-N -1 1 323.418 1.935 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@](C)(CC)OC)[n-]c1Cl ZINC001412303224 854423577 /nfs/dbraw/zinc/42/35/77/854423577.db2.gz SAGUYHFMERPGQS-GFCCVEGCSA-N -1 1 303.746 1.993 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cc(N2CCCC2=O)ccc1F ZINC001412435670 854547886 /nfs/dbraw/zinc/54/78/86/854547886.db2.gz QNNAFGHFDHNJGX-UHFFFAOYSA-N -1 1 318.312 1.037 20 0 DDADMM O=C(c1nc[n-]c(=O)c1Br)N1CCC[C@H](CF)C1 ZINC001412510740 854641392 /nfs/dbraw/zinc/64/13/92/854641392.db2.gz MUVUFCWQTRJJAQ-SSDOTTSWSA-N -1 1 318.146 1.766 20 0 DDADMM Cc1cccc(O[C@H](C)C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001412526112 854658556 /nfs/dbraw/zinc/65/85/56/854658556.db2.gz GZVXTYCSIKUTPF-CYBMUJFWSA-N -1 1 317.393 1.960 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)c1cc(O)cc2[nH]ccc21 ZINC001155966622 862599120 /nfs/dbraw/zinc/59/91/20/862599120.db2.gz RMPGGGHRBLKEAR-UHFFFAOYSA-N -1 1 312.262 1.561 20 0 DDADMM Cc1c(CS(C)(=O)=O)cccc1NC(=O)c1ccc([O-])cn1 ZINC001412577171 854746989 /nfs/dbraw/zinc/74/69/89/854746989.db2.gz WJAOAGWZAOBAPY-UHFFFAOYSA-N -1 1 320.370 1.893 20 0 DDADMM CC(C)NC(=O)N1C[C@@H]2CCN(Cc3ccc(C(=O)[O-])o3)[C@@H]2C1 ZINC001276165842 854867229 /nfs/dbraw/zinc/86/72/29/854867229.db2.gz ATHPBOJLARDHPJ-WCQYABFASA-N -1 1 321.377 1.602 20 0 DDADMM COc1c(Cl)ccc([O-])c1C(=O)N1CC2(C[C@@H]2C(N)=O)C1 ZINC001276167020 854867778 /nfs/dbraw/zinc/86/77/78/854867778.db2.gz WURQEVUYMVLYCX-SSDOTTSWSA-N -1 1 310.737 1.002 20 0 DDADMM CCc1cnccc1[C@@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001412754724 855154568 /nfs/dbraw/zinc/15/45/68/855154568.db2.gz YWZHFPYQLHZJHG-MRVPVSSYSA-N -1 1 318.333 1.345 20 0 DDADMM C[C@](O)(CNC(=O)CCCc1nn[n-]n1)c1ccccc1Cl ZINC001412799808 855282240 /nfs/dbraw/zinc/28/22/40/855282240.db2.gz XBTGIQCQYJJJDH-AWEZNQCLSA-N -1 1 323.784 1.200 20 0 DDADMM CNC(=O)[C@H](Cc1ccccc1)NC(=O)c1ccc([O-])c(F)c1 ZINC001412828727 855359357 /nfs/dbraw/zinc/35/93/57/855359357.db2.gz CQKVQGAFXZQLKD-AWEZNQCLSA-N -1 1 316.332 1.618 20 0 DDADMM Cn1nc([C@@H]2CCCOC2)cc1NC(=O)c1cnncc1[O-] ZINC001412916993 855782607 /nfs/dbraw/zinc/78/26/07/855782607.db2.gz OPPCZXHWMXGSDO-SECBINFHSA-N -1 1 303.322 1.062 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(F)c([O-])c2)C[C@H](C)S1(=O)=O ZINC001412929027 855792589 /nfs/dbraw/zinc/79/25/89/855792589.db2.gz BYHMVCIYARNLLP-ONGXEEELSA-N -1 1 315.366 1.569 20 0 DDADMM CCC(CC)(NC(=O)Cc1[nH]nc2c1CCCC2)c1nn[n-]n1 ZINC001412936321 855799993 /nfs/dbraw/zinc/79/99/93/855799993.db2.gz NUYXGWMTOMDGKZ-UHFFFAOYSA-N -1 1 317.397 1.176 20 0 DDADMM O=C1[C@@H]([N-]S(=O)(=O)c2ccns2)CCN1c1ccccc1 ZINC001413289247 856611768 /nfs/dbraw/zinc/61/17/68/856611768.db2.gz NXIFDKVBQHCJGI-NSHDSACASA-N -1 1 323.399 1.227 20 0 DDADMM O=C([N-]CCNc1ccc(-c2ccncc2)nn1)C(F)(F)F ZINC001156204745 862817004 /nfs/dbraw/zinc/81/70/04/862817004.db2.gz IJXGINKUEQESSC-UHFFFAOYSA-N -1 1 311.267 1.629 20 0 DDADMM CCC[C@@](C)(NC(=O)OC(C)(C)C)C(=O)N(C)c1nn[n-]n1 ZINC001413329030 856667753 /nfs/dbraw/zinc/66/77/53/856667753.db2.gz UGSJTYFXWJOQNS-CYBMUJFWSA-N -1 1 312.374 1.246 20 0 DDADMM CCOC(=O)[C@]1(C)CN(C(=O)c2ccc(F)c([O-])c2)CCO1 ZINC001413424829 856793954 /nfs/dbraw/zinc/79/39/54/856793954.db2.gz FAJPJIIAMMOSCW-HNNXBMFYSA-N -1 1 311.309 1.326 20 0 DDADMM COCCS(=O)(=O)[N-]c1nc(F)c(F)c(Cl)c1F ZINC001259966806 856810523 /nfs/dbraw/zinc/81/05/23/856810523.db2.gz XTMLDYABPOCXTG-UHFFFAOYSA-N -1 1 304.677 1.540 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cncc(C)c1)c1ccccc1 ZINC001413477507 856864828 /nfs/dbraw/zinc/86/48/28/856864828.db2.gz HBHKNSPGSXHEJJ-AWEZNQCLSA-N -1 1 320.370 1.583 20 0 DDADMM Cc1[nH]nc(CNC(=O)c2ccccc2[N-]S(C)(=O)=O)c1C ZINC001413520988 856925932 /nfs/dbraw/zinc/92/59/32/856925932.db2.gz QDMCJWVSROFRND-UHFFFAOYSA-N -1 1 322.390 1.328 20 0 DDADMM CSc1nc(CNC(=O)Nc2ccc(F)cn2)cc(=O)[n-]1 ZINC001413557989 857063249 /nfs/dbraw/zinc/06/32/49/857063249.db2.gz XTUIATFLWQWDMQ-UHFFFAOYSA-N -1 1 309.326 1.760 20 0 DDADMM C[C@@H](CC(N)=O)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001413697729 857368909 /nfs/dbraw/zinc/36/89/09/857368909.db2.gz FZFZEKRKGPAEEP-JTQLQIEISA-N -1 1 316.365 1.140 20 0 DDADMM O=C(c1ccc2oc(=O)nc-2[n-]1)N1CC2(C1)CCN(CCF)C2 ZINC001073124565 858121311 /nfs/dbraw/zinc/12/13/11/858121311.db2.gz SCWHZNMFVSDKHC-UHFFFAOYSA-N -1 1 320.324 1.046 20 0 DDADMM Cc1ccc2n[nH]cc2c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001151918993 863054749 /nfs/dbraw/zinc/05/47/49/863054749.db2.gz YLUYZSUBMIJPEM-JTQLQIEISA-N -1 1 311.349 1.404 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1CCC(C)(C)CO1 ZINC001123991811 859514275 /nfs/dbraw/zinc/51/42/75/859514275.db2.gz PBNASHAQUCBXEQ-VIFPVBQESA-N -1 1 311.407 1.714 20 0 DDADMM Cc1cncc(C=CC(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)c1 ZINC001123999510 859518996 /nfs/dbraw/zinc/51/89/96/859518996.db2.gz WMYYAEKYWMDPFT-ZRUQZJFASA-N -1 1 312.377 1.398 20 0 DDADMM Cc1cc(F)ncc1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123999297 859519474 /nfs/dbraw/zinc/51/94/74/859519474.db2.gz SZFXNVJOKAXNOA-JTQLQIEISA-N -1 1 304.329 1.137 20 0 DDADMM O=C(c1ccco1)[C@H](OCC[P@@](=O)([O-])O)c1ccco1 ZINC001224603599 881464328 /nfs/dbraw/zinc/46/43/28/881464328.db2.gz AIWPFHCLJUMKOL-GFCCVEGCSA-N -1 1 300.203 1.991 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H]2CCO[C@]3(CCOC3)C2)c1 ZINC001137888622 859988717 /nfs/dbraw/zinc/98/87/17/859988717.db2.gz BTTRJODPGGUEIB-CXAGYDPISA-N -1 1 319.357 1.581 20 0 DDADMM COc1cccc(CN2C[C@@H]3CCO[C@@H]3C2)c1OCC(=O)[O-] ZINC001139272150 860347203 /nfs/dbraw/zinc/34/72/03/860347203.db2.gz ZAWBBKNOBCNKQU-SMDDNHRTSA-N -1 1 307.346 1.379 20 0 DDADMM CCn1nnc2c1CCN(Cc1ccncc1[O-])[C@H]2COC ZINC001140273430 860605138 /nfs/dbraw/zinc/60/51/38/860605138.db2.gz KFWJJPUPEYNPGV-ZDUSSCGKSA-N -1 1 303.366 1.144 20 0 DDADMM COC(=O)C[C@H]1COCCN1Cc1cc(F)c([O-])c(F)c1 ZINC001140990691 860753610 /nfs/dbraw/zinc/75/36/10/860753610.db2.gz NFRSAIPXGVCOMU-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1nc(-c2cccs2)ns1 ZINC001141723512 860921365 /nfs/dbraw/zinc/92/13/65/860921365.db2.gz UZINRFKHOBWASN-UHFFFAOYSA-N -1 1 307.364 1.351 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1nnc2cnccn21 ZINC001152020796 863116745 /nfs/dbraw/zinc/11/67/45/863116745.db2.gz XAJBOFAZBIJFRQ-UHFFFAOYSA-N -1 1 321.300 1.268 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3scnc3C(C)C)nc2n1 ZINC001328697512 863156114 /nfs/dbraw/zinc/15/61/14/863156114.db2.gz LSTXLZJULMCXHO-UHFFFAOYSA-N -1 1 318.362 1.558 20 0 DDADMM O=C1[N-]OC[C@H]1Nc1ccc2c(n1)CCN(Cc1ccccc1)C2 ZINC001156691655 863264563 /nfs/dbraw/zinc/26/45/63/863264563.db2.gz UFIDASFZJZRIPB-MRXNPFEDSA-N -1 1 324.384 1.482 20 0 DDADMM COC(=O)c1[n-]cnc1NC(=O)C(F)(F)c1ncccc1C ZINC001361441232 881659245 /nfs/dbraw/zinc/65/92/45/881659245.db2.gz XNWDZHLSHMYBQN-UHFFFAOYSA-N -1 1 310.260 1.630 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc2c(=O)cc[nH]c2c1 ZINC001152558283 863429596 /nfs/dbraw/zinc/42/95/96/863429596.db2.gz VGIIQFMIBCNZAO-UHFFFAOYSA-N -1 1 323.234 1.917 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cnc2n[nH]cc2c1 ZINC001157085941 863562159 /nfs/dbraw/zinc/56/21/59/863562159.db2.gz UJIFYSQANYLVLT-UHFFFAOYSA-N -1 1 302.319 1.303 20 0 DDADMM COc1ccc2c(C(=O)N=c3ncnc4[nH][n-]c(C)c3-4)c[nH]c2n1 ZINC001157087237 863563145 /nfs/dbraw/zinc/56/31/45/863563145.db2.gz BBCIFXNGIHEBPO-UHFFFAOYSA-N -1 1 323.316 1.172 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCOC[C@H]2[C@H]2CCCO2)c1 ZINC001157427654 863812991 /nfs/dbraw/zinc/81/29/91/863812991.db2.gz HBYHCCFLIVGOHN-LSDHHAIUSA-N -1 1 319.357 1.533 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1scc2c1CCCC2 ZINC001153617117 863978916 /nfs/dbraw/zinc/97/89/16/863978916.db2.gz HQWZIRCBOCKPPM-UHFFFAOYSA-N -1 1 306.347 1.663 20 0 DDADMM O=C(Nc1noc2cnccc21)c1cnc2cccnc2c1[O-] ZINC001153852273 864158237 /nfs/dbraw/zinc/15/82/37/864158237.db2.gz ZSUHMKKIZUTGRV-UHFFFAOYSA-N -1 1 307.269 1.712 20 0 DDADMM COC(=O)CCCCCNC(=O)c1c[n-]c2cccnc2c1=O ZINC001153859897 864165992 /nfs/dbraw/zinc/16/59/92/864165992.db2.gz AMDRDQSTWJUDDI-UHFFFAOYSA-N -1 1 317.345 1.386 20 0 DDADMM O=c1nc2nc[nH]c2c(N[C@@H](F)Oc2ccc(Cl)nc2)[n-]1 ZINC001159198832 865161919 /nfs/dbraw/zinc/16/19/19/865161919.db2.gz ZOKWNZDIKRQMSQ-SNVBAGLBSA-N -1 1 310.676 1.851 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1C=CCC1 ZINC001332757696 866120650 /nfs/dbraw/zinc/12/06/50/866120650.db2.gz SWMDBOUBYZUUJQ-NEPJUHHUSA-N -1 1 321.425 1.487 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCN(C(=O)C3CC3)C2)c1 ZINC001162206742 867338467 /nfs/dbraw/zinc/33/84/67/867338467.db2.gz JGVOWIIOEKCZED-UHFFFAOYSA-N -1 1 302.330 1.165 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)COc2cccnc2)[n-]1 ZINC001361648978 882084818 /nfs/dbraw/zinc/08/48/18/882084818.db2.gz ODRMOKUMLKAJFN-UHFFFAOYSA-N -1 1 317.301 1.416 20 0 DDADMM C[C@@H]1CCN(C(=O)C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC001335171645 868111196 /nfs/dbraw/zinc/11/11/96/868111196.db2.gz FUSOUAGLDJHGDN-SECBINFHSA-N -1 1 312.316 1.198 20 0 DDADMM C/C(=C\C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001163615222 868566506 /nfs/dbraw/zinc/56/65/06/868566506.db2.gz QBVZSJGPSHTYRR-DHZHZOJOSA-N -1 1 319.409 1.243 20 0 DDADMM CC1(c2ccc(NCC[N-]C(=O)C(F)(F)F)cn2)OCCO1 ZINC001164251521 869060771 /nfs/dbraw/zinc/06/07/71/869060771.db2.gz VYHSNILLAHWOCZ-UHFFFAOYSA-N -1 1 319.283 1.392 20 0 DDADMM COCOc1ccc(OC)cc1NCC[N-]C(=O)C(F)(F)F ZINC001164251580 869061397 /nfs/dbraw/zinc/06/13/97/869061397.db2.gz YJDQKFONPIHMRF-UHFFFAOYSA-N -1 1 322.283 1.768 20 0 DDADMM COCc1nc(NCCc2nn(C)c3ccccc23)cc(=O)[n-]1 ZINC001336747802 869121714 /nfs/dbraw/zinc/12/17/14/869121714.db2.gz NFTZCMGIKWYZIE-UHFFFAOYSA-N -1 1 313.361 1.870 20 0 DDADMM CC(C)CNC(=O)[C@@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001361751028 882293839 /nfs/dbraw/zinc/29/38/39/882293839.db2.gz MUGVMLQBSYHDJE-LBPRGKRZSA-N -1 1 309.391 1.075 20 0 DDADMM CCO[C@H]1CCN(c2nnc(-c3cc(Cl)ncc3[O-])n2C)C1 ZINC001338181322 869855690 /nfs/dbraw/zinc/85/56/90/869855690.db2.gz IIQMRCYTASXHCN-VIFPVBQESA-N -1 1 323.784 1.851 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1cnn2ccccc12 ZINC001297666115 870131054 /nfs/dbraw/zinc/13/10/54/870131054.db2.gz IETJOUGRKJNCKZ-UHFFFAOYSA-N -1 1 315.358 1.357 20 0 DDADMM CN(Cc1ccccc1Cl)c1nnc(Cc2nnn[n-]2)n1C ZINC001338907130 870236339 /nfs/dbraw/zinc/23/63/39/870236339.db2.gz AQXUAAKFUUVULX-UHFFFAOYSA-N -1 1 318.772 1.209 20 0 DDADMM CN(Cc1ccccc1Cl)c1nnc(Cc2nn[n-]n2)n1C ZINC001338907130 870236349 /nfs/dbraw/zinc/23/63/49/870236349.db2.gz AQXUAAKFUUVULX-UHFFFAOYSA-N -1 1 318.772 1.209 20 0 DDADMM C[C@H](CCNC(=O)[C@@]1(C(=O)[O-])CNCCO1)CC(C)(C)C ZINC001340322904 871032867 /nfs/dbraw/zinc/03/28/67/871032867.db2.gz YXXIYOAYINEQLS-IAQYHMDHSA-N -1 1 300.399 1.008 20 0 DDADMM COC(=Cc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001301577754 871004763 /nfs/dbraw/zinc/00/47/63/871004763.db2.gz WTCKNGZUKXRJSA-XFFZJAGNSA-N -1 1 301.302 1.192 20 0 DDADMM Nc1ccc(F)cc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001301653006 871014309 /nfs/dbraw/zinc/01/43/09/871014309.db2.gz BHRQKDKLLOYXKW-ZDUSSCGKSA-N -1 1 312.308 1.440 20 0 DDADMM C[C@@H](CCNC(=O)[C@@]1(C(=O)[O-])CNCCO1)CC(C)(C)C ZINC001340322906 871034358 /nfs/dbraw/zinc/03/43/58/871034358.db2.gz YXXIYOAYINEQLS-XHDPSFHLSA-N -1 1 300.399 1.008 20 0 DDADMM O=C(c1cncnc1-c1ccccc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001302938564 871089800 /nfs/dbraw/zinc/08/98/00/871089800.db2.gz TTYFDVYRYKDDJB-GFCCVEGCSA-N -1 1 321.344 1.286 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1nc(Cl)ccc1F ZINC001303200929 871107988 /nfs/dbraw/zinc/10/79/88/871107988.db2.gz FPDCNRBYHAJGMM-UHFFFAOYSA-N -1 1 312.736 1.686 20 0 DDADMM CCc1c[nH]c(CNC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC001303594222 871144615 /nfs/dbraw/zinc/14/46/15/871144615.db2.gz SCGNDAZAXSOMIY-UHFFFAOYSA-N -1 1 302.363 1.234 20 0 DDADMM CN(C)c1nc(Nc2ccc(NS(C)(=O)=O)cc2)cc(=O)[n-]1 ZINC001204596264 871173110 /nfs/dbraw/zinc/17/31/10/871173110.db2.gz UFUHEIMHIPEDPM-UHFFFAOYSA-N -1 1 323.378 1.363 20 0 DDADMM CC[C@@H](C)C(=O)N(C)[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001381692116 882492744 /nfs/dbraw/zinc/49/27/44/882492744.db2.gz UCCWRMIKAQTJNJ-YPMHNXCESA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)(C)S(=O)(=O)CCNC(=O)c1cccc([O-])c1F ZINC001361860385 882518795 /nfs/dbraw/zinc/51/87/95/882518795.db2.gz BVDQXUYYLHOPFA-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N(C)Cc1cccs1 ZINC001341771090 871815638 /nfs/dbraw/zinc/81/56/38/871815638.db2.gz GYGWSUPMUZZNBI-UHFFFAOYSA-N -1 1 306.351 1.339 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CC(C2CC2)C2CC2)c1 ZINC001341871200 871870081 /nfs/dbraw/zinc/87/00/81/871870081.db2.gz XRXDACVNSAAKDN-UHFFFAOYSA-N -1 1 324.402 1.804 20 0 DDADMM CCc1ccc(CN(C)c2nnc(-c3nnn[n-]3)n2CC)cc1 ZINC001342591564 872283273 /nfs/dbraw/zinc/28/32/73/872283273.db2.gz OFJDJKOWZGDHMZ-UHFFFAOYSA-N -1 1 312.381 1.677 20 0 DDADMM CCc1ccc(CN(C)c2nnc(-c3nn[n-]n3)n2CC)cc1 ZINC001342591564 872283280 /nfs/dbraw/zinc/28/32/80/872283280.db2.gz OFJDJKOWZGDHMZ-UHFFFAOYSA-N -1 1 312.381 1.677 20 0 DDADMM CCn1c(-c2nc(Cl)n[n-]2)nnc1N(C)Cc1nccs1 ZINC001342593023 872284490 /nfs/dbraw/zinc/28/44/90/872284490.db2.gz XTWQHGKUGSIACP-UHFFFAOYSA-N -1 1 324.801 1.829 20 0 DDADMM Cc1ncc(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)cn1 ZINC001361905866 882615706 /nfs/dbraw/zinc/61/57/06/882615706.db2.gz QHDPKUXLWLFEHO-UHFFFAOYSA-N -1 1 303.322 1.716 20 0 DDADMM CCCN(CCn1cncn1)Cc1nc(=O)c2sccc2[n-]1 ZINC001319468373 872647973 /nfs/dbraw/zinc/64/79/73/872647973.db2.gz AFBCZPMKWBPHPW-UHFFFAOYSA-N -1 1 318.406 1.901 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CCCCN1Cc1ccncc1 ZINC001346165318 873631501 /nfs/dbraw/zinc/63/15/01/873631501.db2.gz NKNOQBDTCDSLPT-HNNXBMFYSA-N -1 1 307.394 1.520 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@H]([C@H]2CCCO2)C1 ZINC001347765496 874269032 /nfs/dbraw/zinc/26/90/32/874269032.db2.gz UKBLEEUFNIZXDZ-NXEZZACHSA-N -1 1 309.391 1.420 20 0 DDADMM CCOc1ccc(CCCC(=O)Nc2nnn[n-]2)cc1OCC ZINC001362008587 882811412 /nfs/dbraw/zinc/81/14/12/882811412.db2.gz QTWVAXFIJZDIPF-UHFFFAOYSA-N -1 1 319.365 1.959 20 0 DDADMM CCOc1ccc(CCCC(=O)Nc2nn[n-]n2)cc1OCC ZINC001362008587 882811430 /nfs/dbraw/zinc/81/14/30/882811430.db2.gz QTWVAXFIJZDIPF-UHFFFAOYSA-N -1 1 319.365 1.959 20 0 DDADMM O=C(c1sccc1-n1cccc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347974449 874325627 /nfs/dbraw/zinc/32/56/27/874325627.db2.gz KNVBIKRIWRCOKG-SNVBAGLBSA-N -1 1 314.374 1.682 20 0 DDADMM CCCC[C@@H](C)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001227191531 882902590 /nfs/dbraw/zinc/90/25/90/882902590.db2.gz BBYFKQQXUSIIGU-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM CC(=O)c1cc([N-]S(=O)(=O)c2ccc(F)cc2N)ccn1 ZINC001210596042 875451021 /nfs/dbraw/zinc/45/10/21/875451021.db2.gz VLFCTWDWPOLOTL-UHFFFAOYSA-N -1 1 309.322 1.228 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C1CCCCCC1 ZINC001378738494 875514837 /nfs/dbraw/zinc/51/48/37/875514837.db2.gz AFCANWOBPLWFTE-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM COc1ccc(N)cc1S(=O)(=O)[N-]c1cc(O)ccc1F ZINC001210802557 875545526 /nfs/dbraw/zinc/54/55/26/875545526.db2.gz PYGQUXFEKZMKJE-UHFFFAOYSA-N -1 1 312.322 1.923 20 0 DDADMM CC(C)CN(Cc1ccccc1)c1nnc(-c2nnn[n-]2)n1C ZINC001350432376 875685832 /nfs/dbraw/zinc/68/58/32/875685832.db2.gz ZNBOEXKAOZTINA-UHFFFAOYSA-N -1 1 312.381 1.658 20 0 DDADMM CC(C)CN(Cc1ccccc1)c1nnc(-c2nn[n-]n2)n1C ZINC001350432376 875685839 /nfs/dbraw/zinc/68/58/39/875685839.db2.gz ZNBOEXKAOZTINA-UHFFFAOYSA-N -1 1 312.381 1.658 20 0 DDADMM COc1cccc(OC)c1OCCCCOCc1nn[n-]n1 ZINC001350624077 875789816 /nfs/dbraw/zinc/78/98/16/875789816.db2.gz WGMYSWXFMGEAIM-UHFFFAOYSA-N -1 1 308.338 1.593 20 0 DDADMM CCCCC(=O)N1CCC[C@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001378932942 875936321 /nfs/dbraw/zinc/93/63/21/875936321.db2.gz ZGMUCCPZESSKLK-NWDGAFQWSA-N -1 1 309.414 1.417 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@H]1CCCC1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001378987258 876081926 /nfs/dbraw/zinc/08/19/26/876081926.db2.gz ZQRISZXCXVYKLT-NEPJUHHUSA-N -1 1 323.441 1.567 20 0 DDADMM CCCC[C@@H](CC)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001214191784 876205703 /nfs/dbraw/zinc/20/57/03/876205703.db2.gz YESFYCLEMSEHOR-JHJVBQTASA-N -1 1 323.441 1.663 20 0 DDADMM CCc1cccc(NC(=O)CNC(=O)c2cnncc2[O-])c1 ZINC001362091203 883013033 /nfs/dbraw/zinc/01/30/33/883013033.db2.gz TYECULUDBDETTJ-UHFFFAOYSA-N -1 1 300.318 1.113 20 0 DDADMM Nc1cc(F)ccc1S(=O)(=O)[N-]c1cccc(F)c1CO ZINC001214620544 876420497 /nfs/dbraw/zinc/42/04/97/876420497.db2.gz TYHUHOBWQRMIBW-UHFFFAOYSA-N -1 1 314.313 1.840 20 0 DDADMM COC(=O)CCN(Cc1ccccc1)C(=O)c1ccc([O-])cn1 ZINC001362101934 883039476 /nfs/dbraw/zinc/03/94/76/883039476.db2.gz ZGUIVJANIRNLCI-UHFFFAOYSA-N -1 1 314.341 1.993 20 0 DDADMM Cc1cc(CO)cc(C)c1[N-]S(=O)(=O)c1cc(N)ccc1N ZINC001214961386 876522928 /nfs/dbraw/zinc/52/29/28/876522928.db2.gz CTFUMXKNGDOGKD-UHFFFAOYSA-N -1 1 321.402 1.761 20 0 DDADMM COc1ccc2nc(N)n(-c3cnc(F)c(C(=O)[O-])c3)c2c1 ZINC001215102122 876564147 /nfs/dbraw/zinc/56/41/47/876564147.db2.gz ZXRFGXZBCCJBLR-UHFFFAOYSA-N -1 1 302.265 1.849 20 0 DDADMM Cc1ccc(F)cc1C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001379181165 876577853 /nfs/dbraw/zinc/57/78/53/876577853.db2.gz QMKKADSCEWUQCX-SNVBAGLBSA-N -1 1 321.356 1.208 20 0 DDADMM CCC[C@]1(CO)CCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001352198549 876644581 /nfs/dbraw/zinc/64/45/81/876644581.db2.gz LHCAKBNVVQQCHB-AWEZNQCLSA-N -1 1 311.407 1.404 20 0 DDADMM COc1cnc(F)cc1Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001215804709 876810222 /nfs/dbraw/zinc/81/02/22/876810222.db2.gz HMCLLQQTEYOHSF-UHFFFAOYSA-N -1 1 308.273 1.932 20 0 DDADMM COc1ncc(Nc2ncc(F)s2)cc1[N-]S(C)(=O)=O ZINC001216152098 876877520 /nfs/dbraw/zinc/87/75/20/876877520.db2.gz ODPCLANWZWPZOM-UHFFFAOYSA-N -1 1 318.355 1.801 20 0 DDADMM COc1cnc(F)c([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001216411172 876934821 /nfs/dbraw/zinc/93/48/21/876934821.db2.gz FNYPJNKLPWFRPS-UHFFFAOYSA-N -1 1 312.326 1.195 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc3cc[nH]c3cn2)o1 ZINC001300124785 876958800 /nfs/dbraw/zinc/95/88/00/876958800.db2.gz OOWYPPYNMRKMLH-UHFFFAOYSA-N -1 1 320.330 1.316 20 0 DDADMM C[C@@H](Oc1nc(=O)[nH]c(=O)[n-]1)c1cc(Br)no1 ZINC001227570812 883088580 /nfs/dbraw/zinc/08/85/80/883088580.db2.gz WVKDLXAKUBQNPM-GSVOUGTGSA-N -1 1 303.072 1.173 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccnn1C1CCCCC1 ZINC001362122534 883095352 /nfs/dbraw/zinc/09/53/52/883095352.db2.gz YQJTXUMFOGZIJV-UHFFFAOYSA-N -1 1 303.370 1.863 20 0 DDADMM CN(C)c1nc(NC(=O)c2cc(N)cc(N)c2)c(N=O)c(=O)[n-]1 ZINC001218663423 877651217 /nfs/dbraw/zinc/65/12/17/877651217.db2.gz OHYOLMSXMRKYMB-UHFFFAOYSA-N -1 1 317.309 1.063 20 0 DDADMM CCO[C@@H](CC)C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001395562895 912285794 /nfs/dbraw/zinc/28/57/94/912285794.db2.gz WMMJVFNCWLBKLH-YPMHNXCESA-N -1 1 323.393 1.179 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cccnc1 ZINC001395563704 912287618 /nfs/dbraw/zinc/28/76/18/912287618.db2.gz ZFCSRKCGKDRKFD-LLVKDONJSA-N -1 1 314.345 1.073 20 0 DDADMM CCC1(C(=O)N[C@@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)CCCC1 ZINC001379757645 877932943 /nfs/dbraw/zinc/93/29/43/877932943.db2.gz DBXHKPQAHHZHLZ-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM C/C=C(/C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCCC1 ZINC001356935110 879604829 /nfs/dbraw/zinc/60/48/29/879604829.db2.gz IUFFPTHFZJZVDY-BASWHVEKSA-N -1 1 317.389 1.912 20 0 DDADMM CC1(C)CCC[C@@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001380875968 880583096 /nfs/dbraw/zinc/58/30/96/880583096.db2.gz FKINTMVEZGBCEM-LLVKDONJSA-N -1 1 321.425 1.465 20 0 DDADMM C[C@@H]1C(=O)Nc2ncnc(-c3ccc(C4(C(=O)[O-])CC4)cc3)c21 ZINC001222662588 880625719 /nfs/dbraw/zinc/62/57/19/880625719.db2.gz PAATYQPJQHCNQI-VIFPVBQESA-N -1 1 309.325 1.720 20 0 DDADMM CCCC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(C)CC1 ZINC001381085190 880990718 /nfs/dbraw/zinc/99/07/18/880990718.db2.gz NFQUKOYESGRFJJ-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CSc1ncc(C(=O)NCC[S@](=O)C(C)(C)C)c(=O)[n-]1 ZINC001362162122 883184797 /nfs/dbraw/zinc/18/47/97/883184797.db2.gz QYSBLMOXAOGUDZ-FQEVSTJZSA-N -1 1 317.436 1.181 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)[C@H]1CCCOC1 ZINC001362163062 883185862 /nfs/dbraw/zinc/18/58/62/883185862.db2.gz ZRMXRERRHQOGQB-JTQLQIEISA-N -1 1 303.322 1.144 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CC[C@](O)(c2ccc(F)cc2)C1 ZINC001362245116 883396991 /nfs/dbraw/zinc/39/69/91/883396991.db2.gz PCDDFFVMDXZHQO-MRXNPFEDSA-N -1 1 302.305 1.660 20 0 DDADMM COC(=O)c1n[n-]c(OC[C@@H]2CCC(c3ccccc3)=NO2)n1 ZINC001228315268 883439718 /nfs/dbraw/zinc/43/97/18/883439718.db2.gz XPJRFWREVJRDDL-NSHDSACASA-N -1 1 316.317 1.553 20 0 DDADMM COC(=O)c1nc(OC[C@@H]2CCC(c3ccccc3)=NO2)n[n-]1 ZINC001228315268 883439726 /nfs/dbraw/zinc/43/97/26/883439726.db2.gz XPJRFWREVJRDDL-NSHDSACASA-N -1 1 316.317 1.553 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1cccc(=O)[nH]1 ZINC001362277319 883464852 /nfs/dbraw/zinc/46/48/52/883464852.db2.gz MFHSXMBXFOVTDO-UHFFFAOYSA-N -1 1 306.347 1.243 20 0 DDADMM CCn1cc(Cl)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC001362307108 883528503 /nfs/dbraw/zinc/52/85/03/883528503.db2.gz CBULTOYICPSFRK-MRVPVSSYSA-N -1 1 309.761 1.089 20 0 DDADMM CCOC(=O)c1cnc(C)c(NC(=O)c2cnncc2[O-])c1 ZINC001362309632 883535193 /nfs/dbraw/zinc/53/51/93/883535193.db2.gz CDVOBGYEITVHMJ-UHFFFAOYSA-N -1 1 302.290 1.315 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc(Cl)c1)NCc1n[nH]c(=O)[n-]1 ZINC001382159497 883543354 /nfs/dbraw/zinc/54/33/54/883543354.db2.gz UQFHHTOZJZKHPU-SECBINFHSA-N -1 1 323.784 1.414 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@@H](C)c1cncnc1 ZINC001228553845 883549287 /nfs/dbraw/zinc/54/92/87/883549287.db2.gz XYXDYEMFEDVGDV-QMMMGPOBSA-N -1 1 306.347 1.872 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CC(C)(C)OC2(C)C)c(=O)[n-]1 ZINC001362376656 883673557 /nfs/dbraw/zinc/67/35/57/883673557.db2.gz AYOAZWBILYRMQD-SECBINFHSA-N -1 1 311.407 1.980 20 0 DDADMM O=C(CCn1cnnn1)Nc1ccc(Br)c([O-])c1 ZINC001362508096 883968577 /nfs/dbraw/zinc/96/85/77/883968577.db2.gz RCZYBRQSYCJODF-UHFFFAOYSA-N -1 1 312.127 1.170 20 0 DDADMM COc1cc(NC(=O)CCc2nn[n-]n2)cc(OC)c1C(C)C ZINC001362556175 884085468 /nfs/dbraw/zinc/08/54/68/884085468.db2.gz MNYKAZYBDYUOCB-UHFFFAOYSA-N -1 1 319.365 1.912 20 0 DDADMM C[C@@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)[C@@H](C)C(C)(C)C ZINC001362563998 884105452 /nfs/dbraw/zinc/10/54/52/884105452.db2.gz WVFWBBCHUUWWKN-GHMZBOCLSA-N -1 1 309.414 1.328 20 0 DDADMM CC(C)[C@H](C)C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001382527449 884186739 /nfs/dbraw/zinc/18/67/39/884186739.db2.gz COIHJGAWJCEXGA-WDEREUQCSA-N -1 1 311.430 1.423 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@@H](Oc2nc(=O)[n-]cc2C(=O)OC)C1 ZINC001229871163 884200837 /nfs/dbraw/zinc/20/08/37/884200837.db2.gz RQXXXTLZESPNOH-VHSXEESVSA-N -1 1 324.333 1.470 20 0 DDADMM CSc1nc(CNC(=O)c2ccc(C)c(O)c2)cc(=O)[n-]1 ZINC001362609264 884211115 /nfs/dbraw/zinc/21/11/15/884211115.db2.gz BZNOKZFRFUOKEF-UHFFFAOYSA-N -1 1 305.359 1.848 20 0 DDADMM CCC(CC)(NC(=O)c1noc2c1CCCCC2)c1nn[n-]n1 ZINC001362624332 884253050 /nfs/dbraw/zinc/25/30/50/884253050.db2.gz JOOQXFFUDISBSC-UHFFFAOYSA-N -1 1 318.381 1.902 20 0 DDADMM CC(C)(CO)[C@H](NC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC001362632827 884276748 /nfs/dbraw/zinc/27/67/48/884276748.db2.gz PGDVSUURTYWXTO-CQSZACIVSA-N -1 1 303.366 1.008 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccoc2C2CC2)n[n-]1 ZINC001362659323 884346151 /nfs/dbraw/zinc/34/61/51/884346151.db2.gz LCSRPWQMFKUTIF-MRVPVSSYSA-N -1 1 318.333 1.943 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccoc2C2CC2)[n-]1 ZINC001362659323 884346167 /nfs/dbraw/zinc/34/61/67/884346167.db2.gz LCSRPWQMFKUTIF-MRVPVSSYSA-N -1 1 318.333 1.943 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccoc2C2CC2)n1 ZINC001362659323 884346184 /nfs/dbraw/zinc/34/61/84/884346184.db2.gz LCSRPWQMFKUTIF-MRVPVSSYSA-N -1 1 318.333 1.943 20 0 DDADMM CCOC(=O)C(F)(F)[C@H](CC)Oc1nc(C(=O)OC)c[n-]1 ZINC001230280111 884405763 /nfs/dbraw/zinc/40/57/63/884405763.db2.gz MJRQKQNPOJCSKN-QMMMGPOBSA-N -1 1 306.265 1.552 20 0 DDADMM COCc1ncsc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001362832136 884749713 /nfs/dbraw/zinc/74/97/13/884749713.db2.gz QHLGTVSLIYFSQB-UHFFFAOYSA-N -1 1 310.383 1.101 20 0 DDADMM CC(=O)CCC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001362864025 884825642 /nfs/dbraw/zinc/82/56/42/884825642.db2.gz KXSYRZZUSMANTN-UWVGGRQHSA-N -1 1 308.300 1.414 20 0 DDADMM COC[C@H](NC(=O)[C@H](C)OC1CCCCCC1)c1nn[n-]n1 ZINC001362907614 884951687 /nfs/dbraw/zinc/95/16/87/884951687.db2.gz ICZVSOHOIAPKAC-JQWIXIFHSA-N -1 1 311.386 1.131 20 0 DDADMM CO[C@H](C)CCC(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001382941724 885005468 /nfs/dbraw/zinc/00/54/68/885005468.db2.gz FTORJZQPYPAKQB-NWDGAFQWSA-N -1 1 323.393 1.179 20 0 DDADMM CSc1ncc(C(=O)N2CCc3ncsc3C2)c(=O)[n-]1 ZINC001362934837 885023808 /nfs/dbraw/zinc/02/38/08/885023808.db2.gz HISULVUGBWCTOZ-UHFFFAOYSA-N -1 1 308.388 1.559 20 0 DDADMM CSCC[C@H](NC(=O)c1cccc([O-])c1F)C(=O)N(C)C ZINC001362962723 885096951 /nfs/dbraw/zinc/09/69/51/885096951.db2.gz HYLWTGVBIIETDE-JTQLQIEISA-N -1 1 314.382 1.471 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2[nH]cnc2C)[n-]c1=O ZINC001363038203 885292626 /nfs/dbraw/zinc/29/26/26/885292626.db2.gz JCQWDOIZFFGOKA-VIFPVBQESA-N -1 1 303.322 1.200 20 0 DDADMM CCN(C(C)=O)c1ccc(NC(=O)c2ccc([O-])cn2)cn1 ZINC001363057640 885353306 /nfs/dbraw/zinc/35/33/06/885353306.db2.gz YHOLESUBZGVQQD-UHFFFAOYSA-N -1 1 300.318 1.807 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)C[C@H](CO)C1 ZINC001363094840 885449108 /nfs/dbraw/zinc/44/91/08/885449108.db2.gz ZMQWAQUQPOKRGH-JQWIXIFHSA-N -1 1 321.421 1.879 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(Cl)ccn2)n[n-]1 ZINC001363124569 885524499 /nfs/dbraw/zinc/52/44/99/885524499.db2.gz UIFGBMZHJJDIGN-SSDOTTSWSA-N -1 1 323.740 1.521 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(Cl)ccn2)[n-]1 ZINC001363124569 885524508 /nfs/dbraw/zinc/52/45/08/885524508.db2.gz UIFGBMZHJJDIGN-SSDOTTSWSA-N -1 1 323.740 1.521 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(Cl)ccn2)n1 ZINC001363124569 885524516 /nfs/dbraw/zinc/52/45/16/885524516.db2.gz UIFGBMZHJJDIGN-SSDOTTSWSA-N -1 1 323.740 1.521 20 0 DDADMM CCN(CCn1cccn1)Cc1ccccc1OCC(=O)[O-] ZINC001231796934 885881509 /nfs/dbraw/zinc/88/15/09/885881509.db2.gz NSBCURSDZRIZMZ-UHFFFAOYSA-N -1 1 303.362 1.869 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1c2c[nH]nc2CC[C@@H]1C ZINC001363277974 885894112 /nfs/dbraw/zinc/89/41/12/885894112.db2.gz WXDUEYAOFWYLDP-HZMBPMFUSA-N -1 1 317.397 1.274 20 0 DDADMM COc1ccc([C@@H](C)CC(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC001363330564 886042995 /nfs/dbraw/zinc/04/29/95/886042995.db2.gz VZKIUJUWIGLCMM-JTQLQIEISA-N -1 1 317.345 1.706 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2csc(C)c2)co1 ZINC001363343116 886075511 /nfs/dbraw/zinc/07/55/11/886075511.db2.gz CAUJXAJEVVGJKB-UHFFFAOYSA-N -1 1 300.361 1.810 20 0 DDADMM CC(C)(CCNC(=O)c1ccc([O-])cc1F)S(C)(=O)=O ZINC001363349505 886099306 /nfs/dbraw/zinc/09/93/06/886099306.db2.gz NLBJFHSHGRGIJG-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@H](C)c1ccncc1 ZINC001363352639 886109201 /nfs/dbraw/zinc/10/92/01/886109201.db2.gz BADBQZWQIYUVDA-LBPRGKRZSA-N -1 1 302.382 1.773 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)COC2(C)CCC2)n[n-]1 ZINC001363472511 886430560 /nfs/dbraw/zinc/43/05/60/886430560.db2.gz DRDBZZJYQGPJKQ-SECBINFHSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)COC2(C)CCC2)[n-]1 ZINC001363472511 886430568 /nfs/dbraw/zinc/43/05/68/886430568.db2.gz DRDBZZJYQGPJKQ-SECBINFHSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)COC2(C)CCC2)n1 ZINC001363472511 886430579 /nfs/dbraw/zinc/43/05/79/886430579.db2.gz DRDBZZJYQGPJKQ-SECBINFHSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(C(C)C)no2)n[n-]1 ZINC001363473630 886434244 /nfs/dbraw/zinc/43/42/44/886434244.db2.gz GGOGWGKIQJSAQP-QMMMGPOBSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(C(C)C)no2)[n-]1 ZINC001363473630 886434253 /nfs/dbraw/zinc/43/42/53/886434253.db2.gz GGOGWGKIQJSAQP-QMMMGPOBSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(C(C)C)no2)n1 ZINC001363473630 886434264 /nfs/dbraw/zinc/43/42/64/886434264.db2.gz GGOGWGKIQJSAQP-QMMMGPOBSA-N -1 1 321.337 1.584 20 0 DDADMM CSc1ncc(C(=O)N2Cc3c[nH]nc3[C@@H](C)C2)c(=O)[n-]1 ZINC001363517043 886534006 /nfs/dbraw/zinc/53/40/06/886534006.db2.gz XJPGPPDBWBKMOF-ZETCQYMHSA-N -1 1 305.363 1.387 20 0 DDADMM CSc1ccccc1CC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363545729 886601544 /nfs/dbraw/zinc/60/15/44/886601544.db2.gz BHGOCVCAANTARQ-UHFFFAOYSA-N -1 1 303.391 1.660 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1CCN(c2ccccc2)C1 ZINC001363553535 886621070 /nfs/dbraw/zinc/62/10/70/886621070.db2.gz UJSFVUFDDOHDNW-LBPRGKRZSA-N -1 1 312.377 1.222 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](CO)C[C@@H]1CCCO1 ZINC001363596608 886726647 /nfs/dbraw/zinc/72/66/47/886726647.db2.gz ZTEPOJVFRGSXMB-NEPJUHHUSA-N -1 1 323.393 1.274 20 0 DDADMM CCOC(=O)[C@@H]1CSCCN1Cc1cc(Cl)ncc1[O-] ZINC001233038023 886746864 /nfs/dbraw/zinc/74/68/64/886746864.db2.gz MAPQJTUSOFHCIR-JTQLQIEISA-N -1 1 316.810 1.921 20 0 DDADMM COC(=O)c1cc2n(n1)CCC[C@H]2NC(=O)c1ccc([O-])cn1 ZINC001363677472 886953911 /nfs/dbraw/zinc/95/39/11/886953911.db2.gz JCJHWZOSSPGYFV-SNVBAGLBSA-N -1 1 316.317 1.035 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@@H]1CC[C@@]2(CCCCO2)O1 ZINC001233757723 887285443 /nfs/dbraw/zinc/28/54/43/887285443.db2.gz GKSBRQWNJOFKCG-ZUZCIYMTSA-N -1 1 324.333 1.423 20 0 DDADMM O=S(=O)([N-]Cc1cnc2n1CCC2)c1cc(F)ccc1F ZINC001364025232 887746742 /nfs/dbraw/zinc/74/67/42/887746742.db2.gz GUVABZATXKVBRU-UHFFFAOYSA-N -1 1 313.329 1.586 20 0 DDADMM Cc1conc1C[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC001364066387 887838727 /nfs/dbraw/zinc/83/87/27/887838727.db2.gz JOHQFRZTFNDZCG-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM CC[C@@H]1OC(=O)C(Oc2c(C(N)=O)nc(C(C)C)[n-]c2=O)=C1C ZINC001234560193 888094589 /nfs/dbraw/zinc/09/45/89/888094589.db2.gz BIDDYSKWAYJAQC-QMMMGPOBSA-N -1 1 321.333 1.393 20 0 DDADMM CCC[C@@H](Oc1[n-]c(=O)c(F)cc1C(=O)OC)C(=O)OCC ZINC001234607440 888138410 /nfs/dbraw/zinc/13/84/10/888138410.db2.gz DLXSTDNFCMXGNU-SNVBAGLBSA-N -1 1 315.297 1.824 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])c1nc(C(F)(F)F)n[nH]1 ZINC001364309186 888352737 /nfs/dbraw/zinc/35/27/37/888352737.db2.gz JXIWDWQIUIKGEN-YFKPBYRVSA-N -1 1 301.228 1.415 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3CC34CCOCC4)ccnc1-2 ZINC001364337272 888412925 /nfs/dbraw/zinc/41/29/25/888412925.db2.gz SYZTYOZUAZHHMI-LBPRGKRZSA-N -1 1 301.350 1.032 20 0 DDADMM COc1nnc(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)s1 ZINC001364355278 888445553 /nfs/dbraw/zinc/44/55/53/888445553.db2.gz ISXDEZJRUOBJMQ-UHFFFAOYSA-N -1 1 309.351 1.726 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1cc[nH]c1 ZINC001364770276 889376343 /nfs/dbraw/zinc/37/63/43/889376343.db2.gz QCKKCKPLHUBCTL-JTQLQIEISA-N -1 1 303.322 1.287 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1cc[nH]c1 ZINC001364770276 889376358 /nfs/dbraw/zinc/37/63/58/889376358.db2.gz QCKKCKPLHUBCTL-JTQLQIEISA-N -1 1 303.322 1.287 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1cc[nH]c1 ZINC001364770276 889376370 /nfs/dbraw/zinc/37/63/70/889376370.db2.gz QCKKCKPLHUBCTL-JTQLQIEISA-N -1 1 303.322 1.287 20 0 DDADMM CC[C@@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1csnc1OC ZINC001364872077 889584453 /nfs/dbraw/zinc/58/44/53/889584453.db2.gz CZHRDBRVJILWGD-BDAKNGLRSA-N -1 1 308.425 1.227 20 0 DDADMM CCOC(=O)[C@@](C)(NC(=O)c1ccc([O-])cn1)c1ccccc1 ZINC001364881007 889600652 /nfs/dbraw/zinc/60/06/52/889600652.db2.gz ZKKZYURFBIWWLC-KRWDZBQOSA-N -1 1 314.341 1.996 20 0 DDADMM CC[C@H](C)CCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385725151 889644529 /nfs/dbraw/zinc/64/45/29/889644529.db2.gz PVSMCYNADSDHCB-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H](F)C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001385762601 889706737 /nfs/dbraw/zinc/70/67/37/889706737.db2.gz HZGKKJVNOWKADQ-QWRGUYRKSA-N -1 1 309.341 1.160 20 0 DDADMM O=S(=O)([N-]C[C@@H]1OCc2ccccc21)c1c[nH]nc1Cl ZINC001364988131 889826284 /nfs/dbraw/zinc/82/62/84/889826284.db2.gz JKWRPURXLPPILL-JTQLQIEISA-N -1 1 313.766 1.613 20 0 DDADMM O=c1[nH]cccc1CNCc1ncc(Br)cc1[O-] ZINC001364993031 889838406 /nfs/dbraw/zinc/83/84/06/889838406.db2.gz ZDOLFOBDUKEOBW-UHFFFAOYSA-N -1 1 310.151 1.940 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)c2ccc(F)cc2)[n-]n1 ZINC001365038950 889954511 /nfs/dbraw/zinc/95/45/11/889954511.db2.gz XLWHXEJHAPULIM-UHFFFAOYSA-N -1 1 313.310 1.161 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)c2ccc(F)cc2)n[n-]1 ZINC001365038950 889954520 /nfs/dbraw/zinc/95/45/20/889954520.db2.gz XLWHXEJHAPULIM-UHFFFAOYSA-N -1 1 313.310 1.161 20 0 DDADMM C[C@@H]1CNC(=O)CN1Cc1c(Br)ccc([O-])c1F ZINC001238309715 890164769 /nfs/dbraw/zinc/16/47/69/890164769.db2.gz UBEXGWPTJCLUHA-SSDOTTSWSA-N -1 1 317.158 1.614 20 0 DDADMM CCc1n[nH]c(C(=O)Nc2c[n-][nH]c2=O)c1Br ZINC001365212111 890362523 /nfs/dbraw/zinc/36/25/23/890362523.db2.gz TUHQBWLQRABJLR-UHFFFAOYSA-N -1 1 300.116 1.416 20 0 DDADMM Cc1nc(SCc2nc3c(c(=O)[nH]2)COCC3)[n-]c(=O)c1C ZINC001365249157 890433276 /nfs/dbraw/zinc/43/32/76/890433276.db2.gz LJGQQTPGZZWSEW-UHFFFAOYSA-N -1 1 320.374 1.660 20 0 DDADMM COC(=O)c1nc2ccc(-c3ccc4c(c3)NC(=O)CO4)[n-]c-2n1 ZINC001239102011 890497250 /nfs/dbraw/zinc/49/72/50/890497250.db2.gz KTAABVPREAQBTE-UHFFFAOYSA-N -1 1 324.296 1.742 20 0 DDADMM Cc1cc2c(c(-c3ccc(S(C)(=O)=O)cc3)n1)C(=O)[N-]C2=O ZINC001239698434 890711196 /nfs/dbraw/zinc/71/11/96/890711196.db2.gz NPGWPIUBJMFNDJ-UHFFFAOYSA-N -1 1 316.338 1.344 20 0 DDADMM CNc1ncc2cc(-c3nc(C)cc4c3C(=O)[N-]C4=O)ccc2n1 ZINC001240598587 890989753 /nfs/dbraw/zinc/98/97/53/890989753.db2.gz CCXYDOFLNVDFFV-UHFFFAOYSA-N -1 1 319.324 1.926 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N([C@H](C)C(C)C)C2CC2)[n-]n1 ZINC001365634857 891218447 /nfs/dbraw/zinc/21/84/47/891218447.db2.gz UIMZDXZOURTMQK-SECBINFHSA-N -1 1 315.395 1.394 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N([C@H](C)C(C)C)C2CC2)n[n-]1 ZINC001365634857 891218460 /nfs/dbraw/zinc/21/84/60/891218460.db2.gz UIMZDXZOURTMQK-SECBINFHSA-N -1 1 315.395 1.394 20 0 DDADMM CC[C@@]([N-]S(=O)(=O)c1ccc(C(F)F)o1)(C(N)=O)C(C)C ZINC001365699751 891357730 /nfs/dbraw/zinc/35/77/30/891357730.db2.gz QFWDBNQUZDTONQ-LBPRGKRZSA-N -1 1 324.349 1.786 20 0 DDADMM CC(C)NS(=O)(=O)[N-]CC(F)(F)c1cc(F)cc(F)c1 ZINC001365729899 891409539 /nfs/dbraw/zinc/40/95/39/891409539.db2.gz GEIVOKACHMXGEA-UHFFFAOYSA-N -1 1 314.304 1.889 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3cnc(Cl)cn3)ccnc1-2 ZINC001365784515 891545514 /nfs/dbraw/zinc/54/55/14/891545514.db2.gz PLXZHUCICQAQIG-UHFFFAOYSA-N -1 1 317.740 1.107 20 0 DDADMM O=C(N1CC=C(c2ccc(-c3nnn[n-]3)nc2)CC1)C(F)(F)F ZINC001244224507 891820781 /nfs/dbraw/zinc/82/07/81/891820781.db2.gz SDMUOUUBSBKEFR-UHFFFAOYSA-N -1 1 324.266 1.440 20 0 DDADMM O=C(N1CC=C(c2ccc(-c3nn[n-]n3)nc2)CC1)C(F)(F)F ZINC001244224507 891820784 /nfs/dbraw/zinc/82/07/84/891820784.db2.gz SDMUOUUBSBKEFR-UHFFFAOYSA-N -1 1 324.266 1.440 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC001386849123 891852465 /nfs/dbraw/zinc/85/24/65/891852465.db2.gz CGEQRDJQXFNDRT-UPJWGTAASA-N -1 1 317.389 1.412 20 0 DDADMM COc1ncc(-c2cnc(F)c(C)c2)cc1[N-]S(C)(=O)=O ZINC001244792218 891929432 /nfs/dbraw/zinc/92/94/32/891929432.db2.gz PIZUOBVMXNEWFC-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM Cc1cc(Br)cnc1-c1noc(Cc2nnn[n-]2)n1 ZINC001247140505 893121011 /nfs/dbraw/zinc/12/10/11/893121011.db2.gz UORVNHZOBGRMKN-UHFFFAOYSA-N -1 1 322.126 1.306 20 0 DDADMM Cc1cc(Br)cnc1-c1noc(Cc2nn[n-]n2)n1 ZINC001247140505 893121021 /nfs/dbraw/zinc/12/10/21/893121021.db2.gz UORVNHZOBGRMKN-UHFFFAOYSA-N -1 1 322.126 1.306 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccccc1)c1ccccn1 ZINC001251159011 894649201 /nfs/dbraw/zinc/64/92/01/894649201.db2.gz QQIYTZHUICBDGO-ZDUSSCGKSA-N -1 1 306.343 1.274 20 0 DDADMM CCOC(=O)c1cc([N-]S(=O)(=O)c2ccc(F)cc2)ccn1 ZINC001251611024 894748329 /nfs/dbraw/zinc/74/83/29/894748329.db2.gz BBNAGDHYWAIZKP-UHFFFAOYSA-N -1 1 324.333 1.620 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CCCC1 ZINC001388202790 894779321 /nfs/dbraw/zinc/77/93/21/894779321.db2.gz MVHVKRFVXIORFD-NEPJUHHUSA-N -1 1 317.389 1.602 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)nnn3C)cc1 ZINC001251924150 894890086 /nfs/dbraw/zinc/89/00/86/894890086.db2.gz OIMMQWUUQZXJAX-UHFFFAOYSA-N -1 1 318.358 1.778 20 0 DDADMM Cc1cc(C(=O)NC[C@@H](C)CNC(=O)c2ncccc2[O-])co1 ZINC001388431051 895223372 /nfs/dbraw/zinc/22/33/72/895223372.db2.gz CWQVQIQOJOEPSX-SNVBAGLBSA-N -1 1 317.345 1.485 20 0 DDADMM CCc1ccsc1C(=O)NC[C@H](CC)NCc1n[nH]c(=O)[n-]1 ZINC001367143531 895995031 /nfs/dbraw/zinc/99/50/31/895995031.db2.gz ZVISJDZLFSJWPI-JTQLQIEISA-N -1 1 323.422 1.432 20 0 DDADMM CC(C)(C)O[N-]C(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC001253806121 896109643 /nfs/dbraw/zinc/10/96/43/896109643.db2.gz XEDSBMZYDZDTHP-UHFFFAOYSA-N -1 1 317.345 1.104 20 0 DDADMM CCC[C@H](C)CC(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001389326386 896939454 /nfs/dbraw/zinc/93/94/54/896939454.db2.gz FEPYSTGSMQDSDH-RYUDHWBXSA-N -1 1 307.394 1.848 20 0 DDADMM COC(=O)[C@H]1C[C@H](N[C@H]2[CH]CC=C2)CN1C(=O)OC(C)(C)C ZINC001256263226 897343508 /nfs/dbraw/zinc/34/35/08/897343508.db2.gz KMARJDKCJOAGFL-FRRDWIJNSA-N -1 1 309.386 1.660 20 0 DDADMM Cc1cnc([N-]S(=O)(=O)c2ccc(Cl)s2)c(=O)[nH]1 ZINC001256563051 897476907 /nfs/dbraw/zinc/47/69/07/897476907.db2.gz QHVZLFFEOGKJNI-UHFFFAOYSA-N -1 1 305.768 1.594 20 0 DDADMM Cc1coc(C)c1C(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001389593879 897589400 /nfs/dbraw/zinc/58/94/00/897589400.db2.gz RCJVBRSOECJASJ-NSHDSACASA-N -1 1 319.365 1.018 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2ccc3c(c2)CNC(=O)C3)c1 ZINC001256958255 897645614 /nfs/dbraw/zinc/64/56/14/897645614.db2.gz FVHBTGOGHSDFCW-UHFFFAOYSA-N -1 1 316.382 1.968 20 0 DDADMM CC(=O)N1CCC[C@@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC001258948789 898374015 /nfs/dbraw/zinc/37/40/15/898374015.db2.gz QUUBZAZMVSAAGH-LLVKDONJSA-N -1 1 318.345 1.254 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(C(F)(F)F)cc1C ZINC001259024023 898421566 /nfs/dbraw/zinc/42/15/66/898421566.db2.gz AKVQTYKKFFAYCE-UHFFFAOYSA-N -1 1 311.281 1.929 20 0 DDADMM CC(=O)NCCCC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259043840 898438093 /nfs/dbraw/zinc/43/80/93/898438093.db2.gz GCPDCSSVJRPXEK-UHFFFAOYSA-N -1 1 324.324 1.299 20 0 DDADMM O=c1[nH]c2ccc(S(=O)(=O)[N-]c3cnccc3F)cc2o1 ZINC001259378626 898642443 /nfs/dbraw/zinc/64/24/43/898642443.db2.gz LGRKLXNLHREPAY-UHFFFAOYSA-N -1 1 309.278 1.868 20 0 DDADMM O=S(=O)([N-]c1cnc(Br)nc1)C1CCCC1 ZINC001259794023 898805867 /nfs/dbraw/zinc/80/58/67/898805867.db2.gz QRMRIPMETNNSEU-UHFFFAOYSA-N -1 1 306.185 1.923 20 0 DDADMM O=C1NCc2c1cccc2[N-]S(=O)(=O)CCC(F)(F)F ZINC001259872027 898882962 /nfs/dbraw/zinc/88/29/62/898882962.db2.gz YQLHBTAYMHNSKA-UHFFFAOYSA-N -1 1 308.281 1.624 20 0 DDADMM O=S(=O)([N-]c1cnc(Br)nc1)C1CCOCC1 ZINC001259907720 898916882 /nfs/dbraw/zinc/91/68/82/898916882.db2.gz AYMHWVOHBINQOJ-UHFFFAOYSA-N -1 1 322.184 1.160 20 0 DDADMM O=S(=O)([N-]Cc1ccn(Cc2ccccc2)n1)C(F)F ZINC001259962300 898983985 /nfs/dbraw/zinc/98/39/85/898983985.db2.gz HSMRSYCVIPXYBL-UHFFFAOYSA-N -1 1 301.318 1.573 20 0 DDADMM O=S(=O)([N-]CC1(Cc2cccnc2)CCOCC1)C(F)F ZINC001259964020 898986775 /nfs/dbraw/zinc/98/67/75/898986775.db2.gz XQBMUDPLGUTVMH-UHFFFAOYSA-N -1 1 320.361 1.563 20 0 DDADMM Cc1ccsc1S(=O)(=O)NCCCC[P@](=O)([O-])O ZINC001260070718 899033125 /nfs/dbraw/zinc/03/31/25/899033125.db2.gz IBCBPSMUXGXFHR-UHFFFAOYSA-N -1 1 313.337 1.293 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cc(F)nc(F)c2)cc1 ZINC001260221742 899095692 /nfs/dbraw/zinc/09/56/92/899095692.db2.gz QMQUFNDSAHUBGT-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM CCOc1cncc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)c1 ZINC001260222282 899095817 /nfs/dbraw/zinc/09/58/17/899095817.db2.gz UOCJFIKYMADRIH-UHFFFAOYSA-N -1 1 322.342 1.979 20 0 DDADMM COc1c(O)cccc1NS(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC001260229165 899100381 /nfs/dbraw/zinc/10/03/81/899100381.db2.gz UCEVSKIOYSPLKT-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM CSc1ccc([N-]S(=O)(=O)CS(C)(=O)=O)c(F)c1 ZINC001260592515 899180270 /nfs/dbraw/zinc/18/02/70/899180270.db2.gz ISKWFARZMOUPSH-UHFFFAOYSA-N -1 1 313.397 1.291 20 0 DDADMM O=c1nc2nc[nH]c2c(NS(=O)(=O)Cc2ccccc2F)[n-]1 ZINC001260630254 899189590 /nfs/dbraw/zinc/18/95/90/899189590.db2.gz BWIPYOCBJUXNJE-UHFFFAOYSA-N -1 1 323.309 1.140 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-]c1ncccc1CN1CCOCC1 ZINC001260727117 899231264 /nfs/dbraw/zinc/23/12/64/899231264.db2.gz KUJWCXWTZAZCBD-GFCCVEGCSA-N -1 1 313.423 1.454 20 0 DDADMM COC(=O)c1ccnc(Cl)c1[N-]S(=O)(=O)c1cn[nH]c1 ZINC001260954267 899294081 /nfs/dbraw/zinc/29/40/81/899294081.db2.gz MOZMNLONTKXRES-UHFFFAOYSA-N -1 1 316.726 1.046 20 0 DDADMM COC(=O)c1cc(F)c([N-]S(=O)(=O)c2cn[nH]c2)cc1F ZINC001260962067 899302358 /nfs/dbraw/zinc/30/23/58/899302358.db2.gz IBFHWBXBYXJDKB-UHFFFAOYSA-N -1 1 317.273 1.275 20 0 DDADMM CN(C)[C@@H]1CCOc2c([N-]S(=O)(=O)c3cn[nH]c3)cccc21 ZINC001260961505 899302465 /nfs/dbraw/zinc/30/24/65/899302465.db2.gz CKNGQIDUKNQCKH-CYBMUJFWSA-N -1 1 322.390 1.596 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-]c1c(CO)ccc(F)c1F ZINC001261030358 899331257 /nfs/dbraw/zinc/33/12/57/899331257.db2.gz QWWADVRJQWNJLK-UHFFFAOYSA-N -1 1 317.317 1.598 20 0 DDADMM CC[C@H](CNC(=O)[C@@H]1C[C@H]1C1CC1)NC(=O)c1ncccc1[O-] ZINC001390476624 899493604 /nfs/dbraw/zinc/49/36/04/899493604.db2.gz STHCFMAJYBJCKH-FRRDWIJNSA-N -1 1 317.389 1.458 20 0 DDADMM Cc1cccc(C(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)c1C ZINC001369292928 900992758 /nfs/dbraw/zinc/99/27/58/900992758.db2.gz KVGZSTYKSBISET-LLVKDONJSA-N -1 1 317.393 1.377 20 0 DDADMM CCC(CC)C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001369781482 901932245 /nfs/dbraw/zinc/93/22/45/901932245.db2.gz RWRHYBRZQKZMDS-LLVKDONJSA-N -1 1 307.394 1.800 20 0 DDADMM COC[C@H](C)CC(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001369938186 902238342 /nfs/dbraw/zinc/23/83/42/902238342.db2.gz CSTNBRFXZJJGJD-NEPJUHHUSA-N -1 1 323.393 1.037 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001392020989 903107613 /nfs/dbraw/zinc/10/76/13/903107613.db2.gz JKBRIUGOVNGJRR-NWDGAFQWSA-N -1 1 321.425 1.321 20 0 DDADMM CCCc1noc2nc(CC)cc(C(=O)N(C)c3nn[n-]n3)c12 ZINC001279187117 903190860 /nfs/dbraw/zinc/19/08/60/903190860.db2.gz CMDUCEVEUOJZBS-UHFFFAOYSA-N -1 1 315.337 1.527 20 0 DDADMM CC(C)(C)CC(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001370575017 903438033 /nfs/dbraw/zinc/43/80/33/903438033.db2.gz CWHCWSUMPMWWOM-YOGCLGLASA-N -1 1 321.425 1.558 20 0 DDADMM CCCCOCC(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001280460948 903702318 /nfs/dbraw/zinc/70/23/18/903702318.db2.gz QNDRWDIMBYZXJQ-LBPRGKRZSA-N -1 1 323.393 1.181 20 0 DDADMM CCCCC(=O)NC[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001370938541 904020976 /nfs/dbraw/zinc/02/09/76/904020976.db2.gz DSMSNCGMEOCCJT-QWHCGFSZSA-N -1 1 319.405 1.992 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC12CC2 ZINC001375060537 914822136 /nfs/dbraw/zinc/82/21/36/914822136.db2.gz QEZBQWLAZHCWDZ-QWRGUYRKSA-N -1 1 303.362 1.212 20 0 DDADMM CC(C)=CC(=O)N[C@@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001281221252 904579050 /nfs/dbraw/zinc/57/90/50/904579050.db2.gz KRVQMLAHWXZHMS-CHWSQXEVSA-N -1 1 317.389 1.768 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)C[C@@H]1C=CCC1 ZINC001294037313 914874499 /nfs/dbraw/zinc/87/44/99/914874499.db2.gz OKJGEEAFAKNYGW-CYBMUJFWSA-N -1 1 317.389 1.722 20 0 DDADMM Cc1sccc1C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001392775548 905220438 /nfs/dbraw/zinc/22/04/38/905220438.db2.gz VKPBJGMKIPZNBW-NSHDSACASA-N -1 1 321.406 1.178 20 0 DDADMM CCC[C@@H](C)C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001393056374 906071947 /nfs/dbraw/zinc/07/19/47/906071947.db2.gz FGMYOWUYVIKMDM-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM CCCCC(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC001372149559 906580704 /nfs/dbraw/zinc/58/07/04/906580704.db2.gz VDSXWTWOBFXPFL-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM CC(C)(C)CCC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001393302382 906686616 /nfs/dbraw/zinc/68/66/16/906686616.db2.gz GLKYSSCOAWSPSY-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(CCC1CC1)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372353696 907104781 /nfs/dbraw/zinc/10/47/81/907104781.db2.gz HAOMFKQWYKTBES-CYBMUJFWSA-N -1 1 317.389 1.602 20 0 DDADMM C/C(=C/C(=O)NCC[C@@H](C)NC(=O)c1ncccc1[O-])C1CC1 ZINC001284420302 908970766 /nfs/dbraw/zinc/97/07/66/908970766.db2.gz RLTJKXZUMAGWET-NLYDNYMLSA-N -1 1 317.389 1.768 20 0 DDADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1)C1CCCC1 ZINC001394181042 909027106 /nfs/dbraw/zinc/02/71/06/909027106.db2.gz RZHPPYPUUUEYGQ-UHFFFAOYSA-N -1 1 321.425 1.609 20 0 DDADMM CCC[C@@H](C)C(=O)NCCN(CC)C(=O)c1ncccc1[O-] ZINC001373779169 910954786 /nfs/dbraw/zinc/95/47/86/910954786.db2.gz RFUFYYPEQGTDQG-GFCCVEGCSA-N -1 1 307.394 1.802 20 0 DDADMM CC/C(C)=C\C(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001285654250 910978254 /nfs/dbraw/zinc/97/82/54/910978254.db2.gz DPVKKMACXZRUHE-UCQJPZFISA-N -1 1 305.378 1.720 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cccc2nsnc21)c1nn[n-]n1 ZINC001294421697 915128700 /nfs/dbraw/zinc/12/87/00/915128700.db2.gz FOAGRGQMIGUDJP-SNVBAGLBSA-N -1 1 317.378 1.405 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H](C)C(C)(C)C ZINC001397413471 915971979 /nfs/dbraw/zinc/97/19/79/915971979.db2.gz IMDJNWHEYRBSLR-GHMZBOCLSA-N -1 1 307.394 1.704 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCCC(=O)OC(C)C ZINC001296123206 916243800 /nfs/dbraw/zinc/24/38/00/916243800.db2.gz ABUKGUKAPYGNNZ-UHFFFAOYSA-N -1 1 313.379 1.241 20 0 DDADMM CC(C)C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C(C)C ZINC001375803592 916994154 /nfs/dbraw/zinc/99/41/54/916994154.db2.gz AMTHMOKOPWFBGL-LBPRGKRZSA-N -1 1 307.394 1.704 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](C)NCc2cccc(F)c2F)c1[O-] ZINC001376712739 919138558 /nfs/dbraw/zinc/13/85/58/919138558.db2.gz QELIYTLYFPJQGP-MRVPVSSYSA-N -1 1 324.331 1.610 20 0 DDADMM C[C@@H](NC(=O)CCC1CCCC1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001377754301 922900011 /nfs/dbraw/zinc/90/00/11/922900011.db2.gz MWXXNEZSAVOELS-WDEREUQCSA-N -1 1 309.414 1.464 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CCC1CCCC1 ZINC001377754291 922901357 /nfs/dbraw/zinc/90/13/57/922901357.db2.gz MWXXNEZSAVOELS-MNOVXSKESA-N -1 1 309.414 1.464 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)CCCOC)nc2n1 ZINC000622996810 365589686 /nfs/dbraw/zinc/58/96/86/365589686.db2.gz AZJNBZWTGVSIHZ-SNVBAGLBSA-N -1 1 321.381 1.371 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCO[C@H](C)C3)nc2n1 ZINC000622997146 365589890 /nfs/dbraw/zinc/58/98/90/365589890.db2.gz MUQBBWQKXJIMGG-ZJUUUORDSA-N -1 1 319.365 1.124 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)C[C@H](C)OC)cc1 ZINC000278522235 214209749 /nfs/dbraw/zinc/20/97/49/214209749.db2.gz CCEHNTNFAOXAJH-JTQLQIEISA-N -1 1 301.364 1.640 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)C[C@@H](C)OC)cc1OC ZINC000278525124 214212490 /nfs/dbraw/zinc/21/24/90/214212490.db2.gz CMTSSPJALPFHOC-MRVPVSSYSA-N -1 1 307.343 1.620 20 0 DDADMM CCc1ccc(N2C[C@@H](C(=O)[N-]OCC(C)(C)O)CC2=O)cc1 ZINC000278574604 214247249 /nfs/dbraw/zinc/24/72/49/214247249.db2.gz IZNBCTVXENUFSD-ZDUSSCGKSA-N -1 1 320.389 1.421 20 0 DDADMM O=C(c1ccco1)N1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000081939422 192342262 /nfs/dbraw/zinc/34/22/62/192342262.db2.gz JCFQZNXKDIAFJS-UHFFFAOYSA-N -1 1 318.304 1.723 20 0 DDADMM Cc1cc(CO)oc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614390622 361833441 /nfs/dbraw/zinc/83/34/41/361833441.db2.gz WAXBMCARELYZSA-SECBINFHSA-N -1 1 307.306 1.189 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2nc3ccccc3c(=O)[nH]2)n1 ZINC000041626601 539031685 /nfs/dbraw/zinc/03/16/85/539031685.db2.gz YFUCTKCZVXGDQF-UHFFFAOYSA-N -1 1 314.370 1.861 20 0 DDADMM CC[C@@H](C)[C@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)OC ZINC000294294859 529416508 /nfs/dbraw/zinc/41/65/08/529416508.db2.gz ULZAXYLUKJWRNC-BDAKNGLRSA-N -1 1 309.819 1.413 20 0 DDADMM CC[C@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000443317642 529420454 /nfs/dbraw/zinc/42/04/54/529420454.db2.gz HKJNZZUTJISNOE-NXEZZACHSA-N -1 1 319.379 1.142 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccc(Br)c1Cl ZINC000136119100 539194949 /nfs/dbraw/zinc/19/49/49/539194949.db2.gz KPMMGTZISKXDEO-UHFFFAOYSA-N -1 1 316.546 1.546 20 0 DDADMM CCc1ccc([C@@H](CO)[N-]S(=O)(=O)c2c(C)noc2C)cc1 ZINC000156593890 539211350 /nfs/dbraw/zinc/21/13/50/539211350.db2.gz ORMYOSCWMJRYSQ-CQSZACIVSA-N -1 1 324.402 1.866 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2C[C@@H]2C)c(Br)n1C ZINC000286583235 219065904 /nfs/dbraw/zinc/06/59/04/219065904.db2.gz JZKVEPXGMJRZOK-POYBYMJQSA-N -1 1 322.228 1.425 20 0 DDADMM CN1CC[C@@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)CC1=O ZINC000192860009 539260716 /nfs/dbraw/zinc/26/07/16/539260716.db2.gz OHAAXJSGVKHLKX-MRVPVSSYSA-N -1 1 320.773 1.378 20 0 DDADMM O=C(C=Cc1cc2ccccc2o1)NCCCc1nc(=O)[n-][nH]1 ZINC000254988704 282223381 /nfs/dbraw/zinc/22/33/81/282223381.db2.gz KSVWDNJKLZVLQF-FPLPWBNLSA-N -1 1 312.329 1.606 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC/C=C\c2ccccc2)o1 ZINC000255379786 282253111 /nfs/dbraw/zinc/25/31/11/282253111.db2.gz FTWMUIBDMGTUNH-YVMONPNESA-N -1 1 320.370 1.631 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cc1ccccc1O[C@@H]1CCOC1 ZINC000615229040 362204549 /nfs/dbraw/zinc/20/45/49/362204549.db2.gz NXICLKUWKCSERO-GFCCVEGCSA-N -1 1 317.345 1.773 20 0 DDADMM CN(CC1(c2ccccc2)CC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000444383598 529859006 /nfs/dbraw/zinc/85/90/06/529859006.db2.gz QDWRTTZOIBDXQF-UHFFFAOYSA-N -1 1 323.356 1.221 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCCC1CC(F)(F)C1 ZINC000457157715 232004566 /nfs/dbraw/zinc/00/45/66/232004566.db2.gz KYZSVXYXHFVROX-UHFFFAOYSA-N -1 1 313.304 1.069 20 0 DDADMM COCCN(Cc1c(C)nn(C)c1C)C(=O)c1cncc([O-])c1 ZINC000457258326 232024000 /nfs/dbraw/zinc/02/40/00/232024000.db2.gz KNNQCLPBXKDCSX-UHFFFAOYSA-N -1 1 318.377 1.426 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)C2CC(C)(C)C2)o1 ZINC000331818391 530027077 /nfs/dbraw/zinc/02/70/77/530027077.db2.gz VUJAWCCFSQAULX-UHFFFAOYSA-N -1 1 300.380 1.448 20 0 DDADMM C[C@@H]1COCC[C@H]1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000286789968 219167682 /nfs/dbraw/zinc/16/76/82/219167682.db2.gz JQVDRMBDTIKHDM-ZJUUUORDSA-N -1 1 306.391 1.420 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCN(C(=O)C2CC2)C1 ZINC000616384881 362669531 /nfs/dbraw/zinc/66/95/31/362669531.db2.gz ZBIPMAIISYIVCP-UHFFFAOYSA-N -1 1 311.341 1.592 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCC1CSC1 ZINC000616400934 362677597 /nfs/dbraw/zinc/67/75/97/362677597.db2.gz BEDCJCCXMOWDJX-UHFFFAOYSA-N -1 1 320.418 1.464 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1ccc(F)cn1)c2=O ZINC000358378932 299136367 /nfs/dbraw/zinc/13/63/67/299136367.db2.gz CVFLGDBRDMPDDP-UHFFFAOYSA-N -1 1 301.281 1.138 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCC1(CCO)CCCC1)c2=O ZINC000358381779 299137544 /nfs/dbraw/zinc/13/75/44/299137544.db2.gz IAASFNDNRDNLOO-UHFFFAOYSA-N -1 1 318.377 1.347 20 0 DDADMM CNC(=O)C1(C(=O)Nc2nc(Br)ccc2[O-])CC1 ZINC000121539441 185815216 /nfs/dbraw/zinc/81/52/16/185815216.db2.gz WNYFTBYPBKPXDS-UHFFFAOYSA-N -1 1 314.139 1.014 20 0 DDADMM C[C@@H](C(=O)Nc1nnn[n-]1)c1ccc(Br)s1 ZINC000080353899 192152040 /nfs/dbraw/zinc/15/20/40/192152040.db2.gz IILKMMODRGEYSM-SCSAIBSYSA-N -1 1 302.157 1.766 20 0 DDADMM C[C@@H](C(=O)Nc1nn[n-]n1)c1ccc(Br)s1 ZINC000080353899 192152041 /nfs/dbraw/zinc/15/20/41/192152041.db2.gz IILKMMODRGEYSM-SCSAIBSYSA-N -1 1 302.157 1.766 20 0 DDADMM COCC[N@@H+](Cc1cc(=O)[nH]c(C2CC2)n1)[C@H]1CCO[C@H]1C ZINC000459432593 233194971 /nfs/dbraw/zinc/19/49/71/233194971.db2.gz SBZCABSWLWJBOQ-FZMZJTMJSA-N -1 1 307.394 1.685 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCO[C@](C)(C2CC2)C1 ZINC000617394803 363085841 /nfs/dbraw/zinc/08/58/41/363085841.db2.gz LTSSHUISWGLGRG-HNNXBMFYSA-N -1 1 323.418 1.854 20 0 DDADMM Nc1ccccc1CCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000564940418 304027729 /nfs/dbraw/zinc/02/77/29/304027729.db2.gz SUYQAORVEKMTLA-GFCCVEGCSA-N -1 1 316.361 1.696 20 0 DDADMM Cn1c(CCNC(=O)[C@@H]2CCOc3ccccc32)n[n-]c1=S ZINC000067050786 353032339 /nfs/dbraw/zinc/03/23/39/353032339.db2.gz AEGWEIJYWBKDON-LLVKDONJSA-N -1 1 318.402 1.703 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)c2ccc3c(c2)COC3)cc1 ZINC000068074886 353085847 /nfs/dbraw/zinc/08/58/47/353085847.db2.gz KVPNVUBXYPSKAQ-UHFFFAOYSA-N -1 1 318.354 1.617 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)[C@@](C)(O)Cc1ccccc1 ZINC000073942367 353280177 /nfs/dbraw/zinc/28/01/77/353280177.db2.gz CXXXGPRSEZLPSJ-HNNXBMFYSA-N -1 1 320.418 1.359 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC[C@@H](N2CCNC2=O)C1 ZINC000080012891 353587813 /nfs/dbraw/zinc/58/78/13/353587813.db2.gz QXHCIGATLADMHK-LLVKDONJSA-N -1 1 307.325 1.161 20 0 DDADMM CC[C@@H](C)[C@](C)(O)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081944270 353699200 /nfs/dbraw/zinc/69/92/00/353699200.db2.gz OPQATTHOOPXKFY-BMLIUANNSA-N -1 1 323.437 1.903 20 0 DDADMM Cc1nc(-c2ccc(N(C)Cc3cnccn3)nc2)[n-]c(=O)c1C ZINC000091484185 353828910 /nfs/dbraw/zinc/82/89/10/353828910.db2.gz ITPRXQFETBOLES-UHFFFAOYSA-N -1 1 322.372 1.875 20 0 DDADMM COc1ccc(-c2n[nH]c(SCc3n[nH]c(=O)[n-]3)n2)cc1 ZINC000091576783 353829595 /nfs/dbraw/zinc/82/95/95/353829595.db2.gz SOCCDVTXQQLLSR-UHFFFAOYSA-N -1 1 304.335 1.184 20 0 DDADMM O=c1[n-]c(CNC2(c3ccc4c(c3)OCCO4)CCCC2)n[nH]1 ZINC000091600070 353831330 /nfs/dbraw/zinc/83/13/30/353831330.db2.gz YLBCVEMMISESCJ-UHFFFAOYSA-N -1 1 316.361 1.428 20 0 DDADMM NS(=O)(=O)c1ccc([N-]S(=O)(=O)CCC2CC2)cc1F ZINC000092878521 353872888 /nfs/dbraw/zinc/87/28/88/353872888.db2.gz YHOOGLFZCGSXNG-UHFFFAOYSA-N -1 1 322.383 1.015 20 0 DDADMM O=C(c1c(F)ccc(F)c1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000131345857 354099548 /nfs/dbraw/zinc/09/95/48/354099548.db2.gz JBXPXZIWRYBXGB-ZETCQYMHSA-N -1 1 311.267 1.637 20 0 DDADMM CN1CCO[C@H]2CN(C(=O)c3cc4ccccc4cc3[O-])C[C@@H]21 ZINC000152712213 283033891 /nfs/dbraw/zinc/03/38/91/283033891.db2.gz GPTJPHYVNKVJKF-RDJZCZTQSA-N -1 1 312.369 1.700 20 0 DDADMM O=C(Nc1nccs1)[C@H]1CCCN1Cc1ncccc1[O-] ZINC000584687393 354745406 /nfs/dbraw/zinc/74/54/06/354745406.db2.gz VGIWQGIBAWSNGV-LLVKDONJSA-N -1 1 304.375 1.847 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1CCc2ccccc2CC1 ZINC000636249700 422747967 /nfs/dbraw/zinc/74/79/67/422747967.db2.gz NIGXSVQGYXGPON-UHFFFAOYSA-N -1 1 313.405 1.834 20 0 DDADMM CCO[C@@H]1C[C@@H](N=c2nc([C@H](C)OC)[n-]s2)[C@@H]1SC ZINC000591991437 355455349 /nfs/dbraw/zinc/45/53/49/355455349.db2.gz VTDLQINECGQONG-JLIMGVALSA-N -1 1 303.453 1.988 20 0 DDADMM CC[C@@H](CNC(=O)c1cc(Cl)c([O-])c(OC)c1)C(=O)OC ZINC000592873084 355726577 /nfs/dbraw/zinc/72/65/77/355726577.db2.gz XMRVUSRSMLHLPL-QMMMGPOBSA-N -1 1 315.753 1.983 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC000593115703 355804627 /nfs/dbraw/zinc/80/46/27/355804627.db2.gz HRYLVCMFLIBXNZ-GRYCIOLGSA-N -1 1 303.362 1.560 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2CC[C@@H](C)O2)cc1C ZINC000595339609 356454949 /nfs/dbraw/zinc/45/49/49/356454949.db2.gz ZIVVLTWXOLNFIB-NXEZZACHSA-N -1 1 317.363 1.220 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H](C)C[C@H](C)O)cc1C ZINC000595313667 356445882 /nfs/dbraw/zinc/44/58/82/356445882.db2.gz BIFRGYFBLXAFOQ-SCZZXKLOSA-N -1 1 319.379 1.060 20 0 DDADMM COCCN(CC(=O)[O-])C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000237065754 202140980 /nfs/dbraw/zinc/14/09/80/202140980.db2.gz ALJQHWNUUMJPIF-UHFFFAOYSA-N -1 1 303.318 1.250 20 0 DDADMM COC(=O)CSCCNC(=O)c1ncc2ccccc2c1[O-] ZINC000597162569 357078189 /nfs/dbraw/zinc/07/81/89/357078189.db2.gz LAELELHQXAOQDH-UHFFFAOYSA-N -1 1 320.370 1.576 20 0 DDADMM CCOc1ccccc1OCCCC(=O)NCc1nn[n-]n1 ZINC000599334304 357898197 /nfs/dbraw/zinc/89/81/97/357898197.db2.gz KZJZFCRDJIBIIS-UHFFFAOYSA-N -1 1 305.338 1.074 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)OCCO1 ZINC000179521058 199049823 /nfs/dbraw/zinc/04/98/23/199049823.db2.gz NYSJSJANOYNBMM-UHFFFAOYSA-N -1 1 311.281 1.145 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2cccnc2C)o1 ZINC000599445377 357940329 /nfs/dbraw/zinc/94/03/29/357940329.db2.gz RNKDXQHFGFPUHO-UHFFFAOYSA-N -1 1 324.358 1.638 20 0 DDADMM CNC(=O)c1ccc(CNC(=O)c2c(F)ccc([O-])c2F)cc1 ZINC000347986414 283318344 /nfs/dbraw/zinc/31/83/44/283318344.db2.gz APYVIJWEOMJFHH-UHFFFAOYSA-N -1 1 320.295 1.960 20 0 DDADMM CC(C)[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C(F)(F)F ZINC000348116854 283376992 /nfs/dbraw/zinc/37/69/92/283376992.db2.gz LHVYLHHUNNBQAN-NSHDSACASA-N -1 1 319.283 1.220 20 0 DDADMM COC(=O)c1ccc(Cl)c(CNCCOCC(=O)[O-])c1 ZINC000602067377 358799127 /nfs/dbraw/zinc/79/91/27/358799127.db2.gz QUJGPNAZSHJIJV-UHFFFAOYSA-N -1 1 301.726 1.317 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@]2(C)CC=C(C)CC2)n[n-]1 ZINC000603019793 359361794 /nfs/dbraw/zinc/36/17/94/359361794.db2.gz FDMGQDBXFVBLFR-OAHLLOKOSA-N -1 1 306.366 1.734 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@]2(C)CC=C(C)CC2)n1 ZINC000603019793 359361799 /nfs/dbraw/zinc/36/17/99/359361799.db2.gz FDMGQDBXFVBLFR-OAHLLOKOSA-N -1 1 306.366 1.734 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCCC[C@@H]2CC)n[n-]1 ZINC000603020166 359362760 /nfs/dbraw/zinc/36/27/60/359362760.db2.gz YFSBNYLDOBOPOY-WDEREUQCSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCCC[C@@H]2CC)n1 ZINC000603020166 359362764 /nfs/dbraw/zinc/36/27/64/359362764.db2.gz YFSBNYLDOBOPOY-WDEREUQCSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCC(C)(C)C2)n[n-]1 ZINC000603152244 359439506 /nfs/dbraw/zinc/43/95/06/359439506.db2.gz BTYDSTJFKNOZCT-VHSXEESVSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCC(C)(C)C2)[n-]1 ZINC000603152244 359439509 /nfs/dbraw/zinc/43/95/09/359439509.db2.gz BTYDSTJFKNOZCT-VHSXEESVSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCC(C)(C)C2)n1 ZINC000603152244 359439510 /nfs/dbraw/zinc/43/95/10/359439510.db2.gz BTYDSTJFKNOZCT-VHSXEESVSA-N -1 1 308.382 1.985 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1C(C)(C)C1(F)F)C(C)C ZINC000603267936 359527795 /nfs/dbraw/zinc/52/77/95/359527795.db2.gz OHCRFMLXKASEQU-BDAKNGLRSA-N -1 1 313.366 1.395 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2 ZINC000603367323 359596773 /nfs/dbraw/zinc/59/67/73/359596773.db2.gz PHSFTLYXZNKTAC-QCNOEVLYSA-N -1 1 319.423 1.204 20 0 DDADMM Cc1csc(-c2cccc(C(=O)NCc3nn[n-]n3)c2)n1 ZINC000606843652 359965342 /nfs/dbraw/zinc/96/53/42/359965342.db2.gz JVJSCPRMLFGKLO-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CCSC2)c(F)c1 ZINC000608040105 360108241 /nfs/dbraw/zinc/10/82/41/360108241.db2.gz FZSDZHPZBCUCOC-ZETCQYMHSA-N -1 1 309.359 1.757 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1c[nH]nc1-c1cccnc1 ZINC000608351078 360162772 /nfs/dbraw/zinc/16/27/72/360162772.db2.gz NJNKQERXBCPORE-UHFFFAOYSA-N -1 1 323.238 1.861 20 0 DDADMM CC[C@H]1CN(C(=O)c2c(C)[n-]c(=O)nc2SC)CCCO1 ZINC000281168656 216126096 /nfs/dbraw/zinc/12/60/96/216126096.db2.gz BKXFKRQFHUEIFA-JTQLQIEISA-N -1 1 311.407 1.854 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(F)cc(OC)cc1F)C(=O)OC ZINC000611183139 360652901 /nfs/dbraw/zinc/65/29/01/360652901.db2.gz ZQODLTDXHOLPTO-SNVBAGLBSA-N -1 1 323.317 1.203 20 0 DDADMM CCCCCc1cc(C(=O)N[C@H](CCC)c2nn[n-]n2)[nH]n1 ZINC000612060881 360905036 /nfs/dbraw/zinc/90/50/36/360905036.db2.gz NASNYERUDGKWIW-LLVKDONJSA-N -1 1 305.386 1.927 20 0 DDADMM CCCCCc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)[nH]n1 ZINC000612814914 361154657 /nfs/dbraw/zinc/15/46/57/361154657.db2.gz GCXVWYVBXYERIB-NSHDSACASA-N -1 1 317.397 1.675 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncc(C)cc1[O-])c1ccccc1F ZINC000613083495 361262191 /nfs/dbraw/zinc/26/21/91/361262191.db2.gz VENHBGSBCSLTNX-ZDUSSCGKSA-N -1 1 318.304 1.879 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCN2C(=O)CC[C@H]2C1 ZINC000613162574 361300810 /nfs/dbraw/zinc/30/08/10/361300810.db2.gz ZLPIWFRFKDBFTA-LBPRGKRZSA-N -1 1 311.341 1.387 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc(-c2n[nH]c(C)n2)cc1 ZINC000193321217 201029075 /nfs/dbraw/zinc/02/90/75/201029075.db2.gz CRIILWGCJORMSI-SECBINFHSA-N -1 1 310.379 1.557 20 0 DDADMM COC(=O)[C@H](NC(=O)c1c([O-])cccc1F)c1ccccn1 ZINC000613978053 361646895 /nfs/dbraw/zinc/64/68/95/361646895.db2.gz JYBCKHSPUCRDLN-CYBMUJFWSA-N -1 1 304.277 1.570 20 0 DDADMM O=C(C(=O)N1CC[C@@H](N2CCC2)[C@@H](F)C1)c1ccc([O-])cc1 ZINC000614096351 361701291 /nfs/dbraw/zinc/70/12/91/361701291.db2.gz BDVFDXKCQJSZJV-UONOGXRCSA-N -1 1 306.337 1.220 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCC[C@H]2Cc2cnn(C)c2)c([O-])c1 ZINC000618936359 363811455 /nfs/dbraw/zinc/81/14/55/363811455.db2.gz ZSQNHCRYHYVGNI-KBPBESRZSA-N -1 1 314.389 1.970 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CC(C(=O)[O-])C1)c1ccc(F)cc1 ZINC000621829392 365046113 /nfs/dbraw/zinc/04/61/13/365046113.db2.gz UVSWKHXWTBHONR-ZDUSSCGKSA-N -1 1 309.341 1.154 20 0 DDADMM Cc1ccc(COCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000621928653 365119848 /nfs/dbraw/zinc/11/98/48/365119848.db2.gz JOKKVAHSOYIAOM-AWEZNQCLSA-N -1 1 315.377 1.431 20 0 DDADMM Cc1ncccc1NS(=O)(=O)c1ccc(CC(=O)[O-])cc1 ZINC000091495258 193063967 /nfs/dbraw/zinc/06/39/67/193063967.db2.gz FUOBLGNDBVVUTE-UHFFFAOYSA-N -1 1 306.343 1.818 20 0 DDADMM N=c1[n-]nc(CNC(=O)c2cccc(C(F)(F)F)c2O)o1 ZINC000622523152 365430789 /nfs/dbraw/zinc/43/07/89/365430789.db2.gz XLVCVXSNSHXEGJ-UHFFFAOYSA-N -1 1 302.212 1.137 20 0 DDADMM Cc1ncccc1NC(=O)[C@H](C)NC(=O)c1cncc([O-])c1 ZINC000622681926 365483063 /nfs/dbraw/zinc/48/30/63/365483063.db2.gz ARBSSBOEMSLTLV-JTQLQIEISA-N -1 1 300.318 1.248 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(c2cc(F)cc(F)c2)CCCC1 ZINC000626465312 367561190 /nfs/dbraw/zinc/56/11/90/367561190.db2.gz ZMJHMEFYCHYVBX-UHFFFAOYSA-N -1 1 307.304 1.606 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CC[C@@H](OC)C2)o1 ZINC000350001799 284130696 /nfs/dbraw/zinc/13/06/96/284130696.db2.gz GZKXUIXPSBPANV-VHSXEESVSA-N -1 1 317.363 1.302 20 0 DDADMM C[C@H](CNC(=O)[C@H]1CCCN1Cc1ccccc1)CC(=O)[O-] ZINC000262195531 203227533 /nfs/dbraw/zinc/22/75/33/203227533.db2.gz IQGVMKXHCLGEQW-DZGCQCFKSA-N -1 1 304.390 1.878 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)NCCCN(C)c1ccccc1 ZINC000262647023 203363939 /nfs/dbraw/zinc/36/39/39/203363939.db2.gz KHDQXZJOYKTCST-UHFFFAOYSA-N -1 1 307.394 1.036 20 0 DDADMM O=C(N[C@H](Cc1ccccc1)[C@@H](O)C(F)F)c1cncc([O-])c1 ZINC000339958585 284161077 /nfs/dbraw/zinc/16/10/77/284161077.db2.gz IFZNWGFOZPBZMQ-ZIAGYGMSSA-N -1 1 322.311 1.754 20 0 DDADMM O=C([O-])CC1(NS(=O)(=O)c2c(F)cc(F)cc2F)CCC1 ZINC000094291256 193356159 /nfs/dbraw/zinc/35/61/59/193356159.db2.gz JIHUUGQWKHJOPD-UHFFFAOYSA-N -1 1 323.292 1.780 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(OCCCO)cc2)cn1 ZINC000350481768 284204068 /nfs/dbraw/zinc/20/40/68/284204068.db2.gz RRBQNMGDLNJHPJ-UHFFFAOYSA-N -1 1 322.386 1.952 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1ccc2[nH]nnc2c1 ZINC000262513580 304157311 /nfs/dbraw/zinc/15/73/11/304157311.db2.gz NEUKGJIUNLPPNV-BREBYQMCSA-N -1 1 300.318 1.283 20 0 DDADMM O=S(=O)([N-]Cc1ccnc2ccnn21)c1cc(F)ccc1F ZINC000350617322 284220837 /nfs/dbraw/zinc/22/08/37/284220837.db2.gz CMFJVRMPPHYJFM-UHFFFAOYSA-N -1 1 324.312 1.486 20 0 DDADMM CN(C)C(=O)CCNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000282532222 217066571 /nfs/dbraw/zinc/06/65/71/217066571.db2.gz RRPYHGWYIMKICC-UHFFFAOYSA-N -1 1 321.764 1.802 20 0 DDADMM CN(C)c1cccc(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)c1 ZINC000351298365 284316360 /nfs/dbraw/zinc/31/63/60/284316360.db2.gz AMCQBJHOTQDKNB-UHFFFAOYSA-N -1 1 321.406 1.939 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)sc1C ZINC000282799498 217259604 /nfs/dbraw/zinc/25/96/04/217259604.db2.gz AFFPZQYAUQQLOA-JTQLQIEISA-N -1 1 306.391 1.796 20 0 DDADMM O=C(COc1ccccc1F)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282883465 217300003 /nfs/dbraw/zinc/30/00/03/217300003.db2.gz JSNNDZGUNXNSIT-JTQLQIEISA-N -1 1 320.324 1.022 20 0 DDADMM CCn1c(C)cc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c1C ZINC000283011316 217385378 /nfs/dbraw/zinc/38/53/78/217385378.db2.gz WKPHQLBUCMURGG-GFCCVEGCSA-N -1 1 317.393 1.556 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)C12CC3CC(CC(C3)C1)C2 ZINC000020268158 182201869 /nfs/dbraw/zinc/20/18/69/182201869.db2.gz IVTNWTBHDBDPGC-IAHCOWJPSA-N -1 1 317.389 1.738 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1[C@@H](C)[C@@H]1c1ccccc1 ZINC000337126385 249357697 /nfs/dbraw/zinc/35/76/97/249357697.db2.gz FVZSYUIRSIFNPR-ZWKOPEQDSA-N -1 1 312.373 1.488 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]c1cnn(CC)c1C(F)(F)F ZINC000337175422 249377470 /nfs/dbraw/zinc/37/74/70/249377470.db2.gz ISNQLCHKIHIUEF-UHFFFAOYSA-N -1 1 314.333 1.920 20 0 DDADMM CC(C)[C@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000284454804 218034271 /nfs/dbraw/zinc/03/42/71/218034271.db2.gz VZPAAZBGKDMDJJ-GWCFXTLKSA-N -1 1 323.418 1.463 20 0 DDADMM Cc1noc(C(C)(C)[N-]S(=O)(=O)c2cccc(F)c2F)n1 ZINC000284674254 218127585 /nfs/dbraw/zinc/12/75/85/218127585.db2.gz NOTTVEPQAVBPEL-UHFFFAOYSA-N -1 1 317.317 1.870 20 0 DDADMM Cc1noc([C@@H]2CN(C(=O)c3cc(F)ccc3[O-])CCN2C)n1 ZINC000284743666 218158960 /nfs/dbraw/zinc/15/89/60/218158960.db2.gz UXAYEEIUJUCETL-LBPRGKRZSA-N -1 1 320.324 1.352 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2ccc(C(F)F)cc2)n[n-]1 ZINC000338748520 250118485 /nfs/dbraw/zinc/11/84/85/250118485.db2.gz LSNUEVGGWMXEAD-UHFFFAOYSA-N -1 1 316.289 1.398 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc(C(F)F)cc2)n1 ZINC000338748520 250118488 /nfs/dbraw/zinc/11/84/88/250118488.db2.gz LSNUEVGGWMXEAD-UHFFFAOYSA-N -1 1 316.289 1.398 20 0 DDADMM CO[C@H](C)c1nc(=NC(O)=Cc2c(C)noc2Cl)s[n-]1 ZINC000338922592 250197090 /nfs/dbraw/zinc/19/70/90/250197090.db2.gz ADVMJCRVOPUEEF-ZCFIWIBFSA-N -1 1 316.770 1.798 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCc2ccco2)c(C(F)(F)F)n1 ZINC000338956291 250218407 /nfs/dbraw/zinc/21/84/07/250218407.db2.gz WSYCAALWEGAELN-UHFFFAOYSA-N -1 1 323.296 1.553 20 0 DDADMM CCNC(=O)C1(C[N-]S(=O)(=O)c2sccc2F)CCC1 ZINC000338969949 250225908 /nfs/dbraw/zinc/22/59/08/250225908.db2.gz ISJHBMOTKASHJW-UHFFFAOYSA-N -1 1 320.411 1.472 20 0 DDADMM CC(C)[C@@](C)([N-]S(=O)(=O)c1cccc(Cl)c1F)C(N)=O ZINC000285285233 218365375 /nfs/dbraw/zinc/36/53/75/218365375.db2.gz JUFLDEGHSIWOPK-GFCCVEGCSA-N -1 1 322.789 1.657 20 0 DDADMM Cn1ccnc1[C@@H](NC(=O)c1ncccc1[O-])C1CCOCC1 ZINC000285311968 218376977 /nfs/dbraw/zinc/37/69/77/218376977.db2.gz KESCBGRHPZPZIC-ZDUSSCGKSA-N -1 1 316.361 1.418 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@H](C)CCO)c(=O)[n-]1 ZINC000340558435 251113375 /nfs/dbraw/zinc/11/33/75/251113375.db2.gz SIPHPXWLJBLXIN-SECBINFHSA-N -1 1 313.423 1.280 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)CCC(F)(F)F)[C@@H](C)CC ZINC000340901676 251265504 /nfs/dbraw/zinc/26/55/04/251265504.db2.gz KLVBQDXHRCVUAH-DTWKUNHWSA-N -1 1 319.345 1.836 20 0 DDADMM CCC[C@@H]1CCCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000567654078 304232301 /nfs/dbraw/zinc/23/23/01/304232301.db2.gz TXCFRBWDHAXVLI-GHMZBOCLSA-N -1 1 303.366 1.506 20 0 DDADMM CCO[C@H]1C[C@H](NC(=O)CCc2nn[n-]n2)C12CCCCC2 ZINC000631500380 422800667 /nfs/dbraw/zinc/80/06/67/422800667.db2.gz WQSDVIDSGHLNEM-RYUDHWBXSA-N -1 1 307.398 1.376 20 0 DDADMM COCCN1CC[C@@H](NC(=O)c2cc(F)cc(Cl)c2[O-])C1 ZINC000269202364 207322462 /nfs/dbraw/zinc/32/24/62/207322462.db2.gz IADKAMDCMPVMFI-SNVBAGLBSA-N -1 1 316.760 1.635 20 0 DDADMM O=C(CCc1ccccn1)NCc1n[n-]c(=S)n1C1CC1 ZINC000066637691 184420337 /nfs/dbraw/zinc/42/03/37/184420337.db2.gz KWWWOFPGQXSFHJ-UHFFFAOYSA-N -1 1 303.391 1.920 20 0 DDADMM C[C@@H](c1ccccc1F)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352421471 285039093 /nfs/dbraw/zinc/03/90/93/285039093.db2.gz CRSQAUFVUKUKMN-VIFPVBQESA-N -1 1 315.308 1.390 20 0 DDADMM CC(C)Oc1ccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000352423756 285040600 /nfs/dbraw/zinc/04/06/00/285040600.db2.gz PAVADPVVJWCQFV-UHFFFAOYSA-N -1 1 313.317 1.457 20 0 DDADMM CC(C)N(Cc1ccccc1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352433770 285047990 /nfs/dbraw/zinc/04/79/90/285047990.db2.gz XHHRQHNCYGGYIS-UHFFFAOYSA-N -1 1 311.345 1.468 20 0 DDADMM Cc1ccsc1CNC(=O)CN1C[C@H](C(=O)[O-])CC[C@@H]1C ZINC000567857610 304248592 /nfs/dbraw/zinc/24/85/92/304248592.db2.gz JNHKSVHBIHSVNJ-NWDGAFQWSA-N -1 1 310.419 1.858 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](CO)CC(C)(C)C)c1 ZINC000269977418 208029479 /nfs/dbraw/zinc/02/94/79/208029479.db2.gz ZGYNTAIGZDPZDM-JTQLQIEISA-N -1 1 319.379 1.142 20 0 DDADMM CCNC(=O)[C@H](C)[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000270147907 208206151 /nfs/dbraw/zinc/20/61/51/208206151.db2.gz QOHKTTDMKJDRAZ-ZETCQYMHSA-N -1 1 306.259 1.697 20 0 DDADMM CCS(=O)(=O)CCCNC(=O)c1cc(Cl)ccc1[O-] ZINC000412865054 224095440 /nfs/dbraw/zinc/09/54/40/224095440.db2.gz NWCGLZAIUVXGDT-UHFFFAOYSA-N -1 1 305.783 1.600 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)CC2(C)C)co1 ZINC000270253822 208316924 /nfs/dbraw/zinc/31/69/24/208316924.db2.gz YOEXNUPNAZHDDL-SECBINFHSA-N -1 1 300.380 1.448 20 0 DDADMM C[C@H](O)[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CCO1 ZINC000412926059 224107018 /nfs/dbraw/zinc/10/70/18/224107018.db2.gz OYJKQHKGBPGQFK-UFBFGSQYSA-N -1 1 319.279 1.633 20 0 DDADMM O=C(Nc1ccc(Cl)cc1Cl)c1cc(=O)n2[n-]cnc2n1 ZINC000352615640 285175480 /nfs/dbraw/zinc/17/54/80/285175480.db2.gz GVCFJEADQMSWBQ-UHFFFAOYSA-N -1 1 324.127 1.977 20 0 DDADMM CCO[C@H]1C[C@@H](O)C12CCN(C(=O)c1cncc([O-])c1)CC2 ZINC000157232760 197171785 /nfs/dbraw/zinc/17/17/85/197171785.db2.gz MUMKMJCYDMBXSO-KGLIPLIRSA-N -1 1 306.362 1.179 20 0 DDADMM CN(C)C(=O)c1ccc(=NC[C@@H]2CSc3ccccc32)[n-]n1 ZINC000413322676 224176512 /nfs/dbraw/zinc/17/65/12/224176512.db2.gz HFDKLUKJNMUEME-LLVKDONJSA-N -1 1 314.414 1.902 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2[C@@H]3Cc4ccccc4[C@@H]32)c1Cl ZINC000154302895 196955767 /nfs/dbraw/zinc/95/57/67/196955767.db2.gz WZDWRGYVJGIYND-WOPDTQHZSA-N -1 1 323.805 1.690 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)[C@H]1C[C@@H]1c1ccc(F)cc1 ZINC000120714432 195222256 /nfs/dbraw/zinc/22/22/56/195222256.db2.gz NSWUUVUKAQBPRB-MNOVXSKESA-N -1 1 322.365 1.770 20 0 DDADMM CN=c1[n-]nc(CC(=O)Nc2ccc3nc(C)[nH]c3c2)s1 ZINC000352788665 285302047 /nfs/dbraw/zinc/30/20/47/285302047.db2.gz UIDRMMUMHGSSLG-UHFFFAOYSA-N -1 1 302.363 1.368 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2c(F)cc(C)cc2F)CCC1 ZINC000425169788 533256192 /nfs/dbraw/zinc/25/61/92/533256192.db2.gz PUDJAUTZZSOMNC-UHFFFAOYSA-N -1 1 319.329 1.647 20 0 DDADMM CCO[C@H]1COCC[C@@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000353007355 285452719 /nfs/dbraw/zinc/45/27/19/285452719.db2.gz OMRQGLLQYXZJPO-QWRGUYRKSA-N -1 1 321.345 1.437 20 0 DDADMM COc1ccc(CN2CCCN(C(=O)[C@H](C)C(=O)[O-])CC2)cc1 ZINC000568748395 304309571 /nfs/dbraw/zinc/30/95/71/304309571.db2.gz OEQWHNCSNIRBDL-ZDUSSCGKSA-N -1 1 320.389 1.450 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1c(F)cccc1F ZINC000353391110 285702897 /nfs/dbraw/zinc/70/28/97/285702897.db2.gz USEBBXNPQPCGJP-ZETCQYMHSA-N -1 1 319.271 1.187 20 0 DDADMM CCOc1ccc(CN(C)C(=O)CCCc2nn[n-]n2)cc1 ZINC000635103099 422814878 /nfs/dbraw/zinc/81/48/78/422814878.db2.gz SYUXZFNCYJGVQP-UHFFFAOYSA-N -1 1 303.366 1.580 20 0 DDADMM CC[C@H](Cc1ccc(C)cc1)N(C)C(=O)CCc1nn[n-]n1 ZINC000631535179 422819005 /nfs/dbraw/zinc/81/90/05/422819005.db2.gz SIUSIGNCTYVZDO-CQSZACIVSA-N -1 1 301.394 1.920 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC(N2CN=NC2=O)CC1 ZINC000569648475 304371165 /nfs/dbraw/zinc/37/11/65/304371165.db2.gz DJYJGFLKKDIOLR-UHFFFAOYSA-N -1 1 324.287 1.445 20 0 DDADMM CCN(C(=O)c1cc(F)ccc1[O-])[C@H]1CCS(=O)(=O)C1 ZINC000271188438 407564437 /nfs/dbraw/zinc/56/44/37/407564437.db2.gz FFIMZLDXEJYHFF-JTQLQIEISA-N -1 1 301.339 1.181 20 0 DDADMM COC[C@@](C)([N-]S(=O)(=O)CC1CCCCC1)C(=O)OC ZINC000340889450 292952075 /nfs/dbraw/zinc/95/20/75/292952075.db2.gz TUNDPDXZDHYLTG-CYBMUJFWSA-N -1 1 307.412 1.064 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@H](C)c2cccnc2)o1 ZINC000068294631 406631141 /nfs/dbraw/zinc/63/11/41/406631141.db2.gz GDQZAMAJWLIBHA-SNVBAGLBSA-N -1 1 323.374 1.416 20 0 DDADMM Cc1ccc(CC(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)cc1 ZINC000074161736 406903983 /nfs/dbraw/zinc/90/39/83/406903983.db2.gz SQODMXQJXUHLFW-UHFFFAOYSA-N -1 1 324.384 1.807 20 0 DDADMM O=C(COc1ccccc1Cc1ccccc1)Nc1nnn[n-]1 ZINC000078379971 407026165 /nfs/dbraw/zinc/02/61/65/407026165.db2.gz MANASUATKNFYOW-UHFFFAOYSA-N -1 1 309.329 1.808 20 0 DDADMM O=C(COc1ccccc1Cc1ccccc1)Nc1nn[n-]n1 ZINC000078379971 407026168 /nfs/dbraw/zinc/02/61/68/407026168.db2.gz MANASUATKNFYOW-UHFFFAOYSA-N -1 1 309.329 1.808 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)O[C@@H](C)C1 ZINC000078784369 407045871 /nfs/dbraw/zinc/04/58/71/407045871.db2.gz OEXMXWYKWFZZMN-AOOOYVTPSA-N -1 1 307.394 1.625 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2cc(F)ccc2F)CCCC1 ZINC000045807852 407049686 /nfs/dbraw/zinc/04/96/86/407049686.db2.gz FDZXEUKREXOJEV-UHFFFAOYSA-N -1 1 319.329 1.729 20 0 DDADMM Cn1nc(C(C)(C)C)cc1C(=O)NCc1n[n-]c(=S)n1C ZINC000066625223 407256218 /nfs/dbraw/zinc/25/62/18/407256218.db2.gz GCVOSHVMUPVJAJ-UHFFFAOYSA-N -1 1 308.411 1.439 20 0 DDADMM C[C@H]1CCC[C@@H](CC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1 ZINC000101664092 407314480 /nfs/dbraw/zinc/31/44/80/407314480.db2.gz KOTAUHWXTLWQKY-DTWKUNHWSA-N -1 1 300.384 1.363 20 0 DDADMM C[C@H]1CCC[C@@H](CC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1 ZINC000101664092 407314481 /nfs/dbraw/zinc/31/44/81/407314481.db2.gz KOTAUHWXTLWQKY-DTWKUNHWSA-N -1 1 300.384 1.363 20 0 DDADMM CCn1c(CCNC(=O)c2ccc3[nH]cnc3c2)n[n-]c1=S ZINC000067119154 407267068 /nfs/dbraw/zinc/26/70/68/407267068.db2.gz LXMVWRLYADJUQF-UHFFFAOYSA-N -1 1 316.390 1.809 20 0 DDADMM COc1ccc(OCCN(C)C(=O)c2cncc([O-])c2)cc1 ZINC000109042299 407394364 /nfs/dbraw/zinc/39/43/64/407394364.db2.gz XVNBMAVPHRZPKG-UHFFFAOYSA-N -1 1 302.330 1.947 20 0 DDADMM O=C(NCCCNC(=O)c1cncc([O-])c1)c1cccc(F)c1 ZINC000111631661 407412721 /nfs/dbraw/zinc/41/27/21/407412721.db2.gz IXMFUTGNHRDDTQ-UHFFFAOYSA-N -1 1 317.320 1.476 20 0 DDADMM CCCCN(CC)C(=O)CS(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127208159 407430363 /nfs/dbraw/zinc/43/03/63/407430363.db2.gz DVEUWONFSLCDQO-UHFFFAOYSA-N -1 1 314.411 1.104 20 0 DDADMM C[C@@H](c1nc(C2CC2)no1)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127226610 407430944 /nfs/dbraw/zinc/43/09/44/407430944.db2.gz JKQMZQUYFKPEQA-LURJTMIESA-N -1 1 309.351 1.477 20 0 DDADMM CCC[C@H](NC(=O)c1sc2nccn2c1C)c1nn[n-]n1 ZINC000271248487 407596441 /nfs/dbraw/zinc/59/64/41/407596441.db2.gz RDECRRHKTWYHFG-QMMMGPOBSA-N -1 1 305.367 1.489 20 0 DDADMM O=C([C@@H]1C[C@@H]1c1ccc(F)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129259732 407598332 /nfs/dbraw/zinc/59/83/32/407598332.db2.gz ZHLKAFGHQMCXEO-IACUBPJLSA-N -1 1 315.352 1.849 20 0 DDADMM CS(=O)(=O)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000114814819 407615345 /nfs/dbraw/zinc/61/53/45/407615345.db2.gz SWSZNKSIDHMDMY-UHFFFAOYSA-N -1 1 304.799 1.123 20 0 DDADMM O=S(=O)([N-]CCCO[C@H]1CCOC1)c1cc(F)ccc1F ZINC000152353223 407619010 /nfs/dbraw/zinc/61/90/10/407619010.db2.gz FXOXJUCRMDMYQU-NSHDSACASA-N -1 1 321.345 1.439 20 0 DDADMM CN(C[C@@H]1COc2ccccc2O1)C(=O)c1ncccc1[O-] ZINC000171234978 407635293 /nfs/dbraw/zinc/63/52/93/407635293.db2.gz XOWHNOZWJNVXPA-LLVKDONJSA-N -1 1 300.314 1.699 20 0 DDADMM Cc1ccc(-c2cnc(CS(=O)(=O)c3ncn[n-]3)o2)cc1 ZINC000153008526 407733744 /nfs/dbraw/zinc/73/37/44/407733744.db2.gz PODYXCZGSQUJIM-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM Cc1ccc(-c2cnc(CS(=O)(=O)c3nc[n-]n3)o2)cc1 ZINC000153008526 407733747 /nfs/dbraw/zinc/73/37/47/407733747.db2.gz PODYXCZGSQUJIM-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM CCn1cc(NC(=O)c2cnc3n(C)[n-]cc-3c2=O)ccc1=O ZINC000179437779 407790041 /nfs/dbraw/zinc/79/00/41/407790041.db2.gz BZTCPFVCLZIWJP-UHFFFAOYSA-N -1 1 313.317 1.108 20 0 DDADMM CC(=O)Nc1cccc2c1CCN(C(=O)c1cncc([O-])c1)C2 ZINC000171865434 407787541 /nfs/dbraw/zinc/78/75/41/407787541.db2.gz QCXOSFGCUOYHQC-UHFFFAOYSA-N -1 1 311.341 1.944 20 0 DDADMM CCC(=O)N1CC[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000133199623 407822190 /nfs/dbraw/zinc/82/21/90/407822190.db2.gz JFIOOYSSEKPSDV-LBPRGKRZSA-N -1 1 322.386 1.722 20 0 DDADMM Cc1cccc(OCc2nnc(SCc3nn[n-]n3)o2)c1 ZINC000267309308 407831537 /nfs/dbraw/zinc/83/15/37/407831537.db2.gz CUOJWPWXIOTGKM-UHFFFAOYSA-N -1 1 304.335 1.762 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCCN(CC(F)F)CC1 ZINC000153663086 407872997 /nfs/dbraw/zinc/87/29/97/407872997.db2.gz SBURINMCEDATBL-UHFFFAOYSA-N -1 1 302.296 1.944 20 0 DDADMM C[C@@H]1CN(C[C@@H]2CCCN2C(=O)c2ncccc2[O-])C[C@H](C)O1 ZINC000153665601 407874667 /nfs/dbraw/zinc/87/46/67/407874667.db2.gz VRZSVCVFVMSZJI-MJBXVCDLSA-N -1 1 319.405 1.501 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2ccc(OCC)nc2)[nH]n1 ZINC000181051711 407950016 /nfs/dbraw/zinc/95/00/16/407950016.db2.gz FBPFCGPEQJUNFN-UHFFFAOYSA-N -1 1 310.379 1.957 20 0 DDADMM CCCc1nnc(NC(=O)c2cnc3n(C)[n-]cc-3c2=O)s1 ZINC000119172568 408009408 /nfs/dbraw/zinc/00/94/08/408009408.db2.gz QEVWGWNEBNWLAP-UHFFFAOYSA-N -1 1 318.362 1.730 20 0 DDADMM CNC(=O)[C@@H](Cc1ccccc1)Sc1ncc(C(=O)OC)[n-]1 ZINC000189436544 408018203 /nfs/dbraw/zinc/01/82/03/408018203.db2.gz GGPFPELTGBIZRJ-GFCCVEGCSA-N -1 1 319.386 1.646 20 0 DDADMM CNC(=O)[C@@H](Cc1ccccc1)Sc1nc(C(=O)OC)c[n-]1 ZINC000189436544 408018212 /nfs/dbraw/zinc/01/82/12/408018212.db2.gz GGPFPELTGBIZRJ-GFCCVEGCSA-N -1 1 319.386 1.646 20 0 DDADMM Cn1ncc2c1nc(C=Cc1cc(F)c([O-])c(F)c1)[nH]c2=O ZINC000175324845 408105838 /nfs/dbraw/zinc/10/58/38/408105838.db2.gz LGEPCGBJQKFUPJ-NSCUHMNNSA-N -1 1 304.256 1.811 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3ccc(C)cc3)nc2n1 ZINC000137514314 408156795 /nfs/dbraw/zinc/15/67/95/408156795.db2.gz RXRATCNZIWVBLA-UHFFFAOYSA-N -1 1 311.345 1.931 20 0 DDADMM Cc1cccc(OCCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000155557234 408179515 /nfs/dbraw/zinc/17/95/15/408179515.db2.gz HCIXDBUKGGLENT-CYBMUJFWSA-N -1 1 315.377 1.683 20 0 DDADMM O=C(c1cc(F)c(F)cc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155575616 408182475 /nfs/dbraw/zinc/18/24/75/408182475.db2.gz UKXCUQYGNSCEJB-ZETCQYMHSA-N -1 1 311.267 1.637 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCCC[C@@H]1O ZINC000269280671 408249931 /nfs/dbraw/zinc/24/99/31/408249931.db2.gz AMFSRBVFJOKGQM-MNOVXSKESA-N -1 1 307.394 1.821 20 0 DDADMM Cc1nc(CCCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cs1 ZINC000273599466 408260852 /nfs/dbraw/zinc/26/08/52/408260852.db2.gz XRYCJXGITNCYPZ-SECBINFHSA-N -1 1 308.411 1.549 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@@H]1SCCc2sccc21 ZINC000176267615 408327756 /nfs/dbraw/zinc/32/77/56/408327756.db2.gz MIJUQVRRXYKDOB-LLVKDONJSA-N -1 1 324.431 1.239 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc3c(c2)CCCC3)n1 ZINC000132100183 162029905 /nfs/dbraw/zinc/02/99/05/162029905.db2.gz FKSDJTDZKPIJJA-UHFFFAOYSA-N -1 1 320.374 1.339 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2ccc3c(c2)CCCC3)[n-]1 ZINC000132100183 162029909 /nfs/dbraw/zinc/02/99/09/162029909.db2.gz FKSDJTDZKPIJJA-UHFFFAOYSA-N -1 1 320.374 1.339 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCC[C@H]1CN1CCOCC1 ZINC000153805443 162140749 /nfs/dbraw/zinc/14/07/49/162140749.db2.gz XYWAVLXAMMKXGT-ZDUSSCGKSA-N -1 1 305.378 1.114 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCCO[C@@H](C)C3)cnc2n1 ZINC000171209751 162209415 /nfs/dbraw/zinc/20/94/15/162209415.db2.gz CLYIRFYFRRZQKH-NSHDSACASA-N -1 1 301.346 1.895 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CCCO[C@@H](C)C1)c2=O ZINC000171209751 162209418 /nfs/dbraw/zinc/20/94/18/162209418.db2.gz CLYIRFYFRRZQKH-NSHDSACASA-N -1 1 301.346 1.895 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(O)cc2F)o1 ZINC000183276601 408366764 /nfs/dbraw/zinc/36/67/64/408366764.db2.gz POZUPAQHVISYIC-UHFFFAOYSA-N -1 1 314.294 1.285 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1SCCc2ccccc21)c1nn[n-]n1 ZINC000183399841 408397643 /nfs/dbraw/zinc/39/76/43/408397643.db2.gz FWHKTMAMIAJTJU-MFKMUULPSA-N -1 1 317.418 1.792 20 0 DDADMM CCC(CC)c1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)on1 ZINC000183437176 408410044 /nfs/dbraw/zinc/41/00/44/408410044.db2.gz QYMHFCOOPRTVJG-VIFPVBQESA-N -1 1 306.370 1.967 20 0 DDADMM CCC[C@H](NC(=O)CCc1nc(C(C)(C)C)no1)c1nn[n-]n1 ZINC000176767378 408438582 /nfs/dbraw/zinc/43/85/82/408438582.db2.gz ISUPAGITPZBAFO-VIFPVBQESA-N -1 1 321.385 1.470 20 0 DDADMM Cc1cc(C(=O)NN2CC(=O)[N-]C2=O)cc(C)c1OC(F)F ZINC000264467795 408501738 /nfs/dbraw/zinc/50/17/38/408501738.db2.gz KMCJGHMZZNPVOC-UHFFFAOYSA-N -1 1 313.260 1.101 20 0 DDADMM C[C@H](CN(C)C(=O)CCC(=O)c1cccc(F)c1)c1nn[n-]n1 ZINC000274735089 408513402 /nfs/dbraw/zinc/51/34/02/408513402.db2.gz DJWZFDZHHDICQI-SNVBAGLBSA-N -1 1 319.340 1.564 20 0 DDADMM C[C@H](CN(C)C(=O)c1csc(C(C)(C)C)n1)c1nn[n-]n1 ZINC000274758917 408521552 /nfs/dbraw/zinc/52/15/52/408521552.db2.gz JGOVJHYMMBNOSO-MRVPVSSYSA-N -1 1 308.411 1.829 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3ccccc3c2[O-])C[C@H](CO)O1 ZINC000191721401 408477202 /nfs/dbraw/zinc/47/72/02/408477202.db2.gz HRAXAUMSGLIIKA-DGCLKSJQSA-N -1 1 301.342 1.767 20 0 DDADMM O=S(=O)([N-]CC(F)(F)C(F)F)c1ccc(Cl)nc1 ZINC000192136408 408548079 /nfs/dbraw/zinc/54/80/79/408548079.db2.gz NKFDPCWGZWCKQZ-UHFFFAOYSA-N -1 1 306.668 1.914 20 0 DDADMM CCc1nc([C@@H](C)NS(=O)(=O)c2ccc(F)cc2F)n[nH]1 ZINC000270561330 408579296 /nfs/dbraw/zinc/57/92/96/408579296.db2.gz JUUYYHLSMUQTAA-SSDOTTSWSA-N -1 1 316.333 1.685 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C(C2CC2)C2CC2)o1 ZINC000177336739 408582923 /nfs/dbraw/zinc/58/29/23/408582923.db2.gz RTIRPHGVPWXVDU-UHFFFAOYSA-N -1 1 313.375 1.923 20 0 DDADMM Cn1nc2c(cc1=O)CN(C(=O)c1cc(Cl)ccc1[O-])CC2 ZINC000177829626 408723548 /nfs/dbraw/zinc/72/35/48/408723548.db2.gz RGOSMHRCNQBUJE-UHFFFAOYSA-N -1 1 319.748 1.338 20 0 DDADMM C[C@H](C[S@](C)=O)NC(=O)c1ccc(Br)cc1[O-] ZINC000168285245 408818552 /nfs/dbraw/zinc/81/85/52/408818552.db2.gz GODLUBFEMQJRKB-IWEMQMMOSA-N -1 1 320.208 1.652 20 0 DDADMM COCCOC[C@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000280809967 408838131 /nfs/dbraw/zinc/83/81/31/408838131.db2.gz QMJDWJRNTJPMAE-LBPRGKRZSA-N -1 1 307.346 1.086 20 0 DDADMM CCC[C@H](NC(=O)c1oc(CC(C)C)nc1C)c1nn[n-]n1 ZINC000190597853 163148592 /nfs/dbraw/zinc/14/85/92/163148592.db2.gz ASAXXCUIXBKQJU-JTQLQIEISA-N -1 1 306.370 1.966 20 0 DDADMM C[C@@H](C[NH+](C)C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000235102762 163355383 /nfs/dbraw/zinc/35/53/83/163355383.db2.gz SXAYCMMHMVAWSP-QMMMGPOBSA-N -1 1 301.184 1.835 20 0 DDADMM CCc1nnc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)s1 ZINC000291927335 408945933 /nfs/dbraw/zinc/94/59/33/408945933.db2.gz BSKNZWHBWUSJBT-UHFFFAOYSA-N -1 1 320.403 1.362 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc(C(=O)N(C)OC)cc1 ZINC000282156925 409002208 /nfs/dbraw/zinc/00/22/08/409002208.db2.gz GIEFMYCIVRBEMC-JTQLQIEISA-N -1 1 316.379 1.097 20 0 DDADMM CC[C@H](C)[C@@H](C)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000292185977 408996784 /nfs/dbraw/zinc/99/67/84/408996784.db2.gz JSUZRHWKCUOULG-VHSXEESVSA-N -1 1 314.407 1.921 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2CC(F)(F)C2)c1 ZINC000292289177 409017638 /nfs/dbraw/zinc/01/76/38/409017638.db2.gz MGHALXRASLXFFK-UHFFFAOYSA-N -1 1 309.290 1.390 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CC(C)(F)F)c1 ZINC000292292624 409018524 /nfs/dbraw/zinc/01/85/24/409018524.db2.gz RURBUJAHZLBXSI-UHFFFAOYSA-N -1 1 308.306 1.284 20 0 DDADMM CN(C[C@H]1CCCCO1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283055028 409077250 /nfs/dbraw/zinc/07/72/50/409077250.db2.gz OKHFQUGWGYAFAB-LLVKDONJSA-N -1 1 306.391 1.659 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N([C@@H](C)c2ccccc2)C1 ZINC000287835449 409101086 /nfs/dbraw/zinc/10/10/86/409101086.db2.gz NVUURNAFXFRPPW-DZGCQCFKSA-N -1 1 320.389 1.680 20 0 DDADMM C[C@@]1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCCC[C@H]1O ZINC000283242317 409119971 /nfs/dbraw/zinc/11/99/71/409119971.db2.gz CCTLKISPFAQYDH-ABAIWWIYSA-N -1 1 320.418 1.689 20 0 DDADMM CO[C@]1(C)C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1(C)C ZINC000283271102 409126666 /nfs/dbraw/zinc/12/66/66/409126666.db2.gz DRNXRFFODBKEEX-MEBBXXQBSA-N -1 1 320.418 1.951 20 0 DDADMM O=C(c1ccc(Cl)nc1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000283454032 409160090 /nfs/dbraw/zinc/16/00/90/409160090.db2.gz KBYDTTNQCWWSSZ-VIFPVBQESA-N -1 1 307.741 1.166 20 0 DDADMM O=C1CN(Cc2cc(=O)oc3cc([O-])ccc23)CN1C1CC1 ZINC000279156664 409160242 /nfs/dbraw/zinc/16/02/42/409160242.db2.gz PIPCOLXHWNUGFP-UHFFFAOYSA-N -1 1 300.314 1.263 20 0 DDADMM CCn1nc(C)c(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1C ZINC000279248930 409178605 /nfs/dbraw/zinc/17/86/05/409178605.db2.gz HSXJDOCTTAWRNF-PDGQHHTCSA-N -1 1 313.365 1.819 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1ccc2ccccc2c1[O-])OC ZINC000293946518 409212862 /nfs/dbraw/zinc/21/28/62/409212862.db2.gz ISNKCNNKTXIGLW-CYBMUJFWSA-N -1 1 303.314 1.463 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CCC1)c1cc(F)c(F)cc1F ZINC000280355047 409298052 /nfs/dbraw/zinc/29/80/52/409298052.db2.gz XBBJIDDZJBZFDC-VIFPVBQESA-N -1 1 323.336 1.933 20 0 DDADMM CSCC1CCC([N-]S(=O)(=O)c2c(C)onc2N)CC1 ZINC000295180369 409358868 /nfs/dbraw/zinc/35/88/68/409358868.db2.gz ALGJGHKKPCSRBW-UHFFFAOYSA-N -1 1 319.452 1.765 20 0 DDADMM CCCN(CC(C)(C)O)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295144853 409342960 /nfs/dbraw/zinc/34/29/60/409342960.db2.gz PALPGKARAOSJEU-UHFFFAOYSA-N -1 1 308.407 1.641 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1ccc(F)c(F)c1F)C1CC1 ZINC000312633274 164009059 /nfs/dbraw/zinc/00/90/59/164009059.db2.gz CHNAIVSYYHCPSU-LBPRGKRZSA-N -1 1 309.309 1.543 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2ccc(Br)o2)CCOC1 ZINC000408216418 164263468 /nfs/dbraw/zinc/26/34/68/164263468.db2.gz VETSXORSMZVZEZ-SECBINFHSA-N -1 1 310.169 1.499 20 0 DDADMM COCC1(O)CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000295461401 409492469 /nfs/dbraw/zinc/49/24/69/409492469.db2.gz BIFHZCJJJBNUQY-UHFFFAOYSA-N -1 1 305.252 1.244 20 0 DDADMM CO[C@@H](C)c1nc(=NC(C)(C)CCS(C)(=O)=O)s[n-]1 ZINC000337865695 409523278 /nfs/dbraw/zinc/52/32/78/409523278.db2.gz KQMJDUAMAXDVQZ-QMMMGPOBSA-N -1 1 307.441 1.293 20 0 DDADMM Cc1ccsc1CN(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337941044 409588346 /nfs/dbraw/zinc/58/83/46/409588346.db2.gz GBQZILAYCPTAHR-UHFFFAOYSA-N -1 1 319.386 1.546 20 0 DDADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-]c1cccc(F)c1Cl ZINC000342636620 409776960 /nfs/dbraw/zinc/77/69/60/409776960.db2.gz KUYWJLJODNWURX-ZETCQYMHSA-N -1 1 308.762 1.698 20 0 DDADMM CCc1c(C(=O)NC2(c3nn[n-]n3)CC2)cnn1-c1ccccc1 ZINC000357052832 409822699 /nfs/dbraw/zinc/82/26/99/409822699.db2.gz SHZLOSPNQNFYSB-UHFFFAOYSA-N -1 1 323.360 1.367 20 0 DDADMM Cc1cc(Br)oc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357056062 409824026 /nfs/dbraw/zinc/82/40/26/409824026.db2.gz XCWWLXCYSAFQEJ-UHFFFAOYSA-N -1 1 312.127 1.283 20 0 DDADMM CO[C@H](C(=O)Nc1nc(-c2ccco2)n[nH]1)c1cnn(C)c1 ZINC000342671100 409802322 /nfs/dbraw/zinc/80/23/22/409802322.db2.gz JQEYXFOSXFNADV-JTQLQIEISA-N -1 1 302.294 1.124 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)[N-]c2ccn(-c3ccccc3)n2)C1 ZINC000332142126 409923344 /nfs/dbraw/zinc/92/33/44/409923344.db2.gz FGSPBXQBVNUVKS-LBPRGKRZSA-N -1 1 306.391 1.871 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc3c(n2)CCC3)o1 ZINC000297218775 409862216 /nfs/dbraw/zinc/86/22/16/409862216.db2.gz QQGULJXQLQNEDV-UHFFFAOYSA-N -1 1 321.358 1.324 20 0 DDADMM O=C(CCc1ccccn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332109226 409890048 /nfs/dbraw/zinc/89/00/48/409890048.db2.gz AMPWEUUNLJCXPJ-LLVKDONJSA-N -1 1 302.334 1.509 20 0 DDADMM CCOc1cccnc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332281945 410037301 /nfs/dbraw/zinc/03/73/01/410037301.db2.gz ICWIOUYNVOURQU-SNVBAGLBSA-N -1 1 318.333 1.589 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H]1C1CCCCC1 ZINC000357376198 409999956 /nfs/dbraw/zinc/99/99/56/409999956.db2.gz JBCWFXGHHJDQLV-UWVGGRQHSA-N -1 1 307.350 1.595 20 0 DDADMM CN(C)c1ccc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)cn1 ZINC000357523074 410081513 /nfs/dbraw/zinc/08/15/13/410081513.db2.gz PMQMJEDNRUMZPU-UHFFFAOYSA-N -1 1 320.374 1.047 20 0 DDADMM O=C(c1cc(F)ccc1O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332324740 410068735 /nfs/dbraw/zinc/06/87/35/410068735.db2.gz OBTFDCNNLMZDAH-MRVPVSSYSA-N -1 1 307.281 1.640 20 0 DDADMM Cc1occc1CN(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000343165603 410199050 /nfs/dbraw/zinc/19/90/50/410199050.db2.gz SVDRJJKAEUNTHC-UHFFFAOYSA-N -1 1 303.318 1.077 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC[C@H]2CCCCO2)sc1C ZINC000329378198 410266270 /nfs/dbraw/zinc/26/62/70/410266270.db2.gz MKMGGETYZVPVGY-LLVKDONJSA-N -1 1 304.437 1.997 20 0 DDADMM O=C(N[C@@H]1CCCN(CC(F)(F)F)C1)c1cncc([O-])c1 ZINC000298438422 410229797 /nfs/dbraw/zinc/22/97/97/410229797.db2.gz PDUXPLLNCQDKNO-SNVBAGLBSA-N -1 1 303.284 1.544 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)N=c2ncn(C3CCCCC3)[n-]2)CCN1C ZINC000329822663 410451893 /nfs/dbraw/zinc/45/18/93/410451893.db2.gz HLCZOAWBUHGTKQ-OLZOCXBDSA-N -1 1 320.441 1.762 20 0 DDADMM O=C(CN1c2ccccc2CCCC1=O)Nc1ccncc1[O-] ZINC000358338906 410452084 /nfs/dbraw/zinc/45/20/84/410452084.db2.gz NULYWRKCADLCMS-UHFFFAOYSA-N -1 1 311.341 1.517 20 0 DDADMM CCCN(CC(=O)OCC)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358371724 410457100 /nfs/dbraw/zinc/45/71/00/410457100.db2.gz QCEGKPMIGCBZNQ-UHFFFAOYSA-N -1 1 320.349 1.089 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H](CO)CCC(C)(C)C)c2=O ZINC000358408467 410464334 /nfs/dbraw/zinc/46/43/34/410464334.db2.gz HNGSXTJSJQEXJP-SNVBAGLBSA-N -1 1 320.393 1.591 20 0 DDADMM O=c1cc(/C=C\c2cnn(Cc3ccccc3)c2)nc2nc[n-]n21 ZINC000352039920 410433755 /nfs/dbraw/zinc/43/37/55/410433755.db2.gz LENYEZKXFVGKGN-SREVYHEPSA-N -1 1 318.340 1.833 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H](C)c1cccs1 ZINC000352184110 410529641 /nfs/dbraw/zinc/52/96/41/410529641.db2.gz MRDTULSLYSBHFI-ZETCQYMHSA-N -1 1 309.347 1.791 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2sccc2C1 ZINC000352183522 410531228 /nfs/dbraw/zinc/53/12/28/410531228.db2.gz FJEDTKQLIRMXIX-UHFFFAOYSA-N -1 1 307.331 1.156 20 0 DDADMM Cn1nnc(C[N-]S(=O)(=O)c2ccc(C(C)(C)C)s2)n1 ZINC000330177918 410573696 /nfs/dbraw/zinc/57/36/96/410573696.db2.gz LDBCRGMHOLYQEK-UHFFFAOYSA-N -1 1 315.424 1.048 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@H](C(=O)N3CCCC3)C2)c([O-])c1 ZINC000330193133 410581950 /nfs/dbraw/zinc/58/19/50/410581950.db2.gz OCIVHZMKULOFRJ-GFCCVEGCSA-N -1 1 303.362 1.180 20 0 DDADMM CCOC(=O)[C@@H](NC(=O)c1cncc([O-])c1)c1cccc(O)c1 ZINC000339967077 410583932 /nfs/dbraw/zinc/58/39/32/410583932.db2.gz XERQOTSIQYXVCL-AWEZNQCLSA-N -1 1 316.313 1.527 20 0 DDADMM O=S(=O)([N-][C@@H]1CCn2ccnc2C1)c1sccc1Cl ZINC000333419502 410538620 /nfs/dbraw/zinc/53/86/20/410538620.db2.gz LPYDZWMYAYCLJX-MRVPVSSYSA-N -1 1 317.823 1.891 20 0 DDADMM O=S(=O)(C[C@@H]1CCOC1)c1n[n-]c(CCC2CCCC2)n1 ZINC000355677828 410637588 /nfs/dbraw/zinc/63/75/88/410637588.db2.gz DOJGCEOWWIJFKV-GFCCVEGCSA-N -1 1 313.423 1.738 20 0 DDADMM CCC[C@H](C(=O)N1CCN(c2nc(=N)[n-]s2)CC1)C(C)C ZINC000330535763 410755990 /nfs/dbraw/zinc/75/59/90/410755990.db2.gz PNQQIAYNNCBJRJ-NSHDSACASA-N -1 1 311.455 1.672 20 0 DDADMM CCOc1cc(C)ccc1[N-]S(=O)(=O)CCC(=O)OC ZINC000340243667 410793470 /nfs/dbraw/zinc/79/34/70/410793470.db2.gz DKZQOJRSFQEMEL-UHFFFAOYSA-N -1 1 301.364 1.699 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccoc2C)c1 ZINC000359680009 410811700 /nfs/dbraw/zinc/81/17/00/410811700.db2.gz NFTSWVWXFJVHSB-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM CN(C1CCN(C)CC1)S(=O)(=O)c1cccc(Cl)c1[O-] ZINC000631636198 422861415 /nfs/dbraw/zinc/86/14/15/422861415.db2.gz BUTUNZXDLKNOMX-UHFFFAOYSA-N -1 1 318.826 1.760 20 0 DDADMM CCOC(=O)Cc1nnc([N-]C(=O)c2ncoc2CC)s1 ZINC000337598717 410888144 /nfs/dbraw/zinc/88/81/44/410888144.db2.gz KZVMGXRHQYNHPR-UHFFFAOYSA-N -1 1 310.335 1.446 20 0 DDADMM CC[C@@H](C)Oc1cc(C(=O)NC2(c3nn[n-]n3)CC2)ccn1 ZINC000348275253 410888695 /nfs/dbraw/zinc/88/86/95/410888695.db2.gz JFDIRMYKZOVPAG-SECBINFHSA-N -1 1 302.338 1.191 20 0 DDADMM COc1ccc(CCCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348290000 410901197 /nfs/dbraw/zinc/90/11/97/410901197.db2.gz DFYPHRMZPGAYJG-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM CCCCNC(=O)[C@@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000331143913 410977279 /nfs/dbraw/zinc/97/72/79/410977279.db2.gz GOLTUOJSQAFRHR-CYBMUJFWSA-N -1 1 319.405 1.864 20 0 DDADMM O=c1nc(N[C@@H]2CCOc3c(F)ccc(F)c32)nc2[nH][n-]cc1-2 ZINC000360104552 411048564 /nfs/dbraw/zinc/04/85/64/411048564.db2.gz MASWNYNLVYHCLH-SECBINFHSA-N -1 1 319.271 1.646 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2ccc(OC)cc2F)o1 ZINC000360138272 411070153 /nfs/dbraw/zinc/07/01/53/411070153.db2.gz BVDDTVHGOXHFHI-UHFFFAOYSA-N -1 1 314.338 1.863 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H](C)C(F)(F)F)o1 ZINC000356417702 411020418 /nfs/dbraw/zinc/02/04/18/411020418.db2.gz XMKJMZMGBFUYJA-LURJTMIESA-N -1 1 314.285 1.116 20 0 DDADMM CCCC[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CCC1 ZINC000353852015 411135705 /nfs/dbraw/zinc/13/57/05/411135705.db2.gz RWDBEULSKPOOJK-NSHDSACASA-N -1 1 303.366 1.506 20 0 DDADMM CC(C)(C)n1cc(C(=O)[N-]c2nc(-c3ccccc3)no2)nn1 ZINC000629956380 422893550 /nfs/dbraw/zinc/89/35/50/422893550.db2.gz CZGSBSXTGIMEJM-UHFFFAOYSA-N -1 1 312.333 1.757 20 0 DDADMM O=C(CC[N-]S(=O)(=O)C(Cl)(Cl)Cl)N1CCCC1 ZINC001192044422 745681120 /nfs/dbraw/zinc/68/11/20/745681120.db2.gz SOAQUUQBMRSJCM-UHFFFAOYSA-N -1 1 323.629 1.246 20 0 DDADMM Cc1cccc(C(=O)N[C@H]2C[C@@H]([NH+]3CCOCC3)C2(C)C)c1O ZINC000640528061 423013455 /nfs/dbraw/zinc/01/34/55/423013455.db2.gz WDIRBZAKDPATEE-LSDHHAIUSA-N -1 1 318.417 1.930 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2cn3c(cccc3C)n2)[n-]n1 ZINC000645421698 423029148 /nfs/dbraw/zinc/02/91/48/423029148.db2.gz SZBZJVQLQSNSSG-UHFFFAOYSA-N -1 1 324.388 1.259 20 0 DDADMM C[C@H]1CN(CCN2Cc3ccccc3[C@@H](C(=O)[O-])C2)CCO1 ZINC000652470426 423032290 /nfs/dbraw/zinc/03/22/90/423032290.db2.gz WYRXWEBFPLHTSW-BBRMVZONSA-N -1 1 304.390 1.391 20 0 DDADMM O=S(=O)([N-][C@H]1CN2CCC1CC2)C(Cl)(Cl)Cl ZINC001192044565 745681611 /nfs/dbraw/zinc/68/16/11/745681611.db2.gz YTVXIXZATLKCQT-ZETCQYMHSA-N -1 1 307.630 1.328 20 0 DDADMM C[C@@H](c1nnnn1C1CC1)N1CCC[C@@H](C(C)(C)C(=O)[O-])C1 ZINC000652498427 423043900 /nfs/dbraw/zinc/04/39/00/423043900.db2.gz HQGAPUNWINIPIE-WDEREUQCSA-N -1 1 307.398 1.892 20 0 DDADMM C[C@@H]1CCN(CCS(=O)(=O)c2ccccc2)[C@H](C(=O)[O-])C1 ZINC000652502352 423047586 /nfs/dbraw/zinc/04/75/86/423047586.db2.gz LUZVXHALABISQV-OCCSQVGLSA-N -1 1 311.403 1.645 20 0 DDADMM CN1CC[C@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC000645486859 423052492 /nfs/dbraw/zinc/05/24/92/423052492.db2.gz KHTGWLUNHNDNRE-QMMMGPOBSA-N -1 1 309.313 1.083 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)C(C)(C)O ZINC000645556312 423081750 /nfs/dbraw/zinc/08/17/50/423081750.db2.gz KYCOICGUVHTTCQ-ZETCQYMHSA-N -1 1 312.313 1.538 20 0 DDADMM Cc1ccc(-c2cc(C(=O)N=c3ncn(C(C)C)[n-]3)[nH]n2)o1 ZINC000652727999 423110323 /nfs/dbraw/zinc/11/03/23/423110323.db2.gz OYAYQYPLWKPZNO-UHFFFAOYSA-N -1 1 300.322 1.825 20 0 DDADMM CN1CC(=O)N(Cc2nc(-c3ccc([O-])cc3Cl)no2)C1=O ZINC000350813100 306757337 /nfs/dbraw/zinc/75/73/37/306757337.db2.gz IDQNJKKIZCRSCL-UHFFFAOYSA-N -1 1 322.708 1.490 20 0 DDADMM Cn1cc([C@H](NCc2cccc(OC(F)F)c2)C(=O)[O-])cn1 ZINC000417598783 225374966 /nfs/dbraw/zinc/37/49/66/225374966.db2.gz OBLYHPRTXXPTBO-LBPRGKRZSA-N -1 1 311.288 1.937 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2C[C@H]3CC(=O)[C@@H]2C3)cc(=O)[n-]1 ZINC000640654847 423115178 /nfs/dbraw/zinc/11/51/78/423115178.db2.gz BNAWGPATQDEYRM-FXBDTBDDSA-N -1 1 307.375 1.136 20 0 DDADMM CSc1nc(CNC(=O)[C@@H](C)Oc2ccccn2)cc(=O)[n-]1 ZINC000640655025 423116191 /nfs/dbraw/zinc/11/61/91/423116191.db2.gz IBMWAFCIRHZZIR-SECBINFHSA-N -1 1 320.374 1.383 20 0 DDADMM CC[C@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccccc1F ZINC000652775913 423126718 /nfs/dbraw/zinc/12/67/18/423126718.db2.gz APXFDFDNYCBOKB-JTQLQIEISA-N -1 1 321.308 1.917 20 0 DDADMM O=c1[nH]c2ccc(S(=O)(=O)[N-]c3cccc(O)c3)cc2[nH]1 ZINC000193333011 418530888 /nfs/dbraw/zinc/53/08/88/418530888.db2.gz WQNGSNPTFDKHFP-UHFFFAOYSA-N -1 1 305.315 1.363 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(F)(F)[C@@H](C)C2)co1 ZINC000290960863 222033050 /nfs/dbraw/zinc/03/30/50/222033050.db2.gz PYZOJEGDKQYVGL-QMMMGPOBSA-N -1 1 322.333 1.305 20 0 DDADMM CC(C)(C)c1ncsc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366918399 418537146 /nfs/dbraw/zinc/53/71/46/418537146.db2.gz OBEUKXDXZSIRBV-SECBINFHSA-N -1 1 320.422 1.974 20 0 DDADMM CC(C)CCOCCS(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195176799 222193622 /nfs/dbraw/zinc/19/36/22/222193622.db2.gz PKFZXQWNDDFDPM-UHFFFAOYSA-N -1 1 303.428 1.939 20 0 DDADMM COc1cccc(NC(=O)CN2CCCC[C@@H]2CC(=O)[O-])c1 ZINC000314679816 418593701 /nfs/dbraw/zinc/59/37/01/418593701.db2.gz HHZFCIRYBGYNRT-CYBMUJFWSA-N -1 1 306.362 1.963 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](C(=O)N2CCNC[C@H]2c2ccccc2)C1 ZINC000313346457 418592346 /nfs/dbraw/zinc/59/23/46/418592346.db2.gz ITORMXQTCJISOI-ZNMIVQPWSA-N -1 1 302.374 1.660 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1C[C@@H]1c1ccco1 ZINC000367635424 418618295 /nfs/dbraw/zinc/61/82/95/418618295.db2.gz QDUUOLVLTQHBED-VHSXEESVSA-N -1 1 303.318 1.354 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2OC[C@@H]3CCC[C@H]32)c1 ZINC000368109848 418691145 /nfs/dbraw/zinc/69/11/45/418691145.db2.gz CGKOUHOLOXTIKS-MISXGVKJSA-N -1 1 305.330 1.932 20 0 DDADMM C[C@@H]1[C@H](C(=O)[O-])CCCN1C(=O)[C@H]1Cc2ccccc2CN1 ZINC000384337659 418734356 /nfs/dbraw/zinc/73/43/56/418734356.db2.gz BHMZSPXJQODDCT-KCPJHIHWSA-N -1 1 302.374 1.413 20 0 DDADMM Cc1cn(-c2cccnc2)nc1NC(=O)c1ccc(O)cc1[O-] ZINC000364657583 418796987 /nfs/dbraw/zinc/79/69/87/418796987.db2.gz MVQPHYWXERGKJE-UHFFFAOYSA-N -1 1 310.313 1.661 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC[C@H]([C@H]3CCOC3)C1)c2=O ZINC000364697178 418801515 /nfs/dbraw/zinc/80/15/15/418801515.db2.gz NLEQSNAOGBAEKC-QWRGUYRKSA-N -1 1 316.361 1.173 20 0 DDADMM C[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@]2(CCCOC2)O1 ZINC000408410399 418801582 /nfs/dbraw/zinc/80/15/82/418801582.db2.gz PRDCIRCRNNPIJR-SJKOYZFVSA-N -1 1 319.357 1.371 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H]1OCCc2ccsc21)c1nn[n-]n1 ZINC000371927625 418825231 /nfs/dbraw/zinc/82/52/31/418825231.db2.gz HJEDNJXAMPQTTB-MNOVXSKESA-N -1 1 321.406 1.923 20 0 DDADMM O=C(C(=O)N1CCC[C@@H](n2ccnn2)C1)c1ccc([O-])cc1 ZINC000425003446 228347466 /nfs/dbraw/zinc/34/74/66/228347466.db2.gz MLZHLIPBPSZRNF-GFCCVEGCSA-N -1 1 300.318 1.030 20 0 DDADMM Cc1n[nH]cc1C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425152969 228374741 /nfs/dbraw/zinc/37/47/41/228374741.db2.gz CUJZJGVTOPGDQG-UHFFFAOYSA-N -1 1 301.318 1.783 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc([S@](C)=O)cc1)OC ZINC000421152138 419504503 /nfs/dbraw/zinc/50/45/03/419504503.db2.gz KFYJZDYTRCSYFY-ZMZPIMSZSA-N -1 1 305.421 1.591 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(-c2ncon2)cc1)OC ZINC000421154008 419506282 /nfs/dbraw/zinc/50/62/82/419506282.db2.gz MMBLWIHJIFLGGX-LBPRGKRZSA-N -1 1 311.363 1.903 20 0 DDADMM CCc1c(C(=O)N=c2ccc([O-])n[nH]2)cnn1CCC(C)C ZINC000427337698 419640157 /nfs/dbraw/zinc/64/01/57/419640157.db2.gz WBNHDMWOAQMXRU-UHFFFAOYSA-N -1 1 303.366 1.661 20 0 DDADMM CCc1c(C(=O)N=c2ccc(O)n[n-]2)cnn1CCC(C)C ZINC000427337698 419640164 /nfs/dbraw/zinc/64/01/64/419640164.db2.gz WBNHDMWOAQMXRU-UHFFFAOYSA-N -1 1 303.366 1.661 20 0 DDADMM COc1cc(CNC(=O)c2ncc(C)cc2[O-])cc(OC)c1O ZINC000427762615 419729912 /nfs/dbraw/zinc/72/99/12/419729912.db2.gz SZKMFMIYGBNXHI-UHFFFAOYSA-N -1 1 318.329 1.748 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C(=O)NC(C)(C)C)CC2)c([O-])c1 ZINC000427808303 419736585 /nfs/dbraw/zinc/73/65/85/419736585.db2.gz PILPYGHAWDRJSF-UHFFFAOYSA-N -1 1 320.393 1.362 20 0 DDADMM COC[C@H]1C[C@@H](O)CN1C(=O)c1ccc2ccccc2c1[O-] ZINC000652825226 423155545 /nfs/dbraw/zinc/15/55/45/423155545.db2.gz VNURRDABNNQXDN-CHWSQXEVSA-N -1 1 301.342 1.767 20 0 DDADMM Cc1ccc([C@H](NC(=O)CN2CC[C@H](C(=O)[O-])C2)C2CC2)cc1 ZINC000430675087 420176472 /nfs/dbraw/zinc/17/64/72/420176472.db2.gz DJBHIBZHJPZPJD-RDJZCZTQSA-N -1 1 316.401 1.969 20 0 DDADMM CCc1occc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000435798179 420284930 /nfs/dbraw/zinc/28/49/30/420284930.db2.gz HGNBKPOEYKIIQR-UHFFFAOYSA-N -1 1 310.331 1.447 20 0 DDADMM C[C@H]1CO[C@H](CO)CN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436751727 420363802 /nfs/dbraw/zinc/36/38/02/420363802.db2.gz NLMOWXOOSMIUOT-WPRPVWTQSA-N -1 1 319.279 1.633 20 0 DDADMM O=C(c1cncnc1C1CC1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425296065 420341992 /nfs/dbraw/zinc/34/19/92/420341992.db2.gz GGBSEYIARXDBEE-SNVBAGLBSA-N -1 1 315.333 1.462 20 0 DDADMM CCOC(=O)C(C)(C)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425308134 420345088 /nfs/dbraw/zinc/34/50/88/420345088.db2.gz UZSKOWMRNNKPSS-VIFPVBQESA-N -1 1 311.338 1.071 20 0 DDADMM COCCO[C@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000436638215 420348362 /nfs/dbraw/zinc/34/83/62/420348362.db2.gz CGYDHTDWVQLRMV-AWEZNQCLSA-N -1 1 307.346 1.229 20 0 DDADMM Cn1cc(C(C)(C)C(=O)N2CCC(c3n[nH]c(=O)o3)CC2)cn1 ZINC000425315363 420348943 /nfs/dbraw/zinc/34/89/43/420348943.db2.gz BHEHXKYBSANHNP-UHFFFAOYSA-N -1 1 319.365 1.193 20 0 DDADMM Cn1cc(C(C)(C)C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cn1 ZINC000425315363 420348945 /nfs/dbraw/zinc/34/89/45/420348945.db2.gz BHEHXKYBSANHNP-UHFFFAOYSA-N -1 1 319.365 1.193 20 0 DDADMM CCN=c1[nH]cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)s1 ZINC000425322005 420350742 /nfs/dbraw/zinc/35/07/42/420350742.db2.gz NBCHWKBYRWOFID-UHFFFAOYSA-N -1 1 323.378 1.105 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCc2cncnc2)c1 ZINC000436722914 420360210 /nfs/dbraw/zinc/36/02/10/420360210.db2.gz KHJGYTGTJRKTED-UHFFFAOYSA-N -1 1 301.302 1.540 20 0 DDADMM COC(=O)C(C)(C)N1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000436822985 420372386 /nfs/dbraw/zinc/37/23/86/420372386.db2.gz RPMKOYMBANGTNF-UHFFFAOYSA-N -1 1 324.352 1.241 20 0 DDADMM Cc1nn(C)cc1C[N-]S(=O)(=O)c1c(F)cc(F)cc1F ZINC000416452885 420377167 /nfs/dbraw/zinc/37/71/67/420377167.db2.gz DHRZBEJQBZUBAF-UHFFFAOYSA-N -1 1 319.308 1.624 20 0 DDADMM Cc1cccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1O ZINC000436866841 420378712 /nfs/dbraw/zinc/37/87/12/420378712.db2.gz AJTFSHLZZFYXLX-UHFFFAOYSA-N -1 1 322.342 1.306 20 0 DDADMM O=C(NCCS(=O)(=O)c1ccccc1)c1ccc([O-])cc1F ZINC000436871589 420380015 /nfs/dbraw/zinc/38/00/15/420380015.db2.gz QPHQUMADYITICG-UHFFFAOYSA-N -1 1 323.345 1.735 20 0 DDADMM CN(C)C(=O)c1cc(NC(=O)C(=O)c2ccc([O-])cc2)ccn1 ZINC000436897727 420381128 /nfs/dbraw/zinc/38/11/28/420381128.db2.gz TXAQHRFMYROXHP-UHFFFAOYSA-N -1 1 313.313 1.310 20 0 DDADMM COc1cccc(C)c1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000444466408 230100030 /nfs/dbraw/zinc/10/00/30/230100030.db2.gz MWZWAFIYWINPBH-UHFFFAOYSA-N -1 1 315.329 1.473 20 0 DDADMM Cc1nc2ccc([N-]S(=O)(=O)c3cnc4n3CCC4)cc2[nH]1 ZINC000437938198 420431827 /nfs/dbraw/zinc/43/18/27/420431827.db2.gz YKYRZOMNNNFARZ-UHFFFAOYSA-N -1 1 317.374 1.815 20 0 DDADMM CC(C)c1nnc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)o1 ZINC000492384471 420541072 /nfs/dbraw/zinc/54/10/72/420541072.db2.gz OOGOGZVFRWBGNK-PORFMDCZSA-N -1 1 317.353 1.126 20 0 DDADMM C[C@@H]1[C@@H](C(=O)[N-]OCC(F)F)CCN1C(=O)OC(C)(C)C ZINC000492508441 420578188 /nfs/dbraw/zinc/57/81/88/420578188.db2.gz KTCSNUNJJZBILF-BDAKNGLRSA-N -1 1 308.325 1.945 20 0 DDADMM CC[C@H](NC(=O)c1cc(Cl)c([O-])c(OC)c1)C(=O)NC ZINC000456991149 420582552 /nfs/dbraw/zinc/58/25/52/420582552.db2.gz FFXFGHDPEXCFTH-VIFPVBQESA-N -1 1 300.742 1.309 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-][C@@H](c2ccccc2)C(F)F)n1 ZINC000451038510 420587017 /nfs/dbraw/zinc/58/70/17/420587017.db2.gz NFARHFCQEXMDTE-NSHDSACASA-N -1 1 301.318 1.705 20 0 DDADMM CC(C)c1nnc(/C=C\C(=O)N=c2ccnc3n(C)[n-]cc2-3)o1 ZINC000492814581 420674824 /nfs/dbraw/zinc/67/48/24/420674824.db2.gz UTGALEDZCFGHHR-KPWAENGBSA-N -1 1 312.333 1.500 20 0 DDADMM CC[C@](C)(CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)OC ZINC000452722630 420651318 /nfs/dbraw/zinc/65/13/18/420651318.db2.gz HVXCFUGPVXHSQA-MRXNPFEDSA-N -1 1 320.393 1.526 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cnc3ccsc3c2)n1 ZINC000447871501 420808538 /nfs/dbraw/zinc/80/85/38/420808538.db2.gz ACSBAGGDSMPLLI-UHFFFAOYSA-N -1 1 310.360 1.466 20 0 DDADMM CC(C)N1CCO[C@@H](C(=O)N[C@H](CCC(C)(C)C)C(=O)[O-])C1 ZINC000455779204 421061115 /nfs/dbraw/zinc/06/11/15/421061115.db2.gz DYGVYRLWVUGFRO-CHWSQXEVSA-N -1 1 314.426 1.491 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1cccc(Cl)c1F)c1nn[n-]n1 ZINC000487115099 421004813 /nfs/dbraw/zinc/00/48/13/421004813.db2.gz IBVDGZIFPOCTIL-MRVPVSSYSA-N -1 1 311.748 1.797 20 0 DDADMM CC(C)[C@@H]1[C@@H](C(C)C)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000456358688 421149884 /nfs/dbraw/zinc/14/98/84/421149884.db2.gz YOQVWXUIFFNIHR-MLGOLLRUSA-N -1 1 319.405 1.656 20 0 DDADMM O=C(N[C@H](Cc1ccccc1)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000544687262 421227197 /nfs/dbraw/zinc/22/71/97/421227197.db2.gz UZGAEZLYKPXXMZ-CYBMUJFWSA-N -1 1 323.356 1.169 20 0 DDADMM C[C@@H](CN(C)C(=O)c1csc([C@@H]2CCCO2)n1)c1nn[n-]n1 ZINC000544686858 421227829 /nfs/dbraw/zinc/22/78/29/421227829.db2.gz IWQIRRDVHHWXHR-WPRPVWTQSA-N -1 1 322.394 1.383 20 0 DDADMM c1cc(N2CCCC2)ncc1CNC1(c2nnn[n-]2)CCCC1 ZINC000547449278 421332374 /nfs/dbraw/zinc/33/23/74/421332374.db2.gz NVRHAONMGRNUME-UHFFFAOYSA-N -1 1 313.409 1.754 20 0 DDADMM O=C(N[C@@H]1CCO[C@H]1c1ccc(=O)[nH]c1)c1ccc([O-])cc1F ZINC000527019307 421348298 /nfs/dbraw/zinc/34/82/98/421348298.db2.gz QIJOGWQAKQLLLR-HIFRSBDPSA-N -1 1 318.304 1.892 20 0 DDADMM CCN(CC)CCS(=O)(=O)N1CC[C@](C(=O)[O-])(C(C)C)C1 ZINC000563081714 421452417 /nfs/dbraw/zinc/45/24/17/421452417.db2.gz RKNSXXQTXVRRNC-CQSZACIVSA-N -1 1 320.455 1.091 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)c3ncn(C)n3)cnc2n1 ZINC000548735001 421467817 /nfs/dbraw/zinc/46/78/17/421467817.db2.gz BFPAZHDUEDJVKJ-SECBINFHSA-N -1 1 312.333 1.263 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)c1ncn(C)n1)c2=O ZINC000548735001 421467821 /nfs/dbraw/zinc/46/78/21/421467821.db2.gz BFPAZHDUEDJVKJ-SECBINFHSA-N -1 1 312.333 1.263 20 0 DDADMM C[C@H](CC(=O)NC1(c2nn[n-]n2)CCCC1)c1ccncc1 ZINC000528600038 421500330 /nfs/dbraw/zinc/50/03/30/421500330.db2.gz VXLZXBIHARHGJQ-LLVKDONJSA-N -1 1 300.366 1.674 20 0 DDADMM Cc1c2ccc([N-]S(=O)(=O)C[C@H]3CCCO3)cc2nn1C ZINC000563845306 421548351 /nfs/dbraw/zinc/54/83/51/421548351.db2.gz PTVSBBAVUYJWFU-GFCCVEGCSA-N -1 1 309.391 1.802 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)[C@@H](C)N(C)C[C@H](C)C(=O)[O-])c1C ZINC000563716733 421538109 /nfs/dbraw/zinc/53/81/09/421538109.db2.gz NHYXQATXTPZCND-GZMMTYOYSA-N -1 1 324.377 1.642 20 0 DDADMM NS(=O)(=O)c1c[n-]c(=NC[C@H]2CC23CCSCC3)s1 ZINC000517352042 421608796 /nfs/dbraw/zinc/60/87/96/421608796.db2.gz CXHQVQBIPPVDIQ-MRVPVSSYSA-N -1 1 319.477 1.158 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C2C[C@@H](C)O[C@H](C)C2)o1 ZINC000535052172 421699045 /nfs/dbraw/zinc/69/90/45/421699045.db2.gz YUQWXZQLIAUODH-RKDXNWHRSA-N -1 1 317.363 1.301 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1scnc1C1CC1 ZINC000536376469 421717378 /nfs/dbraw/zinc/71/73/78/421717378.db2.gz ZIAMUHIKSOLMFR-UHFFFAOYSA-N -1 1 304.379 1.733 20 0 DDADMM CCc1cccc(OCC(=O)Nc2nc(SCCO)n[nH]2)c1 ZINC000536544945 421720831 /nfs/dbraw/zinc/72/08/31/421720831.db2.gz YNPXTWJMQGREKA-UHFFFAOYSA-N -1 1 322.390 1.469 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@]2(O)CCC[C@H]2C)sc1C ZINC000555429220 421678024 /nfs/dbraw/zinc/67/80/24/421678024.db2.gz JGZURQSTYBDGCN-PELKAZGASA-N -1 1 304.437 1.589 20 0 DDADMM C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cc1cn(CC(=O)[O-])nn1 ZINC000571053431 421684420 /nfs/dbraw/zinc/68/44/20/421684420.db2.gz KOHWDVMXXWMUSE-WCQYABFASA-N -1 1 318.352 1.880 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(OC(F)F)c(F)c1 ZINC000556769241 421734238 /nfs/dbraw/zinc/73/42/38/421734238.db2.gz KICBESPLLXGXKN-UHFFFAOYSA-N -1 1 313.239 1.359 20 0 DDADMM CSc1ccccc1CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000540806511 421769032 /nfs/dbraw/zinc/76/90/32/421769032.db2.gz UACYBTWXLWYXJH-GFCCVEGCSA-N -1 1 317.418 1.870 20 0 DDADMM O=C(Nc1nc(-c2ccccn2)n[nH]1)[C@@H]1CCc2cncn2C1 ZINC000557534049 421775806 /nfs/dbraw/zinc/77/58/06/421775806.db2.gz GNUGXJMTJSDTDQ-SNVBAGLBSA-N -1 1 309.333 1.264 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1nc2ccccc2s1)[C@@H]1CCOC1 ZINC000572479211 421791713 /nfs/dbraw/zinc/79/17/13/421791713.db2.gz MGOKFMZMFIEOAS-VHSXEESVSA-N -1 1 312.416 2.000 20 0 DDADMM CCSc1cc(CNCc2cc(C(=O)[O-])nn2C)ccn1 ZINC000635310346 421898117 /nfs/dbraw/zinc/89/81/17/421898117.db2.gz CSVVXBGBOHZGNA-UHFFFAOYSA-N -1 1 306.391 1.915 20 0 DDADMM CCC[C@@H](O)[C@H](CO)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633437436 421899936 /nfs/dbraw/zinc/89/99/36/421899936.db2.gz GYCKJQHQZHFPLV-WCQYABFASA-N -1 1 301.770 1.226 20 0 DDADMM Cn1nc(CNC2(c3ccc(Br)cc3)CC2)[n-]c1=O ZINC000543468174 421837795 /nfs/dbraw/zinc/83/77/95/421837795.db2.gz JXGLLNNIGCPUTA-UHFFFAOYSA-N -1 1 323.194 1.650 20 0 DDADMM COc1cccc(C=C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000543435488 421837882 /nfs/dbraw/zinc/83/78/82/421837882.db2.gz XFMSMPGPSSGIPA-UHFFFAOYSA-N -1 1 314.389 1.796 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H](C)c2cccc(F)c2)CC1 ZINC000630223339 421973715 /nfs/dbraw/zinc/97/37/15/421973715.db2.gz IJFOXDFCFXDLRR-CHWSQXEVSA-N -1 1 322.380 1.937 20 0 DDADMM CCc1nc2ccc(NC(=O)CCc3nn[n-]n3)cc2s1 ZINC000633554499 421963206 /nfs/dbraw/zinc/96/32/06/421963206.db2.gz ZTHFKSKLIJDUMB-UHFFFAOYSA-N -1 1 302.363 1.943 20 0 DDADMM C[C@H](CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)[C@@H]1CCCO1 ZINC000630220563 421970350 /nfs/dbraw/zinc/97/03/50/421970350.db2.gz PHAPJORIZFQJBH-RDBSUJKOSA-N -1 1 312.410 1.199 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)COCc2ccccc2)CC1 ZINC000630221574 421972659 /nfs/dbraw/zinc/97/26/59/421972659.db2.gz AAMYANQSWBBTJO-CQSZACIVSA-N -1 1 320.389 1.211 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCc2nccnc2C1 ZINC000633660330 422032293 /nfs/dbraw/zinc/03/22/93/422032293.db2.gz RONBLJDJVGXTMV-UHFFFAOYSA-N -1 1 303.749 1.963 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCc1nc2c(s1)CCCC2 ZINC000635489955 422037532 /nfs/dbraw/zinc/03/75/32/422037532.db2.gz ZUSRMVDGCNROFI-UHFFFAOYSA-N -1 1 320.422 1.217 20 0 DDADMM Cc1cc(C(=O)N2CCc3c(F)ccc(C(=O)[O-])c3C2)n[nH]1 ZINC000630239522 421983882 /nfs/dbraw/zinc/98/38/82/421983882.db2.gz VLLOZDHPXOQGPP-UHFFFAOYSA-N -1 1 303.293 1.754 20 0 DDADMM CCCC[C@@H](COC)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631988312 422001817 /nfs/dbraw/zinc/00/18/17/422001817.db2.gz BOFFIDWFBUGWLI-NSHDSACASA-N -1 1 317.407 1.884 20 0 DDADMM CC[C@H](COC)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000631997729 422009672 /nfs/dbraw/zinc/00/96/72/422009672.db2.gz HLNSNWYASWJBSC-ZCFIWIBFSA-N -1 1 301.290 1.132 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCCOc2ccccc21 ZINC000635464700 422013583 /nfs/dbraw/zinc/01/35/83/422013583.db2.gz GXRGTQNZBJKDKU-GFCCVEGCSA-N -1 1 301.350 1.553 20 0 DDADMM CCNC(=O)C(C)(C)CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632008038 422016543 /nfs/dbraw/zinc/01/65/43/422016543.db2.gz FRSCGCLFPVRTMU-UHFFFAOYSA-N -1 1 312.797 1.867 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1CCCC[C@@H]1C1CC1 ZINC000633691100 422052113 /nfs/dbraw/zinc/05/21/13/422052113.db2.gz LBTJARJOZADNSO-VXGBXAGGSA-N -1 1 304.394 1.655 20 0 DDADMM CCC(CC)(CCO)C[N-]S(=O)(=O)c1cc(C)ns1 ZINC000632055793 422056413 /nfs/dbraw/zinc/05/64/13/422056413.db2.gz HISBEBLWDDDIQG-UHFFFAOYSA-N -1 1 306.453 1.919 20 0 DDADMM CO[C@@]1(C)C[C@@H]([N-]S(=O)(=O)c2cc(C)ns2)C1(C)C ZINC000632056272 422056603 /nfs/dbraw/zinc/05/66/03/422056603.db2.gz VIFJSMIHFCBGMO-SKDRFNHKSA-N -1 1 304.437 1.933 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C)c2nnc(C)s2)sn1 ZINC000632211537 422167078 /nfs/dbraw/zinc/16/70/78/422167078.db2.gz PMLQSIBJSRVFAI-LURJTMIESA-N -1 1 304.422 1.651 20 0 DDADMM O=C([O-])C1(NC(=O)c2ccc(-c3nnc[nH]3)cc2)CCCC1 ZINC000583915355 422216706 /nfs/dbraw/zinc/21/67/06/422216706.db2.gz DYQVPXSOUJOTCU-UHFFFAOYSA-N -1 1 300.318 1.599 20 0 DDADMM CC(C)(C)C1=CCN(CCNC(=O)N=c2[n-]ncs2)CC1 ZINC000574971532 422224818 /nfs/dbraw/zinc/22/48/18/422224818.db2.gz DDMMMONGLPHUDY-UHFFFAOYSA-N -1 1 309.439 1.760 20 0 DDADMM CO[C@H](c1ccccc1F)[C@@H](C)NC(=O)CCc1nn[n-]n1 ZINC000632308010 422240192 /nfs/dbraw/zinc/24/01/92/422240192.db2.gz OQJFRGZAQGESOE-OTYXRUKQSA-N -1 1 307.329 1.164 20 0 DDADMM C[C@H](Cc1ccc(O)cc1)N(C)C(=O)CCCc1nn[n-]n1 ZINC000635705522 422260244 /nfs/dbraw/zinc/26/02/44/422260244.db2.gz FVNVHMXQEXGGDV-LLVKDONJSA-N -1 1 303.366 1.318 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1(c2ccc(F)cc2F)CCC1 ZINC000635747552 422306142 /nfs/dbraw/zinc/30/61/42/422306142.db2.gz SDYCFHWRMXWRHF-UHFFFAOYSA-N -1 1 321.331 1.996 20 0 DDADMM CC(C)[C@@](C)(Cc1ccccc1)NC(=O)CCc1nn[n-]n1 ZINC000634223642 422333776 /nfs/dbraw/zinc/33/37/76/422333776.db2.gz WHQURBMQSVWELJ-MRXNPFEDSA-N -1 1 301.394 1.906 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N(C1CC1)C1CC1 ZINC000628817740 422339368 /nfs/dbraw/zinc/33/93/68/422339368.db2.gz PKBVMVVASXOIFV-UHFFFAOYSA-N -1 1 324.384 1.836 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1ccccc1)C[C@H]1CCCO1 ZINC000630805643 422344822 /nfs/dbraw/zinc/34/48/22/422344822.db2.gz FPODSWGHGRPSDP-CQSZACIVSA-N -1 1 315.377 1.340 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cc(F)ccc1N1CCCCC1 ZINC000630895094 422399184 /nfs/dbraw/zinc/39/91/84/422399184.db2.gz FSNUENZFGJZWEN-UHFFFAOYSA-N -1 1 318.356 1.900 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCc2cc(Cl)ccc2C1 ZINC000635846412 422404029 /nfs/dbraw/zinc/40/40/29/422404029.db2.gz YOLQMNDEEOEZBQ-UHFFFAOYSA-N -1 1 305.769 1.761 20 0 DDADMM Cn1nc(C2CCOCC2)cc1NC(=O)c1ccc(O)cc1[O-] ZINC000628929839 422404782 /nfs/dbraw/zinc/40/47/82/422404782.db2.gz MHMDSIJLBNVLNU-UHFFFAOYSA-N -1 1 317.345 1.978 20 0 DDADMM O=c1c2c([n-]n1-c1ncccn1)CN(Cc1ccccc1)CC2 ZINC000634589950 422496124 /nfs/dbraw/zinc/49/61/24/422496124.db2.gz MWSOKMNZASHZCT-AWEZNQCLSA-N -1 1 307.357 1.701 20 0 DDADMM C[C@@]1(CNC(=O)CCCc2nn[n-]n2)OCCc2sccc21 ZINC000635943595 422496671 /nfs/dbraw/zinc/49/66/71/422496671.db2.gz REHFXQJEZPOQJG-AWEZNQCLSA-N -1 1 321.406 1.188 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1cnc(C2CC2)nc1)C1CC1 ZINC000629287970 422583194 /nfs/dbraw/zinc/58/31/94/422583194.db2.gz FNYOQDNSOBKXOR-CYBMUJFWSA-N -1 1 311.407 1.911 20 0 DDADMM O=C(CN1CCCC1=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629374725 422637425 /nfs/dbraw/zinc/63/74/25/422637425.db2.gz YLZYZQAZBKUNDA-UHFFFAOYSA-N -1 1 300.318 1.139 20 0 DDADMM CN(CCN1CCCC1=O)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631266083 422642916 /nfs/dbraw/zinc/64/29/16/422642916.db2.gz BZHNYLHEISEVRA-UHFFFAOYSA-N -1 1 310.781 1.669 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2cc(O)c(F)cc2F)CCC1 ZINC000634793358 422649508 /nfs/dbraw/zinc/64/95/08/422649508.db2.gz HIGVQFYVOANSHV-UHFFFAOYSA-N -1 1 307.318 1.981 20 0 DDADMM O=C([O-])[C@H]1CC[C@H]1C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000634846180 422682426 /nfs/dbraw/zinc/68/24/26/422682426.db2.gz CDKKFHLZMVNPGP-KFWWJZLASA-N -1 1 302.374 1.488 20 0 DDADMM O=C(C[C@@H]1CCCS(=O)(=O)C1)Nc1c([O-])cccc1F ZINC000645926867 423243855 /nfs/dbraw/zinc/24/38/55/423243855.db2.gz DOFIRKONTVSEFI-VIFPVBQESA-N -1 1 301.339 1.685 20 0 DDADMM COC[C@](C)(O)CCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000650950793 423326673 /nfs/dbraw/zinc/32/66/73/423326673.db2.gz HOZNZBMSQVCKJB-CYBMUJFWSA-N -1 1 321.295 1.928 20 0 DDADMM O=c1[n-]c(CN[C@@H](c2ccccc2)C2(CO)CC=CC2)n[nH]1 ZINC000646081867 423329939 /nfs/dbraw/zinc/32/99/39/423329939.db2.gz QNJHABRLQFHUMF-AWEZNQCLSA-N -1 1 300.362 1.670 20 0 DDADMM Cc1cc(C2CCN(C(=O)c3ccc(C(=O)[O-])nc3)CC2)n[nH]1 ZINC000653287723 423422767 /nfs/dbraw/zinc/42/27/67/423422767.db2.gz AQPOGIHVEVNETN-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)Cc3ccc4c(c3)CCC4)nc2n1 ZINC000643909395 423401780 /nfs/dbraw/zinc/40/17/80/423401780.db2.gz WDEJFCSTIVLGPC-UHFFFAOYSA-N -1 1 323.356 1.396 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)Cc3cc(F)ccc3F)nc2n1 ZINC000643909575 423402336 /nfs/dbraw/zinc/40/23/36/423402336.db2.gz NTFITLRBIDYLQK-UHFFFAOYSA-N -1 1 319.271 1.185 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3(c4ccccc4)CC3)nc2n1 ZINC000643909292 423402786 /nfs/dbraw/zinc/40/27/86/423402786.db2.gz QIRJFQXIGKWUKW-UHFFFAOYSA-N -1 1 309.329 1.396 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000653305781 423431117 /nfs/dbraw/zinc/43/11/17/423431117.db2.gz WLIQXEPRDIQVMF-CYBMUJFWSA-N -1 1 312.410 1.058 20 0 DDADMM Cn1cc(C(=O)CSc2nc(C(F)(F)F)cc(=O)[n-]2)nn1 ZINC000651190360 423433519 /nfs/dbraw/zinc/43/35/19/423433519.db2.gz LDNIECJCKQLPLH-UHFFFAOYSA-N -1 1 319.268 1.305 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)C(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000653343157 423449430 /nfs/dbraw/zinc/44/94/30/423449430.db2.gz PHFFQAINVRXFEJ-GJZGRUSLSA-N -1 1 304.390 1.973 20 0 DDADMM CCc1ccc(C(=O)Nc2c(C)[n-][nH]c2=O)cc1S(C)(=O)=O ZINC000646411424 423479270 /nfs/dbraw/zinc/47/92/70/423479270.db2.gz GBINFOCSIKGXRB-UHFFFAOYSA-N -1 1 323.374 1.642 20 0 DDADMM CC(=O)N1CCc2cc(C(=O)Nc3c(C)[n-][nH]c3=O)ccc21 ZINC000646411478 423480052 /nfs/dbraw/zinc/48/00/52/423480052.db2.gz ITDXWSCOZIFWFM-UHFFFAOYSA-N -1 1 300.318 1.585 20 0 DDADMM COc1cc(C(=O)Nc2c(C)[n-][nH]c2=O)cc(OC)c1OC ZINC000646412468 423480861 /nfs/dbraw/zinc/48/08/61/423480861.db2.gz JEBFPPUJSDHEDJ-UHFFFAOYSA-N -1 1 307.306 1.702 20 0 DDADMM C[C@]1(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCc2ccccc21 ZINC000648838199 423490649 /nfs/dbraw/zinc/49/06/49/423490649.db2.gz YZSVYBPGEISSSZ-GUYCJALGSA-N -1 1 311.389 1.810 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1C[C@H]1C(F)(F)F ZINC000648894495 423525330 /nfs/dbraw/zinc/52/53/30/423525330.db2.gz QOGJILBPXUZWIH-BBBLOLIVSA-N -1 1 311.267 1.604 20 0 DDADMM CCN(C)S(=O)(=O)[N-]c1nn(Cc2ccccc2)cc1C ZINC000641697183 423876687 /nfs/dbraw/zinc/87/66/87/423876687.db2.gz ZTQBIISSMBUZSY-UHFFFAOYSA-N -1 1 308.407 1.848 20 0 DDADMM CC(C)[C@@H](CO)[N-]S(=O)(=O)c1ncccc1Br ZINC000656927852 423885429 /nfs/dbraw/zinc/88/54/29/423885429.db2.gz OAZUJHFVRIGBFB-SECBINFHSA-N -1 1 323.212 1.139 20 0 DDADMM C[C@H]1C[C@H]1C[N-]S(=O)(=O)c1ncccc1Br ZINC000656876968 423826570 /nfs/dbraw/zinc/82/65/70/423826570.db2.gz NXFDRPJSOGFDBI-YUMQZZPRSA-N -1 1 305.197 1.778 20 0 DDADMM CCC(=O)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656964319 423932275 /nfs/dbraw/zinc/93/22/75/423932275.db2.gz VXFWNWNNDWTZPU-UHFFFAOYSA-N -1 1 307.169 1.102 20 0 DDADMM O=C(COCC(F)(F)F)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644883713 424011004 /nfs/dbraw/zinc/01/10/04/424011004.db2.gz WGIHEQBGCPJTRB-SSDOTTSWSA-N -1 1 309.244 1.060 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)nn1 ZINC000657119904 424126098 /nfs/dbraw/zinc/12/60/98/424126098.db2.gz JAGJIOYYRZNPII-UHFFFAOYSA-N -1 1 316.333 1.363 20 0 DDADMM CC(=O)N[C@H]1CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640338421 424359693 /nfs/dbraw/zinc/35/96/93/424359693.db2.gz WUNLFBKYUYFGBO-JTQLQIEISA-N -1 1 304.375 1.461 20 0 DDADMM CC(C)(C)[C@H](NC(=O)N=c1[n-]sc2ccccc21)C(N)=O ZINC000640340958 424362750 /nfs/dbraw/zinc/36/27/50/424362750.db2.gz DIWUSYNYDBOQEU-SNVBAGLBSA-N -1 1 306.391 1.740 20 0 DDADMM COCC1(CNC(=O)c2cnc3nc(C)ccc3c2[O-])CC1 ZINC000655407580 424454248 /nfs/dbraw/zinc/45/42/48/424454248.db2.gz BVRZQXACUDRJSB-UHFFFAOYSA-N -1 1 301.346 1.800 20 0 DDADMM COCC1(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)CC1 ZINC000655407580 424454254 /nfs/dbraw/zinc/45/42/54/424454254.db2.gz BVRZQXACUDRJSB-UHFFFAOYSA-N -1 1 301.346 1.800 20 0 DDADMM O=S(=O)([N-]CC(F)(F)c1ccc(F)cc1)N1CCOCC1 ZINC000660055553 424491749 /nfs/dbraw/zinc/49/17/49/424491749.db2.gz GCTVXBJPNQMMRR-UHFFFAOYSA-N -1 1 324.324 1.084 20 0 DDADMM Nc1nc(NC[C@@H](C(=O)[O-])C2CCC2)cc(-n2cccn2)n1 ZINC000662903264 424799594 /nfs/dbraw/zinc/79/95/94/424799594.db2.gz YAYBOMVVTPMNGC-SNVBAGLBSA-N -1 1 302.338 1.157 20 0 DDADMM COc1cc(C(=O)N(C)[C@H]2CCN(C)C2=O)cc(Cl)c1[O-] ZINC000341730542 271189845 /nfs/dbraw/zinc/18/98/45/271189845.db2.gz OBKLOOYIKNFPRJ-JTQLQIEISA-N -1 1 312.753 1.357 20 0 DDADMM CS[C@H]1CCCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000344346775 272003688 /nfs/dbraw/zinc/00/36/88/272003688.db2.gz GKPVDXFTLPJAMR-NSHDSACASA-N -1 1 323.418 1.261 20 0 DDADMM CC(C)(C)[C@@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000345706764 272309864 /nfs/dbraw/zinc/30/98/64/272309864.db2.gz FECUCWSQXQFTTN-LLVKDONJSA-N -1 1 305.378 1.412 20 0 DDADMM CCCn1c(C)nnc1CN1CCCC[C@H]1c1nc(=O)[n-][nH]1 ZINC000289340953 278992139 /nfs/dbraw/zinc/99/21/39/278992139.db2.gz LWYZHXLBFNVNAG-NSHDSACASA-N -1 1 305.386 1.135 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cccc1F)C(=O)NCc1ccco1 ZINC000121222959 281134652 /nfs/dbraw/zinc/13/46/52/281134652.db2.gz ASXKEIJEVXJSIA-SECBINFHSA-N -1 1 306.293 1.559 20 0 DDADMM CC1(C)CN(C(=O)N=c2[n-]nc(C(F)(F)F)s2)CCN1 ZINC000414751250 281167441 /nfs/dbraw/zinc/16/74/41/281167441.db2.gz SKAAEOBHPUEXSS-UHFFFAOYSA-N -1 1 309.317 1.195 20 0 DDADMM COc1ccc(C(=O)Nc2ccnn2C[C@H]2CCOC2)c([O-])c1 ZINC000123627822 281174027 /nfs/dbraw/zinc/17/40/27/281174027.db2.gz BBUYQEYASAWXJZ-LLVKDONJSA-N -1 1 317.345 1.886 20 0 DDADMM CC(C)CO[C@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000126907156 281256394 /nfs/dbraw/zinc/25/63/94/281256394.db2.gz GQQPQOPNLFDQTQ-LBPRGKRZSA-N -1 1 315.373 1.810 20 0 DDADMM CN(C[C@@H]1CCCCO1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000128045727 281296459 /nfs/dbraw/zinc/29/64/59/281296459.db2.gz BJDVOHNRHUOMHI-NSHDSACASA-N -1 1 301.346 1.564 20 0 DDADMM C[C@@H]1CO[C@@H](CO)CN1C(=O)c1ccc2ccccc2c1[O-] ZINC000129259215 281338413 /nfs/dbraw/zinc/33/84/13/281338413.db2.gz QGLZKKZOQXLKBB-DGCLKSJQSA-N -1 1 301.342 1.767 20 0 DDADMM CN(C(=O)C(=O)c1ccc([O-])cc1)C(C)(C)CN1CCOCC1 ZINC000414038632 288781758 /nfs/dbraw/zinc/78/17/58/288781758.db2.gz HYNBSWMXAHFCMW-UHFFFAOYSA-N -1 1 320.389 1.144 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)c3ccccc3F)ccnc1-2 ZINC000340372332 294132688 /nfs/dbraw/zinc/13/26/88/294132688.db2.gz PNKZZMNIRCCESG-UHFFFAOYSA-N -1 1 306.322 1.282 20 0 DDADMM COC[C@H](C[N-]S(=O)(=O)c1nc2ccccc2s1)OC ZINC000573243136 304585662 /nfs/dbraw/zinc/58/56/62/304585662.db2.gz ZZBSTMFOTLUADI-VIFPVBQESA-N -1 1 316.404 1.236 20 0 DDADMM C[C@H](N=c1ccc(N(C)CC(=O)N(C)C)n[n-]1)c1ccccc1 ZINC000425204171 299008036 /nfs/dbraw/zinc/00/80/36/299008036.db2.gz TTWXAAOWBZTNQZ-ZDUSSCGKSA-N -1 1 313.405 1.596 20 0 DDADMM O=C(N[C@H]1CCN(Cc2ccccc2)C1=O)c1ncccc1[O-] ZINC000363120372 300214998 /nfs/dbraw/zinc/21/49/98/300214998.db2.gz RRRKKHCKIJGLQP-ZDUSSCGKSA-N -1 1 311.341 1.318 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(C)cc2F)C1 ZINC000367801376 300886916 /nfs/dbraw/zinc/88/69/16/300886916.db2.gz APYUBYZXAZYHSR-GFCCVEGCSA-N -1 1 324.352 1.571 20 0 DDADMM CN(C)S(=O)(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000372151235 301531437 /nfs/dbraw/zinc/53/14/37/301531437.db2.gz SIYMQRAKIJCBAS-UHFFFAOYSA-N -1 1 312.391 1.093 20 0 DDADMM COc1ccc(-c2nnc([N-]C(=O)c3ncn(C)n3)s2)cc1 ZINC000355562475 306810161 /nfs/dbraw/zinc/81/01/61/306810161.db2.gz FLXLTKUIGYASIQ-UHFFFAOYSA-N -1 1 316.346 1.595 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1)C1CCC1 ZINC000377724323 302219959 /nfs/dbraw/zinc/21/99/59/302219959.db2.gz XZZUQFVNSLRCJR-JTQLQIEISA-N -1 1 324.327 1.801 20 0 DDADMM C[C@@H](c1nc(-c2nc[nH]n2)no1)S(=O)(=O)c1ccc([O-])cc1 ZINC000356089729 306833309 /nfs/dbraw/zinc/83/33/09/306833309.db2.gz KCVLQFCBXLMRTQ-ZETCQYMHSA-N -1 1 321.318 1.095 20 0 DDADMM c1ccc(-n2[n-]nnc2=NC[C@H]2CC[N@H+]2C2CCCCC2)cc1 ZINC000528116966 303004830 /nfs/dbraw/zinc/00/48/30/303004830.db2.gz WXVFXBCEAVFUOB-MRXNPFEDSA-N -1 1 312.421 1.903 20 0 DDADMM COCCCn1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC000528138786 303006646 /nfs/dbraw/zinc/00/66/46/303006646.db2.gz LGXOHCIJDBRVAK-UHFFFAOYSA-N -1 1 318.259 1.309 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N(CC(C)(C)O)C1CC1)c2=O ZINC000428367735 303059831 /nfs/dbraw/zinc/05/98/31/303059831.db2.gz VDUOWICNTABXPZ-UHFFFAOYSA-N -1 1 304.350 1.049 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)c1Br ZINC000529466102 303130849 /nfs/dbraw/zinc/13/08/49/303130849.db2.gz DTGNHCNGMJIWKP-VHKYIWFCSA-N -1 1 316.199 1.901 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@H]1c1ccsc1 ZINC000530002499 303169651 /nfs/dbraw/zinc/16/96/51/303169651.db2.gz RHZLNRHISDMLTA-JGVFFNPUSA-N -1 1 307.331 1.244 20 0 DDADMM COCCn1cc(C(=O)Nc2cccc(F)c2[O-])c(=O)cc1C ZINC000530006870 303169993 /nfs/dbraw/zinc/16/99/93/303169993.db2.gz WBITTWYYUBSWRG-UHFFFAOYSA-N -1 1 320.320 1.900 20 0 DDADMM C[C@@H]1COCCN1CCN1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000530171655 303179575 /nfs/dbraw/zinc/17/95/75/303179575.db2.gz YVJLWIQMFSAHJL-GFCCVEGCSA-N -1 1 322.380 1.603 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cccc2c1ccn2C ZINC000532846966 303299176 /nfs/dbraw/zinc/29/91/76/303299176.db2.gz BXKDLNUUORJTLP-UHFFFAOYSA-N -1 1 312.391 1.583 20 0 DDADMM Cn1[n-]nnc1=NC[C@@H]1CC[N@@H+](C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000534973884 303338681 /nfs/dbraw/zinc/33/86/81/303338681.db2.gz DCOGKORVGUXYDM-GXFFZTMASA-N -1 1 324.791 1.529 20 0 DDADMM CN1CC[C@@H](CN=c2nn[n-]n2C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000534973884 303338683 /nfs/dbraw/zinc/33/86/83/303338683.db2.gz DCOGKORVGUXYDM-GXFFZTMASA-N -1 1 324.791 1.529 20 0 DDADMM Cc1c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cnn1C(C)(C)C ZINC000539326041 303394903 /nfs/dbraw/zinc/39/49/03/303394903.db2.gz MSNAYORXBWNDRA-NSHDSACASA-N -1 1 317.397 1.479 20 0 DDADMM C[C@@H]1c2ccccc2CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544808264 303478637 /nfs/dbraw/zinc/47/86/37/303478637.db2.gz JNVFQXQWUBEQRO-SNVBAGLBSA-N -1 1 309.329 1.177 20 0 DDADMM CN(Cc1nnc2n1CCCCC2)C(=O)c1ncccc1[O-] ZINC000547650868 303545225 /nfs/dbraw/zinc/54/52/25/303545225.db2.gz CFPNOOATTNBIMO-UHFFFAOYSA-N -1 1 301.350 1.377 20 0 DDADMM COCc1nc(C(=O)[N-]c2sccc2S(C)(=O)=O)co1 ZINC000548191043 303576364 /nfs/dbraw/zinc/57/63/64/303576364.db2.gz MZBLRCOOAFHEID-UHFFFAOYSA-N -1 1 316.360 1.538 20 0 DDADMM Cn1cnc(=NC(=O)c2nn(-c3ccc(Cl)cc3)cc2[O-])[nH]1 ZINC000554456709 303696425 /nfs/dbraw/zinc/69/64/25/303696425.db2.gz SEDHEPSBQDQERO-UHFFFAOYSA-N -1 1 318.724 1.034 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1Cc2ccccc2N(C)C1)c1nn[n-]n1 ZINC000363746960 307035010 /nfs/dbraw/zinc/03/50/10/307035010.db2.gz RGEMRLHWKIKXBC-YPMHNXCESA-N -1 1 314.393 1.070 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@]2(CCCOC2)O1 ZINC000366481314 307074935 /nfs/dbraw/zinc/07/49/35/307074935.db2.gz FNQXYJMIZYWJFA-ZBEGNZNMSA-N -1 1 309.337 1.941 20 0 DDADMM CS[C@@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000369557864 307124154 /nfs/dbraw/zinc/12/41/54/307124154.db2.gz CVTSCFFOUMPJCW-VXGBXAGGSA-N -1 1 319.390 1.204 20 0 DDADMM C[C@@H]1C[C@@H](CC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CC(C)(C)C1 ZINC000370862023 307138512 /nfs/dbraw/zinc/13/85/12/307138512.db2.gz ZKFKMUDUGHXXNA-FRRDWIJNSA-N -1 1 321.425 1.952 20 0 DDADMM COC(=O)[C@@]12CCC[C@H]1CN(C(=O)c1cc(F)ccc1[O-])C2 ZINC000371736015 307157199 /nfs/dbraw/zinc/15/71/99/307157199.db2.gz VJGPEDVPSHEJEE-MGPLVRAMSA-N -1 1 307.321 1.947 20 0 DDADMM C[C@H](C(=O)N1CCCCCC1)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000373829819 307196228 /nfs/dbraw/zinc/19/62/28/307196228.db2.gz FMSQRHHEJQBABB-GFCCVEGCSA-N -1 1 321.425 1.481 20 0 DDADMM O=C(C=Cc1ccc(Cl)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000377594680 307274230 /nfs/dbraw/zinc/27/42/30/307274230.db2.gz GHVAHTXCFCAODR-MJRJWQSSSA-N -1 1 319.752 1.466 20 0 DDADMM CC1(C)CCC[C@H](CN=c2ccc(C(=O)NCCO)n[n-]2)C1 ZINC000450927369 307306429 /nfs/dbraw/zinc/30/64/29/307306429.db2.gz PBOQLFPNGFOYLP-LBPRGKRZSA-N -1 1 306.410 1.249 20 0 DDADMM CO[C@@]1(C[N-]S(=O)(=O)c2cc3ccccc3o2)CCOC1 ZINC000546597919 307729938 /nfs/dbraw/zinc/72/99/38/307729938.db2.gz BABXBMSPNNZANC-CQSZACIVSA-N -1 1 311.359 1.517 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](O)COc2ccccc2)c([O-])c1 ZINC000548639759 307781835 /nfs/dbraw/zinc/78/18/35/307781835.db2.gz MNBZBLQJFIQQCO-GFCCVEGCSA-N -1 1 302.330 1.265 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H]4OCC[C@@H]4C3)cnc2n1 ZINC000570046048 308157788 /nfs/dbraw/zinc/15/77/88/308157788.db2.gz CENRLCOOIAQZCL-RISCZKNCSA-N -1 1 313.357 1.895 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC[C@@H]3OCC[C@@H]3C1)c2=O ZINC000570046048 308157790 /nfs/dbraw/zinc/15/77/90/308157790.db2.gz CENRLCOOIAQZCL-RISCZKNCSA-N -1 1 313.357 1.895 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N[C@H]1CC12CC2 ZINC000573678284 308248603 /nfs/dbraw/zinc/24/86/03/308248603.db2.gz UJHIYHXIYXLWSW-ZDUSSCGKSA-N -1 1 310.357 1.764 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)N=c1[n-]nc(CC(C)C)s1 ZINC000575878780 308281229 /nfs/dbraw/zinc/28/12/29/308281229.db2.gz SNSKZJNSAQPZQV-LLVKDONJSA-N -1 1 311.455 1.716 20 0 DDADMM C[C@H]1CN(c2c(C(=O)[O-])c[nH+]c3ccccc32)CC[N@H+]1CCO ZINC000576877923 308346172 /nfs/dbraw/zinc/34/61/72/308346172.db2.gz PDRTUZNHYNJVEN-LBPRGKRZSA-N -1 1 315.373 1.436 20 0 DDADMM CCC[C@H]1CN(C(=O)[C@@H](C(=O)[O-])C(C)C)CCN1CCOC ZINC000576878613 308346456 /nfs/dbraw/zinc/34/64/56/308346456.db2.gz FYXJNQSPBZVGOW-KBPBESRZSA-N -1 1 314.426 1.303 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC[C@@H](CO)[C@H](O)C1 ZINC000192665285 325702282 /nfs/dbraw/zinc/70/22/82/325702282.db2.gz LUMJYZWMHOTAMR-QPUJVOFHSA-N -1 1 319.279 1.226 20 0 DDADMM O=C([O-])C1(C(=O)NCc2nc(-c3ccc(F)cc3)n[nH]2)CCC1 ZINC000582911834 337216694 /nfs/dbraw/zinc/21/66/94/337216694.db2.gz UZXGXGFIIZLOTO-UHFFFAOYSA-N -1 1 318.308 1.482 20 0 DDADMM O=C([O-])C1(C(=O)NCc2n[nH]c(-c3ccc(F)cc3)n2)CCC1 ZINC000582911834 337216695 /nfs/dbraw/zinc/21/66/95/337216695.db2.gz UZXGXGFIIZLOTO-UHFFFAOYSA-N -1 1 318.308 1.482 20 0 DDADMM CC[C@@H]1OCC[C@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000583192767 337265030 /nfs/dbraw/zinc/26/50/30/337265030.db2.gz FPOGXOSEZVZTQU-MNOVXSKESA-N -1 1 309.309 1.950 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1nc2ccccc2s1)[C@H](C)O ZINC000584587760 337369870 /nfs/dbraw/zinc/36/98/70/337369870.db2.gz BDBULMGIDBBYOJ-DTWKUNHWSA-N -1 1 300.405 1.734 20 0 DDADMM NC(=O)c1n[nH]c2ccc(NC(=O)CCCCC(=O)[O-])cc21 ZINC000656231779 484036555 /nfs/dbraw/zinc/03/65/55/484036555.db2.gz ONZDTQYXQAXSBT-UHFFFAOYSA-N -1 1 304.306 1.245 20 0 DDADMM CC(C)c1nnc([C@H](C)NC(=O)C(=O)c2ccc([O-])cc2)[nH]1 ZINC000436983703 484131433 /nfs/dbraw/zinc/13/14/33/484131433.db2.gz XORCNSHLLZUSMO-VIFPVBQESA-N -1 1 302.334 1.694 20 0 DDADMM CCC(CC)(CO)CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000229073215 484165372 /nfs/dbraw/zinc/16/53/72/484165372.db2.gz RMWMWWLGIUOHBT-UHFFFAOYSA-N -1 1 313.423 1.329 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2cc(C)cc(C(N)=O)c2)[nH]n1 ZINC000656727361 484303499 /nfs/dbraw/zinc/30/34/99/484303499.db2.gz GNPFSWOCYXZUJB-UHFFFAOYSA-N -1 1 322.390 1.570 20 0 DDADMM O=C([O-])[C@@H](C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1)C1CC1 ZINC000663042177 484620052 /nfs/dbraw/zinc/62/00/52/484620052.db2.gz ULPZUYCWEFBJDH-NWDGAFQWSA-N -1 1 318.377 1.499 20 0 DDADMM CC1(C)C[C@@]1(C(=O)[O-])C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000663041914 484620333 /nfs/dbraw/zinc/62/03/33/484620333.db2.gz ZZGVKTHXSDHJTE-HNNXBMFYSA-N -1 1 305.309 1.819 20 0 DDADMM O=C([O-])[C@H](C(=O)N1CC[C@@H](CN2CCOCC2)C1)C1CCCC1 ZINC000663061212 484636366 /nfs/dbraw/zinc/63/63/66/484636366.db2.gz FJSSOQPCSSHSLH-ZFWWWQNUSA-N -1 1 324.421 1.058 20 0 DDADMM CCCCCc1cc(C(=O)N2CCO[C@@H](CC(=O)[O-])C2)n[nH]1 ZINC000659134880 484646813 /nfs/dbraw/zinc/64/68/13/484646813.db2.gz VVGMCHJGNJGGAQ-LBPRGKRZSA-N -1 1 309.366 1.458 20 0 DDADMM CN(C)[C@H](CNC(=O)C1(C(=O)[O-])CCC1)c1cccc(F)c1 ZINC000663075236 484647205 /nfs/dbraw/zinc/64/72/05/484647205.db2.gz HMAJEWFIHFRTJC-CYBMUJFWSA-N -1 1 308.353 1.800 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)[C@@H](C(=O)[O-])C(C)(C)C)C[C@@H](C)O1 ZINC000663110465 484675155 /nfs/dbraw/zinc/67/51/55/484675155.db2.gz SDRYJYROEGZSST-UPJWGTAASA-N -1 1 314.426 1.349 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H](C(=O)[O-])C1CC1)c1ccc(F)cc1 ZINC000663114903 484679824 /nfs/dbraw/zinc/67/98/24/484679824.db2.gz SIFDTUBEDULEBN-KGLIPLIRSA-N -1 1 308.353 1.655 20 0 DDADMM CC1CCN(CC(=O)N2Cc3ccccc3[C@H](C(=O)[O-])C2)CC1 ZINC000663133899 484694371 /nfs/dbraw/zinc/69/43/71/484694371.db2.gz FWRGDPAHKNDXIF-MRXNPFEDSA-N -1 1 316.401 1.929 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000663136257 484695530 /nfs/dbraw/zinc/69/55/30/484695530.db2.gz WWVZCIGJHNROKI-GJZGRUSLSA-N -1 1 302.374 1.681 20 0 DDADMM CCN(CC)CCS(=O)(=O)N(CC(=O)[O-])CC(C)(C)C ZINC000663254124 484768858 /nfs/dbraw/zinc/76/88/58/484768858.db2.gz VKAYOEZNKHTEFG-UHFFFAOYSA-N -1 1 308.444 1.091 20 0 DDADMM CCc1ccc(S(=O)(=O)[N-]c2ccnc(OCCO)c2)cc1 ZINC000663301873 484792878 /nfs/dbraw/zinc/79/28/78/484792878.db2.gz RFGGCQCJVBABJM-UHFFFAOYSA-N -1 1 322.386 1.816 20 0 DDADMM O=C(NC[C@@H]1CCCNC1=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000670375529 484796246 /nfs/dbraw/zinc/79/62/46/484796246.db2.gz ITJQTRUCFNMHGH-QMMMGPOBSA-N -1 1 316.279 1.667 20 0 DDADMM O=C(NC[C@@]1(O)CCOC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000670419868 484820133 /nfs/dbraw/zinc/82/01/33/484820133.db2.gz XTSSIUZCYRDHRY-LBPRGKRZSA-N -1 1 305.252 1.292 20 0 DDADMM O=C(NC1CCS(=O)(=O)CC1)c1ncc2ccccc2c1[O-] ZINC000666392014 485198707 /nfs/dbraw/zinc/19/87/07/485198707.db2.gz VDPNBGRCVLNULL-UHFFFAOYSA-N -1 1 320.370 1.247 20 0 DDADMM CCn1cnnc1[N-]C(=O)c1noc(-c2cccc(F)c2)n1 ZINC000671869248 485200288 /nfs/dbraw/zinc/20/02/88/485200288.db2.gz HCJDUYVAOMGCGZ-UHFFFAOYSA-N -1 1 302.269 1.739 20 0 DDADMM O=C(Nc1ccc2c(c1)C(=O)NCC2)C(=O)c1ccc([O-])cc1 ZINC000672904739 485366343 /nfs/dbraw/zinc/36/63/43/485366343.db2.gz NAAHRXBFSZRXRD-UHFFFAOYSA-N -1 1 310.309 1.500 20 0 DDADMM O=C(NCc1nn[n-]n1)C(=O)Nc1cccc(-c2ccccc2)c1 ZINC000672918033 485368495 /nfs/dbraw/zinc/36/84/95/485368495.db2.gz WMUGCNGPBCRBAZ-UHFFFAOYSA-N -1 1 322.328 1.122 20 0 DDADMM O=C([N-]c1n[nH]c(-c2ccccn2)n1)c1onc2c1CCCC2 ZINC000667023404 485395962 /nfs/dbraw/zinc/39/59/62/485395962.db2.gz NOSVIGVVEFUSRB-UHFFFAOYSA-N -1 1 310.317 1.986 20 0 DDADMM C[C@H](CN(C)C(=O)CCCc1ccc(O)c(F)c1)c1nn[n-]n1 ZINC000682531205 485656150 /nfs/dbraw/zinc/65/61/50/485656150.db2.gz UVOXZVIZXPSZME-SNVBAGLBSA-N -1 1 321.356 1.629 20 0 DDADMM CCC[C@@H](NC(=O)C(=O)c1cc(F)ccc1C)c1nn[n-]n1 ZINC000679807253 485999955 /nfs/dbraw/zinc/99/99/55/485999955.db2.gz QJOXOUPZBJXAJP-LLVKDONJSA-N -1 1 305.313 1.488 20 0 DDADMM CCc1nnc([C@H](C)S(=O)(=O)c2nc(C(C)(C)C)n[n-]2)o1 ZINC000683688395 486081334 /nfs/dbraw/zinc/08/13/34/486081334.db2.gz NJAYLYDNLIYHCK-ZETCQYMHSA-N -1 1 313.383 1.583 20 0 DDADMM CCc1nnc([C@H](C)S(=O)(=O)c2n[n-]c(C(C)(C)C)n2)o1 ZINC000683688395 486081337 /nfs/dbraw/zinc/08/13/37/486081337.db2.gz NJAYLYDNLIYHCK-ZETCQYMHSA-N -1 1 313.383 1.583 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCCOC[C@H]1C ZINC000676509732 486242279 /nfs/dbraw/zinc/24/22/79/486242279.db2.gz FJJMWUZXCBJDIH-SNVBAGLBSA-N -1 1 318.377 1.232 20 0 DDADMM Cc1cnc(C(=O)N2CCN(c3nccs3)CC2)c([O-])c1 ZINC000680843826 486280564 /nfs/dbraw/zinc/28/05/64/486280564.db2.gz WPASXRNEZRCNBO-UHFFFAOYSA-N -1 1 304.375 1.515 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CSC[C@H]1C(=O)N1CCCC1 ZINC000681037435 486327251 /nfs/dbraw/zinc/32/72/51/486327251.db2.gz HGQDKIUNQKAERS-LBPRGKRZSA-N -1 1 324.377 1.669 20 0 DDADMM CCN(C)C(=O)[C@H]1CSCN1C(=O)c1ccc([O-])c(F)c1 ZINC000681039878 486328793 /nfs/dbraw/zinc/32/87/93/486328793.db2.gz QNUHMXLCENISEJ-LLVKDONJSA-N -1 1 312.366 1.525 20 0 DDADMM O=C(NCc1nn[n-]n1)C(Cc1ccccc1)Cc1ccccc1 ZINC000684968479 486471042 /nfs/dbraw/zinc/47/10/42/486471042.db2.gz CTOORFOBPSEWIN-UHFFFAOYSA-N -1 1 321.384 1.918 20 0 DDADMM Cc1noc([C@@H](NC(=O)c2ncccc2[O-])C2CCOCC2)n1 ZINC000681815819 486537051 /nfs/dbraw/zinc/53/70/51/486537051.db2.gz HENCDOBBEJLVRU-LBPRGKRZSA-N -1 1 318.333 1.376 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccncc1 ZINC000677927953 486580584 /nfs/dbraw/zinc/58/05/84/486580584.db2.gz SMUSKCNIGANRIL-AAEUAGOBSA-N -1 1 300.366 1.495 20 0 DDADMM O=C(CCc1ccccc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677928215 486580969 /nfs/dbraw/zinc/58/09/69/486580969.db2.gz UNGOLDMQNAQOPH-GFCCVEGCSA-N -1 1 303.341 1.678 20 0 DDADMM COc1ccc(Cl)cc1C=CC(=O)NC1(c2nn[n-]n2)CC1 ZINC000491989254 533834485 /nfs/dbraw/zinc/83/44/85/533834485.db2.gz GDHIMWHJYDJQFW-DJWKRKHSSA-N -1 1 319.752 1.680 20 0 DDADMM CS[C@@H]1CCN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000295598491 533967678 /nfs/dbraw/zinc/96/76/78/533967678.db2.gz SDRXQFULQLOGPJ-LLVKDONJSA-N -1 1 320.418 1.146 20 0 DDADMM COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)c2cncc([O-])c2)C1 ZINC000495480899 533968832 /nfs/dbraw/zinc/96/88/32/533968832.db2.gz OAGSSRZAYIVHHA-WDEREUQCSA-N -1 1 307.350 1.384 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3CC(=O)N[C@@H](C)C3)[nH][n-]2)s1 ZINC000331988605 534164795 /nfs/dbraw/zinc/16/47/95/534164795.db2.gz VYRQLJLGRDGRSJ-WPRPVWTQSA-N -1 1 318.402 1.722 20 0 DDADMM COC(=O)c1c[n-]c(SCCC(=O)Nc2cccnc2)n1 ZINC000432666190 534307498 /nfs/dbraw/zinc/30/74/98/534307498.db2.gz RJYYFMAEGMJGQU-UHFFFAOYSA-N -1 1 306.347 1.712 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC(C)(C)C(C)(C)O)c1 ZINC000452033690 534320473 /nfs/dbraw/zinc/32/04/73/534320473.db2.gz QRRQRRFLPXDAEW-UHFFFAOYSA-N -1 1 319.379 1.142 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCO[C@@H](Cn2cccn2)C1 ZINC000331733608 534648650 /nfs/dbraw/zinc/64/86/50/534648650.db2.gz ROVXDLXDXTUNRM-SNVBAGLBSA-N -1 1 323.299 1.408 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@]3(C)C[C@@H]3F)CC2)n1 ZINC000424804998 528368962 /nfs/dbraw/zinc/36/89/62/528368962.db2.gz GGONLRPVUCJWOM-ZUZCIYMTSA-N -1 1 324.356 1.436 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@]3(C)C[C@H]3F)CC2)n1 ZINC000424804996 528369009 /nfs/dbraw/zinc/36/90/09/528369009.db2.gz GGONLRPVUCJWOM-MEBBXXQBSA-N -1 1 324.356 1.436 20 0 DDADMM CCC(CC)(CNC(=O)c1ccc2n[n-]c(=S)n2c1)OC ZINC000294617692 528688684 /nfs/dbraw/zinc/68/86/84/528688684.db2.gz KJIQWBFJSQNORP-UHFFFAOYSA-N -1 1 308.407 1.953 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000330890326 529170163 /nfs/dbraw/zinc/17/01/63/529170163.db2.gz WBSPSPVZIFOMQI-UWVGGRQHSA-N -1 1 309.391 1.217 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2cccc3[nH]ccc32)[n-]n1 ZINC000336928472 535412585 /nfs/dbraw/zinc/41/25/85/535412585.db2.gz YZLIZLHWZZCETN-UHFFFAOYSA-N -1 1 309.373 1.784 20 0 DDADMM Cc1noc(C)c1[C@H](C)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737540390 599006006 /nfs/dbraw/zinc/00/60/06/599006006.db2.gz VABJVPIZPXQASX-ZETCQYMHSA-N -1 1 313.321 1.358 20 0 DDADMM Cc1noc(C)c1[C@H](C)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737540390 599006007 /nfs/dbraw/zinc/00/60/07/599006007.db2.gz VABJVPIZPXQASX-ZETCQYMHSA-N -1 1 313.321 1.358 20 0 DDADMM COc1ccccc1-c1noc(-c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000737136870 599010600 /nfs/dbraw/zinc/01/06/00/599010600.db2.gz ABKUOHYLMZNTOJ-UHFFFAOYSA-N -1 1 321.300 1.987 20 0 DDADMM COc1ccccc1-c1noc(-c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000737136870 599010601 /nfs/dbraw/zinc/01/06/01/599010601.db2.gz ABKUOHYLMZNTOJ-UHFFFAOYSA-N -1 1 321.300 1.987 20 0 DDADMM O=C(OCCc1ccc(F)cc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738220549 599155342 /nfs/dbraw/zinc/15/53/42/599155342.db2.gz AARPVBFXQYDTMV-UHFFFAOYSA-N -1 1 313.292 1.800 20 0 DDADMM O=C(OCCc1ccc(F)cc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738220549 599155345 /nfs/dbraw/zinc/15/53/45/599155345.db2.gz AARPVBFXQYDTMV-UHFFFAOYSA-N -1 1 313.292 1.800 20 0 DDADMM C[S@](=O)CCCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000737173897 599204838 /nfs/dbraw/zinc/20/48/38/599204838.db2.gz QQCCPMDNTASNTN-IBGZPJMESA-N -1 1 300.775 1.096 20 0 DDADMM C[S@](=O)CCCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000737173897 599204839 /nfs/dbraw/zinc/20/48/39/599204839.db2.gz QQCCPMDNTASNTN-IBGZPJMESA-N -1 1 300.775 1.096 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@H](CC(C)C)C(=O)[O-] ZINC000818758864 597031214 /nfs/dbraw/zinc/03/12/14/597031214.db2.gz YSEILRGUFDQWCW-SSDOTTSWSA-N -1 1 307.272 1.966 20 0 DDADMM N#Cc1ccccc1S(=O)(=O)Nc1ccccc1CC(=O)[O-] ZINC000385850972 597314454 /nfs/dbraw/zinc/31/44/54/597314454.db2.gz DEAYWRVMTXLARJ-UHFFFAOYSA-N -1 1 316.338 1.986 20 0 DDADMM CCN1CCN(C(=O)Nc2cccc(CC(=O)[O-])c2)C[C@H]1C ZINC000316091044 597387203 /nfs/dbraw/zinc/38/72/03/597387203.db2.gz RDDUYJVDTLSFMV-GFCCVEGCSA-N -1 1 305.378 1.872 20 0 DDADMM O[C@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)COCC1CC1 ZINC000738381479 598748028 /nfs/dbraw/zinc/74/80/28/598748028.db2.gz HDIWYDHWTZLYGH-SECBINFHSA-N -1 1 324.772 1.115 20 0 DDADMM O[C@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)COCC1CC1 ZINC000738381479 598748029 /nfs/dbraw/zinc/74/80/29/598748029.db2.gz HDIWYDHWTZLYGH-SECBINFHSA-N -1 1 324.772 1.115 20 0 DDADMM CCC[C@H]1SCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736437792 599384685 /nfs/dbraw/zinc/38/46/85/599384685.db2.gz XOIGNGKKIQHCPR-LLVKDONJSA-N -1 1 304.379 1.577 20 0 DDADMM CCC[C@H]1SCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736437792 599384682 /nfs/dbraw/zinc/38/46/82/599384682.db2.gz XOIGNGKKIQHCPR-LLVKDONJSA-N -1 1 304.379 1.577 20 0 DDADMM Oc1ccc(N2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)cc1 ZINC000738401103 599393204 /nfs/dbraw/zinc/39/32/04/599393204.db2.gz OYILLOBWFCJVQT-UHFFFAOYSA-N -1 1 323.360 1.294 20 0 DDADMM Oc1ccc(N2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)cc1 ZINC000738401103 599393206 /nfs/dbraw/zinc/39/32/06/599393206.db2.gz OYILLOBWFCJVQT-UHFFFAOYSA-N -1 1 323.360 1.294 20 0 DDADMM C[N@H+]1CCC[C@@H](NC(=O)Nc2ccc(CCC(=O)[O-])cc2)C1 ZINC000316987040 599830230 /nfs/dbraw/zinc/83/02/30/599830230.db2.gz MKLMCNICIPQALY-CQSZACIVSA-N -1 1 305.378 1.920 20 0 DDADMM O=C([O-])CCN1CCN(Cc2nc(-c3ccccc3)co2)CC1 ZINC000739727859 599923177 /nfs/dbraw/zinc/92/31/77/599923177.db2.gz HDIUNWVHUTUEJJ-UHFFFAOYSA-N -1 1 315.373 1.934 20 0 DDADMM C[C@@H](CN(CN1C(=O)CC2(CCCCC2)C1=O)C1CC1)C(=O)[O-] ZINC000736577331 599932994 /nfs/dbraw/zinc/93/29/94/599932994.db2.gz AICYFVAKJGUIDU-LBPRGKRZSA-N -1 1 322.405 1.838 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CCO[C@@H](C(=O)[O-])C2)o1 ZINC000314877001 599939618 /nfs/dbraw/zinc/93/96/18/599939618.db2.gz YNEONDJDITUVKI-CYBMUJFWSA-N -1 1 310.350 1.047 20 0 DDADMM COc1cccc(NC(=O)CN(C[C@H](C)C(=O)[O-])C2CC2)c1 ZINC000738107343 599948862 /nfs/dbraw/zinc/94/88/62/599948862.db2.gz YEOPHEZUPOMQQR-NSHDSACASA-N -1 1 306.362 1.819 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2ccc(C(=O)[O-])s2)C1 ZINC000399565165 599953927 /nfs/dbraw/zinc/95/39/27/599953927.db2.gz GOLONAFGJBQTAI-SNVBAGLBSA-N -1 1 312.391 1.287 20 0 DDADMM CCC[C@](C)(NCC(=O)NCc1ccc(OC)cc1)C(=O)[O-] ZINC000736965908 600156779 /nfs/dbraw/zinc/15/67/79/600156779.db2.gz XMWNSGBTFQJCKI-INIZCTEOSA-N -1 1 308.378 1.544 20 0 DDADMM O=c1ccccn1CCOc1cccc(F)c1-c1nnn[n-]1 ZINC000826501985 607865128 /nfs/dbraw/zinc/86/51/28/607865128.db2.gz PAGGIHHCQRFFBT-UHFFFAOYSA-N -1 1 301.281 1.247 20 0 DDADMM O=c1ccccn1CCOc1cccc(F)c1-c1nn[n-]n1 ZINC000826501985 607865129 /nfs/dbraw/zinc/86/51/29/607865129.db2.gz PAGGIHHCQRFFBT-UHFFFAOYSA-N -1 1 301.281 1.247 20 0 DDADMM CCC[C@@](C)(NCC(=O)Nc1nc2c(s1)CCC2)C(=O)[O-] ZINC000736963010 600208564 /nfs/dbraw/zinc/20/85/64/600208564.db2.gz JFVNPFJMDJTJSO-CQSZACIVSA-N -1 1 311.407 1.803 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N(C)C1CCCCC1 ZINC000737793809 600224472 /nfs/dbraw/zinc/22/44/72/600224472.db2.gz FDVCZLCGNSPFPS-UHFFFAOYSA-N -1 1 300.399 1.201 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)c1cccc(C(=O)[O-])n1 ZINC000316525055 600311908 /nfs/dbraw/zinc/31/19/08/600311908.db2.gz FSJUGDKHEJBZSL-NEPJUHHUSA-N -1 1 303.362 1.479 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN1CCC[C@H]1CCCO ZINC000738530044 600555300 /nfs/dbraw/zinc/55/53/00/600555300.db2.gz BFXQJULHZIURNR-AWEZNQCLSA-N -1 1 320.389 1.869 20 0 DDADMM NC(=O)c1cccc(CN[C@H](C(=O)[O-])c2ccccc2F)c1 ZINC000833086326 600737586 /nfs/dbraw/zinc/73/75/86/600737586.db2.gz NCBNFJRYFTXIRM-AWEZNQCLSA-N -1 1 302.305 1.840 20 0 DDADMM Cc1cc(C#N)ccc1S(=O)(=O)Nc1cc(C(=O)[O-])ccn1 ZINC000319775426 600981816 /nfs/dbraw/zinc/98/18/16/600981816.db2.gz RJFAJYBMBRIHIB-UHFFFAOYSA-N -1 1 317.326 1.761 20 0 DDADMM COc1ccccc1CNC(=O)CN[C@@](C)(C(=O)[O-])C1CC1 ZINC000832618361 601037624 /nfs/dbraw/zinc/03/76/24/601037624.db2.gz UQFDLUFZXZPNGT-MRXNPFEDSA-N -1 1 306.362 1.154 20 0 DDADMM Cc1ncc(/C=C\C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)s1 ZINC000833007884 601087633 /nfs/dbraw/zinc/08/76/33/601087633.db2.gz FXMDETKIFVCIGZ-DLRQAJBASA-N -1 1 323.418 1.472 20 0 DDADMM CCN1C[C@@H](C)[C@@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)C1 ZINC000737111993 601111022 /nfs/dbraw/zinc/11/10/22/601111022.db2.gz RHBTUNQNMCFMDS-MFKMUULPSA-N -1 1 312.391 1.003 20 0 DDADMM C/C(=C\CN1CCN(c2ncnc3sccc32)CC1)C(=O)[O-] ZINC000825746405 601155325 /nfs/dbraw/zinc/15/53/25/601155325.db2.gz HXNJFYAAUVRXGR-BIIKFXOESA-N -1 1 318.402 1.844 20 0 DDADMM CN(c1cccc(-c2nnn[n-]2)n1)[C@H](CCO)c1ccccc1 ZINC000826004054 607520570 /nfs/dbraw/zinc/52/05/70/607520570.db2.gz GUJZLMMTPCYSEW-CQSZACIVSA-N -1 1 310.361 1.822 20 0 DDADMM CN(c1cccc(-c2nn[n-]n2)n1)[C@H](CCO)c1ccccc1 ZINC000826004054 607520572 /nfs/dbraw/zinc/52/05/72/607520572.db2.gz GUJZLMMTPCYSEW-CQSZACIVSA-N -1 1 310.361 1.822 20 0 DDADMM CCCCN(CCO)CC(=O)Nc1cc(C(=O)[O-])ccc1C ZINC000829389670 601681476 /nfs/dbraw/zinc/68/14/76/601681476.db2.gz OOFDVPMBDKPAAL-UHFFFAOYSA-N -1 1 308.378 1.726 20 0 DDADMM C[C@@H](c1ccccc1)N(C)C(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000739060343 601955216 /nfs/dbraw/zinc/95/52/16/601955216.db2.gz SMTQXCGSRAYAHI-UONOGXRCSA-N -1 1 319.405 1.796 20 0 DDADMM O[C@H](CNc1snc(Cl)c1-c1nnn[n-]1)c1ccncc1 ZINC000826504268 607543077 /nfs/dbraw/zinc/54/30/77/607543077.db2.gz RQSGSLQMYWWYRN-SSDOTTSWSA-N -1 1 323.769 1.517 20 0 DDADMM O[C@H](CNc1snc(Cl)c1-c1nn[n-]n1)c1ccncc1 ZINC000826504268 607543079 /nfs/dbraw/zinc/54/30/79/607543079.db2.gz RQSGSLQMYWWYRN-SSDOTTSWSA-N -1 1 323.769 1.517 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)N[C@@H](C)C1(N2CCOCC2)CCCC1 ZINC000827275114 602172155 /nfs/dbraw/zinc/17/21/55/602172155.db2.gz ZXRYCTNKQSBTNM-OLZOCXBDSA-N -1 1 312.410 1.247 20 0 DDADMM CN(CCCC(=O)Nc1cnn(-c2ccncc2)c1)C(=O)[O-] ZINC000737430965 602326125 /nfs/dbraw/zinc/32/61/25/602326125.db2.gz PDURMWDBXOSSLC-UHFFFAOYSA-N -1 1 303.322 1.596 20 0 DDADMM O=C([O-])CNC(=O)CN1CCCCC[C@H]1C[C@@H](O)c1ccco1 ZINC000821530528 602349344 /nfs/dbraw/zinc/34/93/44/602349344.db2.gz HVWZEESLIODOEO-QWHCGFSZSA-N -1 1 324.377 1.149 20 0 DDADMM CN(C(=O)[O-])C1CCN(CC[S@@](=O)c2ccccc2)CC1 ZINC000737938594 602536133 /nfs/dbraw/zinc/53/61/33/602536133.db2.gz ZRMTVRBTBFSGSO-OAQYLSRUSA-N -1 1 310.419 1.868 20 0 DDADMM C[C@@H]1C[C@H](C)CN(C(=O)CN2CC[C@H](CN(C)C(=O)[O-])C2)C1 ZINC000739079246 602537173 /nfs/dbraw/zinc/53/71/73/602537173.db2.gz YTAUKOVXGGCOIP-HZSPNIEDSA-N -1 1 311.426 1.423 20 0 DDADMM CN(C[C@@H]1CCN(CC(=O)N(C)c2ccccc2)C1)C(=O)[O-] ZINC000739658044 602541262 /nfs/dbraw/zinc/54/12/62/602541262.db2.gz CNEJUXQANSVQJO-ZDUSSCGKSA-N -1 1 305.378 1.581 20 0 DDADMM Cc1cccn2c(=O)cc(CN(C)[C@@H](C)CNC(=O)[O-])nc12 ZINC000740189965 602558789 /nfs/dbraw/zinc/55/87/89/602558789.db2.gz GAQOBJGTLKZBKV-NSHDSACASA-N -1 1 304.350 1.091 20 0 DDADMM C[C@H]([C@@H](C)S(C)(=O)=O)N(C)CC1CCN(C(=O)[O-])CC1 ZINC000738650728 602862534 /nfs/dbraw/zinc/86/25/34/602862534.db2.gz JAISXJDMSSRAQO-GHMZBOCLSA-N -1 1 306.428 1.130 20 0 DDADMM Cc1cc(CNC(=O)N2CCC[C@H](CN(C)C(=O)[O-])C2)[nH]n1 ZINC000740072825 602916417 /nfs/dbraw/zinc/91/64/17/602916417.db2.gz KYFRXRRWTASDBJ-LLVKDONJSA-N -1 1 309.370 1.250 20 0 DDADMM CC(C)CN1CCO[C@@H](COC(=O)[C@H](NC(=O)[O-])C(C)C)C1 ZINC000736443078 603144412 /nfs/dbraw/zinc/14/44/12/603144412.db2.gz NNXDVTMLIFMJMO-CHWSQXEVSA-N -1 1 316.398 1.179 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@@H]1CCCN(C(=O)[O-])C1)c1ccccc1 ZINC000737068816 603201869 /nfs/dbraw/zinc/20/18/69/603201869.db2.gz JZYGDZMHGUQSEW-CABCVRRESA-N -1 1 319.405 1.938 20 0 DDADMM O=C([O-])N1CCC[C@@H](NC(=O)Cc2[nH]nc3ccccc32)C1 ZINC000739355411 603214813 /nfs/dbraw/zinc/21/48/13/603214813.db2.gz JQNFXPSKWWLFCO-SNVBAGLBSA-N -1 1 302.334 1.364 20 0 DDADMM C[C@H](C(=O)N1c2ccccc2C[C@H]1C)N(C)CCCNC(=O)[O-] ZINC000738618044 603250080 /nfs/dbraw/zinc/25/00/80/603250080.db2.gz IFECRHPJHFYRAP-CHWSQXEVSA-N -1 1 319.405 1.942 20 0 DDADMM CN(CC(=O)NCc1ccccc1)C[C@@H]1CCN(C(=O)[O-])C1 ZINC000739624188 603348205 /nfs/dbraw/zinc/34/82/05/603348205.db2.gz MUYHVMAUKLXMMY-AWEZNQCLSA-N -1 1 305.378 1.235 20 0 DDADMM C[C@@H]1CN(CCC(=O)N2CCc3ccccc32)CCN1C(=O)[O-] ZINC000739181545 603355522 /nfs/dbraw/zinc/35/55/22/603355522.db2.gz JWDYLDXSEFFSTG-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM CCN(CC(=O)NC[C@@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000739390687 603374698 /nfs/dbraw/zinc/37/46/98/603374698.db2.gz ANTMMDCMJRJMSY-ZDUSSCGKSA-N -1 1 323.393 1.923 20 0 DDADMM CN(C[C@H]1CCN(CN2CC3(CCCC3)CC2=O)C1)C(=O)[O-] ZINC000828258485 603520077 /nfs/dbraw/zinc/52/00/77/603520077.db2.gz DZQUWEIEONDEGG-CYBMUJFWSA-N -1 1 309.410 1.668 20 0 DDADMM NC(=O)Nc1ccc(CNCc2ccc(NC(=O)[O-])nc2)cc1 ZINC000831207657 603537053 /nfs/dbraw/zinc/53/70/53/603537053.db2.gz BTMQKGFPFFYFKX-UHFFFAOYSA-N -1 1 315.333 1.952 20 0 DDADMM CC(C)N(CCC(=O)N1CCC(c2cnc[nH]2)CC1)C(=O)[O-] ZINC000824335410 603547889 /nfs/dbraw/zinc/54/78/89/603547889.db2.gz ATKPCLXXNKMIOJ-UHFFFAOYSA-N -1 1 308.382 1.894 20 0 DDADMM O=C([O-])N(CC(=O)N1CCC(c2cnc[nH]2)CC1)CC1CC1 ZINC000831815594 603549945 /nfs/dbraw/zinc/54/99/45/603549945.db2.gz OGEHJNHWJJYBRH-UHFFFAOYSA-N -1 1 306.366 1.506 20 0 DDADMM CC(C)CN(C(=O)CN1CCC[C@@H]1CN(C)C(=O)[O-])C1CC1 ZINC000824252257 603576845 /nfs/dbraw/zinc/57/68/45/603576845.db2.gz WZRAUCAGUFKPGG-CQSZACIVSA-N -1 1 311.426 1.708 20 0 DDADMM C[C@H]1CCCN(CC(=O)N2CCCCCC2)[C@@H]1CNC(=O)[O-] ZINC000825918433 603720427 /nfs/dbraw/zinc/72/04/27/603720427.db2.gz FJRGQDUMEJDMFE-UONOGXRCSA-N -1 1 311.426 1.757 20 0 DDADMM Cc1nnc(SCCC(=O)Nc2ccc(NC(=O)[O-])nc2)[nH]1 ZINC000830894252 603741333 /nfs/dbraw/zinc/74/13/33/603741333.db2.gz RUOWTTBVVIFFHU-UHFFFAOYSA-N -1 1 322.350 1.719 20 0 DDADMM CC(C)CN1CCO[C@H](COC(=O)C(C)(C)CNC(=O)[O-])C1 ZINC000824262615 603787452 /nfs/dbraw/zinc/78/74/52/603787452.db2.gz DFXWHWWZWSOBTP-LBPRGKRZSA-N -1 1 316.398 1.180 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)C1(CNC(=O)[O-])CCCC1 ZINC000826086710 603797646 /nfs/dbraw/zinc/79/76/46/603797646.db2.gz BHYGSIIQORMVOV-KBPBESRZSA-N -1 1 323.437 1.900 20 0 DDADMM C[C@H](C(=O)NCCc1nc[nH]n1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823703006 603924983 /nfs/dbraw/zinc/92/49/83/603924983.db2.gz NCWLTKXSIXCUSN-GWCFXTLKSA-N -1 1 317.349 1.108 20 0 DDADMM O=C([O-])N[C@H](CCC(=O)NCCc1nc[nH]n1)c1ccccc1 ZINC000832119459 603927989 /nfs/dbraw/zinc/92/79/89/603927989.db2.gz JEVRUFJMLJAWKC-GFCCVEGCSA-N -1 1 317.349 1.253 20 0 DDADMM CC[N@H+](C)C[C@@H]1CCN(C(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000826988631 603935499 /nfs/dbraw/zinc/93/54/99/603935499.db2.gz MBFBEKVRDUROFE-NSHDSACASA-N -1 1 321.377 1.896 20 0 DDADMM CC[N@@H+](C)C[C@@H]1CCN(C(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000826988631 603935501 /nfs/dbraw/zinc/93/55/01/603935501.db2.gz MBFBEKVRDUROFE-NSHDSACASA-N -1 1 321.377 1.896 20 0 DDADMM CN1CCCN(C(=O)[C@H]2CCCCCC[C@H]2NC(=O)[O-])CC1 ZINC000828486466 603937077 /nfs/dbraw/zinc/93/70/77/603937077.db2.gz CLGINAYCYGTGBC-UONOGXRCSA-N -1 1 311.426 1.757 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000832529344 603943366 /nfs/dbraw/zinc/94/33/66/603943366.db2.gz YEPULIYJCHTOAJ-WDEREUQCSA-N -1 1 306.366 1.336 20 0 DDADMM CC(C)[C@@H]1CC(=O)N(CN2CCC[C@@H]([C@@H](C)NC(=O)[O-])C2)C1 ZINC000824159232 604021294 /nfs/dbraw/zinc/02/12/94/604021294.db2.gz ZQYIEVWWJBNZDG-MGPQQGTHSA-N -1 1 311.426 1.817 20 0 DDADMM Cc1nn(C)c2ncc(CN3CCC[C@@H]3CNC(=O)[O-])cc12 ZINC000830862056 604082175 /nfs/dbraw/zinc/08/21/75/604082175.db2.gz SUZFOGJENAFJCC-GFCCVEGCSA-N -1 1 303.366 1.509 20 0 DDADMM CC[C@H]1CCCCN1C(=O)CN1CCC[C@H]1CN(C)C(=O)[O-] ZINC000826667799 604101461 /nfs/dbraw/zinc/10/14/61/604101461.db2.gz LUDYAHPEKSZPMB-KBPBESRZSA-N -1 1 311.426 1.852 20 0 DDADMM O=C([O-])N1CCC[C@H]1C1CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC000831441001 604153266 /nfs/dbraw/zinc/15/32/66/604153266.db2.gz AMHZMKXWPUNZLH-LBPRGKRZSA-N -1 1 321.381 1.118 20 0 DDADMM Cc1cc(CC(=O)N[C@H](CNC(=O)[O-])C2CCCCC2)[nH]n1 ZINC000830031042 604215711 /nfs/dbraw/zinc/21/57/11/604215711.db2.gz SDDWIOVYTCETKX-CYBMUJFWSA-N -1 1 308.382 1.593 20 0 DDADMM Cc1cc(CNC(=O)C[C@@H](C)[C@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000830041756 604355594 /nfs/dbraw/zinc/35/55/94/604355594.db2.gz SJBBSIPZGGRJQK-PWSUYJOCSA-N -1 1 308.382 1.751 20 0 DDADMM CN(C(=O)OC(C)(C)C)[C@@H]1CCCN(CCNC(=O)[O-])C1 ZINC000827927299 604363576 /nfs/dbraw/zinc/36/35/76/604363576.db2.gz NHSCXIGWXJKEMT-LLVKDONJSA-N -1 1 301.387 1.585 20 0 DDADMM CC(C)c1nnc(NC(=O)CN(C)C[C@H](C)C(=O)[O-])s1 ZINC000827442203 604475042 /nfs/dbraw/zinc/47/50/42/604475042.db2.gz FAMVIJKZXIFZDM-QMMMGPOBSA-N -1 1 300.384 1.253 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@H]2CC(=O)[O-])c1Br ZINC000832990310 604565850 /nfs/dbraw/zinc/56/58/50/604565850.db2.gz UQVOROIZBYRFMZ-ZETCQYMHSA-N -1 1 316.155 1.560 20 0 DDADMM CCCN(Cc1cc(=O)c(OC)co1)[C@H]1CCN(C(=O)[O-])C1 ZINC000826911912 604572317 /nfs/dbraw/zinc/57/23/17/604572317.db2.gz IQTBJXUAACOHHW-NSHDSACASA-N -1 1 310.350 1.613 20 0 DDADMM CCc1[nH]c(C(=O)N2C[C@H](C)N(C)C[C@H]2C)c(C)c1C(=O)[O-] ZINC000833666606 604579610 /nfs/dbraw/zinc/57/96/10/604579610.db2.gz AVBZETDZYJYPTN-VHSXEESVSA-N -1 1 307.394 1.748 20 0 DDADMM Cn1ccnc1CN1CCCN(Cc2c[nH]c(C(=O)[O-])c2)CC1 ZINC000833759571 604638033 /nfs/dbraw/zinc/63/80/33/604638033.db2.gz DQDIKSOWMJPVCZ-UHFFFAOYSA-N -1 1 317.393 1.154 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)CCN(C(=O)[O-])C(C)C)C2)n[nH]1 ZINC000830738438 604645180 /nfs/dbraw/zinc/64/51/80/604645180.db2.gz QXVLOXCCGCBSRE-GFCCVEGCSA-N -1 1 323.397 1.598 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@H]3CCC[C@H]3NC(=O)[O-])C2)n[nH]1 ZINC000830733580 604645802 /nfs/dbraw/zinc/64/58/02/604645802.db2.gz HNNOZQYOTVQAQQ-GRYCIOLGSA-N -1 1 321.381 1.255 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1CCOc2ccccc21 ZINC000320695934 604895214 /nfs/dbraw/zinc/89/52/14/604895214.db2.gz XGTKMLYAPFTWCR-OLZOCXBDSA-N -1 1 315.329 1.088 20 0 DDADMM O=C([O-])[C@@H](O)C1CCN(C[C@@H](O)c2cccc(Cl)c2)CC1 ZINC000833771283 604979486 /nfs/dbraw/zinc/97/94/86/604979486.db2.gz ZLTAEYDIUCYOER-KGLIPLIRSA-N -1 1 313.781 1.531 20 0 DDADMM CC(C)C[C@@H](CNC(=O)CN(C)[C@@H]1CCSC1)C(=O)[O-] ZINC000833477464 605049784 /nfs/dbraw/zinc/04/97/84/605049784.db2.gz GEBKYRSPEAKWIY-NWDGAFQWSA-N -1 1 302.440 1.287 20 0 DDADMM C[C@@H](NC1CN(C(=O)[O-])C1)c1ccc(N2CCOC2=O)cc1 ZINC000825485787 605096510 /nfs/dbraw/zinc/09/65/10/605096510.db2.gz RJPIGGQJNIULOS-SNVBAGLBSA-N -1 1 305.334 1.656 20 0 DDADMM C[C@H](NC1CN(C(=O)[O-])C1)c1cccc(NS(C)(=O)=O)c1 ZINC000825483903 605098997 /nfs/dbraw/zinc/09/89/97/605098997.db2.gz BWZJTWATJPZFQA-VIFPVBQESA-N -1 1 313.379 1.071 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1Cc2ccccc21 ZINC000833622530 605109374 /nfs/dbraw/zinc/10/93/74/605109374.db2.gz QBNYWWIRPIDYMV-IUODEOHRSA-N -1 1 302.374 1.334 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc(Cl)c1 ZINC000833623741 605111590 /nfs/dbraw/zinc/11/15/90/605111590.db2.gz FWQPOVBBMQHQOW-LLVKDONJSA-N -1 1 310.781 1.961 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000833451723 605113173 /nfs/dbraw/zinc/11/31/73/605113173.db2.gz WYYRQEHIKUDWGD-CYBMUJFWSA-N -1 1 312.410 1.058 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccnc1Cl ZINC000833622971 605164264 /nfs/dbraw/zinc/16/42/64/605164264.db2.gz CDOUIHAVTKEPME-SNVBAGLBSA-N -1 1 311.769 1.356 20 0 DDADMM O=C([O-])NCC1CCN(C(=O)N[C@@H]2CCCc3cn[nH]c32)CC1 ZINC000832582083 605191105 /nfs/dbraw/zinc/19/11/05/605191105.db2.gz ASHBKJLHGWICMZ-GFCCVEGCSA-N -1 1 321.381 1.476 20 0 DDADMM CC(C)Cc1noc(CN2CCN(CCC(=O)[O-])C[C@H]2C)n1 ZINC000833495044 605195489 /nfs/dbraw/zinc/19/54/89/605195489.db2.gz WISRITSSQLBFFD-GFCCVEGCSA-N -1 1 310.398 1.249 20 0 DDADMM C[C@H]1CN(C(=O)Cc2ccccc2F)CCN1CCC(=O)[O-] ZINC000833625369 605257532 /nfs/dbraw/zinc/25/75/32/605257532.db2.gz WPFHXTARRHARRM-LBPRGKRZSA-N -1 1 308.353 1.376 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C\c2ccccc2)CCN1CCC(=O)[O-] ZINC000833620346 605277084 /nfs/dbraw/zinc/27/70/84/605277084.db2.gz WXFHORXIXYMSGU-WBTMPAOCSA-N -1 1 302.374 1.707 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CN2C[C@H](c3ccccc3F)CC2=O)C1 ZINC000833553615 605346891 /nfs/dbraw/zinc/34/68/91/605346891.db2.gz GHDHXLDWHCULLE-PXAZEXFGSA-N -1 1 320.364 1.896 20 0 DDADMM Cc1nnc(CN2C[C@@H]3CCC[C@H](NC(=O)[O-])[C@H]3C2)n1C1CC1 ZINC000833922938 605430834 /nfs/dbraw/zinc/43/08/34/605430834.db2.gz BVXLHIUWYYIXOG-UBHSHLNASA-N -1 1 319.409 1.790 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(Cc3cn4cccnc4n3)C[C@H]21 ZINC000834186715 605448691 /nfs/dbraw/zinc/44/86/91/605448691.db2.gz XEPNENVGCYCAFD-MRVWCRGKSA-N -1 1 315.377 1.597 20 0 DDADMM CCCN(Cc1nnc(C)n1CC)C1CCN(C(=O)[O-])CC1 ZINC000826916807 605468814 /nfs/dbraw/zinc/46/88/14/605468814.db2.gz RWFPUJQBIOHTQF-UHFFFAOYSA-N -1 1 309.414 1.961 20 0 DDADMM O=C([O-])N[C@H](C(=O)N[C@H]1CCc2nc[nH]c2C1)c1ccccc1 ZINC000832788911 605470121 /nfs/dbraw/zinc/47/01/21/605470121.db2.gz ASLVWYYLDVRIAC-FZMZJTMJSA-N -1 1 314.345 1.392 20 0 DDADMM CCCN(CCS(=O)(=O)CCC)C1CCN(C(=O)[O-])CC1 ZINC000826912067 605472900 /nfs/dbraw/zinc/47/29/00/605472900.db2.gz OHTRCJUHIGJKEZ-UHFFFAOYSA-N -1 1 320.455 1.666 20 0 DDADMM O=C([O-])N1C[C@H](O)C[C@@H]1C(=O)Nc1cccc(Cl)c1O ZINC000834055121 605540249 /nfs/dbraw/zinc/54/02/49/605540249.db2.gz ZEOOBUIUVDHGEK-HZGVNTEJSA-N -1 1 300.698 1.097 20 0 DDADMM O=C([O-])N1CCC[C@H](CN2CCN(c3ncccc3F)CC2)C1 ZINC000834097636 605668659 /nfs/dbraw/zinc/66/86/59/605668659.db2.gz BVRWKDPWTZEKFW-CYBMUJFWSA-N -1 1 322.384 1.733 20 0 DDADMM O=C([O-])NCCCOC1CCN(Cc2ccc(CO)o2)CC1 ZINC000834237768 605671353 /nfs/dbraw/zinc/67/13/53/605671353.db2.gz CJBMTPZQVXEUJZ-UHFFFAOYSA-N -1 1 312.366 1.411 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N1CCCC[C@@H]1CNC(=O)[O-])C2 ZINC000833915219 605853556 /nfs/dbraw/zinc/85/35/56/605853556.db2.gz DTDMITIFICMGFT-NWDGAFQWSA-N -1 1 320.393 1.472 20 0 DDADMM O=C([O-])NCCCCC(=O)Nc1ccn(-c2ccncc2)n1 ZINC000834235980 605923177 /nfs/dbraw/zinc/92/31/77/605923177.db2.gz YJTLIJPOQWHDOL-UHFFFAOYSA-N -1 1 303.322 1.644 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)N2Cc1cnc2ccnn2c1 ZINC000834094521 605965368 /nfs/dbraw/zinc/96/53/68/605965368.db2.gz FPDFANUBDAZTAD-OLZOCXBDSA-N -1 1 301.350 1.446 20 0 DDADMM Cc1noc([C@H](C)OC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000822520227 606138532 /nfs/dbraw/zinc/13/85/32/606138532.db2.gz UEEBQZQQSHOPOQ-YFKPBYRVSA-N -1 1 306.307 1.538 20 0 DDADMM Cc1noc([C@H](C)OC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000822520227 606138533 /nfs/dbraw/zinc/13/85/33/606138533.db2.gz UEEBQZQQSHOPOQ-YFKPBYRVSA-N -1 1 306.307 1.538 20 0 DDADMM CCc1cccc(NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)c1 ZINC000821492463 606165833 /nfs/dbraw/zinc/16/58/33/606165833.db2.gz NQRSDHSQHWYFLE-UHFFFAOYSA-N -1 1 312.337 1.807 20 0 DDADMM CCc1cccc(NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)c1 ZINC000821492463 606165835 /nfs/dbraw/zinc/16/58/35/606165835.db2.gz NQRSDHSQHWYFLE-UHFFFAOYSA-N -1 1 312.337 1.807 20 0 DDADMM CCc1nnsc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000821553956 606292771 /nfs/dbraw/zinc/29/27/71/606292771.db2.gz NAGDGYPMHWPIDJ-UHFFFAOYSA-N -1 1 317.334 1.239 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)C(C)(C)C ZINC000821640281 606565152 /nfs/dbraw/zinc/56/51/52/606565152.db2.gz FFZBATDVDKTNLT-VIFPVBQESA-N -1 1 323.378 1.246 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)C(C)(C)C ZINC000821640281 606565154 /nfs/dbraw/zinc/56/51/54/606565154.db2.gz FFZBATDVDKTNLT-VIFPVBQESA-N -1 1 323.378 1.246 20 0 DDADMM C[C@H](N(C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1)C1(C)CC1 ZINC000820306641 606578825 /nfs/dbraw/zinc/57/88/25/606578825.db2.gz AWYUSOOCSQROLV-QMMMGPOBSA-N -1 1 304.358 1.253 20 0 DDADMM C[C@H](N(C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1)C1(C)CC1 ZINC000820306641 606578827 /nfs/dbraw/zinc/57/88/27/606578827.db2.gz AWYUSOOCSQROLV-QMMMGPOBSA-N -1 1 304.358 1.253 20 0 DDADMM CC(C)[C@H]1OCC[C@H]1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820046791 606584005 /nfs/dbraw/zinc/58/40/05/606584005.db2.gz UHCPKHBSVQIGID-GXFFZTMASA-N -1 1 316.365 1.053 20 0 DDADMM CC(C)[C@H]1OCC[C@H]1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820046791 606584007 /nfs/dbraw/zinc/58/40/07/606584007.db2.gz UHCPKHBSVQIGID-GXFFZTMASA-N -1 1 316.365 1.053 20 0 DDADMM CC(C)[C@@H]1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820038441 606696994 /nfs/dbraw/zinc/69/69/94/606696994.db2.gz OBCJXAAVVKIJMO-SECBINFHSA-N -1 1 304.358 1.110 20 0 DDADMM CC(C)[C@@H]1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820038441 606696995 /nfs/dbraw/zinc/69/69/95/606696995.db2.gz OBCJXAAVVKIJMO-SECBINFHSA-N -1 1 304.358 1.110 20 0 DDADMM COC(=O)[C@H]1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C[C@@H]1C ZINC000821657447 606729522 /nfs/dbraw/zinc/72/95/22/606729522.db2.gz NWVCFDORXPVGFD-YUMQZZPRSA-N -1 1 322.756 1.160 20 0 DDADMM COC(=O)[C@H]1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C[C@@H]1C ZINC000821657447 606729524 /nfs/dbraw/zinc/72/95/24/606729524.db2.gz NWVCFDORXPVGFD-YUMQZZPRSA-N -1 1 322.756 1.160 20 0 DDADMM O=C(OC[C@H]1CC12CCOCC2)c1ccc(-c2nnn[n-]2)s1 ZINC000823396846 606900084 /nfs/dbraw/zinc/90/00/84/606900084.db2.gz OGPMSWDUDBJBNN-SECBINFHSA-N -1 1 320.374 1.902 20 0 DDADMM O=C(OC[C@H]1CC12CCOCC2)c1ccc(-c2nn[n-]n2)s1 ZINC000823396846 606900085 /nfs/dbraw/zinc/90/00/85/606900085.db2.gz OGPMSWDUDBJBNN-SECBINFHSA-N -1 1 320.374 1.902 20 0 DDADMM COc1cc(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)ccc1F ZINC000821703042 606959790 /nfs/dbraw/zinc/95/97/90/606959790.db2.gz MAMCOIIWIBJGRN-UHFFFAOYSA-N -1 1 317.284 1.000 20 0 DDADMM COc1cc(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)ccc1F ZINC000821703042 606959792 /nfs/dbraw/zinc/95/97/92/606959792.db2.gz MAMCOIIWIBJGRN-UHFFFAOYSA-N -1 1 317.284 1.000 20 0 DDADMM Cc1cccc(Cl)c1NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000822304196 607220125 /nfs/dbraw/zinc/22/01/25/607220125.db2.gz RZJNOYJAGHEJJS-UHFFFAOYSA-N -1 1 318.728 1.059 20 0 DDADMM NC(=O)c1sccc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822890153 607240394 /nfs/dbraw/zinc/24/03/94/607240394.db2.gz NBJCVDYAYWCSOZ-UHFFFAOYSA-N -1 1 320.359 1.341 20 0 DDADMM NC(=O)c1sccc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822890153 607240395 /nfs/dbraw/zinc/24/03/95/607240395.db2.gz NBJCVDYAYWCSOZ-UHFFFAOYSA-N -1 1 320.359 1.341 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1nc(C2CCCC2)no1 ZINC000826501571 607784391 /nfs/dbraw/zinc/78/43/91/607784391.db2.gz WKOGMSCVNKVZPC-UHFFFAOYSA-N -1 1 313.321 1.117 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CC[C@H](C3CCOCC3)C2)c1 ZINC000826514624 607851617 /nfs/dbraw/zinc/85/16/17/607851617.db2.gz XMOPPFJJISEFBL-LBPRGKRZSA-N -1 1 300.366 1.515 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CC[C@H](C3CCOCC3)C2)c1 ZINC000826514624 607851618 /nfs/dbraw/zinc/85/16/18/607851618.db2.gz XMOPPFJJISEFBL-LBPRGKRZSA-N -1 1 300.366 1.515 20 0 DDADMM O[C@@H](Cn1ccnc1-c1nnn[n-]1)c1ccc(C(F)(F)F)cc1 ZINC000826505206 607868900 /nfs/dbraw/zinc/86/89/00/607868900.db2.gz PNEBKFHAPQCDOK-JTQLQIEISA-N -1 1 324.266 1.816 20 0 DDADMM O[C@@H](Cn1ccnc1-c1nn[n-]n1)c1ccc(C(F)(F)F)cc1 ZINC000826505206 607868901 /nfs/dbraw/zinc/86/89/01/607868901.db2.gz PNEBKFHAPQCDOK-JTQLQIEISA-N -1 1 324.266 1.816 20 0 DDADMM Oc1cccc(N2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)c1 ZINC000826511067 607895659 /nfs/dbraw/zinc/89/56/59/607895659.db2.gz ANNVXGGZJHDMKY-UHFFFAOYSA-N -1 1 323.360 1.294 20 0 DDADMM Oc1cccc(N2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)c1 ZINC000826511067 607895660 /nfs/dbraw/zinc/89/56/60/607895660.db2.gz ANNVXGGZJHDMKY-UHFFFAOYSA-N -1 1 323.360 1.294 20 0 DDADMM Cn1c2ccc(Nc3cccc(-c4nnn[n-]4)n3)cc2oc1=O ZINC000826354767 607898438 /nfs/dbraw/zinc/89/84/38/607898438.db2.gz UMQIUUQHRXWLKX-UHFFFAOYSA-N -1 1 309.289 1.450 20 0 DDADMM Cn1c2ccc(Nc3cccc(-c4nn[n-]n4)n3)cc2oc1=O ZINC000826354767 607898439 /nfs/dbraw/zinc/89/84/39/607898439.db2.gz UMQIUUQHRXWLKX-UHFFFAOYSA-N -1 1 309.289 1.450 20 0 DDADMM C[C@@H](Nc1cccc(-c2nnn[n-]2)n1)[C@H](O)c1cccc(F)c1 ZINC000824662557 607901324 /nfs/dbraw/zinc/90/13/24/607901324.db2.gz MUQCTWPHHPSHCA-OTYXRUKQSA-N -1 1 314.324 1.935 20 0 DDADMM C[C@@H](Nc1cccc(-c2nn[n-]n2)n1)[C@H](O)c1cccc(F)c1 ZINC000824662557 607901325 /nfs/dbraw/zinc/90/13/25/607901325.db2.gz MUQCTWPHHPSHCA-OTYXRUKQSA-N -1 1 314.324 1.935 20 0 DDADMM O=C(C=Cc1cccnc1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826403055 607993093 /nfs/dbraw/zinc/99/30/93/607993093.db2.gz IYKMMSQSMJXUSC-ARJAWSKDSA-N -1 1 308.301 1.413 20 0 DDADMM O=C(C=Cc1cccnc1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826403055 607993094 /nfs/dbraw/zinc/99/30/94/607993094.db2.gz IYKMMSQSMJXUSC-ARJAWSKDSA-N -1 1 308.301 1.413 20 0 DDADMM Cc1c(Cl)cccc1NC(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000826237056 608013545 /nfs/dbraw/zinc/01/35/45/608013545.db2.gz MFIZXCREFSNTJG-UHFFFAOYSA-N -1 1 317.740 1.664 20 0 DDADMM Cc1c(Cl)cccc1NC(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000826237056 608013546 /nfs/dbraw/zinc/01/35/46/608013546.db2.gz MFIZXCREFSNTJG-UHFFFAOYSA-N -1 1 317.740 1.664 20 0 DDADMM O=c1cc(C2CCC2)ncn1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826501889 608023871 /nfs/dbraw/zinc/02/38/71/608023871.db2.gz LFZNHSKGQRSLSE-UHFFFAOYSA-N -1 1 309.333 1.134 20 0 DDADMM O=c1cc(C2CCC2)ncn1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826501889 608023872 /nfs/dbraw/zinc/02/38/72/608023872.db2.gz LFZNHSKGQRSLSE-UHFFFAOYSA-N -1 1 309.333 1.134 20 0 DDADMM O=C(Nc1cccc(O)c1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000826457060 608087434 /nfs/dbraw/zinc/08/74/34/608087434.db2.gz KGXZHYYEYQGGRC-UHFFFAOYSA-N -1 1 300.253 1.359 20 0 DDADMM CC(C)CO[C@@H](C)c1noc(Cn2ccnc2-c2nnn[n-]2)n1 ZINC000824266413 608147383 /nfs/dbraw/zinc/14/73/83/608147383.db2.gz LDEJVBVDQVKYQZ-VIFPVBQESA-N -1 1 318.341 1.228 20 0 DDADMM CC(C)CO[C@@H](C)c1noc(Cn2ccnc2-c2nn[n-]n2)n1 ZINC000824266413 608147384 /nfs/dbraw/zinc/14/73/84/608147384.db2.gz LDEJVBVDQVKYQZ-VIFPVBQESA-N -1 1 318.341 1.228 20 0 DDADMM CC[C@@H]1COCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000825220032 608183529 /nfs/dbraw/zinc/18/35/29/608183529.db2.gz OSSSSURIAFBOJS-ZCFIWIBFSA-N -1 1 300.775 1.592 20 0 DDADMM CC[C@@H]1COCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000825220032 608183531 /nfs/dbraw/zinc/18/35/31/608183531.db2.gz OSSSSURIAFBOJS-ZCFIWIBFSA-N -1 1 300.775 1.592 20 0 DDADMM CC1(C)[C@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@H]2CCCO[C@H]21 ZINC000824789765 608424161 /nfs/dbraw/zinc/42/41/61/608424161.db2.gz JLIRQAGQQBESRY-GGZOMVNGSA-N -1 1 301.354 1.272 20 0 DDADMM CC1(C)[C@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@H]2CCCO[C@H]21 ZINC000824789765 608424163 /nfs/dbraw/zinc/42/41/63/608424163.db2.gz JLIRQAGQQBESRY-GGZOMVNGSA-N -1 1 301.354 1.272 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)c2ccc(-c3nnn[n-]3)nn2)C12CCC2 ZINC000825581645 608433455 /nfs/dbraw/zinc/43/34/55/608433455.db2.gz CJUSKBKUILUCHE-NWDGAFQWSA-N -1 1 315.381 1.441 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)c2ccc(-c3nn[n-]n3)nn2)C12CCC2 ZINC000825581645 608433457 /nfs/dbraw/zinc/43/34/57/608433457.db2.gz CJUSKBKUILUCHE-NWDGAFQWSA-N -1 1 315.381 1.441 20 0 DDADMM CCc1cnccc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000825734865 609294004 /nfs/dbraw/zinc/29/40/04/609294004.db2.gz NRAQANTYRSNVRL-UHFFFAOYSA-N -1 1 310.317 1.576 20 0 DDADMM CCc1cnccc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000825734865 609294006 /nfs/dbraw/zinc/29/40/06/609294006.db2.gz NRAQANTYRSNVRL-UHFFFAOYSA-N -1 1 310.317 1.576 20 0 DDADMM O=C(CNc1cc(-c2nnn[n-]2)nc2ccccc21)NCC1CC1 ZINC000826412862 609323436 /nfs/dbraw/zinc/32/34/36/609323436.db2.gz GQEMCVOAMFQHQP-UHFFFAOYSA-N -1 1 323.360 1.353 20 0 DDADMM O=C(CNc1cc(-c2nn[n-]n2)nc2ccccc21)NCC1CC1 ZINC000826412862 609323438 /nfs/dbraw/zinc/32/34/38/609323438.db2.gz GQEMCVOAMFQHQP-UHFFFAOYSA-N -1 1 323.360 1.353 20 0 DDADMM CO[C@H]1Cc2ccc(Nc3ccc(-c4nnn[n-]4)nn3)cc2C1 ZINC000826146809 609593132 /nfs/dbraw/zinc/59/31/32/609593132.db2.gz DPCJALCXVMOHLV-LBPRGKRZSA-N -1 1 309.333 1.514 20 0 DDADMM CO[C@H]1Cc2ccc(Nc3ccc(-c4nn[n-]n4)nn3)cc2C1 ZINC000826146809 609593133 /nfs/dbraw/zinc/59/31/33/609593133.db2.gz DPCJALCXVMOHLV-LBPRGKRZSA-N -1 1 309.333 1.514 20 0 DDADMM O=C(NCC[C@@H]1CCCCO1)c1ccc(-c2nnn[n-]2)s1 ZINC000826436449 609620728 /nfs/dbraw/zinc/62/07/28/609620728.db2.gz TXZKHJQSILZONJ-VIFPVBQESA-N -1 1 307.379 1.617 20 0 DDADMM O=C(NCC[C@@H]1CCCCO1)c1ccc(-c2nn[n-]n2)s1 ZINC000826436449 609620729 /nfs/dbraw/zinc/62/07/29/609620729.db2.gz TXZKHJQSILZONJ-VIFPVBQESA-N -1 1 307.379 1.617 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCC2Cc3ccccc3C2)s1 ZINC000122392853 696721439 /nfs/dbraw/zinc/72/14/39/696721439.db2.gz GCCVOOBNAGHRFW-CYBMUJFWSA-N -1 1 317.414 1.255 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)C2(F)CCCC2)C1)c1ncccc1[O-] ZINC000973198081 695444010 /nfs/dbraw/zinc/44/40/10/695444010.db2.gz UAJVHVQLKKGPOE-XYPYZODXSA-N -1 1 321.352 1.447 20 0 DDADMM CCC(=O)N1CCC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC000973684326 695511190 /nfs/dbraw/zinc/51/11/90/695511190.db2.gz RZGAGMIVZZUTJQ-CHWSQXEVSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)(F)C(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1ncccc1[O-] ZINC000976145300 695927643 /nfs/dbraw/zinc/92/76/43/695927643.db2.gz CMIUHGCDMGZRRI-GHMZBOCLSA-N -1 1 323.368 1.693 20 0 DDADMM O=C(COC(=O)Cc1ccsc1)[N-]C(=O)c1ccccc1 ZINC000005657787 696014463 /nfs/dbraw/zinc/01/44/63/696014463.db2.gz VJQCLXJUSCYKHQ-UHFFFAOYSA-N -1 1 303.339 1.790 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2ccccn2)cc1 ZINC000011026945 696042922 /nfs/dbraw/zinc/04/29/22/696042922.db2.gz JRANUCLGYZCYFI-UHFFFAOYSA-N -1 1 314.297 1.204 20 0 DDADMM O=C([N-]NC(=O)c1cccc([N+](=O)[O-])c1)c1cc(F)ccc1F ZINC000022588618 696078465 /nfs/dbraw/zinc/07/84/65/696078465.db2.gz QBVSXIJKBQUURY-UHFFFAOYSA-N -1 1 321.239 1.948 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCc2ccc(O)c(OC)c2)n1 ZINC000045613778 696175325 /nfs/dbraw/zinc/17/53/25/696175325.db2.gz LQEUNVWEIVOABT-UHFFFAOYSA-N -1 1 319.321 1.026 20 0 DDADMM O=C(CC12CC3CC(CC(C3)C1)C2)N[N-]C(=O)c1cc[nH]n1 ZINC000048013777 696208871 /nfs/dbraw/zinc/20/88/71/696208871.db2.gz QYCUAYQEEXMKKX-UHFFFAOYSA-N -1 1 302.378 1.777 20 0 DDADMM O=C(/N=c1\nc(-c2ccccn2)[nH][n-]1)c1scnc1Cl ZINC000049424476 696223634 /nfs/dbraw/zinc/22/36/34/696223634.db2.gz QWDSKCQJCPNVLG-UHFFFAOYSA-N -1 1 306.738 1.651 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977616859 696235710 /nfs/dbraw/zinc/23/57/10/696235710.db2.gz IYYSENOBYYWAII-RYUDHWBXSA-N -1 1 305.378 1.506 20 0 DDADMM COc1ccc(NCC(=O)N[N-]C(=O)c2cccs2)cc1 ZINC000058168416 696302476 /nfs/dbraw/zinc/30/24/76/696302476.db2.gz FIJWOEOTDFATJI-UHFFFAOYSA-N -1 1 305.359 1.630 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCCCC(C)=O ZINC000062295063 696322890 /nfs/dbraw/zinc/32/28/90/696322890.db2.gz CRZLBKIHAJBHAM-UHFFFAOYSA-N -1 1 313.375 1.974 20 0 DDADMM Cn1c(CCNC(=O)c2nccc3ccccc32)n[n-]c1=S ZINC000067051195 696358357 /nfs/dbraw/zinc/35/83/57/696358357.db2.gz XYWFXPHXTFXARS-UHFFFAOYSA-N -1 1 313.386 1.998 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C(=O)[C@@H]2CC2[N+](=O)[O-])c(F)c1 ZINC000075040390 696418520 /nfs/dbraw/zinc/41/85/20/696418520.db2.gz OYELLUHDGWFPSJ-VXNVDRBHSA-N -1 1 302.283 1.445 20 0 DDADMM C=CCN(Cc1ccccc1)C(=O)Cc1sc(N)nc1[O-] ZINC000079514265 696461510 /nfs/dbraw/zinc/46/15/10/696461510.db2.gz OPLJCYPEHSUSAX-GFCCVEGCSA-N -1 1 303.387 1.548 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccc(Cl)c(F)c2)s1 ZINC000079601282 696461999 /nfs/dbraw/zinc/46/19/99/696461999.db2.gz ZAXZTDMFXLDYRO-QMMMGPOBSA-N -1 1 301.730 1.764 20 0 DDADMM Cc1ccsc1CCCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000747870299 700090696 /nfs/dbraw/zinc/09/06/96/700090696.db2.gz KKDLCBMBRWXTAK-LLVKDONJSA-N -1 1 321.406 1.492 20 0 DDADMM CC[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1nc(C)cs1 ZINC000080067991 696529952 /nfs/dbraw/zinc/52/99/52/696529952.db2.gz KNSJEUHYYOHBJZ-SFYZADRCSA-N -1 1 312.420 1.366 20 0 DDADMM CCc1nc([C@H](C)NC(=O)Cc2sc(N)nc2[O-])cs1 ZINC000080207440 696531743 /nfs/dbraw/zinc/53/17/43/696531743.db2.gz IVVKSOLOEJZFFE-XPUUQOCRSA-N -1 1 312.420 1.230 20 0 DDADMM C[C@H](CNC(=O)Cc1sc(N)nc1[O-])Cc1cccs1 ZINC000080506208 696534929 /nfs/dbraw/zinc/53/49/29/696534929.db2.gz NTAWVRFIYIXOPI-WPRPVWTQSA-N -1 1 311.432 1.390 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)c1ccc(F)c(F)c1)N(C)C ZINC000798814858 700099133 /nfs/dbraw/zinc/09/91/33/700099133.db2.gz YUXNQOTYHVWYSU-LBPRGKRZSA-N -1 1 320.361 1.356 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)Cc1ccc(F)cc1)N(C)C ZINC000798814650 700099154 /nfs/dbraw/zinc/09/91/54/700099154.db2.gz ZNHRCHNHFSJIDY-ZDUSSCGKSA-N -1 1 316.398 1.358 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc([N+](=O)[O-])c(Cl)cc1C ZINC000093907866 696601896 /nfs/dbraw/zinc/60/18/96/696601896.db2.gz WZBXEVKXULVTEX-UHFFFAOYSA-N -1 1 308.743 1.945 20 0 DDADMM CC[C@@H]1C(=O)NCCN1Cn1[n-]c(-c2ccccn2)nc1=S ZINC000117320090 696670492 /nfs/dbraw/zinc/67/04/92/696670492.db2.gz YRTIKDXKJJHGDT-LLVKDONJSA-N -1 1 318.406 1.171 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2nc(C)c(C)o2)o1 ZINC000128078307 696775919 /nfs/dbraw/zinc/77/59/19/696775919.db2.gz ITJDJYYHZDCBLR-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)CCC(C)(C)C ZINC000128761669 696781788 /nfs/dbraw/zinc/78/17/88/696781788.db2.gz FFQUOARJMDZDDV-UHFFFAOYSA-N -1 1 306.366 1.638 20 0 DDADMM CCN(CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000129327436 696787662 /nfs/dbraw/zinc/78/76/62/696787662.db2.gz CLDCPUZUGNNLMF-CYBMUJFWSA-N -1 1 314.393 1.432 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)CCC1CCC1 ZINC000130170732 696795380 /nfs/dbraw/zinc/79/53/80/696795380.db2.gz AVZXROJOVVKTTD-UHFFFAOYSA-N -1 1 304.350 1.392 20 0 DDADMM COc1ccccc1[C@@H]1C[C@H]1C(=O)N[N-]C(=O)c1cc(C)[nH]n1 ZINC000153190724 696911141 /nfs/dbraw/zinc/91/11/41/696911141.db2.gz XOYFQKCOKZWXNB-NWDGAFQWSA-N -1 1 314.345 1.291 20 0 DDADMM COc1ccccc1CO[N-]C(=O)[C@@H](C)N1CCSCC1 ZINC000799094498 700117667 /nfs/dbraw/zinc/11/76/67/700117667.db2.gz NJHLAHYBRWGJNJ-GFCCVEGCSA-N -1 1 310.419 1.680 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)C2CCCC2)C1)c1ncccc1[O-] ZINC000982331164 697101170 /nfs/dbraw/zinc/10/11/70/697101170.db2.gz HQCZNHMQZDPVCL-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM CC(C)(C)CC(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982451116 697130983 /nfs/dbraw/zinc/13/09/83/697130983.db2.gz NIXPFDMNYFIGMJ-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)CC(=O)N1CCC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000983825455 697304598 /nfs/dbraw/zinc/30/45/98/697304598.db2.gz SJUAJYDYASRHCI-ZDUSSCGKSA-N -1 1 319.405 1.896 20 0 DDADMM CSCC(=O)N1CCC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000983844857 697306732 /nfs/dbraw/zinc/30/67/32/697306732.db2.gz IKRLWLDSLOYYHB-LLVKDONJSA-N -1 1 323.418 1.213 20 0 DDADMM Cc1cnccc1CC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000167731109 697342663 /nfs/dbraw/zinc/34/26/63/697342663.db2.gz HMKAAOWVURTBBR-UHFFFAOYSA-N -1 1 314.798 1.298 20 0 DDADMM O=C(CC1(O)CCCC1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000749208186 700151317 /nfs/dbraw/zinc/15/13/17/700151317.db2.gz MAPLKCPTVKFYOW-ZDUSSCGKSA-N -1 1 301.350 1.101 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1ccncc1F ZINC000180166698 697445060 /nfs/dbraw/zinc/44/50/60/697445060.db2.gz STHKFRKYOLVDFY-UHFFFAOYSA-N -1 1 304.734 1.717 20 0 DDADMM O=[N+]([O-])c1ccc(S(=O)(=O)[N-]CCCCCCO)s1 ZINC000180659938 697450809 /nfs/dbraw/zinc/45/08/09/697450809.db2.gz AQBSHXLROQLLKS-UHFFFAOYSA-N -1 1 308.381 1.487 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(Cc2ccccc2)OC)o1 ZINC000180853963 697452956 /nfs/dbraw/zinc/45/29/56/697452956.db2.gz DNXMVVHVAJXUPJ-UHFFFAOYSA-N -1 1 324.358 1.392 20 0 DDADMM C/C(=C\C(C)(C)C)C(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000185093230 697513817 /nfs/dbraw/zinc/51/38/17/697513817.db2.gz PFMQNIZDJZTJKF-CSKARUKUSA-N -1 1 318.377 1.804 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OC[C@@H]1CCOC1)N1C[C@@H](C)O[C@H](C)C1 ZINC000188327284 697557277 /nfs/dbraw/zinc/55/72/77/697557277.db2.gz WZDAUFPZKAOIGY-TUVASFSCSA-N -1 1 314.426 1.205 20 0 DDADMM Cc1cc(C)c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)o1 ZINC000986111534 697689870 /nfs/dbraw/zinc/68/98/70/697689870.db2.gz BMIRUAXBJLZNNO-WDEREUQCSA-N -1 1 319.365 1.113 20 0 DDADMM C[C@@H]1[C@H](NCc2csnn2)CCN1C(=O)c1ncccc1[O-] ZINC000986168408 697715973 /nfs/dbraw/zinc/71/59/73/697715973.db2.gz HIPBIOPFHBJQNU-MWLCHTKSSA-N -1 1 319.390 1.032 20 0 DDADMM Cc1ccc(C)c(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000773153017 697719731 /nfs/dbraw/zinc/71/97/31/697719731.db2.gz OMLIFQOGXRWXMA-ZDUSSCGKSA-N -1 1 317.418 1.676 20 0 DDADMM O=C(C=Cc1cccnc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000773314375 697742749 /nfs/dbraw/zinc/74/27/49/697742749.db2.gz KHLXLISQURNUPG-FJOGCWAESA-N -1 1 300.318 1.590 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000773316725 697743467 /nfs/dbraw/zinc/74/34/67/697743467.db2.gz NYCGREOKUGCUFH-BYCRGOAPSA-N -1 1 318.308 1.729 20 0 DDADMM CN(C)S(=O)(=O)c1ccc(F)c(C(=O)[N-]c2cnoc2)c1 ZINC000749639676 700174556 /nfs/dbraw/zinc/17/45/56/700174556.db2.gz DINDSLWQEKMJTC-UHFFFAOYSA-N -1 1 313.310 1.316 20 0 DDADMM O=c1nc(N[C@H]2Cc3ccc(Cl)cc3C2)nc2[nH][n-]cc1-2 ZINC000799966736 700178101 /nfs/dbraw/zinc/17/81/01/700178101.db2.gz MILFKFICCITNMM-JTQLQIEISA-N -1 1 301.737 1.665 20 0 DDADMM O=C([N-]CCCOC(=O)[C@@H]1CCc2c[nH]nc2C1)C(F)(F)F ZINC000774961482 697947845 /nfs/dbraw/zinc/94/78/45/697947845.db2.gz SJXHYQXHCFICCH-MRVPVSSYSA-N -1 1 319.283 1.126 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCC(=O)C(F)F ZINC000800140291 700193048 /nfs/dbraw/zinc/19/30/48/700193048.db2.gz NPSJAQBUKOQQLD-UHFFFAOYSA-N -1 1 313.303 1.111 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)[nH]n1 ZINC000776566958 698118206 /nfs/dbraw/zinc/11/82/06/698118206.db2.gz YJKZOQIPXNCHNM-VIFPVBQESA-N -1 1 321.410 1.151 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H](C)C(C)(C)C ZINC000777357066 698182425 /nfs/dbraw/zinc/18/24/25/698182425.db2.gz QNHZMVAZJUQCMU-VIFPVBQESA-N -1 1 306.366 1.494 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C)C1CC1 ZINC000987669833 698191757 /nfs/dbraw/zinc/19/17/57/698191757.db2.gz BBTHYXAXWIAWLH-LOWVWBTDSA-N -1 1 317.389 1.553 20 0 DDADMM CN1CCC[C@@H](OC(=O)c2cc(F)cc(Cl)c2[O-])C1=O ZINC000778816037 698370806 /nfs/dbraw/zinc/37/08/06/698370806.db2.gz RMCRHLPIQURIRH-SNVBAGLBSA-N -1 1 301.701 1.962 20 0 DDADMM O=C(O[C@@H]1CCCNC1=O)c1ccc(Br)c([O-])c1 ZINC000778828650 698373481 /nfs/dbraw/zinc/37/34/81/698373481.db2.gz ZTZNLRWKAWVCRC-SNVBAGLBSA-N -1 1 314.135 1.590 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCC1CCC1 ZINC000988516915 698395561 /nfs/dbraw/zinc/39/55/61/698395561.db2.gz DCEXEPDBMITSMA-ZYHUDNBSSA-N -1 1 307.398 1.170 20 0 DDADMM C[C@@H](NC(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C)C(=O)[O-] ZINC000780618571 698538991 /nfs/dbraw/zinc/53/89/91/698538991.db2.gz HUQKSEOFCGYQQL-BXKDBHETSA-N -1 1 313.785 1.715 20 0 DDADMM CN(CCNC(=O)NC(C)(C)C(=O)[O-])Cc1ccc(F)cc1 ZINC000780632498 698541013 /nfs/dbraw/zinc/54/10/13/698541013.db2.gz MZTBEVJAFANWSD-UHFFFAOYSA-N -1 1 311.357 1.420 20 0 DDADMM COCCCn1cc(C)c([N-]S(=O)(=O)N(C)C(C)C)n1 ZINC000296671403 698593895 /nfs/dbraw/zinc/59/38/95/698593895.db2.gz GXYLPDRFLLXMNX-UHFFFAOYSA-N -1 1 304.416 1.225 20 0 DDADMM O=C(CN1CCC[C@@H](OC(F)F)C1)[N-]OCc1ccccc1 ZINC000781356974 698611366 /nfs/dbraw/zinc/61/13/66/698611366.db2.gz JASWHLPJFXLVGX-CYBMUJFWSA-N -1 1 314.332 1.938 20 0 DDADMM O=C(COC(=O)[C@H](O)C1CCCCC1)[N-]C(=O)c1ccccc1 ZINC000782369349 698715269 /nfs/dbraw/zinc/71/52/69/698715269.db2.gz RMJYWVZHJHODHJ-OAHLLOKOSA-N -1 1 319.357 1.427 20 0 DDADMM CC1(C)CN(Cc2cc(=O)oc3cc([O-])ccc23)C[C@@H](CO)O1 ZINC000323744877 698741774 /nfs/dbraw/zinc/74/17/74/698741774.db2.gz YOWFQYIBSUZMGA-ZDUSSCGKSA-N -1 1 319.357 1.470 20 0 DDADMM O=C([N-]C1CN(C(=O)c2cnccc2C(F)(F)F)C1)C(F)F ZINC000990024224 698902759 /nfs/dbraw/zinc/90/27/59/698902759.db2.gz HGNKWEKXDPGRIS-UHFFFAOYSA-N -1 1 323.221 1.306 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cncc(C)c2)o1 ZINC000785663536 699089426 /nfs/dbraw/zinc/08/94/26/699089426.db2.gz CWYUAZLVUHAXIY-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)NCC1=CCN(C)CC1 ZINC000392254941 699100547 /nfs/dbraw/zinc/10/05/47/699100547.db2.gz LQASLTXSADFMPH-UHFFFAOYSA-N -1 1 324.402 1.233 20 0 DDADMM C[C@H]1OC(=O)N[C@H]1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000786073485 699123695 /nfs/dbraw/zinc/12/36/95/699123695.db2.gz RRZIBXWFSKDCJV-FUXBKTLASA-N -1 1 319.269 1.039 20 0 DDADMM CC[C@H]1CCC[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971644 699195839 /nfs/dbraw/zinc/19/58/39/699195839.db2.gz IAPRNPKJYOVGMS-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)C1=CCCCCC1 ZINC000991003121 699206345 /nfs/dbraw/zinc/20/63/45/699206345.db2.gz XTRJLWONKCGKDN-UHFFFAOYSA-N -1 1 315.373 1.618 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)[C@H]1C ZINC000787500532 699214476 /nfs/dbraw/zinc/21/44/76/699214476.db2.gz CUEKYUQQUBTTMK-WDEREUQCSA-N -1 1 321.377 1.638 20 0 DDADMM CCc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)s1 ZINC000788151029 699284546 /nfs/dbraw/zinc/28/45/46/699284546.db2.gz YWQJCITWDVVNAE-VIFPVBQESA-N -1 1 309.420 1.754 20 0 DDADMM Cn1cc(-c2ccc(NC([O-])=NO[C@H]3CCCCO3)nn2)cn1 ZINC000788786408 699339922 /nfs/dbraw/zinc/33/99/22/699339922.db2.gz MHXVIRSBCSNRGG-ZDUSSCGKSA-N -1 1 318.337 1.457 20 0 DDADMM Cn1cc(-c2ccc(NC(=O)[N-]O[C@H]3CCCCO3)nn2)cn1 ZINC000788786408 699339924 /nfs/dbraw/zinc/33/99/24/699339924.db2.gz MHXVIRSBCSNRGG-ZDUSSCGKSA-N -1 1 318.337 1.457 20 0 DDADMM C[C@@H]1c2ccsc2CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000726865833 699386175 /nfs/dbraw/zinc/38/61/75/699386175.db2.gz HYZWCECTUHZROY-SNVBAGLBSA-N -1 1 319.386 1.134 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)s1 ZINC000727851455 699428193 /nfs/dbraw/zinc/42/81/93/699428193.db2.gz KKNHJHDLDSZYQY-JTQLQIEISA-N -1 1 307.375 1.174 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc(C(=O)N(C)C)cc1 ZINC000732217222 699550719 /nfs/dbraw/zinc/55/07/19/699550719.db2.gz FQJFXRIXMCYRNZ-UHFFFAOYSA-N -1 1 309.347 1.188 20 0 DDADMM CCNC(=O)c1cccc(OS(=O)(=O)c2c[n-]nc2C)c1 ZINC000732219207 699550941 /nfs/dbraw/zinc/55/09/41/699550941.db2.gz GSDNGCWUISFYNT-UHFFFAOYSA-N -1 1 309.347 1.236 20 0 DDADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)Cc1c(Cl)cccc1Cl ZINC000733311851 699588178 /nfs/dbraw/zinc/58/81/78/699588178.db2.gz UJTSQDWCWWRHPN-JTQLQIEISA-N -1 1 324.185 1.728 20 0 DDADMM CCC[C@H](NC(=O)C(F)(F)C1CCOCC1)c1nn[n-]n1 ZINC000790745738 699595222 /nfs/dbraw/zinc/59/52/22/699595222.db2.gz DIYHABNFBFSINZ-VIFPVBQESA-N -1 1 303.313 1.219 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCc3ccc(F)cc3)ccnc1-2 ZINC000791090182 699610898 /nfs/dbraw/zinc/61/08/98/699610898.db2.gz QXLXIBMLFKALOX-UHFFFAOYSA-N -1 1 313.336 1.845 20 0 DDADMM Cc1ccnc(S(=O)(=O)[N-]C(=O)c2cccc3cn[nH]c32)c1 ZINC000733866788 699619448 /nfs/dbraw/zinc/61/94/48/699619448.db2.gz WAQCBIPMEGRTEE-UHFFFAOYSA-N -1 1 316.342 1.385 20 0 DDADMM Cc1nn(C)c2ncc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)cc12 ZINC000791285334 699619929 /nfs/dbraw/zinc/61/99/29/699619929.db2.gz IMFYFLPHJATOCW-UHFFFAOYSA-N -1 1 321.344 1.184 20 0 DDADMM Cc1nonc1C(=O)[N-]c1ccccc1SCCC(N)=O ZINC000733931538 699625492 /nfs/dbraw/zinc/62/54/92/699625492.db2.gz BQCWOQROJGDXMM-UHFFFAOYSA-N -1 1 306.347 1.598 20 0 DDADMM CN(CCCNC(=O)OC(C)(C)C)C(=O)c1ncccc1[O-] ZINC000736689729 699730595 /nfs/dbraw/zinc/73/05/95/699730595.db2.gz VKWWWTOIIWCWBY-UHFFFAOYSA-N -1 1 309.366 1.774 20 0 DDADMM Cc1cccc(CCC(=O)N2CCOC[C@H]2c2nn[n-]n2)c1 ZINC000737391547 699739316 /nfs/dbraw/zinc/73/93/16/699739316.db2.gz DPXQPBHONNQINA-ZDUSSCGKSA-N -1 1 301.350 1.041 20 0 DDADMM CCN(CCC(=O)OC)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000738095950 699752423 /nfs/dbraw/zinc/75/24/23/699752423.db2.gz FLWLGEFHGWYMJF-UHFFFAOYSA-N -1 1 321.406 1.845 20 0 DDADMM CSCCO[N-]C(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000794193260 699796962 /nfs/dbraw/zinc/79/69/62/699796962.db2.gz JGJPNYCNHZOEDR-ZETCQYMHSA-N -1 1 302.318 1.100 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1c[nH]c2ncccc12 ZINC000741277408 699827998 /nfs/dbraw/zinc/82/79/98/699827998.db2.gz HAAQSTFFRFYLEC-ZDUSSCGKSA-N -1 1 319.328 1.595 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1C[C@@H]1C1CCCCC1 ZINC000741731266 699849901 /nfs/dbraw/zinc/84/99/01/699849901.db2.gz ONDVCDDURBTQEY-CHWSQXEVSA-N -1 1 305.378 1.012 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ncc(C(C)C)o2)n1 ZINC000795469627 699870517 /nfs/dbraw/zinc/87/05/17/699870517.db2.gz PHNLZQPRWVXGFT-UHFFFAOYSA-N -1 1 313.335 1.282 20 0 DDADMM O=S(=O)([N-]CCOC1CCC1)c1cc(F)c(F)cc1F ZINC000742337416 699874442 /nfs/dbraw/zinc/87/44/42/699874442.db2.gz ZNSPWXRFYMOJML-UHFFFAOYSA-N -1 1 309.309 1.951 20 0 DDADMM C[C@H]1C(=O)CC[C@H]1CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000796226278 699920694 /nfs/dbraw/zinc/92/06/94/699920694.db2.gz JQYXBQDRDRWOEY-YPMHNXCESA-N -1 1 317.341 1.492 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C(=O)OC)c2ccccc2)[n-]1 ZINC000796346743 699928213 /nfs/dbraw/zinc/92/82/13/699928213.db2.gz RKMLUWPZYXXHAY-ZDUSSCGKSA-N -1 1 317.297 1.872 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H]2CCN(C)C(=O)C2)c1 ZINC000744660416 699957649 /nfs/dbraw/zinc/95/76/49/699957649.db2.gz SIVNQFHITKHDSP-CQSZACIVSA-N -1 1 319.357 1.549 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OCC(=O)C(C)C)n2)cc1 ZINC000801363679 700301023 /nfs/dbraw/zinc/30/10/23/700301023.db2.gz SILHEFIOIZWCGM-UHFFFAOYSA-N -1 1 318.329 1.968 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)O[C@@H]2CCOC2=O)n1 ZINC000801417768 700305574 /nfs/dbraw/zinc/30/55/74/700305574.db2.gz GKJMTEBPDPONBV-CYBMUJFWSA-N -1 1 316.313 1.613 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CCn3cc(Cl)cn3)ccnc1-2 ZINC000801700881 700332198 /nfs/dbraw/zinc/33/21/98/700332198.db2.gz TXZRTRMXFHMXDJ-UHFFFAOYSA-N -1 1 304.741 1.221 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc(-n4cccn4)nc3)ccnc1-2 ZINC000801703736 700332847 /nfs/dbraw/zinc/33/28/47/700332847.db2.gz UHJQLPPHNZOVCC-UHFFFAOYSA-N -1 1 319.328 1.175 20 0 DDADMM Cc1noc(C2CC2)c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000752652360 700377732 /nfs/dbraw/zinc/37/77/32/700377732.db2.gz ARQXPTRJZXWJGT-JTQLQIEISA-N -1 1 302.338 1.393 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCC(=O)C(C)C)c(=O)[n-]1 ZINC000802247558 700390383 /nfs/dbraw/zinc/39/03/83/700390383.db2.gz DWUNTXXJAUCFLX-UHFFFAOYSA-N -1 1 312.391 1.913 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCC2(OC)CCC2)co1 ZINC000809055895 701642377 /nfs/dbraw/zinc/64/23/77/701642377.db2.gz YMLIEUVRNGAWMH-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM CC(=O)OC1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000753856410 700462448 /nfs/dbraw/zinc/46/24/48/700462448.db2.gz ACJMQKYWEHAUAQ-UHFFFAOYSA-N -1 1 315.329 1.091 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CSC[C@@H]2CCCO2)cc1 ZINC000754921988 700538446 /nfs/dbraw/zinc/53/84/46/700538446.db2.gz DSCMVOMRVXMLQM-ZDUSSCGKSA-N -1 1 309.387 1.864 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2cscn2)co1 ZINC000756404844 700619757 /nfs/dbraw/zinc/61/97/57/700619757.db2.gz NWVGYPDPTBKIQL-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM Cc1cc(F)c(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1F ZINC000758221751 700690859 /nfs/dbraw/zinc/69/08/59/700690859.db2.gz RLIHEGFETBQRJA-NSHDSACASA-N -1 1 309.276 1.000 20 0 DDADMM C[C@H](C[C@H]1CCOC1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000759793124 700773126 /nfs/dbraw/zinc/77/31/26/700773126.db2.gz MXSFOZXXDZKSOF-RKDXNWHRSA-N -1 1 307.803 1.167 20 0 DDADMM Cc1cccnc1/C=C/C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000759803824 700773928 /nfs/dbraw/zinc/77/39/28/700773928.db2.gz ZLTJQIXBKYLFTK-MDZDMXLPSA-N -1 1 324.336 1.903 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2[nH]nc(C3CC3)c2C)c[nH]1 ZINC000760322862 700802095 /nfs/dbraw/zinc/80/20/95/700802095.db2.gz NQFXYEZQUPHTSO-UHFFFAOYSA-N -1 1 324.362 1.511 20 0 DDADMM Cc1cnc(C(=O)NCCS(=O)(=O)C(C)(C)C)c([O-])c1 ZINC000763249694 700931650 /nfs/dbraw/zinc/93/16/50/700931650.db2.gz GLBITHSWHKBBQC-UHFFFAOYSA-N -1 1 300.380 1.039 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(-c3ccccc3F)o2)nc1=O ZINC000765429860 701012207 /nfs/dbraw/zinc/01/22/07/701012207.db2.gz FPTAYERDBGQSCS-UHFFFAOYSA-N -1 1 317.276 1.865 20 0 DDADMM C[C@@H](SCc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765447645 701013333 /nfs/dbraw/zinc/01/33/33/701013333.db2.gz NUHAMEWCSQAWKE-SNVBAGLBSA-N -1 1 307.375 1.474 20 0 DDADMM CSc1ccc(O[C@H](C)C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765480031 701014822 /nfs/dbraw/zinc/01/48/22/701014822.db2.gz OYMXZQWBHULEAX-SECBINFHSA-N -1 1 323.374 1.341 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(Oc3ccccc3)o2)nc1=O ZINC000765487685 701015540 /nfs/dbraw/zinc/01/55/40/701015540.db2.gz UHKRTTMZXQUSRE-UHFFFAOYSA-N -1 1 315.285 1.851 20 0 DDADMM COC(=O)C(C)(C)C(=O)COC(=O)c1c([O-])cc(F)cc1F ZINC000803321517 701101401 /nfs/dbraw/zinc/10/14/01/701101401.db2.gz LJIIMEHRKSFQAT-UHFFFAOYSA-N -1 1 316.256 1.596 20 0 DDADMM CCCCC[C@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000768346216 701170521 /nfs/dbraw/zinc/17/05/21/701170521.db2.gz QEDQMPYICIZSFA-ZDUSSCGKSA-N -1 1 307.394 1.498 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCC[C@H]2C[C@H]21 ZINC000804297716 701177077 /nfs/dbraw/zinc/17/70/77/701177077.db2.gz OVZIFHRCAYWALO-GXTWGEPZSA-N -1 1 314.389 1.995 20 0 DDADMM COC(=O)C[C@]1(NC(=O)c2c([O-])cccc2Cl)CCOC1 ZINC000768547224 701185903 /nfs/dbraw/zinc/18/59/03/701185903.db2.gz HHDDQFQYJSZVFI-CQSZACIVSA-N -1 1 313.737 1.498 20 0 DDADMM C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1c([O-])cccc1Cl ZINC000804436359 701189207 /nfs/dbraw/zinc/18/92/07/701189207.db2.gz PCAGAKTZHAJEIP-VXGBXAGGSA-N -1 1 324.808 1.981 20 0 DDADMM C[C@H]1CCCN1S(=O)(=O)[N-]c1ccn(Cc2ccccn2)n1 ZINC000769865076 701259770 /nfs/dbraw/zinc/25/97/70/701259770.db2.gz KNCJQJWLAIOTKN-LBPRGKRZSA-N -1 1 321.406 1.467 20 0 DDADMM CCSCc1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000770508380 701280821 /nfs/dbraw/zinc/28/08/21/701280821.db2.gz INORXGFNTVRZET-UHFFFAOYSA-N -1 1 308.363 1.528 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCN([C@@H]2CCOC2)CC1 ZINC000771034264 701305559 /nfs/dbraw/zinc/30/55/59/701305559.db2.gz OIGWXVIWVUDZKC-LLVKDONJSA-N -1 1 310.781 1.592 20 0 DDADMM COc1ccc(C(=O)[C@@H](C)OC(=O)c2cn[n-]n2)cc1OC ZINC000805604846 701397944 /nfs/dbraw/zinc/39/79/44/701397944.db2.gz AWAGDYAXBMVGEE-MRVPVSSYSA-N -1 1 305.290 1.250 20 0 DDADMM C[C@@H](CNC(=O)C(=O)c1ccc([O-])cc1)NC(=O)OC(C)(C)C ZINC000807448577 701477332 /nfs/dbraw/zinc/47/73/32/701477332.db2.gz SZNPTWGZUBCEOH-JTQLQIEISA-N -1 1 322.361 1.604 20 0 DDADMM C[C@H]1C[C@H](C(C)(C)C)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000807886249 701489723 /nfs/dbraw/zinc/48/97/23/701489723.db2.gz OHDOCUYODVYBCI-QWHCGFSZSA-N -1 1 321.421 1.600 20 0 DDADMM O=C([C@@H](O)c1ccccc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000807995659 701493745 /nfs/dbraw/zinc/49/37/45/701493745.db2.gz KBOFNLWCXRUXKC-RYUDHWBXSA-N -1 1 303.318 1.215 20 0 DDADMM COCCOCCN(C)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000808238173 701510554 /nfs/dbraw/zinc/51/05/54/701510554.db2.gz UTTFOLBDDCHMND-UHFFFAOYSA-N -1 1 323.422 1.555 20 0 DDADMM Cn1nncc1[N-]S(=O)(=O)Cc1cc(Cl)ccc1F ZINC000808564457 701524462 /nfs/dbraw/zinc/52/44/62/701524462.db2.gz SZGDNUUYTLVCDB-UHFFFAOYSA-N -1 1 304.734 1.550 20 0 DDADMM C[C@@]1(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC=CCC1 ZINC000830953107 706610009 /nfs/dbraw/zinc/61/00/09/706610009.db2.gz QOIGPQCUCSAOHC-MRXNPFEDSA-N -1 1 303.362 1.618 20 0 DDADMM CCC(CC)[C@@H](C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830958728 706611076 /nfs/dbraw/zinc/61/10/76/706611076.db2.gz DCVQGCJRZAFREK-SNVBAGLBSA-N -1 1 307.394 1.943 20 0 DDADMM C[C@H]1CC[C@H](CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000830959321 706611604 /nfs/dbraw/zinc/61/16/04/706611604.db2.gz MUJIDNLKOHTWTN-QWRGUYRKSA-N -1 1 305.378 1.697 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCn2nccc21)c1ccc(F)nc1F ZINC000867902189 701818123 /nfs/dbraw/zinc/81/81/23/701818123.db2.gz RMLUTSCWUQPLDM-MRVPVSSYSA-N -1 1 314.317 1.370 20 0 DDADMM CC1(C[N-]S(=O)(=O)Cc2c(F)cccc2Cl)OCCO1 ZINC000831006465 706618818 /nfs/dbraw/zinc/61/88/18/706618818.db2.gz ZEDFLWCBUOKMJU-UHFFFAOYSA-N -1 1 323.773 1.662 20 0 DDADMM Cc1c(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)ccn1C(C)C ZINC000815519238 701886354 /nfs/dbraw/zinc/88/63/54/701886354.db2.gz PQTDMJZKACVYGV-GFCCVEGCSA-N -1 1 304.354 1.104 20 0 DDADMM Cc1ccn(C[C@H](C)C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000868010258 701889471 /nfs/dbraw/zinc/88/94/71/701889471.db2.gz ILNFYXBDWVCFQT-CMPLNLGQSA-N -1 1 319.365 1.322 20 0 DDADMM C[C@@H]1C[C@@H]1CNC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868054514 701916572 /nfs/dbraw/zinc/91/65/72/701916572.db2.gz JIYDKUSHQBTYHZ-KBVBSXBZSA-N -1 1 307.316 1.495 20 0 DDADMM CC1(C)C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C(C)(C)O1 ZINC000831048396 706626822 /nfs/dbraw/zinc/62/68/22/706626822.db2.gz MBCZLJHATLTKKC-MRVPVSSYSA-N -1 1 307.803 1.688 20 0 DDADMM CCC1(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCC1 ZINC000868346964 702075497 /nfs/dbraw/zinc/07/54/97/702075497.db2.gz JHTRWOVVHNPRSV-UHFFFAOYSA-N -1 1 320.393 1.634 20 0 DDADMM C[C@H]1CCN(C(=O)C2CSC2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000868352075 702077253 /nfs/dbraw/zinc/07/72/53/702077253.db2.gz PMHPWXVUGLNKJS-NRPADANISA-N -1 1 324.368 1.653 20 0 DDADMM Cc1ccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)nc1C ZINC000831122151 706639462 /nfs/dbraw/zinc/63/94/62/706639462.db2.gz XJMXCRUCKMSJMU-UHFFFAOYSA-N -1 1 315.295 1.449 20 0 DDADMM O=C([N-]CC1CN(C(=O)[C@@H]2Cc3ccccc32)C1)C(F)(F)F ZINC000831144857 706644138 /nfs/dbraw/zinc/64/41/38/706644138.db2.gz NEFLHVPAAHEMFL-GFCCVEGCSA-N -1 1 312.291 1.463 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)c3cccnc3Cl)ccnc1-2 ZINC000812319650 702145157 /nfs/dbraw/zinc/14/51/57/702145157.db2.gz OZROXKZTICFWRN-UHFFFAOYSA-N -1 1 323.765 1.191 20 0 DDADMM O=C([N-]CCC[S@](=O)c1ccc2c(c1)CCO2)C(F)(F)F ZINC000840876988 702269592 /nfs/dbraw/zinc/26/95/92/702269592.db2.gz VWBZOSACICJEIV-NRFANRHFSA-N -1 1 321.320 1.798 20 0 DDADMM Cc1nc2[nH]ccc2c(N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000866293813 706666974 /nfs/dbraw/zinc/66/69/74/706666974.db2.gz COQCHGSZZFYROF-UHFFFAOYSA-N -1 1 313.283 1.381 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC[C@H](O)C3CCCC3)ccnc1-2 ZINC000879415865 706673946 /nfs/dbraw/zinc/67/39/46/706673946.db2.gz GVSZZTRLAYZKER-AWEZNQCLSA-N -1 1 317.393 1.405 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC(C)(C)c3cccnc3)ccnc1-2 ZINC000841705230 702543767 /nfs/dbraw/zinc/54/37/67/702543767.db2.gz JVXLWNOJYPARCG-UHFFFAOYSA-N -1 1 324.388 1.836 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@H]1C[C@@H]1C1CC1 ZINC000869525322 702635439 /nfs/dbraw/zinc/63/54/39/702635439.db2.gz KPLCJTZPIRKALO-ZJUUUORDSA-N -1 1 307.268 1.213 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC2CN(c3ccccn3)C2)[n-]1 ZINC000842884500 702787289 /nfs/dbraw/zinc/78/72/89/702787289.db2.gz QYBZIXCTTJVCIE-UHFFFAOYSA-N -1 1 315.329 1.490 20 0 DDADMM C[C@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C1CCC1 ZINC000843014532 702803307 /nfs/dbraw/zinc/80/33/07/702803307.db2.gz NWKKUUIUVFUWLY-NSHDSACASA-N -1 1 302.440 1.353 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2sccc2F)CCC1 ZINC000843013657 702803553 /nfs/dbraw/zinc/80/35/53/702803553.db2.gz IYFIBRMDIRXDAY-UHFFFAOYSA-N -1 1 320.411 1.431 20 0 DDADMM C[C@H]1CC/C(=C\C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843015814 702803857 /nfs/dbraw/zinc/80/38/57/702803857.db2.gz IRFFFHXIYHFGKN-CVCOIXHCSA-N -1 1 314.451 1.663 20 0 DDADMM CCC(CC)[C@H](C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014752 702803883 /nfs/dbraw/zinc/80/38/83/702803883.db2.gz CBDHHTPKUMUPHD-LBPRGKRZSA-N -1 1 318.483 1.989 20 0 DDADMM C[C@H]1CC/C(=C/C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843015820 702803943 /nfs/dbraw/zinc/80/39/43/702803943.db2.gz IRFFFHXIYHFGKN-IXJPEXDMSA-N -1 1 314.451 1.663 20 0 DDADMM CNC(=O)[C@@H](C[N-]C(=O)C(F)(F)F)Cc1ccc(F)cc1C ZINC000843938207 702942847 /nfs/dbraw/zinc/94/28/47/702942847.db2.gz OIZWHQCSHQWKFC-SNVBAGLBSA-N -1 1 320.286 1.717 20 0 DDADMM CCc1cc(C(=O)N2CC[C@@](C(=O)[O-])(c3ccccc3)C2)n[nH]1 ZINC000844158469 702979959 /nfs/dbraw/zinc/97/99/59/702979959.db2.gz WMKYWCNPSLROLF-KRWDZBQOSA-N -1 1 313.357 1.841 20 0 DDADMM O=C([O-])COCC(=O)Nc1ccc2nc([C@H]3CCCO3)[nH]c2c1 ZINC000844251620 703000629 /nfs/dbraw/zinc/00/06/29/703000629.db2.gz VYGUXHQDVJDLQV-GFCCVEGCSA-N -1 1 319.317 1.454 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC000844251989 703001027 /nfs/dbraw/zinc/00/10/27/703001027.db2.gz DCBOQYSBUTZIPD-HNNXBMFYSA-N -1 1 319.405 1.734 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CCF)c1ccc(Cl)nc1Cl ZINC000846015304 703226984 /nfs/dbraw/zinc/22/69/84/703226984.db2.gz VEYYZGZULVZIJF-ZCFIWIBFSA-N -1 1 317.169 1.387 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000847078406 703374818 /nfs/dbraw/zinc/37/48/18/703374818.db2.gz IJRNVSZRJTXZSS-FWWRYZNZSA-N -1 1 314.345 1.898 20 0 DDADMM COC[C@H](C)C[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000866605870 706743114 /nfs/dbraw/zinc/74/31/14/706743114.db2.gz QCTSDELBDREVFG-NSPYISDASA-N -1 1 320.436 1.260 20 0 DDADMM C[C@@H]1C[C@H]1NC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000847370080 703408811 /nfs/dbraw/zinc/40/88/11/703408811.db2.gz UFDMHEAQSOMJIC-ZYUZMQFOSA-N -1 1 307.316 1.636 20 0 DDADMM O=C(NCc1cc2n(n1)CCCO2)c1c([O-])cccc1Cl ZINC000848098180 703506397 /nfs/dbraw/zinc/50/63/97/703506397.db2.gz ZNGCNYXRVGVXEU-UHFFFAOYSA-N -1 1 307.737 1.955 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@]2(C)CCC[C@H]2CC)n[n-]1 ZINC000879654453 706750902 /nfs/dbraw/zinc/75/09/02/706750902.db2.gz IWYSWYZFDAKFOJ-BMIGLBTASA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@]2(C)CCC[C@H]2CC)n1 ZINC000879654453 706750905 /nfs/dbraw/zinc/75/09/05/706750905.db2.gz IWYSWYZFDAKFOJ-BMIGLBTASA-N -1 1 308.382 1.814 20 0 DDADMM COC(=O)C1(C(=O)COC(=O)c2c([O-])cc(F)cc2F)CC1 ZINC000848709912 703578834 /nfs/dbraw/zinc/57/88/34/703578834.db2.gz UUIOCMRRXLUALJ-UHFFFAOYSA-N -1 1 314.240 1.350 20 0 DDADMM CCCCCc1cc(C(=O)N2CC[C@](COC)(C(=O)[O-])C2)n[nH]1 ZINC000851607867 703814867 /nfs/dbraw/zinc/81/48/67/703814867.db2.gz UNGBCSWZEOZJAI-INIZCTEOSA-N -1 1 323.393 1.706 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC[C@@H]2CCSC2)co1 ZINC000869602942 703818843 /nfs/dbraw/zinc/81/88/43/703818843.db2.gz YJLGDKYXGIZMDN-SECBINFHSA-N -1 1 318.420 1.061 20 0 DDADMM CCN1C[C@H](COC(=O)Cc2ccc([O-])c(Cl)c2)OC1=O ZINC000869734651 703838948 /nfs/dbraw/zinc/83/89/48/703838948.db2.gz JPNXANOMYDGOBQ-SNVBAGLBSA-N -1 1 313.737 1.972 20 0 DDADMM Cc1cnc(C(=O)N2CCN[C@H](c3ccc(O)cc3)C2)c([O-])c1 ZINC000870087083 703911714 /nfs/dbraw/zinc/91/17/14/703911714.db2.gz KKFOXRMTKDEIRR-AWEZNQCLSA-N -1 1 313.357 1.588 20 0 DDADMM O=C([N-]CCCOC(=O)c1cnn(CC2CC2)c1)C(F)(F)F ZINC000870088593 703912253 /nfs/dbraw/zinc/91/22/53/703912253.db2.gz BRCFBAPXVDQICQ-UHFFFAOYSA-N -1 1 319.283 1.519 20 0 DDADMM O=C(C(F)F)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870159439 703938148 /nfs/dbraw/zinc/93/81/48/703938148.db2.gz BUFQRXSBFQICMV-UHFFFAOYSA-N -1 1 304.724 1.955 20 0 DDADMM C[C@@H]1CCN(Cc2nccn2C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852756580 704115779 /nfs/dbraw/zinc/11/57/79/704115779.db2.gz OMTJTKBKEVDIAO-ZJUUUORDSA-N -1 1 304.316 1.309 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCc2ccccc21 ZINC000866767013 706793890 /nfs/dbraw/zinc/79/38/90/706793890.db2.gz HLDRSDYXQWFPJA-NSHDSACASA-N -1 1 302.421 1.278 20 0 DDADMM CC1(C)C[C@@H]1C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866779914 706797942 /nfs/dbraw/zinc/79/79/42/706797942.db2.gz WHQQHNCZZTWAMJ-ZCFIWIBFSA-N -1 1 308.201 1.497 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)CN[C@@H](C(=O)[O-])C(C)C ZINC000820876818 704341544 /nfs/dbraw/zinc/34/15/44/704341544.db2.gz ZVWFNJRNPGJPRX-IAQYHMDHSA-N -1 1 308.378 1.571 20 0 DDADMM Cc1cnc(N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)nc1C ZINC000853986474 704344137 /nfs/dbraw/zinc/34/41/37/704344137.db2.gz JWEZIJRHYXZAKO-KCJUWKMLSA-N -1 1 316.327 1.987 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2ncnn2C)c1 ZINC000821302746 704387728 /nfs/dbraw/zinc/38/77/28/704387728.db2.gz KBNJPWIYNBEREY-STFLBKPXSA-N -1 1 323.374 1.236 20 0 DDADMM CCCC[C@H](NC(N)=O)C(=O)n1[n-]c(=O)c2ccc(OC)cc21 ZINC000854505843 704409439 /nfs/dbraw/zinc/40/94/39/704409439.db2.gz KWURTZWGJCSVLG-NSHDSACASA-N -1 1 320.349 1.618 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC12CN(C(=O)OC(C)(C)C)C2 ZINC000854634922 704430580 /nfs/dbraw/zinc/43/05/80/704430580.db2.gz JFEUWLMOFMXDMW-SECBINFHSA-N -1 1 322.365 1.619 20 0 DDADMM O=C(NCC[S@](=O)CC(F)(F)F)c1ccc([O-])cc1F ZINC000855271815 704476676 /nfs/dbraw/zinc/47/66/76/704476676.db2.gz AICWFRVYRGXBEP-FQEVSTJZSA-N -1 1 313.272 1.572 20 0 DDADMM C[C@@]12COC[C@]1(C)CN(C(=O)c1ccc3n[n-]c(=S)n3c1)C2 ZINC000855543193 704491280 /nfs/dbraw/zinc/49/12/80/704491280.db2.gz CCNXCHWQBOAKQH-GASCZTMLSA-N -1 1 318.402 1.517 20 0 DDADMM C[C@@H]1CCC[C@@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)O1 ZINC000855797363 704501654 /nfs/dbraw/zinc/50/16/54/704501654.db2.gz NFHAMFTXDHDZHG-KOLCDFICSA-N -1 1 306.391 1.705 20 0 DDADMM COC(=O)N(C)CCN(C)C(=O)c1c(F)ccc([O-])c1F ZINC000855885895 704504508 /nfs/dbraw/zinc/50/45/08/704504508.db2.gz YYYDZBZAZGIQOU-UHFFFAOYSA-N -1 1 302.277 1.441 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1C[C@@H](O)C12CCC2 ZINC000855925662 704507157 /nfs/dbraw/zinc/50/71/57/704507157.db2.gz RFYKVJIXSFOYCP-WDEREUQCSA-N -1 1 305.378 1.647 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2CCSC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418064 704528221 /nfs/dbraw/zinc/52/82/21/704528221.db2.gz SOZVJUBAJAKIQR-BBBLOLIVSA-N -1 1 324.368 1.655 20 0 DDADMM C[C@H]1CCCN(CCS(=O)(=O)C2CCCCC2)[C@@H]1C(=O)[O-] ZINC000857084563 704550082 /nfs/dbraw/zinc/55/00/82/704550082.db2.gz WGYLEXBHCMEJRQ-JSGCOSHPSA-N -1 1 317.451 1.919 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CCCOC3)nc2n1 ZINC000857683296 704613426 /nfs/dbraw/zinc/61/34/26/704613426.db2.gz ABFQVWABTBNCCA-SNVBAGLBSA-N -1 1 319.365 1.125 20 0 DDADMM CC(C)(C)OC(=O)[C@](C)(O)CNc1cc(Cl)[n-]c(=O)n1 ZINC000858487082 704717368 /nfs/dbraw/zinc/71/73/68/704717368.db2.gz UXHFOUJGRLVCNS-GFCCVEGCSA-N -1 1 303.746 1.340 20 0 DDADMM CCO[C@H]1C[C@](O)(CNc2cc(Cl)[n-]c(=O)n2)C1(C)C ZINC000858512824 704720781 /nfs/dbraw/zinc/72/07/81/704720781.db2.gz DLYNCNKZJVLONH-SDBXPKJASA-N -1 1 301.774 1.814 20 0 DDADMM C[C@@H]1C[C@@]2(CCO1)CN(c1cc(Cl)[n-]c(=O)n1)C[C@H](C)O2 ZINC000858526182 704722636 /nfs/dbraw/zinc/72/26/36/704722636.db2.gz VGRWWSIJHUKHGZ-BFVZDQMLSA-N -1 1 313.785 1.998 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F)OC ZINC000867284149 706947843 /nfs/dbraw/zinc/94/78/43/706947843.db2.gz UQDAJCRIUNVSJG-ZETCQYMHSA-N -1 1 315.317 1.142 20 0 DDADMM O=C([O-])c1ccc(CNCCNC(=O)Cc2cccc(F)c2)o1 ZINC000902203658 710663642 /nfs/dbraw/zinc/66/36/42/710663642.db2.gz PDLJITWHTJYQOZ-UHFFFAOYSA-N -1 1 320.320 1.565 20 0 DDADMM O=C(c1cccc2scnc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000859278539 704846174 /nfs/dbraw/zinc/84/61/74/704846174.db2.gz RKRFGGJSVILXMW-SECBINFHSA-N -1 1 316.346 1.023 20 0 DDADMM CCO[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867373342 706979127 /nfs/dbraw/zinc/97/91/27/706979127.db2.gz ZHIPHCCSRJEDRN-NXEZZACHSA-N -1 1 320.361 1.986 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H](C)COCC1CC1 ZINC000867462470 707009364 /nfs/dbraw/zinc/00/93/64/707009364.db2.gz FOQIJXHFADGXMQ-SNVBAGLBSA-N -1 1 312.457 1.144 20 0 DDADMM O=C([O-])C[C@]1(NCc2nccn2-c2ccccc2)CCCOC1 ZINC000859813814 705011603 /nfs/dbraw/zinc/01/16/03/705011603.db2.gz OBRFQDWJAPIINZ-QGZVFWFLSA-N -1 1 315.373 1.986 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](C)C(C)(F)F)n[n-]1 ZINC000880667603 707052561 /nfs/dbraw/zinc/05/25/61/707052561.db2.gz XYNJIKHBSBTGKO-BQBZGAKWSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](C)C(C)(F)F)[n-]1 ZINC000880667603 707052563 /nfs/dbraw/zinc/05/25/63/707052563.db2.gz XYNJIKHBSBTGKO-BQBZGAKWSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](C)C(C)(F)F)n1 ZINC000880667603 707052566 /nfs/dbraw/zinc/05/25/66/707052566.db2.gz XYNJIKHBSBTGKO-BQBZGAKWSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](C)C(C)(F)F)n[n-]1 ZINC000880667606 707052761 /nfs/dbraw/zinc/05/27/61/707052761.db2.gz XYNJIKHBSBTGKO-RQJHMYQMSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](C)C(C)(F)F)[n-]1 ZINC000880667606 707052762 /nfs/dbraw/zinc/05/27/62/707052762.db2.gz XYNJIKHBSBTGKO-RQJHMYQMSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](C)C(C)(F)F)n1 ZINC000880667606 707052763 /nfs/dbraw/zinc/05/27/63/707052763.db2.gz XYNJIKHBSBTGKO-RQJHMYQMSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=Cc2ccsc2)n[n-]1 ZINC000880668240 707052814 /nfs/dbraw/zinc/05/28/14/707052814.db2.gz ZSXAENDFDVSHSR-MOVJSRMASA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=Cc2ccsc2)[n-]1 ZINC000880668240 707052817 /nfs/dbraw/zinc/05/28/17/707052817.db2.gz ZSXAENDFDVSHSR-MOVJSRMASA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C=Cc2ccsc2)n1 ZINC000880668240 707052818 /nfs/dbraw/zinc/05/28/18/707052818.db2.gz ZSXAENDFDVSHSR-MOVJSRMASA-N -1 1 320.374 1.934 20 0 DDADMM O=C([O-])C[C@@]1(NC(=O)Cc2[nH]nc3ccccc32)CCCOC1 ZINC000823344747 705214575 /nfs/dbraw/zinc/21/45/75/705214575.db2.gz JLUGEXVFKZBNNW-INIZCTEOSA-N -1 1 317.345 1.246 20 0 DDADMM O=C([O-])C[C@@]1(NC(=O)c2cc(F)cc3nc[nH]c32)CCCOC1 ZINC000823349712 705216037 /nfs/dbraw/zinc/21/60/37/705216037.db2.gz RKKOJGHAQSLBHY-HNNXBMFYSA-N -1 1 321.308 1.456 20 0 DDADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)c1ccc(F)c(C)c1 ZINC000874924301 705229633 /nfs/dbraw/zinc/22/96/33/705229633.db2.gz KUUVWIDIKCNKOE-UHFFFAOYSA-N -1 1 319.336 1.427 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2CCCC23CC3)CCC1 ZINC000860847507 705299184 /nfs/dbraw/zinc/29/91/84/705299184.db2.gz MVHBHDBJXJYFMW-GFCCVEGCSA-N -1 1 314.451 1.497 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000834833790 707133941 /nfs/dbraw/zinc/13/39/41/707133941.db2.gz LWJKWJGQHUYUAQ-SECBINFHSA-N -1 1 303.767 1.097 20 0 DDADMM NC(=O)N1CCC[C@H](C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000834833976 707134037 /nfs/dbraw/zinc/13/40/37/707134037.db2.gz RSVAGDCFCLUZJV-JTQLQIEISA-N -1 1 311.769 1.453 20 0 DDADMM CC1(C)CC[C@H](NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000875444320 705388833 /nfs/dbraw/zinc/38/88/33/705388833.db2.gz UBDLVZXANNHUMX-JTQLQIEISA-N -1 1 321.343 1.885 20 0 DDADMM C[C@H]1CC[C@H](CNC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000875551416 705426913 /nfs/dbraw/zinc/42/69/13/705426913.db2.gz VELVVDDALWKDEW-UWVGGRQHSA-N -1 1 321.343 1.743 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3C[C@]3(C)Br)ccnc1-2 ZINC000875632307 705456729 /nfs/dbraw/zinc/45/67/29/705456729.db2.gz CAIOGLXNNKRDFP-PELKAZGASA-N -1 1 309.167 1.454 20 0 DDADMM C[C@@H](Nc1cccc(-c2nnn[n-]2)n1)[C@@H](O)Cc1ccccc1 ZINC000824663170 705501871 /nfs/dbraw/zinc/50/18/71/705501871.db2.gz WEZQMXRANHIVTP-RISCZKNCSA-N -1 1 310.361 1.666 20 0 DDADMM C[C@@H](Nc1cccc(-c2nn[n-]n2)n1)[C@@H](O)Cc1ccccc1 ZINC000824663170 705501875 /nfs/dbraw/zinc/50/18/75/705501875.db2.gz WEZQMXRANHIVTP-RISCZKNCSA-N -1 1 310.361 1.666 20 0 DDADMM O=C(NCc1nc(C2CC2)no1)c1ccc2n[n-]c(=S)n2c1 ZINC000825504337 705683655 /nfs/dbraw/zinc/68/36/55/705683655.db2.gz YNGAWIYUTAKJAS-UHFFFAOYSA-N -1 1 316.346 1.208 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N[C@H](CO)CC(F)F ZINC000876396068 705707799 /nfs/dbraw/zinc/70/77/99/705707799.db2.gz WAGBANAEZGNPIS-QMMMGPOBSA-N -1 1 308.712 1.861 20 0 DDADMM Cc1onc(CC(=O)N(CC(C)C)C2CC2)c1-c1nnn[n-]1 ZINC000826343352 705792970 /nfs/dbraw/zinc/79/29/70/705792970.db2.gz XANQENBAWKDNQI-UHFFFAOYSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N(CC(C)C)C2CC2)c1-c1nn[n-]n1 ZINC000826343352 705792973 /nfs/dbraw/zinc/79/29/73/705792973.db2.gz XANQENBAWKDNQI-UHFFFAOYSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)NCCCC(C)(C)C)c1-c1nnn[n-]1 ZINC000826347132 705794380 /nfs/dbraw/zinc/79/43/80/705794380.db2.gz GENJYYDHJYXNDV-UHFFFAOYSA-N -1 1 306.370 1.648 20 0 DDADMM Cc1onc(CC(=O)NCCCC(C)(C)C)c1-c1nn[n-]n1 ZINC000826347132 705794382 /nfs/dbraw/zinc/79/43/82/705794382.db2.gz GENJYYDHJYXNDV-UHFFFAOYSA-N -1 1 306.370 1.648 20 0 DDADMM CN(C[C@H](O)C(F)(F)F)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000827803673 706069763 /nfs/dbraw/zinc/06/97/63/706069763.db2.gz QEOPMDSKCMNAQH-ZETCQYMHSA-N -1 1 320.296 1.013 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(C(=O)c2cc(-c3ccccc3)[nH]n2)C1 ZINC000864169798 706088373 /nfs/dbraw/zinc/08/83/73/706088373.db2.gz KPOHTAFRVIYOMG-INIZCTEOSA-N -1 1 315.329 1.392 20 0 DDADMM Cc1ccc(CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1Cl ZINC000827962124 706094379 /nfs/dbraw/zinc/09/43/79/706094379.db2.gz YPTPKMTXJHUQTB-GFCCVEGCSA-N -1 1 321.768 1.304 20 0 DDADMM CCc1csc(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)n1 ZINC000835591687 707288164 /nfs/dbraw/zinc/28/81/64/707288164.db2.gz YBXZHGDYYSWTOE-JTQLQIEISA-N -1 1 319.452 1.097 20 0 DDADMM O=C([O-])C12CCC(CC1)N2C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000864525762 706187781 /nfs/dbraw/zinc/18/77/81/706187781.db2.gz KXZWABGUXQXLGM-VTWZXRTESA-N -1 1 304.350 1.578 20 0 DDADMM CCn1nccc1CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000877775714 706206060 /nfs/dbraw/zinc/20/60/60/706206060.db2.gz OEYOLXALFDYQPO-LBPRGKRZSA-N -1 1 304.316 1.546 20 0 DDADMM CN1CCn2nc(NC(=O)Cc3ccc([O-])c(Cl)c3)cc2C1 ZINC000829387483 706325238 /nfs/dbraw/zinc/32/52/38/706325238.db2.gz WJSOKLQPBNCURI-UHFFFAOYSA-N -1 1 320.780 1.869 20 0 DDADMM COC(=O)[C@H]1CCCCCN1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878393184 706375937 /nfs/dbraw/zinc/37/59/37/706375937.db2.gz PYZNZNNPLDVPAN-CYBMUJFWSA-N -1 1 321.377 1.173 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)CS1 ZINC000872497174 707446846 /nfs/dbraw/zinc/44/68/46/707446846.db2.gz GFFRMVCCZVHRAR-CGMALJKESA-N -1 1 314.498 1.611 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)CC=C(Cl)Cl)C(F)(F)F ZINC000882027593 707471607 /nfs/dbraw/zinc/47/16/07/707471607.db2.gz XUCZSLVVUDJZJI-ZCFIWIBFSA-N -1 1 316.128 1.538 20 0 DDADMM CC(C)OC1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCC1 ZINC000872569384 707486260 /nfs/dbraw/zinc/48/62/60/707486260.db2.gz MQOQWPKMKPIOJB-UHFFFAOYSA-N -1 1 320.361 1.986 20 0 DDADMM O=c1[n-]c(CN2c3ccccc3C[C@H]2CO)nc2c1COCC2 ZINC000878896683 706527526 /nfs/dbraw/zinc/52/75/26/706527526.db2.gz AWYHIKUYTFQKLC-LBPRGKRZSA-N -1 1 313.357 1.179 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccco1)c1ccc(C(F)F)o1 ZINC000866832891 706819179 /nfs/dbraw/zinc/81/91/79/706819179.db2.gz KZEKUNKWISKXHK-ZETCQYMHSA-N -1 1 307.274 1.822 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2CCC(O)CC2)C1 ZINC000830802290 706582683 /nfs/dbraw/zinc/58/26/83/706582683.db2.gz SBNYUUBGWBEARM-ZPPKWKGLSA-N -1 1 322.327 1.207 20 0 DDADMM CCOCCCN(C[C@H](C)C(=O)[O-])C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000908989351 712910122 /nfs/dbraw/zinc/91/01/22/712910122.db2.gz NRLDXGYMZHURPS-YNEHKIRRSA-N -1 1 323.393 1.489 20 0 DDADMM CCOCCCN(C[C@H](C)C(=O)[O-])C(=O)CN1CCCC1 ZINC000908980960 712907924 /nfs/dbraw/zinc/90/79/24/712907924.db2.gz QQPADTGWSOTJSD-ZDUSSCGKSA-N -1 1 300.399 1.058 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCc2c[nH]nc21)c1ccc(F)nc1F ZINC000866975453 706861515 /nfs/dbraw/zinc/86/15/15/706861515.db2.gz UEESWLIFEXAMBO-MRVPVSSYSA-N -1 1 314.317 1.439 20 0 DDADMM CCOCCC1(C[N-]S(=O)(=O)N=[S@](C)(=O)CC)CC1 ZINC000866998039 706868210 /nfs/dbraw/zinc/86/82/10/706868210.db2.gz FIFCIIBFCARPRW-GOSISDBHSA-N -1 1 312.457 1.145 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1nc[nH]c1Br)C1CCC1 ZINC000867011527 706871668 /nfs/dbraw/zinc/87/16/68/706871668.db2.gz ACXKMZMUJIBZKO-ZCFIWIBFSA-N -1 1 308.201 1.639 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(Cl)ccnc1Cl)[C@@H](C)O ZINC000832309570 706888812 /nfs/dbraw/zinc/88/88/12/706888812.db2.gz HZISOFBKZKQOOP-HTRCEHHLSA-N -1 1 313.206 1.826 20 0 DDADMM CC(C)OC(=O)CCC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867113932 706900655 /nfs/dbraw/zinc/90/06/55/706900655.db2.gz YLCIWEVBSFNNPZ-UHFFFAOYSA-N -1 1 322.333 1.370 20 0 DDADMM CC1=NO[C@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000867347341 706968855 /nfs/dbraw/zinc/96/88/55/706968855.db2.gz YQLDKLGMVDDVCT-ZETCQYMHSA-N -1 1 308.281 1.547 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)C1CCCCC1 ZINC000833124006 707001215 /nfs/dbraw/zinc/00/12/15/707001215.db2.gz DBWSLEIQFQTVPD-BXUZGUMPSA-N -1 1 305.378 1.979 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1C[C@@H]2CCC[C@@H]2O1 ZINC000834397018 707044907 /nfs/dbraw/zinc/04/49/07/707044907.db2.gz TWFUDHLGMXTCBV-DCAQKATOSA-N -1 1 323.418 1.900 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2C[C@@H]2C(F)F)n[n-]1 ZINC000880666206 707052050 /nfs/dbraw/zinc/05/20/50/707052050.db2.gz LGZBCVWNPRPUCN-ACZMJKKPSA-N -1 1 302.281 1.060 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2C[C@@H]2C(F)F)[n-]1 ZINC000880666206 707052053 /nfs/dbraw/zinc/05/20/53/707052053.db2.gz LGZBCVWNPRPUCN-ACZMJKKPSA-N -1 1 302.281 1.060 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2C[C@@H]2C(F)F)n1 ZINC000880666206 707052054 /nfs/dbraw/zinc/05/20/54/707052054.db2.gz LGZBCVWNPRPUCN-ACZMJKKPSA-N -1 1 302.281 1.060 20 0 DDADMM CO[C@H]1CCn2cc(C(=O)Nc3nc(Cl)ccc3[O-])nc2C1 ZINC000867713580 707082818 /nfs/dbraw/zinc/08/28/18/707082818.db2.gz SSOKIZPWTMYHFY-QMMMGPOBSA-N -1 1 322.752 1.851 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)Cn2cc(C3CC3)nn2)cc1 ZINC000881011007 707106760 /nfs/dbraw/zinc/10/67/60/707106760.db2.gz JNQNGIOTWRLORY-UHFFFAOYSA-N -1 1 300.318 1.121 20 0 DDADMM COc1ccc(CCC(=O)[N-]O[C@H](C)C(=O)NC2CC2)cc1 ZINC000871799494 707208660 /nfs/dbraw/zinc/20/86/60/707208660.db2.gz NYMGHRCAYHEDGQ-LLVKDONJSA-N -1 1 306.362 1.343 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1C[C@H]1CC(C)C ZINC000835292350 707214847 /nfs/dbraw/zinc/21/48/47/707214847.db2.gz HOZDDSNMGWJBNB-DGCLKSJQSA-N -1 1 318.377 1.494 20 0 DDADMM O=C([O-])c1cc(NC(=O)[C@H]2CCc3[nH]cnc3C2)ccc1F ZINC000909106834 712937804 /nfs/dbraw/zinc/93/78/04/712937804.db2.gz XZGAUOKLGPZLLN-QMMMGPOBSA-N -1 1 303.293 1.991 20 0 DDADMM C[C@@H]1CCc2[n-]n(C3=NS(=O)(=O)c4ccccc43)c(=O)c21 ZINC000871947403 707251863 /nfs/dbraw/zinc/25/18/63/707251863.db2.gz YSZIEADEFQPHLN-PRHODGIISA-N -1 1 303.343 1.380 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@H](OC)C2CCOCC2)[n-]1 ZINC000835564372 707279792 /nfs/dbraw/zinc/27/97/92/707279792.db2.gz NMQIZTIUZHLOQZ-ZDUSSCGKSA-N -1 1 311.334 1.400 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C[C@H](O)COC(C)C)CC2 ZINC000872166943 707311972 /nfs/dbraw/zinc/31/19/72/707311972.db2.gz XRUROFUKKPNIRH-LBPRGKRZSA-N -1 1 323.389 1.537 20 0 DDADMM O=C(CCc1nc[nH]n1)[N-]S(=O)(=O)CCC1CCCCC1 ZINC000835942948 707354583 /nfs/dbraw/zinc/35/45/83/707354583.db2.gz MGRIQDOKRUEYEJ-UHFFFAOYSA-N -1 1 314.411 1.154 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1cnn(C)c1 ZINC000872455144 707420889 /nfs/dbraw/zinc/42/08/89/707420889.db2.gz MLXAMGWMRAPBJO-AYLIAGHASA-N -1 1 322.456 1.212 20 0 DDADMM O=C([O-])[C@H]1CCCCN1C(=O)NCc1ccc2cncn2c1 ZINC000909166952 712951993 /nfs/dbraw/zinc/95/19/93/712951993.db2.gz CYKKPESYDMIKHO-CYBMUJFWSA-N -1 1 302.334 1.483 20 0 DDADMM CS(C)(=O)=NC(=O)CSc1nc(C2CC2)cc(=O)[n-]1 ZINC000882157972 707520252 /nfs/dbraw/zinc/52/02/52/707520252.db2.gz NKAMFIBCDPAOOZ-UHFFFAOYSA-N -1 1 301.393 1.406 20 0 DDADMM O=C(Nc1nc2n(n1)CCCC2)c1ccc2n[n-]c(=S)n2c1 ZINC000837196165 707597308 /nfs/dbraw/zinc/59/73/08/707597308.db2.gz FEXUSXFELITCIQ-UHFFFAOYSA-N -1 1 315.362 1.198 20 0 DDADMM CC[C@@](COC)(NC(=O)c1c([O-])cccc1Cl)C(=O)OC ZINC000837256493 707605792 /nfs/dbraw/zinc/60/57/92/707605792.db2.gz MYBOQBPWUOTDPX-AWEZNQCLSA-N -1 1 315.753 1.744 20 0 DDADMM CC(C)(C)N1CC[C@@H]1CNC(=O)C(C)(C)SCC(=O)[O-] ZINC000872877022 707634606 /nfs/dbraw/zinc/63/46/06/707634606.db2.gz CSSGGLMSEKURSA-SNVBAGLBSA-N -1 1 302.440 1.572 20 0 DDADMM CN=[S@](C)(=O)c1cccc([N-]S(=O)(=O)CCOC)c1 ZINC000882736691 707766874 /nfs/dbraw/zinc/76/68/74/707766874.db2.gz QJONDYDPMHPKEK-GOSISDBHSA-N -1 1 306.409 1.161 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1ccc(OC)cc1F ZINC000882768835 707786193 /nfs/dbraw/zinc/78/61/93/707786193.db2.gz VCLHGNZNUVSDLF-UHFFFAOYSA-N -1 1 313.354 1.931 20 0 DDADMM CN(CC(=O)NCC1(C(=O)[O-])CCCC1)[C@@H]1CCSC1 ZINC000909275077 712980329 /nfs/dbraw/zinc/98/03/29/712980329.db2.gz CCBIDEZOGBVWSQ-LLVKDONJSA-N -1 1 300.424 1.185 20 0 DDADMM CC/C(C)=C/C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000838039163 707818300 /nfs/dbraw/zinc/81/83/00/707818300.db2.gz HYKJVGYPGZYBEB-PKNBQFBNSA-N -1 1 305.330 1.851 20 0 DDADMM CC(C)C[C@H](CNC(=O)c1ccc(CN(C)C)nc1)C(=O)[O-] ZINC000909290856 712983432 /nfs/dbraw/zinc/98/34/32/712983432.db2.gz ZDKVDFRRSRDWTR-CYBMUJFWSA-N -1 1 307.394 1.620 20 0 DDADMM O=C(COC(=O)COCC1CCCC1)[N-]C(=O)c1ccccc1 ZINC000838328418 707900173 /nfs/dbraw/zinc/90/01/73/707900173.db2.gz QYJAEHXSAQYUNZ-UHFFFAOYSA-N -1 1 319.357 1.693 20 0 DDADMM COC(=O)CCN(CC(=O)[O-])Cc1cccc(OC)c1Cl ZINC000883412524 707994740 /nfs/dbraw/zinc/99/47/40/707994740.db2.gz GKFLGEVJWUVIKB-UHFFFAOYSA-N -1 1 315.753 1.798 20 0 DDADMM CN(C)CC(=O)N[C@H](Cc1cc(Cl)cc(Cl)c1)C(=O)[O-] ZINC000909390317 713006664 /nfs/dbraw/zinc/00/66/64/713006664.db2.gz WZXYGSBKNXOLPB-LLVKDONJSA-N -1 1 319.188 1.667 20 0 DDADMM O=C(Nc1ccc2[n-]c(=S)oc2c1)C1CN([C@H]2CCOC2)C1 ZINC000896995015 708202431 /nfs/dbraw/zinc/20/24/31/708202431.db2.gz FWRMRZHRYZTRIW-NSHDSACASA-N -1 1 319.386 1.776 20 0 DDADMM O=C([C@H]1CCOC2(CCC2)C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000897161365 708241755 /nfs/dbraw/zinc/24/17/55/708241755.db2.gz GIFMDYCHWYCVQE-NWDGAFQWSA-N -1 1 305.382 1.255 20 0 DDADMM COCCCN(CCO)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897499344 708352959 /nfs/dbraw/zinc/35/29/59/708352959.db2.gz GNNPFZIEWLMDTD-UHFFFAOYSA-N -1 1 319.357 1.722 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])C[C@@H](CO)O1 ZINC000897520399 708361321 /nfs/dbraw/zinc/36/13/21/708361321.db2.gz GVSUJXFXBAGOJF-GWCFXTLKSA-N -1 1 317.341 1.473 20 0 DDADMM O=C(NOC[C@@H]1CCOC1)c1ccc2ccc(O)cc2c1[O-] ZINC000897530012 708364052 /nfs/dbraw/zinc/36/40/52/708364052.db2.gz BKJOMEPATBGEFH-SNVBAGLBSA-N -1 1 303.314 1.949 20 0 DDADMM O=C(C[C@@H]1CCC2(CCC2)O1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000897641195 708403761 /nfs/dbraw/zinc/40/37/61/708403761.db2.gz YGWNJFVJWCSLHY-RYUDHWBXSA-N -1 1 321.377 1.973 20 0 DDADMM CC(C)(C)OC(=O)N1CC([N-]S(=O)(=O)c2ccns2)C1 ZINC000885016615 708443553 /nfs/dbraw/zinc/44/35/53/708443553.db2.gz JTJWIWXXIONHSH-UHFFFAOYSA-N -1 1 319.408 1.041 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccns1)[C@@H](O)Cc1ccccc1 ZINC000885070609 708462197 /nfs/dbraw/zinc/46/21/97/708462197.db2.gz QKAIOPMZGIWWSH-PWSUYJOCSA-N -1 1 312.416 1.414 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C2CC2)C[C@@H]1C1CC1 ZINC000885222940 708497413 /nfs/dbraw/zinc/49/74/13/708497413.db2.gz OWKIQWNVLGFKHV-GHMZBOCLSA-N -1 1 319.361 1.547 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccc(F)cc1C ZINC000912543264 713033406 /nfs/dbraw/zinc/03/34/06/713033406.db2.gz UWCPYPFMSYRUEA-UHFFFAOYSA-N -1 1 314.294 1.412 20 0 DDADMM O=C(NC[C@@H]1CNC(=O)C1)c1ccc2ccc(O)cc2c1[O-] ZINC000897970996 708506991 /nfs/dbraw/zinc/50/69/91/708506991.db2.gz QYTZLQVWNXROTR-VIFPVBQESA-N -1 1 300.314 1.117 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000885379029 708530546 /nfs/dbraw/zinc/53/05/46/708530546.db2.gz PFHLBLIIQRGMSI-RKDXNWHRSA-N -1 1 320.361 1.546 20 0 DDADMM COC(=O)C(C)(C)CC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000885431393 708544312 /nfs/dbraw/zinc/54/43/12/708544312.db2.gz IOJJYQJJKBILGB-UHFFFAOYSA-N -1 1 321.345 1.832 20 0 DDADMM C[C@@H]1CO[C@H](C(=O)OCc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000885567437 708577688 /nfs/dbraw/zinc/57/76/88/708577688.db2.gz RIXFVSARDNMKEK-XPTSAGLGSA-N -1 1 304.298 1.967 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)c1 ZINC000885620331 708585764 /nfs/dbraw/zinc/58/57/64/708585764.db2.gz IAJXUBDIFVMORT-URBCHYCLSA-N -1 1 311.403 1.971 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC2(CCC2)CO1)c1ccc(F)nc1F ZINC000885636725 708589471 /nfs/dbraw/zinc/58/94/71/708589471.db2.gz TVMYHELXZIBQGJ-VIFPVBQESA-N -1 1 318.345 1.597 20 0 DDADMM NC(=O)N1CCC[C@H]1C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885676151 708595067 /nfs/dbraw/zinc/59/50/67/708595067.db2.gz KJXUYIRRDJGINY-VIFPVBQESA-N -1 1 301.705 1.666 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)n[n-]1 ZINC000898435827 708639148 /nfs/dbraw/zinc/63/91/48/708639148.db2.gz NKDUULSWQDHISD-GARJFASQSA-N -1 1 306.366 1.424 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)n1 ZINC000898435827 708639150 /nfs/dbraw/zinc/63/91/50/708639150.db2.gz NKDUULSWQDHISD-GARJFASQSA-N -1 1 306.366 1.424 20 0 DDADMM C[C@H](C(=O)NCCc1c(F)cc([O-])cc1F)c1cnn(C)c1 ZINC000886266755 708732450 /nfs/dbraw/zinc/73/24/50/708732450.db2.gz XPLDCSIMMADACH-VIFPVBQESA-N -1 1 309.316 1.866 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@@H](O)C1CC1 ZINC000927781682 713054432 /nfs/dbraw/zinc/05/44/32/713054432.db2.gz SHXYAJDHNWBYPO-CYBMUJFWSA-N -1 1 300.305 1.283 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCC[C@H]1CCO ZINC000927783393 713054770 /nfs/dbraw/zinc/05/47/70/713054770.db2.gz KADUTJYXANXBKB-JTQLQIEISA-N -1 1 314.332 1.769 20 0 DDADMM O=S(=O)([N-]c1[nH]nc2c1COCC2)c1sccc1Cl ZINC000886479303 708767340 /nfs/dbraw/zinc/76/73/40/708767340.db2.gz IFLIHPJLPLQHRU-UHFFFAOYSA-N -1 1 319.795 1.998 20 0 DDADMM CC[C@H](NC(=O)NCCc1c(F)cc([O-])cc1F)[C@H](C)O ZINC000927787526 713056343 /nfs/dbraw/zinc/05/63/43/713056343.db2.gz XKYNCTJCQOCXNU-SDBXPKJASA-N -1 1 302.321 1.672 20 0 DDADMM CC(C)CS(=O)(=O)CCC(=O)[N-]Oc1ccc(F)cc1 ZINC000898573895 708794439 /nfs/dbraw/zinc/79/44/39/708794439.db2.gz WPIWIDOGBNFWJF-UHFFFAOYSA-N -1 1 303.355 1.697 20 0 DDADMM CN(C(=O)c1cnn(C(C)(C)C)c1C(F)(F)F)c1nn[n-]n1 ZINC000912617079 713052785 /nfs/dbraw/zinc/05/27/85/713052785.db2.gz DCSNAPUQCBPNQH-UHFFFAOYSA-N -1 1 317.275 1.447 20 0 DDADMM O=C(CCc1ccc(Cl)s1)NCc1nc([O-])cc(=O)[nH]1 ZINC000898751848 708854683 /nfs/dbraw/zinc/85/46/83/708854683.db2.gz NXGAHFRCTXVOGV-UHFFFAOYSA-N -1 1 313.766 1.852 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CC[C@H]1c1ccc(F)cc1 ZINC000898755116 708856163 /nfs/dbraw/zinc/85/61/63/708856163.db2.gz MBADDGINSLLISE-RYUDHWBXSA-N -1 1 317.320 1.837 20 0 DDADMM COc1cc(C(=O)N[C@@H]2C(=O)NCC2(C)C)cc(Cl)c1[O-] ZINC000912637564 713057831 /nfs/dbraw/zinc/05/78/31/713057831.db2.gz YTORHXBRFMKJKD-LLVKDONJSA-N -1 1 312.753 1.309 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](N)Cc1cc2ccccc2o1)C(=O)[O-] ZINC000887398251 709036129 /nfs/dbraw/zinc/03/61/29/709036129.db2.gz TURDOUWRDGLONC-GXFFZTMASA-N -1 1 304.346 1.482 20 0 DDADMM Cc1noc(C[C@H]2CCCN(C(=O)c3ncc(C)cc3[O-])C2)n1 ZINC000887635255 709087333 /nfs/dbraw/zinc/08/73/33/709087333.db2.gz MHXFFKVHEVZZCM-GFCCVEGCSA-N -1 1 316.361 1.882 20 0 DDADMM C[C@@H]1OC[C@]2(CC[C@@H](CNC(=O)c3cncc([O-])c3)O2)[C@H]1C ZINC000899482733 709095215 /nfs/dbraw/zinc/09/52/15/709095215.db2.gz ICQYLZQMZOHCQY-KFYUAXIPSA-N -1 1 306.362 1.490 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@H]1C[C@H]1CCOC1 ZINC000887810274 709129623 /nfs/dbraw/zinc/12/96/23/709129623.db2.gz CYRWTJFGPQVUAP-DGCLKSJQSA-N -1 1 309.337 1.799 20 0 DDADMM COc1cc2[n-]cc(C(=O)N(C)CCCF)c(=O)c2c(OC)c1 ZINC000899815727 709204511 /nfs/dbraw/zinc/20/45/11/709204511.db2.gz ZUMROWHQIKLQBC-UHFFFAOYSA-N -1 1 322.336 1.977 20 0 DDADMM COC(=O)[C@@H]1CCN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000888069063 709206521 /nfs/dbraw/zinc/20/65/21/709206521.db2.gz XJOAANIQPMRMJX-VIFPVBQESA-N -1 1 303.236 1.799 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)C[C@@H](C(=O)[O-])c1ccc(C)cc1 ZINC000899835909 709210847 /nfs/dbraw/zinc/21/08/47/709210847.db2.gz QFYBQYYMRIDDQI-HUUCEWRRSA-N -1 1 304.390 1.764 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)n[nH]1 ZINC000888120285 709218323 /nfs/dbraw/zinc/21/83/23/709218323.db2.gz IDQXUCWSBRPYKJ-YVNDNENWSA-N -1 1 309.366 1.973 20 0 DDADMM CC(C)(C(=O)[O-])[C@H]1CCCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000909494902 709488709 /nfs/dbraw/zinc/48/87/09/709488709.db2.gz HKDVJCYEFKZKTB-JTQLQIEISA-N -1 1 316.361 1.921 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](C)OCCC(C)C)c1nn[n-]n1 ZINC000912860389 713109890 /nfs/dbraw/zinc/10/98/90/713109890.db2.gz NUTWDVSUXHZELC-QWRGUYRKSA-N -1 1 315.443 1.561 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@@H](CCOC)C(=O)[O-])c1ccccc1 ZINC000909623887 709544622 /nfs/dbraw/zinc/54/46/22/709544622.db2.gz HLVXZLUCVCTWCW-UONOGXRCSA-N -1 1 308.378 1.285 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000909675636 709570814 /nfs/dbraw/zinc/57/08/14/709570814.db2.gz GYQPASUWZQWPHV-PJKMHFRUSA-N -1 1 317.267 1.754 20 0 DDADMM CCC(CC)(CNC(=O)c1ccc2c(n1)CNCC2)C(=O)[O-] ZINC000900462446 709607025 /nfs/dbraw/zinc/60/70/25/709607025.db2.gz IBUKQLJEBOZLJQ-UHFFFAOYSA-N -1 1 305.378 1.348 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccc(F)cn2)[n-]c1=O ZINC000889786746 709635655 /nfs/dbraw/zinc/63/56/55/709635655.db2.gz ONLJRCBXQRWXJT-LLVKDONJSA-N -1 1 318.308 1.702 20 0 DDADMM CC[C@H](O)[C@@H](C)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889787260 709635962 /nfs/dbraw/zinc/63/59/62/709635962.db2.gz RWQOIPZWAUVEIG-VWYCJHECSA-N -1 1 309.366 1.261 20 0 DDADMM COc1cccc([C@](C)(CC(=O)[O-])NC(=O)[C@@H]2CCCN2C)c1 ZINC000909816817 709637451 /nfs/dbraw/zinc/63/74/51/709637451.db2.gz AZJLZJPTOJEHTO-YOEHRIQHSA-N -1 1 320.389 1.596 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2nccs2)[n-]c1=O ZINC000889790478 709637567 /nfs/dbraw/zinc/63/75/67/709637567.db2.gz RPAPQZHBCBKMHZ-QMMMGPOBSA-N -1 1 306.347 1.625 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CCC[C@](C)(C(=O)[O-])C3)n[nH]2)c1 ZINC000909840813 709647080 /nfs/dbraw/zinc/64/70/80/709647080.db2.gz DXNVLQIYYWBLIC-INIZCTEOSA-N -1 1 316.361 1.742 20 0 DDADMM CC[C@@H]1COC(C)(C)CN1C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909873712 709663867 /nfs/dbraw/zinc/66/38/67/709663867.db2.gz KVQCRMPSRYPYIP-QWHCGFSZSA-N -1 1 312.410 1.199 20 0 DDADMM CC[C@H]1C(=O)NCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900607727 709675765 /nfs/dbraw/zinc/67/57/65/709675765.db2.gz GZELAXSLWMHGHD-NSHDSACASA-N -1 1 317.320 1.430 20 0 DDADMM COC[C@@H](CC(C)(C)C)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909916215 709687729 /nfs/dbraw/zinc/68/77/29/709687729.db2.gz GSNBBBYBCRBECV-CHWSQXEVSA-N -1 1 314.426 1.350 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cc(C(F)F)[nH]n2)[C@H](C(=O)[O-])C1 ZINC000909982258 709717470 /nfs/dbraw/zinc/71/74/70/709717470.db2.gz FHLPFWHWAWBPGN-RCOVLWMOSA-N -1 1 303.265 1.052 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)[C@@H](C(=O)[O-])C1 ZINC000909983283 709718242 /nfs/dbraw/zinc/71/82/42/709718242.db2.gz TWDIOFMWLPWKIA-POYBYMJQSA-N -1 1 321.255 1.133 20 0 DDADMM CC1=C(C(=O)Nc2cc([O-])c(F)cc2F)S(=O)(=O)CCO1 ZINC000909983723 709719057 /nfs/dbraw/zinc/71/90/57/709719057.db2.gz AZVVUGJBVQRETL-UHFFFAOYSA-N -1 1 319.285 1.285 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)N(CCC2CC2)CC2CC2)C1 ZINC000909991870 709725568 /nfs/dbraw/zinc/72/55/68/709725568.db2.gz LMWCEMFPLFZCOC-OAHLLOKOSA-N -1 1 308.422 1.822 20 0 DDADMM CC1(C(=O)[O-])CN(C(=O)[C@H]2CCCCN2Cc2ccccc2)C1 ZINC000910058456 709758989 /nfs/dbraw/zinc/75/89/89/709758989.db2.gz FRMJXPSIGRTLIQ-OAHLLOKOSA-N -1 1 316.401 1.974 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)N1CCSC[C@H](C(=O)[O-])C1 ZINC000910146993 709790781 /nfs/dbraw/zinc/79/07/81/709790781.db2.gz IBFSGZALSXQMPJ-SNVBAGLBSA-N -1 1 311.407 1.731 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cc3c([nH]2)CCCC3)CC1 ZINC000910170508 709796581 /nfs/dbraw/zinc/79/65/81/709796581.db2.gz KXMGTPCDXNGWQJ-LBPRGKRZSA-N -1 1 319.405 1.515 20 0 DDADMM Cc1ccc(/C=C/C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cn1 ZINC000910173827 709797809 /nfs/dbraw/zinc/79/78/09/709797809.db2.gz AZRGLDDIRNBPSN-GJBLVYBDSA-N -1 1 317.389 1.411 20 0 DDADMM COc1ccc([C@H](C)NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000910243273 709835973 /nfs/dbraw/zinc/83/59/73/709835973.db2.gz AZHORWLMXROVDG-GXTWGEPZSA-N -1 1 320.389 1.669 20 0 DDADMM CN(CC(=O)N[C@@](C)(CC(=O)[O-])C1CC1)[C@H]1CCSC1 ZINC000910319617 709888223 /nfs/dbraw/zinc/88/82/23/709888223.db2.gz UJDJBKXZTORROC-FZMZJTMJSA-N -1 1 300.424 1.183 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1N(C(=O)[C@@H]1CCc3nc[nH]c3C1)CC2 ZINC000910398081 709923103 /nfs/dbraw/zinc/92/31/03/709923103.db2.gz JYLNHECSNYGJCQ-ZXIHIIQKSA-N -1 1 303.362 1.370 20 0 DDADMM CC[C@H]1c2ccccc2CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000890609462 709927378 /nfs/dbraw/zinc/92/73/78/709927378.db2.gz CJMLMOWDIRYHDE-AWEZNQCLSA-N -1 1 313.357 1.420 20 0 DDADMM CNC(=O)[C@@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C(C)C ZINC000901148405 709947106 /nfs/dbraw/zinc/94/71/06/709947106.db2.gz CQRSMAHLLRVLIS-ZDUSSCGKSA-N -1 1 319.336 1.580 20 0 DDADMM CN(c1nc(C(F)(F)F)nc2[nH]cnc21)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000910476415 709961987 /nfs/dbraw/zinc/96/19/87/709961987.db2.gz VCMXOPOGXZGZMP-OLQVQODUSA-N -1 1 315.255 1.671 20 0 DDADMM O=C(Nc1cnc2c(c1)COCC2)c1cnc(C2CC2)[n-]c1=O ZINC000901343371 710017293 /nfs/dbraw/zinc/01/72/93/710017293.db2.gz LFNCHXGPDUJCBZ-UHFFFAOYSA-N -1 1 312.329 1.780 20 0 DDADMM O=C(CNC(=O)c1c([O-])cnc2c(F)cccc21)NCC1CC1 ZINC000901352401 710021045 /nfs/dbraw/zinc/02/10/45/710021045.db2.gz BUFHYEKPJHCAJB-UHFFFAOYSA-N -1 1 317.320 1.336 20 0 DDADMM CCC[C@@H](C)CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000890892268 710022167 /nfs/dbraw/zinc/02/21/67/710022167.db2.gz NBYIGOPGKOJQSM-LLVKDONJSA-N -1 1 317.393 1.223 20 0 DDADMM CN1CCN(Cc2cn(CC(=O)[O-])nc2-c2ccccc2)CC1 ZINC000901415088 710033472 /nfs/dbraw/zinc/03/34/72/710033472.db2.gz FTGIUTNGNWGSEK-UHFFFAOYSA-N -1 1 314.389 1.382 20 0 DDADMM CC(C)N(C)CC(=O)Nc1nc2c(s1)CC[C@H](C(=O)[O-])C2 ZINC000910678771 710044656 /nfs/dbraw/zinc/04/46/56/710044656.db2.gz YCIJDNICGXBTDO-VIFPVBQESA-N -1 1 311.407 1.611 20 0 DDADMM COC(=O)C[C@@H](O)CSc1nc(-c2ccccc2)cc(=O)[n-]1 ZINC000901618748 710097919 /nfs/dbraw/zinc/09/79/19/710097919.db2.gz JJCLFCCYSGGXSW-LLVKDONJSA-N -1 1 320.370 1.865 20 0 DDADMM CC(C)(CN1Cc2ccc(C(=O)[O-])cc2C1)N1CCOCC1 ZINC000901645906 710106558 /nfs/dbraw/zinc/10/65/58/710106558.db2.gz HNALHZUDONOEFX-UHFFFAOYSA-N -1 1 304.390 1.811 20 0 DDADMM Cn1ncc(C2CC2)c1CN(CCC(=O)[O-])C[C@H]1CCCO1 ZINC000901675959 710115997 /nfs/dbraw/zinc/11/59/97/710115997.db2.gz AXKDHBOGGXGRPA-CYBMUJFWSA-N -1 1 307.394 1.753 20 0 DDADMM CCc1cc(C(=O)[O-])ccc1NC(=O)[C@@H](C)CN1CCOCC1 ZINC000910960943 710131686 /nfs/dbraw/zinc/13/16/86/710131686.db2.gz HROZXVMYBJZYBP-LBPRGKRZSA-N -1 1 320.389 1.854 20 0 DDADMM O=C([O-])Cn1cc(CN[C@H]2Cc3ccc(Cl)cc3C2)nn1 ZINC000901804273 710151839 /nfs/dbraw/zinc/15/18/39/710151839.db2.gz TYSMVOXLJXXQPP-LBPRGKRZSA-N -1 1 306.753 1.273 20 0 DDADMM COCc1nc(NC[C@H]2COc3ccccc3C2)cc(=O)[n-]1 ZINC000891594597 710244029 /nfs/dbraw/zinc/24/40/29/710244029.db2.gz NLHZWGNAAUAWRB-NSHDSACASA-N -1 1 301.346 1.992 20 0 DDADMM O=C(CN1CCSC1=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891628502 710252461 /nfs/dbraw/zinc/25/24/61/710252461.db2.gz SLAWBFXPPJYJJS-UHFFFAOYSA-N -1 1 308.363 1.168 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)[N-]S(=O)(=O)C3(Cl)CC3)c2C1 ZINC000893232098 710575880 /nfs/dbraw/zinc/57/58/80/710575880.db2.gz CRVWIGFVBHOBQF-SSDOTTSWSA-N -1 1 317.798 1.323 20 0 DDADMM Cc1c(CNCc2ncc(Br)cc2[O-])cnn1C ZINC000893268399 710583468 /nfs/dbraw/zinc/58/34/68/710583468.db2.gz DICCBCKYRINSGL-UHFFFAOYSA-N -1 1 311.183 1.881 20 0 DDADMM CCNC(=O)[C@H](C)NCc1ncc(Br)cc1[O-] ZINC000893319018 710598317 /nfs/dbraw/zinc/59/83/17/710598317.db2.gz DRTUGCWMJDAPAD-ZETCQYMHSA-N -1 1 302.172 1.164 20 0 DDADMM CC(C)CN1CCN(C(=O)C23CCC(C(=O)[O-])(CC2)C3)CC1 ZINC000911107683 710637136 /nfs/dbraw/zinc/63/71/36/710637136.db2.gz SULLIVHHQWZOOJ-UHFFFAOYSA-N -1 1 308.422 1.822 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2cc(C(=O)[O-])co2)C[C@H](C)O1 ZINC000911150552 710655313 /nfs/dbraw/zinc/65/53/13/710655313.db2.gz NAXWYJITDAFVBO-PHIMTYICSA-N -1 1 310.350 1.207 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2cc(C(=O)[O-])ccn2)C[C@@H](C)O1 ZINC000911150631 710655587 /nfs/dbraw/zinc/65/55/87/710655587.db2.gz PJLXTHMISLHVMC-VXGBXAGGSA-N -1 1 321.377 1.009 20 0 DDADMM C[C@H]1CN(CCCNC(=O)C(C)(C)CCC(=O)[O-])C[C@H](C)O1 ZINC000911151319 710656415 /nfs/dbraw/zinc/65/64/15/710656415.db2.gz WLBWMZBAOLVLHK-STQMWFEESA-N -1 1 314.426 1.493 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)c1[nH]nc2c1CCCC2)C(F)(F)F ZINC000911153524 710657769 /nfs/dbraw/zinc/65/77/69/710657769.db2.gz DONXIYHENVBWPQ-MRVPVSSYSA-N -1 1 305.256 1.424 20 0 DDADMM CC(C)(CNCc1cn(CC(=O)[O-])nn1)c1ccccc1F ZINC000902207837 710664466 /nfs/dbraw/zinc/66/44/66/710664466.db2.gz IPSWXJZXHYTPMO-UHFFFAOYSA-N -1 1 306.341 1.569 20 0 DDADMM COc1c(C)[nH]cc(CN(C)C(=O)c2cc(F)ccc2[O-])c1=O ZINC000913325840 713200150 /nfs/dbraw/zinc/20/01/50/713200150.db2.gz YRBCSSLPAAEKKS-UHFFFAOYSA-N -1 1 320.320 1.809 20 0 DDADMM COc1cccc(O[C@H](C)CNCc2cc(C(=O)[O-])no2)c1 ZINC000902316672 710713408 /nfs/dbraw/zinc/71/34/08/710713408.db2.gz LZXAJCRCBVVHSD-SNVBAGLBSA-N -1 1 306.318 1.939 20 0 DDADMM COCCN1CCC[C@H](NC(=O)C2(C(=O)[O-])CC3(CCC3)C2)C1 ZINC000911352401 710757659 /nfs/dbraw/zinc/75/76/59/710757659.db2.gz LESNRLWNTYLXCY-ZDUSSCGKSA-N -1 1 324.421 1.249 20 0 DDADMM COCc1nc(NC2CCN(c3nccs3)CC2)cc(=O)[n-]1 ZINC000893678272 710774327 /nfs/dbraw/zinc/77/43/27/710774327.db2.gz IACJSBISXJITRI-UHFFFAOYSA-N -1 1 321.406 1.866 20 0 DDADMM COCc1nc(NC[C@H]2CCC3(CCOCC3)[C@@H]2O)cc(=O)[n-]1 ZINC000893723172 710796195 /nfs/dbraw/zinc/79/61/95/710796195.db2.gz AZNBUKOSKATTEQ-IAQYHMDHSA-N -1 1 323.393 1.308 20 0 DDADMM C[C@@H]1CN(C2CCOCC2)CCN1C(=O)C1(C(=O)[O-])CCCC1 ZINC000911434803 710797785 /nfs/dbraw/zinc/79/77/85/710797785.db2.gz FNIUQNSWJCONTP-CYBMUJFWSA-N -1 1 324.421 1.343 20 0 DDADMM COCCC(C)(C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913436741 713215064 /nfs/dbraw/zinc/21/50/64/713215064.db2.gz GVQYMURRMLBDEX-GFCCVEGCSA-N -1 1 303.366 1.468 20 0 DDADMM CO[C@@H]1COC[C@H]1NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000911535736 710841508 /nfs/dbraw/zinc/84/15/08/710841508.db2.gz VTEGDFVYBAYMLP-ZYHUDNBSSA-N -1 1 306.293 1.223 20 0 DDADMM COCCC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CC1 ZINC000913437572 713215823 /nfs/dbraw/zinc/21/58/23/713215823.db2.gz MYLCVUMZYMMEMW-GFCCVEGCSA-N -1 1 301.350 1.222 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000902818727 710916105 /nfs/dbraw/zinc/91/61/05/710916105.db2.gz PEKYFSLHSPEWEX-VXGBXAGGSA-N -1 1 314.451 1.573 20 0 DDADMM CN(C(=O)CN1CCC[C@@H](C(=O)[O-])C1)[C@H](CO)CC(C)(C)C ZINC000911754317 710966702 /nfs/dbraw/zinc/96/67/02/710966702.db2.gz IBGVACGQGQODEW-OLZOCXBDSA-N -1 1 314.426 1.039 20 0 DDADMM CC(C)c1nc(CC2CCN(C(=O)CCCC(=O)[O-])CC2)n[nH]1 ZINC000911778639 710981701 /nfs/dbraw/zinc/98/17/01/710981701.db2.gz ZRWSVTONOGYOGP-UHFFFAOYSA-N -1 1 322.409 1.964 20 0 DDADMM CC(C)c1nnc(CC2CCN(C(=O)CCCC(=O)[O-])CC2)[nH]1 ZINC000911778639 710981705 /nfs/dbraw/zinc/98/17/05/710981705.db2.gz ZRWSVTONOGYOGP-UHFFFAOYSA-N -1 1 322.409 1.964 20 0 DDADMM COCc1nc(NC[C@]2(CO)CCc3ccccc32)cc(=O)[n-]1 ZINC000894381958 711096978 /nfs/dbraw/zinc/09/69/78/711096978.db2.gz WNTYLVIJDMDFEI-KRWDZBQOSA-N -1 1 315.373 1.617 20 0 DDADMM Cc1cccc([C@@H]2C[C@H]2C(=O)N2CCOC[C@H]2c2nn[n-]n2)c1 ZINC000913493242 713236794 /nfs/dbraw/zinc/23/67/94/713236794.db2.gz KEJMUHRVZFJJKQ-MJBXVCDLSA-N -1 1 313.361 1.212 20 0 DDADMM CO[C@@H]1CN(C[C@H]2CCC3(CCOCC3)O2)[C@](C)(C(=O)[O-])C1 ZINC000903608295 711221941 /nfs/dbraw/zinc/22/19/41/711221941.db2.gz USVALLHFFIJEPU-IPYPFGDCSA-N -1 1 313.394 1.279 20 0 DDADMM O=C(c1sccc1C(F)F)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494318 713237215 /nfs/dbraw/zinc/23/72/15/713237215.db2.gz WTLWTTGSPZBAFO-ZETCQYMHSA-N -1 1 315.305 1.413 20 0 DDADMM CSc1ccccc1CC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495429 713237623 /nfs/dbraw/zinc/23/76/23/713237623.db2.gz KDVNCMARTXPPQW-LLVKDONJSA-N -1 1 319.390 1.064 20 0 DDADMM O=C([C@@H]1CCCc2sccc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495017 713237930 /nfs/dbraw/zinc/23/79/30/713237930.db2.gz GAIXAYLVDZWHIG-GHMZBOCLSA-N -1 1 319.390 1.281 20 0 DDADMM CCOC(=O)C=C([O-])N=[S@@](C)(=O)c1ccc(N(C)C)cc1 ZINC000913496917 713238765 /nfs/dbraw/zinc/23/87/65/713238765.db2.gz ASNABNWSMMMQLV-NRFANRHFSA-N -1 1 312.391 1.689 20 0 DDADMM CSc1nc(CNC(=O)Cc2ccccc2CO)cc(=O)[n-]1 ZINC000912249377 711245448 /nfs/dbraw/zinc/24/54/48/711245448.db2.gz PSCCUHMBSZQISP-UHFFFAOYSA-N -1 1 319.386 1.255 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H]1CCCN(c2ccccc2)C1=O ZINC000903711610 711250035 /nfs/dbraw/zinc/25/00/35/711250035.db2.gz DUASDYJGZLXWMN-OAHLLOKOSA-N -1 1 320.389 1.605 20 0 DDADMM CCN(C(=O)[C@@H](C)N(CCOC)CCC(=O)[O-])c1ccccc1 ZINC000903711935 711251216 /nfs/dbraw/zinc/25/12/16/711251216.db2.gz PWBPNVZPIRDIAS-CQSZACIVSA-N -1 1 322.405 1.851 20 0 DDADMM C[C@@H]1C[C@H](C)N(Cc2nnc3n2CCCC3)[C@@H](C)[C@H]1C(=O)[O-] ZINC000903951497 711345212 /nfs/dbraw/zinc/34/52/12/711345212.db2.gz KOLMUQVPVJFLEC-YXMPFFBPSA-N -1 1 306.410 1.934 20 0 DDADMM CCO[C@@H]1C[C@@](NCc2nn(CC)nc2C)(C(=O)[O-])C1(C)C ZINC000904010748 711363592 /nfs/dbraw/zinc/36/35/92/711363592.db2.gz NXLAWOGMEBUMJN-IUODEOHRSA-N -1 1 310.398 1.354 20 0 DDADMM CSC[C@H](NCc1cnn(-c2cc(C)cc(C)c2)n1)C(=O)[O-] ZINC000904041044 711368712 /nfs/dbraw/zinc/36/87/12/711368712.db2.gz YKXCYQVACPFPSV-AWEZNQCLSA-N -1 1 320.418 1.790 20 0 DDADMM COCc1nc(NC[C@@H]2CC[C@]3(CO[C@@H](C)C3)O2)cc(=O)[n-]1 ZINC000895220695 711456346 /nfs/dbraw/zinc/45/63/46/711456346.db2.gz ZCZPDOFUYCPEQJ-PGUXBMHVSA-N -1 1 309.366 1.467 20 0 DDADMM Cc1c(-c2noc(-c3ccc([O-])cc3F)n2)c(=O)[nH]c(=O)n1C ZINC000904903093 711901669 /nfs/dbraw/zinc/90/16/69/711901669.db2.gz RDIVYPSOGCGTRX-UHFFFAOYSA-N -1 1 318.264 1.356 20 0 DDADMM O=C(COc1ccccc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913742053 713291902 /nfs/dbraw/zinc/29/19/02/713291902.db2.gz DGZJDPHFLGLFQU-UHFFFAOYSA-N -1 1 305.313 1.124 20 0 DDADMM O=C([C@H]1OCCc2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913743845 713292337 /nfs/dbraw/zinc/29/23/37/713292337.db2.gz NRAPPJUBXWODIR-AWEZNQCLSA-N -1 1 313.361 1.220 20 0 DDADMM COCc1nc(C)c(C(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC000913744397 713292763 /nfs/dbraw/zinc/29/27/63/713292763.db2.gz MJPHUYLAWWEPMZ-UHFFFAOYSA-N -1 1 322.394 1.131 20 0 DDADMM CC(C)(C(=O)N1CCC(c2nn[n-]n2)CC1)c1cccnc1 ZINC000913744285 713293013 /nfs/dbraw/zinc/29/30/13/713293013.db2.gz IFXFELBCKQWFTN-UHFFFAOYSA-N -1 1 300.366 1.279 20 0 DDADMM O=C(c1cccc2c1C(=O)CC2)N1CCC(c2nn[n-]n2)CC1 ZINC000913745813 713293624 /nfs/dbraw/zinc/29/36/24/713293624.db2.gz PFTJVBVCQCOFNQ-UHFFFAOYSA-N -1 1 311.345 1.348 20 0 DDADMM O=C(C[C@@H]1OCc2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913746238 713294061 /nfs/dbraw/zinc/29/40/61/713294061.db2.gz YNGQZGQZDNXDRE-AWEZNQCLSA-N -1 1 313.361 1.567 20 0 DDADMM O=C(Cc1csc(C2CC2)n1)N1CCC(c2nn[n-]n2)CC1 ZINC000913747273 713294479 /nfs/dbraw/zinc/29/44/79/713294479.db2.gz VVFQZBQHWNTNJA-UHFFFAOYSA-N -1 1 318.406 1.482 20 0 DDADMM CCCS(=O)(=O)CCN[C@@H](C(=O)[O-])c1ccc(OC)cc1 ZINC000905383044 712022601 /nfs/dbraw/zinc/02/26/01/712022601.db2.gz CIJSGMLGBGLAIV-CYBMUJFWSA-N -1 1 315.391 1.235 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3[C@H]4[C@@H]3[C@@H]3CC[C@H]4C3)nc2n1 ZINC000906057838 712233155 /nfs/dbraw/zinc/23/31/55/712233155.db2.gz KAYIDRRQCFFDKT-QZERSUKASA-N -1 1 313.361 1.211 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@H]1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000906692287 712391712 /nfs/dbraw/zinc/39/17/12/712391712.db2.gz JRWZIIKYCOXXKP-KBOAJJQZSA-N -1 1 318.377 1.339 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2C[C@@H]3CCC[C@@H]3C2)c1 ZINC000907122628 712493020 /nfs/dbraw/zinc/49/30/20/712493020.db2.gz AMNVXIRJXLUBHJ-PHIMTYICSA-N -1 1 311.359 1.511 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCc2cnoc2)c(F)c1 ZINC000907387491 712558278 /nfs/dbraw/zinc/55/82/78/712558278.db2.gz ACUBPPQMCOAWAW-UHFFFAOYSA-N -1 1 318.301 1.482 20 0 DDADMM COc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c(C)c1 ZINC000907473960 712581578 /nfs/dbraw/zinc/58/15/78/712581578.db2.gz IORBBZDXEIRSIT-LBPRGKRZSA-N -1 1 319.390 1.447 20 0 DDADMM O=C(c1cc2ccsc2[nH]1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907474900 712581878 /nfs/dbraw/zinc/58/18/78/712581878.db2.gz HTGSBESOTKWRQT-SECBINFHSA-N -1 1 320.403 1.673 20 0 DDADMM O=C(c1ccc2c(c1)COC2)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907476398 712582171 /nfs/dbraw/zinc/58/21/71/712582171.db2.gz QERQFUHAQBGUBR-GFCCVEGCSA-N -1 1 317.374 1.160 20 0 DDADMM Cc1nsc(C)c1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479597 712583126 /nfs/dbraw/zinc/58/31/26/712583126.db2.gz GEFLDTPQHMEXEE-QMMMGPOBSA-N -1 1 310.408 1.203 20 0 DDADMM O=C([C@@H]1C[C@H]1C1CCCC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480453 712583457 /nfs/dbraw/zinc/58/34/57/712583457.db2.gz PKNVLWUYNVSOEY-TUAOUCFPSA-N -1 1 307.423 1.643 20 0 DDADMM CCc1csc(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)n1 ZINC000907480079 712583514 /nfs/dbraw/zinc/58/35/14/712583514.db2.gz OYPTUJAZNCTBTP-SECBINFHSA-N -1 1 324.435 1.078 20 0 DDADMM Cc1csc([C@@H](C)C(=O)N2CCSC[C@H]2c2nn[n-]n2)n1 ZINC000907480294 712583675 /nfs/dbraw/zinc/58/36/75/712583675.db2.gz RVQMLXCDWNBBSK-BDAKNGLRSA-N -1 1 324.435 1.385 20 0 DDADMM C[C@H](CC(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccco1 ZINC000907481699 712584077 /nfs/dbraw/zinc/58/40/77/712584077.db2.gz JYBWRJAGQAMYJR-ZJUUUORDSA-N -1 1 307.379 1.603 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2CC23CC(O)C3)c(F)c1 ZINC000907785934 712626333 /nfs/dbraw/zinc/62/63/33/712626333.db2.gz RGDGESWZPSCAAF-SAVVLTDYSA-N -1 1 303.330 1.465 20 0 DDADMM CC[N@H+]1CCCN(C(=O)[C@@]2(C(=O)[O-])C[C@@H]2c2ccccc2)CC1 ZINC000907801054 712628444 /nfs/dbraw/zinc/62/84/44/712628444.db2.gz QASUNMQKRQTQMR-CRAIPNDOSA-N -1 1 316.401 1.799 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)C[C@H]3CCCC3(F)F)CC2)n1 ZINC000907860339 712637281 /nfs/dbraw/zinc/63/72/81/712637281.db2.gz ORYXZVIKLAYIIT-SECBINFHSA-N -1 1 321.349 1.722 20 0 DDADMM CC(C)CC(CC(C)C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907941223 712652349 /nfs/dbraw/zinc/65/23/49/712652349.db2.gz NZYMUQKBAIRTEG-UHFFFAOYSA-N -1 1 323.441 1.718 20 0 DDADMM CCC1(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CCCCC1 ZINC000907942855 712652552 /nfs/dbraw/zinc/65/25/52/712652552.db2.gz CHCQXSWPJWWAOB-UHFFFAOYSA-N -1 1 307.398 1.370 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H](C)[S@]1=O ZINC000908138189 712695849 /nfs/dbraw/zinc/69/58/49/712695849.db2.gz GVJXDLGVHHJKRF-FGVQXUMUSA-N -1 1 323.418 1.431 20 0 DDADMM NC(=O)C[C@@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000908231538 712715136 /nfs/dbraw/zinc/71/51/36/712715136.db2.gz BSLJEGMURNYVHN-VIFPVBQESA-N -1 1 317.320 1.417 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@H]1CCc2nc[nH]c2C1)CC1CCCC1 ZINC000908287206 712728671 /nfs/dbraw/zinc/72/86/71/712728671.db2.gz AWIMLDYZGLWSLS-QWHCGFSZSA-N -1 1 319.405 1.912 20 0 DDADMM O=S(=O)([N-]CC[C@H](O)C1CC1)c1cc(Cl)ccc1F ZINC000908311058 712737176 /nfs/dbraw/zinc/73/71/76/712737176.db2.gz ACFOWYIWIPYSQV-NSHDSACASA-N -1 1 307.774 1.918 20 0 DDADMM CCOC(=O)[C@@H]1CCCN(CC(=O)[N-]OC[C@H](C)CC)C1 ZINC000908351142 712749390 /nfs/dbraw/zinc/74/93/90/712749390.db2.gz DOYLVBNVTBSSCM-CHWSQXEVSA-N -1 1 300.399 1.355 20 0 DDADMM CC(C)OC(=O)C(C)(C)[N-]S(=O)(=O)c1cccnc1F ZINC000908485565 712787406 /nfs/dbraw/zinc/78/74/06/712787406.db2.gz ZPZNKCNLPCLNQJ-UHFFFAOYSA-N -1 1 304.343 1.229 20 0 DDADMM CN1CCCC[C@H]1C(=O)N(CCC(=O)[O-])Cc1ccccc1 ZINC000908580222 712813672 /nfs/dbraw/zinc/81/36/72/712813672.db2.gz WQAIEBLGFDMKNS-HNNXBMFYSA-N -1 1 304.390 1.974 20 0 DDADMM CN(CC(=O)N[C@H](CC(=O)[O-])c1ccc(F)cc1)C1CCC1 ZINC000908606555 712820234 /nfs/dbraw/zinc/82/02/34/712820234.db2.gz MAFFLBUYIIFYFG-CQSZACIVSA-N -1 1 308.353 1.942 20 0 DDADMM COc1ccc(CNC(=O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)cc1F ZINC000908731541 712843101 /nfs/dbraw/zinc/84/31/01/712843101.db2.gz GFYDAPCPJZXXQK-GFCCVEGCSA-N -1 1 324.352 1.247 20 0 DDADMM COc1ccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1F ZINC000908731541 712843102 /nfs/dbraw/zinc/84/31/02/712843102.db2.gz GFYDAPCPJZXXQK-GFCCVEGCSA-N -1 1 324.352 1.247 20 0 DDADMM O=C([O-])CSCCC(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000908793577 712855936 /nfs/dbraw/zinc/85/59/36/712855936.db2.gz AUBUMEMYHYIBJV-UHFFFAOYSA-N -1 1 311.338 1.526 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@H]1CCCCO1 ZINC000914786688 713400970 /nfs/dbraw/zinc/40/09/70/713400970.db2.gz MZTWAAMFFFXDRN-SNVBAGLBSA-N -1 1 300.380 1.257 20 0 DDADMM Cc1[nH][n-]c(=O)c1Sc1nnc(NCc2ccco2)s1 ZINC000916266103 713448959 /nfs/dbraw/zinc/44/89/59/713448959.db2.gz WURSUQGHCKYCOC-QMMMGPOBSA-N -1 1 309.376 1.710 20 0 DDADMM Cc1csc(NCC[N-]S(=O)(=O)c2cc(C)ns2)n1 ZINC000917592514 713505769 /nfs/dbraw/zinc/50/57/69/713505769.db2.gz HQPKZEGHJLAJJU-UHFFFAOYSA-N -1 1 318.449 1.607 20 0 DDADMM Cn1ncnc1CCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000919516970 713611920 /nfs/dbraw/zinc/61/19/20/713611920.db2.gz DSURZWDLALBHBA-UHFFFAOYSA-N -1 1 316.333 1.004 20 0 DDADMM COC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000920427481 713668582 /nfs/dbraw/zinc/66/85/82/713668582.db2.gz GBEVWZPZSGRHOQ-BDAKNGLRSA-N -1 1 319.329 1.585 20 0 DDADMM NC(=O)CC1CC([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000921311691 713729712 /nfs/dbraw/zinc/72/97/12/713729712.db2.gz ZILUQNPETUESNV-UHFFFAOYSA-N -1 1 322.308 1.036 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@]12CCC[C@@]1(OC)OCC2)C(C)C ZINC000921314076 713730383 /nfs/dbraw/zinc/73/03/83/713730383.db2.gz DEPPHFAUYKUBIW-HZSPNIEDSA-N -1 1 321.439 1.263 20 0 DDADMM C[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000921498168 713789878 /nfs/dbraw/zinc/78/98/78/713789878.db2.gz ATZGIORGVMICEB-HTRCEHHLSA-N -1 1 311.190 1.702 20 0 DDADMM CC(=O)NCc1ccccc1CN(C)C(=O)c1ncccc1[O-] ZINC000963864835 717928673 /nfs/dbraw/zinc/92/86/73/717928673.db2.gz VYTNNIBXIFRURD-UHFFFAOYSA-N -1 1 313.357 1.696 20 0 DDADMM Cc1cc(CC[N-]S(=O)(=O)c2ccc(C(F)F)o2)nn1C ZINC000921580600 713812209 /nfs/dbraw/zinc/81/22/09/713812209.db2.gz HBDPZBCUMHSKKG-UHFFFAOYSA-N -1 1 319.333 1.780 20 0 DDADMM O=S(=O)([N-]CCc1nc2c(s1)CCC2)c1ccns1 ZINC000921602449 713818627 /nfs/dbraw/zinc/81/86/27/713818627.db2.gz VZZHGPVQUFWYPM-UHFFFAOYSA-N -1 1 315.445 1.609 20 0 DDADMM COC(=O)C[C@H]1COCCN1C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000930489423 713847462 /nfs/dbraw/zinc/84/74/62/713847462.db2.gz JUYRUAZEWPIOKB-JSGCOSHPSA-N -1 1 321.373 1.475 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(CCSc3ccncc3)C2)n[nH]1 ZINC000930497912 713849765 /nfs/dbraw/zinc/84/97/65/713849765.db2.gz UKMXBUSEILGDEE-NSHDSACASA-N -1 1 305.407 1.877 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCO[C@H]2CCOC2)c(F)c1 ZINC000921880968 713895675 /nfs/dbraw/zinc/89/56/75/713895675.db2.gz OZEKPPLWPSZXRL-JTQLQIEISA-N -1 1 321.345 1.357 20 0 DDADMM CC(C)Cn1[n-]c(CC(=O)Nc2ccc3nc[nH]c3c2)cc1=O ZINC000930942512 713965144 /nfs/dbraw/zinc/96/51/44/713965144.db2.gz BAFWLKOGEHZOBO-UHFFFAOYSA-N -1 1 313.361 1.890 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@]3(C)CCCCO3)ccnc1-2 ZINC000931131720 714010558 /nfs/dbraw/zinc/01/05/58/714010558.db2.gz MBUHIMNTZVWERH-OAHLLOKOSA-N -1 1 303.366 1.423 20 0 DDADMM CC[S@](=O)CCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932043952 714245375 /nfs/dbraw/zinc/24/53/75/714245375.db2.gz YQTDLEGQDWCVCM-QFIPXVFZSA-N -1 1 322.390 1.468 20 0 DDADMM C[C@H](O)CCN(C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932046871 714245735 /nfs/dbraw/zinc/24/57/35/714245735.db2.gz ZQPNLERNUCQWEQ-NSHDSACASA-N -1 1 304.350 1.813 20 0 DDADMM C[C@H](NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C(C)(C)O ZINC000932061499 714249376 /nfs/dbraw/zinc/24/93/76/714249376.db2.gz DTTPBVCATOVCBL-JTQLQIEISA-N -1 1 304.350 1.859 20 0 DDADMM CC[C@]1(C(=O)[O-])CCCN(CC(=O)N(C)CC(F)(F)F)C1 ZINC000923471518 714366628 /nfs/dbraw/zinc/36/66/28/714366628.db2.gz QQWAXCKOYXOKRY-LBPRGKRZSA-N -1 1 310.316 1.584 20 0 DDADMM CCn1cc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)nn1 ZINC000934268319 714781065 /nfs/dbraw/zinc/78/10/65/714781065.db2.gz AFHPHUMNZACAMJ-NSHDSACASA-N -1 1 304.354 1.139 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2cc(Cl)n(C)n2)[n-]c1=O ZINC000934268911 714781466 /nfs/dbraw/zinc/78/14/66/714781466.db2.gz BZPLXDMACQPDIY-JTQLQIEISA-N -1 1 323.784 1.915 20 0 DDADMM CCC[C@H](NC(=O)C[C@H]1CC[C@H](C(F)(F)F)O1)c1nn[n-]n1 ZINC000934311474 714792031 /nfs/dbraw/zinc/79/20/31/714792031.db2.gz IIFPUHSGBNVIKL-HRDYMLBCSA-N -1 1 321.303 1.657 20 0 DDADMM C[C@H](CO[N-]C(=O)[C@@H](C)[C@H]1CCCO1)NC(=O)OC(C)(C)C ZINC000935159833 714991083 /nfs/dbraw/zinc/99/10/83/714991083.db2.gz KDIMTVFGLSPVHB-GRYCIOLGSA-N -1 1 316.398 1.763 20 0 DDADMM Cn1nc(C2CC2)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000935793692 715128907 /nfs/dbraw/zinc/12/89/07/715128907.db2.gz HSLDTSBHZFMOKJ-SNVBAGLBSA-N -1 1 317.349 1.406 20 0 DDADMM CC(=O)N1CC[C@@H](N(C)C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000936305730 715175536 /nfs/dbraw/zinc/17/55/36/715175536.db2.gz WDSNKZWDRGBHHA-GFCCVEGCSA-N -1 1 320.393 1.307 20 0 DDADMM CN(C(=O)[C@@H]1CC[C@@H](F)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955130779 715641037 /nfs/dbraw/zinc/64/10/37/715641037.db2.gz JKXZCMSSDRJZQJ-GHMZBOCLSA-N -1 1 321.352 1.208 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000939483972 716279394 /nfs/dbraw/zinc/27/93/94/716279394.db2.gz ALAWLKVVSMKRLH-LLVKDONJSA-N -1 1 318.377 1.046 20 0 DDADMM O=C(C=C1CCC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959950005 716367737 /nfs/dbraw/zinc/36/77/37/716367737.db2.gz JCAGILCXEPNBEA-LBPRGKRZSA-N -1 1 305.382 1.091 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(C)(C)C ZINC000940050127 716596542 /nfs/dbraw/zinc/59/65/42/716596542.db2.gz OKHVWCMBNNSJMX-GHMZBOCLSA-N -1 1 305.378 1.410 20 0 DDADMM CCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959339451 716971149 /nfs/dbraw/zinc/97/11/49/716971149.db2.gz QKEVIHAJCAMWMF-NEPJUHHUSA-N -1 1 305.378 1.412 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)C1CCC1 ZINC000959443092 717019014 /nfs/dbraw/zinc/01/90/14/717019014.db2.gz FABUSCZLBZRWDD-AAEUAGOBSA-N -1 1 317.389 1.412 20 0 DDADMM CC1(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CCCC1 ZINC000941755064 717303853 /nfs/dbraw/zinc/30/38/53/717303853.db2.gz ZKVUYDDWUVYLQI-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)C(F)F)CC1)c1ncccc1[O-] ZINC000964851596 717453925 /nfs/dbraw/zinc/45/39/25/717453925.db2.gz XGRUHBKOGPXYLD-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM C[C@@H]1CN(CCF)CC[C@@H]1NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000944135261 718259900 /nfs/dbraw/zinc/25/99/00/718259900.db2.gz JJLAEXHWYDGKAT-ZJUUUORDSA-N -1 1 322.340 1.338 20 0 DDADMM CCCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000945121672 718402698 /nfs/dbraw/zinc/40/26/98/718402698.db2.gz JSIBJWMTQVCTHC-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM CSCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000945156039 718410517 /nfs/dbraw/zinc/41/05/17/718410517.db2.gz GUAZGFTUFCOJET-GHMZBOCLSA-N -1 1 323.418 1.117 20 0 DDADMM C[C@@H]1CN(C(=O)CC2CCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966273434 718494790 /nfs/dbraw/zinc/49/47/90/718494790.db2.gz QZEQABONDDHPIQ-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CCC(=O)N1CCC[C@@H](C)[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000945684932 718526011 /nfs/dbraw/zinc/52/60/11/718526011.db2.gz KOAFLDXVTUACGE-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)C2CC2)CC1 ZINC000967545276 718928632 /nfs/dbraw/zinc/92/86/32/718928632.db2.gz TVBLKENMACNDKX-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H](C)SC)C1 ZINC000967898753 719078553 /nfs/dbraw/zinc/07/85/53/719078553.db2.gz IYUGHASGFKHUDX-JTQLQIEISA-N -1 1 323.418 1.212 20 0 DDADMM C[C@@H](CC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000949541952 720150355 /nfs/dbraw/zinc/15/03/55/720150355.db2.gz SKRLVEMWRHGDCY-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CCCC(=O)N1CC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000950037143 720462582 /nfs/dbraw/zinc/46/25/82/720462582.db2.gz FFINXWRPAYETQB-LLVKDONJSA-N -1 1 318.377 1.190 20 0 DDADMM CCC(CC)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950093413 720487521 /nfs/dbraw/zinc/48/75/21/720487521.db2.gz OSNWYOREQXRZQS-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950344274 720587795 /nfs/dbraw/zinc/58/77/95/720587795.db2.gz NECWHKRBGXAENW-LCKPPEIMSA-N -1 1 303.362 1.474 20 0 DDADMM CC1(C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000951051279 720896307 /nfs/dbraw/zinc/89/63/07/720896307.db2.gz LEEJDFPKBFINAG-NSHDSACASA-N -1 1 303.362 1.308 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2cccs2)C1 ZINC000954124076 721723512 /nfs/dbraw/zinc/72/35/12/721723512.db2.gz KHPVOPFZOCKLNZ-UHFFFAOYSA-N -1 1 317.370 1.445 20 0 DDADMM C[C@H](O)C[C@H](C)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692850890 738534856 /nfs/dbraw/zinc/53/48/56/738534856.db2.gz ZEFMHHDVIGVMRZ-YUMQZZPRSA-N -1 1 310.778 1.559 20 0 DDADMM CCCC(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021441507 733205216 /nfs/dbraw/zinc/20/52/16/733205216.db2.gz JYSLREPPNMHWTM-NWDGAFQWSA-N -1 1 303.362 1.260 20 0 DDADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC12CCC2 ZINC001167343195 733213667 /nfs/dbraw/zinc/21/36/67/733213667.db2.gz KNHLWKOMSJSRCM-LLVKDONJSA-N -1 1 319.409 1.077 20 0 DDADMM Cc1nc([C@H](C)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])no1 ZINC001038195236 733213894 /nfs/dbraw/zinc/21/38/94/733213894.db2.gz UAYVQVKZUCCMEA-GXSJLCMTSA-N -1 1 317.349 1.044 20 0 DDADMM C[C@@H]1c2sccc2CCN1c1nnc(-c2nnn[n-]2)n1C ZINC001121268338 782433751 /nfs/dbraw/zinc/43/37/51/782433751.db2.gz MMCKSRYAHTVQGZ-SSDOTTSWSA-N -1 1 302.367 1.180 20 0 DDADMM C[C@@H]1c2sccc2CCN1c1nnc(-c2nn[n-]n2)n1C ZINC001121268338 782433756 /nfs/dbraw/zinc/43/37/56/782433756.db2.gz MMCKSRYAHTVQGZ-SSDOTTSWSA-N -1 1 302.367 1.180 20 0 DDADMM C[C@H]1CCC[C@]1(O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692887847 738943533 /nfs/dbraw/zinc/94/35/33/738943533.db2.gz GGNCBXBRZCPDID-UFBFGSQYSA-N -1 1 322.789 1.704 20 0 DDADMM CC(C)c1occc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019851820 736933479 /nfs/dbraw/zinc/93/34/79/736933479.db2.gz ZNSNZWAZQGVMNN-JTQLQIEISA-N -1 1 319.365 1.231 20 0 DDADMM C[C@@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692893988 738964478 /nfs/dbraw/zinc/96/44/78/738964478.db2.gz OCXIBEPTRHIPBQ-CPCISQLKSA-N -1 1 308.762 1.720 20 0 DDADMM CN(CCCN(C)c1cc(F)ncn1)C(=O)c1ncccc1[O-] ZINC001112081532 737564155 /nfs/dbraw/zinc/56/41/55/737564155.db2.gz VNWJMOLIUCBMGO-UHFFFAOYSA-N -1 1 319.340 1.315 20 0 DDADMM CC[C@@H](CCO)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692821133 738057954 /nfs/dbraw/zinc/05/79/54/738057954.db2.gz FWWSBOZGTJWEDU-QMMMGPOBSA-N -1 1 310.778 1.561 20 0 DDADMM O=C(NCC[NH2+]Cc1ccc(Cl)nc1)c1ncccc1[O-] ZINC001126119446 738325301 /nfs/dbraw/zinc/32/53/01/738325301.db2.gz RRVVLEFHUBUWGN-UHFFFAOYSA-N -1 1 306.753 1.355 20 0 DDADMM O=C(NCCNCc1coc(C2CC2)n1)c1ncccc1[O-] ZINC001126124601 738342499 /nfs/dbraw/zinc/34/24/99/738342499.db2.gz CLVHLKKTWGDQNG-UHFFFAOYSA-N -1 1 302.334 1.172 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)NC(=O)C(C)(C)C)n1 ZINC000585681555 738918776 /nfs/dbraw/zinc/91/87/76/738918776.db2.gz AYJLEYFFHBIGLF-MRVPVSSYSA-N -1 1 310.354 1.076 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2CCc2cccs2)c1[O-] ZINC001038164043 739246187 /nfs/dbraw/zinc/24/61/87/739246187.db2.gz DXWRXKZUBDVISR-LLVKDONJSA-N -1 1 320.418 1.532 20 0 DDADMM COc1cnnc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)c1 ZINC001167932289 739708196 /nfs/dbraw/zinc/70/81/96/739708196.db2.gz PNAOXMTZPGJGPZ-UHFFFAOYSA-N -1 1 321.273 1.570 20 0 DDADMM CC[C@@H](C)C(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514576 741088313 /nfs/dbraw/zinc/08/83/13/741088313.db2.gz GJSDQYBKFVLNIU-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM Cc1nc2nc(NC(=N)SCCS(=O)(=O)[O-])ccc2[nH]1 ZINC001168092916 741431233 /nfs/dbraw/zinc/43/12/33/741431233.db2.gz IMSPPAJHQJPCOG-UHFFFAOYSA-N -1 1 315.380 1.234 20 0 DDADMM CC[C@H](F)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088360948 741436788 /nfs/dbraw/zinc/43/67/88/741436788.db2.gz LYPBIOHNWNASRN-MNOVXSKESA-N -1 1 313.377 1.119 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(Cc3ccsc3)[C@@H]2C)c1[O-] ZINC001088524269 741695151 /nfs/dbraw/zinc/69/51/51/741695151.db2.gz OCUYQLZMUBCAKF-PWSUYJOCSA-N -1 1 320.418 1.878 20 0 DDADMM Cc1cocc1C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076246565 742619153 /nfs/dbraw/zinc/61/91/53/742619153.db2.gz YIDXVKYMOLSIJA-NSHDSACASA-N -1 1 317.345 1.627 20 0 DDADMM C[C@@H](CCNC(=O)Cc1ccoc1)NC(=O)c1ncccc1[O-] ZINC001076249519 742621078 /nfs/dbraw/zinc/62/10/78/742621078.db2.gz NQOGAZNSYDURQO-NSHDSACASA-N -1 1 317.345 1.248 20 0 DDADMM CC[C@@H](C)CC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244994 742624601 /nfs/dbraw/zinc/62/46/01/742624601.db2.gz JJDPUPWNJOFHQC-NEPJUHHUSA-N -1 1 307.394 1.848 20 0 DDADMM COC[C@@H](C)CC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244844 742624696 /nfs/dbraw/zinc/62/46/96/742624696.db2.gz CAJPTAWQRGVFCN-RYUDHWBXSA-N -1 1 323.393 1.084 20 0 DDADMM CC(C)CC(=O)N1CCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002083351 742867887 /nfs/dbraw/zinc/86/78/87/742867887.db2.gz PDBFXZACRFCQEC-CYBMUJFWSA-N -1 1 319.405 1.896 20 0 DDADMM CN1CCO[C@@H](C(=O)Nc2cccc(C(F)(F)F)c2[O-])C1 ZINC001180761059 742921433 /nfs/dbraw/zinc/92/14/33/742921433.db2.gz UITWJXSSVMGHBQ-SNVBAGLBSA-N -1 1 304.268 1.680 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(=O)CCc2ccccc2)c[n-]1 ZINC001180964176 743001300 /nfs/dbraw/zinc/00/13/00/743001300.db2.gz HSVJICGHHXVREG-UHFFFAOYSA-N -1 1 315.329 1.727 20 0 DDADMM Cc1cncc(C=CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC001168696962 743213960 /nfs/dbraw/zinc/21/39/60/743213960.db2.gz YTEPVUBHGHAJAU-XITLMJRVSA-N -1 1 320.356 1.822 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)[C@@H]1CCO1)c1ccccc1N ZINC001181590186 743256915 /nfs/dbraw/zinc/25/69/15/743256915.db2.gz VVIYUQVXZWLGGL-JTQLQIEISA-N -1 1 309.347 1.032 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)[C@@H]1CCO1)c1ccccc1N ZINC001181590186 743256918 /nfs/dbraw/zinc/25/69/18/743256918.db2.gz VVIYUQVXZWLGGL-JTQLQIEISA-N -1 1 309.347 1.032 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1ccc(=O)[nH]n1 ZINC001181715427 743306819 /nfs/dbraw/zinc/30/68/19/743306819.db2.gz VHVFMYSUFMQWFV-UHFFFAOYSA-N -1 1 311.095 1.755 20 0 DDADMM CSc1nc(NC(=O)[C@H]2CC(=O)N(CC3CC3)C2)cc(=O)[n-]1 ZINC001182453758 743632939 /nfs/dbraw/zinc/63/29/39/743632939.db2.gz IGVKTHCOQSBIIP-VIFPVBQESA-N -1 1 322.390 1.101 20 0 DDADMM O=C(Cc1occ2ccccc21)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001182503483 743649868 /nfs/dbraw/zinc/64/98/68/743649868.db2.gz AYZPACFPVIOSRX-UHFFFAOYSA-N -1 1 309.285 1.986 20 0 DDADMM Cc1ccc([C@@H](O)C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001183643993 743870225 /nfs/dbraw/zinc/87/02/25/743870225.db2.gz MOHDOIIFMRXXTC-SECBINFHSA-N -1 1 304.262 1.266 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@@H]3CCN3Cc3ccccc3)c1-2 ZINC001183744089 743903651 /nfs/dbraw/zinc/90/36/51/743903651.db2.gz BMHINCWBTJXSJG-ZDUSSCGKSA-N -1 1 322.372 1.248 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1CCc2[nH]c3ccc(F)cc3c2C1 ZINC001183791131 743911765 /nfs/dbraw/zinc/91/17/65/743911765.db2.gz RVFYBPVKJPWXDM-MRVPVSSYSA-N -1 1 314.324 1.241 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1nc(SC)ncc1O ZINC001185161236 744167946 /nfs/dbraw/zinc/16/79/46/744167946.db2.gz MGBUPUIEOOGNAL-UHFFFAOYSA-N -1 1 324.318 1.239 20 0 DDADMM COc1cccc(CNC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001186224555 744358888 /nfs/dbraw/zinc/35/88/88/744358888.db2.gz DMPYRNVARYJTDY-UHFFFAOYSA-N -1 1 314.305 1.389 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ccc([O-])c(F)c1)c1cccnc1 ZINC001186234736 744361219 /nfs/dbraw/zinc/36/12/19/744361219.db2.gz ZJQJXXKLHPATDH-CYBMUJFWSA-N -1 1 318.304 1.961 20 0 DDADMM O=C(N[C@H]1CCc2[nH]c(=O)ccc2C1)c1ccc([O-])c(F)c1 ZINC001186236609 744361664 /nfs/dbraw/zinc/36/16/64/744361664.db2.gz IIWYZQKIQMXKBO-NSHDSACASA-N -1 1 302.305 1.919 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cnc(-c2ccc(O)cc2)nc1 ZINC001187250384 744536279 /nfs/dbraw/zinc/53/62/79/744536279.db2.gz ORFLQLIMVLMUEF-UHFFFAOYSA-N -1 1 323.374 1.627 20 0 DDADMM COc1c(F)cc(F)cc1C[N-]S(=O)(=O)c1cnoc1C ZINC001187324382 744540000 /nfs/dbraw/zinc/54/00/00/744540000.db2.gz MGTPTNFOLZUWSZ-UHFFFAOYSA-N -1 1 318.301 1.748 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]Cc1cnc(Cl)c(C)c1 ZINC001187401802 744556833 /nfs/dbraw/zinc/55/68/33/744556833.db2.gz WZDRWKPWKOOIIS-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM O=S(=O)([N-]CC1(F)COC1)c1ccc(Cl)nc1Cl ZINC001187980527 744642250 /nfs/dbraw/zinc/64/22/50/744642250.db2.gz MVYUKTFSQPRZFR-UHFFFAOYSA-N -1 1 315.153 1.405 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1ncccn1 ZINC001187981241 744642793 /nfs/dbraw/zinc/64/27/93/744642793.db2.gz ZAHHATMQGYUHGN-UHFFFAOYSA-N -1 1 306.347 1.491 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1CC1)c1ccccc1OC ZINC001189001217 744807340 /nfs/dbraw/zinc/80/73/40/744807340.db2.gz MGEQIDKQNFXAIK-ZDUSSCGKSA-N -1 1 313.375 1.239 20 0 DDADMM Cc1ccc(N[C@@H](C)C[C@@H](C)NC(=O)c2ncccc2[O-])nn1 ZINC001089271154 744840673 /nfs/dbraw/zinc/84/06/73/744840673.db2.gz HZJJKPSQZRFPQO-NWDGAFQWSA-N -1 1 315.377 1.895 20 0 DDADMM Cc1nsc(N[C@H](C)C[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001089272591 744846736 /nfs/dbraw/zinc/84/67/36/744846736.db2.gz PRZWCJQDVGLECC-RKDXNWHRSA-N -1 1 321.406 1.956 20 0 DDADMM O=c1oc2cc(O)ccc2cc1[N-]S(=O)(=O)CCCF ZINC001189869874 745008124 /nfs/dbraw/zinc/00/81/24/745008124.db2.gz HCFVRASCEOOQQB-UHFFFAOYSA-N -1 1 301.295 1.600 20 0 DDADMM Cn1nc(-c2ccc(Cl)cc2)cc1C(=O)NCc1nn[n-]n1 ZINC001189951630 745062473 /nfs/dbraw/zinc/06/24/73/745062473.db2.gz RKOVCCOHGQARNJ-UHFFFAOYSA-N -1 1 317.740 1.184 20 0 DDADMM CCCc1nnsc1C(=O)Nc1nc(C(=O)OCC)c[n-]1 ZINC001190151148 745116844 /nfs/dbraw/zinc/11/68/44/745116844.db2.gz UVOWDXDFDWAHOL-UHFFFAOYSA-N -1 1 309.351 1.643 20 0 DDADMM CCOC(=O)CCNC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190267662 745168143 /nfs/dbraw/zinc/16/81/43/745168143.db2.gz MELOMQMLUHVZDH-UHFFFAOYSA-N -1 1 315.329 1.532 20 0 DDADMM CC1(C)[C@H](O)C[C@H]1NC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190270394 745169088 /nfs/dbraw/zinc/16/90/88/745169088.db2.gz PBHMSLUTFVUIJU-CHWSQXEVSA-N -1 1 313.357 1.738 20 0 DDADMM C[C@@H]1CN(C(=O)c2cnc(-c3ccccn3)[n-]c2=O)[C@@H](C)CO1 ZINC001190628185 745278467 /nfs/dbraw/zinc/27/84/67/745278467.db2.gz JEWCEDYLTHCYPM-WDEREUQCSA-N -1 1 314.345 1.494 20 0 DDADMM CSc1ncc(C(=O)Nc2cc(OCC3CC3)n[nH]2)c(=O)[n-]1 ZINC001191429750 745509124 /nfs/dbraw/zinc/50/91/24/745509124.db2.gz QDCNXIZSXBQGQK-UHFFFAOYSA-N -1 1 321.362 1.668 20 0 DDADMM CSc1ncc(C(=O)Nc2cnc3c(cnn3C)c2)c(=O)[n-]1 ZINC001191429724 745509553 /nfs/dbraw/zinc/50/95/53/745509553.db2.gz PECRMIUFRXKARD-UHFFFAOYSA-N -1 1 316.346 1.438 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc(N)cc2CCO)c(=O)[n-]1 ZINC001191436271 745511747 /nfs/dbraw/zinc/51/17/47/745511747.db2.gz CMINEISRIXSHNU-UHFFFAOYSA-N -1 1 320.374 1.273 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](O)C2CCCCC2)c(=O)[n-]1 ZINC001191436688 745512230 /nfs/dbraw/zinc/51/22/30/745512230.db2.gz IDRQGKZICDBTJE-NSHDSACASA-N -1 1 311.407 1.575 20 0 DDADMM CSc1ncc(C(=O)NCc2ccc3ocnc3c2)c(=O)[n-]1 ZINC001191439950 745512927 /nfs/dbraw/zinc/51/29/27/745512927.db2.gz JAUPRWLAKBVYJS-UHFFFAOYSA-N -1 1 316.342 1.975 20 0 DDADMM O=C1COc2cccc([N-]S(=O)(=O)CC3CCCC3)c2N1 ZINC001191527146 745534934 /nfs/dbraw/zinc/53/49/34/745534934.db2.gz SZMWEBCUHQGXIC-UHFFFAOYSA-N -1 1 310.375 1.949 20 0 DDADMM COc1ccnc(NCC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001106296814 745564713 /nfs/dbraw/zinc/56/47/13/745564713.db2.gz ZTQAXPZQHMQUIW-JTQLQIEISA-N -1 1 317.349 1.206 20 0 DDADMM O=S(=O)([N-]CCOc1ccccn1)C(Cl)(Cl)Cl ZINC001192049887 745671852 /nfs/dbraw/zinc/67/18/52/745671852.db2.gz MQTOEQSRUVMDGI-UHFFFAOYSA-N -1 1 319.597 1.708 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@]12CCCOC2)C(Cl)(Cl)Cl ZINC001192054300 745686339 /nfs/dbraw/zinc/68/63/39/745686339.db2.gz RPSPDVGDYHTICD-BQBZGAKWSA-N -1 1 308.614 1.803 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccnc(Cl)c1 ZINC001192512205 745801892 /nfs/dbraw/zinc/80/18/92/745801892.db2.gz KLRCAYWMQPQWNP-UHFFFAOYSA-N -1 1 302.743 1.092 20 0 DDADMM CN1C(=O)CC[C@@H]2CN(C(=O)c3c(F)ccc([O-])c3F)CC[C@@H]21 ZINC001192540218 745826979 /nfs/dbraw/zinc/82/69/79/745826979.db2.gz KMHMUKJHIMCHCB-KOLCDFICSA-N -1 1 324.327 1.753 20 0 DDADMM O=C([O-])COc1ccc(S(=O)(=O)Nc2cncs2)cc1 ZINC001192686600 745862959 /nfs/dbraw/zinc/86/29/59/745862959.db2.gz VROQUAMDTSSXKG-UHFFFAOYSA-N -1 1 314.344 1.407 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CC(=O)NC2(CCCC2)C1 ZINC001192665025 745868513 /nfs/dbraw/zinc/86/85/13/745868513.db2.gz IURLPKZFDBBTFO-UHFFFAOYSA-N -1 1 310.300 1.555 20 0 DDADMM COC(=O)[C@]1(C)COCCN1C(=O)c1cc([O-])cc(F)c1F ZINC001192665985 745869211 /nfs/dbraw/zinc/86/92/11/745869211.db2.gz ZWGGCZZMFQCRLC-AWEZNQCLSA-N -1 1 315.272 1.075 20 0 DDADMM CC(C)c1cc2ncc([N-]S(=O)(=O)C[C@@H]3CCCO3)cn2n1 ZINC001193213815 746016647 /nfs/dbraw/zinc/01/66/47/746016647.db2.gz PCUGYBALPORCMR-LBPRGKRZSA-N -1 1 324.406 1.773 20 0 DDADMM O=C(Nc1n[nH]c2ncc(Br)cc12)c1cc(=O)[nH][n-]1 ZINC001193461308 746108658 /nfs/dbraw/zinc/10/86/58/746108658.db2.gz MJZOYBYCZUIUBH-UHFFFAOYSA-N -1 1 323.110 1.401 20 0 DDADMM CCCCCCC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001193847311 746218146 /nfs/dbraw/zinc/21/81/46/746218146.db2.gz XQBNFOZTKFVHHU-CYBMUJFWSA-N -1 1 323.441 1.112 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2[nH]c(=O)oc2c1 ZINC001193924666 746239211 /nfs/dbraw/zinc/23/92/11/746239211.db2.gz RMQFANMYHOCTBQ-UHFFFAOYSA-N -1 1 305.315 1.916 20 0 DDADMM Cc1cc(N(C(=N)N)C(=O)c2cnc(C(F)(F)F)[n-]2)n[nH]1 ZINC001194792353 746456375 /nfs/dbraw/zinc/45/63/75/746456375.db2.gz VUONMMSIEHOQJP-UHFFFAOYSA-N -1 1 301.232 1.000 20 0 DDADMM Cc1cc(N(C(=N)N)C(=O)c2c[n-]c(C(F)(F)F)n2)n[nH]1 ZINC001194792353 746456377 /nfs/dbraw/zinc/45/63/77/746456377.db2.gz VUONMMSIEHOQJP-UHFFFAOYSA-N -1 1 301.232 1.000 20 0 DDADMM C[Si](C)(C)CCS(=O)(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001195093238 746526703 /nfs/dbraw/zinc/52/67/03/746526703.db2.gz YPBRRKWCECPQQF-UHFFFAOYSA-N -1 1 315.431 1.138 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(Cl)cncc2OC)n1 ZINC001195227560 746553580 /nfs/dbraw/zinc/55/35/80/746553580.db2.gz NHWVSICANTYWFX-UHFFFAOYSA-N -1 1 324.724 1.896 20 0 DDADMM COc1cncc(Cl)c1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001195239407 746563400 /nfs/dbraw/zinc/56/34/00/746563400.db2.gz MVGSZRFKOTZRNS-UHFFFAOYSA-N -1 1 320.696 1.368 20 0 DDADMM COC[C@@H]1CN(C(=O)c2nc(C)ccc2[O-])Cc2cn(C)nc21 ZINC001195321581 746564785 /nfs/dbraw/zinc/56/47/85/746564785.db2.gz GDDGZYOCGOSMBS-LBPRGKRZSA-N -1 1 316.361 1.215 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1C[C@H](CO)CC(F)(F)C1 ZINC001195299879 746570877 /nfs/dbraw/zinc/57/08/77/746570877.db2.gz VGNTZZKFKMNCQO-SSDOTTSWSA-N -1 1 306.696 1.530 20 0 DDADMM COC(=O)[C@@H]1CCCN(C(=O)c2cc([O-])cnc2Cl)[C@@H]1C ZINC001195308914 746573002 /nfs/dbraw/zinc/57/30/02/746573002.db2.gz MBSXFHKSJCBUIO-PSASIEDQSA-N -1 1 312.753 1.854 20 0 DDADMM CN1C(=O)CC[C@H]2CN(C(=O)c3cc([O-])cnc3Cl)CC[C@H]21 ZINC001195309952 746573083 /nfs/dbraw/zinc/57/30/83/746573083.db2.gz XSFXCZYSBSIZJQ-JOYOIKCWSA-N -1 1 323.780 1.524 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cnc(Br)nc1 ZINC001195455547 746605403 /nfs/dbraw/zinc/60/54/03/746605403.db2.gz YOECMHVMGOROMK-UHFFFAOYSA-N -1 1 305.157 1.682 20 0 DDADMM CO[C@@H](CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S)C(F)(F)F ZINC001123660716 746690126 /nfs/dbraw/zinc/69/01/26/746690126.db2.gz VZYRPIYXUIBWJT-YFKPBYRVSA-N -1 1 311.285 1.086 20 0 DDADMM Cn1cc(NC(=O)c2c[nH]c(=S)[n-]c2=O)c(C(F)(F)F)n1 ZINC001196008884 746754945 /nfs/dbraw/zinc/75/49/45/746754945.db2.gz LBMVOKKDTSSORW-UHFFFAOYSA-N -1 1 319.268 1.476 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC(n2ccnc2)CC1 ZINC001196016278 746757295 /nfs/dbraw/zinc/75/72/95/746757295.db2.gz RRGWPCSPDKNPDB-UHFFFAOYSA-N -1 1 305.363 1.145 20 0 DDADMM Cc1cccc2ncc(NC(=O)c3c[nH]c(=S)[n-]c3=O)n21 ZINC001196015030 746757387 /nfs/dbraw/zinc/75/73/87/746757387.db2.gz WDHZPFGPFPTBIX-UHFFFAOYSA-N -1 1 301.331 1.679 20 0 DDADMM O=C(N[C@@H]1COCc2ccccc21)c1c[nH]c(=S)[n-]c1=O ZINC001196023513 746760026 /nfs/dbraw/zinc/76/00/26/746760026.db2.gz JWUXQHOQYOCVJP-LLVKDONJSA-N -1 1 303.343 1.472 20 0 DDADMM Cn1cnc([N-]C(=O)c2cc(-c3ccncc3)on2)c2ncnc1-2 ZINC001196210858 746799126 /nfs/dbraw/zinc/79/91/26/746799126.db2.gz XQDVCKKFMKVGMP-UHFFFAOYSA-N -1 1 321.300 1.617 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ccnc(Cl)c2)sn1 ZINC001212496767 746969465 /nfs/dbraw/zinc/96/94/65/746969465.db2.gz CEXBFFYEBVOARO-UHFFFAOYSA-N -1 1 303.796 1.978 20 0 DDADMM O=c1[nH]ncc([N-]S(=O)(=O)Cc2cccnc2)c1Cl ZINC001197422773 747159669 /nfs/dbraw/zinc/15/96/69/747159669.db2.gz PNLMPKGRZJQBHI-UHFFFAOYSA-N -1 1 300.727 1.173 20 0 DDADMM Cc1n[nH]c(C(=O)NCC2CN(Cc3ccc(C)cc3)C2)c1[O-] ZINC001031617114 747199025 /nfs/dbraw/zinc/19/90/25/747199025.db2.gz UKHTWQXYCCFHKU-UHFFFAOYSA-N -1 1 314.389 1.594 20 0 DDADMM Cc1cn2cccc([N-]S(=O)(=O)c3cnc(C)n3C)c2n1 ZINC001198354211 747475026 /nfs/dbraw/zinc/47/50/26/747475026.db2.gz PXBCHSFLJWQLFK-UHFFFAOYSA-N -1 1 305.363 1.485 20 0 DDADMM Cc1oc2cc(O)ccc2c1C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001198614303 747549173 /nfs/dbraw/zinc/54/91/73/747549173.db2.gz XABYPPSYEZDTKV-UHFFFAOYSA-N -1 1 316.273 1.483 20 0 DDADMM CC(C)Oc1cccc(C(=O)NCCCC[P@](=O)([O-])O)n1 ZINC001199045941 747685457 /nfs/dbraw/zinc/68/54/57/747685457.db2.gz DHLOMWKTINBDFB-UHFFFAOYSA-N -1 1 316.294 1.557 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccn(C4CCC4)c3=O)c1-2 ZINC001199485560 747874519 /nfs/dbraw/zinc/87/45/19/747874519.db2.gz RTORKKWZEMQBOL-UHFFFAOYSA-N -1 1 324.344 1.174 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=S)Nc1ncc(Cl)cc1[O-] ZINC001199807810 748004516 /nfs/dbraw/zinc/00/45/16/748004516.db2.gz NRAKELLQWGLIKC-ZCFIWIBFSA-N -1 1 303.771 1.679 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=S)Nc1c(F)cc([O-])cc1F ZINC001199844892 748017532 /nfs/dbraw/zinc/01/75/32/748017532.db2.gz XHXDXSAHHAGVQK-ZCFIWIBFSA-N -1 1 304.318 1.908 20 0 DDADMM CCc1ccccc1NC(=S)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001201153936 748422081 /nfs/dbraw/zinc/42/20/81/748422081.db2.gz KQENYQFIRKFNOU-SECBINFHSA-N -1 1 322.415 1.071 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2cncc(O)c2)c1 ZINC001201650017 748571597 /nfs/dbraw/zinc/57/15/97/748571597.db2.gz ZAZKNCSZCIPNAF-UHFFFAOYSA-N -1 1 309.303 1.503 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1CCCC(=O)NC1 ZINC001201764419 748597153 /nfs/dbraw/zinc/59/71/53/748597153.db2.gz MFNRTWVQNBXQKT-ZETCQYMHSA-N -1 1 323.827 1.052 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1ccco1 ZINC001004656629 748627617 /nfs/dbraw/zinc/62/76/17/748627617.db2.gz KCEPUAKEXQLWNC-QWRGUYRKSA-N -1 1 319.365 1.276 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)C(C)(F)F ZINC001004669066 748637217 /nfs/dbraw/zinc/63/72/17/748637217.db2.gz MVFHGQFESZZYFC-DTWKUNHWSA-N -1 1 317.340 1.025 20 0 DDADMM CCC(CC)C(=O)N1CCCC[C@@H]1[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004734152 748693537 /nfs/dbraw/zinc/69/35/37/748693537.db2.gz RXLKMSCIGLEYNE-DGCLKSJQSA-N -1 1 323.441 1.806 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001004755686 748738920 /nfs/dbraw/zinc/73/89/20/748738920.db2.gz XCVLRUOXLGMGNT-CHWSQXEVSA-N -1 1 317.389 1.720 20 0 DDADMM C/C=C(\C)C(=O)N1CCCC[C@@H]1[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004804477 748812201 /nfs/dbraw/zinc/81/22/01/748812201.db2.gz MXGNMKSCQVPPTF-LGSVWZNTSA-N -1 1 307.398 1.336 20 0 DDADMM C[C@@H](CNc1cncc(Cl)n1)NC(=O)c1ncccc1[O-] ZINC001108323023 761933120 /nfs/dbraw/zinc/93/31/20/761933120.db2.gz GOAXTRQVGFKSSF-QMMMGPOBSA-N -1 1 307.741 1.461 20 0 DDADMM CC[C@@H](C)C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996141572 749393277 /nfs/dbraw/zinc/39/32/77/749393277.db2.gz FSHVRXPDLCJEOQ-NDBYEHHHSA-N -1 1 317.389 1.695 20 0 DDADMM CC1(C)CN(C(=O)[C@]2(C)C=CCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996147668 749396492 /nfs/dbraw/zinc/39/64/92/749396492.db2.gz ZQXUDHIXWCSTDC-MEDUHNTESA-N -1 1 319.409 1.193 20 0 DDADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C/C=C/Cl)c1ncccc1[O-] ZINC001095344149 749583050 /nfs/dbraw/zinc/58/30/50/749583050.db2.gz KGBLGDSOACCIKU-NBGZAXBNSA-N -1 1 307.781 1.875 20 0 DDADMM C[C@H]1C[C@H]1C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066704554 749771588 /nfs/dbraw/zinc/77/15/88/749771588.db2.gz PPIWSMCUAWDSIW-NWDGAFQWSA-N -1 1 305.378 1.364 20 0 DDADMM C[C@]1(CNC(=O)c2ncccc2[O-])C[N@@H+](CC2CCC2)CCO1 ZINC001107890724 750570397 /nfs/dbraw/zinc/57/03/97/750570397.db2.gz UOPQLHZQLARONJ-KRWDZBQOSA-N -1 1 319.405 1.408 20 0 DDADMM Cc1cccc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001034848002 750963758 /nfs/dbraw/zinc/96/37/58/750963758.db2.gz JLRNAZCFTJYNKU-CYBMUJFWSA-N -1 1 315.377 1.213 20 0 DDADMM CC1(C)CC(CC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001035024946 751149593 /nfs/dbraw/zinc/14/95/93/751149593.db2.gz XVBXHQCHKPVIQG-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H]1CCCN(C(=O)C2CCC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036483376 752505910 /nfs/dbraw/zinc/50/59/10/752505910.db2.gz DLDMKPXVUHVWBF-ZYHUDNBSSA-N -1 1 307.398 1.027 20 0 DDADMM CC[C@@H](F)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008063529 752527713 /nfs/dbraw/zinc/52/77/13/752527713.db2.gz JTIRIVWBCDAAFC-PWSUYJOCSA-N -1 1 323.368 1.502 20 0 DDADMM CC(C)(C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008084693 752540894 /nfs/dbraw/zinc/54/08/94/752540894.db2.gz PXBJVZJOXNQPGZ-LBPRGKRZSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)(C)CC(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036641848 752614671 /nfs/dbraw/zinc/61/46/71/752614671.db2.gz HMJPMSKDFVDGGQ-NWDGAFQWSA-N -1 1 321.425 1.369 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC2(C)C)C1 ZINC001005947668 753407604 /nfs/dbraw/zinc/40/76/04/753407604.db2.gz AZCUQSFZKXKIRJ-PWSUYJOCSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)CC1CC1 ZINC001009961277 753504902 /nfs/dbraw/zinc/50/49/02/753504902.db2.gz RYVNTUCWZZTGOK-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CC/C=C(/C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839275 753760011 /nfs/dbraw/zinc/76/00/11/753760011.db2.gz KDSMQVUCOLPJLW-SDQBBNPISA-N -1 1 317.389 1.912 20 0 DDADMM CCCC1(C(=O)NCC[C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001078246583 753886609 /nfs/dbraw/zinc/88/66/09/753886609.db2.gz JKYULZFAOJMHHE-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM O=C(NCC1(NC(=O)c2ncccc2[O-])CCC1)c1cc[nH]c1 ZINC001063315871 754086017 /nfs/dbraw/zinc/08/60/17/754086017.db2.gz BDQPVACXPOQPPZ-UHFFFAOYSA-N -1 1 314.345 1.198 20 0 DDADMM Cc1cc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001010717800 754120944 /nfs/dbraw/zinc/12/09/44/754120944.db2.gz GVSADVNHNXQERE-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM C[C@H](c1cnccn1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010731708 754128678 /nfs/dbraw/zinc/12/86/78/754128678.db2.gz KXGSCRQEPXJEFH-NEPJUHHUSA-N -1 1 313.361 1.143 20 0 DDADMM CC1(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CCC1 ZINC001078699967 754301831 /nfs/dbraw/zinc/30/18/31/754301831.db2.gz DVMLFROUKZIQRS-MNOVXSKESA-N -1 1 319.409 1.218 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)C2(F)CCCC2)CC1 ZINC001011037915 754320871 /nfs/dbraw/zinc/32/08/71/754320871.db2.gz SQQYSZUOMRKWMH-UHFFFAOYSA-N -1 1 321.352 1.354 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064381844 754658134 /nfs/dbraw/zinc/65/81/34/754658134.db2.gz OIALFCRLXFXZIH-VXGBXAGGSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C2(C)CCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011681733 754674512 /nfs/dbraw/zinc/67/45/12/754674512.db2.gz XPPCOLFROSKVLS-VXGBXAGGSA-N -1 1 317.389 1.697 20 0 DDADMM Cc1cc(N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)ncn1 ZINC001067075533 755686521 /nfs/dbraw/zinc/68/65/21/755686521.db2.gz HWJBWOKLOCPIIA-PWSUYJOCSA-N -1 1 313.361 1.458 20 0 DDADMM CCN(C(=O)C[C@@H]1C[C@@H]1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080453633 755920098 /nfs/dbraw/zinc/92/00/98/755920098.db2.gz QUXAIUSPYUUHQR-RYUDHWBXSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])Nc1ccnc(C2CC2)n1 ZINC001097764404 757508810 /nfs/dbraw/zinc/50/88/10/757508810.db2.gz FXOSCBMJXBAYBK-SNVBAGLBSA-N -1 1 313.361 1.685 20 0 DDADMM CCc1nc(C)cc(N[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097764558 757509261 /nfs/dbraw/zinc/50/92/61/757509261.db2.gz IXRYZIDSTSXUNQ-NSHDSACASA-N -1 1 315.377 1.678 20 0 DDADMM CCCC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001014891040 757536640 /nfs/dbraw/zinc/53/66/40/757536640.db2.gz AEMPXPQTDUBBIE-NEPJUHHUSA-N -1 1 305.378 1.697 20 0 DDADMM CN(C(=O)[C@@H]1CC12CC2)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001017098838 757635431 /nfs/dbraw/zinc/63/54/31/757635431.db2.gz KXAQDCPIQIBDGP-MCIGGMRASA-N -1 1 315.373 1.307 20 0 DDADMM CC[C@H](C)CN1CC2(C1)C[C@@H](NC(=O)c1[nH]nc(C)c1[O-])CO2 ZINC001053774608 758796829 /nfs/dbraw/zinc/79/68/29/758796829.db2.gz GXDFDXTWSNTBRF-CMPLNLGQSA-N -1 1 322.409 1.043 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NCc1cscn1 ZINC001054604409 759879279 /nfs/dbraw/zinc/87/92/79/759879279.db2.gz JAIFOOLRBVWBBN-CMPLNLGQSA-N -1 1 318.402 1.494 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1C ZINC001019729571 760211637 /nfs/dbraw/zinc/21/16/37/760211637.db2.gz XNHWQTUBCLOCJI-ZDUSSCGKSA-N -1 1 315.377 1.131 20 0 DDADMM O=C(NC[C@@H]1CCN1Cc1ccc(F)cn1)c1ncccc1[O-] ZINC001038190176 760905846 /nfs/dbraw/zinc/90/58/46/760905846.db2.gz AMOXAZPSSPYJDI-ZDUSSCGKSA-N -1 1 316.336 1.326 20 0 DDADMM O=C(CCCF)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066400013 761001517 /nfs/dbraw/zinc/00/15/17/761001517.db2.gz HUOVZZMFYHMJAV-LBPRGKRZSA-N -1 1 323.368 1.648 20 0 DDADMM CSc1ccnc(N(C)CCNC(=O)c2ncccc2[O-])n1 ZINC001100073092 761510654 /nfs/dbraw/zinc/51/06/54/761510654.db2.gz UWIWCDSJZLESGK-UHFFFAOYSA-N -1 1 319.390 1.165 20 0 DDADMM CCC1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001001542776 762947191 /nfs/dbraw/zinc/94/71/91/762947191.db2.gz ZIMLHXIEWDUTBY-UHFFFAOYSA-N -1 1 303.362 1.166 20 0 DDADMM CCCCC(=O)N[C@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532060 763718347 /nfs/dbraw/zinc/71/83/47/763718347.db2.gz WOTGNRGDHBBFDS-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)N1CCn2ncc(CNC(=O)c3ncccc3[O-])c2C1 ZINC001069858912 768191193 /nfs/dbraw/zinc/19/11/93/768191193.db2.gz WAFOKZKLHJQSCS-UHFFFAOYSA-N -1 1 315.377 1.138 20 0 DDADMM CC(C)(C)CCN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001050890141 764239094 /nfs/dbraw/zinc/23/90/94/764239094.db2.gz CLPJSHXLAOCHDP-ZDUSSCGKSA-N -1 1 321.421 1.654 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C2CN(CC3CCCCC3)C2)c1[O-] ZINC001042620662 764280628 /nfs/dbraw/zinc/28/06/28/764280628.db2.gz QVTVOKAMZMZOED-UHFFFAOYSA-N -1 1 306.410 1.760 20 0 DDADMM Cc1cc(N(C)[C@H](C)CNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001113575448 765897181 /nfs/dbraw/zinc/89/71/81/765897181.db2.gz VRZUPHZLUPNSFV-LLVKDONJSA-N -1 1 315.377 1.449 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(-n4cccc4)nn3)c2[nH]1 ZINC001170212821 766172709 /nfs/dbraw/zinc/17/27/09/766172709.db2.gz CBAYKZHVUHDFLR-UHFFFAOYSA-N -1 1 310.277 1.040 20 0 DDADMM Cc1nc2ncc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)nc2s1 ZINC001170216926 766175243 /nfs/dbraw/zinc/17/52/43/766175243.db2.gz GSDIFKXHXJTTIA-UHFFFAOYSA-N -1 1 316.306 1.167 20 0 DDADMM COC(=O)c1nc(Nc2[n-]c(=O)nc3nc[nH]c32)ccc1C ZINC001170224199 766196977 /nfs/dbraw/zinc/19/69/77/766196977.db2.gz ULYKDCSNUDVYTF-UHFFFAOYSA-N -1 1 300.278 1.292 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCc1ccccc1O ZINC001137470089 766304293 /nfs/dbraw/zinc/30/42/93/766304293.db2.gz HECQCVVHBGBCEK-UHFFFAOYSA-N -1 1 305.359 1.457 20 0 DDADMM COc1cc(OC)nc(C(=O)[N-]c2ccnc(Cl)c2F)n1 ZINC001129621153 766951586 /nfs/dbraw/zinc/95/15/86/766951586.db2.gz PEYSTYRTPUICPM-UHFFFAOYSA-N -1 1 312.688 1.934 20 0 DDADMM Cc1ccc(N[C@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)nn1 ZINC001068822750 767639950 /nfs/dbraw/zinc/63/99/50/767639950.db2.gz LYIPGTMOFZMWDY-NEPJUHHUSA-N -1 1 313.361 1.601 20 0 DDADMM CC/C=C(\C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070499792 768530440 /nfs/dbraw/zinc/53/04/40/768530440.db2.gz FZOBHOBNVQRIMZ-XEVNVYFWSA-N -1 1 317.389 1.864 20 0 DDADMM O=C(NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001048495994 769455227 /nfs/dbraw/zinc/45/52/27/769455227.db2.gz ZPAWTRCFNDFKMC-LMKPVCQUSA-N -1 1 319.409 1.170 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1nnc(-c2ccccn2)s1 ZINC001136359754 771945651 /nfs/dbraw/zinc/94/56/51/771945651.db2.gz VIXVNPBCYCCADS-UHFFFAOYSA-N -1 1 316.350 1.075 20 0 DDADMM C[C@H]1CN(C2CN(Cc3cc(F)c([O-])cc3F)C2)C[C@H](C)O1 ZINC001144663248 772590041 /nfs/dbraw/zinc/59/00/41/772590041.db2.gz APMKLKLUPQEESI-QWRGUYRKSA-N -1 1 312.360 1.964 20 0 DDADMM CN(C)c1nc(NC(=O)c2cc(C3CC3)on2)c(N=O)c(=O)[n-]1 ZINC001146917556 772989384 /nfs/dbraw/zinc/98/93/84/772989384.db2.gz PLXGKDGOVJLTNM-UHFFFAOYSA-N -1 1 318.293 1.764 20 0 DDADMM CC(Cl)(Cl)C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001147000515 772998064 /nfs/dbraw/zinc/99/80/64/772998064.db2.gz KMRYGKGTCZMCRM-UHFFFAOYSA-N -1 1 316.148 1.911 20 0 DDADMM CN(C)c1nc(NC(=O)c2cnc(Cl)nc2)c(N=O)c(=O)[n-]1 ZINC001147718786 773210215 /nfs/dbraw/zinc/21/02/15/773210215.db2.gz QHBAAYSBTILFLU-UHFFFAOYSA-N -1 1 323.700 1.342 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CC[C@@](C)(F)[C@H](F)C2)c1[O-] ZINC001147836673 773263403 /nfs/dbraw/zinc/26/34/03/773263403.db2.gz OOZIGKIRGZNSIS-QMTHXVAHSA-N -1 1 300.305 1.500 20 0 DDADMM Cc1ccc(C(N)=O)cc1NC(=O)c1c([O-])c(C)ncc1CO ZINC001147841108 773265424 /nfs/dbraw/zinc/26/54/24/773265424.db2.gz OJVBWCKVINSUBI-UHFFFAOYSA-N -1 1 315.329 1.248 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCCc3cc[nH]n3)cc2)[n-]1 ZINC001148336044 773440419 /nfs/dbraw/zinc/44/04/19/773440419.db2.gz KRWLLAHYXNLMGH-UHFFFAOYSA-N -1 1 311.345 1.495 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N[C@@H]3CCC[C@@H]3O)cc2)[n-]1 ZINC001148336594 773440735 /nfs/dbraw/zinc/44/07/35/773440735.db2.gz PJEPRTYJAAWLSF-KGLIPLIRSA-N -1 1 301.346 1.442 20 0 DDADMM C[C@@H](O)[C@@H](CO)NC(=O)c1cccc(-c2ccccc2)c1[O-] ZINC001148860925 773619436 /nfs/dbraw/zinc/61/94/36/773619436.db2.gz BZFMBHSOSHGFCR-IAQYHMDHSA-N -1 1 301.342 1.531 20 0 DDADMM C[C@@]1(O)C[C@H](NC(=O)c2cc([O-])c(Br)c(O)c2)C1 ZINC001148989299 773666652 /nfs/dbraw/zinc/66/66/52/773666652.db2.gz BIMYIDWZPOCYRI-OGXFKERWSA-N -1 1 316.151 1.504 20 0 DDADMM Cc1nc(NCCNC(=O)c2ccccc2O)c(C)c(C)[nH+]1 ZINC001093478894 774726148 /nfs/dbraw/zinc/72/61/48/774726148.db2.gz KHSQJCISBLIRKI-UHFFFAOYSA-N -1 1 300.362 1.949 20 0 DDADMM Cc1cccc2c1CC[C@H](Nc1[nH]c(=O)nc3[n-]c(=O)[nH]c31)C2 ZINC001171555700 776342300 /nfs/dbraw/zinc/34/23/00/776342300.db2.gz AEAFVDLILOCWGB-JTQLQIEISA-N -1 1 311.345 1.994 20 0 DDADMM CCC(C)(C)CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102001183 777783931 /nfs/dbraw/zinc/78/39/31/777783931.db2.gz ARBMWAHDUHFQOP-VXGBXAGGSA-N -1 1 323.441 1.521 20 0 DDADMM O=C(CCc1nc2ccccc2o1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001175552837 777838712 /nfs/dbraw/zinc/83/87/12/777838712.db2.gz PBAOIIHCSMFQEP-JTQLQIEISA-N -1 1 312.333 1.290 20 0 DDADMM O=C(c1ccc2[n-]c(=S)oc2c1)N1CC[C@H](c2nn[nH]n2)C1 ZINC001175553157 777838798 /nfs/dbraw/zinc/83/87/98/777838798.db2.gz UADWEHNMHMIECH-QMMMGPOBSA-N -1 1 316.346 1.259 20 0 DDADMM Cc1nc(N)ncc1[N-]S(=O)(=O)c1ccc(N)c(Cl)c1 ZINC001175670034 777870674 /nfs/dbraw/zinc/87/06/74/777870674.db2.gz SAIBUQJCWRASTE-UHFFFAOYSA-N -1 1 313.770 1.404 20 0 DDADMM CC1CC(C(=O)NCC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)C1 ZINC001102845851 778443316 /nfs/dbraw/zinc/44/33/16/778443316.db2.gz PVYUJJRDSKTLMV-QZQSVVMZSA-N -1 1 321.425 1.131 20 0 DDADMM O=C(C=Cc1cc(F)cc(F)c1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001179568868 779408205 /nfs/dbraw/zinc/40/82/05/779408205.db2.gz XDOFMGNQNNUYRH-OWOJBTEDSA-N -1 1 317.255 1.989 20 0 DDADMM O=C(Cc1ccccc1Oc1ccccc1)NCc1nn[n-]n1 ZINC001179876966 779534395 /nfs/dbraw/zinc/53/43/95/779534395.db2.gz KOMYPLQNDQCGAG-UHFFFAOYSA-N -1 1 309.329 1.851 20 0 DDADMM COc1ccccc1C=CC(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001180528555 779801743 /nfs/dbraw/zinc/80/17/43/779801743.db2.gz IIBQSQSADVYCRU-VOTSOKGWSA-N -1 1 302.290 1.131 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCCn1ccnc1 ZINC001116242243 780488341 /nfs/dbraw/zinc/48/83/41/780488341.db2.gz LJJOIGURNLIAAT-UHFFFAOYSA-N -1 1 307.379 1.229 20 0 DDADMM O=C(Cn1nc2n(c1=O)CCCCC2)Nc1ccc(F)cc1[O-] ZINC001116305123 780495490 /nfs/dbraw/zinc/49/54/90/780495490.db2.gz XIKFQXDGQNPPOF-UHFFFAOYSA-N -1 1 320.324 1.255 20 0 DDADMM CC[C@@](C)(O)C[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001116470649 780512640 /nfs/dbraw/zinc/51/26/40/780512640.db2.gz YPJAEPGKPGQXBW-SNVBAGLBSA-N -1 1 313.206 1.828 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nnc(Cc3cccnc3)o2)co1 ZINC001117264962 780699318 /nfs/dbraw/zinc/69/93/18/780699318.db2.gz KZYPEZIWZWUABB-UHFFFAOYSA-N -1 1 320.330 1.224 20 0 DDADMM CC(C)CONC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001119036843 781306066 /nfs/dbraw/zinc/30/60/66/781306066.db2.gz CRQHIUFQKSBKJR-NSHDSACASA-N -1 1 311.304 1.427 20 0 DDADMM CCCCNC(=O)[C@@H]1CC[C@@H](C)N(Cc2cc(C(=O)[O-])n[nH]2)C1 ZINC001119539841 781501544 /nfs/dbraw/zinc/50/15/44/781501544.db2.gz JDKOMNUBCOENLB-VXGBXAGGSA-N -1 1 322.409 1.625 20 0 DDADMM CC(C)N(CCCNC(=O)[C@@H]1CCC1(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001267505537 838203904 /nfs/dbraw/zinc/20/39/04/838203904.db2.gz ATRVXNIKXNIASE-LBPRGKRZSA-N -1 1 323.441 1.663 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2ccccc2F)sn1 ZINC001414205383 840511712 /nfs/dbraw/zinc/51/17/12/840511712.db2.gz PTOZGCXINIRBLW-UHFFFAOYSA-N -1 1 302.352 1.769 20 0 DDADMM C/C=C(\C)C(=O)N[C@]1(CNCc2n[nH]c(=O)[n-]2)CCCC[C@@H]1C ZINC001269656911 841902463 /nfs/dbraw/zinc/90/24/63/841902463.db2.gz HFNHIWRMNCYZAB-FFHRKCOQSA-N -1 1 321.425 1.631 20 0 DDADMM CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1cc(Cl)ccc1[O-] ZINC001269687488 841941506 /nfs/dbraw/zinc/94/15/06/841941506.db2.gz SVSWWIAJLQOEFW-VXGBXAGGSA-N -1 1 308.765 1.881 20 0 DDADMM CN1C[C@]2(CCN(C(=O)c3cc(Cl)ccc3[O-])C2)OCC1=O ZINC001269688151 841942418 /nfs/dbraw/zinc/94/24/18/841942418.db2.gz ZYJXQXOOLYSOQY-HNNXBMFYSA-N -1 1 324.764 1.119 20 0 DDADMM CC/C=C(\C)C(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001272195205 844696466 /nfs/dbraw/zinc/69/64/66/844696466.db2.gz NHDKYWCXMYHNLX-UDLQBDOPSA-N -1 1 319.409 1.289 20 0 DDADMM Cc1nocc1CN[C@H](C)[C@@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001409337268 844940935 /nfs/dbraw/zinc/94/09/35/844940935.db2.gz PDHNDHFUOJJAFX-HTQZYQBOSA-N -1 1 307.354 1.017 20 0 DDADMM CS[C@H](C)C(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001409664887 845524140 /nfs/dbraw/zinc/52/41/40/845524140.db2.gz SNBIPEWSFCWORO-VHSXEESVSA-N -1 1 311.407 1.021 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cccc(O)c1F ZINC001149500048 861661429 /nfs/dbraw/zinc/66/14/29/861661429.db2.gz FVRLLHSAUVDOCY-ZDUSSCGKSA-N -1 1 313.292 1.564 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cccc2ccsc21 ZINC001149511938 861669021 /nfs/dbraw/zinc/66/90/21/861669021.db2.gz GDHGSEPDJHRURU-UHFFFAOYSA-N -1 1 302.315 1.937 20 0 DDADMM O=C(c1nccc2cccnc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001155085093 861713399 /nfs/dbraw/zinc/71/33/99/861713399.db2.gz HFJZUTNWSMNTEL-LLVKDONJSA-N -1 1 309.333 1.163 20 0 DDADMM CN1C[C@@H](CNC(=O)c2cc3cccc(O)c3cc2[O-])CC1=O ZINC001149653785 861787074 /nfs/dbraw/zinc/78/70/74/861787074.db2.gz QSSBXELLWZQZTQ-SNVBAGLBSA-N -1 1 314.341 1.459 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2[n-][nH]c3cc(=O)ccc2-3)[nH]1 ZINC001155272865 861919270 /nfs/dbraw/zinc/91/92/70/861919270.db2.gz BUSITYUEZHWELJ-UHFFFAOYSA-N -1 1 314.301 1.313 20 0 DDADMM O=C(NCCO[C@H]1CCCCO1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273508 861923504 /nfs/dbraw/zinc/92/35/04/861923504.db2.gz PYUNPUQFVOTJFE-ZDUSSCGKSA-N -1 1 305.334 1.542 20 0 DDADMM CCO[C@@H](CC[N-]S(=O)(=O)c1csnc1OC)C(C)C ZINC001364812556 848802114 /nfs/dbraw/zinc/80/21/14/848802114.db2.gz FBHRDUZZFOQBHE-JTQLQIEISA-N -1 1 322.452 1.881 20 0 DDADMM Cc1csc(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])c1 ZINC001410202390 848893337 /nfs/dbraw/zinc/89/33/37/848893337.db2.gz LCMVMRCWNQAWKW-SNVBAGLBSA-N -1 1 319.386 1.705 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1CCCC12CC2)NC(=O)c1ncccc1[O-] ZINC001410348392 849123984 /nfs/dbraw/zinc/12/39/84/849123984.db2.gz UVTAZXACIXYNOT-RYUDHWBXSA-N -1 1 317.389 1.602 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)CC1 ZINC001410468141 849367048 /nfs/dbraw/zinc/36/70/48/849367048.db2.gz CCWCADVIUNBSRA-JHJVBQTASA-N -1 1 323.441 1.663 20 0 DDADMM O=C(Cc1ccc(C(F)(F)F)nc1)n1[n-]c(CO)cc1=O ZINC001245924900 850729234 /nfs/dbraw/zinc/72/92/34/850729234.db2.gz GQPQPVZKVINIRR-UHFFFAOYSA-N -1 1 301.224 1.378 20 0 DDADMM C[S@@](=O)CCCCNC(=S)Nc1cc(Cl)ncc1[O-] ZINC001246801623 850797601 /nfs/dbraw/zinc/79/76/01/850797601.db2.gz ADILJQSVVSEZFY-LJQANCHMSA-N -1 1 321.855 1.886 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CCN(C(N)=O)CC3)c([O-])c2n1 ZINC001155652735 862346668 /nfs/dbraw/zinc/34/66/68/862346668.db2.gz GENRZJWRWVEKET-UHFFFAOYSA-N -1 1 314.345 1.085 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@H]3CCC(=O)N(C)C3)c([O-])c2n1 ZINC001155661072 862350625 /nfs/dbraw/zinc/35/06/25/862350625.db2.gz YZYQHSKUCBSJAU-LBPRGKRZSA-N -1 1 313.357 1.599 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c2ccccc2nc2c1CCCC2 ZINC001150702605 862424210 /nfs/dbraw/zinc/42/42/10/862424210.db2.gz QWWYMIVXRXFGFV-UHFFFAOYSA-N -1 1 308.345 1.557 20 0 DDADMM Cc1ccc(C(=O)N[C@H](C)[C@H](C)NC(=O)c2cnn[nH]2)cc1C ZINC001411438300 853116401 /nfs/dbraw/zinc/11/64/01/853116401.db2.gz YVTWYQVJNMGNGV-NEPJUHHUSA-N -1 1 315.377 1.358 20 0 DDADMM CN(C(=O)c1cccc(Br)c1F)c1nn[n-]n1 ZINC001411480231 853191272 /nfs/dbraw/zinc/19/12/72/853191272.db2.gz PDAZYGLWXYWTEB-UHFFFAOYSA-N -1 1 300.091 1.378 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C[C@@H](C)COC)[n-]c1Cl ZINC001411549587 853345636 /nfs/dbraw/zinc/34/56/36/853345636.db2.gz SPRCRVHFLBIEHX-SSDOTTSWSA-N -1 1 303.746 1.851 20 0 DDADMM NC(=O)[C@@H]1CCN(C(=O)c2cncc([O-])c2)[C@H]1c1ccccc1 ZINC001275622601 853446063 /nfs/dbraw/zinc/44/60/63/853446063.db2.gz COWNDDZVVXCZLL-CABCVRRESA-N -1 1 311.341 1.476 20 0 DDADMM CC(C)(C)NC(=O)C12CC(NC(=O)c3cncc([O-])c3)(C1)C2 ZINC001275622471 853446707 /nfs/dbraw/zinc/44/67/07/853446707.db2.gz ASIWEUHTYKVHLA-UHFFFAOYSA-N -1 1 303.362 1.354 20 0 DDADMM CN(C(=O)[C@@H]1CSCN1C(=O)c1cccc([O-])c1F)C1CC1 ZINC001411615497 853464678 /nfs/dbraw/zinc/46/46/78/853464678.db2.gz BDSVEOCEGMMKNX-NSHDSACASA-N -1 1 324.377 1.667 20 0 DDADMM CN1CCO[C@@]2(CCCN(C(=O)c3cccc([O-])c3Cl)C2)C1 ZINC001275650392 853495226 /nfs/dbraw/zinc/49/52/26/853495226.db2.gz NLMQSBAYZGODEX-INIZCTEOSA-N -1 1 324.808 1.982 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc2c(s1)CCOC2 ZINC001155835464 862488754 /nfs/dbraw/zinc/48/87/54/862488754.db2.gz DJUYOFAHZJUSNB-UHFFFAOYSA-N -1 1 317.330 1.445 20 0 DDADMM CCCC(=O)N[C@@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001412139731 854256872 /nfs/dbraw/zinc/25/68/72/854256872.db2.gz HLKQWKPIUNOFJN-NEPJUHHUSA-N -1 1 305.378 1.745 20 0 DDADMM CNc1ccc(C(=O)NCc2cc(=O)[n-]c(SC)n2)cn1 ZINC001412289703 854409834 /nfs/dbraw/zinc/40/98/34/854409834.db2.gz VXALKWXSTPTHPK-UHFFFAOYSA-N -1 1 305.363 1.271 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001412571897 854741907 /nfs/dbraw/zinc/74/19/07/854741907.db2.gz OERYZJWWJXALNJ-JOYOIKCWSA-N -1 1 303.322 1.142 20 0 DDADMM C[C@H]1OCC[C@@H]1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001412571907 854742277 /nfs/dbraw/zinc/74/22/77/854742277.db2.gz OERYZJWWJXALNJ-SKDRFNHKSA-N -1 1 303.322 1.142 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc([O-])cn2)C(=O)N1c1ccccc1 ZINC001412589630 854778586 /nfs/dbraw/zinc/77/85/86/854778586.db2.gz MNAYWPATTGKYKN-NHYWBVRUSA-N -1 1 311.341 1.711 20 0 DDADMM CCOc1cc(C(=O)N[C@@](C)(CC)C(N)=O)cc(Cl)c1[O-] ZINC001412720328 855020211 /nfs/dbraw/zinc/02/02/11/855020211.db2.gz NYUBSCBBYHAUQC-AWEZNQCLSA-N -1 1 314.769 1.828 20 0 DDADMM CCc1noc([C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001412749897 855102137 /nfs/dbraw/zinc/10/21/37/855102137.db2.gz MZPUPKYQEHOBIW-ZJUUUORDSA-N -1 1 302.334 1.799 20 0 DDADMM Cc1n[nH]c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1C(F)(F)F ZINC001412790363 855270891 /nfs/dbraw/zinc/27/08/91/855270891.db2.gz ADMZYXTVRIQXGN-RXMQYKEDSA-N -1 1 317.275 1.126 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1nc(C(F)(F)F)n2c1CCCC2 ZINC001412861775 855733989 /nfs/dbraw/zinc/73/39/89/855733989.db2.gz ZLMVLWPSLSXVGQ-UHFFFAOYSA-N -1 1 315.255 1.919 20 0 DDADMM O=C(N[C@@H](CO)CC1CCCC1)c1cnc(C2CC2)[n-]c1=O ZINC001412880966 855749652 /nfs/dbraw/zinc/74/96/52/855749652.db2.gz PWQMJMLIVLQEFR-GFCCVEGCSA-N -1 1 305.378 1.731 20 0 DDADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])c1ccsc1 ZINC001412887911 855757281 /nfs/dbraw/zinc/75/72/81/855757281.db2.gz UAEPIMLHCDJNQY-VIFPVBQESA-N -1 1 305.359 1.456 20 0 DDADMM C[C@@H]1CN(CCN(C)C(=O)c2cccc([O-])c2F)C[C@H](C)O1 ZINC001412917684 855782142 /nfs/dbraw/zinc/78/21/42/855782142.db2.gz FOPGXGVDUXQUQI-TXEJJXNPSA-N -1 1 310.369 1.713 20 0 DDADMM CCC(CC)(NC(=O)CCNc1ccccc1)c1nn[n-]n1 ZINC001412935685 855799963 /nfs/dbraw/zinc/79/99/63/855799963.db2.gz LYFOQZFSDWRXBZ-UHFFFAOYSA-N -1 1 302.382 1.833 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](N3CCCC3=O)CC2)c([O-])c1 ZINC001413109055 856442970 /nfs/dbraw/zinc/44/29/70/856442970.db2.gz XFNUJNFVRBODCI-CYBMUJFWSA-N -1 1 317.389 1.713 20 0 DDADMM O=C([N-]CCNc1cc(-c2ccccn2)ncn1)C(F)(F)F ZINC001156205263 862816179 /nfs/dbraw/zinc/81/61/79/862816179.db2.gz OVLHVDRBXCAPDX-UHFFFAOYSA-N -1 1 311.267 1.629 20 0 DDADMM CCC(C)(C)[C@@H](O)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001413326746 856657118 /nfs/dbraw/zinc/65/71/18/856657118.db2.gz AEBNPWVTHBNHRO-NEPJUHHUSA-N -1 1 303.366 1.202 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2ccc(C)cn2)c1 ZINC001413390655 856746009 /nfs/dbraw/zinc/74/60/09/856746009.db2.gz XALKDXKGJZTYBT-UHFFFAOYSA-N -1 1 308.359 1.583 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@@]12CCC[C@]1(OC)OCC2)C(C)C ZINC001413429533 856798189 /nfs/dbraw/zinc/79/81/89/856798189.db2.gz DEPPHFAUYKUBIW-MCIONIFRSA-N -1 1 321.439 1.263 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2ccc(=O)[nH]c2C)[n-]c1=O ZINC001413627948 857257182 /nfs/dbraw/zinc/25/71/82/857257182.db2.gz WSYAEYSTQRMMOD-UHFFFAOYSA-N -1 1 318.333 1.265 20 0 DDADMM CC1=NS(=O)(=O)N(C)C=C1C(=O)Nc1cccc(F)c1[O-] ZINC001151779694 862963383 /nfs/dbraw/zinc/96/33/83/862963383.db2.gz KICPLXYYGMYYRC-UHFFFAOYSA-N -1 1 313.310 1.005 20 0 DDADMM Cn1ccc2c1nccc2C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001151784149 862969154 /nfs/dbraw/zinc/96/91/54/862969154.db2.gz TZHVXXQGKKTLKE-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H](OCC[P@](=O)([O-])O)[C@@H](F)C1 ZINC001224606764 881465416 /nfs/dbraw/zinc/46/54/16/881465416.db2.gz VJTUSKZJNCFDOD-DTWKUNHWSA-N -1 1 313.262 1.138 20 0 DDADMM CCOC(=O)c1cc2n(n1)CCCN(Cc1ncccc1[O-])C2 ZINC001138390754 860085001 /nfs/dbraw/zinc/08/50/01/860085001.db2.gz HHECBSVIPCIGPH-UHFFFAOYSA-N -1 1 316.361 1.566 20 0 DDADMM CCOC(=O)c1nc2c(o1)CCN(Cc1ncccc1[O-])C2 ZINC001138394460 860091648 /nfs/dbraw/zinc/09/16/48/860091648.db2.gz RRMBOYRMXRXIJI-UHFFFAOYSA-N -1 1 303.318 1.510 20 0 DDADMM CCc1ccc(NC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001202945103 860427841 /nfs/dbraw/zinc/42/78/41/860427841.db2.gz GJGMSJGMVXGYHS-UHFFFAOYSA-N -1 1 314.305 1.922 20 0 DDADMM CCOC[C@@H]1CN(Cc2ccncc2[O-])Cc2nnn(C)c21 ZINC001140276255 860606886 /nfs/dbraw/zinc/60/68/86/860606886.db2.gz IDSADAXQNLAIEC-LBPRGKRZSA-N -1 1 303.366 1.052 20 0 DDADMM COC(=O)[C@@H]1CN(Cc2ccc([O-])c(F)c2F)CCCO1 ZINC001140892587 860727257 /nfs/dbraw/zinc/72/72/57/860727257.db2.gz SSBDBPLLNKRXNB-NSHDSACASA-N -1 1 301.289 1.434 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCC[C@@H]2CCOC2=O)c1 ZINC001154166310 860831373 /nfs/dbraw/zinc/83/13/73/860831373.db2.gz ITIXHOONBQVCKY-LLVKDONJSA-N -1 1 305.330 1.586 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cccc2cnncc21 ZINC001154271127 860938395 /nfs/dbraw/zinc/93/83/95/860938395.db2.gz LKMOTNOMEBDPHF-UHFFFAOYSA-N -1 1 313.342 1.975 20 0 DDADMM Cc1ccc2ncc([O-])c(C(=O)Nc3nccnc3C(N)=O)c2c1 ZINC001154528369 861178103 /nfs/dbraw/zinc/17/81/03/861178103.db2.gz UDQQLSDMYDDEIP-UHFFFAOYSA-N -1 1 323.312 1.390 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)c2cccc(O)c2[n-]1)c1cnccn1 ZINC001152681303 863490612 /nfs/dbraw/zinc/49/06/12/863490612.db2.gz KVZMTIDHWJEMCR-VIFPVBQESA-N -1 1 310.313 1.927 20 0 DDADMM Cc1cn2cccc(C(=O)N=c3ncnc4[nH][n-]c(C)c3-4)c2n1 ZINC001153587398 863962433 /nfs/dbraw/zinc/96/24/33/863962433.db2.gz FBAFADFTUCRFNL-UHFFFAOYSA-N -1 1 307.317 1.243 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc4nc(N)ccc43)c1-2 ZINC001153801410 864115263 /nfs/dbraw/zinc/11/52/63/864115263.db2.gz WIMCPLDVANQRIU-UHFFFAOYSA-N -1 1 319.328 1.418 20 0 DDADMM O=C(Nc1cc2ccccn2n1)c1cnc2cccnc2c1[O-] ZINC001153852110 864158732 /nfs/dbraw/zinc/15/87/32/864158732.db2.gz XAGULMWMFBCMEI-UHFFFAOYSA-N -1 1 305.297 1.823 20 0 DDADMM O=C(NCc1nc2cc[nH]cc-2n1)c1c[n-]c2cccnc2c1=O ZINC001153863787 864176331 /nfs/dbraw/zinc/17/63/31/864176331.db2.gz TUCPWRQESVYGKC-UHFFFAOYSA-N -1 1 320.312 1.124 20 0 DDADMM COc1cc(Nc2[n-]c(=O)nc3nc[nH]c32)nc(SC)n1 ZINC001159198804 865161617 /nfs/dbraw/zinc/16/16/17/865161617.db2.gz YTGZLLJDVNKEOG-UHFFFAOYSA-N -1 1 305.323 1.323 20 0 DDADMM COC(=O)c1cc(F)c(C)nc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159198823 865162066 /nfs/dbraw/zinc/16/20/66/865162066.db2.gz ZFXDYLIXJVBHBE-UHFFFAOYSA-N -1 1 318.268 1.431 20 0 DDADMM COc1cccc2ncnc(Nc3[n-]c(=O)nc4nc[nH]c43)c21 ZINC001159199974 865164440 /nfs/dbraw/zinc/16/44/40/865164440.db2.gz VXASVAOMENHUOW-UHFFFAOYSA-N -1 1 309.289 1.754 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)Nc1ccc(C(=O)N(C)c2nn[n-]n2)cc1 ZINC001361566278 881908409 /nfs/dbraw/zinc/90/84/09/881908409.db2.gz SQUQTWGIUOAEAB-KWQFWETISA-N -1 1 300.322 1.071 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCOC1)c1cnc(Cl)cc1Cl ZINC001319815394 866310508 /nfs/dbraw/zinc/31/05/08/866310508.db2.gz IDBIUSLCIUSPOR-SSDOTTSWSA-N -1 1 311.190 1.703 20 0 DDADMM Cn1cc(CN[C@H]2C=CC[C@H](C(=O)[O-])C2)c(Br)n1 ZINC001333188708 866501555 /nfs/dbraw/zinc/50/15/55/866501555.db2.gz XFMOOWYPOLDMQM-WPRPVWTQSA-N -1 1 314.183 1.692 20 0 DDADMM CC[C@@H](F)C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001381524699 882068511 /nfs/dbraw/zinc/06/85/11/882068511.db2.gz XPFFNWICUGOPOZ-GMTAPVOTSA-N -1 1 309.341 1.160 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1CCC[C@H]1C(=O)OC ZINC001225899285 882100397 /nfs/dbraw/zinc/10/03/97/882100397.db2.gz KUQUMDKFUXYMRY-SCZZXKLOSA-N -1 1 310.306 1.079 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2c(C)cnn2C)[n-]c1Cl ZINC001361670871 882126294 /nfs/dbraw/zinc/12/62/94/882126294.db2.gz HIVQVLFTGNSORK-UHFFFAOYSA-N -1 1 311.729 1.534 20 0 DDADMM CC(C)(C(N)=O)C(=O)Nc1ccc(Br)c([O-])c1 ZINC001325237022 867882309 /nfs/dbraw/zinc/88/23/09/867882309.db2.gz YZBBIKAKJCWGRP-UHFFFAOYSA-N -1 1 301.140 1.605 20 0 DDADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)c1ccsc1 ZINC001163343977 868374478 /nfs/dbraw/zinc/37/44/78/868374478.db2.gz SBOLHJFXQQUNHU-UHFFFAOYSA-N -1 1 321.406 1.262 20 0 DDADMM CCCNC(=O)C1(NC(=O)c2cnncc2[O-])CCCCC1 ZINC001361728589 882246202 /nfs/dbraw/zinc/24/62/02/882246202.db2.gz LVUWBKJLLOYVSC-UHFFFAOYSA-N -1 1 306.366 1.141 20 0 DDADMM C[C@]12CCN(C(=O)c3cnncc3[O-])C[C@H]1C2(Cl)Cl ZINC001337273971 869410972 /nfs/dbraw/zinc/41/09/72/869410972.db2.gz GEXBFEKOPMNZIG-KOLCDFICSA-N -1 1 302.161 1.838 20 0 DDADMM Cc1cc2c(c(N3CCC4(C[C@H]4CCO)CC3)n1)C(=O)[N-]C2=O ZINC001165260957 869474701 /nfs/dbraw/zinc/47/47/01/869474701.db2.gz MTLTZJHRJSXUTN-LLVKDONJSA-N -1 1 315.373 1.263 20 0 DDADMM CC[C@@H]1c2ccccc2CN1c1nnc(Cc2nnn[n-]2)n1C ZINC001338907845 870236257 /nfs/dbraw/zinc/23/62/57/870236257.db2.gz DTPJKBLKMSATCN-GFCCVEGCSA-N -1 1 310.365 1.390 20 0 DDADMM CC[C@@H]1c2ccccc2CN1c1nnc(Cc2nn[n-]n2)n1C ZINC001338907845 870236271 /nfs/dbraw/zinc/23/62/71/870236271.db2.gz DTPJKBLKMSATCN-GFCCVEGCSA-N -1 1 310.365 1.390 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2cc(C)ccc2C1 ZINC001339260225 870425753 /nfs/dbraw/zinc/42/57/53/870425753.db2.gz HXDSGPSDJQQBIJ-UHFFFAOYSA-N -1 1 310.365 1.349 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2cc(C)ccc2C1 ZINC001339260225 870425768 /nfs/dbraw/zinc/42/57/68/870425768.db2.gz HXDSGPSDJQQBIJ-UHFFFAOYSA-N -1 1 310.365 1.349 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2ccsc2C1 ZINC001339381024 870470242 /nfs/dbraw/zinc/47/02/42/870470242.db2.gz CCUZKECKOHXSCU-UHFFFAOYSA-N -1 1 302.367 1.102 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2ccsc2C1 ZINC001339381024 870470248 /nfs/dbraw/zinc/47/02/48/870470248.db2.gz CCUZKECKOHXSCU-UHFFFAOYSA-N -1 1 302.367 1.102 20 0 DDADMM CCC[C@H](OC)C(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001298357116 870498419 /nfs/dbraw/zinc/49/84/19/870498419.db2.gz RBNWCQSPZZUFCY-IHVVCDCBSA-N -1 1 321.377 1.005 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N(CCc1ccccc1)C1CC1 ZINC001339439160 870499084 /nfs/dbraw/zinc/49/90/84/870499084.db2.gz DZUMSZBNZFAWMS-UHFFFAOYSA-N -1 1 310.365 1.207 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N(CCc1ccccc1)C1CC1 ZINC001339439160 870499091 /nfs/dbraw/zinc/49/90/91/870499091.db2.gz DZUMSZBNZFAWMS-UHFFFAOYSA-N -1 1 310.365 1.207 20 0 DDADMM CN1CCOc2cc([N-]S(=O)(=O)c3ccccc3N)cnc21 ZINC001203491156 870591994 /nfs/dbraw/zinc/59/19/94/870591994.db2.gz RNFRGERCQHZQSY-UHFFFAOYSA-N -1 1 320.374 1.293 20 0 DDADMM CSCC[C@H](NC(=O)c1cc2occc2[nH]1)c1nn[n-]n1 ZINC001301160593 870977361 /nfs/dbraw/zinc/97/73/61/870977361.db2.gz FJGQQGHBTJIXHB-QMMMGPOBSA-N -1 1 306.351 1.498 20 0 DDADMM NC(=O)c1ccc(C(=O)Nc2cccc(F)c2[O-])nc1Cl ZINC001302485017 871071777 /nfs/dbraw/zinc/07/17/77/871071777.db2.gz HAWAIRGXDSWRKU-UHFFFAOYSA-N -1 1 309.684 1.931 20 0 DDADMM O=C(c1c[nH]nc1-c1ccccn1)N1CCC(c2nn[n-]n2)CC1 ZINC001302753451 871081341 /nfs/dbraw/zinc/08/13/41/871081341.db2.gz RKAZSMWEYFMXHX-UHFFFAOYSA-N -1 1 324.348 1.005 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C=Cc1ccc(C=O)cc1 ZINC001303202259 871107577 /nfs/dbraw/zinc/10/75/77/871107577.db2.gz WZZIQUJXTHPAME-FPLPWBNLSA-N -1 1 313.361 1.710 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cn1ccc2ccccc21 ZINC001303199781 871108292 /nfs/dbraw/zinc/10/82/92/871108292.db2.gz PSUMBFQKJIAJND-UHFFFAOYSA-N -1 1 312.377 1.839 20 0 DDADMM C[C@@H]1CN(Cc2ccccc2)CC[C@H]1Oc1c([O-])c(=O)c1=O ZINC001226605639 882536174 /nfs/dbraw/zinc/53/61/74/882536174.db2.gz IVIZFELODUUOHU-DGCLKSJQSA-N -1 1 301.342 1.278 20 0 DDADMM C[C@@H]1CCCN1C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001342118095 872016331 /nfs/dbraw/zinc/01/63/31/872016331.db2.gz PINMSJWWVXNTOG-SECBINFHSA-N -1 1 312.316 1.340 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CCOC1CCC1 ZINC001319108535 872443747 /nfs/dbraw/zinc/44/37/47/872443747.db2.gz TZKVTYQQEAZACT-UHFFFAOYSA-N -1 1 321.345 1.821 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC2(Br)CC2)[n-]1 ZINC001343679245 872714422 /nfs/dbraw/zinc/71/44/22/872714422.db2.gz YKTWTGNHOKNKDO-UHFFFAOYSA-N -1 1 302.124 1.886 20 0 DDADMM CCCN(C)c1nnc(-c2noc(=O)[n-]2)n1Cc1cccs1 ZINC001343651505 872702371 /nfs/dbraw/zinc/70/23/71/872702371.db2.gz QAPNVDPLILZYNA-UHFFFAOYSA-N -1 1 320.378 1.577 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1CC[C@@H](C)[C@@H]1C ZINC001343955833 872821561 /nfs/dbraw/zinc/82/15/61/872821561.db2.gz FGQYYGKLOKZMKF-MNOVXSKESA-N -1 1 320.401 1.120 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1CC[C@@H](C)[C@@H]1C ZINC001343955833 872821565 /nfs/dbraw/zinc/82/15/65/872821565.db2.gz FGQYYGKLOKZMKF-MNOVXSKESA-N -1 1 320.401 1.120 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccnc1)NC(=O)c1ncccc1[O-] ZINC001381813081 882728903 /nfs/dbraw/zinc/72/89/03/882728903.db2.gz ABGAHFLNPBLUCJ-NSHDSACASA-N -1 1 314.345 1.073 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nnc(-c3ccc(C)o3)o2)co1 ZINC001345986325 873582770 /nfs/dbraw/zinc/58/27/70/873582770.db2.gz BHBNPZXMJHXUFK-UHFFFAOYSA-N -1 1 309.303 1.806 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1c2ccccc2C[C@H]1O ZINC001346451556 873758405 /nfs/dbraw/zinc/75/84/05/873758405.db2.gz RBYQNEMVQGWSAN-ZYHUDNBSSA-N -1 1 317.370 1.167 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCOCC2(CCCC2)C1 ZINC001346591924 873818020 /nfs/dbraw/zinc/81/80/20/873818020.db2.gz OJYCAVOFXAWULG-UHFFFAOYSA-N -1 1 323.418 1.812 20 0 DDADMM Cc1nc(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)sc1C ZINC001346862478 873918840 /nfs/dbraw/zinc/91/88/40/873918840.db2.gz YATDNCWNZSHOQX-UHFFFAOYSA-N -1 1 310.404 1.783 20 0 DDADMM O=C(Cc1cccc2ncccc12)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347199828 874051302 /nfs/dbraw/zinc/05/13/02/874051302.db2.gz ZYOHGEHEWNFPQJ-GFCCVEGCSA-N -1 1 308.345 1.307 20 0 DDADMM Cn1ccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c1-c1ccccc1 ZINC001347199654 874051405 /nfs/dbraw/zinc/05/14/05/874051405.db2.gz XUTZSWVMYFPLFL-CYBMUJFWSA-N -1 1 322.372 1.835 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)C[C@H]1CCCC[C@H]1O ZINC001348213186 874426485 /nfs/dbraw/zinc/42/64/85/874426485.db2.gz QLKBTWQUBUTGMS-NXEZZACHSA-N -1 1 311.407 1.402 20 0 DDADMM O=C(Cc1c[nH]c2ccc(F)cc12)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001349003296 874920411 /nfs/dbraw/zinc/92/04/11/874920411.db2.gz UZLKUMMUEHIVAA-SECBINFHSA-N -1 1 314.324 1.379 20 0 DDADMM CCC[C@H](CC)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210377417 875354554 /nfs/dbraw/zinc/35/45/54/875354554.db2.gz GIQZQEXYPBKJDC-RYUDHWBXSA-N -1 1 309.414 1.417 20 0 DDADMM CN(C)c1cncc([N-]c2nonc2-c2cn3ccncc3n2)c1 ZINC001210473808 875398184 /nfs/dbraw/zinc/39/81/84/875398184.db2.gz WLNBKULEWPCCOF-UHFFFAOYSA-N -1 1 322.332 1.984 20 0 DDADMM O=C(NC1(CNC(=O)c2ncccc2[O-])CC1)c1ccsc1 ZINC001378750037 875545005 /nfs/dbraw/zinc/54/50/05/875545005.db2.gz PYTGRUQJAYVSCA-UHFFFAOYSA-N -1 1 317.370 1.541 20 0 DDADMM Cc1cccc(CN(C)c2nnc(-c3nc(Cl)n[n-]3)n2C)n1 ZINC001350423267 875681747 /nfs/dbraw/zinc/68/17/47/875681747.db2.gz JKKAAKZUSNLJRO-UHFFFAOYSA-N -1 1 318.772 1.593 20 0 DDADMM CN(C)Cc1ccc(C(=O)NCCCCCCC(=O)[O-])cn1 ZINC001350768548 875879954 /nfs/dbraw/zinc/87/99/54/875879954.db2.gz OBEDCTCZRYXJAR-UHFFFAOYSA-N -1 1 307.394 1.908 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2ccoc2CC(=O)[O-])C[C@H](C)O1 ZINC001350795038 875897061 /nfs/dbraw/zinc/89/70/61/875897061.db2.gz CJTWXISRIADTKM-TXEJJXNPSA-N -1 1 324.377 1.136 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ccc(C)nc3OC)no2)[n-]n1 ZINC001213464101 875946656 /nfs/dbraw/zinc/94/66/56/875946656.db2.gz WOALFTIGYPUOKC-UHFFFAOYSA-N -1 1 315.289 1.625 20 0 DDADMM Nc1cncc(S(=O)(=O)Nc2ccc(CCC(=O)[O-])cc2)c1 ZINC001213627507 876000141 /nfs/dbraw/zinc/00/01/41/876000141.db2.gz JAZHMDUHTSTMAA-UHFFFAOYSA-N -1 1 321.358 1.482 20 0 DDADMM COC(=O)Cc1cccc([N-]S(=O)(=O)c2cccc(N)c2)c1 ZINC001213716256 876030203 /nfs/dbraw/zinc/03/02/03/876030203.db2.gz UCMIQRZPXTZMFL-UHFFFAOYSA-N -1 1 320.370 1.785 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2ncc(C)s2)sn1 ZINC001364778120 889397602 /nfs/dbraw/zinc/39/76/02/889397602.db2.gz BFPKBHMCYMJQSF-UHFFFAOYSA-N -1 1 305.406 1.395 20 0 DDADMM COC(=O)CC1CCC(Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)CC1 ZINC001227431423 883015982 /nfs/dbraw/zinc/01/59/82/883015982.db2.gz CJPQNZAVLFEFJP-UHFFFAOYSA-N -1 1 322.321 1.265 20 0 DDADMM CCC[C@@H](C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001215736455 876795559 /nfs/dbraw/zinc/79/55/59/876795559.db2.gz BZIBETZVQVUKDT-JHJVBQTASA-N -1 1 323.441 1.519 20 0 DDADMM O=C(c1c[nH]cc1-c1ccccc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001352597057 876823823 /nfs/dbraw/zinc/82/38/23/876823823.db2.gz ZIHJHBPFAGPXHV-GFCCVEGCSA-N -1 1 308.345 1.825 20 0 DDADMM COc1ncc(Nc2cccnc2OC)cc1[N-]S(C)(=O)=O ZINC001216150992 876877619 /nfs/dbraw/zinc/87/76/19/876877619.db2.gz BLPBRVRSDHKOGW-UHFFFAOYSA-N -1 1 324.362 1.609 20 0 DDADMM COc1ncc(Nc2cc(C)nn2C)cc1[N-]S(C)(=O)=O ZINC001216152196 876878327 /nfs/dbraw/zinc/87/83/27/876878327.db2.gz VNUNHENMGIUFOT-UHFFFAOYSA-N -1 1 311.367 1.247 20 0 DDADMM COc1cc(CO)cc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)c1 ZINC001216199616 876889133 /nfs/dbraw/zinc/88/91/33/876889133.db2.gz XCCYIGZBXXYOQK-UHFFFAOYSA-N -1 1 319.321 1.891 20 0 DDADMM O=C(c1cccc2[nH]ccc21)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001352794088 876936653 /nfs/dbraw/zinc/93/66/53/876936653.db2.gz TZJKVWZAHNJWKJ-NSHDSACASA-N -1 1 310.361 1.776 20 0 DDADMM O=C([O-])[C@H](CO)NCc1cc(Br)cnc1Cl ZINC001353952242 877659248 /nfs/dbraw/zinc/65/92/48/877659248.db2.gz HXDAACCLNBWFEF-ZETCQYMHSA-N -1 1 309.547 1.033 20 0 DDADMM CC(C)OCCC(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001380692094 880202917 /nfs/dbraw/zinc/20/29/17/880202917.db2.gz OCQKBXCBYZGEED-LBPRGKRZSA-N -1 1 323.393 1.179 20 0 DDADMM CCn1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(C(C)C)n1 ZINC001362207291 883299387 /nfs/dbraw/zinc/29/93/87/883299387.db2.gz JFXGKIZTOMVHCV-NSHDSACASA-N -1 1 317.397 1.559 20 0 DDADMM COc1ccccc1[C@@]1(C)C[C@H]1NC(=O)CCCc1nn[n-]n1 ZINC001362254814 883417653 /nfs/dbraw/zinc/41/76/53/883417653.db2.gz IETPSGWJGRBAHY-CZUORRHYSA-N -1 1 315.377 1.377 20 0 DDADMM CC(C)(C)OC(=O)NC1(CNC(=O)c2ccc([O-])cn2)CCC1 ZINC001362272403 883453839 /nfs/dbraw/zinc/45/38/39/883453839.db2.gz XELXVFIBULFAHQ-UHFFFAOYSA-N -1 1 321.377 1.964 20 0 DDADMM Cc1nnc([C@@H]2CCCN(C(=O)c3ccc(F)c([O-])c3)C2)[nH]1 ZINC001362289335 883488834 /nfs/dbraw/zinc/48/88/34/883488834.db2.gz YNVRFSZJSTWBFO-LLVKDONJSA-N -1 1 304.325 1.978 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CC[C@H]1C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001362296292 883505787 /nfs/dbraw/zinc/50/57/87/883505787.db2.gz AOBKNYQLRLBEML-OUAUKWLOSA-N -1 1 323.397 1.130 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@H](Oc3cnnc(=S)[n-]3)[C@@H]2C1 ZINC001228641563 883588091 /nfs/dbraw/zinc/58/80/91/883588091.db2.gz GEMKXTRNPQXVKU-AEJSXWLSSA-N -1 1 324.406 1.795 20 0 DDADMM CC1(Nc2nc(CC(=O)Nc3nnn[n-]3)cs2)CCCC1 ZINC001362341409 883605603 /nfs/dbraw/zinc/60/56/03/883605603.db2.gz VRUOPIJASYICBJ-UHFFFAOYSA-N -1 1 307.383 1.582 20 0 DDADMM CC1(Nc2nc(CC(=O)Nc3nn[n-]n3)cs2)CCCC1 ZINC001362341409 883605609 /nfs/dbraw/zinc/60/56/09/883605609.db2.gz VRUOPIJASYICBJ-UHFFFAOYSA-N -1 1 307.383 1.582 20 0 DDADMM CC(=O)c1ccc(CCNC(=O)CCCc2nn[n-]n2)cc1 ZINC001362364879 883650507 /nfs/dbraw/zinc/65/05/07/883650507.db2.gz FTCJFBLTHMFEEY-UHFFFAOYSA-N -1 1 301.350 1.084 20 0 DDADMM CNc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(Cl)c1 ZINC001362372147 883665136 /nfs/dbraw/zinc/66/51/36/883665136.db2.gz CMTONAWIWFVKPP-VIFPVBQESA-N -1 1 320.784 1.915 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC(CCN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001374403556 912734344 /nfs/dbraw/zinc/73/43/44/912734344.db2.gz LOQMGNYYYBPZSI-DGCLKSJQSA-N -1 1 321.425 1.227 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccc(F)cc1F ZINC001362404238 883735291 /nfs/dbraw/zinc/73/52/91/883735291.db2.gz ADDSKVRGLJJWJJ-ZJUUUORDSA-N -1 1 321.331 1.988 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCn2c(nnc2C(F)F)C1 ZINC001362405478 883737255 /nfs/dbraw/zinc/73/72/55/883737255.db2.gz ZBFXAPVCCSMQEW-UHFFFAOYSA-N -1 1 312.251 1.716 20 0 DDADMM Cn1cc(C[C@H]2CCN(C(=O)c3cccc([O-])c3F)C2)cn1 ZINC001362429810 883790205 /nfs/dbraw/zinc/79/02/05/883790205.db2.gz DVDLCHPHHVBYQN-LLVKDONJSA-N -1 1 303.337 1.970 20 0 DDADMM C[C@@H](CN1CCOCC1)Oc1cc([O-])cc2oc(=O)ccc12 ZINC001229130001 883837846 /nfs/dbraw/zinc/83/78/46/883837846.db2.gz SSWUTEBMEIAZSG-NSHDSACASA-N -1 1 305.330 1.598 20 0 DDADMM CCC(CC)CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001229347956 883937105 /nfs/dbraw/zinc/93/71/05/883937105.db2.gz XZKLBWDZJLHURG-CHWSQXEVSA-N -1 1 323.441 1.854 20 0 DDADMM CCC(=O)c1ccc([O-])cc1O[C@H]1CC(=O)N(CC(=O)OC)C1 ZINC001229475356 884004514 /nfs/dbraw/zinc/00/45/14/884004514.db2.gz VEZSRQDTDKNFQD-NSHDSACASA-N -1 1 321.329 1.138 20 0 DDADMM CS(=O)(=O)c1cccc(CNC(=O)c2ccc([O-])cn2)c1 ZINC001362543182 884053104 /nfs/dbraw/zinc/05/31/04/884053104.db2.gz QLSWUKPVKFHZNA-UHFFFAOYSA-N -1 1 306.343 1.121 20 0 DDADMM CCS(=O)(=O)Cc1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001362567629 884112437 /nfs/dbraw/zinc/11/24/37/884112437.db2.gz DZGJXSZVCNAUPS-UHFFFAOYSA-N -1 1 321.358 1.369 20 0 DDADMM CC[C@H](CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)CC(F)(F)F ZINC001362569089 884115313 /nfs/dbraw/zinc/11/53/13/884115313.db2.gz MGPJWFXCROLJQB-LURJTMIESA-N -1 1 323.271 1.605 20 0 DDADMM COC(=O)c1c[n-]c(=O)nc1OC[C@@H]1CC[C@@]2(CCCCO2)O1 ZINC001229873805 884206800 /nfs/dbraw/zinc/20/68/00/884206800.db2.gz YERDAHRDZODPLI-ZUZCIYMTSA-N -1 1 324.333 1.423 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H](C)[C@H]2CCO)c(=O)[n-]1 ZINC001362665954 884361690 /nfs/dbraw/zinc/36/16/90/884361690.db2.gz YPUAJTIURDZOGQ-MWLCHTKSSA-N -1 1 311.407 1.527 20 0 DDADMM Cc1cnc(C(=O)N2NC(=O)C[C@@]23CCCC[C@@H]3C)c([O-])c1 ZINC001362674378 884384446 /nfs/dbraw/zinc/38/44/46/884384446.db2.gz MMTAUQDKEGSDNK-MEDUHNTESA-N -1 1 303.362 1.922 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2c3c[nH]nc3CC[C@@H]2C)n1 ZINC001362680928 884402665 /nfs/dbraw/zinc/40/26/65/884402665.db2.gz YLOBYWOXDUFPQQ-QPUJVOFHSA-N -1 1 317.349 1.614 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC[C@H](N2CCOCC2)C1 ZINC001362695050 884432133 /nfs/dbraw/zinc/43/21/33/884432133.db2.gz JLAIHZDCCGROAP-ZDUSSCGKSA-N -1 1 308.353 1.468 20 0 DDADMM CC[C@@H](C)Oc1cc(CNC(=O)c2cnncc2[O-])ccn1 ZINC001362718024 884477121 /nfs/dbraw/zinc/47/71/21/884477121.db2.gz YYHQUVUEPCWCHA-SNVBAGLBSA-N -1 1 302.334 1.685 20 0 DDADMM C[C@H]1CC(=O)N(C)c2ccc(NC(=O)CCc3nn[n-]n3)cc21 ZINC001362754352 884558726 /nfs/dbraw/zinc/55/87/26/884558726.db2.gz RTDGBZGLITZSPN-VIFPVBQESA-N -1 1 314.349 1.241 20 0 DDADMM O=C(NC1CCN(c2nccs2)CC1)c1ccc([O-])cn1 ZINC001362757736 884567285 /nfs/dbraw/zinc/56/72/85/884567285.db2.gz SGNHGJKDWXOKRJ-UHFFFAOYSA-N -1 1 304.375 1.643 20 0 DDADMM CCOc1cc(C(=O)NC[C@@H]2CNC(=O)C2)cc(Cl)c1[O-] ZINC001362763129 884581219 /nfs/dbraw/zinc/58/12/19/884581219.db2.gz UFFQGWMJXIYZJV-QMMMGPOBSA-N -1 1 312.753 1.310 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)CCC(F)F ZINC001382765269 884655584 /nfs/dbraw/zinc/65/55/84/884655584.db2.gz LPCMQOLIBOTMEL-DTWKUNHWSA-N -1 1 315.320 1.456 20 0 DDADMM O=C(COc1ccsc1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001362812288 884700880 /nfs/dbraw/zinc/70/08/80/884700880.db2.gz WVAORPOJCWGWHN-UHFFFAOYSA-N -1 1 321.358 1.012 20 0 DDADMM CN(C)C(=O)c1cc(F)ccc1NC(=O)c1cnncc1[O-] ZINC001362859264 884815487 /nfs/dbraw/zinc/81/54/87/884815487.db2.gz LOGBJYPYIYCHFI-UHFFFAOYSA-N -1 1 304.281 1.275 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccccc2OC)n[n-]1 ZINC001362938294 885033450 /nfs/dbraw/zinc/03/34/50/885033450.db2.gz SEPYKIYVRMIOGH-SECBINFHSA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccccc2OC)[n-]1 ZINC001362938294 885033468 /nfs/dbraw/zinc/03/34/68/885033468.db2.gz SEPYKIYVRMIOGH-SECBINFHSA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccccc2OC)n1 ZINC001362938294 885033488 /nfs/dbraw/zinc/03/34/88/885033488.db2.gz SEPYKIYVRMIOGH-SECBINFHSA-N -1 1 318.333 1.481 20 0 DDADMM COc1cnc(C2(NC(=O)[C@@H](O)C(C)(C)C)CCCC2)[n-]c1=O ZINC001362995587 885182710 /nfs/dbraw/zinc/18/27/10/885182710.db2.gz CJIBIZABAQNNOR-LLVKDONJSA-N -1 1 323.393 1.483 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2cccc([O-])c2F)CCS1(=O)=O ZINC001363021620 885249631 /nfs/dbraw/zinc/24/96/31/885249631.db2.gz DQYINBARDLSEDV-VIFPVBQESA-N -1 1 315.366 1.617 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cccc(O)c2)n[n-]1 ZINC001363046338 885320055 /nfs/dbraw/zinc/32/00/55/885320055.db2.gz GFFVVVANECOBJC-MRVPVSSYSA-N -1 1 304.306 1.178 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cccc(O)c2)n1 ZINC001363046338 885320072 /nfs/dbraw/zinc/32/00/72/885320072.db2.gz GFFVVVANECOBJC-MRVPVSSYSA-N -1 1 304.306 1.178 20 0 DDADMM CSc1ncc(C(=O)Nc2csc(C(N)=O)c2)c(=O)[n-]1 ZINC001363075071 885400841 /nfs/dbraw/zinc/40/08/41/885400841.db2.gz XFFGWDVJSWFOTQ-UHFFFAOYSA-N -1 1 310.360 1.317 20 0 DDADMM COCc1ccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)o1 ZINC001363100026 885461366 /nfs/dbraw/zinc/46/13/66/885461366.db2.gz OYOGEAIXBXIMNT-VIFPVBQESA-N -1 1 311.367 1.163 20 0 DDADMM CCC[C@@H]1C[C@H](C(=O)NCc2n[n-]c(C(=O)OCC)n2)CCO1 ZINC001363120568 885515683 /nfs/dbraw/zinc/51/56/83/885515683.db2.gz XAPLYQHOBLAGGC-GHMZBOCLSA-N -1 1 324.381 1.193 20 0 DDADMM CCC[C@@H]1C[C@H](C(=O)NCc2nc(C(=O)OCC)n[n-]2)CCO1 ZINC001363120568 885515689 /nfs/dbraw/zinc/51/56/89/885515689.db2.gz XAPLYQHOBLAGGC-GHMZBOCLSA-N -1 1 324.381 1.193 20 0 DDADMM COc1ccc(C(=O)CCC(=O)Nc2c[n-][nH]c2=O)cc1OC ZINC001363126402 885529672 /nfs/dbraw/zinc/52/96/72/885529672.db2.gz HESQUMGSSJISAE-UHFFFAOYSA-N -1 1 319.317 1.734 20 0 DDADMM CCC(CC)(NC(=O)C[C@@H](C)c1cnn(C)c1)c1nn[n-]n1 ZINC001363140430 885564501 /nfs/dbraw/zinc/56/45/01/885564501.db2.gz YTVXEINNQSTPBK-SNVBAGLBSA-N -1 1 305.386 1.259 20 0 DDADMM O=C(N[C@H](CO)[C@@H](O)c1cccnc1)c1ccc(Cl)cc1[O-] ZINC001363146027 885577489 /nfs/dbraw/zinc/57/74/89/885577489.db2.gz MJLNJUQOVZVIRL-OCCSQVGLSA-N -1 1 322.748 1.265 20 0 DDADMM O=C(N[C@@H](CO)Cc1cncs1)c1c(F)ccc([O-])c1F ZINC001363169779 885629390 /nfs/dbraw/zinc/62/93/90/885629390.db2.gz RYUQZRANCVATGE-SSDOTTSWSA-N -1 1 314.313 1.460 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2csnc2C)n[n-]1 ZINC001363231425 885773485 /nfs/dbraw/zinc/77/34/85/885773485.db2.gz MYPRAYXNCLELHH-SSDOTTSWSA-N -1 1 309.351 1.237 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2csnc2C)[n-]1 ZINC001363231425 885773507 /nfs/dbraw/zinc/77/35/07/885773507.db2.gz MYPRAYXNCLELHH-SSDOTTSWSA-N -1 1 309.351 1.237 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2csnc2C)n1 ZINC001363231425 885773524 /nfs/dbraw/zinc/77/35/24/885773524.db2.gz MYPRAYXNCLELHH-SSDOTTSWSA-N -1 1 309.351 1.237 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1CCCc2sccc21 ZINC001363276046 885887440 /nfs/dbraw/zinc/88/74/40/885887440.db2.gz BOLKKDCYXGOKKE-SNVBAGLBSA-N -1 1 303.391 1.877 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1CCOC2(CCC2)C1 ZINC001363276384 885887639 /nfs/dbraw/zinc/88/76/39/885887639.db2.gz LTYKETDVRPHLIS-LBPRGKRZSA-N -1 1 307.398 1.534 20 0 DDADMM CC(C)OCc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001363276991 885890657 /nfs/dbraw/zinc/89/06/57/885890657.db2.gz IIMXOHGUQVTDEK-UHFFFAOYSA-N -1 1 315.377 1.934 20 0 DDADMM CCc1ccc(OCC(=O)N(Cc2nn[n-]n2)CC(C)C)cc1 ZINC001363277956 885892454 /nfs/dbraw/zinc/89/24/54/885892454.db2.gz WNYQHQSTABHSDL-UHFFFAOYSA-N -1 1 317.393 1.826 20 0 DDADMM O=C(COc1ccc(F)c(F)c1)NC1(c2nn[n-]n2)CCC1 ZINC001363278358 885893796 /nfs/dbraw/zinc/89/37/96/885893796.db2.gz RSSVVCSAYMRHLK-UHFFFAOYSA-N -1 1 309.276 1.052 20 0 DDADMM Cc1ccc(Cl)cc1OCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363375770 886170522 /nfs/dbraw/zinc/17/05/22/886170522.db2.gz DQFCWJCLOUZNOQ-UHFFFAOYSA-N -1 1 321.768 1.736 20 0 DDADMM CC(C)[C@@H]1C[C@H](CC(=O)NC2(c3nn[n-]n3)CCC2)CCO1 ZINC001363376595 886172773 /nfs/dbraw/zinc/17/27/73/886172773.db2.gz YIXQEXSAOPVQAB-NEPJUHHUSA-N -1 1 307.398 1.536 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363400740 886234651 /nfs/dbraw/zinc/23/46/51/886234651.db2.gz RWYBYQWDDJDNGT-CABZTGNLSA-N -1 1 317.397 1.124 20 0 DDADMM CCOc1ccc(CCC(=O)N[C@H](COC)c2nn[n-]n2)cc1 ZINC001363421275 886292723 /nfs/dbraw/zinc/29/27/23/886292723.db2.gz ZZMSNOUSVYJJRB-CYBMUJFWSA-N -1 1 319.365 1.035 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)c1ccccn1 ZINC001363450182 886373117 /nfs/dbraw/zinc/37/31/17/886373117.db2.gz AGGBYAHHLWHAJQ-SNVBAGLBSA-N -1 1 323.374 1.464 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C)C(=O)OCc2ccccc2)c([O-])c1 ZINC001363467184 886418774 /nfs/dbraw/zinc/41/87/74/886418774.db2.gz DKDUAAHZYALLSE-LBPRGKRZSA-N -1 1 314.341 1.957 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCC1N=NC(=O)N1C1CC1 ZINC001363467623 886418831 /nfs/dbraw/zinc/41/88/31/886418831.db2.gz YLQZPHQLHILVEA-UHFFFAOYSA-N -1 1 322.752 1.537 20 0 DDADMM O=C(N[C@H](CO)[C@@H](O)c1cccnc1)c1c([O-])cccc1Cl ZINC001363509027 886515507 /nfs/dbraw/zinc/51/55/07/886515507.db2.gz LAUXIHCTJYOCHX-RISCZKNCSA-N -1 1 322.748 1.265 20 0 DDADMM CCCc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc(=O)[nH]1 ZINC001363518700 886537920 /nfs/dbraw/zinc/53/79/20/886537920.db2.gz IKQRRQMJQFTXKF-UHFFFAOYSA-N -1 1 316.365 1.273 20 0 DDADMM NS(=O)(=O)C1(CNC(=O)c2cc3ccccc3cc2[O-])CC1 ZINC001363528382 886559194 /nfs/dbraw/zinc/55/91/94/886559194.db2.gz GZHNMVUTHDYIPD-UHFFFAOYSA-N -1 1 320.370 1.096 20 0 DDADMM COCc1ccc([C@@H]2COCCN2C(=O)c2ccc([O-])cn2)o1 ZINC001363544443 886597528 /nfs/dbraw/zinc/59/75/28/886597528.db2.gz JNCOSMZJHPKRFT-AWEZNQCLSA-N -1 1 318.329 1.740 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1Cc2cc(F)c(F)cc2C1 ZINC001363568443 886656977 /nfs/dbraw/zinc/65/69/77/886656977.db2.gz AOCZEPVVVFNQCE-UHFFFAOYSA-N -1 1 323.255 1.331 20 0 DDADMM O=C(NC[C@H](O)c1c[nH]cn1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001363582572 886691582 /nfs/dbraw/zinc/69/15/82/886691582.db2.gz AUUOBSQCNNQQIL-NSHDSACASA-N -1 1 315.251 1.598 20 0 DDADMM COC[C@@H](NC(=O)C[C@@H]1CCCc2ccccc21)c1nn[n-]n1 ZINC001363584410 886695250 /nfs/dbraw/zinc/69/52/50/886695250.db2.gz FESOAOLRBOWNGH-GXTWGEPZSA-N -1 1 315.377 1.514 20 0 DDADMM COC[C@H](NC(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C)c1nn[n-]n1 ZINC001363585366 886697076 /nfs/dbraw/zinc/69/70/76/886697076.db2.gz JAYKZAYVXMRTNA-XQQFMLRXSA-N -1 1 315.377 1.443 20 0 DDADMM O=c1[nH]c(=O)c2c([nH]1)CCN(Cc1cc(Cl)ncc1[O-])C2 ZINC001233034334 886743672 /nfs/dbraw/zinc/74/36/72/886743672.db2.gz JIFNWPSVXLAYQV-UHFFFAOYSA-N -1 1 308.725 1.200 20 0 DDADMM CCC(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001383952458 886761202 /nfs/dbraw/zinc/76/12/02/886761202.db2.gz YKRKCMVSOIURFN-VXGBXAGGSA-N -1 1 305.378 1.412 20 0 DDADMM CCC(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001383952453 886762242 /nfs/dbraw/zinc/76/22/42/886762242.db2.gz YKRKCMVSOIURFN-NWDGAFQWSA-N -1 1 305.378 1.412 20 0 DDADMM CCc1nc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c(C)o1 ZINC001363629104 886809303 /nfs/dbraw/zinc/80/93/03/886809303.db2.gz FTPUHZOQNJXPNF-UHFFFAOYSA-N -1 1 308.363 1.693 20 0 DDADMM Cc1noc(C2CC2)c1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363797607 887247533 /nfs/dbraw/zinc/24/75/33/887247533.db2.gz BSSVZEVMPUELHQ-UHFFFAOYSA-N -1 1 304.354 1.672 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCOc1cccc(F)c1 ZINC001363809673 887280475 /nfs/dbraw/zinc/28/04/75/887280475.db2.gz VRAAXIYUGMZVFM-UHFFFAOYSA-N -1 1 321.356 1.793 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)[n-]c1=O ZINC001363845219 887381327 /nfs/dbraw/zinc/38/13/27/887381327.db2.gz AYSKHTWUUVZAQR-WYUUTHIRSA-N -1 1 319.361 1.422 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ocnc2C2CC2)n[n-]1 ZINC001363888658 887478195 /nfs/dbraw/zinc/47/81/95/887478195.db2.gz RWWOUXDRHTXLNJ-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ocnc2C2CC2)[n-]1 ZINC001363888658 887478209 /nfs/dbraw/zinc/47/82/09/887478209.db2.gz RWWOUXDRHTXLNJ-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ocnc2C2CC2)n1 ZINC001363888658 887478217 /nfs/dbraw/zinc/47/82/17/887478217.db2.gz RWWOUXDRHTXLNJ-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM Cc1c[nH]c(CN2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)n1 ZINC001363918063 887538325 /nfs/dbraw/zinc/53/83/25/887538325.db2.gz FEPFFFVZLQMEJU-UWVGGRQHSA-N -1 1 304.316 1.750 20 0 DDADMM COC(=O)c1cccc2[n-]c(OC3=C(C)[C@@H](C)OC3=O)nc21 ZINC001234044848 887586980 /nfs/dbraw/zinc/58/69/80/887586980.db2.gz NVADCEJCIVDLLN-MRVPVSSYSA-N -1 1 302.286 1.948 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@]2(C)CCCC[C@@H]2O)sn1 ZINC001363970480 887638027 /nfs/dbraw/zinc/63/80/27/887638027.db2.gz PAOODRSSJJKGQU-JOYOIKCWSA-N -1 1 320.436 1.371 20 0 DDADMM CNc1ccc(C(=O)N[C@@H](COC)c2nn[n-]n2)c(Cl)c1 ZINC001364019964 887734297 /nfs/dbraw/zinc/73/42/97/887734297.db2.gz CHSZJUUICSQCEG-JTQLQIEISA-N -1 1 310.745 1.012 20 0 DDADMM COC[C@H](NC(=O)c1cccc(OCC(C)C)c1)c1nn[n-]n1 ZINC001364029207 887753700 /nfs/dbraw/zinc/75/37/00/887753700.db2.gz PPGJXIRTZOVHCC-ZDUSSCGKSA-N -1 1 319.365 1.352 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC[C@@H]2CC(C)(C)CO2)sn1 ZINC001364047043 887791532 /nfs/dbraw/zinc/79/15/32/887791532.db2.gz CKRAZICDFUWFJE-SECBINFHSA-N -1 1 320.436 1.635 20 0 DDADMM COC(=O)C[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(C)(C)C ZINC001364113684 887950719 /nfs/dbraw/zinc/95/07/19/887950719.db2.gz HTBXISFMCTYTQA-MRVPVSSYSA-N -1 1 323.802 1.319 20 0 DDADMM CC(C)(CNC(N)=O)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001364133892 887996134 /nfs/dbraw/zinc/99/61/34/887996134.db2.gz VTRKVRHCUCCHOX-UHFFFAOYSA-N -1 1 323.777 1.204 20 0 DDADMM COC(=O)CC1CCC(Oc2c(C(N)=O)nc(C)[n-]c2=O)CC1 ZINC001234477764 888011251 /nfs/dbraw/zinc/01/12/51/888011251.db2.gz PLNRLIFGTZTRMD-UHFFFAOYSA-N -1 1 323.349 1.090 20 0 DDADMM CCOC1CC(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)C1 ZINC001364248934 888218199 /nfs/dbraw/zinc/21/81/99/888218199.db2.gz YFYNPIFYNKLNIM-UHFFFAOYSA-N -1 1 309.366 1.012 20 0 DDADMM CCOC(=O)c1cn(CC)nc1NC(=O)c1cncc([O-])c1 ZINC001364416397 888588145 /nfs/dbraw/zinc/58/81/45/888588145.db2.gz FULULRNXONQMII-UHFFFAOYSA-N -1 1 304.306 1.433 20 0 DDADMM O=C(NC[C@@H](n1cncn1)C(F)(F)F)c1ccc(F)c([O-])c1 ZINC001364448700 888662423 /nfs/dbraw/zinc/66/24/23/888662423.db2.gz JXISFTBPKQBUDR-SNVBAGLBSA-N -1 1 318.230 1.656 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)Cc1ccncc1 ZINC001364787187 889413896 /nfs/dbraw/zinc/41/38/96/889413896.db2.gz DLAGVDWHLGQFJM-SECBINFHSA-N -1 1 313.404 1.456 20 0 DDADMM CC[C@H](C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001385629018 889460906 /nfs/dbraw/zinc/46/09/06/889460906.db2.gz WGUPTUGWUDAJDW-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2nccnc2C)c1 ZINC001364872685 889583611 /nfs/dbraw/zinc/58/36/11/889583611.db2.gz RYSTVVXCRDZKLI-UHFFFAOYSA-N -1 1 323.374 1.020 20 0 DDADMM Cc1nc(CC(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)cs1 ZINC001364978294 889803721 /nfs/dbraw/zinc/80/37/21/889803721.db2.gz RPPKNPXGMPHSQZ-UHFFFAOYSA-N -1 1 322.390 1.205 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001385932537 890015827 /nfs/dbraw/zinc/01/58/27/890015827.db2.gz ZJXJYOGCCAKLJL-ZMLRMANQSA-N -1 1 303.362 1.068 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1CCO[C@@H]2C[C@H](CO)C[C@@H]21 ZINC001238143704 890066680 /nfs/dbraw/zinc/06/66/80/890066680.db2.gz YIZOONIOLZIBQZ-UHOFOFEASA-N -1 1 305.374 1.665 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCC[C@]2(CNC(=O)O2)C1 ZINC001238239316 890144579 /nfs/dbraw/zinc/14/45/79/890144579.db2.gz UCKBSKFMARJEFW-HNNXBMFYSA-N -1 1 308.309 1.598 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2CCOCC23CCCC3)c(=O)[n-]1 ZINC001365161017 890224324 /nfs/dbraw/zinc/22/43/24/890224324.db2.gz VUKNHZDLHGVOJI-NSHDSACASA-N -1 1 323.418 1.983 20 0 DDADMM CCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1CC ZINC001416986367 890588325 /nfs/dbraw/zinc/58/83/25/890588325.db2.gz KNWYLKDMMMDZRK-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CNc1ccc(-c2ccc3nc(C(=O)OC)[n-]c3n2)c(F)n1 ZINC001239428184 890647216 /nfs/dbraw/zinc/64/72/16/890647216.db2.gz AWMKDBGNVYCTCR-UHFFFAOYSA-N -1 1 301.281 1.939 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1ccncc1C ZINC001365373872 890685601 /nfs/dbraw/zinc/68/56/01/890685601.db2.gz PTAZSDOJXNBNAV-UHFFFAOYSA-N -1 1 313.404 1.376 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CC1CCC1 ZINC001365447918 890812541 /nfs/dbraw/zinc/81/25/41/890812541.db2.gz UICAANYQGGCXCS-MRVPVSSYSA-N -1 1 301.368 1.053 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(OC)ns1)[C@@H]1CCCOC1 ZINC001365456936 890830008 /nfs/dbraw/zinc/83/00/08/890830008.db2.gz BSQSCRGIDDGCJL-NXEZZACHSA-N -1 1 320.436 1.635 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1nc[nH]c1Br)C1(C)CC1 ZINC001365467794 890851129 /nfs/dbraw/zinc/85/11/29/890851129.db2.gz YXAMBCOXQQSZNO-ZCFIWIBFSA-N -1 1 308.201 1.639 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@@H]4[C@H](C3)C4(F)F)ccnc1-2 ZINC001365509498 890948230 /nfs/dbraw/zinc/94/82/30/890948230.db2.gz HMZPMQIDLZIIJB-ZJUUUORDSA-N -1 1 307.304 1.461 20 0 DDADMM COc1cc(-c2c(F)cc([O-])cc2F)nc([S@@](C)=O)n1 ZINC001241118161 891121246 /nfs/dbraw/zinc/12/12/46/891121246.db2.gz KHARGLIENBITAQ-HXUWFJFHSA-N -1 1 300.286 1.873 20 0 DDADMM Cc1cc2c(c(-c3ccccc3[S@@](C)=O)n1)C(=O)[N-]C2=O ZINC001241339214 891148202 /nfs/dbraw/zinc/14/82/02/891148202.db2.gz YSMWOHLUFCKHLN-OAQYLSRUSA-N -1 1 300.339 1.678 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1cccc(F)c1)c1ccns1 ZINC001365666069 891277590 /nfs/dbraw/zinc/27/75/90/891277590.db2.gz HKOHERMEUAZCQO-SNVBAGLBSA-N -1 1 302.352 1.294 20 0 DDADMM CN1CCN(c2nccc(-c3cc(F)cc(C(=O)[O-])c3)n2)CC1 ZINC001241821408 891278357 /nfs/dbraw/zinc/27/83/57/891278357.db2.gz PTJQBPGBZNUUSD-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM CC[C@@H](C)C[C@H](CO)[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001365716744 891387839 /nfs/dbraw/zinc/38/78/39/891387839.db2.gz FBANYCQVNHJAEL-RKDXNWHRSA-N -1 1 308.425 1.227 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@]23CCC[C@H]2OCC3)sn1 ZINC001365728193 891407212 /nfs/dbraw/zinc/40/72/12/891407212.db2.gz IVGJLAQMJQLZCH-KCJUWKMLSA-N -1 1 304.393 1.142 20 0 DDADMM COCCCn1cc(-c2ccc3nc(C(=O)OC)[n-]c3n2)cn1 ZINC001242489680 891441535 /nfs/dbraw/zinc/44/15/35/891441535.db2.gz SGIAAYGIAIXGEZ-UHFFFAOYSA-N -1 1 315.333 1.645 20 0 DDADMM COCCOc1ccc(-c2nc(C)cc3c2C(=O)[N-]C3=O)cn1 ZINC001244428604 891855519 /nfs/dbraw/zinc/85/55/19/891855519.db2.gz UDVCTMBSXOTZLY-UHFFFAOYSA-N -1 1 313.313 1.361 20 0 DDADMM CCc1ncncc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244792558 891929023 /nfs/dbraw/zinc/92/90/23/891929023.db2.gz FFJQQJPNTZHAFY-UHFFFAOYSA-N -1 1 308.363 1.481 20 0 DDADMM O=C(CCCF)NCC1(CCNC(=O)c2ncccc2[O-])CC1 ZINC001396338971 913644052 /nfs/dbraw/zinc/64/40/52/913644052.db2.gz QYCXXSCPBSNFEW-UHFFFAOYSA-N -1 1 323.368 1.553 20 0 DDADMM C[C@H](C(=O)NCCN(C)C(=O)c1ncccc1[O-])c1ccco1 ZINC001387109384 892401719 /nfs/dbraw/zinc/40/17/19/892401719.db2.gz WDCFMYISKRNBDH-NSHDSACASA-N -1 1 317.345 1.372 20 0 DDADMM C[C@H]1CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C[C@H]1O ZINC001366261888 892928995 /nfs/dbraw/zinc/92/89/95/892928995.db2.gz HUJHBRXBKOXOHL-SMDDNHRTSA-N -1 1 316.361 1.813 20 0 DDADMM CSCCCNC(=S)Nc1cc(=O)[n-]c(SC)n1 ZINC001247796981 893377188 /nfs/dbraw/zinc/37/71/88/893377188.db2.gz KFWLVJCFXCMDLF-UHFFFAOYSA-N -1 1 304.466 1.944 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cnc3c(c2)NCCO3)cc1N ZINC001249804338 894129597 /nfs/dbraw/zinc/12/95/97/894129597.db2.gz HLTSOXNEYCQEKL-UHFFFAOYSA-N -1 1 320.374 1.577 20 0 DDADMM O=[P@]([O-])(O)CCNS(=O)(=O)c1ccc2ccccc2c1 ZINC001250731596 894388795 /nfs/dbraw/zinc/38/87/95/894388795.db2.gz UZNFMANUPHMWJO-UHFFFAOYSA-N -1 1 315.287 1.296 20 0 DDADMM COc1ccc(C(C)=O)c([N-]S(=O)(=O)N(C)C)c1OC ZINC001251086899 894628776 /nfs/dbraw/zinc/62/87/76/894628776.db2.gz FSNWQAROEBGUIG-UHFFFAOYSA-N -1 1 302.352 1.125 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])Cc1csnn1 ZINC001366715122 894681133 /nfs/dbraw/zinc/68/11/33/894681133.db2.gz IJOFUKMVCBEOER-UHFFFAOYSA-N -1 1 321.406 1.281 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CC1(F)F ZINC001388239275 894847146 /nfs/dbraw/zinc/84/71/46/894847146.db2.gz CWTUONGAWJGSNV-SECBINFHSA-N -1 1 313.304 1.021 20 0 DDADMM Cc1ccoc1C(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001366815048 894992560 /nfs/dbraw/zinc/99/25/60/894992560.db2.gz HGKMSMIKXLMWQU-NSHDSACASA-N -1 1 319.365 1.054 20 0 DDADMM Cn1ncc(Br)c1[N-]S(=O)(=O)C(F)(F)F ZINC001252281055 895056572 /nfs/dbraw/zinc/05/65/72/895056572.db2.gz CGSNFCAXXKUUBF-UHFFFAOYSA-N -1 1 308.079 1.444 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(CC2CCCC2)C1)C(F)(F)F ZINC001252395660 895117025 /nfs/dbraw/zinc/11/70/25/895117025.db2.gz GADDUNZGGCGJQD-JTQLQIEISA-N -1 1 300.346 1.690 20 0 DDADMM CCC(=O)NC[C@H]1[C@@H](C)CCCN1C(=O)c1ncccc1[O-] ZINC001388818027 895978946 /nfs/dbraw/zinc/97/89/46/895978946.db2.gz MNUVNUISKJVUSB-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1cccc2c1CC(=O)NC2 ZINC001254619774 896538812 /nfs/dbraw/zinc/53/88/12/896538812.db2.gz WPAHSHJMBDNRLY-UHFFFAOYSA-N -1 1 316.382 1.968 20 0 DDADMM CCC[C@H](CC)C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001389388928 897099941 /nfs/dbraw/zinc/09/99/41/897099941.db2.gz IACTXTQNQUKCMW-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)CCC(C)(C)C ZINC001367705198 897521943 /nfs/dbraw/zinc/52/19/43/897521943.db2.gz BQRXDIIGAQUDHE-WDEREUQCSA-N -1 1 311.430 1.567 20 0 DDADMM CC[C@H](C)CC(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001389705626 897829403 /nfs/dbraw/zinc/82/94/03/897829403.db2.gz ARISNQFXYTYJJV-QWHCGFSZSA-N -1 1 323.441 1.617 20 0 DDADMM CC[C@H](C)N1CCC[C@H]1C(=O)[N-]S(=O)(=O)c1cccs1 ZINC001257445345 897852341 /nfs/dbraw/zinc/85/23/41/897852341.db2.gz ANNYEIFMVNQWAD-QWRGUYRKSA-N -1 1 316.448 1.816 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]COc1ccccc1 ZINC001258473256 898201853 /nfs/dbraw/zinc/20/18/53/898201853.db2.gz OISHYQJDAPGYRP-UHFFFAOYSA-N -1 1 315.782 1.697 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)cn1 ZINC001259034332 898433486 /nfs/dbraw/zinc/43/34/86/898433486.db2.gz SYIQRPLWPJESTF-UHFFFAOYSA-N -1 1 317.292 1.681 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@]12CCCOC2)c1cc(F)c(F)cc1F ZINC001259044854 898440315 /nfs/dbraw/zinc/44/03/15/898440315.db2.gz DVTOUSHDUWBVRE-OLZOCXBDSA-N -1 1 321.320 1.951 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC[C@H]1F)c1cc(Cl)ccc1F ZINC001259460362 898679921 /nfs/dbraw/zinc/67/99/21/898679921.db2.gz AXMWXIJYGYASSW-NXEZZACHSA-N -1 1 311.737 1.884 20 0 DDADMM CCC(CC)C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001368134893 898778662 /nfs/dbraw/zinc/77/86/62/898778662.db2.gz JSVINVXSJLZUCE-CYBMUJFWSA-N -1 1 323.441 1.711 20 0 DDADMM O=c1[nH]cnc([O-])c1NS(=O)(=O)c1ccc2c(c1)CCC2 ZINC001259855592 898871129 /nfs/dbraw/zinc/87/11/29/898871129.db2.gz JROYSQGTSVDQHW-UHFFFAOYSA-N -1 1 307.331 1.177 20 0 DDADMM Cc1cccc2c1[C@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)N2 ZINC001259873771 898882868 /nfs/dbraw/zinc/88/28/68/898882868.db2.gz HEGHSKJFHTUXIG-JTQLQIEISA-N -1 1 322.308 1.860 20 0 DDADMM O=C(c1cccc(F)c1)N1CC[C@H]([N-]S(=O)(=O)C(F)F)C1 ZINC001259963909 898987114 /nfs/dbraw/zinc/98/71/14/898987114.db2.gz UCOCKWATKUIIBK-JTQLQIEISA-N -1 1 322.308 1.182 20 0 DDADMM COc1ccc2c(c1)C[C@@H](C[N-]S(=O)(=O)C(F)F)CO2 ZINC001259964288 898988475 /nfs/dbraw/zinc/98/84/75/898988475.db2.gz KQGNEINZKNLIKH-QMMMGPOBSA-N -1 1 307.318 1.388 20 0 DDADMM COc1ccc2c(c1)C[C@@H]([N-]S(=O)(=O)C(F)F)CS2 ZINC001259964918 898988494 /nfs/dbraw/zinc/98/84/94/898988494.db2.gz NNXREGLBBLVFKI-MRVPVSSYSA-N -1 1 309.359 1.854 20 0 DDADMM CC(C)(F)C(=O)N1CC[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001390259063 899027944 /nfs/dbraw/zinc/02/79/44/899027944.db2.gz MQKNDZNIROWZMF-MRXNPFEDSA-N -1 1 323.368 1.504 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cnnc(Cl)c2)cc1 ZINC001260221553 899095631 /nfs/dbraw/zinc/09/56/31/899095631.db2.gz WUQPAJPZRWJYAB-UHFFFAOYSA-N -1 1 313.722 1.629 20 0 DDADMM O=S(=O)([N-]CCn1ccnc1)c1cc(F)c(F)cc1Cl ZINC001260277776 899109475 /nfs/dbraw/zinc/10/94/75/899109475.db2.gz YPHOXNNQLYQTCE-UHFFFAOYSA-N -1 1 321.736 1.793 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc2scnc2c1 ZINC001260590584 899177175 /nfs/dbraw/zinc/17/71/75/899177175.db2.gz JOGLNBSVEFCXFR-UHFFFAOYSA-N -1 1 306.390 1.040 20 0 DDADMM Cc1cnc([N-]S(=O)(=O)Cc2ccccc2Cl)c(=O)[nH]1 ZINC001260700412 899224065 /nfs/dbraw/zinc/22/40/65/899224065.db2.gz YHUFVVMAMDICOL-UHFFFAOYSA-N -1 1 313.766 1.674 20 0 DDADMM CCc1ccc(OC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)c(OC)c1 ZINC001261373037 899522041 /nfs/dbraw/zinc/52/20/41/899522041.db2.gz RAOUIELZUAXEOI-UHFFFAOYSA-N -1 1 320.301 1.687 20 0 DDADMM COc1ncc(NS(=O)(=O)c2cc(C(=O)[O-])ccc2C)cn1 ZINC000384135544 900757246 /nfs/dbraw/zinc/75/72/46/900757246.db2.gz BUZXIWHVRPJCBM-UHFFFAOYSA-N -1 1 323.330 1.293 20 0 DDADMM CC1(/C=C/C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)CCOCC1 ZINC001263911760 900814618 /nfs/dbraw/zinc/81/46/18/900814618.db2.gz RGZDKYXMBUKLSC-MUBLQREKSA-N -1 1 319.409 1.354 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1C[C@H]1C ZINC001391581516 902060259 /nfs/dbraw/zinc/06/02/59/902060259.db2.gz HMZPIMZMIPZAAH-IJLUTSLNSA-N -1 1 305.378 1.314 20 0 DDADMM CC[C@@H](CNC(=O)C1(CC(C)C)CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001391711711 902418047 /nfs/dbraw/zinc/41/80/47/902418047.db2.gz KTWSFTGVMJDGRA-LBPRGKRZSA-N -1 1 323.441 1.711 20 0 DDADMM CCN(CCCNC(=O)C1C(C)(C)C1(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001266030424 902797486 /nfs/dbraw/zinc/79/74/86/902797486.db2.gz PYAOOQQRVZCBCV-UHFFFAOYSA-N -1 1 323.441 1.521 20 0 DDADMM Cc1cocc1C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)CC(C)C ZINC001392173158 903447464 /nfs/dbraw/zinc/44/74/64/903447464.db2.gz UUAHZRZUSXHJLI-LLVKDONJSA-N -1 1 321.381 1.346 20 0 DDADMM CC(C)=CC(=O)N[C@@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001280739450 903974175 /nfs/dbraw/zinc/97/41/75/903974175.db2.gz QCINAYHXFTWPTL-OLZOCXBDSA-N -1 1 317.389 1.911 20 0 DDADMM C[C@@H](CCCNC(=O)C(C)(C)F)NC(=O)c1ncccc1[O-] ZINC001280811222 904071308 /nfs/dbraw/zinc/07/13/08/904071308.db2.gz BSGFQLNYZNNBMU-JTQLQIEISA-N -1 1 311.357 1.550 20 0 DDADMM C[C@H](C[C@@H](C)NC(=O)C1(C)CCC1)NC(=O)c1ncccc1[O-] ZINC001371278091 904626819 /nfs/dbraw/zinc/62/68/19/904626819.db2.gz PXXTXNPNTLXRBF-VXGBXAGGSA-N -1 1 319.405 1.991 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)CC(C)C ZINC001371322412 904699428 /nfs/dbraw/zinc/69/94/28/904699428.db2.gz BUEFWSREEHQDFE-GFCCVEGCSA-N -1 1 307.394 1.800 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)CC1CCC1 ZINC001371332720 904720802 /nfs/dbraw/zinc/72/08/02/904720802.db2.gz CUUPYSDQJWHSNG-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CCCC[C@@H](C)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001371973072 906138824 /nfs/dbraw/zinc/13/88/24/906138824.db2.gz UZJGPBYGTZZRBO-CYBMUJFWSA-N -1 1 319.405 1.898 20 0 DDADMM C/C(=C/C(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001283484932 907437963 /nfs/dbraw/zinc/43/79/63/907437963.db2.gz WOVWORRKPAQTNU-SZNGSJQDSA-N -1 1 319.409 1.193 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])Cc1nccs1 ZINC001393613578 907485331 /nfs/dbraw/zinc/48/53/31/907485331.db2.gz FMGGHLMTPHUDHE-UHFFFAOYSA-N -1 1 320.418 1.838 20 0 DDADMM C[C@@H](NC(=O)C1=CCCCCC1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001393932470 908298489 /nfs/dbraw/zinc/29/84/89/908298489.db2.gz LNTNGZRMSPJWNN-WDEREUQCSA-N -1 1 307.398 1.384 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1occc1Cl ZINC001372831970 908376541 /nfs/dbraw/zinc/37/65/41/908376541.db2.gz HEFCKYDVXGDAER-QMMMGPOBSA-N -1 1 323.736 1.582 20 0 DDADMM CCC1(C(=O)NC/C=C/CNC(=O)c2ncccc2[O-])CC1 ZINC001285528842 910740656 /nfs/dbraw/zinc/74/06/56/910740656.db2.gz AMBRIWRXPVMVAI-ONEGZZNKSA-N -1 1 303.362 1.380 20 0 DDADMM CC[C@@H](C)OCC(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001395069724 911289622 /nfs/dbraw/zinc/28/96/22/911289622.db2.gz UEWBCLCDZHRICR-VXGBXAGGSA-N -1 1 323.393 1.179 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CCCC1CC1 ZINC001395070866 911291684 /nfs/dbraw/zinc/29/16/84/911291684.db2.gz WXKJEMLJSNAXSH-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@@H](C)CC(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001397010670 914955909 /nfs/dbraw/zinc/95/59/09/914955909.db2.gz MZMKLDSPHNBBRT-YPMHNXCESA-N -1 1 319.405 1.848 20 0 DDADMM O=C(N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1)c1ccoc1 ZINC001397014644 914962421 /nfs/dbraw/zinc/96/24/21/914962421.db2.gz KOUXQPVEMIICMB-LBPRGKRZSA-N -1 1 315.329 1.319 20 0 DDADMM C[C@@H](CNC(=O)C1C=CC=CC=C1)NC(=O)c1ncccc1[O-] ZINC001397454067 916062950 /nfs/dbraw/zinc/06/29/50/916062950.db2.gz JOGBQFCONIACKH-LBPRGKRZSA-N -1 1 313.357 1.320 20 0 DDADMM O=C(CCCF)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001397647366 916599477 /nfs/dbraw/zinc/59/94/77/916599477.db2.gz LZVWUHQFBIOAGL-LLVKDONJSA-N -1 1 309.341 1.115 20 0 DDADMM Cc1cc(CN[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])no1 ZINC001377794281 923063752 /nfs/dbraw/zinc/06/37/52/923063752.db2.gz YWDLCERHBUDAIS-WDEREUQCSA-N -1 1 304.350 1.380 20 0 DDADMM O=C(NC[C@@H]1CC(=O)N(C2CC2)C1)c1cc(Cl)ccc1[O-] ZINC000181216749 199267170 /nfs/dbraw/zinc/26/71/70/199267170.db2.gz NHDRBFFNWAVCKC-VIFPVBQESA-N -1 1 308.765 1.786 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3c3cccnc3)nc2n1 ZINC000622993254 365585766 /nfs/dbraw/zinc/58/57/66/365585766.db2.gz HBFRIXDRJUAMBD-NWDGAFQWSA-N -1 1 324.344 1.117 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CC[C@H](C)C3)nc2n1 ZINC000622994432 365587067 /nfs/dbraw/zinc/58/70/67/365587067.db2.gz OTGZWQPJQDNICM-VHSXEESVSA-N -1 1 303.366 1.745 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3C(C)(C)C3(C)C)nc2n1 ZINC000622993990 365587643 /nfs/dbraw/zinc/58/76/43/365587643.db2.gz AKZOPMHAWAMXCA-UHFFFAOYSA-N -1 1 303.366 1.601 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@H](CC)C(C)C)nc2n1 ZINC000622995356 365588808 /nfs/dbraw/zinc/58/88/08/365588808.db2.gz YQQCXCQGSSMQOH-JTQLQIEISA-N -1 1 305.382 1.991 20 0 DDADMM C[C@H](Cc1ccco1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614391814 361835543 /nfs/dbraw/zinc/83/55/43/361835543.db2.gz VYEALWIZQNLOAN-GHMZBOCLSA-N -1 1 305.334 1.953 20 0 DDADMM O=C(COc1ccccc1O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614386530 361830943 /nfs/dbraw/zinc/83/09/43/361830943.db2.gz DNPDAFDFWVGLTB-SNVBAGLBSA-N -1 1 319.317 1.266 20 0 DDADMM O=C(c1ccnn1C(F)F)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614389514 361832252 /nfs/dbraw/zinc/83/22/52/361832252.db2.gz NWPBXUIVCGJOSS-SSDOTTSWSA-N -1 1 313.264 1.387 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(C)c1C)c1ccccc1 ZINC000451029942 230993039 /nfs/dbraw/zinc/99/30/39/230993039.db2.gz SSCFTCOGINQDIM-CQSZACIVSA-N -1 1 309.391 1.858 20 0 DDADMM O=S(=O)([N-][C@@H](CO)[C@@H]1CCCO1)c1sccc1Cl ZINC000451476111 231106946 /nfs/dbraw/zinc/10/69/46/231106946.db2.gz DXWGROJBXXNTGX-IUCAKERBSA-N -1 1 311.812 1.220 20 0 DDADMM NC(=O)CCCC(=O)Nc1nc(Br)ccc1[O-] ZINC000278779908 214395964 /nfs/dbraw/zinc/39/59/64/214395964.db2.gz VSGMYOOSPKLFKX-UHFFFAOYSA-N -1 1 302.128 1.144 20 0 DDADMM CO[C@@H]1COCC[C@H]1C[N-]S(=O)(=O)c1sccc1F ZINC000451791478 231193312 /nfs/dbraw/zinc/19/33/12/231193312.db2.gz QFTOBLARRIHDKJ-WCBMZHEXSA-N -1 1 309.384 1.217 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1c[nH]c(C(C)C)n1)c1ccco1 ZINC000080804780 539165594 /nfs/dbraw/zinc/16/55/94/539165594.db2.gz RTEYYPCJKDOAMF-SNVBAGLBSA-N -1 1 313.379 1.792 20 0 DDADMM Cn1cc(CNC(=O)c2cc(Br)ccc2[O-])nn1 ZINC000092081561 539175240 /nfs/dbraw/zinc/17/52/40/539175240.db2.gz JSPLOQMKMBEEAJ-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM CC1(C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2[O-])CC1 ZINC000133582794 539191198 /nfs/dbraw/zinc/19/11/98/539191198.db2.gz HYVBWQSTKWMODR-UHFFFAOYSA-N -1 1 310.375 1.572 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)c1 ZINC000615054079 362129435 /nfs/dbraw/zinc/12/94/35/362129435.db2.gz MNAWSEAHEIEDSB-JLLWLGSASA-N -1 1 324.402 1.675 20 0 DDADMM CCOc1c(Cl)cc(C=CC(=O)Nc2nnn[n-]2)cc1OC ZINC000255362235 282250473 /nfs/dbraw/zinc/25/04/73/282250473.db2.gz OWRLFRJAGIKJML-PLNGDYQASA-N -1 1 323.740 1.912 20 0 DDADMM CCOc1c(Cl)cc(C=CC(=O)Nc2nn[n-]n2)cc1OC ZINC000255362235 282250476 /nfs/dbraw/zinc/25/04/76/282250476.db2.gz OWRLFRJAGIKJML-PLNGDYQASA-N -1 1 323.740 1.912 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCS(=O)(=O)C[C@H]1C1CC1 ZINC000615780793 362437597 /nfs/dbraw/zinc/43/75/97/362437597.db2.gz VXPKVUQZNRXNAG-ZDUSSCGKSA-N -1 1 313.350 1.181 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(OCC)c2)n[n-]1 ZINC000615920466 362488329 /nfs/dbraw/zinc/48/83/29/362488329.db2.gz VUQOUYVOHYADHC-UHFFFAOYSA-N -1 1 318.333 1.310 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(OCC)c2)n1 ZINC000615920466 362488333 /nfs/dbraw/zinc/48/83/33/362488333.db2.gz VUQOUYVOHYADHC-UHFFFAOYSA-N -1 1 318.333 1.310 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCC(=O)C[C@H]2C)c1Br ZINC000616007613 362518023 /nfs/dbraw/zinc/51/80/23/362518023.db2.gz VMDWROQCKGVABT-HZGVNTEJSA-N -1 1 314.183 1.846 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCC1CSC1 ZINC000616062145 362535019 /nfs/dbraw/zinc/53/50/19/362535019.db2.gz YSJBJDHKBKXPGC-UHFFFAOYSA-N -1 1 320.418 1.464 20 0 DDADMM O=C(Cc1cccc(OC(F)F)c1)NC1(c2nn[n-]n2)CC1 ZINC000357049212 539473381 /nfs/dbraw/zinc/47/33/81/539473381.db2.gz HLGDQHPDPHVBKI-UHFFFAOYSA-N -1 1 309.276 1.149 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)C[C@@H]3CC(=O)N3)[nH][n-]2)c1 ZINC000616250861 362602155 /nfs/dbraw/zinc/60/21/55/362602155.db2.gz CQWQIAGCBHPQQP-VIFPVBQESA-N -1 1 302.309 1.163 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C12CCC2 ZINC000458182497 232267901 /nfs/dbraw/zinc/26/79/01/232267901.db2.gz HUFXLNYJMISZRU-NEPJUHHUSA-N -1 1 316.361 1.361 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)C[C@H]3CCC(=O)N3C)[nH][n-]2)s1 ZINC000616810919 362829859 /nfs/dbraw/zinc/82/98/59/362829859.db2.gz BNWOEJHEZYLMNC-SNVBAGLBSA-N -1 1 318.402 1.818 20 0 DDADMM O=S(=O)([N-]CCOC(F)(F)F)c1ccc(F)cc1F ZINC000353842068 290853646 /nfs/dbraw/zinc/85/36/46/290853646.db2.gz MKEIEBSDVJSPSR-UHFFFAOYSA-N -1 1 305.224 1.780 20 0 DDADMM CC(C)c1nn(C)cc1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000287014827 219270660 /nfs/dbraw/zinc/27/06/60/219270660.db2.gz BXFMJFZEWCGSSU-WQRHYEAKSA-N -1 1 313.365 1.843 20 0 DDADMM COCc1nc2n(n1)C[C@H](NC(=O)c1cc(F)ccc1[O-])CC2 ZINC000138399277 186016185 /nfs/dbraw/zinc/01/61/85/186016185.db2.gz UKIZOXDKDOYTLQ-SNVBAGLBSA-N -1 1 320.324 1.014 20 0 DDADMM COCc1nc2n(n1)C[C@@H](NC(=O)c1cc(F)ccc1[O-])CC2 ZINC000138399339 186016451 /nfs/dbraw/zinc/01/64/51/186016451.db2.gz UKIZOXDKDOYTLQ-JTQLQIEISA-N -1 1 320.324 1.014 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCS[C@@H](C)[C@H]2C)co1 ZINC000152465335 186075916 /nfs/dbraw/zinc/07/59/16/186075916.db2.gz IORUEOLGUZLHHT-BDAKNGLRSA-N -1 1 318.420 1.154 20 0 DDADMM CN(C)C(=O)NCCNC(=O)c1ccc2ccccc2c1[O-] ZINC000179720302 186209895 /nfs/dbraw/zinc/20/98/95/186209895.db2.gz RKIMBZUWYVCXKK-UHFFFAOYSA-N -1 1 301.346 1.546 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CCS(=O)(=O)C1 ZINC000191846927 186262990 /nfs/dbraw/zinc/26/29/90/186262990.db2.gz IHFNOKONPNQWIZ-VIFPVBQESA-N -1 1 317.794 1.552 20 0 DDADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCCCC1 ZINC000343663851 282718698 /nfs/dbraw/zinc/71/86/98/282718698.db2.gz ZVQDEDROVOSULC-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1ccc(-c2ncon2)cc1 ZINC000172368357 198085816 /nfs/dbraw/zinc/08/58/16/198085816.db2.gz NCBFKWBLBQWUMO-LBPRGKRZSA-N -1 1 309.347 1.657 20 0 DDADMM COC(=O)c1ccc(F)cc1S(=O)(=O)[N-]C1(CF)CCC1 ZINC000564906310 304025314 /nfs/dbraw/zinc/02/53/14/304025314.db2.gz JVXKMWGSBIWIEF-UHFFFAOYSA-N -1 1 319.329 1.783 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)CCC(C)C)o1 ZINC000030567078 352255001 /nfs/dbraw/zinc/25/50/01/352255001.db2.gz RIUJXBVVHNAISR-JTQLQIEISA-N -1 1 302.396 1.742 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NCC2CC2)o1 ZINC000032955983 352286364 /nfs/dbraw/zinc/28/63/64/352286364.db2.gz LGCOOGVYMSYKTB-UHFFFAOYSA-N -1 1 300.380 1.496 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(C)n1C ZINC000331767288 234098504 /nfs/dbraw/zinc/09/85/04/234098504.db2.gz CJGUQKJTOWXNGG-LLVKDONJSA-N -1 1 304.350 1.750 20 0 DDADMM CCO[N-]C(=O)[C@H]1CC(=O)N(c2cc(Cl)ccc2OC)C1 ZINC000053720219 352665623 /nfs/dbraw/zinc/66/56/23/352665623.db2.gz OJADOAYJEIBVCU-VIFPVBQESA-N -1 1 312.753 1.769 20 0 DDADMM COCCOC[C@@H]1CCN(Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000072796419 191230941 /nfs/dbraw/zinc/23/09/41/191230941.db2.gz MHEZEEOOLWUMKX-LLVKDONJSA-N -1 1 323.418 1.882 20 0 DDADMM Cn1ccnc1SCc1ccc(C(=O)Nc2nn[n-]n2)o1 ZINC000060380386 352870056 /nfs/dbraw/zinc/87/00/56/352870056.db2.gz YFVJXEJLIGLDNT-UHFFFAOYSA-N -1 1 305.323 1.071 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCSC(C)(C)C)c1Cl ZINC000066936221 353026731 /nfs/dbraw/zinc/02/67/31/353026731.db2.gz APPXPNMVJZVAIX-UHFFFAOYSA-N -1 1 311.860 1.884 20 0 DDADMM C[C@H]1CN(CCNC(=O)c2cc(Cl)ccc2[O-])[C@@H](C)CO1 ZINC000617739378 363278399 /nfs/dbraw/zinc/27/83/99/363278399.db2.gz XWINADJQNQNDDC-QWRGUYRKSA-N -1 1 312.797 1.885 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)Nc1cnoc1 ZINC000624009603 366149551 /nfs/dbraw/zinc/14/95/51/366149551.db2.gz HRFYYJCBOKKHEF-UHFFFAOYSA-N -1 1 311.301 1.722 20 0 DDADMM CCn1ccc(=NC(=O)CCc2c(C)nc(SC)[n-]c2=O)[nH]1 ZINC000618038435 363437984 /nfs/dbraw/zinc/43/79/84/363437984.db2.gz FDBSHNSYYPXNJB-UHFFFAOYSA-N -1 1 321.406 1.422 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@H](C)c3ccccc3)nc2n1 ZINC000588006281 354892696 /nfs/dbraw/zinc/89/26/96/354892696.db2.gz BENPHMLYCOPQTP-JTQLQIEISA-N -1 1 311.345 1.858 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N2C[C@H](C)O[C@@H](C)C2)n1 ZINC000005155003 181211268 /nfs/dbraw/zinc/21/12/68/181211268.db2.gz AUDWLYUBXISWSX-UWVGGRQHSA-N -1 1 311.407 1.060 20 0 DDADMM COCCN1CC[C@@H](NC(=O)c2c([O-])cc(F)cc2F)C1 ZINC000594186889 356134544 /nfs/dbraw/zinc/13/45/44/356134544.db2.gz UPDGSFBKLLCDFV-SNVBAGLBSA-N -1 1 300.305 1.121 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](CC)C2CC2)o1 ZINC000594858929 356341147 /nfs/dbraw/zinc/34/11/47/356341147.db2.gz ZVYIOZKRJBRSRH-SNVBAGLBSA-N -1 1 301.364 1.923 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCCC2CC2)cc1C ZINC000595312108 356444379 /nfs/dbraw/zinc/44/43/79/356444379.db2.gz LJLYUYBXIIGDOF-UHFFFAOYSA-N -1 1 301.364 1.843 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCC[C@@H]2C)cc1C ZINC000595319094 356447387 /nfs/dbraw/zinc/44/73/87/356447387.db2.gz WRZGNIMFADPVAC-WPRPVWTQSA-N -1 1 301.364 1.842 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@@H]2CCCc3cn[nH]c32)C[C@@H]1C(=O)[O-] ZINC000579349111 422752862 /nfs/dbraw/zinc/75/28/62/422752862.db2.gz ARGQBNMSMGFKND-ADEWGFFLSA-N -1 1 306.366 1.539 20 0 DDADMM Cc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1OC(F)F ZINC000276926277 213180874 /nfs/dbraw/zinc/18/08/74/213180874.db2.gz UJGPZRCKAGUNHO-UHFFFAOYSA-N -1 1 314.248 1.185 20 0 DDADMM CCOC(=O)[C@H]1CCCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000598777164 357725545 /nfs/dbraw/zinc/72/55/45/357725545.db2.gz XNEJLJHGTQOJKD-GFCCVEGCSA-N -1 1 323.418 1.697 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)n1 ZINC000598935994 357763650 /nfs/dbraw/zinc/76/36/50/357763650.db2.gz IMFQLCYAUNCOPN-WCBMZHEXSA-N -1 1 317.349 1.614 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-][C@H](C(=O)OC)C(C)(C)C)C1CC1 ZINC000599237519 357858953 /nfs/dbraw/zinc/85/89/53/357858953.db2.gz RWQUELWTGVGDDP-NWDGAFQWSA-N -1 1 321.439 1.309 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H](C)c2ccccn2)co1 ZINC000179375998 199024389 /nfs/dbraw/zinc/02/43/89/199024389.db2.gz IFPRXSNWSXZKKI-SNVBAGLBSA-N -1 1 323.374 1.416 20 0 DDADMM COC(=O)C1C[C@@H](C)N(Cc2cc(=O)n3[n-]ccc3n2)[C@H](C)C1 ZINC000599694448 358028816 /nfs/dbraw/zinc/02/88/16/358028816.db2.gz HIHCRFQUZFVPHW-GHMZBOCLSA-N -1 1 318.377 1.185 20 0 DDADMM CC(=O)NCCCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180366968 199150478 /nfs/dbraw/zinc/15/04/78/199150478.db2.gz XCABDQDGQPIHFA-UHFFFAOYSA-N -1 1 304.268 1.667 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(c2ccc(Cl)cc2)CCCC1 ZINC000600493580 358239222 /nfs/dbraw/zinc/23/92/22/358239222.db2.gz BZVQLMXCWAUTKH-UHFFFAOYSA-N -1 1 305.769 1.981 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(c2ccc(F)cc2)CCCCC1 ZINC000600496241 358241119 /nfs/dbraw/zinc/24/11/19/358241119.db2.gz SIGYYBFQRQYFGT-UHFFFAOYSA-N -1 1 303.341 1.857 20 0 DDADMM COC(=O)C(C)(C)[C@@H]1CCCN(Cc2cc(C(=O)[O-])nn2C)C1 ZINC000602066196 358798028 /nfs/dbraw/zinc/79/80/28/358798028.db2.gz MFAKWMUXUCXCTK-LLVKDONJSA-N -1 1 323.393 1.530 20 0 DDADMM CC[C@@H](Cc1ccccc1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000602325553 358930745 /nfs/dbraw/zinc/93/07/45/358930745.db2.gz SQTVFMIIMJTOJQ-NSHDSACASA-N -1 1 322.390 1.416 20 0 DDADMM CC[C@@H](Cc1ccccc1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000602325553 358930748 /nfs/dbraw/zinc/93/07/48/358930748.db2.gz SQTVFMIIMJTOJQ-NSHDSACASA-N -1 1 322.390 1.416 20 0 DDADMM COC(=O)c1cccc(CN2CCC(c3n[n-]c(=N)o3)CC2)c1 ZINC000602871927 359255958 /nfs/dbraw/zinc/25/59/58/359255958.db2.gz UMDLFTQCRLKGIC-UHFFFAOYSA-N -1 1 316.361 1.648 20 0 DDADMM CCC[C@H]1CCC[C@@H]1C(=O)NCc1n[n-]c(C(=O)OCC)n1 ZINC000603023501 359365984 /nfs/dbraw/zinc/36/59/84/359365984.db2.gz UUPBCRDNCVZAFJ-QWRGUYRKSA-N -1 1 308.382 1.814 20 0 DDADMM CCC[C@H]1CCC[C@@H]1C(=O)NCc1nc(C(=O)OCC)n[n-]1 ZINC000603023501 359365986 /nfs/dbraw/zinc/36/59/86/359365986.db2.gz UUPBCRDNCVZAFJ-QWRGUYRKSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C(C)(C)C2CCC2)n[n-]1 ZINC000603152211 359439264 /nfs/dbraw/zinc/43/92/64/359439264.db2.gz BIHOLSKFVLRZSB-SECBINFHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C(C)(C)C2CCC2)[n-]1 ZINC000603152211 359439267 /nfs/dbraw/zinc/43/92/67/359439267.db2.gz BIHOLSKFVLRZSB-SECBINFHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C(C)(C)C2CCC2)n1 ZINC000603152211 359439271 /nfs/dbraw/zinc/43/92/71/359439271.db2.gz BIHOLSKFVLRZSB-SECBINFHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)CC[C@@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000089690044 192844189 /nfs/dbraw/zinc/84/41/89/192844189.db2.gz CLIGEQIRYNSFBN-SNVBAGLBSA-N -1 1 317.345 1.385 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2c(c1)OCCCO2)c1nn[n-]n1 ZINC000187261426 200084624 /nfs/dbraw/zinc/08/46/24/200084624.db2.gz CNKSMCMZGODMOT-JTQLQIEISA-N -1 1 317.349 1.237 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)NC(=O)NC2CCCC2)n1 ZINC000565753317 304088491 /nfs/dbraw/zinc/08/84/91/304088491.db2.gz BJOITPMEPXZSLG-UHFFFAOYSA-N -1 1 324.406 1.605 20 0 DDADMM C[C@@H](NCc1nc(=O)n(C)[n-]1)c1cnn(Cc2ccccc2)c1 ZINC000348386441 283490493 /nfs/dbraw/zinc/49/04/93/283490493.db2.gz MMVQMLCVJRWLPA-GFCCVEGCSA-N -1 1 312.377 1.204 20 0 DDADMM Cc1cccc(OCC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000605381531 359848460 /nfs/dbraw/zinc/84/84/60/359848460.db2.gz YIYGWRWJYCQNKS-UHFFFAOYSA-N -1 1 301.350 1.473 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc2c([nH]c(=O)cc2C(F)(F)F)o1 ZINC000605530377 359866110 /nfs/dbraw/zinc/86/61/10/359866110.db2.gz RUMRLVUPWLRHKF-UHFFFAOYSA-N -1 1 314.183 1.318 20 0 DDADMM O=C(CS(=O)(=O)C1CCCC1)Nc1c([O-])cccc1F ZINC000605437821 359857114 /nfs/dbraw/zinc/85/71/14/359857114.db2.gz QCIFHCVLTZZOQA-UHFFFAOYSA-N -1 1 301.339 1.827 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-][C@@H](C)c1nc(C)no1)C1CC1 ZINC000624943804 366628740 /nfs/dbraw/zinc/62/87/40/366628740.db2.gz UCBWMOWHCITJFS-KWQFWETISA-N -1 1 303.384 1.174 20 0 DDADMM Cc1csc([C@H]2COCCN2C(=O)c2ncccc2[O-])n1 ZINC000189021350 200336990 /nfs/dbraw/zinc/33/69/90/200336990.db2.gz STLRUSJMBROZAZ-SNVBAGLBSA-N -1 1 305.359 1.766 20 0 DDADMM CCO[C@@H](C)c1ncc(C(=O)[N-]c2nn3cnnc3s2)s1 ZINC000608350681 360162987 /nfs/dbraw/zinc/16/29/87/360162987.db2.gz HXAJHEUANQVKNG-LURJTMIESA-N -1 1 324.391 1.992 20 0 DDADMM COC(=O)C[C@@H](O)CSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000610510108 360456673 /nfs/dbraw/zinc/45/66/73/360456673.db2.gz UHUSTVBMXYRHDM-RXMQYKEDSA-N -1 1 312.269 1.217 20 0 DDADMM Cc1cnc(SCCN2C(=O)NC3(CCCC3)C2=O)[n-]c1=O ZINC000579377463 422763041 /nfs/dbraw/zinc/76/30/41/422763041.db2.gz PJNTWOBATDZSGI-UHFFFAOYSA-N -1 1 322.390 1.447 20 0 DDADMM COC1(CNC(=O)c2ncc3ccccc3c2[O-])CCOCC1 ZINC000612750686 361134462 /nfs/dbraw/zinc/13/44/62/361134462.db2.gz IBOGCTFNXYYXIU-UHFFFAOYSA-N -1 1 316.357 1.866 20 0 DDADMM Cn1cnnc1CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000271840695 209260282 /nfs/dbraw/zinc/26/02/82/209260282.db2.gz HNZJYCAERVJBNQ-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM CC(C)(C)N1C[C@@H](CNC(=O)C(=O)c2ccc([O-])cc2)CC1=O ZINC000620013068 364244071 /nfs/dbraw/zinc/24/40/71/364244071.db2.gz QYKYQFODDSPHCI-LLVKDONJSA-N -1 1 318.373 1.338 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCCn3cncn3)cnc2n1 ZINC000620188046 364330193 /nfs/dbraw/zinc/33/01/93/364330193.db2.gz UEAGWHRIUUCBHR-UHFFFAOYSA-N -1 1 312.333 1.055 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCCCn3cncn3)c[n-]c2n1 ZINC000620188046 364330199 /nfs/dbraw/zinc/33/01/99/364330199.db2.gz UEAGWHRIUUCBHR-UHFFFAOYSA-N -1 1 312.333 1.055 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(F)(F)C(C)(C)C)o1 ZINC000620617956 364494224 /nfs/dbraw/zinc/49/42/24/364494224.db2.gz RZQIZDWBYCDICC-UHFFFAOYSA-N -1 1 324.349 1.599 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622609751 365450625 /nfs/dbraw/zinc/45/06/25/365450625.db2.gz HHWJRIDBGWCMEI-TZMCWYRMSA-N -1 1 317.393 1.857 20 0 DDADMM O=C(CCc1ccccc1O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622612264 365451828 /nfs/dbraw/zinc/45/18/28/365451828.db2.gz SKZCQKYWDUGSDY-INIZCTEOSA-N -1 1 323.356 1.744 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1nnn(C)c1C ZINC000622713392 365500622 /nfs/dbraw/zinc/50/06/22/365500622.db2.gz OFTLERRGUSKNMZ-UHFFFAOYSA-N -1 1 323.378 1.137 20 0 DDADMM COc1ccc(F)cc1NC(=O)NCc1n[n-]c(=S)n1C ZINC000092107011 193160161 /nfs/dbraw/zinc/16/01/61/193160161.db2.gz MIVQXTMIFAZDOF-UHFFFAOYSA-N -1 1 311.342 1.947 20 0 DDADMM O=C([O-])[C@H]1COCCN1C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000262350282 203270796 /nfs/dbraw/zinc/27/07/96/203270796.db2.gz VDPUUYBFYGEINZ-CYBMUJFWSA-N -1 1 301.302 1.002 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1c(C)n[nH]c1C(F)F ZINC000350145677 284157489 /nfs/dbraw/zinc/15/74/89/284157489.db2.gz XWXHIHVCMVOANP-UHFFFAOYSA-N -1 1 305.310 1.498 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)Cc1cccc(Cl)c1 ZINC000262672183 203375062 /nfs/dbraw/zinc/37/50/62/203375062.db2.gz SBJQPRUZXMVXNM-LBPRGKRZSA-N -1 1 324.808 1.890 20 0 DDADMM COc1cccc(N2CC[C@H](NC(=O)c3cncc([O-])c3)C2)c1 ZINC000264967489 204309698 /nfs/dbraw/zinc/30/96/98/204309698.db2.gz XSSMSUPWWYSDJG-ZDUSSCGKSA-N -1 1 313.357 1.805 20 0 DDADMM COCCN1CN(C(=O)c2cc3ccccc3cc2[O-])CC1=O ZINC000282524795 217060934 /nfs/dbraw/zinc/06/09/34/217060934.db2.gz UIIAHASHHYPABU-UHFFFAOYSA-N -1 1 314.341 1.434 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@@H]1[C@H]1CCCC1=O ZINC000332013687 296181377 /nfs/dbraw/zinc/18/13/77/296181377.db2.gz XUTWAFVBCPRHSD-DGCLKSJQSA-N -1 1 307.321 1.742 20 0 DDADMM CCc1ccc([C@H](COC)[N-]S(=O)(=O)Cc2ccon2)o1 ZINC000266148190 205136288 /nfs/dbraw/zinc/13/62/88/205136288.db2.gz FASSJLPLIXWXEE-LBPRGKRZSA-N -1 1 314.363 1.637 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)[C@@H]1CCOC1 ZINC000266160095 205147096 /nfs/dbraw/zinc/14/70/96/205147096.db2.gz HCPCSUVSNIFQBJ-HTQZYQBOSA-N -1 1 309.309 1.807 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282873914 217292946 /nfs/dbraw/zinc/29/29/46/217292946.db2.gz ISYNBFZYWAESBW-NEPJUHHUSA-N -1 1 316.361 1.272 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc2c(c1)CCCO2)c1nn[n-]n1 ZINC000566916546 304188090 /nfs/dbraw/zinc/18/80/90/304188090.db2.gz VGEQPQBRPKNBJQ-ZDUSSCGKSA-N -1 1 315.377 1.725 20 0 DDADMM C[C@@H](O)[C@@H]1CN(c2c(C(=O)[O-])cnc3ccccc32)CCO1 ZINC000566918193 304188624 /nfs/dbraw/zinc/18/86/24/304188624.db2.gz QANKVWLHDWJZNK-YGRLFVJLSA-N -1 1 302.330 1.519 20 0 DDADMM COC[C@H](C)[N-]S(=O)(=O)c1sccc1Br ZINC000042350788 248193857 /nfs/dbraw/zinc/19/38/57/248193857.db2.gz BVOAJLNLLLHERP-LURJTMIESA-N -1 1 314.226 1.824 20 0 DDADMM CC1(C)CC[C@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000359559556 299480872 /nfs/dbraw/zinc/48/08/72/299480872.db2.gz DZDYJRVQEDQMDO-VIFPVBQESA-N -1 1 312.391 1.804 20 0 DDADMM CCNC(=O)[C@@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000039516694 183019629 /nfs/dbraw/zinc/01/96/29/183019629.db2.gz STVCOYLZVQDIHQ-SSDOTTSWSA-N -1 1 315.167 1.409 20 0 DDADMM Cc1cc(F)ccc1C1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC000633171660 422789120 /nfs/dbraw/zinc/78/91/20/422789120.db2.gz SQVQZVCERRGGKG-UHFFFAOYSA-N -1 1 317.368 1.986 20 0 DDADMM O=C(N=c1cc(-c2cccc(F)c2F)[n-][nH]1)C(=O)NCC1CC1 ZINC000567237490 304206251 /nfs/dbraw/zinc/20/62/51/304206251.db2.gz JANAVNSUGIRNDC-UHFFFAOYSA-N -1 1 320.299 1.242 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)NCCN2CCCCCC2)o1 ZINC000040768044 183131047 /nfs/dbraw/zinc/13/10/47/183131047.db2.gz JGARQWQNWFASLB-UHFFFAOYSA-N -1 1 316.379 1.132 20 0 DDADMM C[C@H](NC(=O)CN1CCC(C(=O)[O-])CC1)c1ccc(F)cc1 ZINC000336850437 249256547 /nfs/dbraw/zinc/25/65/47/249256547.db2.gz SXXGNFILEXMFGR-NSHDSACASA-N -1 1 308.353 1.800 20 0 DDADMM Cc1oc(C(=O)[O-])cc1S(=O)(=O)Nc1cccc(C(N)=O)c1 ZINC000336852441 249257509 /nfs/dbraw/zinc/25/75/09/249257509.db2.gz QRLAOVVOKRMKPQ-UHFFFAOYSA-N -1 1 324.314 1.186 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccc(F)c(F)c1F)N1CCCC1 ZINC000041777952 183219826 /nfs/dbraw/zinc/21/98/26/183219826.db2.gz ISOBYZGHGCZXRQ-UHFFFAOYSA-N -1 1 322.308 1.005 20 0 DDADMM Cc1noc(C(C)(C)[N-]S(=O)(=O)c2cnc(C)s2)n1 ZINC000284745158 218159945 /nfs/dbraw/zinc/15/99/45/218159945.db2.gz TZQDJZBBPKMRDO-UHFFFAOYSA-N -1 1 302.381 1.357 20 0 DDADMM Cc1noc(C(C)(C)[N-]S(=O)(=O)c2ccc(F)c(F)c2)n1 ZINC000284723152 218150104 /nfs/dbraw/zinc/15/01/04/218150104.db2.gz RNQIDYRALMCQCY-UHFFFAOYSA-N -1 1 317.317 1.870 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCCO1)c1cc(F)c(F)cc1F ZINC000105619168 194114627 /nfs/dbraw/zinc/11/46/27/194114627.db2.gz DAZZXEKZLGFLAK-MRVPVSSYSA-N -1 1 309.309 1.951 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CC2(C)C)c(C(F)(F)F)n1 ZINC000338897230 250188110 /nfs/dbraw/zinc/18/81/10/250188110.db2.gz CQQRJTZONNDVLC-ZETCQYMHSA-N -1 1 311.329 1.763 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1sccc1F)C(=O)OC ZINC000338923019 250197634 /nfs/dbraw/zinc/19/76/34/250197634.db2.gz FHFWXWNFWCMHSY-UHFFFAOYSA-N -1 1 309.384 1.897 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1ccsc1)c1sccc1F ZINC000338955787 250215563 /nfs/dbraw/zinc/21/55/63/250215563.db2.gz QYBYEMIFLATXIM-VIFPVBQESA-N -1 1 307.393 1.961 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2sccc2F)C1 ZINC000338975828 250229274 /nfs/dbraw/zinc/22/92/74/250229274.db2.gz ABLYQAHKDJBFFG-BDAKNGLRSA-N -1 1 321.395 1.897 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(OC)c(F)c2)n1 ZINC000339178531 250330492 /nfs/dbraw/zinc/33/04/92/250330492.db2.gz QSDOYUSRGCWIFB-UHFFFAOYSA-N -1 1 321.308 1.915 20 0 DDADMM CN(Cc1nnnn1CC(F)(F)F)C1(C(=O)[O-])CCCCC1 ZINC000567522755 304225210 /nfs/dbraw/zinc/22/52/10/304225210.db2.gz YZKCRNDDFYBWJD-UHFFFAOYSA-N -1 1 321.303 1.455 20 0 DDADMM O=C([O-])[C@@]1(NCc2ccn(-c3ccccc3)n2)CCSC1 ZINC000340685450 251165144 /nfs/dbraw/zinc/16/51/44/251165144.db2.gz ZHRNWEVRVXEDGI-OAHLLOKOSA-N -1 1 303.387 1.922 20 0 DDADMM Cc1noc(C2CC2)c1[N-]S(=O)(=O)N1C[C@H](C)OC[C@H]1C ZINC000340975380 251313256 /nfs/dbraw/zinc/31/32/56/251313256.db2.gz SYEQWIVBCSPPEV-BDAKNGLRSA-N -1 1 315.395 1.626 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OC ZINC000340957962 251303121 /nfs/dbraw/zinc/30/31/21/251303121.db2.gz OSCDKRLGYMENSA-QMMMGPOBSA-N -1 1 305.318 1.590 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H](C)[C@@H](C)CO)c(=O)[n-]1 ZINC000269101520 207240889 /nfs/dbraw/zinc/24/08/89/207240889.db2.gz IAKAVQWNGYIZGW-IUCAKERBSA-N -1 1 313.423 1.278 20 0 DDADMM CO[C@@H](CNC(=O)CCc1nn[n-]n1)c1ccc(Cl)cc1 ZINC000631504055 422802476 /nfs/dbraw/zinc/80/24/76/422802476.db2.gz PVIWFLCKRUNRJU-NSHDSACASA-N -1 1 309.757 1.290 20 0 DDADMM O=C(NCCc1ccccc1Cl)c1cc(=O)n2[n-]cnc2n1 ZINC000352433867 285047920 /nfs/dbraw/zinc/04/79/20/285047920.db2.gz YQLLCEIEPNQGIQ-UHFFFAOYSA-N -1 1 317.736 1.044 20 0 DDADMM CCOc1cc(C)ccc1[N-]S(=O)(=O)CCOCCOC ZINC000269979643 208031579 /nfs/dbraw/zinc/03/15/79/208031579.db2.gz QRNPGUHMRUZBRC-UHFFFAOYSA-N -1 1 317.407 1.798 20 0 DDADMM C[C@@H](CN(C)C(=O)CSCc1ccccn1)c1nn[n-]n1 ZINC000352481914 285082871 /nfs/dbraw/zinc/08/28/71/285082871.db2.gz RCOSKLMCWWGMLP-JTQLQIEISA-N -1 1 306.395 1.090 20 0 DDADMM CN(C)C(=O)c1ccc(=NCC2([C@H]3CCCCO3)CCC2)[n-]n1 ZINC000631510135 422806112 /nfs/dbraw/zinc/80/61/12/422806112.db2.gz BHPNHSRMBZLAJO-CQSZACIVSA-N -1 1 318.421 1.752 20 0 DDADMM COC1([C@@H](C)NC(=O)c2nc3ccccc3c(=O)[n-]2)CCC1 ZINC000414413124 224334391 /nfs/dbraw/zinc/33/43/91/224334391.db2.gz LMKLKGGAPXHHGY-SNVBAGLBSA-N -1 1 301.346 1.611 20 0 DDADMM Cc1nncn1CC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000414458118 224340002 /nfs/dbraw/zinc/34/00/02/224340002.db2.gz KBXQUTNMKZEVNZ-UHFFFAOYSA-N -1 1 318.761 1.358 20 0 DDADMM COc1ccc2c(c1)C=C(C(=O)NC(C)(C)c1nn[n-]n1)CO2 ZINC000446313351 533243407 /nfs/dbraw/zinc/24/34/07/533243407.db2.gz ZLUGVJFSYWMEAY-UHFFFAOYSA-N -1 1 315.333 1.036 20 0 DDADMM CO[C@@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000451782875 533503059 /nfs/dbraw/zinc/50/30/59/533503059.db2.gz ZIYYNQNKDAJURE-BXKDBHETSA-N -1 1 321.345 1.295 20 0 DDADMM COc1cc(C(=O)N[C@H](C)C(=O)NC(C)C)cc(Cl)c1[O-] ZINC000414021630 533551300 /nfs/dbraw/zinc/55/13/00/533551300.db2.gz QAELSAQEPLXBGL-MRVPVSSYSA-N -1 1 314.769 1.697 20 0 DDADMM COc1ccc(CN2CCCN(C(=O)[C@@H](C)C(=O)[O-])CC2)cc1 ZINC000568748394 304309108 /nfs/dbraw/zinc/30/91/08/304309108.db2.gz OEQWHNCSNIRBDL-CYBMUJFWSA-N -1 1 320.389 1.450 20 0 DDADMM Cc1noc([C@@H]2OCC[C@@H]2NC(=O)c2ncc(C)cc2[O-])n1 ZINC000629788331 422814596 /nfs/dbraw/zinc/81/45/96/422814596.db2.gz PFZZAGHFLKEYJS-JOYOIKCWSA-N -1 1 304.306 1.047 20 0 DDADMM C[C@H]1C[C@@H](c2ccccc2F)N(C(=O)CCc2nn[n-]n2)C1 ZINC000631541099 422822009 /nfs/dbraw/zinc/82/20/09/422822009.db2.gz FXGIDASUQGUWSK-GWCFXTLKSA-N -1 1 303.341 1.881 20 0 DDADMM Cc1ccc(F)cc1S(=O)(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000340366200 292124038 /nfs/dbraw/zinc/12/40/38/292124038.db2.gz LOOZCGHMHZBYHV-UHFFFAOYSA-N -1 1 320.349 1.590 20 0 DDADMM O=C(C(=O)N1CCO[C@@]2(CCSC2)C1)c1ccc([O-])cc1 ZINC000569125702 304338215 /nfs/dbraw/zinc/33/82/15/304338215.db2.gz YCZXIESSVGCMIK-HNNXBMFYSA-N -1 1 307.371 1.309 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2Cc3ccccc3CN2)C[C@H]1C(=O)[O-] ZINC000569355759 304350571 /nfs/dbraw/zinc/35/05/71/304350571.db2.gz YECCQQPONIZAQP-DFBGVHRSSA-N -1 1 302.374 1.270 20 0 DDADMM Cc1cc(NC(=O)c2cncc([O-])c2)n(C2CCOCC2)n1 ZINC000569575242 304365066 /nfs/dbraw/zinc/36/50/66/304365066.db2.gz JVLGYPSHNQSOLV-UHFFFAOYSA-N -1 1 302.334 1.896 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)CC(=O)NCC(=O)[O-])C1(CC)CC ZINC000262287413 286245825 /nfs/dbraw/zinc/24/58/25/286245825.db2.gz XVVQSKIWUMRQDP-VXGBXAGGSA-N -1 1 300.399 1.103 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H]2CCOC3(CCC3)C2)[n-]n1 ZINC000579796579 422830958 /nfs/dbraw/zinc/83/09/58/422830958.db2.gz PEEUORIUSPKHIG-LBPRGKRZSA-N -1 1 304.394 1.409 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H](O)CC(C)(C)C ZINC000223488439 407569980 /nfs/dbraw/zinc/56/99/80/407569980.db2.gz VQVLWRQZAJXBAX-SECBINFHSA-N -1 1 313.423 1.327 20 0 DDADMM CC(C)C(=O)NCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000025873215 406901575 /nfs/dbraw/zinc/90/15/75/406901575.db2.gz WQAJQGPTFUHBCN-UHFFFAOYSA-N -1 1 306.334 1.015 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCN(Cc2ccon2)CC1 ZINC000075134923 406923282 /nfs/dbraw/zinc/92/32/82/406923282.db2.gz WXLJBKNOQOUCFK-UHFFFAOYSA-N -1 1 321.764 1.992 20 0 DDADMM CS(=O)(=O)CCCNC(=O)c1ccc2ccccc2c1[O-] ZINC000044362799 407022235 /nfs/dbraw/zinc/02/22/35/407022235.db2.gz YWORHAWXHPLNIB-UHFFFAOYSA-N -1 1 307.371 1.710 20 0 DDADMM Cn1cnnc1CNC(=O)c1ccc(Br)cc1[O-] ZINC000049447710 407120657 /nfs/dbraw/zinc/12/06/57/407120657.db2.gz OCXGNOFLKLHRQC-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM C[C@@H](C(=O)[O-])N1CCN(C(=O)Cc2cccc(Cl)c2)CC1 ZINC000052944325 407160259 /nfs/dbraw/zinc/16/02/59/407160259.db2.gz SSMUFZBWORCEHY-NSHDSACASA-N -1 1 310.781 1.500 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cccc(F)c2F)co1 ZINC000123593442 407333334 /nfs/dbraw/zinc/33/33/34/407333334.db2.gz SIGYEJQRBZVBFY-UHFFFAOYSA-N -1 1 316.285 1.718 20 0 DDADMM O=S(=O)(CCn1cccn1)c1n[n-]c(CCC2CCCC2)n1 ZINC000108593039 407389224 /nfs/dbraw/zinc/38/92/24/407389224.db2.gz OHWXYKFFZCCMGW-UHFFFAOYSA-N -1 1 323.422 1.598 20 0 DDADMM CNC(=O)[C@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000125902235 407400837 /nfs/dbraw/zinc/40/08/37/407400837.db2.gz GCNYVKSVQOWTGX-LURJTMIESA-N -1 1 301.140 1.019 20 0 DDADMM CN(CCN(C)C(=O)c1cncc([O-])c1)C(=O)OC(C)(C)C ZINC000271084610 407504389 /nfs/dbraw/zinc/50/43/89/407504389.db2.gz NADLAZZZSYBOFU-UHFFFAOYSA-N -1 1 309.366 1.726 20 0 DDADMM O=C(N[C@H]1CCC(=O)NC1)c1cc(Br)ccc1[O-] ZINC000226568527 407621180 /nfs/dbraw/zinc/62/11/80/407621180.db2.gz KJEHCEBPFQCEBT-QMMMGPOBSA-N -1 1 313.151 1.163 20 0 DDADMM O=C1NC(=O)c2cc(S(=O)(=O)[N-]c3ccccc3)ccc21 ZINC000226859642 407623064 /nfs/dbraw/zinc/62/30/64/407623064.db2.gz WMRIHMKBZBHEKI-UHFFFAOYSA-N -1 1 302.311 1.371 20 0 DDADMM O=C([O-])[C@H]1CCN([C@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000178906279 407650231 /nfs/dbraw/zinc/65/02/31/407650231.db2.gz RSMHSTBWCUXHOY-GWCFXTLKSA-N -1 1 308.765 1.852 20 0 DDADMM O=C(Cc1c[nH]c2cc(Br)ccc12)Nc1nnn[n-]1 ZINC000152559916 407652545 /nfs/dbraw/zinc/65/25/45/407652545.db2.gz UQXHITLXYQKYRW-UHFFFAOYSA-N -1 1 321.138 1.625 20 0 DDADMM O=C(Cc1c[nH]c2cc(Br)ccc12)Nc1nn[n-]n1 ZINC000152559916 407652551 /nfs/dbraw/zinc/65/25/51/407652551.db2.gz UQXHITLXYQKYRW-UHFFFAOYSA-N -1 1 321.138 1.625 20 0 DDADMM Cc1c(C[N-]S(=O)(=O)c2c(F)cccc2F)cnn1C ZINC000152601223 407659233 /nfs/dbraw/zinc/65/92/33/407659233.db2.gz HWFIJKIMVHGCCK-UHFFFAOYSA-N -1 1 301.318 1.485 20 0 DDADMM O=C(NC[C@H]1CCN(CC(F)(F)F)C1)c1ncccc1[O-] ZINC000171087890 407593701 /nfs/dbraw/zinc/59/37/01/407593701.db2.gz KMLYOIRIWRAFCP-SECBINFHSA-N -1 1 303.284 1.401 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c(C)[n-]c(=O)nc2SC)C[C@@H](C)O1 ZINC000267044824 407726963 /nfs/dbraw/zinc/72/69/63/407726963.db2.gz UXHXMVAUSJVRPJ-PSASIEDQSA-N -1 1 311.407 1.852 20 0 DDADMM Cc1ccc(C)c(NC(=O)[C@H](C)S(=O)(=O)c2ncn[n-]2)c1 ZINC000153017859 407737436 /nfs/dbraw/zinc/73/74/36/407737436.db2.gz UIBYKNNIRBEKGC-JTQLQIEISA-N -1 1 308.363 1.222 20 0 DDADMM Cc1ccc(C)c(NC(=O)[C@H](C)S(=O)(=O)c2nc[n-]n2)c1 ZINC000153017859 407737442 /nfs/dbraw/zinc/73/74/42/407737442.db2.gz UIBYKNNIRBEKGC-JTQLQIEISA-N -1 1 308.363 1.222 20 0 DDADMM Cc1nc(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)no1 ZINC000267133778 407761668 /nfs/dbraw/zinc/76/16/68/407761668.db2.gz POAPQUGNAUMLOU-UHFFFAOYSA-N -1 1 305.338 1.410 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)/C=C/C(C)(C)C ZINC000116765122 407772798 /nfs/dbraw/zinc/77/27/98/407772798.db2.gz PQVZYWWFNNDODP-VOTSOKGWSA-N -1 1 302.378 1.858 20 0 DDADMM COC(=O)[C@H](C)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272116752 407780800 /nfs/dbraw/zinc/78/08/00/407780800.db2.gz QNYCJTDRDLDMRV-YFKPBYRVSA-N -1 1 313.162 1.228 20 0 DDADMM CC[C@@](C)(O)C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272223887 407823338 /nfs/dbraw/zinc/82/33/38/407823338.db2.gz NZLSGQRNFBAMFH-SNVBAGLBSA-N -1 1 313.206 1.828 20 0 DDADMM CC(C)(C)C(=O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000133418555 407840652 /nfs/dbraw/zinc/84/06/52/407840652.db2.gz VOBUQZVXQFHKHH-UHFFFAOYSA-N -1 1 309.309 1.997 20 0 DDADMM CN(C)C(=O)[C@@H](Cc1ccccc1)NC(=O)c1ncccc1[O-] ZINC000153799865 407904534 /nfs/dbraw/zinc/90/45/34/407904534.db2.gz ILYBYXSKLZSNFE-CYBMUJFWSA-N -1 1 313.357 1.217 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@@H]1CCC(C)(C)O1)c2=O ZINC000187325099 407845443 /nfs/dbraw/zinc/84/54/43/407845443.db2.gz PVOYYQLGBBCGGF-VIFPVBQESA-N -1 1 304.350 1.361 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(C[S@](C)=O)c1)c1nn[n-]n1 ZINC000268215951 407951260 /nfs/dbraw/zinc/95/12/60/407951260.db2.gz PKCNUHZRJMKBFY-IPQOISQHSA-N -1 1 321.406 1.349 20 0 DDADMM CCC[C@H](NC(=O)C[C@H]1OC(=O)c2ccccc21)c1nn[n-]n1 ZINC000268243951 407967465 /nfs/dbraw/zinc/96/74/65/407967465.db2.gz VNMRGUUVDMJCHQ-NWDGAFQWSA-N -1 1 315.333 1.459 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1ccc(OC)cc1)c1nn[n-]n1 ZINC000268246579 407968457 /nfs/dbraw/zinc/96/84/57/407968457.db2.gz WDYQFPFLYBXEBU-RSPDNQDQSA-N -1 1 301.350 1.879 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CC23CCCCC3)co1 ZINC000180792684 407918748 /nfs/dbraw/zinc/91/87/48/407918748.db2.gz RSPVNTZGAWLRKW-NSHDSACASA-N -1 1 312.391 1.640 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3nncn3c2)cc1C ZINC000180793181 407921033 /nfs/dbraw/zinc/92/10/33/407921033.db2.gz KDFZCUDJBHPNMX-UHFFFAOYSA-N -1 1 318.358 1.847 20 0 DDADMM CC[C@H](C)NC(=O)c1ccc([N-]S(=O)(=O)CCOC)cc1 ZINC000154363880 408028186 /nfs/dbraw/zinc/02/81/86/408028186.db2.gz XZDFPHPJWOFPGC-NSHDSACASA-N -1 1 314.407 1.603 20 0 DDADMM C[C@@H](O)C[C@H]1CCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119423534 408066275 /nfs/dbraw/zinc/06/62/75/408066275.db2.gz AMUIFUQAYHEPNK-NXEZZACHSA-N -1 1 304.350 1.049 20 0 DDADMM C[C@@H]1CN(C(=O)NCCC(=O)[O-])[C@H](C)CN1Cc1ccccc1 ZINC000263397202 408127666 /nfs/dbraw/zinc/12/76/66/408127666.db2.gz RMSZEGJHJZBFTC-ZIAGYGMSSA-N -1 1 319.405 1.766 20 0 DDADMM Cc1ccccc1CCC(=O)Nc1nc(SCCO)n[nH]1 ZINC000155287840 408148214 /nfs/dbraw/zinc/14/82/14/408148214.db2.gz BUKGCOAKLIGNIB-UHFFFAOYSA-N -1 1 306.391 1.769 20 0 DDADMM CCOc1cccc(NC(=O)c2coc(S(=O)(=O)[N-]C)c2)c1 ZINC000121250918 408171693 /nfs/dbraw/zinc/17/16/93/408171693.db2.gz ZDEZILSEZCLBGW-UHFFFAOYSA-N -1 1 324.358 1.839 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2ccc(C(=O)OC)cc2)[nH]n1 ZINC000157403585 408300421 /nfs/dbraw/zinc/30/04/21/408300421.db2.gz PMCNFZRERNJZGN-UHFFFAOYSA-N -1 1 323.374 1.950 20 0 DDADMM O=C(NCC[N-]S(=O)(=O)c1c(F)cccc1Cl)C1CC1 ZINC000131013115 162001929 /nfs/dbraw/zinc/00/19/29/162001929.db2.gz CEURMYGEYSTTRO-UHFFFAOYSA-N -1 1 320.773 1.284 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1Cc2cccc(F)c2O1)c1nn[n-]n1 ZINC000136705983 162120533 /nfs/dbraw/zinc/12/05/33/162120533.db2.gz LGELHWMSGZNUSW-MNOVXSKESA-N -1 1 305.313 1.300 20 0 DDADMM CCN(CC)C(=O)[C@@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000274266780 408345932 /nfs/dbraw/zinc/34/59/32/408345932.db2.gz ZZFHLWGBJGGJCS-JTQLQIEISA-N -1 1 309.391 1.171 20 0 DDADMM C[N@H+](Cc1cc(=O)oc2cc([O-])ccc12)C1(CO)CCOCC1 ZINC000191015551 408357878 /nfs/dbraw/zinc/35/78/78/408357878.db2.gz WCPMYOWPOOTNNS-UHFFFAOYSA-N -1 1 319.357 1.472 20 0 DDADMM CO[C@@H](C)C[N-]S(=O)(=O)c1sccc1Br ZINC000191217151 408387883 /nfs/dbraw/zinc/38/78/83/408387883.db2.gz WOFNXILRHAUZPN-LURJTMIESA-N -1 1 314.226 1.824 20 0 DDADMM COCCOc1ncccc1CNC(=O)c1c([O-])cccc1F ZINC000274581812 408457599 /nfs/dbraw/zinc/45/75/99/408457599.db2.gz FKNMMCOGIVGGCJ-UHFFFAOYSA-N -1 1 320.320 1.882 20 0 DDADMM CCSc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)ccn1 ZINC000183392998 408398428 /nfs/dbraw/zinc/39/84/28/408398428.db2.gz LOGWRCONHRMXPZ-VIFPVBQESA-N -1 1 306.395 1.582 20 0 DDADMM C[C@@H](Cc1ccccc1F)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183437109 408410213 /nfs/dbraw/zinc/41/02/13/408410213.db2.gz ODODKZJPLCKWDC-QWRGUYRKSA-N -1 1 305.357 1.780 20 0 DDADMM CCCOc1cccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183443138 408414653 /nfs/dbraw/zinc/41/46/53/408414653.db2.gz QFCPOMBPEKPYGF-LLVKDONJSA-N -1 1 303.366 1.864 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccc(C)c(C)c1)c1nn[n-]n1 ZINC000176753840 408431425 /nfs/dbraw/zinc/43/14/25/408431425.db2.gz SBDCHNXRTRYUHI-CYBMUJFWSA-N -1 1 303.366 1.853 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1c(C)nn(C)c1Cl)c1nn[n-]n1 ZINC000176761324 408434916 /nfs/dbraw/zinc/43/49/16/408434916.db2.gz NZTNXTQRSLQLEL-VQCYPWCPSA-N -1 1 323.788 1.566 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2c(c1)CCC(=O)N2)c1nn[n-]n1 ZINC000176773991 408440451 /nfs/dbraw/zinc/44/04/51/408440451.db2.gz QWNRNGLMHDTTPZ-LBPRGKRZSA-N -1 1 314.349 1.356 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)c2c([O-])cccc2F)C1 ZINC000274706796 408503757 /nfs/dbraw/zinc/50/37/57/408503757.db2.gz SBLVLKKDGVZXGY-MNOVXSKESA-N -1 1 308.353 1.908 20 0 DDADMM C[C@H](CN(C)C(=O)c1cncn1-c1ccccc1)c1nn[n-]n1 ZINC000274764892 408524817 /nfs/dbraw/zinc/52/48/17/408524817.db2.gz KPOZOKQQFGINOZ-LLVKDONJSA-N -1 1 311.349 1.261 20 0 DDADMM CNC(=O)Cn1cc(NC(=O)Nc2ccc([O-])c(Cl)c2)cn1 ZINC000192246669 408562788 /nfs/dbraw/zinc/56/27/88/408562788.db2.gz OZWAQYPPRBTBIB-UHFFFAOYSA-N -1 1 323.740 1.632 20 0 DDADMM CN(Cc1ccccc1)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000274935951 408568292 /nfs/dbraw/zinc/56/82/92/408568292.db2.gz PWUBACIJYNNYGL-ZDUSSCGKSA-N -1 1 302.334 1.247 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCc2ccccn2)o1 ZINC000177318530 408580082 /nfs/dbraw/zinc/58/00/82/408580082.db2.gz SUYIVUJXFYPYGB-UHFFFAOYSA-N -1 1 324.358 1.372 20 0 DDADMM CCO[C@@H]1C[C@](CO)(NC(=O)c2c([O-])cccc2F)C1(C)C ZINC000275672270 408642025 /nfs/dbraw/zinc/64/20/25/408642025.db2.gz RTQRRCCBFOQATL-MLGOLLRUSA-N -1 1 311.353 1.827 20 0 DDADMM O=S(=O)(Cc1coc(-c2cccc(F)c2)n1)c1ncn[n-]1 ZINC000177503816 408642846 /nfs/dbraw/zinc/64/28/46/408642846.db2.gz YDQWFDIZLACHOX-UHFFFAOYSA-N -1 1 308.294 1.573 20 0 DDADMM O=S(=O)(Cc1coc(-c2cccc(F)c2)n1)c1nc[n-]n1 ZINC000177503816 408642851 /nfs/dbraw/zinc/64/28/51/408642851.db2.gz YDQWFDIZLACHOX-UHFFFAOYSA-N -1 1 308.294 1.573 20 0 DDADMM CC[C@@H]1CCC[C@H]1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000162570869 408714429 /nfs/dbraw/zinc/71/44/29/408714429.db2.gz DFBQTYZKGOMLLN-TZMCWYRMSA-N -1 1 316.405 1.877 20 0 DDADMM CCN(C)CCNS(=O)(=O)c1ccc(C(=O)[O-])cc1Cl ZINC000184964863 408728216 /nfs/dbraw/zinc/72/82/16/408728216.db2.gz COEUXSAOCZMDLH-UHFFFAOYSA-N -1 1 320.798 1.268 20 0 DDADMM CO[C@@H](C)CN(C)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000286205417 408941509 /nfs/dbraw/zinc/94/15/09/408941509.db2.gz AYTYQEMEGKWBHZ-VIFPVBQESA-N -1 1 313.423 1.638 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2CCCC2)c(Br)n1C ZINC000286353589 408969509 /nfs/dbraw/zinc/96/95/09/408969509.db2.gz BSMUCOIUMBWOEL-UHFFFAOYSA-N -1 1 322.228 1.712 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@@H](C)CC)CC2)n1 ZINC000277651271 408984813 /nfs/dbraw/zinc/98/48/13/408984813.db2.gz IHASWIMPXBCQQO-JTQLQIEISA-N -1 1 308.382 1.734 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H](C)C(C)(C)C)c1 ZINC000292230926 409006483 /nfs/dbraw/zinc/00/64/83/409006483.db2.gz MZUSQYZBDBXFME-VIFPVBQESA-N -1 1 314.407 1.921 20 0 DDADMM CCCNC(=O)OC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000287320399 409019401 /nfs/dbraw/zinc/01/94/01/409019401.db2.gz WZINPJPVBPXKSH-GFCCVEGCSA-N -1 1 321.377 1.918 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@@H](C)C(C)C)CC2)n1 ZINC000277845889 409022284 /nfs/dbraw/zinc/02/22/84/409022284.db2.gz XGOIASUGNHPDDQ-NSHDSACASA-N -1 1 322.409 1.980 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(F)c([O-])c(Cl)c1)c1nn[nH]n1 ZINC000277863555 409025813 /nfs/dbraw/zinc/02/58/13/409025813.db2.gz BDGOKGGYEDYYLR-SECBINFHSA-N -1 1 313.720 1.969 20 0 DDADMM C[C@@H]1COCC[C@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000278068599 409060445 /nfs/dbraw/zinc/06/04/45/409060445.db2.gz ZFWMXCVQADHMPG-VXNVDRBHSA-N -1 1 309.309 1.807 20 0 DDADMM C[C@@H]1C[C@@H](CCNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000287688596 409076296 /nfs/dbraw/zinc/07/62/96/409076296.db2.gz RBORLNOVRHJLHH-YDGRJCAWSA-N -1 1 317.393 1.669 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(C)c1OC ZINC000287688293 409076861 /nfs/dbraw/zinc/07/68/61/409076861.db2.gz CUANCEODOUYGPP-SECBINFHSA-N -1 1 319.365 1.401 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)C(=O)c2ccc([O-])cc2)[C@]12CCCO2 ZINC000287707959 409079510 /nfs/dbraw/zinc/07/95/10/409079510.db2.gz GGJHFCPCAMWSQT-JJRVBVJISA-N -1 1 319.357 1.418 20 0 DDADMM CCOC[C@@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000283099568 409087292 /nfs/dbraw/zinc/08/72/92/409087292.db2.gz PESQKSSYRQVLKS-LLVKDONJSA-N -1 1 320.418 1.907 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCSC2)c(C(F)(F)F)n1 ZINC000293573617 409140813 /nfs/dbraw/zinc/14/08/13/409140813.db2.gz SUGFOCZUTLGURB-ZCFIWIBFSA-N -1 1 315.342 1.223 20 0 DDADMM CCC[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000283382782 409147824 /nfs/dbraw/zinc/14/78/24/409147824.db2.gz WKYSGGUNTBPREK-NSHDSACASA-N -1 1 306.391 1.659 20 0 DDADMM Cn1nccc1C1=C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc([O-])c1 ZINC000283421876 409153938 /nfs/dbraw/zinc/15/39/38/409153938.db2.gz MZFWCGIELRTVTF-KGLIPLIRSA-N -1 1 310.357 1.981 20 0 DDADMM O=C([N-]OCC1CC1)[C@@H](c1ccccc1)N1CCC(O)CC1 ZINC000293727417 409169280 /nfs/dbraw/zinc/16/92/80/409169280.db2.gz HJPVTNSFZINYNQ-MRXNPFEDSA-N -1 1 304.390 1.642 20 0 DDADMM CCn1nc(C)c(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)c1Cl ZINC000279223931 409172485 /nfs/dbraw/zinc/17/24/85/409172485.db2.gz OBLMOWSCBQIFIF-ZDLGFXPLSA-N -1 1 318.768 1.772 20 0 DDADMM C[C@H]1OCC[C@]1(O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000279505201 409227173 /nfs/dbraw/zinc/22/71/73/409227173.db2.gz OHJWOPZAIIAEEW-OQPBUACISA-N -1 1 319.279 1.681 20 0 DDADMM COc1ccc([C@@H](C)[N-]S(=O)(=O)c2c(C)onc2N)cc1 ZINC000289386295 409260254 /nfs/dbraw/zinc/26/02/54/409260254.db2.gz OJEYACLYFMIZTL-MRVPVSSYSA-N -1 1 311.363 1.613 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCOC1=O ZINC000289418844 409267650 /nfs/dbraw/zinc/26/76/50/409267650.db2.gz BQEIKGLPOIJMCL-NSHDSACASA-N -1 1 309.265 1.088 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H](C3CC3)C2)co1 ZINC000290368112 409378111 /nfs/dbraw/zinc/37/81/11/409378111.db2.gz AKKTXJSLPOANGB-NSHDSACASA-N -1 1 312.391 1.450 20 0 DDADMM CO[C@@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)C(C)(C)C ZINC000295366663 409452857 /nfs/dbraw/zinc/45/28/57/409452857.db2.gz RKXPDCDJTQHPQH-JTQLQIEISA-N -1 1 308.407 1.809 20 0 DDADMM CC(C)(CNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1 ZINC000352423701 164119439 /nfs/dbraw/zinc/11/94/39/164119439.db2.gz OJSHLSLNCOTSQI-UHFFFAOYSA-N -1 1 311.345 1.125 20 0 DDADMM C[C@@]1(CCCO)CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000355110827 164127024 /nfs/dbraw/zinc/12/70/24/164127024.db2.gz CLHBEIMCECXFKX-KRWDZBQOSA-N -1 1 315.373 1.690 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H]([C@@H](C)O)C1 ZINC000408165949 164249191 /nfs/dbraw/zinc/24/91/91/164249191.db2.gz OMQQNWJRHWNNCK-GHMZBOCLSA-N -1 1 307.394 1.631 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Br)o2)CCO1 ZINC000408217801 164264282 /nfs/dbraw/zinc/26/42/82/164264282.db2.gz ZXPPBVCUQVLCTC-HTQZYQBOSA-N -1 1 324.196 1.888 20 0 DDADMM C[C@H]1CN(C(=O)c2cc3c(cc2O)CCCC3)CC[N@H+]1CCO ZINC000408410163 164322819 /nfs/dbraw/zinc/32/28/19/164322819.db2.gz KPMWONFFJSKHSB-ZDUSSCGKSA-N -1 1 318.417 1.410 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncc(C)cc2[O-])[C@H]1C(C)C ZINC000345101900 409627889 /nfs/dbraw/zinc/62/78/89/409627889.db2.gz NROLVMPOVFUGJS-RISCZKNCSA-N -1 1 306.362 1.755 20 0 DDADMM C[C@@H](CN(C)C(=O)c1csc(C(F)(F)F)n1)c1nn[n-]n1 ZINC000356769483 409585574 /nfs/dbraw/zinc/58/55/74/409585574.db2.gz NQRSORLGIZNEIO-YFKPBYRVSA-N -1 1 320.300 1.551 20 0 DDADMM CC(C)c1nnc(NC(=O)CNC(=O)c2ncccc2[O-])s1 ZINC000337953233 409598588 /nfs/dbraw/zinc/59/85/88/409598588.db2.gz XAWZPAQQFFEWLG-UHFFFAOYSA-N -1 1 321.362 1.131 20 0 DDADMM Cc1ccc(C(=O)CCCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000356780613 409600130 /nfs/dbraw/zinc/60/01/30/409600130.db2.gz SFASPNUOLAVKID-UHFFFAOYSA-N -1 1 313.361 1.667 20 0 DDADMM C[C@@H]1CC[C@@H](CC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000331622677 409703807 /nfs/dbraw/zinc/70/38/07/409703807.db2.gz FQFRMYNNNDSWFS-GHMZBOCLSA-N -1 1 309.439 1.426 20 0 DDADMM CCc1nc(CNS(=O)(=O)c2cc(F)cc(F)c2)n[nH]1 ZINC000296931188 409712769 /nfs/dbraw/zinc/71/27/69/409712769.db2.gz GEQRXWNYSDVGNY-UHFFFAOYSA-N -1 1 302.306 1.124 20 0 DDADMM CCOCCOc1ccccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357064630 409832403 /nfs/dbraw/zinc/83/24/03/409832403.db2.gz CIRVMLGMXWRVCV-UHFFFAOYSA-N -1 1 317.349 1.034 20 0 DDADMM CC(C)Cn1ncc(C(=O)NC2(c3nn[n-]n3)CC2)c1C1CC1 ZINC000357066864 409834145 /nfs/dbraw/zinc/83/41/45/409834145.db2.gz HZTRHODLQYNFAL-UHFFFAOYSA-N -1 1 315.381 1.349 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CC2)cnn1CCC(C)C ZINC000357081022 409848518 /nfs/dbraw/zinc/84/85/18/409848518.db2.gz UBXRSAAWZWZPCE-UHFFFAOYSA-N -1 1 303.370 1.170 20 0 DDADMM CCOC[C@H](O)CNC(=O)c1ccc(Br)cc1[O-] ZINC000311018315 409793655 /nfs/dbraw/zinc/79/36/55/409793655.db2.gz LDKUTNDZEUZOKU-SECBINFHSA-N -1 1 318.167 1.282 20 0 DDADMM CCOC[C@H](O)CNC(=O)c1cc(Br)ccc1[O-] ZINC000311046212 409794034 /nfs/dbraw/zinc/79/40/34/409794034.db2.gz PWKWJTQERURTAJ-SECBINFHSA-N -1 1 318.167 1.282 20 0 DDADMM O=C(COc1ccc(F)cc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332145977 409922137 /nfs/dbraw/zinc/92/21/37/409922137.db2.gz HPRGXBIDCWDHNV-SNVBAGLBSA-N -1 1 321.308 1.699 20 0 DDADMM CCCC(C)(C)CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000346249100 409876373 /nfs/dbraw/zinc/87/63/73/409876373.db2.gz WHZCETDYEKOEMB-UHFFFAOYSA-N -1 1 311.455 1.816 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@@H](C(=O)[O-])[C@H](C)C2)cc1 ZINC000318884456 409882140 /nfs/dbraw/zinc/88/21/40/409882140.db2.gz MZMRVVOKBBXQFX-BXUZGUMPSA-N -1 1 306.362 1.676 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(CC(=O)Nc2cccc(F)c2)C1 ZINC000319286268 409894782 /nfs/dbraw/zinc/89/47/82/409894782.db2.gz GSSWFRYRFBQQRF-HNNXBMFYSA-N -1 1 310.325 1.187 20 0 DDADMM COC[C@@H](C)NC(=O)Cc1noc(-c2cc(F)ccc2[O-])n1 ZINC000350672404 409979699 /nfs/dbraw/zinc/97/96/99/409979699.db2.gz UXSUDVDOAZHGPV-MRVPVSSYSA-N -1 1 309.297 1.275 20 0 DDADMM Cn1[n-]c(CN2CC[C@H](C(F)(F)F)C3(CCC3)C2)nc1=O ZINC000338350443 409930731 /nfs/dbraw/zinc/93/07/31/409930731.db2.gz QDXQFVOZCGJMON-VIFPVBQESA-N -1 1 304.316 1.663 20 0 DDADMM CC[C@@H](CC(=O)Nc1nn[nH]c1C(N)=O)c1ccc(C)cc1 ZINC000297817558 410010948 /nfs/dbraw/zinc/01/09/48/410010948.db2.gz PVCJMTDUPDFPPS-JTQLQIEISA-N -1 1 301.350 1.734 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)C[C@@H](C)[C@H]2C)o1 ZINC000354730792 410047767 /nfs/dbraw/zinc/04/77/67/410047767.db2.gz KTKNBLAUWGQPEN-GMTAPVOTSA-N -1 1 314.407 1.694 20 0 DDADMM Cc1cc(=O)[nH]c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332286066 410040448 /nfs/dbraw/zinc/04/04/48/410040448.db2.gz FPWFKYGXYLTOMZ-VIFPVBQESA-N -1 1 304.306 1.204 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2cccc(C(=O)[O-])c2C)cn1 ZINC000357379469 410004168 /nfs/dbraw/zinc/00/41/68/410004168.db2.gz ISNIJTVROLEGEP-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM C[C@@H](CCc1cccc(F)c1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354748871 410059836 /nfs/dbraw/zinc/05/98/36/410059836.db2.gz CWSPBRUMPXYYKC-JTQLQIEISA-N -1 1 305.357 1.959 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cncc([O-])c1)c1ccc(O)cc1 ZINC000354760547 410066106 /nfs/dbraw/zinc/06/61/06/410066106.db2.gz JKQQZBAQZOGHRC-ZDUSSCGKSA-N -1 1 302.286 1.137 20 0 DDADMM COc1c2ccccc2[nH]c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000354784576 410085255 /nfs/dbraw/zinc/08/52/55/410085255.db2.gz HVKKYGRTNRUPHA-SECBINFHSA-N -1 1 314.349 1.565 20 0 DDADMM CC(C)(NC(=O)CCCOc1ccc(F)cc1)c1nn[n-]n1 ZINC000354786765 410085367 /nfs/dbraw/zinc/08/53/67/410085367.db2.gz KJJIOBBXEDOCFE-UHFFFAOYSA-N -1 1 307.329 1.549 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)N=c2ccc(C3CC3)n[n-]2)C[C@H]1C ZINC000346734778 410120943 /nfs/dbraw/zinc/12/09/43/410120943.db2.gz ZNFIBKPDGUNSOM-VXGBXAGGSA-N -1 1 303.410 1.722 20 0 DDADMM Cc1ccc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1O ZINC000332396222 410125437 /nfs/dbraw/zinc/12/54/37/410125437.db2.gz FDNMCNOJWBYYPW-LBPRGKRZSA-N -1 1 317.345 1.738 20 0 DDADMM COCCC1(NC(=O)c2c[n-]c3c(cnn3C)c2=O)CCC1 ZINC000357731022 410214728 /nfs/dbraw/zinc/21/47/28/410214728.db2.gz JOCUKRUXQFALLV-UHFFFAOYSA-N -1 1 304.350 1.363 20 0 DDADMM CNC(=O)[C@@H](C)NC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000346838553 410187665 /nfs/dbraw/zinc/18/76/65/410187665.db2.gz PRUYWQRSRLPELC-SSDOTTSWSA-N -1 1 307.737 1.458 20 0 DDADMM COc1cc(C(=O)NCCNC(=O)C2CC2)cc(Cl)c1[O-] ZINC000357714153 410203620 /nfs/dbraw/zinc/20/36/20/410203620.db2.gz YLYRBYWLABYVMZ-UHFFFAOYSA-N -1 1 312.753 1.310 20 0 DDADMM CCCNC(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000298653767 410331332 /nfs/dbraw/zinc/33/13/32/410331332.db2.gz NTHFENZQAYHVBX-UHFFFAOYSA-N -1 1 301.368 1.598 20 0 DDADMM O=C(NCCC1CCS(=O)(=O)CC1)c1cc(F)ccc1[O-] ZINC000333062491 410336535 /nfs/dbraw/zinc/33/65/35/410336535.db2.gz NIUTUEXJGZTWQZ-UHFFFAOYSA-N -1 1 315.366 1.476 20 0 DDADMM C[C@@H](CSc1nc(C(F)F)cc(=O)[n-]1)CS(C)(=O)=O ZINC000351872450 410312224 /nfs/dbraw/zinc/31/22/24/410312224.db2.gz ACGLUQCWHVOQLD-LURJTMIESA-N -1 1 312.363 1.893 20 0 DDADMM COC[C@@]1(C)CN(C(=O)c2ncc3ccccc3c2[O-])CCO1 ZINC000629899760 422856954 /nfs/dbraw/zinc/85/69/54/422856954.db2.gz ZOAWTLUKSMBWKU-QGZVFWFLSA-N -1 1 316.357 1.818 20 0 DDADMM CCc1nc2c(s1)[C@H](N(C)Cc1nc(=O)n(C)[n-]1)CCC2 ZINC000329630864 410390660 /nfs/dbraw/zinc/39/06/60/410390660.db2.gz WJESBFPJYDJTDP-SNVBAGLBSA-N -1 1 307.423 1.637 20 0 DDADMM O=C(N[C@@H]1C=C[C@@H](CO)C1)c1ccc(Br)c([O-])c1 ZINC000343455678 410468405 /nfs/dbraw/zinc/46/84/05/410468405.db2.gz XGTAPOQRRRHOAF-PSASIEDQSA-N -1 1 312.163 1.822 20 0 DDADMM Cn1cc(/C=C\c2cc(=O)n3[n-]cnc3n2)c(-c2ccccc2)n1 ZINC000352036362 410435741 /nfs/dbraw/zinc/43/57/41/410435741.db2.gz YTTUDEOHRMBSLZ-FPLPWBNLSA-N -1 1 318.340 1.989 20 0 DDADMM Cn1[n-]c(CSc2ccc(C(=O)N3CCCC3)cn2)nc1=O ZINC000329768408 410437420 /nfs/dbraw/zinc/43/74/20/410437420.db2.gz DRTSKJMGONERSO-UHFFFAOYSA-N -1 1 319.390 1.032 20 0 DDADMM Cc1nc(NC(=O)c2cncc([O-])c2)sc1SCC(N)=O ZINC000339882043 410525481 /nfs/dbraw/zinc/52/54/81/410525481.db2.gz XLNFAHSZKLOKSU-UHFFFAOYSA-N -1 1 324.387 1.382 20 0 DDADMM O=C(N[C@H]1CC(=O)N(c2ccc(Cl)c(F)c2)C1)c1cnn[nH]1 ZINC000347490028 410581497 /nfs/dbraw/zinc/58/14/97/410581497.db2.gz UQTQZLAKGFIORM-ZETCQYMHSA-N -1 1 323.715 1.133 20 0 DDADMM COC(=O)CCN(C(=O)c1c[n-]c2c(cnn2C)c1=O)C(C)C ZINC000355583628 410592639 /nfs/dbraw/zinc/59/26/39/410592639.db2.gz XCIKOPZRGFLDOT-UHFFFAOYSA-N -1 1 320.349 1.088 20 0 DDADMM C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC000330092076 410539426 /nfs/dbraw/zinc/53/94/26/410539426.db2.gz QLPSYDPSSXKGCH-GHMZBOCLSA-N -1 1 316.365 1.179 20 0 DDADMM CC(C)[C@@H](NC(=O)c1cncc([O-])c1)c1nnc2ccccn21 ZINC000339930039 410556137 /nfs/dbraw/zinc/55/61/37/410556137.db2.gz CDOJSXXZMFUZPQ-CQSZACIVSA-N -1 1 311.345 1.957 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@H](C(=O)N2CCCC2)C1 ZINC000339935537 410561317 /nfs/dbraw/zinc/56/13/17/410561317.db2.gz BHWILEBPPVMHAU-LBPRGKRZSA-N -1 1 303.362 1.262 20 0 DDADMM Cn1[n-]c(CN2CCc3ccc(Br)cc32)nc1=O ZINC000347465231 410563355 /nfs/dbraw/zinc/56/33/55/410563355.db2.gz NGJUZKCCNAFNKZ-UHFFFAOYSA-N -1 1 309.167 1.434 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CSC[C@H]2C)o1 ZINC000352320107 410621220 /nfs/dbraw/zinc/62/12/20/410621220.db2.gz NVVXMIZLVQXWJO-BDAKNGLRSA-N -1 1 319.404 1.486 20 0 DDADMM CCN(CC)C(=O)CCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000330481432 410718888 /nfs/dbraw/zinc/71/88/88/410718888.db2.gz UPQHZTBFYMAOII-NSHDSACASA-N -1 1 324.381 1.130 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C[C@H]3C[C@@H]3C)CC2)n1 ZINC000356032149 410785812 /nfs/dbraw/zinc/78/58/12/410785812.db2.gz DDJNSTFGKQBVGZ-CMPLNLGQSA-N -1 1 320.393 1.734 20 0 DDADMM CC[C@@]1(C)C[C@H]1C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000359674139 410808414 /nfs/dbraw/zinc/80/84/14/410808414.db2.gz CINAJTQKPGDRFJ-HZMBPMFUSA-N -1 1 312.391 1.675 20 0 DDADMM CCCC1(C(=O)Nc2cc(S(=O)(=O)NC)ccc2[O-])CC1 ZINC000359673305 410808527 /nfs/dbraw/zinc/80/85/27/410808527.db2.gz CHGQMRWDJJIUSJ-UHFFFAOYSA-N -1 1 312.391 1.819 20 0 DDADMM Cc1cc(F)cc([C@]2(F)CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC000337468459 410809822 /nfs/dbraw/zinc/80/98/22/410809822.db2.gz UAZVHUQSUJREDR-HNNXBMFYSA-N -1 1 308.332 1.627 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC(CCCO)CC1 ZINC000359748479 410851236 /nfs/dbraw/zinc/85/12/36/410851236.db2.gz YUZIEIDHYPAGGK-UHFFFAOYSA-N -1 1 315.373 1.548 20 0 DDADMM Cc1ccc(S(=O)(=O)N[C@H]2CCC[N@H+](C)[C@H]2C)c(F)c1 ZINC000343973612 410859773 /nfs/dbraw/zinc/85/97/73/410859773.db2.gz PLNZFYPBJSTSFY-AAEUAGOBSA-N -1 1 300.399 1.895 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC[C@@H]2C(F)F)o1 ZINC000337566220 410865293 /nfs/dbraw/zinc/86/52/93/410865293.db2.gz BKOBQTOUMCEIAW-MRVPVSSYSA-N -1 1 322.333 1.448 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCCC[C@H]1C(F)F)c2=O ZINC000337565678 410866070 /nfs/dbraw/zinc/86/60/70/410866070.db2.gz BBPNWFMGSLDXQP-JTQLQIEISA-N -1 1 310.304 1.934 20 0 DDADMM O=C(N=c1[n-]ncn1C1CC1)c1ccc(Nc2ccncc2)cc1 ZINC000356163361 410873076 /nfs/dbraw/zinc/87/30/76/410873076.db2.gz HGBONPQQSUOTLY-UHFFFAOYSA-N -1 1 320.356 1.848 20 0 DDADMM Cc1nc(SCC(=O)N2CCC[C@H](C(N)=O)C2)[n-]c(=O)c1C ZINC000330683801 410875280 /nfs/dbraw/zinc/87/52/80/410875280.db2.gz RVGXBOFDROFLGS-JTQLQIEISA-N -1 1 324.406 1.665 20 0 DDADMM Cc1cc(Br)oc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359797633 410889044 /nfs/dbraw/zinc/88/90/44/410889044.db2.gz YHIMSAAXPSUATD-UHFFFAOYSA-N -1 1 314.143 1.529 20 0 DDADMM CO[C@@H](C)c1nc(C)c(C(=O)NC2(c3nn[n-]n3)CC2)s1 ZINC000348284174 410896441 /nfs/dbraw/zinc/89/64/41/410896441.db2.gz SSJSFTFSQOCOKU-ZETCQYMHSA-N -1 1 308.367 1.091 20 0 DDADMM C[C@@H]1CCc2sc(C(=O)NC3(c4nn[n-]n4)CC3)cc2C1 ZINC000348290476 410900710 /nfs/dbraw/zinc/90/07/10/410900710.db2.gz FRMCUGDDUGRRPN-MRVPVSSYSA-N -1 1 303.391 1.805 20 0 DDADMM C[C@@H](SCc1ccccc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348291556 410901393 /nfs/dbraw/zinc/90/13/93/410901393.db2.gz JIZRPIQZUMPUSR-SNVBAGLBSA-N -1 1 303.391 1.627 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@@H]1c1ccc(F)cc1F ZINC000348298503 410907534 /nfs/dbraw/zinc/90/75/34/410907534.db2.gz QVFCLLWEFUFTJS-ZJUUUORDSA-N -1 1 305.288 1.387 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H]2OC)o1 ZINC000341161626 410908597 /nfs/dbraw/zinc/90/85/97/410908597.db2.gz COGUGNFRAMDSJO-VHSXEESVSA-N -1 1 317.363 1.160 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CC)CSC)o1 ZINC000341198349 410931858 /nfs/dbraw/zinc/93/18/58/410931858.db2.gz WEXISCOCIYMTPI-VIFPVBQESA-N -1 1 321.420 1.876 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2cc(Cl)c([O-])c(OC)c2)C1 ZINC000331271983 411050304 /nfs/dbraw/zinc/05/03/04/411050304.db2.gz NVRUPVSCXOJXEY-MRVPVSSYSA-N -1 1 313.737 1.689 20 0 DDADMM Cn1[n-]c(CN(Cc2ccco2)Cc2cccs2)nc1=O ZINC000353483357 411019396 /nfs/dbraw/zinc/01/93/96/411019396.db2.gz WFFFTKXYKMEJNE-UHFFFAOYSA-N -1 1 304.375 1.965 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)Cc1ccccc1C(F)(F)F ZINC000353481158 411021551 /nfs/dbraw/zinc/02/15/51/411021551.db2.gz RNXSAXGJQIWOQC-UHFFFAOYSA-N -1 1 300.284 1.759 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331256318 411042569 /nfs/dbraw/zinc/04/25/69/411042569.db2.gz ZMEYNPMLAHMDNT-SNVBAGLBSA-N -1 1 320.436 1.626 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-][C@@H](C)C(=O)OC(C)(C)C)no1 ZINC000129295857 196036276 /nfs/dbraw/zinc/03/62/76/196036276.db2.gz RVICYQKIKAOILO-VIFPVBQESA-N -1 1 304.368 1.133 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCN(c2cccc(Cl)c2)C1 ZINC000631661231 422870319 /nfs/dbraw/zinc/87/03/19/422870319.db2.gz UQPOQTYJQHHULX-NSHDSACASA-N -1 1 320.784 1.181 20 0 DDADMM CC(C)(NC(=O)c1ncccc1[O-])C(=O)NCC1CCCCC1 ZINC000132475914 196258675 /nfs/dbraw/zinc/25/86/75/196258675.db2.gz DPODKDADYBSLBA-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@H]1CN(CCN2Cc3ccccc3[C@H](C(=O)[O-])C2)CCO1 ZINC000652470429 423033111 /nfs/dbraw/zinc/03/31/11/423033111.db2.gz WYRXWEBFPLHTSW-XJKSGUPXSA-N -1 1 304.390 1.391 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@H](C(C)=O)c1ccccc1F ZINC000416650544 225019508 /nfs/dbraw/zinc/01/95/08/225019508.db2.gz QOUWSLIJARXLOY-CYBMUJFWSA-N -1 1 317.382 1.800 20 0 DDADMM O=S(=O)([N-][C@H](CO)[C@H]1CCCOC1)c1cc(F)ccc1F ZINC000416613720 225004911 /nfs/dbraw/zinc/00/49/11/225004911.db2.gz RKMZIJAXPWHUMW-JOYOIKCWSA-N -1 1 321.345 1.031 20 0 DDADMM CCOc1ccccc1N1C[C@@H](C(=O)[N-]OC(C)C)CC1=O ZINC000295741913 225185756 /nfs/dbraw/zinc/18/57/56/225185756.db2.gz SWAYSMFQJJWKQS-LBPRGKRZSA-N -1 1 306.362 1.894 20 0 DDADMM Cc1nn(C)cc1CN[C@@]1(C(=O)[O-])CCc2c1cccc2F ZINC000417560041 225364455 /nfs/dbraw/zinc/36/44/55/225364455.db2.gz BAYMMOWMMCVOFF-INIZCTEOSA-N -1 1 303.337 1.884 20 0 DDADMM CSc1nc(CNC(=O)c2cnn(C(C)C)c2)cc(=O)[n-]1 ZINC000640656677 423118154 /nfs/dbraw/zinc/11/81/54/423118154.db2.gz SGOMMEDEFFBBAP-UHFFFAOYSA-N -1 1 307.379 1.612 20 0 DDADMM Cc1cccc(N2C[C@@H](C(=O)[N-]OC(C)(C)CO)CC2=O)c1C ZINC000296762868 226204464 /nfs/dbraw/zinc/20/44/64/226204464.db2.gz BZLGBGJFJPJGEL-ZDUSSCGKSA-N -1 1 320.389 1.475 20 0 DDADMM COc1cnc(C(=O)[N-]c2nnc([C@@H]3CCCO3)s2)nc1 ZINC000636355710 417938950 /nfs/dbraw/zinc/93/89/50/417938950.db2.gz ARRZKCWTGXNSJH-QMMMGPOBSA-N -1 1 307.335 1.441 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000297328660 227014354 /nfs/dbraw/zinc/01/43/54/227014354.db2.gz ADPPQXJCHLNGAO-NXEZZACHSA-N -1 1 306.391 1.563 20 0 DDADMM CSCCO[N-]C(=O)[C@@H]1CC(=O)N(CCc2ccccc2)C1 ZINC000366561193 418489369 /nfs/dbraw/zinc/48/93/69/418489369.db2.gz NGHFUIRULDHATJ-CQSZACIVSA-N -1 1 322.430 1.488 20 0 DDADMM Cc1cccc(OCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000366641251 418500745 /nfs/dbraw/zinc/50/07/45/418500745.db2.gz OKQUREJXWWWZIY-GFCCVEGCSA-N -1 1 301.350 1.293 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C[C@H]2CCCCC(=O)N2)c1 ZINC000374395924 418526880 /nfs/dbraw/zinc/52/68/80/418526880.db2.gz QDAHMNQQNSPNTN-LLVKDONJSA-N -1 1 320.345 1.566 20 0 DDADMM CN1C(=S)N=NC1CCCNC(=O)c1cc(F)ccc1[O-] ZINC000294289458 418584678 /nfs/dbraw/zinc/58/46/78/418584678.db2.gz VHMRASXFDLUGFQ-UHFFFAOYSA-N -1 1 310.354 1.311 20 0 DDADMM C[C@@H]1CN(C(=O)CN2CCC(CCC(=O)[O-])CC2)C[C@@H](C)O1 ZINC000315740860 418598034 /nfs/dbraw/zinc/59/80/34/418598034.db2.gz GXDHXTXHIWVKOS-CHWSQXEVSA-N -1 1 312.410 1.199 20 0 DDADMM O=C(C[C@@H]1C[C@H]1c1ccccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000367523457 418608017 /nfs/dbraw/zinc/60/80/17/418608017.db2.gz OXKMVRDDYYBKGF-IHRRRGAJSA-N -1 1 313.361 1.293 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCCO[C@@H]3CCC[C@@H]31)c2=O ZINC000367991150 418670271 /nfs/dbraw/zinc/67/02/71/418670271.db2.gz UPOYEPTZSIJBPV-QWHCGFSZSA-N -1 1 316.361 1.458 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CS(=O)(=O)c2ccccc21 ZINC000370925795 418751121 /nfs/dbraw/zinc/75/11/21/418751121.db2.gz YRLRVGVROGMZQR-LLVKDONJSA-N -1 1 318.354 1.388 20 0 DDADMM CC(C)[C@H]1CC[C@H](C(=O)N2CCO[C@H](c3nn[n-]n3)C2)CC1 ZINC000372194862 418843369 /nfs/dbraw/zinc/84/33/69/418843369.db2.gz CTJZXJIAAFUSBP-AVGNSLFASA-N -1 1 307.398 1.562 20 0 DDADMM C[C@@H]1CCCC[C@@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000424759879 228313935 /nfs/dbraw/zinc/31/39/35/228313935.db2.gz HUEYDLGPQDKVMU-KOLCDFICSA-N -1 1 312.391 1.804 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(Cl)c(C(N)=O)c1)OC ZINC000421149124 419504899 /nfs/dbraw/zinc/50/48/99/419504899.db2.gz FQWZMEQZDUCIQH-VIFPVBQESA-N -1 1 320.798 1.606 20 0 DDADMM CC(=O)c1ccc(C(=O)N[C@@H]2C[N@@H+](C3CC3)C[C@@H]2C)cc1O ZINC000412182821 419710283 /nfs/dbraw/zinc/71/02/83/419710283.db2.gz KKZXLJWRAYNYKV-ZUZCIYMTSA-N -1 1 302.374 1.807 20 0 DDADMM CCOC(=O)[C@@H](CC)C([O-])=Nc1nc(-c2cccnc2)ns1 ZINC000412213195 419725557 /nfs/dbraw/zinc/72/55/57/419725557.db2.gz INRLRTCYMDLEJA-JTQLQIEISA-N -1 1 320.374 1.550 20 0 DDADMM O=C(N[C@@H](Cc1ccccc1)[C@H](O)C(F)F)c1ncccc1[O-] ZINC000427752470 419727771 /nfs/dbraw/zinc/72/77/71/419727771.db2.gz BVFAVXGMFCQORG-FZMZJTMJSA-N -1 1 322.311 1.754 20 0 DDADMM COC(=O)C[C@](C)(NC(=O)c1ncccc1[O-])c1ccccn1 ZINC000427805470 419738448 /nfs/dbraw/zinc/73/84/48/419738448.db2.gz FITGMQFMCOKTHY-INIZCTEOSA-N -1 1 315.329 1.391 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](CO)CC(F)F)sc1C ZINC000428416189 419862311 /nfs/dbraw/zinc/86/23/11/419862311.db2.gz DRNMYPAJJXMHBG-SSDOTTSWSA-N -1 1 300.352 1.054 20 0 DDADMM C[C@H](Cn1cncn1)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000571997087 304500779 /nfs/dbraw/zinc/50/07/79/304500779.db2.gz RYOVPKIDEVKYJX-SECBINFHSA-N -1 1 323.403 1.255 20 0 DDADMM CCn1nc2c(cc1=O)CN(Cc1cccc(C(=O)[O-])c1)CC2 ZINC000643434868 423156689 /nfs/dbraw/zinc/15/66/89/423156689.db2.gz ZDGNCRGZFLAPEE-UHFFFAOYSA-N -1 1 313.357 1.520 20 0 DDADMM C[C@]1(CNC(=O)C(=O)c2ccc([O-])cc2)CCO[C@@H]1C1CC1 ZINC000436903763 229538217 /nfs/dbraw/zinc/53/82/17/229538217.db2.gz ODHDMZIDBJYFTK-NVXWUHKLSA-N -1 1 303.358 1.896 20 0 DDADMM O=S(=O)([N-]c1ccncc1F)c1cnc2ccccc2c1 ZINC000430080053 420077410 /nfs/dbraw/zinc/07/74/10/420077410.db2.gz TYMUQPWPEGCQBB-UHFFFAOYSA-N -1 1 303.318 1.992 20 0 DDADMM C[C@H](C(=O)N1CC(=O)Nc2ccccc21)N(C)CCCC(=O)[O-] ZINC000430663789 420174751 /nfs/dbraw/zinc/17/47/51/420174751.db2.gz UOPOBEPIJBCJMI-LLVKDONJSA-N -1 1 319.361 1.157 20 0 DDADMM CCC[C@H](C)[C@H]1CCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416181492 420272170 /nfs/dbraw/zinc/27/21/70/420272170.db2.gz WRDSSTUGXILENP-VHSXEESVSA-N -1 1 309.366 1.937 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCCCCNC(N)=O)c1 ZINC000436513730 420334997 /nfs/dbraw/zinc/33/49/97/420334997.db2.gz MLNVTKANHGTLNN-UHFFFAOYSA-N -1 1 323.349 1.346 20 0 DDADMM Cc1n[nH]c(C)c1CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425295769 420341744 /nfs/dbraw/zinc/34/17/44/420341744.db2.gz GCZRISUPNFYNCV-SNVBAGLBSA-N -1 1 305.338 1.064 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@@H](CC(C)C)OC)c1ccco1 ZINC000420667194 420348292 /nfs/dbraw/zinc/34/82/92/420348292.db2.gz IOXLIWXOULDGQA-OLZOCXBDSA-N -1 1 319.423 1.948 20 0 DDADMM C[C@H](Oc1ccccn1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425316179 420348882 /nfs/dbraw/zinc/34/88/82/420348882.db2.gz CPLGPSIMRDYKSY-JTQLQIEISA-N -1 1 318.333 1.344 20 0 DDADMM O=C(C(=O)N1CCC(n2cncn2)CC1)c1ccc([O-])cc1 ZINC000436685384 420354705 /nfs/dbraw/zinc/35/47/05/420354705.db2.gz BQZHWMIHLVHCML-UHFFFAOYSA-N -1 1 300.318 1.030 20 0 DDADMM NC(=O)NC(=O)c1cccc(NC(=O)c2ccc([O-])cc2F)c1 ZINC000436713804 420357822 /nfs/dbraw/zinc/35/78/22/420357822.db2.gz GKJJLSBWONTFMX-UHFFFAOYSA-N -1 1 317.276 1.592 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N(CCO)CC1CC1 ZINC000436846477 420375696 /nfs/dbraw/zinc/37/56/96/420375696.db2.gz XMOVPJKKACCNLZ-UHFFFAOYSA-N -1 1 314.179 1.999 20 0 DDADMM O=C(NC1(CCO)CC1)c1ccc(Br)cc1[O-] ZINC000436863474 420377109 /nfs/dbraw/zinc/37/71/09/420377109.db2.gz KPJYZMOUGBPHAV-UHFFFAOYSA-N -1 1 300.152 1.800 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)[C@H](C)O ZINC000645746463 423166452 /nfs/dbraw/zinc/16/64/52/423166452.db2.gz LWXMCDRPDZUMTH-IONNQARKSA-N -1 1 312.313 1.538 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000492381042 420540287 /nfs/dbraw/zinc/54/02/87/420540287.db2.gz ARJZAXBMXBFXAQ-BYCRGOAPSA-N -1 1 302.313 1.153 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1sccc1Cl)C(=O)N1CCCC1 ZINC000451496512 420601054 /nfs/dbraw/zinc/60/10/54/420601054.db2.gz UOLZBFCELINHNU-QMMMGPOBSA-N -1 1 322.839 1.691 20 0 DDADMM CSC[C@](C)(O)CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000440156128 420557793 /nfs/dbraw/zinc/55/77/93/420557793.db2.gz OFFBXOCTGXKXKV-OAHLLOKOSA-N -1 1 321.402 1.488 20 0 DDADMM CSC[C@](C)(O)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000440156128 420557797 /nfs/dbraw/zinc/55/77/97/420557797.db2.gz OFFBXOCTGXKXKV-OAHLLOKOSA-N -1 1 321.402 1.488 20 0 DDADMM O=C(N[C@@H]([C@H](CO)C1CC1)C1CC1)c1csc(=NC2CC2)[n-]1 ZINC000452937033 420683886 /nfs/dbraw/zinc/68/38/86/420683886.db2.gz OWDVYSSNVXHROH-TZMCWYRMSA-N -1 1 321.446 1.666 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](C)C[C@@H](C)O)o1 ZINC000443240562 420751342 /nfs/dbraw/zinc/75/13/42/420751342.db2.gz JXDWOMHZURBCQP-VHSXEESVSA-N -1 1 319.379 1.142 20 0 DDADMM Cc1cnc(C(=O)N2CCN(c3nccnc3C)CC2)c([O-])c1 ZINC000442979196 420734336 /nfs/dbraw/zinc/73/43/36/420734336.db2.gz DZSOGODRCVMPQP-UHFFFAOYSA-N -1 1 313.361 1.156 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)[N-]S(=O)(=O)CC(C)(C)C ZINC000443044433 420739241 /nfs/dbraw/zinc/73/92/41/420739241.db2.gz PNRCLLWAIRFJNQ-SSDOTTSWSA-N -1 1 305.318 1.446 20 0 DDADMM C[C@H](CN(C)C(=O)COC(C)(C)C(F)(F)F)c1nn[n-]n1 ZINC000447543035 420780189 /nfs/dbraw/zinc/78/01/89/420780189.db2.gz QUTVULRORXTYBF-SSDOTTSWSA-N -1 1 309.292 1.119 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCCC[C@@H](C)O)c(=O)[n-]1 ZINC000447610890 420784981 /nfs/dbraw/zinc/78/49/81/420784981.db2.gz UNRGNXKXNSJOEY-SECBINFHSA-N -1 1 313.423 1.422 20 0 DDADMM CC[C@@H](C)[C@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)OC ZINC000454277749 420828510 /nfs/dbraw/zinc/82/85/10/420828510.db2.gz KGONJQDSAKJOFT-MFKMUULPSA-N -1 1 303.362 1.714 20 0 DDADMM Cc1cnc(C(=O)NC(C)(C)c2nnc3n2CCCC3)c([O-])c1 ZINC000456086741 421099125 /nfs/dbraw/zinc/09/91/25/421099125.db2.gz IOBFQAJNEHKPIJ-UHFFFAOYSA-N -1 1 315.377 1.689 20 0 DDADMM CC(C)(NC(=O)c1cncc([O-])c1)c1nnc2n1CCCC2 ZINC000456093591 421099944 /nfs/dbraw/zinc/09/99/44/421099944.db2.gz QUYSBRABUUGXAI-UHFFFAOYSA-N -1 1 301.350 1.380 20 0 DDADMM COc1cc(C(=O)N2CC[C@@](O)(C(F)F)C2)cc(Cl)c1[O-] ZINC000456110904 421102443 /nfs/dbraw/zinc/10/24/43/421102443.db2.gz KUDVRPUWTVZUNL-ZDUSSCGKSA-N -1 1 321.707 1.896 20 0 DDADMM Cn1[n-]c(=NS(=O)(=O)c2cccc(F)c2)cc1OC(F)F ZINC000488340567 421083970 /nfs/dbraw/zinc/08/39/70/421083970.db2.gz RVPADQLPUXCSJJ-UHFFFAOYSA-N -1 1 321.280 1.383 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])[C@H]1C(C)C ZINC000456358687 421149080 /nfs/dbraw/zinc/14/90/80/421149080.db2.gz YOQVWXUIFFNIHR-LRDDRELGSA-N -1 1 319.405 1.656 20 0 DDADMM COC(=O)NCC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000496199615 421149671 /nfs/dbraw/zinc/14/96/71/421149671.db2.gz VYSWEJWQKFFRQC-UHFFFAOYSA-N -1 1 310.734 1.113 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCCC[C@@H]1CC(=O)Nc1nnn[n-]1 ZINC000496703537 421302567 /nfs/dbraw/zinc/30/25/67/421302567.db2.gz SSRFJBHGBDCPIE-NXEZZACHSA-N -1 1 324.385 1.612 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCCC[C@@H]1CC(=O)Nc1nn[n-]n1 ZINC000496703537 421302569 /nfs/dbraw/zinc/30/25/69/421302569.db2.gz SSRFJBHGBDCPIE-NXEZZACHSA-N -1 1 324.385 1.612 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCC[C@@H](CO)C2)sc1C ZINC000561111544 421306268 /nfs/dbraw/zinc/30/62/68/421306268.db2.gz NULODSZEXRAQSH-MNOVXSKESA-N -1 1 304.437 1.589 20 0 DDADMM CC1=CCN(CCNC(=O)N=c2[n-]nc(CC(C)C)s2)CC1 ZINC000545863802 421267076 /nfs/dbraw/zinc/26/70/76/421267076.db2.gz JUZDTJXJBSXQGM-UHFFFAOYSA-N -1 1 323.466 1.932 20 0 DDADMM Cc1nc(C2CC2)oc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000546098727 421285721 /nfs/dbraw/zinc/28/57/21/421285721.db2.gz DRPWYUGJJFKHIB-UHFFFAOYSA-N -1 1 302.338 1.573 20 0 DDADMM C[C@H]1C[C@@H](C(=O)Nc2nnn[n-]2)CCN1C(=O)OC(C)(C)C ZINC000496908753 421335638 /nfs/dbraw/zinc/33/56/38/421335638.db2.gz KIXSMAMJNFIKSM-IUCAKERBSA-N -1 1 310.358 1.174 20 0 DDADMM C[C@H]1C[C@@H](C(=O)Nc2nn[n-]n2)CCN1C(=O)OC(C)(C)C ZINC000496908753 421335640 /nfs/dbraw/zinc/33/56/40/421335640.db2.gz KIXSMAMJNFIKSM-IUCAKERBSA-N -1 1 310.358 1.174 20 0 DDADMM Cc1c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cnc2ccccc12 ZINC000547488578 421337132 /nfs/dbraw/zinc/33/71/32/421337132.db2.gz WEZFWSUYXYWDAS-SNVBAGLBSA-N -1 1 310.361 1.932 20 0 DDADMM COC(=O)c1[n-]c(=NC[C@H](O)COc2ccccc2)sc1C ZINC000562418247 421364125 /nfs/dbraw/zinc/36/41/25/421364125.db2.gz WKXQDGYVGYRGJO-NSHDSACASA-N -1 1 322.386 1.512 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc(=O)[nH]c(C2CC2)c1 ZINC000547776313 421366520 /nfs/dbraw/zinc/36/65/20/421366520.db2.gz LZXHMTKJBXXYHS-UHFFFAOYSA-N -1 1 314.349 1.377 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2cnccc2n1 ZINC000562723634 421405608 /nfs/dbraw/zinc/40/56/08/421405608.db2.gz IWOZAFVPBVZDSP-UHFFFAOYSA-N -1 1 309.333 1.342 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1ccc(-n2cccc2)cc1)c1nn[n-]n1 ZINC000548466407 421442599 /nfs/dbraw/zinc/44/25/99/421442599.db2.gz LUOOFPFQOCXSTA-CYBMUJFWSA-N -1 1 324.388 1.795 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@@H]1CCCO1)c1sccc1Cl ZINC000528494512 421494502 /nfs/dbraw/zinc/49/45/02/421494502.db2.gz ZCJXETNDESJKAH-IUCAKERBSA-N -1 1 311.812 1.220 20 0 DDADMM Cc1cccc2c(O)c(C(=O)N3CC[NH2+]C[C@](C)(F)C3)cnc12 ZINC000516465658 421546257 /nfs/dbraw/zinc/54/62/57/421546257.db2.gz NNLKYQZEQJDXBR-KRWDZBQOSA-N -1 1 317.364 1.610 20 0 DDADMM O=S(=O)(Cc1ccc(F)cc1F)N=c1cc2ccccn2[n-]1 ZINC000551951578 421559823 /nfs/dbraw/zinc/55/98/23/421559823.db2.gz CQRZFJZTDDRVKW-UHFFFAOYSA-N -1 1 323.324 1.976 20 0 DDADMM O=C(NCc1cc2n(n1)CCCO2)c1cc(Cl)ccc1[O-] ZINC000552375929 421585767 /nfs/dbraw/zinc/58/57/67/421585767.db2.gz MNZCGRNPIJAJPK-UHFFFAOYSA-N -1 1 307.737 1.955 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCCO[C@H](C)C1 ZINC000563632516 421529613 /nfs/dbraw/zinc/52/96/13/421529613.db2.gz WRLOPDPSJJLMHN-OLZOCXBDSA-N -1 1 320.389 1.500 20 0 DDADMM CCCc1nc(C)c(C(=O)NC2(c3nn[n-]n3)CCCC2)o1 ZINC000551257185 421530082 /nfs/dbraw/zinc/53/00/82/421530082.db2.gz QXLVSTMOBDSSTK-UHFFFAOYSA-N -1 1 304.354 1.648 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@H](C)N1CCC[C@H](CC(=O)[O-])C1 ZINC000533203281 421668912 /nfs/dbraw/zinc/66/89/12/421668912.db2.gz VJXYWJVSJURRRN-QWHCGFSZSA-N -1 1 322.409 1.978 20 0 DDADMM COC(=O)Cc1cccc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)c1 ZINC000555140215 421670334 /nfs/dbraw/zinc/67/03/34/421670334.db2.gz RSLCNFIJOGLRGX-UHFFFAOYSA-N -1 1 323.374 1.543 20 0 DDADMM Cc1cn2cc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)sc2n1 ZINC000538224831 421737654 /nfs/dbraw/zinc/73/76/54/421737654.db2.gz MBYRWEWTACDZMR-VIFPVBQESA-N -1 1 317.378 1.237 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ccc(F)cc2C)n1 ZINC000556794446 421738248 /nfs/dbraw/zinc/73/82/48/421738248.db2.gz FBSOTKZBDMMLPH-UHFFFAOYSA-N -1 1 312.322 1.618 20 0 DDADMM CCc1nc2c(s1)[C@H](N(C)C(=O)CCc1nn[n-]n1)CCC2 ZINC000631845236 421886895 /nfs/dbraw/zinc/88/68/95/421886895.db2.gz WJOHPAUGTZQUFA-SNVBAGLBSA-N -1 1 320.422 1.687 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000635307828 421896128 /nfs/dbraw/zinc/89/61/28/421896128.db2.gz GMTRVFMDJNVBRP-LLVKDONJSA-N -1 1 320.393 1.142 20 0 DDADMM CC[C@@H]1C[C@@H](CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCO1 ZINC000543816750 421842173 /nfs/dbraw/zinc/84/21/73/421842173.db2.gz ZFPIQPDTVFOYJM-YNEHKIRRSA-N -1 1 307.398 1.501 20 0 DDADMM COc1ccc(CNCCOCC(=O)[O-])c(Cl)c1OC ZINC000635317561 421905288 /nfs/dbraw/zinc/90/52/88/421905288.db2.gz XVVBIOIKXUEXCI-UHFFFAOYSA-N -1 1 303.742 1.548 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@H](C)Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000630140760 421914357 /nfs/dbraw/zinc/91/43/57/421914357.db2.gz WVJAKFAMXSKKHY-IUODEOHRSA-N -1 1 315.373 1.881 20 0 DDADMM CCN(CCOc1ccccc1C)C(=O)CCc1nn[n-]n1 ZINC000633459055 421915697 /nfs/dbraw/zinc/91/56/97/421915697.db2.gz KEBLOFQHWVCYDJ-UHFFFAOYSA-N -1 1 303.366 1.368 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(C(=O)c2cnccc2N(C)C)C1 ZINC000630177855 421940272 /nfs/dbraw/zinc/94/02/72/421940272.db2.gz NVWAEZQWSGHPDJ-MRXNPFEDSA-N -1 1 305.378 1.721 20 0 DDADMM CO[C@H]1C[C@H](CC(=O)[O-])N(C(=O)c2cccc3n[nH]cc32)C1 ZINC000630188443 421947353 /nfs/dbraw/zinc/94/73/53/421947353.db2.gz APKIBTNVLAYUAO-ZJUUUORDSA-N -1 1 303.318 1.267 20 0 DDADMM CO[C@H](C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)C1CCCC1 ZINC000630220016 421970901 /nfs/dbraw/zinc/97/09/01/421970901.db2.gz LCGANBJPDKWFEK-DOMZBBRYSA-N -1 1 312.410 1.199 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cc3ccccc3[nH]2)CC1 ZINC000630223812 421972158 /nfs/dbraw/zinc/97/21/58/421972158.db2.gz WXDKDDUIGIPQJX-UHFFFAOYSA-N -1 1 315.373 1.789 20 0 DDADMM C[C@H](CN1CCCC1=O)NC(=O)N=c1[n-]sc2ccccc21 ZINC000630348420 422036538 /nfs/dbraw/zinc/03/65/38/422036538.db2.gz PHDDCTFXAWIBDQ-SNVBAGLBSA-N -1 1 318.402 1.851 20 0 DDADMM COC[C@@H](CCNC(=O)Cc1ccc([O-])c(Cl)c1)OC ZINC000633608085 421997435 /nfs/dbraw/zinc/99/74/35/421997435.db2.gz TXBMLVJYOLJBIY-LLVKDONJSA-N -1 1 301.770 1.756 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cccc3c[nH]nc32)[C@@](C)(C(=O)[O-])C1 ZINC000630310735 422015916 /nfs/dbraw/zinc/01/59/16/422015916.db2.gz KEFPBCYLRVNFBW-ZUZCIYMTSA-N -1 1 303.318 1.267 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCO[C@H]3C23CCC3)sn1 ZINC000632140442 422116162 /nfs/dbraw/zinc/11/61/62/422116162.db2.gz HEGVYXKPOKDLOR-MVWJERBFSA-N -1 1 314.432 1.687 20 0 DDADMM C[C@@H]1[C@H](NC(=O)Cc2ccc([O-])c(Cl)c2)CCS1(=O)=O ZINC000633686157 422047851 /nfs/dbraw/zinc/04/78/51/422047851.db2.gz OIYGMIKQCZNULO-LDYMZIIASA-N -1 1 317.794 1.280 20 0 DDADMM O=C([C@@H]1Cc2ccc(Cl)cc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000632054258 422054524 /nfs/dbraw/zinc/05/45/24/422054524.db2.gz QRCFBLFLXXJEHT-ZWNOBZJWSA-N -1 1 317.780 1.899 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCc2ccc(CO)cc2)sn1 ZINC000632183077 422146105 /nfs/dbraw/zinc/14/61/05/422146105.db2.gz GCJKCISXBDGGTN-UHFFFAOYSA-N -1 1 312.416 1.465 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCCCOC(C)C)c1 ZINC000632201873 422160161 /nfs/dbraw/zinc/16/01/61/422160161.db2.gz WWCOREITIPJRCW-UHFFFAOYSA-N -1 1 317.407 1.884 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@H]2CCOC2)c1 ZINC000632204853 422162063 /nfs/dbraw/zinc/16/20/63/422162063.db2.gz QZCJAVFOZQMKLJ-JTQLQIEISA-N -1 1 301.364 1.106 20 0 DDADMM C[C@H](CNC(=O)CCCc1nn[n-]n1)Oc1ccc(Cl)cc1 ZINC000635624332 422179104 /nfs/dbraw/zinc/17/91/04/422179104.db2.gz XBZWWCLZQSGIAN-SNVBAGLBSA-N -1 1 323.784 1.760 20 0 DDADMM CCO[C@H]1C[C@@H](NC(=O)CCCc2nn[n-]n2)C12CCCC2 ZINC000635625772 422179260 /nfs/dbraw/zinc/17/92/60/422179260.db2.gz FDYCNTOMBQLBIT-NEPJUHHUSA-N -1 1 307.398 1.376 20 0 DDADMM O=C(NC[C@@H]1CCCN1CC(F)F)c1c([O-])cccc1F ZINC000628621283 422263669 /nfs/dbraw/zinc/26/36/69/422263669.db2.gz OXLZDPGLWUJTQC-VIFPVBQESA-N -1 1 302.296 1.991 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@@H]1CC(=O)N(C2CC2)C1 ZINC000632356380 422271424 /nfs/dbraw/zinc/27/14/24/422271424.db2.gz PBOXNBRZXBJEQM-NSHDSACASA-N -1 1 322.792 1.715 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000575154264 422271926 /nfs/dbraw/zinc/27/19/26/422271926.db2.gz CRJANRVSOIFQHJ-CBAPKCEASA-N -1 1 319.317 1.543 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@]2(O)CCC[C@H]2C)c1 ZINC000632374214 422286684 /nfs/dbraw/zinc/28/66/84/422286684.db2.gz MUMFSFXTEZZERV-QMTHXVAHSA-N -1 1 315.391 1.230 20 0 DDADMM CC(C)COc1ccccc1CNC(=O)CCc1nn[n-]n1 ZINC000630800721 422343054 /nfs/dbraw/zinc/34/30/54/422343054.db2.gz AHQPZSJWWARGQR-UHFFFAOYSA-N -1 1 303.366 1.484 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCCC3CC(O)C3)c[n-]c2[nH+]1 ZINC000575737871 422318149 /nfs/dbraw/zinc/31/81/49/422318149.db2.gz CVAMOBBFVCGCFX-UHFFFAOYSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCCC3CC(O)C3)c[n-]c2n1 ZINC000575737871 422318158 /nfs/dbraw/zinc/31/81/58/422318158.db2.gz CVAMOBBFVCGCFX-UHFFFAOYSA-N -1 1 301.346 1.535 20 0 DDADMM O=C(CCCNC(=O)Cc1ccc([O-])c(Cl)c1)NC1CC1 ZINC000630756612 422318313 /nfs/dbraw/zinc/31/83/13/422318313.db2.gz FBODZGAOKNBMLW-UHFFFAOYSA-N -1 1 310.781 1.763 20 0 DDADMM COCCOCc1n[n-]c(=NC(C)(C)C)n1C[C@@H]1CCOC1 ZINC000634473770 422446068 /nfs/dbraw/zinc/44/60/68/422446068.db2.gz KWQGMVVSBJUFMQ-LBPRGKRZSA-N -1 1 312.414 1.110 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCO[C@H](c2ccccc2)C1 ZINC000632485095 422383561 /nfs/dbraw/zinc/38/35/61/422383561.db2.gz VJKBMRJWXOIFQN-OLZOCXBDSA-N -1 1 301.350 1.169 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCCC(F)(F)C2)c1 ZINC000632508975 422399978 /nfs/dbraw/zinc/39/99/78/422399978.db2.gz SVMOHGITVPVXIQ-UHFFFAOYSA-N -1 1 307.318 1.821 20 0 DDADMM C[C@@H](N=c1ccc(N2CCNC(=O)[C@H]2C)n[n-]1)c1ccccc1 ZINC000577723676 422415187 /nfs/dbraw/zinc/41/51/87/422415187.db2.gz GCZVCHWJWXHLFI-CHWSQXEVSA-N -1 1 311.389 1.396 20 0 DDADMM Cc1cc(C(F)(F)F)ccc1CNC(=O)CCc1nn[n-]n1 ZINC000632702701 422529141 /nfs/dbraw/zinc/52/91/41/422529141.db2.gz ANRZWLBYSHCKBD-UHFFFAOYSA-N -1 1 313.283 1.776 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCCn3nccc32)sc1C ZINC000632804805 422592444 /nfs/dbraw/zinc/59/24/44/422592444.db2.gz GDQBGSHZNQCROR-SNVBAGLBSA-N -1 1 312.420 1.770 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC(C)(F)CC2)c1 ZINC000632690962 422525027 /nfs/dbraw/zinc/52/50/27/422525027.db2.gz PRRPQMJDSFTMME-UHFFFAOYSA-N -1 1 303.355 1.914 20 0 DDADMM CN1C(=O)CC[C@H]1CC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629379171 422641266 /nfs/dbraw/zinc/64/12/66/422641266.db2.gz BOTYYRZOFZEDHZ-ZDUSSCGKSA-N -1 1 314.345 1.527 20 0 DDADMM O=C([O-])CC1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)CC1 ZINC000578823004 422652169 /nfs/dbraw/zinc/65/21/69/422652169.db2.gz SIUXLCBHRKQSMM-UHFFFAOYSA-N -1 1 303.318 1.997 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(Cc2cn3ccsc3n2)C1 ZINC000579133106 422714381 /nfs/dbraw/zinc/71/43/81/422714381.db2.gz FAWCHVUQAHNMQD-CQSZACIVSA-N -1 1 309.391 1.709 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H]1CCc2n[nH]cc2C1 ZINC000631294805 422666518 /nfs/dbraw/zinc/66/65/18/422666518.db2.gz ODLIMSSJWKTQRD-NSHDSACASA-N -1 1 305.765 1.985 20 0 DDADMM C[C@@H](NC(=O)CCCc1nn[n-]n1)C1CCC(F)(F)CC1 ZINC000636142891 422672710 /nfs/dbraw/zinc/67/27/10/422672710.db2.gz PNJGQRYWRMWQJN-SECBINFHSA-N -1 1 301.341 1.853 20 0 DDADMM O=C(N=c1[n-]nc([C@H]2CCCO2)s1)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000632979838 422690972 /nfs/dbraw/zinc/69/09/72/422690972.db2.gz LXLKCYQYFPYCDM-OUAUKWLOSA-N -1 1 323.422 1.170 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2c(c1)CCCN2)c1nn[n-]n1 ZINC000648097763 423211989 /nfs/dbraw/zinc/21/19/89/423211989.db2.gz NJAKJVOWTNXWIK-ZDUSSCGKSA-N -1 1 300.366 1.829 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN1C(=O)c1[nH]nc2ccccc21 ZINC000643847343 423376971 /nfs/dbraw/zinc/37/69/71/423376971.db2.gz FKAHPKRZTWKSGB-OAHLLOKOSA-N -1 1 303.318 1.269 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)C(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000653343158 423448761 /nfs/dbraw/zinc/44/87/61/423448761.db2.gz PHFFQAINVRXFEJ-HUUCEWRRSA-N -1 1 304.390 1.973 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCNC(=O)Cc1ccccc1 ZINC000646411870 423479945 /nfs/dbraw/zinc/47/99/45/423479945.db2.gz WAMJHMJGZLUMDU-UHFFFAOYSA-N -1 1 302.334 1.111 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@H](C(=O)Nc2c(C)[n-][nH]c2=O)C1 ZINC000646411713 423480028 /nfs/dbraw/zinc/48/00/28/423480028.db2.gz OWUOYWUCXGJTJD-KOLCDFICSA-N -1 1 308.382 1.647 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@@H](C(=O)Nc2c(C)[n-][nH]c2=O)C1 ZINC000646411712 423480283 /nfs/dbraw/zinc/48/02/83/423480283.db2.gz OWUOYWUCXGJTJD-GXSJLCMTSA-N -1 1 308.382 1.647 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000646660858 423565921 /nfs/dbraw/zinc/56/59/21/423565921.db2.gz ULHFLKSONZPGHL-MYJAWHEDSA-N -1 1 312.163 1.465 20 0 DDADMM O=C(c1ccc2ccncc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000651561247 423593388 /nfs/dbraw/zinc/59/33/88/423593388.db2.gz DUQDEOVJHIKTAO-ZDUSSCGKSA-N -1 1 308.345 1.768 20 0 DDADMM COCC(C)(C)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000644309185 423601835 /nfs/dbraw/zinc/60/18/35/423601835.db2.gz JGEKRPARJVKWBZ-UHFFFAOYSA-N -1 1 323.361 1.924 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@H](OC)C(C)C)c(OC)n1 ZINC000647125699 423763501 /nfs/dbraw/zinc/76/35/01/423763501.db2.gz OODDMCCMHWWARP-NSHDSACASA-N -1 1 318.395 1.512 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccccc1-n1cncn1)C(C)C ZINC000647123610 423765510 /nfs/dbraw/zinc/76/55/10/423765510.db2.gz KCBQOSMVOMZPEY-AWEZNQCLSA-N -1 1 324.406 1.680 20 0 DDADMM CC(C)CCNC(=O)[C@@H](C)N1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639826210 423765685 /nfs/dbraw/zinc/76/56/85/423765685.db2.gz RVOUCUVJZAJSQX-VXGBXAGGSA-N -1 1 309.414 1.212 20 0 DDADMM COC1(C[C@H](NC(=O)c2[nH]nc3c2CCCC3)C(=O)[O-])CCC1 ZINC000652106406 423864921 /nfs/dbraw/zinc/86/49/21/423864921.db2.gz HKGOQFURJRYMTO-LBPRGKRZSA-N -1 1 321.377 1.431 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@H](C)C(F)F)C1CCOCC1 ZINC000641704019 423887229 /nfs/dbraw/zinc/88/72/29/423887229.db2.gz BHEOOVLQKKRWBR-SCZZXKLOSA-N -1 1 301.355 1.001 20 0 DDADMM Nc1nc2c(s1)C[C@@H](NC(=O)c1ccc([O-])c(F)c1)CC2 ZINC000652075145 423839584 /nfs/dbraw/zinc/83/95/84/423839584.db2.gz BCWVCQCNQNLROH-QMMMGPOBSA-N -1 1 307.350 1.688 20 0 DDADMM CCc1nn(C)cc1[N-]S(=O)(=O)[C@@H]1CCCC[C@@H]1OC ZINC000641685719 423859018 /nfs/dbraw/zinc/85/90/18/423859018.db2.gz SOIWAUFIMWBIEY-QWHCGFSZSA-N -1 1 301.412 1.682 20 0 DDADMM O=C(NCCO[C@@H]1CCCCO1)c1nc2ccccc2c(=O)[n-]1 ZINC000641755942 423952615 /nfs/dbraw/zinc/95/26/15/423952615.db2.gz ZCENNSRCMSQKBO-CYBMUJFWSA-N -1 1 317.345 1.196 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)cc1 ZINC000649462647 423929715 /nfs/dbraw/zinc/92/97/15/423929715.db2.gz NWQABZMOLQQJJN-GJZGRUSLSA-N -1 1 318.373 1.056 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2ncccc2Br)CO1 ZINC000657019563 423999177 /nfs/dbraw/zinc/99/91/77/423999177.db2.gz CBNZTFOTFHGFSC-JGVFFNPUSA-N -1 1 321.196 1.300 20 0 DDADMM O=C(N[C@@H]1CCC[C@H](C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000644851296 423977142 /nfs/dbraw/zinc/97/71/42/423977142.db2.gz DSZINIIGZRIJIZ-WDEREUQCSA-N -1 1 301.350 1.116 20 0 DDADMM C[C@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)n1cccn1 ZINC000644854377 423979919 /nfs/dbraw/zinc/97/99/19/423979919.db2.gz VRDJIELDZIFQJR-BXUZGUMPSA-N -1 1 311.349 1.253 20 0 DDADMM Cc1c(Cl)cncc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000655029306 424146789 /nfs/dbraw/zinc/14/67/89/424146789.db2.gz OVEIXZKRXZPYOU-SECBINFHSA-N -1 1 306.757 1.576 20 0 DDADMM CC(C)(CNC(=O)N=c1[n-]sc2ccccc21)OCCO ZINC000640340230 424362389 /nfs/dbraw/zinc/36/23/89/424362389.db2.gz PLMDFFSOGQEHKU-UHFFFAOYSA-N -1 1 309.391 1.627 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@H]1NC(=O)N=c1[n-]sc2ccccc21 ZINC000640342209 424364910 /nfs/dbraw/zinc/36/49/10/424364910.db2.gz MWSYAWCSEILIRU-MWLCHTKSSA-N -1 1 318.402 1.884 20 0 DDADMM O=C([O-])[C@H](Cc1ccncc1)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000655600370 424588791 /nfs/dbraw/zinc/58/87/91/424588791.db2.gz DVTXPNGMGHOGLM-RISCZKNCSA-N -1 1 314.345 1.037 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)C(F)(F)F)cn1 ZINC000341657696 271156627 /nfs/dbraw/zinc/15/66/27/271156627.db2.gz MSTBMNGGIGNJIE-ZCFIWIBFSA-N -1 1 312.269 1.097 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@@H]2CCCC[C@@H]2C1 ZINC000343773577 271865835 /nfs/dbraw/zinc/86/58/35/271865835.db2.gz YUQDIQWTGOLCMZ-TXEJJXNPSA-N -1 1 303.362 1.166 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCO[C@H](C(C)(C)C)C1)c2=O ZINC000344702596 272094110 /nfs/dbraw/zinc/09/41/10/272094110.db2.gz JTDIRYXKESHLPS-LBPRGKRZSA-N -1 1 318.377 1.561 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)N=c2ncn(C(C)(C)C)[n-]2)CCN1C ZINC000487243080 280000182 /nfs/dbraw/zinc/00/01/82/280000182.db2.gz ZYNXZSSJUBUXAM-LBPRGKRZSA-N -1 1 308.430 1.259 20 0 DDADMM CCC[C@H](NC(=O)CS(=O)(=O)c1nc[n-]n1)c1ccccc1 ZINC000086169627 281015668 /nfs/dbraw/zinc/01/56/68/281015668.db2.gz PQWLXYOLEIHSQY-LBPRGKRZSA-N -1 1 322.390 1.236 20 0 DDADMM O=C(NCc1ccc2[nH]c(=O)[nH]c2c1)c1c([O-])cccc1F ZINC000122116436 281150845 /nfs/dbraw/zinc/15/08/45/281150845.db2.gz GJTXUNILZCSTNZ-UHFFFAOYSA-N -1 1 301.277 1.631 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2cc(C(=O)OC)co2)n[nH]1 ZINC000129328837 281340773 /nfs/dbraw/zinc/34/07/73/281340773.db2.gz QEOMGLBTTSRYSB-UHFFFAOYSA-N -1 1 313.335 1.543 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)N[C@@H]2CCc3[nH]cnc3C2)C1 ZINC000263384664 297341572 /nfs/dbraw/zinc/34/15/72/297341572.db2.gz XWQJMXBBJRZTPU-OUAUKWLOSA-N -1 1 306.366 1.019 20 0 DDADMM COC(=O)CCCc1nc(CSc2ncc(C)c(=O)[n-]2)no1 ZINC000572781543 304555363 /nfs/dbraw/zinc/55/53/63/304555363.db2.gz SXFFUIOFMFFSPL-UHFFFAOYSA-N -1 1 324.362 1.662 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-][C@H](C)C(=O)OC(C)(C)C)on1 ZINC000357200822 298993419 /nfs/dbraw/zinc/99/34/19/298993419.db2.gz ISXMUQWMXLZPPR-SECBINFHSA-N -1 1 304.368 1.133 20 0 DDADMM O=C(Nc1nnc2ccccn21)c1csc(=NC2CC2)[n-]1 ZINC000362557231 300095471 /nfs/dbraw/zinc/09/54/71/300095471.db2.gz BEOMZHIZSWMMLA-UHFFFAOYSA-N -1 1 300.347 1.434 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@](C)(O)C1CCC1 ZINC000362849954 300159210 /nfs/dbraw/zinc/15/92/10/300159210.db2.gz IZKPGUKOUQTXTF-INIZCTEOSA-N -1 1 318.377 1.219 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cc(F)ccc2F)cn(C)c1=O ZINC000362853268 300160648 /nfs/dbraw/zinc/16/06/48/300160648.db2.gz KZNFHXGATSFQNE-UHFFFAOYSA-N -1 1 314.313 1.773 20 0 DDADMM CC[C@H](CC1CCCC1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363407856 300263632 /nfs/dbraw/zinc/26/36/32/300263632.db2.gz HGGIBMNQTKWHLY-OLZOCXBDSA-N -1 1 307.398 1.706 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000368563204 301052564 /nfs/dbraw/zinc/05/25/64/301052564.db2.gz KGPOKCWLRPCSOM-VIFPVBQESA-N -1 1 317.267 1.040 20 0 DDADMM Cn1cc(-c2ncccc2[N-]S(=O)(=O)C[C@@H]2CCCO2)cn1 ZINC000372685097 301601000 /nfs/dbraw/zinc/60/10/00/301601000.db2.gz SHGWMCNEGJPWNJ-LBPRGKRZSA-N -1 1 322.390 1.403 20 0 DDADMM Cc1cc(F)ccc1[C@H](O)CN1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000377495519 302185855 /nfs/dbraw/zinc/18/58/55/302185855.db2.gz RROHWMOJZQXWSK-SMDDNHRTSA-N -1 1 320.368 1.871 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCOC2(CCCCC2)C1 ZINC000377863859 302243927 /nfs/dbraw/zinc/24/39/27/302243927.db2.gz KECYYAKIBYRXFV-UHFFFAOYSA-N -1 1 315.395 1.119 20 0 DDADMM Cc1cnn([C@H]2CCN(C(=O)c3nc4ccccc4c(=O)[n-]3)C2)c1 ZINC000377977284 302261125 /nfs/dbraw/zinc/26/11/25/302261125.db2.gz ZYCATZDYDAVYRP-LBPRGKRZSA-N -1 1 323.356 1.515 20 0 DDADMM CC[C@H](C(=O)[N-]OC[C@@H](C)NC(=O)OC(C)(C)C)[C@@H](C)O ZINC000496193646 302535554 /nfs/dbraw/zinc/53/55/54/302535554.db2.gz CPNZVFSUYNBAMI-MXWKQRLJSA-N -1 1 304.387 1.354 20 0 DDADMM COc1cccc([C@H](CNc2nc3[nH][n-]cc-3c(=O)n2)OC)c1 ZINC000528068478 303000969 /nfs/dbraw/zinc/00/09/69/303000969.db2.gz FTXGFFXRUDKTQE-LBPRGKRZSA-N -1 1 315.333 1.240 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)n1 ZINC000528454890 303029002 /nfs/dbraw/zinc/02/90/02/303029002.db2.gz YQWNGJPLGFMFGJ-UHFFFAOYSA-N -1 1 316.333 1.363 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1[C@@H]3CCO[C@@H]3C1(C)C)c2=O ZINC000179514327 303060386 /nfs/dbraw/zinc/06/03/86/303060386.db2.gz WDMAPCFVAXTAAP-CKLFPEKLSA-N -1 1 316.361 1.217 20 0 DDADMM O=C(c1cnnc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000528883481 303069672 /nfs/dbraw/zinc/06/96/72/303069672.db2.gz RODSHCINYZFIBL-JTQLQIEISA-N -1 1 309.333 1.163 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@H]2CC[C@@H](C1)[S@]2=O ZINC000530063372 303172079 /nfs/dbraw/zinc/17/20/79/303172079.db2.gz KNDVKVIVTLSMBI-HORACTTISA-N -1 1 315.341 1.796 20 0 DDADMM C[C@@H]([C@@H]1Cc2ccccc2O1)N(C)Cc1cnc(C(=O)[O-])cn1 ZINC000530161589 303177393 /nfs/dbraw/zinc/17/73/93/303177393.db2.gz COKULKDVAZFXSO-ZBEGNZNMSA-N -1 1 313.357 1.999 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000530261361 303188870 /nfs/dbraw/zinc/18/88/70/303188870.db2.gz AFJYGNLYWKFLAF-XBNNWQEZSA-N -1 1 315.377 1.465 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)CC2(c3ccccc3)CC2)n1 ZINC000530262104 303189175 /nfs/dbraw/zinc/18/91/75/303189175.db2.gz LJKNETZVPRAFQX-UHFFFAOYSA-N -1 1 320.374 1.269 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)CC2(c3ccccc3)CC2)[n-]1 ZINC000530262104 303189177 /nfs/dbraw/zinc/18/91/77/303189177.db2.gz LJKNETZVPRAFQX-UHFFFAOYSA-N -1 1 320.374 1.269 20 0 DDADMM Cc1c(C(=O)N(CCN(C)C)CC(=O)[O-])oc2c1C(=O)CCC2 ZINC000532674517 303293117 /nfs/dbraw/zinc/29/31/17/303293117.db2.gz STRUCLCVWSTHGI-UHFFFAOYSA-N -1 1 322.361 1.195 20 0 DDADMM Cc1noc(C)c1[C@@H](C)CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000532802089 303298363 /nfs/dbraw/zinc/29/83/63/303298363.db2.gz KSIDTKOFGBABLC-VIFPVBQESA-N -1 1 318.381 1.884 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CCCC2)cnn1C(C)C ZINC000538842072 303391231 /nfs/dbraw/zinc/39/12/31/303391231.db2.gz RXYLFOZBBKBHSG-UHFFFAOYSA-N -1 1 303.370 1.485 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)Cc2ccc(F)cc2F)no1 ZINC000542798157 303449325 /nfs/dbraw/zinc/44/93/25/303449325.db2.gz NDAHPDKUPUJSAL-UHFFFAOYSA-N -1 1 303.290 1.276 20 0 DDADMM CCNC(=O)c1cccc(CNC(=O)c2ncc(C)cc2[O-])c1 ZINC000358617472 306945177 /nfs/dbraw/zinc/94/51/77/306945177.db2.gz PTGSIZMPUDROGX-UHFFFAOYSA-N -1 1 313.357 1.775 20 0 DDADMM O=C(c1cncn1-c1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366643025 307078211 /nfs/dbraw/zinc/07/82/11/307078211.db2.gz PVYPTWFURBVSBD-GFCCVEGCSA-N -1 1 323.360 1.405 20 0 DDADMM C[C@@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)CC[S@@](=O)C1 ZINC000369338573 307119081 /nfs/dbraw/zinc/11/90/81/307119081.db2.gz JGXZVNSLEWPGOW-RKFFSXRUSA-N -1 1 321.398 1.699 20 0 DDADMM O=C([C@@H]1CCC[C@@H]2CCCC[C@H]12)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370739817 307134849 /nfs/dbraw/zinc/13/48/49/307134849.db2.gz LZJLFBRQEHNWHX-IGQOVBAYSA-N -1 1 319.409 1.706 20 0 DDADMM COC(=O)[C@]12CN(C(=O)c3ccc([O-])cc3F)C[C@H]1COCC2 ZINC000373659267 307194363 /nfs/dbraw/zinc/19/43/63/307194363.db2.gz JOUXEVXUTZOIMR-MGPLVRAMSA-N -1 1 323.320 1.183 20 0 DDADMM O=C(C[C@@H]1CCCCC(=O)N1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000374217212 307203947 /nfs/dbraw/zinc/20/39/47/307203947.db2.gz KKLSZEDTHFLABR-LURJTMIESA-N -1 1 305.260 1.211 20 0 DDADMM CC(C)CCNC(=O)[C@H](C)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000377581710 307273492 /nfs/dbraw/zinc/27/34/92/307273492.db2.gz HHTOYTMPYVRRNI-NSHDSACASA-N -1 1 309.414 1.241 20 0 DDADMM Cc1cc(CS(=O)(=O)Nc2cc(C(=O)[O-])ccc2F)on1 ZINC000548521946 307774694 /nfs/dbraw/zinc/77/46/94/307774694.db2.gz UOCTUCWDRUQQHW-UHFFFAOYSA-N -1 1 314.294 1.762 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](CO)CC1CCC1 ZINC000557381727 307870855 /nfs/dbraw/zinc/87/08/55/307870855.db2.gz FGSBZNGUZJJLDT-SNVBAGLBSA-N -1 1 311.407 1.493 20 0 DDADMM O=C(N[C@@H]1CC(=O)N2CCCC[C@@H]12)c1ccc(Cl)cc1[O-] ZINC000558708650 307895558 /nfs/dbraw/zinc/89/55/58/307895558.db2.gz WISYJOSTMZXBOT-NEPJUHHUSA-N -1 1 308.765 1.929 20 0 DDADMM N=c1nc(N2CCN(Cc3ccc4c(n3)CCC4)CC2)s[n-]1 ZINC000563091369 307954846 /nfs/dbraw/zinc/95/48/46/307954846.db2.gz SLUKSCXLSOSRFZ-UHFFFAOYSA-N -1 1 316.434 1.157 20 0 DDADMM C[C@@](N)(C(=O)N1C[C@@H]2CCC[C@@]2(C(=O)[O-])C1)c1ccccc1 ZINC000564661282 308002357 /nfs/dbraw/zinc/00/23/57/308002357.db2.gz OQAUSTDDYWNOCU-RRQGHBQHSA-N -1 1 302.374 1.574 20 0 DDADMM CCN(C)c1ccc(CNC2(c3nnn[n-]3)CCCC2)cn1 ZINC000570106074 308158540 /nfs/dbraw/zinc/15/85/40/308158540.db2.gz CLQUSSXYQDIMOK-UHFFFAOYSA-N -1 1 301.398 1.610 20 0 DDADMM C[C@@H]1CN(C(C)(C)CNc2ncc(C(=O)[O-])cn2)C[C@@H](C)O1 ZINC000571804045 308202641 /nfs/dbraw/zinc/20/26/41/308202641.db2.gz FJWHENKKTXPJGI-GHMZBOCLSA-N -1 1 308.382 1.475 20 0 DDADMM C[C@H]1CN(C(C)(C)CNc2ncc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC000571804047 308202744 /nfs/dbraw/zinc/20/27/44/308202744.db2.gz FJWHENKKTXPJGI-QWRGUYRKSA-N -1 1 308.382 1.475 20 0 DDADMM CC[C@@H](NS(=O)(=O)c1c(Cl)ccc(F)c1F)C(=O)[O-] ZINC000572856173 308225865 /nfs/dbraw/zinc/22/58/65/308225865.db2.gz ARHDEBRMHBSCNT-SSDOTTSWSA-N -1 1 313.709 1.760 20 0 DDADMM O[C@H]1CN(c2ccc(=NCc3ccccc3F)[n-]n2)CC12CC2 ZINC000576135175 308291853 /nfs/dbraw/zinc/29/18/53/308291853.db2.gz PAAABGMSRLKSLA-AWEZNQCLSA-N -1 1 314.364 1.611 20 0 DDADMM COc1nc(N2CCN(CCOC(C)C)CC2)ccc1C(=O)[O-] ZINC000581550615 325874145 /nfs/dbraw/zinc/87/41/45/325874145.db2.gz HOYIVIYOQXIHQV-UHFFFAOYSA-N -1 1 323.393 1.335 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1C[C@H]1C1CC1 ZINC000583972772 331502452 /nfs/dbraw/zinc/50/24/52/331502452.db2.gz PBOQIBVPNCFHDN-UONOGXRCSA-N -1 1 314.389 1.899 20 0 DDADMM Cn1cc([C@@H](Nc2nc3[nH][n-]cc-3c(=O)n2)C(C)(C)C)cn1 ZINC000583139653 337244636 /nfs/dbraw/zinc/24/46/36/337244636.db2.gz APUQVKIGFVSTDS-SNVBAGLBSA-N -1 1 301.354 1.365 20 0 DDADMM Cc1ccc(C)n1[C@H](C)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000372372338 483934212 /nfs/dbraw/zinc/93/42/12/483934212.db2.gz FJKUMAHXNDEYHA-CHWSQXEVSA-N -1 1 302.382 1.585 20 0 DDADMM Cc1ccc2c([n-]cc(C(=O)NC[C@@H](C(=O)[O-])C(C)C)c2=O)[nH+]1 ZINC000656231747 484037632 /nfs/dbraw/zinc/03/76/32/484037632.db2.gz OAOIOHFFDOECBN-LLVKDONJSA-N -1 1 317.345 1.730 20 0 DDADMM CC(=O)N1CCc2cc([N-]S(=O)(=O)c3cccn3C)ccc21 ZINC000414371589 484059024 /nfs/dbraw/zinc/05/90/24/484059024.db2.gz NDBRXTJIVFPMMS-UHFFFAOYSA-N -1 1 319.386 1.735 20 0 DDADMM CO[C@H](C)c1noc(CN(C)C(=O)C(=O)c2ccc([O-])cc2)n1 ZINC000436941160 484129703 /nfs/dbraw/zinc/12/97/03/484129703.db2.gz OYEZTSAYZDAJFT-SECBINFHSA-N -1 1 319.317 1.324 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ncccc1F)[C@H]1CCOC1 ZINC000656731144 484305124 /nfs/dbraw/zinc/30/51/24/484305124.db2.gz ISYHKNPFKMGQAA-GXSJLCMTSA-N -1 1 304.343 1.014 20 0 DDADMM C[C@@H]1CCNC(=O)[C@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000669635978 484595927 /nfs/dbraw/zinc/59/59/27/484595927.db2.gz OMJNZBMSRCTIQP-RNCFNFMXSA-N -1 1 308.359 1.236 20 0 DDADMM COc1cccc([C@H](CNC(=O)[C@@H](C(=O)[O-])C2CC2)N(C)C)c1 ZINC000663074482 484646359 /nfs/dbraw/zinc/64/63/59/484646359.db2.gz BSQJTRKOEKGOFE-GJZGRUSLSA-N -1 1 320.389 1.525 20 0 DDADMM O=C([O-])[C@H](C(=O)N1CCN(C[C@H]2CCOC2)CC1)C1CCCC1 ZINC000663103441 484668814 /nfs/dbraw/zinc/66/88/14/484668814.db2.gz AZGZLLLUTSKBTC-HIFRSBDPSA-N -1 1 324.421 1.058 20 0 DDADMM CC(C)[C@H](NC(=O)NC[C@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663270657 484777074 /nfs/dbraw/zinc/77/70/74/484777074.db2.gz DQGJDGOSLKKBOT-CABCVRRESA-N -1 1 319.405 1.669 20 0 DDADMM O=C([O-])[C@@H]1CCCN1C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000663271604 484777887 /nfs/dbraw/zinc/77/78/87/484777887.db2.gz OYDZGTGUUJHDFZ-CABCVRRESA-N -1 1 317.389 1.520 20 0 DDADMM O=C([O-])[C@H](NC(=O)Cc1[nH]nc2ccccc21)C1CCOCC1 ZINC000668308822 485095804 /nfs/dbraw/zinc/09/58/04/485095804.db2.gz MBBVXZHLLCNZHO-OAHLLOKOSA-N -1 1 317.345 1.101 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCCS(=O)(=O)CC1 ZINC000668554863 485212549 /nfs/dbraw/zinc/21/25/49/485212549.db2.gz STOVIJFTVNGPPE-UHFFFAOYSA-N -1 1 320.370 1.201 20 0 DDADMM CN1Cc2ccccc2[C@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000673038751 485381747 /nfs/dbraw/zinc/38/17/47/485381747.db2.gz HJFILURTBASRMZ-MRXNPFEDSA-N -1 1 310.353 1.878 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC1=CCCOC1 ZINC000673365082 485406939 /nfs/dbraw/zinc/40/69/39/485406939.db2.gz QTUVSFQGKDCABL-UHFFFAOYSA-N -1 1 316.361 1.057 20 0 DDADMM Cc1cc(CNC(=O)c2cc(=O)n(-c3ccc(C)cc3)[n-]2)n[nH]1 ZINC000673670802 485442924 /nfs/dbraw/zinc/44/29/24/485442924.db2.gz LJJDMEGOXJPMPA-UHFFFAOYSA-N -1 1 311.345 1.436 20 0 DDADMM C[C@@H](C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)c1cccnc1 ZINC000673701771 485446205 /nfs/dbraw/zinc/44/62/05/485446205.db2.gz OBSJAWGFKLBDEX-RISCZKNCSA-N -1 1 308.345 1.604 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1CC12CCOCC2 ZINC000674011233 485509492 /nfs/dbraw/zinc/50/94/92/485509492.db2.gz QJRFANBORFTEPO-CHWSQXEVSA-N -1 1 313.361 1.222 20 0 DDADMM CC(C)(O)CCS(=O)(=O)c1n[n-]c(CCC2CCCC2)n1 ZINC000674232187 485560994 /nfs/dbraw/zinc/56/09/94/485560994.db2.gz SSLOHFGXASKYEL-UHFFFAOYSA-N -1 1 315.439 1.862 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccnc(OC2CCCCC2)c1 ZINC000678572298 485636530 /nfs/dbraw/zinc/63/65/30/485636530.db2.gz NMKXTIDIBSAKHG-UHFFFAOYSA-N -1 1 302.338 1.236 20 0 DDADMM CCC[C@@H](NC(=O)c1cnn(CC(F)(F)F)c1)c1nn[n-]n1 ZINC000682509484 485636859 /nfs/dbraw/zinc/63/68/59/485636859.db2.gz GYCQAASYZGARAL-MRVPVSSYSA-N -1 1 317.275 1.230 20 0 DDADMM CCO[C@@H]1C[C@](O)(CNC(=O)c2ccc([O-])c(F)c2)C1(C)C ZINC000682820669 485787703 /nfs/dbraw/zinc/78/77/03/485787703.db2.gz FUJHEZMIQQYGNK-CJNGLKHVSA-N -1 1 311.353 1.827 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(C(N)=O)c(OC(C)C)c2)c1[O-] ZINC000684072472 486237170 /nfs/dbraw/zinc/23/71/70/486237170.db2.gz NYJDMJYDVARURM-UHFFFAOYSA-N -1 1 318.333 1.562 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCC2CS(=O)(=O)C2)n1 ZINC000684093597 486245949 /nfs/dbraw/zinc/24/59/49/486245949.db2.gz HGVYSZGPIFRUAT-UHFFFAOYSA-N -1 1 302.421 1.662 20 0 DDADMM O=C(c1nc[nH]n1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000676533275 486249031 /nfs/dbraw/zinc/24/90/31/486249031.db2.gz WQPKUHGGHXRKQY-UHFFFAOYSA-N -1 1 300.318 1.245 20 0 DDADMM CN1CC2(C1)CCN(C(=O)c1cc(F)c(F)c([O-])c1F)C2 ZINC000684172861 486271611 /nfs/dbraw/zinc/27/16/11/486271611.db2.gz RCLXKOPQMILHRL-UHFFFAOYSA-N -1 1 300.280 1.587 20 0 DDADMM CNC(=O)c1cccc(CCNC(=O)c2ccc([O-])c(F)c2)c1 ZINC000681048957 486330727 /nfs/dbraw/zinc/33/07/27/486330727.db2.gz JWRMHOOHNDPDKG-UHFFFAOYSA-N -1 1 316.332 1.863 20 0 DDADMM O=C(N[C@H]1CCCC[C@@H]1N1CCOCC1)c1ccc([O-])c(F)c1 ZINC000681057113 486334649 /nfs/dbraw/zinc/33/46/49/486334649.db2.gz QZAIEWIDWHIRBH-GJZGRUSLSA-N -1 1 322.380 1.905 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1[nH]nc(C(F)(F)F)c1C ZINC000681130594 486356687 /nfs/dbraw/zinc/35/66/87/486356687.db2.gz YCQDCIJBZRNBII-UHFFFAOYSA-N -1 1 323.300 1.754 20 0 DDADMM O=C([C@H]1Cc2ccc(F)cc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000684466353 486361816 /nfs/dbraw/zinc/36/18/16/486361816.db2.gz UMKJZTZYKYDPMI-MFKMUULPSA-N -1 1 301.325 1.385 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)Cc1ccon1)[C@H](O)C(F)(F)F ZINC000685287170 486521904 /nfs/dbraw/zinc/52/19/04/486521904.db2.gz UYVLJVZXQNDCAA-IUCAKERBSA-N -1 1 316.301 1.042 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H]2CCC[C@H]21 ZINC000681762636 486518230 /nfs/dbraw/zinc/51/82/30/486518230.db2.gz PTGAZIJNKLTDKQ-TZMCWYRMSA-N -1 1 314.389 1.995 20 0 DDADMM O=S(=O)(CCOCC(F)(F)F)[N-][C@H]1CCCC1(F)F ZINC000685512113 486554786 /nfs/dbraw/zinc/55/47/86/486554786.db2.gz UJCVWSJEHZNDHR-ZETCQYMHSA-N -1 1 311.272 1.673 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCCSC1 ZINC000685637329 486579720 /nfs/dbraw/zinc/57/97/20/486579720.db2.gz HEDCNDVQFHPZGZ-VXGBXAGGSA-N -1 1 303.391 1.549 20 0 DDADMM Cc1ccc(CNC(=O)c2ncccc2[O-])cc1S(C)(=O)=O ZINC000444442048 534053958 /nfs/dbraw/zinc/05/39/58/534053958.db2.gz MROVPSQULSWNGY-UHFFFAOYSA-N -1 1 320.370 1.429 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CS[C@H](C)C2)o1 ZINC000416598530 534241003 /nfs/dbraw/zinc/24/10/03/534241003.db2.gz TXOGHJVXAMXQFL-SFYZADRCSA-N -1 1 305.377 1.239 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCCC[C@@H]2CO)sc1C ZINC000328886199 534520806 /nfs/dbraw/zinc/52/08/06/534520806.db2.gz NUSKATXUUDKFSP-MNOVXSKESA-N -1 1 304.437 1.589 20 0 DDADMM O=C(COc1ccsc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425329460 534641200 /nfs/dbraw/zinc/64/12/00/534641200.db2.gz ZTKUXSDTFDIKOC-UHFFFAOYSA-N -1 1 309.347 1.622 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3ncc(C)cc3[O-])[C@H]2C1 ZINC000495179706 526683677 /nfs/dbraw/zinc/68/36/77/526683677.db2.gz FRGHKLXFGZGMRN-IHRRRGAJSA-N -1 1 317.389 1.472 20 0 DDADMM CC(C)(C)[C@H]1CCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000412807719 526727260 /nfs/dbraw/zinc/72/72/60/526727260.db2.gz GFWRFFCMPUIAEW-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)NC(=O)[C@H]1CCC[C@@H](N=c2[n-]c(C(N)=O)cs2)C1 ZINC000432315751 527713628 /nfs/dbraw/zinc/71/36/28/527713628.db2.gz WHFJJTOEKGVFQP-VHSXEESVSA-N -1 1 310.423 1.159 20 0 DDADMM CCNC(=O)Nc1c(C)cccc1[N-]S(=O)(=O)CCOC ZINC000414380248 528181032 /nfs/dbraw/zinc/18/10/32/528181032.db2.gz GISDYJJQNOGHDH-UHFFFAOYSA-N -1 1 315.395 1.525 20 0 DDADMM CCNC(=O)[C@H](C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425146562 528221213 /nfs/dbraw/zinc/22/12/13/528221213.db2.gz XEXWOWLDOJXSEK-QMMMGPOBSA-N -1 1 306.334 1.076 20 0 DDADMM CCCCCn1cc(CN=c2[n-]c(C(N)=O)cs2)c(C)n1 ZINC000432322442 528286079 /nfs/dbraw/zinc/28/60/79/528286079.db2.gz YUJQKUMOVSJKQF-UHFFFAOYSA-N -1 1 307.423 1.971 20 0 DDADMM CCN(CCN=c1[n-]c(C(N)=O)cs1)c1ccccc1C ZINC000432323593 528478248 /nfs/dbraw/zinc/47/82/48/528478248.db2.gz RDXCPDBDCVHPBE-UHFFFAOYSA-N -1 1 304.419 1.911 20 0 DDADMM CC(C)C[C@H](CNC(=O)NCc1n[nH]c(C2CC2)n1)C(=O)[O-] ZINC000424400767 528526550 /nfs/dbraw/zinc/52/65/50/528526550.db2.gz VIWNTBPRLKFLKZ-SNVBAGLBSA-N -1 1 309.370 1.228 20 0 DDADMM CC(C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000416628073 529145184 /nfs/dbraw/zinc/14/51/84/529145184.db2.gz WTNMNUFLGNPYGM-SSDOTTSWSA-N -1 1 310.828 1.593 20 0 DDADMM CC[C@@H](O)[C@@H](CC)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000451973835 529236072 /nfs/dbraw/zinc/23/60/72/529236072.db2.gz HZRHUDZGVNCGGP-GHMZBOCLSA-N -1 1 311.325 1.932 20 0 DDADMM CC[C@H](CNS(C)(=O)=O)NC(=O)c1cc(Cl)ccc1[O-] ZINC000295693895 529365812 /nfs/dbraw/zinc/36/58/12/529365812.db2.gz UYFVMFUJNXJRAB-SECBINFHSA-N -1 1 320.798 1.103 20 0 DDADMM Cc1ccc(NC(=O)Cn2cnnc2-c2nn[n-]n2)cc1Cl ZINC000737356400 598875426 /nfs/dbraw/zinc/87/54/26/598875426.db2.gz GMGJRCQAXJPPKG-UHFFFAOYSA-N -1 1 318.728 1.059 20 0 DDADMM CN(c1nccnc1-c1nnn[n-]1)[C@H]1CCc2ccccc2C1 ZINC000736843236 598914527 /nfs/dbraw/zinc/91/45/27/598914527.db2.gz IDSVBUMCZIERPW-ZDUSSCGKSA-N -1 1 307.361 1.650 20 0 DDADMM CN(c1nccnc1-c1nn[n-]n1)[C@H]1CCc2ccccc2C1 ZINC000736843236 598914529 /nfs/dbraw/zinc/91/45/29/598914529.db2.gz IDSVBUMCZIERPW-ZDUSSCGKSA-N -1 1 307.361 1.650 20 0 DDADMM c1cn(CCNc2c3ccccc3nnc2-c2nnn[n-]2)cn1 ZINC000738438298 598956543 /nfs/dbraw/zinc/95/65/43/598956543.db2.gz YEROVVSGJBTDAA-UHFFFAOYSA-N -1 1 307.321 1.119 20 0 DDADMM c1cn(CCNc2c3ccccc3nnc2-c2nn[n-]n2)cn1 ZINC000738438298 598956545 /nfs/dbraw/zinc/95/65/45/598956545.db2.gz YEROVVSGJBTDAA-UHFFFAOYSA-N -1 1 307.321 1.119 20 0 DDADMM C[C@H]1CCC[C@H](N(C)C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736249890 598989135 /nfs/dbraw/zinc/98/91/35/598989135.db2.gz LNAMCTAYBNGQAK-JQWIXIFHSA-N -1 1 300.366 1.912 20 0 DDADMM C[C@H]1CCC[C@H](N(C)C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736249890 598989136 /nfs/dbraw/zinc/98/91/36/598989136.db2.gz LNAMCTAYBNGQAK-JQWIXIFHSA-N -1 1 300.366 1.912 20 0 DDADMM CCOc1cccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000736654235 598992777 /nfs/dbraw/zinc/99/27/77/598992777.db2.gz HUFUVBMVGFDXJP-UHFFFAOYSA-N -1 1 324.344 1.590 20 0 DDADMM CCOc1cccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000736654235 598992778 /nfs/dbraw/zinc/99/27/78/598992778.db2.gz HUFUVBMVGFDXJP-UHFFFAOYSA-N -1 1 324.344 1.590 20 0 DDADMM c1ccc(Cc2noc(-c3ccc(-c4nnn[n-]4)nc3)n2)cc1 ZINC000738432775 599010923 /nfs/dbraw/zinc/01/09/23/599010923.db2.gz LSFAZUUZIBVNAS-UHFFFAOYSA-N -1 1 305.301 1.903 20 0 DDADMM c1ccc(Cc2noc(-c3ccc(-c4nn[n-]n4)nc3)n2)cc1 ZINC000738432775 599010925 /nfs/dbraw/zinc/01/09/25/599010925.db2.gz LSFAZUUZIBVNAS-UHFFFAOYSA-N -1 1 305.301 1.903 20 0 DDADMM CCCOC(=O)[C@H](C)Sc1nc(C)nc(C)c1-c1nn[n-]n1 ZINC000736489357 599113026 /nfs/dbraw/zinc/11/30/26/599113026.db2.gz YNVSOZABYHVEGY-QMMMGPOBSA-N -1 1 322.394 1.707 20 0 DDADMM Fc1cccc(F)c1[C@H]1C[C@@H]1Nc1nccnc1-c1nnn[n-]1 ZINC000737659952 599171449 /nfs/dbraw/zinc/17/14/49/599171449.db2.gz GNZUIZPEVJJQQR-XVKPBYJWSA-N -1 1 315.287 1.903 20 0 DDADMM Fc1cccc(F)c1[C@H]1C[C@@H]1Nc1nccnc1-c1nn[n-]n1 ZINC000737659952 599171451 /nfs/dbraw/zinc/17/14/51/599171451.db2.gz GNZUIZPEVJJQQR-XVKPBYJWSA-N -1 1 315.287 1.903 20 0 DDADMM CCc1nn(Cc2cscn2)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736708737 599245867 /nfs/dbraw/zinc/24/58/67/599245867.db2.gz ZPNRQQRAZIUZQQ-UHFFFAOYSA-N -1 1 317.378 1.053 20 0 DDADMM O=C([O-])CCNC(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000819484497 597215008 /nfs/dbraw/zinc/21/50/08/597215008.db2.gz JPJRSLVUHMHWAC-UHFFFAOYSA-N -1 1 323.368 1.564 20 0 DDADMM C[C@@H]1CCN(C(=O)NCCC(=O)[O-])CC[N@H+]1Cc1ccccc1 ZINC000382623544 597228126 /nfs/dbraw/zinc/22/81/26/597228126.db2.gz ITKPUUHPTWXYJU-CQSZACIVSA-N -1 1 319.405 1.767 20 0 DDADMM Cc1c(NCc2ccc(-c3nnn[n-]3)o2)cccc1-n1cnnn1 ZINC000822122770 607310981 /nfs/dbraw/zinc/31/09/81/607310981.db2.gz QHDUIEXFAGOMBO-UHFFFAOYSA-N -1 1 323.320 1.356 20 0 DDADMM Cc1c(NCc2ccc(-c3nn[n-]n3)o2)cccc1-n1cnnn1 ZINC000822122770 607310982 /nfs/dbraw/zinc/31/09/82/607310982.db2.gz QHDUIEXFAGOMBO-UHFFFAOYSA-N -1 1 323.320 1.356 20 0 DDADMM C[C@H](NCC(=O)NCC(=O)[O-])c1ccc(Br)cc1 ZINC000820142014 597808909 /nfs/dbraw/zinc/80/89/09/597808909.db2.gz MJKRCHWUMZHCOO-QMMMGPOBSA-N -1 1 315.167 1.301 20 0 DDADMM C[C@@H](NCC(=O)NCC(=O)[O-])c1ccc(Br)cc1 ZINC000820142013 597808956 /nfs/dbraw/zinc/80/89/56/597808956.db2.gz MJKRCHWUMZHCOO-MRVPVSSYSA-N -1 1 315.167 1.301 20 0 DDADMM CC(NCC(=O)NCC(=O)[O-])(c1ccccc1)c1ccccc1 ZINC000820142171 597809006 /nfs/dbraw/zinc/80/90/06/597809006.db2.gz OBIOYRNAPQZPNA-UHFFFAOYSA-N -1 1 312.369 1.741 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)CSc1n[nH]c(=S)s1 ZINC000820004076 598072803 /nfs/dbraw/zinc/07/28/03/598072803.db2.gz DGUTXJDRXAFHFJ-UHFFFAOYSA-N -1 1 307.422 1.241 20 0 DDADMM CC[C@H](CO)N1CCN(C(=O)Nc2ccccc2C(=O)[O-])CC1 ZINC000820278498 598176126 /nfs/dbraw/zinc/17/61/26/598176126.db2.gz QXVSOCYUSUXYOS-GFCCVEGCSA-N -1 1 321.377 1.305 20 0 DDADMM Fc1ccccc1OCCNc1nccnc1-c1nnn[n-]1 ZINC000737670720 598336401 /nfs/dbraw/zinc/33/64/01/598336401.db2.gz WOQLOEVZLGPWMY-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM Fc1ccccc1OCCNc1nccnc1-c1nn[n-]n1 ZINC000737670720 598336402 /nfs/dbraw/zinc/33/64/02/598336402.db2.gz WOQLOEVZLGPWMY-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM CC(C)c1noc(CCCNc2nccnc2-c2nnn[n-]2)n1 ZINC000735952401 598348014 /nfs/dbraw/zinc/34/80/14/598348014.db2.gz FSSPMAAXSHGHJN-UHFFFAOYSA-N -1 1 315.341 1.208 20 0 DDADMM CC(C)c1noc(CCCNc2nccnc2-c2nn[n-]n2)n1 ZINC000735952401 598348016 /nfs/dbraw/zinc/34/80/16/598348016.db2.gz FSSPMAAXSHGHJN-UHFFFAOYSA-N -1 1 315.341 1.208 20 0 DDADMM CC(C)Oc1cccc(Cn2cccc(-c3nn[n-]n3)c2=O)c1 ZINC000735912960 598675949 /nfs/dbraw/zinc/67/59/49/598675949.db2.gz KXICVLOUWYYISG-UHFFFAOYSA-N -1 1 311.345 1.864 20 0 DDADMM CNC(=O)c1cccc(CNc2cccc(-c3nnn[n-]3)n2)c1 ZINC000736883978 599405166 /nfs/dbraw/zinc/40/51/66/599405166.db2.gz ZONSBJWZSARQDM-UHFFFAOYSA-N -1 1 309.333 1.233 20 0 DDADMM CNC(=O)c1cccc(CNc2cccc(-c3nn[n-]n3)n2)c1 ZINC000736883978 599405167 /nfs/dbraw/zinc/40/51/67/599405167.db2.gz ZONSBJWZSARQDM-UHFFFAOYSA-N -1 1 309.333 1.233 20 0 DDADMM COc1ccccc1[C@H](O)CNc1cccc(-c2nnn[n-]2)n1 ZINC000737147493 599406853 /nfs/dbraw/zinc/40/68/53/599406853.db2.gz LWPLSUWSQHLNIV-GFCCVEGCSA-N -1 1 312.333 1.416 20 0 DDADMM COc1ccccc1[C@H](O)CNc1cccc(-c2nn[n-]n2)n1 ZINC000737147493 599406858 /nfs/dbraw/zinc/40/68/58/599406858.db2.gz LWPLSUWSQHLNIV-GFCCVEGCSA-N -1 1 312.333 1.416 20 0 DDADMM C[C@@H](COCC(F)(F)F)Nc1cccc(-c2nnn[n-]2)n1 ZINC000736039064 599418817 /nfs/dbraw/zinc/41/88/17/599418817.db2.gz ZICUJYKUGUAUDW-ZETCQYMHSA-N -1 1 302.260 1.641 20 0 DDADMM C[C@@H](COCC(F)(F)F)Nc1cccc(-c2nn[n-]n2)n1 ZINC000736039064 599418820 /nfs/dbraw/zinc/41/88/20/599418820.db2.gz ZICUJYKUGUAUDW-ZETCQYMHSA-N -1 1 302.260 1.641 20 0 DDADMM C[C@H](CNC(=O)CCNC(=O)[O-])N1CCc2ccccc2C1 ZINC000736594845 599718951 /nfs/dbraw/zinc/71/89/51/599718951.db2.gz DBYJYGHPNZLRID-GFCCVEGCSA-N -1 1 305.378 1.207 20 0 DDADMM O=C([O-])Cn1ccc(NS(=O)(=O)/C=C/c2ccccc2)n1 ZINC000739919735 599739263 /nfs/dbraw/zinc/73/92/63/599739263.db2.gz IVMPZOOQOUFUOA-VQHVLOKHSA-N -1 1 307.331 1.380 20 0 DDADMM O=C([O-])CSCCC(=O)Nc1cnn(-c2ccncc2)c1 ZINC000739854250 599757408 /nfs/dbraw/zinc/75/74/08/599757408.db2.gz VYSHQIJECIPCBA-UHFFFAOYSA-N -1 1 306.347 1.414 20 0 DDADMM O=C([O-])CCCNC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000738576592 599883132 /nfs/dbraw/zinc/88/31/32/599883132.db2.gz JBLQVJADIFTWRM-UHFFFAOYSA-N -1 1 303.322 1.136 20 0 DDADMM O=C([O-])CCCNC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000738576592 599883133 /nfs/dbraw/zinc/88/31/33/599883133.db2.gz JBLQVJADIFTWRM-UHFFFAOYSA-N -1 1 303.322 1.136 20 0 DDADMM CN(c1cccnn1)C1CCN(Cc2ccc(C(=O)[O-])o2)CC1 ZINC000737506432 599915066 /nfs/dbraw/zinc/91/50/66/599915066.db2.gz RIMGGDCSOIHKFS-UHFFFAOYSA-N -1 1 316.361 1.869 20 0 DDADMM COc1ccc([C@H]2CCCN2CC(=O)NCCC(=O)[O-])cc1 ZINC000737986039 600046202 /nfs/dbraw/zinc/04/62/02/600046202.db2.gz JVPPTVJPGGLEJH-CQSZACIVSA-N -1 1 306.362 1.423 20 0 DDADMM O=C([O-])[C@H]1CCN(C(=O)c2cccc(Br)c2O)C1 ZINC000739594398 600101998 /nfs/dbraw/zinc/10/19/98/600101998.db2.gz OJSAAHZZZIOGKF-ZETCQYMHSA-N -1 1 314.135 1.701 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CCS[C@@H](C)C1 ZINC000736809683 600103417 /nfs/dbraw/zinc/10/34/17/600103417.db2.gz QXPPFVQGOLZTJC-WDEREUQCSA-N -1 1 300.424 1.135 20 0 DDADMM COc1ccc(-c2n[nH]c(SC[C@H](O)CC(=O)[O-])n2)cc1 ZINC000737914856 600120944 /nfs/dbraw/zinc/12/09/44/600120944.db2.gz ZFHZFXGPJGNRIJ-SECBINFHSA-N -1 1 309.347 1.408 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCc3ccccc32)CCCCC1 ZINC000739307537 600148800 /nfs/dbraw/zinc/14/88/00/600148800.db2.gz OOXBIWICFNPLLP-UHFFFAOYSA-N -1 1 302.374 1.953 20 0 DDADMM CCC[C@@](C)(NCC(=O)Nc1cccc(NC(C)=O)c1)C(=O)[O-] ZINC000736961336 600461538 /nfs/dbraw/zinc/46/15/38/600461538.db2.gz ABVTWBUGLBALHB-MRXNPFEDSA-N -1 1 321.377 1.817 20 0 DDADMM Cc1c(C(=O)[O-])cccc1S(=O)(=O)NC1CCN(C)CC1 ZINC000113456995 600517096 /nfs/dbraw/zinc/51/70/96/600517096.db2.gz ADDSDDIZGBEKNF-UHFFFAOYSA-N -1 1 312.391 1.066 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000262699314 600586523 /nfs/dbraw/zinc/58/65/23/600586523.db2.gz YLCCIZXQDNTJKT-ZFWWWQNUSA-N -1 1 304.390 1.830 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCC[C@H]1C[C@H](O)c1ccccc1 ZINC000833236342 600853025 /nfs/dbraw/zinc/85/30/25/600853025.db2.gz FXGFCXFANZWPLQ-GJZGRUSLSA-N -1 1 320.389 1.165 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H](C)c2cccnc2)CC1 ZINC000825990673 601090548 /nfs/dbraw/zinc/09/05/48/601090548.db2.gz PGLFHSYSRBZOKE-STQMWFEESA-N -1 1 305.378 1.193 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2ccccc2F)CC1 ZINC000827356139 601099591 /nfs/dbraw/zinc/09/95/91/601099591.db2.gz PLNMLBYBTYPLKJ-YBJDMEARSA-N -1 1 320.364 1.846 20 0 DDADMM Cc1nc(CN(C)[C@H]2CCN(Cc3occc3C(=O)[O-])C2)no1 ZINC000738854146 601102333 /nfs/dbraw/zinc/10/23/33/601102333.db2.gz FZKPWEKSHYKDBJ-NSHDSACASA-N -1 1 320.349 1.376 20 0 DDADMM CCCc1nc([C@@H]2CN(Cc3cc(C(=O)[O-])co3)CCO2)n[nH]1 ZINC000737049728 601106964 /nfs/dbraw/zinc/10/69/64/601106964.db2.gz BWMCYODVNUZVKP-LBPRGKRZSA-N -1 1 320.349 1.622 20 0 DDADMM CCCc1n[nH]c([C@@H]2CN(Cc3cc(C(=O)[O-])co3)CCO2)n1 ZINC000737049728 601106966 /nfs/dbraw/zinc/10/69/66/601106966.db2.gz BWMCYODVNUZVKP-LBPRGKRZSA-N -1 1 320.349 1.622 20 0 DDADMM COC(=O)/C(C)=C\CN1CCN(C/C=C(\C)C(=O)[O-])[C@H](C)C1 ZINC000831052908 601180822 /nfs/dbraw/zinc/18/08/22/601180822.db2.gz FDPYTYJRFIVODW-VRZHCDQFSA-N -1 1 310.394 1.143 20 0 DDADMM CCC[C@@](C)(NCc1ccnc(-c2nnn[n-]2)c1)C(=O)OC ZINC000825232658 607502562 /nfs/dbraw/zinc/50/25/62/607502562.db2.gz JFDXFYYUYSQJHG-CQSZACIVSA-N -1 1 304.354 1.083 20 0 DDADMM CCC[C@@](C)(NCc1ccnc(-c2nn[n-]n2)c1)C(=O)OC ZINC000825232658 607502564 /nfs/dbraw/zinc/50/25/64/607502564.db2.gz JFDXFYYUYSQJHG-CQSZACIVSA-N -1 1 304.354 1.083 20 0 DDADMM Fc1ccc2c(c1)N(Cc1ccnc(-c3nnn[n-]3)c1)CCO2 ZINC000826376259 607513099 /nfs/dbraw/zinc/51/30/99/607513099.db2.gz VOXBUYBIIYSUSC-UHFFFAOYSA-N -1 1 312.308 1.800 20 0 DDADMM Fc1ccc2c(c1)N(Cc1ccnc(-c3nn[n-]n3)c1)CCO2 ZINC000826376259 607513101 /nfs/dbraw/zinc/51/31/01/607513101.db2.gz VOXBUYBIIYSUSC-UHFFFAOYSA-N -1 1 312.308 1.800 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](C(=O)[O-])c2cc(F)ccc2F)[nH]n1 ZINC000832884285 601676302 /nfs/dbraw/zinc/67/63/02/601676302.db2.gz XIFWUXIXJWPZNN-CYBMUJFWSA-N -1 1 309.272 1.481 20 0 DDADMM O=C([O-])N[C@H](C(=O)N1CCN2CCCC[C@H]2C1)c1ccccc1 ZINC000740688746 601923129 /nfs/dbraw/zinc/92/31/29/601923129.db2.gz ARGPIKWEIXIXAD-GJZGRUSLSA-N -1 1 317.389 1.692 20 0 DDADMM CN(CC1CCCCC1)C(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000739634231 601938920 /nfs/dbraw/zinc/93/89/20/601938920.db2.gz OJGZNAABLYNVHF-AWEZNQCLSA-N -1 1 311.426 1.615 20 0 DDADMM C[C@H](C(=O)N1C[C@H](C)C[C@H](C)C1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000739078666 601960587 /nfs/dbraw/zinc/96/05/87/601960587.db2.gz XJLQZYFSMDPWAJ-RQJABVFESA-N -1 1 311.426 1.469 20 0 DDADMM CN(C[C@H]1CCCN(C(=O)N[C@@H]2CCCN(C)C2)C1)C(=O)[O-] ZINC000739731043 602131211 /nfs/dbraw/zinc/13/12/11/602131211.db2.gz VODRQENYNURDQF-CHWSQXEVSA-N -1 1 312.414 1.112 20 0 DDADMM CN(C)C(=O)c1ccccc1NCc1ccnc(-c2nnn[n-]2)c1 ZINC000825849733 607547343 /nfs/dbraw/zinc/54/73/43/607547343.db2.gz MBUQZTLFWXLGOV-UHFFFAOYSA-N -1 1 323.360 1.576 20 0 DDADMM CN(C)C(=O)c1ccccc1NCc1ccnc(-c2nn[n-]n2)c1 ZINC000825849733 607547345 /nfs/dbraw/zinc/54/73/45/607547345.db2.gz MBUQZTLFWXLGOV-UHFFFAOYSA-N -1 1 323.360 1.576 20 0 DDADMM O=C([O-])N1CCC[C@H](CNC(=O)N2CCN(CC3CC3)CC2)C1 ZINC000740514262 602167463 /nfs/dbraw/zinc/16/74/63/602167463.db2.gz DVSSANJFNLLONS-CQSZACIVSA-N -1 1 324.425 1.114 20 0 DDADMM CCCN1CCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@@H]3N2C(=O)[O-])CC1 ZINC000739361931 602300440 /nfs/dbraw/zinc/30/04/40/602300440.db2.gz BERYOTUNUQPJGY-KKUMJFAQSA-N -1 1 323.437 1.852 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000739530875 602340993 /nfs/dbraw/zinc/34/09/93/602340993.db2.gz NMSDPAVVKNOIJG-RYUDHWBXSA-N -1 1 309.366 1.579 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CCC[C@@H](NC(=O)[O-])C1 ZINC000737951676 602457789 /nfs/dbraw/zinc/45/77/89/602457789.db2.gz WCEVIDJBMPEBNZ-HUUCEWRRSA-N -1 1 319.405 1.418 20 0 DDADMM C[C@@H](CCNC(=O)[C@@H](Cc1ccccc1)N(C)C)NC(=O)[O-] ZINC000738896516 602458182 /nfs/dbraw/zinc/45/81/82/602458182.db2.gz FITCFYGNPUXWPZ-GXTWGEPZSA-N -1 1 307.394 1.322 20 0 DDADMM O=C([O-])N1CC[C@@H](N[C@@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC000740505051 602571122 /nfs/dbraw/zinc/57/11/22/602571122.db2.gz JGSSIBOEZZTPEA-ZYHUDNBSSA-N -1 1 323.780 1.787 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2cccc(NC(=O)[O-])c2)C1 ZINC000737813272 602676800 /nfs/dbraw/zinc/67/68/00/602676800.db2.gz SCDOYNOLJVOCLR-AWEZNQCLSA-N -1 1 321.377 1.617 20 0 DDADMM CSc1n[nH]c(NC(=O)c2csc(CNC(=O)[O-])n2)n1 ZINC000740024367 602709912 /nfs/dbraw/zinc/70/99/12/602709912.db2.gz GKKZUEPKLLPUFY-UHFFFAOYSA-N -1 1 314.352 1.003 20 0 DDADMM C[C@H](NC(=O)[O-])C(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC000738993880 602723632 /nfs/dbraw/zinc/72/36/32/602723632.db2.gz GPDVWFLXBCFKGC-NSHDSACASA-N -1 1 306.366 1.033 20 0 DDADMM C[C@H](C(=O)NC1CCCCC1)N1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000739180141 602783254 /nfs/dbraw/zinc/78/32/54/602783254.db2.gz XXWJGMVGLAREOD-BZPMIXESSA-N -1 1 311.426 1.802 20 0 DDADMM C[C@H](CS(C)(=O)=O)N(CC1CCN(C(=O)[O-])CC1)C1CC1 ZINC000738943711 602862498 /nfs/dbraw/zinc/86/24/98/602862498.db2.gz AKUFKAIXYCHESG-LLVKDONJSA-N -1 1 318.439 1.274 20 0 DDADMM C[C@H](CNC(=O)[O-])N(C)C(=O)[C@@H](C)N(C)Cc1ccccc1 ZINC000738601152 603178028 /nfs/dbraw/zinc/17/80/28/603178028.db2.gz KUGZJKJICVJKPZ-CHWSQXEVSA-N -1 1 307.394 1.621 20 0 DDADMM Nc1c(F)cccc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826395497 607614632 /nfs/dbraw/zinc/61/46/32/607614632.db2.gz FMKALUAVAIXLKJ-UHFFFAOYSA-N -1 1 314.280 1.340 20 0 DDADMM Nc1c(F)cccc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826395497 607614634 /nfs/dbraw/zinc/61/46/34/607614634.db2.gz FMKALUAVAIXLKJ-UHFFFAOYSA-N -1 1 314.280 1.340 20 0 DDADMM O=C([O-])N1CC[C@H](C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000740475729 603240435 /nfs/dbraw/zinc/24/04/35/603240435.db2.gz NSNGAVSWKLYDMK-JTQLQIEISA-N -1 1 301.306 1.206 20 0 DDADMM CCN(CC(=O)NC[C@H](C)N1CCc2ccccc2C1)C(=O)[O-] ZINC000739391967 603379934 /nfs/dbraw/zinc/37/99/34/603379934.db2.gz GVTCQWCOIUXOMH-ZDUSSCGKSA-N -1 1 319.405 1.549 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N1CCC[C@H](NC(=O)[O-])C1 ZINC000828046524 603530434 /nfs/dbraw/zinc/53/04/34/603530434.db2.gz NXGRVBHBOJNMER-NSHDSACASA-N -1 1 311.407 1.682 20 0 DDADMM CN(C)c1ncccc1CNCc1ccc(NC(=O)[O-])nc1 ZINC000828081479 603535971 /nfs/dbraw/zinc/53/59/71/603535971.db2.gz UCVZTMJGADLVBX-UHFFFAOYSA-N -1 1 301.350 1.922 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)CC[C@@H](NC(=O)[O-])c1ccccc1 ZINC000828426584 603645466 /nfs/dbraw/zinc/64/54/66/603645466.db2.gz LCFRRFUYXIXOEH-GFCCVEGCSA-N -1 1 317.349 1.552 20 0 DDADMM CN(C(=O)c1cc(-c2ccoc2)[nH]n1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000827926579 603696803 /nfs/dbraw/zinc/69/68/03/603696803.db2.gz FWDGSYIQKFTVTL-SNVBAGLBSA-N -1 1 304.306 1.494 20 0 DDADMM C[C@H](C(=O)N(C)Cc1ccccc1)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823655755 603791115 /nfs/dbraw/zinc/79/11/15/603791115.db2.gz VKSZDTFDZGVFCO-UKRRQHHQSA-N -1 1 319.405 1.718 20 0 DDADMM Cc1nnc(SCC(=O)Oc2ccc(N(C)C(=O)[O-])cc2)[nH]1 ZINC000830677314 603857962 /nfs/dbraw/zinc/85/79/62/603857962.db2.gz FOPYTEDISUDNJZ-UHFFFAOYSA-N -1 1 322.346 1.925 20 0 DDADMM Cc1n[nH]c(SCC(=O)Oc2ccc(N(C)C(=O)[O-])cc2)n1 ZINC000830677314 603857965 /nfs/dbraw/zinc/85/79/65/603857965.db2.gz FOPYTEDISUDNJZ-UHFFFAOYSA-N -1 1 322.346 1.925 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NCC(C)(C)NC(=O)[O-] ZINC000829833739 603953476 /nfs/dbraw/zinc/95/34/76/603953476.db2.gz NDBNRUNVHVABHA-UHFFFAOYSA-N -1 1 308.260 1.513 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N1C(=O)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000825889362 603980477 /nfs/dbraw/zinc/98/04/77/603980477.db2.gz XGHFAPJYNAOCEW-TUVASFSCSA-N -1 1 323.437 1.993 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)CCC(C)(C)NC(=O)[O-])c1 ZINC000828046830 604066294 /nfs/dbraw/zinc/06/62/94/604066294.db2.gz SJNQTIOTJQNHGO-UHFFFAOYSA-N -1 1 308.382 1.908 20 0 DDADMM CC(C)S(=O)(=O)CCCN1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000824432230 604124625 /nfs/dbraw/zinc/12/46/25/604124625.db2.gz ZEXOFSLZPDQZMA-LBPRGKRZSA-N -1 1 306.428 1.131 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+](C)C2CCC(NC(=O)[O-])CC2)n1 ZINC000827568802 604133220 /nfs/dbraw/zinc/13/32/20/604133220.db2.gz HAFIDXQVWGXCDR-UHFFFAOYSA-N -1 1 308.382 1.755 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@@H+](C)C2CCC(NC(=O)[O-])CC2)n1 ZINC000827568802 604133225 /nfs/dbraw/zinc/13/32/25/604133225.db2.gz HAFIDXQVWGXCDR-UHFFFAOYSA-N -1 1 308.382 1.755 20 0 DDADMM CCc1cc(=O)[nH]c(CN(C)C2CCC(NC(=O)[O-])CC2)n1 ZINC000827568802 604133227 /nfs/dbraw/zinc/13/32/27/604133227.db2.gz HAFIDXQVWGXCDR-UHFFFAOYSA-N -1 1 308.382 1.755 20 0 DDADMM O=C([O-])N1CCCc2cc(NC(=O)NCc3c[nH]nn3)ccc21 ZINC000831709724 604138297 /nfs/dbraw/zinc/13/82/97/604138297.db2.gz ZUPGRZJPURSUHQ-UHFFFAOYSA-N -1 1 316.321 1.557 20 0 DDADMM O=C([O-])N1CCC[C@H]1[C@@H]1CCCN(C(=O)CCc2nc[nH]n2)C1 ZINC000831441106 604152917 /nfs/dbraw/zinc/15/29/17/604152917.db2.gz DNZNDDVXAYALLL-NEPJUHHUSA-N -1 1 321.381 1.118 20 0 DDADMM O=C([O-])N1CCC[C@@H]1[C@@H]1CCCCN1C(=O)CCc1nc[nH]n1 ZINC000831998051 604153094 /nfs/dbraw/zinc/15/30/94/604153094.db2.gz RHSINJYRDCNFLL-NWDGAFQWSA-N -1 1 321.381 1.261 20 0 DDADMM O=C([O-])NC1(CC(=O)Nc2ccn(-c3ccncc3)n2)CCC1 ZINC000832215453 604174916 /nfs/dbraw/zinc/17/49/16/604174916.db2.gz DBDWTEGOHKJHMX-UHFFFAOYSA-N -1 1 315.333 1.786 20 0 DDADMM COC(=O)/C(C)=C\CN1CCC(N(CC2CC2)C(=O)[O-])CC1 ZINC000828601667 604227689 /nfs/dbraw/zinc/22/76/89/604227689.db2.gz QALZZLCWQAQFLE-XGICHPGQSA-N -1 1 310.394 1.960 20 0 DDADMM Cc1ccc(C)c(OC[C@H](O)CN2CC[C@@H](NC(=O)[O-])C2)c1C ZINC000740119321 604381525 /nfs/dbraw/zinc/38/15/25/604381525.db2.gz NUAGZNOCKZWQSO-HUUCEWRRSA-N -1 1 322.405 1.693 20 0 DDADMM CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)CN1CCC(C)CC1 ZINC000828285109 604447821 /nfs/dbraw/zinc/44/78/21/604447821.db2.gz KZIFMBDRWIBGPB-CYBMUJFWSA-N -1 1 300.399 1.055 20 0 DDADMM C[C@@H](Oc1ccc(CN(C)[C@H]2CCCCNC2=O)cc1)C(=O)[O-] ZINC000833536759 604619824 /nfs/dbraw/zinc/61/98/24/604619824.db2.gz DVHHBXOLTUFKEE-DOMZBBRYSA-N -1 1 320.389 1.639 20 0 DDADMM O=C([O-])C1(O)CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)CC1 ZINC000833785765 604756328 /nfs/dbraw/zinc/75/63/28/604756328.db2.gz VUBPDRXNWRJRAY-UHFFFAOYSA-N -1 1 315.329 1.128 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CCC[C@@H](Nc2ccccc2)C1 ZINC000826001768 604797326 /nfs/dbraw/zinc/79/73/26/604797326.db2.gz ZKSHKOAKQXQTTR-TZMCWYRMSA-N -1 1 305.378 1.152 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833611351 604897663 /nfs/dbraw/zinc/89/76/63/604897663.db2.gz IZWBBCFUEYFUSS-KGYLQXTDSA-N -1 1 309.366 1.117 20 0 DDADMM C[C@@H](NCCNC(=O)[O-])c1ccc(-n2cncn2)cc1Cl ZINC000825495487 604911108 /nfs/dbraw/zinc/91/11/08/604911108.db2.gz GCAVYZTWSUKGIK-SECBINFHSA-N -1 1 309.757 1.839 20 0 DDADMM COC(=O)[C@H](c1cccc(C(=O)[O-])c1)N1CCN(C)[C@H](C)C1 ZINC000833701575 604932661 /nfs/dbraw/zinc/93/26/61/604932661.db2.gz KVMZFEZIDDCJEX-RISCZKNCSA-N -1 1 306.362 1.235 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)c2cccc(OCC(=O)[O-])c2)n[nH]1 ZINC000833673352 605023509 /nfs/dbraw/zinc/02/35/09/605023509.db2.gz JSLCPPCKWDXCKU-SECBINFHSA-N -1 1 318.333 1.322 20 0 DDADMM CN(CC(=O)N1C[C@@H](C(=O)[O-])c2ccccc21)[C@H]1CCSC1 ZINC000833691646 605044679 /nfs/dbraw/zinc/04/46/79/605044679.db2.gz RGMOGDRKYGQXSV-WCQYABFASA-N -1 1 320.414 1.639 20 0 DDADMM C[C@H](NC1CN(C(=O)[O-])C1)c1cnn(Cc2ccccc2)c1 ZINC000825483878 605099114 /nfs/dbraw/zinc/09/91/14/605099114.db2.gz BPYWFRZHAMPYNW-LBPRGKRZSA-N -1 1 300.362 1.944 20 0 DDADMM C[C@@H]1CC[C@@H](CCC(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)O1 ZINC000833602653 605112111 /nfs/dbraw/zinc/11/21/11/605112111.db2.gz JWKOVWSOWDGPAW-MCIONIFRSA-N -1 1 312.410 1.342 20 0 DDADMM CCN1C[C@H](C)N(C(=O)NC2CCN(C(=O)[O-])CC2)C[C@H]1C ZINC000833659233 605121228 /nfs/dbraw/zinc/12/12/28/605121228.db2.gz ZSDXMVAJQGPMSS-NEPJUHHUSA-N -1 1 312.414 1.253 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)NC2CCN(C(=O)[O-])CC2)C[C@H]1C ZINC000833659236 605121352 /nfs/dbraw/zinc/12/13/52/605121352.db2.gz ZSDXMVAJQGPMSS-VXGBXAGGSA-N -1 1 312.414 1.253 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)CN2C[C@@H](C(=O)[O-])CC2=O)C12CCC2 ZINC000833659462 605175788 /nfs/dbraw/zinc/17/57/88/605175788.db2.gz AYFRHGLSYLKFFE-YNEHKIRRSA-N -1 1 310.394 1.157 20 0 DDADMM CCc1cnccc1[C@@H](C)NCC(=O)N1CCC(C(=O)[O-])CC1 ZINC000833672475 605272372 /nfs/dbraw/zinc/27/23/72/605272372.db2.gz CVFMLZJHKSRHFO-GFCCVEGCSA-N -1 1 319.405 1.618 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc(Cl)n2)CCN1CCC(=O)[O-] ZINC000833619104 605279005 /nfs/dbraw/zinc/27/90/05/605279005.db2.gz AMTBPBHTBRHSLG-SNVBAGLBSA-N -1 1 311.769 1.356 20 0 DDADMM O=C([O-])N1CCO[C@H](C(=O)Nc2cccc(Cl)c2O)C1 ZINC000831786126 605305666 /nfs/dbraw/zinc/30/56/66/605305666.db2.gz XCDSKPKSGYNPEK-VIFPVBQESA-N -1 1 300.698 1.363 20 0 DDADMM Cc1cc(C(=O)N2CCc3[nH]nnc3C2)ccc1NC(=O)[O-] ZINC000829934743 605320730 /nfs/dbraw/zinc/32/07/30/605320730.db2.gz PVURXORPDBGKDO-UHFFFAOYSA-N -1 1 301.306 1.402 20 0 DDADMM O=C([O-])N[C@@H](CC(F)F)C(=O)Nc1ncc(C(F)(F)F)[nH]1 ZINC000834131731 605320889 /nfs/dbraw/zinc/32/08/89/605320889.db2.gz BCNUFZBSZSPUTH-VKHMYHEASA-N -1 1 316.186 1.658 20 0 DDADMM CC(C)C[C@H](NC(=O)[O-])C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000824210404 605335915 /nfs/dbraw/zinc/33/59/15/605335915.db2.gz FNDBZJCOZPFMHU-JTQLQIEISA-N -1 1 320.353 1.431 20 0 DDADMM O=C([O-])N1CCC(CSCCCN2CCOCC2)CC1 ZINC000834081961 605410018 /nfs/dbraw/zinc/41/00/18/605410018.db2.gz MDCIHFFQKIEGFG-UHFFFAOYSA-N -1 1 302.440 1.832 20 0 DDADMM CCc1cc(CNC(=O)N2CC[C@@H](NC(=O)[O-])C[C@@H]2C)[nH]n1 ZINC000827557728 605553648 /nfs/dbraw/zinc/55/36/48/605553648.db2.gz WSBZGWIPAUBSCJ-GXSJLCMTSA-N -1 1 309.370 1.302 20 0 DDADMM O=C([O-])N1CC(C(=O)N2CCCN(Cc3ccccc3)CC2)C1 ZINC000834065316 605611558 /nfs/dbraw/zinc/61/15/58/605611558.db2.gz HFXRDOBWIFJQOW-UHFFFAOYSA-N -1 1 317.389 1.331 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NCCC1=CCCCC1 ZINC000833833448 605911455 /nfs/dbraw/zinc/91/14/55/605911455.db2.gz YGSCWMZZWCABAX-HIFRSBDPSA-N -1 1 323.437 1.971 20 0 DDADMM O=C([O-])NCCCCC(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000834234501 605923610 /nfs/dbraw/zinc/92/36/10/605923610.db2.gz SGELPZXCWCPGLX-UHFFFAOYSA-N -1 1 308.313 1.756 20 0 DDADMM O=C([O-])N[C@H]1CCN(CCc2nc3ccccc3c(=O)[nH]2)C1 ZINC000740596838 605935225 /nfs/dbraw/zinc/93/52/25/605935225.db2.gz QFVLZJNKYRANHW-JTQLQIEISA-N -1 1 302.334 1.220 20 0 DDADMM O=C([O-])N(CC(=O)Nc1cnn(-c2ccncc2)c1)C1CC1 ZINC000834003347 605966022 /nfs/dbraw/zinc/96/60/22/605966022.db2.gz GRBURXFYPBQPIC-UHFFFAOYSA-N -1 1 301.306 1.348 20 0 DDADMM O=C([O-])N[C@H]1CCCN(CCC(=O)OCc2ccccc2)C1 ZINC000834181755 606028871 /nfs/dbraw/zinc/02/88/71/606028871.db2.gz JENTXUAXHWDQNM-AWEZNQCLSA-N -1 1 306.362 1.852 20 0 DDADMM C[C@]1(NC(=O)[O-])CCCC[C@H]1C(=O)NO[C@@H]1CCCCO1 ZINC000833829793 606029831 /nfs/dbraw/zinc/02/98/31/606029831.db2.gz KXCKLFNYPBFQDE-WDMOLILDSA-N -1 1 300.355 1.777 20 0 DDADMM C[C@@H]1[C@H](C)N(C[C@H](O)COCc2ccccc2)CCN1C(=O)[O-] ZINC000833825585 606036130 /nfs/dbraw/zinc/03/61/30/606036130.db2.gz CGCREYNFXFGNCN-LZWOXQAQSA-N -1 1 322.405 1.637 20 0 DDADMM O=C([O-])NCCC(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000833776936 606093203 /nfs/dbraw/zinc/09/32/03/606093203.db2.gz CTWCPXROMUPKBC-JTQLQIEISA-N -1 1 307.354 1.046 20 0 DDADMM O=C(COC1CCCCC1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000823027680 606294448 /nfs/dbraw/zinc/29/44/48/606294448.db2.gz ZUIWYPYADJIHMK-UHFFFAOYSA-N -1 1 317.349 1.860 20 0 DDADMM O=C(CC1CCOCC1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822945673 606298065 /nfs/dbraw/zinc/29/80/65/606298065.db2.gz NLLJUCSWQXNJSL-UHFFFAOYSA-N -1 1 303.322 1.328 20 0 DDADMM CC(C)[C@@H]1OCCC[C@@H]1CNc1nccnc1-c1nnn[n-]1 ZINC000820050854 606427094 /nfs/dbraw/zinc/42/70/94/606427094.db2.gz HDTZSFSCIVTIEU-PWSUYJOCSA-N -1 1 303.370 1.520 20 0 DDADMM CC(C)[C@@H]1OCCC[C@@H]1CNc1nccnc1-c1nn[n-]n1 ZINC000820050854 606427096 /nfs/dbraw/zinc/42/70/96/606427096.db2.gz HDTZSFSCIVTIEU-PWSUYJOCSA-N -1 1 303.370 1.520 20 0 DDADMM CCNC(=O)c1cccc(Oc2ncccc2-c2nn[n-]n2)c1 ZINC000821294047 606430336 /nfs/dbraw/zinc/43/03/36/606430336.db2.gz QPHVXFPVVFYCPQ-UHFFFAOYSA-N -1 1 310.317 1.804 20 0 DDADMM C[S@](=O)c1ccc(CNc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000821941779 606430483 /nfs/dbraw/zinc/43/04/83/606430483.db2.gz JHWRMTFBRITNSQ-QFIPXVFZSA-N -1 1 314.374 1.611 20 0 DDADMM C[S@](=O)c1ccc(CNc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000821941779 606430485 /nfs/dbraw/zinc/43/04/85/606430485.db2.gz JHWRMTFBRITNSQ-QFIPXVFZSA-N -1 1 314.374 1.611 20 0 DDADMM CCCn1cc(NC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000821147680 606575719 /nfs/dbraw/zinc/57/57/19/606575719.db2.gz ICKCVQMDUPBLFL-UHFFFAOYSA-N -1 1 303.351 1.787 20 0 DDADMM CCCn1cc(NC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000821147680 606575721 /nfs/dbraw/zinc/57/57/21/606575721.db2.gz ICKCVQMDUPBLFL-UHFFFAOYSA-N -1 1 303.351 1.787 20 0 DDADMM CC(C)[C@H]1OCC[C@H]1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820046907 606583767 /nfs/dbraw/zinc/58/37/67/606583767.db2.gz VNABZDVIGAIJBU-JOYOIKCWSA-N -1 1 321.406 1.719 20 0 DDADMM CC(C)[C@H]1OCC[C@H]1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820046907 606583768 /nfs/dbraw/zinc/58/37/68/606583768.db2.gz VNABZDVIGAIJBU-JOYOIKCWSA-N -1 1 321.406 1.719 20 0 DDADMM CC(C)C[C@H](CCO)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820055882 606598035 /nfs/dbraw/zinc/59/80/35/606598035.db2.gz LCWGAGMOBNSUKH-JTQLQIEISA-N -1 1 323.422 1.703 20 0 DDADMM CC(C)C[C@H](CCO)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820055882 606598036 /nfs/dbraw/zinc/59/80/36/606598036.db2.gz LCWGAGMOBNSUKH-JTQLQIEISA-N -1 1 323.422 1.703 20 0 DDADMM CCCCCOC1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821056168 606598590 /nfs/dbraw/zinc/59/85/90/606598590.db2.gz NHJXJLQYAOWUOG-UHFFFAOYSA-N -1 1 321.406 1.959 20 0 DDADMM CCCCCOC1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821056168 606598591 /nfs/dbraw/zinc/59/85/91/606598591.db2.gz NHJXJLQYAOWUOG-UHFFFAOYSA-N -1 1 321.406 1.959 20 0 DDADMM CCC1(CC)CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000820955399 606690799 /nfs/dbraw/zinc/69/07/99/606690799.db2.gz AWAZVAQIGAUTLI-UHFFFAOYSA-N -1 1 300.366 1.914 20 0 DDADMM CCC1(CC)CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000820955399 606690800 /nfs/dbraw/zinc/69/08/00/606690800.db2.gz AWAZVAQIGAUTLI-UHFFFAOYSA-N -1 1 300.366 1.914 20 0 DDADMM Clc1ccc(NCCc2ccncc2)nc1-c1nnn[n-]1 ZINC000822578767 606822093 /nfs/dbraw/zinc/82/20/93/606822093.db2.gz PTXZCTMJEAIRDF-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM Clc1ccc(NCCc2ccncc2)nc1-c1nn[n-]n1 ZINC000822578767 606822095 /nfs/dbraw/zinc/82/20/95/606822095.db2.gz PTXZCTMJEAIRDF-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1cc(F)cc(Cl)c1 ZINC000822902434 606850483 /nfs/dbraw/zinc/85/04/83/606850483.db2.gz BRSJJXFQHINTLW-UHFFFAOYSA-N -1 1 307.676 1.126 20 0 DDADMM c1ccc2c(c1)OC[C@@H](CCNc1cccc(-c3nnn[n-]3)n1)O2 ZINC000823757283 607039204 /nfs/dbraw/zinc/03/92/04/607039204.db2.gz NVDZSPJFKUJRAT-LLVKDONJSA-N -1 1 324.344 1.904 20 0 DDADMM c1ccc2c(c1)OC[C@@H](CCNc1cccc(-c3nn[n-]n3)n1)O2 ZINC000823757283 607039206 /nfs/dbraw/zinc/03/92/06/607039206.db2.gz NVDZSPJFKUJRAT-LLVKDONJSA-N -1 1 324.344 1.904 20 0 DDADMM O=C(CCc1ccc(-c2nn[nH]n2)cc1)[N-]OCC(F)(F)F ZINC000822978236 607100135 /nfs/dbraw/zinc/10/01/35/607100135.db2.gz HGRBMWWWRHFIEV-UHFFFAOYSA-N -1 1 315.255 1.409 20 0 DDADMM CC1(NS(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)CCC1 ZINC000824839131 607852480 /nfs/dbraw/zinc/85/24/80/607852480.db2.gz ZRYJUQMEBVAIJS-UHFFFAOYSA-N -1 1 311.342 1.227 20 0 DDADMM CC1(NS(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)CCC1 ZINC000824839131 607852481 /nfs/dbraw/zinc/85/24/81/607852481.db2.gz ZRYJUQMEBVAIJS-UHFFFAOYSA-N -1 1 311.342 1.227 20 0 DDADMM OC[C@H](Nc1cccc(-c2nnn[n-]2)n1)c1c(F)cccc1F ZINC000826508239 607900289 /nfs/dbraw/zinc/90/02/89/607900289.db2.gz JESZEHXZNJKHMQ-NSHDSACASA-N -1 1 318.287 1.685 20 0 DDADMM OC[C@H](Nc1cccc(-c2nn[n-]n2)n1)c1c(F)cccc1F ZINC000826508239 607900290 /nfs/dbraw/zinc/90/02/90/607900290.db2.gz JESZEHXZNJKHMQ-NSHDSACASA-N -1 1 318.287 1.685 20 0 DDADMM COC(=O)[C@@H](CNc1cccc(-c2nnn[n-]2)n1)CC(C)C ZINC000826103405 607900795 /nfs/dbraw/zinc/90/07/95/607900795.db2.gz GAOOJFCVGUHVKB-SNVBAGLBSA-N -1 1 304.354 1.509 20 0 DDADMM COC(=O)[C@@H](CNc1cccc(-c2nn[n-]n2)n1)CC(C)C ZINC000826103405 607900796 /nfs/dbraw/zinc/90/07/96/607900796.db2.gz GAOOJFCVGUHVKB-SNVBAGLBSA-N -1 1 304.354 1.509 20 0 DDADMM Cc1cc(CNc2snc(Cl)c2-c2nnn[n-]2)ncn1 ZINC000826267086 607934999 /nfs/dbraw/zinc/93/49/99/607934999.db2.gz PEDFLHMHZZBKJU-UHFFFAOYSA-N -1 1 308.758 1.687 20 0 DDADMM Cc1cc(CNc2snc(Cl)c2-c2nn[n-]n2)ncn1 ZINC000826267086 607935000 /nfs/dbraw/zinc/93/50/00/607935000.db2.gz PEDFLHMHZZBKJU-UHFFFAOYSA-N -1 1 308.758 1.687 20 0 DDADMM CC[C@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)C[C@H]1O ZINC000825212496 608018083 /nfs/dbraw/zinc/01/80/83/608018083.db2.gz RPOQJNWFWMCLJP-JOYOIKCWSA-N -1 1 311.349 1.017 20 0 DDADMM CC[C@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)C[C@H]1O ZINC000825212496 608018084 /nfs/dbraw/zinc/01/80/84/608018084.db2.gz RPOQJNWFWMCLJP-JOYOIKCWSA-N -1 1 311.349 1.017 20 0 DDADMM COc1ccnc(NC(=O)c2ccc(-c3nnn[n-]3)s2)c1 ZINC000826221270 608040640 /nfs/dbraw/zinc/04/06/40/608040640.db2.gz XPLJWJYUBJPDIR-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM COc1ccnc(NC(=O)c2ccc(-c3nn[n-]n3)s2)c1 ZINC000826221270 608040641 /nfs/dbraw/zinc/04/06/41/608040641.db2.gz XPLJWJYUBJPDIR-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@@H]1Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000826060525 608233674 /nfs/dbraw/zinc/23/36/74/608233674.db2.gz NVTLBOOSPBDYOD-APPZFPTMSA-N -1 1 321.772 1.242 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@@H]1Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000826060525 608233675 /nfs/dbraw/zinc/23/36/75/608233675.db2.gz NVTLBOOSPBDYOD-APPZFPTMSA-N -1 1 321.772 1.242 20 0 DDADMM CSc1cc(C(=O)n2ncc(-c3nn[n-]n3)c2N)ccc1F ZINC000826230066 608385472 /nfs/dbraw/zinc/38/54/72/608385472.db2.gz CAWJTBAPRJHWSW-UHFFFAOYSA-N -1 1 319.325 1.195 20 0 DDADMM C[C@@H](COCC(F)(F)F)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824441746 608404490 /nfs/dbraw/zinc/40/44/90/608404490.db2.gz RNTFKJJZNJDGDK-LURJTMIESA-N -1 1 303.248 1.036 20 0 DDADMM C[C@@H](COCC(F)(F)F)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824441746 608404491 /nfs/dbraw/zinc/40/44/91/608404491.db2.gz RNTFKJJZNJDGDK-LURJTMIESA-N -1 1 303.248 1.036 20 0 DDADMM CCO[C@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C12CCCC2 ZINC000825582603 608426556 /nfs/dbraw/zinc/42/65/56/608426556.db2.gz LWMTVSZXXLJPPE-NEPJUHHUSA-N -1 1 315.381 1.806 20 0 DDADMM CCO[C@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C12CCCC2 ZINC000825582603 608426558 /nfs/dbraw/zinc/42/65/58/608426558.db2.gz LWMTVSZXXLJPPE-NEPJUHHUSA-N -1 1 315.381 1.806 20 0 DDADMM c1csc([C@@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCO2)c1 ZINC000826526118 608433707 /nfs/dbraw/zinc/43/37/07/608433707.db2.gz LOSCPRJALHPITP-JTQLQIEISA-N -1 1 315.362 1.296 20 0 DDADMM c1csc([C@@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCO2)c1 ZINC000826526118 608433708 /nfs/dbraw/zinc/43/37/08/608433708.db2.gz LOSCPRJALHPITP-JTQLQIEISA-N -1 1 315.362 1.296 20 0 DDADMM O=c1c2ccsc2ccn1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826501359 609520660 /nfs/dbraw/zinc/52/06/60/609520660.db2.gz MPTCFGBCIZYCIM-UHFFFAOYSA-N -1 1 310.342 1.686 20 0 DDADMM O=c1c2ccsc2ccn1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826501359 609520663 /nfs/dbraw/zinc/52/06/63/609520663.db2.gz MPTCFGBCIZYCIM-UHFFFAOYSA-N -1 1 310.342 1.686 20 0 DDADMM c1ccc(OC[C@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000826522981 609573956 /nfs/dbraw/zinc/57/39/56/609573956.db2.gz VWULBCPLQQXWGM-LBPRGKRZSA-N -1 1 323.360 1.562 20 0 DDADMM c1ccc(OC[C@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000826522981 609573958 /nfs/dbraw/zinc/57/39/58/609573958.db2.gz VWULBCPLQQXWGM-LBPRGKRZSA-N -1 1 323.360 1.562 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CN(C)C(=O)C2CC2)c1 ZINC000745016883 699968867 /nfs/dbraw/zinc/96/88/67/699968867.db2.gz IPPCMLAJFIZFPI-UHFFFAOYSA-N -1 1 319.357 1.549 20 0 DDADMM O=C(C[C@H]1C=CCC1)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000973858545 695569984 /nfs/dbraw/zinc/56/99/84/695569984.db2.gz AYBDKCMIJXYEJF-RWMBFGLXSA-N -1 1 315.373 1.521 20 0 DDADMM CC[C@H](F)CN1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000974491367 695679441 /nfs/dbraw/zinc/67/94/41/695679441.db2.gz PSARBUNSMKFHNU-WCQYABFASA-N -1 1 309.385 1.976 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975229128 695817218 /nfs/dbraw/zinc/81/72/18/695817218.db2.gz XAUWNRHUVXPJRC-ACDNVWSCSA-N -1 1 317.389 1.722 20 0 DDADMM C[C@@](O)(CNC(=O)C(=O)c1ccc([O-])cc1)c1ccsc1 ZINC000798296162 700055380 /nfs/dbraw/zinc/05/53/80/700055380.db2.gz DCHYTXKTXWENQQ-OAHLLOKOSA-N -1 1 305.355 1.660 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2ccoc2)cc1 ZINC000016157641 696060571 /nfs/dbraw/zinc/06/05/71/696060571.db2.gz XQKXGPNMRCMFQG-UHFFFAOYSA-N -1 1 303.270 1.402 20 0 DDADMM Cc1noc(C)c1CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000021493430 696073222 /nfs/dbraw/zinc/07/32/22/696073222.db2.gz WVQJYWHNIIAUMO-UHFFFAOYSA-N -1 1 316.313 1.334 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCc2n[nH]cc2C1 ZINC000747395245 700067691 /nfs/dbraw/zinc/06/76/91/700067691.db2.gz JWSOGYREPFIHEG-LLVKDONJSA-N -1 1 315.377 1.624 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CC1(C)C ZINC000977570098 696216771 /nfs/dbraw/zinc/21/67/71/696216771.db2.gz LONGNXTZLFLVBP-VXGBXAGGSA-N -1 1 317.389 1.506 20 0 DDADMM Cn1c(CNC(=O)Cc2c[nH]c3cc(F)ccc23)n[n-]c1=S ZINC000066625193 696353845 /nfs/dbraw/zinc/35/38/45/696353845.db2.gz JJLVHRXQRBROCR-UHFFFAOYSA-N -1 1 319.365 1.957 20 0 DDADMM CC(=O)N[C@H](C(=O)NCc1n[n-]c(=S)n1C(C)C)C(C)C ZINC000066640412 696354812 /nfs/dbraw/zinc/35/48/12/696354812.db2.gz UOMYPQXAVABQSB-NSHDSACASA-N -1 1 313.427 1.298 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@H]2c2cccc(F)c2)s1 ZINC000079663856 696462377 /nfs/dbraw/zinc/46/23/77/696462377.db2.gz XECMQMQCYOMXGH-RYUDHWBXSA-N -1 1 321.377 1.836 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H](Cc2ccccc2)C2CC2)s1 ZINC000080387169 696533733 /nfs/dbraw/zinc/53/37/33/696533733.db2.gz POGUPTOMOLSFFF-STQMWFEESA-N -1 1 317.414 1.471 20 0 DDADMM CC(C)[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccn1 ZINC000080386528 696533762 /nfs/dbraw/zinc/53/37/62/696533762.db2.gz RRPFVBDFUCWGTR-CMPLNLGQSA-N -1 1 306.391 1.242 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC3(CC3)c3ccccc32)s1 ZINC000080418174 696534632 /nfs/dbraw/zinc/53/46/32/696534632.db2.gz CXPJSZHADLBCJO-LLVKDONJSA-N -1 1 301.371 1.412 20 0 DDADMM CC(C)(C)c1cnc(NC(=O)Cc2sc(N)nc2[O-])s1 ZINC000081233411 696541613 /nfs/dbraw/zinc/54/16/13/696541613.db2.gz UGAUDCUCCGLORZ-LURJTMIESA-N -1 1 312.420 1.726 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1ccc(N(C)C)cn1 ZINC000081614257 696546333 /nfs/dbraw/zinc/54/63/33/696546333.db2.gz NUJCGXGRFWWAIR-UHFFFAOYSA-N -1 1 315.377 1.915 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2cc3ccccc3o2)CCCC1 ZINC000084938353 696562360 /nfs/dbraw/zinc/56/23/60/696562360.db2.gz USKNAPRIVTUMPF-UHFFFAOYSA-N -1 1 308.359 1.509 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@@H]2Cc2ccccc2)s1 ZINC000120853917 696702509 /nfs/dbraw/zinc/70/25/09/696702509.db2.gz LJIVKKJSWBENFA-OLZOCXBDSA-N -1 1 317.414 1.567 20 0 DDADMM C[C@H](CCNS(=O)(=O)c1c(F)cc(F)cc1F)C(=O)[O-] ZINC000128884517 696783170 /nfs/dbraw/zinc/78/31/70/696783170.db2.gz OWTHSDBCZURVEG-ZCFIWIBFSA-N -1 1 311.281 1.493 20 0 DDADMM COc1cc(C(=O)Nc2ccncc2[O-])cc2c1O[C@@H](C)C2 ZINC000748312111 700109581 /nfs/dbraw/zinc/10/95/81/700109581.db2.gz HKNXLEVWRALHKY-VIFPVBQESA-N -1 1 300.314 1.793 20 0 DDADMM CS(=O)(=O)[N-]c1ccc(S(=O)(=O)C(F)(F)F)cc1 ZINC000130325969 696796733 /nfs/dbraw/zinc/79/67/33/696796733.db2.gz SRRXMXJOGPQKAJ-UHFFFAOYSA-N -1 1 303.283 1.352 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCN(C[C@@H]3CCCCO3)CC2)c1[O-] ZINC000980979471 696968964 /nfs/dbraw/zinc/96/89/64/696968964.db2.gz LYGUHWDJZCPHBV-ZDUSSCGKSA-N -1 1 322.409 1.141 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982395575 697121769 /nfs/dbraw/zinc/12/17/69/697121769.db2.gz IIMASKNZLDQKHC-LBPRGKRZSA-N -1 1 303.362 1.332 20 0 DDADMM Cc1cc(CNC[C@H]2C[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)no1 ZINC000983480965 697269298 /nfs/dbraw/zinc/26/92/98/697269298.db2.gz KOKUPZLRZVGSOC-XYPYZODXSA-N -1 1 319.365 1.018 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000984470020 697389142 /nfs/dbraw/zinc/38/91/42/697389142.db2.gz ONBDASUOJNMHTM-JHJVBQTASA-N -1 1 317.389 1.506 20 0 DDADMM CC1N=NC(C(=O)Nc2ccccc2N2CCOC2=O)=C1[O-] ZINC000749222188 700152248 /nfs/dbraw/zinc/15/22/48/700152248.db2.gz LBUCXFOWIHPPAP-UHFFFAOYSA-N -1 1 302.290 1.633 20 0 DDADMM CN(Cc1ncc(Cl)n1C)C(=O)c1ccc(C#N)c([O-])c1 ZINC000188775515 697564156 /nfs/dbraw/zinc/56/41/56/697564156.db2.gz MVPPZLCXEMCEDJ-UHFFFAOYSA-N -1 1 304.737 1.923 20 0 DDADMM CCNc1ccc(Cl)cc1C(=O)N[N-]C(=O)c1cc(C)[nH]n1 ZINC000190640288 697594076 /nfs/dbraw/zinc/59/40/76/697594076.db2.gz LXJFHUBTEOWXEN-UHFFFAOYSA-N -1 1 321.768 1.878 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1=CCCCC1 ZINC000986052724 697658531 /nfs/dbraw/zinc/65/85/31/697658531.db2.gz FWYIPZBXQOPORP-WCQYABFASA-N -1 1 319.409 1.480 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCO[C@H](C(F)F)C1 ZINC000193730797 697678735 /nfs/dbraw/zinc/67/87/35/697678735.db2.gz BIALTRIAWXTNGA-JTQLQIEISA-N -1 1 309.272 1.029 20 0 DDADMM Cc1cc(C)cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000773160937 697722001 /nfs/dbraw/zinc/72/20/01/697722001.db2.gz XLCJVCSEGHDMPR-LBPRGKRZSA-N -1 1 303.391 1.747 20 0 DDADMM CC(C)C[C@@H](O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000228549524 697774744 /nfs/dbraw/zinc/77/47/44/697774744.db2.gz XUJPKMSWVUBTGT-MRVPVSSYSA-N -1 1 311.325 1.789 20 0 DDADMM O=C(CSc1n[nH]c(=S)s1)[N-]OC1CCOCC1 ZINC000775241369 697974448 /nfs/dbraw/zinc/97/44/48/697974448.db2.gz PPTRIQRZZXZBMQ-UHFFFAOYSA-N -1 1 307.422 1.146 20 0 DDADMM O=C(Cc1cc2ccccc2[nH]c1=O)[N-]OC1CCOCC1 ZINC000775244881 697974722 /nfs/dbraw/zinc/97/47/22/697974722.db2.gz QYCZQIYJOZFRBH-UHFFFAOYSA-N -1 1 302.330 1.710 20 0 DDADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)[N-]OC1CCCC1 ZINC000775601195 698013991 /nfs/dbraw/zinc/01/39/91/698013991.db2.gz RPKBDWHWQUELEB-JTQLQIEISA-N -1 1 316.361 1.672 20 0 DDADMM CCn1c(C)cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1C ZINC000776566141 698117903 /nfs/dbraw/zinc/11/79/03/698117903.db2.gz WENWKSQXGRYAQK-GFCCVEGCSA-N -1 1 320.422 1.568 20 0 DDADMM CCCC1(C(=O)OCCc2c(C)nc3nc[n-]n3c2=O)CCC1 ZINC000777356368 698182591 /nfs/dbraw/zinc/18/25/91/698182591.db2.gz XFTUDZANCJPTJR-UHFFFAOYSA-N -1 1 318.377 1.782 20 0 DDADMM CC(C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987669966 698192272 /nfs/dbraw/zinc/19/22/72/698192272.db2.gz DEPABUCPWDIBBA-NEPJUHHUSA-N -1 1 305.378 1.553 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2cccc3c2COC3=O)o1 ZINC000750167137 700207742 /nfs/dbraw/zinc/20/77/42/700207742.db2.gz FHPLJCLHUDZDRI-UHFFFAOYSA-N -1 1 308.315 1.132 20 0 DDADMM CN1CCC[C@H]([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)C1 ZINC000777976516 698234856 /nfs/dbraw/zinc/23/48/56/698234856.db2.gz PYMJLYMNTHTQQQ-QMMMGPOBSA-N -1 1 324.233 1.761 20 0 DDADMM CC[C@H]1C[C@@H](OC(=O)c2coc(S(=O)(=O)[N-]C)c2)CCO1 ZINC000779295502 698410777 /nfs/dbraw/zinc/41/07/77/698410777.db2.gz NXOKCTNFKNYGNT-QWRGUYRKSA-N -1 1 317.363 1.302 20 0 DDADMM C[C@@H]1C[C@H](NCc2ccon2)CN1C(=O)c1ncccc1[O-] ZINC000988681959 698435290 /nfs/dbraw/zinc/43/52/90/698435290.db2.gz ACVJQFKUMGVLEA-PWSUYJOCSA-N -1 1 302.334 1.168 20 0 DDADMM CC[C@H](NC(=O)NC[C@H](c1cccc(F)c1)N(C)C)C(=O)[O-] ZINC000780359448 698510656 /nfs/dbraw/zinc/51/06/56/698510656.db2.gz MMSKLOFECYBRCU-QWHCGFSZSA-N -1 1 311.357 1.591 20 0 DDADMM CCC[C@@](C)(NC(=O)NCCCCN1CCOCC1)C(=O)[O-] ZINC000780423905 698517251 /nfs/dbraw/zinc/51/72/51/698517251.db2.gz LRUXFCWTQZWGCO-OAHLLOKOSA-N -1 1 315.414 1.041 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)NC(C)(C)C(=O)[O-])c1ccco1 ZINC000780478559 698522975 /nfs/dbraw/zinc/52/29/75/698522975.db2.gz CUFWRNQLDKSZCF-NSHDSACASA-N -1 1 311.382 1.825 20 0 DDADMM COCC[C@H](C)OC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000783470375 698843761 /nfs/dbraw/zinc/84/37/61/698843761.db2.gz QKRPSXLYSNKMGE-VIFPVBQESA-N -1 1 307.350 1.562 20 0 DDADMM O=C(COC(=O)[C@@H]1CC1(Cl)Cl)[N-]C(=O)c1ccccc1 ZINC000784218914 698917697 /nfs/dbraw/zinc/91/76/97/698917697.db2.gz NKTKCXJKKOUQPY-VIFPVBQESA-N -1 1 316.140 1.680 20 0 DDADMM COc1cc(C(=O)N2CC(n3cncn3)C2)cc(Cl)c1[O-] ZINC000785415654 699075154 /nfs/dbraw/zinc/07/51/54/699075154.db2.gz NTKWQAWCSKYHQS-UHFFFAOYSA-N -1 1 308.725 1.343 20 0 DDADMM CC[C@@H](Sc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000785924218 699110970 /nfs/dbraw/zinc/11/09/70/699110970.db2.gz PSSMUOUEHYQZTD-LLVKDONJSA-N -1 1 307.375 1.723 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]Cc1cocn1 ZINC000397884778 699118135 /nfs/dbraw/zinc/11/81/35/699118135.db2.gz MLAHNBWEQOQYFQ-UHFFFAOYSA-N -1 1 301.255 1.200 20 0 DDADMM CN(C)c1nc(C(=O)OCC(=O)c2ccc(F)cc2)cc(=O)[n-]1 ZINC000786491864 699150422 /nfs/dbraw/zinc/15/04/22/699150422.db2.gz BLAIKAOUNRMRFF-UHFFFAOYSA-N -1 1 319.292 1.427 20 0 DDADMM O=C(NC1CN(C(=O)[C@H]2CCC[C@H](F)C2)C1)c1ncccc1[O-] ZINC000990967876 699195230 /nfs/dbraw/zinc/19/52/30/699195230.db2.gz DCKFQNDNIAZEKC-QWRGUYRKSA-N -1 1 321.352 1.256 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC=CO1)c1ccc(Cl)nc1F ZINC000703191395 699235573 /nfs/dbraw/zinc/23/55/73/699235573.db2.gz GFNBOZBVHXXPEB-MRVPVSSYSA-N -1 1 306.746 1.845 20 0 DDADMM CC(C)(CNS(C)(=O)=O)NC(=O)c1cc(Cl)ccc1[O-] ZINC000708273882 699249655 /nfs/dbraw/zinc/24/96/55/699249655.db2.gz IFMISJXKTCXHHF-UHFFFAOYSA-N -1 1 320.798 1.103 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2ccc(C(N)=O)cc2C)c1 ZINC000727355267 699410307 /nfs/dbraw/zinc/41/03/07/699410307.db2.gz PGKZLADDNAGEHA-UHFFFAOYSA-N -1 1 320.370 1.903 20 0 DDADMM CC(C)CC[C@@H]1CCC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727860446 699428727 /nfs/dbraw/zinc/42/87/27/699428727.db2.gz DXONKUSTKCXRBY-UONOGXRCSA-N -1 1 321.421 1.648 20 0 DDADMM CCc1cc2c(COC(=O)[C@@H](O)C3CC3)cc(=O)oc2cc1[O-] ZINC000730880712 699518384 /nfs/dbraw/zinc/51/83/84/699518384.db2.gz UPYKYUTXBVUGJH-INIZCTEOSA-N -1 1 318.325 1.875 20 0 DDADMM O=C(CCc1ccc(Cl)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732091512 699546169 /nfs/dbraw/zinc/54/61/69/699546169.db2.gz GZFMZWFWRXKHTC-LBPRGKRZSA-N -1 1 321.768 1.386 20 0 DDADMM CC[C@@H](Cc1ccccc1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732766678 699569149 /nfs/dbraw/zinc/56/91/49/699569149.db2.gz KBLBSKURADATTG-UONOGXRCSA-N -1 1 315.377 1.369 20 0 DDADMM Cc1cc(C)cc(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000733135300 699580115 /nfs/dbraw/zinc/58/01/15/699580115.db2.gz YLVRQZNCGAVXPX-UHFFFAOYSA-N -1 1 301.346 1.207 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1c(C)noc1C)C(F)(F)F ZINC000733319942 699588606 /nfs/dbraw/zinc/58/86/06/699588606.db2.gz BRHCTFGCGBSDTD-ZETCQYMHSA-N -1 1 302.274 1.147 20 0 DDADMM CCOC(=O)[C@H](C)OC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000733452922 699596743 /nfs/dbraw/zinc/59/67/43/699596743.db2.gz GZSAAULRZBFXRQ-ZETCQYMHSA-N -1 1 321.376 1.228 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3CC(c4ccccc4)=NO3)ccnc1-2 ZINC000791285967 699619948 /nfs/dbraw/zinc/61/99/48/699619948.db2.gz KVSLJIMQYDRQHD-HNNXBMFYSA-N -1 1 321.340 1.474 20 0 DDADMM Cc1cc(Cl)cc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1O ZINC000735118596 699682542 /nfs/dbraw/zinc/68/25/42/699682542.db2.gz QPRKZLXJNLEFGP-UHFFFAOYSA-N -1 1 323.736 1.233 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2cnc(Cl)c(C)c2)n1 ZINC000735316569 699690721 /nfs/dbraw/zinc/69/07/21/699690721.db2.gz VAAWJEJXTXOFHK-SSDOTTSWSA-N -1 1 316.770 1.774 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)CCSC)o1 ZINC000736347500 699724751 /nfs/dbraw/zinc/72/47/51/699724751.db2.gz UYJDBIZZUAYLFC-MRVPVSSYSA-N -1 1 307.393 1.486 20 0 DDADMM CCC[C@H](NC(=O)C1=NO[C@H](c2ccccc2)C1)c1nn[n-]n1 ZINC000736426594 699725281 /nfs/dbraw/zinc/72/52/81/699725281.db2.gz QYANLMWFKFZOKY-AAEUAGOBSA-N -1 1 314.349 1.675 20 0 DDADMM Cc1cc(C)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1C=O ZINC000793813650 699780247 /nfs/dbraw/zinc/78/02/47/699780247.db2.gz KXESEYYGYHZVST-LLVKDONJSA-N -1 1 301.350 1.505 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2c([O-])cccc2Cl)CCC1 ZINC000793997357 699791140 /nfs/dbraw/zinc/79/11/40/699791140.db2.gz KDEBCSWZJDBUEC-UHFFFAOYSA-N -1 1 317.794 1.743 20 0 DDADMM Cc1cnc(C(=O)N(CCO)Cc2cccnc2Cl)c([O-])c1 ZINC000794338836 699803692 /nfs/dbraw/zinc/80/36/92/699803692.db2.gz ZTFVFSXSVQXFBY-UHFFFAOYSA-N -1 1 321.764 1.779 20 0 DDADMM CC(C)[C@@H](Sc1ccccc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000743289711 699905807 /nfs/dbraw/zinc/90/58/07/699905807.db2.gz BCDIVRSACVTGRG-GFCCVEGCSA-N -1 1 307.375 1.386 20 0 DDADMM CCC[C@@H](C)NC(=O)[C@@H](C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796347754 699928281 /nfs/dbraw/zinc/92/82/81/699928281.db2.gz WOLHADYSQANTJN-NXEZZACHSA-N -1 1 310.350 1.651 20 0 DDADMM COCc1nc(COC(=O)c2ccc(C(=O)OC)[n-]2)cs1 ZINC000796345871 699928490 /nfs/dbraw/zinc/92/84/90/699928490.db2.gz ODORVPVKAXSCFH-UHFFFAOYSA-N -1 1 310.331 1.761 20 0 DDADMM CC(=O)N[C@@H](C(=O)OCCc1cc(C(C)=O)ccc1[O-])C(C)C ZINC000744460382 699950006 /nfs/dbraw/zinc/95/00/06/699950006.db2.gz RVYBKLDYWFIESH-MRXNPFEDSA-N -1 1 321.373 1.841 20 0 DDADMM O=C(O[C@H]1CCOC1=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801325863 700297791 /nfs/dbraw/zinc/29/77/91/700297791.db2.gz INZAOTGCMFVRAW-NSHDSACASA-N -1 1 306.249 1.189 20 0 DDADMM CC[C@H](OC(=O)c1nn(-c2ccc(OC)cc2)cc1[O-])C(N)=O ZINC000801367008 700301249 /nfs/dbraw/zinc/30/12/49/700301249.db2.gz AFGOUNGHEJREPQ-LBPRGKRZSA-N -1 1 319.317 1.007 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)O[C@@H](C)C(=O)N(C)C)n2)cc1 ZINC000801369578 700301435 /nfs/dbraw/zinc/30/14/35/700301435.db2.gz RXUJWWZGFJHFKO-NSHDSACASA-N -1 1 317.345 1.520 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)O[C@H](C)C(=O)OC)n1 ZINC000801418945 700305994 /nfs/dbraw/zinc/30/59/94/700305994.db2.gz XVKUJKOQFHPBIP-SNVBAGLBSA-N -1 1 318.329 1.859 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3nc(Cl)ccc3F)ccnc1-2 ZINC000801704629 700333061 /nfs/dbraw/zinc/33/30/61/700333061.db2.gz SAJGEMXGMNTBCS-UHFFFAOYSA-N -1 1 305.700 1.782 20 0 DDADMM COC(=O)C[C@@H]1CN(C(=O)c2c([O-])cccc2Cl)CCO1 ZINC000752819757 700389878 /nfs/dbraw/zinc/38/98/78/700389878.db2.gz CIOXYFNTXJTVNM-SECBINFHSA-N -1 1 313.737 1.450 20 0 DDADMM CC(=CC(=O)NCc1nn[n-]n1)c1ccccc1OC(F)F ZINC000753299599 700420906 /nfs/dbraw/zinc/42/09/06/700420906.db2.gz ONFIQFMHJVERRH-SOFGYWHQSA-N -1 1 309.276 1.521 20 0 DDADMM CC(C)CN1C[C@H](C(=O)[N-]OCc2ccc(F)cc2)CC1=O ZINC000754712619 700521571 /nfs/dbraw/zinc/52/15/71/700521571.db2.gz ZZZILDMGBCQPRO-CYBMUJFWSA-N -1 1 308.353 1.878 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cccc2ccncc21 ZINC000755695108 700578295 /nfs/dbraw/zinc/57/82/95/700578295.db2.gz IHGIAVRJPUHTFV-UHFFFAOYSA-N -1 1 310.313 1.114 20 0 DDADMM CC[C@H]1CC[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)O1 ZINC000757132713 700658872 /nfs/dbraw/zinc/65/88/72/700658872.db2.gz LEQCLBFDZZLAFB-STQMWFEESA-N -1 1 305.330 1.444 20 0 DDADMM O=C(OCc1nnnn1CC(F)(F)F)c1ccc([O-])cc1F ZINC000758527830 700710460 /nfs/dbraw/zinc/71/04/60/700710460.db2.gz MGCMWUJBNJJIDO-UHFFFAOYSA-N -1 1 320.202 1.437 20 0 DDADMM O=C(CCC(=O)N1CCOc2ccccc21)[N-]OCC(F)F ZINC000759340679 700747562 /nfs/dbraw/zinc/74/75/62/700747562.db2.gz ZYPSKECLVPMNFD-UHFFFAOYSA-N -1 1 314.288 1.505 20 0 DDADMM Cc1ccc([C@@H](O)CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)o1 ZINC000762517927 700896319 /nfs/dbraw/zinc/89/63/19/700896319.db2.gz UNFNHZIBRQJYDI-NSHDSACASA-N -1 1 319.361 1.979 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(Cl)cc2Cl)nc1=O ZINC000765390266 701009501 /nfs/dbraw/zinc/00/95/01/701009501.db2.gz POHQQIZDYMUKPM-UHFFFAOYSA-N -1 1 302.117 1.772 20 0 DDADMM C[C@H]1CCc2sc(C(=O)OCc3nc(=O)n(C)[n-]3)cc2C1 ZINC000765395565 701009611 /nfs/dbraw/zinc/00/96/11/701009611.db2.gz XUMJQOOSKNOFNB-QMMMGPOBSA-N -1 1 307.375 1.652 20 0 DDADMM Cc1ccc(N(C)C(C)C)c(C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765480893 701014828 /nfs/dbraw/zinc/01/48/28/701014828.db2.gz LBERDUXDKXXXRP-UHFFFAOYSA-N -1 1 318.377 1.618 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(F)cc(C(F)(F)F)c2)nc1=O ZINC000765497149 701016236 /nfs/dbraw/zinc/01/62/36/701016236.db2.gz SARYWBAZIDTCAI-UHFFFAOYSA-N -1 1 319.214 1.623 20 0 DDADMM O=C(C=Cc1ccc2[nH]ccc2c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000765790584 701027456 /nfs/dbraw/zinc/02/74/56/701027456.db2.gz OIWOADPCFMQOBZ-PMUGQKEBSA-N -1 1 324.344 1.294 20 0 DDADMM NC(=O)c1cc(C[N-]C(=O)C(F)(F)c2ccccc2F)on1 ZINC000765860487 701028937 /nfs/dbraw/zinc/02/89/37/701028937.db2.gz NMIVSJLAUMJSAX-UHFFFAOYSA-N -1 1 313.235 1.321 20 0 DDADMM COCCCO[N-]C(=O)[C@@H](C(C)C)N1C[C@@H](C)O[C@@H](C)C1 ZINC000805045555 701228822 /nfs/dbraw/zinc/22/88/22/701228822.db2.gz SUNJRQLCRFUXNI-HZSPNIEDSA-N -1 1 302.415 1.205 20 0 DDADMM C[C@@H]1COCC[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000769259234 701238379 /nfs/dbraw/zinc/23/83/79/701238379.db2.gz CWSXJTVUYQEGBX-DGCLKSJQSA-N -1 1 305.330 1.159 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1COC(C)(C)O1 ZINC000769278341 701239186 /nfs/dbraw/zinc/23/91/86/701239186.db2.gz YWUMLUUAPCOQGK-SNVBAGLBSA-N -1 1 309.366 1.495 20 0 DDADMM CCOC(=O)[C@@H]1CSCCN1C(=O)c1cc(F)ccc1[O-] ZINC000769667223 701251604 /nfs/dbraw/zinc/25/16/04/701251604.db2.gz LLRNCUKBBQZPCJ-NSHDSACASA-N -1 1 313.350 1.652 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)/C=C/SC)CC2)n1 ZINC000769765780 701255270 /nfs/dbraw/zinc/25/52/70/701255270.db2.gz BFBIIBKHPOFIQD-RMKNXTFCSA-N -1 1 324.406 1.564 20 0 DDADMM CO[C@H](C)COC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000770681897 701289695 /nfs/dbraw/zinc/28/96/95/701289695.db2.gz IFWVZMBDXOENBS-SECBINFHSA-N -1 1 319.379 1.548 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)c2ncc(C)o2)o1 ZINC000770948413 701301324 /nfs/dbraw/zinc/30/13/24/701301324.db2.gz WOPGVAIWOSJBPH-MRVPVSSYSA-N -1 1 314.319 1.402 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCOc2cccnc2)sc1C ZINC000771951686 701338019 /nfs/dbraw/zinc/33/80/19/701338019.db2.gz FJRIWDKGSBNCEB-UHFFFAOYSA-N -1 1 313.404 1.512 20 0 DDADMM Cc1ccc(NC(=O)[C@@H](C)OC(=O)c2cn[n-]n2)c(Cl)c1 ZINC000805603327 701397337 /nfs/dbraw/zinc/39/73/37/701397337.db2.gz LWBPOJWZEHLIAH-MRVPVSSYSA-N -1 1 308.725 1.951 20 0 DDADMM CC(C)[C@@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccccc1 ZINC000805605872 701398465 /nfs/dbraw/zinc/39/84/65/701398465.db2.gz QWYYZZBRFNVYCB-CQSZACIVSA-N -1 1 302.334 1.475 20 0 DDADMM CC(C)(C(=O)OCC(=O)[N-]C(=O)c1ccccc1)N1CCCC1 ZINC000805615343 701400314 /nfs/dbraw/zinc/40/03/14/701400314.db2.gz AKKFAPJFLPRAHX-UHFFFAOYSA-N -1 1 318.373 1.361 20 0 DDADMM CCOCC(=O)OCCc1c(C)nc(-c2ccccn2)[n-]c1=O ZINC000806018929 701421236 /nfs/dbraw/zinc/42/12/36/701421236.db2.gz MWVSGHGOKMMDOA-UHFFFAOYSA-N -1 1 317.345 1.675 20 0 DDADMM O=S(=O)([N-]c1ccc(C2(O)COC2)cc1)c1ccccc1 ZINC000808121860 701499280 /nfs/dbraw/zinc/49/92/80/701499280.db2.gz WBQNHCTZTYCAKP-UHFFFAOYSA-N -1 1 305.355 1.705 20 0 DDADMM Cc1noc([C@@H](C)N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000810068279 701719916 /nfs/dbraw/zinc/71/99/16/701719916.db2.gz LYSPHGCKEJPNTB-SCZZXKLOSA-N -1 1 320.315 1.830 20 0 DDADMM CC(C)(C)[C@@H](NC(N)=O)C(=O)Nc1nc(Cl)ccc1[O-] ZINC000839732784 701801570 /nfs/dbraw/zinc/80/15/70/701801570.db2.gz GDWZXDAIJXQXST-QMMMGPOBSA-N -1 1 300.746 1.462 20 0 DDADMM CC(C)(C)N1CC[C@@](F)(C(=O)[N-]S(=O)(=O)C2CCCC2)C1 ZINC000810625143 701804360 /nfs/dbraw/zinc/80/43/60/701804360.db2.gz XPURMXYBZYTTCO-AWEZNQCLSA-N -1 1 320.430 1.588 20 0 DDADMM O=C([N-]OC1CCC1)[C@@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC000839778183 701823380 /nfs/dbraw/zinc/82/33/80/701823380.db2.gz WCFVGERSDSFVTJ-CYBMUJFWSA-N -1 1 320.364 1.817 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@]1(C)CC(C)(C)OC1=O)C(C)C ZINC000882223285 707546173 /nfs/dbraw/zinc/54/61/73/707546173.db2.gz IWULEFHEIMZTTQ-GXFFZTMASA-N -1 1 307.412 1.061 20 0 DDADMM CC(C)CC[C@@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000867995829 701880141 /nfs/dbraw/zinc/88/01/41/701880141.db2.gz XOBWGBLBKUPAHS-LLVKDONJSA-N -1 1 322.409 1.879 20 0 DDADMM CC(C)(CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)CC(F)F ZINC000879262568 706633812 /nfs/dbraw/zinc/63/38/12/706633812.db2.gz GCVRKHQQCKIWGB-VIFPVBQESA-N -1 1 301.341 1.977 20 0 DDADMM Cc1cncc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000811856876 702072840 /nfs/dbraw/zinc/07/28/40/702072840.db2.gz VQRHNDAKDXONPS-SNVBAGLBSA-N -1 1 302.300 1.680 20 0 DDADMM CO[C@@H]1CCC[C@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000831125592 706639914 /nfs/dbraw/zinc/63/99/14/706639914.db2.gz MHAJQVMVZYXTHP-WDEREUQCSA-N -1 1 322.327 1.329 20 0 DDADMM O=C(C[C@H]1CCCCO1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831140170 706642628 /nfs/dbraw/zinc/64/26/28/706642628.db2.gz UBSOELLYJUVLTI-SNVBAGLBSA-N -1 1 308.300 1.083 20 0 DDADMM CC(C)C[C@@H]1OCCC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000831148638 706644609 /nfs/dbraw/zinc/64/46/09/706644609.db2.gz WHSSBPMHVYPXCR-ZJUUUORDSA-N -1 1 321.830 1.935 20 0 DDADMM O=C(Cc1ccccc1F)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162755 706647323 /nfs/dbraw/zinc/64/73/23/706647323.db2.gz ZLPCXXQZFVLCHR-UHFFFAOYSA-N -1 1 318.270 1.505 20 0 DDADMM C[C@@H]1CC[C@@H](CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868567329 702202259 /nfs/dbraw/zinc/20/22/59/702202259.db2.gz NATHHXPSICMLAN-GHMZBOCLSA-N -1 1 320.393 1.490 20 0 DDADMM O=C(CCc1ncccn1)OCCC[N-]C(=O)C(F)(F)F ZINC000812605469 702207169 /nfs/dbraw/zinc/20/71/69/702207169.db2.gz RZIJLZBRRUCVKC-UHFFFAOYSA-N -1 1 305.256 1.021 20 0 DDADMM O=C([C@H](O)c1ccc(Cl)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000813496641 702361105 /nfs/dbraw/zinc/36/11/05/702361105.db2.gz OAFOUBKMOMBMDR-CMPLNLGQSA-N -1 1 321.768 1.293 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@H](N2CCOC2=O)C1 ZINC000869248065 702533727 /nfs/dbraw/zinc/53/37/27/702533727.db2.gz HQGXAADLAHOMLK-NSHDSACASA-N -1 1 324.764 1.641 20 0 DDADMM Cc1cscc1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869428206 702604074 /nfs/dbraw/zinc/60/40/74/702604074.db2.gz OETWAYBWGRJVBG-UHFFFAOYSA-N -1 1 323.292 1.851 20 0 DDADMM CO[C@@H]1CCn2cc(C(=O)Nc3cccc(F)c3[O-])nc2C1 ZINC000869445360 702609602 /nfs/dbraw/zinc/60/96/02/702609602.db2.gz FLWGXOBITKWTMN-SECBINFHSA-N -1 1 305.309 1.941 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCN(C3CCC3)C2=O)[n-]1 ZINC000869524044 702634931 /nfs/dbraw/zinc/63/49/31/702634931.db2.gz OVNLZHBAWXHGMJ-GFCCVEGCSA-N -1 1 306.318 1.112 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@H]1C[C@H](O)c1cccs1 ZINC000866402059 706690144 /nfs/dbraw/zinc/69/01/44/706690144.db2.gz GDUDRIKASSPMRO-QWRGUYRKSA-N -1 1 321.406 1.309 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1ccccc1F ZINC000866412376 706692393 /nfs/dbraw/zinc/69/23/93/706692393.db2.gz IAUGZWYHHOXDAS-UHFFFAOYSA-N -1 1 322.427 1.710 20 0 DDADMM CC(C)(C)OC(=O)COCC(=O)Nc1ccc([O-])c(F)c1F ZINC000843900687 702939726 /nfs/dbraw/zinc/93/97/26/702939726.db2.gz GODSDZJJBFAFMA-UHFFFAOYSA-N -1 1 317.288 1.967 20 0 DDADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CC2CCC1CC2 ZINC000846620157 703304671 /nfs/dbraw/zinc/30/46/71/703304671.db2.gz GBECYCJWRLWIPW-ABXWRUBCSA-N -1 1 319.405 1.258 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(Cc3ccc4nonc4c3)C2)n[nH]1 ZINC000846904988 703349673 /nfs/dbraw/zinc/34/96/73/703349673.db2.gz MWODICHMKDFAMP-JTQLQIEISA-N -1 1 300.322 1.426 20 0 DDADMM CC1([N-]S(=O)(=O)c2nc[nH]c2Br)CCCC1 ZINC000866604021 706742907 /nfs/dbraw/zinc/74/29/07/706742907.db2.gz CHJICYLXAMHPDN-UHFFFAOYSA-N -1 1 308.201 1.783 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H](C)c1ccc(F)cc1 ZINC000866595113 706739837 /nfs/dbraw/zinc/73/98/37/706739837.db2.gz XDVLBWFSSPFIRA-APBUJDDRSA-N -1 1 322.427 1.881 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@@H]2CC(=O)N(C(C)C)C2)[n-]1 ZINC000847765559 703464266 /nfs/dbraw/zinc/46/42/66/703464266.db2.gz IFXMXYMBBDGYKN-SNVBAGLBSA-N -1 1 308.334 1.215 20 0 DDADMM C[C@H](CNCc1nc2ccccn2c1Br)C(=O)[O-] ZINC000848640178 703570799 /nfs/dbraw/zinc/57/07/99/703570799.db2.gz OQQOXESFYJZVHZ-MRVPVSSYSA-N -1 1 312.167 1.907 20 0 DDADMM Cn1cc([C@@H](O)CNC(=O)Cc2ccc([O-])c(Cl)c2)cn1 ZINC000866655864 706754030 /nfs/dbraw/zinc/75/40/30/706754030.db2.gz RVFNBGIYDVDPGE-ZDUSSCGKSA-N -1 1 309.753 1.171 20 0 DDADMM Cn1[n-]c(COC(=O)C[C@@H]2OCc3cc(Cl)ccc32)nc1=O ZINC000848807146 703591296 /nfs/dbraw/zinc/59/12/96/703591296.db2.gz QZVOWBPZNBFSSD-NSHDSACASA-N -1 1 323.736 1.467 20 0 DDADMM Cn1[n-]c(COC(=O)CCCCC(=O)OC(C)(C)C)nc1=O ZINC000848826553 703592449 /nfs/dbraw/zinc/59/24/49/703592449.db2.gz OUFKSXVDLUUQII-UHFFFAOYSA-N -1 1 313.354 1.054 20 0 DDADMM COC(=O)C=Cc1ccc(CNC2(c3nnn[n-]3)CCCC2)o1 ZINC000848900930 703600438 /nfs/dbraw/zinc/60/04/38/703600438.db2.gz RHSDYNYBAKGLGT-SREVYHEPSA-N -1 1 317.349 1.538 20 0 DDADMM CC(C)[C@@H](O)COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000849700639 703669103 /nfs/dbraw/zinc/66/91/03/703669103.db2.gz RZMCGXBWLPSSQW-VIFPVBQESA-N -1 1 307.393 1.293 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)OCC[C@H](C)O ZINC000849705089 703669778 /nfs/dbraw/zinc/66/97/78/703669778.db2.gz JAMPBHOEYBQWGD-NSHDSACASA-N -1 1 315.391 1.766 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1ccc(Cl)nc1F)C(=O)OC ZINC000866680365 706762668 /nfs/dbraw/zinc/76/26/68/706762668.db2.gz GLDIOFIHKKSMHP-LLVKDONJSA-N -1 1 324.761 1.494 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)N=S(C)(C)=O)c1ccccc1F ZINC000866685298 706764210 /nfs/dbraw/zinc/76/42/10/706764210.db2.gz HVQZJSLECMJGIL-UHFFFAOYSA-N -1 1 322.427 1.665 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cnc(C)o2)c1 ZINC000851445217 703802396 /nfs/dbraw/zinc/80/23/96/703802396.db2.gz ORLQLUSDJLOZHE-NRFANRHFSA-N -1 1 309.343 1.843 20 0 DDADMM C[C@@H](C(=O)OC(C)(C)C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879762969 706783816 /nfs/dbraw/zinc/78/38/16/706783816.db2.gz BDJSUMHUPNEVBA-TVQRCGJNSA-N -1 1 324.343 1.860 20 0 DDADMM COC(=O)[C@H](C1CC1)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764898 706784907 /nfs/dbraw/zinc/78/49/07/706784907.db2.gz ZXVOYEPRZAGIKX-CABZTGNLSA-N -1 1 308.300 1.081 20 0 DDADMM CC[C@@]1(O)CCCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000870681377 704102907 /nfs/dbraw/zinc/10/29/07/704102907.db2.gz ACBIEGYOZDSGRC-MRXNPFEDSA-N -1 1 307.394 1.991 20 0 DDADMM O=C([O-])C1(NCC2=NS(=O)(=O)c3ccccc32)CCCC1 ZINC000852726013 704107086 /nfs/dbraw/zinc/10/70/86/704107086.db2.gz SYVPOBYNYPXABQ-UHFFFAOYSA-N -1 1 308.359 1.165 20 0 DDADMM C[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@H](O)C(F)(F)F ZINC000819566341 704142820 /nfs/dbraw/zinc/14/28/20/704142820.db2.gz BEKZRVNETRCKND-XNCJUZBTSA-N -1 1 320.296 1.060 20 0 DDADMM CN1CCN(c2ccc(NC(=O)C3(C(=O)[O-])CCC3)cc2)CC1 ZINC000852952644 704178086 /nfs/dbraw/zinc/17/80/86/704178086.db2.gz NWBBGIULPYDZPM-UHFFFAOYSA-N -1 1 317.389 1.632 20 0 DDADMM Cc1cc(C)n(CCC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000819965721 704193863 /nfs/dbraw/zinc/19/38/63/704193863.db2.gz FMIMVXRSGUAZFR-GFCCVEGCSA-N -1 1 319.365 1.385 20 0 DDADMM Cc1noc(C2CC2)c1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000819968633 704194103 /nfs/dbraw/zinc/19/41/03/704194103.db2.gz FIRCDHWLHQJMIU-SNVBAGLBSA-N -1 1 318.333 1.969 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000819970370 704194770 /nfs/dbraw/zinc/19/47/70/704194770.db2.gz KKUPFDSVSVBSHF-SECBINFHSA-N -1 1 304.306 1.199 20 0 DDADMM O=C(N[C@@H](C1CCC1)[C@@H]1CCOC1)C(=O)c1ccc([O-])cc1 ZINC000871305077 704263019 /nfs/dbraw/zinc/26/30/19/704263019.db2.gz ATAPAWHRIYXYAI-HIFRSBDPSA-N -1 1 303.358 1.896 20 0 DDADMM CN(C[C@H]1COCCO1)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000853556583 704268121 /nfs/dbraw/zinc/26/81/21/704268121.db2.gz ACPQZSYCDBXGSN-NSHDSACASA-N -1 1 321.406 1.307 20 0 DDADMM CCOc1cccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000821431258 704408938 /nfs/dbraw/zinc/40/89/38/704408938.db2.gz NTPFDRUEAPLDGT-UHFFFAOYSA-N -1 1 311.305 1.308 20 0 DDADMM CCOc1cccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000821431258 704408940 /nfs/dbraw/zinc/40/89/40/704408940.db2.gz NTPFDRUEAPLDGT-UHFFFAOYSA-N -1 1 311.305 1.308 20 0 DDADMM Cc1cc(C(=O)Nc2c(C)[n-][nH]c2=O)c(C)n1-c1cnn(C)c1 ZINC000854634593 704430324 /nfs/dbraw/zinc/43/03/24/704430324.db2.gz FBPNDMUTVMXXDT-UHFFFAOYSA-N -1 1 314.349 1.817 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCC2(CCCC2)CC1 ZINC000855364333 704481251 /nfs/dbraw/zinc/48/12/51/704481251.db2.gz PFGZCAZUDGZNAA-UHFFFAOYSA-N -1 1 319.405 1.500 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1C=CCC1 ZINC000855424022 704484965 /nfs/dbraw/zinc/48/49/65/704484965.db2.gz ANBFUZNAIYUYPP-LBPRGKRZSA-N -1 1 300.362 1.819 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NC(C)(C)[C@@H](C)O)c2=O ZINC000857478681 704594100 /nfs/dbraw/zinc/59/41/00/704594100.db2.gz LZCSOAGELAHOBD-SECBINFHSA-N -1 1 304.346 1.426 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CSC(C)(C)C)nc2n1 ZINC000857683289 704613219 /nfs/dbraw/zinc/61/32/19/704613219.db2.gz AAGQGDYTNAYNFX-UHFFFAOYSA-N -1 1 309.395 1.450 20 0 DDADMM O=c1nc(Cl)cc(Sc2nnnn2C[C@H]2CCCO2)[n-]1 ZINC000858328589 704695863 /nfs/dbraw/zinc/69/58/63/704695863.db2.gz RDDOITPHMOVVKF-ZCFIWIBFSA-N -1 1 314.758 1.152 20 0 DDADMM C[C@@H](F)CC[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000867308741 706955481 /nfs/dbraw/zinc/95/54/81/706955481.db2.gz MSNXWQCQBVZTNO-MGNBDDOMSA-N -1 1 308.400 1.726 20 0 DDADMM CC1(C)C[C@@H](S(=O)(=O)[N-][C@@H]2CCCCC23OCCO3)CO1 ZINC000859073162 704793874 /nfs/dbraw/zinc/79/38/74/704793874.db2.gz DUZMNSRLHGKPTJ-VXGBXAGGSA-N -1 1 319.423 1.159 20 0 DDADMM CC1(C2([N-]S(=O)(=O)c3nc[nH]c3Br)CC2)CC1 ZINC000867361733 706975053 /nfs/dbraw/zinc/97/50/53/706975053.db2.gz BKLDNCLRONPKGO-UHFFFAOYSA-N -1 1 320.212 1.783 20 0 DDADMM Cc1nn(C)c(CN[C@H]2C[C@@H](C(=O)[O-])C2)c1Br ZINC000874045811 704925803 /nfs/dbraw/zinc/92/58/03/704925803.db2.gz FGJHDTLLMTUPSN-OCAPTIKFSA-N -1 1 302.172 1.444 20 0 DDADMM CCC[C@H](C)[C@@H](CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867459147 707007985 /nfs/dbraw/zinc/00/79/85/707007985.db2.gz SRYQQOAODJFSIO-DTWKUNHWSA-N -1 1 308.350 1.435 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc(OC)c1C ZINC000867536238 707032413 /nfs/dbraw/zinc/03/24/13/707032413.db2.gz SVZZSPCLUDMFNI-LJQANCHMSA-N -1 1 320.436 1.456 20 0 DDADMM C[C@H](O)C1([N-]S(=O)(=O)c2cc(Cl)cnc2Cl)CC1 ZINC000867528561 707029643 /nfs/dbraw/zinc/02/96/43/707029643.db2.gz ASBVQMMAOZYRPX-LURJTMIESA-N -1 1 311.190 1.580 20 0 DDADMM O=C(NC[C@@H](c1ccco1)N1CCCC1)N[C@H]1C[C@H](C(=O)[O-])C1 ZINC000874382408 705029126 /nfs/dbraw/zinc/02/91/26/705029126.db2.gz IJLMIAKIEKTWIB-AVGNSLFASA-N -1 1 321.377 1.579 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2cccs2)n[n-]1 ZINC000880667997 707052803 /nfs/dbraw/zinc/05/28/03/707052803.db2.gz OWODWXGEXUSIMI-QMMMGPOBSA-N -1 1 308.363 1.463 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2cccs2)[n-]1 ZINC000880667997 707052805 /nfs/dbraw/zinc/05/28/05/707052805.db2.gz OWODWXGEXUSIMI-QMMMGPOBSA-N -1 1 308.363 1.463 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2cccs2)n1 ZINC000880667997 707052807 /nfs/dbraw/zinc/05/28/07/707052807.db2.gz OWODWXGEXUSIMI-QMMMGPOBSA-N -1 1 308.363 1.463 20 0 DDADMM Cc1nc([N-]C(=O)c2nc(C)cc(C(F)(F)F)n2)nn1C ZINC000823111674 705143091 /nfs/dbraw/zinc/14/30/91/705143091.db2.gz IEIQBBDNLSGPOY-UHFFFAOYSA-N -1 1 300.244 1.493 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2cc3ccncc3s2)C1=O ZINC000823677567 705293888 /nfs/dbraw/zinc/29/38/88/705293888.db2.gz XUJJVIURWKWBBY-CQSZACIVSA-N -1 1 318.358 1.662 20 0 DDADMM C[C@H](CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000875149320 705297438 /nfs/dbraw/zinc/29/74/38/705297438.db2.gz RWQXGSDGRMJWDC-MRVPVSSYSA-N -1 1 307.316 1.352 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)[C@H]1C ZINC000867697834 707077848 /nfs/dbraw/zinc/07/78/48/707077848.db2.gz PBLYNUUWOSMVRZ-ONGXEEELSA-N -1 1 311.765 1.998 20 0 DDADMM C[C@@H]1CC(F)(F)CCN1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000861025949 705352356 /nfs/dbraw/zinc/35/23/56/705352356.db2.gz VMRVZTUQHXDZCC-SECBINFHSA-N -1 1 309.320 1.993 20 0 DDADMM Cn1nc(C2CC2)nc1CC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834822333 707129875 /nfs/dbraw/zinc/12/98/75/707129875.db2.gz LBLQDQJBDJDVPC-UHFFFAOYSA-N -1 1 320.780 1.910 20 0 DDADMM COc1cncc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)c1 ZINC000824619990 705494590 /nfs/dbraw/zinc/49/45/90/705494590.db2.gz VYBMGKDHQFIBHW-UHFFFAOYSA-N -1 1 316.361 1.948 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)n[n-]1 ZINC000824887183 705551812 /nfs/dbraw/zinc/55/18/12/705551812.db2.gz JAGAOSMFJDTARZ-PQTSNVLCSA-N -1 1 318.377 1.451 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)[n-]1 ZINC000824887183 705551816 /nfs/dbraw/zinc/55/18/16/705551816.db2.gz JAGAOSMFJDTARZ-PQTSNVLCSA-N -1 1 318.377 1.451 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)n1 ZINC000824887183 705551819 /nfs/dbraw/zinc/55/18/19/705551819.db2.gz JAGAOSMFJDTARZ-PQTSNVLCSA-N -1 1 318.377 1.451 20 0 DDADMM CC[C@H]1CCCCN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825194007 705621859 /nfs/dbraw/zinc/62/18/59/705621859.db2.gz OJLFTDPVKZWSFL-JTQLQIEISA-N -1 1 304.354 1.497 20 0 DDADMM CC[C@H]1CCCCN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825194007 705621863 /nfs/dbraw/zinc/62/18/63/705621863.db2.gz OJLFTDPVKZWSFL-JTQLQIEISA-N -1 1 304.354 1.497 20 0 DDADMM CCCN(CC(F)F)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825307952 705642809 /nfs/dbraw/zinc/64/28/09/705642809.db2.gz RLYLFCJJONDPGS-UHFFFAOYSA-N -1 1 314.296 1.209 20 0 DDADMM CCCN(CC(F)F)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825307952 705642815 /nfs/dbraw/zinc/64/28/15/705642815.db2.gz RLYLFCJJONDPGS-UHFFFAOYSA-N -1 1 314.296 1.209 20 0 DDADMM COC(=O)[C@H]1[C@@H]2CN(C(=O)NCc3ccc([O-])c(Cl)c3)C[C@@H]21 ZINC000876396868 705708335 /nfs/dbraw/zinc/70/83/35/705708335.db2.gz QLIGXPBVFPYTGX-IWIIMEHWSA-N -1 1 324.764 1.606 20 0 DDADMM O=C(NCCCN1CCOC1=O)c1ncc2ccccc2c1[O-] ZINC000825910082 705744358 /nfs/dbraw/zinc/74/43/58/705744358.db2.gz CIFAJPABWSXYDJ-UHFFFAOYSA-N -1 1 315.329 1.513 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(F)c(C)c2)c1-c1nnn[n-]1 ZINC000826270137 705786036 /nfs/dbraw/zinc/78/60/36/705786036.db2.gz MTHIKQQVEHJHOS-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(F)c(C)c2)c1-c1nn[n-]n1 ZINC000826270137 705786041 /nfs/dbraw/zinc/78/60/41/705786041.db2.gz MTHIKQQVEHJHOS-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@H]2c2ccccc2)c1-c1nnn[n-]1 ZINC000826343617 705792836 /nfs/dbraw/zinc/79/28/36/705792836.db2.gz IDJQVIZBOMAJBF-ZDUSSCGKSA-N -1 1 324.344 1.679 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@H]2c2ccccc2)c1-c1nn[n-]n1 ZINC000826343617 705792837 /nfs/dbraw/zinc/79/28/37/705792837.db2.gz IDJQVIZBOMAJBF-ZDUSSCGKSA-N -1 1 324.344 1.679 20 0 DDADMM Cc1onc(CC(=O)N2CCCC[C@H]2C(C)C)c1-c1nnn[n-]1 ZINC000826344311 705793576 /nfs/dbraw/zinc/79/35/76/705793576.db2.gz DBNQSZMWJAZEHL-LBPRGKRZSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N2CCCC[C@H]2C(C)C)c1-c1nn[n-]n1 ZINC000826344311 705793581 /nfs/dbraw/zinc/79/35/81/705793581.db2.gz DBNQSZMWJAZEHL-LBPRGKRZSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCCC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826346830 705794303 /nfs/dbraw/zinc/79/43/03/705794303.db2.gz WNXCPODMJGHXIU-WPRPVWTQSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCCC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826346830 705794305 /nfs/dbraw/zinc/79/43/05/705794305.db2.gz WNXCPODMJGHXIU-WPRPVWTQSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCCC2(C)C)c1-c1nnn[n-]1 ZINC000826346840 705794860 /nfs/dbraw/zinc/79/48/60/705794860.db2.gz WYQSMIQMRRNJOI-JTQLQIEISA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCCC2(C)C)c1-c1nn[n-]n1 ZINC000826346840 705794863 /nfs/dbraw/zinc/79/48/63/705794863.db2.gz WYQSMIQMRRNJOI-JTQLQIEISA-N -1 1 304.354 1.401 20 0 DDADMM O=C(Nc1cnc2c(c1)CCCC2)c1ccc(-c2nnn[n-]2)nc1 ZINC000826459249 705802071 /nfs/dbraw/zinc/80/20/71/705802071.db2.gz BNSQJHWWYKMSGF-UHFFFAOYSA-N -1 1 321.344 1.788 20 0 DDADMM O=C(Nc1cnc2c(c1)CCCC2)c1ccc(-c2nn[n-]n2)nc1 ZINC000826459249 705802075 /nfs/dbraw/zinc/80/20/75/705802075.db2.gz BNSQJHWWYKMSGF-UHFFFAOYSA-N -1 1 321.344 1.788 20 0 DDADMM COC1CCC(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000826709534 705853504 /nfs/dbraw/zinc/85/35/04/705853504.db2.gz URPWCIVPLKZUKQ-UHFFFAOYSA-N -1 1 320.418 1.953 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000864057245 706054250 /nfs/dbraw/zinc/05/42/50/706054250.db2.gz INQKWYRRDORAJD-QGZVFWFLSA-N -1 1 324.421 1.201 20 0 DDADMM Cn1ncnc1CN1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000877705577 706183547 /nfs/dbraw/zinc/18/35/47/706183547.db2.gz KHQFYHAFZZZOBH-UHFFFAOYSA-N -1 1 321.812 1.492 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@]1(C)CCCOC1 ZINC000872469504 707430473 /nfs/dbraw/zinc/43/04/73/707430473.db2.gz VYFWEFUEKZZSOU-ZMZPIMSZSA-N -1 1 312.457 1.286 20 0 DDADMM CC(C)[C@](C)(Cc1ccccc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000864896852 706295742 /nfs/dbraw/zinc/29/57/42/706295742.db2.gz KTAMCLRBQVRTNX-INIZCTEOSA-N -1 1 303.362 1.474 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=S)NCC2CC2)C1 ZINC000865275245 706394055 /nfs/dbraw/zinc/39/40/55/706394055.db2.gz ISOXFMYWCKSNSL-NSHDSACASA-N -1 1 309.357 1.414 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C=CC(=O)OC)o1)c1nn[n-]n1 ZINC000829800521 706398250 /nfs/dbraw/zinc/39/82/50/706398250.db2.gz GZWDHZIWHARIKM-QEHWCHDUSA-N -1 1 319.321 1.250 20 0 DDADMM O=c1[n-]c(CN2C[C@@H](O)Cc3ccccc32)nc2c1COCC2 ZINC000878528026 706417419 /nfs/dbraw/zinc/41/74/19/706417419.db2.gz NNOIGGWRONGILC-LBPRGKRZSA-N -1 1 313.357 1.179 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCc1ccoc1 ZINC000872505238 707451292 /nfs/dbraw/zinc/45/12/92/707451292.db2.gz XDLTYSSFMHFORV-GOSISDBHSA-N -1 1 308.425 1.553 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1ccnn1C ZINC000872512050 707455638 /nfs/dbraw/zinc/45/56/38/707455638.db2.gz OZJQSAIXKJUIPI-AYLIAGHASA-N -1 1 322.456 1.212 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)c1nc2ccccc2s1 ZINC000865888261 706553523 /nfs/dbraw/zinc/55/35/23/706553523.db2.gz JUMVOWGFAWDOJN-QMMMGPOBSA-N -1 1 302.363 1.619 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@@H]1CCC[C@H](C(F)(F)F)O1 ZINC000830688283 706562324 /nfs/dbraw/zinc/56/23/24/706562324.db2.gz SWIMMOGNNLGWHX-DTWKUNHWSA-N -1 1 321.303 1.139 20 0 DDADMM CCc1cc2c(CN3CN(C)C(=O)[C@@H]3C)cc(=O)oc2cc1[O-] ZINC000830783312 706578976 /nfs/dbraw/zinc/57/89/76/706578976.db2.gz IQFAXHQEEOKUIM-JTQLQIEISA-N -1 1 316.357 1.681 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@]2(C)CCCOC2)C1 ZINC000830807231 706583351 /nfs/dbraw/zinc/58/33/51/706583351.db2.gz FQCWJQCPLOUYJE-QWHCGFSZSA-N -1 1 322.327 1.473 20 0 DDADMM COC1(CC(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000830808324 706583532 /nfs/dbraw/zinc/58/35/32/706583532.db2.gz ZERHYXYQTHQJQB-GFCCVEGCSA-N -1 1 322.327 1.615 20 0 DDADMM CSC[C@H](C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830819833 706586348 /nfs/dbraw/zinc/58/63/48/706586348.db2.gz BOENGEVCOMBQQW-GZMMTYOYSA-N -1 1 312.357 1.655 20 0 DDADMM CCO[C@H](CC)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827008 706587875 /nfs/dbraw/zinc/58/78/75/706587875.db2.gz IYEZZPOTUZFDSQ-SKDRFNHKSA-N -1 1 310.316 1.471 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H](O)CC(C)C)c1 ZINC000866838133 706820727 /nfs/dbraw/zinc/82/07/27/706820727.db2.gz IBYHPWIZTILHAD-JTQLQIEISA-N -1 1 303.380 1.086 20 0 DDADMM COCc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1F ZINC000866901257 706839628 /nfs/dbraw/zinc/83/96/28/706839628.db2.gz BEZIKBKNLBHFNA-NSHDSACASA-N -1 1 319.340 1.505 20 0 DDADMM O=C([O-])CCN(C[C@H]1CCCO1)C(=O)c1ccc2cncn2c1 ZINC000908984330 712908726 /nfs/dbraw/zinc/90/87/26/712908726.db2.gz RMAHVGGNUSHQJT-CQSZACIVSA-N -1 1 317.345 1.430 20 0 DDADMM CC1(C)C[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)c2ccccc21 ZINC000866988940 706865500 /nfs/dbraw/zinc/86/55/00/706865500.db2.gz UCANUCXWVBONQB-GFCCVEGCSA-N -1 1 316.448 1.971 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](CO)CC1)c1ccc(F)nc1F ZINC000867018792 706873678 /nfs/dbraw/zinc/87/36/78/706873678.db2.gz YNSYAZBXIVEBGQ-KYZUINATSA-N -1 1 306.334 1.189 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1cncc(C)c1 ZINC000867026362 706875424 /nfs/dbraw/zinc/87/54/24/706875424.db2.gz VZCMRLVSEUCPKR-UHFFFAOYSA-N -1 1 305.425 1.232 20 0 DDADMM CO[C@@H]1CCC[C@@H]1C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867057875 706885081 /nfs/dbraw/zinc/88/50/81/706885081.db2.gz DWKXAGFLEPVKDZ-RKDXNWHRSA-N -1 1 322.789 1.968 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C(=O)c1cccc2[nH]cnc21 ZINC000909009213 712915296 /nfs/dbraw/zinc/91/52/96/712915296.db2.gz NKPKLDLQKNAZCJ-SECBINFHSA-N -1 1 305.359 1.595 20 0 DDADMM COCC(C)(C)CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000832746972 706974827 /nfs/dbraw/zinc/97/48/27/706974827.db2.gz DQTODPMRSJEEHJ-UHFFFAOYSA-N -1 1 308.407 1.811 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CC(C)(C)CC(F)(F)F ZINC000867539985 707033497 /nfs/dbraw/zinc/03/34/97/707033497.db2.gz CBGPQEDCMFZVQQ-GOSISDBHSA-N -1 1 324.390 1.917 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1ccc(F)nc1F)n1ccnc1 ZINC000867723222 707086260 /nfs/dbraw/zinc/08/62/60/707086260.db2.gz AJEKNYDYEWGAEQ-UHFFFAOYSA-N -1 1 316.333 1.270 20 0 DDADMM CC(C)(O)CO[N-]C(=O)C1(Cc2ccccc2)CCOCC1 ZINC000834630878 707096192 /nfs/dbraw/zinc/09/61/92/707096192.db2.gz FQLRUPLLUFOMDQ-UHFFFAOYSA-N -1 1 307.390 1.845 20 0 DDADMM CS(=O)(=O)CCCC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834829620 707132613 /nfs/dbraw/zinc/13/26/13/707132613.db2.gz XWXVVNAAKGFZJZ-UHFFFAOYSA-N -1 1 305.783 1.487 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC([C@H](C)O)CC3)cnc2n1 ZINC000881432048 707255512 /nfs/dbraw/zinc/25/55/12/707255512.db2.gz IBRPUZZGXRFNEZ-NSHDSACASA-N -1 1 315.373 1.877 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC([C@H](C)O)CC3)c[n-]c2n1 ZINC000881432048 707255514 /nfs/dbraw/zinc/25/55/14/707255514.db2.gz IBRPUZZGXRFNEZ-NSHDSACASA-N -1 1 315.373 1.877 20 0 DDADMM CO[C@@H]1CN(C[C@@H](O)c2cccc(Cl)c2)[C@@](C)(C(=O)[O-])C1 ZINC000872161441 707310864 /nfs/dbraw/zinc/31/08/64/707310864.db2.gz SZAFGAPQONQNHV-GZBFAFLISA-N -1 1 313.781 1.937 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc([O-])c(F)c1)C1CCOCC1 ZINC000881761505 707356795 /nfs/dbraw/zinc/35/67/95/707356795.db2.gz RITBBMWACMSUCG-ZDUSSCGKSA-N -1 1 311.309 1.229 20 0 DDADMM CC1(C)[C@H](CS(=O)(=O)[N-]CC(=O)OCC2CC2)C1(F)F ZINC000881854368 707394372 /nfs/dbraw/zinc/39/43/72/707394372.db2.gz CVQTXJRWFYSKKK-VIFPVBQESA-N -1 1 311.350 1.150 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cc(C)cc(S(C)(=O)=O)c1 ZINC000872414441 707402287 /nfs/dbraw/zinc/40/22/87/707402287.db2.gz RRHVPBRPAQDPDB-JTQLQIEISA-N -1 1 321.420 1.175 20 0 DDADMM CCC[C@H]1CCCC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000837108295 707579239 /nfs/dbraw/zinc/57/92/39/707579239.db2.gz PVQZORYFARFBOL-UONOGXRCSA-N -1 1 321.421 1.649 20 0 DDADMM CC[S@](=O)CCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872896210 707643083 /nfs/dbraw/zinc/64/30/83/707643083.db2.gz QWIRKCBTPGAKDJ-IBGZPJMESA-N -1 1 304.799 1.613 20 0 DDADMM O=C(/C=C/[C@@H]1CCOC1)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000838051941 707821682 /nfs/dbraw/zinc/82/16/82/707821682.db2.gz UDBQMXIZOQFPSL-NNNHXZLVSA-N -1 1 303.314 1.079 20 0 DDADMM Cn1[n-]c(COC(=O)C(F)(F)c2cc(F)cc(F)c2)nc1=O ZINC000873490182 707873838 /nfs/dbraw/zinc/87/38/38/707873838.db2.gz CIPBUQCJYYKTTC-UHFFFAOYSA-N -1 1 319.214 1.222 20 0 DDADMM O=C([N-]CC1CN(C(=O)NC[C@H]2C=CCC2)C1)C(F)(F)F ZINC000883845049 708071274 /nfs/dbraw/zinc/07/12/74/708071274.db2.gz YPEDAUIEELAMQY-VIFPVBQESA-N -1 1 305.300 1.273 20 0 DDADMM C[C@H]1CN(C)C(=O)CN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897503036 708354246 /nfs/dbraw/zinc/35/42/46/708354246.db2.gz SFCNVUIPHKGNHM-JTQLQIEISA-N -1 1 314.341 1.554 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CC[C@@H](C)C[C@H]1C ZINC000912540962 713032852 /nfs/dbraw/zinc/03/28/52/713032852.db2.gz ANAUULXTQBBDQR-FXPVBKGRSA-N -1 1 315.395 1.299 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000885383180 708531958 /nfs/dbraw/zinc/53/19/58/708531958.db2.gz NYFOWCSEPCKZDY-SFYZADRCSA-N -1 1 324.349 1.799 20 0 DDADMM O=C(N[C@@H](C1CC1)[C@H]1CCCOC1)C(=O)c1ccc([O-])cc1 ZINC000885484272 708556755 /nfs/dbraw/zinc/55/67/55/708556755.db2.gz RPXOCLCUXRNUBN-ZFWWWQNUSA-N -1 1 303.358 1.896 20 0 DDADMM O=S(=O)([N-]Cc1cc(C2CC2)no1)c1ccc(F)nc1F ZINC000885596826 708582294 /nfs/dbraw/zinc/58/22/94/708582294.db2.gz QSXYLYVJMCGTNI-UHFFFAOYSA-N -1 1 315.301 1.704 20 0 DDADMM CN(C)S(=O)(=O)CCC(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885676072 708594777 /nfs/dbraw/zinc/59/47/77/708594777.db2.gz IJGISDWGQHZNSY-UHFFFAOYSA-N -1 1 324.761 1.405 20 0 DDADMM CCCNC(=O)CCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264750 708731936 /nfs/dbraw/zinc/73/19/36/708731936.db2.gz KLUXYCDBSYIMJF-UHFFFAOYSA-N -1 1 314.332 1.636 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC000886268524 708732790 /nfs/dbraw/zinc/73/27/90/708732790.db2.gz SEKXSHOIVDNSGW-XXILOJSOSA-N -1 1 309.312 1.944 20 0 DDADMM CN(Cc1cc[nH]n1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927780922 713054222 /nfs/dbraw/zinc/05/42/22/713054222.db2.gz GROWRKUGLDYAFX-UHFFFAOYSA-N -1 1 310.304 1.778 20 0 DDADMM CC(C)[C@@](C)(O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927780710 713054272 /nfs/dbraw/zinc/05/42/72/713054272.db2.gz CCYZNKWFPKTPOI-HNNXBMFYSA-N -1 1 316.348 1.919 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCC[C@@H]1CO ZINC000927781334 713054643 /nfs/dbraw/zinc/05/46/43/713054643.db2.gz NKVXZNFOLXQZEU-SECBINFHSA-N -1 1 300.305 1.379 20 0 DDADMM Cn1nnc2c1C[C@H](NC(=O)c1ccc3ccccc3c1[O-])CC2 ZINC000886758572 708838592 /nfs/dbraw/zinc/83/85/92/708838592.db2.gz KUUHDKMWFFDPRC-GFCCVEGCSA-N -1 1 322.368 1.961 20 0 DDADMM CC[C@@H](C(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccc(F)cc1 ZINC000898756545 708856515 /nfs/dbraw/zinc/85/65/15/708856515.db2.gz KXBIHEYHJBPMJA-LLVKDONJSA-N -1 1 305.309 1.837 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1SCCc2sccc21 ZINC000898757883 708857149 /nfs/dbraw/zinc/85/71/49/708857149.db2.gz UEIMTPPTDVGIDK-GFCCVEGCSA-N -1 1 323.399 1.596 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2c([O-])cccc2Cl)CCS1(=O)=O ZINC000887179985 708982406 /nfs/dbraw/zinc/98/24/06/708982406.db2.gz OMZYXKOYAVZBNM-DTWKUNHWSA-N -1 1 317.794 1.741 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2cc(Cl)ccc2[O-])CCS1(=O)=O ZINC000887190483 708986501 /nfs/dbraw/zinc/98/65/01/708986501.db2.gz LRKPTCDDGGLMIU-WCBMZHEXSA-N -1 1 317.794 1.741 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)C[C@]1(C(=O)[O-])CCc2ccccc21 ZINC000887316120 709015724 /nfs/dbraw/zinc/01/57/24/709015724.db2.gz KRKLSQNNSADMNP-RDTXWAMCSA-N -1 1 316.401 1.556 20 0 DDADMM N[C@@H](Cc1cc2ccccc2o1)C(=O)N1CCCC[C@@H]1C(=O)[O-] ZINC000887395360 709035365 /nfs/dbraw/zinc/03/53/65/709035365.db2.gz BXDSGLDFODRZEA-UONOGXRCSA-N -1 1 316.357 1.768 20 0 DDADMM Cn1cc(CC2CN(C(=O)c3ncc4ccccc4c3[O-])C2)cn1 ZINC000912759069 713087088 /nfs/dbraw/zinc/08/70/88/713087088.db2.gz OEZUFRWXGVKPHG-UHFFFAOYSA-N -1 1 322.368 1.989 20 0 DDADMM COC(=O)c1ccc(CN[C@@H](C(=O)[O-])c2ccnn2C)cc1C ZINC000900040314 709274402 /nfs/dbraw/zinc/27/44/02/709274402.db2.gz HJNKEYLZVJYCMJ-CQSZACIVSA-N -1 1 317.345 1.431 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cccs1)c1nn[n-]n1 ZINC000912860285 713109485 /nfs/dbraw/zinc/10/94/85/713109485.db2.gz RCIJDKMSBDOMSN-YEZKRMTDSA-N -1 1 309.420 1.885 20 0 DDADMM CSCC[C@H](NC(=O)C=C1CCSCC1)c1nn[n-]n1 ZINC000912861916 713110501 /nfs/dbraw/zinc/11/05/01/713110501.db2.gz BVSXEQUDCPEUOS-JTQLQIEISA-N -1 1 313.452 1.564 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1CCc2cccnc21)c1nn[n-]n1 ZINC000912862701 713110994 /nfs/dbraw/zinc/11/09/94/713110994.db2.gz VOCHIWCCERDWBV-QWRGUYRKSA-N -1 1 318.406 1.235 20 0 DDADMM CSCC[C@H](NC(=O)C[C@@H](C)[C@H]1CCCO1)c1nn[n-]n1 ZINC000912863498 713111807 /nfs/dbraw/zinc/11/18/07/713111807.db2.gz ZSRQCEULRQKASB-OUAUKWLOSA-N -1 1 313.427 1.315 20 0 DDADMM CSCC[C@H](NC(=O)C[C@H](C)[C@@H]1CCCO1)c1nn[n-]n1 ZINC000912863496 713111971 /nfs/dbraw/zinc/11/19/71/713111971.db2.gz ZSRQCEULRQKASB-DCAQKATOSA-N -1 1 313.427 1.315 20 0 DDADMM COc1ccc(N(C)C(=O)c2cnc(C3CC3)[n-]c2=O)cn1 ZINC000900387341 709567204 /nfs/dbraw/zinc/56/72/04/709567204.db2.gz WKSQBJNSBPDAKR-UHFFFAOYSA-N -1 1 300.318 1.740 20 0 DDADMM O=C(c1cccc(F)c1O)N1CC[C@H]2[C@H]1CCC[N@H+]2CCO ZINC000889739323 709601594 /nfs/dbraw/zinc/60/15/94/709601594.db2.gz IRMAPRRFJKKSHH-UONOGXRCSA-N -1 1 308.353 1.203 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@@H](C(=O)[O-])[C@H]1C ZINC000909806206 709630749 /nfs/dbraw/zinc/63/07/49/709630749.db2.gz LYIBEBXPCLSJHV-RNFRBKRXSA-N -1 1 305.256 1.672 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cnccc2C)[n-]c1=O ZINC000889791951 709638192 /nfs/dbraw/zinc/63/81/92/709638192.db2.gz PRVWVVWIWVKTKR-LBPRGKRZSA-N -1 1 314.345 1.872 20 0 DDADMM C[C@@H](C(=O)[O-])c1cccc(NC(=O)[C@@H](C)CN2CCOCC2)c1 ZINC000909833732 709644618 /nfs/dbraw/zinc/64/46/18/709644618.db2.gz JLBZIYAOUIXPHH-QWHCGFSZSA-N -1 1 320.389 1.782 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)Nc1ccc([C@H](C)C(=O)[O-])cc1 ZINC000909842451 709648463 /nfs/dbraw/zinc/64/84/63/709648463.db2.gz ZENJPIWADDSDQM-STQMWFEESA-N -1 1 320.389 1.782 20 0 DDADMM O=C(OC[C@@H]1CNC(=O)O1)c1cc(Cl)cc(Cl)c1[O-] ZINC000909860721 709656636 /nfs/dbraw/zinc/65/66/36/709656636.db2.gz SSBVCTNNDXBFEJ-LURJTMIESA-N -1 1 306.101 1.964 20 0 DDADMM CC(C)(CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)CC(F)F ZINC000900627071 709685050 /nfs/dbraw/zinc/68/50/50/709685050.db2.gz WOJBJPFTJLZZBZ-MRVPVSSYSA-N -1 1 303.313 1.171 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC[C@H]1CCC2(CCCC2)O1 ZINC000909918126 709688501 /nfs/dbraw/zinc/68/85/01/709688501.db2.gz MRGYITDXAAGVBM-UONOGXRCSA-N -1 1 324.421 1.391 20 0 DDADMM CS(=O)(=O)N1CCC[C@H]1C(=O)Nc1cc([O-])c(F)cc1F ZINC000909974518 709714925 /nfs/dbraw/zinc/71/49/25/709714925.db2.gz IIELRLBBDLTPHI-JTQLQIEISA-N -1 1 320.317 1.033 20 0 DDADMM COC(=O)CSCCC(=O)Nc1cc([O-])c(F)cc1F ZINC000909978234 709716107 /nfs/dbraw/zinc/71/61/07/709716107.db2.gz TWMALYHPYMFSIH-UHFFFAOYSA-N -1 1 305.302 1.905 20 0 DDADMM O=C([O-])[C@@H]1CCCCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000910043660 709749245 /nfs/dbraw/zinc/74/92/45/709749245.db2.gz HDJYDSDRSGGWIU-ZDUSSCGKSA-N -1 1 314.345 1.941 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@]1(Cc1ccccc1)C(=O)[O-])N(C)C ZINC000910098901 709772056 /nfs/dbraw/zinc/77/20/56/709772056.db2.gz BMUYJAWYXUMVKP-CXAGYDPISA-N -1 1 304.390 1.625 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC[C@H](O)C3CC3)cnc2n1 ZINC000900838609 709785651 /nfs/dbraw/zinc/78/56/51/709785651.db2.gz NAKNCBWTVFHLFA-ZDUSSCGKSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCC[C@H](O)C3CC3)c[n-]c2n1 ZINC000900838609 709785653 /nfs/dbraw/zinc/78/56/53/709785653.db2.gz NAKNCBWTVFHLFA-ZDUSSCGKSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1cc(C)nc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000910175626 709798094 /nfs/dbraw/zinc/79/80/94/709798094.db2.gz QDLRLWSQKBKUGS-ZDUSSCGKSA-N -1 1 305.378 1.319 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)CC[S@@](C)=O)cc(Cl)c1[O-] ZINC000900885694 709810534 /nfs/dbraw/zinc/81/05/34/709810534.db2.gz BPMGJNFWOSVPEQ-FFVOIRBGSA-N -1 1 319.810 1.941 20 0 DDADMM CC1CCN(CC(=O)N[C@@H](C[C@@H]2CCCOC2)C(=O)[O-])CC1 ZINC000910239805 709833715 /nfs/dbraw/zinc/83/37/15/709833715.db2.gz DWGMEYXMMMIVTA-KBPBESRZSA-N -1 1 312.410 1.104 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCCCc2cn[nH]n2)[C@H]2CCC[C@H]21 ZINC000910246955 709838361 /nfs/dbraw/zinc/83/83/61/709838361.db2.gz PGVCQAGOWRCWLA-MJBXVCDLSA-N -1 1 320.393 1.619 20 0 DDADMM CC1CCN(CC(=O)N2CC[C@H](C(=O)[O-])[C@@H]3CCC[C@@H]32)CC1 ZINC000910249288 709840141 /nfs/dbraw/zinc/84/01/41/709840141.db2.gz XHPRWPNRKTZTSU-KKUMJFAQSA-N -1 1 308.422 1.820 20 0 DDADMM Cc1nc(N2CCN(CC(C)(C)O)[C@@H](C)C2)sc1C(=O)[O-] ZINC000900950762 709844464 /nfs/dbraw/zinc/84/44/64/709844464.db2.gz GTQYPCFJPYQGDS-VIFPVBQESA-N -1 1 313.423 1.431 20 0 DDADMM C[C@@]1(C(=O)[O-])CN(C(=O)c2cc(-c3ccccc3)[nH]n2)CCO1 ZINC000910314484 709884957 /nfs/dbraw/zinc/88/49/57/709884957.db2.gz BNEPWGGBIGKXMM-INIZCTEOSA-N -1 1 315.329 1.392 20 0 DDADMM CN(C)Cc1cc(CNc2cc(C(=O)[O-])ncn2)ccc1F ZINC000910358717 709908409 /nfs/dbraw/zinc/90/84/09/709908409.db2.gz ZEHDUMBZAFTRHF-UHFFFAOYSA-N -1 1 304.325 1.988 20 0 DDADMM Cc1nc(N[C@@H]2CCCN3CCSC[C@H]23)ncc1C(=O)[O-] ZINC000910387574 709919037 /nfs/dbraw/zinc/91/90/37/709919037.db2.gz WVDPOZAQECRVKG-VXGBXAGGSA-N -1 1 308.407 1.475 20 0 DDADMM C[C@]1(C2CC2)COCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000890588469 709922051 /nfs/dbraw/zinc/92/20/51/709922051.db2.gz FHCCYLJVMJMXER-MRXNPFEDSA-N -1 1 303.362 1.701 20 0 DDADMM CNC(=O)C(C)(C)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901151091 709948310 /nfs/dbraw/zinc/94/83/10/709948310.db2.gz DUZWWLOTHVFNBF-UHFFFAOYSA-N -1 1 319.336 1.582 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC[C@@H]1CCC2(CCC2)CO1 ZINC000910542280 709991490 /nfs/dbraw/zinc/99/14/90/709991490.db2.gz KNNLTYBVXQXACA-KBPBESRZSA-N -1 1 324.421 1.249 20 0 DDADMM CN1CCN(Cc2cn(-c3ccccc3)nc2C(=O)[O-])CC1 ZINC000901415706 710033375 /nfs/dbraw/zinc/03/33/75/710033375.db2.gz OCRNJOBTQPFCRK-UHFFFAOYSA-N -1 1 300.362 1.318 20 0 DDADMM Cc1sc([C@@H]2CCCN2C(=O)c2cnc[nH]2)nc1C(=O)[O-] ZINC000910724799 710056690 /nfs/dbraw/zinc/05/66/90/710056690.db2.gz UMFKPQPNPXWXLW-VIFPVBQESA-N -1 1 306.347 1.850 20 0 DDADMM O=C([O-])c1cn([C@H]2CCCN(Cc3cccc(O)c3)C2)nn1 ZINC000901527140 710066547 /nfs/dbraw/zinc/06/65/47/710066547.db2.gz WDSDIASBJUXTAJ-LBPRGKRZSA-N -1 1 302.334 1.519 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1COC[C@@H]1C1CC1 ZINC000891057166 710074265 /nfs/dbraw/zinc/07/42/65/710074265.db2.gz NMNMVOIZWUDUMI-NEPJUHHUSA-N -1 1 319.405 1.943 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)Cc2ccccc2C(=O)[O-])n[nH]1 ZINC000901610068 710094448 /nfs/dbraw/zinc/09/44/48/710094448.db2.gz INCQHJBCMKMMAW-JTQLQIEISA-N -1 1 301.346 1.706 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CC[C@H]3[C@@H](C2)[C@H]3C(=O)[O-])C1 ZINC000910852195 710095338 /nfs/dbraw/zinc/09/53/38/710095338.db2.gz PCRVARVICFDQKO-GIVNFFOOSA-N -1 1 303.362 1.255 20 0 DDADMM O=C([O-])[C@H]1C[C@@H](NCc2ncc(Br)cc2F)C1 ZINC000901614734 710096237 /nfs/dbraw/zinc/09/62/37/710096237.db2.gz JASNTOZAOSFZBB-WRXNHJIOSA-N -1 1 303.131 1.936 20 0 DDADMM Cc1cc(CC(=O)N[C@H](Cc2ccc(F)cc2)C(=O)[O-])[nH]n1 ZINC000910905653 710113756 /nfs/dbraw/zinc/11/37/56/710113756.db2.gz UHZINKWECSGJCI-CYBMUJFWSA-N -1 1 305.309 1.212 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](Cc2ccc(F)cc2)C(=O)[O-])c1C ZINC000910905311 710113768 /nfs/dbraw/zinc/11/37/68/710113768.db2.gz NMJCOXJJHDLQBS-GFCCVEGCSA-N -1 1 305.309 1.591 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CC[C@H](C)[C@@H](C(=O)[O-])C2)C1 ZINC000901696796 710122431 /nfs/dbraw/zinc/12/24/31/710122431.db2.gz AAXJSCJQKXSSTE-DNIRFERGSA-N -1 1 305.378 1.645 20 0 DDADMM CN(Cc1cnn2c1CCC2)Cc1ccc(OCC(=O)[O-])cc1 ZINC000901833244 710156707 /nfs/dbraw/zinc/15/67/07/710156707.db2.gz WZVPQYRLSZAOPP-UHFFFAOYSA-N -1 1 315.373 1.925 20 0 DDADMM CCn1ncc(CN2C[C@H](C(=O)[O-])[C@H](c3ccccc3)C2)n1 ZINC000901886825 710165671 /nfs/dbraw/zinc/16/56/71/710165671.db2.gz BGUKZWJFYBWGSC-GJZGRUSLSA-N -1 1 300.362 1.598 20 0 DDADMM Cc1ccccc1-n1cc(CN2CC[C@@](O)(C(=O)[O-])C2)cn1 ZINC000901904342 710170011 /nfs/dbraw/zinc/17/00/11/710170011.db2.gz WOUNSUMIRFPMNA-INIZCTEOSA-N -1 1 301.346 1.202 20 0 DDADMM CN(C)c1ncc(CN2Cc3ccccc3C[C@@H]2C(=O)[O-])n1C ZINC000901976351 710190771 /nfs/dbraw/zinc/19/07/71/710190771.db2.gz VBYFPZPONFIYAT-OAHLLOKOSA-N -1 1 314.389 1.498 20 0 DDADMM CCOC(=O)[C@@H](CSC)NC(=O)c1c(F)ccc([O-])c1F ZINC000928286354 713163888 /nfs/dbraw/zinc/16/38/88/713163888.db2.gz KNTBRFHWFYVUSQ-MRVPVSSYSA-N -1 1 319.329 1.695 20 0 DDADMM COCc1nc(N2CCC(c3nc(C)no3)CC2)cc(=O)[n-]1 ZINC000891947072 710334874 /nfs/dbraw/zinc/33/48/74/710334874.db2.gz AVXCMZXBBKSTKY-UHFFFAOYSA-N -1 1 305.338 1.404 20 0 DDADMM Cn1nccc1[C@H]1CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000928377321 713180843 /nfs/dbraw/zinc/18/08/43/713180843.db2.gz DLOMDIJLEIAMIA-CYBMUJFWSA-N -1 1 313.361 1.770 20 0 DDADMM COCc1nc(NCCC(=O)Nc2ccccc2)cc(=O)[n-]1 ZINC000892517803 710450665 /nfs/dbraw/zinc/45/06/65/710450665.db2.gz UEIXABKXYZVCOL-UHFFFAOYSA-N -1 1 302.334 1.769 20 0 DDADMM CNC(=O)[C@H](CO)[N-]c1nc2cc(Br)ccc2o1 ZINC000893183159 710567826 /nfs/dbraw/zinc/56/78/26/710567826.db2.gz OKRRHPJPKTVCLV-QMMMGPOBSA-N -1 1 314.139 1.109 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC000911044486 710608175 /nfs/dbraw/zinc/60/81/75/710608175.db2.gz DVKFJPJQILHJPZ-GHMZBOCLSA-N -1 1 323.418 1.642 20 0 DDADMM C[C@@H](C(=O)N[C@@H](CC(=O)[O-])C(F)(F)F)N1CCCCCC1 ZINC000911156417 710660214 /nfs/dbraw/zinc/66/02/14/710660214.db2.gz GTBRXOPANIJUJL-UWVGGRQHSA-N -1 1 310.316 1.773 20 0 DDADMM COc1cccc2c1OC[C@@H](NCc1cc(C(=O)[O-])no1)C2 ZINC000902224537 710670621 /nfs/dbraw/zinc/67/06/21/710670621.db2.gz YAIMMDCLRRWLNO-JTQLQIEISA-N -1 1 304.302 1.475 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CC2(C1)CNC(=O)O2 ZINC000911200904 710681785 /nfs/dbraw/zinc/68/17/85/710681785.db2.gz DWUJMVGISTZJML-UHFFFAOYSA-N -1 1 317.276 1.014 20 0 DDADMM CC(C)N1CC[C@H](NCc2ccc(O[C@@H](C)C(=O)[O-])cc2)C1=O ZINC000902257378 710684882 /nfs/dbraw/zinc/68/48/82/710684882.db2.gz VBPLPBSVJNNTJR-WFASDCNBSA-N -1 1 320.389 1.637 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC000902324107 710717139 /nfs/dbraw/zinc/71/71/39/710717139.db2.gz ZZEPAHWVSGRVBK-HICWGWBUSA-N -1 1 321.377 1.025 20 0 DDADMM O=C([O-])CCN(Cc1cccnc1)C(=O)c1cc(C(F)F)[nH]n1 ZINC000911272064 710720414 /nfs/dbraw/zinc/72/04/14/710720414.db2.gz GJPDOVYRIAZKIQ-UHFFFAOYSA-N -1 1 324.287 1.859 20 0 DDADMM O=C([O-])Cn1cc(CNC2(Cc3cccc(F)c3)CCC2)nn1 ZINC000902443336 710756005 /nfs/dbraw/zinc/75/60/05/710756005.db2.gz PVXSPEAJVUYRJB-UHFFFAOYSA-N -1 1 318.352 1.757 20 0 DDADMM CCCCNC(=O)[C@@H]1CC[C@H](C)N(Cc2cc(C(=O)[O-])no2)C1 ZINC000902513402 710776356 /nfs/dbraw/zinc/77/63/56/710776356.db2.gz WLKPGKUKLBDBGZ-NWDGAFQWSA-N -1 1 323.393 1.890 20 0 DDADMM CN(C(=O)CN1CCC[C@@H](C(=O)[O-])C1)[C@@H](CO)CC(C)(C)C ZINC000911754315 710966717 /nfs/dbraw/zinc/96/67/17/710966717.db2.gz IBGVACGQGQODEW-CHWSQXEVSA-N -1 1 314.426 1.039 20 0 DDADMM CC(C)c1n[nH]c(CC2CCN(C(=O)C3(C(=O)[O-])CC3)CC2)n1 ZINC000911775613 710978448 /nfs/dbraw/zinc/97/84/48/710978448.db2.gz GIXWCFXIULPSBT-UHFFFAOYSA-N -1 1 320.393 1.574 20 0 DDADMM CC(C)c1nnc(CC2CCN(C(=O)C3(C(=O)[O-])CC3)CC2)[nH]1 ZINC000911775613 710978451 /nfs/dbraw/zinc/97/84/51/710978451.db2.gz GIXWCFXIULPSBT-UHFFFAOYSA-N -1 1 320.393 1.574 20 0 DDADMM Cc1nc([C@H]2CCN(C(=O)[C@@H]3CCCC[C@@H]3C(=O)[O-])C2)n[nH]1 ZINC000911775238 710978688 /nfs/dbraw/zinc/97/86/88/710978688.db2.gz VLCZUAINYKWZCW-TUAOUCFPSA-N -1 1 306.366 1.320 20 0 DDADMM COCc1nc(N[C@@H]2CCO[C@H](c3nccn3C)C2)cc(=O)[n-]1 ZINC000894129686 710979744 /nfs/dbraw/zinc/97/97/44/710979744.db2.gz UDRJXZFKNZSVQH-MNOVXSKESA-N -1 1 319.365 1.394 20 0 DDADMM Cn1cc([C@@H]2CN(C(=O)c3cc(F)ccc3[O-])CCN2)cn1 ZINC000913464458 713227714 /nfs/dbraw/zinc/22/77/14/713227714.db2.gz UFGFUSJEBLDTLQ-ZDUSSCGKSA-N -1 1 304.325 1.052 20 0 DDADMM CC(C)(CO)[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC000911976077 711099504 /nfs/dbraw/zinc/09/95/04/711099504.db2.gz WFLOUNCLLAQAJJ-GFCCVEGCSA-N -1 1 305.378 1.587 20 0 DDADMM CN1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C[C@H]1C(C)(C)C ZINC000912032744 711135502 /nfs/dbraw/zinc/13/55/02/711135502.db2.gz LVLJPFYUSNTQSG-LBPRGKRZSA-N -1 1 318.377 1.731 20 0 DDADMM COCc1nc(N[C@@H]2CCC[C@@H]2Cc2cnn(C)c2)cc(=O)[n-]1 ZINC000894573371 711188688 /nfs/dbraw/zinc/18/86/88/711188688.db2.gz XWERZQGUYNKIGR-CHWSQXEVSA-N -1 1 317.393 1.885 20 0 DDADMM O=C(C1[C@@H]2CCCCCC[C@@H]12)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913500449 713240029 /nfs/dbraw/zinc/24/00/29/713240029.db2.gz XREXCPUKTVMQOZ-UTUOFQBUSA-N -1 1 305.382 1.316 20 0 DDADMM O=C(N[C@H]([C@H]1CCCO1)C1(CO)CCC1)c1cncc([O-])c1 ZINC000912219191 711228278 /nfs/dbraw/zinc/22/82/78/711228278.db2.gz SISNABPYTZDCNR-ZIAGYGMSSA-N -1 1 306.362 1.227 20 0 DDADMM Cc1cc2ccccc2nc1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494370 713237375 /nfs/dbraw/zinc/23/73/75/713237375.db2.gz XPVVBSFMGVOMEQ-CYBMUJFWSA-N -1 1 324.344 1.270 20 0 DDADMM O=C(c1ccc(F)c(Cl)c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494452 713237410 /nfs/dbraw/zinc/23/74/10/713237410.db2.gz ALYWLDFRLARHQM-SNVBAGLBSA-N -1 1 311.704 1.206 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=Cc2ccccc2)n[n-]1 ZINC000912364744 711293218 /nfs/dbraw/zinc/29/32/18/711293218.db2.gz ZLMNLSKSLWTDJP-JUDLJHIGSA-N -1 1 314.345 1.872 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=Cc2ccccc2)[n-]1 ZINC000912364744 711293220 /nfs/dbraw/zinc/29/32/20/711293220.db2.gz ZLMNLSKSLWTDJP-JUDLJHIGSA-N -1 1 314.345 1.872 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C=Cc2ccccc2)n1 ZINC000912364744 711293224 /nfs/dbraw/zinc/29/32/24/711293224.db2.gz ZLMNLSKSLWTDJP-JUDLJHIGSA-N -1 1 314.345 1.872 20 0 DDADMM Cn1cc(CCCN2CCOc3c(cccc3C(=O)[O-])C2)cn1 ZINC000903921453 711332879 /nfs/dbraw/zinc/33/28/79/711332879.db2.gz NLNXZIHVWNVJFA-UHFFFAOYSA-N -1 1 315.373 1.946 20 0 DDADMM CN(C)Cc1csc(CN[C@H]2C[C@H](NC(=O)[O-])C23CCC3)n1 ZINC000904258324 711419571 /nfs/dbraw/zinc/41/95/71/711419571.db2.gz LMPNHIZHMANCEF-RYUDHWBXSA-N -1 1 324.450 1.873 20 0 DDADMM CN1CCN(C(=O)c2ccc3ccccc3c2[O-])C[C@H]1CO ZINC000913554011 713257569 /nfs/dbraw/zinc/25/75/69/713257569.db2.gz WRSYSBMCMIOEPI-ZDUSSCGKSA-N -1 1 300.358 1.294 20 0 DDADMM C[C@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C(=O)N(C)C ZINC000895734943 711590212 /nfs/dbraw/zinc/59/02/12/711590212.db2.gz MABCTYIMPDQLJL-VIFPVBQESA-N -1 1 302.330 1.458 20 0 DDADMM C[C@@H](NC(=O)c1cccc(Cl)c1[O-])[C@H]1CN(C)CCN1C ZINC000896549380 711747866 /nfs/dbraw/zinc/74/78/66/711747866.db2.gz NUYASLCMPWSURR-ZWNOBZJWSA-N -1 1 311.813 1.410 20 0 DDADMM CCc1nn(C)c(Cl)c1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744456 713292727 /nfs/dbraw/zinc/29/27/27/713292727.db2.gz PDUVCXOFPUKUDG-UHFFFAOYSA-N -1 1 323.788 1.169 20 0 DDADMM Cc1ccc(CC(=O)N2CCC(c3nn[n-]n3)CC2)cc1O ZINC000913744398 713293025 /nfs/dbraw/zinc/29/30/25/713293025.db2.gz MKTRNKLELMLMRZ-UHFFFAOYSA-N -1 1 301.350 1.162 20 0 DDADMM CC(C)[C@@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1cccnc1 ZINC000913744900 713293167 /nfs/dbraw/zinc/29/31/67/713293167.db2.gz JMHHQADUYDYOTF-CQSZACIVSA-N -1 1 314.393 1.741 20 0 DDADMM CC[C@H](CNC(=O)[C@@H](N)c1cccc(C(F)(F)F)c1)C(=O)[O-] ZINC000905288648 711993681 /nfs/dbraw/zinc/99/36/81/711993681.db2.gz DBAPNKPPAKZTAT-KCJUWKMLSA-N -1 1 318.295 1.932 20 0 DDADMM CCNc1ccc(C)cc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913746846 713294190 /nfs/dbraw/zinc/29/41/90/713294190.db2.gz JAPPNAYWDFERAO-UHFFFAOYSA-N -1 1 314.393 1.960 20 0 DDADMM O=C([O-])c1cc(CNC[C@@H]2CCC[C@H](C(F)(F)F)O2)on1 ZINC000905731215 712133866 /nfs/dbraw/zinc/13/38/66/712133866.db2.gz SAXLRHQVYSQYMV-OIBJUYFYSA-N -1 1 308.256 1.962 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@@H]2NCc1cnc2cnccn12 ZINC000905793036 712147857 /nfs/dbraw/zinc/14/78/57/712147857.db2.gz DWDJHDSGPPXYIQ-NSHDSACASA-N -1 1 312.333 1.249 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477611 712582758 /nfs/dbraw/zinc/58/27/58/712582758.db2.gz GAJXAAZQWAIECA-GKQMSVHHSA-N -1 1 322.419 1.295 20 0 DDADMM CCCc1occc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480582 712583993 /nfs/dbraw/zinc/58/39/93/712583993.db2.gz UPRSTZDERRRBLX-JTQLQIEISA-N -1 1 307.379 1.676 20 0 DDADMM CSc1cccnc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481784 712584142 /nfs/dbraw/zinc/58/41/42/712584142.db2.gz YUJKVOAVKVSHGH-QMMMGPOBSA-N -1 1 322.419 1.247 20 0 DDADMM COC(=O)[C@H](C)N(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CCC1 ZINC000907693610 712614656 /nfs/dbraw/zinc/61/46/56/712614656.db2.gz HDSWJBADEOXXNS-VIFPVBQESA-N -1 1 319.361 1.616 20 0 DDADMM CC(C)C1(O)CN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000907760724 712623089 /nfs/dbraw/zinc/62/30/89/712623089.db2.gz MAYNANAOXQSQDW-UHFFFAOYSA-N -1 1 304.321 1.922 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CC(O)C2)c1cc2cc(F)ccc2o1 ZINC000907785778 712626118 /nfs/dbraw/zinc/62/61/18/712626118.db2.gz PNULBMHYLGQZKE-KHJSKFAYSA-N -1 1 311.334 1.764 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC[C@H](O)C2CC2)c(F)c1 ZINC000908302783 712733403 /nfs/dbraw/zinc/73/34/03/712733403.db2.gz JPJNTAURVAZSCY-LBPRGKRZSA-N -1 1 321.345 1.413 20 0 DDADMM CNC(=O)C1(C(=O)Nc2cccc([O-])c2Br)CC1 ZINC000908716694 712840305 /nfs/dbraw/zinc/84/03/05/712840305.db2.gz KXSQFOZWOXAKLX-UHFFFAOYSA-N -1 1 313.151 1.619 20 0 DDADMM O=C(C[C@@H]1CCNC1=O)Nc1cccc([O-])c1Br ZINC000908718369 712840485 /nfs/dbraw/zinc/84/04/85/712840485.db2.gz VXFXRAPPPYMIFN-ZETCQYMHSA-N -1 1 313.151 1.619 20 0 DDADMM COCCN(CC(=O)[O-])C(=O)c1ccc(CN2CCCCC2)o1 ZINC000908848494 712868455 /nfs/dbraw/zinc/86/84/55/712868455.db2.gz FSJMPSBQNBNQLF-UHFFFAOYSA-N -1 1 324.377 1.439 20 0 DDADMM O=C(NCc1nc(C2CC2)no1)c1nc2ccccc2c(=O)[n-]1 ZINC000917998627 713524031 /nfs/dbraw/zinc/52/40/31/713524031.db2.gz SBTCYUXMTBDFQX-UHFFFAOYSA-N -1 1 311.301 1.114 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)C[C@H]1CCCCO1 ZINC000918056433 713527324 /nfs/dbraw/zinc/52/73/24/713527324.db2.gz RKKXBXQVRWFGSU-CHWSQXEVSA-N -1 1 321.439 1.407 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@H]1CCCCC1(OC)OC)C(C)C ZINC000918061172 713527770 /nfs/dbraw/zinc/52/77/70/713527770.db2.gz NCXYOZNGWABPPF-STQMWFEESA-N -1 1 323.455 1.509 20 0 DDADMM COC(=O)N1CCN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CC1 ZINC000929298947 713585687 /nfs/dbraw/zinc/58/56/87/713585687.db2.gz UOKZBSIGRUXXOH-GFCCVEGCSA-N -1 1 306.362 1.595 20 0 DDADMM COc1ccc(NC(=O)[C@@H]2C[C@H]2OC)cc1[N-]S(C)(=O)=O ZINC000929854911 713707155 /nfs/dbraw/zinc/70/71/55/713707155.db2.gz XDMBEGLJCKMAEQ-BXKDBHETSA-N -1 1 314.363 1.040 20 0 DDADMM COC(C)(C)[C@@H](C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000921424404 713766645 /nfs/dbraw/zinc/76/66/45/713766645.db2.gz IJISECYLBVHFLA-ZCFIWIBFSA-N -1 1 315.317 1.520 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@H]1C(F)(F)F)c1c[nH]nc1Cl ZINC000921617162 713823200 /nfs/dbraw/zinc/82/32/00/713823200.db2.gz QJSBFCUJVNSIKA-CRCLSJGQSA-N -1 1 303.693 1.540 20 0 DDADMM Cc1cc(CNC(=O)NCCc2c(F)cc([O-])cc2F)ncn1 ZINC000921663473 713835036 /nfs/dbraw/zinc/83/50/36/713835036.db2.gz VVSYTQUVURZYTI-UHFFFAOYSA-N -1 1 322.315 1.811 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H](C1CC1)[C@H]1CCCOC1 ZINC000921849438 713885470 /nfs/dbraw/zinc/88/54/70/713885470.db2.gz KOEQKXMWTIYORS-CRTZDJKQSA-N -1 1 324.468 1.144 20 0 DDADMM O=S(=O)([N-]CCO[C@H]1CCOC1)c1cc(Cl)ccc1F ZINC000921883328 713896334 /nfs/dbraw/zinc/89/63/34/713896334.db2.gz JKIBVMUQLIEKSR-JTQLQIEISA-N -1 1 323.773 1.563 20 0 DDADMM O=S(=O)([N-]Cc1nc2c(s1)COCC2)c1ccns1 ZINC000921917930 713907719 /nfs/dbraw/zinc/90/77/19/713907719.db2.gz UENSESXLYMOZQS-UHFFFAOYSA-N -1 1 317.417 1.151 20 0 DDADMM C[C@H]1OCC[C@H]1S(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921965773 713922073 /nfs/dbraw/zinc/92/20/73/713922073.db2.gz QDELLYWALKVZPQ-AMIZOPFISA-N -1 1 321.345 1.310 20 0 DDADMM CN(C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H]1CCOC1 ZINC000932042411 714244802 /nfs/dbraw/zinc/24/48/02/714244802.db2.gz OODAHHGPHBGTSY-CYBMUJFWSA-N -1 1 302.334 1.831 20 0 DDADMM COCCN(CC(=O)[O-])C[C@@H](O)Cc1ccc2ccccc2c1 ZINC000923223801 714277209 /nfs/dbraw/zinc/27/72/09/714277209.db2.gz IKPGJFGBVXXROA-KRWDZBQOSA-N -1 1 317.385 1.776 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cnc(CCC(F)(F)F)s1 ZINC000932532005 714356929 /nfs/dbraw/zinc/35/69/29/714356929.db2.gz AMCLXOOBVHWILV-UHFFFAOYSA-N -1 1 306.273 1.081 20 0 DDADMM CC[C@]1(C(=O)[O-])CCCN([C@H](C(N)=O)c2ccc(F)cc2)C1 ZINC000923467276 714365911 /nfs/dbraw/zinc/36/59/11/714365911.db2.gz QWSSKTQBTQMGNH-BBRMVZONSA-N -1 1 308.353 1.929 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1CC2(CCC2)CO1 ZINC000924117574 714530651 /nfs/dbraw/zinc/53/06/51/714530651.db2.gz YNFYTZZGADMUFW-JTQLQIEISA-N -1 1 323.418 1.902 20 0 DDADMM CCCCOC(=O)CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934276181 714784162 /nfs/dbraw/zinc/78/41/62/714784162.db2.gz DYMRCEHKQDTFGJ-NSHDSACASA-N -1 1 309.366 1.671 20 0 DDADMM COc1cnc([C@H]2CCCN2CCOCC(F)(F)F)[n-]c1=O ZINC000934278568 714785218 /nfs/dbraw/zinc/78/52/18/714785218.db2.gz UAFCRWQDNWXOOM-SECBINFHSA-N -1 1 321.299 1.907 20 0 DDADMM CCCc1noc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)n1 ZINC000934280006 714785370 /nfs/dbraw/zinc/78/53/70/714785370.db2.gz KAIHUMZTCVTFMG-JTQLQIEISA-N -1 1 319.365 1.863 20 0 DDADMM O=C1N[C@H](CC(F)F)C(=O)N1CCc1c(F)cc([O-])cc1F ZINC000925463742 714864757 /nfs/dbraw/zinc/86/47/57/714864757.db2.gz SISNNISEHWMIAT-SNVBAGLBSA-N -1 1 320.242 1.789 20 0 DDADMM O=C(c1ccnn1C1CCC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000935457723 715060557 /nfs/dbraw/zinc/06/05/57/715060557.db2.gz XXADFYLJLSJFCF-NSHDSACASA-N -1 1 319.394 1.052 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937038765 715270048 /nfs/dbraw/zinc/27/00/48/715270048.db2.gz WFDDJKUZLYJHOJ-DGCLKSJQSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(CC1CC1)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937160094 715286422 /nfs/dbraw/zinc/28/64/22/715286422.db2.gz XFPYBPJABRHYSQ-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM CN(C(=O)c1ccco1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937210269 715292732 /nfs/dbraw/zinc/29/27/32/715292732.db2.gz AWNGYTGMNWXRBM-NSHDSACASA-N -1 1 315.329 1.367 20 0 DDADMM CN(C(=O)c1ccc[nH]1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211215 715292893 /nfs/dbraw/zinc/29/28/93/715292893.db2.gz IZYLZWHIVZINMJ-LLVKDONJSA-N -1 1 314.345 1.102 20 0 DDADMM CC(C)(C)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956214973 715360215 /nfs/dbraw/zinc/36/02/15/715360215.db2.gz LFASAQZSIYNAST-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H]1C[C@H]1C(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956342897 715411782 /nfs/dbraw/zinc/41/17/82/715411782.db2.gz AHPIUCDLHICANT-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849093 715635844 /nfs/dbraw/zinc/63/58/44/715635844.db2.gz KVPQKBSZBXWLFL-MRXNPFEDSA-N -1 1 321.352 1.771 20 0 DDADMM CC(=O)N1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1C ZINC000955462371 715794542 /nfs/dbraw/zinc/79/45/42/715794542.db2.gz WQISECUZGGGOSY-JOYOIKCWSA-N -1 1 318.377 1.189 20 0 DDADMM CC(C)CC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000959800885 716294304 /nfs/dbraw/zinc/29/43/04/716294304.db2.gz CZWRNHQFQAYQDB-BETUJISGSA-N -1 1 317.389 1.364 20 0 DDADMM Cc1cc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)co1 ZINC000940163917 716658431 /nfs/dbraw/zinc/65/84/31/716658431.db2.gz VVPLKWRJCZDVJY-GFCCVEGCSA-N -1 1 315.329 1.333 20 0 DDADMM CCCC(=O)N1CCC[C@@H]([C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC000961099016 716804719 /nfs/dbraw/zinc/80/47/19/716804719.db2.gz OACXWOHQUGRYOR-OLZOCXBDSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)F)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959341296 716972793 /nfs/dbraw/zinc/97/27/93/716972793.db2.gz KJBXMRWYFBAUIC-GHMZBOCLSA-N -1 1 323.368 1.360 20 0 DDADMM CCCC(=O)N1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962946692 717636005 /nfs/dbraw/zinc/63/60/05/717636005.db2.gz REXHWFHHDAFBMK-QWHCGFSZSA-N -1 1 317.389 1.793 20 0 DDADMM CCC(=O)N1CCC2(C[C@@H]2NC(=O)c2ncccc2[O-])CC1 ZINC000963686518 717879852 /nfs/dbraw/zinc/87/98/52/717879852.db2.gz ZCZRRGWPDOIJPT-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943522271 718136547 /nfs/dbraw/zinc/13/65/47/718136547.db2.gz AOGLEJAYMVXHIH-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943877299 718213901 /nfs/dbraw/zinc/21/39/01/718213901.db2.gz KHMBQMYASAXPQV-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM CC[C@H](F)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944201363 718270251 /nfs/dbraw/zinc/27/02/51/718270251.db2.gz QBHNKUDMLHKAAW-MNOVXSKESA-N -1 1 309.341 1.256 20 0 DDADMM CCC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC000945933571 718617944 /nfs/dbraw/zinc/61/79/44/718617944.db2.gz XCKXTYRBSURIEN-VXGBXAGGSA-N -1 1 303.362 1.260 20 0 DDADMM CC1(C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)CCC1 ZINC000946538519 718823552 /nfs/dbraw/zinc/82/35/52/718823552.db2.gz FVXIAURJVWJDIE-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1CCCC1 ZINC000948629795 719601163 /nfs/dbraw/zinc/60/11/63/719601163.db2.gz AVKZNXUYFHMJNQ-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)C2CN(Cc3ccsc3)C2)c1[O-] ZINC000969500696 720162542 /nfs/dbraw/zinc/16/25/42/720162542.db2.gz UZFJYKAUHHYBKD-SECBINFHSA-N -1 1 320.418 1.736 20 0 DDADMM CC1CC(C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000950375471 720603185 /nfs/dbraw/zinc/60/31/85/720603185.db2.gz IXSOUTHVUSJCOW-BPCQOVAHSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(C=C1CCC1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950392348 720613128 /nfs/dbraw/zinc/61/31/28/720613128.db2.gz RHPWYKGMIXXCQK-CYBMUJFWSA-N -1 1 315.373 1.618 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000951549598 721068275 /nfs/dbraw/zinc/06/82/75/721068275.db2.gz YGEMKLHFZJXXRW-GRYCIOLGSA-N -1 1 303.362 1.164 20 0 DDADMM CCC(C)(C)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954125538 721724305 /nfs/dbraw/zinc/72/43/05/721724305.db2.gz RWNFDQBITKKVEC-UHFFFAOYSA-N -1 1 305.378 1.506 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CC1 ZINC000692861507 738636691 /nfs/dbraw/zinc/63/66/91/738636691.db2.gz LSPCEWZJPOERMO-QMMMGPOBSA-N -1 1 308.762 1.577 20 0 DDADMM O=C(NC[C@H]1CCN1CCc1cccs1)c1ncccc1O ZINC001038185163 732946068 /nfs/dbraw/zinc/94/60/68/732946068.db2.gz VWCOCMZKLJLQIX-GFCCVEGCSA-N -1 1 317.414 1.896 20 0 DDADMM C[C@H](c1csnn1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038194091 733199594 /nfs/dbraw/zinc/19/95/94/733199594.db2.gz MZKZLJSPPCJBLC-NXEZZACHSA-N -1 1 319.390 1.204 20 0 DDADMM O=C(NC[C@H]1CCCN1Cc1ccon1)c1ncccc1[O-] ZINC001027841706 738724340 /nfs/dbraw/zinc/72/43/40/738724340.db2.gz KYNWKSFXIRELHN-GFCCVEGCSA-N -1 1 302.334 1.170 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C1(CO)CC1 ZINC000692877548 738734478 /nfs/dbraw/zinc/73/44/78/738734478.db2.gz MIOSXEPHXXZGSG-SSDOTTSWSA-N -1 1 308.762 1.313 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCC[C@H]1O)c1ccc(Cl)nc1F ZINC000692879612 738739405 /nfs/dbraw/zinc/73/94/05/738739405.db2.gz KLCUSFIJMMRDAP-DTWKUNHWSA-N -1 1 322.789 1.704 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)/C=C\C(C)(C)C ZINC000588498348 734687954 /nfs/dbraw/zinc/68/79/54/734687954.db2.gz VRLZPXSRCWWNOS-ALCCZGGFSA-N -1 1 304.350 1.414 20 0 DDADMM Cc1nnc(CN(C)C(=O)c2cc(C)cc(C=O)c2[O-])s1 ZINC001167680338 735640967 /nfs/dbraw/zinc/64/09/67/735640967.db2.gz OKXBPICVKDVZAH-UHFFFAOYSA-N -1 1 305.359 1.945 20 0 DDADMM CCC(C)(C)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025061570 736270256 /nfs/dbraw/zinc/27/02/56/736270256.db2.gz CLCIIVMYWMUZNS-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H](CO)C1)c1ccc(Cl)nc1F ZINC000692888842 738958172 /nfs/dbraw/zinc/95/81/72/738958172.db2.gz OFBIQOGJYOFUGS-BDAKNGLRSA-N -1 1 322.789 1.704 20 0 DDADMM CCOCCCC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692897370 738966577 /nfs/dbraw/zinc/96/65/77/738966577.db2.gz ZLUPAUHHZFTSEQ-UHFFFAOYSA-N -1 1 310.778 1.969 20 0 DDADMM Cc1cc(N(C)CCCN(C)C(=O)c2ncccc2[O-])ncn1 ZINC001112081372 737561694 /nfs/dbraw/zinc/56/16/94/737561694.db2.gz QIOOYWONWBAQRL-UHFFFAOYSA-N -1 1 315.377 1.484 20 0 DDADMM CC(C)C[C@@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692806727 737946369 /nfs/dbraw/zinc/94/63/69/737946369.db2.gz NWQKXXSBEBEVIM-QMMMGPOBSA-N -1 1 310.778 1.559 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)[C@H]1CCCOC1 ZINC000692905416 739033765 /nfs/dbraw/zinc/03/37/65/739033765.db2.gz SMWFUPLAMIPNCK-BDAKNGLRSA-N -1 1 322.789 1.968 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059187728 740348655 /nfs/dbraw/zinc/34/86/55/740348655.db2.gz JAWTXIGJLWVPPX-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(N[C@H]1C[C@H](Nc2cc(F)ncn2)C1)c1ncccc1[O-] ZINC001059201277 740370287 /nfs/dbraw/zinc/37/02/87/740370287.db2.gz YUZBYNMBQUMMSW-KYZUINATSA-N -1 1 303.297 1.089 20 0 DDADMM C[C@H](C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001088289997 741335032 /nfs/dbraw/zinc/33/50/32/741335032.db2.gz ZIANXFYDYAXQJD-AAEUAGOBSA-N -1 1 321.425 1.417 20 0 DDADMM COCc1ccc(NC(=N)SCCS(=O)(=O)[O-])cc1 ZINC001168094303 741435264 /nfs/dbraw/zinc/43/52/64/741435264.db2.gz VWZHHTZUSJIILQ-UHFFFAOYSA-N -1 1 304.393 1.801 20 0 DDADMM CCC1(C(=O)N2CCCCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001088376014 741442097 /nfs/dbraw/zinc/44/20/97/741442097.db2.gz WJQYPAIBITVUBQ-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM CCC(C)(CC)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001168239983 741837827 /nfs/dbraw/zinc/83/78/27/741837827.db2.gz HURQNTGJWUXGPI-UHFFFAOYSA-N -1 1 323.441 1.713 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1C[C@H]1C1CC1 ZINC001002158804 742902588 /nfs/dbraw/zinc/90/25/88/742902588.db2.gz JBSCNAOSOMJZTM-QWHCGFSZSA-N -1 1 315.373 1.022 20 0 DDADMM CSCC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002188276 742935577 /nfs/dbraw/zinc/93/55/77/742935577.db2.gz HWOGBGYDYAYPCD-MXWKQRLJSA-N -1 1 321.402 1.012 20 0 DDADMM COc1cc(Br)c([O-])c(NC(=O)COCCO)c1 ZINC001181790787 743343826 /nfs/dbraw/zinc/34/38/26/743343826.db2.gz LVAUJIUJWCALCV-UHFFFAOYSA-N -1 1 320.139 1.111 20 0 DDADMM C[C@@H](CCNC(=O)c1cc(F)c[nH]1)NC(=O)c1ncccc1[O-] ZINC001077441641 743695491 /nfs/dbraw/zinc/69/54/91/743695491.db2.gz XLLDJDBTJZILDA-VIFPVBQESA-N -1 1 320.324 1.193 20 0 DDADMM Cc1cccc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000998441810 751851436 /nfs/dbraw/zinc/85/14/36/751851436.db2.gz SWAUQEOFDKSZMB-ZDUSSCGKSA-N -1 1 315.377 1.213 20 0 DDADMM CCOC(=O)c1cn[nH]c1CNC(=O)Cc1ccc([S-])cc1 ZINC001183192278 743793720 /nfs/dbraw/zinc/79/37/20/743793720.db2.gz QNTOJYIPLIVJDJ-UHFFFAOYSA-N -1 1 319.386 1.734 20 0 DDADMM CCCCC(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066842362 751904817 /nfs/dbraw/zinc/90/48/17/751904817.db2.gz UXZXNOSQJZVDGB-UHFFFAOYSA-N -1 1 307.394 1.898 20 0 DDADMM C[C@H](CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)CC(C)(C)C ZINC001185865642 744302695 /nfs/dbraw/zinc/30/26/95/744302695.db2.gz BQTRWLBLMMECFP-VXGBXAGGSA-N -1 1 323.441 1.663 20 0 DDADMM COc1cccc(C2([N-]S(=O)(=O)c3cnoc3C)CC2)c1 ZINC001187409230 744557623 /nfs/dbraw/zinc/55/76/23/744557623.db2.gz IZFLZYLUWVAETM-UHFFFAOYSA-N -1 1 308.359 1.959 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]Cc1cncnc1C(F)(F)F ZINC001187420528 744560680 /nfs/dbraw/zinc/56/06/80/744560680.db2.gz GTNJZGKGZUDMGG-UHFFFAOYSA-N -1 1 322.268 1.270 20 0 DDADMM CC1(C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)CCC1 ZINC000992050862 744561747 /nfs/dbraw/zinc/56/17/47/744561747.db2.gz APTIFTHFLNIAEZ-UHFFFAOYSA-N -1 1 317.389 1.602 20 0 DDADMM CN(C)c1ccc(CC[N-]S(=O)(=O)c2nccs2)cc1 ZINC001187907621 744628135 /nfs/dbraw/zinc/62/81/35/744628135.db2.gz FCBJMMKJOBBLKO-UHFFFAOYSA-N -1 1 311.432 1.730 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)Cc1ccccc1)c1nccs1 ZINC001187913507 744629209 /nfs/dbraw/zinc/62/92/09/744629209.db2.gz YGVAXXVJLISULA-GFCCVEGCSA-N -1 1 312.416 1.273 20 0 DDADMM COC(=O)c1cncc(NC(=O)c2ncc(C(F)(F)F)[n-]2)n1 ZINC001188278420 744685347 /nfs/dbraw/zinc/68/53/47/744685347.db2.gz ROKYYJFUZBYLGZ-UHFFFAOYSA-N -1 1 315.211 1.257 20 0 DDADMM COC(=O)c1cncc(NC(=O)c2nc(C(F)(F)F)c[n-]2)n1 ZINC001188278420 744685348 /nfs/dbraw/zinc/68/53/48/744685348.db2.gz ROKYYJFUZBYLGZ-UHFFFAOYSA-N -1 1 315.211 1.257 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)Cc2cc(F)ccc2F)o1 ZINC001189718170 744963699 /nfs/dbraw/zinc/96/36/99/744963699.db2.gz TWIDHYZKFSATQC-UHFFFAOYSA-N -1 1 303.290 1.276 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)CCCF)cc1OC ZINC001189911128 745027611 /nfs/dbraw/zinc/02/76/11/745027611.db2.gz IXESAOGSVBLCPI-UHFFFAOYSA-N -1 1 305.327 1.583 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCCF)c1cccc(F)c1 ZINC001189934247 745056926 /nfs/dbraw/zinc/05/69/26/745056926.db2.gz XXXMJXZVNMYRMP-NSHDSACASA-N -1 1 307.318 1.319 20 0 DDADMM CC[N@H+]1CCC[C@H]1C[N-]S(=O)(=O)c1ncc(F)cc1F ZINC001190133506 745108098 /nfs/dbraw/zinc/10/80/98/745108098.db2.gz BZMFRABBGBNSNA-JTQLQIEISA-N -1 1 305.350 1.122 20 0 DDADMM C[C@H](NC(=O)c1cnc(-c2ccccn2)[n-]c1=O)c1ccno1 ZINC001190637821 745282043 /nfs/dbraw/zinc/28/20/43/745282043.db2.gz PFWWILSOFSPBLP-VIFPVBQESA-N -1 1 311.301 1.723 20 0 DDADMM O=S(=O)(Nc1c(O)cccc1Br)c1ncc[n-]1 ZINC001190722214 745305751 /nfs/dbraw/zinc/30/57/51/745305751.db2.gz SQTXNFQDMKHRQJ-UHFFFAOYSA-N -1 1 318.152 1.679 20 0 DDADMM O=C1NCCOc2ccc([N-]S(=O)(=O)c3ccncc3)cc21 ZINC001190846606 745350146 /nfs/dbraw/zinc/35/01/46/745350146.db2.gz PULMEQVYUPEUFP-UHFFFAOYSA-N -1 1 319.342 1.005 20 0 DDADMM COc1cc(C(=O)N[C@]23C[C@H]2CN(C(C)=O)C3)cc(Cl)c1[O-] ZINC001191147904 745436101 /nfs/dbraw/zinc/43/61/01/745436101.db2.gz BGLDOCYABLWGQV-BONVTDFDSA-N -1 1 324.764 1.405 20 0 DDADMM COC(=O)[C@@H]1C[C@H]1CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC001191158916 745438588 /nfs/dbraw/zinc/43/85/88/745438588.db2.gz ZEBZBBCSIXUOTN-DTWKUNHWSA-N -1 1 313.737 1.593 20 0 DDADMM Cc1[nH]c(=O)sc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001191402262 745492384 /nfs/dbraw/zinc/49/23/84/745492384.db2.gz CZHDMWHZMDBXCK-UHFFFAOYSA-N -1 1 324.322 1.369 20 0 DDADMM CSc1ncc(C(=O)Nc2cnc(Cl)nc2C)c(=O)[n-]1 ZINC001191428959 745509389 /nfs/dbraw/zinc/50/93/89/745509389.db2.gz HRUYAJDCJBFJTK-UHFFFAOYSA-N -1 1 311.754 1.908 20 0 DDADMM Nc1nonc1[N-]C(=O)c1ccc(Cl)nc1C(F)(F)F ZINC001192465987 745795492 /nfs/dbraw/zinc/79/54/92/745795492.db2.gz MIAMGGYTTOKMMA-UHFFFAOYSA-N -1 1 307.619 1.971 20 0 DDADMM CCOC(=O)CC1(NC(=O)c2c(F)ccc([O-])c2F)COC1 ZINC001192553217 745814273 /nfs/dbraw/zinc/81/42/73/745814273.db2.gz XKIKFIPVFCDDIA-UHFFFAOYSA-N -1 1 315.272 1.122 20 0 DDADMM Cc1ncc(CO)c(CNC(=O)c2cc([O-])cc(F)c2F)c1O ZINC001192679701 745859673 /nfs/dbraw/zinc/85/96/73/745859673.db2.gz ZWHLEKKGGHCVFV-UHFFFAOYSA-N -1 1 324.283 1.502 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CCC[C@H](n2ccnn2)C1 ZINC001192665915 745869244 /nfs/dbraw/zinc/86/92/44/745869244.db2.gz XVWLURVKXXBOHY-VIFPVBQESA-N -1 1 308.288 1.739 20 0 DDADMM CCN(CCNc1nccc(OC)n1)C(=O)c1ncccc1[O-] ZINC001106727130 745970868 /nfs/dbraw/zinc/97/08/68/745970868.db2.gz JQJWCHNSJDPMNE-UHFFFAOYSA-N -1 1 317.349 1.160 20 0 DDADMM CN1CCC[C@H](NC(=O)c2cc(Cl)nc(Cl)c2[O-])C1 ZINC001193094188 745987714 /nfs/dbraw/zinc/98/77/14/745987714.db2.gz UZCYHPVBBKWLHS-ZETCQYMHSA-N -1 1 304.177 1.918 20 0 DDADMM CC(C)OC(=O)Nc1ccc(S(=O)(=O)N(C)C)cc1[O-] ZINC001193486899 746117713 /nfs/dbraw/zinc/11/77/13/746117713.db2.gz NTHNMPXEPGWVHD-UHFFFAOYSA-N -1 1 302.352 1.599 20 0 DDADMM COc1ccnc(C(=O)Nc2ccc3oc(=O)[nH]c3c2)c1[O-] ZINC001193532374 746122484 /nfs/dbraw/zinc/12/24/84/746122484.db2.gz WPYCXRYCWKXBFT-UHFFFAOYSA-N -1 1 301.258 1.895 20 0 DDADMM COc1ccnc(C(=O)Nc2ccnn2CC(F)(F)F)c1[O-] ZINC001193525148 746133639 /nfs/dbraw/zinc/13/36/39/746133639.db2.gz WDOKWCBUMYWZGH-UHFFFAOYSA-N -1 1 316.239 1.807 20 0 DDADMM CSc1nc(NC(=O)c2ccc(N(C)C=O)cc2)cc(=O)[n-]1 ZINC001193989242 746247367 /nfs/dbraw/zinc/24/73/67/746247367.db2.gz LKVFVFISWGUGNS-UHFFFAOYSA-N -1 1 318.358 1.749 20 0 DDADMM CC(C)(C)c1ncc(C(=O)NCCCC[P@](=O)([O-])O)cn1 ZINC001194015489 746253254 /nfs/dbraw/zinc/25/32/54/746253254.db2.gz RFVCIBFMFJXNOL-UHFFFAOYSA-N -1 1 315.310 1.462 20 0 DDADMM COCc1cc(C(=O)[N-]c2ncn(Cc3cccnc3)n2)no1 ZINC000079858702 746561485 /nfs/dbraw/zinc/56/14/85/746561485.db2.gz QZWJQYUIXMVRLV-UHFFFAOYSA-N -1 1 314.305 1.108 20 0 DDADMM CC(=O)N(C(C)C)C1CCN(C(=O)c2nc(C)ccc2[O-])CC1 ZINC001195325661 746565915 /nfs/dbraw/zinc/56/59/15/746565915.db2.gz FUPSVXYLTIKHLE-UHFFFAOYSA-N -1 1 319.405 1.957 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)c2ccc(O)cc2)cc1F ZINC001195803399 746710082 /nfs/dbraw/zinc/71/00/82/746710082.db2.gz ZRSDRKNQCLNNCM-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2c[nH]c(=S)[n-]c2=O)c[nH]1 ZINC001196014447 746756604 /nfs/dbraw/zinc/75/66/04/746756604.db2.gz PNGPEXZLUCGOPF-UHFFFAOYSA-N -1 1 308.319 1.228 20 0 DDADMM CN(CCC(=O)c1cccs1)C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196015736 746757372 /nfs/dbraw/zinc/75/73/72/746757372.db2.gz HORSPQFBSCYKMJ-UHFFFAOYSA-N -1 1 323.399 1.877 20 0 DDADMM Cc1ccc(C(N)=O)cc1NC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196020455 746759292 /nfs/dbraw/zinc/75/92/92/746759292.db2.gz HFDJYGZWYODFNC-UHFFFAOYSA-N -1 1 304.331 1.131 20 0 DDADMM O=C(NCc1ccc2c(c1)CCO2)c1c[nH]c(=S)[n-]c1=O ZINC001196023198 746760188 /nfs/dbraw/zinc/76/01/88/746760188.db2.gz FLHHKNNUCNYNGB-UHFFFAOYSA-N -1 1 303.343 1.336 20 0 DDADMM O=C(NCc1cccc2ncccc12)c1c[nH]c(=S)[n-]c1=O ZINC001196024328 746760320 /nfs/dbraw/zinc/76/03/20/746760320.db2.gz RJSXYEHJALCWSF-UHFFFAOYSA-N -1 1 312.354 1.949 20 0 DDADMM O=S(=O)([N-]CC1(F)CCOCC1)c1ccc(Cl)cn1 ZINC001196252917 746806107 /nfs/dbraw/zinc/80/61/07/746806107.db2.gz JYAZSTDBKIIUAP-UHFFFAOYSA-N -1 1 308.762 1.532 20 0 DDADMM O=[P@]([O-])(O)CCCCNC(=S)NCc1ccc(F)cc1 ZINC001196507593 746880243 /nfs/dbraw/zinc/88/02/43/746880243.db2.gz ILOBYZZZUKLINV-UHFFFAOYSA-N -1 1 320.326 1.748 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cn2ccccc2n1 ZINC001196926018 746999951 /nfs/dbraw/zinc/99/99/51/746999951.db2.gz YSKNYZBUVXKIJR-UHFFFAOYSA-N -1 1 311.363 1.419 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001089466053 747012101 /nfs/dbraw/zinc/01/21/01/747012101.db2.gz ZXGUOUOWWCHAMS-GRYCIOLGSA-N -1 1 321.425 1.273 20 0 DDADMM O=C(Nc1nc[n-]c(=O)c1Br)c1ncc(F)cn1 ZINC001197200746 747101900 /nfs/dbraw/zinc/10/19/00/747101900.db2.gz MJZORIHCBFAWSA-UHFFFAOYSA-N -1 1 314.074 1.126 20 0 DDADMM O=C(NCC1CN(CC=C(Cl)Cl)C1)c1ncccc1[O-] ZINC001031628766 747251322 /nfs/dbraw/zinc/25/13/22/747251322.db2.gz XIISSPYIHRCXGK-UHFFFAOYSA-N -1 1 316.188 1.768 20 0 DDADMM Cc1cnc(=O)[nH]c1[N-]S(=O)(=O)c1cccc(Cl)n1 ZINC001198247307 747427633 /nfs/dbraw/zinc/42/76/33/747427633.db2.gz XRYTXLXWOINJIZ-UHFFFAOYSA-N -1 1 300.727 1.340 20 0 DDADMM C=CS(=O)(=O)[N-]c1cc(S(=O)(=O)CC)ccc1OC ZINC001198608912 747548363 /nfs/dbraw/zinc/54/83/63/747548363.db2.gz JPNMXKSTBBFWTD-UHFFFAOYSA-N -1 1 305.377 1.374 20 0 DDADMM COc1nc(Cl)ccc1S(=O)(=O)[N-][C@H]1CCOC[C@H]1F ZINC001198723684 747579415 /nfs/dbraw/zinc/57/94/15/747579415.db2.gz YBTZBCTWCLDGOS-SFYZADRCSA-N -1 1 324.761 1.149 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)Nc1cc(F)nc(F)c1 ZINC001198741078 747586036 /nfs/dbraw/zinc/58/60/36/747586036.db2.gz NVEUJTCRXUJEPN-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM Cn1ccc2cccc(C(=O)Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)c21 ZINC001199032252 747681833 /nfs/dbraw/zinc/68/18/33/747681833.db2.gz YAMKVKGTLXYFSE-UHFFFAOYSA-N -1 1 324.300 1.460 20 0 DDADMM Cc1cc(CN2CC[C@@H](N(C)C(=O)c3ncccc3[O-])C2)on1 ZINC001033025603 747966899 /nfs/dbraw/zinc/96/68/99/747966899.db2.gz OGCKNRHMJCOZJP-GFCCVEGCSA-N -1 1 316.361 1.430 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1CC1 ZINC001004328689 748312271 /nfs/dbraw/zinc/31/22/71/748312271.db2.gz WSKMCMUCIHUDED-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)C(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1C ZINC001004539793 748543611 /nfs/dbraw/zinc/54/36/11/748543611.db2.gz LMYUABLIIGWPCA-NEPJUHHUSA-N -1 1 305.378 1.410 20 0 DDADMM CC(C)Cc1ccccc1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001152943012 748698094 /nfs/dbraw/zinc/69/80/94/748698094.db2.gz RLVKPMUGQQOCIV-UHFFFAOYSA-N -1 1 317.393 1.228 20 0 DDADMM Cc1nsc(N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001061585605 748887583 /nfs/dbraw/zinc/88/75/83/748887583.db2.gz ABARHRVMFPENNJ-SNVBAGLBSA-N -1 1 319.390 1.203 20 0 DDADMM O=C(NC[C@@H]1CCN(c2cccc(F)n2)C1)c1ncccc1[O-] ZINC001061590242 748899162 /nfs/dbraw/zinc/89/91/62/748899162.db2.gz SBQNVTZJZBYWBK-NSHDSACASA-N -1 1 316.336 1.578 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N1CC([C@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001006392387 749548492 /nfs/dbraw/zinc/54/84/92/749548492.db2.gz STVCVBMQVPWUCF-DMDPSCGWSA-N -1 1 319.409 1.064 20 0 DDADMM C/C=C(\C)C(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107355623 749842403 /nfs/dbraw/zinc/84/24/03/749842403.db2.gz KBTYUZPMMWMHRX-CUPZKHKKSA-N -1 1 319.409 1.337 20 0 DDADMM CCN(C(=O)c1c(C)nc[nH]c1=O)[C@@H]1CC[N@@H+](C/C=C/Cl)C1 ZINC001033932175 750143317 /nfs/dbraw/zinc/14/33/17/750143317.db2.gz ITPVVNUAPSWJOS-FVOPLDGLSA-N -1 1 324.812 1.780 20 0 DDADMM O=C([C@H]1CC12CCCC2)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000622769 762016244 /nfs/dbraw/zinc/01/62/44/762016244.db2.gz ZZADDIZTAZOKQW-NWDGAFQWSA-N -1 1 319.409 1.171 20 0 DDADMM O=C(C=C1CCCCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034876318 751005037 /nfs/dbraw/zinc/00/50/37/751005037.db2.gz IQAMCVPDRRITJK-CYBMUJFWSA-N -1 1 319.409 1.481 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001035110743 751208501 /nfs/dbraw/zinc/20/85/01/751208501.db2.gz WYUHJZDMKVGJAL-JHJVBQTASA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H](C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1)C1CC1 ZINC001062839444 753759795 /nfs/dbraw/zinc/75/97/95/753759795.db2.gz QBNQDYVQOQVNCU-LLVKDONJSA-N -1 1 317.389 1.602 20 0 DDADMM CCCCC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839679 753760322 /nfs/dbraw/zinc/76/03/22/753760322.db2.gz ZYLNXAGWOOKKRF-UHFFFAOYSA-N -1 1 305.378 1.746 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(Cc3ncccc3C)C2)c1[O-] ZINC001010621422 754059147 /nfs/dbraw/zinc/05/91/47/754059147.db2.gz TYMBREAZMYBOJY-LBPRGKRZSA-N -1 1 315.377 1.131 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]1CNc1ncccn1)c1ncccc1[O-] ZINC001064093496 754494627 /nfs/dbraw/zinc/49/46/27/754494627.db2.gz KKPKCMBPBQXQJF-VXGBXAGGSA-N -1 1 313.361 1.588 20 0 DDADMM C[C@@H]1C[C@@H](Nc2ncccn2)CCN1C(=O)c1ncccc1[O-] ZINC001064765322 754833331 /nfs/dbraw/zinc/83/33/31/754833331.db2.gz HRVDOFNIKFMMPF-NEPJUHHUSA-N -1 1 313.361 1.682 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ccco1 ZINC001012199284 754926828 /nfs/dbraw/zinc/92/68/28/754926828.db2.gz WRSKGHLQNULZBJ-QWRGUYRKSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C2(C)CC2)CN1C(=O)c1ncccc1[O-] ZINC001012505227 755112658 /nfs/dbraw/zinc/11/26/58/755112658.db2.gz IYLYTWBUORZXKH-QWRGUYRKSA-N -1 1 303.362 1.307 20 0 DDADMM Cc1ncc(CN2C[C@@H](C)[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001079611044 755473079 /nfs/dbraw/zinc/47/30/79/755473079.db2.gz LEVZESSVJNZNBU-ZWNOBZJWSA-N -1 1 316.361 1.334 20 0 DDADMM COc1cc(C)nc(N[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097765870 757513117 /nfs/dbraw/zinc/51/31/17/757513117.db2.gz ZMBFBXJKAUFYLH-SNVBAGLBSA-N -1 1 317.349 1.125 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)[C@@H]2CC2(C)C)C1 ZINC001017107988 757642755 /nfs/dbraw/zinc/64/27/55/757642755.db2.gz ZJBIMBOFMXQPPB-RYUDHWBXSA-N -1 1 321.425 1.227 20 0 DDADMM C[C@H]1CN(C(=O)CCCF)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC001053176979 758234959 /nfs/dbraw/zinc/23/49/59/758234959.db2.gz MIPAKANQKMVUQO-NWDGAFQWSA-N -1 1 323.368 1.361 20 0 DDADMM CC[C@H](C)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001065868370 758874093 /nfs/dbraw/zinc/87/40/93/758874093.db2.gz FZNRFDNHBYVQSC-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)C(C)(C)C)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054973937 760248308 /nfs/dbraw/zinc/24/83/08/760248308.db2.gz MBPHEEDPRJERKE-MNOVXSKESA-N -1 1 323.441 1.519 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2C[C@H](NCc3cscn3)C2)c1[O-] ZINC001086338752 761442776 /nfs/dbraw/zinc/44/27/76/761442776.db2.gz CXGMHXJXTFHERL-MGCOHNPYSA-N -1 1 321.406 1.178 20 0 DDADMM CC[C@H](SC)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001542127 762947343 /nfs/dbraw/zinc/94/73/43/762947343.db2.gz QYXIJZZKDNNRCP-LBPRGKRZSA-N -1 1 323.418 1.117 20 0 DDADMM Cc1nc(CNCCCNC(=O)c2[nH]nc(C)c2[O-])cs1 ZINC001156634885 763533943 /nfs/dbraw/zinc/53/39/43/763533943.db2.gz VMSXWAIGNKRNCZ-UHFFFAOYSA-N -1 1 309.395 1.098 20 0 DDADMM C[C@@H](NC(=O)CCCF)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532249 763719584 /nfs/dbraw/zinc/71/95/84/763719584.db2.gz XUGYJDIRJQCMSC-VXGBXAGGSA-N -1 1 323.368 1.504 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](Nc2cnc(F)cn2)C1 ZINC001057623454 764156649 /nfs/dbraw/zinc/15/66/49/764156649.db2.gz JZKDJZPACGTAAY-JTQLQIEISA-N -1 1 317.324 1.433 20 0 DDADMM CC[C@@H](C)CN1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001050888483 764236269 /nfs/dbraw/zinc/23/62/69/764236269.db2.gz WQXGNIFKVXHKOS-CHWSQXEVSA-N -1 1 307.394 1.264 20 0 DDADMM CC1CC(CN2CCOC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC001050891785 764240288 /nfs/dbraw/zinc/24/02/88/764240288.db2.gz RAWCJYGCGLTORQ-JXQTWKCFSA-N -1 1 319.405 1.264 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1ncc(Cl)cn1 ZINC001112983346 765099857 /nfs/dbraw/zinc/09/98/57/765099857.db2.gz JRWIBQZGJQIUIK-BDAKNGLRSA-N -1 1 321.768 1.850 20 0 DDADMM O=C(N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1(C2CC2)CCC1 ZINC001045136853 766107639 /nfs/dbraw/zinc/10/76/39/766107639.db2.gz RDGQGNDQYDSXSE-LBPRGKRZSA-N -1 1 319.409 1.171 20 0 DDADMM O=c1nc2[nH]c([O-])nc(Nc3cc(-c4ccco4)ncn3)c-2[nH]1 ZINC001170216459 766175594 /nfs/dbraw/zinc/17/55/94/766175594.db2.gz DFYIXAJUKWPZRG-UHFFFAOYSA-N -1 1 311.261 1.509 20 0 DDADMM CN(C)C(=O)Cc1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001170234669 766188622 /nfs/dbraw/zinc/18/86/22/766188622.db2.gz UVYBUGPPHNWZGF-UHFFFAOYSA-N -1 1 312.333 1.433 20 0 DDADMM CN(C)c1ncc2cc(Nc3[n-]c(=O)nc4nc[nH]c43)ccc2n1 ZINC001170234087 766189068 /nfs/dbraw/zinc/18/90/68/766189068.db2.gz QQGHSDPMVPHOLI-UHFFFAOYSA-N -1 1 322.332 1.811 20 0 DDADMM O=C([C@@H]1CC12CCCC2)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045264119 766192409 /nfs/dbraw/zinc/19/24/09/766192409.db2.gz JXDMDVMRDQJXAR-RYUDHWBXSA-N -1 1 319.409 1.171 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(Cc2ccns2)C1 ZINC001046259133 767314089 /nfs/dbraw/zinc/31/40/89/767314089.db2.gz FLCWTXILNLBXEN-OAHLLOKOSA-N -1 1 318.402 1.638 20 0 DDADMM CCOC[C@@H]1CN(C(=O)c2cc(C)cc(C=O)c2[O-])CCO1 ZINC001170668890 769586379 /nfs/dbraw/zinc/58/63/79/769586379.db2.gz LUUVHQJNVRAUMV-ZDUSSCGKSA-N -1 1 307.346 1.391 20 0 DDADMM O=C(c1ccccc1O)N1C[C@@H]2C[N@@H+](Cc3ncccn3)C[C@@H]2C1 ZINC001048686867 769700047 /nfs/dbraw/zinc/70/00/47/769700047.db2.gz VKGYTIFDBFWLTP-OKILXGFUSA-N -1 1 324.384 1.386 20 0 DDADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C/C=C\Cl)c1ncccc1[O-] ZINC001096991129 771559954 /nfs/dbraw/zinc/55/99/54/771559954.db2.gz KGBLGDSOACCIKU-NBGWHCOFSA-N -1 1 307.781 1.875 20 0 DDADMM COC(=O)c1cccc(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c1 ZINC001135548151 771570385 /nfs/dbraw/zinc/57/03/85/771570385.db2.gz SCYPPFXSCXNWIP-UHFFFAOYSA-N -1 1 319.342 1.818 20 0 DDADMM O=C(c1cc2ccncc2s1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001136656092 772070038 /nfs/dbraw/zinc/07/00/38/772070038.db2.gz SOGLOQMJQNMKSO-VIFPVBQESA-N -1 1 316.346 1.023 20 0 DDADMM O=C(N1CCN(Cc2c(F)cc([O-])cc2F)CC1)C(F)(F)F ZINC001144545069 772559491 /nfs/dbraw/zinc/55/94/91/772559491.db2.gz QXJGRGHVSLRNNS-UHFFFAOYSA-N -1 1 324.249 1.877 20 0 DDADMM COC[C@@H]1c2nnn(C)c2CCN1Cc1cc(F)c([O-])cc1F ZINC001144650704 772587865 /nfs/dbraw/zinc/58/78/65/772587865.db2.gz ADLGZRUEAYBNLX-CYBMUJFWSA-N -1 1 324.331 1.545 20 0 DDADMM COc1nn(C)cc1C(=O)[N-]c1nnc(Br)s1 ZINC001147016978 773017041 /nfs/dbraw/zinc/01/70/41/773017041.db2.gz DKJIMXCVNOPUFD-UHFFFAOYSA-N -1 1 318.156 1.295 20 0 DDADMM CCCN1CCCC[C@H]1C(=O)[N-]S(=O)(=O)CCCC#N ZINC000127481928 773038877 /nfs/dbraw/zinc/03/88/77/773038877.db2.gz NXOPPDNGXFWDPA-LBPRGKRZSA-N -1 1 301.412 1.001 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1ccc(=O)oc1 ZINC001147580727 773174910 /nfs/dbraw/zinc/17/49/10/773174910.db2.gz IHMQAMVDQZONLD-UHFFFAOYSA-N -1 1 311.091 1.755 20 0 DDADMM CCOC(=O)c1cc[nH]c1NC(=O)c1c([O-])c(C)ncc1CO ZINC001147833091 773260141 /nfs/dbraw/zinc/26/01/41/773260141.db2.gz DMWDYPCMVWZTDO-UHFFFAOYSA-N -1 1 319.317 1.345 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@@H]2CCCc3nccnc32)c1[O-] ZINC001147848400 773268619 /nfs/dbraw/zinc/26/86/19/773268619.db2.gz JFDOCORLYCYVQN-GFCCVEGCSA-N -1 1 314.345 1.185 20 0 DDADMM CCCCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001073900749 773511418 /nfs/dbraw/zinc/51/14/18/773511418.db2.gz NOKQDAFLARWQBU-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM O=C(N[C@@H]1CCCNC(=O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC001148806518 773606161 /nfs/dbraw/zinc/60/61/61/773606161.db2.gz VXOJZVAIDNRJQR-SECBINFHSA-N -1 1 316.279 1.810 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001094490304 775906829 /nfs/dbraw/zinc/90/68/29/775906829.db2.gz NJMXZGMDLXOTMJ-DSZLRUIBSA-N -1 1 319.409 1.027 20 0 DDADMM CCc1cc(C)nc(NCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001101561455 777251721 /nfs/dbraw/zinc/25/17/21/777251721.db2.gz YYUYLBYUTBQFAU-UHFFFAOYSA-N -1 1 315.377 1.632 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3c(c2)OCCO3)c1 ZINC001173878392 777373032 /nfs/dbraw/zinc/37/30/32/777373032.db2.gz KXBXDCABHDQPGC-UHFFFAOYSA-N -1 1 321.358 1.423 20 0 DDADMM CC(C)[C@@H](C)CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101808167 777547031 /nfs/dbraw/zinc/54/70/31/777547031.db2.gz VOGMAUBKQHVBEQ-YNEHKIRRSA-N -1 1 323.441 1.377 20 0 DDADMM Nc1cncc(S(=O)(=O)Nc2ccc(C(=O)[O-])c(F)c2)c1 ZINC001175158495 777694552 /nfs/dbraw/zinc/69/45/52/777694552.db2.gz PAHSXRMQDDMSCS-UHFFFAOYSA-N -1 1 311.294 1.302 20 0 DDADMM Cn1ncc2cc([N-]S(=O)(=O)c3ccccc3N)ccc21 ZINC001175421522 777784479 /nfs/dbraw/zinc/78/44/79/777784479.db2.gz BETWFFDZLMPXFD-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM COc1ccc(C(C)=CC(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001176724280 778221815 /nfs/dbraw/zinc/22/18/15/778221815.db2.gz BHEFSSKODVFPMW-ZHACJKMWSA-N -1 1 313.361 1.807 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1c[nH]c2nccc(Br)c12 ZINC001176837790 778268861 /nfs/dbraw/zinc/26/88/61/778268861.db2.gz HEHSEUYCWWIEGA-UHFFFAOYSA-N -1 1 322.126 1.020 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccc(OC(F)F)c(Cl)c1 ZINC001176842555 778272016 /nfs/dbraw/zinc/27/20/16/778272016.db2.gz MYYPAPLEWDLFOA-UHFFFAOYSA-N -1 1 303.656 1.636 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cccc(F)c1N1CCCCC1 ZINC001176845549 778299670 /nfs/dbraw/zinc/29/96/70/778299670.db2.gz VNJVXTDLPYXBRX-UHFFFAOYSA-N -1 1 304.329 1.510 20 0 DDADMM CCOC(=O)C(F)(F)C[N-]C(=O)C(F)(F)c1ccccn1 ZINC001177302981 778518403 /nfs/dbraw/zinc/51/84/03/778518403.db2.gz LYNVGWNUARRMBC-UHFFFAOYSA-N -1 1 308.231 1.488 20 0 DDADMM CN(C)c1ccnc(CNC(=O)c2ccc3oc(=O)nc-3[n-]2)c1 ZINC001177954076 778804360 /nfs/dbraw/zinc/80/43/60/778804360.db2.gz ZWGWVSCRVYYEJG-UHFFFAOYSA-N -1 1 313.317 1.319 20 0 DDADMM CC(C)(C(=O)Nc1[n-]c(=O)nc2nc[nH]c21)c1ncccc1F ZINC001178089707 778894558 /nfs/dbraw/zinc/89/45/58/778894558.db2.gz MIEAMUFVTWRYNM-UHFFFAOYSA-N -1 1 316.296 1.509 20 0 DDADMM Cn1cc(Cl)c(NC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC001178466529 779061786 /nfs/dbraw/zinc/06/17/86/779061786.db2.gz UOIXPWMMFNUUSP-UHFFFAOYSA-N -1 1 308.754 1.657 20 0 DDADMM Cc1nccc(NC[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001103917126 779169021 /nfs/dbraw/zinc/16/90/21/779169021.db2.gz FGTFQQKQJFVUFM-SNVBAGLBSA-N -1 1 301.350 1.364 20 0 DDADMM CC[C@H](C)CC(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104123234 779320444 /nfs/dbraw/zinc/32/04/44/779320444.db2.gz KCRXRMBBQOBZHA-YNEHKIRRSA-N -1 1 323.441 1.521 20 0 DDADMM Cc1nccc(N(C)CCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001112080999 779617281 /nfs/dbraw/zinc/61/72/81/779617281.db2.gz AHWHXQPEPGGTEA-UHFFFAOYSA-N -1 1 315.377 1.484 20 0 DDADMM COC(=O)CC[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001116469898 780512232 /nfs/dbraw/zinc/51/22/32/780512232.db2.gz HVIFFGNBGQFDDW-UHFFFAOYSA-N -1 1 313.162 1.230 20 0 DDADMM C/C=C(/C=C\C(=O)N1CCN([C@]2(C(=O)[O-])CCOC2)CC1)CC ZINC001119581342 781524869 /nfs/dbraw/zinc/52/48/69/781524869.db2.gz DICVXSRZWYBJEP-DFWDZLOQSA-N -1 1 322.405 1.287 20 0 DDADMM CCN(CCNC(=O)CC(F)(F)F)C(=O)c1ncccc1[O-] ZINC001408722054 838552693 /nfs/dbraw/zinc/55/26/93/838552693.db2.gz DURSVHAWNWNMJV-UHFFFAOYSA-N -1 1 319.283 1.318 20 0 DDADMM NC(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)c1ccc([O-])cc1Cl)C2 ZINC001269761922 842018017 /nfs/dbraw/zinc/01/80/17/842018017.db2.gz ATIDHNDBGLJYGL-YFKFIEJBSA-N -1 1 308.765 1.629 20 0 DDADMM NC(=O)[C@H]1COCCC12CN(C(=O)c1ccc([O-])cc1Cl)C2 ZINC001269762547 842019570 /nfs/dbraw/zinc/01/95/70/842019570.db2.gz UMHIMAHRCRIYBN-LLVKDONJSA-N -1 1 324.764 1.010 20 0 DDADMM NC(=O)[C@]12C[C@H]1CCN2C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001269918845 842156673 /nfs/dbraw/zinc/15/66/73/842156673.db2.gz BIAKFSIDAQVBCA-OQPBUACISA-N -1 1 314.263 1.501 20 0 DDADMM Cc1conc1CS(=O)(=O)[N-]CC(=O)OC1CCCCC1 ZINC001363801296 842727311 /nfs/dbraw/zinc/72/73/11/842727311.db2.gz ACHGLRRVQUVDPR-UHFFFAOYSA-N -1 1 316.379 1.278 20 0 DDADMM CSCCO[N-]C(=O)[C@H]1CC(=O)N(c2cccc(F)c2)C1 ZINC001156649973 863228853 /nfs/dbraw/zinc/22/88/53/863228853.db2.gz GITQLXWYDLJQJS-JTQLQIEISA-N -1 1 312.366 1.589 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)C[C@@H]2CCOC2)sn1 ZINC001414234865 844697473 /nfs/dbraw/zinc/69/74/73/844697473.db2.gz XHANNYQQVUASFB-BDAKNGLRSA-N -1 1 306.409 1.245 20 0 DDADMM C=C/C(C)=C\CC(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001280461348 844767418 /nfs/dbraw/zinc/76/74/18/844767418.db2.gz YVQQLSVRSBEFGO-LLBKUYECSA-N -1 1 317.389 1.886 20 0 DDADMM COC(=O)C[C@H]1CCCN(c2nc(C)cc3c2C(=O)[N-]C3=O)C1 ZINC001154840203 861504396 /nfs/dbraw/zinc/50/43/96/861504396.db2.gz UHFBCGDEHMTCIO-SNVBAGLBSA-N -1 1 317.345 1.053 20 0 DDADMM COCCOC1CN(C(=O)c2ccc3cccnc3c2[O-])C1 ZINC001149322673 861545460 /nfs/dbraw/zinc/54/54/60/861545460.db2.gz OMTMXLZKVLTWOI-UHFFFAOYSA-N -1 1 302.330 1.428 20 0 DDADMM CC(=O)N1C[C@H]2C[C@@]2(NC(=O)c2ccc3cccnc3c2[O-])C1 ZINC001149326757 861547819 /nfs/dbraw/zinc/54/78/19/861547819.db2.gz MXRWTXSJNSHFCJ-SJKOYZFVSA-N -1 1 311.341 1.291 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccc2ocnc2c1 ZINC001149392313 861584150 /nfs/dbraw/zinc/58/41/50/861584150.db2.gz HNDKUDMHQDVNQF-UHFFFAOYSA-N -1 1 312.245 1.109 20 0 DDADMM CN1CC[C@@]2(CCCN2C(=O)c2ccc(Cl)cc2[O-])C1=O ZINC001272265945 845687684 /nfs/dbraw/zinc/68/76/84/845687684.db2.gz YUKGGCVOQAUFDY-HNNXBMFYSA-N -1 1 308.765 1.883 20 0 DDADMM C[C@H]1[C@H](OCCCC[P@](=O)([O-])O)CN1C(=O)OC(C)(C)C ZINC001225019574 845782114 /nfs/dbraw/zinc/78/21/14/845782114.db2.gz YMFSASSPWGZTGQ-WDEREUQCSA-N -1 1 323.326 1.969 20 0 DDADMM COC(=O)C(C)(C)CC[N-]S(=O)(=O)c1csnc1OC ZINC001364959544 845924176 /nfs/dbraw/zinc/92/41/76/845924176.db2.gz GCJCOIUWFFIXMM-UHFFFAOYSA-N -1 1 322.408 1.019 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2CC2(Cl)Cl)c(=O)[n-]1 ZINC001290735346 846364699 /nfs/dbraw/zinc/36/46/99/846364699.db2.gz NVFNQEQUMGYFDF-YFKPBYRVSA-N -1 1 308.190 1.828 20 0 DDADMM N=c1scc(N)n1C(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149644558 861777069 /nfs/dbraw/zinc/77/70/69/861777069.db2.gz CBRUEPXVLFGDGB-UHFFFAOYSA-N -1 1 301.327 1.864 20 0 DDADMM CN1C[C@H](NC(=O)c2cc3cccc(O)c3cc2[O-])CCC1=O ZINC001149653769 861786126 /nfs/dbraw/zinc/78/61/26/861786126.db2.gz QIKSWJPRJGZQPB-LLVKDONJSA-N -1 1 314.341 1.602 20 0 DDADMM O=C(N[C@H]1CCCNC(=O)C1)c1cc2cccc(O)c2cc1[O-] ZINC001149656112 861788832 /nfs/dbraw/zinc/78/88/32/861788832.db2.gz FTBORQRLIJDMPT-NSHDSACASA-N -1 1 314.341 1.650 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@H](C)C(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001410448125 849293467 /nfs/dbraw/zinc/29/34/67/849293467.db2.gz YKRRYPRHZVHOLZ-YUMQZZPRSA-N -1 1 323.319 1.035 20 0 DDADMM CCC(CC)CC(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001410927395 849977216 /nfs/dbraw/zinc/97/72/16/849977216.db2.gz MILXYAUQDQHBSZ-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc2scnc2[nH]1 ZINC001155526227 862194040 /nfs/dbraw/zinc/19/40/40/862194040.db2.gz FVXQIKFLCNXUQB-UHFFFAOYSA-N -1 1 303.280 1.312 20 0 DDADMM Cn1cnc([N-]C(=O)c2nnn(-c3ccccc3)c2C(F)F)n1 ZINC001274396113 852231800 /nfs/dbraw/zinc/23/18/00/852231800.db2.gz FQAHIQGVARTWCB-UHFFFAOYSA-N -1 1 319.275 1.586 20 0 DDADMM CC(C)(C)NC(=O)[C@H]1CC12CN(C(=O)c1cc(F)ccc1[O-])C2 ZINC001275360768 853014875 /nfs/dbraw/zinc/01/48/75/853014875.db2.gz JNSINNJKYYODSW-GFCCVEGCSA-N -1 1 320.364 1.908 20 0 DDADMM CC(C)(C)NC(=O)[C@@H]1CC12CN(C(=O)c1cc(F)ccc1[O-])C2 ZINC001275360769 853015183 /nfs/dbraw/zinc/01/51/83/853015183.db2.gz JNSINNJKYYODSW-LBPRGKRZSA-N -1 1 320.364 1.908 20 0 DDADMM CC(C)(C)NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1cc(F)ccc1[O-])C2 ZINC001275360629 853015232 /nfs/dbraw/zinc/01/52/32/853015232.db2.gz DSLDFFKPNDWAPO-BMLIUANNSA-N -1 1 320.364 1.908 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)C[C@H](C)n2ccnc2)[n-]1 ZINC001411532789 853314287 /nfs/dbraw/zinc/31/42/87/853314287.db2.gz AHABKAKASXPNDG-VIFPVBQESA-N -1 1 318.333 1.790 20 0 DDADMM CC(C)NC(=O)Nc1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001411665272 853545173 /nfs/dbraw/zinc/54/51/73/853545173.db2.gz LODPUAXWRNMJTD-UHFFFAOYSA-N -1 1 315.333 1.964 20 0 DDADMM O=C(NCc1csc(Br)c1)c1cnncc1O ZINC001411708585 853613040 /nfs/dbraw/zinc/61/30/40/853613040.db2.gz RZGFFSYATXDILO-UHFFFAOYSA-N -1 1 314.164 1.936 20 0 DDADMM O=C(NCc1csc(Br)c1)c1cnncc1[O-] ZINC001411708585 853613043 /nfs/dbraw/zinc/61/30/43/853613043.db2.gz RZGFFSYATXDILO-UHFFFAOYSA-N -1 1 314.164 1.936 20 0 DDADMM CCOC(=O)[C@@H](CO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001411926612 853984108 /nfs/dbraw/zinc/98/41/08/853984108.db2.gz JWIMWNOVZUZWFO-SECBINFHSA-N -1 1 321.251 1.065 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC2(CN(Cc3ccc[nH]3)C2)C1 ZINC001275950154 854004319 /nfs/dbraw/zinc/00/43/19/854004319.db2.gz REDDTWUMCRGSIP-UHFFFAOYSA-N -1 1 315.348 1.817 20 0 DDADMM C[C@@H]1Cc2cc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)ccc2O1 ZINC001412085736 854207398 /nfs/dbraw/zinc/20/73/98/854207398.db2.gz YQNAZNGRFPGDBM-SNVBAGLBSA-N -1 1 308.341 1.918 20 0 DDADMM CC[C@H]1CN(C(=O)c2cccc([O-])c2F)C[C@@H](C)S1(=O)=O ZINC001412113693 854226050 /nfs/dbraw/zinc/22/60/50/854226050.db2.gz YPGGONOLJIHQAH-ZJUUUORDSA-N -1 1 315.366 1.569 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc4ccccc4oc3=O)c1-2 ZINC001150947219 862544166 /nfs/dbraw/zinc/54/41/66/862544166.db2.gz XFTAENHQGSDJKZ-UHFFFAOYSA-N -1 1 321.296 1.394 20 0 DDADMM COCCn1cc(C(=O)Nc2cc([O-])c(F)cc2F)ccc1=O ZINC001412204007 854327224 /nfs/dbraw/zinc/32/72/24/854327224.db2.gz WJFWQFNEVSLWAF-UHFFFAOYSA-N -1 1 324.283 1.731 20 0 DDADMM CCC[C@@H](C(=O)Nc1cc([O-])c(F)cc1F)S(N)(=O)=O ZINC001412200910 854328670 /nfs/dbraw/zinc/32/86/70/854328670.db2.gz KHGNUQDOCNGRMF-JTQLQIEISA-N -1 1 308.306 1.066 20 0 DDADMM CC[C@H](C)c1nc(C)c(CC(=O)N(C)c2nn[n-]n2)c(C)n1 ZINC001412230973 854351524 /nfs/dbraw/zinc/35/15/24/854351524.db2.gz AOZBRNBUEWWIJA-QMMMGPOBSA-N -1 1 303.370 1.326 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1CCC(=O)[C@H](C)C1(C)C ZINC001412533049 854672799 /nfs/dbraw/zinc/67/27/99/854672799.db2.gz UQBXODAAJUYDAR-RYUDHWBXSA-N -1 1 321.425 1.826 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(C(F)F)cc1F)c1nn[n-]n1 ZINC001412600480 854791673 /nfs/dbraw/zinc/79/16/73/854791673.db2.gz DPNZLTNOFOTUNI-SECBINFHSA-N -1 1 315.255 1.394 20 0 DDADMM COC[C@H](NC(=O)C/C=C\c1ccc(C)cc1)c1nn[n-]n1 ZINC001412609981 854808329 /nfs/dbraw/zinc/80/83/29/854808329.db2.gz PSXPKVZRDZCKHL-SCOBNMCVSA-N -1 1 301.350 1.415 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)Nc1ccc(C(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001412842785 855718405 /nfs/dbraw/zinc/71/84/05/855718405.db2.gz AHCRGDXGIQHQDJ-KWQFWETISA-N -1 1 300.318 1.962 20 0 DDADMM C[C@@H]1C(=O)Nc2cc(C(=O)Nc3c[n-][nH]c3=O)ccc2N1C ZINC001412855605 855729431 /nfs/dbraw/zinc/72/94/31/855729431.db2.gz YIKZMDFRFFFCFI-SSDOTTSWSA-N -1 1 301.306 1.144 20 0 DDADMM CNc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)nc1 ZINC001412875539 855747019 /nfs/dbraw/zinc/74/70/19/855747019.db2.gz RJQXAZURXRFCSN-SECBINFHSA-N -1 1 303.322 1.232 20 0 DDADMM O=C(CNC(=O)c1ccc2ccc(O)cc2c1[O-])NCC(F)F ZINC001412900876 855770056 /nfs/dbraw/zinc/77/00/56/855770056.db2.gz TTZZYFDQSUDZKG-UHFFFAOYSA-N -1 1 324.283 1.362 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1COc2ccccc21)c1nn[n-]n1 ZINC001412934716 855798972 /nfs/dbraw/zinc/79/89/72/855798972.db2.gz KJRHYIGLADHDCF-LLVKDONJSA-N -1 1 301.350 1.507 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@]3(CCS(=O)(=O)C3)C2)c([O-])c1 ZINC001412969924 855827188 /nfs/dbraw/zinc/82/71/88/855827188.db2.gz NBFQFXHYCNZLFP-HNNXBMFYSA-N -1 1 324.402 1.137 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)[C@@H](O)c2ccccc2)c(=O)[n-]1 ZINC001413063158 856307778 /nfs/dbraw/zinc/30/77/78/856307778.db2.gz VPCDQYIEHMQDNW-JOYOIKCWSA-N -1 1 319.386 1.756 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](O)C2CCCCC2)n[n-]1 ZINC001413273751 856599717 /nfs/dbraw/zinc/59/97/17/856599717.db2.gz RKBVXWUDOKQPGX-MWLCHTKSSA-N -1 1 324.381 1.100 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](O)C2CCCCC2)[n-]1 ZINC001413273751 856599719 /nfs/dbraw/zinc/59/97/19/856599719.db2.gz RKBVXWUDOKQPGX-MWLCHTKSSA-N -1 1 324.381 1.100 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](O)C2CCCCC2)n1 ZINC001413273751 856599720 /nfs/dbraw/zinc/59/97/20/856599720.db2.gz RKBVXWUDOKQPGX-MWLCHTKSSA-N -1 1 324.381 1.100 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)c2ncnn21 ZINC001413274800 856601051 /nfs/dbraw/zinc/60/10/51/856601051.db2.gz YUOSEWZPQGKYMP-XCBNKYQSSA-N -1 1 300.322 1.087 20 0 DDADMM COc1nc(NCC[N-]C(=O)C(F)(F)F)nc2c1ccn2C ZINC001156204305 862814827 /nfs/dbraw/zinc/81/48/27/862814827.db2.gz BUYZBWDRBSVMTE-UHFFFAOYSA-N -1 1 317.271 1.067 20 0 DDADMM CC(NC(=O)CNC(=O)c1ncccc1[O-])(C1CC1)C1CC1 ZINC001413307100 856626913 /nfs/dbraw/zinc/62/69/13/856626913.db2.gz GXMNDKVGDWEFTO-UHFFFAOYSA-N -1 1 303.362 1.212 20 0 DDADMM CC(C)CC(=O)N[C@@H](C(=O)N(C)c1nn[n-]n1)c1ccccc1 ZINC001413329674 856669908 /nfs/dbraw/zinc/66/99/08/856669908.db2.gz WREGMJWXWAOUQM-CYBMUJFWSA-N -1 1 316.365 1.066 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc2c(c1)COC2 ZINC001413501874 856895965 /nfs/dbraw/zinc/89/59/65/856895965.db2.gz GXTQCKUXXYATNP-UHFFFAOYSA-N -1 1 301.350 1.528 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cn2c(n1)C[C@@H](C)CC2 ZINC001413504022 856899045 /nfs/dbraw/zinc/89/90/45/856899045.db2.gz OIPTWLCGYNCUSM-NSHDSACASA-N -1 1 317.397 1.277 20 0 DDADMM Cc1ncccc1-c1noc(-c2nc(Br)n[n-]2)n1 ZINC001213002195 862943092 /nfs/dbraw/zinc/94/30/92/862943092.db2.gz SHIVHURNRKOMKW-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM Cc1ncccc1-c1noc(-c2n[n-]c(Br)n2)n1 ZINC001213002195 862943106 /nfs/dbraw/zinc/94/31/06/862943106.db2.gz SHIVHURNRKOMKW-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM CCN(OC)C(=O)CCc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC001124040442 859544192 /nfs/dbraw/zinc/54/41/92/859544192.db2.gz VRGWWSZEAYNKPB-UHFFFAOYSA-N -1 1 316.361 1.895 20 0 DDADMM C[C@H]1CC[C@H](OCC[P@](=O)([O-])O)CN1C(=O)OC(C)(C)C ZINC001224603041 881462436 /nfs/dbraw/zinc/46/24/36/881462436.db2.gz VDKRETLKFWZFTJ-QWRGUYRKSA-N -1 1 323.326 1.969 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2snnc2C(C)C)n1 ZINC001361382494 881537687 /nfs/dbraw/zinc/53/76/87/881537687.db2.gz BPRKWPDKBLPZCB-UHFFFAOYSA-N -1 1 309.351 1.814 20 0 DDADMM CCn1cc2c(n1)CN(Cc1ccncc1[O-])C[C@H]2COC ZINC001140275287 860606337 /nfs/dbraw/zinc/60/63/37/860606337.db2.gz TUEJKTIYZKRBEV-ZDUSSCGKSA-N -1 1 302.378 1.749 20 0 DDADMM [O-]c1cnccc1CN1Cc2cnn(C3CCOCC3)c2C1 ZINC001140280111 860609808 /nfs/dbraw/zinc/60/98/08/860609808.db2.gz BDSTUPNOKZVWDM-UHFFFAOYSA-N -1 1 300.362 1.851 20 0 DDADMM Cc1[nH]c(CN2CC[C@H](Oc3cnccn3)C2)c(C)c1C(=O)[O-] ZINC001140498517 860646154 /nfs/dbraw/zinc/64/61/54/860646154.db2.gz IDOBOJZSRLJBHY-LBPRGKRZSA-N -1 1 316.361 1.773 20 0 DDADMM COC[C@H]1Cn2nccc2CN(Cc2ccccc2C(=O)[O-])C1 ZINC001140502865 860647551 /nfs/dbraw/zinc/64/75/51/860647551.db2.gz UMRUKCYHMXOQDH-CYBMUJFWSA-N -1 1 315.373 1.860 20 0 DDADMM [O-]c1c(F)cc(CN2CCN(c3cncnc3)CC2)cc1F ZINC001140990537 860752986 /nfs/dbraw/zinc/75/29/86/860752986.db2.gz FQSKTTYNEHIKEB-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM COC[C@@H]1CN(Cc2cc(F)c([O-])c(F)c2)Cc2nnn(C)c21 ZINC001140988605 860753817 /nfs/dbraw/zinc/75/38/17/860753817.db2.gz FQBAXCXAUZCMMZ-JTQLQIEISA-N -1 1 324.331 1.545 20 0 DDADMM Nc1cnc(Cl)c(NC(=O)c2ccc3[nH][n-]c(=O)c3c2)n1 ZINC001154206280 860869645 /nfs/dbraw/zinc/86/96/45/860869645.db2.gz MYDIHUMXVMCGAU-UHFFFAOYSA-N -1 1 304.697 1.546 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1ccco1)Cc1ccco1 ZINC001141504101 860875034 /nfs/dbraw/zinc/87/50/34/860875034.db2.gz MUJADBYQGXMVKT-UHFFFAOYSA-N -1 1 301.306 1.547 20 0 DDADMM O=C(NCCOc1ccc2c(c1)OCO2)c1ccc([O-])cn1 ZINC001141702113 860916221 /nfs/dbraw/zinc/91/62/21/860916221.db2.gz JWWRXYBUWRLEHT-UHFFFAOYSA-N -1 1 302.286 1.325 20 0 DDADMM CCOCCOCc1cccc(NC(=O)CCc2nn[n-]n2)c1 ZINC001141836911 860955583 /nfs/dbraw/zinc/95/55/83/860955583.db2.gz JXZHFOYJHJXGIC-UHFFFAOYSA-N -1 1 319.365 1.324 20 0 DDADMM CN(C)c1nc(NC(=O)C=Cc2ccoc2)c(N=O)c(=O)[n-]1 ZINC001142256625 861092978 /nfs/dbraw/zinc/09/29/78/861092978.db2.gz CJFQFIUMCIEHLJ-ONEGZZNKSA-N -1 1 303.278 1.891 20 0 DDADMM CCOC(=O)C(F)(F)[C@H](CC)OCCCC[P@](=O)([O-])O ZINC001225019889 881640001 /nfs/dbraw/zinc/64/00/01/881640001.db2.gz BTFPETIKICCVKO-VIFPVBQESA-N -1 1 318.253 1.938 20 0 DDADMM CCC(CC)(NC(=O)COc1ccc(C=O)cc1)c1nn[n-]n1 ZINC001156920511 863446705 /nfs/dbraw/zinc/44/67/05/863446705.db2.gz UJTOPPJDLUMNIS-UHFFFAOYSA-N -1 1 317.349 1.223 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccc(C)nc1Cl)c1nn[n-]n1 ZINC001156926893 863453302 /nfs/dbraw/zinc/45/33/02/863453302.db2.gz ZVVRVBDCAFKOLV-UHFFFAOYSA-N -1 1 322.800 1.931 20 0 DDADMM CCN(Cc1nccn1C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152974595 863626891 /nfs/dbraw/zinc/62/68/91/863626891.db2.gz AVWOGTQRDZXGLZ-GFCCVEGCSA-N -1 1 317.393 1.161 20 0 DDADMM Cc1cc2c(c(N[C@H](C(=O)NC(C)C)C(C)C)n1)C(=O)[N-]C2=O ZINC001159168448 865139614 /nfs/dbraw/zinc/13/96/14/865139614.db2.gz GDCRWSDLIAZXQM-LBPRGKRZSA-N -1 1 318.377 1.235 20 0 DDADMM CN(Cc1nnc2ccccn21)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001331921893 865434298 /nfs/dbraw/zinc/43/42/98/865434298.db2.gz CEQNJJZKTJPMEL-UHFFFAOYSA-N -1 1 324.344 1.375 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CCN(c2cccc(Cl)c2)C1=O ZINC001161384021 866612585 /nfs/dbraw/zinc/61/25/85/866612585.db2.gz BFVTULGTCOFTFE-SNVBAGLBSA-N -1 1 320.736 1.760 20 0 DDADMM O=C([O-])[C@@H](Cn1cc(Cl)cn1)NCc1cccnc1Cl ZINC001320749353 867000744 /nfs/dbraw/zinc/00/07/44/867000744.db2.gz XRXSCGVJQMKQOO-SNVBAGLBSA-N -1 1 315.160 1.828 20 0 DDADMM O=C([N-]CCNc1ccc2c(c1)C(=O)NCC2)C(F)(F)F ZINC001164244101 869050364 /nfs/dbraw/zinc/05/03/64/869050364.db2.gz UKMZGBAGIIHXQT-UHFFFAOYSA-N -1 1 301.268 1.063 20 0 DDADMM COC(=O)/C=C/c1ccc(NCC[N-]C(=O)C(F)(F)F)cc1 ZINC001164251358 869060860 /nfs/dbraw/zinc/06/08/60/869060860.db2.gz RWMRGANWILWKRD-QPJJXVBHSA-N -1 1 316.279 1.963 20 0 DDADMM C[C@@H]1c2sccc2CCN1c1nnc(Cc2nnn[n-]2)n1C ZINC001338273456 869898716 /nfs/dbraw/zinc/89/87/16/869898716.db2.gz RUKQIJVPATYTCD-MRVPVSSYSA-N -1 1 316.394 1.104 20 0 DDADMM C[C@@H]1c2sccc2CCN1c1nnc(Cc2nn[n-]n2)n1C ZINC001338273456 869898732 /nfs/dbraw/zinc/89/87/32/869898732.db2.gz RUKQIJVPATYTCD-MRVPVSSYSA-N -1 1 316.394 1.104 20 0 DDADMM CO[C@H](CNC(=O)c1cc(C)cc(C=O)c1[O-])[C@@H]1CCOC1 ZINC001166210271 869903842 /nfs/dbraw/zinc/90/38/42/869903842.db2.gz UVMQLZQAWRBXEH-BXUZGUMPSA-N -1 1 307.346 1.294 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CCC[C@H]1c1cccs1 ZINC001338718693 870131420 /nfs/dbraw/zinc/13/14/20/870131420.db2.gz FLYHERVYXPEZEZ-QMMMGPOBSA-N -1 1 318.362 1.561 20 0 DDADMM O=C(c1cnncc1[O-])N(C[C@@H]1CCCO1)[C@H]1CCSC1 ZINC001361798110 882392840 /nfs/dbraw/zinc/39/28/40/882392840.db2.gz KKPANWGNWGXCJG-QWRGUYRKSA-N -1 1 309.391 1.309 20 0 DDADMM COCc1ccnc(N2CCC([N-]C(=O)C(F)(F)F)CC2)c1 ZINC001166896457 870347443 /nfs/dbraw/zinc/34/74/43/870347443.db2.gz JQGHLLXJYMNMFP-UHFFFAOYSA-N -1 1 317.311 1.875 20 0 DDADMM O=C([N-]C1CCN(c2ncnc3c2CCC3)CC1)C(F)(F)F ZINC001166897684 870350198 /nfs/dbraw/zinc/35/01/98/870350198.db2.gz ZANZATBRAFGGKQ-UHFFFAOYSA-N -1 1 314.311 1.613 20 0 DDADMM C[C@@H](O)[C@H](NCc1cc(Br)cnc1Cl)C(=O)[O-] ZINC001339254820 870423131 /nfs/dbraw/zinc/42/31/31/870423131.db2.gz OVWIICXFJIYUMJ-XRGYYRRGSA-N -1 1 323.574 1.421 20 0 DDADMM C/C(=C\C(=O)NC/C=C\CNC(=O)c1ncccc1[O-])C1CC1 ZINC001298285257 870434003 /nfs/dbraw/zinc/43/40/03/870434003.db2.gz AWHCKHFJTGMTGG-HPEQFDNOSA-N -1 1 315.373 1.546 20 0 DDADMM CCN1CCC[C@H](Oc2ccc(O)cc2S(=O)(=O)[O-])C1 ZINC001226412144 882412860 /nfs/dbraw/zinc/41/28/60/882412860.db2.gz CWCVUXOZXJLXPQ-NSHDSACASA-N -1 1 301.364 1.502 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001298357567 870498009 /nfs/dbraw/zinc/49/80/09/870498009.db2.gz WVTZCMKSOIHPTK-JDEDCZITSA-N -1 1 303.362 1.236 20 0 DDADMM Cc1cc(NC(=O)[C@]2(C(=O)[O-])CNCCO2)ccc1OC(C)C ZINC001339973701 870814880 /nfs/dbraw/zinc/81/48/80/870814880.db2.gz OAAFPRPJNCTASN-INIZCTEOSA-N -1 1 322.361 1.164 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1cccc(OC)c1 ZINC001340050130 870861484 /nfs/dbraw/zinc/86/14/84/870861484.db2.gz XNOSNEYOWVCXCD-UHFFFAOYSA-N -1 1 314.353 1.123 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1cccc(OC)c1 ZINC001340050130 870861500 /nfs/dbraw/zinc/86/15/00/870861500.db2.gz XNOSNEYOWVCXCD-UHFFFAOYSA-N -1 1 314.353 1.123 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C=Cc1ccc(C=O)cc1 ZINC001303202258 871106830 /nfs/dbraw/zinc/10/68/30/871106830.db2.gz WZZIQUJXTHPAME-BQYQJAHWSA-N -1 1 313.361 1.710 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N(C)Cc1ccc(F)cc1 ZINC001341873783 871871096 /nfs/dbraw/zinc/87/10/96/871871096.db2.gz AQTYCNQMFBQIIQ-UHFFFAOYSA-N -1 1 318.312 1.417 20 0 DDADMM Cn1nnc(-n2c([O-])c(CC(F)(F)F)[nH]c2=O)c1C(F)F ZINC001343443021 872626477 /nfs/dbraw/zinc/62/64/77/872626477.db2.gz JQRHBGWBNRAKLP-GSVOUGTGSA-N -1 1 313.186 1.130 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001414066600 872718195 /nfs/dbraw/zinc/71/81/95/872718195.db2.gz LJSHGAURYHYDFS-AXFHLTTASA-N -1 1 323.397 1.388 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(Cc1ccccn1)C(C)C ZINC001361948033 882691544 /nfs/dbraw/zinc/69/15/44/882691544.db2.gz QMGIXBNFTZADPE-UHFFFAOYSA-N -1 1 318.333 1.342 20 0 DDADMM CSCCCN(C)c1nnc(-c2noc(=O)[n-]2)n1CC1CC1 ZINC001346149375 873626377 /nfs/dbraw/zinc/62/63/77/873626377.db2.gz WFXXIFQICUKWET-UHFFFAOYSA-N -1 1 324.410 1.221 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc(N(C)C)cn2)c(=O)[n-]1 ZINC001361978179 882749047 /nfs/dbraw/zinc/74/90/47/882749047.db2.gz CAWYMDARYCONHJ-UHFFFAOYSA-N -1 1 305.363 1.617 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2C[C@H]3C[C@H](C(=O)OC)C[C@H]32)[n-]1 ZINC001346693502 873851664 /nfs/dbraw/zinc/85/16/64/873851664.db2.gz VRCZDNTUBJODLK-BSTOTGJRSA-N -1 1 321.329 1.546 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2C[C@H]3C[C@@H](C(=O)OC)C[C@H]32)[n-]1 ZINC001346693505 873853036 /nfs/dbraw/zinc/85/30/36/873853036.db2.gz VRCZDNTUBJODLK-VWMGYNLJSA-N -1 1 321.329 1.546 20 0 DDADMM O=C(Cc1cccnc1Cl)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001347306051 874091263 /nfs/dbraw/zinc/09/12/63/874091263.db2.gz NQYRCPLBCMDRCP-JTQLQIEISA-N -1 1 320.784 1.272 20 0 DDADMM CC(=Cc1ccco1)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001347307480 874093325 /nfs/dbraw/zinc/09/33/25/874093325.db2.gz SWVATCAORZAAIY-NXIHDVOMSA-N -1 1 301.350 1.677 20 0 DDADMM CCC(CC)[C@@H](C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208463703 874164215 /nfs/dbraw/zinc/16/42/15/874164215.db2.gz RIBXXDXCQRYSNM-YPMHNXCESA-N -1 1 323.441 1.663 20 0 DDADMM CSc1ncc(C(=O)N2CCOc3ccccc3C2)c(=O)[n-]1 ZINC001362007649 882811279 /nfs/dbraw/zinc/81/12/79/882811279.db2.gz HOABJADTOMWOEO-UHFFFAOYSA-N -1 1 317.370 1.939 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H](CC(=O)Nc1nnn[n-]1)C(C)(C)C ZINC001362010242 882815841 /nfs/dbraw/zinc/81/58/41/882815841.db2.gz YTQNTIFKFKEYDA-QMMMGPOBSA-N -1 1 312.374 1.468 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H](CC(=O)Nc1nn[n-]n1)C(C)(C)C ZINC001362010242 882815856 /nfs/dbraw/zinc/81/58/56/882815856.db2.gz YTQNTIFKFKEYDA-QMMMGPOBSA-N -1 1 312.374 1.468 20 0 DDADMM Cc1cccc2c(CCC(=O)N3CC[C@H](c4nn[n-]n4)C3)c[nH]c21 ZINC001347976240 874324065 /nfs/dbraw/zinc/32/40/65/874324065.db2.gz XEOVIMVGLVAPTQ-ZDUSSCGKSA-N -1 1 324.388 1.938 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)c2cn(C)nc2C)c(=O)[n-]1 ZINC001362022013 882848546 /nfs/dbraw/zinc/84/85/46/882848546.db2.gz ZLFHDMYUYNFLEY-ZETCQYMHSA-N -1 1 307.379 1.437 20 0 DDADMM O=C(NCCNC(=O)c1ncccc1[O-])c1cc(F)cc(F)c1 ZINC001348839397 874823498 /nfs/dbraw/zinc/82/34/98/874823498.db2.gz XQTOGCYHQZOVEY-UHFFFAOYSA-N -1 1 321.283 1.225 20 0 DDADMM CC(C)CCCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209774922 875114517 /nfs/dbraw/zinc/11/45/17/875114517.db2.gz GECQRYZRLPLVPJ-GFCCVEGCSA-N -1 1 309.414 1.417 20 0 DDADMM COC(=O)c1ncc(Nc2[nH]c(=S)[n-]c(=O)c2N=O)cn1 ZINC001211154222 875678462 /nfs/dbraw/zinc/67/84/62/875678462.db2.gz VBYMNZMKWHHGAK-UHFFFAOYSA-N -1 1 308.279 1.189 20 0 DDADMM Cc1coc(C(=O)NCC2(NC(=O)c3ncccc3[O-])CC2)c1 ZINC001379035387 876187850 /nfs/dbraw/zinc/18/78/50/876187850.db2.gz CACLCWVYQPIRHQ-UHFFFAOYSA-N -1 1 315.329 1.381 20 0 DDADMM O=c1[nH]c2[n-]c(OC[C@@H]3COc4cscc4O3)nc2c(=O)[nH]1 ZINC001227432547 883013916 /nfs/dbraw/zinc/01/39/16/883013916.db2.gz OEOLLBNNXNZDJS-YFKPBYRVSA-N -1 1 322.302 1.044 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)c(CO)c1 ZINC001214466789 876352576 /nfs/dbraw/zinc/35/25/76/876352576.db2.gz LNBJXOBADYQXOH-UHFFFAOYSA-N -1 1 307.375 1.453 20 0 DDADMM CCC(CC)(CCO)CNC(=O)c1cnc(SC)[n-]c1=O ZINC001362094849 883020893 /nfs/dbraw/zinc/02/08/93/883020893.db2.gz RVBLLKSIJPLUDP-UHFFFAOYSA-N -1 1 313.423 1.823 20 0 DDADMM CCOC(=O)c1cnoc1[N-]c1cc(F)c(C(N)=O)c(F)c1 ZINC001214910114 876502465 /nfs/dbraw/zinc/50/24/65/876502465.db2.gz QCYRGMBGGBMKBK-UHFFFAOYSA-N -1 1 311.244 1.972 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c2ncccc12 ZINC001352587475 876819031 /nfs/dbraw/zinc/81/90/31/876819031.db2.gz ZTOLSFRHCCXXAJ-LLVKDONJSA-N -1 1 308.345 1.686 20 0 DDADMM COc1ccc(C)cc1CCNCc1cn(CC(=O)[O-])nn1 ZINC001353916716 877643893 /nfs/dbraw/zinc/64/38/93/877643893.db2.gz XNTMDQIVWGPJSC-UHFFFAOYSA-N -1 1 304.350 1.012 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)NCc1ccccc1N1CCN(C)CC1 ZINC001354073574 877732894 /nfs/dbraw/zinc/73/28/94/877732894.db2.gz PMCZYSATHBNPNQ-CQSZACIVSA-N -1 1 319.405 1.165 20 0 DDADMM CC(C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-])=C1CCCC1 ZINC001354346791 877908386 /nfs/dbraw/zinc/90/83/86/877908386.db2.gz UPDAPPWNMNKGGS-NSHDSACASA-N -1 1 317.389 1.912 20 0 DDADMM C[C@H]1CCN(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)C1 ZINC001354358591 877917682 /nfs/dbraw/zinc/91/76/82/877917682.db2.gz OWSHWIHDBKYYQP-NSHDSACASA-N -1 1 310.365 1.353 20 0 DDADMM C[C@H]1CCN(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)C1 ZINC001354358591 877917693 /nfs/dbraw/zinc/91/76/93/877917693.db2.gz OWSHWIHDBKYYQP-NSHDSACASA-N -1 1 310.365 1.353 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CCC[C@]2(CC=CCC2)C1 ZINC001355768202 878708573 /nfs/dbraw/zinc/70/85/73/878708573.db2.gz XPZVWGNDSYRWDY-OAHLLOKOSA-N -1 1 316.365 1.485 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)[C@@H]1CCc2ccccc2N1 ZINC001220375075 878960054 /nfs/dbraw/zinc/96/00/54/878960054.db2.gz CRLPCHLSZPHBGB-ZDUSSCGKSA-N -1 1 312.306 1.487 20 0 DDADMM Cc1occc1C(=O)N[C@@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001380380010 879510249 /nfs/dbraw/zinc/51/02/49/879510249.db2.gz RLVKETZNYKPGHY-VHSXEESVSA-N -1 1 317.345 1.625 20 0 DDADMM O=C(C[C@H]1C=CCC1)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358584225 880556679 /nfs/dbraw/zinc/55/66/79/880556679.db2.gz JNAJOQCGTGUELO-QWHCGFSZSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)CCC[C@@H]1OCc1nn[n-]n1 ZINC001223029583 880790920 /nfs/dbraw/zinc/79/09/20/880790920.db2.gz NBIPAIQBULVWTB-MNOVXSKESA-N -1 1 311.386 1.752 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](OCc2nn[n-]n2)[C@H](F)C1 ZINC001223030652 880792621 /nfs/dbraw/zinc/79/26/21/880792621.db2.gz ZGTPCINTRYKWJU-RKDXNWHRSA-N -1 1 301.322 1.064 20 0 DDADMM CC(C)CC(C)(C)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001381110739 881049978 /nfs/dbraw/zinc/04/99/78/881049978.db2.gz HGKNARRKQZLARF-RYUDHWBXSA-N -1 1 323.441 1.519 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccccc2F)C1=O)c1ccc([O-])cn1 ZINC001362138922 883133264 /nfs/dbraw/zinc/13/32/64/883133264.db2.gz MNRJJAVJMFRKOW-CYBMUJFWSA-N -1 1 315.304 1.462 20 0 DDADMM C[C@@H](c1ccccn1)N1CCC[C@H](Oc2nc(O)cc(=O)[n-]2)C1 ZINC001228390235 883477141 /nfs/dbraw/zinc/47/71/41/883477141.db2.gz FHLNABBSNVLEMA-RYUDHWBXSA-N -1 1 316.361 1.887 20 0 DDADMM CCC(CC)(CO)C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001362299339 883511678 /nfs/dbraw/zinc/51/16/78/883511678.db2.gz QVOMOEIIUMLQLX-UHFFFAOYSA-N -1 1 319.365 1.516 20 0 DDADMM CC[C@@H](C)Oc1cc(CNC(=O)CCCc2nn[n-]n2)ccn1 ZINC001362360155 883642955 /nfs/dbraw/zinc/64/29/55/883642955.db2.gz ZRMVVNGKWUAZSW-LLVKDONJSA-N -1 1 318.381 1.411 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccc(F)cc1F ZINC001362404228 883735469 /nfs/dbraw/zinc/73/54/69/883735469.db2.gz ADDSKVRGLJJWJJ-NXEZZACHSA-N -1 1 321.331 1.988 20 0 DDADMM C[C@@]1(C(N)=O)CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC001362420143 883769058 /nfs/dbraw/zinc/76/90/58/883769058.db2.gz VUMGGGGZAWSTNV-CYBMUJFWSA-N -1 1 316.279 1.749 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCOC[C@H]1[C@H]1CCCC1=O ZINC001362473595 883892462 /nfs/dbraw/zinc/89/24/62/883892462.db2.gz XFXJSOWYOUJQKN-YPMHNXCESA-N -1 1 307.321 1.742 20 0 DDADMM O=C1[N-]C(=O)[C@H](Cc2ccc(OC3COCOC3)cc2)S1 ZINC001229616547 884079415 /nfs/dbraw/zinc/07/94/15/884079415.db2.gz IYXHQKZDSJOMBD-LBPRGKRZSA-N -1 1 309.343 1.332 20 0 DDADMM Cn1[nH]c(C2CCN(C(=O)c3cccc([O-])c3F)CC2)nc1=N ZINC001362564004 884105110 /nfs/dbraw/zinc/10/51/10/884105110.db2.gz XBWADEPYHGMOOH-UHFFFAOYSA-N -1 1 319.340 1.092 20 0 DDADMM Cc1csc(CNC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC001362596873 884181760 /nfs/dbraw/zinc/18/17/60/884181760.db2.gz BVBCFSDLMIFPPX-UHFFFAOYSA-N -1 1 305.359 1.203 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1c1cccc(O)c1)c1nn[n-]n1 ZINC001362617433 884231455 /nfs/dbraw/zinc/23/14/55/884231455.db2.gz OSQBKLOMBBHRFX-JIMOISOXSA-N -1 1 301.350 1.271 20 0 DDADMM CC(C)OC(=O)CC[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362617847 884235112 /nfs/dbraw/zinc/23/51/12/884235112.db2.gz CUUXNJNYRAHMDB-SNVBAGLBSA-N -1 1 321.377 1.910 20 0 DDADMM CCOC(=O)N1CCC(Oc2nc(C)[n-]c(=O)c2OC)CC1 ZINC001230020617 884279131 /nfs/dbraw/zinc/27/91/31/884279131.db2.gz FVZZZAAOLLHEDV-UHFFFAOYSA-N -1 1 311.338 1.499 20 0 DDADMM CCCc1nc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)co1 ZINC001362691621 884424647 /nfs/dbraw/zinc/42/46/47/884424647.db2.gz XMIXXEIIRWWKAQ-QMMMGPOBSA-N -1 1 310.383 1.364 20 0 DDADMM COC(=O)Nc1ccc(NC(=O)CCCc2nn[n-]n2)cc1F ZINC001362700049 884440147 /nfs/dbraw/zinc/44/01/47/884440147.db2.gz JWYNYHURGHKYOP-UHFFFAOYSA-N -1 1 322.300 1.479 20 0 DDADMM COC(=O)c1cc(NC(=O)c2cc(SC)ccc2[O-])nn1C ZINC001362725733 884493745 /nfs/dbraw/zinc/49/37/45/884493745.db2.gz GHALNNXRINJEJE-UHFFFAOYSA-N -1 1 321.358 1.887 20 0 DDADMM COC(=O)[C@H]1C[C@@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC001362751479 884552034 /nfs/dbraw/zinc/55/20/34/884552034.db2.gz DGSZTLNARPNORS-DTORHVGOSA-N -1 1 318.304 1.761 20 0 DDADMM NC(=O)c1csc(C(=O)[N-]c2nnc([C@@H]3CCCO3)s2)c1 ZINC001362796717 884665703 /nfs/dbraw/zinc/66/57/03/884665703.db2.gz RGMSISCQVXYDPW-ZETCQYMHSA-N -1 1 324.387 1.802 20 0 DDADMM Cc1ncccc1NC(=O)[C@H](C)NC(=O)c1ccc([O-])cc1F ZINC001362799514 884669644 /nfs/dbraw/zinc/66/96/44/884669644.db2.gz NZQJTQKXCUCZEI-JTQLQIEISA-N -1 1 317.320 1.992 20 0 DDADMM C[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)Cc2cncnc21 ZINC001362817593 884710358 /nfs/dbraw/zinc/71/03/58/884710358.db2.gz MCDDIEHANCCXJI-VIFPVBQESA-N -1 1 311.345 1.609 20 0 DDADMM CO[C@H](C)CCC(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001382941727 885004155 /nfs/dbraw/zinc/00/41/55/885004155.db2.gz FTORJZQPYPAKQB-VXGBXAGGSA-N -1 1 323.393 1.179 20 0 DDADMM CC(C)(C)[C@@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)c1nc(=O)o[n-]1 ZINC001362937821 885034380 /nfs/dbraw/zinc/03/43/80/885034380.db2.gz MDTLLRYKHJBQCA-KCJUWKMLSA-N -1 1 319.365 1.410 20 0 DDADMM Cn1nccc1[C@@H]1COCCN1C(=O)c1cccc([O-])c1F ZINC001362985806 885150227 /nfs/dbraw/zinc/15/02/27/885150227.db2.gz QVBCBZSLNLCZQF-LBPRGKRZSA-N -1 1 305.309 1.479 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cccc([C@H]2CCC(=O)N2)c1 ZINC001363058457 885356435 /nfs/dbraw/zinc/35/64/35/885356435.db2.gz LYHOTNBETCLHKH-GFCCVEGCSA-N -1 1 314.349 1.112 20 0 DDADMM CCC(CC)(NC(=O)[C@H](O)c1ccccc1OC)c1nn[n-]n1 ZINC001363133876 885548417 /nfs/dbraw/zinc/54/84/17/885548417.db2.gz CJZCGTZIURJHTF-GFCCVEGCSA-N -1 1 319.365 1.073 20 0 DDADMM CSc1nc(CNC(=O)c2cncc(C(C)=O)c2)cc(=O)[n-]1 ZINC001363143719 885572809 /nfs/dbraw/zinc/57/28/09/885572809.db2.gz VFGONSSXDYHHIQ-UHFFFAOYSA-N -1 1 318.358 1.432 20 0 DDADMM O=C(N[C@H](CO)[C@H](O)c1cccnc1)c1ccc(Cl)cc1[O-] ZINC001363146028 885577173 /nfs/dbraw/zinc/57/71/73/885577173.db2.gz MJLNJUQOVZVIRL-TZMCWYRMSA-N -1 1 322.748 1.265 20 0 DDADMM O=C(CCc1ccc2c(c1)CCO2)NCc1nc([O-])cc(=O)[nH]1 ZINC001363148618 885583281 /nfs/dbraw/zinc/58/32/81/885583281.db2.gz BLWWUQVKKFNSQE-UHFFFAOYSA-N -1 1 315.329 1.072 20 0 DDADMM CC(C)C(=O)N[C@@H]1[C@H]2CN(Cc3cc(C(=O)[O-])ccc3F)C[C@H]21 ZINC001231457080 885679487 /nfs/dbraw/zinc/67/94/87/885679487.db2.gz HNIHDKYDYWRAKL-NHAGDIPZSA-N -1 1 320.364 1.726 20 0 DDADMM O=C(c1coc(C2CCCCC2)n1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363219889 885742358 /nfs/dbraw/zinc/74/23/58/885742358.db2.gz RNJCOMGPAADEQK-LLVKDONJSA-N -1 1 316.365 1.865 20 0 DDADMM NC(=O)[C@H]1CCN(Cc2ccc([O-])c(F)c2F)Cc2ccnn21 ZINC001277543206 885766318 /nfs/dbraw/zinc/76/63/18/885766318.db2.gz JRBLVBDTFCSDDR-LLVKDONJSA-N -1 1 322.315 1.299 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC[C@H]2CCCCO2)n[n-]1 ZINC001363229238 885767707 /nfs/dbraw/zinc/76/77/07/885767707.db2.gz ALTQZVYEUCAJBE-WDEREUQCSA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC[C@H]2CCCCO2)[n-]1 ZINC001363229238 885767720 /nfs/dbraw/zinc/76/77/20/885767720.db2.gz ALTQZVYEUCAJBE-WDEREUQCSA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC[C@H]2CCCCO2)n1 ZINC001363229238 885767736 /nfs/dbraw/zinc/76/77/36/885767736.db2.gz ALTQZVYEUCAJBE-WDEREUQCSA-N -1 1 324.381 1.508 20 0 DDADMM COC[C@@H]1CN(Cc2ccc(Cl)c([O-])c2)Cc2nnn(C)c21 ZINC001231729755 885838327 /nfs/dbraw/zinc/83/83/27/885838327.db2.gz BIJCRJNVWANNEH-NSHDSACASA-N -1 1 322.796 1.920 20 0 DDADMM CNC(=O)CN(Cc1ccccc1)C(=O)c1ccc([O-])c(F)c1 ZINC001363265833 885859056 /nfs/dbraw/zinc/85/90/56/885859056.db2.gz BNZQZYVJAQERLM-UHFFFAOYSA-N -1 1 316.332 1.920 20 0 DDADMM CCC(=O)N[C@@H]1CCCN(Cc2ccc(OC)c(C(=O)[O-])c2)C1 ZINC001231755864 885860633 /nfs/dbraw/zinc/86/06/33/885860633.db2.gz BLWXWNZTPGSJPG-CYBMUJFWSA-N -1 1 320.389 1.884 20 0 DDADMM CC(=O)N1CCC[C@H](N(C)Cc2ccccc2OCC(=O)[O-])C1 ZINC001231794260 885877227 /nfs/dbraw/zinc/87/72/27/885877227.db2.gz ZDIQFRIIHQHMDM-HNNXBMFYSA-N -1 1 320.389 1.593 20 0 DDADMM CCN(CC(=O)NC1(c2nn[n-]n2)CCC1)c1ccccc1 ZINC001363278174 885893901 /nfs/dbraw/zinc/89/39/01/885893901.db2.gz QSVQFMPUVWRKNK-UHFFFAOYSA-N -1 1 300.366 1.222 20 0 DDADMM CSCC[C@H](NC(=O)c1cnn(C(C)C)c1C)c1nn[n-]n1 ZINC001363281415 885904764 /nfs/dbraw/zinc/90/47/64/885904764.db2.gz XLNSLVGOPIWPCG-NSHDSACASA-N -1 1 323.426 1.510 20 0 DDADMM Cn1ccnc1[C@@H]1C[C@H](NC(=O)c2ccc([O-])cc2F)CCO1 ZINC001363287831 885917147 /nfs/dbraw/zinc/91/71/47/885917147.db2.gz WGLYQWQULJBCMY-YGRLFVJLSA-N -1 1 319.336 1.915 20 0 DDADMM C[C@H](C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1ccccn1 ZINC001363293167 885933426 /nfs/dbraw/zinc/93/34/26/885933426.db2.gz LCXPAWJGQCBVNL-JTQLQIEISA-N -1 1 314.345 1.070 20 0 DDADMM CC[C@@H](O)Cn1cc(C(=O)Nc2cc([O-])c(F)cc2F)nn1 ZINC001363383842 886187662 /nfs/dbraw/zinc/18/76/62/886187662.db2.gz GNNJEBCLOVLELJ-SSDOTTSWSA-N -1 1 312.276 1.285 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCO[C@H](c2noc(C3CC3)n2)C1 ZINC001363385816 886193428 /nfs/dbraw/zinc/19/34/28/886193428.db2.gz UOQNMJHWLGIKBI-LBPRGKRZSA-N -1 1 316.317 1.261 20 0 DDADMM COC[C@H](NC(=O)c1ccc(OC(C)C)cc1)c1nn[n-]n1 ZINC001363409390 886256411 /nfs/dbraw/zinc/25/64/11/886256411.db2.gz CSDOVMTZCVVKJY-LBPRGKRZSA-N -1 1 305.338 1.104 20 0 DDADMM COC[C@@H](NC(=O)Cc1ccc(CC(C)C)cc1)c1nn[n-]n1 ZINC001363428828 886312221 /nfs/dbraw/zinc/31/22/21/886312221.db2.gz APHMMIMAVPDUIP-CQSZACIVSA-N -1 1 317.393 1.445 20 0 DDADMM Cc1nc(CNC(=O)c2ccc(F)c([O-])c2)sc1C(N)=O ZINC001363453880 886380458 /nfs/dbraw/zinc/38/04/58/886380458.db2.gz BUAJJSRQABICLY-UHFFFAOYSA-N -1 1 309.322 1.325 20 0 DDADMM C=C/C(C)=C\CC(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001277684851 886480937 /nfs/dbraw/zinc/48/09/37/886480937.db2.gz QKYUQWJMLRRQJM-ZRVMKQEGSA-N -1 1 319.409 1.359 20 0 DDADMM O=C(c1cnncc1[O-])N1C[C@H]2COC[C@@]2(c2ccccc2)C1 ZINC001363494952 886484678 /nfs/dbraw/zinc/48/46/78/886484678.db2.gz MEKYSXLOQXGTNA-SUMWQHHRSA-N -1 1 311.341 1.222 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ccc([O-])cn2)sc1C ZINC001363514951 886528249 /nfs/dbraw/zinc/52/82/49/886528249.db2.gz WCCFDKITHJIDDA-UHFFFAOYSA-N -1 1 307.331 1.981 20 0 DDADMM C[C@H](Oc1ccccc1Cl)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363547120 886606289 /nfs/dbraw/zinc/60/62/89/886606289.db2.gz FRZBFZVTCGGNKO-VIFPVBQESA-N -1 1 321.768 1.816 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)C1CCOCC1 ZINC001363558145 886633738 /nfs/dbraw/zinc/63/37/38/886633738.db2.gz KKMHOKNNIYQJRZ-NSHDSACASA-N -1 1 322.365 1.072 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)C1CCOCC1 ZINC001363558145 886633748 /nfs/dbraw/zinc/63/37/48/886633748.db2.gz KKMHOKNNIYQJRZ-NSHDSACASA-N -1 1 322.365 1.072 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)C1CCOCC1 ZINC001363558145 886633757 /nfs/dbraw/zinc/63/37/57/886633757.db2.gz KKMHOKNNIYQJRZ-NSHDSACASA-N -1 1 322.365 1.072 20 0 DDADMM COC[C@@H](NC(=O)[C@@H]1[C@@H](c2ccccc2)C1(C)C)c1nn[n-]n1 ZINC001363585363 886697504 /nfs/dbraw/zinc/69/75/04/886697504.db2.gz JAYKZAYVXMRTNA-UPJWGTAASA-N -1 1 315.377 1.443 20 0 DDADMM CCOC(=O)[C@H]1COCCN1Cc1cc([O-])c(F)c(F)c1 ZINC001232973715 886701203 /nfs/dbraw/zinc/70/12/03/886701203.db2.gz JDNKDDBXSNKQRZ-LLVKDONJSA-N -1 1 301.289 1.434 20 0 DDADMM COC(=O)[C@]1(C)COCCN1Cc1cc([O-])c(F)c(F)c1 ZINC001232973962 886702465 /nfs/dbraw/zinc/70/24/65/886702465.db2.gz OUSLHRVQCCTAAV-AWEZNQCLSA-N -1 1 301.289 1.434 20 0 DDADMM COC(=O)C[C@@H]1CN(Cc2cc(Cl)ncc2[O-])CCO1 ZINC001233034063 886740863 /nfs/dbraw/zinc/74/08/63/886740863.db2.gz FMPSKGHYZMOTGL-SNVBAGLBSA-N -1 1 300.742 1.205 20 0 DDADMM CN(C)C(=O)NC1CCN(Cc2cc(Cl)ncc2[O-])CC1 ZINC001233037933 886746642 /nfs/dbraw/zinc/74/66/42/886746642.db2.gz KWKKFIZBWRJNIG-UHFFFAOYSA-N -1 1 312.801 1.676 20 0 DDADMM Cc1nnc(N2CCN(C(=O)c3ccc(F)c([O-])c3)CC2)s1 ZINC001363612670 886765073 /nfs/dbraw/zinc/76/50/73/886765073.db2.gz SQMWRWQAIMWFFB-UHFFFAOYSA-N -1 1 322.365 1.654 20 0 DDADMM CSc1nc(CNC(=O)c2ncoc2C2CC2)cc(=O)[n-]1 ZINC001363636256 886835893 /nfs/dbraw/zinc/83/58/93/886835893.db2.gz YVUAEQSBAVLBTM-UHFFFAOYSA-N -1 1 306.347 1.700 20 0 DDADMM CC1C(=O)N=C(CCN(C)C(=O)c2c([O-])cccc2F)NC1=O ZINC001363658849 886907062 /nfs/dbraw/zinc/90/70/62/886907062.db2.gz YAXWYIILUWLCJA-UHFFFAOYSA-N -1 1 321.308 1.356 20 0 DDADMM O=C(c1coc2c1C(=O)CCC2)N1CCC(c2nn[n-]n2)CC1 ZINC001363663413 886917739 /nfs/dbraw/zinc/91/77/39/886917739.db2.gz YSRGZKCYLRXMDL-UHFFFAOYSA-N -1 1 315.333 1.332 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](OCC)C2CCCC2)n[n-]1 ZINC001363706386 887030009 /nfs/dbraw/zinc/03/00/09/887030009.db2.gz JUDFKBKWCAWVQK-GFCCVEGCSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](OCC)C2CCCC2)n1 ZINC001363706386 887030027 /nfs/dbraw/zinc/03/00/27/887030027.db2.gz JUDFKBKWCAWVQK-GFCCVEGCSA-N -1 1 324.381 1.193 20 0 DDADMM CC[C@@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)c1ccncc1 ZINC001363781421 887204184 /nfs/dbraw/zinc/20/41/84/887204184.db2.gz KRELZKXQSPDZCR-SNVBAGLBSA-N -1 1 324.362 1.021 20 0 DDADMM CC[C@@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)c1ccncc1 ZINC001363781421 887204200 /nfs/dbraw/zinc/20/42/00/887204200.db2.gz KRELZKXQSPDZCR-SNVBAGLBSA-N -1 1 324.362 1.021 20 0 DDADMM CCCc1noc(CCCC(=O)NC2(c3nn[n-]n3)CCC2)n1 ZINC001363816021 887300042 /nfs/dbraw/zinc/30/00/42/887300042.db2.gz BRKJOSGBGALPLO-UHFFFAOYSA-N -1 1 319.369 1.054 20 0 DDADMM CSCCC(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001384347438 887311652 /nfs/dbraw/zinc/31/16/52/887311652.db2.gz MATFTLDAYMXTDD-LLVKDONJSA-N -1 1 323.418 1.213 20 0 DDADMM O=C(NCc1ncc(Br)cn1)c1ccc([O-])cn1 ZINC001363847975 887387067 /nfs/dbraw/zinc/38/70/67/887387067.db2.gz BZVBWKYULIQPSF-UHFFFAOYSA-N -1 1 309.123 1.270 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C1CCCC1 ZINC001363869057 887434338 /nfs/dbraw/zinc/43/43/38/887434338.db2.gz CKSUPCXCVWEUPK-LLVKDONJSA-N -1 1 315.395 1.443 20 0 DDADMM CCOC(=O)[C@H]1c2ccoc2CCN1C(=O)c1cnncc1[O-] ZINC001364002357 887702464 /nfs/dbraw/zinc/70/24/64/887702464.db2.gz XDQZTLGJAQHDTJ-CYBMUJFWSA-N -1 1 317.301 1.078 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2[C@H](C)O[C@H](C)[C@H]2C)sn1 ZINC001364028461 887754101 /nfs/dbraw/zinc/75/41/01/887754101.db2.gz RAQNJWNISPYFTR-LEQIOUOKSA-N -1 1 306.409 1.242 20 0 DDADMM COC(=O)C[C@](C)(NC(=O)c1ccc([O-])cn1)c1cccnc1 ZINC001364038412 887773333 /nfs/dbraw/zinc/77/33/33/887773333.db2.gz VTUUBLJBHSXSIF-INIZCTEOSA-N -1 1 315.329 1.391 20 0 DDADMM Cc1c(Br)c(C(=O)Nc2c[n-][nH]c2=O)nn1C ZINC001364091822 887899346 /nfs/dbraw/zinc/89/93/46/887899346.db2.gz KJZNGKPHCFWXMR-UHFFFAOYSA-N -1 1 300.116 1.172 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C1([C@@H]2CCCCO2)CC1 ZINC001364110960 887946189 /nfs/dbraw/zinc/94/61/89/887946189.db2.gz VVDLJPPQPYEDEF-JTQLQIEISA-N -1 1 318.420 1.532 20 0 DDADMM NC(=O)c1nc[n-]c(=O)c1O[C@H]1CCCN(c2ccccc2)C1 ZINC001234465431 887995623 /nfs/dbraw/zinc/99/56/23/887995623.db2.gz FNTUDLUASUILRA-LBPRGKRZSA-N -1 1 314.345 1.329 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H](C(C)=O)c1ccccc1 ZINC001234564323 888102247 /nfs/dbraw/zinc/10/22/47/888102247.db2.gz JNTGGKBXKMTDRQ-CYBMUJFWSA-N -1 1 316.313 1.986 20 0 DDADMM CCOC(=O)[C@H]1CC[C@@H](Oc2c(=O)[n-]c(C)nc2C(=O)OC)C1 ZINC001234564544 888104946 /nfs/dbraw/zinc/10/49/46/888104946.db2.gz LPKGWRBSXDVWEK-VHSXEESVSA-N -1 1 324.333 1.388 20 0 DDADMM COC(=O)C1(C)CC(Oc2c(C(N)=O)nc(C(C)C)[n-]c2=O)C1 ZINC001234566085 888105193 /nfs/dbraw/zinc/10/51/93/888105193.db2.gz SEDSRDRMNHSWJG-UHFFFAOYSA-N -1 1 323.349 1.125 20 0 DDADMM CCCOC(=O)[C@@H](C)Oc1[n-]c(=O)c(F)cc1C(=O)OC ZINC001234609804 888137500 /nfs/dbraw/zinc/13/75/00/888137500.db2.gz QNUFFSWQHHXFQW-SSDOTTSWSA-N -1 1 301.270 1.433 20 0 DDADMM CCc1nc(C(=O)N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)co1 ZINC001364416456 888587881 /nfs/dbraw/zinc/58/78/81/888587881.db2.gz PWGPNAWMZAEXFX-JTQLQIEISA-N -1 1 318.333 1.719 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)Cc2cccnc2)c(=O)[n-]1 ZINC001364424741 888608653 /nfs/dbraw/zinc/60/86/53/888608653.db2.gz GONDYCYLMZICHI-SECBINFHSA-N -1 1 304.375 1.660 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(CC)c2O)n1 ZINC001364508140 888799105 /nfs/dbraw/zinc/79/91/05/888799105.db2.gz QJTDZCRPDSGRDC-UHFFFAOYSA-N -1 1 318.333 1.179 20 0 DDADMM CC(C)CC(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001385369591 888981600 /nfs/dbraw/zinc/98/16/00/888981600.db2.gz ZWTXRRYDAQPJIM-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1ccccc1COCC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001364649493 889117802 /nfs/dbraw/zinc/11/78/02/889117802.db2.gz ACLYPGLKRWKXOQ-UHFFFAOYSA-N -1 1 317.393 1.710 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1cc[nH]c1 ZINC001364770281 889376039 /nfs/dbraw/zinc/37/60/39/889376039.db2.gz QCKKCKPLHUBCTL-SNVBAGLBSA-N -1 1 303.322 1.287 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1cc[nH]c1 ZINC001364770281 889376058 /nfs/dbraw/zinc/37/60/58/889376058.db2.gz QCKKCKPLHUBCTL-SNVBAGLBSA-N -1 1 303.322 1.287 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1cc[nH]c1 ZINC001364770281 889376074 /nfs/dbraw/zinc/37/60/74/889376074.db2.gz QCKKCKPLHUBCTL-SNVBAGLBSA-N -1 1 303.322 1.287 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H]2CC(C)C)[n-]n1 ZINC001364799711 889438581 /nfs/dbraw/zinc/43/85/81/889438581.db2.gz VQMOLJOTMKLOIV-JTQLQIEISA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H]2CC(C)C)n[n-]1 ZINC001364799711 889438592 /nfs/dbraw/zinc/43/85/92/889438592.db2.gz VQMOLJOTMKLOIV-JTQLQIEISA-N -1 1 315.395 1.396 20 0 DDADMM O=C(c1ccc(F)c(O)c1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364818538 889479964 /nfs/dbraw/zinc/47/99/64/889479964.db2.gz LGRKAEZSYILJLE-VIFPVBQESA-N -1 1 305.313 1.139 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1CCC(C)(C)O1 ZINC001364856031 889555901 /nfs/dbraw/zinc/55/59/01/889555901.db2.gz WEAVOTDNGXHHHY-QMMMGPOBSA-N -1 1 306.409 1.388 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1ncc(C)cc1C ZINC001364920409 889669570 /nfs/dbraw/zinc/66/95/70/889669570.db2.gz MXBGAIVEQZECGX-UHFFFAOYSA-N -1 1 313.404 1.642 20 0 DDADMM COc1ccc([S@@](C)(=O)=NC(=O)CCCc2nn[n-]n2)cc1 ZINC001364921540 889672033 /nfs/dbraw/zinc/67/20/33/889672033.db2.gz XNNGVLKZQIWXSI-JOCHJYFZSA-N -1 1 323.378 1.215 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C1CC1)[C@@H]1CCCOC1 ZINC001364985428 889820147 /nfs/dbraw/zinc/82/01/47/889820147.db2.gz UMNZZKLPQRCYIG-GHMZBOCLSA-N -1 1 315.395 1.049 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001385932535 890016650 /nfs/dbraw/zinc/01/66/50/890016650.db2.gz ZJXJYOGCCAKLJL-DLOVCJGASA-N -1 1 303.362 1.068 20 0 DDADMM CCNC(=O)CN(C)Cc1c(Br)ccc([O-])c1F ZINC001238304918 890164042 /nfs/dbraw/zinc/16/40/42/890164042.db2.gz IGRCTVSXYOCZLY-UHFFFAOYSA-N -1 1 319.174 1.862 20 0 DDADMM CC(=O)N1CCc2ccccc2[C@H]1CC(=O)Nc1c[n-][nH]c1=O ZINC001365206407 890346760 /nfs/dbraw/zinc/34/67/60/890346760.db2.gz FPCFSJBZRVNWRD-CQSZACIVSA-N -1 1 314.345 1.590 20 0 DDADMM CS(=O)(=O)c1ccccc1-c1ccc2c(c1)CC(=O)[N-]C2=O ZINC001239775940 890739747 /nfs/dbraw/zinc/73/97/47/890739747.db2.gz KNIBQFSQZDMSEG-UHFFFAOYSA-N -1 1 315.350 1.570 20 0 DDADMM Cc1cc2[nH]ncc2cc1-c1ccc2c(c1)C(=O)[N-]S2(=O)=O ZINC001242281838 891382487 /nfs/dbraw/zinc/38/24/87/891382487.db2.gz JFBXSGUMWXTCAT-UHFFFAOYSA-N -1 1 313.338 1.970 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC[C@@H]1CCCS1 ZINC001365723855 891399253 /nfs/dbraw/zinc/39/92/53/891399253.db2.gz HKQGIDLFRIPTEV-QMMMGPOBSA-N -1 1 308.450 1.716 20 0 DDADMM CCOC1CC2(C[C@H]2[N-]S(=O)(=O)c2csnc2OC)C1 ZINC001365734935 891420658 /nfs/dbraw/zinc/42/06/58/891420658.db2.gz VKBVRHDTRLDGNX-KRBLXSNTSA-N -1 1 318.420 1.388 20 0 DDADMM COCCCN(CCOC)C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001291863799 913592361 /nfs/dbraw/zinc/59/23/61/913592361.db2.gz WKIFZVQWZNSQMN-UHFFFAOYSA-N -1 1 309.362 1.638 20 0 DDADMM Cc1cc2c(c(-c3cc(F)c(CO)c(F)c3)n1)C(=O)[N-]C2=O ZINC001244337898 891836727 /nfs/dbraw/zinc/83/67/27/891836727.db2.gz DMCHKIXEYOYCGO-UHFFFAOYSA-N -1 1 304.252 1.711 20 0 DDADMM O=C(N[C@H](C1CC1)C(F)(F)F)N1CCC(c2nn[n-]n2)CC1 ZINC001365914911 891903265 /nfs/dbraw/zinc/90/32/65/891903265.db2.gz OXCOVJVMOHASHR-SECBINFHSA-N -1 1 318.303 1.430 20 0 DDADMM COc1cc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)cnc1C ZINC001244791361 891923778 /nfs/dbraw/zinc/92/37/78/891923778.db2.gz JHNJHLSZXALBIK-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM CCCNC(=S)Nc1ccc(S(=O)(=O)N(C)C)cc1[O-] ZINC001244883842 891958172 /nfs/dbraw/zinc/95/81/72/891958172.db2.gz GFNUMWKOKLHSLA-UHFFFAOYSA-N -1 1 317.436 1.339 20 0 DDADMM COC(=O)c1nc2ccc(-c3cc(OC)cnc3F)[n-]c-2n1 ZINC001245064008 891984014 /nfs/dbraw/zinc/98/40/14/891984014.db2.gz ZTNWJBFZGXJZJY-UHFFFAOYSA-N -1 1 302.265 1.906 20 0 DDADMM COC(=O)c1nc2ccc(-c3cc(OC)cnc3F)nc2[n-]1 ZINC001245064008 891984027 /nfs/dbraw/zinc/98/40/27/891984027.db2.gz ZTNWJBFZGXJZJY-UHFFFAOYSA-N -1 1 302.265 1.906 20 0 DDADMM O=c1nc2nc[nH]c2c(NC(=S)NCCc2ccccc2)[n-]1 ZINC001245352167 892095866 /nfs/dbraw/zinc/09/58/66/892095866.db2.gz JYFOSALLTZTIHA-UHFFFAOYSA-N -1 1 314.374 1.588 20 0 DDADMM O=C(CC1CCC1)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001387226299 892656969 /nfs/dbraw/zinc/65/69/69/892656969.db2.gz RLGCODAQKRFSSV-CYBMUJFWSA-N -1 1 317.389 1.556 20 0 DDADMM CC(C)N1CC[C@@H]([N@H+](C)CCNC(=O)c2ccccc2O)C1=O ZINC001366515021 893806341 /nfs/dbraw/zinc/80/63/41/893806341.db2.gz OJLGAUUQGOWIDQ-CQSZACIVSA-N -1 1 319.405 1.063 20 0 DDADMM C[C@H]1CCCC[C@@H]1C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001388026142 894393468 /nfs/dbraw/zinc/39/34/68/894393468.db2.gz JIWHHJMOUFPRJN-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)c1ccc(OC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)cc1 ZINC001250773646 894410885 /nfs/dbraw/zinc/41/08/85/894410885.db2.gz BYYAIYDWJVDQDN-LBPRGKRZSA-N -1 1 306.366 1.163 20 0 DDADMM O=S(=O)(c1ccc2nc(-c3nc[nH]n3)[n-]c2c1)C(F)(F)F ZINC001251632294 894757088 /nfs/dbraw/zinc/75/70/88/894757088.db2.gz NAHKJMNWCYLOQR-UHFFFAOYSA-N -1 1 317.252 1.642 20 0 DDADMM O=S(=O)(c1ccc2[n-]c(-c3nc[nH]n3)nc2c1)C(F)(F)F ZINC001251632294 894757093 /nfs/dbraw/zinc/75/70/93/894757093.db2.gz NAHKJMNWCYLOQR-UHFFFAOYSA-N -1 1 317.252 1.642 20 0 DDADMM CS[C@@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001396519983 913974640 /nfs/dbraw/zinc/97/46/40/913974640.db2.gz DHVROMXNRTZADF-GARJFASQSA-N -1 1 323.418 1.163 20 0 DDADMM Cc1occc1C(=O)N[C@](C)(CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001367167163 896052558 /nfs/dbraw/zinc/05/25/58/896052558.db2.gz SKTDWMNRAKEXLY-OAHLLOKOSA-N -1 1 319.365 1.100 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1cccc(CC(N)=O)c1 ZINC001254611769 896533016 /nfs/dbraw/zinc/53/30/16/896533016.db2.gz UVVRDXKHEDZCEG-UHFFFAOYSA-N -1 1 304.371 1.824 20 0 DDADMM CC/C(C)=C/C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001299532037 898324316 /nfs/dbraw/zinc/32/43/16/898324316.db2.gz CWPZILJOTAEJHX-DPCFLFMUSA-N -1 1 317.389 1.864 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(OC(F)(F)F)cc1 ZINC001259024543 898421490 /nfs/dbraw/zinc/42/14/90/898421490.db2.gz WRRRUGWSMJPZGE-UHFFFAOYSA-N -1 1 313.253 1.500 20 0 DDADMM O=C1CCC[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC001259044136 898436918 /nfs/dbraw/zinc/43/69/18/898436918.db2.gz SZERAAKCRUHHAA-SSDOTTSWSA-N -1 1 307.293 1.894 20 0 DDADMM COC(=O)[C@H]1C[C@H]1C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259044048 898437192 /nfs/dbraw/zinc/43/71/92/898437192.db2.gz QGELMJPZUKYHMQ-BQBZGAKWSA-N -1 1 323.292 1.191 20 0 DDADMM O=S(=O)([N-]CCC1OCCO1)c1cc(F)c(F)cc1F ZINC001259042665 898437709 /nfs/dbraw/zinc/43/77/09/898437709.db2.gz FGGZOJQHDCJZEH-UHFFFAOYSA-N -1 1 311.281 1.145 20 0 DDADMM O=S(=O)([N-]C1(C(F)(F)F)COC1)c1ccc(F)c(F)c1 ZINC001259095622 898467807 /nfs/dbraw/zinc/46/78/07/898467807.db2.gz JQAJIVCMEQSXRQ-UHFFFAOYSA-N -1 1 317.235 1.574 20 0 DDADMM O=S(=O)(Cc1ccc(F)cc1)[N-]c1ncccc1CCO ZINC001259248139 898545591 /nfs/dbraw/zinc/54/55/91/898545591.db2.gz IHAOIKVMNRXLFB-UHFFFAOYSA-N -1 1 310.350 1.697 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC[C@@H]1F)c1ccc(Cl)cc1F ZINC001259513339 898709234 /nfs/dbraw/zinc/70/92/34/898709234.db2.gz GHVADRXFIJAAAH-VHSXEESVSA-N -1 1 311.737 1.884 20 0 DDADMM CC(=O)Oc1ccc(F)c([N-]S(=O)(=O)c2cccnc2)c1 ZINC001259609236 898739308 /nfs/dbraw/zinc/73/93/08/898739308.db2.gz QNQZYKXCMVYRQB-UHFFFAOYSA-N -1 1 310.306 1.947 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2cnnc(Cl)c2Cl)cn1 ZINC001259821056 898831427 /nfs/dbraw/zinc/83/14/27/898831427.db2.gz FQRWRODAXTYTKF-UHFFFAOYSA-N -1 1 308.150 1.318 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)C(F)F)cc(Cl)c1O ZINC001259962741 898985799 /nfs/dbraw/zinc/98/57/99/898985799.db2.gz RZNMOIFVQBJZNQ-UHFFFAOYSA-N -1 1 301.698 1.696 20 0 DDADMM COc1cccc2c1C[C@H]([N-]S(=O)(=O)C(F)F)CS2 ZINC001259964704 898988070 /nfs/dbraw/zinc/98/80/70/898988070.db2.gz DMSFVRZQJAJPAV-ZETCQYMHSA-N -1 1 309.359 1.854 20 0 DDADMM CNC(=O)CC[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260287594 899107164 /nfs/dbraw/zinc/10/71/64/899107164.db2.gz IHBLWYSEPSDNKG-UHFFFAOYSA-N -1 1 312.725 1.033 20 0 DDADMM O=S(=O)([N-]CC1OCCO1)c1cc(F)c(F)cc1Cl ZINC001260287876 899112826 /nfs/dbraw/zinc/11/28/26/899112826.db2.gz PQTJOCHENZYDSP-UHFFFAOYSA-N -1 1 313.709 1.269 20 0 DDADMM O=C1OCC=C1[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC001260474104 899149188 /nfs/dbraw/zinc/14/91/88/899149188.db2.gz FNTZISAFWRLVJA-UHFFFAOYSA-N -1 1 314.171 1.774 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-][C@H](C(=O)OC)[C@@H](C)OC(C)(C)C ZINC001260746759 899246559 /nfs/dbraw/zinc/24/65/59/899246559.db2.gz UJXNXKUBVHLGAM-AXFHLTTASA-N -1 1 309.428 1.450 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@@H](C)C1CC1 ZINC001390379946 899293903 /nfs/dbraw/zinc/29/39/03/899293903.db2.gz KRFFNBICHDFOPN-DGCLKSJQSA-N -1 1 319.405 1.848 20 0 DDADMM CCO[C@H](CC)C(=O)N[C@@H](CC)CNC(=O)c1ncccc1[O-] ZINC001390382012 899298624 /nfs/dbraw/zinc/29/86/24/899298624.db2.gz NBKGRIPTWMWWQU-WCQYABFASA-N -1 1 323.393 1.227 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-]c1cnc2cccc(C)n12 ZINC001261024980 899326123 /nfs/dbraw/zinc/32/61/23/899326123.db2.gz ZLAJSYGHDKLQES-UHFFFAOYSA-N -1 1 305.363 1.783 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@@H]1CCO[C@H](CC)C1 ZINC001261270516 899443830 /nfs/dbraw/zinc/44/38/30/899443830.db2.gz CCYQMOWTLWFSKL-VXGBXAGGSA-N -1 1 301.383 1.971 20 0 DDADMM CCOC(=O)[C@H](CC)C(=O)OCC(=O)c1ccc([O-])cc1O ZINC001261366036 899516903 /nfs/dbraw/zinc/51/69/03/899516903.db2.gz GKBIMKRAUQYDID-JTQLQIEISA-N -1 1 310.302 1.413 20 0 DDADMM CO[C@@H](CC(C)C)C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001293262584 914375364 /nfs/dbraw/zinc/37/53/64/914375364.db2.gz MOSPUOAKZQDNGQ-ZDUSSCGKSA-N -1 1 323.393 1.084 20 0 DDADMM CCN(Cc1c(F)cccc1F)c1nnc(-c2nnn[n-]2)n1C ZINC001262852272 900396707 /nfs/dbraw/zinc/39/67/07/900396707.db2.gz LGUPELPUOXMEBL-UHFFFAOYSA-N -1 1 320.307 1.300 20 0 DDADMM CCN(Cc1c(F)cccc1F)c1nnc(-c2nn[n-]n2)n1C ZINC001262852272 900396714 /nfs/dbraw/zinc/39/67/14/900396714.db2.gz LGUPELPUOXMEBL-UHFFFAOYSA-N -1 1 320.307 1.300 20 0 DDADMM CCN(CCNC(=O)CC1CCCCC1)Cc1nc(=O)n(C)[n-]1 ZINC001390871137 900403738 /nfs/dbraw/zinc/40/37/38/900403738.db2.gz HEXNLESQGGOYAV-UHFFFAOYSA-N -1 1 323.441 1.017 20 0 DDADMM CC(=O)NC[C@]1(NC(=O)c2ncccc2[O-])CCCC[C@H]1C ZINC001390878713 900423893 /nfs/dbraw/zinc/42/38/93/900423893.db2.gz XVHBIKSIXNDHSR-BDJLRTHQSA-N -1 1 305.378 1.602 20 0 DDADMM Cc1ccc(OCCCC(=O)N2CC[C@@H](c3nn[n-]n3)C2)cc1 ZINC001263886814 900799844 /nfs/dbraw/zinc/79/98/44/900799844.db2.gz XPTWJABTBCVDNA-CYBMUJFWSA-N -1 1 315.377 1.683 20 0 DDADMM CC(C)N1CC[C@@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC000389373378 901339208 /nfs/dbraw/zinc/33/92/08/901339208.db2.gz XDHIJNBGJIBCJG-GFCCVEGCSA-N -1 1 312.391 1.146 20 0 DDADMM O=C(NCc1nc(-c2ccncc2)no1)c1ccc(F)c([O-])c1 ZINC001293550235 914542663 /nfs/dbraw/zinc/54/26/63/914542663.db2.gz HYHMKRPPPIPENM-UHFFFAOYSA-N -1 1 314.276 1.906 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001265180703 901673071 /nfs/dbraw/zinc/67/30/71/901673071.db2.gz ZCQOJJOXKVFPAA-CHWSQXEVSA-N -1 1 321.425 1.273 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)nn1-c1ccc(Cl)cc1 ZINC001279187017 903191303 /nfs/dbraw/zinc/19/13/03/903191303.db2.gz AUDCJVYFCSUZDO-UHFFFAOYSA-N -1 1 317.740 1.624 20 0 DDADMM CC(C)(C)C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCCC1 ZINC001392174477 903450508 /nfs/dbraw/zinc/45/05/08/903450508.db2.gz IIZAEFVFDMHZIS-GFCCVEGCSA-N -1 1 323.441 1.711 20 0 DDADMM CC/C=C(\C)C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001280986936 904281879 /nfs/dbraw/zinc/28/18/79/904281879.db2.gz XKJKIPXYSRXLFL-VNKGSWCUSA-N -1 1 305.378 1.720 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)CC(F)(F)F ZINC001294037777 914872415 /nfs/dbraw/zinc/87/24/15/914872415.db2.gz ZRFYWFFBIYKFGC-UHFFFAOYSA-N -1 1 319.283 1.318 20 0 DDADMM CCc1ccsc1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282983603 906398716 /nfs/dbraw/zinc/39/87/16/906398716.db2.gz MZBZURBVCRDGBL-UHFFFAOYSA-N -1 1 319.386 1.571 20 0 DDADMM CCC(C)(CC)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001372259757 906846794 /nfs/dbraw/zinc/84/67/94/906846794.db2.gz BVFKCIVALHOBTD-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CC(F)(F)CC(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001283230589 906945803 /nfs/dbraw/zinc/94/58/03/906945803.db2.gz HHKZYTUTYGUPTB-UHFFFAOYSA-N -1 1 301.293 1.069 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372370839 907148195 /nfs/dbraw/zinc/14/81/95/907148195.db2.gz IAENXRLFJPAJLI-VXGBXAGGSA-N -1 1 317.389 1.458 20 0 DDADMM C[C@@H](NC(=O)c1c[nH]cc1C1CC1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001393985372 908459435 /nfs/dbraw/zinc/45/94/35/908459435.db2.gz MVAGZAPDZPMFLX-DTWKUNHWSA-N -1 1 318.381 1.012 20 0 DDADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@]12C[C@H]1CCC2 ZINC001394203764 909070913 /nfs/dbraw/zinc/07/09/13/909070913.db2.gz JKTIAPPULIORBT-BFQNTYOBSA-N -1 1 321.425 1.465 20 0 DDADMM CS[C@H](C)C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001394239506 909163143 /nfs/dbraw/zinc/16/31/43/909163143.db2.gz XQCDKRXTSNFAQJ-BMIGLBTASA-N -1 1 323.418 1.260 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)c1ccsc1 ZINC001373413176 909792836 /nfs/dbraw/zinc/79/28/36/909792836.db2.gz GTBSVVQRLFBXAK-UHFFFAOYSA-N -1 1 305.359 1.351 20 0 DDADMM C=C/C(C)=C/CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001284962752 909834287 /nfs/dbraw/zinc/83/42/87/909834287.db2.gz XYEMCMIDTGCXGW-WUXMJOGZSA-N -1 1 315.373 1.498 20 0 DDADMM CCCc1occc1C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001394536118 909931651 /nfs/dbraw/zinc/93/16/51/909931651.db2.gz HNGNWNLFVXDLRS-JTQLQIEISA-N -1 1 321.381 1.306 20 0 DDADMM CC[C@H](C)OCC(=O)NCCN(CC)C(=O)c1ncccc1[O-] ZINC001373792734 911008912 /nfs/dbraw/zinc/00/89/12/911008912.db2.gz OAGYUVFPONMFMQ-LBPRGKRZSA-N -1 1 323.393 1.181 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001397413454 915972086 /nfs/dbraw/zinc/97/20/86/915972086.db2.gz IDHBMARQCZXVQM-NEPJUHHUSA-N -1 1 323.393 1.083 20 0 DDADMM C[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)CCCF ZINC001397436792 916026121 /nfs/dbraw/zinc/02/61/21/916026121.db2.gz LDLVTWTXUYCPQG-SECBINFHSA-N -1 1 324.356 1.044 20 0 DDADMM Cn1ccc(-c2cc(C(=O)[N-]c3n[nH]c(C4CCC4)n3)no2)n1 ZINC001296090142 916230131 /nfs/dbraw/zinc/23/01/31/916230131.db2.gz CHUFCKDHUMDGRC-UHFFFAOYSA-N -1 1 313.321 1.713 20 0 DDADMM CC(C)C(=O)NC[C@H]1[C@@H](C)CCCN1C(=O)c1ncccc1[O-] ZINC001375917590 917257593 /nfs/dbraw/zinc/25/75/93/917257593.db2.gz XPEIDZMFCOJOSV-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H]1CCC[C@H]1CC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001376739179 919223946 /nfs/dbraw/zinc/22/39/46/919223946.db2.gz SLHMMFUHUIRMAH-NEPJUHHUSA-N -1 1 321.425 1.465 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@H](C)C1CC1 ZINC001377043189 920172377 /nfs/dbraw/zinc/17/23/77/920172377.db2.gz DYRFXFMVHYCCRH-WDEREUQCSA-N -1 1 305.378 1.458 20 0 DDADMM CCCc1occc1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000622869931 365548994 /nfs/dbraw/zinc/54/89/94/365548994.db2.gz MHLGPCGFWMIBMX-UHFFFAOYSA-N -1 1 301.306 1.524 20 0 DDADMM COc1ccc([C@@H]2C[C@H]2CC(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000622868507 365549330 /nfs/dbraw/zinc/54/93/30/365549330.db2.gz PUZDQTPDHLALFK-ONGXEEELSA-N -1 1 315.333 1.045 20 0 DDADMM CO[C@@H](C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1)C1CCCCC1 ZINC000622870217 365550025 /nfs/dbraw/zinc/55/00/25/365550025.db2.gz UVTFQNADUPPPFC-GFCCVEGCSA-N -1 1 319.365 1.260 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@@]3(C)C(C)C)nc2n1 ZINC000622993434 365586051 /nfs/dbraw/zinc/58/60/51/365586051.db2.gz MOXYCRFRZVFWDG-BMIGLBTASA-N -1 1 303.366 1.601 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC[C@@H]3CCCCO3)nc2n1 ZINC000622994029 365586731 /nfs/dbraw/zinc/58/67/31/365586731.db2.gz BJYMDDILCNWZQR-NSHDSACASA-N -1 1 319.365 1.268 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)C(F)(F)F)nc2n1 ZINC000622994358 365587027 /nfs/dbraw/zinc/58/70/27/365587027.db2.gz LVPKWXGCPNYGND-RXMQYKEDSA-N -1 1 303.244 1.117 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC(C)(C)C3)nc2n1 ZINC000622994249 365587057 /nfs/dbraw/zinc/58/70/57/365587057.db2.gz IODJBCQRIYJVQE-SECBINFHSA-N -1 1 303.366 1.745 20 0 DDADMM C[C@@H](Cc1ccco1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614475109 361875673 /nfs/dbraw/zinc/87/56/73/361875673.db2.gz IHHPTYFFZWESKV-JTQLQIEISA-N -1 1 305.334 1.953 20 0 DDADMM COCCS(=O)(=O)[N-]c1cn(C)nc1C1CCCCC1 ZINC000451072882 231002511 /nfs/dbraw/zinc/00/25/11/231002511.db2.gz HTYMOSKIKWJKJA-UHFFFAOYSA-N -1 1 301.412 1.856 20 0 DDADMM Cn1c(CS(=O)(=O)c2ccc([O-])cc2)nnc1C1CCC1 ZINC000180997858 539236535 /nfs/dbraw/zinc/23/65/35/539236535.db2.gz ZJIPCMVSLBLQAE-UHFFFAOYSA-N -1 1 307.375 1.762 20 0 DDADMM CC(C)[C@H](NC(=O)c1cc(F)ccc1[O-])C(=O)N1CCOCC1 ZINC000092426090 185317698 /nfs/dbraw/zinc/31/76/98/185317698.db2.gz HFAIDZBLUGXGFA-AWEZNQCLSA-N -1 1 324.352 1.145 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCc1c(C)nc2ccnn2c1C ZINC000615227902 362204366 /nfs/dbraw/zinc/20/43/66/362204366.db2.gz SMDBSHZWRIFFOI-UHFFFAOYSA-N -1 1 314.349 1.655 20 0 DDADMM O=S(=O)(Cc1noc2ccccc21)[N-]C[C@@H](O)C(F)(F)F ZINC000272806679 210209348 /nfs/dbraw/zinc/20/93/48/210209348.db2.gz BPNGHEGAOKIHHW-SNVBAGLBSA-N -1 1 324.280 1.170 20 0 DDADMM CNC(=O)C[C@@H](C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000451952567 529869459 /nfs/dbraw/zinc/86/94/59/529869459.db2.gz GVLNTJGHPJRNQK-SSDOTTSWSA-N -1 1 308.762 1.282 20 0 DDADMM CNC(=O)C[C@@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000451962567 529869501 /nfs/dbraw/zinc/86/95/01/529869501.db2.gz ZNSVHGFYLOIPQJ-SSDOTTSWSA-N -1 1 308.762 1.282 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1CCCc2sccc21 ZINC000412315861 529930502 /nfs/dbraw/zinc/93/05/02/529930502.db2.gz LTKXOSYMHOQPAC-SNVBAGLBSA-N -1 1 318.402 1.620 20 0 DDADMM CCOc1cc(C(=O)NCCn2cnnc2)cc(Cl)c1[O-] ZINC000615644486 362380365 /nfs/dbraw/zinc/38/03/65/362380365.db2.gz WRFRLCDZGOKSSL-UHFFFAOYSA-N -1 1 310.741 1.466 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2(CCF)CC2)c1 ZINC000291873541 222680829 /nfs/dbraw/zinc/68/08/29/222680829.db2.gz GLPRKFUDGZXZTE-UHFFFAOYSA-N -1 1 316.354 1.379 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2ccc(N(C)C)nc2)c1Br ZINC000616012888 362520171 /nfs/dbraw/zinc/52/01/71/362520171.db2.gz PWPUWWCLKQQWSY-UHFFFAOYSA-N -1 1 324.182 1.616 20 0 DDADMM CCc1c(C(=O)N=c2[nH][n-]c(C)c2Br)cnn1C ZINC000616011257 362520271 /nfs/dbraw/zinc/52/02/71/362520271.db2.gz XKMCQTXURADTHH-UHFFFAOYSA-N -1 1 312.171 1.451 20 0 DDADMM CC(C)(C)n1nnc(CC(=O)Nc2ccc([O-])c(F)c2F)n1 ZINC000616102269 362546961 /nfs/dbraw/zinc/54/69/61/362546961.db2.gz ZSUDIXMCBWEZBP-UHFFFAOYSA-N -1 1 311.292 1.593 20 0 DDADMM CCc1csc(NC(=O)c2cnc3n(C)[n-]cc-3c2=O)n1 ZINC000358328964 299121902 /nfs/dbraw/zinc/12/19/02/299121902.db2.gz FVIMXXCNCMVQNP-UHFFFAOYSA-N -1 1 303.347 1.945 20 0 DDADMM CO[C@@H](C)CN(C(=O)c1c[n-]c2c(cnn2C)c1=O)C(C)C ZINC000358353054 299128223 /nfs/dbraw/zinc/12/82/23/299128223.db2.gz SMINMYWHBMJBGU-JTQLQIEISA-N -1 1 306.366 1.559 20 0 DDADMM COC(=O)CCC(C)(C)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358369672 299132899 /nfs/dbraw/zinc/13/28/99/299132899.db2.gz MFQDPKWEPNDPMO-UHFFFAOYSA-N -1 1 320.349 1.136 20 0 DDADMM COCCCNC(=O)c1c[n-]c2cc(OC)cc(OC)c2c1=O ZINC000623367793 365835508 /nfs/dbraw/zinc/83/55/08/365835508.db2.gz SRPHMFRSOWCOHV-UHFFFAOYSA-N -1 1 320.345 1.312 20 0 DDADMM C[C@@H](CN(C)C(=O)c1coc(-c2ccccc2)n1)c1nn[n-]n1 ZINC000358384137 299138248 /nfs/dbraw/zinc/13/82/48/299138248.db2.gz SEZKYLTXUCCYHQ-JTQLQIEISA-N -1 1 312.333 1.730 20 0 DDADMM Cn1nc([C@H]2CCCOC2)cc1NC(=O)[N-]O[C@H]1CCCCO1 ZINC000279819779 215173519 /nfs/dbraw/zinc/17/35/19/215173519.db2.gz CDDIDIRCCUIUCG-FZMZJTMJSA-N -1 1 324.381 1.894 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(O[C@H]2CC2(F)F)cc1 ZINC000636241065 422739636 /nfs/dbraw/zinc/73/96/36/422739636.db2.gz IQLQFGUGJMJWJF-NSHDSACASA-N -1 1 323.303 1.948 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)Cc2cccc(O)c2)o1 ZINC000617081858 362945389 /nfs/dbraw/zinc/94/53/89/362945389.db2.gz VEVKLVIWDUCHGU-UHFFFAOYSA-N -1 1 324.358 1.166 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C)c(C(C)=O)c1)c1nn[n-]n1 ZINC000194281809 186276380 /nfs/dbraw/zinc/27/63/80/186276380.db2.gz JTCSOPRFFWLGFT-ZDUSSCGKSA-N -1 1 301.350 1.982 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCc1ccsc1 ZINC000171674896 197964540 /nfs/dbraw/zinc/96/45/40/197964540.db2.gz UQCUCYVKYAXHSQ-UHFFFAOYSA-N -1 1 316.386 1.560 20 0 DDADMM CCCc1cc(=O)[n-]c(S[C@@H](C)C(=O)NCCCOC)n1 ZINC000022931070 352181246 /nfs/dbraw/zinc/18/12/46/352181246.db2.gz COIKQGTVJHPWCF-JTQLQIEISA-N -1 1 313.423 1.768 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCC2CCCCC2)o1 ZINC000025160729 352194482 /nfs/dbraw/zinc/19/44/82/352194482.db2.gz WSQIVRXIBQGBJA-UHFFFAOYSA-N -1 1 314.407 1.888 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cccc1F)c1nncn1C ZINC000047331472 352490938 /nfs/dbraw/zinc/49/09/38/352490938.db2.gz RLKULJLWRNMWLA-SSDOTTSWSA-N -1 1 302.306 1.133 20 0 DDADMM CO[N-]C(=O)[C@H]1CC(=O)N(Cc2cccc(C(F)(F)F)c2)C1 ZINC000047912805 352516139 /nfs/dbraw/zinc/51/61/39/352516139.db2.gz MFIFNUGQMJXELK-JTQLQIEISA-N -1 1 316.279 1.732 20 0 DDADMM O=C(C=Cc1cccc(F)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000491895510 234329185 /nfs/dbraw/zinc/32/91/85/234329185.db2.gz WYQKOPFDDXIWGN-VOTSOKGWSA-N -1 1 301.325 1.938 20 0 DDADMM COc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)c(OC)c1 ZINC000491975153 234350124 /nfs/dbraw/zinc/35/01/24/234350124.db2.gz WYXDXORAZIYBDL-GQCTYLIASA-N -1 1 315.333 1.036 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2C[C@@H]3[C@H](C2)C3(C)C)c(=O)[n-]1 ZINC000174097887 198291227 /nfs/dbraw/zinc/29/12/27/198291227.db2.gz BWLNQKQOUATIFE-TXEJJXNPSA-N -1 1 321.446 1.847 20 0 DDADMM Cn1c(=O)oc2cc(S(=O)(=O)[N-]c3cccc(O)c3)ccc21 ZINC000002237397 180916599 /nfs/dbraw/zinc/91/65/99/180916599.db2.gz GOZIASMMSOXNDE-UHFFFAOYSA-N -1 1 320.326 1.638 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1C[C@@H](C)C[C@H](C)C1 ZINC000617668576 363218883 /nfs/dbraw/zinc/21/88/83/363218883.db2.gz SZSLWBZUTGEEQL-IUCAKERBSA-N -1 1 309.366 1.699 20 0 DDADMM O=S(=O)(C[C@H]1CCCCO1)[N-]c1cnn(-c2ccccn2)c1 ZINC000076937910 353444049 /nfs/dbraw/zinc/44/40/49/353444049.db2.gz HUMPZFWKIWSHFF-CYBMUJFWSA-N -1 1 322.390 1.578 20 0 DDADMM O=C(NCCCc1nnc2ccccn21)c1cc(F)ccc1[O-] ZINC000080022690 353587276 /nfs/dbraw/zinc/58/72/76/353587276.db2.gz BRSQNBNNSRTCST-UHFFFAOYSA-N -1 1 314.320 1.937 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)c2cscn2)co1 ZINC000617826105 363334306 /nfs/dbraw/zinc/33/43/06/363334306.db2.gz XOBUOXGAKCXFHE-ZETCQYMHSA-N -1 1 315.376 1.135 20 0 DDADMM CN1CCO[C@H]2CCN(C(=O)c3cc(Cl)ccc3[O-])C[C@@H]21 ZINC000284493524 283026936 /nfs/dbraw/zinc/02/69/36/283026936.db2.gz NDNRGZUDEFLGNR-JSGCOSHPSA-N -1 1 310.781 1.591 20 0 DDADMM O=C([O-])C1CN(C(=O)NC[C@H]2CC[N@@H+](Cc3ccccc3)C2)C1 ZINC000390782500 354641057 /nfs/dbraw/zinc/64/10/57/354641057.db2.gz SCHXBYYSBDJEMN-CQSZACIVSA-N -1 1 317.389 1.235 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCCOc2cccnc2)[n-]1 ZINC000591302747 355285035 /nfs/dbraw/zinc/28/50/35/355285035.db2.gz YYTYAVNCJBDLAI-UHFFFAOYSA-N -1 1 318.333 1.779 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCCOc2cccnc2)n1 ZINC000591302747 355285037 /nfs/dbraw/zinc/28/50/37/355285037.db2.gz YYTYAVNCJBDLAI-UHFFFAOYSA-N -1 1 318.333 1.779 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(CC)nnc2C)n1 ZINC000591302400 355285045 /nfs/dbraw/zinc/28/50/45/355285045.db2.gz UPVQJXMKPXLJDX-UHFFFAOYSA-N -1 1 303.322 1.500 20 0 DDADMM COC(=O)c1coc(C[N-]S(=O)(=O)c2cc(C)oc2C)n1 ZINC000593644552 355953127 /nfs/dbraw/zinc/95/31/27/355953127.db2.gz BLTPWOWHSPJZPO-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)COCC2CC2)c1 ZINC000593654899 355955412 /nfs/dbraw/zinc/95/54/12/355955412.db2.gz YNUXWYYJAZVKJI-VIFPVBQESA-N -1 1 317.363 1.160 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H]3C[C@H]32)c1 ZINC000594854138 356339066 /nfs/dbraw/zinc/33/90/66/356339066.db2.gz YVZBBKKZXHHPJV-JBLDHEPKSA-N -1 1 313.375 1.781 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@]2(C)CC2(C)C)o1 ZINC000595360682 356464876 /nfs/dbraw/zinc/46/48/76/356464876.db2.gz CSQUASURUFSIKN-CYBMUJFWSA-N -1 1 301.364 1.923 20 0 DDADMM COCC(C)(C)[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595313444 356444722 /nfs/dbraw/zinc/44/47/22/356444722.db2.gz ZFVHMKSXZNAUBM-UHFFFAOYSA-N -1 1 305.352 1.078 20 0 DDADMM COc1cc(C(=O)N(C)C[C@H]2COCCO2)cc(Cl)c1[O-] ZINC000618234043 363534625 /nfs/dbraw/zinc/53/46/25/363534625.db2.gz JWZJQIUPVLDEEK-JTQLQIEISA-N -1 1 315.753 1.542 20 0 DDADMM COC(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1cc(F)ccc1[O-] ZINC000598532347 357623598 /nfs/dbraw/zinc/62/35/98/357623598.db2.gz BXNOBALNUMGJJN-OBPYKSBLSA-N -1 1 307.321 1.849 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)[C@H]1CCCCO1 ZINC000347890365 283291591 /nfs/dbraw/zinc/29/15/91/283291591.db2.gz XECNSJFAOQMUSU-PSASIEDQSA-N -1 1 311.407 1.900 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-][C@@H](CC1CC1)C(=O)OC)C1CC1 ZINC000599325181 357893902 /nfs/dbraw/zinc/89/39/02/357893902.db2.gz AVNGTDNKSRZWEW-QWHCGFSZSA-N -1 1 319.423 1.063 20 0 DDADMM O=C(CCCOc1cccc2ccccc21)NCc1nn[n-]n1 ZINC000599344443 357902917 /nfs/dbraw/zinc/90/29/17/357902917.db2.gz ODRLEJNVLMFYFM-UHFFFAOYSA-N -1 1 311.345 1.828 20 0 DDADMM CC1(C)C(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1(C)C ZINC000179539472 199052571 /nfs/dbraw/zinc/05/25/71/199052571.db2.gz VMEJZEXXEHLSDY-UHFFFAOYSA-N -1 1 312.391 1.660 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2ncc(C)o2)c(=O)[n-]1 ZINC000179844848 199087697 /nfs/dbraw/zinc/08/76/97/199087697.db2.gz SEJKWQOAHJAYFD-UHFFFAOYSA-N -1 1 323.374 1.773 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cccc1F)c1ncnn1C ZINC000276639540 213017631 /nfs/dbraw/zinc/01/76/31/213017631.db2.gz HERZXQDJACGEGJ-ZETCQYMHSA-N -1 1 302.306 1.133 20 0 DDADMM Cn1cnnc1CN1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000624480294 366397764 /nfs/dbraw/zinc/39/77/64/366397764.db2.gz DPFFKECLNFZPQK-UHFFFAOYSA-N -1 1 300.362 1.616 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OC ZINC000601359753 358515426 /nfs/dbraw/zinc/51/54/26/358515426.db2.gz ZADJZOPDERRGBG-UHFFFAOYSA-N -1 1 306.409 1.462 20 0 DDADMM COC(=O)[C@@H](CF)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601417519 358543528 /nfs/dbraw/zinc/54/35/28/358543528.db2.gz TVBDPVRVSZSQTK-RXMQYKEDSA-N -1 1 301.242 1.007 20 0 DDADMM COC(=O)C[C@@H](C[N-]S(=O)(=O)c1cc(C)ns1)C1CC1 ZINC000601466578 358566441 /nfs/dbraw/zinc/56/64/41/358566441.db2.gz JIQOMUSTRHIIOO-JTQLQIEISA-N -1 1 318.420 1.319 20 0 DDADMM CS[C@@H](CO)[C@@H](C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601378869 358524031 /nfs/dbraw/zinc/52/40/31/358524031.db2.gz QDEPSHPVESBTDL-SVRRBLITSA-N -1 1 315.363 1.608 20 0 DDADMM CC[C@H](CSC)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000601386980 358529314 /nfs/dbraw/zinc/52/93/14/358529314.db2.gz JZULADBGXIUVDJ-ZCFIWIBFSA-N -1 1 317.358 1.848 20 0 DDADMM C[C@@H](CN=c1[n-]c(-c2ccccc2)no1)N1CCN(C)CC1 ZINC000601519293 358586103 /nfs/dbraw/zinc/58/61/03/358586103.db2.gz GXORAIKZQHNHGQ-ZDUSSCGKSA-N -1 1 301.394 1.206 20 0 DDADMM C[C@@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)CC[S@](=O)C1 ZINC000601534299 358591328 /nfs/dbraw/zinc/59/13/28/358591328.db2.gz APUONVPSGBABHY-SBKAZYGRSA-N -1 1 315.822 1.815 20 0 DDADMM CCOC(=O)c1ncc(CN2CC[C@H](C)[C@@H](C(=O)[O-])C2)s1 ZINC000602020118 358779162 /nfs/dbraw/zinc/77/91/62/358779162.db2.gz IFIVXEYTJOPUAD-ONGXEEELSA-N -1 1 312.391 1.862 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)n[n-]1 ZINC000603152186 359439204 /nfs/dbraw/zinc/43/92/04/359439204.db2.gz ADAPCCMJJCNJFC-ZNSHCXBVSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)[n-]1 ZINC000603152186 359439208 /nfs/dbraw/zinc/43/92/08/359439208.db2.gz ADAPCCMJJCNJFC-ZNSHCXBVSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)n1 ZINC000603152186 359439210 /nfs/dbraw/zinc/43/92/10/359439210.db2.gz ADAPCCMJJCNJFC-ZNSHCXBVSA-N -1 1 306.366 1.595 20 0 DDADMM C[C@@H](CNC(=O)c1c[n-]c2c(cnn2C)c1=O)C(F)(F)F ZINC000358954678 299290787 /nfs/dbraw/zinc/29/07/87/299290787.db2.gz PHKMZHBGFBPOOB-LURJTMIESA-N -1 1 302.256 1.602 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)C[C@]1(C)CC1(F)F ZINC000603275194 359535097 /nfs/dbraw/zinc/53/50/97/359535097.db2.gz QZTMSMBZLOMBHE-ONGXEEELSA-N -1 1 313.366 1.539 20 0 DDADMM CCOC(=O)c1c([N-]S(=O)(=O)CCOC(C)C)ccn1C ZINC000603417234 359627123 /nfs/dbraw/zinc/62/71/23/359627123.db2.gz KCLZJNWANOFUJT-UHFFFAOYSA-N -1 1 318.395 1.369 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)NCC2CCCCC2)n1 ZINC000187582261 200130054 /nfs/dbraw/zinc/13/00/54/200130054.db2.gz GYIZBAJMKNAIKR-UHFFFAOYSA-N -1 1 311.407 1.985 20 0 DDADMM COC(=O)c1cnc(S[C@H](C)C(=O)N2CCC(C)CC2)[n-]1 ZINC000187588270 200131642 /nfs/dbraw/zinc/13/16/42/200131642.db2.gz MQRFDEVKYUMJLC-SNVBAGLBSA-N -1 1 311.407 1.935 20 0 DDADMM COC(=O)c1c[n-]c(S[C@H](C)C(=O)N2CCC(C)CC2)n1 ZINC000187588270 200131644 /nfs/dbraw/zinc/13/16/44/200131644.db2.gz MQRFDEVKYUMJLC-SNVBAGLBSA-N -1 1 311.407 1.935 20 0 DDADMM C[C@@H]1CCC[C@@H]1CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000187727939 200150252 /nfs/dbraw/zinc/15/02/52/200150252.db2.gz DSJUOJLJFRYUPZ-NXEZZACHSA-N -1 1 312.391 1.804 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CC[C@@H]1C ZINC000187755115 200152692 /nfs/dbraw/zinc/15/26/92/200152692.db2.gz ODQJOPGPBGTPDG-CMPLNLGQSA-N -1 1 321.421 1.873 20 0 DDADMM CCC1(CC)CCCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000618669756 363715612 /nfs/dbraw/zinc/71/56/12/363715612.db2.gz PFCFEISUFPRYAG-UHFFFAOYSA-N -1 1 309.366 1.939 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-][C@@H](COC)c1ccco1)C1CC1 ZINC000625043563 366682015 /nfs/dbraw/zinc/68/20/15/366682015.db2.gz VNMVOLWFHURJNN-JSGCOSHPSA-N -1 1 317.407 1.702 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2CC[C@H](OC)C2)c1Br ZINC000611773983 360821663 /nfs/dbraw/zinc/82/16/63/360821663.db2.gz ILEYRAVDXKQAPG-YUMQZZPRSA-N -1 1 316.199 1.910 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1C[C@H]1c1ccc(OC)cc1)c1nn[n-]n1 ZINC000612060277 360905183 /nfs/dbraw/zinc/90/51/83/360905183.db2.gz IZEXAIHHSYJPJR-MJBXVCDLSA-N -1 1 315.377 1.969 20 0 DDADMM CCC[C@H](NC(=O)c1coc(-c2cccnc2)n1)c1nn[n-]n1 ZINC000612060486 360905639 /nfs/dbraw/zinc/90/56/39/360905639.db2.gz KTNRTYPPGVPYRY-JTQLQIEISA-N -1 1 313.321 1.521 20 0 DDADMM CCC[C@@H](NC(=O)c1cnn2cccc(Cl)c12)c1nn[n-]n1 ZINC000612060344 360905749 /nfs/dbraw/zinc/90/57/49/360905749.db2.gz JWLOKSPLDLWDJQ-SNVBAGLBSA-N -1 1 319.756 1.772 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]Cc2ccccn2)c(C(F)(F)F)n1 ZINC000292699908 223208167 /nfs/dbraw/zinc/20/81/67/223208167.db2.gz ANDQFRKNYBMHFX-UHFFFAOYSA-N -1 1 320.296 1.312 20 0 DDADMM CN(C)C1CN(C(=O)c2nn(-c3ccc(F)cc3F)cc2[O-])C1 ZINC000613118437 361275921 /nfs/dbraw/zinc/27/59/21/361275921.db2.gz TUQBDTDOUBJUKZ-UHFFFAOYSA-N -1 1 322.315 1.242 20 0 DDADMM CC(C)c1noc(CS(=O)(=O)c2nnc(C(C)(C)C)[n-]2)n1 ZINC000195174644 201352578 /nfs/dbraw/zinc/35/25/78/201352578.db2.gz MHYQMLIXRKIQMU-UHFFFAOYSA-N -1 1 313.383 1.583 20 0 DDADMM CC(C)c1noc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)n1 ZINC000195174644 201352581 /nfs/dbraw/zinc/35/25/81/201352581.db2.gz MHYQMLIXRKIQMU-UHFFFAOYSA-N -1 1 313.383 1.583 20 0 DDADMM CC(C)c1noc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)n1 ZINC000195174644 201352584 /nfs/dbraw/zinc/35/25/84/201352584.db2.gz MHYQMLIXRKIQMU-UHFFFAOYSA-N -1 1 313.383 1.583 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ccc(OC)cc2[O-])c[nH]1 ZINC000618919858 363799994 /nfs/dbraw/zinc/79/99/94/363799994.db2.gz WATKRPZLPVGWPN-UHFFFAOYSA-N -1 1 305.290 1.553 20 0 DDADMM Cn1cc(C[C@@H]2CCC[C@H]2NC(=O)c2ncccc2[O-])cn1 ZINC000618932102 363809552 /nfs/dbraw/zinc/80/95/52/363809552.db2.gz OIJLAZOEXZNNTI-QWHCGFSZSA-N -1 1 300.362 1.662 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2nn3cnnc3s2)c1 ZINC000619007583 363847643 /nfs/dbraw/zinc/84/76/43/363847643.db2.gz YVSFXXMNRLNVCA-UHFFFAOYSA-N -1 1 318.318 1.102 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2C(C)(C)C2(F)F)co1 ZINC000619389403 363992220 /nfs/dbraw/zinc/99/22/20/363992220.db2.gz MPSKXWRBFWROAB-MRVPVSSYSA-N -1 1 322.333 1.209 20 0 DDADMM Cc1ccc(F)cc1C(=O)C(=O)N=c1nc(C(F)(F)F)[n-][nH]1 ZINC000359170023 299345591 /nfs/dbraw/zinc/34/55/91/299345591.db2.gz MKSQXMROODBAFG-UHFFFAOYSA-N -1 1 316.214 1.514 20 0 DDADMM O=c1[nH]nc(CN=c2nc(C3CCCC3)[n-]s2)n1C1CC1 ZINC000631441432 422766906 /nfs/dbraw/zinc/76/69/06/422766906.db2.gz PZGYHCYNDVWTDG-UHFFFAOYSA-N -1 1 306.395 1.862 20 0 DDADMM CSc1cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c(C)o1 ZINC000621792041 365031037 /nfs/dbraw/zinc/03/10/37/365031037.db2.gz RIKCLLRMYCXVCA-UHFFFAOYSA-N -1 1 316.364 1.084 20 0 DDADMM CSc1cc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c(C)o1 ZINC000621792041 365031046 /nfs/dbraw/zinc/03/10/46/365031046.db2.gz RIKCLLRMYCXVCA-UHFFFAOYSA-N -1 1 316.364 1.084 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2CCc3cc(F)ccc32)n1 ZINC000621791618 365031847 /nfs/dbraw/zinc/03/18/47/365031847.db2.gz CQGIGCJXPJUDNA-JTQLQIEISA-N -1 1 324.337 1.016 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2CCc3cc(F)ccc32)[n-]1 ZINC000621791618 365031850 /nfs/dbraw/zinc/03/18/50/365031850.db2.gz CQGIGCJXPJUDNA-JTQLQIEISA-N -1 1 324.337 1.016 20 0 DDADMM CC(C)c1cccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1 ZINC000621791621 365031904 /nfs/dbraw/zinc/03/19/04/365031904.db2.gz CRVQMMLEXVCMMS-UHFFFAOYSA-N -1 1 308.363 1.584 20 0 DDADMM CC(C)c1cccc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1 ZINC000621791621 365031907 /nfs/dbraw/zinc/03/19/07/365031907.db2.gz CRVQMMLEXVCMMS-UHFFFAOYSA-N -1 1 308.363 1.584 20 0 DDADMM CCO[C@@H]1C[C@@H]1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091159924 193007535 /nfs/dbraw/zinc/00/75/35/193007535.db2.gz QRHNDPKWFVSXOM-JOYOIKCWSA-N -1 1 316.354 1.561 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H]4COC[C@@]4(C)C3)cnc2n1 ZINC000622172645 365310727 /nfs/dbraw/zinc/31/07/27/365310727.db2.gz RPAKJUGYPASNMP-APPDUMDISA-N -1 1 313.357 1.752 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1C[C@H]3COC[C@@]3(C)C1)c2=O ZINC000622172645 365310730 /nfs/dbraw/zinc/31/07/30/365310730.db2.gz RPAKJUGYPASNMP-APPDUMDISA-N -1 1 313.357 1.752 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC1CC(C)C1 ZINC000622240385 365349361 /nfs/dbraw/zinc/34/93/61/365349361.db2.gz NIBKKGYPFBDNLW-UHFFFAOYSA-N -1 1 302.378 1.757 20 0 DDADMM O=C([O-])[C@@H](C1CC1)N1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000635015579 422768510 /nfs/dbraw/zinc/76/85/10/422768510.db2.gz GOUUDNPXBHRKGL-CQSZACIVSA-N -1 1 324.327 1.586 20 0 DDADMM COc1cc2[n-]cc(C(=O)NCC[C@H](C)O)c(=O)c2c(OC)c1 ZINC000625715729 367104049 /nfs/dbraw/zinc/10/40/49/367104049.db2.gz MYKNVBQSNYTZPV-VIFPVBQESA-N -1 1 320.345 1.046 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C(N)=O)[C@H](C)c2ccccc2)c([O-])c1 ZINC000359239020 299371758 /nfs/dbraw/zinc/37/17/58/299371758.db2.gz FHYCYKFQUAZGFJ-BXUZGUMPSA-N -1 1 313.357 1.483 20 0 DDADMM CN(C)C[C@H](NC(=O)N=c1cccn[n-]1)c1ccc(F)cc1 ZINC000349867513 284084481 /nfs/dbraw/zinc/08/44/81/284084481.db2.gz JFGRNJIQJGOMCA-ZDUSSCGKSA-N -1 1 303.341 1.462 20 0 DDADMM COc1ccccc1CC1CCN(CC(=O)NCC(=O)[O-])CC1 ZINC000262192316 203226030 /nfs/dbraw/zinc/22/60/30/203226030.db2.gz GVQLZMSEIMDLNA-UHFFFAOYSA-N -1 1 320.389 1.151 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ccccc2Cl)n1 ZINC000350145898 284157397 /nfs/dbraw/zinc/15/73/97/284157397.db2.gz JSGYJMXVNKYEBI-UHFFFAOYSA-N -1 1 314.750 1.824 20 0 DDADMM CC(C)Cc1n[n-]c(=NC(=O)c2ccn(CCN(C)C)n2)s1 ZINC000566436685 304156272 /nfs/dbraw/zinc/15/62/72/304156272.db2.gz PHRYXUMUQWUGJR-UHFFFAOYSA-N -1 1 322.438 1.169 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H]1CCN(C(=O)c2cncc([O-])c2)C1 ZINC000264867153 204222942 /nfs/dbraw/zinc/22/29/42/204222942.db2.gz QRHZYINFPRNOKG-NSHDSACASA-N -1 1 321.377 1.774 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)cc1C ZINC000282925100 217329491 /nfs/dbraw/zinc/32/94/91/217329491.db2.gz OCTHFHZHWAQPIK-ZDUSSCGKSA-N -1 1 300.362 1.735 20 0 DDADMM Cc1nnc(SCc2nn[n-]n2)n1CCc1cccs1 ZINC000267412384 206049475 /nfs/dbraw/zinc/04/94/75/206049475.db2.gz RNFJYGPEQWHOGG-UHFFFAOYSA-N -1 1 307.408 1.696 20 0 DDADMM Cc1noc(C)c1CCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000331500046 248880566 /nfs/dbraw/zinc/88/05/66/248880566.db2.gz QPJUVXNPUZPFRF-LLVKDONJSA-N -1 1 320.349 1.719 20 0 DDADMM O=C(N[C@@H]1CCc2nnnn2CC1)c1ccc(Cl)cc1[O-] ZINC000333751664 249092627 /nfs/dbraw/zinc/09/26/27/249092627.db2.gz NUFZVXVNPZNUPU-SECBINFHSA-N -1 1 307.741 1.167 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1coc2cc(F)ccc12 ZINC000337159611 249369599 /nfs/dbraw/zinc/36/95/99/249369599.db2.gz RYIGGOLBLVGNBC-UHFFFAOYSA-N -1 1 316.292 1.637 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](C)Cn2cncn2)cc(Cl)c1[O-] ZINC000273977525 211235748 /nfs/dbraw/zinc/23/57/48/211235748.db2.gz SXXQRUJORJBEHO-VIFPVBQESA-N -1 1 324.768 1.854 20 0 DDADMM CCOc1cc(C(=O)NC[C@@H](COC)OC)cc(Cl)c1[O-] ZINC000273847468 211110602 /nfs/dbraw/zinc/11/06/02/211110602.db2.gz KUHLKUXRVPDVQU-JTQLQIEISA-N -1 1 317.769 1.836 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1sccc1F)C(=O)OC(C)(C)C ZINC000338891433 250184963 /nfs/dbraw/zinc/18/49/63/250184963.db2.gz HYXAJBPROLDXMP-SSDOTTSWSA-N -1 1 309.384 1.896 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)c2[nH]nc3c2CCCCC3)s[n-]1 ZINC000338924214 250199521 /nfs/dbraw/zinc/19/95/21/250199521.db2.gz HJRKGXFTPSFVGT-MRVPVSSYSA-N -1 1 321.406 1.912 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cn2ncc3ccccc32)n1 ZINC000339172512 250325262 /nfs/dbraw/zinc/32/52/62/250325262.db2.gz VZCBOONFCWELJU-UHFFFAOYSA-N -1 1 313.317 1.575 20 0 DDADMM C1CC(c2noc(=N[C@H]3CCCC[C@H]3N3CCOCC3)[n-]2)C1 ZINC000567454409 304220336 /nfs/dbraw/zinc/22/03/36/304220336.db2.gz OQOOLVZSIUJPTE-UONOGXRCSA-N -1 1 306.410 1.814 20 0 DDADMM CO[C@H]1CCC[C@H]1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000340879453 251257358 /nfs/dbraw/zinc/25/73/58/251257358.db2.gz KGKLMTFFMZJVHN-GWCFXTLKSA-N -1 1 301.346 1.468 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1ccccc1)c1cc(F)ccc1F ZINC000063000997 184265692 /nfs/dbraw/zinc/26/56/92/184265692.db2.gz UYHGVZNDHBUCQI-CYBMUJFWSA-N -1 1 313.325 1.977 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@@H]1O)c1cc(F)c(F)cc1F ZINC000269239851 207369295 /nfs/dbraw/zinc/36/92/95/207369295.db2.gz AUZZNBNFYAVGHL-CPCISQLKSA-N -1 1 309.309 1.543 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CS[C@@H]2CCO[C@@H]2C)cc1 ZINC000269924286 207976782 /nfs/dbraw/zinc/97/67/82/207976782.db2.gz BFEOZMQDPOSILV-ZWNOBZJWSA-N -1 1 309.387 1.862 20 0 DDADMM COc1ccc([C@@H](C)CCNC(=O)CCc2nn[n-]n2)cc1 ZINC000631504484 422802897 /nfs/dbraw/zinc/80/28/97/422802897.db2.gz WGVISCKTDZOIMB-NSHDSACASA-N -1 1 303.366 1.451 20 0 DDADMM CNc1snc(C)c1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000331818953 533103904 /nfs/dbraw/zinc/10/39/04/533103904.db2.gz PAWYHHPFHJCCDE-QMMMGPOBSA-N -1 1 323.378 1.602 20 0 DDADMM COc1ccccc1N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000155572058 197068718 /nfs/dbraw/zinc/06/87/18/197068718.db2.gz FTBLYVWAKLPWCS-LBPRGKRZSA-N -1 1 313.357 1.805 20 0 DDADMM CC[C@H](C)CN(CC)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000154960802 197008330 /nfs/dbraw/zinc/00/83/30/197008330.db2.gz GOLDYMUKBXCBJV-JTQLQIEISA-N -1 1 302.396 1.696 20 0 DDADMM COCC(C)(C)N1CCN([C@@H](C(=O)[O-])c2cccnc2)CC1 ZINC000568281434 304283542 /nfs/dbraw/zinc/28/35/42/304283542.db2.gz KLCLMBCHYADWJM-CQSZACIVSA-N -1 1 307.394 1.250 20 0 DDADMM C[C@@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352943072 285402737 /nfs/dbraw/zinc/40/27/37/285402737.db2.gz PUTFEOKTWODDST-XVKPBYJWSA-N -1 1 324.196 1.888 20 0 DDADMM CS(=O)(=O)C[C@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000294745745 533369913 /nfs/dbraw/zinc/36/99/13/533369913.db2.gz YNNSTARXBZDMGT-JTQLQIEISA-N -1 1 315.366 1.428 20 0 DDADMM CS(=O)(=O)CC1(CNC(=O)c2c([O-])cccc2F)CCC1 ZINC000412881608 533329226 /nfs/dbraw/zinc/32/92/26/533329226.db2.gz KXUSHEBPJKIUMX-UHFFFAOYSA-N -1 1 315.366 1.476 20 0 DDADMM CS(=O)(=O)N1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000294963085 533393749 /nfs/dbraw/zinc/39/37/49/533393749.db2.gz QVTCMBCXGOMHFM-UHFFFAOYSA-N -1 1 304.799 1.123 20 0 DDADMM CN1CCC[C@H](Nc2nc3[nH][n-]cc-3c(=O)n2)c2ccccc21 ZINC000568474394 304294774 /nfs/dbraw/zinc/29/47/74/304294774.db2.gz DAJWKRMFUOLBMH-LBPRGKRZSA-N -1 1 310.361 1.815 20 0 DDADMM O=S(=O)([N-]Cc1cccnc1)c1ccc(Br)o1 ZINC000353415184 285717476 /nfs/dbraw/zinc/71/74/76/285717476.db2.gz VLHKOXJQEAUODF-UHFFFAOYSA-N -1 1 317.164 1.916 20 0 DDADMM COCCOCCNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000360528969 299684350 /nfs/dbraw/zinc/68/43/50/299684350.db2.gz JRZNWLFSHXRBEK-UHFFFAOYSA-N -1 1 318.377 1.358 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)CC(C)(C)OC)[C@@H](C)CC ZINC000569171963 304339489 /nfs/dbraw/zinc/33/94/89/304339489.db2.gz FFTUMYOSPHVDAS-WDEREUQCSA-N -1 1 309.428 1.309 20 0 DDADMM CC(C)[C@@H](Cc1ccc(F)cc1)N(C)C(=O)CCc1nn[n-]n1 ZINC000631555853 422830262 /nfs/dbraw/zinc/83/02/62/422830262.db2.gz HPROPMZAYRRTHJ-CQSZACIVSA-N -1 1 319.384 1.997 20 0 DDADMM O=C(NCC[C@@H](O)COCc1ccccc1)c1ncccc1[O-] ZINC000629838511 422832003 /nfs/dbraw/zinc/83/20/03/422832003.db2.gz BBGVXHWJQYWPMT-CQSZACIVSA-N -1 1 316.357 1.485 20 0 DDADMM CCO[C@H]1C[C@@H]1C(=O)N1CCC(c2n[n-]c(=S)n2C)CC1 ZINC000068427827 406640653 /nfs/dbraw/zinc/64/06/53/406640653.db2.gz KANQTERPOLXNAQ-QWRGUYRKSA-N -1 1 310.423 1.609 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C[C@H]1C=CCC1 ZINC000074162146 406903804 /nfs/dbraw/zinc/90/38/04/406903804.db2.gz OMQCYGHMNKGCFI-LBPRGKRZSA-N -1 1 300.362 1.612 20 0 DDADMM CN(C(=O)c1cc(Cl)ccc1[O-])[C@H]1CCS(=O)(=O)C1 ZINC000035180470 406969063 /nfs/dbraw/zinc/96/90/63/406969063.db2.gz VPZBRYXUCZUOKR-VIFPVBQESA-N -1 1 303.767 1.305 20 0 DDADMM Cc1nc(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)cs1 ZINC000027970293 406913807 /nfs/dbraw/zinc/91/38/07/406913807.db2.gz BUOLINIKEDPLGV-UHFFFAOYSA-N -1 1 320.827 1.359 20 0 DDADMM CCN1CCN(C(=O)c2ccc(Br)cc2[O-])CC1 ZINC000044490500 407023984 /nfs/dbraw/zinc/02/39/84/407023984.db2.gz MIYUTLMTVIQCJI-UHFFFAOYSA-N -1 1 313.195 1.932 20 0 DDADMM O=C(N[C@H](CO)c1ccccc1)c1nc2ccccc2c(=O)[n-]1 ZINC000078441053 407028641 /nfs/dbraw/zinc/02/86/41/407028641.db2.gz PVFHDARFOMPSAF-CQSZACIVSA-N -1 1 309.325 1.387 20 0 DDADMM O=C1NCc2ccc([N-]S(=O)(=O)c3ccc(F)cc3)cc21 ZINC000058497343 407221654 /nfs/dbraw/zinc/22/16/54/407221654.db2.gz HIKLLBUIHVZEMJ-UHFFFAOYSA-N -1 1 306.318 1.870 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1cc(F)ccc1F)C(N)=O ZINC000101923406 407317566 /nfs/dbraw/zinc/31/75/66/407317566.db2.gz OZIPKTHEJLRJAA-UHFFFAOYSA-N -1 1 306.334 1.287 20 0 DDADMM CCOC(=O)C(C)(C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000066937852 407263911 /nfs/dbraw/zinc/26/39/11/407263911.db2.gz JXHHDLHTMSQTFV-UHFFFAOYSA-N -1 1 307.318 1.585 20 0 DDADMM C[C@@H](CCO)CNC(=O)c1ccc(Br)cc1[O-] ZINC000097444218 407293522 /nfs/dbraw/zinc/29/35/22/407293522.db2.gz OUMRKJOIALPYDZ-QMMMGPOBSA-N -1 1 302.168 1.903 20 0 DDADMM COCC[C@H](C)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000101520330 407310126 /nfs/dbraw/zinc/31/01/26/407310126.db2.gz AOHTZBIOTZYFTK-JTQLQIEISA-N -1 1 320.393 1.526 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCCC[C@H]2C)o1 ZINC000107697995 407378195 /nfs/dbraw/zinc/37/81/95/407378195.db2.gz HIIGSPQRACZTKR-ZJUUUORDSA-N -1 1 301.364 1.923 20 0 DDADMM COC(=O)[C@@H](C)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000124825813 407370454 /nfs/dbraw/zinc/37/04/54/407370454.db2.gz FNJGNSHBSFMDRQ-LURJTMIESA-N -1 1 311.281 1.191 20 0 DDADMM CN(C(=O)CS(=O)(=O)c1ccc([O-])cc1)C1CCCCC1 ZINC000125516440 407390139 /nfs/dbraw/zinc/39/01/39/407390139.db2.gz GMWOWBOCMRBBHK-UHFFFAOYSA-N -1 1 311.403 1.957 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)NCCn1cccn1)c2=O ZINC000109090967 407394541 /nfs/dbraw/zinc/39/45/41/407394541.db2.gz YPITZWXRACDCSQ-UHFFFAOYSA-N -1 1 312.329 1.576 20 0 DDADMM O=C(Nc1cccc(Cn2cccnc2=O)c1)c1cncc([O-])c1 ZINC000111572647 407411811 /nfs/dbraw/zinc/41/18/11/407411811.db2.gz HSJJUGNMPWLDEB-UHFFFAOYSA-N -1 1 322.324 1.645 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H](CCO)C(C)(C)C)c1Cl ZINC000178565408 407493391 /nfs/dbraw/zinc/49/33/91/407493391.db2.gz XBGOLOXLWBWTMJ-MRVPVSSYSA-N -1 1 309.819 1.149 20 0 DDADMM CC(C)[C@@H](NC(=O)c1c([O-])cccc1F)C(=O)N1CCOCC1 ZINC000128811016 407571861 /nfs/dbraw/zinc/57/18/61/407571861.db2.gz IPCPBMQIWPFWEE-CQSZACIVSA-N -1 1 324.352 1.145 20 0 DDADMM O=C(CSc1nc(C(F)(F)F)cc(=O)[n-]1)NCC1CC1 ZINC000261416822 407536787 /nfs/dbraw/zinc/53/67/87/407536787.db2.gz CTPFHXKCZSXSDJ-UHFFFAOYSA-N -1 1 307.297 1.819 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)Cc1cccs1 ZINC000114155197 407547877 /nfs/dbraw/zinc/54/78/77/407547877.db2.gz PNSFBVVMLVKNEH-UHFFFAOYSA-N -1 1 316.386 1.560 20 0 DDADMM CCSc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)ccn1 ZINC000129532811 407617060 /nfs/dbraw/zinc/61/70/60/407617060.db2.gz PWHJUCIJTLXTBL-NSHDSACASA-N -1 1 318.406 1.727 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2ccccc2O)cc1C(=O)[O-] ZINC000226856774 407624088 /nfs/dbraw/zinc/62/40/88/407624088.db2.gz VVFVQGQBAZPQNN-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM CCC[C@@H](CCO)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000228614968 407649438 /nfs/dbraw/zinc/64/94/38/407649438.db2.gz UAAHVSJMKYLNOP-VIFPVBQESA-N -1 1 309.819 1.151 20 0 DDADMM CC(C)OC(=O)C[C@@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000186449754 407671359 /nfs/dbraw/zinc/67/13/59/407671359.db2.gz HAZKNBWFQVZDAU-LBPRGKRZSA-N -1 1 306.362 1.981 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CCn2cnnc2C1 ZINC000153020218 407738499 /nfs/dbraw/zinc/73/84/99/407738499.db2.gz NFVYJKGXKYFLHZ-UHFFFAOYSA-N -1 1 323.150 1.402 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCC(C)(C)C ZINC000131672565 407745958 /nfs/dbraw/zinc/74/59/58/407745958.db2.gz MDUHRIYJDHYXHA-UHFFFAOYSA-N -1 1 303.384 1.301 20 0 DDADMM CC1(C)OCC(C)(C(=O)Nc2nc(-c3ccco3)n[nH]2)CO1 ZINC000272080362 407766390 /nfs/dbraw/zinc/76/63/90/407766390.db2.gz HEJIFVCNLQNWLH-UHFFFAOYSA-N -1 1 306.322 1.792 20 0 DDADMM C[C@@H](Cn1ncc2ccccc2c1=O)NC(=O)c1cncc([O-])c1 ZINC000179400583 407782750 /nfs/dbraw/zinc/78/27/50/407782750.db2.gz FFWVOISWUIVUQW-NSHDSACASA-N -1 1 324.340 1.316 20 0 DDADMM Cn1cc(CNC(=O)c2ccc(Br)c([O-])c2)cn1 ZINC000133249110 407826859 /nfs/dbraw/zinc/82/68/59/407826859.db2.gz REGBSSCMXPKPTQ-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM COc1cccc(O[C@H](C)CNC(=O)c2ncccc2[O-])c1 ZINC000174525509 407960891 /nfs/dbraw/zinc/96/08/91/407960891.db2.gz KXEUEGAHXSXXMY-LLVKDONJSA-N -1 1 302.330 1.993 20 0 DDADMM CC(C)(C(=O)Nc1nnn[n-]1)c1cccc(Br)c1 ZINC000118686041 407936361 /nfs/dbraw/zinc/93/63/61/407936361.db2.gz FXEIIJCBOYBHOI-UHFFFAOYSA-N -1 1 310.155 1.879 20 0 DDADMM CC(C)(C(=O)Nc1nn[n-]n1)c1cccc(Br)c1 ZINC000118686041 407936366 /nfs/dbraw/zinc/93/63/66/407936366.db2.gz FXEIIJCBOYBHOI-UHFFFAOYSA-N -1 1 310.155 1.879 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCC1 ZINC000119285976 408032655 /nfs/dbraw/zinc/03/26/55/408032655.db2.gz SPSQLVCCFCMSDW-UHFFFAOYSA-N -1 1 323.292 1.478 20 0 DDADMM O=S(=O)([N-]CC1(CCO)CC1)c1c(F)cccc1Cl ZINC000188937702 407988369 /nfs/dbraw/zinc/98/83/69/407988369.db2.gz GMSGRLJKWXHVNE-UHFFFAOYSA-N -1 1 307.774 1.920 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)N(C)C[C@H](C)C(=O)[O-])cn1 ZINC000263082055 408020813 /nfs/dbraw/zinc/02/08/13/408020813.db2.gz BJZCVQKHSVSHDS-VIFPVBQESA-N -1 1 305.338 1.086 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@]2(C)CCCS2)o1 ZINC000154722137 408076608 /nfs/dbraw/zinc/07/66/08/408076608.db2.gz MAEUIJMPSOXSFZ-GFCCVEGCSA-N -1 1 318.420 1.203 20 0 DDADMM NC(=O)Nc1ccc(CNC(=O)c2ccc([O-])cc2F)cc1 ZINC000154771612 408081158 /nfs/dbraw/zinc/08/11/58/408081158.db2.gz ODPKQLVFSALDNE-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM CCC[C@@H](NC(=O)CSC[C@H]1CCCCO1)c1nn[n-]n1 ZINC000136629748 408117209 /nfs/dbraw/zinc/11/72/09/408117209.db2.gz RQCLXXZHBGLWOX-GHMZBOCLSA-N -1 1 313.427 1.459 20 0 DDADMM Cc1noc(C)c1CSCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000273414174 408188408 /nfs/dbraw/zinc/18/84/08/408188408.db2.gz DRXKTBUWZNNCAE-MRVPVSSYSA-N -1 1 324.410 1.300 20 0 DDADMM COCCOc1ccccc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000273475244 408215171 /nfs/dbraw/zinc/21/51/71/408215171.db2.gz HTLBAMSKQJHZSH-LLVKDONJSA-N -1 1 319.365 1.101 20 0 DDADMM Cc1cc(Cl)cc(NC(=O)[C@H](C)N2CC[NH+](C)CC2)c1O ZINC000175838079 408221513 /nfs/dbraw/zinc/22/15/13/408221513.db2.gz RGPRZZFRAULQGW-NSHDSACASA-N -1 1 311.813 1.928 20 0 DDADMM O=C([O-])[C@@H]1CCN([C@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000246528145 408284328 /nfs/dbraw/zinc/28/43/28/408284328.db2.gz RSMHSTBWCUXHOY-MFKMUULPSA-N -1 1 308.765 1.852 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@@H]1CCCc2sccc21 ZINC000157082771 408289099 /nfs/dbraw/zinc/28/90/99/408289099.db2.gz BPATVBOGZJAJBL-SNVBAGLBSA-N -1 1 306.391 1.328 20 0 DDADMM CC[C@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1ccc(F)cc1 ZINC000131322212 162012791 /nfs/dbraw/zinc/01/27/91/162012791.db2.gz BQPVRTWKELOWLG-LBPRGKRZSA-N -1 1 306.341 1.480 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2c(F)cccc2Cl)CC1 ZINC000133209299 162054015 /nfs/dbraw/zinc/05/40/15/162054015.db2.gz UXPOZKNXFRRYKY-UHFFFAOYSA-N -1 1 307.730 1.463 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(CC(=O)Nc2nnn[n-]2)cc1 ZINC000264014485 408344171 /nfs/dbraw/zinc/34/41/71/408344171.db2.gz MCHCWYHAKRJUMS-UHFFFAOYSA-N -1 1 318.337 1.728 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(CC(=O)Nc2nn[n-]n2)cc1 ZINC000264014485 408344178 /nfs/dbraw/zinc/34/41/78/408344178.db2.gz MCHCWYHAKRJUMS-UHFFFAOYSA-N -1 1 318.337 1.728 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCCc2c(O)cccc21)c1nn[n-]n1 ZINC000191022417 408360376 /nfs/dbraw/zinc/36/03/76/408360376.db2.gz VALQKCPSGVDCAE-MFKMUULPSA-N -1 1 315.377 1.587 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc3[nH]cnc3c2)o1 ZINC000170029419 162184369 /nfs/dbraw/zinc/18/43/69/162184369.db2.gz YQOHQRIDGJPGRA-UHFFFAOYSA-N -1 1 320.330 1.316 20 0 DDADMM O=S(=O)(Cc1ncccn1)c1n[n-]c(CCC2CCCC2)n1 ZINC000191030174 408361737 /nfs/dbraw/zinc/36/17/37/408361737.db2.gz GIEPWISLVKMYSA-UHFFFAOYSA-N -1 1 321.406 1.691 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)C(C)(C)C ZINC000269623618 408366142 /nfs/dbraw/zinc/36/61/42/408366142.db2.gz ULIMVJRYBREEHH-JTQLQIEISA-N -1 1 309.410 1.925 20 0 DDADMM CC(C)COC[C@@H](O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000159243810 408392990 /nfs/dbraw/zinc/39/29/90/408392990.db2.gz ZBXJICLITXGJQD-NSHDSACASA-N -1 1 323.361 1.277 20 0 DDADMM CC(C)CO[N-]C(=O)[C@@H]1CCC(=O)N(C)[C@@H]1c1cnn(C)c1 ZINC000269715736 408401400 /nfs/dbraw/zinc/40/14/00/408401400.db2.gz PXSADBWTOIQRPA-TZMCWYRMSA-N -1 1 308.382 1.033 20 0 DDADMM C[C@H](CN(C)C(=O)C(C)(C)c1ccc(F)cc1)c1nn[n-]n1 ZINC000183421208 408407591 /nfs/dbraw/zinc/40/75/91/408407591.db2.gz PSKJIVICDSQWCM-SNVBAGLBSA-N -1 1 305.357 1.879 20 0 DDADMM C[C@@H](CN(C)C(=O)c1sccc1OC(F)F)c1nn[n-]n1 ZINC000183452472 408417024 /nfs/dbraw/zinc/41/70/24/408417024.db2.gz QMAXBUZNNHTPKH-LURJTMIESA-N -1 1 317.321 1.738 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1COc2ccccc2C1)c1nn[n-]n1 ZINC000176760947 408434675 /nfs/dbraw/zinc/43/46/75/408434675.db2.gz KKXRCZMPHOOHPR-NEPJUHHUSA-N -1 1 301.350 1.408 20 0 DDADMM CCC[C@H](NC(=O)COc1cc(C)ccc1C)c1nn[n-]n1 ZINC000176769608 408439035 /nfs/dbraw/zinc/43/90/35/408439035.db2.gz JECIOFGAYWVCQS-LBPRGKRZSA-N -1 1 303.366 1.853 20 0 DDADMM CCC[C@H](NC(=O)COc1cccc(C(C)=O)c1)c1nn[n-]n1 ZINC000176777794 408440402 /nfs/dbraw/zinc/44/04/02/408440402.db2.gz XMYYZNPYHNGRFL-ZDUSSCGKSA-N -1 1 317.349 1.439 20 0 DDADMM CCOc1cc(C(=O)N[C@H](C)C[S@](C)=O)cc(Cl)c1[O-] ZINC000183557141 408441131 /nfs/dbraw/zinc/44/11/31/408441131.db2.gz CSPRRXQVRZRDPQ-SQFXPLBJSA-N -1 1 319.810 1.941 20 0 DDADMM COc1ccsc1S(=O)(=O)N[C@@H]1CCC[N@@H+](C(C)C)C1 ZINC000274704010 408502855 /nfs/dbraw/zinc/50/28/55/408502855.db2.gz ONORTIVLNKINJN-LLVKDONJSA-N -1 1 318.464 1.908 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1C(F)(F)F)c1nn[n-]n1 ZINC000274787652 408531804 /nfs/dbraw/zinc/53/18/04/408531804.db2.gz PEHUHYNTXHVXPJ-ZETCQYMHSA-N -1 1 314.271 1.489 20 0 DDADMM CC[C@@](C)(CO)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000270415831 408527132 /nfs/dbraw/zinc/52/71/32/408527132.db2.gz PGHMKOHRUGRHAQ-AWEZNQCLSA-N -1 1 313.423 1.422 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CS[C@@H]2CCO[C@H]2C)cc1 ZINC000269924283 408471344 /nfs/dbraw/zinc/47/13/44/408471344.db2.gz BFEOZMQDPOSILV-GXFFZTMASA-N -1 1 309.387 1.862 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCCc2ccc[nH]2)c(=O)[n-]1 ZINC000270734460 408657467 /nfs/dbraw/zinc/65/74/67/408657467.db2.gz BFVCRGCBZLTSNY-UHFFFAOYSA-N -1 1 320.418 1.832 20 0 DDADMM CCCNC(=O)c1ccc([N-]S(=O)(=O)C[C@@H](C)OC)cc1 ZINC000193317544 408711802 /nfs/dbraw/zinc/71/18/02/408711802.db2.gz UYIPDXZKKXRWJC-LLVKDONJSA-N -1 1 314.407 1.603 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCC1 ZINC000180379790 162757975 /nfs/dbraw/zinc/75/79/75/162757975.db2.gz OUGCZHORMSVKKV-UHFFFAOYSA-N -1 1 309.309 1.951 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)C3=CCOCC3)[nH]c21 ZINC000193619897 408730789 /nfs/dbraw/zinc/73/07/89/408730789.db2.gz ZCGAUDXPPQUNCU-UHFFFAOYSA-N -1 1 301.302 1.635 20 0 DDADMM CO[C@@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000162132636 408674687 /nfs/dbraw/zinc/67/46/87/408674687.db2.gz IZKYTSDCVCCGOE-QWHCGFSZSA-N -1 1 301.350 1.293 20 0 DDADMM CC[C@H](C)C(=O)Nc1cccc(CNC(=O)c2cnn[nH]2)c1 ZINC000194194376 408772095 /nfs/dbraw/zinc/77/20/95/408772095.db2.gz BHARBQQZUXCKHA-JTQLQIEISA-N -1 1 301.350 1.719 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2OCCC[C@@H]12)c1cccc(F)c1F ZINC000249964833 408772534 /nfs/dbraw/zinc/77/25/34/408772534.db2.gz WVAPLOADJZTZCG-JMJZKYOTSA-N -1 1 303.330 1.811 20 0 DDADMM Cn1cncc1[C@@H]1C[C@@H](NC(=O)c2c([O-])cccc2F)CCO1 ZINC000276322942 408835660 /nfs/dbraw/zinc/83/56/60/408835660.db2.gz PHESQSDKHSFKFO-HZMBPMFUSA-N -1 1 319.336 1.915 20 0 DDADMM C[C@@H]1CCC[N@@H+](Cc2cc(=O)n3ccsc3n2)[C@@H]1C(=O)[O-] ZINC000235394243 163355705 /nfs/dbraw/zinc/35/57/05/163355705.db2.gz RLRFWQQKVBGCCZ-SKDRFNHKSA-N -1 1 307.375 1.441 20 0 DDADMM CCC[C@@H](NC(=O)c1c(C)coc1CC(=O)OC)c1nn[n-]n1 ZINC000291966899 408954736 /nfs/dbraw/zinc/95/47/36/408954736.db2.gz POJCHCDHYJOQBN-SECBINFHSA-N -1 1 321.337 1.088 20 0 DDADMM CN(CCOCCO)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000282133590 408997830 /nfs/dbraw/zinc/99/78/30/408997830.db2.gz KHWLXFNWELGDEY-UHFFFAOYSA-N -1 1 307.268 1.492 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CC[S@@](=O)C1 ZINC000277726598 408999882 /nfs/dbraw/zinc/99/98/82/408999882.db2.gz XIBBGYNXDNHSLT-AYLIAGHASA-N -1 1 301.795 1.886 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc(OC)c(Cl)c1)c1nn[n-]n1 ZINC000287625456 409066431 /nfs/dbraw/zinc/06/64/31/409066431.db2.gz TWVZQMJRHNCXSU-SECBINFHSA-N -1 1 310.745 1.528 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1C1CC1 ZINC000278049411 409057015 /nfs/dbraw/zinc/05/70/15/409057015.db2.gz WTMXJACRQAMUJH-JTQLQIEISA-N -1 1 315.377 1.961 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)NC3CCC3)CC2)n1 ZINC000278090385 409064155 /nfs/dbraw/zinc/06/41/55/409064155.db2.gz QAZQRLDQMGKTCM-UHFFFAOYSA-N -1 1 321.381 1.423 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H](C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000283238616 409119818 /nfs/dbraw/zinc/11/98/18/409119818.db2.gz PWHFUMNIWWLCNT-PDWPUUMPSA-N -1 1 316.361 1.260 20 0 DDADMM CC(C)Oc1ccc(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)cn1 ZINC000283258800 409123397 /nfs/dbraw/zinc/12/33/97/409123397.db2.gz RQUSDDVNKUGMPC-MOSHPQCFSA-N -1 1 311.345 1.776 20 0 DDADMM CC(C)[C@@H]1C[C@@H](CC(=O)NN2CC(=O)[N-]C2=O)c2ccccc21 ZINC000279448561 409217992 /nfs/dbraw/zinc/21/79/92/409217992.db2.gz IAZLYSBYUBGWKV-FZMZJTMJSA-N -1 1 315.373 1.887 20 0 DDADMM C[C@@H]1OCC[C@@]1(O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000279505199 409227545 /nfs/dbraw/zinc/22/75/45/409227545.db2.gz OHJWOPZAIIAEEW-ISVAXAHUSA-N -1 1 319.279 1.681 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@@H]1O)c1cc(Cl)ccc1F ZINC000284172267 409289348 /nfs/dbraw/zinc/28/93/48/409289348.db2.gz GGLOAINKYXNXRP-KWQFWETISA-N -1 1 307.774 1.918 20 0 DDADMM C[C@@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)CCS1(=O)=O ZINC000290157172 409311732 /nfs/dbraw/zinc/31/17/32/409311732.db2.gz LOXOKNFQBPOLJI-MRVPVSSYSA-N -1 1 319.329 1.320 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2ncccc2C)o1 ZINC000296001154 409397450 /nfs/dbraw/zinc/39/74/50/409397450.db2.gz KQAZTNJXCPKYIB-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)OCc1ccc(F)cc1)c1nn[n-]n1 ZINC000296029382 409403533 /nfs/dbraw/zinc/40/35/33/409403533.db2.gz OYMXLYCVUUISNC-GHMZBOCLSA-N -1 1 321.356 1.506 20 0 DDADMM CON(CC1CCOCC1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000296218278 409466172 /nfs/dbraw/zinc/46/61/72/409466172.db2.gz WTVJOSAEOVNOEQ-UHFFFAOYSA-N -1 1 322.390 1.448 20 0 DDADMM COCC1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCC1 ZINC000296265585 409484841 /nfs/dbraw/zinc/48/48/41/409484841.db2.gz OGXVVPJFDLEMHN-UHFFFAOYSA-N -1 1 306.391 1.565 20 0 DDADMM CO[C@@H](C)c1nc(=N[C@@H]2CCOC3(CCOCC3)C2)s[n-]1 ZINC000337858707 409515188 /nfs/dbraw/zinc/51/51/88/409515188.db2.gz ICJOXGKIYTWXNC-WDEREUQCSA-N -1 1 313.423 1.808 20 0 DDADMM CC[C@H]1CCC[C@H]1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331643396 409726694 /nfs/dbraw/zinc/72/66/94/409726694.db2.gz JPFVHCDWBPYIBN-WDEREUQCSA-N -1 1 324.454 1.361 20 0 DDADMM NC(=O)C[C@@H]1CCCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000631601476 422848834 /nfs/dbraw/zinc/84/88/34/422848834.db2.gz IBDFVAMBCMMAED-NSHDSACASA-N -1 1 310.781 1.702 20 0 DDADMM Cc1ccc(-c2cncc(C(=O)NC3(c4nn[n-]n4)CC3)c2)cc1 ZINC000357059238 409828389 /nfs/dbraw/zinc/82/83/89/409828389.db2.gz TUDLYYFKRXNDJP-UHFFFAOYSA-N -1 1 320.356 1.989 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)N1CC[C@@H](C)C1)c1cccs1 ZINC000349447824 409841066 /nfs/dbraw/zinc/84/10/66/409841066.db2.gz NDWAAMMFLGBCQC-KOLCDFICSA-N -1 1 318.420 1.138 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)C[C@H]2CCCO2)c1 ZINC000338212808 409805010 /nfs/dbraw/zinc/80/50/10/409805010.db2.gz QROXNEPTJMFWLU-MWLCHTKSSA-N -1 1 317.363 1.302 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(Oc2ccccc2)nc1 ZINC000357046171 409816843 /nfs/dbraw/zinc/81/68/43/409816843.db2.gz CPWUANZRDIRLNZ-UHFFFAOYSA-N -1 1 322.328 1.806 20 0 DDADMM O=C([O-])CC[C@@H]1CCCN(C(=O)[C@H]2Cc3ccccc3CN2)C1 ZINC000320493114 409905383 /nfs/dbraw/zinc/90/53/83/409905383.db2.gz HIMHCAMINJJJMB-XJKSGUPXSA-N -1 1 316.401 1.804 20 0 DDADMM Cc1nc([C@@H]2CCCCN2C(=O)N=c2cc(C)c(C)n[n-]2)n[nH]1 ZINC000338392189 409953904 /nfs/dbraw/zinc/95/39/04/409953904.db2.gz RUPBOJZUHAMBAI-LBPRGKRZSA-N -1 1 315.381 1.701 20 0 DDADMM CCCc1nc(C)c(C(=O)Nc2nn[nH]c2C(=O)NC)s1 ZINC000297663811 409949127 /nfs/dbraw/zinc/94/91/27/409949127.db2.gz GJWLLXKMUULGKA-UHFFFAOYSA-N -1 1 308.367 1.134 20 0 DDADMM CC(C)(NC(=O)[C@H]1C[C@H]1c1cc(F)ccc1F)c1nn[n-]n1 ZINC000354770313 410072464 /nfs/dbraw/zinc/07/24/64/410072464.db2.gz IOZHTDXUXWPJJY-WPRPVWTQSA-N -1 1 307.304 1.633 20 0 DDADMM CSc1nc(C(=O)NC(C)(C)c2nn[n-]n2)c2ccccn21 ZINC000354771924 410075273 /nfs/dbraw/zinc/07/52/73/410075273.db2.gz KWZWJCGWJHOIEB-UHFFFAOYSA-N -1 1 317.378 1.234 20 0 DDADMM Cn1cncc1[C@H]1C[C@@H](NC(=O)c2ccc([O-])cc2F)CCO1 ZINC000332422399 410147194 /nfs/dbraw/zinc/14/71/94/410147194.db2.gz OGEAAZHBLABCOQ-ZUZCIYMTSA-N -1 1 319.336 1.915 20 0 DDADMM COc1ccccc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332358054 410092223 /nfs/dbraw/zinc/09/22/23/410092223.db2.gz ULVBWXSZCGYGHN-JTQLQIEISA-N -1 1 303.318 1.804 20 0 DDADMM CCn1cnnc1[C@@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000332380897 410114033 /nfs/dbraw/zinc/11/40/33/410114033.db2.gz YTCAOWDWMSNGCA-GFCCVEGCSA-N -1 1 315.377 1.727 20 0 DDADMM O=C1NC(=O)N2CC[N@@H+](Cc3ccn(C4CCCC4)n3)C[C@H]12 ZINC000329226894 410178981 /nfs/dbraw/zinc/17/89/81/410178981.db2.gz ASWQGNOHJQJDND-CYBMUJFWSA-N -1 1 303.366 1.575 20 0 DDADMM COc1cc(C(=O)N(CC(N)=O)C(C)C)cc(Cl)c1[O-] ZINC000357680784 410183753 /nfs/dbraw/zinc/18/37/53/410183753.db2.gz HZZXCVQNIDAWJP-UHFFFAOYSA-N -1 1 300.742 1.390 20 0 DDADMM O=C(Cc1ccccc1O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339438355 410188175 /nfs/dbraw/zinc/18/81/75/410188175.db2.gz WDZCVXGHCXVWGR-UHFFFAOYSA-N -1 1 303.318 1.430 20 0 DDADMM O=C(N[C@@H]1CCC[C@H](CO)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000298492403 410257336 /nfs/dbraw/zinc/25/73/36/410257336.db2.gz VCIHDDKNTJWWBX-GXSJLCMTSA-N -1 1 306.391 1.299 20 0 DDADMM O=C(c1cc(F)cc2nn[nH]c21)N1CCOC[C@H]1[C@H]1CCCO1 ZINC000329363363 410259670 /nfs/dbraw/zinc/25/96/70/410259670.db2.gz HNRVARPNUFXKSR-QWHCGFSZSA-N -1 1 320.324 1.117 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@@H]2CC[C@@H](C)C2)o1 ZINC000346972777 410287404 /nfs/dbraw/zinc/28/74/04/410287404.db2.gz IAWJDLNAVFKZDJ-NXEZZACHSA-N -1 1 300.380 1.448 20 0 DDADMM c1cc2c(cc1-c1nc(SCc3nn[n-]n3)n[nH]1)OCCO2 ZINC000355250878 410399370 /nfs/dbraw/zinc/39/93/70/410399370.db2.gz HTXRSPXQKKXRSL-UHFFFAOYSA-N -1 1 317.334 1.048 20 0 DDADMM C[C@H]1[C@@H](N=c2ccc(C(=O)NC3CCCC3)n[nH]2)CCC[N@@H+]1C ZINC000343448727 410460416 /nfs/dbraw/zinc/46/04/16/410460416.db2.gz CAORBZIYEZQVBF-JSGCOSHPSA-N -1 1 317.437 1.466 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC23CCC(CC2)C3)o1 ZINC000339788381 410461507 /nfs/dbraw/zinc/46/15/07/410461507.db2.gz OWTTYWDERLLGMD-UHFFFAOYSA-N -1 1 313.375 1.925 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC[C@H]1C(F)F ZINC000343409305 410429631 /nfs/dbraw/zinc/42/96/31/410429631.db2.gz SCTUPAGDKVTPNA-NSHDSACASA-N -1 1 324.331 1.850 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c(F)c1 ZINC000298884705 410437681 /nfs/dbraw/zinc/43/76/81/410437681.db2.gz HYDPGDZDHGGREQ-SNVBAGLBSA-N -1 1 304.325 1.565 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC(OCC(F)F)C1 ZINC000330212784 410588343 /nfs/dbraw/zinc/58/83/43/410588343.db2.gz DWOMEYOAYXDLHJ-UHFFFAOYSA-N -1 1 303.334 1.245 20 0 DDADMM CCOC(=O)[C@@H](CCOC(C)(C)C)NC(=O)c1cncc([O-])c1 ZINC000340004241 410611547 /nfs/dbraw/zinc/61/15/47/410611547.db2.gz GFMWVUVHZYXAOG-CYBMUJFWSA-N -1 1 324.377 1.654 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC2(CCCC2)CC1 ZINC000343719023 410645557 /nfs/dbraw/zinc/64/55/57/410645557.db2.gz SWMOHRWDEMNTAB-UHFFFAOYSA-N -1 1 317.389 1.700 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCOC1)c1ccc(Br)o1 ZINC000352940628 410691245 /nfs/dbraw/zinc/69/12/45/410691245.db2.gz KEXKXNDBKWFDKZ-QMMMGPOBSA-N -1 1 324.196 1.747 20 0 DDADMM CS(=O)(=O)CC[C@@H]1CCCCN1C(=O)c1cncc([O-])c1 ZINC000359511354 410702627 /nfs/dbraw/zinc/70/26/27/410702627.db2.gz WPCMVFGMUKDFKU-LBPRGKRZSA-N -1 1 312.391 1.217 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnc(-c2ccc3c(c2)OCO3)s1 ZINC000343867962 410762692 /nfs/dbraw/zinc/76/26/92/410762692.db2.gz RMFZIOQLCVYMMX-UHFFFAOYSA-N -1 1 316.302 1.304 20 0 DDADMM O=S(=O)([N-]CC(F)(F)F)c1cnn(-c2ccccc2)c1 ZINC000340368818 410847299 /nfs/dbraw/zinc/84/72/99/410847299.db2.gz DTUCUEMRXPZOQJ-UHFFFAOYSA-N -1 1 305.281 1.713 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCCCCC1CCCC1 ZINC000343956869 410847852 /nfs/dbraw/zinc/84/78/52/410847852.db2.gz OREJVIYFZYIZBN-UHFFFAOYSA-N -1 1 319.405 1.994 20 0 DDADMM CC(C)(C)c1noc(CCCC(=O)NC(C)(C)c2nn[n-]n2)n1 ZINC000359779988 410871808 /nfs/dbraw/zinc/87/18/08/410871808.db2.gz BEWWMUWSOQWMDC-UHFFFAOYSA-N -1 1 321.385 1.254 20 0 DDADMM Cc1ccc(C)c(C(=O)CCC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000348276636 410890816 /nfs/dbraw/zinc/89/08/16/410890816.db2.gz LDOWCAJIAAWSKB-UHFFFAOYSA-N -1 1 313.361 1.585 20 0 DDADMM Cc1cccc(N=c2[n-]nc(SCc3nn[nH]n3)s2)c1 ZINC000353304605 410912965 /nfs/dbraw/zinc/91/29/65/410912965.db2.gz WFJHFPHYNSKHBW-UHFFFAOYSA-N -1 1 305.392 1.817 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H](C)C(F)(F)F)co1 ZINC000356385224 410999544 /nfs/dbraw/zinc/99/95/44/410999544.db2.gz FZVZKOWURRUOQX-LURJTMIESA-N -1 1 314.285 1.116 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2cc(Cl)c([O-])c(OC)c2)C1 ZINC000331271984 411049311 /nfs/dbraw/zinc/04/93/11/411049311.db2.gz NVRUPVSCXOJXEY-QMMMGPOBSA-N -1 1 313.737 1.689 20 0 DDADMM C[C@H](Cn1ncc2ccccc21)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000353482173 411019713 /nfs/dbraw/zinc/01/97/13/411019713.db2.gz MIPORVIPSGHZOR-SNVBAGLBSA-N -1 1 313.365 1.237 20 0 DDADMM Cc1ccc([C@H]2CSCCN2Cc2nc(=O)n(C)[n-]2)cc1 ZINC000353483760 411022012 /nfs/dbraw/zinc/02/20/12/411022012.db2.gz XMXWTBCTLHWKPU-CYBMUJFWSA-N -1 1 304.419 1.707 20 0 DDADMM CC(C)Cn1cc([N-]S(=O)(=O)C[C@H]2CCCCO2)cn1 ZINC000331239984 411035171 /nfs/dbraw/zinc/03/51/71/411035171.db2.gz JDGJKSHZEZQJSD-CYBMUJFWSA-N -1 1 301.412 1.850 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)[C@@H]1Oc2ccccc2[C@H]1C ZINC000631685611 422880858 /nfs/dbraw/zinc/88/08/58/422880858.db2.gz AXCLVNSNTJMPNV-HFBDOXOYSA-N -1 1 301.350 1.202 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2nc(C)cs2)c1 ZINC000631695228 422885163 /nfs/dbraw/zinc/88/51/63/422885163.db2.gz HTTSTBHSWSEFCW-UHFFFAOYSA-N -1 1 314.388 1.644 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC(Cc2ccccc2Cl)C1 ZINC000631715019 422891739 /nfs/dbraw/zinc/89/17/39/422891739.db2.gz MEVHRVUCKLSWIC-UHFFFAOYSA-N -1 1 305.769 1.487 20 0 DDADMM Cc1cccc([C@@H]2C[C@H]2C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1 ZINC000629953537 422892713 /nfs/dbraw/zinc/89/27/13/422892713.db2.gz PMNPNKUBCWJYOJ-WDEREUQCSA-N -1 1 320.374 1.259 20 0 DDADMM Cc1cccc([C@@H]2C[C@H]2C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1 ZINC000629953537 422892717 /nfs/dbraw/zinc/89/27/17/422892717.db2.gz PMNPNKUBCWJYOJ-WDEREUQCSA-N -1 1 320.374 1.259 20 0 DDADMM CCCc1nc(=NC(=O)NC[C@@H]2NC(C)(C)O[C@H]2C)s[n-]1 ZINC000580169544 422895910 /nfs/dbraw/zinc/89/59/10/422895910.db2.gz HEZLNOIBOLMQHH-IUCAKERBSA-N -1 1 313.427 1.147 20 0 DDADMM COc1ccc2c(c1)N(C(=O)CCc1nn[n-]n1)CCCC2 ZINC000631760664 422910968 /nfs/dbraw/zinc/91/09/68/422910968.db2.gz GKCKGDVYHYBNHV-UHFFFAOYSA-N -1 1 301.350 1.510 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCO[C@H](c3nccn3C)C2)c([O-])c1 ZINC000580699578 422948488 /nfs/dbraw/zinc/94/84/88/422948488.db2.gz VKGFVKBDHFMHLO-YPMHNXCESA-N -1 1 316.361 1.479 20 0 DDADMM Cc1noc(CCCS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)n1 ZINC000647605526 422970089 /nfs/dbraw/zinc/97/00/89/422970089.db2.gz QNKMWGGGFFKRKL-UHFFFAOYSA-N -1 1 313.383 1.200 20 0 DDADMM CO[C@H](CC(C)C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000642902467 422996955 /nfs/dbraw/zinc/99/69/55/422996955.db2.gz SUJCRBLCRGPPKJ-OLZOCXBDSA-N -1 1 303.366 1.466 20 0 DDADMM O=C(N=c1ccc([O-])n[nH]1)[C@@H](C[C@H]1CCCO1)C(F)(F)F ZINC000649935099 422997931 /nfs/dbraw/zinc/99/79/31/422997931.db2.gz ODGUDSBCJFDWHD-HTQZYQBOSA-N -1 1 305.256 1.290 20 0 DDADMM O=C(N=c1ccc(O)n[n-]1)[C@@H](C[C@H]1CCCO1)C(F)(F)F ZINC000649935099 422997936 /nfs/dbraw/zinc/99/79/36/422997936.db2.gz ODGUDSBCJFDWHD-HTQZYQBOSA-N -1 1 305.256 1.290 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](c2nnc3ccccn32)C1 ZINC000133804713 196337257 /nfs/dbraw/zinc/33/72/57/196337257.db2.gz BSTOKEAMIOIFFH-LBPRGKRZSA-N -1 1 323.356 1.850 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)[C@@H]1CCCO1 ZINC000645461139 423041390 /nfs/dbraw/zinc/04/13/90/423041390.db2.gz NCMORXUARAKTHN-SCZZXKLOSA-N -1 1 324.324 1.946 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)[C@H]1CCOC1 ZINC000645567428 423088316 /nfs/dbraw/zinc/08/83/16/423088316.db2.gz KJSBQYURHJTHCE-IUCAKERBSA-N -1 1 324.324 1.804 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@@H](CC(C)C)OC)nc1 ZINC000643276139 423097164 /nfs/dbraw/zinc/09/71/64/423097164.db2.gz QZGCRPOYKWCFLF-GFCCVEGCSA-N -1 1 302.396 1.893 20 0 DDADMM Cn1nnc2cc(-c3nc(-c4ccc([O-])c(F)c4)no3)cnc21 ZINC000350830098 306757862 /nfs/dbraw/zinc/75/78/62/306757862.db2.gz CJPXDVDANUEIHL-UHFFFAOYSA-N -1 1 312.264 1.925 20 0 DDADMM CO[C@@H]1CCCC[C@H]1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640655384 423116458 /nfs/dbraw/zinc/11/64/58/423116458.db2.gz LQYVGYJJNUXUAY-GHMZBOCLSA-N -1 1 311.407 1.726 20 0 DDADMM CSc1nc(CNC(=O)C[C@H](C)[C@H]2CCCO2)cc(=O)[n-]1 ZINC000640654915 423116770 /nfs/dbraw/zinc/11/67/70/423116770.db2.gz FCXZOGAYFZNUBP-GXSJLCMTSA-N -1 1 311.407 1.726 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2C[C@H]3CC(=O)[C@@H]2C3)cc(=O)[n-]1 ZINC000640654848 423115411 /nfs/dbraw/zinc/11/54/11/423115411.db2.gz BNAWGPATQDEYRM-SFGNSQDASA-N -1 1 307.375 1.136 20 0 DDADMM COc1ccccc1CC(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640657368 423118954 /nfs/dbraw/zinc/11/89/54/423118954.db2.gz HHHCYBRMLOLNLW-UHFFFAOYSA-N -1 1 319.386 1.772 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCC[C@@H]2CO)c(F)c1 ZINC000650300358 423121237 /nfs/dbraw/zinc/12/12/37/423121237.db2.gz UBALJODMGCNXCN-PRHODGIISA-N -1 1 321.345 1.413 20 0 DDADMM CC[C@@](COC)([N-]S(=O)(=O)C1CCCCC1)C(=O)OC ZINC000416515540 287400739 /nfs/dbraw/zinc/40/07/39/287400739.db2.gz MRYPYHDDYNBESP-ZDUSSCGKSA-N -1 1 307.412 1.207 20 0 DDADMM NC(=O)c1ccc(C(=O)[N-]c2ncn(Cc3ccccc3)n2)o1 ZINC000532622200 417685193 /nfs/dbraw/zinc/68/51/93/417685193.db2.gz MKXZNSJAXQPFSW-UHFFFAOYSA-N -1 1 311.301 1.271 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cc(F)ccc2OC)o1 ZINC000645655560 423128009 /nfs/dbraw/zinc/12/80/09/423128009.db2.gz DKIXOUNZXNBGLP-UHFFFAOYSA-N -1 1 314.338 1.863 20 0 DDADMM CNC(=O)CC(=O)N=c1cc(-c2cc3ccccc3s2)[n-][nH]1 ZINC000366156900 418434656 /nfs/dbraw/zinc/43/46/56/418434656.db2.gz LFAIXIDPPRLBHK-UHFFFAOYSA-N -1 1 314.370 1.788 20 0 DDADMM Cc1cc(Cl)ccc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000366295404 418453196 /nfs/dbraw/zinc/45/31/96/418453196.db2.gz KLIGIMFLDAKSQF-LLVKDONJSA-N -1 1 307.741 1.375 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@H]1CCC[C@H](CO)C1)c2=O ZINC000360626802 418479911 /nfs/dbraw/zinc/47/99/11/418479911.db2.gz QNGMUHSFZGVHCL-QWRGUYRKSA-N -1 1 318.377 1.202 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cccc3c2OCO3)o1 ZINC000360714646 418497854 /nfs/dbraw/zinc/49/78/54/418497854.db2.gz OJUIFJHBFJBGNM-UHFFFAOYSA-N -1 1 324.314 1.169 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(F)(F)[C@@H](C)C2)o1 ZINC000290908969 222013652 /nfs/dbraw/zinc/01/36/52/222013652.db2.gz LMLOUXUYMDDSII-QMMMGPOBSA-N -1 1 322.333 1.305 20 0 DDADMM Cc1c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cnn1CC(C)C ZINC000367247677 418577273 /nfs/dbraw/zinc/57/72/73/418577273.db2.gz ATFOWPLHXVRORG-GFCCVEGCSA-N -1 1 317.397 1.380 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cnn(Cc2ccccc2)c1 ZINC000193117835 222143814 /nfs/dbraw/zinc/14/38/14/222143814.db2.gz BMTCAWNFJJIIPK-LBPRGKRZSA-N -1 1 309.391 1.708 20 0 DDADMM O=C1CC[C@@H](N=c2nc(C(F)(F)F)[n-]s2)[C@H](C2CC2)N1 ZINC000367416900 418598137 /nfs/dbraw/zinc/59/81/37/418598137.db2.gz BWKYALBAMJRCIW-SVRRBLITSA-N -1 1 306.313 1.448 20 0 DDADMM CC(C)Cc1n[n-]c(=NC(=O)N[C@H]2CCc3nc[nH]c3C2)s1 ZINC000368090589 418688334 /nfs/dbraw/zinc/68/83/34/418688334.db2.gz BAIWMDTUJVHHDG-VIFPVBQESA-N -1 1 320.422 1.561 20 0 DDADMM C[C@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1c(F)cccc1F ZINC000371354961 418782629 /nfs/dbraw/zinc/78/26/29/418782629.db2.gz OIOYPZSUBONJFV-KWQFWETISA-N -1 1 323.303 1.182 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H]2CC(=O)N([C@H]3C[C@H]3C)C2)sc1C ZINC000372966098 418916969 /nfs/dbraw/zinc/91/69/69/418916969.db2.gz FSLSAFOSDLRDDK-QNSHHTMESA-N -1 1 309.391 1.081 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC23CCCCC3)o1 ZINC000373008205 418920464 /nfs/dbraw/zinc/92/04/64/418920464.db2.gz MCMXRLIAQOKADP-UHFFFAOYSA-N -1 1 312.391 1.737 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@@H]3C[C@@H]3C)CC2)n1 ZINC000373074920 418927171 /nfs/dbraw/zinc/92/71/71/418927171.db2.gz QZZVTHVVJYHXNK-GXSJLCMTSA-N -1 1 306.366 1.343 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2CCOC[C@H]2C)c(F)c1 ZINC000425185495 228384837 /nfs/dbraw/zinc/38/48/37/228384837.db2.gz GYNBBMLJKWKRHS-SKDRFNHKSA-N -1 1 305.346 1.977 20 0 DDADMM COCC(COC)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425192447 228386723 /nfs/dbraw/zinc/38/67/23/228386723.db2.gz ZBMFDIMZSJYXBB-UHFFFAOYSA-N -1 1 309.334 1.213 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1ccc(O)cc1 ZINC000427413317 419655319 /nfs/dbraw/zinc/65/53/19/419655319.db2.gz IMPYOPVNYGJIIM-UHFFFAOYSA-N -1 1 305.359 1.848 20 0 DDADMM CC(C)Oc1nc(C(F)(F)F)ccc1C(=O)N=c1nc[nH][n-]1 ZINC000427947010 419771813 /nfs/dbraw/zinc/77/18/13/419771813.db2.gz QOCGJIDLTHYSSM-UHFFFAOYSA-N -1 1 315.255 1.680 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)C2(C)CCCC2)o1 ZINC000428661022 419909825 /nfs/dbraw/zinc/90/98/25/419909825.db2.gz PVPIURMQYPNWNS-UHFFFAOYSA-N -1 1 300.380 1.592 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)c2cc(C3CC3)[nH]n2)C[C@H]1C(F)(F)F ZINC000299744335 229384022 /nfs/dbraw/zinc/38/40/22/229384022.db2.gz OGIAGPXMYGRHFD-HTQZYQBOSA-N -1 1 317.267 1.622 20 0 DDADMM CC[C@H](C)CCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000354556230 306779216 /nfs/dbraw/zinc/77/92/16/306779216.db2.gz BOUWWFRTUPJLDH-VIFPVBQESA-N -1 1 300.380 1.804 20 0 DDADMM CCc1[nH]ccc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000354556845 306779413 /nfs/dbraw/zinc/77/94/13/306779413.db2.gz DHUPFBCRTOCATB-UHFFFAOYSA-N -1 1 309.347 1.182 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)Cc1ccccc1C ZINC000416138002 420254325 /nfs/dbraw/zinc/25/43/25/420254325.db2.gz XKNRUMDCDXENKQ-JTQLQIEISA-N -1 1 317.345 1.566 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2c(F)cccc2C1 ZINC000416173994 420268546 /nfs/dbraw/zinc/26/85/46/420268546.db2.gz BINLCJZJHKOQOH-UHFFFAOYSA-N -1 1 319.292 1.234 20 0 DDADMM CCOc1ccncc1S(=O)(=O)[N-]c1ccc2n[nH]nc2c1 ZINC000436478733 420331740 /nfs/dbraw/zinc/33/17/40/420331740.db2.gz RVBVBGZXQBYRIL-UHFFFAOYSA-N -1 1 319.346 1.552 20 0 DDADMM CCOc1ccncc1S(=O)(=O)[N-]c1ccc2nn[nH]c2c1 ZINC000436478733 420331744 /nfs/dbraw/zinc/33/17/44/420331744.db2.gz RVBVBGZXQBYRIL-UHFFFAOYSA-N -1 1 319.346 1.552 20 0 DDADMM CC[C@H](C(=O)OC)N1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000436535337 420337588 /nfs/dbraw/zinc/33/75/88/420337588.db2.gz ZLZQMHDXFHPABE-CYBMUJFWSA-N -1 1 324.352 1.241 20 0 DDADMM C[C@H](Oc1ccccn1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425292688 420341563 /nfs/dbraw/zinc/34/15/63/420341563.db2.gz AQWRKQDTEQZVAV-QWRGUYRKSA-N -1 1 318.333 1.344 20 0 DDADMM Cc1cnc(CCCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)o1 ZINC000425316013 420348430 /nfs/dbraw/zinc/34/84/30/420348430.db2.gz BVXXQHAKJKVCOC-UHFFFAOYSA-N -1 1 320.349 1.801 20 0 DDADMM CCO[C@H](CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)C(C)C ZINC000425320343 420348926 /nfs/dbraw/zinc/34/89/26/420348926.db2.gz KQNOLNHQRDSLIP-GFCCVEGCSA-N -1 1 311.382 1.932 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@@H](CC)OC)[C@H](C)CC ZINC000420675165 420351973 /nfs/dbraw/zinc/35/19/73/420351973.db2.gz OJEIHBSEZVQKRC-IJLUTSLNSA-N -1 1 309.428 1.309 20 0 DDADMM Cc1cc(C(=O)[O-])c(O)c(S(=O)(=O)Nc2cccc(O)c2)c1 ZINC000436705069 420358773 /nfs/dbraw/zinc/35/87/73/420358773.db2.gz MHLDJDWSLWCYEZ-UHFFFAOYSA-N -1 1 323.326 1.905 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cc(C)nc(C)c2)o1 ZINC000420700489 420361792 /nfs/dbraw/zinc/36/17/92/420361792.db2.gz OUMQJATZNICHMY-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM CCC[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CCCC1 ZINC000416256032 420298319 /nfs/dbraw/zinc/29/83/19/420298319.db2.gz YCVWQLGIVXJTIC-SNVBAGLBSA-N -1 1 309.366 1.985 20 0 DDADMM CCOC(=O)[C@@](C)(O)CNC(=O)c1ccc2ccccc2c1[O-] ZINC000436822707 420371973 /nfs/dbraw/zinc/37/19/73/420371973.db2.gz WRBADNFLVNRWNS-KRWDZBQOSA-N -1 1 317.341 1.589 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cc(Cl)ccc1[O-])c1ccn(C)n1 ZINC000436827497 420373079 /nfs/dbraw/zinc/37/30/79/420373079.db2.gz KBGSXOKWRNEBMI-GFCCVEGCSA-N -1 1 323.736 1.423 20 0 DDADMM C[C@H](C(=O)NC(C)(C)C)N(C)C(=O)C(=O)c1ccc([O-])cc1 ZINC000436923629 420384312 /nfs/dbraw/zinc/38/43/12/420384312.db2.gz DAGSAYMPGXUABK-SNVBAGLBSA-N -1 1 306.362 1.337 20 0 DDADMM NC(=O)Nc1cccc(CNC(=O)c2c([O-])cccc2F)c1 ZINC000436973416 420388302 /nfs/dbraw/zinc/38/83/02/420388302.db2.gz STZGITHYDFXSDC-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)c1nnc2ccccn21 ZINC000436768962 420365664 /nfs/dbraw/zinc/36/56/64/420365664.db2.gz IXUSKYGYMWTVFZ-SNVBAGLBSA-N -1 1 310.313 1.495 20 0 DDADMM COCCN(CCOC)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436772414 420366603 /nfs/dbraw/zinc/36/66/03/420366603.db2.gz NSXPTCKEVQPAHY-UHFFFAOYSA-N -1 1 317.769 1.789 20 0 DDADMM CC[C@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@H](C)S1(=O)=O ZINC000436799421 420367763 /nfs/dbraw/zinc/36/77/63/420367763.db2.gz XZPSPJZUCULJHC-ONGXEEELSA-N -1 1 315.366 1.569 20 0 DDADMM C[C@H]1CN(CC(F)(F)F)CCN(C(=O)c2cncc([O-])c2)C1 ZINC000456648606 420521455 /nfs/dbraw/zinc/52/14/55/420521455.db2.gz KJYKXTOBHVXDRZ-JTQLQIEISA-N -1 1 317.311 1.743 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCc2c[nH]c3ccccc23)[n-]n1 ZINC000439849025 420541498 /nfs/dbraw/zinc/54/14/98/420541498.db2.gz YCDMKXOQSWSUDL-UHFFFAOYSA-N -1 1 309.373 1.736 20 0 DDADMM CC[C@H]1CCCC[C@@H]1CN=c1[n-]cc(S(N)(=O)=O)s1 ZINC000450626557 420500244 /nfs/dbraw/zinc/50/02/44/420500244.db2.gz SBZGZXQVNVEJGE-VHSXEESVSA-N -1 1 303.453 1.841 20 0 DDADMM CC(C)[C@H](NS(C)(=O)=O)C(=O)Nc1ccc([O-])c(F)c1F ZINC000456780195 420544276 /nfs/dbraw/zinc/54/42/76/420544276.db2.gz ILKCFZXSMUCEGN-NSHDSACASA-N -1 1 322.333 1.183 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)c2c(C)[n-]c(=O)nc2SC)C1(C)C ZINC000440016478 420549172 /nfs/dbraw/zinc/54/91/72/420549172.db2.gz UOODMWNYMLFOOF-BDAKNGLRSA-N -1 1 311.407 1.756 20 0 DDADMM C[C@H](Cn1cccn1)[N-]S(=O)(=O)c1sccc1Cl ZINC000451048164 420589007 /nfs/dbraw/zinc/58/90/07/420589007.db2.gz VOUROSLDVUGSJF-MRVPVSSYSA-N -1 1 305.812 1.965 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](O)Cc2cccc(F)c2)c([O-])c1 ZINC000452655813 420638260 /nfs/dbraw/zinc/63/82/60/420638260.db2.gz GKQWKSAZSNGIPS-ZDUSSCGKSA-N -1 1 304.321 1.568 20 0 DDADMM COCCCn1cc(C)c(=NC(=O)c2ccc([O-])c(C)c2)[nH]1 ZINC000452846778 420669876 /nfs/dbraw/zinc/66/98/76/420669876.db2.gz MOALHARBBDZMNW-UHFFFAOYSA-N -1 1 303.362 1.916 20 0 DDADMM COc1cc(C(=O)NCC2([S@](C)=O)CC2)cc(Cl)c1[O-] ZINC000442928834 420730085 /nfs/dbraw/zinc/73/00/85/420730085.db2.gz WUAFEIDKOQGIHF-FQEVSTJZSA-N -1 1 317.794 1.695 20 0 DDADMM C[C@@H]1CCC[C@]1(O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454275030 420829493 /nfs/dbraw/zinc/82/94/93/420829493.db2.gz VEGZCNRFNLOBNL-HWPZZCPQSA-N -1 1 301.346 1.204 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H](C)C1CCCCC1 ZINC000544807662 421231910 /nfs/dbraw/zinc/23/19/10/421231910.db2.gz BQUQSNQCJABVAG-WDEREUQCSA-N -1 1 317.393 1.752 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1[C@H](C)C[C@H]1C ZINC000525938624 421314341 /nfs/dbraw/zinc/31/43/41/421314341.db2.gz KYLANPIGJWKZTE-GHMZBOCLSA-N -1 1 312.373 1.692 20 0 DDADMM C[C@@H](Cn1ccc2ccccc21)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000560531426 421279670 /nfs/dbraw/zinc/27/96/70/421279670.db2.gz HLEZPODZYAJETF-NSHDSACASA-N -1 1 312.377 1.842 20 0 DDADMM C[C@@H]1Cc2ccccc2[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546088419 421284279 /nfs/dbraw/zinc/28/42/79/421284279.db2.gz MXIHNCYGMGIVOK-OTYXRUKQSA-N -1 1 309.329 1.081 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc2c(cc1O)CCCC2)c1nn[n-]n1 ZINC000548137371 421405985 /nfs/dbraw/zinc/40/59/85/421405985.db2.gz VWXAVDOFUXWOIJ-JTQLQIEISA-N -1 1 315.377 1.660 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2CC(C)(C)C2)o1 ZINC000548373993 421429748 /nfs/dbraw/zinc/42/97/48/421429748.db2.gz WIUSHCUBBGVFAG-UHFFFAOYSA-N -1 1 301.364 1.781 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])CN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000562955205 421429921 /nfs/dbraw/zinc/42/99/21/421429921.db2.gz MPENYXCGBUNSQP-ONGXEEELSA-N -1 1 303.318 1.853 20 0 DDADMM COc1cc(C)ccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000548049848 421393605 /nfs/dbraw/zinc/39/36/05/421393605.db2.gz GCVZNZVSQQNLKN-LLVKDONJSA-N -1 1 301.350 1.537 20 0 DDADMM CC(C)(NC(=O)Cc1ccc2c(c1)CCCO2)c1nn[n-]n1 ZINC000563182572 421467770 /nfs/dbraw/zinc/46/77/70/421467770.db2.gz JCSTXNAUTAFZIY-UHFFFAOYSA-N -1 1 301.350 1.119 20 0 DDADMM O=C(C(=O)N1CCC[C@@H](N2CCCC2=O)C1)c1ccc([O-])cc1 ZINC000548802190 421474527 /nfs/dbraw/zinc/47/45/27/421474527.db2.gz RXPCNLIDFRLPHF-CYBMUJFWSA-N -1 1 316.357 1.188 20 0 DDADMM C[C@H](N=c1ccc(N(C)[C@H]2CCNC2=O)n[n-]1)c1ccccc1 ZINC000516279055 421539127 /nfs/dbraw/zinc/53/91/27/421539127.db2.gz COFNKDNTQRTENU-JSGCOSHPSA-N -1 1 311.389 1.396 20 0 DDADMM Cc1nc(=NC(=O)[C@@H]2CCc3[nH]c(C(C)C)nc3C2)s[n-]1 ZINC000530486632 421603787 /nfs/dbraw/zinc/60/37/87/421603787.db2.gz XURZSCMOASFFMA-SECBINFHSA-N -1 1 305.407 1.859 20 0 DDADMM O=S(=O)([N-]c1cccc(O)c1F)c1cnn(CC(F)F)c1 ZINC000556345984 421709520 /nfs/dbraw/zinc/70/95/20/421709520.db2.gz AURPVWTUIXINAA-UHFFFAOYSA-N -1 1 321.280 1.794 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C[C@@H]1C(=O)[O-] ZINC000571403927 421712841 /nfs/dbraw/zinc/71/28/41/421712841.db2.gz QCIYWUIJJIGLKV-ONGXEEELSA-N -1 1 303.318 1.853 20 0 DDADMM O=C(c1ccc(NC2CC2)nc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000537123355 421727197 /nfs/dbraw/zinc/72/71/97/421727197.db2.gz PHOKLBFAIBPBTD-NSHDSACASA-N -1 1 313.365 1.189 20 0 DDADMM CNc1snc(C)c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000537123484 421727516 /nfs/dbraw/zinc/72/75/16/421727516.db2.gz VCRGBKVOMMAYDS-QMMMGPOBSA-N -1 1 307.383 1.026 20 0 DDADMM CC(C)Nc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cn1 ZINC000518875439 421669617 /nfs/dbraw/zinc/66/96/17/421669617.db2.gz HIVZGWXMMHLMHM-UHFFFAOYSA-N -1 1 315.381 1.614 20 0 DDADMM CS(=O)(=O)CCC[N@@H+](CC(=O)[O-])Cc1ccc(Cl)cc1 ZINC000571648734 421735675 /nfs/dbraw/zinc/73/56/75/421735675.db2.gz DSXWIDVJCPLFPX-UHFFFAOYSA-N -1 1 319.810 1.661 20 0 DDADMM CS(=O)(=O)CCCN(CC(=O)[O-])Cc1ccc(Cl)cc1 ZINC000571648734 421735678 /nfs/dbraw/zinc/73/56/78/421735678.db2.gz DSXWIDVJCPLFPX-UHFFFAOYSA-N -1 1 319.810 1.661 20 0 DDADMM COc1ccc(C)cc1CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000540423827 421763103 /nfs/dbraw/zinc/76/31/03/421763103.db2.gz KZCGGWSKXMNQPC-LBPRGKRZSA-N -1 1 315.377 1.465 20 0 DDADMM CC(=O)c1csc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000540422628 421763305 /nfs/dbraw/zinc/76/33/05/421763305.db2.gz GHXSDHJGTIDXOC-SECBINFHSA-N -1 1 305.363 1.484 20 0 DDADMM CC[C@@H](C)n1nc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1C ZINC000539790976 421752653 /nfs/dbraw/zinc/75/26/53/421752653.db2.gz NHVORDSGQIZDEO-ZYHUDNBSSA-N -1 1 317.397 1.695 20 0 DDADMM Cc1nn(C(C)(C)C)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000542383364 421821133 /nfs/dbraw/zinc/82/11/33/421821133.db2.gz JLQFESKBNPONSK-NSHDSACASA-N -1 1 317.397 1.479 20 0 DDADMM O=C(Nc1ccc2nc(-c3ccccn3)[nH]c2c1)c1n[nH]c(=O)[n-]1 ZINC000540858821 421769983 /nfs/dbraw/zinc/76/99/83/421769983.db2.gz UVKLJZXAMWVSBH-UHFFFAOYSA-N -1 1 321.300 1.701 20 0 DDADMM O=C(N[C@@H]1CCN(CC(F)(F)F)C1)c1ccc([O-])cc1F ZINC000540883950 421771766 /nfs/dbraw/zinc/77/17/66/421771766.db2.gz FRSAYEHCEQUZQR-MRVPVSSYSA-N -1 1 306.259 1.898 20 0 DDADMM CCCCOCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000631811823 421849485 /nfs/dbraw/zinc/84/94/85/421849485.db2.gz RDCDKNXEOLWKPJ-UHFFFAOYSA-N -1 1 315.317 1.524 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CCC[C@@H](C(C)(C)C(=O)[O-])C1 ZINC000630158096 421925244 /nfs/dbraw/zinc/92/52/44/421925244.db2.gz QZKMRXZLCNORPP-VXGBXAGGSA-N -1 1 307.394 1.938 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000581251149 421931046 /nfs/dbraw/zinc/93/10/46/421931046.db2.gz ZVUWPRDZUSOPSQ-SMDDNHRTSA-N -1 1 306.362 1.676 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H](C)c2ccccc2F)CC1 ZINC000630221808 421973997 /nfs/dbraw/zinc/97/39/97/421973997.db2.gz BEWJGRRKCHWZIN-OLZOCXBDSA-N -1 1 322.380 1.937 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)nc1 ZINC000630221215 421969989 /nfs/dbraw/zinc/96/99/89/421969989.db2.gz VUVXGWNNKCPFDU-CYBMUJFWSA-N -1 1 319.405 1.826 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc2c(c1)ncn2C)C1CC1 ZINC000573845141 422025902 /nfs/dbraw/zinc/02/59/02/422025902.db2.gz QZHVQTNYCKBDAS-CQSZACIVSA-N -1 1 309.391 1.740 20 0 DDADMM COC[C@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CCO1 ZINC000630349749 422037666 /nfs/dbraw/zinc/03/76/66/422037666.db2.gz RYWFCSQOLWBPKR-SNVBAGLBSA-N -1 1 307.375 1.597 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2[C@H]3CCCO[C@@H]3C2(C)C)sn1 ZINC000632032534 422038321 /nfs/dbraw/zinc/03/83/21/422038321.db2.gz UXVGKAUPNAMTSU-JLLWLGSASA-N -1 1 316.448 1.933 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NCc1ccc(CC(=O)[O-])cc1 ZINC000630232715 421979952 /nfs/dbraw/zinc/97/99/52/421979952.db2.gz MXQIFELNFRJJIN-LLVKDONJSA-N -1 1 301.346 1.532 20 0 DDADMM CN(C[C@@H]1OCCc2ccccc21)C(=O)CCCc1nn[n-]n1 ZINC000635468711 422019144 /nfs/dbraw/zinc/01/91/44/422019144.db2.gz HAURUKOIASNXQK-AWEZNQCLSA-N -1 1 315.377 1.295 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@]2(C)CCCS2)c1 ZINC000632097288 422088317 /nfs/dbraw/zinc/08/83/17/422088317.db2.gz PJAAEVGZVXFIPW-ZDUSSCGKSA-N -1 1 317.432 1.965 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC(F)(F)C1)c1c[nH]nc1C(F)(F)F ZINC000632109593 422093587 /nfs/dbraw/zinc/09/35/87/422093587.db2.gz JDSWZZHELIZVBH-RXMQYKEDSA-N -1 1 319.255 1.895 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCC12CC3CC(CC(C3)C1)C2 ZINC000630440048 422104250 /nfs/dbraw/zinc/10/42/50/422104250.db2.gz KFWLUGSFELTVLA-UHFFFAOYSA-N -1 1 303.410 1.855 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCC[C@@H](n2cncn2)C1 ZINC000574047504 422055088 /nfs/dbraw/zinc/05/50/88/422055088.db2.gz ZTLFZNHLZKKOPO-CYBMUJFWSA-N -1 1 304.325 1.953 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCO[C@@H](C(C)C)C2)sn1 ZINC000632177677 422140908 /nfs/dbraw/zinc/14/09/08/422140908.db2.gz BLTGQRCOEKDDIU-GHMZBOCLSA-N -1 1 304.437 1.933 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCCCS2)c1 ZINC000632201052 422157654 /nfs/dbraw/zinc/15/76/54/422157654.db2.gz JDJWSMDNHNUFCB-NSHDSACASA-N -1 1 317.432 1.965 20 0 DDADMM COc1ccc(-c2n[n-]c(=NC[C@H]3COCCN3)s2)cc1 ZINC000630529974 422159035 /nfs/dbraw/zinc/15/90/35/422159035.db2.gz GKYRQURIGBRSST-NSHDSACASA-N -1 1 306.391 1.036 20 0 DDADMM CCO[C@H]1C[C@H](NC(=O)CCCc2nn[n-]n2)C12CCCCC2 ZINC000635607525 422160921 /nfs/dbraw/zinc/16/09/21/422160921.db2.gz JRZWQRGASAYCIS-STQMWFEESA-N -1 1 321.425 1.767 20 0 DDADMM O=C([O-])Cn1cc(CN2CCC[C@@H]2Cc2ccccc2)nn1 ZINC000583569596 422188197 /nfs/dbraw/zinc/18/81/97/422188197.db2.gz FQLSQTCPDLMGID-OAHLLOKOSA-N -1 1 300.362 1.570 20 0 DDADMM CC(=O)N1CCC(NC(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000630548402 422170377 /nfs/dbraw/zinc/17/03/77/422170377.db2.gz FSFQNXQHGHAGCV-UHFFFAOYSA-N -1 1 310.781 1.715 20 0 DDADMM Cn1cc(-c2ccc(CN[C@]3(C(=O)[O-])CCOC3)s2)cn1 ZINC000574906257 422211912 /nfs/dbraw/zinc/21/19/12/422211912.db2.gz IZRSNDBXTBNDIN-CQSZACIVSA-N -1 1 307.375 1.482 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCOc1ccc2ccccc2c1 ZINC000630606115 422214233 /nfs/dbraw/zinc/21/42/33/422214233.db2.gz TYBQPMYUQHMXEJ-UHFFFAOYSA-N -1 1 311.345 1.481 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCSc2c(F)cccc21 ZINC000630619041 422225258 /nfs/dbraw/zinc/22/52/58/422225258.db2.gz JYVZBRWEPSOHNU-SNVBAGLBSA-N -1 1 307.354 1.625 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](CO)CC2CCC2)c1 ZINC000632294530 422229439 /nfs/dbraw/zinc/22/94/39/422229439.db2.gz LVDGMUMUQKOSIA-NSHDSACASA-N -1 1 315.391 1.230 20 0 DDADMM COCC1([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCC1 ZINC000632316433 422243802 /nfs/dbraw/zinc/24/38/02/422243802.db2.gz DDQIYKSXXWMXSM-UHFFFAOYSA-N -1 1 313.301 1.276 20 0 DDADMM COc1ccc(=NC(=O)N2CCC[C@H](c3cc(C)[nH]n3)C2)[n-]n1 ZINC000583580235 422189456 /nfs/dbraw/zinc/18/94/56/422189456.db2.gz ZCCIZSYDGKIOSP-NSHDSACASA-N -1 1 316.365 1.350 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](CO)C2CCCC2)c1 ZINC000632347898 422265945 /nfs/dbraw/zinc/26/59/45/422265945.db2.gz AVSNNFDKVPGICO-LBPRGKRZSA-N -1 1 315.391 1.230 20 0 DDADMM COc1ccc([C@H](NC(=O)CCc2nn[n-]n2)C2CC2)cc1 ZINC000630685130 422269025 /nfs/dbraw/zinc/26/90/25/422269025.db2.gz OKZNFHZAPJFLMD-OAHLLOKOSA-N -1 1 301.350 1.408 20 0 DDADMM CC[C@H]1C[N@@H+](C)CCN1C(=O)Nc1ccc2c(c1)C(=O)NC2=O ZINC000575139334 422269868 /nfs/dbraw/zinc/26/98/68/422269868.db2.gz UDZIDBMHOOFWIQ-NSHDSACASA-N -1 1 316.361 1.128 20 0 DDADMM CCC[C@H](CNS(=O)(=O)c1cc(OC)ccc1[O-])OC ZINC000632379887 422292355 /nfs/dbraw/zinc/29/23/55/422292355.db2.gz YXDILRMWFAPLIB-LLVKDONJSA-N -1 1 303.380 1.494 20 0 DDADMM CCO[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632425076 422332589 /nfs/dbraw/zinc/33/25/89/422332589.db2.gz GYYHGQSGBGWWGP-VXNVDRBHSA-N -1 1 311.306 1.299 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1cc(Cl)cc2c1OCC2 ZINC000635794114 422349816 /nfs/dbraw/zinc/34/98/16/422349816.db2.gz IVFMQIQHELGDRV-UHFFFAOYSA-N -1 1 321.768 1.427 20 0 DDADMM CCCN(C(=O)CCc1nn[n-]n1)[C@H]1CCc2ccccc2C1 ZINC000630816647 422351598 /nfs/dbraw/zinc/35/15/98/422351598.db2.gz DOHNZUXHCVSKAI-HNNXBMFYSA-N -1 1 313.405 1.928 20 0 DDADMM CC(C)C[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)n1ccnc1 ZINC000635794755 422352351 /nfs/dbraw/zinc/35/23/51/422352351.db2.gz DAGQNJZSTZQIIW-NWDGAFQWSA-N -1 1 305.386 1.246 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@@H]1CCCO[C@@H]1c1ccccc1 ZINC000630826075 422357922 /nfs/dbraw/zinc/35/79/22/422357922.db2.gz HWNHTYKFFTXSTA-XJKSGUPXSA-N -1 1 315.377 1.416 20 0 DDADMM COCCN(CCCc1ccccc1)C(=O)CCc1nn[n-]n1 ZINC000630844264 422368467 /nfs/dbraw/zinc/36/84/67/422368467.db2.gz HNLDAIYRPXTPAT-UHFFFAOYSA-N -1 1 317.393 1.240 20 0 DDADMM C[C@H]1CCN(CC(=O)NC(=O)Nc2ccccc2)C[C@H]1C(=O)[O-] ZINC000575748303 422319217 /nfs/dbraw/zinc/31/92/17/422319217.db2.gz BLCSCJYVVBQSCK-WCQYABFASA-N -1 1 319.361 1.377 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](O)C12CCC2)c1ccc(C(F)F)o1 ZINC000632562504 422438804 /nfs/dbraw/zinc/43/88/04/422438804.db2.gz QVXWKUHCMPWLFC-DTWKUNHWSA-N -1 1 307.318 1.799 20 0 DDADMM CN1CCOC[C@H]1CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000628918006 422390329 /nfs/dbraw/zinc/39/03/29/422390329.db2.gz CRBQIDZHMKIAFE-SNVBAGLBSA-N -1 1 318.295 1.471 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(F)cc(F)c1)[C@@H](O)C(F)(F)F ZINC000632673555 422508922 /nfs/dbraw/zinc/50/89/22/422508922.db2.gz NTNYCFWSEMMAGK-SSDLBLMSSA-N -1 1 319.251 1.555 20 0 DDADMM CC(C)[C@@H]1CCc2[n-]n(C3CCS(=O)(=O)CC3)c(=O)c2C1 ZINC000634620058 422511347 /nfs/dbraw/zinc/51/13/47/422511347.db2.gz RHKWLYNTOHNFHJ-YPMHNXCESA-N -1 1 312.435 1.834 20 0 DDADMM Cc1c(-c2ccccc2)[n-]n([C@@H]2CCCS(=O)(=O)C2)c1=O ZINC000634633776 422518590 /nfs/dbraw/zinc/51/85/90/422518590.db2.gz GFHFZQWZBMJYDJ-WCQYABFASA-N -1 1 306.387 1.446 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CC1(F)F)c1c[nH]nc1C(F)(F)F ZINC000632586853 422454982 /nfs/dbraw/zinc/45/49/82/422454982.db2.gz WSVRBAIXLSKGEB-YFKPBYRVSA-N -1 1 319.255 1.752 20 0 DDADMM C[C@@H](Cn1ccc2ccccc21)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000578021066 422480165 /nfs/dbraw/zinc/48/01/65/422480165.db2.gz BNAHDHYUKVNBME-NSHDSACASA-N -1 1 310.361 1.596 20 0 DDADMM CN1C(=O)CC[C@@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629378256 422639248 /nfs/dbraw/zinc/63/92/48/422639248.db2.gz CUDXMRIKZRSVLK-GFCCVEGCSA-N -1 1 300.318 1.137 20 0 DDADMM COc1ccc2c(c1)N(C(=O)CCCc1nn[n-]n1)CCC2 ZINC000636223877 422727670 /nfs/dbraw/zinc/72/76/70/422727670.db2.gz JWCWHPVIQLCCDR-UHFFFAOYSA-N -1 1 301.350 1.510 20 0 DDADMM COc1ccc(NC(=O)[C@@H](O)C(C)C)cc1[N-]S(C)(=O)=O ZINC000578941186 422675255 /nfs/dbraw/zinc/67/52/55/422675255.db2.gz DZZBJTDBNGSPFA-LBPRGKRZSA-N -1 1 316.379 1.022 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@]3(C(=O)[O-])CC=CCC3)C2)n[nH]1 ZINC000653284881 423421716 /nfs/dbraw/zinc/42/17/16/423421716.db2.gz XTVRCAGCAYFXRK-LRDDRELGSA-N -1 1 318.377 1.630 20 0 DDADMM Cc1cc(C2CCN(C(=O)[C@@H]3CC[C@H](C(=O)[O-])C3)CC2)n[nH]1 ZINC000653288389 423422529 /nfs/dbraw/zinc/42/25/29/423422529.db2.gz SKWPTBPYCYFEOL-OLZOCXBDSA-N -1 1 305.378 1.925 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](CC(C)C)N1CCCCC1=O ZINC000646411380 423479318 /nfs/dbraw/zinc/47/93/18/423479318.db2.gz DPAVMGYYUMRJEM-NSHDSACASA-N -1 1 308.382 1.789 20 0 DDADMM Cc1cnn(Cc2cc(C(=O)Nc3c(C)[n-][nH]c3=O)no2)c1 ZINC000646412950 423481112 /nfs/dbraw/zinc/48/11/12/423481112.db2.gz JOQYULIGEMJWON-UHFFFAOYSA-N -1 1 302.294 1.217 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)C[C@@H]2C(C)(C)C2(F)F)n1 ZINC000641311417 423543308 /nfs/dbraw/zinc/54/33/08/423543308.db2.gz OZKLUXOVFVSOEP-POYBYMJQSA-N -1 1 309.338 1.650 20 0 DDADMM O=S(=O)([N-]CC[C@@]1(O)CCOC1)c1cc(Cl)ccc1F ZINC000651839989 423705929 /nfs/dbraw/zinc/70/59/29/423705929.db2.gz YVMYFNOUKLGPTR-GFCCVEGCSA-N -1 1 323.773 1.299 20 0 DDADMM COC1(C(=O)Nc2nc(-c3ccc([O-])c(Cl)c3)n[nH]2)CC1 ZINC000649216132 423680319 /nfs/dbraw/zinc/68/03/19/423680319.db2.gz PVRWWSLTUMDLCN-UHFFFAOYSA-N -1 1 308.725 1.948 20 0 DDADMM CCCN(CC(N)=O)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000647050213 423730562 /nfs/dbraw/zinc/73/05/62/423730562.db2.gz DXCVSWDDTSLUSQ-UHFFFAOYSA-N -1 1 304.268 1.749 20 0 DDADMM COc1cc(C)nc(CN2CCC[C@H](c3n[n-]c(=N)o3)C2)c1 ZINC000639821838 423758196 /nfs/dbraw/zinc/75/81/96/423758196.db2.gz KUJPVQMVQPJGQW-NSHDSACASA-N -1 1 303.366 1.574 20 0 DDADMM CC[C@H]1CCCCN1C(=O)CN1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639826596 423763909 /nfs/dbraw/zinc/76/39/09/423763909.db2.gz ULORMFYODSJCBQ-OLZOCXBDSA-N -1 1 321.425 1.453 20 0 DDADMM Cc1nc([C@](C)(NC(=O)c2ncc(C)cc2[O-])C2CC2)no1 ZINC000647139757 423777304 /nfs/dbraw/zinc/77/73/04/423777304.db2.gz MNUHURRUFQZWQA-OAHLLOKOSA-N -1 1 302.334 1.842 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2cc(F)cc(F)c2O)CCC1 ZINC000641692961 423872431 /nfs/dbraw/zinc/87/24/31/423872431.db2.gz VRHCFYJTVNEKDW-UHFFFAOYSA-N -1 1 307.318 1.981 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)[C@H]1Cc2ccc(Cl)cc21 ZINC000639961720 423995652 /nfs/dbraw/zinc/99/56/52/423995652.db2.gz YEVPOOCECHPXLT-JTQLQIEISA-N -1 1 324.793 1.821 20 0 DDADMM O=C(CCOCC(F)F)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644884431 424011990 /nfs/dbraw/zinc/01/19/90/424011990.db2.gz ZBHYGXAVVNNZLF-MRVPVSSYSA-N -1 1 305.281 1.153 20 0 DDADMM O=C([O-])c1ccc(NCCN2CCc3sccc3C2)nc1 ZINC000647446542 424024175 /nfs/dbraw/zinc/02/41/75/424024175.db2.gz ZSJDMIQJBDNOHN-UHFFFAOYSA-N -1 1 303.387 1.733 20 0 DDADMM O=C([O-])c1ccc(N2CCC(CCN3CCOCC3)CC2)nc1 ZINC000647456344 424038053 /nfs/dbraw/zinc/03/80/53/424038053.db2.gz JXLCTMGAJKDIOH-UHFFFAOYSA-N -1 1 319.405 1.719 20 0 DDADMM Cc1oc(CN(C)CCc2nnn(C(C)(C)C)n2)cc1C(=O)[O-] ZINC000659788483 424257441 /nfs/dbraw/zinc/25/74/41/424257441.db2.gz ORBPYOKBRPLSJQ-UHFFFAOYSA-N -1 1 321.381 1.702 20 0 DDADMM CO[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)[C@@](C)(CO)C1 ZINC000640343069 424363171 /nfs/dbraw/zinc/36/31/71/424363171.db2.gz OUYSNDUBRCQPDE-ZUZCIYMTSA-N -1 1 321.402 1.722 20 0 DDADMM CCN(C)C(=O)[C@H](C)NC(=O)N=c1[n-]sc2ccccc21 ZINC000640339859 424364041 /nfs/dbraw/zinc/36/40/41/424364041.db2.gz YLQUTIJVDAMQBT-VIFPVBQESA-N -1 1 306.391 1.707 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1cc(-c3ccco3)on1)C2 ZINC000662220039 424489545 /nfs/dbraw/zinc/48/95/45/424489545.db2.gz ANIDCEWAFAHVJK-MEBBXXQBSA-N -1 1 304.302 1.468 20 0 DDADMM O=C1NCC[C@@H]1CCSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000655489639 424508902 /nfs/dbraw/zinc/50/89/02/424508902.db2.gz XJNPJJOZVBMHHB-ZCFIWIBFSA-N -1 1 307.297 1.819 20 0 DDADMM O=C([O-])CN1CC[C@H](N(Cc2cccc(O)c2)CC2CC2)C1=O ZINC000662201092 424466440 /nfs/dbraw/zinc/46/64/40/424466440.db2.gz GKYAPRHAJLPOPX-HNNXBMFYSA-N -1 1 318.373 1.290 20 0 DDADMM CO[C@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)C1CCCC1 ZINC000664436104 424590419 /nfs/dbraw/zinc/59/04/19/424590419.db2.gz FGFOMWFJCMUTDV-CQSZACIVSA-N -1 1 315.373 1.858 20 0 DDADMM O=C(NCC(O)(C1CC1)C1CC1)c1nc2ccccc2c(=O)[n-]1 ZINC000664396903 424573733 /nfs/dbraw/zinc/57/37/33/424573733.db2.gz GBFLKIQSSXRMDO-UHFFFAOYSA-N -1 1 313.357 1.204 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C1CC1)[C@@H]1CCCCO1 ZINC000655628122 424602276 /nfs/dbraw/zinc/60/22/76/424602276.db2.gz XBSXDUHFNJSQMU-WDEREUQCSA-N -1 1 315.395 1.191 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCc2cc(N)ccc21 ZINC000658393765 424665785 /nfs/dbraw/zinc/66/57/85/424665785.db2.gz VLJOBLFRCPSUAM-LLVKDONJSA-N -1 1 308.363 1.113 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C)CC(C)(C)C2)co1 ZINC000344496005 272038478 /nfs/dbraw/zinc/03/84/78/272038478.db2.gz JPTIVJUKCGBHPI-JTQLQIEISA-N -1 1 314.407 1.696 20 0 DDADMM CO[C@H](CC[N-]S(=O)(=O)c1ccc(C)o1)C(F)(F)F ZINC000345351141 272228261 /nfs/dbraw/zinc/22/82/61/272228261.db2.gz MSWCHRNAFJRARE-MRVPVSSYSA-N -1 1 301.286 1.834 20 0 DDADMM Cc1ncc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)cn1 ZINC000345796702 272337609 /nfs/dbraw/zinc/33/76/09/272337609.db2.gz NVVMJEXYZQYTFN-UHFFFAOYSA-N -1 1 312.289 1.095 20 0 DDADMM CCO[C@@H](CC)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000114412716 281073588 /nfs/dbraw/zinc/07/35/88/281073588.db2.gz BLIYKEGWEUUHQB-LBPRGKRZSA-N -1 1 306.366 1.071 20 0 DDADMM CC[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@H]1CCCO1 ZINC000128731489 281320431 /nfs/dbraw/zinc/32/04/31/281320431.db2.gz RXKXAWWTGKJYGD-WCQYABFASA-N -1 1 301.346 1.611 20 0 DDADMM COc1ccc(-c2n[n-]c(=NC(=O)N3CCNCC3)s2)cc1 ZINC000415496426 295047660 /nfs/dbraw/zinc/04/76/60/295047660.db2.gz GVHUWFBFDNLNBQ-UHFFFAOYSA-N -1 1 319.390 1.073 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H]1Cc1ccccc1 ZINC000572260502 304515803 /nfs/dbraw/zinc/51/58/03/304515803.db2.gz UZDSYPFJFNNINF-QWRGUYRKSA-N -1 1 315.329 1.257 20 0 DDADMM CC[C@H](C)[C@@](C)(O)CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000354774123 298523799 /nfs/dbraw/zinc/52/37/99/298523799.db2.gz OUOGAXNJWYXDKQ-RTHLEPHNSA-N -1 1 313.423 1.739 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(CCCCOC)no2)o1 ZINC000356358930 298770025 /nfs/dbraw/zinc/77/00/25/298770025.db2.gz ZBZLQAKREYFTSR-UHFFFAOYSA-N -1 1 315.351 1.207 20 0 DDADMM COCc1nocc1C(=O)[N-]c1sccc1S(C)(=O)=O ZINC000362814677 300148220 /nfs/dbraw/zinc/14/82/20/300148220.db2.gz QSPGTAIJEUGRHR-UHFFFAOYSA-N -1 1 316.360 1.538 20 0 DDADMM O=C(c1sccc1C1CC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363395815 300262021 /nfs/dbraw/zinc/26/20/21/300262021.db2.gz NOLDXMUHFVHANG-JTQLQIEISA-N -1 1 305.363 1.352 20 0 DDADMM O=C(N[C@H]1COc2ccccc2[C@@H]1O)c1cc(F)ccc1[O-] ZINC000365613797 300545164 /nfs/dbraw/zinc/54/51/64/300545164.db2.gz CUELPTGSDPGHGH-WFASDCNBSA-N -1 1 303.289 1.756 20 0 DDADMM Cc1nc2c(s1)CCC[C@H]2CN=c1ccc(C(N)=O)n[n-]1 ZINC000370710220 301381756 /nfs/dbraw/zinc/38/17/56/301381756.db2.gz SZETVLUQIFNZAD-VIFPVBQESA-N -1 1 303.391 1.294 20 0 DDADMM CNC(=O)c1ccc(=NC[C@@H]2CCCc3sc(C)nc32)[n-]n1 ZINC000376020137 302025590 /nfs/dbraw/zinc/02/55/90/302025590.db2.gz UFZYFHHQPOSDMS-JTQLQIEISA-N -1 1 317.418 1.555 20 0 DDADMM CC(C)C[C@@H](C)OCCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000376794716 302104280 /nfs/dbraw/zinc/10/42/80/302104280.db2.gz QWTOYXJMPDEUEC-CHWSQXEVSA-N -1 1 309.414 1.747 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CC1(C)C ZINC000377694017 302213546 /nfs/dbraw/zinc/21/35/46/302213546.db2.gz KRZVJSFZBFDDLU-QMMMGPOBSA-N -1 1 313.300 1.942 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H]2NC(=O)O[C@H]2C)c1 ZINC000496463496 302578560 /nfs/dbraw/zinc/57/85/60/302578560.db2.gz XFTZFANCGSILRD-TVQRCGJNSA-N -1 1 307.302 1.177 20 0 DDADMM CC1(C)OC[C@@H]2C[C@@]21[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000528487854 303033487 /nfs/dbraw/zinc/03/34/87/303033487.db2.gz LXQNKKNAMVRJJZ-ISVAXAHUSA-N -1 1 303.330 1.811 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccsc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000528883509 303069557 /nfs/dbraw/zinc/06/95/57/303069557.db2.gz RUFPUJSSHXTSFX-ZMLRMANQSA-N -1 1 303.391 1.771 20 0 DDADMM C[C@]1(C2CC2)NC(=O)N(CC(=O)Nc2cccc(F)c2[O-])C1=O ZINC000530006040 303170256 /nfs/dbraw/zinc/17/02/56/303170256.db2.gz MTVXGXXWLPRPRW-OAHLLOKOSA-N -1 1 321.308 1.190 20 0 DDADMM CO[C@@]1(C(=O)[O-])CC[N@@H+](Cc2ccccc2OC(F)F)C1 ZINC000530168561 303178654 /nfs/dbraw/zinc/17/86/54/303178654.db2.gz DLIXGOSKPCYJCF-AWEZNQCLSA-N -1 1 301.289 1.964 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(Cc2ccccc2OC(F)F)C1 ZINC000530168561 303178656 /nfs/dbraw/zinc/17/86/56/303178656.db2.gz DLIXGOSKPCYJCF-AWEZNQCLSA-N -1 1 301.289 1.964 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2c3ccccc3C[C@H]2O)sc1C ZINC000530427490 303197018 /nfs/dbraw/zinc/19/70/18/303197018.db2.gz SFYXOUDWRNJSIZ-CHWSQXEVSA-N -1 1 324.427 1.697 20 0 DDADMM CC[C@H]1CCN([C@H]2CC(=O)N(c3ccc(C(=O)[O-])cc3)C2=O)C1 ZINC000531264860 303237303 /nfs/dbraw/zinc/23/73/03/303237303.db2.gz MRWYMHLPKQLYEH-FZMZJTMJSA-N -1 1 316.357 1.749 20 0 DDADMM COC(=O)[C@H](CC(F)F)[N-]S(=O)(=O)CCC(C)(C)C ZINC000536421166 303360363 /nfs/dbraw/zinc/36/03/63/303360363.db2.gz RFRBNHDSXGKGJH-QMMMGPOBSA-N -1 1 301.355 1.539 20 0 DDADMM O=C(N[C@@H]1CCCc2sccc21)c1cc(=O)n2[n-]cnc2n1 ZINC000546658453 303514924 /nfs/dbraw/zinc/51/49/24/303514924.db2.gz KXDMOCOUPZZZIP-SECBINFHSA-N -1 1 315.358 1.287 20 0 DDADMM C[C@@H]1[C@@H](c2ccccc2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546855996 303521205 /nfs/dbraw/zinc/52/12/05/303521205.db2.gz QTDWEPPTKQYHPX-YPMHNXCESA-N -1 1 323.356 1.436 20 0 DDADMM CCN(CC1CCC1)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000358165868 306932368 /nfs/dbraw/zinc/93/23/68/306932368.db2.gz UUHYMGJIIIJYHY-UHFFFAOYSA-N -1 1 300.380 1.450 20 0 DDADMM Cc1cc(S(=O)(=O)Nc2cncnc2)ccc1OCC(=O)[O-] ZINC000362214718 307015305 /nfs/dbraw/zinc/01/53/05/307015305.db2.gz LXMZRFWHPAGNJR-UHFFFAOYSA-N -1 1 323.330 1.049 20 0 DDADMM CC[C@@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363396867 307027070 /nfs/dbraw/zinc/02/70/70/307027070.db2.gz ZJRLIXDYZYHWGS-OLZOCXBDSA-N -1 1 301.350 1.293 20 0 DDADMM CC[C@]1(C(=O)Nc2nnn[n-]2)CCN(C(=O)OC(C)(C)C)C1 ZINC000372168879 307164843 /nfs/dbraw/zinc/16/48/43/307164843.db2.gz JSUTYGXBDNZIEB-ZDUSSCGKSA-N -1 1 310.358 1.175 20 0 DDADMM CC[C@]1(C(=O)Nc2nn[n-]n2)CCN(C(=O)OC(C)(C)C)C1 ZINC000372168879 307164844 /nfs/dbraw/zinc/16/48/44/307164844.db2.gz JSUTYGXBDNZIEB-ZDUSSCGKSA-N -1 1 310.358 1.175 20 0 DDADMM CCc1nccn1C[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000375286413 307220310 /nfs/dbraw/zinc/22/03/10/307220310.db2.gz JEJAFNLTKSGPMQ-LBPRGKRZSA-N -1 1 300.362 1.851 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@H]1[C@@H]1CCCO1)c2=O ZINC000375970374 307236921 /nfs/dbraw/zinc/23/69/21/307236921.db2.gz VWPVKYDAFROEBO-STQMWFEESA-N -1 1 316.361 1.458 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@@H]1c1cccn1C ZINC000377842001 307276977 /nfs/dbraw/zinc/27/69/77/307276977.db2.gz GDFUXTMCNRJFNS-LLVKDONJSA-N -1 1 310.379 1.260 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)OC(C)(C)C)C1 ZINC000495544799 307309383 /nfs/dbraw/zinc/30/93/83/307309383.db2.gz MIWOXZBPEPIFDY-UHFFFAOYSA-N -1 1 321.377 1.869 20 0 DDADMM CNC(=O)OC[C@H]1CCCCN1C(=O)c1ncc(C)cc1[O-] ZINC000496297370 307336195 /nfs/dbraw/zinc/33/61/95/307336195.db2.gz XAORPCPCMYETDF-LLVKDONJSA-N -1 1 307.350 1.446 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000530191966 307587978 /nfs/dbraw/zinc/58/79/78/307587978.db2.gz BVZAEXGFXUMXFD-BSTOTGJRSA-N -1 1 301.346 1.465 20 0 DDADMM CCCc1ccc(S(=O)(=O)Nc2cnn(C)c2C(=O)[O-])cc1 ZINC000538741880 307677153 /nfs/dbraw/zinc/67/71/53/307677153.db2.gz RZCUHSJQGPZKOY-UHFFFAOYSA-N -1 1 323.374 1.872 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cc(C)ccc2Cl)[n-]n1C ZINC000548150415 307759238 /nfs/dbraw/zinc/75/92/38/307759238.db2.gz UXJNUJNQANSCTO-UHFFFAOYSA-N -1 1 315.782 1.613 20 0 DDADMM CCc1ccc(C(=O)[O-])cc1NS(=O)(=O)c1c(C)cnn1C ZINC000551996401 307814400 /nfs/dbraw/zinc/81/44/00/307814400.db2.gz LOPZQFOSDJFEJD-UHFFFAOYSA-N -1 1 323.374 1.790 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C(C)(C)C)C(F)(F)F ZINC000557082587 307863326 /nfs/dbraw/zinc/86/33/26/307863326.db2.gz LJGRKVYXKDVWHE-SECBINFHSA-N -1 1 323.271 1.603 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCc1csc(C)n1 ZINC000557569990 307875547 /nfs/dbraw/zinc/87/55/47/307875547.db2.gz UHYLBVZDVXIKBB-UHFFFAOYSA-N -1 1 324.431 1.950 20 0 DDADMM O=C(Nc1cccc(C2CCC2)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000558654244 307894421 /nfs/dbraw/zinc/89/44/21/307894421.db2.gz USDHJMCKXQHTBX-UHFFFAOYSA-N -1 1 309.329 1.937 20 0 DDADMM O=c1nc(NCc2nc3ccccc3n2C2CC2)nc2[nH][n-]cc1-2 ZINC000563578050 307976096 /nfs/dbraw/zinc/97/60/96/307976096.db2.gz KLVTUPFPNJKLCG-UHFFFAOYSA-N -1 1 321.344 1.729 20 0 DDADMM CCN(C(=O)c1ccc(S(=O)(=O)[N-]C)o1)[C@H](C)C(C)C ZINC000564326654 307995590 /nfs/dbraw/zinc/99/55/90/307995590.db2.gz MNRYGUZWDTYPNM-SNVBAGLBSA-N -1 1 302.396 1.694 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000570635445 308174388 /nfs/dbraw/zinc/17/43/88/308174388.db2.gz HHQYXHGUYCXCLP-AWEZNQCLSA-N -1 1 310.369 1.731 20 0 DDADMM CC(C)(C)c1cc(N2CC[C@@H](c3nc[nH]n3)C2)nc(C(=O)[O-])n1 ZINC000572246496 308215397 /nfs/dbraw/zinc/21/53/97/308215397.db2.gz DFTSRBYYMBIXGP-SECBINFHSA-N -1 1 316.365 1.584 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(-c2ncon2)cc1)C1CC1 ZINC000573206730 308233931 /nfs/dbraw/zinc/23/39/31/308233931.db2.gz LIVYIVMFCAXROG-ZDUSSCGKSA-N -1 1 323.374 1.903 20 0 DDADMM O=C([O-])CC[C@@H](Cc1ccccc1)NC(=O)CCc1nc[nH]n1 ZINC000573445885 308240301 /nfs/dbraw/zinc/24/03/01/308240301.db2.gz YTLAUHSQVRUGLE-ZDUSSCGKSA-N -1 1 316.361 1.330 20 0 DDADMM Cc1c(C(=O)[O-])sc2nc(C)nc(N[C@@H]3C[C@H](O)C3(C)C)c12 ZINC000573924833 308256410 /nfs/dbraw/zinc/25/64/10/308256410.db2.gz ZENHFCXAQKQJIR-BDAKNGLRSA-N -1 1 321.402 2.000 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccc2c1CNC2=O)C1CC1 ZINC000575922694 308282507 /nfs/dbraw/zinc/28/25/07/308282507.db2.gz FOQMCVREIWSSMN-CYBMUJFWSA-N -1 1 310.375 1.097 20 0 DDADMM O=C(N=c1cc(-c2cccs2)[n-][nH]1)[C@H]1CCc2nncn2C1 ZINC000578022705 308431433 /nfs/dbraw/zinc/43/14/33/308431433.db2.gz XDDXMKXXJYJZND-VIFPVBQESA-N -1 1 314.374 1.353 20 0 DDADMM CC[C@H](C)C[C@H](NS(=O)(=O)CCN(CC)CC)C(=O)[O-] ZINC000581605970 325883548 /nfs/dbraw/zinc/88/35/48/325883548.db2.gz JTELBBRPFFOKGT-RYUDHWBXSA-N -1 1 308.444 1.137 20 0 DDADMM CN(C)[C@H](CNC(=O)NCCCC(=O)[O-])c1ccc(F)cc1 ZINC000583140630 337244723 /nfs/dbraw/zinc/24/47/23/337244723.db2.gz HICWFSWZUGCGNB-CYBMUJFWSA-N -1 1 311.357 1.592 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@H](C)OC2(CCC2)C1 ZINC000584532012 337357059 /nfs/dbraw/zinc/35/70/59/337357059.db2.gz WHTRZMGVGYFNHO-VIFPVBQESA-N -1 1 323.418 1.996 20 0 DDADMM CC(C)S(=O)(=O)c1ccc(CN(CC(=O)[O-])C2CC2)cc1 ZINC000583877794 337369089 /nfs/dbraw/zinc/36/90/89/337369089.db2.gz YHXIVEBTSBTMDV-UHFFFAOYSA-N -1 1 311.403 1.918 20 0 DDADMM Nc1nc2c(c(N[C@H](Cc3ccncc3)C(=O)[O-])n1)CCCC2 ZINC000656092768 483940774 /nfs/dbraw/zinc/94/07/74/483940774.db2.gz QMXKHYMZTMEGCI-CYBMUJFWSA-N -1 1 313.361 1.440 20 0 DDADMM CC(C)(C)n1nnc(C[N-]c2nc(C3CCOCC3)no2)n1 ZINC000656212354 484025155 /nfs/dbraw/zinc/02/51/55/484025155.db2.gz CCTGXMILKARLAE-UHFFFAOYSA-N -1 1 307.358 1.317 20 0 DDADMM CC(C)c1nnc([C@@H](C)NC(=O)C(=O)c2ccc([O-])cc2)[nH]1 ZINC000436983700 484130757 /nfs/dbraw/zinc/13/07/57/484130757.db2.gz XORCNSHLLZUSMO-SECBINFHSA-N -1 1 302.334 1.694 20 0 DDADMM Cc1cccc2nc(CNC(=O)C[C@]3(C(=O)[O-])CCOC3)[nH]c21 ZINC000656480720 484160969 /nfs/dbraw/zinc/16/09/69/484160969.db2.gz OYKKVSJNKQEZDD-MRXNPFEDSA-N -1 1 317.345 1.369 20 0 DDADMM O=C([O-])C1(C(=O)N2CCC[C@H](c3n[nH]c(C4CC4)n3)C2)CCC1 ZINC000663042529 484620128 /nfs/dbraw/zinc/62/01/28/484620128.db2.gz WTRWGUWAQLCLBE-NSHDSACASA-N -1 1 318.377 1.643 20 0 DDADMM O=C(COc1ccsc1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000669755587 484635479 /nfs/dbraw/zinc/63/54/79/484635479.db2.gz COEIVZMGNBLSHI-ZDUSSCGKSA-N -1 1 315.358 1.546 20 0 DDADMM CCCCCc1cc(C(=O)N2C[C@H](OC)C[C@H]2CC(=O)[O-])n[nH]1 ZINC000659159880 484662400 /nfs/dbraw/zinc/66/24/00/484662400.db2.gz CQKSXULJRHHOOY-QWHCGFSZSA-N -1 1 323.393 1.847 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC000663104097 484669554 /nfs/dbraw/zinc/66/95/54/484669554.db2.gz HLNLMJOFJQWZTD-SEEARECTSA-N -1 1 312.410 1.199 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CCC(=O)N1CC(C)C1 ZINC000669910357 484681075 /nfs/dbraw/zinc/68/10/75/484681075.db2.gz DOKAMFFBIIMCMU-UHFFFAOYSA-N -1 1 312.373 1.964 20 0 DDADMM Cn1[n-]c(CN2CCc3ccc(Cl)c(Cl)c3C2)nc1=O ZINC000661374747 485076998 /nfs/dbraw/zinc/07/69/98/485076998.db2.gz ZHVFONKQEXOZSH-UHFFFAOYSA-N -1 1 313.188 1.974 20 0 DDADMM CCn1cnnc1CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000668425085 485157198 /nfs/dbraw/zinc/15/71/98/485157198.db2.gz HAYXHWGGNJFYCJ-UHFFFAOYSA-N -1 1 311.345 1.524 20 0 DDADMM O=C(NCc1cc2c([nH]c1=O)CCC2)C(=O)c1ccc([O-])cc1 ZINC000672894266 485364091 /nfs/dbraw/zinc/36/40/91/485364091.db2.gz SIPMKRRBAMMSBT-UHFFFAOYSA-N -1 1 312.325 1.481 20 0 DDADMM C[C@@H](C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)c1cccnc1 ZINC000673701767 485445979 /nfs/dbraw/zinc/44/59/79/485445979.db2.gz OBSJAWGFKLBDEX-BXUZGUMPSA-N -1 1 308.345 1.604 20 0 DDADMM CCCc1ccc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)cc1 ZINC000679203237 485806676 /nfs/dbraw/zinc/80/66/76/485806676.db2.gz YITIXMDZGUVKOU-UHFFFAOYSA-N -1 1 308.363 1.262 20 0 DDADMM Cc1nc(C(=O)NCc2nn[n-]n2)c(-c2ccccc2)s1 ZINC000679306245 485840798 /nfs/dbraw/zinc/84/07/98/485840798.db2.gz MPJNIZAUQIDPMI-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM CC[C@@H]1CN(C)c2ccccc2CN1Cc1nc(=O)n(C)[n-]1 ZINC000679367447 485862625 /nfs/dbraw/zinc/86/26/25/485862625.db2.gz BYADDSMNTBQMOT-CYBMUJFWSA-N -1 1 301.394 1.339 20 0 DDADMM Cc1nscc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000679720749 485985897 /nfs/dbraw/zinc/98/58/97/485985897.db2.gz BVAGPOCCPNZBQG-LLVKDONJSA-N -1 1 300.347 1.484 20 0 DDADMM O=C(CSc1nccc2ccccc21)NCc1nn[n-]n1 ZINC000675791273 486037428 /nfs/dbraw/zinc/03/74/28/486037428.db2.gz UJMYEADGIXLUFV-UHFFFAOYSA-N -1 1 300.347 1.156 20 0 DDADMM Cc1nc(C2CC2)oc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000680617456 486244736 /nfs/dbraw/zinc/24/47/36/486244736.db2.gz PETHCNYFMLTVMT-GFCCVEGCSA-N -1 1 324.344 1.893 20 0 DDADMM O=C(N[C@H]1CCN(c2ccccn2)C1)c1ccc([O-])c(F)c1 ZINC000681057759 486334223 /nfs/dbraw/zinc/33/42/23/486334223.db2.gz CMBFCTYIOLSWPZ-LBPRGKRZSA-N -1 1 301.321 1.935 20 0 DDADMM O=C(N[C@H]1CCCC[C@H]1N1CCOCC1)c1ccc([O-])c(F)c1 ZINC000681057117 486334754 /nfs/dbraw/zinc/33/47/54/486334754.db2.gz QZAIEWIDWHIRBH-LSDHHAIUSA-N -1 1 322.380 1.905 20 0 DDADMM O=C(N1CCC[C@H](c2nn[n-]n2)C1)C1(c2ccccc2F)CC1 ZINC000677047748 486385730 /nfs/dbraw/zinc/38/57/30/486385730.db2.gz IOXMIVDTWQWTGO-NSHDSACASA-N -1 1 315.352 1.777 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677049541 486386478 /nfs/dbraw/zinc/38/64/78/486386478.db2.gz VDPMSUGKKMFCGH-LDYMZIIASA-N -1 1 304.354 1.314 20 0 DDADMM C[C@@H](NC(=O)c1c(F)ccc([O-])c1F)[C@H]1CN(C)CCO1 ZINC000685451479 486541447 /nfs/dbraw/zinc/54/14/47/486541447.db2.gz YVHPHDIOGKYYFX-LDYMZIIASA-N -1 1 300.305 1.119 20 0 DDADMM O=C(CNc1ccccc1Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677928409 486582124 /nfs/dbraw/zinc/58/21/24/486582124.db2.gz XSNWZOTYGNQEPG-SNVBAGLBSA-N -1 1 320.784 1.671 20 0 DDADMM Cc1c(C(=O)N=c2cc(-c3cc(C)ccc3F)[n-][nH]2)nnn1C ZINC000444787522 533773075 /nfs/dbraw/zinc/77/30/75/533773075.db2.gz RQDPVRGCDTWAFF-UHFFFAOYSA-N -1 1 314.324 1.635 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N2CCN(C)CC[C@@H]2C)c1 ZINC000424663933 533816206 /nfs/dbraw/zinc/81/62/06/533816206.db2.gz IUTBCPINSXIFGH-WHEQGISXSA-N -1 1 324.446 1.355 20 0 DDADMM C[C@@H]1CCNC(=O)[C@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451500875 534066391 /nfs/dbraw/zinc/06/63/91/534066391.db2.gz GNXORDIXELDJJB-SVRRBLITSA-N -1 1 308.812 1.204 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2C[C@@H](C)O[C@@H]2C)c1 ZINC000416605278 534322326 /nfs/dbraw/zinc/32/23/26/534322326.db2.gz MMJSQGBDHJLBAO-BBBLOLIVSA-N -1 1 317.363 1.158 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC[C@H](C)CCO)cnc2n1 ZINC000452245445 534400680 /nfs/dbraw/zinc/40/06/80/534400680.db2.gz HYSBSDGUJXIQHK-JTQLQIEISA-N -1 1 303.362 1.782 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC[C@H](C)CCO)c2=O ZINC000452245445 534400690 /nfs/dbraw/zinc/40/06/90/534400690.db2.gz HYSBSDGUJXIQHK-JTQLQIEISA-N -1 1 303.362 1.782 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2cc(-c3ccccc3)n[nH]2)cn1C ZINC000434968310 534519573 /nfs/dbraw/zinc/51/95/73/534519573.db2.gz LIWLSOUEXPZVIT-UHFFFAOYSA-N -1 1 317.374 1.919 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC[C@H]1CCOC1 ZINC000299433590 534644912 /nfs/dbraw/zinc/64/49/12/534644912.db2.gz FROOMNCAEIYJJF-GFCCVEGCSA-N -1 1 318.377 1.137 20 0 DDADMM O=C(CSCc1cccc(OC(F)F)c1)Nc1nnn[n-]1 ZINC000412994755 534667323 /nfs/dbraw/zinc/66/73/23/534667323.db2.gz BHXWLPAZRQGUFS-UHFFFAOYSA-N -1 1 315.305 1.673 20 0 DDADMM O=C(CSCc1cccc(OC(F)F)c1)Nc1nn[n-]n1 ZINC000412994755 534667328 /nfs/dbraw/zinc/66/73/28/534667328.db2.gz BHXWLPAZRQGUFS-UHFFFAOYSA-N -1 1 315.305 1.673 20 0 DDADMM Cc1nc2ccccc2n1CCN=c1[n-]c(C(N)=O)cs1 ZINC000432312928 534683731 /nfs/dbraw/zinc/68/37/31/534683731.db2.gz QDNCLMHZDVTBSM-UHFFFAOYSA-N -1 1 301.375 1.434 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@](C)(C(=O)[N-]OCC(F)F)C1 ZINC000495311872 526422811 /nfs/dbraw/zinc/42/28/11/526422811.db2.gz JHEMPAZVRVCILU-ZDUSSCGKSA-N -1 1 308.325 1.946 20 0 DDADMM CC(C)(NC(=O)c1occc1Br)c1nn[n-]n1 ZINC000434352030 527288406 /nfs/dbraw/zinc/28/84/06/527288406.db2.gz DSJZDIMRHVYAGV-UHFFFAOYSA-N -1 1 300.116 1.220 20 0 DDADMM CCN(C)C(=O)[C@H]1CSCN1C(=O)c1ncc(C)cc1[O-] ZINC000331212349 527784463 /nfs/dbraw/zinc/78/44/63/527784463.db2.gz SFCXGXCLFJKXDO-SNVBAGLBSA-N -1 1 309.391 1.089 20 0 DDADMM CCC[C@H](NC(=O)Cc1c(C)nn(C(C)C)c1C)c1nn[n-]n1 ZINC000294890737 528179195 /nfs/dbraw/zinc/17/91/95/528179195.db2.gz IMRVOAUXGLWBCB-ZDUSSCGKSA-N -1 1 319.413 1.794 20 0 DDADMM CCNC(=O)c1ccc(=NCC2(CCO)CCCCC2)[n-]n1 ZINC000413191505 528228836 /nfs/dbraw/zinc/22/88/36/528228836.db2.gz YIJNTCFTMQPNGB-UHFFFAOYSA-N -1 1 306.410 1.393 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](C)SC)o1 ZINC000443717479 528243788 /nfs/dbraw/zinc/24/37/88/528243788.db2.gz JMHPDTNJMPLVNO-MRVPVSSYSA-N -1 1 307.393 1.486 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](O)[C@H](C)CC)o1 ZINC000443277836 528243930 /nfs/dbraw/zinc/24/39/30/528243930.db2.gz UHJASLPBVUEJES-NXEZZACHSA-N -1 1 319.379 1.142 20 0 DDADMM CCOC(=O)c1nn(C)cc1CN1CCC[C@@H](C)[C@@H]1C(=O)[O-] ZINC000424425061 528376881 /nfs/dbraw/zinc/37/68/81/528376881.db2.gz XWAQWDFQHXPWDY-ZWNOBZJWSA-N -1 1 309.366 1.282 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])[C@@H]1C(C)C ZINC000456358686 528722673 /nfs/dbraw/zinc/72/26/73/528722673.db2.gz YOQVWXUIFFNIHR-BLLLJJGKSA-N -1 1 319.405 1.656 20 0 DDADMM CCOC(=O)NCCC(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000491798284 529067550 /nfs/dbraw/zinc/06/75/50/529067550.db2.gz RIUJCGHBBBDYRM-UHFFFAOYSA-N -1 1 323.345 1.817 20 0 DDADMM CCn1cccc(CN=c2[n-]c(C(=O)OC)c(C)s2)c1=O ZINC000432822846 529176115 /nfs/dbraw/zinc/17/61/15/529176115.db2.gz HRJRTTJKGGSOBI-UHFFFAOYSA-N -1 1 307.375 1.454 20 0 DDADMM C[C@H](Nc1ccc(Cl)c(-c2nnn[n-]2)n1)C(=O)NC(C)(C)C ZINC000736138579 598869232 /nfs/dbraw/zinc/86/92/32/598869232.db2.gz NIYUPCACSIYVLW-ZETCQYMHSA-N -1 1 323.788 1.630 20 0 DDADMM C[C@H](Nc1ccc(Cl)c(-c2nn[n-]n2)n1)C(=O)NC(C)(C)C ZINC000736138579 598869233 /nfs/dbraw/zinc/86/92/33/598869233.db2.gz NIYUPCACSIYVLW-ZETCQYMHSA-N -1 1 323.788 1.630 20 0 DDADMM CC(C)c1ccccc1NC(=O)Cn1cnnc1-c1nn[n-]n1 ZINC000735931951 598875316 /nfs/dbraw/zinc/87/53/16/598875316.db2.gz GYKZMEHTMAOBMO-UHFFFAOYSA-N -1 1 312.337 1.220 20 0 DDADMM Cc1ccc2c(Cn3cnnc3-c3nn[n-]n3)cc(=O)oc2c1C ZINC000737371363 598877339 /nfs/dbraw/zinc/87/73/39/598877339.db2.gz CVLLDIPMZQUGPI-UHFFFAOYSA-N -1 1 323.316 1.230 20 0 DDADMM C[C@@H](O)C[C@@H](C)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736144639 598973154 /nfs/dbraw/zinc/97/31/54/598973154.db2.gz QBNLRXQEYAFYPT-NXEZZACHSA-N -1 1 313.365 1.629 20 0 DDADMM C[C@@H](O)C[C@@H](C)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736144639 598973156 /nfs/dbraw/zinc/97/31/56/598973156.db2.gz QBNLRXQEYAFYPT-NXEZZACHSA-N -1 1 313.365 1.629 20 0 DDADMM COCc1cccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737016301 598990069 /nfs/dbraw/zinc/99/00/69/598990069.db2.gz BMLJGENJOJDCOK-UHFFFAOYSA-N -1 1 310.317 1.660 20 0 DDADMM COCc1cccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737016301 598990070 /nfs/dbraw/zinc/99/00/70/598990070.db2.gz BMLJGENJOJDCOK-UHFFFAOYSA-N -1 1 310.317 1.660 20 0 DDADMM Cc1cccc2c1N(C(=O)c1ccc(-c3nnn[n-]3)nc1)CC2 ZINC000737410520 598994601 /nfs/dbraw/zinc/99/46/01/598994601.db2.gz TZYYPMAAQZUMRB-UHFFFAOYSA-N -1 1 306.329 1.773 20 0 DDADMM Cc1cccc2c1N(C(=O)c1ccc(-c3nn[n-]n3)nc1)CC2 ZINC000737410520 598994603 /nfs/dbraw/zinc/99/46/03/598994603.db2.gz TZYYPMAAQZUMRB-UHFFFAOYSA-N -1 1 306.329 1.773 20 0 DDADMM O=C(NCc1cc2ccccc2[nH]1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738100710 599027350 /nfs/dbraw/zinc/02/73/50/599027350.db2.gz FCQROCBAKDNILC-UHFFFAOYSA-N -1 1 319.328 1.673 20 0 DDADMM O=C(NCc1cc2ccccc2[nH]1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738100710 599027352 /nfs/dbraw/zinc/02/73/52/599027352.db2.gz FCQROCBAKDNILC-UHFFFAOYSA-N -1 1 319.328 1.673 20 0 DDADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)OCCCF ZINC000737200875 599061803 /nfs/dbraw/zinc/06/18/03/599061803.db2.gz NQQGFXHKHPYZBX-UHFFFAOYSA-N -1 1 323.328 1.420 20 0 DDADMM O=C(OC[C@H]1CC1(Cl)Cl)c1ccc(-c2nnn[n-]2)nc1 ZINC000738219038 599139452 /nfs/dbraw/zinc/13/94/52/599139452.db2.gz KDVCJUUGWHODNO-SSDOTTSWSA-N -1 1 314.132 1.612 20 0 DDADMM O=C(OC[C@H]1CC1(Cl)Cl)c1ccc(-c2nn[n-]n2)nc1 ZINC000738219038 599139454 /nfs/dbraw/zinc/13/94/54/599139454.db2.gz KDVCJUUGWHODNO-SSDOTTSWSA-N -1 1 314.132 1.612 20 0 DDADMM Fc1cccc(F)c1[C@H]1C[C@H]1Nc1nccnc1-c1nnn[n-]1 ZINC000737659950 599171581 /nfs/dbraw/zinc/17/15/81/599171581.db2.gz GNZUIZPEVJJQQR-OIBJUYFYSA-N -1 1 315.287 1.903 20 0 DDADMM Fc1cccc(F)c1[C@H]1C[C@H]1Nc1nccnc1-c1nn[n-]n1 ZINC000737659950 599171583 /nfs/dbraw/zinc/17/15/83/599171583.db2.gz GNZUIZPEVJJQQR-OIBJUYFYSA-N -1 1 315.287 1.903 20 0 DDADMM O=C([O-])CSCC(=O)O[C@@H]1CCN(Cc2ccccc2)C1 ZINC000740487917 597109133 /nfs/dbraw/zinc/10/91/33/597109133.db2.gz YCHQMEPVBAIBSV-CYBMUJFWSA-N -1 1 309.387 1.622 20 0 DDADMM CC(C)N1CCN(C(=O)NCc2ccc(C(=O)[O-])cc2)CC1 ZINC000315964576 597300302 /nfs/dbraw/zinc/30/03/02/597300302.db2.gz DIHRHKMWGMICDL-UHFFFAOYSA-N -1 1 305.378 1.620 20 0 DDADMM O[C@@H]1CCCC[C@H]1CCCNc1nccnc1-c1nnn[n-]1 ZINC000823706963 607306765 /nfs/dbraw/zinc/30/67/65/607306765.db2.gz SPYCOAZQABMOMI-WDEREUQCSA-N -1 1 303.370 1.400 20 0 DDADMM O[C@@H]1CCCC[C@H]1CCCNc1nccnc1-c1nn[n-]n1 ZINC000823706963 607306766 /nfs/dbraw/zinc/30/67/66/607306766.db2.gz SPYCOAZQABMOMI-WDEREUQCSA-N -1 1 303.370 1.400 20 0 DDADMM CNC(=O)c1cccc(NCc2ccc(-c3nn[n-]n3)s2)c1 ZINC000821636220 607310639 /nfs/dbraw/zinc/31/06/39/607310639.db2.gz JZUOADYBIZCMEP-UHFFFAOYSA-N -1 1 314.374 1.900 20 0 DDADMM CCc1cc2c(C[N@@H+]3CC[C@@H](C(=O)[O-])C3)cc(=O)oc2cc1[O-] ZINC000818335766 597535040 /nfs/dbraw/zinc/53/50/40/597535040.db2.gz BTLHQBONOWDMAK-LLVKDONJSA-N -1 1 317.341 1.968 20 0 DDADMM CCc1cc2c(C[N@H+]3CC[C@@H](C(=O)[O-])C3)cc(=O)oc2cc1[O-] ZINC000818335766 597535042 /nfs/dbraw/zinc/53/50/42/597535042.db2.gz BTLHQBONOWDMAK-LLVKDONJSA-N -1 1 317.341 1.968 20 0 DDADMM COCCn1c(C)c(C)n(CN2CC[C@@H](C(=O)[O-])C2)c1=S ZINC000818545497 597538018 /nfs/dbraw/zinc/53/80/18/597538018.db2.gz UQJIRMMFQKRKIJ-GFCCVEGCSA-N -1 1 313.423 1.646 20 0 DDADMM C[C@H](NCC(=O)NCC(=O)[O-])c1ccc(-c2ccncc2)cc1 ZINC000820141446 597808712 /nfs/dbraw/zinc/80/87/12/597808712.db2.gz DEBDYOYJZBXLDG-LBPRGKRZSA-N -1 1 313.357 1.600 20 0 DDADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)OCCCC(=O)[O-] ZINC000737685116 597840927 /nfs/dbraw/zinc/84/09/27/597840927.db2.gz WJTLCTNYGZVZFO-SECBINFHSA-N -1 1 319.317 1.089 20 0 DDADMM Clc1nsc(NCCCn2cccn2)c1-c1nnn[n-]1 ZINC000737585146 598237165 /nfs/dbraw/zinc/23/71/65/598237165.db2.gz ZZTUFTWSKNLUET-UHFFFAOYSA-N -1 1 310.774 1.675 20 0 DDADMM Clc1nsc(NCCCn2cccn2)c1-c1nn[n-]n1 ZINC000737585146 598237167 /nfs/dbraw/zinc/23/71/67/598237167.db2.gz ZZTUFTWSKNLUET-UHFFFAOYSA-N -1 1 310.774 1.675 20 0 DDADMM O=S(=O)(c1ccc(-c2nnn[n-]2)nc1)N1CCCCCCC1 ZINC000738352846 598260183 /nfs/dbraw/zinc/26/01/83/598260183.db2.gz AZNQNGRFTNPXKP-UHFFFAOYSA-N -1 1 322.394 1.217 20 0 DDADMM O=S(=O)(c1ccc(-c2nn[n-]n2)nc1)N1CCCCCCC1 ZINC000738352846 598260184 /nfs/dbraw/zinc/26/01/84/598260184.db2.gz AZNQNGRFTNPXKP-UHFFFAOYSA-N -1 1 322.394 1.217 20 0 DDADMM CC(C)Oc1ncccc1CNc1nccnc1-c1nnn[n-]1 ZINC000735913032 598343658 /nfs/dbraw/zinc/34/36/58/598343658.db2.gz DJOPLECRIUDIIW-UHFFFAOYSA-N -1 1 312.337 1.451 20 0 DDADMM CC(C)Oc1ncccc1CNc1nccnc1-c1nn[n-]n1 ZINC000735913032 598343660 /nfs/dbraw/zinc/34/36/60/598343660.db2.gz DJOPLECRIUDIIW-UHFFFAOYSA-N -1 1 312.337 1.451 20 0 DDADMM CCNC(=O)c1ccc(CNc2nccnc2-c2nnn[n-]2)cc1 ZINC000736575586 598344099 /nfs/dbraw/zinc/34/40/99/598344099.db2.gz HSYGXFDZJMHNGH-UHFFFAOYSA-N -1 1 324.348 1.019 20 0 DDADMM CCNC(=O)c1ccc(CNc2nccnc2-c2nn[n-]n2)cc1 ZINC000736575586 598344101 /nfs/dbraw/zinc/34/41/01/598344101.db2.gz HSYGXFDZJMHNGH-UHFFFAOYSA-N -1 1 324.348 1.019 20 0 DDADMM Fc1cccc(F)c1CCNc1nccnc1-c1nnn[n-]1 ZINC000737659922 598345643 /nfs/dbraw/zinc/34/56/43/598345643.db2.gz FSNVITMBBXXIPZ-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM Fc1cccc(F)c1CCNc1nccnc1-c1nn[n-]n1 ZINC000737659922 598345645 /nfs/dbraw/zinc/34/56/45/598345645.db2.gz FSNVITMBBXXIPZ-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM CC(C)c1nnc(Sc2nccnc2-c2nn[n-]n2)n1C ZINC000735952039 598350680 /nfs/dbraw/zinc/35/06/80/598350680.db2.gz CKIPSYMXKFBVKD-UHFFFAOYSA-N -1 1 303.355 1.060 20 0 DDADMM c1nc2ccccc2n1CCNc1nccnc1-c1nnn[n-]1 ZINC000738443437 598350799 /nfs/dbraw/zinc/35/07/99/598350799.db2.gz WBIWNUHFIOWKQG-UHFFFAOYSA-N -1 1 307.321 1.119 20 0 DDADMM c1nc2ccccc2n1CCNc1nccnc1-c1nn[n-]n1 ZINC000738443437 598350801 /nfs/dbraw/zinc/35/08/01/598350801.db2.gz WBIWNUHFIOWKQG-UHFFFAOYSA-N -1 1 307.321 1.119 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nnn[n-]2)c1C(=O)N[C@@H]1C[C@@H]1C ZINC000737548382 598501727 /nfs/dbraw/zinc/50/17/27/598501727.db2.gz PHGKAUXYIZVHOG-WCBMZHEXSA-N -1 1 312.333 1.697 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nn[n-]n2)c1C(=O)N[C@@H]1C[C@@H]1C ZINC000737548382 598501728 /nfs/dbraw/zinc/50/17/28/598501728.db2.gz PHGKAUXYIZVHOG-WCBMZHEXSA-N -1 1 312.333 1.697 20 0 DDADMM CC1(CNS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCCC1 ZINC000736215771 598540376 /nfs/dbraw/zinc/54/03/76/598540376.db2.gz ALXSAODVGONEMI-UHFFFAOYSA-N -1 1 322.394 1.120 20 0 DDADMM CC1(CNS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCCC1 ZINC000736215771 598540377 /nfs/dbraw/zinc/54/03/77/598540377.db2.gz ALXSAODVGONEMI-UHFFFAOYSA-N -1 1 322.394 1.120 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nnn[n-]2)c1C(=O)OCC(F)F ZINC000737547307 598785321 /nfs/dbraw/zinc/78/53/21/598785321.db2.gz JVOOQWPEUDLFPM-UHFFFAOYSA-N -1 1 323.259 1.981 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nn[n-]n2)c1C(=O)OCC(F)F ZINC000737547307 598785322 /nfs/dbraw/zinc/78/53/22/598785322.db2.gz JVOOQWPEUDLFPM-UHFFFAOYSA-N -1 1 323.259 1.981 20 0 DDADMM c1ccc(N2CCCN(c3cccc(-c4nnn[n-]4)n3)CC2)cc1 ZINC000738436119 599415809 /nfs/dbraw/zinc/41/58/09/599415809.db2.gz PWHAUFGMSSSLPE-UHFFFAOYSA-N -1 1 321.388 1.978 20 0 DDADMM c1ccc(N2CCCN(c3cccc(-c4nn[n-]n4)n3)CC2)cc1 ZINC000738436119 599415812 /nfs/dbraw/zinc/41/58/12/599415812.db2.gz PWHAUFGMSSSLPE-UHFFFAOYSA-N -1 1 321.388 1.978 20 0 DDADMM O[C@@]1(CNc2cccc(-c3nnn[n-]3)n2)CCCc2ccccc21 ZINC000738387689 599435396 /nfs/dbraw/zinc/43/53/96/599435396.db2.gz CLDFXZBZHCYAHO-QGZVFWFLSA-N -1 1 322.372 1.898 20 0 DDADMM O[C@@]1(CNc2cccc(-c3nn[n-]n3)n2)CCCc2ccccc21 ZINC000738387689 599435402 /nfs/dbraw/zinc/43/54/02/599435402.db2.gz CLDFXZBZHCYAHO-QGZVFWFLSA-N -1 1 322.372 1.898 20 0 DDADMM CC(C)(CNc1cc(-c2nnn[n-]2)nc2ccccc21)C(N)=O ZINC000819983679 599481654 /nfs/dbraw/zinc/48/16/54/599481654.db2.gz ATXVSKOCYFDTRR-UHFFFAOYSA-N -1 1 311.349 1.338 20 0 DDADMM CC(C)(CNc1cc(-c2nn[n-]n2)nc2ccccc21)C(N)=O ZINC000819983679 599481656 /nfs/dbraw/zinc/48/16/56/599481656.db2.gz ATXVSKOCYFDTRR-UHFFFAOYSA-N -1 1 311.349 1.338 20 0 DDADMM Cc1noc(C)c1C[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC000737535449 599531391 /nfs/dbraw/zinc/53/13/91/599531391.db2.gz ADJGSDISEXZMLN-SSDOTTSWSA-N -1 1 300.326 1.305 20 0 DDADMM Cc1noc(C)c1C[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC000737535449 599531393 /nfs/dbraw/zinc/53/13/93/599531393.db2.gz ADJGSDISEXZMLN-SSDOTTSWSA-N -1 1 300.326 1.305 20 0 DDADMM O[C@@H](CNc1snc(Cl)c1-c1nnn[n-]1)c1cccnc1 ZINC000823690876 607382882 /nfs/dbraw/zinc/38/28/82/607382882.db2.gz WUTLBPVRZUHZGD-ZETCQYMHSA-N -1 1 323.769 1.517 20 0 DDADMM O[C@@H](CNc1snc(Cl)c1-c1nn[n-]n1)c1cccnc1 ZINC000823690876 607382884 /nfs/dbraw/zinc/38/28/84/607382884.db2.gz WUTLBPVRZUHZGD-ZETCQYMHSA-N -1 1 323.769 1.517 20 0 DDADMM COc1cccc([C@H](CO)Nc2cccc(-c3nnn[n-]3)n2)c1 ZINC000821863963 599583268 /nfs/dbraw/zinc/58/32/68/599583268.db2.gz SAYPQTLLCLIXEA-ZDUSSCGKSA-N -1 1 312.333 1.416 20 0 DDADMM COc1cccc([C@H](CO)Nc2cccc(-c3nn[n-]n3)n2)c1 ZINC000821863963 599583270 /nfs/dbraw/zinc/58/32/70/599583270.db2.gz SAYPQTLLCLIXEA-ZDUSSCGKSA-N -1 1 312.333 1.416 20 0 DDADMM Cc1cc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])ccc1[N+](=O)[O-] ZINC000389721079 599778805 /nfs/dbraw/zinc/77/88/05/599778805.db2.gz ORNPCNNZICBAIQ-NSHDSACASA-N -1 1 318.289 1.052 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1S(=O)(=O)N1CC[C@H](N(C)C)C1 ZINC000314756981 599877348 /nfs/dbraw/zinc/87/73/48/599877348.db2.gz AAUBDOWZLQBPBG-LBPRGKRZSA-N -1 1 312.391 1.018 20 0 DDADMM C[C@H](Cc1c(F)cccc1F)NC(=O)CNC(C)(C)C(=O)[O-] ZINC000736616861 599927427 /nfs/dbraw/zinc/92/74/27/599927427.db2.gz BJWZQKNHVNTULC-SECBINFHSA-N -1 1 314.332 1.465 20 0 DDADMM C[C@H]1CCCCN1CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)[O-] ZINC000736783329 600011754 /nfs/dbraw/zinc/01/17/54/600011754.db2.gz GXWYQRDBFSHSHK-XJKSGUPXSA-N -1 1 316.401 1.899 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC000738406393 600061304 /nfs/dbraw/zinc/06/13/04/600061304.db2.gz OHVBABRPXSKHGL-UONOGXRCSA-N -1 1 317.389 1.406 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN(CCO)CC(C)(C)C ZINC000738533389 600127660 /nfs/dbraw/zinc/12/76/60/600127660.db2.gz UIFSNEBOVRYCGU-UHFFFAOYSA-N -1 1 322.405 1.972 20 0 DDADMM CCC[C@](C)(NCC(=O)N1CCO[C@H]2CCCC[C@H]21)C(=O)[O-] ZINC000736965905 600153526 /nfs/dbraw/zinc/15/35/26/600153526.db2.gz XKGNDQFKKDCTQV-WWGRRREGSA-N -1 1 312.410 1.389 20 0 DDADMM CSCC[C@H](C)[N@@H+](C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000738188028 600218197 /nfs/dbraw/zinc/21/81/97/600218197.db2.gz VEZOZOSUFXWXMC-LBPRGKRZSA-N -1 1 316.467 1.964 20 0 DDADMM CSCC[C@H](C)N(C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000738188028 600218198 /nfs/dbraw/zinc/21/81/98/600218198.db2.gz VEZOZOSUFXWXMC-LBPRGKRZSA-N -1 1 316.467 1.964 20 0 DDADMM CCOC[C@H]1CCCN(CC(=O)N[C@@H](CC(C)C)C(=O)[O-])C1 ZINC000737161252 600286607 /nfs/dbraw/zinc/28/66/07/600286607.db2.gz FFLJIGRHAJMICS-KBPBESRZSA-N -1 1 314.426 1.350 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(Cc1cc(=O)n3ccsc3n1)CC2 ZINC000405483469 600307118 /nfs/dbraw/zinc/30/71/18/600307118.db2.gz KWTCGECRJIFGFH-NSHDSACASA-N -1 1 319.386 1.443 20 0 DDADMM C[C@H](CN(C)[C@H](C)C(=O)Nc1c(F)cccc1F)C(=O)[O-] ZINC000736574755 600365824 /nfs/dbraw/zinc/36/58/24/600365824.db2.gz HYTCTLQUNQDWBM-RKDXNWHRSA-N -1 1 300.305 1.944 20 0 DDADMM COc1ccc([C@H](CC(=O)[O-])NC(=O)[C@@H]2CCCN2C)cc1 ZINC000737953334 600376685 /nfs/dbraw/zinc/37/66/85/600376685.db2.gz WHJZSRLSSFDAGH-KBPBESRZSA-N -1 1 306.362 1.421 20 0 DDADMM COCC[N@@H+](CC(=O)[O-])Cc1cc(=O)oc2cc(OC)ccc12 ZINC000737778065 600395940 /nfs/dbraw/zinc/39/59/40/600395940.db2.gz WMQSZFUHNFLMJK-UHFFFAOYSA-N -1 1 321.329 1.335 20 0 DDADMM NC(=O)c1cccc(CN[C@@H](C(=O)[O-])c2ccc(F)cc2)c1 ZINC000739182147 600421365 /nfs/dbraw/zinc/42/13/65/600421365.db2.gz FLQWLCBSWGTWPC-CQSZACIVSA-N -1 1 302.305 1.840 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2ccc(CC(=O)[O-])cc2)[nH]n1 ZINC000737252052 600445531 /nfs/dbraw/zinc/44/55/31/600445531.db2.gz COBFODSPFGVPGZ-UHFFFAOYSA-N -1 1 323.374 1.078 20 0 DDADMM CN(Cc1ccco1)C(=O)CN1[C@@H]2CCCC[C@H]2C[C@H]1C(=O)[O-] ZINC000320743281 600504915 /nfs/dbraw/zinc/50/49/15/600504915.db2.gz BANCBLIXABXBTJ-CFVMTHIKSA-N -1 1 320.389 1.956 20 0 DDADMM CC[C@@](C)(NCC(=O)N[C@H]1CCOc2ccccc21)C(=O)[O-] ZINC000736861642 600558995 /nfs/dbraw/zinc/55/89/95/600558995.db2.gz RJMSFCQNOZDIJW-BLLLJJGKSA-N -1 1 306.362 1.469 20 0 DDADMM CC[C@](C)(NCC(=O)N[C@@H]1CCOc2ccccc21)C(=O)[O-] ZINC000736861645 600559131 /nfs/dbraw/zinc/55/91/31/600559131.db2.gz RJMSFCQNOZDIJW-WBMJQRKESA-N -1 1 306.362 1.469 20 0 DDADMM CCN(CCC(=O)[O-])CN1C(=O)OC[C@@H]1Cc1ccccc1 ZINC000737096658 600559404 /nfs/dbraw/zinc/55/94/04/600559404.db2.gz POXCBOBCZJJPEE-AWEZNQCLSA-N -1 1 306.362 1.804 20 0 DDADMM CC(C)C[C@@]1(C)CC(=O)N(CN(CCC(=O)[O-])C(C)C)C1=O ZINC000736424832 600702433 /nfs/dbraw/zinc/70/24/33/600702433.db2.gz GXVVIWABABEFMG-INIZCTEOSA-N -1 1 312.410 1.940 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2)n[nH]1 ZINC000829320173 600784516 /nfs/dbraw/zinc/78/45/16/600784516.db2.gz ZBPSGPAMGYIIRX-UHFFFAOYSA-N -1 1 323.374 1.457 20 0 DDADMM C[C@H]1CCN(CCNS(=O)(=O)c2cccc(C(=O)[O-])c2)C1 ZINC000828289756 600792661 /nfs/dbraw/zinc/79/26/61/600792661.db2.gz MCRKUSMLMYJRBW-NSHDSACASA-N -1 1 312.391 1.005 20 0 DDADMM CN(C)c1cccc([C@@H]2CCCN2CC(=O)NCCC(=O)[O-])c1 ZINC000830643520 600835603 /nfs/dbraw/zinc/83/56/03/600835603.db2.gz HPQQYJRFUNASAM-HNNXBMFYSA-N -1 1 319.405 1.480 20 0 DDADMM CN1CCN(Cc2cccc(C(=O)NC(C)(C)C(=O)[O-])c2)CC1 ZINC000738023412 600888169 /nfs/dbraw/zinc/88/81/69/600888169.db2.gz YJAQPKNJGBQTHE-UHFFFAOYSA-N -1 1 319.405 1.027 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1S(=O)(=O)Nc1ccc(C#N)cn1 ZINC000390320173 600980329 /nfs/dbraw/zinc/98/03/29/600980329.db2.gz AHYTZTVLBNCIRU-UHFFFAOYSA-N -1 1 317.326 1.183 20 0 DDADMM CN(C)C(=O)c1cccc(CN2CCSC[C@H]2CC(=O)[O-])c1 ZINC000830575766 601020239 /nfs/dbraw/zinc/02/02/39/601020239.db2.gz XRRORJNDACRKKJ-CQSZACIVSA-N -1 1 322.430 1.781 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000739278533 601029655 /nfs/dbraw/zinc/02/96/55/601029655.db2.gz OUCLIYBKWXFYOK-VIFPVBQESA-N -1 1 318.333 1.004 20 0 DDADMM O=C([O-])CN(Cc1nc2ccccc2c(=O)[nH]1)C1CCOCC1 ZINC000833249024 601048089 /nfs/dbraw/zinc/04/80/89/601048089.db2.gz UCWIQCSGZWTZPE-UHFFFAOYSA-N -1 1 317.345 1.401 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCCc2ccccn2)CC1 ZINC000827362780 601094243 /nfs/dbraw/zinc/09/42/43/601094243.db2.gz VQMQQHDUQLRUAR-AWEZNQCLSA-N -1 1 319.405 1.412 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2cncc(F)c2)CC1 ZINC000827356439 601094398 /nfs/dbraw/zinc/09/43/98/601094398.db2.gz RXCIZPIELCOBOP-JDGPPOGSSA-N -1 1 321.352 1.241 20 0 DDADMM O=C([O-])CCCOc1ccc(NC(=O)CCc2nc[nH]n2)cc1 ZINC000833227525 601137201 /nfs/dbraw/zinc/13/72/01/601137201.db2.gz MDNAWOMRZCSULY-UHFFFAOYSA-N -1 1 318.333 1.620 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CCSC2(CCCCC2)C1 ZINC000826005218 601157606 /nfs/dbraw/zinc/15/76/06/601157606.db2.gz UIIKJEVZUKHKKU-LLVKDONJSA-N -1 1 300.424 1.327 20 0 DDADMM COC(=O)/C(C)=C/CN1CCN(C/C=C(\C)C(=O)[O-])[C@H](C)C1 ZINC000831052906 601180717 /nfs/dbraw/zinc/18/07/17/601180717.db2.gz FDPYTYJRFIVODW-VFZXEYKESA-N -1 1 310.394 1.143 20 0 DDADMM Cn1cc(NC(=O)c2nnc3ccccc3c2O)cc1C(=O)[O-] ZINC000833051277 601313285 /nfs/dbraw/zinc/31/32/85/601313285.db2.gz RDXZOCRPDNONEX-UHFFFAOYSA-N -1 1 312.285 1.624 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H](C(=O)N2CCN(C[C@@H]3CCOC3)CC2)C1 ZINC000833187618 601331247 /nfs/dbraw/zinc/33/12/47/601331247.db2.gz YQQAYPVOUMGWCP-SOUVJXGZSA-N -1 1 324.421 1.058 20 0 DDADMM N#Cc1ccc(NC(=O)CCN2CCO[C@H](CC(=O)[O-])C2)cc1 ZINC000320977346 601570555 /nfs/dbraw/zinc/57/05/55/601570555.db2.gz NSABYSAWNNAZRZ-CQSZACIVSA-N -1 1 317.345 1.062 20 0 DDADMM Cc1cc(NS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)cnc1Cl ZINC000230145975 601575658 /nfs/dbraw/zinc/57/56/58/601575658.db2.gz FIWDSDBZJBANAM-UHFFFAOYSA-N -1 1 315.738 1.871 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](CCC(=O)[O-])c2ccccc2)[nH]n1 ZINC000832884486 601680246 /nfs/dbraw/zinc/68/02/46/601680246.db2.gz ZYZRPHOLAFQVSA-AWEZNQCLSA-N -1 1 301.346 1.983 20 0 DDADMM CC[C@@](NC(=O)Cc1cc(C)n[nH]1)(C(=O)[O-])c1ccccc1 ZINC000828939255 601680756 /nfs/dbraw/zinc/68/07/56/601680756.db2.gz FCXBITUSYSHBGZ-INIZCTEOSA-N -1 1 301.346 1.767 20 0 DDADMM COc1ccc([S@](=O)Cc2ccnc(-c3nnn[n-]3)c2)cc1 ZINC000826211387 607527736 /nfs/dbraw/zinc/52/77/36/607527736.db2.gz AITWPXUPNFCKNP-JOCHJYFZSA-N -1 1 315.358 1.578 20 0 DDADMM COc1ccc([S@](=O)Cc2ccnc(-c3nn[n-]n3)c2)cc1 ZINC000826211387 607527738 /nfs/dbraw/zinc/52/77/38/607527738.db2.gz AITWPXUPNFCKNP-JOCHJYFZSA-N -1 1 315.358 1.578 20 0 DDADMM CC(C)[C@H](NCC(=O)NC(=O)N[C@@H]1CCCC[C@H]1C)C(=O)[O-] ZINC000818097687 601824559 /nfs/dbraw/zinc/82/45/59/601824559.db2.gz ZTRZUPQYOZMCOH-WZRBSPASSA-N -1 1 313.398 1.090 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)CN[C@H](C(=O)[O-])C(C)C ZINC000832597579 601825904 /nfs/dbraw/zinc/82/59/04/601825904.db2.gz ZVWFNJRNPGJPRX-NHYWBVRUSA-N -1 1 308.378 1.571 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN([C@H]2CC(=O)N(c3ccc(F)cc3)C2=O)C1 ZINC000828020221 601849834 /nfs/dbraw/zinc/84/98/34/601849834.db2.gz MMWUNJCCCCEGGX-BLLLJJGKSA-N -1 1 320.320 1.254 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CN2C(=O)CN(c3ccccc3)C2=O)C1 ZINC000828028989 601888586 /nfs/dbraw/zinc/88/85/86/601888586.db2.gz KZLZUKUOFDQEMH-INIZCTEOSA-N -1 1 317.345 1.209 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCCN(C(=O)Cc2n[nH]c3ccccc32)C1 ZINC000739585538 601895832 /nfs/dbraw/zinc/89/58/32/601895832.db2.gz UHJXALYDJGAQGE-LLVKDONJSA-N -1 1 316.361 1.706 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(Cl)cn1)N1CC[C@](C)(C(=O)[O-])C1 ZINC000826012673 601921392 /nfs/dbraw/zinc/92/13/92/601921392.db2.gz ICOADHLFBAMVBD-XPTSAGLGSA-N -1 1 311.769 1.859 20 0 DDADMM O=C([O-])c1cc(NC(=O)NCCc2nc[nH]n2)c(F)cc1F ZINC000315490568 601946325 /nfs/dbraw/zinc/94/63/25/601946325.db2.gz WHIUYTXNJRQRAU-UHFFFAOYSA-N -1 1 311.248 1.145 20 0 DDADMM c1nnc(-c2ccc(OCc3ccnc(-c4nnn[n-]4)c3)cc2)o1 ZINC000826516622 607541480 /nfs/dbraw/zinc/54/14/80/607541480.db2.gz STDBWMCEDMKJQL-UHFFFAOYSA-N -1 1 321.300 1.891 20 0 DDADMM c1nnc(-c2ccc(OCc3ccnc(-c4nn[n-]n4)c3)cc2)o1 ZINC000826516622 607541481 /nfs/dbraw/zinc/54/14/81/607541481.db2.gz STDBWMCEDMKJQL-UHFFFAOYSA-N -1 1 321.300 1.891 20 0 DDADMM O=C([O-])NCCCC(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000739958583 602128763 /nfs/dbraw/zinc/12/87/63/602128763.db2.gz FQYVXTYSZXSYQD-GFCCVEGCSA-N -1 1 309.366 1.581 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CCC(NC(=O)[O-])CC1)c1ccccc1 ZINC000739385675 602161533 /nfs/dbraw/zinc/16/15/33/602161533.db2.gz SLBLYOAZLYNXHE-OAHLLOKOSA-N -1 1 319.405 1.938 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)CC2CCN(C(=O)[O-])CC2)c1 ZINC000740196920 602183749 /nfs/dbraw/zinc/18/37/49/602183749.db2.gz XDQLDYPCIJIOCB-UHFFFAOYSA-N -1 1 320.393 1.650 20 0 DDADMM CC(C)S(=O)(=O)CCCN1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000696809392 602236268 /nfs/dbraw/zinc/23/62/68/602236268.db2.gz MTVDXQPOKJRSHV-LBPRGKRZSA-N -1 1 303.424 1.386 20 0 DDADMM O=C([O-])N1CCC[C@@H](C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000738515099 602323492 /nfs/dbraw/zinc/32/34/92/602323492.db2.gz QROSGVLQIPZQOG-LLVKDONJSA-N -1 1 315.333 1.596 20 0 DDADMM O=C([O-])N1CCC[C@@H]1C(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC000740453079 602450066 /nfs/dbraw/zinc/45/00/66/602450066.db2.gz KATCCZDFKBGCFJ-LLVKDONJSA-N -1 1 322.752 1.975 20 0 DDADMM CCCN(C)CC(=O)Nc1nc2c(s1)CN(C(=O)[O-])CC2 ZINC000739353172 602457541 /nfs/dbraw/zinc/45/75/41/602457541.db2.gz ZKQHTUDZDZZMJW-UHFFFAOYSA-N -1 1 312.395 1.460 20 0 DDADMM CN1CCC[C@H](NC(=O)[C@H]2Cc3ccccc3CN2C(=O)[O-])C1 ZINC000739729319 602515045 /nfs/dbraw/zinc/51/50/45/602515045.db2.gz MIQQEQDOPYXQNV-LSDHHAIUSA-N -1 1 317.389 1.302 20 0 DDADMM CCCN(CC(=O)NCc1ccccc1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739352562 602546343 /nfs/dbraw/zinc/54/63/43/602546343.db2.gz DHCFYDCVSRUKBB-OAHLLOKOSA-N -1 1 319.405 1.767 20 0 DDADMM CCCN(Cc1cnc2ccnn2c1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739360312 602548093 /nfs/dbraw/zinc/54/80/93/602548093.db2.gz SMOHYKWOCVPOHL-CYBMUJFWSA-N -1 1 303.366 1.694 20 0 DDADMM CCCN(CC(=O)N(C)Cc1ccco1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739347305 602578543 /nfs/dbraw/zinc/57/85/43/602578543.db2.gz DVMSEVHOCTUDEU-ZDUSSCGKSA-N -1 1 323.393 1.702 20 0 DDADMM C[C@H](NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1)C1CC1 ZINC000824640317 607579448 /nfs/dbraw/zinc/57/94/48/607579448.db2.gz GHLJCIRHTJGIMK-ZETCQYMHSA-N -1 1 311.342 1.083 20 0 DDADMM C[C@H](NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1)C1CC1 ZINC000824640317 607579450 /nfs/dbraw/zinc/57/94/50/607579450.db2.gz GHLJCIRHTJGIMK-ZETCQYMHSA-N -1 1 311.342 1.083 20 0 DDADMM NC(=O)CN(Cc1ccccc1)CC1CCN(C(=O)[O-])CC1 ZINC000740335169 602718785 /nfs/dbraw/zinc/71/87/85/602718785.db2.gz YBPJPDNNCQJCOY-UHFFFAOYSA-N -1 1 305.378 1.364 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCN(C(=O)[O-])C[C@H]1C ZINC000740029931 602753212 /nfs/dbraw/zinc/75/32/12/602753212.db2.gz FSMQZMCETVUASE-SNVBAGLBSA-N -1 1 309.370 1.044 20 0 DDADMM O=C([O-])NC1CCN([C@@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000740592894 602782577 /nfs/dbraw/zinc/78/25/77/602782577.db2.gz BVUIQPWRHKWNDZ-OAHLLOKOSA-N -1 1 317.389 1.914 20 0 DDADMM C[C@@H]1CN(CC(=O)N2[C@H](C)CCC[C@H]2C)CC[C@@H]1NC(=O)[O-] ZINC000739170741 602787062 /nfs/dbraw/zinc/78/70/62/602787062.db2.gz HHMBOQFOPKPBLZ-SYQHCUMBSA-N -1 1 311.426 1.754 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N2CCN(CC3CC3)CC2)c(O)c1 ZINC000740699924 602851452 /nfs/dbraw/zinc/85/14/52/602851452.db2.gz MOIITAITDPBRCT-UHFFFAOYSA-N -1 1 319.361 1.650 20 0 DDADMM CC[N@H+](CCNC(=O)c1ccc(NC(=O)[O-])cc1[O-])C1CC1 ZINC000739433587 602858883 /nfs/dbraw/zinc/85/88/83/602858883.db2.gz DCSHKKFFERGOQC-UHFFFAOYSA-N -1 1 307.350 1.696 20 0 DDADMM CC[N@@H+](CCNC(=O)c1ccc(NC(=O)[O-])cc1[O-])C1CC1 ZINC000739433587 602858884 /nfs/dbraw/zinc/85/88/84/602858884.db2.gz DCSHKKFFERGOQC-UHFFFAOYSA-N -1 1 307.350 1.696 20 0 DDADMM Cc1ccc(O)c(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000826291651 607594178 /nfs/dbraw/zinc/59/41/78/607594178.db2.gz PCBKKZQBRXWPGY-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM Cc1ccc(O)c(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000826291651 607594180 /nfs/dbraw/zinc/59/41/80/607594180.db2.gz PCBKKZQBRXWPGY-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCN(C(=O)[O-])[C@@H](C)C2)c1 ZINC000740054594 602936311 /nfs/dbraw/zinc/93/63/11/602936311.db2.gz BXILMOCLBFWMPR-JTQLQIEISA-N -1 1 302.334 1.696 20 0 DDADMM C[C@H](CC(=O)NCc1ccc(F)c(CN(C)C)c1)NC(=O)[O-] ZINC000824657681 602943566 /nfs/dbraw/zinc/94/35/66/602943566.db2.gz ZNTHORYTBSZOGP-SNVBAGLBSA-N -1 1 311.357 1.550 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1C[C@@H](C)N(C(=O)[O-])[C@H](C)C1 ZINC000740029939 602956467 /nfs/dbraw/zinc/95/64/67/602956467.db2.gz GARFAZZGQXHBJL-GHMZBOCLSA-N -1 1 323.397 1.433 20 0 DDADMM O=C([O-])NCCNC(=O)[C@@H]1CCCCN1Cc1ccccc1 ZINC000740657472 602976735 /nfs/dbraw/zinc/97/67/35/602976735.db2.gz CQOLYRCYNQZAKK-AWEZNQCLSA-N -1 1 305.378 1.425 20 0 DDADMM Nc1ccc(F)cc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826396136 607598199 /nfs/dbraw/zinc/59/81/99/607598199.db2.gz ILKKLNBUQNOXEY-UHFFFAOYSA-N -1 1 314.280 1.340 20 0 DDADMM Nc1ccc(F)cc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826396136 607598201 /nfs/dbraw/zinc/59/82/01/607598201.db2.gz ILKKLNBUQNOXEY-UHFFFAOYSA-N -1 1 314.280 1.340 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000737757450 602981404 /nfs/dbraw/zinc/98/14/04/602981404.db2.gz CANCVDMQWWDUES-MGPQQGTHSA-N -1 1 309.410 1.462 20 0 DDADMM O=C([O-])N1CCC[C@@H]1C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000740474732 603034048 /nfs/dbraw/zinc/03/40/48/603034048.db2.gz AYZPMLSCDPYXAH-LLVKDONJSA-N -1 1 301.306 1.348 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)Nc1ccc(-c2nc(CNC(=O)[O-])n[nH]2)cc1 ZINC000739083129 603056031 /nfs/dbraw/zinc/05/60/31/603056031.db2.gz NZDFFIFXOYYOSX-KCJUWKMLSA-N -1 1 315.333 1.834 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)Nc1ccc(-c2n[nH]c(CNC(=O)[O-])n2)cc1 ZINC000739083129 603056033 /nfs/dbraw/zinc/05/60/33/603056033.db2.gz NZDFFIFXOYYOSX-KCJUWKMLSA-N -1 1 315.333 1.834 20 0 DDADMM CC(C)CN1CCN(C(=O)[C@H]2CCC[C@@H](NC(=O)[O-])C2)CC1 ZINC000738818593 603232560 /nfs/dbraw/zinc/23/25/60/603232560.db2.gz BODPZYZPICKXED-UONOGXRCSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@H](C(=O)N(C)CCCNC(=O)[O-])N1CCc2ccccc2C1 ZINC000738603017 603238752 /nfs/dbraw/zinc/23/87/52/603238752.db2.gz OPGRNDYCCGHNRL-CYBMUJFWSA-N -1 1 319.405 1.549 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H](C(=O)N2CCN(CC3CC3)CC2)C1 ZINC000740567514 603244900 /nfs/dbraw/zinc/24/49/00/603244900.db2.gz XMTAOHGBWAXMRY-UONOGXRCSA-N -1 1 309.410 1.367 20 0 DDADMM C[C@@H](C(=O)N[C@H]1CCC[C@@H](C)[C@H]1C)N(C)CCCNC(=O)[O-] ZINC000739094328 603249187 /nfs/dbraw/zinc/24/91/87/603249187.db2.gz QXOPUCNAXACDCD-MQYQWHSLSA-N -1 1 313.442 1.905 20 0 DDADMM C[C@@H]1CN(CCc2nc3ccccc3n2C)CCN1C(=O)[O-] ZINC000739187569 603353961 /nfs/dbraw/zinc/35/39/61/603353961.db2.gz VRTNFXWMDLTJJZ-GFCCVEGCSA-N -1 1 302.378 1.800 20 0 DDADMM C[C@H](C(=O)N[C@H](CNC(=O)[O-])C1CC1)N(C)Cc1ccccc1 ZINC000738620626 603429678 /nfs/dbraw/zinc/42/96/78/603429678.db2.gz VZBUHJWSVQYPSG-IUODEOHRSA-N -1 1 319.405 1.669 20 0 DDADMM CN1CCN(C(=O)C2CCN(C(=O)[O-])CC2)C2(CCCCC2)C1 ZINC000828496774 603554152 /nfs/dbraw/zinc/55/41/52/603554152.db2.gz ZHXASJBKAYRSAJ-UHFFFAOYSA-N -1 1 323.437 1.853 20 0 DDADMM CCCCN(CN1C[C@H]2CN(C(=O)[O-])CCN2C1=O)C1CC1 ZINC000826830917 603597621 /nfs/dbraw/zinc/59/76/21/603597621.db2.gz VVLQLDZDTBOYRY-CYBMUJFWSA-N -1 1 310.398 1.308 20 0 DDADMM O=C(CNCc1cccc2c1N(C(=O)[O-])CC2)NC1CCCC1 ZINC000831493340 603859892 /nfs/dbraw/zinc/85/98/92/603859892.db2.gz OPVPVOKJPBGQLR-UHFFFAOYSA-N -1 1 317.389 1.876 20 0 DDADMM C[C@H](NC(=O)[C@H](CNC(=O)[O-])c1ccccc1)c1nnc[nH]1 ZINC000825077379 603938894 /nfs/dbraw/zinc/93/88/94/603938894.db2.gz YOASLZPRIHKULG-GXSJLCMTSA-N -1 1 303.322 1.033 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N(C)CCCNC(=O)[O-] ZINC000829834479 603941124 /nfs/dbraw/zinc/94/11/24/603941124.db2.gz SWSVRSZKKALAQY-UHFFFAOYSA-N -1 1 308.260 1.467 20 0 DDADMM CCN(CCCNC(=O)c1c(C)n[nH]c1C(F)(F)F)C(=O)[O-] ZINC000827124102 603940989 /nfs/dbraw/zinc/94/09/89/603940989.db2.gz NTYFURJLIJRPQT-UHFFFAOYSA-N -1 1 322.287 1.857 20 0 DDADMM O=C([O-])NC[C@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000832529342 603943077 /nfs/dbraw/zinc/94/30/77/603943077.db2.gz YEPULIYJCHTOAJ-MNOVXSKESA-N -1 1 306.366 1.336 20 0 DDADMM C[C@@](CNC(=O)[O-])(NC(=O)[C@@H]1CCCc2[nH]ncc21)C1CC1 ZINC000824946403 603983952 /nfs/dbraw/zinc/98/39/52/603983952.db2.gz QMGAFCVBNCJZFX-BMIGLBTASA-N -1 1 306.366 1.382 20 0 DDADMM CN(Cc1nc(-c2ccco2)no1)C1CCN(C(=O)[O-])CC1 ZINC000828416911 603991678 /nfs/dbraw/zinc/99/16/78/603991678.db2.gz RIOMZWBSSNIZMV-UHFFFAOYSA-N -1 1 306.322 1.904 20 0 DDADMM CN(C)Cc1ccc(NC(=O)[C@@H]2CCN(C(=O)[O-])C2)cc1F ZINC000828046617 604133062 /nfs/dbraw/zinc/13/30/62/604133062.db2.gz OYZNUUPWECTTQD-LLVKDONJSA-N -1 1 309.341 1.826 20 0 DDADMM C[C@@H](C(=O)N1CCCc2cc(CNC(=O)[O-])ccc21)N(C)C ZINC000829784778 604151914 /nfs/dbraw/zinc/15/19/14/604151914.db2.gz YJQZNIQPHHRTQX-NSHDSACASA-N -1 1 305.378 1.684 20 0 DDADMM Cc1cc(CC(=O)NCC2(NC(=O)[O-])CCCCCC2)[nH]n1 ZINC000830030635 604164266 /nfs/dbraw/zinc/16/42/66/604164266.db2.gz PNQWFRJTPVGHHW-UHFFFAOYSA-N -1 1 308.382 1.737 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000825243216 604214138 /nfs/dbraw/zinc/21/41/38/604214138.db2.gz DAMXYDMAXYURIJ-GXSJLCMTSA-N -1 1 317.349 1.466 20 0 DDADMM CC(C)c1nnc(NC(=O)CN(C)C[C@@H](C)C(=O)[O-])s1 ZINC000827442202 604474962 /nfs/dbraw/zinc/47/49/62/604474962.db2.gz FAMVIJKZXIFZDM-MRVPVSSYSA-N -1 1 300.384 1.253 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)CCC(C)(C)NC(=O)[O-])C2)n[nH]1 ZINC000830737407 604643960 /nfs/dbraw/zinc/64/39/60/604643960.db2.gz IALFWHWBDOUWAL-NSHDSACASA-N -1 1 323.397 1.646 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)CCC(C)(C)NC(=O)[O-])C2)n[nH]1 ZINC000830737406 604644587 /nfs/dbraw/zinc/64/45/87/604644587.db2.gz IALFWHWBDOUWAL-LLVKDONJSA-N -1 1 323.397 1.646 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1C(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000833587832 604838716 /nfs/dbraw/zinc/83/87/16/604838716.db2.gz NJIVXDQVACAVFD-NWDGAFQWSA-N -1 1 306.362 1.315 20 0 DDADMM CN(CC(=O)N(CC(=O)[O-])c1ccccc1)[C@H]1CCSC1 ZINC000820554114 604924634 /nfs/dbraw/zinc/92/46/34/604924634.db2.gz DOVNCJMCZSSOGL-ZDUSSCGKSA-N -1 1 308.403 1.542 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CC[C@H](C(F)F)C1 ZINC000833404704 604937160 /nfs/dbraw/zinc/93/71/60/604937160.db2.gz UITNLNKOBRAVLV-KOLCDFICSA-N -1 1 304.337 1.285 20 0 DDADMM CC[C@@]1(C(C)C)NC(=O)N(CN2CCC[C@@H]2CC(=O)[O-])C1=O ZINC000829043874 604974862 /nfs/dbraw/zinc/97/48/62/604974862.db2.gz GWZOIGVNVFWQJC-ABAIWWIYSA-N -1 1 311.382 1.240 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)CN1CCC(CC)(CO)CC1)C(=O)[O-] ZINC000833639939 605052510 /nfs/dbraw/zinc/05/25/10/605052510.db2.gz SADVPBWQUPTXDW-OCCSQVGLSA-N -1 1 314.426 1.086 20 0 DDADMM CC(C)(C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1cccs1 ZINC000833411280 605091401 /nfs/dbraw/zinc/09/14/01/605091401.db2.gz AEAUMATZHIEAJE-JTQLQIEISA-N -1 1 307.375 1.561 20 0 DDADMM COc1ccc([C@H](C)NC2CN(C(=O)[O-])C2)cc1NC(C)=O ZINC000829304210 605100838 /nfs/dbraw/zinc/10/08/38/605100838.db2.gz YFTQFGFFGYGIIZ-VIFPVBQESA-N -1 1 307.350 1.666 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C/c1cccs1 ZINC000833619486 605114042 /nfs/dbraw/zinc/11/40/42/605114042.db2.gz ICJFVGYDTGFIOC-ITKZLYELSA-N -1 1 308.403 1.769 20 0 DDADMM CC(C)(O)CN1CCN(C(=O)Nc2ccccc2C(=O)[O-])CC1 ZINC000322007472 605119680 /nfs/dbraw/zinc/11/96/80/605119680.db2.gz OKJQMGPIWHRVLT-UHFFFAOYSA-N -1 1 321.377 1.305 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@@H]1CC=CCC1 ZINC000833627368 605137574 /nfs/dbraw/zinc/13/75/74/605137574.db2.gz WXDOAKOELQGKAR-ZIAGYGMSSA-N -1 1 309.410 1.533 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)C(C)(C)c1ccccc1 ZINC000833623728 605156175 /nfs/dbraw/zinc/15/61/75/605156175.db2.gz SFYVNBPTQRYIHV-CQSZACIVSA-N -1 1 318.417 1.972 20 0 DDADMM C[C@@H]1C[C@@H](c2ccccc2)CN1CN1C[C@H](C(=O)[O-])CC1=O ZINC000833599365 605173066 /nfs/dbraw/zinc/17/30/66/605173066.db2.gz WLZZYATWATUCCW-BPLDGKMQSA-N -1 1 302.374 1.755 20 0 DDADMM COC(=O)c1cc(CN2CCCOC[C@H]2C)cc(C(=O)[O-])c1 ZINC000833712976 605207906 /nfs/dbraw/zinc/20/79/06/605207906.db2.gz POEYEDBMEKRRBJ-LLVKDONJSA-N -1 1 307.346 1.782 20 0 DDADMM C[C@H]1CN(C(=O)C2(c3ccccc3)CC2)CCN1CCC(=O)[O-] ZINC000833617375 605251472 /nfs/dbraw/zinc/25/14/72/605251472.db2.gz CTTFZMLRBVUIOZ-AWEZNQCLSA-N -1 1 316.401 1.726 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc3ccccc3[nH]2)CCN1CCC(=O)[O-] ZINC000833619630 605275347 /nfs/dbraw/zinc/27/53/47/605275347.db2.gz DBIKBFVMJOXRFK-GFCCVEGCSA-N -1 1 315.373 1.789 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N[C@@H]2CCC[C@@H]2CNC(=O)[O-])n[nH]1 ZINC000830036539 605294610 /nfs/dbraw/zinc/29/46/10/605294610.db2.gz SVTPPEYZTOHTBH-UFGOTCBOSA-N -1 1 323.397 1.385 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]2CN(CC(=O)Nc3ccccc3)C[C@@H]21 ZINC000834184341 605444072 /nfs/dbraw/zinc/44/40/72/605444072.db2.gz GOZSYBNLLOMZAS-AEGPPILISA-N -1 1 317.389 1.993 20 0 DDADMM O=C([O-])Nc1ccc(CNC[C@H]2CCCCS2(=O)=O)cn1 ZINC000834259600 605515879 /nfs/dbraw/zinc/51/58/79/605515879.db2.gz BDMUXSMIUCHJKA-LLVKDONJSA-N -1 1 313.379 1.228 20 0 DDADMM Cn1cnnc1CN[C@@H]1CN(C(=O)[O-])CC[C@@H]1c1ccccc1 ZINC000833958362 605576789 /nfs/dbraw/zinc/57/67/89/605576789.db2.gz YQAGDSZWCDFQDM-ZIAGYGMSSA-N -1 1 315.377 1.441 20 0 DDADMM COC[C@@](C)(CCO)NCc1cc(C(=O)[O-])ccc1Cl ZINC000833720736 605839405 /nfs/dbraw/zinc/83/94/05/605839405.db2.gz FBVWSKGZZVTFGD-CQSZACIVSA-N -1 1 301.770 1.915 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NCc1ccccc1F ZINC000833832364 605884326 /nfs/dbraw/zinc/88/43/26/605884326.db2.gz SPXBJAPALRWDJS-VXGBXAGGSA-N -1 1 323.368 1.420 20 0 DDADMM C[C@@H](C(=O)Nc1ccccc1)N1C[C@@H](CNC(=O)[O-])C[C@H]1C ZINC000833831756 605884975 /nfs/dbraw/zinc/88/49/75/605884975.db2.gz PPMSEBKCLRWHKU-FRRDWIJNSA-N -1 1 305.378 1.992 20 0 DDADMM O=C([O-])NC1C[C@H]2CCC[C@@H](C1)N2CC(=O)NCC1CCC1 ZINC000832235160 605938919 /nfs/dbraw/zinc/93/89/19/605938919.db2.gz YQUJGWCUIIYZRC-AGUYFDCRSA-N -1 1 309.410 1.556 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)[O-])C(=O)N[C@H](C)c1n[nH]c(C(C)C)n1 ZINC000833865156 605970363 /nfs/dbraw/zinc/97/03/63/605970363.db2.gz LWENWYJFCGWVIU-AEJSXWLSSA-N -1 1 311.386 1.788 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(Cc2ccnc(N(C)C)n2)C1 ZINC000833811566 606024184 /nfs/dbraw/zinc/02/41/84/606024184.db2.gz CTJSDEOFOQSXNG-NWDGAFQWSA-N -1 1 307.398 1.411 20 0 DDADMM O=C([O-])N1CC[C@H](C(=O)OC[C@H]2CCCN2Cc2ccco2)C1 ZINC000834284874 606093293 /nfs/dbraw/zinc/09/32/93/606093293.db2.gz ITGLQELJFZETAX-QWHCGFSZSA-N -1 1 322.361 1.787 20 0 DDADMM CC1(C)[C@@H]2OCC[C@H]2[C@@H]1OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820583258 606180029 /nfs/dbraw/zinc/18/00/29/606180029.db2.gz BOTGDWCOJWTTJK-ONOSFVFSSA-N -1 1 320.374 1.899 20 0 DDADMM CC1(C)[C@@H]2OCC[C@H]2[C@@H]1OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820583258 606180030 /nfs/dbraw/zinc/18/00/30/606180030.db2.gz BOTGDWCOJWTTJK-ONOSFVFSSA-N -1 1 320.374 1.899 20 0 DDADMM CC(C)[C@@H](CNc1nccnc1-c1nnn[n-]1)c1cccnc1 ZINC000820020091 606228688 /nfs/dbraw/zinc/22/86/88/606228688.db2.gz AZDBRBZLVHZZNF-GFCCVEGCSA-N -1 1 310.365 1.903 20 0 DDADMM CC(C)[C@@H](CNc1nccnc1-c1nn[n-]n1)c1cccnc1 ZINC000820020091 606228689 /nfs/dbraw/zinc/22/86/89/606228689.db2.gz AZDBRBZLVHZZNF-GFCCVEGCSA-N -1 1 310.365 1.903 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@H]1Cc2ccccc2O1 ZINC000823294611 606294874 /nfs/dbraw/zinc/29/48/74/606294874.db2.gz JUJZYTZSBKVEGR-CQSZACIVSA-N -1 1 323.312 1.515 20 0 DDADMM c1ncn(-c2cccc(Oc3ncccc3-c3nn[n-]n3)c2)n1 ZINC000823762115 606432967 /nfs/dbraw/zinc/43/29/67/606432967.db2.gz IYNKUXGGGDITMU-UHFFFAOYSA-N -1 1 306.289 1.635 20 0 DDADMM CS(=O)(=O)CCSc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821927422 606465070 /nfs/dbraw/zinc/46/50/70/606465070.db2.gz WCNXJXAZBIBOBV-UHFFFAOYSA-N -1 1 319.799 1.052 20 0 DDADMM CS(=O)(=O)CCSc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821927422 606465071 /nfs/dbraw/zinc/46/50/71/606465071.db2.gz WCNXJXAZBIBOBV-UHFFFAOYSA-N -1 1 319.799 1.052 20 0 DDADMM CN(CC(=O)OC(C)(C)C)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821593962 606544123 /nfs/dbraw/zinc/54/41/23/606544123.db2.gz RSZABRAIFYFZBW-UHFFFAOYSA-N -1 1 323.378 1.342 20 0 DDADMM CN(CC(=O)OC(C)(C)C)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821593962 606544124 /nfs/dbraw/zinc/54/41/24/606544124.db2.gz RSZABRAIFYFZBW-UHFFFAOYSA-N -1 1 323.378 1.342 20 0 DDADMM CC[C@](C)(CC(=O)OC)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820852617 606582094 /nfs/dbraw/zinc/58/20/94/606582094.db2.gz DJFMSVNLUNTQFX-CYBMUJFWSA-N -1 1 323.378 1.390 20 0 DDADMM CC[C@](C)(CC(=O)OC)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820852617 606582096 /nfs/dbraw/zinc/58/20/96/606582096.db2.gz DJFMSVNLUNTQFX-CYBMUJFWSA-N -1 1 323.378 1.390 20 0 DDADMM FC(F)COC1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000822732009 606643724 /nfs/dbraw/zinc/64/37/24/606643724.db2.gz HIFDONBJTWKECO-UHFFFAOYSA-N -1 1 316.699 1.385 20 0 DDADMM FC(F)COC1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000822732009 606643725 /nfs/dbraw/zinc/64/37/25/606643725.db2.gz HIFDONBJTWKECO-UHFFFAOYSA-N -1 1 316.699 1.385 20 0 DDADMM CCn1nc(C)cc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000821568118 606649546 /nfs/dbraw/zinc/64/95/46/606649546.db2.gz HYTHPRKKBOGYNI-UHFFFAOYSA-N -1 1 313.321 1.144 20 0 DDADMM CCn1nc(C)cc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000821568118 606649547 /nfs/dbraw/zinc/64/95/47/606649547.db2.gz HYTHPRKKBOGYNI-UHFFFAOYSA-N -1 1 313.321 1.144 20 0 DDADMM Cc1ccc(CCC(=O)OCc2ccnc(-c3nnn[n-]3)c2)o1 ZINC000822235274 606651088 /nfs/dbraw/zinc/65/10/88/606651088.db2.gz ZKNYSQAWAZJQKD-UHFFFAOYSA-N -1 1 313.317 1.839 20 0 DDADMM Cc1ccc(CCC(=O)OCc2ccnc(-c3nn[n-]n3)c2)o1 ZINC000822235274 606651089 /nfs/dbraw/zinc/65/10/89/606651089.db2.gz ZKNYSQAWAZJQKD-UHFFFAOYSA-N -1 1 313.317 1.839 20 0 DDADMM Clc1nsc(N2CC[C@@]3(CCOC3)C2)c1-c1nnn[n-]1 ZINC000822591814 606801914 /nfs/dbraw/zinc/80/19/14/606801914.db2.gz XBIPGSFKDRKSGY-LLVKDONJSA-N -1 1 312.786 1.593 20 0 DDADMM Clc1nsc(N2CC[C@@]3(CCOC3)C2)c1-c1nn[n-]n1 ZINC000822591814 606801915 /nfs/dbraw/zinc/80/19/15/606801915.db2.gz XBIPGSFKDRKSGY-LLVKDONJSA-N -1 1 312.786 1.593 20 0 DDADMM COC(=O)c1cccc(CNc2nccnc2-c2nnn[n-]2)c1 ZINC000821670316 606877571 /nfs/dbraw/zinc/87/75/71/606877571.db2.gz XQEQWRCYGSGLRC-UHFFFAOYSA-N -1 1 311.305 1.055 20 0 DDADMM COC(=O)c1cccc(CNc2nccnc2-c2nn[n-]n2)c1 ZINC000821670316 606877572 /nfs/dbraw/zinc/87/75/72/606877572.db2.gz XQEQWRCYGSGLRC-UHFFFAOYSA-N -1 1 311.305 1.055 20 0 DDADMM C[C@H]1N(C(=O)c2ccc(-c3nnn[n-]3)s2)CCOC1(C)C ZINC000820821717 606934962 /nfs/dbraw/zinc/93/49/62/606934962.db2.gz VERMBGWMZHQPTR-MRVPVSSYSA-N -1 1 307.379 1.568 20 0 DDADMM C[C@H]1N(C(=O)c2ccc(-c3nn[n-]n3)s2)CCOC1(C)C ZINC000820821717 606934964 /nfs/dbraw/zinc/93/49/64/606934964.db2.gz VERMBGWMZHQPTR-MRVPVSSYSA-N -1 1 307.379 1.568 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)Nc2nn(C(C)(C)C)cc2-c2nnn[n-]2)O1 ZINC000820673994 606958167 /nfs/dbraw/zinc/95/81/67/606958167.db2.gz AJMBKBLACXLRDT-SCZZXKLOSA-N -1 1 319.369 1.324 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)Nc2nn(C(C)(C)C)cc2-c2nn[n-]n2)O1 ZINC000820673994 606958168 /nfs/dbraw/zinc/95/81/68/606958168.db2.gz AJMBKBLACXLRDT-SCZZXKLOSA-N -1 1 319.369 1.324 20 0 DDADMM CC[C@@H](C)OCC(=O)Nc1nn(C(C)(C)C)cc1-c1nnn[n-]1 ZINC000825075231 607785316 /nfs/dbraw/zinc/78/53/16/607785316.db2.gz NKYKFOPKTDHDGN-SECBINFHSA-N -1 1 321.385 1.572 20 0 DDADMM CC[C@@H](C)OCC(=O)Nc1nn(C(C)(C)C)cc1-c1nn[n-]n1 ZINC000825075231 607785317 /nfs/dbraw/zinc/78/53/17/607785317.db2.gz NKYKFOPKTDHDGN-SECBINFHSA-N -1 1 321.385 1.572 20 0 DDADMM Cc1cc(NC(=O)COc2cccc(F)c2-c2nnn[n-]2)no1 ZINC000826270187 607864413 /nfs/dbraw/zinc/86/44/13/607864413.db2.gz OTYKZGDYZIRINI-UHFFFAOYSA-N -1 1 318.268 1.320 20 0 DDADMM Cc1cc(NC(=O)COc2cccc(F)c2-c2nn[n-]n2)no1 ZINC000826270187 607864414 /nfs/dbraw/zinc/86/44/14/607864414.db2.gz OTYKZGDYZIRINI-UHFFFAOYSA-N -1 1 318.268 1.320 20 0 DDADMM CC(=O)NCc1ccc(Nc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000823994545 607899483 /nfs/dbraw/zinc/89/94/83/607899483.db2.gz KWWVXLSOCJCNQS-UHFFFAOYSA-N -1 1 309.333 1.641 20 0 DDADMM CC(=O)NCc1ccc(Nc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000823994545 607899484 /nfs/dbraw/zinc/89/94/84/607899484.db2.gz KWWVXLSOCJCNQS-UHFFFAOYSA-N -1 1 309.333 1.641 20 0 DDADMM O=c1[nH]c2c(cc1CNc1cccc(-c3nnn[n-]3)n1)CCC2 ZINC000826500802 607900329 /nfs/dbraw/zinc/90/03/29/607900329.db2.gz MTAPRRIRONMNOZ-UHFFFAOYSA-N -1 1 309.333 1.463 20 0 DDADMM O=c1[nH]c2c(cc1CNc1cccc(-c3nn[n-]n3)n1)CCC2 ZINC000826500802 607900330 /nfs/dbraw/zinc/90/03/30/607900330.db2.gz MTAPRRIRONMNOZ-UHFFFAOYSA-N -1 1 309.333 1.463 20 0 DDADMM Clc1cccc(CCNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826353380 607988069 /nfs/dbraw/zinc/98/80/69/607988069.db2.gz MYYVMIHNHMLBHH-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM Clc1cccc(CCNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826353380 607988070 /nfs/dbraw/zinc/98/80/70/607988070.db2.gz MYYVMIHNHMLBHH-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM O=C(OCc1ncc(C2CC2)o1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826467969 608029473 /nfs/dbraw/zinc/02/94/73/608029473.db2.gz TUYPZKLLCLEMLD-UHFFFAOYSA-N -1 1 312.289 1.484 20 0 DDADMM O=C(OCc1ncc(C2CC2)o1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826467969 608029474 /nfs/dbraw/zinc/02/94/74/608029474.db2.gz TUYPZKLLCLEMLD-UHFFFAOYSA-N -1 1 312.289 1.484 20 0 DDADMM C[C@@]1(NC(=O)c2ccc(-c3nnn[n-]3)s2)CCO[C@@H]1C1CC1 ZINC000824830136 608140461 /nfs/dbraw/zinc/14/04/61/608140461.db2.gz IGKRHMXIEVHTSF-BXUZGUMPSA-N -1 1 319.390 1.616 20 0 DDADMM C[C@@]1(NC(=O)c2ccc(-c3nn[n-]n3)s2)CCO[C@@H]1C1CC1 ZINC000824830136 608140462 /nfs/dbraw/zinc/14/04/62/608140462.db2.gz IGKRHMXIEVHTSF-BXUZGUMPSA-N -1 1 319.390 1.616 20 0 DDADMM CCOC(=O)CN(CC(C)C)c1cccc(-c2nnn[n-]2)n1 ZINC000825511686 608293058 /nfs/dbraw/zinc/29/30/58/608293058.db2.gz AKDRXGHQXDHNBW-UHFFFAOYSA-N -1 1 304.354 1.287 20 0 DDADMM CCOC(=O)CN(CC(C)C)c1cccc(-c2nn[n-]n2)n1 ZINC000825511686 608293059 /nfs/dbraw/zinc/29/30/59/608293059.db2.gz AKDRXGHQXDHNBW-UHFFFAOYSA-N -1 1 304.354 1.287 20 0 DDADMM c1ccc2c(c1)C[C@@H](CNc1ccc(-c3nnn[n-]3)nn1)CO2 ZINC000826523352 608392716 /nfs/dbraw/zinc/39/27/16/608392716.db2.gz JSIHJWJJRYWEAQ-JTQLQIEISA-N -1 1 309.333 1.320 20 0 DDADMM c1ccc2c(c1)C[C@@H](CNc1ccc(-c3nn[n-]n3)nn1)CO2 ZINC000826523352 608392717 /nfs/dbraw/zinc/39/27/17/608392717.db2.gz JSIHJWJJRYWEAQ-JTQLQIEISA-N -1 1 309.333 1.320 20 0 DDADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)c1ccccc1 ZINC000824426315 608398227 /nfs/dbraw/zinc/39/82/27/608398227.db2.gz DAEBTRYCOZGURS-NSHDSACASA-N -1 1 310.365 1.594 20 0 DDADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)c1ccccc1 ZINC000824426315 608398228 /nfs/dbraw/zinc/39/82/28/608398228.db2.gz DAEBTRYCOZGURS-NSHDSACASA-N -1 1 310.365 1.594 20 0 DDADMM C[C@H](Nc1ccc(-c2nnn[n-]2)nn1)c1nc2ccccc2[nH]1 ZINC000824658448 608404681 /nfs/dbraw/zinc/40/46/81/608404681.db2.gz XMCMQZLCHSJPDY-QMMMGPOBSA-N -1 1 307.321 1.706 20 0 DDADMM C[C@H](Nc1ccc(-c2nn[n-]n2)nn1)c1nc2ccccc2[nH]1 ZINC000824658448 608404683 /nfs/dbraw/zinc/40/46/83/608404683.db2.gz XMCMQZLCHSJPDY-QMMMGPOBSA-N -1 1 307.321 1.706 20 0 DDADMM COc1c(C)cnc(CNc2ccc(-c3nnn[n-]3)nn2)c1C ZINC000826167339 608408538 /nfs/dbraw/zinc/40/85/38/608408538.db2.gz ZAICIWLUZUKZTL-UHFFFAOYSA-N -1 1 312.337 1.289 20 0 DDADMM COc1c(C)cnc(CNc2ccc(-c3nn[n-]n3)nn2)c1C ZINC000826167339 608408539 /nfs/dbraw/zinc/40/85/39/608408539.db2.gz ZAICIWLUZUKZTL-UHFFFAOYSA-N -1 1 312.337 1.289 20 0 DDADMM CC(C)(C)[C@@H]1OCCC[C@@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000824078052 608420926 /nfs/dbraw/zinc/42/09/26/608420926.db2.gz HIQVCTYKLTYSFZ-ZWNOBZJWSA-N -1 1 317.397 1.910 20 0 DDADMM CC(C)(C)[C@@H]1OCCC[C@@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000824078052 608420928 /nfs/dbraw/zinc/42/09/28/608420928.db2.gz HIQVCTYKLTYSFZ-ZWNOBZJWSA-N -1 1 317.397 1.910 20 0 DDADMM NC(=O)[C@H]1CCCCC[C@H]1Nc1cccc(-c2nnn[n-]2)n1 ZINC000826390100 608434741 /nfs/dbraw/zinc/43/47/41/608434741.db2.gz QAYJZZBPTDFUMG-VHSXEESVSA-N -1 1 301.354 1.108 20 0 DDADMM NC(=O)[C@H]1CCCCC[C@H]1Nc1cccc(-c2nn[n-]n2)n1 ZINC000826390100 608434742 /nfs/dbraw/zinc/43/47/42/608434742.db2.gz QAYJZZBPTDFUMG-VHSXEESVSA-N -1 1 301.354 1.108 20 0 DDADMM CCCN(CC(=O)OCC)Cc1ccc(-c2nn[n-]n2)s1 ZINC000825307591 608619801 /nfs/dbraw/zinc/61/98/01/608619801.db2.gz GIASMPVIDNJXDY-UHFFFAOYSA-N -1 1 309.395 1.703 20 0 DDADMM COC(=O)C1(N(C)Cc2ccc(-c3nnn[n-]3)o2)CCCCC1 ZINC000826124262 608698339 /nfs/dbraw/zinc/69/83/39/608698339.db2.gz QMLQVEIOSFDITH-UHFFFAOYSA-N -1 1 319.365 1.767 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC[C@H](OC(F)F)C1 ZINC000826472854 609293389 /nfs/dbraw/zinc/29/33/89/609293389.db2.gz YWERVKNZJSKEHF-VIFPVBQESA-N -1 1 324.291 1.106 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@H](OC(F)F)C1 ZINC000826472854 609293391 /nfs/dbraw/zinc/29/33/91/609293391.db2.gz YWERVKNZJSKEHF-VIFPVBQESA-N -1 1 324.291 1.106 20 0 DDADMM COC[C@H](CNc1snc(Cl)c1-c1nnn[n-]1)OC ZINC000826149744 609314772 /nfs/dbraw/zinc/31/47/72/609314772.db2.gz NSTDLEDAODBUQR-YFKPBYRVSA-N -1 1 304.763 1.050 20 0 DDADMM COC[C@H](CNc1snc(Cl)c1-c1nn[n-]n1)OC ZINC000826149744 609314774 /nfs/dbraw/zinc/31/47/74/609314774.db2.gz NSTDLEDAODBUQR-YFKPBYRVSA-N -1 1 304.763 1.050 20 0 DDADMM O=C(N[C@@H](CO)c1ccccc1)c1ccc(-c2nnn[n-]2)s1 ZINC000826427549 609395724 /nfs/dbraw/zinc/39/57/24/609395724.db2.gz UCLXJAOCUQURKF-JTQLQIEISA-N -1 1 315.358 1.392 20 0 DDADMM O=C(N[C@@H](CO)c1ccccc1)c1ccc(-c2nn[n-]n2)s1 ZINC000826427549 609395726 /nfs/dbraw/zinc/39/57/26/609395726.db2.gz UCLXJAOCUQURKF-JTQLQIEISA-N -1 1 315.358 1.392 20 0 DDADMM Fc1cc(CCNc2ccc(-c3nnn[n-]3)nn2)cc(F)c1F ZINC000826372446 609571517 /nfs/dbraw/zinc/57/15/17/609571517.db2.gz WTKSNZYQEVDWLJ-UHFFFAOYSA-N -1 1 321.266 1.729 20 0 DDADMM Fc1cc(CCNc2ccc(-c3nn[n-]n3)nn2)cc(F)c1F ZINC000826372446 609571520 /nfs/dbraw/zinc/57/15/20/609571520.db2.gz WTKSNZYQEVDWLJ-UHFFFAOYSA-N -1 1 321.266 1.729 20 0 DDADMM CCCN(CC(=O)N(C)C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900311234 663234408 /nfs/dbraw/zinc/23/44/08/663234408.db2.gz XHRWWVRUNOVHTD-UHFFFAOYSA-N -1 1 306.366 1.000 20 0 DDADMM Cc1cc(NC(=O)COC(=O)c2c([O-])cc(F)cc2F)no1 ZINC000745522355 699984165 /nfs/dbraw/zinc/98/41/65/699984165.db2.gz IRCUWTGQUWABQW-UHFFFAOYSA-N -1 1 312.228 1.762 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)[C@H](C)SC)co1 ZINC000797210070 699998930 /nfs/dbraw/zinc/99/89/30/699998930.db2.gz MYPRVYQPFHMOMM-SFYZADRCSA-N -1 1 307.393 1.485 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NC(=O)C1CC2(CC2)C1)c1cnn[nH]1 ZINC000976933726 696021885 /nfs/dbraw/zinc/02/18/85/696021885.db2.gz ZBVAHBAWURPNIS-VXGBXAGGSA-N -1 1 317.393 1.152 20 0 DDADMM C[C@@H](CC1CCCC1)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798270527 700054094 /nfs/dbraw/zinc/05/40/94/700054094.db2.gz PNDPEHGOLMBJPU-NSHDSACASA-N -1 1 307.398 1.226 20 0 DDADMM COc1ccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cn1 ZINC000008514279 696035722 /nfs/dbraw/zinc/03/57/22/696035722.db2.gz JCBMYVRSGIUTTF-UHFFFAOYSA-N -1 1 314.297 1.204 20 0 DDADMM CCC(Nc1cccc(C)c1C)=C1C(=O)[N-]C(=S)NC1=O ZINC000009770423 696037292 /nfs/dbraw/zinc/03/72/92/696037292.db2.gz ZSUZUTBHDKEMKW-UHFFFAOYSA-N -1 1 303.387 1.910 20 0 DDADMM CC(=O)c1ccccc1NC(C)=C1C(=O)[N-]C(=S)NC1=O ZINC000009951598 696038141 /nfs/dbraw/zinc/03/81/41/696038141.db2.gz CAOJBFUABSGMAJ-UHFFFAOYSA-N -1 1 303.343 1.106 20 0 DDADMM CSc1ccccc1NC(C)=C1C(=O)[N-]C(=S)NC1=O ZINC000009780176 696038178 /nfs/dbraw/zinc/03/81/78/696038178.db2.gz OMKHCDOXHUUPDI-UHFFFAOYSA-N -1 1 307.400 1.625 20 0 DDADMM Cc1nc(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)cs1 ZINC000021231852 696072408 /nfs/dbraw/zinc/07/24/08/696072408.db2.gz ZHDKLXOUPCCGLU-UHFFFAOYSA-N -1 1 318.354 1.494 20 0 DDADMM O=S(=O)([N-]N=c1nccc[nH]1)c1ccc(Cl)cc1F ZINC000044668131 696167455 /nfs/dbraw/zinc/16/74/55/696167455.db2.gz FLONCIWKBHNFIQ-UHFFFAOYSA-N -1 1 302.718 1.575 20 0 DDADMM CC(C)CC(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977599143 696229445 /nfs/dbraw/zinc/22/94/45/696229445.db2.gz JMMFOTVBWVDGGT-LBPRGKRZSA-N -1 1 305.378 1.506 20 0 DDADMM O=C(CN1CCc2sccc2C1)N[N-]C(=O)c1cccs1 ZINC000054670628 696277571 /nfs/dbraw/zinc/27/75/71/696277571.db2.gz VDRSBFOSBJHLKF-UHFFFAOYSA-N -1 1 321.427 1.629 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OC[C@H]1CCCO1 ZINC000057604267 696298487 /nfs/dbraw/zinc/29/84/87/696298487.db2.gz KFFNUFHMWAMSSR-GFCCVEGCSA-N -1 1 319.361 1.707 20 0 DDADMM Cn1c(CNC(=O)c2c[nH]nc2-c2ccccc2)n[n-]c1=S ZINC000066626114 696353903 /nfs/dbraw/zinc/35/39/03/696353903.db2.gz RGFLIRAQQVKFDL-UHFFFAOYSA-N -1 1 314.374 1.798 20 0 DDADMM Cn1c(CCNC(=O)c2cn3ccccc3n2)n[n-]c1=S ZINC000067051182 696358560 /nfs/dbraw/zinc/35/85/60/696358560.db2.gz JPRIWZYSKXIFOB-UHFFFAOYSA-N -1 1 302.363 1.098 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCc2cccc([N+](=O)[O-])c2)n1 ZINC000067725665 696363830 /nfs/dbraw/zinc/36/38/30/696363830.db2.gz SXQLUSBNGRROMS-UHFFFAOYSA-N -1 1 318.293 1.220 20 0 DDADMM CC1N=NC(C(=O)Nc2ccc(N3CCOC[C@H]3C)cc2)=C1[O-] ZINC000747660922 700080377 /nfs/dbraw/zinc/08/03/77/700080377.db2.gz SNYVZSCSDPTOOH-SNVBAGLBSA-N -1 1 316.361 1.901 20 0 DDADMM CN(C)c1nc([O-])c(CC(=O)Nc2ccc(N(C)C)cc2)s1 ZINC000077587948 696441585 /nfs/dbraw/zinc/44/15/85/696441585.db2.gz WXOJBLHOPKCMRN-LBPRGKRZSA-N -1 1 320.418 1.641 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cccc(N3CCCC3)c2)s1 ZINC000079634597 696462007 /nfs/dbraw/zinc/46/20/07/696462007.db2.gz ZNSCAIHSKARUQR-GFCCVEGCSA-N -1 1 318.402 1.572 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@@H]2C2CCCCC2)s1 ZINC000079642292 696462285 /nfs/dbraw/zinc/46/22/85/696462285.db2.gz CJYOROALIBALJR-NEPJUHHUSA-N -1 1 309.435 1.905 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc(CNC(=O)Cc2sc(N)nc2[O-])o1 ZINC000079646585 696462324 /nfs/dbraw/zinc/46/23/24/696462324.db2.gz QSCCOFLLARJOJK-POZPLHJXSA-N -1 1 307.375 1.366 20 0 DDADMM Cc1cccc2c1CC[C@H]2NC(=O)Cc1sc(N)nc1[O-] ZINC000080064043 696529841 /nfs/dbraw/zinc/52/98/41/696529841.db2.gz AQGUVNFIEUXREF-VXGBXAGGSA-N -1 1 303.387 1.445 20 0 DDADMM CCC[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccn1 ZINC000080035604 696530072 /nfs/dbraw/zinc/53/00/72/696530072.db2.gz AVWWQASADNBXPY-MNOVXSKESA-N -1 1 306.391 1.386 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@@H](c3ccccc3F)C2)s1 ZINC000080108302 696530649 /nfs/dbraw/zinc/53/06/49/696530649.db2.gz PRMYOCUCMDYWNQ-SKDRFNHKSA-N -1 1 321.377 1.488 20 0 DDADMM Cc1ccc(C[C@H](C)N(C)C(=O)Cc2sc(N)nc2[O-])cc1 ZINC000080397972 696534293 /nfs/dbraw/zinc/53/42/93/696534293.db2.gz KISMAAMRHGJXOF-WCQYABFASA-N -1 1 319.430 1.731 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@H](C)c2cccc(C#N)c2)n1 ZINC000080587696 696535788 /nfs/dbraw/zinc/53/57/88/696535788.db2.gz HGZPCPRGMMULQI-SNVBAGLBSA-N -1 1 312.333 1.744 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@@H]2c2ccc(Cl)cc2)s1 ZINC000080661513 696536480 /nfs/dbraw/zinc/53/64/80/696536480.db2.gz HCSFEGNZWUXKPP-GHMZBOCLSA-N -1 1 323.805 1.960 20 0 DDADMM NC(=O)CO[N-]C(=O)CCc1ncc(-c2ccc(Cl)cc2)o1 ZINC000089463627 696577012 /nfs/dbraw/zinc/57/70/12/696577012.db2.gz FWFQJWSIDYMUOP-UHFFFAOYSA-N -1 1 323.736 1.461 20 0 DDADMM CCc1nn(C)cc1C(=O)NCCc1n[n-]c(=S)n1C(C)C ZINC000092441777 696594749 /nfs/dbraw/zinc/59/47/49/696594749.db2.gz IJVXZFZMBCBJRI-UHFFFAOYSA-N -1 1 322.438 1.790 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)cc1C ZINC000798812500 700098638 /nfs/dbraw/zinc/09/86/38/700098638.db2.gz SMZHQYSMNTYXOU-AWEZNQCLSA-N -1 1 312.435 1.695 20 0 DDADMM Cc1ccccc1CS(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C ZINC000798896880 700104690 /nfs/dbraw/zinc/10/46/90/700104690.db2.gz FEPSZWQPRJCQQW-AWEZNQCLSA-N -1 1 312.435 1.527 20 0 DDADMM CC(C)[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000120852402 696702241 /nfs/dbraw/zinc/70/22/41/696702241.db2.gz YQYQEPZLHNOWQA-AAEUAGOBSA-N -1 1 305.403 1.847 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)c1ccc(Cl)o1 ZINC000120866790 696702783 /nfs/dbraw/zinc/70/27/83/696702783.db2.gz RESPORIGVGPLDB-UHFFFAOYSA-N -1 1 322.708 1.372 20 0 DDADMM Cc1ccc2c(c1)CCCN2C(=O)Cc1sc(N)nc1[O-] ZINC000120861016 696702965 /nfs/dbraw/zinc/70/29/65/696702965.db2.gz PRHQRAKGCDNYHM-GFCCVEGCSA-N -1 1 303.387 1.621 20 0 DDADMM CCC1(C(=O)OCCc2c(C)nc3[n-]cnn3c2=O)CCCC1 ZINC000130520398 696798741 /nfs/dbraw/zinc/79/87/41/696798741.db2.gz DPFZIACBJQTVEB-UHFFFAOYSA-N -1 1 318.377 1.782 20 0 DDADMM CCC[C@@H](NC(=O)c1ccnc(-n2ccnc2)c1)c1nn[n-]n1 ZINC000136641720 696855356 /nfs/dbraw/zinc/85/53/56/696855356.db2.gz UDYFLSJYKKGFPF-LLVKDONJSA-N -1 1 312.337 1.052 20 0 DDADMM CC[C@H](F)C[N@@H+]1CCCN(C(=O)c2c(C)nc[nH]c2=O)CC1 ZINC000981799304 696920471 /nfs/dbraw/zinc/92/04/71/696920471.db2.gz CPTGFKIQOFIDDS-LBPRGKRZSA-N -1 1 310.373 1.387 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(SC)cc2)C1 ZINC000748774124 700134386 /nfs/dbraw/zinc/13/43/86/700134386.db2.gz NIAVXUFYKKWVSC-NSHDSACASA-N -1 1 324.402 1.456 20 0 DDADMM O=C([N-]OCc1cccnc1)[C@@H]1CC(=O)N(C2CCCC2)C1 ZINC000160771554 697320179 /nfs/dbraw/zinc/32/01/79/697320179.db2.gz ATSQRMVZLCXAJL-CYBMUJFWSA-N -1 1 303.362 1.421 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)N1CCc2ccccc21)c1nn[n-]n1 ZINC000187262866 697543179 /nfs/dbraw/zinc/54/31/79/697543179.db2.gz WSQQIOPAOUNLDF-VXGBXAGGSA-N -1 1 314.393 1.213 20 0 DDADMM COc1cc(C(=O)OCCc2cc(C(C)=O)ccc2[O-])on1 ZINC000772343976 697623393 /nfs/dbraw/zinc/62/33/93/697623393.db2.gz NVCKRRVTBYJDAY-UHFFFAOYSA-N -1 1 305.286 1.991 20 0 DDADMM CC(Nc1cccnc1)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000192697266 697636480 /nfs/dbraw/zinc/63/64/80/697636480.db2.gz NJFWIHXFEVLKDF-ZRDIBKRKSA-N -1 1 318.402 1.667 20 0 DDADMM CCC(NCC(C)C)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000192695901 697636773 /nfs/dbraw/zinc/63/67/73/697636773.db2.gz RDBHCOZAUCCIJY-VAWYXSNFSA-N -1 1 311.451 1.795 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(COC)cc2)co1 ZINC000192804996 697640054 /nfs/dbraw/zinc/64/00/54/697640054.db2.gz RWSYTAZEFZOBLB-UHFFFAOYSA-N -1 1 324.358 1.586 20 0 DDADMM COC(=O)c1c[nH]c(SCCC[N-]C(=O)C(F)(F)F)n1 ZINC000193539914 697671841 /nfs/dbraw/zinc/67/18/41/697671841.db2.gz BEWDRKCFFKAVMC-UHFFFAOYSA-N -1 1 311.285 1.357 20 0 DDADMM O=Cc1ccc(C(=O)Nc2nc(Br)ccc2[O-])[nH]1 ZINC000194575291 697714494 /nfs/dbraw/zinc/71/44/94/697714494.db2.gz VHHOZLUHTVNNCL-UHFFFAOYSA-N -1 1 310.107 1.943 20 0 DDADMM CON(C)CCNC(=O)c1cc(Br)ccc1[O-] ZINC000773160757 697721385 /nfs/dbraw/zinc/72/13/85/697721385.db2.gz CPUZJIYCMNOPPP-UHFFFAOYSA-N -1 1 303.156 1.378 20 0 DDADMM CC(C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)=C1CCC1 ZINC000986288836 697768725 /nfs/dbraw/zinc/76/87/25/697768725.db2.gz VWTBRPPZINWKEQ-JQWIXIFHSA-N -1 1 305.382 1.090 20 0 DDADMM COc1ccc(C=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1O ZINC000773999894 697832888 /nfs/dbraw/zinc/83/28/88/697832888.db2.gz XDVRFOHJQZBRJZ-BXKUYDPTSA-N -1 1 317.349 1.189 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2cc(Cl)ccn2)c1Cl ZINC000775594887 698013775 /nfs/dbraw/zinc/01/37/75/698013775.db2.gz MPOADUUAPHMYCK-UHFFFAOYSA-N -1 1 321.189 1.600 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000776566965 698118332 /nfs/dbraw/zinc/11/83/32/698118332.db2.gz YVGFSYAXZNBGFL-CABZTGNLSA-N -1 1 321.381 1.759 20 0 DDADMM O=C(c1cccnc1Cl)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776566738 698118354 /nfs/dbraw/zinc/11/83/54/698118354.db2.gz XMGQVCMNIKNROV-QMMMGPOBSA-N -1 1 310.770 1.178 20 0 DDADMM CN1CC[C@H]([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)C1 ZINC000777977709 698234869 /nfs/dbraw/zinc/23/48/69/698234869.db2.gz WGZAEAOYSNNOJO-ZETCQYMHSA-N -1 1 310.206 1.371 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2CCO[C@H](C(C)C)C2)cc1 ZINC000778244164 698252978 /nfs/dbraw/zinc/25/29/78/698252978.db2.gz XIQWCEBCLOLOLP-HNNXBMFYSA-N -1 1 320.389 1.308 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CCCCCC1 ZINC000988350441 698333708 /nfs/dbraw/zinc/33/37/08/698333708.db2.gz LEAIUARNRQULPF-AAEUAGOBSA-N -1 1 321.425 1.560 20 0 DDADMM CC(C)(NC(=O)NC[C@@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000780344076 698509283 /nfs/dbraw/zinc/50/92/83/698509283.db2.gz GOIDXFWOCQCXRF-NSHDSACASA-N -1 1 309.366 1.579 20 0 DDADMM CC[C@H](NC(=O)NC[C@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000780344431 698509408 /nfs/dbraw/zinc/50/94/08/698509408.db2.gz PGMBAXGMBJBRCA-QWHCGFSZSA-N -1 1 323.393 1.969 20 0 DDADMM C[C@H]1CCCN1CC[N-]S(=O)(=O)c1c(F)cc(F)cc1F ZINC000780867225 698565892 /nfs/dbraw/zinc/56/58/92/698565892.db2.gz NMJCUZKHVITWQA-VIFPVBQESA-N -1 1 322.352 1.867 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CCC=CO2)c(F)c1 ZINC000780898633 698568954 /nfs/dbraw/zinc/56/89/54/698568954.db2.gz HJEFFKFRAYJODU-SECBINFHSA-N -1 1 319.329 1.944 20 0 DDADMM COc1cccc([C@H](CNC(=O)C[C@H](C)CC(=O)[O-])N(C)C)c1 ZINC000321077335 698724957 /nfs/dbraw/zinc/72/49/57/698724957.db2.gz HFEVGGCQLQYMQS-WFASDCNBSA-N -1 1 322.405 1.915 20 0 DDADMM Cc1ccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cc1 ZINC000989710214 698736554 /nfs/dbraw/zinc/73/65/54/698736554.db2.gz CEEUGWUUDCLDKZ-AAEUAGOBSA-N -1 1 315.377 1.212 20 0 DDADMM CCOC(=O)[C@@H](CO)[N-]C(=O)C(F)(F)c1cccc(Cl)c1 ZINC000782761384 698767311 /nfs/dbraw/zinc/76/73/11/698767311.db2.gz UCXSGBQPGNOFRG-SNVBAGLBSA-N -1 1 321.707 1.472 20 0 DDADMM C[C@H]1C[C@@H](C(=O)Nc2nc(Br)ccc2[O-])CO1 ZINC000784790941 699040212 /nfs/dbraw/zinc/04/02/12/699040212.db2.gz ADNFJOULYNDXCE-NKWVEPMBSA-N -1 1 301.140 1.913 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@H]1CCCOC1 ZINC000389190927 699087782 /nfs/dbraw/zinc/08/77/82/699087782.db2.gz MUHNXMZAJWIISR-IUCAKERBSA-N -1 1 307.803 1.167 20 0 DDADMM CN1CCC[C@@H](NC(=O)N2CCc3ccccc3[C@H]2C(=O)[O-])C1 ZINC000390836902 699096327 /nfs/dbraw/zinc/09/63/27/699096327.db2.gz ABNMJTIYDCKAQC-HIFRSBDPSA-N -1 1 317.389 1.474 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CC(C)(C)OC)cc1 ZINC000787128303 699192548 /nfs/dbraw/zinc/19/25/48/699192548.db2.gz DULBXECMJOPRTD-UHFFFAOYSA-N -1 1 323.345 1.310 20 0 DDADMM O=C(C[C@H]1CC=CCC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990976280 699197658 /nfs/dbraw/zinc/19/76/58/699197658.db2.gz ZQRFMENTZZMHNU-LBPRGKRZSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H]1CN(Cc2cn(CC(=O)[O-])nn2)CC[C@H]1c1ccccc1 ZINC000517241936 699208726 /nfs/dbraw/zinc/20/87/26/699208726.db2.gz XLLRWEDSZZRABB-XJKSGUPXSA-N -1 1 314.389 1.988 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C2CCC(C)(O)CC2)c1Cl ZINC000703178902 699235554 /nfs/dbraw/zinc/23/55/54/699235554.db2.gz DWNAWDXCGFZORX-UHFFFAOYSA-N -1 1 307.803 1.045 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)C(F)F)[C@H](O)c1ccc2c(c1)OCO2 ZINC000787737157 699236721 /nfs/dbraw/zinc/23/67/21/699236721.db2.gz LJYSERWXLKKWOJ-WKEGUHRASA-N -1 1 323.242 1.854 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@@H]2C[C@H]2C2CC2)c1Cl ZINC000712782080 699264481 /nfs/dbraw/zinc/26/44/81/699264481.db2.gz RHDYJWXZWRXSCV-ZJUUUORDSA-N -1 1 303.815 1.788 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2CC[C@H](C3CC3)O2)c1Cl ZINC000722335441 699317211 /nfs/dbraw/zinc/31/72/11/699317211.db2.gz XVKROKDLYDNJRT-NXEZZACHSA-N -1 1 319.814 1.309 20 0 DDADMM COc1nnc([N-]C(=O)c2nnc3ccccc3c2O)s1 ZINC000788615906 699327477 /nfs/dbraw/zinc/32/74/77/699327477.db2.gz JBWVNGCPIVUMCN-UHFFFAOYSA-N -1 1 303.303 1.448 20 0 DDADMM CC(C)c1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000727849775 699428241 /nfs/dbraw/zinc/42/82/41/699428241.db2.gz MIMONEYHUFUXMQ-UHFFFAOYSA-N -1 1 315.373 1.367 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)Cc1csc(C)n1 ZINC000790025206 699435002 /nfs/dbraw/zinc/43/50/02/699435002.db2.gz ZGCSOKLBKARZIN-UHFFFAOYSA-N -1 1 306.409 1.214 20 0 DDADMM CCC[C@H]1CCCC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000728310218 699443866 /nfs/dbraw/zinc/44/38/66/699443866.db2.gz NWJAJOXSYCIKNC-STQMWFEESA-N -1 1 307.394 1.402 20 0 DDADMM C[C@@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1F ZINC000732089634 699546093 /nfs/dbraw/zinc/54/60/93/699546093.db2.gz KVWAPRWCZRMMIO-SKDRFNHKSA-N -1 1 305.313 1.042 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc(Cl)cc1C=O ZINC000732215098 699550569 /nfs/dbraw/zinc/55/05/69/699550569.db2.gz CYXMIIDZIXOIPB-UHFFFAOYSA-N -1 1 300.723 1.952 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccccc3-n3ccnn3)ccnc1-2 ZINC000791285069 699619502 /nfs/dbraw/zinc/61/95/02/699619502.db2.gz BWZJBXBKKPQZND-UHFFFAOYSA-N -1 1 319.328 1.175 20 0 DDADMM CC[S@](=O)CC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000735082557 699676734 /nfs/dbraw/zinc/67/67/34/699676734.db2.gz ZLCBXQNRYGWZHB-SFHVURJKSA-N -1 1 315.338 1.151 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2cccc(Cl)c2F)CCCC1 ZINC000735919366 699710717 /nfs/dbraw/zinc/71/07/17/699710717.db2.gz HIRYCWFMPDIPPT-UHFFFAOYSA-N -1 1 320.773 1.556 20 0 DDADMM C[C@H]1CCC[C@H](OCC(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC000736258929 699721982 /nfs/dbraw/zinc/72/19/82/699721982.db2.gz CTPVXWJNOXNTDU-AAEUAGOBSA-N -1 1 307.398 1.501 20 0 DDADMM CO[C@](C)(C(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccc1 ZINC000736957979 699735624 /nfs/dbraw/zinc/73/56/24/699735624.db2.gz ZFMWDTOPFYTLMW-INIZCTEOSA-N -1 1 315.377 1.468 20 0 DDADMM O=C(c1cc2c(s1)CCCC2)N1CCOC[C@H]1c1nn[n-]n1 ZINC000738248582 699755990 /nfs/dbraw/zinc/75/59/90/699755990.db2.gz OPNFKNIPEOGNIZ-JTQLQIEISA-N -1 1 319.390 1.354 20 0 DDADMM O=C(c1ccc2ccccc2c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000738271939 699756133 /nfs/dbraw/zinc/75/61/33/699756133.db2.gz WYJSHUFTEXAGBW-AWEZNQCLSA-N -1 1 309.329 1.567 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cccc(-n2ccnc2)c1 ZINC000738391166 699758957 /nfs/dbraw/zinc/75/89/57/699758957.db2.gz GCCSJGMFCSHCOK-UHFFFAOYSA-N -1 1 304.331 1.672 20 0 DDADMM C[C@@H](N[C@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O)C(=O)[O-] ZINC000739032704 699770123 /nfs/dbraw/zinc/77/01/23/699770123.db2.gz NNGSATRMCCOKHB-KCJUWKMLSA-N -1 1 316.279 1.873 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](CO)CC1CCC1 ZINC000794124083 699794179 /nfs/dbraw/zinc/79/41/79/699794179.db2.gz ORHCOOWNHZTJKL-LBPRGKRZSA-N -1 1 307.394 1.895 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCOC2CCC2)c(F)c1 ZINC000742336953 699874613 /nfs/dbraw/zinc/87/46/13/699874613.db2.gz RSEWGRPFAPDRRT-UHFFFAOYSA-N -1 1 321.345 1.821 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cccc(C(=O)OC)c2)[n-]1 ZINC000796344294 699927892 /nfs/dbraw/zinc/92/78/92/699927892.db2.gz FPQJTKZWBXTRFA-UHFFFAOYSA-N -1 1 317.297 1.945 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCCC(=O)N2CCCCC2)[n-]1 ZINC000796360744 699928982 /nfs/dbraw/zinc/92/89/82/699928982.db2.gz FMNYGUAMTXSHDW-UHFFFAOYSA-N -1 1 322.361 1.751 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)NCCC(C)C)[n-]1 ZINC000796363554 699929358 /nfs/dbraw/zinc/92/93/58/699929358.db2.gz UFCXGQKAPMIFOG-JTQLQIEISA-N -1 1 310.350 1.509 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nc(-c3ccco3)no2)[n-]1 ZINC000796369397 699930094 /nfs/dbraw/zinc/93/00/94/699930094.db2.gz NGQOIULEXZNPER-UHFFFAOYSA-N -1 1 317.257 1.801 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](CO)C2CC2)c(F)c1 ZINC000751422334 700286969 /nfs/dbraw/zinc/28/69/69/700286969.db2.gz JELYLRJIQCBVPA-NSHDSACASA-N -1 1 307.318 1.023 20 0 DDADMM O=C(O[C@@H]1CCOC1=O)c1nn(-c2cccc(Cl)c2)cc1[O-] ZINC000801359731 700301137 /nfs/dbraw/zinc/30/11/37/700301137.db2.gz LKMKTNQYEHUARD-LLVKDONJSA-N -1 1 322.704 1.704 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCc3ccn(C)n3)n2)cc1 ZINC000801367739 700301429 /nfs/dbraw/zinc/30/14/29/700301429.db2.gz MLCPREQPHRRISY-UHFFFAOYSA-N -1 1 312.329 1.977 20 0 DDADMM Cc1ccc(F)c(OCC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000801701233 700331834 /nfs/dbraw/zinc/33/18/34/700331834.db2.gz DGHAJLMHQJKATG-UHFFFAOYSA-N -1 1 314.320 1.807 20 0 DDADMM C[C@@H](COC(=O)c1c([O-])cc(F)cc1F)CS(C)(=O)=O ZINC000758009064 700680771 /nfs/dbraw/zinc/68/07/71/700680771.db2.gz ZBQLLJWEJJDRPY-ZETCQYMHSA-N -1 1 308.302 1.508 20 0 DDADMM O=S(=O)([N-]Cc1ccno1)c1c(Cl)ccnc1Cl ZINC000758536975 700710860 /nfs/dbraw/zinc/71/08/60/700710860.db2.gz CGHBJPQOKHKCHY-UHFFFAOYSA-N -1 1 308.146 1.855 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H]1CCC(=O)Nc1nnn[n-]1 ZINC000759127388 700738504 /nfs/dbraw/zinc/73/85/04/700738504.db2.gz RPEMFNRJFDDPHK-VIFPVBQESA-N -1 1 310.358 1.318 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H]1CCC(=O)Nc1nn[n-]n1 ZINC000759127388 700738505 /nfs/dbraw/zinc/73/85/05/700738505.db2.gz RPEMFNRJFDDPHK-VIFPVBQESA-N -1 1 310.358 1.318 20 0 DDADMM O=S(=O)(C[C@H]1CCCCO1)[N-][C@H]1CCCCC12OCCO2 ZINC000759758245 700770242 /nfs/dbraw/zinc/77/02/42/700770242.db2.gz OOMUZHJKHOVOTO-OLZOCXBDSA-N -1 1 319.423 1.161 20 0 DDADMM O=C(CNC(=O)c1c(F)ccc([O-])c1F)NCc1ccccc1 ZINC000809606972 701673627 /nfs/dbraw/zinc/67/36/27/701673627.db2.gz LEOXQLKBXBFFFW-UHFFFAOYSA-N -1 1 320.295 1.717 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H]2CCNC(=O)C2)c1 ZINC000761217144 700850920 /nfs/dbraw/zinc/85/09/20/700850920.db2.gz WRZDMVLPNRZSOF-ZDUSSCGKSA-N -1 1 305.330 1.207 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](COC)C(C)C)o1 ZINC000761241203 700852384 /nfs/dbraw/zinc/85/23/84/700852384.db2.gz MAAKCTJTVKMWJA-JTQLQIEISA-N -1 1 305.352 1.016 20 0 DDADMM Cn1c(Cl)ncc1S(=O)(=O)[N-]c1c(F)cccc1CO ZINC000762474232 700893539 /nfs/dbraw/zinc/89/35/39/700893539.db2.gz PCWQCDIQIMTBRP-UHFFFAOYSA-N -1 1 319.745 1.506 20 0 DDADMM Cc1oc(-c2cccs2)nc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765447316 701013100 /nfs/dbraw/zinc/01/31/00/701013100.db2.gz VXTLGQHTTTXEMA-UHFFFAOYSA-N -1 1 320.330 1.490 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2ccccc2C(F)(F)F)nc1=O ZINC000765467411 701014369 /nfs/dbraw/zinc/01/43/69/701014369.db2.gz PSFGGLPLJJFANM-UHFFFAOYSA-N -1 1 315.251 1.413 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2CCc3c2cccc3Cl)nc1=O ZINC000765501303 701016383 /nfs/dbraw/zinc/01/63/83/701016383.db2.gz GBIRZVSXGGGNOM-JTQLQIEISA-N -1 1 307.737 1.535 20 0 DDADMM C/C(=C\c1cccc(Cl)c1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765498383 701016406 /nfs/dbraw/zinc/01/64/06/701016406.db2.gz VZDUICREPUQCIG-RMKNXTFCSA-N -1 1 307.737 1.909 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(C(F)(F)F)cc2O)nc1=O ZINC000765504491 701016638 /nfs/dbraw/zinc/01/66/38/701016638.db2.gz YXKRHXJPPKFXEP-UHFFFAOYSA-N -1 1 317.223 1.190 20 0 DDADMM C[C@H](CO)COC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000766691788 701059527 /nfs/dbraw/zinc/05/95/27/701059527.db2.gz QMSKKZMZLSBLQM-SECBINFHSA-N -1 1 319.379 1.142 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)N3CCCC3)CC2)n1 ZINC000766860535 701066537 /nfs/dbraw/zinc/06/65/37/701066537.db2.gz JOFRLWKKMBYMSX-UHFFFAOYSA-N -1 1 321.381 1.377 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H](O)c2cccnc2)c1 ZINC000803954231 701158385 /nfs/dbraw/zinc/15/83/85/701158385.db2.gz MBLVPMBKKAXUQB-INIZCTEOSA-N -1 1 315.325 1.809 20 0 DDADMM CN(C)C(=O)CN1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000768200362 701163429 /nfs/dbraw/zinc/16/34/29/701163429.db2.gz NACYERNTNKPKHO-UHFFFAOYSA-N -1 1 311.813 1.251 20 0 DDADMM CC(C)N(CCS(C)(=O)=O)C(=O)c1ccc([O-])c(F)c1 ZINC000768324420 701169401 /nfs/dbraw/zinc/16/94/01/701169401.db2.gz ZTHQIADPDWMFBI-UHFFFAOYSA-N -1 1 303.355 1.427 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CCC[C@@H]2C[C@@H]21 ZINC000804301770 701177677 /nfs/dbraw/zinc/17/76/77/701177677.db2.gz VBCPERYYVSSMEC-HIFRSBDPSA-N -1 1 324.384 1.694 20 0 DDADMM CCn1cc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)cn1 ZINC000804679927 701207056 /nfs/dbraw/zinc/20/70/56/701207056.db2.gz RZSDANHOOGRYLJ-UHFFFAOYSA-N -1 1 302.363 1.164 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H](CO)c2ccsc2)c1Cl ZINC000770274398 701273282 /nfs/dbraw/zinc/27/32/82/701273282.db2.gz HASASTSTMOJHPQ-QMMMGPOBSA-N -1 1 321.811 1.147 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)c2ncc(C)o2)co1 ZINC000771025117 701304823 /nfs/dbraw/zinc/30/48/23/701304823.db2.gz HFJDUOIZNXJCFJ-MRVPVSSYSA-N -1 1 314.319 1.402 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCO[C@H](c2ncon2)C1 ZINC000771465251 701320540 /nfs/dbraw/zinc/32/05/40/701320540.db2.gz QIYPTFOYMBWXHT-JTQLQIEISA-N -1 1 309.709 1.642 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@]1(C)CCCOC1 ZINC000771818885 701332919 /nfs/dbraw/zinc/33/29/19/701332919.db2.gz IEYYMMFHNQHGRI-NSHDSACASA-N -1 1 307.803 1.229 20 0 DDADMM COC(=O)C(C)(C)C(=O)[C@@H](C)OC(=O)c1ccc([O-])cc1F ZINC000805522465 701389566 /nfs/dbraw/zinc/38/95/66/701389566.db2.gz AHYYRNGYSRHBNO-MRVPVSSYSA-N -1 1 312.293 1.845 20 0 DDADMM Cn1nc(C(F)(F)F)c(COC(=O)c2cn[n-]n2)c1Cl ZINC000805602825 701397482 /nfs/dbraw/zinc/39/74/82/701397482.db2.gz BTDQOQUTZYLJOZ-UHFFFAOYSA-N -1 1 309.635 1.567 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)Cc1ccccc1Br ZINC000805604490 701398082 /nfs/dbraw/zinc/39/80/82/701398082.db2.gz KYIKUJPTHATISW-UHFFFAOYSA-N -1 1 324.134 1.536 20 0 DDADMM O=C(OCc1cc(Cl)c2c(c1)OCCCO2)c1cn[n-]n1 ZINC000805605737 701398532 /nfs/dbraw/zinc/39/85/32/701398532.db2.gz NOZXLKPATCYZCJ-UHFFFAOYSA-N -1 1 309.709 1.976 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)N[C@@H](C)c1ccc(F)cc1 ZINC000805605383 701398656 /nfs/dbraw/zinc/39/86/56/701398656.db2.gz LGBXFJAZFXLJAT-IUCAKERBSA-N -1 1 306.297 1.367 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805606456 701399159 /nfs/dbraw/zinc/39/91/59/701399159.db2.gz IUAGGWGZUMTWCE-VHSXEESVSA-N -1 1 318.333 1.236 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)NC[C@@H](C)c1ccccc1 ZINC000805606882 701399514 /nfs/dbraw/zinc/39/95/14/701399514.db2.gz CIIJYRWPPXWRLL-GHMZBOCLSA-N -1 1 302.334 1.270 20 0 DDADMM COc1ccc(Br)cc1COC(=O)c1cn[n-]n1 ZINC000805607069 701399536 /nfs/dbraw/zinc/39/95/36/701399536.db2.gz GEECVZFAQRVHNY-UHFFFAOYSA-N -1 1 312.123 1.933 20 0 DDADMM Cc1ccc(Cl)cc1NC(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805608491 701399849 /nfs/dbraw/zinc/39/98/49/701399849.db2.gz FNUZDGOSJXODNF-MRVPVSSYSA-N -1 1 308.725 1.951 20 0 DDADMM C[C@@H](c1ccc(F)cc1)N(C)C(=O)COC(=O)c1cn[n-]n1 ZINC000805609043 701399941 /nfs/dbraw/zinc/39/99/41/701399941.db2.gz MEUZOYMGOZRHCU-VIFPVBQESA-N -1 1 306.297 1.320 20 0 DDADMM COC[C@@H]1CCCCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806483655 701437233 /nfs/dbraw/zinc/43/72/33/701437233.db2.gz MEUXNSPDQUEKAI-GFCCVEGCSA-N -1 1 317.393 1.622 20 0 DDADMM CN(C)c1cccc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000806488012 701437567 /nfs/dbraw/zinc/43/75/67/701437567.db2.gz LMRISSACMSLBFA-UHFFFAOYSA-N -1 1 324.388 1.730 20 0 DDADMM CN(Cc1nccs1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806491423 701437766 /nfs/dbraw/zinc/43/77/66/701437766.db2.gz JPHXMPFUNLALCS-UHFFFAOYSA-N -1 1 302.363 1.462 20 0 DDADMM Cc1nn(C)cc1[C@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806493319 701438147 /nfs/dbraw/zinc/43/81/47/701438147.db2.gz SWJOXWAEHFYWMM-VIFPVBQESA-N -1 1 313.365 1.266 20 0 DDADMM O=C(Nc1ccn(Cc2ccccn2)n1)C(=O)c1ccc([O-])cc1 ZINC000806720643 701446796 /nfs/dbraw/zinc/44/67/96/701446796.db2.gz ZUBZUSCVDDPUIO-UHFFFAOYSA-N -1 1 322.324 1.853 20 0 DDADMM O=C([N-]c1nc(C2CC2)no1)c1cnc(OCC2CC2)cn1 ZINC000806871971 701451855 /nfs/dbraw/zinc/45/18/55/701451855.db2.gz JRSFVPLIGSVSIK-UHFFFAOYSA-N -1 1 301.306 1.778 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)c1ccnc(C(F)(F)F)c1 ZINC000808550118 701523485 /nfs/dbraw/zinc/52/34/85/701523485.db2.gz FIAMSXQCWMUJIE-UHFFFAOYSA-N -1 1 300.196 1.258 20 0 DDADMM COC(=O)C1CC([N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000867771696 701740626 /nfs/dbraw/zinc/74/06/26/701740626.db2.gz DCMKVMWJXCLQKE-UHFFFAOYSA-N -1 1 305.302 1.195 20 0 DDADMM CC(C)(CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CC1 ZINC000830953724 706610095 /nfs/dbraw/zinc/61/00/95/706610095.db2.gz YGWZQRWURYZIJJ-UHFFFAOYSA-N -1 1 305.378 1.697 20 0 DDADMM Cc1ccccc1CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830966168 706612757 /nfs/dbraw/zinc/61/27/57/706612757.db2.gz PBMBPTKBDJFSBP-UHFFFAOYSA-N -1 1 313.357 1.422 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1(C)C ZINC000831039070 706625076 /nfs/dbraw/zinc/62/50/76/706625076.db2.gz SWUITCTXEJOWES-CNUIFLNQSA-N -1 1 307.803 1.401 20 0 DDADMM Cc1ccc2sc(C(=O)OCc3nc(=O)n(C)[n-]3)cc2c1 ZINC000811347966 701966824 /nfs/dbraw/zinc/96/68/24/701966824.db2.gz KPRBUEUPQQWXDE-UHFFFAOYSA-N -1 1 303.343 1.989 20 0 DDADMM C[C@H]1CCC[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868220361 702007185 /nfs/dbraw/zinc/00/71/85/702007185.db2.gz DEPVQKHVYLDYAI-GXSJLCMTSA-N -1 1 306.366 1.243 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2ncc(C(C)(C)O)s2)on1 ZINC000811618577 702018089 /nfs/dbraw/zinc/01/80/89/702018089.db2.gz VQDOCKJWFRGWCS-UHFFFAOYSA-N -1 1 311.319 1.397 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C)CN(C)C(=O)OC(C)(C)C ZINC000816741299 702173730 /nfs/dbraw/zinc/17/37/30/702173730.db2.gz GLICRQKXWCJPJP-MRVPVSSYSA-N -1 1 312.370 1.865 20 0 DDADMM O=C(Cc1ccc(F)cc1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162524 706647582 /nfs/dbraw/zinc/64/75/82/706647582.db2.gz PKIMDDFWIBWORU-UHFFFAOYSA-N -1 1 318.270 1.505 20 0 DDADMM CC(C)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[N-]OC1CCC1 ZINC000812789816 702239051 /nfs/dbraw/zinc/23/90/51/702239051.db2.gz CUCJEORCIFXKNE-ZDUSSCGKSA-N -1 1 308.382 1.083 20 0 DDADMM O=C([N-]OC1CCC1)[C@H]1CC(=O)N(c2ccc(F)cc2F)C1 ZINC000812788715 702239195 /nfs/dbraw/zinc/23/91/95/702239195.db2.gz WTIZYECIRNFHLT-VIFPVBQESA-N -1 1 310.300 1.918 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H](c1ccccc1)C(F)F ZINC000817223684 702308802 /nfs/dbraw/zinc/30/88/02/702308802.db2.gz ZGHKVJYIZLYBHA-LBPRGKRZSA-N -1 1 323.299 1.049 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)[N-]N1C(=O)c2ccccc2C1=O ZINC000841421451 702443302 /nfs/dbraw/zinc/44/33/02/702443302.db2.gz LFJLMBXZYRXFQS-UHFFFAOYSA-N -1 1 312.329 1.783 20 0 DDADMM CC[C@H](c1ccccc1)S(=O)(=O)[N-]C(=O)CCc1nc[nH]n1 ZINC000814016418 702461043 /nfs/dbraw/zinc/46/10/43/702461043.db2.gz PDHKPXUDWPQQSO-GFCCVEGCSA-N -1 1 322.390 1.335 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC1CCCCC1)c1c[nH]nc1Cl ZINC000841575159 702503651 /nfs/dbraw/zinc/50/36/51/702503651.db2.gz NTWWNCVCFCKUNI-SNVBAGLBSA-N -1 1 321.830 1.673 20 0 DDADMM Cc1cc(C)cc(-n2ncc(CNCC3(C(=O)[O-])CC3)n2)c1 ZINC000841808420 702570188 /nfs/dbraw/zinc/57/01/88/702570188.db2.gz LFEMZCCGVOBWCV-UHFFFAOYSA-N -1 1 300.362 1.839 20 0 DDADMM CC[C@@H](C)[C@@H](C)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869470777 702617103 /nfs/dbraw/zinc/61/71/03/702617103.db2.gz QFHFBOIVDNAYQG-RKDXNWHRSA-N -1 1 311.300 1.850 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C1=CCCCC1 ZINC000869478546 702620449 /nfs/dbraw/zinc/62/04/49/702620449.db2.gz HWLBKOSKAWPWLO-UHFFFAOYSA-N -1 1 307.268 1.668 20 0 DDADMM CC[C@H](C)CCC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843015436 702803889 /nfs/dbraw/zinc/80/38/89/702803889.db2.gz WCJJULDWZLWABH-LBPRGKRZSA-N -1 1 304.456 1.743 20 0 DDADMM CC(=O)N1CCC[C@H](c2noc(-c3ccc(N)cc3[O-])n2)C1 ZINC000843190814 702838077 /nfs/dbraw/zinc/83/80/77/702838077.db2.gz SGNXIJBSILRZJF-JTQLQIEISA-N -1 1 302.334 1.750 20 0 DDADMM C[C@H](NC(=O)CCCC(=O)[O-])C1(N2CCOCC2)CCCC1 ZINC000844243445 702998228 /nfs/dbraw/zinc/99/82/28/702998228.db2.gz XNKVFYXCXZJVQZ-ZDUSSCGKSA-N -1 1 312.410 1.391 20 0 DDADMM Cc1ccc(C[N-]S(=O)(=O)N=S2(=O)CCCC2)s1 ZINC000866516707 706718452 /nfs/dbraw/zinc/71/84/52/706718452.db2.gz SRDRWOYKFCHYDC-UHFFFAOYSA-N -1 1 308.450 1.653 20 0 DDADMM CCO[N-]C(=O)CNCc1cc(O)ccc1Br ZINC000846085016 703235726 /nfs/dbraw/zinc/23/57/26/703235726.db2.gz IEPQATSPUCNPGA-UHFFFAOYSA-N -1 1 303.156 1.312 20 0 DDADMM COc1ccc(OC)c2c1CN(CC1(C(=O)[O-])CC1)C[C@H]2O ZINC000846233335 703254505 /nfs/dbraw/zinc/25/45/05/703254505.db2.gz HKYBQOOPEZZIDN-LLVKDONJSA-N -1 1 307.346 1.418 20 0 DDADMM O=C(NC[C@@H]1CN(C2CC2)C(=O)O1)c1ccc(Cl)cc1[O-] ZINC000847268277 703393132 /nfs/dbraw/zinc/39/31/32/703393132.db2.gz ZUFKAKNQNXIMBL-SNVBAGLBSA-N -1 1 310.737 1.759 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@]2(C[C@H]2C(=O)Nc2nnn[n-]2)C1 ZINC000847506210 703428848 /nfs/dbraw/zinc/42/88/48/703428848.db2.gz YTUUEGLPCVABPX-XPTSAGLGSA-N -1 1 322.369 1.175 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@]2(C[C@H]2C(=O)Nc2nn[n-]n2)C1 ZINC000847506210 703428849 /nfs/dbraw/zinc/42/88/49/703428849.db2.gz YTUUEGLPCVABPX-XPTSAGLGSA-N -1 1 322.369 1.175 20 0 DDADMM Cn1cnc([N-]C(=O)c2conc2CNC(=O)OC(C)(C)C)n1 ZINC000848127457 703509690 /nfs/dbraw/zinc/50/96/90/703509690.db2.gz KAGCUZADWHKUPI-UHFFFAOYSA-N -1 1 322.325 1.080 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@]1(C)CCOC1 ZINC000848340219 703540773 /nfs/dbraw/zinc/54/07/73/703540773.db2.gz NENFUGNDTKBWDI-GIPNMCIBSA-N -1 1 322.327 1.471 20 0 DDADMM CC[C@H](C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C)[C@@H](C)O ZINC000848391356 703545483 /nfs/dbraw/zinc/54/54/83/703545483.db2.gz GEXAJZREMBQSAY-CHWFTXMASA-N -1 1 324.343 1.451 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@H]1CCCCO1 ZINC000848510070 703559410 /nfs/dbraw/zinc/55/94/10/703559410.db2.gz RAKYAMBYUCKENK-NXEZZACHSA-N -1 1 321.830 1.700 20 0 DDADMM CCCN1CCN(C(=O)c2ccc(OCC(=O)[O-])cc2)CC1 ZINC000851690965 703831240 /nfs/dbraw/zinc/83/12/40/703831240.db2.gz PQFUHAKENUOCPG-UHFFFAOYSA-N -1 1 306.362 1.318 20 0 DDADMM CS(=O)(=O)CCCCOC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869736850 703839349 /nfs/dbraw/zinc/83/93/49/703839349.db2.gz SDIDQPWSSBLTMY-UHFFFAOYSA-N -1 1 320.794 1.956 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2SCCS2)c1 ZINC000851833254 703867010 /nfs/dbraw/zinc/86/70/10/703867010.db2.gz RTKHJNGQASZWMM-UHFFFAOYSA-N -1 1 323.417 1.151 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)N=S(C)(C)=O)c1ccc(F)cc1 ZINC000866730590 706778812 /nfs/dbraw/zinc/77/88/12/706778812.db2.gz ALGJXTQYKQSXAZ-UHFFFAOYSA-N -1 1 322.427 1.665 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879761971 706783329 /nfs/dbraw/zinc/78/33/29/706783329.db2.gz ATGADOWEQAJEKI-KWBADKCTSA-N -1 1 323.359 1.433 20 0 DDADMM C[C@H](C(=O)NC(C)(C)C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763508 706784712 /nfs/dbraw/zinc/78/47/12/706784712.db2.gz ODUQQIFFBJSICW-NOZJJQNGSA-N -1 1 323.359 1.433 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc(C2CCC2)cn1 ZINC000819030737 704055142 /nfs/dbraw/zinc/05/51/42/704055142.db2.gz WKDSVMKSLKUYBO-UHFFFAOYSA-N -1 1 314.345 1.228 20 0 DDADMM C[C@](O)(CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C(F)(F)F ZINC000879766299 706786321 /nfs/dbraw/zinc/78/63/21/706786321.db2.gz MPQADDUNVMABEU-IUCAKERBSA-N -1 1 322.249 1.443 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(CCOCC(F)F)C1 ZINC000879766262 706786569 /nfs/dbraw/zinc/78/65/69/706786569.db2.gz MEDLIGMFOVKQQX-JTQLQIEISA-N -1 1 304.259 1.411 20 0 DDADMM C[C@](O)(CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C(F)(F)F ZINC000879766292 706786597 /nfs/dbraw/zinc/78/65/97/706786597.db2.gz MPQADDUNVMABEU-BDAKNGLRSA-N -1 1 322.249 1.443 20 0 DDADMM CCn1nc(C)c(CNC(=O)c2cc(Cl)c([O-])c(OC)c2)n1 ZINC000819595603 704147235 /nfs/dbraw/zinc/14/72/35/704147235.db2.gz ITCGJVDAQWLSKJ-UHFFFAOYSA-N -1 1 324.768 1.904 20 0 DDADMM Cc1oc(C(C)C)cc1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000819620071 704151522 /nfs/dbraw/zinc/15/15/22/704151522.db2.gz IEQOXAAYIMMLIR-UHFFFAOYSA-N -1 1 319.365 1.348 20 0 DDADMM CC(C)c1ccoc1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000819620579 704151622 /nfs/dbraw/zinc/15/16/22/704151622.db2.gz QBFIEHPMXNOPFC-UHFFFAOYSA-N -1 1 305.338 1.040 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cnn(C)c2)c1 ZINC000819954241 704192042 /nfs/dbraw/zinc/19/20/42/704192042.db2.gz NCCRNWQSKDSKNN-NRFANRHFSA-N -1 1 308.359 1.280 20 0 DDADMM CCc1nnc(N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)n1CC ZINC000871062449 704211846 /nfs/dbraw/zinc/21/18/46/704211846.db2.gz DYQMSENPGHBZBG-GFCCVEGCSA-N -1 1 319.331 1.508 20 0 DDADMM COC(=O)C1=NO[C@@]2(CCN(C(=O)c3ccc([O-])c(F)c3)C2)C1 ZINC000871382731 704280001 /nfs/dbraw/zinc/28/00/01/704280001.db2.gz CPDWFURCYPJYAT-HNNXBMFYSA-N -1 1 322.292 1.065 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1ccc(Cl)cc1F ZINC000821124542 704374118 /nfs/dbraw/zinc/37/41/18/704374118.db2.gz XXCSFXIFNANFAW-UHFFFAOYSA-N -1 1 300.764 1.863 20 0 DDADMM CC(C)(CO)O[N-]C(=O)Cc1ccc(Br)cc1F ZINC000854753879 704448070 /nfs/dbraw/zinc/44/80/70/704448070.db2.gz LJYODOTZYSJAPR-UHFFFAOYSA-N -1 1 320.158 1.949 20 0 DDADMM CN(C)CCO[N-]C(=O)c1cc2c([nH]c1=O)CC(C)(C)CC2=O ZINC000854945111 704463265 /nfs/dbraw/zinc/46/32/65/704463265.db2.gz BHSCCZFKNOILOJ-UHFFFAOYSA-N -1 1 321.377 1.165 20 0 DDADMM CC[C@H](C(=O)[N-]OCCN1CCCC1=O)c1ccc(OC)cc1 ZINC000856041253 704511199 /nfs/dbraw/zinc/51/11/99/704511199.db2.gz AAQNWEBZGWPGIJ-HNNXBMFYSA-N -1 1 320.389 1.859 20 0 DDADMM C[C@H]1CCCN(CCS(=O)(=O)C2CCCCC2)[C@H]1C(=O)[O-] ZINC000857084561 704550184 /nfs/dbraw/zinc/55/01/84/704550184.db2.gz WGYLEXBHCMEJRQ-GXTWGEPZSA-N -1 1 317.451 1.919 20 0 DDADMM CO[C@H]1C[C@@H](C[N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000859422787 704896439 /nfs/dbraw/zinc/89/64/39/704896439.db2.gz ZUKNWZTXWIHMBL-OCAPTIKFSA-N -1 1 324.196 1.745 20 0 DDADMM CC[C@H](NC(=O)CCCc1nn[n-]n1)c1ccccc1OC ZINC000873990141 704913416 /nfs/dbraw/zinc/91/34/16/704913416.db2.gz QWWLOKATSOYOOR-LBPRGKRZSA-N -1 1 303.366 1.799 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc(OC)c1C ZINC000867536237 707032491 /nfs/dbraw/zinc/03/24/91/707032491.db2.gz SVZZSPCLUDMFNI-IBGZPJMESA-N -1 1 320.436 1.456 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(Cc1cnnn1-c1ccccc1)CC2 ZINC000859806881 705009367 /nfs/dbraw/zinc/00/93/67/705009367.db2.gz POYLCGFFCXFMBN-OAHLLOKOSA-N -1 1 312.373 1.954 20 0 DDADMM C[C@H]1CCNC(=O)[C@@H]1[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000822696245 705010978 /nfs/dbraw/zinc/01/09/78/705010978.db2.gz UPAJDLNOTUOQIG-WRWORJQWSA-N -1 1 318.270 1.697 20 0 DDADMM CN(C)C(=O)OCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000867512763 707024201 /nfs/dbraw/zinc/02/42/01/707024201.db2.gz NQGAXOMQLOCDIT-UHFFFAOYSA-N -1 1 312.294 1.194 20 0 DDADMM O=S(=O)([N-]CC(O)(C1CC1)C1CC1)c1ccc(F)nc1F ZINC000867521266 707026879 /nfs/dbraw/zinc/02/68/79/707026879.db2.gz UJHNNMNUORNMCJ-UHFFFAOYSA-N -1 1 318.345 1.189 20 0 DDADMM O=C(COC(=O)c1cnn(C2CC2)c1)[N-]C(=O)c1ccccc1 ZINC000859888417 705031236 /nfs/dbraw/zinc/03/12/36/705031236.db2.gz RXDKRKGUYWHNIF-UHFFFAOYSA-N -1 1 313.313 1.331 20 0 DDADMM O=C([O-])c1ccccc1CNC(=O)N1CCN(CC2CC2)CC1 ZINC000874408245 705045607 /nfs/dbraw/zinc/04/56/07/705045607.db2.gz CPPAIXFKCOIMHC-UHFFFAOYSA-N -1 1 317.389 1.622 20 0 DDADMM Cc1cccc([C@@H]2CCN(Cc3cn(CC(=O)[O-])nn3)C2)c1 ZINC000902373093 710733456 /nfs/dbraw/zinc/73/34/56/710733456.db2.gz NEKHQMPHVHQOOE-CQSZACIVSA-N -1 1 300.362 1.661 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN[C@@H](c2ccc(F)cc2)C1 ZINC000874891686 705220242 /nfs/dbraw/zinc/22/02/42/705220242.db2.gz RRBFPGARCCSVEJ-CYBMUJFWSA-N -1 1 301.321 1.713 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccccc1 ZINC000823638043 705285655 /nfs/dbraw/zinc/28/56/55/705285655.db2.gz ROAZFBIUFDFUCU-CQSZACIVSA-N -1 1 318.417 1.877 20 0 DDADMM O=C([N-]CC1CN(C(=O)NC[C@@H]2CC=CCC2)C1)C(F)(F)F ZINC000875160773 705300603 /nfs/dbraw/zinc/30/06/03/705300603.db2.gz DVDOKAWLSKNXSW-SNVBAGLBSA-N -1 1 319.327 1.663 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCCN(CCF)C2)sc1C ZINC000867710719 707082102 /nfs/dbraw/zinc/08/21/02/707082102.db2.gz DQJUXPJSMHSION-NSHDSACASA-N -1 1 321.443 1.472 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC[C@@H]3CCCOC3)ccnc1-2 ZINC000861026302 705352512 /nfs/dbraw/zinc/35/25/12/705352512.db2.gz KJJQOHCLGMXRDQ-NSHDSACASA-N -1 1 303.366 1.280 20 0 DDADMM O=C([N-]CC1CN(C(=O)NOC2CCCCC2)C1)C(F)(F)F ZINC000875513378 705414741 /nfs/dbraw/zinc/41/47/41/705414741.db2.gz CPMUDIVTTURPQZ-UHFFFAOYSA-N -1 1 323.315 1.571 20 0 DDADMM C[C@H]1C[C@@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCO1 ZINC000824868899 705546905 /nfs/dbraw/zinc/54/69/05/705546905.db2.gz XHRSUPHIQCZHIE-WPRPVWTQSA-N -1 1 303.322 1.326 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)[C@@H]2CCCC[C@H]21 ZINC000824978109 705570973 /nfs/dbraw/zinc/57/09/73/705570973.db2.gz UEYWGOHURDOQRL-SCDSUCTJSA-N -1 1 312.377 1.912 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)[C@@H]2CCCC[C@H]21 ZINC000824978109 705570976 /nfs/dbraw/zinc/57/09/76/705570976.db2.gz UEYWGOHURDOQRL-SCDSUCTJSA-N -1 1 312.377 1.912 20 0 DDADMM Cc1noc([C@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC000825636313 705706602 /nfs/dbraw/zinc/70/66/02/705706602.db2.gz BXGPNQKGCXUBND-LURJTMIESA-N -1 1 304.335 1.200 20 0 DDADMM COc1cccc(NC(=O)c2ccc(-c3nnn[n-]3)s2)c1O ZINC000826216564 705782174 /nfs/dbraw/zinc/78/21/74/705782174.db2.gz FFYCASQUDKPRKE-UHFFFAOYSA-N -1 1 317.330 1.895 20 0 DDADMM COc1cccc(NC(=O)c2ccc(-c3nn[n-]n3)s2)c1O ZINC000826216564 705782175 /nfs/dbraw/zinc/78/21/75/705782175.db2.gz FFYCASQUDKPRKE-UHFFFAOYSA-N -1 1 317.330 1.895 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H]3CCC[C@H]32)c1-c1nnn[n-]1 ZINC000826344974 705793353 /nfs/dbraw/zinc/79/33/53/705793353.db2.gz YJWJPUPIIYLLOO-ZYHUDNBSSA-N -1 1 316.365 1.497 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H]3CCC[C@H]32)c1-c1nn[n-]n1 ZINC000826344974 705793356 /nfs/dbraw/zinc/79/33/56/705793356.db2.gz YJWJPUPIIYLLOO-ZYHUDNBSSA-N -1 1 316.365 1.497 20 0 DDADMM Cc1onc(CC(=O)NC2C[C@H](C)C[C@@H](C)C2)c1-c1nnn[n-]1 ZINC000826346021 705794083 /nfs/dbraw/zinc/79/40/83/705794083.db2.gz AQUGBBMGYXEKCR-RKDXNWHRSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)NC2C[C@H](C)C[C@@H](C)C2)c1-c1nn[n-]n1 ZINC000826346021 705794086 /nfs/dbraw/zinc/79/40/86/705794086.db2.gz AQUGBBMGYXEKCR-RKDXNWHRSA-N -1 1 318.381 1.647 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(C)(C)C ZINC000826786971 705871697 /nfs/dbraw/zinc/87/16/97/705871697.db2.gz GICLNKVRDNQELS-JTQLQIEISA-N -1 1 322.390 1.336 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C(C)C)C(C)(C)C ZINC000827332380 705977887 /nfs/dbraw/zinc/97/78/87/705977887.db2.gz SRHBPLJZIOOUTI-VXGBXAGGSA-N -1 1 323.455 1.555 20 0 DDADMM C[C@H](c1nc(-c2ccc([O-])cc2F)no1)N1CCNCC1=O ZINC000863670888 705982529 /nfs/dbraw/zinc/98/25/29/705982529.db2.gz TYSRHZVZIWKRJZ-MRVPVSSYSA-N -1 1 306.297 1.074 20 0 DDADMM CON(C)C(=O)[C@@H](C)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000863709234 705992419 /nfs/dbraw/zinc/99/24/19/705992419.db2.gz KVRLJUYHRORGJG-SSDOTTSWSA-N -1 1 320.267 1.549 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)C1(CC)CC1 ZINC000835535642 707273994 /nfs/dbraw/zinc/27/39/94/707273994.db2.gz QFBDVMJRYIEGAQ-UHFFFAOYSA-N -1 1 304.456 1.887 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(C(=O)c2cc(-c3ccccc3)[nH]n2)C1 ZINC000864169800 706088393 /nfs/dbraw/zinc/08/83/93/706088393.db2.gz KPOHTAFRVIYOMG-MRXNPFEDSA-N -1 1 315.329 1.392 20 0 DDADMM CCC[C@@H](C)CCNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000881530265 707286800 /nfs/dbraw/zinc/28/68/00/707286800.db2.gz QKABZHALLGQWIV-SNVBAGLBSA-N -1 1 302.396 1.744 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2C[C@@H](OC(C)(C)C)C2)c1Cl ZINC000828098218 706118024 /nfs/dbraw/zinc/11/80/24/706118024.db2.gz IRYJRMZRCSAILU-DTORHVGOSA-N -1 1 321.830 1.698 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC[C@@H]2CCCO2)C1 ZINC000864429699 706166497 /nfs/dbraw/zinc/16/64/97/706166497.db2.gz YVMKYXYIKBAHEV-CABZTGNLSA-N -1 1 323.315 1.018 20 0 DDADMM O=C([C@H](O)C1CCCCCCC1)N1CCC(c2nn[n-]n2)CC1 ZINC000828417418 706175865 /nfs/dbraw/zinc/17/58/65/706175865.db2.gz YWWRDBNUMLVFTM-CQSZACIVSA-N -1 1 321.425 1.627 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1cc(C)cc(O)c1 ZINC000872379304 707394941 /nfs/dbraw/zinc/39/49/41/707394941.db2.gz SOOSORSJASEFMY-UHFFFAOYSA-N -1 1 306.409 1.865 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC=C(Cl)Cl)C(C)(C)C ZINC000881903347 707416846 /nfs/dbraw/zinc/41/68/46/707416846.db2.gz MCZCGVSWOIMMGE-MRVPVSSYSA-N -1 1 318.222 1.813 20 0 DDADMM CCN(CC)Cc1csc(NC(=O)CCc2nn[n-]n2)n1 ZINC000864976948 706317462 /nfs/dbraw/zinc/31/74/62/706317462.db2.gz PRRJHZXLCPDJGH-UHFFFAOYSA-N -1 1 309.399 1.069 20 0 DDADMM Cc1ccccc1OCC[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830138900 706463138 /nfs/dbraw/zinc/46/31/38/706463138.db2.gz GLRFPKUZPCRQMX-UHFFFAOYSA-N -1 1 315.782 1.729 20 0 DDADMM CC(C)(C)c1csc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)n1 ZINC000865595832 706472851 /nfs/dbraw/zinc/47/28/51/706472851.db2.gz GOJZIQOHVJMCMO-QMMMGPOBSA-N -1 1 322.394 1.167 20 0 DDADMM CC1(C)CO[C@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000872547926 707471811 /nfs/dbraw/zinc/47/18/11/707471811.db2.gz CRSRSTPVKWLERC-QMMMGPOBSA-N -1 1 306.334 1.453 20 0 DDADMM CC[C@@](C)(OC)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830800806 706582225 /nfs/dbraw/zinc/58/22/25/706582225.db2.gz AGFUICQOHQUVKV-VXGBXAGGSA-N -1 1 310.316 1.471 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)COCC2CC2)C1 ZINC000830833664 706589528 /nfs/dbraw/zinc/58/95/28/706589528.db2.gz RYPKWQYBKAVYAH-LBPRGKRZSA-N -1 1 308.300 1.083 20 0 DDADMM CCn1nccc1NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000879109922 706589612 /nfs/dbraw/zinc/58/96/12/706589612.db2.gz HHFUHTRJEXFMQH-UHFFFAOYSA-N -1 1 319.287 1.045 20 0 DDADMM CONC(=O)C1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000831989232 706819823 /nfs/dbraw/zinc/81/98/23/706819823.db2.gz FFJRTBNQFPWWIS-UHFFFAOYSA-N -1 1 312.753 1.575 20 0 DDADMM O=S(=O)([N-]CCOC1CCC1)c1nc[nH]c1Br ZINC000866889690 706836212 /nfs/dbraw/zinc/83/62/12/706836212.db2.gz WRVHPYKVTGUPFV-UHFFFAOYSA-N -1 1 324.200 1.020 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000866914585 706843714 /nfs/dbraw/zinc/84/37/14/706843714.db2.gz ZDMBRUDTXBPKHA-SNVBAGLBSA-N -1 1 318.420 1.462 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCCC(F)(F)C1 ZINC000866983048 706863980 /nfs/dbraw/zinc/86/39/80/706863980.db2.gz CNHZJSQTYQIFMA-WNWIJWBNSA-N -1 1 304.384 1.516 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1CCOC2(CCCCC2)C1 ZINC000866983493 706864564 /nfs/dbraw/zinc/86/45/64/706864564.db2.gz KPTNDZKVWPBDKY-LLVKDONJSA-N -1 1 324.468 1.430 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H](c1cccnc1)C1CC1 ZINC000867021878 706874597 /nfs/dbraw/zinc/87/45/97/706874597.db2.gz HEOSHNQYWFLRQG-BUXKBTBVSA-N -1 1 317.436 1.485 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H](C)c1cncc(F)c1 ZINC000867079854 706890860 /nfs/dbraw/zinc/89/08/60/706890860.db2.gz ZBFPCLPCUPYHFW-SECBINFHSA-N -1 1 323.415 1.624 20 0 DDADMM CC[C@@H](CC(F)(F)F)[N-]S(=O)(=O)N=[S@@](C)(=O)CC ZINC000867106724 706897801 /nfs/dbraw/zinc/89/78/01/706897801.db2.gz DOCYTUVSWAICST-SKLCBMLGSA-N -1 1 310.363 1.670 20 0 DDADMM COCCC1([N-]S(=O)(=O)c2ccc(F)nc2F)CCC1 ZINC000867213482 706927099 /nfs/dbraw/zinc/92/70/99/706927099.db2.gz LOFUJNUQDBXWOW-UHFFFAOYSA-N -1 1 306.334 1.597 20 0 DDADMM CSc1cc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)ccn1 ZINC000832771046 706980076 /nfs/dbraw/zinc/98/00/76/706980076.db2.gz WWGHDJCFLNJUAQ-LLVKDONJSA-N -1 1 323.418 1.425 20 0 DDADMM NC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1c([O-])cccc1Cl ZINC000832832236 706994238 /nfs/dbraw/zinc/99/42/38/706994238.db2.gz WLQLLGKIPKCRIN-KKFJDGPESA-N -1 1 308.765 1.772 20 0 DDADMM O=S(=O)([N-]CCCC1(O)CCC1)c1ccc(Cl)nc1F ZINC000867421341 706996564 /nfs/dbraw/zinc/99/65/64/706996564.db2.gz SPCAWFJAGHFKQU-UHFFFAOYSA-N -1 1 322.789 1.848 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(CCC(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000833100633 707000334 /nfs/dbraw/zinc/00/03/34/707000334.db2.gz XWVDRCPKGDCJQK-OAHLLOKOSA-N -1 1 324.308 1.243 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C(=O)N[C@@H]1CCc2nc[nH]c2C1)C1CC1 ZINC000909021548 712919031 /nfs/dbraw/zinc/91/90/31/712919031.db2.gz XWBOSHYVXNDXKP-RNCFNFMXSA-N -1 1 306.366 1.304 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)c2ccccc2)C1 ZINC000867567930 707042454 /nfs/dbraw/zinc/04/24/54/707042454.db2.gz KSZDWFQNNFQARM-ADWYPQAOSA-N -1 1 318.420 1.155 20 0 DDADMM C[C@@H]1C[C@H]1[N-]S(=O)(=O)c1ccc(Br)nc1F ZINC000867594560 707049368 /nfs/dbraw/zinc/04/93/68/707049368.db2.gz OQOGXMAOOWRTDS-PHDIDXHHSA-N -1 1 309.160 1.670 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1C[C@H]2CCC[C@@H]2O1 ZINC000834397022 707044986 /nfs/dbraw/zinc/04/49/86/707044986.db2.gz TWFUDHLGMXTCBV-VWYCJHECSA-N -1 1 323.418 1.900 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)sn1 ZINC000867610533 707053183 /nfs/dbraw/zinc/05/31/83/707053183.db2.gz HPCJXGYHYYZCMN-WPCZNGEBSA-N -1 1 300.405 1.153 20 0 DDADMM Cc1nccn1CCCn1cc(C[N-]C(=O)C(F)(F)F)nn1 ZINC000881360778 707213123 /nfs/dbraw/zinc/21/31/23/707213123.db2.gz ZKBQDIVQEFRWCB-UHFFFAOYSA-N -1 1 316.287 1.052 20 0 DDADMM O=C1[C@@H]2[C@H](O)CCCN2C(=O)N1Cc1ccc([O-])c(Cl)c1 ZINC000871912079 707242555 /nfs/dbraw/zinc/24/25/55/707242555.db2.gz PSPKPOVERHHLBG-NEPJUHHUSA-N -1 1 310.737 1.333 20 0 DDADMM CCC[C@H](NCc1nc2c(c(=O)[n-]1)COCC2)C(=O)OCC ZINC000871932116 707248888 /nfs/dbraw/zinc/24/88/88/707248888.db2.gz PCODFQLPRMULMT-LBPRGKRZSA-N -1 1 309.366 1.076 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCCC(C)(C)O)o1 ZINC000835429498 707249504 /nfs/dbraw/zinc/24/95/04/707249504.db2.gz VVZMXXQRCFVDEN-UHFFFAOYSA-N -1 1 319.379 1.286 20 0 DDADMM O=C([N-]CC1CN(C(=O)c2c[nH]cc2C2CC2)C1)C(F)(F)F ZINC000881448085 707261257 /nfs/dbraw/zinc/26/12/57/707261257.db2.gz IQRYUGSOQLNCRJ-UHFFFAOYSA-N -1 1 315.295 1.643 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H](CF)C1 ZINC000881666530 707316792 /nfs/dbraw/zinc/31/67/92/707316792.db2.gz CCAFZBBKORSPBV-NSHDSACASA-N -1 1 306.341 1.412 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCSC1 ZINC000872482986 707437952 /nfs/dbraw/zinc/43/79/52/707437952.db2.gz YGYQMFDQVXALLF-XYZCENFISA-N -1 1 314.498 1.470 20 0 DDADMM CCOc1ccc(C[C@@H](CNC(=O)[C@@H](C)N(C)C)C(=O)[O-])cc1 ZINC000909172124 712953417 /nfs/dbraw/zinc/95/34/17/712953417.db2.gz VBOSXDGFJASUSA-OCCSQVGLSA-N -1 1 322.405 1.395 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC(C)(C)[C@@H]4COC[C@@H]43)ccnc1-2 ZINC000836571600 707481739 /nfs/dbraw/zinc/48/17/39/707481739.db2.gz BRGODYGYEONFAA-YPMHNXCESA-N -1 1 315.377 1.231 20 0 DDADMM CCC[C@H](C)N1C[C@@H](C(=O)[N-]O[C@@H](CO)C(C)C)CC1=O ZINC000836896830 707543456 /nfs/dbraw/zinc/54/34/56/707543456.db2.gz BCEJXSPLJRXJBP-AVGNSLFASA-N -1 1 300.399 1.088 20 0 DDADMM CC1(C)C[C@@](C)([N-]S(=O)(=O)c2ccc(C(F)F)o2)C(=O)O1 ZINC000882223226 707546183 /nfs/dbraw/zinc/54/61/83/707546183.db2.gz HELSRINSKMNNIB-GFCCVEGCSA-N -1 1 323.317 1.980 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)CC=C(Cl)Cl ZINC000882248968 707558386 /nfs/dbraw/zinc/55/83/86/707558386.db2.gz WFQFLQCJVOUHMB-ZETCQYMHSA-N -1 1 302.179 1.176 20 0 DDADMM COCCOC[C@H](C)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872932593 707660622 /nfs/dbraw/zinc/66/06/22/707660622.db2.gz NQDYVZSYDRZNFK-JTQLQIEISA-N -1 1 316.785 1.896 20 0 DDADMM C[C@H](NC(=O)NCc1ccc([O-])c(Cl)c1)c1ncn(C)n1 ZINC000872980052 707680725 /nfs/dbraw/zinc/68/07/25/707680725.db2.gz OSLUTGXPZPXMBD-QMMMGPOBSA-N -1 1 309.757 1.735 20 0 DDADMM Cc1cccc(C[C@H](CNC(=O)CN(C)C2CCC2)C(=O)[O-])c1 ZINC000909245352 712972332 /nfs/dbraw/zinc/97/23/32/712972332.db2.gz CVORDPJEOZSGOQ-OAHLLOKOSA-N -1 1 318.417 1.839 20 0 DDADMM CCn1nc(C)c(CNC(=O)NCc2ccc([O-])c(Cl)c2)n1 ZINC000873048345 707708470 /nfs/dbraw/zinc/70/84/70/707708470.db2.gz XNQZCOBRDLXEBG-UHFFFAOYSA-N -1 1 323.784 1.965 20 0 DDADMM CO[C@H]1C[C@@H](COC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000873354858 707822636 /nfs/dbraw/zinc/82/26/36/707822636.db2.gz PLVXJCPNABABAI-TXEJJXNPSA-N -1 1 319.361 1.562 20 0 DDADMM CC(C)N1CCC[C@@H]1C(=O)N[C@H](C(=O)[O-])c1ccccc1F ZINC000909359076 712999907 /nfs/dbraw/zinc/99/99/07/712999907.db2.gz FZOUQKBEMHPDJA-KGLIPLIRSA-N -1 1 308.353 1.940 20 0 DDADMM CC(C)(C)SCCNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909403498 713009495 /nfs/dbraw/zinc/00/94/95/713009495.db2.gz SJOSLGKAPXCVEE-LLVKDONJSA-N -1 1 302.440 1.431 20 0 DDADMM C[C@H]1CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)c2ccccc2O1 ZINC000909408393 713010346 /nfs/dbraw/zinc/01/03/46/713010346.db2.gz GTTXBJAGQLTEFZ-QWHCGFSZSA-N -1 1 318.373 1.597 20 0 DDADMM O=C(NC[C@@H]1CC[C@H](C(F)(F)F)O1)C(=O)c1ccc([O-])cc1 ZINC000927572862 713012363 /nfs/dbraw/zinc/01/23/63/713012363.db2.gz NDXMYQWIGIERAZ-WDEREUQCSA-N -1 1 317.263 1.801 20 0 DDADMM CCCC[C@H](CC)C[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912531430 713031345 /nfs/dbraw/zinc/03/13/45/713031345.db2.gz YDZVIYNYLSPMBU-JTQLQIEISA-N -1 1 317.411 1.691 20 0 DDADMM Cc1nn(C)c(C)c1CCC[N-]S(=O)(=O)c1ccns1 ZINC000885087322 708467455 /nfs/dbraw/zinc/46/74/55/708467455.db2.gz MKFNZVBOSPFSFN-UHFFFAOYSA-N -1 1 314.436 1.405 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC2(CO1)CCOCC2)c1ccns1 ZINC000885341706 708523371 /nfs/dbraw/zinc/52/33/71/708523371.db2.gz KSJTUGMLTJWLKE-JTQLQIEISA-N -1 1 318.420 1.007 20 0 DDADMM COc1ccc([C@@H](NC(=O)CCc2nn[n-]n2)C2CCC2)cc1 ZINC000885403445 708537224 /nfs/dbraw/zinc/53/72/24/708537224.db2.gz USZNJDVMWGNZBI-INIZCTEOSA-N -1 1 315.377 1.799 20 0 DDADMM C[C@@H]1CO[C@@H](C(=O)OCc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000885567435 708577861 /nfs/dbraw/zinc/57/78/61/708577861.db2.gz RIXFVSARDNMKEK-LKFCYVNXSA-N -1 1 304.298 1.967 20 0 DDADMM CC1(C)CO[C@@H](CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)C1 ZINC000885628296 708587518 /nfs/dbraw/zinc/58/75/18/708587518.db2.gz YTBIWFIELMPLII-ZDUSSCGKSA-N -1 1 319.357 1.691 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CC3(CCC3)CO2)sc1C ZINC000885636269 708588963 /nfs/dbraw/zinc/58/89/63/708588963.db2.gz JHKWXDQKZUSMDW-LLVKDONJSA-N -1 1 316.448 1.997 20 0 DDADMM O=C(NCCO[C@H]1CCOC1)c1ccc2ccc(O)cc2c1[O-] ZINC000885710707 708604004 /nfs/dbraw/zinc/60/40/04/708604004.db2.gz SIKXDVLVEQIJLP-ZDUSSCGKSA-N -1 1 317.341 1.786 20 0 DDADMM CCOCCOCCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000885749289 708616941 /nfs/dbraw/zinc/61/69/41/708616941.db2.gz USQNHMGUIMLJPD-UHFFFAOYSA-N -1 1 322.336 1.862 20 0 DDADMM CN1C[C@@H](CCNC(=O)c2ncc3ccccc3c2[O-])CC1=O ZINC000927739195 713043107 /nfs/dbraw/zinc/04/31/07/713043107.db2.gz IEUUAZOIQLEFMZ-NSHDSACASA-N -1 1 313.357 1.539 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(N)=O ZINC000885822980 708633169 /nfs/dbraw/zinc/63/31/69/708633169.db2.gz IOGOCGNVKZOSNN-CPCISQLKSA-N -1 1 306.334 1.143 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC000885823163 708633535 /nfs/dbraw/zinc/63/35/35/708633535.db2.gz XCRIYMUQZRGGMX-CPCISQLKSA-N -1 1 322.789 1.657 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)n[n-]1 ZINC000898435099 708639046 /nfs/dbraw/zinc/63/90/46/708639046.db2.gz DQFYFEWXMYZENC-RTCCRHLQSA-N -1 1 306.366 1.424 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)n1 ZINC000898435099 708639048 /nfs/dbraw/zinc/63/90/48/708639048.db2.gz DQFYFEWXMYZENC-RTCCRHLQSA-N -1 1 306.366 1.424 20 0 DDADMM O=S(=O)([N-]C1(C2CC2)CCC1)c1nc[nH]c1Br ZINC000885860365 708641857 /nfs/dbraw/zinc/64/18/57/708641857.db2.gz MBJHWBNUKYNDKD-UHFFFAOYSA-N -1 1 320.212 1.783 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC[C@H]1OCCc2ccccc21 ZINC000885927082 708664315 /nfs/dbraw/zinc/66/43/15/708664315.db2.gz QXFPWERQUBAAHK-CQSZACIVSA-N -1 1 315.377 1.343 20 0 DDADMM CC[C@H](C(=O)NCCc1c(F)cc([O-])cc1F)C(=O)OC ZINC000886268461 708733061 /nfs/dbraw/zinc/73/30/61/708733061.db2.gz PVSQLJUKTONIAQ-SECBINFHSA-N -1 1 301.289 1.528 20 0 DDADMM CC(C)C[C@@H](O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927780410 713054086 /nfs/dbraw/zinc/05/40/86/713054086.db2.gz DFZAAXTYJLTYSI-LLVKDONJSA-N -1 1 316.348 1.919 20 0 DDADMM CC[C@@](C)(O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927781376 713054438 /nfs/dbraw/zinc/05/44/38/713054438.db2.gz OATVIECRDMMEPR-CQSZACIVSA-N -1 1 302.321 1.673 20 0 DDADMM C[C@@H]1C[C@@H](O)CN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782285 713054637 /nfs/dbraw/zinc/05/46/37/713054637.db2.gz BQFHWHVJIPGCQA-PSASIEDQSA-N -1 1 300.305 1.378 20 0 DDADMM CN(C)Cc1csc(CNC(=O)c2ccc([O-])c(F)c2)n1 ZINC000927768807 713051776 /nfs/dbraw/zinc/05/17/76/713051776.db2.gz MWKXCBIUWYNVBG-UHFFFAOYSA-N -1 1 309.366 1.979 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)c1cc(Cl)cc2c1OCC2 ZINC000886700329 708817324 /nfs/dbraw/zinc/81/73/24/708817324.db2.gz WVVDJXJEMMLZDC-MRVPVSSYSA-N -1 1 321.768 1.598 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCS(=O)(=O)C3(CCC3)C2)c([O-])c1 ZINC000886773022 708842074 /nfs/dbraw/zinc/84/20/74/708842074.db2.gz LJFTXURKYNQWLM-LLVKDONJSA-N -1 1 324.402 1.325 20 0 DDADMM C[C@H]1CS(=O)(=O)CCCN1C(=O)c1ccc([O-])cc1F ZINC000886820306 708853110 /nfs/dbraw/zinc/85/31/10/708853110.db2.gz GQRDPNFUSHHEHG-VIFPVBQESA-N -1 1 301.339 1.181 20 0 DDADMM CCc1ccc(CCC(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC000898749914 708854127 /nfs/dbraw/zinc/85/41/27/708854127.db2.gz FCSRXVUSUSGQPT-UHFFFAOYSA-N -1 1 301.346 1.699 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C1(c2cccc(F)c2)CC1 ZINC000898752874 708855108 /nfs/dbraw/zinc/85/51/08/708855108.db2.gz ANICFWNNRNAIOB-UHFFFAOYSA-N -1 1 303.293 1.375 20 0 DDADMM C[C@]1(C(=O)NCc2nc([O-])cc(=O)[nH]2)CCc2ccccc2C1 ZINC000898758101 708856748 /nfs/dbraw/zinc/85/67/48/708856748.db2.gz WIXZNZGRZBQNAP-KRWDZBQOSA-N -1 1 313.357 1.699 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCOc2ccccc2[C@H]1CO ZINC000887114437 708959226 /nfs/dbraw/zinc/95/92/26/708959226.db2.gz JTPBEUCCXPFYCJ-CQSZACIVSA-N -1 1 300.314 1.355 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc([O-])cc2F)CCS1(=O)=O ZINC000887179551 708982352 /nfs/dbraw/zinc/98/23/52/708982352.db2.gz IMXHWJAUYFRUOU-DTWKUNHWSA-N -1 1 301.339 1.227 20 0 DDADMM O=C(NCCC1SCCS1)c1cnc(C2CC2)[n-]c1=O ZINC000912709518 713072611 /nfs/dbraw/zinc/07/26/11/713072611.db2.gz OBRGYSRWJJLELT-UHFFFAOYSA-N -1 1 311.432 1.986 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H](CO)C[C@H]1CCCO1 ZINC000899274602 709040972 /nfs/dbraw/zinc/04/09/72/709040972.db2.gz FNYAZAOYPBKTLU-VXGBXAGGSA-N -1 1 313.781 1.634 20 0 DDADMM Cn1nccc1[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000887592769 709076791 /nfs/dbraw/zinc/07/67/91/709076791.db2.gz WKQAOTBJEDEEJN-GFCCVEGCSA-N -1 1 323.299 1.618 20 0 DDADMM COc1ccc(F)cc1CCNC(=O)CCCc1nn[n-]n1 ZINC000899460186 709087279 /nfs/dbraw/zinc/08/72/79/709087279.db2.gz XSALNTBFJUJGMH-UHFFFAOYSA-N -1 1 307.329 1.029 20 0 DDADMM C[C@@H]1[C@H](C)OC[C@]12CC[C@H](CNC(=O)c1cncc([O-])c1)O2 ZINC000899482735 709095070 /nfs/dbraw/zinc/09/50/70/709095070.db2.gz ICQYLZQMZOHCQY-YHYOWMERSA-N -1 1 306.362 1.490 20 0 DDADMM CC(C)(NC(=O)CCCc1nn[n-]n1)c1ccc(F)cc1F ZINC000899647859 709136734 /nfs/dbraw/zinc/13/67/34/709136734.db2.gz XCKIYIMYBHATLY-UHFFFAOYSA-N -1 1 309.320 1.852 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-][C@]2(C)CCNC2=O)cnc1Cl ZINC000889023141 709434465 /nfs/dbraw/zinc/43/44/65/709434465.db2.gz TYZWPQLEWKJXSA-GFCCVEGCSA-N -1 1 317.723 1.530 20 0 DDADMM C[C@@]1([C@H]2CCCN(C(=O)c3ncccc3[O-])C2)COC(=O)N1 ZINC000928024430 713105729 /nfs/dbraw/zinc/10/57/29/713105729.db2.gz SJSGQUVWCVASLW-BONVTDFDSA-N -1 1 305.334 1.138 20 0 DDADMM Cc1nc(CNC(=O)CN2[C@@H](C)CC[C@@H]2C)sc1C(=O)[O-] ZINC000909501122 709491556 /nfs/dbraw/zinc/49/15/56/709491556.db2.gz BKGDSCZXMJVEIA-IUCAKERBSA-N -1 1 311.407 1.639 20 0 DDADMM CCC[C@@H](C)N1C[C@H](C(=O)[N-]OCc2ccccn2)CC1=O ZINC000909525531 709501230 /nfs/dbraw/zinc/50/12/30/709501230.db2.gz GFMNWEWIXVRVCE-CHWSQXEVSA-N -1 1 305.378 1.667 20 0 DDADMM CSCC[C@H](NC(=O)C[C@@H](C)c1ccncc1)c1nn[n-]n1 ZINC000912861175 713109929 /nfs/dbraw/zinc/10/99/29/713109929.db2.gz FGIAVDBZHDSZBH-PWSUYJOCSA-N -1 1 320.422 1.699 20 0 DDADMM CCC1=C(C(=O)N[C@@H](CCSC)c2nn[n-]n2)CCCO1 ZINC000912861947 713110635 /nfs/dbraw/zinc/11/06/35/713110635.db2.gz DDSKRNHGBDYFIQ-JTQLQIEISA-N -1 1 311.411 1.585 20 0 DDADMM CC(=O)c1cn(C2CN(C(=O)c3ccc([O-])cc3F)C2)nn1 ZINC000889542505 709540166 /nfs/dbraw/zinc/54/01/66/709540166.db2.gz VHKCFIRWHWKUOC-UHFFFAOYSA-N -1 1 304.281 1.023 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)c1cccs1 ZINC000909638040 709551948 /nfs/dbraw/zinc/55/19/48/709551948.db2.gz MJJKESDKQOBMNP-UFBFGSQYSA-N -1 1 305.359 1.518 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(C(=O)CN(C)[C@@H]2CCSC2)C1 ZINC000909697435 709583794 /nfs/dbraw/zinc/58/37/94/709583794.db2.gz FYTMJHUYJPZVLR-DOMZBBRYSA-N -1 1 314.451 1.383 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)C[C@@H](N)c1ccccc1OCC ZINC000900456853 709603638 /nfs/dbraw/zinc/60/36/38/709603638.db2.gz OGWGUCVFWDFEEG-CYBMUJFWSA-N -1 1 308.378 1.798 20 0 DDADMM Cc1cc(CC(=O)N[C@H](C(=O)[O-])c2cccc(C)c2C)[nH]n1 ZINC000909795827 709625145 /nfs/dbraw/zinc/62/51/45/709625145.db2.gz MIHSFFGIUWZKSL-HNNXBMFYSA-N -1 1 301.346 1.820 20 0 DDADMM CCC[C@@H](OC)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889785708 709635081 /nfs/dbraw/zinc/63/50/81/709635081.db2.gz HIYBLACDVGEATA-WDEREUQCSA-N -1 1 309.366 1.669 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@H](Cc1cccc(Cl)c1)C(=O)[O-] ZINC000909815224 709635746 /nfs/dbraw/zinc/63/57/46/709635746.db2.gz VJIKYRDYYDGNNE-OLZOCXBDSA-N -1 1 310.781 1.546 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C[C@@H](C)SC)[n-]c1=O ZINC000889790803 709637306 /nfs/dbraw/zinc/63/73/06/709637306.db2.gz VAPSGTGQICBRBD-NXEZZACHSA-N -1 1 311.407 1.996 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccncc2F)[n-]c1=O ZINC000889791315 709637521 /nfs/dbraw/zinc/63/75/21/709637521.db2.gz GKMHLKSTOMEXGV-LLVKDONJSA-N -1 1 318.308 1.702 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cscn2)[n-]c1=O ZINC000889791046 709637631 /nfs/dbraw/zinc/63/76/31/709637631.db2.gz XHDCNTCCOYNQDZ-VIFPVBQESA-N -1 1 306.347 1.625 20 0 DDADMM C[C@@H](NC(=O)CN(C)C1CCC1)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909819990 709638716 /nfs/dbraw/zinc/63/87/16/709638716.db2.gz LSIZGVFASQTFHY-CZUORRHYSA-N -1 1 318.417 1.919 20 0 DDADMM CCO[C@H](CC)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889795486 709639309 /nfs/dbraw/zinc/63/93/09/709639309.db2.gz YHFHRPSFCPFFHG-WDEREUQCSA-N -1 1 309.366 1.669 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CCOCC(C)C)[n-]c1=O ZINC000889794278 709639377 /nfs/dbraw/zinc/63/93/77/709639377.db2.gz HYAQKLAWNCDLGX-LBPRGKRZSA-N -1 1 323.393 1.917 20 0 DDADMM CCO[C@H](CC)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889795483 709639413 /nfs/dbraw/zinc/63/94/13/709639413.db2.gz YHFHRPSFCPFFHG-GHMZBOCLSA-N -1 1 309.366 1.669 20 0 DDADMM CN(CC(=O)NC[C@@H](CC1CC1)C(=O)[O-])[C@@H]1CCSC1 ZINC000909881979 709667721 /nfs/dbraw/zinc/66/77/21/709667721.db2.gz RRHIQTMIKVEODM-VXGBXAGGSA-N -1 1 300.424 1.041 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@]12CCC(=O)N1CCS2 ZINC000909975722 709715293 /nfs/dbraw/zinc/71/52/93/709715293.db2.gz USSAZDJMGWGQQH-CYBMUJFWSA-N -1 1 314.313 1.674 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N(C)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000909990615 709724519 /nfs/dbraw/zinc/72/45/19/709724519.db2.gz KXXJFFYAQFZVPP-GASCZTMLSA-N -1 1 304.390 1.483 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCCCC[C@H]2C(=O)[O-])C1 ZINC000910047511 709752065 /nfs/dbraw/zinc/75/20/65/709752065.db2.gz YGOZSNHOIQBJKG-WXHSDQCUSA-N -1 1 305.378 1.931 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cnc(C3CC3)o2)CC1 ZINC000910180445 709800389 /nfs/dbraw/zinc/80/03/89/709800389.db2.gz VHETVWAEYAYFPG-UHFFFAOYSA-N -1 1 307.350 1.173 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)[C@H]2CCC[C@@H]21 ZINC000910247976 709839134 /nfs/dbraw/zinc/83/91/34/709839134.db2.gz QGFLGZKXBOYJAD-BLTAXRJOSA-N -1 1 317.389 1.616 20 0 DDADMM CC1CCN(CC(=O)N2CC[C@H](C(=O)[O-])[C@@H]3CCC[C@H]32)CC1 ZINC000910249290 709839945 /nfs/dbraw/zinc/83/99/45/709839945.db2.gz XHPRWPNRKTZTSU-SOUVJXGZSA-N -1 1 308.422 1.820 20 0 DDADMM C[C@@H]1CN(C[C@@H]2CCCN2c2ccc(C(=O)[O-])cn2)C[C@@H](C)O1 ZINC000900989494 709865669 /nfs/dbraw/zinc/86/56/69/709865669.db2.gz FEWMPJQQSCTQDB-NFAWXSAZSA-N -1 1 319.405 1.858 20 0 DDADMM C[C@@H]1CN(C[C@@H]2CCCN2c2cccc(C(=O)[O-])n2)C[C@H](C)O1 ZINC000900991561 709867582 /nfs/dbraw/zinc/86/75/82/709867582.db2.gz XBWNRHHBGMQQCK-MJBXVCDLSA-N -1 1 319.405 1.858 20 0 DDADMM O=C([O-])c1cc(NC2CCN(Cc3ccncc3)CC2)ncn1 ZINC000910344500 709903234 /nfs/dbraw/zinc/90/32/34/709903234.db2.gz ONKPHRRCABWXDZ-UHFFFAOYSA-N -1 1 313.361 1.646 20 0 DDADMM Cc1nc(N2CCC(N3CCOC[C@H]3C)CC2)ccc1C(=O)[O-] ZINC000910383690 709917579 /nfs/dbraw/zinc/91/75/79/709917579.db2.gz JKRIZQWXMMBMKC-GFCCVEGCSA-N -1 1 319.405 1.778 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC[C@@H](O)[C@H](F)C1 ZINC000890690969 709955768 /nfs/dbraw/zinc/95/57/68/709955768.db2.gz HAZDZVLRJFXSLC-NXEZZACHSA-N -1 1 307.243 1.956 20 0 DDADMM O=C([O-])[C@H](C[C@@H]1CCCO1)NC(=O)c1ccc(O)c(Cl)c1 ZINC000910588941 710012756 /nfs/dbraw/zinc/01/27/56/710012756.db2.gz ANCJUUXDDXGXTP-ONGXEEELSA-N -1 1 313.737 1.798 20 0 DDADMM COc1ccc(C[C@@H](C)CN2CCO[C@@H](C(=O)[O-])C2)cc1F ZINC000901490859 710054955 /nfs/dbraw/zinc/05/49/55/710054955.db2.gz POKYZKDDSVLXAQ-IAQYHMDHSA-N -1 1 311.353 1.798 20 0 DDADMM CO[C@](C)(CN(C)CC(=O)N1CCC[C@H](C(=O)[O-])C1)C1CC1 ZINC000901623200 710099427 /nfs/dbraw/zinc/09/94/27/710099427.db2.gz GMJMPYFRXVBDNS-BLLLJJGKSA-N -1 1 312.410 1.057 20 0 DDADMM C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC000901704202 710125986 /nfs/dbraw/zinc/12/59/86/710125986.db2.gz GTIGMUPOICCQAB-GXFFZTMASA-N -1 1 305.378 1.789 20 0 DDADMM CN(C)[C@@H](C(=O)Nc1cc(F)cc(F)c1[O-])c1cncn1C ZINC000910988360 710142585 /nfs/dbraw/zinc/14/25/85/710142585.db2.gz DRSBWWHMXLIEPM-GFCCVEGCSA-N -1 1 310.304 1.645 20 0 DDADMM O=C(C[C@H]1CCCS1(=O)=O)Nc1cc(F)cc(F)c1[O-] ZINC000910989643 710143105 /nfs/dbraw/zinc/14/31/05/710143105.db2.gz AHVDYQIKJCBBIM-MRVPVSSYSA-N -1 1 305.302 1.576 20 0 DDADMM CCn1nncc1CN[C@H](C(=O)[O-])c1ccc(OC)c(F)c1 ZINC000901853294 710160141 /nfs/dbraw/zinc/16/01/41/710160141.db2.gz KJTUWLPQZHIRAC-ZDUSSCGKSA-N -1 1 308.313 1.361 20 0 DDADMM COc1ccc([C@@H](NCc2cnc(C)n2C)C(=O)[O-])cc1F ZINC000901862232 710161417 /nfs/dbraw/zinc/16/14/17/710161417.db2.gz URNSQIYIVSPIML-CQSZACIVSA-N -1 1 307.325 1.792 20 0 DDADMM CCn1ncnc1CN[C@@H](Cc1cccc(Cl)c1)C(=O)[O-] ZINC000901867004 710162563 /nfs/dbraw/zinc/16/25/63/710162563.db2.gz DXYHECNADXJFJI-LBPRGKRZSA-N -1 1 308.769 1.737 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cc(C)cc(C(=O)OC)c1)OC ZINC000901953568 710183921 /nfs/dbraw/zinc/18/39/21/710183921.db2.gz HYPSRISROJCXDZ-CYBMUJFWSA-N -1 1 315.391 1.948 20 0 DDADMM COc1ccnc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)c1 ZINC000891612717 710248480 /nfs/dbraw/zinc/24/84/80/710248480.db2.gz AHGWKYVFQYBTQO-UHFFFAOYSA-N -1 1 300.318 1.717 20 0 DDADMM COCc1nc(NCc2ccc(NC(C)=O)cc2)cc(=O)[n-]1 ZINC000891678918 710264485 /nfs/dbraw/zinc/26/44/85/710264485.db2.gz NGTFEGCBFWVSRF-UHFFFAOYSA-N -1 1 302.334 1.899 20 0 DDADMM CCOC(=O)[C@H]1CCCN(CC(=O)Nc2cccc(F)c2[O-])C1 ZINC000913158442 713173286 /nfs/dbraw/zinc/17/32/86/713173286.db2.gz DZZKRICAHUQWOK-NSHDSACASA-N -1 1 324.352 1.745 20 0 DDADMM COCc1nc(NCCN(C)C(=O)OC(C)(C)C)cc(=O)[n-]1 ZINC000892277298 710408625 /nfs/dbraw/zinc/40/86/25/710408625.db2.gz WVYVCXGXMVJULF-UHFFFAOYSA-N -1 1 312.370 1.607 20 0 DDADMM Cc1nn(C)c(N2CCC2)c1NC(=O)c1ccc(O)cc1[O-] ZINC000913249441 713185923 /nfs/dbraw/zinc/18/59/23/713185923.db2.gz VNVZBESJSDMCIQ-UHFFFAOYSA-N -1 1 302.334 1.602 20 0 DDADMM CN(C(=O)C1(c2ccc(F)cc2F)CCCC1)c1nn[n-]n1 ZINC000892954584 710531705 /nfs/dbraw/zinc/53/17/05/710531705.db2.gz MNTMSNWZLFRWEN-UHFFFAOYSA-N -1 1 307.304 1.953 20 0 DDADMM O=C([O-])Cn1cc(CNCCSCc2ccccc2F)nn1 ZINC000902074068 710604908 /nfs/dbraw/zinc/60/49/08/710604908.db2.gz OAVOYGSZBYTRLK-UHFFFAOYSA-N -1 1 324.381 1.525 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC000911044488 710608114 /nfs/dbraw/zinc/60/81/14/710608114.db2.gz DVKFJPJQILHJPZ-QWRGUYRKSA-N -1 1 323.418 1.642 20 0 DDADMM CC(C)[C@@H](C(=O)Nc1ccc2cnn(CC(=O)[O-])c2c1)N(C)C ZINC000911073439 710622187 /nfs/dbraw/zinc/62/21/87/710622187.db2.gz XNQOTOBBKMCNED-HNNXBMFYSA-N -1 1 318.377 1.646 20 0 DDADMM COc1ccc2c(c1)CCN(Cc1cc(C(=O)[O-])no1)CC2 ZINC000902133579 710627387 /nfs/dbraw/zinc/62/73/87/710627387.db2.gz ZEMVXODQNWDCLR-UHFFFAOYSA-N -1 1 302.330 1.982 20 0 DDADMM O=C([O-])C1(C(=O)NC[C@H](c2ccco2)N2CCCC2)CCC1 ZINC000911096644 710632294 /nfs/dbraw/zinc/63/22/94/710632294.db2.gz SQPGZQWZTMXELS-GFCCVEGCSA-N -1 1 306.362 1.788 20 0 DDADMM Cc1cccc(C(=O)NCCNCc2ccc(C(=O)[O-])o2)c1 ZINC000902159450 710640284 /nfs/dbraw/zinc/64/02/84/710640284.db2.gz XIQUVOHEYNVIDA-UHFFFAOYSA-N -1 1 302.330 1.806 20 0 DDADMM O=C([O-])c1occc1CN[C@@H]1CCN(c2ccccc2)C1=O ZINC000902241395 710676798 /nfs/dbraw/zinc/67/67/98/710676798.db2.gz ZMEPJFKSGHEYBV-CYBMUJFWSA-N -1 1 300.314 1.873 20 0 DDADMM Cc1ccc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c(C)c1O ZINC000911223007 710695832 /nfs/dbraw/zinc/69/58/32/710695832.db2.gz WNQRQUCCEBBONZ-GFCCVEGCSA-N -1 1 306.362 1.744 20 0 DDADMM CC[C@H](CNCc1cn(CC(=O)[O-])nn1)Oc1ccccc1F ZINC000902356205 710728498 /nfs/dbraw/zinc/72/84/98/710728498.db2.gz QEDBGDDZJRIEEX-GFCCVEGCSA-N -1 1 322.340 1.449 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000911325275 710742652 /nfs/dbraw/zinc/74/26/52/710742652.db2.gz JYOCXPPFHYTEQH-JQWIXIFHSA-N -1 1 320.393 1.889 20 0 DDADMM O=C([O-])C12CCC(C(=O)N[C@H]3CCCc4cn[nH]c43)(CC1)C2 ZINC000911384924 710772134 /nfs/dbraw/zinc/77/21/34/710772134.db2.gz LDMFVQQOUDUBOW-SOISORPOSA-N -1 1 303.362 1.938 20 0 DDADMM O=C([O-])c1nc(C(=O)N2CCC(c3cnc[nH]3)CC2)cs1 ZINC000911385475 710772726 /nfs/dbraw/zinc/77/27/26/710772726.db2.gz UKZPUOLZWKEMIW-UHFFFAOYSA-N -1 1 306.347 1.584 20 0 DDADMM CCN(C)C(=O)[C@@H](C)NCc1ncc(Br)cc1[O-] ZINC000893964005 710902984 /nfs/dbraw/zinc/90/29/84/710902984.db2.gz KMWTUPFDABJAHU-MRVPVSSYSA-N -1 1 316.199 1.506 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)C1CC2(CSC2)C1 ZINC000913439082 713215998 /nfs/dbraw/zinc/21/59/98/713215998.db2.gz XZNAAJMIIUGTDA-GFCCVEGCSA-N -1 1 315.402 1.549 20 0 DDADMM CO[C@@](C)(C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C1CC1 ZINC000913438393 713216146 /nfs/dbraw/zinc/21/61/46/713216146.db2.gz RRSZVEVATZPYFU-IUODEOHRSA-N -1 1 301.350 1.220 20 0 DDADMM CCCN(C(=O)c1cc(-c2ccn(C)c2)[nH]n1)[C@H](C)C(=O)[O-] ZINC000911707512 710941061 /nfs/dbraw/zinc/94/10/61/710941061.db2.gz KTFUKQHKFQMXBR-SNVBAGLBSA-N -1 1 304.350 1.741 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1cnc(C)c(C)c1 ZINC000903196562 711060993 /nfs/dbraw/zinc/06/09/93/711060993.db2.gz AKQYQIIRCMYXFL-UHFFFAOYSA-N -1 1 305.425 1.863 20 0 DDADMM Cc1cn2c(nc(CN3CCCC[C@@H]3C(=O)[O-])cc2=O)s1 ZINC000903401404 711116307 /nfs/dbraw/zinc/11/63/07/711116307.db2.gz LZUREZOGJHRUBH-LLVKDONJSA-N -1 1 307.375 1.504 20 0 DDADMM CN(C(=O)[C@]1(C(=O)[O-])CNCCO1)c1ccc2ccccc2c1 ZINC000912041393 711140075 /nfs/dbraw/zinc/14/00/75/711140075.db2.gz ZMNNSFBJVNMZFJ-KRWDZBQOSA-N -1 1 314.341 1.246 20 0 DDADMM CCCCCc1ccc(NC(=O)[C@]2(C(=O)[O-])CNCCO2)cc1 ZINC000912055260 711149091 /nfs/dbraw/zinc/14/90/91/711149091.db2.gz HLDWOIVCSGVSJD-KRWDZBQOSA-N -1 1 320.389 1.801 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(Cc1ncnn1-c1ccccc1)C2 ZINC000903611901 711223909 /nfs/dbraw/zinc/22/39/09/711223909.db2.gz YQFLCPOAZZHHSD-GUYCJALGSA-N -1 1 312.373 1.954 20 0 DDADMM COc1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)ccc1Cl ZINC000913494812 713237290 /nfs/dbraw/zinc/23/72/90/713237290.db2.gz CYGFCOOPUPVJBJ-SNVBAGLBSA-N -1 1 323.740 1.075 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(C[C@H](O)c2ccc(Cl)cc2Cl)C1 ZINC000903623035 711227937 /nfs/dbraw/zinc/22/79/37/711227937.db2.gz MPBCJFAKULGGOG-WCQYABFASA-N -1 1 320.172 1.548 20 0 DDADMM O=C(N[C@H]([C@@H]1CCCO1)C1(CO)CCC1)c1cncc([O-])c1 ZINC000912219190 711228246 /nfs/dbraw/zinc/22/82/46/711228246.db2.gz SISNABPYTZDCNR-UONOGXRCSA-N -1 1 306.362 1.227 20 0 DDADMM C[C@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccc1F ZINC000913494585 713237476 /nfs/dbraw/zinc/23/74/76/713237476.db2.gz FZTFALMQNVSISS-JOYOIKCWSA-N -1 1 305.313 1.042 20 0 DDADMM C[C@@H](Cc1ccc(F)cc1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494597 713237489 /nfs/dbraw/zinc/23/74/89/713237489.db2.gz GEFRWGHKASMNGD-GWCFXTLKSA-N -1 1 319.340 1.118 20 0 DDADMM Cn1nc(C2CC2)cc1S(=O)(=O)[N-]c1ccc(F)nc1F ZINC000903641815 711233328 /nfs/dbraw/zinc/23/33/28/711233328.db2.gz SXNXHYREDNOINS-UHFFFAOYSA-N -1 1 314.317 1.772 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495032 713237871 /nfs/dbraw/zinc/23/78/71/713237871.db2.gz GLIXISIUOCNFGW-CHOZFAJLSA-N -1 1 317.324 1.342 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc2ncn(C)c2c1)C1CC1 ZINC000903656056 711237163 /nfs/dbraw/zinc/23/71/63/711237163.db2.gz LWLYNAOSUCZJCR-AWEZNQCLSA-N -1 1 309.391 1.740 20 0 DDADMM Cc1c(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cnc2ccccc12 ZINC000913496051 713238375 /nfs/dbraw/zinc/23/83/75/713238375.db2.gz ROTWQVDVKORBDT-CQSZACIVSA-N -1 1 324.344 1.270 20 0 DDADMM O=C(/C=C/SCc1ccco1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495938 713238380 /nfs/dbraw/zinc/23/83/80/713238380.db2.gz PUTBFAYXYLTFQQ-CGAJTASUSA-N -1 1 321.362 1.140 20 0 DDADMM O=C(/C=C/C1CCCCCC1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499950 713239480 /nfs/dbraw/zinc/23/94/80/713239480.db2.gz SYDAQIYIFOUNGV-GWJCSSMESA-N -1 1 305.382 1.626 20 0 DDADMM COC[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CCOCC1 ZINC000912297513 711265566 /nfs/dbraw/zinc/26/55/66/711265566.db2.gz SMBZADJEOBKXKS-CYBMUJFWSA-N -1 1 321.377 1.231 20 0 DDADMM CCc1nc(CN2CCOc3cc(C(=O)[O-])ccc3C2)n[nH]1 ZINC000903958873 711347986 /nfs/dbraw/zinc/34/79/86/711347986.db2.gz PZEMFRRZWQFRMB-UHFFFAOYSA-N -1 1 302.334 1.460 20 0 DDADMM COc1ccc(OC)c(CN[C@H](C(=O)[O-])c2cnn(C)c2C)c1 ZINC000904048185 711369817 /nfs/dbraw/zinc/36/98/17/711369817.db2.gz NYXAQWRTIOVJOA-HNNXBMFYSA-N -1 1 319.361 1.661 20 0 DDADMM COc1ccc(CN[C@H](C(=O)[O-])c2cnn(C)c2C)c(F)c1 ZINC000904047807 711370308 /nfs/dbraw/zinc/37/03/08/711370308.db2.gz JVYNVKRKMKDCHE-AWEZNQCLSA-N -1 1 307.325 1.792 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2nc3c(s2)CCC3)n1 ZINC000895233781 711459496 /nfs/dbraw/zinc/45/94/96/711459496.db2.gz PMZDVNGBHVKUIQ-MRVPVSSYSA-N -1 1 321.406 1.777 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NCc2csc(CC)n2)n[n-]1 ZINC000895235861 711460421 /nfs/dbraw/zinc/46/04/21/711460421.db2.gz OBXZGCMFKLWNKH-QMMMGPOBSA-N -1 1 309.395 1.851 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2csc(CC)n2)n1 ZINC000895235861 711460422 /nfs/dbraw/zinc/46/04/22/711460422.db2.gz OBXZGCMFKLWNKH-QMMMGPOBSA-N -1 1 309.395 1.851 20 0 DDADMM Cc1cccc2c1O[C@H](C(=O)N1CCC(c3nn[n-]n3)CC1)C2 ZINC000913746284 713293900 /nfs/dbraw/zinc/29/39/00/713293900.db2.gz MRNXIUFCXYSSQP-ZDUSSCGKSA-N -1 1 313.361 1.218 20 0 DDADMM CCCS(=O)(=O)CCN[C@@H](C(=O)[O-])c1ccc(F)c(C)c1 ZINC000905385535 712023922 /nfs/dbraw/zinc/02/39/22/712023922.db2.gz NHAZTWFIORVNIB-CYBMUJFWSA-N -1 1 317.382 1.674 20 0 DDADMM COc1cccc([C@H](NCc2cnc(OC)nc2C)C(=O)[O-])c1 ZINC000905397137 712027369 /nfs/dbraw/zinc/02/73/69/712027369.db2.gz SAOGTTGVHSZVKY-AWEZNQCLSA-N -1 1 317.345 1.718 20 0 DDADMM CC[C@H](O)[C@H](C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000913780410 713299547 /nfs/dbraw/zinc/29/95/47/713299547.db2.gz VGPCDNDNXZFQFW-AUTRQRHGSA-N -1 1 310.316 1.063 20 0 DDADMM Cc1ccc(O)cc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000913781582 713299715 /nfs/dbraw/zinc/29/97/15/713299715.db2.gz RNEFYPWPJWDJBC-UHFFFAOYSA-N -1 1 316.279 1.451 20 0 DDADMM O=C(NCC[C@@H](O)C1CCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000913786134 713300600 /nfs/dbraw/zinc/30/06/00/713300600.db2.gz KLOYDAIGBKKJHR-CYBMUJFWSA-N -1 1 305.378 1.731 20 0 DDADMM Cc1noc(C[C@H]2CCCN(Cc3cccc(C(=O)[O-])n3)C2)n1 ZINC000905774671 712144090 /nfs/dbraw/zinc/14/40/90/712144090.db2.gz KOWMDFIICGDYMB-GFCCVEGCSA-N -1 1 316.361 1.926 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]4C[C@@H]4C[C@@H]3C)nc2n1 ZINC000906058690 712233035 /nfs/dbraw/zinc/23/30/35/712233035.db2.gz WYWCCQYZYQHEGA-QFOLPQNPSA-N -1 1 315.377 1.601 20 0 DDADMM O=C([C@@H]1CCC=CCCC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907475754 712582207 /nfs/dbraw/zinc/58/22/07/712582207.db2.gz LBFPMDHFOCGRRC-NEPJUHHUSA-N -1 1 307.423 1.953 20 0 DDADMM Cc1nc(CCC(=O)N2CCSC[C@@H]2c2nn[n-]n2)cs1 ZINC000907477257 712582791 /nfs/dbraw/zinc/58/27/91/712582791.db2.gz UMIXHKNXUBNPCY-SNVBAGLBSA-N -1 1 324.435 1.214 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC[C@@H]2CCCC[C@@H]2O)sn1 ZINC000907486635 712584922 /nfs/dbraw/zinc/58/49/22/712584922.db2.gz NKUAHFCLMMLKTR-QWRGUYRKSA-N -1 1 304.437 1.671 20 0 DDADMM O=C(NCC1(CCO)CC1)c1c([O-])cnc2c(F)cccc21 ZINC000907556998 712594562 /nfs/dbraw/zinc/59/45/62/712594562.db2.gz WJWOQTOWJFNEDN-UHFFFAOYSA-N -1 1 304.321 1.972 20 0 DDADMM C[C@@H]1CC2(CC([N-]S(=O)(=O)c3ccc(F)nc3F)C2)CO1 ZINC000907733733 712619891 /nfs/dbraw/zinc/61/98/91/712619891.db2.gz XBAXADDZTAHZQV-BGQFSCJGSA-N -1 1 318.345 1.596 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)c2cncs2)o1 ZINC000907933558 712651225 /nfs/dbraw/zinc/65/12/25/712651225.db2.gz YBDSWCFDRNIBCW-SSDOTTSWSA-N -1 1 316.360 1.562 20 0 DDADMM O=C(/C=C\C1CCCCCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907941241 712652276 /nfs/dbraw/zinc/65/22/76/712652276.db2.gz OQIJHHOXUTWMTB-FPLPWBNLSA-N -1 1 319.409 1.536 20 0 DDADMM O=S(=O)([N-]CC[C@H](O)C1CC1)c1ccc(Cl)nc1F ZINC000908312965 712738097 /nfs/dbraw/zinc/73/80/97/712738097.db2.gz NELLWGWHWMHSNJ-QMMMGPOBSA-N -1 1 308.762 1.313 20 0 DDADMM O=C([O-])[C@@H](NC(=O)NC[C@@H](c1ccco1)N1CCCC1)C1CC1 ZINC000908746667 712846900 /nfs/dbraw/zinc/84/69/00/712846900.db2.gz CJAWTMUGYGPCAB-JSGCOSHPSA-N -1 1 321.377 1.579 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC2(c3ccccc3F)CC2)C1 ZINC000908816972 712861033 /nfs/dbraw/zinc/86/10/33/712861033.db2.gz MZAZFBFHGRBJFN-LBPRGKRZSA-N -1 1 320.364 1.728 20 0 DDADMM Cn1cnc(C[N-]S(=O)(=O)c2cscc2C(F)F)n1 ZINC000914316766 713377314 /nfs/dbraw/zinc/37/73/14/713377314.db2.gz COZCDFVQCZTREZ-UHFFFAOYSA-N -1 1 308.335 1.293 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000929062680 713542355 /nfs/dbraw/zinc/54/23/55/713542355.db2.gz BGRRKXKQTHNLSP-NEPJUHHUSA-N -1 1 315.439 1.072 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(F)F)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966049536 717910915 /nfs/dbraw/zinc/91/09/15/717910915.db2.gz CJTHGFZTLYBKKX-DTWKUNHWSA-N -1 1 313.304 1.019 20 0 DDADMM COC(=O)[C@H]1CN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929513175 713627840 /nfs/dbraw/zinc/62/78/40/713627840.db2.gz QMOBFVDRZKRUJF-BXUZGUMPSA-N -1 1 307.346 1.085 20 0 DDADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)C[C@@H]1CCCCO1)CCO2 ZINC000921315350 713731184 /nfs/dbraw/zinc/73/11/84/713731184.db2.gz CJZJLASXZOQFMT-MJBXVCDLSA-N -1 1 319.423 1.161 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@H]1c2ccccc2OC[C@@H]1F ZINC000921319800 713732651 /nfs/dbraw/zinc/73/26/51/713732651.db2.gz WRABSQOPRHORTM-AAEUAGOBSA-N -1 1 317.382 1.803 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-]C1CSC1)c1ccccc1F ZINC000921869376 713891187 /nfs/dbraw/zinc/89/11/87/713891187.db2.gz CDICWRKLFKSRPI-GOSISDBHSA-N -1 1 324.424 1.232 20 0 DDADMM C[C@@H](CN1C[C@H](CO)OC(C)(C)C1)C(=O)c1ccc([O-])cc1 ZINC000930749238 713910397 /nfs/dbraw/zinc/91/03/97/713910397.db2.gz OQOHFJSUJCBMGQ-SWLSCSKDSA-N -1 1 307.390 1.683 20 0 DDADMM O=S(=O)([N-][C@H]1c2ccccc2CC[C@H]1O)c1ccns1 ZINC000922039281 713943838 /nfs/dbraw/zinc/94/38/38/713943838.db2.gz XSBIIHKVDRNZBL-YPMHNXCESA-N -1 1 310.400 1.470 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCc2cccc3c2OCC3)C1 ZINC000923110200 714239808 /nfs/dbraw/zinc/23/98/08/714239808.db2.gz VWDQWZNAKKTOQC-CQSZACIVSA-N -1 1 318.373 1.034 20 0 DDADMM O=C(N[C@H]1C(=O)NCC12CCOCC2)c1ccc(Cl)cc1[O-] ZINC000923953313 714493803 /nfs/dbraw/zinc/49/38/03/714493803.db2.gz PTUHRVGQTZUZIB-LBPRGKRZSA-N -1 1 324.764 1.071 20 0 DDADMM O=C(C[C@@H]1CC[C@@H](C2CC2)O1)OCCC[N-]C(=O)C(F)(F)F ZINC000924353404 714568594 /nfs/dbraw/zinc/56/85/94/714568594.db2.gz RWRYPFLWQUPPBL-QWRGUYRKSA-N -1 1 323.311 1.946 20 0 DDADMM COC(=O)c1cnc(NC([O-])=NO[C@@H]2CCCCO2)cc1C ZINC000933894030 714695127 /nfs/dbraw/zinc/69/51/27/714695127.db2.gz ZYJYYMNCIJEZGX-GFCCVEGCSA-N -1 1 309.322 1.756 20 0 DDADMM COC(=O)c1cnc(NC(=O)[N-]O[C@@H]2CCCCO2)cc1C ZINC000933894030 714695130 /nfs/dbraw/zinc/69/51/30/714695130.db2.gz ZYJYYMNCIJEZGX-GFCCVEGCSA-N -1 1 309.322 1.756 20 0 DDADMM COC(=O)/C=C(/C)CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934271199 714782602 /nfs/dbraw/zinc/78/26/02/714782602.db2.gz ZHIZCHLXNWNVBS-ZJRUKIMVSA-N -1 1 307.350 1.447 20 0 DDADMM CCCn1ncnc1CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934273268 714783012 /nfs/dbraw/zinc/78/30/12/714783012.db2.gz NFZBNAYPVBEEFB-LLVKDONJSA-N -1 1 318.381 1.529 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2ccnc(C)n2)[n-]c1=O ZINC000934280143 714785857 /nfs/dbraw/zinc/78/58/57/714785857.db2.gz SHZKOTBAVGYQHB-GFCCVEGCSA-N -1 1 301.350 1.626 20 0 DDADMM CCC[C@H](NC(=O)C[C@H]1CC[C@@H](C(F)(F)F)O1)c1nn[n-]n1 ZINC000934311476 714792078 /nfs/dbraw/zinc/79/20/78/714792078.db2.gz IIFPUHSGBNVIKL-VGMNWLOBSA-N -1 1 321.303 1.657 20 0 DDADMM COC1(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCCCCC1 ZINC000935037071 714961281 /nfs/dbraw/zinc/96/12/81/714961281.db2.gz MXDHHUHPENPLPA-GFCCVEGCSA-N -1 1 307.398 1.645 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])[C@@H]1CC[C@H](CN2CCOCC2)O1 ZINC000935101130 714975743 /nfs/dbraw/zinc/97/57/43/714975743.db2.gz UYYSELFMHAUHLC-DOMZBBRYSA-N -1 1 324.352 1.350 20 0 DDADMM C[C@H]1COC[C@@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000926023187 714991706 /nfs/dbraw/zinc/99/17/06/714991706.db2.gz CGOZZTJRUWQZNK-SDBXPKJASA-N -1 1 300.305 1.547 20 0 DDADMM Cn1cc(O[C@@H]2CCC[C@@H]2[N-]C(=O)C(F)(F)C(F)F)cn1 ZINC000926279789 715041044 /nfs/dbraw/zinc/04/10/44/715041044.db2.gz SWMHOTJWHWKKHV-DTWKUNHWSA-N -1 1 309.263 1.737 20 0 DDADMM CC1(CC(=O)Nc2nc(Br)ccc2[O-])COC1 ZINC000935594818 715096925 /nfs/dbraw/zinc/09/69/25/715096925.db2.gz PAKLZQGYBFJCGV-UHFFFAOYSA-N -1 1 301.140 1.915 20 0 DDADMM CCC(CC)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000936693721 715232224 /nfs/dbraw/zinc/23/22/24/715232224.db2.gz VRIPPBCEFLLXTN-ZDUSSCGKSA-N -1 1 319.405 1.896 20 0 DDADMM CN(C(=O)C1CCC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937221150 715295348 /nfs/dbraw/zinc/29/53/48/715295348.db2.gz YXVPBAFDCVPNKV-GFCCVEGCSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000954263694 715313858 /nfs/dbraw/zinc/31/38/58/715313858.db2.gz SAYAAXYVTYUDSM-NWDGAFQWSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956135092 715328936 /nfs/dbraw/zinc/32/89/36/715328936.db2.gz HQBHEIUXADWMIJ-GRYCIOLGSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956241524 715365802 /nfs/dbraw/zinc/36/58/02/715365802.db2.gz ZYZXKSRZNPDAPT-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CCCCC1 ZINC000937621326 715456353 /nfs/dbraw/zinc/45/63/53/715456353.db2.gz DJIRYLDQEGUABK-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM CC(=O)N1CC[C@@]2(C1)CCCCN(C(=O)c1ncccc1[O-])C2 ZINC000956549541 715468116 /nfs/dbraw/zinc/46/81/16/715468116.db2.gz HJMUHTYPKACMLB-QGZVFWFLSA-N -1 1 317.389 1.652 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CC=CCC1 ZINC000937670471 715490936 /nfs/dbraw/zinc/49/09/36/715490936.db2.gz FBISIMHGUSUDHB-STQMWFEESA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956850468 715637094 /nfs/dbraw/zinc/63/70/94/715637094.db2.gz NHIBUIPPXLCVEV-LRDDRELGSA-N -1 1 323.368 1.502 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938472867 715860842 /nfs/dbraw/zinc/86/08/42/715860842.db2.gz UAOJHICHDDEFAR-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939089119 716126076 /nfs/dbraw/zinc/12/60/76/716126076.db2.gz HCNBWNPPGUNLQJ-GHMZBOCLSA-N -1 1 309.341 1.208 20 0 DDADMM Cc1ccoc1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959879028 716332945 /nfs/dbraw/zinc/33/29/45/716332945.db2.gz TXVHRIOPZIDUOK-NSHDSACASA-N -1 1 319.365 1.196 20 0 DDADMM CCC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000958312574 716468395 /nfs/dbraw/zinc/46/83/95/716468395.db2.gz PCLZTKVJVBOSQF-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959428112 717012637 /nfs/dbraw/zinc/01/26/37/717012637.db2.gz RNBILCUPTBVJKT-BELPRIPISA-N -1 1 317.389 1.578 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)C2CC2)CC1)c1ncccc1[O-] ZINC000964850730 717453739 /nfs/dbraw/zinc/45/37/39/717453739.db2.gz SKTHREGYPHEBRD-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)C(=O)N1CCC[C@H]2[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962775032 717584144 /nfs/dbraw/zinc/58/41/44/717584144.db2.gz JFBAQQIKLZNMLP-QWHCGFSZSA-N -1 1 317.389 1.649 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000943977218 718235979 /nfs/dbraw/zinc/23/59/79/718235979.db2.gz XYIGXAFAKOAKFR-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)CC2CCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966273430 718494723 /nfs/dbraw/zinc/49/47/23/718494723.db2.gz QZEQABONDDHPIQ-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)C1CC=CC1 ZINC000946532753 718820665 /nfs/dbraw/zinc/82/06/65/718820665.db2.gz AZFYLLRNSQRSKE-UHFFFAOYSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(C[C@@H]1C=CCC1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949530937 720143235 /nfs/dbraw/zinc/14/32/35/720143235.db2.gz JSDGSHXWMGIBQR-CHWSQXEVSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(C=C1CCC1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950539373 720675109 /nfs/dbraw/zinc/67/51/09/720675109.db2.gz OEEDQNNSDWESSP-CYBMUJFWSA-N -1 1 315.373 1.618 20 0 DDADMM CN(Cc1ccon1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971388372 721271313 /nfs/dbraw/zinc/27/13/13/721271313.db2.gz LFEZGIFFQOUPTM-LBPRGKRZSA-N -1 1 302.334 1.122 20 0 DDADMM CC(=O)N1CCCC[C@H]1[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000952317010 721405166 /nfs/dbraw/zinc/40/51/66/721405166.db2.gz YPBWOVIPMXDNTA-KGLIPLIRSA-N -1 1 317.389 1.650 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CC2CCCC2)C1 ZINC000954123908 721723648 /nfs/dbraw/zinc/72/36/48/721723648.db2.gz DBSZHNPTHQMAGX-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@H]1[C@@H](Cc2ccccc2)CCN1c1nnc(-c2nnn[n-]2)n1C ZINC001121268607 782433029 /nfs/dbraw/zinc/43/30/29/782433029.db2.gz SEARAHVLOHYOOT-WCQYABFASA-N -1 1 324.392 1.453 20 0 DDADMM C[C@H]1[C@@H](Cc2ccccc2)CCN1c1nnc(-c2nn[n-]n2)n1C ZINC001121268607 782433033 /nfs/dbraw/zinc/43/30/33/782433033.db2.gz SEARAHVLOHYOOT-WCQYABFASA-N -1 1 324.392 1.453 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC(c2ccsc2)CC1 ZINC001121268325 782433577 /nfs/dbraw/zinc/43/35/77/782433577.db2.gz MEAPFXDOWQKOEM-UHFFFAOYSA-N -1 1 316.394 1.441 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC(c2ccsc2)CC1 ZINC001121268325 782433584 /nfs/dbraw/zinc/43/35/84/782433584.db2.gz MEAPFXDOWQKOEM-UHFFFAOYSA-N -1 1 316.394 1.441 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167576743 734753272 /nfs/dbraw/zinc/75/32/72/734753272.db2.gz CPLPXVFIFNUTKW-VXGBXAGGSA-N -1 1 321.425 1.179 20 0 DDADMM C[C@@H](CN(C)c1nnc(Cc2nnn[n-]2)n1C)c1ccccc1 ZINC001121316739 782455619 /nfs/dbraw/zinc/45/56/19/782455619.db2.gz FOCBHRJOVNZDEC-NSHDSACASA-N -1 1 312.381 1.159 20 0 DDADMM C[C@@H](CN(C)c1nnc(Cc2nn[n-]n2)n1C)c1ccccc1 ZINC001121316739 782455623 /nfs/dbraw/zinc/45/56/23/782455623.db2.gz FOCBHRJOVNZDEC-NSHDSACASA-N -1 1 312.381 1.159 20 0 DDADMM O=C([C@H]1CC=CCC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024865203 736136554 /nfs/dbraw/zinc/13/65/54/736136554.db2.gz MWKPEVKOIWUWOM-QWHCGFSZSA-N -1 1 319.409 1.337 20 0 DDADMM CN(C)C(=O)CCC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692822418 738102001 /nfs/dbraw/zinc/10/20/01/738102001.db2.gz JHHCBAZTDJPLEA-UHFFFAOYSA-N -1 1 323.777 1.021 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CCOCC2)c1ccc(Cl)nc1F ZINC000692822513 738102378 /nfs/dbraw/zinc/10/23/78/738102378.db2.gz JQHDRFDAAOVSCC-SECBINFHSA-N -1 1 320.773 1.722 20 0 DDADMM CC(C)n1ccc(C[NH2+]CCNC(=O)c2ncccc2O)n1 ZINC001126130492 738362654 /nfs/dbraw/zinc/36/26/54/738362654.db2.gz CNHQDCHXQNKLNU-UHFFFAOYSA-N -1 1 303.366 1.084 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@H]2CC=CCC2)C1 ZINC001029645509 741171357 /nfs/dbraw/zinc/17/13/57/741171357.db2.gz SGZNZOPSTBBWJW-OLZOCXBDSA-N -1 1 319.409 1.147 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088298132 741347811 /nfs/dbraw/zinc/34/78/11/741347811.db2.gz DNPMUQUQHPVRKZ-VXGBXAGGSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H](CCCNc1nccnc1F)NC(=O)c1ncccc1[O-] ZINC001114906217 751645677 /nfs/dbraw/zinc/64/56/77/751645677.db2.gz ABEVTHKHHCLHLY-SNVBAGLBSA-N -1 1 319.340 1.727 20 0 DDADMM CCC1(C(=O)N[C@@H](C)CCNC(=O)c2ncccc2[O-])CCC1 ZINC001076246378 742619201 /nfs/dbraw/zinc/61/92/01/742619201.db2.gz PWJNACIVEJSTCG-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CO[C@@H](C)CCC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244918 742624733 /nfs/dbraw/zinc/62/47/33/742624733.db2.gz GCAXEJMMRRSNIH-RYUDHWBXSA-N -1 1 323.393 1.227 20 0 DDADMM CC1(C)OC[C@@H](C(=O)Nc2nc(Br)ccc2[O-])O1 ZINC001181737415 743336929 /nfs/dbraw/zinc/33/69/29/743336929.db2.gz QUNJFACRIIRILD-ZETCQYMHSA-N -1 1 317.139 1.640 20 0 DDADMM CSc1nc(NC(=O)[C@H](CO)c2ccccc2)cc(=O)[n-]1 ZINC001181925052 743394493 /nfs/dbraw/zinc/39/44/93/743394493.db2.gz UPKHYQUHLWJPOZ-SNVBAGLBSA-N -1 1 305.359 1.619 20 0 DDADMM CCN(CC)[C@H](C(=O)NCc1nn[n-]n1)c1ccccc1Cl ZINC001182224745 743534858 /nfs/dbraw/zinc/53/48/58/743534858.db2.gz RRPDXWNYAGIQJE-ZDUSSCGKSA-N -1 1 322.800 1.552 20 0 DDADMM O=C(Cc1ccc2nc[nH]c2c1)Nc1cc(=O)[n-]c(Cl)n1 ZINC001182841801 743737622 /nfs/dbraw/zinc/73/76/22/743737622.db2.gz YXHHQZLUBFOWBR-UHFFFAOYSA-N -1 1 303.709 1.893 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(c3ccc(O)cc3)CC2)n1 ZINC001183339335 743826240 /nfs/dbraw/zinc/82/62/40/743826240.db2.gz QKAKFQACXUWIBZ-UHFFFAOYSA-N -1 1 315.329 1.962 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2ccc(N)nc2)[n-]1 ZINC001183928542 743938487 /nfs/dbraw/zinc/93/84/87/743938487.db2.gz DGZCCJCDBANTCO-UHFFFAOYSA-N -1 1 303.322 1.135 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2ccc(N)nc2)n1 ZINC001183928542 743938488 /nfs/dbraw/zinc/93/84/88/743938488.db2.gz DGZCCJCDBANTCO-UHFFFAOYSA-N -1 1 303.322 1.135 20 0 DDADMM COc1ccc(CN2CC(NC(=O)c3ncccc3[O-])C2)cc1 ZINC001030239897 743976371 /nfs/dbraw/zinc/97/63/71/743976371.db2.gz PCXKOLIJVFTGNK-UHFFFAOYSA-N -1 1 313.357 1.410 20 0 DDADMM O=C(NC1CN(CCC[C@H]2CCOC2)C1)c1ncccc1[O-] ZINC001030241596 743977636 /nfs/dbraw/zinc/97/76/36/743977636.db2.gz IVCFZRBQJSLOQM-LBPRGKRZSA-N -1 1 305.378 1.018 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(C(C)(C)C)ccn3)c1-2 ZINC001184541918 744057016 /nfs/dbraw/zinc/05/70/16/744057016.db2.gz PNWXQJJYOKKUQS-UHFFFAOYSA-N -1 1 310.361 1.980 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C(C)=O)ccn2)n1 ZINC001184818478 744107885 /nfs/dbraw/zinc/10/78/85/744107885.db2.gz AAGMJSXLBWSUGT-UHFFFAOYSA-N -1 1 302.290 1.436 20 0 DDADMM C[C@H]1C[C@@H]1c1ncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC001185116201 744163837 /nfs/dbraw/zinc/16/38/37/744163837.db2.gz MZKAKDXVZQWBMG-FSPLSTOPSA-N -1 1 312.255 1.989 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)NCc1cccc(F)c1 ZINC001185799799 744289226 /nfs/dbraw/zinc/28/92/26/744289226.db2.gz YIIDYCLAKJQVOJ-UHFFFAOYSA-N -1 1 307.241 1.747 20 0 DDADMM Cc1c(F)cccc1S(=O)(=O)[N-]c1cc(O)cc(C(N)=O)c1 ZINC001185836104 744293628 /nfs/dbraw/zinc/29/36/28/744293628.db2.gz BPQVAUWKQIGHEC-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM CCOC(=O)c1nc[nH]c1CNC(=O)c1ccc([O-])c(F)c1 ZINC001186236638 744361811 /nfs/dbraw/zinc/36/18/11/744361811.db2.gz KBROUCSXSOUWHP-UHFFFAOYSA-N -1 1 307.281 1.361 20 0 DDADMM CN1CCN(c2ccc([N-]S(=O)(=O)c3ccco3)nc2)CC1 ZINC001186806130 744445984 /nfs/dbraw/zinc/44/59/84/744445984.db2.gz RNTLVZULGRNMFW-UHFFFAOYSA-N -1 1 322.390 1.227 20 0 DDADMM COc1cccc([C@@H](CO)[N-]S(=O)(=O)c2cnoc2C)c1 ZINC001187400854 744556506 /nfs/dbraw/zinc/55/65/06/744556506.db2.gz KSJILFGAMWMBLG-GFCCVEGCSA-N -1 1 312.347 1.004 20 0 DDADMM CCCCOC(=O)[C@H](C)NC(=O)c1n[n-]nc1C(F)(F)F ZINC001187770664 744599186 /nfs/dbraw/zinc/59/91/86/744599186.db2.gz PMZGUSJOGLRLTI-LURJTMIESA-N -1 1 308.260 1.285 20 0 DDADMM Cc1cc(NC(=O)c2n[n-]nc2C(F)(F)F)n(CCF)n1 ZINC001187758810 744606154 /nfs/dbraw/zinc/60/61/54/744606154.db2.gz IZLFNFOCLMGDNE-UHFFFAOYSA-N -1 1 306.223 1.550 20 0 DDADMM O=C(Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12)c1ccc[nH]1 ZINC001188573916 744728887 /nfs/dbraw/zinc/72/88/87/744728887.db2.gz FVJPDDRPYCBJTK-UHFFFAOYSA-N -1 1 322.284 1.554 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc(-c4cnco4)c3)c1-2 ZINC001188932671 744793749 /nfs/dbraw/zinc/79/37/49/744793749.db2.gz ONMQUCIPFOUZFT-UHFFFAOYSA-N -1 1 320.312 1.942 20 0 DDADMM COC(=O)c1nc(OC)ccc1[N-]S(=O)(=O)CC1CC1 ZINC001188938441 744795105 /nfs/dbraw/zinc/79/51/05/744795105.db2.gz FVHBFWHHWNIDJB-UHFFFAOYSA-N -1 1 300.336 1.029 20 0 DDADMM Cc1cc(N[C@H](C)C[C@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001089271725 744845490 /nfs/dbraw/zinc/84/54/90/744845490.db2.gz KXUXHESSZUPRCK-NEPJUHHUSA-N -1 1 315.377 1.895 20 0 DDADMM Cc1cc(N[C@H](C)C[C@@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001089271729 744845524 /nfs/dbraw/zinc/84/55/24/744845524.db2.gz KXUXHESSZUPRCK-VXGBXAGGSA-N -1 1 315.377 1.895 20 0 DDADMM COC(C)(C[N-]S(=O)(=O)Cc1ccc(F)cc1F)OC ZINC001189845678 745018000 /nfs/dbraw/zinc/01/80/00/745018000.db2.gz OPSUGTRSVDWQJJ-UHFFFAOYSA-N -1 1 309.334 1.393 20 0 DDADMM COc1ccc(C(=O)[N-]c2noc3nccnc23)c(OC)n1 ZINC001190240278 745158013 /nfs/dbraw/zinc/15/80/13/745158013.db2.gz CTIBCDHRGOSMNM-UHFFFAOYSA-N -1 1 301.262 1.282 20 0 DDADMM CC1(C)[C@@H](O)C[C@@H]1NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629820 745279083 /nfs/dbraw/zinc/27/90/83/745279083.db2.gz YDOCOGMCFREDPJ-RYUDHWBXSA-N -1 1 314.345 1.133 20 0 DDADMM O=C1CCc2cc([N-]S(=O)(=O)c3ccncc3)ccc2N1 ZINC001190894155 745370445 /nfs/dbraw/zinc/37/04/45/745370445.db2.gz WBEUGVKLVNIAJT-UHFFFAOYSA-N -1 1 303.343 1.767 20 0 DDADMM CCOC(=O)Cc1ccccc1[N-]S(=O)(=O)c1ccncc1 ZINC001190903649 745380258 /nfs/dbraw/zinc/38/02/58/745380258.db2.gz KONHVXFTKHNZTJ-UHFFFAOYSA-N -1 1 320.370 1.988 20 0 DDADMM COc1cc(C(=O)N2CC(N3CC(F)C3)C2)cc(Cl)c1[O-] ZINC001191128766 745431593 /nfs/dbraw/zinc/43/15/93/745431593.db2.gz BVQRBCUGZPHVMM-UHFFFAOYSA-N -1 1 314.744 1.532 20 0 DDADMM CCOC(=O)CC(=N)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC001191148340 745436433 /nfs/dbraw/zinc/43/64/33/745436433.db2.gz LRIMIXAEKXPWBL-UHFFFAOYSA-N -1 1 314.725 1.714 20 0 DDADMM CN(C)c1nc(NC(=O)Nc2ccccn2)c(N=O)c(=O)[n-]1 ZINC001191301906 745475025 /nfs/dbraw/zinc/47/50/25/745475025.db2.gz LZDDPUDFSBALRC-UHFFFAOYSA-N -1 1 303.282 1.685 20 0 DDADMM CCOC(=O)c1cc[nH]c1NC(=O)c1cnc(SC)[n-]c1=O ZINC001191419795 745506773 /nfs/dbraw/zinc/50/67/73/745506773.db2.gz DCYVVSSAFVLJTD-UHFFFAOYSA-N -1 1 322.346 1.661 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@](O)(CF)CC2)c(=O)[n-]1 ZINC001191428180 745509209 /nfs/dbraw/zinc/50/92/09/745509209.db2.gz DRPKTUZCOORUHZ-ZDUSSCGKSA-N -1 1 315.370 1.231 20 0 DDADMM Cc1ccc(F)cc1NC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001191428731 745509281 /nfs/dbraw/zinc/50/92/81/745509281.db2.gz GGPHPVRRJPTTMM-UHFFFAOYSA-N -1 1 318.268 1.807 20 0 DDADMM CCOc1cccc(CNC(=O)c2cnc(SC)[n-]c2=O)n1 ZINC001191439905 745512939 /nfs/dbraw/zinc/51/29/39/745512939.db2.gz HAWKQDVKANRTJY-UHFFFAOYSA-N -1 1 320.374 1.628 20 0 DDADMM CSc1ncc(C(=O)NCc2cccc3ccnn32)c(=O)[n-]1 ZINC001191440888 745513776 /nfs/dbraw/zinc/51/37/76/745513776.db2.gz OAWOVVZJQXOUQY-UHFFFAOYSA-N -1 1 315.358 1.482 20 0 DDADMM C[C@@H](CCNc1cc(F)ncn1)NC(=O)c1ncccc1[O-] ZINC001106296096 745562445 /nfs/dbraw/zinc/56/24/45/745562445.db2.gz HDWZHDOPPOBEEE-VIFPVBQESA-N -1 1 305.313 1.337 20 0 DDADMM CCOC(=O)C[C@H](C)[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192042500 745680651 /nfs/dbraw/zinc/68/06/51/745680651.db2.gz FFROMUIAVBWBLM-YFKPBYRVSA-N -1 1 312.602 1.575 20 0 DDADMM Cc1nc2[nH]cnc2c(NC(=O)c2c(F)ccc([O-])c2F)n1 ZINC001192524117 745806995 /nfs/dbraw/zinc/80/69/95/745806995.db2.gz LDFIOEAPQCADQV-UHFFFAOYSA-N -1 1 305.244 1.897 20 0 DDADMM CCOC(=O)[C@@H]1CN(C(=O)c2cc([O-])cc(F)c2F)CCO1 ZINC001192663685 745867915 /nfs/dbraw/zinc/86/79/15/745867915.db2.gz GBGDGHIIVMIDIA-NSHDSACASA-N -1 1 315.272 1.075 20 0 DDADMM CCN(CCNc1cc(C)ncn1)C(=O)c1ncccc1[O-] ZINC001106727028 745970975 /nfs/dbraw/zinc/97/09/75/745970975.db2.gz GHSJJECIOIMMJB-UHFFFAOYSA-N -1 1 301.350 1.460 20 0 DDADMM Cc1nc(CNC(=O)c2cc(Cl)nc(Cl)c2[O-])n[nH]1 ZINC001193099131 745977507 /nfs/dbraw/zinc/97/75/07/745977507.db2.gz XBVFZUUDVVCGHI-UHFFFAOYSA-N -1 1 302.121 1.451 20 0 DDADMM O=c1ccc2c([N-]S(=O)(=O)C[C@H]3CCCO3)cccc2[nH]1 ZINC001193260787 746035137 /nfs/dbraw/zinc/03/51/37/746035137.db2.gz GHTTUIOXLWACEX-SNVBAGLBSA-N -1 1 308.359 1.861 20 0 DDADMM COC(=O)c1ccc(F)c([N-]S(=O)(=O)C[C@@H]2CCCO2)c1 ZINC001193264602 746036131 /nfs/dbraw/zinc/03/61/31/746036131.db2.gz UIKYFKHFVXPIJR-JTQLQIEISA-N -1 1 317.338 1.533 20 0 DDADMM O=C(N[C@H]1CCCN(Cc2cnsn2)C1)c1ncccc1[O-] ZINC001007189266 752054340 /nfs/dbraw/zinc/05/43/40/752054340.db2.gz MBYKQWDJVHLORL-JTQLQIEISA-N -1 1 319.390 1.033 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2c(C)c[nH]c2C)c1 ZINC001193356249 746073676 /nfs/dbraw/zinc/07/36/76/746073676.db2.gz APEVWAHLONBPBY-UHFFFAOYSA-N -1 1 323.374 1.498 20 0 DDADMM CCOC(=O)Cc1cccc([N-]S(=O)(=O)CC2CCC2)n1 ZINC001193480019 746116406 /nfs/dbraw/zinc/11/64/06/746116406.db2.gz OGLXMYAGCPZBLT-UHFFFAOYSA-N -1 1 312.391 1.729 20 0 DDADMM CN1c2ccc([N-]S(=O)(=O)c3ccccc3N)cc2CC1=O ZINC001193931756 746225837 /nfs/dbraw/zinc/22/58/37/746225837.db2.gz DWYLTOQMVITLKP-UHFFFAOYSA-N -1 1 317.370 1.589 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)CC(=O)NC2 ZINC001193934544 746227005 /nfs/dbraw/zinc/22/70/05/746227005.db2.gz GTZBVNDUQKMADH-UHFFFAOYSA-N -1 1 317.370 1.242 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccccc2N)cc(C(N)=O)c1 ZINC001193937539 746227763 /nfs/dbraw/zinc/22/77/63/746227763.db2.gz JYNOIXSKTYHGIT-UHFFFAOYSA-N -1 1 321.358 1.177 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cnccc2OC(F)F)[n-]n1 ZINC001194282939 746340019 /nfs/dbraw/zinc/34/00/19/746340019.db2.gz JPHBBHIEXVQXEJ-UHFFFAOYSA-N -1 1 312.232 1.445 20 0 DDADMM O=C1CCCC[C@@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC001194587211 746415900 /nfs/dbraw/zinc/41/59/00/746415900.db2.gz WZYHOCHSCHIHKU-VIFPVBQESA-N -1 1 322.308 1.890 20 0 DDADMM Cn1nnc2cc(NC(=O)c3c[n-]c(C(F)(F)F)n3)ccc21 ZINC001194782562 746453303 /nfs/dbraw/zinc/45/33/03/746453303.db2.gz UHCPHDSYMLJMTO-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM Nc1ccc(NC(=O)c2c[n-]c(C(F)(F)F)n2)c(CCO)c1 ZINC001194782835 746453586 /nfs/dbraw/zinc/45/35/86/746453586.db2.gz WZNLHZZRRAMVHX-UHFFFAOYSA-N -1 1 314.267 1.798 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cnc(C(F)(F)F)[nH]1 ZINC001194788195 746455251 /nfs/dbraw/zinc/45/52/51/746455251.db2.gz LLYFUXWBEYXECU-UHFFFAOYSA-N -1 1 315.188 1.116 20 0 DDADMM O=S(=O)([N-]c1cncnc1Cl)c1cccc2nccnc21 ZINC001194938169 746491218 /nfs/dbraw/zinc/49/12/18/746491218.db2.gz AMDMSKUJFUUFGY-UHFFFAOYSA-N -1 1 321.749 1.874 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1c[nH]c(=O)cc1Cl ZINC001195010743 746511495 /nfs/dbraw/zinc/51/14/95/746511495.db2.gz ADKLQPPEHNJUCI-UHFFFAOYSA-N -1 1 306.669 1.065 20 0 DDADMM COC(=O)[C@]1(C)CCCN(C(=O)c2cc([O-])cnc2Cl)C1 ZINC001195305368 746571787 /nfs/dbraw/zinc/57/17/87/746571787.db2.gz ILFMOJLNVXKOIA-CQSZACIVSA-N -1 1 312.753 1.856 20 0 DDADMM Cc1nc(CNC(=O)c2c([O-])c(F)c(F)c(F)c2F)n[nH]1 ZINC001195311809 746573499 /nfs/dbraw/zinc/57/34/99/746573499.db2.gz KPCDXHVGQYRXDH-UHFFFAOYSA-N -1 1 304.203 1.305 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1nc(-c2ccccc2)c[nH]1 ZINC001195346016 746580654 /nfs/dbraw/zinc/58/06/54/746580654.db2.gz BQRBOYFUZKYYIO-UHFFFAOYSA-N -1 1 323.289 1.764 20 0 DDADMM COC(=O)c1cc(O)c(F)cc1NC(=O)c1nc(C)ccc1[O-] ZINC001195331504 746588659 /nfs/dbraw/zinc/58/86/59/746588659.db2.gz XPYXEOQOIRKJNZ-UHFFFAOYSA-N -1 1 320.276 1.979 20 0 DDADMM Cn1nnc2cc([N-]S(=O)(=O)c3ccc(O)cc3)ccc21 ZINC001195796858 746708414 /nfs/dbraw/zinc/70/84/14/746708414.db2.gz RURJGDQMQKAGRG-UHFFFAOYSA-N -1 1 304.331 1.475 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1ccc(C2OCCO2)cc1 ZINC001195972801 746742969 /nfs/dbraw/zinc/74/29/69/746742969.db2.gz FVGKQBVGHCJHOA-UHFFFAOYSA-N -1 1 315.347 1.037 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC(=O)[C@H]2CCCC[C@@H]21 ZINC001196009263 746755094 /nfs/dbraw/zinc/75/50/94/746755094.db2.gz XEDSNWFGQFDSNV-WPRPVWTQSA-N -1 1 307.375 1.445 20 0 DDADMM Cc1nnc2cc(NC(=O)c3c[nH]c(=S)[n-]c3=O)ccn12 ZINC001196013999 746756525 /nfs/dbraw/zinc/75/65/25/746756525.db2.gz KPSJOPGCXSMDGV-UHFFFAOYSA-N -1 1 302.319 1.074 20 0 DDADMM O=C(NCCc1ccc2[nH]ccc2c1)c1c[nH]c(=S)[n-]c1=O ZINC001196023554 746760014 /nfs/dbraw/zinc/76/00/14/746760014.db2.gz LJSGXFTZQQXVAQ-UHFFFAOYSA-N -1 1 314.370 1.925 20 0 DDADMM O=C([N-]c1cc(-c2ccncc2)no1)c1snnc1CO ZINC001196350380 746840917 /nfs/dbraw/zinc/84/09/17/746840917.db2.gz DUKBLTXCSCSQML-UHFFFAOYSA-N -1 1 303.303 1.333 20 0 DDADMM Cc1cnc(C(=O)NCCCC[P@](=O)([O-])O)cc1Cl ZINC001197295454 747123843 /nfs/dbraw/zinc/12/38/43/747123843.db2.gz QZGNCUAFZPGLRR-UHFFFAOYSA-N -1 1 306.686 1.731 20 0 DDADMM O=C(NCC1CN(Cc2nccs2)C1)c1ncccc1[O-] ZINC001031627120 747247534 /nfs/dbraw/zinc/24/75/34/747247534.db2.gz FIQJUEMVVBFDFB-UHFFFAOYSA-N -1 1 304.375 1.106 20 0 DDADMM Cc1nc(CN2CC(CNC(=O)c3ncccc3[O-])C2)oc1C ZINC001031629273 747251339 /nfs/dbraw/zinc/25/13/39/747251339.db2.gz OFFBXVSDXYEIIT-UHFFFAOYSA-N -1 1 316.361 1.254 20 0 DDADMM COc1cnc(Cl)c(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)c1 ZINC001199258169 747765825 /nfs/dbraw/zinc/76/58/25/747765825.db2.gz UGYRIVBZWGFQJZ-UHFFFAOYSA-N -1 1 318.724 1.344 20 0 DDADMM CSc1nc(NC(=O)c2ccc(=O)n(C(C)C)c2)cc(=O)[n-]1 ZINC001199508942 747879378 /nfs/dbraw/zinc/87/93/78/747879378.db2.gz LRGKTYGKPQHNFG-UHFFFAOYSA-N -1 1 320.374 1.899 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(F)F ZINC001004303205 748276288 /nfs/dbraw/zinc/27/62/88/748276288.db2.gz MIMCTRVIPJSOCA-BDAKNGLRSA-N -1 1 313.304 1.019 20 0 DDADMM CCCCCCNC(=S)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001200961837 748380337 /nfs/dbraw/zinc/38/03/37/748380337.db2.gz CTGWXMWTPFAKPP-UHFFFAOYSA-N -1 1 310.383 1.631 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1ccco1 ZINC001004656625 748627143 /nfs/dbraw/zinc/62/71/43/748627143.db2.gz KCEPUAKEXQLWNC-GHMZBOCLSA-N -1 1 319.365 1.276 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cnn2cc(F)ccc12 ZINC001201905457 748635865 /nfs/dbraw/zinc/63/58/65/748635865.db2.gz BQMGPCWPTHPYLO-UHFFFAOYSA-N -1 1 315.326 1.168 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cccc(C(C)C)n1 ZINC001201905303 748635873 /nfs/dbraw/zinc/63/58/73/748635873.db2.gz OUTFBTYKFZXGFL-UHFFFAOYSA-N -1 1 300.380 1.900 20 0 DDADMM CC(C)CC(=O)N1CCCC[C@@H]1[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004702863 748662129 /nfs/dbraw/zinc/66/21/29/748662129.db2.gz XUFMTUWDNXFLCS-NWDGAFQWSA-N -1 1 309.414 1.416 20 0 DDADMM CCC(CC)C(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202522884 749168710 /nfs/dbraw/zinc/16/87/10/749168710.db2.gz RHZIHJSXHZUPQD-NWDGAFQWSA-N -1 1 321.425 1.464 20 0 DDADMM C[C@@H](C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C(C)(C)C ZINC000995980915 749314845 /nfs/dbraw/zinc/31/48/45/749314845.db2.gz LTQOYJYZSCDQIA-QWRGUYRKSA-N -1 1 323.441 1.519 20 0 DDADMM C[C@H](C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C(C)(C)C ZINC000995980912 749315118 /nfs/dbraw/zinc/31/51/18/749315118.db2.gz LTQOYJYZSCDQIA-MNOVXSKESA-N -1 1 323.441 1.519 20 0 DDADMM O=C(NCc1ccccc1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001202639815 749476731 /nfs/dbraw/zinc/47/67/31/749476731.db2.gz JMRWWXBJIMPTPB-UHFFFAOYSA-N -1 1 300.278 1.037 20 0 DDADMM O=C(CCC1CC1)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202671796 749492280 /nfs/dbraw/zinc/49/22/80/749492280.db2.gz BIVNLIYTQPAYMM-NWDGAFQWSA-N -1 1 319.409 1.218 20 0 DDADMM COc1ccc2ncnc(NCC[N-]C(=O)C(F)(F)F)c2c1 ZINC001156200689 761992453 /nfs/dbraw/zinc/99/24/53/761992453.db2.gz XQJQGRHEAFIHDL-UHFFFAOYSA-N -1 1 314.267 1.729 20 0 DDADMM O=C(NC[C@H]1CCCCCN1C(=O)C1CC1)c1ncccc1[O-] ZINC001005405356 749982773 /nfs/dbraw/zinc/98/27/73/749982773.db2.gz JQXZAGLSNCXPCT-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)c1nccc(NC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001107689927 750383773 /nfs/dbraw/zinc/38/37/73/750383773.db2.gz BSLVZXSTCFNSSQ-LLVKDONJSA-N -1 1 315.377 1.931 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCCC1 ZINC001000675675 762079850 /nfs/dbraw/zinc/07/98/50/762079850.db2.gz OUIOFKFADOOAIT-DGCLKSJQSA-N -1 1 321.425 1.417 20 0 DDADMM CN(C)c1nc(Nc2ccc(CCO)cc2)c(N=O)c(=O)[n-]1 ZINC001212927789 750956472 /nfs/dbraw/zinc/95/64/72/750956472.db2.gz XYXDVLWJYHZQDY-UHFFFAOYSA-N -1 1 303.322 1.925 20 0 DDADMM CC(C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)=C1CCCC1 ZINC001034903939 751021362 /nfs/dbraw/zinc/02/13/62/751021362.db2.gz UNPRIXBSESDVAI-CYBMUJFWSA-N -1 1 319.409 1.481 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](CNc2cccc(F)n2)C1 ZINC001060856896 751027721 /nfs/dbraw/zinc/02/77/21/751027721.db2.gz UPNWNCYNLCHBPI-LLVKDONJSA-N -1 1 316.336 1.896 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](NCc2nncs2)C1 ZINC000999000470 752440821 /nfs/dbraw/zinc/44/08/21/752440821.db2.gz JKVFSHHGGJJWHP-JTQLQIEISA-N -1 1 319.390 1.033 20 0 DDADMM CC(C)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008068304 752531388 /nfs/dbraw/zinc/53/13/88/752531388.db2.gz HJLVIVDOKJKTNB-GFCCVEGCSA-N -1 1 305.378 1.410 20 0 DDADMM CC1(C)CC[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000999234807 752682994 /nfs/dbraw/zinc/68/29/94/752682994.db2.gz ZXBAXZPERNHSAW-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC000999819805 753161711 /nfs/dbraw/zinc/16/17/11/753161711.db2.gz KCWQBZJYBCKBSK-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(CC1CCC1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036678711 753278996 /nfs/dbraw/zinc/27/89/96/753278996.db2.gz VKJCSYJVZXSXCG-CHWSQXEVSA-N -1 1 319.409 1.123 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2cc[nH]c2)C1 ZINC001005956047 753416648 /nfs/dbraw/zinc/41/66/48/753416648.db2.gz HPSHWRUHTVFQQC-SNVBAGLBSA-N -1 1 314.345 1.006 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062840906 753753144 /nfs/dbraw/zinc/75/31/44/753753144.db2.gz PYMAMJHFZBSZBL-GFCCVEGCSA-N -1 1 319.405 1.848 20 0 DDADMM CCC(CC)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001011502820 754564243 /nfs/dbraw/zinc/56/42/43/754564243.db2.gz MZMYINLEIZBCRH-AAEUAGOBSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@H]1[C@H](NC(=O)CC2CC2)CCN1C(=O)c1ncccc1[O-] ZINC001011675238 754670728 /nfs/dbraw/zinc/67/07/28/754670728.db2.gz ZUDQIKDNPUORIL-CMPLNLGQSA-N -1 1 303.362 1.307 20 0 DDADMM Cc1ccnc(N[C@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)n1 ZINC001040106239 762386523 /nfs/dbraw/zinc/38/65/23/762386523.db2.gz HJPUIUIIDLQPDR-RYUDHWBXSA-N -1 1 313.361 1.601 20 0 DDADMM CCCC(=O)N1CC[C@]2(NC(=O)c3ncccc3[O-])CCC[C@@H]12 ZINC001014252125 755662990 /nfs/dbraw/zinc/66/29/90/755662990.db2.gz FKURNUVZFJYXLK-CXAGYDPISA-N -1 1 317.389 1.841 20 0 DDADMM CCC(=O)N[C@@H]1CCC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001014573513 755805122 /nfs/dbraw/zinc/80/51/22/755805122.db2.gz QLDYBGRCXYUGFO-RWMBFGLXSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)[C@@H](C)C(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080603392 755995348 /nfs/dbraw/zinc/99/53/48/755995348.db2.gz NPEXRDDPVZKUPP-LBPRGKRZSA-N -1 1 319.405 1.752 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001014894994 756005261 /nfs/dbraw/zinc/00/52/61/756005261.db2.gz RUYQJQNVOMCFLH-OLZOCXBDSA-N -1 1 317.389 1.863 20 0 DDADMM C[C@@H]1CN(C(=O)[C@]2(C)CC=CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082530890 756848948 /nfs/dbraw/zinc/84/89/48/756848948.db2.gz PFYTXROIKDEIAX-XHBSWPGZSA-N -1 1 319.409 1.193 20 0 DDADMM CN(C(=O)CC1CCC1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016361967 756953573 /nfs/dbraw/zinc/95/35/73/756953573.db2.gz DJYZSWHLALRQNB-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016834514 757393279 /nfs/dbraw/zinc/39/32/79/757393279.db2.gz OFYMBPVJQAYNIQ-HBIQZDMRSA-N -1 1 303.362 1.162 20 0 DDADMM Cc1ccccc1C(=O)CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001169612082 762562836 /nfs/dbraw/zinc/56/28/36/762562836.db2.gz RUCZROSZDQBMHT-UHFFFAOYSA-N -1 1 317.370 1.700 20 0 DDADMM CCOC(=O)c1cc([N-]C(=O)c2nnn(C)n2)c(F)cc1C ZINC000823255970 758288100 /nfs/dbraw/zinc/28/81/00/758288100.db2.gz UVKKZJOFNBRJBV-UHFFFAOYSA-N -1 1 307.285 1.087 20 0 DDADMM CSCC(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001065811097 758814197 /nfs/dbraw/zinc/81/41/97/758814197.db2.gz RJARQLUDCJGHRG-NSHDSACASA-N -1 1 323.418 1.261 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnc2nccn2c1)C1CCCC1 ZINC000824243324 759167205 /nfs/dbraw/zinc/16/72/05/759167205.db2.gz XJGACXSXQZQNDO-CYBMUJFWSA-N -1 1 324.406 1.676 20 0 DDADMM Cn1cc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)c(C2CC2)n1 ZINC000825635623 759254517 /nfs/dbraw/zinc/25/45/17/759254517.db2.gz LMHHTFLAUPAWMF-UHFFFAOYSA-N -1 1 313.361 1.924 20 0 DDADMM CC(C(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)=C1CCCC1 ZINC001054549349 759798732 /nfs/dbraw/zinc/79/87/32/759798732.db2.gz MTWZOKWXPADKIS-GXFFZTMASA-N -1 1 319.409 1.337 20 0 DDADMM Cc1cnc(CN[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)o1 ZINC001054592270 759858215 /nfs/dbraw/zinc/85/82/15/759858215.db2.gz YIAKICWIBLLWIH-ZYHUDNBSSA-N -1 1 316.361 1.334 20 0 DDADMM C[C@H]1CN(C(=O)CC2(C)CCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054629566 759919779 /nfs/dbraw/zinc/91/97/79/759919779.db2.gz RJGDDIBTRKVYBA-RYUDHWBXSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](CCNc2ncccn2)C1 ZINC001066307498 760182813 /nfs/dbraw/zinc/18/28/13/760182813.db2.gz IDKJIDVSSUVRIF-LBPRGKRZSA-N -1 1 313.361 1.542 20 0 DDADMM O=C(NC[C@H]1C[C@H](Nc2ncc(F)cn2)C1)c1ncccc1[O-] ZINC001046796302 767932293 /nfs/dbraw/zinc/93/22/93/767932293.db2.gz AAXJCIFFOMQJCK-HOMQSWHASA-N -1 1 317.324 1.337 20 0 DDADMM O=C(NC[C@@H]1CCN1Cc1ccsc1)c1ncccc1[O-] ZINC001038183618 760898662 /nfs/dbraw/zinc/89/86/62/760898662.db2.gz LBINECAVUVHBAO-LBPRGKRZSA-N -1 1 303.387 1.853 20 0 DDADMM Cc1cccnc1CN1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038192944 760907298 /nfs/dbraw/zinc/90/72/98/760907298.db2.gz GAKUVYDOPRWTTE-CYBMUJFWSA-N -1 1 312.373 1.495 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](Nc2cccc(F)n2)C1 ZINC001056612793 761289055 /nfs/dbraw/zinc/28/90/55/761289055.db2.gz WURBCDVMFRVILZ-SNVBAGLBSA-N -1 1 302.309 1.648 20 0 DDADMM CCCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532061 763718513 /nfs/dbraw/zinc/71/85/13/763718513.db2.gz WOTGNRGDHBBFDS-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CCCN1CCn2ncc(CNC(=O)c3ncccc3[O-])c2C1 ZINC001069858904 768191003 /nfs/dbraw/zinc/19/10/03/768191003.db2.gz VSIUIHVXPLAPGV-UHFFFAOYSA-N -1 1 315.377 1.139 20 0 DDADMM O=C(C=C1CCC1)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047048869 768211048 /nfs/dbraw/zinc/21/10/48/768211048.db2.gz ASUKQCVVXUETGZ-XQQFMLRXSA-N -1 1 317.393 1.090 20 0 DDADMM Cc1nccc(N[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983841 765083382 /nfs/dbraw/zinc/08/33/82/765083382.db2.gz QSYAZDIABLKTEO-ZJUUUORDSA-N -1 1 301.350 1.505 20 0 DDADMM CCc1cnc(Cl)nc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170213478 766172830 /nfs/dbraw/zinc/17/28/30/766172830.db2.gz KPXNPZMXQBTIPF-UHFFFAOYSA-N -1 1 307.701 1.465 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cnc(C(F)(F)F)nc3)c2[nH]1 ZINC001170218358 766177414 /nfs/dbraw/zinc/17/74/14/766177414.db2.gz WFSAEVTZARCMGW-UHFFFAOYSA-N -1 1 313.199 1.268 20 0 DDADMM CCOC(=O)c1cc(C)nc(Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001170226791 766201645 /nfs/dbraw/zinc/20/16/45/766201645.db2.gz UXXHNPQTBUUMTF-UHFFFAOYSA-N -1 1 314.305 1.682 20 0 DDADMM O=C(N[C@H]1CCCN(c2cc(F)ncn2)C1)c1ncccc1[O-] ZINC001058147657 766274243 /nfs/dbraw/zinc/27/42/43/766274243.db2.gz OWEFVKPPRSEVQG-JTQLQIEISA-N -1 1 317.324 1.115 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C(C)=C\c3ccco3)nc2n1 ZINC001131778220 768362962 /nfs/dbraw/zinc/36/29/62/768362962.db2.gz IZTGHNZTGTYOOU-CLFYSBASSA-N -1 1 313.317 1.615 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc4ccncc4c3)nc2n1 ZINC001129409262 766870878 /nfs/dbraw/zinc/87/08/78/766870878.db2.gz OWFMQTVDTQDUSE-UHFFFAOYSA-N -1 1 320.312 1.527 20 0 DDADMM Cc1ncoc1CN1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001046260186 767315631 /nfs/dbraw/zinc/31/56/31/767315631.db2.gz XEJCOBZDJIIBPX-INIZCTEOSA-N -1 1 316.361 1.478 20 0 DDADMM Cc1cnc(CN2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)o1 ZINC001046262411 767321794 /nfs/dbraw/zinc/32/17/94/767321794.db2.gz RFDIXYZUFWTXAT-INIZCTEOSA-N -1 1 316.361 1.478 20 0 DDADMM CC/C=C(/C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001071734080 769970993 /nfs/dbraw/zinc/97/09/93/769970993.db2.gz QMAOMCVLLODRAV-ISGXEFFDSA-N -1 1 317.389 1.720 20 0 DDADMM CC/C=C(/C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952551 770337782 /nfs/dbraw/zinc/33/77/82/770337782.db2.gz NUHBLYSXMJKCDH-BLJGWETHSA-N -1 1 317.389 1.720 20 0 DDADMM CC(C)=CC(=O)Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001143926523 772337849 /nfs/dbraw/zinc/33/78/49/772337849.db2.gz VZKLTBOIXZEQHO-UHFFFAOYSA-N -1 1 311.301 1.878 20 0 DDADMM Cc1ccc2ccnc(NC(=O)CCn3cc[n-]c(=O)c3=O)c2c1 ZINC001144063997 772387694 /nfs/dbraw/zinc/38/76/94/772387694.db2.gz LSKBFXFOFJHEIB-UHFFFAOYSA-N -1 1 324.340 1.422 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](O)c2ccccc2C)n1 ZINC001144522932 772556710 /nfs/dbraw/zinc/55/67/10/772556710.db2.gz SPMJKDUVAAMPJM-GFCCVEGCSA-N -1 1 303.318 1.567 20 0 DDADMM CSc1nc(NC(=O)[C@H](O)c2ccccc2C)cc(=O)[n-]1 ZINC001144522702 772556717 /nfs/dbraw/zinc/55/67/17/772556717.db2.gz NGAFBPRWWMIBEU-GFCCVEGCSA-N -1 1 305.359 1.885 20 0 DDADMM Cc1cc(N2CCN(Cc3sccc3C(=O)[O-])CC2)ncn1 ZINC001144684474 772596329 /nfs/dbraw/zinc/59/63/29/772596329.db2.gz GCSLXZHMVWVLAA-UHFFFAOYSA-N -1 1 318.402 1.867 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)[C@H](O)c1cccc(Cl)c1 ZINC001144825853 772633131 /nfs/dbraw/zinc/63/31/31/772633131.db2.gz KNJYQRQMYCUTEN-LLVKDONJSA-N -1 1 321.697 1.448 20 0 DDADMM Cc1cc(=O)c(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)c[nH]1 ZINC001147635717 773187166 /nfs/dbraw/zinc/18/71/66/773187166.db2.gz JJGHRXNDEYSUKH-UHFFFAOYSA-N -1 1 310.313 1.827 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N[C@@H]3C[C@@H](O)C3(C)C)cc2)[n-]1 ZINC001148341284 773442470 /nfs/dbraw/zinc/44/24/70/773442470.db2.gz XAJPQGAMUAWPFR-ZIAGYGMSSA-N -1 1 315.373 1.688 20 0 DDADMM Cn1nncc1NC(=O)c1cc([O-])c(Br)c(O)c1 ZINC001148981330 773663804 /nfs/dbraw/zinc/66/38/04/773663804.db2.gz HTMKPBHAZMIXRR-UHFFFAOYSA-N -1 1 313.111 1.241 20 0 DDADMM CCc1ncnc(N(C)CCNC(=O)c2ncccc2[O-])c1F ZINC001100070943 775644965 /nfs/dbraw/zinc/64/49/65/775644965.db2.gz KEOOCUCOTKANPI-UHFFFAOYSA-N -1 1 319.340 1.145 20 0 DDADMM COc1cc(C)nc(NCCCNC(=O)c2ncccc2[O-])n1 ZINC001094375551 775819769 /nfs/dbraw/zinc/81/97/69/775819769.db2.gz DPCXFOZVTOPXAR-UHFFFAOYSA-N -1 1 317.349 1.126 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1CCC(=O)N(C)C1 ZINC000084090793 777218753 /nfs/dbraw/zinc/21/87/53/777218753.db2.gz WZWFMSKPLOVRRC-ZETCQYMHSA-N -1 1 323.827 1.004 20 0 DDADMM Nc1cc(F)ccc1S(=O)(=O)[N-]c1cc(F)cc(CO)c1 ZINC001212750381 777325233 /nfs/dbraw/zinc/32/52/33/777325233.db2.gz BMLUIRFTCDJVQS-UHFFFAOYSA-N -1 1 314.313 1.840 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2ccc3n[nH]cc3c2)ccc1O ZINC001174273848 777451768 /nfs/dbraw/zinc/45/17/68/777451768.db2.gz TWISORCGTNJUIG-UHFFFAOYSA-N -1 1 304.331 1.652 20 0 DDADMM CNC(=O)c1ccc(Nc2[nH]c(=S)[n-]c(=O)c2N=O)cc1 ZINC001174510567 777504936 /nfs/dbraw/zinc/50/49/36/777504936.db2.gz ZHDLCUSQDXEEBJ-UHFFFAOYSA-N -1 1 305.319 1.972 20 0 DDADMM CC(=O)c1ccccc1[N-]S(=O)(=O)c1ccc(N)c(N)c1 ZINC001175181625 777712230 /nfs/dbraw/zinc/71/22/30/777712230.db2.gz XIIPEQAYURTORP-UHFFFAOYSA-N -1 1 305.359 1.854 20 0 DDADMM COc1cccc(CCC(=O)Nc2n[n-]c(C(F)(F)F)n2)n1 ZINC001175298326 777734402 /nfs/dbraw/zinc/73/44/02/777734402.db2.gz XUMZGPXUSKBMBV-UHFFFAOYSA-N -1 1 315.255 1.798 20 0 DDADMM CCC(C)(C)C(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203351328 778059836 /nfs/dbraw/zinc/05/98/36/778059836.db2.gz UUFOROHTEZEYHJ-QWRGUYRKSA-N -1 1 321.425 1.464 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001176602732 778201530 /nfs/dbraw/zinc/20/15/30/778201530.db2.gz SVLXQJFJJARFHT-XYOKQWHBSA-N -1 1 300.366 1.683 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1n[nH]c2ccc(Br)cc21 ZINC001176837831 778268646 /nfs/dbraw/zinc/26/86/46/778268646.db2.gz JFIUOGCHNYSUOC-UHFFFAOYSA-N -1 1 322.126 1.020 20 0 DDADMM O=C(Cc1nn[n-]n1)NCCc1cccc(Oc2ccccc2)c1 ZINC001176844950 778299833 /nfs/dbraw/zinc/29/98/33/778299833.db2.gz KYWUMWDSDKAEOG-UHFFFAOYSA-N -1 1 323.356 1.893 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C(C)(C)Cc3ccccn3)c1-2 ZINC001178182259 778928235 /nfs/dbraw/zinc/92/82/35/778928235.db2.gz SDHQSWADKFTKNG-UHFFFAOYSA-N -1 1 310.361 1.637 20 0 DDADMM CON(Cc1ccc(C)o1)C(=O)CNC(=O)c1ncccc1[O-] ZINC001178295981 778977975 /nfs/dbraw/zinc/97/79/75/778977975.db2.gz QFNSDMFCEGZJLN-UHFFFAOYSA-N -1 1 319.317 1.009 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)[C@@H]1Cc2ccccc2O1 ZINC001178934238 779220667 /nfs/dbraw/zinc/22/06/67/779220667.db2.gz KCYFLJULOVLALW-QMMMGPOBSA-N -1 1 302.246 1.228 20 0 DDADMM COc1c(C(=O)Nc2ccncc2[O-])cnn1-c1ccccc1 ZINC001116635079 780535355 /nfs/dbraw/zinc/53/53/55/780535355.db2.gz ZIJDBEASLRTCPH-UHFFFAOYSA-N -1 1 310.313 1.656 20 0 DDADMM Cn1cc(CN[C@@H]2C=C[C@@H](C(=O)[O-])C2)c(Br)n1 ZINC001118920976 781272697 /nfs/dbraw/zinc/27/26/97/781272697.db2.gz ZJKZLVNTUCBXEG-VXNVDRBHSA-N -1 1 300.156 1.302 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@H]1CC12CCC2 ZINC001119287070 781394134 /nfs/dbraw/zinc/39/41/34/781394134.db2.gz UHYVLHZCIIDGRL-LBPRGKRZSA-N -1 1 308.765 1.721 20 0 DDADMM O=C([O-])[C@]1(N2CCN(C(=O)C[C@@H]3C=CCCC3)CC2)CCOC1 ZINC001119581625 781525457 /nfs/dbraw/zinc/52/54/57/781525457.db2.gz JBQAVUXYNKFPJM-PBHICJAKSA-N -1 1 322.405 1.121 20 0 DDADMM C[C@H](C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)[C@H](C)C(C)(C)C ZINC001266882646 836991285 /nfs/dbraw/zinc/99/12/85/836991285.db2.gz MLSBXVIESDBAEY-QWRGUYRKSA-N -1 1 311.430 1.377 20 0 DDADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)CCC1CCCCCC1 ZINC001267403609 837999093 /nfs/dbraw/zinc/99/90/93/837999093.db2.gz KFMRCCGXOZRVFF-UHFFFAOYSA-N -1 1 323.441 1.809 20 0 DDADMM CC(C)N(CCCNC(=O)[C@]12C[C@H]1CCC2)Cc1n[nH]c(=O)[n-]1 ZINC001267505249 838204516 /nfs/dbraw/zinc/20/45/16/838204516.db2.gz OQJITFXCFVEGDZ-MLGOLLRUSA-N -1 1 321.425 1.417 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)c2csnc2OC)CC1 ZINC001414230662 844492052 /nfs/dbraw/zinc/49/20/52/844492052.db2.gz PGCCNSWBXPRFDX-UHFFFAOYSA-N -1 1 306.409 1.247 20 0 DDADMM O=C(c1cccs1)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001279952610 841376010 /nfs/dbraw/zinc/37/60/10/841376010.db2.gz YFFWGYARXWQYGG-JTQLQIEISA-N -1 1 321.406 1.214 20 0 DDADMM CC(C)(C)/C=C/C(=O)N[C@@](C)(CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001269607007 841818675 /nfs/dbraw/zinc/81/86/75/841818675.db2.gz VJVMYJLZHURRLH-WAVCKPEOSA-N -1 1 321.425 1.487 20 0 DDADMM CC(C)NC(=O)N1C[C@@H]2CCN(C(=O)c3ccc([O-])cn3)[C@@H]2C1 ZINC001269895809 842137758 /nfs/dbraw/zinc/13/77/58/842137758.db2.gz SDRFFPQXMGNJSE-SMDDNHRTSA-N -1 1 318.377 1.052 20 0 DDADMM CC[C@@H](C)N1CC[C@]2(CCCN2C(=O)c2cncc([O-])c2)C1=O ZINC001271384684 843543278 /nfs/dbraw/zinc/54/32/78/843543278.db2.gz BBBPEBAIMRDIKI-SJKOYZFVSA-N -1 1 317.389 1.793 20 0 DDADMM CC(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1cncc([O-])c1 ZINC001271385678 843548947 /nfs/dbraw/zinc/54/89/47/843548947.db2.gz GSNRPMAUTOCACJ-HUUCEWRRSA-N -1 1 317.389 1.649 20 0 DDADMM CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1c([O-])cccc1Cl ZINC001271647563 843752490 /nfs/dbraw/zinc/75/24/90/843752490.db2.gz LYINJAMFQQBPKZ-GHMZBOCLSA-N -1 1 308.765 1.881 20 0 DDADMM COc1ccccc1C(C)=CC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001149283941 861518415 /nfs/dbraw/zinc/51/84/15/861518415.db2.gz JADKCWAMANAZOK-YFHOEESVSA-N -1 1 315.329 1.616 20 0 DDADMM CC(=O)NCCCCCNC(=O)c1ccc2cccnc2c1[O-] ZINC001149330395 861551414 /nfs/dbraw/zinc/55/14/14/861551414.db2.gz YRLRPXUGVBRYKH-UHFFFAOYSA-N -1 1 315.373 1.977 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc2c(c1)NC(=O)CC2 ZINC001149341781 861555260 /nfs/dbraw/zinc/55/52/60/861555260.db2.gz HTADRONIASPWHL-UHFFFAOYSA-N -1 1 324.300 1.196 20 0 DDADMM CN(C)c1ncn(-c2cccc(NC(=O)c3ccc([O-])cn3)c2)n1 ZINC001155147090 861771399 /nfs/dbraw/zinc/77/13/99/861771399.db2.gz ZRPJPXQIIBPEIZ-UHFFFAOYSA-N -1 1 324.344 1.686 20 0 DDADMM O=C(NC1CC(CO)(CO)C1)c1cc2cccc(O)c2cc1[O-] ZINC001149653474 861786337 /nfs/dbraw/zinc/78/63/37/861786337.db2.gz MURWFYFQSMIFLT-UHFFFAOYSA-N -1 1 317.341 1.114 20 0 DDADMM CN1C[C@@]2(F)CN(Cc3cc(F)c([O-])c(F)c3)C[C@@]2(F)C1=O ZINC001272781454 847421849 /nfs/dbraw/zinc/42/18/49/847421849.db2.gz ZZUGPLAVRLFKAI-ZIAGYGMSSA-N -1 1 318.270 1.375 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(Br)cc2cc[nH]c21 ZINC001149709727 861835667 /nfs/dbraw/zinc/83/56/67/861835667.db2.gz ACLRQLOHCOYOKC-UHFFFAOYSA-N -1 1 321.138 1.374 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCCCN(C/C=C/Cl)C2)c1[O-] ZINC001034163824 848055754 /nfs/dbraw/zinc/05/57/54/848055754.db2.gz BMIXMTWSIWNBOR-MALLOTDXSA-N -1 1 312.801 1.761 20 0 DDADMM CCOC(=O)[C@@H](NCc1cc(=O)oc2cc([O-])ccc12)C1CC1 ZINC001327225267 861977713 /nfs/dbraw/zinc/97/77/13/861977713.db2.gz VWJKZPQXZOSUJS-INIZCTEOSA-N -1 1 317.341 1.930 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H](C)C(F)(F)F ZINC001410444512 849300566 /nfs/dbraw/zinc/30/05/66/849300566.db2.gz VIRKQLRULGYDSY-YUMQZZPRSA-N -1 1 319.283 1.220 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(OC(F)(F)F)ccc1F ZINC001190678073 851141886 /nfs/dbraw/zinc/14/18/86/851141886.db2.gz AAOZEKDFAQXRPA-UHFFFAOYSA-N -1 1 305.191 1.167 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2n[nH]cc2s1 ZINC001155547051 862214236 /nfs/dbraw/zinc/21/42/36/862214236.db2.gz MZORCTLOYCABHD-UHFFFAOYSA-N -1 1 306.263 1.476 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cccc2c1CCCO2 ZINC001155598010 862276772 /nfs/dbraw/zinc/27/67/72/862276772.db2.gz SLFFROAJDXELHY-UHFFFAOYSA-N -1 1 316.273 1.863 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC001327598412 862306334 /nfs/dbraw/zinc/30/63/34/862306334.db2.gz LRUUEQGNLSBHGE-UONOGXRCSA-N -1 1 312.410 1.057 20 0 DDADMM CNC(=O)c1cccc(NC(=O)c2cnc(SC)[n-]c2=O)c1 ZINC001411615564 853464791 /nfs/dbraw/zinc/46/47/91/853464791.db2.gz CCWFVBDUKMVZDG-UHFFFAOYSA-N -1 1 318.358 1.516 20 0 DDADMM CC(C)(NC(=O)CNC(=O)c1ncccc1[O-])C1CCCCC1 ZINC001411747630 853674872 /nfs/dbraw/zinc/67/48/72/853674872.db2.gz XCZDXACKDGOXDQ-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM COCC(=O)N[C@@H](CCN(C)C(=O)c1ncccc1[O-])C(C)C ZINC001411813927 853772083 /nfs/dbraw/zinc/77/20/83/853772083.db2.gz USEXXDAWBNJATF-LBPRGKRZSA-N -1 1 323.393 1.037 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ccc(Cl)cc1[O-] ZINC001275934225 853977573 /nfs/dbraw/zinc/97/75/73/853977573.db2.gz KBVHCOBESWLDEE-BJOHPYRUSA-N -1 1 308.765 1.738 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](c1cccs1)C1(CO)CCC1 ZINC001412287037 854411657 /nfs/dbraw/zinc/41/16/57/854411657.db2.gz GRLRCCBLUNLFEP-ZDUSSCGKSA-N -1 1 321.406 1.214 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1ccc(F)c(F)c1 ZINC001412399630 854507413 /nfs/dbraw/zinc/50/74/13/854507413.db2.gz GSVIMWJSZBCREP-UHFFFAOYSA-N -1 1 311.244 1.105 20 0 DDADMM O=C(c1ncoc1C(F)(F)F)N1CCC(c2nn[n-]n2)CC1 ZINC001412490616 854618619 /nfs/dbraw/zinc/61/86/19/854618619.db2.gz NOVBUMLRNNZVQA-UHFFFAOYSA-N -1 1 316.243 1.226 20 0 DDADMM C[C@]1(C(=O)NCc2nn[n-]n2)CC(c2ccccc2Cl)=NO1 ZINC001412508269 854639971 /nfs/dbraw/zinc/63/99/71/854639971.db2.gz UWEGOAVYFZHJMM-CYBMUJFWSA-N -1 1 320.740 1.053 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc2c(c1)CCOC2 ZINC001412524853 854657784 /nfs/dbraw/zinc/65/77/84/854657784.db2.gz CFJAZDPLHOHXTI-UHFFFAOYSA-N -1 1 315.377 1.571 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@H](O)C(C)(C)C ZINC001412580341 854750517 /nfs/dbraw/zinc/75/05/17/854750517.db2.gz AZNVVKREOTXGSX-SKDRFNHKSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@H](O)C(C)(C)C ZINC001412580341 854750520 /nfs/dbraw/zinc/75/05/20/854750520.db2.gz AZNVVKREOTXGSX-SKDRFNHKSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@H](O)C(C)(C)C ZINC001412580341 854750529 /nfs/dbraw/zinc/75/05/29/854750529.db2.gz AZNVVKREOTXGSX-SKDRFNHKSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)C1(F)CCCC1 ZINC001412584946 854762360 /nfs/dbraw/zinc/76/23/60/854762360.db2.gz IKLVADAYDNWION-SNVBAGLBSA-N -1 1 324.356 1.927 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)C1(F)CCCC1 ZINC001412584946 854762352 /nfs/dbraw/zinc/76/23/52/854762352.db2.gz IKLVADAYDNWION-SNVBAGLBSA-N -1 1 324.356 1.927 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)C1(F)CCCC1 ZINC001412584946 854762364 /nfs/dbraw/zinc/76/23/64/854762364.db2.gz IKLVADAYDNWION-SNVBAGLBSA-N -1 1 324.356 1.927 20 0 DDADMM COC[C@H](NC(=O)C[C@@H]1CCc2ccccc2C1)c1nn[n-]n1 ZINC001412599364 854790837 /nfs/dbraw/zinc/79/08/37/854790837.db2.gz WNPICSCCWQPAAI-RISCZKNCSA-N -1 1 315.377 1.199 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H](c3noc(C)n3)C2)c(=O)[n-]1 ZINC001412602244 854794045 /nfs/dbraw/zinc/79/40/45/854794045.db2.gz AIWWNLCPQUGQIP-QMMMGPOBSA-N -1 1 321.362 1.225 20 0 DDADMM CCC[C@@H](NC(=O)CN(C(C)=O)c1ccccc1)c1nn[n-]n1 ZINC001412645335 854870864 /nfs/dbraw/zinc/87/08/64/854870864.db2.gz RQWAFMGMZZSEPO-CYBMUJFWSA-N -1 1 316.365 1.210 20 0 DDADMM CSc1nc(NC(=O)c2cnn3cc(C)cnc23)cc(=O)[n-]1 ZINC001151136054 862644254 /nfs/dbraw/zinc/64/42/54/862644254.db2.gz YKNDJMYEXPLRDV-UHFFFAOYSA-N -1 1 316.346 1.508 20 0 DDADMM O=C(N[C@H](CO)C[C@@H](O)c1ccccc1)c1ccc([O-])cc1F ZINC001412771457 855245012 /nfs/dbraw/zinc/24/50/12/855245012.db2.gz FPALZVWHIXLNRE-BLLLJJGKSA-N -1 1 319.332 1.746 20 0 DDADMM C[C@H](NC(=O)OCc1ccccc1)C(=O)Nc1c[n-][nH]c1=O ZINC001412843747 855719071 /nfs/dbraw/zinc/71/90/71/855719071.db2.gz DWCJMLCRZYSGID-VIFPVBQESA-N -1 1 304.306 1.369 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1CC(=O)c2ccccc21)c1nn[n-]n1 ZINC001412930807 855792051 /nfs/dbraw/zinc/79/20/51/855792051.db2.gz ASOIXSZWQVFBJR-GFCCVEGCSA-N -1 1 313.361 1.701 20 0 DDADMM C[C@H](NC(=O)c1ccc(F)c([O-])c1)C1(S(C)(=O)=O)CC1 ZINC001412941453 855802033 /nfs/dbraw/zinc/80/20/33/855802033.db2.gz NEQAJAGZKLEORJ-QMMMGPOBSA-N -1 1 301.339 1.227 20 0 DDADMM Cn1ccnc1[C@H]1C[C@@H](NC(=O)c2cccc([O-])c2F)CCO1 ZINC001413092420 856408792 /nfs/dbraw/zinc/40/87/92/856408792.db2.gz ZRBZYBRUWBOMPE-GXFFZTMASA-N -1 1 319.336 1.915 20 0 DDADMM NC(=O)CC1(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1 ZINC001413381897 856733881 /nfs/dbraw/zinc/73/38/81/856733881.db2.gz QCGDWKHYNYEEAB-UHFFFAOYSA-N -1 1 308.306 1.151 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@@H]1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001413511171 856910948 /nfs/dbraw/zinc/91/09/48/856910948.db2.gz PWDMPADVWCMGSX-ZJUUUORDSA-N -1 1 303.391 1.869 20 0 DDADMM CCc1cc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)no1 ZINC001413621715 857248943 /nfs/dbraw/zinc/24/89/43/857248943.db2.gz GWIWXPUSCYLLKU-UHFFFAOYSA-N -1 1 306.322 1.406 20 0 DDADMM CC(C)c1ccc(NC(=O)CNC(=O)c2ncccc2[O-])cn1 ZINC001413628892 857258540 /nfs/dbraw/zinc/25/85/40/857258540.db2.gz STFXOIIJGYISGW-UHFFFAOYSA-N -1 1 314.345 1.674 20 0 DDADMM CSc1ncc(C(=O)NC2CC3(C2)CO[C@H](C)C3)c(=O)[n-]1 ZINC001413672353 857313486 /nfs/dbraw/zinc/31/34/86/857313486.db2.gz MVCTZVSNZPFOSF-NQTXRORDSA-N -1 1 309.391 1.592 20 0 DDADMM CSc1nc(NC(=O)c2ncnc3[nH]ccc32)cc(=O)[n-]1 ZINC001151866512 863021952 /nfs/dbraw/zinc/02/19/52/863021952.db2.gz KKYNCUJPDFSZRY-UHFFFAOYSA-N -1 1 302.319 1.379 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@]2(CC2(F)F)C1 ZINC001123824519 859429884 /nfs/dbraw/zinc/42/98/84/859429884.db2.gz LNRHXLYDUHNDQJ-NSHDSACASA-N -1 1 301.318 1.651 20 0 DDADMM Cc1ncccc1C=CC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123910694 859466836 /nfs/dbraw/zinc/46/68/36/859466836.db2.gz UAWSCXSIRLAMLA-KTRBRXNASA-N -1 1 312.377 1.398 20 0 DDADMM O=C(C=Cc1ccccc1F)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123999010 859517176 /nfs/dbraw/zinc/51/71/76/859517176.db2.gz MMPGUBBHHNFOMV-ABZNLYFFSA-N -1 1 315.352 1.833 20 0 DDADMM Cc1nncn1-c1cccc(NC(=O)CCCc2nn[n-]n2)c1 ZINC001137593431 859945424 /nfs/dbraw/zinc/94/54/24/859945424.db2.gz QXWZIFOLJKJUJC-UHFFFAOYSA-N -1 1 312.337 1.050 20 0 DDADMM C[C@H](O)[C@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC001137645551 859955184 /nfs/dbraw/zinc/95/51/84/859955184.db2.gz ZGEDRACNFURXSY-UWVGGRQHSA-N -1 1 306.391 1.251 20 0 DDADMM O=C(Cc1cc2ccccc2c(=O)[nH]1)[N-]OC[C@@H]1CCOC1 ZINC001138312223 860055149 /nfs/dbraw/zinc/05/51/49/860055149.db2.gz OZYLGOQOJNRXBH-LLVKDONJSA-N -1 1 302.330 1.567 20 0 DDADMM CCOC[C@H]1CN(Cc2ncccc2[O-])Cc2nnn(C)c21 ZINC001138393130 860087266 /nfs/dbraw/zinc/08/72/66/860087266.db2.gz RYLKQKNWURGHFX-LLVKDONJSA-N -1 1 303.366 1.052 20 0 DDADMM CCOC[C@H]1CN(Cc2ncccc2[O-])Cc2nnn(CC)c21 ZINC001138393261 860088790 /nfs/dbraw/zinc/08/87/90/860088790.db2.gz SPJWFMPOZZKRLS-GFCCVEGCSA-N -1 1 317.393 1.535 20 0 DDADMM CNC(=O)N[C@H]1CCCN(Cc2ccc(OCC(=O)[O-])cc2)C1 ZINC001138930401 860235257 /nfs/dbraw/zinc/23/52/57/860235257.db2.gz LGNOOQJPFAKQEW-ZDUSSCGKSA-N -1 1 321.377 1.043 20 0 DDADMM CC/C(C)=C/C(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202899158 860301574 /nfs/dbraw/zinc/30/15/74/860301574.db2.gz NEYNGCDTDLVZFH-SXSOHVCJSA-N -1 1 319.409 1.384 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)[C@H](C)NC/C(Cl)=C\Cl)c1[O-] ZINC001381353555 881551414 /nfs/dbraw/zinc/55/14/14/881551414.db2.gz AYRLEQKSIXRJTE-VCAAHWCXSA-N -1 1 321.208 1.839 20 0 DDADMM Cc1ccc(-n2cccn2)cc1NC(=O)CCCc1nn[n-]n1 ZINC001140088131 860573639 /nfs/dbraw/zinc/57/36/39/860573639.db2.gz AENHSDOCIBOQJN-UHFFFAOYSA-N -1 1 311.349 1.655 20 0 DDADMM [O-]c1cnccc1CN1CCc2onc(Cn3cccn3)c2C1 ZINC001140276444 860607222 /nfs/dbraw/zinc/60/72/22/860607222.db2.gz LFJMTDXBDWVDCI-UHFFFAOYSA-N -1 1 311.345 1.578 20 0 DDADMM O=S(=O)(c1ccccc1)[C@@H]1CCN(Cc2ccncc2[O-])C1 ZINC001140277147 860609919 /nfs/dbraw/zinc/60/99/19/860609919.db2.gz UTBTUUYZCPFUFD-OAHLLOKOSA-N -1 1 318.398 1.835 20 0 DDADMM Cc1cc(CCC(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)no1 ZINC001361404020 881582517 /nfs/dbraw/zinc/58/25/17/881582517.db2.gz HUTHMAWWQNJDBX-UHFFFAOYSA-N -1 1 302.334 1.929 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc3c2CCN3C)n1 ZINC001154150446 860821593 /nfs/dbraw/zinc/82/15/93/860821593.db2.gz OBXMEPBTRQDOOU-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM Cc1nc(S(=O)(=O)[C@@H](C)c2cn(-c3ccccc3)nn2)n[n-]1 ZINC001325862453 860895924 /nfs/dbraw/zinc/89/59/24/860895924.db2.gz UWGSZNVZBRXFPO-VIFPVBQESA-N -1 1 318.362 1.229 20 0 DDADMM CC(C)CCC(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001382010915 883170681 /nfs/dbraw/zinc/17/06/81/883170681.db2.gz RWGKUXNLQYKQCZ-GFCCVEGCSA-N -1 1 309.414 1.273 20 0 DDADMM CSc1nc(NC(=O)c2nccc3c[nH]nc32)cc(=O)[n-]1 ZINC001156478289 863084940 /nfs/dbraw/zinc/08/49/40/863084940.db2.gz CNWNFYOZMIJEEB-UHFFFAOYSA-N -1 1 302.319 1.428 20 0 DDADMM COC1CC(CNC(=O)c2cc(=O)c3cccc(O)c3[n-]2)C1 ZINC001152685136 863495555 /nfs/dbraw/zinc/49/55/55/863495555.db2.gz MTDGXAUQALIELU-UHFFFAOYSA-N -1 1 302.330 1.801 20 0 DDADMM N=C(Nc1cc2[nH]ccc2cn1)SCCS(=O)(=O)[O-] ZINC001157322133 863735766 /nfs/dbraw/zinc/73/57/66/863735766.db2.gz RBXGDCVSIGSMPN-UHFFFAOYSA-N -1 1 300.365 1.531 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)c1nc2ccccc2o1 ZINC001153572549 863956184 /nfs/dbraw/zinc/95/61/84/863956184.db2.gz ZPUJYBQVTLWKJM-UHFFFAOYSA-N -1 1 300.274 1.980 20 0 DDADMM O=C(Nc1cnn(C(F)F)c1)c1cnc2cccnc2c1[O-] ZINC001153852086 864158412 /nfs/dbraw/zinc/15/84/12/864158412.db2.gz WBTCUOLLNIJXOA-UHFFFAOYSA-N -1 1 305.244 1.767 20 0 DDADMM O=C(Cn1cc(C2CC2)nn1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001361496098 881765511 /nfs/dbraw/zinc/76/55/11/881765511.db2.gz OORHOKYRRGIDDJ-UHFFFAOYSA-N -1 1 314.349 1.169 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@H]1C=CCCC1 ZINC001330567867 864457181 /nfs/dbraw/zinc/45/71/81/864457181.db2.gz NFHNUGWQLSNTIS-JTQLQIEISA-N -1 1 304.350 1.163 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cn(C)nc2Cl)[n-]1 ZINC001361516735 881810340 /nfs/dbraw/zinc/81/03/40/881810340.db2.gz LTSUNKHHGVPLMB-UHFFFAOYSA-N -1 1 324.724 1.643 20 0 DDADMM COc1nn(C)cc1CNC(=O)c1ncc2ccccc2c1[O-] ZINC001158913410 864972966 /nfs/dbraw/zinc/97/29/66/864972966.db2.gz ZORAXDQFZMZZFT-UHFFFAOYSA-N -1 1 312.329 1.613 20 0 DDADMM CC(C)COc1cccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159189543 865155712 /nfs/dbraw/zinc/15/57/12/865155712.db2.gz LJGLPZJWQFBTHF-UHFFFAOYSA-N -1 1 316.321 1.889 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc3ccc(Cl)nc3[nH]2)[n-]1 ZINC001159199929 865164311 /nfs/dbraw/zinc/16/43/11/865164311.db2.gz UHTFFRGJSWVERM-UHFFFAOYSA-N -1 1 302.685 1.727 20 0 DDADMM CC[C@@H](F)CN1CC=C(CCNC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001159819860 865545461 /nfs/dbraw/zinc/54/54/61/865545461.db2.gz SVRRCVFAXXABFA-CYBMUJFWSA-N -1 1 324.400 1.924 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)[C@](C)(OC)C2CC2)sn1 ZINC001475391822 865613962 /nfs/dbraw/zinc/61/39/62/865613962.db2.gz SQQIXWGRSIRTGA-PELKAZGASA-N -1 1 320.436 1.634 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]c2cc(C(N)=O)sc2C)on1 ZINC001362189531 883252590 /nfs/dbraw/zinc/25/25/90/883252590.db2.gz BFOLSYXUOMSAHJ-UHFFFAOYSA-N -1 1 323.330 1.572 20 0 DDADMM COc1ccc2nc(Nc3c(O)[nH]c(=O)[n-]c3=S)ccc2c1 ZINC001160848121 866147915 /nfs/dbraw/zinc/14/79/15/866147915.db2.gz IGXAQFKAZGJLCU-NSHDSACASA-N -1 1 316.342 1.191 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1CCc2nnc(-c3ccccc3)n2C1 ZINC001161392098 866622360 /nfs/dbraw/zinc/62/23/60/866622360.db2.gz YUQHWKQXBRWTAV-LLVKDONJSA-N -1 1 324.344 1.575 20 0 DDADMM CCOC(=O)C[C@@H](Oc1cc(=O)[n-]c(=S)[nH]1)C(F)(F)F ZINC001225764505 882018546 /nfs/dbraw/zinc/01/85/46/882018546.db2.gz FVSGAEJMICDMJZ-RXMQYKEDSA-N -1 1 312.269 1.734 20 0 DDADMM Cn1cc(C(=O)C(=O)Nc2ccc(Br)c([O-])c2)cn1 ZINC001320675143 866937592 /nfs/dbraw/zinc/93/75/92/866937592.db2.gz KXJITSNEYNZPRF-UHFFFAOYSA-N -1 1 324.134 1.710 20 0 DDADMM O=C([N-]CCCSCc1nnc2n1CCCC2)C(F)(F)F ZINC001321041912 867254094 /nfs/dbraw/zinc/25/40/94/867254094.db2.gz YSHRPBXCDIEYJI-UHFFFAOYSA-N -1 1 322.356 1.916 20 0 DDADMM Cc1ccc(C)n1-c1nc(CC(=O)N(C)c2nn[n-]n2)cs1 ZINC001334274164 867445179 /nfs/dbraw/zinc/44/51/79/867445179.db2.gz OSWUJPILRMICIL-UHFFFAOYSA-N -1 1 317.378 1.269 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn3c(n2)C[C@H](C)CC3)n1 ZINC001361649622 882085639 /nfs/dbraw/zinc/08/56/39/882085639.db2.gz KSKOWHFGLDRAQV-SECBINFHSA-N -1 1 317.349 1.618 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCOCCC(C)C)sn1 ZINC001474886421 868270778 /nfs/dbraw/zinc/27/07/78/868270778.db2.gz KZJCYLPXPVDVFO-UHFFFAOYSA-N -1 1 308.425 1.493 20 0 DDADMM CC[C@H](C)Oc1nccnc1NCC[N-]C(=O)C(F)(F)F ZINC001164244704 869053734 /nfs/dbraw/zinc/05/37/34/869053734.db2.gz LCDWVUFJFUQAPL-QMMMGPOBSA-N -1 1 306.288 1.744 20 0 DDADMM CN(Cc1ccc(Cl)s1)c1nnc(Cc2nnn[n-]2)n1C ZINC001338270849 869896188 /nfs/dbraw/zinc/89/61/88/869896188.db2.gz CDXINZKBLDJXQV-UHFFFAOYSA-N -1 1 324.801 1.270 20 0 DDADMM CN(Cc1ccc(Cl)s1)c1nnc(Cc2nn[n-]n2)n1C ZINC001338270849 869896201 /nfs/dbraw/zinc/89/62/01/869896201.db2.gz CDXINZKBLDJXQV-UHFFFAOYSA-N -1 1 324.801 1.270 20 0 DDADMM CCC(CC)(NC(=O)C=Cc1cnccc1C)c1nn[n-]n1 ZINC001297488404 870074329 /nfs/dbraw/zinc/07/43/29/870074329.db2.gz YPTLOLXBZMMQPR-SREVYHEPSA-N -1 1 300.366 1.748 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N(Cc1cccc(F)c1)C1CC1 ZINC001338722758 870133626 /nfs/dbraw/zinc/13/36/26/870133626.db2.gz YVOIKFJPANGWGG-UHFFFAOYSA-N -1 1 314.328 1.303 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N(Cc1cccc(F)c1)C1CC1 ZINC001338722758 870133634 /nfs/dbraw/zinc/13/36/34/870133634.db2.gz YVOIKFJPANGWGG-UHFFFAOYSA-N -1 1 314.328 1.303 20 0 DDADMM COCc1sccc1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001361809646 882414814 /nfs/dbraw/zinc/41/48/14/882414814.db2.gz NNQVVSAXLQYXFJ-UHFFFAOYSA-N -1 1 302.359 1.802 20 0 DDADMM O=C(CO[C@@H]1CCOC1)Nc1nc(Br)ccc1[O-] ZINC001339488962 870522261 /nfs/dbraw/zinc/52/22/61/870522261.db2.gz FXIQIEZEKGMYOL-SSDOTTSWSA-N -1 1 317.139 1.294 20 0 DDADMM CN(C)c1nc(Nc2cnc3c(c2)OCCN3C)cc(=O)[n-]1 ZINC001203485439 870586187 /nfs/dbraw/zinc/58/61/87/870586187.db2.gz CPTJCLOIMWRTQE-UHFFFAOYSA-N -1 1 302.338 1.215 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1ccc(N(C)C)c(F)c1 ZINC001361817999 882430499 /nfs/dbraw/zinc/43/04/99/882430499.db2.gz ZJRGNNFZVJDAPJ-UHFFFAOYSA-N -1 1 306.297 1.654 20 0 DDADMM CCC[C@H](C)CC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001226503044 882468733 /nfs/dbraw/zinc/46/87/33/882468733.db2.gz QCXMGDQXBXIKEM-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM O=C(NCc1nc2c(cccc2F)[nH]1)C(=O)c1ccc([O-])cc1 ZINC001302986600 871096187 /nfs/dbraw/zinc/09/61/87/871096187.db2.gz UVIMCUOJWYTAIT-UHFFFAOYSA-N -1 1 313.288 1.907 20 0 DDADMM C/C=C\C[C@@H]([N-]S(=O)(=O)Cc1nocc1C)C(=O)OCC ZINC001309391564 871569984 /nfs/dbraw/zinc/56/99/84/871569984.db2.gz MNAJSGQHSMPMFI-VLWUPBBWSA-N -1 1 316.379 1.300 20 0 DDADMM CN(Cc1nccs1)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001341247027 871574724 /nfs/dbraw/zinc/57/47/24/871574724.db2.gz DVPNBGZAJFQZJH-UHFFFAOYSA-N -1 1 310.774 1.347 20 0 DDADMM Cc1ccccc1C1CCN(c2nnc(-c3nnn[n-]3)n2C)CC1 ZINC001341257223 871579969 /nfs/dbraw/zinc/57/99/69/871579969.db2.gz ZJIZESWKFQHZDS-UHFFFAOYSA-N -1 1 324.392 1.688 20 0 DDADMM Cc1ccccc1C1CCN(c2nnc(-c3nn[n-]n3)n2C)CC1 ZINC001341257223 871579980 /nfs/dbraw/zinc/57/99/80/871579980.db2.gz ZJIZESWKFQHZDS-UHFFFAOYSA-N -1 1 324.392 1.688 20 0 DDADMM CSc1ncc(C(=O)Nc2ccccc2C(N)=O)c(=O)[n-]1 ZINC001361868802 882537556 /nfs/dbraw/zinc/53/75/56/882537556.db2.gz PXEVQADISHXLJY-UHFFFAOYSA-N -1 1 304.331 1.255 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1COc2ccc(Br)cc21 ZINC001413844069 871814778 /nfs/dbraw/zinc/81/47/78/871814778.db2.gz IJIHNVNCTFEKCW-MRVPVSSYSA-N -1 1 324.134 1.993 20 0 DDADMM CC(C)N(C)C(=O)[C@@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001361875164 882551561 /nfs/dbraw/zinc/55/15/61/882551561.db2.gz BZHDPVDVFJCFAL-LBPRGKRZSA-N -1 1 309.391 1.169 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001361878753 882561024 /nfs/dbraw/zinc/56/10/24/882561024.db2.gz CANHDKGCFFOTFI-IUCAKERBSA-N -1 1 306.366 1.093 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)[C@]2(C)CC(C)=NO2)[n-]1 ZINC001361895226 882594841 /nfs/dbraw/zinc/59/48/41/882594841.db2.gz LEDZUZYFNFRDRJ-AWEZNQCLSA-N -1 1 307.306 1.497 20 0 DDADMM CCn1c(-c2nc(Cl)n[n-]2)nnc1N(C)Cc1ccco1 ZINC001342593016 872285588 /nfs/dbraw/zinc/28/55/88/872285588.db2.gz XMWAGVHTATWFPD-UHFFFAOYSA-N -1 1 307.745 1.966 20 0 DDADMM CC[C@H](C)CC(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001479686877 872786026 /nfs/dbraw/zinc/78/60/26/872786026.db2.gz VFVNSAVEKBEBOJ-STQMWFEESA-N -1 1 323.441 1.807 20 0 DDADMM Cn1cc(-c2ccc(/C=C\c3cc(=O)n4[n-]cnc4n3)cc2)cn1 ZINC001346401255 873736184 /nfs/dbraw/zinc/73/61/84/873736184.db2.gz LSFPFQCZSOAKDQ-DAXSKMNVSA-N -1 1 318.340 1.989 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1(C)C ZINC001346509606 873782858 /nfs/dbraw/zinc/78/28/58/873782858.db2.gz OSMRDFSOSWIRBJ-WQGWLQIFSA-N -1 1 311.407 1.569 20 0 DDADMM C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1c(F)ccc([O-])c1F ZINC001361984432 882760751 /nfs/dbraw/zinc/76/07/51/882760751.db2.gz XCNZYNBTODBIAZ-YUMQZZPRSA-N -1 1 319.329 1.318 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC(C)(C)c1ccncc1 ZINC001347102503 874013368 /nfs/dbraw/zinc/01/33/68/874013368.db2.gz FIHAZANCUFMQDY-UHFFFAOYSA-N -1 1 318.402 1.882 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC(O)(C1CC1)C1CC1 ZINC001347110416 874018642 /nfs/dbraw/zinc/01/86/42/874018642.db2.gz PILZWGYMTJLXKK-UHFFFAOYSA-N -1 1 309.391 1.060 20 0 DDADMM NC(=O)c1cccc(CCNC(=O)c2cccc([O-])c2F)c1 ZINC001361995262 882783978 /nfs/dbraw/zinc/78/39/78/882783978.db2.gz IKARCDAQOZTGRU-UHFFFAOYSA-N -1 1 302.305 1.603 20 0 DDADMM CN(C)S(=O)(=O)c1cccc(NC(=O)c2ccc([O-])cn2)c1 ZINC001362003063 882797522 /nfs/dbraw/zinc/79/75/22/882797522.db2.gz JVGYHJIXNFEEEZ-UHFFFAOYSA-N -1 1 321.358 1.290 20 0 DDADMM O=C(NCC[N-]C(=O)C(F)F)c1c(F)c(F)cc(F)c1F ZINC001348301164 874472685 /nfs/dbraw/zinc/47/26/85/874472685.db2.gz WLGPVFCLOZUKCT-UHFFFAOYSA-N -1 1 314.185 1.354 20 0 DDADMM O=C(CCC1CC1)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001378284467 874613737 /nfs/dbraw/zinc/61/37/37/874613737.db2.gz HANMNUUQBRBRFL-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM CO[C@@H]1C[C@H](N(C)C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C12CCC2 ZINC001348716149 874735894 /nfs/dbraw/zinc/73/58/94/874735894.db2.gz HAAGPSFQZRATHA-VHSXEESVSA-N -1 1 323.418 1.809 20 0 DDADMM C[C@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)CC1(C)CC1)C1CC1 ZINC001378466536 874932161 /nfs/dbraw/zinc/93/21/61/874932161.db2.gz DMGFIMCNTLSZEV-OAHLLOKOSA-N -1 1 307.398 1.075 20 0 DDADMM CO[C@@]1(C)C[C@@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1(C)C ZINC001349159554 874998430 /nfs/dbraw/zinc/99/84/30/874998430.db2.gz LXBQUWNPIMEVAR-CLAHSXSESA-N -1 1 311.407 1.713 20 0 DDADMM CCO[C@@H](CCNC(=O)c1c(C)[nH]c(=O)[n-]c1=S)C(C)C ZINC001349160215 874999624 /nfs/dbraw/zinc/99/96/24/874999624.db2.gz URXHJBXKLJBBHW-JTQLQIEISA-N -1 1 313.423 1.960 20 0 DDADMM COCC(=O)c1ccc([O-])cc1O[C@@H]1[C@H]2C[C@@H]3C(=O)O[C@@H]1[C@H]3C2 ZINC001227168722 882889849 /nfs/dbraw/zinc/88/98/49/882889849.db2.gz MGDATCMTHSUJMF-HNTCPXDRSA-N -1 1 318.325 1.550 20 0 DDADMM CC(C)CCCCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210197962 875265436 /nfs/dbraw/zinc/26/54/36/875265436.db2.gz FWXKMWHQHJCHSE-ZDUSSCGKSA-N -1 1 323.441 1.807 20 0 DDADMM CCC[C@H](NC(=O)C1C[C@H]2CCCC[C@@H](C1)C2=O)c1nn[n-]n1 ZINC001362053424 882923511 /nfs/dbraw/zinc/92/35/11/882923511.db2.gz QQKNYIDCOGCZJO-HNGCFSAESA-N -1 1 319.409 1.943 20 0 DDADMM CN(Cc1ccc(Cl)c(F)c1)c1nnc(-c2nnn[n-]2)n1C ZINC001350430433 875686652 /nfs/dbraw/zinc/68/66/52/875686652.db2.gz IZTLAIIEUCZPFR-UHFFFAOYSA-N -1 1 322.735 1.424 20 0 DDADMM CN(Cc1ccc(Cl)c(F)c1)c1nnc(-c2nn[n-]n2)n1C ZINC001350430433 875686664 /nfs/dbraw/zinc/68/66/64/875686664.db2.gz IZTLAIIEUCZPFR-UHFFFAOYSA-N -1 1 322.735 1.424 20 0 DDADMM CC[C@@H](CNC(=O)CCc1nn[n-]n1)Oc1cccc(Cl)c1 ZINC001362070125 882960913 /nfs/dbraw/zinc/96/09/13/882960913.db2.gz CSDOYNICSKMXDG-NSHDSACASA-N -1 1 323.784 1.760 20 0 DDADMM O=S(=O)([N-]Cc1ccnc(F)c1)c1cc(F)ccc1F ZINC001213273771 875871883 /nfs/dbraw/zinc/87/18/83/875871883.db2.gz DHVPDTVIXAKIJC-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM COc1ncc(-c2noc(-c3c[nH]c(=S)[n-]c3=O)n2)cc1C ZINC001213868467 876085854 /nfs/dbraw/zinc/08/58/54/876085854.db2.gz JRVPRNLBBDNUPS-UHFFFAOYSA-N -1 1 317.330 1.900 20 0 DDADMM O=C1CC[C@@H](Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)c2ccccc21 ZINC001227429332 883006506 /nfs/dbraw/zinc/00/65/06/883006506.db2.gz AUBKZHWFQUSIQC-SNVBAGLBSA-N -1 1 312.285 1.861 20 0 DDADMM CC(C)(C)NC(=O)[C@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001362109165 883060532 /nfs/dbraw/zinc/06/05/32/883060532.db2.gz INFRBFJSVYSJCU-LLVKDONJSA-N -1 1 309.391 1.217 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cnn2c1CCCC2 ZINC001352496129 876773639 /nfs/dbraw/zinc/77/36/39/876773639.db2.gz DMTFTFDEJRXJPE-UHFFFAOYSA-N -1 1 305.363 1.564 20 0 DDADMM CCC[C@@H](C)C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001381962921 883069603 /nfs/dbraw/zinc/06/96/03/883069603.db2.gz NQKWYWVQANKQKP-VXGBXAGGSA-N -1 1 307.394 1.800 20 0 DDADMM COc1ncc(Nc2csc(C)n2)cc1[N-]S(C)(=O)=O ZINC001216154055 876880180 /nfs/dbraw/zinc/88/01/80/876880180.db2.gz PSVAGGOHTZPMIC-UHFFFAOYSA-N -1 1 314.392 1.970 20 0 DDADMM COc1cnc(F)c(Nc2nc(N(C)C)[n-]c(=O)c2N=O)c1 ZINC001216407723 876934655 /nfs/dbraw/zinc/93/46/55/876934655.db2.gz NZZZROHVMUGBEC-UHFFFAOYSA-N -1 1 308.273 1.932 20 0 DDADMM CC(C)(C)OC(=O)N1CC(O)(CC(=O)[N-]OC2CCCC2)C1 ZINC001352840703 876964062 /nfs/dbraw/zinc/96/40/62/876964062.db2.gz XSUJUDFPWFIPGE-UHFFFAOYSA-N -1 1 314.382 1.349 20 0 DDADMM CCCCOC[C@@H](C)OC[C@@H](C)Oc1nc(=O)[nH]c(=O)[n-]1 ZINC001227570864 883088390 /nfs/dbraw/zinc/08/83/90/883088390.db2.gz OLVUGJGLIQOGRC-NXEZZACHSA-N -1 1 301.343 1.272 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)/C=C\[C@@H]2CCCO2)[n-]c1=O ZINC001353050262 877084793 /nfs/dbraw/zinc/08/47/93/877084793.db2.gz KXWNGMPMGQNIBG-GFVADAIESA-N -1 1 307.350 1.277 20 0 DDADMM CCC[C@H](C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1)C(C)C ZINC001382130127 883478614 /nfs/dbraw/zinc/47/86/14/883478614.db2.gz GCIXMVCUEYJGQF-NEPJUHHUSA-N -1 1 311.430 1.519 20 0 DDADMM O=c1nc(O[C@H]2C[C@H](O)C2)c2c([n-]1)CN(Cc1ccccc1)C2 ZINC001218361244 877462696 /nfs/dbraw/zinc/46/26/96/877462696.db2.gz XLPBMJGWMCPEJQ-JOCQHMNTSA-N -1 1 313.357 1.600 20 0 DDADMM CCO[C@H](CC)C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001395562891 912284686 /nfs/dbraw/zinc/28/46/86/912284686.db2.gz WMMJVFNCWLBKLH-DGCLKSJQSA-N -1 1 323.393 1.179 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(C=O)s2)n[n-]1 ZINC001300607465 877970186 /nfs/dbraw/zinc/97/01/86/877970186.db2.gz GQZSDSNOVFNZHX-SSDOTTSWSA-N -1 1 322.346 1.346 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(C=O)s2)[n-]1 ZINC001300607465 877970202 /nfs/dbraw/zinc/97/02/02/877970202.db2.gz GQZSDSNOVFNZHX-SSDOTTSWSA-N -1 1 322.346 1.346 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(C=O)s2)n1 ZINC001300607465 877970216 /nfs/dbraw/zinc/97/02/16/877970216.db2.gz GQZSDSNOVFNZHX-SSDOTTSWSA-N -1 1 322.346 1.346 20 0 DDADMM CN(CC1(c2ccccc2)CC1)c1nnc(-c2nnn[n-]2)n1C ZINC001355336181 878510855 /nfs/dbraw/zinc/51/08/55/878510855.db2.gz ZNFWRWFSXNQZLT-UHFFFAOYSA-N -1 1 310.365 1.163 20 0 DDADMM CN(CC1(c2ccccc2)CC1)c1nnc(-c2nn[n-]n2)n1C ZINC001355336181 878510868 /nfs/dbraw/zinc/51/08/68/878510868.db2.gz ZNFWRWFSXNQZLT-UHFFFAOYSA-N -1 1 310.365 1.163 20 0 DDADMM CN(C)C(=O)c1cccc([N-]S(=O)(=O)c2cccc(N)c2)c1 ZINC000316218881 879307690 /nfs/dbraw/zinc/30/76/90/879307690.db2.gz ZZCKKAGUJWBYJQ-UHFFFAOYSA-N -1 1 319.386 1.771 20 0 DDADMM CC(C)[C@@H](C)C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001416134470 879551867 /nfs/dbraw/zinc/55/18/67/879551867.db2.gz WAOIBKPCLMJUFB-LLVKDONJSA-N -1 1 305.378 1.268 20 0 DDADMM CC(=O)NC1CN(Cc2c(Br)ccc([O-])c2F)C1 ZINC001238304764 890163537 /nfs/dbraw/zinc/16/35/37/890163537.db2.gz DHZGTIIOXXKIRS-UHFFFAOYSA-N -1 1 317.158 1.614 20 0 DDADMM CC1(C)CC(C(=O)NC/C=C/CNC(=O)c2ncccc2[O-])C1 ZINC001357752923 880178306 /nfs/dbraw/zinc/17/83/06/880178306.db2.gz RQZOWFKWBFJWNR-ONEGZZNKSA-N -1 1 317.389 1.626 20 0 DDADMM CC/C=C(\C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001287915667 912580529 /nfs/dbraw/zinc/58/05/29/912580529.db2.gz NWTAZTHSXVLQEQ-OAIDTJHVSA-N -1 1 317.389 1.863 20 0 DDADMM CC[C@@H](CNC(=O)c1ccsc1)NC(=O)c1ncccc1[O-] ZINC001416178203 880695127 /nfs/dbraw/zinc/69/51/27/880695127.db2.gz MTVBEQAHYLQARR-NSHDSACASA-N -1 1 319.386 1.787 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2CC[C@H](OCc3nn[n-]n3)[C@H]2C1 ZINC001223026810 880788550 /nfs/dbraw/zinc/78/85/50/880788550.db2.gz JGWOKBDZDAVQCG-DCAQKATOSA-N -1 1 309.370 1.362 20 0 DDADMM C[C@@H](OCc1nnn[n-]1)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001223027209 880788721 /nfs/dbraw/zinc/78/87/21/880788721.db2.gz NMLVKEYDRUMHDM-OCCSQVGLSA-N -1 1 303.366 1.006 20 0 DDADMM CCC(CC)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001381110316 881050799 /nfs/dbraw/zinc/05/07/99/881050799.db2.gz GSFLOWPXYFWPGW-JQWIXIFHSA-N -1 1 309.414 1.273 20 0 DDADMM COC(=O)[C@@H](Oc1[n-]c(=O)nc2c1COC2)c1ccccc1F ZINC001227686335 883131616 /nfs/dbraw/zinc/13/16/16/883131616.db2.gz MMICUCZZBHCZHJ-LBPRGKRZSA-N -1 1 320.276 1.645 20 0 DDADMM COC(=O)[C@H](Oc1[n-]c(=O)nc2c1COC2)c1cccc(F)c1 ZINC001227686350 883131924 /nfs/dbraw/zinc/13/19/24/883131924.db2.gz MVXLVTWYGCZBKV-GFCCVEGCSA-N -1 1 320.276 1.645 20 0 DDADMM O=c1nc2c(c(OC[C@@H]3CCc4ccccc4O3)[n-]1)COC2 ZINC001227689382 883133529 /nfs/dbraw/zinc/13/35/29/883133529.db2.gz FCOABKGFYVVHHN-NSHDSACASA-N -1 1 300.314 1.985 20 0 DDADMM CSc1ncc(C(=O)N(C)c2ccc3c(c2)OCO3)c(=O)[n-]1 ZINC001362156810 883171765 /nfs/dbraw/zinc/17/17/65/883171765.db2.gz RTDAMBPTYPJKEU-UHFFFAOYSA-N -1 1 319.342 1.909 20 0 DDADMM CNC(=O)c1cccc(CNC(=O)c2cccc([O-])c2F)c1 ZINC001362163127 883186118 /nfs/dbraw/zinc/18/61/18/883186118.db2.gz SGROIXNZWHUZFK-UHFFFAOYSA-N -1 1 302.305 1.821 20 0 DDADMM CCc1ccc([C@@H](COC)NC(=O)CCCc2nn[n-]n2)o1 ZINC001362166773 883194618 /nfs/dbraw/zinc/19/46/18/883194618.db2.gz SJGZZTPDONRNLY-LLVKDONJSA-N -1 1 307.354 1.182 20 0 DDADMM CCCN(C)C(=O)[C@@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001362206359 883295766 /nfs/dbraw/zinc/29/57/66/883295766.db2.gz VKZPYMZASFSUPW-LBPRGKRZSA-N -1 1 309.391 1.171 20 0 DDADMM C[C@@H](CSC(F)(F)F)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362208188 883302276 /nfs/dbraw/zinc/30/22/76/883302276.db2.gz METZUFDPDXXJBD-JGVFFNPUSA-N -1 1 323.344 1.795 20 0 DDADMM CC(C)Oc1cc(CNC(=O)CCCc2nn[n-]n2)ccn1 ZINC001362211738 883310760 /nfs/dbraw/zinc/31/07/60/883310760.db2.gz ZFCIMDQPTKAMPB-UHFFFAOYSA-N -1 1 304.354 1.021 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCCC[C@@H]1c1ncon1 ZINC001362278689 883468180 /nfs/dbraw/zinc/46/81/80/883468180.db2.gz RJVXHEUERLWHQA-SNVBAGLBSA-N -1 1 305.342 1.049 20 0 DDADMM CSc1ncc(C(=O)Nc2cnn(CC(F)F)c2)c(=O)[n-]1 ZINC001362292348 883493974 /nfs/dbraw/zinc/49/39/74/883493974.db2.gz KGEYXEWMTMRUJD-UHFFFAOYSA-N -1 1 315.305 1.618 20 0 DDADMM CCOC(=O)c1cnc(=S)[nH]c1O[C@@H]1CCc2[nH+]ccn2C1 ZINC001228553572 883549015 /nfs/dbraw/zinc/54/90/15/883549015.db2.gz VUPBGINNOXGWBC-SECBINFHSA-N -1 1 320.374 1.532 20 0 DDADMM CCOC(=O)COc1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001362359879 883643171 /nfs/dbraw/zinc/64/31/71/883643171.db2.gz WRMLAPWLVZBLHB-UHFFFAOYSA-N -1 1 317.301 1.376 20 0 DDADMM CC(C)[C@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228900966 883720038 /nfs/dbraw/zinc/72/00/38/883720038.db2.gz JMIPGTHYYMRKJX-QJPTWQEYSA-N -1 1 309.414 1.319 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2s[n-]c(=O)c2Cl)n[nH]1 ZINC001362454301 883849968 /nfs/dbraw/zinc/84/99/68/883849968.db2.gz URAXWUILRZPMMA-UHFFFAOYSA-N -1 1 316.726 1.654 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)C1CC(=O)C1 ZINC001362463270 883869431 /nfs/dbraw/zinc/86/94/31/883869431.db2.gz OWIMWURWOHTSSB-LLVKDONJSA-N -1 1 323.715 1.177 20 0 DDADMM C[C@H](CON)Oc1cc(O)ccc1C(=O)c1ccc([O-])cc1 ZINC001229298599 883910418 /nfs/dbraw/zinc/91/04/18/883910418.db2.gz YVOHNPCUQJAHHT-SNVBAGLBSA-N -1 1 303.314 1.986 20 0 DDADMM CC(=O)N1CC[C@@H](Oc2[n-]c(=S)nc3nc(C)cc(C)c32)C1 ZINC001229302601 883914436 /nfs/dbraw/zinc/91/44/36/883914436.db2.gz JWKJJEYZGOXPQV-LLVKDONJSA-N -1 1 318.402 1.930 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1ccc(C2(O)COC2)cc1 ZINC001362512133 883976126 /nfs/dbraw/zinc/97/61/26/883976126.db2.gz CLQISGKVLQTWOQ-UHFFFAOYSA-N -1 1 315.325 1.871 20 0 DDADMM COC[C@@H](NC(=O)c1cnncc1[O-])c1ccc(F)c(F)c1 ZINC001362578267 884137422 /nfs/dbraw/zinc/13/74/22/884137422.db2.gz DYMGCUDADLWAKC-GFCCVEGCSA-N -1 1 309.272 1.578 20 0 DDADMM CCc1nnsc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001362609964 884214626 /nfs/dbraw/zinc/21/46/26/884214626.db2.gz GZWCPGOIRQDDEL-UHFFFAOYSA-N -1 1 311.392 1.248 20 0 DDADMM CCOc1cc(C(=O)NCc2ccc(=O)[nH]n2)cc(Cl)c1[O-] ZINC001362611526 884216554 /nfs/dbraw/zinc/21/65/54/884216554.db2.gz LRVBEIUBKPXBNC-UHFFFAOYSA-N -1 1 323.736 1.870 20 0 DDADMM CN1Cc2cc(NC(=O)CCCc3nn[n-]n3)ccc2NC1=O ZINC001362679320 884400301 /nfs/dbraw/zinc/40/03/01/884400301.db2.gz LXBPYGJSYKMINA-UHFFFAOYSA-N -1 1 315.337 1.138 20 0 DDADMM O=C(CCNC(=O)c1ccc(F)c([O-])c1)NCc1ccccn1 ZINC001362699477 884439837 /nfs/dbraw/zinc/43/98/37/884439837.db2.gz GPQFLYZTPULDIZ-UHFFFAOYSA-N -1 1 317.320 1.363 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)[C@@H](O)CCc2ccccc2)n1 ZINC001362822126 884721385 /nfs/dbraw/zinc/72/13/85/884721385.db2.gz UGQZPAINQOTMBI-ZDUSSCGKSA-N -1 1 317.345 1.519 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](O)CCc2ccccc2)n1 ZINC001362822126 884721395 /nfs/dbraw/zinc/72/13/95/884721395.db2.gz UGQZPAINQOTMBI-ZDUSSCGKSA-N -1 1 317.345 1.519 20 0 DDADMM O=C(c1ncccc1[O-])N1CCO[C@H](c2noc(C3CC3)n2)C1 ZINC001362863257 884826378 /nfs/dbraw/zinc/82/63/78/884826378.db2.gz TWTHGNNTGGPQKD-NSHDSACASA-N -1 1 316.317 1.261 20 0 DDADMM O=C(NCc1nc(C(F)(F)F)no1)c1c([O-])cccc1F ZINC001362886882 884888653 /nfs/dbraw/zinc/88/86/53/884888653.db2.gz GOGCXHHYNRAFLN-UHFFFAOYSA-N -1 1 305.187 1.863 20 0 DDADMM CCc1ccc(C(=O)N[C@H](COC)c2nn[n-]n2)cc1CC ZINC001362912399 884965897 /nfs/dbraw/zinc/96/58/97/884965897.db2.gz XSDVOIHINQYPNW-CYBMUJFWSA-N -1 1 303.366 1.442 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cc1ccc2c(c1)OC(F)(F)O2 ZINC001362949926 885062207 /nfs/dbraw/zinc/06/22/07/885062207.db2.gz QDJODRBEEOUQBZ-UHFFFAOYSA-N -1 1 311.244 1.926 20 0 DDADMM CCCn1cc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)cn1 ZINC001362999551 885191374 /nfs/dbraw/zinc/19/13/74/885191374.db2.gz NWTIDKDLZHNWRC-JTQLQIEISA-N -1 1 309.399 1.030 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(C)[nH]c2C)n[n-]1 ZINC001363053619 885342604 /nfs/dbraw/zinc/34/26/04/885342604.db2.gz ZHKDZOKJHJXJEB-SECBINFHSA-N -1 1 305.338 1.417 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(C)[nH]c2C)[n-]1 ZINC001363053619 885342625 /nfs/dbraw/zinc/34/26/25/885342625.db2.gz ZHKDZOKJHJXJEB-SECBINFHSA-N -1 1 305.338 1.417 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(C)[nH]c2C)n1 ZINC001363053619 885342641 /nfs/dbraw/zinc/34/26/41/885342641.db2.gz ZHKDZOKJHJXJEB-SECBINFHSA-N -1 1 305.338 1.417 20 0 DDADMM C[C@H](NC(=O)Cc1ccc([O-])c(Cl)c1)c1nccc(N)n1 ZINC001363070080 885388904 /nfs/dbraw/zinc/38/89/04/885388904.db2.gz FJNUQLIRBWWARC-QMMMGPOBSA-N -1 1 306.753 1.838 20 0 DDADMM CN(CC(=O)NCc1ccccc1)C(=O)c1cccc([O-])c1F ZINC001363086076 885428043 /nfs/dbraw/zinc/42/80/43/885428043.db2.gz CSTPPJPXFDLZFT-UHFFFAOYSA-N -1 1 316.332 1.920 20 0 DDADMM CC1(C)CCC[C@@](O)(CNC(=O)CCCc2nn[n-]n2)CC1 ZINC001363138757 885562371 /nfs/dbraw/zinc/56/23/71/885562371.db2.gz PJHKQYSPWSQMNB-HNNXBMFYSA-N -1 1 309.414 1.360 20 0 DDADMM CCCNC(=O)OC[C@H]1CCCCN1C(=O)c1ccc([O-])cn1 ZINC001363161713 885613869 /nfs/dbraw/zinc/61/38/69/885613869.db2.gz SGHZHAIYYYLBPX-GFCCVEGCSA-N -1 1 321.377 1.918 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCn2c(CC3CC3)nnc2C1 ZINC001363201194 885701814 /nfs/dbraw/zinc/70/18/14/885701814.db2.gz KIOCMMMYTMUSIF-UHFFFAOYSA-N -1 1 316.336 1.731 20 0 DDADMM O=C(CCCF)N[C@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001383432059 885774965 /nfs/dbraw/zinc/77/49/65/885774965.db2.gz IHCHZDRHLKUEFS-RYUDHWBXSA-N -1 1 323.368 1.694 20 0 DDADMM CC(C)(F)C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001383460019 885830395 /nfs/dbraw/zinc/83/03/95/885830395.db2.gz POGNNYWXUNYEPH-MGCOHNPYSA-N -1 1 309.341 1.160 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2ccc(Cl)c([O-])c2)CCC1=O ZINC001231732220 885839671 /nfs/dbraw/zinc/83/96/71/885839671.db2.gz WYWKJKUGJMRPQU-LLVKDONJSA-N -1 1 311.765 2.000 20 0 DDADMM CN(C1CN(Cc2ccccc2OCC(=O)[O-])C1)[C@@H]1CCOC1 ZINC001231792508 885877930 /nfs/dbraw/zinc/87/79/30/885877930.db2.gz DESPOIZQIHOILK-CQSZACIVSA-N -1 1 320.389 1.055 20 0 DDADMM CC[C@@H]1CCCC[C@H]1OCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363278979 885895289 /nfs/dbraw/zinc/89/52/89/885895289.db2.gz YHOGMWBWJKOQAF-VXGBXAGGSA-N -1 1 307.398 1.681 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1cnncc1[O-])c1cccc(C)c1 ZINC001363301808 885957534 /nfs/dbraw/zinc/95/75/34/885957534.db2.gz WPEJVBSNLPIXLI-ZDUSSCGKSA-N -1 1 315.329 1.525 20 0 DDADMM CCOC(=O)[C@@H](C[C@@H]1CCCO1)NC(=O)c1ccc([O-])cn1 ZINC001363305937 885969522 /nfs/dbraw/zinc/96/95/22/885969522.db2.gz AIRIGASIRQQVJE-WCQYABFASA-N -1 1 308.334 1.018 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)c1ccc(F)cc1C ZINC001363328805 886038725 /nfs/dbraw/zinc/03/87/25/886038725.db2.gz ZQBWTWJJFQAUKT-QMMMGPOBSA-N -1 1 321.308 1.835 20 0 DDADMM CC(C)(C)C[C@@H](O)CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001363343517 886079511 /nfs/dbraw/zinc/07/95/11/886079511.db2.gz HVAMUGVAJGUWNN-JSGCOSHPSA-N -1 1 317.393 1.593 20 0 DDADMM Cn1cnnc1[C@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC001363360097 886129496 /nfs/dbraw/zinc/12/94/96/886129496.db2.gz UHJITNQMHIZXOR-QMMMGPOBSA-N -1 1 308.288 1.429 20 0 DDADMM COc1ccc([C@H](C)NC(=O)CCc2nn[n-]n2)c(C)c1OC ZINC001363375074 886168101 /nfs/dbraw/zinc/16/81/01/886168101.db2.gz VEZDXKZCDMVRSY-JTQLQIEISA-N -1 1 319.365 1.335 20 0 DDADMM CSc1ncc(C(=O)N2CC(C)(C)[C@H]2[C@@H]2CCCO2)c(=O)[n-]1 ZINC001363382019 886185949 /nfs/dbraw/zinc/18/59/49/886185949.db2.gz WTFWUQRUJZEYMK-WDEREUQCSA-N -1 1 323.418 1.934 20 0 DDADMM COC[C@H](NC(=O)C[C@@H]1C[C@H]1Cc1ccccc1)c1nn[n-]n1 ZINC001363416227 886275790 /nfs/dbraw/zinc/27/57/90/886275790.db2.gz POCVUEIGLAWBRN-RDBSUJKOSA-N -1 1 315.377 1.272 20 0 DDADMM O=C(c1cccc(O)c1F)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363437377 886334749 /nfs/dbraw/zinc/33/47/49/886334749.db2.gz VYZVPJLSWSIVOP-VIFPVBQESA-N -1 1 305.313 1.139 20 0 DDADMM CC(C)c1nc([C@H](CO)NC(=O)c2ccc([O-])cn2)cs1 ZINC001363468580 886421177 /nfs/dbraw/zinc/42/11/77/886421177.db2.gz YKPWPZLOZGZDRJ-NSHDSACASA-N -1 1 307.375 1.831 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1ccnc(OCCO)c1 ZINC001363491495 886477594 /nfs/dbraw/zinc/47/75/94/886477594.db2.gz WCTUGHMPIYZOQK-UHFFFAOYSA-N -1 1 304.302 1.419 20 0 DDADMM C[C@@H]1C[C@]2(CC[C@H](CNC(=O)c3cccc([O-])c3F)O2)CO1 ZINC001363531892 886566302 /nfs/dbraw/zinc/56/63/02/886566302.db2.gz PSGCXRLZRGOINV-GLKRBJQHSA-N -1 1 309.337 1.988 20 0 DDADMM CSCC[C@H](NC(=O)c1cn(C(C)C)cn1)c1nn[n-]n1 ZINC001363550195 886613743 /nfs/dbraw/zinc/61/37/43/886613743.db2.gz YIEZWAZCXNCOLF-VIFPVBQESA-N -1 1 309.399 1.201 20 0 DDADMM NS(=O)(=O)C[C@@H]1CCCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001363573068 886667372 /nfs/dbraw/zinc/66/73/72/886667372.db2.gz FYSKQTHLGBDTDW-SECBINFHSA-N -1 1 320.361 1.171 20 0 DDADMM COC(=O)CC[C@@H]1COCCN1Cc1ccc(F)c([O-])c1F ZINC001232940215 886684734 /nfs/dbraw/zinc/68/47/34/886684734.db2.gz ZNESKQLKBLOXML-LLVKDONJSA-N -1 1 315.316 1.824 20 0 DDADMM CC[C@H](C(=O)N[C@H](COC)c1nn[n-]n1)c1ccc(OC)cc1 ZINC001363593343 886717815 /nfs/dbraw/zinc/71/78/15/886717815.db2.gz WJJTYJQIXFFWSP-QWHCGFSZSA-N -1 1 319.365 1.206 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CCN(c2cccnn2)CC1 ZINC001233033276 886738917 /nfs/dbraw/zinc/73/89/17/886738917.db2.gz DKGNAJMRMDABCM-UHFFFAOYSA-N -1 1 305.769 1.553 20 0 DDADMM CSc1nc(CNC(=O)c2ocnc2C(F)F)cc(=O)[n-]1 ZINC001363630038 886815102 /nfs/dbraw/zinc/81/51/02/886815102.db2.gz HTPIAAOZTDADNK-UHFFFAOYSA-N -1 1 316.289 1.760 20 0 DDADMM CCn1[nH]c(C)nc1=NC(=O)c1c(C)nc(C(C)(C)C)nc1O ZINC001363697127 887004243 /nfs/dbraw/zinc/00/42/43/887004243.db2.gz PSSVRPLIMQCZHI-UHFFFAOYSA-N -1 1 318.381 1.382 20 0 DDADMM CCn1[nH]c(C)nc1=NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC001363697127 887004254 /nfs/dbraw/zinc/00/42/54/887004254.db2.gz PSSVRPLIMQCZHI-UHFFFAOYSA-N -1 1 318.381 1.382 20 0 DDADMM Cc1csc([C@H](C)C(=O)N(Cc2nn[n-]n2)CC(C)C)n1 ZINC001363797444 887243363 /nfs/dbraw/zinc/24/33/63/887243363.db2.gz BFZUKJHZMMGBQB-JTQLQIEISA-N -1 1 308.411 1.753 20 0 DDADMM CCc1noc([C@H]2CC[C@@H](NC(=O)c3cncc([O-])c3)C2)n1 ZINC001363806896 887268861 /nfs/dbraw/zinc/26/88/61/887268861.db2.gz YYSFPBCHGLZMMO-GXSJLCMTSA-N -1 1 302.334 1.799 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H](Oc2c(=O)[n-]cnc2C(=O)OC)CC1 ZINC001233758955 887285636 /nfs/dbraw/zinc/28/56/36/887285636.db2.gz MXYQFLVDFMTLSC-MGCOHNPYSA-N -1 1 324.333 1.470 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H](c1cccnc1)C1CC1 ZINC001233760228 887290049 /nfs/dbraw/zinc/29/00/49/887290049.db2.gz VQHKTZQPYZQJGX-LBPRGKRZSA-N -1 1 301.302 1.894 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cccn2C(C)C)n[n-]1 ZINC001363887648 887476166 /nfs/dbraw/zinc/47/61/66/887476166.db2.gz QKYQEKLFTNCUFK-JTQLQIEISA-N -1 1 319.365 1.855 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cccn2C(C)C)[n-]1 ZINC001363887648 887476171 /nfs/dbraw/zinc/47/61/71/887476171.db2.gz QKYQEKLFTNCUFK-JTQLQIEISA-N -1 1 319.365 1.855 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cccn2C(C)C)n1 ZINC001363887648 887476182 /nfs/dbraw/zinc/47/61/82/887476182.db2.gz QKYQEKLFTNCUFK-JTQLQIEISA-N -1 1 319.365 1.855 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1cscn1 ZINC001363974480 887646316 /nfs/dbraw/zinc/64/63/16/887646316.db2.gz YTJNILGHRZMAMQ-VIFPVBQESA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1cscn1 ZINC001363974480 887646318 /nfs/dbraw/zinc/64/63/18/887646318.db2.gz YTJNILGHRZMAMQ-VIFPVBQESA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1cscn1 ZINC001363974480 887646322 /nfs/dbraw/zinc/64/63/22/887646322.db2.gz YTJNILGHRZMAMQ-VIFPVBQESA-N -1 1 321.362 1.415 20 0 DDADMM CSc1ncc(C(=O)NCC2(C3(O)CCC3)CCC2)c(=O)[n-]1 ZINC001364018098 887732414 /nfs/dbraw/zinc/73/24/14/887732414.db2.gz CMMAPXPADQWMOB-UHFFFAOYSA-N -1 1 323.418 1.719 20 0 DDADMM CCCC(=O)NC[C@@H]1C[C@H](C)CCN1C(=O)c1ncccc1[O-] ZINC001384626558 887796011 /nfs/dbraw/zinc/79/60/11/887796011.db2.gz GRBPIFYBCAGRSW-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H](C(=O)Nc2c[n-][nH]c2=O)C(C)(C)C1 ZINC001364086877 887883669 /nfs/dbraw/zinc/88/36/69/887883669.db2.gz ANCVAMKMVWPGMD-SECBINFHSA-N -1 1 324.381 1.947 20 0 DDADMM O=C(Nc1cnn(CC2CC2)c1)N1CCC(c2nn[n-]n2)CC1 ZINC001364093825 887902848 /nfs/dbraw/zinc/90/28/48/887902848.db2.gz MMEBVPHEZHZRHH-UHFFFAOYSA-N -1 1 316.369 1.218 20 0 DDADMM Cc1nc(C(N)=O)c(OCc2ccc(OC(C)C)nc2)c(=O)[n-]1 ZINC001234469800 888002692 /nfs/dbraw/zinc/00/26/92/888002692.db2.gz KWNOIKZXSOVZQK-UHFFFAOYSA-N -1 1 318.333 1.351 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Nc2conc2C)[n-]c1=O ZINC001364142993 888018367 /nfs/dbraw/zinc/01/83/67/888018367.db2.gz QJBWFRJHNVAFDO-SNVBAGLBSA-N -1 1 319.321 1.856 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)Cc2cccnc2)sn1 ZINC001364145746 888022913 /nfs/dbraw/zinc/02/29/13/888022913.db2.gz CQKJHPURUBHGSU-VIFPVBQESA-N -1 1 313.404 1.456 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H](C)CC(OC)OC ZINC001234564777 888104571 /nfs/dbraw/zinc/10/45/71/888104571.db2.gz QABCBYKLKHHEJY-SSDOTTSWSA-N -1 1 300.311 1.054 20 0 DDADMM CCS(=O)(CC)=NC(=O)c1cc(C)c2c(=O)[nH]c(=O)nc-2[n-]1 ZINC001364203856 888139508 /nfs/dbraw/zinc/13/95/08/888139508.db2.gz SRCVTILKOFWMOA-UHFFFAOYSA-N -1 1 324.362 1.392 20 0 DDADMM Cc1ccccc1OCCC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001364206463 888143883 /nfs/dbraw/zinc/14/38/83/888143883.db2.gz OSSBEIRZJDGBTL-UHFFFAOYSA-N -1 1 303.318 1.282 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)Nc2ncc(SC)cn2)[n-]1 ZINC001364231437 888183853 /nfs/dbraw/zinc/18/38/53/888183853.db2.gz ROVFMOBIDSTLIV-SSDOTTSWSA-N -1 1 308.367 1.666 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)Nc2ncc(SC)cn2)n[n-]1 ZINC001364231437 888183841 /nfs/dbraw/zinc/18/38/41/888183841.db2.gz ROVFMOBIDSTLIV-SSDOTTSWSA-N -1 1 308.367 1.666 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)Nc2ncc(SC)cn2)n1 ZINC001364231437 888183856 /nfs/dbraw/zinc/18/38/56/888183856.db2.gz ROVFMOBIDSTLIV-SSDOTTSWSA-N -1 1 308.367 1.666 20 0 DDADMM CC(F)(F)CN1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC001364363845 888461384 /nfs/dbraw/zinc/46/13/84/888461384.db2.gz APNCHPGSRLTXMN-UHFFFAOYSA-N -1 1 302.296 1.944 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC(c2[nH]nc3c2COCC3)C1 ZINC001364454549 888673054 /nfs/dbraw/zinc/67/30/54/888673054.db2.gz SFZIDMWRUOFHNZ-UHFFFAOYSA-N -1 1 317.320 1.567 20 0 DDADMM CC(C)[C@@H]1C[C@H](C(=O)Nc2nc(SCCO)n[nH]2)CCO1 ZINC001364491712 888758018 /nfs/dbraw/zinc/75/80/18/888758018.db2.gz LCCWACKZLGCGCZ-ZJUUUORDSA-N -1 1 314.411 1.279 20 0 DDADMM Cc1c(Br)csc1C(=O)[N-]N1CN=NC1=O ZINC001364492609 888760687 /nfs/dbraw/zinc/76/06/87/888760687.db2.gz HLCDEJYRTAUFFM-UHFFFAOYSA-N -1 1 303.141 1.500 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1COc2ccccc2O1 ZINC001364645472 889109867 /nfs/dbraw/zinc/10/98/67/889109867.db2.gz YDDFAWSUVGZSRM-CYBMUJFWSA-N -1 1 317.349 1.024 20 0 DDADMM Cc1ccc2c(c1)S[C@H](C(=O)NC1(c3nn[n-]n3)CCC1)C2 ZINC001364664818 889154683 /nfs/dbraw/zinc/15/46/83/889154683.db2.gz XZSOOMPZDMBXAN-LBPRGKRZSA-N -1 1 315.402 1.721 20 0 DDADMM CC[C@H](C)CC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001385493561 889215777 /nfs/dbraw/zinc/21/57/77/889215777.db2.gz UPLMBMHMNCVTAV-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CCOC(=O)c1n[n-]c(CNCc2ncc(C(C)C)s2)n1 ZINC001364746246 889327801 /nfs/dbraw/zinc/32/78/01/889327801.db2.gz IFPBNGIYKBJBAW-UHFFFAOYSA-N -1 1 309.395 1.851 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(C)CCC(C)CC1 ZINC001364766660 889369012 /nfs/dbraw/zinc/36/90/12/889369012.db2.gz KNJQTIXXYDYTTC-UHFFFAOYSA-N -1 1 315.395 1.443 20 0 DDADMM COC[C@H](NC(=O)c1ccc(Cl)c(Cl)c1)c1nn[n-]n1 ZINC001364816441 889474789 /nfs/dbraw/zinc/47/47/89/889474789.db2.gz VRBQOZMJUUFUGW-VIFPVBQESA-N -1 1 316.148 1.624 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCC(C)(C)C2)[n-]n1 ZINC001364828622 889496294 /nfs/dbraw/zinc/49/62/94/889496294.db2.gz NAJWWHRILDHOQP-QMMMGPOBSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCC(C)(C)C2)n[n-]1 ZINC001364828622 889496300 /nfs/dbraw/zinc/49/63/00/889496300.db2.gz NAJWWHRILDHOQP-QMMMGPOBSA-N -1 1 301.368 1.053 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2C[C@@H](C)n3ccnc32)sn1 ZINC001364842328 889528711 /nfs/dbraw/zinc/52/87/11/889528711.db2.gz MSSSRJXQYXWUTP-SFYZADRCSA-N -1 1 314.392 1.333 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2C[C@@H](OC)C23CCC3)sn1 ZINC001364859209 889562422 /nfs/dbraw/zinc/56/24/22/889562422.db2.gz PDVLOUXRDZFSHZ-DTWKUNHWSA-N -1 1 318.420 1.388 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)C1(OC)CCC1 ZINC001364869428 889579960 /nfs/dbraw/zinc/57/99/60/889579960.db2.gz AWEFQWZNPJDITO-MRVPVSSYSA-N -1 1 306.409 1.388 20 0 DDADMM [O-]c1c(CN2CCN(CCCO)CC2)cc(Cl)nc1Cl ZINC001237520810 889678388 /nfs/dbraw/zinc/67/83/88/889678388.db2.gz XPQOYQCRJMAYKP-UHFFFAOYSA-N -1 1 320.220 1.594 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@@]12CCC[C@]1(OC)OCC2)OC ZINC001364959747 889762742 /nfs/dbraw/zinc/76/27/42/889762742.db2.gz JHABGROMZUHCAU-XQQFMLRXSA-N -1 1 307.412 1.017 20 0 DDADMM CC[C@@H](c1ccccc1)N1CCN(C(=O)c2n[nH]c(=O)[n-]2)CC1 ZINC001365186738 890293009 /nfs/dbraw/zinc/29/30/09/890293009.db2.gz LEQHNONVCWXTNY-ZDUSSCGKSA-N -1 1 315.377 1.419 20 0 DDADMM CSc1nc(CNC(=O)Cc2ccccc2O)cc(=O)[n-]1 ZINC001365213395 890366312 /nfs/dbraw/zinc/36/63/12/890366312.db2.gz XKKIPMUKMFSPNG-UHFFFAOYSA-N -1 1 305.359 1.469 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H]2C(C)(C)C)[n-]n1 ZINC001365476601 890869653 /nfs/dbraw/zinc/86/96/53/890869653.db2.gz AIYRHWULDNKPKH-SECBINFHSA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H]2C(C)(C)C)n[n-]1 ZINC001365476601 890869660 /nfs/dbraw/zinc/86/96/60/890869660.db2.gz AIYRHWULDNKPKH-SECBINFHSA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)[C@H]1C[C@H](OC)CCN1Cc1ccc([O-])c(F)c1F ZINC001365553073 891044502 /nfs/dbraw/zinc/04/45/02/891044502.db2.gz MDSFUZDKKNSQOY-GHMZBOCLSA-N -1 1 315.316 1.823 20 0 DDADMM O=C([O-])c1ccc(-c2ncccc2C(=O)N2CCNCC2)s1 ZINC001240843472 891071336 /nfs/dbraw/zinc/07/13/36/891071336.db2.gz FQASAGVBFKYTGJ-UHFFFAOYSA-N -1 1 317.370 1.554 20 0 DDADMM CC(=O)Nc1nc2nc[nH]c2c(-c2cc(C(=O)[O-])ccc2C)n1 ZINC001240999399 891115599 /nfs/dbraw/zinc/11/55/99/891115599.db2.gz MGEJCVPVCUZCDB-UHFFFAOYSA-N -1 1 311.301 1.937 20 0 DDADMM O=C(NC1CC1)c1ccc(-c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC001241594533 891214164 /nfs/dbraw/zinc/21/41/64/891214164.db2.gz ADGNSPROJHVBCX-UHFFFAOYSA-N -1 1 306.329 1.821 20 0 DDADMM O=C(NC1CC1)c1ccc(-c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC001241594533 891214179 /nfs/dbraw/zinc/21/41/79/891214179.db2.gz ADGNSPROJHVBCX-UHFFFAOYSA-N -1 1 306.329 1.821 20 0 DDADMM Nc1cc(C(F)(F)F)c(-c2ccc(-c3nnn[n-]3)nc2)cn1 ZINC001241682998 891243193 /nfs/dbraw/zinc/24/31/93/891243193.db2.gz RSQBYISZQCUBGL-UHFFFAOYSA-N -1 1 307.239 1.925 20 0 DDADMM Nc1cc(C(F)(F)F)c(-c2ccc(-c3nn[n-]n3)nc2)cn1 ZINC001241682998 891243210 /nfs/dbraw/zinc/24/32/10/891243210.db2.gz RSQBYISZQCUBGL-UHFFFAOYSA-N -1 1 307.239 1.925 20 0 DDADMM O=C(C1CC1)N1CC=C(c2ccc3c(c2)CC(=O)[N-]C3=O)CC1 ZINC001243030051 891588673 /nfs/dbraw/zinc/58/86/73/891588673.db2.gz FLJHEANELMPDKC-UHFFFAOYSA-N -1 1 310.353 1.525 20 0 DDADMM CNC(=O)c1ccc(-c2nc(C)cc3c2C(=O)[N-]C3=O)c(F)c1 ZINC001244661416 891879787 /nfs/dbraw/zinc/87/97/87/891879787.db2.gz QGFQDVQJXHMNQK-UHFFFAOYSA-N -1 1 313.288 1.439 20 0 DDADMM COc1ncc(-c2ncnc3[nH]ccc32)cc1[N-]S(C)(=O)=O ZINC001244790688 891924172 /nfs/dbraw/zinc/92/41/72/891924172.db2.gz RVOUJCFTPACDTH-UHFFFAOYSA-N -1 1 319.346 1.352 20 0 DDADMM NC(=O)c1cc(-c2c(F)ccc([O-])c2Cl)cc(C(N)=O)n1 ZINC001245831395 892249180 /nfs/dbraw/zinc/24/91/80/892249180.db2.gz DONMUVLZCNMBQC-UHFFFAOYSA-N -1 1 309.684 1.445 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccccc2)cc1C(N)=O ZINC001251141367 894646372 /nfs/dbraw/zinc/64/63/72/894646372.db2.gz MUYNRUZTHNWILA-UHFFFAOYSA-N -1 1 306.343 1.595 20 0 DDADMM CN(C)c1nc(NC[C@H](O)c2ccccc2)c(N=O)c(=O)[n-]1 ZINC001251979006 894926289 /nfs/dbraw/zinc/92/62/89/894926289.db2.gz AKRHHVOFEZTKNV-JTQLQIEISA-N -1 1 303.322 1.792 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@H]1CC1(C)C ZINC001388428381 895219619 /nfs/dbraw/zinc/21/96/19/895219619.db2.gz GXKJRZNPMCNPIZ-GHMZBOCLSA-N -1 1 305.378 1.315 20 0 DDADMM CCS(=O)(=O)[N-][C@@H](COC(C)(C)C)C(=O)OC(C)(C)C ZINC001253267235 895757672 /nfs/dbraw/zinc/75/76/72/895757672.db2.gz GKVISYPGSGDMQL-JTQLQIEISA-N -1 1 309.428 1.451 20 0 DDADMM CCS(=O)(=O)[N-]c1ccc(Br)cc1C(=O)OC ZINC001253344599 895790437 /nfs/dbraw/zinc/79/04/37/895790437.db2.gz SPZURBFVAJGGFZ-UHFFFAOYSA-N -1 1 322.180 1.997 20 0 DDADMM CC(C)(C)OC(=O)[C@H](O)CNc1cc2ccc([O-])cc2oc1=O ZINC001253671855 895983000 /nfs/dbraw/zinc/98/30/00/895983000.db2.gz TVBFRMHFWABZQJ-GFCCVEGCSA-N -1 1 321.329 1.613 20 0 DDADMM Cc1cccc(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])c1 ZINC001389169036 896598709 /nfs/dbraw/zinc/59/87/09/896598709.db2.gz CMDDIONWWFBTCO-GFCCVEGCSA-N -1 1 313.357 1.644 20 0 DDADMM CN(CCC1CCN(C(=O)C(C)(C)C)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001367434837 896757039 /nfs/dbraw/zinc/75/70/39/896757039.db2.gz GDYMGGDGNVPGSM-UHFFFAOYSA-N -1 1 323.441 1.617 20 0 DDADMM CCn1nc(-c2ccccc2)cc1[N-]S(=O)(=O)CC(=O)OC ZINC001259018439 898417386 /nfs/dbraw/zinc/41/73/86/898417386.db2.gz KYRRZCAFTULLSH-UHFFFAOYSA-N -1 1 323.374 1.485 20 0 DDADMM CC(C)N1CC([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC001259081249 898455010 /nfs/dbraw/zinc/45/50/10/898455010.db2.gz HZXMKJFYATXOHV-UHFFFAOYSA-N -1 1 308.325 1.475 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@]12CCCOC2)c1ccc(F)c(F)c1F ZINC001259083656 898457979 /nfs/dbraw/zinc/45/79/79/898457979.db2.gz PYRWLBOADCLLPL-ZWNOBZJWSA-N -1 1 321.320 1.951 20 0 DDADMM Cc1cccc2c1[C@@H]([N-]S(=O)(=O)c1ccccn1)C(=O)N2 ZINC001259290183 898588278 /nfs/dbraw/zinc/58/82/78/898588278.db2.gz DUPRKNKHNGORIE-CYBMUJFWSA-N -1 1 303.343 1.362 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cc(O)cc(C(N)=O)c2)cc1F ZINC001259480841 898691379 /nfs/dbraw/zinc/69/13/79/898691379.db2.gz WUTXUWHHCXOMPE-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM COC(=O)c1c([N-]S(=O)(=O)C2CCCC2)cncc1OC ZINC001259795055 898809173 /nfs/dbraw/zinc/80/91/73/898809173.db2.gz BFURBHBPBPPTRZ-UHFFFAOYSA-N -1 1 314.363 1.561 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C1CCCC1)c1ccccn1 ZINC001259805865 898817267 /nfs/dbraw/zinc/81/72/67/898817267.db2.gz GYVAWTYEZDOYAF-CYBMUJFWSA-N -1 1 312.391 1.548 20 0 DDADMM O=S(=O)([N-]c1ccnc(CO)c1)c1ccc2c(c1)OCO2 ZINC001259844227 898862095 /nfs/dbraw/zinc/86/20/95/898862095.db2.gz FXPIEPWEJILALC-UHFFFAOYSA-N -1 1 308.315 1.103 20 0 DDADMM Cn1nnc2cc([N-]S(=O)(=O)CCC(F)(F)F)ccc21 ZINC001259871604 898882235 /nfs/dbraw/zinc/88/22/35/898882235.db2.gz OPENWKPUYPWMPL-UHFFFAOYSA-N -1 1 308.285 1.662 20 0 DDADMM CCn1nnc(-c2ccccc2[N-]S(=O)(=O)CC(C)C)n1 ZINC001259887270 898899880 /nfs/dbraw/zinc/89/98/80/898899880.db2.gz UFZLBYKLHURIOV-UHFFFAOYSA-N -1 1 309.395 1.758 20 0 DDADMM COCCS(=O)(=O)[N-][C@H](C(=O)OC)c1ccccc1Cl ZINC001259974140 898999644 /nfs/dbraw/zinc/99/96/44/898999644.db2.gz JXKHJEDKLOHTPS-NSHDSACASA-N -1 1 321.782 1.120 20 0 DDADMM C[C@@]1(O)C[C@@H]([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)C1 ZINC001260206596 899086838 /nfs/dbraw/zinc/08/68/38/899086838.db2.gz UKGQDHZBZOJBNV-MHWOZMEASA-N -1 1 311.737 1.810 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cccn3nccc23)cc1 ZINC001260223552 899097014 /nfs/dbraw/zinc/09/70/14/899097014.db2.gz UMLBZOQUWTWBPY-UHFFFAOYSA-N -1 1 317.326 1.833 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCOC1)c1cc(F)c(F)cc1Cl ZINC001260287853 899111970 /nfs/dbraw/zinc/11/19/70/899111970.db2.gz PDPIGBZLEWVAEF-SSDOTTSWSA-N -1 1 311.737 1.933 20 0 DDADMM Cc1cc2cc([N-]S(=O)(=O)CS(C)(=O)=O)ccc2o1 ZINC001260591778 899177553 /nfs/dbraw/zinc/17/75/53/899177553.db2.gz LQKAVYKHDBXWCJ-UHFFFAOYSA-N -1 1 303.361 1.485 20 0 DDADMM CCc1nc(C)c(CN[C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001390345945 899219900 /nfs/dbraw/zinc/21/99/00/899219900.db2.gz ULOLETVELYTWGI-QMMMGPOBSA-N -1 1 321.381 1.191 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2ccc(C(N)=O)c(O)c2)c1C ZINC001260999232 899318501 /nfs/dbraw/zinc/31/85/01/899318501.db2.gz RDWJWDHMMJBBTK-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-][C@H]1c2cc(C)ccc2NC1=O ZINC001261030450 899331370 /nfs/dbraw/zinc/33/13/70/899331370.db2.gz AZYBLEBIGSUOBY-LBPRGKRZSA-N -1 1 320.374 1.307 20 0 DDADMM CC[C@H]1CCCC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001261266386 899441418 /nfs/dbraw/zinc/44/14/18/899441418.db2.gz HALLOOIICCJFJT-STQMWFEESA-N -1 1 307.394 1.259 20 0 DDADMM O=C(NCCCNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1)c1ncccc1[O-] ZINC001293261667 914376602 /nfs/dbraw/zinc/37/66/02/914376602.db2.gz APEKVPCSLAUYGG-JHJVBQTASA-N -1 1 317.389 1.460 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)[C@H](C)c1cccs1 ZINC001263026176 900471034 /nfs/dbraw/zinc/47/10/34/900471034.db2.gz FIURRVRKFKGLQR-SECBINFHSA-N -1 1 318.410 1.661 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)[C@H](C)c1cccs1 ZINC001263026176 900471045 /nfs/dbraw/zinc/47/10/45/900471045.db2.gz FIURRVRKFKGLQR-SECBINFHSA-N -1 1 318.410 1.661 20 0 DDADMM O=C(c1ccccc1-n1cccn1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001263886767 900799242 /nfs/dbraw/zinc/79/92/42/900799242.db2.gz WDQXQFIRFWEQHO-LLVKDONJSA-N -1 1 309.333 1.015 20 0 DDADMM O=C(c1cccc2ncccc21)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001263911156 900814840 /nfs/dbraw/zinc/81/48/40/900814840.db2.gz BWQGSKZEBHPBRS-GFCCVEGCSA-N -1 1 322.372 1.843 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(OCc2nn[n-]n2)cc1)n1ccnc1 ZINC001293568254 914557426 /nfs/dbraw/zinc/55/74/26/914557426.db2.gz HCZZMBIFXGRVDC-JTQLQIEISA-N -1 1 313.321 1.175 20 0 DDADMM CCCC1(C(=O)N[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001369699265 901794606 /nfs/dbraw/zinc/79/46/06/901794606.db2.gz XRTHHMPZKPPFPG-NWDGAFQWSA-N -1 1 319.405 1.991 20 0 DDADMM Cc1coc(C(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCCC2)c1 ZINC001391767479 902560901 /nfs/dbraw/zinc/56/09/01/902560901.db2.gz BNPZTDGFRAXNFD-UHFFFAOYSA-N -1 1 319.365 1.244 20 0 DDADMM CCCC1(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)CC1 ZINC001370223759 902757734 /nfs/dbraw/zinc/75/77/34/902757734.db2.gz LLVIUKJHGKZLNL-LLVKDONJSA-N -1 1 307.398 1.075 20 0 DDADMM CCN(CCCNC(=O)C12CCC(CC1)C2)Cc1n[nH]c(=O)[n-]1 ZINC001266054130 902846898 /nfs/dbraw/zinc/84/68/98/902846898.db2.gz JMAXJYHDAAVTGP-UHFFFAOYSA-N -1 1 321.425 1.419 20 0 DDADMM C/C=C(/C)C(=O)NCC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001293820297 914721281 /nfs/dbraw/zinc/72/12/81/914721281.db2.gz OKMWDXBRNYWXPP-BASWHVEKSA-N -1 1 317.389 1.722 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ccco1)NC(=O)c1ncccc1[O-] ZINC001371248303 904566191 /nfs/dbraw/zinc/56/61/91/904566191.db2.gz NLLLPBGYOQPLFC-WDEREUQCSA-N -1 1 317.345 1.707 20 0 DDADMM C[C@H](CN(C)Cc1cscn1)NC(=O)c1ncccc1[O-] ZINC001392655737 904766611 /nfs/dbraw/zinc/76/66/11/904766611.db2.gz ZZGLPVGMQOCODC-SNVBAGLBSA-N -1 1 306.391 1.494 20 0 DDADMM CC(C)CCC(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001372059569 906366009 /nfs/dbraw/zinc/36/60/09/906366009.db2.gz KNMJZZGJJXIBHS-CYBMUJFWSA-N -1 1 319.405 1.896 20 0 DDADMM CCc1ccoc1C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001283232309 906951533 /nfs/dbraw/zinc/95/15/33/906951533.db2.gz XRNWAKCHAFEEKW-UHFFFAOYSA-N -1 1 317.345 1.493 20 0 DDADMM C/C=C(\C)C(=O)NCCCN(C(=O)c1ncccc1[O-])C1CC1 ZINC001283783893 907956432 /nfs/dbraw/zinc/95/64/32/907956432.db2.gz XCUZIKTZYMIOGB-KGVSQERTSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@@H](NC(=O)c1ccoc1Cl)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001393946747 908350075 /nfs/dbraw/zinc/35/00/75/908350075.db2.gz XXWNTNAQCDXHJL-NKWVEPMBSA-N -1 1 313.745 1.053 20 0 DDADMM CCC[C@@H](OCC)C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001372839802 908400831 /nfs/dbraw/zinc/40/08/31/908400831.db2.gz ZGFMWCBVSUJIHC-WCQYABFASA-N -1 1 323.393 1.227 20 0 DDADMM CC(C)N(CCN(C)Cc1ccon1)C(=O)c1ncccc1[O-] ZINC001394801472 910597799 /nfs/dbraw/zinc/59/77/99/910597799.db2.gz LJTZGZLHRWKLOA-UHFFFAOYSA-N -1 1 318.377 1.758 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NCc1nocc1C ZINC001373751463 910861116 /nfs/dbraw/zinc/86/11/16/910861116.db2.gz YEJVHLPEBYTJPH-LLVKDONJSA-N -1 1 304.350 1.382 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H]1CCCC2(CC2)C1)NCc1n[nH]c(=O)[n-]1 ZINC001373753808 910869480 /nfs/dbraw/zinc/86/94/80/910869480.db2.gz NMKKORXQKNMSMC-NEPJUHHUSA-N -1 1 321.425 1.465 20 0 DDADMM C[C@H](CNC(=O)C1(C)CC=CC1)N(C)C(=O)c1ncccc1[O-] ZINC001285844527 911278820 /nfs/dbraw/zinc/27/88/20/911278820.db2.gz SYJNKUFTBHHWAK-GFCCVEGCSA-N -1 1 317.389 1.720 20 0 DDADMM Cc1ccc(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])s1 ZINC001397416331 915979024 /nfs/dbraw/zinc/97/90/24/915979024.db2.gz RSFFJNDHXPHOCZ-SECBINFHSA-N -1 1 319.386 1.705 20 0 DDADMM CC(C)OC(=O)CCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001296127956 916246473 /nfs/dbraw/zinc/24/64/73/916246473.db2.gz XNLCMTYLIGOFCN-UHFFFAOYSA-N -1 1 307.350 1.521 20 0 DDADMM Cc1ccc(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)s1 ZINC001376200940 917941326 /nfs/dbraw/zinc/94/13/26/917941326.db2.gz YLUYOWNXPXKZDW-SNVBAGLBSA-N -1 1 321.406 1.178 20 0 DDADMM CCN(CCNC(=O)c1[nH]nc(C)c1[O-])Cc1cncc(C)c1 ZINC001377306894 920986115 /nfs/dbraw/zinc/98/61/15/920986115.db2.gz KRQMFAMHVMXGCZ-UHFFFAOYSA-N -1 1 317.393 1.379 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@@H](C)[C@@H](C)C3)nc2n1 ZINC000622993136 365585413 /nfs/dbraw/zinc/58/54/13/365585413.db2.gz CDXDCQYDQDCSHG-OUAUKWLOSA-N -1 1 317.393 1.991 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCCS3)nc2n1 ZINC000622994129 365586946 /nfs/dbraw/zinc/58/69/46/365586946.db2.gz FFEWHTKULRHOLV-VIFPVBQESA-N -1 1 307.379 1.204 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCSC3)nc2n1 ZINC000622997240 365589717 /nfs/dbraw/zinc/58/97/17/365589717.db2.gz QJZUOPJUWFGAIF-QMMMGPOBSA-N -1 1 307.379 1.062 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCCC[C@@H]1O ZINC000081826954 192329114 /nfs/dbraw/zinc/32/91/14/192329114.db2.gz GYKBFCXXXGVCLL-RYUDHWBXSA-N -1 1 321.421 1.657 20 0 DDADMM CC(C)(C)C[C@H](O)CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614388465 361832057 /nfs/dbraw/zinc/83/20/57/361832057.db2.gz HGNCZXFMKRYTON-GHMZBOCLSA-N -1 1 311.382 1.668 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2cc(-c3ccco3)[nH]n2)C1=O ZINC000278607387 214271802 /nfs/dbraw/zinc/27/18/02/214271802.db2.gz FGTCADDJLFKIBS-AWEZNQCLSA-N -1 1 317.305 1.035 20 0 DDADMM O=c1nc(CN2CCC(O)(c3ccccc3Cl)CC2)[nH][n-]1 ZINC000278613565 214276523 /nfs/dbraw/zinc/27/65/23/214276523.db2.gz FOVKFNDHMZXPOF-UHFFFAOYSA-N -1 1 308.769 1.235 20 0 DDADMM CC(C)(C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)n1cccn1 ZINC000614474899 361876237 /nfs/dbraw/zinc/87/62/37/361876237.db2.gz FJVBIMYAMWRZNP-UHFFFAOYSA-N -1 1 305.338 1.113 20 0 DDADMM COc1cc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)ccn1 ZINC000079095525 185157689 /nfs/dbraw/zinc/15/76/89/185157689.db2.gz BUSYYDRQRGXNJJ-UHFFFAOYSA-N -1 1 310.313 1.257 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)COC(C)C)CC2)n1 ZINC000278742761 214369086 /nfs/dbraw/zinc/36/90/86/214369086.db2.gz LTNMOMZULOCTER-UHFFFAOYSA-N -1 1 324.381 1.112 20 0 DDADMM O=C(NCC1CC1)[C@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000081942966 539167759 /nfs/dbraw/zinc/16/77/59/539167759.db2.gz IDEMMMXJFUWLRB-LBPRGKRZSA-N -1 1 320.364 1.910 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC1CCC(CO)CC1 ZINC000181056766 539236666 /nfs/dbraw/zinc/23/66/66/539236666.db2.gz CNJLFKIEMVLOGD-UHFFFAOYSA-N -1 1 321.421 1.657 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]2C)c(Br)n1C ZINC000286583239 219066119 /nfs/dbraw/zinc/06/61/19/219066119.db2.gz JZKVEPXGMJRZOK-XPUUQOCRSA-N -1 1 322.228 1.425 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc([C@H]3CCCS3)no2)co1 ZINC000274081588 539279225 /nfs/dbraw/zinc/27/92/25/539279225.db2.gz GJYONIYUDSLPTK-MRVPVSSYSA-N -1 1 315.376 1.806 20 0 DDADMM C[N@@H+]1CCC[C@H]1[C@H]1COCCN1C(=O)c1cc(F)ccc1[O-] ZINC000615271365 362225523 /nfs/dbraw/zinc/22/55/23/362225523.db2.gz WMCVYXJXFLQTST-UONOGXRCSA-N -1 1 308.353 1.467 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCC(=O)[C@@H](C)C1(C)C)c1nn[n-]n1 ZINC000333388148 539320449 /nfs/dbraw/zinc/32/04/49/539320449.db2.gz YRXQLSHWNZMQCY-MXWKQRLJSA-N -1 1 307.398 1.403 20 0 DDADMM C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000272787935 210191689 /nfs/dbraw/zinc/19/16/89/210191689.db2.gz WIKJBFUFXSFFQD-KRTXAFLBSA-N -1 1 312.753 1.260 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1=C(C)CC(C)(C)CC1 ZINC000451437729 529930759 /nfs/dbraw/zinc/93/07/59/529930759.db2.gz UCGMVFDECSUWGC-UHFFFAOYSA-N -1 1 304.394 1.965 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(C)c(CC)s2)n[n-]1 ZINC000615919162 362485349 /nfs/dbraw/zinc/48/53/49/362485349.db2.gz BZIHYMLXRUCGCB-UHFFFAOYSA-N -1 1 322.390 1.844 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(C)c(CC)s2)n1 ZINC000615919162 362485355 /nfs/dbraw/zinc/48/53/55/362485355.db2.gz BZIHYMLXRUCGCB-UHFFFAOYSA-N -1 1 322.390 1.844 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2C[C@H]2c2ccco2)co1 ZINC000412923495 530025789 /nfs/dbraw/zinc/02/57/89/530025789.db2.gz HXXPFCQYEIAVBP-NXEZZACHSA-N -1 1 310.331 1.067 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C2CC2)C2CCC2)o1 ZINC000457225546 530028316 /nfs/dbraw/zinc/02/83/16/530028316.db2.gz SIKAGAOKVUMSDL-CYBMUJFWSA-N -1 1 312.391 1.496 20 0 DDADMM Cc1nc(CN(C)Cc2cc(=O)oc3cc([O-])ccc23)no1 ZINC000181698644 199340630 /nfs/dbraw/zinc/34/06/30/199340630.db2.gz CGBLIRUZHHZRIN-UHFFFAOYSA-N -1 1 301.302 1.822 20 0 DDADMM COC[C@]1(CO)CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000616094998 362544804 /nfs/dbraw/zinc/54/48/04/362544804.db2.gz VBNHIJGLACWQAX-QGZVFWFLSA-N -1 1 316.357 1.411 20 0 DDADMM Cc1cnc(C(=O)N2CCN(CCOC(C)C)CC2)c([O-])c1 ZINC000330888942 232139406 /nfs/dbraw/zinc/13/94/06/232139406.db2.gz UYGSIWFESSIBSY-UHFFFAOYSA-N -1 1 307.394 1.278 20 0 DDADMM CCCCCN(CCCOC)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000564759028 304012149 /nfs/dbraw/zinc/01/21/49/304012149.db2.gz VHKAGMPGRNFLKZ-UHFFFAOYSA-N -1 1 319.427 1.613 20 0 DDADMM COCc1nocc1C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000488548899 233883552 /nfs/dbraw/zinc/88/35/52/233883552.db2.gz NEKLVKJUTXHROR-UHFFFAOYSA-N -1 1 306.274 1.566 20 0 DDADMM CC[C@@H](C)[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC ZINC000008499141 352135904 /nfs/dbraw/zinc/13/59/04/352135904.db2.gz BXJFWLIXQFUGJO-PRHODGIISA-N -1 1 321.345 1.831 20 0 DDADMM C[C@]1(NS(=O)(=O)CCN2CCCC2)CCCC[C@H]1C(=O)[O-] ZINC000564927077 304027269 /nfs/dbraw/zinc/02/72/69/304027269.db2.gz QVBAHXCDDVFLOS-JSGCOSHPSA-N -1 1 318.439 1.035 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)[C@@H](CO)SC)cc(Cl)c1[O-] ZINC000358545000 299194110 /nfs/dbraw/zinc/19/41/10/299194110.db2.gz ZGNAULIMMLOTKW-WRWORJQWSA-N -1 1 319.810 1.896 20 0 DDADMM CCNC(=O)C[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC000068740228 353126812 /nfs/dbraw/zinc/12/68/12/353126812.db2.gz XPLXWYQEBIGBDS-UHFFFAOYSA-N -1 1 311.190 1.408 20 0 DDADMM O=CNc1ccc(C(=O)NCc2n[n-]c(=S)n2C2CC2)cc1 ZINC000073428406 353251577 /nfs/dbraw/zinc/25/15/77/353251577.db2.gz MNMRYRPFBKNNHD-UHFFFAOYSA-N -1 1 317.374 1.774 20 0 DDADMM COc1ccccc1O[C@H](C)CNC(=O)c1cncc([O-])c1 ZINC000174571115 198353538 /nfs/dbraw/zinc/35/35/38/198353538.db2.gz AJYVYVRQDQENMS-LLVKDONJSA-N -1 1 302.330 1.993 20 0 DDADMM O=C(NCc1ccc(-c2nn[nH]n2)cc1)c1cc(F)ccc1[O-] ZINC000080026662 353587426 /nfs/dbraw/zinc/58/74/26/353587426.db2.gz LQPYGKGAFHRVPW-UHFFFAOYSA-N -1 1 313.292 1.641 20 0 DDADMM COC[C@](C)(O)CNC(=O)c1cc(Br)ccc1[O-] ZINC000081762414 353690692 /nfs/dbraw/zinc/69/06/92/353690692.db2.gz KSIMRSKFVGXONM-GFCCVEGCSA-N -1 1 318.167 1.282 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)Nc1nnc2ccccn21 ZINC000084322087 353719632 /nfs/dbraw/zinc/71/96/32/353719632.db2.gz ISUZOLPFUCOSEF-UHFFFAOYSA-N -1 1 318.366 1.114 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2ccc(-n3cccn3)cc2)n[n-]1 ZINC000086170529 353738482 /nfs/dbraw/zinc/73/84/82/353738482.db2.gz XIRWYIZLTRXJNW-UHFFFAOYSA-N -1 1 317.374 1.527 20 0 DDADMM CCc1nn(C)cc1NC(=O)NCCn1c(C)n[n-]c1=S ZINC000091198999 353816838 /nfs/dbraw/zinc/81/68/38/353816838.db2.gz HMBDNIWYHOVOIF-UHFFFAOYSA-N -1 1 309.399 1.367 20 0 DDADMM O=C(CN1CCC[C@@H](n2cccn2)C1)[N-]OCc1ccccc1 ZINC000093170747 353887475 /nfs/dbraw/zinc/88/74/75/353887475.db2.gz GOENEARBAWUKFM-MRXNPFEDSA-N -1 1 314.389 1.768 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccccn1)c1nc(C(C)(C)C)no1 ZINC000133153084 354107863 /nfs/dbraw/zinc/10/78/63/354107863.db2.gz VYZXLSBPWDTVFI-SECBINFHSA-N -1 1 310.379 1.802 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn3ccc(C)nc23)n1 ZINC000585681827 354827435 /nfs/dbraw/zinc/82/74/35/354827435.db2.gz LLSRAFVBMZXWJR-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cc(F)cc(F)c3)nc2n1 ZINC000588006523 354892609 /nfs/dbraw/zinc/89/26/09/354892609.db2.gz MMZLVNWMPUAEGH-UHFFFAOYSA-N -1 1 305.244 1.257 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000588926360 354949431 /nfs/dbraw/zinc/94/94/31/354949431.db2.gz VCZOZOWNVWGTME-SWLSCSKDSA-N -1 1 323.356 1.873 20 0 DDADMM CN1CCC[C@H](NC(=O)c2nn(-c3ccccc3F)cc2[O-])C1 ZINC000591442086 355312061 /nfs/dbraw/zinc/31/20/61/355312061.db2.gz HNMRZIQANFYTEE-NSHDSACASA-N -1 1 318.352 1.541 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)[C@@H]1CCO[C@@H]1C ZINC000592075877 355485555 /nfs/dbraw/zinc/48/55/55/355485555.db2.gz QWNCWPKDQLTQIK-OUAUKWLOSA-N -1 1 307.412 1.061 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1C[C@@H]2C[C@H]1C[S@@]2=O ZINC000593877734 356036585 /nfs/dbraw/zinc/03/65/85/356036585.db2.gz PIDHDBBXOYUADS-SYLNSOGPSA-N -1 1 319.304 1.756 20 0 DDADMM CCOC(=O)c1csc(=NCc2n[nH]c([C@H]3CCCO3)n2)[n-]1 ZINC000594588582 356257589 /nfs/dbraw/zinc/25/75/89/356257589.db2.gz DMLVFVUNPVWIRJ-SECBINFHSA-N -1 1 323.378 1.323 20 0 DDADMM CCOC(=O)C[C@H]([N-]S(=O)(=O)c1ccoc1)C(F)(F)F ZINC000594699174 356289227 /nfs/dbraw/zinc/28/92/27/356289227.db2.gz IFGSHTWGSVYYFN-QMMMGPOBSA-N -1 1 315.269 1.442 20 0 DDADMM CSCC[N-]S(=O)(=O)c1ncccc1Br ZINC000594746029 356305607 /nfs/dbraw/zinc/30/56/07/356305607.db2.gz WEWWNUIEUITRIQ-UHFFFAOYSA-N -1 1 311.226 1.485 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H](C)c2ccccn2)c1 ZINC000594793849 356318816 /nfs/dbraw/zinc/31/88/16/356318816.db2.gz FNYUIQDQXXRDCC-JTQLQIEISA-N -1 1 324.358 1.543 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2CC2(C)C)cc1C ZINC000595312397 356444575 /nfs/dbraw/zinc/44/45/75/356444575.db2.gz MEUQZFNVQOHIFF-VIFPVBQESA-N -1 1 301.364 1.699 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC[C@@H](C)OC)cc1C ZINC000595311976 356444830 /nfs/dbraw/zinc/44/48/30/356444830.db2.gz QDUYURUIOUJYAM-SECBINFHSA-N -1 1 305.352 1.078 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ncccc2C)cc1C ZINC000595318469 356447699 /nfs/dbraw/zinc/44/76/99/356447699.db2.gz SOBNHWXRYSEXJR-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](CCO)C(C)(C)C)c1 ZINC000601352905 358511810 /nfs/dbraw/zinc/51/18/10/358511810.db2.gz PMPKVKFVGNXQNS-ZDUSSCGKSA-N -1 1 317.407 1.476 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3cccnc3C)no2)co1 ZINC000347563955 283228166 /nfs/dbraw/zinc/22/81/66/283228166.db2.gz GPLFSUDHJJNNDM-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM COc1ccc(OC)c(CNc2nc3[nH][n-]cc-3c(=O)n2)c1 ZINC000358783640 299253584 /nfs/dbraw/zinc/25/35/84/299253584.db2.gz CBTIGLSMODLQIM-UHFFFAOYSA-N -1 1 301.306 1.061 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2ccc(Cl)cc2[O-])CS1(=O)=O ZINC000618313991 363579858 /nfs/dbraw/zinc/57/98/58/363579858.db2.gz BYMBTUFNKIGUQZ-WPRPVWTQSA-N -1 1 317.794 1.741 20 0 DDADMM C[C@@H]1COCC[C@@H]1CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287580507 219605569 /nfs/dbraw/zinc/60/55/69/219605569.db2.gz LJCPAEPPOGYCOU-PDWPUUMPSA-N -1 1 303.366 1.136 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1C[C@H]1OC(C)(C)C ZINC000598824849 357736581 /nfs/dbraw/zinc/73/65/81/357736581.db2.gz QFGLRXNNULVLRH-MWLCHTKSSA-N -1 1 309.366 1.161 20 0 DDADMM CCc1nc(SCc2cn(CC(=O)OC)nn2)[n-]c(=O)c1C ZINC000565431329 304068441 /nfs/dbraw/zinc/06/84/41/304068441.db2.gz IMMFIRSTIIAWNJ-UHFFFAOYSA-N -1 1 323.378 1.110 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2cccnc2C)cc1C ZINC000599445507 357940164 /nfs/dbraw/zinc/94/01/64/357940164.db2.gz UALMINLOYKQTAE-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM COC(=O)[C@]1(OC)CCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000600292308 358184634 /nfs/dbraw/zinc/18/46/34/358184634.db2.gz WTDJKHOKPNCBEB-AWEZNQCLSA-N -1 1 313.737 1.450 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cnc(-c2ccccc2Cl)s1 ZINC000600496554 358241130 /nfs/dbraw/zinc/24/11/30/358241130.db2.gz SUPINHFDJIYMKM-UHFFFAOYSA-N -1 1 320.765 1.907 20 0 DDADMM CCc1nnc([N-]C(=O)c2cc(S(C)(=O)=O)oc2C)s1 ZINC000624513337 366422563 /nfs/dbraw/zinc/42/25/63/366422563.db2.gz QSNXFITYHKXNFB-UHFFFAOYSA-N -1 1 315.376 1.658 20 0 DDADMM Cc1nc(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)c[nH]1 ZINC000358919629 299281191 /nfs/dbraw/zinc/28/11/91/299281191.db2.gz NRSZQMUOFZEIOP-UHFFFAOYSA-N -1 1 312.326 1.481 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(Cc2ccncc2)CC1 ZINC000181076019 199248910 /nfs/dbraw/zinc/24/89/10/199248910.db2.gz JMKLLHFBJZHIQU-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM CCOC(=O)c1ncc(CN2CC[C@H](C)[C@H](C(=O)[O-])C2)s1 ZINC000602020115 358779396 /nfs/dbraw/zinc/77/93/96/358779396.db2.gz IFIVXEYTJOPUAD-GXSJLCMTSA-N -1 1 312.391 1.862 20 0 DDADMM CC(C)=C[C@@H]1[C@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1(C)C ZINC000624722495 366521762 /nfs/dbraw/zinc/52/17/62/366521762.db2.gz JVEWQLDFBIBMOQ-RKDXNWHRSA-N -1 1 312.395 1.385 20 0 DDADMM CC(C)=C[C@@H]1[C@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1(C)C ZINC000624722495 366521769 /nfs/dbraw/zinc/52/17/69/366521769.db2.gz JVEWQLDFBIBMOQ-RKDXNWHRSA-N -1 1 312.395 1.385 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cnn(-c2ccccn2)c1)OC ZINC000565651012 304082172 /nfs/dbraw/zinc/08/21/72/304082172.db2.gz XGUIKWSEHDGGNO-GFCCVEGCSA-N -1 1 310.379 1.434 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2sccc2Cl)n[n-]1 ZINC000603017089 359359282 /nfs/dbraw/zinc/35/92/82/359359282.db2.gz OXWTVBIDBDQKSS-UHFFFAOYSA-N -1 1 314.754 1.626 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2sccc2Cl)n1 ZINC000603017089 359359283 /nfs/dbraw/zinc/35/92/83/359359283.db2.gz OXWTVBIDBDQKSS-UHFFFAOYSA-N -1 1 314.754 1.626 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](CC)CC(F)F)n[n-]1 ZINC000603156685 359443027 /nfs/dbraw/zinc/44/30/27/359443027.db2.gz SKJAHGLMHZIHER-YUMQZZPRSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](CC)CC(F)F)[n-]1 ZINC000603156685 359443033 /nfs/dbraw/zinc/44/30/33/359443033.db2.gz SKJAHGLMHZIHER-YUMQZZPRSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](CC)CC(F)F)n1 ZINC000603156685 359443036 /nfs/dbraw/zinc/44/30/36/359443036.db2.gz SKJAHGLMHZIHER-YUMQZZPRSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2ccsc2C)n[n-]1 ZINC000603152213 359439030 /nfs/dbraw/zinc/43/90/30/359439030.db2.gz BKBBMUHHKFYHTM-MRVPVSSYSA-N -1 1 322.390 1.771 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2ccsc2C)[n-]1 ZINC000603152213 359439035 /nfs/dbraw/zinc/43/90/35/359439035.db2.gz BKBBMUHHKFYHTM-MRVPVSSYSA-N -1 1 322.390 1.771 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2ccsc2C)n1 ZINC000603152213 359439038 /nfs/dbraw/zinc/43/90/38/359439038.db2.gz BKBBMUHHKFYHTM-MRVPVSSYSA-N -1 1 322.390 1.771 20 0 DDADMM C[C@H]1CCC[C@@H]1CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000187727957 200150359 /nfs/dbraw/zinc/15/03/59/200150359.db2.gz DSJUOJLJFRYUPZ-VHSXEESVSA-N -1 1 312.391 1.804 20 0 DDADMM O=C(COC1CCOCC1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000605021830 359825366 /nfs/dbraw/zinc/82/53/66/359825366.db2.gz VJZPYLRHVYJBAS-UHFFFAOYSA-N -1 1 317.345 1.916 20 0 DDADMM O=C(CC1CCOCC1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000605021583 359825622 /nfs/dbraw/zinc/82/56/22/359825622.db2.gz BKQZNLSQPKZWMF-AWEZNQCLSA-N -1 1 301.350 1.222 20 0 DDADMM C[C@@H](OC[C@H]1CCCO1)C(=O)Nc1nc(Cl)ccc1[O-] ZINC000188349731 200232068 /nfs/dbraw/zinc/23/20/68/200232068.db2.gz UQNJUGSSGRYGQM-RKDXNWHRSA-N -1 1 300.742 1.963 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)c(OC)n1 ZINC000605291662 359841795 /nfs/dbraw/zinc/84/17/95/359841795.db2.gz MERIEZWRUUUEJY-VIFPVBQESA-N -1 1 302.352 1.020 20 0 DDADMM CSc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)ccn1 ZINC000605532350 359868008 /nfs/dbraw/zinc/86/80/08/359868008.db2.gz NTTTXNDTQKPKJB-UHFFFAOYSA-N -1 1 304.379 1.516 20 0 DDADMM COC[C@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)C[C@@H](C)O1 ZINC000189376525 200389707 /nfs/dbraw/zinc/38/97/07/200389707.db2.gz IAKPOSXXUQUDJP-BXUZGUMPSA-N -1 1 319.357 1.734 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1Cc2ccccc2O1 ZINC000608355996 360164841 /nfs/dbraw/zinc/16/48/41/360164841.db2.gz HEPHHLLPCQFCFD-GJZGRUSLSA-N -1 1 321.340 1.409 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H]2COC[C@@]2(C)C1 ZINC000618710541 363729854 /nfs/dbraw/zinc/72/98/54/363729854.db2.gz KQFANITYQOUDRZ-APPDUMDISA-N -1 1 319.405 1.897 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000611991459 360885010 /nfs/dbraw/zinc/88/50/10/360885010.db2.gz ROCSAKFAKPNOJF-CHWSQXEVSA-N -1 1 313.357 1.714 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@H]3CCCC4(CC4)C3)CC2)s[n-]1 ZINC000612118326 360925150 /nfs/dbraw/zinc/92/51/50/360925150.db2.gz CFUJGEPHOBOSLT-NSHDSACASA-N -1 1 321.450 1.570 20 0 DDADMM NC(=O)[C@@H]1CCC[C@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)C1 ZINC000348708600 283629043 /nfs/dbraw/zinc/62/90/43/283629043.db2.gz XTMMADVIKWYBEM-ZJUUUORDSA-N -1 1 318.402 1.790 20 0 DDADMM CNC(=O)[C@H](CC(C)C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000613013120 361240470 /nfs/dbraw/zinc/24/04/70/361240470.db2.gz XTRGRQMASVMGAD-ZDUSSCGKSA-N -1 1 315.373 1.831 20 0 DDADMM Cc1cc(C(=O)N=c2ccn(C3CCOCC3)[nH]2)ccc1[O-] ZINC000618906166 363792338 /nfs/dbraw/zinc/79/23/38/363792338.db2.gz CCXNLJIFMHZCSR-UHFFFAOYSA-N -1 1 301.346 1.923 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCN2CCOC[C@@H]2C1 ZINC000613475972 361435246 /nfs/dbraw/zinc/43/52/46/361435246.db2.gz SLPLONHGWMLKET-ZDUSSCGKSA-N -1 1 313.357 1.097 20 0 DDADMM CC(C)OCCCS(=O)(=O)c1nc(Cc2ccccc2)n[n-]1 ZINC000195287258 201372894 /nfs/dbraw/zinc/37/28/94/201372894.db2.gz GEVJNEINENMIOS-UHFFFAOYSA-N -1 1 323.418 1.984 20 0 DDADMM CC(C)OCCCS(=O)(=O)c1n[n-]c(Cc2ccccc2)n1 ZINC000195287258 201372899 /nfs/dbraw/zinc/37/28/99/201372899.db2.gz GEVJNEINENMIOS-UHFFFAOYSA-N -1 1 323.418 1.984 20 0 DDADMM OC1(C(F)F)CN(c2ccc(=NCc3ccccc3F)[n-]n2)C1 ZINC000566136455 304120694 /nfs/dbraw/zinc/12/06/94/304120694.db2.gz RXFQJRKVIJWIBV-UHFFFAOYSA-N -1 1 324.306 1.466 20 0 DDADMM Cn1cc([C@H]2OCCC[C@@H]2[N-]C(=O)C(F)(F)C(F)F)cn1 ZINC000275342719 212281386 /nfs/dbraw/zinc/28/13/86/212281386.db2.gz HBOIRYIZKOBCQB-DTWKUNHWSA-N -1 1 309.263 1.657 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)[C@H]2CCc3[nH]cnc3C2)s[n-]1 ZINC000622018854 365191406 /nfs/dbraw/zinc/19/14/06/365191406.db2.gz CMVPWRHHJLLITD-SFYZADRCSA-N -1 1 307.379 1.134 20 0 DDADMM C[C@H]1COCC[N@H+]1C1CCN(C(=O)c2c(O)cccc2O)CC1 ZINC000622059829 365217777 /nfs/dbraw/zinc/21/77/77/365217777.db2.gz DRSYDHHGWSYKNL-LBPRGKRZSA-N -1 1 320.389 1.423 20 0 DDADMM C[C@H]1COCCN1C1CCN(C(=O)c2c(O)cccc2O)CC1 ZINC000622059829 365217783 /nfs/dbraw/zinc/21/77/83/365217783.db2.gz DRSYDHHGWSYKNL-LBPRGKRZSA-N -1 1 320.389 1.423 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H]4COC[C@@H]4C3)cnc2n1 ZINC000622179222 365315943 /nfs/dbraw/zinc/31/59/43/365315943.db2.gz UGZDGGYMAFFMHR-RYUDHWBXSA-N -1 1 313.357 1.752 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC[C@H]3COC[C@@H]3C1)c2=O ZINC000622179222 365315947 /nfs/dbraw/zinc/31/59/47/365315947.db2.gz UGZDGGYMAFFMHR-RYUDHWBXSA-N -1 1 313.357 1.752 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)Cc1ccc(C(F)F)cc1 ZINC000625490964 366962666 /nfs/dbraw/zinc/96/26/66/366962666.db2.gz AEGRPHCLXCKNHP-LLVKDONJSA-N -1 1 319.329 1.402 20 0 DDADMM C[C@@H]1C[C@H]1N1C[C@H](N=c2nc(C(F)(F)F)[n-]s2)CC1=O ZINC000376454542 307244528 /nfs/dbraw/zinc/24/45/28/307244528.db2.gz LOMILWAHJVJUEB-FSDSQADBSA-N -1 1 306.313 1.400 20 0 DDADMM Cn1cc(N2C[C@@H](C(=O)Nc3c([O-])cccc3F)CC2=O)cn1 ZINC000626277649 367458240 /nfs/dbraw/zinc/45/82/40/367458240.db2.gz JHJBZOGULYRIIG-VIFPVBQESA-N -1 1 318.308 1.256 20 0 DDADMM CCn1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(C)n1 ZINC000626847099 367797854 /nfs/dbraw/zinc/79/78/54/367797854.db2.gz YIBFITIMFJPLOB-JTQLQIEISA-N -1 1 305.338 1.320 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CC[C@H](OC)C2)o1 ZINC000350001800 284130575 /nfs/dbraw/zinc/13/05/75/284130575.db2.gz GZKXUIXPSBPANV-ZJUUUORDSA-N -1 1 317.363 1.302 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC(Cc2ccc(F)cc2)CC1 ZINC000262206657 203229394 /nfs/dbraw/zinc/22/93/94/203229394.db2.gz JCMZAGYTIRIQJO-UHFFFAOYSA-N -1 1 308.353 1.281 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)Nc1ccc2c(c1)OCO2)C(=O)[O-] ZINC000262239344 203238426 /nfs/dbraw/zinc/23/84/26/203238426.db2.gz BCCABHYGWGEJAH-ONGXEEELSA-N -1 1 308.334 1.585 20 0 DDADMM CCC[C@@H](NCC(=O)NC(=O)Nc1ccc(OC)cc1)C(=O)[O-] ZINC000262276947 203247353 /nfs/dbraw/zinc/24/73/53/203247353.db2.gz JXBPEPRFBZNXNM-GFCCVEGCSA-N -1 1 323.349 1.186 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCOC(C)C)c1ccccc1 ZINC000093667189 193287604 /nfs/dbraw/zinc/28/76/04/193287604.db2.gz QBAGEWYTFLBDAY-ZDUSSCGKSA-N -1 1 315.391 1.245 20 0 DDADMM CCN1CCN(c2nc(C(F)(F)F)ccc2C(=O)[O-])CC1 ZINC000094337925 193361958 /nfs/dbraw/zinc/36/19/58/193361958.db2.gz RAIHIYSZAGFJSY-UHFFFAOYSA-N -1 1 303.284 1.941 20 0 DDADMM CCN(C(=O)c1cc(F)ccc1[O-])[C@H](C)CS(C)(=O)=O ZINC000094133684 284253032 /nfs/dbraw/zinc/25/30/32/284253032.db2.gz VTPKNKJYXICJQH-SECBINFHSA-N -1 1 303.355 1.427 20 0 DDADMM Cc1oncc1C(=O)Nc1ccc([O-])c(C(=O)N2CCCO2)c1 ZINC000274769011 211916921 /nfs/dbraw/zinc/91/69/21/211916921.db2.gz ZTBLJVJTZTUSEP-UHFFFAOYSA-N -1 1 317.301 1.718 20 0 DDADMM CN(C)CCN(CC(=O)[O-])C(=O)C1C[C@H]2CCCC[C@@H](C1)C2=O ZINC000633137909 422775353 /nfs/dbraw/zinc/77/53/53/422775353.db2.gz ZRKMCGSTXXZCNI-PBWFPOADSA-N -1 1 324.421 1.247 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)[C@H]1CCOC1 ZINC000266241015 205209521 /nfs/dbraw/zinc/20/95/21/205209521.db2.gz WBVCCHIPQTYNEX-YUMQZZPRSA-N -1 1 309.309 1.807 20 0 DDADMM O=C(C(=O)N1CCN(c2cccs2)CC1)c1ccc([O-])cc1 ZINC000288456268 220154699 /nfs/dbraw/zinc/15/46/99/220154699.db2.gz PXEULLHODCGTFR-UHFFFAOYSA-N -1 1 316.382 1.985 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCO[C@H](C(C)C)C1 ZINC000351559547 284379092 /nfs/dbraw/zinc/37/90/92/284379092.db2.gz NIOKPGNVGCVEBY-JTQLQIEISA-N -1 1 311.407 1.710 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCOC2CCOCC2)c1 ZINC000282941569 217340112 /nfs/dbraw/zinc/34/01/12/217340112.db2.gz ZUHRTBOZTXZPJE-UHFFFAOYSA-N -1 1 323.345 1.703 20 0 DDADMM O=C(C(=O)N1CCC(Cn2cncn2)CC1)c1ccc([O-])cc1 ZINC000288483988 220172541 /nfs/dbraw/zinc/17/25/41/220172541.db2.gz IBSDAJBGVTYIPD-UHFFFAOYSA-N -1 1 314.345 1.105 20 0 DDADMM CN(C1CCN(C)CC1)S(=O)(=O)c1cc(C(=O)[O-])cs1 ZINC000020558181 182231600 /nfs/dbraw/zinc/23/16/00/182231600.db2.gz SQCBOJNOEBLTHX-UHFFFAOYSA-N -1 1 318.420 1.161 20 0 DDADMM O=C(C=Cc1ccc(Br)s1)Nc1nnn[n-]1 ZINC000000012753 248174576 /nfs/dbraw/zinc/17/45/76/248174576.db2.gz LNQRPZPMXIGHPY-DUXPYHPUSA-N -1 1 300.141 1.676 20 0 DDADMM O=C(C=Cc1ccc(Br)s1)Nc1nn[n-]n1 ZINC000000012753 248174582 /nfs/dbraw/zinc/17/45/82/248174582.db2.gz LNQRPZPMXIGHPY-DUXPYHPUSA-N -1 1 300.141 1.676 20 0 DDADMM CC(C)c1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)n(C)n1 ZINC000174123929 248358351 /nfs/dbraw/zinc/35/83/51/248358351.db2.gz CMYPIOBWCDXXBB-UHFFFAOYSA-N -1 1 302.260 1.933 20 0 DDADMM O=S1(=O)CCCC[C@@H]1CN=c1nc(C2CCCC2)[n-]s1 ZINC000333892779 249141095 /nfs/dbraw/zinc/14/10/95/249141095.db2.gz XOIIBKUPTJQTCV-LLVKDONJSA-N -1 1 315.464 1.997 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1oc(CC(C)C)nc1C ZINC000337140039 249362910 /nfs/dbraw/zinc/36/29/10/249362910.db2.gz TWWYPRLTYKODGE-UHFFFAOYSA-N -1 1 319.365 1.247 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](C)Cc1ccc(C)cc1 ZINC000337153301 249368133 /nfs/dbraw/zinc/36/81/33/249368133.db2.gz NCKVEQMMOIRPJB-LLVKDONJSA-N -1 1 314.389 1.625 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCn2cc(C(=O)OC)nn2)n1 ZINC000436119204 307305232 /nfs/dbraw/zinc/30/52/32/307305232.db2.gz BGHZXVFDPBCJOY-UHFFFAOYSA-N -1 1 323.378 1.305 20 0 DDADMM O=S(=O)([N-]CCN1CC=CCC1)c1sccc1Cl ZINC000451499960 307306706 /nfs/dbraw/zinc/30/67/06/307306706.db2.gz XUPJGILSGXZNQS-UHFFFAOYSA-N -1 1 306.840 1.942 20 0 DDADMM O=c1cc(/C=C\c2cncc(Br)c2)nc2nc[n-]n21 ZINC000352036396 284727985 /nfs/dbraw/zinc/72/79/85/284727985.db2.gz ZDIYMEIPFAXFTD-UPHRSURJSA-N -1 1 318.134 1.746 20 0 DDADMM CCOC[C@H](C)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338960719 250219346 /nfs/dbraw/zinc/21/93/46/250219346.db2.gz HAXYZTPLNZUELM-ZETCQYMHSA-N -1 1 315.317 1.142 20 0 DDADMM O=C(NC[C@H](O)C(F)F)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338927678 250201227 /nfs/dbraw/zinc/20/12/27/250201227.db2.gz HTSSODVFFDFRRX-JTQLQIEISA-N -1 1 316.691 1.950 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCCC[C@@H]1c1nc[nH]n1 ZINC000181274771 296302975 /nfs/dbraw/zinc/30/29/75/296302975.db2.gz PVIZEPOTGXVFIU-GFCCVEGCSA-N -1 1 324.344 1.409 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)[C@@H]1OCCc2sccc21 ZINC000633189447 422797594 /nfs/dbraw/zinc/79/75/94/422797594.db2.gz VCEKHTHCKQFBEJ-SDBXPKJASA-N -1 1 307.379 1.013 20 0 DDADMM O=C(Cn1c(=O)cnc2ccccc21)Nc1ccc(F)cc1[O-] ZINC000340330925 251012349 /nfs/dbraw/zinc/01/23/49/251012349.db2.gz NPFFPFIQHKPCQQ-UHFFFAOYSA-N -1 1 313.288 1.880 20 0 DDADMM O=C(CN1C(=O)NC2(CCCC2)C1=O)Nc1ccc(F)cc1[O-] ZINC000269007710 207161731 /nfs/dbraw/zinc/16/17/31/207161731.db2.gz GKACCMURSHUICJ-UHFFFAOYSA-N -1 1 321.308 1.334 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc(CC2CCCC2)no1 ZINC000340893402 251262512 /nfs/dbraw/zinc/26/25/12/251262512.db2.gz SZZXEICPKGPTEZ-UHFFFAOYSA-N -1 1 317.411 1.258 20 0 DDADMM CN1CCC[C@@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1=O ZINC000340901895 251265679 /nfs/dbraw/zinc/26/56/79/251265679.db2.gz GTQHEVONLOSNJF-SNVBAGLBSA-N -1 1 320.773 1.378 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)[C@@H](C)CC ZINC000340901677 251266388 /nfs/dbraw/zinc/26/63/88/251266388.db2.gz KLVBQDXHRCVUAH-IUCAKERBSA-N -1 1 319.345 1.836 20 0 DDADMM O=C1NCc2c1cccc2[N-]S(=O)(=O)c1ccc(F)cc1 ZINC000340976172 251312616 /nfs/dbraw/zinc/31/26/16/251312616.db2.gz UJYRLOUAOHJPNC-UHFFFAOYSA-N -1 1 306.318 1.870 20 0 DDADMM C[C@H]1CCC[C@]1(O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000294548588 224081730 /nfs/dbraw/zinc/08/17/30/224081730.db2.gz KSNDGLVHHLVUGU-SDBXPKJASA-N -1 1 323.336 1.933 20 0 DDADMM CCCNc1ccccc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000359850658 299550570 /nfs/dbraw/zinc/55/05/70/299550570.db2.gz FBWOWVKVJJEXNT-UHFFFAOYSA-N -1 1 312.333 1.492 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(F)c(F)c1 ZINC000352433781 285048046 /nfs/dbraw/zinc/04/80/46/285048046.db2.gz XOPKJLBWOOOAAJ-SSDOTTSWSA-N -1 1 319.271 1.187 20 0 DDADMM O=S(=O)([N-]c1ccc(-c2nn[nH]n2)cc1)c1ccccc1 ZINC000074803983 285045063 /nfs/dbraw/zinc/04/50/63/285045063.db2.gz DMIIZYMPAFUKPE-UHFFFAOYSA-N -1 1 301.331 1.668 20 0 DDADMM CSC[C@@H](C)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000120105559 195098056 /nfs/dbraw/zinc/09/80/56/195098056.db2.gz UMTQBPLLMADLRZ-SECBINFHSA-N -1 1 322.434 1.852 20 0 DDADMM CSc1ccccc1N(C)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000567999205 304261014 /nfs/dbraw/zinc/26/10/14/304261014.db2.gz LHHXFVMSAMDYQZ-UHFFFAOYSA-N -1 1 313.404 1.943 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(-n2ccnn2)c1)c1nn[n-]n1 ZINC000155477047 197058336 /nfs/dbraw/zinc/05/83/36/197058336.db2.gz JNZPJTRAEFLEEG-LBPRGKRZSA-N -1 1 312.337 1.052 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCC2CC(OC(C)(C)C)C2)[n-]n1 ZINC000413271555 224166796 /nfs/dbraw/zinc/16/67/96/224166796.db2.gz WEXDMJDGBSKMKL-UHFFFAOYSA-N -1 1 320.437 1.996 20 0 DDADMM CC[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000154059332 196935638 /nfs/dbraw/zinc/93/56/38/196935638.db2.gz XALRVQDJYQOPRZ-MWLCHTKSSA-N -1 1 301.364 1.923 20 0 DDADMM C[C@H]1C[C@@H](CCNC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000295013029 224378429 /nfs/dbraw/zinc/37/84/29/224378429.db2.gz TXRCOQPVILMHAU-QWRGUYRKSA-N -1 1 320.418 1.953 20 0 DDADMM CSc1ccc(CNC(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000353506971 285775857 /nfs/dbraw/zinc/77/58/57/285775857.db2.gz BAHDEIZFKBKLAD-UHFFFAOYSA-N -1 1 315.358 1.070 20 0 DDADMM Cc1ccc([C@@H]2CCCN2C(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC000353522237 285782768 /nfs/dbraw/zinc/78/27/68/285782768.db2.gz PPSUPLCDJRCATN-NSHDSACASA-N -1 1 313.317 1.296 20 0 DDADMM O=C(c1cc(-n2cccc2)ccn1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000569838664 304381415 /nfs/dbraw/zinc/38/14/15/304381415.db2.gz WGYGUMNAHGDDCM-LBPRGKRZSA-N -1 1 323.360 1.405 20 0 DDADMM COc1ccc(C)cc1[C@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635127499 422828463 /nfs/dbraw/zinc/82/84/63/422828463.db2.gz NYEXNEXAJLOBGY-NSHDSACASA-N -1 1 303.366 1.717 20 0 DDADMM COCCN(C)S(=O)(=O)[N-]c1cc(C)n(-c2ccccc2)n1 ZINC000569978005 304389282 /nfs/dbraw/zinc/38/92/82/304389282.db2.gz TZZRIYYHYDUPMN-UHFFFAOYSA-N -1 1 324.406 1.416 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CC1CC1 ZINC000070102026 406808508 /nfs/dbraw/zinc/80/85/08/406808508.db2.gz CYBUITHOUQSCLP-QMMMGPOBSA-N -1 1 301.368 1.053 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3ccccc3)no2)co1 ZINC000179243460 306691040 /nfs/dbraw/zinc/69/10/40/306691040.db2.gz ZBTRTUMJTIEDFW-UHFFFAOYSA-N -1 1 305.315 1.905 20 0 DDADMM O=C1COc2ccc([N-]S(=O)(=O)c3cccc(F)c3)cc2N1 ZINC000025694614 406900106 /nfs/dbraw/zinc/90/01/06/406900106.db2.gz KDLCDGKUGSKYJZ-UHFFFAOYSA-N -1 1 322.317 1.957 20 0 DDADMM CNC(=O)CCNC(=O)c1ccc(Br)cc1[O-] ZINC000045010776 407037135 /nfs/dbraw/zinc/03/71/35/407037135.db2.gz YPCZGRKEOLTMIX-UHFFFAOYSA-N -1 1 301.140 1.021 20 0 DDADMM CC[C@H](C)[C@H](NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000036965498 406985063 /nfs/dbraw/zinc/98/50/63/406985063.db2.gz XURYGRQBKLUIET-XVKPBYJWSA-N -1 1 307.318 1.742 20 0 DDADMM COCc1ccccc1[N-]S(=O)(=O)c1cccc(C(N)=O)c1 ZINC000077708221 407003095 /nfs/dbraw/zinc/00/30/95/407003095.db2.gz XWCLCGHNZTUUOJ-UHFFFAOYSA-N -1 1 320.370 1.733 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)Nc2cc(C)ccc2C)n[n-]1 ZINC000086170484 407108256 /nfs/dbraw/zinc/10/82/56/407108256.db2.gz SXPWBXJXMFAQJS-UHFFFAOYSA-N -1 1 322.390 1.396 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)Nc2cccc(C)c2C)n[n-]1 ZINC000086170491 407109191 /nfs/dbraw/zinc/10/91/91/407109191.db2.gz AKWFATQLTYDDFD-UHFFFAOYSA-N -1 1 322.390 1.396 20 0 DDADMM Cc1nsc(N2CCN(C(=O)c3cc(F)ccc3[O-])CC2)n1 ZINC000080031007 407070258 /nfs/dbraw/zinc/07/02/58/407070258.db2.gz OXZQRZWQVJILGO-UHFFFAOYSA-N -1 1 322.365 1.654 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CCCc2sccc21)c1nn[n-]n1 ZINC000124501845 407360023 /nfs/dbraw/zinc/36/00/23/407360023.db2.gz FRXRDKQYCRLVBB-ONGXEEELSA-N -1 1 305.407 1.943 20 0 DDADMM COc1ccc(OC)c(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000124531749 407361656 /nfs/dbraw/zinc/36/16/56/407361656.db2.gz DYWMNSLXLQRBSQ-JTQLQIEISA-N -1 1 319.365 1.022 20 0 DDADMM COc1ccc([C@H](C)CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000124584210 407362930 /nfs/dbraw/zinc/36/29/30/407362930.db2.gz GEKHKEWXSVCXOH-VXGBXAGGSA-N -1 1 317.393 1.964 20 0 DDADMM NC(=O)CN(CCC1CCCCC1)C(=O)c1cncc([O-])c1 ZINC000111594193 407412008 /nfs/dbraw/zinc/41/20/08/407412008.db2.gz VDFFONQROVRPLI-UHFFFAOYSA-N -1 1 305.378 1.685 20 0 DDADMM CC(C)CCOCCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000195259024 407446341 /nfs/dbraw/zinc/44/63/41/407446341.db2.gz GJIFYRVBXUZWOK-UHFFFAOYSA-N -1 1 303.362 1.716 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2nonc2C)c(=O)[n-]1 ZINC000170800186 407512315 /nfs/dbraw/zinc/51/23/15/407512315.db2.gz QNVXQRISXYATKV-UHFFFAOYSA-N -1 1 309.351 1.063 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(Cl)ccn2)o1 ZINC000114122148 407543441 /nfs/dbraw/zinc/54/34/41/407543441.db2.gz MPKUFAMTSUORNO-UHFFFAOYSA-N -1 1 315.738 1.488 20 0 DDADMM Cc1cccc(OC[C@@H](O)CNC(=O)c2ncccc2[O-])c1 ZINC000171273036 407645967 /nfs/dbraw/zinc/64/59/67/407645967.db2.gz FGTIOBNUOWVFLQ-LBPRGKRZSA-N -1 1 302.330 1.265 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(C(C)C)CC1 ZINC000228624181 407651237 /nfs/dbraw/zinc/65/12/37/407651237.db2.gz VKMYINAIOJBKTC-UHFFFAOYSA-N -1 1 315.395 1.301 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](C)[C@@H]2C)co1 ZINC000178954696 407672239 /nfs/dbraw/zinc/67/22/39/407672239.db2.gz ASQSYRHSINDJBD-ZJUUUORDSA-N -1 1 300.380 1.448 20 0 DDADMM C[C@H](CO)CCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000230152172 407682511 /nfs/dbraw/zinc/68/25/11/407682511.db2.gz IVDVYGYKEZSJCD-QMMMGPOBSA-N -1 1 311.325 1.791 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])c1nnnn1-c1ccccc1 ZINC000115727106 407703887 /nfs/dbraw/zinc/70/38/87/407703887.db2.gz RCCOYVRDKKRQAM-SNVBAGLBSA-N -1 1 310.317 1.254 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)c1cnn(C)c1 ZINC000267102170 407750841 /nfs/dbraw/zinc/75/08/41/407750841.db2.gz LYPWXCDXWJKRGE-UHFFFAOYSA-N -1 1 303.366 1.798 20 0 DDADMM CCN(C)C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000267126650 407758283 /nfs/dbraw/zinc/75/82/83/407758283.db2.gz JNGUHHOVGOUJEM-UHFFFAOYSA-N -1 1 301.368 1.550 20 0 DDADMM Cc1nc(CN2CCN(C(=O)c3cncc([O-])c3)CC2)oc1C ZINC000171870099 407787962 /nfs/dbraw/zinc/78/79/62/407787962.db2.gz IWSWQFWPKBPARM-UHFFFAOYSA-N -1 1 316.361 1.350 20 0 DDADMM CC(C)(NC(=O)c1ncccc1[O-])C(=O)NCC1CCCC1 ZINC000132523494 407789399 /nfs/dbraw/zinc/78/93/99/407789399.db2.gz NKCUUOCJLASFJM-UHFFFAOYSA-N -1 1 305.378 1.602 20 0 DDADMM Cc1ccc2c([n-]cc(C(=O)N[C@H](CO)CC(C)C)c2=O)[nH+]1 ZINC000179690907 407821741 /nfs/dbraw/zinc/82/17/41/407821741.db2.gz YGNLLEIZGHOBIS-NSHDSACASA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](CO)CC(C)C)c2=O ZINC000179690907 407821748 /nfs/dbraw/zinc/82/17/48/407821748.db2.gz YGNLLEIZGHOBIS-NSHDSACASA-N -1 1 303.362 1.781 20 0 DDADMM C[C@@H](CC(=O)NN1CC(=O)[N-]C1=O)C(=O)c1ccc(Cl)cc1 ZINC000272242740 407830796 /nfs/dbraw/zinc/83/07/96/407830796.db2.gz NTGXHTWYPPHPOL-QMMMGPOBSA-N -1 1 323.736 1.132 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CC=CCC1 ZINC000172495691 407831807 /nfs/dbraw/zinc/83/18/07/407831807.db2.gz XPWJWYZJLDITBK-GFCCVEGCSA-N -1 1 300.362 1.407 20 0 DDADMM C[C@@H]1CN(C[C@@H]2CCCN2C(=O)c2ncccc2[O-])C[C@@H](C)O1 ZINC000153665767 407874281 /nfs/dbraw/zinc/87/42/81/407874281.db2.gz VRZSVCVFVMSZJI-MCIONIFRSA-N -1 1 319.405 1.501 20 0 DDADMM C[C@@H](CCO)C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272266260 407843073 /nfs/dbraw/zinc/84/30/73/407843073.db2.gz VVLPKMGREMHUMY-ZETCQYMHSA-N -1 1 313.206 1.685 20 0 DDADMM CC1(C)[C@@H](O)C[C@@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000188108805 407915643 /nfs/dbraw/zinc/91/56/43/407915643.db2.gz OANSUAXCOUNCEH-UWVGGRQHSA-N -1 1 307.774 1.917 20 0 DDADMM CC(C)CC(=O)N[C@H](C(=O)Nc1nnn[n-]1)c1ccccc1 ZINC000118685947 407936050 /nfs/dbraw/zinc/93/60/50/407936050.db2.gz MCFYQNDTWZEDCJ-LBPRGKRZSA-N -1 1 302.338 1.042 20 0 DDADMM CC(C)CC(=O)N[C@H](C(=O)Nc1nn[n-]n1)c1ccccc1 ZINC000118685947 407936054 /nfs/dbraw/zinc/93/60/54/407936054.db2.gz MCFYQNDTWZEDCJ-LBPRGKRZSA-N -1 1 302.338 1.042 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1cccc2c3c([nH]c21)CCCC3 ZINC000175016390 408029587 /nfs/dbraw/zinc/02/95/87/408029587.db2.gz SKDDQSMHCWAMKR-UHFFFAOYSA-N -1 1 312.329 1.243 20 0 DDADMM COc1ccc(-c2cc(C(=O)[N-]N3CCCNC3=O)n[nH]2)cc1 ZINC000273142212 408075238 /nfs/dbraw/zinc/07/52/38/408075238.db2.gz XEVAMQGUZSPLIC-UHFFFAOYSA-N -1 1 315.333 1.145 20 0 DDADMM CCC[C@H](NC(=O)CNc1c(C)cccc1C)c1nn[n-]n1 ZINC000136676516 408120649 /nfs/dbraw/zinc/12/06/49/408120649.db2.gz QEFMMTGGCHSCDY-LBPRGKRZSA-N -1 1 302.382 1.886 20 0 DDADMM CN(C[C@H]1CCCC[C@H]1O)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000182361150 408136369 /nfs/dbraw/zinc/13/63/69/408136369.db2.gz AOSPOCQHAOCPSP-ZWNOBZJWSA-N -1 1 318.377 1.297 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(CC(=O)OC)c1)c1nn[n-]n1 ZINC000190156187 408175776 /nfs/dbraw/zinc/17/57/76/408175776.db2.gz RFXGLIRWLBVKMA-LBPRGKRZSA-N -1 1 317.349 1.186 20 0 DDADMM CCC(CC)(CC)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000150815582 408204621 /nfs/dbraw/zinc/20/46/21/408204621.db2.gz QYUYOIANIKLBEC-UHFFFAOYSA-N -1 1 302.396 1.886 20 0 DDADMM Cc1cc(C)c(OCC(=O)NCCCc2nc(=O)[n-][nH]2)c(C)c1 ZINC000176270252 408330066 /nfs/dbraw/zinc/33/00/66/408330066.db2.gz QTVDNIAPQBQOBR-UHFFFAOYSA-N -1 1 318.377 1.151 20 0 DDADMM CC(=O)NCCc1nc(-c2ccc([O-])c(C(N)=O)c2)cs1 ZINC000157222081 408295061 /nfs/dbraw/zinc/29/50/61/408295061.db2.gz JTHXVCOFFCEAIA-UHFFFAOYSA-N -1 1 305.359 1.293 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc3[nH]ccc3c2)o1 ZINC000170024246 162184142 /nfs/dbraw/zinc/18/41/42/162184142.db2.gz PUPZNSRCTBXPIY-UHFFFAOYSA-N -1 1 319.342 1.921 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCSC[C@H](C)C2)co1 ZINC000191281325 408398664 /nfs/dbraw/zinc/39/86/64/408398664.db2.gz KBNAVSKTYYKMOC-SECBINFHSA-N -1 1 318.420 1.013 20 0 DDADMM CC(C)CO[N-]C(=O)[C@H]1CCC(=O)N(C)[C@@H]1c1cnn(C)c1 ZINC000269715730 408401436 /nfs/dbraw/zinc/40/14/36/408401436.db2.gz PXSADBWTOIQRPA-GXTWGEPZSA-N -1 1 308.382 1.033 20 0 DDADMM Cn1nnc2cc(C(=O)Nc3ccc([O-])c(Cl)c3)cnc21 ZINC000183416489 408404052 /nfs/dbraw/zinc/40/40/52/408404052.db2.gz JNDAIUBLULDKSM-UHFFFAOYSA-N -1 1 303.709 1.975 20 0 DDADMM Cc1cccc(OCCCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183416716 408405752 /nfs/dbraw/zinc/40/57/52/408405752.db2.gz NBKPIEYNNJRFTC-ZDUSSCGKSA-N -1 1 317.393 1.929 20 0 DDADMM Cc1cccc2c(CC(=O)N(C)C[C@H](C)c3nn[n-]n3)c[nH]c21 ZINC000183422520 408408741 /nfs/dbraw/zinc/40/87/41/408408741.db2.gz WLWXMHRLGWPCBO-NSHDSACASA-N -1 1 312.377 1.794 20 0 DDADMM COc1cccc(Cl)c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183430401 408410790 /nfs/dbraw/zinc/41/07/90/408410790.db2.gz JSGMAGSUMDGUDP-MRVPVSSYSA-N -1 1 309.757 1.737 20 0 DDADMM Cc1ccc2cccc(C(=O)N(C)C[C@H](C)c3nn[n-]n3)c2n1 ZINC000183453254 408417315 /nfs/dbraw/zinc/41/73/15/408417315.db2.gz WJBLKVPQWCRDGX-JTQLQIEISA-N -1 1 310.361 1.932 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2c(c1)OCCCO2)c1nn[n-]n1 ZINC000176736521 408427851 /nfs/dbraw/zinc/42/78/51/408427851.db2.gz GADILPZOQAIWOM-LLVKDONJSA-N -1 1 317.349 1.632 20 0 DDADMM CCO[C@@H]1C[C@@](O)(CNC(=O)c2ccc([O-])cc2F)C1(C)C ZINC000191728509 408480579 /nfs/dbraw/zinc/48/05/79/408480579.db2.gz POOPMAUEAGFQEI-CZUORRHYSA-N -1 1 311.353 1.827 20 0 DDADMM CCOc1cc(C(=O)N(CC)CC(N)=O)cc(Cl)c1[O-] ZINC000191813522 408496379 /nfs/dbraw/zinc/49/63/79/408496379.db2.gz XOZLINGJWAYMQF-UHFFFAOYSA-N -1 1 300.742 1.392 20 0 DDADMM C[C@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])c1ccccc1 ZINC000183876708 408505019 /nfs/dbraw/zinc/50/50/19/408505019.db2.gz RSGAZLYVRYQSCT-JTQLQIEISA-N -1 1 320.370 1.782 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(Cl)ccc2[O-])CCS1(=O)=O ZINC000192311428 408571964 /nfs/dbraw/zinc/57/19/64/408571964.db2.gz IGEPMNYRJVBUFH-VIFPVBQESA-N -1 1 317.794 1.695 20 0 DDADMM O=S(=O)(Cc1c(F)cccc1F)[N-]C[C@H](O)C(F)(F)F ZINC000270874201 408719807 /nfs/dbraw/zinc/71/98/07/408719807.db2.gz BPBVVROWGVXZOD-VIFPVBQESA-N -1 1 319.251 1.307 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N([C@@H](C)c2ccccc2)C1 ZINC000184780756 408689215 /nfs/dbraw/zinc/68/92/15/408689215.db2.gz URQVNMBIQWNVJL-DZGCQCFKSA-N -1 1 320.389 1.680 20 0 DDADMM C[C@H](O)CS(=O)(=O)c1nc(-c2ccc(Cl)cc2)n[n-]1 ZINC000193173625 408702996 /nfs/dbraw/zinc/70/29/96/408702996.db2.gz VGXUEJITXAFTKY-ZETCQYMHSA-N -1 1 301.755 1.280 20 0 DDADMM C[C@H](O)CS(=O)(=O)c1n[n-]c(-c2ccc(Cl)cc2)n1 ZINC000193173625 408703000 /nfs/dbraw/zinc/70/30/00/408703000.db2.gz VGXUEJITXAFTKY-ZETCQYMHSA-N -1 1 301.755 1.280 20 0 DDADMM CCOCCS(=O)(=O)c1n[n-]c(-c2ccc(Cl)cc2)n1 ZINC000195113149 408812112 /nfs/dbraw/zinc/81/21/12/408812112.db2.gz UJYFPYWIHVUDJI-UHFFFAOYSA-N -1 1 315.782 1.935 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)N1CCc2sccc2C1)C(=O)[O-] ZINC000185062960 408750131 /nfs/dbraw/zinc/75/01/31/408750131.db2.gz OBZWZMQXJKRWAS-PWSUYJOCSA-N -1 1 310.419 1.864 20 0 DDADMM Cc1noc(C)c1[C@H](C)C[N-]S(=O)(=O)c1c(C)onc1N ZINC000290806126 408835385 /nfs/dbraw/zinc/83/53/85/408835385.db2.gz RWGGEOPJKPZQBB-ZCFIWIBFSA-N -1 1 314.367 1.252 20 0 DDADMM C[C@H](NC(=O)c1c(F)ccc([O-])c1F)[C@H](C)N1CCOCC1 ZINC000280855066 408848009 /nfs/dbraw/zinc/84/80/09/408848009.db2.gz CWPQTRQRKIWWPX-UWVGGRQHSA-N -1 1 314.332 1.509 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)NCc2ccccc2F)n1 ZINC000187595629 163022887 /nfs/dbraw/zinc/02/28/87/163022887.db2.gz JWTOCDJRSOEVMH-UHFFFAOYSA-N -1 1 323.349 1.744 20 0 DDADMM C[C@@H](Oc1ccc(C=O)cc1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000286130532 408928870 /nfs/dbraw/zinc/92/88/70/408928870.db2.gz QOALHUVWYFSTTL-WDEREUQCSA-N -1 1 317.349 1.042 20 0 DDADMM O=C(NC1(CCO)CCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000286136676 408930086 /nfs/dbraw/zinc/93/00/86/408930086.db2.gz TYRKZKMGCMOANI-UHFFFAOYSA-N -1 1 306.391 1.443 20 0 DDADMM C[C@@H]1CCNC(=O)[C@@H]1[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000281754503 408924706 /nfs/dbraw/zinc/92/47/06/408924706.db2.gz JEPLTDKVJUZWIG-RDDDGLTNSA-N -1 1 318.270 1.697 20 0 DDADMM CN(CC(C)(C)O)C(=O)c1ccc(Br)c([O-])c1 ZINC000228317405 163341484 /nfs/dbraw/zinc/34/14/84/163341484.db2.gz HNGFJGJYGAUULV-UHFFFAOYSA-N -1 1 302.168 1.998 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CC[S@@](=O)C1 ZINC000277548058 408962945 /nfs/dbraw/zinc/96/29/45/408962945.db2.gz MLSKNIKIUULIOL-ZRNGKTOUSA-N -1 1 301.795 1.886 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCOCC(F)(F)C1 ZINC000292037351 408968136 /nfs/dbraw/zinc/96/81/36/408968136.db2.gz DXRRLMNKSMVIGP-UHFFFAOYSA-N -1 1 314.317 1.126 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C(=O)OCC)cc1)c1nn[n-]n1 ZINC000292057972 408971291 /nfs/dbraw/zinc/97/12/91/408971291.db2.gz ZBOKBNQVKCAUHJ-GFCCVEGCSA-N -1 1 317.349 1.648 20 0 DDADMM Cc1nnc([C@@H](C)NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)[nH]1 ZINC000286431046 408984162 /nfs/dbraw/zinc/98/41/62/408984162.db2.gz QQMCZAHTGZRPDH-MRVPVSSYSA-N -1 1 318.381 1.706 20 0 DDADMM CC[C@@H](C)Oc1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000277844357 409022775 /nfs/dbraw/zinc/02/27/75/409022775.db2.gz JTEVDKYQAWEVBN-SECBINFHSA-N -1 1 306.322 1.452 20 0 DDADMM CSCC(C)(C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000282861621 409069629 /nfs/dbraw/zinc/06/96/29/409069629.db2.gz XIMFMHYERFMMPZ-UHFFFAOYSA-N -1 1 318.420 1.367 20 0 DDADMM CCC[C@](C)(NC(=O)c1ccc2n[n-]c(=S)n2c1)C(=O)OC ZINC000283034724 409073951 /nfs/dbraw/zinc/07/39/51/409073951.db2.gz MYGGTLGOKFOTNZ-AWEZNQCLSA-N -1 1 322.390 1.480 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N(CC(C)(C)O)C3CC3)ccnc1-2 ZINC000287766531 409089814 /nfs/dbraw/zinc/08/98/14/409089814.db2.gz VWLHIQAXQQFPDV-PDGQHHTCSA-N -1 1 303.366 1.109 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H](C3CC3)C(F)(F)F)ccnc1-2 ZINC000288871453 409190899 /nfs/dbraw/zinc/19/08/99/409190899.db2.gz PXBRBGXSUUXSTO-OVNPTBDBSA-N -1 1 313.283 1.804 20 0 DDADMM O=C(N[C@H](CO)CC1CCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000293672535 409158413 /nfs/dbraw/zinc/15/84/13/409158413.db2.gz GMZBZWRJTLMHKH-NSHDSACASA-N -1 1 306.391 1.299 20 0 DDADMM CN(C[C@@H]1CCC[C@H]1O)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283590714 409181428 /nfs/dbraw/zinc/18/14/28/409181428.db2.gz XQBURIMAARIFQJ-GXSJLCMTSA-N -1 1 306.391 1.251 20 0 DDADMM O=C(NC[C@@H](O)C1CCCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000283795867 409219146 /nfs/dbraw/zinc/21/91/46/409219146.db2.gz VMDREOXXAUNQIO-GFCCVEGCSA-N -1 1 320.418 1.689 20 0 DDADMM CCc1nn(C)c(Cl)c1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279591830 409243363 /nfs/dbraw/zinc/24/33/63/409243363.db2.gz FQHGROXORPEXQM-ZDLGFXPLSA-N -1 1 318.768 1.543 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2nc(C3CC3)c[nH]2)co1 ZINC000289362247 409254647 /nfs/dbraw/zinc/25/46/47/409254647.db2.gz MULBULUUVLFGLC-UHFFFAOYSA-N -1 1 310.335 1.041 20 0 DDADMM O=C(NCC[N-]S(=O)(=O)c1cc(Cl)ccc1F)C1CC1 ZINC000284044966 409263683 /nfs/dbraw/zinc/26/36/83/409263683.db2.gz XMCNFGSINMWUEA-UHFFFAOYSA-N -1 1 320.773 1.284 20 0 DDADMM CN(CCc1cncn1C)Cc1nc(=O)c2sccc2[n-]1 ZINC000290083743 409298607 /nfs/dbraw/zinc/29/86/07/409298607.db2.gz QUAIUTXSFHCZDT-UHFFFAOYSA-N -1 1 303.391 1.805 20 0 DDADMM NC(=O)CC1CN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000280477699 409319298 /nfs/dbraw/zinc/31/92/98/409319298.db2.gz JQQSWUJVMSOZQB-UHFFFAOYSA-N -1 1 313.151 1.102 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CCCC2(C)C)co1 ZINC000294250314 409266615 /nfs/dbraw/zinc/26/66/15/409266615.db2.gz SSGJITVUIWYJLI-LLVKDONJSA-N -1 1 314.407 1.744 20 0 DDADMM CCC(CC)(CO)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295544480 409341288 /nfs/dbraw/zinc/34/12/88/409341288.db2.gz UHFBZRBZOHBAHR-UHFFFAOYSA-N -1 1 308.407 1.547 20 0 DDADMM CC(=O)NC[C@@H]1CCCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000629857886 422840838 /nfs/dbraw/zinc/84/08/38/422840838.db2.gz HIKHFUKZCVSCEE-ZDUSSCGKSA-N -1 1 304.346 1.092 20 0 DDADMM COc1ccc([C@H](CO)N(C)C(=O)c2cncc([O-])c2)cc1 ZINC000285472481 409459350 /nfs/dbraw/zinc/45/93/50/409459350.db2.gz CIDCMAJSZCCCLU-HNNXBMFYSA-N -1 1 302.330 1.601 20 0 DDADMM CCCNC(=O)[C@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000280704097 409417041 /nfs/dbraw/zinc/41/70/41/409417041.db2.gz FNSPZQZBEHMUPA-ZDUSSCGKSA-N -1 1 318.373 1.340 20 0 DDADMM Cc1ccc2[nH]cc(CCC(=O)NC3(c4nn[n-]n4)CC3)c2c1 ZINC000357095122 164138369 /nfs/dbraw/zinc/13/83/69/164138369.db2.gz JKFNHGQEEFFWSU-UHFFFAOYSA-N -1 1 310.361 1.728 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(C)n1 ZINC000408068897 164216830 /nfs/dbraw/zinc/21/68/30/164216830.db2.gz YWSUZPBLXUOCRA-LLVKDONJSA-N -1 1 302.334 1.807 20 0 DDADMM CN(CCOc1ccc(F)cc1)C(=O)CCCc1nn[n-]n1 ZINC000635151338 422846550 /nfs/dbraw/zinc/84/65/50/422846550.db2.gz CRVHPRSXBGCHRN-UHFFFAOYSA-N -1 1 307.329 1.199 20 0 DDADMM NC(=O)[C@H]1CCC[C@@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000344853109 409532644 /nfs/dbraw/zinc/53/26/44/409532644.db2.gz LWNJCUVSSGCKMY-NTSWFWBYSA-N -1 1 305.260 1.054 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCc3cnccn3)cnc2n1 ZINC000342421427 409616850 /nfs/dbraw/zinc/61/68/50/409616850.db2.gz ZHDWHGXDCKJHQK-UHFFFAOYSA-N -1 1 309.329 1.406 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCc1cnccn1)c2=O ZINC000342421427 409616854 /nfs/dbraw/zinc/61/68/54/409616854.db2.gz ZHDWHGXDCKJHQK-UHFFFAOYSA-N -1 1 309.329 1.406 20 0 DDADMM CCO[C@@H]1C[C@@](O)(CN=c2nc([C@@H](C)OC)[n-]s2)C1(C)C ZINC000337936456 409579861 /nfs/dbraw/zinc/57/98/61/409579861.db2.gz CEJFHRXMELYOTN-GPCCPHFNSA-N -1 1 315.439 1.645 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]CC(F)(F)F)c1 ZINC000342591174 409744454 /nfs/dbraw/zinc/74/44/54/409744454.db2.gz OWIOMCWXMQDGLO-UHFFFAOYSA-N -1 1 315.244 1.453 20 0 DDADMM Cc1nc(C(F)(F)F)ccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357076071 409846900 /nfs/dbraw/zinc/84/69/00/409846900.db2.gz LTWNXCYBMYKRHP-UHFFFAOYSA-N -1 1 312.255 1.341 20 0 DDADMM CC(C)(CO)O[N-]C(=O)[C@H]1CCCCN1Cc1ccccc1 ZINC000297227555 409864590 /nfs/dbraw/zinc/86/45/90/409864590.db2.gz OEHZQASTFKNNCC-OAHLLOKOSA-N -1 1 306.406 1.860 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)c1ccc2ccccc2n1 ZINC000349640746 409896010 /nfs/dbraw/zinc/89/60/10/409896010.db2.gz WFBSTDUAIBAWRD-UHFFFAOYSA-N -1 1 318.358 1.769 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](C)Oc1ccccc1 ZINC000357357395 409990932 /nfs/dbraw/zinc/99/09/32/409990932.db2.gz WPAKUAARJKVDOP-VIFPVBQESA-N -1 1 319.317 1.094 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2cncc([O-])c2)ccc1OC ZINC000346575428 410024383 /nfs/dbraw/zinc/02/43/83/410024383.db2.gz ILMQBFBQSLXWHP-UHFFFAOYSA-N -1 1 316.313 1.512 20 0 DDADMM C[C@@H]1CCC[C@@H]1CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000346590877 410027765 /nfs/dbraw/zinc/02/77/65/410027765.db2.gz LCSWZQRMBVBSQU-GHMZBOCLSA-N -1 1 309.439 1.426 20 0 DDADMM CC(C)(NC(=O)c1ccc([C@@H]2CCCOC2)cc1)c1nn[n-]n1 ZINC000357367975 409996212 /nfs/dbraw/zinc/99/62/12/409996212.db2.gz IESANOCVYDOQDT-CYBMUJFWSA-N -1 1 315.377 1.759 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCOC[C@@H]1C)c2=O ZINC000297783971 409998122 /nfs/dbraw/zinc/99/81/22/409998122.db2.gz AFHLXOYNXBSPSO-JTQLQIEISA-N -1 1 302.330 1.810 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc([C@@H]3CCCOC3)no2)co1 ZINC000350853779 410002919 /nfs/dbraw/zinc/00/29/19/410002919.db2.gz FGGOBACNDJNHMS-MRVPVSSYSA-N -1 1 313.335 1.132 20 0 DDADMM CN(C)C(=O)[C@H]1CC[C@H](CN=c2nc(C(F)(F)F)[n-]s2)O1 ZINC000342930561 410006156 /nfs/dbraw/zinc/00/61/56/410006156.db2.gz HXSTWFZOHPFOHU-RNFRBKRXSA-N -1 1 324.328 1.027 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC[C@H](CO)[C@H](O)C1 ZINC000191991497 306724872 /nfs/dbraw/zinc/72/48/72/306724872.db2.gz QRYNNLXGOBCPDD-CZUORRHYSA-N -1 1 301.342 1.361 20 0 DDADMM CC(C)(NC(=O)c1cc(-c2cccs2)n[nH]1)c1nn[n-]n1 ZINC000354772601 410074812 /nfs/dbraw/zinc/07/48/12/410074812.db2.gz METPWZYCOODCFK-UHFFFAOYSA-N -1 1 303.351 1.316 20 0 DDADMM COc1cccc([C@@H]2C[C@@H]2C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000354777513 410078833 /nfs/dbraw/zinc/07/88/33/410078833.db2.gz FDSLEDNEQXRLLL-RYUDHWBXSA-N -1 1 301.350 1.363 20 0 DDADMM CC(C)(NC(=O)[C@H]1C[C@H]1c1ccc(F)cc1F)c1nn[n-]n1 ZINC000354775788 410080201 /nfs/dbraw/zinc/08/02/01/410080201.db2.gz QOZJYVFWVINHEO-UWVGGRQHSA-N -1 1 307.304 1.633 20 0 DDADMM CCC[C@H](NC(=O)COC/C=C\c1ccccc1)c1nn[n-]n1 ZINC000357666791 410176679 /nfs/dbraw/zinc/17/66/79/410176679.db2.gz ZXXKWRBESYWQKY-APFBBLRHSA-N -1 1 315.377 1.887 20 0 DDADMM CN(CCc1ccccc1)C(=O)CNC(=O)c1ncccc1[O-] ZINC000343169186 410203553 /nfs/dbraw/zinc/20/35/53/410203553.db2.gz RWTFGZPBRUAZSI-UHFFFAOYSA-N -1 1 313.357 1.218 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)[C@@H](C)[C@H]2C)o1 ZINC000332724547 410237758 /nfs/dbraw/zinc/23/77/58/410237758.db2.gz SAWQJYUBKYMUGC-IVZWLZJFSA-N -1 1 300.380 1.304 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)Cc2cccc(C)c2)o1 ZINC000298472089 410247348 /nfs/dbraw/zinc/24/73/48/410247348.db2.gz NDOBRZZNRBQPIV-UHFFFAOYSA-N -1 1 322.386 1.768 20 0 DDADMM COC(=O)c1cccc(CCNC(=O)c2ncc(C)cc2[O-])c1 ZINC000339516276 410248763 /nfs/dbraw/zinc/24/87/63/410248763.db2.gz NOYKKFISKKWGJI-UHFFFAOYSA-N -1 1 314.341 1.855 20 0 DDADMM COC(=O)c1[n-]c(=NCc2ccc(OC)nc2OC)sc1C ZINC000357813256 410264036 /nfs/dbraw/zinc/26/40/36/410264036.db2.gz HNGXBXWEVWFGCS-UHFFFAOYSA-N -1 1 323.374 1.684 20 0 DDADMM C[C@@H](NC(=O)C1CCCC1)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000298693563 410349855 /nfs/dbraw/zinc/34/98/55/410349855.db2.gz BSSNKXAHSBTJIG-ZCFIWIBFSA-N -1 1 319.287 1.457 20 0 DDADMM O=C(c1cn2ccnc2s1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351854284 410288262 /nfs/dbraw/zinc/28/82/62/410288262.db2.gz NXHOAJGSUUINPC-UHFFFAOYSA-N -1 1 319.346 1.504 20 0 DDADMM O=S(=O)([N-]c1cc(C2CC2)n[nH]1)c1cn2c(n1)CCCC2 ZINC000355269103 410409352 /nfs/dbraw/zinc/40/93/52/410409352.db2.gz OXCVGJXBUQKUHI-UHFFFAOYSA-N -1 1 307.379 1.621 20 0 DDADMM COc1cc([N-]S(=O)(=O)CCOC(C)C)cc(OC)c1 ZINC000343439803 410453762 /nfs/dbraw/zinc/45/37/62/410453762.db2.gz AKDCYLGFMKDQFY-UHFFFAOYSA-N -1 1 303.380 1.871 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)[N-]c2ccc3n[nH]nc3c2)c1 ZINC000347273745 410437061 /nfs/dbraw/zinc/43/70/61/410437061.db2.gz ZSMAAZQWBXUNAB-UHFFFAOYSA-N -1 1 304.335 1.044 20 0 DDADMM COc1cc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)ccc1F ZINC000298889459 410439565 /nfs/dbraw/zinc/43/95/65/410439565.db2.gz ITOWFDUNWBLKBX-SNVBAGLBSA-N -1 1 320.324 1.266 20 0 DDADMM O=C(c1cnc2ccccc2c1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000298901896 410444106 /nfs/dbraw/zinc/44/41/06/410444106.db2.gz KYNLSFAEGMREMG-GFCCVEGCSA-N -1 1 323.356 1.666 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@@H]2CCC[C@H](C(=O)OC)C2)[n-]1 ZINC000352159335 410516208 /nfs/dbraw/zinc/51/62/08/410516208.db2.gz VKQLSQGXVUALPB-ZJUUUORDSA-N -1 1 323.349 1.504 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCC[C@H](C(=O)OC)C2)n1 ZINC000352159335 410516213 /nfs/dbraw/zinc/51/62/13/410516213.db2.gz VKQLSQGXVUALPB-ZJUUUORDSA-N -1 1 323.349 1.504 20 0 DDADMM CCNC(=O)COc1cccc(NC(=O)c2cncc([O-])c2)c1 ZINC000339846434 410498959 /nfs/dbraw/zinc/49/89/59/410498959.db2.gz BYWUCMDBSIQVEH-UHFFFAOYSA-N -1 1 315.329 1.554 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1cccc(Cl)c1 ZINC000352149409 410509957 /nfs/dbraw/zinc/50/99/57/410509957.db2.gz PDDQFBWWTWMSGU-UHFFFAOYSA-N -1 1 323.736 1.522 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COc2ccc(OC)cc2)n1 ZINC000352164861 410518962 /nfs/dbraw/zinc/51/89/62/410518962.db2.gz YEDOVWFEPXQCJW-UHFFFAOYSA-N -1 1 319.317 1.613 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc(NC(C)=O)c2)n1 ZINC000352164917 410519488 /nfs/dbraw/zinc/51/94/88/410519488.db2.gz YWDCFWDXEREAFR-UHFFFAOYSA-N -1 1 316.317 1.797 20 0 DDADMM C[C@H](NC(=O)c1nn(-c2cccc(F)c2)cc1[O-])c1nnc[nH]1 ZINC000339896563 410536414 /nfs/dbraw/zinc/53/64/14/410536414.db2.gz QQDPLOQKRKXECF-QMMMGPOBSA-N -1 1 316.296 1.326 20 0 DDADMM CCCC(CCC)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000330412798 410676428 /nfs/dbraw/zinc/67/64/28/410676428.db2.gz LNYDIJMDLHRPAO-UHFFFAOYSA-N -1 1 311.455 1.816 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@H]2[C@H]2CCCC2=O)c([O-])c1 ZINC000330301211 410619087 /nfs/dbraw/zinc/61/90/87/410619087.db2.gz IXTCGNVOMVLGPR-NEPJUHHUSA-N -1 1 304.346 1.306 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC3(CCC3(F)F)C2)co1 ZINC000359537916 410718610 /nfs/dbraw/zinc/71/86/10/410718610.db2.gz MMZWUPNRTDFCGX-UHFFFAOYSA-N -1 1 320.317 1.059 20 0 DDADMM CC(=O)c1cccc(C(=O)Nc2nc(SCCO)n[nH]2)c1 ZINC000343844009 410740778 /nfs/dbraw/zinc/74/07/78/410740778.db2.gz CXQMROQIJRQLJX-UHFFFAOYSA-N -1 1 306.347 1.344 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)[C@@H]3CCC[C@@H]3O)cnc2n1 ZINC000359596637 410758894 /nfs/dbraw/zinc/75/88/94/410758894.db2.gz MXFBAJKASZTFQU-OLZOCXBDSA-N -1 1 301.346 1.629 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)[C@@H]3CCC[C@@H]3O)c[n-]c2n1 ZINC000359596637 410758903 /nfs/dbraw/zinc/75/89/03/410758903.db2.gz MXFBAJKASZTFQU-OLZOCXBDSA-N -1 1 301.346 1.629 20 0 DDADMM CC(C)(NC(=O)COc1ccc(F)c(Cl)c1)c1nn[n-]n1 ZINC000359790132 410882738 /nfs/dbraw/zinc/88/27/38/410882738.db2.gz PLESHKZASQKGLE-UHFFFAOYSA-N -1 1 313.720 1.423 20 0 DDADMM Cn1cc(OCC(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)cn1 ZINC000337608681 410895423 /nfs/dbraw/zinc/89/54/23/410895423.db2.gz UAAULVCARLKFLF-UHFFFAOYSA-N -1 1 313.317 1.533 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(-c2ccccc2)[nH]c1=O ZINC000348283751 410898400 /nfs/dbraw/zinc/89/84/00/410898400.db2.gz RJXKBGFHGNPRGP-UHFFFAOYSA-N -1 1 322.328 1.386 20 0 DDADMM Cc1cc(Cl)ccc1O[C@@H](C)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348289137 410899541 /nfs/dbraw/zinc/89/95/41/410899541.db2.gz ASEMGTLRKCWKGE-VIFPVBQESA-N -1 1 321.768 1.734 20 0 DDADMM COc1cc(C(=O)NC2(c3nn[n-]n3)CC2)ccc1OC(C)C ZINC000348293044 410904164 /nfs/dbraw/zinc/90/41/64/410904164.db2.gz MWPQBQHLVRIDSL-UHFFFAOYSA-N -1 1 317.349 1.415 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC[C@](C)(O)C2)c(=O)[n-]1 ZINC000331080992 410938282 /nfs/dbraw/zinc/93/82/82/410938282.db2.gz AHQXKOKRSAPFMY-AWEZNQCLSA-N -1 1 311.407 1.128 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2cn(CC(C)C)cn2)n1 ZINC000331108471 410953015 /nfs/dbraw/zinc/95/30/15/410953015.db2.gz JWZIDHVMUKJZKH-SECBINFHSA-N -1 1 313.383 1.270 20 0 DDADMM CC[C@@]1(C)CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000344105519 410960079 /nfs/dbraw/zinc/96/00/79/410960079.db2.gz JDZZXCDANIIEBZ-INIZCTEOSA-N -1 1 305.378 1.556 20 0 DDADMM C[C@@H]1[C@@H](C(=O)NC(C)(C)c2nn[n-]n2)CCN1c1ccccc1 ZINC000356322152 410964517 /nfs/dbraw/zinc/96/45/17/410964517.db2.gz OECLZIMFQLIQED-YPMHNXCESA-N -1 1 314.393 1.466 20 0 DDADMM COc1ccc(CNC2(c3nnn[n-]3)CCCC2)cc1OC ZINC000344236161 411045021 /nfs/dbraw/zinc/04/50/21/411045021.db2.gz WCVAPSNNXUXFQD-UHFFFAOYSA-N -1 1 303.366 1.776 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN(Cc2ccon2)CC1 ZINC000331230060 411030702 /nfs/dbraw/zinc/03/07/02/411030702.db2.gz CXPNDHBNSCTKPV-UHFFFAOYSA-N -1 1 323.299 1.616 20 0 DDADMM Cc1ccc(CC[C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC000353722717 411117983 /nfs/dbraw/zinc/11/79/83/411117983.db2.gz UDQLZEHMGQHFPW-VIFPVBQESA-N -1 1 315.333 1.070 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](C)OC[C@H]2C)c1 ZINC000631721419 422892694 /nfs/dbraw/zinc/89/26/94/422892694.db2.gz RLOVPJYEPFSWCT-ZJUUUORDSA-N -1 1 301.364 1.199 20 0 DDADMM O=S(=O)([N-]Cc1nccs1)c1c[nH]nc1C(F)(F)F ZINC000631760874 422911058 /nfs/dbraw/zinc/91/10/58/422911058.db2.gz JUQHJJUFGLCRSM-UHFFFAOYSA-N -1 1 312.298 1.364 20 0 DDADMM O=C(N[C@@H]1CCCN(CC(F)(F)F)C1=O)c1ncccc1[O-] ZINC000132598735 196269403 /nfs/dbraw/zinc/26/94/03/196269403.db2.gz ZMKJFJRJHSHPQI-MRVPVSSYSA-N -1 1 317.267 1.070 20 0 DDADMM O=C([O-])CCN(Cc1ncnn1-c1ccccc1)CC1CC1 ZINC000652467142 423030335 /nfs/dbraw/zinc/03/03/35/423030335.db2.gz MEZLEMDKLLNBDI-UHFFFAOYSA-N -1 1 300.362 1.954 20 0 DDADMM CCc1ccc(S(C)(=O)=O)cc1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000647816887 423061294 /nfs/dbraw/zinc/06/12/94/423061294.db2.gz ZUWWYJJMFGWYPU-UHFFFAOYSA-N -1 1 323.374 1.642 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C)NC(=O)c1ccc(Cl)cc1 ZINC000647816439 423058005 /nfs/dbraw/zinc/05/80/05/423058005.db2.gz IZOKGUTUEYNFON-QMMMGPOBSA-N -1 1 322.752 1.834 20 0 DDADMM COc1cc(-c2nc(CS(C)(=O)=O)no2)cc(Cl)c1[O-] ZINC000350586824 306753697 /nfs/dbraw/zinc/75/36/97/306753697.db2.gz AFTFBKRWXGKBDD-UHFFFAOYSA-N -1 1 318.738 1.649 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C(C)C)c1ccco1 ZINC000645575544 423094913 /nfs/dbraw/zinc/09/49/13/423094913.db2.gz WMAMXBRRGSYVQB-DGCLKSJQSA-N -1 1 305.396 1.558 20 0 DDADMM CN(C)Cc1ccc(CNC(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)cc1F ZINC000417365167 225305830 /nfs/dbraw/zinc/30/58/30/225305830.db2.gz FVEJVLLMELXMOO-UONOGXRCSA-N -1 1 322.380 1.860 20 0 DDADMM CSc1nc(CNC(=O)C[C@@H](C)[C@@H]2CCCO2)cc(=O)[n-]1 ZINC000640654916 423115556 /nfs/dbraw/zinc/11/55/56/423115556.db2.gz FCXZOGAYFZNUBP-KOLCDFICSA-N -1 1 311.407 1.726 20 0 DDADMM CO[C@@H](C(=O)NCc1cc(=O)[n-]c(SC)n1)c1ccccc1 ZINC000640655468 423116398 /nfs/dbraw/zinc/11/63/98/423116398.db2.gz DKULVHWCPADROJ-CYBMUJFWSA-N -1 1 319.386 1.908 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2CCC(F)(F)C2)cc(=O)[n-]1 ZINC000640656232 423117604 /nfs/dbraw/zinc/11/76/04/423117604.db2.gz VXQZZNNUFMXFLO-ZETCQYMHSA-N -1 1 303.334 1.956 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)Cc1cc(C)no1)c1ccco1 ZINC000362583224 307021108 /nfs/dbraw/zinc/02/11/08/307021108.db2.gz HPCVKTAUUZKPAB-NSHDSACASA-N -1 1 300.336 1.383 20 0 DDADMM CSc1nc(CNC(=O)CC[C@@H]2CCCCO2)cc(=O)[n-]1 ZINC000640658881 423117877 /nfs/dbraw/zinc/11/78/77/423117877.db2.gz SBJZANMKKZLYNN-NSHDSACASA-N -1 1 311.407 1.870 20 0 DDADMM CC[C@@](C)(CNS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000211124952 287387592 /nfs/dbraw/zinc/38/75/92/287387592.db2.gz MDKXIMPZGYUYFZ-LBPRGKRZSA-N -1 1 307.318 1.744 20 0 DDADMM C[C@@H]1CCC[C@@H](CNC(=O)CNC(=O)c2ncccc2[O-])[C@H]1C ZINC000361248560 299827802 /nfs/dbraw/zinc/82/78/02/299827802.db2.gz PJAOLKZCQAGEOG-AGIUHOORSA-N -1 1 319.405 1.706 20 0 DDADMM CC(=O)N1C[C@H](CCC(=O)Nc2nnn[n-]2)c2ccccc21 ZINC000373339188 418422457 /nfs/dbraw/zinc/42/24/57/418422457.db2.gz IWKVYHYHJQVMGD-JTQLQIEISA-N -1 1 300.322 1.069 20 0 DDADMM CC(=O)N1C[C@H](CCC(=O)Nc2nn[n-]n2)c2ccccc21 ZINC000373339188 418422460 /nfs/dbraw/zinc/42/24/60/418422460.db2.gz IWKVYHYHJQVMGD-JTQLQIEISA-N -1 1 300.322 1.069 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)Cc1nc2ccc(Cl)cc2c(=O)[nH]1 ZINC000044620819 418429726 /nfs/dbraw/zinc/42/97/26/418429726.db2.gz GYVANJZXYZHOJE-LLVKDONJSA-N -1 1 309.753 1.872 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@H]3C[C@H]3c3cnn(C)c3)n2)o1 ZINC000366269544 418450983 /nfs/dbraw/zinc/45/09/83/418450983.db2.gz XHROJBYCUSWMNA-QWRGUYRKSA-N -1 1 312.333 1.849 20 0 DDADMM O=C(NC[C@@H]1Cc2ccccc21)c1nc2ccccc2c(=O)[n-]1 ZINC000194260380 418541802 /nfs/dbraw/zinc/54/18/02/418541802.db2.gz VFNJEFAZYNJCKP-LBPRGKRZSA-N -1 1 305.337 1.993 20 0 DDADMM O=C([O-])CN(CCc1ccccc1)C(=O)c1ccc2[nH]nnc2c1 ZINC000191322987 222101267 /nfs/dbraw/zinc/10/12/67/222101267.db2.gz VTDNANVEOVBLHG-UHFFFAOYSA-N -1 1 324.340 1.727 20 0 DDADMM CC1(C(=O)[O-])CCN(C(=O)[C@H]2NCCc3ccccc32)CC1 ZINC000315886299 418599015 /nfs/dbraw/zinc/59/90/15/418599015.db2.gz KJRBYWQKEJBJDI-AWEZNQCLSA-N -1 1 302.374 1.587 20 0 DDADMM COC(=O)C[C@@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000310970537 418590953 /nfs/dbraw/zinc/59/09/53/418590953.db2.gz GPISMDFPSYWDCC-SSDOTTSWSA-N -1 1 316.151 1.836 20 0 DDADMM CC(=O)Nc1ccc(F)c([N-]S(=O)(=O)CCOC(C)C)c1 ZINC000361268270 418615276 /nfs/dbraw/zinc/61/52/76/418615276.db2.gz UWVZYGWXMYRIPI-UHFFFAOYSA-N -1 1 318.370 1.951 20 0 DDADMM CC(C)OCc1nc([C@@H](C)NC(=O)c2cncc([O-])c2)no1 ZINC000291379290 222352739 /nfs/dbraw/zinc/35/27/39/222352739.db2.gz WRJTXLFBTBMGHH-SECBINFHSA-N -1 1 306.322 1.586 20 0 DDADMM Cc1nc([C@H](NC(=O)[C@@H]2CC[C@H]2C(=O)[O-])c2ccccc2)n[nH]1 ZINC000375612130 418662692 /nfs/dbraw/zinc/66/26/92/418662692.db2.gz NFEZHWMWWRHQTH-JHJVBQTASA-N -1 1 314.345 1.430 20 0 DDADMM COc1ccccc1CNC(=O)CN1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000391946668 418758514 /nfs/dbraw/zinc/75/85/14/418758514.db2.gz FAEIGULLAQKVRX-TZMCWYRMSA-N -1 1 320.389 1.354 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)c1 ZINC000291693745 222563177 /nfs/dbraw/zinc/56/31/77/222563177.db2.gz YHZGIGJFWZRNQF-COPLHBTASA-N -1 1 321.329 1.169 20 0 DDADMM COC(=O)[C@H]1C[C@@H](OC)CN1C(=O)c1ccc(Cl)cc1[O-] ZINC000364852534 418815871 /nfs/dbraw/zinc/81/58/71/418815871.db2.gz VUKCKLSHAHKJLP-MWLCHTKSSA-N -1 1 313.737 1.448 20 0 DDADMM CO[C@]1(C)CCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000365411320 418857991 /nfs/dbraw/zinc/85/79/91/418857991.db2.gz RHRDMVNGHKADRQ-MRXNPFEDSA-N -1 1 318.377 1.705 20 0 DDADMM CC(=O)N[C@H](C(=O)Nc1nc(-c2ccco2)n[nH]1)C(C)(C)C ZINC000411290869 418889717 /nfs/dbraw/zinc/88/97/17/418889717.db2.gz KPGJAOMKMMSJCC-SNVBAGLBSA-N -1 1 305.338 1.554 20 0 DDADMM Cc1nonc1C(=O)[N-]c1nc(-c2ccccc2Cl)nn1C ZINC000365810229 418911561 /nfs/dbraw/zinc/91/15/61/418911561.db2.gz ZZNBBJBSNQTQCG-UHFFFAOYSA-N -1 1 318.724 1.501 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3C)C2)sc1C ZINC000372966097 418917284 /nfs/dbraw/zinc/91/72/84/418917284.db2.gz FSLSAFOSDLRDDK-FXBDTBDDSA-N -1 1 309.391 1.081 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)c(C)c1)OC ZINC000421154357 419506625 /nfs/dbraw/zinc/50/66/25/419506625.db2.gz MPXDJWXZTRXWFP-LLVKDONJSA-N -1 1 300.380 1.261 20 0 DDADMM CO[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645719291 423153831 /nfs/dbraw/zinc/15/38/31/423153831.db2.gz QCHFTJFAJIWIQB-HTQZYQBOSA-N -1 1 312.313 1.802 20 0 DDADMM CO[C@@H]1CC[C@@H]2OCCN(C(=O)c3ncc(C)cc3[O-])[C@@H]2C1 ZINC000427651608 419708163 /nfs/dbraw/zinc/70/81/63/419708163.db2.gz AIZZCYQOHBSTCT-BZPMIXESSA-N -1 1 306.362 1.504 20 0 DDADMM C[C@@H](C(=O)N(C)CCCC(=O)[O-])N1CCc2ccccc2C1 ZINC000427749252 419725305 /nfs/dbraw/zinc/72/53/05/419725305.db2.gz OJDIWOCXUMWNDB-ZDUSSCGKSA-N -1 1 304.390 1.756 20 0 DDADMM NC(=O)c1csc(=NCc2ccncc2OCC(F)F)[n-]1 ZINC000432144535 229082874 /nfs/dbraw/zinc/08/28/74/229082874.db2.gz ZBBVEIYJIDPSRU-UHFFFAOYSA-N -1 1 314.317 1.315 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]([C@@H](O)C(F)(F)F)C2)c([O-])c1 ZINC000434327453 229281007 /nfs/dbraw/zinc/28/10/07/229281007.db2.gz QRBRLYJVCUUNGA-BXKDBHETSA-N -1 1 318.295 1.871 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCCO[C@H]2CCOC2)sc1C ZINC000435227485 229363367 /nfs/dbraw/zinc/36/33/67/229363367.db2.gz SXVMWQBEYYZVGQ-NSHDSACASA-N -1 1 320.436 1.234 20 0 DDADMM O=C(N[C@@H](CO)CC(F)F)c1ccc(Br)c([O-])c1 ZINC000430513732 420126139 /nfs/dbraw/zinc/12/61/39/420126139.db2.gz ZATRAPXMMQBHRZ-SSDOTTSWSA-N -1 1 324.121 1.901 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCNCC2(F)F)c1 ZINC000423745569 420156695 /nfs/dbraw/zinc/15/66/95/420156695.db2.gz PYCVDWDIRZQGJZ-SECBINFHSA-N -1 1 314.288 1.362 20 0 DDADMM CC(C)n1ncc2c1C[C@H](N=c1[n-]c(C(N)=O)cs1)CC2 ZINC000432172537 420241296 /nfs/dbraw/zinc/24/12/96/420241296.db2.gz ONQKNGOUBLSKAB-SNVBAGLBSA-N -1 1 305.407 1.411 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC(C)(C)c1cccs1 ZINC000416164638 420264273 /nfs/dbraw/zinc/26/42/73/420264273.db2.gz AHSHVTAIHREINO-UHFFFAOYSA-N -1 1 309.347 1.623 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-]c1cnn(C)c1C(F)(F)F ZINC000436146909 420312621 /nfs/dbraw/zinc/31/26/21/420312621.db2.gz BHVWUMDPKUCJNL-UHFFFAOYSA-N -1 1 314.333 1.828 20 0 DDADMM COC[C@H](CCO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436751562 420362994 /nfs/dbraw/zinc/36/29/94/420362994.db2.gz MKVPQOGMNIERAK-VIFPVBQESA-N -1 1 307.268 1.538 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2cccnc2OC)CC1 ZINC000436478294 420331678 /nfs/dbraw/zinc/33/16/78/420331678.db2.gz PEGXOGMDSMOSIM-UHFFFAOYSA-N -1 1 314.363 1.318 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2nc(C(C)(C)C)[n-]s2)C[C@H](C)N1C ZINC000436502808 420334590 /nfs/dbraw/zinc/33/45/90/420334590.db2.gz IBIZFQGXOTVYHK-AOOOYVTPSA-N -1 1 311.455 1.814 20 0 DDADMM COCc1cc(C(=O)Nc2cc(C(=O)OC)ccc2[O-])no1 ZINC000436502765 420335767 /nfs/dbraw/zinc/33/57/67/420335767.db2.gz FOJHZKKNKYPSQA-UHFFFAOYSA-N -1 1 306.274 1.566 20 0 DDADMM CCOC(=O)Cc1nnc(NC(=O)c2ccccc2[O-])s1 ZINC000436517002 420336179 /nfs/dbraw/zinc/33/61/79/420336179.db2.gz WLZDTIADLXERKJ-UHFFFAOYSA-N -1 1 307.331 1.602 20 0 DDADMM CN(C(=O)c1cc(Br)ccc1[O-])[C@@H]1CCNC1=O ZINC000436524456 420337710 /nfs/dbraw/zinc/33/77/10/420337710.db2.gz IDCXAWJFMUWZPA-SECBINFHSA-N -1 1 313.151 1.115 20 0 DDADMM CC(C)CCc1cc(=NC(=O)C(=O)c2ccc([O-])cc2)[nH][nH]1 ZINC000436586382 420342671 /nfs/dbraw/zinc/34/26/71/420342671.db2.gz VKACHFUPSRWTMZ-UHFFFAOYSA-N -1 1 301.346 1.947 20 0 DDADMM O=C(c1occ2c1CCOC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425309440 420346384 /nfs/dbraw/zinc/34/63/84/420346384.db2.gz WKACIDSPDPLWBF-SECBINFHSA-N -1 1 319.317 1.461 20 0 DDADMM CC(C)n1nccc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425316090 420347488 /nfs/dbraw/zinc/34/74/88/420347488.db2.gz CDRXLTZVUNLEKX-UHFFFAOYSA-N -1 1 305.338 1.572 20 0 DDADMM O=C(Nc1ccc(-c2nc[nH]n2)cc1)C(=O)c1ccc([O-])cc1 ZINC000436700182 420355187 /nfs/dbraw/zinc/35/51/87/420355187.db2.gz YJGBAQRKPAXTEB-UHFFFAOYSA-N -1 1 308.297 1.999 20 0 DDADMM O=C(NC[C@H](CO)c1cccnc1)c1cc(Cl)ccc1[O-] ZINC000436736225 420360492 /nfs/dbraw/zinc/36/04/92/420360492.db2.gz FVRZIWXUVIWLAM-LLVKDONJSA-N -1 1 306.749 1.947 20 0 DDADMM Cc1cc(C(=O)Nc2ccccc2O)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000436748934 420362521 /nfs/dbraw/zinc/36/25/21/420362521.db2.gz WHDPHZZGOGFJNF-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM CC(C)CN1CCN(C(=O)c2cc(F)ccc2[O-])[C@@H](C)C1=O ZINC000436832700 420374490 /nfs/dbraw/zinc/37/44/90/420374490.db2.gz IBLNBBBVJFFTAA-NSHDSACASA-N -1 1 308.353 1.860 20 0 DDADMM CNC(=O)c1ccc(CNC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000436890927 420378765 /nfs/dbraw/zinc/37/87/65/420378765.db2.gz DNOSIVBOJMFKIS-UHFFFAOYSA-N -1 1 312.325 1.251 20 0 DDADMM COC(=O)[C@H](CNC(=O)C(=O)c1ccc([O-])cc1)CC(C)C ZINC000436908638 420381506 /nfs/dbraw/zinc/38/15/06/420381506.db2.gz JXXAJIQEMQKFLF-LBPRGKRZSA-N -1 1 307.346 1.526 20 0 DDADMM C[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C(=O)OC(C)(C)C ZINC000425527172 420408718 /nfs/dbraw/zinc/40/87/18/420408718.db2.gz ZCCSVVFFFSHOPR-ZYHUDNBSSA-N -1 1 310.398 1.051 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)[C@@H](C)O ZINC000645746464 423165392 /nfs/dbraw/zinc/16/53/92/423165392.db2.gz LWXMCDRPDZUMTH-VXNVDRBHSA-N -1 1 312.313 1.538 20 0 DDADMM O=C(C=Cc1ccnc(Cl)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000492313009 420519472 /nfs/dbraw/zinc/51/94/72/420519472.db2.gz NPHZRGAJLJFLEG-ONEGZZNKSA-N -1 1 318.768 1.847 20 0 DDADMM CC[C@@H](O)[C@H](CC)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000456725427 420533995 /nfs/dbraw/zinc/53/39/95/420533995.db2.gz QRZQKWWOAYRXAS-QWHCGFSZSA-N -1 1 320.393 1.260 20 0 DDADMM CC[C@@H]1CC[C@H](C)N(C(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000456818165 420549373 /nfs/dbraw/zinc/54/93/73/420549373.db2.gz JNLSEOOJYAMOHI-WDEREUQCSA-N -1 1 314.407 1.838 20 0 DDADMM CC(C)(C)NC(=O)C[N-]S(=O)(=O)c1sccc1Cl ZINC000451003222 420578909 /nfs/dbraw/zinc/57/89/09/420578909.db2.gz SREXXWDIJCSYFN-UHFFFAOYSA-N -1 1 310.828 1.595 20 0 DDADMM COc1ccc(C)cc1C=CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000492650313 420618251 /nfs/dbraw/zinc/61/82/51/420618251.db2.gz QHCXWOYRUUINIY-XYLWRLHESA-N -1 1 315.377 1.792 20 0 DDADMM COC[C@](C)(O)CCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000452781510 420660396 /nfs/dbraw/zinc/66/03/96/420660396.db2.gz TXKKOSPRCCAHQJ-CQSZACIVSA-N -1 1 317.769 1.572 20 0 DDADMM CCOC(=O)CN(C)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442640164 420690400 /nfs/dbraw/zinc/69/04/00/420690400.db2.gz ABMLUCIIBLUKRC-UHFFFAOYSA-N -1 1 301.726 1.689 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)C[C@H]2CCCC2(F)F)n1 ZINC000453025194 420703511 /nfs/dbraw/zinc/70/35/11/420703511.db2.gz LNFNQEKHNQUHBZ-SSDOTTSWSA-N -1 1 308.306 1.405 20 0 DDADMM C[C@H](CN(C)C(=O)c1cn(C)nc1-c1ccoc1)c1nn[n-]n1 ZINC000447542390 420780824 /nfs/dbraw/zinc/78/08/24/420780824.db2.gz OYAQGCYQNFYWMW-SECBINFHSA-N -1 1 315.337 1.069 20 0 DDADMM O=C(N=c1nc(-c2ccsc2)[n-]s1)NCCc1nc[nH]n1 ZINC000448001711 420832653 /nfs/dbraw/zinc/83/26/53/420832653.db2.gz RSJQUKLDPGQYKZ-UHFFFAOYSA-N -1 1 321.391 1.171 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCn2cccc2)o1 ZINC000487132050 421006184 /nfs/dbraw/zinc/00/61/84/421006184.db2.gz IFBZYQLCDJQQIY-UHFFFAOYSA-N -1 1 312.347 1.236 20 0 DDADMM Cc1cnc(C(=O)NC2(C(=O)N(C)C)CCCCC2)c([O-])c1 ZINC000456088140 421098012 /nfs/dbraw/zinc/09/80/12/421098012.db2.gz SYEUQIRQVULIQQ-UHFFFAOYSA-N -1 1 305.378 1.617 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@H](C2CC2)[C@@H]1C1CC1 ZINC000456296673 421138793 /nfs/dbraw/zinc/13/87/93/421138793.db2.gz PYVZAIGJNKLDMS-WBMJQRKESA-N -1 1 315.373 1.164 20 0 DDADMM O=C(C=Cc1cccc(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000492223564 421215641 /nfs/dbraw/zinc/21/56/41/421215641.db2.gz UZFYAQBNSCHBRL-SYTKJHMZSA-N -1 1 301.325 1.758 20 0 DDADMM O=C(NC1(Cc2ccccc2)CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000546830766 421312556 /nfs/dbraw/zinc/31/25/56/421312556.db2.gz CQJLBZDMIGEORQ-UHFFFAOYSA-N -1 1 323.356 1.313 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C2CC2)C2CCCC2)o1 ZINC000524702292 421265757 /nfs/dbraw/zinc/26/57/57/421265757.db2.gz WDDMSDDADHKBPQ-UHFFFAOYSA-N -1 1 312.391 1.735 20 0 DDADMM C[C@H](CN(C)C(=O)c1c[nH]nc1-c1ccccc1)c1nn[n-]n1 ZINC000547811138 421371261 /nfs/dbraw/zinc/37/12/61/421371261.db2.gz OYPZDXKWPAEWBR-SNVBAGLBSA-N -1 1 311.349 1.466 20 0 DDADMM CC(C)[C@@H]1C[C@H](CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)CCO1 ZINC000548139080 421405914 /nfs/dbraw/zinc/40/59/14/421405914.db2.gz UYZOIIRDMURDEB-UPJWGTAASA-N -1 1 309.414 1.603 20 0 DDADMM CS(=O)(=O)CC1(CN=c2nc(C(F)(F)F)[n-]s2)CC1 ZINC000548418290 421436084 /nfs/dbraw/zinc/43/60/84/421436084.db2.gz ICQFOLPECAGLKD-UHFFFAOYSA-N -1 1 315.342 1.216 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@H]1CC12CC2 ZINC000563007023 421437780 /nfs/dbraw/zinc/43/77/80/421437780.db2.gz OLIOXCVJYXDTGW-ZDUSSCGKSA-N -1 1 310.357 1.352 20 0 DDADMM CCc1cccc(OCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000548466234 421442329 /nfs/dbraw/zinc/44/23/29/421442329.db2.gz VFTNKEFSAVQZJL-LLVKDONJSA-N -1 1 303.366 1.403 20 0 DDADMM O=C(c1cnc2ccccc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000562654823 421392744 /nfs/dbraw/zinc/39/27/44/421392744.db2.gz VQBTXOCOPGOXKL-GFCCVEGCSA-N -1 1 308.345 1.768 20 0 DDADMM Cc1ccccc1-c1nc(=NCCS(=O)(=O)C2CC2)s[n-]1 ZINC000528034149 421466535 /nfs/dbraw/zinc/46/65/35/421466535.db2.gz GXCQMGWFDXRXKT-UHFFFAOYSA-N -1 1 323.443 1.925 20 0 DDADMM CCC(CC)[C@H](CNC(=O)CCC(=O)[O-])N1CCOCC1 ZINC000564451438 421587516 /nfs/dbraw/zinc/58/75/16/421587516.db2.gz MFOJSIZLVKCNEZ-ZDUSSCGKSA-N -1 1 300.399 1.104 20 0 DDADMM O=S1(=O)CCCC[C@H]1CN=c1nc(C(F)(F)F)[n-]s1 ZINC000553161351 421604130 /nfs/dbraw/zinc/60/41/30/421604130.db2.gz LASKFULZUUMPOE-LURJTMIESA-N -1 1 315.342 1.358 20 0 DDADMM O=C(c1cc2cccnc2s1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000570397580 421643916 /nfs/dbraw/zinc/64/39/16/421643916.db2.gz HHWVQBSQXBZBBS-JTQLQIEISA-N -1 1 314.374 1.829 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-][C@H]2CCC[C@@H](F)C2)s1 ZINC000518524566 421657129 /nfs/dbraw/zinc/65/71/29/421657129.db2.gz GKRXOUAVZQFAQU-BDAKNGLRSA-N -1 1 321.399 1.661 20 0 DDADMM O=C(CNc1ccccc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000570681292 421662947 /nfs/dbraw/zinc/66/29/47/421662947.db2.gz LUGJRSMVUDKQSK-NSHDSACASA-N -1 1 302.334 1.593 20 0 DDADMM O=C(NC[C@H](NCc1ccccc1)C(F)(F)F)c1cnn[nH]1 ZINC000519655075 421714406 /nfs/dbraw/zinc/71/44/06/421714406.db2.gz DPWBSFHBPWBQQG-NSHDSACASA-N -1 1 313.283 1.255 20 0 DDADMM COc1cc(C)cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1O ZINC000537123557 421727185 /nfs/dbraw/zinc/72/71/85/421727185.db2.gz XIGSYRHLUMFDGP-JTQLQIEISA-N -1 1 317.349 1.242 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@]1(C)C[C@H]1c1ccccc1 ZINC000555377481 421677322 /nfs/dbraw/zinc/67/73/22/421677322.db2.gz SEKQAHPJWUQIPM-WFASDCNBSA-N -1 1 321.402 1.868 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@]1(C)C[C@H]1c1ccccc1 ZINC000555377481 421677325 /nfs/dbraw/zinc/67/73/25/421677325.db2.gz SEKQAHPJWUQIPM-WFASDCNBSA-N -1 1 321.402 1.868 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)[O-])CN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000572474097 421792246 /nfs/dbraw/zinc/79/22/46/421792246.db2.gz MQRSWLLAWPFIPU-VHSXEESVSA-N -1 1 303.318 1.995 20 0 DDADMM O=C([O-])CSCC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000580861535 421852200 /nfs/dbraw/zinc/85/22/00/421852200.db2.gz GSECGESPJSEFJO-UHFFFAOYSA-N -1 1 324.337 1.045 20 0 DDADMM O=C([O-])CSCC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000580861535 421852203 /nfs/dbraw/zinc/85/22/03/421852203.db2.gz GSECGESPJSEFJO-UHFFFAOYSA-N -1 1 324.337 1.045 20 0 DDADMM CS(=O)(=O)CCCCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633484965 421930368 /nfs/dbraw/zinc/93/03/68/421930368.db2.gz SFCLUCROMDXEII-UHFFFAOYSA-N -1 1 319.810 1.529 20 0 DDADMM O=C([O-])c1coc(=NCCc2csc(N3CCCC3)n2)[nH]1 ZINC000581253641 421933489 /nfs/dbraw/zinc/93/34/89/421933489.db2.gz OATCANJMMVYFOM-UHFFFAOYSA-N -1 1 308.363 1.506 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(N2CCC2)nc1)c1nn[n-]n1 ZINC000581291239 421939845 /nfs/dbraw/zinc/93/98/45/421939845.db2.gz CIWUVTSNUGLLAT-NSHDSACASA-N -1 1 301.354 1.076 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NCCc1nc(C(=O)[O-])cs1 ZINC000630190086 421949649 /nfs/dbraw/zinc/94/96/49/421949649.db2.gz NMJNDBCQRJHBPS-MRVPVSSYSA-N -1 1 308.363 1.102 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CNc3ccccc3C2)sn1 ZINC000631948651 421966722 /nfs/dbraw/zinc/96/67/22/421966722.db2.gz LOQUMMDKMMOGOA-NSHDSACASA-N -1 1 309.416 1.767 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H](C)Cc2ccco2)CC1 ZINC000630219911 421970149 /nfs/dbraw/zinc/97/01/49/421970149.db2.gz KDTATDWCLGLCEN-QWHCGFSZSA-N -1 1 308.378 1.466 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2C[C@H]2C2CCCC2)CC1 ZINC000630221173 421970608 /nfs/dbraw/zinc/97/06/08/421970608.db2.gz VDOBLVBLMAGCSU-VHDGCEQUSA-N -1 1 308.422 1.820 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630223176 421972527 /nfs/dbraw/zinc/97/25/27/421972527.db2.gz IIKFLJWKUUGLEW-OLZOCXBDSA-N -1 1 300.399 1.055 20 0 DDADMM COc1ccccc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630221932 421972648 /nfs/dbraw/zinc/97/26/48/421972648.db2.gz GPFVSINTJPRVFA-UHFFFAOYSA-N -1 1 306.362 1.316 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)CCCOC(C)C)c1 ZINC000632019064 422026488 /nfs/dbraw/zinc/02/64/88/422026488.db2.gz OVNSLJHAAVGRRE-UHFFFAOYSA-N -1 1 317.407 1.836 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)c1ccc2c(c1)CCCO2 ZINC000633661821 422032590 /nfs/dbraw/zinc/03/25/90/422032590.db2.gz SIJDOMUASSLLLI-SNVBAGLBSA-N -1 1 301.350 1.335 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1[C@@H]2Cc3cc(Cl)ccc3[C@@H]21 ZINC000633669400 422036187 /nfs/dbraw/zinc/03/61/87/422036187.db2.gz HPFWDODPGSCICC-DDTOSNHZSA-N -1 1 303.753 1.240 20 0 DDADMM O=S(=O)([N-][C@H]1CCSC1)c1c[nH]nc1C(F)(F)F ZINC000632040526 422043468 /nfs/dbraw/zinc/04/34/68/422043468.db2.gz IZZMHNZHOUGSEB-YFKPBYRVSA-N -1 1 301.315 1.212 20 0 DDADMM CCc1cc(C)c(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)o1 ZINC000630225144 421975007 /nfs/dbraw/zinc/97/50/07/421975007.db2.gz GDKCWCDXCGWUMQ-UHFFFAOYSA-N -1 1 308.378 1.771 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC000630225450 421976072 /nfs/dbraw/zinc/97/60/72/421976072.db2.gz UEKFLBCQYFGEHK-NSHDSACASA-N -1 1 310.781 1.961 20 0 DDADMM CCCCO[C@H](C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630224105 421976428 /nfs/dbraw/zinc/97/64/28/421976428.db2.gz NXRKDTUGTPIMKA-CHWSQXEVSA-N -1 1 300.399 1.199 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccc(F)cc2)CC1 ZINC000630225454 421976492 /nfs/dbraw/zinc/97/64/92/421976492.db2.gz UGIJEIPZEABHPE-ZDUSSCGKSA-N -1 1 322.380 1.766 20 0 DDADMM CCc1oc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)cc1C ZINC000630228423 421978643 /nfs/dbraw/zinc/97/86/43/421978643.db2.gz ZQJMEHITZLTRIE-UHFFFAOYSA-N -1 1 308.378 1.771 20 0 DDADMM CSc1cccnc1[N-]C(=O)c1cn(C[C@H]2CCOC2)nn1 ZINC000628003880 421993333 /nfs/dbraw/zinc/99/33/33/421993333.db2.gz WYBHZZNCIANDNP-SNVBAGLBSA-N -1 1 319.390 1.684 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632011583 422019081 /nfs/dbraw/zinc/01/90/81/422019081.db2.gz ZOBUBEOJOZQNSH-YGRLFVJLSA-N -1 1 317.407 1.476 20 0 DDADMM CCc1cccc2c1OCC[C@@H]2NC(=O)CCCc1nn[n-]n1 ZINC000635471023 422021924 /nfs/dbraw/zinc/02/19/24/422021924.db2.gz DWPCXKIMYDACDM-ZDUSSCGKSA-N -1 1 315.377 1.725 20 0 DDADMM O=C(Nc1ccn(C2CCSCC2)n1)c1cncc([O-])c1 ZINC000633861302 422117304 /nfs/dbraw/zinc/11/73/04/422117304.db2.gz DYXMADUFSGRFJL-UHFFFAOYSA-N -1 1 304.375 1.726 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)[C@H]1CN(C)CCO1 ZINC000632123878 422104220 /nfs/dbraw/zinc/10/42/20/422104220.db2.gz LPHCEWHBEQUXDN-PSASIEDQSA-N -1 1 324.349 1.215 20 0 DDADMM COC[C@@](C)(NCc1ncc(Br)cc1F)C(=O)[O-] ZINC000630456278 422113538 /nfs/dbraw/zinc/11/35/38/422113538.db2.gz NXCLSJHMUWZJQC-LLVKDONJSA-N -1 1 321.146 1.563 20 0 DDADMM O=C(Cc1ccc(O)c(Cl)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000632054134 422052862 /nfs/dbraw/zinc/05/28/62/422052862.db2.gz MUPQZPQTHSKWJE-JTQLQIEISA-N -1 1 321.768 1.507 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](c1cccnc1)C(C)C ZINC000633691316 422053371 /nfs/dbraw/zinc/05/33/71/422053371.db2.gz MDKJYXUHSSLSNQ-LBPRGKRZSA-N -1 1 315.377 1.273 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C)ns1)C1CCOCC1 ZINC000632057647 422058493 /nfs/dbraw/zinc/05/84/93/422058493.db2.gz KRPRJRHZSHLNMM-NSHDSACASA-N -1 1 304.437 1.935 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCc2c(Cl)cccc2C1 ZINC000635592154 422146103 /nfs/dbraw/zinc/14/61/03/422146103.db2.gz KDTDCZOZEXXWJD-UHFFFAOYSA-N -1 1 305.769 1.761 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1ccc(OC(F)F)cc1 ZINC000630538298 422164593 /nfs/dbraw/zinc/16/45/93/422164593.db2.gz XTPLXNDDVPRNHC-UHFFFAOYSA-N -1 1 311.292 1.093 20 0 DDADMM C[C@@H]1CN(C(=O)CCCc2nn[n-]n2)[C@H](c2ccccc2)CO1 ZINC000635630281 422182289 /nfs/dbraw/zinc/18/22/89/422182289.db2.gz MFYOHYFNDIPLGN-OCCSQVGLSA-N -1 1 315.377 1.511 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCCC[C@H]2[C@@H](C)O)c1 ZINC000632147744 422120598 /nfs/dbraw/zinc/12/05/98/422120598.db2.gz ACKGHSZDWWKMHL-PWSUYJOCSA-N -1 1 315.391 1.325 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCSc2ccc(F)cc21 ZINC000630611065 422219896 /nfs/dbraw/zinc/21/98/96/422219896.db2.gz XIVAUGIRDAJFEK-SNVBAGLBSA-N -1 1 307.354 1.625 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCc2c(F)ccc(F)c2C1 ZINC000635673070 422225808 /nfs/dbraw/zinc/22/58/08/422225808.db2.gz QRGWDIMUNKNVGH-UHFFFAOYSA-N -1 1 307.304 1.386 20 0 DDADMM COc1cccc([C@H](N)C(=O)N2C[C@@H](C(=O)[O-])CC[C@@H]2C)c1 ZINC000630573654 422189675 /nfs/dbraw/zinc/18/96/75/422189675.db2.gz HHKHPLWXKFSNEP-JKOKRWQUSA-N -1 1 306.362 1.407 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@@H](CO)O1)c1ccc(C(F)F)o1 ZINC000632363203 422275957 /nfs/dbraw/zinc/27/59/57/422275957.db2.gz GFYXBNIWYFMHCJ-SFYZADRCSA-N -1 1 311.306 1.035 20 0 DDADMM COc1ncc(CN2CC[C@@H](OC)C[C@@H]2C(=O)[O-])cc1Cl ZINC000584243736 422293851 /nfs/dbraw/zinc/29/38/51/422293851.db2.gz WYRLPJRDDCPJSM-ZYHUDNBSSA-N -1 1 314.769 1.808 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCOc2c(Cl)cccc21 ZINC000630813476 422349909 /nfs/dbraw/zinc/34/99/09/422349909.db2.gz ICNLOGXEPWASFJ-JTQLQIEISA-N -1 1 307.741 1.426 20 0 DDADMM CC(C)CN(Cc1ccccc1F)C(=O)CCc1nn[n-]n1 ZINC000630850596 422372515 /nfs/dbraw/zinc/37/25/15/422372515.db2.gz SSWZCQVOXOCZLN-UHFFFAOYSA-N -1 1 305.357 1.956 20 0 DDADMM CO[C@@H](c1ccc(F)cc1)[C@@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635761149 422321113 /nfs/dbraw/zinc/32/11/13/422321113.db2.gz JSYWJWBABXZASO-MEBBXXQBSA-N -1 1 321.356 1.554 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1csc2ccccc12 ZINC000630768931 422326410 /nfs/dbraw/zinc/32/64/10/422326410.db2.gz LNBKOUWETBZEEG-UHFFFAOYSA-N -1 1 301.375 1.706 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)COC1 ZINC000632418786 422326752 /nfs/dbraw/zinc/32/67/52/422326752.db2.gz OYVQGBUVESYGKJ-UHFFFAOYSA-N -1 1 313.301 1.133 20 0 DDADMM CO[C@H]1C[C@H](C(=O)[O-])N(Cc2ccc(-n3ccnc3)cc2)C1 ZINC000577856734 422441833 /nfs/dbraw/zinc/44/18/33/422441833.db2.gz GVNRPIYSDKXBFF-LSDHHAIUSA-N -1 1 301.346 1.546 20 0 DDADMM CSc1ccc(CCNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635887905 422445587 /nfs/dbraw/zinc/44/55/87/422445587.db2.gz AMKYFULYHTUHPG-UHFFFAOYSA-N -1 1 305.407 1.603 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-]C[C@H](n1cccn1)C(F)(F)F ZINC000632589655 422458205 /nfs/dbraw/zinc/45/82/05/422458205.db2.gz USNJDDLKSMOOFI-VIFPVBQESA-N -1 1 324.284 1.261 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCn2c(cc3ccccc32)C1 ZINC000635949757 422502426 /nfs/dbraw/zinc/50/24/26/422502426.db2.gz BSLXSUGVCFYQPK-UHFFFAOYSA-N -1 1 310.361 1.520 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ccncc1 ZINC000632843329 422620315 /nfs/dbraw/zinc/62/03/15/422620315.db2.gz OCUAWOWPJDJTNJ-PWSUYJOCSA-N -1 1 302.334 1.680 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H]1CCC(=O)N1 ZINC000629380337 422638643 /nfs/dbraw/zinc/63/86/43/422638643.db2.gz LTTAFGDQFFRADQ-ZWNOBZJWSA-N -1 1 314.345 1.431 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1CC[C@H](C)[C@H](C(=O)[O-])C1 ZINC000629492017 422699054 /nfs/dbraw/zinc/69/90/54/422699054.db2.gz WWTKCMACAMBPHM-WDEREUQCSA-N -1 1 324.343 1.830 20 0 DDADMM Cc1ccsc1CNC(=O)CN1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC000629499732 422702496 /nfs/dbraw/zinc/70/24/96/422702496.db2.gz FGILTTPHAYZDIT-RYUDHWBXSA-N -1 1 310.419 1.715 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1C[C@H]1C1CCOCC1 ZINC000631353428 422707894 /nfs/dbraw/zinc/70/78/94/422707894.db2.gz HJNZHYRUDVKWFZ-NWDGAFQWSA-N -1 1 305.382 1.148 20 0 DDADMM O=C(CCc1nn[n-]n1)N1C[C@H](c2ccccc2)[C@H]2CCC[C@@H]21 ZINC000633016043 422712505 /nfs/dbraw/zinc/71/25/05/422712505.db2.gz CLYFNNJDPOFYAB-KFWWJZLASA-N -1 1 311.389 1.927 20 0 DDADMM O=C(N[C@@H](CO)CCF)c1ccc(Br)cc1[O-] ZINC000652913362 423211065 /nfs/dbraw/zinc/21/10/65/423211065.db2.gz ZSVHVQHBBGWXNQ-MRVPVSSYSA-N -1 1 306.131 1.605 20 0 DDADMM Cc1oc2ccccc2c1C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000186431493 263399906 /nfs/dbraw/zinc/39/99/06/263399906.db2.gz DBOHOHJQLOUQGA-UHFFFAOYSA-N -1 1 300.318 1.515 20 0 DDADMM CCCc1ccc(CCCC(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000648390934 423346050 /nfs/dbraw/zinc/34/60/50/423346050.db2.gz GUAKKWIMROHMLX-UHFFFAOYSA-N -1 1 315.377 1.818 20 0 DDADMM O=C(CCCc1ccccn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000646113935 423349260 /nfs/dbraw/zinc/34/92/60/423349260.db2.gz YENDWNCUUFGWMZ-UHFFFAOYSA-N -1 1 316.361 1.899 20 0 DDADMM O=c1[n-]c(CN2CCC[C@@H](O)[C@@H]2CCc2ccccc2)n[nH]1 ZINC000646073536 423324294 /nfs/dbraw/zinc/32/42/94/423324294.db2.gz BMZLOYHTDPUDQW-UONOGXRCSA-N -1 1 302.378 1.468 20 0 DDADMM Cc1cc(C(=O)N=c2ccc([O-])n[nH]2)ccc1N1CCOCC1 ZINC000648359621 423331898 /nfs/dbraw/zinc/33/18/98/423331898.db2.gz VRPXPQVHDSVNGZ-UHFFFAOYSA-N -1 1 314.345 1.001 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@H](C)[C@@H](C(=O)[O-])C2)n[nH]1 ZINC000646152839 423369218 /nfs/dbraw/zinc/36/92/18/423369218.db2.gz DBVFFVZLGBSWOQ-KWBADKCTSA-N -1 1 308.382 1.401 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])N1C(=O)CC1(N2CCOCC2)CCCC1 ZINC000653317912 423437767 /nfs/dbraw/zinc/43/77/67/423437767.db2.gz NZAWFAVRYMWUCE-ZIAGYGMSSA-N -1 1 324.421 1.486 20 0 DDADMM CCC[C@H](NC(=O)c1cc2cc[nH]c(=O)c2s1)c1nn[n-]n1 ZINC000648815473 423477817 /nfs/dbraw/zinc/47/78/17/423477817.db2.gz SCOGZMJRXJCYFS-QMMMGPOBSA-N -1 1 318.362 1.374 20 0 DDADMM CC(C)[C@H](CNC(=O)Nc1cnn(-c2ccncc2)c1)C(=O)[O-] ZINC000653540159 423519501 /nfs/dbraw/zinc/51/95/01/423519501.db2.gz ZOSZBWPUAQIOTB-ZDUSSCGKSA-N -1 1 317.349 1.746 20 0 DDADMM C[C@H](c1ccccc1)[C@@H](NC(=O)c1ccc([O-])c(F)c1)C(N)=O ZINC000646549673 423529578 /nfs/dbraw/zinc/52/95/78/423529578.db2.gz AWUHKXZIFPVTPC-MEBBXXQBSA-N -1 1 316.332 1.919 20 0 DDADMM C[C@@H]1C(=O)N(C)CN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000649158801 423654202 /nfs/dbraw/zinc/65/42/02/423654202.db2.gz AICGUOODQSWJIF-SSDOTTSWSA-N -1 1 302.252 1.671 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCO[C@@H]2CCCCO2)sn1 ZINC000641504991 423680636 /nfs/dbraw/zinc/68/06/36/423680636.db2.gz WAHRGNZFYHGWSJ-SNVBAGLBSA-N -1 1 306.409 1.273 20 0 DDADMM CC(C)(C)n1cc(CN2CCC[C@H](c3n[n-]c(=N)o3)C2)cn1 ZINC000639818907 423755458 /nfs/dbraw/zinc/75/54/58/423755458.db2.gz CXYCUEWPLNSZCO-LBPRGKRZSA-N -1 1 304.398 1.813 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]Cc1noc(C)n1)C1CCCCC1 ZINC000656919083 423875673 /nfs/dbraw/zinc/87/56/73/423875673.db2.gz PCXCWUAWIYWSKX-GFCCVEGCSA-N -1 1 317.411 1.393 20 0 DDADMM C[C@@H](NC(=O)c1csc(=NC2CC2)[n-]1)[C@H](O)C(F)(F)F ZINC000644755441 423855267 /nfs/dbraw/zinc/85/52/67/423855267.db2.gz WRYBEHIPURENRI-XRGYYRRGSA-N -1 1 309.313 1.181 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cccnc2OCC(F)F)CC1 ZINC000641685494 423858663 /nfs/dbraw/zinc/85/86/63/423858663.db2.gz IXDPDZPOPUEUOK-UHFFFAOYSA-N -1 1 322.333 1.646 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]Cc1nc(C)no1)C1CCCCC1 ZINC000656970159 423940439 /nfs/dbraw/zinc/94/04/39/423940439.db2.gz IVTMROXLZTTYNJ-LBPRGKRZSA-N -1 1 317.411 1.393 20 0 DDADMM Cc1cccc(C)c1OC[C@H](O)CN=c1[n-]c(C(N)=O)cs1 ZINC000644935279 424060517 /nfs/dbraw/zinc/06/05/17/424060517.db2.gz MREJPSSZOVEUGR-LLVKDONJSA-N -1 1 321.402 1.133 20 0 DDADMM CC1(C)C[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CO1 ZINC000657041869 424030029 /nfs/dbraw/zinc/03/00/29/424030029.db2.gz MQQMWYYEQNPNFP-ZETCQYMHSA-N -1 1 309.309 1.950 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCO[C@H]2CC2(F)F)sc1C ZINC000657118223 424129004 /nfs/dbraw/zinc/12/90/04/424129004.db2.gz RUJUQRCJTPJYRD-QMMMGPOBSA-N -1 1 312.363 1.462 20 0 DDADMM CO[C@](C)(CO)CNC(=O)c1cc(Br)ccc1[O-] ZINC000655209598 424296234 /nfs/dbraw/zinc/29/62/34/424296234.db2.gz RKJKEHJGGBIONH-LBPRGKRZSA-N -1 1 318.167 1.282 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2[nH]sc3ccccc32)CC[N@@H+]1CCO ZINC000640339004 424360003 /nfs/dbraw/zinc/36/00/03/424360003.db2.gz KIIUMSMPYHJULM-LLVKDONJSA-N -1 1 320.418 1.249 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@H]1NC(=O)N=c1[n-]sc2ccccc21 ZINC000640342882 424362909 /nfs/dbraw/zinc/36/29/09/424362909.db2.gz QRFZAJGQASWKEK-MWLCHTKSSA-N -1 1 318.402 1.754 20 0 DDADMM CC1(C)CNC(=O)[C@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000660013887 424460118 /nfs/dbraw/zinc/46/01/18/424460118.db2.gz RYBBTOWNXRCWOW-SNVBAGLBSA-N -1 1 320.773 1.282 20 0 DDADMM COc1ccc([C@@H]2CCCN2[C@@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662201393 424466091 /nfs/dbraw/zinc/46/60/91/424466091.db2.gz QZXCWITWRGSTAM-LSDHHAIUSA-N -1 1 318.373 1.518 20 0 DDADMM COc1ccc([C@H](C)N(C)[C@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662201690 424467202 /nfs/dbraw/zinc/46/72/02/424467202.db2.gz KNPIOGQCAOVUIA-FZMZJTMJSA-N -1 1 306.362 1.374 20 0 DDADMM CC[C@@H]1c2ccccc2CCN1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662205822 424473275 /nfs/dbraw/zinc/47/32/75/424473275.db2.gz MLVOKWOITNNMEQ-HUUCEWRRSA-N -1 1 302.374 1.681 20 0 DDADMM CC1(C)OCC[C@H]1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000664453050 424595138 /nfs/dbraw/zinc/59/51/38/424595138.db2.gz QIOYACSTTOERJF-JTQLQIEISA-N -1 1 301.346 1.468 20 0 DDADMM Oc1cccc(CNC[C@H]2COCCO2)c1Br ZINC000315719984 271015030 /nfs/dbraw/zinc/01/50/30/271015030.db2.gz NDJQDNTXISGANE-JTQLQIEISA-N -1 1 302.168 1.660 20 0 DDADMM CCc1c([N-]S(=O)(=O)CCOC)cnn1-c1ccccc1 ZINC000341548353 271115194 /nfs/dbraw/zinc/11/51/94/271115194.db2.gz AAGGRXWWWRLKAZ-UHFFFAOYSA-N -1 1 309.391 1.823 20 0 DDADMM CNC(=O)[C@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000345512205 272269448 /nfs/dbraw/zinc/26/94/48/272269448.db2.gz PNSCDMZRQMWJFE-ONGXEEELSA-N -1 1 318.345 1.158 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@H](C)S1(=O)=O ZINC000288636015 280387046 /nfs/dbraw/zinc/38/70/46/280387046.db2.gz KQRCTFWNKSYXIM-GXSJLCMTSA-N -1 1 315.366 1.569 20 0 DDADMM COc1cc(CN(C)C(=O)c2ccc3[nH]nnc3c2)ccc1O ZINC000119964381 281110664 /nfs/dbraw/zinc/11/06/64/281110664.db2.gz DISZKSCCPQMZPM-UHFFFAOYSA-N -1 1 312.329 1.944 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2C[C@H](C)C[C@H](C)C2)o1 ZINC000246134322 281954535 /nfs/dbraw/zinc/95/45/35/281954535.db2.gz CGZRGEPAAISIQO-URLYPYJESA-N -1 1 314.407 1.742 20 0 DDADMM CCC[C@H](NC(=O)c1cncc(C(F)(F)F)c1)c1nn[n-]n1 ZINC000362951462 300187259 /nfs/dbraw/zinc/18/72/59/300187259.db2.gz MSBQBWKKEGCTNT-VIFPVBQESA-N -1 1 314.271 1.885 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)[C@@]1(N(C)C)CCc2ccccc21 ZINC000364194484 300355809 /nfs/dbraw/zinc/35/58/09/300355809.db2.gz NXNZEVDHINXQGN-QGZVFWFLSA-N -1 1 304.390 1.713 20 0 DDADMM C[C@H]1CN(C(=O)c2c([O-])cnc3ccccc32)CC[S@](=O)C1 ZINC000365455542 300515991 /nfs/dbraw/zinc/51/59/91/300515991.db2.gz HMYFMKJSYLNGBI-SAHAZLINSA-N -1 1 318.398 1.781 20 0 DDADMM CC(C)CC1(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)CCCC1 ZINC000370329863 301317676 /nfs/dbraw/zinc/31/76/76/301317676.db2.gz LIIKGUBNWVEMBH-LBPRGKRZSA-N -1 1 307.398 1.706 20 0 DDADMM COCCCO[N-]C(=O)[C@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000376351324 302066283 /nfs/dbraw/zinc/06/62/83/302066283.db2.gz SMKJZDFRPUVJNC-OAHLLOKOSA-N -1 1 316.398 1.718 20 0 DDADMM COc1ccccc1N1CCC[C@H]([N@@H+](C)CCC(=O)[O-])C1=O ZINC000376794489 302104081 /nfs/dbraw/zinc/10/40/81/302104081.db2.gz OFKLSJWDGVDQDW-ZDUSSCGKSA-N -1 1 306.362 1.597 20 0 DDADMM COc1ccccc1N1CCC[C@H](N(C)CCC(=O)[O-])C1=O ZINC000376794489 302104083 /nfs/dbraw/zinc/10/40/83/302104083.db2.gz OFKLSJWDGVDQDW-ZDUSSCGKSA-N -1 1 306.362 1.597 20 0 DDADMM Cc1cc(F)ccc1[C@H](O)CN1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000377495516 302186052 /nfs/dbraw/zinc/18/60/52/302186052.db2.gz RROHWMOJZQXWSK-BXUZGUMPSA-N -1 1 320.368 1.871 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000356612784 306857797 /nfs/dbraw/zinc/85/77/97/306857797.db2.gz GEVIQWCWXRBEAJ-XQQFMLRXSA-N -1 1 303.362 1.164 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc([C@@H]3CC[C@@H](C)O3)no2)co1 ZINC000528244958 303012310 /nfs/dbraw/zinc/01/23/10/303012310.db2.gz UYLJNARBXHHGDJ-APPZFPTMSA-N -1 1 313.335 1.478 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC1CC=CC1 ZINC000529712219 303146900 /nfs/dbraw/zinc/14/69/00/303146900.db2.gz FSRFTPPZJXTTCM-UHFFFAOYSA-N -1 1 300.362 1.677 20 0 DDADMM COCc1n[n-]c(=NCc2n[nH]c(-c3ccc(F)cc3)n2)s1 ZINC000532166295 303278037 /nfs/dbraw/zinc/27/80/37/303278037.db2.gz VLOHFSKDOLVHKA-UHFFFAOYSA-N -1 1 320.353 1.643 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-][C@@H](CO)c1ccccc1C ZINC000532774562 303296109 /nfs/dbraw/zinc/29/61/09/303296109.db2.gz KVNJYCLFFSOXEF-ZDUSSCGKSA-N -1 1 310.375 1.612 20 0 DDADMM Cn1[n-]nnc1=NC[C@H]1CC[N@@H+](C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000534973886 303338724 /nfs/dbraw/zinc/33/87/24/303338724.db2.gz DCOGKORVGUXYDM-ZWNOBZJWSA-N -1 1 324.791 1.529 20 0 DDADMM CN1CC[C@H](CN=c2nn[n-]n2C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000534973886 303338726 /nfs/dbraw/zinc/33/87/26/303338726.db2.gz DCOGKORVGUXYDM-ZWNOBZJWSA-N -1 1 324.791 1.529 20 0 DDADMM NC(=O)C[C@H]1CCCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000535732599 303350383 /nfs/dbraw/zinc/35/03/83/303350383.db2.gz IKFCXDCEASLJNE-SNVBAGLBSA-N -1 1 308.407 1.009 20 0 DDADMM CC(=O)c1ccc(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000539327332 303394955 /nfs/dbraw/zinc/39/49/55/303394955.db2.gz XOGAPDPIVXZVHN-CQSZACIVSA-N -1 1 313.361 1.351 20 0 DDADMM CCOc1cc(C(=O)N(C)[C@H]2CCNC2=O)cc(Cl)c1[O-] ZINC000358011864 306926534 /nfs/dbraw/zinc/92/65/34/306926534.db2.gz SJRYTPKWZDVYLK-JTQLQIEISA-N -1 1 312.753 1.405 20 0 DDADMM Cc1cccc(CCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000363396159 307027253 /nfs/dbraw/zinc/02/72/53/307027253.db2.gz QGQJQQKPZLNWLM-ZDUSSCGKSA-N -1 1 301.350 1.041 20 0 DDADMM CN(C)[C@@]1(C(=O)[N-]OC[C@H]2CCOC2)CCc2ccccc21 ZINC000365079231 307058008 /nfs/dbraw/zinc/05/80/08/307058008.db2.gz UOQINCFHKOKOCX-GUYCJALGSA-N -1 1 304.390 1.474 20 0 DDADMM CC(C)[C@@]1(CO)CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000366472099 307074693 /nfs/dbraw/zinc/07/46/93/307074693.db2.gz YZUZAENQNHMRRS-HNNXBMFYSA-N -1 1 320.418 1.499 20 0 DDADMM Cc1ccc(CCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000370861783 307138487 /nfs/dbraw/zinc/13/84/87/307138487.db2.gz OYAPHCLURIOHDJ-CYBMUJFWSA-N -1 1 301.350 1.041 20 0 DDADMM CC(C)(C)SCCCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000372227246 307165540 /nfs/dbraw/zinc/16/55/40/307165540.db2.gz CKAINNJKBZYDPZ-SNVBAGLBSA-N -1 1 313.427 1.412 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cccc3cccnc32)[n-]n1C ZINC000548149848 307759278 /nfs/dbraw/zinc/75/92/78/307759278.db2.gz XRGZKBCPARLHHJ-UHFFFAOYSA-N -1 1 318.358 1.200 20 0 DDADMM COc1cc2c(cc1/C=C/c1cc(=O)n3[n-]cnc3n1)O[C@H](C)C2 ZINC000558451646 307891761 /nfs/dbraw/zinc/89/17/61/307891761.db2.gz ZZNJSJLAHSEFNU-HMDXOVGESA-N -1 1 324.340 1.920 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1cc(C(=O)[O-])ccc1C ZINC000566694257 308060105 /nfs/dbraw/zinc/06/01/05/308060105.db2.gz XLHITFCPFWXFEB-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(C(=O)[O-])n(-c3ccccc3)n2)CCN1 ZINC000566859578 308065819 /nfs/dbraw/zinc/06/58/19/308065819.db2.gz ZHFSFHMMYSXOFA-LLVKDONJSA-N -1 1 314.345 1.004 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@@H]1c1ccsc1 ZINC000566944182 308066739 /nfs/dbraw/zinc/06/67/39/308066739.db2.gz AZHLKQMFIWOPLP-LLVKDONJSA-N -1 1 315.358 1.456 20 0 DDADMM CCNC(=O)NC(=O)[C@H](C)Sc1nc(CC)c(C)c(=O)[n-]1 ZINC000568533839 308122554 /nfs/dbraw/zinc/12/25/54/308122554.db2.gz XXOBJVAYICNRHM-QMMMGPOBSA-N -1 1 312.395 1.379 20 0 DDADMM Cc1cnc(S[C@@H]2CCN(c3cnn(C)c3)C2=O)[n-]c1=O ZINC000572180432 308214557 /nfs/dbraw/zinc/21/45/57/308214557.db2.gz XVINPDCYPGHYPP-SNVBAGLBSA-N -1 1 305.363 1.122 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)C(=O)OCC ZINC000573603589 308246884 /nfs/dbraw/zinc/24/68/84/308246884.db2.gz HJOVHAARAPIYJV-NMTKSXOJSA-N -1 1 319.423 1.229 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(C(C)(C)C)c1)C1CC1 ZINC000574039672 308259986 /nfs/dbraw/zinc/25/99/86/308259986.db2.gz NZMHJDOEIGWPGD-LBPRGKRZSA-N -1 1 301.412 1.805 20 0 DDADMM CC(C)(CO)[C@@H](NC(=O)c1csc(=NC2CC2)[n-]1)C1CC1 ZINC000576958259 308352742 /nfs/dbraw/zinc/35/27/42/308352742.db2.gz XQUGJINWXSKGTA-LBPRGKRZSA-N -1 1 309.435 1.666 20 0 DDADMM CNC(=O)CCCC(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000577756285 308412844 /nfs/dbraw/zinc/41/28/44/308412844.db2.gz XPXKRYOCMWDDEZ-UHFFFAOYSA-N -1 1 322.315 1.632 20 0 DDADMM C[C@H](CNC(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1)C(=O)[O-] ZINC000655883722 483975930 /nfs/dbraw/zinc/97/59/30/483975930.db2.gz FVQCUHGFYAYPOQ-KOLCDFICSA-N -1 1 321.381 1.292 20 0 DDADMM Cn1cccc1S(=O)(=O)[N-]c1ccc(O[C@H]2CCOC2)cc1 ZINC000414373508 484059274 /nfs/dbraw/zinc/05/92/74/484059274.db2.gz MKJGBRQSCRLCSV-AWEZNQCLSA-N -1 1 322.386 1.994 20 0 DDADMM O=C(C(=O)N1CC[C@H](Oc2ccncc2)C1)c1ccc([O-])cc1 ZINC000436944034 484129361 /nfs/dbraw/zinc/12/93/61/484129361.db2.gz IPMGFZYFCVHNRF-HNNXBMFYSA-N -1 1 312.325 1.650 20 0 DDADMM CO[C@@H]1CC[C@H]2OCCN(C(=O)C(=O)c3ccc([O-])cc3)[C@@H]2C1 ZINC000436990371 484132893 /nfs/dbraw/zinc/13/28/93/484132893.db2.gz RBJLHSJIANNBOM-RBSFLKMASA-N -1 1 319.357 1.370 20 0 DDADMM CCC1CCN(S(=O)(=O)[N-]c2ccn(CC(F)F)n2)CC1 ZINC000656623481 484248245 /nfs/dbraw/zinc/24/82/45/484248245.db2.gz DQKOQQMFEFLZQK-UHFFFAOYSA-N -1 1 322.381 1.927 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1c(C)n[nH]c1C)C1CCCC1 ZINC000656732284 484306879 /nfs/dbraw/zinc/30/68/79/484306879.db2.gz VBYMTBKVBOJBSC-GFCCVEGCSA-N -1 1 301.412 1.973 20 0 DDADMM Cc1nc(-c2ccc(C(=O)NCc3nn[n-]n3)cc2)cs1 ZINC000669603768 484582920 /nfs/dbraw/zinc/58/29/20/484582920.db2.gz UVTZIYWVVUWABO-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM CCc1nc(C2CCN(C(=O)[C@]3(C(=O)[O-])CC3(C)C)CC2)n[nH]1 ZINC000663050912 484629261 /nfs/dbraw/zinc/62/92/61/484629261.db2.gz WNNWXFJXFOJYDR-INIZCTEOSA-N -1 1 320.393 1.574 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC000665490446 484885514 /nfs/dbraw/zinc/88/55/14/484885514.db2.gz BTGBFQSBMOARGY-VIFPVBQESA-N -1 1 304.354 1.206 20 0 DDADMM CCC[C@H](O)[C@@H](CO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000666044396 485060184 /nfs/dbraw/zinc/06/01/84/485060184.db2.gz NXRCFOPQQMSLKI-MNOVXSKESA-N -1 1 321.295 1.663 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1cc(F)cc2nc[nH]c21)C1CCOCC1 ZINC000668307970 485095226 /nfs/dbraw/zinc/09/52/26/485095226.db2.gz QLYOVCNTPZVBRT-LBPRGKRZSA-N -1 1 321.308 1.312 20 0 DDADMM Cc1cc([N-]S(=O)(=O)N2CCC2)nn1-c1ccc(C)cc1 ZINC000671660972 485160636 /nfs/dbraw/zinc/16/06/36/485160636.db2.gz YTSKYZLFRWAMDO-UHFFFAOYSA-N -1 1 306.391 1.852 20 0 DDADMM O=C(Nc1nn[n-]n1)c1c(C(F)(F)F)nc2n1CCCC2 ZINC000668868056 485345065 /nfs/dbraw/zinc/34/50/65/485345065.db2.gz XWBUPJDHGZSGHQ-UHFFFAOYSA-N -1 1 301.232 1.004 20 0 DDADMM CC(C)c1scnc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000685795081 485461044 /nfs/dbraw/zinc/46/10/44/485461044.db2.gz CMSPTKGDLQXKDF-SECBINFHSA-N -1 1 306.395 1.799 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccc(C2CC2)cn1 ZINC000674842671 485776863 /nfs/dbraw/zinc/77/68/63/485776863.db2.gz QIYGOEFMPBJACL-HNNXBMFYSA-N -1 1 320.356 1.992 20 0 DDADMM COc1ccc(Cl)c([N-]S(=O)(=O)c2cnnn2C)c1 ZINC000682832870 485792814 /nfs/dbraw/zinc/79/28/14/485792814.db2.gz MRSSCWUHMKROEO-UHFFFAOYSA-N -1 1 302.743 1.278 20 0 DDADMM COCCC[C@H](C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000679267322 485827936 /nfs/dbraw/zinc/82/79/36/485827936.db2.gz AOMJPVIBRFWSMM-WCQYABFASA-N -1 1 303.366 1.468 20 0 DDADMM CC[C@@H]1CC[C@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000675037306 485845320 /nfs/dbraw/zinc/84/53/20/485845320.db2.gz PODWHYPGZGLDDP-JHJVBQTASA-N -1 1 301.350 1.363 20 0 DDADMM COCCN(CC(=O)[O-])C(=O)[C@@H](C)N(C)Cc1ccccc1 ZINC000676207833 486142996 /nfs/dbraw/zinc/14/29/96/486142996.db2.gz UEXIUVPLIUYEKW-CYBMUJFWSA-N -1 1 308.378 1.067 20 0 DDADMM CCN(CC)[C@H](C(=O)N(CCOC)CC(=O)[O-])c1ccccc1 ZINC000676209378 486144406 /nfs/dbraw/zinc/14/44/06/486144406.db2.gz YNPXLIJCNDFCHR-INIZCTEOSA-N -1 1 322.405 1.629 20 0 DDADMM Cc1c(Br)ccc(C(=O)NCc2nn[n-]n2)c1F ZINC000684048714 486226907 /nfs/dbraw/zinc/22/69/07/486226907.db2.gz BPTNHDFUICMNPM-UHFFFAOYSA-N -1 1 314.118 1.340 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)N[C@@H]2CCN(CC3CC3)C2)c1 ZINC000680654190 486255516 /nfs/dbraw/zinc/25/55/16/486255516.db2.gz HRERCFLMDXUHBH-CYBMUJFWSA-N -1 1 324.402 1.147 20 0 DDADMM CC(C)(O)CC(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000684290473 486315437 /nfs/dbraw/zinc/31/54/37/486315437.db2.gz LYCUVWGKIKXUKO-UHFFFAOYSA-N -1 1 305.374 1.975 20 0 DDADMM CO[C@@H]1CC[C@H]2OCCN(C(=O)c3ccc([O-])c(F)c3)[C@H]2C1 ZINC000681059383 486334534 /nfs/dbraw/zinc/33/45/34/486334534.db2.gz PIIYTVOHYSFXOC-OSAQELSMSA-N -1 1 309.337 1.940 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1Cc2ccc(F)cc21 ZINC000684567333 486385013 /nfs/dbraw/zinc/38/50/13/486385013.db2.gz MOKQSPJUEABSFP-GJZGRUSLSA-N -1 1 323.331 1.884 20 0 DDADMM CC(=O)NCCCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684870948 486449963 /nfs/dbraw/zinc/44/99/63/486449963.db2.gz TVASEHRBWDCEAD-UHFFFAOYSA-N -1 1 304.268 1.667 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2c[nH]cc(Br)c2=O)c1[O-] ZINC000677710953 486528335 /nfs/dbraw/zinc/52/83/35/486528335.db2.gz AYRNQOZMRJIZLZ-UHFFFAOYSA-N -1 1 313.111 1.127 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cnc2ncc(Br)cc2c1 ZINC000681999481 486617553 /nfs/dbraw/zinc/61/75/53/486617553.db2.gz PKQKUCWLDVOEHR-UHFFFAOYSA-N -1 1 320.110 1.158 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnc2ncc(Br)cc2c1 ZINC000681999481 486617556 /nfs/dbraw/zinc/61/75/56/486617556.db2.gz PKQKUCWLDVOEHR-UHFFFAOYSA-N -1 1 320.110 1.158 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]CCC(F)(F)F)oc1C ZINC000431830525 533912325 /nfs/dbraw/zinc/91/23/25/533912325.db2.gz BGUOMJCGCUKXHL-UHFFFAOYSA-N -1 1 315.269 1.605 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(Cl)cc(F)cc1Cl)C(N)=O ZINC000435424202 533964931 /nfs/dbraw/zinc/96/49/31/533964931.db2.gz YTNQAVKJVVEISF-BYPYZUCNSA-N -1 1 315.153 1.285 20 0 DDADMM C[C@H](N=c1ccc(N(C)Cc2nnnn2C)n[n-]1)c1ccccc1 ZINC000425236279 534189070 /nfs/dbraw/zinc/18/90/70/534189070.db2.gz JLBAZMVXYURPTB-LBPRGKRZSA-N -1 1 324.392 1.232 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CC[C@H]2CCC(=O)N2)c1 ZINC000451465059 534231099 /nfs/dbraw/zinc/23/10/99/534231099.db2.gz ZLDZKORHIVXFGK-SNVBAGLBSA-N -1 1 306.318 1.176 20 0 DDADMM COC(=O)c1cnc([C@H](C)NC(=O)c2ncc(C)cc2[O-])s1 ZINC000424975591 534309606 /nfs/dbraw/zinc/30/96/06/534309606.db2.gz HRVFJYRITAGTMU-QMMMGPOBSA-N -1 1 321.358 1.830 20 0 DDADMM CC(C)C1(CNC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CC1 ZINC000495028543 527605234 /nfs/dbraw/zinc/60/52/34/527605234.db2.gz UYJNGBWCADEHTQ-UHFFFAOYSA-N -1 1 324.454 1.218 20 0 DDADMM CC(C)OC(=O)[C@H](C)[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC000452024688 527863989 /nfs/dbraw/zinc/86/39/89/527863989.db2.gz WATXQGMWPXOWRF-VIFPVBQESA-N -1 1 321.345 1.724 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)OCc1ccc(F)cc1)c1nn[n-]n1 ZINC000294781606 528195177 /nfs/dbraw/zinc/19/51/77/528195177.db2.gz ACRDWFPISRYWHL-MFKMUULPSA-N -1 1 321.356 1.902 20 0 DDADMM CCOC1(C)CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000494359363 528448295 /nfs/dbraw/zinc/44/82/95/528448295.db2.gz ZFQBMOZNFONHPT-UHFFFAOYSA-N -1 1 315.373 1.954 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccccc1OC)c1nn[n-]n1 ZINC000413596688 528987743 /nfs/dbraw/zinc/98/77/43/528987743.db2.gz VJTRZQUZZPXCLN-YNEHKIRRSA-N -1 1 315.377 1.969 20 0 DDADMM CCC[C@@H](O)[C@@H](CO)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000414026250 529051811 /nfs/dbraw/zinc/05/18/11/529051811.db2.gz WFUMPCRGZNVQRO-GHMZBOCLSA-N -1 1 317.769 1.306 20 0 DDADMM O=C(NCc1ccc(Cl)cc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738102737 598978939 /nfs/dbraw/zinc/97/89/39/598978939.db2.gz BHRDGLVTLSULFW-UHFFFAOYSA-N -1 1 314.736 1.845 20 0 DDADMM O=C(NCc1ccc(Cl)cc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738102737 598978941 /nfs/dbraw/zinc/97/89/41/598978941.db2.gz BHRDGLVTLSULFW-UHFFFAOYSA-N -1 1 314.736 1.845 20 0 DDADMM O=C(Nc1ccc2nccnc2c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738178477 598994746 /nfs/dbraw/zinc/99/47/46/598994746.db2.gz SQKMQPLUGSJQSN-UHFFFAOYSA-N -1 1 318.300 1.457 20 0 DDADMM O=C(Nc1ccc2nccnc2c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738178477 598994747 /nfs/dbraw/zinc/99/47/47/598994747.db2.gz SQKMQPLUGSJQSN-UHFFFAOYSA-N -1 1 318.300 1.457 20 0 DDADMM O=C(N[C@H]1CCc2cc(F)ccc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738034576 598997663 /nfs/dbraw/zinc/99/76/63/598997663.db2.gz ICAWXHPPTFIOJK-ZDUSSCGKSA-N -1 1 324.319 1.818 20 0 DDADMM O=C(N[C@H]1CCc2cc(F)ccc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738034576 598997664 /nfs/dbraw/zinc/99/76/64/598997664.db2.gz ICAWXHPPTFIOJK-ZDUSSCGKSA-N -1 1 324.319 1.818 20 0 DDADMM CC(C)COCCCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735838495 599019135 /nfs/dbraw/zinc/01/91/35/599019135.db2.gz RLPQFOIEODGUIU-UHFFFAOYSA-N -1 1 304.354 1.054 20 0 DDADMM CC(C)COCCCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735838495 599019136 /nfs/dbraw/zinc/01/91/36/599019136.db2.gz RLPQFOIEODGUIU-UHFFFAOYSA-N -1 1 304.354 1.054 20 0 DDADMM O=C(Nc1ccc2[nH]ccc2c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738173972 599021372 /nfs/dbraw/zinc/02/13/72/599021372.db2.gz HPLCKJYZIZYSID-UHFFFAOYSA-N -1 1 305.301 1.995 20 0 DDADMM O=C(Nc1ccc2[nH]ccc2c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738173972 599021374 /nfs/dbraw/zinc/02/13/74/599021374.db2.gz HPLCKJYZIZYSID-UHFFFAOYSA-N -1 1 305.301 1.995 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CN2C(=O)CC3(CCCC3)C2=O)C1 ZINC000819379866 597045528 /nfs/dbraw/zinc/04/55/28/597045528.db2.gz DPZMXDAXLNTWCP-LBPRGKRZSA-N -1 1 308.378 1.450 20 0 DDADMM N#Cc1cccc(NC(=O)CN2CCC[C@H](CC(=O)[O-])C2)c1 ZINC000386048322 597080990 /nfs/dbraw/zinc/08/09/90/597080990.db2.gz ZNSKDIAMMYOPSL-CYBMUJFWSA-N -1 1 301.346 1.683 20 0 DDADMM O=C([O-])C[C@H]1CCCN([C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000819375562 597087645 /nfs/dbraw/zinc/08/76/45/597087645.db2.gz QCBPPRFIHVIKLU-NXEZZACHSA-N -1 1 308.300 1.336 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](CN2CCO[C@H](C(=O)[O-])C2)C1 ZINC000736277433 597400019 /nfs/dbraw/zinc/40/00/19/597400019.db2.gz XKKXROIGOYNASL-NEPJUHHUSA-N -1 1 314.382 1.029 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CC[C@H](C(=O)[O-])C1)c1cccc(F)c1 ZINC000818375170 597505826 /nfs/dbraw/zinc/50/58/26/597505826.db2.gz WNDASJIZZSHIRT-JSGCOSHPSA-N -1 1 323.368 1.545 20 0 DDADMM CC(C)(C)NS(=O)(=O)CCNCc1cccc(C(=O)[O-])c1 ZINC000819908495 598203162 /nfs/dbraw/zinc/20/31/62/598203162.db2.gz GTXSIBPHSKSGPH-UHFFFAOYSA-N -1 1 314.407 1.192 20 0 DDADMM C[C@H](N(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)(C)C ZINC000736095234 598263670 /nfs/dbraw/zinc/26/36/70/598263670.db2.gz CXXLURZJUHCVGB-VIFPVBQESA-N -1 1 324.410 1.317 20 0 DDADMM C[C@H](N(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)(C)C ZINC000736095234 598263672 /nfs/dbraw/zinc/26/36/72/598263672.db2.gz CXXLURZJUHCVGB-VIFPVBQESA-N -1 1 324.410 1.317 20 0 DDADMM C[C@H]1Oc2ccc(Nc3nccnc3-c3nnn[n-]3)cc2NC1=O ZINC000736301513 598338377 /nfs/dbraw/zinc/33/83/77/598338377.db2.gz NYWAVEIIJNJSGX-SSDOTTSWSA-N -1 1 324.304 1.120 20 0 DDADMM C[C@H]1Oc2ccc(Nc3nccnc3-c3nn[n-]n3)cc2NC1=O ZINC000736301513 598338379 /nfs/dbraw/zinc/33/83/79/598338379.db2.gz NYWAVEIIJNJSGX-SSDOTTSWSA-N -1 1 324.304 1.120 20 0 DDADMM c1ccc2nc(CNc3nccnc3-c3nnn[n-]3)ccc2c1 ZINC000738443094 598349427 /nfs/dbraw/zinc/34/94/27/598349427.db2.gz VMEIHMLCVKGCCM-UHFFFAOYSA-N -1 1 304.317 1.817 20 0 DDADMM c1ccc2nc(CNc3nccnc3-c3nn[n-]n3)ccc2c1 ZINC000738443094 598349428 /nfs/dbraw/zinc/34/94/28/598349428.db2.gz VMEIHMLCVKGCCM-UHFFFAOYSA-N -1 1 304.317 1.817 20 0 DDADMM CCc1nn([C@@H]2C=CCCC2)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736706862 598642499 /nfs/dbraw/zinc/64/24/99/598642499.db2.gz ZBLRSJCJGPEZNC-SNVBAGLBSA-N -1 1 300.366 1.829 20 0 DDADMM Cc1ccc(C)c(OCCn2cccc(-c3nn[n-]n3)c2=O)c1 ZINC000737326461 598676988 /nfs/dbraw/zinc/67/69/88/598676988.db2.gz OEAUOHOQPSFVFZ-UHFFFAOYSA-N -1 1 311.345 1.724 20 0 DDADMM CCCC[C@@H](COC)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736437249 599378059 /nfs/dbraw/zinc/37/80/59/599378059.db2.gz IBUSIPXXINYIDP-NSHDSACASA-N -1 1 304.354 1.197 20 0 DDADMM CCCC[C@@H](COC)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736437249 599378061 /nfs/dbraw/zinc/37/80/61/599378061.db2.gz IBUSIPXXINYIDP-NSHDSACASA-N -1 1 304.354 1.197 20 0 DDADMM O[C@H](CNc1cccc(-c2nnn[n-]2)n1)c1ccc(F)cc1 ZINC000738380752 599408226 /nfs/dbraw/zinc/40/82/26/599408226.db2.gz CKQPNPQJIOSYOB-GFCCVEGCSA-N -1 1 300.297 1.546 20 0 DDADMM O[C@H](CNc1cccc(-c2nn[n-]n2)n1)c1ccc(F)cc1 ZINC000738380752 599408229 /nfs/dbraw/zinc/40/82/29/599408229.db2.gz CKQPNPQJIOSYOB-GFCCVEGCSA-N -1 1 300.297 1.546 20 0 DDADMM CN(CC(=O)NCc1ccccc1)c1cccc(-c2nnn[n-]2)n1 ZINC000736782503 599532392 /nfs/dbraw/zinc/53/23/92/599532392.db2.gz BIJQEKVOOLNELW-UHFFFAOYSA-N -1 1 323.360 1.014 20 0 DDADMM CN(CC(=O)NCc1ccccc1)c1cccc(-c2nn[n-]n2)n1 ZINC000736782503 599532394 /nfs/dbraw/zinc/53/23/94/599532394.db2.gz BIJQEKVOOLNELW-UHFFFAOYSA-N -1 1 323.360 1.014 20 0 DDADMM Cc1cc([C@@](C)(O)CNc2cccc(-c3nnn[n-]3)n2)c(C)o1 ZINC000822192010 599577167 /nfs/dbraw/zinc/57/71/67/599577167.db2.gz WSHGNYLQXHMZKQ-HNNXBMFYSA-N -1 1 314.349 1.791 20 0 DDADMM Cc1cc([C@@](C)(O)CNc2cccc(-c3nn[n-]n3)n2)c(C)o1 ZINC000822192010 599577170 /nfs/dbraw/zinc/57/71/70/599577170.db2.gz WSHGNYLQXHMZKQ-HNNXBMFYSA-N -1 1 314.349 1.791 20 0 DDADMM CCc1noc([C@@H](C)OC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000821553951 599661520 /nfs/dbraw/zinc/66/15/20/599661520.db2.gz MVPPDWQMKOQJMX-SSDOTTSWSA-N -1 1 315.293 1.125 20 0 DDADMM CCc1noc([C@@H](C)OC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000821553951 599661521 /nfs/dbraw/zinc/66/15/21/599661521.db2.gz MVPPDWQMKOQJMX-SSDOTTSWSA-N -1 1 315.293 1.125 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN(C)C[C@H]1CCCOC1 ZINC000738534034 599764002 /nfs/dbraw/zinc/76/40/02/599764002.db2.gz XXHFFIHCZDQACR-CYBMUJFWSA-N -1 1 320.389 1.990 20 0 DDADMM O=C([O-])c1cccc(C(=O)NCc2n[nH]c(-c3ccccc3)n2)n1 ZINC000740215579 599929886 /nfs/dbraw/zinc/92/98/86/599929886.db2.gz ZYWJAENAJVMXEU-UHFFFAOYSA-N -1 1 323.312 1.495 20 0 DDADMM O=C([O-])c1cccc(C(=O)NCc2nc(-c3ccccc3)n[nH]2)n1 ZINC000740215579 599929889 /nfs/dbraw/zinc/92/98/89/599929889.db2.gz ZYWJAENAJVMXEU-UHFFFAOYSA-N -1 1 323.312 1.495 20 0 DDADMM C[C@H](CN(Cn1cc(Br)cn1)C1CC1)C(=O)[O-] ZINC000736582886 599931775 /nfs/dbraw/zinc/93/17/75/599931775.db2.gz PCBXZIOKOOJWLB-MRVPVSSYSA-N -1 1 302.172 1.788 20 0 DDADMM CCOCCN1CCN(Cc2cc(C)c(C(=O)[O-])o2)C[C@@H]1C ZINC000737177426 599961317 /nfs/dbraw/zinc/96/13/17/599961317.db2.gz NRXAVOOTLPLTPW-ZDUSSCGKSA-N -1 1 310.394 1.829 20 0 DDADMM CCOCCN1CCN(Cc2ccc(F)cc2C(=O)[O-])CC1 ZINC000737178027 599961434 /nfs/dbraw/zinc/96/14/34/599961434.db2.gz ZCBDCZXRRQJRRN-UHFFFAOYSA-N -1 1 310.369 1.678 20 0 DDADMM CN1CCC[C@@H]1C(=O)NC[C@H](Cc1cccc(F)c1)C(=O)[O-] ZINC000737518649 599984580 /nfs/dbraw/zinc/98/45/80/599984580.db2.gz FJZMGWJLPHIXHB-GXTWGEPZSA-N -1 1 308.353 1.279 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCC(Cc2ccccc2)CC1 ZINC000739735513 600004533 /nfs/dbraw/zinc/00/45/33/600004533.db2.gz XNVDTRQLCCFHQE-UHFFFAOYSA-N -1 1 304.390 1.532 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000737360686 600101320 /nfs/dbraw/zinc/10/13/20/600101320.db2.gz ZTTQTBLOHVKDCM-CABCVRRESA-N -1 1 304.390 1.483 20 0 DDADMM CCC[C@](C)(NCC(=O)NC(=O)NC1CCCCC1)C(=O)[O-] ZINC000314257089 600154909 /nfs/dbraw/zinc/15/49/09/600154909.db2.gz GZINSMLYYLOKIM-HNNXBMFYSA-N -1 1 313.398 1.378 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)CN1CCC(n2ccnc2)CC1)C(=O)[O-] ZINC000736877927 600317880 /nfs/dbraw/zinc/31/78/80/600317880.db2.gz KWIHECULCCDOIG-DOMZBBRYSA-N -1 1 322.409 1.136 20 0 DDADMM COC(=O)c1ccccc1NC(=O)CN(CCC(=O)[O-])C(C)C ZINC000737710671 600446656 /nfs/dbraw/zinc/44/66/56/600446656.db2.gz AJYPKXHWZQLDBK-UHFFFAOYSA-N -1 1 322.361 1.597 20 0 DDADMM COC[C@@H]1CCCN(CC(=O)Nc2ccccc2C(=O)[O-])C1 ZINC000737755115 600614179 /nfs/dbraw/zinc/61/41/79/600614179.db2.gz WAEDBUHWZZSKEX-GFCCVEGCSA-N -1 1 306.362 1.682 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](Cc2ccc(O)cc2)C(=O)[O-])c1C ZINC000738249126 600617403 /nfs/dbraw/zinc/61/74/03/600617403.db2.gz POKUHGHCKQZUDH-LBPRGKRZSA-N -1 1 317.345 1.405 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN(CCOC)CCC(=O)[O-] ZINC000736942688 600640151 /nfs/dbraw/zinc/64/01/51/600640151.db2.gz XGUMEQGJDPYBDW-CYBMUJFWSA-N -1 1 300.399 1.201 20 0 DDADMM O=C([O-])[C@H]1CCCN(CN2C[C@@H](c3ccccn3)CC2=O)C1 ZINC000738537282 600715180 /nfs/dbraw/zinc/71/51/80/600715180.db2.gz DTBRGOVOKGMWRO-STQMWFEESA-N -1 1 303.362 1.152 20 0 DDADMM C[C@@H]1C(=O)N(CN2CCC[C@H](C(=O)[O-])C2)[C@@H]1c1ccccc1 ZINC000828144574 600716752 /nfs/dbraw/zinc/71/67/52/600716752.db2.gz YIFCGNLGDWMJGA-QEJZJMRPSA-N -1 1 302.374 1.960 20 0 DDADMM COCCN(CCC(=O)[O-])C(=O)c1ccc(O)c(Cl)c1 ZINC000831730050 600765893 /nfs/dbraw/zinc/76/58/93/600765893.db2.gz RBXZOXSEJJGNNC-UHFFFAOYSA-N -1 1 301.726 1.609 20 0 DDADMM O=C([O-])CCN(CCO)Cc1cnn(Cc2ccccc2)c1 ZINC000262702528 600835083 /nfs/dbraw/zinc/83/50/83/600835083.db2.gz AYODMHGTNYRFSA-UHFFFAOYSA-N -1 1 303.362 1.200 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)CN(C)CCc1cccs1)C(=O)[O-] ZINC000828707633 600847530 /nfs/dbraw/zinc/84/75/30/600847530.db2.gz HLVRBVJJBCESHR-RISCZKNCSA-N -1 1 312.435 1.838 20 0 DDADMM CC[C@H]1CCCCN1C(=O)CN1CCSC[C@H]1CC(=O)[O-] ZINC000251582029 601012607 /nfs/dbraw/zinc/01/26/07/601012607.db2.gz CITQYIDKUQPJJR-QWHCGFSZSA-N -1 1 314.451 1.670 20 0 DDADMM CN(Cc1nccn1C(F)F)Cc1ccnc(-c2nnn[n-]2)c1 ZINC000825985826 607483676 /nfs/dbraw/zinc/48/36/76/607483676.db2.gz BYKXAPPYEVHXGN-UHFFFAOYSA-N -1 1 320.307 1.485 20 0 DDADMM CN(Cc1nccn1C(F)F)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000825985826 607483677 /nfs/dbraw/zinc/48/36/77/607483677.db2.gz BYKXAPPYEVHXGN-UHFFFAOYSA-N -1 1 320.307 1.485 20 0 DDADMM COC(=O)c1cccc(CN2CCSC[C@H]2CC(=O)[O-])c1 ZINC000831449802 601026179 /nfs/dbraw/zinc/02/61/79/601026179.db2.gz YTYSIVTUFNKVDD-CYBMUJFWSA-N -1 1 309.387 1.865 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NCCSCC(=O)[O-] ZINC000832847864 601082900 /nfs/dbraw/zinc/08/29/00/601082900.db2.gz ZODPUICVFHOSRU-UHFFFAOYSA-N -1 1 311.285 1.285 20 0 DDADMM Cc1nc(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cs1 ZINC000832998245 601091102 /nfs/dbraw/zinc/09/11/02/601091102.db2.gz JFUSYCAJUMKKRR-SNVBAGLBSA-N -1 1 311.407 1.001 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCc2cccnc2)CC1 ZINC000827361384 601093433 /nfs/dbraw/zinc/09/34/33/601093433.db2.gz ABBGHUHSIWQFNH-CYBMUJFWSA-N -1 1 305.378 1.022 20 0 DDADMM CC[C@H](CN1CCCC1)NS(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000828879407 601259019 /nfs/dbraw/zinc/25/90/19/601259019.db2.gz LRPQDMPDOJDFOC-SNVBAGLBSA-N -1 1 316.379 1.131 20 0 DDADMM CC[C@@H](CO)N1CCN(C(=O)Nc2ccc(C(=O)[O-])cc2)CC1 ZINC000828891385 601585577 /nfs/dbraw/zinc/58/55/77/601585577.db2.gz VVNITPUSEGZAKS-AWEZNQCLSA-N -1 1 321.377 1.305 20 0 DDADMM COc1ccccc1N1CC[C@@H](N2CCC[C@@H](C(=O)[O-])C2)C1=O ZINC000274246697 601626212 /nfs/dbraw/zinc/62/62/12/601626212.db2.gz XLEOIGYPVPWESD-TZMCWYRMSA-N -1 1 318.373 1.597 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3C[C@H](C)[C@H](C(=O)[O-])C3)[nH]c2c1 ZINC000832958856 601666542 /nfs/dbraw/zinc/66/65/42/601666542.db2.gz NINSLWLQADRSGI-WDEREUQCSA-N -1 1 316.361 1.733 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCO[C@H](CC(=O)[O-])C1 ZINC000832847618 601738722 /nfs/dbraw/zinc/73/87/22/601738722.db2.gz PELZWQONIDOTNW-SSDOTTSWSA-N -1 1 321.255 1.053 20 0 DDADMM O=C([O-])CN(Cc1ccccc1)C[C@H](O)COC1CCOCC1 ZINC000833249630 601808136 /nfs/dbraw/zinc/80/81/36/601808136.db2.gz QKPDFRMTEOYXRN-HNNXBMFYSA-N -1 1 323.389 1.130 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc3c(c2)OCCO3)C1 ZINC000828024547 601910493 /nfs/dbraw/zinc/91/04/93/601910493.db2.gz RNOKHYCSOBCRDW-INIZCTEOSA-N -1 1 320.345 1.193 20 0 DDADMM COc1cc(NC(=O)CN2CC[C@](C)(C(=O)[O-])C2)cc(OC)c1 ZINC000832017335 601914953 /nfs/dbraw/zinc/91/49/53/601914953.db2.gz RDDKWYDQBUDDJQ-INIZCTEOSA-N -1 1 322.361 1.439 20 0 DDADMM Cc1cc(OCC(=O)[O-])ccc1NC(=O)C1(N(C)C)CCC1 ZINC000832902395 601938686 /nfs/dbraw/zinc/93/86/86/601938686.db2.gz SZINZMQFFQHXKS-UHFFFAOYSA-N -1 1 306.362 1.881 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(F)cc1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738630964 601949545 /nfs/dbraw/zinc/94/95/45/601949545.db2.gz UNEHSZXDFBZGEY-WCQYABFASA-N -1 1 323.368 1.420 20 0 DDADMM O=C([O-])c1cccc(OCCN(CCO)Cc2cccnc2)c1 ZINC000833331722 602019384 /nfs/dbraw/zinc/01/93/84/602019384.db2.gz PTNJUNLRFGSTJN-UHFFFAOYSA-N -1 1 316.357 1.653 20 0 DDADMM Cc1nnc(SCC(=O)NCc2cccc(C(=O)[O-])c2)[nH]1 ZINC000821153577 602058642 /nfs/dbraw/zinc/05/86/42/602058642.db2.gz WDIWQCWGXUHQSM-UHFFFAOYSA-N -1 1 306.347 1.220 20 0 DDADMM Cc1n[nH]c(SCC(=O)NCc2cccc(C(=O)[O-])c2)n1 ZINC000821153577 602058644 /nfs/dbraw/zinc/05/86/44/602058644.db2.gz WDIWQCWGXUHQSM-UHFFFAOYSA-N -1 1 306.347 1.220 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)NCCN(C(=O)[O-])C1CC1 ZINC000740029394 602073991 /nfs/dbraw/zinc/07/39/91/602073991.db2.gz CJULSWASENADCX-UHFFFAOYSA-N -1 1 309.370 1.092 20 0 DDADMM CC(C)C[C@@H]1CCC(=O)N(CN2C[C@H](C)[C@@H](C(=O)[O-])C2)C1=O ZINC000826832346 602083914 /nfs/dbraw/zinc/08/39/14/602083914.db2.gz QAEQHNNVIGHUMV-AVGNSLFASA-N -1 1 310.394 1.408 20 0 DDADMM CC(C)N(C)CC(=O)N1CCN(C(=O)[O-])Cc2ccccc21 ZINC000738835351 602250139 /nfs/dbraw/zinc/25/01/39/602250139.db2.gz PBUCAQFXXCBJGA-UHFFFAOYSA-N -1 1 305.378 1.853 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCCN1Cc1cnc2ccnn2c1 ZINC000738997766 602261370 /nfs/dbraw/zinc/26/13/70/602261370.db2.gz CWEGPRAWWITUPU-AAEUAGOBSA-N -1 1 303.366 1.740 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCCN1CC(=O)N1CCC[C@@H](C)C1 ZINC000739101047 602275768 /nfs/dbraw/zinc/27/57/68/602275768.db2.gz BAFXQGWXCHUUTK-RDBSUJKOSA-N -1 1 311.426 1.756 20 0 DDADMM O=C([O-])N1CCC[C@H](C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000738515100 602323467 /nfs/dbraw/zinc/32/34/67/602323467.db2.gz QROSGVLQIPZQOG-NSHDSACASA-N -1 1 315.333 1.596 20 0 DDADMM CC(C)c1ccc([C@@H](CNC(=O)c2cnn[nH]2)NC(=O)[O-])cc1 ZINC000738882594 602360112 /nfs/dbraw/zinc/36/01/12/602360112.db2.gz LZXNVNPFCGRWQP-GFCCVEGCSA-N -1 1 317.349 1.667 20 0 DDADMM CN(C[C@H]1CCCN(CC(=O)NCCC(C)(C)C)C1)C(=O)[O-] ZINC000739636545 602399094 /nfs/dbraw/zinc/39/90/94/602399094.db2.gz LALATXLLPHOLJB-CYBMUJFWSA-N -1 1 313.442 1.861 20 0 DDADMM CN(C[C@H]1CCCN(CC(=O)NC(C2CC2)C2CC2)C1)C(=O)[O-] ZINC000739638474 602399895 /nfs/dbraw/zinc/39/98/95/602399895.db2.gz VRSNTISYYHLPOC-GFCCVEGCSA-N -1 1 323.437 1.613 20 0 DDADMM CCCCn1nnnc1CN[C@]1(CNC(=O)[O-])CCCC[C@@H]1C ZINC000739353888 602432170 /nfs/dbraw/zinc/43/21/70/602432170.db2.gz VLBQJBWPIBXISR-WFASDCNBSA-N -1 1 324.429 1.779 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NCc1nc2ccc(Cl)cc2[nH]1 ZINC000739531893 602545239 /nfs/dbraw/zinc/54/52/39/602545239.db2.gz HSQCSYPHHCUOFS-QMMMGPOBSA-N -1 1 310.741 1.879 20 0 DDADMM NC(=O)CN(Cc1ccccc1)C[C@@H]1CCCN(C(=O)[O-])C1 ZINC000740334709 602718946 /nfs/dbraw/zinc/71/89/46/602718946.db2.gz RJJKVBMRCRALTK-AWEZNQCLSA-N -1 1 305.378 1.364 20 0 DDADMM C[C@@H]1CN(CC(=O)N2[C@H](C)CCC[C@@H]2C)CC[C@@H]1NC(=O)[O-] ZINC000739170732 602787229 /nfs/dbraw/zinc/78/72/29/602787229.db2.gz HHMBOQFOPKPBLZ-MQYQWHSLSA-N -1 1 311.426 1.754 20 0 DDADMM CCN(CCCNC(=O)Cc1[nH]nc2ccccc21)C(=O)[O-] ZINC000739416171 602794898 /nfs/dbraw/zinc/79/48/98/602794898.db2.gz AUUIBMNQRDHUIL-UHFFFAOYSA-N -1 1 304.350 1.612 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)C[C@@H]2CCN(C(=O)[O-])C2)c1 ZINC000740194163 602981486 /nfs/dbraw/zinc/98/14/86/602981486.db2.gz BGPYTRISSBICQW-LBPRGKRZSA-N -1 1 306.366 1.260 20 0 DDADMM O=C(CSC(F)F)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826419627 607599801 /nfs/dbraw/zinc/59/98/01/607599801.db2.gz GDKOJQAUCJHMMJ-UHFFFAOYSA-N -1 1 301.278 1.261 20 0 DDADMM O=C(CSC(F)F)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826419627 607599803 /nfs/dbraw/zinc/59/98/03/607599803.db2.gz GDKOJQAUCJHMMJ-UHFFFAOYSA-N -1 1 301.278 1.261 20 0 DDADMM CC(C)CN1CCO[C@H](COC(=O)[C@H](NC(=O)[O-])C(C)C)C1 ZINC000736443080 603144379 /nfs/dbraw/zinc/14/43/79/603144379.db2.gz NNXDVTMLIFMJMO-QWHCGFSZSA-N -1 1 316.398 1.179 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)c1ccc2[nH]nnc2c1)C(=O)[O-] ZINC000828218755 603168392 /nfs/dbraw/zinc/16/83/92/603168392.db2.gz VFWZFUDRAODHSI-SNVBAGLBSA-N -1 1 303.322 1.172 20 0 DDADMM CN(CCCNC(=O)[O-])C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000739677119 603236865 /nfs/dbraw/zinc/23/68/65/603236865.db2.gz IYJFHVGZOJUDQR-UHFFFAOYSA-N -1 1 302.334 1.806 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@H]1CCN(C(=O)[O-])C1)c1cccs1 ZINC000739603047 603265374 /nfs/dbraw/zinc/26/53/74/603265374.db2.gz RWOUGUBSWMRGIC-WDEREUQCSA-N -1 1 311.407 1.467 20 0 DDADMM CN(CC(=O)NCc1ccccc1)C[C@H]1CCN(C(=O)[O-])C1 ZINC000739624191 603348231 /nfs/dbraw/zinc/34/82/31/603348231.db2.gz MUYHVMAUKLXMMY-CQSZACIVSA-N -1 1 305.378 1.235 20 0 DDADMM COc1ccc(OC)c([C@@H](O)CN(C)[C@H]2CCN(C(=O)[O-])C2)c1 ZINC000829493861 603484687 /nfs/dbraw/zinc/48/46/87/603484687.db2.gz FDFUYBATXUTLSR-FZMZJTMJSA-N -1 1 324.377 1.421 20 0 DDADMM CN(C)[C@H](CNC(=O)CC1CN(C(=O)[O-])C1)c1cccc(F)c1 ZINC000827993128 603555737 /nfs/dbraw/zinc/55/57/37/603555737.db2.gz AAJXRHPPQGJKPK-CQSZACIVSA-N -1 1 323.368 1.545 20 0 DDADMM C[C@@H]1C[C@H]1N1C[C@@H](NCc2ccc(NC(=O)[O-])nc2)CC1=O ZINC000825861678 603559416 /nfs/dbraw/zinc/55/94/16/603559416.db2.gz WUQNZPKDGPEBSF-ADEWGFFLSA-N -1 1 304.350 1.270 20 0 DDADMM COc1ccccc1N1CC[C@@H](N[C@H]2CCN(C(=O)[O-])C2)C1=O ZINC000829639028 603607527 /nfs/dbraw/zinc/60/75/27/603607527.db2.gz XIWRBOPNVQERMX-NWDGAFQWSA-N -1 1 319.361 1.142 20 0 DDADMM CC(C)[C@H](CCN(C)C(=O)N[C@@H]1CCCN(C)C1)NC(=O)[O-] ZINC000824084896 603632361 /nfs/dbraw/zinc/63/23/61/603632361.db2.gz NEWHJMZPFOXNLS-OLZOCXBDSA-N -1 1 314.430 1.404 20 0 DDADMM CCN(C)[C@@H](C(=O)NCC(C)(C)NC(=O)[O-])c1ccccc1 ZINC000826989201 603666934 /nfs/dbraw/zinc/66/69/34/603666934.db2.gz XSXAHUROLOCQQM-CYBMUJFWSA-N -1 1 307.394 1.842 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H]3CCCN3C(=O)[O-])[nH]c2c1 ZINC000830432366 603763894 /nfs/dbraw/zinc/76/38/94/603763894.db2.gz DZOVWQAVNIDEDC-GFCCVEGCSA-N -1 1 302.334 1.630 20 0 DDADMM CCc1cc(CNC(=O)[C@H]2[C@H](C)OC(C)(C)N2C(=O)[O-])n[nH]1 ZINC000827556225 603788564 /nfs/dbraw/zinc/78/85/64/603788564.db2.gz FWYMGZYJMOLJGP-GZMMTYOYSA-N -1 1 310.354 1.092 20 0 DDADMM Cc1cnc(N2CCN(C[C@@H]3CCN(C(=O)[O-])C3)CC2)s1 ZINC000830633847 603833553 /nfs/dbraw/zinc/83/35/53/603833553.db2.gz BLZSBPMUUXOPAR-LBPRGKRZSA-N -1 1 310.423 1.573 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NC[C@@H](NC(=O)[O-])C(C)C ZINC000829833423 603940917 /nfs/dbraw/zinc/94/09/17/603940917.db2.gz JYRVCQWPVPWUHT-SSDOTTSWSA-N -1 1 322.287 1.759 20 0 DDADMM C[C@H](NC(=O)[C@H]1CCCc2[nH]ncc21)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000825094242 603943046 /nfs/dbraw/zinc/94/30/46/603943046.db2.gz RCGNAYGUMJRCSS-TUAOUCFPSA-N -1 1 320.393 1.724 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1)C(=O)[O-] ZINC000828245488 603945324 /nfs/dbraw/zinc/94/53/24/603945324.db2.gz IAOSUNDDIRZHOA-WDEREUQCSA-N -1 1 306.366 1.288 20 0 DDADMM CC[C@]1(C)CCN(CN2C[C@H]3CN(C(=O)[O-])CCN3C2=O)C1 ZINC000826644278 604020103 /nfs/dbraw/zinc/02/01/03/604020103.db2.gz HLOAVTFVBUPHLT-IUODEOHRSA-N -1 1 310.398 1.166 20 0 DDADMM CCCc1cc(C(=O)N2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])n[nH]1 ZINC000826950215 604021475 /nfs/dbraw/zinc/02/14/75/604021475.db2.gz WXGJRMUCQOZIBN-NEPJUHHUSA-N -1 1 306.366 1.719 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)[O-] ZINC000828426655 604127653 /nfs/dbraw/zinc/12/76/53/604127653.db2.gz MTUVOLGMARKBBP-AXFHLTTASA-N -1 1 307.354 1.074 20 0 DDADMM COc1cc(NC(=O)Cc2cc(C)[nH]n2)ccc1NC(=O)[O-] ZINC000829159733 604171610 /nfs/dbraw/zinc/17/16/10/604171610.db2.gz YPSVIQWYGKCHDS-UHFFFAOYSA-N -1 1 304.306 1.998 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC000825238017 604261515 /nfs/dbraw/zinc/26/15/15/604261515.db2.gz WZVCBRXKLFIFSN-GXSJLCMTSA-N -1 1 306.366 1.795 20 0 DDADMM CN(C(=O)c1ccc(C(=O)[O-])c(F)c1)[C@@H]1CN2CCC1CC2 ZINC000830528324 604313961 /nfs/dbraw/zinc/31/39/61/604313961.db2.gz KTZHSIGJWPTJOF-CQSZACIVSA-N -1 1 306.337 1.690 20 0 DDADMM C[C@H](CC(=O)N(C)Cc1nnc[nH]1)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000824558331 604359011 /nfs/dbraw/zinc/35/90/11/604359011.db2.gz OHKYDJZZTDHJTO-GHMZBOCLSA-N -1 1 309.370 1.179 20 0 DDADMM C[C@H](N[C@H](CNC(=O)[O-])C1CC1)c1cn(-c2ccccc2)nn1 ZINC000825473562 604361140 /nfs/dbraw/zinc/36/11/40/604361140.db2.gz RXCGIPMPXFQEOP-SMDDNHRTSA-N -1 1 315.377 1.964 20 0 DDADMM O=C([O-])N[C@@H]1CCN(C[C@@H]2CN(c3ccccc3)C(=O)O2)C1 ZINC000740592768 604372923 /nfs/dbraw/zinc/37/29/23/604372923.db2.gz BFMQMNODHVRXDO-DGCLKSJQSA-N -1 1 305.334 1.354 20 0 DDADMM C[C@@H](NC(=O)[O-])c1ccc(CNC(=O)[C@H]2CCCN2C)cc1 ZINC000825371911 604448582 /nfs/dbraw/zinc/44/85/82/604448582.db2.gz JXYWQBNVBUFPPY-BXUZGUMPSA-N -1 1 305.378 1.726 20 0 DDADMM COc1cc(OC)cc([C@@H](C(=O)[O-])N2CCN(C(C)C)CC2)c1 ZINC000832050329 604456661 /nfs/dbraw/zinc/45/66/61/604456661.db2.gz PFGAYLDIMMGWKE-INIZCTEOSA-N -1 1 322.405 1.856 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)C3CCN(C(=O)[O-])CC3)n2)o1 ZINC000830159175 604585416 /nfs/dbraw/zinc/58/54/16/604585416.db2.gz DBRQBGNIKVKDIK-UHFFFAOYSA-N -1 1 319.321 1.702 20 0 DDADMM O=C([O-])C[C@H]1CCCN1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000833213531 604633970 /nfs/dbraw/zinc/63/39/70/604633970.db2.gz IYQMYLIPWMJHIZ-CYBMUJFWSA-N -1 1 315.333 1.738 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@H](NC(=O)[O-])C(C)C)C2)n[nH]1 ZINC000830734525 604644606 /nfs/dbraw/zinc/64/46/06/604644606.db2.gz MSRXWHQUROOYKW-GHMZBOCLSA-N -1 1 309.370 1.111 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CN(C(=O)c2cccc3n[nH]cc32)CCO1 ZINC000825336219 604711353 /nfs/dbraw/zinc/71/13/53/604711353.db2.gz RESZCJIWDAFGGB-TVQRCGJNSA-N -1 1 318.333 1.060 20 0 DDADMM CCOC[C@@H](C(=O)[O-])N(C)C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000829962080 604736353 /nfs/dbraw/zinc/73/63/53/604736353.db2.gz YTAAUZYXWNEZON-ZDUSSCGKSA-N -1 1 317.345 1.638 20 0 DDADMM C[C@H]1[C@H](C)N(CC(=O)Nc2ccccc2C(=O)[O-])CCN1C ZINC000833586813 604851949 /nfs/dbraw/zinc/85/19/49/604851949.db2.gz HAXKHVVFOJTLAO-RYUDHWBXSA-N -1 1 305.378 1.348 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN2CCC[C@@H]2CC(=O)[O-])cc1 ZINC000833399575 604967186 /nfs/dbraw/zinc/96/71/86/604967186.db2.gz HKUUMWNAGAGRFU-CQSZACIVSA-N -1 1 319.361 1.523 20 0 DDADMM Cc1c([C@H](C)NCCCNC(=O)[O-])nnn1-c1ccccc1 ZINC000829860228 604979985 /nfs/dbraw/zinc/97/99/85/604979985.db2.gz XIPZFRKHXMTWGC-NSHDSACASA-N -1 1 303.366 1.884 20 0 DDADMM Cc1c([C@@H](C)NCCCNC(=O)[O-])nnn1-c1ccccc1 ZINC000829860227 604980073 /nfs/dbraw/zinc/98/00/73/604980073.db2.gz XIPZFRKHXMTWGC-LLVKDONJSA-N -1 1 303.366 1.884 20 0 DDADMM CCc1nc([C@@H](C)NS(=O)(=O)c2cccc(C(=O)[O-])c2)n[nH]1 ZINC000833674901 605005191 /nfs/dbraw/zinc/00/51/91/605005191.db2.gz XNCAJLSKAFOIIX-MRVPVSSYSA-N -1 1 324.362 1.105 20 0 DDADMM CN(C(=O)CN1CCc2cn[nH]c2C1)c1ccccc1C(=O)[O-] ZINC000833685757 605009914 /nfs/dbraw/zinc/00/99/14/605009914.db2.gz LMHCLYIHEYICPH-UHFFFAOYSA-N -1 1 314.345 1.129 20 0 DDADMM Fc1cccnc1CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000826378658 607730864 /nfs/dbraw/zinc/73/08/64/607730864.db2.gz UYVCIYPGWKBZLO-UHFFFAOYSA-N -1 1 322.307 1.956 20 0 DDADMM Fc1cccnc1CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000826378658 607730866 /nfs/dbraw/zinc/73/08/66/607730866.db2.gz UYVCIYPGWKBZLO-UHFFFAOYSA-N -1 1 322.307 1.956 20 0 DDADMM CC(C)N(CCN(C)C)S(=O)(=O)c1ccsc1C(=O)[O-] ZINC000688150838 605051952 /nfs/dbraw/zinc/05/19/52/605051952.db2.gz SSPIJYYNKKYCFG-UHFFFAOYSA-N -1 1 320.436 1.407 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@@H]1CCCS1 ZINC000833626874 605055017 /nfs/dbraw/zinc/05/50/17/605055017.db2.gz SGZAFIGJNPXFRK-NEPJUHHUSA-N -1 1 315.439 1.072 20 0 DDADMM C[C@@]1([NH2+]CCC[N-]C(=O)C(F)(F)F)CCCC[C@H]1C(=O)[O-] ZINC000833567739 605061279 /nfs/dbraw/zinc/06/12/79/605061279.db2.gz CHJHMEPAZJEYKO-JOYOIKCWSA-N -1 1 310.316 1.678 20 0 DDADMM CN(Cc1ccc(C(=O)[O-])[nH]1)C[C@H](O)COCc1ccccc1 ZINC000833696972 605105455 /nfs/dbraw/zinc/10/54/55/605105455.db2.gz ZRIJLQJOPOCHJL-HNNXBMFYSA-N -1 1 318.373 1.722 20 0 DDADMM O[C@@H](c1ccccc1)C1(CNc2nccnc2-c2nnn[n-]2)CC1 ZINC000826505191 607735365 /nfs/dbraw/zinc/73/53/65/607735365.db2.gz PCFGRRJZYUHNEP-ZDUSSCGKSA-N -1 1 323.360 1.582 20 0 DDADMM O[C@@H](c1ccccc1)C1(CNc2nccnc2-c2nn[n-]n2)CC1 ZINC000826505191 607735366 /nfs/dbraw/zinc/73/53/66/607735366.db2.gz PCFGRRJZYUHNEP-ZDUSSCGKSA-N -1 1 323.360 1.582 20 0 DDADMM CCN1C[C@H](C)N(C(=O)NCC2(C(=O)[O-])CCCC2)C[C@H]1C ZINC000833656167 605123740 /nfs/dbraw/zinc/12/37/40/605123740.db2.gz ICGWQQBNTUQMOS-OLZOCXBDSA-N -1 1 311.426 1.756 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC1CCN(Cc2cccnc2)CC1 ZINC000827517819 605156810 /nfs/dbraw/zinc/15/68/10/605156810.db2.gz DBEYDFWFAMEVOA-AWEZNQCLSA-N -1 1 320.393 1.208 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3c(c2)OCO3)CCN1CCC(=O)[O-] ZINC000833621056 605257129 /nfs/dbraw/zinc/25/71/29/605257129.db2.gz JKOGVBYFXAEZNJ-LLVKDONJSA-N -1 1 320.345 1.036 20 0 DDADMM Cc1nnc(COC(=O)[C@@H](CNC(=O)[O-])c2ccccc2)[nH]1 ZINC000830758300 605263257 /nfs/dbraw/zinc/26/32/57/605263257.db2.gz YZHKRIQUZXTATJ-NSHDSACASA-N -1 1 304.306 1.208 20 0 DDADMM CC(=O)N[C@@H]1CCCN(Cc2ccc(OCC(=O)[O-])cc2)C1 ZINC000833395812 605294244 /nfs/dbraw/zinc/29/42/44/605294244.db2.gz CCFTVFIUWKTQQW-CQSZACIVSA-N -1 1 306.362 1.251 20 0 DDADMM COCCN1CC[C@H](NC(=O)c2ccc(NC(=O)[O-])c(C)c2)C1 ZINC000828992902 605307917 /nfs/dbraw/zinc/30/79/17/605307917.db2.gz SRAIOOOLCBGDDM-ZDUSSCGKSA-N -1 1 321.377 1.535 20 0 DDADMM CC(C)C[C@@H](NC(=O)[O-])C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000824210405 605336421 /nfs/dbraw/zinc/33/64/21/605336421.db2.gz FNDBZJCOZPFMHU-SNVBAGLBSA-N -1 1 320.353 1.431 20 0 DDADMM CC(C)C(=O)NCCN[C@H](C(=O)[O-])c1c(F)cccc1Cl ZINC000833467326 605355408 /nfs/dbraw/zinc/35/54/08/605355408.db2.gz OFKNOKZSFXBGBU-LBPRGKRZSA-N -1 1 316.760 1.967 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)c1cccc2n[nH]cc21 ZINC000825840482 605454494 /nfs/dbraw/zinc/45/44/94/605454494.db2.gz YMHBYVSYYFESCQ-UWVGGRQHSA-N -1 1 302.334 1.824 20 0 DDADMM Cc1nc([C@@H](C)NCCN2CCN(C(=O)[O-])CC2)c(C)s1 ZINC000830709815 605498461 /nfs/dbraw/zinc/49/84/61/605498461.db2.gz SXHXUQOUIIKBIP-SNVBAGLBSA-N -1 1 312.439 1.706 20 0 DDADMM C[C@H](NC(=O)NCCc1ccc(C(=O)[O-])cc1)c1nnc[nH]1 ZINC000318689189 605501029 /nfs/dbraw/zinc/50/10/29/605501029.db2.gz NBASBHKBPUYFDM-VIFPVBQESA-N -1 1 303.322 1.106 20 0 DDADMM O=C([O-])N1CCC(CN2CCN(c3ncccc3F)CC2)CC1 ZINC000834078152 605668554 /nfs/dbraw/zinc/66/85/54/605668554.db2.gz JQIBIEDJIPWPQX-UHFFFAOYSA-N -1 1 322.384 1.733 20 0 DDADMM O=C([O-])N[C@@H](CC(F)F)C(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000834136203 606035032 /nfs/dbraw/zinc/03/50/32/606035032.db2.gz AIXDCNALUUHHTI-YFKPBYRVSA-N -1 1 315.236 1.295 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000833864740 606093603 /nfs/dbraw/zinc/09/36/03/606093603.db2.gz VCXCXSUNSXMYFX-QWRGUYRKSA-N -1 1 321.381 1.434 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC000821447066 606104732 /nfs/dbraw/zinc/10/47/32/606104732.db2.gz HJVCYFOTJAOXRV-QKESZYPNSA-N -1 1 321.410 1.148 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC000821447066 606104735 /nfs/dbraw/zinc/10/47/35/606104735.db2.gz HJVCYFOTJAOXRV-QKESZYPNSA-N -1 1 321.410 1.148 20 0 DDADMM CCN(CC)C(=O)CCCNc1cccc(-c2nnn[n-]2)n1 ZINC000821175587 606124449 /nfs/dbraw/zinc/12/44/49/606124449.db2.gz PADBCTAGCXKEJU-UHFFFAOYSA-N -1 1 303.370 1.322 20 0 DDADMM CCN(CC)C(=O)CCCNc1cccc(-c2nn[n-]n2)n1 ZINC000821175587 606124451 /nfs/dbraw/zinc/12/44/51/606124451.db2.gz PADBCTAGCXKEJU-UHFFFAOYSA-N -1 1 303.370 1.322 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)CC2CCCCCC2)n1 ZINC000822604949 606127649 /nfs/dbraw/zinc/12/76/49/606127649.db2.gz RLVYHOFRMOCRIN-UHFFFAOYSA-N -1 1 303.370 1.899 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)CC2CCCCCC2)n1 ZINC000822604949 606127650 /nfs/dbraw/zinc/12/76/50/606127650.db2.gz RLVYHOFRMOCRIN-UHFFFAOYSA-N -1 1 303.370 1.899 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCCC2CCCC2)n1 ZINC000822614770 606163372 /nfs/dbraw/zinc/16/33/72/606163372.db2.gz RNHTYJJDNCWANE-UHFFFAOYSA-N -1 1 318.385 1.692 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCCC2CCCC2)n1 ZINC000822614770 606163373 /nfs/dbraw/zinc/16/33/73/606163373.db2.gz RNHTYJJDNCWANE-UHFFFAOYSA-N -1 1 318.385 1.692 20 0 DDADMM Cc1cc(C)cc(NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)c1 ZINC000822196666 606163742 /nfs/dbraw/zinc/16/37/42/606163742.db2.gz SBMJDRUBZGLWFO-UHFFFAOYSA-N -1 1 312.337 1.861 20 0 DDADMM Cc1cc(C)cc(NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)c1 ZINC000822196666 606163744 /nfs/dbraw/zinc/16/37/44/606163744.db2.gz SBMJDRUBZGLWFO-UHFFFAOYSA-N -1 1 312.337 1.861 20 0 DDADMM O=C(OCCc1cccnc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823410193 606179253 /nfs/dbraw/zinc/17/92/53/606179253.db2.gz QRLRWVWNHDACFI-UHFFFAOYSA-N -1 1 301.331 1.723 20 0 DDADMM O=C(OCCc1cccnc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823410193 606179255 /nfs/dbraw/zinc/17/92/55/606179255.db2.gz QRLRWVWNHDACFI-UHFFFAOYSA-N -1 1 301.331 1.723 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC(O[C@H]3CCOC3)CC2)c1 ZINC000823742425 606244330 /nfs/dbraw/zinc/24/43/30/606244330.db2.gz GBEBVJGVCDGSMV-LBPRGKRZSA-N -1 1 316.365 1.036 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC(O[C@H]3CCOC3)CC2)c1 ZINC000823742425 606244332 /nfs/dbraw/zinc/24/43/32/606244332.db2.gz GBEBVJGVCDGSMV-LBPRGKRZSA-N -1 1 316.365 1.036 20 0 DDADMM CN(C[C@H]1CCOC1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000821598249 606474507 /nfs/dbraw/zinc/47/45/07/606474507.db2.gz WFIYQCPNHNIXGS-ZCFIWIBFSA-N -1 1 300.775 1.449 20 0 DDADMM CN(C[C@H]1CCOC1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000821598249 606474509 /nfs/dbraw/zinc/47/45/09/606474509.db2.gz WFIYQCPNHNIXGS-ZCFIWIBFSA-N -1 1 300.775 1.449 20 0 DDADMM CCN(CC(F)(F)F)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821171008 606506812 /nfs/dbraw/zinc/50/68/12/606506812.db2.gz ZPYXKMYBYFSKFV-UHFFFAOYSA-N -1 1 305.285 1.953 20 0 DDADMM CCN(CC(F)(F)F)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821171008 606506814 /nfs/dbraw/zinc/50/68/14/606506814.db2.gz ZPYXKMYBYFSKFV-UHFFFAOYSA-N -1 1 305.285 1.953 20 0 DDADMM O=C(COc1ccc(Cl)cc1)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000823040198 606531563 /nfs/dbraw/zinc/53/15/63/606531563.db2.gz FQTRSUGIEJZFQJ-UHFFFAOYSA-N -1 1 319.712 1.261 20 0 DDADMM O=C(COc1ccc(Cl)cc1)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000823040198 606531565 /nfs/dbraw/zinc/53/15/65/606531565.db2.gz FQTRSUGIEJZFQJ-UHFFFAOYSA-N -1 1 319.712 1.261 20 0 DDADMM C[C@H]1SCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)[C@@H]1C ZINC000820819488 606566468 /nfs/dbraw/zinc/56/64/68/606566468.db2.gz JBIORMHJBITQEG-HTQZYQBOSA-N -1 1 309.420 1.894 20 0 DDADMM C[C@H]1SCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)[C@@H]1C ZINC000820819488 606566470 /nfs/dbraw/zinc/56/64/70/606566470.db2.gz JBIORMHJBITQEG-HTQZYQBOSA-N -1 1 309.420 1.894 20 0 DDADMM COc1cccc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])n1 ZINC000821857844 606585174 /nfs/dbraw/zinc/58/51/74/606585174.db2.gz AXSNLERWBIDURB-UHFFFAOYSA-N -1 1 312.289 1.228 20 0 DDADMM Cc1ccsc1CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000822379780 606597491 /nfs/dbraw/zinc/59/74/91/606597491.db2.gz UHOUYHKKDGJTQB-UHFFFAOYSA-N -1 1 318.366 1.292 20 0 DDADMM Cc1ccsc1CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000822379780 606597492 /nfs/dbraw/zinc/59/74/92/606597492.db2.gz UHOUYHKKDGJTQB-UHFFFAOYSA-N -1 1 318.366 1.292 20 0 DDADMM CCCCO[C@H](C)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000821073194 606650767 /nfs/dbraw/zinc/65/07/67/606650767.db2.gz YBANWFATNCEUPB-SNVBAGLBSA-N -1 1 305.338 1.510 20 0 DDADMM CCCCO[C@H](C)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000821073194 606650768 /nfs/dbraw/zinc/65/07/68/606650768.db2.gz YBANWFATNCEUPB-SNVBAGLBSA-N -1 1 305.338 1.510 20 0 DDADMM C[C@@H](CC[S@@](C)=O)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820249635 606722360 /nfs/dbraw/zinc/72/23/60/606722360.db2.gz XWGOZUJOGFQKNF-SVWIBVJCSA-N -1 1 314.802 1.484 20 0 DDADMM C[C@@H](CC[S@@](C)=O)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820249635 606722362 /nfs/dbraw/zinc/72/23/62/606722362.db2.gz XWGOZUJOGFQKNF-SVWIBVJCSA-N -1 1 314.802 1.484 20 0 DDADMM CCC1(CC)[C@H](Nc2nccnc2-c2nnn[n-]2)C[C@H]1OC ZINC000820958447 607033543 /nfs/dbraw/zinc/03/35/43/607033543.db2.gz VMYKGXQDFYPDEF-NXEZZACHSA-N -1 1 303.370 1.662 20 0 DDADMM CCC1(CC)[C@H](Nc2nccnc2-c2nn[n-]n2)C[C@H]1OC ZINC000820958447 607033544 /nfs/dbraw/zinc/03/35/44/607033544.db2.gz VMYKGXQDFYPDEF-NXEZZACHSA-N -1 1 303.370 1.662 20 0 DDADMM Cc1cc(COC(=O)c2ccc(-c3nnn[n-]3)s2)n(C)n1 ZINC000822200782 607092650 /nfs/dbraw/zinc/09/26/50/607092650.db2.gz AOTGVPKRFXQPJX-UHFFFAOYSA-N -1 1 304.335 1.327 20 0 DDADMM Cc1cc(COC(=O)c2ccc(-c3nn[n-]n3)s2)n(C)n1 ZINC000822200782 607092652 /nfs/dbraw/zinc/09/26/52/607092652.db2.gz AOTGVPKRFXQPJX-UHFFFAOYSA-N -1 1 304.335 1.327 20 0 DDADMM CCc1nc(C)c(C(=O)Nc2ncc(-c3nnn[n-]3)s2)s1 ZINC000821506543 607102983 /nfs/dbraw/zinc/10/29/83/607102983.db2.gz XWJSJXMZOLDHJY-UHFFFAOYSA-N -1 1 321.391 1.903 20 0 DDADMM CCc1nc(C)c(C(=O)Nc2ncc(-c3nn[n-]n3)s2)s1 ZINC000821506543 607102985 /nfs/dbraw/zinc/10/29/85/607102985.db2.gz XWJSJXMZOLDHJY-UHFFFAOYSA-N -1 1 321.391 1.903 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1cc(C2CC2)[nH]n1 ZINC000823380262 607105243 /nfs/dbraw/zinc/10/52/43/607105243.db2.gz UEZZIOMVMALDFW-UHFFFAOYSA-N -1 1 302.323 1.176 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1cc(C2CC2)[nH]n1 ZINC000823380262 607105245 /nfs/dbraw/zinc/10/52/45/607105245.db2.gz UEZZIOMVMALDFW-UHFFFAOYSA-N -1 1 302.323 1.176 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2ccc(-c3nn[n-]n3)s2)[nH]n1 ZINC000822199981 607167523 /nfs/dbraw/zinc/16/75/23/607167523.db2.gz PJYVIKLRFJSUKR-SSDOTTSWSA-N -1 1 317.378 1.321 20 0 DDADMM Cc1nnc([N-]C(=O)c2ncc(-c3nn[nH]n3)cc2Cl)s1 ZINC000822510601 607232221 /nfs/dbraw/zinc/23/22/21/607232221.db2.gz RMSKMSDLSVUVJD-UHFFFAOYSA-N -1 1 322.741 1.327 20 0 DDADMM O=c1ccc2ccc(Oc3ccc(-c4nnn[n-]4)nn3)cc2o1 ZINC000826502111 607988141 /nfs/dbraw/zinc/98/81/41/607988141.db2.gz UVRIXIMKJNTUIP-UHFFFAOYSA-N -1 1 308.257 1.555 20 0 DDADMM O=c1ccc2ccc(Oc3ccc(-c4nn[n-]n4)nn3)cc2o1 ZINC000826502111 607988142 /nfs/dbraw/zinc/98/81/42/607988142.db2.gz UVRIXIMKJNTUIP-UHFFFAOYSA-N -1 1 308.257 1.555 20 0 DDADMM Cc1ccccc1OCCN(C)c1ccc(-c2nnn[n-]2)nn1 ZINC000826307350 607988520 /nfs/dbraw/zinc/98/85/20/607988520.db2.gz DVAWQJJIBFMLDG-UHFFFAOYSA-N -1 1 311.349 1.480 20 0 DDADMM Cc1ccccc1OCCN(C)c1ccc(-c2nn[n-]n2)nn1 ZINC000826307350 607988521 /nfs/dbraw/zinc/98/85/21/607988521.db2.gz DVAWQJJIBFMLDG-UHFFFAOYSA-N -1 1 311.349 1.480 20 0 DDADMM Cc1cc(C)c(NC(=O)Cn2ccnc2-c2nnn[n-]2)c(C)c1 ZINC000826263757 608012755 /nfs/dbraw/zinc/01/27/55/608012755.db2.gz PEHOFFDWTBTVCX-UHFFFAOYSA-N -1 1 311.349 1.627 20 0 DDADMM Cc1cc(C)c(NC(=O)Cn2ccnc2-c2nn[n-]n2)c(C)c1 ZINC000826263757 608012756 /nfs/dbraw/zinc/01/27/56/608012756.db2.gz PEHOFFDWTBTVCX-UHFFFAOYSA-N -1 1 311.349 1.627 20 0 DDADMM OC1(C(F)(F)F)CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000826505959 608017588 /nfs/dbraw/zinc/01/75/88/608017588.db2.gz JEGPJQMPKGMBLY-UHFFFAOYSA-N -1 1 314.271 1.155 20 0 DDADMM OC1(C(F)(F)F)CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000826505959 608017589 /nfs/dbraw/zinc/01/75/89/608017589.db2.gz JEGPJQMPKGMBLY-UHFFFAOYSA-N -1 1 314.271 1.155 20 0 DDADMM COc1ccc(Cn2cccc(-c3nn[n-]n3)c2=O)cc1Cl ZINC000826208687 608110087 /nfs/dbraw/zinc/11/00/87/608110087.db2.gz WDLLZVCSXRHXFP-UHFFFAOYSA-N -1 1 317.736 1.739 20 0 DDADMM CC(C)(C)[C@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)CCO1 ZINC000824077758 608149154 /nfs/dbraw/zinc/14/91/54/608149154.db2.gz FHRIKOJOMOKKCC-VHSXEESVSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)(C)[C@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)CCO1 ZINC000824077758 608149155 /nfs/dbraw/zinc/14/91/55/608149155.db2.gz FHRIKOJOMOKKCC-VHSXEESVSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)(C)[C@H](CO)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000824072521 608183433 /nfs/dbraw/zinc/18/34/33/608183433.db2.gz WKSRKFSLNUFIBH-YFKPBYRVSA-N -1 1 302.791 1.796 20 0 DDADMM CC(C)(C)[C@H](CO)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000824072521 608183434 /nfs/dbraw/zinc/18/34/34/608183434.db2.gz WKSRKFSLNUFIBH-YFKPBYRVSA-N -1 1 302.791 1.796 20 0 DDADMM CCOC(=O)C[C@@H](C)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000825499863 608190462 /nfs/dbraw/zinc/19/04/62/608190462.db2.gz WDMPXRFBURPWGZ-RXMQYKEDSA-N -1 1 316.774 1.730 20 0 DDADMM CCOC(=O)C[C@@H](C)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000825499863 608190463 /nfs/dbraw/zinc/19/04/63/608190463.db2.gz WDMPXRFBURPWGZ-RXMQYKEDSA-N -1 1 316.774 1.730 20 0 DDADMM COC(=O)[C@@H](C)CN(C)c1snc(Cl)c1-c1nnn[n-]1 ZINC000826098892 608190557 /nfs/dbraw/zinc/19/05/57/608190557.db2.gz SPXZIDAUIXMIDT-YFKPBYRVSA-N -1 1 316.774 1.222 20 0 DDADMM COC(=O)[C@@H](C)CN(C)c1snc(Cl)c1-c1nn[n-]n1 ZINC000826098892 608190559 /nfs/dbraw/zinc/19/05/59/608190559.db2.gz SPXZIDAUIXMIDT-YFKPBYRVSA-N -1 1 316.774 1.222 20 0 DDADMM Fc1ccccc1CN(c1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC000826378336 608395383 /nfs/dbraw/zinc/39/53/83/608395383.db2.gz FJXGVGSEIIWAQL-UHFFFAOYSA-N -1 1 311.324 1.965 20 0 DDADMM Fc1ccccc1CN(c1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC000826378336 608395385 /nfs/dbraw/zinc/39/53/85/608395385.db2.gz FJXGVGSEIIWAQL-UHFFFAOYSA-N -1 1 311.324 1.965 20 0 DDADMM Fc1cccc(OCCNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826377662 608398501 /nfs/dbraw/zinc/39/85/01/608398501.db2.gz AEDCNZBPKXALLK-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM Fc1cccc(OCCNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826377662 608398503 /nfs/dbraw/zinc/39/85/03/608398503.db2.gz AEDCNZBPKXALLK-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM CCO[C@H]1C[C@H](N(C)c2ccc(-c3nnn[n-]3)nn2)C1(C)C ZINC000825581903 608422762 /nfs/dbraw/zinc/42/27/62/608422762.db2.gz FXBTZUNCSLUCFD-QWRGUYRKSA-N -1 1 303.370 1.297 20 0 DDADMM CCO[C@H]1C[C@H](N(C)c2ccc(-c3nn[n-]n3)nn2)C1(C)C ZINC000825581903 608422763 /nfs/dbraw/zinc/42/27/63/608422763.db2.gz FXBTZUNCSLUCFD-QWRGUYRKSA-N -1 1 303.370 1.297 20 0 DDADMM Cc1cccc(O[C@@H](C)CNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826300526 608424664 /nfs/dbraw/zinc/42/46/64/608424664.db2.gz YEAIAPUDDXFVMK-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM Cc1cccc(O[C@@H](C)CNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826300526 608424666 /nfs/dbraw/zinc/42/46/66/608424666.db2.gz YEAIAPUDDXFVMK-NSHDSACASA-N -1 1 311.349 1.845 20 0 DDADMM O[C@@H]1CCCC[C@H]1CCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826507280 608434282 /nfs/dbraw/zinc/43/42/82/608434282.db2.gz LUNNQJLZVGTCGJ-CMPLNLGQSA-N -1 1 303.370 1.400 20 0 DDADMM O[C@@H]1CCCC[C@H]1CCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826507280 608434284 /nfs/dbraw/zinc/43/42/84/608434284.db2.gz LUNNQJLZVGTCGJ-CMPLNLGQSA-N -1 1 303.370 1.400 20 0 DDADMM FC1(F)CN(c2ccc(-c3nnn[n-]3)nn2)Cc2ccccc21 ZINC000826370188 608434529 /nfs/dbraw/zinc/43/45/29/608434529.db2.gz HPZQLMAQQFQNOE-UHFFFAOYSA-N -1 1 315.287 1.769 20 0 DDADMM FC1(F)CN(c2ccc(-c3nn[n-]n3)nn2)Cc2ccccc21 ZINC000826370188 608434531 /nfs/dbraw/zinc/43/45/31/608434531.db2.gz HPZQLMAQQFQNOE-UHFFFAOYSA-N -1 1 315.287 1.769 20 0 DDADMM Cc1ccc2c(n1)OCCN2C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826294396 608548098 /nfs/dbraw/zinc/54/80/98/608548098.db2.gz QHSIIJXVTOTJDV-UHFFFAOYSA-N -1 1 323.316 1.004 20 0 DDADMM Cc1ccc2c(n1)OCCN2C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826294396 608548099 /nfs/dbraw/zinc/54/80/99/608548099.db2.gz QHSIIJXVTOTJDV-UHFFFAOYSA-N -1 1 323.316 1.004 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)c1cc(-c2nn[nH]n2)c[nH]1 ZINC000826454679 609254863 /nfs/dbraw/zinc/25/48/63/609254863.db2.gz GMJDGDAFIQWYNY-UHFFFAOYSA-N -1 1 304.697 1.806 20 0 DDADMM COC(=O)[C@@H]1CCCCCN1Cc1ccc(-c2nnn[n-]2)o1 ZINC000826134713 609368403 /nfs/dbraw/zinc/36/84/03/609368403.db2.gz ZKTBORGKBJFWAS-NSHDSACASA-N -1 1 305.338 1.377 20 0 DDADMM COC(=O)[C@@H]1CCCCCN1Cc1ccc(-c2nn[n-]n2)o1 ZINC000826134713 609368405 /nfs/dbraw/zinc/36/84/05/609368405.db2.gz ZKTBORGKBJFWAS-NSHDSACASA-N -1 1 305.338 1.377 20 0 DDADMM C[C@H]1CC(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C[C@H](C)C1 ZINC000824875514 609590599 /nfs/dbraw/zinc/59/05/99/609590599.db2.gz MOJZUTNZHHEXGO-RKDXNWHRSA-N -1 1 303.370 1.611 20 0 DDADMM C[C@H]1CC(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C[C@H](C)C1 ZINC000824875514 609590601 /nfs/dbraw/zinc/59/06/01/609590601.db2.gz MOJZUTNZHHEXGO-RKDXNWHRSA-N -1 1 303.370 1.611 20 0 DDADMM CCC(=O)NC1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000973555598 695495797 /nfs/dbraw/zinc/49/57/97/695495797.db2.gz IFGBCKXAQHSAEN-YOGCLGLASA-N -1 1 303.362 1.449 20 0 DDADMM C[C@@H](C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000973993307 695615019 /nfs/dbraw/zinc/61/50/19/695615019.db2.gz XNDNCFLZLRBCMV-JLLWLGSASA-N -1 1 303.362 1.210 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)c2cn[nH]c2)c(=O)[n-]1 ZINC000746444887 700024447 /nfs/dbraw/zinc/02/44/47/700024447.db2.gz IGWGZPRXWARPQV-UHFFFAOYSA-N -1 1 307.379 1.531 20 0 DDADMM CCCN(C(=O)N[C@@H]1CCCc2cn[nH]c21)[C@@H](COC)C(=O)[O-] ZINC000797724563 700021097 /nfs/dbraw/zinc/02/10/97/700021097.db2.gz MKGOLTLKFNYCCS-NEPJUHHUSA-N -1 1 324.381 1.308 20 0 DDADMM CC(C)C(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975225700 695817015 /nfs/dbraw/zinc/81/70/15/695817015.db2.gz OJFIZNRERLEJDJ-GFCCVEGCSA-N -1 1 305.378 1.412 20 0 DDADMM CCc1sc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)cc1C ZINC000798148456 700044277 /nfs/dbraw/zinc/04/42/77/700044277.db2.gz CEZMHSDLQAMMSG-UHFFFAOYSA-N -1 1 321.406 1.256 20 0 DDADMM Cc1nn(C)c(C)c1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000010953471 696042000 /nfs/dbraw/zinc/04/20/00/696042000.db2.gz BIRWCQSNBQSVFJ-UHFFFAOYSA-N -1 1 315.329 1.150 20 0 DDADMM O=C(COC(=O)c1ccnc(Cl)c1)[N-]C(=O)c1ccccc1 ZINC000025860591 696093479 /nfs/dbraw/zinc/09/34/79/696093479.db2.gz IOHXOZIPYCUFNL-UHFFFAOYSA-N -1 1 318.716 1.848 20 0 DDADMM CCc1cc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)ncn1 ZINC000798403505 700062279 /nfs/dbraw/zinc/06/22/79/700062279.db2.gz DUFOZVIAAZINBY-UHFFFAOYSA-N -1 1 316.327 1.934 20 0 DDADMM CCCc1cc(C(=O)[N-]NC(=O)c2cc3c(s2)CCC3)n[nH]1 ZINC000029700071 696112066 /nfs/dbraw/zinc/11/20/66/696112066.db2.gz WXDTUSDOCQOHEQ-UHFFFAOYSA-N -1 1 318.402 1.987 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)CCS(=O)(=O)c1ccc(Cl)cc1 ZINC000044619912 696166766 /nfs/dbraw/zinc/16/67/66/696166766.db2.gz XHWMLUKGIXGJTO-GFCCVEGCSA-N -1 1 319.810 1.909 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977597198 696228652 /nfs/dbraw/zinc/22/86/52/696228652.db2.gz FUALCLNZCWZGPT-CHWSQXEVSA-N -1 1 319.405 1.752 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)C1CCC1)C(=O)c1ncccc1[O-] ZINC000977618819 696236684 /nfs/dbraw/zinc/23/66/84/696236684.db2.gz PCKSXCNJJNRRMJ-LBPRGKRZSA-N -1 1 303.362 1.260 20 0 DDADMM CC(C)N(C)S(=O)(=O)[N-]c1ccn(CCc2ccncc2)n1 ZINC000747612796 700077860 /nfs/dbraw/zinc/07/78/60/700077860.db2.gz WLQTTYWPGZQRCM-UHFFFAOYSA-N -1 1 323.422 1.518 20 0 DDADMM Cn1c(CCNC(=O)c2cc(-c3ccco3)on2)n[n-]c1=S ZINC000067051271 696358468 /nfs/dbraw/zinc/35/84/68/696358468.db2.gz NQOFSFGQEXERQZ-UHFFFAOYSA-N -1 1 319.346 1.698 20 0 DDADMM CCn1c(CCNC(=O)CCn2cc(C)cn2)n[n-]c1=S ZINC000067076942 696358796 /nfs/dbraw/zinc/35/87/96/696358796.db2.gz SMFOZPJEBXAJID-UHFFFAOYSA-N -1 1 308.411 1.215 20 0 DDADMM CC(C)(C)OC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC000067174511 696359706 /nfs/dbraw/zinc/35/97/06/696359706.db2.gz MUQKNSFHIRNZGO-UHFFFAOYSA-N -1 1 321.377 1.917 20 0 DDADMM C[C@@H]1CCN(C(=O)Cc2sc(N)nc2[O-])[C@H](c2ccco2)C1 ZINC000075388583 696420413 /nfs/dbraw/zinc/42/04/13/696420413.db2.gz XIJFJAUAUDHYAZ-JFGNBEQYSA-N -1 1 321.402 1.926 20 0 DDADMM CN(CCc1ccc(F)cc1)C(=O)Cc1sc(N)nc1[O-] ZINC000079717860 696462853 /nfs/dbraw/zinc/46/28/53/696462853.db2.gz DMLAUMILQXRSRX-NSHDSACASA-N -1 1 309.366 1.173 20 0 DDADMM CCCN(CCc1ccccn1)C(=O)Cc1sc(N)nc1[O-] ZINC000079813431 696463781 /nfs/dbraw/zinc/46/37/81/696463781.db2.gz RZNMRIVJTLZVQN-GFCCVEGCSA-N -1 1 320.418 1.210 20 0 DDADMM CC(C)(NC(=O)Cc1sc(N)nc1[O-])c1cccc(F)c1 ZINC000080386172 696533800 /nfs/dbraw/zinc/53/38/00/696533800.db2.gz YPDKMKYJNXVZJL-JTQLQIEISA-N -1 1 309.366 1.524 20 0 DDADMM CCN(CC)C(=O)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084937095 696562328 /nfs/dbraw/zinc/56/23/28/696562328.db2.gz SJJFZNBFJRHHRL-UHFFFAOYSA-N -1 1 310.375 1.580 20 0 DDADMM O=C(CCc1ccccc1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000096283600 696603793 /nfs/dbraw/zinc/60/37/93/696603793.db2.gz AKWNEGUKMSUYPH-UHFFFAOYSA-N -1 1 313.357 1.092 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)CCCC(F)(F)F)N(C)C ZINC000798845672 700101267 /nfs/dbraw/zinc/10/12/67/700101267.db2.gz OEAGSMSGEIWFJU-VIFPVBQESA-N -1 1 318.361 1.361 20 0 DDADMM CN(Cc1ccc(Cl)s1)C(=O)Cc1sc(N)nc1[O-] ZINC000120811600 696701724 /nfs/dbraw/zinc/70/17/24/696701724.db2.gz DACBDDWSXLIDRH-ZETCQYMHSA-N -1 1 317.823 1.707 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCC2(c3ccccc3)CC2)s1 ZINC000120844326 696702261 /nfs/dbraw/zinc/70/22/61/696702261.db2.gz DMGSCZVXWSSVJQ-LLVKDONJSA-N -1 1 303.387 1.181 20 0 DDADMM CC(C)[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000120852067 696702427 /nfs/dbraw/zinc/70/24/27/696702427.db2.gz YQYQEPZLHNOWQA-YPMHNXCESA-N -1 1 305.403 1.847 20 0 DDADMM CCCCO[C@H](C)C(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000124181792 696740101 /nfs/dbraw/zinc/74/01/01/696740101.db2.gz WYIGQLREPDWLPH-LLVKDONJSA-N -1 1 322.365 1.017 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc2ccccc2o1)[C@H]1CN(C)CCO1 ZINC000125897751 696757110 /nfs/dbraw/zinc/75/71/10/696757110.db2.gz WZUVGJCOCLTXEW-BXUZGUMPSA-N -1 1 324.402 1.430 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2c(C)nn(C)c2Cl)[nH]n1 ZINC000126497758 696762668 /nfs/dbraw/zinc/76/26/68/696762668.db2.gz HPKFWOXEFKVWAO-UHFFFAOYSA-N -1 1 317.802 1.858 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2[n-]c(=S)oc2c1)c1nn[nH]n1 ZINC000136646816 696855239 /nfs/dbraw/zinc/85/52/39/696855239.db2.gz RAXPSPRFQHRBHN-VIFPVBQESA-N -1 1 318.362 1.901 20 0 DDADMM O=C([N-]OCCC(F)(F)F)[C@H]1CC(=O)N(C2CCCC2)C1 ZINC000154921796 696939414 /nfs/dbraw/zinc/93/94/14/696939414.db2.gz DNOYTAPSJABEEJ-VIFPVBQESA-N -1 1 308.300 1.778 20 0 DDADMM COc1ccccc1CO[N-]C(=O)[C@@H]1CC(=O)N(C(C)(C)C)C1 ZINC000799097113 700117947 /nfs/dbraw/zinc/11/79/47/700117947.db2.gz GCMWKMYTKZQHEE-CYBMUJFWSA-N -1 1 320.389 1.890 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2cccc(C)c2)n1 ZINC000176720652 697405501 /nfs/dbraw/zinc/40/55/01/697405501.db2.gz MBAWJGPQAAKTGN-UHFFFAOYSA-N -1 1 308.363 1.407 20 0 DDADMM C[C@H]1CN(C(=O)COC(=O)c2c([O-])cc(F)cc2F)CCO1 ZINC000749281813 700154887 /nfs/dbraw/zinc/15/48/87/700154887.db2.gz VCNXXHWDPJBJFT-QMMMGPOBSA-N -1 1 315.272 1.075 20 0 DDADMM Cc1ccc([C@H](O)CNC(=O)c2c[n-]c(=O)c([N+](=O)[O-])c2)cc1 ZINC000186928957 697539645 /nfs/dbraw/zinc/53/96/45/697539645.db2.gz YRWYGCYJHNSQJV-CYBMUJFWSA-N -1 1 317.301 1.467 20 0 DDADMM C[C@](O)(CNC(=O)c1ccc(C#N)c([O-])c1)c1ccsc1 ZINC000188545992 697561168 /nfs/dbraw/zinc/56/11/68/697561168.db2.gz DQUJROMDQUHMBR-HNNXBMFYSA-N -1 1 302.355 1.963 20 0 DDADMM CCC(CC)S(=O)(=O)[N-]C(=O)CCCCc1cn[nH]n1 ZINC000192584895 697633545 /nfs/dbraw/zinc/63/35/45/697633545.db2.gz XSMRJYHNXOVJPZ-UHFFFAOYSA-N -1 1 302.400 1.152 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C[C@@H]1CCCOC1 ZINC000193262953 697657505 /nfs/dbraw/zinc/65/75/05/697657505.db2.gz QTDDYVLBVQJKTM-LBPRGKRZSA-N -1 1 318.377 1.072 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(C)c(F)c2)C1 ZINC000772693079 697661633 /nfs/dbraw/zinc/66/16/33/697661633.db2.gz UVLCFYJTSDKDPB-GFCCVEGCSA-N -1 1 324.352 1.571 20 0 DDADMM C[C@H](O)c1ccc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)cc1 ZINC000193598083 697673633 /nfs/dbraw/zinc/67/36/33/697673633.db2.gz ZWSXCSYJZVTACA-NSHDSACASA-N -1 1 323.352 1.906 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccn(CC(F)F)n1 ZINC000193893774 697683287 /nfs/dbraw/zinc/68/32/87/697683287.db2.gz TZLILSFJQOVZKW-UHFFFAOYSA-N -1 1 310.186 1.537 20 0 DDADMM CCCCS[C@H](C)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773160151 697721240 /nfs/dbraw/zinc/72/12/40/697721240.db2.gz IKOHLFMSLIHIEP-NXEZZACHSA-N -1 1 315.468 1.738 20 0 DDADMM C[C@@H](OC(=O)c1ccc([O-])cc1F)C(=O)NC[C@H]1CCCO1 ZINC000773566923 697782138 /nfs/dbraw/zinc/78/21/38/697782138.db2.gz QILCSXURRXUZOR-MWLCHTKSSA-N -1 1 311.309 1.372 20 0 DDADMM COc1ncccc1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773761967 697801991 /nfs/dbraw/zinc/80/19/91/697801991.db2.gz IVTMLDZTQBHVDX-UHFFFAOYSA-N -1 1 315.358 1.352 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CCN(C(=O)C2CC2)CC1 ZINC000986721015 697889336 /nfs/dbraw/zinc/88/93/36/697889336.db2.gz XPESYDVGQDYJNW-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(=O)N[C@H]1CCCCCN(C(=O)c2ncccc2[O-])C1 ZINC000987003782 697969171 /nfs/dbraw/zinc/96/91/71/697969171.db2.gz PZCFJPCNCWWHPE-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM CSc1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1C ZINC000775237857 697974116 /nfs/dbraw/zinc/97/41/16/697974116.db2.gz BRXCLAOSJZKQCZ-UHFFFAOYSA-N -1 1 319.386 1.596 20 0 DDADMM CC(C)COCCOC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000800140151 700193076 /nfs/dbraw/zinc/19/30/76/700193076.db2.gz JAPZVRVIAKXUDY-UHFFFAOYSA-N -1 1 321.420 1.949 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)CC2CC2)CCN1C(=O)c1ncccc1[O-] ZINC000987204511 698036694 /nfs/dbraw/zinc/03/66/94/698036694.db2.gz ZUDQIKDNPUORIL-PWSUYJOCSA-N -1 1 303.362 1.307 20 0 DDADMM CSc1ccccc1CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000777391874 698186245 /nfs/dbraw/zinc/18/62/45/698186245.db2.gz VYVUVNUQSFLJMU-LLVKDONJSA-N -1 1 319.390 1.064 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CC1)c1c(Cl)ccnc1Cl ZINC000778005971 698236827 /nfs/dbraw/zinc/23/68/27/698236827.db2.gz RERPRPXGHOVZFX-MRVPVSSYSA-N -1 1 311.190 1.438 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)Cc1ccon1 ZINC000778663595 698357344 /nfs/dbraw/zinc/35/73/44/698357344.db2.gz PDFAYEQNUKGUKG-UHFFFAOYSA-N -1 1 317.411 1.133 20 0 DDADMM C[C@@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)C[C@@H](CO)O1 ZINC000248873116 698382454 /nfs/dbraw/zinc/38/24/54/698382454.db2.gz VIXVMGLQLPVOPI-MFKMUULPSA-N -1 1 305.330 1.080 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](O)COc2cccc(F)c2)c([O-])c1 ZINC000778993232 698389151 /nfs/dbraw/zinc/38/91/51/698389151.db2.gz NCVLLIOFTMRNSU-LBPRGKRZSA-N -1 1 320.320 1.404 20 0 DDADMM Cc1cscc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000988511816 698394493 /nfs/dbraw/zinc/39/44/93/698394493.db2.gz JWQRPACPPHWHJD-ZJUUUORDSA-N -1 1 321.406 1.273 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](C3(C)OCCO3)C2)c([O-])c1 ZINC000779084118 698394729 /nfs/dbraw/zinc/39/47/29/698394729.db2.gz AXCDYOIMSYSJGG-GFCCVEGCSA-N -1 1 306.362 1.711 20 0 DDADMM CC(C)(C)OC(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC000779085333 698394928 /nfs/dbraw/zinc/39/49/28/698394928.db2.gz QDZIRTVMGVBDRC-PLNGDYQASA-N -1 1 307.350 1.598 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC(C)(C)C1CC1 ZINC000988802706 698469612 /nfs/dbraw/zinc/46/96/12/698469612.db2.gz JWKHVMYKPDZMHI-PWSUYJOCSA-N -1 1 321.425 1.416 20 0 DDADMM CC[C@H]1C[C@@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)CCO1 ZINC000780144816 698492693 /nfs/dbraw/zinc/49/26/93/698492693.db2.gz OFYRANLRHKBKTA-UWVGGRQHSA-N -1 1 311.300 1.803 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CCC[C@H]1C(=O)[O-])c1ccsc1 ZINC000780385217 698514171 /nfs/dbraw/zinc/51/41/71/698514171.db2.gz NXRNTLKJZBEHHB-NWDGAFQWSA-N -1 1 311.407 1.609 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000780513594 698527419 /nfs/dbraw/zinc/52/74/19/698527419.db2.gz SWTWHZGOOALOTC-CYBMUJFWSA-N -1 1 323.393 1.841 20 0 DDADMM COC(=O)C(C)(C)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000312270909 698677436 /nfs/dbraw/zinc/67/74/36/698677436.db2.gz QEFJKDOOPVAAOE-UHFFFAOYSA-N -1 1 307.318 1.442 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H]1C[C@@H](C)O ZINC000319031494 698709264 /nfs/dbraw/zinc/70/92/64/698709264.db2.gz RGQQMXXIPREBSX-ZYHUDNBSSA-N -1 1 307.394 1.990 20 0 DDADMM CCC[C@@]1(C(=O)[O-])CCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000319667371 698714147 /nfs/dbraw/zinc/71/41/47/698714147.db2.gz HALJDSSLDGPWNQ-HNNXBMFYSA-N -1 1 302.334 1.817 20 0 DDADMM Cc1ccc(N(C)[C@H]2CCCN(Cc3n[nH]c(=O)[n-]3)C2)cc1 ZINC000784382425 698934242 /nfs/dbraw/zinc/93/42/42/698934242.db2.gz XHJMZKUFVSXQLX-AWEZNQCLSA-N -1 1 301.394 1.920 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC[C@@H]2CCCCO2)o1 ZINC000784957407 699049669 /nfs/dbraw/zinc/04/96/69/699049669.db2.gz VOSJCTGXBPSUIL-JTQLQIEISA-N -1 1 317.363 1.304 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)[C@H]1CCO[C@H]1C)C(C)(C)C ZINC000785505994 699080464 /nfs/dbraw/zinc/08/04/64/699080464.db2.gz OMIBLRJJYBHRDN-GARJFASQSA-N -1 1 307.412 1.061 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@](C)(O)CC1 ZINC000389519420 699089173 /nfs/dbraw/zinc/08/91/73/699089173.db2.gz GPXODTHXIQUCAI-INIZCTEOSA-N -1 1 307.394 1.991 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(Cc2cc(F)ccc2[N+](=O)[O-])C1 ZINC000389984430 699091737 /nfs/dbraw/zinc/09/17/37/699091737.db2.gz LPZROJUCOLZKRK-CQSZACIVSA-N -1 1 312.297 1.657 20 0 DDADMM Cc1c(C(=O)[O-])cccc1S(=O)(=O)NCC1=CCN(C)CC1 ZINC000392181189 699100469 /nfs/dbraw/zinc/10/04/69/699100469.db2.gz BKPQIZMZZQOWFJ-UHFFFAOYSA-N -1 1 324.402 1.233 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc(Cl)nc2C)n1 ZINC000394040455 699105830 /nfs/dbraw/zinc/10/58/30/699105830.db2.gz JXFRNPYGTVUDFR-UHFFFAOYSA-N -1 1 302.743 1.213 20 0 DDADMM C[C@@H]1CC[C@H](C[N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])O1 ZINC000397966293 699118389 /nfs/dbraw/zinc/11/83/89/699118389.db2.gz XUGMLYPPEYRQIS-RKDXNWHRSA-N -1 1 318.326 1.580 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2occ3c2CCOC3)n1 ZINC000415635831 699129697 /nfs/dbraw/zinc/12/96/97/699129697.db2.gz DTLKHGBYMKHQKR-UHFFFAOYSA-N -1 1 305.290 1.504 20 0 DDADMM O=C(C=Cc1cccs1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000787902234 699252913 /nfs/dbraw/zinc/25/29/13/699252913.db2.gz LAIHTSSTFHKWCB-HMDXOVGESA-N -1 1 307.404 1.591 20 0 DDADMM CC(=O)N1CC(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000788151770 699284441 /nfs/dbraw/zinc/28/44/41/699284441.db2.gz NODGKHKUMBMYPD-UHFFFAOYSA-N -1 1 317.297 1.020 20 0 DDADMM CC1CCC(N2C[C@H](C(=O)[N-]OCC(C)(C)O)CC2=O)CC1 ZINC000788222213 699292728 /nfs/dbraw/zinc/29/27/28/699292728.db2.gz GRMCVRWJSAETDJ-OTTFEQOBSA-N -1 1 312.410 1.232 20 0 DDADMM O=C(NCc1cnc(Br)s1)c1ncccc1[O-] ZINC000718895930 699295975 /nfs/dbraw/zinc/29/59/75/699295975.db2.gz WMORMOBVTIBLFN-UHFFFAOYSA-N -1 1 314.164 1.936 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C[C@@H]1CC[C@H](C(F)(F)F)O1 ZINC000721469633 699314346 /nfs/dbraw/zinc/31/43/46/699314346.db2.gz WNLLEEKCUKMPSA-KXUCPTDWSA-N -1 1 313.341 1.988 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)Nc2ccc(O)cc2)n1 ZINC000788506331 699319719 /nfs/dbraw/zinc/31/97/19/699319719.db2.gz VGVPFSNNOQPJEN-UHFFFAOYSA-N -1 1 307.331 1.633 20 0 DDADMM O=C(N[C@H]1CC12CC(O)C2)c1ccc(Br)cc1[O-] ZINC000724985629 699328777 /nfs/dbraw/zinc/32/87/77/699328777.db2.gz KPUCNNRQFWVEAI-SAVVLTDYSA-N -1 1 312.163 1.798 20 0 DDADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccc(Cl)cc1 ZINC000727752600 699424675 /nfs/dbraw/zinc/42/46/75/699424675.db2.gz RTKCYBLJXWNALA-SNVBAGLBSA-N -1 1 321.764 1.458 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc2ccccc2c1 ZINC000727847720 699427707 /nfs/dbraw/zinc/42/77/07/699427707.db2.gz RVLZSYAGXBOHIB-UHFFFAOYSA-N -1 1 309.325 1.719 20 0 DDADMM COCCn1cc(C(=O)Nc2nc(Cl)ccc2[O-])c(C)n1 ZINC000790048765 699437583 /nfs/dbraw/zinc/43/75/83/699437583.db2.gz UXBKKOQJIIKRHF-UHFFFAOYSA-N -1 1 310.741 1.844 20 0 DDADMM COC(=O)CSCCNC(=O)c1c([O-])cccc1Cl ZINC000729863504 699490056 /nfs/dbraw/zinc/49/00/56/699490056.db2.gz YWQDNFAYSGLVII-UHFFFAOYSA-N -1 1 303.767 1.682 20 0 DDADMM O=C(Cc1cc2ccccc2o1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732090340 699546080 /nfs/dbraw/zinc/54/60/80/699546080.db2.gz HPDJHXKVOQOSGQ-CYBMUJFWSA-N -1 1 313.317 1.089 20 0 DDADMM C[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)c2ccccc21 ZINC000733131726 699579912 /nfs/dbraw/zinc/57/99/12/699579912.db2.gz HDVLGLMPCLDEPK-GFCCVEGCSA-N -1 1 313.357 1.467 20 0 DDADMM CCn1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cn1 ZINC000734045400 699634391 /nfs/dbraw/zinc/63/43/91/699634391.db2.gz LTZITHYAIXNRBT-UHFFFAOYSA-N -1 1 301.302 1.016 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccccc1)c1ccc2cncn2c1 ZINC000793109929 699733465 /nfs/dbraw/zinc/73/34/65/699733465.db2.gz IKMSNFYPMZRIJO-UHFFFAOYSA-N -1 1 301.327 1.453 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C(=O)c2ccc3cncn3c2)s1 ZINC000793113060 699733495 /nfs/dbraw/zinc/73/34/95/699733495.db2.gz QVAHJNCKJLHQOA-UHFFFAOYSA-N -1 1 321.383 1.823 20 0 DDADMM O=C(Cc1cccc2ccccc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737970608 699749013 /nfs/dbraw/zinc/74/90/13/699749013.db2.gz XNQQIZUCAULVSQ-HNNXBMFYSA-N -1 1 323.356 1.496 20 0 DDADMM CC(C)N(C(=O)CN1CC[C@H](CNC(=O)[O-])C1)C1CCCC1 ZINC000738836117 699766782 /nfs/dbraw/zinc/76/67/82/699766782.db2.gz UOMVVWLGLHWKGO-CYBMUJFWSA-N -1 1 311.426 1.756 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-]c1ccn(Cc2ccccn2)n1 ZINC000739667960 699777335 /nfs/dbraw/zinc/77/73/35/699777335.db2.gz FKNBULLBCQDAMA-UHFFFAOYSA-N -1 1 323.422 1.715 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H]1c1cccc(F)c1 ZINC000742869908 699893036 /nfs/dbraw/zinc/89/30/36/699893036.db2.gz ULMQYMGOGKHBKV-CYBMUJFWSA-N -1 1 317.320 1.039 20 0 DDADMM CC[C@H](C)[C@@H](OC)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000795983302 699905049 /nfs/dbraw/zinc/90/50/49/699905049.db2.gz AGULIIWAYBYRKX-SMDDNHRTSA-N -1 1 307.346 1.547 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)c2ccc(F)cc2)[n-]1 ZINC000796343828 699927964 /nfs/dbraw/zinc/92/79/64/699927964.db2.gz IRGVPJDFAPNLBF-UHFFFAOYSA-N -1 1 305.261 1.980 20 0 DDADMM CCOc1cccc(COC(=O)c2ccc(C(=O)OC)[n-]2)n1 ZINC000796347731 699928432 /nfs/dbraw/zinc/92/84/32/699928432.db2.gz WGLZTZVGQLOKDF-UHFFFAOYSA-N -1 1 304.302 1.952 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N(C(C)C)C(C)C)[n-]1 ZINC000796348331 699928492 /nfs/dbraw/zinc/92/84/92/699928492.db2.gz XXVNOYUKEFYZCX-NSHDSACASA-N -1 1 324.377 1.992 20 0 DDADMM Cc1ccc2c(C(=O)OCc3nc(=O)n(C)[n-]3)csc2c1 ZINC000796358615 699929010 /nfs/dbraw/zinc/92/90/10/699929010.db2.gz AKFHWVPPTQWEKW-UHFFFAOYSA-N -1 1 303.343 1.989 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nnc(C)n2C2CC2)[n-]1 ZINC000796368676 699930066 /nfs/dbraw/zinc/93/00/66/699930066.db2.gz ICWSLUOVRIQPED-UHFFFAOYSA-N -1 1 304.306 1.393 20 0 DDADMM C[C@H]1Oc2ccccc2[C@@H]1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000808861754 701624141 /nfs/dbraw/zinc/62/41/41/701624141.db2.gz MZWAOKDQHIEIHM-MEBBXXQBSA-N -1 1 323.356 1.986 20 0 DDADMM NC(=O)[C@@H](Cc1ccc(F)cc1)OC(=O)c1ccc([O-])cc1F ZINC000751568604 700299773 /nfs/dbraw/zinc/29/97/73/700299773.db2.gz MVEJQWQNHQHLFZ-CQSZACIVSA-N -1 1 321.279 1.924 20 0 DDADMM COC(=O)[C@H](C)OC(=O)c1nn(-c2cccc(Cl)c2)cc1[O-] ZINC000801359840 700300709 /nfs/dbraw/zinc/30/07/09/700300709.db2.gz MLFDQFJNZATVEL-QMMMGPOBSA-N -1 1 324.720 1.950 20 0 DDADMM Cc1cccc(-n2cc([O-])c(C(=O)OC[C@@H](C)CO)n2)c1C ZINC000801416503 700305484 /nfs/dbraw/zinc/30/54/84/700305484.db2.gz HECFTOFEJRWRNZ-JTQLQIEISA-N -1 1 304.346 1.980 20 0 DDADMM CC(=O)Nc1cccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1C ZINC000801702026 700332731 /nfs/dbraw/zinc/33/27/31/700332731.db2.gz NKZYEYONENMKHD-UHFFFAOYSA-N -1 1 323.356 1.861 20 0 DDADMM COC(=O)c1cccc(CC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000801704106 700333184 /nfs/dbraw/zinc/33/31/84/700333184.db2.gz YAFLBCPWIZUBMO-UHFFFAOYSA-N -1 1 324.340 1.310 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2COc3ccccc3[C@@H]2O)c([O-])c1 ZINC000752919542 700396459 /nfs/dbraw/zinc/39/64/59/700396459.db2.gz ZZZYLNDYLACECY-NHYWBVRUSA-N -1 1 300.314 1.320 20 0 DDADMM O=C(NCCCc1nc[nH]n1)c1ccc2[n-]c(=S)oc2c1 ZINC000753088716 700407660 /nfs/dbraw/zinc/40/76/60/700407660.db2.gz UUWKJWHXOXUNFE-UHFFFAOYSA-N -1 1 303.347 1.597 20 0 DDADMM COC(=O)[C@]1([N-]C(=O)C(F)(F)c2ccc(F)cc2)CCOC1 ZINC000755623630 700573715 /nfs/dbraw/zinc/57/37/15/700573715.db2.gz ARRPJTCNYSUQFS-ZDUSSCGKSA-N -1 1 317.263 1.366 20 0 DDADMM CC[C@@H](C)c1ccc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)cc1 ZINC000755767867 700582462 /nfs/dbraw/zinc/58/24/62/700582462.db2.gz HZJINWKMCIZDPL-SECBINFHSA-N -1 1 322.390 1.974 20 0 DDADMM CC[C@@H](C)c1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1 ZINC000755767867 700582466 /nfs/dbraw/zinc/58/24/66/700582466.db2.gz HZJINWKMCIZDPL-SECBINFHSA-N -1 1 322.390 1.974 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC/C(Cl)=C/Cl)co1 ZINC000756386827 700618997 /nfs/dbraw/zinc/61/89/97/700618997.db2.gz ALUMDAFENAUOGB-CLTKARDFSA-N -1 1 314.146 1.664 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H](F)C(C)C)c1 ZINC000812362517 702169175 /nfs/dbraw/zinc/16/91/75/702169175.db2.gz YMJNUBDZPJZVFC-LLVKDONJSA-N -1 1 304.343 1.233 20 0 DDADMM CC1(C)CCC(CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000759999882 700787452 /nfs/dbraw/zinc/78/74/52/700787452.db2.gz PNSKDFFTCOCHJR-UHFFFAOYSA-N -1 1 307.394 1.259 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)c1ccco1 ZINC000762313640 700888678 /nfs/dbraw/zinc/88/86/78/700888678.db2.gz PCEFNFVHQYRRDO-JTQLQIEISA-N -1 1 319.361 1.845 20 0 DDADMM Cc1cnc(C(=O)NC[C@@](C)(O)c2ccc(F)cc2)c([O-])c1 ZINC000763257053 700931940 /nfs/dbraw/zinc/93/19/40/700931940.db2.gz BBTYEPCLFVPXEG-MRXNPFEDSA-N -1 1 304.321 1.872 20 0 DDADMM Cc1cc(C(=O)CCC(=O)OCc2nc(=O)n(C)[n-]2)c(C)s1 ZINC000765390623 701009343 /nfs/dbraw/zinc/00/93/43/701009343.db2.gz BOLXOMPRCGRRMY-UHFFFAOYSA-N -1 1 323.374 1.493 20 0 DDADMM Cc1ccc(SCCC(=O)OCc2nc(=O)n(C)[n-]2)cc1C ZINC000765418446 701011088 /nfs/dbraw/zinc/01/10/88/701011088.db2.gz GNGOWEJKSHENLI-UHFFFAOYSA-N -1 1 321.402 1.951 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2C[C@@H]2c2cccc(Cl)c2)nc1=O ZINC000765463228 701013954 /nfs/dbraw/zinc/01/39/54/701013954.db2.gz SQVQLCBKVLYRAG-MNOVXSKESA-N -1 1 307.737 1.609 20 0 DDADMM CC(C)[C@H](Cc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765479231 701014794 /nfs/dbraw/zinc/01/47/94/701014794.db2.gz LIAWKAWPGSLFRP-ZDUSSCGKSA-N -1 1 303.362 1.667 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(-c3ccccc3)ns2)nc1=O ZINC000765500087 701016349 /nfs/dbraw/zinc/01/63/49/701016349.db2.gz BITVAIYCCWQVNG-UHFFFAOYSA-N -1 1 316.342 1.589 20 0 DDADMM C/C(=C/c1ccccc1Cl)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765504363 701016725 /nfs/dbraw/zinc/01/67/25/701016725.db2.gz ZZBBRBNDAUYMKC-CLFYSBASSA-N -1 1 307.737 1.909 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)OCc1cn[nH]c1 ZINC000803295325 701100246 /nfs/dbraw/zinc/10/02/46/701100246.db2.gz UESWQISCULMJAM-UHFFFAOYSA-N -1 1 323.374 1.918 20 0 DDADMM COCCCCOC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000803322435 701101719 /nfs/dbraw/zinc/10/17/19/701101719.db2.gz FILRARYKHPBCLL-UHFFFAOYSA-N -1 1 321.377 1.954 20 0 DDADMM COCCCO[N-]C(=O)[C@H](C)c1ccc(S(C)(=O)=O)cc1 ZINC000805038749 701227677 /nfs/dbraw/zinc/22/76/77/701227677.db2.gz DIDFNGALTHTQMY-LLVKDONJSA-N -1 1 315.391 1.278 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(F)(F)F ZINC000769098316 701230627 /nfs/dbraw/zinc/23/06/27/701230627.db2.gz XHQBCVLFBUDHAX-WPRPVWTQSA-N -1 1 321.299 1.020 20 0 DDADMM O=C(N=c1cc(-c2ccccc2)[nH]s1)NN1CC(=O)[N-]C1=O ZINC000770514404 701281183 /nfs/dbraw/zinc/28/11/83/701281183.db2.gz OWOOXDVJGALSJQ-UHFFFAOYSA-N -1 1 317.330 1.398 20 0 DDADMM COc1ccc(C)cc1NC(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805607041 701399580 /nfs/dbraw/zinc/39/95/80/701399580.db2.gz FPSWLYJEPGDDAP-SECBINFHSA-N -1 1 304.306 1.306 20 0 DDADMM CC1CCC(N(C(=O)COC(=O)c2cn[n-]n2)C2CC2)CC1 ZINC000805608477 701399924 /nfs/dbraw/zinc/39/99/24/701399924.db2.gz FKXDZOIKFNTTMN-UHFFFAOYSA-N -1 1 306.366 1.531 20 0 DDADMM CCO[C@H]1CCCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806481521 701437012 /nfs/dbraw/zinc/43/70/12/701437012.db2.gz DYASBURBJUUOBH-NSHDSACASA-N -1 1 303.366 1.375 20 0 DDADMM CCc1ccnc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000806491808 701437912 /nfs/dbraw/zinc/43/79/12/701437912.db2.gz SWMQQFOJHFNZNQ-UHFFFAOYSA-N -1 1 310.361 1.621 20 0 DDADMM O=C([C@H](O)c1ccccc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000807995660 701493795 /nfs/dbraw/zinc/49/37/95/701493795.db2.gz KBOFNLWCXRUXKC-VXGBXAGGSA-N -1 1 303.318 1.215 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cnn(C)c1C1CC1 ZINC000808108991 701498844 /nfs/dbraw/zinc/49/88/44/701498844.db2.gz LNNFMPXZXACEPS-UHFFFAOYSA-N -1 1 315.395 1.238 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2c(F)cccc2Cl)CCOC1=O ZINC000810103843 701730225 /nfs/dbraw/zinc/73/02/25/701730225.db2.gz SNMRKLNINQXBRD-NSHDSACASA-N -1 1 307.730 1.463 20 0 DDADMM C[C@H](NC(=O)Cc1ccc([O-])c(Cl)c1)c1nnnn1C1CC1 ZINC000867765678 701737778 /nfs/dbraw/zinc/73/77/78/701737778.db2.gz SRUMEKCUXIFJCT-QMMMGPOBSA-N -1 1 321.768 1.787 20 0 DDADMM CC(C)[C@]1(C)C[C@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952871 706609998 /nfs/dbraw/zinc/60/99/98/706609998.db2.gz PBRBSCZVHFYSFX-ZBEGNZNMSA-N -1 1 305.378 1.553 20 0 DDADMM CC(C)(C)N1CC[C@@](F)(C(=O)[N-]S(=O)(=O)CC2CCC2)C1 ZINC000810641873 701808266 /nfs/dbraw/zinc/80/82/66/701808266.db2.gz JHOQMGWRUSVSMZ-AWEZNQCLSA-N -1 1 320.430 1.445 20 0 DDADMM CC(C)(CNC(=O)c1ccc2n[n-]c(=S)n2c1)OCC1CC1 ZINC000839843819 701842967 /nfs/dbraw/zinc/84/29/67/701842967.db2.gz DVUITICWQXKRCK-UHFFFAOYSA-N -1 1 320.418 1.953 20 0 DDADMM CC(C)(C)[C@H]1CCC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000839889144 701856367 /nfs/dbraw/zinc/85/63/67/701856367.db2.gz CPCLWOTWIFBXMJ-NWDGAFQWSA-N -1 1 307.394 1.258 20 0 DDADMM O=Cc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)ccc1F ZINC000868063753 701921723 /nfs/dbraw/zinc/92/17/23/701921723.db2.gz CBQWSGVLWICBOA-UHFFFAOYSA-N -1 1 319.292 1.747 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2[C@@H]1O)C(=O)c1ccc([O-])cc1 ZINC000811580232 702011562 /nfs/dbraw/zinc/01/15/62/702011562.db2.gz ZZBMWJICBQPBCN-CJNGLKHVSA-N -1 1 313.309 1.186 20 0 DDADMM CC1(C)OCC([N-]S(=O)(=O)c2sccc2Cl)CO1 ZINC000831096578 706634098 /nfs/dbraw/zinc/63/40/98/706634098.db2.gz AKIHATCNSMGDGA-UHFFFAOYSA-N -1 1 311.812 1.831 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)C(F)F)[n-]1 ZINC000816409679 702082058 /nfs/dbraw/zinc/08/20/58/702082058.db2.gz YLZXBIRAMXAOSA-ZETCQYMHSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)C(F)F)n[n-]1 ZINC000816409679 702082067 /nfs/dbraw/zinc/08/20/67/702082067.db2.gz YLZXBIRAMXAOSA-ZETCQYMHSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)C(F)F)n1 ZINC000816409679 702082074 /nfs/dbraw/zinc/08/20/74/702082074.db2.gz YLZXBIRAMXAOSA-ZETCQYMHSA-N -1 1 304.297 1.450 20 0 DDADMM CNc1ccccc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831154601 706645867 /nfs/dbraw/zinc/64/58/67/706645867.db2.gz XRRNYHWZRCKIGR-UHFFFAOYSA-N -1 1 315.295 1.479 20 0 DDADMM Cc1ccc([C@@H](C)C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)o1 ZINC000831139466 706642640 /nfs/dbraw/zinc/64/26/40/706642640.db2.gz JBDIWDHKVVFOKQ-SECBINFHSA-N -1 1 318.295 1.828 20 0 DDADMM Cc1cccc(CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1 ZINC000831148895 706644492 /nfs/dbraw/zinc/64/44/92/706644492.db2.gz BIPBNIBGLVKGSV-UHFFFAOYSA-N -1 1 314.307 1.674 20 0 DDADMM O=C([N-]OC/C=C\Cl)[C@@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000812405098 702176908 /nfs/dbraw/zinc/17/69/08/702176908.db2.gz QDKUZFLIHDADAW-USEMIUTHSA-N -1 1 309.753 1.230 20 0 DDADMM O=C([N-]OC1CCC1)[C@@H](c1ccccc1)N1CCC(O)CC1 ZINC000812791106 702240005 /nfs/dbraw/zinc/24/00/05/702240005.db2.gz PBKKMDHTGIHDQF-MRXNPFEDSA-N -1 1 304.390 1.785 20 0 DDADMM CC[C@H](C)CO[N-]C(=O)[C@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000816978387 702253591 /nfs/dbraw/zinc/25/35/91/702253591.db2.gz SAGWFHDPDZYXOK-JSGCOSHPSA-N -1 1 324.425 1.577 20 0 DDADMM COc1ccncc1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000817086688 702269068 /nfs/dbraw/zinc/26/90/68/702269068.db2.gz UZILTPPRGVCUQT-UHFFFAOYSA-N -1 1 315.358 1.352 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)/C=C/c3ccsc3)nc2n1 ZINC000813378485 702341109 /nfs/dbraw/zinc/34/11/09/702341109.db2.gz PYZQLQIJZZKWAA-NSCUHMNNSA-N -1 1 301.331 1.439 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCS(=O)(=O)C1CC1 ZINC000868875980 702363307 /nfs/dbraw/zinc/36/33/07/702363307.db2.gz KPGSDMPGHWUCBR-UHFFFAOYSA-N -1 1 317.794 1.282 20 0 DDADMM CC(C)[C@@H](O)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000813636333 702388154 /nfs/dbraw/zinc/38/81/54/702388154.db2.gz VTSJHRRFVLHZGU-XWLWVQCSSA-N -1 1 324.343 1.307 20 0 DDADMM CCn1nc(C)c(CNC(=O)Cc2ccc([O-])c(Cl)c2)n1 ZINC000868957454 702404276 /nfs/dbraw/zinc/40/42/76/702404276.db2.gz MVJFKOKKTNDBFA-UHFFFAOYSA-N -1 1 308.769 1.824 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)CC(C)C ZINC000841570973 702501758 /nfs/dbraw/zinc/50/17/58/702501758.db2.gz NWRBUJFZZBHQAS-SECBINFHSA-N -1 1 309.819 1.413 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@H]1Cc2ccccc2O1 ZINC000869224740 702518463 /nfs/dbraw/zinc/51/84/63/702518463.db2.gz VAGNFMYPFROKDD-GFCCVEGCSA-N -1 1 307.346 1.770 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@@H]1C[C@@H](O)c1cccs1 ZINC000866402057 706690076 /nfs/dbraw/zinc/69/00/76/706690076.db2.gz GDUDRIKASSPMRO-GHMZBOCLSA-N -1 1 321.406 1.309 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2CC(=O)NC23CCCC3)[n-]1 ZINC000842904333 702789259 /nfs/dbraw/zinc/78/92/59/702789259.db2.gz CRQVAFFJINYZTO-NSHDSACASA-N -1 1 306.318 1.159 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)/C=C/C2CCCC2)CCC1 ZINC000843013543 702803376 /nfs/dbraw/zinc/80/33/76/702803376.db2.gz FLRMDNZJZMUEDM-CMDGGOBGSA-N -1 1 314.451 1.663 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@@]4(CC4(F)F)C3)ccnc1-2 ZINC000844650919 703051498 /nfs/dbraw/zinc/05/14/98/703051498.db2.gz RIIBOEAUDAKZDW-CQSZACIVSA-N -1 1 321.331 1.995 20 0 DDADMM Cc1cc(CC(=O)NC[C@@](C)(C(=O)[O-])c2ccccc2)[nH]n1 ZINC000846712659 703322776 /nfs/dbraw/zinc/32/27/76/703322776.db2.gz WVVKAFUORYHSGW-MRXNPFEDSA-N -1 1 301.346 1.419 20 0 DDADMM CC(C)n1cc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)nn1 ZINC000848117531 703508407 /nfs/dbraw/zinc/50/84/07/703508407.db2.gz WNGOWQYSIKXQLC-UHFFFAOYSA-N -1 1 317.378 1.120 20 0 DDADMM O=S(=O)(c1ccccc1[O-])N1CCC(c2nc[nH]n2)CC1 ZINC000849380856 703641744 /nfs/dbraw/zinc/64/17/44/703641744.db2.gz FVRJSWPUJSCXMB-UHFFFAOYSA-N -1 1 308.363 1.079 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OC[C@@H](O)C(C)C ZINC000849722507 703672075 /nfs/dbraw/zinc/67/20/75/703672075.db2.gz XLZQVUGZUQWLQA-CYBMUJFWSA-N -1 1 321.377 1.544 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC/C=C\C(F)(F)F)co1 ZINC000851286897 703790206 /nfs/dbraw/zinc/79/02/06/703790206.db2.gz GVMGUVCEVOHNPB-IHWYPQMZSA-N -1 1 313.253 1.463 20 0 DDADMM CS(=O)(=O)CCCOC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869736497 703839383 /nfs/dbraw/zinc/83/93/83/703839383.db2.gz IUXCTJKWRGOMTL-UHFFFAOYSA-N -1 1 306.767 1.566 20 0 DDADMM CO[C@H](C)CC[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000866707282 706770973 /nfs/dbraw/zinc/77/09/73/706770973.db2.gz GOZMLRYBWXLVRU-WYRIXSBYSA-N -1 1 320.436 1.403 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1Cc1ccc(OC)c(OC)c1 ZINC000818629784 703947254 /nfs/dbraw/zinc/94/72/54/703947254.db2.gz LXZMLYMAWXQICL-INIZCTEOSA-N -1 1 309.362 1.769 20 0 DDADMM CCCNC(=O)[C@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764495 706785287 /nfs/dbraw/zinc/78/52/87/706785287.db2.gz YBKMVPBSANAUPV-CABZTGNLSA-N -1 1 309.332 1.044 20 0 DDADMM Cc1c(O)ccc2c(CN3CCC(O)(CO)CC3)cc(=O)oc12 ZINC000852499191 704063552 /nfs/dbraw/zinc/06/35/52/704063552.db2.gz BLLIQKAPAWRUIO-UHFFFAOYSA-N -1 1 319.357 1.126 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)s1 ZINC000819629146 704153093 /nfs/dbraw/zinc/15/30/93/704153093.db2.gz ZSPCOWGDGBWWEM-ORAHPGNNSA-N -1 1 320.374 1.960 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCc2ccccc21 ZINC000866767081 706794065 /nfs/dbraw/zinc/79/40/65/706794065.db2.gz IXXWCMYRSNPOHO-BUXKBTBVSA-N -1 1 316.448 1.669 20 0 DDADMM Cn1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(Cl)n1 ZINC000820069536 704215488 /nfs/dbraw/zinc/21/54/88/704215488.db2.gz ZJZJJUZXJTVKNS-UHFFFAOYSA-N -1 1 311.729 1.182 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)CC1(OC)CCCC1)C(F)(F)F ZINC000866787170 706800685 /nfs/dbraw/zinc/80/06/85/706800685.db2.gz ZNJYGYNLNNRKMR-SECBINFHSA-N -1 1 319.345 1.442 20 0 DDADMM O=C([N-][C@@H](CCO)C(F)(F)F)C(F)(F)C1(O)CCCCC1 ZINC000820315687 704259191 /nfs/dbraw/zinc/25/91/91/704259191.db2.gz PIQJKPRBPMCCMN-QMMMGPOBSA-N -1 1 319.270 1.746 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000866806186 706807870 /nfs/dbraw/zinc/80/78/70/706807870.db2.gz UTOZLWOWYILTSX-UHFFFAOYSA-N -1 1 311.306 1.839 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cc(F)ccc1Cl ZINC000821173695 704378328 /nfs/dbraw/zinc/37/83/28/704378328.db2.gz VODMZGASBGPVDL-UHFFFAOYSA-N -1 1 300.764 1.863 20 0 DDADMM O=C(N[C@H](CCO)C(F)(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000854615039 704426216 /nfs/dbraw/zinc/42/62/16/704426216.db2.gz GIALUUKIIBFDER-SSDOTTSWSA-N -1 1 320.296 1.061 20 0 DDADMM CCCCC[C@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000856270736 704521012 /nfs/dbraw/zinc/52/10/12/704521012.db2.gz QZJHBHGSJDHZEO-AWEZNQCLSA-N -1 1 321.421 1.888 20 0 DDADMM CCCN(CCC)S(=O)(=O)NN=c1ncc(Cl)c[n-]1 ZINC000857224308 704565788 /nfs/dbraw/zinc/56/57/88/704565788.db2.gz JSIPJFUKPLGPOB-UHFFFAOYSA-N -1 1 307.807 1.413 20 0 DDADMM Cn1nccc1[C@@H](O)C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000857367713 704585346 /nfs/dbraw/zinc/58/53/46/704585346.db2.gz QGSISACGMZJNOG-HNNXBMFYSA-N -1 1 316.361 1.107 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1c(C)cccc1F ZINC000866883435 706833504 /nfs/dbraw/zinc/83/35/04/706833504.db2.gz UBUMDCAYXWHHTK-SFHVURJKSA-N -1 1 308.400 1.586 20 0 DDADMM O=c1nc(N2CCC[C@H]([C@H](O)C(F)(F)F)C2)cc(Cl)[n-]1 ZINC000858517832 704721322 /nfs/dbraw/zinc/72/13/22/704721322.db2.gz WVFMTRAXSRRREV-RCOVLWMOSA-N -1 1 311.691 1.975 20 0 DDADMM O=c1nc(NC[C@H]2CCC3(CCOCC3)[C@H]2O)cc(Cl)[n-]1 ZINC000858532513 704723149 /nfs/dbraw/zinc/72/31/49/704723149.db2.gz KZXHRFFNMOHVGU-SKDRFNHKSA-N -1 1 313.785 1.815 20 0 DDADMM COC(=O)[C@@H]1CSCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858571738 704729002 /nfs/dbraw/zinc/72/90/02/704729002.db2.gz KIFBNOTYASHCOI-ZETCQYMHSA-N -1 1 303.771 1.178 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)C[C@@]2(C)CC2(Cl)Cl)C(=O)O1 ZINC000867270006 706943030 /nfs/dbraw/zinc/94/30/30/706943030.db2.gz NYGANYRQJJYFII-BKPPORCPSA-N -1 1 316.206 1.194 20 0 DDADMM O=C(C[C@@H]1CCC(=O)N1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000821826752 704819838 /nfs/dbraw/zinc/81/98/38/704819838.db2.gz YNPRKXOJWXEMLA-NSHDSACASA-N -1 1 300.318 1.390 20 0 DDADMM O=C([N-]OCc1ccccc1)[C@@H]1CNC(=O)C[C@@H]1C(F)(F)F ZINC000873836385 704866452 /nfs/dbraw/zinc/86/64/52/704866452.db2.gz QNDLZXXHJLROGN-MNOVXSKESA-N -1 1 316.279 1.549 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CNCc1ccc(C(C)(C)O)cc1 ZINC000874040436 704923588 /nfs/dbraw/zinc/92/35/88/704923588.db2.gz BDOVFPGWQRZJNR-UHFFFAOYSA-N -1 1 303.362 1.636 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1cnccc1C ZINC000867505493 707021701 /nfs/dbraw/zinc/02/17/01/707021701.db2.gz VVWZUXJEUAOXDT-UHFFFAOYSA-N -1 1 319.452 1.275 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1Cc2ccc(Cl)cc2C1 ZINC000822702749 705013126 /nfs/dbraw/zinc/01/31/26/705013126.db2.gz BXNURQIJEALUFC-LBPRGKRZSA-N -1 1 305.769 1.459 20 0 DDADMM O=C(OCc1noc(C2CC2)n1)c1ccc(-c2nnn[n-]2)s1 ZINC000823444439 705244040 /nfs/dbraw/zinc/24/40/40/705244040.db2.gz MYYGPVFQYVBBJG-UHFFFAOYSA-N -1 1 318.318 1.546 20 0 DDADMM O=C(OCc1noc(C2CC2)n1)c1ccc(-c2nn[n-]n2)s1 ZINC000823444439 705244045 /nfs/dbraw/zinc/24/40/45/705244045.db2.gz MYYGPVFQYVBBJG-UHFFFAOYSA-N -1 1 318.318 1.546 20 0 DDADMM COc1ccc2c(c1)CC[C@@H]2C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000860786236 705282205 /nfs/dbraw/zinc/28/22/05/705282205.db2.gz ZNRPLLKYCPPDOG-YGRLFVJLSA-N -1 1 315.377 1.500 20 0 DDADMM COC(=O)CCCNC(=S)NCc1ccc([O-])c(Cl)c1 ZINC000871683654 707168282 /nfs/dbraw/zinc/16/82/82/707168282.db2.gz DNYTWFMAQUPARC-UHFFFAOYSA-N -1 1 316.810 1.963 20 0 DDADMM CC(C)[C@H](NCc1ccc(-c2nnn[n-]2)o1)C(=O)OC(C)(C)C ZINC000824338170 705431158 /nfs/dbraw/zinc/43/11/58/705431158.db2.gz RJXLZSWVYHNPDY-LBPRGKRZSA-N -1 1 321.381 1.916 20 0 DDADMM CC(C)[C@H](NCc1ccc(-c2nn[n-]n2)o1)C(=O)OC(C)(C)C ZINC000824338170 705431161 /nfs/dbraw/zinc/43/11/61/705431161.db2.gz RJXLZSWVYHNPDY-LBPRGKRZSA-N -1 1 321.381 1.916 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C(C)(C)[C@@H]3CCCCO3)ccnc1-2 ZINC000875633086 705457053 /nfs/dbraw/zinc/45/70/53/705457053.db2.gz JJMPMDZSBRGSPI-ZDUSSCGKSA-N -1 1 302.378 1.876 20 0 DDADMM C[C@H]1C[C@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCO1 ZINC000824875368 705548271 /nfs/dbraw/zinc/54/82/71/705548271.db2.gz MEWHZBBLPLOFEU-GXSJLCMTSA-N -1 1 303.322 1.120 20 0 DDADMM C[C@H]1C[C@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCO1 ZINC000824875368 705548277 /nfs/dbraw/zinc/54/82/77/705548277.db2.gz MEWHZBBLPLOFEU-GXSJLCMTSA-N -1 1 303.322 1.120 20 0 DDADMM CC[C@H](C)C[C@@H](C)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825061078 705590521 /nfs/dbraw/zinc/59/05/21/705590521.db2.gz WGLXVCUQWZQWHO-DTWKUNHWSA-N -1 1 306.370 1.647 20 0 DDADMM CC[C@H](C)C[C@@H](C)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825061078 705590527 /nfs/dbraw/zinc/59/05/27/705590527.db2.gz WGLXVCUQWZQWHO-DTWKUNHWSA-N -1 1 306.370 1.647 20 0 DDADMM CCOc1cc(C(=O)NC[C@@H]2COCO2)cc(Cl)c1[O-] ZINC000861961268 705613544 /nfs/dbraw/zinc/61/35/44/705613544.db2.gz QPVYMZFOWHLGSX-SECBINFHSA-N -1 1 301.726 1.547 20 0 DDADMM Cc1onc(CC(=O)N2CCc3ccccc3C2)c1-c1nnn[n-]1 ZINC000826345134 705793362 /nfs/dbraw/zinc/79/33/62/705793362.db2.gz CTSXRYSIRIONDQ-UHFFFAOYSA-N -1 1 324.344 1.291 20 0 DDADMM Cc1onc(CC(=O)N2CCc3ccccc3C2)c1-c1nn[n-]n1 ZINC000826345134 705793364 /nfs/dbraw/zinc/79/33/64/705793364.db2.gz CTSXRYSIRIONDQ-UHFFFAOYSA-N -1 1 324.344 1.291 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000826758359 705866262 /nfs/dbraw/zinc/86/62/62/705866262.db2.gz OCIBJYMZYHBPCM-QMMMGPOBSA-N -1 1 306.326 1.185 20 0 DDADMM CC(=O)O[C@@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000827219607 705953308 /nfs/dbraw/zinc/95/33/08/705953308.db2.gz WMPJRLCVMVLIMD-LLVKDONJSA-N -1 1 320.374 1.186 20 0 DDADMM CC[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@H]1CCCO1 ZINC000827353569 705983894 /nfs/dbraw/zinc/98/38/94/705983894.db2.gz FXQKAUQYBVQSOW-WDEREUQCSA-N -1 1 306.391 1.705 20 0 DDADMM CC[C@@H]1CCC[C@@H]1C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000835294931 707215695 /nfs/dbraw/zinc/21/56/95/707215695.db2.gz YLYGRWRTOXNWCF-YPMHNXCESA-N -1 1 318.377 1.638 20 0 DDADMM Cc1cc(C)c(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)s1 ZINC000835511191 707266415 /nfs/dbraw/zinc/26/64/15/707266415.db2.gz GMIHQSFLCYJGMA-LLVKDONJSA-N -1 1 318.464 1.756 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)[C@@H]2CCCN2C2CC2)CC12CCC2 ZINC000864156254 706083087 /nfs/dbraw/zinc/08/30/87/706083087.db2.gz NRXMFHNKTWTWIB-LRDDRELGSA-N -1 1 310.369 1.419 20 0 DDADMM Cc1cc(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)n(C)n1 ZINC000877772932 706204943 /nfs/dbraw/zinc/20/49/43/706204943.db2.gz CRDBMHBMNCIFTL-GFCCVEGCSA-N -1 1 304.316 1.371 20 0 DDADMM CC1(CC(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)COC1 ZINC000828682256 706217034 /nfs/dbraw/zinc/21/70/34/706217034.db2.gz ZXTVYXNDJNQXGS-LBPRGKRZSA-N -1 1 308.300 1.083 20 0 DDADMM O=C(C[C@@H]1CC=CCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000828688605 706217668 /nfs/dbraw/zinc/21/76/68/706217668.db2.gz HCOXUIKANIQMTB-LLVKDONJSA-N -1 1 303.362 1.618 20 0 DDADMM CC(C)Cn1[nH]c(CC(=O)[N-]Oc2ccccc2F)cc1=O ZINC000828984576 706268639 /nfs/dbraw/zinc/26/86/39/706268639.db2.gz DGRKCPPWCABHBL-UHFFFAOYSA-N -1 1 307.325 1.624 20 0 DDADMM O=S(=O)([N-][C@H]1CCc2ccccc2C1)c1c[nH]nc1Cl ZINC000829742761 706387349 /nfs/dbraw/zinc/38/73/49/706387349.db2.gz PVLLHGPUVIWILE-NSHDSACASA-N -1 1 311.794 1.899 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1)NC1CC1 ZINC000829797392 706397540 /nfs/dbraw/zinc/39/75/40/706397540.db2.gz FIVGGQIVQSAAFL-UHFFFAOYSA-N -1 1 312.322 1.129 20 0 DDADMM O=C(Cc1ncc[nH]1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000830141512 706463075 /nfs/dbraw/zinc/46/30/75/706463075.db2.gz VSSQRHOPRLFYQW-UHFFFAOYSA-N -1 1 313.357 1.779 20 0 DDADMM O=S(=O)([N-][C@@H]1CCc2c1cccc2O)c1c[nH]nc1Cl ZINC000830618918 706546685 /nfs/dbraw/zinc/54/66/85/706546685.db2.gz SDHZBEIBJDWCOP-SECBINFHSA-N -1 1 313.766 1.735 20 0 DDADMM CCC(F)(F)C(=O)[N-][C@H]1CCCN(CC(F)(F)F)C1=O ZINC000830712267 706566492 /nfs/dbraw/zinc/56/64/92/706566492.db2.gz LZCUWSFXAMNPIG-ZETCQYMHSA-N -1 1 302.243 1.701 20 0 DDADMM CC(C)OCCCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807377 706583331 /nfs/dbraw/zinc/58/33/31/706583331.db2.gz IINSUFHVYKLFKT-ZDUSSCGKSA-N -1 1 324.343 1.861 20 0 DDADMM C[C@](O)(CC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830816176 706585180 /nfs/dbraw/zinc/58/51/80/706585180.db2.gz LUUMFBGPRCHYKH-OLZOCXBDSA-N -1 1 322.327 1.207 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2ccc(F)nc2F)s1 ZINC000866887378 706835128 /nfs/dbraw/zinc/83/51/28/706835128.db2.gz UHGLRPOVCGBTKE-UHFFFAOYSA-N -1 1 319.358 1.857 20 0 DDADMM CCC1(CC)[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)C[C@@H]1OC ZINC000866971278 706860528 /nfs/dbraw/zinc/86/05/28/706860528.db2.gz AJBJSEFEIVEWMK-ZJUUUORDSA-N -1 1 312.457 1.142 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2nc[nH]c2Br)CCCOC1 ZINC000867051121 706882172 /nfs/dbraw/zinc/88/21/72/706882172.db2.gz AWIKDPLLQOPMGP-VIFPVBQESA-N -1 1 324.200 1.020 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2COC3(CCCC3)O2)sn1 ZINC000867053423 706882986 /nfs/dbraw/zinc/88/29/86/706882986.db2.gz FRCNXBYCMXRENI-SNVBAGLBSA-N -1 1 318.420 1.416 20 0 DDADMM CC(C)[C@@H](O)C1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000867059526 706885890 /nfs/dbraw/zinc/88/58/90/706885890.db2.gz WVVUVUITPAYXPD-LLVKDONJSA-N -1 1 320.361 1.435 20 0 DDADMM Cc1csc([C@@H](C)C[N-]S(=O)(=O)N=S(C)(C)=O)n1 ZINC000867099120 706895910 /nfs/dbraw/zinc/89/59/10/706895910.db2.gz OLUGWXAATJMKQE-ZETCQYMHSA-N -1 1 311.454 1.117 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1CCO[C@H](C2CC2)C1 ZINC000867111445 706899355 /nfs/dbraw/zinc/89/93/55/706899355.db2.gz FTFSXTUGKUBLDW-RYUDHWBXSA-N -1 1 324.468 1.286 20 0 DDADMM CC(C)(O)CC[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000867124245 706903949 /nfs/dbraw/zinc/90/39/49/706903949.db2.gz LFMKXYDXRGNAEG-LJQANCHMSA-N -1 1 320.436 1.139 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1ccc2c(n1)CCCC2 ZINC000867196400 706921507 /nfs/dbraw/zinc/92/15/07/706921507.db2.gz XJWBXTKDPIGXAA-UHFFFAOYSA-N -1 1 317.436 1.022 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)CC(=O)N1 ZINC000909016560 712917140 /nfs/dbraw/zinc/91/71/40/712917140.db2.gz JZMCBVCEBQJGSC-MRVPVSSYSA-N -1 1 303.293 1.040 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000909019240 712918091 /nfs/dbraw/zinc/91/80/91/712918091.db2.gz IILHRWYCKBRVEI-OXIWPEFWSA-N -1 1 318.377 1.162 20 0 DDADMM CC[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867421748 706996649 /nfs/dbraw/zinc/99/66/49/706996649.db2.gz RAKOGZPOYYTTRJ-RKDXNWHRSA-N -1 1 306.334 1.596 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC(CC(F)F)C2)c1 ZINC000867429895 706999133 /nfs/dbraw/zinc/99/91/33/706999133.db2.gz DASOFXUPHXFNQL-UHFFFAOYSA-N -1 1 307.318 1.677 20 0 DDADMM CSc1nc(CNC(=O)C2(OC(C)C)CCC2)cc(=O)[n-]1 ZINC000880649629 707048164 /nfs/dbraw/zinc/04/81/64/707048164.db2.gz BFIZWPOQTUUFDU-UHFFFAOYSA-N -1 1 311.407 1.868 20 0 DDADMM CCO[C@H](C(=O)Nc1nc(Cl)ccc1[O-])[C@H]1CCOC1 ZINC000867713078 707082754 /nfs/dbraw/zinc/08/27/54/707082754.db2.gz METIQSIEHNDZKV-KWQFWETISA-N -1 1 300.742 1.821 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)Nc1ccc(/C=C\C(=O)[O-])cc1 ZINC000909098777 712936688 /nfs/dbraw/zinc/93/66/88/712936688.db2.gz NDILRQPBLLEKMX-LLPBQKLSSA-N -1 1 318.373 1.691 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC[C@H](C)SC)o1 ZINC000835668723 707303485 /nfs/dbraw/zinc/30/34/85/707303485.db2.gz PITCHHGHLQMTNM-QMMMGPOBSA-N -1 1 307.393 1.486 20 0 DDADMM Cc1ccc(C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)cn1 ZINC000872438692 707410678 /nfs/dbraw/zinc/41/06/78/707410678.db2.gz ZNOILQBPZCKKOK-LJQANCHMSA-N -1 1 319.452 1.621 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@H]2CCC[C@H]2O1)c1ccc(F)nc1F ZINC000872555632 707477059 /nfs/dbraw/zinc/47/70/59/707477059.db2.gz MMARBNUQJSORPW-KXUCPTDWSA-N -1 1 318.345 1.596 20 0 DDADMM C/C=C\C[C@@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OCC ZINC000882070682 707485792 /nfs/dbraw/zinc/48/57/92/707485792.db2.gz BGDJZVDABZUCMV-TZGMSPROSA-N -1 1 316.354 1.397 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)Cc1ccccc1 ZINC000909181044 712956039 /nfs/dbraw/zinc/95/60/39/712956039.db2.gz UNNKWXGPCZQADN-MGPQQGTHSA-N -1 1 313.357 1.573 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NC1(C(=O)[O-])CCCC1 ZINC000836717064 707507099 /nfs/dbraw/zinc/50/70/99/707507099.db2.gz RIXXBIGVKRJETQ-QMMMGPOBSA-N -1 1 324.299 1.203 20 0 DDADMM O=S(=O)([N-]CCO[C@@H]1CCCCO1)c1ccc(F)nc1F ZINC000882212262 707540718 /nfs/dbraw/zinc/54/07/18/707540718.db2.gz OSHVRFCPNHYVGU-LLVKDONJSA-N -1 1 322.333 1.181 20 0 DDADMM CCc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1OC ZINC000837023913 707567209 /nfs/dbraw/zinc/56/72/09/707567209.db2.gz ZXONAVWJRFYYHU-UHFFFAOYSA-N -1 1 317.345 1.136 20 0 DDADMM CC(Cl)(Cl)C(=O)[N-]C[C@@H](O)C(F)(F)C(F)(F)F ZINC000882560348 707697270 /nfs/dbraw/zinc/69/72/70/707697270.db2.gz LTEFFLHHKGKSNA-GSVOUGTGSA-N -1 1 304.042 1.855 20 0 DDADMM CCOC(=O)[C@@H](F)[C@@H]1CCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000882570582 707703415 /nfs/dbraw/zinc/70/34/15/707703415.db2.gz FNKPEEXGHSNINV-XCBNKYQSSA-N -1 1 303.721 1.563 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@]2(C)CC2(C)C)o1 ZINC000882579626 707706680 /nfs/dbraw/zinc/70/66/80/707706680.db2.gz DTQRBOBYHAZFEZ-ZDUSSCGKSA-N -1 1 301.364 1.781 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OC[C@@H]3COCCO3)n2)cc1 ZINC000837708105 707722829 /nfs/dbraw/zinc/72/28/29/707722829.db2.gz QPZNBIGNIJXKSO-ZDUSSCGKSA-N -1 1 318.329 1.459 20 0 DDADMM CC[C@@H](OC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C(C)=O ZINC000873353481 707822162 /nfs/dbraw/zinc/82/21/62/707822162.db2.gz DJZZAMRGRVZGKH-GFCCVEGCSA-N -1 1 305.334 1.505 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C1CCC=CCC1 ZINC000873430430 707847836 /nfs/dbraw/zinc/84/78/36/707847836.db2.gz PVVLSOMGQGXYLV-UHFFFAOYSA-N -1 1 321.295 1.914 20 0 DDADMM O=C([O-])c1ccc(NC(=O)[C@H]2CCc3[nH]cnc3C2)cc1F ZINC000909295265 712984449 /nfs/dbraw/zinc/98/44/49/712984449.db2.gz DDUXLUDSKVHUII-QMMMGPOBSA-N -1 1 303.293 1.991 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCc2ncncc2C1 ZINC000883043888 707911151 /nfs/dbraw/zinc/91/11/51/707911151.db2.gz AKZQFHLGIVHFFR-UHFFFAOYSA-N -1 1 303.749 1.963 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@]1(C(=O)[O-])CCSC1 ZINC000909352301 712998257 /nfs/dbraw/zinc/99/82/57/712998257.db2.gz HJXWYSRPSHBXRN-MRXNPFEDSA-N -1 1 322.430 1.237 20 0 DDADMM O=S(=O)([N-][C@@H](CCCO)c1ccccc1)c1ccns1 ZINC000885028392 708447440 /nfs/dbraw/zinc/44/74/40/708447440.db2.gz CQAFPSJDZGYMFJ-LBPRGKRZSA-N -1 1 312.416 1.935 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccns1)C1CCCCC1 ZINC000885053711 708457321 /nfs/dbraw/zinc/45/73/21/708457321.db2.gz AEQRVCHRQGOCPB-NSHDSACASA-N -1 1 318.420 1.543 20 0 DDADMM Cc1ccc([C@@H](O)[C@@H](C)[N-]S(=O)(=O)c2ccns2)cc1 ZINC000885186221 708488357 /nfs/dbraw/zinc/48/83/57/708488357.db2.gz VMOYIPCVEVWYRX-MFKMUULPSA-N -1 1 312.416 1.852 20 0 DDADMM COc1cc(C(=O)N2C3CCC2(C(N)=O)CC3)cc(Cl)c1[O-] ZINC000885266443 708505572 /nfs/dbraw/zinc/50/55/72/708505572.db2.gz KYSCYRGYWMPGLA-UHFFFAOYSA-N -1 1 324.764 1.677 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccns1)[C@](C)(O)c1ccccc1 ZINC000885272150 708507226 /nfs/dbraw/zinc/50/72/26/708507226.db2.gz FPTBAUVRVQXRLS-GWCFXTLKSA-N -1 1 312.416 1.718 20 0 DDADMM O=S(=O)([N-][C@H](C1CCC1)[C@@H]1CCCO1)c1ccns1 ZINC000885336540 708522223 /nfs/dbraw/zinc/52/22/23/708522223.db2.gz PXWRNTCFRUKVQR-CMPLNLGQSA-N -1 1 302.421 1.769 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC000885341290 708522779 /nfs/dbraw/zinc/52/27/79/708522779.db2.gz GZCUDQKCVKDJIT-DTORHVGOSA-N -1 1 318.420 1.542 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000885382222 708531252 /nfs/dbraw/zinc/53/12/52/708531252.db2.gz GCKOKZPRFIQBBS-VXNVDRBHSA-N -1 1 319.452 1.341 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C[C@H]1CCCO1)c1cccc(F)c1F ZINC000885530021 708568281 /nfs/dbraw/zinc/56/82/81/708568281.db2.gz NXOPBAXKGFJWJU-NXEZZACHSA-N -1 1 321.345 1.173 20 0 DDADMM O=C(NCCN=S1(=O)CCCC1)c1c([O-])cccc1F ZINC000898428019 708636657 /nfs/dbraw/zinc/63/66/57/708636657.db2.gz OUOWZRLNYWDZKS-UHFFFAOYSA-N -1 1 300.355 1.523 20 0 DDADMM CCOC(=O)[C@@H](F)[C@H]1CCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000898539439 708782335 /nfs/dbraw/zinc/78/23/35/708782335.db2.gz DTYSLTLPMLOELP-ZANVPECISA-N -1 1 313.300 1.895 20 0 DDADMM C[C@H]1COCCN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927786238 713056009 /nfs/dbraw/zinc/05/60/09/713056009.db2.gz UDBHHGUNOLYWFY-SNVBAGLBSA-N -1 1 314.332 1.891 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C1(c2ccc(Cl)cc2)CC1 ZINC000898749646 708854341 /nfs/dbraw/zinc/85/43/41/708854341.db2.gz CZFLCQJDGJMOTP-UHFFFAOYSA-N -1 1 319.748 1.889 20 0 DDADMM C[C@@H]1C(=O)N([N-]C(=O)c2cc3c(s2)CC[C@H](C)C3)C(=O)N1C ZINC000899026946 708957512 /nfs/dbraw/zinc/95/75/12/708957512.db2.gz TVCJRMSEABLLRX-DTWKUNHWSA-N -1 1 321.402 1.800 20 0 DDADMM COc1cc(C(=O)N2C[C@@H](O)C[C@@]2(C)CO)cc(Cl)c1[O-] ZINC000912671970 713066039 /nfs/dbraw/zinc/06/60/39/713066039.db2.gz VKRPNLVSAMAOJB-XPTSAGLGSA-N -1 1 315.753 1.012 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2c([O-])cccc2Cl)CCS1(=O)=O ZINC000887179987 708982375 /nfs/dbraw/zinc/98/23/75/708982375.db2.gz OMZYXKOYAVZBNM-RKDXNWHRSA-N -1 1 317.794 1.741 20 0 DDADMM N[C@H](Cc1cc2ccccc2o1)C(=O)N1CCCC[C@@H]1C(=O)[O-] ZINC000887395361 709035287 /nfs/dbraw/zinc/03/52/87/709035287.db2.gz BXDSGLDFODRZEA-ZIAGYGMSSA-N -1 1 316.357 1.768 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H](CO)C[C@@H]1CCCO1 ZINC000899274601 709040864 /nfs/dbraw/zinc/04/08/64/709040864.db2.gz FNYAZAOYPBKTLU-RYUDHWBXSA-N -1 1 313.781 1.634 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CC(F)F)C2CCC2)o1 ZINC000899787211 709195896 /nfs/dbraw/zinc/19/58/96/709195896.db2.gz QBMMLPKCEZWPQL-UHFFFAOYSA-N -1 1 322.333 1.448 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N(CC(F)F)C3CCC3)ccnc1-2 ZINC000888055585 709202277 /nfs/dbraw/zinc/20/22/77/709202277.db2.gz ABDIWDBAGQXFOT-UHFFFAOYSA-N -1 1 309.320 1.993 20 0 DDADMM CCc1cc(CNC(=O)[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C(=O)[O-])n[nH]1 ZINC000899810233 709203013 /nfs/dbraw/zinc/20/30/13/709203013.db2.gz VBBIEPWFOMHDBW-WCQXCIDTSA-N -1 1 303.362 1.501 20 0 DDADMM CCNC(=O)[C@@H](C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000899981703 709257549 /nfs/dbraw/zinc/25/75/49/709257549.db2.gz WTFZHYUHDOMROL-MRVPVSSYSA-N -1 1 305.309 1.334 20 0 DDADMM O=C([O-])[C@@]1(NCc2cn(Cc3ccccc3)nn2)CCSC1 ZINC000900033484 709273161 /nfs/dbraw/zinc/27/31/61/709273161.db2.gz OHUMPSUCCKNYKQ-OAHLLOKOSA-N -1 1 318.402 1.376 20 0 DDADMM CN=[S@](C)(=O)CCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000912796748 713095697 /nfs/dbraw/zinc/09/56/97/713095697.db2.gz JIVHYMAHDCJIQI-HXUWFJFHSA-N -1 1 320.798 1.512 20 0 DDADMM CN(CC(=O)N1CCCC[C@H]1CC(=O)[O-])[C@H]1CCSC1 ZINC000909497413 709489652 /nfs/dbraw/zinc/48/96/52/709489652.db2.gz NLUIDFQKCDIWIV-RYUDHWBXSA-N -1 1 300.424 1.280 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H]2C2CCCC2)o1 ZINC000889396951 709490500 /nfs/dbraw/zinc/49/05/00/709490500.db2.gz SFLOGQFGVFIEPR-LLVKDONJSA-N -1 1 312.391 1.592 20 0 DDADMM CCOC(=O)Cc1cccc(N(C)C(=O)C2=C([O-])C(C)N=N2)c1 ZINC000889463930 709514821 /nfs/dbraw/zinc/51/48/21/709514821.db2.gz JLMWGFNSLKQQHS-UHFFFAOYSA-N -1 1 317.345 1.806 20 0 DDADMM CSCC[C@H](NC(=O)CCc1cccnc1)c1nn[n-]n1 ZINC000912859922 713109518 /nfs/dbraw/zinc/10/95/18/713109518.db2.gz JSECGYLZINZXKD-NSHDSACASA-N -1 1 306.395 1.138 20 0 DDADMM CCc1oc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)cc1C ZINC000912861020 713110019 /nfs/dbraw/zinc/11/00/19/713110019.db2.gz AVLKNVOMADCUCE-VIFPVBQESA-N -1 1 309.395 1.888 20 0 DDADMM CSCC[C@H](NC(=O)c1scnc1C1CC1)c1nn[n-]n1 ZINC000912861699 713110508 /nfs/dbraw/zinc/11/05/08/713110508.db2.gz VIEWDARDMAWBHP-QMMMGPOBSA-N -1 1 324.435 1.758 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](C)Cc1ccco1)c1nn[n-]n1 ZINC000912862747 713110836 /nfs/dbraw/zinc/11/08/36/713110836.db2.gz AXAJQOCZJNPQQT-ONGXEEELSA-N -1 1 309.395 1.582 20 0 DDADMM CSCC[C@H](NC(=O)c1cncc(Cl)c1)c1nn[n-]n1 ZINC000912862922 713111190 /nfs/dbraw/zinc/11/11/90/713111190.db2.gz DVFKMLGDCRUJTO-VIFPVBQESA-N -1 1 312.786 1.472 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2CCOC[C@H]2CC(=O)[O-])[nH]1 ZINC000909617813 709542675 /nfs/dbraw/zinc/54/26/75/709542675.db2.gz YIRHRTRMQQWFSH-SNVBAGLBSA-N -1 1 303.318 1.187 20 0 DDADMM CSCC[C@H](NC(=O)Cc1cc(C)cc(C)c1)c1nn[n-]n1 ZINC000912863441 713111441 /nfs/dbraw/zinc/11/14/41/713111441.db2.gz YGSWGZZDAIJDGT-ZDUSSCGKSA-N -1 1 319.434 1.970 20 0 DDADMM CO[C@]12CCC[C@@]1(NC(=O)c1cnc(C3CC3)[n-]c1=O)CCO2 ZINC000928058475 713113220 /nfs/dbraw/zinc/11/32/20/713113220.db2.gz CFAQAOXRTQBASH-CVEARBPZSA-N -1 1 319.361 1.475 20 0 DDADMM CN(CC(=O)N1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)[O-])[C@H]1CCSC1 ZINC000909676307 709570726 /nfs/dbraw/zinc/57/07/26/709570726.db2.gz CKIWFXILNGTJGM-ZJQBRPOHSA-N -1 1 312.435 1.135 20 0 DDADMM C[C@](CC(=O)[O-])(NC(=O)CCc1cnc[nH]1)c1cccc(F)c1 ZINC000909682929 709575943 /nfs/dbraw/zinc/57/59/43/709575943.db2.gz QOXMCCZTNVHGAB-MRXNPFEDSA-N -1 1 319.336 1.988 20 0 DDADMM O=C([O-])[C@H](CC(F)F)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000909781289 709617153 /nfs/dbraw/zinc/61/71/53/709617153.db2.gz QJXWPJGJWGZBBA-JTQLQIEISA-N -1 1 301.293 1.056 20 0 DDADMM CC[C@H](O)[C@@H](C)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889787258 709635981 /nfs/dbraw/zinc/63/59/81/709635981.db2.gz RWQOIPZWAUVEIG-MXWKQRLJSA-N -1 1 309.366 1.261 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CCOCC(C)C)[n-]c1=O ZINC000889794277 709639397 /nfs/dbraw/zinc/63/93/97/709639397.db2.gz HYAQKLAWNCDLGX-GFCCVEGCSA-N -1 1 323.393 1.917 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cnn(C)c2C)[n-]c1=O ZINC000889794040 709639627 /nfs/dbraw/zinc/63/96/27/709639627.db2.gz FRHURNKBSLQWCW-NSHDSACASA-N -1 1 317.349 1.210 20 0 DDADMM CO[C@H]1CCN(C(=O)c2ccc3cncn3c2)[C@@H](C(=O)[O-])C1 ZINC000909982038 709717218 /nfs/dbraw/zinc/71/72/18/709717218.db2.gz CSNHQMMXFMXKLN-QWHCGFSZSA-N -1 1 303.318 1.039 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)[C@@H](C(=O)[O-])C1 ZINC000909984523 709719803 /nfs/dbraw/zinc/71/98/03/709719803.db2.gz PDORMBLONICWCQ-HTRCEHHLSA-N -1 1 321.255 1.133 20 0 DDADMM CO[C@@H]1CCN(C(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)[C@H](C(=O)[O-])C1 ZINC000909985137 709720297 /nfs/dbraw/zinc/72/02/97/709720297.db2.gz XILIGECXYRWAIA-SQNXGDPESA-N -1 1 321.377 1.166 20 0 DDADMM C[C@@H]1CCN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)CCS1 ZINC000910015510 709736549 /nfs/dbraw/zinc/73/65/49/709736549.db2.gz LAXRSZUMVKKNIX-NEPJUHHUSA-N -1 1 300.424 1.137 20 0 DDADMM Cc1nn[nH]c1C(=O)N1C[C@H](C2CCCCC2)C[C@@H]1C(=O)[O-] ZINC000910086827 709766767 /nfs/dbraw/zinc/76/67/67/709766767.db2.gz AJBGPLZVZRHZQR-VXGBXAGGSA-N -1 1 306.366 1.609 20 0 DDADMM Cn1cc([C@]2(CNC(=O)c3ccc([O-])c(F)c3)CCCO2)cn1 ZINC000890150843 709769059 /nfs/dbraw/zinc/76/90/59/709769059.db2.gz PFILIHIBILPZCK-MRXNPFEDSA-N -1 1 319.336 1.701 20 0 DDADMM Cn1cc([C@]2(CNC(=O)c3cc(F)ccc3[O-])CCCO2)cn1 ZINC000890151350 709769563 /nfs/dbraw/zinc/76/95/63/709769563.db2.gz ACCTYCIDLVLZKQ-MRXNPFEDSA-N -1 1 319.336 1.701 20 0 DDADMM O=C([O-])Cc1ccc(CNC(=O)[C@@H]2CCCc3[nH]ncc32)cc1 ZINC000910196315 709808082 /nfs/dbraw/zinc/80/80/82/709808082.db2.gz PQYBXDQQBDHCLP-CYBMUJFWSA-N -1 1 313.357 1.773 20 0 DDADMM COc1cc(C(=O)N[C@H](C)CC[S@@](C)=O)cc(Cl)c1[O-] ZINC000900885980 709810596 /nfs/dbraw/zinc/81/05/96/709810596.db2.gz BPMGJNFWOSVPEQ-ZPWHCFADSA-N -1 1 319.810 1.941 20 0 DDADMM COC[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccco1 ZINC000900922181 709829831 /nfs/dbraw/zinc/82/98/31/709829831.db2.gz HEMLJQCDHXTWDU-NSHDSACASA-N -1 1 303.318 1.770 20 0 DDADMM Cc1ccc(CC[C@@H](C)NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)o1 ZINC000910250943 709840421 /nfs/dbraw/zinc/84/04/21/709840421.db2.gz PGTNRCLWMONJSW-TZMCWYRMSA-N -1 1 322.405 1.822 20 0 DDADMM Cc1nc(NC[C@H](C(C)C)N2CCOCC2)ccc1C(=O)[O-] ZINC000910276504 709860139 /nfs/dbraw/zinc/86/01/39/709860139.db2.gz HJDLHRWLVKLECX-CQSZACIVSA-N -1 1 307.394 1.857 20 0 DDADMM O=C(C[N@@H+]1CCC[C@H](C(=O)[O-])C1)NCC[C@@H]1CCCS1 ZINC000910302892 709877552 /nfs/dbraw/zinc/87/75/52/709877552.db2.gz LUXAGNKXLGHNIC-RYUDHWBXSA-N -1 1 300.424 1.185 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NCC[C@@H]1CCCS1 ZINC000910302892 709877557 /nfs/dbraw/zinc/87/75/57/709877557.db2.gz LUXAGNKXLGHNIC-RYUDHWBXSA-N -1 1 300.424 1.185 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(C(=O)[C@H]1CCc3nc[nH]c3C1)C2 ZINC000910420217 709932157 /nfs/dbraw/zinc/93/21/57/709932157.db2.gz WPFHBIVCDTUYON-MMPTUQATSA-N -1 1 303.362 1.228 20 0 DDADMM CC(C)(CNC(=O)c1cnc(C2CC2)[n-]c1=O)OCC1CC1 ZINC000910436603 709941075 /nfs/dbraw/zinc/94/10/75/709941075.db2.gz YXSQBICHRDPHCY-UHFFFAOYSA-N -1 1 305.378 1.995 20 0 DDADMM CC(C)CCC[C@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)C(=O)[O-] ZINC000910574923 710006546 /nfs/dbraw/zinc/00/65/46/710006546.db2.gz IJTHMOVHQQNSIZ-YPMHNXCESA-N -1 1 307.394 1.910 20 0 DDADMM CN1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)Cc2ccccc21 ZINC000913051707 713155501 /nfs/dbraw/zinc/15/55/01/713155501.db2.gz QSKKYCWNECIEGO-CYBMUJFWSA-N -1 1 324.384 1.851 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])[C@@]12CCC(=O)N1CCS2 ZINC000910980374 710139325 /nfs/dbraw/zinc/13/93/25/710139325.db2.gz BBHLOKLBYJVDHE-ZDUSSCGKSA-N -1 1 314.313 1.674 20 0 DDADMM COCC(=O)N[C@H](C(=O)Nc1cc(F)cc(F)c1[O-])C(C)C ZINC000910981241 710139864 /nfs/dbraw/zinc/13/98/64/710139864.db2.gz FGTFRLAZJYVJLG-LBPRGKRZSA-N -1 1 316.304 1.396 20 0 DDADMM COc1cccc([C@H](NCc2cnn3c2CCC3)C(=O)[O-])c1 ZINC000901753411 710142377 /nfs/dbraw/zinc/14/23/77/710142377.db2.gz DAJKWCFWXFJCIH-HNNXBMFYSA-N -1 1 301.346 1.753 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])[C@H]1CCc2nncn2CC1 ZINC000910990755 710143920 /nfs/dbraw/zinc/14/39/20/710143920.db2.gz KGHSQWXSJCZZID-QMMMGPOBSA-N -1 1 308.288 1.853 20 0 DDADMM O=C([O-])C[C@@]1(NCc2cn3cc(Cl)ccc3n2)CCOC1 ZINC000901839224 710158293 /nfs/dbraw/zinc/15/82/93/710158293.db2.gz XWWLPNKFGGTXNT-AWEZNQCLSA-N -1 1 309.753 1.711 20 0 DDADMM Cn1nc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cc1Cl ZINC000891615104 710248920 /nfs/dbraw/zinc/24/89/20/710248920.db2.gz QSAZQABIOZEXEL-UHFFFAOYSA-N -1 1 307.741 1.700 20 0 DDADMM COCc1nc(NC[C@@H](CO)Cc2ccccc2)cc(=O)[n-]1 ZINC000891787365 710295074 /nfs/dbraw/zinc/29/50/74/710295074.db2.gz XPUUADJPKHLVBX-ZDUSSCGKSA-N -1 1 303.362 1.592 20 0 DDADMM COCc1nc(N[C@@H]2COc3c(cccc3OC)C2)cc(=O)[n-]1 ZINC000892321140 710416652 /nfs/dbraw/zinc/41/66/52/710416652.db2.gz OEJFCYWGQJBDCV-NSHDSACASA-N -1 1 317.345 1.753 20 0 DDADMM O=C([N-]n1ccc(=O)[nH]c1=O)c1cc2c(s1)CCCCC2 ZINC000913241172 713184504 /nfs/dbraw/zinc/18/45/04/713184504.db2.gz URWIBSQQCPFQTD-UHFFFAOYSA-N -1 1 305.359 1.663 20 0 DDADMM COCc1nc(NCCCOCC2CCOCC2)cc(=O)[n-]1 ZINC000892646627 710475438 /nfs/dbraw/zinc/47/54/38/710475438.db2.gz WYAUDJOXIWJKKD-UHFFFAOYSA-N -1 1 311.382 1.574 20 0 DDADMM CN1CCC[C@H]1C(=O)N1CCOc2c(cccc2C(=O)[O-])C1 ZINC000911028107 710601535 /nfs/dbraw/zinc/60/15/35/710601535.db2.gz JMNNYDRVJWOPGV-ZDUSSCGKSA-N -1 1 304.346 1.200 20 0 DDADMM Cc1cccc(OC[C@H](O)CNCc2ccc(C(=O)[O-])o2)c1 ZINC000902176428 710649746 /nfs/dbraw/zinc/64/97/46/710649746.db2.gz DZGJGQCMPCZZFT-GFCCVEGCSA-N -1 1 305.330 1.816 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)[C@H]1CCc2nc[nH]c2C1)C(F)(F)F ZINC000911161744 710663110 /nfs/dbraw/zinc/66/31/10/710663110.db2.gz OZNGTLCPYDANFF-IMTBSYHQSA-N -1 1 305.256 1.036 20 0 DDADMM CN1CCc2ccc(NC(=O)CN3CCC[C@H](C(=O)[O-])C3)cc21 ZINC000911221446 710693736 /nfs/dbraw/zinc/69/37/36/710693736.db2.gz CYFPPUZSMCKTMP-ZDUSSCGKSA-N -1 1 317.389 1.414 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000911574476 710861729 /nfs/dbraw/zinc/86/17/29/710861729.db2.gz UCPNBRLBXAYPNG-CQSZACIVSA-N -1 1 320.389 1.706 20 0 DDADMM CO[C@]1(CNC(=O)c2c(F)ccc([O-])c2F)CCSC1 ZINC000928494605 713212603 /nfs/dbraw/zinc/21/26/03/713212603.db2.gz LENHNLWXGIOYGS-ZDUSSCGKSA-N -1 1 303.330 1.922 20 0 DDADMM COCc1nc(NCC(C)(C)C2(O)CCOCC2)cc(=O)[n-]1 ZINC000894230846 711026082 /nfs/dbraw/zinc/02/60/82/711026082.db2.gz FNJMISJSZHVMFK-UHFFFAOYSA-N -1 1 311.382 1.308 20 0 DDADMM CC(C)(C)c1ccc(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)cc1 ZINC000911916371 711067391 /nfs/dbraw/zinc/06/73/91/711067391.db2.gz XNOZOQGDUOIAML-MRXNPFEDSA-N -1 1 306.362 1.366 20 0 DDADMM O=C(C/C=C/Cc1ccccc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494131 713237012 /nfs/dbraw/zinc/23/70/12/713237012.db2.gz SMZQIOABOXCBSG-ISZGNANSSA-N -1 1 313.361 1.289 20 0 DDADMM CC[C@@H](Cc1ccccc1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495299 713237975 /nfs/dbraw/zinc/23/79/75/713237975.db2.gz UUEMQRARKBDMGF-UONOGXRCSA-N -1 1 315.377 1.369 20 0 DDADMM C[C@H](OC1CCCCCC1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496020 713238448 /nfs/dbraw/zinc/23/84/48/713238448.db2.gz RELOOIBXURTVFI-AAEUAGOBSA-N -1 1 323.397 1.228 20 0 DDADMM CN(C)C(=O)N[C@@H]1CCCN(Cc2ccc(C(=O)[O-])s2)C1 ZINC000903690770 711245769 /nfs/dbraw/zinc/24/57/69/711245769.db2.gz RFVPQODTWWSWBB-SNVBAGLBSA-N -1 1 311.407 1.682 20 0 DDADMM C/C(=C/C1CCC(C)CC1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499958 713239749 /nfs/dbraw/zinc/23/97/49/713239749.db2.gz TVKPJLHHZAADIS-PLXLHILOSA-N -1 1 319.409 1.872 20 0 DDADMM CC(C)COc1cccc(NC(=O)[C@]2(C(=O)[O-])CNCCO2)c1 ZINC000912325932 711279998 /nfs/dbraw/zinc/27/99/98/711279998.db2.gz MSNUADFWUMATQD-INIZCTEOSA-N -1 1 322.361 1.103 20 0 DDADMM CO[C@@H]1CC[C@@H]1N(C)[C@@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000903946780 711344594 /nfs/dbraw/zinc/34/45/94/711344594.db2.gz NOQYNAHSXPINBC-TUKIKUTGSA-N -1 1 320.389 1.499 20 0 DDADMM Cc1c([C@@H](NCc2cccc3c2OCCO3)C(=O)[O-])cnn1C ZINC000904047950 711369949 /nfs/dbraw/zinc/36/99/49/711369949.db2.gz KXXQYSFHYNVXOZ-CQSZACIVSA-N -1 1 317.345 1.415 20 0 DDADMM CCOc1ccc(CNCc2cnn(C)c2C(=O)[O-])cc1F ZINC000904068745 711373612 /nfs/dbraw/zinc/37/36/12/711373612.db2.gz WJPUVSUBUIGKQV-UHFFFAOYSA-N -1 1 307.325 1.946 20 0 DDADMM C[C@H]1Cc2cc(CNCc3cc(C(=O)[O-])nn3C)ccc2O1 ZINC000904257810 711419160 /nfs/dbraw/zinc/41/91/60/711419160.db2.gz CUTSUQGIJBCPAE-JTQLQIEISA-N -1 1 301.346 1.732 20 0 DDADMM CC(C)c1c[nH]c(CN2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000895463973 711518931 /nfs/dbraw/zinc/51/89/31/711518931.db2.gz JRFBMMPXUKFPAY-UHFFFAOYSA-N -1 1 304.316 1.643 20 0 DDADMM CN(Cc1ncc(Br)cc1[O-])[C@]1(CO)CCOC1 ZINC000895538087 711537072 /nfs/dbraw/zinc/53/70/72/711537072.db2.gz IFPOYDZRSVTUKK-LBPRGKRZSA-N -1 1 317.183 1.133 20 0 DDADMM CN1CC[C@H]1CNC(=O)C[C@@]1(C(=O)[O-])CCCc2ccccc21 ZINC000905130628 711946045 /nfs/dbraw/zinc/94/60/45/711946045.db2.gz GFSGECANFDQKSF-KSSFIOAISA-N -1 1 316.401 1.556 20 0 DDADMM O=C(COc1ccc(Cl)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742300 713291857 /nfs/dbraw/zinc/29/18/57/713291857.db2.gz UDIYVDWASDSGHT-UHFFFAOYSA-N -1 1 321.768 1.638 20 0 DDADMM Cc1cc2ccccc2n1CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742726 713292143 /nfs/dbraw/zinc/29/21/43/713292143.db2.gz QZQGDAYWKWRAHZ-UHFFFAOYSA-N -1 1 324.388 1.869 20 0 DDADMM Cc1c(C(=O)N2CCC(c3nn[n-]n3)CC2)sc2nccn12 ZINC000913744033 713293002 /nfs/dbraw/zinc/29/30/02/713293002.db2.gz WXBOFDOXSHRABX-UHFFFAOYSA-N -1 1 317.378 1.237 20 0 DDADMM CC(C)(C)OC1CC(CC(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC000913745120 713293393 /nfs/dbraw/zinc/29/33/93/713293393.db2.gz PGPNPALMDGGSNI-UHFFFAOYSA-N -1 1 321.425 1.890 20 0 DDADMM O=C(c1nccc2ccsc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913745449 713293516 /nfs/dbraw/zinc/29/35/16/713293516.db2.gz FFHHFQMVKXVOJR-UHFFFAOYSA-N -1 1 314.374 1.829 20 0 DDADMM Cc1nc2sccn2c1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745612 713293609 /nfs/dbraw/zinc/29/36/09/713293609.db2.gz LIXCCVPQMNTKPQ-UHFFFAOYSA-N -1 1 317.378 1.237 20 0 DDADMM COCc1sccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913746739 713294416 /nfs/dbraw/zinc/29/44/16/713294416.db2.gz GDBBCEUSCUKZPY-UHFFFAOYSA-N -1 1 307.379 1.427 20 0 DDADMM O=C([O-])C(F)(F)CNS(=O)(=O)c1sccc1Cl ZINC000905999953 712216395 /nfs/dbraw/zinc/21/63/95/712216395.db2.gz PWIOOPOEYJYIGE-UHFFFAOYSA-N -1 1 305.711 1.400 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)s1 ZINC000907477145 712582533 /nfs/dbraw/zinc/58/25/33/712582533.db2.gz RDWHRUOXRVUBFP-QMMMGPOBSA-N -1 1 323.403 1.394 20 0 DDADMM CS[C@H](C)CCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907478188 712582999 /nfs/dbraw/zinc/58/29/99/712582999.db2.gz HLGSQLCROFPHGU-RKDXNWHRSA-N -1 1 301.441 1.348 20 0 DDADMM Cc1cnc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c(C)c1 ZINC000907479070 712583131 /nfs/dbraw/zinc/58/31/31/712583131.db2.gz IRTIKGYUOCICCQ-JTQLQIEISA-N -1 1 304.379 1.142 20 0 DDADMM O=C(COCC1CCCC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479572 712583227 /nfs/dbraw/zinc/58/32/27/712583227.db2.gz FOTJQBFRELYPFX-LLVKDONJSA-N -1 1 311.411 1.023 20 0 DDADMM CSc1cccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)n1 ZINC000907479829 712583290 /nfs/dbraw/zinc/58/32/90/712583290.db2.gz IXHJXHOINVDTNJ-SECBINFHSA-N -1 1 322.419 1.247 20 0 DDADMM COC1CCC(C(=O)N2CCSC[C@H]2c2nn[n-]n2)CC1 ZINC000907480392 712583568 /nfs/dbraw/zinc/58/35/68/712583568.db2.gz UACLNQXSCFIUOK-ILDUYXDCSA-N -1 1 311.411 1.021 20 0 DDADMM CC(=O)[C@@H]1C[C@H](C(=O)N2CCSC[C@H]2c2nn[n-]n2)C1(C)C ZINC000907480924 712583836 /nfs/dbraw/zinc/58/38/36/712583836.db2.gz XJMRSFUSPKHUMX-AXFHLTTASA-N -1 1 323.422 1.068 20 0 DDADMM O=C(C1CC2(CC2(F)F)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907482052 712584037 /nfs/dbraw/zinc/58/40/37/712584037.db2.gz NRUYWMLGFLUEPN-JKDSDDBFSA-N -1 1 315.349 1.252 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C[C@H](CO)O1 ZINC000907648241 712608345 /nfs/dbraw/zinc/60/83/45/712608345.db2.gz BEAGFZDQYDSVRO-NXEZZACHSA-N -1 1 320.320 1.301 20 0 DDADMM O=C(NC[C@H]1CC[C@@H](O)C1)c1c([O-])cnc2c(F)cccc21 ZINC000907674745 712612581 /nfs/dbraw/zinc/61/25/81/712612581.db2.gz FSRNWARCJUEGJJ-VHSXEESVSA-N -1 1 304.321 1.970 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)N[C@H]1CCOC12CCCCC2 ZINC000907898420 712643747 /nfs/dbraw/zinc/64/37/47/712643747.db2.gz YPBOURVVZPKDBB-KGLIPLIRSA-N -1 1 324.421 1.391 20 0 DDADMM CN(CC(=O)N[C@H](CC(=O)[O-])C(C)(C)C)[C@@H]1CCSC1 ZINC000908033326 712669625 /nfs/dbraw/zinc/66/96/25/712669625.db2.gz LTDGWRIRIHXELD-GHMZBOCLSA-N -1 1 302.440 1.429 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N(C)c1cccc(CC(=O)[O-])c1 ZINC000908084878 712682277 /nfs/dbraw/zinc/68/22/77/712682277.db2.gz LIHATALRFAJIFC-NSHDSACASA-N -1 1 301.346 1.878 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCOC2CCSCC2)sn1 ZINC000908452346 712777125 /nfs/dbraw/zinc/77/71/25/712777125.db2.gz JORAYOWEVFPSRY-UHFFFAOYSA-N -1 1 322.477 1.642 20 0 DDADMM CN(CC(=O)NCCc1ccc(C(=O)[O-])cc1)[C@@H]1CCSC1 ZINC000908604469 712819735 /nfs/dbraw/zinc/81/97/35/712819735.db2.gz KWRWPFYVRRZNOY-CQSZACIVSA-N -1 1 322.430 1.481 20 0 DDADMM CCn1ccnc1[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000908670010 712832287 /nfs/dbraw/zinc/83/22/87/712832287.db2.gz UNCNWZQMHZDLEX-SECBINFHSA-N -1 1 301.350 1.767 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCC(CC(=O)[O-])CC2)C1 ZINC000908682281 712834522 /nfs/dbraw/zinc/83/45/22/712834522.db2.gz YSOKZISGWUEDTJ-MFKMUULPSA-N -1 1 305.378 1.789 20 0 DDADMM O=C(CN1CCCC1=O)Nc1cccc([O-])c1Br ZINC000908715665 712840299 /nfs/dbraw/zinc/84/02/99/712840299.db2.gz WIKUTOXOEQXPMF-UHFFFAOYSA-N -1 1 313.151 1.716 20 0 DDADMM CCSCC[C@H](C)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908808221 712858255 /nfs/dbraw/zinc/85/82/55/712858255.db2.gz BUYACIRAOLENIX-RYUDHWBXSA-N -1 1 302.440 1.431 20 0 DDADMM COC(=O)c1ccnc(S(=O)(=O)[N-][C@@H]2C[C@H]2C(F)(F)F)c1 ZINC000918883861 713571143 /nfs/dbraw/zinc/57/11/43/713571143.db2.gz RFIWCCBWSSZNEW-HTQZYQBOSA-N -1 1 324.280 1.097 20 0 DDADMM C[C@@H](CN(C)Cc1nnc2n1CCC2)C(=O)c1ccc([O-])cc1 ZINC000929822579 713698037 /nfs/dbraw/zinc/69/80/37/713698037.db2.gz HJXAIBRXHYVWMC-LBPRGKRZSA-N -1 1 314.389 1.881 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000921094977 713713655 /nfs/dbraw/zinc/71/36/55/713713655.db2.gz YLQPIEWYOBSQQA-CYBMUJFWSA-N -1 1 321.373 1.937 20 0 DDADMM COC(=O)/C(C)=C/C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000921232626 713723881 /nfs/dbraw/zinc/72/38/81/713723881.db2.gz ISNDWPRQFAVIMQ-SOFGYWHQSA-N -1 1 305.302 1.362 20 0 DDADMM O=S(=O)(Cc1ccon1)NCCc1c(F)cc([O-])cc1F ZINC000921964988 713921884 /nfs/dbraw/zinc/92/18/84/713921884.db2.gz IMVUHIFPEZKWAW-UHFFFAOYSA-N -1 1 318.301 1.321 20 0 DDADMM COCCCS(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921965601 713922172 /nfs/dbraw/zinc/92/21/72/713922172.db2.gz OIHLQQPVMISDQU-UHFFFAOYSA-N -1 1 309.334 1.169 20 0 DDADMM O=S(=O)([N-][C@]12C[C@H]1COC21CCC1)c1cc(F)ccc1F ZINC000922105095 713962940 /nfs/dbraw/zinc/96/29/40/713962940.db2.gz ARVOSDQGJWMBRQ-LKFCYVNXSA-N -1 1 315.341 1.955 20 0 DDADMM CCOC[C@H]1CN(C[C@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000931253136 714048879 /nfs/dbraw/zinc/04/88/79/714048879.db2.gz QSUKHEYEIDMZMG-XJKSGUPXSA-N -1 1 307.390 1.948 20 0 DDADMM CC[C@@]1(O)CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932047830 714245841 /nfs/dbraw/zinc/24/58/41/714245841.db2.gz DVSWITAXGOITMP-MRXNPFEDSA-N -1 1 316.361 1.957 20 0 DDADMM COCCN(CC(=O)[O-])C[C@H](O)Cc1ccc2ccccc2c1 ZINC000923223803 714277158 /nfs/dbraw/zinc/27/71/58/714277158.db2.gz IKPGJFGBVXXROA-QGZVFWFLSA-N -1 1 317.385 1.776 20 0 DDADMM CCn1ncn([N-]C(=O)N[C@](C)(C2CC2)C(F)(F)F)c1=O ZINC000933566025 714619133 /nfs/dbraw/zinc/61/91/33/714619133.db2.gz RMLLMKVJJGOBLC-SNVBAGLBSA-N -1 1 307.276 1.049 20 0 DDADMM CCOC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)c1cncc([O-])c1 ZINC000924873962 714684870 /nfs/dbraw/zinc/68/48/70/714684870.db2.gz PIQSMODAUIOLRP-STQMWFEESA-N -1 1 308.334 1.018 20 0 DDADMM CCc1nc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)no1 ZINC000934277290 714784988 /nfs/dbraw/zinc/78/49/88/714784988.db2.gz LXXOEFJZVXHHNQ-SECBINFHSA-N -1 1 305.338 1.473 20 0 DDADMM O=C(N1CCC[C@H](c2nn[n-]n2)C1)[C@]12CCO[C@@H]1CCCC2 ZINC000935036881 714960969 /nfs/dbraw/zinc/96/09/69/714960969.db2.gz KCASUMIOINUEPU-YWPYICTPSA-N -1 1 305.382 1.255 20 0 DDADMM CC(C)CC(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937186459 715290612 /nfs/dbraw/zinc/29/06/12/715290612.db2.gz DCFOQLKMKRWNAH-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM CN(C(=O)CC1CCC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211607 715292916 /nfs/dbraw/zinc/29/29/16/715292916.db2.gz NBTQZLBGDKQDPG-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(/C=C/C1CC1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937862092 715618065 /nfs/dbraw/zinc/61/80/65/715618065.db2.gz WQKYBWUCVGPMJO-FYJFLYSWSA-N -1 1 301.346 1.084 20 0 DDADMM CCC(=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC000957481767 715920766 /nfs/dbraw/zinc/92/07/66/715920766.db2.gz OYTSONHSHTWIKV-UHFFFAOYSA-N -1 1 307.398 1.125 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938686684 715958822 /nfs/dbraw/zinc/95/88/22/715958822.db2.gz NNOBHHRKVUGOFR-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@]1(NC(=O)[C@H]2CC23CC3)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957722934 716016851 /nfs/dbraw/zinc/01/68/51/716016851.db2.gz TWQVYLOFOHCSEI-BDJLRTHQSA-N -1 1 315.373 1.308 20 0 DDADMM CSCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959043205 716842287 /nfs/dbraw/zinc/84/22/87/716842287.db2.gz VNHNOYGGPYLLPV-GHMZBOCLSA-N -1 1 323.418 1.117 20 0 DDADMM O=C(CC1CC1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000964680364 717377265 /nfs/dbraw/zinc/37/72/65/717377265.db2.gz RCEYOMMAKKJTDK-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964848040 717452252 /nfs/dbraw/zinc/45/22/52/717452252.db2.gz IOTSCXKQHORKRE-AVGNSLFASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1ccco1 ZINC000966331511 718517965 /nfs/dbraw/zinc/51/79/65/718517965.db2.gz QQRDEBHBEJRFNI-QWRGUYRKSA-N -1 1 315.329 1.271 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2[C@H](CCN2C(=O)C2CC2)C1 ZINC000945930208 718617627 /nfs/dbraw/zinc/61/76/27/718617627.db2.gz OGHNWNSUJUZSMN-CHWSQXEVSA-N -1 1 315.373 1.260 20 0 DDADMM CC(=O)N1C[C@@H](C)[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000947001440 718978083 /nfs/dbraw/zinc/97/80/83/718978083.db2.gz MYNYXUQDYLKIMW-BXKDBHETSA-N -1 1 320.393 1.211 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCC2CC2)C1 ZINC000967901426 719079724 /nfs/dbraw/zinc/07/97/24/719079724.db2.gz QMUJYPPRBPFGNE-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@@H](C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000948177543 719381130 /nfs/dbraw/zinc/38/11/30/719381130.db2.gz CHNPSWJSLMAAIK-NQBHXWOUSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1=CCCC1 ZINC000948619037 719592302 /nfs/dbraw/zinc/59/23/02/719592302.db2.gz QTRISWISPLXSTR-DGCLKSJQSA-N -1 1 315.373 1.474 20 0 DDADMM Cc1ccc(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])o1 ZINC000948875317 719760508 /nfs/dbraw/zinc/76/05/08/719760508.db2.gz XFLHVFHGLNXRPG-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)C1=CCCC1)c1ncccc1[O-] ZINC000949534749 720145449 /nfs/dbraw/zinc/14/54/49/720145449.db2.gz FITYMFAHMDTMPO-GFCCVEGCSA-N -1 1 301.346 1.228 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000949620144 720215215 /nfs/dbraw/zinc/21/52/15/720215215.db2.gz MDEVTHKPQXDBLC-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CCC(=O)N1CC[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000953012482 721535349 /nfs/dbraw/zinc/53/53/49/721535349.db2.gz GBWMWFUXBBCTAQ-INIZCTEOSA-N -1 1 303.362 1.262 20 0 DDADMM C/C=C(/C)C(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC000953887805 721674357 /nfs/dbraw/zinc/67/43/57/721674357.db2.gz DNHLKWYWSGIDOA-QCDXTXTGSA-N -1 1 317.389 1.816 20 0 DDADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)C1CC2(CC2)C1 ZINC001167159035 732788884 /nfs/dbraw/zinc/78/88/84/732788884.db2.gz SOLJIGACMKBSQR-UHFFFAOYSA-N -1 1 319.409 1.077 20 0 DDADMM Cc1nocc1CN1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010729889 733188977 /nfs/dbraw/zinc/18/89/77/733188977.db2.gz CLOGXRPINJZMQG-LBPRGKRZSA-N -1 1 302.334 1.088 20 0 DDADMM O=C(NC[C@@H]1CCN1CCOC1CCC1)c1ncccc1[O-] ZINC001038195590 733216490 /nfs/dbraw/zinc/21/64/90/733216490.db2.gz WSUBXTSZDWHFMT-LBPRGKRZSA-N -1 1 305.378 1.160 20 0 DDADMM CC[C@H](C)[C@@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167556906 734711732 /nfs/dbraw/zinc/71/17/32/734711732.db2.gz NRZJPBHZYUUXLY-NWDGAFQWSA-N -1 1 323.441 1.569 20 0 DDADMM O=C(C[C@H]1C=CCC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024863568 736136039 /nfs/dbraw/zinc/13/60/39/736136039.db2.gz DQBKCTYAPIASSR-QWHCGFSZSA-N -1 1 319.409 1.337 20 0 DDADMM C[C@H](CNc1cc(F)ncn1)N(C)C(=O)c1ncccc1[O-] ZINC001104536321 736203010 /nfs/dbraw/zinc/20/30/10/736203010.db2.gz MJJXZABGUXBVCZ-SECBINFHSA-N -1 1 305.313 1.289 20 0 DDADMM CC1(C)C(C)(C)C1(C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019886818 736938326 /nfs/dbraw/zinc/93/83/26/736938326.db2.gz ILUQALUATQNBOD-JTQLQIEISA-N -1 1 321.425 1.273 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCOC1)c1ccc(Cl)nc1F ZINC000692888637 738959494 /nfs/dbraw/zinc/95/94/94/738959494.db2.gz LOHGOCSKONICSU-QMMMGPOBSA-N -1 1 308.762 1.579 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)CCO1 ZINC000692897448 738966559 /nfs/dbraw/zinc/96/65/59/738966559.db2.gz ZNGBNQGHWUKALI-HTQZYQBOSA-N -1 1 308.762 1.720 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)[C@@H]1CCOC1 ZINC000692897595 738967250 /nfs/dbraw/zinc/96/72/50/738967250.db2.gz ZZYXRXQZCLKVDA-JGVFFNPUSA-N -1 1 308.762 1.577 20 0 DDADMM COc1nccc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)n1 ZINC001167931990 739707713 /nfs/dbraw/zinc/70/77/13/739707713.db2.gz MBOIXZNHEGJVRB-UHFFFAOYSA-N -1 1 321.273 1.570 20 0 DDADMM O=C(N[C@H]1C[C@H](Nc2ncncc2Cl)C1)c1ncccc1[O-] ZINC001059200786 740368958 /nfs/dbraw/zinc/36/89/58/740368958.db2.gz AUGVHDMWPXYFRQ-KYZUINATSA-N -1 1 319.752 1.604 20 0 DDADMM CCC(C)(C)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088372767 741439468 /nfs/dbraw/zinc/43/94/68/741439468.db2.gz NCSADMBQKHNWMZ-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088392899 741458689 /nfs/dbraw/zinc/45/86/89/741458689.db2.gz SBAYMZZCGBXFQF-QWHCGFSZSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1cc(F)c[nH]1 ZINC001076248390 742620310 /nfs/dbraw/zinc/62/03/10/742620310.db2.gz LTYONQAXVDYPIR-VIFPVBQESA-N -1 1 320.324 1.193 20 0 DDADMM CC(C)[C@H](C)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076245060 742624743 /nfs/dbraw/zinc/62/47/43/742624743.db2.gz MOISXDUZJGDYJA-RYUDHWBXSA-N -1 1 307.394 1.704 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ncc(F)cc1F ZINC001168693739 743058257 /nfs/dbraw/zinc/05/82/57/743058257.db2.gz KEDZOKUVMSATHD-LLVKDONJSA-N -1 1 316.271 1.392 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H](Cc1ccccc1)c1ccccc1 ZINC001181828321 743355272 /nfs/dbraw/zinc/35/52/72/743355272.db2.gz QNGNTXGLXUGEFG-HNNXBMFYSA-N -1 1 307.357 1.842 20 0 DDADMM C[C@H]1[C@H](NC(=O)C(F)F)CCCN1C(=O)c1ncccc1[O-] ZINC001014660584 743424333 /nfs/dbraw/zinc/42/43/33/743424333.db2.gz FMADJHBACVKERO-DTWKUNHWSA-N -1 1 313.304 1.162 20 0 DDADMM O=C(OC[C@@H]1C[C@H]2COC[C@H]2O1)c1c([O-])cc(F)cc1F ZINC000908957689 743608683 /nfs/dbraw/zinc/60/86/83/743608683.db2.gz JTSFJOBAJZEZCU-QOSJWCAFSA-N -1 1 300.257 1.631 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)CC(F)F)c1ccccc1N ZINC001182966698 743756453 /nfs/dbraw/zinc/75/64/53/743756453.db2.gz TWKFIVCWCPFJSP-UHFFFAOYSA-N -1 1 317.317 1.898 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)CC(F)F)c1ccccc1N ZINC001182966698 743756457 /nfs/dbraw/zinc/75/64/57/743756457.db2.gz TWKFIVCWCPFJSP-UHFFFAOYSA-N -1 1 317.317 1.898 20 0 DDADMM O=C(NC1CN(Cc2ccc(F)cc2F)C1)c1ncccc1[O-] ZINC001030239670 743976082 /nfs/dbraw/zinc/97/60/82/743976082.db2.gz LNWUEJYSCSVBKQ-UHFFFAOYSA-N -1 1 319.311 1.680 20 0 DDADMM COc1cccc(CN2CC(NC(=O)c3ncccc3[O-])C2)c1 ZINC001030241472 743977076 /nfs/dbraw/zinc/97/70/76/743977076.db2.gz FMUHJTLBINQVSX-UHFFFAOYSA-N -1 1 313.357 1.410 20 0 DDADMM CCCC[C@H](NC=O)C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001184579170 744064989 /nfs/dbraw/zinc/06/49/89/744064989.db2.gz MGLNRRBYPNPOHP-ZDUSSCGKSA-N -1 1 316.361 1.821 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)c2cnc(Cl)nc2)c(Cl)n1 ZINC001185005610 744135869 /nfs/dbraw/zinc/13/58/69/744135869.db2.gz HJINDJOPSMAGPR-UHFFFAOYSA-N -1 1 320.161 1.683 20 0 DDADMM CCOC(=O)c1sc(S(=O)(=O)N[C@H]2[CH]CC=C2)nc1C ZINC001184988063 744139450 /nfs/dbraw/zinc/13/94/50/744139450.db2.gz PTXZHIWJSHEGNO-SECBINFHSA-N -1 1 315.396 1.439 20 0 DDADMM CN(C)c1nc(NC(=O)c2cnc(C3CC3)o2)c(N=O)c(=O)[n-]1 ZINC001185196813 744186197 /nfs/dbraw/zinc/18/61/97/744186197.db2.gz MTXOPUOJICJGIO-UHFFFAOYSA-N -1 1 318.293 1.764 20 0 DDADMM COCc1cccc(OS(=O)(=O)c2c[n-]nc2Cl)c1 ZINC001186988041 744475541 /nfs/dbraw/zinc/47/55/41/744475541.db2.gz IDYAJWBWVBCBNX-UHFFFAOYSA-N -1 1 302.739 1.977 20 0 DDADMM CC(C)(C)Oc1ccc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001187349938 744554255 /nfs/dbraw/zinc/55/42/55/744554255.db2.gz LAIORPWRDRLZST-UHFFFAOYSA-N -1 1 318.333 1.900 20 0 DDADMM O=C(CC1CCC1)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992050658 744561646 /nfs/dbraw/zinc/56/16/46/744561646.db2.gz XNYGVNDFKGAMCL-UHFFFAOYSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1nccs1)c1ccccc1F ZINC001187909206 744628363 /nfs/dbraw/zinc/62/83/63/744628363.db2.gz WTVJNEUFDWBYGX-UHFFFAOYSA-N -1 1 300.336 1.443 20 0 DDADMM CCOC(=O)[C@H]1CC[C@@H]([N-]S(=O)(=O)c2nccs2)C1 ZINC001187924806 744636286 /nfs/dbraw/zinc/63/62/86/744636286.db2.gz AARACHZHLFCBMJ-DTWKUNHWSA-N -1 1 304.393 1.153 20 0 DDADMM CCOC(CCC[N-]S(=O)(=O)c1nccs1)OCC ZINC001187902583 744626898 /nfs/dbraw/zinc/62/68/98/744626898.db2.gz SDZYGKOYFNTODC-UHFFFAOYSA-N -1 1 308.425 1.601 20 0 DDADMM O=S(=O)([N-][C@@]12C[C@H]3C[C@@H](C1)C[C@](O)(C3)C2)c1nccs1 ZINC001187913503 744629234 /nfs/dbraw/zinc/62/92/34/744629234.db2.gz YFMZVPKMINUTAZ-QZHINBJYSA-N -1 1 314.432 1.505 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2nccs2)C1 ZINC001187924805 744636096 /nfs/dbraw/zinc/63/60/96/744636096.db2.gz AARACHZHLFCBMJ-BDAKNGLRSA-N -1 1 304.393 1.153 20 0 DDADMM O=S(=O)([N-]Cc1cnccn1)c1ccc(Cl)nc1Cl ZINC001187979782 744642213 /nfs/dbraw/zinc/64/22/13/744642213.db2.gz IEXXJORYBBHYRY-UHFFFAOYSA-N -1 1 319.173 1.657 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cccc(Cl)c1CO ZINC001188388540 744697019 /nfs/dbraw/zinc/69/70/19/744697019.db2.gz JOTZDZLNDFGKEO-UHFFFAOYSA-N -1 1 307.755 1.137 20 0 DDADMM COc1ccc(NC(=O)NCC[P@](=O)([O-])O)cc1Cl ZINC001188484200 744707562 /nfs/dbraw/zinc/70/75/62/744707562.db2.gz CAQPTMVDHNTWFB-UHFFFAOYSA-N -1 1 308.658 1.648 20 0 DDADMM COc1cc2c(cc1OC)[C@H](CNC(=O)c1ccncc1[O-])C2 ZINC001188644896 744735254 /nfs/dbraw/zinc/73/52/54/744735254.db2.gz VZWWVOYEVFCTSM-NSHDSACASA-N -1 1 314.341 1.874 20 0 DDADMM COC(=O)c1cnc(Cl)cc1[N-]S(=O)(=O)CCCF ZINC001189869784 745007955 /nfs/dbraw/zinc/00/79/55/745007955.db2.gz BQZUTDNMNCBKJP-UHFFFAOYSA-N -1 1 310.734 1.623 20 0 DDADMM COC(=O)[C@H](CC(C)C)NC(=O)Nc1ccc(C(N)=O)c([O-])c1 ZINC001190822978 745341363 /nfs/dbraw/zinc/34/13/63/745341363.db2.gz VOCQKIRGUYBOSG-NSHDSACASA-N -1 1 323.349 1.200 20 0 DDADMM CSc1ncc(C(=O)N2CCC(=O)[C@@H]3CCCC[C@H]32)c(=O)[n-]1 ZINC001191420908 745507495 /nfs/dbraw/zinc/50/74/95/745507495.db2.gz UXJZIYOJPDDESH-MWLCHTKSSA-N -1 1 321.402 1.878 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@](O)(CF)CC2)c(=O)[n-]1 ZINC001191428179 745509476 /nfs/dbraw/zinc/50/94/76/745509476.db2.gz DRPKTUZCOORUHZ-CYBMUJFWSA-N -1 1 315.370 1.231 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](CO)c2ccccc2)c(=O)[n-]1 ZINC001191440222 745512712 /nfs/dbraw/zinc/51/27/12/745512712.db2.gz JSCHORDHQITTBX-NSHDSACASA-N -1 1 319.386 1.410 20 0 DDADMM CSc1ncc(C(=O)N[C@](C)(CO)c2ccccc2)c(=O)[n-]1 ZINC001191440401 745513043 /nfs/dbraw/zinc/51/30/43/745513043.db2.gz LLRYJXIWKKNRKS-OAHLLOKOSA-N -1 1 319.386 1.542 20 0 DDADMM CN1CCC[C@](C)([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192053385 745685718 /nfs/dbraw/zinc/68/57/18/745685718.db2.gz CWMGFQNSNKWZCV-ZETCQYMHSA-N -1 1 309.646 1.718 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2cnc(Cl)cc2C)no1 ZINC001192308827 745749618 /nfs/dbraw/zinc/74/96/18/745749618.db2.gz OQHOQJDSVZDMRS-UHFFFAOYSA-N -1 1 302.743 1.213 20 0 DDADMM CCc1c(NC(=O)c2cc([O-])cc(F)c2F)c(C(N)=O)nn1C ZINC001192660704 745847278 /nfs/dbraw/zinc/84/72/78/745847278.db2.gz BEPPAHQGIZTGFT-UHFFFAOYSA-N -1 1 324.287 1.318 20 0 DDADMM O=C(Nc1cc(=O)[nH]c(=O)n1C1CC1)c1cc([O-])cc(F)c1F ZINC001192679691 745859774 /nfs/dbraw/zinc/85/97/74/745859774.db2.gz ZORSLXVEDIJJJJ-UHFFFAOYSA-N -1 1 323.255 1.520 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc(OC)c(F)c1 ZINC001193009034 745959093 /nfs/dbraw/zinc/95/90/93/745959093.db2.gz RJBCWIARKAMAND-UHFFFAOYSA-N -1 1 319.354 1.918 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1cnc2cc(C3CC3)nn2c1 ZINC001193214344 746016246 /nfs/dbraw/zinc/01/62/46/746016246.db2.gz YIMJCCPGAZKZAA-GFCCVEGCSA-N -1 1 322.390 1.527 20 0 DDADMM Cc1cccc2c1[C@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)C(=O)N2 ZINC001193277570 746041514 /nfs/dbraw/zinc/04/15/14/746041514.db2.gz AEKDATMEWVPJST-GWCFXTLKSA-N -1 1 310.375 1.087 20 0 DDADMM Cc1cccc2c1[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)C(=O)N2 ZINC001193277571 746042007 /nfs/dbraw/zinc/04/20/07/746042007.db2.gz AEKDATMEWVPJST-GXFFZTMASA-N -1 1 310.375 1.087 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CC1CCC1)c1ccccn1 ZINC001193534839 746123526 /nfs/dbraw/zinc/12/35/26/746123526.db2.gz ZPHSYWNKBAACMR-ZDUSSCGKSA-N -1 1 312.391 1.405 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2[nH]c(=O)[nH]c21)c1ccnc(C(F)F)c1 ZINC001193663156 746169470 /nfs/dbraw/zinc/16/94/70/746169470.db2.gz STINNSAYVDVUGZ-UHFFFAOYSA-N -1 1 322.231 1.301 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccnc(C(F)F)c1 ZINC001193663156 746169474 /nfs/dbraw/zinc/16/94/74/746169474.db2.gz STINNSAYVDVUGZ-UHFFFAOYSA-N -1 1 322.231 1.301 20 0 DDADMM CCOC(=O)c1n[nH]cc1NC(=O)c1c[n-]c(C(F)(F)F)n1 ZINC001194771020 746462678 /nfs/dbraw/zinc/46/26/78/746462678.db2.gz YSQUNEWBTDXHEH-UHFFFAOYSA-N -1 1 317.227 1.581 20 0 DDADMM CC(=O)c1cccc([N-]S(=O)(=O)C2CCC(=O)CC2)c1O ZINC001194804705 746464492 /nfs/dbraw/zinc/46/44/92/746464492.db2.gz YIROVYZRXVHUDM-UHFFFAOYSA-N -1 1 311.359 1.848 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1C[C@@H]2OCC(F)(F)[C@@H]2C1 ZINC001195299330 746570720 /nfs/dbraw/zinc/57/07/20/746570720.db2.gz MKOWFNLRXDGRIF-BDAKNGLRSA-N -1 1 304.680 1.547 20 0 DDADMM COCC1(CNC(=O)c2cc([O-])cnc2Cl)CCOCC1 ZINC001195314562 746574533 /nfs/dbraw/zinc/57/45/33/746574533.db2.gz ICHRCYGLZSZEOM-UHFFFAOYSA-N -1 1 314.769 1.614 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2cccnc2OC2COC2)n1 ZINC001195319922 746576987 /nfs/dbraw/zinc/57/69/87/746576987.db2.gz VGXFUBJMHKQZPR-UHFFFAOYSA-N -1 1 301.302 1.521 20 0 DDADMM CCCCOCC[N@H+]1CCCN(C(=O)c2ncccc2O)CC1 ZINC001195580211 746645578 /nfs/dbraw/zinc/64/55/78/746645578.db2.gz HLLLPZZXCJUOBW-UHFFFAOYSA-N -1 1 321.421 1.752 20 0 DDADMM CC(C)Nc1nc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cs1 ZINC001195674047 746668187 /nfs/dbraw/zinc/66/81/87/746668187.db2.gz XRZDIUGZUXKZJK-UHFFFAOYSA-N -1 1 324.322 1.815 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cnncc2Cl)cn1 ZINC001195684825 746672109 /nfs/dbraw/zinc/67/21/09/746672109.db2.gz PRVFXNOOKISQTA-UHFFFAOYSA-N -1 1 300.727 1.334 20 0 DDADMM Cc1nc2nc[nH]c2c(NC(=O)c2cc(Cl)ncc2[O-])n1 ZINC001196390151 746852636 /nfs/dbraw/zinc/85/26/36/746852636.db2.gz YHJJSEYFLZHSGJ-UHFFFAOYSA-N -1 1 304.697 1.668 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)Nc1ncccc1N ZINC001196721350 746943932 /nfs/dbraw/zinc/94/39/32/746943932.db2.gz IRHHOCSEUDUDRE-UHFFFAOYSA-N -1 1 312.376 1.349 20 0 DDADMM CC(=O)N1CC[C@@H]([C@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003154000 747017491 /nfs/dbraw/zinc/01/74/91/747017491.db2.gz BQUWTCSGQQZRHT-UONOGXRCSA-N -1 1 317.389 1.508 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cncc(OCC)c2)n1 ZINC001197606572 747220124 /nfs/dbraw/zinc/22/01/24/747220124.db2.gz LSEZZXMSWHMJFN-UHFFFAOYSA-N -1 1 304.306 1.632 20 0 DDADMM Cc1nc(CN2CC(CNC(=O)c3ncccc3[O-])C2)c(C)o1 ZINC001031628796 747251290 /nfs/dbraw/zinc/25/12/90/747251290.db2.gz YQGCKCFDUGPZCS-UHFFFAOYSA-N -1 1 316.361 1.254 20 0 DDADMM Cc1nc(CN2CC(CNC(=O)c3ncccc3[O-])C2)cs1 ZINC001031628077 747251903 /nfs/dbraw/zinc/25/19/03/747251903.db2.gz BXAGMATYRDVFSK-UHFFFAOYSA-N -1 1 318.402 1.414 20 0 DDADMM O=S(=O)([N-]c1ccc(CO)cc1)c1ccc2nccnc2c1 ZINC001198144183 747391951 /nfs/dbraw/zinc/39/19/51/747391951.db2.gz HDPIYEAUJUOJNU-UHFFFAOYSA-N -1 1 315.354 1.923 20 0 DDADMM CC(C)Oc1cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)ccn1 ZINC001199211295 747743474 /nfs/dbraw/zinc/74/34/74/747743474.db2.gz ASNXZWCUBWFNPH-UHFFFAOYSA-N -1 1 314.305 1.493 20 0 DDADMM CC(C)(C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn[nH]1)C2)C1CC1 ZINC001003936020 747775707 /nfs/dbraw/zinc/77/57/07/747775707.db2.gz JZQOVLOGXXAHAD-WZRBSPASSA-N -1 1 317.393 1.103 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cncn1CC1CCC1 ZINC001199610041 747913894 /nfs/dbraw/zinc/91/38/94/747913894.db2.gz KNQPIVPPADTYIH-UHFFFAOYSA-N -1 1 315.310 1.371 20 0 DDADMM Cc1nc(CN2CC[C@H](N(C)C(=O)c3ncccc3[O-])C2)co1 ZINC001033036762 748005241 /nfs/dbraw/zinc/00/52/41/748005241.db2.gz UPFMHPFVHUMUJX-ZDUSSCGKSA-N -1 1 316.361 1.430 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CC1CC1 ZINC001004326055 748324035 /nfs/dbraw/zinc/32/40/35/748324035.db2.gz DZHGVHFGHZRLNR-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(C)(C)F ZINC001004383652 748391436 /nfs/dbraw/zinc/39/14/36/748391436.db2.gz FYGMTGDHVRHISX-QWRGUYRKSA-N -1 1 323.368 1.502 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1CCCC(=O)C1 ZINC001201766721 748599032 /nfs/dbraw/zinc/59/90/32/748599032.db2.gz HPVPLPBLABSYKE-ZETCQYMHSA-N -1 1 308.812 1.895 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)CC1(C)CC1 ZINC001004902057 748904061 /nfs/dbraw/zinc/90/40/61/748904061.db2.gz OFIWKROGBLJUOY-RYUDHWBXSA-N -1 1 321.425 1.560 20 0 DDADMM COc1nc(C)cc(NC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108322798 761932448 /nfs/dbraw/zinc/93/24/48/761932448.db2.gz BXPWUPRXRRXATA-JTQLQIEISA-N -1 1 317.349 1.125 20 0 DDADMM C[C@@H](CNc1ccnc(C2CC2)n1)NC(=O)c1ncccc1[O-] ZINC001108323425 761933231 /nfs/dbraw/zinc/93/32/31/761933231.db2.gz QJAMJTWFHWDKDD-JTQLQIEISA-N -1 1 313.361 1.685 20 0 DDADMM CCC(CC)(CC)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000559947 761936109 /nfs/dbraw/zinc/93/61/09/761936109.db2.gz RUFUXWHRKLNGTJ-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM CC[C@H](C)C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996141573 749393021 /nfs/dbraw/zinc/39/30/21/749393021.db2.gz FSHVRXPDLCJEOQ-RNJOBUHISA-N -1 1 317.389 1.695 20 0 DDADMM Fc1cc(-c2noc(-c3cn[n-]n3)n2)cc(F)c1OC1COC1 ZINC001212799529 749514336 /nfs/dbraw/zinc/51/43/36/749514336.db2.gz ZDTFOOUJYNZWBP-UHFFFAOYSA-N -1 1 321.243 1.578 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001035135902 751221696 /nfs/dbraw/zinc/22/16/96/751221696.db2.gz OUUHAMCYKXHREP-ZYHUDNBSSA-N -1 1 307.398 1.027 20 0 DDADMM CC[C@@H](C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008071909 752533173 /nfs/dbraw/zinc/53/31/73/752533173.db2.gz SVZOHAPYPLFCFP-YPMHNXCESA-N -1 1 319.405 1.800 20 0 DDADMM C/C=C(/C)C(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062149595 752793181 /nfs/dbraw/zinc/79/31/81/752793181.db2.gz LWKXTVIFXVNQMD-RYHWZFLVSA-N -1 1 317.389 1.864 20 0 DDADMM CC(C)(C)CC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062544576 753095846 /nfs/dbraw/zinc/09/58/46/753095846.db2.gz NIMRUDGAASIACT-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005956102 753416489 /nfs/dbraw/zinc/41/64/89/753416489.db2.gz IXNCMOOLWZQJNP-VXGBXAGGSA-N -1 1 319.405 1.656 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)C2CC2)CN1C(=O)c1ncccc1[O-] ZINC001010127143 753627832 /nfs/dbraw/zinc/62/78/32/753627832.db2.gz RLQMQAJQNDTWPG-GHMZBOCLSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H](C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)C1CCC1 ZINC001011037874 754319904 /nfs/dbraw/zinc/31/99/04/754319904.db2.gz QWMKPQWZWKSBLW-LBPRGKRZSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccco2)CN1C(=O)c1ncccc1[O-] ZINC001012505307 755112391 /nfs/dbraw/zinc/11/23/91/755112391.db2.gz JHAIWPFUFGXXLB-QWRGUYRKSA-N -1 1 315.329 1.413 20 0 DDADMM Cc1nccc(N[C@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)n1 ZINC001040105966 762386453 /nfs/dbraw/zinc/38/64/53/762386453.db2.gz ATDDKMOYNYMMIH-JQWIXIFHSA-N -1 1 313.361 1.601 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C(C)(C)C)CCCN1C(=O)c1ncccc1[O-] ZINC001015218450 756174354 /nfs/dbraw/zinc/17/43/54/756174354.db2.gz FVOZJGMXMFTFQI-NEPJUHHUSA-N -1 1 319.405 1.943 20 0 DDADMM CC1(C(=O)N[C@H]2CC[C@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001015458468 756314492 /nfs/dbraw/zinc/31/44/92/756314492.db2.gz LGWGTVBTGBGRGG-RYUDHWBXSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@H]1CN(C(=O)C[C@@H]2C=CCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082587636 756862736 /nfs/dbraw/zinc/86/27/36/756862736.db2.gz SDJLXCYHJOMMEY-JHJVBQTASA-N -1 1 319.409 1.193 20 0 DDADMM O=C([O-])[C@@H](O)CCNCc1ncc(Br)cc1Cl ZINC001119613070 757926898 /nfs/dbraw/zinc/92/68/98/757926898.db2.gz BDTNJAUVUDQDOS-VIFPVBQESA-N -1 1 323.574 1.423 20 0 DDADMM CCC(=O)N1CSC[C@@H]1C(=O)Nc1cc(F)cc(F)c1[O-] ZINC000822533137 758217154 /nfs/dbraw/zinc/21/71/54/758217154.db2.gz FMJRJMHHRKDXEM-SNVBAGLBSA-N -1 1 316.329 1.920 20 0 DDADMM COc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1Cl ZINC000824716537 759198376 /nfs/dbraw/zinc/19/83/76/759198376.db2.gz UBEJXQKFPQTNKB-JTQLQIEISA-N -1 1 323.740 1.075 20 0 DDADMM O=C([C@@H]1C[C@]12CCc1ccccc12)N1CCC(c2nn[n-]n2)CC1 ZINC000827710208 759365567 /nfs/dbraw/zinc/36/55/67/759365567.db2.gz BVZQFYRHPXLCSG-YJBOKZPZSA-N -1 1 323.400 1.810 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOC2(CCCC2)C1 ZINC000828197755 759527717 /nfs/dbraw/zinc/52/77/17/759527717.db2.gz KTMKKQFIGYZBPX-UHFFFAOYSA-N -1 1 303.362 1.845 20 0 DDADMM CC(C)(C)C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019420447 759919521 /nfs/dbraw/zinc/91/95/21/759919521.db2.gz UYCDORDRILUKAZ-UHFFFAOYSA-N -1 1 317.389 1.508 20 0 DDADMM Cc1nc(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])co1 ZINC001038194201 760908891 /nfs/dbraw/zinc/90/88/91/760908891.db2.gz OHGLADGFFPXYJN-GFCCVEGCSA-N -1 1 302.334 1.088 20 0 DDADMM CC1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC=CC1 ZINC001001541991 762946120 /nfs/dbraw/zinc/94/61/20/762946120.db2.gz PVEYDMCUYSSBLE-UHFFFAOYSA-N -1 1 315.373 1.332 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001545293 762948831 /nfs/dbraw/zinc/94/88/31/762948831.db2.gz MQENEPSUQIEKMY-NSHDSACASA-N -1 1 305.378 1.268 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)F)c1ccc(NCC(=O)CCO)cc1 ZINC001170105084 765195928 /nfs/dbraw/zinc/19/59/28/765195928.db2.gz QMZANIZISQODDT-VIFPVBQESA-N -1 1 318.295 1.790 20 0 DDADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CN(C/C=C/Cl)C1 ZINC001044016349 765234815 /nfs/dbraw/zinc/23/48/15/765234815.db2.gz IYAVQKWZULCYPF-GORDUTHDSA-N -1 1 322.796 1.568 20 0 DDADMM Cc1nsc(NC2CC(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001051910771 765271013 /nfs/dbraw/zinc/27/10/13/765271013.db2.gz FZFNSWZTCDWMHY-UHFFFAOYSA-N -1 1 319.390 1.568 20 0 DDADMM CC(C)(CC(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001045028591 766045933 /nfs/dbraw/zinc/04/59/33/766045933.db2.gz XOQPBTSVCYESGK-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM CCOC(=O)c1ccc(NC(=O)C(=O)c2ccc([O-])cc2)cn1 ZINC001137427036 766162704 /nfs/dbraw/zinc/16/27/04/766162704.db2.gz NCAHXEGAZBAUDO-UHFFFAOYSA-N -1 1 314.297 1.785 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)Cc3cccc(F)c3)nc2n1 ZINC001131778238 768363554 /nfs/dbraw/zinc/36/35/54/768363554.db2.gz JGBXUSOEZHDPRH-UHFFFAOYSA-N -1 1 315.308 1.300 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N(C)[C@H](C)C(=O)OC(C)C)c1 ZINC001137486322 766330968 /nfs/dbraw/zinc/33/09/68/766330968.db2.gz XPEMFDBIZVHJJJ-LLVKDONJSA-N -1 1 307.346 1.925 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1ccc(CCO)cc1 ZINC001137494083 766358676 /nfs/dbraw/zinc/35/86/76/766358676.db2.gz SOWAFXSLGXWBDK-UHFFFAOYSA-N -1 1 305.359 1.566 20 0 DDADMM O=C(N[C@H]1CCN(c2ncncc2Cl)C1)c1ncccc1[O-] ZINC001058343970 766437538 /nfs/dbraw/zinc/43/75/38/766437538.db2.gz NJCLGALSEPGVPF-VIFPVBQESA-N -1 1 319.752 1.239 20 0 DDADMM O=C([N-]c1nc2cc(Cl)ccn2n1)c1noc2c1COCC2 ZINC001129567414 766936378 /nfs/dbraw/zinc/93/63/78/766936378.db2.gz UUVSWRJKILRASL-UHFFFAOYSA-N -1 1 319.708 1.696 20 0 DDADMM C[C@@H]1C[C@H](CNc2ncccn2)CN1C(=O)c1ncccc1[O-] ZINC001068320592 767095425 /nfs/dbraw/zinc/09/54/25/767095425.db2.gz DCQOHXMPCHTXRP-VXGBXAGGSA-N -1 1 313.361 1.540 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(Cc2nncs2)C1 ZINC001046259196 767314060 /nfs/dbraw/zinc/31/40/60/767314060.db2.gz GNSQHJHTDDEGDC-AWEZNQCLSA-N -1 1 319.390 1.033 20 0 DDADMM C[C@H]1[C@H](Nc2nccnc2F)CCN1C(=O)c1ncccc1[O-] ZINC001068821458 767638939 /nfs/dbraw/zinc/63/89/39/767638939.db2.gz BGLQUCRGAKKMMN-VHSXEESVSA-N -1 1 317.324 1.431 20 0 DDADMM CCC(CC)C(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131834425 768413628 /nfs/dbraw/zinc/41/36/28/768413628.db2.gz OVPDFQSFHFXYRY-DGCLKSJQSA-N -1 1 323.441 1.014 20 0 DDADMM CCC[C@@H](C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070567278 768583114 /nfs/dbraw/zinc/58/31/14/768583114.db2.gz QJKWFHRCGFPCDD-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)=CC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648236 768643135 /nfs/dbraw/zinc/64/31/35/768643135.db2.gz BSBSYNLDVHXWBL-GFCCVEGCSA-N -1 1 303.362 1.474 20 0 DDADMM C[C@@H](CC(=O)NCCNCc1n[nH]c(=O)[n-]1)c1cccc(F)c1 ZINC001133612300 770063399 /nfs/dbraw/zinc/06/33/99/770063399.db2.gz OBGAIPQFHDYCIV-JTQLQIEISA-N -1 1 321.356 1.049 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(-n2ccnc2)c(F)c1 ZINC001136329464 771937470 /nfs/dbraw/zinc/93/74/70/771937470.db2.gz CZVCNTXHMVDWCY-UHFFFAOYSA-N -1 1 301.285 1.096 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001144491943 772549868 /nfs/dbraw/zinc/54/98/68/772549868.db2.gz ZNLPJTGCRDTNIW-SSDOTTSWSA-N -1 1 304.262 1.692 20 0 DDADMM [O-]c1cc(F)c(CN2CCN(c3cccnn3)CC2)c(F)c1 ZINC001144555212 772564079 /nfs/dbraw/zinc/56/40/79/772564079.db2.gz ZOODHWXOBXYBPJ-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2sccc2C(=O)[O-])CCS1 ZINC001144680495 772595203 /nfs/dbraw/zinc/59/52/03/772595203.db2.gz PXWFLONUZIDJPS-NSHDSACASA-N -1 1 315.416 1.927 20 0 DDADMM O=C(CC1Cc2ccccc2C1)NCCCC[P@@](=O)([O-])O ZINC001146809116 772943275 /nfs/dbraw/zinc/94/32/75/772943275.db2.gz WTFLEDJKIWKOPN-UHFFFAOYSA-N -1 1 311.318 1.866 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=Cc2cccnc2)[n-]1 ZINC001146855596 772965725 /nfs/dbraw/zinc/96/57/25/772965725.db2.gz LCEAIYHOCHIAJL-FGEFZZPRSA-N -1 1 315.333 1.267 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=Cc2cccnc2)n[n-]1 ZINC001146855596 772965726 /nfs/dbraw/zinc/96/57/26/772965726.db2.gz LCEAIYHOCHIAJL-FGEFZZPRSA-N -1 1 315.333 1.267 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C=Cc2cccnc2)n1 ZINC001146855596 772965727 /nfs/dbraw/zinc/96/57/27/772965727.db2.gz LCEAIYHOCHIAJL-FGEFZZPRSA-N -1 1 315.333 1.267 20 0 DDADMM COc1cc(C(=O)[N-]c2c(F)cccc2OC2COC2)on1 ZINC001146940983 772981772 /nfs/dbraw/zinc/98/17/72/772981772.db2.gz LVYNARIDLKXTCN-UHFFFAOYSA-N -1 1 308.265 1.852 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cnccc1C(F)(F)F ZINC001147274513 773097634 /nfs/dbraw/zinc/09/76/34/773097634.db2.gz FMAMGRVBFAJHPK-UHFFFAOYSA-N -1 1 324.222 1.725 20 0 DDADMM CCCCCC[C@@H](F)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001147797421 773249367 /nfs/dbraw/zinc/24/93/67/773249367.db2.gz VYYCAERTRREMIT-SNVBAGLBSA-N -1 1 301.366 1.025 20 0 DDADMM COCc1ccc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001148456839 773476182 /nfs/dbraw/zinc/47/61/82/773476182.db2.gz MYGLSMHFXGCBII-UHFFFAOYSA-N -1 1 315.289 1.114 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H]1CCC(=O)N(C)C1 ZINC000084090791 777217829 /nfs/dbraw/zinc/21/78/29/777217829.db2.gz WZWFMSKPLOVRRC-SSDOTTSWSA-N -1 1 323.827 1.004 20 0 DDADMM CCN(C)C(=O)c1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1 ZINC001174321506 777457475 /nfs/dbraw/zinc/45/74/75/777457475.db2.gz WRHAUZUFBXZVNT-UHFFFAOYSA-N -1 1 319.390 1.889 20 0 DDADMM COCCn1cc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cn1 ZINC001174550227 777517895 /nfs/dbraw/zinc/51/78/95/777517895.db2.gz NEBBAHSRHSTCAB-UHFFFAOYSA-N -1 1 307.314 1.233 20 0 DDADMM COCCCN=c1ccc(N)cn1-c1c(F)cc([O-])cc1F ZINC001175099177 777677290 /nfs/dbraw/zinc/67/72/90/777677290.db2.gz WDUJESZTOHJNPN-UHFFFAOYSA-N -1 1 309.316 1.981 20 0 DDADMM CCOc1ncc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cn1 ZINC001175556377 777840713 /nfs/dbraw/zinc/84/07/13/777840713.db2.gz OBOYMXDOUKGMAZ-UHFFFAOYSA-N -1 1 305.298 1.578 20 0 DDADMM CSc1ccc([N-]S(=O)(=O)c2ccc(N)c(N)c2)cn1 ZINC001175656141 777865114 /nfs/dbraw/zinc/86/51/14/777865114.db2.gz XUKIOOYFPGIZEW-UHFFFAOYSA-N -1 1 310.404 1.769 20 0 DDADMM CN1CCN(c2ncccc2Nc2cncc(C(=O)[O-])c2)CC1 ZINC001175838926 777922063 /nfs/dbraw/zinc/92/20/63/777922063.db2.gz SKKABXOTEDQCRC-UHFFFAOYSA-N -1 1 313.361 1.670 20 0 DDADMM O=C([O-])c1cncc(Nc2nc[nH]c(=O)c2Br)c1 ZINC001175840116 777922427 /nfs/dbraw/zinc/92/24/27/777922427.db2.gz HMQPKHYOWMNOPC-UHFFFAOYSA-N -1 1 311.095 1.782 20 0 DDADMM Nc1[nH]c(=S)[nH]c(=O)c1Nc1c(F)cc(F)c([O-])c1F ZINC001176664908 778203348 /nfs/dbraw/zinc/20/33/48/778203348.db2.gz XUZOQUWBAAIXOK-UHFFFAOYSA-N -1 1 304.253 1.920 20 0 DDADMM C[C@H]1OC2(CCN(C(=O)Cc3nn[n-]n3)CC2)c2ccccc21 ZINC001176842135 778271191 /nfs/dbraw/zinc/27/11/91/778271191.db2.gz DDLHFMMBUMEWFD-LLVKDONJSA-N -1 1 313.361 1.351 20 0 DDADMM CC(C)C[C@@H](CC(=O)OC(C)(C)C)NC(=O)Cc1nn[n-]n1 ZINC001176847514 778301343 /nfs/dbraw/zinc/30/13/43/778301343.db2.gz ZGZNTXBFCVYECV-JTQLQIEISA-N -1 1 311.386 1.005 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@H]1C[C@@H]1c1cccs1 ZINC001177543890 778643904 /nfs/dbraw/zinc/64/39/04/778643904.db2.gz NHPXOHCGMIVWDP-BQBZGAKWSA-N -1 1 301.331 1.862 20 0 DDADMM C[C@@H](C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O)c1cccnc1 ZINC001177784336 778735570 /nfs/dbraw/zinc/73/55/70/778735570.db2.gz IAUFOCVEFUXEGW-MRVPVSSYSA-N -1 1 316.321 1.783 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)C1(c2ccc(F)cn2)CC1 ZINC001178082924 778890800 /nfs/dbraw/zinc/89/08/00/778890800.db2.gz LGXQFPFWWQFHFM-UHFFFAOYSA-N -1 1 314.280 1.263 20 0 DDADMM O=C(N[C@@H](CO)c1ncc[nH]1)c1c([O-])cnc2c(F)cccc21 ZINC001179172350 779301370 /nfs/dbraw/zinc/30/13/70/779301370.db2.gz JKXTUMSDLAWIOB-JTQLQIEISA-N -1 1 316.292 1.266 20 0 DDADMM Cc1cc(C)nc(N[C@@H](C)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001115719248 780374489 /nfs/dbraw/zinc/37/44/89/780374489.db2.gz LTHNXVLRWGRKHD-LBPRGKRZSA-N -1 1 315.377 1.767 20 0 DDADMM C[C@@H]1CCCN(C(=O)C(=O)OCC(=O)c2ccc([O-])cc2O)C1 ZINC001117339019 780727258 /nfs/dbraw/zinc/72/72/58/780727258.db2.gz FVTDKXIRLUYWIN-SNVBAGLBSA-N -1 1 321.329 1.082 20 0 DDADMM O=C([O-])[C@]1(N2CCN(C(=O)/C=C\C3CCCC3)CC2)CCOC1 ZINC001118916019 781271731 /nfs/dbraw/zinc/27/17/31/781271731.db2.gz XXZBKDDJPYIKPO-OSJSJHBBSA-N -1 1 322.405 1.121 20 0 DDADMM CN1CC[C@@H]2CC[C@H](C1)N2C(=O)c1ccc(/C=C/C(=O)[O-])o1 ZINC001119586168 781526510 /nfs/dbraw/zinc/52/65/10/781526510.db2.gz ZAXZRMBZBODSSE-GAEWNOSXSA-N -1 1 304.346 1.686 20 0 DDADMM O=C([O-])Cn1cc(CNCCc2nc3c(s2)CCCC3)nn1 ZINC001119603569 781532766 /nfs/dbraw/zinc/53/27/66/781532766.db2.gz XFERBXLCVNYCSZ-UHFFFAOYSA-N -1 1 321.406 1.030 20 0 DDADMM C[C@H](C(=O)NCCN(C)C(=O)c1ncccc1[O-])C(C)(F)F ZINC001408694356 838482344 /nfs/dbraw/zinc/48/23/44/838482344.db2.gz IVBUHBAVPMQSRL-SECBINFHSA-N -1 1 315.320 1.267 20 0 DDADMM O=C(NCCCN(C(=O)c1ncccc1[O-])C1CC1)C(F)F ZINC001283768072 838796604 /nfs/dbraw/zinc/79/66/04/838796604.db2.gz MMHWBNOQRYOFGP-UHFFFAOYSA-N -1 1 313.304 1.163 20 0 DDADMM CC[C@@H](F)C(=O)N(CC)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001409213096 844520557 /nfs/dbraw/zinc/52/05/57/844520557.db2.gz VZLGOMFGXWYTJW-NWDGAFQWSA-N -1 1 323.368 1.598 20 0 DDADMM Nc1ccc(-c2nc([C@@H]3CC(=O)N(C4CC4)C3)no2)c([O-])c1 ZINC001326213523 861199259 /nfs/dbraw/zinc/19/92/59/861199259.db2.gz HJLWGZLDTHVWBL-MRVPVSSYSA-N -1 1 300.318 1.503 20 0 DDADMM CN1CCN(C2CN(Cc3ccc(Cl)cc3C(=O)[O-])C2)CC1 ZINC001142669941 861221979 /nfs/dbraw/zinc/22/19/79/861221979.db2.gz YZUMLNFBPXSSOM-UHFFFAOYSA-N -1 1 323.824 1.470 20 0 DDADMM O=C(C=C1CCC1)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001269538566 841741508 /nfs/dbraw/zinc/74/15/08/841741508.db2.gz FPIKKWRXJATVHO-UHFFFAOYSA-N -1 1 305.382 1.139 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H]1CCC[C@H]1OC ZINC001363978667 842914352 /nfs/dbraw/zinc/91/43/52/842914352.db2.gz ZDKILAWMJZEGQP-RKDXNWHRSA-N -1 1 306.409 1.245 20 0 DDADMM CC(C)CN1CC[C@@]2(CCN(C(=O)c3cncc([O-])c3)C2)C1=O ZINC001271387346 843545637 /nfs/dbraw/zinc/54/56/37/843545637.db2.gz VGCDZQXULCWZTG-KRWDZBQOSA-N -1 1 317.389 1.508 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)C[C@@H]2CCOC2)sn1 ZINC001414234908 844697345 /nfs/dbraw/zinc/69/73/45/844697345.db2.gz XHANNYQQVUASFB-IUCAKERBSA-N -1 1 306.409 1.245 20 0 DDADMM CC[C@H](CNC(=O)CC(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001409397459 845036809 /nfs/dbraw/zinc/03/68/09/845036809.db2.gz OTCGXXWIXVBPDP-SECBINFHSA-N -1 1 315.320 1.457 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1n[nH]c2ncccc21 ZINC001149396361 861587805 /nfs/dbraw/zinc/58/78/05/861587805.db2.gz YOTDTRWJXNLGJF-UHFFFAOYSA-N -1 1 301.262 1.293 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC[C@@H]1C[C@H]1C1CC1 ZINC001364917466 845803215 /nfs/dbraw/zinc/80/32/15/845803215.db2.gz WXSUFJRUNMRWJV-ZJUUUORDSA-N -1 1 302.421 1.866 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C(C)(C)c2ncc[nH]2)c1 ZINC001364954738 845918191 /nfs/dbraw/zinc/91/81/91/845918191.db2.gz PLFPYCDRIARNGA-UHFFFAOYSA-N -1 1 313.335 1.003 20 0 DDADMM Cn1cnc2c1cccc2C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001155001579 861634731 /nfs/dbraw/zinc/63/47/31/861634731.db2.gz JICNBLKAQDUAKR-UHFFFAOYSA-N -1 1 309.289 1.198 20 0 DDADMM O=C(N[C@H]1C(=O)NCc2ccccc21)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273626 861922064 /nfs/dbraw/zinc/92/20/64/861922064.db2.gz QQXDOAMIVZTFIP-CQSZACIVSA-N -1 1 322.324 1.369 20 0 DDADMM O=C(N[C@H]1CCCc2nccnc21)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273759 861923583 /nfs/dbraw/zinc/92/35/83/861923583.db2.gz VKBSTGUJRGJBIZ-LBPRGKRZSA-N -1 1 309.329 1.866 20 0 DDADMM CSC[C@H](C)C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001410866171 849885294 /nfs/dbraw/zinc/88/52/94/849885294.db2.gz PKVPXTZCLNGKLH-JTQLQIEISA-N -1 1 323.418 1.069 20 0 DDADMM Cc1cccn2ncc(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)c12 ZINC001155633753 862315408 /nfs/dbraw/zinc/31/54/08/862315408.db2.gz VZDYHDSDQGNIJD-UHFFFAOYSA-N -1 1 309.289 1.267 20 0 DDADMM CCC[C@H](C)C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001411510310 853261436 /nfs/dbraw/zinc/26/14/36/853261436.db2.gz LAKGEDGXIVJUPV-RYUDHWBXSA-N -1 1 307.394 1.800 20 0 DDADMM Cc1ncc2c(n1)CC[C@H](NC(=O)c1ccc(F)c([O-])c1)C2 ZINC001411628810 853483143 /nfs/dbraw/zinc/48/31/43/853483143.db2.gz GNSBKQOQKXKDCG-LBPRGKRZSA-N -1 1 301.321 1.917 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@]12CCN(C(=O)c1cccc([O-])c1F)C2 ZINC001275984168 854081047 /nfs/dbraw/zinc/08/10/47/854081047.db2.gz LKDWJXJPVYCTDJ-ZBEGNZNMSA-N -1 1 306.337 1.649 20 0 DDADMM CC(C)(C)NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1cccc([O-])c1F)C2 ZINC001275983830 854085760 /nfs/dbraw/zinc/08/57/60/854085760.db2.gz BENKLMXPGIWGHR-BMLIUANNSA-N -1 1 320.364 1.908 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC001412153407 854273191 /nfs/dbraw/zinc/27/31/91/854273191.db2.gz AOHXIBYDSGCDPK-ONGXEEELSA-N -1 1 305.334 1.157 20 0 DDADMM Cn1ccnc1[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCO1 ZINC001412224911 854357019 /nfs/dbraw/zinc/35/70/19/854357019.db2.gz JVRMCMXKGJRBOY-CMPLNLGQSA-N -1 1 302.334 1.171 20 0 DDADMM O=C(NCCN1C[C@@H]2CCCCN2C1=O)c1ccc(F)c([O-])c1 ZINC001412364944 854476794 /nfs/dbraw/zinc/47/67/94/854476794.db2.gz JAKJVIQOJXSJDN-LBPRGKRZSA-N -1 1 321.352 1.551 20 0 DDADMM COC(=O)[C@@]1(C)C[C@H](OC)CN1C(=O)c1cccc([O-])c1F ZINC001412443866 854559836 /nfs/dbraw/zinc/55/98/36/854559836.db2.gz JNZHGDASPHEVII-BJOHPYRUSA-N -1 1 311.309 1.324 20 0 DDADMM O=C(Nc1cc(Br)c[nH]c1=O)c1cnncc1[O-] ZINC001412453425 854568226 /nfs/dbraw/zinc/56/82/26/854568226.db2.gz FXMCAGNGIZRXBO-UHFFFAOYSA-N -1 1 311.095 1.298 20 0 DDADMM COC[C@@H](NC(=O)COc1ccccc1C(C)C)c1nn[n-]n1 ZINC001412597725 854789810 /nfs/dbraw/zinc/78/98/10/854789810.db2.gz UJPWPWFOCJIFPY-GFCCVEGCSA-N -1 1 319.365 1.206 20 0 DDADMM Cc1nc([C@@H]2CCN(C(=O)c3cnc(C4CC4)[n-]c3=O)C2)no1 ZINC001412608441 854806657 /nfs/dbraw/zinc/80/66/57/854806657.db2.gz YDGGOHWGBGLWEN-SNVBAGLBSA-N -1 1 315.333 1.381 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)c1ccc([O-])cn1 ZINC001412637088 854858743 /nfs/dbraw/zinc/85/87/43/854858743.db2.gz BKQNASVWQUINOF-ZWNOBZJWSA-N -1 1 321.377 1.772 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1c2c[nH]nc2CC[C@H]1C)c1nn[n-]n1 ZINC001412643800 854867959 /nfs/dbraw/zinc/86/79/59/854867959.db2.gz OUNIIIOFFAWBMU-ZHAHWJHGSA-N -1 1 303.370 1.246 20 0 DDADMM COC(=O)c1cc(NC(=O)c2c([O-])cccc2OC)n(C)n1 ZINC001412702204 854984126 /nfs/dbraw/zinc/98/41/26/854984126.db2.gz FCTBZDHGPJURIO-UHFFFAOYSA-N -1 1 305.290 1.173 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCCC[C@@H]1CCn1ccnn1 ZINC001412803755 855291472 /nfs/dbraw/zinc/29/14/72/855291472.db2.gz QDHDDWXTCRFLQH-GFCCVEGCSA-N -1 1 301.350 1.464 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCc1ccc2c(c1)CCO2 ZINC001328064196 862669356 /nfs/dbraw/zinc/66/93/56/862669356.db2.gz GZBFXDBSGYYJFO-UHFFFAOYSA-N -1 1 321.373 1.944 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CCN(C(=O)c1ccc(F)c(=O)[n-]1)CC2 ZINC001276302306 855487718 /nfs/dbraw/zinc/48/77/18/855487718.db2.gz NAXYLKYWMNHNAX-SNVBAGLBSA-N -1 1 321.352 1.257 20 0 DDADMM CC(C)(C)NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1ccc(F)c(=O)[n-]1)C2 ZINC001276302296 855487771 /nfs/dbraw/zinc/48/77/71/855487771.db2.gz FWCKKQWFDIXLKE-JDNHERCYSA-N -1 1 321.352 1.303 20 0 DDADMM COC(=O)[C@H](Cc1ccncc1)NC(=O)c1cc(F)ccc1[O-] ZINC001413002599 855891619 /nfs/dbraw/zinc/89/16/19/855891619.db2.gz NCSSLXKTONFCNJ-ZDUSSCGKSA-N -1 1 318.304 1.440 20 0 DDADMM Cc1cnn(-c2ccc(C)cc2NC(=O)CCc2nn[n-]n2)c1 ZINC001151382493 862751327 /nfs/dbraw/zinc/75/13/27/862751327.db2.gz LNCIKSCPEMUMPJ-UHFFFAOYSA-N -1 1 311.349 1.574 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)CCCF ZINC001413128808 856453893 /nfs/dbraw/zinc/45/38/93/856453893.db2.gz CNNVXPDIXSYHBM-VXGBXAGGSA-N -1 1 323.368 1.646 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)Cc1cnc[nH]1 ZINC001413192283 856535696 /nfs/dbraw/zinc/53/56/96/856535696.db2.gz IBTGZQZCOLSQDZ-WPRPVWTQSA-N -1 1 318.299 1.010 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C3CCCC2CCC3)[n-]n1 ZINC001413292813 856618428 /nfs/dbraw/zinc/61/84/28/856618428.db2.gz QAVQXNSMBNOCHO-UHFFFAOYSA-N -1 1 313.379 1.292 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C3CCCC2CCC3)n[n-]1 ZINC001413292813 856618435 /nfs/dbraw/zinc/61/84/35/856618435.db2.gz QAVQXNSMBNOCHO-UHFFFAOYSA-N -1 1 313.379 1.292 20 0 DDADMM CCOC(=O)C(CC)(CC)[N-]S(=O)(=O)Cc1ccno1 ZINC001413359039 856712996 /nfs/dbraw/zinc/71/29/96/856712996.db2.gz UUQNHPKUAUQPGC-UHFFFAOYSA-N -1 1 304.368 1.216 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2cccnc2[nH]1 ZINC001151538907 862833200 /nfs/dbraw/zinc/83/32/00/862833200.db2.gz DSHQFVZSZLKMEU-UHFFFAOYSA-N -1 1 300.234 1.414 20 0 DDADMM O=S(=O)([N-]CCc1ccc(C(F)(F)F)cn1)C(F)F ZINC001259962611 856801289 /nfs/dbraw/zinc/80/12/89/856801289.db2.gz NTEVSQLCWDBVIA-UHFFFAOYSA-N -1 1 304.240 1.785 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1C[C@@H]1Cc1ccccc1 ZINC001413481505 856870916 /nfs/dbraw/zinc/87/09/16/856870916.db2.gz KPSKRILFZGXXDG-QWHCGFSZSA-N -1 1 321.402 1.722 20 0 DDADMM CC(C)c1cccc(F)c1[N-]S(=O)(=O)CS(C)(=O)=O ZINC001260593422 856892881 /nfs/dbraw/zinc/89/28/81/856892881.db2.gz LWOOTEUNSLQHRI-UHFFFAOYSA-N -1 1 309.384 1.693 20 0 DDADMM CCc1cccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1O ZINC001413502520 856897610 /nfs/dbraw/zinc/89/76/10/856897610.db2.gz JCWGUIGAOUNUAV-UHFFFAOYSA-N -1 1 303.366 1.766 20 0 DDADMM C[C@H](C[C@@H](C)NC(=O)c1cccc(F)c1)NC(=O)c1cnn[nH]1 ZINC001413664059 857303479 /nfs/dbraw/zinc/30/34/79/857303479.db2.gz JGUCBPHWLJLGRZ-NXEZZACHSA-N -1 1 319.340 1.271 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1C[C@H](C)c2ccccc21 ZINC001121777046 858594423 /nfs/dbraw/zinc/59/44/23/858594423.db2.gz WAJLAYZYQYUOGR-JTQLQIEISA-N -1 1 310.365 1.657 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1C[C@H](C)c2ccccc21 ZINC001121777046 858594425 /nfs/dbraw/zinc/59/44/25/858594425.db2.gz WAJLAYZYQYUOGR-JTQLQIEISA-N -1 1 310.365 1.657 20 0 DDADMM COCCOc1ccc(Cl)cc1C(=O)OCc1nn[n-]n1 ZINC001123018042 859056286 /nfs/dbraw/zinc/05/62/86/859056286.db2.gz AFMIGMWSKPAQHP-UHFFFAOYSA-N -1 1 312.713 1.235 20 0 DDADMM O=C(c1ccc2ccccc2n1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123911171 859468616 /nfs/dbraw/zinc/46/86/16/859468616.db2.gz BLSZQPVJDDNCFN-LBPRGKRZSA-N -1 1 322.372 1.843 20 0 DDADMM O=C(CCc1cccnc1Cl)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123961126 859497048 /nfs/dbraw/zinc/49/70/48/859497048.db2.gz HMLXTTRQBMLARU-JTQLQIEISA-N -1 1 306.757 1.197 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H]1CCC(C)(C)CO1 ZINC001123991810 859514439 /nfs/dbraw/zinc/51/44/39/859514439.db2.gz PBNASHAQUCBXEQ-SECBINFHSA-N -1 1 311.407 1.714 20 0 DDADMM O=C([C@H]1CC1(Cl)Cl)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123998355 859517706 /nfs/dbraw/zinc/51/77/06/859517706.db2.gz BCVBJHHNJMUEGI-JGVFFNPUSA-N -1 1 304.181 1.175 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](C)Cc1cccnc1 ZINC001124070662 859559896 /nfs/dbraw/zinc/55/98/96/859559896.db2.gz OCUOQNVGWNPCDU-MRVPVSSYSA-N -1 1 304.375 1.535 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(-c2c[nH]cn2)cc1)c1nn[n-]n1 ZINC001138058150 860003175 /nfs/dbraw/zinc/00/31/75/860003175.db2.gz UQUCQZMJIGLFRP-SNVBAGLBSA-N -1 1 311.349 1.466 20 0 DDADMM Nc1ccc(Cl)cc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001138438749 860103198 /nfs/dbraw/zinc/10/31/98/860103198.db2.gz YSMLFSQHQJCQLV-SNVBAGLBSA-N -1 1 324.797 1.366 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1nc(-c2cccs2)c[nH]1 ZINC001138709002 860167058 /nfs/dbraw/zinc/16/70/58/860167058.db2.gz VCYFRRXFOGASKL-UHFFFAOYSA-N -1 1 317.378 1.290 20 0 DDADMM COc1cccc(C[N@H+]2CCC[C@@](C)(O)C2)c1OCC(=O)[O-] ZINC001139268322 860341795 /nfs/dbraw/zinc/34/17/95/860341795.db2.gz UOZAIJLXLVCAEO-MRXNPFEDSA-N -1 1 309.362 1.505 20 0 DDADMM CCCCCC(=O)N[C@@H]1CCCC[C@H]1[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001224796595 881538323 /nfs/dbraw/zinc/53/83/23/881538323.db2.gz IHIRIADLYKTKLY-CHWSQXEVSA-N -1 1 323.441 1.206 20 0 DDADMM COC(=O)CO[C@H]1CCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001140895210 860726517 /nfs/dbraw/zinc/72/65/17/860726517.db2.gz LOYPBSOMXHAFON-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM O=C([O-])/C=C/c1ccccc1-c1noc([C@@H]2CNCCO2)n1 ZINC001325927418 860962541 /nfs/dbraw/zinc/96/25/41/860962541.db2.gz SRLSMAGOCMFAFQ-FYJFLYSWSA-N -1 1 301.302 1.495 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn3cccc(F)c23)n1 ZINC001156911488 863440887 /nfs/dbraw/zinc/44/08/87/863440887.db2.gz SQUNIVJHGPALRR-UHFFFAOYSA-N -1 1 317.280 1.626 20 0 DDADMM CN(Cc1ncccn1)C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152669818 863482080 /nfs/dbraw/zinc/48/20/80/863482080.db2.gz OEJYUPGTHNGGGV-UHFFFAOYSA-N -1 1 310.313 1.708 20 0 DDADMM O=C([N-]c1nc(C2CCOCC2)ns1)c1ccc[n+]([O-])c1 ZINC001329202273 863533657 /nfs/dbraw/zinc/53/36/57/863533657.db2.gz XXWXXTPUCMDJIZ-UHFFFAOYSA-N -1 1 306.347 1.318 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2nnc(C(C)C)n2C)c1 ZINC001153349010 863841503 /nfs/dbraw/zinc/84/15/03/863841503.db2.gz KXBKZBALVNQVCY-UHFFFAOYSA-N -1 1 316.361 1.695 20 0 DDADMM O=C(c1c([O-])ccc2ccccc21)N1CC[C@H](CO)[C@@H](O)C1 ZINC001153499218 863914937 /nfs/dbraw/zinc/91/49/37/863914937.db2.gz QLQFPUWUTAYYRP-DOMZBBRYSA-N -1 1 301.342 1.361 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc4cnn(C)c43)c1-2 ZINC001153676945 864011309 /nfs/dbraw/zinc/01/13/09/864011309.db2.gz XKSFLCVILKCSPW-UHFFFAOYSA-N -1 1 307.317 1.174 20 0 DDADMM O=C(Nc1ccnn1CC1CC1)c1cnc2cccnc2c1[O-] ZINC001153852099 864158063 /nfs/dbraw/zinc/15/80/63/864158063.db2.gz WOWFTSJZKKBNHL-UHFFFAOYSA-N -1 1 309.329 1.782 20 0 DDADMM O=C(Nc1ncccc1CCO)c1cnc2cccnc2c1[O-] ZINC001153855656 864164454 /nfs/dbraw/zinc/16/44/54/864164454.db2.gz BRQTWFZOMXLIME-UHFFFAOYSA-N -1 1 310.313 1.105 20 0 DDADMM O=C(NC[C@@H]1Cc2ccccc2O1)c1c[n-]c2cccnc2c1=O ZINC001153860658 864168200 /nfs/dbraw/zinc/16/82/00/864168200.db2.gz QRRMURQTJJKPSX-LBPRGKRZSA-N -1 1 321.336 1.657 20 0 DDADMM O=C(NC[C@H]1Cc2ccccc2O1)c1c[n-]c2cccnc2c1=O ZINC001153860657 864168888 /nfs/dbraw/zinc/16/88/88/864168888.db2.gz QRRMURQTJJKPSX-GFCCVEGCSA-N -1 1 321.336 1.657 20 0 DDADMM O=C(NCCO[C@H]1CCCCO1)c1c[n-]c2cccnc2c1=O ZINC001153862101 864171905 /nfs/dbraw/zinc/17/19/05/864171905.db2.gz PKYQVJITUWWEQY-ZDUSSCGKSA-N -1 1 317.345 1.196 20 0 DDADMM CSCCCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC001330237448 864177664 /nfs/dbraw/zinc/17/76/64/864177664.db2.gz AFSJNTDVCSYCQZ-UHFFFAOYSA-N -1 1 313.345 1.059 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)c1cccc(Cl)c1 ZINC001381432538 881753726 /nfs/dbraw/zinc/75/37/26/881753726.db2.gz BNQMXJHCUNWDHQ-RKDXNWHRSA-N -1 1 323.784 1.460 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@@H]1CCCCN1Cc1ccncc1 ZINC001330803915 864618779 /nfs/dbraw/zinc/61/87/79/864618779.db2.gz GSBJPBGLONWDBE-JKSUJKDBSA-N -1 1 321.421 1.501 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nc(Cl)nc4c3CCC4)c2[nH]1 ZINC001159185006 865152746 /nfs/dbraw/zinc/15/27/46/865152746.db2.gz DYHMOVBXLCTKPG-UHFFFAOYSA-N -1 1 319.712 1.391 20 0 DDADMM COC(=O)c1c(Cl)ccnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159192582 865157921 /nfs/dbraw/zinc/15/79/21/865157921.db2.gz UEXKQHNNRMNIPZ-UHFFFAOYSA-N -1 1 320.696 1.637 20 0 DDADMM Cc1cc(Nc2[n-]c(=O)nc3nc[nH]c32)nc(-c2ccncc2)n1 ZINC001159199746 865164132 /nfs/dbraw/zinc/16/41/32/865164132.db2.gz RBWCMYNYBYITPQ-UHFFFAOYSA-N -1 1 320.316 1.963 20 0 DDADMM Cc1cc2c(c(NC(=N)c3cccnc3Cl)n1)C(=O)[N-]C2=O ZINC001160162276 865705777 /nfs/dbraw/zinc/70/57/77/865705777.db2.gz NFNOQLLHRGFSPW-UHFFFAOYSA-N -1 1 315.720 1.759 20 0 DDADMM COC(=O)c1ccc2nc([N-]c3nccnc3CN)ncc2c1 ZINC001160245865 865745561 /nfs/dbraw/zinc/74/55/61/865745561.db2.gz IAFWGUSZYAVXGV-UHFFFAOYSA-N -1 1 310.317 1.409 20 0 DDADMM O=C(CCOCC(F)(F)C(F)(F)F)Nc1c[n-][nH]c1=O ZINC001161391837 866622099 /nfs/dbraw/zinc/62/20/99/866622099.db2.gz QYZHNULRDPNRSX-UHFFFAOYSA-N -1 1 303.187 1.658 20 0 DDADMM COC[C@H](C)C[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001320585737 866858597 /nfs/dbraw/zinc/85/85/97/866858597.db2.gz NALLQPIAHFGNDS-SSDOTTSWSA-N -1 1 313.206 1.949 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)NC1CC=CC1 ZINC001334019729 867242130 /nfs/dbraw/zinc/24/21/30/867242130.db2.gz OYEAYRHLZLOUQP-UHFFFAOYSA-N -1 1 310.300 1.164 20 0 DDADMM COc1ccc(Br)c(C(=O)N(C)c2nn[n-]n2)c1 ZINC001361665793 882115308 /nfs/dbraw/zinc/11/53/08/882115308.db2.gz BGBCJXMEKHUNMB-UHFFFAOYSA-N -1 1 312.127 1.247 20 0 DDADMM Cn1ccc(-c2nc(CN[C@@H]3C=C[C@@H](C(=O)[O-])C3)cs2)n1 ZINC001334840718 867857479 /nfs/dbraw/zinc/85/74/79/867857479.db2.gz FAVIWFJCTJEMKG-NXEZZACHSA-N -1 1 304.375 1.663 20 0 DDADMM CN(Cc1ccc(C(N)=O)cc1)C(=O)c1ccc(F)c([O-])c1 ZINC001361717649 882221558 /nfs/dbraw/zinc/22/15/58/882221558.db2.gz VJYQDTULLVILKM-UHFFFAOYSA-N -1 1 302.305 1.902 20 0 DDADMM CC/C(C)=C/C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164447133 869195340 /nfs/dbraw/zinc/19/53/40/869195340.db2.gz GUUFPGUTZINMCI-DHZHZOJOSA-N -1 1 307.398 1.243 20 0 DDADMM CN(CCc1cccs1)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001337927883 869696956 /nfs/dbraw/zinc/69/69/56/869696956.db2.gz QJPOEFOXKUPVKD-UHFFFAOYSA-N -1 1 323.813 1.994 20 0 DDADMM CC(C)[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1C[C@H]1C ZINC001381621611 882351097 /nfs/dbraw/zinc/35/10/97/882351097.db2.gz CRPUFQXKUOUPBH-JHJVBQTASA-N -1 1 319.405 1.704 20 0 DDADMM O=C(Cc1ccc(-n2cccn2)cc1)NC1(c2nn[n-]n2)CCC1 ZINC001303222256 871111771 /nfs/dbraw/zinc/11/17/71/871111771.db2.gz PTRZGVDITTZJHL-UHFFFAOYSA-N -1 1 323.360 1.124 20 0 DDADMM CCC[C@H](C)CCNS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364030645 887757495 /nfs/dbraw/zinc/75/74/95/887757495.db2.gz XVBOOAQZYGIWRC-VIFPVBQESA-N -1 1 303.384 1.301 20 0 DDADMM CCC[C@H](C)CCNS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364030645 887757508 /nfs/dbraw/zinc/75/75/08/887757508.db2.gz XVBOOAQZYGIWRC-VIFPVBQESA-N -1 1 303.384 1.301 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2cccc([O-])c2F)cc1 ZINC001361853218 882503808 /nfs/dbraw/zinc/50/38/08/882503808.db2.gz GIJKBJJCICKSPR-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM CC1(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CCOCC1 ZINC001361867290 882532085 /nfs/dbraw/zinc/53/20/85/882532085.db2.gz GRGYXHPLEJCJRI-UHFFFAOYSA-N -1 1 317.349 1.534 20 0 DDADMM CC(F)(F)c1cccc(NC(=O)[C@]2(C(=O)[O-])CNCCO2)c1 ZINC001341810264 871837720 /nfs/dbraw/zinc/83/77/20/871837720.db2.gz KIGPXPUSUBPEAB-AWEZNQCLSA-N -1 1 314.288 1.180 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CSC[C@@H]1C(=O)N1CCCC1 ZINC001361877810 882558532 /nfs/dbraw/zinc/55/85/32/882558532.db2.gz NYQGOYCRAMXQJB-LLVKDONJSA-N -1 1 324.377 1.669 20 0 DDADMM CCCNC(=O)c1ccccc1NC(=O)c1cnncc1[O-] ZINC001361891121 882585248 /nfs/dbraw/zinc/58/52/48/882585248.db2.gz IWIJWBYTVPCVGF-UHFFFAOYSA-N -1 1 300.318 1.574 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)Nc1cccc(C(=O)N(C)c2nn[n-]n2)c1 ZINC001361901966 882607540 /nfs/dbraw/zinc/60/75/40/882607540.db2.gz DRZXZQXAOVBYFD-LDYMZIIASA-N -1 1 300.322 1.071 20 0 DDADMM O=C([N-]c1nnc(C(F)F)s1)c1cn([C@@H]2CC[C@H]2O)nn1 ZINC001361909026 882622489 /nfs/dbraw/zinc/62/24/89/882622489.db2.gz OWVXEYSUXFTWFW-PHDIDXHHSA-N -1 1 316.293 1.015 20 0 DDADMM NS(=O)(=O)c1cccc(CNC(=O)c2cccc([O-])c2F)c1 ZINC001361915289 882631618 /nfs/dbraw/zinc/63/16/18/882631618.db2.gz XSUFXQCUVJKGBN-UHFFFAOYSA-N -1 1 324.333 1.109 20 0 DDADMM CNc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(Cl)c1 ZINC001361925272 882652541 /nfs/dbraw/zinc/65/25/41/882652541.db2.gz OHAVVKKVIFUGSW-NSHDSACASA-N -1 1 322.756 1.109 20 0 DDADMM COCCCOc1cccc(C(=O)OCc2nn[n-]n2)c1C ZINC001344053828 872846085 /nfs/dbraw/zinc/84/60/85/872846085.db2.gz UJISCYGMSGTUDO-UHFFFAOYSA-N -1 1 306.322 1.280 20 0 DDADMM CC1(C)CC(=O)CC[C@@H]1Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c21 ZINC001226888671 882703841 /nfs/dbraw/zinc/70/38/41/882703841.db2.gz UAXYJXXJVAKKQU-ZETCQYMHSA-N -1 1 320.305 1.063 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2snnc2C)[n-]c1Cl ZINC001361963981 882721754 /nfs/dbraw/zinc/72/17/54/882721754.db2.gz QWJKFDNHVICRIA-UHFFFAOYSA-N -1 1 315.742 1.652 20 0 DDADMM O=C(NCc1nc(C2CC2)no1)c1cnc(C2CC2)[n-]c1=O ZINC001361973632 882740827 /nfs/dbraw/zinc/74/08/27/882740827.db2.gz PBYQGZNSXHWTJN-UHFFFAOYSA-N -1 1 301.306 1.250 20 0 DDADMM CCN(CC)C(=O)N1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001361986066 882765234 /nfs/dbraw/zinc/76/52/34/882765234.db2.gz XPUVEGNIYFTCOQ-UHFFFAOYSA-N -1 1 306.366 1.007 20 0 DDADMM COCC1CCC(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1 ZINC001347036378 873984418 /nfs/dbraw/zinc/98/44/18/873984418.db2.gz GROHSOFPPGEJTM-UHFFFAOYSA-N -1 1 311.407 1.714 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCc2cc(O)ccc2C1 ZINC001347487462 874160184 /nfs/dbraw/zinc/16/01/84/874160184.db2.gz FQHGWNMXUZINKE-UHFFFAOYSA-N -1 1 317.370 1.683 20 0 DDADMM CCN(OC)C(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC001347837136 874287308 /nfs/dbraw/zinc/28/73/08/874287308.db2.gz XUTBKAQPCDWFQT-UHFFFAOYSA-N -1 1 302.334 1.505 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](c1ccccc1)[C@H](C)O ZINC001347842691 874289377 /nfs/dbraw/zinc/28/93/77/874289377.db2.gz YOOMVOXBWBBMGA-JOYOIKCWSA-N -1 1 319.386 1.631 20 0 DDADMM Cc1ccc2c(CC(=O)N3CC[C@@H](c4nn[n-]n4)C3)coc2c1 ZINC001347974841 874324552 /nfs/dbraw/zinc/32/45/52/874324552.db2.gz PEUUDVQZWZDCLV-LLVKDONJSA-N -1 1 311.345 1.813 20 0 DDADMM O=C(c1cccc2ccncc21)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001348164576 874394136 /nfs/dbraw/zinc/39/41/36/874394136.db2.gz FWFDPNMPCWOELV-LBPRGKRZSA-N -1 1 322.372 1.843 20 0 DDADMM Cc1ccc(C(=O)N[C@@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001378394564 874803305 /nfs/dbraw/zinc/80/33/05/874803305.db2.gz XEKIVVDZQBYVTH-HNNXBMFYSA-N -1 1 319.365 1.100 20 0 DDADMM Cc1cccc(C)c1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348838621 874821271 /nfs/dbraw/zinc/82/12/71/874821271.db2.gz IFMLZFLTXAYIGE-UHFFFAOYSA-N -1 1 313.357 1.564 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](c2nn[n-]n2)C1)c1cn(C)c2ccccc12 ZINC001349001823 874918885 /nfs/dbraw/zinc/91/88/85/874918885.db2.gz COYLVUUGCJVWIS-NWDGAFQWSA-N -1 1 324.388 1.811 20 0 DDADMM COCC(=O)c1ccc([O-])cc1O[C@H]1CCCN(C(C)=O)C1 ZINC001227169290 882891414 /nfs/dbraw/zinc/89/14/14/882891414.db2.gz QYRJBNAXELHMPJ-ZDUSSCGKSA-N -1 1 307.346 1.611 20 0 DDADMM COc1ccc(C(=O)NCc2nn[n-]n2)c(OC2CCCC2)c1 ZINC001362039778 882895543 /nfs/dbraw/zinc/89/55/43/882895543.db2.gz MCNVODACNHBFFE-UHFFFAOYSA-N -1 1 317.349 1.460 20 0 DDADMM O=C(NCC1CC1)[C@H]1CCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001362056521 882931491 /nfs/dbraw/zinc/93/14/91/882931491.db2.gz ABWYXUHGBHJEIL-LBPRGKRZSA-N -1 1 320.364 1.910 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC(C)(C)n1ccnc1 ZINC001350091090 875532653 /nfs/dbraw/zinc/53/26/53/875532653.db2.gz IXBDPWRMFQLXPL-UHFFFAOYSA-N -1 1 307.379 1.141 20 0 DDADMM CC(C)c1nn(-c2ccccc2)cc1C(=O)N(C)c1nn[n-]n1 ZINC001350256736 875600830 /nfs/dbraw/zinc/60/08/30/875600830.db2.gz XAXHYBGMCMDYBL-UHFFFAOYSA-N -1 1 311.349 1.785 20 0 DDADMM COC(=O)c1cc(C)cc(Nc2c(N)[nH]c(=S)[n-]c2=O)c1 ZINC001211175474 875693502 /nfs/dbraw/zinc/69/35/02/875693502.db2.gz WDUBRNWFRGJHKM-UHFFFAOYSA-N -1 1 306.347 1.892 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cnccc1Br ZINC001211222432 875716161 /nfs/dbraw/zinc/71/61/61/875716161.db2.gz FQGICFLYCURSSH-UHFFFAOYSA-N -1 1 314.168 1.954 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1ccccc1)NCc1n[nH]c(=O)[n-]1 ZINC001378936492 875946155 /nfs/dbraw/zinc/94/61/55/875946155.db2.gz JSLLVAGGJDNAPA-GFCCVEGCSA-N -1 1 303.366 1.055 20 0 DDADMM CN(C(=O)[C@@H]1CC12CCC2)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001379040480 876202072 /nfs/dbraw/zinc/20/20/72/876202072.db2.gz ZBYXDGNHIWHRAD-NWDGAFQWSA-N -1 1 319.409 1.027 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCOCc1ccccc1 ZINC001351513120 876297846 /nfs/dbraw/zinc/29/78/46/876297846.db2.gz WSSMKQOXKOKHMP-UHFFFAOYSA-N -1 1 319.386 1.726 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@H](Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)C1 ZINC001227431626 883016373 /nfs/dbraw/zinc/01/63/73/883016373.db2.gz XFJHSOFIOABDRD-SFYZADRCSA-N -1 1 322.321 1.265 20 0 DDADMM O=c1[nH]c2nc(O[C@H]3CCc4c3nccc4Cl)[n-]c2c(=O)[nH]1 ZINC001227435293 883020035 /nfs/dbraw/zinc/02/00/35/883020035.db2.gz SEVYRDFUERLXSA-ZETCQYMHSA-N -1 1 319.708 1.879 20 0 DDADMM O=c1[nH]c2[n-]c(O[C@H]3CCc4c3nccc4Cl)nc2c(=O)[nH]1 ZINC001227435293 883020051 /nfs/dbraw/zinc/02/00/51/883020051.db2.gz SEVYRDFUERLXSA-ZETCQYMHSA-N -1 1 319.708 1.879 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@H](OCC(C)C)C1 ZINC001351644985 876363429 /nfs/dbraw/zinc/36/34/29/876363429.db2.gz JIAFKCKLZDVQDI-SNVBAGLBSA-N -1 1 311.407 1.666 20 0 DDADMM O=C([O-])[C@@H](NCc1cccnc1Br)[C@H]1CCCO1 ZINC001329682599 876473094 /nfs/dbraw/zinc/47/30/94/876473094.db2.gz RHSVIFFSPDKFRW-ZJUUUORDSA-N -1 1 315.167 1.566 20 0 DDADMM C[C@@]1(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CCCOC1 ZINC001362103801 883045226 /nfs/dbraw/zinc/04/52/26/883045226.db2.gz PRZBIENOIRUAFY-OAHLLOKOSA-N -1 1 317.349 1.534 20 0 DDADMM COc1nccc(SC)c1Nc1c(N)[nH]c(=S)[n-]c1=O ZINC001215927633 876824179 /nfs/dbraw/zinc/82/41/79/876824179.db2.gz PCJVMPGKEGQMQT-UHFFFAOYSA-N -1 1 311.392 1.922 20 0 DDADMM COc1ncc(Nc2cnccc2F)cc1[N-]S(C)(=O)=O ZINC001216154107 876880013 /nfs/dbraw/zinc/88/00/13/876880013.db2.gz SFTCZUTXYZGAEO-UHFFFAOYSA-N -1 1 312.326 1.739 20 0 DDADMM COc1ncc(Nc2cncnc2C)cc1[N-]S(C)(=O)=O ZINC001216152426 876880131 /nfs/dbraw/zinc/88/01/31/876880131.db2.gz SGMGCAXMQJGYEH-UHFFFAOYSA-N -1 1 309.351 1.304 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1nc2c(s1)CCC2 ZINC001352969610 877047798 /nfs/dbraw/zinc/04/77/98/877047798.db2.gz MTPQBUWIWWINHS-UHFFFAOYSA-N -1 1 322.415 1.655 20 0 DDADMM CN(CCCOc1ccc(F)cc1)C(=O)c1cnncc1[O-] ZINC001353521417 877398041 /nfs/dbraw/zinc/39/80/41/877398041.db2.gz GBVDNUIKMZBZDV-UHFFFAOYSA-N -1 1 305.309 1.862 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCN2C[C@H](C)OC[C@@H]2C)c1 ZINC001300610096 877976312 /nfs/dbraw/zinc/97/63/12/877976312.db2.gz FKDBAKIFMCBZBA-STQMWFEESA-N -1 1 320.389 1.352 20 0 DDADMM O=C(NCC1(NCc2ncccn2)CCC1)c1ncccc1[O-] ZINC001380857419 880539274 /nfs/dbraw/zinc/53/92/74/880539274.db2.gz CBAUBMQMZFGVPY-UHFFFAOYSA-N -1 1 313.361 1.020 20 0 DDADMM CC(C)c1ncc(Br)c(C(=O)Nc2nn[n-]n2)n1 ZINC001362137336 883131773 /nfs/dbraw/zinc/13/17/73/883131773.db2.gz FSFFRWAGZOTKLV-UHFFFAOYSA-N -1 1 312.131 1.128 20 0 DDADMM CC(C)c1ncc(Br)c(C(=O)Nc2nn[nH]n2)n1 ZINC001362137336 883131766 /nfs/dbraw/zinc/13/17/66/883131766.db2.gz FSFFRWAGZOTKLV-UHFFFAOYSA-N -1 1 312.131 1.128 20 0 DDADMM O=c1nc2c(c(OC[C@H]3CCc4ccccc4O3)[n-]1)COC2 ZINC001227689379 883134035 /nfs/dbraw/zinc/13/40/35/883134035.db2.gz FCOABKGFYVVHHN-LLVKDONJSA-N -1 1 300.314 1.985 20 0 DDADMM CNC(=O)COc1ccc(NC(=O)c2ccc([O-])cn2)cc1 ZINC001362254215 883416315 /nfs/dbraw/zinc/41/63/15/883416315.db2.gz OQRFOKFKMPWJJJ-UHFFFAOYSA-N -1 1 301.302 1.164 20 0 DDADMM CCOC(=O)CC1(CNC(=O)CCc2nn[n-]n2)CCCCC1 ZINC001362262203 883432899 /nfs/dbraw/zinc/43/28/99/883432899.db2.gz ZPJQKECPAGGNAO-UHFFFAOYSA-N -1 1 323.397 1.152 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)C2CCCC2)C1)c1ccc([O-])cn1 ZINC001362285057 883478698 /nfs/dbraw/zinc/47/86/98/883478698.db2.gz WFUUFUMZAWFBRX-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(N[C@@H]1CCCN(c2ccccc2F)C1)c1cnncc1[O-] ZINC001362301648 883517992 /nfs/dbraw/zinc/51/79/92/883517992.db2.gz OFZFRQPDYJGRLG-LLVKDONJSA-N -1 1 316.336 1.720 20 0 DDADMM CC[C@@H](C)C[C@@H](NC(=O)OC(C)(C)C)C(=O)Nc1nnn[n-]1 ZINC001362335871 883593785 /nfs/dbraw/zinc/59/37/85/883593785.db2.gz IFQYJEDJKARGJI-RKDXNWHRSA-N -1 1 312.374 1.468 20 0 DDADMM CC[C@@H](C)C[C@@H](NC(=O)OC(C)(C)C)C(=O)Nc1nn[n-]n1 ZINC001362335871 883593795 /nfs/dbraw/zinc/59/37/95/883593795.db2.gz IFQYJEDJKARGJI-RKDXNWHRSA-N -1 1 312.374 1.468 20 0 DDADMM C[C@H](CC(N)=O)C(=O)Nc1ccc(Br)c([O-])c1 ZINC001362349715 883623910 /nfs/dbraw/zinc/62/39/10/883623910.db2.gz AEQYNLXGOZJUBD-ZCFIWIBFSA-N -1 1 301.140 1.605 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2Cc3cccc(O)c3C2)c(=O)[n-]1 ZINC001362356781 883636926 /nfs/dbraw/zinc/63/69/26/883636926.db2.gz TYWARVRWDVSTOV-VIFPVBQESA-N -1 1 317.370 1.507 20 0 DDADMM Cc1nc(C(C)C)ncc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362406170 883739794 /nfs/dbraw/zinc/73/97/94/883739794.db2.gz JUYGVMKUWFGNHB-NSHDSACASA-N -1 1 315.381 1.441 20 0 DDADMM CC(C)Oc1ncc(Cl)cc1NC(=O)CCc1nn[n-]n1 ZINC001362445239 883825776 /nfs/dbraw/zinc/82/57/76/883825776.db2.gz UKUCOJHAWLUCGZ-UHFFFAOYSA-N -1 1 310.745 1.607 20 0 DDADMM COC(=O)[C@@H](Oc1cc([O-])cc2oc(=O)ccc12)C(F)(F)F ZINC001229128937 883837071 /nfs/dbraw/zinc/83/70/71/883837071.db2.gz FPZBNVGTVKBMJY-LLVKDONJSA-N -1 1 318.203 1.981 20 0 DDADMM Cn1cc(Br)c(CNC(=O)c2ccc([O-])cn2)n1 ZINC001362460968 883864368 /nfs/dbraw/zinc/86/43/68/883864368.db2.gz FHWQQZHBYDYRGJ-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1=NN(c2ccccc2)C(=O)CC1 ZINC001362499234 883949080 /nfs/dbraw/zinc/94/90/80/883949080.db2.gz BMZOWAVDLCUYSW-UHFFFAOYSA-N -1 1 313.317 1.545 20 0 DDADMM O=C(N[C@@H]1CCCN(CC(F)(F)F)C1=O)c1ccc([O-])cn1 ZINC001362511310 883974650 /nfs/dbraw/zinc/97/46/50/883974650.db2.gz VLLGTYWYEDTIAP-SNVBAGLBSA-N -1 1 317.267 1.070 20 0 DDADMM O=C(N[C@H]1CCCN(CC(F)(F)F)C1=O)c1ccc([O-])cn1 ZINC001362511309 883976000 /nfs/dbraw/zinc/97/60/00/883976000.db2.gz VLLGTYWYEDTIAP-JTQLQIEISA-N -1 1 317.267 1.070 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@@H](Oc2nc(=O)[n-]cc2C(=O)OC)C1 ZINC001229870061 884200227 /nfs/dbraw/zinc/20/02/27/884200227.db2.gz FACFUGIIWQZRIE-RKDXNWHRSA-N -1 1 310.306 1.079 20 0 DDADMM CC(C)(C)OC(=O)[C@@]1(C)CCN(C(=O)c2ccc([O-])cn2)C1 ZINC001362677203 884390771 /nfs/dbraw/zinc/39/07/71/884390771.db2.gz IDWPXMHUWHNPBM-INIZCTEOSA-N -1 1 306.362 1.981 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)no1 ZINC001362681613 884405424 /nfs/dbraw/zinc/40/54/24/884405424.db2.gz AAFFJFCDORSKBZ-SECBINFHSA-N -1 1 322.394 1.416 20 0 DDADMM CNc1ncccc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001362703073 884447236 /nfs/dbraw/zinc/44/72/36/884447236.db2.gz HOAJVMCJWXUZOA-SECBINFHSA-N -1 1 303.322 1.232 20 0 DDADMM C[C@@H](NC(=O)c1ccsn1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382767553 884657889 /nfs/dbraw/zinc/65/78/89/884657889.db2.gz QPBATKNGJRLFCE-BDAKNGLRSA-N -1 1 320.374 1.181 20 0 DDADMM CC[C@@H](C)Oc1cccc(NC(=O)CCCc2nn[n-]n2)n1 ZINC001362800121 884671969 /nfs/dbraw/zinc/67/19/69/884671969.db2.gz RWAGNQSGMSSCGJ-SNVBAGLBSA-N -1 1 304.354 1.733 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)NC2(c3nn[n-]n3)CCC2)c1C ZINC001362851267 884796300 /nfs/dbraw/zinc/79/63/00/884796300.db2.gz PIMXFJCQIZOTQP-UHFFFAOYSA-N -1 1 302.338 1.156 20 0 DDADMM O=C(Nc1cccc([C@H]2CCCC(=O)N2)c1)c1cnncc1[O-] ZINC001362922593 884993477 /nfs/dbraw/zinc/99/34/77/884993477.db2.gz PNQKLOHPQFGNNX-CYBMUJFWSA-N -1 1 312.329 1.776 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)CO1 ZINC001362971630 885117197 /nfs/dbraw/zinc/11/71/97/885117197.db2.gz PKUHOMIHAOIIQI-RCWTZXSCSA-N -1 1 322.327 1.469 20 0 DDADMM CCOC(=O)Cn1ccc(NC(=O)c2ccc([O-])c(F)c2)n1 ZINC001362980646 885137470 /nfs/dbraw/zinc/13/74/70/885137470.db2.gz VDMAFYUQOMOSST-UHFFFAOYSA-N -1 1 307.281 1.543 20 0 DDADMM NC(=O)c1ccc(OCC(=O)Nc2cc([O-])c(F)cc2F)cc1 ZINC001362989829 885160891 /nfs/dbraw/zinc/16/08/91/885160891.db2.gz YZGDUFRFGFRTMH-UHFFFAOYSA-N -1 1 322.267 1.787 20 0 DDADMM CC(C)(C)OC(=O)CC1(NC(=O)CCCc2nn[n-]n2)CCC1 ZINC001363001104 885196723 /nfs/dbraw/zinc/19/67/23/885196723.db2.gz ZWHICQODPJBRHP-UHFFFAOYSA-N -1 1 323.397 1.293 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)c2cnc(SC)[n-]c2=O)C12CCC2 ZINC001363010696 885224257 /nfs/dbraw/zinc/22/42/57/885224257.db2.gz ZCJPEKRRTKUVAK-NXEZZACHSA-N -1 1 309.391 1.592 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2[nH]cnc2C)[n-]c1=O ZINC001363038193 885292350 /nfs/dbraw/zinc/29/23/50/885292350.db2.gz JCQWDOIZFFGOKA-SECBINFHSA-N -1 1 303.322 1.200 20 0 DDADMM CCS(=O)(=O)Cc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC001363071550 885391038 /nfs/dbraw/zinc/39/10/38/885391038.db2.gz YTCASVINMAMQEL-UHFFFAOYSA-N -1 1 320.370 1.974 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H]2[C@@H]2CCCOC2)c(=O)[n-]1 ZINC001363080755 885418370 /nfs/dbraw/zinc/41/83/70/885418370.db2.gz NRGHTMFMZPQNNR-MWLCHTKSSA-N -1 1 309.391 1.545 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCN(Cc2cn[nH]c2)CC1 ZINC001363088141 885433740 /nfs/dbraw/zinc/43/37/40/885433740.db2.gz IPHWEDLCKJUBOH-UHFFFAOYSA-N -1 1 304.325 1.212 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1C[C@H]1CNC(=O)OC(C)(C)C ZINC001363135841 885553963 /nfs/dbraw/zinc/55/39/63/885553963.db2.gz GFNVGTUATVQBKP-IUCAKERBSA-N -1 1 310.354 1.523 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCc1ccc(=O)[nH]c1 ZINC001363170871 885634546 /nfs/dbraw/zinc/63/45/46/885634546.db2.gz BTNVRMONQYSVKY-UHFFFAOYSA-N -1 1 320.374 1.286 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(C)cc(C)n2)n[n-]1 ZINC001363232676 885776790 /nfs/dbraw/zinc/77/67/90/885776790.db2.gz RWGTUGSWOAZVPL-SNVBAGLBSA-N -1 1 317.349 1.484 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(C)cc(C)n2)[n-]1 ZINC001363232676 885776803 /nfs/dbraw/zinc/77/68/03/885776803.db2.gz RWGTUGSWOAZVPL-SNVBAGLBSA-N -1 1 317.349 1.484 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(C)cc(C)n2)n1 ZINC001363232676 885776808 /nfs/dbraw/zinc/77/68/08/885776808.db2.gz RWGTUGSWOAZVPL-SNVBAGLBSA-N -1 1 317.349 1.484 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cnc(C3CC3)[nH]2)n1 ZINC001363233456 885781181 /nfs/dbraw/zinc/78/11/81/885781181.db2.gz YMEBAUJJJXHGOQ-ZETCQYMHSA-N -1 1 318.337 1.073 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cccc(CC)n2)n[n-]1 ZINC001363233377 885781318 /nfs/dbraw/zinc/78/13/18/885781318.db2.gz WUPVWAVKXNKTIV-VIFPVBQESA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cccc(CC)n2)[n-]1 ZINC001363233377 885781324 /nfs/dbraw/zinc/78/13/24/885781324.db2.gz WUPVWAVKXNKTIV-VIFPVBQESA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cccc(CC)n2)n1 ZINC001363233377 885781332 /nfs/dbraw/zinc/78/13/32/885781332.db2.gz WUPVWAVKXNKTIV-VIFPVBQESA-N -1 1 317.349 1.430 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc(OC(C)C)nc1 ZINC001363276782 885890070 /nfs/dbraw/zinc/89/00/70/885890070.db2.gz PEEOEAZKFLWORW-UHFFFAOYSA-N -1 1 318.381 1.680 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cncc(C(F)(F)F)c1 ZINC001363277115 885890697 /nfs/dbraw/zinc/89/06/97/885890697.db2.gz KPNVBCVXLRYKHL-UHFFFAOYSA-N -1 1 312.255 1.423 20 0 DDADMM O=C(c1nc[n-]c(=O)c1Br)N1CCC(F)CC1 ZINC001363306341 885969940 /nfs/dbraw/zinc/96/99/40/885969940.db2.gz LRVJMYFWSNZCJP-UHFFFAOYSA-N -1 1 304.119 1.519 20 0 DDADMM CC(C)c1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)cc(=O)[nH]1 ZINC001363308429 885975724 /nfs/dbraw/zinc/97/57/24/885975724.db2.gz MJUVORYDTFPTEV-VIFPVBQESA-N -1 1 302.338 1.053 20 0 DDADMM CC[C@@H](OC1CCCCC1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363316473 885995476 /nfs/dbraw/zinc/99/54/76/885995476.db2.gz CZZUDPVXEKDXAO-LLVKDONJSA-N -1 1 309.366 1.632 20 0 DDADMM C[C@H](Cc1cn(C)nn1)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC001363380839 886179216 /nfs/dbraw/zinc/17/92/16/886179216.db2.gz QCFURBNWAXGLOI-SECBINFHSA-N -1 1 308.769 1.464 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)C1CSC1 ZINC001363399420 886226867 /nfs/dbraw/zinc/22/68/67/886226867.db2.gz JGOCBFXRBQQITB-VIFPVBQESA-N -1 1 310.379 1.008 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)C1CSC1 ZINC001363399420 886226887 /nfs/dbraw/zinc/22/68/87/886226887.db2.gz JGOCBFXRBQQITB-VIFPVBQESA-N -1 1 310.379 1.008 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)C1CSC1 ZINC001363399420 886226907 /nfs/dbraw/zinc/22/69/07/886226907.db2.gz JGOCBFXRBQQITB-VIFPVBQESA-N -1 1 310.379 1.008 20 0 DDADMM CON1CCC(NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CC1 ZINC001363452203 886378301 /nfs/dbraw/zinc/37/83/01/886378301.db2.gz RGAOIOKCTPOZJQ-UHFFFAOYSA-N -1 1 322.409 1.544 20 0 DDADMM CC(C)(CNC(=O)c1cc(Cl)ccc1[O-])CS(N)(=O)=O ZINC001363524200 886551020 /nfs/dbraw/zinc/55/10/20/886551020.db2.gz JFVNCGPITVWOQY-UHFFFAOYSA-N -1 1 320.798 1.090 20 0 DDADMM CCn1cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c(C2CC2)n1 ZINC001363549881 886614465 /nfs/dbraw/zinc/61/44/65/886614465.db2.gz XPLNHGACVNRQNN-UHFFFAOYSA-N -1 1 317.397 1.592 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(Cc2ccc(F)c([O-])c2F)C1 ZINC001232937652 886685595 /nfs/dbraw/zinc/68/55/95/886685595.db2.gz QPBGIJFYSWNPKT-SNVBAGLBSA-N -1 1 305.346 1.679 20 0 DDADMM COC[C@H](NC(=O)[C@H](C)c1c(F)cccc1F)c1nn[n-]n1 ZINC001363592600 886714724 /nfs/dbraw/zinc/71/47/24/886714724.db2.gz VQWKQTMCYXOIDM-XCBNKYQSSA-N -1 1 311.292 1.085 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2csc(=O)[nH]2)[n-]c1=O ZINC001363600235 886737961 /nfs/dbraw/zinc/73/79/61/886737961.db2.gz GTUVNUDNCXUFRD-UHFFFAOYSA-N -1 1 310.335 1.018 20 0 DDADMM CCOCC1(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)CC1 ZINC001363619668 886784525 /nfs/dbraw/zinc/78/45/25/886784525.db2.gz GBGNRICAJHKFBN-UHFFFAOYSA-N -1 1 309.366 1.014 20 0 DDADMM CC(C)NS(=O)(=O)c1cccc(C(=O)Nc2c[n-][nH]c2=O)c1 ZINC001363705791 887027612 /nfs/dbraw/zinc/02/76/12/887027612.db2.gz INYKCJYFCVFPIF-UHFFFAOYSA-N -1 1 324.362 1.054 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CC(c2cc(F)ccc2F)=NO1 ZINC001363710889 887043045 /nfs/dbraw/zinc/04/30/45/887043045.db2.gz SFOFSNPAFRSQKI-LLVKDONJSA-N -1 1 308.244 1.525 20 0 DDADMM CC(=O)NC1(C(=O)N(Cc2nn[n-]n2)CC(C)C)CCCCC1 ZINC001363809825 887281180 /nfs/dbraw/zinc/28/11/80/887281180.db2.gz VYYCCMVPMOJGPL-UHFFFAOYSA-N -1 1 322.413 1.023 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@@H]1Cc2ccccc2O1 ZINC001233756729 887282630 /nfs/dbraw/zinc/28/26/30/887282630.db2.gz RTTZCPAIYGQQNF-JTQLQIEISA-N -1 1 302.286 1.351 20 0 DDADMM Cc1cc2c(cc1C)O[C@@H](C(=O)NC1(c3nn[n-]n3)CCC1)C2 ZINC001363822176 887317620 /nfs/dbraw/zinc/31/76/20/887317620.db2.gz QSMQQYDMKCOPSZ-CYBMUJFWSA-N -1 1 313.361 1.316 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2nc(C)c(C)s2)sn1 ZINC001363955519 887606721 /nfs/dbraw/zinc/60/67/21/887606721.db2.gz FPQCJIQPRLDCBK-UHFFFAOYSA-N -1 1 319.433 1.704 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CC(C)(F)F ZINC001363957407 887610530 /nfs/dbraw/zinc/61/05/30/887610530.db2.gz CDDFCBXJHIUHMV-QMMMGPOBSA-N -1 1 316.308 1.690 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CC(C)(F)F ZINC001363957407 887610538 /nfs/dbraw/zinc/61/05/38/887610538.db2.gz CDDFCBXJHIUHMV-QMMMGPOBSA-N -1 1 316.308 1.690 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CC(C)(F)F ZINC001363957407 887610548 /nfs/dbraw/zinc/61/05/48/887610548.db2.gz CDDFCBXJHIUHMV-QMMMGPOBSA-N -1 1 316.308 1.690 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2C[C@H](OC(C)(C)C)C2)sn1 ZINC001364012616 887721387 /nfs/dbraw/zinc/72/13/87/887721387.db2.gz UZAQMJQRVFEAFO-KYZUINATSA-N -1 1 320.436 1.776 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccsc1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364049269 887796448 /nfs/dbraw/zinc/79/64/48/887796448.db2.gz CDJKWSXGLFOGQN-WCFLWFBJSA-N -1 1 317.418 1.846 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ncoc2C(C)C)n[n-]1 ZINC001364058048 887818105 /nfs/dbraw/zinc/81/81/05/887818105.db2.gz AIDWVQFQSDQDJT-UHFFFAOYSA-N -1 1 307.310 1.023 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ncoc2C(C)C)n1 ZINC001364058048 887818111 /nfs/dbraw/zinc/81/81/11/887818111.db2.gz AIDWVQFQSDQDJT-UHFFFAOYSA-N -1 1 307.310 1.023 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(OC)c2C)n[n-]1 ZINC001364080742 887870639 /nfs/dbraw/zinc/87/06/39/887870639.db2.gz APWOJPYKIKNXSH-UHFFFAOYSA-N -1 1 318.333 1.228 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(OC)c2C)n1 ZINC001364080742 887870647 /nfs/dbraw/zinc/87/06/47/887870647.db2.gz APWOJPYKIKNXSH-UHFFFAOYSA-N -1 1 318.333 1.228 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)Cc1cccnc1 ZINC001364155909 888041545 /nfs/dbraw/zinc/04/15/45/888041545.db2.gz XJJRMAQINRDKEW-VIFPVBQESA-N -1 1 313.404 1.456 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@H]1C[C@@H](C(=O)OC)C1 ZINC001234554294 888086443 /nfs/dbraw/zinc/08/64/43/888086443.db2.gz MFFJLSDTYNNVEO-DTORHVGOSA-N -1 1 324.333 1.423 20 0 DDADMM CCCCOC(=O)[C@@H](C)Oc1c(=O)[n-]c(C)nc1C(=O)OC ZINC001234557442 888090448 /nfs/dbraw/zinc/09/04/48/888090448.db2.gz KMCYVECRTUODJH-MRVPVSSYSA-N -1 1 312.322 1.388 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@H]1CCCCC1=O ZINC001234558426 888094041 /nfs/dbraw/zinc/09/40/41/888094041.db2.gz WMEFAUDMBUWMHZ-JTQLQIEISA-N -1 1 308.334 1.983 20 0 DDADMM O=C(NC1CC(NCC(F)(F)F)C1)c1ccc([O-])c(F)c1 ZINC001364394114 888531479 /nfs/dbraw/zinc/53/14/79/888531479.db2.gz RJFVVUIGGCOMAC-UHFFFAOYSA-N -1 1 306.259 1.944 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccsc2C(F)F)n1 ZINC001364484681 888742112 /nfs/dbraw/zinc/74/21/12/888742112.db2.gz KQQZHPIKZGNUKL-UHFFFAOYSA-N -1 1 322.318 1.460 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2ccsc2C(F)F)[n-]1 ZINC001364484681 888742116 /nfs/dbraw/zinc/74/21/16/888742116.db2.gz KQQZHPIKZGNUKL-UHFFFAOYSA-N -1 1 322.318 1.460 20 0 DDADMM Cc1cccc(N2C[C@H](C(=O)Nc3c[n-][nH]c3=O)CC2=O)c1C ZINC001364503460 888789240 /nfs/dbraw/zinc/78/92/40/888789240.db2.gz DUVDQCCNZGNPOG-LLVKDONJSA-N -1 1 314.345 1.724 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccnc(OCC(F)(F)F)c1 ZINC001364511837 888810633 /nfs/dbraw/zinc/81/06/33/888810633.db2.gz JXCCJPCCHWMEQW-UHFFFAOYSA-N -1 1 302.212 1.704 20 0 DDADMM COc1ccc(OCC(=O)N(Cc2nn[n-]n2)CC(C)C)cc1 ZINC001364637593 889094057 /nfs/dbraw/zinc/09/40/57/889094057.db2.gz BZLGNSHSWLNXDP-UHFFFAOYSA-N -1 1 319.365 1.272 20 0 DDADMM Cc1noc(C)c1[C@H](C)CC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001364651481 889122896 /nfs/dbraw/zinc/12/28/96/889122896.db2.gz OFYHLRFZLNTETB-SNVBAGLBSA-N -1 1 320.397 1.983 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2ccccc2OC)c1 ZINC001364734976 889305751 /nfs/dbraw/zinc/30/57/51/889305751.db2.gz GBWAYVHVDSLFDA-UHFFFAOYSA-N -1 1 323.370 1.888 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2c3ccccc3C[C@@H]2C)[n-]n1 ZINC001364748964 889331650 /nfs/dbraw/zinc/33/16/50/889331650.db2.gz DKAWJRXSQBZZRZ-VIFPVBQESA-N -1 1 321.358 1.336 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2c3ccccc3C[C@@H]2C)n[n-]1 ZINC001364748964 889331660 /nfs/dbraw/zinc/33/16/60/889331660.db2.gz DKAWJRXSQBZZRZ-VIFPVBQESA-N -1 1 321.358 1.336 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1ncoc1C ZINC001364767066 889368644 /nfs/dbraw/zinc/36/86/44/889368644.db2.gz FREAIFQXPLCIIX-VIFPVBQESA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1ncoc1C ZINC001364767066 889368654 /nfs/dbraw/zinc/36/86/54/889368654.db2.gz FREAIFQXPLCIIX-VIFPVBQESA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1ncoc1C ZINC001364767066 889368664 /nfs/dbraw/zinc/36/86/64/889368664.db2.gz FREAIFQXPLCIIX-VIFPVBQESA-N -1 1 319.321 1.255 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1ccnc(OC)c1 ZINC001364778268 889397868 /nfs/dbraw/zinc/39/78/68/889397868.db2.gz AZLADBFWIMHTIW-UHFFFAOYSA-N -1 1 315.376 1.034 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)c2ccccc2)[n-]n1 ZINC001364796795 889434840 /nfs/dbraw/zinc/43/48/40/889434840.db2.gz CVHPKHVKAJXADL-SECBINFHSA-N -1 1 309.347 1.236 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)c2ccccc2)n[n-]1 ZINC001364796795 889434846 /nfs/dbraw/zinc/43/48/46/889434846.db2.gz CVHPKHVKAJXADL-SECBINFHSA-N -1 1 309.347 1.236 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC[C@@H](O)C(C)C)cc1C ZINC001364799330 889439244 /nfs/dbraw/zinc/43/92/44/889439244.db2.gz JLMHVXHGWDEFCI-SNVBAGLBSA-N -1 1 319.379 1.060 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)[C@H]1CCC[C@H]1OC)c1ccco1 ZINC001364815603 889472181 /nfs/dbraw/zinc/47/21/81/889472181.db2.gz PKAPWHGPBCYTBU-RTXFEEFZSA-N -1 1 303.380 1.454 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1C[C@H]1c1ccccc1 ZINC001364837218 889515734 /nfs/dbraw/zinc/51/57/34/889515734.db2.gz ZUGLALKYCHRRKP-WDEREUQCSA-N -1 1 310.400 1.986 20 0 DDADMM CS[C@H](C)C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001385675464 889547594 /nfs/dbraw/zinc/54/75/94/889547594.db2.gz AKARQOJPPCTQMG-MWLCHTKSSA-N -1 1 323.418 1.163 20 0 DDADMM CS[C@@H](C)C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001385675465 889548089 /nfs/dbraw/zinc/54/80/89/889548089.db2.gz AKARQOJPPCTQMG-ONGXEEELSA-N -1 1 323.418 1.163 20 0 DDADMM CCN(C1CCCC1)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364859843 889561699 /nfs/dbraw/zinc/56/16/99/889561699.db2.gz VIJNYSIRZLZGTQ-UHFFFAOYSA-N -1 1 301.368 1.150 20 0 DDADMM CCN(C1CCCC1)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364859843 889561709 /nfs/dbraw/zinc/56/17/09/889561709.db2.gz VIJNYSIRZLZGTQ-UHFFFAOYSA-N -1 1 301.368 1.150 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2nnc(C3CC3)o2)[n-]c1=O ZINC001364951455 889743358 /nfs/dbraw/zinc/74/33/58/889743358.db2.gz YXCXAKSZMNCOJM-UHFFFAOYSA-N -1 1 319.321 1.116 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@@H](c1nc(C)no1)C(C)C)C(C)C ZINC001365006837 889878429 /nfs/dbraw/zinc/87/84/29/889878429.db2.gz FHNQNTBGJKDJRS-NWDGAFQWSA-N -1 1 319.427 1.666 20 0 DDADMM Cc1ccc(F)cc1S(=O)(=O)NC1(C(F)(F)F)C[NH2+]C1 ZINC001238565884 890271878 /nfs/dbraw/zinc/27/18/78/890271878.db2.gz MWQBKIQOCGOYIN-UHFFFAOYSA-N -1 1 312.288 1.317 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(SC)oc2C)n[n-]1 ZINC001365195698 890316092 /nfs/dbraw/zinc/31/60/92/890316092.db2.gz RERPLAVLBKBAOU-UHFFFAOYSA-N -1 1 324.362 1.535 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(SC)oc2C)n1 ZINC001365195698 890316109 /nfs/dbraw/zinc/31/61/09/890316109.db2.gz RERPLAVLBKBAOU-UHFFFAOYSA-N -1 1 324.362 1.535 20 0 DDADMM Cn1ncc(NC(=O)N2CCC(c3nn[n-]n3)CC2)c1C1CC1 ZINC001365241067 890420893 /nfs/dbraw/zinc/42/08/93/890420893.db2.gz XFYMHQOCGUGUJX-UHFFFAOYSA-N -1 1 316.369 1.222 20 0 DDADMM O=C1Cc2cc(-c3ccc4c(c3)NC(=O)CO4)ccc2C(=O)[N-]1 ZINC001239104361 890499285 /nfs/dbraw/zinc/49/92/85/890499285.db2.gz ZGGTXXZABRESRY-UHFFFAOYSA-N -1 1 308.293 1.497 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)C(F)F ZINC001396252316 913466271 /nfs/dbraw/zinc/46/62/71/913466271.db2.gz MSYYMEJXSRHQEQ-JGVFFNPUSA-N -1 1 301.293 1.065 20 0 DDADMM CS(=O)(=O)c1ccccc1-c1ccc(-c2nnn[n-]2)nc1 ZINC001239774510 890738221 /nfs/dbraw/zinc/73/82/21/890738221.db2.gz WGWZAMSRAFRAHB-UHFFFAOYSA-N -1 1 301.331 1.332 20 0 DDADMM CS(=O)(=O)c1ccccc1-c1ccc(-c2nn[n-]n2)nc1 ZINC001239774510 890738232 /nfs/dbraw/zinc/73/82/32/890738232.db2.gz WGWZAMSRAFRAHB-UHFFFAOYSA-N -1 1 301.331 1.332 20 0 DDADMM CS(=O)(=O)c1cccc(-c2ccc(-c3nnn[n-]3)nc2)c1 ZINC001239836166 890753824 /nfs/dbraw/zinc/75/38/24/890753824.db2.gz BFUZNCOIAYMOJL-UHFFFAOYSA-N -1 1 301.331 1.332 20 0 DDADMM CS(=O)(=O)c1cccc(-c2ccc(-c3nn[n-]n3)nc2)c1 ZINC001239836166 890753836 /nfs/dbraw/zinc/75/38/36/890753836.db2.gz BFUZNCOIAYMOJL-UHFFFAOYSA-N -1 1 301.331 1.332 20 0 DDADMM CC(C)[C@H](CO)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC001365476388 890870138 /nfs/dbraw/zinc/87/01/38/890870138.db2.gz UTLWXKYHAWTTKA-NSHDSACASA-N -1 1 301.339 1.867 20 0 DDADMM Cc1noc(C)c1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365484111 890888516 /nfs/dbraw/zinc/88/85/16/890888516.db2.gz QCKZNDRAKQJGCR-UHFFFAOYSA-N -1 1 319.321 1.181 20 0 DDADMM CN1CCN(c2nccc(-c3ccc(F)c(C(=O)[O-])c3)n2)CC1 ZINC001241242310 891136888 /nfs/dbraw/zinc/13/68/88/891136888.db2.gz FISYDPJPOXKQKW-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM NS(=O)(=O)Cc1ccc(-c2c(F)ccc([O-])c2F)nc1 ZINC001241289754 891141940 /nfs/dbraw/zinc/14/19/40/891141940.db2.gz CSGYVSLABDOHPO-UHFFFAOYSA-N -1 1 300.286 1.521 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CCCC[C@H]2C)[n-]n1 ZINC001365634021 891217643 /nfs/dbraw/zinc/21/76/43/891217643.db2.gz RCEXSYQQCFBGMS-MWLCHTKSSA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CCCC[C@H]2C)n[n-]1 ZINC001365634021 891217652 /nfs/dbraw/zinc/21/76/52/891217652.db2.gz RCEXSYQQCFBGMS-MWLCHTKSSA-N -1 1 315.395 1.396 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cnn(CC2CC2)c1)C(F)(F)F ZINC001365700973 891360047 /nfs/dbraw/zinc/36/00/47/891360047.db2.gz BQCVHMZWAMBBQN-JTQLQIEISA-N -1 1 311.329 1.912 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C(C)(C)c1ncc[nH]1 ZINC001365734753 891421217 /nfs/dbraw/zinc/42/12/17/891421217.db2.gz ONOABQCQCCAPND-UHFFFAOYSA-N -1 1 302.381 1.088 20 0 DDADMM CN1C(=O)CCc2cc(-c3ccc(-c4nnn[n-]4)nc3)ccc21 ZINC001244010019 891775562 /nfs/dbraw/zinc/77/55/62/891775562.db2.gz NOSSRWJVHXRVPH-UHFFFAOYSA-N -1 1 306.329 1.838 20 0 DDADMM CN1C(=O)CCc2cc(-c3ccc(-c4nn[n-]n4)nc3)ccc21 ZINC001244010019 891775569 /nfs/dbraw/zinc/77/55/69/891775569.db2.gz NOSSRWJVHXRVPH-UHFFFAOYSA-N -1 1 306.329 1.838 20 0 DDADMM COc1ncc(-c2cnc3[nH]ccc3n2)cc1[N-]S(C)(=O)=O ZINC001244789949 891925038 /nfs/dbraw/zinc/92/50/38/891925038.db2.gz MSSINMKXFIMROW-UHFFFAOYSA-N -1 1 319.346 1.400 20 0 DDADMM COc1ncc(-c2cc(N)ccc2F)cc1[N-]S(C)(=O)=O ZINC001244794728 891931834 /nfs/dbraw/zinc/93/18/34/891931834.db2.gz UQHOGSIGPWGYIM-UHFFFAOYSA-N -1 1 311.338 1.850 20 0 DDADMM CCN(CCNC(=O)[C@H]1C[C@H]2C[C@H]2C1)C(=O)c1ncccc1[O-] ZINC001387279349 892745245 /nfs/dbraw/zinc/74/52/45/892745245.db2.gz CWYDEYMMZPMEOK-ITGUQSILSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H](CNC(=O)C1CC(C(C)(C)C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001366380992 893384389 /nfs/dbraw/zinc/38/43/89/893384389.db2.gz ZOINFFHNPVMQIT-WHXUTIOJSA-N -1 1 309.414 1.177 20 0 DDADMM CC(C)[C@H](C)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001387653762 893550552 /nfs/dbraw/zinc/55/05/52/893550552.db2.gz XIRIPJYLACRHOO-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM CCOC(=O)c1c(N)nn(-c2cc(Cl)c([O-])cc2F)c1N ZINC001250021146 894191087 /nfs/dbraw/zinc/19/10/87/894191087.db2.gz ZBVDMWJRZDZPCL-UHFFFAOYSA-N -1 1 314.704 1.712 20 0 DDADMM Cc1ccccc1OC[C@H](O)CNc1[n-]c(=O)nc2nc[nH]c21 ZINC001251739699 894794165 /nfs/dbraw/zinc/79/41/65/894794165.db2.gz JAZAPMLFKLSSCF-SNVBAGLBSA-N -1 1 315.333 1.170 20 0 DDADMM CCC[C@@H](O)CNc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001252793333 895463201 /nfs/dbraw/zinc/46/32/01/895463201.db2.gz NHUXGGYJUKCRIC-SECBINFHSA-N -1 1 315.333 1.546 20 0 DDADMM CCCCS(=O)(=O)[N-][C@H](C(=O)OC)[C@@H](C)OC(C)(C)C ZINC001253904655 896153983 /nfs/dbraw/zinc/15/39/83/896153983.db2.gz SKSLLKAEEWMDSW-MNOVXSKESA-N -1 1 309.428 1.451 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)Cc1cccs1 ZINC001389132980 896507873 /nfs/dbraw/zinc/50/78/73/896507873.db2.gz UFNWPZWTSMTWNZ-SNVBAGLBSA-N -1 1 319.386 1.326 20 0 DDADMM Cc1ncc(CN(C)C[C@H](C)NC(=O)c2ncccc2[O-])s1 ZINC001367791617 897806242 /nfs/dbraw/zinc/80/62/42/897806242.db2.gz FEPCMAOTHLIDDT-JTQLQIEISA-N -1 1 320.418 1.802 20 0 DDADMM CC[C@@H](C)CC(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001389705576 897829083 /nfs/dbraw/zinc/82/90/83/897829083.db2.gz ARISNQFXYTYJJV-CHWSQXEVSA-N -1 1 323.441 1.617 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2cc(Cl)c(Cl)s2)CC1 ZINC001257503952 897865949 /nfs/dbraw/zinc/86/59/49/897865949.db2.gz JEXPQSYOFAMFBH-UHFFFAOYSA-N -1 1 315.203 1.351 20 0 DDADMM O=S(=O)([N-]CC1(O)COC1)c1cc(Cl)c(Cl)s1 ZINC001257508067 897869402 /nfs/dbraw/zinc/86/94/02/897869402.db2.gz HAVLKFYWCGAGEA-UHFFFAOYSA-N -1 1 318.203 1.095 20 0 DDADMM O=S(=O)([N-]Cc1ccc(F)nc1)c1cc(F)ccc1F ZINC001258950015 898377276 /nfs/dbraw/zinc/37/72/76/898377276.db2.gz HAOHAPPHTGUAHA-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM O=c1cc([N-]S(=O)(=O)c2cccc(Cl)c2F)cn[nH]1 ZINC001259464129 898681337 /nfs/dbraw/zinc/68/13/37/898681337.db2.gz BVNXVABUEPJWTO-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](CO)C1)c1cc(F)c(F)cc1Cl ZINC001260288681 899111720 /nfs/dbraw/zinc/11/17/20/899111720.db2.gz YHTLMFNEWXPASW-KNVOCYPGSA-N -1 1 311.737 1.667 20 0 DDADMM CCc1nnc([C@@H](C)N[C@@H](C)CNC(=O)c2ncccc2[O-])o1 ZINC001390347286 899222325 /nfs/dbraw/zinc/22/23/25/899222325.db2.gz UZYNJHOBKMEWNQ-VHSXEESVSA-N -1 1 319.365 1.202 20 0 DDADMM O=C([O-])[C@@]1(C(=O)Nc2cccc3ccccc32)CNCCO1 ZINC001263064093 900478766 /nfs/dbraw/zinc/47/87/66/900478766.db2.gz PDTIRFRZCIJDJI-INIZCTEOSA-N -1 1 300.314 1.222 20 0 DDADMM CC(C)Cn1c(Cc2nnn[n-]2)nnc1N1Cc2ccccc2C1 ZINC001263407654 900602515 /nfs/dbraw/zinc/60/25/15/900602515.db2.gz MSCFCPJCMRVDLE-UHFFFAOYSA-N -1 1 324.392 1.558 20 0 DDADMM CC(C)Cn1c(Cc2nn[n-]n2)nnc1N1Cc2ccccc2C1 ZINC001263407654 900602524 /nfs/dbraw/zinc/60/25/24/900602524.db2.gz MSCFCPJCMRVDLE-UHFFFAOYSA-N -1 1 324.392 1.558 20 0 DDADMM CCCCOc1cc(OC)ccc1C(=O)OCc1nn[n-]n1 ZINC001263528571 900633321 /nfs/dbraw/zinc/63/33/21/900633321.db2.gz HASAXNHBQRIYSL-UHFFFAOYSA-N -1 1 306.322 1.744 20 0 DDADMM Cc1nc(Cl)ccc1CC(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001263886843 900799630 /nfs/dbraw/zinc/79/96/30/900799630.db2.gz YOZZFZCROWXATD-JTQLQIEISA-N -1 1 306.757 1.115 20 0 DDADMM COc1cc2ccccc2cc1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001263886621 900800808 /nfs/dbraw/zinc/80/08/08/900800808.db2.gz PYEIVOCNGFYSJO-ZDUSSCGKSA-N -1 1 323.356 1.991 20 0 DDADMM O=C(NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)C1CCCC1 ZINC001391289408 901399857 /nfs/dbraw/zinc/39/98/57/901399857.db2.gz UPTPHXUWCZWGMX-LLVKDONJSA-N -1 1 307.398 1.029 20 0 DDADMM CCCCC[C@@H](O)C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001293574466 914561220 /nfs/dbraw/zinc/56/12/20/914561220.db2.gz LFULPJWRLUPTJG-CYBMUJFWSA-N -1 1 319.365 1.658 20 0 DDADMM CCC(=O)N[C@@H](C)[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001396877417 914644164 /nfs/dbraw/zinc/64/41/64/914644164.db2.gz MUWYWMCMWFEACO-RYUDHWBXSA-N -1 1 305.378 1.697 20 0 DDADMM CC(C)[C@@H](CCNC(=O)C(F)F)NC(=O)c1ncccc1[O-] ZINC001370352955 902988933 /nfs/dbraw/zinc/98/89/33/902988933.db2.gz GUGGASFRKWAKQZ-SECBINFHSA-N -1 1 315.320 1.313 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CCC1CCCC1 ZINC001392029776 903122388 /nfs/dbraw/zinc/12/23/88/903122388.db2.gz AOBIGFDIDJLETM-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM CCCc1occc1C(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001392120668 903330693 /nfs/dbraw/zinc/33/06/93/903330693.db2.gz IXLYHPLKCULKNM-JTQLQIEISA-N -1 1 321.381 1.306 20 0 DDADMM CC/C(C)=C/C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001280467975 903712029 /nfs/dbraw/zinc/71/20/29/903712029.db2.gz WJERIDSBAPOVLF-LMMOQWNQSA-N -1 1 305.378 1.720 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C(C1CCC1)C1CCC1 ZINC001392506880 904304818 /nfs/dbraw/zinc/30/48/18/904304818.db2.gz UFJAUSQWROCACD-SNVBAGLBSA-N -1 1 321.425 1.321 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)C1(CF)CC1 ZINC001371274609 904620199 /nfs/dbraw/zinc/62/01/99/904620199.db2.gz KSPBSXXYHQMJAJ-WDEREUQCSA-N -1 1 323.368 1.550 20 0 DDADMM CC1(CC(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001371690335 905506513 /nfs/dbraw/zinc/50/65/13/905506513.db2.gz MSOYJKNLBKXRAW-TXEJJXNPSA-N -1 1 317.389 1.602 20 0 DDADMM CCCCC(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001372050838 906336930 /nfs/dbraw/zinc/33/69/30/906336930.db2.gz GTLLKZRVSRUBSO-LBPRGKRZSA-N -1 1 305.378 1.650 20 0 DDADMM CCCC[C@@H](C)C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001283232639 906955745 /nfs/dbraw/zinc/95/57/45/906955745.db2.gz IICIAIAOKMMKMM-GFCCVEGCSA-N -1 1 307.394 1.850 20 0 DDADMM CC/C=C(/C)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001283932367 908205242 /nfs/dbraw/zinc/20/52/42/908205242.db2.gz XRGGMQSATFVTGM-ZRVMKQEGSA-N -1 1 317.389 1.768 20 0 DDADMM CS[C@@H](C)C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001394239507 909162775 /nfs/dbraw/zinc/16/27/75/909162775.db2.gz XQCDKRXTSNFAQJ-BONVTDFDSA-N -1 1 323.418 1.260 20 0 DDADMM CC1(C(=O)N2CC[C@@](C)(CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001394407045 909553077 /nfs/dbraw/zinc/55/30/77/909553077.db2.gz HVYGYYPWPQYZBJ-INIZCTEOSA-N -1 1 317.389 1.556 20 0 DDADMM CC[C@@H](CC(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1)C(C)C ZINC001394536255 909931883 /nfs/dbraw/zinc/93/18/83/909931883.db2.gz HQWDLRFYWAUGDV-RYUDHWBXSA-N -1 1 311.430 1.519 20 0 DDADMM C[C@@H](NC(=O)c1ccn(C)c1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001394964427 911032608 /nfs/dbraw/zinc/03/26/08/911032608.db2.gz YJQIXQBUZFVCTE-MNOVXSKESA-N -1 1 316.361 1.063 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(F)c(C=O)cc1F)c1nn[n-]n1 ZINC001294422499 915127940 /nfs/dbraw/zinc/12/79/40/915127940.db2.gz QSUJKTNMVBWBOB-LLVKDONJSA-N -1 1 309.276 1.562 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](C)CNC(=O)c2ncccc2[O-])s1 ZINC001397416334 915979467 /nfs/dbraw/zinc/97/94/67/915979467.db2.gz RSFFJNDHXPHOCZ-VIFPVBQESA-N -1 1 319.386 1.705 20 0 DDADMM CCCCC1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001375761139 916890045 /nfs/dbraw/zinc/89/00/45/916890045.db2.gz MWVCUFYSCBJSKT-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM C[C@H](CNC(=O)c1ccc(Cl)o1)NC(=O)c1ncccc1[O-] ZINC001376873652 919632639 /nfs/dbraw/zinc/63/26/39/919632639.db2.gz OCWOLEPVDOBKQW-MRVPVSSYSA-N -1 1 323.736 1.582 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC[C@H](C)[C@H](C)C2)o1 ZINC000248619553 282094069 /nfs/dbraw/zinc/09/40/69/282094069.db2.gz SOGDCQBYAYTKKS-HBNTYKKESA-N -1 1 314.407 1.742 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cccc4c3CCCC4)nc2n1 ZINC000622869983 365550332 /nfs/dbraw/zinc/55/03/32/365550332.db2.gz OEDNBHRGSHJSNW-UHFFFAOYSA-N -1 1 323.356 1.857 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)CC(F)(F)F)nc2n1 ZINC000622993283 365585034 /nfs/dbraw/zinc/58/50/34/365585034.db2.gz IAOXSLSSWLLRSP-LURJTMIESA-N -1 1 317.271 1.507 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@@H](C)C3)nc2n1 ZINC000622997049 365589337 /nfs/dbraw/zinc/58/93/37/365589337.db2.gz KAVFDLQRYHJRRV-NXEZZACHSA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CC(C)(C)OC)nc2n1 ZINC000622996937 365589847 /nfs/dbraw/zinc/58/98/47/365589847.db2.gz GIOYJKNKSLJLGA-UHFFFAOYSA-N -1 1 307.354 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@H]4C[C@H]4C3)nc2n1 ZINC000622997842 365591442 /nfs/dbraw/zinc/59/14/42/365591442.db2.gz NRXJFMPVNZVLOK-AXFHLTTASA-N -1 1 315.377 1.745 20 0 DDADMM COC[C@@H](NC(=O)c1ncc2ccccc2c1[O-])[C@@H]1CCCO1 ZINC000614198294 361747301 /nfs/dbraw/zinc/74/73/01/361747301.db2.gz JRTKLWGOWIALMA-KGLIPLIRSA-N -1 1 316.357 1.864 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1csc(C)n1)C(C)(C)C ZINC000614306639 361800817 /nfs/dbraw/zinc/80/08/17/361800817.db2.gz QXHVOWXUMBYPCP-SNVBAGLBSA-N -1 1 320.436 1.459 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ncccc1F ZINC000614387753 361832410 /nfs/dbraw/zinc/83/24/10/361832410.db2.gz CXPNDWNYLAZWLI-NXEZZACHSA-N -1 1 320.324 1.819 20 0 DDADMM O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)c1cc(F)ccc1[O-] ZINC000081959322 192345653 /nfs/dbraw/zinc/34/56/53/192345653.db2.gz ZICHODOSNYJIFC-VIFPVBQESA-N -1 1 320.242 1.424 20 0 DDADMM Cc1ccc(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1O ZINC000089458514 539172558 /nfs/dbraw/zinc/17/25/58/539172558.db2.gz CRMMSEFUSISXKW-LBPRGKRZSA-N -1 1 301.350 1.162 20 0 DDADMM O=C(Cn1nnc(-c2ccccc2)n1)Nc1c([O-])cccc1F ZINC000089541482 539172809 /nfs/dbraw/zinc/17/28/09/539172809.db2.gz OZNOODKCWNICRJ-UHFFFAOYSA-N -1 1 313.292 1.824 20 0 DDADMM O=C(c1ncccc1[O-])N(C[C@@H]1CCCO1)[C@H]1CCSC1 ZINC000126482031 539181778 /nfs/dbraw/zinc/18/17/78/539181778.db2.gz DYSQYVDGTPEIBZ-RYUDHWBXSA-N -1 1 308.403 1.914 20 0 DDADMM O=S(=O)([N-]c1ncc(C(F)(F)F)[nH]1)c1cnc2n1CCC2 ZINC000329734270 231612290 /nfs/dbraw/zinc/61/22/90/231612290.db2.gz RGPRHUBHFILSKL-UHFFFAOYSA-N -1 1 321.284 1.372 20 0 DDADMM O=C([O-])Cc1cccc(NC(=O)[C@@H]2Cc3ccccc3CN2)c1 ZINC000320969912 539297212 /nfs/dbraw/zinc/29/72/12/539297212.db2.gz KLTRWFLTLPTYLM-INIZCTEOSA-N -1 1 310.353 1.967 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(S(=O)(=O)C2CC2)cc1 ZINC000615219046 362199812 /nfs/dbraw/zinc/19/98/12/362199812.db2.gz OHIKASVUIAKZQA-UHFFFAOYSA-N -1 1 321.358 1.612 20 0 DDADMM C[N@@H+]1CCC[C@H]1[C@@H]1COCCN1C(=O)c1cc(F)ccc1[O-] ZINC000615271363 362225493 /nfs/dbraw/zinc/22/54/93/362225493.db2.gz WMCVYXJXFLQTST-KBPBESRZSA-N -1 1 308.353 1.467 20 0 DDADMM O=C(C=Cc1cc(Br)ccc1F)Nc1nnn[n-]1 ZINC000255849423 282281785 /nfs/dbraw/zinc/28/17/85/282281785.db2.gz VIJQHFPQHSDEKZ-RJRFIUFISA-N -1 1 312.102 1.753 20 0 DDADMM O=C(C=Cc1cc(Br)ccc1F)Nc1nn[n-]n1 ZINC000255849423 282281789 /nfs/dbraw/zinc/28/17/89/282281789.db2.gz VIJQHFPQHSDEKZ-RJRFIUFISA-N -1 1 312.102 1.753 20 0 DDADMM CNC(=O)OC[C@@H]1CCCCN1C(=O)c1ccc([O-])cc1F ZINC000292622889 529891934 /nfs/dbraw/zinc/89/19/34/529891934.db2.gz MDUGUDGYGNBRHA-JTQLQIEISA-N -1 1 310.325 1.882 20 0 DDADMM O=C([O-])[C@@H](CC1CC1)NS(=O)(=O)c1c(F)cc(F)cc1F ZINC000287499231 282347250 /nfs/dbraw/zinc/34/72/50/282347250.db2.gz LGYZYHQBBPQVOS-SNVBAGLBSA-N -1 1 323.292 1.636 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)[C@H](C)[C@@H]2C)co1 ZINC000332637204 530025436 /nfs/dbraw/zinc/02/54/36/530025436.db2.gz AEZJXKSJWOJQGJ-GUBZILKMSA-N -1 1 300.380 1.304 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC(C)(C)C2CCC2)co1 ZINC000456147519 530025475 /nfs/dbraw/zinc/02/54/75/530025475.db2.gz OUEUSZHXKIQLIV-UHFFFAOYSA-N -1 1 300.380 1.496 20 0 DDADMM COC[C@H](C)[C@@H](C)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616004522 362516242 /nfs/dbraw/zinc/51/62/42/362516242.db2.gz XLPIRTJORCLPRS-NKWVEPMBSA-N -1 1 304.188 1.760 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC(C)(C)c1cccc(C)c1 ZINC000330906275 232151439 /nfs/dbraw/zinc/15/14/39/232151439.db2.gz ZXLAHDNJAMGDHD-UHFFFAOYSA-N -1 1 323.418 1.960 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)c1cccc(C)c1 ZINC000330906275 232151443 /nfs/dbraw/zinc/15/14/43/232151443.db2.gz ZXLAHDNJAMGDHD-UHFFFAOYSA-N -1 1 323.418 1.960 20 0 DDADMM O=C1NCCC[C@@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000330919493 232163462 /nfs/dbraw/zinc/16/34/62/232163462.db2.gz OFDCCWDHFZODCV-VIFPVBQESA-N -1 1 306.746 1.036 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@@H]3CCC(=O)N3C)[nH][n-]2)c1 ZINC000356739190 539469303 /nfs/dbraw/zinc/46/93/03/539469303.db2.gz DTHVCPATQDGIBP-ZDUSSCGKSA-N -1 1 316.336 1.505 20 0 DDADMM C[C@@H](O)CCN(C)C(=O)c1cc(Br)ccc1[O-] ZINC000229652784 201844180 /nfs/dbraw/zinc/84/41/80/201844180.db2.gz ASLPDVVSKKOYFC-MRVPVSSYSA-N -1 1 302.168 1.998 20 0 DDADMM COCC1(C)CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000358353207 299128207 /nfs/dbraw/zinc/12/82/07/299128207.db2.gz MJNOYVAKMCVGNM-UHFFFAOYSA-N -1 1 318.377 1.563 20 0 DDADMM Cc1ccc2[nH]cc(C(=O)[N-]OC[C@@H]3CCOC3)c(=O)c2c1 ZINC000286960413 219245492 /nfs/dbraw/zinc/24/54/92/219245492.db2.gz SAIDERWCTPQALB-LLVKDONJSA-N -1 1 302.330 1.947 20 0 DDADMM CCC(CC)[C@@H](O)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287062259 219293199 /nfs/dbraw/zinc/29/31/99/219293199.db2.gz FDCLFLVKWMDPQR-QXGSTRBFSA-N -1 1 305.382 1.260 20 0 DDADMM Cc1nncn1CCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000182041989 199378432 /nfs/dbraw/zinc/37/84/32/199378432.db2.gz KAIZLEJRVMVBNU-UHFFFAOYSA-N -1 1 314.267 1.741 20 0 DDADMM COc1cc(C=CC(=O)Nc2nnn[n-]2)cc(Cl)c1OC ZINC000072678406 191213044 /nfs/dbraw/zinc/21/30/44/191213044.db2.gz UVLWITUTKRXTPV-ONEGZZNKSA-N -1 1 309.713 1.522 20 0 DDADMM COc1cc(C=CC(=O)Nc2nn[n-]n2)cc(Cl)c1OC ZINC000072678406 191213047 /nfs/dbraw/zinc/21/30/47/191213047.db2.gz UVLWITUTKRXTPV-ONEGZZNKSA-N -1 1 309.713 1.522 20 0 DDADMM O=C(C=Cc1cc(Br)ccc1F)Nc1nnn[n-]1 ZINC000072678417 191213343 /nfs/dbraw/zinc/21/33/43/191213343.db2.gz VIJQHFPQHSDEKZ-DAFODLJHSA-N -1 1 312.102 1.753 20 0 DDADMM O=C(C=Cc1cc(Br)ccc1F)Nc1nn[n-]n1 ZINC000072678417 191213345 /nfs/dbraw/zinc/21/33/45/191213345.db2.gz VIJQHFPQHSDEKZ-DAFODLJHSA-N -1 1 312.102 1.753 20 0 DDADMM CC(C)c1cccc(O[C@@H](C)C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000048248608 352531928 /nfs/dbraw/zinc/53/19/28/352531928.db2.gz HFJZJKOPRYTNNK-JTQLQIEISA-N -1 1 305.334 1.160 20 0 DDADMM CCO[C@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000067554866 353055617 /nfs/dbraw/zinc/05/56/17/353055617.db2.gz LEHZFPLBZIBYFS-NSHDSACASA-N -1 1 301.346 1.977 20 0 DDADMM O=C1NCCc2ccc([N-]S(=O)(=O)c3ccccc3)cc21 ZINC000070934188 353186628 /nfs/dbraw/zinc/18/66/28/353186628.db2.gz HJGRVYIITNIINV-UHFFFAOYSA-N -1 1 302.355 1.773 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2ncn(C)c2Cl)s1 ZINC000173813179 198255447 /nfs/dbraw/zinc/25/54/47/198255447.db2.gz DBINJBIULGJDNH-UHFFFAOYSA-N -1 1 320.827 1.571 20 0 DDADMM CC(C)OC(=O)[C@@H](C)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000173972426 198275568 /nfs/dbraw/zinc/27/55/68/198275568.db2.gz JVODIYSBTGWODB-VIFPVBQESA-N -1 1 321.345 1.831 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)c1cnc(C)nc1C(C)C ZINC000073942226 353280253 /nfs/dbraw/zinc/28/02/53/353280253.db2.gz DRHUOIAKUDYXAK-UHFFFAOYSA-N -1 1 320.422 1.901 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C)S[C@H](C)C2)o1 ZINC000080951282 353638531 /nfs/dbraw/zinc/63/85/31/353638531.db2.gz KHYDSQCXATUAKC-RKDXNWHRSA-N -1 1 318.420 1.154 20 0 DDADMM CC(C)c1cc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)on1 ZINC000114513613 353980859 /nfs/dbraw/zinc/98/08/59/353980859.db2.gz ADODOMARRQQLSE-UHFFFAOYSA-N -1 1 312.329 1.965 20 0 DDADMM C[C@H]1CCN(Cc2cc(=O)oc3cc([O-])ccc23)CC[S@@]1=O ZINC000277035824 213254057 /nfs/dbraw/zinc/25/40/57/213254057.db2.gz BKAKLGDYLBEAJZ-SAHAZLINSA-N -1 1 321.398 1.842 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC[C@H](O)[C@@H](CO)C1 ZINC000396883082 354653409 /nfs/dbraw/zinc/65/34/09/354653409.db2.gz PVPHMIDXEDACCA-HIFRSBDPSA-N -1 1 301.342 1.361 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCS(=O)(=O)[C@H]2CCC[C@H]21 ZINC000584823106 354775730 /nfs/dbraw/zinc/77/57/30/354775730.db2.gz BYCCYKRMEPAONY-YPMHNXCESA-N -1 1 313.350 1.323 20 0 DDADMM CC(C)(NC(=O)COC/C=C\c1ccccc1)c1nn[n-]n1 ZINC000357275184 291043105 /nfs/dbraw/zinc/04/31/05/291043105.db2.gz QWMDOJUSHPGVFX-TWGQIWQCSA-N -1 1 301.350 1.281 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]1c1ccc(F)cc1 ZINC000618045291 363441370 /nfs/dbraw/zinc/44/13/70/363441370.db2.gz DVZKMWRPWDNPDU-SNVBAGLBSA-N -1 1 319.292 1.623 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H]2CCCC2(F)F)c(C)o1 ZINC000588144270 354904719 /nfs/dbraw/zinc/90/47/19/354904719.db2.gz CGFDYNYSQNHUOE-SNVBAGLBSA-N -1 1 323.317 1.841 20 0 DDADMM C[C@H](O)[C@@H]1CN(C(=O)c2ccc3ccccc3c2[O-])CCO1 ZINC000332518632 235054045 /nfs/dbraw/zinc/05/40/45/235054045.db2.gz LBMNJJPEUQBSDM-NHYWBVRUSA-N -1 1 301.342 1.767 20 0 DDADMM COCc1nc(C(=O)[N-]c2nc(C(=O)OC)ns2)cs1 ZINC000589826093 355022560 /nfs/dbraw/zinc/02/25/60/355022560.db2.gz PFOQBZAZVJUGLL-UHFFFAOYSA-N -1 1 314.348 1.180 20 0 DDADMM O=S(=O)([N-]CCN1CCOCC1)c1cc(Cl)ccc1F ZINC000074936745 191391215 /nfs/dbraw/zinc/39/12/15/191391215.db2.gz QGFZHXXMCGGJHM-UHFFFAOYSA-N -1 1 322.789 1.090 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(OC)cccc2OC)n1 ZINC000591302925 355284831 /nfs/dbraw/zinc/28/48/31/355284831.db2.gz FQXYPIBNCBHBFA-UHFFFAOYSA-N -1 1 319.317 1.856 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@@H](OC)C(C)C ZINC000592075399 355484785 /nfs/dbraw/zinc/48/47/85/355484785.db2.gz ZESCYQHTWQGCOF-NWDGAFQWSA-N -1 1 323.455 1.555 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@@H]1CCCCO1 ZINC000592075682 355484926 /nfs/dbraw/zinc/48/49/26/355484926.db2.gz PEEUWFQJQYWAIV-RYUDHWBXSA-N -1 1 321.439 1.453 20 0 DDADMM CCOC(=O)c1cnc(C(C)=Cc2cncnc2OC)[n-]c1=O ZINC000592442354 355585389 /nfs/dbraw/zinc/58/53/89/355585389.db2.gz SMWKGQGLDQFQAA-WEVVVXLNSA-N -1 1 316.317 1.718 20 0 DDADMM CC(C)(C)OC(=O)c1ccc(C(=O)N=c2ccc([O-])n[nH]2)nc1 ZINC000592620482 355645707 /nfs/dbraw/zinc/64/57/07/355645707.db2.gz KHFSPGCDTIMJNG-UHFFFAOYSA-N -1 1 316.317 1.207 20 0 DDADMM CC(C)(C)OC(=O)c1ccc(C(=O)N=c2ccc(O)n[n-]2)nc1 ZINC000592620482 355645710 /nfs/dbraw/zinc/64/57/10/355645710.db2.gz KHFSPGCDTIMJNG-UHFFFAOYSA-N -1 1 316.317 1.207 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn(C3CCC3)nn2)n1 ZINC000592630371 355648767 /nfs/dbraw/zinc/64/87/67/355648767.db2.gz JUGWTCDWQWVUJZ-UHFFFAOYSA-N -1 1 304.310 1.155 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)COCC2CC2)o1 ZINC000593654013 355955445 /nfs/dbraw/zinc/95/54/45/355955445.db2.gz OBLAUMTVGGEHNM-VIFPVBQESA-N -1 1 317.363 1.160 20 0 DDADMM CCOC(=O)c1noc(CSc2nc(CC)c(C)c(=O)[n-]2)n1 ZINC000594904025 356351162 /nfs/dbraw/zinc/35/11/62/356351162.db2.gz ZUPLKDWFIMODBI-UHFFFAOYSA-N -1 1 324.362 1.905 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2cc(C)cc(C)c2)o1 ZINC000618161251 363483796 /nfs/dbraw/zinc/48/37/96/363483796.db2.gz DKUIDDQLFWJARR-UHFFFAOYSA-N -1 1 322.386 1.735 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](C)C(O)[C@@H](C)C1 ZINC000618269691 363554591 /nfs/dbraw/zinc/55/45/91/363554591.db2.gz YDXUNENQEQSTML-UWVGGRQHSA-N -1 1 321.421 1.877 20 0 DDADMM Cn1[n-]c(CN[C@H](c2c(F)cccc2F)C(F)(F)F)nc1=O ZINC000347578285 283235217 /nfs/dbraw/zinc/23/52/17/283235217.db2.gz DRTIIZUDIJGHJX-SNVBAGLBSA-N -1 1 322.237 1.780 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CC[S@@](=O)C1 ZINC000597572356 357232960 /nfs/dbraw/zinc/23/29/60/357232960.db2.gz LPSCIOAGYDOQDI-RKFFSXRUSA-N -1 1 318.398 1.781 20 0 DDADMM CCOC(=O)c1[nH]c(C)c(C(=O)Nc2c(C)[n-][nH]c2=O)c1C ZINC000597694367 357288202 /nfs/dbraw/zinc/28/82/02/357288202.db2.gz VAZJJAZQRHMNIG-UHFFFAOYSA-N -1 1 306.322 1.798 20 0 DDADMM COC(=O)CN(C(=O)c1csc(=NC2CC2)[n-]1)C1CCCC1 ZINC000598789517 357729754 /nfs/dbraw/zinc/72/97/54/357729754.db2.gz XQYFIADMWHGEJY-UHFFFAOYSA-N -1 1 323.418 1.697 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cc(COC)cs1 ZINC000598824822 357736194 /nfs/dbraw/zinc/73/61/94/357736194.db2.gz PTVANAOBSMMHPQ-UHFFFAOYSA-N -1 1 323.374 1.479 20 0 DDADMM CCC(=O)C[N-]S(=O)(=O)c1cc(F)cc(C(=O)OC)c1F ZINC000599279398 357873164 /nfs/dbraw/zinc/87/31/64/357873164.db2.gz SIQBPLAFENXHCK-UHFFFAOYSA-N -1 1 321.301 1.009 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)C[C@@H](C)OC)CCCC(C)(C)C1 ZINC000599410969 357925900 /nfs/dbraw/zinc/92/59/00/357925900.db2.gz DRPGVXKRFSNDPA-BXUZGUMPSA-N -1 1 321.439 1.453 20 0 DDADMM CCCCOc1ccc(C(=O)NCc2nn[n-]n2)cc1OC ZINC000599334450 357898294 /nfs/dbraw/zinc/89/82/94/357898294.db2.gz MRSDIWHGZSTONS-UHFFFAOYSA-N -1 1 305.338 1.317 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2[C@H](C)O[C@H](C)[C@H]2C)c1 ZINC000599459093 357945808 /nfs/dbraw/zinc/94/58/08/357945808.db2.gz CRPBVQJBPMMIRD-XBWDGYHZSA-N -1 1 317.363 1.156 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(=O)C2CCCC2)o1 ZINC000599472527 357948453 /nfs/dbraw/zinc/94/84/53/357948453.db2.gz IHTZROBBWWQYAR-UHFFFAOYSA-N -1 1 315.347 1.104 20 0 DDADMM CCO[C@H]1C[C@](O)(CNC(=O)c2c([O-])cccc2F)C1(C)C ZINC000276703923 213056452 /nfs/dbraw/zinc/05/64/52/213056452.db2.gz ZJMWJRHIBNFSAN-LRDDRELGSA-N -1 1 311.353 1.827 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncc(C)cc2[O-])[C@@H]2CCC[C@H]21 ZINC000600555749 358256443 /nfs/dbraw/zinc/25/64/43/358256443.db2.gz YLWBVJSJTZJHPS-YNEHKIRRSA-N -1 1 318.373 1.899 20 0 DDADMM C[C@@H](C[S@@](C)=O)N(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601435229 358552152 /nfs/dbraw/zinc/55/21/52/358552152.db2.gz XQSAIVYGMUTLRK-ZRNGKTOUSA-N -1 1 303.811 1.814 20 0 DDADMM C[C@H](C[S@@](C)=O)N(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601435223 358552494 /nfs/dbraw/zinc/55/24/94/358552494.db2.gz XQSAIVYGMUTLRK-AYLIAGHASA-N -1 1 303.811 1.814 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2C[C@@H](C)O[C@H]2C)cc1C ZINC000601498932 358576361 /nfs/dbraw/zinc/57/63/61/358576361.db2.gz ICIDRFDLIGUSSK-KXUCPTDWSA-N -1 1 317.363 1.219 20 0 DDADMM C[C@@H](S[C@@H](C)C(=O)[O-])C(=O)NC[C@H]1CCN1C(C)(C)C ZINC000602002532 358772666 /nfs/dbraw/zinc/77/26/66/358772666.db2.gz UIVFRMXYJLAJPA-OUAUKWLOSA-N -1 1 302.440 1.570 20 0 DDADMM CSCc1cccc(CNC(=O)CCCc2nn[n-]n2)c1 ZINC000602143349 358843229 /nfs/dbraw/zinc/84/32/29/358843229.db2.gz SGPVMSXUVGKSIE-UHFFFAOYSA-N -1 1 305.407 1.702 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(C)sc2C)n[n-]1 ZINC000603013411 359356289 /nfs/dbraw/zinc/35/62/89/359356289.db2.gz APIGDEJYSZCTSE-UHFFFAOYSA-N -1 1 308.363 1.590 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(C)sc2C)n1 ZINC000603013411 359356292 /nfs/dbraw/zinc/35/62/92/359356292.db2.gz APIGDEJYSZCTSE-UHFFFAOYSA-N -1 1 308.363 1.590 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)n[n-]1 ZINC000603155638 359442938 /nfs/dbraw/zinc/44/29/38/359442938.db2.gz PLHXEUGEZCVSCB-MMWGEVLESA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)[n-]1 ZINC000603155638 359442945 /nfs/dbraw/zinc/44/29/45/359442945.db2.gz PLHXEUGEZCVSCB-MMWGEVLESA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)n1 ZINC000603155638 359442951 /nfs/dbraw/zinc/44/29/51/359442951.db2.gz PLHXEUGEZCVSCB-MMWGEVLESA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(C)ccc2F)n[n-]1 ZINC000603166703 359451101 /nfs/dbraw/zinc/45/11/01/359451101.db2.gz RBFPHOOHHDWMHG-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(C)ccc2F)[n-]1 ZINC000603166703 359451104 /nfs/dbraw/zinc/45/11/04/359451104.db2.gz RBFPHOOHHDWMHG-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(C)ccc2F)n1 ZINC000603166703 359451109 /nfs/dbraw/zinc/45/11/09/359451109.db2.gz RBFPHOOHHDWMHG-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM Cc1ccc2ncnc(N3CCC(c4nc(=O)[n-][nH]4)CC3)c2c1 ZINC000280994384 216009962 /nfs/dbraw/zinc/00/99/62/216009962.db2.gz OYUVRMDKBINUGF-UHFFFAOYSA-N -1 1 310.361 1.734 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3CCC[C@H]3CCO)cnc2n1 ZINC000187933589 200179291 /nfs/dbraw/zinc/17/92/91/200179291.db2.gz FRXVUYNBLCRTBP-SMDDNHRTSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@@H]3CCC[C@H]3CCO)c[n-]c2n1 ZINC000187933589 200179293 /nfs/dbraw/zinc/17/92/93/200179293.db2.gz FRXVUYNBLCRTBP-SMDDNHRTSA-N -1 1 315.373 1.925 20 0 DDADMM CCC[C@H](NC(=O)c1cc2cc(OC)ccc2[nH]1)c1nn[n-]n1 ZINC000188278702 200221281 /nfs/dbraw/zinc/22/12/81/200221281.db2.gz HJFQZWCOLUOELH-LBPRGKRZSA-N -1 1 314.349 1.961 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccccc3)[nH]n2)C[C@@H](C(=O)[O-])O1 ZINC000237647844 202317179 /nfs/dbraw/zinc/31/71/79/202317179.db2.gz BMTBWBYAPRUDNB-YGRLFVJLSA-N -1 1 315.329 1.391 20 0 DDADMM Cc1ccc(C)c(OCC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000605381473 359847863 /nfs/dbraw/zinc/84/78/63/359847863.db2.gz SEFVQMLQJCKXDX-UHFFFAOYSA-N -1 1 315.377 1.781 20 0 DDADMM O=S(=O)([N-]Cc1nncn1C1CC1)c1cc(F)ccc1F ZINC000281178059 216133462 /nfs/dbraw/zinc/13/34/62/216133462.db2.gz NFIMMXYMLBLYQW-UHFFFAOYSA-N -1 1 314.317 1.370 20 0 DDADMM COc1ccc2[nH]cc(CCN=c3ccc(C(N)=O)n[n-]3)c2c1 ZINC000608753329 360236145 /nfs/dbraw/zinc/23/61/45/360236145.db2.gz PHQRCDYDASZVIL-UHFFFAOYSA-N -1 1 311.345 1.142 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccncc1Cl ZINC000608759590 360237908 /nfs/dbraw/zinc/23/79/08/360237908.db2.gz NGKOYRXEVYKPQJ-GFCCVEGCSA-N -1 1 314.736 1.768 20 0 DDADMM CCOC(=O)c1cnc(C)c(NC(=O)c2n[nH]c(C)c2[O-])c1 ZINC000611529191 360750154 /nfs/dbraw/zinc/75/01/54/360750154.db2.gz ZDFPMRVCOLUCFO-UHFFFAOYSA-N -1 1 304.306 1.556 20 0 DDADMM COCCOC1CN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000611789799 360825663 /nfs/dbraw/zinc/82/56/63/360825663.db2.gz OFBXLIRUNXTFIJ-UHFFFAOYSA-N -1 1 302.330 1.428 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CCO[C@H](C)C1 ZINC000271929681 209332114 /nfs/dbraw/zinc/33/21/14/209332114.db2.gz KLVJUUGPYRDXEE-BXKDBHETSA-N -1 1 318.377 1.071 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cccc3c2CCN(C)C3=O)c1[O-] ZINC000618898076 363787845 /nfs/dbraw/zinc/78/78/45/363787845.db2.gz XJDCBKMRWNQSBD-UHFFFAOYSA-N -1 1 300.318 1.304 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)c1ncn(C)n1 ZINC000275595760 212433737 /nfs/dbraw/zinc/43/37/37/212433737.db2.gz FASCBGWWJFFVON-SSDOTTSWSA-N -1 1 302.306 1.133 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N(CCN1CCOCC1)CC1CC1 ZINC000619883811 364174599 /nfs/dbraw/zinc/17/45/99/364174599.db2.gz XUOFADOXYQAISK-UHFFFAOYSA-N -1 1 322.380 1.716 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C(C)C)C2CC2)o1 ZINC000620039354 364254932 /nfs/dbraw/zinc/25/49/32/364254932.db2.gz UTOIXHWZMYIOSX-LBPRGKRZSA-N -1 1 300.380 1.352 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H]2COC[C@@H]2C1 ZINC000620145987 364312647 /nfs/dbraw/zinc/31/26/47/364312647.db2.gz SKUCULWNGRCBFE-NEPJUHHUSA-N -1 1 319.405 1.897 20 0 DDADMM CCC[C@H](C)CS(=O)(=O)[N-][C@@]1(C(=O)OC)CCSC1 ZINC000340070911 283821572 /nfs/dbraw/zinc/82/15/72/283821572.db2.gz NZULQOARZBRJMW-JQWIXIFHSA-N -1 1 309.453 1.391 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)CC(C)(C)CO)cnc2n1 ZINC000622077089 365231630 /nfs/dbraw/zinc/23/16/30/365231630.db2.gz ISJIPYPMFXORNO-UHFFFAOYSA-N -1 1 303.362 1.734 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)CC(C)(C)CO)c[n-]c2n1 ZINC000622077089 365231633 /nfs/dbraw/zinc/23/16/33/365231633.db2.gz ISJIPYPMFXORNO-UHFFFAOYSA-N -1 1 303.362 1.734 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H]4COC[C@H]4C3)cnc2n1 ZINC000622179221 365315286 /nfs/dbraw/zinc/31/52/86/365315286.db2.gz UGZDGGYMAFFMHR-NWDGAFQWSA-N -1 1 313.357 1.752 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC[C@H]3COC[C@H]3C1)c2=O ZINC000622179221 365315295 /nfs/dbraw/zinc/31/52/95/365315295.db2.gz UGZDGGYMAFFMHR-NWDGAFQWSA-N -1 1 313.357 1.752 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)CCc1ccc(Cl)cc1 ZINC000625492729 366962301 /nfs/dbraw/zinc/96/23/01/366962301.db2.gz STQPLEWHCGCWQD-LBPRGKRZSA-N -1 1 317.794 1.160 20 0 DDADMM Cc1ccccc1CO[C@@H](C)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000626443471 367547800 /nfs/dbraw/zinc/54/78/00/367547800.db2.gz GNOQGDFAVHCTHK-OLZOCXBDSA-N -1 1 317.393 1.675 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC3(CC3)CC2)o1 ZINC000629647064 422770189 /nfs/dbraw/zinc/77/01/89/422770189.db2.gz LPYDZUJEGYAILT-UHFFFAOYSA-N -1 1 312.391 1.594 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@H]1CCC[C@H](C)[C@@H]1C ZINC000349667180 284008639 /nfs/dbraw/zinc/00/86/39/284008639.db2.gz PBLOYJKPRHPGCL-QXEWZRGKSA-N -1 1 301.412 1.746 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCC[C@H](C)[C@@H]1C ZINC000349667180 284008642 /nfs/dbraw/zinc/00/86/42/284008642.db2.gz PBLOYJKPRHPGCL-QXEWZRGKSA-N -1 1 301.412 1.746 20 0 DDADMM O=C([O-])[C@H]1C=C[C@H](NS(=O)(=O)c2c(F)cc(F)cc2F)C1 ZINC000092181982 193171585 /nfs/dbraw/zinc/17/15/85/193171585.db2.gz LINBNEGENOJMON-XPUUQOCRSA-N -1 1 321.276 1.412 20 0 DDADMM COC(=O)C[C@@](C)(NC(=O)c1ncccc1[O-])c1ccncc1 ZINC000275158127 212157975 /nfs/dbraw/zinc/15/79/75/212157975.db2.gz ZTVDODCPCIXOPX-MRXNPFEDSA-N -1 1 315.329 1.391 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000635017134 422771985 /nfs/dbraw/zinc/77/19/85/422771985.db2.gz FLVKESBLHNJJSI-ZJUUUORDSA-N -1 1 310.316 1.440 20 0 DDADMM O=S(=O)([N-]CC[C@H](O)C(F)(F)F)c1ccc(Cl)s1 ZINC000349971404 284123731 /nfs/dbraw/zinc/12/37/31/284123731.db2.gz JNLOYFGFKDFBJV-YFKPBYRVSA-N -1 1 323.745 1.993 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CN2CCCCCC2=O)c1 ZINC000282743963 217218474 /nfs/dbraw/zinc/21/84/74/217218474.db2.gz JBJOIQJHQOYERC-UHFFFAOYSA-N -1 1 320.345 1.520 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)CC(C)(C)C(=O)OC)c1ccco1 ZINC000288304751 220072900 /nfs/dbraw/zinc/07/29/00/220072900.db2.gz KBINZLVNGVZHPQ-SNVBAGLBSA-N -1 1 319.379 1.086 20 0 DDADMM CC1(C)CN(C(=O)CCc2nn[n-]n2)Cc2ccccc2O1 ZINC000633143736 422776163 /nfs/dbraw/zinc/77/61/63/422776163.db2.gz MYNUJXBEYQVILF-UHFFFAOYSA-N -1 1 301.350 1.332 20 0 DDADMM C[C@H](c1nnnn1-c1ccccc1)N1CCC[C@@](C)(C(=O)[O-])C1 ZINC000566683624 304176520 /nfs/dbraw/zinc/17/65/20/304176520.db2.gz GXSIUWYZQWKRCK-MLGOLLRUSA-N -1 1 315.377 1.910 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCc3nc(N)sc3C2)c([O-])c1 ZINC000566683915 304176523 /nfs/dbraw/zinc/17/65/23/304176523.db2.gz UYXKCTLSLOPGCD-QMMMGPOBSA-N -1 1 304.375 1.252 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H](CO)C1)c1cccc(F)c1F ZINC000416649312 307299711 /nfs/dbraw/zinc/29/97/11/307299711.db2.gz XNJOLJKQXUYFQT-VHSXEESVSA-N -1 1 305.346 1.794 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCOc2cc(F)ccc2C1 ZINC000636290369 422784817 /nfs/dbraw/zinc/78/48/17/422784817.db2.gz AYUDOBNLMAIOGQ-UHFFFAOYSA-N -1 1 305.313 1.083 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCOCC2CC2)c(F)c1 ZINC000425215508 307302054 /nfs/dbraw/zinc/30/20/54/307302054.db2.gz IPYKUQUMNIBMMN-UHFFFAOYSA-N -1 1 305.346 1.978 20 0 DDADMM O=C([O-])Cc1ccc(NS(=O)(=O)c2cncc(F)c2)cc1 ZINC000044260515 183402049 /nfs/dbraw/zinc/40/20/49/183402049.db2.gz RGSXKYQQNKAXPN-UHFFFAOYSA-N -1 1 310.306 1.649 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000274110848 211365862 /nfs/dbraw/zinc/36/58/62/211365862.db2.gz QUTIMGZVVBUXIO-JTQLQIEISA-N -1 1 301.339 1.181 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cc(F)ccc2F)[C@@H]1OC ZINC000274000338 211259282 /nfs/dbraw/zinc/25/92/82/211259282.db2.gz JSEMDBPVPCFTKQ-WZRBSPASSA-N -1 1 321.345 1.436 20 0 DDADMM NC(=O)CCCCC(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000352051695 284739717 /nfs/dbraw/zinc/73/97/17/284739717.db2.gz DUVUBNUZEMJFNY-UHFFFAOYSA-N -1 1 322.315 1.761 20 0 DDADMM O=C(CC[N-]S(=O)(=O)c1sccc1F)N1CCCCC1 ZINC000338871327 250174016 /nfs/dbraw/zinc/17/40/16/250174016.db2.gz CWHIKODVIRUUKL-UHFFFAOYSA-N -1 1 320.411 1.568 20 0 DDADMM CCOc1cc(C(=O)NC[C@H](COC)OC)cc(Cl)c1[O-] ZINC000273847470 211110071 /nfs/dbraw/zinc/11/00/71/211110071.db2.gz KUHLKUXRVPDVQU-SNVBAGLBSA-N -1 1 317.769 1.836 20 0 DDADMM COC(=O)CCC(C)(C)[N-]S(=O)(=O)c1sccc1F ZINC000338923520 250199167 /nfs/dbraw/zinc/19/91/67/250199167.db2.gz DURAWHJTWKJNFA-UHFFFAOYSA-N -1 1 309.384 1.897 20 0 DDADMM COc1cccc(CC[N-]S(=O)(=O)c2sccc2F)n1 ZINC000338924443 250199277 /nfs/dbraw/zinc/19/92/77/250199277.db2.gz INFBRLXHQQJNHS-UHFFFAOYSA-N -1 1 316.379 1.812 20 0 DDADMM COC(=O)c1ccc(F)cc1S(=O)(=O)[N-][C@@H]1CCC[C@@H]1F ZINC000339117537 250301228 /nfs/dbraw/zinc/30/12/28/250301228.db2.gz BLXDRIZQHGWYFC-WDEREUQCSA-N -1 1 319.329 1.781 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-][C@@H]2CCC[C@H]2F)s1 ZINC000339119113 250303408 /nfs/dbraw/zinc/30/34/08/250303408.db2.gz KIIFFMOXWZXHIB-HTQZYQBOSA-N -1 1 307.372 1.270 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(F)ccc2OC)n1 ZINC000339169434 250326522 /nfs/dbraw/zinc/32/65/22/250326522.db2.gz AYMRYSFXZMXBDZ-UHFFFAOYSA-N -1 1 307.281 1.986 20 0 DDADMM CCOC(=O)c1cnc([N-]S(=O)(=O)Cc2ccccc2)n1C ZINC000285198649 218330181 /nfs/dbraw/zinc/33/01/81/218330181.db2.gz WCLWURGTTBTURD-UHFFFAOYSA-N -1 1 323.374 1.539 20 0 DDADMM O=C(N[C@H]1CCN(Cc2ccccc2)C1=O)c1cncc([O-])c1 ZINC000339829093 250684435 /nfs/dbraw/zinc/68/44/35/250684435.db2.gz OACNNFTWLSUJGU-HNNXBMFYSA-N -1 1 311.341 1.318 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCN(c2ccc(F)cc2)CC1 ZINC000635071000 422797515 /nfs/dbraw/zinc/79/75/15/422797515.db2.gz SEOGWJAYNYFFAH-UHFFFAOYSA-N -1 1 318.356 1.010 20 0 DDADMM CCOc1cccc(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)c1 ZINC000352171149 284838271 /nfs/dbraw/zinc/83/82/71/284838271.db2.gz PEHLRIBIWJIQGJ-UHFFFAOYSA-N -1 1 319.317 1.225 20 0 DDADMM Cc1ccccc1CS(=O)(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000340366753 251029469 /nfs/dbraw/zinc/02/94/69/251029469.db2.gz GUPQJQIFCBMEGH-UHFFFAOYSA-N -1 1 316.386 1.592 20 0 DDADMM CN(C)C[C@H]1CCCN1S(=O)(=O)c1cc(C(=O)[O-])cs1 ZINC000061643515 184180230 /nfs/dbraw/zinc/18/02/30/184180230.db2.gz ZDAPXABMUGTPGG-SNVBAGLBSA-N -1 1 318.420 1.161 20 0 DDADMM CCNC(=O)[C@@H](NC(=O)Cc1ccc([O-])c(Cl)c1)C(C)C ZINC000633200379 422803110 /nfs/dbraw/zinc/80/31/10/422803110.db2.gz FRGVSFMXONTXTL-AWEZNQCLSA-N -1 1 312.797 1.865 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC=C(F)C1 ZINC000273005967 210383345 /nfs/dbraw/zinc/38/33/45/210383345.db2.gz AKCZMPJARAIOLS-UHFFFAOYSA-N -1 1 304.325 1.268 20 0 DDADMM O=C(N[C@H]1CCN(c2ccc(F)cc2)C1)c1ncccc1[O-] ZINC000412954456 224112144 /nfs/dbraw/zinc/11/21/44/224112144.db2.gz SMWRVDMTXLFTQI-LBPRGKRZSA-N -1 1 301.321 1.935 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CCCCS1 ZINC000272935816 210323189 /nfs/dbraw/zinc/32/31/89/210323189.db2.gz LGUWGTMWPNHYKT-GFCCVEGCSA-N -1 1 320.418 1.541 20 0 DDADMM CO[C@H](Cc1ccccc1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000295873358 533194832 /nfs/dbraw/zinc/19/48/32/533194832.db2.gz CTUQXOXOFVWFPT-WCQYABFASA-N -1 1 303.366 1.019 20 0 DDADMM Cc1cnc([C@@H](C)C[N-]S(=O)(=O)c2c(C)onc2N)s1 ZINC000289982786 221360778 /nfs/dbraw/zinc/36/07/78/221360778.db2.gz VIPFGVVYMRHMAB-LURJTMIESA-N -1 1 316.408 1.412 20 0 DDADMM COC(=O)[C@H](CC(C)C)N(C)C(=O)C(=O)c1ccc([O-])cc1 ZINC000294719639 533229192 /nfs/dbraw/zinc/22/91/92/533229192.db2.gz SKBHWGTYFOYMAM-ZDUSSCGKSA-N -1 1 307.346 1.621 20 0 DDADMM COC(=O)C[C@H](C)C(=O)Nc1nc(Br)ccc1[O-] ZINC000121552213 195305689 /nfs/dbraw/zinc/30/56/89/195305689.db2.gz UJKMNISGSJRYKP-LURJTMIESA-N -1 1 317.139 1.687 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cc(F)cc(OC(F)F)c1 ZINC000636330697 422810399 /nfs/dbraw/zinc/81/03/99/422810399.db2.gz WRGDQAQCALWMTI-UHFFFAOYSA-N -1 1 315.255 1.902 20 0 DDADMM COC(=O)C1(NC(=O)c2ncc(C)cc2[O-])CCC(C)CC1 ZINC000495134362 533251601 /nfs/dbraw/zinc/25/16/01/533251601.db2.gz XTMIHDKVBKUEKO-UHFFFAOYSA-N -1 1 306.362 1.947 20 0 DDADMM COc1cc(C(=O)[N-]c2ccnc(-c3cncs3)n2)on1 ZINC000431036114 533559842 /nfs/dbraw/zinc/55/98/42/533559842.db2.gz VGSJIXVGSMMFLR-UHFFFAOYSA-N -1 1 303.303 1.849 20 0 DDADMM CO[C@](C)(C[N-]S(=O)(=O)c1nc(C)c(C)s1)C1CC1 ZINC000360245948 299618573 /nfs/dbraw/zinc/61/85/73/299618573.db2.gz RBEZTQZVURACDC-GFCCVEGCSA-N -1 1 304.437 1.853 20 0 DDADMM COC1(C)CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000287646357 292099225 /nfs/dbraw/zinc/09/92/25/292099225.db2.gz OWCSRIXMOJZIHH-PDGQHHTCSA-N -1 1 303.366 1.375 20 0 DDADMM CCC[C@H]1C[C@@H]1C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000360616519 299706365 /nfs/dbraw/zinc/70/63/65/299706365.db2.gz FPNFHBYXOYJRGR-ONGXEEELSA-N -1 1 312.391 1.675 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(c1ccccc1)[C@H]1C[C@H]1C ZINC000569557275 304362803 /nfs/dbraw/zinc/36/28/03/304362803.db2.gz FBDWIXQUGRCYQI-SKDRFNHKSA-N -1 1 307.375 1.999 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCCCN1C(=O)c1ccc([O-])cc1F ZINC000456203119 286600187 /nfs/dbraw/zinc/60/01/87/286600187.db2.gz VRKFRYIWHWKSEH-JTQLQIEISA-N -1 1 315.366 1.571 20 0 DDADMM C[C@H]1CN(C(=O)CCc2nn[n-]n2)[C@@H](c2ccccc2)CO1 ZINC000631561471 422832620 /nfs/dbraw/zinc/83/26/20/422832620.db2.gz FUFXZEUJQFKWFE-WCQYABFASA-N -1 1 301.350 1.121 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)cc1F ZINC000077711270 407002601 /nfs/dbraw/zinc/00/26/01/407002601.db2.gz UDGSORKXOMFUPH-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM NC(=O)C1(CNC(=O)c2cc(Cl)ccc2[O-])CCOCC1 ZINC000047930938 407084151 /nfs/dbraw/zinc/08/41/51/407084151.db2.gz PHQCFBGGYOASDU-UHFFFAOYSA-N -1 1 312.753 1.058 20 0 DDADMM CCS(=O)(=O)CCN(C)C(=O)c1cc(Cl)ccc1[O-] ZINC000089934280 407160111 /nfs/dbraw/zinc/16/01/11/407160111.db2.gz DEIMRVURONYZOL-UHFFFAOYSA-N -1 1 305.783 1.552 20 0 DDADMM Cn1c(CNC(=O)C=Cc2ccnc(Cl)c2)n[n-]c1=S ZINC000090550929 407170280 /nfs/dbraw/zinc/17/02/80/407170280.db2.gz UVSYEFWQIKYYRK-NSCUHMNNSA-N -1 1 309.782 1.856 20 0 DDADMM CCCOc1cn(-c2ccccc2)nc1C(=O)Nc1nn[n-]n1 ZINC000103189895 407333257 /nfs/dbraw/zinc/33/32/57/407333257.db2.gz WCLPJEZZCKBPMQ-UHFFFAOYSA-N -1 1 313.321 1.427 20 0 DDADMM CSCc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000123989257 407344845 /nfs/dbraw/zinc/34/48/45/407344845.db2.gz ARUCQTFPNRJKOJ-SNVBAGLBSA-N -1 1 305.407 1.938 20 0 DDADMM CCOc1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1Cl ZINC000124480096 407359724 /nfs/dbraw/zinc/35/97/24/407359724.db2.gz NMNFLHLPYAGQMV-MRVPVSSYSA-N -1 1 324.772 1.523 20 0 DDADMM O=C(NC[C@@H](O)COc1ccc(F)cc1)c1cncc([O-])c1 ZINC000111579635 407412157 /nfs/dbraw/zinc/41/21/57/407412157.db2.gz FLWQGDZRXNZISF-CYBMUJFWSA-N -1 1 306.293 1.096 20 0 DDADMM CC1(C)[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)[C@H]2CCO[C@H]21 ZINC000253544157 407458897 /nfs/dbraw/zinc/45/88/97/407458897.db2.gz PKHLUDLCDPLNCT-RAIGVLPGSA-N -1 1 313.357 1.879 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](OC)C(C)C)o1 ZINC000266703159 407605345 /nfs/dbraw/zinc/60/53/45/407605345.db2.gz FTLVKGBQKUKKEK-SNVBAGLBSA-N -1 1 305.352 1.016 20 0 DDADMM O=C(CCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000129475643 407612966 /nfs/dbraw/zinc/61/29/66/407612966.db2.gz LLTPWCQRXCKDEX-CYBMUJFWSA-N -1 1 313.361 1.569 20 0 DDADMM CCc1nc(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cs1 ZINC000129457471 407613163 /nfs/dbraw/zinc/61/31/63/407613163.db2.gz RBWBXNITSUKNGR-SECBINFHSA-N -1 1 306.395 1.167 20 0 DDADMM O=C(COc1ccc(F)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129455229 407613758 /nfs/dbraw/zinc/61/37/58/407613758.db2.gz DNGJAPRWSMKZRJ-SNVBAGLBSA-N -1 1 305.313 1.124 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1C[C@H]1C(C)C ZINC000186396160 407656271 /nfs/dbraw/zinc/65/62/71/407656271.db2.gz VMZJBFMGOBQLTL-RYUDHWBXSA-N -1 1 302.378 1.548 20 0 DDADMM CC(C)NC(=O)[C@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000116454153 407756076 /nfs/dbraw/zinc/75/60/76/407756076.db2.gz LBXXQADTAWRCET-RYUDHWBXSA-N -1 1 305.378 1.600 20 0 DDADMM COC(=O)CN(CCc1ccccc1)C(=O)c1ncccc1[O-] ZINC000153018730 407738998 /nfs/dbraw/zinc/73/89/98/407738998.db2.gz ODOGJEIBINNFIO-UHFFFAOYSA-N -1 1 314.341 1.645 20 0 DDADMM O=C(NC[C@]1(O)CCOC1)c1ccc(Br)c([O-])c1 ZINC000179300496 407767337 /nfs/dbraw/zinc/76/73/37/407767337.db2.gz RBXOQQJWXJZNLR-GFCCVEGCSA-N -1 1 316.151 1.036 20 0 DDADMM CC(C)(O)[C@H]1CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000153352964 407806806 /nfs/dbraw/zinc/80/68/06/407806806.db2.gz DYXAELRLCLGODE-GFCCVEGCSA-N -1 1 301.346 1.299 20 0 DDADMM COCC[C@H](NC(=O)[C@@H]1CCCN1Cc1ccccc1)C(=O)[O-] ZINC000262351719 407809948 /nfs/dbraw/zinc/80/99/48/407809948.db2.gz YZMDJGGKELDPGK-GJZGRUSLSA-N -1 1 320.389 1.257 20 0 DDADMM CCc1ccc(CNC(=O)CN2CCC(C(=O)[O-])CC2)s1 ZINC000262620228 407884957 /nfs/dbraw/zinc/88/49/57/407884957.db2.gz DUQVWVJKGWOSOP-UHFFFAOYSA-N -1 1 310.419 1.723 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC[C@@H]1C ZINC000187751850 407888824 /nfs/dbraw/zinc/88/88/24/407888824.db2.gz BZBBFEPRJNXOAD-JOYOIKCWSA-N -1 1 304.350 1.171 20 0 DDADMM CC(C)(C)c1noc(-c2ccccc2C(=O)Nc2nnn[n-]2)n1 ZINC000118685945 407935100 /nfs/dbraw/zinc/93/51/00/407935100.db2.gz VIPZJIUFIVYUDS-UHFFFAOYSA-N -1 1 313.321 1.800 20 0 DDADMM CC(C)(C)c1noc(-c2ccccc2C(=O)Nc2nn[n-]n2)n1 ZINC000118685945 407935105 /nfs/dbraw/zinc/93/51/05/407935105.db2.gz VIPZJIUFIVYUDS-UHFFFAOYSA-N -1 1 313.321 1.800 20 0 DDADMM Cc1nn(C)cc1[C@@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000181923810 408052771 /nfs/dbraw/zinc/05/27/71/408052771.db2.gz OHXYMPSZAFTZTC-MRVPVSSYSA-N -1 1 314.349 1.207 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@@H](O)CC(C)(C)C)c2=O ZINC000182028092 408064654 /nfs/dbraw/zinc/06/46/54/408064654.db2.gz PMNBATKFPVQMPM-VIFPVBQESA-N -1 1 306.366 1.201 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCN2C(=O)NC[C@H]2C1 ZINC000175455848 408137343 /nfs/dbraw/zinc/13/73/43/408137343.db2.gz XHJNZDZOHMPBDG-LBPRGKRZSA-N -1 1 311.341 1.395 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCCC1=O ZINC000190004343 408144455 /nfs/dbraw/zinc/14/44/55/408144455.db2.gz VJYZBICYZPIGCR-SNVBAGLBSA-N -1 1 305.378 1.475 20 0 DDADMM CCC[C@@H](NC(=O)C[C@](C)(O)c1ccccc1)c1nn[n-]n1 ZINC000182574145 408195893 /nfs/dbraw/zinc/19/58/93/408195893.db2.gz PHFHANOCMUVSQR-DOMZBBRYSA-N -1 1 303.366 1.455 20 0 DDADMM COc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)ccc1Cl ZINC000155577217 408182969 /nfs/dbraw/zinc/18/29/69/408182969.db2.gz SJRSNSWYARLQSK-JTQLQIEISA-N -1 1 321.768 1.882 20 0 DDADMM Cc1n[nH]c(C(F)F)c1[N-]S(=O)(=O)C[C@@H]1CCCCO1 ZINC000273411499 408187601 /nfs/dbraw/zinc/18/76/01/408187601.db2.gz MSJICXZKFZIRMO-QMMMGPOBSA-N -1 1 309.338 1.967 20 0 DDADMM COc1cccc([C@@H](C)C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000155629313 408191231 /nfs/dbraw/zinc/19/12/31/408191231.db2.gz XZLXZBAYLLGZJS-YPMHNXCESA-N -1 1 315.377 1.718 20 0 DDADMM C[C@@H](Cn1cccn1)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000263685052 408205867 /nfs/dbraw/zinc/20/58/67/408205867.db2.gz JUGVNDZVWBZRHZ-VIFPVBQESA-N -1 1 301.318 1.528 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@H]1SCCc2sccc21 ZINC000176267629 408326846 /nfs/dbraw/zinc/32/68/46/408326846.db2.gz MIJUQVRRXYKDOB-NSHDSACASA-N -1 1 324.431 1.239 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@@H]1C[C@H]1c1cc(F)ccc1F ZINC000176269881 408329344 /nfs/dbraw/zinc/32/93/44/408329344.db2.gz KASCVQAGEGCVDY-GXSJLCMTSA-N -1 1 322.315 1.229 20 0 DDADMM CC(=O)N[C@H](C)C(=O)Nc1cc(C)cc(Br)c1[O-] ZINC000182905263 408280545 /nfs/dbraw/zinc/28/05/45/408280545.db2.gz ISXPIQHXUJUQKL-SSDOTTSWSA-N -1 1 315.167 1.926 20 0 DDADMM CC(C)CCn1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)nn1 ZINC000151286847 408289661 /nfs/dbraw/zinc/28/96/61/408289661.db2.gz LFDXRZZUERMYNE-UHFFFAOYSA-N -1 1 317.275 1.713 20 0 DDADMM CN(C)C(=O)[C@@H](Cc1ccccc1)[N-]C(=O)C(F)(F)C(F)F ZINC000176583469 408397329 /nfs/dbraw/zinc/39/73/29/408397329.db2.gz DENSTJLMJVJWDL-SNVBAGLBSA-N -1 1 320.286 1.703 20 0 DDADMM Cc1cc(Cl)ccc1OCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183401300 408401068 /nfs/dbraw/zinc/40/10/68/408401068.db2.gz YIXSAODMFGEBAW-SNVBAGLBSA-N -1 1 323.784 1.802 20 0 DDADMM C[C@@H](CN(C)C(=O)CCOc1ccccc1Cl)c1nn[n-]n1 ZINC000183404461 408401586 /nfs/dbraw/zinc/40/15/86/408401586.db2.gz FVRYIDRELQGGHJ-JTQLQIEISA-N -1 1 323.784 1.884 20 0 DDADMM Cc1cc(Cl)ccc1OCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183401317 408401834 /nfs/dbraw/zinc/40/18/34/408401834.db2.gz YIXSAODMFGEBAW-JTQLQIEISA-N -1 1 323.784 1.802 20 0 DDADMM CC(=O)N1CC(NC(=O)c2cc(Br)ccc2[O-])C1 ZINC000176633241 408409299 /nfs/dbraw/zinc/40/92/99/408409299.db2.gz CCUQHPWUCHJCSG-UHFFFAOYSA-N -1 1 313.151 1.115 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)Oc1ccccc1Cl)c1nn[n-]n1 ZINC000183450337 408416409 /nfs/dbraw/zinc/41/64/09/408416409.db2.gz LDTHFWSNTSUGNO-UWVGGRQHSA-N -1 1 323.784 1.883 20 0 DDADMM CCCC(=O)N1CCC[C@H](C(=O)N[C@H](CCC)c2nn[n-]n2)C1 ZINC000176762015 408435464 /nfs/dbraw/zinc/43/54/64/408435464.db2.gz NNXRKTLORNOHNO-NWDGAFQWSA-N -1 1 322.413 1.196 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2(O)CCCCC2)o1 ZINC000269819192 408437489 /nfs/dbraw/zinc/43/74/89/408437489.db2.gz RXJFNJXZAOAITQ-UHFFFAOYSA-N -1 1 317.363 1.040 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(OC)c(OC)c1)c1nn[n-]n1 ZINC000176769002 408438375 /nfs/dbraw/zinc/43/83/75/408438375.db2.gz MUEWHKRNAFUVIP-SNVBAGLBSA-N -1 1 305.338 1.488 20 0 DDADMM CCC[C@@H](NC(=O)c1ccnc(SCC)c1)c1nn[n-]n1 ZINC000176781054 408443644 /nfs/dbraw/zinc/44/36/44/408443644.db2.gz UOVWXQCJWMRCGI-SNVBAGLBSA-N -1 1 306.395 1.978 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1Cc2cc(Cl)ccc2O1)c1nn[n-]n1 ZINC000274727358 408511584 /nfs/dbraw/zinc/51/15/84/408511584.db2.gz BQEJKWRPXZPCFI-PRHODGIISA-N -1 1 321.768 1.419 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COc2nc(C(C)C)no2)cc1 ZINC000264492587 408512218 /nfs/dbraw/zinc/51/22/18/408512218.db2.gz DIAULUYVQXSQHK-UHFFFAOYSA-N -1 1 319.317 1.537 20 0 DDADMM O=S(=O)([N-]CC(F)(F)CO)c1ccccc1C(F)(F)F ZINC000184296041 408586053 /nfs/dbraw/zinc/58/60/53/408586053.db2.gz KFGZMTLTIWESAH-UHFFFAOYSA-N -1 1 319.251 1.611 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@H]1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000248562237 408586305 /nfs/dbraw/zinc/58/63/05/408586305.db2.gz NZSARPMRJCXLHB-HBNTYKKESA-N -1 1 305.407 1.935 20 0 DDADMM CCOC(=O)[C@@H](C)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000265251869 408590962 /nfs/dbraw/zinc/59/09/62/408590962.db2.gz YCYSULVDCRORFS-SECBINFHSA-N -1 1 316.379 1.430 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)C2CCCCC2)co1 ZINC000161677471 408627741 /nfs/dbraw/zinc/62/77/41/408627741.db2.gz ZKUNNVNJAIBXDP-UHFFFAOYSA-N -1 1 300.380 1.592 20 0 DDADMM CCOCCC1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000161992540 408658579 /nfs/dbraw/zinc/65/85/79/408658579.db2.gz FKXJFPPWAZMQEC-UHFFFAOYSA-N -1 1 315.373 1.860 20 0 DDADMM CCCC[C@H](COC)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000265459611 408658613 /nfs/dbraw/zinc/65/86/13/408658613.db2.gz FCSMISKYAWXRNU-SNVBAGLBSA-N -1 1 319.379 1.550 20 0 DDADMM CC[C@@H]1CCC[C@@H]1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000162570620 408712436 /nfs/dbraw/zinc/71/24/36/408712436.db2.gz DFBQTYZKGOMLLN-OCCSQVGLSA-N -1 1 316.405 1.877 20 0 DDADMM O=c1cc(CN2CCO[C@@]3(CCOC3)C2)c2ccc([O-])cc2o1 ZINC000185221390 408782624 /nfs/dbraw/zinc/78/26/24/408782624.db2.gz WMMMHHQCVWSOPL-KRWDZBQOSA-N -1 1 317.341 1.490 20 0 DDADMM CCc1nc(CN(C)Cc2nc(=O)c3sccc3[n-]2)n[nH]1 ZINC000163159427 408765726 /nfs/dbraw/zinc/76/57/26/408765726.db2.gz BRRRTHFCYGBMQJ-UHFFFAOYSA-N -1 1 304.379 1.297 20 0 DDADMM CC(C)c1nnc(CNC(=O)c2c(F)ccc([O-])c2F)n1C ZINC000280905548 408855699 /nfs/dbraw/zinc/85/56/99/408855699.db2.gz FEHLPTHJWAKSAX-UHFFFAOYSA-N -1 1 310.304 1.852 20 0 DDADMM C[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@H](C)S1(=O)=O ZINC000291371452 408865056 /nfs/dbraw/zinc/86/50/56/408865056.db2.gz WEOXFCHRTDKMKP-OCAPTIKFSA-N -1 1 319.329 1.318 20 0 DDADMM O=C(N[C@H](CO)C[C@@H](O)c1ccccc1)c1cncc([O-])c1 ZINC000285819290 408870456 /nfs/dbraw/zinc/87/04/56/408870456.db2.gz ZFDYWBTYVJTADH-DZGCQCFKSA-N -1 1 302.330 1.002 20 0 DDADMM CC1CCC(N2C[C@H](C(=O)[N-]OC[C@@H]3CCOC3)CC2=O)CC1 ZINC000189248435 163090262 /nfs/dbraw/zinc/09/02/62/163090262.db2.gz VZLGLRQSBUDAOL-PIOWNMBXSA-N -1 1 324.421 1.498 20 0 DDADMM CCc1cc(C(=O)NC[C@@H](C)N2CCN(C)CC2)ccc1O ZINC000291747521 408916778 /nfs/dbraw/zinc/91/67/78/408916778.db2.gz DNNAOKVQEMAHHP-CYBMUJFWSA-N -1 1 305.422 1.320 20 0 DDADMM CCOC[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(C)C ZINC000191443980 163187093 /nfs/dbraw/zinc/18/70/93/163187093.db2.gz NGPVLTPMKRSNFB-GFCCVEGCSA-N -1 1 306.366 1.465 20 0 DDADMM O=C([O-])CN1CCN(C(=O)C23CC4CC(CC(C4)C2)C3)CC1 ZINC000238163249 163399867 /nfs/dbraw/zinc/39/98/67/163399867.db2.gz NADSXJHAYIUPFW-UHFFFAOYSA-N -1 1 306.406 1.432 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCn2cncc2C1 ZINC000292082440 408977448 /nfs/dbraw/zinc/97/74/48/408977448.db2.gz MUNNJIXOZSTDGX-UHFFFAOYSA-N -1 1 315.377 1.641 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H](C)C(C)(C)C)c1 ZINC000292230922 409006136 /nfs/dbraw/zinc/00/61/36/409006136.db2.gz MZUSQYZBDBXFME-SECBINFHSA-N -1 1 314.407 1.921 20 0 DDADMM CCCCC[C@H](C)NC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287566545 409056672 /nfs/dbraw/zinc/05/66/72/409056672.db2.gz VLHKYSPNCDKNLS-PYXNCVKXSA-N -1 1 317.393 1.365 20 0 DDADMM O=C(C(=O)N1CCC[C@@H](Cn2ccnn2)C1)c1ccc([O-])cc1 ZINC000287880498 409108147 /nfs/dbraw/zinc/10/81/47/409108147.db2.gz ZZQXGPSGQOUHEN-GFCCVEGCSA-N -1 1 314.345 1.105 20 0 DDADMM COC(=O)[C@H](CF)NC(=O)c1ccc(Br)cc1[O-] ZINC000287993910 409127737 /nfs/dbraw/zinc/12/77/37/409127737.db2.gz BBYBDBCDIGNUMI-QMMMGPOBSA-N -1 1 320.114 1.396 20 0 DDADMM COCCC1(CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCC1 ZINC000279303294 409189087 /nfs/dbraw/zinc/18/90/87/409189087.db2.gz LSOFHMCYLAYMJI-MOSHPQCFSA-N -1 1 317.393 1.670 20 0 DDADMM CCOCCO[C@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000288066598 409139382 /nfs/dbraw/zinc/13/93/82/409139382.db2.gz GJFZSBHIFDHDOM-AWEZNQCLSA-N -1 1 307.346 1.229 20 0 DDADMM COC[C@H]1CCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000279215529 409171419 /nfs/dbraw/zinc/17/14/19/409171419.db2.gz FFRRQJXUFKGBSK-AFSRSGBESA-N -1 1 303.366 1.232 20 0 DDADMM COCCN1CCN(C(=O)c2c(F)ccc([O-])c2F)C[C@@H]1C ZINC000289361806 409255171 /nfs/dbraw/zinc/25/51/71/409255171.db2.gz KMYZEWXXDMIUKK-JTQLQIEISA-N -1 1 314.332 1.463 20 0 DDADMM COC[C@@H]1CCN1C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000294193934 409257058 /nfs/dbraw/zinc/25/70/58/409257058.db2.gz CGKMAPLNRGCSAV-JTQLQIEISA-N -1 1 311.407 1.392 20 0 DDADMM CCn1nc(C)c(C(=O)[N-]c2nnc(C(F)(F)F)s2)n1 ZINC000294337319 409281641 /nfs/dbraw/zinc/28/16/41/409281641.db2.gz ALYFCWJOYYMYKN-UHFFFAOYSA-N -1 1 306.273 1.729 20 0 DDADMM COCCN(CC(C)C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294764167 409301634 /nfs/dbraw/zinc/30/16/34/409301634.db2.gz FIKFWFNPMLPODZ-UHFFFAOYSA-N -1 1 308.407 1.763 20 0 DDADMM Cc1ccc(CCC(=O)Nc2nc(SCCO)n[nH]2)s1 ZINC000290398813 409388585 /nfs/dbraw/zinc/38/85/85/409388585.db2.gz DKLXVIDDFYAJQE-UHFFFAOYSA-N -1 1 312.420 1.830 20 0 DDADMM C[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CC[S@@](=O)C1 ZINC000290275357 409345446 /nfs/dbraw/zinc/34/54/46/409345446.db2.gz YMEQBQZUTJTPEV-ZPWHCFADSA-N -1 1 303.330 1.511 20 0 DDADMM O=C([O-])C1CCC(NC(=O)c2n[nH]nc2-c2ccccc2)CC1 ZINC000263473969 163973136 /nfs/dbraw/zinc/97/31/36/163973136.db2.gz YQJKPXBLYYYSPV-UHFFFAOYSA-N -1 1 314.345 1.845 20 0 DDADMM CCC[C@@H](CCO)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295366731 409452207 /nfs/dbraw/zinc/45/22/07/409452207.db2.gz RLNDWMSRCLICEM-JTQLQIEISA-N -1 1 308.407 1.547 20 0 DDADMM O=C([N-]S(=O)(=O)CC1CCC1)[C@H](C1CC1)N1CCCC1 ZINC000408482086 164346132 /nfs/dbraw/zinc/34/61/32/164346132.db2.gz JUAPYZWRGICRAI-ZDUSSCGKSA-N -1 1 300.424 1.107 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ccc(-c2ncon2)cc1 ZINC000290703687 409493540 /nfs/dbraw/zinc/49/35/40/409493540.db2.gz SMFGUBAIMZCRQL-UHFFFAOYSA-N -1 1 311.319 1.041 20 0 DDADMM CCN(CC)C(=O)CCCN=c1nc([C@@H](C)OC)[n-]s1 ZINC000337904794 409555843 /nfs/dbraw/zinc/55/58/43/409555843.db2.gz ASCVBVJEZINJMA-SNVBAGLBSA-N -1 1 300.428 1.728 20 0 DDADMM CCNC(=O)NC(=O)[C@H](C)Sc1nc(C2CC2)cc(=O)[n-]1 ZINC000331537979 409615663 /nfs/dbraw/zinc/61/56/63/409615663.db2.gz VCOSDRSMXQIGMI-ZETCQYMHSA-N -1 1 310.379 1.386 20 0 DDADMM COc1ccc(F)c2[nH]c(C(=O)NC3(c4nn[n-]n4)CC3)cc21 ZINC000356936319 409734284 /nfs/dbraw/zinc/73/42/84/409734284.db2.gz OWIQSQWKFAGXQV-UHFFFAOYSA-N -1 1 316.296 1.248 20 0 DDADMM Cc1ccc2c(c1)[C@@H](NC(=O)c1cc(=O)n3[n-]cnc3n1)[C@@H](C)C2 ZINC000354339811 409778367 /nfs/dbraw/zinc/77/83/67/409778367.db2.gz HWOLHNWRTLCTOM-BONVTDFDSA-N -1 1 323.356 1.389 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC000323740163 409924287 /nfs/dbraw/zinc/92/42/87/409924287.db2.gz BGPTVXWOUNQFGG-STQMWFEESA-N -1 1 320.389 1.985 20 0 DDADMM O=C(CCc1ccccc1O)NC1(c2nn[n-]n2)CCCC1 ZINC000346353662 409933122 /nfs/dbraw/zinc/93/31/22/409933122.db2.gz SEXJYGDPCXFJBE-UHFFFAOYSA-N -1 1 301.350 1.424 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@@H]3CCCN3C)[nH][n-]2)c1 ZINC000332172022 409946707 /nfs/dbraw/zinc/94/67/07/409946707.db2.gz LKHOVLRQXVOYAT-AWEZNQCLSA-N -1 1 302.353 1.979 20 0 DDADMM C[C@H](CC(=O)Nc1nn[nH]c1C(=O)NC1CC1)c1ccccc1 ZINC000297814914 410011199 /nfs/dbraw/zinc/01/11/99/410011199.db2.gz UIGGBUGEUALBJI-SNVBAGLBSA-N -1 1 313.361 1.829 20 0 DDADMM O=S(=O)([N-][C@@H]1CCc2ncnn2C1)c1sccc1Cl ZINC000332260597 410021648 /nfs/dbraw/zinc/02/16/48/410021648.db2.gz SWQUTYBXMDECIY-SSDOTTSWSA-N -1 1 318.811 1.286 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000332264985 410023420 /nfs/dbraw/zinc/02/34/20/410023420.db2.gz DBNGVRZNECLSLM-MNOVXSKESA-N -1 1 324.454 1.361 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@H](COC(F)F)C1 ZINC000343020091 410080627 /nfs/dbraw/zinc/08/06/27/410080627.db2.gz HUNMHVYQUXFXBU-QMMMGPOBSA-N -1 1 317.361 1.841 20 0 DDADMM CCOC(=O)COc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000354780255 410083513 /nfs/dbraw/zinc/08/35/13/410083513.db2.gz IGNZGQHPWOYTPP-UHFFFAOYSA-N -1 1 316.313 1.981 20 0 DDADMM CC(C)(NC(=O)c1cc(=O)[nH]c2ccc(F)cc21)c1nn[n-]n1 ZINC000354759399 410066579 /nfs/dbraw/zinc/06/65/79/410066579.db2.gz NADYHTVIEKDAKC-UHFFFAOYSA-N -1 1 316.296 1.258 20 0 DDADMM COc1ccc(F)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332382781 410114364 /nfs/dbraw/zinc/11/43/64/410114364.db2.gz XIEONXQWXOUSOL-VIFPVBQESA-N -1 1 321.308 1.943 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2scnc2C)co1 ZINC000339440276 410191233 /nfs/dbraw/zinc/19/12/33/410191233.db2.gz WAGDGRAHEXLKOM-UHFFFAOYSA-N -1 1 301.349 1.205 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCC[C@H]2C)o1 ZINC000339510092 410244751 /nfs/dbraw/zinc/24/47/51/410244751.db2.gz LONPASMKPFYJTD-ZJUUUORDSA-N -1 1 300.380 1.354 20 0 DDADMM CC[C@@H](C)[C@@H](CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)OC ZINC000298480770 410249829 /nfs/dbraw/zinc/24/98/29/410249829.db2.gz PVMLASPLIWVQFE-WOZSERQXSA-N -1 1 305.382 1.524 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1cncnc1 ZINC000355133799 410334256 /nfs/dbraw/zinc/33/42/56/410334256.db2.gz BNOMDEMZGRNFAF-UHFFFAOYSA-N -1 1 310.310 1.240 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H]1c1cccc(F)c1 ZINC000352060785 410451105 /nfs/dbraw/zinc/45/11/05/410451105.db2.gz VIWFMWGSGDQNCS-UWVGGRQHSA-N -1 1 319.292 1.321 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-][C@H](CO)CC(F)(F)F ZINC000339784238 410457437 /nfs/dbraw/zinc/45/74/37/410457437.db2.gz CJLVKQFLEWKPNI-LURJTMIESA-N -1 1 319.326 1.348 20 0 DDADMM [O-]C(=Cc1ccccc1O)Nc1nc(-c2cccnc2)ns1 ZINC000339727750 410422160 /nfs/dbraw/zinc/42/21/60/410422160.db2.gz OUTVIGKILIOBEE-UHFFFAOYSA-N -1 1 312.354 1.909 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCOc1ccc(C)cc1 ZINC000352124535 410496975 /nfs/dbraw/zinc/49/69/75/410496975.db2.gz XPLQMVWJOSTQCS-UHFFFAOYSA-N -1 1 319.317 1.014 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1cc2ccccc2o1 ZINC000347381507 410508678 /nfs/dbraw/zinc/50/86/78/410508678.db2.gz SXFNHUSMKJNIHO-SECBINFHSA-N -1 1 323.312 1.655 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCCc2ccon2)c(=O)[n-]1 ZINC000339954635 410574755 /nfs/dbraw/zinc/57/47/55/410574755.db2.gz GMRBDMKRXMFIGK-UHFFFAOYSA-N -1 1 322.390 1.492 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@@H]1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631628478 422858715 /nfs/dbraw/zinc/85/87/15/422858715.db2.gz IHYRLRGFMPJUPR-PWSUYJOCSA-N -1 1 310.781 1.748 20 0 DDADMM Cc1ccc2[nH]c([C@@H]3CCCN3Cc3nc(=O)n(C)[n-]3)nc2c1 ZINC000333449557 410553892 /nfs/dbraw/zinc/55/38/92/410553892.db2.gz RLOWXPIZUZUCFA-ZDUSSCGKSA-N -1 1 312.377 1.630 20 0 DDADMM O=c1[n-]c(CN2CCC(Cc3ccccc3CO)CC2)n[nH]1 ZINC000347649482 410651195 /nfs/dbraw/zinc/65/11/95/410651195.db2.gz QGFMYLXYNAQILK-UHFFFAOYSA-N -1 1 302.378 1.457 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)Cc3ccccc3)ccnc1-2 ZINC000359435081 410657586 /nfs/dbraw/zinc/65/75/86/410657586.db2.gz ZAUIPAOPWSRWOE-UHFFFAOYSA-N -1 1 302.359 1.284 20 0 DDADMM CC[C@@H](C)[C@H](NC(C)=O)C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000355858628 410705837 /nfs/dbraw/zinc/70/58/37/410705837.db2.gz WPCWOTFNJFRXPI-OTYXRUKQSA-N -1 1 322.361 1.668 20 0 DDADMM COCC[C@](C)(O)CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000359661407 410802732 /nfs/dbraw/zinc/80/27/32/410802732.db2.gz GGKQHBPZPQOKOK-INIZCTEOSA-N -1 1 319.361 1.161 20 0 DDADMM COCC[C@](C)(O)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000359661407 410802737 /nfs/dbraw/zinc/80/27/37/410802737.db2.gz GGKQHBPZPQOKOK-INIZCTEOSA-N -1 1 319.361 1.161 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCc2c(nnn2-c2ccccc2)C1 ZINC000348179699 410840297 /nfs/dbraw/zinc/84/02/97/410840297.db2.gz GXDGDXQAFZFDKX-UHFFFAOYSA-N -1 1 321.340 1.566 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC[C@@H]2CCOC2)o1 ZINC000343953995 410844571 /nfs/dbraw/zinc/84/45/71/410844571.db2.gz ARNMEFSZJYWKFL-SNVBAGLBSA-N -1 1 317.363 1.161 20 0 DDADMM CCn1cn[n-]c1=NC(=O)c1ccc(Nc2ccncc2)cc1 ZINC000356170766 410875087 /nfs/dbraw/zinc/87/50/87/410875087.db2.gz WLTQDYWRZRTHLT-UHFFFAOYSA-N -1 1 308.345 1.533 20 0 DDADMM CC(C)(Oc1ccc(F)cc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348273530 410891769 /nfs/dbraw/zinc/89/17/69/410891769.db2.gz FYKVTBYAMZQPEA-UHFFFAOYSA-N -1 1 305.313 1.302 20 0 DDADMM C[C@@H]1COCC[C@@H]1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000353332208 410923236 /nfs/dbraw/zinc/92/32/36/410923236.db2.gz PCBHAMGKWOJYDD-GHMZBOCLSA-N -1 1 301.346 1.326 20 0 DDADMM CC[C@H](C)N[C@H](CNC(=O)N=c1[n-]ncs1)c1ccco1 ZINC000353350648 410936098 /nfs/dbraw/zinc/93/60/98/410936098.db2.gz IHXOZPQQSWVJEX-VHSXEESVSA-N -1 1 309.395 1.804 20 0 DDADMM C[S@@](=O)Cc1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC000344083541 410947188 /nfs/dbraw/zinc/94/71/88/410947188.db2.gz IZKUCRBGHMYFQO-OAQYLSRUSA-N -1 1 304.371 1.596 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCCC(C)(C)CO)sc1C ZINC000331279337 411054957 /nfs/dbraw/zinc/05/49/57/411054957.db2.gz PTIGBOCDCUXBET-UHFFFAOYSA-N -1 1 306.453 1.837 20 0 DDADMM CNC(=O)Nc1cc(NC(=O)c2cncc([O-])c2)ccc1F ZINC000341913598 411082424 /nfs/dbraw/zinc/08/24/24/411082424.db2.gz FACMONILJYLXJI-UHFFFAOYSA-N -1 1 304.281 1.930 20 0 DDADMM COc1cccc(CNC2(c3nn[n-]n3)CCCC2)c1OC ZINC000344209426 411028661 /nfs/dbraw/zinc/02/86/61/411028661.db2.gz HUXXTIDYRMPFDN-UHFFFAOYSA-N -1 1 303.366 1.776 20 0 DDADMM COc1cccc(CNC2(c3nnn[n-]3)CCCC2)c1OC ZINC000344209426 411028668 /nfs/dbraw/zinc/02/86/68/411028668.db2.gz HUXXTIDYRMPFDN-UHFFFAOYSA-N -1 1 303.366 1.776 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC(=O)N[C@@H](C)C2)c1 ZINC000331241087 411036056 /nfs/dbraw/zinc/03/60/56/411036056.db2.gz PSWJPRPYODOSSK-WPRPVWTQSA-N -1 1 306.318 1.032 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@H]3CCC[C@@H]3O)c[n-]c2[nH+]1 ZINC000360088717 411040734 /nfs/dbraw/zinc/04/07/34/411040734.db2.gz PZWYHPBCKMVSHP-MFKMUULPSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@H]3CCC[C@@H]3O)c[n-]c2n1 ZINC000360088717 411040744 /nfs/dbraw/zinc/04/07/44/411040744.db2.gz PZWYHPBCKMVSHP-MFKMUULPSA-N -1 1 301.346 1.535 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc2c(cn1)OCCC2 ZINC000360344060 411129716 /nfs/dbraw/zinc/12/97/16/411129716.db2.gz RKMJLDSSTYOULZ-UHFFFAOYSA-N -1 1 314.349 1.119 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](C(C)C)C2)co1 ZINC000353851500 411135603 /nfs/dbraw/zinc/13/56/03/411135603.db2.gz LWLUDRGFDYAYCA-LLVKDONJSA-N -1 1 314.407 1.696 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCC[C@H](C)F ZINC000356671212 411120347 /nfs/dbraw/zinc/12/03/47/411120347.db2.gz LQPPHQOCXWMCHV-VIFPVBQESA-N -1 1 308.357 1.849 20 0 DDADMM CC(C)(C)C[C@@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353762656 411125222 /nfs/dbraw/zinc/12/52/22/411125222.db2.gz RJZLSIAFROWLNK-JTQLQIEISA-N -1 1 303.366 1.458 20 0 DDADMM O=S(=O)([N-]CC1(CO)CCOCC1)c1cc(F)ccc1F ZINC000129408070 196046086 /nfs/dbraw/zinc/04/60/86/196046086.db2.gz CMLYYFRVOJDVLC-UHFFFAOYSA-N -1 1 321.345 1.032 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H](C)[C@H](C)C1 ZINC000131514282 196176423 /nfs/dbraw/zinc/17/64/23/196176423.db2.gz AVJOTNCTTQQRCH-WDEREUQCSA-N -1 1 316.405 1.686 20 0 DDADMM Cc1nn(C)cc1C[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192043823 745681154 /nfs/dbraw/zinc/68/11/54/745681154.db2.gz GDASFENZVCQJRM-UHFFFAOYSA-N -1 1 306.602 1.476 20 0 DDADMM CC[C@@H](C)n1nc(NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1C ZINC000545418950 416676177 /nfs/dbraw/zinc/67/61/77/416676177.db2.gz TVICXJJWQMNXLI-MRVPVSSYSA-N -1 1 315.337 1.146 20 0 DDADMM CSc1nc(CNC(=O)[C@H](C)Oc2ccccn2)cc(=O)[n-]1 ZINC000640655026 423116805 /nfs/dbraw/zinc/11/68/05/423116805.db2.gz IBMWAFCIRHZZIR-VIFPVBQESA-N -1 1 320.374 1.383 20 0 DDADMM CCOc1cccnc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640658543 423117910 /nfs/dbraw/zinc/11/79/10/423117910.db2.gz PLBQGJGQQYICSK-UHFFFAOYSA-N -1 1 320.374 1.628 20 0 DDADMM CC(C)n1cc(Br)cc1C(=O)NCc1nn[n-]n1 ZINC000044929538 418433586 /nfs/dbraw/zinc/43/35/86/418433586.db2.gz ATSNNQHIROZITR-UHFFFAOYSA-N -1 1 313.159 1.275 20 0 DDADMM COC(=O)[C@]12CN(C(=O)c3cc(F)ccc3[O-])C[C@H]1COCC2 ZINC000373669238 418445114 /nfs/dbraw/zinc/44/51/14/418445114.db2.gz ZINTXNKXUOLQSG-MGPLVRAMSA-N -1 1 323.320 1.183 20 0 DDADMM C[C@@H]1C[C@]2(CCO1)CN(C(=O)c1ncccc1[O-])C[C@H](C)O2 ZINC000373743047 418456481 /nfs/dbraw/zinc/45/64/81/418456481.db2.gz KRMAKZQPVCKKJM-BFQNTYOBSA-N -1 1 306.362 1.586 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@@H]1CCC[C@@H](CO)C1)c2=O ZINC000360626800 418480309 /nfs/dbraw/zinc/48/03/09/418480309.db2.gz QNGMUHSFZGVHCL-GHMZBOCLSA-N -1 1 318.377 1.202 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@H]2c2cnn(C)c2)c1 ZINC000374474010 418534546 /nfs/dbraw/zinc/53/45/46/418534546.db2.gz BQNSYZGURVOCGT-RYUDHWBXSA-N -1 1 315.329 1.655 20 0 DDADMM CCONC(=O)c1ccc(I)c([O-])c1 ZINC000230887448 418565556 /nfs/dbraw/zinc/56/55/56/418565556.db2.gz VEDNGFKZFXRFFF-UHFFFAOYSA-N -1 1 307.087 1.678 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2cccnc2)n[nH]c1-c1ccncc1 ZINC000367008580 418551272 /nfs/dbraw/zinc/55/12/72/418551272.db2.gz YOGOTWSTIKLNOP-UHFFFAOYSA-N -1 1 315.358 1.976 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)c2ccncc2)C1)C(F)(F)F ZINC000290509320 418577529 /nfs/dbraw/zinc/57/75/29/418577529.db2.gz YTJFRCDPCRUCDQ-SNVBAGLBSA-N -1 1 315.295 1.612 20 0 DDADMM CNS(=O)(=O)c1cccc(NC(=O)c2ccccc2[O-])c1 ZINC000192587288 222130221 /nfs/dbraw/zinc/13/02/21/222130221.db2.gz CYPBEINUEVXEAY-UHFFFAOYSA-N -1 1 306.343 1.553 20 0 DDADMM Cc1ccnc2ccc(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)cc12 ZINC000367523155 418607441 /nfs/dbraw/zinc/60/74/41/418607441.db2.gz MURBGVXECKERNQ-CQSZACIVSA-N -1 1 324.344 1.270 20 0 DDADMM COC(=O)c1c[n-]c(SCc2nc(-c3ccccn3)no2)n1 ZINC000365506247 418868980 /nfs/dbraw/zinc/86/89/80/418868980.db2.gz UJQOZQWSQPLHNT-UHFFFAOYSA-N -1 1 317.330 1.934 20 0 DDADMM CCOc1ccccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000372729416 418896042 /nfs/dbraw/zinc/89/60/42/418896042.db2.gz MAKVDFKHLNWKMU-LLVKDONJSA-N -1 1 301.350 1.618 20 0 DDADMM Cc1cccc(CC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1Cl ZINC000372828399 418906372 /nfs/dbraw/zinc/90/63/72/418906372.db2.gz BSKSTTZFHJMVPS-LLVKDONJSA-N -1 1 321.768 1.304 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncccc1[O-])c1ccc(OC)cc1 ZINC000427085248 419594122 /nfs/dbraw/zinc/59/41/22/419594122.db2.gz PYUKUMUHOUCFFP-ZDUSSCGKSA-N -1 1 316.313 1.440 20 0 DDADMM CCO[C@H](C)c1noc(CN(C)C(=O)c2ncc(C)cc2[O-])n1 ZINC000427225328 419622850 /nfs/dbraw/zinc/62/28/50/419622850.db2.gz VPAIURRYKDVCJR-SNVBAGLBSA-N -1 1 320.349 1.848 20 0 DDADMM Cc1cnc(C(=O)NCc2cccc(-n3cncn3)c2)c([O-])c1 ZINC000427451262 419661895 /nfs/dbraw/zinc/66/18/95/419661895.db2.gz WLKJCANZUIJJRC-UHFFFAOYSA-N -1 1 309.329 1.606 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@@H](C)O[C@H](C)C(=O)[O-])[nH]c21 ZINC000421804156 419737148 /nfs/dbraw/zinc/73/71/48/419737148.db2.gz JGIQOFIYCVICSH-NXEZZACHSA-N -1 1 305.334 1.366 20 0 DDADMM CCOC(=O)[C@@H](NC(=O)c1ncc(C)cc1[O-])[C@H]1CCCOC1 ZINC000428050667 419802275 /nfs/dbraw/zinc/80/22/75/419802275.db2.gz XFUTZLYAYIWRDY-AAEUAGOBSA-N -1 1 322.361 1.184 20 0 DDADMM COC(=O)[C@H]1CCCC[C@]1(C)NC(=O)c1ncc(C)cc1[O-] ZINC000428030293 419793366 /nfs/dbraw/zinc/79/33/66/419793366.db2.gz HRSARJBDOCOLEN-BZNIZROVSA-N -1 1 306.362 1.947 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)F)c1cccc(F)c1F ZINC000428417589 419862036 /nfs/dbraw/zinc/86/20/36/419862036.db2.gz DCVMOBHYTJRADC-LURJTMIESA-N -1 1 301.261 1.259 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](CO)CC(F)F)cc1F ZINC000428415280 419862619 /nfs/dbraw/zinc/86/26/19/419862619.db2.gz ZDZSHEBSDXNFNY-SSDOTTSWSA-N -1 1 315.288 1.568 20 0 DDADMM Cn1cncc1C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000431856988 229063444 /nfs/dbraw/zinc/06/34/44/229063444.db2.gz XPQOVOOZENWXGJ-UHFFFAOYSA-N -1 1 303.272 1.763 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCc2ccccc21 ZINC000416121036 420249705 /nfs/dbraw/zinc/24/97/05/420249705.db2.gz FQUBYJJAUGLPDX-JTQLQIEISA-N -1 1 301.302 1.314 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C(F)(F)F ZINC000416226151 420285491 /nfs/dbraw/zinc/28/54/91/420285491.db2.gz USEGQFGOSZIENL-XNCJUZBTSA-N -1 1 323.271 1.603 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCC[C@@H](C)[C@@H]1C ZINC000416229295 420287898 /nfs/dbraw/zinc/28/78/98/420287898.db2.gz UTSHIHJCPOPTIO-UTLUCORTSA-N -1 1 309.366 1.699 20 0 DDADMM CC(C)C[C@@H](CNC(=O)N[C@@H]1CCCc2cn[nH]c21)C(=O)[O-] ZINC000424411602 420315742 /nfs/dbraw/zinc/31/57/42/420315742.db2.gz OSIQVSVLKYAQQF-NWDGAFQWSA-N -1 1 308.382 1.833 20 0 DDADMM CCC(=O)c1ccc([O-])c(NS(=O)(=O)N2CC[C@@H](C)C2)c1 ZINC000436611961 420343333 /nfs/dbraw/zinc/34/33/33/420343333.db2.gz WVHHRFJHBUOOPF-SNVBAGLBSA-N -1 1 312.391 1.983 20 0 DDADMM CCc1c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)ccn1C ZINC000425314891 420344926 /nfs/dbraw/zinc/34/49/26/420344926.db2.gz APYMZKJZOZCULV-UHFFFAOYSA-N -1 1 304.350 1.696 20 0 DDADMM O=C(NCc1cccc(N2CCC2=O)c1)C(=O)c1ccc([O-])cc1 ZINC000436637941 420347111 /nfs/dbraw/zinc/34/71/11/420347111.db2.gz BHFPENZMYGEKOZ-UHFFFAOYSA-N -1 1 324.336 1.628 20 0 DDADMM O=C(COCc1cccnc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425318072 420347345 /nfs/dbraw/zinc/34/73/45/420347345.db2.gz HFRYIJNFCGTIMR-UHFFFAOYSA-N -1 1 318.333 1.093 20 0 DDADMM CS(=O)(=O)Nc1ccccc1NC(=O)c1ccc(O)cc1[O-] ZINC000436673394 420352899 /nfs/dbraw/zinc/35/28/99/420352899.db2.gz JXKOSIZXQZCQOV-UHFFFAOYSA-N -1 1 322.342 1.722 20 0 DDADMM COCCO[C@H]1COCC[C@H]1NC(=O)c1c([O-])cccc1F ZINC000436677640 420352952 /nfs/dbraw/zinc/35/29/52/420352952.db2.gz YJGFRKHFKDBQHD-YPMHNXCESA-N -1 1 313.325 1.082 20 0 DDADMM NC(=O)C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)c1ccccc1 ZINC000436682782 420355779 /nfs/dbraw/zinc/35/57/79/420355779.db2.gz WOSHZVMXRWEJMN-CQSZACIVSA-N -1 1 312.325 1.308 20 0 DDADMM O=C(Nc1ccn(-c2ccccc2)n1)C(=O)c1ccc([O-])cc1 ZINC000436888975 420378949 /nfs/dbraw/zinc/37/89/49/420378949.db2.gz WOHQCLDYSKNQBZ-UHFFFAOYSA-N -1 1 307.309 1.821 20 0 DDADMM NC(=O)Nc1cc(NC(=O)c2ccc(O)cc2[O-])ccc1F ZINC000436883357 420379043 /nfs/dbraw/zinc/37/90/43/420379043.db2.gz DSMYNTWQJQYKDH-UHFFFAOYSA-N -1 1 305.265 1.980 20 0 DDADMM CC(=O)N[C@H](C)C1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000436926058 420385375 /nfs/dbraw/zinc/38/53/75/420385375.db2.gz LNLXCNYENPWZPF-SNVBAGLBSA-N -1 1 308.353 1.908 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cncc([O-])c2)ccc1O ZINC000436798449 420368208 /nfs/dbraw/zinc/36/82/08/420368208.db2.gz LNZLJNLJDMTGSM-UHFFFAOYSA-N -1 1 302.286 1.922 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2C[C@]2(C)C(C)C)c1 ZINC000416549115 420414402 /nfs/dbraw/zinc/41/44/02/420414402.db2.gz OEEZHMGWIBBJGM-GXFFZTMASA-N -1 1 301.364 1.779 20 0 DDADMM O=C(CN1CCC(c2n[n-]c(=O)o2)CC1)Nc1ccc(F)cc1 ZINC000450918637 420561706 /nfs/dbraw/zinc/56/17/06/420561706.db2.gz JUJHJCZMPVOQGU-UHFFFAOYSA-N -1 1 320.324 1.732 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc2nc(N(C)C)oc2c1 ZINC000450975711 420574108 /nfs/dbraw/zinc/57/41/08/420574108.db2.gz BAZGZGUYWYGAJP-VIFPVBQESA-N -1 1 313.379 1.670 20 0 DDADMM CSCCO[N-]C(=O)C1(CNC(=O)OC(C)(C)C)CC1 ZINC000492675165 420624581 /nfs/dbraw/zinc/62/45/81/420624581.db2.gz PNSQITYPVIKQJL-UHFFFAOYSA-N -1 1 304.412 1.702 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CCC[C@@H](O)C2)sc1C ZINC000443232985 420750893 /nfs/dbraw/zinc/75/08/93/420750893.db2.gz GLRPBVSKRCLRGV-GHMZBOCLSA-N -1 1 304.437 1.589 20 0 DDADMM CC1(/C=C\C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCOCC1 ZINC000493164373 420774968 /nfs/dbraw/zinc/77/49/68/420774968.db2.gz LKVCUIVMDXLPPV-RXNFCKPNSA-N -1 1 305.382 1.279 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccccc2-c2ccno2)n1 ZINC000447871701 420808733 /nfs/dbraw/zinc/80/87/33/420808733.db2.gz AWXCKOKENRBFCJ-UHFFFAOYSA-N -1 1 320.330 1.512 20 0 DDADMM COCc1nc(=NC[C@H](C)CNC(=O)OC(C)(C)C)s[n-]1 ZINC000493948145 420970908 /nfs/dbraw/zinc/97/09/08/420970908.db2.gz FISWMJXGMKDBHE-VIFPVBQESA-N -1 1 316.427 1.679 20 0 DDADMM C[C@@H](CNC(=O)OC(C)(C)C)CNC(=O)c1cncc([O-])c1 ZINC000493805840 420946437 /nfs/dbraw/zinc/94/64/37/420946437.db2.gz LFKMGFLWUSZNBD-SNVBAGLBSA-N -1 1 309.366 1.678 20 0 DDADMM O=C(CC[C@@H]1CCC(=O)N1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000449803310 421087670 /nfs/dbraw/zinc/08/76/70/421087670.db2.gz OCZVQHDOQQDGEC-GFCCVEGCSA-N -1 1 314.345 1.780 20 0 DDADMM CCOc1cc(C(=O)N[C@H](C)CC(=O)NC)cc(Cl)c1[O-] ZINC000456474705 421172851 /nfs/dbraw/zinc/17/28/51/421172851.db2.gz HRZXKHIREHCORW-MRVPVSSYSA-N -1 1 314.769 1.699 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@](C)(C(=O)[N-]OCCO)C1 ZINC000492186662 421211282 /nfs/dbraw/zinc/21/12/82/421211282.db2.gz DBAZRXOWZXABEP-AWEZNQCLSA-N -1 1 302.371 1.064 20 0 DDADMM COC(=O)N1CCC([C@H](C)NC(=O)c2ncc(C)cc2[O-])CC1 ZINC000492229395 421216323 /nfs/dbraw/zinc/21/63/23/421216323.db2.gz JGBGXJJQUOUUSL-NSHDSACASA-N -1 1 321.377 1.692 20 0 DDADMM CC(C)[C@H]1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000544737262 421229461 /nfs/dbraw/zinc/22/94/61/421229461.db2.gz HUVGHAFUWMIXMM-WDEREUQCSA-N -1 1 303.366 1.362 20 0 DDADMM COC[C@](C)(O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000560023094 421231753 /nfs/dbraw/zinc/23/17/53/421231753.db2.gz AVHNZZKRQWUEMW-LLVKDONJSA-N -1 1 311.762 1.155 20 0 DDADMM COc1cc(Cl)cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000560332163 421248876 /nfs/dbraw/zinc/24/88/76/421248876.db2.gz SQMIVGWCCXDXTM-VIFPVBQESA-N -1 1 321.768 1.882 20 0 DDADMM C[C@H]1CC[C@]2(CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000545452044 421259639 /nfs/dbraw/zinc/25/96/39/421259639.db2.gz LZCMYKOYQFZFCE-BONVTDFDSA-N -1 1 301.350 1.070 20 0 DDADMM COc1ncc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1Cl ZINC000560605864 421283920 /nfs/dbraw/zinc/28/39/20/421283920.db2.gz OFKLOWOEQPCGBQ-MRVPVSSYSA-N -1 1 322.756 1.277 20 0 DDADMM CNc1cc(Cl)ccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000514796526 421454995 /nfs/dbraw/zinc/45/49/95/421454995.db2.gz JUVUNWUVTWKQPL-VIFPVBQESA-N -1 1 320.784 1.915 20 0 DDADMM CC(C)[N@H+]1CC[C@H](N(C)C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000563787880 421541319 /nfs/dbraw/zinc/54/13/19/421541319.db2.gz KHYYEZVQRBDKLR-LBPRGKRZSA-N -1 1 314.389 1.478 20 0 DDADMM c1cn(-c2ccccc2)c(CNC2(c3nn[n-]n3)CCCC2)n1 ZINC000518036910 421636833 /nfs/dbraw/zinc/63/68/33/421636833.db2.gz FCJXTWBQKKNPQJ-UHFFFAOYSA-N -1 1 309.377 1.945 20 0 DDADMM CC(C)[C@@H](C(=O)NC1(c2nn[n-]n2)CC1)c1ccc(F)cc1 ZINC000570496590 421647824 /nfs/dbraw/zinc/64/78/24/421647824.db2.gz KZMGMFGIROEVNZ-GFCCVEGCSA-N -1 1 303.341 1.884 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H](C)c2cnn(C)c2)c1 ZINC000554580798 421652460 /nfs/dbraw/zinc/65/24/60/421652460.db2.gz ASGDRBXSXAQUCY-VIFPVBQESA-N -1 1 303.318 1.655 20 0 DDADMM O=C(c1ccc2c(c1)CCO2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000519388678 421690652 /nfs/dbraw/zinc/69/06/52/421690652.db2.gz CWDPUGMJOUCXSH-LBPRGKRZSA-N -1 1 315.329 1.730 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1Cc1ncn(-c2ccccc2)n1 ZINC000572653624 421809572 /nfs/dbraw/zinc/80/95/72/421809572.db2.gz OVEZYTZIMKVJLP-BJJXKVORSA-N -1 1 312.373 1.952 20 0 DDADMM CC(=O)N1c2ccc(S(=O)(=O)[N-]c3cnc[nH]3)cc2C[C@@H]1C ZINC000557547811 421776158 /nfs/dbraw/zinc/77/61/58/421776158.db2.gz UWCQUAMIPDIDCU-VIFPVBQESA-N -1 1 320.374 1.508 20 0 DDADMM O=C(Cc1cncc(O)c1)NCC[N@@H+]1CCc2sccc2C1 ZINC000557566958 421777398 /nfs/dbraw/zinc/77/73/98/421777398.db2.gz YHCUXLBOYRSZHN-UHFFFAOYSA-N -1 1 317.414 1.566 20 0 DDADMM C[C@H]1C[N@H+](Cc2ccccc2)[C@@H](C)CN1Cc1nc(=O)n(C)[n-]1 ZINC000541580286 421797489 /nfs/dbraw/zinc/79/74/89/421797489.db2.gz BNOJTSFFZZXTDZ-KBPBESRZSA-N -1 1 315.421 1.203 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CNCc1ccc2c(c1)OCCO2 ZINC000635304063 421894996 /nfs/dbraw/zinc/89/49/96/421894996.db2.gz PLCABJCEGQNKMV-UHFFFAOYSA-N -1 1 303.318 1.179 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC2CCOCC2)c1 ZINC000631860181 421897812 /nfs/dbraw/zinc/89/78/12/421897812.db2.gz VKOOMNREGXLVEV-UHFFFAOYSA-N -1 1 301.364 1.106 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)n1ccnc1 ZINC000572776429 421857880 /nfs/dbraw/zinc/85/78/80/421857880.db2.gz KQAMQKGVDCWRGC-GHMZBOCLSA-N -1 1 305.338 1.329 20 0 DDADMM O=C(CCc1nn[n-]n1)NC1(Cc2ccccc2)CCOCC1 ZINC000633357830 421861984 /nfs/dbraw/zinc/86/19/84/421861984.db2.gz HXBCQQYHQGGTRX-UHFFFAOYSA-N -1 1 315.377 1.040 20 0 DDADMM COc1ccc(Cl)cc1CNC(=O)CCCc1nn[n-]n1 ZINC000635336837 421921249 /nfs/dbraw/zinc/92/12/49/421921249.db2.gz PDSVIQCWIJWACA-UHFFFAOYSA-N -1 1 309.757 1.501 20 0 DDADMM CCn1nnc(C)c1CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000627874756 421924918 /nfs/dbraw/zinc/92/49/18/421924918.db2.gz DMLSMDYNOIMVIC-UHFFFAOYSA-N -1 1 324.768 1.904 20 0 DDADMM CC(C)CO[C@H](C)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630223325 421973455 /nfs/dbraw/zinc/97/34/55/421973455.db2.gz SIWFMUMQWANVDZ-GFCCVEGCSA-N -1 1 300.399 1.055 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1cc(F)cc2nc[nH]c21)CC1CC1 ZINC000573125470 421927328 /nfs/dbraw/zinc/92/73/28/421927328.db2.gz IRQCAHQWUWGQNT-SECBINFHSA-N -1 1 305.309 1.933 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NC[C@](C)(C(=O)[O-])c1ccccc1 ZINC000630169121 421933451 /nfs/dbraw/zinc/93/34/51/421933451.db2.gz FNBJVQGVXIUGRQ-PXAZEXFGSA-N -1 1 315.373 1.747 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CC[C@](C(=O)[O-])(C(F)(F)F)C1 ZINC000630185607 421945024 /nfs/dbraw/zinc/94/50/24/421945024.db2.gz DRTQWDFXDNPHEM-PELKAZGASA-N -1 1 319.283 1.454 20 0 DDADMM CO[C@@H]1C[C@H](CC(=O)[O-])N(C(=O)c2cccc3n[nH]cc32)C1 ZINC000630188435 421947218 /nfs/dbraw/zinc/94/72/18/421947218.db2.gz APKIBTNVLAYUAO-NXEZZACHSA-N -1 1 303.318 1.267 20 0 DDADMM C[C@@]1(Cc2cccc(F)c2)CCCN1C(=O)CCc1nn[n-]n1 ZINC000633549333 421961159 /nfs/dbraw/zinc/96/11/59/421961159.db2.gz YDVNDGJMFQKBOO-INIZCTEOSA-N -1 1 317.368 1.895 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCN(C)CC(F)(F)F)sn1 ZINC000631950049 421966739 /nfs/dbraw/zinc/96/67/39/421966739.db2.gz XGODGASUDADRNO-UHFFFAOYSA-N -1 1 317.358 1.224 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CC[C@H]2CC[C@@H](C)O2)CC1 ZINC000630223552 421972465 /nfs/dbraw/zinc/97/24/65/421972465.db2.gz JZJKZLMPRMBKOY-BFHYXJOUSA-N -1 1 312.410 1.342 20 0 DDADMM Cc1ccc(CN(CC2CC2)C(=O)CCc2nn[n-]n2)cn1 ZINC000633569406 421972629 /nfs/dbraw/zinc/97/26/29/421972629.db2.gz UYKXZXLOPWLLQA-UHFFFAOYSA-N -1 1 300.366 1.275 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cc(C(C)(C)C)n[nH]2)CC1 ZINC000630223983 421975299 /nfs/dbraw/zinc/97/52/99/421975299.db2.gz MZYPHBDPLRELKP-LLVKDONJSA-N -1 1 322.409 1.328 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CC[C@@H]2CCCCO2)CC1 ZINC000630224934 421975421 /nfs/dbraw/zinc/97/54/21/421975421.db2.gz PUENMFINHQGFDG-KBPBESRZSA-N -1 1 312.410 1.343 20 0 DDADMM CCC(CC)[C@H](O)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632011105 422019357 /nfs/dbraw/zinc/01/93/57/422019357.db2.gz NDXFNOBWOWKRJO-CYBMUJFWSA-N -1 1 317.407 1.476 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](CO)c2ccc(F)cc2)sn1 ZINC000632014770 422021386 /nfs/dbraw/zinc/02/13/86/422021386.db2.gz RJGMMIXMMLMQQG-LLVKDONJSA-N -1 1 316.379 1.603 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)[C@@H]1CCCC[C@@H]1OC)c1ccco1 ZINC000632060624 422061053 /nfs/dbraw/zinc/06/10/53/422061053.db2.gz QZZYAGVFWWBACJ-KWCYVHTRSA-N -1 1 317.407 1.844 20 0 DDADMM O=C([O-])[C@]1(NCc2cn(-c3ccc(F)cc3)nn2)CCSC1 ZINC000630456744 422113968 /nfs/dbraw/zinc/11/39/68/422113968.db2.gz SAYGRSSSRUQWNK-AWEZNQCLSA-N -1 1 322.365 1.456 20 0 DDADMM O=C(c1cccc2c1C(=O)CC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000632053997 422053331 /nfs/dbraw/zinc/05/33/31/422053331.db2.gz JIKAUOWLQXYLGF-LLVKDONJSA-N -1 1 311.345 1.348 20 0 DDADMM COc1cc(C)c(CN(C)C(=O)CCc2nn[n-]n2)cc1OC ZINC000630525796 422154963 /nfs/dbraw/zinc/15/49/63/422154963.db2.gz MBMBCNFEOHSFIM-UHFFFAOYSA-N -1 1 319.365 1.117 20 0 DDADMM COc1ccc(-c2n[n-]c(=NC[C@@H]3COCCN3)s2)cc1 ZINC000630529973 422160080 /nfs/dbraw/zinc/16/00/80/422160080.db2.gz GKYRQURIGBRSST-LLVKDONJSA-N -1 1 306.391 1.036 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@H](Cc2ccc(F)cc2)C1 ZINC000635583100 422135065 /nfs/dbraw/zinc/13/50/65/422135065.db2.gz FRUUIPZAEXYYPC-ZDUSSCGKSA-N -1 1 317.368 1.753 20 0 DDADMM O=C(NC[C@H](n1cncn1)C(F)(F)F)c1ccc([O-])c(F)c1 ZINC000633984115 422198866 /nfs/dbraw/zinc/19/88/66/422198866.db2.gz KOGBGWSDMWLWQX-JTQLQIEISA-N -1 1 318.230 1.656 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCCSC2)c1 ZINC000632338760 422258762 /nfs/dbraw/zinc/25/87/62/422258762.db2.gz QZAYOQYWQMPHMO-JTQLQIEISA-N -1 1 317.432 1.822 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)[C@H]2C[C@@H](OC)C2)c1 ZINC000632328927 422250469 /nfs/dbraw/zinc/25/04/69/422250469.db2.gz LCMKFEMANKVFQO-JGZJWPJOSA-N -1 1 301.364 1.199 20 0 DDADMM O=S(=O)([N-]CCc1cn[nH]c1)c1ncccc1C(F)(F)F ZINC000632343809 422264350 /nfs/dbraw/zinc/26/43/50/422264350.db2.gz AGSVFPYIGRIBFH-UHFFFAOYSA-N -1 1 320.296 1.345 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-][C@H]1CC[C@](O)(C(F)(F)F)C1 ZINC000632358578 422273157 /nfs/dbraw/zinc/27/31/57/422273157.db2.gz SFDUMZRPTHSIQT-IONNQARKSA-N -1 1 314.285 1.107 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(C(F)(F)F)cnn1C)C(F)F ZINC000632379622 422292460 /nfs/dbraw/zinc/29/24/60/422292460.db2.gz SVGZONDPXZCPKZ-LURJTMIESA-N -1 1 321.271 1.761 20 0 DDADMM COc1ncc(CN2CC[C@H](OC)C[C@@H]2C(=O)[O-])cc1Cl ZINC000584243733 422294334 /nfs/dbraw/zinc/29/43/34/422294334.db2.gz WYRLPJRDDCPJSM-CMPLNLGQSA-N -1 1 314.769 1.808 20 0 DDADMM COc1ccc(C2(CNC(=O)CCc3nn[n-]n3)CCC2)cc1 ZINC000632427438 422333596 /nfs/dbraw/zinc/33/35/96/422333596.db2.gz GLGAFPMKJOSBBC-UHFFFAOYSA-N -1 1 315.377 1.379 20 0 DDADMM C[C@H]1CC[C@H](C(F)(F)F)CN1C(=O)CCCc1nn[n-]n1 ZINC000635783947 422339345 /nfs/dbraw/zinc/33/93/45/422339345.db2.gz NVBMYYDPNXJFGV-IUCAKERBSA-N -1 1 305.304 1.712 20 0 DDADMM C[C@@H]1CC[C@@H](C(F)(F)F)CN1C(=O)CCCc1nn[n-]n1 ZINC000635783948 422339449 /nfs/dbraw/zinc/33/94/49/422339449.db2.gz NVBMYYDPNXJFGV-RKDXNWHRSA-N -1 1 305.304 1.712 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CS[C@H](C)C2)c1 ZINC000632455548 422359628 /nfs/dbraw/zinc/35/96/28/422359628.db2.gz GYIXYEHOTCVPON-BDAKNGLRSA-N -1 1 303.405 1.573 20 0 DDADMM Cc1cc(Br)cc(NC(=O)CCc2nn[n-]n2)c1 ZINC000632558950 422435078 /nfs/dbraw/zinc/43/50/78/422435078.db2.gz BTAPHVPPABNXQH-UHFFFAOYSA-N -1 1 310.155 1.842 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](OC(C)C)C2)c1 ZINC000632497097 422391925 /nfs/dbraw/zinc/39/19/25/422391925.db2.gz XGCFJSIRKUXZKA-GFCCVEGCSA-N -1 1 315.391 1.589 20 0 DDADMM Cc1nc2n(n1)C[C@@H](NC(=O)Cc1ccc([O-])c(Cl)c1)CC2 ZINC000630899508 422403829 /nfs/dbraw/zinc/40/38/29/422403829.db2.gz NJDNZEDBEGHTNW-NSHDSACASA-N -1 1 320.780 1.619 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCc1nnnn1CC1CC1 ZINC000632518858 422406557 /nfs/dbraw/zinc/40/65/57/422406557.db2.gz HLFAUSDLXIEUNS-UHFFFAOYSA-N -1 1 321.768 1.301 20 0 DDADMM Cc1[n-]n(-c2nccc(N3CCOCC3)n2)c(=O)c1C1CC1 ZINC000634607477 422504906 /nfs/dbraw/zinc/50/49/06/422504906.db2.gz YJUCDKSMBIPAMM-CYBMUJFWSA-N -1 1 301.350 1.062 20 0 DDADMM Cc1c(=O)n(CC(C)(C)S(C)(=O)=O)[n-]c1-c1ccccc1 ZINC000634620350 422511029 /nfs/dbraw/zinc/51/10/29/422511029.db2.gz AMSKOAPPGCYMGJ-LLVKDONJSA-N -1 1 308.403 1.692 20 0 DDADMM CC(C)[C@@H]1CCc2[n-]n([C@@H]3CCCS(=O)(=O)C3)c(=O)c2C1 ZINC000634634131 422517791 /nfs/dbraw/zinc/51/77/91/422517791.db2.gz OYNISHMLRDCEAE-UPJWGTAASA-N -1 1 312.435 1.834 20 0 DDADMM CCOC(=O)COc1ccc(NC(=O)c2n[nH]c(C)c2[O-])cc1 ZINC000612379736 422482863 /nfs/dbraw/zinc/48/28/63/422482863.db2.gz DXJOPAXCNSDXIY-UHFFFAOYSA-N -1 1 319.317 1.618 20 0 DDADMM C[C@@H]1C[C@@H](C(F)(F)F)CCN1C(=O)CCCc1nn[n-]n1 ZINC000635983277 422537639 /nfs/dbraw/zinc/53/76/39/422537639.db2.gz JXCNTJQXRDQRTO-BDAKNGLRSA-N -1 1 305.304 1.712 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc2c1CC(=O)CC2)c1nn[n-]n1 ZINC000578295648 422539989 /nfs/dbraw/zinc/53/99/89/422539989.db2.gz SGUQTIJHEZULNH-CQSZACIVSA-N -1 1 313.361 1.529 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(C)(C)n2ccnc2)sc1C ZINC000632731366 422547320 /nfs/dbraw/zinc/54/73/20/422547320.db2.gz UNRDGCHJHKUREO-UHFFFAOYSA-N -1 1 314.436 1.670 20 0 DDADMM NC(=O)C[C@H]1CN(C(=O)c2ccc3ccccc3c2[O-])CCO1 ZINC000629403067 422656545 /nfs/dbraw/zinc/65/65/45/422656545.db2.gz QJIPOKMUIYSBRF-LBPRGKRZSA-N -1 1 314.341 1.262 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H]1CCC(=O)NC1 ZINC000629374336 422635492 /nfs/dbraw/zinc/63/54/92/422635492.db2.gz RRDMQDMHOLJGKM-SNVBAGLBSA-N -1 1 300.318 1.043 20 0 DDADMM CC(C)N1CC[C@H](NC(=O)Cc2ccc([O-])c(Cl)c2)C1=O ZINC000631308438 422676368 /nfs/dbraw/zinc/67/63/68/422676368.db2.gz BTYMNRLNHXTJGU-LBPRGKRZSA-N -1 1 310.781 1.714 20 0 DDADMM CC(C)Cn1nccc1CN1CCN(c2nc(=N)[n-]s2)CC1 ZINC000648013460 423169005 /nfs/dbraw/zinc/16/90/05/423169005.db2.gz GRHXFACCDUPRIG-UHFFFAOYSA-N -1 1 321.454 1.125 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1[C@H]1CCN(CC(F)(F)F)C1=O ZINC000650505705 423179340 /nfs/dbraw/zinc/17/93/40/423179340.db2.gz NPXOVQBUDHETEA-UWVGGRQHSA-N -1 1 308.300 1.479 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN(Cc2nc[nH]n2)CC1 ZINC000652903557 423205673 /nfs/dbraw/zinc/20/56/73/423205673.db2.gz BZJFDTUXQSAIBI-UHFFFAOYSA-N -1 1 321.768 1.122 20 0 DDADMM COc1ccnc(N2CCN(c3ccnc(C(=O)[O-])c3)CC2)c1 ZINC000650766739 423250404 /nfs/dbraw/zinc/25/04/04/423250404.db2.gz VUNVWCILKBFDBC-UHFFFAOYSA-N -1 1 314.345 1.510 20 0 DDADMM O=C([O-])c1cc(NC2CCN(Cc3ccccn3)CC2)ccn1 ZINC000650764686 423251294 /nfs/dbraw/zinc/25/12/94/423251294.db2.gz IYOJKNGCSJLFJE-UHFFFAOYSA-N -1 1 312.373 1.673 20 0 DDADMM O=C([O-])[C@@]1(C(=O)N2CCN(C3CCCC3)CC2)CC=CCC1 ZINC000653306554 423433854 /nfs/dbraw/zinc/43/38/54/423433854.db2.gz QNISYEMETMNQGE-KRWDZBQOSA-N -1 1 306.406 1.884 20 0 DDADMM C[C@@H]1[C@H](C(=O)N=c2nc(Cc3ccccc3)[n-]s2)CCN1C ZINC000648774974 423458054 /nfs/dbraw/zinc/45/80/54/423458054.db2.gz VCJWRCBLPLIMIG-DGCLKSJQSA-N -1 1 316.430 1.830 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC/C=C/c2cccnc2)co1 ZINC000646404206 423475775 /nfs/dbraw/zinc/47/57/75/423475775.db2.gz MSFCGXIYFUQNJL-HWKANZROSA-N -1 1 321.358 1.026 20 0 DDADMM O=C([O-])[C@H]1CN(C(=O)c2cc(C3CCCCC3)[nH]n2)CCO1 ZINC000653542785 423521589 /nfs/dbraw/zinc/52/15/89/423521589.db2.gz DIPBDFMLQTZXFS-CYBMUJFWSA-N -1 1 307.350 1.383 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2ncc3c(n2)CCC3)sc1C ZINC000641614641 423756317 /nfs/dbraw/zinc/75/63/17/423756317.db2.gz RPMMOPVZYIMDSD-UHFFFAOYSA-N -1 1 324.431 1.517 20 0 DDADMM CC(C)N(C(=O)[C@@H](C)N1CCC[C@H](c2n[n-]c(=N)o2)C1)C(C)C ZINC000639826949 423762499 /nfs/dbraw/zinc/76/24/99/423762499.db2.gz WMSLEGQXZPXFBW-OLZOCXBDSA-N -1 1 323.441 1.695 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1csc(C(N)=O)c1)C1CC1 ZINC000641687971 423866680 /nfs/dbraw/zinc/86/66/80/423866680.db2.gz HCGLRONLUQALAY-SNVBAGLBSA-N -1 1 318.420 1.404 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)CCOCC2CC2)cs1 ZINC000641688795 423867356 /nfs/dbraw/zinc/86/73/56/423867356.db2.gz MILHMPPPNZGJFD-UHFFFAOYSA-N -1 1 304.393 1.015 20 0 DDADMM CO[C@H](Cc1ccccc1)CS(=O)(=O)[N-][C@H](C)C(F)F ZINC000641705444 423886212 /nfs/dbraw/zinc/88/62/12/423886212.db2.gz MMIIEFQTKSZTMX-ZYHUDNBSSA-N -1 1 307.362 1.817 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1cccc2[nH]nnc21)C1CC1 ZINC000641687502 423857974 /nfs/dbraw/zinc/85/79/74/423857974.db2.gz CVNCTXNQUBYREV-GFCCVEGCSA-N -1 1 310.379 1.515 20 0 DDADMM O=C([O-])C1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC000647206864 423858083 /nfs/dbraw/zinc/85/80/83/423858083.db2.gz JZKBXKRENZZASV-UHFFFAOYSA-N -1 1 300.318 1.409 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1c(C)n[nH]c1C)C1CCOCC1 ZINC000647270475 423935507 /nfs/dbraw/zinc/93/55/07/423935507.db2.gz ZVOJZHCYQBNMLH-LBPRGKRZSA-N -1 1 317.411 1.210 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ncccc1Br)[C@H](C)O ZINC000657003063 423979846 /nfs/dbraw/zinc/97/98/46/423979846.db2.gz LHJKFIJYNKYYHS-CBAPKCEASA-N -1 1 323.212 1.282 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1cc(F)ccc1F)[C@H]1CCOC1 ZINC000657047077 424037862 /nfs/dbraw/zinc/03/78/62/424037862.db2.gz OTXVSTJKMGJYAK-JOYOIKCWSA-N -1 1 321.345 1.295 20 0 DDADMM O=C(c1ccc(C(F)F)nc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000654942737 424043557 /nfs/dbraw/zinc/04/35/57/424043557.db2.gz GRWLUSBVEUUMMA-SECBINFHSA-N -1 1 308.292 1.552 20 0 DDADMM CCO[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000657105710 424112100 /nfs/dbraw/zinc/11/21/00/424112100.db2.gz FWWYTCPTMYDKMI-ZKCHVHJHSA-N -1 1 309.309 1.950 20 0 DDADMM Cc1noc(-c2ccc([N-]S(=O)(=O)C[C@H]3CCCO3)cn2)n1 ZINC000649677639 424128965 /nfs/dbraw/zinc/12/89/65/424128965.db2.gz MZJQZRADVFGABC-LLVKDONJSA-N -1 1 324.362 1.361 20 0 DDADMM Cc1ccc(S(=O)(=O)CCCN(C)[C@@H](C)CC(=O)[O-])cc1 ZINC000659791261 424255755 /nfs/dbraw/zinc/25/57/55/424255755.db2.gz KJMNOLGDSNLOMH-ZDUSSCGKSA-N -1 1 313.419 1.954 20 0 DDADMM CO[C@]1(CNC(=O)N=c2[n-]sc3ccccc32)CCOC1 ZINC000640339262 424361203 /nfs/dbraw/zinc/36/12/03/424361203.db2.gz OYDIQZFMNBPIFJ-AWEZNQCLSA-N -1 1 307.375 1.645 20 0 DDADMM COC[C@](C)(CCO)NC(=O)N=c1[n-]sc2ccccc21 ZINC000640342830 424363070 /nfs/dbraw/zinc/36/30/70/424363070.db2.gz QJYWOPVVEMBBBC-AWEZNQCLSA-N -1 1 309.391 1.627 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2c(C)cccc2F)on1 ZINC000660012072 424456477 /nfs/dbraw/zinc/45/64/77/424456477.db2.gz KQUNWVFHUHVWJA-UHFFFAOYSA-N -1 1 300.311 1.609 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@]2(C)CC2(C)C)c(C(F)(F)F)n1 ZINC000660050741 424485967 /nfs/dbraw/zinc/48/59/67/424485967.db2.gz NRZAHHWJVMXDBX-SNVBAGLBSA-N -1 1 311.329 1.906 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1nc(-c3cccs3)no1)C2 ZINC000662220696 424487099 /nfs/dbraw/zinc/48/70/99/424487099.db2.gz RVJHFWASNVGSJN-YMTOWFKASA-N -1 1 321.358 1.331 20 0 DDADMM Cn1nccc1C(=O)CSc1nc(C(F)F)cc(=O)[n-]1 ZINC000655504419 424520068 /nfs/dbraw/zinc/52/00/68/424520068.db2.gz CXBDHHFQESKQQV-UHFFFAOYSA-N -1 1 300.290 1.828 20 0 DDADMM COc1ccc([C@@H]2CCN([C@@H]3CCN(CC(=O)[O-])C3=O)C2)cc1 ZINC000662202789 424471346 /nfs/dbraw/zinc/47/13/46/424471346.db2.gz OZFJHBDAJGHKBM-UKRRQHHQSA-N -1 1 318.373 1.170 20 0 DDADMM Cc1ccc(CN(CC2CC2)[C@H]2CCN(CC(=O)[O-])C2=O)cn1 ZINC000662213125 424479296 /nfs/dbraw/zinc/47/92/96/424479296.db2.gz SQBUPBTYFAETSJ-HNNXBMFYSA-N -1 1 317.389 1.288 20 0 DDADMM C[C@@H](CNC(=O)N(C)CCCN(C)Cc1ccco1)C(=O)[O-] ZINC000655881062 424691133 /nfs/dbraw/zinc/69/11/33/424691133.db2.gz GRWYVSUGSDHDOR-LBPRGKRZSA-N -1 1 311.382 1.464 20 0 DDADMM CN(CCC(=O)Nc1cc(F)cc(F)c1)Cc1n[n-]c(=O)o1 ZINC000660843834 424785568 /nfs/dbraw/zinc/78/55/68/424785568.db2.gz CEABHZLWGOPKGW-UHFFFAOYSA-N -1 1 312.276 1.514 20 0 DDADMM O=C(Nc1nnn[n-]1)C1(c2ccc(F)cc2F)CCOCC1 ZINC000341426015 271063327 /nfs/dbraw/zinc/06/33/27/271063327.db2.gz DEDGPBJIAIARRE-UHFFFAOYSA-N -1 1 309.276 1.165 20 0 DDADMM O=C(Nc1nn[n-]n1)C1(c2ccc(F)cc2F)CCOCC1 ZINC000341426015 271063330 /nfs/dbraw/zinc/06/33/30/271063330.db2.gz DEDGPBJIAIARRE-UHFFFAOYSA-N -1 1 309.276 1.165 20 0 DDADMM CCNC(=O)c1ccc(=NCC2([C@@H](C)CCO)CCC2)[n-]n1 ZINC000342782764 271517166 /nfs/dbraw/zinc/51/71/66/271517166.db2.gz JGEKHCZZONDPSI-LBPRGKRZSA-N -1 1 306.410 1.249 20 0 DDADMM CC1(CNC(=O)CNC(=O)c2ncccc2[O-])CCCCCC1 ZINC000345740272 272319611 /nfs/dbraw/zinc/31/96/11/272319611.db2.gz QBHAXPODCORDPI-UHFFFAOYSA-N -1 1 319.405 1.994 20 0 DDADMM COCc1nc(=NC(=O)c2cc(-c3ccc(C)o3)n[nH]2)s[n-]1 ZINC000345777373 272331875 /nfs/dbraw/zinc/33/18/75/272331875.db2.gz RVXSOKSPXZKCCT-UHFFFAOYSA-N -1 1 319.346 1.650 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)c3cc(F)cc(F)c3)ccnc1-2 ZINC000340364764 279300348 /nfs/dbraw/zinc/30/03/48/279300348.db2.gz FVBALMPOCLVWAM-UHFFFAOYSA-N -1 1 324.312 1.421 20 0 DDADMM CC[C@@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C[C@@H](C)O1 ZINC000287157488 280340856 /nfs/dbraw/zinc/34/08/56/280340856.db2.gz MEDLSFQQXALAIU-YODIJAISSA-N -1 1 303.366 1.373 20 0 DDADMM Cn1cccc1[C@@H]1COCCN1C(=O)c1cccc2nn[nH]c21 ZINC000119259004 281094144 /nfs/dbraw/zinc/09/41/44/281094144.db2.gz HHIUVYOVMQBPFA-AWEZNQCLSA-N -1 1 311.345 1.510 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@@H](CO)CC1)c1cccc(F)c1F ZINC000119497164 281100773 /nfs/dbraw/zinc/10/07/73/281100773.db2.gz RSOIKVPQGLCDAA-MGCOHNPYSA-N -1 1 305.346 1.794 20 0 DDADMM CNC(=O)[C@@H](C)CN(C)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000135162492 281577992 /nfs/dbraw/zinc/57/79/92/281577992.db2.gz OKCAVGBQQVPMOE-QMMMGPOBSA-N -1 1 314.769 1.508 20 0 DDADMM CCc1nc(C(=O)[N-]c2nnc(CCC(=O)OC)s2)co1 ZINC000153327058 281788886 /nfs/dbraw/zinc/78/88/86/281788886.db2.gz QHGWVQLUJCTZMO-UHFFFAOYSA-N -1 1 310.335 1.446 20 0 DDADMM CN(C[C@@H]1CCC[C@H]1O)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000165857328 298217271 /nfs/dbraw/zinc/21/72/71/298217271.db2.gz SJCRIQGWHZZVRU-GXFFZTMASA-N -1 1 301.346 1.156 20 0 DDADMM O=C(NCCCNC(=O)c1ncccc1[O-])c1cccs1 ZINC000171293405 298230872 /nfs/dbraw/zinc/23/08/72/298230872.db2.gz IYABCXXJKVVSGN-UHFFFAOYSA-N -1 1 305.359 1.399 20 0 DDADMM N=c1nc(N2CCN(Cc3cccc4c[nH]nc43)CC2)s[n-]1 ZINC000355075890 298627506 /nfs/dbraw/zinc/62/75/06/298627506.db2.gz BSVXJAMCBVYOJQ-UHFFFAOYSA-N -1 1 315.406 1.149 20 0 DDADMM COC(=O)Cc1cccc(Oc2nc3c(cnn3C)c(=O)[n-]2)c1 ZINC000356850009 298889816 /nfs/dbraw/zinc/88/98/16/298889816.db2.gz GSQRYRLUELJSGO-UHFFFAOYSA-N -1 1 314.301 1.577 20 0 DDADMM O=C([O-])c1cccc(C(=O)NCc2nc3ccc(F)cc3[nH]2)n1 ZINC000362531715 300087907 /nfs/dbraw/zinc/08/79/07/300087907.db2.gz FEIPKBUEXHXRPQ-UHFFFAOYSA-N -1 1 314.276 1.725 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2nc3ccc(F)cc3[nH]2)cn1 ZINC000362867898 300165368 /nfs/dbraw/zinc/16/53/68/300165368.db2.gz KJNHWKHEGHNAGX-UHFFFAOYSA-N -1 1 309.326 1.719 20 0 DDADMM C[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@@]2(CCOC2)O1 ZINC000289387487 300182286 /nfs/dbraw/zinc/18/22/86/300182286.db2.gz ONVXHVNLHVXHOD-BJOHPYRUSA-N -1 1 313.300 1.690 20 0 DDADMM CC[C@H](C)N(C)C(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC000363597748 300284636 /nfs/dbraw/zinc/28/46/36/300284636.db2.gz QUOWBZDQJYXOKE-NSHDSACASA-N -1 1 314.389 1.940 20 0 DDADMM CC(C)Cc1nc(=NC2CCN([C@@H]3CCOC3)CC2)s[n-]1 ZINC000363700690 300298599 /nfs/dbraw/zinc/29/85/99/300298599.db2.gz LGNDXLYKPJYZNC-CYBMUJFWSA-N -1 1 310.467 1.824 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)c1ccon1 ZINC000368500593 301042197 /nfs/dbraw/zinc/04/21/97/301042197.db2.gz CYQJWOJAEODLML-JTQLQIEISA-N -1 1 322.687 1.500 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC[C@]2(CNC(=O)O2)C1 ZINC000368938946 301107396 /nfs/dbraw/zinc/10/73/96/301107396.db2.gz PXURCXVXKFNTLX-AWEZNQCLSA-N -1 1 310.737 1.760 20 0 DDADMM COCCN1CCCN(C(=O)c2ccc([O-])c(Cl)c2)CC1 ZINC000370066868 301269799 /nfs/dbraw/zinc/26/97/99/301269799.db2.gz VONKBZKIEASFOS-UHFFFAOYSA-N -1 1 312.797 1.840 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(Oc2cnccn2)CC1 ZINC000375763098 301992262 /nfs/dbraw/zinc/99/22/62/301992262.db2.gz WZDMISUQPHSJHX-UHFFFAOYSA-N -1 1 300.318 1.261 20 0 DDADMM Cc1ccc(NC(=O)c2cncc([O-])c2)cc1N1CCNC1=O ZINC000355498929 306805450 /nfs/dbraw/zinc/80/54/50/306805450.db2.gz ZNLOSWCISNFSOT-UHFFFAOYSA-N -1 1 312.329 1.878 20 0 DDADMM NC(=O)[C@@]1(NC(=O)c2ccc3ccccc3c2[O-])CCCOC1 ZINC000376798514 302104933 /nfs/dbraw/zinc/10/49/33/302104933.db2.gz IFQIPJBXYREJHS-QGZVFWFLSA-N -1 1 314.341 1.310 20 0 DDADMM C[C@H]1Oc2ccccc2[C@H]1NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000377491350 302184740 /nfs/dbraw/zinc/18/47/40/302184740.db2.gz MZWAOKDQHIEIHM-UFWYWYGMSA-N -1 1 323.356 1.986 20 0 DDADMM C[C@@H]1C[C@H](O)CN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000401529367 302371918 /nfs/dbraw/zinc/37/19/18/302371918.db2.gz HICXUFBXNXKGJC-SCZZXKLOSA-N -1 1 314.179 1.998 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc([C@H]3CC[C@H](C)O3)no2)o1 ZINC000528254789 303012780 /nfs/dbraw/zinc/01/27/80/303012780.db2.gz WJVNCRVGORSDFL-JGVFFNPUSA-N -1 1 313.335 1.478 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CC2CC=CC2)c(C(F)(F)F)n1 ZINC000528468273 303030657 /nfs/dbraw/zinc/03/06/57/303030657.db2.gz BNHXEGVMTSPURL-UHFFFAOYSA-N -1 1 309.313 1.683 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)C1CN(CC(F)(F)F)C1 ZINC000530381693 303194871 /nfs/dbraw/zinc/19/48/71/303194871.db2.gz NONSBVOTDMVTKT-UHFFFAOYSA-N -1 1 317.193 1.256 20 0 DDADMM CC(C)CO[C@H](C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000538606094 303385348 /nfs/dbraw/zinc/38/53/48/303385348.db2.gz ZBRKIZAYFQZKSA-SECBINFHSA-N -1 1 316.379 1.039 20 0 DDADMM O=C(NCc1noc(C(F)(F)F)n1)c1cc(F)ccc1[O-] ZINC000547740767 303550806 /nfs/dbraw/zinc/55/08/06/303550806.db2.gz SEYNTDPTAJZBHQ-UHFFFAOYSA-N -1 1 305.187 1.863 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(OC3COC3)cc2)cn1 ZINC000560448794 303813626 /nfs/dbraw/zinc/81/36/26/303813626.db2.gz RBGYXEYKKWCOCI-UHFFFAOYSA-N -1 1 320.370 1.968 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)no1 ZINC000367107571 307086111 /nfs/dbraw/zinc/08/61/11/307086111.db2.gz ANBZZJNOQBLWTK-VIFPVBQESA-N -1 1 304.354 1.505 20 0 DDADMM CC(C)(C)OC(=O)CCCN1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000377488106 307270677 /nfs/dbraw/zinc/27/06/77/307270677.db2.gz ZENLIDQUOKQWQV-LLVKDONJSA-N -1 1 310.398 1.812 20 0 DDADMM O=S(=O)([N-]CCC1(CO)CC1)c1ccc(F)c(F)c1F ZINC000395839923 307296445 /nfs/dbraw/zinc/29/64/45/307296445.db2.gz DUXQPZGFPVBQEL-UHFFFAOYSA-N -1 1 309.309 1.545 20 0 DDADMM NC(=O)OCCNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000496077450 307325409 /nfs/dbraw/zinc/32/54/09/307325409.db2.gz VUFKBVVVNQHOGE-UHFFFAOYSA-N -1 1 309.709 1.833 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@H]3NC(=O)O[C@@H]3C)[nH][n-]2)c1 ZINC000496148184 307328716 /nfs/dbraw/zinc/32/87/16/307328716.db2.gz CCYIBQMZULQCRV-OQPBUACISA-N -1 1 318.308 1.382 20 0 DDADMM Cn1ccc2c1cccc2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000554997434 307840958 /nfs/dbraw/zinc/84/09/58/307840958.db2.gz WFOKVXOVLYKUIL-UHFFFAOYSA-N -1 1 308.301 1.162 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCC[C@H](CO)C2)sc1C ZINC000561111550 307922411 /nfs/dbraw/zinc/92/24/11/307922411.db2.gz NULODSZEXRAQSH-WDEREUQCSA-N -1 1 304.437 1.589 20 0 DDADMM O=C([O-])CN(CCCS(=O)(=O)c1ccc(F)cc1)C1CC1 ZINC000565101973 308020218 /nfs/dbraw/zinc/02/02/18/308020218.db2.gz BMRZAPTUEWSDBV-UHFFFAOYSA-N -1 1 315.366 1.539 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)c1cc(F)ccc1F ZINC000567831872 308097040 /nfs/dbraw/zinc/09/70/40/308097040.db2.gz GSAKLOABWGBQTQ-UHFFFAOYSA-N -1 1 303.290 1.499 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)NCCCN1CCN(c2ccccc2)CC1 ZINC000567844334 308098623 /nfs/dbraw/zinc/09/86/23/308098623.db2.gz GVLMQZHNAAKEMM-AWEZNQCLSA-N -1 1 319.405 1.036 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC(C)(C)OC)C1CCCCC1 ZINC000568447864 308118083 /nfs/dbraw/zinc/11/80/83/308118083.db2.gz LDQKPMNFJOEKLT-GFCCVEGCSA-N -1 1 321.439 1.453 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCCOCC2CCC2)[n-]n1 ZINC000570445510 308169162 /nfs/dbraw/zinc/16/91/62/308169162.db2.gz DHWAMFGJTKWJCF-UHFFFAOYSA-N -1 1 318.421 1.800 20 0 DDADMM C[N@H+](CC(=O)Nc1nn[nH]n1)[C@H]1CCCC[C@@H]1c1ccccc1 ZINC000570661369 308176155 /nfs/dbraw/zinc/17/61/55/308176155.db2.gz GBKUJORKKSDFBP-KGLIPLIRSA-N -1 1 314.393 1.796 20 0 DDADMM C[N@@H+](CC(=O)Nc1nn[nH]n1)[C@H]1CCCC[C@@H]1c1ccccc1 ZINC000570661369 308176156 /nfs/dbraw/zinc/17/61/56/308176156.db2.gz GBKUJORKKSDFBP-KGLIPLIRSA-N -1 1 314.393 1.796 20 0 DDADMM CCCN(CCc1cccs1)Cc1cn(CC(=O)[O-])nn1 ZINC000575412907 308276928 /nfs/dbraw/zinc/27/69/28/308276928.db2.gz ORFOGIIAWWXLFX-UHFFFAOYSA-N -1 1 308.407 1.879 20 0 DDADMM O=C(N=c1cc(-c2cccc(F)c2F)[n-][nH]1)[C@@H]1CC[C@@H](O)C1 ZINC000576044650 308286294 /nfs/dbraw/zinc/28/62/94/308286294.db2.gz DBZWXMQKFSQNDX-RKDXNWHRSA-N -1 1 307.300 1.876 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H](N)[C@@H](C)CC)c1Br ZINC000578864897 308490451 /nfs/dbraw/zinc/49/04/51/308490451.db2.gz YPFBWXJIWPQOHB-RCOVLWMOSA-N -1 1 303.204 1.468 20 0 DDADMM CO[C@H](CS(=O)(=O)Nc1ccc(C(=O)[O-])cc1O)C1CC1 ZINC000581548467 325873408 /nfs/dbraw/zinc/87/34/08/325873408.db2.gz IZSMQHRKWOCRAX-GFCCVEGCSA-N -1 1 315.347 1.257 20 0 DDADMM O=C(N[C@H]1CCCCC12CCCC2)c1cc(=O)n2[n-]cnc2n1 ZINC000582036695 325956668 /nfs/dbraw/zinc/95/66/68/325956668.db2.gz DELHMFQJAJCORL-LBPRGKRZSA-N -1 1 315.377 1.650 20 0 DDADMM O=C(C[C@H]1COCCN1Cc1ncccc1[O-])c1ccco1 ZINC000582129724 325977457 /nfs/dbraw/zinc/97/74/57/325977457.db2.gz ADEVHQBFAIEDBY-LBPRGKRZSA-N -1 1 302.330 1.854 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc2c1O[C@@H](C)C2)c1nn[n-]n1 ZINC000583422162 332399155 /nfs/dbraw/zinc/39/91/55/332399155.db2.gz GKXQNNBQNAZXTP-ZJUUUORDSA-N -1 1 301.350 1.399 20 0 DDADMM CCOC(=O)c1ccc(C)c(S(=O)(=O)[N-]c2cnc[nH]2)c1 ZINC000582519993 337100107 /nfs/dbraw/zinc/10/01/07/337100107.db2.gz UVRXCRMVFUQYPM-UHFFFAOYSA-N -1 1 309.347 1.696 20 0 DDADMM O=c1nc(N[C@H](C[C@@H]2CCCO2)C(F)(F)F)nc2[nH][n-]cc1-2 ZINC000656224817 484033523 /nfs/dbraw/zinc/03/35/23/484033523.db2.gz XMNSAUFWBNSFSG-POYBYMJQSA-N -1 1 317.271 1.344 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(C)cc1F)[C@@H]1CCOC1 ZINC000656614809 484244773 /nfs/dbraw/zinc/24/47/73/484244773.db2.gz POXIIANUOCYLKM-RISCZKNCSA-N -1 1 317.382 1.927 20 0 DDADMM O=C([O-])[C@@H](C(=O)NCCc1nc2ccc(F)cc2[nH]1)C1CC1 ZINC000663040901 484619200 /nfs/dbraw/zinc/61/92/00/484619200.db2.gz UUBSKKUOWHARQK-CYBMUJFWSA-N -1 1 305.309 1.472 20 0 DDADMM CN(C)[C@@H](CNC(=O)C1(C(=O)[O-])CCC1)c1ccc(F)cc1 ZINC000663112892 484678891 /nfs/dbraw/zinc/67/88/91/484678891.db2.gz CSTARRMZLMAHIG-ZDUSSCGKSA-N -1 1 308.353 1.800 20 0 DDADMM CCN1CC[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1=O ZINC000669984789 484706180 /nfs/dbraw/zinc/70/61/80/484706180.db2.gz DSRCMKPMWUOYAU-MRVPVSSYSA-N -1 1 322.308 1.003 20 0 DDADMM O=C(c1n[nH]c2c1CCCC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405493 484809091 /nfs/dbraw/zinc/80/90/91/484809091.db2.gz JQSNDEPCNICIAB-UHFFFAOYSA-N -1 1 317.349 1.397 20 0 DDADMM Cn1ncc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1C1CC1 ZINC000670405670 484809471 /nfs/dbraw/zinc/80/94/71/484809471.db2.gz RXHSZLVHOAAFQA-UHFFFAOYSA-N -1 1 317.349 1.406 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000668742686 485306238 /nfs/dbraw/zinc/30/62/38/485306238.db2.gz AXAVLXUPBSILAV-GDNZZTSVSA-N -1 1 300.362 1.714 20 0 DDADMM CC(C)[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)N1CCCC1 ZINC000672924864 485368745 /nfs/dbraw/zinc/36/87/45/485368745.db2.gz YQGRWOOTDJEISM-AWEZNQCLSA-N -1 1 318.373 1.338 20 0 DDADMM CO[C@H]1CN(C(=O)c2cnc3nc(C)ccc3c2[O-])CCO1 ZINC000682689352 485743525 /nfs/dbraw/zinc/74/35/25/485743525.db2.gz ALPTWBDOYPOVKN-GFCCVEGCSA-N -1 1 303.318 1.089 20 0 DDADMM CO[C@H]1CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)CCO1 ZINC000682689352 485743531 /nfs/dbraw/zinc/74/35/31/485743531.db2.gz ALPTWBDOYPOVKN-GFCCVEGCSA-N -1 1 303.318 1.089 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@H]1c1cc(F)c(F)c(F)c1 ZINC000682825120 485788520 /nfs/dbraw/zinc/78/85/20/485788520.db2.gz UJHPOYIZNINVBU-JGVFFNPUSA-N -1 1 323.278 1.526 20 0 DDADMM O=C([O-])[C@@H](Cc1ccccc1)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000676217074 486149327 /nfs/dbraw/zinc/14/93/27/486149327.db2.gz MLGXQORGGDFVAP-SWLSCSKDSA-N -1 1 313.357 1.642 20 0 DDADMM COc1ccc(C(=O)CCCCC(=O)NCc2nn[n-]n2)cc1 ZINC000680581431 486231308 /nfs/dbraw/zinc/23/13/08/486231308.db2.gz YKYFAWCCXRPYMP-UHFFFAOYSA-N -1 1 317.349 1.268 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CC(C)(C)C1 ZINC000684084369 486241285 /nfs/dbraw/zinc/24/12/85/486241285.db2.gz BIUMWWGZVOFABV-UHFFFAOYSA-N -1 1 312.373 1.551 20 0 DDADMM Cc1nc(C2CC2)oc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000680617457 486244463 /nfs/dbraw/zinc/24/44/63/486244463.db2.gz PETHCNYFMLTVMT-LBPRGKRZSA-N -1 1 324.344 1.893 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@]2(C)CCCC[C@H]2O)sc1C ZINC000676864296 486350540 /nfs/dbraw/zinc/35/05/40/486350540.db2.gz QGDVIRTUOLUSKH-YPMHNXCESA-N -1 1 318.464 1.979 20 0 DDADMM CC[C@@H](CCO)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676860287 486348613 /nfs/dbraw/zinc/34/86/13/486348613.db2.gz DMEQNNSRIXPERB-QMMMGPOBSA-N -1 1 309.334 1.413 20 0 DDADMM Cc1ccn2cc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)nc2c1 ZINC000677047574 486385309 /nfs/dbraw/zinc/38/53/09/486385309.db2.gz DLLWPAWSAKPQJU-LLVKDONJSA-N -1 1 311.349 1.176 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCC(C)(C)O)c(F)c1 ZINC000681361527 486413975 /nfs/dbraw/zinc/41/39/75/486413975.db2.gz SNIIEMIUAAWFTR-UHFFFAOYSA-N -1 1 309.334 1.413 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC1CC1)c1ccc(F)c(F)c1F ZINC000677242409 486423463 /nfs/dbraw/zinc/42/34/63/486423463.db2.gz AYRUYBJZMNIOKJ-MRVPVSSYSA-N -1 1 309.309 1.543 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(Cl)c1 ZINC000677928395 486580835 /nfs/dbraw/zinc/58/08/35/486580835.db2.gz XMTDZFYSDKCFCS-VIFPVBQESA-N -1 1 321.768 1.882 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H]1CCCNC1=O ZINC000633692638 490584605 /nfs/dbraw/zinc/58/46/05/490584605.db2.gz SZHZQWDQJLDWOS-LBPRGKRZSA-N -1 1 300.318 1.043 20 0 DDADMM C[C@@H]1CCC[C@@]1(O)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000294565708 533813089 /nfs/dbraw/zinc/81/30/89/533813089.db2.gz LUVRFQVQQRZMIY-NOZJJQNGSA-N -1 1 305.346 1.794 20 0 DDADMM COC(=O)c1cc(CNCc2ccc(C(=O)[O-])c(C)n2)c[nH]1 ZINC000417567396 533821312 /nfs/dbraw/zinc/82/13/12/533821312.db2.gz OVFHSSKAZIYTNG-UHFFFAOYSA-N -1 1 303.318 1.493 20 0 DDADMM C[C@H]1CN(Cc2cccc([O-])c2Cl)CCS(=O)(=O)C1 ZINC000294543425 533955315 /nfs/dbraw/zinc/95/53/15/533955315.db2.gz DVSVUWLTOGVVOT-JTQLQIEISA-N -1 1 303.811 1.912 20 0 DDADMM C[C@H]([N-]S(=O)(=O)Cc1ccon1)c1nc(C(C)(C)C)no1 ZINC000330892073 533957715 /nfs/dbraw/zinc/95/77/15/533957715.db2.gz KJSFULIERPSDNT-QMMMGPOBSA-N -1 1 314.367 1.536 20 0 DDADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H](c2ccc(F)cc2)O1 ZINC000329565878 533963857 /nfs/dbraw/zinc/96/38/57/533963857.db2.gz HHXKTASYLRJXOI-GXFFZTMASA-N -1 1 306.341 1.210 20 0 DDADMM Cc1cc(=O)[nH]c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000332467739 534015838 /nfs/dbraw/zinc/01/58/38/534015838.db2.gz RHCZOKFGHDEQAM-UHFFFAOYSA-N -1 1 304.306 1.204 20 0 DDADMM COc1ccc(OC)c(C=CC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000492087698 534222974 /nfs/dbraw/zinc/22/29/74/534222974.db2.gz KOSJPYJQZQXRRM-VMPITWQZSA-N -1 1 317.349 1.282 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cn(CC3CC3)nn2)c1 ZINC000451458231 534231662 /nfs/dbraw/zinc/23/16/62/534231662.db2.gz OJIAPOFVBPZMKJ-UHFFFAOYSA-N -1 1 316.317 1.433 20 0 DDADMM O=C1OCCN1c1ccc(OS(=O)(=O)c2c[n-]cn2)cc1 ZINC000491465010 534808004 /nfs/dbraw/zinc/80/80/04/534808004.db2.gz QDLIWNWFQZQZPM-UHFFFAOYSA-N -1 1 309.303 1.134 20 0 DDADMM CC(=O)N1CCN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CC1 ZINC000298146021 526621635 /nfs/dbraw/zinc/62/16/35/526621635.db2.gz BUMWVHPOGGDXCY-UHFFFAOYSA-N -1 1 320.393 1.092 20 0 DDADMM CC(C)(NC(=O)C=Cc1ccccc1OC(F)F)c1nn[n-]n1 ZINC000491929752 527263142 /nfs/dbraw/zinc/26/31/42/527263142.db2.gz LEPURYYKQJEADS-FPLPWBNLSA-N -1 1 323.303 1.866 20 0 DDADMM CC(C)OC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccon1)C(C)C ZINC000451828577 527847340 /nfs/dbraw/zinc/84/73/40/527847340.db2.gz BFNWCSOTQGWIQG-NSHDSACASA-N -1 1 304.368 1.070 20 0 DDADMM CCN(CC)S(=O)(=O)[N-]c1nn(CCCOC)cc1C ZINC000296803021 528218347 /nfs/dbraw/zinc/21/83/47/528218347.db2.gz UJPDWOUBLZIWJG-UHFFFAOYSA-N -1 1 304.416 1.227 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2cn(C)nc2CC)CC1 ZINC000414385798 528677759 /nfs/dbraw/zinc/67/77/59/528677759.db2.gz VMKMYZAPWRDDQB-UHFFFAOYSA-N -1 1 315.395 1.210 20 0 DDADMM CC[C@H](C)NC(=O)[C@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000330951097 529177412 /nfs/dbraw/zinc/17/74/12/529177412.db2.gz KIYSIIWFEODGFI-JOYOIKCWSA-N -1 1 309.391 1.217 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C(=O)OC)cs1)C(F)F ZINC000451079373 529195099 /nfs/dbraw/zinc/19/50/99/529195099.db2.gz ITUFSOAXGYMZGW-SSDOTTSWSA-N -1 1 313.347 1.857 20 0 DDADMM CC[C@H](C)[C@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)OC ZINC000295730103 529247011 /nfs/dbraw/zinc/24/70/11/529247011.db2.gz MJKRPXMDVGHCNE-ONGXEEELSA-N -1 1 308.407 1.809 20 0 DDADMM CC[C@@H]1CCCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000452414519 529286862 /nfs/dbraw/zinc/28/68/62/529286862.db2.gz FWXGNELXXSJTID-MNOVXSKESA-N -1 1 303.366 1.364 20 0 DDADMM O=S(=O)(c1cccc(Cl)c1-c1nnn[n-]1)N1CCCC1 ZINC000738355163 598873435 /nfs/dbraw/zinc/87/34/35/598873435.db2.gz MILMMHVTZUINPT-UHFFFAOYSA-N -1 1 313.770 1.305 20 0 DDADMM O=S(=O)(c1cccc(Cl)c1-c1nn[n-]n1)N1CCCC1 ZINC000738355163 598873436 /nfs/dbraw/zinc/87/34/36/598873436.db2.gz MILMMHVTZUINPT-UHFFFAOYSA-N -1 1 313.770 1.305 20 0 DDADMM OCC[C@H](Nc1cccc(-c2nnn[n-]2)n1)c1cccs1 ZINC000738392444 598977408 /nfs/dbraw/zinc/97/74/08/598977408.db2.gz DJAWXPQEVOYPIE-VIFPVBQESA-N -1 1 302.363 1.859 20 0 DDADMM OCC[C@H](Nc1cccc(-c2nn[n-]n2)n1)c1cccs1 ZINC000738392444 598977409 /nfs/dbraw/zinc/97/74/09/598977409.db2.gz DJAWXPQEVOYPIE-VIFPVBQESA-N -1 1 302.363 1.859 20 0 DDADMM O=C(NCc1ccc(Cl)nc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738103888 598994589 /nfs/dbraw/zinc/99/45/89/598994589.db2.gz PRAZCAWROYRRAJ-UHFFFAOYSA-N -1 1 315.724 1.240 20 0 DDADMM O=C(NCc1ccc(Cl)nc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738103888 598994590 /nfs/dbraw/zinc/99/45/90/598994590.db2.gz PRAZCAWROYRRAJ-UHFFFAOYSA-N -1 1 315.724 1.240 20 0 DDADMM CN(C)[C@H](CNC(=O)CCCN(C)C(=O)[O-])c1ccsc1 ZINC000737420813 599731612 /nfs/dbraw/zinc/73/16/12/599731612.db2.gz AGPSPSVDEYNJLC-GFCCVEGCSA-N -1 1 313.423 1.857 20 0 DDADMM CC(C)C[C@H]1COCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735796206 599007062 /nfs/dbraw/zinc/00/70/62/599007062.db2.gz WVSGXVKOAZZTEO-LBPRGKRZSA-N -1 1 316.365 1.149 20 0 DDADMM CC(C)C[C@H]1COCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735796206 599007063 /nfs/dbraw/zinc/00/70/63/599007063.db2.gz WVSGXVKOAZZTEO-LBPRGKRZSA-N -1 1 316.365 1.149 20 0 DDADMM CCOCCOCCOC(=O)c1sccc1-c1nn[n-]n1 ZINC000736625607 599026869 /nfs/dbraw/zinc/02/68/69/599026869.db2.gz JBENNINIYHWYKV-UHFFFAOYSA-N -1 1 312.351 1.138 20 0 DDADMM CC1(C)[C@H](Nc2cccc(-c3nnn[n-]3)n2)[C@H]2CCCO[C@H]21 ZINC000820586680 599042027 /nfs/dbraw/zinc/04/20/27/599042027.db2.gz YPTLHYMQEOGNFG-OASPWFOLSA-N -1 1 300.366 1.877 20 0 DDADMM CC1(C)[C@H](Nc2cccc(-c3nn[n-]n3)n2)[C@H]2CCCO[C@H]21 ZINC000820586680 599042030 /nfs/dbraw/zinc/04/20/30/599042030.db2.gz YPTLHYMQEOGNFG-OASPWFOLSA-N -1 1 300.366 1.877 20 0 DDADMM CC(C)Cc1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n[nH]1 ZINC000735850007 599107828 /nfs/dbraw/zinc/10/78/28/599107828.db2.gz NGUVSQZAEAAYAO-UHFFFAOYSA-N -1 1 312.337 1.436 20 0 DDADMM CC(C)Cc1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n[nH]1 ZINC000735850007 599107829 /nfs/dbraw/zinc/10/78/29/599107829.db2.gz NGUVSQZAEAAYAO-UHFFFAOYSA-N -1 1 312.337 1.436 20 0 DDADMM Cc1ccccc1[C@H](O)CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737424946 599109859 /nfs/dbraw/zinc/10/98/59/599109859.db2.gz ZULPSVFUARWWBV-CQSZACIVSA-N -1 1 324.344 1.034 20 0 DDADMM Cc1ccccc1[C@H](O)CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737424946 599109861 /nfs/dbraw/zinc/10/98/61/599109861.db2.gz ZULPSVFUARWWBV-CQSZACIVSA-N -1 1 324.344 1.034 20 0 DDADMM Cc1nc(Cn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)cs1 ZINC000822449636 599125632 /nfs/dbraw/zinc/12/56/32/599125632.db2.gz IALQTKYEFSKKPD-UHFFFAOYSA-N -1 1 324.369 1.995 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC[C@@H]1c1ccco1 ZINC000738257660 599164013 /nfs/dbraw/zinc/16/40/13/599164013.db2.gz BEYOVCIJBMTUGQ-GFCCVEGCSA-N -1 1 310.317 1.832 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@@H]1c1ccco1 ZINC000738257660 599164016 /nfs/dbraw/zinc/16/40/16/599164016.db2.gz BEYOVCIJBMTUGQ-GFCCVEGCSA-N -1 1 310.317 1.832 20 0 DDADMM Cc1cc(N2CC[C@H](N3CCC[C@H](CC(=O)[O-])C3)C2=O)n(C)n1 ZINC000818829616 597076152 /nfs/dbraw/zinc/07/61/52/597076152.db2.gz BPWGEXDHYXDNCN-OLZOCXBDSA-N -1 1 320.393 1.021 20 0 DDADMM N#Cc1cccc(NC(=O)CN2CCC[C@@H](CC(=O)[O-])C2)c1 ZINC000386048323 597081282 /nfs/dbraw/zinc/08/12/82/597081282.db2.gz ZNSKDIAMMYOPSL-ZDUSSCGKSA-N -1 1 301.346 1.683 20 0 DDADMM O=C(N[C@H]1CSc2ccccc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738041228 599263538 /nfs/dbraw/zinc/26/35/38/599263538.db2.gz YBYREHJMRXKXKL-LBPRGKRZSA-N -1 1 324.369 1.839 20 0 DDADMM O=C(N[C@H]1CSc2ccccc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738041228 599263540 /nfs/dbraw/zinc/26/35/40/599263540.db2.gz YBYREHJMRXKXKL-LBPRGKRZSA-N -1 1 324.369 1.839 20 0 DDADMM c1cc(-c2nnn[n-]2)oc1CNc1ccccc1Cn1cncn1 ZINC000823786727 607314772 /nfs/dbraw/zinc/31/47/72/607314772.db2.gz DAGLUPPLNFBMIB-UHFFFAOYSA-N -1 1 322.332 1.712 20 0 DDADMM c1cc(-c2nn[n-]n2)oc1CNc1ccccc1Cn1cncn1 ZINC000823786727 607314773 /nfs/dbraw/zinc/31/47/73/607314773.db2.gz DAGLUPPLNFBMIB-UHFFFAOYSA-N -1 1 322.332 1.712 20 0 DDADMM CCN(CC)[C@H](CNC(=O)N1CC[C@@H](C(=O)[O-])C1)c1ccco1 ZINC000818193664 597510196 /nfs/dbraw/zinc/51/01/96/597510196.db2.gz LWZPKMKERSVBQI-CHWSQXEVSA-N -1 1 323.393 1.779 20 0 DDADMM COc1cccc([C@@H]2C[C@H](C)CN2CC(=O)NCC(=O)[O-])c1 ZINC000820865341 597814520 /nfs/dbraw/zinc/81/45/20/597814520.db2.gz IOYJGPBRTMYILK-FZMZJTMJSA-N -1 1 306.362 1.279 20 0 DDADMM Cc1ccc(NC(=O)CN(C)c2nccnc2-c2nnn[n-]2)cc1 ZINC000822242380 607326802 /nfs/dbraw/zinc/32/68/02/607326802.db2.gz JRIVPWUFSAQUBG-UHFFFAOYSA-N -1 1 324.348 1.040 20 0 DDADMM Cc1ccc(NC(=O)CN(C)c2nccnc2-c2nn[n-]n2)cc1 ZINC000822242380 607326803 /nfs/dbraw/zinc/32/68/03/607326803.db2.gz JRIVPWUFSAQUBG-UHFFFAOYSA-N -1 1 324.348 1.040 20 0 DDADMM COC(=O)[C@H](CNc1cccc(-c2nnn[n-]2)n1)c1ccccc1 ZINC000821640274 607327085 /nfs/dbraw/zinc/32/70/85/607327085.db2.gz FCHBBROKVDXAGU-GFCCVEGCSA-N -1 1 324.344 1.630 20 0 DDADMM COC(=O)[C@H](CNc1cccc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000821640274 607327086 /nfs/dbraw/zinc/32/70/86/607327086.db2.gz FCHBBROKVDXAGU-GFCCVEGCSA-N -1 1 324.344 1.630 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)Nc1nnc(C(C)C)s1)C(=O)[O-] ZINC000820465307 598187833 /nfs/dbraw/zinc/18/78/33/598187833.db2.gz AOSFDLRCKHXBPK-WPRPVWTQSA-N -1 1 314.411 1.689 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN[C@@H](C(=O)[O-])C(C)C)cc1 ZINC000820685431 598188560 /nfs/dbraw/zinc/18/85/60/598188560.db2.gz TUWJURXVKXUKQT-CYBMUJFWSA-N -1 1 308.334 1.111 20 0 DDADMM CC[C@H](C)[C@H](O)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000736335797 598774877 /nfs/dbraw/zinc/77/48/77/598774877.db2.gz ZWVQHFYXHZWXEA-NTSWFWBYSA-N -1 1 302.791 1.796 20 0 DDADMM CC[C@H](C)[C@H](O)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000736335797 598774878 /nfs/dbraw/zinc/77/48/78/598774878.db2.gz ZWVQHFYXHZWXEA-NTSWFWBYSA-N -1 1 302.791 1.796 20 0 DDADMM O=C(Cc1ccc(-c2nn[nH]n2)cc1)Nc1c([O-])cccc1F ZINC000823078600 599330781 /nfs/dbraw/zinc/33/07/81/599330781.db2.gz OTLILQFUQDTJKJ-UHFFFAOYSA-N -1 1 313.292 1.893 20 0 DDADMM CC(=O)Nc1cccc(CNc2cccc(-c3nnn[n-]3)n2)c1 ZINC000735488928 599407943 /nfs/dbraw/zinc/40/79/43/599407943.db2.gz RZIZEMONIMPDPM-UHFFFAOYSA-N -1 1 309.333 1.832 20 0 DDADMM CC(=O)Nc1cccc(CNc2cccc(-c3nn[n-]n3)n2)c1 ZINC000735488928 599407944 /nfs/dbraw/zinc/40/79/44/599407944.db2.gz RZIZEMONIMPDPM-UHFFFAOYSA-N -1 1 309.333 1.832 20 0 DDADMM c1cn2c(n1)CN(c1cc(-c3nnn[n-]3)nc3ccccc31)CC2 ZINC000823796694 599512842 /nfs/dbraw/zinc/51/28/42/599512842.db2.gz DNEKQLHAYROTNR-UHFFFAOYSA-N -1 1 318.344 1.632 20 0 DDADMM c1cn2c(n1)CN(c1cc(-c3nn[n-]n3)nc3ccccc31)CC2 ZINC000823796694 599512843 /nfs/dbraw/zinc/51/28/43/599512843.db2.gz DNEKQLHAYROTNR-UHFFFAOYSA-N -1 1 318.344 1.632 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCc1ccccc1Cl ZINC000314134951 599777485 /nfs/dbraw/zinc/77/74/85/599777485.db2.gz OGXQVZGNPVGOFE-ZDUSSCGKSA-N -1 1 321.764 1.808 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)NC[C@@H](c1cccs1)N(C)C ZINC000736371101 599839487 /nfs/dbraw/zinc/83/94/87/599839487.db2.gz ZCOIMZMNNKUDAE-CMPLNLGQSA-N -1 1 313.423 1.759 20 0 DDADMM CCOCc1ccc(CNC(=O)CNC(C)(C)C(=O)[O-])cc1 ZINC000737178084 599927092 /nfs/dbraw/zinc/92/70/92/599927092.db2.gz OLVGYTMZKQFWEG-UHFFFAOYSA-N -1 1 308.378 1.292 20 0 DDADMM Cc1nn(C)c(NS(=O)(=O)c2cc(C(=O)[O-])ccc2C)c1C ZINC000738546119 600126725 /nfs/dbraw/zinc/12/67/25/600126725.db2.gz GRVQDUAIOUWKRP-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM CCC[C@@](C)(NCC(=O)N1CCc2ccccc2C1)C(=O)[O-] ZINC000314365401 600153951 /nfs/dbraw/zinc/15/39/51/600153951.db2.gz XQNLFVZRESMKQW-QGZVFWFLSA-N -1 1 304.390 1.804 20 0 DDADMM C[C@@H](c1cc(F)ccc1F)N(C)CCC(=O)NCC(=O)[O-] ZINC000736714315 600160207 /nfs/dbraw/zinc/16/02/07/600160207.db2.gz GPDQSRMDSKQXFR-VIFPVBQESA-N -1 1 300.305 1.549 20 0 DDADMM Cc1ccnc(NS(=O)(=O)c2ccc(CC(=O)[O-])cc2)c1 ZINC000035296010 600244012 /nfs/dbraw/zinc/24/40/12/600244012.db2.gz GDEGUPZUDLWBMN-UHFFFAOYSA-N -1 1 306.343 1.818 20 0 DDADMM CCC[C@@](C)(NCC(=O)NC[C@@H]1Cc2ccccc2O1)C(=O)[O-] ZINC000736962980 600324145 /nfs/dbraw/zinc/32/41/45/600324145.db2.gz IJFYYFFVPWBOIC-SUMWQHHRSA-N -1 1 320.389 1.339 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000405507330 600340154 /nfs/dbraw/zinc/34/01/54/600340154.db2.gz WJWVLVIWBSDZTA-ZDUSSCGKSA-N -1 1 320.393 1.547 20 0 DDADMM CN1CCC[C@@H]1C(=O)N(CC(=O)[O-])Cc1ccc(Cl)cc1 ZINC000737517427 600377708 /nfs/dbraw/zinc/37/77/08/600377708.db2.gz AEUJKSCPTXZBAX-CYBMUJFWSA-N -1 1 310.781 1.847 20 0 DDADMM C[C@@H](CNC(=O)N1CC[C@@H](C(=O)[O-])C1)[N@@H+](C)Cc1ccccc1 ZINC000390602146 600412422 /nfs/dbraw/zinc/41/24/22/600412422.db2.gz BNILXEILUBLLJI-DZGCQCFKSA-N -1 1 319.405 1.623 20 0 DDADMM CCc1cc(CNC(=O)CCc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000737253350 600497480 /nfs/dbraw/zinc/49/74/80/600497480.db2.gz HQJDMBQFVXUVOV-UHFFFAOYSA-N -1 1 301.346 1.919 20 0 DDADMM O=C([O-])c1cccc(NC(=O)CN2CCC[C@H]2CCCO)c1 ZINC000739282632 600555774 /nfs/dbraw/zinc/55/57/74/600555774.db2.gz DSOLMHRGTDDWRI-AWEZNQCLSA-N -1 1 306.362 1.560 20 0 DDADMM Cc1cc(CN2CCN(Cc3n[nH]c(C)n3)CC2)oc1C(=O)[O-] ZINC000738857511 600558302 /nfs/dbraw/zinc/55/83/02/600558302.db2.gz LPPXDGNOMIAWIP-UHFFFAOYSA-N -1 1 319.365 1.031 20 0 DDADMM CC[C@](C)(NCC(=O)NCC1(c2ccccc2)CC1)C(=O)[O-] ZINC000736860873 600560055 /nfs/dbraw/zinc/56/00/55/600560055.db2.gz BTVQZRPNXXJNNP-INIZCTEOSA-N -1 1 304.390 1.677 20 0 DDADMM O=C([O-])CCc1nnc(NC(=O)c2scnc2Cl)s1 ZINC000739781166 600633908 /nfs/dbraw/zinc/63/39/08/600633908.db2.gz JGRXNPRRYDFFMP-UHFFFAOYSA-N -1 1 318.767 1.918 20 0 DDADMM Cc1cc(CNC(=O)Cn2cc(C(=O)[O-])c3ccccc32)n[nH]1 ZINC000738386523 600636208 /nfs/dbraw/zinc/63/62/08/600636208.db2.gz ZVGDLKMDVQDKGH-UHFFFAOYSA-N -1 1 312.329 1.687 20 0 DDADMM C[C@@H]1CC[C@@H](C)N1CC(=O)N1C[C@@H](C(=O)[O-])Oc2ccccc21 ZINC000828214507 600881518 /nfs/dbraw/zinc/88/15/18/600881518.db2.gz OVMYQXLYSIJTON-JMSVASOKSA-N -1 1 318.373 1.738 20 0 DDADMM Cc1nnc(SCCC(=O)N(C[C@@H](C)C(=O)[O-])C2CC2)[nH]1 ZINC000833021092 600923481 /nfs/dbraw/zinc/92/34/81/600923481.db2.gz HEWLLEBULCQZKJ-MRVPVSSYSA-N -1 1 312.395 1.307 20 0 DDADMM Cc1nnc(SCC(=O)N2C[C@H](C(=O)[O-])c3ccccc32)[nH]1 ZINC000832990667 600947381 /nfs/dbraw/zinc/94/73/81/600947381.db2.gz JHZKSCJXNZOKRM-JTQLQIEISA-N -1 1 318.358 1.420 20 0 DDADMM Cc1n[nH]c(SCC(=O)N2C[C@H](C(=O)[O-])c3ccccc32)n1 ZINC000832990667 600947383 /nfs/dbraw/zinc/94/73/83/600947383.db2.gz JHZKSCJXNZOKRM-JTQLQIEISA-N -1 1 318.358 1.420 20 0 DDADMM CC[C@@H]1CN([C@H](C(=O)[O-])c2ccccc2F)CCN1C[C@H](C)O ZINC000829141713 600999611 /nfs/dbraw/zinc/99/96/11/600999611.db2.gz OMASTPLNUYXXEO-ZENOOKHLSA-N -1 1 324.396 1.728 20 0 DDADMM CC(C)c1nn(C)cc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000827164080 601095195 /nfs/dbraw/zinc/09/51/95/601095195.db2.gz JFIRTBIIULBYJT-LBPRGKRZSA-N -1 1 322.409 1.165 20 0 DDADMM CCCc1nc([C@@H]2CN(Cc3occc3C(=O)[O-])CCO2)n[nH]1 ZINC000737051799 601105693 /nfs/dbraw/zinc/10/56/93/601105693.db2.gz ZNUTUHUVAIJOPV-LBPRGKRZSA-N -1 1 320.349 1.622 20 0 DDADMM CCCc1n[nH]c([C@@H]2CN(Cc3occc3C(=O)[O-])CCO2)n1 ZINC000737051799 601105697 /nfs/dbraw/zinc/10/56/97/601105697.db2.gz ZNUTUHUVAIJOPV-LBPRGKRZSA-N -1 1 320.349 1.622 20 0 DDADMM C[C@@H](C(=O)N1CCc2[nH]c3ccc(C(=O)[O-])cc3c2C1)N(C)C ZINC000832803124 601136453 /nfs/dbraw/zinc/13/64/53/601136453.db2.gz HPGCPUQVISVQQA-JTQLQIEISA-N -1 1 315.373 1.701 20 0 DDADMM COC(=O)/C(C)=C\CN1CCN(C/C=C(/C)C(=O)[O-])[C@H](C)C1 ZINC000831052909 601180797 /nfs/dbraw/zinc/18/07/97/601180797.db2.gz FDPYTYJRFIVODW-XPJGEIMGSA-N -1 1 310.394 1.143 20 0 DDADMM O=C(CSc1n[nH]c(=S)s1)NC1(C(=O)[O-])CCCC1 ZINC000819165414 601197951 /nfs/dbraw/zinc/19/79/51/601197951.db2.gz GKBHFAVARFVPOA-UHFFFAOYSA-N -1 1 319.433 1.433 20 0 DDADMM CCN1CC[C@@H](NS(=O)(=O)c2ccc(/C=C/C(=O)[O-])cc2)C1 ZINC000389251512 601255362 /nfs/dbraw/zinc/25/53/62/601255362.db2.gz BEYPPYFELUFCPM-OQHXTRMZSA-N -1 1 324.402 1.157 20 0 DDADMM CC(C)(CCC(=O)[O-])NS(=O)(=O)c1c(F)cccc1F ZINC000050196020 601257844 /nfs/dbraw/zinc/25/78/44/601257844.db2.gz FQJYWMLONCXSRF-UHFFFAOYSA-N -1 1 307.318 1.887 20 0 DDADMM O=C([O-])c1cc(C(=O)Nc2nc(-c3ccccn3)n[nH]2)ccn1 ZINC000833162772 601280064 /nfs/dbraw/zinc/28/00/64/601280064.db2.gz PAEMFULTUGNLRL-UHFFFAOYSA-N -1 1 310.273 1.212 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c(F)c1 ZINC000832910804 601288722 /nfs/dbraw/zinc/28/87/22/601288722.db2.gz AYUKPRSQDRNWBD-LBPRGKRZSA-N -1 1 308.353 1.755 20 0 DDADMM CN(CCOc1ccc(C(=O)[O-])cc1Cl)Cc1nnc[nH]1 ZINC000830792695 601320146 /nfs/dbraw/zinc/32/01/46/601320146.db2.gz ZXLVYPXRHZLWGE-UHFFFAOYSA-N -1 1 310.741 1.667 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=S)NCc2ccccc2)CC1 ZINC000827385443 601338866 /nfs/dbraw/zinc/33/88/66/601338866.db2.gz KMIJTQHLEWSOQN-CYBMUJFWSA-N -1 1 321.446 1.542 20 0 DDADMM O=C([O-])Cc1csc(NC(=O)CN2C[C@@H]3CCCC[C@@H]3C2)n1 ZINC000833270143 601425551 /nfs/dbraw/zinc/42/55/51/601425551.db2.gz YRIFVOPLEGIOJX-PHIMTYICSA-N -1 1 323.418 1.831 20 0 DDADMM C[C@H](NC(=O)Cn1cc(C(=O)[O-])c2ccccc21)c1nnc[nH]1 ZINC000827636275 601442057 /nfs/dbraw/zinc/44/20/57/601442057.db2.gz KWHVUMYCTWZYOZ-VIFPVBQESA-N -1 1 313.317 1.335 20 0 DDADMM O=C([O-])[C@]1(F)CCN(CCc2nc(-c3ccccc3)no2)C1 ZINC000833174067 601444419 /nfs/dbraw/zinc/44/44/19/601444419.db2.gz APZXUERTJLZJES-HNNXBMFYSA-N -1 1 305.309 1.778 20 0 DDADMM Cc1cccc(C)c1OC[C@H](O)CN1CC[C@](F)(C(=O)[O-])C1 ZINC000832966414 601446265 /nfs/dbraw/zinc/44/62/65/601446265.db2.gz WEWGBVFZKQXNAZ-CZUORRHYSA-N -1 1 311.353 1.542 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCC(=O)Nc1ccc(C(C)=O)cc1 ZINC000831577527 601486328 /nfs/dbraw/zinc/48/63/28/601486328.db2.gz HNGCVHDFDJGUSU-INIZCTEOSA-N -1 1 322.361 1.297 20 0 DDADMM Cc1cc(NC(=O)CN2C[C@H](C(=O)[O-])[C@H](C)C2)n(C(C)(C)C)n1 ZINC000832892714 601536131 /nfs/dbraw/zinc/53/61/31/601536131.db2.gz KGXFWGNCTZMSBF-PWSUYJOCSA-N -1 1 322.409 1.538 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000833165352 601728539 /nfs/dbraw/zinc/72/85/39/601728539.db2.gz HLPYPRUPGJUNED-AWEZNQCLSA-N -1 1 319.296 1.298 20 0 DDADMM COC(=O)[C@@H]1CN(Cn2nc(C(=O)[O-])c3ccccc32)C[C@H]1C ZINC000831120175 601813181 /nfs/dbraw/zinc/81/31/81/601813181.db2.gz ZHZJNDZMJBTIKD-ZYHUDNBSSA-N -1 1 317.345 1.433 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nn[n-]n2)c1)c1ccc(O)cc1 ZINC000826496284 607528661 /nfs/dbraw/zinc/52/86/61/607528661.db2.gz QVCLUQINYQCYAO-NRFANRHFSA-N -1 1 301.331 1.275 20 0 DDADMM C[C@H]1CCCCN1C(=O)CN1CCSC[C@H]1CC(=O)[O-] ZINC000252129068 601885581 /nfs/dbraw/zinc/88/55/81/601885581.db2.gz KMOCGRKNHXLALL-NWDGAFQWSA-N -1 1 300.424 1.280 20 0 DDADMM Cc1nn(C)c2ncc(CN3CCC[C@@H](N(C)C(=O)[O-])C3)cc12 ZINC000740256593 601965860 /nfs/dbraw/zinc/96/58/60/601965860.db2.gz RBIZHORVBKMPPQ-CYBMUJFWSA-N -1 1 317.393 1.851 20 0 DDADMM CC(C)N(CCC(=O)Nc1cnn(-c2ccncc2)c1)C(=O)[O-] ZINC000738844841 601967450 /nfs/dbraw/zinc/96/74/50/601967450.db2.gz QMIVJVMSTKTYRJ-UHFFFAOYSA-N -1 1 317.349 1.984 20 0 DDADMM CC(C)Cn1nnnc1SCc1ccnc(-c2nnn[n-]2)c1 ZINC000824285014 607536712 /nfs/dbraw/zinc/53/67/12/607536712.db2.gz SJOZSJOKCXROJO-UHFFFAOYSA-N -1 1 317.382 1.197 20 0 DDADMM CC(C)Cn1nnnc1SCc1ccnc(-c2nn[n-]n2)c1 ZINC000824285014 607536714 /nfs/dbraw/zinc/53/67/14/607536714.db2.gz SJOZSJOKCXROJO-UHFFFAOYSA-N -1 1 317.382 1.197 20 0 DDADMM O=C([O-])Cc1csc(NC(=O)[C@@H]2CCCc3[nH]ncc32)n1 ZINC000833268958 602023818 /nfs/dbraw/zinc/02/38/18/602023818.db2.gz ITLHZIMOCXFKNM-MRVPVSSYSA-N -1 1 306.347 1.552 20 0 DDADMM CC(C)C[C@H]1CCC(=O)N(CN(C[C@H](C)C(=O)[O-])C2CC2)C1=O ZINC000826831759 602082071 /nfs/dbraw/zinc/08/20/71/602082071.db2.gz GRTYEGLNFMNHLT-QWHCGFSZSA-N -1 1 324.421 1.940 20 0 DDADMM N=C(NOCc1occc1C(=O)[O-])[C@H]1COc2ccccc2O1 ZINC000833078822 602160834 /nfs/dbraw/zinc/16/08/34/602160834.db2.gz RQPBCQNNTHZPTR-CYBMUJFWSA-N -1 1 318.285 1.816 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000739529680 602351277 /nfs/dbraw/zinc/35/12/77/602351277.db2.gz IAFHLKJHHGTXJG-STQMWFEESA-N -1 1 311.357 1.591 20 0 DDADMM C[C@@H](C(=O)NC1CCCC1)N1CCC(CN(C)C(=O)[O-])CC1 ZINC000738624576 602386289 /nfs/dbraw/zinc/38/62/89/602386289.db2.gz MIUZHNAMOASXBR-LBPRGKRZSA-N -1 1 311.426 1.756 20 0 DDADMM CCN1CCCC[C@@H]1C(=O)NCC1(NC(=O)[O-])CCCCC1 ZINC000739453859 602469049 /nfs/dbraw/zinc/46/90/49/602469049.db2.gz JBTXKHICFIVYMX-CYBMUJFWSA-N -1 1 311.426 1.948 20 0 DDADMM O=C([O-])N1CC[C@H](N[C@H]2CCN(c3ccccc3Cl)C2=O)C1 ZINC000740505049 602570962 /nfs/dbraw/zinc/57/09/62/602570962.db2.gz JGSSIBOEZZTPEA-JQWIXIFHSA-N -1 1 323.780 1.787 20 0 DDADMM C[C@@H]1CN([C@H]2CCN(c3ccccc3)C2=O)CC[C@@H]1NC(=O)[O-] ZINC000739175875 602759738 /nfs/dbraw/zinc/75/97/38/602759738.db2.gz LLQOZASNZPWERE-SNPRPXQTSA-N -1 1 317.389 1.770 20 0 DDADMM O=C([O-])NCc1ccc(C(=O)Nc2nc(-c3ccco3)n[nH]2)o1 ZINC000832710874 602838095 /nfs/dbraw/zinc/83/80/95/602838095.db2.gz XAGCSUOPDSMPFU-UHFFFAOYSA-N -1 1 317.261 1.678 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1CNC(=O)[C@H]1CCCCN1C ZINC000739205989 602839290 /nfs/dbraw/zinc/83/92/90/602839290.db2.gz NPVDVTAMANKJFK-TUAOUCFPSA-N -1 1 313.398 1.090 20 0 DDADMM Cc1cc(CNC(=O)N[C@H](C)[C@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000740073701 602911877 /nfs/dbraw/zinc/91/18/77/602911877.db2.gz RTJHMORZFWMAQH-MNOVXSKESA-N -1 1 309.370 1.296 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(-c3cccs3)[nH]n2)CCN1C(=O)[O-] ZINC000739164326 602933056 /nfs/dbraw/zinc/93/30/56/602933056.db2.gz JNLUXNKVKPWEIS-VIFPVBQESA-N -1 1 320.374 1.963 20 0 DDADMM O=C([O-])N1CCC[C@H]1CC(=O)Nc1ccn(-c2ccncc2)n1 ZINC000831346764 602937413 /nfs/dbraw/zinc/93/74/13/602937413.db2.gz WIAKQOLTLMTOKG-LBPRGKRZSA-N -1 1 315.333 1.738 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1CCCC[C@H]1[C@H]1CCCN1C(=O)[O-] ZINC000739729896 603047763 /nfs/dbraw/zinc/04/77/63/603047763.db2.gz NTPFRZDSMBZAGB-BFHYXJOUSA-N -1 1 309.410 1.604 20 0 DDADMM O=C([O-])N1CC[C@H](N[C@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000740504979 603088768 /nfs/dbraw/zinc/08/87/68/603088768.db2.gz HMDXWGYQKBVEAF-AAEUAGOBSA-N -1 1 323.780 1.787 20 0 DDADMM O=C([O-])N1CCC[C@H](CNCc2nnc3n2CCCCC3)C1 ZINC000740513537 603265264 /nfs/dbraw/zinc/26/52/64/603265264.db2.gz WFTUNMUPCMLZDN-GFCCVEGCSA-N -1 1 307.398 1.484 20 0 DDADMM CN1CCN(C)[C@@H](CNC(=O)Nc2ccc(NC(=O)[O-])cc2)C1 ZINC000737526826 603318629 /nfs/dbraw/zinc/31/86/29/603318629.db2.gz XGYPQVMDWIJJAN-ZDUSSCGKSA-N -1 1 321.381 1.144 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc3c[nH]nc32)CC[C@H]1NC(=O)[O-] ZINC000739163540 603331808 /nfs/dbraw/zinc/33/18/08/603331808.db2.gz ARUBKUWZUCNFCI-BXKDBHETSA-N -1 1 302.334 1.681 20 0 DDADMM CCN(CC(=O)NC[C@H](c1cccc(F)c1)N(C)C)C(=O)[O-] ZINC000739393441 603421677 /nfs/dbraw/zinc/42/16/77/603421677.db2.gz QMFFODZRSOWNOA-CYBMUJFWSA-N -1 1 311.357 1.545 20 0 DDADMM CN(C[C@H]1CN(c2ccccc2)C(=O)O1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828267754 603504603 /nfs/dbraw/zinc/50/46/03/603504603.db2.gz YMODQGJELGKZMN-KGLIPLIRSA-N -1 1 319.361 1.696 20 0 DDADMM O=C([O-])N1CC[C@H](C(=O)NCCc2nc3ccc(F)cc3[nH]2)C1 ZINC000831705056 603737945 /nfs/dbraw/zinc/73/79/45/603737945.db2.gz YBTJFEWXYRKIPC-VIFPVBQESA-N -1 1 320.324 1.361 20 0 DDADMM C[C@H](NC(=O)[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)[O-])c1nnc[nH]1 ZINC000825081943 603798663 /nfs/dbraw/zinc/79/86/63/603798663.db2.gz IRXRBXRTHUGUEL-VLEAKVRGSA-N -1 1 307.354 1.293 20 0 DDADMM Cc1nn(C)c2ncc(CN3CC[C@H](N(C)C(=O)[O-])C3)cc12 ZINC000830862052 603805105 /nfs/dbraw/zinc/80/51/05/603805105.db2.gz SPGVKOUHJKNQBW-LBPRGKRZSA-N -1 1 303.366 1.461 20 0 DDADMM O=C([O-])N1CCc2cccc(CNC[C@H](O)C(F)(F)F)c21 ZINC000832086884 603855521 /nfs/dbraw/zinc/85/55/21/603855521.db2.gz JSTDMFSUEUIHNY-JTQLQIEISA-N -1 1 304.268 1.740 20 0 DDADMM O=C([O-])N1CCc2cccc(CN[C@H]3CC(=O)N(C4CC4)C3)c21 ZINC000832086224 603864965 /nfs/dbraw/zinc/86/49/65/603864965.db2.gz CCRZCCAWELROMI-ZDUSSCGKSA-N -1 1 315.373 1.580 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1Cc1ccc(-n2ccnc2)nc1 ZINC000832573450 603970584 /nfs/dbraw/zinc/97/05/84/603970584.db2.gz OOOXQUGDXCAXED-CYBMUJFWSA-N -1 1 301.350 1.499 20 0 DDADMM CC(C)N1CCC[C@H](N2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])C1=O ZINC000824363888 603974095 /nfs/dbraw/zinc/97/40/95/603974095.db2.gz YAYQVKVFJRSXBU-RDBSUJKOSA-N -1 1 309.410 1.603 20 0 DDADMM CCC[N@H+](CC)CCNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000826907972 604004115 /nfs/dbraw/zinc/00/41/15/604004115.db2.gz XLWMUTKTYJDUCY-UHFFFAOYSA-N -1 1 309.366 1.944 20 0 DDADMM CCC[N@@H+](CC)CCNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000826907972 604004119 /nfs/dbraw/zinc/00/41/19/604004119.db2.gz XLWMUTKTYJDUCY-UHFFFAOYSA-N -1 1 309.366 1.944 20 0 DDADMM C[C@@H](CN(C)C(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000824855957 604085466 /nfs/dbraw/zinc/08/54/66/604085466.db2.gz WZJJBJBZJJUKLB-ZDUSSCGKSA-N -1 1 319.405 1.407 20 0 DDADMM COC(=O)CN(C[C@H]1CCCN(C(=O)[O-])C1)C1CCOCC1 ZINC000828684343 604111672 /nfs/dbraw/zinc/11/16/72/604111672.db2.gz SZSPMDZBBDDIOS-LBPRGKRZSA-N -1 1 314.382 1.030 20 0 DDADMM O=S(=O)(c1ccc(F)cc1-c1nn[n-]n1)N1C[C@H]2CCC[C@@H]21 ZINC000826495075 607674635 /nfs/dbraw/zinc/67/46/35/607674635.db2.gz BLMHVDORCADTPE-KCJUWKMLSA-N -1 1 323.353 1.179 20 0 DDADMM COc1ccc(OC)c([C@@H](O)CN2CC[C@@H](NC(=O)[O-])C2)c1 ZINC000739932986 604377317 /nfs/dbraw/zinc/37/73/17/604377317.db2.gz WZXWJSDIQKXRLS-MFKMUULPSA-N -1 1 310.350 1.079 20 0 DDADMM Cn1ccnc1[C@@H](O)[C@H]1CCCN(Cc2cc(C(=O)[O-])co2)C1 ZINC000833059416 604425085 /nfs/dbraw/zinc/42/50/85/604425085.db2.gz SRPTXLCRPRGSJN-FZMZJTMJSA-N -1 1 319.361 1.657 20 0 DDADMM CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)c1cccc2c[nH]nc21 ZINC000827011346 604448655 /nfs/dbraw/zinc/44/86/55/604448655.db2.gz OMBANWCSYIGCDF-GFCCVEGCSA-N -1 1 305.334 1.513 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@@H](C(=O)Nc2ccc3[nH]nnc3c2)C1 ZINC000832324345 604526966 /nfs/dbraw/zinc/52/69/66/604526966.db2.gz HQEJRVLTLPSCCH-BDAKNGLRSA-N -1 1 303.322 1.723 20 0 DDADMM Cc1ccc(NC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cn1 ZINC000832945682 604531349 /nfs/dbraw/zinc/53/13/49/604531349.db2.gz HTBAIZYXJXZQFF-GFCCVEGCSA-N -1 1 306.366 1.403 20 0 DDADMM CN(C)[C@@H](CNC(=O)NC1CN(C(=O)[O-])C1)c1ccsc1 ZINC000827994492 604571210 /nfs/dbraw/zinc/57/12/10/604571210.db2.gz LLNBJCOSPDJGOR-NSHDSACASA-N -1 1 312.395 1.012 20 0 DDADMM Cc1ccc2c([n-]cc(C(=O)N3CC[C@@H](NC(=O)[O-])C3)c2=O)[nH+]1 ZINC000740157224 604584233 /nfs/dbraw/zinc/58/42/33/604584233.db2.gz XPAQIVVOZIZHGW-SECBINFHSA-N -1 1 316.317 1.126 20 0 DDADMM CC(=O)NC[C@H]1CCCN(Cc2ccc(C(=O)[O-])cc2F)C1 ZINC000833396792 604751970 /nfs/dbraw/zinc/75/19/70/604751970.db2.gz PVQFCCCLQQIVAB-GFCCVEGCSA-N -1 1 308.353 1.872 20 0 DDADMM CCc1[nH]c(C(=O)N2CCN(C)[C@@H](C)[C@@H]2C)c(C)c1C(=O)[O-] ZINC000833667041 604838680 /nfs/dbraw/zinc/83/86/80/604838680.db2.gz JBFWVOJXFGRAHS-QWRGUYRKSA-N -1 1 307.394 1.748 20 0 DDADMM C[C@@H](NC(=O)CN1CCC(C)CC1)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000825945000 604850983 /nfs/dbraw/zinc/85/09/83/604850983.db2.gz RXWXFNQYNYPIIM-ZIAGYGMSSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000833586811 604851616 /nfs/dbraw/zinc/85/16/16/604851616.db2.gz HAXKHVVFOJTLAO-NWDGAFQWSA-N -1 1 305.378 1.348 20 0 DDADMM C[C@@H]1[C@@H](C)N(CC(=O)Nc2ccc(C(=O)[O-])cc2)CCN1C ZINC000833588969 604854685 /nfs/dbraw/zinc/85/46/85/604854685.db2.gz SVHLALDYZMOXMD-VXGBXAGGSA-N -1 1 305.378 1.348 20 0 DDADMM CN1CCC[C@@H](NC(=O)Nc2nc(CCC(=O)[O-])cs2)C1 ZINC000830934893 604969648 /nfs/dbraw/zinc/96/96/48/604969648.db2.gz MDWUSKVWOOOWQG-SECBINFHSA-N -1 1 312.395 1.376 20 0 DDADMM O=C([O-])C[C@H]1CCCN1CN1C(=O)NC2(CCCCCC2)C1=O ZINC000833210316 604979237 /nfs/dbraw/zinc/97/92/37/604979237.db2.gz HOVHMMCMJNIIKL-GFCCVEGCSA-N -1 1 323.393 1.528 20 0 DDADMM COCCOc1ccc(CN[C@H](C(=O)[O-])c2cccnc2)cn1 ZINC000831773357 604993176 /nfs/dbraw/zinc/99/31/76/604993176.db2.gz BLPGIMXIDAULEU-HNNXBMFYSA-N -1 1 317.345 1.417 20 0 DDADMM COC(=O)[C@H](C)CN(C)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000826098573 607727363 /nfs/dbraw/zinc/72/73/63/607727363.db2.gz ISZPNYGCSTWZMK-SSDOTTSWSA-N -1 1 310.745 1.160 20 0 DDADMM COC(=O)[C@H](C)CN(C)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000826098573 607727365 /nfs/dbraw/zinc/72/73/65/607727365.db2.gz ISZPNYGCSTWZMK-SSDOTTSWSA-N -1 1 310.745 1.160 20 0 DDADMM CCN(C[C@@H]1CCCO1)[C@@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000833654186 605044079 /nfs/dbraw/zinc/04/40/79/605044079.db2.gz FTUSDAQATPSJES-JSGCOSHPSA-N -1 1 312.410 1.199 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000826108233 605127246 /nfs/dbraw/zinc/12/72/46/605127246.db2.gz DXNJWDVWHMJLON-MKPLZMMCSA-N -1 1 322.365 1.407 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cc2ccccn2c1 ZINC000833624557 605155691 /nfs/dbraw/zinc/15/56/91/605155691.db2.gz JANIEQOBRHAMJZ-ZDUSSCGKSA-N -1 1 315.373 1.560 20 0 DDADMM COc1ccc(CCCN(C)CN2C[C@H](C(=O)[O-])CC2=O)cc1 ZINC000833731963 605171523 /nfs/dbraw/zinc/17/15/23/605171523.db2.gz RPACLYJFSZMLDE-CQSZACIVSA-N -1 1 320.389 1.450 20 0 DDADMM C[C@@H]1[C@@H](c2ccccc2)CCN1CN1C[C@H](C(=O)[O-])CC1=O ZINC000833586361 605176468 /nfs/dbraw/zinc/17/64/68/605176468.db2.gz FLIYFURGKVXYEY-YUELXQCFSA-N -1 1 302.374 1.755 20 0 DDADMM CC(=O)N1CCCN(CCOc2ccccc2C(=O)[O-])CC1 ZINC000387002186 605201510 /nfs/dbraw/zinc/20/15/10/605201510.db2.gz HYQLUIYNUCMGES-UHFFFAOYSA-N -1 1 306.362 1.318 20 0 DDADMM CN(CC(=O)N(C)C1CCN(C(=O)[O-])CC1)[C@@H]1CCSC1 ZINC000833869718 605382307 /nfs/dbraw/zinc/38/23/07/605382307.db2.gz FLXBUZZXCNXNCK-GFCCVEGCSA-N -1 1 315.439 1.025 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)Nc1cncc(C(=O)[O-])c1 ZINC000833472801 605557049 /nfs/dbraw/zinc/55/70/49/605557049.db2.gz ZZTNSMPYCMSYTQ-ZDUSSCGKSA-N -1 1 306.366 1.584 20 0 DDADMM O=C([O-])N[C@H]1CCN([C@H]2CCCN(Cc3ccccc3)C2=O)C1 ZINC000834182961 605602436 /nfs/dbraw/zinc/60/24/36/605602436.db2.gz MDNCPBPJHXNIBV-GJZGRUSLSA-N -1 1 317.389 1.520 20 0 DDADMM O=C([O-])N1CC(C(=O)NC[C@H](c2ccco2)N2CCCC2)C1 ZINC000834021860 605605542 /nfs/dbraw/zinc/60/55/42/605605542.db2.gz LDQUUSCCMVZEHF-GFCCVEGCSA-N -1 1 307.350 1.143 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@@H]2CCc3nc[nH]c3C2)cc1 ZINC000834255745 605991625 /nfs/dbraw/zinc/99/16/25/605991625.db2.gz JEBMHFQKNMQZIC-LLVKDONJSA-N -1 1 300.318 1.787 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])C1CCSCC1 ZINC000823294579 606293360 /nfs/dbraw/zinc/29/33/60/606293360.db2.gz HYODJCQHPZXJFM-UHFFFAOYSA-N -1 1 305.363 1.654 20 0 DDADMM O=C(CCc1nccs1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822987283 606298304 /nfs/dbraw/zinc/29/83/04/606298304.db2.gz VFTPPCBQYSFLEX-UHFFFAOYSA-N -1 1 316.346 1.600 20 0 DDADMM CCn1cnnc1CCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821566804 606466703 /nfs/dbraw/zinc/46/67/03/606466703.db2.gz VACPJTDHNOHWHI-UHFFFAOYSA-N -1 1 319.760 1.181 20 0 DDADMM CCn1cnnc1CCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821566804 606466705 /nfs/dbraw/zinc/46/67/05/606466705.db2.gz VACPJTDHNOHWHI-UHFFFAOYSA-N -1 1 319.760 1.181 20 0 DDADMM C[C@H](O)C1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000820468488 606566393 /nfs/dbraw/zinc/56/63/93/606566393.db2.gz ULMJZBQHGZKEFB-QMMMGPOBSA-N -1 1 307.379 1.161 20 0 DDADMM C[C@H](O)C1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000820468488 606566395 /nfs/dbraw/zinc/56/63/95/606566395.db2.gz ULMJZBQHGZKEFB-QMMMGPOBSA-N -1 1 307.379 1.161 20 0 DDADMM CC[C@H](C)[C@](C)(O)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820862937 606574401 /nfs/dbraw/zinc/57/44/01/606574401.db2.gz RYXDVMXMUJOIMT-ISVAXAHUSA-N -1 1 309.395 1.455 20 0 DDADMM CC[C@H](C)[C@](C)(O)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820862937 606574403 /nfs/dbraw/zinc/57/44/03/606574403.db2.gz RYXDVMXMUJOIMT-ISVAXAHUSA-N -1 1 309.395 1.455 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1(C)C ZINC000821678274 606605252 /nfs/dbraw/zinc/60/52/52/606605252.db2.gz MAKLSKGCGAISIC-NXEZZACHSA-N -1 1 307.379 1.472 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1(C)C ZINC000821678274 606605253 /nfs/dbraw/zinc/60/52/53/606605253.db2.gz MAKLSKGCGAISIC-NXEZZACHSA-N -1 1 307.379 1.472 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)[C@@H]1COc2ccccc21 ZINC000823435860 606647630 /nfs/dbraw/zinc/64/76/30/606647630.db2.gz BRRABHCXTMGVKQ-GFCCVEGCSA-N -1 1 323.312 1.481 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)[C@@H]1COc2ccccc21 ZINC000823435860 606647631 /nfs/dbraw/zinc/64/76/31/606647631.db2.gz BRRABHCXTMGVKQ-GFCCVEGCSA-N -1 1 323.312 1.481 20 0 DDADMM CC(C)CC1(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000820063751 606810959 /nfs/dbraw/zinc/81/09/59/606810959.db2.gz YGKBYZWXNSOEEG-UHFFFAOYSA-N -1 1 300.366 1.818 20 0 DDADMM CC(C)CC1(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000820063751 606810961 /nfs/dbraw/zinc/81/09/61/606810961.db2.gz YGKBYZWXNSOEEG-UHFFFAOYSA-N -1 1 300.366 1.818 20 0 DDADMM C[C@H]1N(c2ccc(Cl)c(-c3nnn[n-]3)n2)CCOC1(C)C ZINC000820818643 606876316 /nfs/dbraw/zinc/87/63/16/606876316.db2.gz AFECOTXWOXCQJE-MRVPVSSYSA-N -1 1 308.773 1.919 20 0 DDADMM C[C@H]1N(c2ccc(Cl)c(-c3nn[n-]n3)n2)CCOC1(C)C ZINC000820818643 606876319 /nfs/dbraw/zinc/87/63/19/606876319.db2.gz AFECOTXWOXCQJE-MRVPVSSYSA-N -1 1 308.773 1.919 20 0 DDADMM c1csc(N2CCN(c3nccnc3-c3nnn[n-]3)CC2)c1 ZINC000823815849 606876492 /nfs/dbraw/zinc/87/64/92/606876492.db2.gz KSJIXZAZOXFWBK-UHFFFAOYSA-N -1 1 314.378 1.045 20 0 DDADMM c1csc(N2CCN(c3nccnc3-c3nn[n-]n3)CC2)c1 ZINC000823815849 606876494 /nfs/dbraw/zinc/87/64/94/606876494.db2.gz KSJIXZAZOXFWBK-UHFFFAOYSA-N -1 1 314.378 1.045 20 0 DDADMM C[C@H]1CCC[C@H](OCC(=O)Nc2ncc(-c3nnn[n-]3)s2)C1 ZINC000820697493 607104025 /nfs/dbraw/zinc/10/40/25/607104025.db2.gz HWXMMOXZUCNORR-IUCAKERBSA-N -1 1 322.394 1.857 20 0 DDADMM C[C@H]1CCC[C@H](OCC(=O)Nc2ncc(-c3nn[n-]n3)s2)C1 ZINC000820697493 607104026 /nfs/dbraw/zinc/10/40/26/607104026.db2.gz HWXMMOXZUCNORR-IUCAKERBSA-N -1 1 322.394 1.857 20 0 DDADMM Cn1nccc1CCOC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822709116 607133298 /nfs/dbraw/zinc/13/32/98/607133298.db2.gz GTNGQQXAVKVBIH-UHFFFAOYSA-N -1 1 304.335 1.061 20 0 DDADMM Cn1nccc1CCOC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822709116 607133300 /nfs/dbraw/zinc/13/33/00/607133300.db2.gz GTNGQQXAVKVBIH-UHFFFAOYSA-N -1 1 304.335 1.061 20 0 DDADMM CCc1cc(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)oc1CC ZINC000821469744 607238733 /nfs/dbraw/zinc/23/87/33/607238733.db2.gz JBLZIKLFGMSRGX-UHFFFAOYSA-N -1 1 315.337 1.570 20 0 DDADMM CCc1cc(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)oc1CC ZINC000821469744 607238734 /nfs/dbraw/zinc/23/87/34/607238734.db2.gz JBLZIKLFGMSRGX-UHFFFAOYSA-N -1 1 315.337 1.570 20 0 DDADMM CC(C)c1noc(CCCNc2ccc(-c3nnn[n-]3)nn2)n1 ZINC000824371747 607989725 /nfs/dbraw/zinc/98/97/25/607989725.db2.gz QOWCRSYJRVAQGQ-UHFFFAOYSA-N -1 1 315.341 1.208 20 0 DDADMM CC(C)c1noc(CCCNc2ccc(-c3nn[n-]n3)nn2)n1 ZINC000824371747 607989726 /nfs/dbraw/zinc/98/97/26/607989726.db2.gz QOWCRSYJRVAQGQ-UHFFFAOYSA-N -1 1 315.341 1.208 20 0 DDADMM CCCCC[C@@H](O)CC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000825268388 607998078 /nfs/dbraw/zinc/99/80/78/607998078.db2.gz UKXYQWZAFZKUEX-LLVKDONJSA-N -1 1 319.365 1.842 20 0 DDADMM Fc1cccc(-c2cnc(Cn3ccnc3-c3nnn[n-]3)o2)c1 ZINC000826376583 608014692 /nfs/dbraw/zinc/01/46/92/608014692.db2.gz JXWXFGYWMATUOM-UHFFFAOYSA-N -1 1 311.280 1.906 20 0 DDADMM Fc1cccc(-c2cnc(Cn3ccnc3-c3nn[n-]n3)o2)c1 ZINC000826376583 608014693 /nfs/dbraw/zinc/01/46/93/608014693.db2.gz JXWXFGYWMATUOM-UHFFFAOYSA-N -1 1 311.280 1.906 20 0 DDADMM C[C@@H]1CC(=O)CC[C@@H]1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824869113 608029388 /nfs/dbraw/zinc/02/93/88/608029388.db2.gz YISMXVGUBDKFPW-SKDRFNHKSA-N -1 1 315.333 1.310 20 0 DDADMM C[C@@H]1CC(=O)CC[C@@H]1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824869113 608029389 /nfs/dbraw/zinc/02/93/89/608029389.db2.gz YISMXVGUBDKFPW-SKDRFNHKSA-N -1 1 315.333 1.310 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCOC[C@H]1C1CC1 ZINC000826472350 608040795 /nfs/dbraw/zinc/04/07/95/608040795.db2.gz HSFJICJMXZHIRM-VIFPVBQESA-N -1 1 305.363 1.179 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCOC[C@H]1C1CC1 ZINC000826472350 608040796 /nfs/dbraw/zinc/04/07/96/608040796.db2.gz HSFJICJMXZHIRM-VIFPVBQESA-N -1 1 305.363 1.179 20 0 DDADMM CCCN(CC(C)(C)O)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825307718 608190480 /nfs/dbraw/zinc/19/04/80/608190480.db2.gz NLNYHNVZQYBMSC-UHFFFAOYSA-N -1 1 316.818 1.964 20 0 DDADMM CCCN(CC(C)(C)O)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825307718 608190481 /nfs/dbraw/zinc/19/04/81/608190481.db2.gz NLNYHNVZQYBMSC-UHFFFAOYSA-N -1 1 316.818 1.964 20 0 DDADMM Cc1nnc(CSCc2ccnc(-c3nnn[n-]3)c2)s1 ZINC000826335791 608300279 /nfs/dbraw/zinc/30/02/79/608300279.db2.gz BQXVVMMYSOPXNV-UHFFFAOYSA-N -1 1 305.392 1.855 20 0 DDADMM Cc1nnc(CSCc2ccnc(-c3nn[n-]n3)c2)s1 ZINC000826335791 608300281 /nfs/dbraw/zinc/30/02/81/608300281.db2.gz BQXVVMMYSOPXNV-UHFFFAOYSA-N -1 1 305.392 1.855 20 0 DDADMM Cn1cncc1[C@@H]1CCCCN1c1ccc(-c2nn[n-]n2)nn1 ZINC000826365205 608408496 /nfs/dbraw/zinc/40/84/96/608408496.db2.gz FPZJSGWTFBTECV-NSHDSACASA-N -1 1 311.353 1.122 20 0 DDADMM COc1ccc(CCNc2ccc(-c3nnn[n-]3)nn2)cc1F ZINC000826192621 608412220 /nfs/dbraw/zinc/41/22/20/608412220.db2.gz UNOILMOQBDJHNE-UHFFFAOYSA-N -1 1 315.312 1.459 20 0 DDADMM COc1ccc(CCNc2ccc(-c3nn[n-]n3)nn2)cc1F ZINC000826192621 608412222 /nfs/dbraw/zinc/41/22/22/608412222.db2.gz UNOILMOQBDJHNE-UHFFFAOYSA-N -1 1 315.312 1.459 20 0 DDADMM CCO[C@H]1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C12CCC2 ZINC000825583019 608422588 /nfs/dbraw/zinc/42/25/88/608422588.db2.gz QNDNNFNKYAEPAB-QWRGUYRKSA-N -1 1 301.354 1.416 20 0 DDADMM CCO[C@H]1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C12CCC2 ZINC000825583019 608422589 /nfs/dbraw/zinc/42/25/89/608422589.db2.gz QNDNNFNKYAEPAB-QWRGUYRKSA-N -1 1 301.354 1.416 20 0 DDADMM Cc1ccccc1[C@H]1CN(c2ccc(-c3nnn[n-]3)nn2)CCO1 ZINC000826307179 608423217 /nfs/dbraw/zinc/42/32/17/608423217.db2.gz YIYWANQZXOMJEA-CQSZACIVSA-N -1 1 323.360 1.543 20 0 DDADMM Cc1ccccc1[C@H]1CN(c2ccc(-c3nn[n-]n3)nn2)CCO1 ZINC000826307179 608423219 /nfs/dbraw/zinc/42/32/19/608423219.db2.gz YIYWANQZXOMJEA-CQSZACIVSA-N -1 1 323.360 1.543 20 0 DDADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)Oc1ccc(F)cc1 ZINC000824429886 608424062 /nfs/dbraw/zinc/42/40/62/608424062.db2.gz ZZAVPZAHQIFGIK-VIFPVBQESA-N -1 1 315.312 1.675 20 0 DDADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)Oc1ccc(F)cc1 ZINC000824429886 608424064 /nfs/dbraw/zinc/42/40/64/608424064.db2.gz ZZAVPZAHQIFGIK-VIFPVBQESA-N -1 1 315.312 1.675 20 0 DDADMM Cn1cc([C@H](Nc2ccc(-c3nnn[n-]3)nn2)C(C)(C)C)cn1 ZINC000826360007 608430396 /nfs/dbraw/zinc/43/03/96/608430396.db2.gz VFEPVZYJJPOJLV-LBPRGKRZSA-N -1 1 313.369 1.590 20 0 DDADMM Cn1cc([C@H](Nc2ccc(-c3nn[n-]n3)nn2)C(C)(C)C)cn1 ZINC000826360007 608430398 /nfs/dbraw/zinc/43/03/98/608430398.db2.gz VFEPVZYJJPOJLV-LBPRGKRZSA-N -1 1 313.369 1.590 20 0 DDADMM CC(C)(CNc1ccc(-c2nnn[n-]2)nn1)[C@H]1CCCCO1 ZINC000824157534 608430773 /nfs/dbraw/zinc/43/07/73/608430773.db2.gz IYNLGWSHGKNMQF-LLVKDONJSA-N -1 1 303.370 1.664 20 0 DDADMM CC(C)(CNc1ccc(-c2nn[n-]n2)nn1)[C@H]1CCCCO1 ZINC000824157534 608430775 /nfs/dbraw/zinc/43/07/75/608430775.db2.gz IYNLGWSHGKNMQF-LLVKDONJSA-N -1 1 303.370 1.664 20 0 DDADMM c1cc(N2CCOC3(C2)CCCCCC3)nnc1-c1nnn[n-]1 ZINC000826517121 608433689 /nfs/dbraw/zinc/43/36/89/608433689.db2.gz RKCWONFHEXHDCW-UHFFFAOYSA-N -1 1 315.381 1.586 20 0 DDADMM c1cc(N2CCOC3(C2)CCCCCC3)nnc1-c1nn[n-]n1 ZINC000826517121 608433690 /nfs/dbraw/zinc/43/36/90/608433690.db2.gz RKCWONFHEXHDCW-UHFFFAOYSA-N -1 1 315.381 1.586 20 0 DDADMM C[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)[C@H](O)Cc1ccccc1 ZINC000824649872 608435305 /nfs/dbraw/zinc/43/53/05/608435305.db2.gz NWBWVJWTORGITP-ZWNOBZJWSA-N -1 1 311.349 1.061 20 0 DDADMM C[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)[C@H](O)Cc1ccccc1 ZINC000824649872 608435306 /nfs/dbraw/zinc/43/53/06/608435306.db2.gz NWBWVJWTORGITP-ZWNOBZJWSA-N -1 1 311.349 1.061 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)CCO1 ZINC000824079909 608438132 /nfs/dbraw/zinc/43/81/32/608438132.db2.gz SEBAYRZKMJQHHF-KOLCDFICSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)CCO1 ZINC000824079909 608438134 /nfs/dbraw/zinc/43/81/34/608438134.db2.gz SEBAYRZKMJQHHF-KOLCDFICSA-N -1 1 303.370 1.662 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccc2nc[nH]c2n1 ZINC000826451140 608874885 /nfs/dbraw/zinc/87/48/85/608874885.db2.gz RNEAABCAGBENMH-UHFFFAOYSA-N -1 1 322.288 1.096 20 0 DDADMM C[C@@H](NC(=O)Cn1ccnc1-c1nnn[n-]1)c1ccc(F)cc1 ZINC000824477679 608889275 /nfs/dbraw/zinc/88/92/75/608889275.db2.gz CESBUNUMGCOUKS-SECBINFHSA-N -1 1 315.312 1.080 20 0 DDADMM C[C@@H](NC(=O)Cn1ccnc1-c1nn[n-]n1)c1ccc(F)cc1 ZINC000824477679 608889279 /nfs/dbraw/zinc/88/92/79/608889279.db2.gz CESBUNUMGCOUKS-SECBINFHSA-N -1 1 315.312 1.080 20 0 DDADMM C[C@@H]1Cc2ccccc2N1C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000825012586 608893668 /nfs/dbraw/zinc/89/36/68/608893668.db2.gz JUXUNMNXKMDSAS-SNVBAGLBSA-N -1 1 309.333 1.041 20 0 DDADMM C[C@@H]1Cc2ccccc2N1C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000825012586 608893669 /nfs/dbraw/zinc/89/36/69/608893669.db2.gz JUXUNMNXKMDSAS-SNVBAGLBSA-N -1 1 309.333 1.041 20 0 DDADMM COC[C@@H](CNc1cc(-c2nnn[n-]2)nc2ccccc21)OC ZINC000826149390 609314967 /nfs/dbraw/zinc/31/49/67/609314967.db2.gz GQAAREFUKVQDAS-SNVBAGLBSA-N -1 1 314.349 1.488 20 0 DDADMM COC[C@@H](CNc1cc(-c2nn[n-]n2)nc2ccccc21)OC ZINC000826149390 609314969 /nfs/dbraw/zinc/31/49/69/609314969.db2.gz GQAAREFUKVQDAS-SNVBAGLBSA-N -1 1 314.349 1.488 20 0 DDADMM CN(C[C@@H]1CCCC[C@H]1O)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825908125 609323825 /nfs/dbraw/zinc/32/38/25/609323825.db2.gz FLBNTYNZQBAIGO-GXSJLCMTSA-N -1 1 322.800 1.902 20 0 DDADMM CN(C[C@@H]1CCCC[C@H]1O)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825908125 609323827 /nfs/dbraw/zinc/32/38/27/609323827.db2.gz FLBNTYNZQBAIGO-GXSJLCMTSA-N -1 1 322.800 1.902 20 0 DDADMM CC[C@@H]1CC[C@@H](C)N1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825194747 609469650 /nfs/dbraw/zinc/46/96/50/609469650.db2.gz SNYGWRSVIUEHNZ-PSASIEDQSA-N -1 1 304.354 1.495 20 0 DDADMM CC[C@@H]1CC[C@@H](C)N1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825194747 609469652 /nfs/dbraw/zinc/46/96/52/609469652.db2.gz SNYGWRSVIUEHNZ-PSASIEDQSA-N -1 1 304.354 1.495 20 0 DDADMM O=C(NC[C@H]1CCCCS1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826434990 609513380 /nfs/dbraw/zinc/51/33/80/609513380.db2.gz DDSRORRRMCSBEO-SNVBAGLBSA-N -1 1 304.379 1.277 20 0 DDADMM O=C(NC[C@H]1CCCCS1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826434990 609513382 /nfs/dbraw/zinc/51/33/82/609513382.db2.gz DDSRORRRMCSBEO-SNVBAGLBSA-N -1 1 304.379 1.277 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NCC[C@H]1CCOC1 ZINC000826523504 609604332 /nfs/dbraw/zinc/60/43/32/609604332.db2.gz OQMQLPRJYGEAGZ-JTQLQIEISA-N -1 1 311.349 1.648 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NCC[C@H]1CCOC1 ZINC000826523504 609604335 /nfs/dbraw/zinc/60/43/35/609604335.db2.gz OQMQLPRJYGEAGZ-JTQLQIEISA-N -1 1 311.349 1.648 20 0 DDADMM CC[C@H]1C[C@@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCO1 ZINC000825184444 609623756 /nfs/dbraw/zinc/62/37/56/609623756.db2.gz UURNVECTDRGETN-RYUDHWBXSA-N -1 1 317.349 1.510 20 0 DDADMM CC[C@H]1C[C@@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCO1 ZINC000825184444 609623757 /nfs/dbraw/zinc/62/37/57/609623757.db2.gz UURNVECTDRGETN-RYUDHWBXSA-N -1 1 317.349 1.510 20 0 DDADMM O=C(COC(=O)CCC1CCOCC1)[N-]C(=O)c1ccccc1 ZINC000745653481 699988514 /nfs/dbraw/zinc/98/85/14/699988514.db2.gz CVXGUBWABFJUBW-UHFFFAOYSA-N -1 1 319.357 1.693 20 0 DDADMM C[C@H]1CC[C@H](CCC(=O)OCC(=O)[N-]C(=O)c2ccccc2)O1 ZINC000745702948 699990464 /nfs/dbraw/zinc/99/04/64/699990464.db2.gz GHOZBBLFNNIIIV-GXTWGEPZSA-N -1 1 319.357 1.834 20 0 DDADMM CC(=O)N1CCC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC000973681082 695509891 /nfs/dbraw/zinc/50/98/91/695509891.db2.gz BTRRLOGXLDMOGU-QWHCGFSZSA-N -1 1 303.362 1.260 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396587 695970745 /nfs/dbraw/zinc/97/07/45/695970745.db2.gz FGTDRGPLJJMWKF-NMKXLXIOSA-N -1 1 317.389 1.266 20 0 DDADMM O=C(CCc1ncc[nH]1)Nc1nc(Br)ccc1[O-] ZINC000798175475 700046841 /nfs/dbraw/zinc/04/68/41/700046841.db2.gz CRRBITWWLSVGSB-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM COc1ccc(NC(=S)NC2CC2)cc1[N-]S(C)(=O)=O ZINC000044383678 696163946 /nfs/dbraw/zinc/16/39/46/696163946.db2.gz KKEKLFZROAHBSX-UHFFFAOYSA-N -1 1 315.420 1.516 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1ccnc2ccnn21 ZINC000747392616 700067430 /nfs/dbraw/zinc/06/74/30/700067430.db2.gz IDFJLRSAKVHFFN-UHFFFAOYSA-N -1 1 312.333 1.909 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)c1cnn(C)c1 ZINC000747404384 700068037 /nfs/dbraw/zinc/06/80/37/700068037.db2.gz DEXLIPHBVWALLV-SECBINFHSA-N -1 1 303.366 1.839 20 0 DDADMM Cc1ccc(OCC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000747470484 700072131 /nfs/dbraw/zinc/07/21/31/700072131.db2.gz NBOHUFMCZXZULO-MRXNPFEDSA-N -1 1 323.356 1.793 20 0 DDADMM CN(C[C@H]1CCN1C(=O)[C@H]1CC1(C)C)C(=O)c1ncccc1[O-] ZINC000977623723 696238225 /nfs/dbraw/zinc/23/82/25/696238225.db2.gz ZKUBRRRXUBDXJR-VXGBXAGGSA-N -1 1 317.389 1.506 20 0 DDADMM Cn1ccc2c1cccc2C(=O)NCc1n[n-]c(=S)n1C ZINC000066625115 696354103 /nfs/dbraw/zinc/35/41/03/696354103.db2.gz FEMUSDJIYRXXCF-UHFFFAOYSA-N -1 1 301.375 1.899 20 0 DDADMM Cc1cnn(CCC(=O)NCc2n[n-]c(=S)n2C2CC2)c1 ZINC000066638141 696354378 /nfs/dbraw/zinc/35/43/78/696354378.db2.gz CZDKPZLBSLBKIM-UHFFFAOYSA-N -1 1 306.395 1.487 20 0 DDADMM CC(=O)NCc1ccc(C(=O)[N-]NC(=O)c2ccccc2)s1 ZINC000067019242 696358245 /nfs/dbraw/zinc/35/82/45/696358245.db2.gz HZHHKBYOSDZYBT-UHFFFAOYSA-N -1 1 317.370 1.459 20 0 DDADMM Cn1c(CNC(=O)c2cc(F)c(F)c(F)c2)n[n-]c1=S ZINC000067050160 696358364 /nfs/dbraw/zinc/35/83/64/696358364.db2.gz DNSPGHIKDPYXDM-UHFFFAOYSA-N -1 1 302.281 1.825 20 0 DDADMM O=C(N[C@H]1C[C@@H](CNC(=O)C2CC=CC2)C1)c1ncccc1[O-] ZINC000978668979 696437201 /nfs/dbraw/zinc/43/72/01/696437201.db2.gz HAEWMZRMPUDVRH-BJHJDKERSA-N -1 1 315.373 1.378 20 0 DDADMM O=C(COC1CCCC1)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000078899664 696456326 /nfs/dbraw/zinc/45/63/26/696456326.db2.gz WXWBDACCMFJVCK-UHFFFAOYSA-N -1 1 312.753 1.766 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC2(c3ccccc3)CCC2)s1 ZINC000079480135 696460659 /nfs/dbraw/zinc/46/06/59/696460659.db2.gz VWHYPZDZJOUDKT-LLVKDONJSA-N -1 1 303.387 1.529 20 0 DDADMM O=C(NNC(=O)[C@H]1Cc2ccccc2O1)c1cc(F)ccc1[O-] ZINC000080022598 696466033 /nfs/dbraw/zinc/46/60/33/696466033.db2.gz UXSSRCVYQISVEB-CQSZACIVSA-N -1 1 316.288 1.296 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H]2C[C@H]2c2ccccc2F)s1 ZINC000080042314 696529982 /nfs/dbraw/zinc/52/99/82/696529982.db2.gz ALEYCIPKPZIXKZ-JMJZKYOTSA-N -1 1 307.350 1.145 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@@H](c3ccccc3F)C2)s1 ZINC000080108293 696530707 /nfs/dbraw/zinc/53/07/07/696530707.db2.gz PRMYOCUCMDYWNQ-BXKDBHETSA-N -1 1 321.377 1.488 20 0 DDADMM CC[C@@H](Cc1ccccc1)N(C)C(=O)Cc1sc(N)nc1[O-] ZINC000080494500 696534961 /nfs/dbraw/zinc/53/49/61/696534961.db2.gz NTVFUIBJAMZTCJ-STQMWFEESA-N -1 1 319.430 1.813 20 0 DDADMM Cc1ccc(C(N)=O)cc1OS(=O)(=O)c1cnc(C(C)C)[n-]1 ZINC000080740010 696537299 /nfs/dbraw/zinc/53/72/99/696537299.db2.gz PSRRKQDFASOQLV-UHFFFAOYSA-N -1 1 323.374 1.708 20 0 DDADMM Cc1ccc(C(N)=O)cc1OS(=O)(=O)c1c[n-]c(C(C)C)n1 ZINC000080740010 696537301 /nfs/dbraw/zinc/53/73/01/696537301.db2.gz PSRRKQDFASOQLV-UHFFFAOYSA-N -1 1 323.374 1.708 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CC[C@@H](SC)C2)o1 ZINC000080842616 696537727 /nfs/dbraw/zinc/53/77/27/696537727.db2.gz XSNILOYLVWRTSY-DTWKUNHWSA-N -1 1 318.420 1.202 20 0 DDADMM Cc1ncoc1C(=O)N[N-]C(=O)c1cc(-c2ccccc2)on1 ZINC000081122861 696540907 /nfs/dbraw/zinc/54/09/07/696540907.db2.gz XUCPAWHOEZMNAH-UHFFFAOYSA-N -1 1 312.285 1.713 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cccc(-c3cn[nH]c3)c2)s1 ZINC000132102536 696811040 /nfs/dbraw/zinc/81/10/40/696811040.db2.gz CYKLAFLTLIEDDK-LLVKDONJSA-N -1 1 315.358 1.362 20 0 DDADMM CCOc1cc(C(=O)NCC[S@@](C)=O)cc(Cl)c1[O-] ZINC000762598636 700899825 /nfs/dbraw/zinc/89/98/25/700899825.db2.gz RYOPFHGZBFIDTE-LJQANCHMSA-N -1 1 305.783 1.553 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC3(C2)CCCN(C/C=C\Cl)C3)c1[O-] ZINC000981714478 696887775 /nfs/dbraw/zinc/88/77/75/696887775.db2.gz YAVBRXZBJIYWBX-HYXAFXHYSA-N -1 1 324.812 1.714 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)CCSC)co1 ZINC000152585077 696901463 /nfs/dbraw/zinc/90/14/63/696901463.db2.gz XIFZRHOPJGZQTO-QMMMGPOBSA-N -1 1 306.409 1.059 20 0 DDADMM COc1ccccc1CO[N-]C(=O)[C@@]1(C)CCN(C(C)=O)C1 ZINC000799094354 700117636 /nfs/dbraw/zinc/11/76/36/700117636.db2.gz KQLYNGOTQWORFG-INIZCTEOSA-N -1 1 306.362 1.502 20 0 DDADMM CCC[C@@H](C)N1C[C@@H](C(=O)[N-]OCc2cccnc2)CC1=O ZINC000155851612 696954645 /nfs/dbraw/zinc/95/46/45/696954645.db2.gz HSZXDALQLIDLGH-OCCSQVGLSA-N -1 1 305.378 1.667 20 0 DDADMM O=C(N[C@H]1CCO[C@H](C2CC2)C1)c1nnc2ccccc2c1O ZINC000156292785 696961157 /nfs/dbraw/zinc/96/11/57/696961157.db2.gz ABGOCVJLDGMCNB-FZMZJTMJSA-N -1 1 313.357 1.611 20 0 DDADMM Cc1conc1CNC[C@H]1C[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000983476766 697268991 /nfs/dbraw/zinc/26/89/91/697268991.db2.gz VMQJWAZLXQGQCK-XYPYZODXSA-N -1 1 319.365 1.018 20 0 DDADMM CC1(C(=O)N[C@H]2CCC[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000983586479 697282083 /nfs/dbraw/zinc/28/20/83/697282083.db2.gz VYQNDCBAKPWLFY-RYUDHWBXSA-N -1 1 317.389 1.745 20 0 DDADMM Cn1nnc(COC(=O)c2ccc(Cl)c(Cl)c2[O-])n1 ZINC000799486271 700144640 /nfs/dbraw/zinc/14/46/40/700144640.db2.gz WFRJLCOIHCMYMS-UHFFFAOYSA-N -1 1 303.105 1.580 20 0 DDADMM CS(=O)(=O)CCSCc1cc(=O)oc2cc([O-])ccc12 ZINC000171689052 697361159 /nfs/dbraw/zinc/36/11/59/697361159.db2.gz CFMZZZKUELWGBX-UHFFFAOYSA-N -1 1 314.384 1.776 20 0 DDADMM COC(=O)CC(C)(C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000172593634 697369169 /nfs/dbraw/zinc/36/91/69/697369169.db2.gz GVMCIFOKCBCUOW-UHFFFAOYSA-N -1 1 307.318 1.585 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cn(C)nc1C ZINC000172855791 697372013 /nfs/dbraw/zinc/37/20/13/697372013.db2.gz BXBLXGUZGKFFEN-UHFFFAOYSA-N -1 1 322.390 1.742 20 0 DDADMM O=C(CC1(O)CCCC1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000749208184 700151459 /nfs/dbraw/zinc/15/14/59/700151459.db2.gz MAPLKCPTVKFYOW-CYBMUJFWSA-N -1 1 301.350 1.101 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)N1CCc2ccccc21)c1nn[n-]n1 ZINC000176740585 697405729 /nfs/dbraw/zinc/40/57/29/697405729.db2.gz AIXCQGMDJCRBSB-WCQYABFASA-N -1 1 314.393 1.608 20 0 DDADMM COc1c(C)cc(C(=O)NCCCc2nc(=O)[n-][nH]2)cc1C ZINC000179862499 697442276 /nfs/dbraw/zinc/44/22/76/697442276.db2.gz PYMCLJXHABCFGT-UHFFFAOYSA-N -1 1 304.350 1.086 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(Cl)cc1C(F)(F)F ZINC000184618823 697505841 /nfs/dbraw/zinc/50/58/41/697505841.db2.gz PSPNYRYCXLSQGV-UHFFFAOYSA-N -1 1 321.642 1.555 20 0 DDADMM Cc1cc(C(=O)OCCc2c(C)nc3[n-]cnn3c2=O)co1 ZINC000187167856 697541993 /nfs/dbraw/zinc/54/19/93/697541993.db2.gz LCUAJAFSVINXEG-UHFFFAOYSA-N -1 1 302.290 1.027 20 0 DDADMM N#Cc1ccc(C(=O)NNC(=O)c2ccc(Cl)cc2)cc1[O-] ZINC000188213248 697555372 /nfs/dbraw/zinc/55/53/72/697555372.db2.gz QPYAUZJKLGVXOL-UHFFFAOYSA-N -1 1 315.716 1.992 20 0 DDADMM Cc1ccn(C)c1C(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000189588041 697577438 /nfs/dbraw/zinc/57/74/38/697577438.db2.gz KKJFOEDCOAWZBO-UHFFFAOYSA-N -1 1 307.737 1.767 20 0 DDADMM O=C(NNc1cccnc1Cl)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000193685205 697676952 /nfs/dbraw/zinc/67/69/52/697676952.db2.gz YOAGVKUGABIMCL-UHFFFAOYSA-N -1 1 309.669 1.501 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773159399 697721152 /nfs/dbraw/zinc/72/11/52/697721152.db2.gz FIQPGKQHQIJSQC-XVKPBYJWSA-N -1 1 322.394 1.225 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1(C)CCCC1 ZINC000986222088 697741335 /nfs/dbraw/zinc/74/13/35/697741335.db2.gz LECSEKPJFNQTFZ-VXGBXAGGSA-N -1 1 321.425 1.560 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC(C)(C)C1CC1 ZINC000986254919 697754065 /nfs/dbraw/zinc/75/40/65/697754065.db2.gz XYAJLCSBXRBXKH-ZYHUDNBSSA-N -1 1 321.425 1.416 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-][C@H]1CCC[C@H]1CO ZINC000228604638 697775559 /nfs/dbraw/zinc/77/55/59/697775559.db2.gz UWFAZUOQKZITMY-WPRPVWTQSA-N -1 1 318.326 1.173 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@@H]1CCCC12OCCO2 ZINC000774350487 697871537 /nfs/dbraw/zinc/87/15/37/697871537.db2.gz QBZVVNSBBKQAEI-LURJTMIESA-N -1 1 306.244 1.305 20 0 DDADMM Cc1nn(C)c(C)c1CC(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774910084 697940971 /nfs/dbraw/zinc/94/09/71/697940971.db2.gz UWSKTLWFUOSHJV-UHFFFAOYSA-N -1 1 321.299 1.191 20 0 DDADMM O=C(C=Cc1ccccc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000775191415 697970246 /nfs/dbraw/zinc/97/02/46/697970246.db2.gz NMPOPXPZGKEUSR-ABZNLYFFSA-N -1 1 301.325 1.758 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cccnc1Cl ZINC000775607742 698015302 /nfs/dbraw/zinc/01/53/02/698015302.db2.gz YOCSBOOYKQUPOG-NSHDSACASA-N -1 1 314.736 1.768 20 0 DDADMM O=C(CCC1CCOCC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776561953 698117319 /nfs/dbraw/zinc/11/73/19/698117319.db2.gz BIZUKJSANUUWEU-NSHDSACASA-N -1 1 311.411 1.023 20 0 DDADMM CC(C)C[C@H](C)S(=O)(=O)N[C@@H](CN1CCCCC1)C(=O)[O-] ZINC000776628319 698127786 /nfs/dbraw/zinc/12/77/86/698127786.db2.gz ONTORBHIHQWMKM-STQMWFEESA-N -1 1 320.455 1.280 20 0 DDADMM C/C(=C/C(=O)OCCc1c(C)nc2nc[n-]n2c1=O)C(C)(C)C ZINC000777360562 698182159 /nfs/dbraw/zinc/18/21/59/698182159.db2.gz OMXLDGRGJDZRHR-NTMALXAHSA-N -1 1 318.377 1.804 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ccc[nH]1 ZINC000987672838 698193210 /nfs/dbraw/zinc/19/32/10/698193210.db2.gz STMVXKKPEKSURI-MNOVXSKESA-N -1 1 314.345 1.148 20 0 DDADMM O=C(OCC1(CO)COC1)c1ccc(Br)c([O-])c1 ZINC000778829621 698373516 /nfs/dbraw/zinc/37/35/16/698373516.db2.gz YAPQWRMUCFMQLL-UHFFFAOYSA-N -1 1 317.135 1.320 20 0 DDADMM CC[C@@H]1C[C@H](OC(=O)c2coc(S(=O)(=O)[N-]C)c2)CCO1 ZINC000779295496 698410639 /nfs/dbraw/zinc/41/06/39/698410639.db2.gz NXOKCTNFKNYGNT-GHMZBOCLSA-N -1 1 317.363 1.302 20 0 DDADMM O=C(N=c1sccn1Cc1ccccc1F)c1cn[n-]n1 ZINC000255112716 698412363 /nfs/dbraw/zinc/41/23/63/698412363.db2.gz FQWUJSUQAOEDAM-UHFFFAOYSA-N -1 1 303.322 1.596 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCC[C@H]1CCO ZINC000779357264 698417056 /nfs/dbraw/zinc/41/70/56/698417056.db2.gz TVKGHMCAHNCBIE-RYUDHWBXSA-N -1 1 307.394 1.895 20 0 DDADMM C[C@@H](O)c1cn(C2CN(C(=O)c3c([O-])cccc3Cl)C2)nn1 ZINC000779563282 698436527 /nfs/dbraw/zinc/43/65/27/698436527.db2.gz WLIXXHQUHWUZDQ-MRVPVSSYSA-N -1 1 322.752 1.388 20 0 DDADMM CCCC[C@@H](NC(=O)c1cn[nH]c1-c1cnn(CC)c1)C(=O)[O-] ZINC000263432439 698506596 /nfs/dbraw/zinc/50/65/96/698506596.db2.gz NUSOLHAOCDXCCY-GFCCVEGCSA-N -1 1 319.365 1.666 20 0 DDADMM O=C([O-])CNC(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000780444581 698519207 /nfs/dbraw/zinc/51/92/07/698519207.db2.gz CWIQNVBYMAZKJY-UHFFFAOYSA-N -1 1 309.341 1.174 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@H]2CCC=CO2)c(=O)[n-]1 ZINC000781067815 698587639 /nfs/dbraw/zinc/58/76/39/698587639.db2.gz HEBONGJGQZXKLS-LLVKDONJSA-N -1 1 323.418 1.954 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2CCC2)c(Br)n1C ZINC000309214386 698663765 /nfs/dbraw/zinc/66/37/65/698663765.db2.gz UQQTZCZTRIUCGI-UHFFFAOYSA-N -1 1 308.201 1.322 20 0 DDADMM CCC[N-]S(=O)(=O)c1cc(C(=O)OC)c(Cl)cc1F ZINC000312073302 698675975 /nfs/dbraw/zinc/67/59/75/698675975.db2.gz OUEUPSOBYTWCLV-UHFFFAOYSA-N -1 1 309.746 1.954 20 0 DDADMM O=C([O-])c1cccc(C(=O)N2CCN(C3CCCC3)CC2)n1 ZINC000314950177 698693402 /nfs/dbraw/zinc/69/34/02/698693402.db2.gz VPVBISKIYHLZIC-UHFFFAOYSA-N -1 1 303.362 1.480 20 0 DDADMM C[C@@H](O)C[C@H](C)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000782995188 698795982 /nfs/dbraw/zinc/79/59/82/698795982.db2.gz ACCPFVGNFALUKQ-NKWVEPMBSA-N -1 1 313.206 1.826 20 0 DDADMM CN(c1nc([O-])c(CC(=O)Nc2cccc(O)c2)s1)C1CC1 ZINC000783681301 698867450 /nfs/dbraw/zinc/86/74/50/698867450.db2.gz BJOWHRQXDJLZEV-LBPRGKRZSA-N -1 1 319.386 1.813 20 0 DDADMM O=C([N-]C1CN(C(=O)c2cc(F)c(Cl)cc2F)C1)C(F)F ZINC000990026652 698904648 /nfs/dbraw/zinc/90/46/48/698904648.db2.gz RXVOJILZWNVLQA-UHFFFAOYSA-N -1 1 324.661 1.824 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@]23CCC[C@@H]2N(C/C=C\Cl)CC3)c1[O-] ZINC000990194227 698990410 /nfs/dbraw/zinc/99/04/10/698990410.db2.gz QWPHPADTUMJROO-GSBWRRAFSA-N -1 1 324.812 1.903 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC000390386790 699093523 /nfs/dbraw/zinc/09/35/23/699093523.db2.gz DWUACTKEZZRORO-CQSZACIVSA-N -1 1 323.393 1.414 20 0 DDADMM O=C([N-]CCCOC(=O)c1cc2occc2[nH]1)C(F)(F)F ZINC000786028827 699118894 /nfs/dbraw/zinc/11/88/94/699118894.db2.gz LCQVPQMBJDJGDU-UHFFFAOYSA-N -1 1 304.224 1.986 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2CC[C@H](O)C[C@@H]2C1 ZINC000398965300 699121559 /nfs/dbraw/zinc/12/15/59/699121559.db2.gz XABSUKOINDDQSU-XQQFMLRXSA-N -1 1 319.405 1.847 20 0 DDADMM Cc1cnc(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)s1 ZINC000787018518 699185873 /nfs/dbraw/zinc/18/58/73/699185873.db2.gz QUAPQNINBUAMLN-UHFFFAOYSA-N -1 1 318.354 1.494 20 0 DDADMM CC1(C)OCC[C@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703061505 699235074 /nfs/dbraw/zinc/23/50/74/699235074.db2.gz ZGDSOQAIZNOETC-MRVPVSSYSA-N -1 1 308.762 1.720 20 0 DDADMM N[C@](C(=O)[N-]C1=NCCS1)(c1cccc(F)c1)C(F)(F)F ZINC000788273931 699295472 /nfs/dbraw/zinc/29/54/72/699295472.db2.gz JTFMLGADEPIRBH-NSHDSACASA-N -1 1 321.299 1.761 20 0 DDADMM COC(COCCNC(=O)c1cc(Cl)ccc1[O-])OC ZINC000788609706 699326919 /nfs/dbraw/zinc/32/69/19/699326919.db2.gz WKUZTEHXIXSVGO-UHFFFAOYSA-N -1 1 303.742 1.411 20 0 DDADMM O=C(N[C@H]1CC12CC(O)C2)c1ccc(Br)c([O-])c1 ZINC000724990793 699328814 /nfs/dbraw/zinc/32/88/14/699328814.db2.gz OKXUJUWONHIMDU-SAVVLTDYSA-N -1 1 312.163 1.798 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)OCC(=O)CC ZINC000727188677 699403993 /nfs/dbraw/zinc/40/39/93/699403993.db2.gz AAXJZYRSNZBPCC-UHFFFAOYSA-N -1 1 313.375 1.974 20 0 DDADMM CCO[N-]C(=O)C(=O)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC000789777584 699408965 /nfs/dbraw/zinc/40/89/65/699408965.db2.gz YOYMAGUWUSIYMD-LBPRGKRZSA-N -1 1 307.350 1.078 20 0 DDADMM O=C(Nc1cccc(SC(F)F)c1)NN1CC(=O)[N-]C1=O ZINC000789838018 699413799 /nfs/dbraw/zinc/41/37/99/699413799.db2.gz KGVJDSJFCFQVHO-UHFFFAOYSA-N -1 1 316.289 1.590 20 0 DDADMM CCCC1CCC(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000727851469 699428316 /nfs/dbraw/zinc/42/83/16/699428316.db2.gz KZJXEVALTGSZIA-UHFFFAOYSA-N -1 1 307.394 1.402 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@@H]1CCCOC1 ZINC000727907224 699430858 /nfs/dbraw/zinc/43/08/58/699430858.db2.gz MERGJIVPXYFNQP-SNVBAGLBSA-N -1 1 304.298 1.968 20 0 DDADMM CC(C)=C[C@@H]1[C@H](C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)C1(C)C ZINC000732090354 699546142 /nfs/dbraw/zinc/54/61/42/699546142.db2.gz HYVJYNSXQRMLHY-IJLUTSLNSA-N -1 1 305.382 1.338 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCCCCO ZINC000733472085 699598694 /nfs/dbraw/zinc/59/86/94/699598694.db2.gz WKUFFWZZWCFWOV-UHFFFAOYSA-N -1 1 301.364 1.378 20 0 DDADMM O=C(COC(=O)c1cnn2ccccc12)[N-]C(=O)c1ccccc1 ZINC000733551520 699604952 /nfs/dbraw/zinc/60/49/52/699604952.db2.gz KXNZREJYODAAOM-UHFFFAOYSA-N -1 1 323.308 1.448 20 0 DDADMM CCc1ccc(OCC(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000791163937 699613657 /nfs/dbraw/zinc/61/36/57/699613657.db2.gz HVVYIQIXZNWVTC-UHFFFAOYSA-N -1 1 310.357 1.922 20 0 DDADMM COc1ccc(CCC(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000791164497 699613772 /nfs/dbraw/zinc/61/37/72/699613772.db2.gz LWXYHPSIYNUMKO-UHFFFAOYSA-N -1 1 310.357 1.922 20 0 DDADMM COC(=O)c1cc(Cl)ccc1OS(=O)(=O)c1c[n-]cn1 ZINC000736631229 699728732 /nfs/dbraw/zinc/72/87/32/699728732.db2.gz IEOCYVWJBIQRJF-UHFFFAOYSA-N -1 1 316.722 1.617 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000737810354 699745255 /nfs/dbraw/zinc/74/52/55/699745255.db2.gz ZBQUVSKKOOAHIE-OWOJBTEDSA-N -1 1 302.313 1.153 20 0 DDADMM C[C@@H](CN(C)C(=O)C(F)(F)Cc1ccccc1)c1nn[n-]n1 ZINC000793813813 699780200 /nfs/dbraw/zinc/78/02/00/699780200.db2.gz MLFNTBFDZYIBAD-JTQLQIEISA-N -1 1 309.320 1.640 20 0 DDADMM Cc1ccccc1CN(C)C(=O)[C@@H](C)N(C)CCCNC(=O)[O-] ZINC000740184840 699786746 /nfs/dbraw/zinc/78/67/46/699786746.db2.gz RBDTXOGYNGTREZ-CQSZACIVSA-N -1 1 321.421 1.931 20 0 DDADMM O=C(C[C@@H]1CCOC1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000740387514 699792342 /nfs/dbraw/zinc/79/23/42/699792342.db2.gz PANPYFDLCRXQMH-JTQLQIEISA-N -1 1 304.298 1.968 20 0 DDADMM CCOc1cc(C(=O)N[C@@]2(C)CCOC2=O)cc(Cl)c1[O-] ZINC000794087981 699793533 /nfs/dbraw/zinc/79/35/33/699793533.db2.gz OXEAOROWXCBOHT-AWEZNQCLSA-N -1 1 313.737 1.880 20 0 DDADMM CCc1nnc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)s1 ZINC000794141456 699794872 /nfs/dbraw/zinc/79/48/72/699794872.db2.gz RDEYNFQYBUBROX-UHFFFAOYSA-N -1 1 321.406 1.958 20 0 DDADMM C[C@H]1CN(Cc2cc(=O)oc3cc([O-])c(Cl)cc23)C[C@@H]1O ZINC000794562216 699816420 /nfs/dbraw/zinc/81/64/20/699816420.db2.gz NBWXWPIIPQZCTD-SDBXPKJASA-N -1 1 309.749 1.965 20 0 DDADMM CC[C@@H](C)CO[N-]C(=O)Cc1ccc(S(N)(=O)=O)s1 ZINC000794872825 699833895 /nfs/dbraw/zinc/83/38/95/699833895.db2.gz WROWJMKYNJLWMZ-MRVPVSSYSA-N -1 1 306.409 1.032 20 0 DDADMM O=C(COC(=O)c1ccncc1F)[N-]C(=O)c1ccccc1 ZINC000741375437 699834135 /nfs/dbraw/zinc/83/41/35/699834135.db2.gz ACULTNIPVJPEDQ-UHFFFAOYSA-N -1 1 302.261 1.334 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@@H]2COc3ccccc3O2)[n-]1 ZINC000796364118 699929440 /nfs/dbraw/zinc/92/94/40/699929440.db2.gz BSDWYYQQMYKNBE-JTQLQIEISA-N -1 1 317.297 1.798 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)NC(C2CC2)C2CC2)[n-]1 ZINC000796369365 699929954 /nfs/dbraw/zinc/92/99/54/699929954.db2.gz MPKQURWPYGTZLI-UHFFFAOYSA-N -1 1 320.345 1.263 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCCC3(O)CC3)n2)cc1 ZINC000801371732 700301452 /nfs/dbraw/zinc/30/14/52/700301452.db2.gz SXABIMDIDXUHDD-UHFFFAOYSA-N -1 1 302.330 1.958 20 0 DDADMM COCC(=O)COC(=O)c1nn(-c2ccc(Cl)cc2)cc1[O-] ZINC000801371638 700301565 /nfs/dbraw/zinc/30/15/65/700301565.db2.gz PVYGWCWVWXLIAF-UHFFFAOYSA-N -1 1 324.720 1.604 20 0 DDADMM CCOC(=O)[C@@H](C)OC(=O)c1nn(-c2ccc(C)cc2)cc1[O-] ZINC000801369135 700301674 /nfs/dbraw/zinc/30/16/74/700301674.db2.gz HZRSJNUCQLOCKX-LLVKDONJSA-N -1 1 318.329 1.995 20 0 DDADMM CC(C)c1ccc(-n2cc([O-])c(C(=O)O[C@H](C)C(N)=O)n2)cc1 ZINC000801411292 700305002 /nfs/dbraw/zinc/30/50/02/700305002.db2.gz SPBHQDQGKCXUQW-SNVBAGLBSA-N -1 1 317.345 1.732 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CSCc3cscn3)ccnc1-2 ZINC000801702057 700332509 /nfs/dbraw/zinc/33/25/09/700332509.db2.gz OWTNHXFXTBQJHQ-UHFFFAOYSA-N -1 1 319.415 1.670 20 0 DDADMM Cc1nonc1[N-]C(=O)c1cnn(-c2ccc(Cl)cc2)n1 ZINC000752814073 700390150 /nfs/dbraw/zinc/39/01/50/700390150.db2.gz JAEMNYDWYHESFG-UHFFFAOYSA-N -1 1 304.697 1.864 20 0 DDADMM O=S(=O)([N-]CCCn1cncn1)c1cc2ccccc2o1 ZINC000754038864 700477976 /nfs/dbraw/zinc/47/79/76/700477976.db2.gz MUYIFWOBFWFDDR-UHFFFAOYSA-N -1 1 306.347 1.393 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCCCSC)o1 ZINC000756143363 700605406 /nfs/dbraw/zinc/60/54/06/700605406.db2.gz JGCBFNVCUWLIBF-UHFFFAOYSA-N -1 1 321.420 1.878 20 0 DDADMM O=C(COC(=O)C[C@@H]1CCCCO1)[N-]C(=O)c1ccccc1 ZINC000756508553 700624558 /nfs/dbraw/zinc/62/45/58/700624558.db2.gz XYSBRZUGKJLIKR-ZDUSSCGKSA-N -1 1 305.330 1.445 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2ccc(C)s2)co1 ZINC000756570356 700629780 /nfs/dbraw/zinc/62/97/80/700629780.db2.gz BXBIYARMCRLOPL-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)Cc2ccc(Cl)nc2)n1 ZINC000757722591 700669687 /nfs/dbraw/zinc/66/96/87/700669687.db2.gz BHCIVQKWCFHZPF-UHFFFAOYSA-N -1 1 302.743 1.046 20 0 DDADMM COCc1nc(COC(=O)c2c([O-])cc(F)cc2F)no1 ZINC000759505329 700755301 /nfs/dbraw/zinc/75/53/01/700755301.db2.gz SYVDTJWXFJZXPI-UHFFFAOYSA-N -1 1 300.217 1.557 20 0 DDADMM COC(=O)[C@H](C)OC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000759773745 700771628 /nfs/dbraw/zinc/77/16/28/700771628.db2.gz HYDKTAXWZGXOSD-QMMMGPOBSA-N -1 1 314.363 1.250 20 0 DDADMM Cc1nc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c2ccccn12 ZINC000762014392 700876254 /nfs/dbraw/zinc/87/62/54/700876254.db2.gz HWKBQOYIIVSEFK-NSHDSACASA-N -1 1 311.349 1.176 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)c1ccco1 ZINC000762313642 700888811 /nfs/dbraw/zinc/88/88/11/700888811.db2.gz PCEFNFVHQYRRDO-SNVBAGLBSA-N -1 1 319.361 1.845 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cc2cnccc2nc1C ZINC000762319520 700889125 /nfs/dbraw/zinc/88/91/25/700889125.db2.gz JBYSODDTCDNVTJ-UHFFFAOYSA-N -1 1 304.331 1.737 20 0 DDADMM CC(C)(C)C(=O)Cn1cc(C(=O)[N-]c2nc(C3CC3)no2)nn1 ZINC000762540751 700897316 /nfs/dbraw/zinc/89/73/16/700897316.db2.gz RUXVLEUARSTLHX-UHFFFAOYSA-N -1 1 318.337 1.406 20 0 DDADMM CC(C)n1cccc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000763009454 700919068 /nfs/dbraw/zinc/91/90/68/700919068.db2.gz YMKHRWXMBNFFTR-NSHDSACASA-N -1 1 306.395 1.512 20 0 DDADMM CC[C@H](NC(=O)[C@H](N)c1cccc(Br)c1)C(=O)[O-] ZINC000763029592 700920993 /nfs/dbraw/zinc/92/09/93/700920993.db2.gz PNPRZSSYZWDHPQ-VHSXEESVSA-N -1 1 315.167 1.428 20 0 DDADMM Cn1[n-]c(COC(=O)CCc2ccc3ccccc3n2)nc1=O ZINC000765418058 701010978 /nfs/dbraw/zinc/01/09/78/701010978.db2.gz AOGOMLHZVYVEOW-UHFFFAOYSA-N -1 1 312.329 1.333 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Cl)cc3cccnc32)nc1=O ZINC000765473782 701014465 /nfs/dbraw/zinc/01/44/65/701014465.db2.gz LPCFAOJKWRUDLC-UHFFFAOYSA-N -1 1 318.720 1.667 20 0 DDADMM Cc1cc(C)c(C)c(OCCC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765473237 701014530 /nfs/dbraw/zinc/01/45/30/701014530.db2.gz DHLZPWRCQBMQDM-UHFFFAOYSA-N -1 1 319.361 1.546 20 0 DDADMM Cc1ccc(OCCCC(=O)OCc2nc(=O)n(C)[n-]2)c(C)c1 ZINC000765497006 701016145 /nfs/dbraw/zinc/01/61/45/701016145.db2.gz QMZKJKXHQNMEJN-UHFFFAOYSA-N -1 1 319.361 1.628 20 0 DDADMM CN(C)[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1cccc(Cl)c1 ZINC000765516680 701017447 /nfs/dbraw/zinc/01/74/47/701017447.db2.gz WFHYFKZNCPTYDF-GFCCVEGCSA-N -1 1 324.768 1.108 20 0 DDADMM CC(C)[C@H](C(=O)[N-]OCC(F)(F)F)N1C[C@@H](C)O[C@@H](C)C1 ZINC000766763418 701062135 /nfs/dbraw/zinc/06/21/35/701062135.db2.gz QXVNWXKERZYGIX-OUAUKWLOSA-N -1 1 312.332 1.730 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc([O-])c(F)c1)c1cccnc1 ZINC000767921443 701145483 /nfs/dbraw/zinc/14/54/83/701145483.db2.gz XQEICCZMGFJOHQ-ZDUSSCGKSA-N -1 1 304.277 1.570 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc2c(c1)CN(C)C2=O ZINC000768552137 701186326 /nfs/dbraw/zinc/18/63/26/701186326.db2.gz MTDCTNYAZZLVGG-UHFFFAOYSA-N -1 1 307.331 1.071 20 0 DDADMM O=C([N-]N1CCCC1=O)c1cc(Br)ccc1F ZINC000770545632 701283167 /nfs/dbraw/zinc/28/31/67/701283167.db2.gz NABRVNNLBIRBHL-UHFFFAOYSA-N -1 1 301.115 1.855 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCc2ncccc21 ZINC000770671958 701288984 /nfs/dbraw/zinc/28/89/84/701288984.db2.gz REMTWZXIWXVJAK-UHFFFAOYSA-N -1 1 323.356 1.579 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CCCSC2)co1 ZINC000806053233 701423148 /nfs/dbraw/zinc/42/31/48/701423148.db2.gz PCOXJKFZAFCGQR-VIFPVBQESA-N -1 1 319.404 1.488 20 0 DDADMM CC[C@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)C(F)(F)F ZINC000806495525 701438141 /nfs/dbraw/zinc/43/81/41/701438141.db2.gz RYTKCCZFGQPWEV-VIFPVBQESA-N -1 1 301.272 1.804 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@H]1CCC(=O)C1 ZINC000830921334 706602697 /nfs/dbraw/zinc/60/26/97/706602697.db2.gz HZZCEBCTTRUGOQ-VIFPVBQESA-N -1 1 302.282 1.911 20 0 DDADMM O=C([O-])CCCCC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000808507712 701520822 /nfs/dbraw/zinc/52/08/22/701520822.db2.gz VCSMWWHEUZKZAN-UHFFFAOYSA-N -1 1 302.334 1.733 20 0 DDADMM O=C([O-])CCCCC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000808507712 701520823 /nfs/dbraw/zinc/52/08/23/701520823.db2.gz VCSMWWHEUZKZAN-UHFFFAOYSA-N -1 1 302.334 1.733 20 0 DDADMM Cc1nnc([C@@H](C)N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)o1 ZINC000810067776 701719640 /nfs/dbraw/zinc/71/96/40/701719640.db2.gz BFVCKPVEUKEYIW-SCZZXKLOSA-N -1 1 320.315 1.830 20 0 DDADMM CCOC(=O)CC[C@H](C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830972027 706613539 /nfs/dbraw/zinc/61/35/39/706613539.db2.gz BCXWAOUCIZRSTP-ZETCQYMHSA-N -1 1 309.775 1.073 20 0 DDADMM COC(=O)C1CC([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000867769239 701739513 /nfs/dbraw/zinc/73/95/13/701739513.db2.gz NNZPZDWOZTZJIU-UHFFFAOYSA-N -1 1 309.796 1.631 20 0 DDADMM C[C@H]1CC[C@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000830953259 706609978 /nfs/dbraw/zinc/60/99/78/706609978.db2.gz SUNPNQPTRVKQIB-XYPYZODXSA-N -1 1 305.378 1.697 20 0 DDADMM CC(C)(C)CS(=O)(=O)[N-]C(=O)[C@]1(F)CCN(C(C)(C)C)C1 ZINC000810581303 701794632 /nfs/dbraw/zinc/79/46/32/701794632.db2.gz MZNXYZYMEUBCCX-AWEZNQCLSA-N -1 1 322.446 1.691 20 0 DDADMM C[C@@H]1CCN(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000867891200 701813310 /nfs/dbraw/zinc/81/33/10/701813310.db2.gz UHRBEXSJCYVAEC-BXKDBHETSA-N -1 1 307.316 1.591 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc2[nH]ccc21 ZINC000867902659 701818600 /nfs/dbraw/zinc/81/86/00/701818600.db2.gz YQXATGVFBHIEHD-LJQANCHMSA-N -1 1 315.420 1.620 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC[C@H](O)C2CCCC2)sc1C ZINC000867932711 701840205 /nfs/dbraw/zinc/84/02/05/701840205.db2.gz FYYMBDJTXJJFMS-LBPRGKRZSA-N -1 1 318.464 1.979 20 0 DDADMM CCN(CCOC)c1cccc(COC(=O)c2cn[n-]n2)n1 ZINC000811223437 701938713 /nfs/dbraw/zinc/93/87/13/701938713.db2.gz GFTHPEVZFCKOPW-UHFFFAOYSA-N -1 1 305.338 1.029 20 0 DDADMM O=C(Cc1cncnc1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000811332586 701962120 /nfs/dbraw/zinc/96/21/20/701962120.db2.gz RVHJHSJJXXSFCO-UHFFFAOYSA-N -1 1 312.281 1.575 20 0 DDADMM O=C(N[C@H]1COc2ccccc2[C@H]1O)C(=O)c1ccc([O-])cc1 ZINC000811580234 702011855 /nfs/dbraw/zinc/01/18/55/702011855.db2.gz ZZBMWJICBQPBCN-XJKSGUPXSA-N -1 1 313.309 1.186 20 0 DDADMM C[C@@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CCCC1 ZINC000868254161 702024235 /nfs/dbraw/zinc/02/42/35/702024235.db2.gz USKKRYVPPUBCRJ-SNVBAGLBSA-N -1 1 320.393 1.633 20 0 DDADMM Cc1cc(N2C[C@@H](C(=O)[N-]OCC3CC3)CC2=O)ccc1F ZINC000817349551 702347531 /nfs/dbraw/zinc/34/75/31/702347531.db2.gz DDJWFMLXDAFLPX-LBPRGKRZSA-N -1 1 306.337 1.945 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@H]1CCCC12CC2 ZINC000868846097 702348157 /nfs/dbraw/zinc/34/81/57/702348157.db2.gz DERBVEVTOMWYHI-LBPRGKRZSA-N -1 1 318.377 1.387 20 0 DDADMM COc1ccc(N2C[C@H](C(=O)[N-]OCCC3CC3)CC2=O)cc1 ZINC000817408166 702372004 /nfs/dbraw/zinc/37/20/04/702372004.db2.gz MYWURAMMMPPTDT-CYBMUJFWSA-N -1 1 318.373 1.896 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)CCOCC1CCCC1 ZINC000841535091 702485265 /nfs/dbraw/zinc/48/52/65/702485265.db2.gz OVFBJMQGMGIRPG-LBPRGKRZSA-N -1 1 319.423 1.064 20 0 DDADMM O=C(OCC[N-]S(=O)(=O)c1c(F)cccc1Cl)C1CC1 ZINC000841537131 702488014 /nfs/dbraw/zinc/48/80/14/702488014.db2.gz UJPVYELTNVTPOP-UHFFFAOYSA-N -1 1 321.757 1.711 20 0 DDADMM CCCC[C@H](CC)CNC(CC)=C1C(=O)[N-]C(=S)NC1=O ZINC000814337144 702510205 /nfs/dbraw/zinc/51/02/05/702510205.db2.gz YLTQVBZCQPFQJX-JTQLQIEISA-N -1 1 311.451 1.987 20 0 DDADMM Cc1[nH]ccc1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869428358 702604093 /nfs/dbraw/zinc/60/40/93/702604093.db2.gz FXYFDLKCWSNPCA-UHFFFAOYSA-N -1 1 306.240 1.118 20 0 DDADMM CC(C)OC(=O)CC[C@@H](C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000831334707 706688926 /nfs/dbraw/zinc/68/89/26/706688926.db2.gz ZISYWINFLVZYOV-MRVPVSSYSA-N -1 1 323.802 1.462 20 0 DDADMM O=C([N-]CCCSCc1cn(CC2CC2)nn1)C(F)(F)F ZINC000842193248 702681425 /nfs/dbraw/zinc/68/14/25/702681425.db2.gz WPGURXRVNPXDBX-UHFFFAOYSA-N -1 1 322.356 1.990 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H](C)c1ccccc1OC ZINC000866404986 706690997 /nfs/dbraw/zinc/69/09/97/706690997.db2.gz RYOJOJNKYLWABQ-APBUJDDRSA-N -1 1 320.436 1.708 20 0 DDADMM COC(=O)CCCCC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866408826 706691534 /nfs/dbraw/zinc/69/15/34/706691534.db2.gz OXZXFZZPIHJEGJ-UHFFFAOYSA-N -1 1 322.333 1.372 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CC2CC(F)(F)C2)CCC1 ZINC000843014055 702803433 /nfs/dbraw/zinc/80/34/33/702803433.db2.gz JBDXGHFTMQAVRE-UHFFFAOYSA-N -1 1 324.393 1.352 20 0 DDADMM CCc1occc1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843012998 702803562 /nfs/dbraw/zinc/80/35/62/702803562.db2.gz BQSBEVLOPAAJRX-UHFFFAOYSA-N -1 1 314.407 1.386 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CCCCS2)CCC1 ZINC000843016520 702803937 /nfs/dbraw/zinc/80/39/37/702803937.db2.gz UAVCAZCTDUCVIV-NSHDSACASA-N -1 1 320.480 1.202 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2(F)CCCCC2)CCC1 ZINC000843015888 702804004 /nfs/dbraw/zinc/80/40/04/702804004.db2.gz YWEVYOPDCLYHFT-UHFFFAOYSA-N -1 1 320.430 1.589 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CCc2ccco2)CCC1 ZINC000843018431 702804252 /nfs/dbraw/zinc/80/42/52/702804252.db2.gz SBGZDLCLJRKNDE-UHFFFAOYSA-N -1 1 314.407 1.143 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2COc3ccccc3C2)sn1 ZINC000866434183 706698550 /nfs/dbraw/zinc/69/85/50/706698550.db2.gz PZQRTNXZJKNUJK-NSHDSACASA-N -1 1 324.427 1.981 20 0 DDADMM CCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(OC)cc2OC)C1 ZINC000844080507 702968991 /nfs/dbraw/zinc/96/89/91/702968991.db2.gz KNDHPQLMYNXPKG-NSHDSACASA-N -1 1 322.361 1.515 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2C[C@H]2C2CCC2)CCC1 ZINC000845620658 703183395 /nfs/dbraw/zinc/18/33/95/703183395.db2.gz VFQGMXHCZOANAV-QWHCGFSZSA-N -1 1 314.451 1.353 20 0 DDADMM CCOc1ccccc1C[N-]S(=O)(=O)N=[S@](C)(=O)CC ZINC000866577890 706733926 /nfs/dbraw/zinc/73/39/26/706733926.db2.gz KARVGRVLGIVBOT-LJQANCHMSA-N -1 1 320.436 1.537 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000847078415 703375031 /nfs/dbraw/zinc/37/50/31/703375031.db2.gz IJRNVSZRJTXZSS-YBJDMEARSA-N -1 1 314.345 1.898 20 0 DDADMM CCOC(=O)Cn1ccc(NC([O-])=NO[C@@H]2CCCCO2)n1 ZINC000847247480 703390808 /nfs/dbraw/zinc/39/08/08/703390808.db2.gz ABNAPCALHSZVCK-GFCCVEGCSA-N -1 1 312.326 1.026 20 0 DDADMM CCOC(=O)Cn1ccc(NC(=O)[N-]O[C@@H]2CCCCO2)n1 ZINC000847247480 703390809 /nfs/dbraw/zinc/39/08/09/703390809.db2.gz ABNAPCALHSZVCK-GFCCVEGCSA-N -1 1 312.326 1.026 20 0 DDADMM CCO/C=C/[C@](O)(CNC(=O)c1ncccc1[O-])C(F)(F)F ZINC000848177105 703521534 /nfs/dbraw/zinc/52/15/34/703521534.db2.gz GVVPMGQFBVKJCW-PZBABLGHSA-N -1 1 320.267 1.361 20 0 DDADMM CC[C@@H](C)OCC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341158 703540787 /nfs/dbraw/zinc/54/07/87/703540787.db2.gz PRCLFTHLNOLGPS-GMTAPVOTSA-N -1 1 324.343 1.860 20 0 DDADMM COC(=O)C=Cc1ccc(CNC2(c3nnn[n-]3)CCCC2)o1 ZINC000848900931 703600504 /nfs/dbraw/zinc/60/05/04/703600504.db2.gz RHSDYNYBAKGLGT-VOTSOKGWSA-N -1 1 317.349 1.538 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2COC3(CCC3)C2)o1 ZINC000849450251 703649534 /nfs/dbraw/zinc/64/95/34/703649534.db2.gz WCUULPXRXLJCJI-SECBINFHSA-N -1 1 315.347 1.056 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2ncccn2)c(=O)[n-]1 ZINC000851185637 703785477 /nfs/dbraw/zinc/78/54/77/703785477.db2.gz ZVWXBIZPHVISFY-UHFFFAOYSA-N -1 1 320.374 1.679 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)c2cccnc2C)o1 ZINC000851545102 703808561 /nfs/dbraw/zinc/80/85/61/703808561.db2.gz YEGVYLKSMYDVTO-JTQLQIEISA-N -1 1 324.358 1.809 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2nccn2C)c1 ZINC000851572923 703810130 /nfs/dbraw/zinc/81/01/30/703810130.db2.gz DOUBTOOEPKMSLS-XFNZEKPQSA-N -1 1 322.386 1.841 20 0 DDADMM CC(C)NC(=O)NCCOC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869734657 703838747 /nfs/dbraw/zinc/83/87/47/703838747.db2.gz JSJQJMVGPPVDNJ-UHFFFAOYSA-N -1 1 314.769 1.839 20 0 DDADMM COCc1nc(COC(=O)Cc2ccc([O-])c(Cl)c2)no1 ZINC000869736507 703839332 /nfs/dbraw/zinc/83/93/32/703839332.db2.gz IZAJTZIHJAXVMS-UHFFFAOYSA-N -1 1 312.709 1.861 20 0 DDADMM C[C@H](CCc1ccc(F)cc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000869862453 703867135 /nfs/dbraw/zinc/86/71/35/703867135.db2.gz KLCXANICMSRFLT-SNVBAGLBSA-N -1 1 307.325 1.560 20 0 DDADMM CCCC[C@](C)(CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000831749076 706770253 /nfs/dbraw/zinc/77/02/53/706770253.db2.gz XOPMYPPFRVJBOG-OAHLLOKOSA-N -1 1 305.382 1.405 20 0 DDADMM CC(C)O[C@@H]1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000831749064 706770365 /nfs/dbraw/zinc/77/03/65/706770365.db2.gz XHJXQBHPVNBCIR-LLVKDONJSA-N -1 1 303.366 1.373 20 0 DDADMM CCOC(=O)[C@@H](CC)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763821 706784211 /nfs/dbraw/zinc/78/42/11/706784211.db2.gz HTPHQFBQBZRWEX-SKDRFNHKSA-N -1 1 310.316 1.471 20 0 DDADMM O=C([O-])C1(NCC2=NS(=O)(=O)c3ccccc32)CCCCC1 ZINC000852726344 704107095 /nfs/dbraw/zinc/10/70/95/704107095.db2.gz KLHSUBGYKQCPQU-UHFFFAOYSA-N -1 1 322.386 1.555 20 0 DDADMM CC(C)=CCC[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000852733682 704108911 /nfs/dbraw/zinc/10/89/11/704108911.db2.gz WGRSNVUBAUOBOO-WDEREUQCSA-N -1 1 322.327 1.939 20 0 DDADMM C[C@@H](c1nncn1C)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852756849 704116236 /nfs/dbraw/zinc/11/62/36/704116236.db2.gz WOTKWUGWNVPMLW-UTLUCORTSA-N -1 1 319.331 1.265 20 0 DDADMM CC[C@H](C(=O)OC)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852756984 704116792 /nfs/dbraw/zinc/11/67/92/704116792.db2.gz FSMOHXJTRDTHNQ-KXUCPTDWSA-N -1 1 310.316 1.327 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2nc(C)no2)c1 ZINC000820053573 704211512 /nfs/dbraw/zinc/21/15/12/704211512.db2.gz YJTVJGZPPVGRAP-GTUYJWLHSA-N -1 1 324.358 1.799 20 0 DDADMM COc1cccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000820063658 704214115 /nfs/dbraw/zinc/21/41/15/704214115.db2.gz MDAQPPCIXJOWPL-UHFFFAOYSA-N -1 1 304.306 1.199 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2ccnn2C)c1 ZINC000820927077 704347144 /nfs/dbraw/zinc/34/71/44/704347144.db2.gz YEIRHJCBWIWTLS-SAHAZLINSA-N -1 1 322.386 1.841 20 0 DDADMM CCn1ncc2ccc([N-]S(=O)(=O)N=S(C)(C)=O)cc21 ZINC000821169188 704378126 /nfs/dbraw/zinc/37/81/26/704378126.db2.gz SJIUYDVRXCZWEU-UHFFFAOYSA-N -1 1 316.408 1.441 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCO[C@H](c2ccccc2)O1 ZINC000854634428 704430363 /nfs/dbraw/zinc/43/03/63/704430363.db2.gz DVKVGWQGUXBYBL-NHYWBVRUSA-N -1 1 303.318 1.867 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC[C@@H](N2CCOCC2)C1 ZINC000854727645 704445088 /nfs/dbraw/zinc/44/50/88/704445088.db2.gz MJFYDVCFBBZXNW-CYBMUJFWSA-N -1 1 308.353 1.468 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC[C@H](N2CCOC2=O)C1 ZINC000855506193 704489281 /nfs/dbraw/zinc/48/92/81/704489281.db2.gz SKXSSFHRUQGPCQ-VIFPVBQESA-N -1 1 310.737 1.712 20 0 DDADMM O=C(N[C@H]1COC2(CCC2)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000855658436 704495803 /nfs/dbraw/zinc/49/58/03/704495803.db2.gz KVCWRWZXOKJJRV-SNVBAGLBSA-N -1 1 304.375 1.459 20 0 DDADMM C[C@]12COC[C@@H]1CN(C(=O)c1ccc3n[n-]c(=S)n3c1)C2 ZINC000855835085 704502458 /nfs/dbraw/zinc/50/24/58/704502458.db2.gz GVZZMFYBVJEYHC-HZMBPMFUSA-N -1 1 304.375 1.127 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H](CCO)c1ccccc1 ZINC000866858526 706827929 /nfs/dbraw/zinc/82/79/29/706827929.db2.gz IKSCCJUGPQIBIL-CWTRNNRKSA-N -1 1 320.436 1.062 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CCC(F)(F)C3)nc2n1 ZINC000857622498 704608446 /nfs/dbraw/zinc/60/84/46/704608446.db2.gz VFIVJVYPAOMLHT-QMMMGPOBSA-N -1 1 311.292 1.490 20 0 DDADMM C[C@@H]1CC[C@H]([N-]S(=O)(=O)c2cnn(C)c2C(F)(F)F)C1 ZINC000867151680 706910405 /nfs/dbraw/zinc/91/04/05/706910405.db2.gz LRHUGCBFXZVNQI-SFYZADRCSA-N -1 1 311.329 1.906 20 0 DDADMM CC1(C)CCN(c2cc(Cl)[n-]c(=O)n2)CCS1(=O)=O ZINC000858519058 704721726 /nfs/dbraw/zinc/72/17/26/704721726.db2.gz QQNCEPUHRVRDRM-UHFFFAOYSA-N -1 1 305.787 1.239 20 0 DDADMM O=c1nc(NC[C@@H]2CCC3(CCOCC3)[C@@H]2O)cc(Cl)[n-]1 ZINC000858532510 704723130 /nfs/dbraw/zinc/72/31/30/704723130.db2.gz KZXHRFFNMOHVGU-JOYOIKCWSA-N -1 1 313.785 1.815 20 0 DDADMM CCC(CC)(C[N-]S(=O)(=O)c1ccc(F)nc1F)OC ZINC000867301397 706952639 /nfs/dbraw/zinc/95/26/39/706952639.db2.gz ZWHIPTNCOQUNIU-UHFFFAOYSA-N -1 1 308.350 1.843 20 0 DDADMM CCn1ccnc1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867334518 706965048 /nfs/dbraw/zinc/96/50/48/706965048.db2.gz ZNEHRBOJSNAXMQ-UHFFFAOYSA-N -1 1 302.306 1.055 20 0 DDADMM CCC(F)(F)C(C)(C)CS(=O)(=O)[N-]CC1(C)OCCO1 ZINC000859090428 704795916 /nfs/dbraw/zinc/79/59/16/704795916.db2.gz KSDWRZZRNVBKAM-UHFFFAOYSA-N -1 1 315.382 1.740 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-][C@H]1CCCC12OCCO2)C1CC1 ZINC000859227090 704828353 /nfs/dbraw/zinc/82/83/53/704828353.db2.gz YBIYYJVLYDIWKK-OLZOCXBDSA-N -1 1 319.423 1.017 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC(=O)C2(C)CCCC2)c1Cl ZINC000859355017 704874958 /nfs/dbraw/zinc/87/49/58/704874958.db2.gz FEDJIKNITUQILN-UHFFFAOYSA-N -1 1 319.814 1.501 20 0 DDADMM Cc1cc(C2(C(=O)Nc3n[n-]c(C(F)(F)F)n3)CC2)on1 ZINC000874147485 704952742 /nfs/dbraw/zinc/95/27/42/704952742.db2.gz KTIKCWKTLZKKTI-UHFFFAOYSA-N -1 1 301.228 1.790 20 0 DDADMM C[C@@H](COCC1CC1)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867463919 707009988 /nfs/dbraw/zinc/00/99/88/707009988.db2.gz YJKOCVNJAGWWFP-QMMMGPOBSA-N -1 1 306.334 1.453 20 0 DDADMM O=C(C[C@H](n1ccnc1)C(F)(F)F)[N-]O[C@H]1CCCCO1 ZINC000874262294 704989248 /nfs/dbraw/zinc/98/92/48/704989248.db2.gz OTWNPFIEXUFOSY-ONGXEEELSA-N -1 1 307.272 1.951 20 0 DDADMM CN(CCC[N@@H+](C)Cc1ccco1)C(=O)N[C@H]1C[C@H](C(=O)[O-])C1 ZINC000874427058 705059238 /nfs/dbraw/zinc/05/92/38/705059238.db2.gz JSCJNZAMLWZXDS-JOCQHMNTSA-N -1 1 323.393 1.606 20 0 DDADMM CN(CCCN(C)C(=O)N[C@H]1C[C@H](C(=O)[O-])C1)Cc1ccco1 ZINC000874427058 705059242 /nfs/dbraw/zinc/05/92/42/705059242.db2.gz JSCJNZAMLWZXDS-JOCQHMNTSA-N -1 1 323.393 1.606 20 0 DDADMM Cc1csc([C@H]2CCN(C(=O)CCCc3nn[n-]n3)C2)n1 ZINC000874503161 705088671 /nfs/dbraw/zinc/08/86/71/705088671.db2.gz VMPFSECBWAQPOP-JTQLQIEISA-N -1 1 306.395 1.303 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2CC[C@H]2c2ccc(F)cc2)nc1=O ZINC000860150212 705113313 /nfs/dbraw/zinc/11/33/13/705113313.db2.gz MJWKEAFHOMPNMY-NWDGAFQWSA-N -1 1 305.309 1.485 20 0 DDADMM COC(=O)[C@@H](C)Oc1ccc(C=C2SC(=O)[N-]C2=O)cc1 ZINC000874929301 705230788 /nfs/dbraw/zinc/23/07/88/705230788.db2.gz YKZGHRZIAXHYMP-XAPLUZPNSA-N -1 1 307.327 1.951 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1C[C@@H]2C[C@H]1CN2c1ccccc1 ZINC000874962184 705237833 /nfs/dbraw/zinc/23/78/33/705237833.db2.gz UBISAALCAUDPOZ-KBPBESRZSA-N -1 1 312.377 1.012 20 0 DDADMM CCN(CC)C(=O)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000823741108 705306392 /nfs/dbraw/zinc/30/63/92/705306392.db2.gz HMZNYVDBPROWAD-UHFFFAOYSA-N -1 1 301.346 1.539 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@H]1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000861025328 705352249 /nfs/dbraw/zinc/35/22/49/705352249.db2.gz HIAZIVRNXABVDC-QISWUMQESA-N -1 1 303.366 1.275 20 0 DDADMM O=C(C[C@H]1CCS(=O)(=O)C1)NCc1ccc([O-])c(Cl)c1 ZINC000834833601 707133764 /nfs/dbraw/zinc/13/37/64/707133764.db2.gz FKJZOZMTRAWBLZ-SNVBAGLBSA-N -1 1 317.794 1.487 20 0 DDADMM CCCC[C@@H](COC)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825260758 705635352 /nfs/dbraw/zinc/63/53/52/705635352.db2.gz QZDUKUBMCQSHDC-JTQLQIEISA-N -1 1 322.369 1.027 20 0 DDADMM CCCC[C@@H](COC)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825260758 705635354 /nfs/dbraw/zinc/63/53/54/705635354.db2.gz QZDUKUBMCQSHDC-JTQLQIEISA-N -1 1 322.369 1.027 20 0 DDADMM CCCONC(=O)c1c[n-]c2cc(OC)cc(OC)c2c1=O ZINC000862104072 705652817 /nfs/dbraw/zinc/65/28/17/705652817.db2.gz UGCMFYQMGBJOAR-UHFFFAOYSA-N -1 1 306.318 1.617 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CCOC[C@H]1CCO ZINC000876394803 705707576 /nfs/dbraw/zinc/70/75/76/705707576.db2.gz BNXJRLBWWMJLNQ-LLVKDONJSA-N -1 1 314.769 1.338 20 0 DDADMM CC(=O)N[C@H]1CCN(C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000876399472 705708141 /nfs/dbraw/zinc/70/81/41/705708141.db2.gz PDEYZEBPZUABQO-NSHDSACASA-N -1 1 311.769 1.466 20 0 DDADMM Cc1cc(Nc2cccc(-c3nnn[n-]3)n2)ccc1OCCO ZINC000826271104 705786484 /nfs/dbraw/zinc/78/64/84/705786484.db2.gz CHHJXMDLVHAVHZ-UHFFFAOYSA-N -1 1 312.333 1.685 20 0 DDADMM Cc1cc(Nc2cccc(-c3nn[n-]n3)n2)ccc1OCCO ZINC000826271104 705786486 /nfs/dbraw/zinc/78/64/86/705786486.db2.gz CHHJXMDLVHAVHZ-UHFFFAOYSA-N -1 1 312.333 1.685 20 0 DDADMM Cc1cn2c(n1)CN(C(=O)c1ccc(-c3nnn[n-]3)s1)CC2 ZINC000826316883 705790845 /nfs/dbraw/zinc/79/08/45/705790845.db2.gz CMBFTKWODHDANW-UHFFFAOYSA-N -1 1 315.362 1.089 20 0 DDADMM Cc1cn2c(n1)CN(C(=O)c1ccc(-c3nn[n-]n3)s1)CC2 ZINC000826316883 705790849 /nfs/dbraw/zinc/79/08/49/705790849.db2.gz CMBFTKWODHDANW-UHFFFAOYSA-N -1 1 315.362 1.089 20 0 DDADMM Cc1onc(CC(=O)N(C)Cc2ccccc2)c1-c1nnn[n-]1 ZINC000826343133 705792872 /nfs/dbraw/zinc/79/28/72/705792872.db2.gz NLRZFLPLSFABBX-UHFFFAOYSA-N -1 1 312.333 1.364 20 0 DDADMM Cc1onc(CC(=O)N(C)Cc2ccccc2)c1-c1nn[n-]n1 ZINC000826343133 705792874 /nfs/dbraw/zinc/79/28/74/705792874.db2.gz NLRZFLPLSFABBX-UHFFFAOYSA-N -1 1 312.333 1.364 20 0 DDADMM Cc1onc(CC(=O)NC2CCCCCC2)c1-c1nnn[n-]1 ZINC000826346224 705793423 /nfs/dbraw/zinc/79/34/23/705793423.db2.gz GZWSOCSTCVXLEF-UHFFFAOYSA-N -1 1 304.354 1.545 20 0 DDADMM Cc1onc(CC(=O)NC2CCCCCC2)c1-c1nn[n-]n1 ZINC000826346224 705793424 /nfs/dbraw/zinc/79/34/24/705793424.db2.gz GZWSOCSTCVXLEF-UHFFFAOYSA-N -1 1 304.354 1.545 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(Cl)nc2)c1-c1nnn[n-]1 ZINC000826348413 705795357 /nfs/dbraw/zinc/79/53/57/705795357.db2.gz KYQYXEAHZMIBKX-UHFFFAOYSA-N -1 1 319.712 1.393 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(Cl)nc2)c1-c1nn[n-]n1 ZINC000826348413 705795359 /nfs/dbraw/zinc/79/53/59/705795359.db2.gz KYQYXEAHZMIBKX-UHFFFAOYSA-N -1 1 319.712 1.393 20 0 DDADMM Cc1onc(CC(=O)Nc2ccccc2F)c1-c1nnn[n-]1 ZINC000826348728 705795669 /nfs/dbraw/zinc/79/56/69/705795669.db2.gz BCZIOAMJXPWWMI-UHFFFAOYSA-N -1 1 302.269 1.483 20 0 DDADMM Cc1onc(CC(=O)Nc2ccccc2F)c1-c1nn[n-]n1 ZINC000826348728 705795673 /nfs/dbraw/zinc/79/56/73/705795673.db2.gz BCZIOAMJXPWWMI-UHFFFAOYSA-N -1 1 302.269 1.483 20 0 DDADMM O=C([N-][C@H]1CCN(c2ccc(Cl)cc2F)C1=O)C(F)F ZINC000862962568 705838090 /nfs/dbraw/zinc/83/80/90/705838090.db2.gz WHZWWMJNKAANAT-QMMMGPOBSA-N -1 1 306.671 1.966 20 0 DDADMM CSc1cc(C)c(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)o1 ZINC000826833811 705880990 /nfs/dbraw/zinc/88/09/90/705880990.db2.gz IJDKWQYGGDJOLC-QMMMGPOBSA-N -1 1 309.351 1.037 20 0 DDADMM CC(C)[C@H](NC=O)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000863476932 705936558 /nfs/dbraw/zinc/93/65/58/705936558.db2.gz DDYVQGHXABDULH-AWEZNQCLSA-N -1 1 302.334 1.287 20 0 DDADMM O=CN1CCC[C@@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000863477222 705936824 /nfs/dbraw/zinc/93/68/24/705936824.db2.gz IOUSPYKFHSGWKM-CYBMUJFWSA-N -1 1 300.318 1.137 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000827374385 705988782 /nfs/dbraw/zinc/98/87/82/705988782.db2.gz HLSIQILLMKRBKR-SNVBAGLBSA-N -1 1 312.316 1.586 20 0 DDADMM Brc1ncccc1CNC1(c2nn[n-]n2)CCCC1 ZINC000877141018 705994892 /nfs/dbraw/zinc/99/48/92/705994892.db2.gz PKPJHGDXVREABH-UHFFFAOYSA-N -1 1 323.198 1.916 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000863759633 706003119 /nfs/dbraw/zinc/00/31/19/706003119.db2.gz VYXNKILBIMGGNK-GMSGAONNSA-N -1 1 315.247 1.656 20 0 DDADMM CN(C)C(=O)N(C)[C@@H]1CCN(Cc2ccc(C(=O)[O-])s2)C1 ZINC000864049351 706051319 /nfs/dbraw/zinc/05/13/19/706051319.db2.gz GBZICFJOMVUFOB-SNVBAGLBSA-N -1 1 311.407 1.634 20 0 DDADMM CCC1(S(=O)(=O)[N-]C(=O)[C@@]2(F)CCN(C(C)(C)C)C2)CC1 ZINC000835537642 707274606 /nfs/dbraw/zinc/27/46/06/707274606.db2.gz YHJQTGLXKXQLNC-CQSZACIVSA-N -1 1 320.430 1.588 20 0 DDADMM CC(C)(C)n1ncc(C(=O)Nc2nnn[n-]2)c1Br ZINC000828073273 706113634 /nfs/dbraw/zinc/11/36/34/706113634.db2.gz KZRQEOPVTJXJBV-UHFFFAOYSA-N -1 1 314.147 1.166 20 0 DDADMM CC(C)(C)n1ncc(C(=O)Nc2nn[n-]n2)c1Br ZINC000828073273 706113638 /nfs/dbraw/zinc/11/36/38/706113638.db2.gz KZRQEOPVTJXJBV-UHFFFAOYSA-N -1 1 314.147 1.166 20 0 DDADMM C[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H]1CCCOC1 ZINC000828080627 706115147 /nfs/dbraw/zinc/11/51/47/706115147.db2.gz GCOIUPCLCLCPKA-MWLCHTKSSA-N -1 1 306.391 1.563 20 0 DDADMM CCCONC(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000828333517 706162480 /nfs/dbraw/zinc/16/24/80/706162480.db2.gz BAANOLQHWNTSSK-VIFPVBQESA-N -1 1 311.304 1.428 20 0 DDADMM CC[C@@H]1CN2CCCC[C@H]2CN1C(=O)c1cccc(C(=O)[O-])n1 ZINC000829159994 706295532 /nfs/dbraw/zinc/29/55/32/706295532.db2.gz BJBJCDRYMWOMFB-OLZOCXBDSA-N -1 1 317.389 1.869 20 0 DDADMM O=C(NCCC[N@H+]1CCC[C@H](c2n[n-]c(=O)o2)C1)C(F)(F)F ZINC000829438063 706333333 /nfs/dbraw/zinc/33/33/33/706333333.db2.gz AEDVFWUUDJIBAA-QMMMGPOBSA-N -1 1 322.287 1.023 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)CS1 ZINC000872497177 707447369 /nfs/dbraw/zinc/44/73/69/707447369.db2.gz GFFRMVCCZVHRAR-NMIRZEMHSA-N -1 1 314.498 1.611 20 0 DDADMM O=c1[n-]c(CN2CCO[C@@H](C3CCC3)C2)nc2c1COCC2 ZINC000878707927 706475297 /nfs/dbraw/zinc/47/52/97/706475297.db2.gz QROQLUUSBPIGPN-CQSZACIVSA-N -1 1 305.378 1.256 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2CCC=C(Cl)C2)cc1 ZINC000878792884 706500195 /nfs/dbraw/zinc/50/01/95/706500195.db2.gz UYEVAHYKVHNLLE-UHFFFAOYSA-N -1 1 308.765 1.780 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)[C@@H](C)O1 ZINC000830789309 706579908 /nfs/dbraw/zinc/57/99/08/706579908.db2.gz IZVWFKZIFMFLQG-DNJQJEMRSA-N -1 1 322.327 1.469 20 0 DDADMM Cc1ccsc1[C@@H](CO)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830790749 706580249 /nfs/dbraw/zinc/58/02/49/706580249.db2.gz BNKBPIYEPKDOGZ-SSDOTTSWSA-N -1 1 321.811 1.445 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@]2(C)CCCCO2)C1 ZINC000830795079 706580987 /nfs/dbraw/zinc/58/09/87/706580987.db2.gz GYROJQRMYHEPRU-CHWSQXEVSA-N -1 1 322.327 1.615 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCCCS2)C1 ZINC000830807620 706583113 /nfs/dbraw/zinc/58/31/13/706583113.db2.gz NLZRGYZQRFCFGL-JOYOIKCWSA-N -1 1 324.368 1.942 20 0 DDADMM CC(C)(C)[C@@H](O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816309 706585170 /nfs/dbraw/zinc/58/51/70/706585170.db2.gz OCOIJOFFTUOMDY-QPUJVOFHSA-N -1 1 310.316 1.063 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1cccc(CO)c1 ZINC000866841960 706822237 /nfs/dbraw/zinc/82/22/37/706822237.db2.gz PXUJDXYFGKBLJA-UHFFFAOYSA-N -1 1 320.436 1.021 20 0 DDADMM CO[C@@]1(C)C[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1(C)C ZINC000866905377 706841146 /nfs/dbraw/zinc/84/11/46/706841146.db2.gz XCXKRVRMGJUSCE-JQWIXIFHSA-N -1 1 324.468 1.286 20 0 DDADMM CCC[C@@]1(C(=O)[O-])CCCN1C(=O)NCc1cc(CC)[nH]n1 ZINC000908981140 712908005 /nfs/dbraw/zinc/90/80/05/712908005.db2.gz HKPJVWQNSKNBOC-HNNXBMFYSA-N -1 1 308.382 1.901 20 0 DDADMM C[C@@H]1CC([N-]S(=O)(=O)N=S2(=O)CCCC2)C[C@@H](C)O1 ZINC000867040944 706879798 /nfs/dbraw/zinc/87/97/98/706879798.db2.gz KXFRVMMFOMMECH-NXEZZACHSA-N -1 1 310.441 1.039 20 0 DDADMM Cc1nccnc1[C@H](C)NCC(=O)[N-]OCc1ccccc1 ZINC000880107922 706880049 /nfs/dbraw/zinc/88/00/49/706880049.db2.gz IHCQVVVZRSFNFH-ZDUSSCGKSA-N -1 1 300.362 1.684 20 0 DDADMM CC(=O)N1CCC[C@H]1c1noc(-c2cc3c(cc2[O-])OCO3)n1 ZINC000867084779 706891992 /nfs/dbraw/zinc/89/19/92/706891992.db2.gz VUUSCHXREODANC-JTQLQIEISA-N -1 1 317.301 1.854 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2CCC2(C)C)c1C(F)(F)F ZINC000867268512 706942544 /nfs/dbraw/zinc/94/25/44/706942544.db2.gz WLRVGOAANRKQAX-MRVPVSSYSA-N -1 1 311.329 1.906 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])[C@@H]1C ZINC000832814315 706990499 /nfs/dbraw/zinc/99/04/99/706990499.db2.gz CONRSCNYKMPOHO-PWSUYJOCSA-N -1 1 314.341 1.964 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H](CO)C1)c1ccc(F)nc1F ZINC000867410379 706991995 /nfs/dbraw/zinc/99/19/95/706991995.db2.gz ZQEYCOYCNHZLMP-IUCAKERBSA-N -1 1 306.334 1.189 20 0 DDADMM CC[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867421747 706996723 /nfs/dbraw/zinc/99/67/23/706996723.db2.gz RAKOGZPOYYTTRJ-IUCAKERBSA-N -1 1 306.334 1.596 20 0 DDADMM CC1=NO[C@@H](C(=O)Nc2nc(Br)ccc2[O-])C1 ZINC000834298649 707024753 /nfs/dbraw/zinc/02/47/53/707024753.db2.gz VEHZGCMGFMHAEG-SSDOTTSWSA-N -1 1 300.112 1.653 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)c1ncnn1C ZINC000834307153 707026877 /nfs/dbraw/zinc/02/68/77/707026877.db2.gz ULQJUIISUWZYRG-SECBINFHSA-N -1 1 304.354 1.234 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C)cc(C)n2)cc(=O)[n-]1 ZINC000880651585 707048383 /nfs/dbraw/zinc/04/83/83/707048383.db2.gz RLAMDFXOVXMMLM-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM C[C@H](NC(=O)NCc1ccc([O-])c(Cl)c1)c1nncn1C ZINC000871626252 707144297 /nfs/dbraw/zinc/14/42/97/707144297.db2.gz CESAQBCSLMERQS-QMMMGPOBSA-N -1 1 309.757 1.735 20 0 DDADMM COc1cc(CN2C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C2)ccn1 ZINC000872168307 707312436 /nfs/dbraw/zinc/31/24/36/707312436.db2.gz SIAXUVGEZOJRDC-UWVGGRQHSA-N -1 1 304.268 1.785 20 0 DDADMM CC(C)SCC[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872487221 707439821 /nfs/dbraw/zinc/43/98/21/707439821.db2.gz OCEDPLPCKQUKQQ-KRWDZBQOSA-N -1 1 316.514 1.859 20 0 DDADMM CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000837298375 707615366 /nfs/dbraw/zinc/61/53/66/707615366.db2.gz LRLNTLMHDCZGTQ-VXGBXAGGSA-N -1 1 324.381 1.307 20 0 DDADMM O=C([O-])[C@H]1CC=CC[C@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000872931095 707660001 /nfs/dbraw/zinc/66/00/01/707660001.db2.gz VZAXOEOSMYXBPP-MNOVXSKESA-N -1 1 317.320 1.985 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)[C@H]1CCCOC1 ZINC000882760670 707776182 /nfs/dbraw/zinc/77/61/82/707776182.db2.gz JLIWYIUYAOSOAN-RYUDHWBXSA-N -1 1 324.468 1.288 20 0 DDADMM CC/C(C)=C\C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000838039162 707818553 /nfs/dbraw/zinc/81/85/53/707818553.db2.gz HYKJVGYPGZYBEB-LUAWRHEFSA-N -1 1 305.330 1.851 20 0 DDADMM COc1ccc(CN(CCO)C(=O)c2cncc([O-])c2)cc1 ZINC000882876057 707832522 /nfs/dbraw/zinc/83/25/22/707832522.db2.gz HYPXLPJMJYEDGX-UHFFFAOYSA-N -1 1 302.330 1.431 20 0 DDADMM CCOC(=O)[C@@H](CCc1ccccc1)OC(=O)c1cn[n-]n1 ZINC000909310878 712988455 /nfs/dbraw/zinc/98/84/55/712988455.db2.gz FWKIWVKCURJOJG-CYBMUJFWSA-N -1 1 303.318 1.526 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@@H]2CCCC[C@@H]2C(=O)OC)[n-]1 ZINC000909340154 712995589 /nfs/dbraw/zinc/99/55/89/712995589.db2.gz QWHZOHOPRILKAC-QWRGUYRKSA-N -1 1 323.345 1.938 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NC(=O)c1ccc(O)c(Cl)c1 ZINC000909376283 713003411 /nfs/dbraw/zinc/00/34/11/713003411.db2.gz JTJQMSGNJMGCAY-CYBMUJFWSA-N -1 1 301.726 1.655 20 0 DDADMM C[C@H](C[C@H](O)c1ccccc1)[N-]S(=O)(=O)c1ccns1 ZINC000885055146 708457891 /nfs/dbraw/zinc/45/78/91/708457891.db2.gz ROGNFZVKMIPIIN-PWSUYJOCSA-N -1 1 312.416 1.934 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@@]2(CCSC2)C1)c1ccns1 ZINC000885065566 708460214 /nfs/dbraw/zinc/46/02/14/708460214.db2.gz QRSSNSATTGKDPY-KOLCDFICSA-N -1 1 320.461 1.476 20 0 DDADMM CC(C)OC(=O)CC[C@H](C)[N-]S(=O)(=O)c1ccns1 ZINC000885156537 708481049 /nfs/dbraw/zinc/48/10/49/708481049.db2.gz WYUDEBMLSXAMQP-VIFPVBQESA-N -1 1 306.409 1.542 20 0 DDADMM CNC(=O)[C@H]1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000897996404 708514257 /nfs/dbraw/zinc/51/42/57/708514257.db2.gz PMPOLVMLTOZCFC-NSHDSACASA-N -1 1 314.341 1.459 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCC(CO)(CO)C1 ZINC000912557009 713036122 /nfs/dbraw/zinc/03/61/22/713036122.db2.gz BGCGSNBRECWVDM-UHFFFAOYSA-N -1 1 301.342 1.362 20 0 DDADMM CC[C@@H](C)NC(=O)CC[N-]S(=O)(=O)c1sccc1Cl ZINC000885380103 708530761 /nfs/dbraw/zinc/53/07/61/708530761.db2.gz XKIUXKZEQHEWEW-MRVPVSSYSA-N -1 1 324.855 1.985 20 0 DDADMM CN1CCC[C@H](C(=O)Nc2cc(F)c([O-])cc2Cl)C1=O ZINC000885677790 708595734 /nfs/dbraw/zinc/59/57/34/708595734.db2.gz ACOBBEYKTZVPAL-SSDOTTSWSA-N -1 1 300.717 1.992 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)[C@H]1CCc2nncn2C1 ZINC000885678306 708595954 /nfs/dbraw/zinc/59/59/54/708595954.db2.gz MQLPGMAXJNPQGE-ZETCQYMHSA-N -1 1 310.716 1.977 20 0 DDADMM CCC(=O)N[C@H](C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264984 708732187 /nfs/dbraw/zinc/73/21/87/708732187.db2.gz TURGSXFQHAUKRG-MRVPVSSYSA-N -1 1 300.305 1.244 20 0 DDADMM O=C(CCc1ccncn1)NCCc1c(F)cc([O-])cc1F ZINC000886268700 708733393 /nfs/dbraw/zinc/73/33/93/708733393.db2.gz ZEKVLOTZFXFVDV-UHFFFAOYSA-N -1 1 307.300 1.752 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cn(C2CC2)nn1 ZINC000886269719 708733402 /nfs/dbraw/zinc/73/34/02/708733402.db2.gz DUDSBDKITJJEAP-UHFFFAOYSA-N -1 1 308.288 1.569 20 0 DDADMM CN(C[C@H]1CCOC1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782943 713054816 /nfs/dbraw/zinc/05/48/16/713054816.db2.gz RLVGMDKHGCSGBG-SNVBAGLBSA-N -1 1 314.332 1.891 20 0 DDADMM C[C@H]1C(=O)N(C)CN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927787337 713056232 /nfs/dbraw/zinc/05/62/32/713056232.db2.gz QUSITUXFLMMTNM-QMMMGPOBSA-N -1 1 313.304 1.043 20 0 DDADMM CC1(C)[C@H](O)CCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927788364 713056521 /nfs/dbraw/zinc/05/65/21/713056521.db2.gz JHDQCKFKSQTCTQ-CYBMUJFWSA-N -1 1 314.332 1.768 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC1(CCO)CC1 ZINC000927788611 713056535 /nfs/dbraw/zinc/05/65/35/713056535.db2.gz KXIUGLFGZPJACN-UHFFFAOYSA-N -1 1 300.305 1.427 20 0 DDADMM Cc1cccc2c(C(=O)[N-]N3C(=O)[C@H](C)N(C)C3=O)coc21 ZINC000899039413 708962294 /nfs/dbraw/zinc/96/22/94/708962294.db2.gz WNSMSMGRKFYROJ-VIFPVBQESA-N -1 1 301.302 1.669 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2c([O-])cccc2Cl)CCS1(=O)=O ZINC000887179984 708982311 /nfs/dbraw/zinc/98/23/11/708982311.db2.gz OMZYXKOYAVZBNM-BDAKNGLRSA-N -1 1 317.794 1.741 20 0 DDADMM O=C([O-])c1cccc(-n2[nH]c3c(c2=O)CC2(CC3)OCCO2)c1 ZINC000887287025 709007770 /nfs/dbraw/zinc/00/77/70/709007770.db2.gz JIUVAVAUDFQPJL-GFCCVEGCSA-N -1 1 316.313 1.631 20 0 DDADMM O=C(N[C@H](CO)C[C@@H]1CCCO1)c1c(F)ccc([O-])c1F ZINC000899281954 709043472 /nfs/dbraw/zinc/04/34/72/709043472.db2.gz GKESGHSYXSPHRA-IUCAKERBSA-N -1 1 301.289 1.330 20 0 DDADMM Cn1nccc1[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])CCO1 ZINC000887596238 709078122 /nfs/dbraw/zinc/07/81/22/709078122.db2.gz RHOBGNDKYRAZIJ-AWEZNQCLSA-N -1 1 305.309 1.479 20 0 DDADMM COC(=O)[C@H]1C[C@@H]2C[C@H](NC(=O)c3ncc(C)cc3[O-])[C@@H]2C1 ZINC000888465637 709318793 /nfs/dbraw/zinc/31/87/93/709318793.db2.gz JFMPJYJYAVQWML-KXNHARMFSA-N -1 1 304.346 1.413 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CCCC[C@H]3CC(=O)[O-])n[nH]2)c1 ZINC000909497686 709489852 /nfs/dbraw/zinc/48/98/52/709489852.db2.gz DXGVIFMSSDBCMQ-LBPRGKRZSA-N -1 1 316.361 1.885 20 0 DDADMM CCN(CC(=O)N(C)Cc1ccc(OCC(=O)[O-])cc1)C1CC1 ZINC000909507028 709494508 /nfs/dbraw/zinc/49/45/08/709494508.db2.gz OXNZPXUKUJILBM-UHFFFAOYSA-N -1 1 320.389 1.593 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2ccc3ncccc3c2)C1 ZINC000909540924 709508124 /nfs/dbraw/zinc/50/81/24/709508124.db2.gz GOXXYFNBHIQBHX-CYBMUJFWSA-N -1 1 313.357 1.970 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](C)Oc1ccccc1)c1nn[n-]n1 ZINC000912859706 713109601 /nfs/dbraw/zinc/10/96/01/713109601.db2.gz DRJLEAHVJVOADU-JQWIXIFHSA-N -1 1 321.406 1.578 20 0 DDADMM CO[C@H]1CCC[C@H](C(=O)N[C@@H](CCSC)c2nn[n-]n2)C1 ZINC000912863331 713111505 /nfs/dbraw/zinc/11/15/05/713111505.db2.gz UEURVNIGBQSJRX-DCAQKATOSA-N -1 1 313.427 1.315 20 0 DDADMM CO[C@H](C(=O)N[C@@H](CCSC)c1nn[n-]n1)C1CCCC1 ZINC000912863489 713111866 /nfs/dbraw/zinc/11/18/66/713111866.db2.gz ZNKRSTDINRGZHK-QWRGUYRKSA-N -1 1 313.427 1.315 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)c1cccs1 ZINC000909638039 709552143 /nfs/dbraw/zinc/55/21/43/709552143.db2.gz MJJKESDKQOBMNP-QPUJVOFHSA-N -1 1 305.359 1.518 20 0 DDADMM O=C(c1cccc(F)c1O)N1CC[C@@H]2[C@H]1CCC[N@H+]2CCO ZINC000889739324 709601608 /nfs/dbraw/zinc/60/16/08/709601608.db2.gz IRMAPRRFJKKSHH-ZIAGYGMSSA-N -1 1 308.353 1.203 20 0 DDADMM COc1ccc([C@@H](NC(=O)[C@H](C)N(C)C)C(=O)[O-])cc1Cl ZINC000909796166 709625008 /nfs/dbraw/zinc/62/50/08/709625008.db2.gz NIBHCPAQBSIKOA-QPUJVOFHSA-N -1 1 314.769 1.541 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CCn2cccc2)[n-]c1=O ZINC000889791706 709638244 /nfs/dbraw/zinc/63/82/44/709638244.db2.gz LIBKNXPHVGJHHH-GFCCVEGCSA-N -1 1 316.361 1.746 20 0 DDADMM CCCSCC(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889793681 709638957 /nfs/dbraw/zinc/63/89/57/709638957.db2.gz BZDUFHVNGDBWDR-JTQLQIEISA-N -1 1 311.407 1.998 20 0 DDADMM NC(=O)[C@@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000900584176 709662818 /nfs/dbraw/zinc/66/28/18/709662818.db2.gz IPLXTTXFIAALTB-MRVPVSSYSA-N -1 1 303.293 1.027 20 0 DDADMM CC(C)C[C@H](CNC(=O)[C@@H](C)CN1CCOCC1)CC(=O)[O-] ZINC000909875834 709664694 /nfs/dbraw/zinc/66/46/94/709664694.db2.gz YBZDIZBVWJOQFX-KBPBESRZSA-N -1 1 314.426 1.208 20 0 DDADMM CO[C@@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)C1CCCC1 ZINC000909989655 709723827 /nfs/dbraw/zinc/72/38/27/709723827.db2.gz DRXWNXPDKBFUHF-KBPBESRZSA-N -1 1 312.410 1.104 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC([C@@H](F)C(=O)[O-])C1 ZINC000910132722 709785469 /nfs/dbraw/zinc/78/54/69/709785469.db2.gz OZDKAKRQVRCTSK-SSDOTTSWSA-N -1 1 309.219 1.232 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@H](Cc2nccs2)C1 ZINC000890208202 709789125 /nfs/dbraw/zinc/78/91/25/709789125.db2.gz OHHBKCUMKJIHJI-SNVBAGLBSA-N -1 1 306.395 1.070 20 0 DDADMM Cc1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c(C)n1 ZINC000910179830 709799741 /nfs/dbraw/zinc/79/97/41/709799741.db2.gz FIKQTMMISDFUMY-UHFFFAOYSA-N -1 1 305.378 1.319 20 0 DDADMM CN(CC(=O)NCc1ccc(CC(=O)[O-])cc1)[C@@H]1CCSC1 ZINC000910196367 709808135 /nfs/dbraw/zinc/80/81/35/709808135.db2.gz RCBLELXPWBIRPL-CQSZACIVSA-N -1 1 322.430 1.367 20 0 DDADMM CC[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CCOCC1 ZINC000900914086 709826116 /nfs/dbraw/zinc/82/61/16/709826116.db2.gz AXMJCTMEJRPUHU-ZDUSSCGKSA-N -1 1 305.378 1.995 20 0 DDADMM O=C(Nc1ccnn1[C@H]1CCCOC1)c1ccc(O)cc1[O-] ZINC000890333711 709827195 /nfs/dbraw/zinc/82/71/95/709827195.db2.gz BLPWSCYNTWBXFM-JTQLQIEISA-N -1 1 303.318 1.898 20 0 DDADMM CCC(F)(F)C(C)(C)CNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000910232095 709828984 /nfs/dbraw/zinc/82/89/84/709828984.db2.gz RYECMMZYPSOJOG-NSHDSACASA-N -1 1 320.380 1.971 20 0 DDADMM COc1ccc([C@H](C)NC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000910243275 709835928 /nfs/dbraw/zinc/83/59/28/709835928.db2.gz AZHORWLMXROVDG-JSGCOSHPSA-N -1 1 320.389 1.669 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2cccc3nn[nH]c32)[C@H]2CCC[C@@H]21 ZINC000910245849 709837306 /nfs/dbraw/zinc/83/73/06/709837306.db2.gz MBHVYUWZRHJAGA-BREBYQMCSA-N -1 1 314.345 1.673 20 0 DDADMM C[C@@H]1CN(C[C@@H]2CCCN2c2cc(C(=O)[O-])ncn2)C[C@@H](C)O1 ZINC000910352670 709906386 /nfs/dbraw/zinc/90/63/86/709906386.db2.gz ROFUYGXHYJDTAK-UPJWGTAASA-N -1 1 320.393 1.253 20 0 DDADMM C[C@@H]1CO[C@@H](CO)CN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901103663 709925951 /nfs/dbraw/zinc/92/59/51/709925951.db2.gz XXKVSZGDIXGVFJ-NXEZZACHSA-N -1 1 320.320 1.301 20 0 DDADMM O=C(CCF)Nc1cc(S(=O)(=O)N2CCCC2)ccc1[O-] ZINC000901218229 709972314 /nfs/dbraw/zinc/97/23/14/709972314.db2.gz PKVPPSMJJVQZGF-UHFFFAOYSA-N -1 1 316.354 1.475 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@@](C)(Cc1ccc(F)cc1)C(=O)[O-] ZINC000910513811 709978459 /nfs/dbraw/zinc/97/84/59/709978459.db2.gz RUNCQRYTAUZFIN-YOEHRIQHSA-N -1 1 322.380 1.812 20 0 DDADMM COc1cccc([C@H](C(=O)[O-])N(C)C(=O)CCc2cnc[nH]2)c1 ZINC000910773088 710070931 /nfs/dbraw/zinc/07/09/31/710070931.db2.gz LTLMIYKBOPSUQN-OAHLLOKOSA-N -1 1 317.345 1.635 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NC1CC(CC(=O)[O-])C1 ZINC000910809881 710084344 /nfs/dbraw/zinc/08/43/44/710084344.db2.gz PICNNSSTVHJDCW-UHFFFAOYSA-N -1 1 305.256 1.720 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)C2(C(=O)[O-])CCSCC2)n[nH]1 ZINC000901610807 710094822 /nfs/dbraw/zinc/09/48/22/710094822.db2.gz RPEWSDZUNHBJIL-VIFPVBQESA-N -1 1 311.407 1.363 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN1C(=O)c1cccc2[nH]c(C)nc21 ZINC000901667261 710114919 /nfs/dbraw/zinc/11/49/19/710114919.db2.gz JVCMVUUTLREARN-MRXNPFEDSA-N -1 1 317.345 1.577 20 0 DDADMM O=C(C[N@@H+]1CCC[C@H](C(=O)[O-])C1)NC[C@H]1CCCC2(CCC2)O1 ZINC000910997516 710147438 /nfs/dbraw/zinc/14/74/38/710147438.db2.gz KGZWLQCDSZLSDI-UONOGXRCSA-N -1 1 324.421 1.391 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC[C@H]1CCCC2(CCC2)O1 ZINC000910997516 710147440 /nfs/dbraw/zinc/14/74/40/710147440.db2.gz KGZWLQCDSZLSDI-UONOGXRCSA-N -1 1 324.421 1.391 20 0 DDADMM O=C([O-])C[C@]1(NCc2ccc(Br)o2)CCOC1 ZINC000901835275 710157413 /nfs/dbraw/zinc/15/74/13/710157413.db2.gz AEKCTZWTQNZWPG-LLVKDONJSA-N -1 1 304.140 1.766 20 0 DDADMM COc1ccc([C@H](NCc2cncn2C)C(=O)[O-])cc1Cl ZINC000901846362 710159237 /nfs/dbraw/zinc/15/92/37/710159237.db2.gz BVDGJFKTLREJER-ZDUSSCGKSA-N -1 1 309.753 1.998 20 0 DDADMM O=C([O-])C1(C(=O)N2CCN(CC3CC3)CC2)CCSCC1 ZINC000901958198 710185159 /nfs/dbraw/zinc/18/51/59/710185159.db2.gz HUIZWLCEIPZYKH-UHFFFAOYSA-N -1 1 312.435 1.139 20 0 DDADMM Cn1ncc2cc(C[N@H+]3Cc4ccccc4C[C@@H]3C(=O)[O-])cnc21 ZINC000901974244 710190310 /nfs/dbraw/zinc/19/03/10/710190310.db2.gz AWULKEZMXWCCNC-MRXNPFEDSA-N -1 1 322.368 1.980 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1Cc1cn(-c2ccc(F)cc2)nn1 ZINC000902007696 710200254 /nfs/dbraw/zinc/20/02/54/710200254.db2.gz OFGIGAWKCGVFRO-AWEZNQCLSA-N -1 1 304.325 1.846 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)[C@H]1CSCCS1 ZINC000891627850 710252192 /nfs/dbraw/zinc/25/21/92/710252192.db2.gz OAAAFFKONCZHNO-SNVBAGLBSA-N -1 1 311.432 1.848 20 0 DDADMM COCc1nc(NC[C@H](O)c2ccc(OC)cc2)cc(=O)[n-]1 ZINC000891782137 710293618 /nfs/dbraw/zinc/29/36/18/710293618.db2.gz NRWUPVXBJJZPMM-LBPRGKRZSA-N -1 1 305.334 1.483 20 0 DDADMM COCc1nc(NCCN2CCc3sccc3C2)cc(=O)[nH]1 ZINC000891966609 710341832 /nfs/dbraw/zinc/34/18/32/710341832.db2.gz PZLWEVJEPKJODS-UHFFFAOYSA-N -1 1 320.418 1.860 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)NCC3(C(=O)[O-])CCCC3)c2C1 ZINC000902063139 710600890 /nfs/dbraw/zinc/60/08/90/710600890.db2.gz SRBULBVBLQYKDH-JTQLQIEISA-N -1 1 305.378 1.909 20 0 DDADMM CN(Cc1ccccc1)C(=O)CNCc1ccoc1C(=O)[O-] ZINC000902112947 710619976 /nfs/dbraw/zinc/61/99/76/710619976.db2.gz RJWWUUPMJYBFPH-UHFFFAOYSA-N -1 1 302.330 1.726 20 0 DDADMM CC(C)[C@H](C(=O)Nc1ccc2cnn(CC(=O)[O-])c2c1)N(C)C ZINC000911073441 710622297 /nfs/dbraw/zinc/62/22/97/710622297.db2.gz XNQOTOBBKMCNED-OAHLLOKOSA-N -1 1 318.377 1.646 20 0 DDADMM CN(C)[C@@H](CNC(=O)C12CC(C(=O)[O-])(C1)C2)c1cccs1 ZINC000911100248 710633336 /nfs/dbraw/zinc/63/33/36/710633336.db2.gz IPGPLFZMRVCPIW-ISAOAKOHSA-N -1 1 308.403 1.722 20 0 DDADMM O=C([O-])C[C@H](NC(=O)[C@H]1CCc2nc[nH]c2C1)C(F)(F)F ZINC000911161746 710662980 /nfs/dbraw/zinc/66/29/80/710662980.db2.gz OZNGTLCPYDANFF-RCOVLWMOSA-N -1 1 305.256 1.036 20 0 DDADMM O=C([O-])C1(C(=O)N2CCN(CC3CCOCC3)CC2)CCCC1 ZINC000911375920 710768881 /nfs/dbraw/zinc/76/88/81/710768881.db2.gz AHJICPCYEXXIMQ-UHFFFAOYSA-N -1 1 324.421 1.202 20 0 DDADMM CC[C@@H](c1ccncc1)N(C)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911416436 710788784 /nfs/dbraw/zinc/78/87/84/710788784.db2.gz MOWIGRMTDOSBHD-GJZGRUSLSA-N -1 1 319.405 1.788 20 0 DDADMM O=C([O-])[C@@H]1CCCC[C@@H]1C(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000911438912 710798799 /nfs/dbraw/zinc/79/87/99/710798799.db2.gz RLPMJAJCTVJHLL-NWDGAFQWSA-N -1 1 306.366 1.402 20 0 DDADMM COCc1nc(N2CCC(c3c[nH]nc3C)CC2)cc(=O)[n-]1 ZINC000893735453 710800757 /nfs/dbraw/zinc/80/07/57/710800757.db2.gz OZZLDYPPDNRIHK-UHFFFAOYSA-N -1 1 303.366 1.744 20 0 DDADMM CCCO[N-]C(=O)[C@H](C)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC000911552950 710849642 /nfs/dbraw/zinc/84/96/42/710849642.db2.gz QOSJBAUWTAHVSS-GHMZBOCLSA-N -1 1 304.387 1.308 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)c1ccc(C(=O)[O-])c(C)n1 ZINC000911574991 710862206 /nfs/dbraw/zinc/86/22/06/710862206.db2.gz WUKWPWYHNLHZNS-LBPRGKRZSA-N -1 1 305.378 1.645 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2C[C@H](N3CCOCC3)C2(C)C)c([O-])c1 ZINC000911840252 711025981 /nfs/dbraw/zinc/02/59/81/711025981.db2.gz FJHBXMPZXIRFSF-KBPBESRZSA-N -1 1 319.405 1.325 20 0 DDADMM C[C@@H]1C(=O)N(C)[C@H](C)[C@H](C)N1C(=O)C(=O)c1ccc([O-])cc1 ZINC000912012599 711120517 /nfs/dbraw/zinc/12/05/17/711120517.db2.gz KGBXINWMDUFHFZ-OUAUKWLOSA-N -1 1 304.346 1.041 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2csc(N)n2)sc1C ZINC000903571418 711202351 /nfs/dbraw/zinc/20/23/51/711202351.db2.gz DWVIIQBILRGYFD-UHFFFAOYSA-N -1 1 304.422 1.277 20 0 DDADMM CC(C)Cc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000913493246 713236849 /nfs/dbraw/zinc/23/68/49/713236849.db2.gz KIIFFRHOLAUKTQ-CQSZACIVSA-N -1 1 315.377 1.612 20 0 DDADMM CSCc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000913493340 713237018 /nfs/dbraw/zinc/23/70/18/713237018.db2.gz OYOBJZBKMNYCNX-GFCCVEGCSA-N -1 1 319.390 1.276 20 0 DDADMM Cc1nc(N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)cc(=O)[nH]1 ZINC000894641030 711226839 /nfs/dbraw/zinc/22/68/39/711226839.db2.gz BVLIUMQNOGOYIS-APPZFPTMSA-N -1 1 318.299 1.384 20 0 DDADMM CC[C@H](CC1CCCC1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494672 713237362 /nfs/dbraw/zinc/23/73/62/713237362.db2.gz IRRFZJXZMGNKRN-CHWSQXEVSA-N -1 1 307.398 1.706 20 0 DDADMM Cc1cc(C)c(CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)s1 ZINC000913494460 713237382 /nfs/dbraw/zinc/23/73/82/713237382.db2.gz ZJATYISGITZPIN-SNVBAGLBSA-N -1 1 307.379 1.021 20 0 DDADMM CSc1ccccc1CC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495430 713238024 /nfs/dbraw/zinc/23/80/24/713238024.db2.gz KDVNCMARTXPPQW-NSHDSACASA-N -1 1 319.390 1.064 20 0 DDADMM O=C([C@@H]1CCC[C@@H]2CCCC[C@H]12)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913497566 713238908 /nfs/dbraw/zinc/23/89/08/713238908.db2.gz LSMQJMKPLCHZJA-FQUUOJAGSA-N -1 1 319.409 1.706 20 0 DDADMM O=C([O-])Cc1ccccc1CN1CCOC[C@@H]1C[C@@H]1CCOC1 ZINC000903945181 711344766 /nfs/dbraw/zinc/34/47/66/711344766.db2.gz RGAGYJFAFGMKMT-YOEHRIQHSA-N -1 1 319.401 1.941 20 0 DDADMM COCc1nc(NC[C@@H]2CC[C@@]3(CO[C@H](C)C3)O2)cc(=O)[n-]1 ZINC000895220694 711456236 /nfs/dbraw/zinc/45/62/36/711456236.db2.gz ZCZPDOFUYCPEQJ-JRPNMDOOSA-N -1 1 309.366 1.467 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2cc3n(n2)CCCC3)n1 ZINC000895240566 711462079 /nfs/dbraw/zinc/46/20/79/711462079.db2.gz AKGNBXZHIMLNHN-JTQLQIEISA-N -1 1 318.381 1.365 20 0 DDADMM O=c1nc(NCC[C@H]2CC[C@@H](C(F)(F)F)O2)nc2[nH][n-]cc1-2 ZINC000896013047 711664454 /nfs/dbraw/zinc/66/44/54/711664454.db2.gz WAWYOHQYXPYOQC-SVRRBLITSA-N -1 1 317.271 1.344 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC[C@@H](O)[C@H](F)C1 ZINC000896314667 711705366 /nfs/dbraw/zinc/70/53/66/711705366.db2.gz MEMPGJUIWVRNKS-ZYHUDNBSSA-N -1 1 302.733 1.660 20 0 DDADMM O=C(NC12CCN(CC1)C2)c1nn(-c2ccccc2F)cc1[O-] ZINC000913630672 713272489 /nfs/dbraw/zinc/27/24/89/713272489.db2.gz INYRKSJNMPYGAF-UHFFFAOYSA-N -1 1 316.336 1.295 20 0 DDADMM O=C([C@@H]1COc2ccccc2C1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742783 713292128 /nfs/dbraw/zinc/29/21/28/713292128.db2.gz UMKZUCYIODKGKB-ZDUSSCGKSA-N -1 1 313.361 1.157 20 0 DDADMM O=C(CCCC1CCOCC1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744831 713293418 /nfs/dbraw/zinc/29/34/18/713293418.db2.gz HBVFKQYUGKXUEB-UHFFFAOYSA-N -1 1 307.398 1.503 20 0 DDADMM O=C([C@H]1Cc2ccc(F)cc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913745165 713293654 /nfs/dbraw/zinc/29/36/54/713293654.db2.gz RHGPORHMACVBEC-ZDUSSCGKSA-N -1 1 301.325 1.385 20 0 DDADMM Nc1c(F)cccc1CN[C@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000905792677 712147426 /nfs/dbraw/zinc/14/74/26/712147426.db2.gz DPOOKAGVASXZTM-NSHDSACASA-N -1 1 304.325 1.927 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]c1ncccc1F ZINC000906061421 712233965 /nfs/dbraw/zinc/23/39/65/712233965.db2.gz IPWLNRCNTBVIBE-SFHVURJKSA-N -1 1 309.388 1.774 20 0 DDADMM Cc1ccccc1CN1CCN(c2cc(C(=O)[O-])ncn2)CC1 ZINC000906139981 712252484 /nfs/dbraw/zinc/25/24/84/712252484.db2.gz NFNJKRCABYXKDG-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM C[C@@H](CC(=O)N1CCSC[C@H]1c1nn[n-]n1)C(F)(F)F ZINC000907471728 712580668 /nfs/dbraw/zinc/58/06/68/712580668.db2.gz KDNQKKXQJKHRMZ-BQBZGAKWSA-N -1 1 309.317 1.405 20 0 DDADMM O=C(c1cccc2c1CCC2)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907474485 712581444 /nfs/dbraw/zinc/58/14/44/712581444.db2.gz LCLMLUHLTUCRSP-ZDUSSCGKSA-N -1 1 315.402 1.619 20 0 DDADMM O=C([C@H]1CCC=CCCC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907475756 712582175 /nfs/dbraw/zinc/58/21/75/712582175.db2.gz LBFPMDHFOCGRRC-NWDGAFQWSA-N -1 1 307.423 1.953 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c(O)c1C ZINC000907476190 712582230 /nfs/dbraw/zinc/58/22/30/712582230.db2.gz CCUJIXMRYOQABA-NSHDSACASA-N -1 1 319.390 1.452 20 0 DDADMM CC(=CC(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC000907477158 712582432 /nfs/dbraw/zinc/58/24/32/712582432.db2.gz RNJFTAKZWOFREV-STRFDMGBSA-N -1 1 315.402 1.920 20 0 DDADMM O=C(C=Cc1ccccc1F)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477165 712582536 /nfs/dbraw/zinc/58/25/36/712582536.db2.gz RPHYAEODBLDPQR-FYJFLYSWSA-N -1 1 319.365 1.669 20 0 DDADMM CCCCSCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907478523 712582870 /nfs/dbraw/zinc/58/28/70/712582870.db2.gz VXLVTUBOSULNKD-SECBINFHSA-N -1 1 301.441 1.350 20 0 DDADMM CC(C)(C)OCCCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479699 712583281 /nfs/dbraw/zinc/58/32/81/712583281.db2.gz MAICHIAUZBTYCF-JTQLQIEISA-N -1 1 313.427 1.412 20 0 DDADMM O=C(CCc1ccccc1F)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479150 712583293 /nfs/dbraw/zinc/58/32/93/712583293.db2.gz YMJHMEYOJMUXFU-GFCCVEGCSA-N -1 1 321.381 1.588 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481303 712583806 /nfs/dbraw/zinc/58/38/06/712583806.db2.gz VITRPZJKIVAWEF-CUZBXDDWSA-N -1 1 316.390 1.315 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCc2ncsc2C1 ZINC000907612248 712602912 /nfs/dbraw/zinc/60/29/12/712602912.db2.gz BRYDJVYTMJOKPH-UHFFFAOYSA-N -1 1 302.359 1.715 20 0 DDADMM O=C(N[C@]1(CCO)CCOC1)c1ccc2ccc(O)cc2c1[O-] ZINC000907785889 712626329 /nfs/dbraw/zinc/62/63/29/712626329.db2.gz MEJPSHCGFWVEKI-QGZVFWFLSA-N -1 1 317.341 1.522 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2C[C@H](NC(=O)c3[nH]nc4c3CCCC4)[C@@H]2C1 ZINC000907930349 712650605 /nfs/dbraw/zinc/65/06/05/712650605.db2.gz RVHLMRYNFIUEBO-MRGUHSIWSA-N -1 1 303.362 1.518 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCCCC[C@H]1CCO ZINC000908078170 712680094 /nfs/dbraw/zinc/68/00/94/712680094.db2.gz YVPVHDNTCTZHJT-LBPRGKRZSA-N -1 1 305.378 1.827 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOC[C@H]1[C@@H]1CCCO1 ZINC000908143489 712697167 /nfs/dbraw/zinc/69/71/67/712697167.db2.gz SFHRTNJKWBEAFL-STQMWFEESA-N -1 1 319.361 1.080 20 0 DDADMM C[N@@H+](CC(=O)N[C@H](CC(=O)[O-])Cc1ccccc1)C1CCC1 ZINC000908236935 712716605 /nfs/dbraw/zinc/71/66/05/712716605.db2.gz LGAVEIPBIGPBFA-AWEZNQCLSA-N -1 1 304.390 1.673 20 0 DDADMM CN(CC(=O)N[C@H](CC(=O)[O-])Cc1ccccc1)C1CCC1 ZINC000908236935 712716607 /nfs/dbraw/zinc/71/66/07/712716607.db2.gz LGAVEIPBIGPBFA-AWEZNQCLSA-N -1 1 304.390 1.673 20 0 DDADMM O=C(C[C@@H]1CCC(=O)N1)Nc1cccc([O-])c1Br ZINC000908717322 712840572 /nfs/dbraw/zinc/84/05/72/712840572.db2.gz XTXIFGOLPRZXFC-ZETCQYMHSA-N -1 1 313.151 1.762 20 0 DDADMM CC(=O)N[C@@H](C)C(=O)Nc1cccc([O-])c1Br ZINC000908717600 712840673 /nfs/dbraw/zinc/84/06/73/712840673.db2.gz LSHIQLJODZGGGL-LURJTMIESA-N -1 1 301.140 1.618 20 0 DDADMM O=C([O-])[C@@H](CCF)NC(=O)N[C@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC000908757327 712848760 /nfs/dbraw/zinc/84/87/60/712848760.db2.gz UCZXIUZKXHSXHZ-UONOGXRCSA-N -1 1 323.368 1.373 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCCc3sccc3C2)C1 ZINC000908792844 712855959 /nfs/dbraw/zinc/85/59/59/712855959.db2.gz ZARSJXKVQROANP-CYBMUJFWSA-N -1 1 322.430 1.820 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H](CCF)C(=O)[O-])c1ccsc1 ZINC000908814203 712860243 /nfs/dbraw/zinc/86/02/43/712860243.db2.gz OHAWROCRQVINDD-GHMZBOCLSA-N -1 1 317.386 1.463 20 0 DDADMM Cc1cccc2c1C[C@@H](C(=O)[O-])N2C(=O)N[C@@H]1CCCN(C)C1 ZINC000908868788 712874367 /nfs/dbraw/zinc/87/43/67/712874367.db2.gz AOMJIXLHPACTIU-DOMZBBRYSA-N -1 1 317.389 1.615 20 0 DDADMM CC(=O)N(C)CC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000914922006 713404171 /nfs/dbraw/zinc/40/41/71/713404171.db2.gz HIWGESUTCVSPON-UHFFFAOYSA-N -1 1 305.286 1.020 20 0 DDADMM C[C@H](CSCCS(C)(=O)=O)C(=O)c1ccc([O-])cc1 ZINC000917008223 713477982 /nfs/dbraw/zinc/47/79/82/713477982.db2.gz YIJGCTPHKXEFBY-SNVBAGLBSA-N -1 1 302.417 1.989 20 0 DDADMM O=C([O-])C[C@H](NC(=O)c1[nH]nc2c1CCC2)c1cccs1 ZINC000917099526 713482486 /nfs/dbraw/zinc/48/24/86/713482486.db2.gz HQQYSHBSHXHBLS-JTQLQIEISA-N -1 1 305.359 1.906 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@H]1CCCCC1(OC)OC ZINC000918061787 713528032 /nfs/dbraw/zinc/52/80/32/713528032.db2.gz WCPOSQVPTCVUFD-NSHDSACASA-N -1 1 309.428 1.263 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@]23CCC[C@H]2OCC3)sn1 ZINC000921585462 713813723 /nfs/dbraw/zinc/81/37/23/713813723.db2.gz REIBICXZNCIHLG-ZYHUDNBSSA-N -1 1 302.421 1.689 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(CCSc3ccncc3)C2)n[nH]1 ZINC000930497910 713850189 /nfs/dbraw/zinc/85/01/89/713850189.db2.gz UKMXBUSEILGDEE-LLVKDONJSA-N -1 1 305.407 1.877 20 0 DDADMM O=S(=O)(CC1CCC1)NCCc1c(F)cc([O-])cc1F ZINC000921964439 713921851 /nfs/dbraw/zinc/92/18/51/713921851.db2.gz AORAWNLLOVWQQH-UHFFFAOYSA-N -1 1 305.346 1.932 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H](OC)C1CCCC1)C(C)C ZINC000921975348 713925843 /nfs/dbraw/zinc/92/58/43/713925843.db2.gz OCKDCJYLJNCPDI-CHWSQXEVSA-N -1 1 321.439 1.309 20 0 DDADMM COC(=O)C12CC(C1)CN2C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000930967273 713970487 /nfs/dbraw/zinc/97/04/87/713970487.db2.gz HACTZCRXBMWZCG-DLGFLZQMSA-N -1 1 303.358 1.848 20 0 DDADMM O=C(N[C@@H]1CCC[C@]12CCCO2)c1cnc(C2CC2)[n-]c1=O ZINC000922312160 714018250 /nfs/dbraw/zinc/01/82/50/714018250.db2.gz TUZRXYQYPJIGMX-WBMJQRKESA-N -1 1 303.362 1.891 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(N2CCCCC2)c(F)c1 ZINC000922364955 714031095 /nfs/dbraw/zinc/03/10/95/714031095.db2.gz ODZIRGHQLRDLHS-UHFFFAOYSA-N -1 1 318.356 1.900 20 0 DDADMM CCOC[C@@H]1CN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000931253134 714049092 /nfs/dbraw/zinc/04/90/92/714049092.db2.gz QSUKHEYEIDMZMG-CJNGLKHVSA-N -1 1 307.390 1.948 20 0 DDADMM CCOC[C@H]1CN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000931253135 714049146 /nfs/dbraw/zinc/04/91/46/714049146.db2.gz QSUKHEYEIDMZMG-CZUORRHYSA-N -1 1 307.390 1.948 20 0 DDADMM CO[N-]C(=O)CNCc1ccc(Cl)cc1Br ZINC000922830365 714161562 /nfs/dbraw/zinc/16/15/62/714161562.db2.gz OZDBAYBFCOEYPZ-UHFFFAOYSA-N -1 1 307.575 1.870 20 0 DDADMM C[C@@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)[C@H]1CCCO1 ZINC000923053287 714223436 /nfs/dbraw/zinc/22/34/36/714223436.db2.gz WJLPGAYHADQXSE-DGCLKSJQSA-N -1 1 305.330 1.301 20 0 DDADMM O=C([O-])c1ccccc1CCNC(=O)c1[nH]nc2c1CCCC2 ZINC000923120859 714243558 /nfs/dbraw/zinc/24/35/58/714243558.db2.gz AUMHNXUSIPCKTO-UHFFFAOYSA-N -1 1 313.357 1.959 20 0 DDADMM COC[C@H](C)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932041681 714244411 /nfs/dbraw/zinc/24/44/11/714244411.db2.gz IMHRDRLZFXAKHF-LLVKDONJSA-N -1 1 304.350 1.982 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N2CC[C@H](C(=O)[O-])C[C@@H]2C)C1 ZINC000923156614 714257159 /nfs/dbraw/zinc/25/71/59/714257159.db2.gz XFPRVAKIKCGHQQ-MRBYEJRBSA-N -1 1 305.378 1.787 20 0 DDADMM O=C([O-])[C@@H]1CN(CC(=O)Nc2ccc(Cl)cn2)C[C@H]1C1CC1 ZINC000923529849 714392388 /nfs/dbraw/zinc/39/23/88/714392388.db2.gz TWZIJGUADPDAHO-NWDGAFQWSA-N -1 1 323.780 1.716 20 0 DDADMM CN(CC1CC1)C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933104706 714516278 /nfs/dbraw/zinc/51/62/78/714516278.db2.gz WBUVDVWQTDCJJJ-UHFFFAOYSA-N -1 1 312.316 1.198 20 0 DDADMM COC(=O)[C@H](C[C@H]1CCCO1)NC(=O)c1c([O-])cccc1F ZINC000924870137 714683474 /nfs/dbraw/zinc/68/34/74/714683474.db2.gz RBZAXSWHOZPNFQ-KOLCDFICSA-N -1 1 311.309 1.372 20 0 DDADMM COc1cnc([C@@H]2CCCN2CC(=O)c2cccn2C)[n-]c1=O ZINC000934272157 714783276 /nfs/dbraw/zinc/78/32/76/714783276.db2.gz FGHGXUZQJPCPRN-LBPRGKRZSA-N -1 1 316.361 1.549 20 0 DDADMM COc1cnc([C@@H]2CCCN2CC(=O)OC(C)(C)C)[n-]c1=O ZINC000934278326 714785485 /nfs/dbraw/zinc/78/54/85/714785485.db2.gz XWFBFICUJGPFCW-JTQLQIEISA-N -1 1 309.366 1.669 20 0 DDADMM CC(C)Cn1[n-]c(CC(=O)Nc2cc(N(C)C)ccn2)cc1=O ZINC000935342644 715032583 /nfs/dbraw/zinc/03/25/83/715032583.db2.gz JRQCQBYVBSGIPC-UHFFFAOYSA-N -1 1 317.393 1.475 20 0 DDADMM Cc1cnc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1C ZINC000935455694 715059632 /nfs/dbraw/zinc/05/96/32/715059632.db2.gz FVGXWVWJBYRDPI-NSHDSACASA-N -1 1 304.379 1.142 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2cccs2)C1)c1ncccc1[O-] ZINC000937860544 715616873 /nfs/dbraw/zinc/61/68/73/715616873.db2.gz JEIKRHGJPOAKIS-JTQLQIEISA-N -1 1 317.370 1.493 20 0 DDADMM CC1(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CCCC1 ZINC000938341930 715808024 /nfs/dbraw/zinc/80/80/24/715808024.db2.gz ZKVUYDDWUVYLQI-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM CSCC(=O)N1CC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959043207 716842176 /nfs/dbraw/zinc/84/21/76/716842176.db2.gz VNHNOYGGPYLLPV-MNOVXSKESA-N -1 1 323.418 1.117 20 0 DDADMM C/C=C(/C)C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940686691 716915479 /nfs/dbraw/zinc/91/54/79/716915479.db2.gz SMMMZHXBIYQJMM-BASWHVEKSA-N -1 1 303.362 1.428 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)C1CC=CC1)c1ncccc1[O-] ZINC000943926607 718225121 /nfs/dbraw/zinc/22/51/21/718225121.db2.gz NSHJKLKYLDHEOE-CYBMUJFWSA-N -1 1 315.373 1.474 20 0 DDADMM CCCC(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000944828843 718350368 /nfs/dbraw/zinc/35/03/68/718350368.db2.gz WGCDLWANSSSPMK-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)F)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945104506 718397245 /nfs/dbraw/zinc/39/72/45/718397245.db2.gz UVRZZKDGRIXOJU-QWRGUYRKSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CC1CC1 ZINC000966325330 718515484 /nfs/dbraw/zinc/51/54/84/718515484.db2.gz DOLYUJCUWPKKCF-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM CN(C[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)C(=O)C1CC1 ZINC000966565973 718591048 /nfs/dbraw/zinc/59/10/48/718591048.db2.gz SWPZIXGAQUUXLB-LBPRGKRZSA-N -1 1 317.389 1.508 20 0 DDADMM CCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](CC)C1 ZINC000948175888 719379973 /nfs/dbraw/zinc/37/99/73/719379973.db2.gz NHOOVNTUKPWXLU-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1cc(CN[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)no1 ZINC000968478532 719608672 /nfs/dbraw/zinc/60/86/72/719608672.db2.gz ZOFMZNNPJWBTST-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(Cc2ccon2)C1 ZINC000969544072 720182428 /nfs/dbraw/zinc/18/24/28/720182428.db2.gz UQIGGDWTZYJFAA-JTQLQIEISA-N -1 1 302.334 1.026 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1C ZINC000970624947 720855205 /nfs/dbraw/zinc/85/52/05/720855205.db2.gz XNHWQTUBCLOCJI-CYBMUJFWSA-N -1 1 315.377 1.131 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)C2(CF)CC2)C1)c1ncccc1[O-] ZINC000951959754 721254681 /nfs/dbraw/zinc/25/46/81/721254681.db2.gz HKBAQDKDJWPPGL-LLVKDONJSA-N -1 1 321.352 1.258 20 0 DDADMM C[C@H]1C[C@H]1C(=O)NCC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000952173850 721344461 /nfs/dbraw/zinc/34/44/61/721344461.db2.gz OEABPRAVUPEOLO-WCQYABFASA-N -1 1 317.389 1.412 20 0 DDADMM CC(=O)N1CCC([C@H]2CCCN2C(=O)c2ncccc2[O-])CC1 ZINC000953168256 721559393 /nfs/dbraw/zinc/55/93/93/721559393.db2.gz CQQQTZWNKUFUKT-CQSZACIVSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1n[nH]c(C(=O)NCCNCc2nc(C)c(C)s2)c1[O-] ZINC001125728168 735445790 /nfs/dbraw/zinc/44/57/90/735445790.db2.gz BPPKOXFJPCZXHW-UHFFFAOYSA-N -1 1 309.395 1.017 20 0 DDADMM O=S(=O)([N-]Cc1noc2cc(F)ccc21)c1ccns1 ZINC001213501466 732328948 /nfs/dbraw/zinc/32/89/48/732328948.db2.gz ABLVPPBVFLTHOW-UHFFFAOYSA-N -1 1 313.335 1.902 20 0 DDADMM Cc1nnc([C@@H](C)N2CC[C@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038184155 732911402 /nfs/dbraw/zinc/91/14/02/732911402.db2.gz QGZIKZFJGQUOPN-KOLCDFICSA-N -1 1 317.349 1.044 20 0 DDADMM C[C@@H]1CCN(C(=O)/C=C\C2CC2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087141149 733525796 /nfs/dbraw/zinc/52/57/96/733525796.db2.gz CVPGEFVABWTTLO-JLXHFUFZSA-N -1 1 319.409 1.193 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@@H]1/C=C/c1ccccc1 ZINC001121268344 782433734 /nfs/dbraw/zinc/43/37/34/782433734.db2.gz MSLOCBZUAJWBRE-WTNCMQEWSA-N -1 1 322.376 1.677 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@@H]1/C=C/c1ccccc1 ZINC001121268344 782433739 /nfs/dbraw/zinc/43/37/39/782433739.db2.gz MSLOCBZUAJWBRE-WTNCMQEWSA-N -1 1 322.376 1.677 20 0 DDADMM C[C@@H]1[C@@H](c2ccccc2)CCN1c1nnc(-c2nnn[n-]2)n1C ZINC001121359449 782470745 /nfs/dbraw/zinc/47/07/45/782470745.db2.gz ISNVIVFQFNMQMZ-PWSUYJOCSA-N -1 1 310.365 1.378 20 0 DDADMM C[C@@H]1[C@@H](c2ccccc2)CCN1c1nnc(-c2nn[n-]n2)n1C ZINC001121359449 782470748 /nfs/dbraw/zinc/47/07/48/782470748.db2.gz ISNVIVFQFNMQMZ-PWSUYJOCSA-N -1 1 310.365 1.378 20 0 DDADMM CC(=O)N1CC([C@@H](C)NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC001006547774 736387167 /nfs/dbraw/zinc/38/71/67/736387167.db2.gz BBFGTCPFFCRWCJ-SECBINFHSA-N -1 1 320.393 1.211 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)C[C@@H]1O ZINC000692892760 738963794 /nfs/dbraw/zinc/96/37/94/738963794.db2.gz KKYFYBAIXJEDFP-SFYZADRCSA-N -1 1 308.762 1.312 20 0 DDADMM Cc1cnc(C)nc1N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001058879014 739006965 /nfs/dbraw/zinc/00/69/65/739006965.db2.gz IFQAECBELSWFRV-LBPRGKRZSA-N -1 1 313.361 1.521 20 0 DDADMM CCC(CC)[C@@H](O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692905780 739045241 /nfs/dbraw/zinc/04/52/41/739045241.db2.gz VFCZXIPHIWKUAY-VIFPVBQESA-N -1 1 324.805 1.950 20 0 DDADMM O=C(c1ccc2cccnc2c1[O-])N1CCNCC(F)(F)C1 ZINC001149318798 740574745 /nfs/dbraw/zinc/57/47/45/740574745.db2.gz FRWXOLTWVRRZEA-UHFFFAOYSA-N -1 1 307.300 1.621 20 0 DDADMM O=C(CCCF)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059518798 741091186 /nfs/dbraw/zinc/09/11/86/741091186.db2.gz TZUGBBHDIVFZIA-LBPRGKRZSA-N -1 1 323.368 1.505 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2ccsc2)C1 ZINC001029641012 741165860 /nfs/dbraw/zinc/16/58/60/741165860.db2.gz ZGNKZQKONPOZPP-JTQLQIEISA-N -1 1 321.406 1.166 20 0 DDADMM O=C([C@@H]1CC12CC2)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088389513 741455620 /nfs/dbraw/zinc/45/56/20/741455620.db2.gz QQWSDWDUJXQIIH-NEPJUHHUSA-N -1 1 319.409 1.171 20 0 DDADMM C[C@@H](CCCNc1ncc(F)cn1)NC(=O)c1ncccc1[O-] ZINC001114907590 751675417 /nfs/dbraw/zinc/67/54/17/751675417.db2.gz WQORNQPOPBTNPI-JTQLQIEISA-N -1 1 319.340 1.727 20 0 DDADMM O=C([O-])c1cc(Cl)ccc1CN1CCN([C@H]2CCOC2)CC1 ZINC001142643503 742474429 /nfs/dbraw/zinc/47/44/29/742474429.db2.gz XEMFFQJPPOHIBF-AWEZNQCLSA-N -1 1 324.808 1.945 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1(C)CCC1 ZINC001076246033 742618579 /nfs/dbraw/zinc/61/85/79/742618579.db2.gz BBOWGCAAEXJXMH-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1ccccc1 ZINC001076243951 742623063 /nfs/dbraw/zinc/62/30/63/742623063.db2.gz FGLYIRITVPWJNB-LBPRGKRZSA-N -1 1 313.357 1.726 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002197963 742948966 /nfs/dbraw/zinc/94/89/66/742948966.db2.gz DXPOUUVKFVUBGR-VEGXAWMVSA-N -1 1 315.373 1.305 20 0 DDADMM Cc1ccncc1C=CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001168693123 743051306 /nfs/dbraw/zinc/05/13/06/743051306.db2.gz GGZBMWNDYCARSF-XITLMJRVSA-N -1 1 320.356 1.822 20 0 DDADMM Cc1c(F)cccc1CC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001181699545 743317242 /nfs/dbraw/zinc/31/72/42/743317242.db2.gz HTEPJOGWXXBQGO-UHFFFAOYSA-N -1 1 306.253 1.914 20 0 DDADMM O=C(Cc1n[nH]c2ccccc12)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001182062882 743467266 /nfs/dbraw/zinc/46/72/66/743467266.db2.gz OLCFTZMTTJOLEL-UHFFFAOYSA-N -1 1 309.289 1.116 20 0 DDADMM Cc1ccccc1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998439031 751846766 /nfs/dbraw/zinc/84/67/66/751846766.db2.gz VWFBIHYRZBRVJT-LBPRGKRZSA-N -1 1 315.377 1.213 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1nccc2ccncc21 ZINC001183367477 743833084 /nfs/dbraw/zinc/83/30/84/743833084.db2.gz HDKCWVZZKKZASX-UHFFFAOYSA-N -1 1 323.312 1.099 20 0 DDADMM Cc1ncsc1CCN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001030242118 743978050 /nfs/dbraw/zinc/97/80/50/743978050.db2.gz PNEKSEDSCZWTKL-UHFFFAOYSA-N -1 1 318.402 1.209 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cncc(O)c2)cc1C(=O)[O-] ZINC001184664154 744080228 /nfs/dbraw/zinc/08/02/28/744080228.db2.gz NDDHVMLIMRORFC-UHFFFAOYSA-N -1 1 308.315 1.595 20 0 DDADMM O=S(=O)([N-]CC1(F)CCOCC1)c1cccnc1Cl ZINC001184889301 744115173 /nfs/dbraw/zinc/11/51/73/744115173.db2.gz GMWPUHOHQLTCQD-UHFFFAOYSA-N -1 1 308.762 1.532 20 0 DDADMM O=S(=O)([N-]c1cnc2ccccn12)c1cnc(Cl)nc1 ZINC001185005586 744135850 /nfs/dbraw/zinc/13/58/50/744135850.db2.gz GEXYCIDSCOLKER-UHFFFAOYSA-N -1 1 309.738 1.579 20 0 DDADMM CSc1ncc([O-])c(C(=O)NCc2ccc3[nH]nnc3c2)n1 ZINC001185187968 744175058 /nfs/dbraw/zinc/17/50/58/744175058.db2.gz QFKVWAGFXOEEDH-UHFFFAOYSA-N -1 1 316.346 1.105 20 0 DDADMM CSc1ncc([O-])c(C(=O)NCc2ccc3nn[nH]c3c2)n1 ZINC001185187968 744175062 /nfs/dbraw/zinc/17/50/62/744175062.db2.gz QFKVWAGFXOEEDH-UHFFFAOYSA-N -1 1 316.346 1.105 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)Nc1cccc(CO)n1 ZINC001185877705 744306996 /nfs/dbraw/zinc/30/69/96/744306996.db2.gz SIHSHFXLIMZBRD-UHFFFAOYSA-N -1 1 322.342 1.381 20 0 DDADMM O=S(=O)([N-]c1ccc(CO)cc1)c1ccc2n[nH]cc2c1 ZINC001185989938 744318477 /nfs/dbraw/zinc/31/84/77/744318477.db2.gz GKJOJIAYIWXKHN-UHFFFAOYSA-N -1 1 303.343 1.856 20 0 DDADMM CCOC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1cccc([S-])c1 ZINC001186167151 744356701 /nfs/dbraw/zinc/35/67/01/744356701.db2.gz HYAKDINVEJDMOY-ZDUSSCGKSA-N -1 1 319.386 1.603 20 0 DDADMM COc1cccc(OC)c1C[N-]S(=O)(=O)c1cnoc1C ZINC001187391000 744562830 /nfs/dbraw/zinc/56/28/30/744562830.db2.gz LHYAYKHQSBFULZ-UHFFFAOYSA-N -1 1 312.347 1.479 20 0 DDADMM CN(C)c1nc(NC(=O)C2(C(=O)C3CC3)CC2)c(N=O)c(=O)[n-]1 ZINC001187644212 744588406 /nfs/dbraw/zinc/58/84/06/744588406.db2.gz KWAKHKPJKKGXLN-UHFFFAOYSA-N -1 1 319.321 1.344 20 0 DDADMM O=C(Nc1cc(=O)[nH]c(Cl)c1)c1n[n-]nc1C(F)(F)F ZINC001187758820 744605851 /nfs/dbraw/zinc/60/58/51/744605851.db2.gz JOSQKSYVUHRSAV-UHFFFAOYSA-N -1 1 307.619 1.830 20 0 DDADMM COc1ccccc1OCC[N-]S(=O)(=O)c1nccs1 ZINC001187909041 744627907 /nfs/dbraw/zinc/62/79/07/744627907.db2.gz UAUIJHVABGILLN-UHFFFAOYSA-N -1 1 314.388 1.509 20 0 DDADMM Cn1c2ccccc2nc1CC[N-]S(=O)(=O)c1nccs1 ZINC001187909220 744628378 /nfs/dbraw/zinc/62/83/78/744628378.db2.gz XNWHQDQIHOQVLA-UHFFFAOYSA-N -1 1 322.415 1.551 20 0 DDADMM CSCC[C@@H]([N-]S(=O)(=O)c1nccs1)C(C)(C)O ZINC001187910703 744628822 /nfs/dbraw/zinc/62/88/22/744628822.db2.gz GRZGETFJGNINSC-MRVPVSSYSA-N -1 1 310.466 1.314 20 0 DDADMM COc1ccc([C@H](O)C[N-]S(=O)(=O)c2nccs2)cc1 ZINC001187913026 744629312 /nfs/dbraw/zinc/62/93/12/744629312.db2.gz SHFPATKOWFPQPD-LLVKDONJSA-N -1 1 314.388 1.164 20 0 DDADMM Cc1nnsc1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001187877048 744630895 /nfs/dbraw/zinc/63/08/95/744630895.db2.gz UNBOVVYMSRGRPW-UHFFFAOYSA-N -1 1 301.331 1.990 20 0 DDADMM CCOC(=O)c1oc2nccnc2c1[N-]C(=O)c1c[nH]nc1C ZINC001188049894 744649366 /nfs/dbraw/zinc/64/93/66/744649366.db2.gz GLZBRAHKDFTNQP-UHFFFAOYSA-N -1 1 315.289 1.683 20 0 DDADMM CS(=O)(=O)c1ccc([N-]S(=O)(=O)c2ccoc2)c(F)c1 ZINC001188607780 744726654 /nfs/dbraw/zinc/72/66/54/744726654.db2.gz JWJMEECHVURJLC-UHFFFAOYSA-N -1 1 319.335 1.623 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(Cl)cnc1Cl)c1nc[nH]n1 ZINC001188838803 744770766 /nfs/dbraw/zinc/77/07/66/744770766.db2.gz VMEUXQUPZBMLCT-RXMQYKEDSA-N -1 1 322.177 1.546 20 0 DDADMM COc1ccc(C[N-]S(=O)(=O)c2ccc(F)nc2F)nc1 ZINC001189804260 744986061 /nfs/dbraw/zinc/98/60/61/744986061.db2.gz OEQKBYLUKXMTFG-UHFFFAOYSA-N -1 1 315.301 1.242 20 0 DDADMM COC(=O)[C@@H](NC(=O)Nc1cc(F)c(F)cc1[O-])C(C)C ZINC001190242781 745159074 /nfs/dbraw/zinc/15/90/74/745159074.db2.gz APFOENBAQQTIJH-NSHDSACASA-N -1 1 302.277 1.990 20 0 DDADMM COc1ccc(C(=O)NCc2nn[n-]n2)c(OC(F)(F)F)c1 ZINC001190577173 745259065 /nfs/dbraw/zinc/25/90/65/745259065.db2.gz CLCLBNBEDWAUEI-UHFFFAOYSA-N -1 1 317.227 1.037 20 0 DDADMM CC1(C)C[C@@H](CNC(=O)c2cnc(-c3ccccn3)[n-]c2=O)O1 ZINC001190629537 745279020 /nfs/dbraw/zinc/27/90/20/745279020.db2.gz RYAMRZXEZUIPKB-JTQLQIEISA-N -1 1 314.345 1.542 20 0 DDADMM COc1cc(C(=O)NCC(C)(OC)OC)cc(Cl)c1[O-] ZINC001191155778 745437803 /nfs/dbraw/zinc/43/78/03/745437803.db2.gz MAKLXWNCIQJVHA-UHFFFAOYSA-N -1 1 303.742 1.793 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cnc(=O)[nH]c1 ZINC001191167219 745440402 /nfs/dbraw/zinc/44/04/02/745440402.db2.gz NKTDBZMPEZRHNB-UHFFFAOYSA-N -1 1 311.095 1.298 20 0 DDADMM CSc1ncc(C(=O)NC2(c3nc(C)no3)CC2)c(=O)[n-]1 ZINC001191439880 745513000 /nfs/dbraw/zinc/51/30/00/745513000.db2.gz GDMDVBLQHVISRS-UHFFFAOYSA-N -1 1 307.335 1.015 20 0 DDADMM O=C(NCc1nc(=O)[n-][nH]1)c1cncc(-c2cccs2)c1 ZINC001191826448 745622775 /nfs/dbraw/zinc/62/27/75/745622775.db2.gz VPKXMADZSKXJIP-UHFFFAOYSA-N -1 1 301.331 1.564 20 0 DDADMM O=S(=O)([N-]c1cnc2cccnn12)C(Cl)(Cl)Cl ZINC001192001447 745663406 /nfs/dbraw/zinc/66/34/06/745663406.db2.gz KOKJWFMVEHLQPA-UHFFFAOYSA-N -1 1 315.569 1.799 20 0 DDADMM COc1ccc(-c2nnsc2[N-]C(=O)c2ncon2)cc1 ZINC001192015212 745674332 /nfs/dbraw/zinc/67/43/32/745674332.db2.gz JDOLETRPUJHBBV-UHFFFAOYSA-N -1 1 303.303 1.849 20 0 DDADMM CC(=O)Nc1ccc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)c(C)c1 ZINC001192491286 745795378 /nfs/dbraw/zinc/79/53/78/745795378.db2.gz JZGZFSYBRCTPDN-UHFFFAOYSA-N -1 1 324.344 1.554 20 0 DDADMM N=c1c(N)cnc(Cl)n1C(=O)c1c(F)ccc([O-])c1F ZINC001192525327 745821147 /nfs/dbraw/zinc/82/11/47/745821147.db2.gz RTRWZHKTTWIFAT-UHFFFAOYSA-N -1 1 300.652 1.270 20 0 DDADMM CCC(CC)CN1CC(NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001031251077 746017949 /nfs/dbraw/zinc/01/79/49/746017949.db2.gz CAHLJYLBKBZTAL-UHFFFAOYSA-N -1 1 318.377 1.779 20 0 DDADMM Cc1[n-]n(-c2ccccc2)c(=O)c1C(=O)N1CC2(CN(C)C2)C1 ZINC001194271383 746326946 /nfs/dbraw/zinc/32/69/46/746326946.db2.gz ZXKNXCOEKXKVHC-UHFFFAOYSA-N -1 1 312.373 1.274 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc(-c3ccccc3)cnn2)[n-]n1 ZINC001194283157 746338051 /nfs/dbraw/zinc/33/80/51/746338051.db2.gz KWMWEUQOMYKBPE-UHFFFAOYSA-N -1 1 323.312 1.906 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cccc(C(N)=S)c2)[n-]n1 ZINC001194288700 746343994 /nfs/dbraw/zinc/34/39/94/746343994.db2.gz KTGRGJWCMAUGJY-UHFFFAOYSA-N -1 1 304.331 1.083 20 0 DDADMM O=C1CC(C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC001194578981 746413756 /nfs/dbraw/zinc/41/37/56/746413756.db2.gz YCPMCPIVKVWYJW-UHFFFAOYSA-N -1 1 308.281 1.358 20 0 DDADMM Cn1ncc2cccc([N-]S(=O)(=O)C3CCC(=O)CC3)c21 ZINC001194799071 746463331 /nfs/dbraw/zinc/46/33/31/746463331.db2.gz GBHHXIJXGJINPT-UHFFFAOYSA-N -1 1 307.375 1.827 20 0 DDADMM COC(=O)[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1nc(C)ccc1[O-])C2 ZINC001195325168 746566023 /nfs/dbraw/zinc/56/60/23/746566023.db2.gz YTGAYRFOMIYIJB-SRVKXCTJSA-N -1 1 304.346 1.509 20 0 DDADMM CCOC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1cc([O-])cnc1Cl ZINC001195299617 746570686 /nfs/dbraw/zinc/57/06/86/746570686.db2.gz PLWRFHAPJTWFSE-RMLUDKJBSA-N -1 1 310.737 1.608 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cc(F)ncc2F)cn1 ZINC001195693612 746680551 /nfs/dbraw/zinc/68/05/51/746680551.db2.gz VBPANBHAKSIQGK-UHFFFAOYSA-N -1 1 301.274 1.564 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1ccc2c(c1)[C@@H](O)CCC2 ZINC001195977878 746745294 /nfs/dbraw/zinc/74/52/94/746745294.db2.gz ZKWPKSQUCVBSMC-ZDUSSCGKSA-N -1 1 313.375 1.361 20 0 DDADMM C[C@@]1(CF)CC(F)(F)CN1C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196013495 746756750 /nfs/dbraw/zinc/75/67/50/746756750.db2.gz DJWBWWNDDWIGMV-JTQLQIEISA-N -1 1 307.297 1.680 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC[C@@H]1c1ccccn1 ZINC001196016880 746757471 /nfs/dbraw/zinc/75/74/71/746757471.db2.gz ZDHSSNKTUFOGCT-LLVKDONJSA-N -1 1 302.359 1.843 20 0 DDADMM COC1CCC(CCNC(=O)c2c[nH]c(=S)[n-]c2=O)CC1 ZINC001196026644 746760777 /nfs/dbraw/zinc/76/07/77/746760777.db2.gz ADUOWIJEYXANCM-UHFFFAOYSA-N -1 1 311.407 1.796 20 0 DDADMM COc1cc(O)ccc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001197152413 747087734 /nfs/dbraw/zinc/08/77/34/747087734.db2.gz HLOKSKHPMMQIBP-UHFFFAOYSA-N -1 1 306.234 1.252 20 0 DDADMM COc1ccc([N-]S(=O)(=O)Cc2cccnc2)nc1OC ZINC001197422995 747159835 /nfs/dbraw/zinc/15/98/35/747159835.db2.gz ZXABAEIMMPUFLU-UHFFFAOYSA-N -1 1 309.347 1.436 20 0 DDADMM COc1cnc(Cl)c([N-]S(=O)(=O)Cc2cccnc2)n1 ZINC001197431202 747162562 /nfs/dbraw/zinc/16/25/62/747162562.db2.gz YXWRQQZJKJCSBL-UHFFFAOYSA-N -1 1 314.754 1.476 20 0 DDADMM Cc1nnc2cc([N-]S(=O)(=O)Cc3cccnc3)ccn12 ZINC001197431093 747162757 /nfs/dbraw/zinc/16/27/57/747162757.db2.gz XPAPWSMEPRLQKI-UHFFFAOYSA-N -1 1 303.347 1.375 20 0 DDADMM COc1ccc2c(c1)NC(=O)C[C@H]2C[N-]C(=O)C(F)(F)F ZINC001142767980 747175970 /nfs/dbraw/zinc/17/59/70/747175970.db2.gz KSGQIQAQKMWWLL-ZETCQYMHSA-N -1 1 302.252 1.800 20 0 DDADMM Cc1cc(C(=O)NC2C(=O)N=CN=C2[O-])nn1-c1ccncc1 ZINC001197527593 747185850 /nfs/dbraw/zinc/18/58/50/747185850.db2.gz OVVAAEAWXOGSDR-UHFFFAOYSA-N -1 1 312.289 1.029 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)Cc1ccccn1)C(=O)OC ZINC001197841116 747275841 /nfs/dbraw/zinc/27/58/41/747275841.db2.gz XSCDUIZDSFVKDV-JQWIXIFHSA-N -1 1 300.380 1.089 20 0 DDADMM Cc1ccc(NC(=S)Nc2c(O)[nH]c(=O)[n-]c2=S)c(C)c1 ZINC001198014911 747337093 /nfs/dbraw/zinc/33/70/93/747337093.db2.gz VSNZRDOBRNZCAK-SECBINFHSA-N -1 1 322.415 1.125 20 0 DDADMM COc1nc(Cl)ccc1S(=O)(=O)[N-][C@@H]1CCOC[C@@H]1F ZINC001198723683 747579244 /nfs/dbraw/zinc/57/92/44/747579244.db2.gz YBTZBCTWCLDGOS-JGVFFNPUSA-N -1 1 324.761 1.149 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cnccc1OC1CCC1 ZINC001198867798 747640538 /nfs/dbraw/zinc/64/05/38/747640538.db2.gz LTBMFLOXJLHWFJ-UHFFFAOYSA-N -1 1 317.305 1.049 20 0 DDADMM O=C(Nc1c(Cl)cnnc1Cl)c1ccc([O-])c(=O)[nH]1 ZINC001199197120 747737537 /nfs/dbraw/zinc/73/75/37/747737537.db2.gz QPVQEHSOTRGLTC-UHFFFAOYSA-N -1 1 301.089 1.842 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1CC1 ZINC001004328684 748312685 /nfs/dbraw/zinc/31/26/85/748312685.db2.gz WSKMCMUCIHUDED-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCO1)c1c(F)cccc1Br ZINC001201017952 748389994 /nfs/dbraw/zinc/38/99/94/748389994.db2.gz AMSDGFVWQSDCRO-ZETCQYMHSA-N -1 1 324.171 1.655 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1CCOC[C@@H]1F ZINC001201764457 748596985 /nfs/dbraw/zinc/59/69/85/748596985.db2.gz OCKIFAIWBPZBIK-BQBZGAKWSA-N -1 1 314.791 1.510 20 0 DDADMM CCCc1ccnc([N-]S(=O)(=O)CCCC(=O)OC)c1 ZINC001201905988 748635917 /nfs/dbraw/zinc/63/59/17/748635917.db2.gz LQFCBMKRPXRZIJ-UHFFFAOYSA-N -1 1 300.380 1.729 20 0 DDADMM COc1cccc(NC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001202283622 748737400 /nfs/dbraw/zinc/73/74/00/748737400.db2.gz KVKSVWNTRSOMFR-UHFFFAOYSA-N -1 1 316.277 1.368 20 0 DDADMM CCc1ncnc(NC[C@H](C)NC(=O)c2ncccc2[O-])c1F ZINC001108323069 761933160 /nfs/dbraw/zinc/93/31/60/761933160.db2.gz JAQXPKTWIYGMQR-VIFPVBQESA-N -1 1 319.340 1.509 20 0 DDADMM Cc1nc(C)c(F)c(NC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108323407 761933379 /nfs/dbraw/zinc/93/33/79/761933379.db2.gz PTECOFQODRPHBO-QMMMGPOBSA-N -1 1 319.340 1.564 20 0 DDADMM CNC(=O)[C@@H](C)N1CCC(N(C)C(=O)c2ccccc2O)CC1 ZINC001005135010 749272970 /nfs/dbraw/zinc/27/29/70/749272970.db2.gz YJDQBOJHSGBNOT-GFCCVEGCSA-N -1 1 319.405 1.063 20 0 DDADMM CC(C)=CC(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996140893 749392737 /nfs/dbraw/zinc/39/27/37/749392737.db2.gz DNVXXOUSPUCKQL-AGIUHOORSA-N -1 1 315.373 1.615 20 0 DDADMM CC/C=C(/C)C(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202582495 749443850 /nfs/dbraw/zinc/44/38/50/749443850.db2.gz QJHSXOZYKFTJLZ-XRHVUQDBSA-N -1 1 319.409 1.384 20 0 DDADMM CN(c1cnc(F)cn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001056901183 761996714 /nfs/dbraw/zinc/99/67/14/761996714.db2.gz ZGBBFUZCYBWEIU-SNVBAGLBSA-N -1 1 317.324 1.067 20 0 DDADMM C[C@H](NC(=O)C1CCCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005570695 750634396 /nfs/dbraw/zinc/63/43/96/750634396.db2.gz ISSLKKUCJQMCRJ-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@H]2CNCc2ccon2)c1[O-] ZINC001036984807 750666002 /nfs/dbraw/zinc/66/60/02/750666002.db2.gz XKKLCHYOTPBMCF-CMPLNLGQSA-N -1 1 319.365 1.100 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCCC1 ZINC001000675676 762080189 /nfs/dbraw/zinc/08/01/89/762080189.db2.gz OUIOFKFADOOAIT-WCQYABFASA-N -1 1 321.425 1.417 20 0 DDADMM O=C(N[C@H]1CCCN(Cc2nccs2)C1)c1ncccc1[O-] ZINC001007192310 750954754 /nfs/dbraw/zinc/95/47/54/750954754.db2.gz WJPXLTJQPWXLCM-NSHDSACASA-N -1 1 318.402 1.638 20 0 DDADMM CC1(C)CCC[C@@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999956619 753271936 /nfs/dbraw/zinc/27/19/36/753271936.db2.gz OYRRUHHSBSFLGK-VXGBXAGGSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC2(C)C)C1 ZINC001005947663 753407535 /nfs/dbraw/zinc/40/75/35/753407535.db2.gz AZCUQSFZKXKIRJ-CMPLNLGQSA-N -1 1 317.389 1.410 20 0 DDADMM CCC[C@@H](C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839643 753760439 /nfs/dbraw/zinc/76/04/39/753760439.db2.gz YLZOSVADGCNWOW-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1cncc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC001010729495 754128205 /nfs/dbraw/zinc/12/82/05/754128205.db2.gz CCCQJAVLBBSYAM-CQSZACIVSA-N -1 1 312.373 1.495 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ccoc2)CCN1C(=O)c1ncccc1[O-] ZINC001011674493 754669498 /nfs/dbraw/zinc/66/94/98/754669498.db2.gz USIKANUXIRSBNI-ZYHUDNBSSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cc[nH]c1 ZINC001011807264 754724160 /nfs/dbraw/zinc/72/41/60/754724160.db2.gz CBHRTSFTAUTDOK-CMPLNLGQSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)/C=C/C1CC1 ZINC001012431353 755067603 /nfs/dbraw/zinc/06/76/03/755067603.db2.gz XJFRDQIRUTWPKP-BKVNPXPRSA-N -1 1 315.373 1.473 20 0 DDADMM CC/C=C(/C)C(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079414501 755299029 /nfs/dbraw/zinc/29/90/29/755299029.db2.gz ZUSRIFMFWHNDDC-GHXNOFRVSA-N -1 1 317.389 1.816 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1(C)CC1 ZINC001013293171 755367672 /nfs/dbraw/zinc/36/76/72/755367672.db2.gz MLBBOPNXPDMCRX-RYUDHWBXSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1Nc1cc(F)ncn1 ZINC001067076737 755707431 /nfs/dbraw/zinc/70/74/31/755707431.db2.gz UGQJNDVPAPGKFZ-ZJUUUORDSA-N -1 1 317.324 1.289 20 0 DDADMM CCC(=O)N[C@@H]1CCC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001014573512 755805037 /nfs/dbraw/zinc/80/50/37/755805037.db2.gz QLDYBGRCXYUGFO-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)N1CCC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]1C ZINC001014955703 756037074 /nfs/dbraw/zinc/03/70/74/756037074.db2.gz MTRYJUZSVKZDMR-ZANVPECISA-N -1 1 318.377 1.189 20 0 DDADMM O=C(CC1CC1)N[C@@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015297658 756225929 /nfs/dbraw/zinc/22/59/29/756225929.db2.gz GPLGGIVWKYZXJK-CHWSQXEVSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(CC1CC1)N[C@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015297660 756226192 /nfs/dbraw/zinc/22/61/92/756226192.db2.gz GPLGGIVWKYZXJK-STQMWFEESA-N -1 1 317.389 1.602 20 0 DDADMM CC(=O)NC[C@@H]1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001015443483 756305065 /nfs/dbraw/zinc/30/50/65/756305065.db2.gz IYYLNZXKOVMRFQ-ZYHUDNBSSA-N -1 1 318.377 1.094 20 0 DDADMM CCOC(=O)N1CCC[C@H](Nc2[n-]c(=O)nc3nc[nH]c32)CC1 ZINC001169659640 762582690 /nfs/dbraw/zinc/58/26/90/762582690.db2.gz UWKYNGORBQTPDR-VIFPVBQESA-N -1 1 320.353 1.481 20 0 DDADMM CC[C@@H](C)C(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400179 757899643 /nfs/dbraw/zinc/89/96/43/757899643.db2.gz JXQLMTJKRAICAK-GFCCVEGCSA-N -1 1 317.389 1.722 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@H]1CC=CCC1 ZINC001085132268 758280468 /nfs/dbraw/zinc/28/04/68/758280468.db2.gz VPDZFHITMDYADD-YNEHKIRRSA-N -1 1 319.409 1.384 20 0 DDADMM CC(=O)N1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CC[C@H]1C ZINC001018159350 758589285 /nfs/dbraw/zinc/58/92/85/758589285.db2.gz FNXLURABHXCHPL-SKDRFNHKSA-N -1 1 318.377 1.189 20 0 DDADMM Cc1ccnc(N2CCCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC001057127530 762694561 /nfs/dbraw/zinc/69/45/61/762694561.db2.gz XMUQHWMLZJSFOM-UHFFFAOYSA-N -1 1 313.361 1.238 20 0 DDADMM O=C([C@H]1C[C@]12CCc1ccccc12)N1CCC(c2nn[n-]n2)CC1 ZINC000827710207 759364941 /nfs/dbraw/zinc/36/49/41/759364941.db2.gz BVZQFYRHPXLCSG-QAPCUYQASA-N -1 1 323.400 1.810 20 0 DDADMM CC[C@H](F)C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962783 759454131 /nfs/dbraw/zinc/45/41/31/759454131.db2.gz ZBBQAPWXMXFLRQ-NSHDSACASA-N -1 1 321.352 1.210 20 0 DDADMM C[C@H]1CN(C(=O)C(F)=C2CCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054567675 759824695 /nfs/dbraw/zinc/82/46/95/759824695.db2.gz QVHFMOFMIXUHEX-ONGXEEELSA-N -1 1 323.372 1.244 20 0 DDADMM CN(C(=O)c1cccc(OCc2cscn2)c1)c1nn[n-]n1 ZINC001131169346 767916751 /nfs/dbraw/zinc/91/67/51/767916751.db2.gz GTHKOZHPTUAATA-UHFFFAOYSA-N -1 1 316.346 1.512 20 0 DDADMM CC1CC(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H]2C)C1 ZINC001020169890 760644859 /nfs/dbraw/zinc/64/48/59/760644859.db2.gz IJRRNLYIWWABQP-MVKMKZAISA-N -1 1 317.389 1.553 20 0 DDADMM CC[C@@H](F)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066390858 760960966 /nfs/dbraw/zinc/96/09/66/760960966.db2.gz RMWMWEBAXJPNMF-VXGBXAGGSA-N -1 1 323.368 1.646 20 0 DDADMM O=C(N[C@@H]1CC[C@H](CNc2ncccn2)C1)c1ncccc1[O-] ZINC001069461143 768028656 /nfs/dbraw/zinc/02/86/56/768028656.db2.gz JMELUGYKJZVPTE-NWDGAFQWSA-N -1 1 313.361 1.588 20 0 DDADMM CN(CCCNc1cncc(Cl)n1)C(=O)c1ncccc1[O-] ZINC001109436769 763706347 /nfs/dbraw/zinc/70/63/47/763706347.db2.gz HDAYHGFUTPWIFL-UHFFFAOYSA-N -1 1 321.768 1.805 20 0 DDADMM Cc1ccnc(N[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983714 765082380 /nfs/dbraw/zinc/08/23/80/765082380.db2.gz PLTNDHAQOUDTQO-GHMZBOCLSA-N -1 1 301.350 1.505 20 0 DDADMM O=C(NCC1CC(Nc2cc(F)ncn2)C1)c1ncccc1[O-] ZINC001051910891 765271080 /nfs/dbraw/zinc/27/10/80/765271080.db2.gz MLJZXVCQJXEICL-UHFFFAOYSA-N -1 1 317.324 1.337 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)C1(C)CC1 ZINC001052421922 765810101 /nfs/dbraw/zinc/81/01/01/765810101.db2.gz OUGIBSUEJGKUGT-NEPJUHHUSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@H](c1ccccc1)n1ccc(NC(=O)CCc2nn[n-]n2)n1 ZINC001137425399 766161839 /nfs/dbraw/zinc/16/18/39/766161839.db2.gz FUDHFFNQBOEGNX-LLVKDONJSA-N -1 1 311.349 1.577 20 0 DDADMM COc1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(OC)c1F ZINC001170219988 766177773 /nfs/dbraw/zinc/17/77/73/766177773.db2.gz RMRGIZQJJNUGNO-UHFFFAOYSA-N -1 1 321.268 1.616 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccc(Br)c[n+]2[O-])[n-]1 ZINC001170233281 766187665 /nfs/dbraw/zinc/18/76/65/766187665.db2.gz MWIQJTMIYMEAFW-UHFFFAOYSA-N -1 1 323.110 1.198 20 0 DDADMM CSCCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952417 770336570 /nfs/dbraw/zinc/33/65/70/770336570.db2.gz LVFKSCMIPCLYDS-QWRGUYRKSA-N -1 1 323.418 1.117 20 0 DDADMM COCCN(CCC(=O)OC)C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001136727827 772113669 /nfs/dbraw/zinc/11/36/69/772113669.db2.gz ARHAMZZHXYWLAD-UHFFFAOYSA-N -1 1 323.345 1.165 20 0 DDADMM C/C=C/C=C/C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001144008728 772369302 /nfs/dbraw/zinc/36/93/02/772369302.db2.gz RFHLMBHCJDWFRP-MQQKCMAXSA-N -1 1 311.126 1.339 20 0 DDADMM CSc1nc(NC(=O)[C@H](O)c2ccc(O)cc2)cc(=O)[n-]1 ZINC001144599022 772577720 /nfs/dbraw/zinc/57/77/20/772577720.db2.gz ALMAEDOKXGRUON-LLVKDONJSA-N -1 1 307.331 1.282 20 0 DDADMM CCN1C[C@@H](CNC(=O)c2cc(C)cc(C=O)c2[O-])CC1=O ZINC001145023183 772757286 /nfs/dbraw/zinc/75/72/86/772757286.db2.gz KMSNLDCSODYPAF-LLVKDONJSA-N -1 1 304.346 1.111 20 0 DDADMM CN(C)c1nc(NC(=O)c2cc(F)ncc2F)c(N=O)c(=O)[n-]1 ZINC001146965349 772999259 /nfs/dbraw/zinc/99/92/59/772999259.db2.gz NDYOUDYYPGVWIA-UHFFFAOYSA-N -1 1 324.247 1.572 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2nccnc2C2CC2)c1[O-] ZINC001147834695 773262414 /nfs/dbraw/zinc/26/24/14/773262414.db2.gz XPACQDWVPIMOLR-UHFFFAOYSA-N -1 1 300.318 1.508 20 0 DDADMM COC(=O)C[C@H]1CCCN(C(=O)c2c(CO)cnc(C)c2[O-])C1 ZINC001147837764 773264518 /nfs/dbraw/zinc/26/45/18/773264518.db2.gz CZFWYJYPGFBLHX-LLVKDONJSA-N -1 1 322.361 1.003 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3Cc4cncnc4C3)cc2)[n-]1 ZINC001148326410 773436314 /nfs/dbraw/zinc/43/63/14/773436314.db2.gz NMQQUMLZDWTDOP-UHFFFAOYSA-N -1 1 321.340 1.745 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3[C@@H](C)CC[C@H]3CO)cc2)[n-]1 ZINC001148329595 773438252 /nfs/dbraw/zinc/43/82/52/773438252.db2.gz ROLHVKFLHPWSSI-WFASDCNBSA-N -1 1 315.373 1.785 20 0 DDADMM CC(=O)c1cc(C(=O)N2C[C@H]3[C@H](C2)CCC[N@@H+]3C)ccc1O ZINC001148661884 773569942 /nfs/dbraw/zinc/56/99/42/773569942.db2.gz FOZZNSDHNAZTKA-ZFWWWQNUSA-N -1 1 302.374 1.761 20 0 DDADMM Cc1cc(NC(=O)c2cnncc2[O-])n(Cc2ccccn2)n1 ZINC001148810036 773606779 /nfs/dbraw/zinc/60/67/79/773606779.db2.gz ZLLJDMBSHCIPBY-UHFFFAOYSA-N -1 1 310.317 1.383 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001074926100 774246657 /nfs/dbraw/zinc/24/66/57/774246657.db2.gz DDMDWIUDQITHFD-FZXKYSEESA-N -1 1 317.389 1.863 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])c1ncc(Cl)cn1 ZINC001100070996 775645331 /nfs/dbraw/zinc/64/53/31/775645331.db2.gz NIQBDFDZAMYQMH-UHFFFAOYSA-N -1 1 307.741 1.097 20 0 DDADMM C[C@@](O)(Cn1ccccc1=O)C(=O)Nc1cccc(F)c1[O-] ZINC001171636629 776405583 /nfs/dbraw/zinc/40/55/83/776405583.db2.gz IBWFUQYQUVWQHM-OAHLLOKOSA-N -1 1 306.293 1.083 20 0 DDADMM Cc1ccc(N)cc1S(=O)(=O)Nc1cncc(C(=O)[O-])c1 ZINC001175842005 777923575 /nfs/dbraw/zinc/92/35/75/777923575.db2.gz JWJUGICRNHKMGY-UHFFFAOYSA-N -1 1 307.331 1.471 20 0 DDADMM Cc1c(Nc2c(F)cc(F)c([O-])c2F)c(C(N)=O)nn1C ZINC001176663805 778202435 /nfs/dbraw/zinc/20/24/35/778202435.db2.gz ITZZDAUYZIVDJL-UHFFFAOYSA-N -1 1 300.240 1.694 20 0 DDADMM COc1ccc(N)cc1S(=O)(=O)Nc1ccc(C(=O)[O-])cc1 ZINC001176712976 778231034 /nfs/dbraw/zinc/23/10/34/778231034.db2.gz WMJQABXVSFODKD-UHFFFAOYSA-N -1 1 322.342 1.776 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cnc2c(cccc2C(F)(F)F)c1 ZINC001176839796 778271586 /nfs/dbraw/zinc/27/15/86/778271586.db2.gz DLPPJNGRVFDPME-UHFFFAOYSA-N -1 1 322.250 1.948 20 0 DDADMM CC(C)(C)OC(=O)c1ccccc1NC(=O)Cc1nn[n-]n1 ZINC001176844763 778299283 /nfs/dbraw/zinc/29/92/83/778299283.db2.gz JQWDONKGTLXZLU-UHFFFAOYSA-N -1 1 303.322 1.336 20 0 DDADMM COc1ccnc(C(=N)[N-]C(=O)C(F)(F)c2ccccn2)n1 ZINC001177303328 778518641 /nfs/dbraw/zinc/51/86/41/778518641.db2.gz SUYNLCNCZALLDT-UHFFFAOYSA-N -1 1 307.260 1.114 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1nccc(OC)n1 ZINC001103069518 778617891 /nfs/dbraw/zinc/61/78/91/778617891.db2.gz VBNUKTUFWNKHQN-JTQLQIEISA-N -1 1 317.349 1.206 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C=Cc1ccc(Cl)nc1 ZINC001177895690 778775077 /nfs/dbraw/zinc/77/50/77/778775077.db2.gz BTBRSKRZEGVLOD-DUXPYHPUSA-N -1 1 321.680 1.986 20 0 DDADMM C[C@](CNc1ncccn1)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001103515755 778917636 /nfs/dbraw/zinc/91/76/36/778917636.db2.gz LMADJAPTBHUSPY-MRXNPFEDSA-N -1 1 313.361 1.588 20 0 DDADMM CCn1cnc2c1CCN(C(=O)c1cc(C)cc(C=O)c1[O-])C2 ZINC001178761016 779164143 /nfs/dbraw/zinc/16/41/43/779164143.db2.gz HZUWGGQZYNXXPV-UHFFFAOYSA-N -1 1 313.357 1.928 20 0 DDADMM CCC(C)(C)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001178781848 779186736 /nfs/dbraw/zinc/18/67/36/779186736.db2.gz XUGULMZHZFFCIY-QWRGUYRKSA-N -1 1 309.414 1.416 20 0 DDADMM COc1cn(C)nc1[C@H](C)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001178934993 779220888 /nfs/dbraw/zinc/22/08/88/779220888.db2.gz IBEPYEOPTJLDLI-ZETCQYMHSA-N -1 1 323.378 1.012 20 0 DDADMM Cc1n[nH]c(C(=O)NCC2CN(CCOCCC(C)C)C2)c1[O-] ZINC001267680353 838632682 /nfs/dbraw/zinc/63/26/82/838632682.db2.gz YYWPINKMALEADY-UHFFFAOYSA-N -1 1 324.425 1.148 20 0 DDADMM Brc1ccc(CN2CC(OCc3nn[n-]n3)C2)cc1 ZINC001223026576 839557577 /nfs/dbraw/zinc/55/75/77/839557577.db2.gz BOKFETUBIOCHJW-UHFFFAOYSA-N -1 1 324.182 1.363 20 0 DDADMM CC/C(C)=C\C(=O)N1CCC[C@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001268183779 839807707 /nfs/dbraw/zinc/80/77/07/839807707.db2.gz FVJKRTIEHCTLIL-WDSMJVJCSA-N -1 1 321.425 1.583 20 0 DDADMM CNC(=O)C1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC001362502335 841569877 /nfs/dbraw/zinc/56/98/77/841569877.db2.gz JSZGDBLTNHZDTO-UHFFFAOYSA-N -1 1 302.252 1.229 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)NCC(=O)CCO)cc2c1 ZINC001154757357 861428923 /nfs/dbraw/zinc/42/89/23/861428923.db2.gz RNMGIPAYDULBHR-UHFFFAOYSA-N -1 1 303.314 1.235 20 0 DDADMM Cc1cc(N(C(=N)N)C(=O)c2ccc3cccnc3c2[O-])n[nH]1 ZINC001149327867 861548546 /nfs/dbraw/zinc/54/85/46/861548546.db2.gz MUVSYZFCBVBETP-UHFFFAOYSA-N -1 1 310.317 1.512 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4cc[nH]c4c3F)c1-2 ZINC001154940401 861589984 /nfs/dbraw/zinc/58/99/84/861589984.db2.gz XABBVAGYIJPSOX-UHFFFAOYSA-N -1 1 310.292 1.908 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc4cc(O)cnc43)c1-2 ZINC001155096813 861728059 /nfs/dbraw/zinc/72/80/59/861728059.db2.gz KLEIHPBXABAQOI-UHFFFAOYSA-N -1 1 320.312 1.541 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3c[nH]c4cc(=O)ccc-4c3)c1-2 ZINC001155157357 861783376 /nfs/dbraw/zinc/78/33/76/861783376.db2.gz YNIISJNRBVNAKM-UHFFFAOYSA-N -1 1 320.312 1.541 20 0 DDADMM Cc1cccnc1C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001410348203 849118071 /nfs/dbraw/zinc/11/80/71/849118071.db2.gz IHJAQDCYWRWAGB-NSHDSACASA-N -1 1 314.345 1.039 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NC[C@@H](O)C2CCOCC2)c1 ZINC001149942412 861985806 /nfs/dbraw/zinc/98/58/06/861985806.db2.gz ARGUKZYFRYSCEF-CQSZACIVSA-N -1 1 307.346 1.030 20 0 DDADMM C[C@@]1(CNC(=O)C2CC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC001411054317 850161242 /nfs/dbraw/zinc/16/12/42/850161242.db2.gz GCXMZSUHLJJRLX-INIZCTEOSA-N -1 1 303.362 1.166 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2cc(N)ccc2N)ccc1C ZINC001175017415 850944063 /nfs/dbraw/zinc/94/40/63/850944063.db2.gz MUEISGOVWXBRTB-UHFFFAOYSA-N -1 1 307.375 1.969 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cccc2c1CCCO2 ZINC001155611778 862287833 /nfs/dbraw/zinc/28/78/33/862287833.db2.gz LAIKJIMUKIOHAM-UHFFFAOYSA-N -1 1 313.290 1.699 20 0 DDADMM CCOC(=O)[C@H](NC(=O)c1ccc([O-])cn1)c1ccccc1 ZINC001411826274 853792493 /nfs/dbraw/zinc/79/24/93/853792493.db2.gz ZEMPZDQCCDGNTD-CQSZACIVSA-N -1 1 300.314 1.821 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)Cc2ccncc2)c(=O)[n-]1 ZINC001411843403 853820303 /nfs/dbraw/zinc/82/03/03/853820303.db2.gz HDDOOVIDZCGACY-VIFPVBQESA-N -1 1 304.375 1.660 20 0 DDADMM CC(C)NC(=O)N1CC2(C1)CN(C(=O)c1ccc([O-])c(F)c1)C2 ZINC001275949541 854004347 /nfs/dbraw/zinc/00/43/47/854004347.db2.gz GQUSGOSGTOQEDR-UHFFFAOYSA-N -1 1 321.352 1.407 20 0 DDADMM CCSc1cccnc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC001411990351 854079671 /nfs/dbraw/zinc/07/96/71/854079671.db2.gz KYARRAORQOMUMF-VIFPVBQESA-N -1 1 306.395 1.582 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H]2[C@H]2CCCC2=O)c(=O)[n-]1 ZINC001411990681 854081886 /nfs/dbraw/zinc/08/18/86/854081886.db2.gz URVMZJNBZXBWSY-KOLCDFICSA-N -1 1 321.402 1.878 20 0 DDADMM NC(=O)C1=CC2(CC1)CCN(C(=O)c1cccc([O-])c1F)CC2 ZINC001275985199 854088745 /nfs/dbraw/zinc/08/87/45/854088745.db2.gz YFTDHNQBZJIWPM-UHFFFAOYSA-N -1 1 318.348 1.959 20 0 DDADMM CSc1ncc(C(=O)NCC2(CO)CC3(CCC3)C2)c(=O)[n-]1 ZINC001412085857 854207124 /nfs/dbraw/zinc/20/71/24/854207124.db2.gz NKHYCLDZKQSZSM-UHFFFAOYSA-N -1 1 323.418 1.577 20 0 DDADMM CSc1nc(NC(=O)c2cnc3nccn3c2)cc(=O)[n-]1 ZINC001155907319 862549445 /nfs/dbraw/zinc/54/94/45/862549445.db2.gz FJLHZLALVWFAPA-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM CSc1nc(NC(=O)c2ccnc3[nH]ccc32)cc(=O)[n-]1 ZINC001150962179 862550948 /nfs/dbraw/zinc/55/09/48/862550948.db2.gz BKPZVFIAWGNETD-UHFFFAOYSA-N -1 1 301.331 1.984 20 0 DDADMM Cn1cc(C2=NO[C@@H](C(=O)Nc3cc([O-])c(F)cc3F)C2)cn1 ZINC001412215787 854343166 /nfs/dbraw/zinc/34/31/66/854343166.db2.gz ZQKSNIULYQKWLL-CYBMUJFWSA-N -1 1 322.271 1.536 20 0 DDADMM CCn1ncc(C(=O)Nc2cc(C(=O)OC(C)(C)C)[nH]n2)n1 ZINC001412270779 854397252 /nfs/dbraw/zinc/39/72/52/854397252.db2.gz QNQBGIYVWXYRQU-UHFFFAOYSA-N -1 1 306.326 1.229 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CC2(CCN2Cc2ccccc2)C1 ZINC001412279809 854402727 /nfs/dbraw/zinc/40/27/27/854402727.db2.gz OVPNFFHTERFSTL-UHFFFAOYSA-N -1 1 309.369 1.888 20 0 DDADMM NC(=O)c1coc(C[N-]C(=O)C(F)(F)c2ccc(F)cc2)n1 ZINC001412329772 854450689 /nfs/dbraw/zinc/45/06/89/854450689.db2.gz ZLJRVNJFQDPVFO-UHFFFAOYSA-N -1 1 313.235 1.321 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001412387005 854494742 /nfs/dbraw/zinc/49/47/42/854494742.db2.gz NHGGYNYSGAWUBB-CABZTGNLSA-N -1 1 309.391 1.217 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc([O-])cn1)c1cc(F)ccc1F ZINC001412488435 854615376 /nfs/dbraw/zinc/61/53/76/854615376.db2.gz LNHMJHXGSZKLJD-ZDUSSCGKSA-N -1 1 322.267 1.710 20 0 DDADMM CC(C)[C@@H](C)NC(=O)C1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001412492005 854618802 /nfs/dbraw/zinc/61/88/02/854618802.db2.gz PSXZHEGNCQXVJM-GFCCVEGCSA-N -1 1 319.405 1.800 20 0 DDADMM CO[C@@H](CC(=O)N(Cc1nn[n-]n1)CC(C)C)C(F)(F)F ZINC001412528884 854663726 /nfs/dbraw/zinc/66/37/26/854663726.db2.gz LPCIXPKBAKEGCE-QMMMGPOBSA-N -1 1 309.292 1.152 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC001412542461 854688516 /nfs/dbraw/zinc/68/85/16/854688516.db2.gz MQHCDLLVQXEFTB-NSHDSACASA-N -1 1 319.752 1.352 20 0 DDADMM COC[C@@H](NC(=O)C[C@@H](c1ccccc1)C(C)C)c1nn[n-]n1 ZINC001412589048 854776465 /nfs/dbraw/zinc/77/64/65/854776465.db2.gz GKLSODPJLYLSTM-ZIAGYGMSSA-N -1 1 317.393 1.833 20 0 DDADMM COCCc1cc(NC(=O)c2cnc(C3CC3)[n-]c2=O)n(C)n1 ZINC001412658095 854892150 /nfs/dbraw/zinc/89/21/50/854892150.db2.gz CHJUQACDOCPKKD-UHFFFAOYSA-N -1 1 317.349 1.234 20 0 DDADMM O=C([N-][C@@H](CO)c1ncc[nH]1)C(F)(F)c1cc(F)cc(F)c1 ZINC001412701019 854982218 /nfs/dbraw/zinc/98/22/18/854982218.db2.gz GVKPLCRXMXCLMM-JTQLQIEISA-N -1 1 317.242 1.630 20 0 DDADMM Cc1ncsc1CCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001412738699 855050072 /nfs/dbraw/zinc/05/00/72/855050072.db2.gz XSYISQNPRMPXIC-SNVBAGLBSA-N -1 1 306.395 1.303 20 0 DDADMM CCc1nnc([C@@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)[nH]1 ZINC001412772092 855246431 /nfs/dbraw/zinc/24/64/31/855246431.db2.gz XEPJVYSPWIWKEH-SSDOTTSWSA-N -1 1 302.338 1.231 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cc(C)ccc1OC ZINC001328050319 862657382 /nfs/dbraw/zinc/65/73/82/862657382.db2.gz JJJOMNMNGSJHOA-UHFFFAOYSA-N -1 1 309.362 1.936 20 0 DDADMM CC1(C)[C@@H](O)C[C@@H]1NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001412848237 855722458 /nfs/dbraw/zinc/72/24/58/855722458.db2.gz IEVZBUMPTWKTFP-RYUDHWBXSA-N -1 1 304.321 1.969 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc([O-])cn1)c1ccc(OC)cc1 ZINC001413001682 855903017 /nfs/dbraw/zinc/90/30/17/855903017.db2.gz YEHJWAJGSLGOEI-CQSZACIVSA-N -1 1 316.313 1.440 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC(O[C@H]2CCOC2)CC1 ZINC001413075445 856355997 /nfs/dbraw/zinc/35/59/97/856355997.db2.gz OHSUEKNTHRICFE-ZDUSSCGKSA-N -1 1 309.337 1.941 20 0 DDADMM O=C(CCCF)N[C@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001413183676 856525181 /nfs/dbraw/zinc/52/51/81/856525181.db2.gz ZCXJNSJKQKDRSH-NEPJUHHUSA-N -1 1 323.368 1.552 20 0 DDADMM O=C(CC[N-]S(=O)(=O)c1ccns1)Nc1ccccc1 ZINC001413286709 856614189 /nfs/dbraw/zinc/61/41/89/856614189.db2.gz GEPJEZAPXHITGU-UHFFFAOYSA-N -1 1 311.388 1.450 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)c1cn(C)nc1C ZINC001413292232 856614838 /nfs/dbraw/zinc/61/48/38/856614838.db2.gz VVBXGQGMRCJZOM-MRVPVSSYSA-N -1 1 316.408 1.233 20 0 DDADMM COC(=O)N[C@@H](C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001413337661 856682783 /nfs/dbraw/zinc/68/27/83/856682783.db2.gz OUVNCCCJGBFAGC-VIFPVBQESA-N -1 1 304.306 1.261 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@]2(O)CCCC2(C)C)sn1 ZINC001413359595 856712665 /nfs/dbraw/zinc/71/26/65/856712665.db2.gz OEFMBXOUUNDYNB-GFCCVEGCSA-N -1 1 320.436 1.371 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1ccc(C)o1 ZINC001413546839 857044718 /nfs/dbraw/zinc/04/47/18/857044718.db2.gz VLZONUGIHLNXGZ-JTQLQIEISA-N -1 1 318.333 1.860 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1ccc(C)o1 ZINC001413546839 857044726 /nfs/dbraw/zinc/04/47/26/857044726.db2.gz VLZONUGIHLNXGZ-JTQLQIEISA-N -1 1 318.333 1.860 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1ccc(C)o1 ZINC001413546839 857044729 /nfs/dbraw/zinc/04/47/29/857044729.db2.gz VLZONUGIHLNXGZ-JTQLQIEISA-N -1 1 318.333 1.860 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H](CO)CC3CCCC3)ccnc1-2 ZINC001413561646 857072353 /nfs/dbraw/zinc/07/23/53/857072353.db2.gz NTOXQNRGSHIZMG-LBPRGKRZSA-N -1 1 317.393 1.405 20 0 DDADMM C[C@H]1CN(C(=O)CCCF)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001072537939 857418776 /nfs/dbraw/zinc/41/87/76/857418776.db2.gz RGDDZBWGTPYZIY-QWRGUYRKSA-N -1 1 309.341 1.114 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CCc2ccc(C)cc2C1 ZINC001121776258 858594251 /nfs/dbraw/zinc/59/42/51/858594251.db2.gz KWTOLLDJHNBBIT-UHFFFAOYSA-N -1 1 324.392 1.273 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CCc2ccc(C)cc2C1 ZINC001121776258 858594254 /nfs/dbraw/zinc/59/42/54/858594254.db2.gz KWTOLLDJHNBBIT-UHFFFAOYSA-N -1 1 324.392 1.273 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cnn(C)c1C1CC1 ZINC001123824995 859429742 /nfs/dbraw/zinc/42/97/42/859429742.db2.gz RMDLMYFGXAMZFZ-UHFFFAOYSA-N -1 1 305.363 1.643 20 0 DDADMM COc1ccccc1C(C)=CC(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123869882 859449120 /nfs/dbraw/zinc/44/91/20/859449120.db2.gz UXAWMMZRSYZMPP-ZKQHCESOSA-N -1 1 313.361 1.628 20 0 DDADMM COC(CNC(=O)c1ccc([O-])cc1F)(OC)c1ccccn1 ZINC001123946053 859488763 /nfs/dbraw/zinc/48/87/63/859488763.db2.gz VUGKLHDCKNHVOZ-UHFFFAOYSA-N -1 1 320.320 1.802 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccc(F)s2)c1 ZINC001137775410 859974998 /nfs/dbraw/zinc/97/49/98/859974998.db2.gz XCXJZWNOUWLYRK-UHFFFAOYSA-N -1 1 316.335 1.493 20 0 DDADMM CCC(CC)(NC(=O)COc1ccccc1C=O)c1nn[n-]n1 ZINC001140064531 860567050 /nfs/dbraw/zinc/56/70/50/860567050.db2.gz ROESBDSNKHGJIX-UHFFFAOYSA-N -1 1 317.349 1.223 20 0 DDADMM CCOC(=O)c1c2c(nn1C)CN(Cc1ccncc1[O-])C2 ZINC001140279941 860609864 /nfs/dbraw/zinc/60/98/64/860609864.db2.gz XFOGHNSDAOQQAW-UHFFFAOYSA-N -1 1 302.334 1.213 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc2[nH][n-]c(=O)c2c1)C(F)(F)F ZINC001154212184 860874579 /nfs/dbraw/zinc/87/45/79/860874579.db2.gz LUISLQQCVINPEO-QMMMGPOBSA-N -1 1 317.223 1.102 20 0 DDADMM Cc1nc(S(=O)(=O)[C@H](C)c2cn(-c3ccccc3)nn2)n[n-]1 ZINC001325862452 860895749 /nfs/dbraw/zinc/89/57/49/860895749.db2.gz UWGSZNVZBRXFPO-SECBINFHSA-N -1 1 318.362 1.229 20 0 DDADMM CC(C)[C@H](NC(=O)CCc1nn[n-]n1)c1nc2ccccc2[nH]1 ZINC001141667292 860905392 /nfs/dbraw/zinc/90/53/92/860905392.db2.gz SCKSHRHHTKSOSC-AWEZNQCLSA-N -1 1 313.365 1.522 20 0 DDADMM Cc1[nH+]ccn1-c1ncccc1CNC(=O)c1cc(=O)[nH]cn1 ZINC001142057262 861005969 /nfs/dbraw/zinc/00/59/69/861005969.db2.gz SUVOBQQSONPHAF-UHFFFAOYSA-N -1 1 310.317 1.001 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H](C)C(=O)NC(C)(C)C)c1 ZINC001142392783 861142973 /nfs/dbraw/zinc/14/29/73/861142973.db2.gz HUPUORANUSYPFM-SNVBAGLBSA-N -1 1 306.362 1.546 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCCC12COC2 ZINC001152671242 863484864 /nfs/dbraw/zinc/48/48/64/863484864.db2.gz DGABXDHLOBLPLM-UHFFFAOYSA-N -1 1 300.314 1.651 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CC[C@@H](O)[C@H](F)C1 ZINC001152671730 863486351 /nfs/dbraw/zinc/48/63/51/863486351.db2.gz SQXHBQLSNMOAMP-MWLCHTKSSA-N -1 1 306.293 1.191 20 0 DDADMM CC[C@H](C)c1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001152677506 863487844 /nfs/dbraw/zinc/48/78/44/863487844.db2.gz WMYYLHZZYZLTAY-NSHDSACASA-N -1 1 317.393 1.543 20 0 DDADMM O=C(NCC1(F)CCOCC1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152679439 863488632 /nfs/dbraw/zinc/48/86/32/863488632.db2.gz ADNUMBRBZBGGMV-UHFFFAOYSA-N -1 1 320.320 1.895 20 0 DDADMM Cc1ncc(CNC(=O)c2cc(=O)c3cccc(O)c3[n-]2)cn1 ZINC001152681579 863490084 /nfs/dbraw/zinc/49/00/84/863490084.db2.gz PPYGQJZIGLCJRD-UHFFFAOYSA-N -1 1 310.313 1.674 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1cccc2c1CC(=O)N2 ZINC001153322085 863824219 /nfs/dbraw/zinc/82/42/19/863824219.db2.gz AHHDIGPBDKYUKQ-UHFFFAOYSA-N -1 1 314.301 1.333 20 0 DDADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CC=CC1 ZINC001329877103 863915132 /nfs/dbraw/zinc/91/51/32/863915132.db2.gz UNDREASTYWBMMC-GFCCVEGCSA-N -1 1 307.398 1.241 20 0 DDADMM O=C(c1c([O-])ccc2ccccc21)N1CC[C@H](CO)[C@H](O)C1 ZINC001153499219 863916423 /nfs/dbraw/zinc/91/64/23/863916423.db2.gz QLQFPUWUTAYYRP-IUODEOHRSA-N -1 1 301.342 1.361 20 0 DDADMM CC1(C)CCCC[C@@H]1CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001153836958 864145122 /nfs/dbraw/zinc/14/51/22/864145122.db2.gz IEXUUZUWRJGUMW-LLVKDONJSA-N -1 1 309.414 1.323 20 0 DDADMM C[C@@H](NC(=O)c1cccc(Cl)c1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001381432464 881753984 /nfs/dbraw/zinc/75/39/84/881753984.db2.gz BNQMXJHCUNWDHQ-DTWKUNHWSA-N -1 1 323.784 1.460 20 0 DDADMM NC(=O)c1cccc(S(=O)(=O)[N-]c2ccc3nccn3c2)c1 ZINC001330608912 864484427 /nfs/dbraw/zinc/48/44/27/864484427.db2.gz NBISLTSYGYMWOY-UHFFFAOYSA-N -1 1 316.342 1.234 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cccnc2OC)[n-]1 ZINC001361516997 881813716 /nfs/dbraw/zinc/81/37/16/881813716.db2.gz SYIUUATXQVSELV-UHFFFAOYSA-N -1 1 317.301 1.660 20 0 DDADMM CCOC(=O)c1c(C)ncnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159198782 865162372 /nfs/dbraw/zinc/16/23/72/865162372.db2.gz XRRPUWWUODHUJQ-UHFFFAOYSA-N -1 1 315.293 1.077 20 0 DDADMM CCOC(OCC)[C@@H](C)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001160185559 865716433 /nfs/dbraw/zinc/71/64/33/865716433.db2.gz YTBAZOIXIMTYQQ-MRVPVSSYSA-N -1 1 315.395 1.297 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2cnc(Cl)cc2Cl)CCOC1 ZINC001323408886 866595461 /nfs/dbraw/zinc/59/54/61/866595461.db2.gz OAJPJMJOTCNYAA-JTQLQIEISA-N -1 1 311.190 1.846 20 0 DDADMM O=C([O-])[C@H]1CC(=O)N(CCNCc2cscc2Cl)C1 ZINC001334056054 867266925 /nfs/dbraw/zinc/26/69/25/867266925.db2.gz NWTOYGZKFNWDBR-QMMMGPOBSA-N -1 1 302.783 1.424 20 0 DDADMM COc1cc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)ccc1O ZINC001334221431 867398629 /nfs/dbraw/zinc/39/86/29/867398629.db2.gz BUJOQKCAZPWTDI-SNVBAGLBSA-N -1 1 308.334 1.136 20 0 DDADMM O=C(Nc1ccc(N2CCC(O)CC2)cc1)c1cnncc1[O-] ZINC001335810340 868552076 /nfs/dbraw/zinc/55/20/76/868552076.db2.gz JIRYXYZLGHEUIA-UHFFFAOYSA-N -1 1 314.345 1.396 20 0 DDADMM Cc1cc(C)n2ccc(C(=O)N(C)C[C@H](C)c3nn[n-]n3)c2n1 ZINC001335861308 868582957 /nfs/dbraw/zinc/58/29/57/868582957.db2.gz IRQGCCGFGVRJEL-VIFPVBQESA-N -1 1 313.365 1.340 20 0 DDADMM CN(C)C(=O)Cc1ccc(NCC[N-]C(=O)C(F)(F)F)cc1 ZINC001164243831 869051147 /nfs/dbraw/zinc/05/11/47/869051147.db2.gz HQZIMGLADLLNNL-UHFFFAOYSA-N -1 1 317.311 1.408 20 0 DDADMM CSc1ncc(C(=O)NCCn2cnnc2C(C)C)c(=O)[n-]1 ZINC001362132386 883118100 /nfs/dbraw/zinc/11/81/00/883118100.db2.gz VQJCGYAMPJIEFN-UHFFFAOYSA-N -1 1 322.394 1.049 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cc(C)nnc2C)[n-]1 ZINC001361757225 882308964 /nfs/dbraw/zinc/30/89/64/882308964.db2.gz NFHUXLMAQKQYMY-UHFFFAOYSA-N -1 1 316.317 1.663 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cnc2c(F)cccc21)c1ncnn1C ZINC001297476549 870067363 /nfs/dbraw/zinc/06/73/63/870067363.db2.gz UEJZWBGUBCOKIB-QMMMGPOBSA-N -1 1 315.308 1.699 20 0 DDADMM COCCOC[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001339085504 870338624 /nfs/dbraw/zinc/33/86/24/870338624.db2.gz FRUZSWCICFMWFZ-NSHDSACASA-N -1 1 321.377 1.185 20 0 DDADMM COc1nc(C)cc(N2CCC([N-]C(=O)C(F)(F)F)CC2)n1 ZINC001166894192 870347818 /nfs/dbraw/zinc/34/78/18/870347818.db2.gz JSQSTTHQHQSTPO-UHFFFAOYSA-N -1 1 318.299 1.441 20 0 DDADMM C=CC(=O)N(C)CC(=O)Nc1cccc([O-])c1Br ZINC001298219970 870365130 /nfs/dbraw/zinc/36/51/30/870365130.db2.gz NZQQRDSNCYNWSM-UHFFFAOYSA-N -1 1 313.151 1.738 20 0 DDADMM Cc1cc(=O)oc2cc([O-])cc(O[C@H]3CCS(=O)(=O)C3)c12 ZINC001226486091 882461963 /nfs/dbraw/zinc/46/19/63/882461963.db2.gz PBWZHQDHRCFMGL-JTQLQIEISA-N -1 1 310.327 1.373 20 0 DDADMM COC[C@H](NC(=O)c1ccc2cc(C)ccc2n1)c1nn[n-]n1 ZINC001303579985 871139858 /nfs/dbraw/zinc/13/98/58/871139858.db2.gz LYPCAGRRVFBPHH-ZDUSSCGKSA-N -1 1 312.333 1.174 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCC(c2ccccc2)CC1 ZINC001340920456 871427197 /nfs/dbraw/zinc/42/71/97/871427197.db2.gz YSMJDOJYRREBRB-UHFFFAOYSA-N -1 1 324.392 1.862 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCC(c2ccccc2)CC1 ZINC001340920456 871427206 /nfs/dbraw/zinc/42/72/06/871427206.db2.gz YSMJDOJYRREBRB-UHFFFAOYSA-N -1 1 324.392 1.862 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2C=C[C@H]3C[C@@H]2CO3)sn1 ZINC001309836418 871601653 /nfs/dbraw/zinc/60/16/53/871601653.db2.gz LBNWEUNVAGPHGI-AEJSXWLSSA-N -1 1 316.404 1.021 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1Cc2cccc(Cl)c2C1 ZINC001341414063 871649037 /nfs/dbraw/zinc/64/90/37/871649037.db2.gz HWECCFSFCHWIPJ-UHFFFAOYSA-N -1 1 316.756 1.093 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1Cc2cccc(Cl)c2C1 ZINC001341414063 871649043 /nfs/dbraw/zinc/64/90/43/871649043.db2.gz HWECCFSFCHWIPJ-UHFFFAOYSA-N -1 1 316.756 1.093 20 0 DDADMM CCN(CCCNC(=O)C(C)(C)C(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001316900471 872283156 /nfs/dbraw/zinc/28/31/56/872283156.db2.gz GIDTXDRUWGLJLW-UHFFFAOYSA-N -1 1 319.356 1.130 20 0 DDADMM C[C@@H](COC(C)(C)C)Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226721825 882609592 /nfs/dbraw/zinc/60/95/92/882609592.db2.gz JFYULJKYTBPUCF-NSHDSACASA-N -1 1 311.382 1.601 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)c2cc(Cl)ccc2[O-])CCS1(=O)=O ZINC001361909203 882622510 /nfs/dbraw/zinc/62/25/10/882622510.db2.gz ZZBHGLWSSILQDX-DTWKUNHWSA-N -1 1 317.794 1.693 20 0 DDADMM c1csc(Cn2c(-c3nnn[n-]3)nnc2N2CCCCC2)c1 ZINC001344633965 873061101 /nfs/dbraw/zinc/06/11/01/873061101.db2.gz PYCKOAVOJKGTRT-UHFFFAOYSA-N -1 1 316.394 1.558 20 0 DDADMM c1csc(Cn2c(-c3nn[n-]n3)nnc2N2CCCCC2)c1 ZINC001344633965 873061127 /nfs/dbraw/zinc/06/11/27/873061127.db2.gz PYCKOAVOJKGTRT-UHFFFAOYSA-N -1 1 316.394 1.558 20 0 DDADMM COCc1ccc(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cc1 ZINC001345613273 873455221 /nfs/dbraw/zinc/45/52/21/873455221.db2.gz UDTMITCPJGNSNS-UHFFFAOYSA-N -1 1 319.386 1.856 20 0 DDADMM Nc1cccc2c1CCN(C(=O)c1cnc(C3CC3)[n-]c1=O)C2 ZINC001345848187 873534731 /nfs/dbraw/zinc/53/47/31/873534731.db2.gz DDIKHSLBTSDWLE-UHFFFAOYSA-N -1 1 310.357 1.840 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCOc2ccccc2)c1 ZINC001207891801 873645532 /nfs/dbraw/zinc/64/55/32/873645532.db2.gz PABNKUNYWPPHCI-UHFFFAOYSA-N -1 1 323.370 1.758 20 0 DDADMM O=C(COC(=O)c1ccc(Cl)nn1)c1ccc([O-])cc1O ZINC001347657697 874232774 /nfs/dbraw/zinc/23/27/74/874232774.db2.gz LGKDCNOGKNIZJQ-UHFFFAOYSA-N -1 1 308.677 1.581 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1cc(C2CC2)n(C)n1 ZINC001347893026 874300043 /nfs/dbraw/zinc/30/00/43/874300043.db2.gz PZRQCPUMHFPIHA-UHFFFAOYSA-N -1 1 319.390 1.320 20 0 DDADMM O=C(c1ccc2ncccc2c1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001348167245 874394753 /nfs/dbraw/zinc/39/47/53/874394753.db2.gz VDYANVINXSXUTR-GFCCVEGCSA-N -1 1 322.372 1.843 20 0 DDADMM CC/C(C)=C/C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001348208984 874423220 /nfs/dbraw/zinc/42/32/20/874423220.db2.gz FJUVXWGXJQJMDO-VAWYXSNFSA-N -1 1 303.362 1.428 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cccnc2F)[n-]c1=O ZINC001348417459 874544520 /nfs/dbraw/zinc/54/45/20/874544520.db2.gz BWTKVWKSQZZFOQ-UHFFFAOYSA-N -1 1 306.297 1.390 20 0 DDADMM O=C(CCC1CC1)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001378284452 874613564 /nfs/dbraw/zinc/61/35/64/874613564.db2.gz HANMNUUQBRBRFL-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CN(C)C(=O)Cn1cc(NC(=O)c2cccc([O-])c2F)cn1 ZINC001362027590 882864224 /nfs/dbraw/zinc/86/42/24/882864224.db2.gz BLMJICPFDINILK-UHFFFAOYSA-N -1 1 306.297 1.068 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C12CCC2 ZINC001349013129 874924831 /nfs/dbraw/zinc/92/48/31/874924831.db2.gz CZMQCVOPTRHSAJ-VHSXEESVSA-N -1 1 323.418 1.857 20 0 DDADMM CCCC(C)(C)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001378506097 875009318 /nfs/dbraw/zinc/00/93/18/875009318.db2.gz QOJBJTQRXFDTSO-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM CC(C)(C)CCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210206159 875268015 /nfs/dbraw/zinc/26/80/15/875268015.db2.gz KGAYCBHYEWUXQA-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM CCN(CC)c1ccc(CNC(=O)c2ccc([O-])cn2)cn1 ZINC001362050424 882917504 /nfs/dbraw/zinc/91/75/04/882917504.db2.gz CXXYLNIWFRSNPV-UHFFFAOYSA-N -1 1 300.362 1.958 20 0 DDADMM CCC[C@@H](CC)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210377418 875354938 /nfs/dbraw/zinc/35/49/38/875354938.db2.gz GIQZQEXYPBKJDC-VXGBXAGGSA-N -1 1 309.414 1.417 20 0 DDADMM O=C(NCC1(NCc2cnon2)CCCC1)c1ncccc1[O-] ZINC001378702255 875444297 /nfs/dbraw/zinc/44/42/97/875444297.db2.gz OLIPZJZEGLCHEI-UHFFFAOYSA-N -1 1 317.349 1.003 20 0 DDADMM CC(C)[C@H](C)CC(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001378747360 875538461 /nfs/dbraw/zinc/53/84/61/875538461.db2.gz JJUUBZXVAJKAPO-GFCCVEGCSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001210850105 875566879 /nfs/dbraw/zinc/56/68/79/875566879.db2.gz UWZRLJPARWUMBJ-NWDGAFQWSA-N -1 1 323.441 1.663 20 0 DDADMM CC(C)CNC(=O)C1(NC(=O)c2ncccc2[O-])CCCC1 ZINC001362069032 882958805 /nfs/dbraw/zinc/95/88/05/882958805.db2.gz OYUZVVGQTGDBAG-UHFFFAOYSA-N -1 1 305.378 1.602 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CCCCC1(C)C ZINC001378862300 875794593 /nfs/dbraw/zinc/79/45/93/875794593.db2.gz FBUPNGXNHLSTSF-NEPJUHHUSA-N -1 1 323.441 1.663 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2cnc3nccnc3c2)c1 ZINC001213225117 875853897 /nfs/dbraw/zinc/85/38/97/875853897.db2.gz IOSSLQRCMOHJJP-UHFFFAOYSA-N -1 1 316.342 1.878 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ccccc3N(C)C)no2)[n-]n1 ZINC001213463580 875944332 /nfs/dbraw/zinc/94/43/32/875944332.db2.gz HUBATDYEIHEPDO-UHFFFAOYSA-N -1 1 313.317 1.979 20 0 DDADMM CO[C@@H](COc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)c1ccccc1 ZINC001227431879 883015324 /nfs/dbraw/zinc/01/53/24/883015324.db2.gz AEJDWGWCGNVRLM-VIFPVBQESA-N -1 1 302.290 1.531 20 0 DDADMM Cc1cccc(OC[C@H](C)Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)c1 ZINC001227431647 883016445 /nfs/dbraw/zinc/01/64/45/883016445.db2.gz XPAFMAFDFWPTJJ-VIFPVBQESA-N -1 1 316.317 1.919 20 0 DDADMM Cc1cccc(C(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)c1F ZINC001379132542 876480394 /nfs/dbraw/zinc/48/03/94/876480394.db2.gz HPUAKQKADUFRLI-SNVBAGLBSA-N -1 1 321.356 1.208 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)CCC(F)F ZINC001381959264 883060778 /nfs/dbraw/zinc/06/07/78/883060778.db2.gz FCDNDUBVSCGDRK-VIFPVBQESA-N -1 1 315.320 1.409 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1cccnc1F ZINC000401854458 876696285 /nfs/dbraw/zinc/69/62/85/876696285.db2.gz YFGUHBPQKKMJQF-VIFPVBQESA-N -1 1 304.343 1.087 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)Cc1ccc(F)cc1 ZINC001352387403 876720391 /nfs/dbraw/zinc/72/03/91/876720391.db2.gz UOANQVYDHOEZPE-UHFFFAOYSA-N -1 1 316.344 1.177 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)Cc1ccc(F)cc1 ZINC001352387403 876720396 /nfs/dbraw/zinc/72/03/96/876720396.db2.gz UOANQVYDHOEZPE-UHFFFAOYSA-N -1 1 316.344 1.177 20 0 DDADMM O=C(CCc1ccc2[nH]ccc2c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001352583193 876815196 /nfs/dbraw/zinc/81/51/96/876815196.db2.gz BGTXCYSPNAVRLY-CYBMUJFWSA-N -1 1 310.361 1.630 20 0 DDADMM CCC[C@@](C)(CC)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216081490 876858937 /nfs/dbraw/zinc/85/89/37/876858937.db2.gz FORQYAHFUKTRFQ-XHBSWPGZSA-N -1 1 323.441 1.663 20 0 DDADMM COc1ncc(Nc2cnsc2)cc1[N-]S(C)(=O)=O ZINC001216152692 876881482 /nfs/dbraw/zinc/88/14/82/876881482.db2.gz ALIYLPJUUCXUOJ-UHFFFAOYSA-N -1 1 300.365 1.662 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1N ZINC001216262803 876906673 /nfs/dbraw/zinc/90/66/73/876906673.db2.gz RKWAAVYUSRNFPO-UHFFFAOYSA-N -1 1 308.363 1.243 20 0 DDADMM CN(C)c1nc(Nc2cncc(N3CCOCC3)c2)cc(=O)[n-]1 ZINC001216627990 876999682 /nfs/dbraw/zinc/99/96/82/876999682.db2.gz KGAUYTZPFSMZQQ-UHFFFAOYSA-N -1 1 316.365 1.223 20 0 DDADMM CCOC(=O)[C@@H](C)n1cc(Nc2cc(=O)[n-]c(SC)n2)cn1 ZINC001216691963 877021791 /nfs/dbraw/zinc/02/17/91/877021791.db2.gz JQQBJIFVGSMELG-MRVPVSSYSA-N -1 1 323.378 1.968 20 0 DDADMM CC(C)[C@@H](F)C(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001374219331 912228190 /nfs/dbraw/zinc/22/81/90/912228190.db2.gz AUSWWJADXJIASP-CYBMUJFWSA-N -1 1 323.368 1.502 20 0 DDADMM CC(C)[C@H](F)C(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001374219333 912228754 /nfs/dbraw/zinc/22/87/54/912228754.db2.gz AUSWWJADXJIASP-ZDUSSCGKSA-N -1 1 323.368 1.502 20 0 DDADMM CC(C)(CCC(=O)[O-])C(=O)NCCCCN1CCOCC1 ZINC001354074174 877733354 /nfs/dbraw/zinc/73/33/54/877733354.db2.gz ZLMQFUDWULPWSY-UHFFFAOYSA-N -1 1 300.399 1.106 20 0 DDADMM O=C(C[C@@H]1COCCN1)Nc1ccc2[n-]c(=S)sc2c1 ZINC001218906302 877800870 /nfs/dbraw/zinc/80/08/70/877800870.db2.gz DNSVHWZNGGCOAZ-SECBINFHSA-N -1 1 309.416 1.902 20 0 DDADMM O=C(NCCn1cccn1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001219674038 878445395 /nfs/dbraw/zinc/44/53/95/878445395.db2.gz UALQULJXWUNNFD-UHFFFAOYSA-N -1 1 312.333 1.596 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(O)c(N)c2)cc(OC)c1 ZINC000314039902 878575892 /nfs/dbraw/zinc/57/58/92/878575892.db2.gz BKBBYNBJFJSUQM-UHFFFAOYSA-N -1 1 324.358 1.792 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)NC2CCN(C3CC3)CC2)cc1 ZINC000315727711 879159687 /nfs/dbraw/zinc/15/96/87/879159687.db2.gz DSHGRVQMDINHDZ-UHFFFAOYSA-N -1 1 324.402 1.290 20 0 DDADMM Cc1nocc1C(=O)N[C@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001380431990 879641694 /nfs/dbraw/zinc/64/16/94/879641694.db2.gz GAKRJBVEKWBTMP-BDAKNGLRSA-N -1 1 318.333 1.020 20 0 DDADMM O=C(C=C1CCC1)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358598000 880593051 /nfs/dbraw/zinc/59/30/51/880593051.db2.gz GWIIHHHSOJVLAL-LBPRGKRZSA-N -1 1 301.346 1.228 20 0 DDADMM O[C@H](/C=C(\OCc1nn[n-]n1)c1cccs1)C(F)(F)F ZINC001223027347 880788158 /nfs/dbraw/zinc/78/81/58/880788158.db2.gz OFMXKNXSKPNZCM-RZAAKKIOSA-N -1 1 306.269 1.742 20 0 DDADMM CCCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2CC2)C1 ZINC001374377585 912673490 /nfs/dbraw/zinc/67/34/90/912673490.db2.gz XBMNVYHFAAJYED-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM CCO[C@@H]1C[C@H](O)C12CCN(C(=O)c1ccc([O-])cn1)CC2 ZINC001362190153 883254341 /nfs/dbraw/zinc/25/43/41/883254341.db2.gz WORQAFNTTDXHFH-UONOGXRCSA-N -1 1 306.362 1.179 20 0 DDADMM COC(=O)CCN1CCN(C(=O)c2cccc([O-])c2F)C[C@@H]1C ZINC001362300562 883516648 /nfs/dbraw/zinc/51/66/48/883516648.db2.gz YNMXUMOIPFJKPD-NSHDSACASA-N -1 1 324.352 1.241 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1OC(=O)CCC[C@@H](C)O ZINC001228551047 883546541 /nfs/dbraw/zinc/54/65/41/883546541.db2.gz CCDMQSYQKQYABY-MRVPVSSYSA-N -1 1 314.363 1.399 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1OC1=C(C)[C@@H](CC)OC1=O ZINC001228550792 883547698 /nfs/dbraw/zinc/54/76/98/883547698.db2.gz FCSLHBNSPOAHLO-SECBINFHSA-N -1 1 324.358 1.930 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001362322134 883561858 /nfs/dbraw/zinc/56/18/58/883561858.db2.gz ZRDWZSSDBMIYBF-JTQLQIEISA-N -1 1 301.339 1.181 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362356176 883634915 /nfs/dbraw/zinc/63/49/15/883634915.db2.gz OWKPKZJTGWYJGD-IUCAKERBSA-N -1 1 305.304 1.884 20 0 DDADMM Cc1oc(C(C)C)nc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001362371280 883662200 /nfs/dbraw/zinc/66/22/00/883662200.db2.gz RGMQDMREFBCWID-SECBINFHSA-N -1 1 322.394 1.550 20 0 DDADMM O=C(NC[C@H]1CS(=O)(=O)c2ccccc21)c1cncc([O-])c1 ZINC001362480512 883905168 /nfs/dbraw/zinc/90/51/68/883905168.db2.gz GHEYWVVZHVYGBO-NSHDSACASA-N -1 1 318.354 1.088 20 0 DDADMM C[C@H](NC(=O)c1cnncc1[O-])c1ccc([S@@](C)=O)cc1 ZINC001362481467 883907250 /nfs/dbraw/zinc/90/72/50/883907250.db2.gz HKRFWHPPKMLRHP-JLTPVHFHSA-N -1 1 305.359 1.411 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC[C@H](Cn2ccnn2)C1 ZINC001362530416 884017119 /nfs/dbraw/zinc/01/71/19/884017119.db2.gz RILCCANPNZERSE-NSHDSACASA-N -1 1 304.325 1.675 20 0 DDADMM COCC[C@@H](NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(N)=O ZINC001362548613 884066330 /nfs/dbraw/zinc/06/63/30/884066330.db2.gz NIUVBIRKIXSMFC-SECBINFHSA-N -1 1 320.267 1.031 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C1CCOCC1 ZINC001362616549 884228835 /nfs/dbraw/zinc/22/88/35/884228835.db2.gz SGPBYTFSEIQIAC-ONGXEEELSA-N -1 1 322.327 1.471 20 0 DDADMM CC(C)c1nc([C@@H](CO)NC(=O)c2cnncc2[O-])cs1 ZINC001362628332 884263392 /nfs/dbraw/zinc/26/33/92/884263392.db2.gz TXQGGQLJQFJCEP-SECBINFHSA-N -1 1 308.363 1.226 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCN(c2ncc(F)cn2)CC1 ZINC001362638421 884292885 /nfs/dbraw/zinc/29/28/85/884292885.db2.gz RNPVKELJYKBHFZ-UHFFFAOYSA-N -1 1 320.299 1.423 20 0 DDADMM CSc1ncc(C(=O)NCC[C@@H]2CCO[C@@H](C)C2)c(=O)[n-]1 ZINC001362649794 884321447 /nfs/dbraw/zinc/32/14/47/884321447.db2.gz LVRVPYYFMCVHQO-VHSXEESVSA-N -1 1 311.407 1.839 20 0 DDADMM CCc1c[nH]c(=O)c(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c1 ZINC001362679604 884398720 /nfs/dbraw/zinc/39/87/20/884398720.db2.gz GONJLSTYOGDDOH-JTQLQIEISA-N -1 1 322.394 1.087 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1cc(N)ccn1 ZINC001362717401 884477267 /nfs/dbraw/zinc/47/72/67/884477267.db2.gz WQIPPHJZZXYBJQ-UHFFFAOYSA-N -1 1 324.337 1.427 20 0 DDADMM CN(C(=O)[C@H]1CC[C@@H](NC(=O)OC(C)(C)C)CC1)c1nn[n-]n1 ZINC001362720560 884482456 /nfs/dbraw/zinc/48/24/56/884482456.db2.gz RPQOSSFAXWFTAV-AOOOYVTPSA-N -1 1 324.385 1.246 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)c1ccccn1 ZINC001382717315 884548369 /nfs/dbraw/zinc/54/83/69/884548369.db2.gz WGGCVRKIVYFLKJ-WDEREUQCSA-N -1 1 314.345 1.119 20 0 DDADMM CC[C@H](C)NC(=O)c1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001362930028 885013872 /nfs/dbraw/zinc/01/38/72/885013872.db2.gz DXFONJREHHJGTD-JTQLQIEISA-N -1 1 316.365 1.299 20 0 DDADMM COCC[C@H](C)C(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001382947377 885014994 /nfs/dbraw/zinc/01/49/94/885014994.db2.gz CKTXZJSAYAQHOV-NWDGAFQWSA-N -1 1 323.393 1.037 20 0 DDADMM O=C([C@H]1CC12CCSCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362996160 885182803 /nfs/dbraw/zinc/18/28/03/885182803.db2.gz ZRRBORHCZPBHPN-GHMZBOCLSA-N -1 1 307.423 1.439 20 0 DDADMM CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363035375 885284543 /nfs/dbraw/zinc/28/45/43/885284543.db2.gz CQKOUKUKQUABHH-UTUOFQBUSA-N -1 1 323.393 1.878 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)[nH]n1 ZINC001363038088 885293398 /nfs/dbraw/zinc/29/33/98/885293398.db2.gz ISGGQJHPIJYJHI-LLVKDONJSA-N -1 1 317.349 1.454 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ncccc2F)n[n-]1 ZINC001363044919 885316496 /nfs/dbraw/zinc/31/64/96/885316496.db2.gz AGOWACZXDADEKL-ZETCQYMHSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ncccc2F)[n-]1 ZINC001363044919 885316518 /nfs/dbraw/zinc/31/65/18/885316518.db2.gz AGOWACZXDADEKL-ZETCQYMHSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ncccc2F)n1 ZINC001363044919 885316539 /nfs/dbraw/zinc/31/65/39/885316539.db2.gz AGOWACZXDADEKL-ZETCQYMHSA-N -1 1 307.285 1.007 20 0 DDADMM CC(C)(C)[C@@H](CNC(=O)C(F)F)NC(=O)c1ncccc1[O-] ZINC001383226634 885439088 /nfs/dbraw/zinc/43/90/88/885439088.db2.gz LCUBCZVOBBHUKK-SECBINFHSA-N -1 1 315.320 1.313 20 0 DDADMM O=C([O-])c1ccc(F)c(CN2CCC3(CNC(=O)O3)CC2)c1 ZINC001231457956 885678826 /nfs/dbraw/zinc/67/88/26/885678826.db2.gz BTYFWKNYVSBMKW-UHFFFAOYSA-N -1 1 308.309 1.598 20 0 DDADMM CC(=O)C(C)(C)CCC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363209272 885720566 /nfs/dbraw/zinc/72/05/66/885720566.db2.gz ZMWNMPISIJVZRX-UHFFFAOYSA-N -1 1 321.377 1.267 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc([C@@H](C)O)s2)n1 ZINC001363210480 885722395 /nfs/dbraw/zinc/72/23/95/885722395.db2.gz IPEJZVIVLYHSQL-ZCFIWIBFSA-N -1 1 310.335 1.349 20 0 DDADMM NC(=O)c1ccc2c(n1)CCN(Cc1cc(F)c([O-])c(F)c1)C2 ZINC001277565219 885869712 /nfs/dbraw/zinc/86/97/12/885869712.db2.gz AHOPENIBHRRGGQ-UHFFFAOYSA-N -1 1 319.311 1.723 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1CCCCCC(=O)C1 ZINC001363275620 885882996 /nfs/dbraw/zinc/88/29/96/885882996.db2.gz JFYZZQJYVCZVMB-GFCCVEGCSA-N -1 1 307.398 1.724 20 0 DDADMM CSc1cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)ccn1 ZINC001363277209 885890765 /nfs/dbraw/zinc/89/07/65/885890765.db2.gz RCYWUHPZIBQGFX-UHFFFAOYSA-N -1 1 306.395 1.615 20 0 DDADMM Cn1cc([C@@H]2C[C@H](NC(=O)c3ccc([O-])cn3)CCO2)cn1 ZINC001363344548 886082948 /nfs/dbraw/zinc/08/29/48/886082948.db2.gz MDLIETSHWZGZRI-RISCZKNCSA-N -1 1 302.334 1.171 20 0 DDADMM CCn1cc(C(=O)NC2(c3nn[n-]n3)CCC2)c(C2CC2)n1 ZINC001363376507 886172695 /nfs/dbraw/zinc/17/26/95/886172695.db2.gz UWSPTJPWMQCBQH-UHFFFAOYSA-N -1 1 301.354 1.103 20 0 DDADMM COC[C@@H](NC(=O)CC1CC(c2ccccc2)C1)c1nn[n-]n1 ZINC001363412422 886267865 /nfs/dbraw/zinc/26/78/65/886267865.db2.gz JIZXWNDPRHZCNW-UXUKBGGZSA-N -1 1 315.377 1.587 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H](CO)[C@H]1C ZINC001363445196 886354342 /nfs/dbraw/zinc/35/43/42/886354342.db2.gz XIGUILMEVNSPHN-VXGBXAGGSA-N -1 1 307.394 1.847 20 0 DDADMM C[C@@H](NC(=O)c1cnncc1[O-])c1noc(C(F)(F)F)n1 ZINC001363452355 886377917 /nfs/dbraw/zinc/37/79/17/886377917.db2.gz VGDCJCMJCUNZIM-SCSAIBSYSA-N -1 1 303.200 1.075 20 0 DDADMM Nc1nnc([C@H]2CCCN(C(=O)c3ccc([O-])c(F)c3)C2)o1 ZINC001363483901 886459899 /nfs/dbraw/zinc/45/98/99/886459899.db2.gz GKYQJLCYEAZVKA-VIFPVBQESA-N -1 1 306.297 1.516 20 0 DDADMM COC(=O)Cc1ccc(CNC(=O)c2cncc([O-])c2)cc1 ZINC001363500178 886496078 /nfs/dbraw/zinc/49/60/78/886496078.db2.gz XPLHASLCTVMLDL-UHFFFAOYSA-N -1 1 300.314 1.433 20 0 DDADMM COC(=O)c1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC001363519511 886540881 /nfs/dbraw/zinc/54/08/81/886540881.db2.gz RAYSBJOVTJXDNH-UHFFFAOYSA-N -1 1 321.362 1.068 20 0 DDADMM O=C(NC[C@H]1CCN(CC(F)F)C1)c1c([O-])cccc1F ZINC001363543839 886595441 /nfs/dbraw/zinc/59/54/41/886595441.db2.gz RFUNLFMHUCZGAU-SECBINFHSA-N -1 1 302.296 1.848 20 0 DDADMM CSc1ncc(C(=O)N[C@H](c2ncccn2)C2CC2)c(=O)[n-]1 ZINC001363584147 886694640 /nfs/dbraw/zinc/69/46/40/886694640.db2.gz YQKHQTVUPJCZSW-JTQLQIEISA-N -1 1 317.374 1.575 20 0 DDADMM COC(=O)c1cnc(CNC(=O)c2ccc([O-])cc2F)s1 ZINC001363590850 886709541 /nfs/dbraw/zinc/70/95/41/886709541.db2.gz KPWSUWIYWYNPHP-UHFFFAOYSA-N -1 1 310.306 1.704 20 0 DDADMM C[C@@H]1CN(C2CN(Cc3cc(Cl)ncc3[O-])C2)C[C@H](C)O1 ZINC001233041413 886750674 /nfs/dbraw/zinc/75/06/74/886750674.db2.gz WQJOZNZMBDBQQF-PHIMTYICSA-N -1 1 311.813 1.734 20 0 DDADMM Cc1ccc([C@@H](C)C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)o1 ZINC001363657670 886904541 /nfs/dbraw/zinc/90/45/41/886904541.db2.gz VOGWMEIKQYKQDX-SNVBAGLBSA-N -1 1 319.361 1.902 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(CC(C)C)c[nH]2)n[n-]1 ZINC001363714411 887049853 /nfs/dbraw/zinc/04/98/53/887049853.db2.gz XGCOWUCNFNHDLI-UHFFFAOYSA-N -1 1 319.365 1.438 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(CC(C)C)c[nH]2)n1 ZINC001363714411 887049861 /nfs/dbraw/zinc/04/98/61/887049861.db2.gz XGCOWUCNFNHDLI-UHFFFAOYSA-N -1 1 319.365 1.438 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCOC[C@@H]1C[C@@H]1CCCO1 ZINC001363781560 887203575 /nfs/dbraw/zinc/20/35/75/887203575.db2.gz SIPPQXWGYCBXPF-STQMWFEESA-N -1 1 309.337 1.941 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1nc(-c2ccccc2)no1)C1CCC1 ZINC001363781671 887203785 /nfs/dbraw/zinc/20/37/85/887203785.db2.gz CHFKRKOEEAGDNG-GFCCVEGCSA-N -1 1 323.374 1.242 20 0 DDADMM COc1cccc(O)c1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363805600 887265525 /nfs/dbraw/zinc/26/55/25/887265525.db2.gz QSYLYLBWOULKCS-UHFFFAOYSA-N -1 1 305.338 1.212 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H]1COCc2ccccc21 ZINC001233760669 887294888 /nfs/dbraw/zinc/29/48/88/887294888.db2.gz WVHISDLFSOYTAF-NSHDSACASA-N -1 1 302.286 1.619 20 0 DDADMM C[C@]12CN(Cc3cccc([O-])c3Cl)C[C@H]1CS(=O)(=O)C2 ZINC001363930055 887560346 /nfs/dbraw/zinc/56/03/46/887560346.db2.gz CZFOFHKWYANKDB-SMDDNHRTSA-N -1 1 315.822 1.912 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1C[C@H]1C1CCCC1 ZINC001363956833 887610990 /nfs/dbraw/zinc/61/09/90/887610990.db2.gz LACCTJDJHOTAKJ-UWVGGRQHSA-N -1 1 307.350 1.453 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2CCC3(CC3)CC2)[n-]n1 ZINC001363983280 887663710 /nfs/dbraw/zinc/66/37/10/887663710.db2.gz KNSMQSCNFWCPPZ-UHFFFAOYSA-N -1 1 313.379 1.197 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2CCC3(CC3)CC2)n[n-]1 ZINC001363983280 887663723 /nfs/dbraw/zinc/66/37/23/887663723.db2.gz KNSMQSCNFWCPPZ-UHFFFAOYSA-N -1 1 313.379 1.197 20 0 DDADMM CC(C)(F)C[N-]S(=O)(=O)c1ccc(Cl)c2nonc21 ZINC001364027567 887750992 /nfs/dbraw/zinc/75/09/92/887750992.db2.gz QTCMNGKHUPIMRW-UHFFFAOYSA-N -1 1 307.734 1.903 20 0 DDADMM COC(=O)C[C@](C)(NC(=O)c1ncccc1[O-])c1cccnc1 ZINC001364030626 887757557 /nfs/dbraw/zinc/75/75/57/887757557.db2.gz YIVXRPRIWTWNHX-INIZCTEOSA-N -1 1 315.329 1.391 20 0 DDADMM CCCn1ncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1C ZINC001364041090 887781201 /nfs/dbraw/zinc/78/12/01/887781201.db2.gz VWAHKXWYJKMUQB-UHFFFAOYSA-N -1 1 302.260 1.991 20 0 DDADMM Cc1noc([C@@H]([N-]S(=O)(=O)c2cnn(C)c2C)C(C)C)n1 ZINC001364175735 888082764 /nfs/dbraw/zinc/08/27/64/888082764.db2.gz FWUQMYIAPXXHJV-NSHDSACASA-N -1 1 313.383 1.096 20 0 DDADMM C=CC(=O)OC[C@H](C)Oc1c(C(=O)OC)nc(C(C)C)[n-]c1=O ZINC001234562288 888099627 /nfs/dbraw/zinc/09/96/27/888099627.db2.gz XJWYRYNEQCTARR-VIFPVBQESA-N -1 1 324.333 1.589 20 0 DDADMM CC1(CC(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC001385435146 889103837 /nfs/dbraw/zinc/10/38/37/889103837.db2.gz NAIHWIMFZGUJQJ-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@@](C)(O)c1ccccc1 ZINC001364651389 889122205 /nfs/dbraw/zinc/12/22/05/889122205.db2.gz MDPFMSCWQHMJKG-MRXNPFEDSA-N -1 1 317.393 1.482 20 0 DDADMM CC[C@H](F)C(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001396190068 913333577 /nfs/dbraw/zinc/33/35/77/913333577.db2.gz RERNUGSLIKUGTM-ILDUYXDCSA-N -1 1 309.341 1.255 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC(C)(C)c2ccccc2)[n-]n1 ZINC001364797586 889436464 /nfs/dbraw/zinc/43/64/64/889436464.db2.gz SMSFENJHVFBXKN-UHFFFAOYSA-N -1 1 323.374 1.410 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC(C)(C)c2ccccc2)n[n-]1 ZINC001364797586 889436476 /nfs/dbraw/zinc/43/64/76/889436476.db2.gz SMSFENJHVFBXKN-UHFFFAOYSA-N -1 1 323.374 1.410 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1csnc1OC ZINC001364807638 889458620 /nfs/dbraw/zinc/45/86/20/889458620.db2.gz VTOZAYKYWYCMIC-JGVFFNPUSA-N -1 1 322.408 1.018 20 0 DDADMM COC[C@H](NC(=O)c1cc(C)n(CC2CC2)c1C)c1nn[n-]n1 ZINC001364813149 889469695 /nfs/dbraw/zinc/46/96/95/889469695.db2.gz HLMPMVXKNHOIGS-ZDUSSCGKSA-N -1 1 318.381 1.146 20 0 DDADMM CCCNC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001364972443 889789322 /nfs/dbraw/zinc/78/93/22/889789322.db2.gz OIMUTMOFUQSWAH-QMMMGPOBSA-N -1 1 322.789 1.672 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCCc2cccnc21 ZINC001365063657 890021274 /nfs/dbraw/zinc/02/12/74/890021274.db2.gz VOMBOEIGASNIGY-SECBINFHSA-N -1 1 316.317 1.099 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1C[C@@]2(CO)CCCC[C@@]2(CO)C1 ZINC001365080183 890059166 /nfs/dbraw/zinc/05/91/66/890059166.db2.gz POAWYYXAWHPFCB-CALCHBBNSA-N -1 1 323.364 1.519 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCC(=O)N2CCC[C@@H]2C1 ZINC001238244174 890149094 /nfs/dbraw/zinc/14/90/94/890149094.db2.gz IPELQKIGYODVSV-CYBMUJFWSA-N -1 1 306.337 1.721 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CC[C@H](Oc2ncccn2)C1 ZINC001238245506 890149647 /nfs/dbraw/zinc/14/96/47/890149647.db2.gz UASFQNGGZAMNHI-ZDUSSCGKSA-N -1 1 317.320 1.967 20 0 DDADMM [O-]c1ccc(Br)c(CN2C[C@@H](O)[C@@H](F)C2)c1F ZINC001238304518 890162947 /nfs/dbraw/zinc/16/29/47/890162947.db2.gz DTAGTFUZDAXHJU-WCBMZHEXSA-N -1 1 308.122 1.808 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CCCCN1Cc1cccnc1 ZINC001365213948 890368359 /nfs/dbraw/zinc/36/83/59/890368359.db2.gz XCBXZLZSYQFGQD-CYBMUJFWSA-N -1 1 301.350 1.504 20 0 DDADMM Cc1ccc(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001386160441 890447501 /nfs/dbraw/zinc/44/75/01/890447501.db2.gz JZWVWHGKZMYEIL-SECBINFHSA-N -1 1 303.318 1.237 20 0 DDADMM C[C@@H]1[C@H](CO)CCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC001365263316 890457443 /nfs/dbraw/zinc/45/74/43/890457443.db2.gz BOKOLNLQHWHGHX-ZJUUUORDSA-N -1 1 314.332 1.625 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@H](C)[C@@H](C)C2)[n-]n1 ZINC001365377766 890690927 /nfs/dbraw/zinc/69/09/27/890690927.db2.gz GFYPJMDFFZGUPW-LPEHRKFASA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@H](C)[C@@H](C)C2)n[n-]1 ZINC001365377766 890690930 /nfs/dbraw/zinc/69/09/30/890690930.db2.gz GFYPJMDFFZGUPW-LPEHRKFASA-N -1 1 315.395 1.299 20 0 DDADMM CC(=O)NCc1ccc(-c2ccc([P@@](=O)([O-])O)cc2)cc1 ZINC001240152458 890814542 /nfs/dbraw/zinc/81/45/42/890814542.db2.gz JZAWQZBWWMCPHH-UHFFFAOYSA-N -1 1 305.270 1.793 20 0 DDADMM CC(=O)NCc1ccc(-c2ccc([P@](=O)([O-])O)cc2)cc1 ZINC001240152458 890814553 /nfs/dbraw/zinc/81/45/53/890814553.db2.gz JZAWQZBWWMCPHH-UHFFFAOYSA-N -1 1 305.270 1.793 20 0 DDADMM COC(=O)[C@H]1C[C@@H](OC)CCN1Cc1ccc([O-])c(F)c1F ZINC001365553076 891044216 /nfs/dbraw/zinc/04/42/16/891044216.db2.gz MDSFUZDKKNSQOY-WDEREUQCSA-N -1 1 315.316 1.823 20 0 DDADMM CN1C(=O)[C@H]2CCCN2c2nc(-c3ccc([O-])c(F)c3)ncc21 ZINC001240782039 891047427 /nfs/dbraw/zinc/04/74/27/891047427.db2.gz PCLKUHLYYQGFLY-LLVKDONJSA-N -1 1 314.320 1.934 20 0 DDADMM COc1ccc(-c2ccc(-c3nnn[n-]3)nc2)c(OC)c1OC ZINC001240932989 891099021 /nfs/dbraw/zinc/09/90/21/891099021.db2.gz MLKLMIZISMLYBG-UHFFFAOYSA-N -1 1 313.317 1.955 20 0 DDADMM COc1ccc(-c2ccc(-c3nn[n-]n3)nc2)c(OC)c1OC ZINC001240932989 891099029 /nfs/dbraw/zinc/09/90/29/891099029.db2.gz MLKLMIZISMLYBG-UHFFFAOYSA-N -1 1 313.317 1.955 20 0 DDADMM COc1nc(-c2cc([O-])cc(F)c2)nc(N2CCOCC2)n1 ZINC001241262704 891138966 /nfs/dbraw/zinc/13/89/66/891138966.db2.gz QNYWVGAJVIGIQM-UHFFFAOYSA-N -1 1 306.297 1.229 20 0 DDADMM Cn1cnnc1N1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001365605245 891145450 /nfs/dbraw/zinc/14/54/50/891145450.db2.gz ZEBCZJKYPZDOAK-UHFFFAOYSA-N -1 1 309.320 1.121 20 0 DDADMM C[C@H]1CC[C@H](CN2CCN(C(=O)c3ncccc3[O-])CC2)O1 ZINC001365628660 891199156 /nfs/dbraw/zinc/19/91/56/891199156.db2.gz OZRCWPHUKPANSN-QWHCGFSZSA-N -1 1 305.378 1.113 20 0 DDADMM CC[C@H]1CCCC[C@H]1NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365634154 891216840 /nfs/dbraw/zinc/21/68/40/891216840.db2.gz VTWYOFIYHNPELL-VHSXEESVSA-N -1 1 315.395 1.443 20 0 DDADMM CC[C@H]1CCCC[C@H]1NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365634154 891216854 /nfs/dbraw/zinc/21/68/54/891216854.db2.gz VTWYOFIYHNPELL-VHSXEESVSA-N -1 1 315.395 1.443 20 0 DDADMM Cc1[nH]nc2cc(-c3ccc4c(c3)S(=O)(=O)[N-]C4=O)ccc12 ZINC001241640375 891227975 /nfs/dbraw/zinc/22/79/75/891227975.db2.gz SYIZNNIUUQXFKI-UHFFFAOYSA-N -1 1 313.338 1.970 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)[C@@H]1CCCOC1 ZINC001365683872 891311076 /nfs/dbraw/zinc/31/10/76/891311076.db2.gz HJRIKAHGTUJIAT-DTWKUNHWSA-N -1 1 306.409 1.245 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)Cc1ccccn1 ZINC001365717951 891389587 /nfs/dbraw/zinc/38/95/87/891389587.db2.gz GRCXFXCHWMOYPG-VIFPVBQESA-N -1 1 313.404 1.456 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1CC(C)(C)CC[C@H]1O ZINC001365725067 891403043 /nfs/dbraw/zinc/40/30/43/891403043.db2.gz STBPMPBAADCPQZ-RKDXNWHRSA-N -1 1 320.436 1.370 20 0 DDADMM CNC(=O)c1ccc(-c2ccc(-c3nnn[n-]3)nc2)c(Cl)c1 ZINC001243447875 891654986 /nfs/dbraw/zinc/65/49/86/891654986.db2.gz XQZFHHPCEQSFQI-UHFFFAOYSA-N -1 1 314.736 1.942 20 0 DDADMM CNC(=O)c1ccc(-c2ccc(-c3nn[n-]n3)nc2)c(Cl)c1 ZINC001243447875 891654997 /nfs/dbraw/zinc/65/49/97/891654997.db2.gz XQZFHHPCEQSFQI-UHFFFAOYSA-N -1 1 314.736 1.942 20 0 DDADMM Cc1nc2ccc(-c3ccc4c(c3)C(=O)[N-]S4(=O)=O)cc2[nH]1 ZINC001243965856 891765534 /nfs/dbraw/zinc/76/55/34/891765534.db2.gz URFLCENWAMYWAQ-UHFFFAOYSA-N -1 1 313.338 1.970 20 0 DDADMM COc1nc(-c2cc(C)ccc2[O-])nc(N2CCOCC2)n1 ZINC001244402650 891847292 /nfs/dbraw/zinc/84/72/92/891847292.db2.gz HEPWCOOOLZRSBO-UHFFFAOYSA-N -1 1 302.334 1.398 20 0 DDADMM CC(C)CCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001365928719 891941627 /nfs/dbraw/zinc/94/16/27/891941627.db2.gz XMKXMLCKOUMKSF-OLZOCXBDSA-N -1 1 323.441 1.806 20 0 DDADMM CC(C)=C(C)CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001278396821 891987504 /nfs/dbraw/zinc/98/75/04/891987504.db2.gz CNJPCXPEEBBTJN-NEPJUHHUSA-N -1 1 307.398 1.336 20 0 DDADMM COC(=O)c1ccc(-c2ccc(-c3nnn[n-]3)nc2)c(OC)c1 ZINC001245630141 892203635 /nfs/dbraw/zinc/20/36/35/892203635.db2.gz FLTBQFUBUOQWMP-UHFFFAOYSA-N -1 1 311.301 1.724 20 0 DDADMM COC(=O)c1ccc(-c2ccc(-c3nn[n-]n3)nc2)c(OC)c1 ZINC001245630141 892203649 /nfs/dbraw/zinc/20/36/49/892203649.db2.gz FLTBQFUBUOQWMP-UHFFFAOYSA-N -1 1 311.301 1.724 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CCC1(C)C ZINC001387181827 892562547 /nfs/dbraw/zinc/56/25/47/892562547.db2.gz SDAKIPKYADFHJN-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCCC[C@@H]2C2OCCO2)c1 ZINC001292434254 913681332 /nfs/dbraw/zinc/68/13/32/913681332.db2.gz FBJCXVMWZJUJTF-CQSZACIVSA-N -1 1 319.357 1.881 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])C(=O)C1CCC1 ZINC001387416325 893050917 /nfs/dbraw/zinc/05/09/17/893050917.db2.gz NRSAIKHBAVUTEB-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM C[C@H](CNC(=O)CC(C)(C)C(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001366354407 893289684 /nfs/dbraw/zinc/28/96/84/893289684.db2.gz FQMADNYAPGNHDS-SSDOTTSWSA-N -1 1 323.319 1.083 20 0 DDADMM Cn1ncc2cc([N-]S(=O)(=O)c3ccc(F)cc3N)cnc21 ZINC001249670634 894091319 /nfs/dbraw/zinc/09/13/19/894091319.db2.gz YTQFMCUZYGBZNW-UHFFFAOYSA-N -1 1 321.337 1.490 20 0 DDADMM COc1ccc(C)cc1NC(=S)NCC[P@](=O)([O-])O ZINC001249676274 894098983 /nfs/dbraw/zinc/09/89/83/894098983.db2.gz ZAHYWVAKIUFAET-UHFFFAOYSA-N -1 1 304.308 1.468 20 0 DDADMM NS(=O)(=O)c1cc2[n-]c(-c3ccc(=O)[nH]c3)nc2cc1Cl ZINC001250528194 894313089 /nfs/dbraw/zinc/31/30/89/894313089.db2.gz LCNDZIHGTASNBG-UHFFFAOYSA-N -1 1 324.749 1.631 20 0 DDADMM NS(=O)(=O)c1cc2nc(-c3ccc(=O)[nH]c3)[n-]c2cc1Cl ZINC001250528194 894313103 /nfs/dbraw/zinc/31/31/03/894313103.db2.gz LCNDZIHGTASNBG-UHFFFAOYSA-N -1 1 324.749 1.631 20 0 DDADMM O=C(Cn1c(=O)onc1-c1ccccn1)c1ccc([O-])cc1O ZINC001251421006 894706867 /nfs/dbraw/zinc/70/68/67/894706867.db2.gz ZMIJLJSZOKONQY-UHFFFAOYSA-N -1 1 313.269 1.192 20 0 DDADMM CC(=O)Nc1cc([N-]S(=O)(=O)c2ccc(F)cc2)ccn1 ZINC001251611041 894749572 /nfs/dbraw/zinc/74/95/72/894749572.db2.gz BTWXVFFFJPONPC-UHFFFAOYSA-N -1 1 309.322 1.980 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccc(Cl)cc2)ccn1 ZINC001251712622 894786205 /nfs/dbraw/zinc/78/62/05/894786205.db2.gz ZOTNPVYWCPINPO-UHFFFAOYSA-N -1 1 311.750 1.057 20 0 DDADMM COc1cc(S(C)(=O)=O)ccc1[N-]S(=O)(=O)C(C)C ZINC001252522332 895241623 /nfs/dbraw/zinc/24/16/23/895241623.db2.gz LHOPEKDXLTZRKX-UHFFFAOYSA-N -1 1 307.393 1.249 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC1(C)C ZINC001388902146 896148727 /nfs/dbraw/zinc/14/87/27/896148727.db2.gz OGGHBSHFSVQZEJ-VXGBXAGGSA-N -1 1 319.405 1.704 20 0 DDADMM CCCC1(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])CCC1 ZINC001389329729 896950031 /nfs/dbraw/zinc/95/00/31/896950031.db2.gz SOMFDSWQFGOMMS-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cccc(F)c1)C(F)(F)F ZINC001255682954 897087982 /nfs/dbraw/zinc/08/79/82/897087982.db2.gz DOVAKUIFRQIZBU-QMMMGPOBSA-N -1 1 315.244 1.208 20 0 DDADMM C[C@@H](Cc1ccccc1F)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001255835528 897140099 /nfs/dbraw/zinc/14/00/99/897140099.db2.gz ZWMIDHGVJLVYPS-ZETCQYMHSA-N -1 1 303.297 1.898 20 0 DDADMM CCc1noc(C)c1CNC[C@@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001367665286 897396278 /nfs/dbraw/zinc/39/62/78/897396278.db2.gz CSSCKIHWXNNFNV-MRVPVSSYSA-N -1 1 321.381 1.191 20 0 DDADMM O=C(CCC1CCC1)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001389593994 897589195 /nfs/dbraw/zinc/58/91/95/897589195.db2.gz JFAHDQNJQWKIHK-LBPRGKRZSA-N -1 1 307.398 1.075 20 0 DDADMM CC1(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)CCCC1 ZINC001389623712 897662959 /nfs/dbraw/zinc/66/29/59/897662959.db2.gz AZTGPRHWQSEKBC-NSHDSACASA-N -1 1 307.398 1.075 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-][C@H](CO)c1ccc(C)cc1 ZINC001258811262 898329324 /nfs/dbraw/zinc/32/93/24/898329324.db2.gz YFBDOICFRKMQTH-CYBMUJFWSA-N -1 1 310.375 1.612 20 0 DDADMM CCCC(CCC)C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001367963271 898333311 /nfs/dbraw/zinc/33/33/11/898333311.db2.gz UHZFMEGXESDQMV-ZDUSSCGKSA-N -1 1 323.441 1.015 20 0 DDADMM O=S(=O)([N-]Cc1ccc(Cl)nn1)c1cc(F)ccc1F ZINC001258949198 898374634 /nfs/dbraw/zinc/37/46/34/898374634.db2.gz SAVXXEHEPKEMNP-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM O=C1N[C@H]([N-]S(=O)(=O)c2cc(F)ccc2F)c2ccccc21 ZINC001258950169 898377945 /nfs/dbraw/zinc/37/79/45/898377945.db2.gz ODZKLGMVXQXKPH-CYBMUJFWSA-N -1 1 324.308 1.685 20 0 DDADMM CN1C(=O)CC[C@@H]1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259081797 898454986 /nfs/dbraw/zinc/45/49/86/898454986.db2.gz QTVIFEFOWMJFGU-SSDOTTSWSA-N -1 1 322.308 1.003 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(C(N)=O)c(O)c2)cc1C ZINC001259168231 898500346 /nfs/dbraw/zinc/50/03/46/898500346.db2.gz WQFKWYBRFSTALK-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM COC(=O)c1nc2ccccc2cc1[N-]S(=O)(=O)C1CC1 ZINC001259271998 898562725 /nfs/dbraw/zinc/56/27/25/898562725.db2.gz YRNPHTGDCDDEAV-UHFFFAOYSA-N -1 1 306.343 1.926 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC[C@H]1F)c1ccc(Cl)cc1F ZINC001259513337 898709532 /nfs/dbraw/zinc/70/95/32/898709532.db2.gz GHVADRXFIJAAAH-NXEZZACHSA-N -1 1 311.737 1.884 20 0 DDADMM O=S(=O)([N-]CC1(Cc2ccccn2)CCOCC1)C(F)F ZINC001259963991 898987089 /nfs/dbraw/zinc/98/70/89/898987089.db2.gz WFHBJJBSNRVGGZ-UHFFFAOYSA-N -1 1 320.361 1.563 20 0 DDADMM COc1cc2c(cc1OC)[C@H](C[N-]S(=O)(=O)C(F)F)C2 ZINC001259964797 898989313 /nfs/dbraw/zinc/98/93/13/898989313.db2.gz IXEXZGJEMOXLNF-QMMMGPOBSA-N -1 1 307.318 1.486 20 0 DDADMM COCCS(=O)(=O)[N-]C1(c2ccccc2Cl)COC1 ZINC001259975724 899000036 /nfs/dbraw/zinc/00/00/36/899000036.db2.gz NSGULTGDZTYLMN-UHFFFAOYSA-N -1 1 305.783 1.131 20 0 DDADMM CC(=O)NC[C@@]1(C)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001390284612 899078407 /nfs/dbraw/zinc/07/84/07/899078407.db2.gz GQXAKLGINGXZBS-MRXNPFEDSA-N -1 1 318.377 1.048 20 0 DDADMM CC(=O)c1ncccc1NS(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC001260222026 899095564 /nfs/dbraw/zinc/09/55/64/899095564.db2.gz HJDZZSUDFSVDSA-UHFFFAOYSA-N -1 1 320.326 1.783 20 0 DDADMM Cc1cnc(NS(=O)(=O)c2ccc(O)c(C(=O)[O-])c2)nc1C ZINC001260391844 899133169 /nfs/dbraw/zinc/13/31/69/899133169.db2.gz WEWUZPOYZQNALR-UHFFFAOYSA-N -1 1 323.330 1.298 20 0 DDADMM COc1nccc([N-]S(=O)(=O)c2cn(C)c(C)n2)c1Cl ZINC001260542408 899159480 /nfs/dbraw/zinc/15/94/80/899159480.db2.gz SGUBWIMNNHNNDV-UHFFFAOYSA-N -1 1 316.770 1.586 20 0 DDADMM O=S(=O)([N-][C@@H](c1ccc(O)cc1)C(F)(F)F)c1cn[nH]c1 ZINC001260963845 899305173 /nfs/dbraw/zinc/30/51/73/899305173.db2.gz IWNUTNXAAZPSSV-JTQLQIEISA-N -1 1 321.280 1.697 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)CC1=CCCOC1 ZINC001261753356 899750081 /nfs/dbraw/zinc/75/00/81/899750081.db2.gz MVANATRKJJNJIL-UHFFFAOYSA-N -1 1 309.391 1.631 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])[C@@H]1CC=CCC1 ZINC001262915314 900417923 /nfs/dbraw/zinc/41/79/23/900417923.db2.gz IIBUZTIATPXBSV-CHWSQXEVSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@@H](O)[C@@H](CO)[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000387454788 901034274 /nfs/dbraw/zinc/03/42/74/901034274.db2.gz OEIRHXAYKOMIEI-RFZPGFLSSA-N -1 1 320.219 1.075 20 0 DDADMM CCCC[C@@H](C)C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369442576 901302905 /nfs/dbraw/zinc/30/29/05/901302905.db2.gz IRZBEKGRPMGCSU-VXGBXAGGSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@H](c1csnn1)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001264978729 901379058 /nfs/dbraw/zinc/37/90/58/901379058.db2.gz NXGMIQDYKUGAJZ-SNVBAGLBSA-N -1 1 321.406 1.452 20 0 DDADMM C[C@H](C(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001391315180 901463070 /nfs/dbraw/zinc/46/30/70/901463070.db2.gz XRQZLTNFTWVSNW-NEPJUHHUSA-N -1 1 323.441 1.521 20 0 DDADMM Cc1n[nH]c(C(=O)NCCCN(C/C=C\Cl)C2CC2)c1[O-] ZINC001265159364 901644218 /nfs/dbraw/zinc/64/42/18/901644218.db2.gz UURAKOLERFRNBF-KXFIGUGUSA-N -1 1 312.801 1.761 20 0 DDADMM CSCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001370824270 903796777 /nfs/dbraw/zinc/79/67/77/903796777.db2.gz RZLPCZWIIOWUBA-WDEREUQCSA-N -1 1 323.418 1.260 20 0 DDADMM CC(C)=CC(=O)N[C@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001281221258 904579672 /nfs/dbraw/zinc/57/96/72/904579672.db2.gz KRVQMLAHWXZHMS-STQMWFEESA-N -1 1 317.389 1.768 20 0 DDADMM CS[C@@H](C)CC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001392758913 905163178 /nfs/dbraw/zinc/16/31/78/905163178.db2.gz LNSPXTBVWOTHCQ-UWVGGRQHSA-N -1 1 311.407 1.163 20 0 DDADMM C[C@@H](CNC(=O)C[C@@H]1C[C@H]1C1CC1)NC(=O)c1ncccc1[O-] ZINC001392775615 905220484 /nfs/dbraw/zinc/22/04/84/905220484.db2.gz XJOYGUHQEIMFCC-DRZSPHRISA-N -1 1 317.389 1.458 20 0 DDADMM CC[C@@H](C)CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001394719627 910395107 /nfs/dbraw/zinc/39/51/07/910395107.db2.gz PMJKBLWKBJEMNY-GHMZBOCLSA-N -1 1 309.414 1.273 20 0 DDADMM CC[C@@H](CNC(=O)c1c(C)coc1C)NCc1n[nH]c(=O)[n-]1 ZINC001373747493 910844001 /nfs/dbraw/zinc/84/40/01/910844001.db2.gz VEGNTAHUUZIOFU-JTQLQIEISA-N -1 1 307.354 1.018 20 0 DDADMM C=C/C(C)=C/CC(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001295610773 915925897 /nfs/dbraw/zinc/92/58/97/915925897.db2.gz DRGOGSUVHCQWGG-VNKGSWCUSA-N -1 1 303.362 1.544 20 0 DDADMM CC(C)C[C@H](CNC(=O)[C@@H]1C[C@H]1C)NC(=O)c1ncccc1[O-] ZINC001375824529 917057678 /nfs/dbraw/zinc/05/76/78/917057678.db2.gz SEXKLGWVIOPOFW-JHJVBQTASA-N -1 1 319.405 1.704 20 0 DDADMM CC(C)[C@@H](CNC(=O)C1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001376000659 917450699 /nfs/dbraw/zinc/45/06/99/917450699.db2.gz JGKJVBSAZOPZSZ-LLVKDONJSA-N -1 1 305.378 1.458 20 0 DDADMM C[C@H](CNC(=O)CCC1CCCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001376780688 919354439 /nfs/dbraw/zinc/35/44/39/919354439.db2.gz POZXCOIAFIGHMI-GFCCVEGCSA-N -1 1 323.441 1.855 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CC[C@H]3CC[C@@H](C)O3)nc2n1 ZINC000622870858 365550457 /nfs/dbraw/zinc/55/04/57/365550457.db2.gz JQHXDHKSAXMUEX-NXEZZACHSA-N -1 1 305.338 1.012 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CO[C@@H]3CCC[C@H](C)C3)nc2n1 ZINC000622871346 365552330 /nfs/dbraw/zinc/55/23/30/365552330.db2.gz YTMSONIAWUCNDC-GXSJLCMTSA-N -1 1 319.365 1.260 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ncccc3CC)nc2n1 ZINC000622994251 365586663 /nfs/dbraw/zinc/58/66/63/365586663.db2.gz IOKUHJHVXNFGTR-UHFFFAOYSA-N -1 1 312.333 1.190 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CCc3ccco3)nc2n1 ZINC000622995536 365589051 /nfs/dbraw/zinc/58/90/51/365589051.db2.gz FHZXOUSJIPIHSI-UHFFFAOYSA-N -1 1 301.306 1.144 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC34CCC4)nc2n1 ZINC000622997183 365590336 /nfs/dbraw/zinc/59/03/36/365590336.db2.gz OGUVPLURZZJDFJ-SNVBAGLBSA-N -1 1 301.350 1.499 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CC[C@H]4C[C@H]43)nc2n1 ZINC000622997808 365591928 /nfs/dbraw/zinc/59/19/28/365591928.db2.gz MKADEVCJDWBEKX-JBLDHEPKSA-N -1 1 315.377 1.745 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@@]2(CNC(=O)C2)C1 ZINC000614187713 361743234 /nfs/dbraw/zinc/74/32/34/361743234.db2.gz BDNUAKAQHQVKEP-QGZVFWFLSA-N -1 1 311.341 1.293 20 0 DDADMM C[C@@H]1OCC[C@@]1(O)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000614203091 361749902 /nfs/dbraw/zinc/74/99/02/361749902.db2.gz JOXAENHQNVQKTP-MGPLVRAMSA-N -1 1 302.330 1.210 20 0 DDADMM CSC[C@](C)(O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000077105351 185109020 /nfs/dbraw/zinc/10/90/20/185109020.db2.gz UIHLYRBFMDXEJW-LLVKDONJSA-N -1 1 311.375 1.357 20 0 DDADMM O=C(CCCc1cccnc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614390593 361834153 /nfs/dbraw/zinc/83/41/53/361834153.db2.gz VFGAHDYQJSVUAW-ZDUSSCGKSA-N -1 1 316.361 1.899 20 0 DDADMM O=C(Cc1ccncc1Cl)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614390912 361834332 /nfs/dbraw/zinc/83/43/32/361834332.db2.gz XQWWKEVNHSGIBD-SNVBAGLBSA-N -1 1 322.752 1.772 20 0 DDADMM O=C(c1cccc2[nH]ncc21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614387302 361830158 /nfs/dbraw/zinc/83/01/58/361830158.db2.gz NCTJUBVLFJCGDK-SECBINFHSA-N -1 1 313.317 1.671 20 0 DDADMM O=C(c1ncc(F)cc1F)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474310 361875420 /nfs/dbraw/zinc/87/54/20/361875420.db2.gz RMNPJXJGAMEHAE-UHFFFAOYSA-N -1 1 310.260 1.468 20 0 DDADMM Cn1nc([C@@H]2CCCO2)cc1NC(=O)[N-]O[C@H]1CCCCO1 ZINC000278641911 214296623 /nfs/dbraw/zinc/29/66/23/214296623.db2.gz CBXHEOWCZKAPAT-AAEUAGOBSA-N -1 1 310.354 1.851 20 0 DDADMM CN(CCc1ccnn1C)Cc1nc(=O)c2sccc2[n-]1 ZINC000278649335 214300749 /nfs/dbraw/zinc/30/07/49/214300749.db2.gz PRLINAFVNCLWJM-UHFFFAOYSA-N -1 1 303.391 1.805 20 0 DDADMM CCC(CC)CS(=O)(=O)[N-][C@](CC)(COC)C(=O)OC ZINC000416512260 282173164 /nfs/dbraw/zinc/17/31/64/282173164.db2.gz AHRKAMNTCFRTAF-CYBMUJFWSA-N -1 1 309.428 1.310 20 0 DDADMM Cc1cccc(C)c1NC(=O)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000187258869 539249129 /nfs/dbraw/zinc/24/91/29/539249129.db2.gz PUHAGGGYEHMIME-NSHDSACASA-N -1 1 316.365 1.017 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)Cc1ccon1)c1ccccc1 ZINC000278219012 214029214 /nfs/dbraw/zinc/02/92/14/214029214.db2.gz LGBICUHHSXHRLI-CYBMUJFWSA-N -1 1 324.358 1.398 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCCN1Cc1ccccn1 ZINC000615228131 362203554 /nfs/dbraw/zinc/20/35/54/362203554.db2.gz VZOWXWMGFRLRNP-LBPRGKRZSA-N -1 1 301.350 1.422 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](Oc1ccccc1)C(C)(C)O ZINC000615218565 362199955 /nfs/dbraw/zinc/19/99/55/362199955.db2.gz MBQADMAGYFGGML-GFCCVEGCSA-N -1 1 305.334 1.581 20 0 DDADMM C[N@@H+]1CCC[C@@H]1[C@@H]1COCCN1C(=O)c1cc(F)ccc1[O-] ZINC000615271364 362226092 /nfs/dbraw/zinc/22/60/92/362226092.db2.gz WMCVYXJXFLQTST-KGLIPLIRSA-N -1 1 308.353 1.467 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)n[nH]1 ZINC000425224957 529740166 /nfs/dbraw/zinc/74/01/66/529740166.db2.gz CRIVZVYPDAORAM-UHFFFAOYSA-N -1 1 316.333 1.432 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)[nH]1 ZINC000425224957 529740167 /nfs/dbraw/zinc/74/01/67/529740167.db2.gz CRIVZVYPDAORAM-UHFFFAOYSA-N -1 1 316.333 1.432 20 0 DDADMM O=S1(=O)CC[C@@H](Cc2nc(-c3ccc([O-])c(F)c3)no2)C1 ZINC000278114222 213968679 /nfs/dbraw/zinc/96/86/79/213968679.db2.gz IWZHMHIKOAFJJC-QMMMGPOBSA-N -1 1 312.322 1.559 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(C)c(F)c2)co1 ZINC000157971343 290736080 /nfs/dbraw/zinc/73/60/80/290736080.db2.gz PEGTYFFHPDCZJW-UHFFFAOYSA-N -1 1 312.322 1.888 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H](C)c2cnn(C)c2)c1Br ZINC000616006178 362517902 /nfs/dbraw/zinc/51/79/02/362517902.db2.gz HZOGCPSCFYUAAE-ZCFIWIBFSA-N -1 1 312.171 1.378 20 0 DDADMM CCO[C@@H]1COC[C@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000616102920 362547717 /nfs/dbraw/zinc/54/77/17/362547717.db2.gz QEUGUDPGGYJLHD-CHWSQXEVSA-N -1 1 302.330 1.474 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)C3(N(C)C)CC3)[nH][n-]2)c1 ZINC000616251348 362603361 /nfs/dbraw/zinc/60/33/61/362603361.db2.gz RTTSAFHCNFQNER-UHFFFAOYSA-N -1 1 302.353 1.979 20 0 DDADMM C[C@@H](CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1)C(C)(C)C ZINC000330988823 232287560 /nfs/dbraw/zinc/28/75/60/232287560.db2.gz MAPYOXGZMSXFFG-JTQLQIEISA-N -1 1 311.455 1.672 20 0 DDADMM CC(C)OCCCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000181842007 199360310 /nfs/dbraw/zinc/36/03/10/199360310.db2.gz KACQWWHSHSNWLO-UHFFFAOYSA-N -1 1 309.819 1.557 20 0 DDADMM CCCN(C(=O)c1ccc([O-])cc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000181861718 199364570 /nfs/dbraw/zinc/36/45/70/199364570.db2.gz YNHLJVHFXNAQMX-SNVBAGLBSA-N -1 1 315.366 1.571 20 0 DDADMM COC(=O)[C@H](CC(F)F)[N-]S(=O)(=O)CC1CCCCC1 ZINC000341720251 282470281 /nfs/dbraw/zinc/47/02/81/282470281.db2.gz ZLIQHPGYFNJGFR-JTQLQIEISA-N -1 1 313.366 1.683 20 0 DDADMM CCCN(CCC)C(=O)CCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000358373534 299133857 /nfs/dbraw/zinc/13/38/57/299133857.db2.gz CODZUIBJMDUULS-GFCCVEGCSA-N -1 1 324.429 1.190 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)[C@H](C)OC)o1 ZINC000342100448 282542610 /nfs/dbraw/zinc/54/26/10/282542610.db2.gz OICXESZRYOWKGE-IUCAKERBSA-N -1 1 305.352 1.158 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1COc2cc(C)c(C)cc21)c1nn[n-]n1 ZINC000186046767 186235051 /nfs/dbraw/zinc/23/50/51/186235051.db2.gz GYVMOUQPZVCEIM-OLZOCXBDSA-N -1 1 315.377 1.950 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC[C@@H](Cn2ccnn2)C1 ZINC000617266654 363028298 /nfs/dbraw/zinc/02/82/98/363028298.db2.gz ZVMZNJXAFYIARQ-SNVBAGLBSA-N -1 1 306.753 1.799 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1ccc(-c2nc[nH]n2)cc1 ZINC000172369719 198086997 /nfs/dbraw/zinc/08/69/97/198086997.db2.gz OHKRWIGAHYYQQN-GFCCVEGCSA-N -1 1 308.363 1.392 20 0 DDADMM Cc1c([C@H]2OCC[C@@H]2NC(=O)c2ncccc2[O-])cnn1C ZINC000331909544 234264674 /nfs/dbraw/zinc/26/46/74/234264674.db2.gz ANHSAGKRKQMMLB-SMDDNHRTSA-N -1 1 302.334 1.089 20 0 DDADMM Cc1nc(-c2ccc(N[C@H](C)[C@@H](C)CO)nc2)[n-]c(=O)c1C ZINC000078298619 353509963 /nfs/dbraw/zinc/50/99/63/353509963.db2.gz FAKKBLFAYRXWBS-GXSJLCMTSA-N -1 1 302.378 1.878 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCC[C@@H]1O ZINC000081953850 353699334 /nfs/dbraw/zinc/69/93/34/353699334.db2.gz AAQWQPNVONGMMM-QWRGUYRKSA-N -1 1 307.394 1.267 20 0 DDADMM O=c1[n-]c(CN2CCCCC[C@@H]2C[C@@H](O)c2ccccc2)n[nH]1 ZINC000091590992 353831355 /nfs/dbraw/zinc/83/13/55/353831355.db2.gz WEGWSCCOLXYAJW-HUUCEWRRSA-N -1 1 316.405 1.966 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@H]1O)c1ccc(Br)o1 ZINC000358628861 299209144 /nfs/dbraw/zinc/20/91/44/299209144.db2.gz BUFUTALFMGEKQY-HTQZYQBOSA-N -1 1 324.196 1.624 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)N1CCC(c2n[n-]c(=S)n2C)CC1 ZINC000092414527 353856406 /nfs/dbraw/zinc/85/64/06/353856406.db2.gz DILXYNLBUMFJLU-GXSJLCMTSA-N -1 1 310.423 1.609 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C)C(=O)NCc2ccccc2)c([O-])c1 ZINC000358629809 299209314 /nfs/dbraw/zinc/20/93/14/299209314.db2.gz MXFHDQZQKJUDGM-LBPRGKRZSA-N -1 1 313.357 1.530 20 0 DDADMM CCOC(=O)c1csc(CNC(=O)c2ncc(C)cc2[O-])n1 ZINC000358645957 299212896 /nfs/dbraw/zinc/21/28/96/299212896.db2.gz HTSOCNGIQAWHMF-UHFFFAOYSA-N -1 1 321.358 1.659 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000332241094 234693703 /nfs/dbraw/zinc/69/37/03/234693703.db2.gz JCCNJAKCPDEGLA-NSHDSACASA-N -1 1 315.366 1.571 20 0 DDADMM COC(=O)C[C@@H](C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000312792810 354478183 /nfs/dbraw/zinc/47/81/83/354478183.db2.gz POUUMOPOABDMCN-ZCFIWIBFSA-N -1 1 311.281 1.334 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2cscn2)c(F)c1 ZINC000588684175 354928551 /nfs/dbraw/zinc/92/85/51/354928551.db2.gz BTTVDMBNRTUCNP-UHFFFAOYSA-N -1 1 320.342 1.908 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C(C)C)C(C)(C)O)c1 ZINC000276990761 213223718 /nfs/dbraw/zinc/22/37/18/213223718.db2.gz DYNJCWYCTVMMJA-NSHDSACASA-N -1 1 319.379 1.140 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000588926359 354949406 /nfs/dbraw/zinc/94/94/06/354949406.db2.gz VCZOZOWNVWGTME-IUODEOHRSA-N -1 1 323.356 1.873 20 0 DDADMM CO[C@@]1(C)CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000332526164 235057973 /nfs/dbraw/zinc/05/79/73/235057973.db2.gz CJUZACMVXOUIIQ-INIZCTEOSA-N -1 1 301.346 1.564 20 0 DDADMM Cc1cnc(C(=O)NCC(=O)Nc2cccc(F)c2)c([O-])c1 ZINC000494834562 235095053 /nfs/dbraw/zinc/09/50/53/235095053.db2.gz JRYRMBNJHLQXKA-UHFFFAOYSA-N -1 1 303.293 1.603 20 0 DDADMM Cn1ccc(C[N-]S(=O)(=O)c2c(F)cc(F)cc2F)c1 ZINC000358854955 291056620 /nfs/dbraw/zinc/05/66/20/291056620.db2.gz HNGJEZDVBVKFCC-UHFFFAOYSA-N -1 1 304.293 1.921 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CCO[C@@H](C3CC3)C2)c1Cl ZINC000183812477 199646499 /nfs/dbraw/zinc/64/64/99/199646499.db2.gz IREZMJCHAUIDJR-NXEZZACHSA-N -1 1 319.814 1.309 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(=O)[nH]c(C3CC3)c2)n1 ZINC000593901612 356047991 /nfs/dbraw/zinc/04/79/91/356047991.db2.gz PHVOBDSUKAPZMO-UHFFFAOYSA-N -1 1 316.317 1.817 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn(C)c2C(F)F)n1 ZINC000593901764 356048916 /nfs/dbraw/zinc/04/89/16/356048916.db2.gz SELVTOKKNFXCRQ-UHFFFAOYSA-N -1 1 313.264 1.510 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCS[C@@H](C)C1 ZINC000081682365 192313675 /nfs/dbraw/zinc/31/36/75/192313675.db2.gz OUHXQHBYGFRVBP-VIFPVBQESA-N -1 1 309.435 1.953 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCC(O)(CO)CC1 ZINC000618239865 363538591 /nfs/dbraw/zinc/53/85/91/363538591.db2.gz WXNDQZVHKKQRQP-UHFFFAOYSA-N -1 1 319.279 1.370 20 0 DDADMM CC(C)n1cnc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000347569208 283231046 /nfs/dbraw/zinc/23/10/46/283231046.db2.gz PZCMRWBGWJQAIU-JTQLQIEISA-N -1 1 305.338 1.572 20 0 DDADMM CS[C@@H](CO)[C@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000597393096 357161625 /nfs/dbraw/zinc/16/16/25/357161625.db2.gz HKFOKGVBZLNASB-CABZTGNLSA-N -1 1 306.387 1.783 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H](C(=O)OC(C)(C)C)C1CC1)c1nn[n-]n1 ZINC000597508491 357201899 /nfs/dbraw/zinc/20/18/99/357201899.db2.gz SVGOAISSKWNXIQ-ONGXEEELSA-N -1 1 323.397 1.130 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc2c(s1)-c1ccccc1OC2 ZINC000599335045 357899204 /nfs/dbraw/zinc/89/92/04/357899204.db2.gz ZRZXSFVXFQMYMD-UHFFFAOYSA-N -1 1 313.342 1.751 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H]3C[C@@H]3C2)c1 ZINC000599363966 357910291 /nfs/dbraw/zinc/91/02/91/357910291.db2.gz VHVGAEHGGCWIRU-MXWKQRLJSA-N -1 1 313.375 1.781 20 0 DDADMM CCC[C@H](NCC(=O)NCc1ccc(COC)cc1)C(=O)[O-] ZINC000185028343 199798264 /nfs/dbraw/zinc/79/82/64/199798264.db2.gz LSKXCBJROIZHJH-AWEZNQCLSA-N -1 1 308.378 1.292 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccc(C(=O)OC)c(Cl)c2)c1=O ZINC000601949081 358749529 /nfs/dbraw/zinc/74/95/29/358749529.db2.gz PWSNHFUDWUPOLA-QMMMGPOBSA-N -1 1 324.720 1.638 20 0 DDADMM COc1cccc(CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000618487102 363649350 /nfs/dbraw/zinc/64/93/50/363649350.db2.gz JTPOOHXHVLNKOG-INIZCTEOSA-N -1 1 323.356 1.657 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCC(F)(F)F)n[n-]1 ZINC000603154297 359440168 /nfs/dbraw/zinc/44/01/68/359440168.db2.gz KHMTVMFWYRVIIM-LURJTMIESA-N -1 1 308.260 1.501 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCC(F)(F)F)[n-]1 ZINC000603154297 359440171 /nfs/dbraw/zinc/44/01/71/359440171.db2.gz KHMTVMFWYRVIIM-LURJTMIESA-N -1 1 308.260 1.501 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCC(F)(F)F)n1 ZINC000603154297 359440177 /nfs/dbraw/zinc/44/01/77/359440177.db2.gz KHMTVMFWYRVIIM-LURJTMIESA-N -1 1 308.260 1.501 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(C(F)F)CCC2)n[n-]1 ZINC000603158051 359444791 /nfs/dbraw/zinc/44/47/91/359444791.db2.gz ZCTRNHGHOWZWID-SSDOTTSWSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(C(F)F)CCC2)[n-]1 ZINC000603158051 359444794 /nfs/dbraw/zinc/44/47/94/359444794.db2.gz ZCTRNHGHOWZWID-SSDOTTSWSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(C(F)F)CCC2)n1 ZINC000603158051 359444799 /nfs/dbraw/zinc/44/47/99/359444799.db2.gz ZCTRNHGHOWZWID-SSDOTTSWSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(F)cc(F)c2)n[n-]1 ZINC000603167741 359451914 /nfs/dbraw/zinc/45/19/14/359451914.db2.gz YVLVFXIFHMZQNT-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(F)cc(F)c2)[n-]1 ZINC000603167741 359451921 /nfs/dbraw/zinc/45/19/21/359451921.db2.gz YVLVFXIFHMZQNT-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(F)cc(F)c2)n1 ZINC000603167741 359451926 /nfs/dbraw/zinc/45/19/26/359451926.db2.gz YVLVFXIFHMZQNT-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CCc1nc(SCCCS(=O)(=O)N(C)C)[n-]c(=O)c1C ZINC000579375566 422759445 /nfs/dbraw/zinc/75/94/45/422759445.db2.gz MIJKQXNAOVNTHY-UHFFFAOYSA-N -1 1 319.452 1.427 20 0 DDADMM CC(=O)Nc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000605530013 359866494 /nfs/dbraw/zinc/86/64/94/359866494.db2.gz GQOJPAHROLBCAZ-UHFFFAOYSA-N -1 1 314.349 1.357 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)nn1 ZINC000606530767 359933348 /nfs/dbraw/zinc/93/33/48/359933348.db2.gz VSTSRTPPKUQXAF-UHFFFAOYSA-N -1 1 311.301 1.833 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC[C@@H](C)CC2)o1 ZINC000188928698 200324002 /nfs/dbraw/zinc/32/40/02/200324002.db2.gz XIJJKICKMPNOLC-MNOVXSKESA-N -1 1 314.407 1.886 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1Cc2cc(F)ccc2O1 ZINC000607112877 359998469 /nfs/dbraw/zinc/99/84/69/359998469.db2.gz QDRBTNYDXJPVOG-GFCCVEGCSA-N -1 1 317.324 1.228 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2c(O)cccc2F)cc1 ZINC000608004715 360099010 /nfs/dbraw/zinc/09/90/10/360099010.db2.gz QLPTXRVIJRURFI-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C3CCCC2CCC3)o1 ZINC000607933774 360072995 /nfs/dbraw/zinc/07/29/95/360072995.db2.gz FSOCDHZUQUCKEN-UHFFFAOYSA-N -1 1 312.391 1.735 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(C)(C)C(C)(C)C)o1 ZINC000348491988 283530138 /nfs/dbraw/zinc/53/01/38/283530138.db2.gz MUTCYMROWOCHGH-UHFFFAOYSA-N -1 1 316.423 1.990 20 0 DDADMM CO[C@@H](CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C(C)(C)C ZINC000287809613 219741645 /nfs/dbraw/zinc/74/16/45/219741645.db2.gz YHZPXEUIGYAHBY-XHEYCZLTSA-N -1 1 305.382 1.524 20 0 DDADMM CC(C)(C)NS(=O)(=O)CC(=O)Nc1c([O-])cccc1F ZINC000608365545 360167060 /nfs/dbraw/zinc/16/70/60/360167060.db2.gz GREXFKZULWHABV-UHFFFAOYSA-N -1 1 304.343 1.188 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)COC[C@H]1CCCO1 ZINC000610231465 360392893 /nfs/dbraw/zinc/39/28/93/360392893.db2.gz ZASNIUBOKQHTFV-SNVBAGLBSA-N -1 1 311.338 1.029 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cnn(CC(F)(F)F)c1 ZINC000193329208 201030776 /nfs/dbraw/zinc/03/07/76/201030776.db2.gz BCBLZDUYGPFIPN-ZETCQYMHSA-N -1 1 301.290 1.222 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc(C(=O)N(C)C)c(C)c1 ZINC000193330240 201031110 /nfs/dbraw/zinc/03/11/10/201031110.db2.gz YKJUSGNRXKTOGX-LLVKDONJSA-N -1 1 314.407 1.473 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC(F)(F)C[C@@H]1CO ZINC000613765717 361556982 /nfs/dbraw/zinc/55/69/82/361556982.db2.gz CVPVELMAMYCLDU-SNVBAGLBSA-N -1 1 308.284 1.783 20 0 DDADMM CC(C)CS(=O)(=O)CCC(=O)Nc1nc(Cl)ccc1[O-] ZINC000194575775 201253781 /nfs/dbraw/zinc/25/37/81/201253781.db2.gz QXWUTTHCVVMHAK-UHFFFAOYSA-N -1 1 320.798 1.840 20 0 DDADMM CSC1(CNC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CC1 ZINC000194659327 201270047 /nfs/dbraw/zinc/27/00/47/201270047.db2.gz GUPUGZVWXAYIES-UHFFFAOYSA-N -1 1 320.418 1.194 20 0 DDADMM CCOC(=O)[C@@H]([N-]C(=O)C(F)F)c1ccc(OC)c(F)c1 ZINC000194874251 201304241 /nfs/dbraw/zinc/30/42/41/201304241.db2.gz XBXZTVYYQMPFSO-JTQLQIEISA-N -1 1 305.252 1.820 20 0 DDADMM O=S(=O)(C[C@@H]1CCOC1)c1nnc(Cc2cccs2)[n-]1 ZINC000195159182 201348743 /nfs/dbraw/zinc/34/87/43/201348743.db2.gz ZDPDSMHQRVUVRG-SECBINFHSA-N -1 1 313.404 1.267 20 0 DDADMM O=S(=O)(C[C@@H]1CCOC1)c1nc(Cc2cccs2)n[n-]1 ZINC000195159182 201348744 /nfs/dbraw/zinc/34/87/44/201348744.db2.gz ZDPDSMHQRVUVRG-SECBINFHSA-N -1 1 313.404 1.267 20 0 DDADMM O=S(=O)(C[C@@H]1CCOC1)c1n[n-]c(Cc2cccs2)n1 ZINC000195159182 201348745 /nfs/dbraw/zinc/34/87/45/201348745.db2.gz ZDPDSMHQRVUVRG-SECBINFHSA-N -1 1 313.404 1.267 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC(C)(C2CC2)C2CC2)o1 ZINC000620029020 364250342 /nfs/dbraw/zinc/25/03/42/364250342.db2.gz JZDQQVUMDDNOPM-UHFFFAOYSA-N -1 1 312.391 1.496 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN(C[C@H]2CCCO2)CC1 ZINC000620337464 364378070 /nfs/dbraw/zinc/37/80/70/364378070.db2.gz KKJKQALPNZPOMW-CYBMUJFWSA-N -1 1 324.808 1.982 20 0 DDADMM O=C(C(=O)N1CCO[C@@H](CC(F)(F)F)C1)c1ccc([O-])cc1 ZINC000620786470 364554145 /nfs/dbraw/zinc/55/41/45/364554145.db2.gz SYBDDTUCQKRWIV-NSHDSACASA-N -1 1 317.263 1.755 20 0 DDADMM O=C([O-])CC1(CNC(=O)c2n[nH]c3ccccc32)CCOCC1 ZINC000621596457 364928381 /nfs/dbraw/zinc/92/83/81/364928381.db2.gz UDRVHZCUQRTBON-UHFFFAOYSA-N -1 1 317.345 1.564 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)[C@@H]2CCc3[nH]cnc3C2)s[n-]1 ZINC000622018852 365191460 /nfs/dbraw/zinc/19/14/60/365191460.db2.gz CMVPWRHHJLLITD-HTQZYQBOSA-N -1 1 307.379 1.134 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]Cc1nnc2ccc(C)cn21 ZINC000622040502 365206352 /nfs/dbraw/zinc/20/63/52/365206352.db2.gz YKYYQMLTTPGYED-UHFFFAOYSA-N -1 1 321.362 1.121 20 0 DDADMM CC(C)COc1ccc(C(=O)N=c2ncn(CC(=O)[O-])[nH]2)cc1 ZINC000622043447 365208622 /nfs/dbraw/zinc/20/86/22/365208622.db2.gz AZCTZJKOTKDIRM-UHFFFAOYSA-N -1 1 318.333 1.072 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@@H](O)CC12CCC2 ZINC000622113058 365267482 /nfs/dbraw/zinc/26/74/82/365267482.db2.gz NLTRUECNENQNIB-LLVKDONJSA-N -1 1 307.419 1.659 20 0 DDADMM Cc1ccc(CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cn1 ZINC000622610557 365449952 /nfs/dbraw/zinc/44/99/52/365449952.db2.gz XSMGHUAWWHNBPK-HNNXBMFYSA-N -1 1 308.345 1.351 20 0 DDADMM Cc1cc(C2([N-]S(=O)(=O)c3ccoc3)CCOCC2)on1 ZINC000625654306 367074823 /nfs/dbraw/zinc/07/48/23/367074823.db2.gz YUYNNCMUZJOLLS-UHFFFAOYSA-N -1 1 312.347 1.560 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC(C)(C(C)C)CC1 ZINC000349532103 283955059 /nfs/dbraw/zinc/95/50/59/283955059.db2.gz BZVHBMWYUWKEPT-UHFFFAOYSA-N -1 1 301.412 1.842 20 0 DDADMM CCN(CCCS(=O)(=O)c1ccc(F)cc1)CCC(=O)[O-] ZINC000566363261 304148840 /nfs/dbraw/zinc/14/88/40/304148840.db2.gz ZMEWJZFJPLSTEO-UHFFFAOYSA-N -1 1 317.382 1.786 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1CCCC[C@@H]1CC(=O)[O-] ZINC000635018230 422772207 /nfs/dbraw/zinc/77/22/07/422772207.db2.gz MXEXSRZTYYXENM-SNVBAGLBSA-N -1 1 310.316 1.726 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)NCCCSc1ccccc1 ZINC000262621217 203353067 /nfs/dbraw/zinc/35/30/67/203353067.db2.gz FBIODYROIICKHW-UHFFFAOYSA-N -1 1 310.419 1.692 20 0 DDADMM COc1cc(F)cc(C[N-]S(=O)(=O)c2c(C)noc2C)c1 ZINC000093938581 193329968 /nfs/dbraw/zinc/32/99/68/193329968.db2.gz BJOXZAOPGIRTKR-UHFFFAOYSA-N -1 1 314.338 1.918 20 0 DDADMM Cc1cnc(C(=O)N2CCN(c3cncc(F)c3)CC2)c([O-])c1 ZINC000566449573 304158284 /nfs/dbraw/zinc/15/82/84/304158284.db2.gz PHQLTLUXEPJEFW-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N2CCN(C)[C@H](C)[C@@H]2C)s[n-]1 ZINC000350655020 284235840 /nfs/dbraw/zinc/23/58/40/284235840.db2.gz VXVCXZZTBAZXSD-KXUCPTDWSA-N -1 1 313.427 1.224 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(C(N)=O)cc2F)cn1 ZINC000350825740 284265924 /nfs/dbraw/zinc/26/59/24/284265924.db2.gz ANEVWJIMKPQTRA-UHFFFAOYSA-N -1 1 309.322 1.429 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCN2C(=O)OCC[C@H]2C1 ZINC000494316139 296172623 /nfs/dbraw/zinc/17/26/23/296172623.db2.gz MTEREPOFWXQMMS-JTQLQIEISA-N -1 1 310.737 1.712 20 0 DDADMM Cc1cc(C)cc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282802676 217260229 /nfs/dbraw/zinc/26/02/29/217260229.db2.gz ANVYIARZVMZHET-LBPRGKRZSA-N -1 1 300.362 1.735 20 0 DDADMM C[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[O-])C(=O)NCCF ZINC000282815411 217269561 /nfs/dbraw/zinc/26/95/61/217269561.db2.gz PBVKQEDTUWOXKG-SSDOTTSWSA-N -1 1 322.258 1.615 20 0 DDADMM CN(C)C(=O)c1ccc([N-]S(=O)(=O)CCCCF)nc1 ZINC000288542042 220220094 /nfs/dbraw/zinc/22/00/94/220220094.db2.gz IBWGAWIFDPJZMW-UHFFFAOYSA-N -1 1 303.359 1.275 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000283311644 217553198 /nfs/dbraw/zinc/55/31/98/217553198.db2.gz QBXOJGQIIYYBEJ-SNVBAGLBSA-N -1 1 306.391 1.705 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C1CC1 ZINC000267721806 206240564 /nfs/dbraw/zinc/24/05/64/206240564.db2.gz JKPCVMIDPSHDGK-LLVKDONJSA-N -1 1 323.292 1.334 20 0 DDADMM COC(=O)CCN(Cc1ccncc1)C(=O)c1cncc([O-])c1 ZINC000267894118 206324952 /nfs/dbraw/zinc/32/49/52/206324952.db2.gz SVHQFWXBDUEJJB-UHFFFAOYSA-N -1 1 315.329 1.388 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc(Cl)cc2)n1 ZINC000064348094 248203295 /nfs/dbraw/zinc/20/32/95/248203295.db2.gz MBKDGEAYENNZIA-UHFFFAOYSA-N -1 1 300.727 1.114 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2ccc(Cl)cc2)[n-]1 ZINC000064348094 248203300 /nfs/dbraw/zinc/20/33/00/248203300.db2.gz MBKDGEAYENNZIA-UHFFFAOYSA-N -1 1 300.727 1.114 20 0 DDADMM NC(=O)NC(=O)CCCSc1nc(C(F)F)cc(=O)[n-]1 ZINC000351893662 284620248 /nfs/dbraw/zinc/62/02/48/284620248.db2.gz YWRFYFTZBRUXCF-UHFFFAOYSA-N -1 1 306.294 1.187 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2([S@@](C)=O)CCC2)sc1C ZINC000333171250 248940680 /nfs/dbraw/zinc/94/06/80/248940680.db2.gz PVLRVNFEDKBAEH-GOSISDBHSA-N -1 1 322.477 1.339 20 0 DDADMM COC(=O)C[C@@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000336790397 249234291 /nfs/dbraw/zinc/23/42/91/249234291.db2.gz WQXABHJGAFMXSK-SSDOTTSWSA-N -1 1 316.151 1.836 20 0 DDADMM C[C@@H](NC(=O)CN1CCC(C(=O)[O-])CC1)c1ccc(F)cc1 ZINC000336850436 249256192 /nfs/dbraw/zinc/25/61/92/249256192.db2.gz SXXGNFILEXMFGR-LLVKDONJSA-N -1 1 308.353 1.800 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc(C)c2ccccc2n1 ZINC000337137040 249361735 /nfs/dbraw/zinc/36/17/35/249361735.db2.gz PALZVPKFWSWEQE-UHFFFAOYSA-N -1 1 323.356 1.609 20 0 DDADMM CN(C)C(=O)NCC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284442711 218029168 /nfs/dbraw/zinc/02/91/68/218029168.db2.gz WOOGUUHSMMUIRV-UHFFFAOYSA-N -1 1 323.777 1.029 20 0 DDADMM C[C@H]([C@H](C)NC(=O)c1ccc(Cl)cc1[O-])N1CCOCC1 ZINC000104937978 194054603 /nfs/dbraw/zinc/05/46/03/194054603.db2.gz UZVUEPPYIVTLCV-WDEREUQCSA-N -1 1 312.797 1.885 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1sccc1F ZINC000338958271 250218629 /nfs/dbraw/zinc/21/86/29/250218629.db2.gz UFWQDWDPQJMUSL-YUMQZZPRSA-N -1 1 309.384 1.753 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)CCCCc2cn[nH]n2)s[n-]1 ZINC000338926480 250200862 /nfs/dbraw/zinc/20/08/62/250200862.db2.gz QZAXIHLDXJBMPC-QMMMGPOBSA-N -1 1 310.383 1.137 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](OC)C2CC2)o1 ZINC000339057037 250273294 /nfs/dbraw/zinc/27/32/94/250273294.db2.gz SXTFOKYRYRUCES-LLVKDONJSA-N -1 1 317.363 1.160 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H](O)C[C@H]1c1ccc(F)cc1 ZINC000225421102 296306083 /nfs/dbraw/zinc/30/60/83/296306083.db2.gz IEGHJSWVTDTLHN-STQMWFEESA-N -1 1 302.305 1.874 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H](C)c1cccnc1 ZINC000352148050 284822476 /nfs/dbraw/zinc/82/24/76/284822476.db2.gz KCBWLXSVGWYPIH-MRVPVSSYSA-N -1 1 304.306 1.125 20 0 DDADMM Cc1sc(C(=O)[O-])cc1S(=O)(=O)NCCN(C)C1CC1 ZINC000109420384 194262429 /nfs/dbraw/zinc/26/24/29/194262429.db2.gz BIRCCEYPUXNIMK-UHFFFAOYSA-N -1 1 318.420 1.127 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(Cc1ccncc1)C1CC1 ZINC000352177894 284843571 /nfs/dbraw/zinc/84/35/71/284843571.db2.gz HHHAFVCFDIOZPP-UHFFFAOYSA-N -1 1 316.317 1.096 20 0 DDADMM Cc1noc(C2CC2)c1[N-]S(=O)(=O)N1C[C@H](C)OC[C@@H]1C ZINC000340975388 251313141 /nfs/dbraw/zinc/31/31/41/251313141.db2.gz SYEQWIVBCSPPEV-IUCAKERBSA-N -1 1 315.395 1.626 20 0 DDADMM CN(CCN1CCCC1)S(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000063052042 184268607 /nfs/dbraw/zinc/26/86/07/184268607.db2.gz WBFSAZPZJUIUKI-UHFFFAOYSA-N -1 1 312.391 1.101 20 0 DDADMM C[C@@H]1CCC[C@]1(O)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000294565716 224092194 /nfs/dbraw/zinc/09/21/94/224092194.db2.gz LUVRFQVQQRZMIY-RNCFNFMXSA-N -1 1 305.346 1.794 20 0 DDADMM CC(C)(NC(=O)Cc1ccc(Br)cc1)c1nn[n-]n1 ZINC000359802015 207385439 /nfs/dbraw/zinc/38/54/39/207385439.db2.gz STQQJIBVZZUSTO-UHFFFAOYSA-N -1 1 324.182 1.556 20 0 DDADMM COC(=O)N1CCC(CN=c2nc(C(F)(F)F)[n-]s2)CC1 ZINC000495760242 307317167 /nfs/dbraw/zinc/31/71/67/307317167.db2.gz NWBOKZINBQVRCP-UHFFFAOYSA-N -1 1 324.328 1.869 20 0 DDADMM O=C(Nc1cccc(-c2ncco2)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000352392294 285018314 /nfs/dbraw/zinc/01/83/14/285018314.db2.gz STAVURDMHVDUGC-UHFFFAOYSA-N -1 1 322.284 1.325 20 0 DDADMM CCCOc1ccccc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352431429 285045919 /nfs/dbraw/zinc/04/59/19/285045919.db2.gz LIIMZKJFMUIWOU-UHFFFAOYSA-N -1 1 313.317 1.459 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCCSC2)c1 ZINC000269988390 208039819 /nfs/dbraw/zinc/03/98/19/208039819.db2.gz FUUCFHSHHDPRHN-SECBINFHSA-N -1 1 305.377 1.240 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(C(C)(C)OC)no2)co1 ZINC000270038086 208094551 /nfs/dbraw/zinc/09/45/51/208094551.db2.gz NMIVIEPNHWOPST-UHFFFAOYSA-N -1 1 301.324 1.119 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)C1CC1)c1ccc(Br)o1 ZINC000352578312 285153582 /nfs/dbraw/zinc/15/35/82/285153582.db2.gz NRHNYELGYLLELG-MRVPVSSYSA-N -1 1 324.196 1.339 20 0 DDADMM C[C@@H](NC(=O)N=c1[n-]nc(-c2ccco2)s1)c1nnc[nH]1 ZINC000352618728 285177814 /nfs/dbraw/zinc/17/78/14/285177814.db2.gz TUHQHARCQTUAAA-ZCFIWIBFSA-N -1 1 305.323 1.221 20 0 DDADMM CN(Cc1occc1C(=O)[O-])C[C@@H](O)COCc1ccccc1 ZINC000568098695 304268081 /nfs/dbraw/zinc/26/80/81/304268081.db2.gz OGXXJYURXIZWGK-CQSZACIVSA-N -1 1 319.357 1.987 20 0 DDADMM CN(C)C(=O)c1ccc(=NC[C@H](CO)CC2CCCC2)[n-]n1 ZINC000413262616 224163603 /nfs/dbraw/zinc/16/36/03/224163603.db2.gz GDXOLWGZCFJLPI-CYBMUJFWSA-N -1 1 306.410 1.201 20 0 DDADMM Cc1cnn(C)c1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000413462751 224200303 /nfs/dbraw/zinc/20/03/03/224200303.db2.gz QOAYAIJBJIRSFW-UHFFFAOYSA-N -1 1 314.349 1.024 20 0 DDADMM NC(=O)[C@H]1CC[C@H]1C(=O)N=c1cc(-c2ccc(Cl)s2)[n-][nH]1 ZINC000568203590 304275726 /nfs/dbraw/zinc/27/57/26/304275726.db2.gz NVQWHUDFGTZGQS-NKWVEPMBSA-N -1 1 324.793 1.664 20 0 DDADMM Cc1nc(CN2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)no1 ZINC000289578404 221081514 /nfs/dbraw/zinc/08/15/14/221081514.db2.gz WGYZFRCDQBECGC-VIFPVBQESA-N -1 1 306.288 1.269 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2ccccc2F)s1 ZINC000289686154 221153514 /nfs/dbraw/zinc/15/35/14/221153514.db2.gz XHWJKGHNYMTCFU-UHFFFAOYSA-N -1 1 301.368 1.718 20 0 DDADMM O=C(N[C@H]1CCC[C@@H](CO)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000414401751 224333791 /nfs/dbraw/zinc/33/37/91/224333791.db2.gz DUDAUIHYGGVHSX-MNOVXSKESA-N -1 1 301.346 1.204 20 0 DDADMM CS(=O)(=O)CC1(CNC(=O)c2c([O-])cccc2F)CC1 ZINC000128112706 195937522 /nfs/dbraw/zinc/93/75/22/195937522.db2.gz MEEMYKFLMCEUTB-UHFFFAOYSA-N -1 1 301.339 1.086 20 0 DDADMM CCc1nc(SCCCOC(=O)NC(N)=O)[n-]c(=O)c1C ZINC000496176849 307330104 /nfs/dbraw/zinc/33/01/04/307330104.db2.gz FHUMMMUOVXQBIW-UHFFFAOYSA-N -1 1 314.367 1.340 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000294745750 533367077 /nfs/dbraw/zinc/36/70/77/533367077.db2.gz YNNSTARXBZDMGT-SNVBAGLBSA-N -1 1 315.366 1.428 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000331938509 533427402 /nfs/dbraw/zinc/42/74/02/533427402.db2.gz GCTYXJYRKSTZNE-JTQLQIEISA-N -1 1 315.329 1.279 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000331938509 533427406 /nfs/dbraw/zinc/42/74/06/533427406.db2.gz GCTYXJYRKSTZNE-JTQLQIEISA-N -1 1 315.329 1.279 20 0 DDADMM COc1cc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc(OC)c1 ZINC000492010593 533464170 /nfs/dbraw/zinc/46/41/70/533464170.db2.gz PMUMXXBGFIALHZ-ONEGZZNKSA-N -1 1 315.333 1.036 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H](C)CO[C@H]2CCOC2)sc1C ZINC000433783535 533647555 /nfs/dbraw/zinc/64/75/55/533647555.db2.gz PIFOHBUFVQIGBS-WPRPVWTQSA-N -1 1 300.380 1.266 20 0 DDADMM CN(C)c1nccc2cc(NC(=O)CCc3nn[n-]n3)ccc21 ZINC000633250278 422825261 /nfs/dbraw/zinc/82/52/61/422825261.db2.gz FPNVGBYWVNEVTI-UHFFFAOYSA-N -1 1 311.349 1.385 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC(C2CCCCC2)C1 ZINC000359961467 306968690 /nfs/dbraw/zinc/96/86/90/306968690.db2.gz BKNHAXOLPBEKLA-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)N=c1[n-]nc(-c2ccco2)s1 ZINC000569547916 304361399 /nfs/dbraw/zinc/36/13/99/304361399.db2.gz VLQVPACXYADTJS-JTQLQIEISA-N -1 1 321.406 1.778 20 0 DDADMM CC(C)(C)c1n[nH]cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000569634086 304370573 /nfs/dbraw/zinc/37/05/73/304370573.db2.gz HXZHRMRYNZPUPV-SECBINFHSA-N -1 1 303.370 1.240 20 0 DDADMM C[C@@H]1OCC[C@]1(O)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000570506636 304412629 /nfs/dbraw/zinc/41/26/29/304412629.db2.gz YUJYBDOIVSBYDU-HZMBPMFUSA-N -1 1 311.359 1.251 20 0 DDADMM CN(CCNC(=O)c1ncc2ccccc2c1[O-])CC(F)F ZINC000629843498 422833872 /nfs/dbraw/zinc/83/38/72/422833872.db2.gz ZMAPTORTPWYKHX-UHFFFAOYSA-N -1 1 309.316 1.867 20 0 DDADMM CNC(=O)c1cccc(C[N-]S(=O)(=O)c2c(C)noc2C)c1 ZINC000028321905 406917615 /nfs/dbraw/zinc/91/76/15/406917615.db2.gz KPSDGNKAZFXKLS-UHFFFAOYSA-N -1 1 323.374 1.130 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2cccc(F)c2)ccc1F ZINC000032087216 406944932 /nfs/dbraw/zinc/94/49/32/406944932.db2.gz BDANUYJVRPITIS-UHFFFAOYSA-N -1 1 312.297 1.865 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCC[C@H]1CO ZINC000044489827 407024296 /nfs/dbraw/zinc/02/42/96/407024296.db2.gz GKDTYJQNSFIQIG-VIFPVBQESA-N -1 1 300.152 1.752 20 0 DDADMM CC[C@@H](C)NS(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000077383447 406988318 /nfs/dbraw/zinc/98/83/18/406988318.db2.gz QBTKXUVGEJXBIU-MRVPVSSYSA-N -1 1 324.399 1.274 20 0 DDADMM CCc1ccc(S(=O)(=O)NN=c2nc(OC)cc[n-]2)s1 ZINC000048653874 407103157 /nfs/dbraw/zinc/10/31/57/407103157.db2.gz PTWFIIGKTGPPRG-UHFFFAOYSA-N -1 1 314.392 1.415 20 0 DDADMM O=C(CCNC(=O)c1cc(F)ccc1[O-])Nc1ccncc1 ZINC000080022709 407069050 /nfs/dbraw/zinc/06/90/50/407069050.db2.gz RIJOIQBJJURQIC-UHFFFAOYSA-N -1 1 303.293 1.685 20 0 DDADMM CCOC(=O)C[C@H](C)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000089782427 407148890 /nfs/dbraw/zinc/14/88/90/407148890.db2.gz BVNYDSIGUWUQLG-VIFPVBQESA-N -1 1 321.345 1.832 20 0 DDADMM CCc1nc(CC(=O)Nc2nc(-c3ccco3)n[n-]2)cs1 ZINC000065633779 407245183 /nfs/dbraw/zinc/24/51/83/407245183.db2.gz UPUKJRDPQDBBQP-UHFFFAOYSA-N -1 1 303.347 1.687 20 0 DDADMM Cc1ccc(CCCC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1 ZINC000101664247 407314277 /nfs/dbraw/zinc/31/42/77/407314277.db2.gz WSVMVNHBPFCKHY-UHFFFAOYSA-N -1 1 322.390 1.478 20 0 DDADMM Cc1ccc(CCCC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cc1 ZINC000101664247 407314279 /nfs/dbraw/zinc/31/42/79/407314279.db2.gz WSVMVNHBPFCKHY-UHFFFAOYSA-N -1 1 322.390 1.478 20 0 DDADMM Cc1ccc(CCC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1 ZINC000101664415 407314282 /nfs/dbraw/zinc/31/42/82/407314282.db2.gz AMEHKUGUDSIFPE-UHFFFAOYSA-N -1 1 308.363 1.088 20 0 DDADMM Cc1ccc(CCC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cc1 ZINC000101664415 407314283 /nfs/dbraw/zinc/31/42/83/407314283.db2.gz AMEHKUGUDSIFPE-UHFFFAOYSA-N -1 1 308.363 1.088 20 0 DDADMM Cc1cc(C)n(CC(=O)NCCc2n[n-]c(=S)n2C2CC2)n1 ZINC000067079839 407267558 /nfs/dbraw/zinc/26/75/58/407267558.db2.gz MRVKGGOKSLHWMP-UHFFFAOYSA-N -1 1 320.422 1.448 20 0 DDADMM COCCN(CC(F)F)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000103501923 407341506 /nfs/dbraw/zinc/34/15/06/407341506.db2.gz YCDZFPVNEHXFSX-UHFFFAOYSA-N -1 1 311.288 1.277 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1COc2ccc(F)cc2C1)c1nn[n-]n1 ZINC000124505407 407360399 /nfs/dbraw/zinc/36/03/99/407360399.db2.gz TUEODWIASYWBSB-ONGXEEELSA-N -1 1 319.340 1.152 20 0 DDADMM C[C@H](CN(C)C(=O)COC/C=C/c1ccccc1)c1nn[n-]n1 ZINC000124600288 407363557 /nfs/dbraw/zinc/36/35/57/407363557.db2.gz ZARSLMVPPJRVLR-YSKGHYERSA-N -1 1 315.377 1.492 20 0 DDADMM CCC(CC)n1nccc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000124605413 407363567 /nfs/dbraw/zinc/36/35/67/407363567.db2.gz VSDCJQOIWVQJNN-SNVBAGLBSA-N -1 1 305.386 1.633 20 0 DDADMM CSc1n[nH]c(NC(=O)CCc2nc(-c3ccoc3)no2)n1 ZINC000265836076 407477338 /nfs/dbraw/zinc/47/73/38/407477338.db2.gz UJDYBTPVQNOFBN-UHFFFAOYSA-N -1 1 320.334 1.741 20 0 DDADMM CC(C)(NC(=O)c1cc(Br)ccc1[O-])C(N)=O ZINC000226762111 407622682 /nfs/dbraw/zinc/62/26/82/407622682.db2.gz IZGUUAKFWHLZMH-UHFFFAOYSA-N -1 1 301.140 1.148 20 0 DDADMM Cc1cccc(C)c1OCCNC(=O)CN1CC[C@H](C(=O)[O-])C1 ZINC000178893965 407643103 /nfs/dbraw/zinc/64/31/03/407643103.db2.gz USKIVJDNQQEBHM-AWEZNQCLSA-N -1 1 320.389 1.205 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2ccc(Cl)cn2)CC1 ZINC000171278201 407645207 /nfs/dbraw/zinc/64/52/07/407645207.db2.gz CUHHWZIHPXYSGY-UHFFFAOYSA-N -1 1 318.764 1.798 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(OC)cc2C)o1 ZINC000171420444 407685835 /nfs/dbraw/zinc/68/58/35/407685835.db2.gz YUKXOUKUTJJRHQ-UHFFFAOYSA-N -1 1 324.358 1.757 20 0 DDADMM C[C@H](OCCCNC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC000635139248 422837177 /nfs/dbraw/zinc/83/71/77/422837177.db2.gz BSZTVSQAELDSSK-ZDUSSCGKSA-N -1 1 317.393 1.807 20 0 DDADMM O=C(NCC[N-]C(=O)C(F)(F)C(F)F)c1ccccc1F ZINC000267184004 407781366 /nfs/dbraw/zinc/78/13/66/407781366.db2.gz IOWHVHPLGFJALB-UHFFFAOYSA-N -1 1 310.222 1.572 20 0 DDADMM O=C(NC[C@@H](O)Cc1ccccc1)c1cn[nH]c1-c1ccccn1 ZINC000354294330 298395244 /nfs/dbraw/zinc/39/52/44/298395244.db2.gz CSOCTMNEEXFSSE-AWEZNQCLSA-N -1 1 322.368 1.805 20 0 DDADMM CN(C)c1cccc([C@H]2CCCN2CC(=O)NCC(=O)[O-])c1 ZINC000262296715 407788225 /nfs/dbraw/zinc/78/82/25/407788225.db2.gz ZWFFHIKUDIHBRG-CQSZACIVSA-N -1 1 305.378 1.090 20 0 DDADMM CCC[C@H](NC(=O)CCc1ccc(N(C)C)cc1)c1nn[n-]n1 ZINC000268220003 407954368 /nfs/dbraw/zinc/95/43/68/407954368.db2.gz QDELQCIFZAAMAJ-AWEZNQCLSA-N -1 1 316.409 1.856 20 0 DDADMM O=C1NCc2ccc([N-]S(=O)(=O)c3cccc(F)c3)cc21 ZINC000058497345 161554474 /nfs/dbraw/zinc/55/44/74/161554474.db2.gz YSNHRXCPSNZRON-UHFFFAOYSA-N -1 1 306.318 1.870 20 0 DDADMM COc1ccccc1Nc1ncccc1C(=O)Nc1nnn[n-]1 ZINC000118685727 407935258 /nfs/dbraw/zinc/93/52/58/407935258.db2.gz YMDAKXFMGZZUEH-UHFFFAOYSA-N -1 1 311.305 1.599 20 0 DDADMM COc1ccccc1Nc1ncccc1C(=O)Nc1nn[n-]n1 ZINC000118685727 407935262 /nfs/dbraw/zinc/93/52/62/407935262.db2.gz YMDAKXFMGZZUEH-UHFFFAOYSA-N -1 1 311.305 1.599 20 0 DDADMM CO[C@@H]1CC[C@H](NC(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000188441964 407945093 /nfs/dbraw/zinc/94/50/93/407945093.db2.gz PDOYHSURGTXDSX-WDEREUQCSA-N -1 1 301.346 1.941 20 0 DDADMM CO[C@@H]1CC[C@H](NC(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000188441964 407945096 /nfs/dbraw/zinc/94/50/96/407945096.db2.gz PDOYHSURGTXDSX-WDEREUQCSA-N -1 1 301.346 1.941 20 0 DDADMM CC[C@H](CSC)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119478412 408075613 /nfs/dbraw/zinc/07/56/13/408075613.db2.gz OOZSSODCVKLXRP-SECBINFHSA-N -1 1 308.407 1.888 20 0 DDADMM CCC[C@H](NC(=O)CSC[C@@H]1CCCCO1)c1nn[n-]n1 ZINC000136629636 408116482 /nfs/dbraw/zinc/11/64/82/408116482.db2.gz RQCLXXZHBGLWOX-QWRGUYRKSA-N -1 1 313.427 1.459 20 0 DDADMM O=C([O-])c1cnc(NCC2(N3CCOCC3)CCCCC2)cn1 ZINC000263383835 408124538 /nfs/dbraw/zinc/12/45/38/408124538.db2.gz DGRWXAKJMZEDJT-UHFFFAOYSA-N -1 1 320.393 1.622 20 0 DDADMM NC(=O)[C@H](NC(=O)c1ccc([O-])cc1F)c1ccc(F)cc1 ZINC000137133024 408141163 /nfs/dbraw/zinc/14/11/63/408141163.db2.gz DZUWNYIAMQJDMT-CYBMUJFWSA-N -1 1 306.268 1.627 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccccc1F ZINC000155488323 408171279 /nfs/dbraw/zinc/17/12/79/408171279.db2.gz WFPJUYSTIICUHN-WDEREUQCSA-N -1 1 303.341 1.849 20 0 DDADMM Cn1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c2ccccc21 ZINC000155595799 408185780 /nfs/dbraw/zinc/18/57/80/408185780.db2.gz DERKGNICQWFOQZ-LLVKDONJSA-N -1 1 310.361 1.711 20 0 DDADMM CCC(=O)Nc1cccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000273421624 408191090 /nfs/dbraw/zinc/19/10/90/408191090.db2.gz HLLSTDGFPQFUHQ-SNVBAGLBSA-N -1 1 316.365 1.424 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)CCC(=O)Nc2nnn[n-]2)s1 ZINC000263994837 408337657 /nfs/dbraw/zinc/33/76/57/408337657.db2.gz HZUMIUHWJBYISN-UHFFFAOYSA-N -1 1 321.362 1.521 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)CCC(=O)Nc2nn[n-]n2)s1 ZINC000263994837 408337660 /nfs/dbraw/zinc/33/76/60/408337660.db2.gz HZUMIUHWJBYISN-UHFFFAOYSA-N -1 1 321.362 1.521 20 0 DDADMM CCc1ccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)s1 ZINC000164572987 162153036 /nfs/dbraw/zinc/15/30/36/162153036.db2.gz AQNVHMQJKSGIEB-NSHDSACASA-N -1 1 310.419 1.723 20 0 DDADMM CCC[C@@H](C)N(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000264055266 408353841 /nfs/dbraw/zinc/35/38/41/408353841.db2.gz APGDIUNTMQESCM-SNVBAGLBSA-N -1 1 304.394 1.829 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)O[C@@H]1CC[C@H](C)C1 ZINC000274352801 408374209 /nfs/dbraw/zinc/37/42/09/408374209.db2.gz STXRJLOMKOFXOR-JOYOIKCWSA-N -1 1 303.362 1.914 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc2c(cccc2F)[nH]1)c1nn[n-]n1 ZINC000183442173 408413514 /nfs/dbraw/zinc/41/35/14/408413514.db2.gz SAMBHBAKNZPTEO-MRVPVSSYSA-N -1 1 302.313 1.696 20 0 DDADMM CC[C@H](C)[C@@H](O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000269755210 408418277 /nfs/dbraw/zinc/41/82/77/408418277.db2.gz ILTKHRDKHFBGBU-CBAPKCEASA-N -1 1 311.325 1.789 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)Oc1cccc(F)c1)c1nn[n-]n1 ZINC000176779725 408443547 /nfs/dbraw/zinc/44/35/47/408443547.db2.gz DHYFNNALFMFPLT-SKDRFNHKSA-N -1 1 307.329 1.764 20 0 DDADMM CCC[C@H](NC(=O)CSCc1ccncc1)c1nn[n-]n1 ZINC000176780811 408444040 /nfs/dbraw/zinc/44/40/40/408444040.db2.gz AXOOPAZFYJEKAZ-NSHDSACASA-N -1 1 306.395 1.486 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc2c(s1)CCOC2)c1nn[n-]n1 ZINC000274755328 408519934 /nfs/dbraw/zinc/51/99/34/408519934.db2.gz ILCNOHDYRAEHNJ-MRVPVSSYSA-N -1 1 307.379 1.210 20 0 DDADMM CS(=O)(=O)CC1(CNC(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000177217941 408554312 /nfs/dbraw/zinc/55/43/12/408554312.db2.gz ZXMLOEXRLBAGRM-UHFFFAOYSA-N -1 1 317.794 1.600 20 0 DDADMM CC(C)(CC(N)=O)NC(=O)c1cc(Br)ccc1[O-] ZINC000184569116 408645701 /nfs/dbraw/zinc/64/57/01/408645701.db2.gz PFEYGAZKHMVVPG-UHFFFAOYSA-N -1 1 315.167 1.539 20 0 DDADMM Cc1cc(C)n2nc(C(=O)[N-]c3n[nH]c(C4CCC4)n3)nc2n1 ZINC000184619658 408658469 /nfs/dbraw/zinc/65/84/69/408658469.db2.gz NJMPQXBWGRFOKN-UHFFFAOYSA-N -1 1 312.337 1.379 20 0 DDADMM CCN(C)CCNS(=O)(=O)c1cc(C(=O)[O-])c(C)cc1F ZINC000184964520 408727020 /nfs/dbraw/zinc/72/70/20/408727020.db2.gz WWADCZIXPFLLOS-UHFFFAOYSA-N -1 1 318.370 1.062 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)NCc1cccc(OC)c1)C(=O)[O-] ZINC000185047549 408745617 /nfs/dbraw/zinc/74/56/17/408745617.db2.gz LDSFWTADGKPMTO-BXUZGUMPSA-N -1 1 308.378 1.543 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)c(C)o1 ZINC000163186810 408766992 /nfs/dbraw/zinc/76/69/92/408766992.db2.gz QQWWQGKBJQIDCV-UHFFFAOYSA-N -1 1 313.335 1.515 20 0 DDADMM CC(C)C(C)(C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000276218491 408826207 /nfs/dbraw/zinc/82/62/07/408826207.db2.gz XNVVBQKJGQWSTG-UHFFFAOYSA-N -1 1 300.380 1.660 20 0 DDADMM Cc1cccc(N2C[C@H](C(=O)[N-]OCC(C)(C)O)CC2=O)c1C ZINC000280798597 408837153 /nfs/dbraw/zinc/83/71/53/408837153.db2.gz PXGPQEYHGATOJO-CYBMUJFWSA-N -1 1 320.389 1.475 20 0 DDADMM O=C(C(=O)N1CCN(CC(F)(F)F)CC1)c1ccc([O-])cc1 ZINC000280820097 408840153 /nfs/dbraw/zinc/84/01/53/408840153.db2.gz SFLLYXVOXLDXRD-UHFFFAOYSA-N -1 1 316.279 1.281 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C[C@H]1C ZINC000280915755 408858013 /nfs/dbraw/zinc/85/80/13/408858013.db2.gz FYLFXPPLPQIXPD-ZWNOBZJWSA-N -1 1 305.330 1.233 20 0 DDADMM C[C@H]1CCCN(C(=O)C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000276502610 408871590 /nfs/dbraw/zinc/87/15/90/408871590.db2.gz WRGVRXOXRVEILE-LURJTMIESA-N -1 1 305.260 1.021 20 0 DDADMM CCOC(=O)[C@]1(COC)CCCN(C(=O)c2ncccc2[O-])C1 ZINC000281806529 408932752 /nfs/dbraw/zinc/93/27/52/408932752.db2.gz WPKLTTHBKBNVTP-MRXNPFEDSA-N -1 1 322.361 1.219 20 0 DDADMM C[C@H](Sc1nc(C2CC2)cc(=O)[n-]1)C(=O)Nc1ncccn1 ZINC000277499340 408952447 /nfs/dbraw/zinc/95/24/47/408952447.db2.gz DJVFKFSXHIRIKI-QMMMGPOBSA-N -1 1 317.374 1.969 20 0 DDADMM O=C1NC[C@@H](CSc2nc([O-])cc(=O)n2C2CCCC2)O1 ZINC000277510113 408954200 /nfs/dbraw/zinc/95/42/00/408954200.db2.gz FLPOPHZMRIPLJO-VIFPVBQESA-N -1 1 311.363 1.265 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCCOC(=O)NC(N)=O)n1 ZINC000277707394 408996807 /nfs/dbraw/zinc/99/68/07/408996807.db2.gz NFHBGSJTHYZYSZ-UHFFFAOYSA-N -1 1 314.367 1.422 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CC2CCCC2)c1 ZINC000292310588 409022105 /nfs/dbraw/zinc/02/21/05/409022105.db2.gz SYWNXLNECVRIQJ-UHFFFAOYSA-N -1 1 312.391 1.819 20 0 DDADMM C[C@H]1COCC[C@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000277942352 409037969 /nfs/dbraw/zinc/03/79/69/409037969.db2.gz RAKFJSDLDGBGSB-WRWORJQWSA-N -1 1 309.309 1.807 20 0 DDADMM O=C(NCC1(N2CCOCC2)CC1)c1ccc(Cl)cc1[O-] ZINC000277958579 409041274 /nfs/dbraw/zinc/04/12/74/409041274.db2.gz PKPWGSYVKVXHEA-UHFFFAOYSA-N -1 1 310.781 1.640 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@@H]3CCCSC3)ccnc1-2 ZINC000287662261 409072191 /nfs/dbraw/zinc/07/21/91/409072191.db2.gz PSNNRPGBQOSWCJ-ORHXRLAQSA-N -1 1 305.407 1.607 20 0 DDADMM CC(C)(NS(C)(=O)=O)c1nc(-c2ccc([O-])cc2F)no1 ZINC000278145432 409073152 /nfs/dbraw/zinc/07/31/52/409073152.db2.gz LOBOCEUMDULMRR-UHFFFAOYSA-N -1 1 315.326 1.366 20 0 DDADMM CC(C)C[C@@H](CCO)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287766515 409089938 /nfs/dbraw/zinc/08/99/38/409089938.db2.gz VWCNBNBOSABDFC-KMCFQHSYSA-N -1 1 319.409 1.508 20 0 DDADMM O=C(NC[C@@H]1CCCS(=O)(=O)C1)c1ccc(Cl)cc1[O-] ZINC000288118150 409148929 /nfs/dbraw/zinc/14/89/29/409148929.db2.gz JCPRPKJWZGWQAO-VIFPVBQESA-N -1 1 317.794 1.600 20 0 DDADMM C[C@H](CC(=O)NN1CC(=O)[N-]C1=O)c1c[nH]c2ccccc21 ZINC000279522498 409231059 /nfs/dbraw/zinc/23/10/59/409231059.db2.gz ONYPRGVGPFBKNI-SECBINFHSA-N -1 1 300.318 1.245 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1ccc(Cl)s1 ZINC000289288278 409244036 /nfs/dbraw/zinc/24/40/36/409244036.db2.gz GNZAEOMKLQXNHG-UHFFFAOYSA-N -1 1 321.811 1.801 20 0 DDADMM CCCCNC(=O)[C@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000284050688 409265150 /nfs/dbraw/zinc/26/51/50/409265150.db2.gz UILNLFXQPOHSEU-GFCCVEGCSA-N -1 1 309.391 1.219 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)N2CCCc3n[nH]cc32)c1 ZINC000280254866 409278798 /nfs/dbraw/zinc/27/87/98/409278798.db2.gz ZRVCCMCWTOIZJZ-UHFFFAOYSA-N -1 1 300.318 1.667 20 0 DDADMM C[C@H](Sc1nc(C(F)F)cc(=O)[n-]1)C(=O)N1CCNC1=O ZINC000289473649 409278894 /nfs/dbraw/zinc/27/88/94/409278894.db2.gz HPAPFIVGUCVKTM-YFKPBYRVSA-N -1 1 318.305 1.152 20 0 DDADMM COCc1nsc([N-]C(=O)c2cnc(OCC3CC3)cn2)n1 ZINC000284349708 409329780 /nfs/dbraw/zinc/32/97/80/409329780.db2.gz YGMBBSCLQSQWMO-UHFFFAOYSA-N -1 1 321.362 1.516 20 0 DDADMM COc1nc(C)cc(C)c1C[N@H+]1CCC[C@@H](c2nc(=O)[nH][nH]2)C1 ZINC000289415842 409267075 /nfs/dbraw/zinc/26/70/75/409267075.db2.gz MEVBVAKAZXEJNE-GFCCVEGCSA-N -1 1 317.393 1.498 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)c2ccco2)C1)C(F)(F)F ZINC000290298427 409352170 /nfs/dbraw/zinc/35/21/70/409352170.db2.gz FXKIJUZLSIGNBC-SECBINFHSA-N -1 1 304.268 1.810 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cccnc1OCC(F)F ZINC000290518965 409429368 /nfs/dbraw/zinc/42/93/68/409429368.db2.gz MPNUKYGSPDBJNG-UHFFFAOYSA-N -1 1 324.305 1.030 20 0 DDADMM O=C(N[C@@H](Cc1nnc[nH]1)c1ccccc1)c1ncccc1[O-] ZINC000356874318 164134589 /nfs/dbraw/zinc/13/45/89/164134589.db2.gz LYNUOHAYQHTRFC-LBPRGKRZSA-N -1 1 309.329 1.619 20 0 DDADMM O=C(N[C@@H](Cc1nc[nH]n1)c1ccccc1)c1ncccc1[O-] ZINC000356874318 164134591 /nfs/dbraw/zinc/13/45/91/164134591.db2.gz LYNUOHAYQHTRFC-LBPRGKRZSA-N -1 1 309.329 1.619 20 0 DDADMM O=C(c1noc2c1CCCC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000407994934 164192965 /nfs/dbraw/zinc/19/29/65/164192965.db2.gz FLXMQVJWXZGVRP-VIFPVBQESA-N -1 1 318.333 1.662 20 0 DDADMM C[C@]1(O)CCOC[C@H]1[C@@H]1CCCN1C(=O)c1cncc([O-])c1 ZINC000408064579 164214030 /nfs/dbraw/zinc/21/40/30/164214030.db2.gz LDPQWPRIYMXIRH-DZKIICNBSA-N -1 1 306.362 1.179 20 0 DDADMM O=C(N[C@H]1CCO[C@@]2(CCOC2)C1)c1c(F)ccc([O-])c1F ZINC000408202218 164259382 /nfs/dbraw/zinc/25/93/82/164259382.db2.gz IEMDFFFJVKZJFW-VFZGTOFNSA-N -1 1 313.300 1.738 20 0 DDADMM CC(=O)Nc1ccc(O)c(C(=O)N2C[C@H](C)[N@H+](C)C[C@H]2C)c1 ZINC000408410000 164322729 /nfs/dbraw/zinc/32/27/29/164322729.db2.gz HSSCVQSBFSDFMP-WDEREUQCSA-N -1 1 305.378 1.515 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cccc(Cl)c1 ZINC000337938312 409585005 /nfs/dbraw/zinc/58/50/05/409585005.db2.gz GFERVGAEDPKIMQ-UHFFFAOYSA-N -1 1 305.721 1.809 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])c1ccc2c(c1)NC(=O)CO2 ZINC000338028297 409655700 /nfs/dbraw/zinc/65/57/00/409655700.db2.gz IVAKWJVYXUXOPG-VIFPVBQESA-N -1 1 313.313 1.609 20 0 DDADMM COc1cc(C(=O)N2CCc3n[nH]nc3C2)cc(Cl)c1[O-] ZINC000346069125 409752798 /nfs/dbraw/zinc/75/27/98/409752798.db2.gz PPWZQOBFCTXWBT-UHFFFAOYSA-N -1 1 308.725 1.371 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@H]1c1cccnc1 ZINC000331679990 409762088 /nfs/dbraw/zinc/76/20/88/409762088.db2.gz VZXALJKXCFXFSM-NSHDSACASA-N -1 1 308.363 1.316 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cccc(C(F)(F)F)c1F ZINC000357061974 409829622 /nfs/dbraw/zinc/82/96/22/409829622.db2.gz WSHLIMXYKZRROY-UHFFFAOYSA-N -1 1 315.230 1.777 20 0 DDADMM COc1ccc(SCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357067353 409837649 /nfs/dbraw/zinc/83/76/49/409837649.db2.gz JNKHNCNRWHEGPS-UHFFFAOYSA-N -1 1 305.363 1.106 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)[C@@H](C)Cc1ccccc1C ZINC000349467158 409848202 /nfs/dbraw/zinc/84/82/02/409848202.db2.gz WZJUVWHKBSCPQL-NSHDSACASA-N -1 1 323.418 1.956 20 0 DDADMM CC[C@H](C(=O)NC1(c2nn[n-]n2)CC1)c1ccc(OC)cc1 ZINC000357043286 409816976 /nfs/dbraw/zinc/81/69/76/409816976.db2.gz HOIKJBBXHPXZFQ-LBPRGKRZSA-N -1 1 301.350 1.507 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H](OC)C(C)C)c1 ZINC000338229136 409819525 /nfs/dbraw/zinc/81/95/25/409819525.db2.gz AFORFRWQMIGNPJ-JTQLQIEISA-N -1 1 305.352 1.016 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)Nc2cc(C)c(=O)n(C)c2)c1 ZINC000342788061 409907442 /nfs/dbraw/zinc/90/74/42/409907442.db2.gz WYZWELLQENDQIL-UHFFFAOYSA-N -1 1 324.358 1.209 20 0 DDADMM CC(C)NC(=O)CNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338339404 409922859 /nfs/dbraw/zinc/92/28/59/409922859.db2.gz UHMZTLSEWYXRER-UHFFFAOYSA-N -1 1 321.764 1.848 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc(C)cc2)C1 ZINC000319200337 409890776 /nfs/dbraw/zinc/89/07/76/409890776.db2.gz NVHATSVLQNGRIC-MRXNPFEDSA-N -1 1 306.362 1.357 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)Cc2noc3ccccc32)n1 ZINC000354594620 409960181 /nfs/dbraw/zinc/96/01/81/409960181.db2.gz LUUYHQNXNJXYTL-MRVPVSSYSA-N -1 1 322.346 1.700 20 0 DDADMM O=C(N[C@H]1CNC(=O)C1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338402816 409960633 /nfs/dbraw/zinc/96/06/33/409960633.db2.gz XXZKXNOREYYYJP-MRVPVSSYSA-N -1 1 305.721 1.212 20 0 DDADMM Cc1cncc(CCC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332196131 409967650 /nfs/dbraw/zinc/96/76/50/409967650.db2.gz VERCKJPZCNCPHT-ZDUSSCGKSA-N -1 1 316.361 1.817 20 0 DDADMM OCC[C@@H](N=c1nc(C(F)(F)F)[n-]s1)C1CCOCC1 ZINC000342836934 409939430 /nfs/dbraw/zinc/93/94/30/409939430.db2.gz CMVQXIOIELCDMI-MRVPVSSYSA-N -1 1 311.329 1.568 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@H]3CCCN3C)[nH][n-]2)c1 ZINC000332172029 409946395 /nfs/dbraw/zinc/94/63/95/409946395.db2.gz LKHOVLRQXVOYAT-CQSZACIVSA-N -1 1 302.353 1.979 20 0 DDADMM CSc1ccccc1C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297816744 410010108 /nfs/dbraw/zinc/01/01/08/410010108.db2.gz UKESPYISCPUNJZ-UHFFFAOYSA-N -1 1 317.374 1.671 20 0 DDADMM O=S(=O)([N-][C@H]1CCc2ncnn2C1)c1sccc1Cl ZINC000332260599 410022180 /nfs/dbraw/zinc/02/21/80/410022180.db2.gz SWQUTYBXMDECIY-ZETCQYMHSA-N -1 1 318.811 1.286 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@H]2c2nc3c(n2C)CCCC3)nc1=O ZINC000328983821 410036980 /nfs/dbraw/zinc/03/69/80/410036980.db2.gz ZBGUGFPDBVWSHD-CYBMUJFWSA-N -1 1 316.409 1.058 20 0 DDADMM COC(=O)c1cnc(-c2nc(-c3ccc([O-])cc3F)no2)cn1 ZINC000350844912 410004747 /nfs/dbraw/zinc/00/47/47/410004747.db2.gz YNMCEIPQOCNMDC-UHFFFAOYSA-N -1 1 316.248 1.825 20 0 DDADMM CN1CC[C@@H](c2nc(-c3ccc([O-])cc3F)no2)S1(=O)=O ZINC000351372540 410069513 /nfs/dbraw/zinc/06/95/13/410069513.db2.gz QYOVRYGLUZLCPE-JTQLQIEISA-N -1 1 313.310 1.288 20 0 DDADMM COCCCOC1CN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000631612752 422853845 /nfs/dbraw/zinc/85/38/45/422853845.db2.gz YMQBFJFEGPSNLO-UHFFFAOYSA-N -1 1 313.781 1.852 20 0 DDADMM CC(C)(NC(=O)[C@@H]1C[C@@H]1c1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000354769552 410073256 /nfs/dbraw/zinc/07/32/56/410073256.db2.gz GPWJWPUNWKCROF-RKDXNWHRSA-N -1 1 307.304 1.633 20 0 DDADMM Cc1ccccc1-n1cc(C(=O)NC(C)(C)c2nn[n-]n2)cn1 ZINC000354758409 410066288 /nfs/dbraw/zinc/06/62/88/410066288.db2.gz LYNZNVMTACZUDE-UHFFFAOYSA-N -1 1 311.349 1.359 20 0 DDADMM Cc1ccc(C(=O)C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1 ZINC000332423235 410146593 /nfs/dbraw/zinc/14/65/93/410146593.db2.gz OOMSBVJBKHWBIS-LBPRGKRZSA-N -1 1 315.329 1.673 20 0 DDADMM O=C(Cc1ccc(F)cc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332362298 410096233 /nfs/dbraw/zinc/09/62/33/410096233.db2.gz CYZSDBVUABVDEQ-NSHDSACASA-N -1 1 305.309 1.863 20 0 DDADMM CC[NH+]1CCN(C(=O)CCc2c(C)nc(SC)[nH]c2=O)CC1 ZINC000298110891 410118307 /nfs/dbraw/zinc/11/83/07/410118307.db2.gz UDEPLVKTZHBERE-UHFFFAOYSA-N -1 1 324.450 1.309 20 0 DDADMM CC(C)(NC(=O)CCc1nc(C2CCCC2)no1)c1nn[n-]n1 ZINC000354873184 410142040 /nfs/dbraw/zinc/14/20/40/410142040.db2.gz RRJWGMQCXSRESK-UHFFFAOYSA-N -1 1 319.369 1.224 20 0 DDADMM C[C@@H]1CCC[C@H](CNC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343154954 410193099 /nfs/dbraw/zinc/19/30/99/410193099.db2.gz UVYOEJTUEFJQSM-NEPJUHHUSA-N -1 1 305.378 1.460 20 0 DDADMM C[C@H]1CCCC[C@H]1N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000343168235 410202846 /nfs/dbraw/zinc/20/28/46/410202846.db2.gz AWIMTGUOFIEYRF-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H]1C[C@@H](O)CN1C(=O)c1ccc(Br)c([O-])c1 ZINC000351816681 410259210 /nfs/dbraw/zinc/25/92/10/410259210.db2.gz UGHMTQMEAISFBV-IONNQARKSA-N -1 1 300.152 1.750 20 0 DDADMM C[C@H](NC(=O)c1c(F)ccc([O-])c1F)C(=O)NCc1ccco1 ZINC000343287503 410313776 /nfs/dbraw/zinc/31/37/76/410313776.db2.gz OBSVIZWZSZZOBW-QMMMGPOBSA-N -1 1 324.283 1.698 20 0 DDADMM [O-]C(Nc1nc(-c2cccnc2)ns1)=C1Cc2ccccc2O1 ZINC000339598298 410317742 /nfs/dbraw/zinc/31/77/42/410317742.db2.gz KHXDOELHWYHAOD-CYBMUJFWSA-N -1 1 324.365 1.964 20 0 DDADMM COc1cc2c(cc1CNC1(c3nnn[n-]3)CCCC1)OCO2 ZINC000351981196 410386159 /nfs/dbraw/zinc/38/61/59/410386159.db2.gz JQWKJURKFVTXOA-UHFFFAOYSA-N -1 1 317.349 1.496 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)N=c1nc2ccccn2[n-]1 ZINC000333297783 410469740 /nfs/dbraw/zinc/46/97/40/410469740.db2.gz BSQPQIRUPVTKGC-LBPRGKRZSA-N -1 1 302.382 1.099 20 0 DDADMM CN(C)C(=O)C[C@H](NC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000339814592 410477930 /nfs/dbraw/zinc/47/79/30/410477930.db2.gz BYSFWYXMCUUTMM-HNNXBMFYSA-N -1 1 313.357 1.737 20 0 DDADMM O=C(Cc1cccc(F)c1F)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000298855694 410426456 /nfs/dbraw/zinc/42/64/56/410426456.db2.gz FPXNVAUPVIPCSK-JTQLQIEISA-N -1 1 322.315 1.325 20 0 DDADMM Cn1[nH]c(C[N@H+](C)CCCOc2ccc(Cl)cc2)nc1=O ZINC000347389937 410516301 /nfs/dbraw/zinc/51/63/01/410516301.db2.gz GSTNXWIGNYDJAJ-UHFFFAOYSA-N -1 1 310.785 1.663 20 0 DDADMM COc1ccc2cc(CN(C)Cc3nc(=O)n(C)[n-]3)ccc2c1 ZINC000347384642 410511832 /nfs/dbraw/zinc/51/18/32/410511832.db2.gz NMGJKCTZZORALZ-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM C[C@H](CCN=c1ccc(C(=O)NCCO)n[n-]1)c1ccccc1 ZINC000358670969 410513797 /nfs/dbraw/zinc/51/37/97/410513797.db2.gz BVQSPQWFBMDPCB-CYBMUJFWSA-N -1 1 314.389 1.226 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H](C)c2cn[nH]c2)c(=O)[n-]1 ZINC000339835790 410491546 /nfs/dbraw/zinc/49/15/46/410491546.db2.gz XNGMQIZAEYBJDH-QMMMGPOBSA-N -1 1 321.406 1.746 20 0 DDADMM CN(CC(=O)Nc1cccc(F)c1)C(=O)c1cncc([O-])c1 ZINC000339884721 410528632 /nfs/dbraw/zinc/52/86/32/410528632.db2.gz WWQKXRMAZGRWLF-UHFFFAOYSA-N -1 1 303.293 1.637 20 0 DDADMM CS[C@H]1CN(Cc2nc(=O)c3sccc3[n-]2)C[C@H]1N(C)C ZINC000299574974 410598630 /nfs/dbraw/zinc/59/86/30/410598630.db2.gz HKVMXTBZOBXAQM-MNOVXSKESA-N -1 1 324.475 1.874 20 0 DDADMM CNC(=O)COc1ccc(NC(=O)c2cncc([O-])c2)c(C)c1 ZINC000355662626 410629878 /nfs/dbraw/zinc/62/98/78/410629878.db2.gz ZXKYJBASKVXMDD-UHFFFAOYSA-N -1 1 315.329 1.473 20 0 DDADMM Cn1cc(C[N-]S(=O)(=O)c2c(Cl)ccc(F)c2F)cn1 ZINC000340042054 410637207 /nfs/dbraw/zinc/63/72/07/410637207.db2.gz BJTHYUJQCKNGCO-UHFFFAOYSA-N -1 1 321.736 1.830 20 0 DDADMM CCC[C@@H](NC(=O)CCc1nnc(C(C)C)o1)c1nn[n-]n1 ZINC000343761423 410677306 /nfs/dbraw/zinc/67/73/06/410677306.db2.gz HAASTPJIYNFFCF-SECBINFHSA-N -1 1 307.358 1.296 20 0 DDADMM Cc1ncc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])s1 ZINC000347714673 410686854 /nfs/dbraw/zinc/68/68/54/410686854.db2.gz FEHBCMDVTYRACS-UHFFFAOYSA-N -1 1 313.360 1.057 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000330592322 410808069 /nfs/dbraw/zinc/80/80/69/410808069.db2.gz OUYVSXAOTFZSNQ-RYUDHWBXSA-N -1 1 311.382 1.932 20 0 DDADMM CCSc1n[n-]c(=NC(=O)N[C@@H](C)c2n[nH]c(C)n2)s1 ZINC000348060394 410829562 /nfs/dbraw/zinc/82/95/62/410829562.db2.gz GMRVNODHGUCDPM-YFKPBYRVSA-N -1 1 313.412 1.381 20 0 DDADMM COc1ccccc1[C@@H](C)CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000359784916 410878907 /nfs/dbraw/zinc/87/89/07/410878907.db2.gz IQADRUDOCXRZQE-JTQLQIEISA-N -1 1 303.366 1.753 20 0 DDADMM Cc1ccc(Cl)c(O[C@H](C)C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000359784672 410880107 /nfs/dbraw/zinc/88/01/07/410880107.db2.gz JQJLCAUHIRLMQX-SECBINFHSA-N -1 1 323.784 1.980 20 0 DDADMM Cc1nc(-c2c[nH]c(C(=O)NC3(c4nn[n-]n4)CC3)c2)cs1 ZINC000348272726 410891433 /nfs/dbraw/zinc/89/14/33/410891433.db2.gz DCLJTCNMKWTTFM-UHFFFAOYSA-N -1 1 315.362 1.379 20 0 DDADMM CN1CC[C@@H]([N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000353432984 410981406 /nfs/dbraw/zinc/98/14/06/410981406.db2.gz SRPUCQUDQOYSQF-SSDOTTSWSA-N -1 1 309.185 1.025 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCO[C@H]1CCCC[C@@H]1C ZINC000331265190 411047431 /nfs/dbraw/zinc/04/74/31/411047431.db2.gz PGQCXWWOKODZTL-ONGXEEELSA-N -1 1 317.411 1.269 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCO[C@H]1CCCC[C@@H]1C ZINC000331265190 411047434 /nfs/dbraw/zinc/04/74/34/411047434.db2.gz PGQCXWWOKODZTL-ONGXEEELSA-N -1 1 317.411 1.269 20 0 DDADMM COC[C@@H]1CN(C(=O)c2cc(Cl)c([O-])c(OC)c2)CCO1 ZINC000331283146 411057710 /nfs/dbraw/zinc/05/77/10/411057710.db2.gz WAWYDGKVDXRRID-JTQLQIEISA-N -1 1 315.753 1.542 20 0 DDADMM COc1cccc2c(C(=O)Nc3nc(C(N)=O)cs3)n[nH]c21 ZINC000348737128 411069139 /nfs/dbraw/zinc/06/91/39/411069139.db2.gz CFNKGSPPWSXYFD-UHFFFAOYSA-N -1 1 317.330 1.379 20 0 DDADMM CC(C)(NC(=O)c1cccc([C@H]2CCOC2)c1)c1nn[n-]n1 ZINC000341879293 411079226 /nfs/dbraw/zinc/07/92/26/411079226.db2.gz WYXGDJZUPWFAGO-LBPRGKRZSA-N -1 1 301.350 1.369 20 0 DDADMM C[C@H]1SCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1c1ccccc1 ZINC000353480123 411017808 /nfs/dbraw/zinc/01/78/08/411017808.db2.gz POEAZWPAJFLBJY-BXUZGUMPSA-N -1 1 304.419 1.787 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C[C@H]1C ZINC000331254945 411042676 /nfs/dbraw/zinc/04/26/76/411042676.db2.gz UQSZZERVOUTBEL-BDAKNGLRSA-N -1 1 313.300 1.942 20 0 DDADMM COCC(C)(C)CCCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000360219545 411103324 /nfs/dbraw/zinc/10/33/24/411103324.db2.gz DFFXESWGEKXDTF-UHFFFAOYSA-N -1 1 320.393 1.857 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCOC[C@@H]2CCCO2)sn1 ZINC000631684190 422880900 /nfs/dbraw/zinc/88/09/00/422880900.db2.gz PZHWDEPIEBUXAO-NSHDSACASA-N -1 1 320.436 1.316 20 0 DDADMM Cc1nn(C)c2c1N(C(=O)c1csc(=NC3CC3)[n-]1)CCO2 ZINC000631763877 422912106 /nfs/dbraw/zinc/91/21/06/422912106.db2.gz BZSFJKCMWYSRPJ-UHFFFAOYSA-N -1 1 319.390 1.220 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(Cc2cccc(O)c2)CC1 ZINC000130836322 196113872 /nfs/dbraw/zinc/11/38/72/196113872.db2.gz SSYXLTARNYOVBM-UHFFFAOYSA-N -1 1 313.357 1.451 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2c(C(F)(F)F)cnn2C)on1 ZINC000631801210 422926997 /nfs/dbraw/zinc/92/69/97/422926997.db2.gz ZJLOHAALSUDZRK-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM CC(=O)Nc1ccc(O)c(C(=O)N2CCc3nc[nH]c3C2)c1 ZINC000647734869 423019723 /nfs/dbraw/zinc/01/97/23/423019723.db2.gz GDQKLHRWZXLXLD-UHFFFAOYSA-N -1 1 300.318 1.272 20 0 DDADMM CC1(C(=O)[O-])CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC000647763324 423036055 /nfs/dbraw/zinc/03/60/55/423036055.db2.gz YEZFNRCLLJYOMW-UHFFFAOYSA-N -1 1 314.345 1.799 20 0 DDADMM CC[N@@H+](CCNS(=O)(=O)c1cc(F)ccc1OC)C1CC1 ZINC000645512633 423062238 /nfs/dbraw/zinc/06/22/38/423062238.db2.gz KDEPYXDVEZVBOP-UHFFFAOYSA-N -1 1 316.398 1.597 20 0 DDADMM CC(C)[C@@H](O)C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645559803 423083043 /nfs/dbraw/zinc/08/30/43/423083043.db2.gz NNCYANQBYOAANU-VIFPVBQESA-N -1 1 312.313 1.396 20 0 DDADMM Nc1cc(-c2nc3ccc(Br)cc3c(=O)[n-]2)ncn1 ZINC000647930165 423119880 /nfs/dbraw/zinc/11/98/80/423119880.db2.gz SDEMUXUPXQTSHJ-UHFFFAOYSA-N -1 1 318.134 1.967 20 0 DDADMM COCCNC(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000042842527 418424214 /nfs/dbraw/zinc/42/42/14/418424214.db2.gz YCIHPONGVOUVPZ-UHFFFAOYSA-N -1 1 306.362 1.643 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCc3c[nH]nc3C2)c1 ZINC000367120543 418561252 /nfs/dbraw/zinc/56/12/52/418561252.db2.gz ZBXSOIGMFFLQFV-SECBINFHSA-N -1 1 315.329 1.646 20 0 DDADMM O=C(NCCN1CCCOCC1)c1cc(F)c([O-])c(F)c1 ZINC000189382521 222043132 /nfs/dbraw/zinc/04/31/32/222043132.db2.gz PWFFAPJUZYFVQY-UHFFFAOYSA-N -1 1 300.305 1.123 20 0 DDADMM COCc1nsc(=NC[C@H]2CCC[C@H]2N2CCOCC2)[n-]1 ZINC000361787015 418710462 /nfs/dbraw/zinc/71/04/62/418710462.db2.gz ZJVXTKJCUZSZGD-VXGBXAGGSA-N -1 1 312.439 1.019 20 0 DDADMM C[C@H]1C[C@@H](O)CN1C(=O)c1ccc(Br)cc1[O-] ZINC000384858145 418735644 /nfs/dbraw/zinc/73/56/44/418735644.db2.gz HDGFMNOSLPNDAL-IONNQARKSA-N -1 1 300.152 1.750 20 0 DDADMM CCN1CCO[C@H](C(=O)N=c2cc(-c3ccc(C)s3)[n-][nH]2)C1 ZINC000365825825 418913500 /nfs/dbraw/zinc/91/35/00/418913500.db2.gz XFXNUNHHEYBIGR-LBPRGKRZSA-N -1 1 320.418 1.528 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)CCOCC2CC2)c(C2CC2)n1 ZINC000424207063 228245835 /nfs/dbraw/zinc/24/58/35/228245835.db2.gz IIJKSIUWKDKYTM-UHFFFAOYSA-N -1 1 311.407 1.831 20 0 DDADMM COC[C@@H](C[N-]S(=O)(=O)c1c(F)cc(C)cc1F)OC ZINC000425165032 228377368 /nfs/dbraw/zinc/37/73/68/228377368.db2.gz BYAZOYLFOHKDBG-SECBINFHSA-N -1 1 309.334 1.213 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)C[S@@](C)=O)c(F)c1 ZINC000425166217 228377584 /nfs/dbraw/zinc/37/75/84/228377584.db2.gz DQGDNMKBRPWZDR-NTCNTBNZSA-N -1 1 311.375 1.319 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCC[S@@](C)=O)c(F)c1 ZINC000425217981 228393439 /nfs/dbraw/zinc/39/34/39/228393439.db2.gz OZVAANPJAUAJKQ-GOSISDBHSA-N -1 1 311.375 1.320 20 0 DDADMM Cc1cnc(C(=O)NCc2nc(-c3ccncc3)no2)c([O-])c1 ZINC000426443939 419470523 /nfs/dbraw/zinc/47/05/23/419470523.db2.gz RTRHJORNMHTFLR-UHFFFAOYSA-N -1 1 311.301 1.471 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C)[C@@H](O)Cc2ccccc2)c([O-])c1 ZINC000427668432 419710115 /nfs/dbraw/zinc/71/01/15/419710115.db2.gz NGCKFLSWAUFDIX-OCCSQVGLSA-N -1 1 300.358 1.818 20 0 DDADMM C[C@H](C(=O)N(C)CCCC(=O)[O-])N1CCc2ccccc2C1 ZINC000427749251 419724119 /nfs/dbraw/zinc/72/41/19/419724119.db2.gz OJDIWOCXUMWNDB-CYBMUJFWSA-N -1 1 304.390 1.756 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](c2nc[nH]n2)c2ccccc2)c([O-])c1 ZINC000428023987 419790238 /nfs/dbraw/zinc/79/02/38/419790238.db2.gz RXZDIZXMXIUUSP-CYBMUJFWSA-N -1 1 309.329 1.733 20 0 DDADMM CC[C@@H](C)CCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000354555871 306779275 /nfs/dbraw/zinc/77/92/75/306779275.db2.gz BOUWWFRTUPJLDH-SECBINFHSA-N -1 1 300.380 1.804 20 0 DDADMM C[C@H]1CN(C(=O)N=c2[n-]nc(/C=C\c3ccco3)s2)CCN1 ZINC000415382287 420043400 /nfs/dbraw/zinc/04/34/00/420043400.db2.gz RXVLGLYPFUFMNI-LWTINBJPSA-N -1 1 319.390 1.549 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(c2ccccc2)CCOCC1 ZINC000430324144 420107732 /nfs/dbraw/zinc/10/77/32/420107732.db2.gz FSYAGZIJQNPFSD-UHFFFAOYSA-N -1 1 313.361 1.053 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(C)nn(CC)c2C)n1 ZINC000415638252 420132191 /nfs/dbraw/zinc/13/21/91/420132191.db2.gz NULJIDFMIVNHJV-UHFFFAOYSA-N -1 1 305.338 1.672 20 0 DDADMM O=C([O-])[C@@H]1CCN(CC(=O)Nc2ccc3c(c2)OCCCO3)C1 ZINC000430646864 420169023 /nfs/dbraw/zinc/16/90/23/420169023.db2.gz DLZUQULORQWETR-LLVKDONJSA-N -1 1 320.345 1.193 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000416143063 420254708 /nfs/dbraw/zinc/25/47/08/420254708.db2.gz IVUHSQMEGLEHCB-IXBNRNDTSA-N -1 1 319.361 1.547 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](C)c1ccc(C)cc1 ZINC000416165550 420264918 /nfs/dbraw/zinc/26/49/18/420264918.db2.gz GOXJDQHMSPYZQY-JTQLQIEISA-N -1 1 317.345 1.738 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cc(C)nc(C)n2)c1 ZINC000436516497 420334049 /nfs/dbraw/zinc/33/40/49/420334049.db2.gz WERWPVWXSMELSR-UHFFFAOYSA-N -1 1 301.302 1.838 20 0 DDADMM CCOC(=O)[C@@](C)(O)CNC(=O)c1cc2ccccc2cc1[O-] ZINC000436530714 420337705 /nfs/dbraw/zinc/33/77/05/420337705.db2.gz FGBWEKAVMZJRCJ-KRWDZBQOSA-N -1 1 317.341 1.589 20 0 DDADMM O=C(CCCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)C1CC1 ZINC000425322718 420351938 /nfs/dbraw/zinc/35/19/38/420351938.db2.gz NSZODUYJBODAQD-UHFFFAOYSA-N -1 1 307.350 1.631 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccccn2)C1)C(=O)c1ccc([O-])cc1 ZINC000436702484 420358583 /nfs/dbraw/zinc/35/85/83/420358583.db2.gz APFRXVUZTSOWCK-CYBMUJFWSA-N -1 1 311.341 1.365 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@H](CC(C)C)OC ZINC000420702849 420362240 /nfs/dbraw/zinc/36/22/40/420362240.db2.gz UAQMVFLITFPVNQ-STQMWFEESA-N -1 1 321.439 1.309 20 0 DDADMM CSCC[C@H](O)C(=O)N=c1cc(-c2cc(C)ccc2F)[n-][nH]1 ZINC000416252705 420297233 /nfs/dbraw/zinc/29/72/33/420297233.db2.gz PNRLNFKPMMORDP-ZDUSSCGKSA-N -1 1 323.393 1.999 20 0 DDADMM O=C(N[C@@H]1CC[S@@](=O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC000436754575 420362601 /nfs/dbraw/zinc/36/26/01/420362601.db2.gz ZQMCPSJQOYSJHB-ZPWHCFADSA-N -1 1 307.293 1.662 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc([O-])cc1F)c1ccc(O)cc1 ZINC000436825888 420371485 /nfs/dbraw/zinc/37/14/85/420371485.db2.gz FQFLAJFZYQKGBV-CQSZACIVSA-N -1 1 319.288 1.881 20 0 DDADMM C[C@H]1CC[C@H](C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)O1 ZINC000416537578 420410471 /nfs/dbraw/zinc/41/04/71/420410471.db2.gz UDPWEJGSARULBZ-JGVFFNPUSA-N -1 1 309.309 1.950 20 0 DDADMM Cc1cc(C(=O)N=c2nc(Cc3cccc(F)c3)[nH][n-]2)ns1 ZINC000445138297 230162994 /nfs/dbraw/zinc/16/29/94/230162994.db2.gz ADKUVSHQHPSCTM-UHFFFAOYSA-N -1 1 317.349 1.974 20 0 DDADMM CN(C)C(=O)c1ccnc(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000438884898 420469086 /nfs/dbraw/zinc/46/90/86/420469086.db2.gz KBXKEFGBYOZTJJ-UHFFFAOYSA-N -1 1 313.313 1.310 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1cnc(C3CC3)o1)c2=O ZINC000456756937 420537904 /nfs/dbraw/zinc/53/79/04/420537904.db2.gz FQUKHHARXQZVSI-UHFFFAOYSA-N -1 1 313.317 1.469 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@H](OC)C2(C)C)o1 ZINC000440391649 420573435 /nfs/dbraw/zinc/57/34/35/420573435.db2.gz LNGXXOXXPYKVPC-ZJUUUORDSA-N -1 1 317.363 1.158 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)C[C@H](O)C1 ZINC000457048137 420596486 /nfs/dbraw/zinc/59/64/86/420596486.db2.gz YOCNVKBGGXAPLK-ONGXEEELSA-N -1 1 307.394 1.631 20 0 DDADMM CC[C@@]([N-]S(=O)(=O)c1sccc1Cl)(C(N)=O)C(C)C ZINC000451381547 420598021 /nfs/dbraw/zinc/59/80/21/420598021.db2.gz FEGMVJKGVNQYBE-NSHDSACASA-N -1 1 324.855 1.970 20 0 DDADMM C[C@@H](NC(=O)CCc1ccccc1)C(=O)Nc1ccncc1[O-] ZINC000442651295 420693840 /nfs/dbraw/zinc/69/38/40/420693840.db2.gz OKVITLARKCFPHG-GFCCVEGCSA-N -1 1 313.357 1.285 20 0 DDADMM COC(=O)C(C)(C)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442663995 420696824 /nfs/dbraw/zinc/69/68/24/420696824.db2.gz YEIPRJOKSANKRR-UHFFFAOYSA-N -1 1 301.726 1.736 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)C[C@@H]2CCC(F)(F)C2)n1 ZINC000453029368 420704767 /nfs/dbraw/zinc/70/47/67/420704767.db2.gz PYPQERZJHDLWHQ-SSDOTTSWSA-N -1 1 308.306 1.405 20 0 DDADMM COc1cc(C(=O)NCCCOCCO)cc(Cl)c1[O-] ZINC000442798409 420716500 /nfs/dbraw/zinc/71/65/00/420716500.db2.gz IORQCCGXNSDDIP-UHFFFAOYSA-N -1 1 303.742 1.183 20 0 DDADMM C[C@H]1CC[C@H](N(CCO)C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000454343902 420842604 /nfs/dbraw/zinc/84/26/04/420842604.db2.gz ODERUJNYOAKLLK-RYUDHWBXSA-N -1 1 315.373 1.546 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccc(C)nc1)c1ccccc1 ZINC000447756288 420799152 /nfs/dbraw/zinc/79/91/52/420799152.db2.gz FYUVIVRSDOVULL-CQSZACIVSA-N -1 1 320.370 1.583 20 0 DDADMM C[C@@H](O)CNC(=O)c1ccc(NC(=O)OC(C)(C)C)cc1[O-] ZINC000494102238 420992120 /nfs/dbraw/zinc/99/21/20/420992120.db2.gz NQAOTVCNAYQBGO-SECBINFHSA-N -1 1 310.350 1.850 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C[C@@H]1C ZINC000449833719 421092866 /nfs/dbraw/zinc/09/28/66/421092866.db2.gz IEUSCXKHJDJGPP-VGMNWLOBSA-N -1 1 300.384 1.219 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C[C@@H]1C ZINC000449833719 421092868 /nfs/dbraw/zinc/09/28/68/421092868.db2.gz IEUSCXKHJDJGPP-VGMNWLOBSA-N -1 1 300.384 1.219 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2nnc(C(C)C)s2)[n-]n1 ZINC000488746608 421110557 /nfs/dbraw/zinc/11/05/57/421110557.db2.gz GQPZWHBNXHCUFG-UHFFFAOYSA-N -1 1 320.422 1.278 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCCCN1C(=O)c1cc(F)ccc1[O-] ZINC000456185801 421116121 /nfs/dbraw/zinc/11/61/21/421116121.db2.gz HIGKHRVHBWLSBV-NSHDSACASA-N -1 1 315.366 1.571 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OC(C)(C)C ZINC000560064585 421233011 /nfs/dbraw/zinc/23/30/11/421233011.db2.gz PSNPIJZFWSIJCX-LLVKDONJSA-N -1 1 318.395 1.523 20 0 DDADMM COCCO[C@H]1COCC[C@H]1N=c1[n-]c(C(C)=O)c(C)s1 ZINC000450452026 421199630 /nfs/dbraw/zinc/19/96/30/421199630.db2.gz GXSSGQIDWCNDMS-NEPJUHHUSA-N -1 1 314.407 1.308 20 0 DDADMM CC(=O)N[C@@H](C)C1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000525873276 421309258 /nfs/dbraw/zinc/30/92/58/421309258.db2.gz AQGZFMCJISSRKW-JTQLQIEISA-N -1 1 315.377 1.335 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](CO)c2ccsc2)sc1C ZINC000545320833 421253398 /nfs/dbraw/zinc/25/33/98/421253398.db2.gz CGNKZCINKMBOBQ-SNVBAGLBSA-N -1 1 318.445 1.833 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(=O)n3nc[n-]c3n2)[C@H]2CCCC[C@H]12 ZINC000545451173 421259510 /nfs/dbraw/zinc/25/95/10/421259510.db2.gz RXWJFFYZYOKLJV-FOGDFJRCSA-N -1 1 301.350 1.068 20 0 DDADMM CC(=O)[C@@H]1C[C@H](CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1(C)C ZINC000545417617 421259833 /nfs/dbraw/zinc/25/98/33/421259833.db2.gz PEEPNSXVNQCOPS-XQQFMLRXSA-N -1 1 319.409 1.547 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)Cc2noc3ccccc32)s1 ZINC000546170907 421288287 /nfs/dbraw/zinc/28/82/87/421288287.db2.gz VXKOJISWLHQBBS-UHFFFAOYSA-N -1 1 324.387 1.607 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cc(F)c(F)cc2F)[n-]n1C ZINC000547499321 421338629 /nfs/dbraw/zinc/33/86/29/421338629.db2.gz QQZIYJVQOYVDJA-UHFFFAOYSA-N -1 1 321.280 1.069 20 0 DDADMM COC[C@H](C)S(=O)(=O)Nc1cc(C(=O)[O-])cc([N+](=O)[O-])c1 ZINC000547544483 421342103 /nfs/dbraw/zinc/34/21/03/421342103.db2.gz HMNVNTHOPOTKMU-ZETCQYMHSA-N -1 1 318.307 1.070 20 0 DDADMM CC(C)(C)OC(=O)NCC(C)(C)C(=O)[N-]OC(C)(C)CO ZINC000496958284 421342387 /nfs/dbraw/zinc/34/23/87/421342387.db2.gz SEJHPGVSUWUSLG-UHFFFAOYSA-N -1 1 304.387 1.356 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)Cc1cnn(C)c1C ZINC000547742846 421362384 /nfs/dbraw/zinc/36/23/84/421362384.db2.gz JRYKNTJZYKYVRD-UHFFFAOYSA-N -1 1 321.406 1.527 20 0 DDADMM O=C(Nc1cnc2n1CCCC2)c1csc(=NC2CC2)[n-]1 ZINC000562556884 421380929 /nfs/dbraw/zinc/38/09/29/421380929.db2.gz ZAACINGLQMCRSN-UHFFFAOYSA-N -1 1 303.391 1.924 20 0 DDADMM CCOc1cc(C(=O)NCCCCC(N)=O)cc(Cl)c1[O-] ZINC000547259273 421319460 /nfs/dbraw/zinc/31/94/60/421319460.db2.gz ACYVUJKFVHKJHX-UHFFFAOYSA-N -1 1 314.769 1.830 20 0 DDADMM C[C@@H]1CCN2[C@H](C1)C(=O)N[C@H]2c1n[nH]c2cc(C(=O)[O-])ccc21 ZINC000548290857 421418628 /nfs/dbraw/zinc/41/86/28/421418628.db2.gz IZGXZSDJAXZTCV-IHPUGEDLSA-N -1 1 314.345 1.490 20 0 DDADMM O=C([O-])[C@@H]1CCCCN1CCCS(=O)(=O)c1ccccc1 ZINC000563274634 421480290 /nfs/dbraw/zinc/48/02/90/421480290.db2.gz RQKRYYKLRUIKTH-AWEZNQCLSA-N -1 1 311.403 1.790 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H](O)C3CCC3)cnc2n1 ZINC000563276561 421480877 /nfs/dbraw/zinc/48/08/77/421480877.db2.gz JYAQQSAVCQGZBG-CYBMUJFWSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@@H](O)C3CCC3)c[n-]c2n1 ZINC000563276561 421480882 /nfs/dbraw/zinc/48/08/82/421480882.db2.gz JYAQQSAVCQGZBG-CYBMUJFWSA-N -1 1 301.346 1.535 20 0 DDADMM CCOc1nc(C)ccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000571227398 421698182 /nfs/dbraw/zinc/69/81/82/421698182.db2.gz UOEQOGWLRKOCPY-NSHDSACASA-N -1 1 316.365 1.322 20 0 DDADMM O=C(CCCOc1cccnc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538225649 421737327 /nfs/dbraw/zinc/73/73/27/421737327.db2.gz GLIMRKQZJPHXIM-GFCCVEGCSA-N -1 1 316.365 1.160 20 0 DDADMM O=C(c1ccc2c(n1)CCCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538225720 421737737 /nfs/dbraw/zinc/73/77/37/421737737.db2.gz JGISYJQBDYIOQC-LBPRGKRZSA-N -1 1 312.377 1.493 20 0 DDADMM CNC(=O)C[C@@H](C)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000571758734 421741907 /nfs/dbraw/zinc/74/19/07/421741907.db2.gz UVNYFAJYHSXNNG-MRVPVSSYSA-N -1 1 313.404 1.099 20 0 DDADMM CCCc1nc(=NC(=O)N2CCCC[C@@H]2c2nnc[nH]2)s[n-]1 ZINC000571754234 421742576 /nfs/dbraw/zinc/74/25/76/421742576.db2.gz FQESBGQKZJBUJM-SECBINFHSA-N -1 1 321.410 1.790 20 0 DDADMM Cc1cc(C[N@@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)c2ccccc2n1 ZINC000558483017 421810164 /nfs/dbraw/zinc/81/01/64/421810164.db2.gz WUMILYQMELQYIV-HNNXBMFYSA-N -1 1 310.357 1.279 20 0 DDADMM CN1CCN(Cc2ccc(NC(=O)C3(C(=O)[O-])CC3)cc2)CC1 ZINC000520819952 421764862 /nfs/dbraw/zinc/76/48/62/421764862.db2.gz RXSLGDYQRVSEDE-UHFFFAOYSA-N -1 1 317.389 1.237 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000572474095 421792328 /nfs/dbraw/zinc/79/23/28/421792328.db2.gz MQRSWLLAWPFIPU-NXEZZACHSA-N -1 1 303.318 1.995 20 0 DDADMM CN1CCN(Cc2cccc(NC(=O)C3(C(=O)[O-])CC3)c2)CC1 ZINC000558387209 421804314 /nfs/dbraw/zinc/80/43/14/421804314.db2.gz YQHZIYFZEQRTSZ-UHFFFAOYSA-N -1 1 317.389 1.237 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1CCN(C)C[C@@H]1c1ccccc1 ZINC000635299592 421888712 /nfs/dbraw/zinc/88/87/12/421888712.db2.gz BORTUTPHTDYLHK-MRXNPFEDSA-N -1 1 314.389 1.607 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCOC1CCCCCC1 ZINC000581100848 421899848 /nfs/dbraw/zinc/89/98/48/421899848.db2.gz UIZGXOXJFQJBRW-UHFFFAOYSA-N -1 1 317.411 1.413 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCOC1CCCCCC1 ZINC000581100848 421899855 /nfs/dbraw/zinc/89/98/55/421899855.db2.gz UIZGXOXJFQJBRW-UHFFFAOYSA-N -1 1 317.411 1.413 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)CCc2ccncc2)c1 ZINC000631812607 421850916 /nfs/dbraw/zinc/85/09/16/421850916.db2.gz KTQVHBRHTSRFKM-UHFFFAOYSA-N -1 1 322.386 1.659 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@]2(O)CCCC2(C)C)sc1C ZINC000573110330 421922576 /nfs/dbraw/zinc/92/25/76/421922576.db2.gz ZHNFBMCTLUOSCW-CYBMUJFWSA-N -1 1 318.464 1.979 20 0 DDADMM Cc1nc([C@@H](C)NC(=O)[C@@H](C)Cc2cnc[nH]2)sc1C(=O)[O-] ZINC000630157801 421925769 /nfs/dbraw/zinc/92/57/69/421925769.db2.gz AMIPSFDXWXWDDV-IONNQARKSA-N -1 1 322.390 1.929 20 0 DDADMM C[C@H](CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)n1cccc1 ZINC000630222296 421973573 /nfs/dbraw/zinc/97/35/73/421973573.db2.gz GHJFUIQZCJTDMF-KGLIPLIRSA-N -1 1 307.394 1.447 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NCCc1nc(C(=O)[O-])cs1 ZINC000630190087 421949276 /nfs/dbraw/zinc/94/92/76/421949276.db2.gz NMJNDBCQRJHBPS-QMMMGPOBSA-N -1 1 308.363 1.102 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCO[C@@H](C2CCC2)C1 ZINC000581357332 421954829 /nfs/dbraw/zinc/95/48/29/421954829.db2.gz QQAJKEIMXRKEHY-CQSZACIVSA-N -1 1 313.357 1.564 20 0 DDADMM CC(C)[C@@H]1CCO[C@@H]1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630221092 421971002 /nfs/dbraw/zinc/97/10/02/421971002.db2.gz SUHPTOONCFNMFL-YDHLFZDLSA-N -1 1 312.410 1.055 20 0 DDADMM Cc1cccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1C ZINC000630222243 421972550 /nfs/dbraw/zinc/97/25/50/421972550.db2.gz FEYCVPSWXXRZEP-ZDUSSCGKSA-N -1 1 304.390 1.924 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@@H]1c1ncon1 ZINC000573749722 422009721 /nfs/dbraw/zinc/00/97/21/422009721.db2.gz WMUOFZRDCCRLNB-SNVBAGLBSA-N -1 1 305.363 1.500 20 0 DDADMM CC(C)(O)C(C)(C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632172715 422138898 /nfs/dbraw/zinc/13/88/98/422138898.db2.gz OYLJKGMCYIKQCD-UHFFFAOYSA-N -1 1 315.317 1.256 20 0 DDADMM C[C@@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632203099 422160898 /nfs/dbraw/zinc/16/08/98/422160898.db2.gz MEWVNHDXRKWXRU-RCOVLWMOSA-N -1 1 313.301 1.274 20 0 DDADMM C[C@@H](CNC(=O)CCCc1nn[n-]n1)Oc1ccccc1F ZINC000635618994 422172020 /nfs/dbraw/zinc/17/20/20/422172020.db2.gz QDTNTQKDMHEGDW-JTQLQIEISA-N -1 1 307.329 1.245 20 0 DDADMM CS(=O)(=O)CCc1noc(Cc2ccc([O-])c(Cl)c2)n1 ZINC000632230491 422181170 /nfs/dbraw/zinc/18/11/70/422181170.db2.gz RQGIMAMUCOOOAP-UHFFFAOYSA-N -1 1 316.766 1.607 20 0 DDADMM CCO[C@H]1C[C@@H](NC(=O)CCCc2nn[n-]n2)C1(CC)CC ZINC000635651663 422205170 /nfs/dbraw/zinc/20/51/70/422205170.db2.gz FSQSZDARENKLKF-NEPJUHHUSA-N -1 1 309.414 1.622 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@@H]1C[C@@H]1c1ccccc1Cl ZINC000574903292 422209690 /nfs/dbraw/zinc/20/96/90/422209690.db2.gz JMTGZUJIWKNFBX-RKDXNWHRSA-N -1 1 319.752 1.560 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)Cc2cccc(C3CC3)c2)n1 ZINC000583980700 422231988 /nfs/dbraw/zinc/23/19/88/422231988.db2.gz DNSOJZLRFHELKQ-UHFFFAOYSA-N -1 1 320.374 1.267 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)Cc2cccc(C3CC3)c2)[n-]1 ZINC000583980700 422231992 /nfs/dbraw/zinc/23/19/92/422231992.db2.gz DNSOJZLRFHELKQ-UHFFFAOYSA-N -1 1 320.374 1.267 20 0 DDADMM CN1CCCN(C(=O)CCCc2nn[n-]n2)c2ccc(F)cc21 ZINC000635636531 422191981 /nfs/dbraw/zinc/19/19/81/422191981.db2.gz ZRWIFLHJXFGNIW-UHFFFAOYSA-N -1 1 318.356 1.535 20 0 DDADMM CC(C)CN(CCc1ccccc1)C(=O)CCc1nn[n-]n1 ZINC000630702892 422280455 /nfs/dbraw/zinc/28/04/55/422280455.db2.gz CTYOWDWXNHJQEM-UHFFFAOYSA-N -1 1 301.394 1.860 20 0 DDADMM CC(C)C[C@@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)n1ccnc1 ZINC000635724163 422284005 /nfs/dbraw/zinc/28/40/05/422284005.db2.gz GBFRFGOVEYRTPY-STQMWFEESA-N -1 1 317.397 1.390 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)C(C)(C)O ZINC000632372944 422288043 /nfs/dbraw/zinc/28/80/43/422288043.db2.gz JIJDCVFVECIYAE-ZETCQYMHSA-N -1 1 315.317 1.256 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnc2onc(C)c2c1)C1CC1 ZINC000584231607 422289099 /nfs/dbraw/zinc/28/90/99/422289099.db2.gz FZSJVKXHFFEEKV-LBPRGKRZSA-N -1 1 311.363 1.698 20 0 DDADMM C[C@@H](CC(C)(C)O)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632393840 422302693 /nfs/dbraw/zinc/30/26/93/422302693.db2.gz BFWXAHOVNSZWGX-LURJTMIESA-N -1 1 315.317 1.256 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2conc2C)c(F)c1 ZINC000632397356 422306732 /nfs/dbraw/zinc/30/67/32/422306732.db2.gz UHJATIOUGCUVMG-UHFFFAOYSA-N -1 1 318.301 1.748 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC(C)(C)C(C)(C)O)c1 ZINC000632425165 422332337 /nfs/dbraw/zinc/33/23/37/422332337.db2.gz JVGJZXWMBFSCMJ-UHFFFAOYSA-N -1 1 317.407 1.476 20 0 DDADMM CCNC(=O)C(C)(C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632433747 422340273 /nfs/dbraw/zinc/34/02/73/422340273.db2.gz BXNNHPMMGWWUOW-UHFFFAOYSA-N -1 1 310.322 1.410 20 0 DDADMM C[C@@H]1[C@H](C(F)(F)F)CCCN1C(=O)CCCc1nn[n-]n1 ZINC000635817774 422372787 /nfs/dbraw/zinc/37/27/87/422372787.db2.gz PGCIHUCANICAEX-RKDXNWHRSA-N -1 1 305.304 1.712 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)CC1CC1)c1ccc(C(F)F)o1 ZINC000632562105 422437441 /nfs/dbraw/zinc/43/74/41/422437441.db2.gz GRSVBVKPKKETOL-VIFPVBQESA-N -1 1 309.334 1.904 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2C(C)(C)C2(F)F)c1 ZINC000632567845 422444092 /nfs/dbraw/zinc/44/40/92/422444092.db2.gz PGDOPWVYRKOOAU-NSHDSACASA-N -1 1 321.345 1.970 20 0 DDADMM CCc1cccc2c1OCC[C@H]2NC(=O)CCc1nn[n-]n1 ZINC000630890158 422396883 /nfs/dbraw/zinc/39/68/83/422396883.db2.gz QWZIRTXAHFYEEA-GFCCVEGCSA-N -1 1 301.350 1.335 20 0 DDADMM C[C@H](C(=O)N(C)CC(=O)Nc1cccc(F)c1[O-])n1cccn1 ZINC000628960526 422419583 /nfs/dbraw/zinc/41/95/83/422419583.db2.gz GVGZNALIOUCTOI-SNVBAGLBSA-N -1 1 320.324 1.386 20 0 DDADMM NS(=O)(=O)CCCCCC(=O)Nc1cccc(F)c1[O-] ZINC000628960028 422419951 /nfs/dbraw/zinc/41/99/51/422419951.db2.gz QIGZCENQMLYBNG-UHFFFAOYSA-N -1 1 304.343 1.319 20 0 DDADMM CS(=O)(=O)N1CCC[C@H](C(=O)Nc2cccc(F)c2[O-])C1 ZINC000628960644 422422732 /nfs/dbraw/zinc/42/27/32/422422732.db2.gz TWPSHLQNXQERDQ-VIFPVBQESA-N -1 1 316.354 1.141 20 0 DDADMM CC1(C)C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CO1 ZINC000632544265 422426200 /nfs/dbraw/zinc/42/62/00/422426200.db2.gz AVSPJWUZNIHXHO-ZCFIWIBFSA-N -1 1 313.301 1.274 20 0 DDADMM Cn1cnnc1S(=O)(=O)[N-]CC(F)(F)c1cccc(F)c1 ZINC000632549003 422429794 /nfs/dbraw/zinc/42/97/94/422429794.db2.gz BMWKNKVGYJVVAJ-UHFFFAOYSA-N -1 1 320.296 1.025 20 0 DDADMM CC[C@@H](O)Cn1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634628256 422513655 /nfs/dbraw/zinc/51/36/55/422513655.db2.gz UTJSPOJNGWSALX-HUUCEWRRSA-N -1 1 301.390 1.478 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NCCc1nnc(-c2ccccc2)[nH]1 ZINC000578442834 422569848 /nfs/dbraw/zinc/56/98/48/422569848.db2.gz JLGWZPFYNJNPLS-CYBMUJFWSA-N -1 1 316.361 1.487 20 0 DDADMM COc1ccc(CN(C)C(=O)CCCc2nn[n-]n2)cc1Cl ZINC000636029147 422579714 /nfs/dbraw/zinc/57/97/14/422579714.db2.gz VOTPLSNKLAUAEW-UHFFFAOYSA-N -1 1 323.784 1.843 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC(=O)N(CC2CC2)C1 ZINC000632799669 422586918 /nfs/dbraw/zinc/58/69/18/422586918.db2.gz WCHVGASKDBNREP-UHFFFAOYSA-N -1 1 308.765 1.626 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H](c2cccnc2)C1 ZINC000636060808 422607222 /nfs/dbraw/zinc/60/72/22/422607222.db2.gz SSCCRDBWLHNWGQ-CYBMUJFWSA-N -1 1 300.366 1.324 20 0 DDADMM O=C([O-])CCN(CC(=O)N1CCCCC1)Cc1ccccc1 ZINC000629361283 422630256 /nfs/dbraw/zinc/63/02/56/422630256.db2.gz DIEVUFDOIBMQSD-UHFFFAOYSA-N -1 1 304.390 1.976 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(Cc2cccc3c2OC(F)(F)O3)C1 ZINC000629370279 422636430 /nfs/dbraw/zinc/63/64/30/422636430.db2.gz RLMQYGFXRLJLNM-ZDUSSCGKSA-N -1 1 315.272 1.684 20 0 DDADMM O=S(=O)(CCOCC1CCC1)[N-]c1cnn(CCF)c1 ZINC000634793867 422648988 /nfs/dbraw/zinc/64/89/88/422648988.db2.gz DWKOUAYMVDLDKG-UHFFFAOYSA-N -1 1 305.375 1.411 20 0 DDADMM Cc1ccsc1CNC(=O)CN1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000629499733 422702921 /nfs/dbraw/zinc/70/29/21/422702921.db2.gz FGILTTPHAYZDIT-VXGBXAGGSA-N -1 1 310.419 1.715 20 0 DDADMM O=C([O-])[C@H]1CC[C@@H](C(=O)N[C@H]2CCN(Cc3ccccc3)C2)O1 ZINC000579110103 422708800 /nfs/dbraw/zinc/70/88/00/422708800.db2.gz RGBHJRKAACIJFQ-SOUVJXGZSA-N -1 1 318.373 1.009 20 0 DDADMM CC(C)CC[C@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000629438004 422671419 /nfs/dbraw/zinc/67/14/19/422671419.db2.gz AMFXTLUYANZUOU-LLVKDONJSA-N -1 1 303.362 1.450 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H]1C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000634846821 422681694 /nfs/dbraw/zinc/68/16/94/422681694.db2.gz RZQZKJKEMKMRNW-ARFHVFGLSA-N -1 1 316.401 1.878 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCNCc2c(C)cccc21 ZINC000578983425 422683637 /nfs/dbraw/zinc/68/36/37/422683637.db2.gz CVNCLWIFHLTOGY-UHFFFAOYSA-N -1 1 322.390 1.003 20 0 DDADMM NC(=O)[C@H]1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000648271290 423294871 /nfs/dbraw/zinc/29/48/71/423294871.db2.gz RAUFLNKTMKEHTM-QMMMGPOBSA-N -1 1 302.252 1.358 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCS[C@@H]1C ZINC000648685923 423416424 /nfs/dbraw/zinc/41/64/24/423416424.db2.gz URUMDRDUPONHMV-ZYHUDNBSSA-N -1 1 320.418 1.604 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N[C@H]1C[C@H](C(=O)[O-])c2ccccc21 ZINC000653328548 423441861 /nfs/dbraw/zinc/44/18/61/423441861.db2.gz KGYPMSJXKJGTIC-SOUVJXGZSA-N -1 1 302.374 1.900 20 0 DDADMM CCC(CC)[C@@H](C(=O)Nc1c(C)[n-][nH]c1=O)N1CCOCC1 ZINC000646410317 423479618 /nfs/dbraw/zinc/47/96/18/423479618.db2.gz CNEXQRTVOOJWAP-ZDUSSCGKSA-N -1 1 310.398 1.499 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CC[C@H]1Cc2ccccc2NC1=O ZINC000646411745 423480121 /nfs/dbraw/zinc/48/01/21/423480121.db2.gz QCKMVNMCDJZRKX-NSHDSACASA-N -1 1 314.345 1.953 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN2CC(F)(F)C[C@H]2C1 ZINC000648940766 423555876 /nfs/dbraw/zinc/55/58/76/423555876.db2.gz CDZARCNXYBPGNE-VIFPVBQESA-N -1 1 300.280 1.697 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000646651842 423561645 /nfs/dbraw/zinc/56/16/45/423561645.db2.gz KVDYXBTVYBGVKO-URLYPYJESA-N -1 1 301.264 1.721 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2ccc3oc(=O)nc-3[n-]2)n[nH]1 ZINC000646911678 423665203 /nfs/dbraw/zinc/66/52/03/423665203.db2.gz DPTFCCWTECCKEE-SSDOTTSWSA-N -1 1 301.306 1.321 20 0 DDADMM CCO[C@@H]1COC[C@H]1[N-]S(=O)(=O)C[C@H]1C(C)(C)C1(F)F ZINC000641525819 423695738 /nfs/dbraw/zinc/69/57/38/423695738.db2.gz CIPKWAJROULHIB-BBBLOLIVSA-N -1 1 313.366 1.001 20 0 DDADMM O=S(=O)([N-][C@H](CO)CCF)c1cnccc1C(F)(F)F ZINC000651894622 423730113 /nfs/dbraw/zinc/73/01/13/423730113.db2.gz JRRWWSPLGPMVCP-ZETCQYMHSA-N -1 1 316.276 1.099 20 0 DDADMM CC(C)(C)N1CC[C@H](N2CCC[C@H](c3n[n-]c(=N)o3)C2)C1=O ZINC000639819173 423755427 /nfs/dbraw/zinc/75/54/27/423755427.db2.gz FWVXHSQGXVCEQZ-QWRGUYRKSA-N -1 1 307.398 1.061 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(CC(C)C)c1)C(C)C ZINC000647127934 423767811 /nfs/dbraw/zinc/76/78/11/423767811.db2.gz IEZVUGFICQFOHI-CYBMUJFWSA-N -1 1 303.428 1.952 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-]c1cccc(CO)c1Cl ZINC000641688838 423866801 /nfs/dbraw/zinc/86/68/01/423866801.db2.gz CQIDXATZPLEVHV-UHFFFAOYSA-N -1 1 315.782 1.973 20 0 DDADMM O=S(=O)(Nc1cc(F)cc(F)c1[O-])N1CCCOCC1 ZINC000641693428 423874349 /nfs/dbraw/zinc/87/43/49/423874349.db2.gz YQEWDRBKILZSLF-UHFFFAOYSA-N -1 1 308.306 1.049 20 0 DDADMM O=C([O-])C1(CNC(=O)N2CCC(c3cnc[nH]3)CC2)CCC1 ZINC000659420540 423823356 /nfs/dbraw/zinc/82/33/56/423823356.db2.gz PMFOIDVIDZWNOQ-UHFFFAOYSA-N -1 1 306.366 1.554 20 0 DDADMM CCc1nc(S(=O)(=O)[N-][C@H](C)C(F)F)cn1CC1CC1 ZINC000641704649 423890611 /nfs/dbraw/zinc/89/06/11/423890611.db2.gz HIQDSHSDSNTREM-MRVPVSSYSA-N -1 1 307.366 1.787 20 0 DDADMM C[C@]1(NC(=O)c2ncc3ccccc3c2[O-])CCS(=O)(=O)C1 ZINC000665859371 423895096 /nfs/dbraw/zinc/89/50/96/423895096.db2.gz SDUZFKLWZYTARV-HNNXBMFYSA-N -1 1 320.370 1.247 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc(C(F)F)nc1 ZINC000654807205 423926359 /nfs/dbraw/zinc/92/63/59/423926359.db2.gz YPKDITISHXAEJA-UHFFFAOYSA-N -1 1 308.292 1.732 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2C[C@H]2C1)c1ncccc1Br ZINC000657017024 424000324 /nfs/dbraw/zinc/00/03/24/424000324.db2.gz FOSLQAUQSPAOOW-AYMMMOKOSA-N -1 1 317.208 1.921 20 0 DDADMM O=C([C@H]1Cc2ccc(F)cc21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644884395 424010057 /nfs/dbraw/zinc/01/00/57/424010057.db2.gz UJVCIANSVNGUGV-GWCFXTLKSA-N -1 1 317.320 1.960 20 0 DDADMM CC[C@@H](COCC1CC1)[N-]S(=O)(=O)c1c(C)onc1N ZINC000657058820 424057764 /nfs/dbraw/zinc/05/77/64/424057764.db2.gz VEUSLLLJSIUFGL-JTQLQIEISA-N -1 1 303.384 1.049 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC(F)(F)c2cccc(F)c2)c1 ZINC000657080392 424086108 /nfs/dbraw/zinc/08/61/08/424086108.db2.gz SJYRREYUTVAEJQ-UHFFFAOYSA-N -1 1 319.308 1.630 20 0 DDADMM C[C@H]1OCC[C@@]1(O)CNC(=O)N=c1[n-]sc2ccccc21 ZINC000640339530 424360552 /nfs/dbraw/zinc/36/05/52/424360552.db2.gz RSPHXQUTSNQXAX-YMTOWFKASA-N -1 1 307.375 1.380 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1c(C)onc1N)C1CCCCC1 ZINC000660081155 424510040 /nfs/dbraw/zinc/51/00/40/424510040.db2.gz FJZJMZXSXSXCCU-NSHDSACASA-N -1 1 317.411 1.439 20 0 DDADMM C[C@@]1(C2CC2)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000664308234 424527682 /nfs/dbraw/zinc/52/76/82/424527682.db2.gz XEMFAMCXLFFIHN-KRWDZBQOSA-N -1 1 313.357 1.564 20 0 DDADMM CSc1ccccc1NC(=O)CCN(C)Cc1n[n-]c(=O)o1 ZINC000660848063 424788236 /nfs/dbraw/zinc/78/82/36/424788236.db2.gz LZOHZNLTPCCNSR-UHFFFAOYSA-N -1 1 322.390 1.958 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1O ZINC000665380980 424796832 /nfs/dbraw/zinc/79/68/32/424796832.db2.gz AIOYRNFYTAVWGW-NSHDSACASA-N -1 1 315.333 1.128 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)c2ccon2)o1 ZINC000342358365 271388219 /nfs/dbraw/zinc/38/82/19/271388219.db2.gz JFEXNYLSTQKIRE-QMMMGPOBSA-N -1 1 314.319 1.484 20 0 DDADMM COc1cccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1 ZINC000343177612 271674422 /nfs/dbraw/zinc/67/44/22/271674422.db2.gz VVHJZPQCIOKEOU-UHFFFAOYSA-N -1 1 322.342 1.301 20 0 DDADMM CCOC(=O)c1sc2[n-]c(-c3cnccn3)nc(=O)c2c1C ZINC000054752329 276305069 /nfs/dbraw/zinc/30/50/69/276305069.db2.gz ONUTYTLYBOVKFQ-UHFFFAOYSA-N -1 1 316.342 1.927 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)N1CC(=O)Nc2ccccc21)C(=O)[O-] ZINC000262301031 278945892 /nfs/dbraw/zinc/94/58/92/278945892.db2.gz QGNGMVDJHKOZNW-PWSUYJOCSA-N -1 1 319.361 1.203 20 0 DDADMM O=c1nc(CN2CCOc3ccc(Br)cc32)[nH][n-]1 ZINC000276379783 280396575 /nfs/dbraw/zinc/39/65/75/280396575.db2.gz IBOKCYGYTHRTTM-UHFFFAOYSA-N -1 1 311.139 1.260 20 0 DDADMM CC1CCN(CC(=O)Nc2ccc(Cc3nn[nH]n3)cc2)CC1 ZINC000120610937 281121861 /nfs/dbraw/zinc/12/18/61/281121861.db2.gz KTKJPRKMZAXGSV-UHFFFAOYSA-N -1 1 314.393 1.461 20 0 DDADMM CC1(C)CN(C(=O)N=c2ccc(C(F)(F)F)n[n-]2)CCN1 ZINC000415507341 281168012 /nfs/dbraw/zinc/16/80/12/281168012.db2.gz VIVCUVQLSFUXKX-UHFFFAOYSA-N -1 1 303.288 1.133 20 0 DDADMM CO[C@@](C)(CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C1CC1 ZINC000291541249 294063881 /nfs/dbraw/zinc/06/38/81/294063881.db2.gz SJKSJITUWZIJCV-CCRNYGKSSA-N -1 1 303.366 1.278 20 0 DDADMM Cn1c(=O)ccnc1[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC000361532266 299887219 /nfs/dbraw/zinc/88/72/19/299887219.db2.gz FDLVWCXAHHABON-UHFFFAOYSA-N -1 1 317.729 1.374 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cccc(COC)c2)co1 ZINC000162999815 298205751 /nfs/dbraw/zinc/20/57/51/298205751.db2.gz POMXBCRQSWWAOF-UHFFFAOYSA-N -1 1 324.358 1.586 20 0 DDADMM O=C(Nc1ccc(O)c(Cl)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000353993931 298332901 /nfs/dbraw/zinc/33/29/01/298332901.db2.gz XREZETKQYVVTOY-UHFFFAOYSA-N -1 1 305.681 1.029 20 0 DDADMM C[C@@H]1CCN(C)CCN1C(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000362762628 300133917 /nfs/dbraw/zinc/13/39/17/300133917.db2.gz KZAPTNMUNQHQTH-CYBMUJFWSA-N -1 1 314.389 1.744 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCCOCC1 ZINC000364042095 300337747 /nfs/dbraw/zinc/33/77/47/300337747.db2.gz OEXZFVNCHXJHNI-UHFFFAOYSA-N -1 1 318.377 1.233 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC[C@@H]2C(=O)NC[C@H]2C1 ZINC000368247045 300985852 /nfs/dbraw/zinc/98/58/52/300985852.db2.gz WQWTUMXPNOLOLK-KBPBESRZSA-N -1 1 310.353 1.754 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CCN1Cc1ccccc1)c1nn[n-]n1 ZINC000368526710 301049020 /nfs/dbraw/zinc/04/90/20/301049020.db2.gz UQEHZPZBNWXDGV-JSGCOSHPSA-N -1 1 314.393 1.036 20 0 DDADMM O=C(c1ccc2ncsc2c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370870809 301398490 /nfs/dbraw/zinc/39/84/90/301398490.db2.gz WNZFQMBMBGBGTO-JTQLQIEISA-N -1 1 316.346 1.023 20 0 DDADMM CCC[C@]1(CO)CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000377526278 302189587 /nfs/dbraw/zinc/18/95/87/302189587.db2.gz UVHRRONCYGAATD-KRWDZBQOSA-N -1 1 315.373 1.548 20 0 DDADMM Cn1cc(N2CC[C@H](NC(=O)c3cc(Cl)ccc3[O-])C2)cn1 ZINC000377711956 302217345 /nfs/dbraw/zinc/21/73/45/302217345.db2.gz DTVDDBQTRZNFHN-NSHDSACASA-N -1 1 320.780 1.788 20 0 DDADMM COCc1n[n-]c(=NC[C@@H](c2cccc(OC)c2)N(C)C)s1 ZINC000357028573 306867595 /nfs/dbraw/zinc/86/75/95/306867595.db2.gz OVXVDMHDPUTLEO-ZDUSSCGKSA-N -1 1 322.434 1.830 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)sc1C ZINC000528544865 303042048 /nfs/dbraw/zinc/04/20/48/303042048.db2.gz AZLIJAHNQZEXGR-PBCZWWQYSA-N -1 1 304.437 1.850 20 0 DDADMM O=C(NC[C@H](n1cccn1)C(F)(F)F)c1ncccc1[O-] ZINC000529625440 303142781 /nfs/dbraw/zinc/14/27/81/303142781.db2.gz XWQDGFZRNJITAO-VIFPVBQESA-N -1 1 300.240 1.517 20 0 DDADMM COc1ccccc1CCNC(=O)CNC1(C(=O)[O-])CCCC1 ZINC000532881295 303300519 /nfs/dbraw/zinc/30/05/19/303300519.db2.gz HLLPUXYOTFUZKC-UHFFFAOYSA-N -1 1 320.389 1.341 20 0 DDADMM CCS[C@@H]1CCCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000541843921 303429921 /nfs/dbraw/zinc/42/99/21/303429921.db2.gz RHNULGRSRCAOMI-SNVBAGLBSA-N -1 1 321.406 1.165 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@H]2[C@@H]3CCC[C@@H]32)c(C(F)(F)F)n1 ZINC000549815099 303620109 /nfs/dbraw/zinc/62/01/09/303620109.db2.gz VLTRGIKDMBMJKH-QMSLJYSESA-N -1 1 309.313 1.516 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@H]3CC[C@@H]3C(N)=O)[nH][n-]2)c1 ZINC000561315975 303839258 /nfs/dbraw/zinc/83/92/58/303839258.db2.gz MTSULKWMSLHQHT-UWVGGRQHSA-N -1 1 316.336 1.396 20 0 DDADMM CC[C@H](COC)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000563052241 303917357 /nfs/dbraw/zinc/91/73/57/303917357.db2.gz MHRHSZYRZUBMOA-SECBINFHSA-N -1 1 300.405 2.000 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cccc(C)n2)c1 ZINC000359702659 306960557 /nfs/dbraw/zinc/96/05/57/306960557.db2.gz ZMXNKFATEZFZDZ-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC3(CCC3)C[C@@H]2C)co1 ZINC000365333638 307065123 /nfs/dbraw/zinc/06/51/23/307065123.db2.gz YGHNUSFBEMXVTK-JTQLQIEISA-N -1 1 312.391 1.592 20 0 DDADMM O=S(=O)([N-]c1cccc(F)c1-c1nc[nH]n1)c1c[nH]cn1 ZINC000373211081 307181890 /nfs/dbraw/zinc/18/18/90/307181890.db2.gz RXSOFWHRWNHBBV-UHFFFAOYSA-N -1 1 308.298 1.135 20 0 DDADMM CC1(C)CN(C(=O)c2cncc([O-])c2)C[C@@]2(CCCOC2)O1 ZINC000373603843 307191766 /nfs/dbraw/zinc/19/17/66/307191766.db2.gz MAOGYWPFCBYBBA-MRXNPFEDSA-N -1 1 306.362 1.587 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2cccnc2)c1-c1ccncc1 ZINC000373643066 307193665 /nfs/dbraw/zinc/19/36/65/307193665.db2.gz GGWFUJKHAWAUSR-UHFFFAOYSA-N -1 1 315.358 1.976 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@]2(C)CCN(C(C)=O)C2)c1 ZINC000374485459 307208804 /nfs/dbraw/zinc/20/88/04/307208804.db2.gz RRZSNNLGUURNQS-INIZCTEOSA-N -1 1 320.345 1.376 20 0 DDADMM NC(=O)NC(=O)OCCCN1CC[C@](F)(c2ccccc2)C1 ZINC000374540450 307209620 /nfs/dbraw/zinc/20/96/20/307209620.db2.gz XGQGSKJXWUKDKQ-OAHLLOKOSA-N -1 1 309.341 1.752 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)C1CCC1 ZINC000375964065 307236567 /nfs/dbraw/zinc/23/65/67/307236567.db2.gz SXCICAGIWPNIIG-LLVKDONJSA-N -1 1 309.732 1.998 20 0 DDADMM O=C(C=Cc1ccc(Cl)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000377594682 307274195 /nfs/dbraw/zinc/27/41/95/307274195.db2.gz GHVAHTXCFCAODR-UXONFWTHSA-N -1 1 319.752 1.466 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@@H]1c1ccccc1F ZINC000377852403 307277374 /nfs/dbraw/zinc/27/73/74/307277374.db2.gz PSTBARHCSLCVBI-LLVKDONJSA-N -1 1 311.338 1.670 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H](C)C[C@@H](C)O)c(F)c1 ZINC000425208362 307302156 /nfs/dbraw/zinc/30/21/56/307302156.db2.gz SMMGNCHQCJIIIH-VHSXEESVSA-N -1 1 307.362 1.959 20 0 DDADMM CN1C[C@H](COC(=O)c2nn(-c3ccccc3)cc2[O-])OC1=O ZINC000495725549 307315957 /nfs/dbraw/zinc/31/59/57/307315957.db2.gz CXEDAURJIHPBTG-LLVKDONJSA-N -1 1 317.301 1.185 20 0 DDADMM CCN(CC)CCS(=O)(=O)N[C@H](C(=O)[O-])c1cccs1 ZINC000517932476 307438273 /nfs/dbraw/zinc/43/82/73/307438273.db2.gz LYNVOKGOBSNYHR-NSHDSACASA-N -1 1 320.436 1.135 20 0 DDADMM C[C@H](C(F)(F)F)S(=O)(=O)NC(C)(C)C[C@H](C)C(=O)[O-] ZINC000547639661 307741604 /nfs/dbraw/zinc/74/16/04/307741604.db2.gz NVUIRRKCMIDTIH-NKWVEPMBSA-N -1 1 305.318 1.746 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2c(C)cccc2F)s1 ZINC000548123758 307757168 /nfs/dbraw/zinc/75/71/68/307757168.db2.gz OSINGMSWXBCDMD-UHFFFAOYSA-N -1 1 301.368 1.773 20 0 DDADMM O=S(=O)(N=c1cc2ccccn2[n-]1)c1cc(F)cc(F)c1 ZINC000552036852 307815771 /nfs/dbraw/zinc/81/57/71/307815771.db2.gz FCGLCWUSKWMPER-UHFFFAOYSA-N -1 1 309.297 1.835 20 0 DDADMM Cc1ccccc1[C@@H]1CCN(Cc2cn(CC(=O)[O-])nn2)C1 ZINC000565103973 308020515 /nfs/dbraw/zinc/02/05/15/308020515.db2.gz LWWBBLHVRSAGBG-CYBMUJFWSA-N -1 1 300.362 1.661 20 0 DDADMM CSCCN(C)[C@@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000565105103 308020647 /nfs/dbraw/zinc/02/06/47/308020647.db2.gz NSRSGCIXPRIXFK-NSHDSACASA-N -1 1 310.419 1.684 20 0 DDADMM COCC1(CC[N-]S(=O)(=O)c2nc(C)c(C)s2)CC1 ZINC000565477271 308031801 /nfs/dbraw/zinc/03/18/01/308031801.db2.gz HEJMSLPGDGSQSG-UHFFFAOYSA-N -1 1 304.437 1.855 20 0 DDADMM Cc1nn(C(C)C)cc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000566470359 308053507 /nfs/dbraw/zinc/05/35/07/308053507.db2.gz MPCMAZKYXGQBHD-UHFFFAOYSA-N -1 1 319.365 1.881 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@@H](n3ccnn3)C2)cc1F ZINC000566509254 308056378 /nfs/dbraw/zinc/05/63/78/308056378.db2.gz RHJXOBQPRFJWFM-GFCCVEGCSA-N -1 1 304.325 1.953 20 0 DDADMM Cc1cccc2c1C[C@H](C(=O)N1CCC[C@@H](c3nn[n-]n3)C1)O2 ZINC000566837412 308064402 /nfs/dbraw/zinc/06/44/02/308064402.db2.gz XXHBKPLWPKZYDI-BXUZGUMPSA-N -1 1 313.361 1.218 20 0 DDADMM Cn1c(CN2CCC[C@H]2CC(=O)[O-])nnc1-c1ccccc1 ZINC000566920297 308066552 /nfs/dbraw/zinc/06/65/52/308066552.db2.gz JVUDHZHYNXQHAB-ZDUSSCGKSA-N -1 1 300.362 1.921 20 0 DDADMM CC(C)[C@@H]1CN(CC(=O)N2CCC(C(=O)[O-])CC2)CCS1 ZINC000567173404 308074469 /nfs/dbraw/zinc/07/44/69/308074469.db2.gz YSJOGCCVXQJJFA-ZDUSSCGKSA-N -1 1 314.451 1.383 20 0 DDADMM CCC[C@@H](C)[C@@H](CO)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000568032932 308104615 /nfs/dbraw/zinc/10/46/15/308104615.db2.gz WYRRPPGQTAERNO-MWLCHTKSSA-N -1 1 319.379 1.142 20 0 DDADMM Cn1cc([C@@H]2C[C@H](NC(=O)c3cncc([O-])c3)CCO2)cn1 ZINC000568778121 308130091 /nfs/dbraw/zinc/13/00/91/308130091.db2.gz BMMICKMSHMEELH-OCCSQVGLSA-N -1 1 302.334 1.171 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@@H]1CCCc2sccc21 ZINC000570660861 308176326 /nfs/dbraw/zinc/17/63/26/308176326.db2.gz SZLOJRJSUOHEFM-MRVPVSSYSA-N -1 1 305.363 1.284 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)CCc1nccs1 ZINC000570740164 308178299 /nfs/dbraw/zinc/17/82/99/308178299.db2.gz GFLUESGLLRRJEO-UHFFFAOYSA-N -1 1 308.363 1.923 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCCC2CC2)c(C(F)(F)F)n1 ZINC000571704447 308200628 /nfs/dbraw/zinc/20/06/28/308200628.db2.gz YHAJKCJBHNZNAW-UHFFFAOYSA-N -1 1 311.329 1.907 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1C[C@H]1c1ccccc1 ZINC000576372235 308310537 /nfs/dbraw/zinc/31/05/37/308310537.db2.gz WFYCQRKKSSTPMM-QWRGUYRKSA-N -1 1 315.329 1.430 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1CC[C@H](C(=O)[O-])O1)c1ccc(F)cc1 ZINC000580645798 308620394 /nfs/dbraw/zinc/62/03/94/308620394.db2.gz ZXSQDJQGEDKYKH-MELADBBJSA-N -1 1 324.352 1.177 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC2(CCOCC2)CC1 ZINC000372205491 325737321 /nfs/dbraw/zinc/73/73/21/325737321.db2.gz NHCQKSHYHRTKNG-UHFFFAOYSA-N -1 1 309.337 1.943 20 0 DDADMM O=C([O-])CSCC(=O)N[C@H]1CCCN(Cc2ccccc2)C1 ZINC000393903819 337094344 /nfs/dbraw/zinc/09/43/44/337094344.db2.gz JRIUZDPCWSPCLC-AWEZNQCLSA-N -1 1 322.430 1.585 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)[C@@H]2Cc3ccccc3CN2)C1 ZINC000398727001 337310125 /nfs/dbraw/zinc/31/01/25/337310125.db2.gz UNEUMSJZIJZFKB-UGFHNGPFSA-N -1 1 302.374 1.270 20 0 DDADMM CCC[C@H]1CNCCN1C(=O)c1ccc(-c2nc(=O)o[n-]2)cc1 ZINC000583811764 337336381 /nfs/dbraw/zinc/33/63/81/337336381.db2.gz WDIOXHOSYKXKAI-ZDUSSCGKSA-N -1 1 316.361 1.244 20 0 DDADMM C[C@@H]1CN(c2cncc(C(=O)[O-])n2)CCN1Cc1ccccc1 ZINC000396337753 483993721 /nfs/dbraw/zinc/99/37/21/483993721.db2.gz BACKJACEEQJNAF-CYBMUJFWSA-N -1 1 312.373 1.886 20 0 DDADMM CO[C@](C)(CO)CNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000656207537 484020099 /nfs/dbraw/zinc/02/00/99/484020099.db2.gz XQFYDWJYFLRBNS-INIZCTEOSA-N -1 1 318.377 1.670 20 0 DDADMM O=C(c1cncc([O-])c1)N(C[C@@H]1CCCO1)[C@@H]1CCSC1 ZINC000111775634 484029321 /nfs/dbraw/zinc/02/93/21/484029321.db2.gz DMJIIWPDFQSLJV-OCCSQVGLSA-N -1 1 308.403 1.914 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000436934012 484127669 /nfs/dbraw/zinc/12/76/69/484127669.db2.gz FFOULJCUKYKSIT-ZDUSSCGKSA-N -1 1 319.357 1.908 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cc(C)ccc1F)[C@@H]1CCOC1 ZINC000656614869 484244755 /nfs/dbraw/zinc/24/47/55/484244755.db2.gz RBBZTDHRIUZDJI-RISCZKNCSA-N -1 1 317.382 1.927 20 0 DDADMM CCN(CC)S(=O)(=O)[N-]c1ccn(C2CCOCC2)n1 ZINC000656636263 484253678 /nfs/dbraw/zinc/25/36/78/484253678.db2.gz AMJFDCGDLZGZRS-UHFFFAOYSA-N -1 1 302.400 1.233 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000663041917 484620308 /nfs/dbraw/zinc/62/03/08/484620308.db2.gz ZZGVKTHXSDHJTE-OAHLLOKOSA-N -1 1 305.309 1.819 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@]3(C(=O)[O-])CC3(C)C)[nH]c2c1 ZINC000663041792 484620353 /nfs/dbraw/zinc/62/03/53/484620353.db2.gz LIVCOZKFZGVUPL-MRXNPFEDSA-N -1 1 301.346 1.988 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000669740623 484629385 /nfs/dbraw/zinc/62/93/85/484629385.db2.gz NVMZSGLYMLCTMR-NSHDSACASA-N -1 1 315.366 1.571 20 0 DDADMM CC(C)(C(=O)[O-])n1ccc(NC(=O)[C@H]2CCCc3[nH]ncc32)n1 ZINC000663138105 484697301 /nfs/dbraw/zinc/69/73/01/484697301.db2.gz FGYKOEKHYPULCG-VIFPVBQESA-N -1 1 317.349 1.485 20 0 DDADMM COCC[C@H](C)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000668296479 485085303 /nfs/dbraw/zinc/08/53/03/485085303.db2.gz NLZPZULEKTUKBU-VIFPVBQESA-N -1 1 323.361 1.924 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccc(C(=O)Nc2ccccc2)c1 ZINC000671719632 485176007 /nfs/dbraw/zinc/17/60/07/485176007.db2.gz OGAJRPZVZZWOQQ-UHFFFAOYSA-N -1 1 322.328 1.382 20 0 DDADMM O=C(C(=O)N1CCOC[C@H]1c1ccco1)c1ccc([O-])cc1 ZINC000672904082 485365981 /nfs/dbraw/zinc/36/59/81/485365981.db2.gz QXKDWFPNLXZOEC-ZDUSSCGKSA-N -1 1 301.298 1.768 20 0 DDADMM O=C(C(=O)N1CCO[C@H](C(F)(F)F)C1)c1ccc([O-])cc1 ZINC000672917176 485368472 /nfs/dbraw/zinc/36/84/72/485368472.db2.gz HQJLYYSFKBFANW-JTQLQIEISA-N -1 1 303.236 1.365 20 0 DDADMM COC1CCC(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CC1 ZINC000682029511 485465710 /nfs/dbraw/zinc/46/57/10/485465710.db2.gz BSXHBPIPSLTMAA-RUXDESIVSA-N -1 1 315.377 1.611 20 0 DDADMM C[C@H](Oc1ccc(C(F)(F)F)cc1)C(=O)NCc1nn[n-]n1 ZINC000682158157 485504879 /nfs/dbraw/zinc/50/48/79/485504879.db2.gz GYCCFQKIJHCWCI-ZETCQYMHSA-N -1 1 315.255 1.302 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(C(F)(F)F)cc1Cl ZINC000678794290 485687916 /nfs/dbraw/zinc/68/79/16/485687916.db2.gz HNWRITUNVPEOEI-UHFFFAOYSA-N -1 1 305.647 1.802 20 0 DDADMM C[C@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])c1ccco1 ZINC000679113643 485780662 /nfs/dbraw/zinc/78/06/62/485780662.db2.gz DVZYKHXQGJGYIY-QMMMGPOBSA-N -1 1 310.331 1.375 20 0 DDADMM Cc1nc(CNC(=O)c2[nH]nc3c2CCCC3)sc1C(=O)[O-] ZINC000679401688 485875459 /nfs/dbraw/zinc/87/54/59/485875459.db2.gz SQKGKQBXLZRYIC-UHFFFAOYSA-N -1 1 320.374 1.682 20 0 DDADMM C[C@H](CNC(=O)N(C)CC(=O)[O-])N1CCc2ccccc2C1 ZINC000679556611 485919987 /nfs/dbraw/zinc/91/99/87/485919987.db2.gz WKKGFMYZCADGNI-GFCCVEGCSA-N -1 1 305.378 1.159 20 0 DDADMM CCO[C@@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C(C)C ZINC000675515967 485965253 /nfs/dbraw/zinc/96/52/53/485965253.db2.gz SHETWIXJOTUACC-DZGCQCFKSA-N -1 1 317.393 1.857 20 0 DDADMM O=C(c1coc(C2CCC2)n1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000683497513 486027778 /nfs/dbraw/zinc/02/77/78/486027778.db2.gz PLCDLVKNIUNYMK-SNVBAGLBSA-N -1 1 302.338 1.475 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCCCS1 ZINC000680009013 486073311 /nfs/dbraw/zinc/07/33/11/486073311.db2.gz LYISWKLOXUGUHP-NWDGAFQWSA-N -1 1 303.391 1.691 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC(C)(F)C1 ZINC000684324599 486322306 /nfs/dbraw/zinc/32/23/06/486322306.db2.gz HAOQXHHAUQOHPT-UHFFFAOYSA-N -1 1 306.341 1.555 20 0 DDADMM Cc1nccc(N2CCN(C(=O)c3ccc([O-])c(F)c3)CC2)n1 ZINC000681068862 486336549 /nfs/dbraw/zinc/33/65/49/486336549.db2.gz WLZKWXKETBPWTO-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCCc3c2cnn3CCO)c([O-])c1 ZINC000681743333 486508741 /nfs/dbraw/zinc/50/87/41/486508741.db2.gz SOIZJNSQDQWNOQ-LBPRGKRZSA-N -1 1 316.361 1.092 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccncc1 ZINC000677927954 486580670 /nfs/dbraw/zinc/58/06/70/486580670.db2.gz SMUSKCNIGANRIL-DGCLKSJQSA-N -1 1 300.366 1.495 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2ncoc2C)c(F)c1 ZINC000678072118 486623418 /nfs/dbraw/zinc/62/34/18/486623418.db2.gz XJPSLVXVUOXFCE-UHFFFAOYSA-N -1 1 318.301 1.748 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C[C@@H]1C ZINC000332469791 534208657 /nfs/dbraw/zinc/20/86/57/534208657.db2.gz UKYZWVXKCBAJAG-WOPDTQHZSA-N -1 1 323.466 1.672 20 0 DDADMM Cc1ccc2ncc(C(=O)N=c3nc[nH]n3C(C)C)c([O-])c2c1 ZINC000412921907 534372058 /nfs/dbraw/zinc/37/20/58/534372058.db2.gz IMXIHLOUQIXYSJ-UHFFFAOYSA-N -1 1 311.345 1.683 20 0 DDADMM Cc1ccc2nc(CNC(=O)N(C)CCCC(=O)[O-])[nH]c2c1 ZINC000414632992 534497516 /nfs/dbraw/zinc/49/75/16/534497516.db2.gz TXCWKQBGVRMCSR-UHFFFAOYSA-N -1 1 304.350 1.878 20 0 DDADMM O=C(NC[C@H](CO)C1CCCCC1)C(=O)c1ccc([O-])cc1 ZINC000294485801 534618015 /nfs/dbraw/zinc/61/80/15/534618015.db2.gz JDCJRAPAZRMLNI-CQSZACIVSA-N -1 1 305.374 1.880 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCN2C(=O)CC[C@@H]2C1 ZINC000322359295 534672389 /nfs/dbraw/zinc/67/23/89/534672389.db2.gz JREFLCIPLZXPNC-CQSZACIVSA-N -1 1 310.353 1.992 20 0 DDADMM O=C(C=Cc1ccccc1OC(F)F)NC1(c2nn[n-]n2)CC1 ZINC000492028922 534778344 /nfs/dbraw/zinc/77/83/44/534778344.db2.gz FMBOLUKEUUTLRP-AATRIKPKSA-N -1 1 321.287 1.620 20 0 DDADMM CC(C)(C)OC(=O)N[C@H](CNC(=O)c1cncc([O-])c1)C1CC1 ZINC000494140425 526490170 /nfs/dbraw/zinc/49/01/70/526490170.db2.gz COPKFBPLNPTZKU-CYBMUJFWSA-N -1 1 321.377 1.820 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H]2CCCO[C@H]2C(C)(C)C)[n-]n1 ZINC000413158760 528230938 /nfs/dbraw/zinc/23/09/38/528230938.db2.gz SEHGJTSJCPOMMM-IUODEOHRSA-N -1 1 320.437 1.901 20 0 DDADMM CC(C)C[C@@H](CNC(=O)N1CCN(C)C[C@@H]1C(C)C)C(=O)[O-] ZINC000424405864 528320476 /nfs/dbraw/zinc/32/04/76/528320476.db2.gz FHCQXNRUATUOOW-UONOGXRCSA-N -1 1 313.442 1.715 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2cnc(CC)nc2)CC1 ZINC000414375365 528677957 /nfs/dbraw/zinc/67/79/57/528677957.db2.gz RKVFCLNEWAIGSO-UHFFFAOYSA-N -1 1 313.379 1.267 20 0 DDADMM CCN(C(=O)CNC(=O)c1ncccc1[O-])C1CC(C)(C)C1 ZINC000412814435 528959373 /nfs/dbraw/zinc/95/93/73/528959373.db2.gz ROVSMKHZXWADJJ-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM CC[C@@H]1CCC[C@@H]1CNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000452537031 529308711 /nfs/dbraw/zinc/30/87/11/529308711.db2.gz ZKWVQFJPDLIYAC-GHMZBOCLSA-N -1 1 314.407 1.744 20 0 DDADMM Cc1ccc2c(c1)CC[C@@H]2NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737376579 598997882 /nfs/dbraw/zinc/99/78/82/598997882.db2.gz IONRQBFWMYIXFG-AWEZNQCLSA-N -1 1 320.356 1.987 20 0 DDADMM Cc1ccc2c(c1)CC[C@@H]2NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737376579 598997884 /nfs/dbraw/zinc/99/78/84/598997884.db2.gz IONRQBFWMYIXFG-AWEZNQCLSA-N -1 1 320.356 1.987 20 0 DDADMM O=C(NC1(CO)CCC1)c1ccc(Br)c([O-])c1 ZINC000227052266 697768052 /nfs/dbraw/zinc/76/80/52/697768052.db2.gz HBTPRAPWUJVYGE-UHFFFAOYSA-N -1 1 300.152 1.800 20 0 DDADMM O=C(NCc1cc(F)ccc1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738102466 599003957 /nfs/dbraw/zinc/00/39/57/599003957.db2.gz TUNLOEJXFOJYPX-UHFFFAOYSA-N -1 1 316.271 1.470 20 0 DDADMM O=C(NCc1cc(F)ccc1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738102466 599003959 /nfs/dbraw/zinc/00/39/59/599003959.db2.gz TUNLOEJXFOJYPX-UHFFFAOYSA-N -1 1 316.271 1.470 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)[C@H](C)C1 ZINC000736241466 599005893 /nfs/dbraw/zinc/00/58/93/599005893.db2.gz ODLUZMNEPDOTDX-CKYFFXLPSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)[C@H](C)C1 ZINC000736241466 599005894 /nfs/dbraw/zinc/00/58/94/599005894.db2.gz ODLUZMNEPDOTDX-CKYFFXLPSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@](O)(CNc1ccc(Cl)c(-c2nnn[n-]2)n1)c1ccco1 ZINC000820468093 599041803 /nfs/dbraw/zinc/04/18/03/599041803.db2.gz QOFMXVACNGIPHB-ZDUSSCGKSA-N -1 1 320.740 1.828 20 0 DDADMM C[C@](O)(CNc1ccc(Cl)c(-c2nn[n-]n2)n1)c1ccco1 ZINC000820468093 599041806 /nfs/dbraw/zinc/04/18/06/599041806.db2.gz QOFMXVACNGIPHB-ZDUSSCGKSA-N -1 1 320.740 1.828 20 0 DDADMM CC(C)(CCO)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000819980934 599109660 /nfs/dbraw/zinc/10/96/60/599109660.db2.gz JHWIRMQZADYXQF-UHFFFAOYSA-N -1 1 313.365 1.630 20 0 DDADMM CC(C)(CCO)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000819980934 599109662 /nfs/dbraw/zinc/10/96/62/599109662.db2.gz JHWIRMQZADYXQF-UHFFFAOYSA-N -1 1 313.365 1.630 20 0 DDADMM COc1ccc(CNC(=O)CN2CCC(C(=O)[O-])CC2)cc1 ZINC000739906432 596926681 /nfs/dbraw/zinc/92/66/81/596926681.db2.gz FZYFRXPBOVMMSJ-UHFFFAOYSA-N -1 1 306.362 1.108 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)N(C)CCC(=O)[O-])c(OC)c1 ZINC000818598984 597002427 /nfs/dbraw/zinc/00/24/27/597002427.db2.gz BNEKIPNYEIBXCH-JTQLQIEISA-N -1 1 310.350 1.437 20 0 DDADMM Cn1cc(N2CC[C@H](NCc3ccc(C(=O)[O-])cc3)C2=O)cn1 ZINC000819067412 597208954 /nfs/dbraw/zinc/20/89/54/597208954.db2.gz KXGHODZGMGLSOI-AWEZNQCLSA-N -1 1 314.345 1.013 20 0 DDADMM CC1(C)C[C@@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)C(=O)O1 ZINC000824788591 607837721 /nfs/dbraw/zinc/83/77/21/607837721.db2.gz BKMFZPYMLQXGFN-SSDOTTSWSA-N -1 1 308.729 1.421 20 0 DDADMM CC1(C)C[C@@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)C(=O)O1 ZINC000824788591 607837722 /nfs/dbraw/zinc/83/77/22/607837722.db2.gz BKMFZPYMLQXGFN-SSDOTTSWSA-N -1 1 308.729 1.421 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCC[C@H]2C(=O)[O-])c1Br ZINC000821153649 597440920 /nfs/dbraw/zinc/44/09/20/597440920.db2.gz XAYDUBRPSYKIKQ-ZETCQYMHSA-N -1 1 316.155 1.560 20 0 DDADMM O[C@H]1CCCC[C@H]1CCCNc1nccnc1-c1nnn[n-]1 ZINC000823706962 607306559 /nfs/dbraw/zinc/30/65/59/607306559.db2.gz SPYCOAZQABMOMI-QWRGUYRKSA-N -1 1 303.370 1.400 20 0 DDADMM O[C@H]1CCCC[C@H]1CCCNc1nccnc1-c1nn[n-]n1 ZINC000823706962 607306560 /nfs/dbraw/zinc/30/65/60/607306560.db2.gz SPYCOAZQABMOMI-QWRGUYRKSA-N -1 1 303.370 1.400 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)c1cccc(Br)c1O ZINC000817762791 597469463 /nfs/dbraw/zinc/46/94/63/597469463.db2.gz YSKKKMOPUKKAFO-ZCFIWIBFSA-N -1 1 302.124 1.700 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CC[C@H](C(=O)[O-])C1)c1ccsc1 ZINC000818373963 597507567 /nfs/dbraw/zinc/50/75/67/597507567.db2.gz FMKJXKREYGHURX-JQWIXIFHSA-N -1 1 311.407 1.467 20 0 DDADMM O=C([O-])[C@@H]1CCN(CN2C[C@H](Cc3ccccc3)OC2=O)C1 ZINC000821511141 597671445 /nfs/dbraw/zinc/67/14/45/597671445.db2.gz CPURDWKSSDYOTK-KGLIPLIRSA-N -1 1 304.346 1.414 20 0 DDADMM CC(C)[C@@H](NCC(=O)NC12CC3CC(CC(C3)C1)C2)C(=O)[O-] ZINC000820041297 598189517 /nfs/dbraw/zinc/18/95/17/598189517.db2.gz AECMCVGWMAZMQM-XWWZASNVSA-N -1 1 308.422 1.770 20 0 DDADMM CC(=O)Nc1ccc(Nc2nccnc2-c2nnn[n-]2)cc1C ZINC000735487855 598340044 /nfs/dbraw/zinc/34/00/44/598340044.db2.gz CRGXMCITLYUNGA-UHFFFAOYSA-N -1 1 310.321 1.667 20 0 DDADMM CC(=O)Nc1ccc(Nc2nccnc2-c2nn[n-]n2)cc1C ZINC000735487855 598340046 /nfs/dbraw/zinc/34/00/46/598340046.db2.gz CRGXMCITLYUNGA-UHFFFAOYSA-N -1 1 310.321 1.667 20 0 DDADMM c1cc(CCNc2cnc(-c3nnn[n-]3)cn2)cc2c1OCO2 ZINC000738421693 598386148 /nfs/dbraw/zinc/38/61/48/598386148.db2.gz TWSYJJQWNAZBAZ-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM c1cc(CCNc2cnc(-c3nn[n-]n3)cn2)cc2c1OCO2 ZINC000738421693 598386151 /nfs/dbraw/zinc/38/61/51/598386151.db2.gz TWSYJJQWNAZBAZ-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM Cc1cc(CN(C)c2snc(Cl)c2-c2nnn[n-]2)no1 ZINC000737261435 598743628 /nfs/dbraw/zinc/74/36/28/598743628.db2.gz FBYFOGONRCVHNJ-UHFFFAOYSA-N -1 1 311.758 1.909 20 0 DDADMM Cc1cc(CN(C)c2snc(Cl)c2-c2nn[n-]n2)no1 ZINC000737261435 598743630 /nfs/dbraw/zinc/74/36/30/598743630.db2.gz FBYFOGONRCVHNJ-UHFFFAOYSA-N -1 1 311.758 1.909 20 0 DDADMM CC(=O)c1cnc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000735505695 599385863 /nfs/dbraw/zinc/38/58/63/599385863.db2.gz VDHSALJKHKBPHI-UHFFFAOYSA-N -1 1 315.318 1.173 20 0 DDADMM CC(=O)c1cnc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000735505695 599385865 /nfs/dbraw/zinc/38/58/65/599385865.db2.gz VDHSALJKHKBPHI-UHFFFAOYSA-N -1 1 315.318 1.173 20 0 DDADMM Cc1cccc2nc(CNCc3ccc(-c4nnn[n-]4)o3)cn21 ZINC000822341028 599434735 /nfs/dbraw/zinc/43/47/35/599434735.db2.gz RENLKGPRDXBWLN-UHFFFAOYSA-N -1 1 309.333 1.706 20 0 DDADMM Cc1cccc2nc(CNCc3ccc(-c4nn[n-]n4)o3)cn21 ZINC000822341028 599434737 /nfs/dbraw/zinc/43/47/37/599434737.db2.gz RENLKGPRDXBWLN-UHFFFAOYSA-N -1 1 309.333 1.706 20 0 DDADMM CC(C)C[C@H](NCc1ccc(-c2nnn[n-]2)o1)C(=O)OC(C)C ZINC000820107775 599438377 /nfs/dbraw/zinc/43/83/77/599438377.db2.gz BQGREXFYIJBWHY-LBPRGKRZSA-N -1 1 321.381 1.916 20 0 DDADMM CC(C)C[C@H](NCc1ccc(-c2nn[n-]n2)o1)C(=O)OC(C)C ZINC000820107775 599438380 /nfs/dbraw/zinc/43/83/80/599438380.db2.gz BQGREXFYIJBWHY-LBPRGKRZSA-N -1 1 321.381 1.916 20 0 DDADMM c1ncn(-c2cccc(Oc3nccnc3-c3nn[n-]n3)c2)n1 ZINC000738420284 599474218 /nfs/dbraw/zinc/47/42/18/599474218.db2.gz BZQOQMYVUBNNIQ-UHFFFAOYSA-N -1 1 307.277 1.030 20 0 DDADMM O[C@H]1CCC[C@H](CNc2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000823697029 599574846 /nfs/dbraw/zinc/57/48/46/599574846.db2.gz IBWXOTZTDOLWSP-IUCAKERBSA-N -1 1 308.773 1.878 20 0 DDADMM O[C@H]1CCC[C@H](CNc2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000823697029 599574849 /nfs/dbraw/zinc/57/48/49/599574849.db2.gz IBWXOTZTDOLWSP-IUCAKERBSA-N -1 1 308.773 1.878 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CCC(Cc2ccc(F)cc2)CC1 ZINC000736207600 599718126 /nfs/dbraw/zinc/71/81/26/599718126.db2.gz JFOALJZNHQYIOE-LBPRGKRZSA-N -1 1 322.380 1.670 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cccnc2Cl)o1 ZINC000037463973 599734759 /nfs/dbraw/zinc/73/47/59/599734759.db2.gz HHUHKFKKHRYTNR-UHFFFAOYSA-N -1 1 302.695 1.827 20 0 DDADMM Cc1ccc(/C=C\S(=O)(=O)Nc2ccn(CC(=O)[O-])n2)cc1 ZINC000738582949 599739393 /nfs/dbraw/zinc/73/93/93/599739393.db2.gz MYUITKGENQVGNH-CLFYSBASSA-N -1 1 321.358 1.689 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)Cc1cccc2ccccc21 ZINC000170584597 599775926 /nfs/dbraw/zinc/77/59/26/599775926.db2.gz PTUVPJVVACEQGR-INIZCTEOSA-N -1 1 323.352 1.918 20 0 DDADMM O=C(NCCCc1ccccn1)c1ccc(-c2nnn[n-]2)s1 ZINC000823196488 607396175 /nfs/dbraw/zinc/39/61/75/607396175.db2.gz GTQNTPIFTIVRKN-UHFFFAOYSA-N -1 1 314.374 1.686 20 0 DDADMM O=C(NCCCc1ccccn1)c1ccc(-c2nn[n-]n2)s1 ZINC000823196488 607396177 /nfs/dbraw/zinc/39/61/77/607396177.db2.gz GTQNTPIFTIVRKN-UHFFFAOYSA-N -1 1 314.374 1.686 20 0 DDADMM COCCCNC(=O)C1CCN(Cc2cc(C(=O)[O-])co2)CC1 ZINC000737762559 599848023 /nfs/dbraw/zinc/84/80/23/599848023.db2.gz IGGZOQZUVMAGOV-UHFFFAOYSA-N -1 1 324.377 1.343 20 0 DDADMM CCOCCN1CCN(Cc2occc2C(=O)[O-])C[C@H]1CC ZINC000737177771 599962194 /nfs/dbraw/zinc/96/21/94/599962194.db2.gz SKDKOYBTSJSFHI-CYBMUJFWSA-N -1 1 310.394 1.911 20 0 DDADMM CC(C)(C)CN(CCO)CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000736261505 600127336 /nfs/dbraw/zinc/12/73/36/600127336.db2.gz QLZMYIZXXGNBFQ-UHFFFAOYSA-N -1 1 308.378 1.664 20 0 DDADMM Cc1csc2nc(CN3CCC[C@@H](C(=O)[O-])C3)cc(=O)n12 ZINC000035296900 600140745 /nfs/dbraw/zinc/14/07/45/600140745.db2.gz KEPMOPXPCPGTKG-SNVBAGLBSA-N -1 1 307.375 1.361 20 0 DDADMM Cc1cc(NC(=O)CN(C)C[C@H](C)C(=O)[O-])n(C(C)(C)C)n1 ZINC000738427066 600218035 /nfs/dbraw/zinc/21/80/35/600218035.db2.gz AQQSLUBKCFLDLS-JTQLQIEISA-N -1 1 310.398 1.538 20 0 DDADMM C[C@@H](Cc1ccc(Cl)cc1)N(C)CC(=O)NCCC(=O)[O-] ZINC000736621502 600262962 /nfs/dbraw/zinc/26/29/62/600262962.db2.gz BQDUZZYWKAQKOW-NSHDSACASA-N -1 1 312.797 1.794 20 0 DDADMM O=C(CN1CCC2(C[C@H]2C(=O)[O-])CC1)NC(C1CC1)C1CC1 ZINC000739278537 600288612 /nfs/dbraw/zinc/28/86/12/600288612.db2.gz OUVDHQUNBMGRIJ-ZDUSSCGKSA-N -1 1 306.406 1.478 20 0 DDADMM COc1ccc(OC)c(NC(=O)[C@H](C)N(C)C[C@@H](C)C(=O)[O-])c1 ZINC000738049078 600370127 /nfs/dbraw/zinc/37/01/27/600370127.db2.gz VAVSWABYRLUYLV-MNOVXSKESA-N -1 1 324.377 1.683 20 0 DDADMM C[C@@H](O)CN1CCN([C@@H](C(=O)[O-])c2cccc(Cl)c2)CC1 ZINC000736675082 600408109 /nfs/dbraw/zinc/40/81/09/600408109.db2.gz BHJDGGTXKPQRCN-BXUZGUMPSA-N -1 1 312.797 1.464 20 0 DDADMM CCc1cc(CNC(=O)Nc2cccc(OCC(=O)[O-])c2)n[nH]1 ZINC000737253474 600511429 /nfs/dbraw/zinc/51/14/29/600511429.db2.gz KIRXJQAHBOQXTP-UHFFFAOYSA-N -1 1 318.333 1.757 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CCC[C@@H]2CCCO)cc1 ZINC000738466210 600554832 /nfs/dbraw/zinc/55/48/32/600554832.db2.gz OKZVCEJSOISRDP-CQSZACIVSA-N -1 1 306.362 1.560 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000262699295 600586496 /nfs/dbraw/zinc/58/64/96/600586496.db2.gz YLCCIZXQDNTJKT-DZGCQCFKSA-N -1 1 304.390 1.830 20 0 DDADMM C[C@H](c1nc(-c2cccnc2)no1)N1CCC[C@@H](C(=O)[O-])C1 ZINC000736726650 600612534 /nfs/dbraw/zinc/61/25/34/600612534.db2.gz VXQALPKYRQTMEN-ZYHUDNBSSA-N -1 1 302.334 1.989 20 0 DDADMM CN1CCN(C(=O)c2cccc(C(=O)[O-])n2)C2(CCCCC2)C1 ZINC000830949771 600834154 /nfs/dbraw/zinc/83/41/54/600834154.db2.gz GFAAKNCVXPSDHG-UHFFFAOYSA-N -1 1 317.389 1.870 20 0 DDADMM O=C([O-])Cn1ccc(NC(=O)c2cn[nH]c2-c2ccccc2)n1 ZINC000833276865 600875026 /nfs/dbraw/zinc/87/50/26/600875026.db2.gz DYWUXWZTPMEGFF-UHFFFAOYSA-N -1 1 311.301 1.610 20 0 DDADMM Cc1nnc(SCC(=O)NC[C@H](C(=O)[O-])c2ccccc2)[nH]1 ZINC000832991433 600972657 /nfs/dbraw/zinc/97/26/57/600972657.db2.gz VQBFPOPNIZRKJJ-NSHDSACASA-N -1 1 320.374 1.190 20 0 DDADMM Cc1n[nH]c(SCC(=O)NC[C@H](C(=O)[O-])c2ccccc2)n1 ZINC000832991433 600972660 /nfs/dbraw/zinc/97/26/60/600972660.db2.gz VQBFPOPNIZRKJJ-NSHDSACASA-N -1 1 320.374 1.190 20 0 DDADMM C[C@@H](O)CCN(C)[C@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000827796351 601019345 /nfs/dbraw/zinc/01/93/45/601019345.db2.gz RONLQJTVOJPSTP-VXGBXAGGSA-N -1 1 308.378 1.092 20 0 DDADMM Cc1nc(CN(C)[C@H]2CCN(Cc3ccoc3C(=O)[O-])C2)no1 ZINC000738854154 601102045 /nfs/dbraw/zinc/10/20/45/601102045.db2.gz GCAPMKBHFQIWOJ-LBPRGKRZSA-N -1 1 320.349 1.376 20 0 DDADMM NC(=O)C1(NCC(=O)Nc2ccccc2C(=O)[O-])CCCCC1 ZINC000833082740 601133733 /nfs/dbraw/zinc/13/37/33/601133733.db2.gz QDQOSGCSRZELFK-UHFFFAOYSA-N -1 1 319.361 1.101 20 0 DDADMM Clc1ccc(N2CCCC3(C2)OCCO3)nc1-c1nnn[n-]1 ZINC000822578324 607493638 /nfs/dbraw/zinc/49/36/38/607493638.db2.gz GSTKJQPEXDPDJY-UHFFFAOYSA-N -1 1 322.756 1.258 20 0 DDADMM Clc1ccc(N2CCCC3(C2)OCCO3)nc1-c1nn[n-]n1 ZINC000822578324 607493641 /nfs/dbraw/zinc/49/36/41/607493641.db2.gz GSTKJQPEXDPDJY-UHFFFAOYSA-N -1 1 322.756 1.258 20 0 DDADMM CC(C)(CN[C@@H](C(=O)[O-])c1ccccc1F)S(C)(=O)=O ZINC000826606968 601368877 /nfs/dbraw/zinc/36/88/77/601368877.db2.gz CORQZBXHDQSDLD-LLVKDONJSA-N -1 1 303.355 1.364 20 0 DDADMM CCC1CCC(NCC(=O)Nc2cc(C)on2)(C(=O)[O-])CC1 ZINC000829101362 601496968 /nfs/dbraw/zinc/49/69/68/601496968.db2.gz OOHMMPOVIGCYPL-UHFFFAOYSA-N -1 1 309.366 1.935 20 0 DDADMM C[C@H]1CN(Cc2csc(-c3cnn(C)c3)n2)C[C@H]1C(=O)[O-] ZINC000828461841 601518419 /nfs/dbraw/zinc/51/84/19/601518419.db2.gz DGHHEMTXDLFNRF-JOYOIKCWSA-N -1 1 306.391 1.696 20 0 DDADMM C[C@H](C(=O)Nc1cc(F)ccc1F)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828422125 601527948 /nfs/dbraw/zinc/52/79/48/601527948.db2.gz HVXCYFITSYMSOW-KKZNHRDASA-N -1 1 312.316 1.944 20 0 DDADMM O=C([O-])C[C@@H]1CN(C(=O)c2c[nH]c3ccccc3c2=O)CCO1 ZINC000321432461 601738284 /nfs/dbraw/zinc/73/82/84/601738284.db2.gz PPPFXLXDXKYKTN-SNVBAGLBSA-N -1 1 316.313 1.256 20 0 DDADMM COC(=O)[C@H]1CN(Cn2nc(C(=O)[O-])c3ccccc32)C[C@@H]1C ZINC000831120167 601812912 /nfs/dbraw/zinc/81/29/12/601812912.db2.gz ZHZJNDZMJBTIKD-JQWIXIFHSA-N -1 1 317.345 1.433 20 0 DDADMM CCOc1ccc(CN(C)C(=O)CN[C@H](C(=O)[O-])C(C)C)cc1 ZINC000830075394 601823646 /nfs/dbraw/zinc/82/36/46/601823646.db2.gz RNFXFNPQPRQIFR-INIZCTEOSA-N -1 1 322.405 1.743 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2nnn[n-]2)c1)c1cccc(F)c1 ZINC000826487077 607531527 /nfs/dbraw/zinc/53/15/27/607531527.db2.gz CJALHUCSUVMANZ-UHFFFAOYSA-N -1 1 319.321 1.375 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2nn[n-]n2)c1)c1cccc(F)c1 ZINC000826487077 607531528 /nfs/dbraw/zinc/53/15/28/607531528.db2.gz CJALHUCSUVMANZ-UHFFFAOYSA-N -1 1 319.321 1.375 20 0 DDADMM CN(C)C(=O)[C@@H](c1ccccc1)N1CCSC[C@H]1CC(=O)[O-] ZINC000830542432 601920534 /nfs/dbraw/zinc/92/05/34/601920534.db2.gz ANNDGJHVRBRHHW-UKRRQHHQSA-N -1 1 322.430 1.708 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC000828024180 601923374 /nfs/dbraw/zinc/92/33/74/601923374.db2.gz KAHTZPMANCPDHJ-OAHLLOKOSA-N -1 1 318.333 1.004 20 0 DDADMM O=C([O-])CN(Cc1ccccc1)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000833249328 602018627 /nfs/dbraw/zinc/01/86/27/602018627.db2.gz CFQRFRQVPZPENS-ZDUSSCGKSA-N -1 1 313.357 1.943 20 0 DDADMM CC(C)OC(=O)c1ccc(Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000824309405 607541490 /nfs/dbraw/zinc/54/14/90/607541490.db2.gz NJXNRVXIEAHSSU-UHFFFAOYSA-N -1 1 313.321 1.072 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000740033108 602054021 /nfs/dbraw/zinc/05/40/21/602054021.db2.gz XWZVVWOYNBRDSP-ZDUSSCGKSA-N -1 1 323.397 1.435 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CC[C@H]([C@H]2CCOC2)C1 ZINC000826472414 607552089 /nfs/dbraw/zinc/55/20/89/607552089.db2.gz KBSDPALWCLXMMZ-UWVGGRQHSA-N -1 1 319.390 1.427 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CC[C@H]([C@H]2CCOC2)C1 ZINC000826472414 607552091 /nfs/dbraw/zinc/55/20/91/607552091.db2.gz KBSDPALWCLXMMZ-UWVGGRQHSA-N -1 1 319.390 1.427 20 0 DDADMM COc1coc(CN(CCC(=O)[O-])C[C@H]2CCCO2)cc1=O ZINC000832642966 602205059 /nfs/dbraw/zinc/20/50/59/602205059.db2.gz LTUCQKNMYWUGMY-LLVKDONJSA-N -1 1 311.334 1.104 20 0 DDADMM CN(CCCC(=O)NCc1n[nH]c(-c2ccccc2)n1)C(=O)[O-] ZINC000737427699 602291041 /nfs/dbraw/zinc/29/10/41/602291041.db2.gz UQVLXHDWXHWVGG-UHFFFAOYSA-N -1 1 317.349 1.478 20 0 DDADMM CN(CCCC(=O)NCc1nc(-c2ccccc2)n[nH]1)C(=O)[O-] ZINC000737427699 602291044 /nfs/dbraw/zinc/29/10/44/602291044.db2.gz UQVLXHDWXHWVGG-UHFFFAOYSA-N -1 1 317.349 1.478 20 0 DDADMM CN(CC1CCN(CC(=O)NC2CCCCC2)CC1)C(=O)[O-] ZINC000739659556 602387842 /nfs/dbraw/zinc/38/78/42/602387842.db2.gz DOVVBZZMMICHKY-UHFFFAOYSA-N -1 1 311.426 1.757 20 0 DDADMM C[C@H](CCNC(=O)[C@@H](Cc1ccccc1)N(C)C)NC(=O)[O-] ZINC000738896519 602458074 /nfs/dbraw/zinc/45/80/74/602458074.db2.gz FITCFYGNPUXWPZ-TZMCWYRMSA-N -1 1 307.394 1.322 20 0 DDADMM CC[C@H]1CCCCN1C(=O)CN1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000739265767 602535856 /nfs/dbraw/zinc/53/58/56/602535856.db2.gz DMSLFKNTSCDGHB-KBPBESRZSA-N -1 1 311.426 1.709 20 0 DDADMM Cc1cccc(CNC(=O)CN2CCC(CNC(=O)[O-])CC2)c1 ZINC000740169891 602550758 /nfs/dbraw/zinc/55/07/58/602550758.db2.gz IIGIUEBJLPAVSZ-UHFFFAOYSA-N -1 1 319.405 1.591 20 0 DDADMM CCN(C)[C@@H](C(=O)NCCN(CC)C(=O)[O-])c1ccccc1 ZINC000739422516 602572902 /nfs/dbraw/zinc/57/29/02/602572902.db2.gz DROMAPHYJSSOCN-CQSZACIVSA-N -1 1 307.394 1.796 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)c2cc(F)ccc2F)CC[C@@H]1NC(=O)[O-] ZINC000739179211 602775407 /nfs/dbraw/zinc/77/54/07/602775407.db2.gz LVBLOTYOPUQDJQ-BIGNPOOSSA-N -1 1 314.332 1.976 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NCC1(NC(=O)[O-])CCCCCC1 ZINC000739727316 602822821 /nfs/dbraw/zinc/82/28/21/602822821.db2.gz OBZIYXXNBHZKHM-CYBMUJFWSA-N -1 1 311.426 1.948 20 0 DDADMM CCc1cc(CNC(=O)N2CCC([C@H](C)NC(=O)[O-])CC2)n[nH]1 ZINC000739536219 602886208 /nfs/dbraw/zinc/88/62/08/602886208.db2.gz ONRBOLLIZZQFDP-JTQLQIEISA-N -1 1 323.397 1.550 20 0 DDADMM Cc1cc(CNC(=O)N[C@@H](CNC(=O)[O-])C2CCCCC2)n[nH]1 ZINC000740072346 602915292 /nfs/dbraw/zinc/91/52/92/602915292.db2.gz GATAPBDYVVIWOF-ZDUSSCGKSA-N -1 1 323.397 1.734 20 0 DDADMM CN(C)C(=O)[C@H](NC[C@H]1CCCCN1C(=O)[O-])c1ccccc1 ZINC000739593092 602929282 /nfs/dbraw/zinc/92/92/82/602929282.db2.gz AOSONTBEHPMTQZ-HUUCEWRRSA-N -1 1 319.405 1.938 20 0 DDADMM O=C([O-])NCc1n[nH]c(-c2ccc(NC(=O)C3CC3)cc2)n1 ZINC000740681415 603060356 /nfs/dbraw/zinc/06/03/56/603060356.db2.gz KBVPCDAODQLQMT-UHFFFAOYSA-N -1 1 301.306 1.588 20 0 DDADMM O=C([O-])NCc1nc(-c2ccc(NC(=O)C3CC3)cc2)n[nH]1 ZINC000740681415 603060362 /nfs/dbraw/zinc/06/03/62/603060362.db2.gz KBVPCDAODQLQMT-UHFFFAOYSA-N -1 1 301.306 1.588 20 0 DDADMM O=C([O-])N1CC[C@@H](N[C@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000740504982 603088784 /nfs/dbraw/zinc/08/87/84/603088784.db2.gz HMDXWGYQKBVEAF-YPMHNXCESA-N -1 1 323.780 1.787 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H](C(=O)N2CCN3CCCC[C@@H]3C2)C1 ZINC000740565505 603183652 /nfs/dbraw/zinc/18/36/52/603183652.db2.gz QVSMSVQNPWCTIV-MGPQQGTHSA-N -1 1 309.410 1.510 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N(C)CCCNC(=O)[O-] ZINC000739673349 603187015 /nfs/dbraw/zinc/18/70/15/603187015.db2.gz DKHYWINMFVISAV-AWEZNQCLSA-N -1 1 307.394 1.275 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@@H]1CCC[C@@H](NC(=O)[O-])C1 ZINC000739199156 603208433 /nfs/dbraw/zinc/20/84/33/603208433.db2.gz OSPHNFINDPFNEJ-KBUPBQIOSA-N -1 1 323.437 1.898 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)NCc1cccc(Cl)c1 ZINC000739683102 603254504 /nfs/dbraw/zinc/25/45/04/603254504.db2.gz ZHDRJLOUYJBUPS-UHFFFAOYSA-N -1 1 313.785 1.546 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCN(Cc3cscn3)CC2)C1 ZINC000740502170 603329621 /nfs/dbraw/zinc/32/96/21/603329621.db2.gz FDYOCKPVUJZECS-GFCCVEGCSA-N -1 1 310.423 1.261 20 0 DDADMM C[C@@H]1CN(Cn2nccc2-c2ccncc2)CC[C@H]1NC(=O)[O-] ZINC000739187983 603393444 /nfs/dbraw/zinc/39/34/44/603393444.db2.gz LBEVFSBYIAVKRG-TZMCWYRMSA-N -1 1 315.377 1.881 20 0 DDADMM CN(CC(C)(C)CNC(=O)[O-])C(=O)c1cccc2c[nH]nc21 ZINC000828151381 603510511 /nfs/dbraw/zinc/51/05/11/603510511.db2.gz VMSKNDUDZSWHJK-UHFFFAOYSA-N -1 1 304.350 1.929 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N2CCC(c3nc[nH]n3)CC2)cc1 ZINC000832828355 603562821 /nfs/dbraw/zinc/56/28/21/603562821.db2.gz GHMQKWLNZMJQKU-UHFFFAOYSA-N -1 1 315.333 1.914 20 0 DDADMM CCc1cc(CNC(=O)[C@H]2[C@@H](C)OC(C)(C)N2C(=O)[O-])n[nH]1 ZINC000827556231 603788217 /nfs/dbraw/zinc/78/82/17/603788217.db2.gz FWYMGZYJMOLJGP-LDYMZIIASA-N -1 1 310.354 1.092 20 0 DDADMM O=S(=O)([N-]CC(F)F)c1cccc(Cl)c1-c1nn[nH]n1 ZINC000826489099 607658549 /nfs/dbraw/zinc/65/85/49/607658549.db2.gz HOOWTIQLRVHANU-UHFFFAOYSA-N -1 1 323.712 1.064 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@H](C(=O)NCc2nc3ccc(F)cc3[nH]2)C1 ZINC000832278422 603936421 /nfs/dbraw/zinc/93/64/21/603936421.db2.gz NSBUTQORTQEWEK-WCBMZHEXSA-N -1 1 320.324 1.755 20 0 DDADMM C[C@@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)[C@H]1CNC(=O)[O-] ZINC000825907246 603952506 /nfs/dbraw/zinc/95/25/06/603952506.db2.gz XKCYCVOTVNGSGZ-GYSYKLTISA-N -1 1 320.393 1.724 20 0 DDADMM CC(C)[C@@H]1CC(=O)N(CN2CCC[C@H]([C@H](C)NC(=O)[O-])C2)C1 ZINC000824159231 604020899 /nfs/dbraw/zinc/02/08/99/604020899.db2.gz ZQYIEVWWJBNZDG-MELADBBJSA-N -1 1 311.426 1.817 20 0 DDADMM Cc1nn(C)c2ncc(CN3CCC[C@@H]3CN(C)C(=O)[O-])cc12 ZINC000830859615 604103602 /nfs/dbraw/zinc/10/36/02/604103602.db2.gz FGNOCSZCDGEDAE-CYBMUJFWSA-N -1 1 317.393 1.851 20 0 DDADMM COc1cccc(-c2cc(C(=O)N(C)C[C@@H](C)C(=O)[O-])n[nH]2)c1 ZINC000263099372 604271972 /nfs/dbraw/zinc/27/19/72/604271972.db2.gz CQJWXVKMMYINAG-SNVBAGLBSA-N -1 1 317.345 1.878 20 0 DDADMM CCOC(=O)N(C)C1CCN(Cc2c[nH]c(C(=O)[O-])c2)CC1 ZINC000833658875 604630985 /nfs/dbraw/zinc/63/09/85/604630985.db2.gz MTMRLFPKQAPEOL-UHFFFAOYSA-N -1 1 309.366 1.766 20 0 DDADMM Cc1cncc(/C=C\C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000832986663 604712799 /nfs/dbraw/zinc/71/27/99/604712799.db2.gz KEJGVLHSCMZFKW-NQHOJNORSA-N -1 1 317.389 1.411 20 0 DDADMM CC(C)N(C(=O)[C@H](C)N1CCC([C@H](O)C(=O)[O-])CC1)C(C)C ZINC000833402403 604977765 /nfs/dbraw/zinc/97/77/65/604977765.db2.gz LLSXMFFQBHRQDY-JSGCOSHPSA-N -1 1 314.426 1.178 20 0 DDADMM O=C([O-])[C@H](O)C1CCN(Cc2cccc3nsnc32)CC1 ZINC000833771238 604987303 /nfs/dbraw/zinc/98/73/03/604987303.db2.gz YNOQHYBKEVWAIS-CYBMUJFWSA-N -1 1 307.375 1.349 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1C[C@H]1C1CCCCC1 ZINC000833767945 605083261 /nfs/dbraw/zinc/08/32/61/605083261.db2.gz VIAFTWWIYHIULK-MJBXVCDLSA-N -1 1 305.378 1.738 20 0 DDADMM CCO[C@@H]1C[C@@H](NCc2cn(CC(=O)[O-])nn2)C1(CC)CC ZINC000833659976 605091024 /nfs/dbraw/zinc/09/10/24/605091024.db2.gz KELMAMRCDXRKBR-CHWSQXEVSA-N -1 1 310.398 1.436 20 0 DDADMM CN(Cc1ccc(C(=O)[O-])[nH]1)C[C@H]1COc2ccccc2O1 ZINC000833696250 605102195 /nfs/dbraw/zinc/10/21/95/605102195.db2.gz IZQDZSNOYBRXIF-LBPRGKRZSA-N -1 1 302.330 1.985 20 0 DDADMM CCN1C[C@H](C)N(C(=O)c2cccc(OCC(=O)[O-])c2)C[C@H]1C ZINC000833657118 605133970 /nfs/dbraw/zinc/13/39/70/605133970.db2.gz LFYSYNNASPVADJ-OLZOCXBDSA-N -1 1 320.389 1.705 20 0 DDADMM Cc1nc(COC(=O)[C@H](C)[C@@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830758311 605263450 /nfs/dbraw/zinc/26/34/50/605263450.db2.gz ZLEWZWJKRPXXAZ-NOZJJQNGSA-N -1 1 318.333 1.801 20 0 DDADMM CCc1cnccc1[C@@H](C)NCC(=O)N[C@H](CC(C)C)C(=O)[O-] ZINC000833672343 605270054 /nfs/dbraw/zinc/27/00/54/605270054.db2.gz AJSONFYPVRWAIV-IUODEOHRSA-N -1 1 321.421 1.910 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NC2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000830035880 605290541 /nfs/dbraw/zinc/29/05/41/605290541.db2.gz GQMHEGMBUNANHW-SECBINFHSA-N -1 1 309.370 1.091 20 0 DDADMM CCCN(C)CC(=O)Nc1cnc2c(c1)C[C@H](NC(=O)[O-])CC2 ZINC000833852787 605328680 /nfs/dbraw/zinc/32/86/80/605328680.db2.gz DXSSLEQTLLDRAZ-GFCCVEGCSA-N -1 1 320.393 1.487 20 0 DDADMM O=C([O-])NCc1ccc(C(=O)N[C@H]2CCc3nc[nH]c3C2)cc1 ZINC000832692609 605443129 /nfs/dbraw/zinc/44/31/29/605443129.db2.gz YWZMEOCFABTPHQ-LBPRGKRZSA-N -1 1 314.345 1.465 20 0 DDADMM O=C([O-])N1CC[C@@H](N(C(=O)c2ccc3[nH]nnc3c2)C2CC2)C1 ZINC000831934771 605467469 /nfs/dbraw/zinc/46/74/69/605467469.db2.gz MZDPSYPWAXPQQR-LLVKDONJSA-N -1 1 315.333 1.315 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3cc[nH]c3n2)CCN1CCC(=O)[O-] ZINC000833619190 605532849 /nfs/dbraw/zinc/53/28/49/605532849.db2.gz BKXUHKAULMKYSI-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM O=C([O-])N[C@@H]1CCN([C@@H]2CCCN(Cc3ccccc3)C2=O)C1 ZINC000834182964 605602171 /nfs/dbraw/zinc/60/21/71/605602171.db2.gz MDNCPBPJHXNIBV-HUUCEWRRSA-N -1 1 317.389 1.520 20 0 DDADMM O=C([O-])N1CCC[C@H](C(=O)Nc2ccccc2-c2nnc[nH]2)C1 ZINC000834056709 605710491 /nfs/dbraw/zinc/71/04/91/605710491.db2.gz UMLVXVSWDFGNKI-JTQLQIEISA-N -1 1 315.333 1.800 20 0 DDADMM O=C([O-])N[C@H](CC(=O)NCCN1CCC1)Cc1ccccc1 ZINC000834124819 605932322 /nfs/dbraw/zinc/93/23/22/605932322.db2.gz JISMDLTWVVGBCA-AWEZNQCLSA-N -1 1 305.378 1.077 20 0 DDADMM O=C([O-])N(CC(=O)N[C@@H]1CCc2nc[nH]c2C1)c1ccccc1 ZINC000834003795 605959593 /nfs/dbraw/zinc/95/95/93/605959593.db2.gz LLQXGMWLTFYHAJ-LLVKDONJSA-N -1 1 314.345 1.568 20 0 DDADMM Cn1c(CN[C@@H]2CCC[C@H]2NC(=O)[O-])nc2cc(F)ccc21 ZINC000833941555 605986742 /nfs/dbraw/zinc/98/67/42/605986742.db2.gz BPNUARQBQPDHNX-GHMZBOCLSA-N -1 1 306.341 1.991 20 0 DDADMM O=C([O-])N[C@H](CC(F)F)C(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000834136201 606035144 /nfs/dbraw/zinc/03/51/44/606035144.db2.gz AIXDCNALUUHHTI-RXMQYKEDSA-N -1 1 315.236 1.295 20 0 DDADMM O=C([O-])N1CCC(CCC(=O)N2CCN(C3CCC3)CC2)CC1 ZINC000834075281 606050789 /nfs/dbraw/zinc/05/07/89/606050789.db2.gz KHYRKBJRUTZMGP-UHFFFAOYSA-N -1 1 323.437 1.853 20 0 DDADMM Cn1ccc(C(=O)COC(=O)c2ccc(-c3nnn[n-]3)s2)c1 ZINC000822685450 606136658 /nfs/dbraw/zinc/13/66/58/606136658.db2.gz ZYOOXRVRTKLGFA-UHFFFAOYSA-N -1 1 317.330 1.306 20 0 DDADMM Cn1ccc(C(=O)COC(=O)c2ccc(-c3nn[n-]n3)s2)c1 ZINC000822685450 606136659 /nfs/dbraw/zinc/13/66/59/606136659.db2.gz ZYOOXRVRTKLGFA-UHFFFAOYSA-N -1 1 317.330 1.306 20 0 DDADMM CC[C@@]1(C)CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820951404 606160700 /nfs/dbraw/zinc/16/07/00/606160700.db2.gz ZVEOKPINTICABV-AWEZNQCLSA-N -1 1 318.385 1.644 20 0 DDADMM CC[C@@]1(C)CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820951404 606160701 /nfs/dbraw/zinc/16/07/01/606160701.db2.gz ZVEOKPINTICABV-AWEZNQCLSA-N -1 1 318.385 1.644 20 0 DDADMM C[C@H]1CC(NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C[C@H](C)C1 ZINC000820649083 606160813 /nfs/dbraw/zinc/16/08/13/606160813.db2.gz IKJNHJUQYBAJLD-RKDXNWHRSA-N -1 1 318.385 1.546 20 0 DDADMM C[C@H]1CC(NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C[C@H](C)C1 ZINC000820649083 606160815 /nfs/dbraw/zinc/16/08/15/606160815.db2.gz IKJNHJUQYBAJLD-RKDXNWHRSA-N -1 1 318.385 1.546 20 0 DDADMM C[C@H](NC(=O)Nc1nn(C)cc1-c1nnn[n-]1)c1cccs1 ZINC000820379594 606165147 /nfs/dbraw/zinc/16/51/47/606165147.db2.gz DTQQXGPMVGIOIF-ZETCQYMHSA-N -1 1 318.366 1.544 20 0 DDADMM C[C@H](NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)c1cccs1 ZINC000820379594 606165149 /nfs/dbraw/zinc/16/51/49/606165149.db2.gz DTQQXGPMVGIOIF-ZETCQYMHSA-N -1 1 318.366 1.544 20 0 DDADMM CC1(C)[C@@H]2OCC[C@@H]2[C@@H]1OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820583260 606179857 /nfs/dbraw/zinc/17/98/57/606179857.db2.gz BOTGDWCOJWTTJK-XROYCOCOSA-N -1 1 320.374 1.899 20 0 DDADMM CC1(C)[C@@H]2OCC[C@@H]2[C@@H]1OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820583260 606179860 /nfs/dbraw/zinc/17/98/60/606179860.db2.gz BOTGDWCOJWTTJK-XROYCOCOSA-N -1 1 320.374 1.899 20 0 DDADMM Cn1cc(Cl)cc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822680398 606294391 /nfs/dbraw/zinc/29/43/91/606294391.db2.gz NRJKJLHHRKBCOE-UHFFFAOYSA-N -1 1 318.724 1.817 20 0 DDADMM C[C@H]1CC[C@H](C(N)=O)CN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820683972 606456934 /nfs/dbraw/zinc/45/69/34/606456934.db2.gz KHKFLKCVSQNBAB-YUMQZZPRSA-N -1 1 321.772 1.005 20 0 DDADMM C[C@H]1CC[C@H](C(N)=O)CN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820683972 606456935 /nfs/dbraw/zinc/45/69/35/606456935.db2.gz KHKFLKCVSQNBAB-YUMQZZPRSA-N -1 1 321.772 1.005 20 0 DDADMM NC(=O)C1(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)CCCC1 ZINC000822860600 606467744 /nfs/dbraw/zinc/46/77/44/606467744.db2.gz ZBUARHOAGVBEHJ-UHFFFAOYSA-N -1 1 321.772 1.373 20 0 DDADMM NC(=O)C1(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)CCCC1 ZINC000822860600 606467746 /nfs/dbraw/zinc/46/77/46/606467746.db2.gz ZBUARHOAGVBEHJ-UHFFFAOYSA-N -1 1 321.772 1.373 20 0 DDADMM CS[C@H](CO)[C@@H](C)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821950196 606468570 /nfs/dbraw/zinc/46/85/70/606468570.db2.gz LRKDHZQLHZIBCZ-HTRCEHHLSA-N -1 1 314.802 1.439 20 0 DDADMM CS[C@H](CO)[C@@H](C)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821950196 606468571 /nfs/dbraw/zinc/46/85/71/606468571.db2.gz LRKDHZQLHZIBCZ-HTRCEHHLSA-N -1 1 314.802 1.439 20 0 DDADMM O=C(Nc1nnc2ccccn21)c1ccc(-c2nnn[n-]2)s1 ZINC000823382915 606509276 /nfs/dbraw/zinc/50/92/76/606509276.db2.gz GNUJSFNKWNDBSV-UHFFFAOYSA-N -1 1 312.318 1.223 20 0 DDADMM O=C(Nc1nnc2ccccn21)c1ccc(-c2nn[n-]n2)s1 ZINC000823382915 606509278 /nfs/dbraw/zinc/50/92/78/606509278.db2.gz GNUJSFNKWNDBSV-UHFFFAOYSA-N -1 1 312.318 1.223 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(-c2nnn[n-]2)s1)Cn1cccn1 ZINC000820269652 606525962 /nfs/dbraw/zinc/52/59/62/606525962.db2.gz NBOQCNANDVGCOM-VIFPVBQESA-N -1 1 317.378 1.191 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(-c2nn[n-]n2)s1)Cn1cccn1 ZINC000820269652 606525963 /nfs/dbraw/zinc/52/59/63/606525963.db2.gz NBOQCNANDVGCOM-VIFPVBQESA-N -1 1 317.378 1.191 20 0 DDADMM O=C(CCc1ccc(F)cc1)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000822978364 606532942 /nfs/dbraw/zinc/53/29/42/606532942.db2.gz HYDHYLZOFGZQJR-UHFFFAOYSA-N -1 1 301.285 1.300 20 0 DDADMM O=C(CCc1ccc(F)cc1)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000822978364 606532943 /nfs/dbraw/zinc/53/29/43/606532943.db2.gz HYDHYLZOFGZQJR-UHFFFAOYSA-N -1 1 301.285 1.300 20 0 DDADMM CCN(C[C@@H]1CCOC1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821207854 606534238 /nfs/dbraw/zinc/53/42/38/606534238.db2.gz WBBJORQAFOUWOK-VIFPVBQESA-N -1 1 307.379 1.427 20 0 DDADMM CCN(C[C@@H]1CCOC1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821207854 606534240 /nfs/dbraw/zinc/53/42/40/606534240.db2.gz WBBJORQAFOUWOK-VIFPVBQESA-N -1 1 307.379 1.427 20 0 DDADMM Cc1noc(C)c1N(C)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822524068 606579380 /nfs/dbraw/zinc/57/93/80/606579380.db2.gz XDJYWOUAJMLEFC-UHFFFAOYSA-N -1 1 304.335 1.810 20 0 DDADMM Cc1noc(C)c1N(C)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822524068 606579382 /nfs/dbraw/zinc/57/93/82/606579382.db2.gz XDJYWOUAJMLEFC-UHFFFAOYSA-N -1 1 304.335 1.810 20 0 DDADMM O=C(NCCc1ccc(O)cc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823224295 606591816 /nfs/dbraw/zinc/59/18/16/606591816.db2.gz WUOIESNPUCBWSC-UHFFFAOYSA-N -1 1 315.358 1.606 20 0 DDADMM O=C(NCCc1ccc(O)cc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823224295 606591818 /nfs/dbraw/zinc/59/18/18/606591818.db2.gz WUOIESNPUCBWSC-UHFFFAOYSA-N -1 1 315.358 1.606 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1(C)C ZINC000821678275 606605197 /nfs/dbraw/zinc/60/51/97/606605197.db2.gz MAKLSKGCGAISIC-UWVGGRQHSA-N -1 1 307.379 1.472 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1(C)C ZINC000821678275 606605199 /nfs/dbraw/zinc/60/51/99/606605199.db2.gz MAKLSKGCGAISIC-UWVGGRQHSA-N -1 1 307.379 1.472 20 0 DDADMM O=C(CCc1cscn1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822982372 606651593 /nfs/dbraw/zinc/65/15/93/606651593.db2.gz DXIRSZJAGDDXAG-UHFFFAOYSA-N -1 1 316.346 1.394 20 0 DDADMM O=C(CCc1cscn1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822982372 606651594 /nfs/dbraw/zinc/65/15/94/606651594.db2.gz DXIRSZJAGDDXAG-UHFFFAOYSA-N -1 1 316.346 1.394 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)NCc1cccc(Cl)c1 ZINC000823123085 606655203 /nfs/dbraw/zinc/65/52/03/606655203.db2.gz NDSHKYLRRMHTTM-UHFFFAOYSA-N -1 1 317.740 1.033 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)NCc1cccc(Cl)c1 ZINC000823123085 606655204 /nfs/dbraw/zinc/65/52/04/606655204.db2.gz NDSHKYLRRMHTTM-UHFFFAOYSA-N -1 1 317.740 1.033 20 0 DDADMM COC(=O)CCCSc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821661668 606729139 /nfs/dbraw/zinc/72/91/39/606729139.db2.gz TTXNFPWLHBFYLG-UHFFFAOYSA-N -1 1 313.770 1.960 20 0 DDADMM COC(=O)CCCSc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821661668 606729143 /nfs/dbraw/zinc/72/91/43/606729143.db2.gz TTXNFPWLHBFYLG-UHFFFAOYSA-N -1 1 313.770 1.960 20 0 DDADMM CC(C)CC1(CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000820062186 606835786 /nfs/dbraw/zinc/83/57/86/606835786.db2.gz IZCCTOCQUYWDNT-UHFFFAOYSA-N -1 1 318.385 1.548 20 0 DDADMM CC(C)CC1(CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000820062186 606835788 /nfs/dbraw/zinc/83/57/88/606835788.db2.gz IZCCTOCQUYWDNT-UHFFFAOYSA-N -1 1 318.385 1.548 20 0 DDADMM COC(=O)c1cccc(CNc2cccc(-c3nnn[n-]3)n2)c1 ZINC000821669833 606877349 /nfs/dbraw/zinc/87/73/49/606877349.db2.gz AACQPFQJXBPKIQ-UHFFFAOYSA-N -1 1 310.317 1.660 20 0 DDADMM COC(=O)c1cccc(CNc2cccc(-c3nn[n-]n3)n2)c1 ZINC000821669833 606877350 /nfs/dbraw/zinc/87/73/50/606877350.db2.gz AACQPFQJXBPKIQ-UHFFFAOYSA-N -1 1 310.317 1.660 20 0 DDADMM FC(F)(F)Cn1cc(CNc2cccc(-c3nnn[n-]3)n2)cn1 ZINC000822718469 606881412 /nfs/dbraw/zinc/88/14/12/606881412.db2.gz JFRURFCQDLQSAR-UHFFFAOYSA-N -1 1 324.270 1.633 20 0 DDADMM FC(F)(F)Cn1cc(CNc2cccc(-c3nn[n-]n3)n2)cn1 ZINC000822718469 606881414 /nfs/dbraw/zinc/88/14/14/606881414.db2.gz JFRURFCQDLQSAR-UHFFFAOYSA-N -1 1 324.270 1.633 20 0 DDADMM O[C@@]12CCCC[C@H]1CN(c1cccc(-c3nnn[n-]3)n1)CC2 ZINC000823697466 607034424 /nfs/dbraw/zinc/03/44/24/607034424.db2.gz LKBLIAPIKQWXLQ-XHDPSFHLSA-N -1 1 300.366 1.393 20 0 DDADMM O[C@@]12CCCC[C@H]1CN(c1cccc(-c3nn[n-]n3)n1)CC2 ZINC000823697466 607034425 /nfs/dbraw/zinc/03/44/25/607034425.db2.gz LKBLIAPIKQWXLQ-XHDPSFHLSA-N -1 1 300.366 1.393 20 0 DDADMM COC(=O)[C@]1(C)CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000821653771 607120484 /nfs/dbraw/zinc/12/04/84/607120484.db2.gz KMVYKNYILOTHQR-CYBMUJFWSA-N -1 1 322.756 1.305 20 0 DDADMM COC(=O)[C@]1(C)CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000821653771 607120487 /nfs/dbraw/zinc/12/04/87/607120487.db2.gz KMVYKNYILOTHQR-CYBMUJFWSA-N -1 1 322.756 1.305 20 0 DDADMM OC[C@H](CC1CC1)Nc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000826507410 607850726 /nfs/dbraw/zinc/85/07/26/607850726.db2.gz NVCUVHLBVLNKMU-NSHDSACASA-N -1 1 310.361 1.988 20 0 DDADMM OC[C@H](CC1CC1)Nc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000826507410 607850727 /nfs/dbraw/zinc/85/07/27/607850727.db2.gz NVCUVHLBVLNKMU-NSHDSACASA-N -1 1 310.361 1.988 20 0 DDADMM O=S(=O)(Oc1cccc(F)c1-c1nnn[n-]1)c1ccccc1 ZINC000826493608 607867872 /nfs/dbraw/zinc/86/78/72/607867872.db2.gz SVBDTEDLKPWJMM-UHFFFAOYSA-N -1 1 320.305 1.774 20 0 DDADMM O=S(=O)(Oc1cccc(F)c1-c1nn[n-]n1)c1ccccc1 ZINC000826493608 607867873 /nfs/dbraw/zinc/86/78/73/607867873.db2.gz SVBDTEDLKPWJMM-UHFFFAOYSA-N -1 1 320.305 1.774 20 0 DDADMM CCOC(=O)[C@@H]1CCCN(c2cccc(-c3nnn[n-]3)n2)C1 ZINC000825499974 607901964 /nfs/dbraw/zinc/90/19/64/607901964.db2.gz ZHMQJYLVGYXXRU-SNVBAGLBSA-N -1 1 302.338 1.041 20 0 DDADMM CCOC(=O)[C@@H]1CCCN(c2cccc(-c3nn[n-]n3)n2)C1 ZINC000825499974 607901965 /nfs/dbraw/zinc/90/19/65/607901965.db2.gz ZHMQJYLVGYXXRU-SNVBAGLBSA-N -1 1 302.338 1.041 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)NC1CCCCCCC1 ZINC000826425198 608012982 /nfs/dbraw/zinc/01/29/82/608012982.db2.gz TYMFMBQKTONRTI-UHFFFAOYSA-N -1 1 303.370 1.292 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)NC1CCCCCCC1 ZINC000826425198 608012983 /nfs/dbraw/zinc/01/29/83/608012983.db2.gz TYMFMBQKTONRTI-UHFFFAOYSA-N -1 1 303.370 1.292 20 0 DDADMM Oc1cccc([C@H]2CN(c3cccc(-c4nnn[n-]4)n3)CCO2)c1 ZINC000826511352 608016138 /nfs/dbraw/zinc/01/61/38/608016138.db2.gz LYYLPGQMIDGNOR-CQSZACIVSA-N -1 1 324.344 1.545 20 0 DDADMM Oc1cccc([C@H]2CN(c3cccc(-c4nn[n-]n4)n3)CCO2)c1 ZINC000826511352 608016139 /nfs/dbraw/zinc/01/61/39/608016139.db2.gz LYYLPGQMIDGNOR-CQSZACIVSA-N -1 1 324.344 1.545 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCOCC12CCCC2 ZINC000826472842 608102983 /nfs/dbraw/zinc/10/29/83/608102983.db2.gz YNPKAGXIEPCTSC-UHFFFAOYSA-N -1 1 319.390 1.713 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCOCC12CCCC2 ZINC000826472842 608102984 /nfs/dbraw/zinc/10/29/84/608102984.db2.gz YNPKAGXIEPCTSC-UHFFFAOYSA-N -1 1 319.390 1.713 20 0 DDADMM COC(=O)[C@H](C)CSc1snc(Cl)c1-c1nnn[n-]1 ZINC000826102913 608183770 /nfs/dbraw/zinc/18/37/70/608183770.db2.gz AJZQXQRGONDWDP-SCSAIBSYSA-N -1 1 319.799 1.878 20 0 DDADMM COC(=O)[C@H](C)CSc1snc(Cl)c1-c1nn[n-]n1 ZINC000826102913 608183772 /nfs/dbraw/zinc/18/37/72/608183772.db2.gz AJZQXQRGONDWDP-SCSAIBSYSA-N -1 1 319.799 1.878 20 0 DDADMM C[C@@]1(Nc2snc(Cl)c2-c2nnn[n-]2)CCCOC1 ZINC000824838220 608244660 /nfs/dbraw/zinc/24/46/60/608244660.db2.gz NLQPWYZONRWAGR-SNVBAGLBSA-N -1 1 300.775 1.958 20 0 DDADMM C[C@@]1(Nc2snc(Cl)c2-c2nn[n-]n2)CCCOC1 ZINC000824838220 608244662 /nfs/dbraw/zinc/24/46/62/608244662.db2.gz NLQPWYZONRWAGR-SNVBAGLBSA-N -1 1 300.775 1.958 20 0 DDADMM Clc1ccc(NCCc2cnccn2)nc1-c1nnn[n-]1 ZINC000826353052 608415379 /nfs/dbraw/zinc/41/53/79/608415379.db2.gz ZFEQKEACPUFCDA-UHFFFAOYSA-N -1 1 302.729 1.360 20 0 DDADMM Clc1ccc(NCCc2cnccn2)nc1-c1nn[n-]n1 ZINC000826353052 608415381 /nfs/dbraw/zinc/41/53/81/608415381.db2.gz ZFEQKEACPUFCDA-UHFFFAOYSA-N -1 1 302.729 1.360 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@@H]1[C@H]2CCO[C@H]2C12CCCC2 ZINC000826514348 608438236 /nfs/dbraw/zinc/43/82/36/608438236.db2.gz ORNWVPKQAPXLMQ-OASPWFOLSA-N -1 1 313.365 1.416 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@@H]1[C@H]2CCO[C@H]2C12CCCC2 ZINC000826514348 608438237 /nfs/dbraw/zinc/43/82/37/608438237.db2.gz ORNWVPKQAPXLMQ-OASPWFOLSA-N -1 1 313.365 1.416 20 0 DDADMM Cc1ccc(-c2nnn[n-]2)nc1N1CCOC2(CCCC2)C1 ZINC000826280531 608546038 /nfs/dbraw/zinc/54/60/38/608546038.db2.gz FQMYXLXRGLRSDA-UHFFFAOYSA-N -1 1 300.366 1.720 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1N1CCOC2(CCCC2)C1 ZINC000826280531 608546039 /nfs/dbraw/zinc/54/60/39/608546039.db2.gz FQMYXLXRGLRSDA-UHFFFAOYSA-N -1 1 300.366 1.720 20 0 DDADMM CC(C)OC(=O)[C@@H](C)N(C)Cc1ccc(-c2nn[n-]n2)s1 ZINC000824305016 608739052 /nfs/dbraw/zinc/73/90/52/608739052.db2.gz JDUUHAQJRUKUOQ-SECBINFHSA-N -1 1 309.395 1.700 20 0 DDADMM CN(Cc1cc(F)c(F)c(F)c1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825953348 609171419 /nfs/dbraw/zinc/17/14/19/609171419.db2.gz GNDRGNYAMFXEPJ-UHFFFAOYSA-N -1 1 321.266 1.710 20 0 DDADMM CN(Cc1cc(F)c(F)c(F)c1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825953348 609171422 /nfs/dbraw/zinc/17/14/22/609171422.db2.gz GNDRGNYAMFXEPJ-UHFFFAOYSA-N -1 1 321.266 1.710 20 0 DDADMM O=C(OCc1ccc(Cl)cn1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826465434 609347137 /nfs/dbraw/zinc/34/71/37/609347137.db2.gz NECCCSYUHCAJTB-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C(OCc1ccc(Cl)cn1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826465434 609347138 /nfs/dbraw/zinc/34/71/38/609347138.db2.gz NECCCSYUHCAJTB-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM C[C@H]1C[C@@H](COC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824883855 609388366 /nfs/dbraw/zinc/38/83/66/609388366.db2.gz JMJZYVCEAGKKGL-IUCAKERBSA-N -1 1 308.363 1.900 20 0 DDADMM C[C@H]1C[C@@H](COC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824883855 609388367 /nfs/dbraw/zinc/38/83/67/609388367.db2.gz JMJZYVCEAGKKGL-IUCAKERBSA-N -1 1 308.363 1.900 20 0 DDADMM CC(C)(C)OC(=O)N1CCCN1c1cccc(-c2nnn[n-]2)n1 ZINC000824103662 609551738 /nfs/dbraw/zinc/55/17/38/609551738.db2.gz GDPPXXIKAJEDBN-UHFFFAOYSA-N -1 1 317.353 1.624 20 0 DDADMM CC(C)(C)OC(=O)N1CCCN1c1cccc(-c2nn[n-]n2)n1 ZINC000824103662 609551740 /nfs/dbraw/zinc/55/17/40/609551740.db2.gz GDPPXXIKAJEDBN-UHFFFAOYSA-N -1 1 317.353 1.624 20 0 DDADMM Cc1nc2c(s1)[C@@H](Nc1nccnc1-c1nnn[n-]1)CCC2 ZINC000826328488 609566344 /nfs/dbraw/zinc/56/63/44/609566344.db2.gz SJUPFWHFKOFDHQ-VIFPVBQESA-N -1 1 314.378 1.911 20 0 DDADMM Cc1nc2c(s1)[C@@H](Nc1nccnc1-c1nn[n-]n1)CCC2 ZINC000826328488 609566346 /nfs/dbraw/zinc/56/63/46/609566346.db2.gz SJUPFWHFKOFDHQ-VIFPVBQESA-N -1 1 314.378 1.911 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NCC[C@@H]1CCOC1 ZINC000826523505 609604563 /nfs/dbraw/zinc/60/45/63/609604563.db2.gz OQMQLPRJYGEAGZ-SNVBAGLBSA-N -1 1 311.349 1.648 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NCC[C@@H]1CCOC1 ZINC000826523505 609604565 /nfs/dbraw/zinc/60/45/65/609604565.db2.gz OQMQLPRJYGEAGZ-SNVBAGLBSA-N -1 1 311.349 1.648 20 0 DDADMM Cc1ncc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)c(C)n1 ZINC000826330112 609606844 /nfs/dbraw/zinc/60/68/44/609606844.db2.gz FZMNZJGZBWYCEF-UHFFFAOYSA-N -1 1 311.305 1.026 20 0 DDADMM Cc1ncc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)c(C)n1 ZINC000826330112 609606846 /nfs/dbraw/zinc/60/68/46/609606846.db2.gz FZMNZJGZBWYCEF-UHFFFAOYSA-N -1 1 311.305 1.026 20 0 DDADMM C[C@H]1C[C@H](CCNC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824874537 609609783 /nfs/dbraw/zinc/60/97/83/609609783.db2.gz DNQAWLNBXVQDLF-VHSXEESVSA-N -1 1 321.406 1.863 20 0 DDADMM C[C@H]1C[C@H](CCNC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824874537 609609784 /nfs/dbraw/zinc/60/97/84/609609784.db2.gz DNQAWLNBXVQDLF-VHSXEESVSA-N -1 1 321.406 1.863 20 0 DDADMM Cc1nccc(C(=O)Nc2nc(Br)ccc2[O-])n1 ZINC000121574756 696712333 /nfs/dbraw/zinc/71/23/33/696712333.db2.gz QYRPDTRVHCCSHL-UHFFFAOYSA-N -1 1 309.123 1.900 20 0 DDADMM O=C(NC1CC1)c1cccc(OS(=O)(=O)c2c[n-]cn2)c1 ZINC000121702572 696714610 /nfs/dbraw/zinc/71/46/10/696714610.db2.gz UYHXZYRXACXWLP-UHFFFAOYSA-N -1 1 307.331 1.070 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)[C@@H]2CC=CCC2)C1)c1ncccc1[O-] ZINC000972958950 695379518 /nfs/dbraw/zinc/37/95/18/695379518.db2.gz XSMBKXNYMLFFJI-JHJVBQTASA-N -1 1 315.373 1.521 20 0 DDADMM CC(=O)N1CC[C@@H]2[C@H](CCCN2C(=O)c2ncccc2[O-])C1 ZINC000973716379 695518652 /nfs/dbraw/zinc/51/86/52/695518652.db2.gz CRNXKABCEIFTIK-CHWSQXEVSA-N -1 1 303.362 1.260 20 0 DDADMM Cn1[n-]c(COC(=O)CO[C@@H]2CCCc3ccccc32)nc1=O ZINC000797491973 700012054 /nfs/dbraw/zinc/01/20/54/700012054.db2.gz VRKMDXNFXRIRGM-CYBMUJFWSA-N -1 1 317.345 1.246 20 0 DDADMM CC(C)NS(=O)(=O)CCNC(=O)c1c([O-])cccc1Cl ZINC000746399612 700022717 /nfs/dbraw/zinc/02/27/17/700022717.db2.gz ONTFWPSAQWEHSB-UHFFFAOYSA-N -1 1 320.798 1.103 20 0 DDADMM O=C([O-])[C@H]1CCCCCN1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000797723657 700020930 /nfs/dbraw/zinc/02/09/30/700020930.db2.gz DJMJIYYVBJKTES-NWDGAFQWSA-N -1 1 306.366 1.826 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C[C@H](C)C(=O)[O-])c1Br ZINC000746374018 700021063 /nfs/dbraw/zinc/02/10/63/700021063.db2.gz RFCOJDYHPNCUIN-YFKPBYRVSA-N -1 1 304.144 1.273 20 0 DDADMM CSc1c(F)cccc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000797865379 700028229 /nfs/dbraw/zinc/02/82/29/700028229.db2.gz VGRANGHHGOGJTA-JTQLQIEISA-N -1 1 323.353 1.274 20 0 DDADMM O=C(c1cc2ccccc2o1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798146180 700044085 /nfs/dbraw/zinc/04/40/85/700044085.db2.gz YJQDKWTZFRUFSR-UHFFFAOYSA-N -1 1 313.317 1.070 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000977158443 696064393 /nfs/dbraw/zinc/06/43/93/696064393.db2.gz KNYMAPDCEDRTDG-IAZYJMLFSA-N -1 1 303.362 1.020 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1cc[nH]c1)C(=O)c1ncccc1[O-] ZINC000977596074 696227516 /nfs/dbraw/zinc/22/75/16/696227516.db2.gz AQHLJZDQICGGCI-GFCCVEGCSA-N -1 1 314.345 1.102 20 0 DDADMM CC1CC(C(=O)N2CC[C@@H]2CN(C)C(=O)c2ncccc2[O-])C1 ZINC000977619420 696237039 /nfs/dbraw/zinc/23/70/39/696237039.db2.gz QOGMKXGQCWPOQN-WXRRBKDZSA-N -1 1 317.389 1.506 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2cscn2)cc1 ZINC000063544223 696329008 /nfs/dbraw/zinc/32/90/08/696329008.db2.gz USWIGEGNOYWLSI-UHFFFAOYSA-N -1 1 320.326 1.265 20 0 DDADMM COc1cccc(C(=O)N[N-]C(=O)c2sc(C)nc2C)c1O ZINC000065113616 696343700 /nfs/dbraw/zinc/34/37/00/696343700.db2.gz HKXNUAVPAPYOPT-UHFFFAOYSA-N -1 1 321.358 1.549 20 0 DDADMM CCn1c(CNC(=O)[C@H](C)c2c(C)nn(C)c2C)n[n-]c1=S ZINC000066636313 696354339 /nfs/dbraw/zinc/35/43/39/696354339.db2.gz UFGHFHGXDADXRE-MRVPVSSYSA-N -1 1 322.438 1.731 20 0 DDADMM CNC(=O)C1(C(=O)NCc2n[n-]c(=S)n2C(C)C)CCC1 ZINC000066640440 696354808 /nfs/dbraw/zinc/35/48/08/696354808.db2.gz VQVLKKXTYAVAOR-UHFFFAOYSA-N -1 1 311.411 1.054 20 0 DDADMM CCn1c(CCNC(=O)c2cccnc2N(C)C)n[n-]c1=S ZINC000067076159 696359028 /nfs/dbraw/zinc/35/90/28/696359028.db2.gz RCIPRVPFIMJZET-UHFFFAOYSA-N -1 1 320.422 1.394 20 0 DDADMM CC(C)(C)[C@H](NC(=O)Cc1sc(N)nc1[O-])C(F)(F)F ZINC000073133754 696401240 /nfs/dbraw/zinc/40/12/40/696401240.db2.gz CLMFNQKNMZCEJF-XRGYYRRGSA-N -1 1 311.329 1.426 20 0 DDADMM CN(C)c1nc([O-])c(CC(=O)Nc2ccc(N(C)C)cc2)s1 ZINC000077587947 696441709 /nfs/dbraw/zinc/44/17/09/696441709.db2.gz WXOJBLHOPKCMRN-GFCCVEGCSA-N -1 1 320.418 1.641 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(C#N)cc1 ZINC000079484600 696460773 /nfs/dbraw/zinc/46/07/73/696460773.db2.gz UHPUNHNRIJPKTR-KWQFWETISA-N -1 1 302.359 1.082 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccc(Cl)c(F)c2)s1 ZINC000079601278 696462092 /nfs/dbraw/zinc/46/20/92/696462092.db2.gz ZAXZTDMFXLDYRO-MRVPVSSYSA-N -1 1 301.730 1.764 20 0 DDADMM CC(C)(CNC(=O)Cc1sc(N)nc1[O-])c1ccc(F)cc1 ZINC000080005372 696466002 /nfs/dbraw/zinc/46/60/02/696466002.db2.gz PFMMLNJBHXVVBD-NSHDSACASA-N -1 1 323.393 1.566 20 0 DDADMM CN1CCCc2cc(NC(=O)Cc3sc(N)nc3[O-])ccc21 ZINC000080182051 696531254 /nfs/dbraw/zinc/53/12/54/696531254.db2.gz QQRRBKQMUSZFJI-LBPRGKRZSA-N -1 1 318.402 1.354 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCOCC1CC1 ZINC000080497734 696534972 /nfs/dbraw/zinc/53/49/72/696534972.db2.gz OAHXDVXETNKEPZ-UHFFFAOYSA-N -1 1 307.394 1.748 20 0 DDADMM O=C(N[C@H]1C[C@H](CNC(=O)C2CCCC2)C1)c1ncccc1[O-] ZINC000979386786 696543238 /nfs/dbraw/zinc/54/32/38/696543238.db2.gz CHKYPVSUGXQIIX-AULYBMBSSA-N -1 1 317.389 1.602 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@@H](C)O1 ZINC000081718081 696546960 /nfs/dbraw/zinc/54/69/60/696546960.db2.gz NNAZVSFIILGXOH-ZYHUDNBSSA-N -1 1 307.394 1.841 20 0 DDADMM CC[C@H](C#N)OC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000084710296 696559684 /nfs/dbraw/zinc/55/96/84/696559684.db2.gz CHIHZGTVISXZHZ-SECBINFHSA-N -1 1 314.363 1.815 20 0 DDADMM CCCC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H]2C1 ZINC000979603788 696608594 /nfs/dbraw/zinc/60/85/94/696608594.db2.gz IYDMPLWLWPOOEB-RWMBFGLXSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1C[C@H]1c1cccc2ccccc21 ZINC000107759524 696631877 /nfs/dbraw/zinc/63/18/77/696631877.db2.gz WUYPQNQBPABTPB-KBPBESRZSA-N -1 1 309.325 1.526 20 0 DDADMM CN(CCNC(=O)c1nnc2ccccc2c1O)c1ccccc1 ZINC000109935314 696638642 /nfs/dbraw/zinc/63/86/42/696638642.db2.gz SKZGSGWQMNBPKB-UHFFFAOYSA-N -1 1 322.368 1.789 20 0 DDADMM O=C(NCC[S@](=O)Cc1ccccc1)c1ncccc1[O-] ZINC000116976220 696669679 /nfs/dbraw/zinc/66/96/79/696669679.db2.gz ZIDOIFMLOBQKGK-OAQYLSRUSA-N -1 1 304.371 1.466 20 0 DDADMM CC[C@H](CNC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000120831675 696702136 /nfs/dbraw/zinc/70/21/36/696702136.db2.gz LTYCEBFUPSOPIA-PWSUYJOCSA-N -1 1 305.403 1.643 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2nc(C)cs2)o1 ZINC000124086136 696739118 /nfs/dbraw/zinc/73/91/18/696739118.db2.gz QCTZJSZJVBBHCQ-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)CCCF)N1CCCCC1 ZINC000125134013 696750235 /nfs/dbraw/zinc/75/02/35/696750235.db2.gz DGXISKWIKJURID-LBPRGKRZSA-N -1 1 308.419 1.303 20 0 DDADMM Cc1ncoc1C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799023365 700110495 /nfs/dbraw/zinc/11/04/95/700110495.db2.gz ZPXHELOVLQCILL-UHFFFAOYSA-N -1 1 319.283 1.514 20 0 DDADMM Cc1ccc(-c2cncc(C(=O)NN3CC(=O)[N-]C3=O)c2)cc1 ZINC000135627093 696851468 /nfs/dbraw/zinc/85/14/68/696851468.db2.gz FLYZYRWVLDFVGE-UHFFFAOYSA-N -1 1 310.313 1.254 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(Cl)nc(NC)c1)c1nn[n-]n1 ZINC000136660390 696855552 /nfs/dbraw/zinc/85/55/52/696855552.db2.gz RPTMDAUQZSXYOJ-MRVPVSSYSA-N -1 1 309.761 1.561 20 0 DDADMM Cc1nnc([N-]C(=O)c2cnn(-c3ccc(F)cc3)n2)s1 ZINC000151160351 696881166 /nfs/dbraw/zinc/88/11/66/696881166.db2.gz WKWZEOXLECJNLW-UHFFFAOYSA-N -1 1 304.310 1.819 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1cccc(F)c1F ZINC000153192749 696911222 /nfs/dbraw/zinc/91/12/22/696911222.db2.gz CGZHCILAWDVPDN-RCOVLWMOSA-N -1 1 313.329 1.489 20 0 DDADMM CCn1ncnc1CN1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799175265 700126454 /nfs/dbraw/zinc/12/64/54/700126454.db2.gz XPUXVQAXUMXBAY-UHFFFAOYSA-N -1 1 319.331 1.189 20 0 DDADMM Cc1[nH]nc(C(=O)N2C[C@@]3(C)CN(CCF)C[C@@]3(C)C2)c1[O-] ZINC000982260524 697075863 /nfs/dbraw/zinc/07/58/63/697075863.db2.gz CCPNOLYXNDAXSC-GASCZTMLSA-N -1 1 310.373 1.177 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)CCC3)C1 ZINC000748773448 700134438 /nfs/dbraw/zinc/13/44/38/700134438.db2.gz DCQGDBSIRZHZAO-CQSZACIVSA-N -1 1 318.373 1.222 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(F)cc2F)C1 ZINC000748775032 700134587 /nfs/dbraw/zinc/13/45/87/700134587.db2.gz YLDQBRVKZAWKAX-VIFPVBQESA-N -1 1 314.288 1.012 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982628726 697161889 /nfs/dbraw/zinc/16/18/89/697161889.db2.gz ZPUJGEIKNBNIKC-NDZKXSSTSA-N -1 1 303.362 1.332 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000982675056 697167783 /nfs/dbraw/zinc/16/77/83/697167783.db2.gz ZTNWYDZDCMDZJQ-NSHDSACASA-N -1 1 303.362 1.166 20 0 DDADMM Cc1cc(S(=O)(=O)NC[C@H](C)N(C)C)cc(C(=O)[O-])c1F ZINC000158935702 697305810 /nfs/dbraw/zinc/30/58/10/697305810.db2.gz DGOCRDIWHWZKCN-VIFPVBQESA-N -1 1 318.370 1.061 20 0 DDADMM CC(=O)N[C@@H]1[C@H]2CCCN(C(=O)c3ncccc3[O-])[C@H]2C1(C)C ZINC000984162305 697348570 /nfs/dbraw/zinc/34/85/70/697348570.db2.gz ZFGNLJPFDGQJHY-KCPJHIHWSA-N -1 1 317.389 1.553 20 0 DDADMM O=C(NCCSC(F)(F)F)c1nnc2ccccc2c1O ZINC000171863963 697363032 /nfs/dbraw/zinc/36/30/32/697363032.db2.gz GWCMPIBNGITQAG-UHFFFAOYSA-N -1 1 317.292 1.906 20 0 DDADMM C[C@@H](C(=O)[N-]OC[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000188009206 697552790 /nfs/dbraw/zinc/55/27/90/697552790.db2.gz NZBKXUAQAKNWIH-ZJUUUORDSA-N -1 1 312.297 1.922 20 0 DDADMM C[C@@H](C(=O)[N-]OC[C@@H]1CCOC1)N1CCc2ccccc2C1 ZINC000188332808 697557326 /nfs/dbraw/zinc/55/73/26/697557326.db2.gz NIMDZJRLAJKFRL-UONOGXRCSA-N -1 1 304.390 1.518 20 0 DDADMM C[C@@H](C1CCCCC1)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000772912188 697693827 /nfs/dbraw/zinc/69/38/27/697693827.db2.gz WTCYLIGVSVDECY-LBPRGKRZSA-N -1 1 307.394 1.354 20 0 DDADMM CSCCOC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000773502144 697769047 /nfs/dbraw/zinc/76/90/47/697769047.db2.gz GHSGADFCGPXYBN-UHFFFAOYSA-N -1 1 309.391 1.891 20 0 DDADMM COc1ccc(NC(=O)c2ccc3n[n-]c(=S)n3c2)c(C)n1 ZINC000774045833 697837181 /nfs/dbraw/zinc/83/71/81/697837181.db2.gz YMISUBVIHJFCKV-UHFFFAOYSA-N -1 1 315.358 1.982 20 0 DDADMM CN(CCc1nccs1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774068553 697839452 /nfs/dbraw/zinc/83/94/52/697839452.db2.gz KOALRTCIHHVNOR-UHFFFAOYSA-N -1 1 319.415 1.789 20 0 DDADMM O=C([N-]CCCOC(=O)[C@H](O)c1ccccc1)C(F)(F)F ZINC000774884443 697937802 /nfs/dbraw/zinc/93/78/02/697937802.db2.gz WZTBLTPJSKBTOS-SNVBAGLBSA-N -1 1 305.252 1.332 20 0 DDADMM CN(C[C@H]1CCN(C(=O)C2CC2)C1)C(=O)c1ncccc1[O-] ZINC000987636983 698176679 /nfs/dbraw/zinc/17/66/79/698176679.db2.gz AAGVUOGUHYBEFQ-LLVKDONJSA-N -1 1 303.362 1.118 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CC1(C)C ZINC000987669790 698191547 /nfs/dbraw/zinc/19/15/47/698191547.db2.gz AQNBRMXUULLWNH-UTUOFQBUSA-N -1 1 317.389 1.553 20 0 DDADMM COC(=O)[C@](C)(NCc1cc(=O)oc2cc([O-])ccc12)C1CC1 ZINC000777717089 698217461 /nfs/dbraw/zinc/21/74/61/698217461.db2.gz PJIXMBWOTSEKOV-QGZVFWFLSA-N -1 1 317.341 1.930 20 0 DDADMM Cc1cccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)c1 ZINC000987939229 698269975 /nfs/dbraw/zinc/26/99/75/698269975.db2.gz PRKWTKQFJDKFQA-DGCLKSJQSA-N -1 1 315.377 1.212 20 0 DDADMM O=C(O[C@H]1CCOC1=O)c1ccc(Br)c([O-])c1 ZINC000778828676 698373520 /nfs/dbraw/zinc/37/35/20/698373520.db2.gz IYWBBZFDGXNLPR-VIFPVBQESA-N -1 1 301.092 1.627 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc([C@@H](C)O)c(C)n2)c([O-])c1 ZINC000779045774 698392663 /nfs/dbraw/zinc/39/26/63/698392663.db2.gz OTIGGARYCOGJJP-LLVKDONJSA-N -1 1 301.346 1.782 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2c(c1)CCN2C)c1nn[n-]n1 ZINC000779085219 698394886 /nfs/dbraw/zinc/39/48/86/698394886.db2.gz NIQZDEYMVYFXHI-JTQLQIEISA-N -1 1 300.366 1.068 20 0 DDADMM C[C@@H]1CO[C@@H](c2ccccc2)[C@H](C)N1Cc1nc(=O)n(C)[n-]1 ZINC000779221890 698403158 /nfs/dbraw/zinc/40/31/58/698403158.db2.gz DBQQUNKRRMUJOL-TYNCELHUSA-N -1 1 302.378 1.459 20 0 DDADMM CCC[N@@H+](Cc1ccc(C(=O)[O-])cc1)[C@H]1CC(=O)N(C)C1=O ZINC000263436619 698507222 /nfs/dbraw/zinc/50/72/22/698507222.db2.gz UWIOATVEJCLUNA-ZDUSSCGKSA-N -1 1 304.346 1.354 20 0 DDADMM CCCN(Cc1ccc(C(=O)[O-])cc1)[C@H]1CC(=O)N(C)C1=O ZINC000263436619 698507227 /nfs/dbraw/zinc/50/72/27/698507227.db2.gz UWIOATVEJCLUNA-ZDUSSCGKSA-N -1 1 304.346 1.354 20 0 DDADMM CCN(CC)[C@H](CNC(=O)N(CC(=O)[O-])C1CC1)c1ccco1 ZINC000780486544 698523456 /nfs/dbraw/zinc/52/34/56/698523456.db2.gz VSQQZTXHVOHHKO-CYBMUJFWSA-N -1 1 323.393 1.921 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000780633014 698540768 /nfs/dbraw/zinc/54/07/68/698540768.db2.gz SBNDGANONGKFTQ-UHFFFAOYSA-N -1 1 311.357 1.374 20 0 DDADMM CCN(CCOCCO)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000319443585 698712741 /nfs/dbraw/zinc/71/27/41/698712741.db2.gz LYOIAMIGFOWESX-UHFFFAOYSA-N -1 1 311.382 1.085 20 0 DDADMM Cc1nc(C(=O)N2CCN(C3CCCC3)CC2)ccc1C(=O)[O-] ZINC000321191775 698725920 /nfs/dbraw/zinc/72/59/20/698725920.db2.gz FEJJOCXIUUENDZ-UHFFFAOYSA-N -1 1 317.389 1.789 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1C[C@@H]2C[C@]2(CO)C1 ZINC000783782385 698878402 /nfs/dbraw/zinc/87/84/02/698878402.db2.gz MBRAAJIMVUOPNF-ISVAXAHUSA-N -1 1 312.163 1.609 20 0 DDADMM CC(C)N(C)S(=O)(=O)[N-]c1cn(C)nc1C(F)(F)F ZINC000361591546 699049050 /nfs/dbraw/zinc/04/90/50/699049050.db2.gz ODNZJQIXZMALDR-UHFFFAOYSA-N -1 1 300.306 1.436 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H]1C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000397045455 699114476 /nfs/dbraw/zinc/11/44/76/699114476.db2.gz UXHNPMJPKOWBBB-HZPDHXFCSA-N -1 1 316.401 1.832 20 0 DDADMM C[C@H]1OC(=O)N[C@@H]1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000786073487 699123552 /nfs/dbraw/zinc/12/35/52/699123552.db2.gz RRZIBXWFSKDCJV-UHLUBPPHSA-N -1 1 319.269 1.039 20 0 DDADMM O=C(NC1CN(C(=O)[C@H]2CC23CCCC3)C1)c1ncccc1[O-] ZINC000990969158 699195419 /nfs/dbraw/zinc/19/54/19/699195419.db2.gz AXSKHLJAAGQVMV-GFCCVEGCSA-N -1 1 315.373 1.308 20 0 DDADMM CC1(C)CCC[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990975033 699197091 /nfs/dbraw/zinc/19/70/91/699197091.db2.gz LTOCMINEPJPKLJ-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000990976262 699197585 /nfs/dbraw/zinc/19/75/85/699197585.db2.gz ZGMOGFISJJBWMN-UHFFFAOYSA-N -1 1 317.389 1.554 20 0 DDADMM CCc1occc1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000991090315 699227712 /nfs/dbraw/zinc/22/77/12/699227712.db2.gz QYDVKCDIGXTUEM-UHFFFAOYSA-N -1 1 315.329 1.197 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)CCC(F)(F)F)C(F)(F)F ZINC000790189237 699447175 /nfs/dbraw/zinc/44/71/75/699447175.db2.gz GRIZRAYSQMSAMA-RXMQYKEDSA-N -1 1 303.224 1.436 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(Cl)nc2)o1 ZINC000729268910 699475361 /nfs/dbraw/zinc/47/53/61/699475361.db2.gz DWINOUQHYSLDKE-UHFFFAOYSA-N -1 1 315.738 1.488 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@H]1CCOC1 ZINC000731447805 699528895 /nfs/dbraw/zinc/52/88/95/699528895.db2.gz LSCOGORJNIQINJ-NSHDSACASA-N -1 1 305.334 1.174 20 0 DDADMM O=C(/C=C/C1CCOCC1)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000732687657 699566655 /nfs/dbraw/zinc/56/66/55/699566655.db2.gz JLWNEIYRQIVYSA-VOTSOKGWSA-N -1 1 317.341 1.469 20 0 DDADMM CC[C@@]1([N-]S(=O)(=O)c2ccc(Br)o2)CCOC1 ZINC000790931241 699603789 /nfs/dbraw/zinc/60/37/89/699603789.db2.gz KPWBOXHLRYBAJQ-SNVBAGLBSA-N -1 1 324.196 1.890 20 0 DDADMM Cc1nn(C)cc1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000733608816 699608354 /nfs/dbraw/zinc/60/83/54/699608354.db2.gz DQCPRERBPJNNDQ-UHFFFAOYSA-N -1 1 314.297 1.898 20 0 DDADMM COc1cccc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000791086903 699611109 /nfs/dbraw/zinc/61/11/09/699611109.db2.gz AQQDLVMDLRLEFC-UHFFFAOYSA-N -1 1 311.345 1.672 20 0 DDADMM COC(=O)CC(C)(C)CC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791163432 699613801 /nfs/dbraw/zinc/61/38/01/699613801.db2.gz CUVQPEUFBKDBKO-UHFFFAOYSA-N -1 1 304.350 1.260 20 0 DDADMM O=C(Nc1nn[n-]n1)c1nc(NCc2ccco2)ncc1Cl ZINC000736156989 699719531 /nfs/dbraw/zinc/71/95/31/699719531.db2.gz QPHQCIYSOQIVBJ-UHFFFAOYSA-N -1 1 320.700 1.101 20 0 DDADMM C[C@@H]1C[C@@H](CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)CC(C)(C)C1 ZINC000736226981 699721197 /nfs/dbraw/zinc/72/11/97/699721197.db2.gz KEQKEOZOEWCZMT-FRRDWIJNSA-N -1 1 321.425 1.952 20 0 DDADMM COC(=O)c1sc(C)cc1OS(=O)(=O)c1c[n-]cn1 ZINC000736632347 699728665 /nfs/dbraw/zinc/72/86/65/699728665.db2.gz PKJPHUFODWYTKL-UHFFFAOYSA-N -1 1 302.333 1.334 20 0 DDADMM Cc1cc(=O)c(COC(=O)c2cccc(-c3nnc[nH]3)c2)n[n-]1 ZINC000793225442 699741813 /nfs/dbraw/zinc/74/18/13/699741813.db2.gz XUPVYOGONFZXEG-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM O=C(CCc1cc(F)ccc1F)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737854412 699746628 /nfs/dbraw/zinc/74/66/28/699746628.db2.gz ZXJZGOUYNLFEEA-GFCCVEGCSA-N -1 1 323.303 1.011 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1C=O ZINC000793718820 699774110 /nfs/dbraw/zinc/77/41/10/699774110.db2.gz QPQOMVSKNPPDAY-LBPRGKRZSA-N -1 1 313.361 1.649 20 0 DDADMM O=C(c1ncccc1[O-])N(CCO)Cc1cccnc1Cl ZINC000794327682 699803147 /nfs/dbraw/zinc/80/31/47/699803147.db2.gz SKWVHEAYFFFSSB-UHFFFAOYSA-N -1 1 307.737 1.470 20 0 DDADMM CCOc1ncccc1[N-]S(=O)(=O)C[C@@H]1CCCOC1 ZINC000795300330 699857037 /nfs/dbraw/zinc/85/70/37/699857037.db2.gz WQKUXLLIEOJWCG-LLVKDONJSA-N -1 1 300.380 1.649 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ccc(Cl)s2)n1 ZINC000795468916 699870412 /nfs/dbraw/zinc/87/04/12/699870412.db2.gz JWXJNRAOKAXSHK-UHFFFAOYSA-N -1 1 320.779 1.885 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2cccc(Cl)n2)n1 ZINC000795466966 699870429 /nfs/dbraw/zinc/87/04/29/699870429.db2.gz GQNBCZIGOZHWMM-UHFFFAOYSA-N -1 1 315.738 1.219 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2ccccc2C(=O)OC)[n-]1 ZINC000796366607 699929499 /nfs/dbraw/zinc/92/94/99/699929499.db2.gz UMAZKBDZCRBIKJ-UHFFFAOYSA-N -1 1 317.297 1.945 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(F)c3ccccc23)nc1=O ZINC000796364413 699929522 /nfs/dbraw/zinc/92/95/22/699929522.db2.gz AICDVPJREAYHQT-UHFFFAOYSA-N -1 1 301.277 1.758 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OCC(=O)C3CC3)n2)cc1 ZINC000801361697 700300772 /nfs/dbraw/zinc/30/07/72/700300772.db2.gz HPXDUXVQAJSXKC-UHFFFAOYSA-N -1 1 316.313 1.722 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OC[C@@H]3CCOC3)n2)cc1 ZINC000801363796 700301045 /nfs/dbraw/zinc/30/10/45/700301045.db2.gz GWEWXGZXVQDFSB-LLVKDONJSA-N -1 1 318.329 1.780 20 0 DDADMM Cc1[nH]c(C=O)cc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000801409918 700304510 /nfs/dbraw/zinc/30/45/10/700304510.db2.gz ZOCNAXWNMKLTCF-UHFFFAOYSA-N -1 1 314.297 1.249 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccnn3CC(F)F)ccnc1-2 ZINC000801702846 700332560 /nfs/dbraw/zinc/33/25/60/700332560.db2.gz BVKAUWYCNZSTOX-UHFFFAOYSA-N -1 1 306.276 1.056 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]CC(=O)OC2CCCCC2)on1 ZINC000751977624 700332693 /nfs/dbraw/zinc/33/26/93/700332693.db2.gz PEOWJIBWNPTKMZ-UHFFFAOYSA-N -1 1 316.379 1.278 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H](F)c1ccc(C(F)(F)F)cc1 ZINC000801891095 700351034 /nfs/dbraw/zinc/35/10/34/700351034.db2.gz SQYHVFHJAANSAI-SECBINFHSA-N -1 1 303.219 1.546 20 0 DDADMM Cn1ccc(COC(=O)c2sccc2[N-]S(C)(=O)=O)n1 ZINC000754787740 700527792 /nfs/dbraw/zinc/52/77/92/700527792.db2.gz GKAPDRTZFRFBPZ-UHFFFAOYSA-N -1 1 315.376 1.210 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]C(=O)c2cccc3cn[nH]c32)s1 ZINC000755895942 700590155 /nfs/dbraw/zinc/59/01/55/700590155.db2.gz JIONRRUMWVBUBI-UHFFFAOYSA-N -1 1 322.371 1.447 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N(C)[C@H](CC)C(=O)[O-] ZINC000763094334 700925366 /nfs/dbraw/zinc/92/53/66/700925366.db2.gz AFRSIKURSSTXKZ-QWHCGFSZSA-N -1 1 308.378 1.797 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@H]1C[C@]12CCOC2 ZINC000764658843 700981251 /nfs/dbraw/zinc/98/12/51/700981251.db2.gz UOSMDDHUXYPULB-DYVFJYSZSA-N -1 1 316.309 1.968 20 0 DDADMM COc1ccc2oc(C(=O)OCc3nc(=O)n(C)[n-]3)c(C)c2c1 ZINC000765375776 701008643 /nfs/dbraw/zinc/00/86/43/701008643.db2.gz IXJUXAKFUHVJKV-UHFFFAOYSA-N -1 1 317.301 1.529 20 0 DDADMM Cn1[n-]c(COC(=O)C(F)(F)c2cccc(Cl)c2)nc1=O ZINC000765464231 701014091 /nfs/dbraw/zinc/01/40/91/701014091.db2.gz XKECOBPCEXZRDN-UHFFFAOYSA-N -1 1 317.679 1.597 20 0 DDADMM CCN(c1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1)C(C)C ZINC000765464865 701014103 /nfs/dbraw/zinc/01/41/03/701014103.db2.gz YHTDLIYDVWBIPG-UHFFFAOYSA-N -1 1 318.377 1.700 20 0 DDADMM Cn1[n-]c(COC(=O)c2cnc3ccc(F)cc3c2)nc1=O ZINC000765498978 701016496 /nfs/dbraw/zinc/01/64/96/701016496.db2.gz YWHJOYAEKXDSAK-UHFFFAOYSA-N -1 1 302.265 1.153 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc3c(c2O)CCCC3)nc1=O ZINC000765509170 701017164 /nfs/dbraw/zinc/01/71/64/701017164.db2.gz QKDDHJFLKVPHQB-UHFFFAOYSA-N -1 1 303.318 1.050 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC[C@H](C)[S@@](C)=O ZINC000766738407 701061553 /nfs/dbraw/zinc/06/15/53/701061553.db2.gz YEUYLWZJZDVBKV-JLTPVHFHSA-N -1 1 313.423 1.501 20 0 DDADMM Cc1ccc(F)c(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1F ZINC000766876054 701067672 /nfs/dbraw/zinc/06/76/72/701067672.db2.gz VIAJTJVCZVJPKV-VIFPVBQESA-N -1 1 309.276 1.000 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCc1ccncc1 ZINC000803289820 701099506 /nfs/dbraw/zinc/09/95/06/701099506.db2.gz STXMDIDXNSTXQF-UHFFFAOYSA-N -1 1 312.372 1.872 20 0 DDADMM O=C(COC(=O)c1c([O-])cc(F)cc1F)[C@@H]1CCCOC1 ZINC000769091426 701229372 /nfs/dbraw/zinc/22/93/72/701229372.db2.gz GWTPVGQBKNEXOY-MRVPVSSYSA-N -1 1 300.257 1.823 20 0 DDADMM Cn1nccc1CC(=O)Nc1nc(Br)ccc1[O-] ZINC000770058963 701266275 /nfs/dbraw/zinc/26/62/75/701266275.db2.gz HRCBHVISMVNPIJ-UHFFFAOYSA-N -1 1 311.139 1.464 20 0 DDADMM Cc1noc(-c2ccccc2)c1NC(=O)NN1CC(=O)[N-]C1=O ZINC000770731904 701292692 /nfs/dbraw/zinc/29/26/92/701292692.db2.gz KLSLZKWIEXIYGU-UHFFFAOYSA-N -1 1 315.289 1.238 20 0 DDADMM COC(=O)c1sc([N-]c2ccn(CC(N)=O)n2)nc1Cl ZINC000771202421 701313282 /nfs/dbraw/zinc/31/32/82/701313282.db2.gz OAFFNHPVNZJNGY-UHFFFAOYSA-N -1 1 315.742 1.009 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)c1ccccc1Br ZINC000805605000 701398048 /nfs/dbraw/zinc/39/80/48/701398048.db2.gz HLBCGDZDLPWLAV-UHFFFAOYSA-N -1 1 310.107 1.607 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)[C@@H](C)OC(=O)c2cn[n-]n2)c1C ZINC000805605343 701398485 /nfs/dbraw/zinc/39/84/85/701398485.db2.gz KPQSKVBKWFAREH-SECBINFHSA-N -1 1 304.306 1.380 20 0 DDADMM CC(C)[C@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccc(F)cc1 ZINC000805609078 701399733 /nfs/dbraw/zinc/39/97/33/701399733.db2.gz MPMFBKVSICOCIP-AWEZNQCLSA-N -1 1 320.324 1.614 20 0 DDADMM CCC1(CO)CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000806481885 701436946 /nfs/dbraw/zinc/43/69/46/701436946.db2.gz IMHWDVCFKNUDPM-UHFFFAOYSA-N -1 1 317.393 1.358 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@]3(C)CCO[C@H]3C3CC3)ccnc1-2 ZINC000806493522 701438005 /nfs/dbraw/zinc/43/80/05/701438005.db2.gz XAENGCLGTWMMAC-XJKSGUPXSA-N -1 1 315.377 1.421 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3CCc4c3cccc4O)ccnc1-2 ZINC000806492618 701438125 /nfs/dbraw/zinc/43/81/25/701438125.db2.gz JSUOYLAWYADVFK-CYBMUJFWSA-N -1 1 323.356 1.856 20 0 DDADMM C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@@H](O)c1cccc(F)c1 ZINC000807199089 701469306 /nfs/dbraw/zinc/46/93/06/701469306.db2.gz RRLMYTYDGNSUBS-MEBBXXQBSA-N -1 1 317.316 1.952 20 0 DDADMM C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000807408756 701475683 /nfs/dbraw/zinc/47/56/83/701475683.db2.gz SNWSLYRKKQKUIV-JTQLQIEISA-N -1 1 322.361 1.604 20 0 DDADMM CCO[C@@H](C)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807705276 701484379 /nfs/dbraw/zinc/48/43/79/701484379.db2.gz ANMSQGYHIHXYKQ-NAKRPEOUSA-N -1 1 324.343 1.715 20 0 DDADMM O=C([C@@H](O)c1ccccc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000808023545 701494835 /nfs/dbraw/zinc/49/48/35/701494835.db2.gz OMPJKWPZSGBVIV-LBPRGKRZSA-N -1 1 303.318 1.215 20 0 DDADMM CO[C@H]1CCC[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)C1 ZINC000814564235 701721632 /nfs/dbraw/zinc/72/16/32/701721632.db2.gz NMIZUVWVCPXXMZ-KBPBESRZSA-N -1 1 319.357 1.691 20 0 DDADMM C[C@H]1CCCC[C@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830953780 706609859 /nfs/dbraw/zinc/60/98/59/706609859.db2.gz ZMYYLLBPGIAEFQ-WDEREUQCSA-N -1 1 305.378 1.697 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H]1CC2CCC1CC2 ZINC000830952822 706609878 /nfs/dbraw/zinc/60/98/78/706609878.db2.gz MZLSKXPFRSAAFV-HTAVTVPLSA-N -1 1 317.389 1.697 20 0 DDADMM O=C([N-]OC/C=C/Cl)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000810498075 701777396 /nfs/dbraw/zinc/77/73/96/701777396.db2.gz LMKVMWZILBZNTI-DAFODLJHSA-N -1 1 321.724 1.006 20 0 DDADMM COC[C@H](C)OC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000867889944 701813505 /nfs/dbraw/zinc/81/35/05/701813505.db2.gz DSNAWQVDYQJAAP-KWQFWETISA-N -1 1 312.288 1.301 20 0 DDADMM CC[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H](O)C(C)C ZINC000839866876 701852452 /nfs/dbraw/zinc/85/24/52/701852452.db2.gz GYWRBAXNZLXLEE-JQWIXIFHSA-N -1 1 308.407 1.543 20 0 DDADMM O=C(Cc1cccnc1Cl)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000868063803 701921564 /nfs/dbraw/zinc/92/15/64/701921564.db2.gz DCYFUJRPLLSBFF-UHFFFAOYSA-N -1 1 322.752 1.772 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C(F)=C1CCCC1 ZINC000816014160 701991348 /nfs/dbraw/zinc/99/13/48/701991348.db2.gz PHKLGOGHRCVJJZ-UHFFFAOYSA-N -1 1 320.324 1.609 20 0 DDADMM COC(=O)CCCO[N-]C(=O)[C@@H](C)N1CCc2ccccc2C1 ZINC000811560149 702005545 /nfs/dbraw/zinc/00/55/45/702005545.db2.gz JGSORJQRWDUDSQ-CYBMUJFWSA-N -1 1 320.389 1.434 20 0 DDADMM O=C(Cc1cccc(C2CC2)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000840332349 702072959 /nfs/dbraw/zinc/07/29/59/702072959.db2.gz CYJZEYDYXCZMGU-AWEZNQCLSA-N -1 1 313.361 1.220 20 0 DDADMM C[C@@]1(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)CCOC1 ZINC000840436157 702107468 /nfs/dbraw/zinc/10/74/68/702107468.db2.gz FZIYEMOMMWOUBD-MRXNPFEDSA-N -1 1 304.298 1.968 20 0 DDADMM CC(C)OCCC(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000812278984 702134722 /nfs/dbraw/zinc/13/47/22/702134722.db2.gz QMAHZEUQQPAWSN-LLVKDONJSA-N -1 1 324.343 1.719 20 0 DDADMM CCN(C(=O)CCc1nn[n-]n1)[C@@H](C)[C@@H](O)c1ccccc1 ZINC000868475156 702149965 /nfs/dbraw/zinc/14/99/65/702149965.db2.gz XGJXMHFFBACJKR-XHDPSFHLSA-N -1 1 303.366 1.103 20 0 DDADMM CCn1ccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1C ZINC000831162595 706647607 /nfs/dbraw/zinc/64/76/07/706647607.db2.gz RTGQHNBWZSEZDS-UHFFFAOYSA-N -1 1 317.311 1.567 20 0 DDADMM COC(=O)CN1c2ccccc2C[C@@H]1C[N-]C(=O)C(F)(F)F ZINC000817292664 702332476 /nfs/dbraw/zinc/33/24/76/702332476.db2.gz IPAOPLAPLOSNDV-SNVBAGLBSA-N -1 1 316.279 1.269 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C2(F)CCCCC2)c1 ZINC000813505452 702362726 /nfs/dbraw/zinc/36/27/26/702362726.db2.gz DQFJKWPLVCEKDK-UHFFFAOYSA-N -1 1 316.354 1.651 20 0 DDADMM Cc1noc2ncnc(N3CC(C[N-]C(=O)C(F)(F)F)C3)c12 ZINC000866294853 706667588 /nfs/dbraw/zinc/66/75/88/706667588.db2.gz XHIODCSJKSCXEB-UHFFFAOYSA-N -1 1 315.255 1.041 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)[C@@H](C)N2CC[C@@H](C(=O)[O-])C2)c1 ZINC000817715942 702460311 /nfs/dbraw/zinc/46/03/11/702460311.db2.gz PKVJJZBVPHYQBZ-ZYHUDNBSSA-N -1 1 319.361 1.379 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)Cc1ccc(F)cc1F ZINC000841533062 702482587 /nfs/dbraw/zinc/48/25/87/702482587.db2.gz BBVASJUSMQJYLY-LLVKDONJSA-N -1 1 319.329 1.336 20 0 DDADMM CO[C@@H]1CC[C@@H](C)N(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000879416402 706674412 /nfs/dbraw/zinc/67/44/12/706674412.db2.gz SOOUUYCYXNHNLL-GHMZBOCLSA-N -1 1 303.366 1.373 20 0 DDADMM Cc1ccc(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)n1C ZINC000869339204 702573562 /nfs/dbraw/zinc/57/35/62/702573562.db2.gz OLRBYAOPQPUWDW-UHFFFAOYSA-N -1 1 320.267 1.128 20 0 DDADMM CCC(=O)[C@H](C)OC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000837445030 707650393 /nfs/dbraw/zinc/65/03/93/707650393.db2.gz DMTFJYAWTQEVIO-ZETCQYMHSA-N -1 1 305.377 1.644 20 0 DDADMM CO[C@@H](CC(C)C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000842117383 702673504 /nfs/dbraw/zinc/67/35/04/702673504.db2.gz CBJWJXACIMALOJ-ZDUSSCGKSA-N -1 1 307.346 1.547 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@]2(C)CC=CCC2)CCC1 ZINC000843015256 702803756 /nfs/dbraw/zinc/80/37/56/702803756.db2.gz UAYPUUYBKRIKNL-AWEZNQCLSA-N -1 1 314.451 1.663 20 0 DDADMM C[C@@H]1C[C@@H]1C[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1F ZINC000866496819 706713988 /nfs/dbraw/zinc/71/39/88/706713988.db2.gz YLJIDXJWPIFCFW-HQVAUKCESA-N -1 1 320.411 1.773 20 0 DDADMM CCOc1cccc(C[N-]S(=O)(=O)N=[S@@](C)(=O)CC)c1 ZINC000866508099 706717088 /nfs/dbraw/zinc/71/70/88/706717088.db2.gz JXUJTYYKRCWQJY-IBGZPJMESA-N -1 1 320.436 1.537 20 0 DDADMM COC/C(C)=C\C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000845245627 703132895 /nfs/dbraw/zinc/13/28/95/703132895.db2.gz DLCZLDGOXJJPMV-FLIBITNWSA-N -1 1 321.329 1.088 20 0 DDADMM CCO[N-]C(=O)CNC(=O)c1c(O)cc(Cl)cc1Cl ZINC000846494490 703283151 /nfs/dbraw/zinc/28/31/51/703283151.db2.gz UVDZQYUTEUQQPL-UHFFFAOYSA-N -1 1 307.133 1.497 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)N[C@H]1CCOC1 ZINC000847342308 703404661 /nfs/dbraw/zinc/40/46/61/703404661.db2.gz HFDCHPLEXABFNA-KXUCPTDWSA-N -1 1 323.315 1.016 20 0 DDADMM Cc1c[nH]nc1C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848339200 703540460 /nfs/dbraw/zinc/54/04/60/703540460.db2.gz ZAYRUYMPVNQKTE-RKDXNWHRSA-N -1 1 318.299 1.390 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N(CC(=O)[O-])C1CCC1 ZINC000848454298 703552200 /nfs/dbraw/zinc/55/22/00/703552200.db2.gz LMCIPXOIRRCCAN-JTQLQIEISA-N -1 1 324.299 1.155 20 0 DDADMM Cn1cc([C@H](O)CNC(=O)Cc2ccc([O-])c(Cl)c2)cn1 ZINC000866655862 706754015 /nfs/dbraw/zinc/75/40/15/706754015.db2.gz RVFNBGIYDVDPGE-CYBMUJFWSA-N -1 1 309.753 1.171 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cnsn2)c1 ZINC000848767888 703586407 /nfs/dbraw/zinc/58/64/07/703586407.db2.gz RLUGVXLEXICTTP-FQEVSTJZSA-N -1 1 312.372 1.398 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCOC(=O)N(C)C)c(F)c1 ZINC000849445967 703648707 /nfs/dbraw/zinc/64/87/07/703648707.db2.gz GLQMOBCYNPSXMT-UHFFFAOYSA-N -1 1 322.333 1.250 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2conc2C)c1 ZINC000849812012 703680539 /nfs/dbraw/zinc/68/05/39/703680539.db2.gz PUFFLTBJCPEIRM-OAQYLSRUSA-N -1 1 309.343 1.843 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1CCC1(C)C ZINC000850940768 703766792 /nfs/dbraw/zinc/76/67/92/703766792.db2.gz RRXDMKJHULJYMQ-NSHDSACASA-N -1 1 304.350 1.248 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@H]2[C@@H]3COC[C@H]23)c1 ZINC000851487932 703805013 /nfs/dbraw/zinc/80/50/13/703805013.db2.gz GQYZTQFMDUSWFZ-PBMXDMTDSA-N -1 1 310.371 1.238 20 0 DDADMM COc1cccnc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000869604753 703819109 /nfs/dbraw/zinc/81/91/09/703819109.db2.gz NBCPBMHAXCMMGK-UHFFFAOYSA-N -1 1 306.240 1.316 20 0 DDADMM O=S(=O)([N-][C@@H]1CCn2ccnc21)c1cc(F)c(F)cc1F ZINC000831739967 706767908 /nfs/dbraw/zinc/76/79/08/706767908.db2.gz UWNDVWKDUOBYCM-SNVBAGLBSA-N -1 1 317.292 1.724 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCC2(C)C)cc1C ZINC000851790119 703856637 /nfs/dbraw/zinc/85/66/37/703856637.db2.gz XKJQLKSVIXCHAI-SECBINFHSA-N -1 1 301.364 1.842 20 0 DDADMM CC1(C)[C@H]2[C@H](CCCN2C(=O)C(=O)c2ccc([O-])cc2)[C@@H]1O ZINC000869823076 703860028 /nfs/dbraw/zinc/86/00/28/703860028.db2.gz JJVUKEJHGREXNZ-CFVMTHIKSA-N -1 1 303.358 1.583 20 0 DDADMM C[C@@H]1CCN(C(=O)NCCC2CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852151913 703946736 /nfs/dbraw/zinc/94/67/36/703946736.db2.gz OZFMUVXDTVKDNV-KOLCDFICSA-N -1 1 321.343 1.885 20 0 DDADMM CCCCC[C@H]1CCCCN1CCS(=O)(=O)CC(=O)[O-] ZINC000852741100 704111040 /nfs/dbraw/zinc/11/10/40/704111040.db2.gz WUAYBIWUEGMMMV-ZDUSSCGKSA-N -1 1 305.440 1.921 20 0 DDADMM CCn1ncc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)n1 ZINC000852756585 704115939 /nfs/dbraw/zinc/11/59/39/704115939.db2.gz OQJCBIDBKZGPJX-KOLCDFICSA-N -1 1 319.331 1.187 20 0 DDADMM CC(C)n1ncc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1N ZINC000819971208 704194371 /nfs/dbraw/zinc/19/43/71/704194371.db2.gz ZWKRWPCBVILICL-VIFPVBQESA-N -1 1 320.353 1.155 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC[C@@H]2CCCS2)co1 ZINC000871031683 704205007 /nfs/dbraw/zinc/20/50/07/704205007.db2.gz GMIGXLNSTOUFPG-JTQLQIEISA-N -1 1 318.420 1.203 20 0 DDADMM O=C(c1cc2occc2[nH]1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000820068626 704215091 /nfs/dbraw/zinc/21/50/91/704215091.db2.gz RQUNYYXIBIHJRE-UHFFFAOYSA-N -1 1 302.290 1.869 20 0 DDADMM NC(=O)[C@@H](C1CC1)N(CC1CC1)C(=O)c1ccc([O-])cc1F ZINC000871395139 704285470 /nfs/dbraw/zinc/28/54/70/704285470.db2.gz ZJFLAFQTWBOTEC-CQSZACIVSA-N -1 1 306.337 1.647 20 0 DDADMM C[C@@H]1CCN(Cn2ccsc2=O)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000853623315 704288525 /nfs/dbraw/zinc/28/85/25/704288525.db2.gz QTOGTLVFFMRPRN-BDAKNGLRSA-N -1 1 323.340 1.256 20 0 DDADMM C[C@H]1CCc2ccccc2N1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000854322772 704379205 /nfs/dbraw/zinc/37/92/05/704379205.db2.gz IBUNJLYACQMPHD-LBPRGKRZSA-N -1 1 313.357 1.295 20 0 DDADMM CC(C)S(=O)(=O)CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000854491624 704406726 /nfs/dbraw/zinc/40/67/26/704406726.db2.gz PDKSEYDVTVLSPM-UHFFFAOYSA-N -1 1 322.386 1.493 20 0 DDADMM Cc1oncc1CS(=O)(=O)c1nc(-c2ccccc2F)n[n-]1 ZINC000821571501 704423552 /nfs/dbraw/zinc/42/35/52/704423552.db2.gz RLLFYTKOOOFHNT-UHFFFAOYSA-N -1 1 322.321 1.881 20 0 DDADMM Cc1oncc1CS(=O)(=O)c1n[n-]c(-c2ccccc2F)n1 ZINC000821571501 704423553 /nfs/dbraw/zinc/42/35/53/704423553.db2.gz RLLFYTKOOOFHNT-UHFFFAOYSA-N -1 1 322.321 1.881 20 0 DDADMM CC(C)(C)OC(=O)CC1(C(=O)[N-]OCC2CC2)CCOCC1 ZINC000854639252 704431094 /nfs/dbraw/zinc/43/10/94/704431094.db2.gz NWYYOBOGSHQLHO-UHFFFAOYSA-N -1 1 313.394 1.973 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@](C)(O)c1ccccc1 ZINC000855133746 704470132 /nfs/dbraw/zinc/47/01/32/704470132.db2.gz QEMMEVCGBQWCNL-INIZCTEOSA-N -1 1 309.362 1.674 20 0 DDADMM CCS(=O)(=O)C1(CNC(=O)c2c([O-])cccc2Cl)CC1 ZINC000856125887 704515153 /nfs/dbraw/zinc/51/51/53/704515153.db2.gz WMJYCTONZHTDRM-UHFFFAOYSA-N -1 1 317.794 1.743 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H]2COC[C@@H]2C1 ZINC000856151634 704516543 /nfs/dbraw/zinc/51/65/43/704516543.db2.gz URNKNRGXGWECCD-NEPJUHHUSA-N -1 1 305.378 1.723 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2CCC(=O)C2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856415261 704527900 /nfs/dbraw/zinc/52/79/00/704527900.db2.gz ZMFUYRPOAYMQBI-YWVKMMECSA-N -1 1 320.311 1.271 20 0 DDADMM CC[C@H](OC)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418640 704528223 /nfs/dbraw/zinc/52/82/23/704528223.db2.gz HXJHVWLTACJHMI-UTLUCORTSA-N -1 1 310.316 1.327 20 0 DDADMM Cc1cc(F)cc2c(=O)c(C(=O)[N-]OC(C)(C)CO)c[nH]c12 ZINC000857285299 704574348 /nfs/dbraw/zinc/57/43/48/704574348.db2.gz YHIFIFLDKHAOCT-UHFFFAOYSA-N -1 1 308.309 1.408 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H](O)CC12CCC2 ZINC000857292078 704575395 /nfs/dbraw/zinc/57/53/95/704575395.db2.gz WBFJJBHQRRJQHY-LLVKDONJSA-N -1 1 318.402 1.538 20 0 DDADMM Cc1nc(CCC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)cs1 ZINC000857621884 704608271 /nfs/dbraw/zinc/60/82/71/704608271.db2.gz MUSAPLGZQJHXRF-UHFFFAOYSA-N -1 1 318.362 1.062 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@H](Nc2cc(Cl)[n-]c(=O)n2)C1 ZINC000858419419 704709078 /nfs/dbraw/zinc/70/90/78/704709078.db2.gz KVAIYXGHUUUSFJ-JGVFFNPUSA-N -1 1 305.787 1.603 20 0 DDADMM CCc1oc2ccccc2c1CC(=O)NN1CC(=O)[N-]C1=O ZINC000858542223 704724760 /nfs/dbraw/zinc/72/47/60/704724760.db2.gz JNDMIKLSBMFSDA-UHFFFAOYSA-N -1 1 301.302 1.121 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cn(C)nc1C(C)(C)C)C(F)(F)F ZINC000867273239 706944278 /nfs/dbraw/zinc/94/42/78/706944278.db2.gz WYCTWJNRWMFMBH-ZETCQYMHSA-N -1 1 313.345 1.947 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)[C@H]1COC(C)(C)C1 ZINC000859048314 704791134 /nfs/dbraw/zinc/79/11/34/704791134.db2.gz FWBZVGODFSCZMW-VXGBXAGGSA-N -1 1 319.423 1.205 20 0 DDADMM COc1ccccc1[C@@H]1CCCN(CC(=O)NCC(=O)[O-])CC1 ZINC000873646600 704816183 /nfs/dbraw/zinc/81/61/83/704816183.db2.gz VDZULEPBVIZBLY-CYBMUJFWSA-N -1 1 320.389 1.466 20 0 DDADMM O=S(=O)([N-]C[C@@H]1COCO1)c1cc(Cl)sc1Cl ZINC000867398835 706987441 /nfs/dbraw/zinc/98/74/41/706987441.db2.gz IIZDZOHODWGRKO-RXMQYKEDSA-N -1 1 318.203 1.706 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]1C1CCC1)c1nc[nH]c1Br ZINC000867442536 707002730 /nfs/dbraw/zinc/00/27/30/707002730.db2.gz ILRLYMZDUHBAQP-JGVFFNPUSA-N -1 1 320.212 1.639 20 0 DDADMM Cc1nnc([C@H](C)OC(=O)c2ccc(-c3nnn[n-]3)s2)o1 ZINC000822510734 704964444 /nfs/dbraw/zinc/96/44/44/704964444.db2.gz WFGWYLPBIBJRIX-YFKPBYRVSA-N -1 1 306.307 1.538 20 0 DDADMM Cc1nnc([C@H](C)OC(=O)c2ccc(-c3nn[n-]n3)s2)o1 ZINC000822510734 704964447 /nfs/dbraw/zinc/96/44/47/704964447.db2.gz WFGWYLPBIBJRIX-YFKPBYRVSA-N -1 1 306.307 1.538 20 0 DDADMM Cc1noc(C)c1COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822523330 704967389 /nfs/dbraw/zinc/96/73/89/704967389.db2.gz RSQUIKLUKYTDBU-UHFFFAOYSA-N -1 1 305.319 1.890 20 0 DDADMM Cc1noc(C)c1COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822523330 704967392 /nfs/dbraw/zinc/96/73/92/704967392.db2.gz RSQUIKLUKYTDBU-UHFFFAOYSA-N -1 1 305.319 1.890 20 0 DDADMM Cc1nsc(N(C)C(=O)C=Cc2ccco2)c1-c1nnn[n-]1 ZINC000822531342 704969097 /nfs/dbraw/zinc/96/90/97/704969097.db2.gz YUXKJVTYWXVOKQ-AATRIKPKSA-N -1 1 316.346 1.901 20 0 DDADMM Cc1nsc(N(C)C(=O)C=Cc2ccco2)c1-c1nn[n-]n1 ZINC000822531342 704969098 /nfs/dbraw/zinc/96/90/98/704969098.db2.gz YUXKJVTYWXVOKQ-AATRIKPKSA-N -1 1 316.346 1.901 20 0 DDADMM Cc1ccncc1CC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867505046 707021991 /nfs/dbraw/zinc/02/19/91/707021991.db2.gz OBJOMUIFLHXMGF-UHFFFAOYSA-N -1 1 317.436 1.029 20 0 DDADMM O=C([O-])Cn1cc(CN2C[C@@H]3C[C@@H](c4ccccc4)[C@@H]3C2)nn1 ZINC000859819358 705012593 /nfs/dbraw/zinc/01/25/93/705012593.db2.gz HVKALGZPZVJKCF-CWRNSKLLSA-N -1 1 312.373 1.598 20 0 DDADMM O=S(=O)([N-]CCO[C@@H]1CC1(F)F)c1ccc(F)nc1F ZINC000867511511 707023706 /nfs/dbraw/zinc/02/37/06/707023706.db2.gz YFLBOEJQFCBXDB-SSDOTTSWSA-N -1 1 314.260 1.062 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)C1 ZINC000902322577 710716514 /nfs/dbraw/zinc/71/65/14/710716514.db2.gz LUSMUNVLSZONTE-IETSOEAISA-N -1 1 321.377 1.025 20 0 DDADMM O=C(CC[C@@H]1CCCO1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822950048 705091089 /nfs/dbraw/zinc/09/10/89/705091089.db2.gz CKSRIDSVUUHRTM-JTQLQIEISA-N -1 1 303.322 1.470 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc(C(F)F)c2F)nc1=O ZINC000860110548 705103286 /nfs/dbraw/zinc/10/32/86/705103286.db2.gz BXZLXNOYCUYTEV-UHFFFAOYSA-N -1 1 301.224 1.542 20 0 DDADMM [O-]C(=NO[C@H]1CCCCO1)Nc1nnc([C@H]2CCCO2)s1 ZINC000880886374 707090059 /nfs/dbraw/zinc/09/00/59/707090059.db2.gz PCPMTJGYECRTFG-BDAKNGLRSA-N -1 1 314.367 1.969 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1nnc([C@H]2CCCO2)s1 ZINC000880886374 707090061 /nfs/dbraw/zinc/09/00/61/707090061.db2.gz PCPMTJGYECRTFG-BDAKNGLRSA-N -1 1 314.367 1.969 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCS[C@H](C)C2)o1 ZINC000834690033 707103407 /nfs/dbraw/zinc/10/34/07/707103407.db2.gz WJTWNECAHRYJIH-RKDXNWHRSA-N -1 1 318.420 1.202 20 0 DDADMM O=C(C[C@@H]1CCCS1(=O)=O)NCc1ccc([O-])c(Cl)c1 ZINC000834822361 707130003 /nfs/dbraw/zinc/13/00/03/707130003.db2.gz LVHTZBLGJMJKDK-JTQLQIEISA-N -1 1 317.794 1.629 20 0 DDADMM Cc1[nH]nc(C(=O)N2C[C@@H](CO)Cc3cccc(F)c32)c1[O-] ZINC000911689679 710931495 /nfs/dbraw/zinc/93/14/95/710931495.db2.gz JAQOFZOXIYNSQY-VIFPVBQESA-N -1 1 305.309 1.374 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@H]([N@H+]2CC[C@H](O)C2)C1 ZINC000861709116 705545515 /nfs/dbraw/zinc/54/55/15/705545515.db2.gz DUGKNZCKSUBZIW-RYUDHWBXSA-N -1 1 310.781 1.327 20 0 DDADMM C[C@@H]1CCN(C(=O)C23CC(C2)C3)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000862236050 705685348 /nfs/dbraw/zinc/68/53/48/705685348.db2.gz HPXZHUVTTZVIHP-PSHCNPEKSA-N -1 1 304.312 1.702 20 0 DDADMM C[C@@H]1CN(C(=O)NCc2ccc([O-])c(Cl)c2)C[C@H](CO)O1 ZINC000876395568 705707946 /nfs/dbraw/zinc/70/79/46/705707946.db2.gz LSPLXVJLEHBWIL-MWLCHTKSSA-N -1 1 314.769 1.337 20 0 DDADMM C[C@H]1OCC[C@]1(O)CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876396641 705708525 /nfs/dbraw/zinc/70/85/25/705708525.db2.gz LGNNMKXNIAIOEB-OTYXRUKQSA-N -1 1 314.769 1.385 20 0 DDADMM CSc1[nH]c(=O)c(C(=O)N2CC=CCC2)cc1-c1nn[n-]n1 ZINC000826229547 705782855 /nfs/dbraw/zinc/78/28/55/705782855.db2.gz IMYUSSCGWBEJJX-UHFFFAOYSA-N -1 1 318.362 1.091 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccc2cc[nH]c2n1 ZINC000826450892 705801291 /nfs/dbraw/zinc/80/12/91/705801291.db2.gz HXRRJOSKVZAGNF-UHFFFAOYSA-N -1 1 321.300 1.701 20 0 DDADMM CC1(O)CCC(NC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000826694565 705847963 /nfs/dbraw/zinc/84/79/63/705847963.db2.gz ATTSDPKUOOMZNB-UHFFFAOYSA-N -1 1 306.391 1.441 20 0 DDADMM O=C([C@H]1[C@@H]2CCCCCC[C@@H]21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826833423 705881122 /nfs/dbraw/zinc/88/11/22/705881122.db2.gz CUNGVOXYIMLINN-QNWHQSFQSA-N -1 1 305.382 1.316 20 0 DDADMM O=C(N[C@H]1CO[C@@H](C2CC2)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000863284801 705889613 /nfs/dbraw/zinc/88/96/13/705889613.db2.gz KAMFBTODKVKANE-GHMZBOCLSA-N -1 1 304.375 1.315 20 0 DDADMM CON(C)C(=O)[C@H](C)NC(=O)c1cc2ccccc2cc1[O-] ZINC000863625305 705969797 /nfs/dbraw/zinc/96/97/97/705969797.db2.gz BRCNEPDOSRFGQK-JTQLQIEISA-N -1 1 302.330 1.684 20 0 DDADMM Cc1csc(C(=O)OCCc2c(C)nc3nc[n-]n3c2=O)c1 ZINC000835294974 707215860 /nfs/dbraw/zinc/21/58/60/707215860.db2.gz ZKBSCSITUCVGAN-UHFFFAOYSA-N -1 1 318.358 1.495 20 0 DDADMM CSC(C)(C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000827715978 706055693 /nfs/dbraw/zinc/05/56/93/706055693.db2.gz RXERSYVVQZSROS-UHFFFAOYSA-N -1 1 308.407 1.810 20 0 DDADMM C[C@@]1(C2CC2)CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000864071186 706060374 /nfs/dbraw/zinc/06/03/74/706060374.db2.gz ZPIZYKZZXUUEMD-INIZCTEOSA-N -1 1 303.362 1.701 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)CO1 ZINC000828288254 706154607 /nfs/dbraw/zinc/15/46/07/706154607.db2.gz DXWUFFTYRMFWMW-NKWVEPMBSA-N -1 1 311.190 1.844 20 0 DDADMM O=C(N1CCC(c2nn[n-]n2)CC1)[C@]12CCO[C@H]1CCCC2 ZINC000828416367 706175171 /nfs/dbraw/zinc/17/51/71/706175171.db2.gz HTRUTWUJLNFHHV-SWLSCSKDSA-N -1 1 305.382 1.255 20 0 DDADMM Cn1nncc1CN1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000877705886 706183543 /nfs/dbraw/zinc/18/35/43/706183543.db2.gz YXSXMBXNAQVUEM-UHFFFAOYSA-N -1 1 321.812 1.492 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccncc1 ZINC000872430517 707406515 /nfs/dbraw/zinc/40/65/15/707406515.db2.gz UGTZKKWSVKCUIK-SFHVURJKSA-N -1 1 305.425 1.312 20 0 DDADMM CCc1cc(CNS(=O)(=O)CCCCCC(=O)[O-])[nH]n1 ZINC000829317862 706318279 /nfs/dbraw/zinc/31/82/79/706318279.db2.gz ABZBGHJMVOWNNM-UHFFFAOYSA-N -1 1 303.384 1.037 20 0 DDADMM CCOC(=O)CN(Cc1nc2c(c(=O)[n-]1)COCC2)C(C)(C)C ZINC000878202702 706326044 /nfs/dbraw/zinc/32/60/44/706326044.db2.gz VTXCGLOSIPZUIK-UHFFFAOYSA-N -1 1 323.393 1.419 20 0 DDADMM CCC[C@@H](NC(=O)C=CCOc1ccccc1)c1nn[n-]n1 ZINC000829801071 706398847 /nfs/dbraw/zinc/39/88/47/706398847.db2.gz MXHRCGFVOTWOOW-BCRSCGJKSA-N -1 1 301.350 1.792 20 0 DDADMM CCC[C@@H](NC(=O)/C=C/COc1ccccc1)c1nn[n-]n1 ZINC000829801071 706398848 /nfs/dbraw/zinc/39/88/48/706398848.db2.gz MXHRCGFVOTWOOW-BCRSCGJKSA-N -1 1 301.350 1.792 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@@H]2OCCNC(=O)[C@@H]21 ZINC000830056781 706446348 /nfs/dbraw/zinc/44/63/48/706446348.db2.gz JZJTVZNBQFAMHQ-QWHCGFSZSA-N -1 1 324.764 1.165 20 0 DDADMM C[C@H]1COC(C)(C)CN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000830383692 706506758 /nfs/dbraw/zinc/50/67/58/706506758.db2.gz MTBKMVYRTLXTGV-VIFPVBQESA-N -1 1 306.391 1.658 20 0 DDADMM Cc1cscc1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000879001157 706558807 /nfs/dbraw/zinc/55/88/07/706558807.db2.gz RJCKWRKYNHJPGZ-UHFFFAOYSA-N -1 1 320.374 1.947 20 0 DDADMM CC[C@H](C)OCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816328 706585552 /nfs/dbraw/zinc/58/55/52/706585552.db2.gz OJUARCVITUHNJH-JOYOIKCWSA-N -1 1 310.316 1.471 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2=CCCCO2)C1 ZINC000830824202 706587281 /nfs/dbraw/zinc/58/72/81/706587281.db2.gz YASDQLBGXKOKKK-LBPRGKRZSA-N -1 1 306.284 1.350 20 0 DDADMM CN(C)Cc1cc(CNC(=O)NC2(C(=O)[O-])CCC2)ccc1F ZINC000908987950 712909672 /nfs/dbraw/zinc/90/96/72/712909672.db2.gz SAUSCWVHCMKPAN-UHFFFAOYSA-N -1 1 323.368 1.694 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C1CCOCC1 ZINC000866904872 706840696 /nfs/dbraw/zinc/84/06/96/706840696.db2.gz VJWYRFHECHUSMC-JTQLQIEISA-N -1 1 320.361 1.843 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H]1CNC(=O)c1cncc([O-])c1 ZINC000832133074 706850849 /nfs/dbraw/zinc/85/08/49/706850849.db2.gz SAKUTGUHTIRZCV-NSHDSACASA-N -1 1 307.350 1.526 20 0 DDADMM CCc1cc(CNC(=O)N(CC(=O)[O-])Cc2ccccc2)n[nH]1 ZINC000908983347 712908402 /nfs/dbraw/zinc/90/84/02/712908402.db2.gz OYGJTPZODRASMM-UHFFFAOYSA-N -1 1 316.361 1.769 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1(C)C ZINC000867058665 706885576 /nfs/dbraw/zinc/88/55/76/706885576.db2.gz IIMWFVDNROTSOW-PPKCKEKNSA-N -1 1 320.361 1.698 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H](C)c1cncc(F)c1 ZINC000867079478 706890835 /nfs/dbraw/zinc/89/08/35/706890835.db2.gz PARXLFYQKCABKB-DCXZOGHSSA-N -1 1 309.388 1.234 20 0 DDADMM Cc1cccc(CC[N-]S(=O)(=O)N=S2(=O)CCCC2)n1 ZINC000867211078 706926368 /nfs/dbraw/zinc/92/63/68/706926368.db2.gz KOAWODLLZYRMEE-UHFFFAOYSA-N -1 1 317.436 1.029 20 0 DDADMM C[C@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867263436 706941312 /nfs/dbraw/zinc/94/13/12/706941312.db2.gz MUCPERPXSMNYFT-DTWKUNHWSA-N -1 1 322.789 1.825 20 0 DDADMM Cc1cc(C[C@@H](C)[N-]S(=O)(=O)c2ncn(C)c2Cl)[nH]n1 ZINC000867337083 706965694 /nfs/dbraw/zinc/96/56/94/706965694.db2.gz YVEILOQVDLXZQU-MRVPVSSYSA-N -1 1 317.802 1.015 20 0 DDADMM Cc1cc(C)cc(OC[C@H](O)CN2CC[C@](F)(C(=O)[O-])C2)c1 ZINC000832878255 706996898 /nfs/dbraw/zinc/99/68/98/706996898.db2.gz ALYHEWWMVLPPAA-CZUORRHYSA-N -1 1 311.353 1.542 20 0 DDADMM CCc1cc(=O)[nH]c(C[N@@H+]2C[C@@H](O)CC3(CCOCC3)C2)n1 ZINC000880581616 707025541 /nfs/dbraw/zinc/02/55/41/707025541.db2.gz FFZRIPQHTWUPMA-ZDUSSCGKSA-N -1 1 307.394 1.108 20 0 DDADMM CCC(C)(C)NC(=O)CO[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871799318 707208793 /nfs/dbraw/zinc/20/87/93/707208793.db2.gz LJQSMKXGQUCIHP-UHFFFAOYSA-N -1 1 322.405 1.980 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)Nc1ccc(/C=C/C(=O)[O-])cc1 ZINC000909098781 712936666 /nfs/dbraw/zinc/93/66/66/712936666.db2.gz NDILRQPBLLEKMX-WXJAXGNASA-N -1 1 318.373 1.691 20 0 DDADMM O=C1[C@H]2CSCCN2C(=O)N1Cc1ccc([O-])c(Cl)c1 ZINC000871912596 707242912 /nfs/dbraw/zinc/24/29/12/707242912.db2.gz WHGDPGCOOARCDX-SNVBAGLBSA-N -1 1 312.778 1.925 20 0 DDADMM CCc1[n-]n(-c2ccc(S(=O)(=O)N(C)C)cc2)c(=O)c1F ZINC000871961930 707256904 /nfs/dbraw/zinc/25/69/04/707256904.db2.gz VVEJRKKADLVCDA-LBPRGKRZSA-N -1 1 313.354 1.388 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H](O)CC(C)(C)C3)cnc2n1 ZINC000881439373 707257792 /nfs/dbraw/zinc/25/77/92/707257792.db2.gz JAESQDNJDQMRIT-LLVKDONJSA-N -1 1 315.373 1.877 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](O)CC(C)(C)C3)c[n-]c2n1 ZINC000881439373 707257798 /nfs/dbraw/zinc/25/77/98/707257798.db2.gz JAESQDNJDQMRIT-LLVKDONJSA-N -1 1 315.373 1.877 20 0 DDADMM Cc1ccsc1[C@H](CO)[N-]S(=O)(=O)c1cccnc1F ZINC000881968576 707448669 /nfs/dbraw/zinc/44/86/69/707448669.db2.gz CEHYKWIEMOFWCG-VIFPVBQESA-N -1 1 316.379 1.603 20 0 DDADMM C[C@H](C(=O)[O-])N(C(=O)NCc1ccc2cncn2c1)C1CCC1 ZINC000909173346 712954019 /nfs/dbraw/zinc/95/40/19/712954019.db2.gz ZZHYNTRFVBNIPQ-LLVKDONJSA-N -1 1 316.361 1.872 20 0 DDADMM CC(C)(CNC(=O)CCn1cc[n-]c(=O)c1=O)C1=CCCC1 ZINC000837215621 707599350 /nfs/dbraw/zinc/59/93/50/707599350.db2.gz DQZDAPBUIWKZPJ-UHFFFAOYSA-N -1 1 305.378 1.179 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-]C[C@@H]1C=CCC1)c1ccccc1 ZINC000882557819 707695204 /nfs/dbraw/zinc/69/52/04/707695204.db2.gz HEJRCHSEQBNWFV-CWTRNNRKSA-N -1 1 314.432 1.944 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CC2(C)CC(F)(F)C2)nc1Cl ZINC000882574445 707704950 /nfs/dbraw/zinc/70/49/50/707704950.db2.gz SGFZVJLHDRAHOM-UHFFFAOYSA-N -1 1 313.757 1.787 20 0 DDADMM CNC(=O)C[C@H](C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000909248812 712973248 /nfs/dbraw/zinc/97/32/48/712973248.db2.gz OHGZYUBEVUENNX-QMMMGPOBSA-N -1 1 305.309 1.334 20 0 DDADMM O=C(Cc1ccc(O)c(F)c1)OCCC[N-]C(=O)C(F)(F)F ZINC000838146958 707854558 /nfs/dbraw/zinc/85/45/58/707854558.db2.gz XJUDJLGKKWOHPF-UHFFFAOYSA-N -1 1 323.242 1.686 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H]2C[C@H](OC)C2(C)C)co1 ZINC000839102549 708024664 /nfs/dbraw/zinc/02/46/64/708024664.db2.gz VTZUGKHKTHVZKE-VHSXEESVSA-N -1 1 317.363 1.158 20 0 DDADMM CCC(CC)(CC(=O)[O-])NC(=O)c1ccc(CN(C)C)nc1 ZINC000909377801 713003967 /nfs/dbraw/zinc/00/39/67/713003967.db2.gz UYGCNVKYNAANMP-UHFFFAOYSA-N -1 1 307.394 1.907 20 0 DDADMM COc1ccccc1C[C@H](CNC(=O)[C@@H](C)N(C)C)C(=O)[O-] ZINC000909383777 713005019 /nfs/dbraw/zinc/00/50/19/713005019.db2.gz ISVYRXXKIWSFKQ-DGCLKSJQSA-N -1 1 308.378 1.005 20 0 DDADMM COCc1nc(N2CCC(N3CCCOC3=O)CC2)cc(=O)[n-]1 ZINC000896864553 708167734 /nfs/dbraw/zinc/16/77/34/708167734.db2.gz INZRJQXNXLKSHQ-UHFFFAOYSA-N -1 1 322.365 1.140 20 0 DDADMM CC[C@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C(=O)NC ZINC000909419549 713013579 /nfs/dbraw/zinc/01/35/79/713013579.db2.gz GDFLMGGSNZFWPD-JTQLQIEISA-N -1 1 305.309 1.334 20 0 DDADMM COc1cc(C)nc(N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)n1 ZINC000897223963 708260627 /nfs/dbraw/zinc/26/06/27/708260627.db2.gz CRTOBNFFEPWWCB-JTQLQIEISA-N -1 1 317.349 1.639 20 0 DDADMM O=C([O-])CCC1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000909438597 713018406 /nfs/dbraw/zinc/01/84/06/713018406.db2.gz VZAIGMHNNFEUNC-UHFFFAOYSA-N -1 1 302.334 1.675 20 0 DDADMM C[C@H](NC(=O)CCC(=O)[N-]OCC(F)F)c1ccccc1 ZINC000897394473 708313896 /nfs/dbraw/zinc/31/38/96/708313896.db2.gz NQTWXRWUKHNDJN-JTQLQIEISA-N -1 1 300.305 1.957 20 0 DDADMM O=C(NCc1nc2c(s1)CCC2)C(=O)c1ccc([O-])cc1 ZINC000927654126 713026844 /nfs/dbraw/zinc/02/68/44/713026844.db2.gz PPTGRHPUHTTWPE-UHFFFAOYSA-N -1 1 302.355 1.837 20 0 DDADMM C[C@H](COCC(F)(F)F)[N-]S(=O)(=O)c1ccns1 ZINC000884982793 708435013 /nfs/dbraw/zinc/43/50/13/708435013.db2.gz KEAXPUUWVGCFTO-ZCFIWIBFSA-N -1 1 304.315 1.389 20 0 DDADMM O=S(=O)([N-]CCCOC1CCOCC1)c1ccns1 ZINC000885030938 708448916 /nfs/dbraw/zinc/44/89/16/708448916.db2.gz GLMTWQPGABZAPT-UHFFFAOYSA-N -1 1 306.409 1.007 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC[C@H]1C ZINC000912527247 713030858 /nfs/dbraw/zinc/03/08/58/713030858.db2.gz BTYVETXBCQNDKF-PSASIEDQSA-N -1 1 301.368 1.053 20 0 DDADMM CCCC[C@@H](CC)C[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912531432 713031373 /nfs/dbraw/zinc/03/13/73/713031373.db2.gz YDZVIYNYLSPMBU-SNVBAGLBSA-N -1 1 317.411 1.691 20 0 DDADMM Cc1csc([C@H](C)C[N-]S(=O)(=O)c2ccns2)n1 ZINC000885105413 708474279 /nfs/dbraw/zinc/47/42/79/708474279.db2.gz VIBMFPDGEMNERM-SSDOTTSWSA-N -1 1 303.434 1.990 20 0 DDADMM CC[C@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C(=O)NC ZINC000897936993 708498970 /nfs/dbraw/zinc/49/89/70/708498970.db2.gz CZQLWKAJCSSFGO-ZDUSSCGKSA-N -1 1 302.330 1.505 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)C[C@H]1CCCC(C)(C)O1 ZINC000885232489 708499127 /nfs/dbraw/zinc/49/91/27/708499127.db2.gz XSPIVMKYZXHDSS-MNOVXSKESA-N -1 1 319.423 1.205 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCN1Cc1ccccc1)c1ccns1 ZINC000885309558 708516421 /nfs/dbraw/zinc/51/64/21/708516421.db2.gz OBYWZWWDSWGYBC-CYBMUJFWSA-N -1 1 323.443 1.696 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1ccns1)C(=O)N1CCCC1 ZINC000885326589 708520206 /nfs/dbraw/zinc/52/02/06/708520206.db2.gz ZIUOAOOBOJYTHQ-UHFFFAOYSA-N -1 1 317.436 1.070 20 0 DDADMM O=S(=O)([N-][C@@H](C1CCC1)[C@@H]1CCOC1)c1ccns1 ZINC000885340159 708522800 /nfs/dbraw/zinc/52/28/00/708522800.db2.gz OHWBEXUJVKJHGO-PWSUYJOCSA-N -1 1 302.421 1.627 20 0 DDADMM COC(=O)C(C)(C)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000885402293 708536974 /nfs/dbraw/zinc/53/69/74/708536974.db2.gz XLUJDHVDUMUVPA-UHFFFAOYSA-N -1 1 321.345 1.751 20 0 DDADMM COC(=O)C(C)(C)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000885405987 708537300 /nfs/dbraw/zinc/53/73/00/708537300.db2.gz SGRUXKJUSCFLIY-UHFFFAOYSA-N -1 1 323.773 1.957 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H]3CSC[C@@H]3C2)c1 ZINC000885614785 708585232 /nfs/dbraw/zinc/58/52/32/708585232.db2.gz XKCXVEFCXNXAFG-AOOOYVTPSA-N -1 1 315.416 1.384 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC2(CC1)C[C@H](O)CCO2 ZINC000886107790 708699672 /nfs/dbraw/zinc/69/96/72/708699672.db2.gz ANJLDDSRLKOWGN-GFCCVEGCSA-N -1 1 309.337 1.677 20 0 DDADMM Cc1nn(C)cc1CC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886268670 708733440 /nfs/dbraw/zinc/73/34/40/708733440.db2.gz YGCRJZPIQGHTFS-UHFFFAOYSA-N -1 1 309.316 1.614 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cnc2n1CCC2 ZINC000886269601 708733468 /nfs/dbraw/zinc/73/34/68/708733468.db2.gz ADRBCEPMXXUVCN-UHFFFAOYSA-N -1 1 307.300 1.786 20 0 DDADMM C[C@H]1CN(C(=O)NCCc2c(F)cc([O-])cc2F)CC[C@H]1O ZINC000927789000 713056767 /nfs/dbraw/zinc/05/67/67/713056767.db2.gz MWARZLKLSWDSJS-LKFCYVNXSA-N -1 1 314.332 1.625 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cncc(Cl)c1)[C@H]1CCOC1 ZINC000886482973 708767834 /nfs/dbraw/zinc/76/78/34/708767834.db2.gz YRTNASCBYFUIAO-JOYOIKCWSA-N -1 1 320.798 1.528 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC1(CO)CCC1 ZINC000927782694 713054946 /nfs/dbraw/zinc/05/49/46/713054946.db2.gz IBNOMQDCKWKRGA-UHFFFAOYSA-N -1 1 314.332 1.675 20 0 DDADMM COC[C@H]1CCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927788578 713056489 /nfs/dbraw/zinc/05/64/89/713056489.db2.gz KRHBYTBPSWEWKW-SECBINFHSA-N -1 1 300.305 1.643 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)c(C)n1C[C@@H]1CCCOC1 ZINC000912618314 713052373 /nfs/dbraw/zinc/05/23/73/713052373.db2.gz OYZFVPVOPATAJV-LBPRGKRZSA-N -1 1 318.381 1.321 20 0 DDADMM C[C@H](Cc1cccc(F)c1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898753770 708855057 /nfs/dbraw/zinc/85/50/57/708855057.db2.gz RAUMORJFWKACDU-SECBINFHSA-N -1 1 305.309 1.522 20 0 DDADMM O=C(CCSCc1ccccc1)NCc1nc([O-])cc(=O)[nH]1 ZINC000898755890 708856551 /nfs/dbraw/zinc/85/65/51/708856551.db2.gz ZFINRFBMWDQKMV-UHFFFAOYSA-N -1 1 319.386 1.828 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc([O-])c(F)c2)CCS1(=O)=O ZINC000887176736 708981160 /nfs/dbraw/zinc/98/11/60/708981160.db2.gz HIGWSYSWZKSFQR-WPRPVWTQSA-N -1 1 301.339 1.227 20 0 DDADMM CSCc1n[nH]c(CNC(=O)c2cc(F)c([O-])c(F)c2)n1 ZINC000899474239 709092018 /nfs/dbraw/zinc/09/20/18/709092018.db2.gz ZGHABPOVNKOGKC-UHFFFAOYSA-N -1 1 314.317 1.582 20 0 DDADMM CSCc1nnc(CNC(=O)c2cc(F)c([O-])c(F)c2)[nH]1 ZINC000899474239 709092020 /nfs/dbraw/zinc/09/20/20/709092020.db2.gz ZGHABPOVNKOGKC-UHFFFAOYSA-N -1 1 314.317 1.582 20 0 DDADMM O=C(Cc1[nH]nc2c1CCCC2)[N-]OCCOc1ccccc1 ZINC000889446591 709506389 /nfs/dbraw/zinc/50/63/89/709506389.db2.gz MBUKKPRJEBZNEN-UHFFFAOYSA-N -1 1 315.373 1.958 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc2c(c1)COC2)c1nn[n-]n1 ZINC000912860612 713110134 /nfs/dbraw/zinc/11/01/34/713110134.db2.gz RQNXSDTYYNRMIC-LBPRGKRZSA-N -1 1 319.390 1.454 20 0 DDADMM COc1ccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c(C)c1 ZINC000912861515 713110394 /nfs/dbraw/zinc/11/03/94/713110394.db2.gz OABSXORNRTYDNG-LBPRGKRZSA-N -1 1 321.406 1.741 20 0 DDADMM CCN(Cc1cnn(C)c1)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900410414 709582641 /nfs/dbraw/zinc/58/26/41/709582641.db2.gz SWZFPRHZHQNKJJ-UHFFFAOYSA-N -1 1 301.350 1.455 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCOC3(CCCCC3)C2)C1 ZINC000909724796 709596936 /nfs/dbraw/zinc/59/69/36/709596936.db2.gz NSUMUDTVLSGENG-CQSZACIVSA-N -1 1 324.421 1.345 20 0 DDADMM CS[C@@H]1CCCCN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000909729578 709598683 /nfs/dbraw/zinc/59/86/83/709598683.db2.gz OYUCSRRYYDKVKV-CHWSQXEVSA-N -1 1 314.451 1.527 20 0 DDADMM O=C([O-])CN(Cc1ccc(F)cc1)C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000909735298 709601030 /nfs/dbraw/zinc/60/10/30/709601030.db2.gz ZBDBERUDBWAQOF-CHWSQXEVSA-N -1 1 317.320 1.766 20 0 DDADMM CCN(CC(=O)N(Cc1ccccc1)[C@@H](C)C(=O)[O-])C1CC1 ZINC000909745413 709603560 /nfs/dbraw/zinc/60/35/60/709603560.db2.gz DEMZZJRCBDOIRN-ZDUSSCGKSA-N -1 1 304.390 1.973 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2csnc2C)[n-]c1=O ZINC000889789283 709636942 /nfs/dbraw/zinc/63/69/42/709636942.db2.gz BUVWRCVTWGPCOM-JTQLQIEISA-N -1 1 320.374 1.933 20 0 DDADMM COc1cccc([C@@](C)(CC(=O)[O-])NC(=O)[C@H]2CCCN2C)c1 ZINC000909816815 709637376 /nfs/dbraw/zinc/63/73/76/709637376.db2.gz AZJLZJPTOJEHTO-RHSMWYFYSA-N -1 1 320.389 1.596 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H](C)OCC2CC2)[n-]c1=O ZINC000889793876 709638778 /nfs/dbraw/zinc/63/87/78/709638778.db2.gz DMVWZBSHGGILBG-JQWIXIFHSA-N -1 1 321.377 1.669 20 0 DDADMM C[C@@H](NC(=O)[C@@H]1CCCN1C)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909822558 709640700 /nfs/dbraw/zinc/64/07/00/709640700.db2.gz MTKBJUNTSZKBJO-SNPRPXQTSA-N -1 1 304.390 1.529 20 0 DDADMM CN(CC(=O)N1CCC[C@](C)(C(=O)[O-])C1)[C@@H]1CCSC1 ZINC000909843942 709648710 /nfs/dbraw/zinc/64/87/10/709648710.db2.gz XVYFYWZSWGJPCB-RISCZKNCSA-N -1 1 300.424 1.137 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CCNC(=O)CC1 ZINC000900554875 709649567 /nfs/dbraw/zinc/64/95/67/709649567.db2.gz PFRFUKUFRZDUEY-UHFFFAOYSA-N -1 1 303.293 1.042 20 0 DDADMM O=C(OCC1(CO)COC1)c1cc(Cl)cc(Cl)c1[O-] ZINC000909862865 709657797 /nfs/dbraw/zinc/65/77/97/709657797.db2.gz KSTNQVODNNMNSU-UHFFFAOYSA-N -1 1 307.129 1.865 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CCc2cccc(C(=O)[O-])c2C1 ZINC000909864753 709658924 /nfs/dbraw/zinc/65/89/24/709658924.db2.gz HKFSMXIZGOSBGC-HNNXBMFYSA-N -1 1 302.374 1.754 20 0 DDADMM Cc1cccc2nc(CNC(=O)c3cnc(C4CC4)[n-]c3=O)cn21 ZINC000900644206 709692298 /nfs/dbraw/zinc/69/22/98/709692298.db2.gz IMZQOYYAXWXXNE-UHFFFAOYSA-N -1 1 323.356 1.946 20 0 DDADMM CC(C)(C(=O)NCc1nn[n-]n1)c1ccc(C(F)(F)F)cc1 ZINC000900661051 709699128 /nfs/dbraw/zinc/69/91/28/709699128.db2.gz YYLPMSOQOOIBTM-UHFFFAOYSA-N -1 1 313.283 1.813 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@@]12CCC(=O)N1CCS2 ZINC000909975725 709715362 /nfs/dbraw/zinc/71/53/62/709715362.db2.gz USSAZDJMGWGQQH-ZDUSSCGKSA-N -1 1 314.313 1.674 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2ccc(O)c(Cl)c2)[C@@H](C(=O)[O-])C1 ZINC000909980635 709716576 /nfs/dbraw/zinc/71/65/76/709716576.db2.gz CHYIIWFRXFAWPY-MWLCHTKSSA-N -1 1 313.737 1.750 20 0 DDADMM CCC[C@]1(C(=O)[O-])CCCN1C(=O)CN(C)[C@@H]1CCSC1 ZINC000910115544 709776743 /nfs/dbraw/zinc/77/67/43/709776743.db2.gz PORBIMFQQKBDFQ-IUODEOHRSA-N -1 1 314.451 1.670 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccc(O)cc2)CC1 ZINC000910170231 709796623 /nfs/dbraw/zinc/79/66/23/709796623.db2.gz IKOOQXZNUCBSRX-ZDUSSCGKSA-N -1 1 320.389 1.332 20 0 DDADMM CC(C)n1cccc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910171096 709796861 /nfs/dbraw/zinc/79/68/61/709796861.db2.gz SWKQRGOPZDCAFA-CYBMUJFWSA-N -1 1 307.394 1.690 20 0 DDADMM CCCc1nc(C)c(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)o1 ZINC000910177057 709798713 /nfs/dbraw/zinc/79/87/13/709798713.db2.gz IUTIOUHOWFPGGJ-UHFFFAOYSA-N -1 1 323.393 1.557 20 0 DDADMM O=C([O-])[C@H]1c2ccoc2CCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000910205220 709813183 /nfs/dbraw/zinc/81/31/83/709813183.db2.gz MIPOBPAYZNTIGW-LKFCYVNXSA-N -1 1 315.329 1.633 20 0 DDADMM O=C([O-])[C@@H]1c2ccoc2CCN1C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000910206035 709814230 /nfs/dbraw/zinc/81/42/30/709814230.db2.gz QGGCLWSGJJECAL-BREBYQMCSA-N -1 1 301.302 1.317 20 0 DDADMM O=C([O-])[C@H](C[C@H]1CCCOC1)NC(=O)c1ccc2cncn2c1 ZINC000910248514 709838802 /nfs/dbraw/zinc/83/88/02/709838802.db2.gz YQLGWDSHKNUVQE-RISCZKNCSA-N -1 1 317.345 1.334 20 0 DDADMM C[C@@H]1CN(C[C@H]2CCCN2c2ccc(C(=O)[O-])nn2)C[C@H](C)O1 ZINC000910353142 709907007 /nfs/dbraw/zinc/90/70/07/709907007.db2.gz XRLLBUBLMUTEFO-FRRDWIJNSA-N -1 1 320.393 1.253 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC[C@@H](O)[C@H](F)C1 ZINC000890691640 709956286 /nfs/dbraw/zinc/95/62/86/709956286.db2.gz LCTMUUDQIWYEEW-NXEZZACHSA-N -1 1 318.142 1.700 20 0 DDADMM CCOC(=O)[C@@H]1CCCN(CC(=O)Nc2c([O-])cccc2F)C1 ZINC000901221919 709973465 /nfs/dbraw/zinc/97/34/65/709973465.db2.gz PUEPULYQUOYFMG-LLVKDONJSA-N -1 1 324.352 1.745 20 0 DDADMM O=C([O-])[C@@H](NCc1cc2c(cn1)OCCO2)c1ccccc1 ZINC000901486575 710053190 /nfs/dbraw/zinc/05/31/90/710053190.db2.gz LYQYAJXFHJWZOH-HNNXBMFYSA-N -1 1 300.314 1.768 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)CN(C)CCc1ccccc1 ZINC000901657278 710110927 /nfs/dbraw/zinc/11/09/27/710110927.db2.gz QCNHTXXQJGQRKW-GDBMZVCRSA-N -1 1 318.417 1.873 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1cnn(-c2ccc(F)cc2)c1 ZINC000901662543 710112989 /nfs/dbraw/zinc/11/29/89/710112989.db2.gz BZWUXBLKOIXYJI-UHFFFAOYSA-N -1 1 321.352 1.935 20 0 DDADMM O=C(C[C@H]1CCS(=O)(=O)C1)Nc1cc(F)cc(F)c1[O-] ZINC000910980763 710139903 /nfs/dbraw/zinc/13/99/03/710139903.db2.gz YKINQLATFGENIP-SSDOTTSWSA-N -1 1 305.302 1.434 20 0 DDADMM CCn1cncc1CN[C@H](C(=O)[O-])c1ccc(OC)c(F)c1 ZINC000901859962 710160935 /nfs/dbraw/zinc/16/09/35/710160935.db2.gz IEYADIVYNUNXDA-AWEZNQCLSA-N -1 1 307.325 1.966 20 0 DDADMM Cn1ncc(C(=O)[O-])c1C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC000901914690 710173243 /nfs/dbraw/zinc/17/32/43/710173243.db2.gz RQFGHHCLJKOMEP-UHFFFAOYSA-N -1 1 322.409 1.312 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@]2(C(=O)[O-])C[C@H]2C)N2CCCC2)o1 ZINC000901934590 710179401 /nfs/dbraw/zinc/17/94/01/710179401.db2.gz NKSYNLFRNCCDKP-NDGTYSDOSA-N -1 1 320.389 1.952 20 0 DDADMM COCCN(CCOC)Cc1ncc(Br)cc1[O-] ZINC000891450194 710205449 /nfs/dbraw/zinc/20/54/49/710205449.db2.gz LLXGNJOFVFKQKG-UHFFFAOYSA-N -1 1 319.199 1.645 20 0 DDADMM CC(=O)N1CC[C@@](C)(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)C1 ZINC000891622866 710251307 /nfs/dbraw/zinc/25/13/07/710251307.db2.gz RFTRAPNKKWUWGW-MRXNPFEDSA-N -1 1 318.377 1.258 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1cn2ccccc2n1 ZINC000891632119 710253317 /nfs/dbraw/zinc/25/33/17/710253317.db2.gz RKHDXIGMZYMFKO-UHFFFAOYSA-N -1 1 309.329 1.961 20 0 DDADMM COCc1nc(NCCCNC(=O)c2ccccc2)cc(=O)[n-]1 ZINC000892195690 710393610 /nfs/dbraw/zinc/39/36/10/710393610.db2.gz UIDNPUBCVIZQPS-UHFFFAOYSA-N -1 1 316.361 1.561 20 0 DDADMM CCO[C@@H]1C[C@H](Nc2cc(=O)[n-]c(COC)n2)[C@@]12CCCO2 ZINC000893171933 710566198 /nfs/dbraw/zinc/56/61/98/710566198.db2.gz ABZYXOKBUZFPKY-RWSFTLGLSA-N -1 1 309.366 1.467 20 0 DDADMM CCN1CCN(CC(=O)Nc2cc(C)cc(C(=O)[O-])c2)CC1 ZINC000911070565 710620753 /nfs/dbraw/zinc/62/07/53/710620753.db2.gz GKLBKWMWJHHXNI-UHFFFAOYSA-N -1 1 305.378 1.269 20 0 DDADMM C[C@@H](NCc1ccc(O[C@@H](C)C(=O)[O-])cc1)C(=O)NC(C)(C)C ZINC000902179989 710650848 /nfs/dbraw/zinc/65/08/48/710650848.db2.gz MVWNXIOWOKYGGQ-NEPJUHHUSA-N -1 1 322.405 1.931 20 0 DDADMM O=C([O-])COc1ccc(CNCC(=O)NC2CCCCC2)cc1 ZINC000902198877 710661227 /nfs/dbraw/zinc/66/12/27/710661227.db2.gz HTTUORBFTHTKTF-UHFFFAOYSA-N -1 1 320.389 1.689 20 0 DDADMM O=C([O-])COCCNC(=O)[C@@H]1CCCCN1Cc1ccccc1 ZINC000902293397 710701170 /nfs/dbraw/zinc/70/11/70/710701170.db2.gz KBWSCOAACKVBRF-HNNXBMFYSA-N -1 1 320.389 1.259 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000911325057 710742860 /nfs/dbraw/zinc/74/28/60/710742860.db2.gz GAGRCNMXRRSJTP-GHMZBOCLSA-N -1 1 306.366 1.499 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2cc(C)c(C(=O)[O-])o2)C1 ZINC000911352949 710758259 /nfs/dbraw/zinc/75/82/59/710758259.db2.gz PXDOINDEBAIECF-LLVKDONJSA-N -1 1 310.350 1.127 20 0 DDADMM Cc1ccc([C@H]2CCN(Cc3cn(CC(=O)[O-])nn3)C2)c(C)c1 ZINC000902450618 710758502 /nfs/dbraw/zinc/75/85/02/710758502.db2.gz KQBVOPYUCPQHLE-AWEZNQCLSA-N -1 1 314.389 1.969 20 0 DDADMM O=C([O-])c1ccc(CC(=O)N2CCN(C3CCC3)CC2)cc1 ZINC000911379363 710771008 /nfs/dbraw/zinc/77/10/08/710771008.db2.gz WGYGPBCLKVMYMV-UHFFFAOYSA-N -1 1 302.374 1.624 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC[C@@H]2CC[C@H]3C[C@H]32)co1 ZINC000893799944 710834404 /nfs/dbraw/zinc/83/44/04/710834404.db2.gz UMOLVCCUATVJKO-NHCYSSNCSA-N -1 1 313.375 1.781 20 0 DDADMM Cc1cnc(C(=O)N2CCN(Cc3cncs3)CC2)c([O-])c1 ZINC000913412008 713210814 /nfs/dbraw/zinc/21/08/14/713210814.db2.gz VHIDZLRKWFBFOH-UHFFFAOYSA-N -1 1 318.402 1.510 20 0 DDADMM CC1CCN(CC(=O)N[C@](C)(CC(=O)[O-])c2ccncc2)CC1 ZINC000911618395 710883164 /nfs/dbraw/zinc/88/31/64/710883164.db2.gz KOVYBPDTCIBWCC-QGZVFWFLSA-N -1 1 319.405 1.620 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@@]2(CO)CCC[C@@H]12 ZINC000902757805 710891827 /nfs/dbraw/zinc/89/18/27/710891827.db2.gz YTBUKOOVVJHJDI-CXAGYDPISA-N -1 1 313.357 1.300 20 0 DDADMM CN(C)c1ccnc(CNC(=O)[C@H](C(=O)[O-])C2CCCC2)c1 ZINC000902815059 710913295 /nfs/dbraw/zinc/91/32/95/710913295.db2.gz SIIUQAUJBOYJRT-CQSZACIVSA-N -1 1 305.378 1.655 20 0 DDADMM CCN(CC(=O)N[C@H](C(=O)[O-])c1ccc(OC)c(O)c1)C1CC1 ZINC000911675170 710922238 /nfs/dbraw/zinc/92/22/38/710922238.db2.gz BLQBFXVMDULHFR-HNNXBMFYSA-N -1 1 322.361 1.127 20 0 DDADMM CCN(CC(=O)NCc1nc2cc(C(=O)[O-])ccc2[nH]1)C1CC1 ZINC000911748317 710963784 /nfs/dbraw/zinc/96/37/84/710963784.db2.gz NLDDLJYELWLVGF-UHFFFAOYSA-N -1 1 316.361 1.362 20 0 DDADMM Cc1nc([C@@H]2CCN(C(=O)[C@@H]3CCC[C@H](C(=O)[O-])C3)C2)n[nH]1 ZINC000911771736 710975927 /nfs/dbraw/zinc/97/59/27/710975927.db2.gz DIBJKOMYUHWNCE-GRYCIOLGSA-N -1 1 306.366 1.320 20 0 DDADMM Cn1nc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)cc1C1CC1 ZINC000902982991 710984613 /nfs/dbraw/zinc/98/46/13/710984613.db2.gz JENAOSBDGYFYQV-UHFFFAOYSA-N -1 1 323.356 1.464 20 0 DDADMM C[C@@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccc1F ZINC000913494583 713237305 /nfs/dbraw/zinc/23/73/05/713237305.db2.gz FZTFALMQNVSISS-BXKDBHETSA-N -1 1 305.313 1.042 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495031 713237809 /nfs/dbraw/zinc/23/78/09/713237809.db2.gz GLIXISIUOCNFGW-AORWBKJGSA-N -1 1 317.324 1.342 20 0 DDADMM C[C@@H](Cc1ccccc1F)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495666 713237981 /nfs/dbraw/zinc/23/79/81/713237981.db2.gz NMVGBPYIKRLQAD-GWCFXTLKSA-N -1 1 319.340 1.118 20 0 DDADMM Cc1ccc(CCCC(=O)N2CCOC[C@H]2c2nn[n-]n2)s1 ZINC000913495705 713238255 /nfs/dbraw/zinc/23/82/55/713238255.db2.gz OAFQRQTYDFGHCT-LBPRGKRZSA-N -1 1 321.406 1.492 20 0 DDADMM O=C([O-])C1(CCN2CCN(C(=O)c3ccco3)CC2)CCC1 ZINC000903693935 711246480 /nfs/dbraw/zinc/24/64/80/711246480.db2.gz RGPSHAZZKCHUEO-UHFFFAOYSA-N -1 1 306.362 1.682 20 0 DDADMM COc1ccc(CNCc2cnn(C)c2C(=O)[O-])c(C)c1OC ZINC000904068412 711373401 /nfs/dbraw/zinc/37/34/01/711373401.db2.gz UWVGKXZSZZAJGU-UHFFFAOYSA-N -1 1 319.361 1.734 20 0 DDADMM Cc1nc(N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)cc(=O)[nH]1 ZINC000895040150 711380178 /nfs/dbraw/zinc/38/01/78/711380178.db2.gz KTACCRRFDGTCAN-LLVKDONJSA-N -1 1 304.272 1.138 20 0 DDADMM COCc1nc(N2CCC[C@@H](CCC(=O)OC)C2)cc(=O)[n-]1 ZINC000895079059 711399731 /nfs/dbraw/zinc/39/97/31/711399731.db2.gz CTQRFOJLTGRHEJ-NSHDSACASA-N -1 1 309.366 1.498 20 0 DDADMM C[C@H]1C(=O)NCCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896114443 711678224 /nfs/dbraw/zinc/67/82/24/711678224.db2.gz BMPIQMGKVSDZFD-VIFPVBQESA-N -1 1 300.314 1.212 20 0 DDADMM O=C(C=Cc1cccc(F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742017 713291472 /nfs/dbraw/zinc/29/14/72/713291472.db2.gz BJGHSVYKBNCLDJ-PLNGDYQASA-N -1 1 301.325 1.758 20 0 DDADMM CCOc1ccccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742761 713292098 /nfs/dbraw/zinc/29/20/98/713292098.db2.gz SUAJMUCXBOJOGB-UHFFFAOYSA-N -1 1 301.350 1.618 20 0 DDADMM O=C(c1ccc2cccnc2c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745971 713293809 /nfs/dbraw/zinc/29/38/09/713293809.db2.gz RKVMHSRXFZZHCV-UHFFFAOYSA-N -1 1 308.345 1.768 20 0 DDADMM C[S@](=O)CCN[C@@H](C(=O)[O-])c1ccccc1Br ZINC000905400947 712028572 /nfs/dbraw/zinc/02/85/72/712028572.db2.gz PLUBUDLYXKAOFI-QGHHPUGFSA-N -1 1 320.208 1.543 20 0 DDADMM O=C(C[N-]S(=O)(=O)CC1(F)CCC1)OCc1ccccc1 ZINC000913838007 713308620 /nfs/dbraw/zinc/30/86/20/713308620.db2.gz XAFMLYLXJUHGFL-UHFFFAOYSA-N -1 1 315.366 1.541 20 0 DDADMM CCCCN(CC)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906799205 712414039 /nfs/dbraw/zinc/41/40/39/712414039.db2.gz RSYPPLREROWCTA-UHFFFAOYSA-N -1 1 301.364 1.901 20 0 DDADMM COCCO[N-]C(=O)c1c[nH]c(=NC(=O)OC(C)(C)C)s1 ZINC000906909269 712435697 /nfs/dbraw/zinc/43/56/97/712435697.db2.gz KFHWQNNKIJUOAQ-UHFFFAOYSA-N -1 1 317.367 1.798 20 0 DDADMM O=C(C[C@H](O)C(Cl)(Cl)Cl)[N-]OCC(F)(F)F ZINC000907049226 712474577 /nfs/dbraw/zinc/47/45/77/712474577.db2.gz RQVXFPRELJTIGJ-VKHMYHEASA-N -1 1 304.479 1.718 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)CCC(N)=O)c1 ZINC000907240395 712521296 /nfs/dbraw/zinc/52/12/96/712521296.db2.gz HQVDOJBSWOGJGC-UHFFFAOYSA-N -1 1 301.140 1.667 20 0 DDADMM COC[C@](C)(CO)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000907407484 712563126 /nfs/dbraw/zinc/56/31/26/712563126.db2.gz MEASSGLKZWLEQN-INIZCTEOSA-N -1 1 305.330 1.378 20 0 DDADMM Nc1c[nH]nc1[C@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000907417420 712566206 /nfs/dbraw/zinc/56/62/06/712566206.db2.gz RUNPYQUMUBUTRB-ZETCQYMHSA-N -1 1 308.288 1.605 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1CCOc2c(F)cccc21 ZINC000907442347 712573332 /nfs/dbraw/zinc/57/33/32/712573332.db2.gz BJSWGXWCPLIACW-SNVBAGLBSA-N -1 1 322.383 1.211 20 0 DDADMM CC(C)(CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)CC(F)F ZINC000907473056 712581280 /nfs/dbraw/zinc/58/12/80/712581280.db2.gz BQWAXBUXIWXYHC-MRVPVSSYSA-N -1 1 319.381 1.888 20 0 DDADMM CCn1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1C ZINC000907474946 712581816 /nfs/dbraw/zinc/58/18/16/712581816.db2.gz ILRIMAHUZVSJSW-LLVKDONJSA-N -1 1 306.395 1.260 20 0 DDADMM CCOCCOCCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907653249 712609161 /nfs/dbraw/zinc/60/91/61/712609161.db2.gz MFWWPLDRAPOOQG-UHFFFAOYSA-N -1 1 309.366 1.185 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CC(O)C2)c1cc(Cl)ccc1F ZINC000907785182 712626192 /nfs/dbraw/zinc/62/61/92/712626192.db2.gz KZHSOYBLOOVGSV-BYIYDRLASA-N -1 1 305.758 1.671 20 0 DDADMM CC[C@@H](CC(=O)N1CCC(O)(c2nn[n-]n2)CC1)C(C)(C)C ZINC000907942892 712652559 /nfs/dbraw/zinc/65/25/59/712652559.db2.gz CQASDQKNIXGYRO-NSHDSACASA-N -1 1 309.414 1.472 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2CCC[C@H]21)c1nc[nH]c1Br ZINC000907961321 712655622 /nfs/dbraw/zinc/65/56/22/712655622.db2.gz UQHKGCIOBKOPLI-BWZBUEFSSA-N -1 1 320.212 1.639 20 0 DDADMM O=C(NCCCn1cccn1)NCCc1c(F)cc([O-])cc1F ZINC000914010904 713332305 /nfs/dbraw/zinc/33/23/05/713332305.db2.gz JPEXXTHRJXXBPT-UHFFFAOYSA-N -1 1 324.331 1.799 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000908143487 712697248 /nfs/dbraw/zinc/69/72/48/712697248.db2.gz SFHRTNJKWBEAFL-OLZOCXBDSA-N -1 1 319.361 1.080 20 0 DDADMM O=C([O-])C[C@H](Cc1ccccc1)NC(=O)[C@H]1CCCN1C1CC1 ZINC000908243795 712718576 /nfs/dbraw/zinc/71/85/76/712718576.db2.gz WJZZSRNRQVMQAJ-GOEBONIOSA-N -1 1 316.401 1.815 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@H]1C1CC1)c1ccc(F)c(F)c1F ZINC000908420919 712767302 /nfs/dbraw/zinc/76/73/02/712767302.db2.gz YUQQWPBHKUNANP-WCBMZHEXSA-N -1 1 321.320 1.807 20 0 DDADMM CC1=NO[C@H](CNC(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000908708161 712839018 /nfs/dbraw/zinc/83/90/18/712839018.db2.gz ZUMJBHQFKAZYNH-VIFPVBQESA-N -1 1 303.293 1.974 20 0 DDADMM CCS(=O)(=O)CC(=O)Nc1cccc([O-])c1Br ZINC000908717056 712840435 /nfs/dbraw/zinc/84/04/35/712840435.db2.gz RUZFRJRVWZXSEM-UHFFFAOYSA-N -1 1 322.180 1.528 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)N2CC[C@H](c3ccccc3)C2)C1 ZINC000908730275 712842775 /nfs/dbraw/zinc/84/27/75/712842775.db2.gz REHGAOPGDANMGA-JKSUJKDBSA-N -1 1 316.401 1.799 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)N2CC[C@H](c3ccccc3)C2)C1 ZINC000908730273 712842802 /nfs/dbraw/zinc/84/28/02/712842802.db2.gz REHGAOPGDANMGA-HOTGVXAUSA-N -1 1 316.401 1.799 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000908747266 712846686 /nfs/dbraw/zinc/84/66/86/712846686.db2.gz KADAYBAEFQZITQ-NWDGAFQWSA-N -1 1 309.366 1.531 20 0 DDADMM O=C([O-])[C@H](NC(=O)NC[C@H](c1ccco1)N1CCCC1)C1CC1 ZINC000908746669 712846821 /nfs/dbraw/zinc/84/68/21/712846821.db2.gz CJAWTMUGYGPCAB-TZMCWYRMSA-N -1 1 321.377 1.579 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H](C(=O)[O-])C1CC1)c1ccc(F)cc1 ZINC000908817391 712860934 /nfs/dbraw/zinc/86/09/34/712860934.db2.gz FFMCCKXTXXZKFK-KBPBESRZSA-N -1 1 323.368 1.591 20 0 DDADMM CN(C(=O)N[C@H]1CCCN(C)C1)[C@@H](C(=O)[O-])c1ccccc1 ZINC000908871432 712874946 /nfs/dbraw/zinc/87/49/46/712874946.db2.gz RLEKBKNLDNNZGJ-UONOGXRCSA-N -1 1 305.378 1.548 20 0 DDADMM C[C@H](C(=O)[O-])N(Cc1ccccc1)C(=O)N[C@@H]1CCCN(C)C1 ZINC000908870629 712875111 /nfs/dbraw/zinc/87/51/11/712875111.db2.gz LVMIGDLVJOQUNA-UKRRQHHQSA-N -1 1 319.405 1.766 20 0 DDADMM CCN(CC)[C@H](CNC(=O)N(C)[C@@H](C)C(=O)[O-])c1ccco1 ZINC000908874917 712876835 /nfs/dbraw/zinc/87/68/35/712876835.db2.gz CDCMORVYRLBHOR-NWDGAFQWSA-N -1 1 311.382 1.777 20 0 DDADMM CNC(=O)CCCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000914310166 713374895 /nfs/dbraw/zinc/37/48/95/713374895.db2.gz WJGBFGZZMIKSCA-UHFFFAOYSA-N -1 1 315.320 1.038 20 0 DDADMM CC(C)(C)NC(=O)[C@H]1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000928732433 713473825 /nfs/dbraw/zinc/47/38/25/713473825.db2.gz TZTNEWGOVMKGLX-CYBMUJFWSA-N -1 1 318.373 1.481 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)[C@@H]1COC(C)(C)C1 ZINC000918061462 713527658 /nfs/dbraw/zinc/52/76/58/713527658.db2.gz RPUWGVUOSIISBO-RYUDHWBXSA-N -1 1 321.439 1.405 20 0 DDADMM COC(=O)N(C)CC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000919290920 713595463 /nfs/dbraw/zinc/59/54/63/713595463.db2.gz SEDGBDTZITVKTL-UHFFFAOYSA-N -1 1 321.285 1.240 20 0 DDADMM COc1c(F)cc(S(=O)(=O)[N-]C(CF)CF)cc1F ZINC000919825096 713637400 /nfs/dbraw/zinc/63/74/00/713637400.db2.gz IGESXDJGJOQNMQ-UHFFFAOYSA-N -1 1 301.261 1.559 20 0 DDADMM CCOC(=O)[C@H](C[C@H](C)CC)[N-]S(=O)(=O)CC1(F)CC1 ZINC000921383088 713750850 /nfs/dbraw/zinc/75/08/50/713750850.db2.gz OVNATWAWEKYOAS-MNOVXSKESA-N -1 1 309.403 1.776 20 0 DDADMM C[C@H](CCCO)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000921413586 713761886 /nfs/dbraw/zinc/76/18/86/713761886.db2.gz OZKLANFYXPVJCI-SECBINFHSA-N -1 1 302.321 1.673 20 0 DDADMM CC(C)c1ncc(S(=O)(=O)Oc2cc(F)ccc2CO)[n-]1 ZINC000921544778 713803714 /nfs/dbraw/zinc/80/37/14/713803714.db2.gz BKEMSYURXHUJPO-UHFFFAOYSA-N -1 1 314.338 1.932 20 0 DDADMM CC(C)c1nc(S(=O)(=O)Oc2cc(F)ccc2CO)c[n-]1 ZINC000921544778 713803717 /nfs/dbraw/zinc/80/37/17/713803717.db2.gz BKEMSYURXHUJPO-UHFFFAOYSA-N -1 1 314.338 1.932 20 0 DDADMM CN(C)[C@@H](CC[N-]S(=O)(=O)c1ccco1)C(F)(F)F ZINC000921633328 713827009 /nfs/dbraw/zinc/82/70/09/713827009.db2.gz KLTPDULMDGEUKU-QMMMGPOBSA-N -1 1 300.302 1.441 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCS[C@H](C)C2)o1 ZINC000921714952 713852095 /nfs/dbraw/zinc/85/20/95/713852095.db2.gz GLQAYBAOQHSDJU-BDAKNGLRSA-N -1 1 319.404 1.629 20 0 DDADMM O=C(N[C@@H]1CCC[C@@]12CCCO2)c1cnc(C2CC2)[n-]c1=O ZINC000922312159 714018116 /nfs/dbraw/zinc/01/81/16/714018116.db2.gz TUZRXYQYPJIGMX-MLGOLLRUSA-N -1 1 303.362 1.891 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC[C@@H]2CCNC(=O)[C@H]21 ZINC000922460903 714061176 /nfs/dbraw/zinc/06/11/76/714061176.db2.gz DXTVTVVTYRUGCQ-RNCFNFMXSA-N -1 1 308.765 1.786 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C1CC1 ZINC000931849456 714197647 /nfs/dbraw/zinc/19/76/47/714197647.db2.gz ILAPTAANLBAIGA-WDEREUQCSA-N -1 1 308.382 1.310 20 0 DDADMM C[C@@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)[C@@H]1CCCO1 ZINC000923053290 714223477 /nfs/dbraw/zinc/22/34/77/714223477.db2.gz WJLPGAYHADQXSE-YPMHNXCESA-N -1 1 305.330 1.301 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCC[C@@H](CO)C1 ZINC000932038496 714243959 /nfs/dbraw/zinc/24/39/59/714243959.db2.gz JUFODELJJLTREM-GFCCVEGCSA-N -1 1 316.361 1.814 20 0 DDADMM O=C(NCC1OCCCO1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932048521 714246056 /nfs/dbraw/zinc/24/60/56/714246056.db2.gz BHEQEOIFGXNQEV-UHFFFAOYSA-N -1 1 318.333 1.462 20 0 DDADMM CC1(C)CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C[C@H]1O ZINC000932052427 714246916 /nfs/dbraw/zinc/24/69/16/714246916.db2.gz WQGZBAGUPBGGCJ-CYBMUJFWSA-N -1 1 316.361 1.813 20 0 DDADMM C[C@@H]1C[C@H](O)CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932055040 714247825 /nfs/dbraw/zinc/24/78/25/714247825.db2.gz SVSYQKMYTLXVJG-RISCZKNCSA-N -1 1 316.361 1.813 20 0 DDADMM O=C(NC[C@H]1C[C@@H](O)C1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932060576 714249474 /nfs/dbraw/zinc/24/94/74/714249474.db2.gz HPVBNTCASOMHRL-GSJFWJNXSA-N -1 1 302.334 1.470 20 0 DDADMM O=C(COC(=O)[C@@H]1CCO[C@H]1C1CC1)[N-]C(=O)c1ccccc1 ZINC000923204340 714271600 /nfs/dbraw/zinc/27/16/00/714271600.db2.gz NJKQOKPTVWVGGW-HIFRSBDPSA-N -1 1 317.341 1.301 20 0 DDADMM CN(CC(F)(F)F)C(=O)CN1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC000923528876 714391959 /nfs/dbraw/zinc/39/19/59/714391959.db2.gz MEFBYGIDOGGTOC-VHSXEESVSA-N -1 1 308.300 1.050 20 0 DDADMM O=S1(=O)CCC[C@H]1Cc1nc(-c2ccc([O-])c(F)c2)no1 ZINC000924009831 714506323 /nfs/dbraw/zinc/50/63/23/714506323.db2.gz ZCOXELHXRDOWAH-VIFPVBQESA-N -1 1 312.322 1.701 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C[C@@H]1CC=CCC1 ZINC000924252116 714550585 /nfs/dbraw/zinc/55/05/85/714550585.db2.gz UMLLHIFYLKBIQB-SNVBAGLBSA-N -1 1 321.295 1.914 20 0 DDADMM CCOC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)c1ncc(C)cc1[O-] ZINC000924878341 714686034 /nfs/dbraw/zinc/68/60/34/714686034.db2.gz IRDYWTARJNZOHZ-RYUDHWBXSA-N -1 1 322.361 1.326 20 0 DDADMM COc1ccc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)cn1 ZINC000934273632 714783147 /nfs/dbraw/zinc/78/31/47/714783147.db2.gz LOWQDFNVTVEGNY-GFCCVEGCSA-N -1 1 316.361 1.932 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2ncc(Cl)n2C)[n-]c1=O ZINC000934278019 714785488 /nfs/dbraw/zinc/78/54/88/714785488.db2.gz RIAAJYVMPQXRCJ-SECBINFHSA-N -1 1 323.784 1.915 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)NC[C@@H](O)C3CC3)c2)o1 ZINC000934655272 714872856 /nfs/dbraw/zinc/87/28/56/714872856.db2.gz RHNYCOZQJINZSW-OAHLLOKOSA-N -1 1 315.325 1.965 20 0 DDADMM Cc1cccn2cc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)cc12 ZINC000935037180 714961194 /nfs/dbraw/zinc/96/11/94/714961194.db2.gz PNXGHJFCOJGIKK-GFCCVEGCSA-N -1 1 310.361 1.781 20 0 DDADMM Cc1ccccc1[C@@H](C)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000935457756 715060585 /nfs/dbraw/zinc/06/05/85/715060585.db2.gz YTNRVOXLFSBGDA-YPMHNXCESA-N -1 1 317.418 1.928 20 0 DDADMM CC1(C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000956046610 715304168 /nfs/dbraw/zinc/30/41/68/715304168.db2.gz VDTDIQFNQCNHPD-NSHDSACASA-N -1 1 303.362 1.308 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000937361806 715349298 /nfs/dbraw/zinc/34/92/98/715349298.db2.gz BDZLXLJNPUCDBZ-AVGNSLFASA-N -1 1 317.389 1.554 20 0 DDADMM O=C(CC1CCC1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937861475 715617500 /nfs/dbraw/zinc/61/75/00/715617500.db2.gz SQZYEQHREJGOHD-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937861191 715617504 /nfs/dbraw/zinc/61/75/04/715617504.db2.gz PSBMMNCNPDJBPT-WDEREUQCSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1C[C@H]1C1CC1 ZINC000938025358 715691177 /nfs/dbraw/zinc/69/11/77/715691177.db2.gz TWFMRYSTIGPMBD-RWMBFGLXSA-N -1 1 315.373 1.164 20 0 DDADMM CC(=O)N[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H](C)C1 ZINC000955683231 715903941 /nfs/dbraw/zinc/90/39/41/715903941.db2.gz AAUXCMAJRMLHED-CABZTGNLSA-N -1 1 318.377 1.189 20 0 DDADMM O=C(CC1CCC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959936464 716363285 /nfs/dbraw/zinc/36/32/85/716363285.db2.gz FSRVJALSHBSDBH-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM CC1(CC(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC000940832411 716981771 /nfs/dbraw/zinc/98/17/71/716981771.db2.gz GMBQLSHTPNPVEQ-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959428115 717012505 /nfs/dbraw/zinc/01/25/05/717012505.db2.gz RNBILCUPTBVJKT-CLFQDTNISA-N -1 1 317.389 1.578 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)C1CC1 ZINC000959444195 717018853 /nfs/dbraw/zinc/01/88/53/717018853.db2.gz HDLPMLBJKCMJBO-ZYHUDNBSSA-N -1 1 303.362 1.022 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC000942758696 717858581 /nfs/dbraw/zinc/85/85/81/717858581.db2.gz QHCXCTDDKGXIAK-VFJIKVCNSA-N -1 1 317.389 1.816 20 0 DDADMM C[C@H]1CN(C(=O)C2CCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966030372 717889478 /nfs/dbraw/zinc/88/94/78/717889478.db2.gz NFMHSZIIYFARFM-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM CC1(C(=O)N[C@H]2CCCCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000943303482 718073383 /nfs/dbraw/zinc/07/33/83/718073383.db2.gz PGRZBXOPTRBGQG-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944211298 718272132 /nfs/dbraw/zinc/27/21/32/718272132.db2.gz CKABGNWCFNXLJQ-YPMHNXCESA-N -1 1 323.368 1.502 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000945129386 718405268 /nfs/dbraw/zinc/40/52/68/718405268.db2.gz VZQWVEXTFDOPOA-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C(F)F ZINC000945291403 718436686 /nfs/dbraw/zinc/43/66/86/718436686.db2.gz YVVICMHKRGGOAU-RKDXNWHRSA-N -1 1 313.304 1.019 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1cc[nH]c1 ZINC000966325915 718516101 /nfs/dbraw/zinc/51/61/01/718516101.db2.gz DRWASTWTWGIHFN-PWSUYJOCSA-N -1 1 314.345 1.006 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CC2(C)CC2)C1 ZINC000967903369 719081071 /nfs/dbraw/zinc/08/10/71/719081071.db2.gz AWOYYHJPKLHUEC-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000947871122 719294922 /nfs/dbraw/zinc/29/49/22/719294922.db2.gz OFEPCFMKBPLBOA-ZDUSSCGKSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2CC2(C)C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948447122 719503621 /nfs/dbraw/zinc/50/36/21/719503621.db2.gz IPEMTJUCZSSYDY-GRYCIOLGSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886573 719844101 /nfs/dbraw/zinc/84/41/01/719844101.db2.gz RKOZECMZCNLRGC-NDBYEHHHSA-N -1 1 315.373 1.259 20 0 DDADMM CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886402 719844691 /nfs/dbraw/zinc/84/46/91/719844691.db2.gz KEYSOOIOCMRQJX-TXEJJXNPSA-N -1 1 317.389 1.649 20 0 DDADMM Cc1[nH]ccc1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949534722 720145303 /nfs/dbraw/zinc/14/53/03/720145303.db2.gz DSUDMNWCDDHYLC-NSHDSACASA-N -1 1 314.345 1.068 20 0 DDADMM CCC1(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CCC1 ZINC000949541775 720149657 /nfs/dbraw/zinc/14/96/57/720149657.db2.gz PGEKNWNDWZBDCT-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1conc1CN1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000969541242 720180344 /nfs/dbraw/zinc/18/03/44/720180344.db2.gz AFAXYSXHRXKKGJ-NSHDSACASA-N -1 1 316.361 1.334 20 0 DDADMM CCc1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1 ZINC000970622976 720853313 /nfs/dbraw/zinc/85/33/13/720853313.db2.gz RZJLQUMROOXLKO-CYBMUJFWSA-N -1 1 315.377 1.077 20 0 DDADMM Cc1ncc(CN(C)[C@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC000971398068 721278038 /nfs/dbraw/zinc/27/80/38/721278038.db2.gz ULRCLPOYOGJJQL-LBPRGKRZSA-N -1 1 316.361 1.430 20 0 DDADMM CC(C)C(=O)N1CCCC[C@@H]1[C@H](C)NC(=O)c1ncccc1[O-] ZINC000953556029 721625366 /nfs/dbraw/zinc/62/53/66/721625366.db2.gz KNUBLIKZFBHTAV-QWHCGFSZSA-N -1 1 319.405 1.943 20 0 DDADMM CN(C(=O)[C@@H]1CC=CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953705933 721645656 /nfs/dbraw/zinc/64/56/56/721645656.db2.gz MCHGGKVCHRFFFL-GFCCVEGCSA-N -1 1 315.373 1.426 20 0 DDADMM CN(C(=O)C1CC(C)(C)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954198653 721745423 /nfs/dbraw/zinc/74/54/23/721745423.db2.gz LDVVNEPAPJNCDF-UHFFFAOYSA-N -1 1 317.389 1.506 20 0 DDADMM O=S(=O)([N-]CCc1ccc(F)cc1F)c1nccs1 ZINC001187918185 744629056 /nfs/dbraw/zinc/62/90/56/744629056.db2.gz VSEVWFMHFOGZNB-UHFFFAOYSA-N -1 1 304.343 1.942 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCCN2Cc2ccccn2)c1[O-] ZINC001027833724 738710265 /nfs/dbraw/zinc/71/02/65/738710265.db2.gz UHABWTDCCHGNTN-ZDUSSCGKSA-N -1 1 315.377 1.213 20 0 DDADMM O=C(c1cccs1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024854246 736131575 /nfs/dbraw/zinc/13/15/75/736131575.db2.gz GCLNJAZOEPJCLF-SNVBAGLBSA-N -1 1 321.406 1.356 20 0 DDADMM CC(C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)=C1CCC1 ZINC001024960196 736185107 /nfs/dbraw/zinc/18/51/07/736185107.db2.gz PCDVPKSRNASQMK-CYBMUJFWSA-N -1 1 319.409 1.481 20 0 DDADMM Cc1coc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001025052615 736258893 /nfs/dbraw/zinc/25/88/93/736258893.db2.gz RZXPXJPEUSOYBP-LLVKDONJSA-N -1 1 319.365 1.196 20 0 DDADMM O=S(=O)([N-]CC1(CCO)CC1)c1ccc(Cl)nc1F ZINC000692889364 738962185 /nfs/dbraw/zinc/96/21/85/738962185.db2.gz YEVHXBHVMDECSI-UHFFFAOYSA-N -1 1 308.762 1.315 20 0 DDADMM Cc1nccc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)n1 ZINC001167935640 739730755 /nfs/dbraw/zinc/73/07/55/739730755.db2.gz BNVCEUYSCQKLHD-UHFFFAOYSA-N -1 1 305.274 1.870 20 0 DDADMM O=C(N[C@H]1C[C@@H](Nc2ncc(Cl)cn2)C1)c1ncccc1[O-] ZINC001059613355 741415102 /nfs/dbraw/zinc/41/51/02/741415102.db2.gz BOAKJIMMDQSSOF-AOOOYVTPSA-N -1 1 319.752 1.604 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CC[N@@H+]1C[C@@H]1CCCCO1 ZINC001088539270 741712172 /nfs/dbraw/zinc/71/21/72/741712172.db2.gz QDTURSGTTRCYRN-RDBSUJKOSA-N -1 1 319.405 1.549 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1ccsn1 ZINC001076247658 742620316 /nfs/dbraw/zinc/62/03/16/742620316.db2.gz YJZVKAPMNGZBDH-VIFPVBQESA-N -1 1 320.374 1.182 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CC(C)(C)C ZINC001076244481 742623673 /nfs/dbraw/zinc/62/36/73/742623673.db2.gz UMVCYKCJKFRXRP-NSHDSACASA-N -1 1 307.394 1.848 20 0 DDADMM COc1ccc(CCCC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001181215119 743114790 /nfs/dbraw/zinc/11/47/90/743114790.db2.gz ZWYWHFHAIXYNER-UHFFFAOYSA-N -1 1 318.333 1.440 20 0 DDADMM COc1cc(CC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)ccc1C ZINC001182303730 743559219 /nfs/dbraw/zinc/55/92/19/743559219.db2.gz DEPVXNBOKLHDBS-UHFFFAOYSA-N -1 1 313.317 1.557 20 0 DDADMM CS(=O)(=O)c1ccc(-c2noc(-c3ncccc3[O-])n2)cc1 ZINC001212480701 743593912 /nfs/dbraw/zinc/59/39/12/743593912.db2.gz CYSLXQVNZWQEOQ-UHFFFAOYSA-N -1 1 317.326 1.908 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)C12CCC(CO)(CC1)CC2 ZINC001182702739 743700721 /nfs/dbraw/zinc/70/07/21/743700721.db2.gz CHKSJTFIUYWUKC-UHFFFAOYSA-N -1 1 321.377 1.858 20 0 DDADMM Cc1noc([N-]C(=O)C(F)(F)OCC(F)(F)F)c1C(N)=O ZINC001183192323 743793728 /nfs/dbraw/zinc/79/37/28/743793728.db2.gz SBSOBHGEEGZHGO-UHFFFAOYSA-N -1 1 317.170 1.192 20 0 DDADMM CC(C)(C(=O)Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc(O)cc1 ZINC001183780973 743909405 /nfs/dbraw/zinc/90/94/05/743909405.db2.gz SPXQHMFTIZZWOR-UHFFFAOYSA-N -1 1 313.317 1.680 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H]1CCc2[nH]c3ccc(F)cc3c2C1 ZINC001183791132 743911685 /nfs/dbraw/zinc/91/16/85/743911685.db2.gz RVFYBPVKJPWXDM-QMMMGPOBSA-N -1 1 314.324 1.241 20 0 DDADMM CC(C)(C)OC(=O)N1CC[N@H+](C(C)(C)C)C[C@@H]1C(=O)[N-]C#N ZINC001184444321 744037546 /nfs/dbraw/zinc/03/75/46/744037546.db2.gz MCYUAXMHLREHBF-LLVKDONJSA-N -1 1 310.398 1.303 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(C(C)(C)C)C[C@@H]1C(=O)[N-]C#N ZINC001184444321 744037548 /nfs/dbraw/zinc/03/75/48/744037548.db2.gz MCYUAXMHLREHBF-LLVKDONJSA-N -1 1 310.398 1.303 20 0 DDADMM COc1cc[nH]c(=O)c1C(=O)Nc1cc(=O)[n-]c(SC)n1 ZINC001185067852 744154898 /nfs/dbraw/zinc/15/48/98/744154898.db2.gz NTNXVOLMVSNBEV-UHFFFAOYSA-N -1 1 308.319 1.266 20 0 DDADMM Cn1cc(CC[N-]S(=O)(=O)c2cc3cc(F)ccc3o2)cn1 ZINC001185550473 744245906 /nfs/dbraw/zinc/24/59/06/744245906.db2.gz BRFNYGOPPVNSNU-UHFFFAOYSA-N -1 1 323.349 1.826 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1scnc1C1CC1 ZINC001185673680 744273230 /nfs/dbraw/zinc/27/32/30/744273230.db2.gz RNHDMXUCWDNWJA-UHFFFAOYSA-N -1 1 307.291 1.872 20 0 DDADMM COC(=O)c1cn2c(n1)CN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC001186219173 744366564 /nfs/dbraw/zinc/36/65/64/744366564.db2.gz NJQGBJKAQFDUBV-UHFFFAOYSA-N -1 1 319.292 1.170 20 0 DDADMM O=C(N[C@@H]1CCc2[nH]c(=O)ccc2C1)c1ccc([O-])cc1F ZINC001186337804 744389026 /nfs/dbraw/zinc/38/90/26/744389026.db2.gz SMPHMPNSTLEBCN-SNVBAGLBSA-N -1 1 302.305 1.919 20 0 DDADMM COc1ccc(CNC(=O)c2ccc([O-])cc2F)nc1OC ZINC001186339957 744389397 /nfs/dbraw/zinc/38/93/97/744389397.db2.gz SXJLWPXGUAUPFA-UHFFFAOYSA-N -1 1 306.293 1.874 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1cc2c(s1)CCCC2 ZINC001187222048 744530312 /nfs/dbraw/zinc/53/03/12/744530312.db2.gz VMPBTKJDLWPJCI-UHFFFAOYSA-N -1 1 313.404 1.797 20 0 DDADMM O=C(NCc1cncn1C1CCC1)c1n[n-]nc1C(F)(F)F ZINC001187774750 744600719 /nfs/dbraw/zinc/60/07/19/744600719.db2.gz WQLJXIXDPIYIDQ-UHFFFAOYSA-N -1 1 314.271 1.675 20 0 DDADMM O=S(=O)([N-]CCc1ccc(F)c(F)c1)c1nccs1 ZINC001187913443 744629144 /nfs/dbraw/zinc/62/91/44/744629144.db2.gz XFLKDUDGYFBMKE-UHFFFAOYSA-N -1 1 304.343 1.942 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cc2c(s1)CCCC2 ZINC001188355709 744700179 /nfs/dbraw/zinc/70/01/79/744700179.db2.gz VDKSARNDJVSHAZ-UHFFFAOYSA-N -1 1 303.405 1.932 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC1CC1)c1ccccc1Cl ZINC001188990645 744804674 /nfs/dbraw/zinc/80/46/74/744804674.db2.gz MVZDFFPRFGAZIA-GFCCVEGCSA-N -1 1 317.794 1.884 20 0 DDADMM Cc1cc(F)cc(C)c1C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001189304841 744868490 /nfs/dbraw/zinc/86/84/90/744868490.db2.gz OVVVXAWXKFIVJJ-UHFFFAOYSA-N -1 1 317.280 1.724 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)Cc2cccc(F)c2F)o1 ZINC001189487210 744903913 /nfs/dbraw/zinc/90/39/13/744903913.db2.gz NXWTZEWWWHWKCP-UHFFFAOYSA-N -1 1 303.290 1.276 20 0 DDADMM Cc1cnc([N-]S(=O)(=O)Cc2cc(F)ccc2F)c(=O)[nH]1 ZINC001189718717 744964964 /nfs/dbraw/zinc/96/49/64/744964964.db2.gz BYYRUFSYOGVVGZ-UHFFFAOYSA-N -1 1 315.301 1.298 20 0 DDADMM CN(C)C(=O)Cc1ccc([N-]S(=O)(=O)c2ccncc2)cc1 ZINC001190886913 745367473 /nfs/dbraw/zinc/36/74/73/745367473.db2.gz GMXXZKFOEQVCTG-UHFFFAOYSA-N -1 1 319.386 1.513 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cncc(C(=O)OC)c1 ZINC001191212833 745449061 /nfs/dbraw/zinc/44/90/61/745449061.db2.gz FLQIQYCFJXSDLC-UHFFFAOYSA-N -1 1 319.273 1.204 20 0 DDADMM CSc1ncc(C(=O)Nc2c[nH]c(Cl)cc2=O)c(=O)[n-]1 ZINC001191429754 745509163 /nfs/dbraw/zinc/50/91/63/745509163.db2.gz QJDVSXZWAHOYQH-UHFFFAOYSA-N -1 1 312.738 1.910 20 0 DDADMM CSc1ncc(C(=O)Nc2cnc3nccn3c2)c(=O)[n-]1 ZINC001191429493 745509584 /nfs/dbraw/zinc/50/95/84/745509584.db2.gz NIIZWQAEBMFRST-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM Cc1cc(NCC[C@@H](C)NC(=O)c2ncccc2[O-])nc(C)n1 ZINC001106295894 745561933 /nfs/dbraw/zinc/56/19/33/745561933.db2.gz BPLPDYFZDGLWJP-SNVBAGLBSA-N -1 1 315.377 1.815 20 0 DDADMM CCc1cc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)nn1C ZINC001191642820 745570547 /nfs/dbraw/zinc/57/05/47/745570547.db2.gz KYHLDKNSJYWBIH-UHFFFAOYSA-N -1 1 319.325 1.194 20 0 DDADMM Cc1cc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)cc(=O)[nH]1 ZINC001192224547 745730974 /nfs/dbraw/zinc/73/09/74/745730974.db2.gz OSSGEGMWRSNRNW-UHFFFAOYSA-N -1 1 318.293 1.307 20 0 DDADMM O=C(NC[C@@H](O)c1ccc(O)cc1)c1c(F)ccc([O-])c1F ZINC001192551125 745813413 /nfs/dbraw/zinc/81/34/13/745813413.db2.gz CNAQLGYLKJVJBU-GFCCVEGCSA-N -1 1 309.268 1.839 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@](O)(CF)CC1 ZINC001192525926 745821393 /nfs/dbraw/zinc/82/13/93/745821393.db2.gz CGXDTPUTLNCKEL-CQSZACIVSA-N -1 1 303.280 1.997 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CC(F)(F)CC[C@H]1CO ZINC001192661343 745867963 /nfs/dbraw/zinc/86/79/63/745867963.db2.gz SRGWNWCDSNINFT-ZETCQYMHSA-N -1 1 307.243 1.903 20 0 DDADMM O=C(c1cc(Cl)nc(Cl)c1[O-])N1CC[N@H+]2CC[C@H]2C1 ZINC001193090950 745971490 /nfs/dbraw/zinc/97/14/90/745971490.db2.gz RMXNXRQKNFPRMR-ZETCQYMHSA-N -1 1 302.161 1.624 20 0 DDADMM O=C(c1cc(Cl)nc(Cl)c1[O-])N1CCN2CC[C@H]2C1 ZINC001193090950 745971494 /nfs/dbraw/zinc/97/14/94/745971494.db2.gz RMXNXRQKNFPRMR-ZETCQYMHSA-N -1 1 302.161 1.624 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1ccncc1C(F)(F)F ZINC001193196438 746008046 /nfs/dbraw/zinc/00/80/46/746008046.db2.gz LVHFSWARYCVALI-MRVPVSSYSA-N -1 1 310.297 1.443 20 0 DDADMM Cc1c([N-]S(=O)(=O)C[C@@H]2CCCO2)cnn1[C@H]1CCOC1 ZINC001193217476 746018210 /nfs/dbraw/zinc/01/82/10/746018210.db2.gz BJBKIFCWLNABGW-RYUDHWBXSA-N -1 1 315.395 1.074 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1ccc(CO)cn1 ZINC001193303782 746056869 /nfs/dbraw/zinc/05/68/69/746056869.db2.gz VEABEGJDVNHTCQ-UHFFFAOYSA-N -1 1 324.134 1.689 20 0 DDADMM O=C(Nc1n[nH]c2cncc(Br)c21)c1cc(=O)[nH][n-]1 ZINC001193460906 746108608 /nfs/dbraw/zinc/10/86/08/746108608.db2.gz GZWDPPUITVXEQI-UHFFFAOYSA-N -1 1 323.110 1.401 20 0 DDADMM COc1ccnc(C(=O)Nc2cnn3ccc(Cl)nc23)c1[O-] ZINC001193524809 746133099 /nfs/dbraw/zinc/13/30/99/746133099.db2.gz LZVLZLWXPMWVJI-UHFFFAOYSA-N -1 1 319.708 1.744 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc(C2=NCCO2)cc1 ZINC001193930102 746225136 /nfs/dbraw/zinc/22/51/36/746225136.db2.gz UOVHBEOTSMEXRR-UHFFFAOYSA-N -1 1 317.370 1.846 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccc(C(N)=O)c(Cl)c2)[n-]n1 ZINC001194288459 746343721 /nfs/dbraw/zinc/34/37/21/746343721.db2.gz DMGMYHXBDUXYCU-UHFFFAOYSA-N -1 1 322.708 1.201 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccccc2-n2cncn2)[n-]n1 ZINC001194288373 746343770 /nfs/dbraw/zinc/34/37/70/746343770.db2.gz AJGWIDKRVMJPEE-UHFFFAOYSA-N -1 1 312.289 1.029 20 0 DDADMM COC[C@@H](C)Oc1cc(NC(=O)c2nc(C)ccc2[O-])[nH]n1 ZINC001195322922 746564938 /nfs/dbraw/zinc/56/49/38/746564938.db2.gz QJRGZZKNQUNKFT-SECBINFHSA-N -1 1 306.322 1.485 20 0 DDADMM COC[C@]12CCCO[C@@H]1CCN(C(=O)c1nc(C)ccc1[O-])C2 ZINC001195323380 746565277 /nfs/dbraw/zinc/56/52/77/746565277.db2.gz URDPHHPHPLPTQD-RHSMWYFYSA-N -1 1 320.389 1.753 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cc([O-])cnc2Cl)n(C)n1 ZINC001195297527 746570127 /nfs/dbraw/zinc/57/01/27/746570127.db2.gz CKFUGUAZLVSZNZ-UHFFFAOYSA-N -1 1 324.724 1.603 20 0 DDADMM O=C(Nc1cccc2c1CC(=O)NC2)c1cc([O-])cnc1Cl ZINC001195305923 746572653 /nfs/dbraw/zinc/57/26/53/746572653.db2.gz LFEZICVEAWNGQT-UHFFFAOYSA-N -1 1 317.732 1.865 20 0 DDADMM COc1nc(Cl)ccc1NC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196013201 746756534 /nfs/dbraw/zinc/75/65/34/746756534.db2.gz ACSCIMXZOGWBED-UHFFFAOYSA-N -1 1 312.738 1.780 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CC[C@@]2(C1)CC(F)(F)CO2 ZINC001196015947 746757216 /nfs/dbraw/zinc/75/72/16/746757216.db2.gz MGPRIEVVIVEYSC-NSHDSACASA-N -1 1 317.317 1.111 20 0 DDADMM COc1cc2c(cn1)CCN(C(=O)c1c[nH]c(=S)[n-]c1=O)C2 ZINC001196015730 746757540 /nfs/dbraw/zinc/75/75/40/746757540.db2.gz HLOKYEVMCPHHPL-UHFFFAOYSA-N -1 1 318.358 1.073 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1C[C@@H]2C[C@H]1[C@H](C(F)(F)F)O2 ZINC001196016104 746757644 /nfs/dbraw/zinc/75/76/44/746757644.db2.gz OLWPMCHSXQOZCU-JSKYLQRQSA-N -1 1 321.280 1.015 20 0 DDADMM O=S(=O)([N-]Cc1cnccn1)c1cc(F)c(F)cc1F ZINC001195995284 746763005 /nfs/dbraw/zinc/76/30/05/746763005.db2.gz GMXKKVJUMQTDFW-UHFFFAOYSA-N -1 1 303.265 1.372 20 0 DDADMM O=c1[nH]ncc([N-]S(=O)(=O)c2ccc(Cl)cn2)c1Cl ZINC001196205235 746796502 /nfs/dbraw/zinc/79/65/02/746796502.db2.gz OMYUBLHMFNRNNK-UHFFFAOYSA-N -1 1 321.145 1.685 20 0 DDADMM O=C([N-]c1cccc2c1C(=O)N=NC2=O)c1snnc1CO ZINC001196360157 746857746 /nfs/dbraw/zinc/85/77/46/746857746.db2.gz YRYDBWDNVOPBOR-UHFFFAOYSA-N -1 1 317.286 1.029 20 0 DDADMM Cc1nc(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)c[nH]c1=O ZINC001196521767 746884797 /nfs/dbraw/zinc/88/47/97/746884797.db2.gz UCEPBZCRMYUIRO-UHFFFAOYSA-N -1 1 311.301 1.222 20 0 DDADMM CC(C)c1ccc(-c2cc(C(=O)NCc3nn[n-]n3)no2)cc1 ZINC001196750705 746958014 /nfs/dbraw/zinc/95/80/14/746958014.db2.gz DAWWWYOETGFWEC-UHFFFAOYSA-N -1 1 312.333 1.908 20 0 DDADMM CCCOc1cccnc1C(=O)N=c1ncnc2[nH][n-]c(C)c1-2 ZINC001196923696 746998745 /nfs/dbraw/zinc/99/87/45/746998745.db2.gz SDHUWMARYBWDMO-UHFFFAOYSA-N -1 1 312.333 1.471 20 0 DDADMM Cc1noc(C(C)C)c1C(=O)NCCCC[P@](=O)([O-])O ZINC001197361412 747137803 /nfs/dbraw/zinc/13/78/03/747137803.db2.gz QICMBKSKZRMCHR-UHFFFAOYSA-N -1 1 304.283 1.794 20 0 DDADMM O=S(=O)(Cc1cccnc1)[N-]c1cnn2cc(F)ccc12 ZINC001197431189 747162774 /nfs/dbraw/zinc/16/27/74/747162774.db2.gz MCBZYFDKSNBHLY-UHFFFAOYSA-N -1 1 306.322 1.810 20 0 DDADMM O=C1CCCC[C@H]1[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC001197622868 747209630 /nfs/dbraw/zinc/20/96/30/747209630.db2.gz OFCCUISJVYGOIO-GFCCVEGCSA-N -1 1 303.330 1.896 20 0 DDADMM C[C@@H](CCNC(=O)C1(C)CCCC1)NC(=O)c1ncccc1[O-] ZINC001077555758 747355868 /nfs/dbraw/zinc/35/58/68/747355868.db2.gz FRVIYNYKJQQNIF-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cccc(Cl)n2)cc(=O)o1 ZINC001198199123 747404542 /nfs/dbraw/zinc/40/45/42/747404542.db2.gz JEQAZHRGZHWWEX-UHFFFAOYSA-N -1 1 300.723 1.797 20 0 DDADMM CC(C)C(=O)NC1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC001004077644 747968461 /nfs/dbraw/zinc/96/84/61/747968461.db2.gz ZQHLVLPGJWCSJS-UHFFFAOYSA-N -1 1 319.405 1.943 20 0 DDADMM O=S(=O)([N-]C1CC(O)C1)c1c(F)cccc1Br ZINC001200904381 748378583 /nfs/dbraw/zinc/37/85/83/748378583.db2.gz YIDDQYDHFSOLMS-UHFFFAOYSA-N -1 1 324.171 1.390 20 0 DDADMM O=S(=O)([N-]CCCO)c1c(F)cccc1Br ZINC001200904382 748378733 /nfs/dbraw/zinc/37/87/33/748378733.db2.gz YJPSYTPFGOIDTR-UHFFFAOYSA-N -1 1 312.160 1.249 20 0 DDADMM C[C@H](O)C[N-]S(=O)(=O)c1cc(Cl)c(Cl)cc1F ZINC001201244175 748442162 /nfs/dbraw/zinc/44/21/62/748442162.db2.gz IKZHCMJZGUGQMM-YFKPBYRVSA-N -1 1 302.154 1.792 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)C(C)(C)F ZINC001004666679 748635246 /nfs/dbraw/zinc/63/52/46/748635246.db2.gz GCMDAIGSTOATIJ-UWVGGRQHSA-N -1 1 313.377 1.118 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)/C=C\C1CC1 ZINC001004772291 748789440 /nfs/dbraw/zinc/78/94/40/748789440.db2.gz LHNUKFHVQWOPFG-ZFVKQSTNSA-N -1 1 319.409 1.336 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)N(C)[C@H]1CC[N@H+](C/C=C\Cl)C1 ZINC001033345014 749234977 /nfs/dbraw/zinc/23/49/77/749234977.db2.gz UKMTWDLMAQXZOL-MZBZXASESA-N -1 1 310.785 1.389 20 0 DDADMM O=C(NC/C=C/CNc1cnc(F)cn1)c1ncccc1[O-] ZINC001107138869 749343820 /nfs/dbraw/zinc/34/38/20/749343820.db2.gz BTKACENUNAODTE-OWOJBTEDSA-N -1 1 303.297 1.114 20 0 DDADMM COC[C@H]1C[C@@H](NC(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000924015820 750169064 /nfs/dbraw/zinc/16/90/64/750169064.db2.gz WFVUOPVCNGXANT-AOOOYVTPSA-N -1 1 314.332 1.937 20 0 DDADMM C[C@@H]1CCCN(C(=O)CC2(C)CC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036564130 752537708 /nfs/dbraw/zinc/53/77/08/752537708.db2.gz IBHHANBMCSOJTB-VXGBXAGGSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(CCCF)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001062305657 752877452 /nfs/dbraw/zinc/87/74/52/752877452.db2.gz ISXZBPOYSLGYSS-GFCCVEGCSA-N -1 1 323.368 1.648 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)C1(F)CCCCC1 ZINC000999588707 753020753 /nfs/dbraw/zinc/02/07/53/753020753.db2.gz JQAZLZARASFDAJ-UHFFFAOYSA-N -1 1 321.352 1.400 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CC2CCC2)C1 ZINC001005952575 753414143 /nfs/dbraw/zinc/41/41/43/753414143.db2.gz QHZWKEPXAMTWHR-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H](C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1)C1CC1 ZINC001062761812 753685397 /nfs/dbraw/zinc/68/53/97/753685397.db2.gz OKZHGZFLZPQCJI-NSHDSACASA-N -1 1 317.389 1.602 20 0 DDADMM Cc1nnc([C@@H](C)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001010731411 754128744 /nfs/dbraw/zinc/12/87/44/754128744.db2.gz JDIRLSJUEDJEJO-KOLCDFICSA-N -1 1 317.349 1.044 20 0 DDADMM O=C(CC1CCC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010893099 754235054 /nfs/dbraw/zinc/23/50/54/754235054.db2.gz RHLRSFJHHHUTCC-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM CCC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3ncccc3[O-])[C@H]2C1 ZINC001014497297 755761379 /nfs/dbraw/zinc/76/13/79/755761379.db2.gz NMWGBBAFUMVIOU-RWMBFGLXSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)CC1CC1 ZINC001014894312 756004212 /nfs/dbraw/zinc/00/42/12/756004212.db2.gz OSGXBNWUKWSBLE-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM CC(=O)N1CCC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]1C ZINC001014955701 756037258 /nfs/dbraw/zinc/03/72/58/756037258.db2.gz MTRYJUZSVKZDMR-RNCFNFMXSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])Nc1ncc(Cl)cn1 ZINC001097764573 757510566 /nfs/dbraw/zinc/51/05/66/757510566.db2.gz JCOSPTIJRWJLAK-QMMMGPOBSA-N -1 1 307.741 1.461 20 0 DDADMM O=C(C[C@H]1C=CCC1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085128020 758277524 /nfs/dbraw/zinc/27/75/24/758277524.db2.gz ZBSWRAULPHHOSW-YNEHKIRRSA-N -1 1 319.409 1.384 20 0 DDADMM C[C@]1(CNc2ncccn2)CCN(C(=O)c2ncccc2[O-])C1 ZINC001065412463 758520495 /nfs/dbraw/zinc/52/04/95/758520495.db2.gz CSERDGXMUBCAOY-MRXNPFEDSA-N -1 1 313.361 1.542 20 0 DDADMM CC(C)CC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001065781462 758795569 /nfs/dbraw/zinc/79/55/69/758795569.db2.gz JGBDPKAWQKXBGH-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnc2nccn2c1)C1CCCC1 ZINC000824243325 759167110 /nfs/dbraw/zinc/16/71/10/759167110.db2.gz XJGACXSXQZQNDO-ZDUSSCGKSA-N -1 1 324.406 1.676 20 0 DDADMM C[C@@H]1CN(C(=O)CCC2CCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054347731 759522608 /nfs/dbraw/zinc/52/26/08/759522608.db2.gz XJZKUHHOIXOYNC-YPMHNXCESA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H]1CN(C(=O)CC2CCCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054362974 759551312 /nfs/dbraw/zinc/55/13/12/759551312.db2.gz JVWVQZVRMGVPCS-DGCLKSJQSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H]1CN(C(=O)CCC2CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054527545 759772254 /nfs/dbraw/zinc/77/22/54/759772254.db2.gz VSYKDTVCKSYWJD-CMPLNLGQSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2[C@H](C)c2ccccc2)c1[O-] ZINC001038161066 760869090 /nfs/dbraw/zinc/86/90/90/760869090.db2.gz KWKUIMAVICUFNG-TZMCWYRMSA-N -1 1 314.389 1.989 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000126509 761525843 /nfs/dbraw/zinc/52/58/43/761525843.db2.gz CQOWQSUUQVCYQK-GRYCIOLGSA-N -1 1 307.398 1.027 20 0 DDADMM CC[C@]1(C)C[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541807 762946170 /nfs/dbraw/zinc/94/61/70/762946170.db2.gz PBIIGTYFVNBQCI-YVEFUNNKSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001542515 762947411 /nfs/dbraw/zinc/94/74/11/762947411.db2.gz UEWAXZWBSMQYNY-ZYHUDNBSSA-N -1 1 303.362 1.022 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)C(C)(C)F ZINC001052423220 765811523 /nfs/dbraw/zinc/81/15/23/765811523.db2.gz VHPNDIXSMKTZFW-QWRGUYRKSA-N -1 1 323.368 1.360 20 0 DDADMM Cc1cccc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001044909636 765903710 /nfs/dbraw/zinc/90/37/10/765903710.db2.gz JLRNAZCFTJYNKU-ZDUSSCGKSA-N -1 1 315.377 1.213 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc(Cl)nc4[nH]ccc43)c2[nH]1 ZINC001170213371 766172385 /nfs/dbraw/zinc/17/23/85/766172385.db2.gz FPMNVBXLXFOCBE-UHFFFAOYSA-N -1 1 317.696 1.989 20 0 DDADMM Nc1cnc2c(cccc2Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001170218275 766176677 /nfs/dbraw/zinc/17/66/77/766176677.db2.gz JSAMKUWSDRWODJ-UHFFFAOYSA-N -1 1 309.289 1.590 20 0 DDADMM Cc1c(C)c(-n2ccnc2)nnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170227614 766201637 /nfs/dbraw/zinc/20/16/37/766201637.db2.gz PWAYICGSNOAANH-UHFFFAOYSA-N -1 1 323.320 1.395 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCC[C@]3(CCN(CCF)C3)C2)c1[O-] ZINC001045931332 766714159 /nfs/dbraw/zinc/71/41/59/766714159.db2.gz ITYYMBIGXTZEIA-MRXNPFEDSA-N -1 1 324.400 1.711 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(Cc2ccon2)C1 ZINC001046260180 767315493 /nfs/dbraw/zinc/31/54/93/767315493.db2.gz WYKCKZIOBIWETE-HNNXBMFYSA-N -1 1 302.334 1.170 20 0 DDADMM Cc1cc(N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)ncn1 ZINC001046333846 767432541 /nfs/dbraw/zinc/43/25/41/767432541.db2.gz NXVVXAJHZQFPSW-TXEJJXNPSA-N -1 1 313.361 1.506 20 0 DDADMM O=C1CC2(CCN(Cc3c(F)cc([O-])cc3F)CC2)CC(=O)N1 ZINC001144559180 772564846 /nfs/dbraw/zinc/56/48/46/772564846.db2.gz KTYRDVABGCGXJB-UHFFFAOYSA-N -1 1 324.327 1.689 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(Br)CCC2)n1 ZINC001144736190 772607765 /nfs/dbraw/zinc/60/77/65/772607765.db2.gz WLNIVSYZONYHNA-UHFFFAOYSA-N -1 1 316.155 1.843 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](O)c2cccc(Cl)c2)n1 ZINC001144814859 772627833 /nfs/dbraw/zinc/62/78/33/772627833.db2.gz DLMOGLQHAMKHCI-NSHDSACASA-N -1 1 323.736 1.912 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc(C(C)(C)C)nc3)c1-2 ZINC001147796784 773249322 /nfs/dbraw/zinc/24/93/22/773249322.db2.gz RYZUANAWBSFIMP-UHFFFAOYSA-N -1 1 310.361 1.980 20 0 DDADMM COc1ccc(C)nc1NC(=O)c1c(CO)cnc(C)c1[O-] ZINC001147833428 773260083 /nfs/dbraw/zinc/26/00/83/773260083.db2.gz GCEXWQNOGBVHBV-UHFFFAOYSA-N -1 1 303.318 1.552 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2ccc3c(c2)CCO3)c1[O-] ZINC001147841534 773265150 /nfs/dbraw/zinc/26/51/50/773265150.db2.gz RBORXLRGHQCRTK-UHFFFAOYSA-N -1 1 300.314 1.775 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCc2cccc3ccnn32)c1[O-] ZINC001147844537 773267220 /nfs/dbraw/zinc/26/72/20/773267220.db2.gz SIYISUQGARCUJI-UHFFFAOYSA-N -1 1 312.329 1.166 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2OCCN(CCCF)[C@H]2C1 ZINC001074219740 773743267 /nfs/dbraw/zinc/74/32/67/773743267.db2.gz VLUOJFPCMMMAOC-JSGCOSHPSA-N -1 1 323.368 1.062 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2OCCN(CCCF)[C@@H]2C1 ZINC001074219742 773743781 /nfs/dbraw/zinc/74/37/81/773743781.db2.gz VLUOJFPCMMMAOC-TZMCWYRMSA-N -1 1 323.368 1.062 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@@H]1CC=CCC1 ZINC001092459025 774130209 /nfs/dbraw/zinc/13/02/09/774130209.db2.gz CDVHWYIBEQUXPM-JHJVBQTASA-N -1 1 319.409 1.051 20 0 DDADMM Cc1cnc(C)nc1N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001099105200 774836355 /nfs/dbraw/zinc/83/63/55/774836355.db2.gz UBCDTXSXCSCGGR-NSHDSACASA-N -1 1 315.377 1.815 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])c1cnc2c(c1)NC(=O)CO2 ZINC001171632941 776355020 /nfs/dbraw/zinc/35/50/20/776355020.db2.gz XXABWKSPDQWLRX-UHFFFAOYSA-N -1 1 303.249 1.510 20 0 DDADMM CC(=O)Nc1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1F ZINC001212701951 776965300 /nfs/dbraw/zinc/96/53/00/776965300.db2.gz UMVVQKFYPMQMOI-UHFFFAOYSA-N -1 1 309.326 1.894 20 0 DDADMM Cn1cnc2ccc([N-]S(=O)(=O)c3cccc(N)c3)cc21 ZINC001174349015 777463480 /nfs/dbraw/zinc/46/34/80/777463480.db2.gz RJXAFSZMFWQXGV-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM CC(C)[C@H](C)CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101808166 777546347 /nfs/dbraw/zinc/54/63/47/777546347.db2.gz VOGMAUBKQHVBEQ-JHJVBQTASA-N -1 1 323.441 1.377 20 0 DDADMM CC[C@@H](C)CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101918023 777677632 /nfs/dbraw/zinc/67/76/32/777677632.db2.gz GPJYVHFIJWXHPX-IJLUTSLNSA-N -1 1 309.414 1.131 20 0 DDADMM CSc1ccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)cn1 ZINC001175655548 777865294 /nfs/dbraw/zinc/86/52/94/777865294.db2.gz MHKXAIQRAJUAFW-UHFFFAOYSA-N -1 1 311.388 1.892 20 0 DDADMM CN(C)c1nc(Nc2ccc3nonc3c2)c(N=O)c(=O)[n-]1 ZINC001176320362 778126568 /nfs/dbraw/zinc/12/65/68/778126568.db2.gz PQXNRXAISYPVJU-UHFFFAOYSA-N -1 1 301.266 1.926 20 0 DDADMM O=C(Cc1nn[n-]n1)N1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001176842488 778271249 /nfs/dbraw/zinc/27/12/49/778271249.db2.gz KNBLVCFWDVKKKG-LLVKDONJSA-N -1 1 307.304 1.394 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cc(F)c(OCC2CC2)c(F)c1 ZINC001176845697 778299593 /nfs/dbraw/zinc/29/95/93/778299593.db2.gz ZAXIGIGEQXVZSA-UHFFFAOYSA-N -1 1 309.276 1.448 20 0 DDADMM O=C(Cc1nn[n-]n1)NCc1ccccc1N1CCCCCC1 ZINC001176845531 778299770 /nfs/dbraw/zinc/29/97/70/778299770.db2.gz OUBFSMXVLPKESK-UHFFFAOYSA-N -1 1 314.393 1.439 20 0 DDADMM Cc1nc(CC(=O)Nc2c(C)cc(C)cc2S(=O)(=O)[O-])n[nH]1 ZINC001177439817 778610695 /nfs/dbraw/zinc/61/06/95/778610695.db2.gz IWZCTRKXQSKHGG-UHFFFAOYSA-N -1 1 324.362 1.158 20 0 DDADMM O=C(NCC1(Nc2nccnc2F)CCC1)c1ncccc1[O-] ZINC001111786003 779480930 /nfs/dbraw/zinc/48/09/30/779480930.db2.gz RIBBSFJAJQJVOE-UHFFFAOYSA-N -1 1 317.324 1.481 20 0 DDADMM O=C([O-])C(F)(F)C(F)(F)C(=O)NC1(c2cccnc2)CC1 ZINC001180176785 779636334 /nfs/dbraw/zinc/63/63/34/779636334.db2.gz OOXOKSYQSXSKJM-UHFFFAOYSA-N -1 1 306.215 1.542 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)C(=O)CCc1cnc[nH]1 ZINC001116758405 780554372 /nfs/dbraw/zinc/55/43/72/780554372.db2.gz UHQGKXMRMBGCHR-UHFFFAOYSA-N -1 1 317.374 1.711 20 0 DDADMM CN(C(=O)c1coc(/C=C/C(=O)[O-])c1)[C@@H]1CN2CCC1CC2 ZINC001119539638 781500927 /nfs/dbraw/zinc/50/09/27/781500927.db2.gz VIKGSEKNEREBQJ-BAABZTOOSA-N -1 1 304.346 1.544 20 0 DDADMM CCC(=O)NCCN(CC)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001408705855 838499369 /nfs/dbraw/zinc/49/93/69/838499369.db2.gz VRHKFWOTMBNXJZ-UHFFFAOYSA-N -1 1 306.366 1.048 20 0 DDADMM O=C(C[C@@H]1C=CCC1)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001269524799 841726333 /nfs/dbraw/zinc/72/63/33/841726333.db2.gz IWTWHHOJPPNZHP-GFCCVEGCSA-N -1 1 319.409 1.385 20 0 DDADMM NC(=O)c1cc2c([nH]1)CN(C(=O)c1ccc([O-])cc1Cl)CC2 ZINC001269762510 842019190 /nfs/dbraw/zinc/01/91/90/842019190.db2.gz SYGWSHFPWRKRER-UHFFFAOYSA-N -1 1 319.748 1.671 20 0 DDADMM CN(C)C(=O)CCC(=O)Nc1nc(Br)ccc1[O-] ZINC001143041770 861352204 /nfs/dbraw/zinc/35/22/04/861352204.db2.gz BWZMLXSNVDGWIL-UHFFFAOYSA-N -1 1 316.155 1.357 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc2oc(-c3ccccc3)cc2[nH]1 ZINC001154681108 861353637 /nfs/dbraw/zinc/35/36/37/861353637.db2.gz HOEBYHXAIPBBSL-UHFFFAOYSA-N -1 1 308.301 1.871 20 0 DDADMM CN1CC2(CCC1=O)CCN(C(=O)c1cncc([O-])c1)CC2 ZINC001271386684 843549449 /nfs/dbraw/zinc/54/94/49/843549449.db2.gz ONKKONQIYPUPMC-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cnc2ccccc2c1 ZINC001149139937 861471632 /nfs/dbraw/zinc/47/16/32/861471632.db2.gz SZZFQKYCWMGVMT-UHFFFAOYSA-N -1 1 322.284 1.516 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)[C@@H](C)NCc2conc2C)c1[O-] ZINC001409337270 844940480 /nfs/dbraw/zinc/94/04/80/844940480.db2.gz PDHNDHFUOJJAFX-SFYZADRCSA-N -1 1 307.354 1.017 20 0 DDADMM CN1CCO[C@H](CNC(=O)c2ccc3cccnc3c2[O-])C1 ZINC001149326990 861547510 /nfs/dbraw/zinc/54/75/10/861547510.db2.gz NXORIMASQJEKPX-GFCCVEGCSA-N -1 1 301.346 1.001 20 0 DDADMM CC(C)[C@](C)(NC(=O)c1ccc2cccnc2c1[O-])C(N)=O ZINC001149326785 861547737 /nfs/dbraw/zinc/54/77/37/861547737.db2.gz NNCHRVPUDRKRAK-INIZCTEOSA-N -1 1 301.346 1.570 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(C(F)(F)F)s1)c1nn[n-]n1 ZINC001434364095 846578797 /nfs/dbraw/zinc/57/87/97/846578797.db2.gz PDFNUKDYIKNKCE-RXMQYKEDSA-N -1 1 321.284 1.398 20 0 DDADMM COC[C@@H](NC(=O)C(C)=Cc1ccccc1Cl)c1nn[n-]n1 ZINC001155068395 861699701 /nfs/dbraw/zinc/69/97/01/861699701.db2.gz HJSJHPFQRJTGSA-ZVTBTPLYSA-N -1 1 321.768 1.760 20 0 DDADMM Cc1cc(N(C(=N)N)C(=O)c2nc3ccc(Cl)nc3[n-]2)n[nH]1 ZINC001155186212 861810748 /nfs/dbraw/zinc/81/07/48/861810748.db2.gz KRFCMMPTDNUUGB-UHFFFAOYSA-N -1 1 318.728 1.183 20 0 DDADMM Cn1ccc(-c2nc(CNC(=O)c3ccc([O-])cn3)cs2)n1 ZINC001155223499 861863527 /nfs/dbraw/zinc/86/35/27/861863527.db2.gz CBYDRNWFXHTJBH-UHFFFAOYSA-N -1 1 315.358 1.574 20 0 DDADMM CN(CCN(C)C(=O)c1ncccc1[O-])C(=O)CC1CCC1 ZINC001409976723 848335539 /nfs/dbraw/zinc/33/55/39/848335539.db2.gz MPXSKATWAGBBNW-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM O=C(NCc1cc2ccccn2n1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155276224 861923784 /nfs/dbraw/zinc/92/37/84/861923784.db2.gz NOSQAEQBHJIUMH-UHFFFAOYSA-N -1 1 307.313 1.846 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](CO)CC1CCCC1 ZINC001155345330 861980391 /nfs/dbraw/zinc/98/03/91/861980391.db2.gz QIRSBXWBCYVHHM-JTQLQIEISA-N -1 1 311.407 1.450 20 0 DDADMM Cc1ccn2c(c1)ncc2C(=O)NCCCC[P@](=O)([O-])O ZINC001155346839 861983065 /nfs/dbraw/zinc/98/30/65/861983065.db2.gz OELWLIKXLNDEDC-UHFFFAOYSA-N -1 1 311.278 1.330 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)ccc1F ZINC001410434938 849263944 /nfs/dbraw/zinc/26/39/44/849263944.db2.gz KCVHDOBHKFLDIJ-JTQLQIEISA-N -1 1 321.356 1.208 20 0 DDADMM CCCCCC(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001299313042 849329929 /nfs/dbraw/zinc/32/99/29/849329929.db2.gz OTZKLHVHWGWQIB-UHFFFAOYSA-N -1 1 305.378 1.650 20 0 DDADMM CSc1nc(NC(=O)c2nnc3ccccn32)cc(=O)[n-]1 ZINC001155377379 862009585 /nfs/dbraw/zinc/00/95/85/862009585.db2.gz WVBZVSYDFOUPGP-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM CC[C@H](C)[C@@H](CO)NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190633513 851141017 /nfs/dbraw/zinc/14/10/17/851141017.db2.gz UIQCOLGDXHQYMR-GXFFZTMASA-N -1 1 316.361 1.381 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc(C)cc1F ZINC001273622956 851158385 /nfs/dbraw/zinc/15/83/85/851158385.db2.gz ZLEMEMMMMAFYGM-UHFFFAOYSA-N -1 1 321.356 1.210 20 0 DDADMM Cc1n[nH]c2ccc(C(=O)Nc3[nH]c(=O)[n-]c(=O)c3N=O)cc12 ZINC001155561874 862231225 /nfs/dbraw/zinc/23/12/25/862231225.db2.gz FULCJXUALJZXHD-UHFFFAOYSA-N -1 1 314.261 1.723 20 0 DDADMM CC(C)S(=O)(=O)[N-]c1cn2cc(Br)ncc2n1 ZINC001252433490 851575239 /nfs/dbraw/zinc/57/52/39/851575239.db2.gz LXCVEAJISDMDEP-UHFFFAOYSA-N -1 1 319.184 1.642 20 0 DDADMM C[C@H](NC/C=C/CNC(=O)c1ncccc1[O-])c1csnn1 ZINC001273975022 851727066 /nfs/dbraw/zinc/72/70/66/851727066.db2.gz RMLAMTNPFPECMZ-PBKGFPTLSA-N -1 1 319.390 1.276 20 0 DDADMM NC(=O)CO[N-]C(=O)C1(Cc2cccc(Cl)c2)CCCC1 ZINC001295741921 852271124 /nfs/dbraw/zinc/27/11/24/852271124.db2.gz GWJMTGNYXLAYRU-UHFFFAOYSA-N -1 1 310.781 1.976 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@@H]3CNC(=O)C3(C)C)c([O-])c2n1 ZINC001155660994 862351818 /nfs/dbraw/zinc/35/18/18/862351818.db2.gz LFXQGSKPEMLELF-GFCCVEGCSA-N -1 1 313.357 1.503 20 0 DDADMM CCN(C(=O)C12CC(NC(=O)c3ccncc3[O-])(C1)C2)C(C)C ZINC001275217776 852905537 /nfs/dbraw/zinc/90/55/37/852905537.db2.gz DOYLFZLUMPJJKX-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cnncc1[O-])c1cc(F)ccc1F ZINC001411728737 853643676 /nfs/dbraw/zinc/64/36/76/853643676.db2.gz ABTPAHHUMNIPNE-LBPRGKRZSA-N -1 1 323.255 1.105 20 0 DDADMM CCO[C@@H]1C[C@@H](O)C12CCN(C(=O)c1ccc(F)c([O-])c1)CC2 ZINC001411837589 853808493 /nfs/dbraw/zinc/80/84/93/853808493.db2.gz VNSQYWUWNYNASW-HUUCEWRRSA-N -1 1 323.364 1.923 20 0 DDADMM CCOC(=O)c1cc(NC(=O)CCCn2nc(C)cc2C)n[nH]1 ZINC001411984572 854073484 /nfs/dbraw/zinc/07/34/84/854073484.db2.gz HCVNPBKOXMCRDH-UHFFFAOYSA-N -1 1 319.365 1.819 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CCOCCF ZINC001327883082 862537158 /nfs/dbraw/zinc/53/71/58/862537158.db2.gz IXSJLEZYVRSOAM-UHFFFAOYSA-N -1 1 313.297 1.238 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](O)c2cccc(OC)c2)n1 ZINC001412215070 854342243 /nfs/dbraw/zinc/34/22/43/854342243.db2.gz XLRUWURTXDNQDP-GFCCVEGCSA-N -1 1 319.317 1.267 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C2CC2)on1)c1nn[n-]n1 ZINC001412372056 854477713 /nfs/dbraw/zinc/47/77/13/854477713.db2.gz XZYAKLPIFNOHCJ-QMMMGPOBSA-N -1 1 308.367 1.289 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)CC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001412372834 854478610 /nfs/dbraw/zinc/47/86/10/854478610.db2.gz DPOYSZGQXKZMIL-SSDOTTSWSA-N -1 1 305.338 1.038 20 0 DDADMM O=C(N[C@H]1Cc2ccccc2NC1=O)c1ccc([O-])c(F)c1 ZINC001412450415 854561769 /nfs/dbraw/zinc/56/17/69/854561769.db2.gz YCLJRYHWRNQJKC-ZDUSSCGKSA-N -1 1 300.289 1.825 20 0 DDADMM O=C(CCc1ccc(F)cc1F)NC1(c2nn[n-]n2)CCC1 ZINC001412540614 854685252 /nfs/dbraw/zinc/68/52/52/854685252.db2.gz KSMVXGQWWVOFRK-UHFFFAOYSA-N -1 1 307.304 1.606 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CCN2C(=O)OC(C)(C)C)c([O-])c1 ZINC001412560179 854725357 /nfs/dbraw/zinc/72/53/57/854725357.db2.gz CHEOPFVSEXVEFH-NSHDSACASA-N -1 1 321.377 1.835 20 0 DDADMM COC[C@H](NC(=O)[C@H]1CCSc2ccccc21)c1nn[n-]n1 ZINC001412598776 854786604 /nfs/dbraw/zinc/78/66/04/854786604.db2.gz BPLFYMDFIMHERN-QWRGUYRKSA-N -1 1 319.390 1.283 20 0 DDADMM CCCOc1cccc(CC(=O)N[C@H](COC)c2nn[n-]n2)c1 ZINC001412606169 854801932 /nfs/dbraw/zinc/80/19/32/854801932.db2.gz MIFPMJBUBGQPAI-CYBMUJFWSA-N -1 1 319.365 1.035 20 0 DDADMM COC[C@H](NC(=O)[C@@H]1C[C@H]1c1ccc(C)c(C)c1)c1nn[n-]n1 ZINC001412612766 854811274 /nfs/dbraw/zinc/81/12/74/854811274.db2.gz TVVGBZIFSYVLLW-MJBXVCDLSA-N -1 1 315.377 1.424 20 0 DDADMM CNC(=O)C(C)(C)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001412737676 855051714 /nfs/dbraw/zinc/05/17/14/855051714.db2.gz WTEVQEDIBXAQTB-UHFFFAOYSA-N -1 1 318.295 1.913 20 0 DDADMM O=C([N-]c1nnco1)c1cnn2cc(Br)cnc12 ZINC001151121914 862636304 /nfs/dbraw/zinc/63/63/04/862636304.db2.gz HPFDVZGELJETGH-UHFFFAOYSA-N -1 1 309.083 1.127 20 0 DDADMM O=C(NCCO)c1ccc(NC(=O)c2ccc(F)c([O-])c2)cc1 ZINC001412773932 855245571 /nfs/dbraw/zinc/24/55/71/855245571.db2.gz TVCNYKDZESOSSK-UHFFFAOYSA-N -1 1 318.304 1.506 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCOCC2(CCOCC2)C1 ZINC001412816205 855298905 /nfs/dbraw/zinc/29/89/05/855298905.db2.gz MBJLNMXKMSEPEM-UHFFFAOYSA-N -1 1 309.337 1.801 20 0 DDADMM CC(C)(C)NC(=O)[C@]12C[C@H]1CCN2C(=O)c1ccc(F)c(=O)[n-]1 ZINC001276302734 855498179 /nfs/dbraw/zinc/49/81/79/855498179.db2.gz JKSJHWOLOVJHSF-ABKXIKBNSA-N -1 1 321.352 1.446 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc4ccncc4c3)c1-2 ZINC001151435162 862777075 /nfs/dbraw/zinc/77/70/75/862777075.db2.gz KLXZFMFEOACLBF-UHFFFAOYSA-N -1 1 305.301 1.230 20 0 DDADMM CS(=O)(=O)CC1(CCNC(=O)c2ccc(F)c([O-])c2)CC1 ZINC001413253031 856584015 /nfs/dbraw/zinc/58/40/15/856584015.db2.gz DLSYYHAYJLZFHZ-UHFFFAOYSA-N -1 1 315.366 1.476 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](O)C2CCCCC2)n[n-]1 ZINC001413273762 856599736 /nfs/dbraw/zinc/59/97/36/856599736.db2.gz RKBVXWUDOKQPGX-ONGXEEELSA-N -1 1 324.381 1.100 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](O)C2CCCCC2)[n-]1 ZINC001413273762 856599738 /nfs/dbraw/zinc/59/97/38/856599738.db2.gz RKBVXWUDOKQPGX-ONGXEEELSA-N -1 1 324.381 1.100 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](O)C2CCCCC2)n1 ZINC001413273762 856599739 /nfs/dbraw/zinc/59/97/39/856599739.db2.gz RKBVXWUDOKQPGX-ONGXEEELSA-N -1 1 324.381 1.100 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCc3cnccc32)c1 ZINC001413295703 856618449 /nfs/dbraw/zinc/61/84/49/856618449.db2.gz HZLZGAJZZWIVRL-UHFFFAOYSA-N -1 1 306.343 1.547 20 0 DDADMM CCCC[C@H](COC)[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001413296763 856619772 /nfs/dbraw/zinc/61/97/72/856619772.db2.gz BWVXSROKVSDXTF-SECBINFHSA-N -1 1 308.425 1.635 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1CCCc2c[nH]nc21 ZINC001413311774 856631877 /nfs/dbraw/zinc/63/18/77/856631877.db2.gz WENBBJRQAOSEMK-QMMMGPOBSA-N -1 1 314.392 1.231 20 0 DDADMM CCOC[C@H]([N-]S(=O)(=O)c1cc(OC)ns1)C(C)C ZINC001413364165 856715980 /nfs/dbraw/zinc/71/59/80/856715980.db2.gz YVIGZDWQXQEMDC-VIFPVBQESA-N -1 1 308.425 1.491 20 0 DDADMM CSc1cccnc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001413507408 856904995 /nfs/dbraw/zinc/90/49/95/856904995.db2.gz YWXXEXXOLBMIGK-UHFFFAOYSA-N -1 1 306.395 1.615 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(COC(C)C)cc1)c1nn[n-]n1 ZINC001413550972 857053746 /nfs/dbraw/zinc/05/37/46/857053746.db2.gz GJAUYXCEZIKDOZ-CYBMUJFWSA-N -1 1 319.365 1.242 20 0 DDADMM COC(=O)[C@H](C)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001413604410 857222765 /nfs/dbraw/zinc/22/27/65/857222765.db2.gz DPGVBNQOLXSECB-SNVBAGLBSA-N -1 1 318.333 1.509 20 0 DDADMM O=C(Nc1ccnn1[C@H]1CCOC1)c1cnc(C2CC2)[n-]c1=O ZINC001413706301 857378705 /nfs/dbraw/zinc/37/87/05/857378705.db2.gz YFJMPMKYVSPOGY-JTQLQIEISA-N -1 1 315.333 1.470 20 0 DDADMM CN(c1nnc(-c2noc(=O)[n-]2)n1Cc1ccccc1)C1CC1 ZINC001121985592 858652215 /nfs/dbraw/zinc/65/22/15/858652215.db2.gz CMJNBDDMGWJZNX-UHFFFAOYSA-N -1 1 312.333 1.268 20 0 DDADMM CN(c1nnc(Cc2nnn[n-]2)n1Cc1cccs1)C1CC1 ZINC001123010556 859052169 /nfs/dbraw/zinc/05/21/69/859052169.db2.gz UYODAVGTFCQHSU-UHFFFAOYSA-N -1 1 316.394 1.090 20 0 DDADMM CN(c1nnc(Cc2nn[n-]n2)n1Cc1cccs1)C1CC1 ZINC001123010556 859052174 /nfs/dbraw/zinc/05/21/74/859052174.db2.gz UYODAVGTFCQHSU-UHFFFAOYSA-N -1 1 316.394 1.090 20 0 DDADMM CN(C)C(=O)[C@@H]1CCCN1Cc1ccc(OCC(=O)[O-])cc1 ZINC001138927417 860230896 /nfs/dbraw/zinc/23/08/96/860230896.db2.gz JWSOKXDFFKZIGD-AWEZNQCLSA-N -1 1 306.362 1.203 20 0 DDADMM COC(=O)[C@H]1CCN(Cc2cccc(OC)c2OCC(=O)[O-])C1 ZINC001139268668 860344142 /nfs/dbraw/zinc/34/41/42/860344142.db2.gz PWELKGVJFAHBCY-LBPRGKRZSA-N -1 1 323.345 1.154 20 0 DDADMM COc1cccc(CN2CC[C@@H](O)C[C@H]2C)c1OCC(=O)[O-] ZINC001139272174 860346780 /nfs/dbraw/zinc/34/67/80/860346780.db2.gz ZVTJQRDJACVMMA-DGCLKSJQSA-N -1 1 309.362 1.504 20 0 DDADMM COC(=O)c1cc([N-]C(=O)c2c(C)noc2C(F)F)on1 ZINC001361390489 881550283 /nfs/dbraw/zinc/55/02/83/881550283.db2.gz HCOJJVNTDXBTDV-UHFFFAOYSA-N -1 1 301.205 1.948 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cccc2c1CNC2=O ZINC001156547177 863136336 /nfs/dbraw/zinc/13/63/36/863136336.db2.gz QTZMHFXQBKGZJY-UHFFFAOYSA-N -1 1 311.223 1.319 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1ccc2[nH]c(=O)[nH]c2c1 ZINC001152367516 863302689 /nfs/dbraw/zinc/30/26/89/863302689.db2.gz FGWHEGKHFLBHCG-UHFFFAOYSA-N -1 1 315.289 1.421 20 0 DDADMM CCC=C(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225153001 881706317 /nfs/dbraw/zinc/70/63/17/881706317.db2.gz HVSJWQUCIAGLFO-QEFZOKHDSA-N -1 1 307.398 1.384 20 0 DDADMM CC[C@H](O)Cn1cc(C(=O)Nc2ccc(Cl)cc2[O-])nn1 ZINC001153461240 863897186 /nfs/dbraw/zinc/89/71/86/863897186.db2.gz KXQHXYVUIFDWHP-VIFPVBQESA-N -1 1 310.741 1.660 20 0 DDADMM COC(=O)[C@]1(NC(=O)c2cc(C)cc(C=O)c2[O-])CCSC1 ZINC001153584335 863960888 /nfs/dbraw/zinc/96/08/88/863960888.db2.gz KRVYHLMNEXXQGL-HNNXBMFYSA-N -1 1 323.370 1.292 20 0 DDADMM C=CC(=O)Nc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC001153677324 864012179 /nfs/dbraw/zinc/01/21/79/864012179.db2.gz YYNNMIQKGPCFMV-JTQLQIEISA-N -1 1 314.349 1.200 20 0 DDADMM COc1ccc2c(c1)[n-]c(C(=O)n1c(N)csc1=N)cc2=O ZINC001154110474 864415754 /nfs/dbraw/zinc/41/57/54/864415754.db2.gz FYSUFMWXANMWAV-UHFFFAOYSA-N -1 1 316.342 1.150 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)NC[C@@H]1C=CCC1 ZINC001331796441 865336543 /nfs/dbraw/zinc/33/65/43/865336543.db2.gz QMRSYBVTTHTZDC-SNVBAGLBSA-N -1 1 308.765 1.744 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@]23CCC[C@]2(OC)OCC3)n1 ZINC001361552921 881880393 /nfs/dbraw/zinc/88/03/93/881880393.db2.gz RHHOGOIPLPPQCC-GJZGRUSLSA-N -1 1 323.349 1.458 20 0 DDADMM Cc1cc2ccccc2nc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160850473 866149934 /nfs/dbraw/zinc/14/99/34/866149934.db2.gz YUVGFGCCBAELPL-JTQLQIEISA-N -1 1 300.343 1.491 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1C[C@H](C)C[C@H](C)C1 ZINC001474991244 866225034 /nfs/dbraw/zinc/22/50/34/866225034.db2.gz XUUPOUICNKTLLX-MYJAWHEDSA-N -1 1 315.395 1.299 20 0 DDADMM O=C(Cc1ccn(-c2ccc(F)cc2)n1)Nc1c[n-][nH]c1=O ZINC001161384932 866612981 /nfs/dbraw/zinc/61/29/81/866612981.db2.gz YJJOWDXQRWTMOB-UHFFFAOYSA-N -1 1 301.281 1.621 20 0 DDADMM Cc1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)nc2ccccc12 ZINC001334250282 867424359 /nfs/dbraw/zinc/42/43/59/867424359.db2.gz FKRKYTNJCWBQPP-AWEZNQCLSA-N -1 1 324.344 1.270 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)COc1cccc(OC)c1 ZINC001361649588 882086161 /nfs/dbraw/zinc/08/61/61/882086161.db2.gz KHBAEJOAYBJNNO-UHFFFAOYSA-N -1 1 305.290 1.222 20 0 DDADMM CC(C)CO[N-]C(=O)C(=O)NC[C@@H](c1ccccc1)N(C)C ZINC001321388767 867551026 /nfs/dbraw/zinc/55/10/26/867551026.db2.gz FYKQUHHLGQIWTE-AWEZNQCLSA-N -1 1 307.394 1.109 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1CCC(=O)CC1(C)C ZINC001225899682 882100829 /nfs/dbraw/zinc/10/08/29/882100829.db2.gz QJLRZKRQVFNOQC-NSHDSACASA-N -1 1 308.334 1.886 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2cc(CO)ccn2)c1 ZINC001162583710 867660007 /nfs/dbraw/zinc/66/00/07/867660007.db2.gz YLCGJIQRBILUKW-UHFFFAOYSA-N -1 1 301.302 1.490 20 0 DDADMM O=C(COC(=O)c1cnn2ccccc12)c1ccc([O-])cc1O ZINC001321802825 867803102 /nfs/dbraw/zinc/80/31/02/867803102.db2.gz APUXZZIAQMGJEC-UHFFFAOYSA-N -1 1 312.281 1.785 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2ncc(-c3cccs3)o2)n[n-]1 ZINC001321834760 867823196 /nfs/dbraw/zinc/82/31/96/867823196.db2.gz YZXGHBINKZWUKY-UHFFFAOYSA-N -1 1 310.360 1.804 20 0 DDADMM Cn1ccc(-c2nc(CN[C@H]3C=C[C@@H](C(=O)[O-])C3)cs2)n1 ZINC001334840721 867857686 /nfs/dbraw/zinc/85/76/86/867857686.db2.gz FAVIWFJCTJEMKG-ZJUUUORDSA-N -1 1 304.375 1.663 20 0 DDADMM Cn1nc(-n2c([O-])c(CC(F)(F)F)[nH]c2=O)c2ccccc21 ZINC001335199802 868129864 /nfs/dbraw/zinc/12/98/64/868129864.db2.gz PGEFFFSZPNDMNO-QMMMGPOBSA-N -1 1 312.251 1.951 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCCOCC1CC1 ZINC001336241675 868813142 /nfs/dbraw/zinc/81/31/42/868813142.db2.gz VYEOPTXUMDAIFY-UHFFFAOYSA-N -1 1 300.380 1.114 20 0 DDADMM C[S@](=N)(=O)c1ccc(NCC[N-]C(=O)C(F)(F)F)cc1 ZINC001164246323 869054842 /nfs/dbraw/zinc/05/48/42/869054842.db2.gz YZASIZWXXVAFMI-FQEVSTJZSA-N -1 1 309.313 1.812 20 0 DDADMM C[C@@]1(O)CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC001297408671 870039017 /nfs/dbraw/zinc/03/90/17/870039017.db2.gz NEXDDPODPBAQIH-CQSZACIVSA-N -1 1 306.391 1.395 20 0 DDADMM C/C(=C\C(=O)NC[C@@](C)(NC(=O)c1cnn[nH]1)C1CC1)C1CC1 ZINC001297569336 870106467 /nfs/dbraw/zinc/10/64/67/870106467.db2.gz RXWVSWBCLNJWJX-OJXHRBAXSA-N -1 1 317.393 1.176 20 0 DDADMM O=C(C[C@@H]1CCNC1=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC001361798759 882396995 /nfs/dbraw/zinc/39/69/95/882396995.db2.gz RJWKFLJYXZDHRG-JTQLQIEISA-N -1 1 300.318 1.247 20 0 DDADMM COc1cccnc1N1CCC([N-]C(=O)C(F)(F)F)CC1 ZINC001166895978 870347564 /nfs/dbraw/zinc/34/75/64/870347564.db2.gz CPCZPTFXLVPNHM-UHFFFAOYSA-N -1 1 303.284 1.738 20 0 DDADMM Cc1cc(NC(=O)CN(C)C(=O)c2ccc(F)c([O-])c2)no1 ZINC001361801946 882400297 /nfs/dbraw/zinc/40/02/97/882400297.db2.gz FSCCFKCTVFPKLN-UHFFFAOYSA-N -1 1 307.281 1.538 20 0 DDADMM CN(C(=O)c1c(F)cc(C(F)(F)F)cc1F)c1nn[n-]n1 ZINC001361801357 882400719 /nfs/dbraw/zinc/40/07/19/882400719.db2.gz PXDNIDRQNVFHJC-UHFFFAOYSA-N -1 1 307.182 1.773 20 0 DDADMM Cc1c([C@H](NCc2cnc(Cl)c(F)c2)C(=O)[O-])cnn1C ZINC001339253447 870423814 /nfs/dbraw/zinc/42/38/14/870423814.db2.gz AUSQYVQCELWQNE-NSHDSACASA-N -1 1 312.732 1.832 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)Cc1cccc(C)c1 ZINC001339287453 870436600 /nfs/dbraw/zinc/43/66/00/870436600.db2.gz NVWBJCMPXOOYQV-UHFFFAOYSA-N -1 1 312.381 1.347 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)Cc1cccc(C)c1 ZINC001339287453 870436606 /nfs/dbraw/zinc/43/66/06/870436606.db2.gz NVWBJCMPXOOYQV-UHFFFAOYSA-N -1 1 312.381 1.347 20 0 DDADMM CN1CCOc2cc([N-]S(=O)(=O)c3ccc(N)cc3)cnc21 ZINC001203491225 870590578 /nfs/dbraw/zinc/59/05/78/870590578.db2.gz TWELWRHACQKVGO-UHFFFAOYSA-N -1 1 320.374 1.293 20 0 DDADMM C/C(=C\C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001299121064 870909001 /nfs/dbraw/zinc/90/90/01/870909001.db2.gz AZZGTAKEBGPWDC-PKNBQFBNSA-N -1 1 315.373 1.522 20 0 DDADMM Cn1nnc([N-]C(=O)c2cc(-c3ccc(Cl)s3)on2)n1 ZINC001301051351 870974095 /nfs/dbraw/zinc/97/40/95/870974095.db2.gz MDAYVJXJZYQVRZ-UHFFFAOYSA-N -1 1 310.726 1.832 20 0 DDADMM CCC[C@@H](C)CC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001226503043 882468915 /nfs/dbraw/zinc/46/89/15/882468915.db2.gz QCXMGDQXBXIKEM-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM O=C(CCc1nc(-c2cccs2)no1)Nc1c[n-][nH]c1=O ZINC001306848329 871366768 /nfs/dbraw/zinc/36/67/68/871366768.db2.gz KBGQXZFKAQIHLR-UHFFFAOYSA-N -1 1 305.319 1.798 20 0 DDADMM Cc1cc[nH]c1C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001317686271 871411133 /nfs/dbraw/zinc/41/11/33/871411133.db2.gz BQOBDMAVHLOCQX-UHFFFAOYSA-N -1 1 320.397 1.177 20 0 DDADMM CC[C@@H](O)CCC[N-]S(=O)(=O)c1c(F)cc(F)cc1OC ZINC001340987513 871452778 /nfs/dbraw/zinc/45/27/78/871452778.db2.gz LGVPODUXPJIJQJ-SNVBAGLBSA-N -1 1 323.361 1.803 20 0 DDADMM COC(=O)/C=C\[C@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC001309958718 871613548 /nfs/dbraw/zinc/61/35/48/871613548.db2.gz DPTJZSCJQOJRPW-DMEOUFDRSA-N -1 1 309.343 1.829 20 0 DDADMM c1nn(Cc2cccnc2)cc1-c1ccc(-c2nnn[n-]2)nc1 ZINC001206423775 872304955 /nfs/dbraw/zinc/30/49/55/872304955.db2.gz PVHREFVIRNAKRL-UHFFFAOYSA-N -1 1 304.317 1.569 20 0 DDADMM c1nn(Cc2cccnc2)cc1-c1ccc(-c2nn[n-]n2)nc1 ZINC001206423775 872304983 /nfs/dbraw/zinc/30/49/83/872304983.db2.gz PVHREFVIRNAKRL-UHFFFAOYSA-N -1 1 304.317 1.569 20 0 DDADMM Cn1nnc(-n2c([O-])c(CC(F)(F)F)[nH]c2=O)c1C(F)F ZINC001343443022 872627259 /nfs/dbraw/zinc/62/72/59/872627259.db2.gz JQRHBGWBNRAKLP-VKHMYHEASA-N -1 1 313.186 1.130 20 0 DDADMM C[C@H]1C[C@H](C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CO1 ZINC001361981108 882753736 /nfs/dbraw/zinc/75/37/36/882753736.db2.gz KUQJIIFZPSIVQK-UWVGGRQHSA-N -1 1 303.322 1.142 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCO[C@H](c2ccco2)C1 ZINC001346999272 873968468 /nfs/dbraw/zinc/96/84/68/873968468.db2.gz GWXVMDRYWIRYNU-JTQLQIEISA-N -1 1 321.358 1.586 20 0 DDADMM Cn1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c2cc(F)ccc21 ZINC001347975868 874323925 /nfs/dbraw/zinc/32/39/25/874323925.db2.gz VUCZYRPOZOXBRA-SECBINFHSA-N -1 1 314.324 1.460 20 0 DDADMM CC[C@@H]1CCCC[C@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348838483 874820229 /nfs/dbraw/zinc/82/02/29/874820229.db2.gz GKKSFFLLJXIMLE-CHWSQXEVSA-N -1 1 319.405 1.850 20 0 DDADMM CCOC(=O)[C@@H](Oc1[n-]c(=O)ncc1Br)C1CC1 ZINC001227137828 882868737 /nfs/dbraw/zinc/86/87/37/882868737.db2.gz LEGYDRGMOXSTKC-QMMMGPOBSA-N -1 1 317.139 1.665 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@H]2OCCC[C@H]2C1 ZINC001349331902 875115091 /nfs/dbraw/zinc/11/50/91/875115091.db2.gz VRVQJBTZVNDETC-VHSXEESVSA-N -1 1 309.391 1.420 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001349939790 875464844 /nfs/dbraw/zinc/46/48/44/875464844.db2.gz FPJOQTYLOAXTIE-LLVKDONJSA-N -1 1 305.378 1.460 20 0 DDADMM O=C(c1cccc2cccc(O)c21)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001350221718 875585868 /nfs/dbraw/zinc/58/58/68/875585868.db2.gz AVRFMYYWMHAJJS-LLVKDONJSA-N -1 1 309.329 1.688 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H](Oc2[n-]c(=S)ncc2F)CO1 ZINC001227383286 882992256 /nfs/dbraw/zinc/99/22/56/882992256.db2.gz MERHNCVNTIHNHR-CBAPKCEASA-N -1 1 302.327 1.394 20 0 DDADMM CCC(C)(C)C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001351406917 876236381 /nfs/dbraw/zinc/23/63/81/876236381.db2.gz OIHVNDSZUSPQKN-UHFFFAOYSA-N -1 1 307.394 1.802 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(OCc2nn[n-]n2)cc1)[C@H]1CCCO1 ZINC001362105520 883049958 /nfs/dbraw/zinc/04/99/58/883049958.db2.gz ZWUJBAGURFZSKI-ZWNOBZJWSA-N -1 1 317.349 1.532 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C(C)C)nc2)n[n-]1 ZINC001413850834 876898205 /nfs/dbraw/zinc/89/82/05/876898205.db2.gz PJZBSCYEVVOXEX-UHFFFAOYSA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C(C)C)nc2)n1 ZINC001413850834 876898211 /nfs/dbraw/zinc/89/82/11/876898211.db2.gz PJZBSCYEVVOXEX-UHFFFAOYSA-N -1 1 317.349 1.430 20 0 DDADMM CN(Cc1ccccc1)C(=O)CNC(=O)c1ccc([O-])cc1F ZINC001362121625 883095232 /nfs/dbraw/zinc/09/52/32/883095232.db2.gz KMRUSLWZTVQRLV-UHFFFAOYSA-N -1 1 316.332 1.920 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H]1CCC2(C1)OCCO2 ZINC001362283405 883478728 /nfs/dbraw/zinc/47/87/28/883478728.db2.gz GLFUGCBAFUWVPJ-LLVKDONJSA-N -1 1 311.765 2.000 20 0 DDADMM C=Cc1ccc(C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)cc1 ZINC001379553647 877377395 /nfs/dbraw/zinc/37/73/95/877377395.db2.gz MIVXKLGKHSETBG-JTQLQIEISA-N -1 1 301.350 1.061 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cnc([C@H](C)[NH3+])s2)c[nH]1 ZINC001218765600 877717796 /nfs/dbraw/zinc/71/77/96/877717796.db2.gz XILHHWIPJCTRMR-LURJTMIESA-N -1 1 309.351 1.315 20 0 DDADMM COCCOC[C@@H]1CCN(Cc2nc(C(=O)[O-])cs2)C1 ZINC001356022887 878829347 /nfs/dbraw/zinc/82/93/47/878829347.db2.gz MWDYQUAWMRDBKI-SNVBAGLBSA-N -1 1 300.380 1.326 20 0 DDADMM CCOC(=O)CCCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000315881033 879208711 /nfs/dbraw/zinc/20/87/11/879208711.db2.gz NPDCIZTUZPXEJP-UHFFFAOYSA-N -1 1 309.366 1.687 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)C=CCC1 ZINC001356881187 879531077 /nfs/dbraw/zinc/53/10/77/879531077.db2.gz VCJVEAKCWNNKMW-PXAZEXFGSA-N -1 1 317.389 1.768 20 0 DDADMM CC[C@@H]1CCN(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)C1 ZINC001357910713 880250001 /nfs/dbraw/zinc/25/00/01/880250001.db2.gz QITFRQIDTRKSFY-GFCCVEGCSA-N -1 1 324.392 1.743 20 0 DDADMM CC[C@@H]1CCN(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)C1 ZINC001357910713 880250020 /nfs/dbraw/zinc/25/00/20/880250020.db2.gz QITFRQIDTRKSFY-GFCCVEGCSA-N -1 1 324.392 1.743 20 0 DDADMM O=C1Cc2cc(-c3cnc(N4CCCCC4)nc3)ccc2C(=O)[N-]1 ZINC001222139610 880283551 /nfs/dbraw/zinc/28/35/51/880283551.db2.gz UPXYKMFQTDLZKG-UHFFFAOYSA-N -1 1 322.368 1.946 20 0 DDADMM CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@H](OCc1nn[n-]n1)C2 ZINC001223026651 880788180 /nfs/dbraw/zinc/78/81/80/880788180.db2.gz FEFQHVFNZRVPFY-JGPRNRPPSA-N -1 1 309.370 1.647 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](F)[C@@H](OCc2nn[n-]n2)C1 ZINC001223027221 880789005 /nfs/dbraw/zinc/78/90/05/880789005.db2.gz NSLYPZQMXKCZNU-BDAKNGLRSA-N -1 1 301.322 1.064 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2CCC[C@@H](C1)C2OCc1nn[n-]n1 ZINC001223029148 880790078 /nfs/dbraw/zinc/79/00/78/880790078.db2.gz HDLHBQICGYWPBO-QYJAPNMZSA-N -1 1 323.397 1.752 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H]2C[C@H](OCc3nn[n-]n3)C[C@H]21 ZINC001223029742 880791513 /nfs/dbraw/zinc/79/15/13/880791513.db2.gz OSDKLNVUMOOREI-OUAUKWLOSA-N -1 1 309.370 1.504 20 0 DDADMM C/C=C(\C)C(=O)NC[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001358818834 880960495 /nfs/dbraw/zinc/96/04/95/880960495.db2.gz QJFSOTMGKLBWHK-XAANGBPESA-N -1 1 317.389 1.722 20 0 DDADMM CC[C@@H](C)CC(=O)N[C@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001381178119 881201719 /nfs/dbraw/zinc/20/17/19/881201719.db2.gz NYJDFXUUWBLSBU-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H](NC(=O)C(C)(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001381224253 881317959 /nfs/dbraw/zinc/31/79/59/881317959.db2.gz AMZZGGMMLDATEK-JTQLQIEISA-N -1 1 305.378 1.410 20 0 DDADMM CNC(=O)c1cccc(CCNC(=O)c2cccc([O-])c2F)c1 ZINC001362136798 883129826 /nfs/dbraw/zinc/12/98/26/883129826.db2.gz RZPIILQKQZFXDU-UHFFFAOYSA-N -1 1 316.332 1.863 20 0 DDADMM CS(=O)(=O)C[C@@H](NC(=O)c1ccc([O-])cn1)c1ccccc1 ZINC001362197157 883271944 /nfs/dbraw/zinc/27/19/44/883271944.db2.gz JCKOTLIECWRFTP-CQSZACIVSA-N -1 1 320.370 1.303 20 0 DDADMM O=C(NCc1cnn(CC(F)(F)F)c1)c1ccc([O-])cn1 ZINC001362227766 883351876 /nfs/dbraw/zinc/35/18/76/883351876.db2.gz AWEYJYNEPXXJDD-UHFFFAOYSA-N -1 1 300.240 1.476 20 0 DDADMM C[C@H]1[C@@H](NC(=O)CCCF)CCN1C(=O)c1ncccc1[O-] ZINC001395840209 912704462 /nfs/dbraw/zinc/70/44/62/912704462.db2.gz HBEHOKUEANRVTO-QWRGUYRKSA-N -1 1 309.341 1.256 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc(OCCO)cc2)c(=O)[n-]1 ZINC001362256704 883421272 /nfs/dbraw/zinc/42/12/72/883421272.db2.gz LQFRZBXRBKKKQK-UHFFFAOYSA-N -1 1 321.358 1.528 20 0 DDADMM CCOC(=O)CC[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362261633 883430915 /nfs/dbraw/zinc/43/09/15/883430915.db2.gz MMTCDBVZNKQYMM-VIFPVBQESA-N -1 1 307.350 1.521 20 0 DDADMM CCCCOC[C@H](C)OC[C@@H](C)Oc1nc(C(=O)OC)n[n-]1 ZINC001228315090 883440070 /nfs/dbraw/zinc/44/00/70/883440070.db2.gz WSVWQOGMCWTVCX-WDEREUQCSA-N -1 1 315.370 1.580 20 0 DDADMM CCCCOC[C@H](C)OC[C@@H](C)Oc1n[n-]c(C(=O)OC)n1 ZINC001228315090 883440076 /nfs/dbraw/zinc/44/00/76/883440076.db2.gz WSVWQOGMCWTVCX-WDEREUQCSA-N -1 1 315.370 1.580 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@@H](C)c1cnccn1 ZINC001228552678 883547394 /nfs/dbraw/zinc/54/73/94/883547394.db2.gz NVQSEYLFXFYRRC-QMMMGPOBSA-N -1 1 306.347 1.872 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCO[C@@H](c2ncon2)C1 ZINC001362345387 883612751 /nfs/dbraw/zinc/61/27/51/883612751.db2.gz GLPCPVXYXRDOFD-LLVKDONJSA-N -1 1 309.709 1.642 20 0 DDADMM CCO[C@H]1C[C@@](CO)(NC(=O)c2ccc(F)c([O-])c2)C1(C)C ZINC001362366207 883654936 /nfs/dbraw/zinc/65/49/36/883654936.db2.gz DYDGINBORUFJEC-BBRMVZONSA-N -1 1 311.353 1.827 20 0 DDADMM CC(C)(C)c1nc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)co1 ZINC001362374308 883668232 /nfs/dbraw/zinc/66/82/32/883668232.db2.gz LDQYWQQMURXQOA-SECBINFHSA-N -1 1 304.354 1.505 20 0 DDADMM CCC[C@@H](NC(=O)c1ccnn1CC(F)(F)F)c1nn[n-]n1 ZINC001362395863 883714685 /nfs/dbraw/zinc/71/46/85/883714685.db2.gz WHLPEIAYWPSCDT-SSDOTTSWSA-N -1 1 317.275 1.230 20 0 DDADMM CS(=O)(=O)c1cccc(CNC(=O)c2c([O-])cccc2F)c1 ZINC001362442438 883817989 /nfs/dbraw/zinc/81/79/89/883817989.db2.gz KIXGNTJYGNMXOK-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc(CCO)cc2)c(=O)[n-]1 ZINC001362473791 883893602 /nfs/dbraw/zinc/89/36/02/883893602.db2.gz SRDAMMQBSHSOMV-UHFFFAOYSA-N -1 1 305.359 1.691 20 0 DDADMM CC(=O)N1CC(Oc2[n-]c(=S)nc3nc(C)cc(C)c32)C1 ZINC001229306047 883913337 /nfs/dbraw/zinc/91/33/37/883913337.db2.gz HJEPSRHFUNSGIA-UHFFFAOYSA-N -1 1 304.375 1.540 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1([C@@H](O)c2ccccc2)CC1 ZINC001362513339 883979994 /nfs/dbraw/zinc/97/99/94/883979994.db2.gz BRYHYMJUIUUGPP-HNNXBMFYSA-N -1 1 315.377 1.152 20 0 DDADMM COC[C@@H](CNC(=O)c1ccc(C(F)(F)F)c([O-])c1)OC ZINC001362539043 884039656 /nfs/dbraw/zinc/03/96/56/884039656.db2.gz DIBBYOKHQWZMSB-SECBINFHSA-N -1 1 307.268 1.802 20 0 DDADMM CCn1cccc(CNC(=O)c2cnc(SC)[n-]c2=O)c1=O ZINC001362604573 884201461 /nfs/dbraw/zinc/20/14/61/884201461.db2.gz UVUBBOKNWBGGHM-UHFFFAOYSA-N -1 1 320.374 1.016 20 0 DDADMM COC(=O)c1c[n-]c(=O)nc1O[C@H]1CC[C@@H](C(=O)OC)CC1 ZINC001229871125 884202887 /nfs/dbraw/zinc/20/28/87/884202887.db2.gz QNXNKYQXHKWYQF-DTORHVGOSA-N -1 1 310.306 1.079 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)cc(=O)[n-]1 ZINC001362613045 884222757 /nfs/dbraw/zinc/22/27/57/884222757.db2.gz UCVUYHDFRHWOKO-RKDXNWHRSA-N -1 1 305.363 1.047 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2c(c1)COC(=O)N2)c1nn[n-]n1 ZINC001362616909 884229465 /nfs/dbraw/zinc/22/94/65/884229465.db2.gz KLEZSTFIFKENBW-MRVPVSSYSA-N -1 1 316.321 1.138 20 0 DDADMM CCC(CC)(NC(=O)[C@H](O)c1ccccc1Cl)c1nn[n-]n1 ZINC001362626341 884258012 /nfs/dbraw/zinc/25/80/12/884258012.db2.gz RMZIEPBVDVXMAJ-LLVKDONJSA-N -1 1 323.784 1.718 20 0 DDADMM CSc1ncc(C(=O)N[C@]2(C)CCO[C@@H]2C2CC2)c(=O)[n-]1 ZINC001362644946 884308397 /nfs/dbraw/zinc/30/83/97/884308397.db2.gz BAEZWOFHKDLEJY-QMTHXVAHSA-N -1 1 309.391 1.592 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2CCC(OC)CC2)n[n-]1 ZINC001362662401 884350412 /nfs/dbraw/zinc/35/04/12/884350412.db2.gz VFHHPFHUAPJFGW-WHXUTIOJSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2CCC(OC)CC2)[n-]1 ZINC001362662401 884350428 /nfs/dbraw/zinc/35/04/28/884350428.db2.gz VFHHPFHUAPJFGW-WHXUTIOJSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2CCC(OC)CC2)n1 ZINC001362662401 884350445 /nfs/dbraw/zinc/35/04/45/884350445.db2.gz VFHHPFHUAPJFGW-WHXUTIOJSA-N -1 1 324.381 1.364 20 0 DDADMM CCCc1nc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)co1 ZINC001362671356 884378207 /nfs/dbraw/zinc/37/82/07/884378207.db2.gz LSLUSQUNWXNEPQ-SECBINFHSA-N -1 1 308.367 1.071 20 0 DDADMM CC[C@@H](C)C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001382640840 884389395 /nfs/dbraw/zinc/38/93/95/884389395.db2.gz CRPAQDQLYCJRTH-VOMCLLRMSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1noc(Cl)c1CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362705800 884455089 /nfs/dbraw/zinc/45/50/89/884455089.db2.gz BAKVJAKWDDFGIF-MRVPVSSYSA-N -1 1 310.745 1.098 20 0 DDADMM CCN1C[C@H](NC(=O)c2c([O-])cnc3c(F)cccc32)CC1=O ZINC001362734075 884508656 /nfs/dbraw/zinc/50/86/56/884508656.db2.gz BLYXKAGONZZNCL-SECBINFHSA-N -1 1 317.320 1.430 20 0 DDADMM COc1ccc([C@H](NC(=O)CCCc2nn[n-]n2)C2CC2)cn1 ZINC001362750409 884549544 /nfs/dbraw/zinc/54/95/44/884549544.db2.gz JGKPCIZFIGWEAE-OAHLLOKOSA-N -1 1 316.365 1.194 20 0 DDADMM CC(C)(C)[C@@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)c1nc(=O)o[n-]1 ZINC001362823751 884726750 /nfs/dbraw/zinc/72/67/50/884726750.db2.gz RWDCLHDZRFZKCA-KCJUWKMLSA-N -1 1 319.365 1.095 20 0 DDADMM COc1cc(C(=O)NCc2cc(=O)[n-]c(SC)n2)ccn1 ZINC001362852626 884797726 /nfs/dbraw/zinc/79/77/26/884797726.db2.gz LOBCMQSBLDTNHO-UHFFFAOYSA-N -1 1 306.347 1.238 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@@H]1CC12CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001374443376 912880956 /nfs/dbraw/zinc/88/09/56/912880956.db2.gz RSGYLRYJWNGJNS-NWDGAFQWSA-N -1 1 321.425 1.321 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2[C@H](O)CC[C@@H]2C1 ZINC001362915287 884975285 /nfs/dbraw/zinc/97/52/85/884975285.db2.gz JXCQUKHNRKBDOW-GRYCIOLGSA-N -1 1 305.378 1.457 20 0 DDADMM CC(C)(C)OC(=O)c1cc(C(=O)Nc2cc(CO)ccn2)[n-]n1 ZINC001362915784 884977085 /nfs/dbraw/zinc/97/70/85/884977085.db2.gz AJTLPKVUJJZYEX-UHFFFAOYSA-N -1 1 318.333 1.505 20 0 DDADMM CSCC[C@H](NC(=O)c1ccn(CC(C)C)n1)c1nn[n-]n1 ZINC001363003152 885198121 /nfs/dbraw/zinc/19/81/21/885198121.db2.gz UQXOZBADNRTWFC-JTQLQIEISA-N -1 1 323.426 1.276 20 0 DDADMM CSCC[C@H](NC(=O)Cc1cccc(O)c1)c1nn[n-]n1 ZINC001363100455 885463595 /nfs/dbraw/zinc/46/35/95/885463595.db2.gz QYZNWIYHVZKAHL-NSHDSACASA-N -1 1 307.379 1.058 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)OCCC(C)C)n[n-]1 ZINC001363118562 885509256 /nfs/dbraw/zinc/50/92/56/885509256.db2.gz MWZUGBVUWRTZHN-JTQLQIEISA-N -1 1 312.370 1.049 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)OCCC(C)C)n1 ZINC001363118562 885509272 /nfs/dbraw/zinc/50/92/72/885509272.db2.gz MWZUGBVUWRTZHN-JTQLQIEISA-N -1 1 312.370 1.049 20 0 DDADMM Cc1[nH]c(C(=O)Nc2c[n-][nH]c2=O)c(C)c1C(=O)OC(C)C ZINC001363125511 885527371 /nfs/dbraw/zinc/52/73/71/885527371.db2.gz CXVKLIJHJYLRIA-UHFFFAOYSA-N -1 1 306.322 1.878 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H](c3ccncc3)C2)c(=O)[n-]1 ZINC001363148456 885582260 /nfs/dbraw/zinc/58/22/60/885582260.db2.gz SSLRFUMVXAAAHV-LLVKDONJSA-N -1 1 316.386 1.929 20 0 DDADMM CC(=O)c1cccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001363275387 885882690 /nfs/dbraw/zinc/88/26/90/885882690.db2.gz GABFDXDSGIXLDV-UHFFFAOYSA-N -1 1 301.350 1.701 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1ccccc1 ZINC001363351372 886105846 /nfs/dbraw/zinc/10/58/46/886105846.db2.gz UIAAAVSVXCDSPN-LBPRGKRZSA-N -1 1 314.345 1.959 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1ccccc1 ZINC001363351372 886105852 /nfs/dbraw/zinc/10/58/52/886105852.db2.gz UIAAAVSVXCDSPN-LBPRGKRZSA-N -1 1 314.345 1.959 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1ccccc1 ZINC001363351372 886105862 /nfs/dbraw/zinc/10/58/62/886105862.db2.gz UIAAAVSVXCDSPN-LBPRGKRZSA-N -1 1 314.345 1.959 20 0 DDADMM COc1cccc(CC(=O)NC2(c3nn[n-]n3)CCC2)c1Cl ZINC001363375912 886170313 /nfs/dbraw/zinc/17/03/13/886170313.db2.gz IKDSRNXRSXQEGN-UHFFFAOYSA-N -1 1 321.768 1.600 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ncc(Cl)s2)n1 ZINC001363384740 886190219 /nfs/dbraw/zinc/19/02/19/886190219.db2.gz SBJCQEDOKBOTHY-UHFFFAOYSA-N -1 1 300.727 1.949 20 0 DDADMM COC(=O)[C@H](Cc1cncs1)NC(=O)c1ccc([O-])c(F)c1 ZINC001363385687 886190667 /nfs/dbraw/zinc/19/06/67/886190667.db2.gz OWUJIVLGNPZEMB-NSHDSACASA-N -1 1 324.333 1.502 20 0 DDADMM COC[C@H](NC(=O)c1ccc(CC(C)C)cc1)c1nn[n-]n1 ZINC001363414300 886274138 /nfs/dbraw/zinc/27/41/38/886274138.db2.gz LDWLKPHWANJACZ-ZDUSSCGKSA-N -1 1 303.366 1.516 20 0 DDADMM O=C(Cc1nc(C2CC2)cs1)N1CCC(c2nn[n-]n2)CC1 ZINC001363519352 886540533 /nfs/dbraw/zinc/54/05/33/886540533.db2.gz PBQIOCSWBOONML-UHFFFAOYSA-N -1 1 318.406 1.482 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cn(C)nc1C(C)C ZINC001363541472 886589988 /nfs/dbraw/zinc/58/99/88/886589988.db2.gz BIPLICZVALKNPG-UHFFFAOYSA-N -1 1 305.386 1.355 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)on1 ZINC001363585181 886697400 /nfs/dbraw/zinc/69/74/00/886697400.db2.gz QWCNHLOTIONQCI-JTQLQIEISA-N -1 1 304.354 1.406 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@H](c2ncon2)C1 ZINC001363594020 886717711 /nfs/dbraw/zinc/71/77/11/886717711.db2.gz MWVSRWGMOBBXDM-JTQLQIEISA-N -1 1 315.333 1.462 20 0 DDADMM CSc1ncc(C(=O)NCc2cc(C(F)F)on2)c(=O)[n-]1 ZINC001363595677 886722927 /nfs/dbraw/zinc/72/29/27/886722927.db2.gz PKWIQBXYYCZBEM-UHFFFAOYSA-N -1 1 316.289 1.760 20 0 DDADMM COc1ncnc2c1CCN(Cc1cc(Cl)ncc1[O-])CC2 ZINC001233033811 886739805 /nfs/dbraw/zinc/73/98/05/886739805.db2.gz DBIVOTPDAOZRMU-UHFFFAOYSA-N -1 1 320.780 1.840 20 0 DDADMM CN(C)C(=O)N[C@@H]1CCCN(Cc2cc(Cl)ncc2[O-])C1 ZINC001233038646 886749185 /nfs/dbraw/zinc/74/91/85/886749185.db2.gz YEFWPJWQSSVAHP-LLVKDONJSA-N -1 1 312.801 1.676 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@@H](c2cnccn2)C1 ZINC001363646044 886868705 /nfs/dbraw/zinc/86/87/05/886868705.db2.gz CIEYOJBLGTYBNO-LLVKDONJSA-N -1 1 311.345 1.479 20 0 DDADMM COc1cnc(C2(NC(=O)c3nonc3C)CCCC2)[n-]c1=O ZINC001363725810 887075665 /nfs/dbraw/zinc/07/56/65/887075665.db2.gz FWUUNQTURVOCTE-UHFFFAOYSA-N -1 1 319.321 1.082 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCC(=O)C[C@H]2C)n[n-]1 ZINC001363890269 887481475 /nfs/dbraw/zinc/48/14/75/887481475.db2.gz XNEAXVFCWFNMJJ-YWVKMMECSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCC(=O)C[C@H]2C)[n-]1 ZINC001363890269 887481479 /nfs/dbraw/zinc/48/14/79/887481479.db2.gz XNEAXVFCWFNMJJ-YWVKMMECSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCC(=O)C[C@H]2C)n1 ZINC001363890269 887481484 /nfs/dbraw/zinc/48/14/84/887481484.db2.gz XNEAXVFCWFNMJJ-YWVKMMECSA-N -1 1 322.365 1.164 20 0 DDADMM NC(=O)[C@@H](NC(=O)c1ccc([O-])cn1)c1ccc(Cl)cc1 ZINC001363944456 887589533 /nfs/dbraw/zinc/58/95/33/887589533.db2.gz FSBZJTCEPRDYSH-LBPRGKRZSA-N -1 1 305.721 1.397 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)c1ccc([O-])cn1 ZINC001363995316 887689888 /nfs/dbraw/zinc/68/98/88/887689888.db2.gz UGCDRNFZYXGMOB-BPNCWPANSA-N -1 1 304.346 1.734 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C2(c3ncon3)CC2)c(F)c1 ZINC001364046756 887789165 /nfs/dbraw/zinc/78/91/65/887789165.db2.gz WQEULSHIFXBQBT-UHFFFAOYSA-N -1 1 315.301 1.624 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)Cc2ccco2)n[n-]1 ZINC001364062169 887828916 /nfs/dbraw/zinc/82/89/16/887828916.db2.gz KZRMDNKUENZSFK-SECBINFHSA-N -1 1 306.322 1.069 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)Cc2ccco2)n1 ZINC001364062169 887828927 /nfs/dbraw/zinc/82/89/27/887828927.db2.gz KZRMDNKUENZSFK-SECBINFHSA-N -1 1 306.322 1.069 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)[C@H](C)C(C)(C)C)n[n-]1 ZINC001364062485 887830938 /nfs/dbraw/zinc/83/09/38/887830938.db2.gz MBFBNDZURHDFGP-UWVGGRQHSA-N -1 1 310.398 1.916 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)[C@H](C)C(C)(C)C)n1 ZINC001364062485 887830947 /nfs/dbraw/zinc/83/09/47/887830947.db2.gz MBFBNDZURHDFGP-UWVGGRQHSA-N -1 1 310.398 1.916 20 0 DDADMM CC(C)[C@H](NC(=O)N1CCC(c2nn[n-]n2)CC1)C(F)(F)F ZINC001364137417 888003408 /nfs/dbraw/zinc/00/34/08/888003408.db2.gz UKMHMKPKWPUNKC-VIFPVBQESA-N -1 1 320.319 1.676 20 0 DDADMM O=c1[n-]nc(C2CCN(Cc3nc(C4CCC4)no3)CC2)o1 ZINC001364404456 888558858 /nfs/dbraw/zinc/55/88/58/888558858.db2.gz DSKNGCKUZLQBRW-UHFFFAOYSA-N -1 1 305.338 1.805 20 0 DDADMM CC1(c2ccc(CNC(=O)c3ccc([O-])cn3)cc2)OCCO1 ZINC001364413322 888578825 /nfs/dbraw/zinc/57/88/25/888578825.db2.gz BOAADFVDISAUJO-UHFFFAOYSA-N -1 1 314.341 1.937 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)C(=O)OCC(C)C)c(=O)[n-]1 ZINC001364496969 888771920 /nfs/dbraw/zinc/77/19/20/888771920.db2.gz JAHQGGBLELCWEP-QMMMGPOBSA-N -1 1 313.379 1.222 20 0 DDADMM O=C(NCc1nnc([C@H]2CCOC2)[nH]1)c1ccc([O-])c(Cl)c1 ZINC001364517591 888829658 /nfs/dbraw/zinc/82/96/58/888829658.db2.gz KXWDONHVFGITCT-VIFPVBQESA-N -1 1 322.752 1.598 20 0 DDADMM CC(=O)Nc1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)ccc1C ZINC001364567898 888942006 /nfs/dbraw/zinc/94/20/06/888942006.db2.gz NORDVENXDDZTNM-GFCCVEGCSA-N -1 1 314.349 1.096 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCC[C@@H](C)C2)[n-]n1 ZINC001364759446 889356112 /nfs/dbraw/zinc/35/61/12/889356112.db2.gz JJYHNBKEEIYLDV-BDAKNGLRSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCC[C@@H](C)C2)n[n-]1 ZINC001364759446 889356121 /nfs/dbraw/zinc/35/61/21/889356121.db2.gz JJYHNBKEEIYLDV-BDAKNGLRSA-N -1 1 301.368 1.053 20 0 DDADMM CCOC(=O)[C@H](c1cccnc1)N(C)C(=O)c1ncccc1[O-] ZINC001364796711 889435288 /nfs/dbraw/zinc/43/52/88/889435288.db2.gz SPTMEBZEPLRZKY-AWEZNQCLSA-N -1 1 315.329 1.559 20 0 DDADMM CCn1cc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)c(C)n1 ZINC001364949153 889737960 /nfs/dbraw/zinc/73/79/60/889737960.db2.gz NZLSYNANFSZGOE-UHFFFAOYSA-N -1 1 319.365 1.381 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC=C(c2c[nH]c3ncccc23)CC1 ZINC001290083646 913379534 /nfs/dbraw/zinc/37/95/34/913379534.db2.gz LGCNAXLHZUWBGN-UHFFFAOYSA-N -1 1 323.360 1.325 20 0 DDADMM CCc1[nH]ccc1C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001364974596 889796229 /nfs/dbraw/zinc/79/62/29/889796229.db2.gz QHYDDFQYPGSQTE-UHFFFAOYSA-N -1 1 304.350 1.401 20 0 DDADMM CCn1nncc1CN1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001364984585 889817092 /nfs/dbraw/zinc/81/70/92/889817092.db2.gz FONXNMJARCUNMV-ONGXEEELSA-N -1 1 319.331 1.329 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2ccnnc2C)[n-]c1=O ZINC001365167133 890241402 /nfs/dbraw/zinc/24/14/02/890241402.db2.gz XKWBBCOYZRAWTH-UHFFFAOYSA-N -1 1 318.337 1.346 20 0 DDADMM C[C@H]1[C@H](CO)CCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC001365263307 890456578 /nfs/dbraw/zinc/45/65/78/890456578.db2.gz BOKOLNLQHWHGHX-UWVGGRQHSA-N -1 1 314.332 1.625 20 0 DDADMM CC(C)[C@@H](NC(=O)NCCc1c(F)cc([O-])cc1F)C(N)=O ZINC001365440046 890799746 /nfs/dbraw/zinc/79/97/46/890799746.db2.gz RYFNNDJBARYCPP-GFCCVEGCSA-N -1 1 315.320 1.022 20 0 DDADMM O=C1[N-]S(=O)(=O)c2ccc(-c3ccc4[nH]nnc4c3)cc21 ZINC001241942101 891309484 /nfs/dbraw/zinc/30/94/84/891309484.db2.gz OESOSOIRARPTDJ-UHFFFAOYSA-N -1 1 300.299 1.057 20 0 DDADMM O=C1[N-]S(=O)(=O)c2ccc(-c3ccc4nn[nH]c4c3)cc21 ZINC001241942101 891309497 /nfs/dbraw/zinc/30/94/97/891309497.db2.gz OESOSOIRARPTDJ-UHFFFAOYSA-N -1 1 300.299 1.057 20 0 DDADMM CN(C)C(=O)c1ccc(-c2ccc(-c3nnn[n-]3)nc2)c(F)c1 ZINC001242125135 891350523 /nfs/dbraw/zinc/35/05/23/891350523.db2.gz RQPBSFARORJZEU-UHFFFAOYSA-N -1 1 312.308 1.770 20 0 DDADMM CN(C)C(=O)c1ccc(-c2ccc(-c3nn[n-]n3)nc2)c(F)c1 ZINC001242125135 891350534 /nfs/dbraw/zinc/35/05/34/891350534.db2.gz RQPBSFARORJZEU-UHFFFAOYSA-N -1 1 312.308 1.770 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC(C)(C)[C@@H](O)C(C)C)sn1 ZINC001365697829 891353954 /nfs/dbraw/zinc/35/39/54/891353954.db2.gz QMVBNDXHMGPUAH-NSHDSACASA-N -1 1 322.452 1.473 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1cccnc1 ZINC001386666954 891445496 /nfs/dbraw/zinc/44/54/96/891445496.db2.gz DFPNMFDCVDYKMT-LLVKDONJSA-N -1 1 314.345 1.121 20 0 DDADMM COCC(C)(C)CC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001386744571 891614494 /nfs/dbraw/zinc/61/44/94/891614494.db2.gz RJVVXYLKBUINSJ-NSHDSACASA-N -1 1 323.393 1.084 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CCC[C@H]2C[C@H]21 ZINC001386849125 891853051 /nfs/dbraw/zinc/85/30/51/891853051.db2.gz CGEQRDJQXFNDRT-YNEHKIRRSA-N -1 1 317.389 1.412 20 0 DDADMM CNC(=O)c1ccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)c(F)c1 ZINC001244664601 891882513 /nfs/dbraw/zinc/88/25/13/891882513.db2.gz RPFNFLSJQGMARI-UHFFFAOYSA-N -1 1 312.300 1.665 20 0 DDADMM CCOc1nccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)n1 ZINC001244791689 891925017 /nfs/dbraw/zinc/92/50/17/891925017.db2.gz ZRBHJQCPMPFAHL-UHFFFAOYSA-N -1 1 324.362 1.317 20 0 DDADMM Cn1ccc(-c2ccc(-c3ccc4c(c3)CC(=O)[N-]C4=O)cn2)n1 ZINC001245207353 892027112 /nfs/dbraw/zinc/02/71/12/892027112.db2.gz PUBHPNXVENJTQG-UHFFFAOYSA-N -1 1 318.336 1.962 20 0 DDADMM C[S@@](=O)CCCNC(=S)Nc1cc([O-])c(F)cc1F ZINC001246510887 892669034 /nfs/dbraw/zinc/66/90/34/892669034.db2.gz XQOAIRLMLUGCTG-LJQANCHMSA-N -1 1 308.375 1.725 20 0 DDADMM O=C(CCCF)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001387280251 892747437 /nfs/dbraw/zinc/74/74/37/892747437.db2.gz ALMWOFTYVCBSHP-LLVKDONJSA-N -1 1 309.341 1.115 20 0 DDADMM CCCC[C@H](C)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001366211678 892747434 /nfs/dbraw/zinc/74/74/34/892747434.db2.gz FLDBQYNUEJZKRC-QWHCGFSZSA-N -1 1 323.441 1.807 20 0 DDADMM Cc1nc(CN[C@H](C)CNC(=O)c2ncccc2[O-])c(C)o1 ZINC001366259363 892917278 /nfs/dbraw/zinc/91/72/78/892917278.db2.gz JAKUGLDUSBQHLW-SECBINFHSA-N -1 1 304.350 1.300 20 0 DDADMM Cc1occc1C(=O)N[C@H](C)CCNC(=O)c1ncccc1[O-] ZINC001387440032 893104868 /nfs/dbraw/zinc/10/48/68/893104868.db2.gz HYTHJPCEPXFGGP-SNVBAGLBSA-N -1 1 317.345 1.627 20 0 DDADMM O=C(NCCN(C(=O)c1cc[nH]c1)C1CC1)c1ncccc1[O-] ZINC001387482893 893202927 /nfs/dbraw/zinc/20/29/27/893202927.db2.gz TYTBEIJQTXPZPN-UHFFFAOYSA-N -1 1 314.345 1.150 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cc(-n2cccc2)ccc1F ZINC001292563017 913803625 /nfs/dbraw/zinc/80/36/25/913803625.db2.gz UJAKTHMSQQUZKY-UHFFFAOYSA-N -1 1 300.297 1.701 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(C(C)C)CC1 ZINC001388058958 894474037 /nfs/dbraw/zinc/47/40/37/894474037.db2.gz UTNIBQPNMXANPW-LBPRGKRZSA-N -1 1 319.405 1.848 20 0 DDADMM CN(C)S(=O)(=O)[N-]c1ncnc2c1cnn2-c1ccccc1 ZINC001251056552 894615644 /nfs/dbraw/zinc/61/56/44/894615644.db2.gz WBPJXNGDTUQCST-UHFFFAOYSA-N -1 1 318.362 1.034 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001388623216 895564580 /nfs/dbraw/zinc/56/45/80/895564580.db2.gz XSGFZKZXXGHXNM-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM CCS(=O)(=O)[N-]c1ccc2c(c1C(=O)OC)OC[C@@H]1C[C@H]21 ZINC001253367015 895798626 /nfs/dbraw/zinc/79/86/26/895798626.db2.gz PAQBVCIOPXJJQN-WPRPVWTQSA-N -1 1 311.359 1.731 20 0 DDADMM CCOC(=O)C1CCC(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC1 ZINC001255253217 896810106 /nfs/dbraw/zinc/81/01/06/896810106.db2.gz DLPWWJPIFPJQCW-UHFFFAOYSA-N -1 1 321.337 1.250 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CC=CC1 ZINC001278950829 897504623 /nfs/dbraw/zinc/50/46/23/897504623.db2.gz IXRUPEDVBLNMRO-LLVKDONJSA-N -1 1 307.398 1.097 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(Oc2ncccn2)cc1 ZINC001259025032 898422101 /nfs/dbraw/zinc/42/21/01/898422101.db2.gz NKNWOMROEVMLDP-UHFFFAOYSA-N -1 1 323.330 1.184 20 0 DDADMM O=S(=O)([N-]Cc1cncnc1)c1cc(F)c(F)cc1F ZINC001259042255 898438687 /nfs/dbraw/zinc/43/86/87/898438687.db2.gz AXQUPGULTVZFGN-UHFFFAOYSA-N -1 1 303.265 1.372 20 0 DDADMM CC(C)(C)N1CC([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC001259045040 898440675 /nfs/dbraw/zinc/44/06/75/898440675.db2.gz MWWOHKZFXBFQHV-UHFFFAOYSA-N -1 1 322.352 1.865 20 0 DDADMM Cc1nccnc1C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259044770 898441136 /nfs/dbraw/zinc/44/11/36/898441136.db2.gz ASTPYJHGQQXFFZ-UHFFFAOYSA-N -1 1 317.292 1.681 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cc(O)cc(C(N)=O)c2)c(C)c1 ZINC001259103767 898471133 /nfs/dbraw/zinc/47/11/33/898471133.db2.gz VWWMMKIUICOQSE-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM Cc1cccc(C2(C[N-]S(=O)(=O)C(F)F)CCOCC2)n1 ZINC001259964107 898986100 /nfs/dbraw/zinc/98/61/00/898986100.db2.gz BUMCNBIAEOLNSN-UHFFFAOYSA-N -1 1 320.361 1.580 20 0 DDADMM O=S(=O)([N-]C1(c2ccc(F)cc2)CCOCC1)C(F)F ZINC001259964100 898986396 /nfs/dbraw/zinc/98/63/96/898986396.db2.gz BGOWYYXVCOTUKV-UHFFFAOYSA-N -1 1 309.309 1.973 20 0 DDADMM COC(=O)c1ncc([N-]S(=O)(=O)Cc2ccccc2C)cn1 ZINC001260016193 899014726 /nfs/dbraw/zinc/01/47/26/899014726.db2.gz DERWFEKNQUOPJO-UHFFFAOYSA-N -1 1 321.358 1.514 20 0 DDADMM COc1ncc(NS(=O)(=O)c2cccc(C(=O)[O-])c2)c(C)n1 ZINC001260183890 899077164 /nfs/dbraw/zinc/07/71/64/899077164.db2.gz GZHHXLGNKNNYSB-UHFFFAOYSA-N -1 1 323.330 1.293 20 0 DDADMM CC(=O)NC[C@]1(C)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001390284606 899079699 /nfs/dbraw/zinc/07/96/99/899079699.db2.gz GQXAKLGINGXZBS-INIZCTEOSA-N -1 1 318.377 1.048 20 0 DDADMM O=S(=O)([N-]Cc1ncon1)c1cc(Cl)sc1Cl ZINC001260465851 899149257 /nfs/dbraw/zinc/14/92/57/899149257.db2.gz DSFBAFFUBHPWKO-UHFFFAOYSA-N -1 1 314.175 1.916 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cc(=O)[n-]c(N(C)C)n2)s1 ZINC001260680081 899212967 /nfs/dbraw/zinc/21/29/67/899212967.db2.gz YUSVMNKMKQNEHA-UHFFFAOYSA-N -1 1 314.392 1.419 20 0 DDADMM Cn1cnc(NS(=O)(=O)c2cc(Cl)c([O-])c(Cl)c2)n1 ZINC001260867493 899286861 /nfs/dbraw/zinc/28/68/61/899286861.db2.gz ZXOAODIZGRJSKG-UHFFFAOYSA-N -1 1 323.161 1.628 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-][C@@H]1C(=O)Nc2cccc(C)c21 ZINC001261031505 899333914 /nfs/dbraw/zinc/33/39/14/899333914.db2.gz UIBYKKJLQBJGRB-LBPRGKRZSA-N -1 1 320.374 1.307 20 0 DDADMM CCOC(=O)C[C@H]1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC001261087744 899346925 /nfs/dbraw/zinc/34/69/25/899346925.db2.gz VIJFUXNGVMVIFO-GFCCVEGCSA-N -1 1 305.330 1.519 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@]1(C)c1ccccc1 ZINC001262852447 900395934 /nfs/dbraw/zinc/39/59/34/900395934.db2.gz RSEWCPQMBOOCFM-OAHLLOKOSA-N -1 1 310.365 1.511 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@]1(C)c1ccccc1 ZINC001262852447 900395941 /nfs/dbraw/zinc/39/59/41/900395941.db2.gz RSEWCPQMBOOCFM-OAHLLOKOSA-N -1 1 310.365 1.511 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C=Cc2cccnc2)[n-]c1=O ZINC001263944768 900828414 /nfs/dbraw/zinc/82/84/14/900828414.db2.gz OXFUZHNQPMNSFK-VOTSOKGWSA-N -1 1 314.345 1.651 20 0 DDADMM CCCN(C(=O)c1cnc[nH]c1=O)[C@@H]1CC[N@H+](C/C=C/Cl)C1 ZINC001391275683 901366798 /nfs/dbraw/zinc/36/67/98/901366798.db2.gz QOHJTFQTNITWIM-OGOUPESXSA-N -1 1 324.812 1.861 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)CN(C)Cc2ccsc2)c1[O-] ZINC001375027969 914693749 /nfs/dbraw/zinc/69/37/49/914693749.db2.gz ZUYZVSJDARWYCE-VIFPVBQESA-N -1 1 308.407 1.736 20 0 DDADMM CC/C=C(\C)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001281852475 905267188 /nfs/dbraw/zinc/26/71/88/905267188.db2.gz NWBXDYDPRFPHOS-BCMYLCSRSA-N -1 1 307.398 1.337 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)CNC(=O)C1CC1 ZINC001392985705 905872026 /nfs/dbraw/zinc/87/20/26/905872026.db2.gz VLYVPMIZQJSADF-VIFPVBQESA-N -1 1 320.393 1.259 20 0 DDADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C(C)=C1CCC1 ZINC001282638508 905913396 /nfs/dbraw/zinc/91/33/96/905913396.db2.gz IVGUCEQKFPLRDY-CYBMUJFWSA-N -1 1 321.425 1.775 20 0 DDADMM CCC1(C(=O)NCCCN(C)C(=O)c2ncccc2[O-])CCC1 ZINC001283626488 907703937 /nfs/dbraw/zinc/70/39/37/907703937.db2.gz KZEAXRFYTFPKIP-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM CC[C@H](C)CC(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001372612307 907768479 /nfs/dbraw/zinc/76/84/79/907768479.db2.gz QWUATXBQICBGCE-SDDRHHMPSA-N -1 1 305.378 1.600 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H](C)c1ccco1 ZINC001372830550 908373534 /nfs/dbraw/zinc/37/35/34/908373534.db2.gz GENAIFCBCBEZEG-GHMZBOCLSA-N -1 1 317.345 1.418 20 0 DDADMM CC[C@@H](C)CC(=O)N[C@H](C)CCNC(=O)c1ncccc1[O-] ZINC001373260651 909336817 /nfs/dbraw/zinc/33/68/17/909336817.db2.gz JJDPUPWNJOFHQC-VXGBXAGGSA-N -1 1 307.394 1.848 20 0 DDADMM CC[C@@H](CNC(=O)c1ccoc1C(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001373838352 911155337 /nfs/dbraw/zinc/15/53/37/911155337.db2.gz ZUWPTMHAPHHZHT-JTQLQIEISA-N -1 1 321.381 1.525 20 0 DDADMM CO[C@@H](C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-])C(C)C ZINC001395165712 911502394 /nfs/dbraw/zinc/50/23/94/911502394.db2.gz ANNKXRKTJKPZLY-BXUZGUMPSA-N -1 1 323.393 1.035 20 0 DDADMM CO[C@@H](C)CC(=O)NCCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001286657862 912076405 /nfs/dbraw/zinc/07/64/05/912076405.db2.gz DBJSXMOHYMZIQP-RYUDHWBXSA-N -1 1 323.393 1.227 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001397413456 915972463 /nfs/dbraw/zinc/97/24/63/915972463.db2.gz IDHBMARQCZXVQM-RYUDHWBXSA-N -1 1 323.393 1.083 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)C1(F)CCCC1 ZINC001375695354 916727443 /nfs/dbraw/zinc/72/74/43/916727443.db2.gz LNGPKQJIEFDNCQ-NSHDSACASA-N -1 1 323.368 1.552 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N[C@H](C)c1csnn1 ZINC001376716137 919151186 /nfs/dbraw/zinc/15/11/86/919151186.db2.gz QWPIVIRJENHNSR-RKDXNWHRSA-N -1 1 307.379 1.108 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1C(C)(C)C1(C)C ZINC001377784103 923025995 /nfs/dbraw/zinc/02/59/95/923025995.db2.gz LRINFRUQAFBHCX-BDAKNGLRSA-N -1 1 309.414 1.175 20 0 DDADMM CC(C)(C)n1cc([N-]S(=O)(=O)C[C@H]2CCCCO2)cn1 ZINC000076936719 185057839 /nfs/dbraw/zinc/05/78/39/185057839.db2.gz TUXUQQZXVRUHAG-GFCCVEGCSA-N -1 1 301.412 1.949 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CO[C@@H](C)CC)nc2n1 ZINC000622996845 365589430 /nfs/dbraw/zinc/58/94/30/365589430.db2.gz CJAPJMQXXQMMRH-VIFPVBQESA-N -1 1 307.354 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCOCC3CC3)nc2n1 ZINC000622997031 365589461 /nfs/dbraw/zinc/58/94/61/365589461.db2.gz JSTYDZBZCPBHLJ-UHFFFAOYSA-N -1 1 319.365 1.125 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC34CCCC4)nc2n1 ZINC000622996673 365590052 /nfs/dbraw/zinc/59/00/52/365590052.db2.gz WIGICGQRALGBTI-NSHDSACASA-N -1 1 315.377 1.889 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CC3(COC)CC3)nc2n1 ZINC000622998150 365591431 /nfs/dbraw/zinc/59/14/31/365591431.db2.gz ZEAGJGSDVIVVKT-UHFFFAOYSA-N -1 1 319.365 1.125 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)COC(C)(C)CC)nc2n1 ZINC000622998055 365591939 /nfs/dbraw/zinc/59/19/39/365591939.db2.gz WLJAOMCEQJEZMK-UHFFFAOYSA-N -1 1 321.381 1.514 20 0 DDADMM COC[C@H](NC(=O)c1ncc2ccccc2c1[O-])[C@@H]1CCCO1 ZINC000614198292 361747525 /nfs/dbraw/zinc/74/75/25/361747525.db2.gz JRTKLWGOWIALMA-KBPBESRZSA-N -1 1 316.357 1.864 20 0 DDADMM COc1cc(C(=O)NCCCc2nnc[nH]2)cc(Cl)c1[O-] ZINC000614360837 361822212 /nfs/dbraw/zinc/82/22/12/361822212.db2.gz HPCUBESYBDKPCM-UHFFFAOYSA-N -1 1 310.741 1.535 20 0 DDADMM O=C(Cc1ncccc1F)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614390669 361833727 /nfs/dbraw/zinc/83/37/27/361833727.db2.gz WZNMZDIZTUCOIY-VIFPVBQESA-N -1 1 306.297 1.258 20 0 DDADMM O=S(=O)([N-]CCCn1ccnn1)c1sccc1Cl ZINC000451395558 231084860 /nfs/dbraw/zinc/08/48/60/231084860.db2.gz QMWVPZJOBGXXAW-UHFFFAOYSA-N -1 1 306.800 1.362 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000328689808 231309370 /nfs/dbraw/zinc/30/93/70/231309370.db2.gz QOFZDGJIBANOCD-PHIMTYICSA-N -1 1 321.377 1.028 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000254953128 282220246 /nfs/dbraw/zinc/22/02/46/282220246.db2.gz XQZCUKDACLWDOT-XOIDGJRKSA-N -1 1 315.333 1.599 20 0 DDADMM CCC(CC)[C@@H](CCN=c1[n-]cc(S(N)(=O)=O)s1)OC ZINC000446883116 282230591 /nfs/dbraw/zinc/23/05/91/282230591.db2.gz DMDNMAJFYLSTOS-SNVBAGLBSA-N -1 1 321.468 1.466 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@]1(c2ccccc2)CCC(=O)NC1 ZINC000615220421 362201145 /nfs/dbraw/zinc/20/11/45/362201145.db2.gz VUALCKIKRLWCKT-INIZCTEOSA-N -1 1 314.345 1.210 20 0 DDADMM CCc1ncc(C[N-]S(=O)(=O)c2ccc(C(=O)OC)o2)o1 ZINC000451961083 529756417 /nfs/dbraw/zinc/75/64/17/529756417.db2.gz RJAXGAXQWXVPPA-UHFFFAOYSA-N -1 1 314.319 1.095 20 0 DDADMM CCc1cc(N2CCC(c3n[n-]c(=O)o3)CC2)n2ncnc2n1 ZINC000339966461 539332685 /nfs/dbraw/zinc/33/26/85/539332685.db2.gz BHASMDJMKIIHHN-UHFFFAOYSA-N -1 1 315.337 1.159 20 0 DDADMM CCc1nn(C)c(OC)c1CN=c1[n-]c(C(=O)OC)c(C)s1 ZINC000433766685 529767858 /nfs/dbraw/zinc/76/78/58/529767858.db2.gz RECNBNDCXWEGAB-UHFFFAOYSA-N -1 1 324.406 1.577 20 0 DDADMM CNC(=O)OC[C@H]1CCCCN1C(=O)c1ccc([O-])cc1F ZINC000292622894 529892565 /nfs/dbraw/zinc/89/25/65/529892565.db2.gz MDUGUDGYGNBRHA-SNVBAGLBSA-N -1 1 310.325 1.882 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CSc2ccccc2)n[n-]1 ZINC000615916985 362486098 /nfs/dbraw/zinc/48/60/98/362486098.db2.gz IQWFUWKCRFNCCI-UHFFFAOYSA-N -1 1 320.374 1.390 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CSc2ccccc2)n1 ZINC000615916985 362486104 /nfs/dbraw/zinc/48/61/04/362486104.db2.gz IQWFUWKCRFNCCI-UHFFFAOYSA-N -1 1 320.374 1.390 20 0 DDADMM C[C@H]1CCCC[C@]1(C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000457234158 232019428 /nfs/dbraw/zinc/01/94/28/232019428.db2.gz ANZRULOOMTVYSQ-ZBEGNZNMSA-N -1 1 305.378 1.602 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCc2cccs2)n[n-]1 ZINC000616029518 362525195 /nfs/dbraw/zinc/52/51/95/362525195.db2.gz FQKOTHNGVFWIAS-UHFFFAOYSA-N -1 1 308.363 1.292 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCc2cccs2)n1 ZINC000616029518 362525200 /nfs/dbraw/zinc/52/52/00/362525200.db2.gz FQKOTHNGVFWIAS-UHFFFAOYSA-N -1 1 308.363 1.292 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2[C@@H](C)CCC2(C)C)o1 ZINC000616177747 362575137 /nfs/dbraw/zinc/57/51/37/362575137.db2.gz LJEQHWLNVLOPLR-VIFPVBQESA-N -1 1 300.380 1.591 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2ncc(C(F)(F)F)[nH]2)nc1C ZINC000330918265 232161516 /nfs/dbraw/zinc/16/15/16/232161516.db2.gz XAPAAFKNGYUWIQ-UHFFFAOYSA-N -1 1 323.300 1.754 20 0 DDADMM CC[C@@]1(C)COCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358334754 299124135 /nfs/dbraw/zinc/12/41/35/299124135.db2.gz HINBQPUGAZPLID-HNNXBMFYSA-N -1 1 304.350 1.315 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC[C@@H](CO)C1 ZINC000231208857 201886356 /nfs/dbraw/zinc/88/63/56/201886356.db2.gz LPXLLIYFXJLTBL-MRVPVSSYSA-N -1 1 300.152 1.609 20 0 DDADMM C[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1cncc(F)c1 ZINC000358360801 299130428 /nfs/dbraw/zinc/13/04/28/299130428.db2.gz VFVZXIOIXTVVMM-MRVPVSSYSA-N -1 1 315.308 1.699 20 0 DDADMM COC(=O)Cc1cccc(NC(=O)c2ccc([O-])c(F)c2)n1 ZINC000616508291 362729427 /nfs/dbraw/zinc/72/94/27/362729427.db2.gz GOTWTQRHKQHULD-UHFFFAOYSA-N -1 1 304.277 1.894 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000183328056 199575345 /nfs/dbraw/zinc/57/53/45/199575345.db2.gz WYIBNRBIJRTPIQ-IUCAKERBSA-N -1 1 321.345 1.831 20 0 DDADMM O=C(NCC[C@]1(O)CCCOC1)c1ncc2ccccc2c1[O-] ZINC000616562858 362755642 /nfs/dbraw/zinc/75/56/42/362755642.db2.gz GTZKCBLREMLTNT-QGZVFWFLSA-N -1 1 316.357 1.602 20 0 DDADMM CCC(=O)N[C@@H](C)C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000623492517 365897550 /nfs/dbraw/zinc/89/75/50/365897550.db2.gz OZXKELZUBBSNFI-VIFPVBQESA-N -1 1 306.391 1.722 20 0 DDADMM Cc1sc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)nc1C(C)C ZINC000279727026 215097119 /nfs/dbraw/zinc/09/71/19/215097119.db2.gz MDKGLAFPVBYNJC-SECBINFHSA-N -1 1 322.438 1.893 20 0 DDADMM CN(C[C@@H]1CCCC[C@@H]1O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000286967000 219249019 /nfs/dbraw/zinc/24/90/19/219249019.db2.gz AEVLTLPSJJNPSE-QUSORWNASA-N -1 1 317.393 1.357 20 0 DDADMM CC(C)C[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000353856534 290859076 /nfs/dbraw/zinc/85/90/76/290859076.db2.gz COKVYZCVSITALN-LLVKDONJSA-N -1 1 303.366 1.316 20 0 DDADMM O=C(N=c1nc(-c2ccccn2)[nH][n-]1)c1ccc2cc[nH]c2n1 ZINC000353869382 290863661 /nfs/dbraw/zinc/86/36/61/290863661.db2.gz JBYPUJMEMDFEMJ-UHFFFAOYSA-N -1 1 305.301 1.417 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(CSCC)on1)c1nn[n-]n1 ZINC000186046416 186235426 /nfs/dbraw/zinc/23/54/26/186235426.db2.gz QPOFVIQFDQOLDZ-SECBINFHSA-N -1 1 310.383 1.712 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc2c(cccc2Br)[nH]1 ZINC000076964255 304023094 /nfs/dbraw/zinc/02/30/94/304023094.db2.gz PZPJXBGWWNCZMO-UHFFFAOYSA-N -1 1 307.111 1.696 20 0 DDADMM C[C@H](CO)CCC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000564910821 304026474 /nfs/dbraw/zinc/02/64/74/304026474.db2.gz CZCHLWNBCONOJN-JTQLQIEISA-N -1 1 314.432 1.983 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H](C)O[C@@H](C)C3)cnc2n1 ZINC000044955844 352397997 /nfs/dbraw/zinc/39/79/97/352397997.db2.gz YADUAFGGKSJVJR-QWRGUYRKSA-N -1 1 301.346 1.481 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1C[C@H](C)O[C@@H](C)C1)c2=O ZINC000044955844 352398000 /nfs/dbraw/zinc/39/80/00/352398000.db2.gz YADUAFGGKSJVJR-QWRGUYRKSA-N -1 1 301.346 1.481 20 0 DDADMM O=C(COc1ccc(Cl)cc1Cl)NCc1nn[n-]n1 ZINC000044928557 352398265 /nfs/dbraw/zinc/39/82/65/352398265.db2.gz JNTDCPUHVNRTAL-UHFFFAOYSA-N -1 1 302.121 1.202 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCC2(CCO)CC2)[n-]n1 ZINC000491246084 234113989 /nfs/dbraw/zinc/11/39/89/234113989.db2.gz BUYXVCZOMFHLBM-UHFFFAOYSA-N -1 1 304.394 1.145 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)c2ccccc2C)o1 ZINC000048075281 352524168 /nfs/dbraw/zinc/52/41/68/352524168.db2.gz YJKKHVOCCVQIAP-LLVKDONJSA-N -1 1 322.386 1.987 20 0 DDADMM CCOc1ccccc1CNC(=O)NN=c1nc(OC)cc[n-]1 ZINC000054604698 352697644 /nfs/dbraw/zinc/69/76/44/352697644.db2.gz ITBUOMWDUANELD-UHFFFAOYSA-N -1 1 317.349 1.710 20 0 DDADMM C[C@@H](NC(=O)OC(C)(C)C)C(=O)NCc1n[n-]c(=S)n1C ZINC000066625243 353008496 /nfs/dbraw/zinc/00/84/96/353008496.db2.gz HMBRPGAWFRKLJW-SSDOTTSWSA-N -1 1 315.399 1.007 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cnn(C(C)C)c2)o1 ZINC000066673337 353011217 /nfs/dbraw/zinc/01/12/17/353011217.db2.gz CNQJCZDLEGFMCY-UHFFFAOYSA-N -1 1 312.351 1.217 20 0 DDADMM CC(C)(O)CC[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000358554122 299196600 /nfs/dbraw/zinc/19/66/00/299196600.db2.gz AZRZZJAGHKCGAC-UHFFFAOYSA-N -1 1 312.185 1.481 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@](C)(O)C1CC1 ZINC000331959688 234320733 /nfs/dbraw/zinc/32/07/33/234320733.db2.gz ZTAGJOTUKYRORX-INIZCTEOSA-N -1 1 307.394 1.679 20 0 DDADMM Cc1nnc2n1CCC[C@@H]2NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000080376112 353604643 /nfs/dbraw/zinc/60/46/43/353604643.db2.gz BWNQJMANCZNDQS-LBPRGKRZSA-N -1 1 324.344 1.088 20 0 DDADMM C[C@@H]1CN(CCNC(=O)c2cc(Cl)ccc2[O-])[C@@H](C)CO1 ZINC000617739379 363278442 /nfs/dbraw/zinc/27/84/42/363278442.db2.gz XWINADJQNQNDDC-WDEREUQCSA-N -1 1 312.797 1.885 20 0 DDADMM O=C(NCc1ccc(F)cc1C(F)(F)F)c1nc(=O)[nH][n-]1 ZINC000129575150 354084163 /nfs/dbraw/zinc/08/41/63/354084163.db2.gz WJPSXFFXYQJJRM-UHFFFAOYSA-N -1 1 304.203 1.186 20 0 DDADMM COc1cc(C(=O)NCCC[S@@](C)=O)cc(Cl)c1[O-] ZINC000358640816 299210973 /nfs/dbraw/zinc/21/09/73/299210973.db2.gz WCWPDTMNYRMXLL-LJQANCHMSA-N -1 1 305.783 1.553 20 0 DDADMM O=C(NCC1CCS(=O)(=O)CC1)c1c([O-])cccc1F ZINC000130808496 354097327 /nfs/dbraw/zinc/09/73/27/354097327.db2.gz YPHYYBHXDGSEFB-UHFFFAOYSA-N -1 1 301.339 1.086 20 0 DDADMM COc1cccc(OCCCNC(=O)c2ncccc2[O-])c1 ZINC000132075137 354104743 /nfs/dbraw/zinc/10/47/43/354104743.db2.gz KVIRFTKJHCFNEC-UHFFFAOYSA-N -1 1 302.330 1.995 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2cc(C)ns2)CCCC1 ZINC000601300626 358485930 /nfs/dbraw/zinc/48/59/30/358485930.db2.gz HXMSHEKAMFBRRC-UHFFFAOYSA-N -1 1 304.393 1.216 20 0 DDADMM O=C([O-])[C@H](CN1CCCCC1)NS(=O)(=O)CC1CCCC1 ZINC000584874339 354787161 /nfs/dbraw/zinc/78/71/61/354787161.db2.gz BRWGKSVOXFISDB-ZDUSSCGKSA-N -1 1 318.439 1.035 20 0 DDADMM COC(=O)C[C@@H](C)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000565075367 304042662 /nfs/dbraw/zinc/04/26/62/304042662.db2.gz ILHNUVIZPWEGMG-MRVPVSSYSA-N -1 1 315.322 1.802 20 0 DDADMM Cc1cnc(C(=O)N(CCC(N)=O)Cc2ccccc2)c([O-])c1 ZINC000494897973 235101080 /nfs/dbraw/zinc/10/10/80/235101080.db2.gz NNAVOQFBGUIFCQ-UHFFFAOYSA-N -1 1 313.357 1.613 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)[C@@H]1CC2CCC1CC2 ZINC000565145131 304045478 /nfs/dbraw/zinc/04/54/78/304045478.db2.gz JTAHMYYFYHCPBC-PMUOWJKOSA-N -1 1 321.377 1.841 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc(OCC)cn2)n1 ZINC000591302328 355284993 /nfs/dbraw/zinc/28/49/93/355284993.db2.gz BIOGHEXEWUEJIK-UHFFFAOYSA-N -1 1 305.294 1.027 20 0 DDADMM CC[C@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000592082529 355488489 /nfs/dbraw/zinc/48/84/89/355488489.db2.gz IYXRLBNCGBDMPC-UWVGGRQHSA-N -1 1 319.379 1.142 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000592910127 355737530 /nfs/dbraw/zinc/73/75/30/355737530.db2.gz LKCSUOUADKLCSE-PSASIEDQSA-N -1 1 313.737 1.042 20 0 DDADMM Cc1nc(S[C@H](C)C(=O)NC[C@@H]2CCCO2)[n-]c(=O)c1C ZINC000346717520 283145675 /nfs/dbraw/zinc/14/56/75/283145675.db2.gz RJQIGAARSJDRGU-MNOVXSKESA-N -1 1 311.407 1.575 20 0 DDADMM CSC[C@@H](CCO)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601331752 358502571 /nfs/dbraw/zinc/50/25/71/358502571.db2.gz GKHUGQHXQNGUTD-SNVBAGLBSA-N -1 1 303.811 1.818 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ccncc2C)cc1C ZINC000595330194 356451045 /nfs/dbraw/zinc/45/10/45/356451045.db2.gz KLUDRXNPPAXDEO-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCC(C)(C)F)cc1C ZINC000595336751 356453967 /nfs/dbraw/zinc/45/39/67/356453967.db2.gz QXGAKOXXWSRMPL-UHFFFAOYSA-N -1 1 307.343 1.791 20 0 DDADMM CCO[C@H]1C[C@H]([N-]S(=O)(=O)c2cc(C)c(C(=O)OC)o2)C1 ZINC000595343380 356455941 /nfs/dbraw/zinc/45/59/41/356455941.db2.gz PXJHECNPOYUZBO-MGCOHNPYSA-N -1 1 317.363 1.220 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H](C)C(C)C)cc1C ZINC000595313724 356445255 /nfs/dbraw/zinc/44/52/55/356445255.db2.gz BWBVTAOWLWJCRF-JTQLQIEISA-N -1 1 303.380 1.945 20 0 DDADMM CC[C@@H](CCO)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595317184 356446926 /nfs/dbraw/zinc/44/69/26/356446926.db2.gz FSRBSPLRIVDSFA-JTQLQIEISA-N -1 1 319.379 1.062 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)N=c1nc(C2CCOCC2)[n-]s1 ZINC000596194776 356808589 /nfs/dbraw/zinc/80/85/89/356808589.db2.gz QMOATFGYZANLCZ-NXEZZACHSA-N -1 1 313.423 1.854 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]CC2CC2)c1F ZINC000599220387 357853840 /nfs/dbraw/zinc/85/38/40/357853840.db2.gz JQDNPDDGLNUHIS-UHFFFAOYSA-N -1 1 305.302 1.440 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]C2CCCC2)c1F ZINC000599204073 357849722 /nfs/dbraw/zinc/84/97/22/357849722.db2.gz QUTNTMOBUIKGKC-UHFFFAOYSA-N -1 1 319.329 1.972 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(=O)OC ZINC000179553436 199054445 /nfs/dbraw/zinc/05/44/45/199054445.db2.gz HBEBOCGSNKPSJX-SSDOTTSWSA-N -1 1 311.281 1.334 20 0 DDADMM COC[C@@H](O)CCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180852767 199219385 /nfs/dbraw/zinc/21/93/85/199219385.db2.gz MJZLJRAHMHNMQB-VIFPVBQESA-N -1 1 307.268 1.538 20 0 DDADMM C/C=C\C[C@@H]([N-]S(=O)(=O)CC1(OC)CCC1)C(=O)OCC ZINC000601393914 358533948 /nfs/dbraw/zinc/53/39/48/358533948.db2.gz MDGVEJNUPJQXOR-TXNVCOODSA-N -1 1 319.423 1.373 20 0 DDADMM CCOC(=O)c1ncc(CN2C[C@H](C)C[C@H](C(=O)[O-])C2)s1 ZINC000602020130 358779097 /nfs/dbraw/zinc/77/90/97/358779097.db2.gz IQZNFOYVXKKEDM-ZJUUUORDSA-N -1 1 312.391 1.862 20 0 DDADMM COCc1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000618487296 363648745 /nfs/dbraw/zinc/64/87/45/363648745.db2.gz PMVDLFJHMNWTER-HNNXBMFYSA-N -1 1 323.356 1.866 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCC[C@@H]2CC)n[n-]1 ZINC000603153136 359440746 /nfs/dbraw/zinc/44/07/46/359440746.db2.gz GWINBDDCOIEJTF-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCC[C@@H]2CC)[n-]1 ZINC000603153136 359440751 /nfs/dbraw/zinc/44/07/51/359440751.db2.gz GWINBDDCOIEJTF-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCC[C@@H]2CC)n1 ZINC000603153136 359440754 /nfs/dbraw/zinc/44/07/54/359440754.db2.gz GWINBDDCOIEJTF-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H]2CCC[C@@H]2C)n[n-]1 ZINC000603157189 359443958 /nfs/dbraw/zinc/44/39/58/359443958.db2.gz UQVKYISWBTWVFC-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H]2CCC[C@@H]2C)[n-]1 ZINC000603157189 359443963 /nfs/dbraw/zinc/44/39/63/359443963.db2.gz UQVKYISWBTWVFC-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H]2CCC[C@@H]2C)n1 ZINC000603157189 359443968 /nfs/dbraw/zinc/44/39/68/359443968.db2.gz UQVKYISWBTWVFC-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2Cc3ccccc32)n[n-]1 ZINC000603160240 359446577 /nfs/dbraw/zinc/44/65/77/359446577.db2.gz DBAGWCHPOSDMQU-SKDRFNHKSA-N -1 1 314.345 1.499 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2Cc3ccccc32)[n-]1 ZINC000603160240 359446585 /nfs/dbraw/zinc/44/65/85/359446585.db2.gz DBAGWCHPOSDMQU-SKDRFNHKSA-N -1 1 314.345 1.499 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2Cc3ccccc32)n1 ZINC000603160240 359446590 /nfs/dbraw/zinc/44/65/90/359446590.db2.gz DBAGWCHPOSDMQU-SKDRFNHKSA-N -1 1 314.345 1.499 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2ccc(F)cc2)n[n-]1 ZINC000603167728 359451978 /nfs/dbraw/zinc/45/19/78/359451978.db2.gz YPQORURAIAINBF-VIFPVBQESA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2ccc(F)cc2)[n-]1 ZINC000603167728 359451984 /nfs/dbraw/zinc/45/19/84/359451984.db2.gz YPQORURAIAINBF-VIFPVBQESA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2ccc(F)cc2)n1 ZINC000603167728 359451990 /nfs/dbraw/zinc/45/19/90/359451990.db2.gz YPQORURAIAINBF-VIFPVBQESA-N -1 1 320.324 1.540 20 0 DDADMM COC(=O)c1cc(F)ccc1S(=O)(=O)[N-][C@@H](C)C(F)F ZINC000603423779 359631874 /nfs/dbraw/zinc/63/18/74/359631874.db2.gz NZTQZSLJCKDOEP-LURJTMIESA-N -1 1 311.281 1.544 20 0 DDADMM Cc1cc2c(cc1C)[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)CO2 ZINC000187352168 200100004 /nfs/dbraw/zinc/10/00/04/200100004.db2.gz SQHPTRIDZVSVCK-AAEUAGOBSA-N -1 1 315.377 1.555 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N[C@@H]2CCCC[C@@H]2C)n1 ZINC000187586220 200130943 /nfs/dbraw/zinc/13/09/43/200130943.db2.gz ONFIOEIEMRKBCQ-VHSXEESVSA-N -1 1 311.407 1.983 20 0 DDADMM Cn1ncc(C2CC2)c1CNC(=O)c1csc(=NC2CC2)[n-]1 ZINC000618552029 363669209 /nfs/dbraw/zinc/66/92/09/363669209.db2.gz DDRDJICNCUADHF-UHFFFAOYSA-N -1 1 317.418 1.680 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-][C@H](C)c1nc(C)no1)C1CC1 ZINC000624943805 366628787 /nfs/dbraw/zinc/62/87/87/366628787.db2.gz UCBWMOWHCITJFS-LDYMZIIASA-N -1 1 303.384 1.174 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2ccc(OC)cc2[O-])cn1 ZINC000611887124 360850318 /nfs/dbraw/zinc/85/03/18/360850318.db2.gz CLVUCKWVMUTWKP-UHFFFAOYSA-N -1 1 302.286 1.835 20 0 DDADMM O=C(c1ccc2c(c1)CCOC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612818792 361155343 /nfs/dbraw/zinc/15/53/43/361155343.db2.gz XRSSWKMEKNYSHB-CYBMUJFWSA-N -1 1 313.361 1.292 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC(F)(F)C[C@H]1CO ZINC000613765716 361556961 /nfs/dbraw/zinc/55/69/61/361556961.db2.gz CVPVELMAMYCLDU-JTQLQIEISA-N -1 1 308.284 1.783 20 0 DDADMM CC(C)C[C@@H](CNC(=O)c1ncccc1[O-])N1CCOCC1 ZINC000194654482 201269515 /nfs/dbraw/zinc/26/95/15/201269515.db2.gz LSHOPOHJNOUEJX-ZDUSSCGKSA-N -1 1 307.394 1.264 20 0 DDADMM COc1cccc(CS(=O)(=O)c2nnc(C(C)(C)C)[n-]2)n1 ZINC000195174201 201352759 /nfs/dbraw/zinc/35/27/59/201352759.db2.gz UBSNHXUXZWVLDG-UHFFFAOYSA-N -1 1 310.379 1.480 20 0 DDADMM COc1cccc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)n1 ZINC000195174201 201352762 /nfs/dbraw/zinc/35/27/62/201352762.db2.gz UBSNHXUXZWVLDG-UHFFFAOYSA-N -1 1 310.379 1.480 20 0 DDADMM COc1cccc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)n1 ZINC000195174201 201352765 /nfs/dbraw/zinc/35/27/65/201352765.db2.gz UBSNHXUXZWVLDG-UHFFFAOYSA-N -1 1 310.379 1.480 20 0 DDADMM Cc1ccc(CN2CCSCC2)cc1NC(=O)c1cn[nH]n1 ZINC000195451685 201390872 /nfs/dbraw/zinc/39/08/72/201390872.db2.gz XNCPUEKKXKSLMN-UHFFFAOYSA-N -1 1 317.418 1.914 20 0 DDADMM COC(=O)c1cc(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)no1 ZINC000619568180 364063390 /nfs/dbraw/zinc/06/33/90/364063390.db2.gz QGHDQPXMPRCASK-UHFFFAOYSA-N -1 1 318.314 1.587 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H](C)OC)C1CCCCC1 ZINC000619680368 364114177 /nfs/dbraw/zinc/11/41/77/364114177.db2.gz ONYJTSGUXJBLHS-JQWIXIFHSA-N -1 1 307.412 1.063 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cc(CN(C)C)ccn2)nc1C ZINC000349004951 283800778 /nfs/dbraw/zinc/80/07/78/283800778.db2.gz LOCXQZKCIDVTSK-UHFFFAOYSA-N -1 1 323.422 1.469 20 0 DDADMM CSc1cc(C)c(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)o1 ZINC000621791625 365031514 /nfs/dbraw/zinc/03/15/14/365031514.db2.gz CZISGUYIRJABOU-UHFFFAOYSA-N -1 1 316.364 1.084 20 0 DDADMM CSc1cc(C)c(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)o1 ZINC000621791625 365031519 /nfs/dbraw/zinc/03/15/19/365031519.db2.gz CZISGUYIRJABOU-UHFFFAOYSA-N -1 1 316.364 1.084 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1cnccn1 ZINC000091159652 193007927 /nfs/dbraw/zinc/00/79/27/193007927.db2.gz BWPAVYWPODOLSF-UHFFFAOYSA-N -1 1 310.310 1.240 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622609748 365451044 /nfs/dbraw/zinc/45/10/44/365451044.db2.gz HHWJRIDBGWCMEI-GXTWGEPZSA-N -1 1 317.393 1.857 20 0 DDADMM O=C(N[C@H]1CCCN(CCCO)C1)c1s[n-]c(=O)c1Cl ZINC000622631005 365457490 /nfs/dbraw/zinc/45/74/90/365457490.db2.gz DEBSBUOHXUCYLH-QMMMGPOBSA-N -1 1 319.814 1.079 20 0 DDADMM COc1c(C)cc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)cc1C ZINC000359242902 299373565 /nfs/dbraw/zinc/37/35/65/299373565.db2.gz VOPRBGVVUHOFQJ-UHFFFAOYSA-N -1 1 324.362 1.086 20 0 DDADMM COc1c(C)cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1C ZINC000359242902 299373569 /nfs/dbraw/zinc/37/35/69/299373569.db2.gz VOPRBGVVUHOFQJ-UHFFFAOYSA-N -1 1 324.362 1.086 20 0 DDADMM C[C@@H]1CN(C(=O)c2nn(-c3ccccc3F)cc2[O-])C[C@H](C)N1 ZINC000566246906 304132974 /nfs/dbraw/zinc/13/29/74/304132974.db2.gz RAAXTAADKVZZHJ-PHIMTYICSA-N -1 1 318.352 1.539 20 0 DDADMM CN(C)c1cccc(CCC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000350003658 284130973 /nfs/dbraw/zinc/13/09/73/284130973.db2.gz MHUOHGNRHHARPY-UHFFFAOYSA-N -1 1 302.382 1.250 20 0 DDADMM CC[C@@H]1C[N@@H+](CC(=O)NCC(=O)[O-])CC[C@@H]1c1ccccc1 ZINC000262194363 203226115 /nfs/dbraw/zinc/22/61/15/203226115.db2.gz HFGNOIPMJHTAEH-HIFRSBDPSA-N -1 1 304.390 1.703 20 0 DDADMM COc1ccc(C[N@@H+](CC(=O)NCC(=O)[O-])[C@@H](C)C2CC2)cc1 ZINC000262208341 203229991 /nfs/dbraw/zinc/22/99/91/203229991.db2.gz JHPNXAHDPOYJJX-LBPRGKRZSA-N -1 1 320.389 1.497 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCN(CC(F)(F)F)C1)c1nn[n-]n1 ZINC000350182286 284165838 /nfs/dbraw/zinc/16/58/38/284165838.db2.gz XTAPJHZBRJROIO-IUCAKERBSA-N -1 1 320.319 1.041 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CC(=O)N1 ZINC000350550677 284215397 /nfs/dbraw/zinc/21/53/97/284215397.db2.gz DPISBVVGSFZHQR-NXEZZACHSA-N -1 1 318.402 1.803 20 0 DDADMM O=C(N1CCC[C@@H](c2nn[n-]n2)C1)C1(Oc2ccccc2)CC1 ZINC000579461479 422772769 /nfs/dbraw/zinc/77/27/69/422772769.db2.gz WABBKLXCJBVGJW-GFCCVEGCSA-N -1 1 313.361 1.517 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]C(C)(C)C)c(F)cc1F ZINC000264747727 204125578 /nfs/dbraw/zinc/12/55/78/204125578.db2.gz UGKUZWAJFVBUNT-UHFFFAOYSA-N -1 1 307.318 1.828 20 0 DDADMM C[S@](=O)C1(C[N-]S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC000282615513 217128184 /nfs/dbraw/zinc/12/81/84/217128184.db2.gz RSHOYZYOPOUTSP-SFHVURJKSA-N -1 1 309.359 1.154 20 0 DDADMM O=C(COc1ccc(F)cc1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282804289 217262813 /nfs/dbraw/zinc/26/28/13/217262813.db2.gz ASJOHIDDMVMIQV-SNVBAGLBSA-N -1 1 320.324 1.022 20 0 DDADMM C(N=c1[n-]nc(C2CC2)s1)c1nc(C2CCOCC2)n[nH]1 ZINC000631459220 422777137 /nfs/dbraw/zinc/77/71/37/422777137.db2.gz CBLZHFICBHIHQC-UHFFFAOYSA-N -1 1 306.395 1.462 20 0 DDADMM C(N=c1[n-]nc(C2CC2)s1)c1n[nH]c(C2CCOCC2)n1 ZINC000631459220 422777144 /nfs/dbraw/zinc/77/71/44/422777144.db2.gz CBLZHFICBHIHQC-UHFFFAOYSA-N -1 1 306.395 1.462 20 0 DDADMM CCC[C@@H](NC(=O)c1[nH]c(C)c(C(=O)OC)c1C)c1nn[n-]n1 ZINC000267564761 206148572 /nfs/dbraw/zinc/14/85/72/206148572.db2.gz IFWFYLRAZHRCEO-SECBINFHSA-N -1 1 320.353 1.202 20 0 DDADMM O=C([O-])CC1(NS(=O)(=O)CCN2CCCC2)CCCCC1 ZINC000566913579 304187931 /nfs/dbraw/zinc/18/79/31/304187931.db2.gz YDKJMCKWJLKTEA-UHFFFAOYSA-N -1 1 318.439 1.179 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)Cc1cccn1C ZINC000351758654 284503502 /nfs/dbraw/zinc/50/35/02/284503502.db2.gz PIUVGVUFXLFNHC-UHFFFAOYSA-N -1 1 306.391 1.823 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1(C(F)(F)F)CCCC1 ZINC000636289927 422784110 /nfs/dbraw/zinc/78/41/10/422784110.db2.gz WPXNZWBDVSGORV-UHFFFAOYSA-N -1 1 305.304 1.761 20 0 DDADMM O=C(N=c1ccc(C2CC2)n[n-]1)N1CCN2CCCC[C@@H]2C1 ZINC000333517452 249008259 /nfs/dbraw/zinc/00/82/59/249008259.db2.gz GILXPXAJAJMJIU-CYBMUJFWSA-N -1 1 301.394 1.478 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCC[C@@H](C(=O)NC(C)C)C2)c([O-])c1 ZINC000334079896 249206773 /nfs/dbraw/zinc/20/67/73/249206773.db2.gz PPFXSTWBKAACTR-OLZOCXBDSA-N -1 1 319.405 1.909 20 0 DDADMM COC1(CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCCCC1 ZINC000287574920 284714743 /nfs/dbraw/zinc/71/47/43/284714743.db2.gz LBLFGOAGYQHMLO-MOSHPQCFSA-N -1 1 317.393 1.813 20 0 DDADMM O=c1cc(C=Cc2c[nH]c(-c3ccccc3)n2)nc2nc[n-]n21 ZINC000352024917 284720308 /nfs/dbraw/zinc/72/03/08/284720308.db2.gz AAIPOXMTCUYTIY-VOTSOKGWSA-N -1 1 304.313 1.978 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ncsc1C(F)(F)F ZINC000338845809 250160699 /nfs/dbraw/zinc/16/06/99/250160699.db2.gz BUUFELMYMHZARW-UHFFFAOYSA-N -1 1 304.257 1.094 20 0 DDADMM O=C(NC[C@@H](O)C(F)F)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338927681 250200406 /nfs/dbraw/zinc/20/04/06/250200406.db2.gz HTSSODVFFDFRRX-SNVBAGLBSA-N -1 1 316.691 1.950 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)Oc2ccccc2)n1 ZINC000339170718 250325833 /nfs/dbraw/zinc/32/58/33/250325833.db2.gz PHJDHMRWFFANSZ-JTQLQIEISA-N -1 1 303.318 1.992 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](NC(C)=O)C2CCCC2)n1 ZINC000339177990 250330472 /nfs/dbraw/zinc/33/04/72/250330472.db2.gz JOJOJJLZOQSZES-LBPRGKRZSA-N -1 1 322.365 1.220 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339187239 250333778 /nfs/dbraw/zinc/33/37/78/250333778.db2.gz ZDSWBVVIPVFWNZ-NSHDSACASA-N -1 1 317.345 1.949 20 0 DDADMM O=C(c1cccc2c1OCO2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339188241 250334175 /nfs/dbraw/zinc/33/41/75/250334175.db2.gz GSJCMDDVRVJGGK-UHFFFAOYSA-N -1 1 317.301 1.524 20 0 DDADMM COc1cc(NC(=O)c2c[nH]c(C)n2)ccc1[N-]S(C)(=O)=O ZINC000339185625 250334757 /nfs/dbraw/zinc/33/47/57/250334757.db2.gz VMHSHGZMWIPFNT-UHFFFAOYSA-N -1 1 324.362 1.351 20 0 DDADMM Cc1nc([C@H](C)NC(=O)N=c2ccc(C(F)(F)F)n[n-]2)n[nH]1 ZINC000567482913 304222982 /nfs/dbraw/zinc/22/29/82/304222982.db2.gz VESQRRPWHNSDOD-YFKPBYRVSA-N -1 1 315.259 1.227 20 0 DDADMM CC(C)[C@](C)([N-]S(=O)(=O)c1cc(F)ccc1F)C(N)=O ZINC000285338502 218386885 /nfs/dbraw/zinc/38/68/85/218386885.db2.gz ONDBODSASRFJQM-LBPRGKRZSA-N -1 1 306.334 1.143 20 0 DDADMM C[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000567497403 304224064 /nfs/dbraw/zinc/22/40/64/304224064.db2.gz UQGGXMFTEYKJNU-ZJUUUORDSA-N -1 1 312.416 2.000 20 0 DDADMM Cc1cccc2c(=O)n(CC(=O)Nc3ccncc3[O-])cnc12 ZINC000109891225 194296786 /nfs/dbraw/zinc/29/67/86/194296786.db2.gz LQZPOBVUDWHKJJ-UHFFFAOYSA-N -1 1 310.313 1.444 20 0 DDADMM COc1cc(NC(=O)c2cn(C)cn2)ccc1[N-]S(C)(=O)=O ZINC000340074732 250857586 /nfs/dbraw/zinc/85/75/86/250857586.db2.gz XSTLXZDUGVZKHG-UHFFFAOYSA-N -1 1 324.362 1.053 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)c2c[nH]nc2C)c(F)c1 ZINC000110590208 194340372 /nfs/dbraw/zinc/34/03/72/194340372.db2.gz AZERPGANFGLWOL-UHFFFAOYSA-N -1 1 313.310 1.445 20 0 DDADMM CCc1onc(C)c1[N-]C(=O)c1ccc(S(N)(=O)=O)s1 ZINC000340435625 251064476 /nfs/dbraw/zinc/06/44/76/251064476.db2.gz UOOHIOLMLLLPCP-UHFFFAOYSA-N -1 1 315.376 1.507 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)C(=O)CCc2nn[n-]n2)C12CCCCC2 ZINC000631496209 422799575 /nfs/dbraw/zinc/79/95/75/422799575.db2.gz BAJURSHZKHCHEP-OLZOCXBDSA-N -1 1 321.425 1.719 20 0 DDADMM CCC[C@]1(C)CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000352405878 285029732 /nfs/dbraw/zinc/02/97/32/285029732.db2.gz CJFMJBQFPCYJQO-OAHLLOKOSA-N -1 1 303.366 1.460 20 0 DDADMM CN(Cc1cc2ccccc2o1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352414470 285035680 /nfs/dbraw/zinc/03/56/80/285035680.db2.gz HDTSRMAWXGJTNX-UHFFFAOYSA-N -1 1 323.312 1.436 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)[C@@H]3CCOC3)cnc2n1 ZINC000119918748 195062434 /nfs/dbraw/zinc/06/24/34/195062434.db2.gz UQZWMQZWWPIJJR-WDEREUQCSA-N -1 1 301.346 1.799 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)[C@@H]1CCOC1)c2=O ZINC000119918748 195062435 /nfs/dbraw/zinc/06/24/35/195062435.db2.gz UQZWMQZWWPIJJR-WDEREUQCSA-N -1 1 301.346 1.799 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[O-])CN1Cc1cn(-c2ccccc2)nn1 ZINC000567857358 304248509 /nfs/dbraw/zinc/24/85/09/304248509.db2.gz CEQGHDBUXYOALD-STQMWFEESA-N -1 1 300.362 1.952 20 0 DDADMM COCCn1ccc(NC(=O)c2cc(NC(C)=O)ccc2[O-])n1 ZINC000270021102 208074786 /nfs/dbraw/zinc/07/47/86/208074786.db2.gz DHQGNRPZHOHTHQ-UHFFFAOYSA-N -1 1 318.333 1.446 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]Cc2nccn2C(F)F)s1 ZINC000270040980 208095007 /nfs/dbraw/zinc/09/50/07/208095007.db2.gz ZWBRLWYCWDPOSU-UHFFFAOYSA-N -1 1 308.335 1.522 20 0 DDADMM C[C@H](CN(C)C(=O)CSCc1ccccn1)c1nn[n-]n1 ZINC000352481915 285082860 /nfs/dbraw/zinc/08/28/60/285082860.db2.gz RCOSKLMCWWGMLP-SNVBAGLBSA-N -1 1 306.395 1.090 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(CC(F)(F)F)C(=O)C1 ZINC000286474842 219008078 /nfs/dbraw/zinc/00/80/78/219008078.db2.gz ORUNJGGSQWOADT-UHFFFAOYSA-N -1 1 320.242 1.378 20 0 DDADMM O=C(Nc1cccc(-c2n[nH]c(=O)[n-]2)c1)[C@@H]1CCCc2[nH]ncc21 ZINC000288696403 220353921 /nfs/dbraw/zinc/35/39/21/220353921.db2.gz BARQGABFELIFPY-LLVKDONJSA-N -1 1 324.344 1.547 20 0 DDADMM CCOC(=O)[C@@H](C)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000158088041 197242995 /nfs/dbraw/zinc/24/29/95/197242995.db2.gz SBGOFOUTMVCGPM-QMMMGPOBSA-N -1 1 307.318 1.442 20 0 DDADMM COc1ccccc1[C@@H](CNc1ncc(C(=O)[O-])cn1)N(C)C ZINC000567981034 304258456 /nfs/dbraw/zinc/25/84/56/304258456.db2.gz XZJZWIMBFFVVMU-CYBMUJFWSA-N -1 1 316.361 1.898 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCc2ccccc2)co1 ZINC000158001210 197234080 /nfs/dbraw/zinc/23/40/80/197234080.db2.gz ULTHWVKNGHUYHS-UHFFFAOYSA-N -1 1 322.386 1.550 20 0 DDADMM O=S(=O)(Cc1ccon1)c1n[n-]c(CCC2CCCC2)n1 ZINC000156440722 197113366 /nfs/dbraw/zinc/11/33/66/197113366.db2.gz NIMTWAHIVQYWEK-UHFFFAOYSA-N -1 1 310.379 1.889 20 0 DDADMM CC(C)[C@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1cccnc1 ZINC000568163661 304269629 /nfs/dbraw/zinc/26/96/29/304269629.db2.gz LQIUXJKKDXIIGL-KBPBESRZSA-N -1 1 314.393 1.741 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1ccnn1Cc1ccccn1 ZINC000120390066 195157357 /nfs/dbraw/zinc/15/73/57/195157357.db2.gz NPNXDCJUQKMPRX-CQSZACIVSA-N -1 1 317.349 1.906 20 0 DDADMM C[C@@H]1CN(Cc2cccc([O-])c2Cl)CCN1S(C)(=O)=O ZINC000352743157 285269807 /nfs/dbraw/zinc/26/98/07/285269807.db2.gz SWPNMGCMOBWUTC-SNVBAGLBSA-N -1 1 318.826 1.511 20 0 DDADMM CCOCCNC(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000352748974 285274357 /nfs/dbraw/zinc/27/43/57/285274357.db2.gz OLGBXMZYGNZUAP-UHFFFAOYSA-N -1 1 316.361 1.248 20 0 DDADMM CN(C)S(=O)(=O)CCSc1nc(C(F)F)cc(=O)[n-]1 ZINC000289601594 221095181 /nfs/dbraw/zinc/09/51/81/221095181.db2.gz SXXNNNUFSIZYOE-UHFFFAOYSA-N -1 1 313.351 1.103 20 0 DDADMM CS(=O)(=O)CC1(CNC(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000289706202 221169436 /nfs/dbraw/zinc/16/94/36/221169436.db2.gz OSFCALRXEAHVGJ-UHFFFAOYSA-N -1 1 319.329 1.225 20 0 DDADMM CC(=O)NC1CCC(NC(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000289913922 221312802 /nfs/dbraw/zinc/31/28/02/221312802.db2.gz YDGCITXGDFMQDI-UHFFFAOYSA-N -1 1 312.316 1.848 20 0 DDADMM Cc1nncn1CC[N-]S(=O)(=O)c1sccc1Cl ZINC000414456753 224339848 /nfs/dbraw/zinc/33/98/48/224339848.db2.gz HZRWNGQLDUGSBK-UHFFFAOYSA-N -1 1 306.800 1.280 20 0 DDADMM Cc1cc(F)ccc1CN[C@@H]1CCN([C@@H](C(=O)[O-])C(C)C)C1=O ZINC000414655545 224368621 /nfs/dbraw/zinc/36/86/21/224368621.db2.gz JTCHMKHFTBRXKZ-HUUCEWRRSA-N -1 1 322.380 1.934 20 0 DDADMM C[C@@H](C(=O)NC1(c2nn[n-]n2)CCCC1)C(=O)OC(C)(C)C ZINC000413471839 533241592 /nfs/dbraw/zinc/24/15/92/533241592.db2.gz RELJJQMXODBMBE-VIFPVBQESA-N -1 1 309.370 1.063 20 0 DDADMM COc1cc(C)c(CN[C@H](C(=O)[O-])c2cnn(C)c2)cc1OC ZINC000417594577 533574651 /nfs/dbraw/zinc/57/46/51/533574651.db2.gz IZCWIFFFYWMJDN-HNNXBMFYSA-N -1 1 319.361 1.661 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H](CO)Cc2cccc(C)c2)sc1C ZINC000433802401 533646552 /nfs/dbraw/zinc/64/65/52/533646552.db2.gz IUUCYJDGWBVDMB-CYBMUJFWSA-N -1 1 320.414 1.984 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC1CCC1)c1cccc(F)c1F ZINC000360351223 299642026 /nfs/dbraw/zinc/64/20/26/299642026.db2.gz FVDZBCJNJAJXEF-SNVBAGLBSA-N -1 1 305.346 1.794 20 0 DDADMM NS(=O)(=O)c1c[n-]c(=NC[C@H]2CCC[C@H]2C2CC2)s1 ZINC000568961862 304324149 /nfs/dbraw/zinc/32/41/49/304324149.db2.gz XXTKNGWPHOYXAO-ZJUUUORDSA-N -1 1 301.437 1.451 20 0 DDADMM C[C@H]1c2cc(F)cc(F)c2CCN1CC(=O)Nc1nnn[n-]1 ZINC000569567799 304363845 /nfs/dbraw/zinc/36/38/45/304363845.db2.gz PQMHYPWYWYWKHO-ZETCQYMHSA-N -1 1 308.292 1.036 20 0 DDADMM C[C@H]1c2cc(F)cc(F)c2CCN1CC(=O)Nc1nn[n-]n1 ZINC000569567799 304363846 /nfs/dbraw/zinc/36/38/46/304363846.db2.gz PQMHYPWYWYWKHO-ZETCQYMHSA-N -1 1 308.292 1.036 20 0 DDADMM C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000631555188 422830035 /nfs/dbraw/zinc/83/00/35/422830035.db2.gz NOEJVKFCHLZZDF-XWIASGKRSA-N -1 1 322.792 1.714 20 0 DDADMM Cc1cccc(CC(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)c1 ZINC000074162332 406904385 /nfs/dbraw/zinc/90/43/85/406904385.db2.gz RZOZCZFVPJPGKW-UHFFFAOYSA-N -1 1 324.384 1.807 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(C)C ZINC000035160236 406967512 /nfs/dbraw/zinc/96/75/12/406967512.db2.gz NHXJITWOCYULQX-NSHDSACASA-N -1 1 307.318 1.441 20 0 DDADMM CN(C(=O)c1cc(Cl)ccc1[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000035180469 406968739 /nfs/dbraw/zinc/96/87/39/406968739.db2.gz VPZBRYXUCZUOKR-SECBINFHSA-N -1 1 303.767 1.305 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3ccncc3)no2)o1 ZINC000074455401 406912963 /nfs/dbraw/zinc/91/29/63/406912963.db2.gz OUWBAWNVXTUXAO-UHFFFAOYSA-N -1 1 306.303 1.300 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCc2cccs2)c1Cl ZINC000027954389 406914341 /nfs/dbraw/zinc/91/43/41/406914341.db2.gz BGCWWENAZMSACE-UHFFFAOYSA-N -1 1 305.812 1.656 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(Br)ccc1Cl ZINC000044928298 407033941 /nfs/dbraw/zinc/03/39/41/407033941.db2.gz AWDJZBZKOPJREP-UHFFFAOYSA-N -1 1 316.546 1.546 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@H]1O)c1ccc(F)c(F)c1F ZINC000089166240 407126354 /nfs/dbraw/zinc/12/63/54/407126354.db2.gz AASQSRYYLBSMHW-VXNVDRBHSA-N -1 1 309.309 1.543 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccn(-c2ccc(Cl)cc2Cl)n1 ZINC000089245066 407128629 /nfs/dbraw/zinc/12/86/29/407128629.db2.gz RGSLJHDTALKVGN-UHFFFAOYSA-N -1 1 324.131 1.945 20 0 DDADMM O=S(=O)([N-]CCc1nccs1)c1cc(F)ccc1F ZINC000051163078 407137729 /nfs/dbraw/zinc/13/77/29/407137729.db2.gz ZEXRAAWVOAJANJ-UHFFFAOYSA-N -1 1 304.343 1.942 20 0 DDADMM Cc1n[nH]c(C)c1NC(=O)CS(=O)(=O)c1ccc([O-])cc1 ZINC000090989174 407173361 /nfs/dbraw/zinc/17/33/61/407173361.db2.gz IQRDYJIHYSIMPE-UHFFFAOYSA-N -1 1 309.347 1.145 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(I)cc1 ZINC000060525713 407226131 /nfs/dbraw/zinc/22/61/31/407226131.db2.gz OCXVFEZJPJBBER-UHFFFAOYSA-N -1 1 315.074 1.057 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(I)cc1 ZINC000060525713 407226132 /nfs/dbraw/zinc/22/61/32/407226132.db2.gz OCXVFEZJPJBBER-UHFFFAOYSA-N -1 1 315.074 1.057 20 0 DDADMM CCOc1ccccc1CCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000124385703 407356788 /nfs/dbraw/zinc/35/67/88/407356788.db2.gz AOYBOGALDFWAQU-GFCCVEGCSA-N -1 1 317.393 1.793 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCCc2sccc21)c1nn[n-]n1 ZINC000124501678 407360471 /nfs/dbraw/zinc/36/04/71/407360471.db2.gz FRXRDKQYCRLVBB-MWLCHTKSSA-N -1 1 305.407 1.943 20 0 DDADMM CCC(CC)n1ncc(C(=O)NCCCc2nc(=O)[n-][nH]2)c1C ZINC000125467558 407389267 /nfs/dbraw/zinc/38/92/67/407389267.db2.gz KEVSATMJBSTTCV-UHFFFAOYSA-N -1 1 320.397 1.327 20 0 DDADMM O=C(NCCN1C(=O)CCC1=O)c1ccc2ccccc2c1[O-] ZINC000113987445 407531815 /nfs/dbraw/zinc/53/18/15/407531815.db2.gz RYMBCEZIGNTKDK-UHFFFAOYSA-N -1 1 312.325 1.424 20 0 DDADMM O=C(Cc1cccc(Cl)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129306919 407602482 /nfs/dbraw/zinc/60/24/82/407602482.db2.gz CIUIWAJMWWNPDD-NSHDSACASA-N -1 1 305.769 1.802 20 0 DDADMM O=C([C@@H]1CCOc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129386085 407603598 /nfs/dbraw/zinc/60/35/98/407603598.db2.gz PWDDEOOHOMWKDA-WCQYABFASA-N -1 1 313.361 1.472 20 0 DDADMM CC(C)[C@@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC000178844330 407621848 /nfs/dbraw/zinc/62/18/48/407621848.db2.gz HAEVROBRNUGLPQ-SSDOTTSWSA-N -1 1 313.206 1.684 20 0 DDADMM O=S(=O)([N-]C1(CO)CCC1)c1ccc(Cl)nc1Cl ZINC000186259172 407622550 /nfs/dbraw/zinc/62/25/50/407622550.db2.gz KUSQZTUNGHHTNR-UHFFFAOYSA-N -1 1 311.190 1.582 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N(C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000271311613 407629648 /nfs/dbraw/zinc/62/96/48/407629648.db2.gz SNPJISTUZCKGFN-LLVKDONJSA-N -1 1 313.350 1.323 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@H]1CO)c1cccc(F)c1F ZINC000178916700 407654743 /nfs/dbraw/zinc/65/47/43/407654743.db2.gz ODOUJPGYXDGLOK-GXSJLCMTSA-N -1 1 305.346 1.794 20 0 DDADMM CC[C@H](CC1CCCC1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000114630459 407592272 /nfs/dbraw/zinc/59/22/72/407592272.db2.gz HGPURADBAGEOGZ-SNVBAGLBSA-N -1 1 314.411 1.753 20 0 DDADMM CC[C@H](CC1CCCC1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000114630459 407592283 /nfs/dbraw/zinc/59/22/83/407592283.db2.gz HGPURADBAGEOGZ-SNVBAGLBSA-N -1 1 314.411 1.753 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@@H]2OCCC[C@@H]2C1 ZINC000130372879 407679074 /nfs/dbraw/zinc/67/90/74/407679074.db2.gz AMRXOLQMESCJIN-RISCZKNCSA-N -1 1 313.357 1.564 20 0 DDADMM COC[C@H]1CCN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000267059498 407732177 /nfs/dbraw/zinc/73/21/77/407732177.db2.gz GXJGWSQUKLMZRS-NSHDSACASA-N -1 1 307.394 1.897 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)Nc2ccc(C)cc2C)n[n-]1 ZINC000153113877 407756819 /nfs/dbraw/zinc/75/68/19/407756819.db2.gz JRONGGNEVKUNGQ-UHFFFAOYSA-N -1 1 322.390 1.396 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)[C@@H]1CCN(C)C1=O ZINC000267127440 407758617 /nfs/dbraw/zinc/75/86/17/407758617.db2.gz OTIVFXRMGNSDRC-SNVBAGLBSA-N -1 1 320.393 1.091 20 0 DDADMM CN(CCCC(=O)[O-])C(=O)[C@H]1CCC[N@H+]1Cc1ccccc1 ZINC000237277899 407809450 /nfs/dbraw/zinc/80/94/50/407809450.db2.gz BFGVKMQBBKFLEG-OAHLLOKOSA-N -1 1 304.390 1.974 20 0 DDADMM O=C([O-])CSCCNC(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000238056722 407877558 /nfs/dbraw/zinc/87/75/58/407877558.db2.gz GGNRBSNGVBDBMG-CQSZACIVSA-N -1 1 322.430 1.585 20 0 DDADMM CCC(CC)CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000181030151 407948824 /nfs/dbraw/zinc/94/88/24/407948824.db2.gz SLKOEGDLITYRIQ-UHFFFAOYSA-N -1 1 300.380 1.804 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2ccc([O-])cc2F)cc1 ZINC000181181155 407962785 /nfs/dbraw/zinc/96/27/85/407962785.db2.gz DPIWMNKNTVWHHA-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM CCC[C@H](NC(=O)c1cnc(-c2ccccc2)[nH]1)c1nn[n-]n1 ZINC000268254326 407973215 /nfs/dbraw/zinc/97/32/15/407973215.db2.gz XQIVUESFBBRCEW-NSHDSACASA-N -1 1 311.349 1.861 20 0 DDADMM C[C@H](CCS(C)(=O)=O)NC(=O)c1cc(Cl)ccc1[O-] ZINC000153841472 407914658 /nfs/dbraw/zinc/91/46/58/407914658.db2.gz XEZFSHIZJUUDCP-MRVPVSSYSA-N -1 1 305.783 1.599 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)Nc1ccsc1 ZINC000135351759 408006960 /nfs/dbraw/zinc/00/69/60/408006960.db2.gz VAGALPRVWGZMEO-UHFFFAOYSA-N -1 1 302.359 1.882 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)Nc1ccc(F)cc1C(=O)Nc1nnn[n-]1 ZINC000135469383 408018201 /nfs/dbraw/zinc/01/82/01/408018201.db2.gz UQFPTERRVICZNZ-HTRCEHHLSA-N -1 1 304.285 1.186 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)Nc1ccc(F)cc1C(=O)Nc1nn[n-]n1 ZINC000135469383 408018210 /nfs/dbraw/zinc/01/82/10/408018210.db2.gz UQFPTERRVICZNZ-HTRCEHHLSA-N -1 1 304.285 1.186 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H]2c2ccc[nH]2)co1 ZINC000119247999 408021757 /nfs/dbraw/zinc/02/17/57/408021757.db2.gz SVRBPYMVVIXYCX-LBPRGKRZSA-N -1 1 323.374 1.493 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])CN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000263395877 408126775 /nfs/dbraw/zinc/12/67/75/408126775.db2.gz ZIDYWHKWIMABJU-GXFFZTMASA-N -1 1 314.345 1.655 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000263395879 408127169 /nfs/dbraw/zinc/12/71/69/408127169.db2.gz ZIDYWHKWIMABJU-MFKMUULPSA-N -1 1 314.345 1.655 20 0 DDADMM CCc1nc([C@H](C)NC(=O)NCc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000263496179 408156055 /nfs/dbraw/zinc/15/60/55/408156055.db2.gz SWZUPTBLWCDTJG-VIFPVBQESA-N -1 1 317.349 1.626 20 0 DDADMM CCO[C@@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000155512774 408175302 /nfs/dbraw/zinc/17/53/02/408175302.db2.gz VIAJWOSZXQHQNV-ZIAGYGMSSA-N -1 1 315.377 1.684 20 0 DDADMM CC(C)(CCC(=O)[O-])NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000263567366 408177696 /nfs/dbraw/zinc/17/76/96/408177696.db2.gz GOACMLIERJSSRI-UHFFFAOYSA-N -1 1 302.334 1.845 20 0 DDADMM COCc1cc(C(=O)[N-]c2n[nH]c(-c3ccccc3F)n2)no1 ZINC000175972566 408253687 /nfs/dbraw/zinc/25/36/87/408253687.db2.gz LQQGXIPKAPAZKU-UHFFFAOYSA-N -1 1 317.280 1.998 20 0 DDADMM CCc1c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)[nH]c(C)c1C(C)=O ZINC000273601317 408258873 /nfs/dbraw/zinc/25/88/73/408258873.db2.gz YLVVCQVWAIXUAY-QMMMGPOBSA-N -1 1 318.381 1.477 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1C ZINC000273598504 408259930 /nfs/dbraw/zinc/25/99/30/408259930.db2.gz XKTNOZWHLQFAAE-SSDOTTSWSA-N -1 1 304.354 1.223 20 0 DDADMM CN(CC(C)(C)C)C(=O)c1cccc(C(=O)Nc2nnn[n-]2)c1 ZINC000121440123 408209728 /nfs/dbraw/zinc/20/97/28/408209728.db2.gz MCTIQBUKZOILLQ-UHFFFAOYSA-N -1 1 316.365 1.570 20 0 DDADMM CN(CC(C)(C)C)C(=O)c1cccc(C(=O)Nc2nn[n-]n2)c1 ZINC000121440123 408209736 /nfs/dbraw/zinc/20/97/36/408209736.db2.gz MCTIQBUKZOILLQ-UHFFFAOYSA-N -1 1 316.365 1.570 20 0 DDADMM C[C@@H]1C[C@H](CC[N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000273637052 408273041 /nfs/dbraw/zinc/27/30/41/408273041.db2.gz XSDXJYYCUQLNAD-NXEZZACHSA-N -1 1 321.830 1.557 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCCn3ccnn3)cnc2n1 ZINC000182907502 408281154 /nfs/dbraw/zinc/28/11/54/408281154.db2.gz IPUAIPKSICTXEF-UHFFFAOYSA-N -1 1 312.333 1.055 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCCCn3ccnn3)c[n-]c2n1 ZINC000182907502 408281158 /nfs/dbraw/zinc/28/11/58/408281158.db2.gz IPUAIPKSICTXEF-UHFFFAOYSA-N -1 1 312.333 1.055 20 0 DDADMM Cc1cc(S(=O)(=O)N[C@H](C)c2nnc[nH]2)cc(C(=O)[O-])c1C ZINC000273674815 408285580 /nfs/dbraw/zinc/28/55/80/408285580.db2.gz GUAZEGWSNSSCEW-SECBINFHSA-N -1 1 324.362 1.159 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)C(F)F)C(=O)NCc1ccccc1 ZINC000176145746 408295534 /nfs/dbraw/zinc/29/55/34/408295534.db2.gz YPFBTKIGAZYZKR-QMMMGPOBSA-N -1 1 306.259 1.708 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC1)c1sccc1Br ZINC000131764527 162021614 /nfs/dbraw/zinc/02/16/14/162021614.db2.gz DMAGCDFTAPFNTN-LURJTMIESA-N -1 1 312.210 1.578 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(NC(=O)c2cn[nH]c2C)c1 ZINC000172320593 162270667 /nfs/dbraw/zinc/27/06/67/162270667.db2.gz REQPOIMTDUDXJT-UHFFFAOYSA-N -1 1 309.347 1.470 20 0 DDADMM CCNC(=O)c1ccc([N-]S(=O)(=O)CCOC(C)C)cc1 ZINC000176463860 408373155 /nfs/dbraw/zinc/37/31/55/408373155.db2.gz NCLOCIOETMKOPI-UHFFFAOYSA-N -1 1 314.407 1.603 20 0 DDADMM COc1cc(OC)cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183424122 408407381 /nfs/dbraw/zinc/40/73/81/408407381.db2.gz WENSHCHYISVNPS-SECBINFHSA-N -1 1 305.338 1.093 20 0 DDADMM C[C@@H](CN(C)C(=O)/C=C/SCc1ccco1)c1nn[n-]n1 ZINC000183437813 408411012 /nfs/dbraw/zinc/41/10/12/408411012.db2.gz JQINGIWKDSQRTF-STUBTGCMSA-N -1 1 307.379 1.802 20 0 DDADMM CCCC(O)(CCC)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000269738568 408412049 /nfs/dbraw/zinc/41/20/49/408412049.db2.gz DPNHEBZDTNQHLN-UHFFFAOYSA-N -1 1 323.846 1.683 20 0 DDADMM COc1cccc([C@H](C)CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183454516 408417216 /nfs/dbraw/zinc/41/72/16/408417216.db2.gz XCUKRADXAJRSJV-NEPJUHHUSA-N -1 1 317.393 1.964 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(=O)[nH]c2ccccc21)c1nn[n-]n1 ZINC000176777559 408441352 /nfs/dbraw/zinc/44/13/52/408441352.db2.gz JHNLHBCSQOGGNL-GFCCVEGCSA-N -1 1 312.333 1.725 20 0 DDADMM C[C@@H](NC(=O)C(C)(C)C)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000248236789 408545559 /nfs/dbraw/zinc/54/55/59/408545559.db2.gz MWLJFLFTCFLJGP-RXMQYKEDSA-N -1 1 307.276 1.313 20 0 DDADMM Cc1nnc(SCCC(=O)NCc2ccc(O)c(F)c2)[nH]1 ZINC000177170268 408541569 /nfs/dbraw/zinc/54/15/69/408541569.db2.gz YAOVENJCLQLPIY-UHFFFAOYSA-N -1 1 310.354 1.756 20 0 DDADMM CCCCC[C@@H](C)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000162697249 408729819 /nfs/dbraw/zinc/72/98/19/408729819.db2.gz XAXMBHAABOIJML-SNVBAGLBSA-N -1 1 302.396 1.886 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(NC(C)=O)c1C)c1nn[n-]n1 ZINC000275952768 408730319 /nfs/dbraw/zinc/73/03/19/408730319.db2.gz GSPDIIVEMGUSKM-CYBMUJFWSA-N -1 1 316.365 1.738 20 0 DDADMM CCC[C@@H](N[C@@H]1CCN(c2ccccc2OC)C1=O)C(=O)[O-] ZINC000185028228 408739902 /nfs/dbraw/zinc/73/99/02/408739902.db2.gz UKRFVVYTZSPXAJ-VXGBXAGGSA-N -1 1 306.362 1.643 20 0 DDADMM Cc1cccc(OCCNC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000164582224 408800323 /nfs/dbraw/zinc/80/03/23/408800323.db2.gz RKROYOQTRADSKY-AWEZNQCLSA-N -1 1 320.389 1.287 20 0 DDADMM O=C(CN1CCS[C@@H]2COCC[C@H]21)[N-]OCc1ccccc1 ZINC000252736434 408808437 /nfs/dbraw/zinc/80/84/37/408808437.db2.gz VOBCQZUQXGPQEZ-HUUCEWRRSA-N -1 1 322.430 1.441 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc(Cl)nc1C)c1nn[n-]n1 ZINC000270947217 408754214 /nfs/dbraw/zinc/75/42/14/408754214.db2.gz KSRLKJOGJYISKX-SNVBAGLBSA-N -1 1 308.773 1.757 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2OCCC[C@@H]12)c1cc(F)ccc1F ZINC000249956988 408771855 /nfs/dbraw/zinc/77/18/55/408771855.db2.gz VXNOKAXBBMGHEH-MVWJERBFSA-N -1 1 303.330 1.811 20 0 DDADMM CC1CCN(C(=O)C(=O)Nc2n[n-]c(C(F)(F)F)n2)CC1 ZINC000276366083 408843606 /nfs/dbraw/zinc/84/36/06/408843606.db2.gz BAKCSGUZJXBJHZ-UHFFFAOYSA-N -1 1 305.260 1.021 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC[C@@H](C(F)(F)F)[C@@H](CO)C1 ZINC000286124800 408927608 /nfs/dbraw/zinc/92/76/08/408927608.db2.gz GJOMABVECVTTIX-MWLCHTKSSA-N -1 1 304.268 1.420 20 0 DDADMM CC[C@@H](NC(=O)c1cc(Br)ccc1[O-])C(N)=O ZINC000291821754 408928228 /nfs/dbraw/zinc/92/82/28/408928228.db2.gz YVKBPDFOHSGZMP-MRVPVSSYSA-N -1 1 301.140 1.148 20 0 DDADMM CO[C@H]1CC[C@H](OC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000281771627 408926510 /nfs/dbraw/zinc/92/65/10/408926510.db2.gz ABJRMAUYKCHOLM-RYUDHWBXSA-N -1 1 319.361 1.293 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCCC(=O)N(C)C)c1 ZINC000281952273 408958911 /nfs/dbraw/zinc/95/89/11/408958911.db2.gz APIIPJLAIYPALV-UHFFFAOYSA-N -1 1 308.334 1.376 20 0 DDADMM Cc1noc(C)c1C[C@@H](C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000287634816 409067811 /nfs/dbraw/zinc/06/78/11/409067811.db2.gz NLRQCQSGSVBAAM-SECBINFHSA-N -1 1 302.330 1.927 20 0 DDADMM CC[C@@H](C)[C@H]([N-]S(=O)(=O)c1cn(C)nc1C)C(F)(F)F ZINC000287246708 409007892 /nfs/dbraw/zinc/00/78/92/409007892.db2.gz HGFAHGYMPXZSBF-XCBNKYQSSA-N -1 1 313.345 1.984 20 0 DDADMM C/C=C(\C)C(=O)N1CCC(c2nc(C(=O)OCC)n[n-]2)CC1 ZINC000277849068 409024150 /nfs/dbraw/zinc/02/41/50/409024150.db2.gz XJINNHRLHXVNBP-ONNFQVAWSA-N -1 1 306.366 1.654 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(NC(C)=O)c(F)c1)c1nn[n-]n1 ZINC000277980872 409045496 /nfs/dbraw/zinc/04/54/96/409045496.db2.gz IJZWOAKZLFLCEX-LBPRGKRZSA-N -1 1 320.328 1.568 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2cccc3cccnc32)C1=O ZINC000278818597 409095143 /nfs/dbraw/zinc/09/51/43/409095143.db2.gz PFTXIGNHHWJUMN-MRXNPFEDSA-N -1 1 312.329 1.600 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCCSC(C)(C)C)ccnc1-2 ZINC000287809631 409097358 /nfs/dbraw/zinc/09/73/58/409097358.db2.gz YIDKKDDPKARJLB-WQRHYEAKSA-N -1 1 307.423 1.995 20 0 DDADMM CCC[C@]1(NC(=O)c2ccc3n[n-]c(=S)n3c2)CCOC1 ZINC000287820064 409098621 /nfs/dbraw/zinc/09/86/21/409098621.db2.gz KTFAMGVUTRPCPD-AWEZNQCLSA-N -1 1 306.391 1.707 20 0 DDADMM Cc1ccc([C@@H](O)CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)o1 ZINC000287823399 409099634 /nfs/dbraw/zinc/09/96/34/409099634.db2.gz ZBOJJJXCRYWLMK-XHEYCZLTSA-N -1 1 315.333 1.098 20 0 DDADMM Cc1ccc(C(=O)CCN2CCC[C@]3(C2)NC(=O)NC3=O)cc1 ZINC000279093934 409147767 /nfs/dbraw/zinc/14/77/67/409147767.db2.gz PUGZJWZMYOAVFM-QGZVFWFLSA-N -1 1 315.373 1.242 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)C)C[C@@H](C)N1C(=O)c1ncccc1[O-] ZINC000293710001 409165568 /nfs/dbraw/zinc/16/55/68/409165568.db2.gz OFCRNQSQKWBVTF-VXGBXAGGSA-N -1 1 319.405 1.895 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)cn1 ZINC000294159069 409250557 /nfs/dbraw/zinc/25/05/57/409250557.db2.gz ILNLDQQDCLKYSS-LBPRGKRZSA-N -1 1 315.377 1.636 20 0 DDADMM O=C([N-][C@@H]1CN(c2ccc(Br)cc2)C1=O)C(F)F ZINC000293888490 409201254 /nfs/dbraw/zinc/20/12/54/409201254.db2.gz GEBNYRLMGZQZET-MRVPVSSYSA-N -1 1 319.105 1.546 20 0 DDADMM O=C(C(=O)N1CCC2(CC1)OCCCO2)c1ccc([O-])cc1 ZINC000293884640 409201266 /nfs/dbraw/zinc/20/12/66/409201266.db2.gz BHPJMHUSLKSSFW-UHFFFAOYSA-N -1 1 305.330 1.331 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC000284172080 409289416 /nfs/dbraw/zinc/28/94/16/409289416.db2.gz GEWGYXORWLOFPK-SNVBAGLBSA-N -1 1 308.762 1.267 20 0 DDADMM C[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H]1CCCCO1 ZINC000295059492 409311681 /nfs/dbraw/zinc/31/16/81/409311681.db2.gz PHXWBQIJVOXDEX-ONGXEEELSA-N -1 1 306.391 1.705 20 0 DDADMM COCCO[C@@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000290259404 409340383 /nfs/dbraw/zinc/34/03/83/409340383.db2.gz WNJJHQXTVZTLBV-SECBINFHSA-N -1 1 301.289 1.548 20 0 DDADMM CCOC(=O)c1ccc(CS(=O)(=O)[N-]c2c[nH]nc2C)cc1 ZINC000295277131 409409188 /nfs/dbraw/zinc/40/91/88/409409188.db2.gz KGPJORBSLXFODY-UHFFFAOYSA-N -1 1 323.374 1.837 20 0 DDADMM CCCNC(=O)CN(CCC)C(=O)C(=O)c1ccc([O-])cc1 ZINC000280691428 409411475 /nfs/dbraw/zinc/41/14/75/409411475.db2.gz DKXOMTJRDPQBJB-UHFFFAOYSA-N -1 1 306.362 1.340 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H](CO)C1)c1ccc(F)c(F)c1F ZINC000313692633 164010599 /nfs/dbraw/zinc/01/05/99/164010599.db2.gz FTCBSNWRQKFDCZ-RKDXNWHRSA-N -1 1 323.336 1.933 20 0 DDADMM COC(=O)c1cccc(NS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)c1 ZINC000314223244 164013971 /nfs/dbraw/zinc/01/39/71/164013971.db2.gz FCVDBTYJCFZCHQ-UHFFFAOYSA-N -1 1 324.314 1.300 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC(=O)OC(C)(C)C)cnc2n1 ZINC000360421056 164148891 /nfs/dbraw/zinc/14/88/91/164148891.db2.gz BUPXNWPTZKWQHH-UHFFFAOYSA-N -1 1 317.345 1.715 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC(=O)OC(C)(C)C)c2=O ZINC000360421056 164148893 /nfs/dbraw/zinc/14/88/93/164148893.db2.gz BUPXNWPTZKWQHH-UHFFFAOYSA-N -1 1 317.345 1.715 20 0 DDADMM Cc1cnc(C(=O)N2CSC[C@H]2C(=O)N2CCCC2)c([O-])c1 ZINC000408224785 164266483 /nfs/dbraw/zinc/26/64/83/164266483.db2.gz QTUIOQLACCAGIE-NSHDSACASA-N -1 1 321.402 1.233 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CCCCO2)o1 ZINC000408266178 164280089 /nfs/dbraw/zinc/28/00/89/164280089.db2.gz XWEVMULIINDVOC-UHFFFAOYSA-N -1 1 316.379 1.524 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](O)[C@@H](C)C1 ZINC000408376626 164312045 /nfs/dbraw/zinc/31/20/45/164312045.db2.gz NVWUDRWLXNTMJG-GXSJLCMTSA-N -1 1 307.394 1.631 20 0 DDADMM O=c1[n-]nc([C@H]2CCCN(C[C@@H](O)c3cccc(Cl)c3)C2)o1 ZINC000295454606 409490398 /nfs/dbraw/zinc/49/03/98/409490398.db2.gz WRJBLQSHJWGIEM-WCQYABFASA-N -1 1 323.780 1.929 20 0 DDADMM CN(CCOc1cccc(Cl)c1)C(=O)CCCc1nn[n-]n1 ZINC000635153077 422845836 /nfs/dbraw/zinc/84/58/36/422845836.db2.gz XCCKYFCDZIKKIC-UHFFFAOYSA-N -1 1 323.784 1.713 20 0 DDADMM CC[C@@H]1CCC[C@@H](CN2Cc3n[nH]c(=O)n3C[C@@H]2C(=O)[O-])C1 ZINC000333580708 287058538 /nfs/dbraw/zinc/05/85/38/287058538.db2.gz NUSIUZIJBJZHJK-IJLUTSLNSA-N -1 1 308.382 1.469 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CCc2ccccc21 ZINC000337948621 409594425 /nfs/dbraw/zinc/59/44/25/409594425.db2.gz IPJGESDYMVEFEF-ZDUSSCGKSA-N -1 1 311.341 1.321 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCCCc1ccccc1 ZINC000337952577 409596807 /nfs/dbraw/zinc/59/68/07/409596807.db2.gz XYAAMWIKDMWVRV-UHFFFAOYSA-N -1 1 313.357 1.266 20 0 DDADMM c1nn(-c2ccccc2)cc1CNC1(c2nnn[n-]2)CCCC1 ZINC000354177000 409722364 /nfs/dbraw/zinc/72/23/64/409722364.db2.gz FXEPFDMWABVEDS-UHFFFAOYSA-N -1 1 309.377 1.945 20 0 DDADMM c1nn(-c2ccccc2)cc1CNC1(c2nn[n-]n2)CCCC1 ZINC000354177000 409722373 /nfs/dbraw/zinc/72/23/73/409722373.db2.gz FXEPFDMWABVEDS-UHFFFAOYSA-N -1 1 309.377 1.945 20 0 DDADMM COc1ccc(CNC(=O)c2c(C)[n-]c(=O)nc2SC)cn1 ZINC000354325930 409772623 /nfs/dbraw/zinc/77/26/23/409772623.db2.gz BWIZVFQQZRWZLC-UHFFFAOYSA-N -1 1 320.374 1.546 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1cccc(C(C)C)c1 ZINC000349536038 409861579 /nfs/dbraw/zinc/86/15/79/409861579.db2.gz MAIFTRTXOQUNLO-UHFFFAOYSA-N -1 1 309.391 1.997 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cccc(C(C)C)c1 ZINC000349536038 409861589 /nfs/dbraw/zinc/86/15/89/409861589.db2.gz MAIFTRTXOQUNLO-UHFFFAOYSA-N -1 1 309.391 1.997 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1oc2ccccc2c1C ZINC000349588417 409882574 /nfs/dbraw/zinc/88/25/74/409882574.db2.gz PCTANOAUJHSWHC-UHFFFAOYSA-N -1 1 321.358 1.929 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1oc2ccccc2c1C ZINC000349588417 409882582 /nfs/dbraw/zinc/88/25/82/409882582.db2.gz PCTANOAUJHSWHC-UHFFFAOYSA-N -1 1 321.358 1.929 20 0 DDADMM C[C@H]1CN(CC(=O)NCc2ccccc2F)CC[C@@H]1C(=O)[O-] ZINC000318788080 409884026 /nfs/dbraw/zinc/88/40/26/409884026.db2.gz RZYZEACFGAQPDV-AAEUAGOBSA-N -1 1 308.353 1.485 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(CC(=O)Nc2cccc(C)c2)C1 ZINC000319240279 409888969 /nfs/dbraw/zinc/88/89/69/409888969.db2.gz XHDRLFUAXHWPPY-MRXNPFEDSA-N -1 1 306.362 1.357 20 0 DDADMM CC(C)(CO)O[N-]C(=O)[C@H](c1ccccc1)N1CCC(O)CC1 ZINC000297282790 409891496 /nfs/dbraw/zinc/89/14/96/409891496.db2.gz SYBXVRWWXQHXBB-HNNXBMFYSA-N -1 1 322.405 1.003 20 0 DDADMM O=C(COc1ccc(Oc2ccccn2)cc1)Nc1nnn[n-]1 ZINC000342856855 409953603 /nfs/dbraw/zinc/95/36/03/409953603.db2.gz JANFTJMVMKMCIH-UHFFFAOYSA-N -1 1 312.289 1.405 20 0 DDADMM O=C(COc1ccc(Oc2ccccn2)cc1)Nc1nn[n-]n1 ZINC000342856855 409953605 /nfs/dbraw/zinc/95/36/05/409953605.db2.gz JANFTJMVMKMCIH-UHFFFAOYSA-N -1 1 312.289 1.405 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc([C@@H](C)SCC)no1 ZINC000357327956 409978535 /nfs/dbraw/zinc/97/85/35/409978535.db2.gz VRECJLSUIAEBGU-SECBINFHSA-N -1 1 323.440 1.340 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](C)Oc1ccccc1 ZINC000357357394 409991787 /nfs/dbraw/zinc/99/17/87/409991787.db2.gz WPAKUAARJKVDOP-SECBINFHSA-N -1 1 319.317 1.094 20 0 DDADMM O=C(COc1ccc(O)cc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332161380 409937345 /nfs/dbraw/zinc/93/73/45/409937345.db2.gz OWCAPSCSCYHMAC-SNVBAGLBSA-N -1 1 319.317 1.266 20 0 DDADMM CS(=O)(=O)Cc1cc(F)ccc1NC(=O)c1cncc([O-])c1 ZINC000346523279 410008163 /nfs/dbraw/zinc/00/81/63/410008163.db2.gz ZIVWKEALZFSSMJ-UHFFFAOYSA-N -1 1 324.333 1.723 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1(C)C ZINC000342987975 410056088 /nfs/dbraw/zinc/05/60/88/410056088.db2.gz IEHYWRFSMXOHPM-JIMOISOXSA-N -1 1 315.373 1.712 20 0 DDADMM COc1ccc(CCCCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354788381 410089558 /nfs/dbraw/zinc/08/95/58/410089558.db2.gz QOSIYMAAAGFJSG-UHFFFAOYSA-N -1 1 317.393 1.973 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)c3ccn(C)c(=O)c3)[nH][n-]2)s1 ZINC000354802345 410098945 /nfs/dbraw/zinc/09/89/45/410098945.db2.gz SYGDQGHHPMLEII-UHFFFAOYSA-N -1 1 314.370 1.820 20 0 DDADMM CC[C@@H]1OCCC[C@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000332441569 410162611 /nfs/dbraw/zinc/16/26/11/410162611.db2.gz ICFJBIQVULXMBX-NEPJUHHUSA-N -1 1 309.366 1.686 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)CCN1C ZINC000332456492 410173345 /nfs/dbraw/zinc/17/33/45/410173345.db2.gz NQWQMHCPIHZIFI-MNOVXSKESA-N -1 1 316.361 1.195 20 0 DDADMM Cc1ccc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1O ZINC000339435324 410184071 /nfs/dbraw/zinc/18/40/71/410184071.db2.gz BLFFZHLNEHFTGF-UHFFFAOYSA-N -1 1 317.345 1.738 20 0 DDADMM N=c1nc(N2CCN(C/C=C/c3ccc(F)cc3)CC2)s[n-]1 ZINC000355081552 410301416 /nfs/dbraw/zinc/30/14/16/410301416.db2.gz PAGZMSQAPPJKCH-OWOJBTEDSA-N -1 1 319.409 1.925 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H]2CC[C@H](C)C2)co1 ZINC000347000414 410301791 /nfs/dbraw/zinc/30/17/91/410301791.db2.gz ZGDLYGJQBXOVNT-ONGXEEELSA-N -1 1 300.380 1.448 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H](NC(N)=O)C(C)(C)C)c1 ZINC000298983091 410471470 /nfs/dbraw/zinc/47/14/70/410471470.db2.gz PHGFFBUWRFFJQN-NSHDSACASA-N -1 1 323.349 1.200 20 0 DDADMM O=c1cc(/C=C/c2cnn(-c3ccccc3)c2)nc2nc[n-]n21 ZINC000352034606 410431145 /nfs/dbraw/zinc/43/11/45/410431145.db2.gz FHTSVKLUEXHZAI-VOTSOKGWSA-N -1 1 304.313 1.774 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1ccc(F)cc1F)c2=O ZINC000358312374 410443943 /nfs/dbraw/zinc/44/39/43/410443943.db2.gz AMVSXKDFDYOXRO-UHFFFAOYSA-N -1 1 318.283 1.882 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2ccc(-c3nc[nH]n3)cc2F)cn1 ZINC000347419203 410536898 /nfs/dbraw/zinc/53/68/98/410536898.db2.gz MAFUNDJWTSEAIS-UHFFFAOYSA-N -1 1 322.325 1.145 20 0 DDADMM Cn1[n-]c(CN2CCc3c(Br)cccc3C2)nc1=O ZINC000347402627 410525463 /nfs/dbraw/zinc/52/54/63/410525463.db2.gz ULJFIRGTFDIDJI-UHFFFAOYSA-N -1 1 323.194 1.429 20 0 DDADMM CC[C@@H](c1ccccc1)N(CC(=O)OC)Cc1nc(=O)n(C)[n-]1 ZINC000347486600 410577983 /nfs/dbraw/zinc/57/79/83/410577983.db2.gz LAQSBBBHLFIFKP-ZDUSSCGKSA-N -1 1 318.377 1.235 20 0 DDADMM CCC[C@H](NC(=O)C[C@H](C)n1nc(C)cc1C)c1nn[n-]n1 ZINC000343739042 410659704 /nfs/dbraw/zinc/65/97/04/410659704.db2.gz YHPYFFWQDUNZRR-RYUDHWBXSA-N -1 1 305.386 1.622 20 0 DDADMM CCC[C@@H](NC(=O)C1CCN(C(=O)C(C)C)CC1)c1nn[n-]n1 ZINC000343742099 410663248 /nfs/dbraw/zinc/66/32/48/410663248.db2.gz LDJIVTAMLOPSEL-GFCCVEGCSA-N -1 1 322.413 1.052 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@H]2[C@@H]2CCCC2=O)c([O-])c1 ZINC000330301213 410619599 /nfs/dbraw/zinc/61/95/99/410619599.db2.gz IXTCGNVOMVLGPR-RYUDHWBXSA-N -1 1 304.346 1.306 20 0 DDADMM CC1(C)CC[C@@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000359559554 410731484 /nfs/dbraw/zinc/73/14/84/410731484.db2.gz DZDYJRVQEDQMDO-SECBINFHSA-N -1 1 312.391 1.804 20 0 DDADMM CC(C)(NC(=O)c1cncc(-c2ccccc2)c1)c1nn[n-]n1 ZINC000343773411 410687224 /nfs/dbraw/zinc/68/72/24/410687224.db2.gz DEDZWQCFFOGKDE-UHFFFAOYSA-N -1 1 308.345 1.927 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]CC2(C(F)F)CC2)oc1C ZINC000359756954 410856022 /nfs/dbraw/zinc/85/60/22/410856022.db2.gz IIDMEIUXGVPDRD-UHFFFAOYSA-N -1 1 323.317 1.698 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc2[nH]ccc2s1 ZINC000356147672 410864359 /nfs/dbraw/zinc/86/43/59/410864359.db2.gz HJYUDZOMANWTFH-UHFFFAOYSA-N -1 1 302.363 1.942 20 0 DDADMM Cc1nc(C(F)(F)F)ccc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359782180 410875041 /nfs/dbraw/zinc/87/50/41/410875041.db2.gz GRSXVRJZDDQIBM-UHFFFAOYSA-N -1 1 314.271 1.587 20 0 DDADMM COC[C@H](O)CCNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000301857573 410816835 /nfs/dbraw/zinc/81/68/35/410816835.db2.gz CLJHZZDYOBBKPF-CYBMUJFWSA-N -1 1 318.377 1.670 20 0 DDADMM COc1ccccc1OCCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000359803759 410889121 /nfs/dbraw/zinc/88/91/21/410889121.db2.gz VCGHVFHRJXSQAV-UHFFFAOYSA-N -1 1 305.338 1.029 20 0 DDADMM O=C(COc1ccc2ccccc2c1)NC1(c2nn[n-]n2)CC1 ZINC000348273378 410890467 /nfs/dbraw/zinc/89/04/67/410890467.db2.gz HFBDBNSRVHZNJD-UHFFFAOYSA-N -1 1 309.329 1.537 20 0 DDADMM NC(=O)[C@@H](Cc1ccc(Cl)cc1)NC(=O)c1ncccc1[O-] ZINC000344027438 410901666 /nfs/dbraw/zinc/90/16/66/410901666.db2.gz VWOAHUFPOIQKDK-LLVKDONJSA-N -1 1 319.748 1.267 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCC[C@H]2OC)o1 ZINC000341161621 410908961 /nfs/dbraw/zinc/90/89/61/410908961.db2.gz COGUGNFRAMDSJO-NXEZZACHSA-N -1 1 317.363 1.160 20 0 DDADMM C[C@@H]1OCC[C@@]1(O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000331200475 411011940 /nfs/dbraw/zinc/01/19/40/411011940.db2.gz PPEYHDHNBMMVBT-QPUJVOFHSA-N -1 1 323.773 1.297 20 0 DDADMM COCc1nc(=NC[C@@H](Cc2ccccc2)N(C)C)s[n-]1 ZINC000348704079 411059342 /nfs/dbraw/zinc/05/93/42/411059342.db2.gz FMLSINIYVWKMSB-CYBMUJFWSA-N -1 1 306.435 1.691 20 0 DDADMM Cc1oc(-c2ccccc2)nc1CS(=O)(=O)c1ncn[n-]1 ZINC000360090410 411040060 /nfs/dbraw/zinc/04/00/60/411040060.db2.gz ZRNBCCNYWRDJGI-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM Cc1oc(-c2ccccc2)nc1CS(=O)(=O)c1nc[n-]n1 ZINC000360090410 411040065 /nfs/dbraw/zinc/04/00/65/411040065.db2.gz ZRNBCCNYWRDJGI-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM CC(C)C[C@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353851459 411135533 /nfs/dbraw/zinc/13/55/33/411135533.db2.gz QOMBWEFUNWBHDT-LLVKDONJSA-N -1 1 303.366 1.458 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](CC)CCO)o1 ZINC000360220725 411105725 /nfs/dbraw/zinc/10/57/25/411105725.db2.gz RRRCPWNUTNJTND-JTQLQIEISA-N -1 1 319.379 1.143 20 0 DDADMM O=C(Nc1ccc2scnc2c1)c1cc(=O)n2[n-]cnc2n1 ZINC000353707946 411115490 /nfs/dbraw/zinc/11/54/90/411115490.db2.gz KSZNJHVTIPGULL-UHFFFAOYSA-N -1 1 312.314 1.280 20 0 DDADMM COc1ccc(C[N-]S(=O)(=O)c2conc2C)c(OC)c1 ZINC000631775409 422916481 /nfs/dbraw/zinc/91/64/81/422916481.db2.gz URZLMTKLFXFSQT-UHFFFAOYSA-N -1 1 312.347 1.479 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC[C@@H]1[C@H]1CCCCC1=O ZINC000132800442 196285210 /nfs/dbraw/zinc/28/52/10/196285210.db2.gz SGMROKZLWAGKHC-VXGBXAGGSA-N -1 1 304.346 1.388 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@@H](c2nnc3ccccn32)C1 ZINC000133803661 196336943 /nfs/dbraw/zinc/33/69/43/196336943.db2.gz IFFQIZSCTHJMKB-GFCCVEGCSA-N -1 1 323.356 1.850 20 0 DDADMM COc1ccc(COCCNC(=O)c2ncccc2[O-])cc1 ZINC000133948867 196348987 /nfs/dbraw/zinc/34/89/87/196348987.db2.gz PBVCWQUTCUPMAR-UHFFFAOYSA-N -1 1 302.330 1.742 20 0 DDADMM CCN(CCCN1CCOC[C@@H]1CC(=O)[O-])CC(F)(F)F ZINC000652475311 423035180 /nfs/dbraw/zinc/03/51/80/423035180.db2.gz JOPFDGZKYZVWSO-NSHDSACASA-N -1 1 312.332 1.436 20 0 DDADMM COCCCC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645471895 423046488 /nfs/dbraw/zinc/04/64/88/423046488.db2.gz AHLLQYAJXHFADO-UHFFFAOYSA-N -1 1 312.313 1.805 20 0 DDADMM COCCN(C)S(=O)(=O)[N-]c1nn(-c2ccccc2)cc1C ZINC000650177331 423073480 /nfs/dbraw/zinc/07/34/80/423073480.db2.gz TVQRHSSGFSRAEW-UHFFFAOYSA-N -1 1 324.406 1.416 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CC1)c1ncccc1C(F)(F)F ZINC000645556257 423081866 /nfs/dbraw/zinc/08/18/66/423081866.db2.gz JSGHLCUACUOWJK-SECBINFHSA-N -1 1 310.297 1.150 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@@H]2CC(=O)N(C(C)(C)C)C2)n[nH]1 ZINC000541904447 416618895 /nfs/dbraw/zinc/61/88/95/416618895.db2.gz QMKIMRKLXGHERH-SECBINFHSA-N -1 1 322.365 1.172 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc([C@H]3CCCOC3)no2)o1 ZINC000350850340 306758110 /nfs/dbraw/zinc/75/81/10/306758110.db2.gz BFJVXYOSENFCAS-QMMMGPOBSA-N -1 1 313.335 1.132 20 0 DDADMM CCC[C@H](NC(=O)Cc1nn(C)c2ccccc12)c1nn[n-]n1 ZINC000643337640 423117100 /nfs/dbraw/zinc/11/71/00/423117100.db2.gz JNSAANDHDPJPER-NSHDSACASA-N -1 1 313.365 1.287 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)NCc2cc(=O)[n-]c(SC)n2)CCO1 ZINC000640657069 423117594 /nfs/dbraw/zinc/11/75/94/423117594.db2.gz ZTCLEQBTMKNQGS-MWLCHTKSSA-N -1 1 311.407 1.726 20 0 DDADMM CSc1nc(CNC(=O)c2sc(C)nc2C)cc(=O)[n-]1 ZINC000640659260 423118788 /nfs/dbraw/zinc/11/87/88/423118788.db2.gz YHJIYSMMIQJYJV-UHFFFAOYSA-N -1 1 310.404 1.907 20 0 DDADMM Cc1nnc2n1CCN(C(=O)c1c([O-])cnc3ccccc31)C2 ZINC000366035801 418420801 /nfs/dbraw/zinc/42/08/01/418420801.db2.gz WKEYNYFWVAYLPL-UHFFFAOYSA-N -1 1 309.329 1.496 20 0 DDADMM CCOC(=O)C1(COC)CCN(C(=O)c2ncccc2[O-])CC1 ZINC000373666488 418444210 /nfs/dbraw/zinc/44/42/10/418444210.db2.gz MDIFFPDGDSUEAT-UHFFFAOYSA-N -1 1 322.361 1.219 20 0 DDADMM C[C@@H]1C[C@@]2(CCO1)CN(C(=O)c1ncccc1[O-])C[C@H](C)O2 ZINC000373743049 418456408 /nfs/dbraw/zinc/45/64/08/418456408.db2.gz KRMAKZQPVCKKJM-WQGACYEGSA-N -1 1 306.362 1.586 20 0 DDADMM Cc1cc(C)cc(CCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000366475864 418478965 /nfs/dbraw/zinc/47/89/65/418478965.db2.gz VXFKHLWYXTZAGV-CQSZACIVSA-N -1 1 315.377 1.349 20 0 DDADMM O=C(CCc1cc(F)ccc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366729897 418509536 /nfs/dbraw/zinc/50/95/36/418509536.db2.gz GZDSBVPXWYLWFZ-LLVKDONJSA-N -1 1 321.331 1.817 20 0 DDADMM CCOc1ncccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366732498 418509710 /nfs/dbraw/zinc/50/97/10/418509710.db2.gz OXFFBKYBBMSJPP-SNVBAGLBSA-N -1 1 302.338 1.013 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H](c1cccnc1)C(C)C ZINC000290838287 221959820 /nfs/dbraw/zinc/95/98/20/221959820.db2.gz VGWVEFYWHVXBCO-GFCCVEGCSA-N -1 1 324.406 1.678 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Br)c([O-])c2)CC[C@@H]1O ZINC000186601446 221960798 /nfs/dbraw/zinc/96/07/98/221960798.db2.gz KGMPILKLTHYPRB-KCJUWKMLSA-N -1 1 314.179 1.998 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCC[C@@H]3[C@H]3CCCO3)ccnc1-2 ZINC000287286291 418574114 /nfs/dbraw/zinc/57/41/14/418574114.db2.gz UKHBHTSSVZKVQS-ITTZRFAVSA-N -1 1 315.377 1.517 20 0 DDADMM O=C(N[C@H]1CCC(=O)N[C@@H]1C1CC1)c1cc(Cl)ccc1[O-] ZINC000367071434 418557193 /nfs/dbraw/zinc/55/71/93/418557193.db2.gz BKZRDHAXTUWSBY-SMDDNHRTSA-N -1 1 308.765 1.833 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H](C(N)=O)c2ccc(F)cc2)n1 ZINC000188088831 222005868 /nfs/dbraw/zinc/00/58/68/222005868.db2.gz ISBWOYUHMJEFPM-GFCCVEGCSA-N -1 1 307.350 1.790 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](O)[C@H](C)C3)cnc2n1 ZINC000189459912 222044769 /nfs/dbraw/zinc/04/47/69/222044769.db2.gz IUXSNFRVHYFQAC-RNCFNFMXSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](O)[C@H](C)C3)c[n-]c2n1 ZINC000189459912 222044773 /nfs/dbraw/zinc/04/47/73/222044773.db2.gz IUXSNFRVHYFQAC-RNCFNFMXSA-N -1 1 301.346 1.487 20 0 DDADMM CCN1CN(C(=O)c2ccc(Br)cc2[O-])CC1=O ZINC000190602881 222083655 /nfs/dbraw/zinc/08/36/55/222083655.db2.gz ARYDMLZFBJUYAD-UHFFFAOYSA-N -1 1 313.151 1.417 20 0 DDADMM Cc1cc(C)nc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000290708336 418578300 /nfs/dbraw/zinc/57/83/00/418578300.db2.gz OBHAHIGRCQIJDD-LLVKDONJSA-N -1 1 316.327 1.988 20 0 DDADMM CCCCNC(=O)[C@@H](C)S(=O)(=O)c1nnc(C(C)(C)C)[n-]1 ZINC000195175672 222193819 /nfs/dbraw/zinc/19/38/19/222193819.db2.gz WGPDDCJEPIIMNS-SECBINFHSA-N -1 1 316.427 1.181 20 0 DDADMM CCCCNC(=O)[C@@H](C)S(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000195175672 222193820 /nfs/dbraw/zinc/19/38/20/222193820.db2.gz WGPDDCJEPIIMNS-SECBINFHSA-N -1 1 316.427 1.181 20 0 DDADMM CCCCNC(=O)[C@@H](C)S(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195175672 222193823 /nfs/dbraw/zinc/19/38/23/222193823.db2.gz WGPDDCJEPIIMNS-SECBINFHSA-N -1 1 316.427 1.181 20 0 DDADMM O=C(NC[C@@H]1C[N@@H+]2CCC[C@@H]2CO1)c1c(F)ccc([O-])c1F ZINC000368018894 418674537 /nfs/dbraw/zinc/67/45/37/418674537.db2.gz KIHLOGMCJTZSIF-NXEZZACHSA-N -1 1 312.316 1.263 20 0 DDADMM O=C(NCC1CCN(c2ncccn2)CC1)c1ncccc1[O-] ZINC000375747218 418680606 /nfs/dbraw/zinc/68/06/06/418680606.db2.gz CKYHVWYXUCJYIE-UHFFFAOYSA-N -1 1 313.361 1.224 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1ccn(-c2ccncc2)n1 ZINC000362021725 418740324 /nfs/dbraw/zinc/74/03/24/418740324.db2.gz ZQUBMVMVSAVQMV-UHFFFAOYSA-N -1 1 310.379 1.434 20 0 DDADMM C[C@@H](O)CCC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645686669 423142814 /nfs/dbraw/zinc/14/28/14/423142814.db2.gz NLMJSDRMKOFAKA-MRVPVSSYSA-N -1 1 312.313 1.540 20 0 DDADMM COC(=O)Cc1ccc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)cc1 ZINC000388314711 418746271 /nfs/dbraw/zinc/74/62/71/418746271.db2.gz GBESMXRDJXINEJ-UHFFFAOYSA-N -1 1 323.374 1.543 20 0 DDADMM CC(C)(CCNC(=O)c1c[nH]c2ccccc2c1=O)C(=O)[O-] ZINC000389924991 418752337 /nfs/dbraw/zinc/75/23/37/418752337.db2.gz UKHFVOJPNZGNQK-UHFFFAOYSA-N -1 1 302.330 1.759 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cnc3ccccc32)CC[S@@](=O)C1 ZINC000365455541 418863307 /nfs/dbraw/zinc/86/33/07/418863307.db2.gz HMYFMKJSYLNGBI-RKFFSXRUSA-N -1 1 318.398 1.781 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](n4cncn4)C3)c[n-]c2[nH+]1 ZINC000372471294 418873753 /nfs/dbraw/zinc/87/37/53/418873753.db2.gz DOGPREHFBSRIQM-LLVKDONJSA-N -1 1 324.344 1.323 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H](n4cncn4)C3)cnc2n1 ZINC000372471294 418873754 /nfs/dbraw/zinc/87/37/54/418873754.db2.gz DOGPREHFBSRIQM-LLVKDONJSA-N -1 1 324.344 1.323 20 0 DDADMM Nc1c([O-])[nH]c(=O)nc1C(=O)Nc1cccc(-c2cnco2)c1 ZINC000365604639 418881688 /nfs/dbraw/zinc/88/16/88/418881688.db2.gz YYKHTNGGIBVZBD-UHFFFAOYSA-N -1 1 313.273 1.377 20 0 DDADMM C[C@H]1Oc2ccccc2[C@H]1NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000365659110 418889486 /nfs/dbraw/zinc/88/94/86/418889486.db2.gz AJFVBRZNDZXCDP-OTYXRUKQSA-N -1 1 324.340 1.926 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(C)c1 ZINC000372156914 418840466 /nfs/dbraw/zinc/84/04/66/418840466.db2.gz QMUDYVOSHXHWOA-NSHDSACASA-N -1 1 301.350 1.537 20 0 DDADMM CC(C)c1cc(C(=O)N=c2ccc(=O)[n-][nH]2)nn1C(C)(C)C ZINC000410716748 418842223 /nfs/dbraw/zinc/84/22/23/418842223.db2.gz HZPRMYDSIMTFKA-UHFFFAOYSA-N -1 1 303.366 1.931 20 0 DDADMM CC(C)c1cc(C(=O)N=c2ccc([O-])n[nH]2)nn1C(C)(C)C ZINC000410716748 418842226 /nfs/dbraw/zinc/84/22/26/418842226.db2.gz HZPRMYDSIMTFKA-UHFFFAOYSA-N -1 1 303.366 1.931 20 0 DDADMM CC(C)c1cc(C(=O)N=c2ccc(O)n[n-]2)nn1C(C)(C)C ZINC000410716748 418842229 /nfs/dbraw/zinc/84/22/29/418842229.db2.gz HZPRMYDSIMTFKA-UHFFFAOYSA-N -1 1 303.366 1.931 20 0 DDADMM Cc1nc(CO[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)cs1 ZINC000365320137 418852033 /nfs/dbraw/zinc/85/20/33/418852033.db2.gz RNEMSCFPUYUURC-GFCCVEGCSA-N -1 1 319.386 1.983 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCNC(=O)C2CC2)c(F)c1 ZINC000425139428 228372550 /nfs/dbraw/zinc/37/25/50/228372550.db2.gz CGVVJIUQPODRSF-UHFFFAOYSA-N -1 1 318.345 1.078 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)[C@H]2CCOC2)c(F)c1 ZINC000425212912 228391682 /nfs/dbraw/zinc/39/16/82/228391682.db2.gz ZEDQQIHSKZIMHH-ZJUUUORDSA-N -1 1 305.346 1.977 20 0 DDADMM COC(=O)Cc1ccc([N-]S(=O)(=O)C[C@@H](C)OC)cc1F ZINC000421163456 419509542 /nfs/dbraw/zinc/50/95/42/419509542.db2.gz HWJFQYSUJMHJHQ-SECBINFHSA-N -1 1 319.354 1.318 20 0 DDADMM Cc1cnc(C(=O)NCCNC(=O)CC2CCCCC2)c([O-])c1 ZINC000427100155 419594015 /nfs/dbraw/zinc/59/40/15/419594015.db2.gz JTOJZFYAMKRVBH-UHFFFAOYSA-N -1 1 319.405 1.912 20 0 DDADMM COC[C@H]1C[C@@H](O)CN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000652822439 423154079 /nfs/dbraw/zinc/15/40/79/423154079.db2.gz VNLLMJUMIBHCDA-NXEZZACHSA-N -1 1 319.279 1.633 20 0 DDADMM COC(=O)C1(CNC(=O)c2ncc(C)cc2[O-])CCCCC1 ZINC000427902458 419766763 /nfs/dbraw/zinc/76/67/63/419766763.db2.gz OCLVPXYBLGMHKE-UHFFFAOYSA-N -1 1 306.362 1.949 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)Cc2cc(C)nn2C)c1 ZINC000422096794 419841161 /nfs/dbraw/zinc/84/11/61/419841161.db2.gz KWGZWUQFUOQAKK-UHFFFAOYSA-N -1 1 303.318 1.402 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@H](c1ccccc1)C1CC1 ZINC000431608836 229046431 /nfs/dbraw/zinc/04/64/31/229046431.db2.gz LGQPOAVBVXZZPH-CYBMUJFWSA-N -1 1 321.402 1.868 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H](c1ccccc1)C1CC1 ZINC000431608836 229046433 /nfs/dbraw/zinc/04/64/33/229046433.db2.gz LGQPOAVBVXZZPH-CYBMUJFWSA-N -1 1 321.402 1.868 20 0 DDADMM NC(=O)c1csc(=NC[C@@]2(O)CCC[C@H](C(F)(F)F)C2)[n-]1 ZINC000432170461 229085460 /nfs/dbraw/zinc/08/54/60/229085460.db2.gz SKRVZWCCHHIXQM-WRWORJQWSA-N -1 1 323.340 1.559 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H]1CCC(F)(F)C1 ZINC000435231387 229363240 /nfs/dbraw/zinc/36/32/40/229363240.db2.gz VMCRVZICKMVLFZ-VIFPVBQESA-N -1 1 313.304 1.069 20 0 DDADMM Cc1cccc(C)c1OCCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000430324821 420107814 /nfs/dbraw/zinc/10/78/14/420107814.db2.gz VMYMPSDCIJBEHL-UHFFFAOYSA-N -1 1 301.350 1.391 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)Oc2ccccn2)n1 ZINC000415633916 420130459 /nfs/dbraw/zinc/13/04/59/420130459.db2.gz WJMGSPWUUGRCDL-VIFPVBQESA-N -1 1 304.306 1.387 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@H]2COCCN2)c1 ZINC000423803071 420194681 /nfs/dbraw/zinc/19/46/81/420194681.db2.gz QJYSHMSSOYGCOO-SNVBAGLBSA-N -1 1 315.167 1.390 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](C)c1ccc(C)cc1 ZINC000416165551 420264358 /nfs/dbraw/zinc/26/43/58/420264358.db2.gz GOXJDQHMSPYZQY-SNVBAGLBSA-N -1 1 317.345 1.738 20 0 DDADMM CC(C)(NC(=O)CO[C@@H]1CCCc2ccccc21)c1nn[n-]n1 ZINC000435753884 420282708 /nfs/dbraw/zinc/28/27/08/420282708.db2.gz BQLGKHZFLUWLNV-CYBMUJFWSA-N -1 1 315.377 1.645 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H](C)C(=O)NC(=O)NC(C)C)n1 ZINC000436259854 420318247 /nfs/dbraw/zinc/31/82/47/420318247.db2.gz KRCQASXYAYZCMU-MRVPVSSYSA-N -1 1 312.395 1.459 20 0 DDADMM CCNc1nc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cs1 ZINC000425286229 420339278 /nfs/dbraw/zinc/33/92/78/420339278.db2.gz ALFMRCKWHXBAHP-MRVPVSSYSA-N -1 1 323.378 1.105 20 0 DDADMM NS(=O)(=O)[C@H]1CCN(C(=O)c2cc3ccccc3cc2[O-])C1 ZINC000436553577 420340702 /nfs/dbraw/zinc/34/07/02/420340702.db2.gz HPTYAFILWONTGK-LBPRGKRZSA-N -1 1 320.370 1.048 20 0 DDADMM NC(=O)c1cc(CNC(=O)c2ccc3ccccc3c2[O-])on1 ZINC000436589259 420341816 /nfs/dbraw/zinc/34/18/16/420341816.db2.gz PLSOMVYPQSCEFW-UHFFFAOYSA-N -1 1 311.297 1.562 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1cnn(C)c1 ZINC000425294942 420342515 /nfs/dbraw/zinc/34/25/15/420342515.db2.gz FDGMXOZTPQMFBI-WDEREUQCSA-N -1 1 319.365 1.409 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)C[C@@H](CC(C)C)OC ZINC000420654576 420343169 /nfs/dbraw/zinc/34/31/69/420343169.db2.gz NPUOPZCDWSOFIC-OLZOCXBDSA-N -1 1 323.455 1.555 20 0 DDADMM Cc1cnn(CCC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000425301519 420344437 /nfs/dbraw/zinc/34/44/37/420344437.db2.gz MHEFNFKRCUIDNZ-NSHDSACASA-N -1 1 305.338 1.076 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)CCc1ccccc1C ZINC000416241017 420291248 /nfs/dbraw/zinc/29/12/48/420291248.db2.gz OLKPGLMDOKIPRN-UHFFFAOYSA-N -1 1 317.345 1.520 20 0 DDADMM COCC[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C(C)(C)C ZINC000416241203 420292161 /nfs/dbraw/zinc/29/21/61/420292161.db2.gz LDXRHONMJJNTGK-MRVPVSSYSA-N -1 1 313.354 1.077 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@H](CC(C)C)OC ZINC000420702847 420362596 /nfs/dbraw/zinc/36/25/96/420362596.db2.gz UAQMVFLITFPVNQ-QWHCGFSZSA-N -1 1 321.439 1.309 20 0 DDADMM CCCN(CC(N)=O)C(=O)c1cc(Cl)c([O-])c(OCC)c1 ZINC000436834274 420375269 /nfs/dbraw/zinc/37/52/69/420375269.db2.gz LTBJXSJCPQSPBP-UHFFFAOYSA-N -1 1 314.769 1.782 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CO[C@@H](C)C1 ZINC000439253773 420503355 /nfs/dbraw/zinc/50/33/55/420503355.db2.gz BKRBWJNHGIYAEP-KWQFWETISA-N -1 1 304.350 1.093 20 0 DDADMM COC[C@@H](CN=c1ccc(C(N)=O)n[n-]1)c1ccc(Cl)cc1 ZINC000450717958 420513220 /nfs/dbraw/zinc/51/32/20/420513220.db2.gz MLGCUXAQPZUURH-LLVKDONJSA-N -1 1 320.780 1.493 20 0 DDADMM C[C@@H]1CN(CC(F)(F)F)CCN(C(=O)c2cncc([O-])c2)C1 ZINC000456648607 420521577 /nfs/dbraw/zinc/52/15/77/420521577.db2.gz KJYKXTOBHVXDRZ-SNVBAGLBSA-N -1 1 317.311 1.743 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000492381045 420540009 /nfs/dbraw/zinc/54/00/09/420540009.db2.gz ARJZAXBMXBFXAQ-UFFNRZRYSA-N -1 1 302.313 1.153 20 0 DDADMM CO[C@@H](C)CNC(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000442707687 420701909 /nfs/dbraw/zinc/70/19/09/420701909.db2.gz GZLHDMMPSIKIAD-JTQLQIEISA-N -1 1 316.361 1.246 20 0 DDADMM COC(=O)C1(CNC(=O)c2cc(Cl)c([O-])c(OC)c2)CC1 ZINC000442795270 420717244 /nfs/dbraw/zinc/71/72/44/420717244.db2.gz DWCRIULASVNGSP-UHFFFAOYSA-N -1 1 313.737 1.737 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C=Cc1c[nH]cn1 ZINC000493214801 420789581 /nfs/dbraw/zinc/78/95/81/420789581.db2.gz GPSDVKOXXCTXMZ-ARJAWSKDSA-N -1 1 312.333 1.104 20 0 DDADMM COC(=O)[C@](C)(CN=c1nc(C)[n-]s1)c1ccc(F)cc1 ZINC000447669875 420792343 /nfs/dbraw/zinc/79/23/43/420792343.db2.gz HPIYZVHAVZTKNP-CQSZACIVSA-N -1 1 309.366 1.950 20 0 DDADMM O=C(NC[C@H]1CCCC(=O)N1)c1ccc(C(F)(F)F)cc1[O-] ZINC000447758086 420799265 /nfs/dbraw/zinc/79/92/65/420799265.db2.gz KTXHOGWXTAXOPU-SECBINFHSA-N -1 1 316.279 1.810 20 0 DDADMM O=C(C=Cc1ccc(F)c(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000493803238 420944850 /nfs/dbraw/zinc/94/48/50/420944850.db2.gz NTIJUMGMFVATJG-VLWUPBBWSA-N -1 1 319.315 1.897 20 0 DDADMM COc1cc(CC(=O)NC2(c3nn[n-]n3)CCCC2)ccc1O ZINC000449923497 421112392 /nfs/dbraw/zinc/11/23/92/421112392.db2.gz FAVOMDRFTNNCRN-UHFFFAOYSA-N -1 1 317.349 1.042 20 0 DDADMM CC[C@H](C(=O)N1CCC[C@H]1C(=O)[O-])N(C)Cc1ccccc1 ZINC000455816964 421065610 /nfs/dbraw/zinc/06/56/10/421065610.db2.gz XHZROLVQSRAEIL-CABCVRRESA-N -1 1 304.390 1.973 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCC[C@@H]1C1CC1 ZINC000561082243 421305173 /nfs/dbraw/zinc/30/51/73/421305173.db2.gz HIVYNJIBJAYUDT-NXEZZACHSA-N -1 1 307.350 1.453 20 0 DDADMM Cc1cc(C)n([C@@H](C)CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000560347373 421251487 /nfs/dbraw/zinc/25/14/87/421251487.db2.gz HNJAMTFZSVQYOK-QWHCGFSZSA-N -1 1 317.397 1.370 20 0 DDADMM O=C(COc1ccc(F)cc1)NC1(c2nn[n-]n2)CCCC1 ZINC000524041131 421252379 /nfs/dbraw/zinc/25/23/79/421252379.db2.gz MWLFVDCFIOWGSZ-UHFFFAOYSA-N -1 1 305.313 1.303 20 0 DDADMM Cc1ccccc1NCCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000524576157 421263014 /nfs/dbraw/zinc/26/30/14/421263014.db2.gz CRLJIQRPLTWVOK-UHFFFAOYSA-N -1 1 314.393 1.896 20 0 DDADMM Cc1ncc(Cl)c(C(=O)N=c2nc(-c3ccccn3)[nH][n-]2)n1 ZINC000525240551 421281697 /nfs/dbraw/zinc/28/16/97/421281697.db2.gz MQPSMBSDJCGDFL-UHFFFAOYSA-N -1 1 315.724 1.293 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@@H]1[C@@H]1CCCO1 ZINC000560808538 421294391 /nfs/dbraw/zinc/29/43/91/421294391.db2.gz FBNPJLLTMAGNAE-OLZOCXBDSA-N -1 1 307.419 1.923 20 0 DDADMM CCOCC(=O)N[C@@H](CC(C)C)c1n[n-]c(C(=O)OCC)n1 ZINC000547739652 421362705 /nfs/dbraw/zinc/36/27/05/421362705.db2.gz HUDDKHBBFPTBGE-JTQLQIEISA-N -1 1 312.370 1.221 20 0 DDADMM CCOCC(=O)N[C@@H](CC(C)C)c1nnc(C(=O)OCC)[n-]1 ZINC000547739652 421362707 /nfs/dbraw/zinc/36/27/07/421362707.db2.gz HUDDKHBBFPTBGE-JTQLQIEISA-N -1 1 312.370 1.221 20 0 DDADMM CCOCC(=O)N[C@@H](CC(C)C)c1nc(C(=O)OCC)n[n-]1 ZINC000547739652 421362711 /nfs/dbraw/zinc/36/27/11/421362711.db2.gz HUDDKHBBFPTBGE-JTQLQIEISA-N -1 1 312.370 1.221 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cnccc2C(F)(F)F)no1 ZINC000527195281 421365283 /nfs/dbraw/zinc/36/52/83/421365283.db2.gz IJEUARWEVWDQMB-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM COc1cc(=NC(=O)c2cnc3ccc(C)cc3c2[O-])[nH]n1C ZINC000561249593 421318076 /nfs/dbraw/zinc/31/80/76/421318076.db2.gz ZNKOVHVRBTWXNC-UHFFFAOYSA-N -1 1 312.329 1.253 20 0 DDADMM Cc1ccc(-c2n[n-]c(S(=O)(=O)C[C@H]3CCCO3)n2)cc1 ZINC000562599475 421386978 /nfs/dbraw/zinc/38/69/78/421386978.db2.gz KAYBWBIKYHFKAB-GFCCVEGCSA-N -1 1 307.375 1.733 20 0 DDADMM Cc1ccc(-c2nc(S(=O)(=O)C[C@H]3CCCO3)n[n-]2)cc1 ZINC000562599475 421386982 /nfs/dbraw/zinc/38/69/82/421386982.db2.gz KAYBWBIKYHFKAB-GFCCVEGCSA-N -1 1 307.375 1.733 20 0 DDADMM CC1(C)[C@H](C(=O)[O-])[C@@H]1C(=O)NCCN1CCc2ccccc2C1 ZINC000563114811 421458276 /nfs/dbraw/zinc/45/82/76/421458276.db2.gz PAOGBUCPLQHYNL-CABCVRRESA-N -1 1 316.401 1.518 20 0 DDADMM CCc1nc(CNc2nc3ccccc3c(C)c2C(=O)[O-])n[nH]1 ZINC000563214509 421473576 /nfs/dbraw/zinc/47/35/76/421473576.db2.gz WZGLNGTYGSMWQO-UHFFFAOYSA-N -1 1 311.345 1.956 20 0 DDADMM C[C@@H](CC(=O)NC1(c2nn[n-]n2)CCCC1)c1ccncc1 ZINC000528600040 421500274 /nfs/dbraw/zinc/50/02/74/421500274.db2.gz VXLZXBIHARHGJQ-NSHDSACASA-N -1 1 300.366 1.674 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCO[C@@H](CC(C)C)C1 ZINC000563949438 421559609 /nfs/dbraw/zinc/55/96/09/421559609.db2.gz XXEJWBUVULJIRF-MNOVXSKESA-N -1 1 317.411 1.268 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCO[C@@H](CC(C)C)C1 ZINC000563949438 421559611 /nfs/dbraw/zinc/55/96/11/421559611.db2.gz XXEJWBUVULJIRF-MNOVXSKESA-N -1 1 317.411 1.268 20 0 DDADMM CCc1cccc(C(=O)NCCCN2CCC[C@H]2C(N)=O)c1O ZINC000531432237 421633929 /nfs/dbraw/zinc/63/39/29/421633929.db2.gz WTKUPMWWQLJTDE-AWEZNQCLSA-N -1 1 319.405 1.024 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)Cc1ccncc1 ZINC000531524078 421636536 /nfs/dbraw/zinc/63/65/36/421636536.db2.gz PRVWWVOOZYTPEB-VIFPVBQESA-N -1 1 318.402 1.969 20 0 DDADMM Cn1[n-]nnc1=NC[C@H](c1cccc(Cl)c1)N1CCCC1 ZINC000518065960 421638498 /nfs/dbraw/zinc/63/84/98/421638498.db2.gz RERKZGVUHFXUMD-CYBMUJFWSA-N -1 1 306.801 1.534 20 0 DDADMM CCOC(=O)C(C)(C)CC(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000554504699 421643626 /nfs/dbraw/zinc/64/36/26/421643626.db2.gz FIMMVOLMZGWYRS-UHFFFAOYSA-N -1 1 317.349 1.785 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)C1CCCCC1 ZINC000532298559 421654657 /nfs/dbraw/zinc/65/46/57/421654657.db2.gz GLOBHXRAFNVLCP-QWHCGFSZSA-N -1 1 319.423 1.207 20 0 DDADMM COCn1nc(C)c(S(=O)(=O)[N-]c2[nH]nc(C)c2C)c1C ZINC000530456623 421601859 /nfs/dbraw/zinc/60/18/59/421601859.db2.gz VGBLZKMWWWNTCL-UHFFFAOYSA-N -1 1 313.383 1.245 20 0 DDADMM CCO[C@@H]1C[C@H]1NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000571129608 421689706 /nfs/dbraw/zinc/68/97/06/421689706.db2.gz UQKIWLGOSIPHQJ-CHWSQXEVSA-N -1 1 318.377 1.278 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(Cc2nccc(OC)c2OC)C1 ZINC000571172836 421693575 /nfs/dbraw/zinc/69/35/75/421693575.db2.gz KTFUHOMRIVXPLO-INIZCTEOSA-N -1 1 324.377 1.412 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)[C@H]2CCCc3[nH]ncc32)s[n-]1 ZINC000555326432 421675627 /nfs/dbraw/zinc/67/56/27/421675627.db2.gz BIZDAGULRMEWPS-YUMQZZPRSA-N -1 1 307.379 1.449 20 0 DDADMM CO[C@H]1C[C@@H](C(=O)[O-])N(CCOc2ccc(SC)cc2)C1 ZINC000518990614 421676445 /nfs/dbraw/zinc/67/64/45/421676445.db2.gz LKJUGYFEKSBCIR-JSGCOSHPSA-N -1 1 311.403 1.961 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2C[C@H](C)O[C@H]2C)sc1C ZINC000557088575 421758885 /nfs/dbraw/zinc/75/88/85/421758885.db2.gz PLWZXVXNGJQJEM-ARENWVFISA-N -1 1 304.437 1.852 20 0 DDADMM C[C@@H](Cn1cncn1)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000571997090 421758862 /nfs/dbraw/zinc/75/88/62/421758862.db2.gz RYOVPKIDEVKYJX-VIFPVBQESA-N -1 1 323.403 1.255 20 0 DDADMM CC[C@H](C)n1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1C ZINC000520475639 421753434 /nfs/dbraw/zinc/75/34/34/421753434.db2.gz IGKHQKOAVWUIBM-ZJUUUORDSA-N -1 1 305.386 1.551 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccc(C(F)F)cc2)[n-]n1C ZINC000572155301 421766948 /nfs/dbraw/zinc/76/69/48/421766948.db2.gz IDOVTVOKXOMKFI-UHFFFAOYSA-N -1 1 317.317 1.589 20 0 DDADMM CCC[C@H](O)[C@H](CO)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633437235 421900446 /nfs/dbraw/zinc/90/04/46/421900446.db2.gz GYCKJQHQZHFPLV-AAEUAGOBSA-N -1 1 301.770 1.226 20 0 DDADMM COCc1nc(C(=O)[N-]c2n[nH]c(-c3ccncc3)n2)co1 ZINC000559465081 421835185 /nfs/dbraw/zinc/83/51/85/421835185.db2.gz OBBHJWNJKVUNOW-UHFFFAOYSA-N -1 1 300.278 1.253 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCOCCC(C)C)c1 ZINC000631811429 421850820 /nfs/dbraw/zinc/85/08/20/421850820.db2.gz DXNKTCYHABJKJV-UHFFFAOYSA-N -1 1 317.407 1.742 20 0 DDADMM C[C@H]1COCCN1CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631901268 421924713 /nfs/dbraw/zinc/92/47/13/421924713.db2.gz XDEWODDQDUYAEC-VIFPVBQESA-N -1 1 324.349 1.216 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000581251146 421931291 /nfs/dbraw/zinc/93/12/91/421931291.db2.gz ZVUWPRDZUSOPSQ-BXUZGUMPSA-N -1 1 306.362 1.676 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NC[C@@](C)(C(=O)[O-])c1ccccc1 ZINC000630169130 421933630 /nfs/dbraw/zinc/93/36/30/421933630.db2.gz FNBJVQGVXIUGRQ-YVEFUNNKSA-N -1 1 315.373 1.747 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(C(=O)c2cccc3n[nH]cc32)C1 ZINC000630183444 421943596 /nfs/dbraw/zinc/94/35/96/421943596.db2.gz WNRPUWVPOGREJP-INIZCTEOSA-N -1 1 317.345 1.516 20 0 DDADMM Cc1ccc(OCC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1 ZINC000630222076 421974310 /nfs/dbraw/zinc/97/43/10/421974310.db2.gz CRJIMVXMRPTSTH-CQSZACIVSA-N -1 1 320.389 1.381 20 0 DDADMM COc1cccc([C@H](NC(=O)[C@H](C)Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000630205486 421958613 /nfs/dbraw/zinc/95/86/13/421958613.db2.gz HEVNBGUMYRJNHM-YGRLFVJLSA-N -1 1 317.345 1.539 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3c2OCO3)CC1 ZINC000630222511 421972585 /nfs/dbraw/zinc/97/25/85/421972585.db2.gz FUHXPDZGCMCVPV-NSHDSACASA-N -1 1 320.345 1.036 20 0 DDADMM C[C@H](C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1cccs1 ZINC000630226370 421976944 /nfs/dbraw/zinc/97/69/44/421976944.db2.gz VTLSAIZLTKJMBM-RYUDHWBXSA-N -1 1 310.419 1.859 20 0 DDADMM O=C(CCOc1ccc(F)cc1)NC1(c2nn[n-]n2)CCCC1 ZINC000573761093 422011631 /nfs/dbraw/zinc/01/16/31/422011631.db2.gz YNZFHCOXEWPUEL-UHFFFAOYSA-N -1 1 319.340 1.693 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2c3ccccc3C[C@H]2O)sn1 ZINC000632004470 422013197 /nfs/dbraw/zinc/01/31/97/422013197.db2.gz LHLZWCSTHNWCNQ-YPMHNXCESA-N -1 1 310.400 1.388 20 0 DDADMM CC(C)c1ccccc1OCCNC(=O)CCCc1nn[n-]n1 ZINC000635562590 422114567 /nfs/dbraw/zinc/11/45/67/422114567.db2.gz JKIFJPYAOFQGNN-UHFFFAOYSA-N -1 1 317.393 1.841 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCC[C@@H]2OC)c1 ZINC000632183526 422144847 /nfs/dbraw/zinc/14/48/47/422144847.db2.gz WHUQZPVEVBDCKW-GWCFXTLKSA-N -1 1 315.391 1.494 20 0 DDADMM O=C(Nc1nc(C2CCC2)cs1)c1cc(=O)n2[n-]cnc2n1 ZINC000582399343 422146310 /nfs/dbraw/zinc/14/63/10/422146310.db2.gz GZNJOJMDIQHQJD-UHFFFAOYSA-N -1 1 316.346 1.394 20 0 DDADMM C[C@H]1CN(C)c2ccccc2N(C(=O)CCCc2nn[n-]n2)C1 ZINC000635609692 422162896 /nfs/dbraw/zinc/16/28/96/422162896.db2.gz LPFDVEVRDIHVAW-LBPRGKRZSA-N -1 1 314.393 1.642 20 0 DDADMM Cn1ccc(CC[N-]S(=O)(=O)c2ccc(C(F)F)o2)n1 ZINC000632212501 422168084 /nfs/dbraw/zinc/16/80/84/422168084.db2.gz ULMPWOLFNRQFSF-UHFFFAOYSA-N -1 1 305.306 1.472 20 0 DDADMM Cn1cc([C@@H](CO)NC(=O)Cc2ccc([O-])c(Cl)c2)cn1 ZINC000632149103 422123027 /nfs/dbraw/zinc/12/30/27/422123027.db2.gz MMULXMIVJDMARQ-GFCCVEGCSA-N -1 1 309.753 1.171 20 0 DDADMM COC[C@@](C)(CO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000633892419 422133772 /nfs/dbraw/zinc/13/37/72/422133772.db2.gz WRUWQNOVYCSETQ-GFCCVEGCSA-N -1 1 307.268 1.538 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1CC(c2cccc(F)c2)C1 ZINC000574572976 422134784 /nfs/dbraw/zinc/13/47/84/422134784.db2.gz QRSPHBHKUBFJND-UHFFFAOYSA-N -1 1 301.325 1.638 20 0 DDADMM CC[C@@H](CNC(=O)CCCc1nn[n-]n1)Oc1cccc(C)c1 ZINC000635651782 422205303 /nfs/dbraw/zinc/20/53/03/422205303.db2.gz GCTGXYGLLFZVPX-ZDUSSCGKSA-N -1 1 317.393 1.805 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(Cc2cccnc2)CC1 ZINC000632272642 422213324 /nfs/dbraw/zinc/21/33/24/422213324.db2.gz VMWPYSROLUPNIZ-UHFFFAOYSA-N -1 1 300.366 1.009 20 0 DDADMM C[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632278446 422217399 /nfs/dbraw/zinc/21/73/99/422217399.db2.gz RGJTUCMHDVZONY-RQJHMYQMSA-N -1 1 313.301 1.132 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@H]1CCS(=O)(=O)C1 ZINC000630683969 422268586 /nfs/dbraw/zinc/26/85/86/422268586.db2.gz FKYMCHONQIXIHW-SNVBAGLBSA-N -1 1 317.794 1.139 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)C1CCC1 ZINC000575843816 422334298 /nfs/dbraw/zinc/33/42/98/422334298.db2.gz VFPLFRJEJSYWBX-LLVKDONJSA-N -1 1 307.394 1.679 20 0 DDADMM Cc1ccccc1OCCCN(C)C(=O)CCc1nn[n-]n1 ZINC000630787838 422335574 /nfs/dbraw/zinc/33/55/74/422335574.db2.gz AEGMECNZBSRCDB-UHFFFAOYSA-N -1 1 303.366 1.368 20 0 DDADMM CC(C)C[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)n1ccnc1 ZINC000635794745 422352051 /nfs/dbraw/zinc/35/20/51/422352051.db2.gz DAGQNJZSTZQIIW-NEPJUHHUSA-N -1 1 305.386 1.246 20 0 DDADMM CC[N@H+]1CCCN(S(=O)(=O)c2cc([O-])cc(F)c2)[C@H](C)C1 ZINC000632450586 422355226 /nfs/dbraw/zinc/35/52/26/422355226.db2.gz HVLKGZZWMKGAKD-LLVKDONJSA-N -1 1 316.398 1.636 20 0 DDADMM CCOc1cc(C(=O)N[C@@H]2COCCC2=O)cc(Cl)c1[O-] ZINC000628867996 422357098 /nfs/dbraw/zinc/35/70/98/422357098.db2.gz WBNWFFMJXMPYFZ-SNVBAGLBSA-N -1 1 313.737 1.532 20 0 DDADMM CN(C)C(=O)C1([N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1 ZINC000632454910 422358982 /nfs/dbraw/zinc/35/89/82/422358982.db2.gz OXSQINIZCSCHBL-UHFFFAOYSA-N -1 1 308.306 1.116 20 0 DDADMM Cc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(C(C)C)n1 ZINC000575750778 422318828 /nfs/dbraw/zinc/31/88/28/422318828.db2.gz RDMUYSRJTHOSBM-SNVBAGLBSA-N -1 1 302.382 1.902 20 0 DDADMM C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCN2C(=O)CCCc2nn[n-]n2)C1 ZINC000635891825 422446992 /nfs/dbraw/zinc/44/69/92/422446992.db2.gz NSEJKSNZNGVLDL-RFGFWPKPSA-N -1 1 321.425 1.311 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](c1ccccc1)[C@@H]1CCCO1 ZINC000630889817 422397592 /nfs/dbraw/zinc/39/75/92/422397592.db2.gz QUQDGMPCMVVMJF-SWLSCSKDSA-N -1 1 301.350 1.169 20 0 DDADMM C/C=C/CNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000629039713 422452680 /nfs/dbraw/zinc/45/26/80/422452680.db2.gz SSVUCMCAOUDWQT-AATRIKPKSA-N -1 1 300.380 1.662 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CO[C@H](C3CC3)C2)c1 ZINC000632600693 422465328 /nfs/dbraw/zinc/46/53/28/422465328.db2.gz RHNQMZYRYMOYKW-GWCFXTLKSA-N -1 1 313.375 1.247 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC(C)(C)n2cccn2)sn1 ZINC000632735757 422551739 /nfs/dbraw/zinc/55/17/39/422551739.db2.gz OROAWUWDIQYWCI-UHFFFAOYSA-N -1 1 300.409 1.362 20 0 DDADMM CC1(C)CN(C(=O)CCc2nn[n-]n2)CC[C@H]1C(F)(F)F ZINC000632744455 422553935 /nfs/dbraw/zinc/55/39/35/422553935.db2.gz BRNOIRRUOSZTQY-MRVPVSSYSA-N -1 1 305.304 1.569 20 0 DDADMM CCc1ccc([C@H]2CN(C(=O)CCc3nn[n-]n3)CCO2)cc1 ZINC000632746586 422554242 /nfs/dbraw/zinc/55/42/42/422554242.db2.gz YBUJGFRGGISDNA-CQSZACIVSA-N -1 1 315.377 1.295 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1c(C)nn(C)c1C)C1CC1 ZINC000629285766 422583732 /nfs/dbraw/zinc/58/37/32/422583732.db2.gz YWOMQQUCKFZOGO-GFCCVEGCSA-N -1 1 301.412 1.594 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1cc(O)cc(OC)c1)C1CC1 ZINC000629294332 422588510 /nfs/dbraw/zinc/58/85/10/422588510.db2.gz XAWPYAGHIMATQM-AWEZNQCLSA-N -1 1 315.391 1.958 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1ccc(OC)cc1O)C1CC1 ZINC000629296870 422591293 /nfs/dbraw/zinc/59/12/93/422591293.db2.gz WULXSVXCRPMYGE-AWEZNQCLSA-N -1 1 315.391 1.958 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1C[C@H]1c1cccc2ccccc21 ZINC000632835617 422616583 /nfs/dbraw/zinc/61/65/83/422616583.db2.gz YNWXTWSZBOLKNC-LSDHHAIUSA-N -1 1 307.357 1.958 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1C[C@H]1c1cc(F)c(F)c(F)c1 ZINC000632840100 422618462 /nfs/dbraw/zinc/61/84/62/422618462.db2.gz RYDIWZGUOBGTCM-OIBJUYFYSA-N -1 1 311.267 1.222 20 0 DDADMM Cc1nc2c(s1)[C@H](N(C)C(=O)CCc1nn[n-]n1)CCC2 ZINC000631238324 422625025 /nfs/dbraw/zinc/62/50/25/422625025.db2.gz HZLFRNAHSMEDFD-SNVBAGLBSA-N -1 1 306.395 1.433 20 0 DDADMM COC[C@]1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCOC1 ZINC000629380760 422639194 /nfs/dbraw/zinc/63/91/94/422639194.db2.gz XDINTMGAIKGYHD-MRXNPFEDSA-N -1 1 317.345 1.570 20 0 DDADMM CC(=O)NCC(C)(C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629380769 422639528 /nfs/dbraw/zinc/63/95/28/422639528.db2.gz XNGVNAHPQFPVKU-UHFFFAOYSA-N -1 1 316.361 1.679 20 0 DDADMM COc1cc(O)cc([N-]S(=O)(=O)CCOCC2CCC2)c1 ZINC000634791281 422649144 /nfs/dbraw/zinc/64/91/44/422649144.db2.gz RVNZOGPSJZFAKX-UHFFFAOYSA-N -1 1 315.391 1.959 20 0 DDADMM Cc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c(F)c1F ZINC000631354312 422708752 /nfs/dbraw/zinc/70/87/52/422708752.db2.gz QFOCASPIIPXWHF-UHFFFAOYSA-N -1 1 307.304 1.986 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@@H](NC(=O)CCCc2nn[n-]n2)C12CCC2 ZINC000636204591 422713914 /nfs/dbraw/zinc/71/39/14/422713914.db2.gz KYNYDIAYTBVRIO-VXGBXAGGSA-N -1 1 321.425 1.765 20 0 DDADMM CC(C)N(CCOCCO)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632924582 422664232 /nfs/dbraw/zinc/66/42/32/422664232.db2.gz ZPEUSCKYNQDRCU-UHFFFAOYSA-N -1 1 315.797 1.834 20 0 DDADMM O=C([O-])[C@H]1CCC[C@@H]1C(=O)NCCN1CCc2ccccc2C1 ZINC000634860171 422687867 /nfs/dbraw/zinc/68/78/67/422687867.db2.gz LOZRIXMKUUUPKW-HOTGVXAUSA-N -1 1 316.401 1.662 20 0 DDADMM CC(C)C[N@H+]1CCO[C@@H](CNC(=O)/C=C/c2cncc(O)c2)C1 ZINC000181823769 263370193 /nfs/dbraw/zinc/37/01/93/263370193.db2.gz ADIBDBXOOWXOLX-CWDCEQMOSA-N -1 1 319.405 1.273 20 0 DDADMM COc1ccc(CN(C)[C@H]2CCCCN(CC(=O)[O-])C2=O)cc1 ZINC000643871881 423387833 /nfs/dbraw/zinc/38/78/33/423387833.db2.gz LGBXZQDEOLVMQU-HNNXBMFYSA-N -1 1 320.389 1.593 20 0 DDADMM O=C([O-])CN(CC1CC1)C(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000653324999 423440993 /nfs/dbraw/zinc/44/09/93/423440993.db2.gz HNZNLPIRKNNDEG-MRXNPFEDSA-N -1 1 316.401 1.974 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C(C)C)N1C[C@@H](C)O[C@@H](C)C1 ZINC000646409792 423477578 /nfs/dbraw/zinc/47/75/78/423477578.db2.gz YHCZBPUHNULQSG-CWSCBRNRSA-N -1 1 310.398 1.496 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3CC[C@@H]3C)c(=O)c2c(OC)c1 ZINC000641248583 423501658 /nfs/dbraw/zinc/50/16/58/423501658.db2.gz YXGRDONDYSXNNP-VIFPVBQESA-N -1 1 302.330 1.780 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(C)(CC(F)F)C1 ZINC000649015952 423588543 /nfs/dbraw/zinc/58/85/43/423588543.db2.gz KIRARLYZUZQRDN-UHFFFAOYSA-N -1 1 303.265 1.014 20 0 DDADMM CC(=O)c1[n-]c(=N[C@@H]2CCCc3c2cnn3CCO)sc1C ZINC000649243930 423697467 /nfs/dbraw/zinc/69/74/67/423697467.db2.gz JIUOAECHQJKMCQ-GFCCVEGCSA-N -1 1 320.418 1.754 20 0 DDADMM CC(C)[C@@H](O)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656915030 423866211 /nfs/dbraw/zinc/86/62/11/423866211.db2.gz RJAQBJMYAIIBOH-VIFPVBQESA-N -1 1 323.212 1.139 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)NCC2(C(=O)[O-])CCC2)n[nH]1 ZINC000659421188 423823928 /nfs/dbraw/zinc/82/39/28/423823928.db2.gz MUSLVCACAXKFSP-SECBINFHSA-N -1 1 309.370 1.543 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ncccc1F)C1CCOCC1 ZINC000647271481 423934983 /nfs/dbraw/zinc/93/49/83/423934983.db2.gz MLVQKMVUOPGRQV-LBPRGKRZSA-N -1 1 318.370 1.404 20 0 DDADMM CCc1noc(CN2C[C@H](C(=O)[O-])[C@@H](c3ccccc3)C2)n1 ZINC000647369388 424002152 /nfs/dbraw/zinc/00/21/52/424002152.db2.gz SIOQUCXOXDJWNS-OLZOCXBDSA-N -1 1 301.346 1.932 20 0 DDADMM C[C@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)n1cccn1 ZINC000644854379 423979305 /nfs/dbraw/zinc/97/93/05/423979305.db2.gz VRDJIELDZIFQJR-RISCZKNCSA-N -1 1 311.349 1.253 20 0 DDADMM O=S(=O)([N-]CC(F)(F)c1cccc(F)c1)N1CCCC1 ZINC000657080454 424087900 /nfs/dbraw/zinc/08/79/00/424087900.db2.gz VQLPGAXXXMLHHR-UHFFFAOYSA-N -1 1 308.325 1.848 20 0 DDADMM CNC(=O)Cc1ccc(NC(=O)c2ccc(O)cc2[O-])cc1 ZINC000642020315 424093050 /nfs/dbraw/zinc/09/30/50/424093050.db2.gz QQJUNJNHGPDVNJ-UHFFFAOYSA-N -1 1 300.314 1.639 20 0 DDADMM COC1CCN(CCNc2ncc(C(=O)[O-])cc2Cl)CC1 ZINC000647451107 424034472 /nfs/dbraw/zinc/03/44/72/424034472.db2.gz MCCPKCMDKJGGHI-UHFFFAOYSA-N -1 1 313.785 1.956 20 0 DDADMM C[C@@H]1CCN(Cc2cnnn2Cc2ccccc2)C[C@H]1C(=O)[O-] ZINC000647461755 424049613 /nfs/dbraw/zinc/04/96/13/424049613.db2.gz XDCFVXSDHYNPOC-CZUORRHYSA-N -1 1 314.389 1.869 20 0 DDADMM Cn1cnnc1N1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000655293223 424367449 /nfs/dbraw/zinc/36/74/49/424367449.db2.gz UYLVYEVJGVOICT-UHFFFAOYSA-N -1 1 321.768 1.137 20 0 DDADMM C[C@@H](O)C1([N-]S(=O)(=O)c2ncccc2Br)CC1 ZINC000660046822 424484092 /nfs/dbraw/zinc/48/40/92/424484092.db2.gz MMBWQAANPUUCKU-SSDOTTSWSA-N -1 1 321.196 1.036 20 0 DDADMM C[C@@H](CNC(=O)NC[C@H](C)N1CCc2ccccc2C1)C(=O)[O-] ZINC000655865984 424686584 /nfs/dbraw/zinc/68/65/84/424686584.db2.gz UQDQPTHFAAMMKI-STQMWFEESA-N -1 1 319.405 1.453 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)NC[C@H](C(=O)[O-])C2CCC2)CCN1C ZINC000665320806 424782264 /nfs/dbraw/zinc/78/22/64/424782264.db2.gz TUWNDUGVICZVEG-KBPBESRZSA-N -1 1 311.426 1.469 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1nccn1-c1ccccc1)C2 ZINC000665345161 424788642 /nfs/dbraw/zinc/78/86/42/424788642.db2.gz GNIKQZGHAMNYCJ-CXAGYDPISA-N -1 1 313.357 1.405 20 0 DDADMM O=C([C@H]1CCc2ccccc2O1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000665381064 424797011 /nfs/dbraw/zinc/79/70/11/424797011.db2.gz NBPPIXNIBLOEES-GXTWGEPZSA-N -1 1 313.361 1.300 20 0 DDADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CC(C)(C)C1 ZINC000345015554 272157462 /nfs/dbraw/zinc/15/74/62/272157462.db2.gz HKWWSAVEQDFDKZ-NSHDSACASA-N -1 1 305.378 1.412 20 0 DDADMM C[C@]1(CCC(=O)Nc2nc(-c3ccco3)n[nH]2)CCC(=O)N1 ZINC000345828720 272346393 /nfs/dbraw/zinc/34/63/93/272346393.db2.gz ABAPIDHKSANTTB-AWEZNQCLSA-N -1 1 303.322 1.452 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)[C@@H]2CCO[C@@H]21 ZINC000295987359 276162876 /nfs/dbraw/zinc/16/28/76/276162876.db2.gz WWHFAPBYIWXKME-WCQGTBRESA-N -1 1 318.402 1.561 20 0 DDADMM CO[C@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@H](C)C1 ZINC000332559701 277339996 /nfs/dbraw/zinc/33/99/96/277339996.db2.gz VRHICDGHKCBDFF-MNOVXSKESA-N -1 1 301.346 1.563 20 0 DDADMM CSC[C@](C)(O)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000120382006 281117035 /nfs/dbraw/zinc/11/70/35/281117035.db2.gz QXGNJLOXMVUVIN-CYBMUJFWSA-N -1 1 315.416 1.825 20 0 DDADMM CCc1ccc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)o1 ZINC000127878617 281289450 /nfs/dbraw/zinc/28/94/50/281289450.db2.gz JCMIRIICYAZXSB-AEZGRPFRSA-N -1 1 301.350 1.775 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)Nc1c[nH]c(=O)c(C)c1)c2=O ZINC000129660621 281352862 /nfs/dbraw/zinc/35/28/62/281352862.db2.gz OCYOUHKFFQFTLF-UHFFFAOYSA-N -1 1 310.313 1.893 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)Nc3c[nH]c(=O)c(C)c3)cnc2n1 ZINC000129660621 281352865 /nfs/dbraw/zinc/35/28/65/281352865.db2.gz OCYOUHKFFQFTLF-UHFFFAOYSA-N -1 1 310.313 1.893 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc(Cl)c(F)c2)n1 ZINC000130186711 281370235 /nfs/dbraw/zinc/37/02/35/281370235.db2.gz MLXGUNZBEGPFMX-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2ccc(Cl)c(F)c2)[n-]1 ZINC000130186711 281370237 /nfs/dbraw/zinc/37/02/37/281370237.db2.gz MLXGUNZBEGPFMX-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM CCCc1cc(NC(=O)c2coc(S(=O)(=O)[N-]C)c2)n[nH]1 ZINC000133109016 281482078 /nfs/dbraw/zinc/48/20/78/281482078.db2.gz NNQZZEYGPQOSDA-UHFFFAOYSA-N -1 1 312.351 1.116 20 0 DDADMM Cc1nc(-c2ccc(N[C@@H](C)CC(N)=O)nc2)[n-]c(=O)c1C ZINC000441794307 293141699 /nfs/dbraw/zinc/14/16/99/293141699.db2.gz JOCVOXAHWQRUKU-QMMMGPOBSA-N -1 1 301.350 1.537 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCO[C@H]4CCC[C@@H]43)ccnc1-2 ZINC000287802766 294131169 /nfs/dbraw/zinc/13/11/69/294131169.db2.gz XWJCOHHPNSIXRK-PWONOCEESA-N -1 1 301.350 1.127 20 0 DDADMM C[C@@H]1[C@H](C)SCCN1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287382544 297777115 /nfs/dbraw/zinc/77/71/15/297777115.db2.gz FMJYVGCJELSXDT-YBCQRWGHSA-N -1 1 305.407 1.700 20 0 DDADMM Cc1c(Br)cccc1CC(=O)N=c1ccc([O-])n[nH]1 ZINC000362513479 300083196 /nfs/dbraw/zinc/08/31/96/300083196.db2.gz VJYVHROXYTUUGA-UHFFFAOYSA-N -1 1 322.162 1.856 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2CCN(C)[C@H](C(C)C)C2)s1 ZINC000362747261 300129819 /nfs/dbraw/zinc/12/98/19/300129819.db2.gz YGMBHTOLBKLKQZ-NSHDSACASA-N -1 1 311.455 1.716 20 0 DDADMM O=C([C@H]1COc2ccccc2C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000362780855 300139103 /nfs/dbraw/zinc/13/91/03/300139103.db2.gz IUTTZZQGZNYCGZ-CHWSQXEVSA-N -1 1 313.361 1.157 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H]2[C@@H]3c4ccccc4C[C@H]23)[n-]n1 ZINC000362868084 300165074 /nfs/dbraw/zinc/16/50/74/300165074.db2.gz LITUNJBVKVEZSC-CKEIUWERSA-N -1 1 308.385 1.646 20 0 DDADMM CC(C)(C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1F ZINC000363517915 300279343 /nfs/dbraw/zinc/27/93/43/300279343.db2.gz PDLRTMDAEHONSC-GFCCVEGCSA-N -1 1 319.340 1.217 20 0 DDADMM CC(C)(C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1F ZINC000363517916 300279548 /nfs/dbraw/zinc/27/95/48/300279548.db2.gz PDLRTMDAEHONSC-LBPRGKRZSA-N -1 1 319.340 1.217 20 0 DDADMM Cc1nc(C)n(C[C@@H]2CCCCN2C(=O)c2ncccc2[O-])n1 ZINC000363644264 300291998 /nfs/dbraw/zinc/29/19/98/300291998.db2.gz BLSBLZZIERWCMC-ZDUSSCGKSA-N -1 1 315.377 1.690 20 0 DDADMM Cc1ncc2c(n1)CC[C@@H](NC(=O)c1cc(F)ccc1[O-])C2 ZINC000365874249 300600489 /nfs/dbraw/zinc/60/04/89/300600489.db2.gz VAGARMCEXURQJM-GFCCVEGCSA-N -1 1 301.321 1.917 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC2(C1)CCCOC2 ZINC000368156878 300966007 /nfs/dbraw/zinc/96/60/07/300966007.db2.gz BAOZZSZFPMYZPC-UHFFFAOYSA-N -1 1 304.375 1.271 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC[C@@H]2C(=O)NC[C@@H]2C1 ZINC000368247046 300985973 /nfs/dbraw/zinc/98/59/73/300985973.db2.gz WQWTUMXPNOLOLK-KGLIPLIRSA-N -1 1 310.353 1.754 20 0 DDADMM O=C(Cc1ccc2ccccc2c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370858115 301397952 /nfs/dbraw/zinc/39/79/52/301397952.db2.gz RATHKDUACYJVJL-HNNXBMFYSA-N -1 1 323.356 1.496 20 0 DDADMM CSc1cccc(F)c1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000375993945 302020431 /nfs/dbraw/zinc/02/04/31/302020431.db2.gz JONUWKXGPCYGHY-SECBINFHSA-N -1 1 323.353 1.274 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-][C@H]1COc2cc(F)cc(F)c21 ZINC000377154348 302148841 /nfs/dbraw/zinc/14/88/41/302148841.db2.gz YMBRANYDTOVPEW-JTQLQIEISA-N -1 1 316.285 1.506 20 0 DDADMM Cc1ccc2nc(CN3CCC(c4n[nH]c(=O)[n-]4)CC3)cn2c1 ZINC000377535721 302190300 /nfs/dbraw/zinc/19/03/00/302190300.db2.gz LHIMGOGAUMHZTF-UHFFFAOYSA-N -1 1 312.377 1.846 20 0 DDADMM Cc1cc([C@H]2CCCN2S(=O)(=O)c2c(C)o[n-]c2=N)no1 ZINC000377887136 302247090 /nfs/dbraw/zinc/24/70/90/302247090.db2.gz CXDYKEKLLDCMMJ-SNVBAGLBSA-N -1 1 312.351 1.218 20 0 DDADMM C[C@@H]1[C@@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)[C@@H]1c1ccccc1 ZINC000437390205 302388975 /nfs/dbraw/zinc/38/89/75/302388975.db2.gz VSNJBRULNRNYTD-INTQDDNPSA-N -1 1 320.374 1.196 20 0 DDADMM C[C@@H]1[C@@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)[C@@H]1c1ccccc1 ZINC000437390205 302388977 /nfs/dbraw/zinc/38/89/77/302388977.db2.gz VSNJBRULNRNYTD-INTQDDNPSA-N -1 1 320.374 1.196 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)Cc3ccc(F)cc3)ccnc1-2 ZINC000340372329 302891235 /nfs/dbraw/zinc/89/12/35/302891235.db2.gz PNIXRYTUJYIVPO-UHFFFAOYSA-N -1 1 320.349 1.423 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2ccc(F)cc2F)on1 ZINC000525460803 302911748 /nfs/dbraw/zinc/91/17/48/302911748.db2.gz PVNPMUAZCVRVAH-UHFFFAOYSA-N -1 1 302.302 1.994 20 0 DDADMM CC(C)(C)c1nc(CN2CCc3occc3[C@H]2C(=O)[O-])n[nH]1 ZINC000530163771 303177776 /nfs/dbraw/zinc/17/77/76/303177776.db2.gz GKKNQMIRVDDHNF-LBPRGKRZSA-N -1 1 304.350 1.879 20 0 DDADMM CO[C@]1(C(=O)[O-])CC[N@H+]([C@@H](C)c2nc(-c3ccccc3)no2)C1 ZINC000530168550 303178403 /nfs/dbraw/zinc/17/84/03/303178403.db2.gz DJSISSZECMWFAO-MEDUHNTESA-N -1 1 317.345 1.973 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN([C@@H](C)c2nc(-c3ccccc3)no2)C1 ZINC000530168550 303178404 /nfs/dbraw/zinc/17/84/04/303178404.db2.gz DJSISSZECMWFAO-MEDUHNTESA-N -1 1 317.345 1.973 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc(N(C)C)cc2)cn1 ZINC000357477777 306887963 /nfs/dbraw/zinc/88/79/63/306887963.db2.gz QYXVVIANVHHULU-UHFFFAOYSA-N -1 1 307.375 1.957 20 0 DDADMM CCC[C@H](NC(=O)COCc1cccc(OC)c1)c1nn[n-]n1 ZINC000531571131 303250211 /nfs/dbraw/zinc/25/02/11/303250211.db2.gz XTPLKALZFYRYND-ZDUSSCGKSA-N -1 1 319.365 1.383 20 0 DDADMM CCC[C@H](NC(=O)c1ccnn1C1CCCC1)c1nn[n-]n1 ZINC000532507562 303288638 /nfs/dbraw/zinc/28/86/38/303288638.db2.gz IJTZJUUCSUMXOQ-NSHDSACASA-N -1 1 303.370 1.783 20 0 DDADMM O=C(CNC(=O)C1CC1)N=c1cc(-c2ccc(Cl)s2)[n-][nH]1 ZINC000535290458 303345867 /nfs/dbraw/zinc/34/58/67/303345867.db2.gz TWYBFEDHTSABII-UHFFFAOYSA-N -1 1 324.793 1.678 20 0 DDADMM O=C(c1cccc2c[nH]nc21)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000538832171 303390992 /nfs/dbraw/zinc/39/09/92/303390992.db2.gz WKCJEOQGSINIJV-UHFFFAOYSA-N -1 1 312.333 1.406 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncc(C)cc1[O-])C1CCCCC1 ZINC000547977368 303565047 /nfs/dbraw/zinc/56/50/47/303565047.db2.gz YPBSYMTYCWDVOX-CYBMUJFWSA-N -1 1 306.362 1.947 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)Cc2cc(C)no2)s1 ZINC000558479526 303771845 /nfs/dbraw/zinc/77/18/45/303771845.db2.gz ICVDDURDLNCJHM-UHFFFAOYSA-N -1 1 302.381 1.017 20 0 DDADMM COC(=O)c1[n-]c(=NC[C@@H]2COC3(CCCC3)O2)sc1C ZINC000365030743 307056958 /nfs/dbraw/zinc/05/69/58/307056958.db2.gz SXTBAYPCXSMXRA-SNVBAGLBSA-N -1 1 312.391 1.758 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@H]2c2ccco2)c1 ZINC000367517079 307096245 /nfs/dbraw/zinc/09/62/45/307096245.db2.gz JLJAZIZFRCOKHZ-ZJUUUORDSA-N -1 1 322.342 1.375 20 0 DDADMM CC(C)n1ncc2c1C[C@H](NC(=O)c1ncccc1[O-])CC2 ZINC000372080008 307162880 /nfs/dbraw/zinc/16/28/80/307162880.db2.gz KBXDSONXSPUGFH-GFCCVEGCSA-N -1 1 300.362 1.852 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)[C@@H]3Cc4ccccc4N(C)C3)ccnc1-2 ZINC000374708140 307212296 /nfs/dbraw/zinc/21/22/96/307212296.db2.gz WAEQBKQQBLJCPG-QQJFDMGQSA-N -1 1 321.384 1.589 20 0 DDADMM Cc1nc(=NC(=O)c2ccnc(-c3ccc(Cl)cc3)n2)[n-][nH]1 ZINC000376659281 307252071 /nfs/dbraw/zinc/25/20/71/307252071.db2.gz PPFYBSDDXLPTBC-UHFFFAOYSA-N -1 1 314.736 1.898 20 0 DDADMM CCCO[C@@H]1CCCN(S(=O)(=O)c2c(C)o[n-]c2=N)CC1 ZINC000377852874 307277425 /nfs/dbraw/zinc/27/74/25/307277425.db2.gz UUTLSZGSGIHQGQ-LLVKDONJSA-N -1 1 317.411 1.365 20 0 DDADMM O=C(N[C@H]1COc2ccccc2[C@H]1O)c1c(F)ccc([O-])c1F ZINC000378135414 307282477 /nfs/dbraw/zinc/28/24/77/307282477.db2.gz BMQMMLKBRMZHPG-ZUZCIYMTSA-N -1 1 321.279 1.895 20 0 DDADMM Cn1nncc1CNC(=O)c1cc(Br)ccc1[O-] ZINC000394869374 307295794 /nfs/dbraw/zinc/29/57/94/307295794.db2.gz ATDRKMSAXRVINV-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM O=C(NCCCn1cccnc1=O)c1ccc2ccccc2c1[O-] ZINC000519463594 307452470 /nfs/dbraw/zinc/45/24/70/307452470.db2.gz NHWYYMQFXWVRFI-UHFFFAOYSA-N -1 1 323.352 1.922 20 0 DDADMM C[C@]1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CCC(=O)NC1 ZINC000548817870 307796084 /nfs/dbraw/zinc/79/60/84/307796084.db2.gz JKVYNPCQAOYNGS-INIZCTEOSA-N -1 1 314.345 1.637 20 0 DDADMM O=C([O-])c1coc(N2CCC(CCCN3CCOCC3)CC2)n1 ZINC000563203681 307961650 /nfs/dbraw/zinc/96/16/50/307961650.db2.gz BYYZMFOCCVQDDY-UHFFFAOYSA-N -1 1 323.393 1.702 20 0 DDADMM O=S(=O)([N-]CCCn1ccnn1)c1nc2ccccc2s1 ZINC000567781780 308095285 /nfs/dbraw/zinc/09/52/85/308095285.db2.gz PQDHIUZHCGGWIW-UHFFFAOYSA-N -1 1 323.403 1.256 20 0 DDADMM CC(C)[C@@](C)(O)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000569719865 308148490 /nfs/dbraw/zinc/14/84/90/308148490.db2.gz WTWBLMSIWMDJFZ-ZDUSSCGKSA-N -1 1 314.432 1.982 20 0 DDADMM C[C@H](CN(C)C(=O)c1scnc1C(F)(F)F)c1nn[n-]n1 ZINC000569864804 308151682 /nfs/dbraw/zinc/15/16/82/308151682.db2.gz RKRUBHMTGPEYJQ-RXMQYKEDSA-N -1 1 320.300 1.551 20 0 DDADMM Cc1c(C(=O)[O-])sc2nc(C)nc(N[C@H]3C[C@@](C)(O)C3)c12 ZINC000570166722 308161373 /nfs/dbraw/zinc/16/13/73/308161373.db2.gz VLLWOSGCEZSASO-XZWHAEGMSA-N -1 1 307.375 1.754 20 0 DDADMM O=C(N=c1cc([C@@H]2CCCO2)[nH][nH]1)c1c(F)ccc([O-])c1F ZINC000570637621 308174600 /nfs/dbraw/zinc/17/46/00/308174600.db2.gz ZXONKDNOEZYFPC-JTQLQIEISA-N -1 1 309.272 1.919 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCS(=O)(=O)[C@@H]2CCC[C@@H]21 ZINC000570657733 308175593 /nfs/dbraw/zinc/17/55/93/308175593.db2.gz OAAYSELWPWFCCU-QWHCGFSZSA-N -1 1 313.350 1.323 20 0 DDADMM C[C@@H]1CN(Cc2cn(-c3ccccc3)nn2)C[C@@]1(C)C(=O)[O-] ZINC000573927345 308256716 /nfs/dbraw/zinc/25/67/16/308256716.db2.gz KYBOXICCNZZCSJ-MLGOLLRUSA-N -1 1 300.362 1.810 20 0 DDADMM CCN(c1ccccc1OC)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000576315168 308305530 /nfs/dbraw/zinc/30/55/30/308305530.db2.gz UVSSEZAIBOPJFE-UHFFFAOYSA-N -1 1 311.363 1.619 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnccc1C(F)(F)F)c1nn[n-]n1 ZINC000577293422 308377952 /nfs/dbraw/zinc/37/79/52/308377952.db2.gz JPESRPZUXMCTFL-SSDOTTSWSA-N -1 1 314.271 1.489 20 0 DDADMM COC[C@](C)(O)C(=O)N=c1cc(-c2cc(C)ccc2F)[n-][nH]1 ZINC000582349230 326016125 /nfs/dbraw/zinc/01/61/25/326016125.db2.gz QLMADVQXTKYLDU-HNNXBMFYSA-N -1 1 307.325 1.282 20 0 DDADMM CCc1nc(CN=c2[n-]c(-c3ccc(OC)cc3)no2)n[nH]1 ZINC000582527754 337105109 /nfs/dbraw/zinc/10/51/09/337105109.db2.gz WGXJODZWICWOGD-UHFFFAOYSA-N -1 1 300.322 1.460 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)c1sc(C(C)C)nc1C ZINC000582532669 337105810 /nfs/dbraw/zinc/10/58/10/337105810.db2.gz OPZBSAVJOYGIOX-UHFFFAOYSA-N -1 1 308.367 1.305 20 0 DDADMM C[C@@H]1CN(c2ncncc2C(=O)[O-])CCN1Cc1ccccc1 ZINC000396398858 337243921 /nfs/dbraw/zinc/24/39/21/337243921.db2.gz WXCWLFZEHHWXMJ-CYBMUJFWSA-N -1 1 312.373 1.886 20 0 DDADMM COC(=O)C[C@@H](C)N(OC)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC001120771777 782131760 /nfs/dbraw/zinc/13/17/60/782131760.db2.gz FEYNIBNMFDOQRR-SECBINFHSA-N -1 1 315.753 1.930 20 0 DDADMM CCc1nc(CNC(=O)NC[C@H](CC(=O)[O-])CC(C)C)n[nH]1 ZINC000392405553 483985126 /nfs/dbraw/zinc/98/51/26/483985126.db2.gz ZPNXXFDYTQORIJ-JTQLQIEISA-N -1 1 311.386 1.303 20 0 DDADMM Cc1nn(C)c(C)c1CCCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000436992381 484134857 /nfs/dbraw/zinc/13/48/57/484134857.db2.gz VFLAQWQAZXZMGE-UHFFFAOYSA-N -1 1 315.373 1.674 20 0 DDADMM Nc1nc(NC[C@@H](CC(=O)[O-])C2CC2)cc(-n2cccn2)n1 ZINC000656782494 484322999 /nfs/dbraw/zinc/32/29/99/484322999.db2.gz FJPIQZWZTZDJAW-SNVBAGLBSA-N -1 1 302.338 1.157 20 0 DDADMM COC(=O)c1cc(F)c(C)c(S(=O)(=O)[N-]c2cnc[nH]2)c1 ZINC000571664509 484340249 /nfs/dbraw/zinc/34/02/49/484340249.db2.gz IJHMDJOHCMYKGF-UHFFFAOYSA-N -1 1 313.310 1.445 20 0 DDADMM CC1(C)C[C@@]1(C(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000663039508 484618341 /nfs/dbraw/zinc/61/83/41/484618341.db2.gz OGCWCCWQWWBSJS-INIZCTEOSA-N -1 1 319.336 1.862 20 0 DDADMM CN(C)Cc1cc(CNC(=O)C2(C(=O)[O-])CCC2)ccc1F ZINC000663102947 484668783 /nfs/dbraw/zinc/66/87/83/484668783.db2.gz YODCNMNBOKXSGR-UHFFFAOYSA-N -1 1 308.353 1.758 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000663114089 484679961 /nfs/dbraw/zinc/67/99/61/484679961.db2.gz LUDFVIYIYAKVBP-DOMZBBRYSA-N -1 1 312.341 1.034 20 0 DDADMM CCCN(C(=O)c1ccc([O-])c(F)c1)[C@@H]1CC(=O)N(C)C1=O ZINC000669926733 484687511 /nfs/dbraw/zinc/68/75/11/484687511.db2.gz RMQSYIVWEHTCMT-LLVKDONJSA-N -1 1 308.309 1.141 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000663271997 484778219 /nfs/dbraw/zinc/77/82/19/484778219.db2.gz RQLQKGHYAMDITO-OAHLLOKOSA-N -1 1 319.405 1.766 20 0 DDADMM O=C([C@@H]1Cc2ccccc2O1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670384433 484802217 /nfs/dbraw/zinc/80/22/17/484802217.db2.gz SOQCRQYAEHKZFV-YPMHNXCESA-N -1 1 315.329 1.485 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1cnn(-c2ccncc2)c1 ZINC000671659740 485160897 /nfs/dbraw/zinc/16/08/97/485160897.db2.gz JBULQMAVUFFHJD-UHFFFAOYSA-N -1 1 318.362 1.110 20 0 DDADMM Nc1nnc(CCNC(=O)c2ccc(C(F)(F)F)c([O-])c2)o1 ZINC000671810016 485192613 /nfs/dbraw/zinc/19/26/13/485192613.db2.gz VALZINYTEVGHIV-UHFFFAOYSA-N -1 1 316.239 1.349 20 0 DDADMM COc1ccc(NC(=O)C(=O)c2ccc([O-])cc2)c(OC)n1 ZINC000672810967 485353720 /nfs/dbraw/zinc/35/37/20/485353720.db2.gz AIFTUMBDOWLUTG-UHFFFAOYSA-N -1 1 302.286 1.626 20 0 DDADMM CCCN(CC(=O)NC)C(=O)c1ncc2ccccc2c1[O-] ZINC000666954636 485385518 /nfs/dbraw/zinc/38/55/18/485385518.db2.gz DLOVPVQZPYGCAY-UHFFFAOYSA-N -1 1 301.346 1.539 20 0 DDADMM CCc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c(O)c1 ZINC000682503236 485631547 /nfs/dbraw/zinc/63/15/47/485631547.db2.gz ZGEFKOQIPYOCPN-UHFFFAOYSA-N -1 1 301.350 1.667 20 0 DDADMM O=C([O-])c1cccc(CCNC(=O)c2[nH]nc3c2CCCC3)c1 ZINC000679100909 485776821 /nfs/dbraw/zinc/77/68/21/485776821.db2.gz XUFLUIXHJPXONX-UHFFFAOYSA-N -1 1 313.357 1.959 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCCc2n[nH]cc21 ZINC000675143673 485864659 /nfs/dbraw/zinc/86/46/59/485864659.db2.gz GVDKNTGWHIYGNK-FZMZJTMJSA-N -1 1 323.360 1.249 20 0 DDADMM CCC[C@@H](NC(=O)CN(C)c1ccc(Cl)cn1)c1nn[n-]n1 ZINC000675144304 485866111 /nfs/dbraw/zinc/86/61/11/485866111.db2.gz LBGHUBHZIMCEOP-SNVBAGLBSA-N -1 1 323.788 1.342 20 0 DDADMM COCC1([N-]S(=O)(=O)c2c(F)cc(OC)cc2F)CC1 ZINC000675760827 486028319 /nfs/dbraw/zinc/02/83/19/486028319.db2.gz GOKAEGBHFYLMLW-UHFFFAOYSA-N -1 1 307.318 1.431 20 0 DDADMM Cc1ccc(S(N)(=O)=O)cc1C(=O)Nc1ccc(F)cc1[O-] ZINC000676056332 486099884 /nfs/dbraw/zinc/09/98/84/486099884.db2.gz YMDCSVGYTOQPBF-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM Cc1cnc(C(=O)N2CSC[C@H]2C(=O)N(C)C2CC2)c([O-])c1 ZINC000680844619 486281060 /nfs/dbraw/zinc/28/10/60/486281060.db2.gz RXCCTJOQQQQIAC-NSHDSACASA-N -1 1 321.402 1.232 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000681016323 486324120 /nfs/dbraw/zinc/32/41/20/486324120.db2.gz NBEJEQBKEAKCCU-ZDUSSCGKSA-N -1 1 308.353 1.468 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC[C@@H](N2CCNC2=O)C1 ZINC000681023217 486324813 /nfs/dbraw/zinc/32/48/13/486324813.db2.gz XUQHDTFHCVHQSZ-LLVKDONJSA-N -1 1 307.325 1.161 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)C(=O)c1ccccc1 ZINC000684351692 486329150 /nfs/dbraw/zinc/32/91/50/486329150.db2.gz IENAABNPRSTBOL-ZDUSSCGKSA-N -1 1 307.313 1.288 20 0 DDADMM CN(C)C(=O)C1(CNC(=O)c2ccc([O-])c(F)c2)CCCC1 ZINC000681047056 486330931 /nfs/dbraw/zinc/33/09/31/486330931.db2.gz TUTLDWSFHMIUHU-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM CNC(=O)COc1ccc(NC(=O)C2=C([O-])C(C)N=N2)c(C)c1 ZINC000684531570 486377816 /nfs/dbraw/zinc/37/78/16/486377816.db2.gz LCZUMCAAGJMSLM-UHFFFAOYSA-N -1 1 318.333 1.109 20 0 DDADMM C[C@@H]1C(=O)CC[C@@H]1CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000684567908 486384904 /nfs/dbraw/zinc/38/49/04/486384904.db2.gz SJOHRXDKGSZPGS-JVLSTEMRSA-N -1 1 313.361 1.411 20 0 DDADMM Cc1ccccc1[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000684986643 486479557 /nfs/dbraw/zinc/47/95/57/486479557.db2.gz AKBAVZSPBLMIGF-HAQNSBGRSA-N -1 1 323.356 1.402 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCC[C@H](C)CO)c(F)c1 ZINC000677515521 486489263 /nfs/dbraw/zinc/48/92/63/486489263.db2.gz RJPIMWFUMLHIIL-VIFPVBQESA-N -1 1 323.361 1.660 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2COCC2(C)C)c(F)c1 ZINC000425187104 533820151 /nfs/dbraw/zinc/82/01/51/533820151.db2.gz OLBSXWHUUZXAHW-NSHDSACASA-N -1 1 305.346 1.977 20 0 DDADMM C[C@H](CCO)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451286767 533971367 /nfs/dbraw/zinc/97/13/67/533971367.db2.gz SUJWTAWKMMZBBR-SECBINFHSA-N -1 1 301.339 1.869 20 0 DDADMM COc1ccc(NC(=O)/C=C/C2CC2)cc1[N-]S(C)(=O)=O ZINC000491906497 534025658 /nfs/dbraw/zinc/02/56/58/534025658.db2.gz GTQLJDLZUWJBCP-VMPITWQZSA-N -1 1 310.375 1.971 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C[C@@H]2CCOC[C@@H]2OC)c1 ZINC000451463072 534230910 /nfs/dbraw/zinc/23/09/10/534230910.db2.gz WOQPAGNWPGIVHM-HZMBPMFUSA-N -1 1 323.345 1.559 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCC[C@@H]2CCOC2)o1 ZINC000452017087 534238920 /nfs/dbraw/zinc/23/89/20/534238920.db2.gz MWSDXTNNAQIHKP-SNVBAGLBSA-N -1 1 317.363 1.161 20 0 DDADMM C[C@@H](Cn1cncn1)[N-]S(=O)(=O)c1sccc1Cl ZINC000451174558 534274420 /nfs/dbraw/zinc/27/44/20/534274420.db2.gz XNFGFVTWZJTPAV-ZETCQYMHSA-N -1 1 306.800 1.360 20 0 DDADMM CCC[C@@H](NC(=O)c1cnnc(-c2ccccc2)c1)c1nn[n-]n1 ZINC000291898387 517597893 /nfs/dbraw/zinc/59/78/93/517597893.db2.gz JVNPLHDYHIRPIT-CYBMUJFWSA-N -1 1 323.360 1.928 20 0 DDADMM NC(=O)CO[N-]C(=O)CCc1cccc(F)c1Br ZINC000297603989 534333227 /nfs/dbraw/zinc/33/32/27/534333227.db2.gz VCLUMNZBEAVERL-UHFFFAOYSA-N -1 1 319.130 1.054 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CCO[C@@H](C)C2)sc1C ZINC000329114305 534517114 /nfs/dbraw/zinc/51/71/14/534517114.db2.gz LYBWVJUFPHZINZ-GZMMTYOYSA-N -1 1 304.437 1.853 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCCC[C@H]2CO)sc1C ZINC000328886200 534520078 /nfs/dbraw/zinc/52/00/78/534520078.db2.gz NUSKATXUUDKFSP-QWRGUYRKSA-N -1 1 304.437 1.589 20 0 DDADMM NC(=O)c1csc(=NCc2nccn2CC(F)(F)F)[n-]1 ZINC000432342159 534522724 /nfs/dbraw/zinc/52/27/24/534522724.db2.gz GUVVCZBVSMXGPM-UHFFFAOYSA-N -1 1 305.285 1.035 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H](C)CC(F)F ZINC000413446253 534646116 /nfs/dbraw/zinc/64/61/16/534646116.db2.gz CKLCZVFIESULJN-ZETCQYMHSA-N -1 1 312.320 1.959 20 0 DDADMM O=C(NCc1ccc(CO)c(F)c1)C(=O)c1ccc([O-])cc1 ZINC000436932200 534660529 /nfs/dbraw/zinc/66/05/29/534660529.db2.gz MSNPBVAEUWRXAY-UHFFFAOYSA-N -1 1 303.289 1.523 20 0 DDADMM CC(C)(C)OC(=O)CCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000433965112 526346192 /nfs/dbraw/zinc/34/61/92/526346192.db2.gz AHAUPZHANBYEBI-UHFFFAOYSA-N -1 1 309.370 1.207 20 0 DDADMM CC(C)(CCNC(=O)c1ccc(-c2nnc[nH]2)cc1)C(=O)[O-] ZINC000424238756 527045559 /nfs/dbraw/zinc/04/55/59/527045559.db2.gz WCSYOCGUDZUMNR-UHFFFAOYSA-N -1 1 302.334 1.702 20 0 DDADMM CC(C)Oc1ccc(C(=O)N=c2nc(C(F)(F)F)[n-][nH]2)nc1 ZINC000444024722 528141464 /nfs/dbraw/zinc/14/14/64/528141464.db2.gz XWBYBLYVCMGLGH-UHFFFAOYSA-N -1 1 315.255 1.680 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H]2CCCO[C@H]2C(C)C)[n-]n1 ZINC000413180243 528230121 /nfs/dbraw/zinc/23/01/21/528230121.db2.gz PCHYXTYCQCIPHI-DOMZBBRYSA-N -1 1 306.410 1.511 20 0 DDADMM CCCN=c1[n-]nc(SCCN2CCCOCC2)s1 ZINC000412987069 528330370 /nfs/dbraw/zinc/33/03/70/528330370.db2.gz JGWPTUAPXWRXEA-UHFFFAOYSA-N -1 1 302.469 1.596 20 0 DDADMM CCOc1ccc(CN[C@H](C(=O)[O-])c2ccnn2C)cc1OC ZINC000424628347 528462805 /nfs/dbraw/zinc/46/28/05/528462805.db2.gz WPKGODZYXDBCLJ-HNNXBMFYSA-N -1 1 319.361 1.743 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)OCc1ccc(F)cc1)c1nn[n-]n1 ZINC000294781613 528986434 /nfs/dbraw/zinc/98/64/34/528986434.db2.gz ACRDWFPISRYWHL-ZWNOBZJWSA-N -1 1 321.356 1.902 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@@H](O)C(F)F ZINC000451704050 529198615 /nfs/dbraw/zinc/19/86/15/529198615.db2.gz BZOOQGLTZYVOJT-HTQZYQBOSA-N -1 1 314.379 1.443 20 0 DDADMM O=C(N[C@H]1C[C@@H]1c1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738018975 598997934 /nfs/dbraw/zinc/99/79/34/598997934.db2.gz HAJBRRLRGGVUKQ-OCCSQVGLSA-N -1 1 306.329 1.548 20 0 DDADMM O=C(N[C@H]1C[C@@H]1c1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738018975 598997935 /nfs/dbraw/zinc/99/79/35/598997935.db2.gz HAJBRRLRGGVUKQ-OCCSQVGLSA-N -1 1 306.329 1.548 20 0 DDADMM O=C(NC[C@H]1CC1(Cl)Cl)c1ccc(-c2nnn[n-]2)nc1 ZINC000738043627 599021262 /nfs/dbraw/zinc/02/12/62/599021262.db2.gz DBCDXVQQESEVLH-SSDOTTSWSA-N -1 1 313.148 1.185 20 0 DDADMM O=C(NC[C@H]1CC1(Cl)Cl)c1ccc(-c2nn[n-]n2)nc1 ZINC000738043627 599021263 /nfs/dbraw/zinc/02/12/63/599021263.db2.gz DBCDXVQQESEVLH-SSDOTTSWSA-N -1 1 313.148 1.185 20 0 DDADMM O=C(Nc1ccc2nccn2c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738177184 599034118 /nfs/dbraw/zinc/03/41/18/599034118.db2.gz GAKGGOVPOMGKMW-UHFFFAOYSA-N -1 1 306.289 1.162 20 0 DDADMM c1cnc(N2CCCC3(CCOCC3)C2)c(-c2nnn[n-]2)n1 ZINC000823809849 599045310 /nfs/dbraw/zinc/04/53/10/599045310.db2.gz QZTGMEHGRNILEC-UHFFFAOYSA-N -1 1 301.354 1.054 20 0 DDADMM c1cnc(N2CCCC3(CCOCC3)C2)c(-c2nn[n-]n2)n1 ZINC000823809849 599045314 /nfs/dbraw/zinc/04/53/14/599045314.db2.gz QZTGMEHGRNILEC-UHFFFAOYSA-N -1 1 301.354 1.054 20 0 DDADMM Cc1cccc2c(CC(=O)n3ncc(-c4nn[n-]n4)c3N)c[nH]c21 ZINC000737411409 599054903 /nfs/dbraw/zinc/05/49/03/599054903.db2.gz XWSCYRCFXUVVFG-UHFFFAOYSA-N -1 1 322.332 1.318 20 0 DDADMM O[C@H]1CCC[C@@H]1CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000823699333 599079186 /nfs/dbraw/zinc/07/91/86/599079186.db2.gz USEBPECFAAUJAG-SKDRFNHKSA-N -1 1 311.349 1.383 20 0 DDADMM O[C@H]1CCC[C@@H]1CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000823699333 599079188 /nfs/dbraw/zinc/07/91/88/599079188.db2.gz USEBPECFAAUJAG-SKDRFNHKSA-N -1 1 311.349 1.383 20 0 DDADMM O=C(Nc1ccc(CCO)cc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738159222 599109721 /nfs/dbraw/zinc/10/97/21/599109721.db2.gz MOLIOKLVEOULAK-UHFFFAOYSA-N -1 1 310.317 1.049 20 0 DDADMM O=C(Nc1ccc(CCO)cc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738159222 599109723 /nfs/dbraw/zinc/10/97/23/599109723.db2.gz MOLIOKLVEOULAK-UHFFFAOYSA-N -1 1 310.317 1.049 20 0 DDADMM O=C(OCCOC1CCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738222212 599153388 /nfs/dbraw/zinc/15/33/88/599153388.db2.gz BVYVNCAIDHUWJJ-UHFFFAOYSA-N -1 1 303.322 1.378 20 0 DDADMM O=C(OCCOC1CCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738222212 599153391 /nfs/dbraw/zinc/15/33/91/599153391.db2.gz BVYVNCAIDHUWJJ-UHFFFAOYSA-N -1 1 303.322 1.378 20 0 DDADMM CN(CCC(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000818432266 596989770 /nfs/dbraw/zinc/98/97/70/596989770.db2.gz KYWYRCCEJSZZEO-UHFFFAOYSA-N -1 1 305.378 1.161 20 0 DDADMM CC(C)[C@@]1(C)CC(=O)N(CN2CCC[C@@H](CC(=O)[O-])C2)C1=O ZINC000817858620 597047314 /nfs/dbraw/zinc/04/73/14/597047314.db2.gz LVDSXXUMHIEYNQ-BLLLJJGKSA-N -1 1 310.394 1.552 20 0 DDADMM CC(=O)c1ccc(C(=O)N=c2cc[nH]cc2-c2nn[n-]n2)s1 ZINC000735495873 599255501 /nfs/dbraw/zinc/25/55/01/599255501.db2.gz QJXKZUJUUIBBBE-UHFFFAOYSA-N -1 1 314.330 1.200 20 0 DDADMM CN(CC(=O)NCC(=O)[O-])C[C@H]1CCCO[C@@H]1c1ccccc1 ZINC000820562910 597772080 /nfs/dbraw/zinc/77/20/80/597772080.db2.gz ZAHHNKLCJNGVCD-RHSMWYFYSA-N -1 1 320.389 1.287 20 0 DDADMM CCc1nc([C@H]2CN(Cc3cccc(C(=O)[O-])c3)CCO2)n[nH]1 ZINC000820493047 598210398 /nfs/dbraw/zinc/21/03/98/598210398.db2.gz YNULZMFOJNAVBN-CYBMUJFWSA-N -1 1 316.361 1.639 20 0 DDADMM O=C([O-])CNCc1cn(-c2ccc(Cl)cc2Cl)nn1 ZINC000821535946 598220016 /nfs/dbraw/zinc/22/00/16/598220016.db2.gz WFNIHBZHPOVSDR-UHFFFAOYSA-N -1 1 301.133 1.748 20 0 DDADMM Cc1ccccc1NC(=O)Cn1ccc(C)c(-c2nn[n-]n2)c1=O ZINC000822361699 607349433 /nfs/dbraw/zinc/34/94/33/607349433.db2.gz GSWSIBNLSAJAHS-UHFFFAOYSA-N -1 1 324.344 1.284 20 0 DDADMM O=C(NCc1ccc(-c2nn[nH]n2)cc1F)c1ncccc1[O-] ZINC000738101938 598321819 /nfs/dbraw/zinc/32/18/19/598321819.db2.gz LCZBHNQZXFCLDD-UHFFFAOYSA-N -1 1 314.280 1.036 20 0 DDADMM COc1cc(OC)cc(Oc2nccnc2-c2nn[n-]n2)c1 ZINC000737052647 598337862 /nfs/dbraw/zinc/33/78/62/598337862.db2.gz UXUSDQFMOOEABB-UHFFFAOYSA-N -1 1 300.278 1.466 20 0 DDADMM CN(Cc1ccc2c(c1)OCO2)c1nccnc1-c1nnn[n-]1 ZINC000736820987 598338772 /nfs/dbraw/zinc/33/87/72/598338772.db2.gz SPCSQUBXBJKYLW-UHFFFAOYSA-N -1 1 311.305 1.022 20 0 DDADMM CN(Cc1ccc2c(c1)OCO2)c1nccnc1-c1nn[n-]n1 ZINC000736820987 598338775 /nfs/dbraw/zinc/33/87/75/598338775.db2.gz SPCSQUBXBJKYLW-UHFFFAOYSA-N -1 1 311.305 1.022 20 0 DDADMM CCOC(=O)C1CCC(Nc2nccnc2-c2nnn[n-]2)CC1 ZINC000736591055 598346801 /nfs/dbraw/zinc/34/68/01/598346801.db2.gz PVCPTKHTGBNNJK-UHFFFAOYSA-N -1 1 317.353 1.191 20 0 DDADMM CCOC(=O)C1CCC(Nc2nccnc2-c2nn[n-]n2)CC1 ZINC000736591055 598346803 /nfs/dbraw/zinc/34/68/03/598346803.db2.gz PVCPTKHTGBNNJK-UHFFFAOYSA-N -1 1 317.353 1.191 20 0 DDADMM Cc1nc(C)c(CCNc2nccnc2-c2nnn[n-]2)s1 ZINC000737474209 598503660 /nfs/dbraw/zinc/50/36/60/598503660.db2.gz PEFAUAWVEDWQFZ-UHFFFAOYSA-N -1 1 302.367 1.385 20 0 DDADMM Cc1nc(C)c(CCNc2nccnc2-c2nn[n-]n2)s1 ZINC000737474209 598503662 /nfs/dbraw/zinc/50/36/62/598503662.db2.gz PEFAUAWVEDWQFZ-UHFFFAOYSA-N -1 1 302.367 1.385 20 0 DDADMM CC(C)[C@H](CCO)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000735706464 599322029 /nfs/dbraw/zinc/32/20/29/599322029.db2.gz BBMLSAZGACNDFP-LURJTMIESA-N -1 1 302.791 1.796 20 0 DDADMM CC(C)[C@H](CCO)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000735706464 599322032 /nfs/dbraw/zinc/32/20/32/599322032.db2.gz BBMLSAZGACNDFP-LURJTMIESA-N -1 1 302.791 1.796 20 0 DDADMM CC[C@H](C)C[C@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820870620 599365149 /nfs/dbraw/zinc/36/51/49/599365149.db2.gz WHLCDDGDDSWWLY-UWVGGRQHSA-N -1 1 324.410 1.365 20 0 DDADMM CC[C@H](C)C[C@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820870620 599365152 /nfs/dbraw/zinc/36/51/52/599365152.db2.gz WHLCDDGDDSWWLY-UWVGGRQHSA-N -1 1 324.410 1.365 20 0 DDADMM CC[C@H](c1ccncc1)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736396474 599552697 /nfs/dbraw/zinc/55/26/97/599552697.db2.gz NJEODISWYLWYTH-CQSZACIVSA-N -1 1 323.360 1.880 20 0 DDADMM CC[C@H](c1ccncc1)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736396474 599552698 /nfs/dbraw/zinc/55/26/98/599552698.db2.gz NJEODISWYLWYTH-CQSZACIVSA-N -1 1 323.360 1.880 20 0 DDADMM CC1(C)[C@@H]2OCC[C@H]2[C@@H]1OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736204338 599618789 /nfs/dbraw/zinc/61/87/89/599618789.db2.gz DYJZQWQMZKWEHW-JLLWLGSASA-N -1 1 315.333 1.232 20 0 DDADMM CC1(C)[C@@H]2OCC[C@H]2[C@@H]1OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736204338 599618791 /nfs/dbraw/zinc/61/87/91/599618791.db2.gz DYJZQWQMZKWEHW-JLLWLGSASA-N -1 1 315.333 1.232 20 0 DDADMM COc1cccc([C@@H](CNC(=O)C(C)(C)NC(=O)[O-])N(C)C)c1 ZINC000738092486 599726751 /nfs/dbraw/zinc/72/67/51/599726751.db2.gz PQFSGABPZOPLMO-CYBMUJFWSA-N -1 1 323.393 1.460 20 0 DDADMM CN(CC(=O)Nc1ccsc1C(=O)[O-])C[C@H]1CCCOC1 ZINC000737400161 599763938 /nfs/dbraw/zinc/76/39/38/599763938.db2.gz VUPWOVJRLYPNFF-SNVBAGLBSA-N -1 1 312.391 1.743 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)c1cc2c(s1)CCCC2 ZINC000314335209 599776998 /nfs/dbraw/zinc/77/69/98/599776998.db2.gz DNYFCJWRALNBNU-NSHDSACASA-N -1 1 319.386 1.776 20 0 DDADMM Cc1cccc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1[N+](=O)[O-] ZINC000319253755 599777511 /nfs/dbraw/zinc/77/75/11/599777511.db2.gz OTVCIELFNLXNTK-NSHDSACASA-N -1 1 318.289 1.052 20 0 DDADMM CCOCCN1CCN(CCOc2cccc(C(=O)[O-])c2)CC1 ZINC000737177004 599915772 /nfs/dbraw/zinc/91/57/72/599915772.db2.gz LLZYRFGHPAPVLB-UHFFFAOYSA-N -1 1 322.405 1.418 20 0 DDADMM Cc1cccc(C)c1OCCNC(=O)CNC(C)(C)C(=O)[O-] ZINC000738309450 599925421 /nfs/dbraw/zinc/92/54/21/599925421.db2.gz YUJXMATZWKQEBA-UHFFFAOYSA-N -1 1 308.378 1.251 20 0 DDADMM CC(C)(NCC(=O)N1CCO[C@@H](c2ccc(F)cc2)C1)C(=O)[O-] ZINC000736338825 599926383 /nfs/dbraw/zinc/92/63/83/599926383.db2.gz FZDSFNVTMPLDQB-CYBMUJFWSA-N -1 1 324.352 1.178 20 0 DDADMM O=C([O-])Nc1cccc(C(=O)N2CCN(CC3CC3)CC2)c1 ZINC000740030458 599931968 /nfs/dbraw/zinc/93/19/68/599931968.db2.gz YZCHTZAIQJKDAL-UHFFFAOYSA-N -1 1 303.362 1.944 20 0 DDADMM C[C@@H]1CCCCN1CC(=O)N1Cc2ccccc2C[C@H]1C(=O)[O-] ZINC000736783325 600011817 /nfs/dbraw/zinc/01/18/17/600011817.db2.gz GXWYQRDBFSHSHK-CJNGLKHVSA-N -1 1 316.401 1.899 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN1CCCC[C@@H]1[C@@H](C)O ZINC000738532840 600091486 /nfs/dbraw/zinc/09/14/86/600091486.db2.gz ODAPIIRQXDDJEV-IUODEOHRSA-N -1 1 320.389 1.867 20 0 DDADMM Cc1ccc(COC(=O)c2ccc(-c3nnn[n-]3)s2)nc1 ZINC000822238665 607420515 /nfs/dbraw/zinc/42/05/15/607420515.db2.gz PYZHBGJDSPETDS-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM Cc1ccc(COC(=O)c2ccc(-c3nn[n-]n3)s2)nc1 ZINC000822238665 607420517 /nfs/dbraw/zinc/42/05/17/607420517.db2.gz PYZHBGJDSPETDS-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM Cc1ccsc1CNC(=O)CN1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000738798891 600297389 /nfs/dbraw/zinc/29/73/89/600297389.db2.gz SCVLAKBAGXRQDJ-LBPRGKRZSA-N -1 1 322.430 1.859 20 0 DDADMM O=C([O-])C1CCN(C(=O)CN2CC[C@@H](c3ccco3)C2)CC1 ZINC000738545147 600326072 /nfs/dbraw/zinc/32/60/72/600326072.db2.gz JAMZTLIGZCXKPK-CYBMUJFWSA-N -1 1 306.362 1.392 20 0 DDADMM CCO[C@@H]1CCCN([C@H](C)C(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC000737165791 600435037 /nfs/dbraw/zinc/43/50/37/600435037.db2.gz VGHZUNLSDPNNSS-TZMCWYRMSA-N -1 1 312.410 1.199 20 0 DDADMM Cc1[nH]nc(C(=O)N(CCC(=O)[O-])C(C)C)c1Br ZINC000738822048 600597232 /nfs/dbraw/zinc/59/72/32/600597232.db2.gz XFTZTCYDFXNCSW-UHFFFAOYSA-N -1 1 318.171 1.806 20 0 DDADMM O=C([O-])[C@H]1CCCN([C@@H]2CC(=O)N(Cc3ccccc3)C2=O)C1 ZINC000740065820 600684702 /nfs/dbraw/zinc/68/47/02/600684702.db2.gz CWVSGMINHWJWJD-UONOGXRCSA-N -1 1 316.357 1.111 20 0 DDADMM Cc1nnc(SCCC(=O)Nc2ccc(C(=O)[O-])cc2)[nH]1 ZINC000833021212 600834662 /nfs/dbraw/zinc/83/46/62/600834662.db2.gz KRALAOBMQOQISF-UHFFFAOYSA-N -1 1 306.347 1.932 20 0 DDADMM CCC(CC)(NC(=O)CCSc1nnc(C)[nH]1)C(=O)[O-] ZINC000828829423 600976021 /nfs/dbraw/zinc/97/60/21/600976021.db2.gz TWCVSTLIJMYTCC-UHFFFAOYSA-N -1 1 300.384 1.355 20 0 DDADMM CCOC(=O)C1(O)CCN(Cc2ccc(C(=O)[O-])cc2)CC1 ZINC000820364550 601011177 /nfs/dbraw/zinc/01/11/77/601011177.db2.gz KWVQLRJKNKSTPM-UHFFFAOYSA-N -1 1 307.346 1.275 20 0 DDADMM CC[C@H]1CN([C@H](C)C(=O)N2CCC(C(=O)[O-])CC2)CCS1 ZINC000829140500 601042515 /nfs/dbraw/zinc/04/25/15/601042515.db2.gz DRBOONKXWGOCFU-YPMHNXCESA-N -1 1 314.451 1.526 20 0 DDADMM C[C@H](CN(Cc1ccccc1)CN1CCOC1=S)C(=O)[O-] ZINC000736585098 601090035 /nfs/dbraw/zinc/09/00/35/601090035.db2.gz SYKSQTXCAKVVNN-GFCCVEGCSA-N -1 1 308.403 1.784 20 0 DDADMM C[C@@H](C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1cccnc1 ZINC000825990672 601090639 /nfs/dbraw/zinc/09/06/39/601090639.db2.gz PGLFHSYSRBZOKE-QWHCGFSZSA-N -1 1 305.378 1.193 20 0 DDADMM CCc1nc(CN(C)Cc2ccnc(-c3nnn[n-]3)c2)cs1 ZINC000825745381 607487931 /nfs/dbraw/zinc/48/79/31/607487931.db2.gz KVRXVFQZBHRMPH-UHFFFAOYSA-N -1 1 315.406 1.913 20 0 DDADMM CCc1nc(CN(C)Cc2ccnc(-c3nn[n-]n3)c2)cs1 ZINC000825745381 607487932 /nfs/dbraw/zinc/48/79/32/607487932.db2.gz KVRXVFQZBHRMPH-UHFFFAOYSA-N -1 1 315.406 1.913 20 0 DDADMM Cc1nc([C@@H]2CN(Cc3cc(C(=O)[O-])c(C)o3)CCO2)n[nH]1 ZINC000738822880 601105663 /nfs/dbraw/zinc/10/56/63/601105663.db2.gz GLOKZAUHXOBHDG-LBPRGKRZSA-N -1 1 306.322 1.286 20 0 DDADMM O=C([O-])C[C@@H](O)CSc1nc(-c2cc(F)cc(F)c2)n[nH]1 ZINC000833265371 601208680 /nfs/dbraw/zinc/20/86/80/601208680.db2.gz YIPZNAONJKGQLG-SECBINFHSA-N -1 1 315.301 1.678 20 0 DDADMM COC(=O)C1(NCc2ccnc(-c3nnn[n-]3)c2)CCCCC1 ZINC000826124818 607501224 /nfs/dbraw/zinc/50/12/24/607501224.db2.gz WNHYEUQMJYCULY-UHFFFAOYSA-N -1 1 316.365 1.227 20 0 DDADMM COC(=O)C1(NCc2ccnc(-c3nn[n-]n3)c2)CCCCC1 ZINC000826124818 607501225 /nfs/dbraw/zinc/50/12/25/607501225.db2.gz WNHYEUQMJYCULY-UHFFFAOYSA-N -1 1 316.365 1.227 20 0 DDADMM CC1(C)C(=O)N(CN2CC[C@H](C(=O)[O-])C2)[C@H]1c1ccccc1 ZINC000818075800 601397837 /nfs/dbraw/zinc/39/78/37/601397837.db2.gz NGWUCMXYQVRKPK-KBPBESRZSA-N -1 1 302.374 1.960 20 0 DDADMM Cc1ccc(C)c(OC[C@@H](O)CN2CC[C@](F)(C(=O)[O-])C2)c1 ZINC000832926272 601440277 /nfs/dbraw/zinc/44/02/77/601440277.db2.gz KYDYKFCOMYQTBU-XJKSGUPXSA-N -1 1 311.353 1.542 20 0 DDADMM CCCc1cc(C(=O)N(CCC(=O)[O-])Cc2ccncc2)n[nH]1 ZINC000829562405 601513445 /nfs/dbraw/zinc/51/34/45/601513445.db2.gz CUEPRPRHMKXXTA-UHFFFAOYSA-N -1 1 316.361 1.874 20 0 DDADMM CCN1CCCC[C@H]1CNS(=O)(=O)CC1(CC(=O)[O-])CC1 ZINC000829770724 601514463 /nfs/dbraw/zinc/51/44/63/601514463.db2.gz MPUNWJSIZIGMGI-LBPRGKRZSA-N -1 1 318.439 1.035 20 0 DDADMM CCc1cnc(CN(C)Cc2ccnc(-c3nnn[n-]3)c2)s1 ZINC000825736115 607510914 /nfs/dbraw/zinc/51/09/14/607510914.db2.gz WCLYYJNWEFZWOQ-UHFFFAOYSA-N -1 1 315.406 1.913 20 0 DDADMM CCc1cnc(CN(C)Cc2ccnc(-c3nn[n-]n3)c2)s1 ZINC000825736115 607510916 /nfs/dbraw/zinc/51/09/16/607510916.db2.gz WCLYYJNWEFZWOQ-UHFFFAOYSA-N -1 1 315.406 1.913 20 0 DDADMM COC(=O)c1cccc(NC(=O)CN2C[C@H](C(=O)[O-])[C@H](C)C2)c1 ZINC000831453044 601523145 /nfs/dbraw/zinc/52/31/45/601523145.db2.gz FQMBMULHYOIVQW-MFKMUULPSA-N -1 1 320.345 1.064 20 0 DDADMM C[C@@H](C(=O)[O-])[C@H](NC(=O)CCc1nc[nH]n1)c1ccccc1 ZINC000826031673 601608757 /nfs/dbraw/zinc/60/87/57/601608757.db2.gz RFDYNVLTMYMBLC-YGRLFVJLSA-N -1 1 302.334 1.316 20 0 DDADMM O=C([O-])c1ccc(CN2CCN(C[C@H]3CCCO3)CC2)cc1F ZINC000833314504 601614415 /nfs/dbraw/zinc/61/44/15/601614415.db2.gz SPUOMAFABZIPPN-CQSZACIVSA-N -1 1 322.380 1.821 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1CC[C@](C)(C(=O)[O-])C1 ZINC000316711243 601913734 /nfs/dbraw/zinc/91/37/34/601913734.db2.gz RPVSFFZYCIMEED-INIZCTEOSA-N -1 1 306.362 1.739 20 0 DDADMM C[C@H](C(=O)N1CCCCCC1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091131718 601917724 /nfs/dbraw/zinc/91/77/24/601917724.db2.gz AESSHIIBVLGQRJ-OLZOCXBDSA-N -1 1 314.451 1.670 20 0 DDADMM CCC(CC)NC(=O)[C@@H](C)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091131379 601926018 /nfs/dbraw/zinc/92/60/18/601926018.db2.gz PUQJUKLHVRWHSR-PWSUYJOCSA-N -1 1 302.440 1.572 20 0 DDADMM CCN(C(=O)CN1CC[C@@H](CNC(=O)[O-])C1)C1CCCCC1 ZINC000739382802 601952456 /nfs/dbraw/zinc/95/24/56/601952456.db2.gz WCMOLLCKSQLYQF-ZDUSSCGKSA-N -1 1 311.426 1.757 20 0 DDADMM Cn1nc2c(cc1=O)CN(Cc1ccc(C(=O)[O-])s1)CC2 ZINC000833060586 601962450 /nfs/dbraw/zinc/96/24/50/601962450.db2.gz RSEMNPLNAMNQML-UHFFFAOYSA-N -1 1 305.359 1.098 20 0 DDADMM CN(CCC(=O)N(C)CC(=O)[O-])Cc1cc(Cl)cn1C ZINC000830727466 601966415 /nfs/dbraw/zinc/96/64/15/601966415.db2.gz UQMBRABEKGUGQI-UHFFFAOYSA-N -1 1 301.774 1.043 20 0 DDADMM CC(C)C[C@@H]1CCC(=O)N(CN(CCC(=O)[O-])C(C)C)C1=O ZINC000826832476 602082185 /nfs/dbraw/zinc/08/21/85/602082185.db2.gz QWQJRVNFMWONCV-ZDUSSCGKSA-N -1 1 312.410 1.940 20 0 DDADMM O=c1onc(-c2ccccc2)n1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826501895 607545055 /nfs/dbraw/zinc/54/50/55/607545055.db2.gz LLKQOPFRKVZTGG-UHFFFAOYSA-N -1 1 321.300 1.127 20 0 DDADMM O=c1onc(-c2ccccc2)n1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826501895 607545056 /nfs/dbraw/zinc/54/50/56/607545056.db2.gz LLKQOPFRKVZTGG-UHFFFAOYSA-N -1 1 321.300 1.127 20 0 DDADMM N=C(NOCc1occc1C(=O)[O-])[C@@H]1COc2ccccc2O1 ZINC000833078829 602160735 /nfs/dbraw/zinc/16/07/35/602160735.db2.gz RQPBCQNNTHZPTR-ZDUSSCGKSA-N -1 1 318.285 1.816 20 0 DDADMM CC(C)[C@H](C(=O)Nc1nnc(CCCC(=O)[O-])s1)N(C)C ZINC000736349091 602174215 /nfs/dbraw/zinc/17/42/15/602174215.db2.gz FSUCSCWFWYSFHS-LLVKDONJSA-N -1 1 314.411 1.470 20 0 DDADMM C[C@H](NC(=O)OC(C)(C)C)[C@@H]1CN(CCCC(=O)[O-])CCO1 ZINC000820129522 602235548 /nfs/dbraw/zinc/23/55/48/602235548.db2.gz LIYKGRZXMDVRQJ-RYUDHWBXSA-N -1 1 316.398 1.465 20 0 DDADMM COC(=O)c1coc(CN2CCC(CN(C)C(=O)[O-])CC2)c1 ZINC000739792791 602381750 /nfs/dbraw/zinc/38/17/50/602381750.db2.gz MEQATWBYDNLRTB-UHFFFAOYSA-N -1 1 310.350 1.888 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1)N1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738631398 602539635 /nfs/dbraw/zinc/53/96/35/602539635.db2.gz VCWWSKNEAQEDBP-DZGCQCFKSA-N -1 1 319.405 1.623 20 0 DDADMM CN(C[C@H]1CC[N@H+](Cc2nc(=O)c3sccc3[n-]2)C1)C(=O)[O-] ZINC000739665664 602540023 /nfs/dbraw/zinc/54/00/23/602540023.db2.gz MVMHIPPVPBHCKN-SECBINFHSA-N -1 1 322.390 1.829 20 0 DDADMM CN(C[C@H]1CC[N@@H+](Cc2nc(=O)c3sccc3[n-]2)C1)C(=O)[O-] ZINC000739665664 602540024 /nfs/dbraw/zinc/54/00/24/602540024.db2.gz MVMHIPPVPBHCKN-SECBINFHSA-N -1 1 322.390 1.829 20 0 DDADMM CCN(CCNS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000739435038 602552583 /nfs/dbraw/zinc/55/25/83/602552583.db2.gz XVSHNVDSXKKQTO-UHFFFAOYSA-N -1 1 308.306 1.243 20 0 DDADMM COc1ccc(Br)cc1CNCCNC(=O)[O-] ZINC000739879854 602649991 /nfs/dbraw/zinc/64/99/91/602649991.db2.gz IEFLBDRILBNERN-UHFFFAOYSA-N -1 1 303.156 1.815 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3[nH]nnc3c2)C[C@H](C)N1C(=O)[O-] ZINC000739170431 602806844 /nfs/dbraw/zinc/80/68/44/602806844.db2.gz SAWKZWWZNBMZCR-IUCAKERBSA-N -1 1 303.322 1.171 20 0 DDADMM Cc1cc(CNC(=O)NCC2(NC(=O)[O-])CCCCC2)n[nH]1 ZINC000740073326 602909941 /nfs/dbraw/zinc/90/99/41/602909941.db2.gz PCFIHWMSCCWQRB-UHFFFAOYSA-N -1 1 309.370 1.488 20 0 DDADMM CC(C)N1CCN(C(=O)N[C@@H]2CCCCN(C(=O)[O-])C2)CC1 ZINC000738854026 602969105 /nfs/dbraw/zinc/96/91/05/602969105.db2.gz LGEVQEDHFRNOOQ-CYBMUJFWSA-N -1 1 312.414 1.255 20 0 DDADMM C[C@H](CCNC(=O)N1C[C@@H]2CCCCN2C[C@@H]1C)NC(=O)[O-] ZINC000738901325 602994283 /nfs/dbraw/zinc/99/42/83/602994283.db2.gz VFIAOVFMMMTJPW-AGIUHOORSA-N -1 1 312.414 1.301 20 0 DDADMM Nc1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)cc1F ZINC000826396262 607612961 /nfs/dbraw/zinc/61/29/61/607612961.db2.gz OUSYCTHLEFSXBR-UHFFFAOYSA-N -1 1 314.280 1.340 20 0 DDADMM Nc1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)cc1F ZINC000826396262 607612962 /nfs/dbraw/zinc/61/29/62/607612962.db2.gz OUSYCTHLEFSXBR-UHFFFAOYSA-N -1 1 314.280 1.340 20 0 DDADMM C[C@@H](CCNC(=O)c1c[nH]c(-c2ccccc2)n1)NC(=O)[O-] ZINC000738907896 603194820 /nfs/dbraw/zinc/19/48/20/603194820.db2.gz UTDZGRDDYNHZAH-JTQLQIEISA-N -1 1 302.334 1.853 20 0 DDADMM CN(CCCNC(=O)[O-])[C@H]1CCN(c2ccccc2F)C1=O ZINC000739676501 603256385 /nfs/dbraw/zinc/25/63/85/603256385.db2.gz LWHBXLVCYGRODJ-ZDUSSCGKSA-N -1 1 309.341 1.521 20 0 DDADMM CCc1cc(CNC(=O)NCC[C@@H]2CCN(C(=O)[O-])C2)n[nH]1 ZINC000739540153 603306831 /nfs/dbraw/zinc/30/68/31/603306831.db2.gz SLMRSPJKYYQUGY-SNVBAGLBSA-N -1 1 309.370 1.161 20 0 DDADMM CN(CC(=O)NCc1cccs1)C[C@@H]1CCN(C(=O)[O-])C1 ZINC000739625781 603345261 /nfs/dbraw/zinc/34/52/61/603345261.db2.gz UGKCOLORTQEHMU-NSHDSACASA-N -1 1 311.407 1.296 20 0 DDADMM CN1CCN(C(=O)CC2CN(C(=O)[O-])C2)C2(CCCCC2)C1 ZINC000828494899 603456356 /nfs/dbraw/zinc/45/63/56/603456356.db2.gz MUNCAKLTZLNTJY-UHFFFAOYSA-N -1 1 309.410 1.463 20 0 DDADMM CCN(CC1CCN([C@@H](C)C(=O)NCC(C)C)CC1)C(=O)[O-] ZINC000827089916 603510091 /nfs/dbraw/zinc/51/00/91/603510091.db2.gz ZGOOAVZXTJCSSL-ZDUSSCGKSA-N -1 1 313.442 1.859 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CC(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000831321706 603549837 /nfs/dbraw/zinc/54/98/37/603549837.db2.gz XFCOLXQXJZWWNJ-GFCCVEGCSA-N -1 1 306.366 1.648 20 0 DDADMM CC[C@H]1CCCN(CN2C[C@H]3CN(C(=O)[O-])CCN3C2=O)C1 ZINC000826675453 603596556 /nfs/dbraw/zinc/59/65/56/603596556.db2.gz KWDCJJSYMCDRPM-QWHCGFSZSA-N -1 1 310.398 1.166 20 0 DDADMM CC(C)(CNC(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000823955920 603614802 /nfs/dbraw/zinc/61/48/02/603614802.db2.gz JAIHDNVENIQMAY-GFCCVEGCSA-N -1 1 323.393 1.827 20 0 DDADMM Cc1csc(=O)n1CCN1CCC[C@@H](C)[C@H]1CNC(=O)[O-] ZINC000830655410 603716656 /nfs/dbraw/zinc/71/66/56/603716656.db2.gz PWBSAGFBHNVYMT-ZYHUDNBSSA-N -1 1 313.423 1.586 20 0 DDADMM C[C@H](NC(=O)[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)[O-])c1nnc[nH]1 ZINC000825081942 603798473 /nfs/dbraw/zinc/79/84/73/603798473.db2.gz IRXRBXRTHUGUEL-NAKRPEOUSA-N -1 1 307.354 1.293 20 0 DDADMM C[C@H](C(=O)N[C@@H]1CCCN(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823685837 603798872 /nfs/dbraw/zinc/79/88/72/603798872.db2.gz MTCAYIULMJRKNR-UKRRQHHQSA-N -1 1 319.405 1.766 20 0 DDADMM O=C([O-])N1CCc2cccc(CN[C@@H]3CCS(=O)(=O)C3)c21 ZINC000832086258 603847706 /nfs/dbraw/zinc/84/77/06/603847706.db2.gz CVTGSSOIOOSDQP-GFCCVEGCSA-N -1 1 310.375 1.004 20 0 DDADMM CC(C)[C@@H](CCN(C)[C@H](C)C(=O)NC(C)(C)C)NC(=O)[O-] ZINC000824097722 603850636 /nfs/dbraw/zinc/85/06/36/603850636.db2.gz ADTRGOMVRNDGRQ-VXGBXAGGSA-N -1 1 301.431 1.904 20 0 DDADMM C[C@H](C(=O)NCCc1nc[nH]n1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823703008 603925051 /nfs/dbraw/zinc/92/50/51/603925051.db2.gz NCWLTKXSIXCUSN-GXFFZTMASA-N -1 1 317.349 1.108 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@@H]1CCN(C(=O)[O-])C1 ZINC000829832918 603941118 /nfs/dbraw/zinc/94/11/18/603941118.db2.gz CPDXAFRLKXVQRS-ZCFIWIBFSA-N -1 1 306.244 1.219 20 0 DDADMM C[C@@H](C(=O)NCCCN(Cc1cccnc1)C(=O)[O-])N(C)C ZINC000829784577 603949932 /nfs/dbraw/zinc/94/99/32/603949932.db2.gz XPRPRKCZVXCXRG-LBPRGKRZSA-N -1 1 308.382 1.018 20 0 DDADMM CN(C(=O)[C@@H]1CCCc2[nH]ncc21)C1CCC(NC(=O)[O-])CC1 ZINC000827744889 603954446 /nfs/dbraw/zinc/95/44/46/603954446.db2.gz CXJWSNMGRJSMGY-HTAVTVPLSA-N -1 1 320.393 1.867 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C[N@@H+]1C1CC1 ZINC000825851459 604018451 /nfs/dbraw/zinc/01/84/51/604018451.db2.gz HSMZKRLGMZZVNQ-ONGXEEELSA-N -1 1 319.361 1.837 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C[N@H+]1C1CC1 ZINC000825851459 604018457 /nfs/dbraw/zinc/01/84/57/604018457.db2.gz HSMZKRLGMZZVNQ-ONGXEEELSA-N -1 1 319.361 1.837 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(CN2C(=O)C(C)(C)C2(C)C)C1 ZINC000825262915 604026458 /nfs/dbraw/zinc/02/64/58/604026458.db2.gz CXHRRZHOGPZBFI-VXGBXAGGSA-N -1 1 311.426 1.959 20 0 DDADMM COC(=O)/C(C)=C/CN1CCC([C@H]2CCCN2C(=O)[O-])CC1 ZINC000828599510 604091693 /nfs/dbraw/zinc/09/16/93/604091693.db2.gz AKYGEYPGJVBLNS-IMBBRHANSA-N -1 1 310.394 1.960 20 0 DDADMM CNC(=O)c1cccc(CN2CCC[C@@H]2CN(C)C(=O)[O-])c1 ZINC000828566987 604106518 /nfs/dbraw/zinc/10/65/18/604106518.db2.gz OLIMMWHCGDDVJD-CQSZACIVSA-N -1 1 305.378 1.620 20 0 DDADMM COc1ccccc1[C@@H](CNC(=O)[C@H](C)N(C)C)NC(=O)[O-] ZINC000829600112 604150396 /nfs/dbraw/zinc/15/03/96/604150396.db2.gz YBJSIVJCOKQEJW-CMPLNLGQSA-N -1 1 309.366 1.070 20 0 DDADMM CCN(CC)C(=O)CN1CCC(N(CC2CC2)C(=O)[O-])CC1 ZINC000827023785 604229578 /nfs/dbraw/zinc/22/95/78/604229578.db2.gz AQFRQXHPVVVYDJ-UHFFFAOYSA-N -1 1 311.426 1.709 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(Cc2cn3cccnc3n2)C1 ZINC000825270539 604253996 /nfs/dbraw/zinc/25/39/96/604253996.db2.gz BGNVNYPTIZRHDH-RYUDHWBXSA-N -1 1 303.366 1.597 20 0 DDADMM C[C@@H](NC(=O)[O-])c1ccc(NC(=O)NCCc2nc[nH]n2)cc1 ZINC000825434909 604279770 /nfs/dbraw/zinc/27/97/70/604279770.db2.gz YPYYKEIYBYGIAT-SECBINFHSA-N -1 1 318.337 1.498 20 0 DDADMM O=C([O-])NCCN1CCCC[C@H]1CNC(=O)c1ccccc1 ZINC000832641924 604370939 /nfs/dbraw/zinc/37/09/39/604370939.db2.gz OMFMQNPIDZCYAF-AWEZNQCLSA-N -1 1 305.378 1.539 20 0 DDADMM C[C@H](C(=O)c1c[nH]c2ncccc12)N1CCC(C(=O)[O-])CC1 ZINC000819901385 604468798 /nfs/dbraw/zinc/46/87/98/604468798.db2.gz BTIKWLLZQXILOK-SNVBAGLBSA-N -1 1 301.346 1.931 20 0 DDADMM C[C@H](C(=O)c1c[nH]c2ncccc12)N1CCC[C@H](C(=O)[O-])C1 ZINC000833407979 604469986 /nfs/dbraw/zinc/46/99/86/604469986.db2.gz BAWKVBXULNIRSV-MNOVXSKESA-N -1 1 301.346 1.931 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccsc2C(=O)[O-])[C@@H](C)CN1C ZINC000833627424 604571970 /nfs/dbraw/zinc/57/19/70/604571970.db2.gz RYKLVUPBLLWSJN-UWVGGRQHSA-N -1 1 311.407 1.409 20 0 DDADMM C[C@@H]1CN(C)C[C@H](C)N1C(=O)c1cccc(OCC(=O)[O-])c1 ZINC000833620703 604580576 /nfs/dbraw/zinc/58/05/76/604580576.db2.gz DIZQXMLFXHDHOL-TXEJJXNPSA-N -1 1 306.362 1.315 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H]1CN1CC[C@@](F)(C(=O)[O-])C1 ZINC000833440503 604681904 /nfs/dbraw/zinc/68/19/04/604681904.db2.gz CEIKJKZGVOCESZ-NHYWBVRUSA-N -1 1 316.373 1.885 20 0 DDADMM CCNC(=O)NC(=O)CN(CCCC(=O)[O-])Cc1ccccc1 ZINC000829799214 604783155 /nfs/dbraw/zinc/78/31/55/604783155.db2.gz FWXBGQUNWWRMKU-UHFFFAOYSA-N -1 1 321.377 1.199 20 0 DDADMM CC[C@]1(C(C)C)NC(=O)N(CN2CCC[C@@H]2CC(=O)[O-])C1=O ZINC000829043875 604974652 /nfs/dbraw/zinc/97/46/52/604974652.db2.gz GWZOIGVNVFWQJC-IAQYHMDHSA-N -1 1 311.382 1.240 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)Nc2ccc(CC(=O)[O-])cc2)n[nH]1 ZINC000833674072 605019939 /nfs/dbraw/zinc/01/99/39/605019939.db2.gz OZJXIKSGZCBOJN-SECBINFHSA-N -1 1 317.349 1.877 20 0 DDADMM C[C@@H]1C[C@@H](NS(=O)(=O)c2ccsc2C(=O)[O-])CCN1C ZINC000157605376 605039716 /nfs/dbraw/zinc/03/97/16/605039716.db2.gz RIEAJQRAGQZUTL-BDAKNGLRSA-N -1 1 318.420 1.207 20 0 DDADMM C[C@@H]1CN(C2CC2)C[C@H]1NS(=O)(=O)c1ccccc1C(=O)[O-] ZINC000833625000 605047303 /nfs/dbraw/zinc/04/73/03/605047303.db2.gz MPOVYKJKXBJWAX-ZWNOBZJWSA-N -1 1 324.402 1.146 20 0 DDADMM C[C@](O)(CC(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1)C1CC1 ZINC000833525209 605058600 /nfs/dbraw/zinc/05/86/00/605058600.db2.gz PXMOFFCOYBDOCJ-HNNXBMFYSA-N -1 1 303.318 1.751 20 0 DDADMM COc1ccccc1N1CC[C@@H](N[C@H](C(=O)[O-])C2CC2)C1=O ZINC000833736378 605069471 /nfs/dbraw/zinc/06/94/71/605069471.db2.gz HSSCLYNUWSVZCJ-RISCZKNCSA-N -1 1 304.346 1.253 20 0 DDADMM CC(C)[C@H]1CC[C@H](C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CC1 ZINC000833508494 605079755 /nfs/dbraw/zinc/07/97/55/605079755.db2.gz HOZKFOSFYIVFQM-OBJOEFQTSA-N -1 1 307.394 1.984 20 0 DDADMM C[C@H](CN(CN1C[C@H](c2cccnc2)CC1=O)C1CC1)C(=O)[O-] ZINC000833516012 605120735 /nfs/dbraw/zinc/12/07/35/605120735.db2.gz DJPHLPKHMCUHCF-TZMCWYRMSA-N -1 1 317.389 1.540 20 0 DDADMM COCc1cccc(C(=O)N2CCN(CCC(=O)[O-])[C@@H](C)C2)c1 ZINC000833725646 605250516 /nfs/dbraw/zinc/25/05/16/605250516.db2.gz DDGDHEUSXWWXJE-ZDUSSCGKSA-N -1 1 320.389 1.454 20 0 DDADMM C[C@H]1CN(C(=O)c2ccccc2Cl)CCN1CCC(=O)[O-] ZINC000833620512 605256996 /nfs/dbraw/zinc/25/69/96/605256996.db2.gz GGACSHAILOZAJV-NSHDSACASA-N -1 1 310.781 1.961 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NCC[C@H]2CCN(C(=O)[O-])C2)n[nH]1 ZINC000830036171 605292295 /nfs/dbraw/zinc/29/22/95/605292295.db2.gz LCWJYQSWFSBCGN-PWSUYJOCSA-N -1 1 323.397 1.338 20 0 DDADMM COc1cc(CN(C)[C@@H]2CCN(C(=O)[O-])C2)cc2c1OCO2 ZINC000833887387 605589715 /nfs/dbraw/zinc/58/97/15/605589715.db2.gz PTEQJEXMMCXGMT-LLVKDONJSA-N -1 1 308.334 1.608 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000825832513 605766986 /nfs/dbraw/zinc/76/69/86/605766986.db2.gz SWTRIMURWPZTRS-ONGXEEELSA-N -1 1 318.333 1.930 20 0 DDADMM CC(C)CN1CCO[C@H](COC(=O)CN(C(=O)[O-])C2CC2)C1 ZINC000833797589 605982980 /nfs/dbraw/zinc/98/29/80/605982980.db2.gz ZKKZWZBFEZTCOJ-ZDUSSCGKSA-N -1 1 314.382 1.029 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCO[C@@H](Cc3ccccc3)C2)C1 ZINC000834077273 606047320 /nfs/dbraw/zinc/04/73/20/606047320.db2.gz DWXCAXKFNVNCNG-HOTGVXAUSA-N -1 1 304.390 1.930 20 0 DDADMM Cn1cc(CN[C@H]2CN(C(=O)[O-])CC[C@H]2c2ccccc2)nn1 ZINC000833946150 606052689 /nfs/dbraw/zinc/05/26/89/606052689.db2.gz IFZMKHYNTBTVST-GJZGRUSLSA-N -1 1 315.377 1.441 20 0 DDADMM CS[C@H]1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000821951496 606163622 /nfs/dbraw/zinc/16/36/22/606163622.db2.gz ZFUMLHXDEXTUBT-SFYZADRCSA-N -1 1 322.398 1.006 20 0 DDADMM CS[C@H]1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000821951496 606163625 /nfs/dbraw/zinc/16/36/25/606163625.db2.gz ZFUMLHXDEXTUBT-SFYZADRCSA-N -1 1 322.398 1.006 20 0 DDADMM Cc1cc(=O)n(C)cc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822161613 606188617 /nfs/dbraw/zinc/18/86/17/606188617.db2.gz QXWXNAXSDUISNJ-UHFFFAOYSA-N -1 1 316.346 1.188 20 0 DDADMM Cc1cc(=O)n(C)cc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822161613 606188618 /nfs/dbraw/zinc/18/86/18/606188618.db2.gz QXWXNAXSDUISNJ-UHFFFAOYSA-N -1 1 316.346 1.188 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccc(Cl)cn1 ZINC000823294467 606297073 /nfs/dbraw/zinc/29/70/73/606297073.db2.gz BMNBGJSDCHPGPQ-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM C[C@H]1CC[C@H](CCC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])O1 ZINC000820690340 606297568 /nfs/dbraw/zinc/29/75/68/606297568.db2.gz JVSYSJHGKCEMKK-GXSJLCMTSA-N -1 1 317.349 1.859 20 0 DDADMM OCCCc1cn(-c2ccc(Cl)c(-c3nnn[n-]3)n2)cn1 ZINC000823722037 606465712 /nfs/dbraw/zinc/46/57/12/606465712.db2.gz JZZWGGCILJUJIM-UHFFFAOYSA-N -1 1 305.729 1.026 20 0 DDADMM OCCCc1cn(-c2ccc(Cl)c(-c3nn[n-]n3)n2)cn1 ZINC000823722037 606465713 /nfs/dbraw/zinc/46/57/13/606465713.db2.gz JZZWGGCILJUJIM-UHFFFAOYSA-N -1 1 305.729 1.026 20 0 DDADMM CSCc1ccc(C(=O)Nc2n[nH]cc2-c2nnn[n-]2)cc1 ZINC000821969887 606531958 /nfs/dbraw/zinc/53/19/58/606531958.db2.gz GOUKOVCSYKFPQS-UHFFFAOYSA-N -1 1 315.362 1.705 20 0 DDADMM CSCc1ccc(C(=O)Nc2n[nH]cc2-c2nn[n-]n2)cc1 ZINC000821969887 606531960 /nfs/dbraw/zinc/53/19/60/606531960.db2.gz GOUKOVCSYKFPQS-UHFFFAOYSA-N -1 1 315.362 1.705 20 0 DDADMM O=C(Nc1cnn(CC2CC2)c1)c1ccc(-c2nnn[n-]2)s1 ZINC000823375996 606580283 /nfs/dbraw/zinc/58/02/83/606580283.db2.gz UKMINQQFYFYGCU-UHFFFAOYSA-N -1 1 315.362 1.787 20 0 DDADMM O=C(Nc1cnn(CC2CC2)c1)c1ccc(-c2nn[n-]n2)s1 ZINC000823375996 606580284 /nfs/dbraw/zinc/58/02/84/606580284.db2.gz UKMINQQFYFYGCU-UHFFFAOYSA-N -1 1 315.362 1.787 20 0 DDADMM CC[C@@](C)(CC(=O)OC)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820852618 606582030 /nfs/dbraw/zinc/58/20/30/606582030.db2.gz DJFMSVNLUNTQFX-ZDUSSCGKSA-N -1 1 323.378 1.390 20 0 DDADMM CC[C@@](C)(CC(=O)OC)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820852618 606582032 /nfs/dbraw/zinc/58/20/32/606582032.db2.gz DJFMSVNLUNTQFX-ZDUSSCGKSA-N -1 1 323.378 1.390 20 0 DDADMM C[C@@](O)(CNC(=O)c1ccc(-c2nnn[n-]2)s1)c1ccco1 ZINC000820467662 606598587 /nfs/dbraw/zinc/59/85/87/606598587.db2.gz LCZAVOOTVDRPES-CYBMUJFWSA-N -1 1 319.346 1.159 20 0 DDADMM C[C@@](O)(CNC(=O)c1ccc(-c2nn[n-]n2)s1)c1ccco1 ZINC000820467662 606598588 /nfs/dbraw/zinc/59/85/88/606598588.db2.gz LCZAVOOTVDRPES-CYBMUJFWSA-N -1 1 319.346 1.159 20 0 DDADMM CCc1cccc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)c1O ZINC000821491872 607103108 /nfs/dbraw/zinc/10/31/08/607103108.db2.gz ADQKDYHVZJKTQF-UHFFFAOYSA-N -1 1 316.346 1.844 20 0 DDADMM CCc1cccc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)c1O ZINC000821491872 607103110 /nfs/dbraw/zinc/10/31/10/607103110.db2.gz ADQKDYHVZJKTQF-UHFFFAOYSA-N -1 1 316.346 1.844 20 0 DDADMM O=C(Cc1ccc(-c2nn[nH]n2)cc1)Nc1ccc(F)cc1[O-] ZINC000823078612 607160395 /nfs/dbraw/zinc/16/03/95/607160395.db2.gz PGCCDVXWPMGPTI-UHFFFAOYSA-N -1 1 313.292 1.893 20 0 DDADMM COC[C@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)C(F)(F)F ZINC000826152135 607780070 /nfs/dbraw/zinc/78/00/70/607780070.db2.gz RLGJUNSXNLXCGG-ZETCQYMHSA-N -1 1 322.268 1.662 20 0 DDADMM COC[C@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)C(F)(F)F ZINC000826152135 607780071 /nfs/dbraw/zinc/78/00/71/607780071.db2.gz RLGJUNSXNLXCGG-ZETCQYMHSA-N -1 1 322.268 1.662 20 0 DDADMM c1cc(N2CCCC2)ncc1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826517021 607989513 /nfs/dbraw/zinc/98/95/13/607989513.db2.gz MIAHTVDVTBZGLE-UHFFFAOYSA-N -1 1 323.364 1.264 20 0 DDADMM c1cc(N2CCCC2)ncc1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826517021 607989514 /nfs/dbraw/zinc/98/95/14/607989514.db2.gz MIAHTVDVTBZGLE-UHFFFAOYSA-N -1 1 323.364 1.264 20 0 DDADMM c1c(CNc2ccc(-c3nnn[n-]3)nn2)noc1-c1ccccc1 ZINC000826519135 607990428 /nfs/dbraw/zinc/99/04/28/607990428.db2.gz LRDYXKQYBLZHSK-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM c1c(CNc2ccc(-c3nn[n-]n3)nn2)noc1-c1ccccc1 ZINC000826519135 607990429 /nfs/dbraw/zinc/99/04/29/607990429.db2.gz LRDYXKQYBLZHSK-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM C[C@@H]1CCCC[C@H]1N(C)C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000824938236 608148093 /nfs/dbraw/zinc/14/80/93/608148093.db2.gz UZNSAYWEBXWVNU-GHMZBOCLSA-N -1 1 303.370 1.100 20 0 DDADMM C[C@@H]1CCCC[C@H]1N(C)C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000824938236 608148094 /nfs/dbraw/zinc/14/80/94/608148094.db2.gz UZNSAYWEBXWVNU-GHMZBOCLSA-N -1 1 303.370 1.100 20 0 DDADMM CC(C)(C)C[C@@H](CO)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000824078820 608162974 /nfs/dbraw/zinc/16/29/74/608162974.db2.gz KUSXIDICPGTLOE-QMMMGPOBSA-N -1 1 309.395 1.455 20 0 DDADMM CC(C)(C)C[C@@H](CO)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000824078820 608162975 /nfs/dbraw/zinc/16/29/75/608162975.db2.gz KUSXIDICPGTLOE-QMMMGPOBSA-N -1 1 309.395 1.455 20 0 DDADMM CCN(C[C@H]1CCOC1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825415875 608191537 /nfs/dbraw/zinc/19/15/37/608191537.db2.gz FEDSTKMJWLXSOA-SSDOTTSWSA-N -1 1 314.802 1.839 20 0 DDADMM CCN(C[C@H]1CCOC1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825415875 608191539 /nfs/dbraw/zinc/19/15/39/608191539.db2.gz FEDSTKMJWLXSOA-SSDOTTSWSA-N -1 1 314.802 1.839 20 0 DDADMM c1ccc([C@@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@H]2CCOC2)cc1 ZINC000826520676 608238567 /nfs/dbraw/zinc/23/85/67/608238567.db2.gz PIJYSGFDPPTSKN-SWLSCSKDSA-N -1 1 323.360 1.846 20 0 DDADMM c1ccc([C@@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@H]2CCOC2)cc1 ZINC000826520676 608238568 /nfs/dbraw/zinc/23/85/68/608238568.db2.gz PIJYSGFDPPTSKN-SWLSCSKDSA-N -1 1 323.360 1.846 20 0 DDADMM C[C@@]1(O)CCCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000824844305 608304964 /nfs/dbraw/zinc/30/49/64/608304964.db2.gz VIXRVVKKWXOPBV-OAHLLOKOSA-N -1 1 311.349 1.161 20 0 DDADMM C[C@@]1(O)CCCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000824844305 608304966 /nfs/dbraw/zinc/30/49/66/608304966.db2.gz VIXRVVKKWXOPBV-OAHLLOKOSA-N -1 1 311.349 1.161 20 0 DDADMM Cc1oc(NC(=O)CN2CCC=C(F)C2)c(-c2nn[n-]n2)c1C ZINC000826341736 608384642 /nfs/dbraw/zinc/38/46/42/608384642.db2.gz OYUGXTYDJDYTFU-UHFFFAOYSA-N -1 1 320.328 1.574 20 0 DDADMM COc1cc(OC)cc(Oc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826180975 608390301 /nfs/dbraw/zinc/39/03/01/608390301.db2.gz GNYJGKKEHDMZFH-UHFFFAOYSA-N -1 1 300.278 1.466 20 0 DDADMM COc1cc(OC)cc(Oc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826180975 608390303 /nfs/dbraw/zinc/39/03/03/608390303.db2.gz GNYJGKKEHDMZFH-UHFFFAOYSA-N -1 1 300.278 1.466 20 0 DDADMM Fc1cc(F)cc(CCNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826372942 608408613 /nfs/dbraw/zinc/40/86/13/608408613.db2.gz SPPAOIDPJGDKHN-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM Fc1cc(F)cc(CCNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826372942 608408614 /nfs/dbraw/zinc/40/86/14/608408614.db2.gz SPPAOIDPJGDKHN-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM CN(C[C@H]1OCCc2ccccc21)c1ccc(-c2nnn[n-]2)nn1 ZINC000825915313 608410202 /nfs/dbraw/zinc/41/02/02/608410202.db2.gz XNJDIVDDWBOADA-CQSZACIVSA-N -1 1 323.360 1.407 20 0 DDADMM CN(C[C@H]1OCCc2ccccc21)c1ccc(-c2nn[n-]n2)nn1 ZINC000825915313 608410203 /nfs/dbraw/zinc/41/02/03/608410203.db2.gz XNJDIVDDWBOADA-CQSZACIVSA-N -1 1 323.360 1.407 20 0 DDADMM C[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)[C@H](O)c1ccc(F)cc1 ZINC000824647675 608416761 /nfs/dbraw/zinc/41/67/61/608416761.db2.gz BJTYWUBDQPDNNX-OQPBUACISA-N -1 1 315.312 1.330 20 0 DDADMM C[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)[C@H](O)c1ccc(F)cc1 ZINC000824647675 608416763 /nfs/dbraw/zinc/41/67/63/608416763.db2.gz BJTYWUBDQPDNNX-OQPBUACISA-N -1 1 315.312 1.330 20 0 DDADMM Fc1ccc(CCNc2ccc(-c3nnn[n-]3)nn2)c(F)c1 ZINC000826374211 608419050 /nfs/dbraw/zinc/41/90/50/608419050.db2.gz QDMXYCSLKFOYRA-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM Fc1ccc(CCNc2ccc(-c3nn[n-]n3)nn2)c(F)c1 ZINC000826374211 608419052 /nfs/dbraw/zinc/41/90/52/608419052.db2.gz QDMXYCSLKFOYRA-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1NCCOC1CCCCCC1 ZINC000826514728 608421989 /nfs/dbraw/zinc/42/19/89/608421989.db2.gz BAHLPRLLKZWWOW-UHFFFAOYSA-N -1 1 303.370 1.808 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1NCCOC1CCCCCC1 ZINC000826514728 608421990 /nfs/dbraw/zinc/42/19/90/608421990.db2.gz BAHLPRLLKZWWOW-UHFFFAOYSA-N -1 1 303.370 1.808 20 0 DDADMM CN(CCOCc1ccccc1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825942060 608431622 /nfs/dbraw/zinc/43/16/22/608431622.db2.gz URYVIUTYJUQIFX-UHFFFAOYSA-N -1 1 311.349 1.310 20 0 DDADMM CN(CCOCc1ccccc1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825942060 608431623 /nfs/dbraw/zinc/43/16/23/608431623.db2.gz URYVIUTYJUQIFX-UHFFFAOYSA-N -1 1 311.349 1.310 20 0 DDADMM c1ccc2c(c1)[nH]c1c2CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000826524473 608439542 /nfs/dbraw/zinc/43/95/42/608439542.db2.gz WQLDYNYRAQREIZ-UHFFFAOYSA-N -1 1 318.344 1.701 20 0 DDADMM c1ccc2c(c1)[nH]c1c2CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000826524473 608439544 /nfs/dbraw/zinc/43/95/44/608439544.db2.gz WQLDYNYRAQREIZ-UHFFFAOYSA-N -1 1 318.344 1.701 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1N(C)Cc1ccc2c(c1)OCO2 ZINC000826280075 608541233 /nfs/dbraw/zinc/54/12/33/608541233.db2.gz MTWYYPMGAPHELN-UHFFFAOYSA-N -1 1 324.344 1.935 20 0 DDADMM c1cncc(C2CCN(c3nccnc3-c3nnn[n-]3)CC2)c1 ZINC000826525670 608554223 /nfs/dbraw/zinc/55/42/23/608554223.db2.gz UQMHKVALGBBDLY-UHFFFAOYSA-N -1 1 308.349 1.436 20 0 DDADMM c1cncc(C2CCN(c3nccnc3-c3nn[n-]n3)CC2)c1 ZINC000826525670 608554225 /nfs/dbraw/zinc/55/42/25/608554225.db2.gz UQMHKVALGBBDLY-UHFFFAOYSA-N -1 1 308.349 1.436 20 0 DDADMM Clc1ccc(N2CCC[C@@H]2c2ncc[nH]2)nc1-c1nnn[n-]1 ZINC000826351986 609385333 /nfs/dbraw/zinc/38/53/33/609385333.db2.gz IWOXZBSGWCXFCT-SECBINFHSA-N -1 1 316.756 1.980 20 0 DDADMM Clc1ccc(N2CCC[C@@H]2c2ncc[nH]2)nc1-c1nn[n-]n1 ZINC000826351986 609385335 /nfs/dbraw/zinc/38/53/35/609385335.db2.gz IWOXZBSGWCXFCT-SECBINFHSA-N -1 1 316.756 1.980 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1cncc(F)c1 ZINC000824513715 609417600 /nfs/dbraw/zinc/41/76/00/609417600.db2.gz GPLVEYRRIQQGEH-QMMMGPOBSA-N -1 1 313.296 1.287 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1cncc(F)c1 ZINC000824513715 609417603 /nfs/dbraw/zinc/41/76/03/609417603.db2.gz GPLVEYRRIQQGEH-QMMMGPOBSA-N -1 1 313.296 1.287 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2ccccc2C(=O)N(C)C)[n-]1 ZINC000796963552 699988251 /nfs/dbraw/zinc/98/82/51/699988251.db2.gz SHPSRKMLQBSRGW-UHFFFAOYSA-N -1 1 316.313 1.722 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)C2CCCC2)C1)c1ncccc1[O-] ZINC000972881822 695352076 /nfs/dbraw/zinc/35/20/76/695352076.db2.gz UMTHYLFLCAZFGO-HAQNSBGRSA-N -1 1 303.362 1.354 20 0 DDADMM Cc1ccoc1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000973878347 695577625 /nfs/dbraw/zinc/57/76/25/695577625.db2.gz FTHWWBPUMJBKGB-PHIMTYICSA-N -1 1 315.329 1.379 20 0 DDADMM Cc1cocc1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974054315 695629107 /nfs/dbraw/zinc/62/91/07/695629107.db2.gz DQFZSDMLISIBSS-PHIMTYICSA-N -1 1 315.329 1.379 20 0 DDADMM O=C(c1cccc2ccccc21)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798145408 700044036 /nfs/dbraw/zinc/04/40/36/700044036.db2.gz KWOVGCVJPIBTIE-UHFFFAOYSA-N -1 1 323.356 1.477 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976407530 695972014 /nfs/dbraw/zinc/97/20/14/695972014.db2.gz YRSZDJBJAVBZLW-VINAVUOLSA-N -1 1 315.373 1.020 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3OCCc4ccccc43)ccnc1-2 ZINC000798235296 700051577 /nfs/dbraw/zinc/05/15/77/700051577.db2.gz ZDJNCUAOJBJRSQ-OAHLLOKOSA-N -1 1 308.341 1.594 20 0 DDADMM CCOc1ccccc1NC(CC)=C1C(=O)[N-]C(=S)NC1=O ZINC000009955132 696037727 /nfs/dbraw/zinc/03/77/27/696037727.db2.gz FWORVFDTWDUGEI-UHFFFAOYSA-N -1 1 319.386 1.692 20 0 DDADMM O=C([N-]NC(=O)C1CC1)c1cc2cc([N+](=O)[O-])ccc2s1 ZINC000015663195 696058465 /nfs/dbraw/zinc/05/84/65/696058465.db2.gz QSJMIAIGKJAKPV-UHFFFAOYSA-N -1 1 305.315 1.981 20 0 DDADMM COCCNC(=O)Cn1c(=S)[n-]nc1-c1ccc(C)cc1 ZINC000017321619 696064972 /nfs/dbraw/zinc/06/49/72/696064972.db2.gz LPCOVDBOVYXSJP-UHFFFAOYSA-N -1 1 306.391 1.679 20 0 DDADMM Cc1cc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)ncn1 ZINC000798405067 700062548 /nfs/dbraw/zinc/06/25/48/700062548.db2.gz YNANBVOKPKUXHT-UHFFFAOYSA-N -1 1 302.300 1.680 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2ccc(C)s2)n1 ZINC000031721231 696122452 /nfs/dbraw/zinc/12/24/52/696122452.db2.gz LIBLXMJOJZOTLO-UHFFFAOYSA-N -1 1 300.365 1.161 20 0 DDADMM COc1cc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)ccn1 ZINC000747352479 700065721 /nfs/dbraw/zinc/06/57/21/700065721.db2.gz IZALPQOZQWMBAT-UHFFFAOYSA-N -1 1 316.361 1.948 20 0 DDADMM CCN(CC)C(=O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000042629716 696149546 /nfs/dbraw/zinc/14/95/46/696149546.db2.gz ONQPKNIRNSZPQN-UHFFFAOYSA-N -1 1 324.324 1.251 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1ccc(=O)n(C)c1 ZINC000747367895 700066142 /nfs/dbraw/zinc/06/61/42/700066142.db2.gz UZOANWNIMPYSKQ-UHFFFAOYSA-N -1 1 302.334 1.565 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)CC(C)(C)C)C(=O)c1ncccc1[O-] ZINC000977607228 696232374 /nfs/dbraw/zinc/23/23/74/696232374.db2.gz FAWNGTCMPFSHGW-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)CC1CCC1)C(=O)c1ncccc1[O-] ZINC000977618825 696236531 /nfs/dbraw/zinc/23/65/31/696236531.db2.gz PCUMJXGCBNSOLC-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM CCC(=O)[C@H](C)OC(=O)c1ccccc1[N-]S(=O)(=O)CC ZINC000062294198 696322967 /nfs/dbraw/zinc/32/29/67/696322967.db2.gz PMXNYSACKHOVND-JTQLQIEISA-N -1 1 313.375 1.973 20 0 DDADMM CC[C@@H]1CN(CC(=O)N[N-]C(=O)c2cccs2)CCS1 ZINC000072862402 696397790 /nfs/dbraw/zinc/39/77/90/696397790.db2.gz ZVMQNKHVGYRVOH-SNVBAGLBSA-N -1 1 313.448 1.337 20 0 DDADMM CC(C)(C)[C@@H](NC(=O)Cc1sc(N)nc1[O-])C(F)(F)F ZINC000073133756 696401314 /nfs/dbraw/zinc/40/13/14/696401314.db2.gz CLMFNQKNMZCEJF-SVGQVSJJSA-N -1 1 311.329 1.426 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2ccc3ccccc3n2)s1 ZINC000079552512 696461767 /nfs/dbraw/zinc/46/17/67/696461767.db2.gz PHRMCZWLOFQUTQ-LBPRGKRZSA-N -1 1 314.370 1.198 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2cccc3cccnc32)s1 ZINC000079802668 696463894 /nfs/dbraw/zinc/46/38/94/696463894.db2.gz SEOHRWBBUDKJFB-NSHDSACASA-N -1 1 314.370 1.198 20 0 DDADMM CCC(=O)N[C@H]1C[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000978820944 696464883 /nfs/dbraw/zinc/46/48/83/696464883.db2.gz ODBXNCSCQWNZSE-JGZJWPJOSA-N -1 1 318.377 1.094 20 0 DDADMM CC(C)(C)C(=O)CSCC(=O)N[N-]C(=O)c1cccs1 ZINC000083120546 696551793 /nfs/dbraw/zinc/55/17/93/696551793.db2.gz HNWXTDCPLUIDHZ-UHFFFAOYSA-N -1 1 314.432 1.858 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000080609467 696536020 /nfs/dbraw/zinc/53/60/20/696536020.db2.gz NFPCNZPNMXZYQR-ONGXEEELSA-N -1 1 322.409 1.235 20 0 DDADMM COc1cccc(C(=O)NCCc2n[n-]c(=S)n2C(C)C)n1 ZINC000084188577 696556852 /nfs/dbraw/zinc/55/68/52/696556852.db2.gz UTWONSORXCAWQM-UHFFFAOYSA-N -1 1 321.406 1.898 20 0 DDADMM COC(=O)C[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)C1CC1 ZINC000084995512 696562196 /nfs/dbraw/zinc/56/21/96/696562196.db2.gz OBCHCTLJJYSYDT-JTQLQIEISA-N -1 1 319.329 1.585 20 0 DDADMM Cc1c2ccccc2oc1C(=O)[N-]NC(=O)[C@H]1CCO[C@H]1C ZINC000092210751 696593103 /nfs/dbraw/zinc/59/31/03/696593103.db2.gz ZWINWAVDDBACHO-JQWIXIFHSA-N -1 1 302.330 1.927 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1C[C@H]1c1cccc2ccccc21 ZINC000107759529 696631757 /nfs/dbraw/zinc/63/17/57/696631757.db2.gz WUYPQNQBPABTPB-UONOGXRCSA-N -1 1 309.325 1.526 20 0 DDADMM COc1ccccc1S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C ZINC000798834537 700100591 /nfs/dbraw/zinc/10/05/91/700100591.db2.gz ZVVVEDDNYWBQHZ-CYBMUJFWSA-N -1 1 314.407 1.086 20 0 DDADMM CC[C@H]1c2ccsc2CCN1C(=O)Cc1sc(N)nc1[O-] ZINC000120915340 696703518 /nfs/dbraw/zinc/70/35/18/696703518.db2.gz XUYAITRQXNGCNS-ONGXEEELSA-N -1 1 323.443 1.931 20 0 DDADMM O=C(Cc1ccon1)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799021328 700110144 /nfs/dbraw/zinc/11/01/44/700110144.db2.gz VSQOJRBLZAXKPR-UHFFFAOYSA-N -1 1 319.283 1.134 20 0 DDADMM CC(C)(C)OCC(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799022806 700110187 /nfs/dbraw/zinc/11/01/87/700110187.db2.gz PGVKGOVYNMCNSS-UHFFFAOYSA-N -1 1 324.343 1.719 20 0 DDADMM O=C(NCc1cc(Cl)ccn1)c1nc2ccccc2c(=O)[n-]1 ZINC000153337071 696913654 /nfs/dbraw/zinc/91/36/54/696913654.db2.gz HEAYYSGIBHVVIA-UHFFFAOYSA-N -1 1 314.732 1.902 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCN(CCOC3CCC3)CC2)c1[O-] ZINC000980982061 696969825 /nfs/dbraw/zinc/96/98/25/696969825.db2.gz UVNUBDMQNGETHL-UHFFFAOYSA-N -1 1 322.409 1.141 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982646937 697164488 /nfs/dbraw/zinc/16/44/88/697164488.db2.gz GNUCZACLFHHUPA-VXGBXAGGSA-N -1 1 317.389 1.412 20 0 DDADMM CC(C)C(=O)N1CC[C@@H](C)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000983629277 697284755 /nfs/dbraw/zinc/28/47/55/697284755.db2.gz VWFCUZJNRLPICP-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1ccc(N2CC[C@@H](NC(=O)c3cncc([O-])c3)C2=O)cc1 ZINC000173385708 697377727 /nfs/dbraw/zinc/37/77/27/697377727.db2.gz XAHJWJSPCPEXIV-OAHLLOKOSA-N -1 1 311.341 1.631 20 0 DDADMM Cc1ccc(N2CC[C@H](NC(=O)c3ncccc3[O-])C2=O)cc1 ZINC000173386397 697377769 /nfs/dbraw/zinc/37/77/69/697377769.db2.gz DNUMELSZNZGAOC-ZDUSSCGKSA-N -1 1 311.341 1.631 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]1O)c1ccc(Cl)nc1Cl ZINC000178642766 697426940 /nfs/dbraw/zinc/42/69/40/697426940.db2.gz WCCWWXGQCMYTHF-RNFRBKRXSA-N -1 1 311.190 1.580 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2ccc(Cl)cn2)c1Cl ZINC000180598753 697450040 /nfs/dbraw/zinc/45/00/40/697450040.db2.gz AIPXBQNZEXNWCM-UHFFFAOYSA-N -1 1 321.189 1.600 20 0 DDADMM Cn1nccc1CCNC(=O)c1ccc(Br)cc1[O-] ZINC000183780688 697493721 /nfs/dbraw/zinc/49/37/21/697493721.db2.gz QDMKXWQRDDOACK-UHFFFAOYSA-N -1 1 324.178 1.861 20 0 DDADMM CC(=O)N[C@H](CC(=O)[N-]O[C@H]1CCCCO1)c1cccs1 ZINC000749375284 700159528 /nfs/dbraw/zinc/15/95/28/700159528.db2.gz OFYCYDXMQDIWBD-RISCZKNCSA-N -1 1 312.391 1.890 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccccc1)c1[nH]nc2c1CCCCC2 ZINC000190726275 697595260 /nfs/dbraw/zinc/59/52/60/697595260.db2.gz QHSGOASFUZQXFJ-UHFFFAOYSA-N -1 1 319.386 1.797 20 0 DDADMM COC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCCCC1 ZINC000772442677 697632123 /nfs/dbraw/zinc/63/21/23/697632123.db2.gz NFFCCSPRYHFYEJ-UHFFFAOYSA-N -1 1 319.357 1.836 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OC1CCOCC1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000772707914 697663938 /nfs/dbraw/zinc/66/39/38/697663938.db2.gz PFLXGHNUIAEUBO-GUTXKFCHSA-N -1 1 314.426 1.347 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1cccs1 ZINC000773147266 697718464 /nfs/dbraw/zinc/71/84/64/697718464.db2.gz ATIHCHQHBYZRQC-BDAKNGLRSA-N -1 1 309.420 1.681 20 0 DDADMM CCc1nc(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)cs1 ZINC000773152009 697719115 /nfs/dbraw/zinc/71/91/15/697719115.db2.gz LOLWVPGQXPISNQ-VIFPVBQESA-N -1 1 324.435 1.078 20 0 DDADMM O=C(c1cccc(F)c1F)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773157106 697720633 /nfs/dbraw/zinc/72/06/33/697720633.db2.gz FYOFHBRVIITDLD-VIFPVBQESA-N -1 1 311.317 1.408 20 0 DDADMM Cc1ccc(C)c(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000773165422 697722714 /nfs/dbraw/zinc/72/27/14/697722714.db2.gz XLSAUXBBLZAHGU-LBPRGKRZSA-N -1 1 303.391 1.747 20 0 DDADMM O=C(O[C@@H]1CCN(C2CCOCC2)C1=O)c1ccc([O-])cc1F ZINC000773573410 697782844 /nfs/dbraw/zinc/78/28/44/697782844.db2.gz MGBNVEBVPXRLMJ-CQSZACIVSA-N -1 1 323.320 1.468 20 0 DDADMM CCc1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)o1 ZINC000986437310 697812288 /nfs/dbraw/zinc/81/22/88/697812288.db2.gz OLRUIGYTBGZRBC-ONGXEEELSA-N -1 1 319.365 1.059 20 0 DDADMM Cc1noc(C)c1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773845742 697812369 /nfs/dbraw/zinc/81/23/69/697812369.db2.gz DLRYFXXZNCBISR-UHFFFAOYSA-N -1 1 303.347 1.553 20 0 DDADMM CC(C)(O)[C@@H]1CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774065930 697838734 /nfs/dbraw/zinc/83/87/34/697838734.db2.gz JUEZSCZBCNZKAR-JTQLQIEISA-N -1 1 306.391 1.394 20 0 DDADMM CO[C@H]1CCC[C@H]1C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000774796829 697929900 /nfs/dbraw/zinc/92/99/00/697929900.db2.gz CUESKQMVEMHUTO-IUCAKERBSA-N -1 1 307.803 1.167 20 0 DDADMM O=C(c1cccc(Cl)c1[O-])N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000775725170 698026502 /nfs/dbraw/zinc/02/65/02/698026502.db2.gz PWCOWGLMRFCWNE-KGLIPLIRSA-N -1 1 324.808 1.717 20 0 DDADMM CC1(C)C[S@](=O)CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000775930107 698053281 /nfs/dbraw/zinc/05/32/81/698053281.db2.gz GSLMZSKLVORAKP-JOCHJYFZSA-N -1 1 321.398 1.842 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C[C@H](C)C1CC1 ZINC000777360242 698182475 /nfs/dbraw/zinc/18/24/75/698182475.db2.gz ZQLGRGNOMRUIPD-VIFPVBQESA-N -1 1 304.350 1.248 20 0 DDADMM CCCC(=O)N1CC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987673661 698193408 /nfs/dbraw/zinc/19/34/08/698193408.db2.gz DALJIJSVZVDCTD-GFCCVEGCSA-N -1 1 305.378 1.508 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCn2ccnc2C1 ZINC000779216947 698402381 /nfs/dbraw/zinc/40/23/81/698402381.db2.gz ZONILCXQXLKOFM-NSHDSACASA-N -1 1 315.377 1.555 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCC[C@H]1CCO ZINC000779357262 698417019 /nfs/dbraw/zinc/41/70/19/698417019.db2.gz TVKGHMCAHNCBIE-NWDGAFQWSA-N -1 1 307.394 1.895 20 0 DDADMM CC[C@@H](NC(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000780379935 698513105 /nfs/dbraw/zinc/51/31/05/698513105.db2.gz AAINDWNQFULGOO-CQSZACIVSA-N -1 1 305.378 1.207 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H]1O)c1c(Cl)ccnc1Cl ZINC000272287056 698528360 /nfs/dbraw/zinc/52/83/60/698528360.db2.gz ZACSSPXAWXNUIN-YUMQZZPRSA-N -1 1 311.190 1.580 20 0 DDADMM O=C([O-])CC1(CC(=O)N2CCN(CC3CC3)CC2)CCCC1 ZINC000314893046 698692661 /nfs/dbraw/zinc/69/26/61/698692661.db2.gz JHXCUZCBWQCGPH-UHFFFAOYSA-N -1 1 308.422 1.966 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc(-c2nnc(C)o2)cc1 ZINC000800836600 700247615 /nfs/dbraw/zinc/24/76/15/700247615.db2.gz NBQFWZYOMUKVHR-SECBINFHSA-N -1 1 311.363 1.822 20 0 DDADMM CCOC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1ccccc1C ZINC000750944877 700259899 /nfs/dbraw/zinc/25/98/99/700259899.db2.gz XONPUUATJRAJSE-UHFFFAOYSA-N -1 1 324.358 1.971 20 0 DDADMM COc1cccc([C@H](CNC(=O)N(C)CC(=O)[O-])N(C)C)c1 ZINC000386434815 699077636 /nfs/dbraw/zinc/07/76/36/699077636.db2.gz RNFFESYCYRMRGG-ZDUSSCGKSA-N -1 1 309.366 1.024 20 0 DDADMM C[C@@H](NS(=O)(=O)c1cc(C(=O)[O-])cs1)C(F)(F)F ZINC000389275086 699088549 /nfs/dbraw/zinc/08/85/49/699088549.db2.gz OBCMUUUKAAYFCH-SCSAIBSYSA-N -1 1 303.283 1.675 20 0 DDADMM CN(C)c1nc(C(=O)OCC(=O)C2CCCCC2)cc(=O)[n-]1 ZINC000786495100 699150543 /nfs/dbraw/zinc/15/05/43/699150543.db2.gz XMAQHGHCXBDEJY-UHFFFAOYSA-N -1 1 307.350 1.554 20 0 DDADMM O=C(NC1CN(C(=O)[C@@H]2CCC[C@H](F)C2)C1)c1ncccc1[O-] ZINC000990967874 699195110 /nfs/dbraw/zinc/19/51/10/699195110.db2.gz DCKFQNDNIAZEKC-MNOVXSKESA-N -1 1 321.352 1.256 20 0 DDADMM O=C(NC1CN(C(=O)C2(C3CC3)CCC2)C1)c1ncccc1[O-] ZINC000990975062 699197184 /nfs/dbraw/zinc/19/71/84/699197184.db2.gz MKDONYZLEBMXSY-UHFFFAOYSA-N -1 1 315.373 1.308 20 0 DDADMM CCCc1ccc(N2C[C@@H](C(=O)[N-]OCCO)CC2=O)cc1 ZINC000787296627 699203814 /nfs/dbraw/zinc/20/38/14/699203814.db2.gz OLWWDVGBJIYEIT-ZDUSSCGKSA-N -1 1 306.362 1.032 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000701207972 699225978 /nfs/dbraw/zinc/22/59/78/699225978.db2.gz IZLKBUYJZVRTCD-SDCKUUTBSA-N -1 1 322.789 1.964 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC000788146178 699284333 /nfs/dbraw/zinc/28/43/33/699284333.db2.gz AAQJYTUPTVCZTF-ZYHUDNBSSA-N -1 1 303.391 1.620 20 0 DDADMM CC[C@@H](NC(=O)c1cnc2nc(C)ccc2c1[O-])C(OC)OC ZINC000788196289 699289339 /nfs/dbraw/zinc/28/93/39/699289339.db2.gz DHAQGPUYNRTGHO-GFCCVEGCSA-N -1 1 319.361 1.771 20 0 DDADMM CC[C@@H](NC(=O)c1c[n-]c2nc(C)ccc2c1=O)C(OC)OC ZINC000788196289 699289340 /nfs/dbraw/zinc/28/93/40/699289340.db2.gz DHAQGPUYNRTGHO-GFCCVEGCSA-N -1 1 319.361 1.771 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccc(Cl)nc1 ZINC000726981994 699391194 /nfs/dbraw/zinc/39/11/94/699391194.db2.gz JAJDQSGFUAIJMO-LBPRGKRZSA-N -1 1 314.736 1.768 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2=COCCC2)cc1 ZINC000730850638 699517511 /nfs/dbraw/zinc/51/75/11/699517511.db2.gz CKBATBZIBJBJBP-UHFFFAOYSA-N -1 1 319.313 1.189 20 0 DDADMM COCc1nc(C)c(C(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000791163912 699613602 /nfs/dbraw/zinc/61/36/02/699613602.db2.gz HFFYATXSDMLUOB-UHFFFAOYSA-N -1 1 317.374 1.505 20 0 DDADMM C[C@H]1CCC[C@@H](CCNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000734463935 699651994 /nfs/dbraw/zinc/65/19/94/699651994.db2.gz DTDUKYPBSIBXMI-STQMWFEESA-N -1 1 307.394 1.259 20 0 DDADMM O=C(OC[C@H]1CCCS(=O)(=O)C1)c1c([O-])cc(F)cc1F ZINC000791796822 699655141 /nfs/dbraw/zinc/65/51/41/699655141.db2.gz MJKXXDYERGPPMN-MRVPVSSYSA-N -1 1 320.313 1.652 20 0 DDADMM CC[S@@](=O)CC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000735080832 699676518 /nfs/dbraw/zinc/67/65/18/699676518.db2.gz NCCGQTHPIXZSFM-GOSISDBHSA-N -1 1 315.338 1.151 20 0 DDADMM CC(C)(C)c1nc(C(=O)N2CCC(c3nn[n-]n3)CC2)cs1 ZINC000735656663 699699080 /nfs/dbraw/zinc/69/90/80/699699080.db2.gz LOOHWLVMOOOUML-UHFFFAOYSA-N -1 1 320.422 1.974 20 0 DDADMM C[C@H](CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccc1F ZINC000735976218 699715374 /nfs/dbraw/zinc/71/53/74/699715374.db2.gz PBBCMCMMPHWTKZ-ZWNOBZJWSA-N -1 1 319.340 1.433 20 0 DDADMM CCC[C@@H](NC(=O)CCCc1nc(CC)no1)c1nn[n-]n1 ZINC000736429867 699725525 /nfs/dbraw/zinc/72/55/25/699725525.db2.gz HLNZDGANMCPUCD-SECBINFHSA-N -1 1 307.358 1.125 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C(=O)c2ccc3cncn3c2)cc1 ZINC000793110055 699733488 /nfs/dbraw/zinc/73/34/88/699733488.db2.gz LBFJQBYGHLWIQR-UHFFFAOYSA-N -1 1 315.354 1.761 20 0 DDADMM CNc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc(Cl)n1 ZINC000736886242 699734195 /nfs/dbraw/zinc/73/41/95/699734195.db2.gz GIHFIBYFMFBDMC-SSDOTTSWSA-N -1 1 309.761 1.166 20 0 DDADMM O=C(Cc1cccc2ccccc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737970611 699749046 /nfs/dbraw/zinc/74/90/46/699749046.db2.gz XNQQIZUCAULVSQ-OAHLLOKOSA-N -1 1 323.356 1.496 20 0 DDADMM O=C(c1cc(F)c(F)c(F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000738248094 699756019 /nfs/dbraw/zinc/75/60/19/699756019.db2.gz WCFPLJRJQOXRDA-UHFFFAOYSA-N -1 1 311.267 1.637 20 0 DDADMM Cc1nc(SC(F)F)sc1CC(=O)Nc1nnn[n-]1 ZINC000793529339 699763573 /nfs/dbraw/zinc/76/35/73/699763573.db2.gz YNMQZLHLVRTNLS-UHFFFAOYSA-N -1 1 306.323 1.461 20 0 DDADMM Cc1nc(SC(F)F)sc1CC(=O)Nc1nn[n-]n1 ZINC000793529339 699763574 /nfs/dbraw/zinc/76/35/74/699763574.db2.gz YNMQZLHLVRTNLS-UHFFFAOYSA-N -1 1 306.323 1.461 20 0 DDADMM CC(C)[C@@H](CNC(=O)N1CCN(C2CCC2)CC1)NC(=O)[O-] ZINC000738781230 699765699 /nfs/dbraw/zinc/76/56/99/699765699.db2.gz BCQJCMULQCKSTJ-CYBMUJFWSA-N -1 1 312.414 1.158 20 0 DDADMM CSCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000794181845 699796159 /nfs/dbraw/zinc/79/61/59/699796159.db2.gz XIBLLNNVTNUORJ-SNVBAGLBSA-N -1 1 312.366 1.589 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H](OC1CCCC1)c1ccccc1 ZINC000743286357 699905645 /nfs/dbraw/zinc/90/56/45/699905645.db2.gz AYXILJWGUSVCRB-AWEZNQCLSA-N -1 1 317.345 1.270 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N[C@H]2CCCC[C@H]2C)[n-]1 ZINC000796343261 699927850 /nfs/dbraw/zinc/92/78/50/699927850.db2.gz AVPOMQVBXCDABL-MNOVXSKESA-N -1 1 322.361 1.653 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nc([C@H](C)OC)no2)[n-]1 ZINC000796361520 699929175 /nfs/dbraw/zinc/92/91/75/699929175.db2.gz IWRSRTCGXYGPEU-ZETCQYMHSA-N -1 1 309.278 1.249 20 0 DDADMM Cc1cccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1N ZINC000744515556 699952474 /nfs/dbraw/zinc/95/24/74/699952474.db2.gz DKOIMAGJOZYLIF-UHFFFAOYSA-N -1 1 312.325 1.691 20 0 DDADMM CCN1C[C@H](C(=O)OCCc2cc(C(C)=O)ccc2[O-])CC1=O ZINC000744695738 699958376 /nfs/dbraw/zinc/95/83/76/699958376.db2.gz PKIVNFXOXCIUCJ-CQSZACIVSA-N -1 1 319.357 1.549 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3CCCN3c3ccccc3)ccnc1-2 ZINC000801702361 700332458 /nfs/dbraw/zinc/33/24/58/700332458.db2.gz CDZGUBLMVPREEB-INIZCTEOSA-N -1 1 321.384 1.949 20 0 DDADMM CCc1noc2ncc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)cc12 ZINC000801704845 700333205 /nfs/dbraw/zinc/33/32/05/700333205.db2.gz VPMDTWFTAVCTEP-UHFFFAOYSA-N -1 1 322.328 1.693 20 0 DDADMM CO[C@H](C)c1nsc([N-]C(=O)c2cc3n[nH]nc3cc2F)n1 ZINC000802630456 700414290 /nfs/dbraw/zinc/41/42/90/700414290.db2.gz NNGQSHGEACVNFX-RXMQYKEDSA-N -1 1 322.325 1.908 20 0 DDADMM Cc1cccc2c1[C@@H](C)C[C@@H]2CC(=O)NN1CC(=O)[N-]C1=O ZINC000754163721 700486986 /nfs/dbraw/zinc/48/69/86/700486986.db2.gz RBGVLWAIUMQJKB-WDEREUQCSA-N -1 1 301.346 1.559 20 0 DDADMM Cc1cccc(Cl)c1CC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000754646980 700516404 /nfs/dbraw/zinc/51/64/04/700516404.db2.gz REWKVDCGPAVMHF-LBPRGKRZSA-N -1 1 321.768 1.304 20 0 DDADMM Cc1cccc(Cl)c1CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000754646979 700516426 /nfs/dbraw/zinc/51/64/26/700516426.db2.gz REWKVDCGPAVMHF-GFCCVEGCSA-N -1 1 321.768 1.304 20 0 DDADMM O=C([N-][C@@H](c1nnc[nH]1)c1ccccc1)C(F)(F)C(F)F ZINC000756121624 700604481 /nfs/dbraw/zinc/60/44/81/700604481.db2.gz KBOTXAVLCYWNNO-MRVPVSSYSA-N -1 1 302.231 1.911 20 0 DDADMM O=c1ccccn1Cc1noc(-c2cc3c(cc2[O-])OCO3)n1 ZINC000758287938 700696722 /nfs/dbraw/zinc/69/67/22/700696722.db2.gz AIMWXKRPICNPIU-UHFFFAOYSA-N -1 1 313.269 1.381 20 0 DDADMM Cc1cc(O)ccc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000758548984 700712144 /nfs/dbraw/zinc/71/21/44/700712144.db2.gz YSVIYFMQWRLRSA-UHFFFAOYSA-N -1 1 313.309 1.814 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cccc(-n2ccnn2)c1 ZINC000759125352 700738155 /nfs/dbraw/zinc/73/81/55/700738155.db2.gz QSRRQCFBXDFZGS-UHFFFAOYSA-N -1 1 305.319 1.067 20 0 DDADMM CCOCCCCOC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000761219315 700851181 /nfs/dbraw/zinc/85/11/81/700851181.db2.gz JFGLOQIPTKWSQR-UHFFFAOYSA-N -1 1 305.352 1.161 20 0 DDADMM O=C(NCCCNc1ncccn1)c1c(F)ccc([O-])c1F ZINC000809613234 701674121 /nfs/dbraw/zinc/67/41/21/701674121.db2.gz OUHYQDWOXFAIFL-UHFFFAOYSA-N -1 1 308.288 1.692 20 0 DDADMM Cc1cnc(C(=O)N2CCC(OC[C@@H]3CCCO3)CC2)c([O-])c1 ZINC000763280805 700932854 /nfs/dbraw/zinc/93/28/54/700932854.db2.gz DEQDXFURFMMDKB-AWEZNQCLSA-N -1 1 320.389 1.896 20 0 DDADMM O=C(C[C@@H]1CSCCS1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000765110446 700999506 /nfs/dbraw/zinc/99/95/06/700999506.db2.gz SIXXSPLLBNSUQG-NXEZZACHSA-N -1 1 313.452 1.144 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765387868 701009251 /nfs/dbraw/zinc/00/92/51/701009251.db2.gz YNQBQQNMQSNJFV-UHFFFAOYSA-N -1 1 303.318 1.123 20 0 DDADMM Cn1[n-]c(COC(=O)C2(c3ccc(F)cc3)CCCC2)nc1=O ZINC000765398038 701009644 /nfs/dbraw/zinc/00/96/44/701009644.db2.gz DBBTZPRKSGIOTQ-UHFFFAOYSA-N -1 1 319.336 1.803 20 0 DDADMM Cc1[nH]c2c(cccc2C(=O)OCc2nc(=O)n(C)[n-]2)c1C ZINC000765438056 701012791 /nfs/dbraw/zinc/01/27/91/701012791.db2.gz IMDTTWCTMMTMCQ-UHFFFAOYSA-N -1 1 300.318 1.564 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2CCC[C@H](C(F)(F)F)C2)nc1=O ZINC000765447813 701013211 /nfs/dbraw/zinc/01/32/11/701013211.db2.gz OXSUITJDMJSCJG-SFYZADRCSA-N -1 1 307.272 1.520 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCCC(C)(C)O ZINC000765464168 701014087 /nfs/dbraw/zinc/01/40/87/701014087.db2.gz XGZUPNDQUROSHY-UHFFFAOYSA-N -1 1 321.377 1.689 20 0 DDADMM CC[C@@H](Oc1cccc(C)c1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765491404 701015738 /nfs/dbraw/zinc/01/57/38/701015738.db2.gz FDNCJMFEQSIEBZ-GFCCVEGCSA-N -1 1 305.334 1.318 20 0 DDADMM CC[C@@H](C(=O)Nc1nc(Br)ccc1[O-])[C@@H](C)O ZINC000842243462 702688561 /nfs/dbraw/zinc/68/85/61/702688561.db2.gz ZAEPHNFPVITHGV-RNFRBKRXSA-N -1 1 303.156 1.895 20 0 DDADMM CCCCCCn1cc(S(=O)(=O)[N-]C(C)C)c(=O)[nH]c1=O ZINC000769616564 701250459 /nfs/dbraw/zinc/25/04/59/701250459.db2.gz HYCAHQFCKIRLHR-UHFFFAOYSA-N -1 1 317.411 1.216 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H](OC)C(C)C)cc1 ZINC000770630524 701286965 /nfs/dbraw/zinc/28/69/65/701286965.db2.gz IDFZHZNPRZGJFK-AWEZNQCLSA-N -1 1 323.345 1.166 20 0 DDADMM O=C(N[C@@H](CO)C[C@@H](O)c1ccccc1)c1cc(F)ccc1[O-] ZINC000770860956 701297949 /nfs/dbraw/zinc/29/79/49/701297949.db2.gz WVPWMWCXJZTCST-CZUORRHYSA-N -1 1 319.332 1.746 20 0 DDADMM O=C(N[C@@H](CO)C1CC1)c1cc(Br)ccc1[O-] ZINC000770998795 701303064 /nfs/dbraw/zinc/30/30/64/701303064.db2.gz LQLUUUROKIDKAW-JTQLQIEISA-N -1 1 300.152 1.655 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)C1CCOCC1 ZINC000771609029 701325327 /nfs/dbraw/zinc/32/53/27/701325327.db2.gz BVKOSSYIMNGTNG-GFCCVEGCSA-N -1 1 323.393 1.131 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)N[C@@H](c1ccccc1)C1CC1 ZINC000805607012 701399367 /nfs/dbraw/zinc/39/93/67/701399367.db2.gz QOBPQCNBPIXJIU-AWEZNQCLSA-N -1 1 300.318 1.229 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3ccc4c(c3)CCO4)ccnc1-2 ZINC000806488358 701437485 /nfs/dbraw/zinc/43/74/85/701437485.db2.gz FCHKLGYFLXXHEQ-UHFFFAOYSA-N -1 1 323.356 1.598 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC(C(F)F)CC3)ccnc1-2 ZINC000806487778 701437489 /nfs/dbraw/zinc/43/74/89/701437489.db2.gz CYYZXUUNRNMRJE-UHFFFAOYSA-N -1 1 309.320 1.851 20 0 DDADMM COC(=O)C[C@@H](C)CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000808652622 701528444 /nfs/dbraw/zinc/52/84/44/701528444.db2.gz MJSAYPZHEWZYRU-LLVKDONJSA-N -1 1 321.329 1.076 20 0 DDADMM O=C(NC[C@H]1CCCN1CC(F)(F)F)c1ncccc1[O-] ZINC000830949364 706609115 /nfs/dbraw/zinc/60/91/15/706609115.db2.gz VSRNGEZJBGBWGA-SECBINFHSA-N -1 1 303.284 1.544 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]C[C@@H]2CC=CC[C@H]2C(F)(F)F)n1 ZINC000867781004 701745988 /nfs/dbraw/zinc/74/59/88/701745988.db2.gz ZPJPZSCVLHVDPR-VHSXEESVSA-N -1 1 323.340 1.843 20 0 DDADMM O=C([N-]c1nc(C2CC2)no1)c1cn(C2CCOCC2)nn1 ZINC000810413573 701766634 /nfs/dbraw/zinc/76/66/34/701766634.db2.gz QZYLRHWZUTYQBP-UHFFFAOYSA-N -1 1 304.310 1.142 20 0 DDADMM C[C@@H]1CCC(=CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000830959310 706611688 /nfs/dbraw/zinc/61/16/88/706611688.db2.gz MRUHXJURTBPBHF-PAKSIRSJSA-N -1 1 303.362 1.618 20 0 DDADMM CC(C)(C)N1CC[C@@](F)(C(=O)[N-]S(=O)(=O)CC2CC2)C1 ZINC000810582294 701794663 /nfs/dbraw/zinc/79/46/63/701794663.db2.gz NHPOCELDZIVEHR-ZDUSSCGKSA-N -1 1 306.403 1.055 20 0 DDADMM CCC(CC)CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868219955 702006753 /nfs/dbraw/zinc/00/67/53/702006753.db2.gz OBGROSORKIBHCV-UHFFFAOYSA-N -1 1 308.382 1.490 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H]2CCC(C)(C)C2=O)o1 ZINC000840203942 702027281 /nfs/dbraw/zinc/02/72/81/702027281.db2.gz GYHYICBJDILRCY-QMMMGPOBSA-N -1 1 315.347 1.102 20 0 DDADMM C/C(=C/C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)c1ccco1 ZINC000831117530 706638184 /nfs/dbraw/zinc/63/81/84/706638184.db2.gz SHPAVDFFGCZIGW-UITAMQMPSA-N -1 1 316.279 1.820 20 0 DDADMM Cc1ccccc1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000866180241 706641805 /nfs/dbraw/zinc/64/18/05/706641805.db2.gz IPZZIBZMWOYVOK-UHFFFAOYSA-N -1 1 314.345 1.885 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@]2(C1)CCc1ccccc1O2 ZINC000868425697 702120547 /nfs/dbraw/zinc/12/05/47/702120547.db2.gz UZMVBINQUGTBFR-INIZCTEOSA-N -1 1 313.361 1.129 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(=O)N(c2ccc(C)cc2)C1 ZINC000816741580 702174643 /nfs/dbraw/zinc/17/46/43/702174643.db2.gz REUJAXFNIBBVMR-NSHDSACASA-N -1 1 314.345 1.724 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@H](C(C)C)N1C[C@H](C)O[C@@H](C)C1 ZINC000812803024 702242901 /nfs/dbraw/zinc/24/29/01/702242901.db2.gz PMZQJBJAHMTJAM-KKUMJFAQSA-N -1 1 316.442 1.593 20 0 DDADMM CCOC(CN(CC)C(=O)C(=O)c1ccc([O-])cc1)OCC ZINC000868774872 702313369 /nfs/dbraw/zinc/31/33/69/702313369.db2.gz RGZBXNHYLBHAAN-UHFFFAOYSA-N -1 1 309.362 1.823 20 0 DDADMM CC[C@@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CC1 ZINC000868777591 702314575 /nfs/dbraw/zinc/31/45/75/702314575.db2.gz CUJPLUNKGWWLTE-LLVKDONJSA-N -1 1 306.366 1.243 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-][C@H]2C[C@H](C)OC2=O)c(F)c1 ZINC000841521412 702477469 /nfs/dbraw/zinc/47/74/69/702477469.db2.gz DSLCLTNPRDBPHA-CABZTGNLSA-N -1 1 301.339 1.258 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)CCc1cccs1 ZINC000841533632 702483333 /nfs/dbraw/zinc/48/33/33/702483333.db2.gz FPWSSQDBNYHGRW-SNVBAGLBSA-N -1 1 303.405 1.162 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)c1cc(C)c(Cl)s1 ZINC000841545614 702492033 /nfs/dbraw/zinc/49/20/33/702492033.db2.gz RRBPXWBOXZFHOD-LURJTMIESA-N -1 1 315.775 1.499 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC[C@@H]3CCCS3)ccnc1-2 ZINC000879416102 706674063 /nfs/dbraw/zinc/67/40/63/706674063.db2.gz NOLOADIGCLHHHL-JTQLQIEISA-N -1 1 305.407 1.749 20 0 DDADMM CC[C@@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H](O)C(C)C ZINC000841705244 702543982 /nfs/dbraw/zinc/54/39/82/702543982.db2.gz KAZOPIUHNKUWCD-YPMHNXCESA-N -1 1 305.382 1.259 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CC(C)(C)CO2)o1 ZINC000869347282 702577333 /nfs/dbraw/zinc/57/73/33/702577333.db2.gz NKZNKGCZEFEXOA-VIFPVBQESA-N -1 1 317.363 1.160 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)CCn1cccc1 ZINC000869431229 702605048 /nfs/dbraw/zinc/60/50/48/702605048.db2.gz SQFCRAQGHWAZQF-UHFFFAOYSA-N -1 1 320.267 1.059 20 0 DDADMM CC(C)(C)CC(=O)[N-]OCC(=O)NC[C@H]1Cc2ccccc2O1 ZINC000842062751 702665649 /nfs/dbraw/zinc/66/56/49/702665649.db2.gz ACCBWUSONUADJU-CYBMUJFWSA-N -1 1 320.389 1.590 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843016191 702803736 /nfs/dbraw/zinc/80/37/36/702803736.db2.gz RAXKBECOLIHNLR-RYUDHWBXSA-N -1 1 302.440 1.353 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2CC23CCCC3)CCC1 ZINC000843016793 702803777 /nfs/dbraw/zinc/80/37/77/702803777.db2.gz VFUXFAHHSWBLLQ-GFCCVEGCSA-N -1 1 314.451 1.497 20 0 DDADMM Cc1cccc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)c1C ZINC000843017823 702804074 /nfs/dbraw/zinc/80/40/74/702804074.db2.gz KLAJQQBLKQTFSV-UHFFFAOYSA-N -1 1 324.446 1.847 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CC1(Cl)Cl ZINC000866469248 706706896 /nfs/dbraw/zinc/70/68/96/706706896.db2.gz KIPHLXXJZAFROH-XHLQUELWSA-N -1 1 309.240 1.132 20 0 DDADMM C[C@@H]1CN(Cc2cn(CC(=O)[O-])nn2)CCc2ccccc21 ZINC000846290671 703262525 /nfs/dbraw/zinc/26/25/25/703262525.db2.gz LBJCLGVPRCQJQS-GFCCVEGCSA-N -1 1 300.362 1.525 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2c([O-])cccc2Cl)C[C@H](C)O1 ZINC000846482619 703281825 /nfs/dbraw/zinc/28/18/25/703281825.db2.gz FNZNIEREDBEVKA-KWQFWETISA-N -1 1 313.737 1.448 20 0 DDADMM C[C@@H](COCC1CC1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000846530094 703292488 /nfs/dbraw/zinc/29/24/88/703292488.db2.gz WRGFFZNZTAVWLZ-VIFPVBQESA-N -1 1 306.391 1.563 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847088589 703376215 /nfs/dbraw/zinc/37/62/15/703376215.db2.gz BUXDSXNXULAONY-LMMOQWNQSA-N -1 1 314.345 1.980 20 0 DDADMM CCCCSCC(=O)NCc1n[n-]c(C(=O)OCC)n1 ZINC000879654544 706750802 /nfs/dbraw/zinc/75/08/02/706750802.db2.gz MBZKFTDVNIRLAC-UHFFFAOYSA-N -1 1 300.384 1.131 20 0 DDADMM CCCCSCC(=O)NCc1nc(C(=O)OCC)n[n-]1 ZINC000879654544 706750805 /nfs/dbraw/zinc/75/08/05/706750805.db2.gz MBZKFTDVNIRLAC-UHFFFAOYSA-N -1 1 300.384 1.131 20 0 DDADMM Cc1noc([C@H](C)N(C)C(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC000848324427 703539014 /nfs/dbraw/zinc/53/90/14/703539014.db2.gz SAWJCKAONFVWMS-ZETCQYMHSA-N -1 1 318.362 1.543 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C1=CCCCO1 ZINC000848340926 703540741 /nfs/dbraw/zinc/54/07/41/703540741.db2.gz UHGYLRRHRKDEPG-NXEZZACHSA-N -1 1 320.311 1.739 20 0 DDADMM O=S(=O)([N-][C@H](C1CC1)[C@H]1CCCCO1)c1c[nH]nc1Cl ZINC000848467874 703553468 /nfs/dbraw/zinc/55/34/68/703553468.db2.gz WGKGXZHWJYDWBO-MWLCHTKSSA-N -1 1 319.814 1.689 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1Cn1ccsc1=O ZINC000848503224 703558487 /nfs/dbraw/zinc/55/84/87/703558487.db2.gz XLRFNOPBAHJQKS-RKDXNWHRSA-N -1 1 323.340 1.399 20 0 DDADMM C[C@H]1CCN(CN2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)C1=O ZINC000848503140 703558492 /nfs/dbraw/zinc/55/84/92/703558492.db2.gz WTMBSOUXIMPUOM-HBNTYKKESA-N -1 1 321.343 1.344 20 0 DDADMM Cc1cc(C)cc(-n2ncc(CN[C@H]3C[C@H](C(=O)[O-])C3)n2)c1 ZINC000849231642 703626840 /nfs/dbraw/zinc/62/68/40/703626840.db2.gz DKJLEHTWYBIYRI-JOCQHMNTSA-N -1 1 300.362 1.837 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC2CC3(CCC3)C2)co1 ZINC000851479556 703804303 /nfs/dbraw/zinc/80/43/03/703804303.db2.gz OFGSLLFGNNLCBS-UHFFFAOYSA-N -1 1 313.375 1.925 20 0 DDADMM CC(C)=CCC[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000852733679 704108962 /nfs/dbraw/zinc/10/89/62/704108962.db2.gz WGRSNVUBAUOBOO-GHMZBOCLSA-N -1 1 322.327 1.939 20 0 DDADMM CCCCC[C@@H]1CCCCN1CCS(=O)(=O)CC(=O)[O-] ZINC000852741099 704111060 /nfs/dbraw/zinc/11/10/60/704111060.db2.gz WUAYBIWUEGMMMV-CYBMUJFWSA-N -1 1 305.440 1.921 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCc2ccccc21 ZINC000866767082 706794032 /nfs/dbraw/zinc/79/40/32/706794032.db2.gz IXXWCMYRSNPOHO-CWTRNNRKSA-N -1 1 316.448 1.669 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)Cn2cccn2)c1 ZINC000820220034 704242222 /nfs/dbraw/zinc/24/22/22/704242222.db2.gz XKWCCDMCFHXRMD-AMXDTQDGSA-N -1 1 322.386 1.631 20 0 DDADMM CC(C)CN1C[C@H](C(=O)[N-]OCCCC(F)(F)F)CC1=O ZINC000871212391 704244177 /nfs/dbraw/zinc/24/41/77/704244177.db2.gz SHUYDLITMQKDFH-SNVBAGLBSA-N -1 1 310.316 1.881 20 0 DDADMM COC(=O)C1=NO[C@@]2(CCN(C(=O)c3cc(F)ccc3[O-])C2)C1 ZINC000871382185 704280014 /nfs/dbraw/zinc/28/00/14/704280014.db2.gz SIKAZXNJZBVYTO-HNNXBMFYSA-N -1 1 322.292 1.065 20 0 DDADMM COc1ccccc1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000820754129 704327331 /nfs/dbraw/zinc/32/73/31/704327331.db2.gz XWUSOJWZDPRJBW-UHFFFAOYSA-N -1 1 318.420 1.291 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1CCSc2ccccc21 ZINC000820779091 704329751 /nfs/dbraw/zinc/32/97/51/704329751.db2.gz CAGPQLMPZOGPFO-SNVBAGLBSA-N -1 1 320.461 1.786 20 0 DDADMM O=C(NCC[C@@H]1CNC(=O)C1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000831950689 706810848 /nfs/dbraw/zinc/81/08/48/706810848.db2.gz PVZRTJXJOOABBM-QMMMGPOBSA-N -1 1 316.279 1.667 20 0 DDADMM Cc1n[nH]c(C)c1CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000854866480 704459891 /nfs/dbraw/zinc/45/98/91/704459891.db2.gz HAQOALRLBYOIID-UHFFFAOYSA-N -1 1 316.390 1.330 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@H](CO)NC(=O)c2ccc([O-])c(F)c2)C1 ZINC000855351800 704480353 /nfs/dbraw/zinc/48/03/53/704480353.db2.gz FOZVHFKSHHLBAX-SUHUHFCYSA-N -1 1 311.353 1.827 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417366 704527998 /nfs/dbraw/zinc/52/79/98/704527998.db2.gz RVBXIBRSQCFYGG-UTLUCORTSA-N -1 1 312.307 1.896 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccn(C)c2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856417914 704528184 /nfs/dbraw/zinc/52/81/84/704528184.db2.gz NKIPASFMINROCM-KOLCDFICSA-N -1 1 317.311 1.554 20 0 DDADMM CC[C@H](C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1)[C@H](C)O ZINC000857340425 704581114 /nfs/dbraw/zinc/58/11/14/704581114.db2.gz UMYPZNZISSAQEM-RCWTZXSCSA-N -1 1 324.343 1.309 20 0 DDADMM CS(=O)(=O)N1CCCC[C@H]1CNc1cc(Cl)[n-]c(=O)n1 ZINC000858542756 704724676 /nfs/dbraw/zinc/72/46/76/704724676.db2.gz BGWPJKNQXAYGCR-QMMMGPOBSA-N -1 1 320.802 1.062 20 0 DDADMM C[C@@H]1CCC[C@]1(O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867313876 706957107 /nfs/dbraw/zinc/95/71/07/706957107.db2.gz SDMADHMZVBVNHB-PELKAZGASA-N -1 1 306.334 1.189 20 0 DDADMM CC1=NO[C@H](C[N-]S(=O)(=O)c2c(F)cccc2Cl)C1 ZINC000867347769 706969261 /nfs/dbraw/zinc/96/92/61/706969261.db2.gz LBVQICCWSCKBPI-QMMMGPOBSA-N -1 1 306.746 1.922 20 0 DDADMM CC1=NO[C@@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000867349185 706970261 /nfs/dbraw/zinc/97/02/61/706970261.db2.gz LKMPUDMLXUCQHS-SSDOTTSWSA-N -1 1 307.734 1.317 20 0 DDADMM O=CN1CCC[C@@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000834298530 707024286 /nfs/dbraw/zinc/02/42/86/707024286.db2.gz RGAMYESFNZDYCZ-SSDOTTSWSA-N -1 1 314.139 1.109 20 0 DDADMM O=C(C(=O)N1CCO[C@H](C(F)(F)F)CC1)c1ccc([O-])cc1 ZINC000874544621 705101014 /nfs/dbraw/zinc/10/10/14/705101014.db2.gz PLLDFFGQBRLQTF-NSHDSACASA-N -1 1 317.263 1.755 20 0 DDADMM CSCC1(CCNC(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000823062995 705128459 /nfs/dbraw/zinc/12/84/59/705128459.db2.gz PZIVLRWSXXXTIT-UHFFFAOYSA-N -1 1 319.434 1.997 20 0 DDADMM O=C(Cc1ccc(F)cc1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000823082669 705134400 /nfs/dbraw/zinc/13/44/00/705134400.db2.gz OVSBIHKBEHYHRT-UHFFFAOYSA-N -1 1 304.310 1.644 20 0 DDADMM O=C(Cc1ccc(F)cc1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000823082669 705134404 /nfs/dbraw/zinc/13/44/04/705134404.db2.gz OVSBIHKBEHYHRT-UHFFFAOYSA-N -1 1 304.310 1.644 20 0 DDADMM CC(=O)N(c1nc(C=C2SC(=O)[N-]C2=O)cs1)C1CC1 ZINC000874927624 705230221 /nfs/dbraw/zinc/23/02/21/705230221.db2.gz KNMPZOKPLACDHG-WTKPLQERSA-N -1 1 309.372 1.982 20 0 DDADMM CC(C)C[C@H](C)NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875411290 705379097 /nfs/dbraw/zinc/37/90/97/705379097.db2.gz FVMLUVGJCJDQJH-VIFPVBQESA-N -1 1 309.332 1.741 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3cnn4c3CCCC4)ccnc1-2 ZINC000875633349 705456691 /nfs/dbraw/zinc/45/66/91/705456691.db2.gz OEYQPHBHVNAFJF-UHFFFAOYSA-N -1 1 310.361 1.056 20 0 DDADMM CCn1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c(C2CC2)n1 ZINC000875632976 705456935 /nfs/dbraw/zinc/45/69/35/705456935.db2.gz IXVOKUHGUFUNPZ-UHFFFAOYSA-N -1 1 310.361 1.688 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccnn3CC3CCC3)ccnc1-2 ZINC000875633992 705457559 /nfs/dbraw/zinc/45/75/59/705457559.db2.gz WVKAJNLIWGGRLV-UHFFFAOYSA-N -1 1 310.361 1.591 20 0 DDADMM CCCN(C)c1ccccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000861645550 705529447 /nfs/dbraw/zinc/52/94/47/705529447.db2.gz HBQXIKBGCDMLFE-UHFFFAOYSA-N -1 1 305.338 1.121 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3C(C)(C)C)nc2n1 ZINC000875837967 705532018 /nfs/dbraw/zinc/53/20/18/705532018.db2.gz DIAGWAMRRVQMKR-GHMZBOCLSA-N -1 1 317.393 1.991 20 0 DDADMM CC[C@@H]1CCC[C@H]1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825194396 705622001 /nfs/dbraw/zinc/62/20/01/705622001.db2.gz QMYFTVAFURYZJZ-NXEZZACHSA-N -1 1 304.354 1.401 20 0 DDADMM CC[C@@H]1CCC[C@H]1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825194396 705622003 /nfs/dbraw/zinc/62/20/03/705622003.db2.gz QMYFTVAFURYZJZ-NXEZZACHSA-N -1 1 304.354 1.401 20 0 DDADMM CCCN(CC1CC1)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876300407 705682894 /nfs/dbraw/zinc/68/28/94/705682894.db2.gz SPZQJUXHRUXNGX-UHFFFAOYSA-N -1 1 320.393 1.587 20 0 DDADMM CC[C@@H](C)CN(CC)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876300740 705683348 /nfs/dbraw/zinc/68/33/48/705683348.db2.gz YIFHBMIIWPZCKH-LLVKDONJSA-N -1 1 322.409 1.833 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@@H]2CCC[C@H](C)C2)c1-c1nnn[n-]1 ZINC000826343006 705792855 /nfs/dbraw/zinc/79/28/55/705792855.db2.gz IXOUULKTCRKGRI-GXSJLCMTSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@@H]2CCC[C@H](C)C2)c1-c1nn[n-]n1 ZINC000826343006 705792857 /nfs/dbraw/zinc/79/28/57/705792857.db2.gz IXOUULKTCRKGRI-GXSJLCMTSA-N -1 1 318.381 1.743 20 0 DDADMM OCCCC1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000826509143 705806490 /nfs/dbraw/zinc/80/64/90/705806490.db2.gz MVEOZDDZGYYVDE-UHFFFAOYSA-N -1 1 322.800 1.904 20 0 DDADMM OCCCC1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000826509143 705806492 /nfs/dbraw/zinc/80/64/92/705806492.db2.gz MVEOZDDZGYYVDE-UHFFFAOYSA-N -1 1 322.800 1.904 20 0 DDADMM c1ccc(C[C@@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCO2)cc1 ZINC000826520270 705807013 /nfs/dbraw/zinc/80/70/13/705807013.db2.gz DUMKOCUNWCUUFR-CYBMUJFWSA-N -1 1 323.360 1.105 20 0 DDADMM c1ccc(C[C@@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCO2)cc1 ZINC000826520270 705807016 /nfs/dbraw/zinc/80/70/16/705807016.db2.gz DUMKOCUNWCUUFR-CYBMUJFWSA-N -1 1 323.360 1.105 20 0 DDADMM CC[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CCOCC1 ZINC000826566962 705816318 /nfs/dbraw/zinc/81/63/18/705816318.db2.gz ASIXKZSRVVBVBE-LBPRGKRZSA-N -1 1 320.418 1.953 20 0 DDADMM CON(C)C(=O)[C@@H](C)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000863708946 705992290 /nfs/dbraw/zinc/99/22/90/705992290.db2.gz VMDYUJXNHRWQIP-SSDOTTSWSA-N -1 1 320.267 1.549 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)[C@H]2CCCN2C2CC2)CC12CCC2 ZINC000864156255 706083384 /nfs/dbraw/zinc/08/33/84/706083384.db2.gz NRXMFHNKTWTWIB-MLGOLLRUSA-N -1 1 310.369 1.419 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](CF)C2)co1 ZINC000881598567 707302915 /nfs/dbraw/zinc/30/29/15/707302915.db2.gz PUSWYRVJQJGFPT-VIFPVBQESA-N -1 1 304.343 1.009 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2ccc(C)c(Cl)n2)n[n-]1 ZINC000864434853 706168635 /nfs/dbraw/zinc/16/86/35/706168635.db2.gz UGQLAWIIFWSPBH-UHFFFAOYSA-N -1 1 300.771 1.698 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCSC1 ZINC000872456085 707421418 /nfs/dbraw/zinc/42/14/18/707421418.db2.gz BNDONULZHLFRLU-VPTHRUTESA-N -1 1 300.471 1.223 20 0 DDADMM Cn1ccc(C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1 ZINC000872459195 707423017 /nfs/dbraw/zinc/42/30/17/707423017.db2.gz RAPOSWHKFACZFW-SFHVURJKSA-N -1 1 307.441 1.256 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C(C)=O)C(C)C)c1 ZINC000829294082 706315348 /nfs/dbraw/zinc/31/53/48/706315348.db2.gz NQRGEQCOCYFECA-QVKFZJNVSA-N -1 1 312.387 1.960 20 0 DDADMM CC(C)Cn1nccc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000829666017 706374394 /nfs/dbraw/zinc/37/43/94/706374394.db2.gz ZZHPVHFXPFDXEM-UHFFFAOYSA-N -1 1 321.299 1.765 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)C[C@H](O)CC(C)(C)O ZINC000878442734 706388885 /nfs/dbraw/zinc/38/88/85/706388885.db2.gz LIQRVJMJWGMMFO-SECBINFHSA-N -1 1 311.407 1.351 20 0 DDADMM CCc1c[nH]c(SCC(=O)CC[N-]C(=O)C(F)(F)F)n1 ZINC000865359687 706412702 /nfs/dbraw/zinc/41/27/02/706412702.db2.gz BCPUZMYPVSPAIM-UHFFFAOYSA-N -1 1 309.313 1.702 20 0 DDADMM Cc1nc(SCCCOC(=O)[C@@H](C)O)[n-]c(=O)c1C1CC1 ZINC000865373033 706416012 /nfs/dbraw/zinc/41/60/12/706416012.db2.gz CNDYUJKZMYREAJ-SECBINFHSA-N -1 1 312.391 1.774 20 0 DDADMM COCC1(C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)CC1 ZINC000872502196 707449594 /nfs/dbraw/zinc/44/95/94/707449594.db2.gz BNCXWAZUTNYKBF-GOSISDBHSA-N -1 1 312.457 1.144 20 0 DDADMM CCO[C@@H]1C[C@@]([N-]S(=O)(=O)C2CCC2)(C(=O)OC)C1(C)C ZINC000882107802 707501043 /nfs/dbraw/zinc/50/10/43/707501043.db2.gz OXPOUTQAWWSCIC-BXUZGUMPSA-N -1 1 319.423 1.205 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC ZINC000830732454 706570672 /nfs/dbraw/zinc/57/06/72/706570672.db2.gz BOQKSVLLXDMPEZ-UHFFFAOYSA-N -1 1 309.775 1.073 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CC2CSC2)C1 ZINC000830796010 706581484 /nfs/dbraw/zinc/58/14/84/706581484.db2.gz UPLPERJGHYYDIE-LLVKDONJSA-N -1 1 310.341 1.409 20 0 DDADMM C[C@](O)(CC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830816178 706585133 /nfs/dbraw/zinc/58/51/33/706585133.db2.gz LUUMFBGPRCHYKH-STQMWFEESA-N -1 1 322.327 1.207 20 0 DDADMM CCC(CC)(CCO)C[N-]S(=O)(=O)N=[S@@](C)(=O)CC ZINC000866905390 706841247 /nfs/dbraw/zinc/84/12/47/706841247.db2.gz XFGWIFNFZKJFOU-SFHVURJKSA-N -1 1 314.473 1.127 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC000866963953 706857873 /nfs/dbraw/zinc/85/78/73/706857873.db2.gz WBRVYLHDFHDVLB-UTUOFQBUSA-N -1 1 322.452 1.040 20 0 DDADMM Cc1ncc(CC[N-]S(=O)(=O)c2ccc(F)nc2F)s1 ZINC000866990413 706866428 /nfs/dbraw/zinc/86/64/28/706866428.db2.gz PHCWVJSCUSBQPK-UHFFFAOYSA-N -1 1 319.358 1.646 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](CO)CC1)c1ccc(Cl)nc1F ZINC000867017791 706873703 /nfs/dbraw/zinc/87/37/03/706873703.db2.gz HIUYBGYXOVYGSC-KYZUINATSA-N -1 1 322.789 1.704 20 0 DDADMM O=S(=O)([N-]Cc1noc(C2CC2)n1)c1ccc(F)nc1F ZINC000867030925 706877127 /nfs/dbraw/zinc/87/71/27/706877127.db2.gz MLAGHPZLSBDWJE-UHFFFAOYSA-N -1 1 316.289 1.099 20 0 DDADMM CCc1cnccc1C[N-]S(=O)(=O)N=S(=O)(CC)CC ZINC000867067487 706887820 /nfs/dbraw/zinc/88/78/20/706887820.db2.gz LLUKOHANNHQWKI-UHFFFAOYSA-N -1 1 319.452 1.486 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)O1)c1ccc([O-])cc1F ZINC000832396126 706904311 /nfs/dbraw/zinc/90/43/11/706904311.db2.gz BNEPXVQIVVSIPY-GFCCVEGCSA-N -1 1 309.337 1.989 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)c2nccn21 ZINC000867356742 706973039 /nfs/dbraw/zinc/97/30/39/706973039.db2.gz DNPWVGOGWLCIPI-YUMQZZPRSA-N -1 1 314.317 1.541 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@@H]1Cc2ccccc2O1)c1nn[n-]n1 ZINC000867381778 706982340 /nfs/dbraw/zinc/98/23/40/706982340.db2.gz QTHMIHKWHNNTJE-JQWIXIFHSA-N -1 1 301.350 1.155 20 0 DDADMM O=S(=O)([N-]C[C@@H]1COCO1)c1cc(Cl)cnc1Cl ZINC000867394772 706986094 /nfs/dbraw/zinc/98/60/94/706986094.db2.gz AJMGECSARUQQBM-SSDOTTSWSA-N -1 1 313.162 1.040 20 0 DDADMM CCn1ccc(C[N-]S(=O)(=O)c2ccc(F)nc2F)n1 ZINC000867402514 706989001 /nfs/dbraw/zinc/98/90/01/706989001.db2.gz SERUZIJVWBXIHE-UHFFFAOYSA-N -1 1 302.306 1.055 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C2(C)CC=CC2)c1C(F)(F)F ZINC000867484982 707015694 /nfs/dbraw/zinc/01/56/94/707015694.db2.gz GHDSTOZKVUHXCY-UHFFFAOYSA-N -1 1 309.313 1.826 20 0 DDADMM COC(=O)C1=CC[C@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1 ZINC000867557336 707038567 /nfs/dbraw/zinc/03/85/67/707038567.db2.gz NRIVFKJUTKHRQE-QMMMGPOBSA-N -1 1 321.301 1.757 20 0 DDADMM O=S(=O)([N-][C@H]1CO[C@@H](C2CC2)C1)c1ccc(F)nc1F ZINC000867564055 707040807 /nfs/dbraw/zinc/04/08/07/707040807.db2.gz GCTFLAWXKLKSRS-RKDXNWHRSA-N -1 1 304.318 1.206 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1csc(C)c1C ZINC000867601953 707050947 /nfs/dbraw/zinc/05/09/47/707050947.db2.gz SLDZWCHTTYJXNP-KRWDZBQOSA-N -1 1 310.466 1.817 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C(C)(C)[C@H]1CCCCO1 ZINC000867682462 707073298 /nfs/dbraw/zinc/07/32/98/707073298.db2.gz KKZCDRDKEVEZOP-MLCYQJTMSA-N -1 1 312.457 1.286 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@H]1CCC(=O)O1 ZINC000867713428 707082890 /nfs/dbraw/zinc/08/28/90/707082890.db2.gz RUTBVQUICWKQFO-ZCFIWIBFSA-N -1 1 301.096 1.194 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C1(N2CCOCC2)CCC1 ZINC000834825000 707131443 /nfs/dbraw/zinc/13/14/43/707131443.db2.gz FQZIAMMHPSPPAA-UHFFFAOYSA-N -1 1 324.808 1.917 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(CCn1cccn1)CC2 ZINC000872166644 707311504 /nfs/dbraw/zinc/31/15/04/707311504.db2.gz LMSDHRMSXRJSKE-UHFFFAOYSA-N -1 1 301.346 1.648 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC[C@@H](CF)C1 ZINC000881666490 707316916 /nfs/dbraw/zinc/31/69/16/707316916.db2.gz CKVCAPLKDFIFIZ-LBPRGKRZSA-N -1 1 320.368 1.802 20 0 DDADMM CC[C@H]1CC(=O)N(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000872754281 707585665 /nfs/dbraw/zinc/58/56/65/707585665.db2.gz ZQSIOZSKANZLQS-GXFFZTMASA-N -1 1 321.343 1.345 20 0 DDADMM O=C(NC[C@H](O)C(F)(F)C(F)(F)F)c1cncc([O-])c1 ZINC000882564338 707700133 /nfs/dbraw/zinc/70/01/33/707700133.db2.gz QOZSGGGRPSALSP-ZETCQYMHSA-N -1 1 300.183 1.076 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC1(C)CC(F)(F)C1 ZINC000882573797 707704300 /nfs/dbraw/zinc/70/43/00/707704300.db2.gz ZKMQKHQQEMNLLL-UHFFFAOYSA-N -1 1 318.411 1.764 20 0 DDADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]c1cccc(F)c1F ZINC000882685046 707748515 /nfs/dbraw/zinc/74/85/15/707748515.db2.gz XZPKUWKQYJRPGB-GOSISDBHSA-N -1 1 313.351 1.196 20 0 DDADMM Nc1cnc(CN2CCC(C(=O)c3ccc([O-])cc3)CC2)cn1 ZINC000873202443 707765121 /nfs/dbraw/zinc/76/51/21/707765121.db2.gz RUAGKZLZHQWGDT-UHFFFAOYSA-N -1 1 312.373 1.859 20 0 DDADMM CN=[S@](C)(=O)c1cccc([N-]S(=O)(=O)C[C@H](C)OC)c1 ZINC000882737037 707766899 /nfs/dbraw/zinc/76/68/99/707766899.db2.gz YOSAPKOTPSCEMW-APBUJDDRSA-N -1 1 320.436 1.550 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C12CCC2 ZINC000838120429 707847915 /nfs/dbraw/zinc/84/79/15/707847915.db2.gz DTSCONGAMCNNQT-MNOVXSKESA-N -1 1 318.402 1.705 20 0 DDADMM COc1ccc([C@H](NC(=O)[C@H]2CCC[N@H+]2C(C)C)C(=O)[O-])cc1 ZINC000909329658 712993210 /nfs/dbraw/zinc/99/32/10/712993210.db2.gz WCIWAAHLOCOLJE-CABCVRRESA-N -1 1 320.389 1.810 20 0 DDADMM C=C/C=C/CC[N@@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000883409339 707994086 /nfs/dbraw/zinc/99/40/86/707994086.db2.gz UXEPIGXQSSIODM-MJKAUQOVSA-N -1 1 320.311 1.715 20 0 DDADMM CC1(C)C[C@]1(C)CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000883893156 708077049 /nfs/dbraw/zinc/07/70/49/708077049.db2.gz FPTZPUVDDBXASF-CYBMUJFWSA-N -1 1 321.343 1.743 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NC(=O)c1ccc(O)c(Cl)c1 ZINC000909376284 713003425 /nfs/dbraw/zinc/00/34/25/713003425.db2.gz JTJQMSGNJMGCAY-ZDUSSCGKSA-N -1 1 301.726 1.655 20 0 DDADMM C[C@H](NC(=O)NCCc1c(F)cc([O-])cc1F)C(C)(C)O ZINC000896754253 708142324 /nfs/dbraw/zinc/14/23/24/708142324.db2.gz GGNOOJYGYBDXKK-QMMMGPOBSA-N -1 1 302.321 1.672 20 0 DDADMM CO[C@H]1CN(C(=O)NCCc2c(F)cc([O-])cc2F)CCO1 ZINC000896756182 708142947 /nfs/dbraw/zinc/14/29/47/708142947.db2.gz WKPSTIMPNDMLMT-CYBMUJFWSA-N -1 1 316.304 1.227 20 0 DDADMM C[C@H](Cc1ccccc1F)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909402008 713009133 /nfs/dbraw/zinc/00/91/33/713009133.db2.gz JATFYUCBVUIXDF-TZMCWYRMSA-N -1 1 322.380 1.670 20 0 DDADMM C[C@H](CN(C(=O)c1n[nH]cc1C(F)(F)F)C1CC1)C(=O)[O-] ZINC000909456413 713023032 /nfs/dbraw/zinc/02/30/32/713023032.db2.gz GAMIVZBPBQIYFD-ZCFIWIBFSA-N -1 1 305.256 1.754 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]([N-]C(=O)C(F)(F)C(F)F)CO1 ZINC000884862424 708398065 /nfs/dbraw/zinc/39/80/65/708398065.db2.gz CFTYOJDPJAIUDH-ZCFIWIBFSA-N -1 1 316.251 1.554 20 0 DDADMM CO[C@@]1(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)CCSC1 ZINC000897640111 708403192 /nfs/dbraw/zinc/40/31/92/708403192.db2.gz IYAOVUPOVMOITB-RNCFNFMXSA-N -1 1 313.379 1.003 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC([C@@H]2COC(=O)N2)CC1 ZINC000884909429 708416145 /nfs/dbraw/zinc/41/61/45/708416145.db2.gz ARRRTIIMQFJVFW-ZDUSSCGKSA-N -1 1 308.309 1.492 20 0 DDADMM CCOC(=O)C1CCC([N-]S(=O)(=O)c2ccns2)CC1 ZINC000884994507 708437351 /nfs/dbraw/zinc/43/73/51/708437351.db2.gz ULZHGLZWHNWEOW-UHFFFAOYSA-N -1 1 318.420 1.543 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccns1)C(=O)N1CCCC[C@@H]1C ZINC000884996846 708437879 /nfs/dbraw/zinc/43/78/79/708437879.db2.gz BZRFCCVALHFEJP-UWVGGRQHSA-N -1 1 317.436 1.211 20 0 DDADMM CC(C)[C@@H]1OCCC[C@H]1C[N-]S(=O)(=O)c1ccns1 ZINC000885043047 708453272 /nfs/dbraw/zinc/45/32/72/708453272.db2.gz DOGYVNYYZXQLNX-JQWIXIFHSA-N -1 1 304.437 1.873 20 0 DDADMM CC[C@H]1CCCC[C@H]1[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912531114 713031407 /nfs/dbraw/zinc/03/14/07/713031407.db2.gz PQNHFXLBUYTWMD-GXSJLCMTSA-N -1 1 315.395 1.443 20 0 DDADMM C/C=C\C[C@@H]([N-]S(=O)(=O)c1ccns1)C(=O)OCC ZINC000885106025 708474661 /nfs/dbraw/zinc/47/46/61/708474661.db2.gz IZFPEHGFJVFUGP-AZVKUDOFSA-N -1 1 304.393 1.319 20 0 DDADMM CC(C)[C@H](CO)[C@@H]([N-]S(=O)(=O)c1ccns1)C(C)C ZINC000885217255 708496545 /nfs/dbraw/zinc/49/65/45/708496545.db2.gz DPYHWSZJHAODJT-JQWIXIFHSA-N -1 1 306.453 1.711 20 0 DDADMM O=S(=O)([N-][C@H]([C@@H](CO)C1CC1)C1CC1)c1ccns1 ZINC000885217229 708496621 /nfs/dbraw/zinc/49/66/21/708496621.db2.gz CYDJIQROWPATTH-JQWIXIFHSA-N -1 1 302.421 1.219 20 0 DDADMM CC[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912543562 713033559 /nfs/dbraw/zinc/03/35/59/713033559.db2.gz ZOYLLLWUPGILPK-PSASIEDQSA-N -1 1 301.368 1.053 20 0 DDADMM O=S(=O)([N-][C@@H](C1CC1)[C@@H]1CCCCO1)c1ccns1 ZINC000885280016 708509902 /nfs/dbraw/zinc/50/99/02/708509902.db2.gz LRMNVUOLGCNWKA-JQWIXIFHSA-N -1 1 302.421 1.769 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC=C(Cl)C2)o1 ZINC000898191807 708569647 /nfs/dbraw/zinc/56/96/47/708569647.db2.gz VMLJIOWDDGTZNC-UHFFFAOYSA-N -1 1 304.755 1.156 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C1(C2CC2)CC1 ZINC000885730682 708610496 /nfs/dbraw/zinc/61/04/96/708610496.db2.gz ZUOCFWRHMWAQKL-UHFFFAOYSA-N -1 1 307.268 1.358 20 0 DDADMM C[C@@H]1CO[C@H](C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)C1 ZINC000898439152 708640470 /nfs/dbraw/zinc/64/04/70/708640470.db2.gz PXEWRYYLZUVSGW-ZDCRXTMVSA-N -1 1 322.327 1.469 20 0 DDADMM CC(=O)N[C@@H](C(=O)NCCc1c(F)cc([O-])cc1F)C(C)C ZINC000886262895 708731757 /nfs/dbraw/zinc/73/17/57/708731757.db2.gz DYNYHEADPWURKU-CQSZACIVSA-N -1 1 314.332 1.490 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cnn2c1OCCC2 ZINC000886268083 708733141 /nfs/dbraw/zinc/73/31/41/708733141.db2.gz CBDZMOPFINFIOC-UHFFFAOYSA-N -1 1 323.299 1.622 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCC(O)CC1 ZINC000927777535 713053309 /nfs/dbraw/zinc/05/33/09/713053309.db2.gz BEIVJFHYXOANQK-UHFFFAOYSA-N -1 1 300.305 1.379 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCC[C@@H](O)C1 ZINC000927779095 713053928 /nfs/dbraw/zinc/05/39/28/713053928.db2.gz VAYHWIUEVAKJSS-SECBINFHSA-N -1 1 300.305 1.379 20 0 DDADMM CCOc1ccncc1S(=O)(=O)[N-]CC(F)(F)C(F)F ZINC000886403611 708754389 /nfs/dbraw/zinc/75/43/89/708754389.db2.gz JPUGJGJDBXMGRV-UHFFFAOYSA-N -1 1 316.276 1.659 20 0 DDADMM C[C@H]1CN(C(=O)NCCc2c(F)cc([O-])cc2F)CC[C@@H]1O ZINC000927789012 713056846 /nfs/dbraw/zinc/05/68/46/713056846.db2.gz MWARZLKLSWDSJS-XPTSAGLGSA-N -1 1 314.332 1.625 20 0 DDADMM CO[C@H](C)CN(C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927784011 713055213 /nfs/dbraw/zinc/05/52/13/713055213.db2.gz CFALRUUUHHNFQG-SECBINFHSA-N -1 1 302.321 1.889 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1C[C@H]2C[C@@]2(CO)C1 ZINC000927785020 713055580 /nfs/dbraw/zinc/05/55/80/713055580.db2.gz WGCJGIHKIDFSKY-PSLIRLAXSA-N -1 1 312.316 1.237 20 0 DDADMM COCCN(CCF)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927787574 713056340 /nfs/dbraw/zinc/05/63/40/713056340.db2.gz YKLRJEPTACHSPT-UHFFFAOYSA-N -1 1 320.311 1.840 20 0 DDADMM C[C@@H]1[C@H](O)CCCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927787354 713056367 /nfs/dbraw/zinc/05/63/67/713056367.db2.gz RNCKKFTUYIVVMH-YMTOWFKASA-N -1 1 314.332 1.768 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CCc2c1cccc2Cl ZINC000898756777 708856444 /nfs/dbraw/zinc/85/64/44/708856444.db2.gz LUUBVWFNJWLVSV-JTQLQIEISA-N -1 1 319.748 1.887 20 0 DDADMM Cc1cnc(C(=O)N2CCOc3ccccc3[C@H]2CO)c([O-])c1 ZINC000887113421 708959001 /nfs/dbraw/zinc/95/90/01/708959001.db2.gz UPWMROVCKCZHBN-CYBMUJFWSA-N -1 1 314.341 1.664 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2(C3CC3)CC2)C1 ZINC000899183594 709012990 /nfs/dbraw/zinc/01/29/90/709012990.db2.gz DWTXCZAHWGHPAI-LBPRGKRZSA-N -1 1 304.312 1.846 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H]1CC[C@H](C2CC2)O1 ZINC000887486771 709054322 /nfs/dbraw/zinc/05/43/22/709054322.db2.gz ACRCRHSTSPUSGH-GHMZBOCLSA-N -1 1 323.418 1.900 20 0 DDADMM CCOc1cc(C(=O)N2CC[C@H]2C(=O)OC)cc(Cl)c1[O-] ZINC000888045335 709198937 /nfs/dbraw/zinc/19/89/37/709198937.db2.gz CIPJRJXWNIPDOS-JTQLQIEISA-N -1 1 313.737 1.832 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC(=O)N2CCC[C@H]21 ZINC000888163085 709229235 /nfs/dbraw/zinc/22/92/35/709229235.db2.gz DASBVWDQFYCCOX-CYBMUJFWSA-N -1 1 311.341 1.735 20 0 DDADMM O=C(NCc1cn2ccccc2n1)c1cnc(C2CC2)[n-]c1=O ZINC000899972034 709255005 /nfs/dbraw/zinc/25/50/05/709255005.db2.gz GOLWZOLBPFKSEW-UHFFFAOYSA-N -1 1 309.329 1.637 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@@H](C2OCCO2)C1 ZINC000927992336 713097650 /nfs/dbraw/zinc/09/76/50/713097650.db2.gz ZQLAMKMIOKPSCJ-LLVKDONJSA-N -1 1 311.765 1.810 20 0 DDADMM CC1(C)C[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000909552048 709513057 /nfs/dbraw/zinc/51/30/57/709513057.db2.gz MXXUGXQROITXQV-SNVBAGLBSA-N -1 1 305.378 1.995 20 0 DDADMM C[C@@]1(CNC(=O)c2c([O-])cnc3c(F)cccc32)CCC(=O)N1 ZINC000909557881 709515157 /nfs/dbraw/zinc/51/51/57/709515157.db2.gz VDGKNAJCKABQLG-INIZCTEOSA-N -1 1 317.320 1.478 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](C)Cc1ccccc1)c1nn[n-]n1 ZINC000912860589 713109909 /nfs/dbraw/zinc/10/99/09/713109909.db2.gz RFIQBTAAFUJHNG-YPMHNXCESA-N -1 1 319.434 1.989 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](C)c1ccccn1)c1nn[n-]n1 ZINC000912862552 713110882 /nfs/dbraw/zinc/11/08/82/713110882.db2.gz PRDKPMSYLLVUIB-KOLCDFICSA-N -1 1 306.395 1.309 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C[C@H]2CCC[C@H]21 ZINC000889593123 709557056 /nfs/dbraw/zinc/55/70/56/709557056.db2.gz NPHCSLHJPISKSK-YRGRVCCFSA-N -1 1 314.389 1.899 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@@](C)(CC(=O)[O-])c1cccc(F)c1 ZINC000909680794 709574762 /nfs/dbraw/zinc/57/47/62/709574762.db2.gz HJEMZUHUOGOIAX-BBRMVZONSA-N -1 1 308.353 1.726 20 0 DDADMM CSC1(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCC1 ZINC000909729235 709598376 /nfs/dbraw/zinc/59/83/76/709598376.db2.gz LHESGWPGOGFYIF-LLVKDONJSA-N -1 1 300.424 1.185 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CC[C@](C(=O)[O-])(C(F)(F)F)C1 ZINC000909767633 709609245 /nfs/dbraw/zinc/60/92/45/709609245.db2.gz QENONWFPRLVZFB-SKDRFNHKSA-N -1 1 308.300 1.336 20 0 DDADMM CNC(=O)[C@@H]1CCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900479617 709615587 /nfs/dbraw/zinc/61/55/87/709615587.db2.gz CJHAFTJMIYRHFU-NSHDSACASA-N -1 1 317.320 1.430 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](C(=O)[O-])[C@H]1C)N1CCc2ccccc2C1 ZINC000909806169 709630534 /nfs/dbraw/zinc/63/05/34/709630534.db2.gz LRMFEYATIVQMFC-IOASZLSFSA-N -1 1 316.401 1.755 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CSC(F)F)[n-]c1=O ZINC000889786032 709635602 /nfs/dbraw/zinc/63/56/02/709635602.db2.gz JPJSLMBDUNLLLP-SSDOTTSWSA-N -1 1 319.333 1.810 20 0 DDADMM COC/C(C)=C/C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786040 709635673 /nfs/dbraw/zinc/63/56/73/709635673.db2.gz JTQIFPDMPAWBJX-PFEDMVJOSA-N -1 1 307.350 1.447 20 0 DDADMM O=C([O-])[C@@H](Cc1cccc(Cl)c1)NC(=O)CN1CCCC1 ZINC000909815317 709636033 /nfs/dbraw/zinc/63/60/33/709636033.db2.gz WLIKECTWHRCLTG-CYBMUJFWSA-N -1 1 310.781 1.548 20 0 DDADMM COc1cccc([C@](C)(CC(=O)[O-])NC(=O)[C@@H](C)N(C)C)c1 ZINC000909819736 709639195 /nfs/dbraw/zinc/63/91/95/709639195.db2.gz PODXCHYOVMXOEW-BZNIZROVSA-N -1 1 308.378 1.451 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2c[nH]cc2C)[n-]c1=O ZINC000889794479 709639423 /nfs/dbraw/zinc/63/94/23/709639423.db2.gz KKXMABZYJOAUKN-NSHDSACASA-N -1 1 302.334 1.805 20 0 DDADMM CN(CC(=O)NCc1ccc(C(=O)[O-])s1)[C@H]1CCSC1 ZINC000909848360 709650832 /nfs/dbraw/zinc/65/08/32/709650832.db2.gz QLJSPINSUFFPNX-VIFPVBQESA-N -1 1 314.432 1.500 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NC[C@H](CC1CC1)C(=O)[O-] ZINC000909882774 709668072 /nfs/dbraw/zinc/66/80/72/709668072.db2.gz LYEGYARLOREYPT-QMMMGPOBSA-N -1 1 319.283 1.968 20 0 DDADMM Cc1cc(C)c(CC(=O)N2CCO[C@H](c3nn[n-]n3)C2)s1 ZINC000900623693 709683040 /nfs/dbraw/zinc/68/30/40/709683040.db2.gz CADAQXFPTWFYKF-JTQLQIEISA-N -1 1 307.379 1.021 20 0 DDADMM CC(C)(C)NS(=O)(=O)CC(=O)Nc1cc([O-])c(F)cc1F ZINC000909974072 709714876 /nfs/dbraw/zinc/71/48/76/709714876.db2.gz FFJIJEPGPMWKPQ-UHFFFAOYSA-N -1 1 322.333 1.327 20 0 DDADMM Cc1ccc(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1O ZINC000910169220 709796260 /nfs/dbraw/zinc/79/62/60/709796260.db2.gz AYRFWWYLCLGBCH-ZDUSSCGKSA-N -1 1 320.389 1.251 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cc3occc3[nH]2)CC1 ZINC000910171299 709796552 /nfs/dbraw/zinc/79/65/52/709796552.db2.gz WBIYOGDIRPUZCE-JTQLQIEISA-N -1 1 305.334 1.382 20 0 DDADMM CCC(C)(C)OCC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910176165 709798157 /nfs/dbraw/zinc/79/81/57/709798157.db2.gz ZHPLNMFFPVYIIL-LBPRGKRZSA-N -1 1 300.399 1.199 20 0 DDADMM CCCc1nc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)co1 ZINC000910177264 709799064 /nfs/dbraw/zinc/79/90/64/709799064.db2.gz KAQUORVGCGROKL-UHFFFAOYSA-N -1 1 309.366 1.248 20 0 DDADMM O=C(NCC[C@H](O)c1ccccc1)c1cnc(C2CC2)[n-]c1=O ZINC000900873557 709802160 /nfs/dbraw/zinc/80/21/60/709802160.db2.gz BSUMVVKGWKGUMU-AWEZNQCLSA-N -1 1 313.357 1.913 20 0 DDADMM O=C(Nc1ccnn1[C@@H]1CCCOC1)c1ccc(O)cc1[O-] ZINC000890333712 709827092 /nfs/dbraw/zinc/82/70/92/709827092.db2.gz BLPWSCYNTWBXFM-SNVBAGLBSA-N -1 1 303.318 1.898 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2c[nH]cc3ncnc2-3)[C@@H]2CCC[C@H]21 ZINC000910246931 709838495 /nfs/dbraw/zinc/83/84/95/709838495.db2.gz PEYXSKBKUHYUBJ-OPQQBVKSSA-N -1 1 314.345 1.673 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2C[C@@H](C)Cc3c[nH]nc32)C[C@@]1(C)C(=O)[O-] ZINC000910261148 709845366 /nfs/dbraw/zinc/84/53/66/709845366.db2.gz UZFNOLYIBCTQFP-AXPHHGHSSA-N -1 1 305.378 1.645 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NCc1cc(C(=O)[O-])co1 ZINC000910310338 709882690 /nfs/dbraw/zinc/88/26/90/709882690.db2.gz CIKLFDSIZKBYMJ-UHFFFAOYSA-N -1 1 316.357 1.769 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)Nc1ccc(C(=O)[O-])nc1 ZINC000910339164 709900994 /nfs/dbraw/zinc/90/09/94/709900994.db2.gz VOKPODDNKJGPKK-UHFFFAOYSA-N -1 1 307.350 1.077 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C(=O)[C@@H](C)N(C)C)CC2 ZINC000910383168 709916952 /nfs/dbraw/zinc/91/69/52/709916952.db2.gz PHTCUSHMPGEGOU-SNVBAGLBSA-N -1 1 306.362 1.228 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1N(C(=O)c1cccc3nn[nH]c31)CC2 ZINC000910395491 709922384 /nfs/dbraw/zinc/92/23/84/709922384.db2.gz HXBMLGIXEZPSLJ-ABAIWWIYSA-N -1 1 300.318 1.427 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cccc3n[nH]cc32)C[C@@]1(F)C(=O)[O-] ZINC000910547569 709993840 /nfs/dbraw/zinc/99/38/40/709993840.db2.gz MXFLIVPDGDZWBQ-PSLIRLAXSA-N -1 1 305.309 1.838 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@H](CSC)C(=O)[O-])c1ccccc1 ZINC000910877849 710105090 /nfs/dbraw/zinc/10/50/90/710105090.db2.gz MNUQRASCALZFRR-CHWSQXEVSA-N -1 1 310.419 1.612 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1c[nH]c(-c2ccccc2)n1 ZINC000901664311 710113993 /nfs/dbraw/zinc/11/39/93/710113993.db2.gz SCCDXTMTVNFYJM-UHFFFAOYSA-N -1 1 303.362 2.000 20 0 DDADMM COCCn1cc(CN2CCc3cccc(C(=O)[O-])c3C2)cn1 ZINC000901779339 710147368 /nfs/dbraw/zinc/14/73/68/710147368.db2.gz RHDOADGZLIPFHF-UHFFFAOYSA-N -1 1 315.373 1.786 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)[C@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC000891614516 710249005 /nfs/dbraw/zinc/24/90/05/710249005.db2.gz MMRKFVXHPJOVEH-GDPRMGEGSA-N -1 1 301.346 1.615 20 0 DDADMM CCOCCOCCCC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891615711 710249485 /nfs/dbraw/zinc/24/94/85/710249485.db2.gz SNRAHIKIYVAJNE-UHFFFAOYSA-N -1 1 323.393 1.833 20 0 DDADMM Cc1cc(C(=O)N2CCC(C(=O)[O-])(C(F)(F)F)CC2)n[nH]1 ZINC000911015472 710595774 /nfs/dbraw/zinc/59/57/74/710595774.db2.gz VPDINUXFIHQINC-UHFFFAOYSA-N -1 1 305.256 1.587 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCc2ccns2)co1 ZINC000893373686 710622447 /nfs/dbraw/zinc/62/24/47/710622447.db2.gz KAAPGAYBVUQSAW-UHFFFAOYSA-N -1 1 316.360 1.044 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)N1CCC[N@@H+](Cc2ccccc2)CC1 ZINC000911136078 710652260 /nfs/dbraw/zinc/65/22/60/710652260.db2.gz OIPQEVXVKKZNKZ-HNNXBMFYSA-N -1 1 304.390 1.832 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000911136078 710652265 /nfs/dbraw/zinc/65/22/65/710652265.db2.gz OIPQEVXVKKZNKZ-HNNXBMFYSA-N -1 1 304.390 1.832 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2cc(C(=O)[O-])ccn2)C[C@H](C)O1 ZINC000911150629 710655366 /nfs/dbraw/zinc/65/53/66/710655366.db2.gz PJLXTHMISLHVMC-TXEJJXNPSA-N -1 1 321.377 1.009 20 0 DDADMM O=C([O-])Cn1cc(CN2CCC[C@H](c3ccccc3)C2)nn1 ZINC000902240241 710676080 /nfs/dbraw/zinc/67/60/80/710676080.db2.gz WBSARFAMSGUCFK-AWEZNQCLSA-N -1 1 300.362 1.742 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1cc(C(=O)[O-])on1)c1ccsc1 ZINC000911192821 710677295 /nfs/dbraw/zinc/67/72/95/710677295.db2.gz GNHUAPXBYDKBLI-JTQLQIEISA-N -1 1 309.347 1.467 20 0 DDADMM CC(C)N1CC[C@@H](NCc2ccc(O[C@H](C)C(=O)[O-])cc2)C1=O ZINC000902257376 710684581 /nfs/dbraw/zinc/68/45/81/710684581.db2.gz VBPLPBSVJNNTJR-IUODEOHRSA-N -1 1 320.389 1.637 20 0 DDADMM CCc1cc(C(=O)N(CCC(=O)[O-])C[C@@H]2CCC[N@@H+]2CC)no1 ZINC000911288330 710728349 /nfs/dbraw/zinc/72/83/49/710728349.db2.gz QITBDIXXJUSBMJ-LBPRGKRZSA-N -1 1 323.393 1.638 20 0 DDADMM CC(=O)NC[C@@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000913351185 713203652 /nfs/dbraw/zinc/20/36/52/713203652.db2.gz ZJYIXYZZSBMIMG-LBPRGKRZSA-N -1 1 318.377 1.190 20 0 DDADMM Cc1cc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc2n[nH]cc21 ZINC000911394389 710777665 /nfs/dbraw/zinc/77/76/65/710777665.db2.gz WKZBTWGBKSQUIQ-LLVKDONJSA-N -1 1 316.361 1.606 20 0 DDADMM O=C([O-])c1cccc(C(=O)N[C@@H]2CCCN3CCSC[C@H]23)c1 ZINC000902817867 710914467 /nfs/dbraw/zinc/91/44/67/710914467.db2.gz FGSRKUIBCVFBQP-ZIAGYGMSSA-N -1 1 320.414 1.694 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCO[C@H](C)CC1 ZINC000928535870 713219305 /nfs/dbraw/zinc/21/93/05/713219305.db2.gz SHDLFZOIBRVTLW-SNVBAGLBSA-N -1 1 318.377 1.232 20 0 DDADMM C[C@@]1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCCCO1 ZINC000902974158 710981362 /nfs/dbraw/zinc/98/13/62/710981362.db2.gz YKARTPDMWMXEQU-INIZCTEOSA-N -1 1 301.346 1.612 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnc2ccnn2c1)C1CCCC1 ZINC000903187870 711059019 /nfs/dbraw/zinc/05/90/19/711059019.db2.gz JEGXUDWWHUIWSH-ZDUSSCGKSA-N -1 1 324.406 1.676 20 0 DDADMM COCc1nc(N(C)C[C@@]2(O)CCc3ccccc32)cc(=O)[n-]1 ZINC000894344256 711078362 /nfs/dbraw/zinc/07/83/62/711078362.db2.gz CQHUCIIHAXZXLH-KRWDZBQOSA-N -1 1 315.373 1.599 20 0 DDADMM C[C@@H]1CCN(CCS(=O)(=O)CC(=O)[O-])[C@H]1c1ccccc1 ZINC000903410523 711118226 /nfs/dbraw/zinc/11/82/26/711118226.db2.gz CDKGBCPNUPEWCE-IUODEOHRSA-N -1 1 311.403 1.569 20 0 DDADMM CC[C@@H](O)[C@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000912120381 711181946 /nfs/dbraw/zinc/18/19/46/711181946.db2.gz WDIGIRUJDLIKEE-VXGBXAGGSA-N -1 1 320.418 1.784 20 0 DDADMM Cc1ccccc1CCC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494572 713237198 /nfs/dbraw/zinc/23/71/98/713237198.db2.gz FNIZZDGVZXJKKO-ZDUSSCGKSA-N -1 1 301.350 1.041 20 0 DDADMM CC(C)(C)c1csc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)n1 ZINC000913494474 713237482 /nfs/dbraw/zinc/23/74/82/713237482.db2.gz ZXTHHMLNIZREHE-MRVPVSSYSA-N -1 1 322.394 1.167 20 0 DDADMM CC(C)[C@H]1CC[C@H](C(=O)N2CCOC[C@H]2c2nn[n-]n2)CC1 ZINC000913496327 713238264 /nfs/dbraw/zinc/23/82/64/713238264.db2.gz XVRLOQIHMRVMCN-AVGNSLFASA-N -1 1 307.398 1.562 20 0 DDADMM CC[C@H](C)c1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000913496418 713238509 /nfs/dbraw/zinc/23/85/09/713238509.db2.gz ZRVBEFLRWAHIAZ-FZMZJTMJSA-N -1 1 315.377 1.927 20 0 DDADMM CC[C@@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)C1CCC(C)CC1 ZINC000913496689 713238696 /nfs/dbraw/zinc/23/86/96/713238696.db2.gz AHUZDDCKHATESU-NWINJMCUSA-N -1 1 321.425 1.952 20 0 DDADMM O=C(CC(C1CCC1)C1CCC1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913498543 713239394 /nfs/dbraw/zinc/23/93/94/713239394.db2.gz IDQXBFACCBABDF-AWEZNQCLSA-N -1 1 319.409 1.706 20 0 DDADMM C[C@@]1(NC(=O)c2s[n-]c(=O)c2Cl)CN2CCC1CC2 ZINC000912380061 711302193 /nfs/dbraw/zinc/30/21/93/711302193.db2.gz ZKSYLNKMDUEICD-GFCCVEGCSA-N -1 1 301.799 1.716 20 0 DDADMM CO[C@@H]1CN(C(=O)NCc2ccc([O-])c(Cl)c2)CCO1 ZINC000896310437 711704742 /nfs/dbraw/zinc/70/47/42/711704742.db2.gz BQAWDZYHZNMFEP-LBPRGKRZSA-N -1 1 300.742 1.560 20 0 DDADMM Cc1cc(O)cc([N-]S(=O)(=O)N=S2(=O)CCCC2)c1 ZINC000905106087 711938138 /nfs/dbraw/zinc/93/81/38/711938138.db2.gz ANAQESPBWJMOQP-UHFFFAOYSA-N -1 1 304.393 1.619 20 0 DDADMM O=C(c1ccc2ccccc2n1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742167 713291851 /nfs/dbraw/zinc/29/18/51/713291851.db2.gz LASNSRYZIHDXGH-UHFFFAOYSA-N -1 1 308.345 1.768 20 0 DDADMM C[C@@H]1[C@@H](C(=O)N2CCC(c3nn[n-]n3)CC2)[C@H]1c1ccccc1 ZINC000913744700 713293243 /nfs/dbraw/zinc/29/32/43/713293243.db2.gz ABWRITXQGGHLFX-NILFDRSVSA-N -1 1 311.389 1.955 20 0 DDADMM O=C(Cc1cccc2ncccc12)N1CCC(c2nn[n-]n2)CC1 ZINC000913746687 713294307 /nfs/dbraw/zinc/29/43/07/713294307.db2.gz DVOSOCYOZBZCSE-UHFFFAOYSA-N -1 1 322.372 1.697 20 0 DDADMM COc1ccc([C@@H](NC[C@@H](O)C(F)(F)F)C(=O)[O-])cc1F ZINC000905396095 712027233 /nfs/dbraw/zinc/02/72/33/712027233.db2.gz MTGUANHEZJJTMT-NXEZZACHSA-N -1 1 311.231 1.473 20 0 DDADMM CCC/C=C/[C@H](O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000913780197 713299593 /nfs/dbraw/zinc/29/95/93/713299593.db2.gz RPYWXOISYBMYGE-BXQPDHIASA-N -1 1 322.327 1.373 20 0 DDADMM Nc1c(F)cccc1CN[C@@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000905792674 712147376 /nfs/dbraw/zinc/14/73/76/712147376.db2.gz DPOOKAGVASXZTM-LLVKDONJSA-N -1 1 304.325 1.927 20 0 DDADMM CNc1cccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000906090392 712241902 /nfs/dbraw/zinc/24/19/02/712241902.db2.gz JJGLALNZVXJWQY-NSHDSACASA-N -1 1 302.334 1.837 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CCC23CCCC3)c1 ZINC000907025345 712468098 /nfs/dbraw/zinc/46/80/98/712468098.db2.gz UXNBWVFCXWRKSA-UHFFFAOYSA-N -1 1 311.359 1.798 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CC[C@H](C3CC3)O2)sc1C ZINC000907466658 712579043 /nfs/dbraw/zinc/57/90/43/712579043.db2.gz DICQCTZVIORIOU-NWDGAFQWSA-N -1 1 316.448 1.996 20 0 DDADMM O=C(c1ccncc1Cl)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907474423 712581617 /nfs/dbraw/zinc/58/16/17/712581617.db2.gz KCXBKXABDMRKJF-SECBINFHSA-N -1 1 310.770 1.178 20 0 DDADMM CSc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)co1 ZINC000907479305 712583386 /nfs/dbraw/zinc/58/33/86/712583386.db2.gz BMUATSTYKXWWQG-QMMMGPOBSA-N -1 1 311.392 1.445 20 0 DDADMM O=C(CCCc1nccs1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479870 712583631 /nfs/dbraw/zinc/58/36/31/712583631.db2.gz MRNZGVZBVMUJCT-VIFPVBQESA-N -1 1 324.435 1.296 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480411 712583655 /nfs/dbraw/zinc/58/36/55/712583655.db2.gz OYYILQAILABFFD-YUMQZZPRSA-N -1 1 323.344 1.795 20 0 DDADMM C[C@H]1C(=O)N(C)CCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907540191 712591795 /nfs/dbraw/zinc/59/17/95/712591795.db2.gz UQFBYJKGTXMWRI-VIFPVBQESA-N -1 1 317.320 1.382 20 0 DDADMM C[C@@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)[C@@H]1CO ZINC000907631963 712606002 /nfs/dbraw/zinc/60/60/02/712606002.db2.gz IRLWJKXOJYXMEG-BXKDBHETSA-N -1 1 304.321 1.922 20 0 DDADMM CCOC1CC(CCNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000907674779 712612573 /nfs/dbraw/zinc/61/25/73/712612573.db2.gz GIKFTTLSSYPORE-UHFFFAOYSA-N -1 1 305.378 1.995 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)C[C@@H]3C(C)(C)C3(F)F)CC2)n1 ZINC000907860923 712637475 /nfs/dbraw/zinc/63/74/75/712637475.db2.gz VHCPIDMARGIGOL-MRVPVSSYSA-N -1 1 321.349 1.578 20 0 DDADMM CCCC[C@@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)C(C)C ZINC000907939511 712652138 /nfs/dbraw/zinc/65/21/38/712652138.db2.gz DGPFSWIWUTWIMA-GFCCVEGCSA-N -1 1 309.414 1.472 20 0 DDADMM COc1cnc([C@H]2CCCN2S(=O)(=O)CC2CC2)[n-]c1=O ZINC000908017255 712666818 /nfs/dbraw/zinc/66/68/18/712666818.db2.gz SMCJKLFXBUZUKT-SNVBAGLBSA-N -1 1 313.379 1.068 20 0 DDADMM CN(CC(=O)N[C@@H](CC(=O)[O-])Cc1ccccc1)C1CCC1 ZINC000908234727 712715920 /nfs/dbraw/zinc/71/59/20/712715920.db2.gz LGAVEIPBIGPBFA-CQSZACIVSA-N -1 1 304.390 1.673 20 0 DDADMM O=C([O-])C[C@H](Cc1ccccc1)NC(=O)CCc1cnc[nH]1 ZINC000908236163 712716515 /nfs/dbraw/zinc/71/65/15/712716515.db2.gz FIMMJFOKIUHKFJ-AWEZNQCLSA-N -1 1 301.346 1.545 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@H](CC(=O)[O-])Cc1ccccc1 ZINC000908243422 712718503 /nfs/dbraw/zinc/71/85/03/712718503.db2.gz USHOWPBUPGAMNX-OCCSQVGLSA-N -1 1 315.373 1.791 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2cccnc2C)o1 ZINC000908358474 712751330 /nfs/dbraw/zinc/75/13/30/712751330.db2.gz RTQJJVJGBZRAFS-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM CCN(CC(=O)N[C@@H](CC(=O)[O-])c1ccc(F)cc1)C1CC1 ZINC000908605912 712819876 /nfs/dbraw/zinc/81/98/76/712819876.db2.gz BSOOTGDBRJESJP-AWEZNQCLSA-N -1 1 308.353 1.942 20 0 DDADMM C[C@@H](CCc1ccccc1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908693811 712836492 /nfs/dbraw/zinc/83/64/92/712836492.db2.gz JNGIQRVXSODCFL-HOCLYGCPSA-N -1 1 318.417 1.921 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@@H](CF)C(=O)[O-])c1cccc(F)c1 ZINC000908752503 712848078 /nfs/dbraw/zinc/84/80/78/712848078.db2.gz JPWOCYGIMMYZEB-NWDGAFQWSA-N -1 1 315.320 1.150 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2C[C@H]2c2cccc(F)c2)C1 ZINC000908785053 712854356 /nfs/dbraw/zinc/85/43/56/712854356.db2.gz FIVDGLNBESWGJQ-QEJZJMRPSA-N -1 1 320.364 1.594 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@H]2CCc3ccc(F)cc32)C1 ZINC000908785973 712854467 /nfs/dbraw/zinc/85/44/67/712854467.db2.gz TXIFIYJTACHYNS-DOMZBBRYSA-N -1 1 320.364 1.726 20 0 DDADMM CS[C@@H]1CCC[C@H](NC(=O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)C1 ZINC000908812015 712859610 /nfs/dbraw/zinc/85/96/10/712859610.db2.gz DJMLCDMXBWGPJZ-RWMBFGLXSA-N -1 1 314.451 1.573 20 0 DDADMM CS[C@@H]1CCC[C@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)C1 ZINC000908812015 712859613 /nfs/dbraw/zinc/85/96/13/712859613.db2.gz DJMLCDMXBWGPJZ-RWMBFGLXSA-N -1 1 314.451 1.573 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@@H](C(=O)[O-])C1CC1)c1ccc(F)cc1 ZINC000908817393 712861006 /nfs/dbraw/zinc/86/10/06/712861006.db2.gz FFMCCKXTXXZKFK-UONOGXRCSA-N -1 1 323.368 1.591 20 0 DDADMM C[C@H](CNC(=O)N(C)[C@@H](C)C(=O)[O-])N1CCc2ccccc2C1 ZINC000908912185 712885065 /nfs/dbraw/zinc/88/50/65/712885065.db2.gz GLLYURUQTVLABQ-OLZOCXBDSA-N -1 1 319.405 1.548 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000908921413 712887697 /nfs/dbraw/zinc/88/76/97/712887697.db2.gz LPTDUJSVBCUZGX-SFYZADRCSA-N -1 1 317.388 1.502 20 0 DDADMM CC[C@](NC(=O)CN(C)C1CCC1)(C(=O)[O-])c1ccccc1 ZINC000908942056 712893136 /nfs/dbraw/zinc/89/31/36/712893136.db2.gz DFKZIDGYEGGHGC-QGZVFWFLSA-N -1 1 304.390 1.977 20 0 DDADMM CC(C)(C)C(=O)C[N-]S(=O)(=O)Cc1noc2c1CCCC2 ZINC000914376695 713385932 /nfs/dbraw/zinc/38/59/32/713385932.db2.gz HPZYGQGRHQMWRA-UHFFFAOYSA-N -1 1 314.407 1.588 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000929065168 713542454 /nfs/dbraw/zinc/54/24/54/713542454.db2.gz TUZCVVVIOXOZCC-VXGBXAGGSA-N -1 1 315.439 1.071 20 0 DDADMM CC(=O)N1CCC[N@@H+](C[C@@H](C)C(=O)c2ccc([O-])cc2)CC1 ZINC000929102131 713546465 /nfs/dbraw/zinc/54/64/65/713546465.db2.gz PMGBQWTUCFVXQR-CYBMUJFWSA-N -1 1 304.390 1.765 20 0 DDADMM C[C@H](NC(=O)NCCc1c(F)cc([O-])cc1F)[C@@H]1CCOC1 ZINC000920480312 713673502 /nfs/dbraw/zinc/67/35/02/713673502.db2.gz CTOSAAPDMXIYIN-VHSXEESVSA-N -1 1 314.332 1.937 20 0 DDADMM CC(=O)N1CCN(C[C@@H](C)C(=O)c2ccc([O-])cc2)[C@H](C)C1 ZINC000929840387 713703108 /nfs/dbraw/zinc/70/31/08/713703108.db2.gz BZZPKQXXLIGRMX-CHWSQXEVSA-N -1 1 304.390 1.764 20 0 DDADMM COC(=O)/C(C)=C/C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000921223963 713723316 /nfs/dbraw/zinc/72/33/16/713723316.db2.gz ZUYGEECUFHNXEB-FNORWQNLSA-N -1 1 309.290 1.615 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@]23CCC[C@H]2OCC3)sc1C ZINC000921589202 713815010 /nfs/dbraw/zinc/81/50/10/713815010.db2.gz LMIFJIKAYZNZTR-DGCLKSJQSA-N -1 1 316.448 1.997 20 0 DDADMM COC[C@@H](NC(=O)NCCc1c(F)cc([O-])cc1F)C1CC1 ZINC000921596109 713817404 /nfs/dbraw/zinc/81/74/04/713817404.db2.gz XCLMQSPMFFPGPB-CQSZACIVSA-N -1 1 314.332 1.937 20 0 DDADMM O=C1C[C@H](CC[N-]S(=O)(=O)c2c(F)cccc2Cl)CN1 ZINC000921651223 713831636 /nfs/dbraw/zinc/83/16/36/713831636.db2.gz UOSGDXIWCIFHMN-QMMMGPOBSA-N -1 1 320.773 1.284 20 0 DDADMM NC(=O)CN(C(=O)c1cc(Cl)ccc1[O-])C1CCOCC1 ZINC000921716412 713852068 /nfs/dbraw/zinc/85/20/68/713852068.db2.gz PJGFGTYRHAFPNS-UHFFFAOYSA-N -1 1 312.753 1.152 20 0 DDADMM C[C@H]1CSCCCN1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000931132317 714010805 /nfs/dbraw/zinc/01/08/05/714010805.db2.gz VXVCXSQNYQXJHN-JTQLQIEISA-N -1 1 305.407 1.701 20 0 DDADMM CO[C@H](C)[C@@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922538646 714082663 /nfs/dbraw/zinc/08/26/63/714082663.db2.gz UWPHGZNJYXAGJM-RKDXNWHRSA-N -1 1 302.321 1.936 20 0 DDADMM CNC(=O)CCN(C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932043388 714244791 /nfs/dbraw/zinc/24/47/91/714244791.db2.gz WRFJMSMIKGCLLN-UHFFFAOYSA-N -1 1 317.349 1.178 20 0 DDADMM C[C@H](O)[C@@H]1CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932045934 714245334 /nfs/dbraw/zinc/24/53/34/714245334.db2.gz JZDRHYSVOZEQFE-NWDGAFQWSA-N -1 1 316.361 1.813 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@H]1CCC[C@H]1CO ZINC000932053786 714247503 /nfs/dbraw/zinc/24/75/03/714247503.db2.gz HKYNINJLVLYSHQ-FZMZJTMJSA-N -1 1 316.361 1.860 20 0 DDADMM CCc1cc(C(=O)N2CC[C@H](C(=O)[O-])C[C@@H]2[C@@H]2CCCO2)n[nH]1 ZINC000923162784 714258908 /nfs/dbraw/zinc/25/89/08/714258908.db2.gz HWMPRCNWFGABGO-GDLCADMTSA-N -1 1 321.377 1.457 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(CCCOCc2ccccc2)CC1 ZINC000932533106 714356970 /nfs/dbraw/zinc/35/69/70/714356970.db2.gz MGERAKRBFUZTJR-UHFFFAOYSA-N -1 1 315.377 1.593 20 0 DDADMM C[C@H](NC(=O)NCc1ccc([O-])c(Cl)c1)c1cn(C)nn1 ZINC000923983173 714499810 /nfs/dbraw/zinc/49/98/10/714499810.db2.gz VZDJLYIVLGUFDQ-QMMMGPOBSA-N -1 1 309.757 1.735 20 0 DDADMM CC[C@H]1C(=O)NCCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000933227450 714542330 /nfs/dbraw/zinc/54/23/30/714542330.db2.gz VWLQOOKJCHBYSV-AWEZNQCLSA-N -1 1 316.357 1.599 20 0 DDADMM C[S@@](=O)(=NC([O-])=CS(=O)(=O)C1CC1)C1CCCCC1 ZINC000926027123 714992767 /nfs/dbraw/zinc/99/27/67/714992767.db2.gz UOLNLVKLMZUMKM-SFHVURJKSA-N -1 1 307.437 1.521 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)c1cc(=O)[nH]cc1Br ZINC000935591762 715095889 /nfs/dbraw/zinc/09/58/89/715095889.db2.gz BHOZBTKXQLZTEF-SSDOTTSWSA-N -1 1 317.139 1.248 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2(C)CC=CC2)c1 ZINC000936052907 715146365 /nfs/dbraw/zinc/14/63/65/715146365.db2.gz JYMVAILWJKXQHY-UHFFFAOYSA-N -1 1 310.375 1.595 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000954263692 715313630 /nfs/dbraw/zinc/31/36/30/715313630.db2.gz SAYAAXYVTYUDSM-NEPJUHHUSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)c2ccoc2)C1 ZINC000956848595 715635610 /nfs/dbraw/zinc/63/56/10/715635610.db2.gz CFAUUTVCQPSSBH-MRXNPFEDSA-N -1 1 315.329 1.415 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CC1 ZINC000955463838 715796993 /nfs/dbraw/zinc/79/69/93/715796993.db2.gz KPMWDHPQUZVCHZ-PWSUYJOCSA-N -1 1 303.362 1.307 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939143885 716150790 /nfs/dbraw/zinc/15/07/90/716150790.db2.gz LTUFCWMRCJFOEY-DGCLKSJQSA-N -1 1 323.368 1.454 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2CC23CC3)C1 ZINC000939894940 716483169 /nfs/dbraw/zinc/48/31/69/716483169.db2.gz FICYKNUNZIBHPS-VXGBXAGGSA-N -1 1 315.373 1.260 20 0 DDADMM O=C(N[C@@H]1[C@H]2CN(Cc3cscn3)C[C@H]21)c1ncccc1[O-] ZINC000958646983 716685789 /nfs/dbraw/zinc/68/57/89/716685789.db2.gz KGDVHBGPEHEJTB-PJXYFTJBSA-N -1 1 316.386 1.104 20 0 DDADMM Cc1c[nH]cc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000940513214 716820658 /nfs/dbraw/zinc/82/06/58/716820658.db2.gz YXWCDZZMVYKSTG-LLVKDONJSA-N -1 1 314.345 1.068 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2CN(C(=O)C3CC3)CC[C@H]21 ZINC000945818263 718574080 /nfs/dbraw/zinc/57/40/80/718574080.db2.gz CNYUXDVXKOSKGA-QWHCGFSZSA-N -1 1 315.373 1.260 20 0 DDADMM CCCC(=O)N1CC[C@H]2[C@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945855498 718594240 /nfs/dbraw/zinc/59/42/40/718594240.db2.gz YGABTLOXPIMKBN-OLZOCXBDSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@@H]1CN(C(=O)C2(CF)CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966800883 718665182 /nfs/dbraw/zinc/66/51/82/718665182.db2.gz PCFAEMYIAMBVOF-MNOVXSKESA-N -1 1 321.352 1.114 20 0 DDADMM CC(C)C(=O)N[C@H]1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946128010 718686804 /nfs/dbraw/zinc/68/68/04/718686804.db2.gz BBBIEXQEEHFZIC-RYUDHWBXSA-N -1 1 305.378 1.458 20 0 DDADMM O=C(NC1CCN(C(=O)c2cc[nH]c2)CC1)c1ncccc1[O-] ZINC000947016409 718987209 /nfs/dbraw/zinc/98/72/09/718987209.db2.gz FJIYVBTXRPOCPE-UHFFFAOYSA-N -1 1 314.345 1.150 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ccoc1)c1ncccc1[O-] ZINC000949531264 720143445 /nfs/dbraw/zinc/14/34/45/720143445.db2.gz OHIOFTFKUIFTHW-NSHDSACASA-N -1 1 301.302 1.025 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949541497 720149418 /nfs/dbraw/zinc/14/94/18/720149418.db2.gz ITXXAQMKTWUXAG-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CCCC1 ZINC000949541803 720150052 /nfs/dbraw/zinc/15/00/52/720150052.db2.gz PSSGOTZVJCBAGR-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM CC(F)(F)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000949695439 720273324 /nfs/dbraw/zinc/27/33/24/720273324.db2.gz VNXGHPGUBISFCL-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM C=Cc1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1 ZINC000970050152 720611301 /nfs/dbraw/zinc/61/13/01/720611301.db2.gz LJVMRFOWHIVLGC-CYBMUJFWSA-N -1 1 313.361 1.158 20 0 DDADMM C[C@H](C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000950539041 720674785 /nfs/dbraw/zinc/67/47/85/720674785.db2.gz FUSDPBFWPTVBHR-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C)CC[C@H]1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000951644016 721112225 /nfs/dbraw/zinc/11/22/25/721112225.db2.gz UXSMDOMSVUSFML-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC000953888782 721674643 /nfs/dbraw/zinc/67/46/43/721674643.db2.gz WTEZTLSZLNHUJC-UHFFFAOYSA-N -1 1 305.378 1.506 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1cccc(Cl)c1)c1nccs1 ZINC001187913299 744629064 /nfs/dbraw/zinc/62/90/64/744629064.db2.gz WBNYPWBKUHSRNE-SNVBAGLBSA-N -1 1 318.807 1.808 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1Cc2ccccc2C(C)(C)C1 ZINC001121359241 782471097 /nfs/dbraw/zinc/47/10/97/782471097.db2.gz FMNFHBNCYYXLPG-UHFFFAOYSA-N -1 1 310.365 1.293 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1Cc2ccccc2C(C)(C)C1 ZINC001121359241 782471104 /nfs/dbraw/zinc/47/11/04/782471104.db2.gz FMNFHBNCYYXLPG-UHFFFAOYSA-N -1 1 310.365 1.293 20 0 DDADMM O=C(CCC1CCC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024917619 736168240 /nfs/dbraw/zinc/16/82/40/736168240.db2.gz QXQRJNHIVIJPHP-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM C[C@@]1(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C=CCC1 ZINC001025042169 736247376 /nfs/dbraw/zinc/24/73/76/736247376.db2.gz BOXRKMBIQPQLPG-MLGOLLRUSA-N -1 1 319.409 1.337 20 0 DDADMM C[C@@H](N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)c1csnn1 ZINC001020095996 736990019 /nfs/dbraw/zinc/99/00/19/736990019.db2.gz IKDQHVCMGCTZOX-OPRDCNLKSA-N -1 1 319.390 1.250 20 0 DDADMM Cc1cc(N[C@H](C)CNC(=O)c2c(C)nc[nH]c2=O)nc(C)[nH+]1 ZINC001098229640 737379530 /nfs/dbraw/zinc/37/95/30/737379530.db2.gz WCMLKJFUJNDFSX-SECBINFHSA-N -1 1 316.365 1.128 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN(Cc3cncs3)C2)c1[O-] ZINC001028484923 739568353 /nfs/dbraw/zinc/56/83/53/739568353.db2.gz FOKKFBLCMCFHRW-JTQLQIEISA-N -1 1 321.406 1.132 20 0 DDADMM Cc1cnc(CN2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)o1 ZINC001028499694 739590107 /nfs/dbraw/zinc/59/01/07/739590107.db2.gz KMOREYVOVGSURL-LBPRGKRZSA-N -1 1 316.361 1.336 20 0 DDADMM CCO[C@@H](CC)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075828744 740912055 /nfs/dbraw/zinc/91/20/55/740912055.db2.gz COVSHSZRJSCMOI-AAEUAGOBSA-N -1 1 323.393 1.227 20 0 DDADMM CC[C@@H](F)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088360950 741436947 /nfs/dbraw/zinc/43/69/47/741436947.db2.gz LYPBIOHNWNASRN-WDEREUQCSA-N -1 1 313.377 1.119 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)CCC2CC2)CC1 ZINC001029947913 741637597 /nfs/dbraw/zinc/63/75/97/741637597.db2.gz NILMCEBSAPSHHN-UHFFFAOYSA-N -1 1 321.425 1.371 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)C2(C)CCC2)CC1 ZINC001029983586 741661210 /nfs/dbraw/zinc/66/12/10/741661210.db2.gz JCLRFNYBFYJIAI-UHFFFAOYSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@@]1(CO)CN(Cc2ccc(Cl)cc2C(=O)[O-])CC[C@H]1O ZINC001142647080 742480332 /nfs/dbraw/zinc/48/03/32/742480332.db2.gz NDONLZJZIIWSTR-HIFRSBDPSA-N -1 1 313.781 1.603 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2nnc3cc(C)ccn32)no1 ZINC001126947126 742982012 /nfs/dbraw/zinc/98/20/12/742982012.db2.gz CNBSJJAOYZRAMB-UHFFFAOYSA-N -1 1 301.262 1.065 20 0 DDADMM Nc1cc(CC(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)ccn1 ZINC001181239605 743106775 /nfs/dbraw/zinc/10/67/75/743106775.db2.gz CEUWCGJIPIPHNN-UHFFFAOYSA-N -1 1 309.329 1.736 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N(C)C[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001002413275 743119193 /nfs/dbraw/zinc/11/91/93/743119193.db2.gz SUWKBHHDEITEJA-XQQFMLRXSA-N -1 1 317.389 1.506 20 0 DDADMM CCCCOCCOCC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001182211255 743521207 /nfs/dbraw/zinc/52/12/07/743521207.db2.gz WUHZKURQXASVKC-UHFFFAOYSA-N -1 1 314.298 1.058 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)C1(c2ccc(O)cc2)CC1 ZINC001183342351 743826952 /nfs/dbraw/zinc/82/69/52/743826952.db2.gz HCNHNKBJLZIPQS-UHFFFAOYSA-N -1 1 311.301 1.434 20 0 DDADMM C[C@H](OCc1ccccc1)C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001183492932 743847395 /nfs/dbraw/zinc/84/73/95/743847395.db2.gz GWMAYXKWJQPNJH-QMMMGPOBSA-N -1 1 318.289 1.830 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C3CC4(C3)CC(F)(F)C4)c1-2 ZINC001184198471 743989905 /nfs/dbraw/zinc/98/99/05/743989905.db2.gz WLDBMZFPQKQCOI-UHFFFAOYSA-N -1 1 307.304 1.799 20 0 DDADMM COCn1cc([N-]S(=O)(=O)Cc2cc(F)cc(F)c2)cn1 ZINC001185097232 744153608 /nfs/dbraw/zinc/15/36/08/744153608.db2.gz YRRVKYJSDBCMNM-UHFFFAOYSA-N -1 1 317.317 1.707 20 0 DDADMM CCCCC1(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001185455896 744228178 /nfs/dbraw/zinc/22/81/78/744228178.db2.gz VJBBRBHIRHRCBF-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM O=S(=O)([N-]c1cnncc1Cl)c1ccc(Cl)nc1 ZINC001185650500 744263069 /nfs/dbraw/zinc/26/30/69/744263069.db2.gz XAYLEIYPWGPMGU-UHFFFAOYSA-N -1 1 305.146 1.979 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3scnc3C3CC3)c1-2 ZINC001185673100 744272728 /nfs/dbraw/zinc/27/27/28/744272728.db2.gz HSJGAKVTWSOVRD-UHFFFAOYSA-N -1 1 300.347 1.621 20 0 DDADMM COC(=O)[C@@](C)(NC(=O)c1ccc([O-])cc1F)C(F)(F)F ZINC001186348971 744381038 /nfs/dbraw/zinc/38/10/38/744381038.db2.gz VZIPUZXLHXQPED-LLVKDONJSA-N -1 1 309.215 1.755 20 0 DDADMM CSc1nc(NC(=O)c2ccc([O-])cc2F)cc(=O)n1C ZINC001186339302 744389469 /nfs/dbraw/zinc/38/94/69/744389469.db2.gz BZXUWDVFDKDRPH-UHFFFAOYSA-N -1 1 309.322 1.599 20 0 DDADMM COC(=O)[C@](C)([N-]S(=O)(=O)c1ccco1)c1ccccc1 ZINC001186874636 744456932 /nfs/dbraw/zinc/45/69/32/744456932.db2.gz FZUDKJFSILYVGW-CQSZACIVSA-N -1 1 309.343 1.646 20 0 DDADMM Cc1ncn(C)c1[N-]S(=O)(=O)c1ccc2c(c1)CC(=O)N2 ZINC001186897908 744462665 /nfs/dbraw/zinc/46/26/65/744462665.db2.gz FQJCFGJPTLPKJP-UHFFFAOYSA-N -1 1 306.347 1.024 20 0 DDADMM CC[C@H](CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001187230766 744520663 /nfs/dbraw/zinc/52/06/63/744520663.db2.gz BYFBBBAQWKUYJC-VXGBXAGGSA-N -1 1 309.414 1.273 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]c1cnc(Cl)nc1Cl ZINC001187334757 744542479 /nfs/dbraw/zinc/54/24/79/744542479.db2.gz TYGNIZZZVOLXBZ-UHFFFAOYSA-N -1 1 309.134 1.881 20 0 DDADMM COc1ncccc1[C@H]([N-]S(=O)(=O)c1cnoc1C)C1CC1 ZINC001187414511 744559361 /nfs/dbraw/zinc/55/93/61/744559361.db2.gz SUEKKJGNTRNVIK-CYBMUJFWSA-N -1 1 323.374 1.816 20 0 DDADMM O=C(N[C@@H]1COCc2ccccc21)c1n[n-]nc1C(F)(F)F ZINC001187773730 744600307 /nfs/dbraw/zinc/60/03/07/744600307.db2.gz KEBRHDFRXLZWEF-SECBINFHSA-N -1 1 312.251 1.825 20 0 DDADMM COc1nc(Cl)cc(NC(=O)c2n[n-]nc2C(F)(F)F)n1 ZINC001187758693 744606078 /nfs/dbraw/zinc/60/60/78/744606078.db2.gz CKNBLAFRKLOPLI-UHFFFAOYSA-N -1 1 322.634 1.528 20 0 DDADMM COc1ccc(CO)c(NC(=O)c2n[n-]nc2C(F)(F)F)c1 ZINC001187766304 744607964 /nfs/dbraw/zinc/60/79/64/744607964.db2.gz PDJSFOIONBNNQP-UHFFFAOYSA-N -1 1 316.239 1.577 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1CN(C/C=C/Cl)C1 ZINC001030775884 744607944 /nfs/dbraw/zinc/60/79/44/744607944.db2.gz HWTCBDSPSBYPGO-SNAWJCMRSA-N -1 1 324.812 1.781 20 0 DDADMM COc1ccc2c(c1)CC[C@H]([N-]S(=O)(=O)c1nccs1)C2 ZINC001187846402 744617754 /nfs/dbraw/zinc/61/77/54/744617754.db2.gz GFNAMMUYNUQOAB-LBPRGKRZSA-N -1 1 324.427 1.988 20 0 DDADMM O=S(=O)([N-]Cc1nnc(-c2ccccc2)o1)c1nccs1 ZINC001187909175 744628505 /nfs/dbraw/zinc/62/85/05/744628505.db2.gz VITMDMZQPHDCON-UHFFFAOYSA-N -1 1 322.371 1.672 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1ccc(Cl)cc1)c1nccs1 ZINC001187913247 744629304 /nfs/dbraw/zinc/62/93/04/744629304.db2.gz VCAUKTUHQFXTRX-JTQLQIEISA-N -1 1 318.807 1.808 20 0 DDADMM Cn1cnc([N-]C(=O)c2nnsc2C2CC2)c2ncnc1-2 ZINC001188084503 744658052 /nfs/dbraw/zinc/65/80/52/744658052.db2.gz VWRVXEZKJYOREC-UHFFFAOYSA-N -1 1 301.335 1.296 20 0 DDADMM COC(=O)c1nc(Cl)ccc1[N-]S(=O)(=O)C1CCC1 ZINC001188108875 744661432 /nfs/dbraw/zinc/66/14/32/744661432.db2.gz MDLDJCRPXNAZGR-UHFFFAOYSA-N -1 1 304.755 1.816 20 0 DDADMM O=C(c1ccncc1[O-])N1CC[C@H]2[C@H]1CCN2Cc1cccnc1 ZINC001188627052 744741129 /nfs/dbraw/zinc/74/11/29/744741129.db2.gz HOFKLJCTWAGFDH-JKSUJKDBSA-N -1 1 324.384 1.671 20 0 DDADMM O=S(=O)([N-]CCF)c1cc(F)c(Br)c(F)c1 ZINC001189046368 744815581 /nfs/dbraw/zinc/81/55/81/744815581.db2.gz OOLWKTBZUBWJCI-UHFFFAOYSA-N -1 1 318.114 1.975 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C(C)(C)C)CCCN1C(=O)c1ncccc1[O-] ZINC001015218452 744918326 /nfs/dbraw/zinc/91/83/26/744918326.db2.gz FVOZJGMXMFTFQI-RYUDHWBXSA-N -1 1 319.405 1.943 20 0 DDADMM O=S(=O)([N-]c1cc(F)cnc1Br)c1ncc[nH]1 ZINC001190689499 745297743 /nfs/dbraw/zinc/29/77/43/745297743.db2.gz JUORGGBCDWKYJM-UHFFFAOYSA-N -1 1 321.131 1.507 20 0 DDADMM O=S(=O)(Nc1ncc(Br)cc1F)c1ncc[n-]1 ZINC001190689667 745297991 /nfs/dbraw/zinc/29/79/91/745297991.db2.gz NHGMTJDAIZSCJW-UHFFFAOYSA-N -1 1 321.131 1.507 20 0 DDADMM CC(=O)Nc1ccc(Cl)c(NS(=O)(=O)c2ncc[n-]2)c1 ZINC001190722621 745305698 /nfs/dbraw/zinc/30/56/98/745305698.db2.gz WZUSHDMVLIJELR-UHFFFAOYSA-N -1 1 314.754 1.822 20 0 DDADMM COC(=O)c1cccc(NS(=O)(=O)c2ncc[n-]2)c1Cl ZINC001190730184 745309686 /nfs/dbraw/zinc/30/96/86/745309686.db2.gz UCGBMMZNSJUSLG-UHFFFAOYSA-N -1 1 315.738 1.651 20 0 DDADMM COC(=O)Cc1ccc([N-]S(=O)(=O)c2ccncc2)cc1 ZINC001190888575 745366959 /nfs/dbraw/zinc/36/69/59/745366959.db2.gz WTZZRQNPZIJJHN-UHFFFAOYSA-N -1 1 306.343 1.598 20 0 DDADMM Cc1cccc(CNC(=O)Nc2cc(=O)[n-]c(N(C)C)n2)c1 ZINC001190969522 745391197 /nfs/dbraw/zinc/39/11/97/745391197.db2.gz XCLRXPBJDNOMRL-UHFFFAOYSA-N -1 1 301.350 1.878 20 0 DDADMM COC(=O)[C@H]1C[C@H]1CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC001191158917 745438596 /nfs/dbraw/zinc/43/85/96/745438596.db2.gz ZEBZBBCSIXUOTN-IUCAKERBSA-N -1 1 313.737 1.593 20 0 DDADMM CSc1ncc(C(=O)Nc2cc(CO)ccc2C)c(=O)[n-]1 ZINC001191421009 745507442 /nfs/dbraw/zinc/50/74/42/745507442.db2.gz YDETXTNVSLEHJT-UHFFFAOYSA-N -1 1 305.359 1.957 20 0 DDADMM CCOC(=O)[C@]12C[C@H]1CCN2C(=O)c1cnc(SC)[n-]c1=O ZINC001191426576 745508640 /nfs/dbraw/zinc/50/86/40/745508640.db2.gz DGIQIQSXOLTIFR-CLAHSXSESA-N -1 1 323.374 1.072 20 0 DDADMM CSc1ncc(C(=O)Nc2noc3nccnc23)c(=O)[n-]1 ZINC001191428937 745509340 /nfs/dbraw/zinc/50/93/40/745509340.db2.gz HNKYVSKYDSUQJK-UHFFFAOYSA-N -1 1 304.291 1.088 20 0 DDADMM CSc1ncc(C(=O)n2ccc(Cl)c(N)c2=N)c(=O)[n-]1 ZINC001191429495 745509644 /nfs/dbraw/zinc/50/96/44/745509644.db2.gz NKNHXJJTGFNTEP-UHFFFAOYSA-N -1 1 311.754 1.109 20 0 DDADMM CSc1ncc(C(=O)N2CCC(n3cccn3)CC2)c(=O)[n-]1 ZINC001191430827 745510020 /nfs/dbraw/zinc/51/00/20/745510020.db2.gz MFTIDVXWTOZBKX-UHFFFAOYSA-N -1 1 319.390 1.578 20 0 DDADMM CC(C)(C)OC(=O)[C@H](CO)NC(=O)c1c(F)ccc([O-])c1F ZINC001192551120 745813319 /nfs/dbraw/zinc/81/33/19/745813319.db2.gz CMDHEXYZAXCIGN-QMMMGPOBSA-N -1 1 317.288 1.103 20 0 DDADMM CSCC[C@H](NC(=O)c1cc([O-])cc(F)c1F)C(N)=O ZINC001192683966 745862161 /nfs/dbraw/zinc/86/21/61/745862161.db2.gz JKUQCJQQJCKEHK-VIFPVBQESA-N -1 1 304.318 1.007 20 0 DDADMM Cc1cc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)n(C(C)(C)C)n1 ZINC001192717268 745878963 /nfs/dbraw/zinc/87/89/63/745878963.db2.gz PTMHSOCKLODLAB-UHFFFAOYSA-N -1 1 313.365 1.547 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)C[C@H]2CCCO2)cs1 ZINC001193206168 746013310 /nfs/dbraw/zinc/01/33/10/746013310.db2.gz RTVQYOPREILCKH-SECBINFHSA-N -1 1 305.377 1.455 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nc(Cl)ncc2C)n1 ZINC001193235694 746025954 /nfs/dbraw/zinc/02/59/54/746025954.db2.gz OYGSPISHMQAAQO-UHFFFAOYSA-N -1 1 309.713 1.591 20 0 DDADMM Cc1cc2nsnc2cc1[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC001193275435 746042030 /nfs/dbraw/zinc/04/20/30/746042030.db2.gz SYNHKAYPSZWRFO-SECBINFHSA-N -1 1 313.404 1.920 20 0 DDADMM O=c1[nH]cccc1[N-]S(=O)(=O)Cc1noc2ccccc21 ZINC001194049963 746264867 /nfs/dbraw/zinc/26/48/67/746264867.db2.gz MKCDXJWETNCBPG-UHFFFAOYSA-N -1 1 305.315 1.870 20 0 DDADMM Cn1[n-]c(C(=O)N(Cc2cnc[nH]2)Cc2ccccc2)cc1=O ZINC001194200125 746306375 /nfs/dbraw/zinc/30/63/75/746306375.db2.gz KNHPVEMIGUGZIJ-UHFFFAOYSA-N -1 1 311.345 1.691 20 0 DDADMM CCc1ncc(Br)c(C(=O)[N-]c2nonc2N)n1 ZINC001195145090 746538164 /nfs/dbraw/zinc/53/81/64/746538164.db2.gz RRFQDHJITVCAEP-UHFFFAOYSA-N -1 1 313.115 1.019 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC[C@@H]3[C@@H](CCC(=O)N3C)C2)n1 ZINC001195326742 746566676 /nfs/dbraw/zinc/56/66/76/746566676.db2.gz UTXGKKOBVWBSEO-NWDGAFQWSA-N -1 1 303.362 1.179 20 0 DDADMM Cc1cccn2c(CNC(=O)c3cc([O-])cnc3Cl)nnc12 ZINC001195297276 746569719 /nfs/dbraw/zinc/56/97/19/746569719.db2.gz IJHSIJJJCXMFST-UHFFFAOYSA-N -1 1 317.736 1.722 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=S)NC(=O)c1ccccc1 ZINC001195382921 746596324 /nfs/dbraw/zinc/59/63/24/746596324.db2.gz MVVKNGUETATUHZ-UHFFFAOYSA-N -1 1 319.302 1.413 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(C[C@H]2COC(=O)N2)cc1 ZINC001195487777 746623338 /nfs/dbraw/zinc/62/33/38/746623338.db2.gz ZZGKNXKKJOBBLE-NSHDSACASA-N -1 1 323.374 1.780 20 0 DDADMM Cn1cccc1-c1cc(C(=O)[N-]c2ncn(C)c3ncnc2-3)no1 ZINC001195987867 746747261 /nfs/dbraw/zinc/74/72/61/746747261.db2.gz CCOYIYHNJUXLCK-UHFFFAOYSA-N -1 1 323.316 1.561 20 0 DDADMM Cc1cc(Br)oc1C(=O)[N-]c1ncnc2n[nH]nc21 ZINC001197315494 747127604 /nfs/dbraw/zinc/12/76/04/747127604.db2.gz JBSYRWBQPPXEJD-UHFFFAOYSA-N -1 1 323.110 1.664 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cnccc1C1CC1 ZINC001197860556 747302368 /nfs/dbraw/zinc/30/23/68/747302368.db2.gz YAKWXUDVGAXXSI-UHFFFAOYSA-N -1 1 312.289 1.240 20 0 DDADMM CN1CCC[C@H]2CN(S(=O)(=O)c3ccccc3C(=O)[O-])C[C@@H]21 ZINC001198766879 747602992 /nfs/dbraw/zinc/60/29/92/747602992.db2.gz AIOGATNMMJPIGP-AAEUAGOBSA-N -1 1 324.402 1.100 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cn(-c2ccccn2)cn1 ZINC001199112575 747716307 /nfs/dbraw/zinc/71/63/07/747716307.db2.gz JSZOLHBGUWUFLO-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM CC(=O)N[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC[C@H]1C ZINC001004294752 748267636 /nfs/dbraw/zinc/26/76/36/748267636.db2.gz WPXKNPXJNRKRJY-NOZJJQNGSA-N -1 1 318.377 1.046 20 0 DDADMM C[C@H](Cc1ccccn1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000694037148 748300782 /nfs/dbraw/zinc/30/07/82/748300782.db2.gz RRADINXEIIAEON-SECBINFHSA-N -1 1 314.798 1.378 20 0 DDADMM COc1ccc(NC(=S)NCC[P@](=O)([O-])O)cc1OC ZINC001201065501 748401091 /nfs/dbraw/zinc/40/10/91/748401091.db2.gz YQGRLSPDVRQFGQ-UHFFFAOYSA-N -1 1 320.307 1.168 20 0 DDADMM C[C@@H]1CCN(C(=O)C(C)(C)F)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004753080 748731895 /nfs/dbraw/zinc/73/18/95/748731895.db2.gz RWJSLLUPLZVGOE-GHMZBOCLSA-N -1 1 323.368 1.502 20 0 DDADMM Cc1cc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)cs1 ZINC000995749476 749220026 /nfs/dbraw/zinc/22/00/26/749220026.db2.gz WKQVKMQMYLPKER-UHFFFAOYSA-N -1 1 317.370 1.412 20 0 DDADMM CCCc1nsc(NC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001107690227 750384701 /nfs/dbraw/zinc/38/47/01/750384701.db2.gz OKAUGNMITFFECP-SECBINFHSA-N -1 1 321.406 1.822 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](CNc2cnc(F)cn2)C1 ZINC001060856420 751019325 /nfs/dbraw/zinc/01/93/25/751019325.db2.gz HRFWQLYTHNGMAY-JTQLQIEISA-N -1 1 317.324 1.291 20 0 DDADMM CC(C)(F)C(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001007785049 752348160 /nfs/dbraw/zinc/34/81/60/752348160.db2.gz HELAOQIMOMQFBO-NSHDSACASA-N -1 1 323.368 1.502 20 0 DDADMM Cc1nc(CN[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)co1 ZINC000998995987 752419418 /nfs/dbraw/zinc/41/94/18/752419418.db2.gz NZMUNMWTOQWATF-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM C[C@H]1CCCN(C(=O)C2CCC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036483374 752505766 /nfs/dbraw/zinc/50/57/66/752505766.db2.gz DLDMKPXVUHVWBF-JQWIXIFHSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@@H](CCNC(=O)[C@H]1C[C@H]2C[C@H]2C1)NC(=O)c1ncccc1[O-] ZINC001077934206 753449139 /nfs/dbraw/zinc/44/91/39/753449139.db2.gz UPOWECVATNSBLQ-WUHRBBMRSA-N -1 1 317.389 1.458 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062811865 753736880 /nfs/dbraw/zinc/73/68/80/753736880.db2.gz SBKOIGPQXKOPIF-LLVKDONJSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839632 753759823 /nfs/dbraw/zinc/75/98/23/753759823.db2.gz YCMRKISHEWTABF-MNOVXSKESA-N -1 1 303.362 1.212 20 0 DDADMM O=C(N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)C1=CCCC1 ZINC001078597046 754216632 /nfs/dbraw/zinc/21/66/32/754216632.db2.gz QDUFPNGQRQQBRR-RYUDHWBXSA-N -1 1 317.393 1.138 20 0 DDADMM C[C@H](CC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001011035855 754318968 /nfs/dbraw/zinc/31/89/68/754318968.db2.gz IENMVUCMNJAPDE-GFCCVEGCSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC(C)(C)C ZINC001011492573 754558092 /nfs/dbraw/zinc/55/80/92/754558092.db2.gz BEOKBWKZXZIHIA-VXGBXAGGSA-N -1 1 319.405 1.943 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064634124 754747032 /nfs/dbraw/zinc/74/70/32/754747032.db2.gz GIMXBMVOTTZPBN-VXGBXAGGSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)CC2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012508591 755114416 /nfs/dbraw/zinc/11/44/16/755114416.db2.gz FQZQLCOKPMYAHQ-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001014894987 756004655 /nfs/dbraw/zinc/00/46/55/756004655.db2.gz RQPGWTIXFCYITG-QWHCGFSZSA-N -1 1 319.405 1.943 20 0 DDADMM O=C(N[C@H]1CC[C@@H](CNC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC001015411289 756287849 /nfs/dbraw/zinc/28/78/49/756287849.db2.gz NNPYMUIHAPQUSD-YPMHNXCESA-N -1 1 317.389 1.602 20 0 DDADMM CN(C(=O)c1ccoc1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016248063 756863675 /nfs/dbraw/zinc/86/36/75/756863675.db2.gz WLPWDULPVNAAPL-UHFFFAOYSA-N -1 1 315.329 1.413 20 0 DDADMM Cc1ccc(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)o1 ZINC001085125185 758273664 /nfs/dbraw/zinc/27/36/64/758273664.db2.gz DLJDKCMXFXQLQS-GHMZBOCLSA-N -1 1 319.365 1.243 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(c2cnc(F)cn2)CC1 ZINC001057127071 762694158 /nfs/dbraw/zinc/69/41/58/762694158.db2.gz BGWZKQQVOYGENT-UHFFFAOYSA-N -1 1 317.324 1.069 20 0 DDADMM Cc1cc(C)c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)s1 ZINC001018916863 759402006 /nfs/dbraw/zinc/40/20/06/759402006.db2.gz PMDCFIKBTCCRIO-JTQLQIEISA-N -1 1 321.406 1.193 20 0 DDADMM Cc1nc(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])oc1C ZINC001038193381 760908962 /nfs/dbraw/zinc/90/89/62/760908962.db2.gz IPHYNNLHHYIJAF-LBPRGKRZSA-N -1 1 316.361 1.396 20 0 DDADMM Cc1nc(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])oc1C ZINC001038193379 760909237 /nfs/dbraw/zinc/90/92/37/760909237.db2.gz IPHYNNLHHYIJAF-GFCCVEGCSA-N -1 1 316.361 1.396 20 0 DDADMM Cc1cc(N(C)C[C@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001108933176 763141921 /nfs/dbraw/zinc/14/19/21/763141921.db2.gz LJMGPXCQHHUXDZ-NSHDSACASA-N -1 1 301.350 1.140 20 0 DDADMM Cc1nccc(N(C)C[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108933863 763143836 /nfs/dbraw/zinc/14/38/36/763143836.db2.gz XNJPPFAHXPNVQZ-SNVBAGLBSA-N -1 1 301.350 1.140 20 0 DDADMM CN(CCCNc1nccnc1F)C(=O)c1ncccc1[O-] ZINC001109437342 763707115 /nfs/dbraw/zinc/70/71/15/763707115.db2.gz PECXFPSWHHGLHL-UHFFFAOYSA-N -1 1 305.313 1.291 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1Nc1cccc(F)n1 ZINC001043148222 764713250 /nfs/dbraw/zinc/71/32/50/764713250.db2.gz GTOGVYXZAQNLPI-GHMZBOCLSA-N -1 1 316.336 1.894 20 0 DDADMM Cc1cc(N[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001112982871 765098288 /nfs/dbraw/zinc/09/82/88/765098288.db2.gz CQQSVOSUBZNEFQ-MNOVXSKESA-N -1 1 301.350 1.505 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)c1cccc(F)n1 ZINC001113575353 765896736 /nfs/dbraw/zinc/89/67/36/765896736.db2.gz SYMBOFZVZLBLLA-SNVBAGLBSA-N -1 1 304.325 1.576 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc(C(F)(F)F)cnn3)c2[nH]1 ZINC001170214155 766173712 /nfs/dbraw/zinc/17/37/12/766173712.db2.gz YMKYHPXYZVRPME-UHFFFAOYSA-N -1 1 313.199 1.268 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc4nc(Cl)ccc4[nH]3)c2[nH]1 ZINC001170216419 766175310 /nfs/dbraw/zinc/17/53/10/766175310.db2.gz BEJPUPWRKZYTSR-UHFFFAOYSA-N -1 1 317.696 1.989 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3coc(C(F)F)c3)nc2n1 ZINC001129520904 766924341 /nfs/dbraw/zinc/92/43/41/766924341.db2.gz SQMBWGFKYPRPPH-UHFFFAOYSA-N -1 1 309.232 1.509 20 0 DDADMM O=C(NC[C@H]1C[C@@H](Nc2cc(F)ncn2)C1)c1ncccc1[O-] ZINC001046333802 767433291 /nfs/dbraw/zinc/43/32/91/767433291.db2.gz MLJZXVCQJXEICL-AOOOYVTPSA-N -1 1 317.324 1.337 20 0 DDADMM C[C@@H]1C[C@@H](Nc2cc(F)ncn2)CN1C(=O)c1ncccc1[O-] ZINC001069065188 767813930 /nfs/dbraw/zinc/81/39/30/767813930.db2.gz HUCMPAGIQKQULU-NXEZZACHSA-N -1 1 317.324 1.431 20 0 DDADMM CC(C)CCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001071575410 769652100 /nfs/dbraw/zinc/65/21/00/769652100.db2.gz SUAKGBYDCGOZTR-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccccn1)c1ncccc1[O-] ZINC001096990411 771559068 /nfs/dbraw/zinc/55/90/68/771559068.db2.gz DSEXYPZDWZYAHY-KFWWJZLASA-N -1 1 324.384 1.718 20 0 DDADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1ccon1)c1ncccc1[O-] ZINC001096990793 771559123 /nfs/dbraw/zinc/55/91/23/771559123.db2.gz VAWMDYGLIIOXBI-UPJWGTAASA-N -1 1 314.345 1.311 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cccc(-c2n[nH]c(C3CC3)n2)c1 ZINC001136547618 772006558 /nfs/dbraw/zinc/00/65/58/772006558.db2.gz DBTCSCWQMBPHTM-UHFFFAOYSA-N -1 1 324.348 1.434 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCCCCNC(C)=O ZINC001143738774 772298163 /nfs/dbraw/zinc/29/81/63/772298163.db2.gz ZSKFPFPPIMUNEK-UHFFFAOYSA-N -1 1 302.371 1.072 20 0 DDADMM CCN(Cc1cnn(C)c1)C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001143765759 772301895 /nfs/dbraw/zinc/30/18/95/772301895.db2.gz UWDYPZNGHQIDRH-UHFFFAOYSA-N -1 1 301.346 1.909 20 0 DDADMM O=C(NCc1cc(=O)n2ccccc2n1)c1cccc([O-])c1F ZINC001144574511 772569253 /nfs/dbraw/zinc/56/92/53/772569253.db2.gz BXUOVKRIUKIULU-UHFFFAOYSA-N -1 1 313.288 1.469 20 0 DDADMM CSc1nc(NC(=O)[C@@H](CC(C)C)NC(C)=O)cc(=O)[n-]1 ZINC001144688592 772596847 /nfs/dbraw/zinc/59/68/47/772596847.db2.gz HGPATAAHCJBFFF-SECBINFHSA-N -1 1 312.395 1.393 20 0 DDADMM COc1ccc(CC(=O)NCCCC[P@](=O)([O-])O)cc1O ZINC001144794440 772624804 /nfs/dbraw/zinc/62/48/04/772624804.db2.gz UUAWWBARRQOCSV-UHFFFAOYSA-N -1 1 317.278 1.017 20 0 DDADMM COc1nc(Cl)cc(NC(=O)c2c([O-])c(C)ncc2CO)n1 ZINC001147834129 773260900 /nfs/dbraw/zinc/26/09/00/773260900.db2.gz NQRORXXPQYQFQK-UHFFFAOYSA-N -1 1 324.724 1.292 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccccc1C1CC1 ZINC001148481526 773484725 /nfs/dbraw/zinc/48/47/25/773484725.db2.gz HYVGSECVKSYEHS-UHFFFAOYSA-N -1 1 311.301 1.845 20 0 DDADMM C[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)Nc1ncccn1 ZINC001098313426 774531206 /nfs/dbraw/zinc/53/12/06/774531206.db2.gz IKFFQUBPYYIGRZ-SECBINFHSA-N -1 1 314.349 1.080 20 0 DDADMM COc1ccnc(N[C@H](C)CCNC(=O)c2ncccc2[O-])n1 ZINC001099105136 774836116 /nfs/dbraw/zinc/83/61/16/774836116.db2.gz RSSGNVDXDLVZJK-SNVBAGLBSA-N -1 1 317.349 1.206 20 0 DDADMM Cc1cccc2c(NCCNC(=O)c3ncccc3[O-])ncnc12 ZINC001093562661 774889661 /nfs/dbraw/zinc/88/96/61/774889661.db2.gz ATHNRQBKECKBRZ-UHFFFAOYSA-N -1 1 323.356 1.881 20 0 DDADMM CCc1nsc(N(C)CCNC(=O)c2ncccc2[O-])n1 ZINC001100071144 775646854 /nfs/dbraw/zinc/64/68/54/775646854.db2.gz VWLMDXSPWLBQMM-UHFFFAOYSA-N -1 1 307.379 1.067 20 0 DDADMM COc1cc(OC)c(Nc2c(N)[nH]c(=S)[n-]c2=O)c(OC)c1 ZINC001173909298 777371506 /nfs/dbraw/zinc/37/15/06/777371506.db2.gz ZZFNDRCZAQZNFI-UHFFFAOYSA-N -1 1 324.362 1.823 20 0 DDADMM Cc1nnc([N-]c2cccc(S(=O)(=O)N3CCCC3)c2)o1 ZINC001174314088 777456282 /nfs/dbraw/zinc/45/62/82/777456282.db2.gz NMXCLOPHOKZANF-UHFFFAOYSA-N -1 1 308.363 1.906 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3c(c2)CCCO3)c1 ZINC001174721329 777564321 /nfs/dbraw/zinc/56/43/21/777564321.db2.gz FMJPBXARBJEABJ-UHFFFAOYSA-N -1 1 319.386 1.977 20 0 DDADMM Cc1cc(C(=O)Nc2ccsn2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC001175521722 777823409 /nfs/dbraw/zinc/82/34/09/777823409.db2.gz BXDMPOYVUXGHQM-UHFFFAOYSA-N -1 1 303.303 1.453 20 0 DDADMM Cc1cc(C(=O)Nc2ccsn2)[n-]c2nc(=O)[nH]c(=O)c1-2 ZINC001175521722 777823420 /nfs/dbraw/zinc/82/34/20/777823420.db2.gz BXDMPOYVUXGHQM-UHFFFAOYSA-N -1 1 303.303 1.453 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2cncc(N)c2)c(F)cc1F ZINC001176108437 778050649 /nfs/dbraw/zinc/05/06/49/778050649.db2.gz FNQIALTZVQNROW-UHFFFAOYSA-N -1 1 315.301 1.751 20 0 DDADMM COC(=O)c1sc(C(C)(C)C)cc1NC(=O)Cc1nn[n-]n1 ZINC001176838061 778269047 /nfs/dbraw/zinc/26/90/47/778269047.db2.gz PFAIOFPSSMKVBW-UHFFFAOYSA-N -1 1 323.378 1.527 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cc(-c2ccc(Cl)s2)on1 ZINC001176839897 778271554 /nfs/dbraw/zinc/27/15/54/778271554.db2.gz HICAULVCPFNITC-UHFFFAOYSA-N -1 1 310.726 1.751 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccc(N2CCC(F)(F)CC2)cc1 ZINC001176845553 778299623 /nfs/dbraw/zinc/29/96/23/778299623.db2.gz VQQSTUCZSCMNSM-UHFFFAOYSA-N -1 1 322.319 1.616 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1cc(C)ncn1 ZINC001103069146 778616711 /nfs/dbraw/zinc/61/67/11/778616711.db2.gz OEZLOEDLKAQSLT-LLVKDONJSA-N -1 1 301.350 1.506 20 0 DDADMM C[C@@](CNc1ncccn1)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001103515754 778917745 /nfs/dbraw/zinc/91/77/45/778917745.db2.gz LMADJAPTBHUSPY-INIZCTEOSA-N -1 1 313.361 1.588 20 0 DDADMM C[C@@H]1COCCN1CC[N-]C(=O)C(F)(F)C(F)(F)Cl ZINC001179781443 779477022 /nfs/dbraw/zinc/47/70/22/779477022.db2.gz BYUZFNDOMMNXAJ-SSDOTTSWSA-N -1 1 306.687 1.290 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cnc3c(cnn3C)c2)c1C ZINC001116707057 780546583 /nfs/dbraw/zinc/54/65/83/780546583.db2.gz RSLDUCCJHFJURO-UHFFFAOYSA-N -1 1 320.378 1.363 20 0 DDADMM Cc1nnc(CN2CCN(Cc3ccc([O-])c(F)c3F)CC2)[nH]1 ZINC001414169360 838371155 /nfs/dbraw/zinc/37/11/55/838371155.db2.gz WXVDLWNLERBFTN-UHFFFAOYSA-N -1 1 323.347 1.415 20 0 DDADMM CC[C@H](CNC(=O)[C@@H]1CCC=CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001267808943 839013835 /nfs/dbraw/zinc/01/38/35/839013835.db2.gz BUBLWWQDKLNRSW-CHWSQXEVSA-N -1 1 321.425 1.631 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2C[C@@H](C)CO2)[n-]c1Cl ZINC001361641132 840300255 /nfs/dbraw/zinc/30/02/55/840300255.db2.gz HHBYSMPMMDCVHY-RQJHMYQMSA-N -1 1 301.730 1.603 20 0 DDADMM CN1c2ccccc2[C@@]2(CCN(C(=O)c3ccc([O-])cn3)C2)C1=O ZINC001269354635 841556875 /nfs/dbraw/zinc/55/68/75/841556875.db2.gz PNSVQBBGVNOAEX-SFHVURJKSA-N -1 1 323.352 1.548 20 0 DDADMM CN(C)C(=O)C12CC(NC(=O)c3cc(Cl)ccc3[O-])(C1)C2 ZINC001269576555 841783101 /nfs/dbraw/zinc/78/31/01/841783101.db2.gz OWCQDEYOFUFFGD-UHFFFAOYSA-N -1 1 308.765 1.786 20 0 DDADMM CN1CC[C@@]2(CCCN2C(=O)c2cc(Cl)ccc2[O-])C1=O ZINC001269686642 841941458 /nfs/dbraw/zinc/94/14/58/841941458.db2.gz LUHKPZYGEATQMH-HNNXBMFYSA-N -1 1 308.765 1.883 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2(CF)CCC2)cc1 ZINC001326325163 861282039 /nfs/dbraw/zinc/28/20/39/861282039.db2.gz HACPTKZSDUJIQH-UHFFFAOYSA-N -1 1 323.320 1.635 20 0 DDADMM CN(Cc1n[nH]c(=O)o1)C(=O)c1cc(F)cc(Cl)c1[O-] ZINC001363741557 842632135 /nfs/dbraw/zinc/63/21/35/842632135.db2.gz YGRCWBIFXODILL-UHFFFAOYSA-N -1 1 301.661 1.546 20 0 DDADMM C=CC(=O)NCCNC(=O)c1cc2cc(OC)ccc2cc1[O-] ZINC001154757343 861428206 /nfs/dbraw/zinc/42/82/06/861428206.db2.gz QXCHGWFBVAGCQY-UHFFFAOYSA-N -1 1 314.341 1.586 20 0 DDADMM CN1CC[C@]2(CCCN(C(=O)c3ccc(F)c(=O)[n-]3)CC2)C1=O ZINC001271743491 843832234 /nfs/dbraw/zinc/83/22/34/843832234.db2.gz YDQKYMZSYWIPBO-INIZCTEOSA-N -1 1 321.352 1.401 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c3c(nn2C)CCC3)n1 ZINC001154828217 861488528 /nfs/dbraw/zinc/48/85/28/861488528.db2.gz DAUVQGRJJZPEGU-UHFFFAOYSA-N -1 1 303.322 1.061 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc2cccnc2c1O ZINC001149330346 861550120 /nfs/dbraw/zinc/55/01/20/861550120.db2.gz XBLUQXVQISNRBW-UHFFFAOYSA-N -1 1 322.284 1.565 20 0 DDADMM COC[C@H](NC(=O)C=C(C)c1ccccc1C)c1nn[n-]n1 ZINC001155069712 861700009 /nfs/dbraw/zinc/70/00/09/861700009.db2.gz RJHJGTKXIIXLIT-YKWSONSWSA-N -1 1 301.350 1.415 20 0 DDADMM CN1CCO[C@H](CNC(=O)c2cc3cccc(O)c3cc2[O-])C1 ZINC001149654082 861787043 /nfs/dbraw/zinc/78/70/43/861787043.db2.gz UOHNFDMTMIUJEK-GFCCVEGCSA-N -1 1 316.357 1.311 20 0 DDADMM COC(C)(CNC(=O)c1cc2cccc(O)c2cc1[O-])OC ZINC001149656099 861787862 /nfs/dbraw/zinc/78/78/62/861787862.db2.gz FLTMIZIJONRPSR-UHFFFAOYSA-N -1 1 305.330 1.990 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCCCN(C/C=C\Cl)C2)c1[O-] ZINC001034163825 848056559 /nfs/dbraw/zinc/05/65/59/848056559.db2.gz BMIXMTWSIWNBOR-QZPNVGJNSA-N -1 1 312.801 1.761 20 0 DDADMM CC(=O)N[C@@H]1CCCN(C(=O)c2[n-][nH]c3cc(=O)ccc2-3)C1 ZINC001155267165 861914298 /nfs/dbraw/zinc/91/42/98/861914298.db2.gz WYHBBAULQLMFKT-SNVBAGLBSA-N -1 1 302.334 1.009 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CCCCC1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001410522325 849441706 /nfs/dbraw/zinc/44/17/06/849441706.db2.gz VUUREFWEBBUQSI-RYUDHWBXSA-N -1 1 323.441 1.663 20 0 DDADMM CC(C)=CCN1CC2(CN(Cc3ncccc3[O-])C2)OCC1=O ZINC001273325815 849538540 /nfs/dbraw/zinc/53/85/40/849538540.db2.gz RNTGJMMLEQVPQG-UHFFFAOYSA-N -1 1 317.389 1.167 20 0 DDADMM CC(F)(F)CC(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001410689537 849651368 /nfs/dbraw/zinc/65/13/68/849651368.db2.gz FAOBLSOSYIHPRN-UHFFFAOYSA-N -1 1 313.304 1.211 20 0 DDADMM CNS(=O)(=O)c1cccnc1-c1c(F)ccc([O-])c1F ZINC001241289179 850006426 /nfs/dbraw/zinc/00/64/26/850006426.db2.gz MQSATEMNZYHHMQ-UHFFFAOYSA-N -1 1 300.286 1.641 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CCn4cnnc4C3)c([O-])c2n1 ZINC001155651624 862340701 /nfs/dbraw/zinc/34/07/01/862340701.db2.gz HMOSYCTVGFQSIL-UHFFFAOYSA-N -1 1 309.329 1.496 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@H]3CCS(=O)(=O)C3)c([O-])c2n1 ZINC001155659268 862347801 /nfs/dbraw/zinc/34/78/01/862347801.db2.gz DHNXOYJRLHCQKR-NSHDSACASA-N -1 1 320.370 1.166 20 0 DDADMM Cc1ccc2ccc(C(=O)NC[C@H]3COCCN3C)c([O-])c2n1 ZINC001155660056 862350158 /nfs/dbraw/zinc/35/01/58/862350158.db2.gz QSIOPWBZOTXXIO-ZDUSSCGKSA-N -1 1 315.373 1.309 20 0 DDADMM CCOC(=O)CCNC(=O)c1ccc2ccc(C)nc2c1[O-] ZINC001155659978 862351020 /nfs/dbraw/zinc/35/10/20/862351020.db2.gz OEESBTRDMNGPHC-UHFFFAOYSA-N -1 1 302.330 1.932 20 0 DDADMM Cc1ccc2ccc(C(=O)NCC(C)(C)C(N)=O)c([O-])c2n1 ZINC001155660007 862352369 /nfs/dbraw/zinc/35/23/69/862352369.db2.gz PMXSLHZGBRZBJF-UHFFFAOYSA-N -1 1 301.346 1.490 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC3(CC[C@@H](C(N)=O)C3)CC2)n1 ZINC001274975340 852724164 /nfs/dbraw/zinc/72/41/64/852724164.db2.gz XARADHGLPMVLJC-GFCCVEGCSA-N -1 1 317.389 1.603 20 0 DDADMM CC(C)N(C[C@@H]1CCC(=O)N1)C(=O)C(=O)c1ccc([O-])cc1 ZINC001299459874 852917233 /nfs/dbraw/zinc/91/72/33/852917233.db2.gz CJXQPKARPPVEMM-LBPRGKRZSA-N -1 1 304.346 1.091 20 0 DDADMM CC[C@H](O)Cn1cc(C(=O)[N-]c2nnc(C(F)F)s2)nn1 ZINC001411688505 853578645 /nfs/dbraw/zinc/57/86/45/853578645.db2.gz QJVXRTZZRFAIKU-YFKPBYRVSA-N -1 1 318.309 1.090 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CN(C(=O)c1ccc(Cl)cc1[O-])C2 ZINC001275935126 853979848 /nfs/dbraw/zinc/97/98/48/853979848.db2.gz UFQDVLIECWOWNU-NSHDSACASA-N -1 1 308.765 1.596 20 0 DDADMM COC(=O)c1cc(C)c(C(=O)N=c2ccnc3n(C)[n-]cc2-3)o1 ZINC001412082549 854205847 /nfs/dbraw/zinc/20/58/47/854205847.db2.gz MRYXPPDVTXFBLL-UHFFFAOYSA-N -1 1 314.301 1.282 20 0 DDADMM CC(=O)NCC(C)(C)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001412162581 854280254 /nfs/dbraw/zinc/28/02/54/854280254.db2.gz LRHKMPTUMDRLFS-UHFFFAOYSA-N -1 1 306.366 1.162 20 0 DDADMM Cc1nnc(CCNC(=O)c2cnc(C3CC3)[n-]c2=O)s1 ZINC001412202539 854329239 /nfs/dbraw/zinc/32/92/39/854329239.db2.gz ODMZZFVLSJUTMD-UHFFFAOYSA-N -1 1 305.363 1.192 20 0 DDADMM COC(=O)c1cnn(CC(=O)Nc2cc([O-])c(F)cc2F)c1 ZINC001412207359 854331927 /nfs/dbraw/zinc/33/19/27/854331927.db2.gz IDSKPOYBNWQGTR-UHFFFAOYSA-N -1 1 311.244 1.292 20 0 DDADMM Cc1cc(F)c(Br)cc1C(=O)N(C)c1nn[n-]n1 ZINC001412234184 854358525 /nfs/dbraw/zinc/35/85/25/854358525.db2.gz UASAHFDMASBKIP-UHFFFAOYSA-N -1 1 314.118 1.686 20 0 DDADMM CC(C)CCC(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001412437890 854551017 /nfs/dbraw/zinc/55/10/17/854551017.db2.gz RLIJIMYKBWBHQW-GFCCVEGCSA-N -1 1 307.394 1.800 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1c2c(nn1C)CCCC2 ZINC001412533120 854674622 /nfs/dbraw/zinc/67/46/22/854674622.db2.gz VMCOGKXKWFWHHU-UHFFFAOYSA-N -1 1 317.397 1.110 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]2C[C@H](NC(=O)c3cccc([O-])c3F)[C@@H]2C1 ZINC001412750820 855102861 /nfs/dbraw/zinc/10/28/61/855102861.db2.gz SNGZCZLINQHBDK-IQIPOGNMSA-N -1 1 307.321 1.849 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1ccns1 ZINC001412756552 855224908 /nfs/dbraw/zinc/22/49/08/855224908.db2.gz WHUYBTKNORYSQD-UHFFFAOYSA-N -1 1 315.351 1.906 20 0 DDADMM CSc1ncc(C(=O)N2CCCC[C@@]23CCOC3)c(=O)[n-]1 ZINC001412813866 855302231 /nfs/dbraw/zinc/30/22/31/855302231.db2.gz OEYZBFXPYSYFIL-AWEZNQCLSA-N -1 1 309.391 1.689 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@H]1NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001151212360 862684458 /nfs/dbraw/zinc/68/44/58/862684458.db2.gz HAIBKRAVROFHFK-DGCLKSJQSA-N -1 1 304.346 1.158 20 0 DDADMM Cn1nc(C(=O)OC(C)(C)C)cc1C(=O)Nc1ccncc1[O-] ZINC001412831267 855483568 /nfs/dbraw/zinc/48/35/68/855483568.db2.gz RRAOOSUDIKFNLI-UHFFFAOYSA-N -1 1 318.333 1.150 20 0 DDADMM CN(CCC(=O)Nc1c[n-][nH]c1=O)C(=O)OCc1ccccc1 ZINC001412858479 855730799 /nfs/dbraw/zinc/73/07/99/855730799.db2.gz QRKKGQIXRHPWBJ-UHFFFAOYSA-N -1 1 318.333 1.713 20 0 DDADMM O=C(NCc1sccc1Br)c1cnncc1[O-] ZINC001412915942 855781777 /nfs/dbraw/zinc/78/17/77/855781777.db2.gz LRPTTYDWLICRLY-UHFFFAOYSA-N -1 1 314.164 1.936 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(F)c([O-])c2)C[C@@H](C)S1(=O)=O ZINC001412929013 855792879 /nfs/dbraw/zinc/79/28/79/855792879.db2.gz BYHMVCIYARNLLP-KOLCDFICSA-N -1 1 315.366 1.569 20 0 DDADMM CCOC(=O)c1[n-]c2ccccc2c1C(=O)n1cc(N)c(N)n1 ZINC001151364330 862745006 /nfs/dbraw/zinc/74/50/06/862745006.db2.gz FYYQSOQMHDWLJX-UHFFFAOYSA-N -1 1 313.317 1.394 20 0 DDADMM O=C([N-]c1ncn(Cc2cccnc2)n1)c1onc2c1CCCC2 ZINC001328197087 862764415 /nfs/dbraw/zinc/76/44/15/862764415.db2.gz KMJHZTHXRUXKBF-UHFFFAOYSA-N -1 1 324.344 1.841 20 0 DDADMM O=C(NCc1ncc2c(n1)CCOC2)c1ccc(F)c([O-])c1 ZINC001413229473 856567793 /nfs/dbraw/zinc/56/77/93/856567793.db2.gz AJXDYTFIQYKNQV-UHFFFAOYSA-N -1 1 303.293 1.324 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ncnc2ccsc21 ZINC001151536882 862830235 /nfs/dbraw/zinc/83/02/35/862830235.db2.gz AONBRJANOQOCLZ-UHFFFAOYSA-N -1 1 313.302 1.316 20 0 DDADMM CCCNS(=O)(=O)[N-]CC(F)(F)c1cc(F)cc(F)c1 ZINC001413427117 856796069 /nfs/dbraw/zinc/79/60/69/856796069.db2.gz WYWYPCNJZJVHNY-UHFFFAOYSA-N -1 1 314.304 1.891 20 0 DDADMM NS(=O)(=O)c1cc([N-]C(=O)c2ocnc2C2CC2)cs1 ZINC001413437856 856811498 /nfs/dbraw/zinc/81/14/98/856811498.db2.gz QILUWKJTWXPKBN-UHFFFAOYSA-N -1 1 313.360 1.513 20 0 DDADMM CC(C)n1cc(CNC(=O)c2cc(F)ccc2[O-])c(=O)[nH]c1=O ZINC001413455587 856834273 /nfs/dbraw/zinc/83/42/73/856834273.db2.gz AJOVHNNHWUEYQY-UHFFFAOYSA-N -1 1 321.308 1.305 20 0 DDADMM COC(=O)c1cnn(CC(=O)NCc2ccc([O-])c(Cl)c2)c1 ZINC001413527489 856934257 /nfs/dbraw/zinc/93/42/57/856934257.db2.gz PFRSCBDGPKZCLF-UHFFFAOYSA-N -1 1 323.736 1.345 20 0 DDADMM CCOC(=O)[C@@H]1[C@@H]2CCN(C(=O)c3cccc([O-])c3F)C[C@@H]21 ZINC001413585350 857172166 /nfs/dbraw/zinc/17/21/66/857172166.db2.gz GTGZKEQJYVTSOV-SUZMYJTESA-N -1 1 307.321 1.803 20 0 DDADMM CCc1nnsc1C(=O)[N-]c1ncn(Cc2cccnc2)n1 ZINC001328390155 862945633 /nfs/dbraw/zinc/94/56/33/862945633.db2.gz SVZCMFQJBUCZOU-UHFFFAOYSA-N -1 1 315.362 1.388 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nnc3c(F)cccn23)c[n-]1 ZINC001156329015 862941832 /nfs/dbraw/zinc/94/18/32/862941832.db2.gz ISOMNCKOWSTBCD-UHFFFAOYSA-N -1 1 318.268 1.021 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@]2(C1)CCCOC2 ZINC001123824037 859429766 /nfs/dbraw/zinc/42/97/66/859429766.db2.gz BSSPDKNBGHICMP-AWEZNQCLSA-N -1 1 309.391 1.422 20 0 DDADMM C[C@@H](c1ccccn1)N1CCC[C@@H](OCCS(=O)(=O)[O-])C1 ZINC001224571900 881455218 /nfs/dbraw/zinc/45/52/18/881455218.db2.gz PUKKCKJXHVMCDG-QWHCGFSZSA-N -1 1 314.407 1.511 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)CC[C@H]1OCC[P@](=O)([O-])O ZINC001224601554 881462474 /nfs/dbraw/zinc/46/24/74/881462474.db2.gz IADXNBJWIVJJSF-GHMZBOCLSA-N -1 1 323.326 1.826 20 0 DDADMM Cc1[nH]c(CN2CCC3(C[C@@H](O)CO3)CC2)c(C)c1C(=O)[O-] ZINC001140501424 860646092 /nfs/dbraw/zinc/64/60/92/860646092.db2.gz XHLFVSDDPSHZOL-GFCCVEGCSA-N -1 1 308.378 1.446 20 0 DDADMM COCC(=O)NC1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001140893873 860726383 /nfs/dbraw/zinc/72/63/83/860726383.db2.gz JPHFEFDWUNFICF-UHFFFAOYSA-N -1 1 314.332 1.397 20 0 DDADMM [O-]c1ccc(CN2Cc3ccnn3CC[C@@H]2CO)c(F)c1F ZINC001140892673 860726755 /nfs/dbraw/zinc/72/67/55/860726755.db2.gz VZWVLHMCVDOBLH-GFCCVEGCSA-N -1 1 309.316 1.634 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCC(CC(N)=O)CC2)c1 ZINC001141762643 860932133 /nfs/dbraw/zinc/93/21/33/860932133.db2.gz TZQDXVRCRWFDIB-UHFFFAOYSA-N -1 1 304.346 1.241 20 0 DDADMM CCN=c1ncc(N)cn1C(=O)c1c([O-])cnc2ccc(C)cc21 ZINC001154530124 861180782 /nfs/dbraw/zinc/18/07/82/861180782.db2.gz VHKGSDBBMKLAIU-UHFFFAOYSA-N -1 1 323.356 1.637 20 0 DDADMM Cc1cc2cc(C(=O)Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)ccc2[nH]1 ZINC001156545300 863135435 /nfs/dbraw/zinc/13/54/35/863135435.db2.gz CDLCMQGABIIMSE-UHFFFAOYSA-N -1 1 324.300 1.758 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cccc2cncnc21 ZINC001156622062 863199896 /nfs/dbraw/zinc/19/98/96/863199896.db2.gz ZWMIJCRPNDLEDR-UHFFFAOYSA-N -1 1 307.273 1.254 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3cn4cc(F)ccc4n3)ccnc1-2 ZINC001361429999 881635842 /nfs/dbraw/zinc/63/58/42/881635842.db2.gz IQAUAPOMNXTUMR-UHFFFAOYSA-N -1 1 324.319 1.310 20 0 DDADMM COc1ccc2onc(C(=O)N=c3ncnc4[nH][n-]c(C)c3-4)c2c1 ZINC001156719605 863291414 /nfs/dbraw/zinc/29/14/14/863291414.db2.gz GUQWNPKMNXDXTH-UHFFFAOYSA-N -1 1 324.300 1.437 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc2c(c1)C(=O)OC2 ZINC001157190633 863645152 /nfs/dbraw/zinc/64/51/52/863645152.db2.gz DMNXVAZGZJJORW-UHFFFAOYSA-N -1 1 313.246 1.045 20 0 DDADMM O=C(c1ncc(Cc2ccccc2)o1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001153000423 863645696 /nfs/dbraw/zinc/64/56/96/863645696.db2.gz DFAUIXZRNTZNEG-LBPRGKRZSA-N -1 1 324.344 1.408 20 0 DDADMM CC/C(C)=C/C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001329819466 863875505 /nfs/dbraw/zinc/87/55/05/863875505.db2.gz WWFLIHZRQGNBNX-FMIVXFBMSA-N -1 1 321.425 1.775 20 0 DDADMM COc1ccc(C=CC(=O)N(Cc2nn[n-]n2)CC(C)C)cc1 ZINC001153811376 864125876 /nfs/dbraw/zinc/12/58/76/864125876.db2.gz JUKOZQGVKKALEU-RMKNXTFCSA-N -1 1 315.377 1.906 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc4ccc(F)cc4n3C)c1-2 ZINC001153842140 864149545 /nfs/dbraw/zinc/14/95/45/864149545.db2.gz TUTLJINAXIXZGV-UHFFFAOYSA-N -1 1 324.319 1.918 20 0 DDADMM Cc1ccc2c(n[nH]c2NC(=O)c2cnc3cccnc3c2[O-])n1 ZINC001153852194 864158431 /nfs/dbraw/zinc/15/84/31/864158431.db2.gz ZASBMOXJMLBBHP-UHFFFAOYSA-N -1 1 320.312 1.755 20 0 DDADMM NC(=O)c1nccnc1NC(=O)c1c(O)cc2ccccc2c1[O-] ZINC001154023444 864331780 /nfs/dbraw/zinc/33/17/80/864331780.db2.gz VPEZRWGNIKLSLC-UHFFFAOYSA-N -1 1 324.296 1.392 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)[C@@H]1CC[C@@H](C)CC1 ZINC001381436711 881769801 /nfs/dbraw/zinc/76/98/01/881769801.db2.gz LPJVTPVEPWEXNV-BJDJZHNGSA-N -1 1 309.414 1.319 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nnc(Cl)c3ccncc32)[n-]1 ZINC001159192555 865158607 /nfs/dbraw/zinc/15/86/07/865158607.db2.gz RNULSBPJKBXGSQ-UHFFFAOYSA-N -1 1 314.696 1.794 20 0 DDADMM CCCNC(=O)c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)nc1 ZINC001159199316 865163849 /nfs/dbraw/zinc/16/38/49/865163849.db2.gz JSLPVXHDIGUHBB-UHFFFAOYSA-N -1 1 313.321 1.337 20 0 DDADMM CC(C)COc1cncc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159199690 865164651 /nfs/dbraw/zinc/16/46/51/865164651.db2.gz QFJKXLNWKOPRQB-UHFFFAOYSA-N -1 1 301.310 1.627 20 0 DDADMM COc1cc(C(F)(F)[N-]c2nccnc2CN)nc(Cl)n1 ZINC001160251144 865747719 /nfs/dbraw/zinc/74/77/19/865747719.db2.gz FWWFIVNXXXFDPQ-UHFFFAOYSA-N -1 1 316.699 1.549 20 0 DDADMM Cc1cc2c(c(N[C@](C)(CO)c3ccccc3)n1)C(=O)[N-]C2=O ZINC001160438393 865840068 /nfs/dbraw/zinc/84/00/68/865840068.db2.gz DUYNFYYTQFCVGF-QGZVFWFLSA-N -1 1 311.341 1.593 20 0 DDADMM CCOC(=O)c1cnc(=O)[n-]c1OC1=C(C)[C@H](CC)OC1=O ZINC001225899422 882101066 /nfs/dbraw/zinc/10/10/66/882101066.db2.gz LRAXLWHYZXKLNM-VIFPVBQESA-N -1 1 308.290 1.347 20 0 DDADMM CS(=O)(=O)c1ccc(C(=O)[N-]c2csc(C(N)=O)c2)o1 ZINC001361698917 882183890 /nfs/dbraw/zinc/18/38/90/882183890.db2.gz UYJAEGNXGTWKOF-UHFFFAOYSA-N -1 1 314.344 1.096 20 0 DDADMM C/C(=C/C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001163615224 868566413 /nfs/dbraw/zinc/56/64/13/868566413.db2.gz QBVZSJGPSHTYRR-FLIBITNWSA-N -1 1 319.409 1.243 20 0 DDADMM COc1cccc(N2CC[C@H](NC(=O)c3ccc([O-])cn3)C2)c1 ZINC001361733508 882258545 /nfs/dbraw/zinc/25/85/45/882258545.db2.gz WWEOTLMZUOWDOT-LBPRGKRZSA-N -1 1 313.357 1.805 20 0 DDADMM O=C([O-])c1ccc(CN2CC3(C[C@H]3C(=O)N3CC=CC3)C2)cc1 ZINC001277110546 882299907 /nfs/dbraw/zinc/29/99/07/882299907.db2.gz WPFBJCQIKDUYNF-HNNXBMFYSA-N -1 1 312.369 1.605 20 0 DDADMM C[C@@H]1C[C@H](c2ccccc2)CN1c1nnc(-c2nnn[n-]2)n1C ZINC001338074315 869786154 /nfs/dbraw/zinc/78/61/54/869786154.db2.gz ZWFRTCWYAOXJMN-PWSUYJOCSA-N -1 1 310.365 1.378 20 0 DDADMM C[C@@H]1C[C@H](c2ccccc2)CN1c1nnc(-c2nn[n-]n2)n1C ZINC001338074315 869786164 /nfs/dbraw/zinc/78/61/64/869786164.db2.gz ZWFRTCWYAOXJMN-PWSUYJOCSA-N -1 1 310.365 1.378 20 0 DDADMM COc1cccc(N2CCC([N-]C(=O)C(F)(F)F)CC2)n1 ZINC001166896928 870349980 /nfs/dbraw/zinc/34/99/80/870349980.db2.gz ODAOMVBOKHFMGT-UHFFFAOYSA-N -1 1 303.284 1.738 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]1c1ccccc1 ZINC001361803966 882403942 /nfs/dbraw/zinc/40/39/42/882403942.db2.gz GZYQVVGAJUSWTJ-JTQLQIEISA-N -1 1 301.302 1.484 20 0 DDADMM CC1(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CC=CC1 ZINC001166994630 870457167 /nfs/dbraw/zinc/45/71/67/870457167.db2.gz OUDZMNCREAHFOB-UHFFFAOYSA-N -1 1 319.409 1.243 20 0 DDADMM O=C(NC/C=C\CNC(=O)C1CC2(CC2)C1)c1ncccc1[O-] ZINC001298357775 870499723 /nfs/dbraw/zinc/49/97/23/870499723.db2.gz XWIHUDHJYGRMOZ-UPHRSURJSA-N -1 1 315.373 1.380 20 0 DDADMM CSc1ncc(C(=O)NCCc2sc(C)nc2C)c(=O)[n-]1 ZINC001361822141 882437559 /nfs/dbraw/zinc/43/75/59/882437559.db2.gz QLDJWJVZCLPIOH-UHFFFAOYSA-N -1 1 324.431 1.950 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1nccc2occc21 ZINC001301655936 871015437 /nfs/dbraw/zinc/01/54/37/871015437.db2.gz WXXVAXZPORQCAM-CYBMUJFWSA-N -1 1 320.312 1.860 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ncoc1C1CC1 ZINC001301650069 871016965 /nfs/dbraw/zinc/01/69/65/871016965.db2.gz OTPGZYMOVCNRBH-LLVKDONJSA-N -1 1 310.317 1.585 20 0 DDADMM O=C(C=Cc1ccc2ccccc2n1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001302936426 871088754 /nfs/dbraw/zinc/08/87/54/871088754.db2.gz HWADGCIFJSDDNZ-WSROAFLRSA-N -1 1 320.356 1.777 20 0 DDADMM O=C(C=Cc1ccc2ccccc2n1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001302936422 871090800 /nfs/dbraw/zinc/09/08/00/871090800.db2.gz HWADGCIFJSDDNZ-SBDDDAINSA-N -1 1 320.356 1.777 20 0 DDADMM COC[C@@H](NC(=O)c1cc2cc(C)ccc2[nH]1)c1nn[n-]n1 ZINC001303578723 871140840 /nfs/dbraw/zinc/14/08/40/871140840.db2.gz QMPVSSJZKYSXJY-GFCCVEGCSA-N -1 1 300.322 1.107 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCC[C@@H]1Cc1ccccc1 ZINC001340793118 871355945 /nfs/dbraw/zinc/35/59/45/871355945.db2.gz HVWHQBZZROFCSN-CYBMUJFWSA-N -1 1 324.392 1.690 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCC[C@@H]1Cc1ccccc1 ZINC001340793118 871355964 /nfs/dbraw/zinc/35/59/64/871355964.db2.gz HVWHQBZZROFCSN-CYBMUJFWSA-N -1 1 324.392 1.690 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@@H]1CCC[C@@H](OC)C1 ZINC001328384053 871814880 /nfs/dbraw/zinc/81/48/80/871814880.db2.gz YVBLBNJSUSTRFV-VXGBXAGGSA-N -1 1 301.383 1.971 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCC[C@]2(CC=CCC2)C1 ZINC001342593130 872286375 /nfs/dbraw/zinc/28/63/75/872286375.db2.gz YSMUNZAILVTROA-OAHLLOKOSA-N -1 1 314.397 1.805 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCC[C@]2(CC=CCC2)C1 ZINC001342593130 872286393 /nfs/dbraw/zinc/28/63/93/872286393.db2.gz YSMUNZAILVTROA-OAHLLOKOSA-N -1 1 314.397 1.805 20 0 DDADMM C[C@@H](Oc1cc(=O)[n-]c(N2CCOCC2)n1)c1ccccn1 ZINC001226721052 882610552 /nfs/dbraw/zinc/61/05/52/882610552.db2.gz BTLWIYBAXDRABL-LLVKDONJSA-N -1 1 302.334 1.554 20 0 DDADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C=CC(C)(C)C ZINC001319606019 872714753 /nfs/dbraw/zinc/71/47/53/872714753.db2.gz UUBGTNPKSDZWJO-NNNHXZLVSA-N -1 1 323.441 1.733 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccccn2)C1)c1ccc([O-])cc1F ZINC000165313450 873508755 /nfs/dbraw/zinc/50/87/55/873508755.db2.gz VEYXAIKGWWVIOI-LLVKDONJSA-N -1 1 301.321 1.935 20 0 DDADMM COc1cc(C(=O)N(C)C)ccc1NC(=O)c1cnncc1[O-] ZINC001361972353 882738348 /nfs/dbraw/zinc/73/83/48/882738348.db2.gz VLKBLJMUALEIEG-UHFFFAOYSA-N -1 1 316.317 1.145 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1CN1C(=O)CC1(C)C ZINC001346504938 873781521 /nfs/dbraw/zinc/78/15/21/873781521.db2.gz LVGIAPIXIVLMFZ-NXEZZACHSA-N -1 1 321.343 1.486 20 0 DDADMM O=C(CCCOc1cccc(F)c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347198867 874052545 /nfs/dbraw/zinc/05/25/45/874052545.db2.gz RYYXETXSYAHSDV-LLVKDONJSA-N -1 1 319.340 1.514 20 0 DDADMM O=c1nc2nc[nH]c2c(O[C@H]2CCN3Cc4ccccc4N=C23)[n-]1 ZINC001227069927 882810620 /nfs/dbraw/zinc/81/06/20/882810620.db2.gz JOWUPYZBLRHCFG-NSHDSACASA-N -1 1 322.328 1.755 20 0 DDADMM CC(=CC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1)c1ccco1 ZINC001348164584 874393961 /nfs/dbraw/zinc/39/39/61/874393961.db2.gz GDMBNHOPEOCKGW-JATZPVMKSA-N -1 1 301.350 1.677 20 0 DDADMM COC[C@H](C)OC[C@@H](C)Oc1[n-]c(=O)ncc1Br ZINC001227139032 882870578 /nfs/dbraw/zinc/87/05/78/882870578.db2.gz XWPZDXQHGFIWKK-JGVFFNPUSA-N -1 1 321.171 1.764 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@]1(C)CCCC[C@H]1O ZINC001349176886 875014188 /nfs/dbraw/zinc/01/41/88/875014188.db2.gz TUZIZVCJCCDCNP-OTYXRUKQSA-N -1 1 311.407 1.450 20 0 DDADMM CCCC(=O)NCC1(NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001378596819 875196626 /nfs/dbraw/zinc/19/66/26/875196626.db2.gz NRIBXIBUIQROIH-UHFFFAOYSA-N -1 1 318.377 1.238 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCSC(C)(C)C ZINC001211148293 875674361 /nfs/dbraw/zinc/67/43/61/875674361.db2.gz KGQBYPFZMPKOHO-UHFFFAOYSA-N -1 1 321.424 1.006 20 0 DDADMM CC(=O)CCCCCCC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001350429376 875683667 /nfs/dbraw/zinc/68/36/67/875683667.db2.gz OYPMHJLDWRFVSY-CQSZACIVSA-N -1 1 321.425 1.910 20 0 DDADMM Cc1cccc(C(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)c1C ZINC001378848067 875764564 /nfs/dbraw/zinc/76/45/64/875764564.db2.gz VKYWPMXINLGHOX-NSHDSACASA-N -1 1 317.393 1.377 20 0 DDADMM CO[C@@H]1CCCN(c2nnc(-c3cc(Cl)ncc3[O-])n2C)C1 ZINC001350779272 875886620 /nfs/dbraw/zinc/88/66/20/875886620.db2.gz PENWWZKVSPXFNG-SECBINFHSA-N -1 1 323.784 1.851 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ccccc1)NCc1n[nH]c(=O)[n-]1 ZINC001378936494 875946255 /nfs/dbraw/zinc/94/62/55/875946255.db2.gz JSLLVAGGJDNAPA-LBPRGKRZSA-N -1 1 303.366 1.055 20 0 DDADMM O=C(NCCS(=O)(=O)CC1CC1)c1ccc(F)c([O-])c1 ZINC001362090733 883012023 /nfs/dbraw/zinc/01/20/23/883012023.db2.gz SOTPJVHUDRMSAR-UHFFFAOYSA-N -1 1 301.339 1.086 20 0 DDADMM CN(CCCNC(=O)CC(C)(F)F)C(=O)c1ncccc1[O-] ZINC001351879480 876495105 /nfs/dbraw/zinc/49/51/05/876495105.db2.gz DECMJWCWVPZOHK-UHFFFAOYSA-N -1 1 315.320 1.411 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2ccccc2[C@H](C)C1 ZINC001352345258 876700010 /nfs/dbraw/zinc/70/00/10/876700010.db2.gz GGMDZHFXBRGWDK-LLVKDONJSA-N -1 1 324.392 1.644 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2ccccc2[C@H](C)C1 ZINC001352345258 876700019 /nfs/dbraw/zinc/70/00/19/876700019.db2.gz GGMDZHFXBRGWDK-LLVKDONJSA-N -1 1 324.392 1.644 20 0 DDADMM CCCC(C)(C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001215441369 876713127 /nfs/dbraw/zinc/71/31/27/876713127.db2.gz NTTVUWMYRDCIOH-GHMZBOCLSA-N -1 1 309.414 1.273 20 0 DDADMM COCOc1ccc([N-]S(=O)(=O)c2ccc(N)c(N)c2)cn1 ZINC001215666460 876781800 /nfs/dbraw/zinc/78/18/00/876781800.db2.gz UZEDKFCTLAXQAB-UHFFFAOYSA-N -1 1 324.362 1.030 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1ccns1 ZINC001381962255 883066769 /nfs/dbraw/zinc/06/67/69/883066769.db2.gz LKLHVBCMFQDHJZ-VIFPVBQESA-N -1 1 320.374 1.134 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1CCC2(CCC2)CO1 ZINC001352829460 876958974 /nfs/dbraw/zinc/95/89/74/876958974.db2.gz DXPOZPJMPZAGRO-JTQLQIEISA-N -1 1 323.418 1.858 20 0 DDADMM CNS(=O)(=O)c1ccccc1[N-]c1noc2nccnc12 ZINC001216531650 876967240 /nfs/dbraw/zinc/96/72/40/876967240.db2.gz DCMNBGUFUXUGLT-UHFFFAOYSA-N -1 1 305.319 1.270 20 0 DDADMM CCOC(=O)[C@@H](C)n1cc(Nc2c(N)[nH]c(=S)[n-]c2=O)cn1 ZINC001216690358 877020278 /nfs/dbraw/zinc/02/02/78/877020278.db2.gz AAMBXFNLXJEBHW-ZCFIWIBFSA-N -1 1 324.366 1.117 20 0 DDADMM O=C(Nc1ccc(N2CCOCC2)cc1)c1cnncc1[O-] ZINC001353265672 877219858 /nfs/dbraw/zinc/21/98/58/877219858.db2.gz ABUICHZUTVMEOI-UHFFFAOYSA-N -1 1 300.318 1.271 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2ccns2)sn1 ZINC001364929522 889688041 /nfs/dbraw/zinc/68/80/41/889688041.db2.gz LCJPBPWEKTXDFB-UHFFFAOYSA-N -1 1 305.406 1.129 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)NC2CCN(C3CC3)CC2)c1 ZINC000315367114 879053237 /nfs/dbraw/zinc/05/32/37/879053237.db2.gz CIYUJLKLIJLUSJ-UHFFFAOYSA-N -1 1 324.402 1.290 20 0 DDADMM Cc1nocc1C(=O)N[C@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001380431996 879642176 /nfs/dbraw/zinc/64/21/76/879642176.db2.gz GAKRJBVEKWBTMP-RKDXNWHRSA-N -1 1 318.333 1.020 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)CC(C)C)o1 ZINC001374335371 912577382 /nfs/dbraw/zinc/57/73/82/912577382.db2.gz HAUKBKGUYNDPGJ-LLVKDONJSA-N -1 1 321.381 1.346 20 0 DDADMM CC(C)[C@H](C)C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001380932124 880685883 /nfs/dbraw/zinc/68/58/83/880685883.db2.gz PRPLGZAZUGWZSC-RYUDHWBXSA-N -1 1 307.394 1.656 20 0 DDADMM CC[C@H](CNC(=O)c1ccsc1)NC(=O)c1ncccc1[O-] ZINC001416178200 880695144 /nfs/dbraw/zinc/69/51/44/880695144.db2.gz MTVBEQAHYLQARR-LLVKDONJSA-N -1 1 319.386 1.787 20 0 DDADMM CC[C@H](C)OC(=O)N1CCCC[C@H]1CCOCc1nn[n-]n1 ZINC001223027351 880788668 /nfs/dbraw/zinc/78/86/68/880788668.db2.gz OIFNSNZEKCEHQS-RYUDHWBXSA-N -1 1 311.386 1.896 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H](F)[C@@H](OCc2nn[n-]n2)C1 ZINC001223029698 880790275 /nfs/dbraw/zinc/79/02/75/880790275.db2.gz OIMXAZGKBXONNE-UWVGGRQHSA-N -1 1 315.349 1.454 20 0 DDADMM CCOC(=O)[C@@H]1C[C@@H](OCc2nn[n-]n2)c2ccccc2O1 ZINC001223027791 880790907 /nfs/dbraw/zinc/79/09/07/880790907.db2.gz SSVALWSYGVVHTN-NEPJUHHUSA-N -1 1 304.306 1.172 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H](OCc2nn[n-]n2)[C@H](F)C1 ZINC001223029800 880791198 /nfs/dbraw/zinc/79/11/98/880791198.db2.gz PVMLOYCSYKMJBQ-ZJUUUORDSA-N -1 1 315.349 1.454 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)c1cnn(C(C)C)c1 ZINC001362149401 883156897 /nfs/dbraw/zinc/15/68/97/883156897.db2.gz SXEPMHPABUXJAW-QMMMGPOBSA-N -1 1 321.337 1.165 20 0 DDADMM CCn1nc(C)c(NC(=O)c2cnc(SC)[n-]c2=O)c1C ZINC001362156463 883171532 /nfs/dbraw/zinc/17/15/32/883171532.db2.gz FIYJBUPZVTVFHL-UHFFFAOYSA-N -1 1 307.379 1.990 20 0 DDADMM O=C(NC1CC1)[C@H]1CCCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001362172758 883209205 /nfs/dbraw/zinc/20/92/05/883209205.db2.gz BFACMKPAXPMIJO-NSHDSACASA-N -1 1 306.337 1.662 20 0 DDADMM CSc1ncc(C(=O)NCC2(CCO)CCCC2)c(=O)[n-]1 ZINC001362219599 883331399 /nfs/dbraw/zinc/33/13/99/883331399.db2.gz VOLBMEVUWTZUBO-UHFFFAOYSA-N -1 1 311.407 1.577 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H](Oc2cc(=O)[n-]c(SC)n2)CO1 ZINC001228090812 883331257 /nfs/dbraw/zinc/33/12/57/883331257.db2.gz NNYJEHBOFLENFC-DTWKUNHWSA-N -1 1 314.363 1.394 20 0 DDADMM C[C@@H](Oc1nc(O)cc(=O)[n-]1)c1cc(Br)no1 ZINC001228389886 883476667 /nfs/dbraw/zinc/47/66/67/883476667.db2.gz BASVRWOBELNWNB-SCSAIBSYSA-N -1 1 302.084 1.778 20 0 DDADMM C[C@@](O)(CNC(=O)c1c([O-])cnc2c(F)cccc21)C1CC1 ZINC001362283583 883478844 /nfs/dbraw/zinc/47/88/44/883478844.db2.gz JMCGEOCTYHOIBX-MRXNPFEDSA-N -1 1 304.321 1.970 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])cn2)CCN1CC(F)(F)F ZINC001362312971 883542170 /nfs/dbraw/zinc/54/21/70/883542170.db2.gz WGRYDUONHUDNHN-SECBINFHSA-N -1 1 303.284 1.496 20 0 DDADMM Cc1ccc(N2CCC[C@H](Oc3cnnc(=S)[n-]3)C2)nc1 ZINC001228636296 883583699 /nfs/dbraw/zinc/58/36/99/883583699.db2.gz KWHHCEFJBLGWBB-NSHDSACASA-N -1 1 303.391 1.912 20 0 DDADMM Nc1ccnc(CNC(=O)c2ccc(C(F)(F)F)c([O-])c2)n1 ZINC001362362720 883646760 /nfs/dbraw/zinc/64/67/60/883646760.db2.gz CEXFAEYQMHVEIH-UHFFFAOYSA-N -1 1 312.251 1.713 20 0 DDADMM O=C([C@@H]1CC[C@@H]2[C@H](C1)C2(F)F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362376018 883673337 /nfs/dbraw/zinc/67/33/37/883673337.db2.gz QOGDUVSJLJIKCN-YTWAJWBKSA-N -1 1 311.336 1.587 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC001362407265 883741846 /nfs/dbraw/zinc/74/18/46/883741846.db2.gz PRWZCUVAWQWWFL-LBPRGKRZSA-N -1 1 300.366 1.286 20 0 DDADMM O=C(NC1CCC(O)(C(F)(F)F)CC1)c1ccc([O-])cn1 ZINC001362452431 883845936 /nfs/dbraw/zinc/84/59/36/883845936.db2.gz SEUALXXNJHFTOI-UHFFFAOYSA-N -1 1 304.268 1.753 20 0 DDADMM CCOC(=O)c1cnc(C)c(NC(=O)c2ccc([O-])cn2)c1 ZINC001362514425 883981962 /nfs/dbraw/zinc/98/19/62/883981962.db2.gz ZAPCGDJQKFRPNW-UHFFFAOYSA-N -1 1 301.302 1.920 20 0 DDADMM CC(C)c1nnc(CCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)o1 ZINC001362536149 884033302 /nfs/dbraw/zinc/03/33/02/884033302.db2.gz CWVQSWHXKHBCPN-SNVBAGLBSA-N -1 1 319.369 1.045 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC(n2ccnn2)CC1 ZINC001362638918 884293686 /nfs/dbraw/zinc/29/36/86/884293686.db2.gz DFOKJXCWZAWAGQ-UHFFFAOYSA-N -1 1 314.349 1.128 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@](O)(C(F)(F)F)C2)c(=O)[n-]1 ZINC001362641998 884301589 /nfs/dbraw/zinc/30/15/89/884301589.db2.gz DKJQRGCDBLDWEN-JTQLQIEISA-N -1 1 323.296 1.043 20 0 DDADMM O=C(c1nc(C2CC2)cs1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362708564 884458445 /nfs/dbraw/zinc/45/84/45/884458445.db2.gz KYNNWOVAYSOHQZ-SECBINFHSA-N -1 1 304.379 1.553 20 0 DDADMM CSc1ncc(C(=O)NCC2(CF)CCOCC2)c(=O)[n-]1 ZINC001362711921 884466307 /nfs/dbraw/zinc/46/63/07/884466307.db2.gz MNGYPCNXSCWILD-UHFFFAOYSA-N -1 1 315.370 1.400 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)Cn1ccc(C)n1)c1nn[n-]n1 ZINC001362783479 884629455 /nfs/dbraw/zinc/62/94/55/884629455.db2.gz HLLCJIPZKXRWQB-SNVBAGLBSA-N -1 1 305.386 1.172 20 0 DDADMM CCCn1nc(C)c(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1C ZINC001362828307 884736658 /nfs/dbraw/zinc/73/66/58/884736658.db2.gz BEXPQZZYBIIGKJ-UHFFFAOYSA-N -1 1 319.413 1.721 20 0 DDADMM CC(C)(C)OCc1cc(C(=O)NC2(c3nn[n-]n3)CCC2)no1 ZINC001362836400 884759852 /nfs/dbraw/zinc/75/98/52/884759852.db2.gz PQLNKJCKERPMDX-UHFFFAOYSA-N -1 1 320.353 1.312 20 0 DDADMM COC(=O)[C@@H](Cc1cncs1)NC(=O)c1ccc([O-])cc1F ZINC001362861672 884822130 /nfs/dbraw/zinc/82/21/30/884822130.db2.gz DNWGAAYCZYINGK-GFCCVEGCSA-N -1 1 324.333 1.502 20 0 DDADMM NC(=O)[C@H]1CCCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001362922894 884993230 /nfs/dbraw/zinc/99/32/30/884993230.db2.gz FUGNIAVTSCBRIF-CYBMUJFWSA-N -1 1 300.314 1.341 20 0 DDADMM COC(=O)Nc1cc(C(=O)Nc2c(C)[n-][nH]c2=O)ccc1F ZINC001362947353 885055767 /nfs/dbraw/zinc/05/57/67/885055767.db2.gz AHJLZRBPLHQQSP-UHFFFAOYSA-N -1 1 308.269 1.993 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(N2CCOC2=O)ccc1F ZINC001362950537 885064734 /nfs/dbraw/zinc/06/47/34/885064734.db2.gz RFXJPOXCKCNBSJ-UHFFFAOYSA-N -1 1 320.280 1.772 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2ncc(C)cc2[O-])nc1 ZINC001363017651 885239676 /nfs/dbraw/zinc/23/96/76/885239676.db2.gz SLWRBAYAGWILCU-UHFFFAOYSA-N -1 1 301.302 1.207 20 0 DDADMM C[C@H](OC1CCCCCC1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363041932 885307181 /nfs/dbraw/zinc/30/71/81/885307181.db2.gz WFMAQWAEOSLWSD-JTQLQIEISA-N -1 1 309.366 1.632 20 0 DDADMM C[S@](=O)(=NC(=O)CCc1nn[n-]n1)c1cccc(Cl)c1 ZINC001363076299 885405510 /nfs/dbraw/zinc/40/55/10/885405510.db2.gz QXRHDNQYZNOFJE-HXUWFJFHSA-N -1 1 313.770 1.469 20 0 DDADMM O=C(NC[C@H](CO)CC1CCOCC1)c1ccc(F)c([O-])c1 ZINC001363091727 885442063 /nfs/dbraw/zinc/44/20/63/885442063.db2.gz NDOINFXHKSKWPD-GFCCVEGCSA-N -1 1 311.353 1.686 20 0 DDADMM CSc1ncc(C(=O)NCc2noc3c2CCCC3)c(=O)[n-]1 ZINC001363185452 885663644 /nfs/dbraw/zinc/66/36/44/885663644.db2.gz UKIKDWYUDIKRLA-UHFFFAOYSA-N -1 1 320.374 1.701 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCn1cc(Cl)cn1 ZINC001363275201 885882661 /nfs/dbraw/zinc/88/26/61/885882661.db2.gz DNIRUCCBGSWEGJ-UHFFFAOYSA-N -1 1 311.777 1.125 20 0 DDADMM Cc1cccc(Cl)c1CC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363278185 885892571 /nfs/dbraw/zinc/89/25/71/885892571.db2.gz RCEHSTMUCJBYDD-UHFFFAOYSA-N -1 1 305.769 1.900 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)C(=O)NC(C)C ZINC001363332966 886048598 /nfs/dbraw/zinc/04/85/98/886048598.db2.gz WHTZXGFNTIKTRP-SNVBAGLBSA-N -1 1 308.382 1.257 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CCCC(C)=O ZINC001363399034 886228008 /nfs/dbraw/zinc/22/80/08/886228008.db2.gz OPDGKSCRSNUDCS-LLVKDONJSA-N -1 1 322.365 1.404 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CCCC(C)=O ZINC001363399034 886228028 /nfs/dbraw/zinc/22/80/28/886228028.db2.gz OPDGKSCRSNUDCS-LLVKDONJSA-N -1 1 322.365 1.404 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CCCC(C)=O ZINC001363399034 886228048 /nfs/dbraw/zinc/22/80/48/886228048.db2.gz OPDGKSCRSNUDCS-LLVKDONJSA-N -1 1 322.365 1.404 20 0 DDADMM O=C(NC[C@@H](O)c1cncs1)c1c(F)ccc([O-])c1F ZINC001363402296 886235270 /nfs/dbraw/zinc/23/52/70/886235270.db2.gz VUZZYPAJYCXQAM-MRVPVSSYSA-N -1 1 300.286 1.590 20 0 DDADMM COC[C@@H](NC(=O)c1ccc2c(c1)OC(C)(C)C2)c1nn[n-]n1 ZINC001363431564 886321447 /nfs/dbraw/zinc/32/14/47/886321447.db2.gz IAURWQHIWARXOZ-LLVKDONJSA-N -1 1 317.349 1.031 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2CCc3c[nH]nc3C2)cc(=O)[n-]1 ZINC001363455753 886386349 /nfs/dbraw/zinc/38/63/49/886386349.db2.gz FWOIGLOAMUSZAC-MRVPVSSYSA-N -1 1 319.390 1.049 20 0 DDADMM C[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)OC(C)(C)C ZINC001363464363 886410936 /nfs/dbraw/zinc/41/09/36/886410936.db2.gz VGQNUIALRWRJKD-VIFPVBQESA-N -1 1 321.377 1.767 20 0 DDADMM COc1ccccc1[C@@H]1C[C@H]1C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363484943 886461741 /nfs/dbraw/zinc/46/17/41/886461741.db2.gz KORRYWPJHIHZLA-WDEREUQCSA-N -1 1 315.329 1.316 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H](O)C1CCCCCCC1 ZINC001363487634 886466572 /nfs/dbraw/zinc/46/65/72/886466572.db2.gz SLONHJPZWRXLOD-CQSZACIVSA-N -1 1 309.366 1.225 20 0 DDADMM CCOC(=O)c1cc(CNC(=O)c2ccc([O-])cc2F)on1 ZINC001363518722 886536627 /nfs/dbraw/zinc/53/66/27/886536627.db2.gz GAOQMXLJLVCUFI-UHFFFAOYSA-N -1 1 308.265 1.626 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H](C)Oc1ccccc1 ZINC001363545690 886601924 /nfs/dbraw/zinc/60/19/24/886601924.db2.gz PZRQGWCEQKGZMR-GFCCVEGCSA-N -1 1 303.366 1.652 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(C(C)C)nc2)o1 ZINC001363575841 886674473 /nfs/dbraw/zinc/67/44/73/886674473.db2.gz FJBZSUQTFYHZCV-UHFFFAOYSA-N -1 1 323.374 1.958 20 0 DDADMM CC(C)c1ncncc1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363584988 886696870 /nfs/dbraw/zinc/69/68/70/886696870.db2.gz PVBFFSJDNGEWGN-LLVKDONJSA-N -1 1 315.381 1.208 20 0 DDADMM O=C(N[C@H]1CCS(=O)(=O)C2(CCC2)C1)c1ccc([O-])cn1 ZINC001363591987 886714437 /nfs/dbraw/zinc/71/44/37/886714437.db2.gz XLEOXZKZHPMGLH-JTQLQIEISA-N -1 1 310.375 1.017 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@@H](C)c2cnn(C)c2)[n-]c1=O ZINC001363614362 886769949 /nfs/dbraw/zinc/76/99/49/886769949.db2.gz HJXNISSHQHLYGP-VIFPVBQESA-N -1 1 319.365 1.079 20 0 DDADMM CSc1nc(CNC(=O)c2cn(C3CCC3)nn2)cc(=O)[n-]1 ZINC001363627524 886806429 /nfs/dbraw/zinc/80/64/29/886806429.db2.gz AWDMWMHARAIPBW-UHFFFAOYSA-N -1 1 320.378 1.151 20 0 DDADMM Cn1ccc(C(=O)NCCc2c(F)cc([O-])cc2F)cc1=O ZINC001363646838 886875200 /nfs/dbraw/zinc/87/52/00/886875200.db2.gz DXPPTOSUMSQDLC-UHFFFAOYSA-N -1 1 308.284 1.342 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1CC(c2cc(F)ccc2F)=NO1 ZINC001363710893 887043535 /nfs/dbraw/zinc/04/35/35/887043535.db2.gz SFOFSNPAFRSQKI-NSHDSACASA-N -1 1 308.244 1.525 20 0 DDADMM Cc1nc(SCC(=O)Nc2cnccn2)[n-]c(=O)c1C1CC1 ZINC001363760828 887159543 /nfs/dbraw/zinc/15/95/43/887159543.db2.gz JDHVKYJUFMXCNS-UHFFFAOYSA-N -1 1 317.374 1.889 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CC[C@@H]1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363819598 887309278 /nfs/dbraw/zinc/30/92/78/887309278.db2.gz JBWQUTJWTVBPLP-VHSXEESVSA-N -1 1 321.381 1.063 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C(C)(C)C)C2)[n-]n1 ZINC001363830542 887335879 /nfs/dbraw/zinc/33/58/79/887335879.db2.gz ORSOIDDMKRXLPW-SECBINFHSA-N -1 1 315.395 1.253 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C(C)(C)C)C2)n[n-]1 ZINC001363830542 887335889 /nfs/dbraw/zinc/33/58/89/887335889.db2.gz ORSOIDDMKRXLPW-SECBINFHSA-N -1 1 315.395 1.253 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ccc(F)c([O-])c2)CS1(=O)=O ZINC001363860246 887415984 /nfs/dbraw/zinc/41/59/84/887415984.db2.gz ZUASOTXMFVKACS-PSASIEDQSA-N -1 1 301.339 1.227 20 0 DDADMM CCOC(=O)c1nc(NC(=O)/C=C/[C@H]2CCOC2)[n-]c1Cl ZINC001289091700 913147795 /nfs/dbraw/zinc/14/77/95/913147795.db2.gz ZXFJYKOSDCHYBP-RTMURIBGSA-N -1 1 313.741 1.771 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-][C@H](C)c1nc(C)no1 ZINC001363939706 887578409 /nfs/dbraw/zinc/57/84/09/887578409.db2.gz XENTUWRLAGMTBV-SCZZXKLOSA-N -1 1 319.383 1.090 20 0 DDADMM O=S(=O)([N-]CCc1cn[nH]c1)c1ccc(Br)o1 ZINC001363991213 887680684 /nfs/dbraw/zinc/68/06/84/887680684.db2.gz BDSZYAXRKOOJHC-UHFFFAOYSA-N -1 1 320.168 1.286 20 0 DDADMM COC[C@@H](NC(=O)Cc1c(C)cccc1Cl)c1nn[n-]n1 ZINC001364023394 887744490 /nfs/dbraw/zinc/74/44/90/887744490.db2.gz FNHPCWVCLPPBMV-LLVKDONJSA-N -1 1 309.757 1.208 20 0 DDADMM COC(=O)c1c(NC(=O)CCCC(C)C)n[n-]c1OCCO ZINC001364104594 887927869 /nfs/dbraw/zinc/92/78/69/887927869.db2.gz OXKMQINJEFVFRQ-UHFFFAOYSA-N -1 1 313.354 1.332 20 0 DDADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)CCCC(C)C ZINC001364104594 887927873 /nfs/dbraw/zinc/92/78/73/887927873.db2.gz OXKMQINJEFVFRQ-UHFFFAOYSA-N -1 1 313.354 1.332 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@@H]2CCC(=O)c3ccccc32)c(=O)[n-]1 ZINC001234470243 888002600 /nfs/dbraw/zinc/00/26/00/888002600.db2.gz QEKYJDWDHYUCIQ-GFCCVEGCSA-N -1 1 313.313 1.686 20 0 DDADMM CC(C)Oc1ncccc1COc1c(C(N)=O)nc[n-]c1=O ZINC001234472528 888005486 /nfs/dbraw/zinc/00/54/86/888005486.db2.gz SPRXLBSGKCGJPQ-UHFFFAOYSA-N -1 1 304.306 1.042 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@@H]1CCC[C@@H]1CO ZINC001364151193 888030862 /nfs/dbraw/zinc/03/08/62/888030862.db2.gz HKYNINJLVLYSHQ-BXUZGUMPSA-N -1 1 316.361 1.860 20 0 DDADMM CC(C)(C)OC(=O)N1CC(C)(C)[C@H]1C(=O)Nc1c[n-][nH]c1=O ZINC001364158045 888044624 /nfs/dbraw/zinc/04/46/24/888044624.db2.gz YVPNKZLHCSCVJA-SECBINFHSA-N -1 1 310.354 1.699 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC(c2ncon2)CC1 ZINC001364176237 888081774 /nfs/dbraw/zinc/08/17/74/888081774.db2.gz QUSXVJFNKBJIMN-UHFFFAOYSA-N -1 1 315.333 1.462 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1OC1CN(C(C)C)C1 ZINC001234554033 888088163 /nfs/dbraw/zinc/08/81/63/888088163.db2.gz KCEYKGPHFSSGGE-UHFFFAOYSA-N -1 1 309.366 1.564 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H]2CCCC(=O)C2(C)C)c(=O)[n-]1 ZINC001234565876 888104413 /nfs/dbraw/zinc/10/44/13/888104413.db2.gz QSFDJFOKUSWYPZ-JTQLQIEISA-N -1 1 321.377 1.931 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@@H](C)c2ccccn2)c(=O)[n-]1 ZINC001234564849 888105111 /nfs/dbraw/zinc/10/51/11/888105111.db2.gz JTMNNYZBKBPXCZ-VIFPVBQESA-N -1 1 302.334 1.940 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@H]1C[C@@](C)(C(=O)OC)C1 ZINC001234606620 888136527 /nfs/dbraw/zinc/13/65/27/888136527.db2.gz HAZKUSTWGUGLJL-BGIOPWKHSA-N -1 1 313.281 1.433 20 0 DDADMM Cc1cnc(C)nc1N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC001364222564 888169237 /nfs/dbraw/zinc/16/92/37/888169237.db2.gz VSPUYVKEIFVDRD-KCJUWKMLSA-N -1 1 316.327 1.987 20 0 DDADMM COc1cccnc1C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001364237613 888195071 /nfs/dbraw/zinc/19/50/71/888195071.db2.gz YNJQZZOWFHCTNH-UHFFFAOYSA-N -1 1 318.333 1.260 20 0 DDADMM O=C(CC[N@H+]1CC[C@@H](F)C1)Nc1ccc(-n2ccc(=O)[nH]2)cc1 ZINC001364262981 888247129 /nfs/dbraw/zinc/24/71/29/888247129.db2.gz RANQZRIUQPLZQN-GFCCVEGCSA-N -1 1 318.352 1.950 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CCNC(=O)C1CC1 ZINC001385145728 888561749 /nfs/dbraw/zinc/56/17/49/888561749.db2.gz BZTJRFUPEREDCS-DGCLKSJQSA-N -1 1 317.389 1.412 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(Cc2ccc(O)cc2)CC1 ZINC001364468304 888708495 /nfs/dbraw/zinc/70/84/95/888708495.db2.gz JISVKPYRYZMCEW-UHFFFAOYSA-N -1 1 313.357 1.451 20 0 DDADMM CN(C)C(=O)[C@@H]1CCCN(Cc2nc(=O)c3sccc3[n-]2)C1 ZINC001364507719 888797825 /nfs/dbraw/zinc/79/78/25/888797825.db2.gz VKWCERXXCWVARP-SNVBAGLBSA-N -1 1 320.418 1.697 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H](C)C(C)(C)C)[n-]n1 ZINC001364771542 889379036 /nfs/dbraw/zinc/37/90/36/889379036.db2.gz ZDKRKPXUHFXGCH-QMMMGPOBSA-N -1 1 303.384 1.251 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H](C)C(C)(C)C)n[n-]1 ZINC001364771542 889379045 /nfs/dbraw/zinc/37/90/45/889379045.db2.gz ZDKRKPXUHFXGCH-QMMMGPOBSA-N -1 1 303.384 1.251 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H]2CC(C)C)[n-]n1 ZINC001364799712 889439416 /nfs/dbraw/zinc/43/94/16/889439416.db2.gz VQMOLJOTMKLOIV-SNVBAGLBSA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H]2CC(C)C)n[n-]1 ZINC001364799712 889439422 /nfs/dbraw/zinc/43/94/22/889439422.db2.gz VQMOLJOTMKLOIV-SNVBAGLBSA-N -1 1 315.395 1.396 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)c1c(C)noc1C ZINC001364804424 889451504 /nfs/dbraw/zinc/45/15/04/889451504.db2.gz FNGSWZHUSCFLFQ-ZETCQYMHSA-N -1 1 317.392 1.796 20 0 DDADMM CC[C@@H](F)C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001396197349 913347187 /nfs/dbraw/zinc/34/71/87/913347187.db2.gz IVUSRVHCIPMVFN-VQXHTEKXSA-N -1 1 309.341 1.255 20 0 DDADMM CC[C@@H](C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001385629012 889460509 /nfs/dbraw/zinc/46/05/09/889460509.db2.gz WGUPTUGWUDAJDW-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM CCc1ccc([C@@H](C)CC(=O)N[C@H](COC)c2nn[n-]n2)cc1 ZINC001364814212 889469888 /nfs/dbraw/zinc/46/98/88/889469888.db2.gz MQVRMCAAXRHRBQ-SMDDNHRTSA-N -1 1 317.393 1.760 20 0 DDADMM CCOC(=O)[C@H](C[C@@H](C)CC)[N-]S(=O)(=O)Cc1ccno1 ZINC001364922809 889674646 /nfs/dbraw/zinc/67/46/46/889674646.db2.gz IUVIOROJQBDMPN-JQWIXIFHSA-N -1 1 318.395 1.462 20 0 DDADMM CCCC[C@H](C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385838924 889839934 /nfs/dbraw/zinc/83/99/34/889839934.db2.gz RCOYJHSDKQBYLA-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM COc1cnc(C2(NC(=O)c3cccnn3)CCCC2)[n-]c1=O ZINC001365020057 889907905 /nfs/dbraw/zinc/90/79/05/889907905.db2.gz AYRMTJODMKZETM-UHFFFAOYSA-N -1 1 315.333 1.180 20 0 DDADMM CCCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001396219368 913396014 /nfs/dbraw/zinc/39/60/14/913396014.db2.gz BFPHIJNKOFBNMB-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM COc1cc(C(=O)Nc2c[n-][nH]c2=O)cc(NC(C)=O)c1OC ZINC001365213910 890366491 /nfs/dbraw/zinc/36/64/91/890366491.db2.gz WASYGMLMTZPOFS-UHFFFAOYSA-N -1 1 320.305 1.343 20 0 DDADMM O=C1Cc2cc(-c3cnn(Cc4ccncc4)c3)ccc2C(=O)[N-]1 ZINC001239052221 890485919 /nfs/dbraw/zinc/48/59/19/890485919.db2.gz IRFDQSUTCDTZBD-UHFFFAOYSA-N -1 1 318.336 1.806 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H]3CCCC[C@@H]3C2)[n-]n1 ZINC001365404968 890745624 /nfs/dbraw/zinc/74/56/24/890745624.db2.gz YDDZZYHRYAGHHN-AOOOYVTPSA-N -1 1 313.379 1.007 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H]3CCCC[C@@H]3C2)n[n-]1 ZINC001365404968 890745635 /nfs/dbraw/zinc/74/56/35/890745635.db2.gz YDDZZYHRYAGHHN-AOOOYVTPSA-N -1 1 313.379 1.007 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1csnc1OC)[C@@H]1CCCOC1 ZINC001365457035 890830347 /nfs/dbraw/zinc/83/03/47/890830347.db2.gz CGKVEHJKEXUQNH-NXEZZACHSA-N -1 1 320.436 1.635 20 0 DDADMM CCOC(=O)c1cnc([S@](C)=O)nc1-c1cc(F)ccc1[O-] ZINC001240695746 891022077 /nfs/dbraw/zinc/02/20/77/891022077.db2.gz PTYPMRJAIHCWCP-QFIPXVFZSA-N -1 1 324.333 1.902 20 0 DDADMM CN1CCN(c2cc(-c3ccc(C(=O)[O-])s3)ncn2)CC1 ZINC001240844430 891071279 /nfs/dbraw/zinc/07/12/79/891071279.db2.gz VCTUUJIIWOYMCC-UHFFFAOYSA-N -1 1 304.375 1.655 20 0 DDADMM Cc1conc1CS(=O)(=O)[N-]Cc1nc(C(C)(C)C)co1 ZINC001365747520 891449671 /nfs/dbraw/zinc/44/96/71/891449671.db2.gz VUDNZXDZBYJRTH-UHFFFAOYSA-N -1 1 313.379 1.888 20 0 DDADMM CC(=O)n1ncc2ccc(-c3nc(C)cc4c3C(=O)[N-]C4=O)cc21 ZINC001243478368 891669351 /nfs/dbraw/zinc/66/93/51/891669351.db2.gz NOWDXJVHJGOXKO-UHFFFAOYSA-N -1 1 320.308 1.950 20 0 DDADMM NC(=O)c1cc(-c2c(Cl)ccc([O-])c2F)cc(C(N)=O)n1 ZINC001244542150 891865298 /nfs/dbraw/zinc/86/52/98/891865298.db2.gz ITUJJJLYMPKPTC-UHFFFAOYSA-N -1 1 309.684 1.445 20 0 DDADMM CCC[C@@H](C)CC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001365929632 891945549 /nfs/dbraw/zinc/94/55/49/891945549.db2.gz QQAAIAMRIDAGRM-OLZOCXBDSA-N -1 1 323.441 1.015 20 0 DDADMM NS(=O)(=O)c1cccc(-c2c(F)ccc([O-])c2Cl)n1 ZINC001245832925 892247606 /nfs/dbraw/zinc/24/76/06/892247606.db2.gz QCMLPOIMKGXFGG-UHFFFAOYSA-N -1 1 302.714 1.894 20 0 DDADMM CCC(CC)C(=O)NCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001292520025 913763422 /nfs/dbraw/zinc/76/34/22/913763422.db2.gz WKLCBQLYLYMYCP-UHFFFAOYSA-N -1 1 320.393 1.342 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1Nc1ccc2c(c1)OCC(=O)N2 ZINC001249615299 894064907 /nfs/dbraw/zinc/06/49/07/894064907.db2.gz GEWYISJQRKTHQG-UHFFFAOYSA-N -1 1 319.302 1.943 20 0 DDADMM CCN(CCNC(=O)c1cc(F)c[nH]1)C(=O)c1ncccc1[O-] ZINC001388346804 895034175 /nfs/dbraw/zinc/03/41/75/895034175.db2.gz QBORNNPEMYJZDY-UHFFFAOYSA-N -1 1 320.324 1.147 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])C(=O)C(F)F ZINC001388576396 895476746 /nfs/dbraw/zinc/47/67/46/895476746.db2.gz QGOBOBMTRMXPAQ-UHFFFAOYSA-N -1 1 301.293 1.021 20 0 DDADMM CN(C)c1nc(NC[C@@H](O)COC(C)(C)C)c(N=O)c(=O)[n-]1 ZINC001253445054 895848362 /nfs/dbraw/zinc/84/83/62/895848362.db2.gz CWNSAQQKALBMJJ-MRVPVSSYSA-N -1 1 313.358 1.234 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(C)CCC1 ZINC001388900458 896146861 /nfs/dbraw/zinc/14/68/61/896146861.db2.gz JNSGKFSCJCNMSE-LBPRGKRZSA-N -1 1 319.405 1.848 20 0 DDADMM CCOC(=O)/C=C(/[N-]S(=O)(=O)c1c(C)noc1C)OCC ZINC001258805144 898326637 /nfs/dbraw/zinc/32/66/37/898326637.db2.gz MLIVMKHIURUTBJ-YFHOEESVSA-N -1 1 318.351 1.011 20 0 DDADMM COc1ccccc1C[C@@H](C)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001258881995 898347774 /nfs/dbraw/zinc/34/77/74/898347774.db2.gz JBGCSLBPZQGCQQ-MRVPVSSYSA-N -1 1 315.333 1.767 20 0 DDADMM COC(=O)C12CC([N-]S(=O)(=O)c3cc(F)ccc3F)(C1)C2 ZINC001258948424 898373367 /nfs/dbraw/zinc/37/33/67/898373367.db2.gz PXSQFIFCZQCNJK-UHFFFAOYSA-N -1 1 317.313 1.339 20 0 DDADMM CCc1coc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2)n1 ZINC001259306007 898602623 /nfs/dbraw/zinc/60/26/23/898602623.db2.gz VEERXRKMBXRRQQ-UHFFFAOYSA-N -1 1 302.302 1.994 20 0 DDADMM CO[C@@H]1COCC[C@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259459782 898679295 /nfs/dbraw/zinc/67/92/95/898679295.db2.gz GQBOUKARMMJMLB-GHMZBOCLSA-N -1 1 323.773 1.561 20 0 DDADMM O=S(=O)([N-][C@@H](CO)[C@H]1CCOC1)c1cc(Cl)ccc1F ZINC001259461583 898681686 /nfs/dbraw/zinc/68/16/86/898681686.db2.gz ZKLNYTCASUEQHJ-KWQFWETISA-N -1 1 323.773 1.155 20 0 DDADMM CCN(CCO)c1ccc([N-]S(=O)(=O)c2cccnc2)cc1 ZINC001259608139 898736643 /nfs/dbraw/zinc/73/66/43/898736643.db2.gz UAVJWABKBNFZDZ-UHFFFAOYSA-N -1 1 321.402 1.701 20 0 DDADMM C[N@@H+]1C[C@H]2[C@H](C1)CCCN2S(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC001260187507 899081384 /nfs/dbraw/zinc/08/13/84/899081384.db2.gz TXRDLOWDLAUHBT-JSGCOSHPSA-N -1 1 324.402 1.100 20 0 DDADMM CN1C[C@H]2[C@H](C1)CCCN2S(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC001260187507 899081399 /nfs/dbraw/zinc/08/13/99/899081399.db2.gz TXRDLOWDLAUHBT-JSGCOSHPSA-N -1 1 324.402 1.100 20 0 DDADMM CC(C)[C@@H](CO)[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260196153 899086415 /nfs/dbraw/zinc/08/64/15/899086415.db2.gz VWZQKRNZHLXDHB-SNVBAGLBSA-N -1 1 313.753 1.913 20 0 DDADMM O=c1cc(CNS(=O)(=O)c2c(F)cc(F)cc2F)o[n-]1 ZINC001260356129 899126608 /nfs/dbraw/zinc/12/66/08/899126608.db2.gz GUVWGURSHBEYNJ-UHFFFAOYSA-N -1 1 308.237 1.276 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)CF)c1cc(Cl)sc1Cl ZINC001260474331 899149154 /nfs/dbraw/zinc/14/91/54/899149154.db2.gz IVCYEFVBQWTOFQ-BYPYZUCNSA-N -1 1 308.183 1.664 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2c3cc(C)ccc3NC2=O)cn1C ZINC001260549954 899165979 /nfs/dbraw/zinc/16/59/79/899165979.db2.gz FYDOUUQUDFMBMD-CYBMUJFWSA-N -1 1 320.374 1.009 20 0 DDADMM COCCOc1ccc(NC(=O)c2cnncc2[O-])c(C)c1 ZINC001262604678 900269857 /nfs/dbraw/zinc/26/98/57/900269857.db2.gz ZTONAYUAHFBQOU-UHFFFAOYSA-N -1 1 303.318 1.768 20 0 DDADMM CN(C(=O)CC(C)(C)C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396785839 914465053 /nfs/dbraw/zinc/46/50/53/914465053.db2.gz UXRLFLWJVIZLCC-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@](O)(C2CC2)C1 ZINC001263862733 900778913 /nfs/dbraw/zinc/77/89/13/900778913.db2.gz RWIAGSFMIJJDFZ-CQSZACIVSA-N -1 1 309.391 1.156 20 0 DDADMM CC[C@@H](C)CC(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001396823567 914541583 /nfs/dbraw/zinc/54/15/83/914541583.db2.gz YGEFZQFXSZPJON-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1noc([C@@H](C)N(C)CCCNC(=O)c2ncccc2[O-])n1 ZINC001265831662 902461209 /nfs/dbraw/zinc/46/12/09/902461209.db2.gz NTFFFLJRISWPTC-SNVBAGLBSA-N -1 1 319.365 1.292 20 0 DDADMM C[C@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)c1ccsc1)C1CC1 ZINC001391787728 902603183 /nfs/dbraw/zinc/60/31/83/902603183.db2.gz ZFNONNFALXAKMV-CQSZACIVSA-N -1 1 321.406 1.260 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)CC(F)(F)F ZINC001370472795 903238991 /nfs/dbraw/zinc/23/89/91/903238991.db2.gz NQZXJJBLXZUQAL-MRVPVSSYSA-N -1 1 319.283 1.316 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1C[C@H]1CC(C)(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001371189758 904447997 /nfs/dbraw/zinc/44/79/97/904447997.db2.gz STFOYNLOHOYCPZ-OUAUKWLOSA-N -1 1 309.414 1.177 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CCCCCC1 ZINC001392722081 905054191 /nfs/dbraw/zinc/05/41/91/905054191.db2.gz ZEGWZCRVOOKBDC-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM O=C(N[C@@H](CNCc1ccon1)C1CC1)c1ncccc1[O-] ZINC001392767430 905191889 /nfs/dbraw/zinc/19/18/89/905191889.db2.gz XXGKONGXKGPUED-LBPRGKRZSA-N -1 1 302.334 1.073 20 0 DDADMM CC(C)CCC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001372170992 906636642 /nfs/dbraw/zinc/63/66/42/906636642.db2.gz HDKKGUSWAOUVIK-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])Cc1ncc(C)cn1 ZINC001372320612 907020916 /nfs/dbraw/zinc/02/09/16/907020916.db2.gz NNQYWFSDQCOIAP-UHFFFAOYSA-N -1 1 315.377 1.138 20 0 DDADMM CC(C)CCCN1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001393508143 907170805 /nfs/dbraw/zinc/17/08/05/907170805.db2.gz BSCJZLJYZOSLTO-CQSZACIVSA-N -1 1 321.421 1.654 20 0 DDADMM CC(C)=CC(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001283382478 907262457 /nfs/dbraw/zinc/26/24/57/907262457.db2.gz PGQPAEGXVSQJQB-LBPRGKRZSA-N -1 1 303.362 1.426 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001393610826 907475667 /nfs/dbraw/zinc/47/56/67/907475667.db2.gz OBAZMWHWMKLYBZ-SNVBAGLBSA-N -1 1 303.362 1.212 20 0 DDADMM CCCC[C@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001393629142 907549819 /nfs/dbraw/zinc/54/98/19/907549819.db2.gz RBZLAXAHPDYFEI-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)[C@H](C)c1csnn1 ZINC001394068732 908699749 /nfs/dbraw/zinc/69/97/49/908699749.db2.gz XXKSLTPDWAIZLZ-NXEZZACHSA-N -1 1 321.406 1.450 20 0 DDADMM CN(C(=O)[C@@H]1CCC1(C)C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001394430082 909610230 /nfs/dbraw/zinc/61/02/30/909610230.db2.gz BYGVOHVVNCNKQJ-NWDGAFQWSA-N -1 1 321.425 1.273 20 0 DDADMM Cc1csc(CN[C@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])n1 ZINC001394479728 909765190 /nfs/dbraw/zinc/76/51/90/909765190.db2.gz UFKUIOPLOIRSJP-MRVPVSSYSA-N -1 1 323.422 1.439 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)CCC(C)(F)F ZINC001373413300 909793119 /nfs/dbraw/zinc/79/31/19/909793119.db2.gz HOEJBSMMSVAWEA-UHFFFAOYSA-N -1 1 315.320 1.411 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)c1ccsc1)NCc1n[nH]c(=O)[n-]1 ZINC001394522164 909890547 /nfs/dbraw/zinc/89/05/47/909890547.db2.gz FGQHVDNDAKIWMY-ZJUUUORDSA-N -1 1 323.422 1.312 20 0 DDADMM CC[C@@H](C)CC(=O)NCCN(CC)C(=O)c1ncccc1[O-] ZINC001373801127 911040064 /nfs/dbraw/zinc/04/00/64/911040064.db2.gz QQKWDIGDWUDKFB-GFCCVEGCSA-N -1 1 307.394 1.802 20 0 DDADMM CC(C)C[C@@H](C)CC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001373971077 911497308 /nfs/dbraw/zinc/49/73/08/911497308.db2.gz KUWKYYKOJPPGIB-CHWSQXEVSA-N -1 1 323.441 1.663 20 0 DDADMM CC(C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-])=C1CCCC1 ZINC001295461423 915816295 /nfs/dbraw/zinc/81/62/95/915816295.db2.gz ZOHKGHYNRJVSLU-LLVKDONJSA-N -1 1 317.389 1.912 20 0 DDADMM Cc1ccc(CCC(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)cc1 ZINC001376766361 919310000 /nfs/dbraw/zinc/31/00/00/919310000.db2.gz GSSQMEMINUQFSC-LBPRGKRZSA-N -1 1 317.393 1.046 20 0 DDADMM Cc1coc(C)c1C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001377566662 922351468 /nfs/dbraw/zinc/35/14/68/922351468.db2.gz JIHDAQYUOFUOPW-JTQLQIEISA-N -1 1 317.345 1.545 20 0 DDADMM O=C(NC1CC1)c1[nH]nnc1NC(=O)[C@H]1CCCC2(CC2)C1 ZINC000622882856 365555660 /nfs/dbraw/zinc/55/56/60/365555660.db2.gz DBAVQMWFCCBJCC-VIFPVBQESA-N -1 1 303.366 1.606 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3CCC4(CC4)CC3)nc2n1 ZINC000622869755 365548897 /nfs/dbraw/zinc/54/88/97/365548897.db2.gz FKILRQQMNYEGTK-UHFFFAOYSA-N -1 1 301.350 1.635 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3sc(C)nc3C)nc2n1 ZINC000622995754 365588487 /nfs/dbraw/zinc/58/84/87/365588487.db2.gz ODFDFOCNQFZTPL-UHFFFAOYSA-N -1 1 318.362 1.306 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCCOC(C)C)nc2n1 ZINC000622997290 365590135 /nfs/dbraw/zinc/59/01/35/365590135.db2.gz SUMAREFGOMDDSC-UHFFFAOYSA-N -1 1 321.381 1.514 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](CC(C)C)OC)nc2n1 ZINC000622997691 365591097 /nfs/dbraw/zinc/59/10/97/365591097.db2.gz HVHKKEBGHBDXQV-NSHDSACASA-N -1 1 321.381 1.370 20 0 DDADMM O=C(Cc1ccncc1Cl)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614390911 361834362 /nfs/dbraw/zinc/83/43/62/361834362.db2.gz XQWWKEVNHSGIBD-JTQLQIEISA-N -1 1 322.752 1.772 20 0 DDADMM O=C(COc1ccccc1O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614386529 361830585 /nfs/dbraw/zinc/83/05/85/361830585.db2.gz DNPDAFDFWVGLTB-JTQLQIEISA-N -1 1 319.317 1.266 20 0 DDADMM C[C@@H]1COCCN1CC[N-]S(=O)(=O)c1sccc1Cl ZINC000451163866 231024285 /nfs/dbraw/zinc/02/42/85/231024285.db2.gz FFBYZJQGUXKXJS-SECBINFHSA-N -1 1 324.855 1.401 20 0 DDADMM O=C1CC[C@H]([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)CN1 ZINC000451211059 231035042 /nfs/dbraw/zinc/03/50/42/231035042.db2.gz SSTKBBWDOKKIHN-JTQLQIEISA-N -1 1 312.322 1.129 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@H](C(=O)OC(C)(C)C)C2)c([O-])c1 ZINC000614628784 361953295 /nfs/dbraw/zinc/95/32/95/361953295.db2.gz CYUBYDAXJSNCOP-LBPRGKRZSA-N -1 1 322.361 1.278 20 0 DDADMM COCC1(S(=O)(=O)[N-][C@@H](C(=O)OC)c2ccsc2)CC1 ZINC000451406902 231087281 /nfs/dbraw/zinc/08/72/81/231087281.db2.gz UJSFXBPQNHFOHV-SNVBAGLBSA-N -1 1 319.404 1.061 20 0 DDADMM CC[C@@H](C)c1n[nH]c([C@@H](C)N=c2nc(CCOC)[n-]s2)n1 ZINC000444833298 529431952 /nfs/dbraw/zinc/43/19/52/529431952.db2.gz BLEMKSHAUHXPDO-RKDXNWHRSA-N -1 1 310.427 1.954 20 0 DDADMM CC[C@@H](C)c1nc([C@@H](C)N=c2nc(CCOC)[n-]s2)n[nH]1 ZINC000444833298 529431955 /nfs/dbraw/zinc/43/19/55/529431955.db2.gz BLEMKSHAUHXPDO-RKDXNWHRSA-N -1 1 310.427 1.954 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(c2ccc(F)cn2)CC1 ZINC000636231944 422733872 /nfs/dbraw/zinc/73/38/72/422733872.db2.gz UKUWERDWWHRGMJ-UHFFFAOYSA-N -1 1 318.356 1.463 20 0 DDADMM O=C(C1CCCC1)N1CC(S(=O)(=O)[N-]C2(C(F)F)CC2)C1 ZINC000328688015 231309188 /nfs/dbraw/zinc/30/91/88/231309188.db2.gz ZLXBXJPFHAGIRF-UHFFFAOYSA-N -1 1 322.377 1.105 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@@H](O)C(F)F ZINC000451704051 529648183 /nfs/dbraw/zinc/64/81/83/529648183.db2.gz BZOOQGLTZYVOJT-JGVFFNPUSA-N -1 1 314.379 1.443 20 0 DDADMM CC[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)[C@@H](O)C(C)C ZINC000412717193 529676677 /nfs/dbraw/zinc/67/66/77/529676677.db2.gz LEOMOYCLJQIHMK-NEPJUHHUSA-N -1 1 306.366 1.199 20 0 DDADMM COc1cccc(C(=O)NCCc2n[n-]c(=S)n2C)c1F ZINC000090552226 185302706 /nfs/dbraw/zinc/30/27/06/185302706.db2.gz RSZUKPKILMSRGO-UHFFFAOYSA-N -1 1 310.354 1.598 20 0 DDADMM Cn1cc([C@H]2CSCCN2C(=O)c2cncc([O-])c2)cn1 ZINC000286603107 219077007 /nfs/dbraw/zinc/07/70/07/219077007.db2.gz XFFIYDAJXCUZAT-CYBMUJFWSA-N -1 1 304.375 1.451 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCC(=O)[C@@H](C)C1(C)C)c1nn[n-]n1 ZINC000333388147 539320390 /nfs/dbraw/zinc/32/03/90/539320390.db2.gz YRXQLSHWNZMQCY-GMTAPVOTSA-N -1 1 307.398 1.403 20 0 DDADMM CN(CCN=c1[n-]c(C2CCOCC2)no1)Cc1ccccc1 ZINC000413079418 529912275 /nfs/dbraw/zinc/91/22/75/529912275.db2.gz WZLDISAYTBJMKT-UHFFFAOYSA-N -1 1 316.405 1.929 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2cc(F)ccc2F)n[n-]1 ZINC000615917520 362486583 /nfs/dbraw/zinc/48/65/83/362486583.db2.gz NQAFCACFVXDQDH-UHFFFAOYSA-N -1 1 324.287 1.119 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2cc(F)ccc2F)n1 ZINC000615917520 362486589 /nfs/dbraw/zinc/48/65/89/362486589.db2.gz NQAFCACFVXDQDH-UHFFFAOYSA-N -1 1 324.287 1.119 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccccc2COC)n[n-]1 ZINC000615920309 362488213 /nfs/dbraw/zinc/48/82/13/362488213.db2.gz VNOYEDDRYGVDPB-UHFFFAOYSA-N -1 1 318.333 1.058 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccccc2COC)n1 ZINC000615920309 362488220 /nfs/dbraw/zinc/48/82/20/362488220.db2.gz VNOYEDDRYGVDPB-UHFFFAOYSA-N -1 1 318.333 1.058 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccc(C)s2)o1 ZINC000107699462 185489558 /nfs/dbraw/zinc/48/95/58/185489558.db2.gz XMANSDWIMDDJBW-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000615960648 362499469 /nfs/dbraw/zinc/49/94/69/362499469.db2.gz MXPRPRAPVVEVGR-JTQLQIEISA-N -1 1 304.350 1.485 20 0 DDADMM COC[C@@H](C)[C@H](C)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616004524 362516798 /nfs/dbraw/zinc/51/67/98/362516798.db2.gz XLPIRTJORCLPRS-RQJHMYQMSA-N -1 1 304.188 1.760 20 0 DDADMM CCC[C@H](C(=O)Nc1ccc([O-])c(F)c1F)S(N)(=O)=O ZINC000616101241 362545809 /nfs/dbraw/zinc/54/58/09/362545809.db2.gz OIZUMTOWEGRHMA-MRVPVSSYSA-N -1 1 308.306 1.066 20 0 DDADMM O=C([O-])CCC(=O)NC[C@H]1CCC[N@@H+](Cc2ccccc2)C1 ZINC000390767216 539511328 /nfs/dbraw/zinc/51/13/28/539511328.db2.gz GBBRBPRTBSJXFU-OAHLLOKOSA-N -1 1 304.390 1.880 20 0 DDADMM CO[C@H]1CCC[C@@H]1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358342800 299126084 /nfs/dbraw/zinc/12/60/84/299126084.db2.gz UJAXDQXYTOVZTI-SKDRFNHKSA-N -1 1 304.350 1.219 20 0 DDADMM COCCCOc1cccc(C(=O)Nc2ccncc2[O-])c1 ZINC000358343545 299126273 /nfs/dbraw/zinc/12/62/73/299126273.db2.gz VJCPHLZXULFWMM-UHFFFAOYSA-N -1 1 302.330 1.877 20 0 DDADMM COCCCOc1ccc(C(=O)Nc2ccncc2[O-])cn1 ZINC000358364136 299131213 /nfs/dbraw/zinc/13/12/13/299131213.db2.gz YKWNWTWFMIFTCH-UHFFFAOYSA-N -1 1 303.318 1.272 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@](C)(C(N)=O)C1 ZINC000279923302 215241005 /nfs/dbraw/zinc/24/10/05/215241005.db2.gz DWTUJTVTSYDYAO-MRXNPFEDSA-N -1 1 320.393 1.126 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)C[C@H]3CSCCS3)ccnc1-2 ZINC000279938483 215253744 /nfs/dbraw/zinc/25/37/44/215253744.db2.gz XAJPLJNLPAOIKF-WNTQAOTGSA-N -1 1 308.432 1.519 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2cccc(F)c2F)CCCCC1 ZINC000175693182 186188706 /nfs/dbraw/zinc/18/87/06/186188706.db2.gz RIUCULCASXLCDF-UHFFFAOYSA-N -1 1 318.345 1.431 20 0 DDADMM CCCc1cc(=O)[n-]c(S[C@H](C)C(=O)Nc2ncccn2)n1 ZINC000011241482 352142771 /nfs/dbraw/zinc/14/27/71/352142771.db2.gz AELMDTNSAMQZFT-SECBINFHSA-N -1 1 319.390 1.632 20 0 DDADMM COCCOC(=O)c1sc2[n-]c(COC)nc(=O)c2c1C ZINC000053844424 352669550 /nfs/dbraw/zinc/66/95/50/352669550.db2.gz JEMAZDGNZFNIBV-UHFFFAOYSA-N -1 1 312.347 1.243 20 0 DDADMM COc1cc(NC(=O)c2ccon2)ccc1[N-]S(C)(=O)=O ZINC000067290811 353042636 /nfs/dbraw/zinc/04/26/36/353042636.db2.gz FJEZOQQDJHVPNR-UHFFFAOYSA-N -1 1 311.319 1.307 20 0 DDADMM CCn1c(=S)[n-]nc1C1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000068452248 353112855 /nfs/dbraw/zinc/11/28/55/353112855.db2.gz BYUWAPVOTQWEEQ-LLVKDONJSA-N -1 1 310.423 1.846 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC1CC(C)C1 ZINC000080040932 353588234 /nfs/dbraw/zinc/58/82/34/353588234.db2.gz BKRFTTSNBFHTHQ-UHFFFAOYSA-N -1 1 302.378 1.899 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C(C)C ZINC000601287983 358479673 /nfs/dbraw/zinc/47/96/73/358479673.db2.gz YEHQWZYUFDEVOL-VIFPVBQESA-N -1 1 311.306 1.693 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)c1cnn(C)c1 ZINC000081829572 353695313 /nfs/dbraw/zinc/69/53/13/353695313.db2.gz MMZSIFOPKCKJPW-VIFPVBQESA-N -1 1 317.393 1.600 20 0 DDADMM O=C(NCCS(=O)(=O)c1ccccc1)c1cc(F)ccc1[O-] ZINC000081957584 353699171 /nfs/dbraw/zinc/69/91/71/353699171.db2.gz YEKUXCUDMZBNSB-UHFFFAOYSA-N -1 1 323.345 1.735 20 0 DDADMM COC(=O)C(C)(C)[C@@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000089460345 353772661 /nfs/dbraw/zinc/77/26/61/353772661.db2.gz LGKXWCRFMQIINL-GFCCVEGCSA-N -1 1 306.362 1.839 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1ccccn1)[N@@H+](C)Cc1ccccc1 ZINC000131922575 354103748 /nfs/dbraw/zinc/10/37/48/354103748.db2.gz IZJDMRCWJGQUKE-AWEZNQCLSA-N -1 1 319.430 1.880 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2Cc3ccccc32)c1 ZINC000152021292 354188178 /nfs/dbraw/zinc/18/81/78/354188178.db2.gz PTPDJOBQROPTFF-NSHDSACASA-N -1 1 321.354 1.684 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1cc(C)no1)C(=O)OC(C)(C)C ZINC000584695261 354746248 /nfs/dbraw/zinc/74/62/48/354746248.db2.gz LBSRAJSPCDZKQJ-LLVKDONJSA-N -1 1 318.395 1.523 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C(C)C)n(C)n2)n1 ZINC000585682904 354827290 /nfs/dbraw/zinc/82/72/90/354827290.db2.gz WZMJOSCFERCPQR-UHFFFAOYSA-N -1 1 305.338 1.696 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3ccc(C)s3)nc2n1 ZINC000588744143 354932508 /nfs/dbraw/zinc/93/25/08/354932508.db2.gz AWXIJPHSWHWBCX-UHFFFAOYSA-N -1 1 317.374 1.414 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC=CCC3)nc2n1 ZINC000588743981 354932737 /nfs/dbraw/zinc/93/27/37/354932737.db2.gz BZIMWVKIYSMFND-SNVBAGLBSA-N -1 1 301.350 1.665 20 0 DDADMM O=C(CCn1cc(Cl)cn1)NC1(c2nn[n-]n2)CCCC1 ZINC000346380620 283079259 /nfs/dbraw/zinc/07/92/59/283079259.db2.gz SAOUYQZTTSKANP-UHFFFAOYSA-N -1 1 309.761 1.025 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCS[C@H]2C)c1 ZINC000592116528 355504505 /nfs/dbraw/zinc/50/45/05/355504505.db2.gz VQPHSMFFVMOPOG-IONNQARKSA-N -1 1 305.377 1.239 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC000592076350 355485731 /nfs/dbraw/zinc/48/57/31/355485731.db2.gz YUWMKXMYWSYONQ-GHMZBOCLSA-N -1 1 307.412 1.063 20 0 DDADMM CSCC1(CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CC1 ZINC000593893937 356045438 /nfs/dbraw/zinc/04/54/38/356045438.db2.gz PFPZZCLHMVXUNO-ZDUSSCGKSA-N -1 1 317.418 1.939 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2COC(C)(C)C2)o1 ZINC000594796201 356319850 /nfs/dbraw/zinc/31/98/50/356319850.db2.gz QMOJIOMIXJLNOE-SECBINFHSA-N -1 1 317.363 1.302 20 0 DDADMM COC(=O)[C@](C)(CCF)[N-]S(=O)(=O)Cc1ccccc1F ZINC000594864410 356343368 /nfs/dbraw/zinc/34/33/68/356343368.db2.gz FSQNLBILTSAAFY-ZDUSSCGKSA-N -1 1 321.345 1.536 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2CCCOC2)cc1C ZINC000595303194 356440514 /nfs/dbraw/zinc/44/05/14/356440514.db2.gz QUQMOQGDGVFNFW-JTQLQIEISA-N -1 1 317.363 1.080 20 0 DDADMM CNC(=O)[C@@H](C)CN(C)C(=O)c1cc2ccccc2cc1[O-] ZINC000081467932 192272087 /nfs/dbraw/zinc/27/20/87/192272087.db2.gz IGTHEVKWPVOMRA-NSHDSACASA-N -1 1 300.358 2.000 20 0 DDADMM Cn1[n-]c(CN2CCc3cccc(Br)c3C2)nc1=O ZINC000347459423 283216630 /nfs/dbraw/zinc/21/66/30/283216630.db2.gz FTAJDMMRDYYZND-UHFFFAOYSA-N -1 1 323.194 1.429 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C(=O)OC(C)(C)C)C1CC1)c1nn[n-]n1 ZINC000597508489 357201862 /nfs/dbraw/zinc/20/18/62/357201862.db2.gz SVGOAISSKWNXIQ-KOLCDFICSA-N -1 1 323.397 1.130 20 0 DDADMM Cc1cc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c(C)n1C ZINC000347718733 283264729 /nfs/dbraw/zinc/26/47/29/283264729.db2.gz KXLKPKDNXZAALQ-UHFFFAOYSA-N -1 1 323.374 1.247 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H](C)c2nc(C)cs2)[n-]n1 ZINC000347877401 283288672 /nfs/dbraw/zinc/28/86/72/283288672.db2.gz LASHKQOMDGVZNA-VIFPVBQESA-N -1 1 305.407 1.629 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)CC(C)(C)C(F)(F)CC ZINC000599235939 357858274 /nfs/dbraw/zinc/85/82/74/357858274.db2.gz YUNFLHBTHXUAIG-UHFFFAOYSA-N -1 1 301.355 1.540 20 0 DDADMM CCc1ccccc1C[N-]S(=O)(=O)c1cc(C(=O)OC)no1 ZINC000599245029 357860570 /nfs/dbraw/zinc/86/05/70/357860570.db2.gz PFIWDBZFUBCOHO-UHFFFAOYSA-N -1 1 324.358 1.502 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccc(OCc2cccs2)c1 ZINC000599334094 357898075 /nfs/dbraw/zinc/89/80/75/357898075.db2.gz CYNCDWIVHIBERF-UHFFFAOYSA-N -1 1 315.358 1.770 20 0 DDADMM Cc1c(Cl)cc(C(=O)[O-])cc1S(=O)(=O)NCCN(C)C ZINC000184851816 199773543 /nfs/dbraw/zinc/77/35/43/199773543.db2.gz MNWBQDMKVKJYND-UHFFFAOYSA-N -1 1 320.798 1.187 20 0 DDADMM COC(=O)COCCNC(=O)c1ccc2ccccc2c1[O-] ZINC000600402567 358211053 /nfs/dbraw/zinc/21/10/53/358211053.db2.gz IPLRZYFBCMYSMH-UHFFFAOYSA-N -1 1 303.314 1.465 20 0 DDADMM COc1cc(C2(C(=O)NCc3nn[n-]n3)CCCC2)ccc1C ZINC000600495342 358240389 /nfs/dbraw/zinc/24/03/89/358240389.db2.gz LKUNQTUCKVDPGD-UHFFFAOYSA-N -1 1 315.377 1.645 20 0 DDADMM CCCCSCCC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000600867980 358332686 /nfs/dbraw/zinc/33/26/86/358332686.db2.gz DVBPHNMVAHQSND-ZDUSSCGKSA-N -1 1 316.467 1.917 20 0 DDADMM C[C@H](NCc1cc(C(=O)[O-])nn1C)c1ccc([S@@](C)=O)cc1 ZINC000602066949 358799550 /nfs/dbraw/zinc/79/95/50/358799550.db2.gz QLICGCBEDPSVLX-MLMJSJRWSA-N -1 1 321.402 1.707 20 0 DDADMM COc1cc2nc(NC(=O)c3cncc([O-])c3)[nH]c2cc1OC ZINC000602336581 358937159 /nfs/dbraw/zinc/93/71/59/358937159.db2.gz NTZOUFCGJXHKHL-UHFFFAOYSA-N -1 1 314.301 1.933 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@H](C)C(F)(F)F)n[n-]1 ZINC000603152921 359439350 /nfs/dbraw/zinc/43/93/50/359439350.db2.gz GNOHTDIHARGYHS-NKWVEPMBSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@H](C)C(F)(F)F)[n-]1 ZINC000603152921 359439353 /nfs/dbraw/zinc/43/93/53/359439353.db2.gz GNOHTDIHARGYHS-NKWVEPMBSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@H](C)C(F)(F)F)n1 ZINC000603152921 359439355 /nfs/dbraw/zinc/43/93/55/359439355.db2.gz GNOHTDIHARGYHS-NKWVEPMBSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCCCSC)n[n-]1 ZINC000603159431 359445915 /nfs/dbraw/zinc/44/59/15/359445915.db2.gz BTLLOGWGNLFFGK-VIFPVBQESA-N -1 1 314.411 1.692 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCCCSC)[n-]1 ZINC000603159431 359445918 /nfs/dbraw/zinc/44/59/18/359445918.db2.gz BTLLOGWGNLFFGK-VIFPVBQESA-N -1 1 314.411 1.692 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCCCSC)n1 ZINC000603159431 359445920 /nfs/dbraw/zinc/44/59/20/359445920.db2.gz BTLLOGWGNLFFGK-VIFPVBQESA-N -1 1 314.411 1.692 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-][C@H](C)C(F)F)c1 ZINC000603423535 359632281 /nfs/dbraw/zinc/63/22/81/359632281.db2.gz MHEIGEBTPAXCHT-ZCFIWIBFSA-N -1 1 311.281 1.544 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1n[nH]c2c1CCCC2 ZINC000605532331 359867480 /nfs/dbraw/zinc/86/74/80/359867480.db2.gz WEAFIKYZJVCPMI-UHFFFAOYSA-N -1 1 301.354 1.001 20 0 DDADMM C[C@H]1CO[C@@H](CO)CN1C(=O)c1ncc2ccccc2c1[O-] ZINC000612508018 361046127 /nfs/dbraw/zinc/04/61/27/361046127.db2.gz QJXFSAVEKAPQDZ-CMPLNLGQSA-N -1 1 302.330 1.162 20 0 DDADMM C[C@H](NC(=O)c1ncc2ccccc2c1[O-])[C@H]1CN(C)CCO1 ZINC000612668719 361100408 /nfs/dbraw/zinc/10/04/08/361100408.db2.gz CFCZVVPTFSVNAF-SMDDNHRTSA-N -1 1 315.373 1.389 20 0 DDADMM O=C(CN1CCN(C2CC2)C1=O)Nc1ccc([O-])c(Cl)c1 ZINC000612760511 361137880 /nfs/dbraw/zinc/13/78/80/361137880.db2.gz XWSGVWYBYICHAA-UHFFFAOYSA-N -1 1 309.753 1.884 20 0 DDADMM Cc1cc(F)cc(C)c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612814350 361154065 /nfs/dbraw/zinc/15/40/65/361154065.db2.gz BOUHHRJARYNIDN-NSHDSACASA-N -1 1 303.341 1.975 20 0 DDADMM CCO[C@@H]1COCC[C@@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000613497353 361443152 /nfs/dbraw/zinc/44/31/52/361443152.db2.gz DRTCDVAAFIGXLM-UONOGXRCSA-N -1 1 316.357 1.864 20 0 DDADMM CCOC(=O)[C@@H](CCOC)NC(=O)c1cc(Cl)ccc1[O-] ZINC000281505752 216348356 /nfs/dbraw/zinc/34/83/56/216348356.db2.gz KBJOUYDSKJIRIK-LLVKDONJSA-N -1 1 315.753 1.744 20 0 DDADMM CNC(=O)CCCC(=O)Nc1nc(Br)ccc1[O-] ZINC000194571475 201254944 /nfs/dbraw/zinc/25/49/44/201254944.db2.gz ZNFJMEVHQCQLCU-UHFFFAOYSA-N -1 1 316.155 1.405 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2ccc(C(N)=O)cc2)n[n-]1 ZINC000195170787 201352160 /nfs/dbraw/zinc/35/21/60/201352160.db2.gz WQQKRMPVOJCYGK-UHFFFAOYSA-N -1 1 322.390 1.175 20 0 DDADMM O=S(=O)(CC[C@@H]1CCCO1)c1nc(Cc2ccccc2)n[n-]1 ZINC000195282670 201372458 /nfs/dbraw/zinc/37/24/58/201372458.db2.gz UCMQLZUDPFAREU-ZDUSSCGKSA-N -1 1 321.402 1.738 20 0 DDADMM O=S(=O)(CC[C@@H]1CCCO1)c1n[n-]c(Cc2ccccc2)n1 ZINC000195282670 201372460 /nfs/dbraw/zinc/37/24/60/201372460.db2.gz UCMQLZUDPFAREU-ZDUSSCGKSA-N -1 1 321.402 1.738 20 0 DDADMM O=C(C(=O)N1CCC2(CCCC2=O)CC1)c1ccc([O-])cc1 ZINC000619328001 363979727 /nfs/dbraw/zinc/97/97/27/363979727.db2.gz MSUUMJCPKJDMAV-UHFFFAOYSA-N -1 1 301.342 1.937 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC2([C@H](C)O)CC2)c(=O)[n-]1 ZINC000620070681 364270544 /nfs/dbraw/zinc/27/05/44/364270544.db2.gz HKMGAUSQVIRZBZ-VIFPVBQESA-N -1 1 311.407 1.175 20 0 DDADMM Cc1nnc(CN(C)C(=O)c2csc(=NC3CC3)[n-]2)s1 ZINC000620840879 364571224 /nfs/dbraw/zinc/57/12/24/364571224.db2.gz YGRRIFCGXYTSSC-UHFFFAOYSA-N -1 1 309.420 1.571 20 0 DDADMM CO[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000292988544 223339729 /nfs/dbraw/zinc/33/97/29/223339729.db2.gz FWQCMHDGOPHIFU-RQJHMYQMSA-N -1 1 315.317 1.141 20 0 DDADMM C[C@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCCO1 ZINC000621709238 364994509 /nfs/dbraw/zinc/99/45/09/364994509.db2.gz PCHXBWSWWXGNSP-ZLDLUXBVSA-N -1 1 315.377 1.611 20 0 DDADMM C[C@H](Cc1ccco1)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000621709265 364994857 /nfs/dbraw/zinc/99/48/57/364994857.db2.gz PXBMZBIEURRNGG-BXUZGUMPSA-N -1 1 311.345 1.877 20 0 DDADMM Cn1cccc1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091159811 193007467 /nfs/dbraw/zinc/00/74/67/193007467.db2.gz LDLWBNHNOHTUHL-UHFFFAOYSA-N -1 1 311.338 1.788 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)C1=COCCC1 ZINC000091159430 193008001 /nfs/dbraw/zinc/00/80/01/193008001.db2.gz NGGDUVYMOAZHRE-UHFFFAOYSA-N -1 1 314.338 1.830 20 0 DDADMM COCCCCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091160965 193009020 /nfs/dbraw/zinc/00/90/20/193009020.db2.gz XNULULJYFSDZMB-UHFFFAOYSA-N -1 1 318.370 1.952 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC[C@H]1O)c1cc2cc(F)ccc2o1 ZINC000625591808 367037119 /nfs/dbraw/zinc/03/71/19/367037119.db2.gz QMMLTKHXVGBSMG-GHMZBOCLSA-N -1 1 315.322 1.000 20 0 DDADMM Cn1ccnc1[C@H]1OCCC[C@@H]1NC(=O)c1ccc([O-])cc1F ZINC000275295056 212255495 /nfs/dbraw/zinc/25/54/95/212255495.db2.gz BDNJCVJISMODIP-KBPBESRZSA-N -1 1 319.336 1.915 20 0 DDADMM Cc1ccccc1CO[C@H](C)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000626443472 367547680 /nfs/dbraw/zinc/54/76/80/367547680.db2.gz GNOQGDFAVHCTHK-QWHCGFSZSA-N -1 1 317.393 1.675 20 0 DDADMM COC(=O)C1(NC(=O)c2ccc([O-])cc2F)CCSCC1 ZINC000275191324 212184917 /nfs/dbraw/zinc/18/49/17/212184917.db2.gz AVYOESVVKGNTCO-UHFFFAOYSA-N -1 1 313.350 1.700 20 0 DDADMM O=C(N=c1ccn(CC(F)F)[nH]1)c1csc(=NC2CC2)[n-]1 ZINC000349758031 284042689 /nfs/dbraw/zinc/04/26/89/284042689.db2.gz MCBZXQBLXURAIR-UHFFFAOYSA-N -1 1 313.333 1.275 20 0 DDADMM O=C([O-])[C@@]12CCC[C@H]1CN(C(=O)[C@@H]1Cc3ccccc3CN1)C2 ZINC000566317754 304144050 /nfs/dbraw/zinc/14/40/50/304144050.db2.gz CFIVQWBMBUFVNW-RLFYNMQTSA-N -1 1 314.385 1.414 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccccc1OCC1CC1 ZINC000631450227 422771488 /nfs/dbraw/zinc/77/14/88/422771488.db2.gz JADBQNBNJUPVQN-UHFFFAOYSA-N -1 1 301.350 1.238 20 0 DDADMM NC(=O)C[C@@H]1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000377169410 307265092 /nfs/dbraw/zinc/26/50/92/307265092.db2.gz MLDRHNHNWCPWKF-QMMMGPOBSA-N -1 1 316.279 1.749 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccoc2)n(-c2ccncc2)n1 ZINC000350122247 284152712 /nfs/dbraw/zinc/15/27/12/284152712.db2.gz MKEGLAPFTPTRRI-UHFFFAOYSA-N -1 1 304.331 1.970 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)N1CCC(Cc2ccccc2)CC1 ZINC000262618308 203353515 /nfs/dbraw/zinc/35/35/15/203353515.db2.gz DHJUGCJVAZLONP-UHFFFAOYSA-N -1 1 318.417 1.874 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CSC[C@@H]1C(=O)N1CCCC1 ZINC000094075313 193344264 /nfs/dbraw/zinc/34/42/64/193344264.db2.gz TZFBXHOQIAAHMQ-GFCCVEGCSA-N -1 1 324.377 1.669 20 0 DDADMM CC(C)C[C@H](CNS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000094702985 193392268 /nfs/dbraw/zinc/39/22/68/193392268.db2.gz GQYQYQFELRYIBE-SECBINFHSA-N -1 1 321.345 1.990 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N2CCN(C)[C@@H](C)[C@@H]2C)s[n-]1 ZINC000350655021 284235865 /nfs/dbraw/zinc/23/58/65/284235865.db2.gz VXVCXZZTBAZXSD-LPEHRKFASA-N -1 1 313.427 1.224 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2CCCCC2)o1 ZINC000264624598 204037272 /nfs/dbraw/zinc/03/72/72/204037272.db2.gz SHUFDRIHLKAFQS-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC(Cc3nccs3)CC2)C1=O ZINC000635019276 422773865 /nfs/dbraw/zinc/77/38/65/422773865.db2.gz CAEAJRNHQMFVPY-LBPRGKRZSA-N -1 1 323.418 1.083 20 0 DDADMM Cn1[n-]c(=NS(=O)(=O)c2ccsc2)cc1OC(F)F ZINC000350840690 284270062 /nfs/dbraw/zinc/27/00/62/284270062.db2.gz WRYAIYYUIHJDOP-UHFFFAOYSA-N -1 1 309.319 1.306 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCc2nc(C)no2)c1 ZINC000282870987 217290984 /nfs/dbraw/zinc/29/09/84/217290984.db2.gz UPQARHYZLAWHIB-UHFFFAOYSA-N -1 1 305.290 1.442 20 0 DDADMM COCc1cccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282879915 217297104 /nfs/dbraw/zinc/29/71/04/217297104.db2.gz JLZHNNKHKIGWLC-CYBMUJFWSA-N -1 1 316.361 1.264 20 0 DDADMM O=C(N[C@H]1CS(=O)(=O)c2ccccc21)c1cncc([O-])c1 ZINC000175707366 306686859 /nfs/dbraw/zinc/68/68/59/306686859.db2.gz QBDDAWKTBUOCGX-LBPRGKRZSA-N -1 1 304.327 1.046 20 0 DDADMM CCN1CCC[C@@H]1CNS(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000021810326 182291706 /nfs/dbraw/zinc/29/17/06/182291706.db2.gz QVSHNFAVQGKQOR-GFCCVEGCSA-N -1 1 312.391 1.147 20 0 DDADMM CCc1nc(C)c([C@@H](C)N(C)C(=O)CCc2nn[n-]n2)s1 ZINC000631463941 422780676 /nfs/dbraw/zinc/78/06/76/422780676.db2.gz JMTHITRYGBJICY-SECBINFHSA-N -1 1 308.411 1.679 20 0 DDADMM CCOc1ccc(NC(=O)[C@H](C)S(=O)(=O)c2ncn[n-]2)cc1 ZINC000267932378 206344920 /nfs/dbraw/zinc/34/49/20/206344920.db2.gz WIMOIYPBOZIFBK-VIFPVBQESA-N -1 1 324.362 1.004 20 0 DDADMM CCOc1ccc(NC(=O)[C@H](C)S(=O)(=O)c2nc[n-]n2)cc1 ZINC000267932378 206344924 /nfs/dbraw/zinc/34/49/24/206344924.db2.gz WIMOIYPBOZIFBK-VIFPVBQESA-N -1 1 324.362 1.004 20 0 DDADMM CCC(=O)c1ccc(OCCCCC(=O)Nc2nnn[n-]2)cc1 ZINC000170852415 248320604 /nfs/dbraw/zinc/32/06/04/248320604.db2.gz PROXDDXAXYZKNZ-UHFFFAOYSA-N -1 1 317.349 1.980 20 0 DDADMM CCC(=O)c1ccc(OCCCCC(=O)Nc2nn[n-]n2)cc1 ZINC000170852415 248320607 /nfs/dbraw/zinc/32/06/07/248320607.db2.gz PROXDDXAXYZKNZ-UHFFFAOYSA-N -1 1 317.349 1.980 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H](C)c1c(C)n[nH]c1C)c1nn[n-]n1 ZINC000579557828 422787983 /nfs/dbraw/zinc/78/79/83/422787983.db2.gz YSTJITQFRPVNHP-LDYMZIIASA-N -1 1 305.386 1.691 20 0 DDADMM COC(=O)[C@H](Cc1ccccc1)NC(=O)c1cncc([O-])c1 ZINC000336852266 249256948 /nfs/dbraw/zinc/25/69/48/249256948.db2.gz MZOANMGZJNOGBK-AWEZNQCLSA-N -1 1 300.314 1.301 20 0 DDADMM C[C@H](O)C[N-]S(=O)(=O)c1sccc1Br ZINC000042524478 183280099 /nfs/dbraw/zinc/28/00/99/183280099.db2.gz XXAJFYSACXHQJQ-YFKPBYRVSA-N -1 1 300.199 1.170 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000274059603 211318065 /nfs/dbraw/zinc/31/80/65/211318065.db2.gz IGWXJXXNQRZSIG-JTQLQIEISA-N -1 1 317.794 1.695 20 0 DDADMM CC1(C)NC(=O)CC[C@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338981575 250232607 /nfs/dbraw/zinc/23/26/07/250232607.db2.gz JFGQUDKYBFOASZ-MRVPVSSYSA-N -1 1 306.384 1.223 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1sccc1F)[C@H]1CCCO1 ZINC000338974975 250229519 /nfs/dbraw/zinc/22/95/19/250229519.db2.gz RJPZXYDMWMEMPL-VHSXEESVSA-N -1 1 309.384 1.360 20 0 DDADMM CCc1ccc(CN2CCN(c3nc(=N)[n-]s3)CC2)nc1 ZINC000339040048 250262907 /nfs/dbraw/zinc/26/29/07/250262907.db2.gz PACWWKSCWGFYHC-UHFFFAOYSA-N -1 1 304.423 1.230 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCc2ncsc2C1 ZINC000437929209 284767825 /nfs/dbraw/zinc/76/78/25/284767825.db2.gz IFWPTKNJBFBIHT-UHFFFAOYSA-N -1 1 312.354 1.578 20 0 DDADMM O=C(CCc1ccncc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339194684 250337785 /nfs/dbraw/zinc/33/77/85/250337785.db2.gz WFPJRONDRZFDKA-UHFFFAOYSA-N -1 1 302.334 1.509 20 0 DDADMM O=C(c1ncccc1[O-])N1CCn2c(nnc2C(F)(F)F)C1 ZINC000265766751 284772483 /nfs/dbraw/zinc/77/24/83/284772483.db2.gz BXPBZDRDBDUMIZ-UHFFFAOYSA-N -1 1 313.239 1.054 20 0 DDADMM C[C@@H](CN=c1nc(C2CCCCC2)[n-]s1)S(C)(=O)=O ZINC000567602813 304228802 /nfs/dbraw/zinc/22/88/02/304228802.db2.gz KVUJRPDZURTDMY-VIFPVBQESA-N -1 1 303.453 1.853 20 0 DDADMM Cc1noc(C(C)(C)NC(=O)c2nc3ccccc3c(=O)[n-]2)n1 ZINC000340629175 251144341 /nfs/dbraw/zinc/14/43/41/251144341.db2.gz VJJVLBHZRHHLDQ-UHFFFAOYSA-N -1 1 313.317 1.280 20 0 DDADMM CCc1cc(NC(=O)CNC(=O)c2ncccc2[O-])ccc1F ZINC000340743319 251187903 /nfs/dbraw/zinc/18/79/03/251187903.db2.gz RHFIHKWNMARYGA-UHFFFAOYSA-N -1 1 317.320 1.857 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OCC ZINC000340939918 251290236 /nfs/dbraw/zinc/29/02/36/251290236.db2.gz XPXZMUWYQVASBJ-RNZFLTOJSA-N -1 1 316.379 1.300 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1[C@@H](C)C1(F)F ZINC000359794000 299538652 /nfs/dbraw/zinc/53/86/52/299538652.db2.gz KZYQCMZCRSNBJU-SREIQFSDSA-N -1 1 310.304 1.569 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@H]1O)c1cc(F)c(F)cc1F ZINC000269239859 207369536 /nfs/dbraw/zinc/36/95/36/207369536.db2.gz AUZZNBNFYAVGHL-WRWORJQWSA-N -1 1 309.309 1.543 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1C[C@H](C)[C@@H]1C ZINC000294400838 224010154 /nfs/dbraw/zinc/01/01/54/224010154.db2.gz YORCDDYUHMRSRU-JQWIXIFHSA-N -1 1 312.373 1.962 20 0 DDADMM COCCN1CC[C@@H]([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000451428971 532980510 /nfs/dbraw/zinc/98/05/10/532980510.db2.gz LFDWVNDYMAGXMC-SECBINFHSA-N -1 1 324.855 1.401 20 0 DDADMM CC[C@H](O)CCCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000352398740 285024089 /nfs/dbraw/zinc/02/40/89/285024089.db2.gz MTZVKLVCGKVQPK-LBPRGKRZSA-N -1 1 320.393 1.262 20 0 DDADMM CSc1cccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000352431895 285046655 /nfs/dbraw/zinc/04/66/55/285046655.db2.gz NHWPIVNCSGKULZ-UHFFFAOYSA-N -1 1 301.331 1.392 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H]2C(C)(C)C)co1 ZINC000270075266 208132524 /nfs/dbraw/zinc/13/25/24/208132524.db2.gz SVVPTFPMEHZGDK-LLVKDONJSA-N -1 1 314.407 1.838 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCC(F)(F)F)co1 ZINC000270237274 208302370 /nfs/dbraw/zinc/30/23/70/208302370.db2.gz WBPUQUKJQRYBGM-UHFFFAOYSA-N -1 1 314.285 1.260 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cscn1)[C@@H](O)C(F)(F)F ZINC000567895297 304253245 /nfs/dbraw/zinc/25/32/45/304253245.db2.gz ZBQZYDCZGCEKKQ-IYSWYEEDSA-N -1 1 304.315 1.123 20 0 DDADMM COCCOCC[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425153632 533085653 /nfs/dbraw/zinc/08/56/53/533085653.db2.gz FTDKRKDOBUOXIP-UHFFFAOYSA-N -1 1 309.334 1.215 20 0 DDADMM COC(=O)[C@@](C)(O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436674226 533099252 /nfs/dbraw/zinc/09/92/52/533099252.db2.gz OROOWMLVPQMJLY-LBPRGKRZSA-N -1 1 321.251 1.065 20 0 DDADMM COC(=O)CCCc1nnc([N-]C(=O)c2cscn2)s1 ZINC000157873125 197222275 /nfs/dbraw/zinc/22/22/75/197222275.db2.gz WTNQCNROXIYNAV-UHFFFAOYSA-N -1 1 312.376 1.743 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H](C)C2(C)CC2)co1 ZINC000155636345 197074903 /nfs/dbraw/zinc/07/49/03/197074903.db2.gz NTGHAXZYXADWHJ-SECBINFHSA-N -1 1 300.380 1.448 20 0 DDADMM CC1(C)CN(C(=O)c2cc(Cl)ccc2[O-])CCS1(=O)=O ZINC000155502011 197061538 /nfs/dbraw/zinc/06/15/38/197061538.db2.gz BMNVIJDVHBRBKK-UHFFFAOYSA-N -1 1 317.794 1.695 20 0 DDADMM COC(=O)[C@H]1COCCN1C(=O)c1cc2ccccc2cc1[O-] ZINC000267794441 291913589 /nfs/dbraw/zinc/91/35/89/291913589.db2.gz WYPOWBGWJJQQJN-CQSZACIVSA-N -1 1 315.325 1.559 20 0 DDADMM O=c1nc(CSc2nc(C3CC3)nn2-c2ccccc2)[nH][n-]1 ZINC000271585717 209036701 /nfs/dbraw/zinc/03/67/01/209036701.db2.gz DLCLFUIZNCTCER-UHFFFAOYSA-N -1 1 314.374 1.848 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C[C@H]2CCCC(=O)N2)c1 ZINC000289521430 221038642 /nfs/dbraw/zinc/03/86/42/221038642.db2.gz PSXKBNWQLCBOPN-SNVBAGLBSA-N -1 1 306.318 1.176 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@@](O)(C(F)(F)F)C1 ZINC000289547982 221057270 /nfs/dbraw/zinc/05/72/70/221057270.db2.gz QXRAORHESGAEAE-NSHDSACASA-N -1 1 311.206 1.810 20 0 DDADMM Cc1nncn1CC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000414452835 224339558 /nfs/dbraw/zinc/33/95/58/224339558.db2.gz AGCUEZSNDGEPNS-UHFFFAOYSA-N -1 1 318.761 1.358 20 0 DDADMM Cc1nn(C)c(S(=O)(=O)[N-][C@H](C)C(F)(F)F)c1C(F)F ZINC000414453746 224339654 /nfs/dbraw/zinc/33/96/54/224339654.db2.gz PLDNZJXTZWGCNU-RXMQYKEDSA-N -1 1 321.271 1.895 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2c(F)cccc2Cl)CC1 ZINC000451356132 533256093 /nfs/dbraw/zinc/25/60/93/533256093.db2.gz DPNSWJITWLBSQS-UHFFFAOYSA-N -1 1 321.757 1.604 20 0 DDADMM COCc1nsc(N=C([O-])[C@@H](C)C(=O)OC(C)(C)C)n1 ZINC000413713105 533272212 /nfs/dbraw/zinc/27/22/12/533272212.db2.gz MACSPCGWQZZVAU-SSDOTTSWSA-N -1 1 301.368 1.023 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@H]1CC1(F)F ZINC000294991666 533418054 /nfs/dbraw/zinc/41/80/54/533418054.db2.gz QMNGHAUQOJBSKU-SSDOTTSWSA-N -1 1 308.281 1.791 20 0 DDADMM CC(C)(C)n1cc(C(=O)[N-]c2nnc([C@@H]3CCCO3)s2)nn1 ZINC000636359262 422819389 /nfs/dbraw/zinc/81/93/89/422819389.db2.gz OYZIBDKTSHAOPQ-VIFPVBQESA-N -1 1 322.394 1.988 20 0 DDADMM CO[C@@]1(C)CCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000287731839 285910476 /nfs/dbraw/zinc/91/04/76/285910476.db2.gz TXODYYBOIFQBCU-BRYHAGSVSA-N -1 1 303.366 1.375 20 0 DDADMM Nc1ncc2c(n1)CCN(C(=O)c1cc(Cl)ccc1[O-])C2 ZINC000569173677 304339701 /nfs/dbraw/zinc/33/97/01/304339701.db2.gz OBLHVCBOUKFGNQ-UHFFFAOYSA-N -1 1 304.737 1.447 20 0 DDADMM CCO[C@@H]1C[C@@]([N-]S(=O)(=O)CC(C)C)(C(=O)OC)C1(C)C ZINC000444276427 286310189 /nfs/dbraw/zinc/31/01/89/286310189.db2.gz MPYHCWDQLQSBFI-BXUZGUMPSA-N -1 1 321.439 1.309 20 0 DDADMM CNC(=O)Cc1ccc([N-]S(=O)(=O)c2cccc(F)c2)cc1 ZINC000013850560 406869322 /nfs/dbraw/zinc/86/93/22/406869322.db2.gz MALQWZXEIJTMBM-UHFFFAOYSA-N -1 1 322.361 1.915 20 0 DDADMM COc1ccc(C(=O)Nc2ccc3c(c2)NC(=O)CO3)c([O-])c1 ZINC000023327845 406891505 /nfs/dbraw/zinc/89/15/05/406891505.db2.gz YTEHVVLGRJFBHT-UHFFFAOYSA-N -1 1 314.297 1.984 20 0 DDADMM Cn1[n-]c(=O)c2c1nc(C1CC1)cc2C(=O)Nc1nccs1 ZINC000076743344 406974229 /nfs/dbraw/zinc/97/42/29/406974229.db2.gz XUYRKIFWWZKPKX-UHFFFAOYSA-N -1 1 315.358 1.848 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1C[C@@H]1c1ccc(OC(F)(F)F)cc1 ZINC000076963997 406975212 /nfs/dbraw/zinc/97/52/12/406975212.db2.gz GDAZKRBZWQOPKO-RKDXNWHRSA-N -1 1 313.239 1.841 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1C[C@@H]1c1ccc(OC(F)(F)F)cc1 ZINC000076963997 406975215 /nfs/dbraw/zinc/97/52/15/406975215.db2.gz GDAZKRBZWQOPKO-RKDXNWHRSA-N -1 1 313.239 1.841 20 0 DDADMM CCCOc1ccc(C=CC(=O)Nc2nnn[n-]2)cc1OC ZINC000078379983 407026131 /nfs/dbraw/zinc/02/61/31/407026131.db2.gz MUAKBXMKNGFJHU-FNORWQNLSA-N -1 1 303.322 1.649 20 0 DDADMM CCCOc1ccc(C=CC(=O)Nc2nn[n-]n2)cc1OC ZINC000078379983 407026133 /nfs/dbraw/zinc/02/61/33/407026133.db2.gz MUAKBXMKNGFJHU-FNORWQNLSA-N -1 1 303.322 1.649 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cccc(NC(C)=O)c2)n[n-]1 ZINC000086170531 407108356 /nfs/dbraw/zinc/10/83/56/407108356.db2.gz KJACITZFFSHSEJ-UHFFFAOYSA-N -1 1 308.363 1.299 20 0 DDADMM CCn1cc([N-]S(=O)(=O)c2ccc(F)cc2F)ccc1=O ZINC000047211703 407071768 /nfs/dbraw/zinc/07/17/68/407071768.db2.gz KBQNWGHSNJZOIP-UHFFFAOYSA-N -1 1 314.313 1.947 20 0 DDADMM Cc1nc(C(=O)Nc2nn[n-]n2)nn1-c1ccccc1C(C)C ZINC000102895287 407328425 /nfs/dbraw/zinc/32/84/25/407328425.db2.gz VWIWIHJGNYAJFQ-UHFFFAOYSA-N -1 1 312.337 1.465 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CCC[C@H](C)C2)co1 ZINC000122401371 407299906 /nfs/dbraw/zinc/29/99/06/407299906.db2.gz BUTSDQVSDRFASP-QWRGUYRKSA-N -1 1 314.407 1.744 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2cccs2)o1 ZINC000107698428 407378852 /nfs/dbraw/zinc/37/88/52/407378852.db2.gz LIEUGTUMZCPCPD-UHFFFAOYSA-N -1 1 315.372 1.649 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2cc(C(C)C)[nH]n2)o1 ZINC000126150527 407406019 /nfs/dbraw/zinc/40/60/19/407406019.db2.gz MGLYWVZDPUOSDH-UHFFFAOYSA-N -1 1 313.335 1.714 20 0 DDADMM O=C(CS(=O)(=O)c1n[n-]c(C2CC2)n1)Nc1ccccc1 ZINC000127153138 407429217 /nfs/dbraw/zinc/42/92/17/407429217.db2.gz IGPJQAXLPYWZNZ-UHFFFAOYSA-N -1 1 306.347 1.095 20 0 DDADMM COc1ccc(Cl)cc1N1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000171022715 407573749 /nfs/dbraw/zinc/57/37/49/407573749.db2.gz PPTAWXKSJDQSHZ-SNVBAGLBSA-N -1 1 321.768 1.475 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)Nc1nc(C3CCC3)n[nH]1)c2=O ZINC000128893105 407580433 /nfs/dbraw/zinc/58/04/33/407580433.db2.gz RTZKBUFPEWHAGB-UHFFFAOYSA-N -1 1 313.321 1.312 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)Nc1n[nH]c(C3CCC3)n1)c2=O ZINC000128893105 407580439 /nfs/dbraw/zinc/58/04/39/407580439.db2.gz RTZKBUFPEWHAGB-UHFFFAOYSA-N -1 1 313.321 1.312 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC(C)(C)C(N)=O ZINC000266983612 407701445 /nfs/dbraw/zinc/70/14/45/407701445.db2.gz RAIYCUUCQGSEBT-UHFFFAOYSA-N -1 1 308.382 1.029 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)C[C@H]1CCCCO1 ZINC000267016188 407716977 /nfs/dbraw/zinc/71/69/77/407716977.db2.gz OJDXJOPPISWWGL-SNVBAGLBSA-N -1 1 311.407 1.854 20 0 DDADMM CCc1ccc(S(=O)(=O)Nc2cnn(C)c2)cc1C(=O)[O-] ZINC000152942696 407719624 /nfs/dbraw/zinc/71/96/24/407719624.db2.gz GCDZFKWRSUBNLS-UHFFFAOYSA-N -1 1 309.347 1.482 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@]3(C)CCCO3)cnc2n1 ZINC000153113817 407756734 /nfs/dbraw/zinc/75/67/34/407756734.db2.gz SSOMAINCXMODOW-MRXNPFEDSA-N -1 1 301.346 1.943 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@]1(C)CCCO1)c2=O ZINC000153113817 407756740 /nfs/dbraw/zinc/75/67/40/407756740.db2.gz SSOMAINCXMODOW-MRXNPFEDSA-N -1 1 301.346 1.943 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1cccc(CO)c1)c2=O ZINC000179714539 407827004 /nfs/dbraw/zinc/82/70/04/407827004.db2.gz ZDMTYFCHVYOIAC-UHFFFAOYSA-N -1 1 312.329 1.096 20 0 DDADMM COC(=O)Cn1ncc(NC(=O)c2cc(F)ccc2[O-])c1C ZINC000187225481 407835099 /nfs/dbraw/zinc/83/50/99/407835099.db2.gz JGYNARKPDQXSSU-UHFFFAOYSA-N -1 1 307.281 1.462 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cn(C(C)C)cn1)c1ccco1 ZINC000153745367 407891747 /nfs/dbraw/zinc/89/17/47/407891747.db2.gz BBHVUOBZCJUGQH-NSHDSACASA-N -1 1 313.379 1.723 20 0 DDADMM C[C@H]1c2cccn2CCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000181760340 408039725 /nfs/dbraw/zinc/03/97/25/408039725.db2.gz ZVJARSDMHSBIRR-JTQLQIEISA-N -1 1 311.345 1.692 20 0 DDADMM Cc1cccc(S(=O)(=O)N[C@@H]2C[N@@H+](C3CC3)C[C@@H]2C)c1F ZINC000273077136 408047110 /nfs/dbraw/zinc/04/71/10/408047110.db2.gz GOXRTCXOZHBLDZ-WCQYABFASA-N -1 1 312.410 1.895 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2cnns2)c(=O)[n-]1 ZINC000189564417 408054182 /nfs/dbraw/zinc/05/41/82/408054182.db2.gz QTKJVUSBPBPQJN-UHFFFAOYSA-N -1 1 311.392 1.223 20 0 DDADMM Cc1csc([C@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)n1 ZINC000119212342 408015467 /nfs/dbraw/zinc/01/54/67/408015467.db2.gz XWMQVUCKSMQUJB-QMMMGPOBSA-N -1 1 317.374 1.930 20 0 DDADMM O=C(CCOc1ccc(Cl)cc1Cl)Nc1nnn[n-]1 ZINC000135466041 408017557 /nfs/dbraw/zinc/01/75/57/408017557.db2.gz MZBSRZJIHKYGDA-UHFFFAOYSA-N -1 1 302.121 1.914 20 0 DDADMM O=C(CCOc1ccc(Cl)cc1Cl)Nc1nn[n-]n1 ZINC000135466041 408017563 /nfs/dbraw/zinc/01/75/63/408017563.db2.gz MZBSRZJIHKYGDA-UHFFFAOYSA-N -1 1 302.121 1.914 20 0 DDADMM O=C([O-])[C@H]1CCCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000263420272 408135087 /nfs/dbraw/zinc/13/50/87/408135087.db2.gz KYHQAMHRDPCUKZ-LBPRGKRZSA-N -1 1 300.318 1.409 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)NC2CCC(C(=O)[O-])CC2)n[nH]1 ZINC000263439382 408140302 /nfs/dbraw/zinc/14/03/02/408140302.db2.gz DDGINXUIXJNDAP-WHXUTIOJSA-N -1 1 323.397 1.932 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)c3nc(C)c(C)[nH]c3=O)n2)o1 ZINC000156007663 408235193 /nfs/dbraw/zinc/23/51/93/408235193.db2.gz VDPJXJOWHQDPNI-UHFFFAOYSA-N -1 1 314.305 1.326 20 0 DDADMM COc1ccc([C@@H](CNC(=O)C(=O)[O-])N2CCCCC2)cc1 ZINC000274179968 408316915 /nfs/dbraw/zinc/31/69/15/408316915.db2.gz OMMSBZAVWPGXEX-CQSZACIVSA-N -1 1 306.362 1.423 20 0 DDADMM C[C@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1ccc(Cl)s1 ZINC000176177438 408301285 /nfs/dbraw/zinc/30/12/85/408301285.db2.gz DLQXJCVCOGGBMU-ZETCQYMHSA-N -1 1 314.798 1.665 20 0 DDADMM CC[C@H](C)OCC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000151361161 408302069 /nfs/dbraw/zinc/30/20/69/408302069.db2.gz BYPBOUSQWVPSMR-VIFPVBQESA-N -1 1 306.366 1.071 20 0 DDADMM CCOC(=O)c1c[n-]n(C2CCN(C/C=C/Cl)CC2)c1=N ZINC000135232478 162097598 /nfs/dbraw/zinc/09/75/98/162097598.db2.gz OIDOWWFTWROUIG-MHXOOGSXSA-N -1 1 312.801 1.862 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCOc2ccc(F)cc2)C1 ZINC000164572598 162153141 /nfs/dbraw/zinc/15/31/41/162153141.db2.gz FKVFFELDELIPIT-GFCCVEGCSA-N -1 1 324.352 1.117 20 0 DDADMM COCc1cc(C(=O)[N-]c2cc(C(=O)OC)ccc2F)no1 ZINC000173222982 162327532 /nfs/dbraw/zinc/32/75/32/162327532.db2.gz ROHOOEWISMJZPS-UHFFFAOYSA-N -1 1 308.265 1.999 20 0 DDADMM Cc1[nH]c2ccccc2c1CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183411605 408403408 /nfs/dbraw/zinc/40/34/08/408403408.db2.gz GYIAEDLSEDCJML-SNVBAGLBSA-N -1 1 312.377 1.794 20 0 DDADMM Cc1cccc(OCCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1C ZINC000183427805 408407568 /nfs/dbraw/zinc/40/75/68/408407568.db2.gz IDCLJITYYSJHED-LBPRGKRZSA-N -1 1 317.393 1.848 20 0 DDADMM C[C@@H](CN(C)C(=O)COc1ccc(Cl)cc1)c1nn[n-]n1 ZINC000183431746 408409710 /nfs/dbraw/zinc/40/97/10/408409710.db2.gz SRUHKIYHXYVMCY-VIFPVBQESA-N -1 1 309.757 1.494 20 0 DDADMM Cc1cccc(C)c1NCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183445661 408413498 /nfs/dbraw/zinc/41/34/98/408413498.db2.gz UEDZZMBSVPHWNU-LBPRGKRZSA-N -1 1 302.382 1.491 20 0 DDADMM CCC[C@H](NC(=O)c1cc2nc(C)ccc2o1)c1nn[n-]n1 ZINC000176738442 408426730 /nfs/dbraw/zinc/42/67/30/408426730.db2.gz SERRIFAGFOOJMF-VIFPVBQESA-N -1 1 300.322 1.921 20 0 DDADMM CCC[C@H](NC(=O)CCNC(=O)c1ccsc1)c1nn[n-]n1 ZINC000176773226 408439627 /nfs/dbraw/zinc/43/96/27/408439627.db2.gz JLXGOJCDKYVEAS-JTQLQIEISA-N -1 1 322.394 1.039 20 0 DDADMM CC(C)[C@H](O)C(C)(C)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000269933810 408472450 /nfs/dbraw/zinc/47/24/50/408472450.db2.gz NNFZUXAPOBZVSO-VIFPVBQESA-N -1 1 323.846 1.395 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc3ccccc3cc2[O-])C[C@@H](CO)O1 ZINC000191722034 408476827 /nfs/dbraw/zinc/47/68/27/408476827.db2.gz KSZAFJAAPWBMBT-RISCZKNCSA-N -1 1 301.342 1.767 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1CCSCC1 ZINC000265189592 408573099 /nfs/dbraw/zinc/57/30/99/408573099.db2.gz IEVQRYPUOQMFEP-UHFFFAOYSA-N -1 1 320.418 1.399 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]C[C@](C)(O)C(F)(F)F)s1 ZINC000270569160 408584478 /nfs/dbraw/zinc/58/44/78/408584478.db2.gz SSSSIGRSECKGCA-ZETCQYMHSA-N -1 1 304.315 1.043 20 0 DDADMM CC(C)c1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(O)c1 ZINC000274802453 408537431 /nfs/dbraw/zinc/53/74/31/408537431.db2.gz STJJVRBRJCVCKW-SNVBAGLBSA-N -1 1 303.366 1.904 20 0 DDADMM CCOc1ccccc1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000161629712 408620661 /nfs/dbraw/zinc/62/06/61/408620661.db2.gz QCJFQXSACSAPHY-UHFFFAOYSA-N -1 1 324.358 1.839 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2ncoc2C)o1 ZINC000162014108 408660354 /nfs/dbraw/zinc/66/03/54/408660354.db2.gz LBRUKKJRBBCMLO-UHFFFAOYSA-N -1 1 314.319 1.231 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCC[C@@H](C)C2)co1 ZINC000162893708 408743938 /nfs/dbraw/zinc/74/39/38/408743938.db2.gz AYMHGEAMBFNTQJ-KOLCDFICSA-N -1 1 300.380 1.496 20 0 DDADMM CC(C)[N@H+]1CCC[C@H](NS(=O)(=O)c2ncn(C)c2Cl)C1 ZINC000275823394 408687817 /nfs/dbraw/zinc/68/78/17/408687817.db2.gz BFZBUTHBALMWGX-JTQLQIEISA-N -1 1 320.846 1.225 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cc(F)cc(Cl)c1 ZINC000164811053 408803741 /nfs/dbraw/zinc/80/37/41/408803741.db2.gz PTWHRAQVBYCZKF-UHFFFAOYSA-N -1 1 311.762 1.884 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(CNC(=O)c2cnn[nH]2)CC1 ZINC000194935813 408805467 /nfs/dbraw/zinc/80/54/67/408805467.db2.gz YPVSLJSVUQFWKC-UHFFFAOYSA-N -1 1 309.370 1.182 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@H](CO)c1c(F)cccc1F ZINC000290776952 408831154 /nfs/dbraw/zinc/83/11/54/408831154.db2.gz PCXJPZUCTFMYNX-LLVKDONJSA-N -1 1 323.361 1.343 20 0 DDADMM C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)N1CCCC[C@H]1C ZINC000280777796 408832795 /nfs/dbraw/zinc/83/27/95/408832795.db2.gz NALVCEGSIMXQJF-VXGBXAGGSA-N -1 1 318.373 1.481 20 0 DDADMM COC(CN(CCCO)C(=O)c1ccc(Cl)cc1[O-])OC ZINC000276310115 408833329 /nfs/dbraw/zinc/83/33/29/408833329.db2.gz YKOXBCZGGINWMS-UHFFFAOYSA-N -1 1 317.769 1.489 20 0 DDADMM CCc1cc(C)cc(CC)c1NC(=O)NN1CC(=O)[N-]C1=O ZINC000280826730 408842267 /nfs/dbraw/zinc/84/22/67/408842267.db2.gz URHLCVQBSZJZIY-UHFFFAOYSA-N -1 1 304.350 1.708 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cncc(OC)c1)c1ccco1 ZINC000281710645 408915166 /nfs/dbraw/zinc/91/51/66/408915166.db2.gz BSBDYKZYHQCOPX-GFCCVEGCSA-N -1 1 312.347 1.349 20 0 DDADMM COC(=O)C[C@](C)(NC(=O)c1cncc([O-])c1)c1ccncc1 ZINC000286130416 408928336 /nfs/dbraw/zinc/92/83/36/408928336.db2.gz QNONIRDCXMHBRX-INIZCTEOSA-N -1 1 315.329 1.391 20 0 DDADMM Cc1cc(C(=O)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)[nH]n1 ZINC000281787410 408929237 /nfs/dbraw/zinc/92/92/37/408929237.db2.gz SAMRKUWLMAKFAK-UHFFFAOYSA-N -1 1 318.299 1.249 20 0 DDADMM Cc1cc(C(=O)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)n[nH]1 ZINC000281787410 408929238 /nfs/dbraw/zinc/92/92/38/408929238.db2.gz SAMRKUWLMAKFAK-UHFFFAOYSA-N -1 1 318.299 1.249 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)N(C)CC(F)(F)F)c1nn[n-]n1 ZINC000193471683 163256888 /nfs/dbraw/zinc/25/68/88/163256888.db2.gz IJTKJAFPLVFFDI-JGVFFNPUSA-N -1 1 308.308 1.040 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2nc(Cc3ccccc3)n[n-]2)o1 ZINC000195289349 163315726 /nfs/dbraw/zinc/31/57/26/163315726.db2.gz FLFGELSRSIPWOZ-UHFFFAOYSA-N -1 1 318.358 1.666 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2nnc(Cc3ccccc3)[n-]2)o1 ZINC000195289349 163315728 /nfs/dbraw/zinc/31/57/28/163315728.db2.gz FLFGELSRSIPWOZ-UHFFFAOYSA-N -1 1 318.358 1.666 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2n[n-]c(Cc3ccccc3)n2)o1 ZINC000195289349 163315729 /nfs/dbraw/zinc/31/57/29/163315729.db2.gz FLFGELSRSIPWOZ-UHFFFAOYSA-N -1 1 318.358 1.666 20 0 DDADMM O=C(NC[C@@H](O)C(F)(F)F)c1c[nH]c2ccccc2c1=O ZINC000225104436 163335141 /nfs/dbraw/zinc/33/51/41/163335141.db2.gz HKLPVSXIHMYDKF-SNVBAGLBSA-N -1 1 300.236 1.181 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C2CCC2)C2CCC2)co1 ZINC000292217445 409003799 /nfs/dbraw/zinc/00/37/99/409003799.db2.gz ADFLYGISXUEGGL-UHFFFAOYSA-N -1 1 312.391 1.735 20 0 DDADMM O=C(CSc1nc(=O)cc([O-])n1C1CCCC1)[C@H]1CCOC1 ZINC000277754550 409006137 /nfs/dbraw/zinc/00/61/37/409006137.db2.gz RKINUBZDMYIXJT-JTQLQIEISA-N -1 1 324.402 1.762 20 0 DDADMM O=C(CSc1nc([O-])cc(=O)n1C1CCCC1)[C@H]1CCOC1 ZINC000277754550 409006141 /nfs/dbraw/zinc/00/61/41/409006141.db2.gz RKINUBZDMYIXJT-JTQLQIEISA-N -1 1 324.402 1.762 20 0 DDADMM CC(C)n1cccc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282842237 409065992 /nfs/dbraw/zinc/06/59/92/409065992.db2.gz FKGAKBSPTQTCBZ-NSHDSACASA-N -1 1 303.366 1.500 20 0 DDADMM CC[C@]1(CO)CCCN1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287680293 409075579 /nfs/dbraw/zinc/07/55/79/409075579.db2.gz QRRCOPDXNOESHJ-PWDRBQJJSA-N -1 1 303.366 1.111 20 0 DDADMM C[C@@]1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCCC[C@@H]1O ZINC000283242325 409119955 /nfs/dbraw/zinc/11/99/55/409119955.db2.gz CCTLKISPFAQYDH-NHYWBVRUSA-N -1 1 320.418 1.689 20 0 DDADMM O=C(C(=O)N1CCSC2(CCOCC2)C1)c1ccc([O-])cc1 ZINC000287980257 409125220 /nfs/dbraw/zinc/12/52/20/409125220.db2.gz UFEMJKHUEJTZTF-UHFFFAOYSA-N -1 1 321.398 1.700 20 0 DDADMM CCOC(=O)Nc1scnc1C(=O)[N-]c1nc(C)n(C)n1 ZINC000293602296 409146823 /nfs/dbraw/zinc/14/68/23/409146823.db2.gz CZIVOAGSLOWQPR-UHFFFAOYSA-N -1 1 310.339 1.401 20 0 DDADMM CC(C)(C)[C@@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC000283791293 409217126 /nfs/dbraw/zinc/21/71/26/409217126.db2.gz FRFNRHBHDACRRQ-JTQLQIEISA-N -1 1 322.789 1.657 20 0 DDADMM CCC[C@H](C)C(=O)NCCNC(=O)c1c(F)ccc([O-])c1F ZINC000294080461 409236241 /nfs/dbraw/zinc/23/62/41/409236241.db2.gz PXKDCHZMJIOHNY-VIFPVBQESA-N -1 1 314.332 1.953 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)c1c(F)cccc1F ZINC000289377164 409256940 /nfs/dbraw/zinc/25/69/40/409256940.db2.gz NFHWLGZRZYTAJE-ZCFIWIBFSA-N -1 1 317.317 1.883 20 0 DDADMM CN(CC1(O)CCCC1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279397846 409206469 /nfs/dbraw/zinc/20/64/69/409206469.db2.gz ROTDAICDGOEXFA-PDGQHHTCSA-N -1 1 303.366 1.111 20 0 DDADMM O=C([O-])C1CCN(CC(=O)NCCc2ccc(Cl)cc2)CC1 ZINC000262664590 163862420 /nfs/dbraw/zinc/86/24/20/163862420.db2.gz OYFKLEIQHVJDOQ-UHFFFAOYSA-N -1 1 324.808 1.795 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)C[C@H](C)OC)c1F ZINC000295558444 409347196 /nfs/dbraw/zinc/34/71/96/409347196.db2.gz XRYLGXASUVXBIF-QMMMGPOBSA-N -1 1 305.327 1.389 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1cc(C)c2nccn2c1 ZINC000295272203 409408806 /nfs/dbraw/zinc/40/88/06/409408806.db2.gz ZJPYYDHWURZHFY-UHFFFAOYSA-N -1 1 319.390 1.794 20 0 DDADMM CC[C@](C)(OC)c1noc(-c2ccc(S(=O)(=O)[N-]C)o2)n1 ZINC000290489028 409418015 /nfs/dbraw/zinc/41/80/15/409418015.db2.gz OHWHJFCPWLADTR-LBPRGKRZSA-N -1 1 315.351 1.509 20 0 DDADMM O=S1(=O)CCN(Cc2ncccc2[O-])Cc2ccccc21 ZINC000571310420 304452051 /nfs/dbraw/zinc/45/20/51/304452051.db2.gz OLXYPGXKPONTSC-UHFFFAOYSA-N -1 1 304.371 1.577 20 0 DDADMM CN(CC(=O)[O-])S(=O)(=O)c1cc(C(F)(F)F)ccc1O ZINC000263553598 163983458 /nfs/dbraw/zinc/98/34/58/163983458.db2.gz MSJGQIFWVPUOFV-UHFFFAOYSA-N -1 1 313.253 1.116 20 0 DDADMM O=C([C@H](c1ccccc1)C1CC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000362431094 164157277 /nfs/dbraw/zinc/15/72/77/164157277.db2.gz GPDIKMJAPRZFEE-ZIAGYGMSSA-N -1 1 313.361 1.293 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCOC(C)(C)C2)sc1C ZINC000407982766 164188833 /nfs/dbraw/zinc/18/88/33/164188833.db2.gz JKKQCVNWPFHVLJ-JTQLQIEISA-N -1 1 304.437 1.996 20 0 DDADMM Cc1nn(C)c(C)c1CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000408000273 164194049 /nfs/dbraw/zinc/19/40/49/164194049.db2.gz ZCBSLKDHPPMIRO-LLVKDONJSA-N -1 1 319.365 1.074 20 0 DDADMM CC(C)N1CCN(C(=O)N=c2nc(C(C)(C)C)[n-]s2)CC1 ZINC000408180790 164253599 /nfs/dbraw/zinc/25/35/99/164253599.db2.gz VYNMWUOAVSSPSU-UHFFFAOYSA-N -1 1 311.455 1.815 20 0 DDADMM CC(=O)Nc1ccc(O)c(C(=O)N2C[C@H](C)[N@H+](C)C[C@@H]2C)c1 ZINC000408409999 164322288 /nfs/dbraw/zinc/32/22/88/164322288.db2.gz HSSCVQSBFSDFMP-QWRGUYRKSA-N -1 1 305.378 1.515 20 0 DDADMM Cc1nc(SC[C@@H]2CCN(S(C)(=O)=O)C2)[n-]c(=O)c1C ZINC000408456238 164336828 /nfs/dbraw/zinc/33/68/28/164336828.db2.gz HBYBOJAKXFIMOS-SNVBAGLBSA-N -1 1 317.436 1.173 20 0 DDADMM CCC[C@]1(C)CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000337918451 409569296 /nfs/dbraw/zinc/56/92/96/409569296.db2.gz WPRHWQZUFIVQDG-QGZVFWFLSA-N -1 1 319.405 1.946 20 0 DDADMM CN(CCC1CCCCC1)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337897143 409551734 /nfs/dbraw/zinc/55/17/34/409551734.db2.gz FEJIWOPPAZOEJW-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM CCOC(=O)c1cccc([N-]S(=O)(=O)C[C@H]2CCCO2)c1 ZINC000345001817 409593549 /nfs/dbraw/zinc/59/35/49/409593549.db2.gz WSGBDPFBEMODGT-CYBMUJFWSA-N -1 1 313.375 1.784 20 0 DDADMM COC(=O)[C@H](CC(F)F)NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000342532591 409702493 /nfs/dbraw/zinc/70/24/93/409702493.db2.gz YLKFXOGPAQVWLQ-ZETCQYMHSA-N -1 1 319.333 1.066 20 0 DDADMM CSc1cccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1C ZINC000354159370 409707410 /nfs/dbraw/zinc/70/74/10/409707410.db2.gz OJQHZILPYZWHQS-UHFFFAOYSA-N -1 1 315.358 1.700 20 0 DDADMM CN=c1[n-]nc(CC(=O)Nc2ccc3c(C)[nH]nc3c2)s1 ZINC000354162805 409710210 /nfs/dbraw/zinc/71/02/10/409710210.db2.gz WZGSANURCWJMFX-UHFFFAOYSA-N -1 1 302.363 1.368 20 0 DDADMM C[C@H](C(=O)N1CCN(c2nc(=N)[n-]s2)CC1)c1ccsc1 ZINC000331650457 409736372 /nfs/dbraw/zinc/73/63/72/409736372.db2.gz LEMCQFZYBQVFPB-VIFPVBQESA-N -1 1 323.447 1.464 20 0 DDADMM CCO[C@@H](C)c1nc(CC(=O)NC2(c3nn[n-]n3)CC2)cs1 ZINC000357056472 409824609 /nfs/dbraw/zinc/82/46/09/409824609.db2.gz PCMGLUHRULQFLW-QMMMGPOBSA-N -1 1 322.394 1.102 20 0 DDADMM CCOc1ccc(SCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357059224 409828486 /nfs/dbraw/zinc/82/84/86/409828486.db2.gz SZVNNIBCGXODLL-UHFFFAOYSA-N -1 1 319.390 1.496 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccc(F)c(OC)c1 ZINC000342668728 409798675 /nfs/dbraw/zinc/79/86/75/409798675.db2.gz QUCMGVZTDOSGRE-UHFFFAOYSA-N -1 1 321.308 1.419 20 0 DDADMM CC[C@@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000338212041 409806577 /nfs/dbraw/zinc/80/65/77/409806577.db2.gz BQJZJTXFJSUWBX-NOZJJQNGSA-N -1 1 319.379 1.142 20 0 DDADMM O=C(CCc1ccnc2ccccc12)NC1(c2nn[n-]n2)CC1 ZINC000357049182 409818764 /nfs/dbraw/zinc/81/87/64/409818764.db2.gz HKKQTHRBPOCIKX-UHFFFAOYSA-N -1 1 308.345 1.486 20 0 DDADMM C[C@H]1[C@H](C)N(C(=O)N=c2nc3cc(Cl)ccn3[n-]2)CCN1C ZINC000332126304 409908090 /nfs/dbraw/zinc/90/80/90/409908090.db2.gz DDDPNLSZTHOTMC-UWVGGRQHSA-N -1 1 322.800 1.361 20 0 DDADMM CC(C)[C@@H](O)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000354525725 409917789 /nfs/dbraw/zinc/91/77/89/409917789.db2.gz KURSAKBHSDGULU-LLVKDONJSA-N -1 1 304.343 1.153 20 0 DDADMM CO[C@]1(CNC(=O)c2cnc3nc(C)ccc3c2[O-])CCOC1 ZINC000332108965 409890634 /nfs/dbraw/zinc/89/06/34/409890634.db2.gz IPJRUUHNUVAYJJ-INIZCTEOSA-N -1 1 317.345 1.179 20 0 DDADMM CO[C@]1(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)CCOC1 ZINC000332108965 409890642 /nfs/dbraw/zinc/89/06/42/409890642.db2.gz IPJRUUHNUVAYJJ-INIZCTEOSA-N -1 1 317.345 1.179 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332178411 409951969 /nfs/dbraw/zinc/95/19/69/409951969.db2.gz MVZRGFFVFMLQCN-VXGBXAGGSA-N -1 1 317.345 1.949 20 0 DDADMM Cc1ccccc1C=CC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297680849 409954463 /nfs/dbraw/zinc/95/44/63/409954463.db2.gz HSXGVGRUDNYDSD-RMKNXTFCSA-N -1 1 311.345 1.657 20 0 DDADMM O=C(Nc1cn[nH]c1)[C@@H]1CCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000633301698 422852262 /nfs/dbraw/zinc/85/22/62/422852262.db2.gz TYRAVVYQHKAXIQ-SECBINFHSA-N -1 1 318.308 1.355 20 0 DDADMM O=C1CN(Cc2nc(-c3ccc([O-])cc3Cl)no2)C(=O)N1 ZINC000350818330 410001103 /nfs/dbraw/zinc/00/11/03/410001103.db2.gz VTDRNZGOHYISON-UHFFFAOYSA-N -1 1 308.681 1.147 20 0 DDADMM Cc1ccc(SCC(=O)NC(C)(C)c2nn[n-]n2)c(C)c1 ZINC000354789791 410088725 /nfs/dbraw/zinc/08/87/25/410088725.db2.gz LVLHBBADYNBZDI-UHFFFAOYSA-N -1 1 305.407 1.960 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CCSC1 ZINC000354861287 410132301 /nfs/dbraw/zinc/13/23/01/410132301.db2.gz GNZMODBSQWAZES-SNVBAGLBSA-N -1 1 306.391 1.421 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@H]1c1ccccc1 ZINC000343163636 410199807 /nfs/dbraw/zinc/19/98/07/410199807.db2.gz NJSASUXKKJBZJS-ZDUSSCGKSA-N -1 1 311.341 1.491 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cc(Cl)ccn1 ZINC000343315804 410346259 /nfs/dbraw/zinc/34/62/59/410346259.db2.gz MYYQZTWYUBZLAW-UHFFFAOYSA-N -1 1 306.709 1.204 20 0 DDADMM C[C@H]1CCCc2nc(S(=O)(=O)NC[C@H]3CCC[N@H+]3C)cn21 ZINC000333197912 410417144 /nfs/dbraw/zinc/41/71/44/410417144.db2.gz UOOLVLVTTSXAOJ-NWDGAFQWSA-N -1 1 312.439 1.153 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CCOCC2)c1cccc(F)c1F ZINC000333118252 410365164 /nfs/dbraw/zinc/36/51/64/410365164.db2.gz UMZCXXGXJJDCGK-NSHDSACASA-N -1 1 303.330 1.812 20 0 DDADMM Cc1cc([C@H]2CN(Cc3nn(C)c(=O)[n-]3)CCO2)ccc1F ZINC000329582397 410369950 /nfs/dbraw/zinc/36/99/50/410369950.db2.gz LLKDVAVIOHVQAX-CYBMUJFWSA-N -1 1 306.341 1.129 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H](C)c1ccccc1F ZINC000352125952 410498313 /nfs/dbraw/zinc/49/83/13/410498313.db2.gz OIQPBLOWZMCMMH-QMMMGPOBSA-N -1 1 321.308 1.869 20 0 DDADMM Cc1ccc([N-]S(C)(=O)=O)c(C(=O)Nc2cnc(C)nc2)c1 ZINC000343548209 410522385 /nfs/dbraw/zinc/52/23/85/410522385.db2.gz IYNHVEAHNHDQKP-UHFFFAOYSA-N -1 1 320.374 1.717 20 0 DDADMM CC[C@H]1C(=O)N(CC)CCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000330264648 410605826 /nfs/dbraw/zinc/60/58/26/410605826.db2.gz YEJKLUIKRQOJTC-LBPRGKRZSA-N -1 1 322.434 1.222 20 0 DDADMM C[C@H](NC(=O)C(C)(C)C)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000330453047 410701583 /nfs/dbraw/zinc/70/15/83/410701583.db2.gz LAEQNMKGWTXDMK-UWVGGRQHSA-N -1 1 324.381 1.032 20 0 DDADMM CCC[C@H](NC(=O)CCCc1nc(C2CC2)no1)c1nn[n-]n1 ZINC000343767137 410681079 /nfs/dbraw/zinc/68/10/79/410681079.db2.gz QOJVGWRISJPLPT-JTQLQIEISA-N -1 1 319.369 1.440 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H](C)c2ncc(C)s2)[n-]n1 ZINC000347864726 410761571 /nfs/dbraw/zinc/76/15/71/410761571.db2.gz NQLYPSHPOMQXMQ-SECBINFHSA-N -1 1 305.407 1.629 20 0 DDADMM CCNC(=O)c1ccc(=NCCCNc2ccccc2F)[n-]n1 ZINC000343878604 410774362 /nfs/dbraw/zinc/77/43/62/410774362.db2.gz PPFIIMJXMSYMPH-UHFFFAOYSA-N -1 1 317.368 1.701 20 0 DDADMM Cc1c(C(=O)Nc2ccc(F)cc2[O-])nnn1-c1ccn(C)n1 ZINC000343889078 410784547 /nfs/dbraw/zinc/78/45/47/410784547.db2.gz QDSGWYLOXDFLSB-UHFFFAOYSA-N -1 1 316.296 1.406 20 0 DDADMM C[C@@H]1[C@@H](C(F)(F)F)CCN1Cc1cc(=O)n2[n-]ccc2n1 ZINC000348223229 410863848 /nfs/dbraw/zinc/86/38/48/410863848.db2.gz DKBZPALIMQLHKQ-SCZZXKLOSA-N -1 1 300.284 1.795 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccsc2)c1 ZINC000359693453 410820044 /nfs/dbraw/zinc/82/00/44/410820044.db2.gz PDAPEBJTVVEVGY-UHFFFAOYSA-N -1 1 312.372 1.614 20 0 DDADMM Cc1ccc2c(c1)CC[C@H](C(=O)NC(C)(C)c1nn[n-]n1)O2 ZINC000356197532 410887712 /nfs/dbraw/zinc/88/77/12/410887712.db2.gz XHQIYDUHGHPTDU-GFCCVEGCSA-N -1 1 301.350 1.253 20 0 DDADMM CCNc1ncc(C(=O)Nc2cc(C(=O)OC)ccc2[O-])s1 ZINC000337679341 410940031 /nfs/dbraw/zinc/94/00/31/410940031.db2.gz HDDXKGRQZKJHRO-UHFFFAOYSA-N -1 1 321.358 1.741 20 0 DDADMM CC(C)c1nnc(SCc2nn[n-]n2)n1Cc1ccco1 ZINC000353371166 410947135 /nfs/dbraw/zinc/94/71/35/410947135.db2.gz JIMDSGXWHCGMLF-UHFFFAOYSA-N -1 1 305.367 1.848 20 0 DDADMM CC(=O)Nc1ncccc1NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000353559822 411061523 /nfs/dbraw/zinc/06/15/23/411061523.db2.gz LESZJVTUOSHPTI-UHFFFAOYSA-N -1 1 317.374 1.745 20 0 DDADMM CCC(CC)c1noc(C[N-]S(=O)(=O)CCOC(C)C)n1 ZINC000356516872 411066349 /nfs/dbraw/zinc/06/63/49/411066349.db2.gz BOBBJDPFCVKNNG-UHFFFAOYSA-N -1 1 319.427 1.818 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]CCC(F)(F)F)s1 ZINC000344188092 411015559 /nfs/dbraw/zinc/01/55/59/411015559.db2.gz JPRYVMJKENCTLA-UHFFFAOYSA-N -1 1 317.314 1.332 20 0 DDADMM CC(C)CCNC(=O)[C@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000331215995 411021857 /nfs/dbraw/zinc/02/18/57/411021857.db2.gz VPERFGYBWPPPMM-LLVKDONJSA-N -1 1 323.418 1.465 20 0 DDADMM Cn1[nH]c([C@H]2CCCN2C(=O)c2cc(F)ccc2[O-])nc1=N ZINC000579967822 422866801 /nfs/dbraw/zinc/86/68/01/422866801.db2.gz ZHOGIKPTYFHUBA-SNVBAGLBSA-N -1 1 305.313 1.050 20 0 DDADMM CCC[C@@H](OCC)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000629951960 422891483 /nfs/dbraw/zinc/89/14/83/422891483.db2.gz OOUQAUDYOXIDSY-OLZOCXBDSA-N -1 1 303.366 1.611 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCCO1)c1c[nH]nc1C(F)(F)F ZINC000631703510 422886012 /nfs/dbraw/zinc/88/60/12/422886012.db2.gz LGDSDGMDLSUBPI-SSDOTTSWSA-N -1 1 313.301 1.276 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCCO1)c1c[nH]nc1C(F)(F)F ZINC000631799235 422926917 /nfs/dbraw/zinc/92/69/17/422926917.db2.gz MROFPEMLDRJMJJ-ZETCQYMHSA-N -1 1 313.301 1.276 20 0 DDADMM O=c1cc(CN2CCC(Cn3ccnn3)CC2)nc(C2CC2)[nH]1 ZINC000580531569 422939593 /nfs/dbraw/zinc/93/95/93/422939593.db2.gz ZXPSQJQSUUTEJT-UHFFFAOYSA-N -1 1 314.393 1.563 20 0 DDADMM CCN(CC)[C@@H](C(=O)N(C)Cc1nc(=O)o[n-]1)c1ccccc1 ZINC000640452646 422966299 /nfs/dbraw/zinc/96/62/99/422966299.db2.gz NEZFTGXTRYHDTH-CQSZACIVSA-N -1 1 318.377 1.404 20 0 DDADMM CC[C@H]1CCN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000131297434 196158061 /nfs/dbraw/zinc/15/80/61/196158061.db2.gz UTKMKTWCYQIYJW-LBPRGKRZSA-N -1 1 302.378 1.440 20 0 DDADMM O=S(=O)([N-]CCN1CCCCO1)C(Cl)(Cl)Cl ZINC001192040943 745680540 /nfs/dbraw/zinc/68/05/40/745680540.db2.gz PCLQIDVVSCTORI-UHFFFAOYSA-N -1 1 311.618 1.261 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H](O)C1)c1ncccc1C(F)(F)F ZINC000645477298 423049781 /nfs/dbraw/zinc/04/97/81/423049781.db2.gz CZDOQEIVXBNWKI-RKDXNWHRSA-N -1 1 324.324 1.682 20 0 DDADMM CO[C@H]1C[C@@H](CC(=O)[O-])N(Cc2cn3c(cccc3C)n2)C1 ZINC000652503592 423046859 /nfs/dbraw/zinc/04/68/59/423046859.db2.gz VUTKAYOAEOBAIK-KBPBESRZSA-N -1 1 303.362 1.707 20 0 DDADMM CC(C)[C@H](O)C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645559802 423083609 /nfs/dbraw/zinc/08/36/09/423083609.db2.gz NNCYANQBYOAANU-SECBINFHSA-N -1 1 312.313 1.396 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@H](OC)C(C)C)c1ccc(C)o1 ZINC000645574946 423094717 /nfs/dbraw/zinc/09/47/17/423094717.db2.gz FNCUAZVLXCLWTO-JSGCOSHPSA-N -1 1 319.423 1.866 20 0 DDADMM CCn1cc(CN[C@]2(C(=O)[O-])CCOc3ccccc32)cn1 ZINC000417571770 225368296 /nfs/dbraw/zinc/36/82/96/225368296.db2.gz UJBBZNLZWMQNGN-MRXNPFEDSA-N -1 1 301.346 1.755 20 0 DDADMM CSc1nc(CNC(=O)C2(C(F)F)CCC2)cc(=O)[n-]1 ZINC000640654424 423116083 /nfs/dbraw/zinc/11/60/83/423116083.db2.gz ROFMLUJACWAGSD-UHFFFAOYSA-N -1 1 303.334 1.956 20 0 DDADMM COc1cccc(CC(=O)NCc2cc(=O)[n-]c(SC)n2)c1 ZINC000640657974 423118310 /nfs/dbraw/zinc/11/83/10/423118310.db2.gz KWJQHFHWIRQUQS-UHFFFAOYSA-N -1 1 319.386 1.772 20 0 DDADMM O=C1N=NCN1c1cccc([N-]S(=O)(=O)c2ccccc2)c1 ZINC000373413383 418425690 /nfs/dbraw/zinc/42/56/90/418425690.db2.gz QNBLERFQOWLWGU-UHFFFAOYSA-N -1 1 316.342 1.774 20 0 DDADMM O=C(NC[C@H](CO)c1cccnc1)c1csc(=NC2CC2)[n-]1 ZINC000360445340 418436789 /nfs/dbraw/zinc/43/67/89/418436789.db2.gz NKPOWZYIMOARKS-LLVKDONJSA-N -1 1 318.402 1.040 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@@H]3C[C@H]3c3cnn(C)c3)n2)o1 ZINC000366269545 418451136 /nfs/dbraw/zinc/45/11/36/418451136.db2.gz XHROJBYCUSWMNA-WDEREUQCSA-N -1 1 312.333 1.849 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)[C@H](C)CC ZINC000360748344 418507374 /nfs/dbraw/zinc/50/73/74/418507374.db2.gz WDSANQBBIFSUBT-GRYCIOLGSA-N -1 1 307.412 1.063 20 0 DDADMM CC(C)CN1CCO[C@H](CN=c2[n-]nc(C(F)F)s2)C1 ZINC000360880917 418540361 /nfs/dbraw/zinc/54/03/61/418540361.db2.gz GPFPPUILCOHWOC-SECBINFHSA-N -1 1 306.382 1.666 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1[C@@H]2Cc3ccccc3[C@H]12 ZINC000290711372 418578394 /nfs/dbraw/zinc/57/83/94/418578394.db2.gz JJUAFOOYKNDQIY-XQQFMLRXSA-N -1 1 319.386 1.429 20 0 DDADMM O=c1ccc([N-]S(=O)(=O)Cc2c(F)cccc2F)n[nH]1 ZINC000193026723 222141287 /nfs/dbraw/zinc/14/12/87/222141287.db2.gz HZHLHGHRYJOABX-UHFFFAOYSA-N -1 1 301.274 1.402 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@@H]1C1CC1)c1cc(F)ccc1F ZINC000361179822 418598995 /nfs/dbraw/zinc/59/89/95/418598995.db2.gz OJYVYBTYZSXQTP-DGCLKSJQSA-N -1 1 303.330 1.811 20 0 DDADMM O=C(NCCO)c1ccc(=NCCC2=CCCCCC2)[n-]n1 ZINC000361743881 418705272 /nfs/dbraw/zinc/70/52/72/418705272.db2.gz IZPWUZMZYJWMIK-UHFFFAOYSA-N -1 1 304.394 1.313 20 0 DDADMM CN1CC[C@H]2CCN(S(=O)(=O)c3cccc(C(=O)[O-])c3)C[C@@H]21 ZINC000375578179 418658737 /nfs/dbraw/zinc/65/87/37/418658737.db2.gz OGKOEDDQYMYNQP-FZMZJTMJSA-N -1 1 324.402 1.100 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CC[C@@H](OC)C1)c2=O ZINC000361486255 418662042 /nfs/dbraw/zinc/66/20/42/418662042.db2.gz QXBSUKAHGQDPNV-LLVKDONJSA-N -1 1 302.330 1.398 20 0 DDADMM C[N@@H+](CCCNC(=O)NCCCC(=O)[O-])Cc1ccccc1 ZINC000381867695 418729631 /nfs/dbraw/zinc/72/96/31/418729631.db2.gz XSAADVLPTKAVNK-UHFFFAOYSA-N -1 1 307.394 1.673 20 0 DDADMM CCc1ccc(C(=O)[O-])cc1S(=O)(=O)Nc1cnn(C)c1C ZINC000362429309 418754475 /nfs/dbraw/zinc/75/44/75/418754475.db2.gz IFQDKAGPYRVZKH-UHFFFAOYSA-N -1 1 323.374 1.790 20 0 DDADMM Cc1cnc(C(=O)N2CCCN(C(=O)C(C)C)CC2)c([O-])c1 ZINC000408229849 418793699 /nfs/dbraw/zinc/79/36/99/418793699.db2.gz YFZSKVRMEMOIPN-UHFFFAOYSA-N -1 1 305.378 1.426 20 0 DDADMM CO[C@@]1(C)CCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000365411271 418857434 /nfs/dbraw/zinc/85/74/34/418857434.db2.gz RHRDMVNGHKADRQ-INIZCTEOSA-N -1 1 318.377 1.705 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1COc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000372835538 418907808 /nfs/dbraw/zinc/90/78/08/418907808.db2.gz HWVZSQYGAOTAFC-KWQFWETISA-N -1 1 321.768 1.591 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)NCCC(C)(C)C(=O)[O-])C2)n[nH]1 ZINC000424406546 228266214 /nfs/dbraw/zinc/26/62/14/228266214.db2.gz QXWFXFBCIUSWLR-NSHDSACASA-N -1 1 323.397 1.503 20 0 DDADMM COc1ccc(NC(=O)[C@]2(C)C[C@@H]2F)cc1[N-]S(C)(=O)=O ZINC000424558645 228289003 /nfs/dbraw/zinc/28/90/03/228289003.db2.gz FOLWFRHVUQEVDB-WCQYABFASA-N -1 1 316.354 1.753 20 0 DDADMM CCC[C@H](NC(=O)[C@H](OC)c1ccc(O)cc1)c1nn[n-]n1 ZINC000426636107 419521036 /nfs/dbraw/zinc/52/10/36/419521036.db2.gz YRBVUYKXCZPDQS-NWDGAFQWSA-N -1 1 305.338 1.250 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1n[nH]c(SC(C)C)n1)OC ZINC000421229004 419527656 /nfs/dbraw/zinc/52/76/56/419527656.db2.gz RKMQHOMLUJZSSQ-QMMMGPOBSA-N -1 1 308.429 1.472 20 0 DDADMM CCOC(=O)[C@H](C)CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000427216246 419622948 /nfs/dbraw/zinc/62/29/48/419622948.db2.gz CVVBHBOACYWGMV-SSDOTTSWSA-N -1 1 313.379 1.142 20 0 DDADMM CON1CCC(NC(=O)c2cnc3nc(C)ccc3c2[O-])CC1 ZINC000422139852 419853897 /nfs/dbraw/zinc/85/38/97/419853897.db2.gz STMBEIKMLMDWRE-UHFFFAOYSA-N -1 1 316.361 1.399 20 0 DDADMM CON1CCC(NC(=O)c2c[n-]c3nc(C)ccc3c2=O)CC1 ZINC000422139852 419853904 /nfs/dbraw/zinc/85/39/04/419853904.db2.gz STMBEIKMLMDWRE-UHFFFAOYSA-N -1 1 316.361 1.399 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)n2nc(C)cc2C)n1 ZINC000415643428 420134386 /nfs/dbraw/zinc/13/43/86/420134386.db2.gz XLGOYWBAYDRVEK-JTQLQIEISA-N -1 1 305.338 1.599 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCCc2cc(N)ccc21 ZINC000420410471 420268016 /nfs/dbraw/zinc/26/80/16/420268016.db2.gz AKOBFIIOPMSOGN-GFCCVEGCSA-N -1 1 322.390 1.334 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCCc2cc(N)ccc21 ZINC000420410471 420268018 /nfs/dbraw/zinc/26/80/18/420268018.db2.gz AKOBFIIOPMSOGN-GFCCVEGCSA-N -1 1 322.390 1.334 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2cc(C)ccc2C1 ZINC000416223230 420284686 /nfs/dbraw/zinc/28/46/86/420284686.db2.gz FDKGGXLMTTVPJL-UHFFFAOYSA-N -1 1 315.329 1.403 20 0 DDADMM COC(=O)c1cnc([C@H](C)N=c2[n-]c(C(N)=O)cs2)s1 ZINC000425273501 420336266 /nfs/dbraw/zinc/33/62/66/420336266.db2.gz OWKJUPXKKGFIKQ-YFKPBYRVSA-N -1 1 312.376 1.080 20 0 DDADMM Cn1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(C2CC2)n1 ZINC000425294974 420342008 /nfs/dbraw/zinc/34/20/08/420342008.db2.gz FDOMFHYJSTWKGI-SNVBAGLBSA-N -1 1 317.349 1.406 20 0 DDADMM O=C(c1c[nH]c(C2CC2)n1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425297765 420343577 /nfs/dbraw/zinc/34/35/77/420343577.db2.gz IOXSYKAXIWQVGA-SECBINFHSA-N -1 1 303.322 1.396 20 0 DDADMM O=C(N1CCC(c2n[n-]c(=O)o2)CC1)C1(C2CC2)CCOCC1 ZINC000425321497 420350448 /nfs/dbraw/zinc/35/04/48/420350448.db2.gz MNQQLAVYEUUCBY-UHFFFAOYSA-N -1 1 321.377 1.688 20 0 DDADMM CCCN(C(=O)c1cc(Cl)ccc1[O-])[C@H]1CC(=O)N(C)C1=O ZINC000436657229 420351821 /nfs/dbraw/zinc/35/18/21/420351821.db2.gz TZXRBBNFAOIOSJ-NSHDSACASA-N -1 1 324.764 1.655 20 0 DDADMM Cc1cccn2cc(CNC(=O)C(=O)c3ccc([O-])cc3)nc12 ZINC000436664317 420353383 /nfs/dbraw/zinc/35/33/83/420353383.db2.gz KXPSJNYLXZHHRA-UHFFFAOYSA-N -1 1 309.325 1.847 20 0 DDADMM O=C(C(=O)N1CCC(N2CCCC2=O)CC1)c1ccc([O-])cc1 ZINC000436687993 420354426 /nfs/dbraw/zinc/35/44/26/420354426.db2.gz MQQJRJJFUREHJU-UHFFFAOYSA-N -1 1 316.357 1.188 20 0 DDADMM O=C(Nc1ccc(OCCCO)cc1)C(=O)c1ccc([O-])cc1 ZINC000436698072 420354690 /nfs/dbraw/zinc/35/46/90/420354690.db2.gz NEDSUQAIJNERBW-UHFFFAOYSA-N -1 1 315.325 1.975 20 0 DDADMM CC(C)c1nc(S(=O)(=O)N[C@H]2CC[N@@H+](C)[C@@H](C)C2)cn1C ZINC000416408172 420361645 /nfs/dbraw/zinc/36/16/45/420361645.db2.gz OLLULWHHPGMEMJ-RYUDHWBXSA-N -1 1 314.455 1.305 20 0 DDADMM CC[C@H](NC(=O)c1coc(S(=O)(=O)[N-]C)c1)[C@@H]1CC1(C)C ZINC000416277045 420301960 /nfs/dbraw/zinc/30/19/60/420301960.db2.gz OCFZCSQKERKYOD-QWRGUYRKSA-N -1 1 314.407 1.742 20 0 DDADMM CC(=O)NCC[C@@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000436739064 420362650 /nfs/dbraw/zinc/36/26/50/420362650.db2.gz NHVRQJPHBRUBMJ-ZDUSSCGKSA-N -1 1 318.373 1.340 20 0 DDADMM C[C@]1(CNC(=O)C(=O)c2ccc([O-])cc2)CCO[C@H]1C1CC1 ZINC000436903757 420381241 /nfs/dbraw/zinc/38/12/41/420381241.db2.gz ODHDMZIDBJYFTK-DOTOQJQBSA-N -1 1 303.358 1.896 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCSCCCO)sc1C ZINC000443303510 230003159 /nfs/dbraw/zinc/00/31/59/230003159.db2.gz CPEVMLAMZVLTRS-UHFFFAOYSA-N -1 1 310.466 1.154 20 0 DDADMM CCO[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000416527737 420407369 /nfs/dbraw/zinc/40/73/69/420407369.db2.gz MVPXWBARUSUWJY-OCAPTIKFSA-N -1 1 309.309 1.950 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C2CCC(OC)CC2)o1 ZINC000416540903 420411902 /nfs/dbraw/zinc/41/19/02/420411902.db2.gz INENWQIFILJZMG-UHFFFAOYSA-N -1 1 317.363 1.302 20 0 DDADMM COCC[C@@]1([N-]S(=O)(=O)c2cccc(F)c2F)CCOC1 ZINC000445073868 230155235 /nfs/dbraw/zinc/15/52/35/230155235.db2.gz VLRBUGNBBADNHD-CYBMUJFWSA-N -1 1 321.345 1.439 20 0 DDADMM CC(C)[C@H]1C[C@@H](CN=c2[n-]cc(S(N)(=O)=O)s2)CCO1 ZINC000450741270 420519737 /nfs/dbraw/zinc/51/97/37/420519737.db2.gz WWRQNXQKODPIMQ-VHSXEESVSA-N -1 1 319.452 1.076 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2cnc(C)cc2C)o1 ZINC000456859711 420555388 /nfs/dbraw/zinc/55/53/88/420555388.db2.gz MXCIKCJSTFEMTJ-UHFFFAOYSA-N -1 1 323.374 1.130 20 0 DDADMM CC1(C)CCC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000456881620 420560636 /nfs/dbraw/zinc/56/06/36/420560636.db2.gz LTIDXGCWUQSJDP-SNVBAGLBSA-N -1 1 303.366 1.364 20 0 DDADMM CC(C)c1nnc(/C=C/C(=O)N=c2ccnc3n(C)[n-]cc2-3)o1 ZINC000492814580 420674682 /nfs/dbraw/zinc/67/46/82/420674682.db2.gz UTGALEDZCFGHHR-IDGAALNDSA-N -1 1 312.333 1.500 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)/C=C/c2cnn(C)c2C)c1 ZINC000492760726 420653319 /nfs/dbraw/zinc/65/33/19/420653319.db2.gz CYUAVJAUJQGDHW-FNORWQNLSA-N -1 1 315.329 1.873 20 0 DDADMM CC(C)CC1(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CC1 ZINC000442673688 420698772 /nfs/dbraw/zinc/69/87/72/420698772.db2.gz UBBCNKASWKOTIS-UHFFFAOYSA-N -1 1 309.439 1.426 20 0 DDADMM CO[C@H](C)CNC(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000442707689 420702002 /nfs/dbraw/zinc/70/20/02/420702002.db2.gz GZLHDMMPSIKIAD-SNVBAGLBSA-N -1 1 316.361 1.246 20 0 DDADMM CC[C@@H](O)CCC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000443244028 420750623 /nfs/dbraw/zinc/75/06/23/420750623.db2.gz DORRAIRWFDOROZ-MRVPVSSYSA-N -1 1 311.325 1.933 20 0 DDADMM COCCCN(CCO)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442804094 420719873 /nfs/dbraw/zinc/71/98/73/420719873.db2.gz YOHOCMJIXWMFFZ-UHFFFAOYSA-N -1 1 317.769 1.525 20 0 DDADMM CN(C)c1ccc(S(=O)(=O)[N-]c2ccc3n[nH]cc3c2)cn1 ZINC000448540339 420858675 /nfs/dbraw/zinc/85/86/75/420858675.db2.gz ZIUIKSFXDQUHPD-UHFFFAOYSA-N -1 1 317.374 1.825 20 0 DDADMM CCN(C)C(=O)OC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000495708446 421050605 /nfs/dbraw/zinc/05/06/05/421050605.db2.gz VPJSZDMIZLRGAP-GFCCVEGCSA-N -1 1 321.377 1.870 20 0 DDADMM COC[C@@]1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CCOC1 ZINC000449803305 421087517 /nfs/dbraw/zinc/08/75/17/421087517.db2.gz OBIIYUWDDAUEPF-INIZCTEOSA-N -1 1 317.345 1.774 20 0 DDADMM Cc1ccc(S(=O)(=O)N=c2cc(OC(F)F)n(C)[n-]2)s1 ZINC000488324485 421083611 /nfs/dbraw/zinc/08/36/11/421083611.db2.gz ATXPFOSYUOYCMV-UHFFFAOYSA-N -1 1 323.346 1.614 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C(C)(F)F)nc1)c1nn[n-]n1 ZINC000450121912 421144865 /nfs/dbraw/zinc/14/48/65/421144865.db2.gz LMVJHBWCBQSFBW-SECBINFHSA-N -1 1 310.308 1.978 20 0 DDADMM CCOC(=O)c1csc(=NC2CCN(C(=O)C3CC3)CC2)[n-]1 ZINC000450237574 421168111 /nfs/dbraw/zinc/16/81/11/421168111.db2.gz OBGSVMYTEPDJAM-UHFFFAOYSA-N -1 1 323.418 1.555 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccn(C2CCCC2)n1)c1nn[n-]n1 ZINC000544686802 421227094 /nfs/dbraw/zinc/22/70/94/421227094.db2.gz HHCMLJIFTBCLCJ-SNVBAGLBSA-N -1 1 303.370 1.387 20 0 DDADMM C[C@H](Oc1ccc(C(=O)Nc2nnn[n-]2)cn1)c1ccccc1 ZINC000522930884 421228828 /nfs/dbraw/zinc/22/88/28/421228828.db2.gz QXEXLGMZYRAEAV-JTQLQIEISA-N -1 1 310.317 1.987 20 0 DDADMM C[C@H](Oc1ccc(C(=O)Nc2nn[n-]n2)cn1)c1ccccc1 ZINC000522930884 421228831 /nfs/dbraw/zinc/22/88/31/421228831.db2.gz QXEXLGMZYRAEAV-JTQLQIEISA-N -1 1 310.317 1.987 20 0 DDADMM CCOC(=O)c1csc(=N[C@H](CCO)C2CCOCC2)[n-]1 ZINC000450442078 421198358 /nfs/dbraw/zinc/19/83/58/421198358.db2.gz WCWYMXAMIHMOIZ-LLVKDONJSA-N -1 1 314.407 1.331 20 0 DDADMM COc1cc(Cl)cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000560332162 421248409 /nfs/dbraw/zinc/24/84/09/421248409.db2.gz SQMIVGWCCXDXTM-SECBINFHSA-N -1 1 321.768 1.882 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)N=c1[n-]nc(C2CC2)s1 ZINC000560383772 421257112 /nfs/dbraw/zinc/25/71/12/421257112.db2.gz JJORSPWWHDLUNH-LLVKDONJSA-N -1 1 309.439 1.785 20 0 DDADMM C[C@H]1CCC[C@@H](OCC(=O)NC2(c3nn[n-]n3)CCCC2)C1 ZINC000524577675 421261896 /nfs/dbraw/zinc/26/18/96/421261896.db2.gz SWUJHZHFPJTTPO-NWDGAFQWSA-N -1 1 307.398 1.681 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cccc2cccnc21 ZINC000524576430 421262581 /nfs/dbraw/zinc/26/25/81/421262581.db2.gz MNVBOJAQWSZHNI-UHFFFAOYSA-N -1 1 308.345 1.947 20 0 DDADMM Cc1ccncc1N1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000547422327 421330347 /nfs/dbraw/zinc/33/03/47/421330347.db2.gz FZXPRHNERNVAFA-UHFFFAOYSA-N -1 1 322.372 1.624 20 0 DDADMM COc1ccc(CSCC(=O)Nc2nnn[n-]2)cc1Cl ZINC000562290943 421348194 /nfs/dbraw/zinc/34/81/94/421348194.db2.gz OLDMXCFTTQHWPQ-UHFFFAOYSA-N -1 1 313.770 1.734 20 0 DDADMM COc1ccc(CSCC(=O)Nc2nn[n-]n2)cc1Cl ZINC000562290943 421348198 /nfs/dbraw/zinc/34/81/98/421348198.db2.gz OLDMXCFTTQHWPQ-UHFFFAOYSA-N -1 1 313.770 1.734 20 0 DDADMM Cc1cc(C)c2c(c1)CN(C(=O)c1cc(=O)n3[n-]cnc3n1)CC2 ZINC000548253142 421415485 /nfs/dbraw/zinc/41/54/85/421415485.db2.gz BIZLUYIKVNULBS-UHFFFAOYSA-N -1 1 323.356 1.233 20 0 DDADMM COc1cc(C(=O)N[C@H]2CCn3ccnc3C2)cc(Cl)c1[O-] ZINC000527485566 421390320 /nfs/dbraw/zinc/39/03/20/421390320.db2.gz VFDZQCDPHBCPFF-JTQLQIEISA-N -1 1 321.764 1.996 20 0 DDADMM Cc1noc2ncc([N-]S(=O)(=O)CCOCC3CC3)cc12 ZINC000514787812 421454219 /nfs/dbraw/zinc/45/42/19/421454219.db2.gz ZADTWRDIGBACRG-UHFFFAOYSA-N -1 1 311.363 1.700 20 0 DDADMM CN(C)C(=O)c1ccc(=NC[C@@H]2CCc3ccccc3N2)[n-]n1 ZINC000516011717 421523634 /nfs/dbraw/zinc/52/36/34/421523634.db2.gz ZMZHRISVGVPEDN-ZDUSSCGKSA-N -1 1 311.389 1.439 20 0 DDADMM Cc1ccc2c([n-]cc(C(=O)NCCC(C)(C)C(=O)[O-])c2=O)[nH+]1 ZINC000563133328 421460595 /nfs/dbraw/zinc/46/05/95/421460595.db2.gz ZRUYTGHEUUCHDM-UHFFFAOYSA-N -1 1 317.345 1.875 20 0 DDADMM O=S(=O)(N=c1cc2ccccn2[n-]1)c1cccc(F)c1F ZINC000552036319 421569625 /nfs/dbraw/zinc/56/96/25/421569625.db2.gz QYDZNPIHYDPFQN-UHFFFAOYSA-N -1 1 309.297 1.835 20 0 DDADMM O=C([O-])[C@@]1(c2ccccc2)CCN(Cc2nnnn2C2CC2)C1 ZINC000564093576 421569978 /nfs/dbraw/zinc/56/99/78/421569978.db2.gz SSPGBXCDIWBURE-INIZCTEOSA-N -1 1 313.361 1.236 20 0 DDADMM CCC(O)(CC)C(C)(C)C[N-]S(=O)(=O)c1c(C)onc1N ZINC000564585814 421592483 /nfs/dbraw/zinc/59/24/83/421592483.db2.gz MXNQVAJQQBGBKT-UHFFFAOYSA-N -1 1 319.427 1.421 20 0 DDADMM Cc1ccccc1CN1CCN(c2ncncc2C(=O)[O-])CC1 ZINC000571213326 421695924 /nfs/dbraw/zinc/69/59/24/421695924.db2.gz BRSBPHKSBPBZRW-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@]1(Cc2cccc(Cl)c2)CCOC1 ZINC000571279858 421702610 /nfs/dbraw/zinc/70/26/10/421702610.db2.gz BNVUNBORKIEIKZ-CYBMUJFWSA-N -1 1 307.741 1.441 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@]1(Cc2cccc(Cl)c2)CCOC1 ZINC000571279858 421702611 /nfs/dbraw/zinc/70/26/11/421702611.db2.gz BNVUNBORKIEIKZ-CYBMUJFWSA-N -1 1 307.741 1.441 20 0 DDADMM Cc1ccc(F)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1F ZINC000537124142 421727173 /nfs/dbraw/zinc/72/71/73/421727173.db2.gz BPHMBQAXJRMVDE-SECBINFHSA-N -1 1 307.304 1.806 20 0 DDADMM Cc1noc(C(C)C)c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000537123633 421727243 /nfs/dbraw/zinc/72/72/43/421727243.db2.gz ARLZLCPHPAFQSY-JTQLQIEISA-N -1 1 304.354 1.639 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)nn1C(F)F ZINC000537122500 421727282 /nfs/dbraw/zinc/72/72/82/421727282.db2.gz ZTDAAOZDBHTFAF-MRVPVSSYSA-N -1 1 311.296 1.120 20 0 DDADMM C[C@H]1C[C@H](c2ccc(F)cc2)CN1Cc1cn(CC(=O)[O-])nn1 ZINC000571053429 421684660 /nfs/dbraw/zinc/68/46/60/421684660.db2.gz KOHWDVMXXWMUSE-AAEUAGOBSA-N -1 1 318.352 1.880 20 0 DDADMM O=C(CN1CC[C@H](c2cccc(Cl)c2)C1)Nc1nnn[n-]1 ZINC000571740607 421739453 /nfs/dbraw/zinc/73/94/53/421739453.db2.gz AZIOPFDRFUPFMO-JTQLQIEISA-N -1 1 306.757 1.281 20 0 DDADMM O=C(CN1CC[C@H](c2cccc(Cl)c2)C1)Nc1nn[n-]n1 ZINC000571740607 421739456 /nfs/dbraw/zinc/73/94/56/421739456.db2.gz AZIOPFDRFUPFMO-JTQLQIEISA-N -1 1 306.757 1.281 20 0 DDADMM O=C(c1ccc2nccnc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538224701 421738001 /nfs/dbraw/zinc/73/80/01/421738001.db2.gz FEFDWWZJDVBEEK-NSHDSACASA-N -1 1 309.333 1.163 20 0 DDADMM CCC1(CC)[C@H](NS(=O)(=O)c2c(C)o[n-]c2=N)C[C@H]1OC ZINC000520291044 421744825 /nfs/dbraw/zinc/74/48/25/421744825.db2.gz NMZNJCKJTHYPEJ-NXEZZACHSA-N -1 1 317.411 1.268 20 0 DDADMM CCC1(CC)[C@H]([N-]S(=O)(=O)c2c(C)onc2N)C[C@H]1OC ZINC000520291044 421744830 /nfs/dbraw/zinc/74/48/30/421744830.db2.gz NMZNJCKJTHYPEJ-NXEZZACHSA-N -1 1 317.411 1.268 20 0 DDADMM Cc1ccc(C[C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)s1 ZINC000541843275 421807715 /nfs/dbraw/zinc/80/77/15/421807715.db2.gz DWPMGWYPEIEWEO-QMMMGPOBSA-N -1 1 317.374 1.149 20 0 DDADMM CC(C)[C@@H]1C[C@@H](CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCO1 ZINC000541957836 421815634 /nfs/dbraw/zinc/81/56/34/421815634.db2.gz OQQMYZNWOFVNCM-MJBXVCDLSA-N -1 1 321.425 1.747 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)C1=Cc2ccccc2OC1 ZINC000521312142 421780792 /nfs/dbraw/zinc/78/07/92/421780792.db2.gz QRXIEAJJPVJYED-UHFFFAOYSA-N -1 1 311.345 1.561 20 0 DDADMM Cc1noc(CC[N-]S(=O)(=O)c2ccc(C(F)F)o2)n1 ZINC000631839919 421871516 /nfs/dbraw/zinc/87/15/16/421871516.db2.gz MJUMNMGQXXFVMG-UHFFFAOYSA-N -1 1 307.278 1.430 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)N=c1ccc(C(F)(F)F)n[n-]1 ZINC000580994856 421878729 /nfs/dbraw/zinc/87/87/29/421878729.db2.gz KGMZFWXSQYDPSP-VIFPVBQESA-N -1 1 317.315 1.475 20 0 DDADMM O=C([O-])[C@H]1CCCN1c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000572973647 421890547 /nfs/dbraw/zinc/89/05/47/421890547.db2.gz RKLXIJKPUWBJGW-RXMQYKEDSA-N -1 1 301.228 1.425 20 0 DDADMM O=C(CCc1nn[n-]n1)N1C[C@H](c2ccccc2)C2(CCC2)C1 ZINC000633425468 421894564 /nfs/dbraw/zinc/89/45/64/421894564.db2.gz SYIWKHZJNIISKA-CQSZACIVSA-N -1 1 311.389 1.929 20 0 DDADMM COCc1cc(CNCc2cc(C(=O)[O-])nn2C)ccc1F ZINC000635308684 421898143 /nfs/dbraw/zinc/89/81/43/421898143.db2.gz JHZNAGOQFLOKKM-UHFFFAOYSA-N -1 1 307.325 1.694 20 0 DDADMM Cn1[n-]c(CN2CCn3cccc3[C@H]2c2ccccc2)nc1=O ZINC000543706943 421840025 /nfs/dbraw/zinc/84/00/25/421840025.db2.gz BSJOZQGLIQEBAX-MRXNPFEDSA-N -1 1 309.373 1.515 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@]23CCC[C@H]2OCC3)c1Br ZINC000633346665 421854500 /nfs/dbraw/zinc/85/45/00/421854500.db2.gz GPNCYHROLJONBF-PELKAZGASA-N -1 1 314.183 1.800 20 0 DDADMM O=C([O-])CCN(Cc1cn2ccsc2n1)C[C@@H]1CCCO1 ZINC000580897319 421858459 /nfs/dbraw/zinc/85/84/59/421858459.db2.gz KXMOPCZJABRXQA-LBPRGKRZSA-N -1 1 309.391 1.852 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@@H]1c1ccc(F)cc1F ZINC000633358666 421861922 /nfs/dbraw/zinc/86/19/22/421861922.db2.gz JLZPEXLXBPENCX-GFCCVEGCSA-N -1 1 307.304 1.774 20 0 DDADMM COc1ccc(F)cc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630222181 421972918 /nfs/dbraw/zinc/97/29/18/421972918.db2.gz DZGMNOHBAUZXDL-LLVKDONJSA-N -1 1 324.352 1.455 20 0 DDADMM O=c1ccccn1CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631958979 421973463 /nfs/dbraw/zinc/97/34/63/421973463.db2.gz IQGAVOOXVVZHLF-UHFFFAOYSA-N -1 1 318.301 1.357 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NC[C@@](C)(C(=O)[O-])c1ccccc1 ZINC000630169128 421932784 /nfs/dbraw/zinc/93/27/84/421932784.db2.gz FNBJVQGVXIUGRQ-SJKOYZFVSA-N -1 1 315.373 1.747 20 0 DDADMM C[C@H](C(=O)NC1(c2nn[n-]n2)CC1)[C@H]1OCCc2sccc21 ZINC000573228400 421934814 /nfs/dbraw/zinc/93/48/14/421934814.db2.gz QDYCGRPBAFOLAE-GZMMTYOYSA-N -1 1 319.390 1.317 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CC[C@](C(=O)[O-])(C(F)(F)F)C1 ZINC000630185612 421945839 /nfs/dbraw/zinc/94/58/39/421945839.db2.gz DRTQWDFXDNPHEM-UFBFGSQYSA-N -1 1 319.283 1.454 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@@H]1CCC[C@@H](F)C1 ZINC000573364673 421957615 /nfs/dbraw/zinc/95/76/15/421957615.db2.gz HONINIFBDWLELP-HTQZYQBOSA-N -1 1 322.383 1.489 20 0 DDADMM O=C(N=c1nc2n([n-]1)CCCC2)c1ccc(-c2nnc[nH]2)cc1 ZINC000581413806 421965717 /nfs/dbraw/zinc/96/57/17/421965717.db2.gz MUPORLREBKGQMS-UHFFFAOYSA-N -1 1 309.333 1.074 20 0 DDADMM CCOc1cccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000630221414 421970992 /nfs/dbraw/zinc/97/09/92/421970992.db2.gz AAFRGYVVHDODNR-ZDUSSCGKSA-N -1 1 320.389 1.706 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CCc2occc2[C@H]1C(=O)[O-] ZINC000630236013 421982689 /nfs/dbraw/zinc/98/26/89/421982689.db2.gz CHMALWIIFGYVGH-ZANVPECISA-N -1 1 303.318 1.392 20 0 DDADMM CCCN(CCc1ccccn1)C(=O)CCCc1nn[n-]n1 ZINC000635452440 421999028 /nfs/dbraw/zinc/99/90/28/421999028.db2.gz DJHWRJSPRCAICM-UHFFFAOYSA-N -1 1 302.382 1.399 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCOC1(C)C ZINC000581589780 422007919 /nfs/dbraw/zinc/00/79/19/422007919.db2.gz HTMGUGKTLQSHBX-GFCCVEGCSA-N -1 1 318.377 1.278 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)[C@@H]1CCCC[C@@H]1OC)c1ccco1 ZINC000632060623 422061358 /nfs/dbraw/zinc/06/13/58/422061358.db2.gz QZZYAGVFWWBACJ-FPMFFAJLSA-N -1 1 317.407 1.844 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@]2(C)CCCC[C@H]2O)sn1 ZINC000632068005 422065327 /nfs/dbraw/zinc/06/53/27/422065327.db2.gz XWVJMDWSEKCRJE-PWSUYJOCSA-N -1 1 304.437 1.671 20 0 DDADMM COCc1ncc2c(n1)CCN(C(=O)c1ncc(C)cc1[O-])C2 ZINC000633735508 422071945 /nfs/dbraw/zinc/07/19/45/422071945.db2.gz BMVQQJNRFLMQHG-UHFFFAOYSA-N -1 1 314.345 1.231 20 0 DDADMM O=S(=O)(Cc1cccc2c1CCOC2)c1n[n-]c(C2CC2)n1 ZINC000630414243 422087817 /nfs/dbraw/zinc/08/78/17/422087817.db2.gz ROQQKMWJHNFFOK-UHFFFAOYSA-N -1 1 319.386 1.729 20 0 DDADMM CN(C)c1c(CN[C@@H](C(=O)[O-])c2cnn(C)c2)ccc(F)c1F ZINC000630458312 422115466 /nfs/dbraw/zinc/11/54/66/422115466.db2.gz WITHMNHDIYQATC-CYBMUJFWSA-N -1 1 324.331 1.680 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@H](c2cccc(F)c2)C1 ZINC000635506886 422055251 /nfs/dbraw/zinc/05/52/51/422055251.db2.gz WVTYLWRPXBVZFY-LBPRGKRZSA-N -1 1 303.341 1.678 20 0 DDADMM Cc1ccc(C[C@@H](C)N(C)C(=O)CCCc2nn[n-]n2)cc1 ZINC000635587577 422138380 /nfs/dbraw/zinc/13/83/80/422138380.db2.gz CJPXCNQMMLHXOT-CYBMUJFWSA-N -1 1 301.394 1.920 20 0 DDADMM Cc1nc2ccc(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)cc2s1 ZINC000574588387 422139586 /nfs/dbraw/zinc/13/95/86/422139586.db2.gz OQANPOJXXLJUDV-MRVPVSSYSA-N -1 1 316.390 1.994 20 0 DDADMM CC(F)(F)CCS(=O)(=O)[N-]Cc1nc(C(F)(F)F)no1 ZINC000632198527 422155174 /nfs/dbraw/zinc/15/51/74/422155174.db2.gz GREFHBDDONLPNS-UHFFFAOYSA-N -1 1 323.243 1.553 20 0 DDADMM Cc1csc2c1[nH]cnc2=NC[C@]1(C(=O)[O-])CCCOC1 ZINC000630463435 422119302 /nfs/dbraw/zinc/11/93/02/422119302.db2.gz MLOJILANWDWKTR-CQSZACIVSA-N -1 1 307.375 1.715 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2Cc3cccc(O)c3C2)sn1 ZINC000632276811 422216123 /nfs/dbraw/zinc/21/61/23/422216123.db2.gz XASLORWSVGYNFL-SNVBAGLBSA-N -1 1 310.400 1.603 20 0 DDADMM CC(=O)NCc1ccc(S(=O)(=O)[N-]CC(C)(F)F)s1 ZINC000575075512 422250999 /nfs/dbraw/zinc/25/09/99/422250999.db2.gz JODNZYMRCUUEHQ-UHFFFAOYSA-N -1 1 312.363 1.318 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2noc3c2CCCC3)sn1 ZINC000632340080 422259672 /nfs/dbraw/zinc/25/96/72/422259672.db2.gz PZAXZHBWEQISTN-UHFFFAOYSA-N -1 1 313.404 1.797 20 0 DDADMM CO[C@@H](c1ccccc1F)[C@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635771080 422329934 /nfs/dbraw/zinc/32/99/34/422329934.db2.gz SFBAQTFNTXUQBP-ZUZCIYMTSA-N -1 1 321.356 1.554 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H]3COC[C@@]3(C)C2)c1 ZINC000632560277 422438150 /nfs/dbraw/zinc/43/81/50/422438150.db2.gz SWBBKPQMUHWLLL-IINYFYTJSA-N -1 1 313.375 1.058 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](C)[C@@](C)(CO)C2)c1 ZINC000632572068 422445918 /nfs/dbraw/zinc/44/59/18/422445918.db2.gz YVOSYPXNJLUCOR-IINYFYTJSA-N -1 1 315.391 1.040 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)CC2=CCSC2)c1 ZINC000632575043 422447892 /nfs/dbraw/zinc/44/78/92/422447892.db2.gz ZWOBDOPOYWXEQR-UHFFFAOYSA-N -1 1 315.416 1.695 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CC23CC3)c(C(F)(F)F)n1 ZINC000577604192 422387527 /nfs/dbraw/zinc/38/75/27/422387527.db2.gz BCZBRDSEWPWVDK-SSDOTTSWSA-N -1 1 309.313 1.517 20 0 DDADMM CCC[C@H](C)[C@H](CO)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632508837 422398743 /nfs/dbraw/zinc/39/87/43/422398743.db2.gz PCSVFWWVIYZZRM-JQWIXIFHSA-N -1 1 317.407 1.476 20 0 DDADMM CCc1c(=O)n(-c2nccn(C)c2=O)[n-]c1-c1cccc(C)c1 ZINC000634613663 422505683 /nfs/dbraw/zinc/50/56/83/422505683.db2.gz FDXZYPDKBMFFML-CYBMUJFWSA-N -1 1 310.357 1.866 20 0 DDADMM Cc1[n-]n(-c2ccccc2S(=O)(=O)N(C)C)c(=O)c1C1CC1 ZINC000634613634 422506411 /nfs/dbraw/zinc/50/64/11/422506411.db2.gz CZVFVGXQWJNJIY-AWEZNQCLSA-N -1 1 321.402 1.686 20 0 DDADMM CO[C@H]1C[C@@H](C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)C1 ZINC000632601869 422465636 /nfs/dbraw/zinc/46/56/36/422465636.db2.gz RLNPSZMFKYKXRX-KNVOCYPGSA-N -1 1 313.301 1.132 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCc2cccc(OC)c21)c1nn[n-]n1 ZINC000577969207 422466677 /nfs/dbraw/zinc/46/66/77/422466677.db2.gz ZNFVPMNZTZIBBF-NWDGAFQWSA-N -1 1 315.377 1.896 20 0 DDADMM C[C@@H](CN1Cc2ccccc2C[C@H]1C(=O)[O-])CS(C)(=O)=O ZINC000578329841 422548652 /nfs/dbraw/zinc/54/86/52/422548652.db2.gz PKHQHWUGUPMREO-FZMZJTMJSA-N -1 1 311.403 1.179 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-]c1cc(C2CCCCC2)n[nH]1 ZINC000629349229 422625140 /nfs/dbraw/zinc/62/51/40/422625140.db2.gz FYDUNYWLOSZIIL-UHFFFAOYSA-N -1 1 310.383 1.387 20 0 DDADMM CCN(CCCN1C[C@@H](C)O[C@H](C(=O)[O-])C1)CC(F)(F)F ZINC000629370807 422635908 /nfs/dbraw/zinc/63/59/08/422635908.db2.gz ITBIVRUIRVMXRO-MNOVXSKESA-N -1 1 312.332 1.435 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)CC2(OC)CCC2)cc1 ZINC000634785676 422646608 /nfs/dbraw/zinc/64/66/08/422646608.db2.gz VHZVZKNXPPJACC-UHFFFAOYSA-N -1 1 312.391 1.357 20 0 DDADMM CCc1ccc(CNC(=O)CN2CC[C@@H](C(=O)[O-])[C@@H](C)C2)s1 ZINC000629495771 422700080 /nfs/dbraw/zinc/70/00/80/422700080.db2.gz LISVTUMKCFQKEQ-SMDDNHRTSA-N -1 1 324.446 1.969 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccccc1)c1ccsc1 ZINC000184252840 263386586 /nfs/dbraw/zinc/38/65/86/263386586.db2.gz XESSTDRQEKCMFP-GFCCVEGCSA-N -1 1 311.384 1.941 20 0 DDADMM COc1cc(C(=O)[N-]c2nc3c(s2)C(=O)NC(C)(C)C3)on1 ZINC000650892801 423305549 /nfs/dbraw/zinc/30/55/49/423305549.db2.gz ZHOOJISROWSCPA-UHFFFAOYSA-N -1 1 322.346 1.457 20 0 DDADMM CC1(C(=O)[O-])CCN(C(=O)NCc2ccc3cncn3c2)CC1 ZINC000646161571 423372219 /nfs/dbraw/zinc/37/22/19/423372219.db2.gz LFLGGYDICVFHSN-UHFFFAOYSA-N -1 1 316.361 1.731 20 0 DDADMM CCc1nn(C)c(CC)c1S(=O)(=O)[N-][C@H](C)C(F)(F)F ZINC000643834602 423374748 /nfs/dbraw/zinc/37/47/48/423374748.db2.gz FVWLAIQMGDZTHG-SSDOTTSWSA-N -1 1 313.345 1.774 20 0 DDADMM Cc1ccc(OCC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)cc1 ZINC000643909417 423401932 /nfs/dbraw/zinc/40/19/32/423401932.db2.gz XFOSXKSAFHKWNA-UHFFFAOYSA-N -1 1 313.317 1.052 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)Cc3noc4ccccc34)nc2n1 ZINC000643909640 423402753 /nfs/dbraw/zinc/40/27/53/423402753.db2.gz WXPCXIYXSKGEOH-UHFFFAOYSA-N -1 1 324.300 1.048 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)[C@@H]2CC[C@H](C(=O)[O-])C2)C[C@@H](C)O1 ZINC000653307468 423433085 /nfs/dbraw/zinc/43/30/85/423433085.db2.gz NVRUCYNZUMWQKJ-SYQHCUMBSA-N -1 1 312.410 1.103 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)NCCCCN1CCOCC1 ZINC000653308111 423433938 /nfs/dbraw/zinc/43/39/38/423433938.db2.gz BBAXSMHFNPORCN-UHFFFAOYSA-N -1 1 300.399 1.106 20 0 DDADMM CCC(C)(C)c1nnc(N2CCN(c3nc(=N)[n-]s3)CC2)o1 ZINC000641424519 423627503 /nfs/dbraw/zinc/62/75/03/423627503.db2.gz CWFBIVAIDNXTRZ-UHFFFAOYSA-N -1 1 323.426 1.348 20 0 DDADMM C[C@@H]1CC2(CN1C(=O)C(=O)c1ccc([O-])cc1)CCOCC2 ZINC000649125323 423641516 /nfs/dbraw/zinc/64/15/16/423641516.db2.gz ALAINAMOERTTPP-GFCCVEGCSA-N -1 1 303.358 1.993 20 0 DDADMM Cn1nccc1[C@@H]1C[C@H](NC(=O)c2cc(F)ccc2[O-])CCO1 ZINC000654049152 423682605 /nfs/dbraw/zinc/68/26/05/423682605.db2.gz QPIIDJBTMUKJDU-ABAIWWIYSA-N -1 1 319.336 1.915 20 0 DDADMM CC(C)N(C(=O)[C@H](C)N1CCC[C@@H](c2n[n-]c(=N)o2)C1)C(C)C ZINC000639826953 423762225 /nfs/dbraw/zinc/76/22/25/423762225.db2.gz WMSLEGQXZPXFBW-QWHCGFSZSA-N -1 1 323.441 1.695 20 0 DDADMM Cc1c(S(=O)(=O)[N-]c2cccc(CO)c2Cl)cnn1C ZINC000641690251 423870033 /nfs/dbraw/zinc/87/00/33/423870033.db2.gz KQUBXGCKJRSYTF-UHFFFAOYSA-N -1 1 315.782 1.675 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1cccc2[nH]nnc21)C1CC1 ZINC000641687503 423860743 /nfs/dbraw/zinc/86/07/43/423860743.db2.gz CVNCTXNQUBYREV-LBPRGKRZSA-N -1 1 310.379 1.515 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@H](C)C(F)F)C1CCOCC1 ZINC000641704018 423890131 /nfs/dbraw/zinc/89/01/31/423890131.db2.gz BHEOOVLQKKRWBR-PSASIEDQSA-N -1 1 301.355 1.001 20 0 DDADMM CCC[C@H]1CN(C(=O)C(C)(C)C(=O)[O-])CCN1CCOC ZINC000649421484 423894051 /nfs/dbraw/zinc/89/40/51/423894051.db2.gz BQVJEXNEZAMQMA-LBPRGKRZSA-N -1 1 300.399 1.057 20 0 DDADMM CC1(C)C[C@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CO1 ZINC000657037863 424023447 /nfs/dbraw/zinc/02/34/47/424023447.db2.gz LVLTXUYWPQAULL-QMMMGPOBSA-N -1 1 324.324 1.946 20 0 DDADMM C[C@H](CN1CCN(C)CC1)Nc1ncc(C(=O)[O-])cc1Cl ZINC000647449479 424030327 /nfs/dbraw/zinc/03/03/27/424030327.db2.gz GHUVKYYMFYVPNG-SNVBAGLBSA-N -1 1 312.801 1.481 20 0 DDADMM COc1ccc([C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cc1F ZINC000661659004 424049033 /nfs/dbraw/zinc/04/90/33/424049033.db2.gz NWIIPBCIPWNREM-GFCCVEGCSA-N -1 1 306.341 1.593 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1ccc(C(=O)[O-])nc1)c1ccsc1 ZINC000642051050 424102045 /nfs/dbraw/zinc/10/20/45/424102045.db2.gz GNYXTDKHAJNRGT-ZDUSSCGKSA-N -1 1 319.386 1.874 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)NCC2(C(=O)[O-])CCC2)cn1 ZINC000659784829 424253984 /nfs/dbraw/zinc/25/39/84/424253984.db2.gz KXPPERJHPORXNG-UHFFFAOYSA-N -1 1 317.349 1.278 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1nc(-c3ccsc3)no1)C2 ZINC000662220432 424489204 /nfs/dbraw/zinc/48/92/04/424489204.db2.gz IYNWGPQTALLYAT-QMTHXVAHSA-N -1 1 321.358 1.331 20 0 DDADMM Cc1ccccc1C(F)(F)C[N-]S(=O)(=O)c1cn(C)cn1 ZINC000660055185 424491138 /nfs/dbraw/zinc/49/11/38/424491138.db2.gz CSLJJLHAGFVAFA-UHFFFAOYSA-N -1 1 315.345 1.799 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC(c3ccccc3)CC2)C1=O ZINC000662201057 424465982 /nfs/dbraw/zinc/46/59/82/424465982.db2.gz FUNGOZHYYJERJN-OAHLLOKOSA-N -1 1 302.374 1.552 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2c(F)cc(OC)cc2F)CC1 ZINC000655639199 424609895 /nfs/dbraw/zinc/60/98/95/424609895.db2.gz BDVSLYDSSVJWFC-UHFFFAOYSA-N -1 1 321.345 1.678 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCc2cc(N)ccc21 ZINC000658393766 424666181 /nfs/dbraw/zinc/66/61/81/424666181.db2.gz VLJOBLFRCPSUAM-NSHDSACASA-N -1 1 308.363 1.113 20 0 DDADMM CCO[C@@H](CC)c1noc(-c2coc(S(=O)(=O)[N-]C)c2)n1 ZINC000665040373 424734974 /nfs/dbraw/zinc/73/49/74/424734974.db2.gz QNDIUWXMEXFQMO-VIFPVBQESA-N -1 1 315.351 1.725 20 0 DDADMM COC(=O)[C@@H](CC(F)F)[N-]S(=O)(=O)Cc1cccc(C)c1 ZINC000341710881 271181521 /nfs/dbraw/zinc/18/15/21/271181521.db2.gz JIFUEGDOSXHJHC-LLVKDONJSA-N -1 1 321.345 1.611 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](CO)[C@H]1CCCO1 ZINC000344940926 272141868 /nfs/dbraw/zinc/14/18/68/272141868.db2.gz NNPLRGMEGKEVQN-GHMZBOCLSA-N -1 1 323.393 1.058 20 0 DDADMM COC(=O)Cc1nc([N-]C(=O)c2cc(-c3ccco3)on2)n[nH]1 ZINC000345560776 272279351 /nfs/dbraw/zinc/27/93/51/272279351.db2.gz SAGXDTYNKCBDHQ-UHFFFAOYSA-N -1 1 317.261 1.021 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)[C@@H](C)C1 ZINC000442899722 277291691 /nfs/dbraw/zinc/29/16/91/277291691.db2.gz QLSIPSTTZJIHHH-VHSXEESVSA-N -1 1 304.350 1.313 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)C(=O)OC ZINC000354699195 306783969 /nfs/dbraw/zinc/78/39/69/306783969.db2.gz BCFCFSXTFQJBKP-JTQLQIEISA-N -1 1 320.436 1.770 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3ncc(C)cc3[O-])[C@H]2C1 ZINC000495179707 278070020 /nfs/dbraw/zinc/07/00/20/278070020.db2.gz FRGHKLXFGZGMRN-MELADBBJSA-N -1 1 317.389 1.472 20 0 DDADMM O=C(N[C@H]1CS(=O)(=O)c2ccccc21)c1cc(F)ccc1[O-] ZINC000175242221 279997984 /nfs/dbraw/zinc/99/79/84/279997984.db2.gz MJMYBALLRIDULH-LBPRGKRZSA-N -1 1 321.329 1.790 20 0 DDADMM O=C(N[C@@H]1CS(=O)(=O)c2ccccc21)c1c([O-])cccc1F ZINC000130499373 281383364 /nfs/dbraw/zinc/38/33/64/281383364.db2.gz QJKFJLBAWCVHHL-LLVKDONJSA-N -1 1 321.329 1.790 20 0 DDADMM COC[C@@H](O)CCNC(=O)c1ccc(Br)c([O-])c1 ZINC000130896385 281397686 /nfs/dbraw/zinc/39/76/86/281397686.db2.gz BBJYDDTYPWQRIM-VIFPVBQESA-N -1 1 318.167 1.282 20 0 DDADMM CC[C@]1(C)C[C@@H]1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000135564487 281597707 /nfs/dbraw/zinc/59/77/07/281597707.db2.gz UWTCSZWNIPHGOE-MLGOLLRUSA-N -1 1 302.378 1.692 20 0 DDADMM COC[C@](C)([N-]S(=O)(=O)CC1CCCCC1)C(=O)OC ZINC000340889452 293258414 /nfs/dbraw/zinc/25/84/14/293258414.db2.gz TUNDPDXZDHYLTG-ZDUSSCGKSA-N -1 1 307.412 1.064 20 0 DDADMM COCc1nc(=N[C@@H]2CCOC3(CCSCC3)C2)s[n-]1 ZINC000333207728 293327426 /nfs/dbraw/zinc/32/74/26/293327426.db2.gz CDPNSLDIMXZWTE-SNVBAGLBSA-N -1 1 315.464 1.963 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3CCC[C@H]4OCC[C@H]43)ccnc1-2 ZINC000279411457 294131998 /nfs/dbraw/zinc/13/19/98/294131998.db2.gz SJYFDKSGMNERDJ-GLFDHUFISA-N -1 1 315.377 1.421 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)N1CCC[C@H](c2ncc(C(F)(F)F)[nH]2)C1 ZINC000263562574 298199090 /nfs/dbraw/zinc/19/90/90/298199090.db2.gz SUPJVRWKEFLGMX-YUMQZZPRSA-N -1 1 319.283 1.855 20 0 DDADMM Cc1n[n-]c(=NC(=O)NCc2nc3ccc(C)cc3[nH]2)s1 ZINC000362569317 300099059 /nfs/dbraw/zinc/09/90/59/300099059.db2.gz XEZBEKCOANCPQF-UHFFFAOYSA-N -1 1 302.363 1.775 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)N=c2[n-]nc(C(F)F)s2)CCN1C ZINC000362728022 300125054 /nfs/dbraw/zinc/12/50/54/300125054.db2.gz KFVAGOLRLBBZNP-QMMMGPOBSA-N -1 1 319.381 1.702 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H](O)Cc1ccccc1)c1nn[n-]n1 ZINC000362950095 300186755 /nfs/dbraw/zinc/18/67/55/300186755.db2.gz XUHFAVGNHAKSQR-CHWSQXEVSA-N -1 1 303.366 1.151 20 0 DDADMM COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)c1cc(Cl)ccc1[O-] ZINC000368726209 301081885 /nfs/dbraw/zinc/08/18/85/301081885.db2.gz ZQGYOHKZAZNORK-RYUDHWBXSA-N -1 1 313.737 1.184 20 0 DDADMM O=C(CCCC1CCCCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370874598 301399048 /nfs/dbraw/zinc/39/90/48/301399048.db2.gz ZHFQYAXFMSRVBI-CYBMUJFWSA-N -1 1 307.398 1.850 20 0 DDADMM Cn1nc(-c2ccccc2)nc1CCNC(=O)c1ncccc1[O-] ZINC000373128304 301663810 /nfs/dbraw/zinc/66/38/10/301663810.db2.gz VMFZRVYUNHOCOJ-UHFFFAOYSA-N -1 1 323.356 1.555 20 0 DDADMM CN(C)c1noc(C2CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000375652211 301973818 /nfs/dbraw/zinc/97/38/18/301973818.db2.gz AZLFPNKMKIZKSG-UHFFFAOYSA-N -1 1 317.349 1.256 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2cc(F)c(F)c(F)c2)[n-]n1 ZINC000355575933 306811397 /nfs/dbraw/zinc/81/13/97/306811397.db2.gz NYKUXFZVRBQEIP-UHFFFAOYSA-N -1 1 324.306 1.720 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@H]2CCC[C@@H](C1)C2O ZINC000377969925 302259451 /nfs/dbraw/zinc/25/94/51/302259451.db2.gz VARNUHKOPOSFOX-DHHPTOIESA-N -1 1 323.418 1.446 20 0 DDADMM O=S(=O)([N-]c1ccn(-c2ccncc2)n1)c1cccnc1 ZINC000437186119 302388618 /nfs/dbraw/zinc/38/86/18/302388618.db2.gz BQDZKEWBQYWXSY-UHFFFAOYSA-N -1 1 301.331 1.463 20 0 DDADMM O=C(NC[C@H](O)[C@H]1CCOC1)c1ccc2ccccc2c1[O-] ZINC000524244448 302903749 /nfs/dbraw/zinc/90/37/49/302903749.db2.gz AXAOYHXTQLKWCK-WFASDCNBSA-N -1 1 301.342 1.673 20 0 DDADMM CCC[C@@H](OCC)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000528951894 303074809 /nfs/dbraw/zinc/07/48/09/303074809.db2.gz VZKHCXKOUAKAOB-GFCCVEGCSA-N -1 1 316.379 1.183 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)c1Br ZINC000529466104 303130826 /nfs/dbraw/zinc/13/08/26/303130826.db2.gz DTGNHCNGMJIWKP-ZITKLIBNSA-N -1 1 316.199 1.901 20 0 DDADMM CO[C@@H](Cc1ccc(F)cc1)CN1CCOC[C@H]1CC(=O)[O-] ZINC000530162144 303177460 /nfs/dbraw/zinc/17/74/60/303177460.db2.gz GJKGIYKKFUDCIJ-CABCVRRESA-N -1 1 311.353 1.559 20 0 DDADMM CCn1ncc(CNC(=O)c2cnc3nc(C)ccc3c2[O-])n1 ZINC000530264824 303189134 /nfs/dbraw/zinc/18/91/34/303189134.db2.gz LJYBTGGRKSVEDK-UHFFFAOYSA-N -1 1 312.333 1.185 20 0 DDADMM CCn1ncc(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)n1 ZINC000530264824 303189135 /nfs/dbraw/zinc/18/91/35/303189135.db2.gz LJYBTGGRKSVEDK-UHFFFAOYSA-N -1 1 312.333 1.185 20 0 DDADMM CC(C)N1C[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)CC1=O ZINC000530772145 303211028 /nfs/dbraw/zinc/21/10/28/303211028.db2.gz YADRCGHSDCISLP-LBPRGKRZSA-N -1 1 322.386 1.720 20 0 DDADMM C[C@@H]1CCC[C@H](OCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1 ZINC000533113591 303309751 /nfs/dbraw/zinc/30/97/51/303309751.db2.gz JEAYWFPGAOGYJS-UPJWGTAASA-N -1 1 307.398 1.501 20 0 DDADMM CC(C)Oc1cccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000539324338 303394649 /nfs/dbraw/zinc/39/46/49/303394649.db2.gz BJNMLBOSPAWYTK-LLVKDONJSA-N -1 1 316.365 1.402 20 0 DDADMM CO[C@H]1CCC[C@@H]1C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000540322116 303405198 /nfs/dbraw/zinc/40/51/98/303405198.db2.gz HADOLGKDLPULCC-MNOVXSKESA-N -1 1 304.437 1.853 20 0 DDADMM O=C1CCC[C@@H](C[N-]S(=O)(=O)c2cc(Cl)ccc2F)N1 ZINC000551553736 303633487 /nfs/dbraw/zinc/63/34/87/303633487.db2.gz LYKFQVQUMPOPEJ-VIFPVBQESA-N -1 1 320.773 1.426 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc3c(c2)CCCC3=O)n1 ZINC000555750626 303722345 /nfs/dbraw/zinc/72/23/45/303722345.db2.gz OUZJZMMRYOFYEM-UHFFFAOYSA-N -1 1 321.358 1.376 20 0 DDADMM Cc1ccc([C@H](CNC(=O)C2(C(=O)[O-])CC2)N2CCCC2)o1 ZINC000558387132 303767894 /nfs/dbraw/zinc/76/78/94/303767894.db2.gz IXEZFCDXTFPDTP-LBPRGKRZSA-N -1 1 306.362 1.706 20 0 DDADMM NC(=O)CCCCNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000558754800 303782273 /nfs/dbraw/zinc/78/22/73/303782273.db2.gz IGSFNCRVIJNUDW-UHFFFAOYSA-N -1 1 321.764 1.979 20 0 DDADMM O=C1CCCN1CC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000563255322 303936548 /nfs/dbraw/zinc/93/65/48/303936548.db2.gz KNZYJXVLVGGTML-UHFFFAOYSA-N -1 1 308.359 1.334 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccnc(C)c2)c1 ZINC000359692590 306960134 /nfs/dbraw/zinc/96/01/34/306960134.db2.gz LWJVCBSSFRVNMB-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](CC3CC3)C2)co1 ZINC000359734308 306961383 /nfs/dbraw/zinc/96/13/83/306961383.db2.gz VZZJXLFOUCVIDK-NSHDSACASA-N -1 1 312.391 1.450 20 0 DDADMM C[C@@H]1Sc2ccc(C(=O)N=c3ccc([O-])n[nH]3)cc2NC1=O ZINC000361950607 307011957 /nfs/dbraw/zinc/01/19/57/307011957.db2.gz CDDAONANSYTNKW-ZETCQYMHSA-N -1 1 316.342 1.289 20 0 DDADMM C[C@@H]1Sc2ccc(C(=O)N=c3ccc(O)n[n-]3)cc2NC1=O ZINC000361950607 307011958 /nfs/dbraw/zinc/01/19/58/307011958.db2.gz CDDAONANSYTNKW-ZETCQYMHSA-N -1 1 316.342 1.289 20 0 DDADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)c1ccc[nH]1 ZINC000363448807 307028115 /nfs/dbraw/zinc/02/81/15/307028115.db2.gz HKRKIVDULIWSSA-UHFFFAOYSA-N -1 1 314.345 1.150 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCOC2(CCCC2)C1 ZINC000367689226 307102303 /nfs/dbraw/zinc/10/23/03/307102303.db2.gz UJQLXGNKIFNHTL-JTQLQIEISA-N -1 1 315.395 1.166 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCOC2(CCCC2)C1 ZINC000367689226 307102304 /nfs/dbraw/zinc/10/23/04/307102304.db2.gz UJQLXGNKIFNHTL-JTQLQIEISA-N -1 1 315.395 1.166 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2cc(F)ccc2[O-])C1)C1CCC1 ZINC000371859488 307159235 /nfs/dbraw/zinc/15/92/35/307159235.db2.gz VWHXBEJMKVVEMT-LBPRGKRZSA-N -1 1 306.337 1.662 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(C)cc2F)C1 ZINC000375368164 307224696 /nfs/dbraw/zinc/22/46/96/307224696.db2.gz IFJQTECLGFQPMZ-GFCCVEGCSA-N -1 1 324.352 1.571 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(C3CCCC3)C2)o1 ZINC000376599952 307249140 /nfs/dbraw/zinc/24/91/40/307249140.db2.gz MZZYNBWIYWUTTF-UHFFFAOYSA-N -1 1 312.391 1.450 20 0 DDADMM COCC[C@@H]1CCCCN(S(=O)(=O)c2c(C)o[n-]c2=N)C1 ZINC000377868756 307278047 /nfs/dbraw/zinc/27/80/47/307278047.db2.gz LATFYIFFDSPQJA-NSHDSACASA-N -1 1 317.411 1.223 20 0 DDADMM O=C(N[C@@H](c1cccs1)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000546067373 307725199 /nfs/dbraw/zinc/72/51/99/307725199.db2.gz DILAJHQMBATAEB-GFCCVEGCSA-N -1 1 315.358 1.360 20 0 DDADMM CCn1cc(CC(=O)N=c2cc(-c3cccs3)[n-][nH]2)cn1 ZINC000547745947 307745520 /nfs/dbraw/zinc/74/55/20/307745520.db2.gz KLKJCSYUDNGGMV-UHFFFAOYSA-N -1 1 301.375 1.958 20 0 DDADMM CO[C@@H]1C[C@@H](CC(=O)[O-])N(Cc2nc3ccccc3n2C)C1 ZINC000566368859 308051655 /nfs/dbraw/zinc/05/16/55/308051655.db2.gz UAOYJIANAZKWBV-NWDGAFQWSA-N -1 1 303.362 1.637 20 0 DDADMM CCC[C@]1([N-]S(=O)(=O)c2nc(C)c(C)s2)CCOC1 ZINC000567298304 308077344 /nfs/dbraw/zinc/07/73/44/308077344.db2.gz INDPBBUERRLIKU-LBPRGKRZSA-N -1 1 304.437 1.997 20 0 DDADMM CC(C)(CN=c1[n-]c(C(F)(F)F)ns1)N1CCOCC1 ZINC000573165937 308230687 /nfs/dbraw/zinc/23/06/87/308230687.db2.gz CLZXVWYQOHIMIS-UHFFFAOYSA-N -1 1 310.345 1.502 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@@H](O)C[C@@H]3C)nc2)[n-]c(=O)c1C ZINC000573268295 308235643 /nfs/dbraw/zinc/23/56/43/308235643.db2.gz GHZPRZFDWYZTLW-ZANVPECISA-N -1 1 300.362 1.821 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(Cc2ccc(F)cc2)CC1 ZINC000574131172 308262232 /nfs/dbraw/zinc/26/22/32/308262232.db2.gz KBIOWUXNTJMVDV-UHFFFAOYSA-N -1 1 301.325 1.467 20 0 DDADMM CCC[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCC1 ZINC000576120201 308290425 /nfs/dbraw/zinc/29/04/25/308290425.db2.gz SSFXNLPRNSLNSA-NSHDSACASA-N -1 1 303.366 1.506 20 0 DDADMM C[C@](NCc1ncccc1[O-])(C(N)=O)c1cccc(Cl)c1 ZINC000576337186 308307291 /nfs/dbraw/zinc/30/72/91/308307291.db2.gz JQWQGGOLNZEBPY-OAHLLOKOSA-N -1 1 305.765 1.931 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2nnnn2C2CCOCC2)n1 ZINC000577172623 308367776 /nfs/dbraw/zinc/36/77/76/308367776.db2.gz LPJGBFIZELOVFF-UHFFFAOYSA-N -1 1 322.394 1.375 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2c(C)cccc2O)CC1 ZINC000584040431 331650074 /nfs/dbraw/zinc/65/00/74/331650074.db2.gz VQVATAAKFPRJCR-UHFFFAOYSA-N -1 1 313.375 1.928 20 0 DDADMM Cc1ccc(CNC(=O)C[N@@H+]2C[C@H](C(=O)[O-])CC[C@H]2C)cc1F ZINC000397503661 337266898 /nfs/dbraw/zinc/26/68/98/337266898.db2.gz UAKRLFRAZURCGM-TZMCWYRMSA-N -1 1 322.380 1.935 20 0 DDADMM CS(=O)(=O)C1(CN=c2nc(C3CCCC3)[n-]s2)CC1 ZINC000583256552 337290612 /nfs/dbraw/zinc/29/06/12/337290612.db2.gz CYQMXSIKYURMJN-UHFFFAOYSA-N -1 1 301.437 1.607 20 0 DDADMM Cc1nc(-c2ccc(NC[C@@H]3CCC(=O)N3)nc2)[n-]c(=O)c1C ZINC000078255702 483966656 /nfs/dbraw/zinc/96/66/56/483966656.db2.gz ZRXOZDUBLMPIAR-LBPRGKRZSA-N -1 1 313.361 1.139 20 0 DDADMM Cn1cccc1S(=O)(=O)[N-]c1ccc2c(c1)N(C)CCO2 ZINC000414445926 484061643 /nfs/dbraw/zinc/06/16/43/484061643.db2.gz UEVPAGXMPXXRHZ-UHFFFAOYSA-N -1 1 307.375 1.655 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCc2n[nH]c(=O)cc2C1 ZINC000436955515 484131721 /nfs/dbraw/zinc/13/17/21/484131721.db2.gz KVFHJAZHXXPXPL-UHFFFAOYSA-N -1 1 305.721 1.740 20 0 DDADMM O=C([O-])[C@]1(NCc2cnn3c2CCC3)CCc2c1cccc2F ZINC000656567025 484213897 /nfs/dbraw/zinc/21/38/97/484213897.db2.gz ZAOFXVLNOODFNM-KRWDZBQOSA-N -1 1 315.348 1.984 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cc2oc(=O)[nH]c2cc1F ZINC000656634005 484252061 /nfs/dbraw/zinc/25/20/61/484252061.db2.gz LYTQEOKDABVTSV-ZCFIWIBFSA-N -1 1 304.299 1.449 20 0 DDADMM O=C([C@H]1Cc2ccccc2O1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405695 484808906 /nfs/dbraw/zinc/80/89/06/484808906.db2.gz XNURJEJAVZKPQM-CYBMUJFWSA-N -1 1 315.329 1.485 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)c2cc(=O)n(C)[n-]2)N2CCCC2)o1 ZINC000667863790 484827832 /nfs/dbraw/zinc/82/78/32/484827832.db2.gz HWSIKTAVGVSCCD-CYBMUJFWSA-N -1 1 318.377 1.594 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC1CC1)c1cc(Cl)ccc1F ZINC000671177981 484963762 /nfs/dbraw/zinc/96/37/62/484963762.db2.gz XNFZHNCSTGRCAO-SNVBAGLBSA-N -1 1 307.774 1.918 20 0 DDADMM CC(C)[C@@H]1C(=O)NCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000668128993 484972625 /nfs/dbraw/zinc/97/26/25/484972625.db2.gz NSBAAFFBYWYWLW-CQSZACIVSA-N -1 1 313.357 1.537 20 0 DDADMM O=C(N[C@@H]1CCNC(=O)CC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000666019375 485049106 /nfs/dbraw/zinc/04/91/06/485049106.db2.gz LGFWRSRNKDIHBL-VIFPVBQESA-N -1 1 316.279 1.810 20 0 DDADMM CC(C)c1nc(CNC(=O)NCc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000666548610 485267695 /nfs/dbraw/zinc/26/76/95/485267695.db2.gz BJXUPMXAVWOUIN-UHFFFAOYSA-N -1 1 317.349 1.626 20 0 DDADMM CO[C@]1(C(F)(F)F)CCCN(C(=O)c2ncccc2[O-])C1 ZINC000682018649 485463193 /nfs/dbraw/zinc/46/31/93/485463193.db2.gz UHLNUULNJCHJRY-GFCCVEGCSA-N -1 1 304.268 1.971 20 0 DDADMM CN(C)c1ccc(S(=O)(=O)[N-]c2ccc3nccn3c2)cn1 ZINC000685912468 485484966 /nfs/dbraw/zinc/48/49/66/485484966.db2.gz IQSBSUOTZLXJHX-UHFFFAOYSA-N -1 1 317.374 1.596 20 0 DDADMM O=C(c1coc(C2CCC2)n1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000683497512 486028127 /nfs/dbraw/zinc/02/81/27/486028127.db2.gz PLCDLVKNIUNYMK-JTQLQIEISA-N -1 1 302.338 1.475 20 0 DDADMM Cn1nc2c(c1C(=O)NC1(c3nn[n-]n3)CCCC1)CCCC2 ZINC000675800641 486040764 /nfs/dbraw/zinc/04/07/64/486040764.db2.gz SZWIJSUBNZLBFU-UHFFFAOYSA-N -1 1 315.381 1.011 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCCOCC1 ZINC000683892924 486148823 /nfs/dbraw/zinc/14/88/23/486148823.db2.gz PERBOVPCLNFBOR-STQMWFEESA-N -1 1 301.350 1.222 20 0 DDADMM CCNC(=O)Nc1cccc(NC(=O)c2n[nH]c(C)c2[O-])c1 ZINC000676632375 486275018 /nfs/dbraw/zinc/27/50/18/486275018.db2.gz OHUKHHVGLJCWPA-UHFFFAOYSA-N -1 1 303.322 1.817 20 0 DDADMM O=C(NC1CCN(C(=O)c2ccc([O-])c(F)c2)CC1)C1CC1 ZINC000681017517 486323992 /nfs/dbraw/zinc/32/39/92/486323992.db2.gz CVQLSBIOVIQONW-UHFFFAOYSA-N -1 1 306.337 1.662 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC[C@H](N2CCCC2=O)C1 ZINC000681043694 486330293 /nfs/dbraw/zinc/33/02/93/486330293.db2.gz AGXXORAHDSZOCL-LBPRGKRZSA-N -1 1 306.337 1.758 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC(N2CCCNC2=O)CC1 ZINC000681058479 486334918 /nfs/dbraw/zinc/33/49/18/486334918.db2.gz OWULSYKMKTZBNK-UHFFFAOYSA-N -1 1 321.352 1.551 20 0 DDADMM O=C(NCCNC(=O)c1ccc([O-])c(F)c1)c1ccc(O)cc1 ZINC000681057239 486334968 /nfs/dbraw/zinc/33/49/68/486334968.db2.gz MIZBMKNUDZMJKF-UHFFFAOYSA-N -1 1 318.304 1.397 20 0 DDADMM Cc1nc([C@@H]2COCCN2C(=O)c2ccc([O-])c(F)c2)no1 ZINC000681068377 486336366 /nfs/dbraw/zinc/33/63/66/486336366.db2.gz RCXGWEKXIZIKDC-NSHDSACASA-N -1 1 307.281 1.436 20 0 DDADMM C[C@H]1CCCN1C(=O)CCN1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000676989672 486376095 /nfs/dbraw/zinc/37/60/95/486376095.db2.gz XFGFPGLQTZRNIG-RYUDHWBXSA-N -1 1 307.398 1.091 20 0 DDADMM O=C(NC1CCCCC1)N1CCN(Cc2ncccc2[O-])CC1 ZINC000685410222 486532190 /nfs/dbraw/zinc/53/21/90/486532190.db2.gz YROIAZOILILKTC-UHFFFAOYSA-N -1 1 318.421 1.947 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)[C@@H]1CCCCO1 ZINC000685502692 486552032 /nfs/dbraw/zinc/55/20/32/486552032.db2.gz QREQRGHQUMGELI-JTQLQIEISA-N -1 1 317.411 1.439 20 0 DDADMM O=C(c1c[nH]nc1-c1cccnc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677928402 486581017 /nfs/dbraw/zinc/58/10/17/486581017.db2.gz XOXYOWSNIHBLED-LLVKDONJSA-N -1 1 324.348 1.005 20 0 DDADMM COC(=O)c1cc(CN[C@H](C(=O)[O-])c2ccccc2F)cn1C ZINC000417554455 533826940 /nfs/dbraw/zinc/82/69/40/533826940.db2.gz JKFRTYJYIWILTA-AWEZNQCLSA-N -1 1 320.320 1.866 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)[C@H](OC)C2CC2)o1 ZINC000451995380 534242037 /nfs/dbraw/zinc/24/20/37/534242037.db2.gz QZPDNEMUPSNDSX-PELKAZGASA-N -1 1 317.363 1.158 20 0 DDADMM NC(=O)c1csc(=N[C@H]2CCN(c3ncccc3Cl)C2)[n-]1 ZINC000432332735 534522953 /nfs/dbraw/zinc/52/29/53/534522953.db2.gz BJGCGDIEBLNWPE-QMMMGPOBSA-N -1 1 323.809 1.403 20 0 DDADMM Cc1cnc(C(=O)N2CCN([C@H](C)C(F)(F)F)CC2)c([O-])c1 ZINC000330887772 534523429 /nfs/dbraw/zinc/52/34/29/534523429.db2.gz TZBAFOWGBVNVLQ-SNVBAGLBSA-N -1 1 317.311 1.804 20 0 DDADMM O=C(NCc1nc(-c2ccsc2)no1)c1ncccc1[O-] ZINC000338111602 526819331 /nfs/dbraw/zinc/81/93/31/526819331.db2.gz YVUWBQJITSJWQH-UHFFFAOYSA-N -1 1 302.315 1.829 20 0 DDADMM CC(=O)Nc1cccc(OCCN=c2[n-]c(C(N)=O)cs2)c1 ZINC000432330611 527072987 /nfs/dbraw/zinc/07/29/87/527072987.db2.gz CQZMHLDFZUGVAS-UHFFFAOYSA-N -1 1 320.374 1.113 20 0 DDADMM CCC[C@@H](OC)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000294928485 527723207 /nfs/dbraw/zinc/72/32/07/527723207.db2.gz JXWAQOGNPMAMQU-GFCCVEGCSA-N -1 1 318.370 1.951 20 0 DDADMM CCCC(=O)N[C@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000330884304 527952513 /nfs/dbraw/zinc/95/25/13/527952513.db2.gz PMMICRNRNJVDJU-LBPRGKRZSA-N -1 1 305.378 1.617 20 0 DDADMM CCO[C@@H]1C[C@](O)(CNC(=O)c2ncc(C)cc2[O-])C1(C)C ZINC000332468301 527990515 /nfs/dbraw/zinc/99/05/15/527990515.db2.gz MJXUWGNZEUHJNZ-WBMJQRKESA-N -1 1 308.378 1.392 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n(C)n1 ZINC000331949722 528016811 /nfs/dbraw/zinc/01/68/11/528016811.db2.gz QPPQHTPNZAQNMO-UHFFFAOYSA-N -1 1 319.365 1.652 20 0 DDADMM CC1=CCN(CCNC(=O)NC[C@H](CC(C)C)C(=O)[O-])CC1 ZINC000424452041 528116118 /nfs/dbraw/zinc/11/61/18/528116118.db2.gz PJRAVAHGSGAUIY-AWEZNQCLSA-N -1 1 311.426 1.685 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2cc(F)ccc2F)[n-]n1 ZINC000413188772 528230795 /nfs/dbraw/zinc/23/07/95/528230795.db2.gz XSJULVYPVHLGTO-UHFFFAOYSA-N -1 1 306.316 1.581 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC[C@H](C)CCO)o1 ZINC000451624462 528242732 /nfs/dbraw/zinc/24/27/32/528242732.db2.gz MWPWBJUGSQPPFO-JTQLQIEISA-N -1 1 319.379 1.143 20 0 DDADMM CC(C)C[C@@H](CNC(=O)c1n[nH]nc1-c1ccccc1)C(=O)[O-] ZINC000424239707 528326979 /nfs/dbraw/zinc/32/69/79/528326979.db2.gz FKSXJFVZAVOPHC-LBPRGKRZSA-N -1 1 316.361 1.948 20 0 DDADMM CCC[C@H]1CCC[C@@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331951953 528378871 /nfs/dbraw/zinc/37/88/71/528378871.db2.gz QTMSZCWRDZFTND-RYUDHWBXSA-N -1 1 323.466 1.816 20 0 DDADMM CCOC(=O)C(CC)(CC)[N-]S(=O)(=O)Cc1cc(C)no1 ZINC000490625196 528570526 /nfs/dbraw/zinc/57/05/26/528570526.db2.gz KANBUZRFNPLOQG-UHFFFAOYSA-N -1 1 318.395 1.524 20 0 DDADMM CCOC(=O)N1CCC[C@@H](C(=O)[N-]O[C@H]2CCCCO2)C1 ZINC000490991602 528971584 /nfs/dbraw/zinc/97/15/84/528971584.db2.gz TUWIPAHAQQAEFP-NEPJUHHUSA-N -1 1 300.355 1.429 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@H](O)C(F)F ZINC000451704052 529198683 /nfs/dbraw/zinc/19/86/83/529198683.db2.gz BZOOQGLTZYVOJT-SFYZADRCSA-N -1 1 314.379 1.443 20 0 DDADMM CCN(CC)S(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000736531986 598872649 /nfs/dbraw/zinc/87/26/49/598872649.db2.gz ODYYNPWOVDKPSZ-UHFFFAOYSA-N -1 1 315.786 1.551 20 0 DDADMM CCN(CC)S(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000736531986 598872650 /nfs/dbraw/zinc/87/26/50/598872650.db2.gz ODYYNPWOVDKPSZ-UHFFFAOYSA-N -1 1 315.786 1.551 20 0 DDADMM C[C@H](CNC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccccc1 ZINC000736020072 598983027 /nfs/dbraw/zinc/98/30/27/598983027.db2.gz LYTOCVAQGOWXDA-LLVKDONJSA-N -1 1 308.345 1.795 20 0 DDADMM C[C@H](CNC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccccc1 ZINC000736020072 598983028 /nfs/dbraw/zinc/98/30/28/598983028.db2.gz LYTOCVAQGOWXDA-LLVKDONJSA-N -1 1 308.345 1.795 20 0 DDADMM COCc1ccccc1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737022289 598989798 /nfs/dbraw/zinc/98/97/98/598989798.db2.gz QCXADBNKMJXKJK-UHFFFAOYSA-N -1 1 324.344 1.338 20 0 DDADMM COCc1ccccc1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737022289 598989799 /nfs/dbraw/zinc/98/97/99/598989799.db2.gz QCXADBNKMJXKJK-UHFFFAOYSA-N -1 1 324.344 1.338 20 0 DDADMM COCc1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737018214 598991192 /nfs/dbraw/zinc/99/11/92/598991192.db2.gz VFTKPXHYPBVDQG-UHFFFAOYSA-N -1 1 324.344 1.338 20 0 DDADMM COCc1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737018214 598991195 /nfs/dbraw/zinc/99/11/95/598991195.db2.gz VFTKPXHYPBVDQG-UHFFFAOYSA-N -1 1 324.344 1.338 20 0 DDADMM O=C(N[C@@H]1CCc2cc(F)ccc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738034575 598998112 /nfs/dbraw/zinc/99/81/12/598998112.db2.gz ICAWXHPPTFIOJK-CYBMUJFWSA-N -1 1 324.319 1.818 20 0 DDADMM O=C(N[C@@H]1CCc2cc(F)ccc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738034575 598998114 /nfs/dbraw/zinc/99/81/14/598998114.db2.gz ICAWXHPPTFIOJK-CYBMUJFWSA-N -1 1 324.319 1.818 20 0 DDADMM Cc1cn2cc(NC(=O)c3ccc(-c4nn[n-]n4)nc3)ccc2n1 ZINC000737445431 599000418 /nfs/dbraw/zinc/00/04/18/599000418.db2.gz HGTNFAGSKYCBRC-UHFFFAOYSA-N -1 1 320.316 1.470 20 0 DDADMM CN1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)c2ccccc21 ZINC000736858408 599006309 /nfs/dbraw/zinc/00/63/09/599006309.db2.gz ZLFRMKMRQYVFRU-UHFFFAOYSA-N -1 1 321.344 1.358 20 0 DDADMM CN1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)c2ccccc21 ZINC000736858408 599006311 /nfs/dbraw/zinc/00/63/11/599006311.db2.gz ZLFRMKMRQYVFRU-UHFFFAOYSA-N -1 1 321.344 1.358 20 0 DDADMM c1csc(Cc2noc(-c3ccc(-c4nnn[n-]4)nc3)n2)c1 ZINC000738451535 599010668 /nfs/dbraw/zinc/01/06/68/599010668.db2.gz HUOZWJQNAALLNC-UHFFFAOYSA-N -1 1 311.330 1.964 20 0 DDADMM c1csc(Cc2noc(-c3ccc(-c4nn[n-]n4)nc3)n2)c1 ZINC000738451535 599010670 /nfs/dbraw/zinc/01/06/70/599010670.db2.gz HUOZWJQNAALLNC-UHFFFAOYSA-N -1 1 311.330 1.964 20 0 DDADMM O=C(OCCN1CCCCC1=O)c1sccc1-c1nn[n-]n1 ZINC000738223243 599029175 /nfs/dbraw/zinc/02/91/75/599029175.db2.gz FSCJAGPUAZXVHC-UHFFFAOYSA-N -1 1 321.362 1.098 20 0 DDADMM CCC(CC)NC(=O)[C@H](C)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091131380 601925916 /nfs/dbraw/zinc/92/59/16/601925916.db2.gz PUQJUKLHVRWHSR-JQWIXIFHSA-N -1 1 302.440 1.572 20 0 DDADMM CCCN(C)S(=O)(=O)c1ccc(Cl)cc1-c1nn[n-]n1 ZINC000821088770 599340618 /nfs/dbraw/zinc/34/06/18/599340618.db2.gz VIYSHLMQSLZQLO-UHFFFAOYSA-N -1 1 315.786 1.551 20 0 DDADMM Cc1ccc(CN(C(=O)CN2CCC(C(=O)[O-])CC2)C2CC2)o1 ZINC000740123522 596918121 /nfs/dbraw/zinc/91/81/21/596918121.db2.gz VHSSAKPNSAKOPX-UHFFFAOYSA-N -1 1 320.389 1.876 20 0 DDADMM CCc1nnc(Cn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)o1 ZINC000736710582 599246227 /nfs/dbraw/zinc/24/62/27/599246227.db2.gz JFHNKPKFRAAIJF-UHFFFAOYSA-N -1 1 323.316 1.175 20 0 DDADMM O=C([O-])[C@H]1CCN(CN2C[C@@H](Cc3ccccc3)OC2=O)C1 ZINC000821511148 597671172 /nfs/dbraw/zinc/67/11/72/597671172.db2.gz CPURDWKSSDYOTK-UONOGXRCSA-N -1 1 304.346 1.414 20 0 DDADMM CN(CCCNc1nccnc1-c1nnn[n-]1)c1ccccc1 ZINC000736802331 598338184 /nfs/dbraw/zinc/33/81/84/598338184.db2.gz ZUNKXHRUOZURMA-UHFFFAOYSA-N -1 1 310.365 1.595 20 0 DDADMM CN(CCCNc1nccnc1-c1nn[n-]n1)c1ccccc1 ZINC000736802331 598338186 /nfs/dbraw/zinc/33/81/86/598338186.db2.gz ZUNKXHRUOZURMA-UHFFFAOYSA-N -1 1 310.365 1.595 20 0 DDADMM COc1ccc(OC)c(CNc2nccnc2-c2nnn[n-]2)c1 ZINC000737110076 598341385 /nfs/dbraw/zinc/34/13/85/598341385.db2.gz SAKDGZAZEWWQLR-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM COc1ccc(OC)c(CNc2nccnc2-c2nn[n-]n2)c1 ZINC000737110076 598341387 /nfs/dbraw/zinc/34/13/87/598341387.db2.gz SAKDGZAZEWWQLR-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM O[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)c1ccc(Cl)cc1 ZINC000738385224 598386084 /nfs/dbraw/zinc/38/60/84/598386084.db2.gz XDOVPYFDFZPYJD-NSHDSACASA-N -1 1 317.740 1.456 20 0 DDADMM O[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)c1ccc(Cl)cc1 ZINC000738385224 598386086 /nfs/dbraw/zinc/38/60/86/598386086.db2.gz XDOVPYFDFZPYJD-NSHDSACASA-N -1 1 317.740 1.456 20 0 DDADMM CO[C@H]1CCCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000736962699 598551208 /nfs/dbraw/zinc/55/12/08/598551208.db2.gz QVDDTANPUZCUFL-LURJTMIESA-N -1 1 300.775 1.592 20 0 DDADMM CO[C@H]1CCCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000736962699 598551209 /nfs/dbraw/zinc/55/12/09/598551209.db2.gz QVDDTANPUZCUFL-LURJTMIESA-N -1 1 300.775 1.592 20 0 DDADMM Cc1cc2cc(C(=O)n3ncc(-c4nn[n-]n4)c3N)oc2cc1C ZINC000822213204 599316462 /nfs/dbraw/zinc/31/64/62/599316462.db2.gz YXUKSLFYWWIGOX-UHFFFAOYSA-N -1 1 323.316 1.697 20 0 DDADMM C[C@@H](COC(=O)c1ccc(-c2nnn[n-]2)nc1)C(F)(F)F ZINC000824442817 607372938 /nfs/dbraw/zinc/37/29/38/607372938.db2.gz XTYSDWQTIDVCIU-LURJTMIESA-N -1 1 301.228 1.617 20 0 DDADMM C[C@@H](COC(=O)c1ccc(-c2nn[n-]n2)nc1)C(F)(F)F ZINC000824442817 607372940 /nfs/dbraw/zinc/37/29/40/607372940.db2.gz XTYSDWQTIDVCIU-LURJTMIESA-N -1 1 301.228 1.617 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC[C@H](c3ccncn3)C2)c1 ZINC000738411416 599415901 /nfs/dbraw/zinc/41/59/01/599415901.db2.gz DUUZZGICJLELTA-NSHDSACASA-N -1 1 308.349 1.436 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC[C@H](c3ccncn3)C2)c1 ZINC000738411416 599415903 /nfs/dbraw/zinc/41/59/03/599415903.db2.gz DUUZZGICJLELTA-NSHDSACASA-N -1 1 308.349 1.436 20 0 DDADMM CCCC(O)(CCC)CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821023129 599489018 /nfs/dbraw/zinc/48/90/18/599489018.db2.gz XDEJRMJDOWVPMJ-UHFFFAOYSA-N -1 1 318.381 1.323 20 0 DDADMM CCCC(O)(CCC)CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821023129 599489021 /nfs/dbraw/zinc/48/90/21/599489021.db2.gz XDEJRMJDOWVPMJ-UHFFFAOYSA-N -1 1 318.381 1.323 20 0 DDADMM C[C@H]1CN(c2ccc(C(=O)[O-])cc2)CCN1C(=O)c1cnc[nH]1 ZINC000736821113 599741072 /nfs/dbraw/zinc/74/10/72/599741072.db2.gz BFJQWDLDEAFKIJ-NSHDSACASA-N -1 1 314.345 1.459 20 0 DDADMM CCN(CC)[C@H](CNC(=O)C(C)(C)NC(=O)[O-])c1ccco1 ZINC000737085172 599741350 /nfs/dbraw/zinc/74/13/50/599741350.db2.gz HOBIUVKHIJVRJF-LLVKDONJSA-N -1 1 311.382 1.825 20 0 DDADMM O=C([O-])CCNC(=O)CNC1(c2ccc(F)cc2)CCOCC1 ZINC000739734984 599803700 /nfs/dbraw/zinc/80/37/00/599803700.db2.gz QTZNCDARADHHFU-UHFFFAOYSA-N -1 1 324.352 1.012 20 0 DDADMM CN(CCCNC(=O)CNC(C)(C)C(=O)[O-])c1ccccc1 ZINC000737439341 599924580 /nfs/dbraw/zinc/92/45/80/599924580.db2.gz KQIMIIMTSDXNFS-UHFFFAOYSA-N -1 1 307.394 1.082 20 0 DDADMM CCOc1ccc(C[C@@H](CNC(=O)CN(C)C)C(=O)[O-])cc1 ZINC000737198777 600115279 /nfs/dbraw/zinc/11/52/79/600115279.db2.gz HAJSHHKWCCWLPP-ZDUSSCGKSA-N -1 1 308.378 1.006 20 0 DDADMM CCC[C@@](C)(NCC(=O)NCc1ccc2c(c1)OCO2)C(=O)[O-] ZINC000736965147 600154603 /nfs/dbraw/zinc/15/46/03/600154603.db2.gz STUIKIHXIBYAIT-MRXNPFEDSA-N -1 1 322.361 1.265 20 0 DDADMM O=C([O-])C1(NCC(=O)NCc2ccc(F)cc2)CCCCC1 ZINC000739307846 600174268 /nfs/dbraw/zinc/17/42/68/600174268.db2.gz CPKLOEMJXMCOAO-UHFFFAOYSA-N -1 1 308.353 1.819 20 0 DDADMM Cc1ccc(NS(=O)(=O)c2ccc(CC(=O)[O-])cc2)nc1 ZINC000035296004 600243752 /nfs/dbraw/zinc/24/37/52/600243752.db2.gz MLZSWUKGSKZLRZ-UHFFFAOYSA-N -1 1 306.343 1.818 20 0 DDADMM CCOC[C@@H]1CCCN(CC(=O)N[C@H](C(=O)[O-])[C@H](C)CC)C1 ZINC000737162947 600286722 /nfs/dbraw/zinc/28/67/22/600286722.db2.gz UVKMDPSSIPHVMC-NFAWXSAZSA-N -1 1 314.426 1.350 20 0 DDADMM Cc1csc([C@H]2CCCN2CCC(=O)N(C)CC(=O)[O-])n1 ZINC000738817210 600295910 /nfs/dbraw/zinc/29/59/10/600295910.db2.gz WFVAKCFCIYGOBL-LLVKDONJSA-N -1 1 311.407 1.522 20 0 DDADMM C[C@@H]1CO[C@H](c2ccccc2)CN1CCC(=O)N(C)CC(=O)[O-] ZINC000736821914 600297798 /nfs/dbraw/zinc/29/77/98/600297798.db2.gz OSTUBIDCNNTACP-HIFRSBDPSA-N -1 1 320.389 1.382 20 0 DDADMM C[C@@H](CN(C)CC(=O)Nc1cc(C(C)(C)C)nn1C)C(=O)[O-] ZINC000736573827 600370534 /nfs/dbraw/zinc/37/05/34/600370534.db2.gz WHPFVEVSLOUPHR-JTQLQIEISA-N -1 1 310.398 1.309 20 0 DDADMM Cc1cc(CNS(=O)(=O)c2cccc(C(=O)[O-])c2C)n[nH]1 ZINC000738392405 600445104 /nfs/dbraw/zinc/44/51/04/600445104.db2.gz METNEVLWAOFLEZ-UHFFFAOYSA-N -1 1 309.347 1.203 20 0 DDADMM O=C([O-])c1occc1CN1CCC[C@@H](N2CCCCC2=O)C1 ZINC000740280764 600500442 /nfs/dbraw/zinc/50/04/42/600500442.db2.gz FKBQJHRWMVRNOE-CYBMUJFWSA-N -1 1 306.362 1.955 20 0 DDADMM COC[C@@H]1CCCN(CC(=O)Nc2cc(C(=O)[O-])ccc2C)C1 ZINC000737755098 600614466 /nfs/dbraw/zinc/61/44/66/600614466.db2.gz VDGFZIKPHZCMNC-CYBMUJFWSA-N -1 1 320.389 1.990 20 0 DDADMM C[C@H]1C[C@H](N(C)S(=O)(=O)c2ccc(C(=O)[O-])o2)CCN1C ZINC000828167352 600759889 /nfs/dbraw/zinc/75/98/89/600759889.db2.gz FSDSEQHUBAESAH-VHSXEESVSA-N -1 1 316.379 1.081 20 0 DDADMM Cc1cccc(NC2CCN([C@H](C)C(=O)NCC(=O)[O-])CC2)c1 ZINC000738736845 600796444 /nfs/dbraw/zinc/79/64/44/600796444.db2.gz XXYFKTHZEDMEKI-CYBMUJFWSA-N -1 1 319.405 1.461 20 0 DDADMM Cc1cccc(NC2CCN([C@@H](C)C(=O)NCC(=O)[O-])CC2)c1 ZINC000738736847 600796981 /nfs/dbraw/zinc/79/69/81/600796981.db2.gz XXYFKTHZEDMEKI-ZDUSSCGKSA-N -1 1 319.405 1.461 20 0 DDADMM C[C@@H]1CN(CCC(=O)NCC(=O)[O-])[C@@H](c2ccccc2)CO1 ZINC000828452233 600861137 /nfs/dbraw/zinc/86/11/37/600861137.db2.gz ZCQYSJUJOCXQKV-TZMCWYRMSA-N -1 1 306.362 1.039 20 0 DDADMM CCOc1cc(C(=O)NCc2cnc[nH]2)ccc1OCC(=O)[O-] ZINC000737185493 601093834 /nfs/dbraw/zinc/09/38/34/601093834.db2.gz CDTKNBNFNBWXAF-UHFFFAOYSA-N -1 1 319.317 1.202 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2ccsc2)CC1 ZINC000827354754 601104395 /nfs/dbraw/zinc/10/43/95/601104395.db2.gz HNDSSPMEOBDBJI-QAVQXKDTSA-N -1 1 308.403 1.769 20 0 DDADMM C[C@H]1CCN(CC(=O)NCCC(=O)[O-])[C@@H]1c1cccc(F)c1 ZINC000828284908 601117866 /nfs/dbraw/zinc/11/78/66/601117866.db2.gz JIHMGZWCIUXTSG-ZBEGNZNMSA-N -1 1 308.353 1.800 20 0 DDADMM CN(CCNC(=O)N1CC[C@@H](C(=O)[O-])C1)Cc1ccc(F)cc1 ZINC000818469922 601195591 /nfs/dbraw/zinc/19/55/91/601195591.db2.gz LXCYMKRFSLMTGY-CYBMUJFWSA-N -1 1 323.368 1.374 20 0 DDADMM CCN1CC[C@H](NS(=O)(=O)c2ccc(/C=C/C(=O)[O-])cc2)C1 ZINC000389251510 601255430 /nfs/dbraw/zinc/25/54/30/601255430.db2.gz BEYPPYFELUFCPM-LJLILKBBSA-N -1 1 324.402 1.157 20 0 DDADMM C[C@H](Sc1c[nH]nn1)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000827921641 601350155 /nfs/dbraw/zinc/35/01/55/601350155.db2.gz INIHEPIWDLLRIO-QMMMGPOBSA-N -1 1 306.347 1.300 20 0 DDADMM CCCc1c(C(=O)N2CCc3[nH]nnc3C2)[nH]c(C)c1C(=O)[O-] ZINC000829556032 601383970 /nfs/dbraw/zinc/38/39/70/601383970.db2.gz HSCGCEFMBGNSAJ-UHFFFAOYSA-N -1 1 317.349 1.290 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)NCC1(C(=O)[O-])CCCC1 ZINC000321766617 601422182 /nfs/dbraw/zinc/42/21/82/601422182.db2.gz OSRXMCIFQZIBEQ-KGLIPLIRSA-N -1 1 323.437 1.900 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCc1cn(-c2ccccc2)nn1 ZINC000831586013 601488166 /nfs/dbraw/zinc/48/81/66/601488166.db2.gz RNVDVZOVERPEOD-HNNXBMFYSA-N -1 1 304.350 1.237 20 0 DDADMM c1coc([C@@H]2CN(Cc3ccnc(-c4nn[n-]n4)c3)CCO2)c1 ZINC000826525894 607513959 /nfs/dbraw/zinc/51/39/59/607513959.db2.gz CVQGSBCEEZXIMO-AWEZNQCLSA-N -1 1 312.333 1.428 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CCC(c2cccc(F)c2)CC1 ZINC000826000318 601568271 /nfs/dbraw/zinc/56/82/71/601568271.db2.gz NUDHZZDUWIWTTA-NSHDSACASA-N -1 1 308.353 1.594 20 0 DDADMM COC[C@H]1CCCN1CC(=O)NCc1ccc(NC(=O)[O-])cc1 ZINC000831666384 601568422 /nfs/dbraw/zinc/56/84/22/601568422.db2.gz LIPVMDCVMZNOLY-CQSZACIVSA-N -1 1 321.377 1.504 20 0 DDADMM O=C([O-])C[C@@H](NS(=O)(=O)c1c(F)cccc1F)C1CC1 ZINC000137195612 601573305 /nfs/dbraw/zinc/57/33/05/601573305.db2.gz DGAJNLFTSFERBW-SNVBAGLBSA-N -1 1 305.302 1.496 20 0 DDADMM COc1ccccc1N1CC[C@@H](N2CC[C@H](C(=O)[O-])C2)C1=O ZINC000178904825 601619403 /nfs/dbraw/zinc/61/94/03/601619403.db2.gz CDWUQTZJERGFRV-WCQYABFASA-N -1 1 304.346 1.207 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC000833354090 601719146 /nfs/dbraw/zinc/71/91/46/601719146.db2.gz IAVGTUVIAQWOIP-HNNXBMFYSA-N -1 1 303.293 1.716 20 0 DDADMM CC[C@@H](NC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1)C(=O)[O-] ZINC000818308203 601761488 /nfs/dbraw/zinc/76/14/88/601761488.db2.gz ZVNCHYZGTZTNGW-MRVPVSSYSA-N -1 1 307.331 1.217 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@H](C)N1CC[C@](C)(C(=O)[O-])C1 ZINC000826021017 601942152 /nfs/dbraw/zinc/94/21/52/601942152.db2.gz KMNMLCUHEQPXRC-NHYWBVRUSA-N -1 1 308.382 1.588 20 0 DDADMM CN(C)C(=O)[C@H](c1ccccc1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000739593373 601952953 /nfs/dbraw/zinc/95/29/53/601952953.db2.gz DDCPLOKIZKVEDS-JSGCOSHPSA-N -1 1 305.378 1.405 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCCN(C[C@H]2COc3ccccc3O2)C1 ZINC000739582356 602047530 /nfs/dbraw/zinc/04/75/30/602047530.db2.gz CCHDIIPSANSJFT-OLZOCXBDSA-N -1 1 306.362 1.901 20 0 DDADMM COc1coc(CN(CCC(=O)[O-])C[C@@H]2CCCO2)cc1=O ZINC000832642968 602205045 /nfs/dbraw/zinc/20/50/45/602205045.db2.gz LTUCQKNMYWUGMY-NSHDSACASA-N -1 1 311.334 1.104 20 0 DDADMM Cc1cccc(OCCNC(=O)CN[C@H](C(=O)[O-])C(C)(C)C)c1 ZINC000832975327 602225480 /nfs/dbraw/zinc/22/54/80/602225480.db2.gz QUFPQGONKWWZKS-OAHLLOKOSA-N -1 1 322.405 1.579 20 0 DDADMM CN1CCCN(C(=O)[C@H](Cc2ccccc2)NC(=O)[O-])CC1 ZINC000739730283 602322062 /nfs/dbraw/zinc/32/20/62/602322062.db2.gz ZQJLUMINKBYEDW-AWEZNQCLSA-N -1 1 305.378 1.029 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)NC[C@H](c1cccs1)N(C)C ZINC000736371104 602339261 /nfs/dbraw/zinc/33/92/61/602339261.db2.gz ZCOIMZMNNKUDAE-PWSUYJOCSA-N -1 1 313.423 1.759 20 0 DDADMM O=C([O-])NC[C@H]1CCCN([C@H]2CCN(c3ccccc3)C2=O)C1 ZINC000740628738 602735985 /nfs/dbraw/zinc/73/59/85/602735985.db2.gz DBNIPCPMXRIZTL-HIFRSBDPSA-N -1 1 317.389 1.772 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])CCN1CCC(=O)Nc1ccc(F)cc1 ZINC000739160113 602737410 /nfs/dbraw/zinc/73/74/10/602737410.db2.gz JRZBVHFRBVZYJX-LLVKDONJSA-N -1 1 309.341 1.838 20 0 DDADMM CC(C)[C@H](CNC(=O)c1c[nH]c2ccccc2c1=O)NC(=O)[O-] ZINC000738786044 602773942 /nfs/dbraw/zinc/77/39/42/602773942.db2.gz SMVQZDLLHGMSMT-ZDUSSCGKSA-N -1 1 317.345 1.550 20 0 DDADMM C[C@@H]1CN(CC(=O)N(C)Cc2ccccc2)CC[C@@H]1NC(=O)[O-] ZINC000739171433 602798543 /nfs/dbraw/zinc/79/85/43/602798543.db2.gz IHHWWWVOCOHXPS-HIFRSBDPSA-N -1 1 319.405 1.623 20 0 DDADMM C[C@H]1CN(C(=O)Cc2n[nH]c3ccccc32)C[C@H](C)N1C(=O)[O-] ZINC000739138087 602801175 /nfs/dbraw/zinc/80/11/75/602801175.db2.gz RMHGPJNYCOXBCE-QWRGUYRKSA-N -1 1 316.361 1.705 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)COc2ccccc2)C[C@@H](C)N1C(=O)[O-] ZINC000739179277 602834374 /nfs/dbraw/zinc/83/43/74/602834374.db2.gz MAQUGRPVDDGPEU-MGPQQGTHSA-N -1 1 308.378 1.499 20 0 DDADMM O=C(C[C@@H]1CCCN1C(=O)[O-])Nc1nc(-c2ccco2)n[nH]1 ZINC000831346300 602838040 /nfs/dbraw/zinc/83/80/40/602838040.db2.gz SWMSXPFMGHQFCZ-QMMMGPOBSA-N -1 1 305.294 1.536 20 0 DDADMM CCc1cc(CNC(=O)N2CC[C@H](CN(C)C(=O)[O-])C2)[nH]n1 ZINC000739536026 602916687 /nfs/dbraw/zinc/91/66/87/602916687.db2.gz LQXPEDIXJSGDHK-SNVBAGLBSA-N -1 1 309.370 1.113 20 0 DDADMM O=C([O-])NC[C@@H](NC(=O)c1c[nH]c2ccccc2c1=O)C1CC1 ZINC000740610161 603040299 /nfs/dbraw/zinc/04/02/99/603040299.db2.gz DKLWUWRSHAHVQJ-CYBMUJFWSA-N -1 1 315.329 1.304 20 0 DDADMM C[C@H](C(=O)N(C)[C@@H](C)CNC(=O)[O-])N(C)Cc1ccccc1 ZINC000738601160 603178157 /nfs/dbraw/zinc/17/81/57/603178157.db2.gz KUGZJKJICVJKPZ-QWHCGFSZSA-N -1 1 307.394 1.621 20 0 DDADMM CC(C)C[C@@](C)(CNC(=O)[O-])NC(=O)c1ccc2[nH]nnc2c1 ZINC000738806186 603220849 /nfs/dbraw/zinc/22/08/49/603220849.db2.gz MJNOFMMJMSYHPU-HNNXBMFYSA-N -1 1 319.365 1.760 20 0 DDADMM C[C@H](C(=O)N1CCCN(C)CC1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823670639 603221173 /nfs/dbraw/zinc/22/11/73/603221173.db2.gz YNYJIZBHZHACEY-DZGCQCFKSA-N -1 1 319.405 1.796 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@H](C(=O)N2CCN(CC3CC3)CC2)C1 ZINC000740567506 603244633 /nfs/dbraw/zinc/24/46/33/603244633.db2.gz XMTAOHGBWAXMRY-KBPBESRZSA-N -1 1 309.410 1.367 20 0 DDADMM CCN(CC)[C@H](CNC(=O)[C@@H]1CCN(C(=O)[O-])C1)c1ccco1 ZINC000739405852 603288780 /nfs/dbraw/zinc/28/87/80/603288780.db2.gz DYEXIXDSEITZMR-CHWSQXEVSA-N -1 1 323.393 1.779 20 0 DDADMM C[C@@H]1CN(CCC(=O)Nc2ccc(F)cc2)CCN1C(=O)[O-] ZINC000739183338 603356723 /nfs/dbraw/zinc/35/67/23/603356723.db2.gz RJCIVJPFXYCUBK-LLVKDONJSA-N -1 1 309.341 1.838 20 0 DDADMM C[C@@H]1CN(CCC(=O)Nc2cccc(F)c2)CCN1C(=O)[O-] ZINC000739181620 603361627 /nfs/dbraw/zinc/36/16/27/603361627.db2.gz KHRSTTLNCJHLAK-LLVKDONJSA-N -1 1 309.341 1.838 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H](C(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000832318316 603549747 /nfs/dbraw/zinc/54/97/47/603549747.db2.gz LYDVBBOUGRDNAK-QWHCGFSZSA-N -1 1 320.393 1.942 20 0 DDADMM C[C@@H](C(=O)N(C)C1CCCCC1)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823654615 603818194 /nfs/dbraw/zinc/81/81/94/603818194.db2.gz LAZRQDGPRRXVEX-JSGCOSHPSA-N -1 1 311.426 1.850 20 0 DDADMM C[C@@H](C(=O)NCc1c[nH]nn1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823705187 603950575 /nfs/dbraw/zinc/95/05/75/603950575.db2.gz VCRHQARDNNGGBI-SKDRFNHKSA-N -1 1 303.322 1.066 20 0 DDADMM C[C@](CNC(=O)[O-])(NC(=O)[C@@H]1CCCc2[nH]ncc21)C1CC1 ZINC000824946405 603984114 /nfs/dbraw/zinc/98/41/14/603984114.db2.gz QMGAFCVBNCJZFX-MEBBXXQBSA-N -1 1 306.366 1.382 20 0 DDADMM O=C([O-])Nc1ccc(CCNC(=O)CCc2nc[nH]n2)cc1 ZINC000832840601 604249684 /nfs/dbraw/zinc/24/96/84/604249684.db2.gz SWHVNFXRMGVAFM-UHFFFAOYSA-N -1 1 303.322 1.186 20 0 DDADMM CN(C[C@@H]1CCCN1CCNC(=O)[O-])C(=O)OC(C)(C)C ZINC000828223822 604368029 /nfs/dbraw/zinc/36/80/29/604368029.db2.gz QDSNLYRAAFLQQS-NSHDSACASA-N -1 1 301.387 1.585 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)CN(CC3CC3)C(=O)[O-])n2)o1 ZINC000830158877 604585406 /nfs/dbraw/zinc/58/54/06/604585406.db2.gz CHKRYKUIAMFOHF-UHFFFAOYSA-N -1 1 319.321 1.702 20 0 DDADMM Cc1nc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)c(C)s1 ZINC000826326294 607708546 /nfs/dbraw/zinc/70/85/46/607708546.db2.gz JGSACSNVKJSEQX-UHFFFAOYSA-N -1 1 316.346 1.692 20 0 DDADMM Cc1nc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)c(C)s1 ZINC000826326294 607708548 /nfs/dbraw/zinc/70/85/48/607708548.db2.gz JGSACSNVKJSEQX-UHFFFAOYSA-N -1 1 316.346 1.692 20 0 DDADMM O=C([O-])c1ccc(CCC(=O)N[C@@H]2CCc3nc[nH]c3C2)cc1 ZINC000833102999 604767721 /nfs/dbraw/zinc/76/77/21/604767721.db2.gz ZSZVYKYNVIPZRE-CYBMUJFWSA-N -1 1 313.357 1.714 20 0 DDADMM CN(C)CC(=O)N1CCC(Nc2cccc(C(=O)[O-])c2)CC1 ZINC000830595802 604790105 /nfs/dbraw/zinc/79/01/05/604790105.db2.gz AAYGZZZTDFRHHU-UHFFFAOYSA-N -1 1 305.378 1.349 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833611357 604897855 /nfs/dbraw/zinc/89/78/55/604897855.db2.gz IZWBBCFUEYFUSS-WXHSDQCUSA-N -1 1 309.366 1.117 20 0 DDADMM CC(C)(C(=O)[O-])[C@H]1CCCN(CC(=O)Nc2ccncc2)C1 ZINC000833421614 604934657 /nfs/dbraw/zinc/93/46/57/604934657.db2.gz UFYYIUXPLJFEHM-LBPRGKRZSA-N -1 1 305.378 1.265 20 0 DDADMM CCc1nc([C@H](C)NC(=O)c2ccc(OCC(=O)[O-])cc2)n[nH]1 ZINC000833674731 605023936 /nfs/dbraw/zinc/02/39/36/605023936.db2.gz VETCUBVDYACXKQ-VIFPVBQESA-N -1 1 318.333 1.322 20 0 DDADMM CCN1C[C@@H](C)[C@H](NS(=O)(=O)c2ccccc2C(=O)[O-])C1 ZINC000833657704 605041789 /nfs/dbraw/zinc/04/17/89/605041789.db2.gz OGCWXCVXVNOTAQ-ZYHUDNBSSA-N -1 1 312.391 1.003 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(F)c(F)c1 ZINC000833623062 605111430 /nfs/dbraw/zinc/11/14/30/605111430.db2.gz DIFXNEMNUMHXGV-SNVBAGLBSA-N -1 1 312.316 1.586 20 0 DDADMM O=C([O-])NC1(C(=O)N[C@H]2CCCc3cn[nH]c32)CCCCC1 ZINC000832155423 605126371 /nfs/dbraw/zinc/12/63/71/605126371.db2.gz FBUMIKDJWHSXEG-NSHDSACASA-N -1 1 306.366 1.874 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cc2occc2[nH]1 ZINC000833624530 605206801 /nfs/dbraw/zinc/20/68/01/605206801.db2.gz ITKPGQVVXQWKTP-SNVBAGLBSA-N -1 1 305.334 1.382 20 0 DDADMM COC(=O)c1cc(CN2CCOC[C@@H](C)C2)cc(C(=O)[O-])c1 ZINC000833712367 605207201 /nfs/dbraw/zinc/20/72/01/605207201.db2.gz FZIVNXZDPCDKJX-NSHDSACASA-N -1 1 307.346 1.640 20 0 DDADMM CCCN(CCS(=O)(=O)CC)C1CCN(C(=O)[O-])CC1 ZINC000826912321 605469496 /nfs/dbraw/zinc/46/94/96/605469496.db2.gz WZVNHGAJXWARJZ-UHFFFAOYSA-N -1 1 306.428 1.276 20 0 DDADMM C[C@@H](NC(=O)NCCc1ccc(NC(=O)[O-])cc1)c1nnc[nH]1 ZINC000833522778 605506768 /nfs/dbraw/zinc/50/67/68/605506768.db2.gz RMQLNKISXKXPRW-SECBINFHSA-N -1 1 318.337 1.498 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(Cc2cnc(N(C)C)nc2)C1 ZINC000833812472 605600366 /nfs/dbraw/zinc/60/03/66/605600366.db2.gz JWSYNQJLMMEVIT-YPMHNXCESA-N -1 1 307.398 1.411 20 0 DDADMM Cn1ccnc1N1CCN(Cc2cccc(NC(=O)[O-])c2)CC1 ZINC000833958597 605606212 /nfs/dbraw/zinc/60/62/12/605606212.db2.gz YGFGXNHNFZTHOS-UHFFFAOYSA-N -1 1 315.377 1.832 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCC3(CNC(=O)C3)CC2)cn1 ZINC000834260568 605606696 /nfs/dbraw/zinc/60/66/96/605606696.db2.gz UQUHJGSXCDRODU-UHFFFAOYSA-N -1 1 304.350 1.274 20 0 DDADMM O=C([O-])N1CC[C@@](F)(C(=O)Nc2ccccc2-c2nnc[nH]2)C1 ZINC000834087240 605710438 /nfs/dbraw/zinc/71/04/38/605710438.db2.gz YDJIWLFZKGNNLP-AWEZNQCLSA-N -1 1 319.296 1.502 20 0 DDADMM O=C([O-])N1CCc2ccccc2[C@H]1C(=O)NCc1cnc[nH]1 ZINC000831708004 605735765 /nfs/dbraw/zinc/73/57/65/605735765.db2.gz CFYNWCRADRKDLT-ZDUSSCGKSA-N -1 1 300.318 1.303 20 0 DDADMM CCOc1ccc(NC(=O)CN[C@H]2CCN(C(=O)[O-])C2)cc1 ZINC000827439247 605841859 /nfs/dbraw/zinc/84/18/59/605841859.db2.gz ICFXLZQZGMNDIO-LBPRGKRZSA-N -1 1 307.350 1.366 20 0 DDADMM O=C([O-])N1CCC2(C[C@H]2C(=O)N2CCN3CCCC[C@H]3C2)CC1 ZINC000834088426 605858847 /nfs/dbraw/zinc/85/88/47/605858847.db2.gz NMQSMYJIQFEEOU-KBPBESRZSA-N -1 1 321.421 1.463 20 0 DDADMM C[C@H](C(=O)Nc1ccccc1)N1C[C@@H](CNC(=O)[O-])C[C@H]1C ZINC000833831757 605884107 /nfs/dbraw/zinc/88/41/07/605884107.db2.gz PPMSEBKCLRWHKU-JHJVBQTASA-N -1 1 305.378 1.992 20 0 DDADMM O=C([O-])NC[C@H](NC(=O)NCCN1CCC1)C1CCCCC1 ZINC000834207427 605952459 /nfs/dbraw/zinc/95/24/59/605952459.db2.gz VCARMZLZWVAWFM-ZDUSSCGKSA-N -1 1 312.414 1.208 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@@H](Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC000821446927 606104690 /nfs/dbraw/zinc/10/46/90/606104690.db2.gz FYTHLUAXVFIRLX-RUYXUOGBSA-N -1 1 320.422 1.753 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@@H](Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC000821446927 606104694 /nfs/dbraw/zinc/10/46/94/606104694.db2.gz FYTHLUAXVFIRLX-RUYXUOGBSA-N -1 1 320.422 1.753 20 0 DDADMM C[C@@H]1CCC[C@H](CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820698157 606168205 /nfs/dbraw/zinc/16/82/05/606168205.db2.gz QJPFHTWMLWSEME-ZJUUUORDSA-N -1 1 318.385 1.548 20 0 DDADMM C[C@@H]1CCC[C@H](CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820698157 606168206 /nfs/dbraw/zinc/16/82/06/606168206.db2.gz QJPFHTWMLWSEME-ZJUUUORDSA-N -1 1 318.385 1.548 20 0 DDADMM O=C(CC[C@@H]1CCCCO1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822950643 606293438 /nfs/dbraw/zinc/29/34/38/606293438.db2.gz WBVYWMUZEBCENV-NSHDSACASA-N -1 1 317.349 1.860 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@H]1COc2ccccc21 ZINC000823294568 606293501 /nfs/dbraw/zinc/29/35/01/606293501.db2.gz HKSUFISOXGZXIX-NSHDSACASA-N -1 1 323.312 1.687 20 0 DDADMM CN(C[C@@H]1CCOC1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000821598219 606474618 /nfs/dbraw/zinc/47/46/18/606474618.db2.gz VHOWJDVJUPJMIL-JTQLQIEISA-N -1 1 311.349 1.283 20 0 DDADMM CN(C[C@@H]1CCOC1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000821598219 606474619 /nfs/dbraw/zinc/47/46/19/606474619.db2.gz VHOWJDVJUPJMIL-JTQLQIEISA-N -1 1 311.349 1.283 20 0 DDADMM NC(=O)c1ccc(NC(=O)c2ccc(-c3nnn[n-]3)s2)cc1 ZINC000822885966 606487453 /nfs/dbraw/zinc/48/74/53/606487453.db2.gz WPIJNCXPNHEMDH-UHFFFAOYSA-N -1 1 314.330 1.279 20 0 DDADMM NC(=O)c1ccc(NC(=O)c2ccc(-c3nn[n-]n3)s2)cc1 ZINC000822885966 606487454 /nfs/dbraw/zinc/48/74/54/606487454.db2.gz WPIJNCXPNHEMDH-UHFFFAOYSA-N -1 1 314.330 1.279 20 0 DDADMM CCOC(=O)CN(C(=O)c1ccc(-c2nnn[n-]2)s1)C1CC1 ZINC000821330172 606532738 /nfs/dbraw/zinc/53/27/38/606532738.db2.gz FJGQMDLQMDXLCH-UHFFFAOYSA-N -1 1 321.362 1.096 20 0 DDADMM CCOC(=O)CN(C(=O)c1ccc(-c2nn[n-]n2)s1)C1CC1 ZINC000821330172 606532740 /nfs/dbraw/zinc/53/27/40/606532740.db2.gz FJGQMDLQMDXLCH-UHFFFAOYSA-N -1 1 321.362 1.096 20 0 DDADMM O=C(N[C@@H]1COc2ccccc21)c1ccc(-c2nnn[n-]2)s1 ZINC000823162397 606540715 /nfs/dbraw/zinc/54/07/15/606540715.db2.gz UUHLUGUHAKQMOG-SECBINFHSA-N -1 1 313.342 1.792 20 0 DDADMM O=C(N[C@@H]1COc2ccccc21)c1ccc(-c2nn[n-]n2)s1 ZINC000823162397 606540716 /nfs/dbraw/zinc/54/07/16/606540716.db2.gz UUHLUGUHAKQMOG-SECBINFHSA-N -1 1 313.342 1.792 20 0 DDADMM CCC(CC)[C@@H](O)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820893304 606595637 /nfs/dbraw/zinc/59/56/37/606595637.db2.gz MTYSDUMXQWYFNN-VIFPVBQESA-N -1 1 309.395 1.455 20 0 DDADMM CCC(CC)[C@@H](O)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820893304 606595639 /nfs/dbraw/zinc/59/56/39/606595639.db2.gz MTYSDUMXQWYFNN-VIFPVBQESA-N -1 1 309.395 1.455 20 0 DDADMM C[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C1CCOCC1 ZINC000820508532 606654191 /nfs/dbraw/zinc/65/41/91/606654191.db2.gz QVRPYGHOFMDDTO-SECBINFHSA-N -1 1 303.322 1.234 20 0 DDADMM C[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C1CCOCC1 ZINC000820508532 606654192 /nfs/dbraw/zinc/65/41/92/606654192.db2.gz QVRPYGHOFMDDTO-SECBINFHSA-N -1 1 303.322 1.234 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC[C@H]3CCC[C@H]32)n1 ZINC000822609070 606658641 /nfs/dbraw/zinc/65/86/41/606658641.db2.gz IXKQMPGRQHGPHN-MWLCHTKSSA-N -1 1 316.369 1.397 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC[C@H]3CCC[C@H]32)n1 ZINC000822609070 606658642 /nfs/dbraw/zinc/65/86/42/606658642.db2.gz IXKQMPGRQHGPHN-MWLCHTKSSA-N -1 1 316.369 1.397 20 0 DDADMM CC[C@H](CCO)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000820901950 606723021 /nfs/dbraw/zinc/72/30/21/606723021.db2.gz GNFBQGIYASVDJN-ZCFIWIBFSA-N -1 1 302.791 1.797 20 0 DDADMM CC[C@H](CCO)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000820901950 606723023 /nfs/dbraw/zinc/72/30/23/606723023.db2.gz GNFBQGIYASVDJN-ZCFIWIBFSA-N -1 1 302.791 1.797 20 0 DDADMM CCOC(=O)C[C@@H](C)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821325179 606726082 /nfs/dbraw/zinc/72/60/82/606726082.db2.gz CKBFRPIWOKSBMJ-SSDOTTSWSA-N -1 1 310.745 1.669 20 0 DDADMM CCOC(=O)C[C@@H](C)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821325179 606726083 /nfs/dbraw/zinc/72/60/83/606726083.db2.gz CKBFRPIWOKSBMJ-SSDOTTSWSA-N -1 1 310.745 1.669 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1ccc2sccc2c1 ZINC000822904269 606765189 /nfs/dbraw/zinc/76/51/89/606765189.db2.gz URHAWKKLUJPHJT-UHFFFAOYSA-N -1 1 311.330 1.549 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCC[C@@H]2COCC[C@H]21 ZINC000823482912 606818082 /nfs/dbraw/zinc/81/80/82/606818082.db2.gz BYAJRNFNDFTJLD-NXEZZACHSA-N -1 1 319.390 1.569 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCC[C@@H]2COCC[C@H]21 ZINC000823482912 606818083 /nfs/dbraw/zinc/81/80/83/606818083.db2.gz BYAJRNFNDFTJLD-NXEZZACHSA-N -1 1 319.390 1.569 20 0 DDADMM C[C@@H]1N(C(=O)c2ccc(-c3nnn[n-]3)s2)CCOC1(C)C ZINC000820821718 606934784 /nfs/dbraw/zinc/93/47/84/606934784.db2.gz VERMBGWMZHQPTR-QMMMGPOBSA-N -1 1 307.379 1.568 20 0 DDADMM C[C@@H]1N(C(=O)c2ccc(-c3nn[n-]n3)s2)CCOC1(C)C ZINC000820821718 606934786 /nfs/dbraw/zinc/93/47/86/606934786.db2.gz VERMBGWMZHQPTR-QMMMGPOBSA-N -1 1 307.379 1.568 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nnn[n-]2)c1C(=O)NCCCF ZINC000822541247 607162873 /nfs/dbraw/zinc/16/28/73/607162873.db2.gz MDRAJSKCKSQXKL-UHFFFAOYSA-N -1 1 318.312 1.648 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nn[n-]n2)c1C(=O)NCCCF ZINC000822541247 607162874 /nfs/dbraw/zinc/16/28/74/607162874.db2.gz MDRAJSKCKSQXKL-UHFFFAOYSA-N -1 1 318.312 1.648 20 0 DDADMM Fc1cccc(OCc2noc(C3CC3)n2)c1-c1nnn[n-]1 ZINC000826378134 607865758 /nfs/dbraw/zinc/86/57/58/607865758.db2.gz XBOJPIUIQLCZIV-UHFFFAOYSA-N -1 1 302.269 1.845 20 0 DDADMM Fc1cccc(OCc2noc(C3CC3)n2)c1-c1nn[n-]n1 ZINC000826378134 607865759 /nfs/dbraw/zinc/86/57/59/607865759.db2.gz XBOJPIUIQLCZIV-UHFFFAOYSA-N -1 1 302.269 1.845 20 0 DDADMM c1cn(CCOCCOc2ccccc2)c(-c2nnn[n-]2)n1 ZINC000826522530 608149604 /nfs/dbraw/zinc/14/96/04/608149604.db2.gz FQONPAKFZZKWRX-UHFFFAOYSA-N -1 1 300.322 1.159 20 0 DDADMM c1cn(CCOCCOc2ccccc2)c(-c2nn[n-]n2)n1 ZINC000826522530 608149606 /nfs/dbraw/zinc/14/96/06/608149606.db2.gz FQONPAKFZZKWRX-UHFFFAOYSA-N -1 1 300.322 1.159 20 0 DDADMM OC[C@@H]1CCCC[C@H]1Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000826509033 608187155 /nfs/dbraw/zinc/18/71/55/608187155.db2.gz JUAIPAQRIPCTCG-NKWVEPMBSA-N -1 1 314.802 1.940 20 0 DDADMM OC[C@@H]1CCCC[C@H]1Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000826509033 608187157 /nfs/dbraw/zinc/18/71/57/608187157.db2.gz JUAIPAQRIPCTCG-NKWVEPMBSA-N -1 1 314.802 1.940 20 0 DDADMM O=C(OCc1nncn1C1CC1)c1ccc(-c2nnn[n-]2)s1 ZINC000826467763 608333901 /nfs/dbraw/zinc/33/39/01/608333901.db2.gz LCOBBJDTMMHTBI-UHFFFAOYSA-N -1 1 317.334 1.212 20 0 DDADMM O=C(OCc1nncn1C1CC1)c1ccc(-c2nn[n-]n2)s1 ZINC000826467763 608333902 /nfs/dbraw/zinc/33/39/02/608333902.db2.gz LCOBBJDTMMHTBI-UHFFFAOYSA-N -1 1 317.334 1.212 20 0 DDADMM Clc1cccc(OCCNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826353357 608400774 /nfs/dbraw/zinc/40/07/74/608400774.db2.gz MBBSAGSJAVRDFK-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM Clc1cccc(OCCNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826353357 608400776 /nfs/dbraw/zinc/40/07/76/608400776.db2.gz MBBSAGSJAVRDFK-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM FC(F)CN(Cc1ccccc1)c1ccc(-c2nnn[n-]2)nn1 ZINC000826369527 608429662 /nfs/dbraw/zinc/42/96/62/608429662.db2.gz GTWVBHWIYXULMN-UHFFFAOYSA-N -1 1 317.303 1.928 20 0 DDADMM FC(F)CN(Cc1ccccc1)c1ccc(-c2nn[n-]n2)nn1 ZINC000826369527 608429663 /nfs/dbraw/zinc/42/96/63/608429663.db2.gz GTWVBHWIYXULMN-UHFFFAOYSA-N -1 1 317.303 1.928 20 0 DDADMM c1ccc2c(c1)C[C@@H]1[C@@H](CNc3ccc(-c4nnn[n-]4)nn3)[C@H]21 ZINC000826523117 608435103 /nfs/dbraw/zinc/43/51/03/608435103.db2.gz BAZCTUYEGCIZGZ-LALPHHSUSA-N -1 1 305.345 1.655 20 0 DDADMM c1ccc2c(c1)C[C@@H]1[C@@H](CNc3ccc(-c4nn[n-]n4)nn3)[C@H]21 ZINC000826523117 608435105 /nfs/dbraw/zinc/43/51/05/608435105.db2.gz BAZCTUYEGCIZGZ-LALPHHSUSA-N -1 1 305.345 1.655 20 0 DDADMM CSc1cccc(NC(=O)Cn2ccnc2-c2nnn[n-]2)c1 ZINC000826230967 608892393 /nfs/dbraw/zinc/89/23/93/608892393.db2.gz QTUUKGHPNPJKKC-UHFFFAOYSA-N -1 1 315.362 1.424 20 0 DDADMM CSc1cccc(NC(=O)Cn2ccnc2-c2nn[n-]n2)c1 ZINC000826230967 608892395 /nfs/dbraw/zinc/89/23/95/608892395.db2.gz QTUUKGHPNPJKKC-UHFFFAOYSA-N -1 1 315.362 1.424 20 0 DDADMM Cc1cccc(CCCn2nc(C)c(C)c(-c3nn[n-]n3)c2=O)c1 ZINC000826297380 609307912 /nfs/dbraw/zinc/30/79/12/609307912.db2.gz FNJNOVZGXVHUAD-UHFFFAOYSA-N -1 1 324.388 1.981 20 0 DDADMM O=S(=O)(Oc1cccnc1)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000826493811 609438913 /nfs/dbraw/zinc/43/89/13/609438913.db2.gz CVYDBNHVTXKWJZ-UHFFFAOYSA-N -1 1 321.293 1.169 20 0 DDADMM CCC(Nc1ccc(F)c(F)c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009769566 696037580 /nfs/dbraw/zinc/03/75/80/696037580.db2.gz RTAZTKRHXGOAED-UHFFFAOYSA-N -1 1 311.313 1.572 20 0 DDADMM O=C(N[N-]C(=O)c1cc(-c2ccccc2)[nH]n1)NC1CCCC1 ZINC000031025151 696117031 /nfs/dbraw/zinc/11/70/31/696117031.db2.gz GYYCETVUSQZHRB-UHFFFAOYSA-N -1 1 313.361 1.963 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)Cn1cccn1 ZINC000747359580 700066016 /nfs/dbraw/zinc/06/60/16/700066016.db2.gz QWQPIEWRJHPZEX-SNVBAGLBSA-N -1 1 303.366 1.629 20 0 DDADMM O=C(COC(=O)c1scnc1Cl)[N-]C(=O)c1ccccc1 ZINC000051798925 696247702 /nfs/dbraw/zinc/24/77/02/696247702.db2.gz MTWMYNNIUDMMSR-UHFFFAOYSA-N -1 1 324.745 1.910 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)CCSC)co1 ZINC000152585160 696901248 /nfs/dbraw/zinc/90/12/48/696901248.db2.gz XIFZRHOPJGZQTO-MRVPVSSYSA-N -1 1 306.409 1.059 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C[C@H](C)C2CC2)cc1 ZINC000064277978 696338059 /nfs/dbraw/zinc/33/80/59/696338059.db2.gz KFLOPCFCTCEUQY-NSHDSACASA-N -1 1 319.357 1.931 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCOC3CCC3)cnc2n1 ZINC000075195001 696419784 /nfs/dbraw/zinc/41/97/84/696419784.db2.gz WEPUOWIZWPXEDB-UHFFFAOYSA-N -1 1 301.346 1.943 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCOC1CCC1)c2=O ZINC000075195001 696419785 /nfs/dbraw/zinc/41/97/85/696419785.db2.gz WEPUOWIZWPXEDB-UHFFFAOYSA-N -1 1 301.346 1.943 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1nc2ccccc2[nH]1 ZINC000079380793 696460127 /nfs/dbraw/zinc/46/01/27/696460127.db2.gz ADURZKHSQWULAY-GMSGAONNSA-N -1 1 317.374 1.087 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@@H](Cc3ccccc3)C2)s1 ZINC000080454103 696535051 /nfs/dbraw/zinc/53/50/51/696535051.db2.gz TZINFWQQAKZLRA-STQMWFEESA-N -1 1 317.414 1.424 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCOC1CCC1 ZINC000081955792 696548785 /nfs/dbraw/zinc/54/87/85/696548785.db2.gz HYYCBBKJBLMOSB-UHFFFAOYSA-N -1 1 307.394 1.675 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)Nc1c(C)noc1C ZINC000084320290 696557189 /nfs/dbraw/zinc/55/71/89/696557189.db2.gz PJXLBRRAKSHIFI-UHFFFAOYSA-N -1 1 310.383 1.930 20 0 DDADMM NC(=O)C1CCN(Cn2[n-]c(-c3ccccn3)nc2=S)CC1 ZINC000117318898 696670737 /nfs/dbraw/zinc/67/07/37/696670737.db2.gz VWVGJDQNVZJWSE-UHFFFAOYSA-N -1 1 318.406 1.157 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000980144346 696692044 /nfs/dbraw/zinc/69/20/44/696692044.db2.gz GUSAJGHKCHNPMX-IKWCTNDRSA-N -1 1 317.389 1.600 20 0 DDADMM Cc1cc2cc(NC(=O)Cc3sc(N)nc3[O-])ccc2[nH]1 ZINC000120892654 696703130 /nfs/dbraw/zinc/70/31/30/696703130.db2.gz DTNLOJGOTUZMHO-NSHDSACASA-N -1 1 302.359 1.762 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C1C(C)(C)C1(C)C ZINC000129985795 696793362 /nfs/dbraw/zinc/79/33/62/696793362.db2.gz AVCSPSAXYDUOIH-UHFFFAOYSA-N -1 1 318.377 1.494 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C(C1CC1)C1CC1 ZINC000131248856 696805281 /nfs/dbraw/zinc/80/52/81/696805281.db2.gz IEBCUFOQAXCPCD-UHFFFAOYSA-N -1 1 316.361 1.248 20 0 DDADMM CCCc1n[n-]c(=S)n1CC(=O)NC[C@H](C)Cn1cccn1 ZINC000149994513 696876699 /nfs/dbraw/zinc/87/66/99/696876699.db2.gz DFKUUIXQIDIRRW-NSHDSACASA-N -1 1 322.438 1.542 20 0 DDADMM CNC(=O)NC(=O)[C@H](C)OC(=O)c1cc(F)cc(Cl)c1[O-] ZINC000748476091 700120572 /nfs/dbraw/zinc/12/05/72/700120572.db2.gz DPVFNDPXIMDFIB-YFKPBYRVSA-N -1 1 318.688 1.186 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)[C@@H](C)CSc2ccccc2)n[nH]1 ZINC000153297580 696913394 /nfs/dbraw/zinc/91/33/94/696913394.db2.gz ORIBMXSALXUWFK-JTQLQIEISA-N -1 1 318.402 1.908 20 0 DDADMM O=C(/C=C\C1CC1)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982581636 697153244 /nfs/dbraw/zinc/15/32/44/697153244.db2.gz KQEONLCGTQGAFF-CFHLNLSMSA-N -1 1 315.373 1.332 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)C2(CF)CC2)C1)c1ncccc1[O-] ZINC000983265911 697234861 /nfs/dbraw/zinc/23/48/61/697234861.db2.gz PXWYQOAWFAHBEU-LLVKDONJSA-N -1 1 321.352 1.115 20 0 DDADMM O=C([N-]CCCn1nnc(-c2cccc(F)c2)n1)C(F)(F)F ZINC000163526465 697333711 /nfs/dbraw/zinc/33/37/11/697333711.db2.gz VIECQHZGMINFFY-UHFFFAOYSA-N -1 1 317.246 1.548 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)C(F)(F)F)co1 ZINC000166765063 697341709 /nfs/dbraw/zinc/34/17/09/697341709.db2.gz BKALKRZDNCXVPE-RXMQYKEDSA-N -1 1 301.242 1.295 20 0 DDADMM Cc1occc1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985256263 697476174 /nfs/dbraw/zinc/47/61/74/697476174.db2.gz MBVJORMMDWJYGW-UHFFFAOYSA-N -1 1 319.365 1.148 20 0 DDADMM O=C(CCNC(=O)c1ccco1)Nc1nc(Cl)ccc1[O-] ZINC000188341814 697557659 /nfs/dbraw/zinc/55/76/59/697557659.db2.gz DQOQUGIQJUOSKA-UHFFFAOYSA-N -1 1 309.709 1.792 20 0 DDADMM C[C@@H](NC(=O)c1ccc(Cl)cc1[O-])c1nnnn1C1CC1 ZINC000190260602 697587181 /nfs/dbraw/zinc/58/71/81/697587181.db2.gz PILGJTNUEPGXNB-SSDOTTSWSA-N -1 1 307.741 1.858 20 0 DDADMM C[C@@H]1CN(c2ccc(C[N-]C(=O)C(F)(F)F)cn2)C[C@H](C)O1 ZINC000194668414 697717706 /nfs/dbraw/zinc/71/77/06/697717706.db2.gz CSWTVROOPQMCRZ-AOOOYVTPSA-N -1 1 317.311 1.874 20 0 DDADMM O=C([C@@H]1CC1(Cl)Cl)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000773312671 697742479 /nfs/dbraw/zinc/74/24/79/697742479.db2.gz CUAVVYGYJGALFN-BQBZGAKWSA-N -1 1 306.149 1.675 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000773316269 697743380 /nfs/dbraw/zinc/74/33/80/697743380.db2.gz XYGHKEHTBOEMSD-FZKGZDJFSA-N -1 1 315.329 1.900 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NN2[C@H](C)CCC[C@@H]2C)co1 ZINC000773386209 697753250 /nfs/dbraw/zinc/75/32/50/697753250.db2.gz BZKJNKHGRIGEMZ-AOOOYVTPSA-N -1 1 315.395 1.095 20 0 DDADMM Cc1sccc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986269152 697760851 /nfs/dbraw/zinc/76/08/51/697760851.db2.gz QWPRPDFHLLAIFF-GZMMTYOYSA-N -1 1 321.406 1.273 20 0 DDADMM CSC[C@@](C)(O)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000223489586 697761381 /nfs/dbraw/zinc/76/13/81/697761381.db2.gz WYNKOMFNSILFRV-AWEZNQCLSA-N -1 1 313.423 1.046 20 0 DDADMM C[S@](=O)CCCNC(=O)c1cc(Br)ccc1[O-] ZINC000225458329 697765697 /nfs/dbraw/zinc/76/56/97/697765697.db2.gz ISKZZDITNFDVOG-KRWDZBQOSA-N -1 1 320.208 1.653 20 0 DDADMM O=c1nc(N[C@@H]2Cc3ccc(Cl)cc3C2)nc2[nH][n-]cc1-2 ZINC000799966738 700177882 /nfs/dbraw/zinc/17/78/82/700177882.db2.gz MILFKFICCITNMM-SNVBAGLBSA-N -1 1 301.737 1.665 20 0 DDADMM Cc1cc(CN(C)C(=O)c2ccc3n[n-]c(=S)n3c2)no1 ZINC000773777764 697803791 /nfs/dbraw/zinc/80/37/91/697803791.db2.gz SECVJGDYMHMCTJ-UHFFFAOYSA-N -1 1 303.347 1.587 20 0 DDADMM C[C@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)Cn1cccn1 ZINC000773817359 697808367 /nfs/dbraw/zinc/80/83/67/697808367.db2.gz WHNGUSACWBBTFM-SNVBAGLBSA-N -1 1 316.390 1.281 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N(C[C@@H]1CCOC1)C1CC1 ZINC000773849444 697813515 /nfs/dbraw/zinc/81/35/15/697813515.db2.gz OVXNOQGVKJFWER-JTQLQIEISA-N -1 1 318.402 1.659 20 0 DDADMM CO[C@@H]1CCC[C@H]1C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000774796827 697929945 /nfs/dbraw/zinc/92/99/45/697929945.db2.gz CUESKQMVEMHUTO-DTWKUNHWSA-N -1 1 307.803 1.167 20 0 DDADMM CCc1ccoc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986870243 697934336 /nfs/dbraw/zinc/93/43/36/697934336.db2.gz LSGFFNGVWKECJA-GXSJLCMTSA-N -1 1 319.365 1.059 20 0 DDADMM COc1ccccc1CC(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774882493 697937865 /nfs/dbraw/zinc/93/78/65/697937865.db2.gz IKTVTGMVNXZPRF-UHFFFAOYSA-N -1 1 319.279 1.850 20 0 DDADMM CC(C)c1nn(C)cc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774934939 697944136 /nfs/dbraw/zinc/94/41/36/697944136.db2.gz WJRXXPCTDXLGRL-UHFFFAOYSA-N -1 1 321.299 1.769 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cs1 ZINC000987176119 698017941 /nfs/dbraw/zinc/01/79/41/698017941.db2.gz YRPAIXCBJMKXQH-KOLCDFICSA-N -1 1 321.406 1.273 20 0 DDADMM O=C(c1cccc(Cl)c1[O-])N1CC[C@@H](CN2CCOCC2)C1 ZINC000775756346 698030289 /nfs/dbraw/zinc/03/02/89/698030289.db2.gz GTCCBYXIHWSOGY-LBPRGKRZSA-N -1 1 324.808 1.840 20 0 DDADMM O=C([N-]OCCO)[C@@H]1CC(=O)N(c2cccc3ccccc32)C1 ZINC000776585160 698121982 /nfs/dbraw/zinc/12/19/82/698121982.db2.gz QKSDQVZVJHRSBZ-CYBMUJFWSA-N -1 1 314.341 1.233 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H](C)CC(C)(C)C ZINC000777361068 698182170 /nfs/dbraw/zinc/18/21/70/698182170.db2.gz WQOGSTJPNQSUHJ-SNVBAGLBSA-N -1 1 320.393 1.884 20 0 DDADMM O=C(COC(=O)c1ccc(F)cc1O)[N-]C(=O)c1ccccc1 ZINC000750163611 700207104 /nfs/dbraw/zinc/20/71/04/700207104.db2.gz AZZDKWZEKRNJDK-UHFFFAOYSA-N -1 1 317.272 1.645 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(F)cc1 ZINC000987842196 698244785 /nfs/dbraw/zinc/24/47/85/698244785.db2.gz NHGLJMMSFFNZNF-CABZTGNLSA-N -1 1 319.340 1.042 20 0 DDADMM COC[C@@H]([N-]C(=O)C(F)(F)c1ccccc1F)C(=O)OC ZINC000778505591 698342669 /nfs/dbraw/zinc/34/26/69/698342669.db2.gz JZJLFVVHKQKPTH-SNVBAGLBSA-N -1 1 305.252 1.222 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc(Cl)n1 ZINC000778860277 698377967 /nfs/dbraw/zinc/37/79/67/698377967.db2.gz KHXYHLOGWGRBAX-UHFFFAOYSA-N -1 1 306.757 1.756 20 0 DDADMM Cc1conc1CN[C@H]1C[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC000988692041 698439361 /nfs/dbraw/zinc/43/93/61/698439361.db2.gz SGURNIKYVBJSNM-RYUDHWBXSA-N -1 1 316.361 1.476 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)N[C@H]2CCc3nc[nH]c3C2)cc1 ZINC000263364460 698502453 /nfs/dbraw/zinc/50/24/53/698502453.db2.gz LETOIZSLDDUXOK-LBPRGKRZSA-N -1 1 314.345 1.465 20 0 DDADMM CN(C)[C@@H](CNC(=O)NC(C)(C)C(=O)[O-])c1cccc(F)c1 ZINC000780360497 698511124 /nfs/dbraw/zinc/51/11/24/698511124.db2.gz QYRMLKXRBXMGLW-LBPRGKRZSA-N -1 1 311.357 1.591 20 0 DDADMM CC[C@@H](NC(=O)NC[C@H](C)N1CCc2ccccc2C1)C(=O)[O-] ZINC000780481026 698523658 /nfs/dbraw/zinc/52/36/58/698523658.db2.gz KHGWDRXDLLDEKN-SWLSCSKDSA-N -1 1 319.405 1.596 20 0 DDADMM COc1cccc([C@H](CNC(=O)CCCC(=O)[O-])N(C)C)c1 ZINC000314269574 698687883 /nfs/dbraw/zinc/68/78/83/698687883.db2.gz OBWDWPYJRNPIOD-AWEZNQCLSA-N -1 1 308.378 1.669 20 0 DDADMM O=C([O-])[C@@H]1CC[C@@H]1C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000397045457 699114511 /nfs/dbraw/zinc/11/45/11/699114511.db2.gz UXHNPMJPKOWBBB-JKSUJKDBSA-N -1 1 316.401 1.832 20 0 DDADMM Cc1sc(Br)nc1C(=O)Nc1ccncc1[O-] ZINC000786468722 699148610 /nfs/dbraw/zinc/14/86/10/699148610.db2.gz SVCJBPPBCNORGM-UHFFFAOYSA-N -1 1 314.164 1.989 20 0 DDADMM C[C@@H]1CCC[C@]1(C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990972178 699196211 /nfs/dbraw/zinc/19/62/11/699196211.db2.gz MWAPQNCSZROYNE-DIFFPNOSSA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)N1CC(C)(C)CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000991037767 699213967 /nfs/dbraw/zinc/21/39/67/699213967.db2.gz CCQCZPYBTRSMEQ-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H](O)c1ccc2c(c1)OCO2 ZINC000787748618 699238323 /nfs/dbraw/zinc/23/83/23/699238323.db2.gz OQKRWMNGTWYNJP-VFZGTOFNSA-N -1 1 316.313 1.368 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCC[C@H](F)C1 ZINC000991308729 699298124 /nfs/dbraw/zinc/29/81/24/699298124.db2.gz WDFCJDNMVQGSAV-QWRGUYRKSA-N -1 1 321.352 1.256 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000991411182 699317079 /nfs/dbraw/zinc/31/70/79/699317079.db2.gz ZMMSEJHGPBFDDZ-MNOVXSKESA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H]1CC[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000991411183 699317348 /nfs/dbraw/zinc/31/73/48/699317348.db2.gz ZMMSEJHGPBFDDZ-QWRGUYRKSA-N -1 1 303.362 1.164 20 0 DDADMM Cn1c(Cl)cnc1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000726607980 699370208 /nfs/dbraw/zinc/37/02/08/699370208.db2.gz FWLXWSINZHGMAO-UHFFFAOYSA-N -1 1 317.736 1.240 20 0 DDADMM Cn1ccc2c1cccc2NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727857293 699428732 /nfs/dbraw/zinc/42/87/32/699428732.db2.gz WSBZJUCANKENDW-UHFFFAOYSA-N -1 1 312.329 1.057 20 0 DDADMM Cc1nnc(C[N-]C(=O)C(F)(F)Oc2ccc(C=O)cc2)o1 ZINC000731613639 699531783 /nfs/dbraw/zinc/53/17/83/699531783.db2.gz FIPFVNLTRZZXLF-UHFFFAOYSA-N -1 1 311.244 1.479 20 0 DDADMM CCSc1ccccc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732090188 699545890 /nfs/dbraw/zinc/54/58/90/699545890.db2.gz PGJMBVVIZXFGFY-NSHDSACASA-N -1 1 319.390 1.525 20 0 DDADMM Cc1c(CN(C)C(=O)c2c(C)nc(C(C)C)[n-]c2=O)cnn1C ZINC000791165127 699613586 /nfs/dbraw/zinc/61/35/86/699613586.db2.gz VGFCLBJIGCFDHM-UHFFFAOYSA-N -1 1 317.393 1.928 20 0 DDADMM C[C@@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1cccc(F)c1 ZINC000735519008 699696211 /nfs/dbraw/zinc/69/62/11/699696211.db2.gz IFISXWUJWHWJFD-SNVBAGLBSA-N -1 1 303.341 1.849 20 0 DDADMM COC(=O)c1oc(CN2CC[C@@H](NC(=O)[O-])[C@@H](C)C2)cc1C ZINC000739791429 699779620 /nfs/dbraw/zinc/77/96/20/699779620.db2.gz HPKCCANPNGSQJQ-CMPLNLGQSA-N -1 1 310.350 1.853 20 0 DDADMM CSCCO[N-]C(=O)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000794195136 699797080 /nfs/dbraw/zinc/79/70/80/699797080.db2.gz YLGUKGDXTQMVPI-LLVKDONJSA-N -1 1 300.424 1.578 20 0 DDADMM CN(C)C(=O)c1ccnc(NC([O-])=NO[C@H]2CCCCO2)c1 ZINC000794275424 699800057 /nfs/dbraw/zinc/80/00/57/699800057.db2.gz PRBFFQQPBOZIDW-LBPRGKRZSA-N -1 1 308.338 1.363 20 0 DDADMM CN(C)C(=O)c1ccnc(NC(=O)[N-]O[C@H]2CCCCO2)c1 ZINC000794275424 699800060 /nfs/dbraw/zinc/80/00/60/699800060.db2.gz PRBFFQQPBOZIDW-LBPRGKRZSA-N -1 1 308.338 1.363 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)Nc2ccccc2)[n-]1 ZINC000796347711 699928319 /nfs/dbraw/zinc/92/83/19/699928319.db2.gz VWRHFPPUMYNDAZ-UHFFFAOYSA-N -1 1 302.286 1.597 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nccc(OC)c2OC)[n-]1 ZINC000796347777 699928497 /nfs/dbraw/zinc/92/84/97/699928497.db2.gz ZJIIWJKXHFHPHF-UHFFFAOYSA-N -1 1 320.301 1.571 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2cc[n+]([O-])cc2)c1 ZINC000744464382 699950271 /nfs/dbraw/zinc/95/02/71/699950271.db2.gz PEWCMJQMOSISGG-UHFFFAOYSA-N -1 1 301.298 1.628 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H](O)CC(C)C)c(F)c1 ZINC000751280488 700278449 /nfs/dbraw/zinc/27/84/49/700278449.db2.gz PBLPJRWYAIAYBW-VIFPVBQESA-N -1 1 323.361 1.659 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCO[C@H](C(=O)C2CC2)C1 ZINC000801259734 700285209 /nfs/dbraw/zinc/28/52/09/700285209.db2.gz LWLMGKISWMSKLK-LBPRGKRZSA-N -1 1 309.749 1.866 20 0 DDADMM Cn1cnnc1COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801326318 700297461 /nfs/dbraw/zinc/29/74/61/700297461.db2.gz ZRVVCMXQHHOSOJ-UHFFFAOYSA-N -1 1 317.280 1.203 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@@H]1CCCCO1 ZINC000751982446 700333553 /nfs/dbraw/zinc/33/35/53/700333553.db2.gz NEFWRSOFIZJXTI-STQMWFEESA-N -1 1 319.423 1.207 20 0 DDADMM O=C(Nc1ccncc1[O-])c1cnn(-c2ccc(Cl)cc2)n1 ZINC000752622201 700375781 /nfs/dbraw/zinc/37/57/81/700375781.db2.gz QVHNAOTZUNCTHJ-UHFFFAOYSA-N -1 1 315.720 1.696 20 0 DDADMM O=C(NCCN1CCC1)c1ccc2c(c1)[n-]c(=S)[nH]c2=O ZINC000752632730 700376664 /nfs/dbraw/zinc/37/66/64/700376664.db2.gz YBXUXPCOLNWYIW-UHFFFAOYSA-N -1 1 304.375 1.060 20 0 DDADMM O=C([N-]S(=O)(=O)Cc1cccnc1)c1cccc2cn[nH]c21 ZINC000753946146 700470276 /nfs/dbraw/zinc/47/02/76/700470276.db2.gz DFUODTPQVAGNAT-UHFFFAOYSA-N -1 1 316.342 1.218 20 0 DDADMM COC(=O)[C@@H](C)OC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000755956247 700595875 /nfs/dbraw/zinc/59/58/75/700595875.db2.gz HYDKTAXWZGXOSD-MRVPVSSYSA-N -1 1 314.363 1.250 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cnc(Cl)c(C)c2)n1 ZINC000757722718 700669731 /nfs/dbraw/zinc/66/97/31/700669731.db2.gz IQIXFBPKDBMCQL-UHFFFAOYSA-N -1 1 302.743 1.213 20 0 DDADMM Cc1nccn1CCCOC(=O)C(C)(C)[N-]C(=O)C(F)(F)F ZINC000759127914 700738484 /nfs/dbraw/zinc/73/84/84/700738484.db2.gz FPSKFXLHZABRMN-UHFFFAOYSA-N -1 1 321.299 1.582 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)c1ccco1 ZINC000762308228 700888809 /nfs/dbraw/zinc/88/88/09/700888809.db2.gz MVVLTXACMNYNQQ-JTQLQIEISA-N -1 1 305.334 1.670 20 0 DDADMM COc1cc(C(=O)N(C)CCS(C)(=O)=O)cc(Cl)c1[O-] ZINC000763250806 700931742 /nfs/dbraw/zinc/93/17/42/700931742.db2.gz SGBPENIWSHSMQS-UHFFFAOYSA-N -1 1 321.782 1.171 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)s1 ZINC000763363904 700935472 /nfs/dbraw/zinc/93/54/72/700935472.db2.gz HTJHXHHKXBNJCJ-SECBINFHSA-N -1 1 321.406 1.772 20 0 DDADMM CC[C@@H](CO)N1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000765256202 701004584 /nfs/dbraw/zinc/00/45/84/701004584.db2.gz GUMBIGVEKGJGPF-NSHDSACASA-N -1 1 312.797 1.574 20 0 DDADMM CC(C)(O)CCOC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000765444901 701013068 /nfs/dbraw/zinc/01/30/68/701013068.db2.gz FSKMNOQAQVKHDX-UHFFFAOYSA-N -1 1 307.393 1.437 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@]2(C)CCCc3ccccc32)nc1=O ZINC000765465088 701014153 /nfs/dbraw/zinc/01/41/53/701014153.db2.gz OPNJPGZREYWYQJ-INIZCTEOSA-N -1 1 301.346 1.446 20 0 DDADMM Cn1[n-]c(COC(=O)c2c[nH]cc2-c2ccc(F)cc2)nc1=O ZINC000765482086 701015082 /nfs/dbraw/zinc/01/50/82/701015082.db2.gz PFCRPBBCSRNUOJ-UHFFFAOYSA-N -1 1 316.292 1.600 20 0 DDADMM Cn1[n-]c(COC(=O)CCCc2ccc(F)c(F)c2)nc1=O ZINC000765496280 701016081 /nfs/dbraw/zinc/01/60/81/701016081.db2.gz LZRSSIUSDOVOPB-UHFFFAOYSA-N -1 1 311.288 1.453 20 0 DDADMM CC(C)Cc1ccc(CCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765503887 701016679 /nfs/dbraw/zinc/01/66/79/701016679.db2.gz VJTACZIBSFQSHP-UHFFFAOYSA-N -1 1 317.389 1.983 20 0 DDADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)C(C)(C)c1ccccc1 ZINC000803620361 701130909 /nfs/dbraw/zinc/13/09/09/701130909.db2.gz ZTLGLHIUNZGRHF-UHFFFAOYSA-N -1 1 315.373 1.320 20 0 DDADMM O=C(N[C@H](CO)C[C@@H](O)c1ccccc1)c1ccc([O-])c(F)c1 ZINC000768321322 701168938 /nfs/dbraw/zinc/16/89/38/701168938.db2.gz QOOUDRBGNDGUOX-XJKSGUPXSA-N -1 1 319.332 1.746 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1cnc(Cl)c(F)c1 ZINC000805018118 701226102 /nfs/dbraw/zinc/22/61/02/701226102.db2.gz ZVCFVMAPFYHYEJ-UHFFFAOYSA-N -1 1 306.706 1.044 20 0 DDADMM CC[C@H]([N-]C(=O)C(F)(F)C(=O)N[C@@H](CC)C(F)F)C(F)F ZINC000866002926 706591907 /nfs/dbraw/zinc/59/19/07/706591907.db2.gz KNPUVTZSPDBEOH-WDSKDSINSA-N -1 1 322.249 1.942 20 0 DDADMM NC(=O)[C@H](Cc1ccccc1)NC(=O)c1c([O-])cccc1Cl ZINC000769731652 701254328 /nfs/dbraw/zinc/25/43/28/701254328.db2.gz DAEUEJFLAYGTBS-LBPRGKRZSA-N -1 1 318.760 1.872 20 0 DDADMM O=C(OCc1nc(COc2ccc(F)cc2)no1)c1cn[n-]n1 ZINC000805604959 701397795 /nfs/dbraw/zinc/39/77/95/701397795.db2.gz DLFIYWPEBLXSOZ-UHFFFAOYSA-N -1 1 319.252 1.263 20 0 DDADMM CCCN(Cc1ccc(C)cc1)C(=O)COC(=O)c1cn[n-]n1 ZINC000805606820 701399557 /nfs/dbraw/zinc/39/95/57/701399557.db2.gz NXZCMPJPDSMNEI-UHFFFAOYSA-N -1 1 316.361 1.709 20 0 DDADMM CC(C)[C@H]1CC[C@@H](C)C[C@@H]1OC(=O)COC(=O)c1cn[n-]n1 ZINC000805609411 701399903 /nfs/dbraw/zinc/39/99/03/701399903.db2.gz QMVIWYJIYOLLFJ-WZRBSPASSA-N -1 1 309.366 1.966 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)C(=O)[N-]OC2CCCCC2)n[nH]1 ZINC000806460620 701435855 /nfs/dbraw/zinc/43/58/55/701435855.db2.gz LGMDVAGPWHJOBE-JTQLQIEISA-N -1 1 308.382 1.146 20 0 DDADMM CN(Cc1cscn1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806480206 701436670 /nfs/dbraw/zinc/43/66/70/701436670.db2.gz GMZAIXXIIBMTOU-UHFFFAOYSA-N -1 1 302.363 1.462 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc(C(OC)OC)o2)n[nH]1 ZINC000807196419 701469042 /nfs/dbraw/zinc/46/90/42/701469042.db2.gz VEZZTHXAFOOGQA-UHFFFAOYSA-N -1 1 323.305 1.723 20 0 DDADMM C[C@](O)(CCNC(=O)C(=O)c1ccc([O-])cc1)c1ccccc1 ZINC000807291480 701473225 /nfs/dbraw/zinc/47/32/25/701473225.db2.gz WKEFOWHCRYBROT-SFHVURJKSA-N -1 1 313.353 1.989 20 0 DDADMM C[C@H]1CCN(C(=O)[C@@H]2CCOC2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000807707388 701484415 /nfs/dbraw/zinc/48/44/15/701484415.db2.gz CMWYLKMTSSIVBY-MMWGEVLESA-N -1 1 322.327 1.327 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@@H](C)OC1=O ZINC000808203973 701507507 /nfs/dbraw/zinc/50/75/07/701507507.db2.gz YXDMWWTWCFEZMF-VXNVDRBHSA-N -1 1 307.350 1.222 20 0 DDADMM O=C(COC(=O)Cn1cc(Cl)cn1)[N-]C(=O)c1ccccc1 ZINC000808554062 701523938 /nfs/dbraw/zinc/52/39/38/701523938.db2.gz PBFBFJOSDZRPLQ-UHFFFAOYSA-N -1 1 321.720 1.036 20 0 DDADMM COC(=O)C[C@H](C)CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000808652623 701528502 /nfs/dbraw/zinc/52/85/02/701528502.db2.gz MJSAYPZHEWZYRU-NSHDSACASA-N -1 1 321.329 1.076 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cc(N(C)C)cc(N(C)C)c1 ZINC000810080429 701725486 /nfs/dbraw/zinc/72/54/86/701725486.db2.gz CAWIXQNPBGDYCJ-UHFFFAOYSA-N -1 1 324.406 1.618 20 0 DDADMM CC1(C)CCC[C@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830953379 706609964 /nfs/dbraw/zinc/60/99/64/706609964.db2.gz UCQQQWMJBXLKQG-NSHDSACASA-N -1 1 305.378 1.697 20 0 DDADMM CCOC(=O)[C@H]1CN(c2cc(Cl)[n-]c(=O)n2)CCC1(F)F ZINC000866084295 706613724 /nfs/dbraw/zinc/61/37/24/706613724.db2.gz BBMLIDUWOOAJMG-SSDOTTSWSA-N -1 1 321.711 1.860 20 0 DDADMM CC(C)(C)N1CC[C@](F)(C(=O)[N-]S(=O)(=O)CC2CC2)C1 ZINC000810582293 701794253 /nfs/dbraw/zinc/79/42/53/701794253.db2.gz NHPOCELDZIVEHR-CYBMUJFWSA-N -1 1 306.403 1.055 20 0 DDADMM CN(C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CCCCC1 ZINC000867896260 701815186 /nfs/dbraw/zinc/81/51/86/701815186.db2.gz LWELBLOBVUEBDC-UHFFFAOYSA-N -1 1 320.393 1.729 20 0 DDADMM O=S(=O)([N-]C[C@@H]1COC2(CCCC2)O1)c1c[nH]nc1Cl ZINC000831023874 706622142 /nfs/dbraw/zinc/62/21/42/706622142.db2.gz HAMCBIGSVUWFFN-MRVPVSSYSA-N -1 1 321.786 1.027 20 0 DDADMM COc1cc(Cl)cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000815519194 701886341 /nfs/dbraw/zinc/88/63/41/701886341.db2.gz ONDHQTSMEUUTHA-NSHDSACASA-N -1 1 323.740 1.075 20 0 DDADMM CCn1ncn([N-]C(=O)N[C@H](C(C)(C)C)C(F)(F)F)c1=O ZINC000879259090 706631814 /nfs/dbraw/zinc/63/18/14/706631814.db2.gz FMUCEAZBHIXAED-SSDOTTSWSA-N -1 1 309.292 1.295 20 0 DDADMM O=C(NCCc1nc2c(s1)CCC2)C(=O)c1ccc([O-])cc1 ZINC000831090712 706633097 /nfs/dbraw/zinc/63/30/97/706633097.db2.gz WIONVZLIVHVNJH-UHFFFAOYSA-N -1 1 316.382 1.879 20 0 DDADMM CC(C)n1cc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cn1 ZINC000831130514 706640795 /nfs/dbraw/zinc/64/07/95/706640795.db2.gz WXSJIBPSELWWBG-UHFFFAOYSA-N -1 1 318.299 1.215 20 0 DDADMM O=C(CC[C@H]1CCCO1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831154465 706645797 /nfs/dbraw/zinc/64/57/97/706645797.db2.gz RKVSRMRWXBFBIQ-SNVBAGLBSA-N -1 1 308.300 1.083 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)c2ccnnc2)C1)C(F)(F)F ZINC000812275248 702133480 /nfs/dbraw/zinc/13/34/80/702133480.db2.gz GREWLTVXOKZIQS-SECBINFHSA-N -1 1 316.283 1.007 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCCCC12CCCC2 ZINC000812728034 702232074 /nfs/dbraw/zinc/23/20/74/702232074.db2.gz VRCOJVKXTHBMDC-CYBMUJFWSA-N -1 1 319.405 1.546 20 0 DDADMM CC/C=C\CNC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868667940 702254937 /nfs/dbraw/zinc/25/49/37/702254937.db2.gz XNNQZAQWWHUPMK-QMAVJUDZSA-N -1 1 307.316 1.805 20 0 DDADMM CC(C)[C@@]1(C)C[C@@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868698620 702273128 /nfs/dbraw/zinc/27/31/28/702273128.db2.gz JJOWCRGFMNWEMK-BLLLJJGKSA-N -1 1 320.393 1.489 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)C[C@H](CC)OC)C(C)(C)C ZINC000817137950 702285226 /nfs/dbraw/zinc/28/52/26/702285226.db2.gz ZOWYLZRUCJSRPG-WDEREUQCSA-N -1 1 309.428 1.309 20 0 DDADMM CC1CC(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868795559 702323563 /nfs/dbraw/zinc/32/35/63/702323563.db2.gz PIEOYBWDWUNZMQ-UHFFFAOYSA-N -1 1 306.366 1.100 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)Cc1cccc(F)c1 ZINC000841533043 702482621 /nfs/dbraw/zinc/48/26/21/702482621.db2.gz AQDYKSYVUBSYAM-LLVKDONJSA-N -1 1 301.339 1.197 20 0 DDADMM CC[C@@]1(C)CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000869214312 702513825 /nfs/dbraw/zinc/51/38/25/702513825.db2.gz VHDIDHBRYCKAAL-INIZCTEOSA-N -1 1 320.393 1.587 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]Cc2ccccn2)c1C(F)(F)F ZINC000866378266 706685165 /nfs/dbraw/zinc/68/51/65/706685165.db2.gz WBBHLNIZPARHQH-UHFFFAOYSA-N -1 1 320.296 1.312 20 0 DDADMM COc1ccc(CNC(=O)CO[N-]C(=O)CC(C)(C)C)cc1 ZINC000842061538 702665471 /nfs/dbraw/zinc/66/54/71/702665471.db2.gz ZHYFKKACJBOXJJ-UHFFFAOYSA-N -1 1 308.378 1.795 20 0 DDADMM COCc1ccccc1CNC(=O)CO[N-]C(=O)CC(C)(C)C ZINC000842064059 702665881 /nfs/dbraw/zinc/66/58/81/702665881.db2.gz XQTDKELERMXENI-UHFFFAOYSA-N -1 1 322.405 1.933 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)/C=C/C1CCCC1 ZINC000842754040 702767515 /nfs/dbraw/zinc/76/75/15/702767515.db2.gz KOSPVBNUMYYUNY-VOTSOKGWSA-N -1 1 316.361 1.558 20 0 DDADMM C[C@@H]1CC[N@@H+](CCOc2ccccc2C(=O)[O-])C[C@H]1C(=O)[O-] ZINC000842935011 702792132 /nfs/dbraw/zinc/79/21/32/702792132.db2.gz CCOWDHOSYFQZDV-DGCLKSJQSA-N -1 1 307.346 1.806 20 0 DDADMM C[C@@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C1CCCC1 ZINC000843017129 702804141 /nfs/dbraw/zinc/80/41/41/702804141.db2.gz ZGMBNYHQMOPYBH-GFCCVEGCSA-N -1 1 316.467 1.743 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CC1(Cl)Cl ZINC000866469945 706706939 /nfs/dbraw/zinc/70/69/39/706706939.db2.gz QFHWBZXKBKCRTA-SSDOTTSWSA-N -1 1 323.267 1.522 20 0 DDADMM CCC[C@H](C)[C@@H](CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000844651092 703051526 /nfs/dbraw/zinc/05/15/26/703051526.db2.gz TZBGOJHJDULHQW-GXFFZTMASA-N -1 1 305.382 1.260 20 0 DDADMM O=S(=O)([N-][C@H](CO)CCF)c1ccc(Cl)nc1Cl ZINC000846015303 703226809 /nfs/dbraw/zinc/22/68/09/703226809.db2.gz VEYYZGZULVZIJF-LURJTMIESA-N -1 1 317.169 1.387 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@H]1C[C@H]1c1ccccc1 ZINC000866596021 706740533 /nfs/dbraw/zinc/74/05/33/706740533.db2.gz AXNHWABGKHNXHG-STQMWFEESA-N -1 1 314.432 1.639 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847078597 703374987 /nfs/dbraw/zinc/37/49/87/703374987.db2.gz JMRVNOLGUXQNRI-YEZKRMTDSA-N -1 1 320.374 1.960 20 0 DDADMM Cc1cnc(CCNC(=O)N=c2ccnc3n(C)[n-]cc2-3)c(C)c1 ZINC000847179533 703384742 /nfs/dbraw/zinc/38/47/42/703384742.db2.gz WOTBSDHWLYWOMB-UHFFFAOYSA-N -1 1 324.388 1.718 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(CF)cc2)n[n-]1 ZINC000879654295 706750827 /nfs/dbraw/zinc/75/08/27/706750827.db2.gz FEATXFFVAGIRJK-UHFFFAOYSA-N -1 1 306.297 1.381 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(CF)cc2)n1 ZINC000879654295 706750829 /nfs/dbraw/zinc/75/08/29/706750829.db2.gz FEATXFFVAGIRJK-UHFFFAOYSA-N -1 1 306.297 1.381 20 0 DDADMM C[C@H](Cc1ccccn1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000848492975 703557361 /nfs/dbraw/zinc/55/73/61/703557361.db2.gz IKOBBNZFTZBQLS-MRVPVSSYSA-N -1 1 300.771 1.368 20 0 DDADMM Cc1noc(CN(C)Cn2[n-]c(-c3ccccn3)nc2=S)n1 ZINC000848506215 703558906 /nfs/dbraw/zinc/55/89/06/703558906.db2.gz WTULSULUMPMASO-UHFFFAOYSA-N -1 1 317.378 1.784 20 0 DDADMM CC1(C)C[C@@H]([N-]S(=O)(=O)c2ccc(Br)o2)CO1 ZINC000849412801 703645262 /nfs/dbraw/zinc/64/52/62/703645262.db2.gz ZARALCZMKSGDLW-SSDOTTSWSA-N -1 1 324.196 1.888 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OC[C@H](O)C(C)C ZINC000849722508 703672060 /nfs/dbraw/zinc/67/20/60/703672060.db2.gz XLZQVUGZUQWLQA-ZDUSSCGKSA-N -1 1 321.377 1.544 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC[C@@H]2CC2(F)F)co1 ZINC000851380713 703797342 /nfs/dbraw/zinc/79/73/42/703797342.db2.gz DMHHDCGGCFMSAF-MRVPVSSYSA-N -1 1 309.290 1.390 20 0 DDADMM CC1CC(NC(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)C1 ZINC000852190675 703962286 /nfs/dbraw/zinc/96/22/86/703962286.db2.gz OAPLKSUWDXXUKH-DJRKXVOMSA-N -1 1 321.343 1.883 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H]2C[C@@H](SC)C2)co1 ZINC000870578452 704078952 /nfs/dbraw/zinc/07/89/52/704078952.db2.gz GAPXKJJPLIWKEA-DTORHVGOSA-N -1 1 305.377 1.239 20 0 DDADMM C[C@@H]1CCN(CC(=O)Nc2ccc(Cl)nc2)C[C@H]1C(=O)[O-] ZINC000852731011 704108437 /nfs/dbraw/zinc/10/84/37/704108437.db2.gz XUEHONUNWHWTPO-MWLCHTKSSA-N -1 1 311.769 1.716 20 0 DDADMM CCOC(=O)[C@]1(F)CN(CCCCC(=O)[O-])CC12CCC2 ZINC000852736931 704109865 /nfs/dbraw/zinc/10/98/65/704109865.db2.gz SVBMKPHVMXWQFG-OAHLLOKOSA-N -1 1 301.358 1.999 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCc2ccccc21 ZINC000866767080 706793980 /nfs/dbraw/zinc/79/39/80/706793980.db2.gz IXXWCMYRSNPOHO-BLVKFPJESA-N -1 1 316.448 1.669 20 0 DDADMM CCCNC(=O)CCC(=O)n1[n-]c(=O)c2ccc(OC)cc21 ZINC000819955041 704191966 /nfs/dbraw/zinc/19/19/66/704191966.db2.gz IHXDVTBEIBFNRX-UHFFFAOYSA-N -1 1 305.334 1.697 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]CC1(c2ccccc2)CCC1 ZINC000820782647 704330158 /nfs/dbraw/zinc/33/01/58/704330158.db2.gz RZNOMAAMOUWUHD-UHFFFAOYSA-N -1 1 316.448 1.670 20 0 DDADMM CCCOC(=O)[C@@H](C)OC(=O)c1sccc1-c1nn[n-]n1 ZINC000821127093 704374292 /nfs/dbraw/zinc/37/42/92/704374292.db2.gz BBLRKCBQGXWGGB-SSDOTTSWSA-N -1 1 310.335 1.427 20 0 DDADMM O=C(c1c(F)ccnc1F)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854341960 704382225 /nfs/dbraw/zinc/38/22/25/704382225.db2.gz BNMBVEWDXVFYDQ-UHFFFAOYSA-N -1 1 310.260 1.468 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2nncn2C)c1 ZINC000821351974 704395172 /nfs/dbraw/zinc/39/51/72/704395172.db2.gz FWCWWVUFKPGEST-STFLBKPXSA-N -1 1 323.374 1.236 20 0 DDADMM CCCN1C[C@@H](C(=O)n2[n-]c(=O)c3ccc(OC)cc32)CC1=O ZINC000854506820 704409795 /nfs/dbraw/zinc/40/97/95/704409795.db2.gz JDBLCTUBVUUXKT-JTQLQIEISA-N -1 1 317.345 1.649 20 0 DDADMM CC(C)Cn1c([O-])c(C(=O)Nc2ccccc2F)c(=O)[nH]c1=O ZINC000821627529 704428262 /nfs/dbraw/zinc/42/82/62/704428262.db2.gz DPUGQEHDRMEGEZ-LLVKDONJSA-N -1 1 321.308 1.115 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)c1nccc(N)n1 ZINC000854759596 704448491 /nfs/dbraw/zinc/44/84/91/704448491.db2.gz MVJOFGSENDLAJG-SECBINFHSA-N -1 1 316.365 1.477 20 0 DDADMM CCOC(=O)[C@]1(F)CN(C(=O)c2cncc([O-])c2)CC12CCC2 ZINC000855241775 704474948 /nfs/dbraw/zinc/47/49/48/704474948.db2.gz SCZDQJFEFDIZIG-MRXNPFEDSA-N -1 1 322.336 1.685 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@]2(C)CCOC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856417189 704527961 /nfs/dbraw/zinc/52/79/61/704527961.db2.gz KIYOAUALNSLCLU-GBIKHYSHSA-N -1 1 322.327 1.329 20 0 DDADMM CC[C@H](C)OCC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417912 704528132 /nfs/dbraw/zinc/52/81/32/704528132.db2.gz NJDCXLQOYYNZBY-VWYCJHECSA-N -1 1 324.343 1.717 20 0 DDADMM C[C@H](C(=O)[N-]OC(C)(C)CO)c1ccc(S(C)(=O)=O)cc1 ZINC000857284395 704574374 /nfs/dbraw/zinc/57/43/74/704574374.db2.gz JRNXHDJKWGNSKQ-JTQLQIEISA-N -1 1 315.391 1.012 20 0 DDADMM CN(OCC(F)(F)F)C(=O)C[N-]C(=O)C(C)(Cl)Cl ZINC000857426334 704589112 /nfs/dbraw/zinc/58/91/12/704589112.db2.gz WCNFJQZDLGCZPO-UHFFFAOYSA-N -1 1 311.087 1.249 20 0 DDADMM Cc1noc(C)c1CN1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858346123 704697988 /nfs/dbraw/zinc/69/79/88/704697988.db2.gz XTDZPVBOWUHHAQ-UHFFFAOYSA-N -1 1 323.784 1.763 20 0 DDADMM C[C@H]1CC[C@H](C[N-]S(=O)(=O)c2nc[nH]c2Br)C1 ZINC000867214910 706927581 /nfs/dbraw/zinc/92/75/81/706927581.db2.gz KOBUGKUIZDPFOH-YUMQZZPRSA-N -1 1 322.228 1.887 20 0 DDADMM C[C@H]1CCC[C@@]1(O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867313880 706956973 /nfs/dbraw/zinc/95/69/73/706956973.db2.gz SDMADHMZVBVNHB-QPUJVOFHSA-N -1 1 306.334 1.189 20 0 DDADMM CCON(CC)C(=O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000859274638 704845544 /nfs/dbraw/zinc/84/55/44/704845544.db2.gz SYAMLQGSXMLEDQ-UHFFFAOYSA-N -1 1 322.333 1.043 20 0 DDADMM CCn1cc([C@H](C)[N-]S(=O)(=O)N=S2(=O)CCCC2)cn1 ZINC000867364156 706975651 /nfs/dbraw/zinc/97/56/51/706975651.db2.gz MBBLOKBVGKOJPL-JTQLQIEISA-N -1 1 320.440 1.060 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC[C@H]2C2CCC2)n1 ZINC000822609251 704987015 /nfs/dbraw/zinc/98/70/15/704987015.db2.gz LEHLWQJZSQHLSB-NSHDSACASA-N -1 1 316.369 1.397 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC[C@H]2C2CCC2)n1 ZINC000822609251 704987021 /nfs/dbraw/zinc/98/70/21/704987021.db2.gz LEHLWQJZSQHLSB-NSHDSACASA-N -1 1 316.369 1.397 20 0 DDADMM O=C(C[C@@H](n1ccnc1)C(F)(F)F)[N-]O[C@@H]1CCCCO1 ZINC000874262293 704989082 /nfs/dbraw/zinc/98/90/82/704989082.db2.gz OTWNPFIEXUFOSY-MWLCHTKSSA-N -1 1 307.272 1.951 20 0 DDADMM COC[C@@H](OC)C(=O)Nc1nc(Br)ccc1[O-] ZINC000834297595 707024473 /nfs/dbraw/zinc/02/44/73/707024473.db2.gz BANFAVGVRLHIEO-SSDOTTSWSA-N -1 1 305.128 1.150 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H]1C[C@H](C(=O)[O-])C1)c1ccsc1 ZINC000874387110 705032122 /nfs/dbraw/zinc/03/21/22/705032122.db2.gz MSHUPQRTBBNZNZ-SRVKXCTJSA-N -1 1 311.407 1.513 20 0 DDADMM CCN(CC)[C@H](CNC(=O)N[C@H]1C[C@H](C(=O)[O-])C1)c1ccco1 ZINC000874387694 705032680 /nfs/dbraw/zinc/03/26/80/705032680.db2.gz FIXHYKICQVHJOX-JHJVBQTASA-N -1 1 323.393 1.825 20 0 DDADMM COC(=O)N1CCN(C(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000822889203 705074164 /nfs/dbraw/zinc/07/41/64/705074164.db2.gz KUFWJCLDBRSHNI-UHFFFAOYSA-N -1 1 315.329 1.465 20 0 DDADMM CC(=O)NC[C@H]1CCCCN1CC(=O)[N-]OCc1ccccc1 ZINC000860177442 705124083 /nfs/dbraw/zinc/12/40/83/705124083.db2.gz QIKJSWCGPMMHDE-MRXNPFEDSA-N -1 1 319.405 1.225 20 0 DDADMM CN(CC1=CCSC1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000823058914 705127131 /nfs/dbraw/zinc/12/71/31/705127131.db2.gz UKWGKRZGUQPZEX-UHFFFAOYSA-N -1 1 303.391 1.479 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCO[C@@](C)(C4CC4)C3)ccnc1-2 ZINC000823064352 705128912 /nfs/dbraw/zinc/12/89/12/705128912.db2.gz YPVAOYDIUQWDKQ-MRXNPFEDSA-N -1 1 315.377 1.375 20 0 DDADMM O=S(=O)([N-]CC[C@@H]1CCCS1)c1ccc(F)nc1F ZINC000867657978 707065549 /nfs/dbraw/zinc/06/55/49/707065549.db2.gz FGOQYEZWKKMJNN-QMMMGPOBSA-N -1 1 308.375 1.924 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCNC(=O)CC12CCC2 ZINC000874915392 705226170 /nfs/dbraw/zinc/22/61/70/705226170.db2.gz VEYQGCXLFVEVJQ-UHFFFAOYSA-N -1 1 308.765 1.930 20 0 DDADMM C[C@@H]1C[C@H](CCNC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000824875965 705549633 /nfs/dbraw/zinc/54/96/33/705549633.db2.gz PWTXYRJDAHSJPY-GHMZBOCLSA-N -1 1 316.365 1.197 20 0 DDADMM C[C@@H]1C[C@H](CCNC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000824875965 705549639 /nfs/dbraw/zinc/54/96/39/705549639.db2.gz PWTXYRJDAHSJPY-GHMZBOCLSA-N -1 1 316.365 1.197 20 0 DDADMM Cc1ccccc1[C@@H]1CN(C(=O)CCCc2nn[n-]n2)CCN1 ZINC000825154690 705611354 /nfs/dbraw/zinc/61/13/54/705611354.db2.gz XGMXIBFADWYUGZ-AWEZNQCLSA-N -1 1 314.393 1.004 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC[C@@H](n2cncn2)C1 ZINC000876395661 705707922 /nfs/dbraw/zinc/70/79/22/705707922.db2.gz MPZHPNKXQYIFHK-LLVKDONJSA-N -1 1 321.768 1.794 20 0 DDADMM Cc1ccc(-c2cc(C(=O)[N-]N3CCOC3=O)n[nH]2)cc1C ZINC000862703433 705780685 /nfs/dbraw/zinc/78/06/85/705780685.db2.gz XPDLIONJIISTSK-UHFFFAOYSA-N -1 1 300.318 1.791 20 0 DDADMM Cc1[nH]nc(C(F)F)c1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826233407 705782994 /nfs/dbraw/zinc/78/29/94/705782994.db2.gz GESQDHXVNQHWKQ-UHFFFAOYSA-N -1 1 320.263 1.483 20 0 DDADMM Cc1ccc(CNC(=O)Cc2noc(C)c2-c2nnn[n-]2)s1 ZINC000826287802 705787162 /nfs/dbraw/zinc/78/71/62/705787162.db2.gz DUPDHCQFLPJBJI-UHFFFAOYSA-N -1 1 318.362 1.392 20 0 DDADMM Cc1ccc(CNC(=O)Cc2noc(C)c2-c2nn[n-]n2)s1 ZINC000826287802 705787166 /nfs/dbraw/zinc/78/71/66/705787166.db2.gz DUPDHCQFLPJBJI-UHFFFAOYSA-N -1 1 318.362 1.392 20 0 DDADMM Cc1onc(CC(=O)N[C@@H](C)c2cccs2)c1-c1nnn[n-]1 ZINC000826345452 705793510 /nfs/dbraw/zinc/79/35/10/705793510.db2.gz LEBDCDJSEBWOBX-ZETCQYMHSA-N -1 1 318.362 1.645 20 0 DDADMM Cc1onc(CC(=O)N[C@@H](C)c2cccs2)c1-c1nn[n-]n1 ZINC000826345452 705793514 /nfs/dbraw/zinc/79/35/14/705793514.db2.gz LEBDCDJSEBWOBX-ZETCQYMHSA-N -1 1 318.362 1.645 20 0 DDADMM Cc1onc(CC(=O)N[C@H](C)c2ccccc2)c1-c1nnn[n-]1 ZINC000826345614 705793537 /nfs/dbraw/zinc/79/35/37/705793537.db2.gz PDHOCDMANPCBBU-SECBINFHSA-N -1 1 312.333 1.583 20 0 DDADMM Cc1onc(CC(=O)N[C@H](C)c2ccccc2)c1-c1nn[n-]n1 ZINC000826345614 705793541 /nfs/dbraw/zinc/79/35/41/705793541.db2.gz PDHOCDMANPCBBU-SECBINFHSA-N -1 1 312.333 1.583 20 0 DDADMM Cc1onc(CC(=O)NC[C@H]2CCCC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826347223 705794660 /nfs/dbraw/zinc/79/46/60/705794660.db2.gz JDMSONSKFJLHBY-GXSJLCMTSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)NC[C@H]2CCCC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826347223 705794664 /nfs/dbraw/zinc/79/46/64/705794664.db2.gz JDMSONSKFJLHBY-GXSJLCMTSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)NCC(C)(C)CC(C)C)c1-c1nnn[n-]1 ZINC000826346404 705794801 /nfs/dbraw/zinc/79/48/01/705794801.db2.gz LRSDYEYYKPOVOZ-UHFFFAOYSA-N -1 1 320.397 1.894 20 0 DDADMM Cc1onc(CC(=O)NCC(C)(C)CC(C)C)c1-c1nn[n-]n1 ZINC000826346404 705794803 /nfs/dbraw/zinc/79/48/03/705794803.db2.gz LRSDYEYYKPOVOZ-UHFFFAOYSA-N -1 1 320.397 1.894 20 0 DDADMM O=S(=O)(Cc1cccc(F)c1F)[N-]C[C@H](O)C(F)(F)F ZINC000827187545 705946822 /nfs/dbraw/zinc/94/68/22/705946822.db2.gz FTMZYYYNLJOIDH-QMMMGPOBSA-N -1 1 319.251 1.307 20 0 DDADMM O=C([O-])C1=CC[C@H](NC(=O)[C@H]2CCCN2Cc2ccccc2)C1 ZINC000864166671 706087162 /nfs/dbraw/zinc/08/71/62/706087162.db2.gz ZSUBJQJAVRIXST-JKSUJKDBSA-N -1 1 314.385 1.941 20 0 DDADMM O=C(c1ccc(OC(F)F)nc1)N1CCC(c2nn[n-]n2)CC1 ZINC000828417076 706175652 /nfs/dbraw/zinc/17/56/52/706175652.db2.gz RVAZFQKGHUBFRZ-UHFFFAOYSA-N -1 1 324.291 1.216 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCC[C@H]2CCOC2)o1 ZINC000828716413 706220788 /nfs/dbraw/zinc/22/07/88/706220788.db2.gz UYHHSVMOUSASSH-JTQLQIEISA-N -1 1 317.363 1.161 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCC[C@@H]2CCOC2)o1 ZINC000828716417 706220907 /nfs/dbraw/zinc/22/09/07/706220907.db2.gz UYHHSVMOUSASSH-SNVBAGLBSA-N -1 1 317.363 1.161 20 0 DDADMM CC(C)C=Cc1cn(Cc2nc3c(c(=O)[n-]2)COCC3)nn1 ZINC000864654856 706226363 /nfs/dbraw/zinc/22/63/63/706226363.db2.gz WFDOYGVTQHDHLD-ONEGZZNKSA-N -1 1 301.350 1.564 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C(C)=O)C(C)C)c1 ZINC000829294090 706315372 /nfs/dbraw/zinc/31/53/72/706315372.db2.gz NQRGEQCOCYFECA-YCRPNKLZSA-N -1 1 312.387 1.960 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C2OCCO2)cc1)c1nn[n-]n1 ZINC000829801484 706398809 /nfs/dbraw/zinc/39/88/09/706398809.db2.gz QIXVNJGUQSVCNB-GFCCVEGCSA-N -1 1 317.349 1.516 20 0 DDADMM C[C@H]1CC[N@H+](C[C@H]2COCCO2)[C@@H](C)[C@H]1NC(=O)C(F)(F)F ZINC000829902969 706416842 /nfs/dbraw/zinc/41/68/42/706416842.db2.gz WHELTKMYAXIQNQ-BJDJZHNGSA-N -1 1 324.343 1.179 20 0 DDADMM CC1(C)CC[C@@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)O1 ZINC000830801780 706582257 /nfs/dbraw/zinc/58/22/57/706582257.db2.gz OBWVUVCPGCPOCV-TVQRCGJNSA-N -1 1 322.327 1.614 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CCO1 ZINC000830807061 706583039 /nfs/dbraw/zinc/58/30/39/706583039.db2.gz BHOUYVYULCEVHW-GBIKHYSHSA-N -1 1 322.327 1.471 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2=CCOCC2)C1 ZINC000830808372 706583876 /nfs/dbraw/zinc/58/38/76/706583876.db2.gz ZVLLERREBLTWQS-GFCCVEGCSA-N -1 1 306.284 1.003 20 0 DDADMM Cc1c[nH]cc1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830832320 706588972 /nfs/dbraw/zinc/58/89/72/706588972.db2.gz DNLHNGFUYGPVDQ-GFCCVEGCSA-N -1 1 303.284 1.606 20 0 DDADMM O=C(N1CCC[C@@H](c2nn[n-]n2)C1)C1([C@@H]2CCCCO2)CCC1 ZINC000866901680 706839819 /nfs/dbraw/zinc/83/98/19/706839819.db2.gz LTEIAKPXKLFPJN-OLZOCXBDSA-N -1 1 319.409 1.645 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1C[C@](C)(OC)C1(C)C ZINC000866904542 706840599 /nfs/dbraw/zinc/84/05/99/706840599.db2.gz QIUDPPWLUIADIE-DNTLEWNLSA-N -1 1 312.457 1.142 20 0 DDADMM CCCOC(=O)CC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866910307 706842050 /nfs/dbraw/zinc/84/20/50/706842050.db2.gz PPAILAFFSJGCHC-UHFFFAOYSA-N -1 1 324.761 1.496 20 0 DDADMM CCC[C@]1(C(=O)[O-])CCCN1C(=O)NCc1cc(CC)[nH]n1 ZINC000908981141 712907990 /nfs/dbraw/zinc/90/79/90/712907990.db2.gz HKPJVWQNSKNBOC-OAHLLOKOSA-N -1 1 308.382 1.901 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCC1 ZINC000866960101 706856750 /nfs/dbraw/zinc/85/67/50/706856750.db2.gz KGTVACZGOJUALG-UHFFFAOYSA-N -1 1 320.361 1.845 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)c1nccs1 ZINC000867099804 706895902 /nfs/dbraw/zinc/89/59/02/706895902.db2.gz AGINLXPQDXSTNK-SSDOTTSWSA-N -1 1 319.358 1.898 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H](C)C(=O)OC(C)C ZINC000832555081 706939530 /nfs/dbraw/zinc/93/95/30/706939530.db2.gz AIPGGUYKMSCESQ-QMMMGPOBSA-N -1 1 323.802 1.000 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)c2nccn21 ZINC000867356740 706973137 /nfs/dbraw/zinc/97/31/37/706973137.db2.gz DNPWVGOGWLCIPI-JGVFFNPUSA-N -1 1 314.317 1.541 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000867380684 706981593 /nfs/dbraw/zinc/98/15/93/706981593.db2.gz JUIZESRVCYYHKJ-MRVPVSSYSA-N -1 1 309.290 1.447 20 0 DDADMM O=C(CCc1nn[n-]n1)N1Cc2ccc(Br)cc2C1 ZINC000867428070 706998676 /nfs/dbraw/zinc/99/86/76/706998676.db2.gz CZVYFSAZZXDQOF-UHFFFAOYSA-N -1 1 322.166 1.437 20 0 DDADMM Cc1nnc(SCC(=O)NCCc2ccc(C(=O)[O-])cc2)[nH]1 ZINC000832990640 706999309 /nfs/dbraw/zinc/99/93/09/706999309.db2.gz IDOSZPLKUQNUEK-UHFFFAOYSA-N -1 1 320.374 1.262 20 0 DDADMM Cc1n[nH]c(SCC(=O)NCCc2ccc(C(=O)[O-])cc2)n1 ZINC000832990640 706999311 /nfs/dbraw/zinc/99/93/11/706999311.db2.gz IDOSZPLKUQNUEK-UHFFFAOYSA-N -1 1 320.374 1.262 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1ccc(Cl)nc1F)C(=O)OC ZINC000867449349 707004413 /nfs/dbraw/zinc/00/44/13/707004413.db2.gz RNEWDJVNRMRAHJ-SSDOTTSWSA-N -1 1 324.761 1.352 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccc(F)nc1F)C1CCCC1 ZINC000867565016 707041277 /nfs/dbraw/zinc/04/12/77/707041277.db2.gz KJLQLZLMTDAPPN-UHFFFAOYSA-N -1 1 304.318 1.397 20 0 DDADMM COC(=O)c1nc(Cl)ccc1S(=O)(=O)[N-]CC(C)(C)F ZINC000867576481 707044574 /nfs/dbraw/zinc/04/45/74/707044574.db2.gz LTMOEBWPAIGXJJ-UHFFFAOYSA-N -1 1 324.761 1.548 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2cc(N)cc(F)c2)sc1C ZINC000871808825 707213259 /nfs/dbraw/zinc/21/32/59/707213259.db2.gz HMTHHOGHUUVLOG-UHFFFAOYSA-N -1 1 315.395 1.960 20 0 DDADMM COC(=O)c1c(C)sc(C)c1[N-]S(=O)(=O)C[C@@H](C)OC ZINC000872009687 707279492 /nfs/dbraw/zinc/27/94/92/707279492.db2.gz RTEXKTBCMXNIHF-SSDOTTSWSA-N -1 1 321.420 1.928 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC[C@@H](C)SC)co1 ZINC000835665861 707303589 /nfs/dbraw/zinc/30/35/89/707303589.db2.gz MHCRQCAKRONEFD-MRVPVSSYSA-N -1 1 307.393 1.486 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cn(CC3CC3)nn2)[n-]1 ZINC000836182814 707412740 /nfs/dbraw/zinc/41/27/40/707412740.db2.gz ORKGFSKDMZJJHA-UHFFFAOYSA-N -1 1 304.306 1.160 20 0 DDADMM CO[C@@H](C)CC[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872446044 707415376 /nfs/dbraw/zinc/41/53/76/707415376.db2.gz XNEYDRKUZDVQJJ-HUTHGQBESA-N -1 1 300.446 1.142 20 0 DDADMM O=C(OC[C@@H]1COCCO1)c1nn(-c2ccccc2)cc1[O-] ZINC000837352559 707627157 /nfs/dbraw/zinc/62/71/57/707627157.db2.gz DYUTUWGSEFOBCI-LBPRGKRZSA-N -1 1 304.302 1.150 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)CN1CCCC1)Cc1cccc(F)c1 ZINC000909240570 712971312 /nfs/dbraw/zinc/97/13/12/712971312.db2.gz XINWLVTYMGQSPE-CYBMUJFWSA-N -1 1 308.353 1.281 20 0 DDADMM Cc1cc(F)ccc1-n1cc([O-])c(C(=O)O[C@@H]2CCOC2)n1 ZINC000837708775 707722583 /nfs/dbraw/zinc/72/25/83/707722583.db2.gz XTTKMJWNXRZFEM-LLVKDONJSA-N -1 1 306.293 1.971 20 0 DDADMM CCNS(=O)(=O)[N-]CC(F)(F)c1cc(F)cc(F)c1 ZINC000882660165 707736606 /nfs/dbraw/zinc/73/66/06/707736606.db2.gz NCICPTKDADKFCN-UHFFFAOYSA-N -1 1 300.277 1.501 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC2(CCC2)O1)c1ccc(F)nc1F ZINC000882776938 707789522 /nfs/dbraw/zinc/78/95/22/707789522.db2.gz PUDXOWCMQNPSIU-VIFPVBQESA-N -1 1 318.345 1.740 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1nn(C)cc1Cl ZINC000882796711 707800728 /nfs/dbraw/zinc/80/07/28/707800728.db2.gz PYUITGGBYPQYQN-UHFFFAOYSA-N -1 1 309.775 1.014 20 0 DDADMM CO[C@H]1C[C@@H](COC(=O)c2sccc2[N-]S(C)(=O)=O)C1 ZINC000873348561 707820970 /nfs/dbraw/zinc/82/09/70/707820970.db2.gz LVPMVWDXFFLGRE-DTORHVGOSA-N -1 1 319.404 1.701 20 0 DDADMM CN1CC[C@@H]1C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000921412607 713761452 /nfs/dbraw/zinc/76/14/52/713761452.db2.gz RHWNABNDGWJZDR-MRVPVSSYSA-N -1 1 310.206 1.371 20 0 DDADMM COc1nnc([N-]C(=O)c2sc(N(C)C)nc2Cl)s1 ZINC000839334836 708043261 /nfs/dbraw/zinc/04/32/61/708043261.db2.gz XUMOAIFLVFQSTG-UHFFFAOYSA-N -1 1 319.799 1.975 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC[C@@H]2C=CCC2)C1 ZINC000883785522 708064109 /nfs/dbraw/zinc/06/41/09/708064109.db2.gz UWBAHGAIUXEPEC-ZWNOBZJWSA-N -1 1 319.327 1.805 20 0 DDADMM O=C([C@H]1CCOC2(CCC2)C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000897161366 708241845 /nfs/dbraw/zinc/24/18/45/708241845.db2.gz GIFMDYCHWYCVQE-RYUDHWBXSA-N -1 1 305.382 1.255 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])C[C@H](CO)O1 ZINC000897520400 708361554 /nfs/dbraw/zinc/36/15/54/708361554.db2.gz GVSUJXFXBAGOJF-GXFFZTMASA-N -1 1 317.341 1.473 20 0 DDADMM CS(=O)(=O)C[C@@H]1CN(Cc2cccc([O-])c2Cl)CCO1 ZINC000897598756 708388238 /nfs/dbraw/zinc/38/82/38/708388238.db2.gz FOAJJXYNMOQYHD-NSHDSACASA-N -1 1 319.810 1.291 20 0 DDADMM Cc1nc(C)c(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)s1 ZINC000897641175 708403686 /nfs/dbraw/zinc/40/36/86/708403686.db2.gz XWDKOORPMOIULL-JTQLQIEISA-N -1 1 322.390 1.797 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CCCC(C)(C)O1)C1CC1 ZINC000885038010 708451606 /nfs/dbraw/zinc/45/16/06/708451606.db2.gz CRZYXQDZRGSWOM-NEPJUHHUSA-N -1 1 319.423 1.205 20 0 DDADMM COCC(COC)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897905094 708488476 /nfs/dbraw/zinc/48/84/76/708488476.db2.gz KLGKGJHOUCLZLC-UHFFFAOYSA-N -1 1 305.330 1.642 20 0 DDADMM CSc1nc(CNC(=O)c2cnc(Cl)n2C)cc(=O)[n-]1 ZINC000898526205 708668010 /nfs/dbraw/zinc/66/80/10/708668010.db2.gz QAAQGYQSWKFBLX-UHFFFAOYSA-N -1 1 313.770 1.221 20 0 DDADMM O=C(C[C@@H]1CCCC(=O)N1)NCCc1c(F)cc([O-])cc1F ZINC000886268374 708732820 /nfs/dbraw/zinc/73/28/20/708732820.db2.gz NFAMZKKFUZDYIQ-VIFPVBQESA-N -1 1 312.316 1.388 20 0 DDADMM CO[C@]1(C(=O)NCCc2c(F)cc([O-])cc2F)CCSC1 ZINC000886271877 708734022 /nfs/dbraw/zinc/73/40/22/708734022.db2.gz ZCXLMTSXSFNSKJ-CQSZACIVSA-N -1 1 317.357 1.851 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@H](C)C1 ZINC000886373894 708751106 /nfs/dbraw/zinc/75/11/06/708751106.db2.gz GYHQDUSUTJWFAX-MWLCHTKSSA-N -1 1 319.361 1.473 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC[C@@H](O)C(F)F ZINC000927783710 713055276 /nfs/dbraw/zinc/05/52/76/713055276.db2.gz CSRYUIGCZAFRQV-LLVKDONJSA-N -1 1 324.274 1.528 20 0 DDADMM O=C(N[C@H]1C[C@H](CO)C1)c1ccc(Br)cc1[O-] ZINC000886890201 708884236 /nfs/dbraw/zinc/88/42/36/708884236.db2.gz ZNBLIGVYGAORNG-XWEPSHTISA-N -1 1 300.152 1.655 20 0 DDADMM COC[C@H]1COCCN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000886862230 708869209 /nfs/dbraw/zinc/86/92/09/708869209.db2.gz CABGDWKQUFHVMS-JTQLQIEISA-N -1 1 319.279 1.898 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)C[C@](C)(C(=O)[O-])c1ccccc1 ZINC000887315850 709015582 /nfs/dbraw/zinc/01/55/82/709015582.db2.gz CUICKDYUDMFBLL-PBHICJAKSA-N -1 1 304.390 1.629 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCc2cc(F)c(F)cc2C1 ZINC000899224807 709026247 /nfs/dbraw/zinc/02/62/47/709026247.db2.gz XHLZKVOVDBCLNW-UHFFFAOYSA-N -1 1 307.304 1.386 20 0 DDADMM N[C@H](Cc1cc2ccccc2o1)C(=O)N1CCCC[C@H]1C(=O)[O-] ZINC000887395359 709035282 /nfs/dbraw/zinc/03/52/82/709035282.db2.gz BXDSGLDFODRZEA-KGLIPLIRSA-N -1 1 316.357 1.768 20 0 DDADMM C[C@H](O)[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccccc1 ZINC000912734678 713078220 /nfs/dbraw/zinc/07/82/20/713078220.db2.gz MIXQXHLFSSUPKT-IINYFYTJSA-N -1 1 313.357 1.912 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCOC[C@H]1C[C@H]1CCOC1 ZINC000887811206 709130159 /nfs/dbraw/zinc/13/01/59/709130159.db2.gz PYPJGUNPTXPFCD-DGCLKSJQSA-N -1 1 309.337 1.799 20 0 DDADMM COC(=O)CC1CC(NC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000927935208 713080610 /nfs/dbraw/zinc/08/06/10/713080610.db2.gz UZAXDFMHBLKHBC-UHFFFAOYSA-N -1 1 320.374 1.090 20 0 DDADMM CN=[S@](C)(=O)CCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000912789409 713093429 /nfs/dbraw/zinc/09/34/29/713093429.db2.gz HZOZWGWCQAXMFT-OAQYLSRUSA-N -1 1 324.324 1.868 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCO[C@@H]2COCC[C@@H]21 ZINC000888784522 709380921 /nfs/dbraw/zinc/38/09/21/709380921.db2.gz WRZSCEFWDWMRCY-UONOGXRCSA-N -1 1 314.341 1.570 20 0 DDADMM Cn1cc([C@@H]2CSCCCN2C(=O)c2ncccc2[O-])cn1 ZINC000888888810 709397495 /nfs/dbraw/zinc/39/74/95/709397495.db2.gz UDXPULZVPQLHCF-LBPRGKRZSA-N -1 1 318.402 1.841 20 0 DDADMM CC(C)N1CCC[C@H]1C(=O)Nc1ccc(OCC(=O)[O-])cc1 ZINC000909491961 709487373 /nfs/dbraw/zinc/48/73/73/709487373.db2.gz KDOXCRCOWDONTP-AWEZNQCLSA-N -1 1 306.362 1.961 20 0 DDADMM CN(CC(=O)NCC1(C(=O)[O-])CCCCC1)[C@H]1CCSC1 ZINC000909525206 709501542 /nfs/dbraw/zinc/50/15/42/709501542.db2.gz GLDJQRCSHWRYJU-LBPRGKRZSA-N -1 1 314.451 1.575 20 0 DDADMM O=C(NC[C@@H]1COCCO1)c1c([O-])cnc2c(F)cccc21 ZINC000900291188 709523607 /nfs/dbraw/zinc/52/36/07/709523607.db2.gz BJCUTVYQJFVMAP-SECBINFHSA-N -1 1 306.293 1.225 20 0 DDADMM CSCC[C@H](NC(=O)CCCc1ccccn1)c1nn[n-]n1 ZINC000912860720 713110173 /nfs/dbraw/zinc/11/01/73/713110173.db2.gz VSPMYCKYSQVUFA-LBPRGKRZSA-N -1 1 320.422 1.528 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)CN2CCOCC2)c(C(=O)[O-])c1 ZINC000909612315 709539827 /nfs/dbraw/zinc/53/98/27/709539827.db2.gz ILSZVVMODAGTMN-NSHDSACASA-N -1 1 322.361 1.300 20 0 DDADMM Cc1cc(CC(=O)N[C@H](C(=O)[O-])c2ccc(C)c(C)c2)[nH]n1 ZINC000909693497 709580898 /nfs/dbraw/zinc/58/08/98/709580898.db2.gz IOGXZZVRSGDIBE-HNNXBMFYSA-N -1 1 301.346 1.820 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(C(=O)[C@@H]2CCc3nc[nH]c3C2)C1 ZINC000909698866 709584542 /nfs/dbraw/zinc/58/45/42/709584542.db2.gz NCOCKKQMJGQPTD-BZNIZROVSA-N -1 1 305.378 1.474 20 0 DDADMM C[N@H+]1CCCC[C@H]1C(=O)N(CC(=O)[O-])Cc1ccc(F)cc1 ZINC000909731719 709599238 /nfs/dbraw/zinc/59/92/38/709599238.db2.gz SLBGDASXJIFJFS-AWEZNQCLSA-N -1 1 308.353 1.723 20 0 DDADMM CN1CCCC[C@H]1C(=O)N(CC(=O)[O-])Cc1ccc(F)cc1 ZINC000909731719 709599242 /nfs/dbraw/zinc/59/92/42/709599242.db2.gz SLBGDASXJIFJFS-AWEZNQCLSA-N -1 1 308.353 1.723 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@@H](CC(F)F)C(=O)[O-])c1ccccc1 ZINC000909775409 709613921 /nfs/dbraw/zinc/61/39/21/709613921.db2.gz YCEDFOCFTPJQFY-AAEUAGOBSA-N -1 1 314.332 1.904 20 0 DDADMM CCC[C@@H](CNC(=O)c1c(C)n[nH]c1C(F)(F)F)C(=O)[O-] ZINC000909812212 709633718 /nfs/dbraw/zinc/63/37/18/709633718.db2.gz QZBSKHNHJBMKDF-ZETCQYMHSA-N -1 1 307.272 1.968 20 0 DDADMM C[C@]1(C(=O)[O-])CCCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC000909841190 709647010 /nfs/dbraw/zinc/64/70/10/709647010.db2.gz FVIPHNMTPPZRET-NSHDSACASA-N -1 1 305.256 1.755 20 0 DDADMM CC(C)O[C@@H]1CCCN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)C1 ZINC000909874574 709664338 /nfs/dbraw/zinc/66/43/38/709664338.db2.gz HCJJNKMSPGLJHP-UONOGXRCSA-N -1 1 312.410 1.199 20 0 DDADMM CN(CC(=O)NC[C@@H](CC1CC1)C(=O)[O-])[C@H]1CCSC1 ZINC000909881972 709667504 /nfs/dbraw/zinc/66/75/04/709667504.db2.gz RRHIQTMIKVEODM-NEPJUHHUSA-N -1 1 300.424 1.041 20 0 DDADMM CCN(CC(=O)N[C@H](C(=O)[O-])[C@@H]1CCC[C@H](OC)C1)C1CC1 ZINC000909915771 709687050 /nfs/dbraw/zinc/68/70/50/709687050.db2.gz VQHIJWZRVZXPQW-ZLDLUXBVSA-N -1 1 312.410 1.245 20 0 DDADMM CC1CCN(CC(=O)NC(C)(C)c2cc(C(=O)[O-])on2)CC1 ZINC000909922386 709690044 /nfs/dbraw/zinc/69/00/44/709690044.db2.gz HYSRXYBZVNDQPZ-UHFFFAOYSA-N -1 1 309.366 1.456 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CCCCC[C@H]3C(=O)[O-])n[nH]2)c1 ZINC000910047224 709751578 /nfs/dbraw/zinc/75/15/78/709751578.db2.gz VPOKGUHZPBQTML-AWEZNQCLSA-N -1 1 316.361 1.885 20 0 DDADMM C[C@H](C(=O)N[C@H](Cc1cccc2ccccc21)C(=O)[O-])N(C)C ZINC000910071079 709763429 /nfs/dbraw/zinc/76/34/29/709763429.db2.gz UWHPWONRVAJFOY-MLGOLLRUSA-N -1 1 314.385 1.902 20 0 DDADMM CCc1cccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)n1 ZINC000910172618 709797134 /nfs/dbraw/zinc/79/71/34/709797134.db2.gz DHXSQISAXBDFSW-GFCCVEGCSA-N -1 1 305.378 1.265 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C[C@H](O)CC(C)(C)C)CC1 ZINC000910173582 709797487 /nfs/dbraw/zinc/79/74/87/709797487.db2.gz NCXIVWZGYAEISQ-STQMWFEESA-N -1 1 314.426 1.181 20 0 DDADMM Cc1ncsc1/C=C/C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910179966 709799734 /nfs/dbraw/zinc/79/97/34/709799734.db2.gz PFMNKUABGAWDPK-UFFNRZRYSA-N -1 1 323.418 1.472 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2csc3cncn32)CC1 ZINC000910182268 709801209 /nfs/dbraw/zinc/80/12/09/709801209.db2.gz WKLIXKIHWFDKLM-UHFFFAOYSA-N -1 1 322.390 1.017 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@H]1CCc2nc[nH]c2C1)C1CCCCC1 ZINC000910230827 709828614 /nfs/dbraw/zinc/82/86/14/709828614.db2.gz NJZIKLIAUZFVMT-QWHCGFSZSA-N -1 1 319.405 1.912 20 0 DDADMM Cc1ccc(CC[C@H](C)NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)o1 ZINC000910250940 709840954 /nfs/dbraw/zinc/84/09/54/709840954.db2.gz PGTNRCLWMONJSW-GXTWGEPZSA-N -1 1 322.405 1.822 20 0 DDADMM COCC(C)(C)N1CCN(c2ncc(C(=O)[O-])c(C)n2)CC1 ZINC000910298477 709875048 /nfs/dbraw/zinc/87/50/48/709875048.db2.gz AIFVPSDBYIALSZ-UHFFFAOYSA-N -1 1 308.382 1.030 20 0 DDADMM C[C@@H]1COCCN1C1CCN(c2ccc(C(=O)[O-])cn2)CC1 ZINC000910383754 709917666 /nfs/dbraw/zinc/91/76/66/709917666.db2.gz LKLOXIHNFDNVKS-GFCCVEGCSA-N -1 1 305.378 1.469 20 0 DDADMM O=C(N[C@@H]1CCNC(=O)CC1)c1c([O-])cnc2c(F)cccc21 ZINC000910451823 709949193 /nfs/dbraw/zinc/94/91/93/709949193.db2.gz HHLIZYVBIRSZSC-VIFPVBQESA-N -1 1 317.320 1.478 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC[C@@H](O)[C@@H](F)C1 ZINC000890691644 709956174 /nfs/dbraw/zinc/95/61/74/709956174.db2.gz LCTMUUDQIWYEEW-VHSXEESVSA-N -1 1 318.142 1.700 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CC[C@@H](O)[C@H](F)C1 ZINC000890693164 709956652 /nfs/dbraw/zinc/95/66/52/709956652.db2.gz UKVWWNYASRYJJU-NXEZZACHSA-N -1 1 318.142 1.700 20 0 DDADMM COc1cc(C(=O)N2CC[C@H](O)[C@@H](F)C2)cc(Cl)c1[O-] ZINC000890704326 709964193 /nfs/dbraw/zinc/96/41/93/709964193.db2.gz GPLQHAQHGLDAPD-UWVGGRQHSA-N -1 1 303.717 1.599 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC[C@@H]1CCC2(CCC2)O1 ZINC000910514505 709978675 /nfs/dbraw/zinc/97/86/75/709978675.db2.gz WGZUJTWBVKIAPV-STQMWFEESA-N -1 1 310.394 1.001 20 0 DDADMM CC[C@@H]1CN(C(=O)c2n[nH]cc2C(F)(F)F)C[C@@]1(F)C(=O)[O-] ZINC000910548745 709994601 /nfs/dbraw/zinc/99/46/01/709994601.db2.gz HSJRVXLTMIEFCZ-KBUNVGBDSA-N -1 1 323.246 1.703 20 0 DDADMM C[C@@H](C(=O)N[C@@H](Cc1cc2ccccc2o1)C(=O)[O-])N(C)C ZINC000910579855 710008734 /nfs/dbraw/zinc/00/87/34/710008734.db2.gz LYLNOPBPXRZXBF-GWCFXTLKSA-N -1 1 304.346 1.495 20 0 DDADMM CC[C@@H](C(=O)Nc1ccc(C(=O)[O-])cc1OCCOC)N(C)C ZINC000910692309 710049601 /nfs/dbraw/zinc/04/96/01/710049601.db2.gz CJSKYFXLTBHLDS-ZDUSSCGKSA-N -1 1 324.377 1.689 20 0 DDADMM COC[C@H](NCc1ccc(OC)cc1Br)C(=O)[O-] ZINC000901479635 710051405 /nfs/dbraw/zinc/05/14/05/710051405.db2.gz GROMVVFRCGKBDU-NSHDSACASA-N -1 1 318.167 1.647 20 0 DDADMM CCCC[C@@H](NC(=O)C(C)(C)CN1CCOCC1)C(=O)[O-] ZINC000901488474 710054138 /nfs/dbraw/zinc/05/41/38/710054138.db2.gz GYPUGSISFFFUBV-GFCCVEGCSA-N -1 1 300.399 1.104 20 0 DDADMM O=C1NCCN1CCSc1nc(-c2ccccc2)cc(=O)[n-]1 ZINC000901616838 710097273 /nfs/dbraw/zinc/09/72/73/710097273.db2.gz RGVBPQHTZFUCHI-UHFFFAOYSA-N -1 1 316.386 1.967 20 0 DDADMM CCC[C@H](CN(C)CC(=O)N1CCC[C@@H](C(=O)[O-])C1)OC ZINC000901621896 710098631 /nfs/dbraw/zinc/09/86/31/710098631.db2.gz BHJOEBQSHGXDAE-CHWSQXEVSA-N -1 1 300.399 1.057 20 0 DDADMM CN(CC(=O)N1CCC[C@@H](C(=O)[O-])C1)Cc1ccccc1O ZINC000901625683 710100387 /nfs/dbraw/zinc/10/03/87/710100387.db2.gz DOUIQJOWUGKREF-CYBMUJFWSA-N -1 1 306.362 1.147 20 0 DDADMM CNC(=O)NCCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000910893554 710111116 /nfs/dbraw/zinc/11/11/16/710111116.db2.gz RPNYMRPESCKSJQ-UHFFFAOYSA-N -1 1 305.256 1.070 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)C1 ZINC000901659814 710111922 /nfs/dbraw/zinc/11/19/22/710111922.db2.gz FDIMWJHFBLFWPJ-VCDKRKBESA-N -1 1 305.378 1.645 20 0 DDADMM Cc1cc(Br)ccc1CN1CC[C@@](O)(C(=O)[O-])C1 ZINC000901902429 710169003 /nfs/dbraw/zinc/16/90/03/710169003.db2.gz FHQFRAWUYQYUCA-ZDUSSCGKSA-N -1 1 314.179 1.779 20 0 DDADMM CC1CCN(S(=O)(=O)[N-]c2nn(C)c3ccccc32)CC1 ZINC000901986171 710192903 /nfs/dbraw/zinc/19/29/03/710192903.db2.gz GFJLWHFLRHEYQF-UHFFFAOYSA-N -1 1 308.407 1.962 20 0 DDADMM CCOC(=O)[C@H](CSC)NC(=O)c1cc(F)ccc1[O-] ZINC000928287520 713164017 /nfs/dbraw/zinc/16/40/17/713164017.db2.gz JJIRTJOGMSNGOE-JTQLQIEISA-N -1 1 301.339 1.556 20 0 DDADMM CC(C)(C)OC(=O)NOCC(=O)Nc1cccc(F)c1[O-] ZINC000913159627 713173706 /nfs/dbraw/zinc/17/37/06/713173706.db2.gz USRITYARDAQJAP-UHFFFAOYSA-N -1 1 300.286 1.926 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H](C)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000902030658 710588712 /nfs/dbraw/zinc/58/87/12/710588712.db2.gz SYMRJWFFWKVOIF-XRRVDJEJSA-N -1 1 307.394 1.840 20 0 DDADMM Cc1cc(C(=O)N2CCOc3ccccc3[C@@H]2C(=O)[O-])n[nH]1 ZINC000911052733 710612051 /nfs/dbraw/zinc/61/20/51/710612051.db2.gz KAZIPJOAEBHPSM-CYBMUJFWSA-N -1 1 301.302 1.379 20 0 DDADMM CCc1cc(C(=O)N2CCOc3ccccc3[C@H]2C(=O)[O-])n[nH]1 ZINC000911052568 710612079 /nfs/dbraw/zinc/61/20/79/710612079.db2.gz ILSHYQYCDXMSDD-AWEZNQCLSA-N -1 1 315.329 1.633 20 0 DDADMM CN(C)[C@@H](CNC(=O)Cn1ccc(C(=O)[O-])n1)c1cccs1 ZINC000911099515 710633545 /nfs/dbraw/zinc/63/35/45/710633545.db2.gz FQGQSIPURDVFGY-NSHDSACASA-N -1 1 322.390 1.062 20 0 DDADMM C[C@@H]1CN(C(=O)CN(C)CCc2ccccc2)CC[C@H]1C(=O)[O-] ZINC000902176369 710649685 /nfs/dbraw/zinc/64/96/85/710649685.db2.gz IDOSVPPHCFVJIL-GDBMZVCRSA-N -1 1 318.417 1.730 20 0 DDADMM Cc1cc(C(=O)[O-])oc1C(=O)N1CCN(C2CCCC2)CC1 ZINC000911165811 710665048 /nfs/dbraw/zinc/66/50/48/710665048.db2.gz BBAXRNSJCQXCAY-UHFFFAOYSA-N -1 1 306.362 1.987 20 0 DDADMM CCN(CC)[C@@H](C(=O)NCCOCC(=O)[O-])c1ccccc1 ZINC000902294152 710701747 /nfs/dbraw/zinc/70/17/47/710701747.db2.gz QSCQGNXLYJXEMA-OAHLLOKOSA-N -1 1 308.378 1.287 20 0 DDADMM COCCN1CCC[C@H]1CNC(=O)c1oc(C(=O)[O-])cc1C ZINC000911334528 710747307 /nfs/dbraw/zinc/74/73/07/710747307.db2.gz BGXSLPBBBGNRRA-NSHDSACASA-N -1 1 310.350 1.127 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)CCc1ccc(C(=O)[O-])cc1 ZINC000911375726 710769053 /nfs/dbraw/zinc/76/90/53/710769053.db2.gz KHNVDNKWKNXWDY-HNNXBMFYSA-N -1 1 304.390 1.870 20 0 DDADMM Cc1[nH]ncc1C1CCN(C(=O)c2cccc(C(=O)[O-])n2)CC1 ZINC000911595694 710873038 /nfs/dbraw/zinc/87/30/38/710873038.db2.gz QNUTVGMBGCSNCS-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM CC(C)(C)[C@H](C(=O)[O-])C(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000902818018 710915340 /nfs/dbraw/zinc/91/53/40/710915340.db2.gz HZFJJTKKCWSWSO-SRVKXCTJSA-N -1 1 314.451 1.429 20 0 DDADMM COCc1nc(N2CC[C@@H](O)[C@H](C3CCCC3)C2)cc(=O)[n-]1 ZINC000894171643 710997667 /nfs/dbraw/zinc/99/76/67/710997667.db2.gz OKAAYMNWHCNSJX-QWHCGFSZSA-N -1 1 307.394 1.706 20 0 DDADMM O=C([O-])CN1CC[C@H](N(Cc2cccc(F)c2)C2CCC2)C1=O ZINC000903420748 711120682 /nfs/dbraw/zinc/12/06/82/711120682.db2.gz NDBOBDDUYXLKIQ-HNNXBMFYSA-N -1 1 320.364 1.866 20 0 DDADMM COCc1nc(NCc2c(OC)cccc2OC)cc(=O)[n-]1 ZINC000894521656 711161615 /nfs/dbraw/zinc/16/16/15/711161615.db2.gz YOQDHAFXBZXEPM-UHFFFAOYSA-N -1 1 305.334 1.958 20 0 DDADMM C[C@](CO)(NC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccccc1 ZINC000912089862 711169142 /nfs/dbraw/zinc/16/91/42/711169142.db2.gz DMQINWTWUBBTGW-QGZVFWFLSA-N -1 1 313.357 1.697 20 0 DDADMM C[C@H](CCC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC000913494417 713237269 /nfs/dbraw/zinc/23/72/69/713237269.db2.gz AEIPBCOOJQYFOX-TZMCWYRMSA-N -1 1 315.377 1.684 20 0 DDADMM O=C(N[C@@H]([C@H]1CCCO1)C1(CO)CCC1)c1cncc([O-])c1 ZINC000912219189 711228026 /nfs/dbraw/zinc/22/80/26/711228026.db2.gz SISNABPYTZDCNR-KGLIPLIRSA-N -1 1 306.362 1.227 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@H+]1CCOC[C@@H](C)C1 ZINC000903632996 711231138 /nfs/dbraw/zinc/23/11/38/711231138.db2.gz CFFZAPASDIJPGK-QWHCGFSZSA-N -1 1 320.389 1.358 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCOC[C@@H](C)C1 ZINC000903632996 711231142 /nfs/dbraw/zinc/23/11/42/711231142.db2.gz CFFZAPASDIJPGK-QWHCGFSZSA-N -1 1 320.389 1.358 20 0 DDADMM Cc1cc(Cl)cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c1 ZINC000913496238 713238304 /nfs/dbraw/zinc/23/83/04/713238304.db2.gz WDLTZTAPMSWVPT-NSHDSACASA-N -1 1 307.741 1.375 20 0 DDADMM CCCN(Cc1ccc(C(=O)[O-])s1)[C@@H]1CC(=O)N(C)C1=O ZINC000903686185 711244744 /nfs/dbraw/zinc/24/47/44/711244744.db2.gz FGUAFCPAKKJGAN-SNVBAGLBSA-N -1 1 310.375 1.416 20 0 DDADMM CCc1ccc(NC(=O)[C@H](C)N(CCOC)CCC(=O)[O-])cc1 ZINC000903712234 711251095 /nfs/dbraw/zinc/25/10/95/711251095.db2.gz UHMRQIOIICVADY-ZDUSSCGKSA-N -1 1 322.405 1.999 20 0 DDADMM O=C(CC(C1CCC1)C1CCC1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913498545 713239311 /nfs/dbraw/zinc/23/93/11/713239311.db2.gz IDQXBFACCBABDF-CQSZACIVSA-N -1 1 319.409 1.706 20 0 DDADMM O=C(/C=C/C1CCCCCC1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499952 713239667 /nfs/dbraw/zinc/23/96/67/713239667.db2.gz SYDAQIYIFOUNGV-SBDDDAINSA-N -1 1 305.382 1.626 20 0 DDADMM CC(=CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1cccs1 ZINC000913499834 713239712 /nfs/dbraw/zinc/23/97/12/713239712.db2.gz RJJYGKIJMHZZNA-PCYYEKQGSA-N -1 1 305.363 1.265 20 0 DDADMM Cc1oc2ccccc2c1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499271 713239739 /nfs/dbraw/zinc/23/97/39/713239739.db2.gz UVOQYRXQOFYSGY-LLVKDONJSA-N -1 1 313.317 1.468 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1c2ccccc2C[C@@H]1CO ZINC000912312138 711273028 /nfs/dbraw/zinc/27/30/28/711273028.db2.gz RTSSHUXZJHXVBT-GFCCVEGCSA-N -1 1 311.341 1.623 20 0 DDADMM COCCn1cc(CN[C@@H](CC(=O)[O-])c2ccccc2F)cn1 ZINC000904045895 711369364 /nfs/dbraw/zinc/36/93/64/711369364.db2.gz QUTWIQFCIYYJBH-HNNXBMFYSA-N -1 1 321.352 1.974 20 0 DDADMM COc1ccc(CN[C@@H](C(=O)[O-])c2cnn(C)c2C)cc1F ZINC000904047936 711370284 /nfs/dbraw/zinc/37/02/84/711370284.db2.gz KLGIMZCBCXOOQR-CQSZACIVSA-N -1 1 307.325 1.792 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NCc2ncc(Cl)s2)n[n-]1 ZINC000895233491 711459303 /nfs/dbraw/zinc/45/93/03/711459303.db2.gz OHCQYUDKTLDGNZ-ZCFIWIBFSA-N -1 1 315.786 1.942 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2ncc(Cl)s2)n1 ZINC000895233491 711459304 /nfs/dbraw/zinc/45/93/04/711459304.db2.gz OHCQYUDKTLDGNZ-ZCFIWIBFSA-N -1 1 315.786 1.942 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NCc2ncc(Cl)n2C)n[n-]1 ZINC000895239376 711461598 /nfs/dbraw/zinc/46/15/98/711461598.db2.gz PCHIGDIFBZTJCC-ZETCQYMHSA-N -1 1 312.761 1.219 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2ncc(Cl)n2C)n1 ZINC000895239376 711461600 /nfs/dbraw/zinc/46/16/00/711461600.db2.gz PCHIGDIFBZTJCC-ZETCQYMHSA-N -1 1 312.761 1.219 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(Cc2nccn2C2CC2)C1 ZINC000895873105 711632082 /nfs/dbraw/zinc/63/20/82/711632082.db2.gz PUFFNNJXRTZIKS-CYBMUJFWSA-N -1 1 316.327 1.861 20 0 DDADMM CO[C@@H]1CCOC[C@H]1NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000896310315 711704627 /nfs/dbraw/zinc/70/46/27/711704627.db2.gz AAZWHSUZGQFBHN-DGCLKSJQSA-N -1 1 314.769 1.649 20 0 DDADMM COc1cc(C)ccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744318 713293040 /nfs/dbraw/zinc/29/30/40/713293040.db2.gz JNSLPAVIVIAHAE-UHFFFAOYSA-N -1 1 301.350 1.537 20 0 DDADMM Cc1ccc(F)cc1C(=O)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744117 713293058 /nfs/dbraw/zinc/29/30/58/713293058.db2.gz ARNJHYJUZTUSTH-UHFFFAOYSA-N -1 1 317.324 1.236 20 0 DDADMM CC(C)[C@H]1C[C@@H](CC(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000913744449 713293076 /nfs/dbraw/zinc/29/30/76/713293076.db2.gz PBFSJBDEYRWOTF-GXTWGEPZSA-N -1 1 321.425 1.747 20 0 DDADMM CSCc1cccnc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913746073 713294067 /nfs/dbraw/zinc/29/40/67/713294067.db2.gz IRCCQNRESZMVKO-UHFFFAOYSA-N -1 1 318.406 1.478 20 0 DDADMM CO[C@@H](Cc1ccccc1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913746521 713294202 /nfs/dbraw/zinc/29/42/02/713294202.db2.gz XZMGKDHFCLTHTQ-AWEZNQCLSA-N -1 1 315.377 1.163 20 0 DDADMM CC(C)n1cncc1CN[C@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000905794741 712147855 /nfs/dbraw/zinc/14/78/55/712147855.db2.gz LFGBLLYFWTVBAX-LBPRGKRZSA-N -1 1 303.366 1.983 20 0 DDADMM O=C([O-])C(F)(F)CNS(=O)(=O)[C@H]1C[C@H]1c1cccc(F)c1 ZINC000906000466 712216738 /nfs/dbraw/zinc/21/67/38/712216738.db2.gz ZHDUFVOZAGPYDB-UWVGGRQHSA-N -1 1 323.292 1.321 20 0 DDADMM COC(=O)[C@H](Cc1ccccc1)[N-]S(=O)(=O)CC1(F)CC1 ZINC000913833757 713307709 /nfs/dbraw/zinc/30/77/09/713307709.db2.gz KVCMGDRTYFBAAR-LBPRGKRZSA-N -1 1 315.366 1.192 20 0 DDADMM Cc1cc(Br)c(CC(=O)NCc2nn[n-]n2)s1 ZINC000906649614 712379487 /nfs/dbraw/zinc/37/94/87/712379487.db2.gz HHMMKTWTZKGJMD-UHFFFAOYSA-N -1 1 316.184 1.191 20 0 DDADMM CCn1nc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1C1CC1 ZINC000907351157 712550303 /nfs/dbraw/zinc/55/03/03/712550303.db2.gz ZIDVCLUPXKFFOH-SECBINFHSA-N -1 1 303.370 1.169 20 0 DDADMM O=S(=O)([N-]Cc1cnn(CC2CCC2)c1)c1ccns1 ZINC000907454228 712575803 /nfs/dbraw/zinc/57/58/03/712575803.db2.gz JLQRWRHLCKOUHS-UHFFFAOYSA-N -1 1 312.420 1.618 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC2(C3(O)CCC3)CC2)c1Cl ZINC000907478788 712583020 /nfs/dbraw/zinc/58/30/20/712583020.db2.gz UATIYMAXYJGTKY-UHFFFAOYSA-N -1 1 319.814 1.047 20 0 DDADMM Cc1cccnc1C=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479079 712583335 /nfs/dbraw/zinc/58/33/35/712583335.db2.gz IZGZZRWNMJOIJN-QMAVJUDZSA-N -1 1 316.390 1.233 20 0 DDADMM O=S(=O)([N-][C@H](CCO)C1CCCC1)c1ccc(F)nc1F ZINC000907824824 712631611 /nfs/dbraw/zinc/63/16/11/712631611.db2.gz XGSYQUYPYZWIBP-SNVBAGLBSA-N -1 1 320.361 1.579 20 0 DDADMM C[C@@H]1CN(C(=O)[C@]2(C(=O)[O-])C[C@H]2c2ccccc2)[C@H](C)CN1C ZINC000907831342 712632577 /nfs/dbraw/zinc/63/25/77/712632577.db2.gz JFHDXQJJCWHABD-ONUSSAAZSA-N -1 1 316.401 1.796 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1C[C@H](O)Cc2ccccc21 ZINC000907836225 712633315 /nfs/dbraw/zinc/63/33/15/712633315.db2.gz KJQYWPRNPUJXLJ-GFCCVEGCSA-N -1 1 311.341 1.623 20 0 DDADMM C[C@H](CC(=O)N1CCC(O)(c2nn[n-]n2)CC1)CC(C)(C)C ZINC000907944287 712652843 /nfs/dbraw/zinc/65/28/43/712652843.db2.gz SLAMYVKNFUPIQU-LLVKDONJSA-N -1 1 309.414 1.472 20 0 DDADMM O=S(=O)([N-]C1CC(CCO)C1)c1ccc(Br)o1 ZINC000908055537 712672536 /nfs/dbraw/zinc/67/25/36/712672536.db2.gz DAWUFCQOFNPPEE-UHFFFAOYSA-N -1 1 324.196 1.481 20 0 DDADMM C[C@H](C(=O)NCCc1cc2ccc(C(=O)[O-])cc2o1)N(C)C ZINC000908221028 712713306 /nfs/dbraw/zinc/71/33/06/712713306.db2.gz MKDFJAKKQROSSC-SNVBAGLBSA-N -1 1 304.346 1.740 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)CSC)o1 ZINC000908383890 712757414 /nfs/dbraw/zinc/75/74/14/712757414.db2.gz VFBUMAOAOVDOCM-UHFFFAOYSA-N -1 1 307.393 1.486 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@H](CC(=O)[O-])c1ccc(F)cc1 ZINC000908604252 712819660 /nfs/dbraw/zinc/81/96/60/712819660.db2.gz ITNXTJNKLJOQHQ-KGLIPLIRSA-N -1 1 308.353 1.942 20 0 DDADMM COC(=O)N[C@@H](C)C(=O)Nc1cccc([O-])c1Br ZINC000908709833 712839286 /nfs/dbraw/zinc/83/92/86/712839286.db2.gz UYMYCVDPTJTOOB-LURJTMIESA-N -1 1 317.139 1.838 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)[C@@H]1CCC(=O)NC1 ZINC000908713851 712839987 /nfs/dbraw/zinc/83/99/87/712839987.db2.gz DNFRGIMIJOXZRW-SSDOTTSWSA-N -1 1 313.151 1.619 20 0 DDADMM CC(C)[C@H](NC=O)C(=O)Nc1cccc([O-])c1Br ZINC000908717821 712840500 /nfs/dbraw/zinc/84/05/00/712840500.db2.gz OOCZNBKHXOEHCJ-NSHDSACASA-N -1 1 315.167 1.864 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)CCS1 ZINC000908835663 712864975 /nfs/dbraw/zinc/86/49/75/712864975.db2.gz QNFQPHPBOCWSQN-STQMWFEESA-N -1 1 314.451 1.383 20 0 DDADMM C[C@H]1CN(C(=O)C2CCCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966037173 717897154 /nfs/dbraw/zinc/89/71/54/717897154.db2.gz KGXCFALYUHCXFW-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)CC2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966041672 717901619 /nfs/dbraw/zinc/90/16/19/717901619.db2.gz DLBLFDFTROTHJY-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1[n-]n(-c2ncnc3c2cnn3-c2ccccc2)c(=O)c1F ZINC000917346062 713493666 /nfs/dbraw/zinc/49/36/66/713493666.db2.gz ZDWCZOSGPLWBFY-GFCCVEGCSA-N -1 1 310.292 1.876 20 0 DDADMM O=C1Nc2ccccc2C[C@H]1[N-]S(=O)(=O)CC1(F)CCC1 ZINC000918158614 713531301 /nfs/dbraw/zinc/53/13/01/713531301.db2.gz CTJIVTWAWKKXOJ-GFCCVEGCSA-N -1 1 312.366 1.361 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000929065166 713542485 /nfs/dbraw/zinc/54/24/85/713542485.db2.gz TUZCVVVIOXOZCC-NWDGAFQWSA-N -1 1 315.439 1.071 20 0 DDADMM CC(C)C(=O)[C@H]([N-]S(=O)(=O)c1cnn(C)c1)c1ccccc1 ZINC000920553995 713679114 /nfs/dbraw/zinc/67/91/14/713679114.db2.gz GZOSONWQSPYLFU-CQSZACIVSA-N -1 1 321.402 1.665 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@@H](C(F)(F)F)O1)c1ccns1 ZINC000920926315 713703017 /nfs/dbraw/zinc/70/30/17/713703017.db2.gz XBOCXTGMWWROCU-RQJHMYQMSA-N -1 1 316.326 1.531 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000921094978 713713551 /nfs/dbraw/zinc/71/35/51/713713551.db2.gz YLQPIEWYOBSQQA-ZDUSSCGKSA-N -1 1 321.373 1.937 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@]12CCC[C@@]1(OC)OCC2)C(C)C ZINC000921314077 713730314 /nfs/dbraw/zinc/73/03/14/713730314.db2.gz DEPPHFAUYKUBIW-MELADBBJSA-N -1 1 321.439 1.263 20 0 DDADMM C[C@@H](CN1CC[C@@H](S(C)(=O)=O)C1)C(=O)c1ccc([O-])cc1 ZINC000930338807 713808155 /nfs/dbraw/zinc/80/81/55/713808155.db2.gz QRZPXYVRDJCWNL-SMDDNHRTSA-N -1 1 311.403 1.330 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCC2(CCC2)O1)c1c[nH]nc1Cl ZINC000921669087 713836987 /nfs/dbraw/zinc/83/69/87/713836987.db2.gz GWGJKRKGZGCHIC-SECBINFHSA-N -1 1 319.814 1.833 20 0 DDADMM C[C@H](c1ncccn1)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000931075585 713997091 /nfs/dbraw/zinc/99/70/91/713997091.db2.gz HZACOKICFMTHCN-MXWKQRLJSA-N -1 1 316.327 1.927 20 0 DDADMM COC/C(C)=C/C[N@@H+]1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000931075063 713997320 /nfs/dbraw/zinc/99/73/20/713997320.db2.gz GLICNFYDTXJOPR-KAZJJLNOSA-N -1 1 308.344 1.968 20 0 DDADMM O=C(NCCC1(CO)CC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932057724 714248818 /nfs/dbraw/zinc/24/88/18/714248818.db2.gz OBFCZBLWOYDPJP-UHFFFAOYSA-N -1 1 316.361 1.862 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1C[C@@H]2COC[C@@H]2C1 ZINC000932061709 714249549 /nfs/dbraw/zinc/24/95/49/714249549.db2.gz SPQDHQXOQPYUNS-TXEJJXNPSA-N -1 1 314.345 1.688 20 0 DDADMM C[C@H]1COC[C@@H]1NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932063022 714249839 /nfs/dbraw/zinc/24/98/39/714249839.db2.gz QERVSAJDQCATAH-GWCFXTLKSA-N -1 1 302.334 1.734 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](C(=O)[O-])C[C@H]2[C@@H]2CCCO2)n[nH]1 ZINC000923162726 714258861 /nfs/dbraw/zinc/25/88/61/714258861.db2.gz HMRNOEAZMUSSDM-DRZSPHRISA-N -1 1 307.350 1.203 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@H]1[C@@H]2CCC(=O)[C@@H]21 ZINC000923583773 714416771 /nfs/dbraw/zinc/41/67/71/714416771.db2.gz UDQXKXBCLAFQDX-LYRGGWFBSA-N -1 1 314.293 1.767 20 0 DDADMM CC(C)(C)Oc1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000934051411 714732197 /nfs/dbraw/zinc/73/21/97/714732197.db2.gz WAFJEAJDSSWKBX-UHFFFAOYSA-N -1 1 306.322 1.452 20 0 DDADMM COc1cccc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)n1 ZINC000934273109 714782957 /nfs/dbraw/zinc/78/29/57/714782957.db2.gz JJJVXIRFOWXVEZ-LBPRGKRZSA-N -1 1 316.361 1.932 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc2c1CCOC2)c1nn[n-]n1 ZINC000934314456 714792290 /nfs/dbraw/zinc/79/22/90/714792290.db2.gz YEDMXKISYHWQDX-CYBMUJFWSA-N -1 1 301.350 1.544 20 0 DDADMM O=C(c1cc(F)cc(F)c1[O-])N1CCC(c2nc[nH]n2)CC1 ZINC000934336965 714798138 /nfs/dbraw/zinc/79/81/38/714798138.db2.gz IPCYCEHLPRTQHD-UHFFFAOYSA-N -1 1 308.288 1.808 20 0 DDADMM CC[C@H](O)[C@@H](C)C(=O)[N-]OC[C@H](C)NC(=O)OC(C)(C)C ZINC000935160893 714991386 /nfs/dbraw/zinc/99/13/86/714991386.db2.gz MTAYMZTWBFKAEK-AXFHLTTASA-N -1 1 304.387 1.354 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(C)CCC2(CC1)OCCO2)c1nn[n-]n1 ZINC000935369388 715039021 /nfs/dbraw/zinc/03/90/21/715039021.db2.gz XASBWGOYKUPLKZ-NSHDSACASA-N -1 1 323.397 1.085 20 0 DDADMM CC(C)C[C@@](C)(CNC(=O)OC(C)(C)C)C(=O)[N-]OCCO ZINC000935454494 715059292 /nfs/dbraw/zinc/05/92/92/715059292.db2.gz ACKUOFWAPZCTCM-HNNXBMFYSA-N -1 1 318.414 1.604 20 0 DDADMM O=C(C[C@@H]1CC[C@@H](C2CC2)O1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000935457394 715060536 /nfs/dbraw/zinc/06/05/36/715060536.db2.gz QBQYYZBGCMAKQG-TUAOUCFPSA-N -1 1 323.422 1.164 20 0 DDADMM O=C([C@@H]1C[C@@H]2CCCC[C@H]12)N1CCSC[C@H]1c1nn[n-]n1 ZINC000935457654 715060599 /nfs/dbraw/zinc/06/05/99/715060599.db2.gz WNJASNDEGIOIQP-YFKTTZPYSA-N -1 1 307.423 1.643 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1occc1Br)C(=O)[O-] ZINC000926454479 715069358 /nfs/dbraw/zinc/06/93/58/715069358.db2.gz ZEOKXHCZODWPPA-YFKPBYRVSA-N -1 1 312.141 1.041 20 0 DDADMM CC(=O)N1CCCC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000937143902 715283765 /nfs/dbraw/zinc/28/37/65/715283765.db2.gz UZKNBBYUKHJYAE-LBPRGKRZSA-N -1 1 318.377 1.190 20 0 DDADMM CC1(CC(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)CC1 ZINC000956851651 715638403 /nfs/dbraw/zinc/63/84/03/715638403.db2.gz LMFXJCSDTQGGCA-QGZVFWFLSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C2(C)CC2)CCN1C(=O)c1ncccc1[O-] ZINC000955652256 715893346 /nfs/dbraw/zinc/89/33/46/715893346.db2.gz QFOQHVRFOMAARX-NEPJUHHUSA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)=C(F)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955962232 716039570 /nfs/dbraw/zinc/03/95/70/716039570.db2.gz QZGBIFHIWPOZOE-NSHDSACASA-N -1 1 321.352 1.771 20 0 DDADMM O=C([C@H]1CC=CCC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959868627 716327853 /nfs/dbraw/zinc/32/78/53/716327853.db2.gz MWKPEVKOIWUWOM-STQMWFEESA-N -1 1 319.409 1.337 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000939922807 716502900 /nfs/dbraw/zinc/50/29/00/716502900.db2.gz HNEYYNTYWVDROL-NMKXLXIOSA-N -1 1 315.373 1.164 20 0 DDADMM CCC1(C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC000940832690 716981728 /nfs/dbraw/zinc/98/17/28/716981728.db2.gz MPBVKQVJMFSGAJ-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM O=C(N[C@@H]1CC12CCN(C(=O)c1ncccc1[O-])CC2)C1CC1 ZINC000962373160 717429433 /nfs/dbraw/zinc/42/94/33/717429433.db2.gz WUHMMFCVJOAONW-CYBMUJFWSA-N -1 1 315.373 1.308 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964851929 717454293 /nfs/dbraw/zinc/45/42/93/717454293.db2.gz ZKYFHLVVJPSPLK-RYHWZFLVSA-N -1 1 317.389 1.864 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC000942754863 717857235 /nfs/dbraw/zinc/85/72/35/717857235.db2.gz RIHLJCJFCRMAJU-NEPJUHHUSA-N -1 1 323.368 1.598 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])c1ccc[nH]1 ZINC000943976166 718235807 /nfs/dbraw/zinc/23/58/07/718235807.db2.gz DMCYHSJCTMOVMV-LLVKDONJSA-N -1 1 314.345 1.150 20 0 DDADMM CCCC(=O)N1CCC[C@@H](C)[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000945720273 718545761 /nfs/dbraw/zinc/54/57/61/718545761.db2.gz NLAJXKSJEGUNEJ-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NC[C@H]1CCCC[C@H]1NC(=O)C1CC1)c1ncccc1[O-] ZINC000946344353 718756520 /nfs/dbraw/zinc/75/65/20/718756520.db2.gz ZQOUPLKGZGXHQK-CHWSQXEVSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ccco1 ZINC000948619036 719592083 /nfs/dbraw/zinc/59/20/83/719592083.db2.gz QQRDEBHBEJRFNI-GHMZBOCLSA-N -1 1 315.329 1.271 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1CC12CCC2 ZINC000949975671 720435874 /nfs/dbraw/zinc/43/58/74/720435874.db2.gz APYIELZUTBMFQC-NWDGAFQWSA-N -1 1 315.373 1.308 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@@H]2C=CCC2)C1 ZINC000954124157 721723681 /nfs/dbraw/zinc/72/36/81/721723681.db2.gz NUIQQBFXVSOJEQ-GFCCVEGCSA-N -1 1 315.373 1.426 20 0 DDADMM Cc1n[nH]c(C(=O)NCCNCc2sccc2Cl)c1[O-] ZINC001125728165 735445765 /nfs/dbraw/zinc/44/57/65/735445765.db2.gz BOWPLOZETYEYCD-UHFFFAOYSA-N -1 1 314.798 1.658 20 0 DDADMM CC(C)C(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])C[C@H]1C ZINC001010023461 738709666 /nfs/dbraw/zinc/70/96/66/738709666.db2.gz JXCBKQIAQVORMX-VXGBXAGGSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2CC23CC3)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087218783 734786145 /nfs/dbraw/zinc/78/61/45/734786145.db2.gz UDYWYPCVTBQDGK-WOPDTQHZSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@@H](CCNC(=O)C1CCCCC1)NC(=O)c1ncccc1[O-] ZINC001075457916 734888139 /nfs/dbraw/zinc/88/81/39/734888139.db2.gz JPECNCVAPPFOTJ-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CC(C)(C)c2ccccc21 ZINC001121408620 782495774 /nfs/dbraw/zinc/49/57/74/782495774.db2.gz KVCTWKDVHGHIOJ-UHFFFAOYSA-N -1 1 310.365 1.348 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CC(C)(C)c2ccccc21 ZINC001121408620 782495782 /nfs/dbraw/zinc/49/57/82/782495782.db2.gz KVCTWKDVHGHIOJ-UHFFFAOYSA-N -1 1 310.365 1.348 20 0 DDADMM Cc1nc(C)c(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)o1 ZINC000692895097 738964866 /nfs/dbraw/zinc/96/48/66/738964866.db2.gz SNQNVUULIIECMI-UHFFFAOYSA-N -1 1 319.745 1.957 20 0 DDADMM CCC[C@H]1CCC[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000974284407 737484999 /nfs/dbraw/zinc/48/49/99/737484999.db2.gz VIRHOWYJPIJIJS-RVMXOQNASA-N -1 1 319.409 1.398 20 0 DDADMM O=c1[nH]c2cc(OS(=O)(=O)c3c[n-]nc3Cl)ccc2o1 ZINC001211867697 740503921 /nfs/dbraw/zinc/50/39/21/740503921.db2.gz HOSQTBQEMFZMOT-UHFFFAOYSA-N -1 1 315.694 1.678 20 0 DDADMM C[C@H](C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn[nH]1)C2)C(C)(C)C ZINC000998157220 751552495 /nfs/dbraw/zinc/55/24/95/751552495.db2.gz WJHSAKGDQNAYBJ-DCQANWLSSA-N -1 1 319.409 1.349 20 0 DDADMM O=C(CC1CC1)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514895 741088563 /nfs/dbraw/zinc/08/85/63/741088563.db2.gz MPLGKKUQSFTHAG-CYBMUJFWSA-N -1 1 317.389 1.556 20 0 DDADMM O=C(c1ccoc1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088263135 741272463 /nfs/dbraw/zinc/27/24/63/741272463.db2.gz WVFNWOTYAOEAQZ-GFCCVEGCSA-N -1 1 319.365 1.278 20 0 DDADMM N=C(Nc1ccc(NC(N)=O)cc1)SCCS(=O)(=O)[O-] ZINC001168090705 741415838 /nfs/dbraw/zinc/41/58/38/741415838.db2.gz OTVHCTGJYORCDU-UHFFFAOYSA-N -1 1 318.380 1.145 20 0 DDADMM CCC(C)(CC)C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029908364 741572468 /nfs/dbraw/zinc/57/24/68/741572468.db2.gz HVLUBVBRRPFSEE-GFCCVEGCSA-N -1 1 323.441 1.617 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1ccsc1 ZINC001076244292 742624022 /nfs/dbraw/zinc/62/40/22/742624022.db2.gz PEIWKZXLAUQDOI-JTQLQIEISA-N -1 1 319.386 1.787 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002167301 742913184 /nfs/dbraw/zinc/91/31/84/742913184.db2.gz IQUXBYBFHKFKKB-GFCCVEGCSA-N -1 1 305.378 1.506 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)CCCCCCCCCO ZINC001181407664 743196533 /nfs/dbraw/zinc/19/65/33/743196533.db2.gz JIRCELXLQKZELM-UHFFFAOYSA-N -1 1 312.370 1.522 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](Cc2ccc(F)cc2)C(=O)[O-])[nH]n1 ZINC000910929259 743655931 /nfs/dbraw/zinc/65/59/31/743655931.db2.gz UHZINKWECSGJCI-ZDUSSCGKSA-N -1 1 305.309 1.212 20 0 DDADMM CO[C@@H](CN1CC(NC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001030241286 743976824 /nfs/dbraw/zinc/97/68/24/743976824.db2.gz COWQXIWWUORHPA-AWEZNQCLSA-N -1 1 305.378 1.016 20 0 DDADMM C[C@H]1C[C@H]1c1ncc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cn1 ZINC001185128620 744166023 /nfs/dbraw/zinc/16/60/23/744166023.db2.gz QDXRAZDWSLGKAE-CAHLUQPWSA-N -1 1 316.277 1.451 20 0 DDADMM C[C@H](CC(C)(C)C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186119443 744346950 /nfs/dbraw/zinc/34/69/50/744346950.db2.gz RFONGPPWUBLJAT-GHMZBOCLSA-N -1 1 309.414 1.273 20 0 DDADMM Cc1cncnc1NS(=O)(=O)c1ccc(F)c(C(=O)[O-])c1 ZINC001186152366 744351394 /nfs/dbraw/zinc/35/13/94/744351394.db2.gz COLXQKMWKSIMGQ-UHFFFAOYSA-N -1 1 311.294 1.423 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)NCCc1ccc(F)cc1 ZINC001186247391 744363631 /nfs/dbraw/zinc/36/36/31/744363631.db2.gz BDVCTWBJTWRPOB-UHFFFAOYSA-N -1 1 321.268 1.789 20 0 DDADMM Cc1nc(S(C)(=O)=O)ccc1NC(=O)c1ccc([O-])c(F)c1 ZINC001186209554 744364461 /nfs/dbraw/zinc/36/44/61/744364461.db2.gz XLVUCVYIQAONPL-UHFFFAOYSA-N -1 1 324.333 1.891 20 0 DDADMM O=C(NCC1CCCCC1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001186891602 744461088 /nfs/dbraw/zinc/46/10/88/744461088.db2.gz YBYMKNSOGDUJDY-UHFFFAOYSA-N -1 1 306.326 1.418 20 0 DDADMM C[C@H](CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001187413505 744558827 /nfs/dbraw/zinc/55/88/27/744558827.db2.gz ZMLRWRMDWIYLCG-GHMZBOCLSA-N -1 1 309.414 1.273 20 0 DDADMM C[S@@](=O)c1ccccc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001187821512 744612935 /nfs/dbraw/zinc/61/29/35/744612935.db2.gz ZJWHWJDKRFGAMP-JOCHJYFZSA-N -1 1 322.302 1.275 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccccc2[S@](C)=O)n1 ZINC001187821466 744613210 /nfs/dbraw/zinc/61/32/10/744613210.db2.gz YJFQPYRFSALKOV-QFIPXVFZSA-N -1 1 321.358 1.576 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1nccs1)C(=O)OC(C)(C)C ZINC001187917791 744633016 /nfs/dbraw/zinc/63/30/16/744633016.db2.gz IEXUIYBWBMMFHE-QMMMGPOBSA-N -1 1 306.409 1.542 20 0 DDADMM CSc1ccc(NC(=O)Nc2c(O)[nH]c(=O)[n-]c2=S)cc1 ZINC001188129175 744663342 /nfs/dbraw/zinc/66/33/42/744663342.db2.gz ZAWIGSPUKZIQHO-MRVPVSSYSA-N -1 1 324.387 1.065 20 0 DDADMM Cc1nsc(N[C@@H](C)C[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001089272586 744846956 /nfs/dbraw/zinc/84/69/56/744846956.db2.gz PRZWCJQDVGLECC-BDAKNGLRSA-N -1 1 321.406 1.956 20 0 DDADMM O=C(Nc1cc(Cl)nn(-c2ccccc2)c1=O)c1cnn[n-]1 ZINC001189352527 744882937 /nfs/dbraw/zinc/88/29/37/744882937.db2.gz NMPHCDDVEYVPOP-UHFFFAOYSA-N -1 1 316.708 1.256 20 0 DDADMM CCc1cccc(C)c1NC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001190005154 745076735 /nfs/dbraw/zinc/07/67/35/745076735.db2.gz YVEODWIMPRURFF-SNVBAGLBSA-N -1 1 320.374 1.214 20 0 DDADMM CCc1coc(C[N-]S(=O)(=O)c2ccc(F)c(OC)n2)n1 ZINC001190059155 745094347 /nfs/dbraw/zinc/09/43/47/745094347.db2.gz UQROXQYOPUBFIC-UHFFFAOYSA-N -1 1 315.326 1.258 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2cn(CCF)cn2)c(OC)c1 ZINC001190120554 745121900 /nfs/dbraw/zinc/12/19/00/745121900.db2.gz HOSDQUJHCMPCRL-UHFFFAOYSA-N -1 1 309.297 1.828 20 0 DDADMM COC(=O)[C@@H](NC(=O)Nc1cc([O-])c(F)cc1F)C(C)C ZINC001190238076 745157211 /nfs/dbraw/zinc/15/72/11/745157211.db2.gz WJJWJIJEYQHIRW-NSHDSACASA-N -1 1 302.277 1.990 20 0 DDADMM C[C@@H]1C[C@H](O)CCN1C(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190262361 745166493 /nfs/dbraw/zinc/16/64/93/745166493.db2.gz SJUXBAPRXCJPEE-DGCLKSJQSA-N -1 1 313.357 1.835 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)CCO1 ZINC001190638299 745282721 /nfs/dbraw/zinc/28/27/21/745282721.db2.gz XCOCYKNKGKFFIX-MNOVXSKESA-N -1 1 314.345 1.542 20 0 DDADMM O=C1CCc2ccc([N-]S(=O)(=O)c3ccncc3)cc2N1 ZINC001190894169 745370339 /nfs/dbraw/zinc/37/03/39/745370339.db2.gz WMNHZSKTRFPZDD-UHFFFAOYSA-N -1 1 303.343 1.767 20 0 DDADMM CNC(=O)Cc1ccccc1[N-]S(=O)(=O)c1ccncc1 ZINC001190896829 745370951 /nfs/dbraw/zinc/37/09/51/745370951.db2.gz UFDNWQGKEQHNHB-UHFFFAOYSA-N -1 1 305.359 1.171 20 0 DDADMM COC(=O)Cc1cc([N-]S(=O)(=O)c2ccncc2)ccc1C ZINC001190903971 745380606 /nfs/dbraw/zinc/38/06/06/745380606.db2.gz QNACATSNLFNQHM-UHFFFAOYSA-N -1 1 320.370 1.906 20 0 DDADMM CSc1ncc(C(=O)N2CCc3nc(C)[nH]c3CC2)c(=O)[n-]1 ZINC001191428300 745509174 /nfs/dbraw/zinc/50/91/74/745509174.db2.gz RZWKXWYCXLLDTL-UHFFFAOYSA-N -1 1 319.390 1.177 20 0 DDADMM CSc1ncc(C(=O)Nc2cnn3c2OCCC3)c(=O)[n-]1 ZINC001191428167 745509506 /nfs/dbraw/zinc/50/95/06/745509506.db2.gz CRIGULSXYWLMMO-UHFFFAOYSA-N -1 1 307.335 1.135 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)CCCC(C)(C)O)c(=O)[n-]1 ZINC001191437283 745512167 /nfs/dbraw/zinc/51/21/67/745512167.db2.gz NCNSJARHTXZCID-VIFPVBQESA-N -1 1 313.423 1.964 20 0 DDADMM O=C(NC[C@H](O)CF)c1ccccc1C(=O)c1ccc([O-])cc1 ZINC001191517018 745532896 /nfs/dbraw/zinc/53/28/96/745532896.db2.gz DUIQOUVJYDKWNN-CYBMUJFWSA-N -1 1 317.316 1.683 20 0 DDADMM C[C@@H](CCNc1nccnc1F)NC(=O)c1ncccc1[O-] ZINC001106296741 745565118 /nfs/dbraw/zinc/56/51/18/745565118.db2.gz ZCOYZCQUUOGRKY-VIFPVBQESA-N -1 1 305.313 1.337 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3c[nH]c(-c4ccccc4)n3)c1-2 ZINC001192202684 745716438 /nfs/dbraw/zinc/71/64/38/745716438.db2.gz RNVSBZANTSOARO-UHFFFAOYSA-N -1 1 319.328 1.677 20 0 DDADMM COC(=O)c1cncc(NC(=O)c2c(F)ccc([O-])c2F)n1 ZINC001192524924 745820981 /nfs/dbraw/zinc/82/09/81/745820981.db2.gz QLHOXZARZLMIMI-UHFFFAOYSA-N -1 1 309.228 1.499 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cnc(C(F)F)cn1 ZINC001192572699 745844922 /nfs/dbraw/zinc/84/49/22/745844922.db2.gz MUGVQKOAFZZOHO-UHFFFAOYSA-N -1 1 307.220 1.038 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2cc([O-])cc(F)c2F)[nH]1 ZINC001192683179 745862116 /nfs/dbraw/zinc/86/21/16/745862116.db2.gz ALNKBLVFMYKNQS-UHFFFAOYSA-N -1 1 310.256 1.715 20 0 DDADMM CC(=O)N1CCC[C@@H](N(C)C(=O)c2cc([O-])cc(F)c2F)C1 ZINC001192665655 745869193 /nfs/dbraw/zinc/86/91/93/745869193.db2.gz RVPOWGMFCJSAHS-SNVBAGLBSA-N -1 1 312.316 1.753 20 0 DDADMM CC(C)(C)c1cnc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cn1 ZINC001192804118 745899087 /nfs/dbraw/zinc/89/90/87/745899087.db2.gz UNTLWFGCIVJNHE-UHFFFAOYSA-N -1 1 313.321 1.398 20 0 DDADMM CCN(CCNc1ncncc1Cl)C(=O)c1ncccc1[O-] ZINC001106727283 745971405 /nfs/dbraw/zinc/97/14/05/745971405.db2.gz MGVBUHZZGJELAY-UHFFFAOYSA-N -1 1 321.768 1.805 20 0 DDADMM Cc1[n-]n(-c2ccccc2)c(=O)c1C(=O)N1CC(N(C)C)C1 ZINC001194261470 746325101 /nfs/dbraw/zinc/32/51/01/746325101.db2.gz UZCCSIIENYCJPQ-UHFFFAOYSA-N -1 1 300.362 1.272 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1c[nH]c(=O)cc1Cl ZINC001195013639 746502748 /nfs/dbraw/zinc/50/27/48/746502748.db2.gz SUWSZYTWIVFLQD-UHFFFAOYSA-N -1 1 308.658 1.128 20 0 DDADMM C[C@@H]1COC[C@@H]1NC(=O)c1ccc(Br)c([O-])c1 ZINC000699900438 746522337 /nfs/dbraw/zinc/52/23/37/746522337.db2.gz FIQOILVNTKWLHA-XCBNKYQSSA-N -1 1 300.152 1.919 20 0 DDADMM COC(=O)Cc1ccc(O)c(NC(=O)c2nc(C)ccc2[O-])c1 ZINC001195326274 746566832 /nfs/dbraw/zinc/56/68/32/746566832.db2.gz NDPUMVUUHHEPFL-UHFFFAOYSA-N -1 1 316.313 1.769 20 0 DDADMM Cc1cccc(Cl)c1S(=O)(=O)[N-][C@H](CO)C(F)(F)F ZINC001195328629 746567244 /nfs/dbraw/zinc/56/72/44/746567244.db2.gz ZMYWTOAAUUTVNF-MRVPVSSYSA-N -1 1 317.716 1.850 20 0 DDADMM Cc1cc(NC(=O)c2cc([O-])cnc2Cl)n2ncnc2n1 ZINC001195298006 746570458 /nfs/dbraw/zinc/57/04/58/746570458.db2.gz LOJUFABXBBUNFW-UHFFFAOYSA-N -1 1 304.697 1.439 20 0 DDADMM Cc1cc[nH]c1C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001195503387 746628263 /nfs/dbraw/zinc/62/82/63/746628263.db2.gz IBWYWQGFWBSNLQ-UHFFFAOYSA-N -1 1 324.125 1.157 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cccc2c1CNC(=O)N2C ZINC001195492095 746624836 /nfs/dbraw/zinc/62/48/36/746624836.db2.gz AAXFVQJVEVAESY-UHFFFAOYSA-N -1 1 308.363 1.789 20 0 DDADMM COc1cnc(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)o1 ZINC001195793691 746707366 /nfs/dbraw/zinc/70/73/66/746707366.db2.gz XQAUHVFDECYGLA-UHFFFAOYSA-N -1 1 300.274 1.827 20 0 DDADMM O=C(Nc1nc(Cl)nc2[nH]cnc21)c1c[nH]c(=S)[n-]c1=O ZINC001196008413 746753933 /nfs/dbraw/zinc/75/39/33/746753933.db2.gz BLMFCSORAQOLNW-UHFFFAOYSA-N -1 1 323.725 1.043 20 0 DDADMM O=C(N[C@H]1CCCc2cnccc21)c1c[nH]c(=S)[n-]c1=O ZINC001196027600 746765825 /nfs/dbraw/zinc/76/58/25/746765825.db2.gz YLDIUXBQHRWVNH-NSHDSACASA-N -1 1 302.359 1.673 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1ccnc(CO)c1 ZINC001196231543 746815256 /nfs/dbraw/zinc/81/52/56/746815256.db2.gz SUHHECPCEZFONQ-UHFFFAOYSA-N -1 1 310.313 1.718 20 0 DDADMM CCCOc1cccnc1C(=O)NCCCC[P@](=O)([O-])O ZINC001196941842 747015797 /nfs/dbraw/zinc/01/57/97/747015797.db2.gz VXIIJIVDCYSMQJ-UHFFFAOYSA-N -1 1 316.294 1.558 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001089468680 747018827 /nfs/dbraw/zinc/01/88/27/747018827.db2.gz ZXGUOUOWWCHAMS-TUAOUCFPSA-N -1 1 321.425 1.273 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)Cc2ccccn2)cc1 ZINC001197825517 747290831 /nfs/dbraw/zinc/29/08/31/747290831.db2.gz SVGNHDABWQAXCV-UHFFFAOYSA-N -1 1 306.343 1.810 20 0 DDADMM CN(C)c1cc(F)cc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001198114793 747386618 /nfs/dbraw/zinc/38/66/18/747386618.db2.gz AOHKRJIOIKJNQJ-UHFFFAOYSA-N -1 1 321.268 1.743 20 0 DDADMM C[N@@H+](C1CC1)[C@H]1CCN(S(=O)(=O)c2ccccc2C(=O)[O-])C1 ZINC001198768886 747602639 /nfs/dbraw/zinc/60/26/39/747602639.db2.gz SPGJUQWWZYRJHE-LBPRGKRZSA-N -1 1 324.402 1.242 20 0 DDADMM CN(C1CC1)[C@H]1CCN(S(=O)(=O)c2ccccc2C(=O)[O-])C1 ZINC001198768886 747602642 /nfs/dbraw/zinc/60/26/42/747602642.db2.gz SPGJUQWWZYRJHE-LBPRGKRZSA-N -1 1 324.402 1.242 20 0 DDADMM CC[C@H](C)CNC(=S)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001200242262 748175913 /nfs/dbraw/zinc/17/59/13/748175913.db2.gz UBUULHWMVFLIHD-ZETCQYMHSA-N -1 1 312.399 1.979 20 0 DDADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])C1CC1 ZINC000995568438 748946619 /nfs/dbraw/zinc/94/66/19/748946619.db2.gz OGXAWUWPLYAJMP-WOPDTQHZSA-N -1 1 301.346 1.059 20 0 DDADMM CC(C)(CC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001034932290 751043047 /nfs/dbraw/zinc/04/30/47/751043047.db2.gz XOQPBTSVCYESGK-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@@H](NCc3nccs3)C2)c1[O-] ZINC000998955911 752402048 /nfs/dbraw/zinc/40/20/48/752402048.db2.gz SSOYWUCLJXDGAF-SNVBAGLBSA-N -1 1 321.406 1.275 20 0 DDADMM C[C@H]1CCCN(C(=O)C2CCC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036483372 752505794 /nfs/dbraw/zinc/50/57/94/752505794.db2.gz DLDMKPXVUHVWBF-CMPLNLGQSA-N -1 1 307.398 1.027 20 0 DDADMM CC[C@H](F)C(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062150992 752793875 /nfs/dbraw/zinc/79/38/75/752793875.db2.gz SYBCRVDLMUWFKA-NEPJUHHUSA-N -1 1 323.368 1.646 20 0 DDADMM CCCC(=O)N1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001009881141 753428399 /nfs/dbraw/zinc/42/83/99/753428399.db2.gz OAOFVVBDMHEHOC-UHFFFAOYSA-N -1 1 318.377 1.144 20 0 DDADMM Cc1ncccc1CN1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010733015 754130323 /nfs/dbraw/zinc/13/03/23/754130323.db2.gz OKAMJUXFGVMOBD-AWEZNQCLSA-N -1 1 312.373 1.495 20 0 DDADMM C[C@H]1[C@H](NC(=O)C2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011679438 754673720 /nfs/dbraw/zinc/67/37/20/754673720.db2.gz MRCWILFOLKBJLY-CMPLNLGQSA-N -1 1 303.362 1.307 20 0 DDADMM O=C(NC1(CNC(=O)[C@@H]2CC23CC3)CCC1)c1ncccc1[O-] ZINC001064682658 754762983 /nfs/dbraw/zinc/76/29/83/754762983.db2.gz ITYPRKWERUNZED-NSHDSACASA-N -1 1 315.373 1.356 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(C)(F)F ZINC001012169968 754905128 /nfs/dbraw/zinc/90/51/28/754905128.db2.gz JGZYMKJOFSPTRX-BDAKNGLRSA-N -1 1 313.304 1.162 20 0 DDADMM Cc1ccnc(NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC001064897017 754937559 /nfs/dbraw/zinc/93/75/59/754937559.db2.gz GCUVGVGTIVSUEN-LBPRGKRZSA-N -1 1 313.361 1.602 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ccc[nH]1 ZINC001012279642 754971037 /nfs/dbraw/zinc/97/10/37/754971037.db2.gz JEYKZKXGSUJFJU-MNOVXSKESA-N -1 1 314.345 1.148 20 0 DDADMM CCN(C(=O)[C@@H](C)C1CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079565070 755466390 /nfs/dbraw/zinc/46/63/90/755466390.db2.gz DHAHAVFAYWEAEE-NSHDSACASA-N -1 1 317.389 1.506 20 0 DDADMM O=C(NC1CC2(C1)CC(NC(=O)C1CC1)C2)c1ncccc1[O-] ZINC001013937522 755548812 /nfs/dbraw/zinc/54/88/12/755548812.db2.gz DEGMALHPWKKGLA-UHFFFAOYSA-N -1 1 315.373 1.354 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1Nc1nccnc1F ZINC001067077097 755710921 /nfs/dbraw/zinc/71/09/21/755710921.db2.gz XTBQHKKGZDBGJF-ZJUUUORDSA-N -1 1 317.324 1.289 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C(F)F ZINC001014894274 756004541 /nfs/dbraw/zinc/00/45/41/756004541.db2.gz OHMLOCGKORGTOZ-BDAKNGLRSA-N -1 1 313.304 1.162 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C(C)(C)F ZINC001014893633 758159160 /nfs/dbraw/zinc/15/91/60/758159160.db2.gz MQGRIVAJNYBAED-GHMZBOCLSA-N -1 1 323.368 1.645 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)C(C)(C)F)CN1C(=O)c1ncccc1[O-] ZINC001017915718 758387337 /nfs/dbraw/zinc/38/73/37/758387337.db2.gz KVEYIOKFAKEVCM-WDEREUQCSA-N -1 1 323.368 1.645 20 0 DDADMM O=C([N-][C@@H]1COC2(CN(CC(F)(F)C(F)F)C2)C1)C(F)F ZINC001053711673 758724295 /nfs/dbraw/zinc/72/42/95/758724295.db2.gz PVNSKZNXVIDOHQ-LURJTMIESA-N -1 1 320.233 1.111 20 0 DDADMM O=C(N[C@H]1COC2(CN(C/C=C\Cl)C2)C1)c1ncccc1[O-] ZINC001053784171 758809057 /nfs/dbraw/zinc/80/90/57/758809057.db2.gz HTVQOXFQSBFMFO-CJHWANRJSA-N -1 1 323.780 1.113 20 0 DDADMM CO[C@@H]1[C@H]2C[C@H]3CN(C(=O)c4cnc(C5CC5)[n-]c4=O)[C@H]1[C@H]3C2 ZINC000824289344 759169952 /nfs/dbraw/zinc/16/99/52/759169952.db2.gz LCYKQEGFDFGKDJ-NARNDRFESA-N -1 1 315.373 1.555 20 0 DDADMM C[C@H]1CN(C(=O)C=C2CCCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054454735 759667983 /nfs/dbraw/zinc/66/79/83/759667983.db2.gz YUICZAPJHIKFFY-WCQYABFASA-N -1 1 319.409 1.337 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1ccsc1 ZINC001019372514 759866115 /nfs/dbraw/zinc/86/61/15/759866115.db2.gz XFCNLVNUKJANRX-KOLCDFICSA-N -1 1 321.406 1.066 20 0 DDADMM C[C@H]1CN(C(=O)C2(C3CC3)CCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054934774 760200849 /nfs/dbraw/zinc/20/08/49/760200849.db2.gz SWGYMYFPMYMNFP-JQWIXIFHSA-N -1 1 319.409 1.027 20 0 DDADMM O=C(NCC1CCN(Cc2ccon2)CC1)c1ncccc1[O-] ZINC001001335533 762770776 /nfs/dbraw/zinc/77/07/76/762770776.db2.gz WJJNVABQCLKEHI-UHFFFAOYSA-N -1 1 316.361 1.417 20 0 DDADMM CCCCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532062 763718154 /nfs/dbraw/zinc/71/81/54/763718154.db2.gz WOTGNRGDHBBFDS-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM Cc1cc(NC[C@@H](NC(=O)c2ncccc2[O-])C2CC2)ncn1 ZINC001109821361 764103791 /nfs/dbraw/zinc/10/37/91/764103791.db2.gz DGJWEPZKIKEFNF-GFCCVEGCSA-N -1 1 313.361 1.506 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1nccnc1F ZINC001112983183 765099447 /nfs/dbraw/zinc/09/94/47/765099447.db2.gz GXJXSLWEFWEDKA-DTWKUNHWSA-N -1 1 305.313 1.335 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047188463 768304542 /nfs/dbraw/zinc/30/45/42/768304542.db2.gz JXQSIAJGYBVMTJ-DCAQKATOSA-N -1 1 307.398 1.026 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)CCCF ZINC001052421853 765809084 /nfs/dbraw/zinc/80/90/84/765809084.db2.gz NSLFROCCIJVQKP-VXGBXAGGSA-N -1 1 323.368 1.361 20 0 DDADMM CCc1nsc(N(C)[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001113575100 765896198 /nfs/dbraw/zinc/89/61/98/765896198.db2.gz MVOSECVHGFXWLF-SECBINFHSA-N -1 1 321.406 1.456 20 0 DDADMM Cc1nc(CNC[C@@H]2CCCN2C(=O)c2ncccc2[O-])co1 ZINC001045008400 766025721 /nfs/dbraw/zinc/02/57/21/766025721.db2.gz ALENVEJVISJHRG-ZDUSSCGKSA-N -1 1 316.361 1.478 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cncc4cc(CO)oc43)c2[nH]1 ZINC001170215809 766173701 /nfs/dbraw/zinc/17/37/01/766173701.db2.gz AGFOFZAHBAMRSD-UHFFFAOYSA-N -1 1 314.261 1.093 20 0 DDADMM Cc1ccc2nc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)ccc2n1 ZINC001170216975 766175320 /nfs/dbraw/zinc/17/53/20/766175320.db2.gz JBKLPXSZXPDZNZ-UHFFFAOYSA-N -1 1 309.289 1.711 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(Cn4cncn4)cc3)c2[nH]1 ZINC001170217372 766176689 /nfs/dbraw/zinc/17/66/89/766176689.db2.gz RDOZTKBXBUJPHB-UHFFFAOYSA-N -1 1 324.304 1.099 20 0 DDADMM O=c1occ2[nH]c(Nc3[n-]c(=O)nc4nc[nH]c43)c(Cl)cc1-2 ZINC001170227450 766201157 /nfs/dbraw/zinc/20/11/57/766201157.db2.gz NLSHSOFMNJBBCU-UHFFFAOYSA-N -1 1 318.680 1.521 20 0 DDADMM Cc1ccnc(N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001058148048 766274181 /nfs/dbraw/zinc/27/41/81/766274181.db2.gz ZXJFVQIDBBFIGR-LBPRGKRZSA-N -1 1 313.361 1.284 20 0 DDADMM C[C@@H]1CCN(c2ncccn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001067954451 766836299 /nfs/dbraw/zinc/83/62/99/766836299.db2.gz MQPPVDDNIJHEGK-VXGBXAGGSA-N -1 1 313.361 1.222 20 0 DDADMM Cc1cnc2nc(C(=O)[N-]c3ccnc(Cl)c3F)nn2c1 ZINC001129744053 766993940 /nfs/dbraw/zinc/99/39/40/766993940.db2.gz AJZFJIULFIBZPU-UHFFFAOYSA-N -1 1 306.688 1.873 20 0 DDADMM Cc1ccnc(N[C@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)n1 ZINC001068822013 767638957 /nfs/dbraw/zinc/63/89/57/767638957.db2.gz HJPUIUIIDLQPDR-NEPJUHHUSA-N -1 1 313.361 1.601 20 0 DDADMM C[C@@H]1C[C@@H](Nc2cnc(F)cn2)CN1C(=O)c1ncccc1[O-] ZINC001069064500 767812856 /nfs/dbraw/zinc/81/28/56/767812856.db2.gz BUUHDIMMNVHUNL-NXEZZACHSA-N -1 1 317.324 1.431 20 0 DDADMM C[C@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccc(Cl)cc1 ZINC001133245080 769624160 /nfs/dbraw/zinc/62/41/60/769624160.db2.gz YBKFNJNVKXFYDM-VIFPVBQESA-N -1 1 323.784 1.173 20 0 DDADMM Cc1nc(CN[C@H](C)C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])co1 ZINC001134089360 770642776 /nfs/dbraw/zinc/64/27/76/770642776.db2.gz DWMXWFMQAXEOPG-BDAKNGLRSA-N -1 1 321.381 1.407 20 0 DDADMM Cc1cnc(CN[C@@H](C)C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001134094963 770648860 /nfs/dbraw/zinc/64/88/60/770648860.db2.gz NRNMBXBQVHFVCV-DTWKUNHWSA-N -1 1 321.381 1.407 20 0 DDADMM CSc1cnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(C)c1 ZINC001159188735 771034774 /nfs/dbraw/zinc/03/47/74/771034774.db2.gz CUHAHYLNLLSZPT-UHFFFAOYSA-N -1 1 304.335 1.885 20 0 DDADMM Cc1nccc(N[C@H](CNC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001096639273 771364373 /nfs/dbraw/zinc/36/43/73/771364373.db2.gz LDZBICJMQTXSNI-GFCCVEGCSA-N -1 1 313.361 1.506 20 0 DDADMM COc1cccc2nc(NC(=O)CCc3nn[n-]n3)sc21 ZINC001143691714 772293805 /nfs/dbraw/zinc/29/38/05/772293805.db2.gz NIZZHKOZZARPMU-UHFFFAOYSA-N -1 1 304.335 1.389 20 0 DDADMM O=C(Nc1nc(Cc2ccccc2)ns1)c1cnncc1[O-] ZINC001144472927 772543670 /nfs/dbraw/zinc/54/36/70/772543670.db2.gz ZBGMNTNELIEATG-UHFFFAOYSA-N -1 1 313.342 1.877 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)CCc1ccccc1O ZINC001144508179 772555178 /nfs/dbraw/zinc/55/51/78/772555178.db2.gz LKPWMIQBPDRSKG-UHFFFAOYSA-N -1 1 304.262 1.563 20 0 DDADMM COCCCCN1CCN(Cc2sccc2C(=O)[O-])CC1 ZINC001144684532 772596165 /nfs/dbraw/zinc/59/61/65/772596165.db2.gz IIOGDIVSKNMIRD-UHFFFAOYSA-N -1 1 312.435 1.991 20 0 DDADMM COc1ccc(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)cc1O ZINC001144785837 772622248 /nfs/dbraw/zinc/62/22/48/772622248.db2.gz PNDBUAAZQGHVJK-UHFFFAOYSA-N -1 1 316.239 1.719 20 0 DDADMM COC(=O)[C@H]1CCCC[C@H]1C(=O)NCCCC[P@](=O)([O-])O ZINC001144807074 772629305 /nfs/dbraw/zinc/62/93/05/772629305.db2.gz LBVBPTIZVUXMQQ-MNOVXSKESA-N -1 1 321.310 1.040 20 0 DDADMM CCO[N-]C(=O)CNC(=O)c1cc(Cl)c2ccccc2c1O ZINC001144846231 772642096 /nfs/dbraw/zinc/64/20/96/772642096.db2.gz AKHIIDWLOQWFMF-UHFFFAOYSA-N -1 1 322.748 1.996 20 0 DDADMM COc1cnc(C(=O)[N-]c2nnc(SC)nc2SC)nc1 ZINC001147028643 773021886 /nfs/dbraw/zinc/02/18/86/773021886.db2.gz AGNBJWGJOSRWKE-UHFFFAOYSA-N -1 1 324.391 1.366 20 0 DDADMM O=C([N-]N1CCOC1=O)c1cc(Br)c(F)cc1F ZINC001147461391 773141352 /nfs/dbraw/zinc/14/13/52/773141352.db2.gz SFGRLMLLQXAHDV-UHFFFAOYSA-N -1 1 321.077 1.824 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCc3nccc(C)n3)cc2)[n-]1 ZINC001148325915 773436379 /nfs/dbraw/zinc/43/63/79/773436379.db2.gz ARKOSLDHAWXUIB-UHFFFAOYSA-N -1 1 323.356 1.828 20 0 DDADMM C[C@@H]1CCN(C(=O)C2CCC2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087107330 773793695 /nfs/dbraw/zinc/79/36/95/773793695.db2.gz RLFANZTXMXLJQB-PWSUYJOCSA-N -1 1 307.398 1.027 20 0 DDADMM CC(=O)NC[C@@H]1CN(Cc2nc(=O)c3sccc3[n-]2)C[C@H]1C ZINC001092419968 774128148 /nfs/dbraw/zinc/12/81/48/774128148.db2.gz ZCUWBQCYIWADTD-MWLCHTKSSA-N -1 1 320.418 1.601 20 0 DDADMM CCc1nsc(NCCCNC(=O)c2ncccc2[O-])n1 ZINC001094375916 775820340 /nfs/dbraw/zinc/82/03/40/775820340.db2.gz VDYFLYRWYWTHDK-UHFFFAOYSA-N -1 1 307.379 1.433 20 0 DDADMM COc1nc([N-]c2nccnc2CN)ncc1C(F)(F)F ZINC001171443986 775839299 /nfs/dbraw/zinc/83/92/99/775839299.db2.gz IMUNLJMJNHXLGS-UHFFFAOYSA-N -1 1 300.244 1.496 20 0 DDADMM Cc1nc(C)c(C)c(NCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001101561151 777250834 /nfs/dbraw/zinc/25/08/34/777250834.db2.gz LJTKZKIFFSQQDB-UHFFFAOYSA-N -1 1 315.377 1.687 20 0 DDADMM COc1ccc(C)cc1[N-]S(=O)(=O)c1ccc(N)c(N)c1 ZINC001173988424 777388682 /nfs/dbraw/zinc/38/86/82/777388682.db2.gz YFSYUERBGXBIQI-UHFFFAOYSA-N -1 1 307.375 1.969 20 0 DDADMM COCc1ccccc1[N-]S(=O)(=O)c1cc(N)ccc1N ZINC001174106618 777406022 /nfs/dbraw/zinc/40/60/22/777406022.db2.gz LMCGCUJSBNNQKG-UHFFFAOYSA-N -1 1 307.375 1.798 20 0 DDADMM Cc1[nH]nc2cc([N-]S(=O)(=O)c3cncc(N)c3)ccc12 ZINC001175660534 777866589 /nfs/dbraw/zinc/86/65/89/777866589.db2.gz RCKRHIFHTMZYRZ-UHFFFAOYSA-N -1 1 303.347 1.649 20 0 DDADMM O=C(Cc1nn[n-]n1)N1Cc2nc(-c3ccccc3)sc2C1 ZINC001176843013 778272048 /nfs/dbraw/zinc/27/20/48/778272048.db2.gz YXPRXCCMLXMHRJ-UHFFFAOYSA-N -1 1 312.358 1.408 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)C1(c2ccc(F)cn2)CC1 ZINC001178083089 778890655 /nfs/dbraw/zinc/89/06/55/778890655.db2.gz RKWFVVOYGWCBDX-UHFFFAOYSA-N -1 1 316.269 1.326 20 0 DDADMM Cc1ccnc(CC(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)c1 ZINC001178264996 778983962 /nfs/dbraw/zinc/98/39/62/778983962.db2.gz RITPGCJCXPPEDN-UHFFFAOYSA-N -1 1 316.321 1.531 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(OC(F)(F)F)c(Cl)c1 ZINC001149039316 779412359 /nfs/dbraw/zinc/41/23/59/779412359.db2.gz OURHACITYPUNSQ-UHFFFAOYSA-N -1 1 321.646 1.682 20 0 DDADMM C[C@H](CC(F)(F)F)C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001179870443 779530709 /nfs/dbraw/zinc/53/07/09/779530709.db2.gz MMIODJDZHFHGRX-GSVOUGTGSA-N -1 1 305.216 1.243 20 0 DDADMM CC(=O)c1cccc(NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC001118994058 781292475 /nfs/dbraw/zinc/29/24/75/781292475.db2.gz OBTFANHVJDUOKN-UHFFFAOYSA-N -1 1 300.318 1.465 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)CCC1CCCC1 ZINC001408554410 837243348 /nfs/dbraw/zinc/24/33/48/837243348.db2.gz NLLDDXYXKHEDSK-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM CC/C(C)=C/C(=O)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001267944383 839235899 /nfs/dbraw/zinc/23/58/99/839235899.db2.gz VXZZTPGWKZAVOQ-ZRDIBKRKSA-N -1 1 321.425 1.585 20 0 DDADMM NC(=O)[C@@H]1CC12CN(C(=O)c1cc3cc(O)ccc3cc1[O-])C2 ZINC001269104280 841210395 /nfs/dbraw/zinc/21/03/95/841210395.db2.gz AIGNIOZEVCRSCS-ZDUSSCGKSA-N -1 1 312.325 1.198 20 0 DDADMM NC(=O)[C@H]1CC12CN(C(=O)c1ccc3sccc3c1[O-])C2 ZINC001269990531 842209400 /nfs/dbraw/zinc/20/94/00/842209400.db2.gz QXJPORITMSACJO-SNVBAGLBSA-N -1 1 302.355 1.554 20 0 DDADMM CC(C)(C)NC(=O)[C@]12C[C@H]1CCN2C(=O)c1ccc([O-])cn1 ZINC001270139250 842326223 /nfs/dbraw/zinc/32/62/23/842326223.db2.gz SYFUAQJTRMDOFQ-HWPZZCPQSA-N -1 1 303.362 1.307 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CC[C@@H]4C[C@@]43C(N)=O)c([O-])c2n1 ZINC001270529837 842644977 /nfs/dbraw/zinc/64/49/77/842644977.db2.gz KCFSTWPQJCOAPJ-DIFFPNOSSA-N -1 1 311.341 1.339 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)N3CCNC(=O)[C@@H]3C)cc2c1 ZINC001154749340 861420281 /nfs/dbraw/zinc/42/02/81/861420281.db2.gz FYSYXTCHLADTON-JTQLQIEISA-N -1 1 314.341 1.515 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccc[nH]1 ZINC001409261768 844726261 /nfs/dbraw/zinc/72/62/61/844726261.db2.gz RXGREXBXAISNHH-SNVBAGLBSA-N -1 1 302.334 1.054 20 0 DDADMM CCC(CC)(CC)C(=O)N[C@@H](C)[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001409417359 845074185 /nfs/dbraw/zinc/07/41/85/845074185.db2.gz UKTRLKNZIJNKCE-MNOVXSKESA-N -1 1 311.430 1.710 20 0 DDADMM O=C(NCCN1CCCCC1=O)c1ccc2cccnc2c1[O-] ZINC001149315264 861540226 /nfs/dbraw/zinc/54/02/26/861540226.db2.gz XBTOYSBRXRPLIW-UHFFFAOYSA-N -1 1 313.357 1.683 20 0 DDADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1[nH]ccc1C ZINC001409824333 845856902 /nfs/dbraw/zinc/85/69/02/845856902.db2.gz YMQIDGNRXARUDZ-NSHDSACASA-N -1 1 320.397 1.225 20 0 DDADMM CC[C@@]1(C)CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001365681816 846391786 /nfs/dbraw/zinc/39/17/86/846391786.db2.gz XLKAKOMXMIWDHI-LBPRGKRZSA-N -1 1 301.368 1.007 20 0 DDADMM CC[C@@]1(C)CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001365681816 846391794 /nfs/dbraw/zinc/39/17/94/846391794.db2.gz XLKAKOMXMIWDHI-LBPRGKRZSA-N -1 1 301.368 1.007 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)c1cccc2ncc(O)cc21 ZINC001155052349 861680978 /nfs/dbraw/zinc/68/09/78/861680978.db2.gz VJQFVFHOGLYEJN-UHFFFAOYSA-N -1 1 324.273 1.628 20 0 DDADMM COC[C@H](NC(=O)c1cc2c(cccc2F)s1)c1nn[n-]n1 ZINC001155068081 861699297 /nfs/dbraw/zinc/69/92/97/861699297.db2.gz FABCMFQCLUEEMD-VIFPVBQESA-N -1 1 321.337 1.671 20 0 DDADMM CC(=O)N(C)CCN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001409939280 847398068 /nfs/dbraw/zinc/39/80/68/847398068.db2.gz NOEXXSVKXUCDKK-UHFFFAOYSA-N -1 1 308.382 1.164 20 0 DDADMM O=C(NC[C@H]1CNC(=O)O1)c1cc2cccc(O)c2cc1[O-] ZINC001149660005 861788485 /nfs/dbraw/zinc/78/84/85/861788485.db2.gz SXTMTYYWRXGSLW-VIFPVBQESA-N -1 1 302.286 1.089 20 0 DDADMM CN(C(=O)CCNC(=O)c1[n-][nH]c2cc(=O)ccc1-2)C1CC1 ZINC001155269590 861917170 /nfs/dbraw/zinc/91/71/70/861917170.db2.gz LHTSZOYFWGUYQB-UHFFFAOYSA-N -1 1 302.334 1.009 20 0 DDADMM C[C@@]1(CNC(=O)c2ncccc2[O-])CCN(C(=O)C2CCC2)C1 ZINC001411029707 850130196 /nfs/dbraw/zinc/13/01/96/850130196.db2.gz SFRCWERAQMHGNL-KRWDZBQOSA-N -1 1 317.389 1.556 20 0 DDADMM S=c1nc2[n-]cnc(NCc3noc4c3CNCC4)c-2s1 ZINC001155498027 862163248 /nfs/dbraw/zinc/16/32/48/862163248.db2.gz PFJGGVATKJZWFG-UHFFFAOYSA-N -1 1 320.403 1.621 20 0 DDADMM COC(=O)[C@H](COCc1ccccc1)[N-]S(=O)(=O)C(C)C ZINC001252579697 851628546 /nfs/dbraw/zinc/62/85/46/851628546.db2.gz QMQGVAYJPPVCNG-ZDUSSCGKSA-N -1 1 315.391 1.073 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@H]3CCCN(C)C3=O)c([O-])c2n1 ZINC001155661018 862351562 /nfs/dbraw/zinc/35/15/62/862351562.db2.gz YCMRTOJSVKBFAT-ZDUSSCGKSA-N -1 1 313.357 1.599 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc4cc(O)ccc4o3)c1-2 ZINC001155664478 862357086 /nfs/dbraw/zinc/35/70/86/862357086.db2.gz SZBLNWBLKLDBHW-UHFFFAOYSA-N -1 1 309.285 1.739 20 0 DDADMM CN1C[C@@]2(F)CN(Cc3cccc([O-])c3Cl)C[C@@]2(F)C1=O ZINC001274721798 852551217 /nfs/dbraw/zinc/55/12/17/852551217.db2.gz AWYJEMWOCNKZJY-ZIAGYGMSSA-N -1 1 316.735 1.750 20 0 DDADMM COCCOCN1C[C@H]2C[C@@H](C1)N2C(=O)c1cccc([O-])c1F ZINC001275408926 853081487 /nfs/dbraw/zinc/08/14/87/853081487.db2.gz URQKAKNRUBAHFM-TXEJJXNPSA-N -1 1 324.352 1.051 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CN(C(=O)c1c(F)ccc([O-])c1F)C2 ZINC001275612326 853432217 /nfs/dbraw/zinc/43/22/17/853432217.db2.gz PMJMHLAMICZNJA-ANRSDYALSA-N -1 1 310.300 1.221 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@H](NC(=O)c2ccc(F)c([O-])c2)C1 ZINC001411796267 853748909 /nfs/dbraw/zinc/74/89/09/853748909.db2.gz BHUUUZHGOSQLTR-QWRGUYRKSA-N -1 1 315.366 1.617 20 0 DDADMM C[C@@H](CC(=O)OCc1ccccc1)NC(=O)c1cnncc1O ZINC001411914327 853964267 /nfs/dbraw/zinc/96/42/67/853964267.db2.gz FLQNRWYMKZROMS-NSHDSACASA-N -1 1 315.329 1.434 20 0 DDADMM CCC(CC)(NC(=O)CCc1cn(C)nc1C)c1nn[n-]n1 ZINC001412112214 854223948 /nfs/dbraw/zinc/22/39/48/854223948.db2.gz RFBOEEGSHLHEMR-UHFFFAOYSA-N -1 1 305.386 1.006 20 0 DDADMM CCn1cc([C@H](C)NC(=O)c2c(C)[n-]c(=O)nc2SC)cn1 ZINC001412112816 854225654 /nfs/dbraw/zinc/22/56/54/854225654.db2.gz IJRKYQDOUQUAIJ-QMMMGPOBSA-N -1 1 321.406 1.920 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C2CC2)C(C)(C)CO)c(=O)[n-]1 ZINC001412294693 854416152 /nfs/dbraw/zinc/41/61/52/854416152.db2.gz DKNMXSQBRFCLKX-JTQLQIEISA-N -1 1 311.407 1.431 20 0 DDADMM CSc1ncc(C(=O)N2C[C@H](C)OC3(CCC3)C2)c(=O)[n-]1 ZINC001412321823 854439907 /nfs/dbraw/zinc/43/99/07/854439907.db2.gz MGAQOAQIJWKOHH-VIFPVBQESA-N -1 1 309.391 1.688 20 0 DDADMM COC(=O)c1ccc(CCNC(=O)c2ccc([O-])cn2)cc1 ZINC001412382141 854490744 /nfs/dbraw/zinc/49/07/44/854490744.db2.gz CKYCCYRAWRDHOT-UHFFFAOYSA-N -1 1 300.314 1.546 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc2oc(=O)ccc2c1 ZINC001151005840 862572672 /nfs/dbraw/zinc/57/26/72/862572672.db2.gz ACFLYMYCCTWQSE-UHFFFAOYSA-N -1 1 323.268 1.417 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC[C@@H](OCC(F)(F)F)C1 ZINC001412433965 854545668 /nfs/dbraw/zinc/54/56/68/854545668.db2.gz XLSVYVRYHZGADF-SNVBAGLBSA-N -1 1 304.268 1.971 20 0 DDADMM CS[C@@H](C)C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001412501516 854631057 /nfs/dbraw/zinc/63/10/57/854631057.db2.gz VVWXFKLSAODNQR-ZJUUUORDSA-N -1 1 311.407 1.115 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)c(=O)[n-]1 ZINC001412580293 854749218 /nfs/dbraw/zinc/74/92/18/854749218.db2.gz IGEAAOXQVGIHOE-FXBDTBDDSA-N -1 1 309.391 1.447 20 0 DDADMM COC[C@@H](NC(=O)C[C@H](c1ccccc1)C(C)C)c1nn[n-]n1 ZINC001412589042 854774929 /nfs/dbraw/zinc/77/49/29/854774929.db2.gz GKLSODPJLYLSTM-UONOGXRCSA-N -1 1 317.393 1.833 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)COC(C)C ZINC001412598192 854790955 /nfs/dbraw/zinc/79/09/55/854790955.db2.gz XOWMMINDROXUHZ-SNVBAGLBSA-N -1 1 310.354 1.070 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)COC(C)C ZINC001412598192 854790956 /nfs/dbraw/zinc/79/09/56/854790956.db2.gz XOWMMINDROXUHZ-SNVBAGLBSA-N -1 1 310.354 1.070 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)COC(C)C ZINC001412598192 854790959 /nfs/dbraw/zinc/79/09/59/854790959.db2.gz XOWMMINDROXUHZ-SNVBAGLBSA-N -1 1 310.354 1.070 20 0 DDADMM COc1cccc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)n1 ZINC001412657845 854891898 /nfs/dbraw/zinc/89/18/98/854891898.db2.gz IYOFGANANZSGLS-UHFFFAOYSA-N -1 1 318.333 1.260 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N=[S@](C)(=O)c1ccccc1 ZINC001412665994 854906112 /nfs/dbraw/zinc/90/61/12/854906112.db2.gz UYWZNSJGZVMWQP-JOCHJYFZSA-N -1 1 323.330 1.194 20 0 DDADMM CC1C(=O)N=C(CCN(C)C(=O)c2ccc([O-])cc2F)NC1=O ZINC001412691018 854965814 /nfs/dbraw/zinc/96/58/14/854965814.db2.gz HIPKDSUEDCXTHF-UHFFFAOYSA-N -1 1 321.308 1.356 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc2ccccc2[nH]c1=O ZINC001151238968 862699181 /nfs/dbraw/zinc/69/91/81/862699181.db2.gz STQZZSYZEYZLEV-UHFFFAOYSA-N -1 1 322.284 1.565 20 0 DDADMM C[C@H](NC(=O)c1cnncc1[O-])c1ccc(Br)cn1 ZINC001412919216 855783679 /nfs/dbraw/zinc/78/36/79/855783679.db2.gz BKJQMOIHWPWBIU-ZETCQYMHSA-N -1 1 323.150 1.831 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCS(=O)(=O)[C@@H]2CCC[C@@H]21 ZINC001412954037 855811426 /nfs/dbraw/zinc/81/14/26/855811426.db2.gz CNXPGARBNPZDDO-CMPLNLGQSA-N -1 1 313.350 1.323 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc([O-])cn1)c1ccc(OC)cc1 ZINC001413001666 855902904 /nfs/dbraw/zinc/90/29/04/855902904.db2.gz YEHJWAJGSLGOEI-AWEZNQCLSA-N -1 1 316.313 1.440 20 0 DDADMM Cn1ccnc1[C@@H]1C[C@@H](NC(=O)c2cccc([O-])c2F)CCO1 ZINC001413092419 856408311 /nfs/dbraw/zinc/40/83/11/856408311.db2.gz ZRBZYBRUWBOMPE-GWCFXTLKSA-N -1 1 319.336 1.915 20 0 DDADMM CC(C)OCCC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001413192786 856536446 /nfs/dbraw/zinc/53/64/46/856536446.db2.gz JRNXBNDUMKNMTC-QWRGUYRKSA-N -1 1 324.343 1.860 20 0 DDADMM CSc1ncc(C(=O)N2CCCC[C@H]2[C@@H](C)CO)c(=O)[n-]1 ZINC001413263735 856584598 /nfs/dbraw/zinc/58/45/98/856584598.db2.gz IYQPUGUBQYSZIZ-ONGXEEELSA-N -1 1 311.407 1.527 20 0 DDADMM O=C(N[C@@H](CO)C1CCC1)c1c([O-])cnc2c(F)cccc21 ZINC001413255900 856589772 /nfs/dbraw/zinc/58/97/72/856589772.db2.gz JVQLUCJIDNNOLW-LBPRGKRZSA-N -1 1 304.321 1.970 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](O)C1CCCCC1)c1nn[n-]n1 ZINC001413303395 856623608 /nfs/dbraw/zinc/62/36/08/856623608.db2.gz WLNSMBQCQDHXJM-WDEREUQCSA-N -1 1 313.427 1.051 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC[C@@H]2CCCCO2)sn1 ZINC001413321700 856649942 /nfs/dbraw/zinc/64/99/42/856649942.db2.gz NVAIKBLYLSGAOH-VIFPVBQESA-N -1 1 306.409 1.389 20 0 DDADMM CN(C(=O)c1cc(Br)cc(F)c1[O-])c1nn[nH]n1 ZINC001413326547 856656212 /nfs/dbraw/zinc/65/62/12/856656212.db2.gz LJISWLNBUWGFDD-UHFFFAOYSA-N -1 1 316.090 1.084 20 0 DDADMM O=S(=O)(C[C@@H]1CCCC1(F)F)[N-]Cc1nnc(C2CC2)o1 ZINC001413332695 856678569 /nfs/dbraw/zinc/67/85/69/856678569.db2.gz XZAPAMDVNVHVAG-VIFPVBQESA-N -1 1 321.349 1.802 20 0 DDADMM O=S(=O)([N-]CC(F)(F)c1cccc(F)c1)c1c[nH]cn1 ZINC001413409037 856778548 /nfs/dbraw/zinc/77/85/48/856778548.db2.gz ZGLNTYNZDKEHAM-UHFFFAOYSA-N -1 1 305.281 1.619 20 0 DDADMM O=S(=O)(C[C@H]1CC[C@H](C(F)(F)F)O1)[N-]C(CF)CF ZINC001413434483 856806143 /nfs/dbraw/zinc/80/61/43/856806143.db2.gz UPJDKWHPXVRXTM-HTQZYQBOSA-N -1 1 311.272 1.323 20 0 DDADMM Cc1cc2c(c(N3CCOC[C@@H]3c3cccnc3)n1)C(=O)[N-]C2=O ZINC001156245204 862858245 /nfs/dbraw/zinc/85/82/45/862858245.db2.gz MTMNVSSONQZWPO-CYBMUJFWSA-N -1 1 324.340 1.247 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc2ccccc2cn1 ZINC001151698974 862922162 /nfs/dbraw/zinc/92/21/62/862922162.db2.gz DSPZSHRBSKZIOR-UHFFFAOYSA-N -1 1 308.274 1.923 20 0 DDADMM Cn1[n-]c(C(=O)Nc2ccccc2Nc2ccncc2)cc1=O ZINC001156432096 863042062 /nfs/dbraw/zinc/04/20/62/863042062.db2.gz OSMNTLXCBAPVMV-UHFFFAOYSA-N -1 1 309.329 1.939 20 0 DDADMM CCCC(O)(CCC)CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001123589613 859315887 /nfs/dbraw/zinc/31/58/87/859315887.db2.gz PTBPGRFXFXQOOM-UHFFFAOYSA-N -1 1 313.423 1.840 20 0 DDADMM CN(C[C@]12CCC[C@H]1OCC2)C(=O)C(=O)c1ccc([O-])cc1 ZINC001124106329 859580768 /nfs/dbraw/zinc/58/07/68/859580768.db2.gz UDUXCDYDBWEWLN-RHSMWYFYSA-N -1 1 303.358 1.993 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](OCC[P@](=O)([O-])O)CC1 ZINC001224601984 881464271 /nfs/dbraw/zinc/46/42/71/881464271.db2.gz BFHDVIJAPUSKBY-LLVKDONJSA-N -1 1 323.326 1.970 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(Cc2ncccc2[O-])[C@H](CO)C1 ZINC001138390543 860083605 /nfs/dbraw/zinc/08/36/05/860083605.db2.gz AVYLVJAJLXMEBN-LBPRGKRZSA-N -1 1 323.393 1.201 20 0 DDADMM [O-]c1cccnc1CN1CCCn2c(Cn3cccc3)nnc2C1 ZINC001138392974 860087077 /nfs/dbraw/zinc/08/70/77/860087077.db2.gz FYZYBLFRWFTVRP-UHFFFAOYSA-N -1 1 324.388 1.634 20 0 DDADMM COc1cccc(C[N@H+]2CCC[C@H](C)[C@H]2CO)c1OCC(=O)[O-] ZINC001139268659 860342821 /nfs/dbraw/zinc/34/28/21/860342821.db2.gz PPKQLXRYZGIWFB-GXTWGEPZSA-N -1 1 323.389 1.751 20 0 DDADMM CN(C)C(=O)NC1CCN(Cc2cc(F)c([O-])c(F)c2)CC1 ZINC001140991799 860753016 /nfs/dbraw/zinc/75/30/16/860753016.db2.gz AHOJELHRMBKDHS-UHFFFAOYSA-N -1 1 313.348 1.906 20 0 DDADMM CN1CCc2c1cccc2C(=O)NCCCC[P@](=O)([O-])O ZINC001154157185 860826021 /nfs/dbraw/zinc/82/60/21/860826021.db2.gz VJOMYYLBECNQNE-UHFFFAOYSA-N -1 1 312.306 1.367 20 0 DDADMM CCC(CC)(NC(=O)c1cccc2[nH]c(=O)oc21)c1nn[n-]n1 ZINC001156919982 863447464 /nfs/dbraw/zinc/44/74/64/863447464.db2.gz AZAHCHPUMTUKFU-UHFFFAOYSA-N -1 1 316.321 1.492 20 0 DDADMM COC(CCCNC(=O)c1cc(=O)c2cccc(O)c2[n-]1)OC ZINC001152681169 863489887 /nfs/dbraw/zinc/48/98/87/863489887.db2.gz FQHJMKZKWBLKJL-UHFFFAOYSA-N -1 1 320.345 1.775 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc2c(c1)C(=O)OC2 ZINC001157176641 863632742 /nfs/dbraw/zinc/63/27/42/863632742.db2.gz LHJZSLGNVZUWNE-UHFFFAOYSA-N -1 1 316.229 1.208 20 0 DDADMM CC1([N-]S(=O)(=O)c2ccc(Br)nc2F)CC1 ZINC001329786172 863854721 /nfs/dbraw/zinc/85/47/21/863854721.db2.gz WAGICEMMNBZVOH-UHFFFAOYSA-N -1 1 309.160 1.814 20 0 DDADMM O=C(c1c([O-])ccc2ccccc21)N1CC[C@@H](O)[C@H](CO)C1 ZINC001153498407 863916438 /nfs/dbraw/zinc/91/64/38/863916438.db2.gz DQVHVKKLKNYUCM-GXTWGEPZSA-N -1 1 301.342 1.361 20 0 DDADMM O=C(Nc1ccc2[nH][nH]c(=O)c2c1)c1cnc2cccnc2c1[O-] ZINC001153857869 864166445 /nfs/dbraw/zinc/16/64/45/864166445.db2.gz ORYNAPWBJOIITJ-UHFFFAOYSA-N -1 1 321.296 1.757 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCOC1)c1cc(Cl)cnc1Cl ZINC001330364611 864276714 /nfs/dbraw/zinc/27/67/14/864276714.db2.gz KMOWKDZWYCPRNJ-ZETCQYMHSA-N -1 1 311.190 1.703 20 0 DDADMM Cn1cc(CNC(=O)c2cnncc2[O-])c(-c2ccccc2)n1 ZINC001154054190 864364700 /nfs/dbraw/zinc/36/47/00/864364700.db2.gz QBPKAQLUYQZWOQ-UHFFFAOYSA-N -1 1 309.329 1.513 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@H]1CCCCN1Cc1ccncc1 ZINC001330803914 864619137 /nfs/dbraw/zinc/61/91/37/864619137.db2.gz GSBJPBGLONWDBE-HZPDHXFCSA-N -1 1 321.421 1.501 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@@H]1OC(=O)c2ccccc21 ZINC001331185595 864930173 /nfs/dbraw/zinc/93/01/73/864930173.db2.gz TZGQNIKAFASGEM-LBPRGKRZSA-N -1 1 321.329 1.678 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncnc4ccsc43)c2[nH]1 ZINC001159185835 865154786 /nfs/dbraw/zinc/15/47/86/865154786.db2.gz ZJEXQVXSRVHDNU-UHFFFAOYSA-N -1 1 301.291 1.464 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(-c4ccncc4)cn3)c2[nH]1 ZINC001159188792 865154862 /nfs/dbraw/zinc/15/48/62/865154862.db2.gz FEWMQRMJIPNJJT-UHFFFAOYSA-N -1 1 321.300 1.916 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccc(N3CCCCC3)nn2)[n-]1 ZINC001159192798 865158048 /nfs/dbraw/zinc/15/80/48/865158048.db2.gz ZUJBSMRYTRPWNP-UHFFFAOYSA-N -1 1 312.337 1.582 20 0 DDADMM O=c1[n-]c(Cn2cc(-c3cncs3)nn2)nc2c1COCC2 ZINC001331916506 865431207 /nfs/dbraw/zinc/43/12/07/865431207.db2.gz FLHCTRLIMXQUJX-UHFFFAOYSA-N -1 1 316.346 1.018 20 0 DDADMM COC(=O)/C=C\[C@@H](C)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC001159781928 865519823 /nfs/dbraw/zinc/51/98/23/865519823.db2.gz BFTJPYYLVULASV-UFGYOYAJSA-N -1 1 321.377 1.636 20 0 DDADMM CCc1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)nn1C ZINC001332680052 866061938 /nfs/dbraw/zinc/06/19/38/866061938.db2.gz PYACXXDBPPWQTJ-ZDUSSCGKSA-N -1 1 311.349 1.015 20 0 DDADMM CCOC(=O)C[C@H](Oc1cc([O-])ccc1C(C)=O)C(=O)OCC ZINC001225616285 881939734 /nfs/dbraw/zinc/93/97/34/881939734.db2.gz HQGHBQPXKZYPOU-AWEZNQCLSA-N -1 1 324.329 1.859 20 0 DDADMM Cc1cc(C(=O)Nc2ccncc2[O-])c(C)n1-c1cnccn1 ZINC001160746545 866094390 /nfs/dbraw/zinc/09/43/90/866094390.db2.gz DHHTUWARSJRFCB-UHFFFAOYSA-N -1 1 309.329 1.659 20 0 DDADMM CC1(C)C[C@H]1CNC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001332846404 866184729 /nfs/dbraw/zinc/18/47/29/866184729.db2.gz CKDOXAYOQMILTN-JTQLQIEISA-N -1 1 310.781 1.824 20 0 DDADMM CCn1cc(CN(C)C(=O)c2cnc(SC)[n-]c2=O)cn1 ZINC001362195207 883265144 /nfs/dbraw/zinc/26/51/44/883265144.db2.gz GRPSXLIDDUBDAV-UHFFFAOYSA-N -1 1 307.379 1.393 20 0 DDADMM C/C=C(/C)C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001333677469 866928135 /nfs/dbraw/zinc/92/81/35/866928135.db2.gz JSGKWAATDHRECC-KMKOMSMNSA-N -1 1 303.362 1.378 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cnc(C2CC2)[nH]1 ZINC001320774423 867019105 /nfs/dbraw/zinc/01/91/05/867019105.db2.gz FRKGGBTTWAOGGD-LBPRGKRZSA-N -1 1 309.333 1.320 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-][C@@H](C)CCCCO ZINC001324732764 867483974 /nfs/dbraw/zinc/48/39/74/867483974.db2.gz BKMBMJQBRCAWSJ-VIFPVBQESA-N -1 1 323.361 1.803 20 0 DDADMM CCOP(=O)(CCCN1CCOC[C@@H]1CC(=O)[O-])OCC ZINC001334793527 867834488 /nfs/dbraw/zinc/83/44/88/867834488.db2.gz LFILUKFPIGJLIR-LBPRGKRZSA-N -1 1 323.326 1.818 20 0 DDADMM O=C(Cc1ccc(NC(=O)C2CC2)cc1)Nc1c[n-][nH]c1=O ZINC001413847227 867909419 /nfs/dbraw/zinc/90/94/19/867909419.db2.gz PJDLLAHEWWKKOE-UHFFFAOYSA-N -1 1 300.318 1.645 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC001335020401 868001612 /nfs/dbraw/zinc/00/16/12/868001612.db2.gz YULDTZYUBQLNFL-CQSZACIVSA-N -1 1 305.378 1.488 20 0 DDADMM O=C([O-])c1cccc(CC(=O)NCCCCN2CCOCC2)c1 ZINC001335019357 868002175 /nfs/dbraw/zinc/00/21/75/868002175.db2.gz QTZUDHUHUXATRD-UHFFFAOYSA-N -1 1 320.389 1.156 20 0 DDADMM COC(=O)[C@H](C)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001361714154 882213680 /nfs/dbraw/zinc/21/36/80/882213680.db2.gz ZCKNKOHGPCJYSL-SSDOTTSWSA-N -1 1 305.252 1.950 20 0 DDADMM CC(=O)N[C@](C)(C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)C(C)C ZINC001361727460 882244474 /nfs/dbraw/zinc/24/44/74/882244474.db2.gz VAIHDQVLBMNZNU-INIZCTEOSA-N -1 1 320.393 1.550 20 0 DDADMM COC(=O)CCc1ccccc1NCC[N-]C(=O)C(F)(F)F ZINC001164246205 869055818 /nfs/dbraw/zinc/05/58/18/869055818.db2.gz TYTHHHYLXQHJGM-UHFFFAOYSA-N -1 1 318.295 1.883 20 0 DDADMM C/C=C(\C)C(=O)NCCOCCCNC(=O)c1ncccc1[O-] ZINC001336801236 869152086 /nfs/dbraw/zinc/15/20/86/869152086.db2.gz DTHMHOLESADDDW-KGVSQERTSA-N -1 1 321.377 1.006 20 0 DDADMM O=C(Cn1c(=O)onc1-c1cccs1)c1ccc([O-])cc1O ZINC001337309158 869428166 /nfs/dbraw/zinc/42/81/66/869428166.db2.gz FLXFLXVRVPSYDC-UHFFFAOYSA-N -1 1 318.310 1.859 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H](OC)C2CC2)[n-]c1Cl ZINC001361766661 882327380 /nfs/dbraw/zinc/32/73/80/882327380.db2.gz ITWDWIONVFULKB-QMMMGPOBSA-N -1 1 301.730 1.603 20 0 DDADMM COc1ccc(CCCCC(=O)N(C)c2nn[n-]n2)cc1OC ZINC001338076155 869786070 /nfs/dbraw/zinc/78/60/70/869786070.db2.gz MPWLODLIGXEBRJ-UHFFFAOYSA-N -1 1 319.365 1.593 20 0 DDADMM CCCN(Cc1ccccc1)c1nnc(Cc2nnn[n-]2)n1C ZINC001338123836 869817519 /nfs/dbraw/zinc/81/75/19/869817519.db2.gz UNWYIGVXYYOWIP-UHFFFAOYSA-N -1 1 312.381 1.336 20 0 DDADMM CCCN(Cc1ccccc1)c1nnc(Cc2nn[n-]n2)n1C ZINC001338123836 869817524 /nfs/dbraw/zinc/81/75/24/869817524.db2.gz UNWYIGVXYYOWIP-UHFFFAOYSA-N -1 1 312.381 1.336 20 0 DDADMM COC(=O)Cc1ccccc1Nc1c(N)[nH]c(=S)[n-]c1=O ZINC001213056717 869823891 /nfs/dbraw/zinc/82/38/91/869823891.db2.gz SBZGAQHWSCKBOS-UHFFFAOYSA-N -1 1 306.347 1.512 20 0 DDADMM CC(C)(C)n1cnc([N-]C(=O)c2ncccc2S(C)(=O)=O)n1 ZINC001361781044 882361989 /nfs/dbraw/zinc/36/19/89/882361989.db2.gz KSXACBUZGHBJKQ-UHFFFAOYSA-N -1 1 323.378 1.084 20 0 DDADMM CC[C@H]1c2ccccc2CN1c1nnc(Cc2nnn[n-]2)n1CC ZINC001339411922 870485691 /nfs/dbraw/zinc/48/56/91/870485691.db2.gz LUUOBBDDZXTUEJ-ZDUSSCGKSA-N -1 1 324.392 1.873 20 0 DDADMM CC[C@H]1c2ccccc2CN1c1nnc(Cc2nn[n-]n2)n1CC ZINC001339411922 870485696 /nfs/dbraw/zinc/48/56/96/870485696.db2.gz LUUOBBDDZXTUEJ-ZDUSSCGKSA-N -1 1 324.392 1.873 20 0 DDADMM CC[C@@H](C)CC(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001298356868 870498184 /nfs/dbraw/zinc/49/81/84/870498184.db2.gz NYHCADYATDCKCM-QMAVJUDZSA-N -1 1 305.378 1.626 20 0 DDADMM C[C@@H]1c2c(F)cccc2CCN1c1nnc(-c2nnn[n-]2)n1C ZINC001339439833 870499652 /nfs/dbraw/zinc/49/96/52/870499652.db2.gz JHHLREIIKUEILI-MRVPVSSYSA-N -1 1 314.328 1.258 20 0 DDADMM C[C@@H]1c2c(F)cccc2CCN1c1nnc(-c2nn[n-]n2)n1C ZINC001339439833 870499664 /nfs/dbraw/zinc/49/96/64/870499664.db2.gz JHHLREIIKUEILI-MRVPVSSYSA-N -1 1 314.328 1.258 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)c2cc3n(n2)CCCC3=O)n1 ZINC001361814854 882423668 /nfs/dbraw/zinc/42/36/68/882423668.db2.gz LKDBJEVXTCOJBC-UHFFFAOYSA-N -1 1 317.305 1.012 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc3n(n2)CCCC3=O)n1 ZINC001361814854 882423678 /nfs/dbraw/zinc/42/36/78/882423678.db2.gz LKDBJEVXTCOJBC-UHFFFAOYSA-N -1 1 317.305 1.012 20 0 DDADMM CCCCC[C@@H](CC)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001317310112 870619824 /nfs/dbraw/zinc/61/98/24/870619824.db2.gz FWHIUHXIXMSLIZ-OLZOCXBDSA-N -1 1 323.441 1.807 20 0 DDADMM CNC(=O)c1ccc(Nc2cc3ccc([O-])cc3oc2=O)cn1 ZINC001203631608 870684920 /nfs/dbraw/zinc/68/49/20/870684920.db2.gz GXJMTFUSSHHSNC-UHFFFAOYSA-N -1 1 311.297 1.997 20 0 DDADMM O=C(Nc1ccc([C@H]2NC(=O)NC2=O)cc1)c1ccccc1[O-] ZINC001301564495 871004505 /nfs/dbraw/zinc/00/45/05/871004505.db2.gz VYIDGQAYQQZFJK-CYBMUJFWSA-N -1 1 311.297 1.525 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N(C)[C@H]2CCCCN(C)C2=O)c1 ZINC001302164283 871047820 /nfs/dbraw/zinc/04/78/20/871047820.db2.gz JYNPECNWZNPBQP-AWEZNQCLSA-N -1 1 318.373 1.596 20 0 DDADMM CCC(=CC(=O)N[C@H](COC)c1nn[n-]n1)c1ccc(C)cc1 ZINC001303580026 871139893 /nfs/dbraw/zinc/13/98/93/871139893.db2.gz NBEMOMRTXNCDMM-FWLQQBITSA-N -1 1 315.377 1.805 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1c(C)cccc1C ZINC001340793193 871355715 /nfs/dbraw/zinc/35/57/15/871355715.db2.gz IUOCCVYKJCALPS-UHFFFAOYSA-N -1 1 312.381 1.731 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1c(C)cccc1C ZINC001340793193 871355732 /nfs/dbraw/zinc/35/57/32/871355732.db2.gz IUOCCVYKJCALPS-UHFFFAOYSA-N -1 1 312.381 1.731 20 0 DDADMM CC[C@H](CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C(C)(C)C ZINC001364049952 887797479 /nfs/dbraw/zinc/79/74/79/887797479.db2.gz PKTGACNHLQCUPB-MRVPVSSYSA-N -1 1 302.400 1.609 20 0 DDADMM O=S(=O)([N-]CCc1ccc(Cl)nc1)c1ccns1 ZINC001309282568 871560461 /nfs/dbraw/zinc/56/04/61/871560461.db2.gz GIFCTTMUAPSJTJ-UHFFFAOYSA-N -1 1 303.796 1.713 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N(CC)[C@@H](C)CC ZINC001342472882 872225680 /nfs/dbraw/zinc/22/56/80/872225680.db2.gz FURVWINULFGRLE-NSHDSACASA-N -1 1 322.417 1.510 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N(CC)[C@@H](C)CC ZINC001342472882 872225693 /nfs/dbraw/zinc/22/56/93/872225693.db2.gz FURVWINULFGRLE-NSHDSACASA-N -1 1 322.417 1.510 20 0 DDADMM Cc1cnc(C(=O)NCCc2nc3ccccc3n2C)c([O-])c1 ZINC001343065248 872488397 /nfs/dbraw/zinc/48/83/97/872488397.db2.gz ADGAZDJLILWCNV-UHFFFAOYSA-N -1 1 310.357 1.955 20 0 DDADMM CCCN(C)c1nnc(Cc2nnn[n-]2)n1Cc1ccccc1 ZINC001343946206 872816871 /nfs/dbraw/zinc/81/68/71/872816871.db2.gz SEEVCDDDHRZOEG-UHFFFAOYSA-N -1 1 312.381 1.277 20 0 DDADMM CCCN(C)c1nnc(Cc2nn[n-]n2)n1Cc1ccccc1 ZINC001343946206 872816885 /nfs/dbraw/zinc/81/68/85/872816885.db2.gz SEEVCDDDHRZOEG-UHFFFAOYSA-N -1 1 312.381 1.277 20 0 DDADMM CSCCO[N-]C(=O)[C@@H]1CCCCN1Cc1ccncc1 ZINC001344406751 872997966 /nfs/dbraw/zinc/99/79/66/872997966.db2.gz FZWAIKDYLOMUKZ-AWEZNQCLSA-N -1 1 309.435 1.847 20 0 DDADMM CCCCOC[C@H](C)Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c21 ZINC001226888331 882705505 /nfs/dbraw/zinc/70/55/05/882705505.db2.gz OVJNSPRLGCHQQG-ZETCQYMHSA-N -1 1 310.310 1.121 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C1(C)CC=CC1 ZINC001345506118 873424973 /nfs/dbraw/zinc/42/49/73/873424973.db2.gz UDAVWRIWMVUQBK-UHFFFAOYSA-N -1 1 302.334 1.168 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nnc(-c3cccn3C)o2)o1 ZINC001345842650 873530879 /nfs/dbraw/zinc/53/08/79/873530879.db2.gz SUBBHTDOPSYMFN-UHFFFAOYSA-N -1 1 308.319 1.243 20 0 DDADMM CN(Cc1ccc([S@@](C)=O)cc1)C(=O)c1ccc([O-])cn1 ZINC001361987158 882767331 /nfs/dbraw/zinc/76/73/31/882767331.db2.gz QDPMGCWEFFHIMV-OAQYLSRUSA-N -1 1 304.371 1.797 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)CCOCC(F)F)[n-]c1=O ZINC001347422738 874134504 /nfs/dbraw/zinc/13/45/04/874134504.db2.gz VFTMOGHMCVFXIT-UHFFFAOYSA-N -1 1 319.308 1.214 20 0 DDADMM O=C(c1nsc2ccccc21)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347475309 874154373 /nfs/dbraw/zinc/15/43/73/874154373.db2.gz SLNMXEMZUDNENU-MRVPVSSYSA-N -1 1 300.347 1.439 20 0 DDADMM CCc1cccc2c(CC(=O)N3CC[C@H](c4nn[n-]n4)C3)c[nH]c21 ZINC001347974400 874324776 /nfs/dbraw/zinc/32/47/76/874324776.db2.gz JDHGFSCEMVFSAC-LBPRGKRZSA-N -1 1 324.388 1.802 20 0 DDADMM Cc1c2cc(C)ccc2[nH]c1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347973067 874325955 /nfs/dbraw/zinc/32/59/55/874325955.db2.gz BRDAAMWYEAUCIG-LLVKDONJSA-N -1 1 310.361 1.928 20 0 DDADMM C=CC(=O)OC[C@@H](C)Oc1[n-]c(=O)ncc1Br ZINC001227138688 882868847 /nfs/dbraw/zinc/86/88/47/882868847.db2.gz TZORYSWNOUDGHO-ZCFIWIBFSA-N -1 1 303.112 1.441 20 0 DDADMM COCC(=O)c1ccc(O)cc1OCC[N@H+]1CC[C@@H](OC)C1 ZINC001227172225 882892141 /nfs/dbraw/zinc/89/21/41/882892141.db2.gz MQBWNWLJPAMTSH-CYBMUJFWSA-N -1 1 309.362 1.321 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC(C)(C)OCC1CC1 ZINC001349940230 875466707 /nfs/dbraw/zinc/46/67/07/875466707.db2.gz BTIYNQKMCUDCGD-UHFFFAOYSA-N -1 1 311.407 1.714 20 0 DDADMM O=C(C=C(C1CC1)C1CC1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001350429758 875684243 /nfs/dbraw/zinc/68/42/43/875684243.db2.gz UCXQZLNXMJKGEQ-LLVKDONJSA-N -1 1 301.394 1.727 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCOc2c(F)cccc21)c1nn[n-]n1 ZINC001362071523 882963656 /nfs/dbraw/zinc/96/36/56/882963656.db2.gz YQZWADXTKNMBJJ-PWSUYJOCSA-N -1 1 319.340 1.863 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1nc(C(C)C)cs1 ZINC001362081776 882986668 /nfs/dbraw/zinc/98/66/68/882986668.db2.gz KZXBXWSCLHMTLR-UHFFFAOYSA-N -1 1 324.362 1.406 20 0 DDADMM CCCC[C@H](C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001214550843 876388216 /nfs/dbraw/zinc/38/82/16/876388216.db2.gz UQNYJOSHPZRDFQ-QJPTWQEYSA-N -1 1 309.414 1.273 20 0 DDADMM COc1ccc(F)c(Nc2c(N)[nH]c(=S)[n-]c2=O)c1OC ZINC001214693907 876440246 /nfs/dbraw/zinc/44/02/46/876440246.db2.gz FMIYSYOFHGKXEC-UHFFFAOYSA-N -1 1 312.326 1.953 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2cccnc2F)s1 ZINC000401806732 876689313 /nfs/dbraw/zinc/68/93/13/876689313.db2.gz YUIMXWWKYWAECE-ZCFIWIBFSA-N -1 1 302.356 1.420 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)Nc2ccsc2C(=O)[O-])c1 ZINC001215397232 876698055 /nfs/dbraw/zinc/69/80/55/876698055.db2.gz DPMMAWUUEZEVCJ-UHFFFAOYSA-N -1 1 313.360 1.412 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1ccc(C)c(C)c1 ZINC001352345319 876699852 /nfs/dbraw/zinc/69/98/52/876699852.db2.gz HHARNJOOURTQLX-UHFFFAOYSA-N -1 1 312.381 1.731 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1ccc(C)c(C)c1 ZINC001352345319 876699858 /nfs/dbraw/zinc/69/98/58/876699858.db2.gz HHARNJOOURTQLX-UHFFFAOYSA-N -1 1 312.381 1.731 20 0 DDADMM O=C(c1cccc(Cn2ccnc2)c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001352585736 876817314 /nfs/dbraw/zinc/81/73/14/876817314.db2.gz QKGIOLWYQAYVED-AWEZNQCLSA-N -1 1 323.360 1.074 20 0 DDADMM Cc1cc(C)c(N)c([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001216101882 876863725 /nfs/dbraw/zinc/86/37/25/876863725.db2.gz TYCVQWDCELEPHQ-UHFFFAOYSA-N -1 1 306.391 1.851 20 0 DDADMM C[C@H](CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001216557037 876976532 /nfs/dbraw/zinc/97/65/32/876976532.db2.gz QVUORMFHJBWEFT-IJLUTSLNSA-N -1 1 323.441 1.519 20 0 DDADMM C[C@@]1(NC(=O)CCC2CC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC001379638942 877611213 /nfs/dbraw/zinc/61/12/13/877611213.db2.gz OFRLFMCDQNFTQM-QGZVFWFLSA-N -1 1 317.389 1.698 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cnc3ccccn23)co1 ZINC001300436822 877627582 /nfs/dbraw/zinc/62/75/82/877627582.db2.gz XZGFZUOHHGYSSX-UHFFFAOYSA-N -1 1 320.330 1.088 20 0 DDADMM O=C([O-])[C@H](CSCCOc1ccccc1)NCc1cc[nH]n1 ZINC001353945543 877654991 /nfs/dbraw/zinc/65/49/91/877654991.db2.gz LKTKWMXOPPFKHS-AWEZNQCLSA-N -1 1 321.402 1.765 20 0 DDADMM C[C@@H](CNC(=O)/C=C\c1ccco1)NC(=O)c1ncccc1[O-] ZINC001354218190 877814880 /nfs/dbraw/zinc/81/48/80/877814880.db2.gz HOWUFHRFFWAWBP-ZADCQDASSA-N -1 1 315.329 1.328 20 0 DDADMM CC[C@@H](C)c1cccc(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)c1 ZINC001354382495 877939644 /nfs/dbraw/zinc/93/96/44/877939644.db2.gz UNMRZLIQOZBRIO-BDJLRTHQSA-N -1 1 306.362 1.582 20 0 DDADMM Cc1ccc(C)c([C@@H]2CCCN2c2nnc(-c3nnn[n-]3)n2C)c1 ZINC001355333411 878508787 /nfs/dbraw/zinc/50/87/87/878508787.db2.gz JQGIDVHGCQIAGA-ZDUSSCGKSA-N -1 1 324.392 1.954 20 0 DDADMM Cc1ccc(C)c([C@@H]2CCCN2c2nnc(-c3nn[n-]n3)n2C)c1 ZINC001355333411 878508796 /nfs/dbraw/zinc/50/87/96/878508796.db2.gz JQGIDVHGCQIAGA-ZDUSSCGKSA-N -1 1 324.392 1.954 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)C(F)=C1CCCC1 ZINC001355555887 878614860 /nfs/dbraw/zinc/61/48/60/878614860.db2.gz QQZXTIYWFHTOKM-UHFFFAOYSA-N -1 1 321.352 1.773 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N1CC=C(COC)CC1 ZINC001356429965 879047910 /nfs/dbraw/zinc/04/79/10/879047910.db2.gz WKVUDRMABWFSOY-UHFFFAOYSA-N -1 1 304.354 1.177 20 0 DDADMM CCOCCCC(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001357168244 879828610 /nfs/dbraw/zinc/82/86/10/879828610.db2.gz GAFOCNSAQAYNLO-UHFFFAOYSA-N -1 1 323.393 1.134 20 0 DDADMM C=C/C(C)=C\CC(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358630530 880648639 /nfs/dbraw/zinc/64/86/39/880648639.db2.gz PZRWLKZCHSMLLI-TZQNSNDFSA-N -1 1 315.373 1.640 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](OCc2nn[n-]n2)[C@@H](F)C1 ZINC001223030646 880793617 /nfs/dbraw/zinc/79/36/17/880793617.db2.gz ZGTPCINTRYKWJU-DTWKUNHWSA-N -1 1 301.322 1.064 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](Oc2[n-]c(=O)nc3c2COC3)C1 ZINC001227689217 883131709 /nfs/dbraw/zinc/13/17/09/883131709.db2.gz CHDLHPXKAJGIGA-SECBINFHSA-N -1 1 323.349 1.601 20 0 DDADMM COC(=O)Cn1ccc(NC(=O)c2cc(SC)ccc2[O-])n1 ZINC001362224725 883345518 /nfs/dbraw/zinc/34/55/18/883345518.db2.gz WUIZVTRRXFJBLF-UHFFFAOYSA-N -1 1 321.358 1.736 20 0 DDADMM O=C(NC[C@@H](O)COc1ccccc1)c1ccc(F)c([O-])c1 ZINC001362250917 883409154 /nfs/dbraw/zinc/40/91/54/883409154.db2.gz KFWBBLXFIPOEIG-GFCCVEGCSA-N -1 1 305.305 1.701 20 0 DDADMM CSc1ncc(C(=O)N2C[C@H]3CCC[C@@H](C2)C3O)c(=O)[n-]1 ZINC001362264125 883436197 /nfs/dbraw/zinc/43/61/97/883436197.db2.gz JIDSSVJBEYJANX-SLHIUPAKSA-N -1 1 309.391 1.137 20 0 DDADMM C[C@H](CN(C)C(=O)C1(F)CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001382144661 883509775 /nfs/dbraw/zinc/50/97/75/883509775.db2.gz VINZVSVSUTTYLY-SNVBAGLBSA-N -1 1 313.377 1.119 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2cnc(SC)[n-]c2=O)C12CCC2 ZINC001362311390 883537048 /nfs/dbraw/zinc/53/70/48/883537048.db2.gz RKHLYABIDSGMQC-GHMZBOCLSA-N -1 1 323.418 1.982 20 0 DDADMM CC(C)(C)NC(=O)[C@H]1CCC2(CN(Cc3ncccc3[O-])C2)O1 ZINC001277315298 883563338 /nfs/dbraw/zinc/56/33/38/883563338.db2.gz HJCCMKIBKLSSOI-CQSZACIVSA-N -1 1 319.405 1.435 20 0 DDADMM C[C@@H]1C[C@H](Oc2cnnc(=S)[n-]2)CN1C(=O)OC(C)(C)C ZINC001228638712 883585766 /nfs/dbraw/zinc/58/57/66/883585766.db2.gz HCOWRCMALUZJFK-BDAKNGLRSA-N -1 1 312.395 1.937 20 0 DDADMM CCO[C@H]1C[C@](CO)(NC(=O)c2ccc(F)c([O-])c2)C1(C)C ZINC001362366214 883655050 /nfs/dbraw/zinc/65/50/50/883655050.db2.gz DYDGINBORUFJEC-XJKSGUPXSA-N -1 1 311.353 1.827 20 0 DDADMM CCn1cc(Cl)c(C(=O)NC(CC)(CC)c2nn[n-]n2)n1 ZINC001362427558 883785698 /nfs/dbraw/zinc/78/56/98/883785698.db2.gz RZHQZOPLCUNWCN-UHFFFAOYSA-N -1 1 311.777 1.515 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]1Cc1ccccc1 ZINC001362430131 883792768 /nfs/dbraw/zinc/79/27/68/883792768.db2.gz GVLLFZVWAODUMA-LLVKDONJSA-N -1 1 315.329 1.354 20 0 DDADMM CS(=O)(=O)CCN(C(=O)c1ccc(F)c([O-])c1)C1CC1 ZINC001362489253 883924888 /nfs/dbraw/zinc/92/48/88/883924888.db2.gz IBLXMTGMWJRGHS-UHFFFAOYSA-N -1 1 301.339 1.181 20 0 DDADMM C[C@H](NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(=O)N(C)C ZINC001362535126 884029425 /nfs/dbraw/zinc/02/94/25/884029425.db2.gz VTHHJSKSYFBYHR-ZETCQYMHSA-N -1 1 304.268 1.618 20 0 DDADMM CC(C)c1nnc(CCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)o1 ZINC001362536135 884033402 /nfs/dbraw/zinc/03/34/02/884033402.db2.gz CWVQSWHXKHBCPN-JTQLQIEISA-N -1 1 319.369 1.045 20 0 DDADMM CSc1ncc(C(=O)NCCc2ncc(C)cc2C)c(=O)[n-]1 ZINC001362623449 884248750 /nfs/dbraw/zinc/24/87/50/884248750.db2.gz OTEANRQGFQFDKX-UHFFFAOYSA-N -1 1 318.402 1.888 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](Oc1nc(C(=O)OC)c[n-]1)C(F)(F)F ZINC001230280543 884404825 /nfs/dbraw/zinc/40/48/25/884404825.db2.gz UYXIYBUPYPNEKA-HTRCEHHLSA-N -1 1 324.255 1.705 20 0 DDADMM C[C@H](C(=O)Nc1cccc([O-])c1Br)n1cncn1 ZINC001362718864 884480327 /nfs/dbraw/zinc/48/03/27/884480327.db2.gz YCUPFDHZAMUPSS-SSDOTTSWSA-N -1 1 311.139 1.946 20 0 DDADMM COc1ccc([C@@H](NC(=O)CCCc2nn[n-]n2)C2CC2)cn1 ZINC001362750405 884550439 /nfs/dbraw/zinc/55/04/39/884550439.db2.gz JGKPCIZFIGWEAE-HNNXBMFYSA-N -1 1 316.365 1.194 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1C[C@@H](CO)[C@H](C(F)(F)F)C1 ZINC001362761788 884576772 /nfs/dbraw/zinc/57/67/72/884576772.db2.gz POKZPSVNSPWHRV-DTWKUNHWSA-N -1 1 307.243 1.774 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1ncc(C(C)(C)C)o1)c1nn[n-]n1 ZINC001362825068 884729681 /nfs/dbraw/zinc/72/96/81/884729681.db2.gz LULZAWQFWQDNOJ-JTQLQIEISA-N -1 1 320.397 1.680 20 0 DDADMM COc1ccc(CCCC(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001362832061 884748462 /nfs/dbraw/zinc/74/84/62/884748462.db2.gz CAITZSFKPCVJDQ-UHFFFAOYSA-N -1 1 315.377 1.727 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ncsc1C1CC1 ZINC001362832112 884749966 /nfs/dbraw/zinc/74/99/66/884749966.db2.gz QCKVSHPUVQLYFN-UHFFFAOYSA-N -1 1 306.395 1.832 20 0 DDADMM CSc1ncc(C(=O)N2CCOC[C@H]2CC(F)F)c(=O)[n-]1 ZINC001362873067 884849524 /nfs/dbraw/zinc/84/95/24/884849524.db2.gz LLCMUONVLJXAOZ-SSDOTTSWSA-N -1 1 319.333 1.400 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1cc(C)no1 ZINC001362884888 884883802 /nfs/dbraw/zinc/88/38/02/884883802.db2.gz BJOBLIQGEYZFOE-VIFPVBQESA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1cc(C)no1 ZINC001362884888 884883820 /nfs/dbraw/zinc/88/38/20/884883820.db2.gz BJOBLIQGEYZFOE-VIFPVBQESA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1cc(C)no1 ZINC001362884888 884883834 /nfs/dbraw/zinc/88/38/34/884883834.db2.gz BJOBLIQGEYZFOE-VIFPVBQESA-N -1 1 319.321 1.255 20 0 DDADMM C[C@H](CNC(=O)CC(F)(F)F)N(C)C(=O)c1ncccc1[O-] ZINC001382927885 884976395 /nfs/dbraw/zinc/97/63/95/884976395.db2.gz XUWLFWLQLABZQZ-MRVPVSSYSA-N -1 1 319.283 1.316 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC(O)(Cc2ccccn2)CC1 ZINC001362959229 885087415 /nfs/dbraw/zinc/08/74/15/885087415.db2.gz URXTZZGMXJSREZ-UHFFFAOYSA-N -1 1 313.357 1.392 20 0 DDADMM CCOC(=O)[C@](C)(CNC(=O)c1cnncc1O)CC(C)C ZINC001362964539 885102190 /nfs/dbraw/zinc/10/21/90/885102190.db2.gz KQIWZRLEZVPMNQ-HNNXBMFYSA-N -1 1 309.366 1.528 20 0 DDADMM C[C@H](NC(=O)OC(C)(C)C)[C@H](C)NC(=O)c1cnncc1[O-] ZINC001363029741 885270167 /nfs/dbraw/zinc/27/01/67/885270167.db2.gz DWKGZQMPNOJOSJ-IUCAKERBSA-N -1 1 310.354 1.214 20 0 DDADMM CC1(C)CN(Cc2ccccc2)C[C@H](COc2n[nH]c(=O)[n-]2)O1 ZINC001231308351 885489820 /nfs/dbraw/zinc/48/98/20/885489820.db2.gz RPWARIZVNNHMHG-CYBMUJFWSA-N -1 1 318.377 1.569 20 0 DDADMM CN(CCN1CCOC(C)(C)C1)C(=O)c1cccc([O-])c1F ZINC001363114548 885498140 /nfs/dbraw/zinc/49/81/40/885498140.db2.gz WWMGKXKCRZMGRY-UHFFFAOYSA-N -1 1 310.369 1.714 20 0 DDADMM CC(C)(C)c1nnc2n1CCN(C(=O)c1ccc([O-])cn1)C2 ZINC001363121719 885517961 /nfs/dbraw/zinc/51/79/61/885517961.db2.gz JBVLKIUTEGRMRN-UHFFFAOYSA-N -1 1 301.350 1.332 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)n[nH]1 ZINC001363152898 885593769 /nfs/dbraw/zinc/59/37/69/885593769.db2.gz MEQIGPLILCCIJF-IUCAKERBSA-N -1 1 318.299 1.390 20 0 DDADMM NC(=O)[C@@H]1CCSC12CN(Cc1ccc([O-])c(F)c1F)C2 ZINC001277543224 885769568 /nfs/dbraw/zinc/76/95/68/885769568.db2.gz KGYHGCIBZQBOCN-VIFPVBQESA-N -1 1 314.357 1.463 20 0 DDADMM O=C([N-]N1CCOC1=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC001363254413 885832022 /nfs/dbraw/zinc/83/20/22/885832022.db2.gz FTVYJHCGPMIRTG-UHFFFAOYSA-N -1 1 306.709 1.827 20 0 DDADMM Cc1cc(C2(C(=O)N(Cc3nn[n-]n3)CC(C)C)CC2)on1 ZINC001363276241 885885599 /nfs/dbraw/zinc/88/55/99/885885599.db2.gz LGLJDHBTRLRGKA-UHFFFAOYSA-N -1 1 304.354 1.213 20 0 DDADMM CC[C@@H](O)Cn1cc(C(=O)NCc2ccc([O-])c(Cl)c2)nn1 ZINC001363311342 885984292 /nfs/dbraw/zinc/98/42/92/885984292.db2.gz JUBXFNZRFMHFBV-SNVBAGLBSA-N -1 1 324.768 1.338 20 0 DDADMM Cc1cccc([C@@H]2C(=O)NCCN2C(=O)c2ncccc2[O-])c1 ZINC001363336788 886059932 /nfs/dbraw/zinc/05/99/32/886059932.db2.gz OEKQITXQBXGZHH-OAHLLOKOSA-N -1 1 311.341 1.409 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccncc1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363401971 886235150 /nfs/dbraw/zinc/23/51/50/886235150.db2.gz WYNYTAYLVKPWEO-FPMFFAJLSA-N -1 1 312.377 1.180 20 0 DDADMM Cc1cnc([C@H](C)N(C)CCN(C)C(=O)c2ncccc2[O-])o1 ZINC001374489549 913034409 /nfs/dbraw/zinc/03/44/09/913034409.db2.gz NBWRXJSFCWDFFP-LBPRGKRZSA-N -1 1 318.377 1.849 20 0 DDADMM COc1cccc(CC(=O)NCc2cc(=O)[n-]c(SC)n2)n1 ZINC001363458114 886395929 /nfs/dbraw/zinc/39/59/29/886395929.db2.gz OXTDJKMCWZFIBE-UHFFFAOYSA-N -1 1 320.374 1.167 20 0 DDADMM O=C(N[C@@H]1Cc2ccccc2NC1=O)c1ccc(F)c([O-])c1 ZINC001363503771 886503529 /nfs/dbraw/zinc/50/35/29/886503529.db2.gz JXSSFMPUDGYMNQ-CYBMUJFWSA-N -1 1 300.289 1.825 20 0 DDADMM CC(C)(C)[C@H](NC(=O)CCN1CC[C@@H](F)C1)c1nc(=O)o[n-]1 ZINC001363526780 886556381 /nfs/dbraw/zinc/55/63/81/886556381.db2.gz MCYYYUDZSRYXKG-MWLCHTKSSA-N -1 1 314.361 1.000 20 0 DDADMM CNC(=O)c1cnc2n1CCN(Cc1cc(Cl)ncc1[O-])C2 ZINC001233036646 886744837 /nfs/dbraw/zinc/74/48/37/886744837.db2.gz QTBSVSMZXPKWRI-UHFFFAOYSA-N -1 1 321.768 1.013 20 0 DDADMM NC(=O)c1cc(C(=O)NCCc2c(F)cc([O-])cc2F)co1 ZINC001363630732 886818150 /nfs/dbraw/zinc/81/81/50/886818150.db2.gz MNEDCGOVUNXTGV-UHFFFAOYSA-N -1 1 310.256 1.335 20 0 DDADMM Cn1nccc1[C@@H]1CN(C(=O)c2ccc(F)c([O-])c2)CCO1 ZINC001363759299 887155535 /nfs/dbraw/zinc/15/55/35/887155535.db2.gz JOUZEQMTDUOWBP-AWEZNQCLSA-N -1 1 305.309 1.479 20 0 DDADMM CSCc1cccnc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363797333 887242549 /nfs/dbraw/zinc/24/25/49/887242549.db2.gz ARZCVONMCHDATK-UHFFFAOYSA-N -1 1 320.422 1.756 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@H](Oc2c(=O)[n-]cnc2C(=O)OC)C1 ZINC001233758900 887285524 /nfs/dbraw/zinc/28/55/24/887285524.db2.gz MQNNTZZZDAHDFX-ZJUUUORDSA-N -1 1 324.333 1.470 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cc(C)n[nH]2)[n-]c1=O ZINC001363849268 887392280 /nfs/dbraw/zinc/39/22/80/887392280.db2.gz NPSYLLORTCZDBX-SNVBAGLBSA-N -1 1 303.322 1.200 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cc(C)[nH]n2)[n-]c1=O ZINC001363849268 887392293 /nfs/dbraw/zinc/39/22/93/887392293.db2.gz NPSYLLORTCZDBX-SNVBAGLBSA-N -1 1 303.322 1.200 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccc(C)nn2)[n-]c1=O ZINC001363851008 887395550 /nfs/dbraw/zinc/39/55/50/887395550.db2.gz SENOCECCYAMDKB-LLVKDONJSA-N -1 1 315.333 1.267 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCC(=O)C[C@@H]2C)n[n-]1 ZINC001363890261 887480960 /nfs/dbraw/zinc/48/09/60/887480960.db2.gz XNEAXVFCWFNMJJ-ATZCPNFKSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCC(=O)C[C@@H]2C)[n-]1 ZINC001363890261 887480967 /nfs/dbraw/zinc/48/09/67/887480967.db2.gz XNEAXVFCWFNMJJ-ATZCPNFKSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCC(=O)C[C@@H]2C)n1 ZINC001363890261 887480976 /nfs/dbraw/zinc/48/09/76/887480976.db2.gz XNEAXVFCWFNMJJ-ATZCPNFKSA-N -1 1 322.365 1.164 20 0 DDADMM Cc1nc([C@](C)([N-]S(=O)(=O)c2ccns2)C2CC2)no1 ZINC001363918616 887539366 /nfs/dbraw/zinc/53/93/66/887539366.db2.gz UYKIOFBUAABXPI-LLVKDONJSA-N -1 1 314.392 1.438 20 0 DDADMM CCc1nc(CNS(=O)(=O)c2cccc(Cl)c2[O-])n[nH]1 ZINC001363922199 887547672 /nfs/dbraw/zinc/54/76/72/887547672.db2.gz XUDLQXBWSVGRRQ-UHFFFAOYSA-N -1 1 316.770 1.205 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1nocc1C)C(=O)OC(C)(C)C ZINC001363937675 887574654 /nfs/dbraw/zinc/57/46/54/887574654.db2.gz BVJXZXBMPMYFIT-JTQLQIEISA-N -1 1 318.395 1.523 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])cc2F)CCN1c1cnccn1 ZINC001364001190 887699642 /nfs/dbraw/zinc/69/96/42/887699642.db2.gz OOTREVGJWRYAFR-NSHDSACASA-N -1 1 316.336 1.672 20 0 DDADMM CC(C)c1oncc1[N-]C(=O)c1cn(C(F)(F)CO)nn1 ZINC001364026569 887749031 /nfs/dbraw/zinc/74/90/31/887749031.db2.gz INMLJFJDKVARJM-UHFFFAOYSA-N -1 1 301.253 1.183 20 0 DDADMM CC[C@H](CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C(C)(C)C ZINC001364049952 887797464 /nfs/dbraw/zinc/79/74/64/887797464.db2.gz PKTGACNHLQCUPB-MRVPVSSYSA-N -1 1 302.400 1.609 20 0 DDADMM Cc1nc([C@H]2CCCN2C(=O)CCCc2nn[n-]n2)[nH]c1C ZINC001364053095 887806608 /nfs/dbraw/zinc/80/66/08/887806608.db2.gz BXVJZMDRAODMFA-LLVKDONJSA-N -1 1 303.370 1.226 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC001364137583 888005734 /nfs/dbraw/zinc/00/57/34/888005734.db2.gz GJQABCSOGBYEJI-QMMMGPOBSA-N -1 1 304.281 1.246 20 0 DDADMM Cc1cnc(F)c([C@H](C)Oc2c(C(N)=O)nc(C)[n-]c2=O)c1 ZINC001234477081 888010676 /nfs/dbraw/zinc/01/06/76/888010676.db2.gz LUTIMFDBWCRIOF-ZETCQYMHSA-N -1 1 306.297 1.572 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@@H](C)c2cccnc2)c(=O)[n-]1 ZINC001234560852 888096353 /nfs/dbraw/zinc/09/63/53/888096353.db2.gz IOIUVYIXBBGFIJ-VIFPVBQESA-N -1 1 302.334 1.940 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@@H]2COc3cnccc32)c(=O)[n-]1 ZINC001234572649 888110596 /nfs/dbraw/zinc/11/05/96/888110596.db2.gz OKYWPGNVABKBGE-SNVBAGLBSA-N -1 1 316.317 1.312 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)c2cnn(C3CC3)c2)n[nH]1 ZINC001364297546 888326514 /nfs/dbraw/zinc/32/65/14/888326514.db2.gz DAAWGSUCBCACGY-UHFFFAOYSA-N -1 1 303.322 1.759 20 0 DDADMM CCC[C@H](C)CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385143336 888558305 /nfs/dbraw/zinc/55/83/05/888558305.db2.gz NGAPNRVNEIGERL-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(N[C@@H]1Cc2ccccc2NC1=O)c1ccc([O-])cc1F ZINC001364413962 888581551 /nfs/dbraw/zinc/58/15/51/888581551.db2.gz KBLWXBJZDPPSQV-CQSZACIVSA-N -1 1 300.289 1.825 20 0 DDADMM COc1cc(C)cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1C ZINC001364484882 888742761 /nfs/dbraw/zinc/74/27/61/888742761.db2.gz MPKSZQJMIGLTSG-UHFFFAOYSA-N -1 1 324.362 1.086 20 0 DDADMM COc1cc(C)cc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1C ZINC001364484882 888742771 /nfs/dbraw/zinc/74/27/71/888742771.db2.gz MPKSZQJMIGLTSG-UHFFFAOYSA-N -1 1 324.362 1.086 20 0 DDADMM O=C(CCC(=O)N1CC[C@@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC001364567515 888942351 /nfs/dbraw/zinc/94/23/51/888942351.db2.gz JSEZNYUXQHYTCR-LLVKDONJSA-N -1 1 317.324 1.318 20 0 DDADMM CCC[C@@H](C)C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385368270 888978997 /nfs/dbraw/zinc/97/89/97/888978997.db2.gz HMKZCXMAGFWFNP-OLZOCXBDSA-N -1 1 319.405 1.896 20 0 DDADMM COC(=O)c1cc(C(=O)CSc2ncc(C)c(=O)[n-]2)c(C)[nH]1 ZINC001364612636 889036819 /nfs/dbraw/zinc/03/68/19/889036819.db2.gz CVACPVKIBYNHAH-UHFFFAOYSA-N -1 1 321.358 1.889 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NCc2cn(C3CCC3)nn2)n[n-]1 ZINC001364721344 889271955 /nfs/dbraw/zinc/27/19/55/889271955.db2.gz AVTMUQBWRXGPTC-SECBINFHSA-N -1 1 319.369 1.149 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2cn(C3CCC3)nn2)n1 ZINC001364721344 889271966 /nfs/dbraw/zinc/27/19/66/889271966.db2.gz AVTMUQBWRXGPTC-SECBINFHSA-N -1 1 319.369 1.149 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@]12C[C@@H]1CCCC2 ZINC001364923802 889676693 /nfs/dbraw/zinc/67/66/93/889676693.db2.gz PRLOOYUMPUCXBZ-ZANVPECISA-N -1 1 313.379 1.197 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@H](c1nc(C)no1)C(C)C)C(C)C ZINC001365006840 889879508 /nfs/dbraw/zinc/87/95/08/889879508.db2.gz FHNQNTBGJKDJRS-RYUDHWBXSA-N -1 1 319.427 1.666 20 0 DDADMM O=C(Nc1ccc(CO)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC001365084702 890069258 /nfs/dbraw/zinc/06/92/58/890069258.db2.gz COVZILULCCBDGF-UHFFFAOYSA-N -1 1 302.338 1.104 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1CCC[C@H]2OCC[C@@H]21 ZINC001365132201 890169293 /nfs/dbraw/zinc/16/92/93/890169293.db2.gz LAEDKIQYMVACHP-OPRDCNLKSA-N -1 1 318.420 1.388 20 0 DDADMM Cc1cnc(C(=O)NC2(C)CN(C(=O)OC(C)(C)C)C2)c([O-])c1 ZINC001365153095 890207444 /nfs/dbraw/zinc/20/74/44/890207444.db2.gz DTRQQXIIVWOVKR-UHFFFAOYSA-N -1 1 321.377 1.835 20 0 DDADMM Cc1cccc(NC(=O)CSCC(=O)Nc2c[n-][nH]c2=O)c1 ZINC001365205058 890340691 /nfs/dbraw/zinc/34/06/91/890340691.db2.gz CFCUJKWPTRGLDO-UHFFFAOYSA-N -1 1 320.374 1.734 20 0 DDADMM NC(=O)CC1(CNC(=O)NCc2ccc([O-])c(Cl)c2)CC1 ZINC001365206888 890347225 /nfs/dbraw/zinc/34/72/25/890347225.db2.gz XADAMGBYOJZDCU-UHFFFAOYSA-N -1 1 311.769 1.500 20 0 DDADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)NC(=O)c1ncccc1[O-] ZINC001386213982 890572408 /nfs/dbraw/zinc/57/24/08/890572408.db2.gz NPZHOPLZQCOESU-CIQGVGRVSA-N -1 1 317.389 1.458 20 0 DDADMM CC[C@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)[C@@H]1C ZINC001365428171 890781306 /nfs/dbraw/zinc/78/13/06/890781306.db2.gz JMJHSPUISZZSCE-MNOVXSKESA-N -1 1 320.393 1.585 20 0 DDADMM CCCCC(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001396262206 913487696 /nfs/dbraw/zinc/48/76/96/913487696.db2.gz HDYDEVBPJXCXCY-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM COC(=O)C(C(=O)OC)c1cncc(-c2cc(F)ccc2[O-])n1 ZINC001240693840 891021778 /nfs/dbraw/zinc/02/17/78/891021778.db2.gz JGUKMFRTWBVZGE-UHFFFAOYSA-N -1 1 320.276 1.418 20 0 DDADMM COc1nc(-c2ccc(F)c([O-])c2)nc(N2CCOCC2)n1 ZINC001243046808 891591143 /nfs/dbraw/zinc/59/11/43/891591143.db2.gz JQFARGBEAWZUST-UHFFFAOYSA-N -1 1 306.297 1.229 20 0 DDADMM Cc1cc(-c2ccc(-c3nnn[n-]3)nc2)cc2c1OCC(=O)N2 ZINC001244064447 891788022 /nfs/dbraw/zinc/78/80/22/891788022.db2.gz XWMGRFGCNHNWBO-UHFFFAOYSA-N -1 1 308.301 1.568 20 0 DDADMM Cc1cc(-c2ccc(-c3nn[n-]n3)nc2)cc2c1OCC(=O)N2 ZINC001244064447 891788032 /nfs/dbraw/zinc/78/80/32/891788032.db2.gz XWMGRFGCNHNWBO-UHFFFAOYSA-N -1 1 308.301 1.568 20 0 DDADMM CN1CCN(c2nccnc2-c2cc(F)c([O-])c(F)c2)CC1 ZINC001244095739 891792497 /nfs/dbraw/zinc/79/24/97/891792497.db2.gz SDRDAPLAACAYQQ-UHFFFAOYSA-N -1 1 306.316 1.879 20 0 DDADMM NS(=O)(=O)c1cccc(-c2c(F)c([O-])ccc2Cl)n1 ZINC001244539730 891865862 /nfs/dbraw/zinc/86/58/62/891865862.db2.gz FKALLVNUEHHBSQ-UHFFFAOYSA-N -1 1 302.714 1.894 20 0 DDADMM COc1cc(C)nc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)n1 ZINC001244789197 891921714 /nfs/dbraw/zinc/92/17/14/891921714.db2.gz AMGWVXFPDLZJSF-UHFFFAOYSA-N -1 1 324.362 1.236 20 0 DDADMM COc1ncc(-c2ccc(=S)[nH]n2)cc1[N-]S(C)(=O)=O ZINC001244790242 891923835 /nfs/dbraw/zinc/92/38/35/891923835.db2.gz GOAKJYJGNSOPGG-UHFFFAOYSA-N -1 1 312.376 1.207 20 0 DDADMM Cc1cc2c(c(-c3c(F)ccc(CO)c3F)n1)C(=O)[N-]C2=O ZINC001245848869 892251097 /nfs/dbraw/zinc/25/10/97/892251097.db2.gz CPIWOMCQKLZHRJ-UHFFFAOYSA-N -1 1 304.252 1.711 20 0 DDADMM Cc1csc(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)c1 ZINC001366048817 892327242 /nfs/dbraw/zinc/32/72/42/892327242.db2.gz ZLGBTTKOIRMJMX-SNVBAGLBSA-N -1 1 321.406 1.178 20 0 DDADMM Cc1nc(CN[C@@H](C)CNC(=O)c2ncccc2[O-])c(C)o1 ZINC001366259470 892917918 /nfs/dbraw/zinc/91/79/18/892917918.db2.gz JAKUGLDUSBQHLW-VIFPVBQESA-N -1 1 304.350 1.300 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1Nc1cnc2c(c1)NCCO2 ZINC001249802455 894129444 /nfs/dbraw/zinc/12/94/44/894129444.db2.gz FZPHZEAEOWBZLA-UHFFFAOYSA-N -1 1 306.307 1.812 20 0 DDADMM CN(CCNC(=O)C(C1CC1)C1CC1)C(=O)c1ncccc1[O-] ZINC001388121254 894601150 /nfs/dbraw/zinc/60/11/50/894601150.db2.gz HHXRABOEVWDFOH-UHFFFAOYSA-N -1 1 317.389 1.412 20 0 DDADMM O=C1Cc2ccc([N-]S(=O)(=O)c3ccccc3)cc2CN1 ZINC001251151549 894647439 /nfs/dbraw/zinc/64/74/39/894647439.db2.gz FHDHIZJMZWYOCE-UHFFFAOYSA-N -1 1 302.355 1.660 20 0 DDADMM Cc1c[nH]c(=O)c(-c2nc3ccc(S(N)(=O)=O)cc3[n-]2)c1 ZINC001251288256 894684580 /nfs/dbraw/zinc/68/45/80/894684580.db2.gz PZIMBVOMHDNMCR-UHFFFAOYSA-N -1 1 304.331 1.286 20 0 DDADMM Cc1c[nH]c(=O)c(-c2nc3cc(S(N)(=O)=O)ccc3[n-]2)c1 ZINC001251288256 894684585 /nfs/dbraw/zinc/68/45/85/894684585.db2.gz PZIMBVOMHDNMCR-UHFFFAOYSA-N -1 1 304.331 1.286 20 0 DDADMM Cc1ccccc1OC[C@H](O)C[NH2+]CCCC[P@](=O)([O-])O ZINC001251736072 894792752 /nfs/dbraw/zinc/79/27/52/894792752.db2.gz HHAJONDGQJZVOT-CYBMUJFWSA-N -1 1 317.322 1.282 20 0 DDADMM CCN(CCNC(=O)c1cc[nH]c1)C(=O)c1ncccc1[O-] ZINC001388301604 894960122 /nfs/dbraw/zinc/96/01/22/894960122.db2.gz JCEFWUJFWKBKDO-UHFFFAOYSA-N -1 1 302.334 1.007 20 0 DDADMM CSc1nc(NS(=O)(=O)Cc2ccccc2)cc(=O)[n-]1 ZINC001252811583 895467573 /nfs/dbraw/zinc/46/75/73/895467573.db2.gz VZXVRJHLAADMLY-UHFFFAOYSA-N -1 1 311.388 1.846 20 0 DDADMM CCC[C@@H](C)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367160829 896037562 /nfs/dbraw/zinc/03/75/62/896037562.db2.gz PMVZBBYAEGGDEX-LLVKDONJSA-N -1 1 309.414 1.465 20 0 DDADMM CCCCS(=O)(=O)[N-]c1cnn(C)c(=O)c1Br ZINC001253937258 896161877 /nfs/dbraw/zinc/16/18/77/896161877.db2.gz RVRNFAWMDIAFJK-UHFFFAOYSA-N -1 1 324.200 1.085 20 0 DDADMM O=C1Cc2cc([N-]S(=O)(=O)c3cccc(F)c3)ccc2CN1 ZINC001255660717 897073012 /nfs/dbraw/zinc/07/30/12/897073012.db2.gz ACRSPOWUCKAFTD-UHFFFAOYSA-N -1 1 320.345 1.799 20 0 DDADMM CCCc1[nH]ncc1C(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC001256354102 897402489 /nfs/dbraw/zinc/40/24/89/897402489.db2.gz GBJXMCHIPMPYCP-UHFFFAOYSA-N -1 1 313.321 1.296 20 0 DDADMM O=S(=O)([N-]Cc1ccncc1F)c1cc(F)ccc1F ZINC001258948690 898374275 /nfs/dbraw/zinc/37/42/75/898374275.db2.gz GZEKYODOAIPIIU-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM CC(C)N1CC([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC001259042814 898438776 /nfs/dbraw/zinc/43/87/76/898438776.db2.gz HVZGAEZDUXQVRV-UHFFFAOYSA-N -1 1 308.325 1.475 20 0 DDADMM O=C1CCC[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CN1 ZINC001259081829 898455522 /nfs/dbraw/zinc/45/55/22/898455522.db2.gz SGKUXNXBUFGSEF-SSDOTTSWSA-N -1 1 322.308 1.051 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@]12CCCOC2)c1ccc(F)c(F)c1F ZINC001259083655 898458484 /nfs/dbraw/zinc/45/84/84/898458484.db2.gz PYRWLBOADCLLPL-MFKMUULPSA-N -1 1 321.320 1.951 20 0 DDADMM O=C(CNS(=O)(=O)Cc1ccc(F)cc1)c1ccc([O-])cc1 ZINC001259257838 898553190 /nfs/dbraw/zinc/55/31/90/898553190.db2.gz GYMJDRZRPHQGCF-UHFFFAOYSA-N -1 1 323.345 1.834 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC[C@@H]1F)c1cc(Cl)ccc1F ZINC001259460363 898680338 /nfs/dbraw/zinc/68/03/38/898680338.db2.gz AXMWXIJYGYASSW-UWVGGRQHSA-N -1 1 311.737 1.884 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H](c2ccccn2)C(F)(F)F)cn1 ZINC001259828869 898839217 /nfs/dbraw/zinc/83/92/17/898839217.db2.gz ZNQLCMPVNHAHMM-JTQLQIEISA-N -1 1 320.296 1.397 20 0 DDADMM CS(=O)(=O)c1ccc([N-]S(=O)(=O)C2CCOCC2)cc1 ZINC001259913770 898922880 /nfs/dbraw/zinc/92/28/80/898922880.db2.gz CVNMDGBMSMAJKN-UHFFFAOYSA-N -1 1 319.404 1.011 20 0 DDADMM CC(C)(C)OC(=O)N1CC(C)([N-]S(=O)(=O)C(F)F)C1 ZINC001259960655 898984052 /nfs/dbraw/zinc/98/40/52/898984052.db2.gz GBLOXDTWHUXKNR-UHFFFAOYSA-N -1 1 300.327 1.138 20 0 DDADMM CC1(COc2ccc(C[N-]S(=O)(=O)C(F)F)cn2)COC1 ZINC001259963876 898986803 /nfs/dbraw/zinc/98/68/03/898986803.db2.gz RODWPZWVLMSTAJ-UHFFFAOYSA-N -1 1 322.333 1.139 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccncc1Oc1ccccc1 ZINC001259965515 898988740 /nfs/dbraw/zinc/98/87/40/898988740.db2.gz UYRARQCKDMCWOC-UHFFFAOYSA-N -1 1 308.359 1.684 20 0 DDADMM COc1cc(NS(=O)(=O)c2cccc(C(=O)[O-])c2)ncc1C ZINC001260185020 899078723 /nfs/dbraw/zinc/07/87/23/899078723.db2.gz NMKVZMFFCDYEEQ-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM O=S(=O)([N-]Cc1cncnc1)c1cc(F)c(F)cc1Cl ZINC001260287511 899111516 /nfs/dbraw/zinc/11/15/16/899111516.db2.gz CMBUMXKHHAMSFY-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM O=S(=O)([N-]CC1(O)CC1)c1cc(Cl)sc1Cl ZINC001260465937 899150090 /nfs/dbraw/zinc/15/00/90/899150090.db2.gz NHANZYRPFPOIRC-UHFFFAOYSA-N -1 1 302.204 1.858 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]c2cc[nH]c(=O)c2)s1 ZINC001260701226 899224130 /nfs/dbraw/zinc/22/41/30/899224130.db2.gz YUQRRNLRRFJBBD-UHFFFAOYSA-N -1 1 314.348 1.003 20 0 DDADMM CC[C@H](CNC(=O)CC[C@H](C)OC)NC(=O)c1ncccc1[O-] ZINC001390381933 899299351 /nfs/dbraw/zinc/29/93/51/899299351.db2.gz XPSGSCJZPXXDDD-NWDGAFQWSA-N -1 1 323.393 1.227 20 0 DDADMM CC(C)(C)CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396744866 914369750 /nfs/dbraw/zinc/36/97/50/914369750.db2.gz RQJVDUQADHCKIV-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM CC(=O)NC[C@@]1(NC(=O)c2ncccc2[O-])CCCC[C@H]1C ZINC001390878715 900423171 /nfs/dbraw/zinc/42/31/71/900423171.db2.gz XVHBIKSIXNDHSR-BZNIZROVSA-N -1 1 305.378 1.602 20 0 DDADMM C[C@@H](CN(C)C(=O)CCCC1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001369314533 901043005 /nfs/dbraw/zinc/04/30/05/901043005.db2.gz QGTWHYWQSIYHGE-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM CC(C)N1CC[C@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC000389373403 901338498 /nfs/dbraw/zinc/33/84/98/901338498.db2.gz XDHIJNBGJIBCJG-LBPRGKRZSA-N -1 1 312.391 1.146 20 0 DDADMM COCCN(C/C=C\Cl)CCNC(=O)c1ncccc1[O-] ZINC001369552434 901519868 /nfs/dbraw/zinc/51/98/68/901519868.db2.gz WCWCJCOCZJKZMU-HYXAFXHYSA-N -1 1 313.785 1.218 20 0 DDADMM CCN(CCCNC(=O)C[C@@H]1C=CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001266054500 902849486 /nfs/dbraw/zinc/84/94/86/902849486.db2.gz UKXZEPNMYSRYOZ-CYBMUJFWSA-N -1 1 321.425 1.585 20 0 DDADMM CC(C)[C@@H](C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001396920082 914735970 /nfs/dbraw/zinc/73/59/70/914735970.db2.gz XTXNQZBIADCIMW-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)=CC(=O)NC[C@@H](C1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001280953928 904240094 /nfs/dbraw/zinc/24/00/94/904240094.db2.gz SEHXPLXATOEBDQ-ZDUSSCGKSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CCCC1CCCC1 ZINC001392615627 904618289 /nfs/dbraw/zinc/61/82/89/904618289.db2.gz CDSPLBNFFVRYRR-NSHDSACASA-N -1 1 309.414 1.465 20 0 DDADMM CC[C@@H](OC)C(=O)NC[C@@H](C)N(CC)C(=O)c1ncccc1[O-] ZINC001371336946 904730668 /nfs/dbraw/zinc/73/06/68/904730668.db2.gz WWGDZSLFPQTXDN-DGCLKSJQSA-N -1 1 323.393 1.179 20 0 DDADMM CCCC1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC001371968703 906126707 /nfs/dbraw/zinc/12/67/07/906126707.db2.gz GPLKKHRUFGVFEM-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM O=C(N[C@H](CNC(=O)C1CCCC1)C1CC1)c1ncccc1[O-] ZINC001372341715 907071950 /nfs/dbraw/zinc/07/19/50/907071950.db2.gz AVWVFIKPGXNBKC-CYBMUJFWSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1cccc(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001372767012 908187793 /nfs/dbraw/zinc/18/77/93/908187793.db2.gz BWHRJABBPDFREO-LLVKDONJSA-N -1 1 314.345 1.039 20 0 DDADMM CCC[C@@H](OCC)C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001372839798 908399943 /nfs/dbraw/zinc/39/99/43/908399943.db2.gz ZGFMWCBVSUJIHC-DGCLKSJQSA-N -1 1 323.393 1.227 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3ncccc3F)nc2n1 ZINC001287289682 912161982 /nfs/dbraw/zinc/16/19/82/912161982.db2.gz UOEOUNUXWUDJIM-UHFFFAOYSA-N -1 1 316.296 1.157 20 0 DDADMM CCN(CCCNC(=O)CC1CCC1)C(=O)c1ncccc1[O-] ZINC001294419895 915126539 /nfs/dbraw/zinc/12/65/39/915126539.db2.gz OCBQCYNKGMHNIF-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM CCC(=O)N[C@@]1(CNC(=O)c2ncccc2[O-])CCCC[C@@H]1C ZINC001375394333 915803594 /nfs/dbraw/zinc/80/35/94/915803594.db2.gz WWENGOZDFSGIHK-YVEFUNNKSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@]1(C)CC=CCC1 ZINC001295469121 915824234 /nfs/dbraw/zinc/82/42/34/915824234.db2.gz HUVKMSSHLXOICE-YVEFUNNKSA-N -1 1 317.389 1.768 20 0 DDADMM CC[C@H](F)CN(C)C[C@H]1CCCN1C(=O)c1n[nH]c(C)c1[O-] ZINC001377637721 922537183 /nfs/dbraw/zinc/53/71/83/922537183.db2.gz WNMNXFSHUQBDCH-NWDGAFQWSA-N -1 1 312.389 1.708 20 0 DDADMM CCc1nnc(CN[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001377780635 923012700 /nfs/dbraw/zinc/01/27/00/923012700.db2.gz IWUZMTLUOPYIHR-ZJUUUORDSA-N -1 1 319.365 1.029 20 0 DDADMM NC(=O)c1[nH]nnc1NC(=O)[C@@H]1CCC[C@@H]1Cc1ccccc1 ZINC000622868398 365549357 /nfs/dbraw/zinc/54/93/57/365549357.db2.gz LFDQQWUWAMHPEH-VXGBXAGGSA-N -1 1 313.361 1.501 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)COC3CCC(C)CC3)nc2n1 ZINC000622870289 365550277 /nfs/dbraw/zinc/55/02/77/365550277.db2.gz WTEVNDRDJTUHAD-UHFFFAOYSA-N -1 1 319.365 1.260 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)Cc3ccco3)nc2n1 ZINC000622992802 365585996 /nfs/dbraw/zinc/58/59/96/365585996.db2.gz ZSBIUTRIHUQZQS-VIFPVBQESA-N -1 1 315.333 1.390 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC34CCCC4)nc2n1 ZINC000622994011 365586825 /nfs/dbraw/zinc/58/68/25/365586825.db2.gz BBPSKSFJYSPCOO-JTQLQIEISA-N -1 1 301.350 1.499 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@]3(C)C(C)C)nc2n1 ZINC000622997088 365589401 /nfs/dbraw/zinc/58/94/01/365589401.db2.gz LCGBERNZXQLWJY-BDJLRTHQSA-N -1 1 317.393 1.991 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](OC)[C@H](C)CC)nc2n1 ZINC000622997913 365592079 /nfs/dbraw/zinc/59/20/79/365592079.db2.gz QKTAEVXFGIVAFG-BXKDBHETSA-N -1 1 321.381 1.370 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C(C)C)C2CCC2)o1 ZINC000077123175 185111080 /nfs/dbraw/zinc/11/10/80/185111080.db2.gz ONXROVIYPBWGMW-UHFFFAOYSA-N -1 1 300.380 1.591 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@H]1CCO[C@@H](C(C)C)C1 ZINC000451812104 231201360 /nfs/dbraw/zinc/20/13/60/231201360.db2.gz BTYVSHZTXVSROL-GHMZBOCLSA-N -1 1 317.411 1.125 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCO[C@@H](C(C)C)C1 ZINC000451812104 231201364 /nfs/dbraw/zinc/20/13/64/231201364.db2.gz BTYVSHZTXVSROL-GHMZBOCLSA-N -1 1 317.411 1.125 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451973493 231254597 /nfs/dbraw/zinc/25/45/97/231254597.db2.gz HGPMDAPFPOPHCX-LBPRGKRZSA-N -1 1 323.374 1.904 20 0 DDADMM CC[C@@H](CO)N(C)C(=O)c1c[n-]c2cc(OC)ccc2c1=O ZINC000298315511 529502596 /nfs/dbraw/zinc/50/25/96/529502596.db2.gz JOBCFZVLLMHAHI-JTQLQIEISA-N -1 1 304.346 1.792 20 0 DDADMM O=C(C(=O)N1NC(=O)CC12CCCCC2)c1ccc([O-])cc1 ZINC000614810400 362034498 /nfs/dbraw/zinc/03/44/98/362034498.db2.gz MAOYTGYATZEWJM-UHFFFAOYSA-N -1 1 302.330 1.541 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(Br)cc1[O-])C(=O)OC ZINC000092426469 185317653 /nfs/dbraw/zinc/31/76/53/185317653.db2.gz MNTIOEBONFQSTR-SECBINFHSA-N -1 1 316.151 1.836 20 0 DDADMM COCCOc1ccc(CC(=O)Nc2c(C)[n-][nH]c2=O)cc1 ZINC000615221570 362200959 /nfs/dbraw/zinc/20/09/59/362200959.db2.gz MIYBMQXOWQAMCB-UHFFFAOYSA-N -1 1 305.334 1.630 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cnc(C2CCCC2)nc1N ZINC000615222114 362202193 /nfs/dbraw/zinc/20/21/93/362202193.db2.gz POKBNWIUSQEATF-UHFFFAOYSA-N -1 1 302.338 1.536 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(C)ccc2C)n[n-]1 ZINC000615919042 362486037 /nfs/dbraw/zinc/48/60/37/362486037.db2.gz PGZOLOMZXVLQSX-UHFFFAOYSA-N -1 1 302.334 1.528 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(C)ccc2C)n1 ZINC000615919042 362486043 /nfs/dbraw/zinc/48/60/43/362486043.db2.gz PGZOLOMZXVLQSX-UHFFFAOYSA-N -1 1 302.334 1.528 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C(C)=O)cc2)n[n-]1 ZINC000615919300 362486873 /nfs/dbraw/zinc/48/68/73/362486873.db2.gz CYLQRMLFOZEIDI-UHFFFAOYSA-N -1 1 316.317 1.114 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C(C)=O)cc2)n1 ZINC000615919300 362486878 /nfs/dbraw/zinc/48/68/78/362486878.db2.gz CYLQRMLFOZEIDI-UHFFFAOYSA-N -1 1 316.317 1.114 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C2CC2)C2CCC2)o1 ZINC000457225547 530028748 /nfs/dbraw/zinc/02/87/48/530028748.db2.gz SIKAGAOKVUMSDL-ZDUSSCGKSA-N -1 1 312.391 1.496 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)N=c2[nH][n-]c(C)c2Br)O1 ZINC000616007529 362517696 /nfs/dbraw/zinc/51/76/96/362517696.db2.gz SFKYOACHLRFOEN-JGVFFNPUSA-N -1 1 302.172 1.799 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cnc(C)nc2C)c1Br ZINC000616009621 362518927 /nfs/dbraw/zinc/51/89/27/362518927.db2.gz XOHYYSDEKVDALF-UHFFFAOYSA-N -1 1 310.155 1.562 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc(O)c2ccccc2c1 ZINC000356379342 539466868 /nfs/dbraw/zinc/46/68/68/539466868.db2.gz TWFAKASQURTKLL-UHFFFAOYSA-N -1 1 324.340 1.611 20 0 DDADMM Cc1nc([C@@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)cs1 ZINC000358335286 299124756 /nfs/dbraw/zinc/12/47/56/299124756.db2.gz SQZOYWLNFRGNCI-SSDOTTSWSA-N -1 1 317.374 1.930 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CC[C@@]2(CNC(=O)C2)C1 ZINC000279607748 215001492 /nfs/dbraw/zinc/00/14/92/215001492.db2.gz WMSNSPZYFVSRIE-GOSISDBHSA-N -1 1 310.353 1.898 20 0 DDADMM CCN1C[C@@H](CNC(=O)c2ncc3ccccc3c2[O-])CC1=O ZINC000616541196 362744308 /nfs/dbraw/zinc/74/43/08/362744308.db2.gz HXMHAFWIVDTNOA-LLVKDONJSA-N -1 1 313.357 1.539 20 0 DDADMM CC(=O)c1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)ccc1C ZINC000279641659 215024896 /nfs/dbraw/zinc/02/48/96/215024896.db2.gz COPRZCPVFVBREG-JTQLQIEISA-N -1 1 301.350 1.586 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)CCc3cn(C)nn3)[nH][n-]2)s1 ZINC000616811090 362829546 /nfs/dbraw/zinc/82/95/46/362829546.db2.gz IUZCNFXXAUQINK-UHFFFAOYSA-N -1 1 316.390 1.568 20 0 DDADMM CCCC[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CCC1 ZINC000353852014 290857970 /nfs/dbraw/zinc/85/79/70/290857970.db2.gz RWDBEULSKPOOJK-LLVKDONJSA-N -1 1 303.366 1.506 20 0 DDADMM CCOC(=O)Cn1cnc(-c2nc3sc(C)cc3c(=O)[n-]2)n1 ZINC000133674508 186005976 /nfs/dbraw/zinc/00/59/76/186005976.db2.gz OOGORYLASRBRJL-UHFFFAOYSA-N -1 1 319.346 1.115 20 0 DDADMM CCO[C@@H]1C[C@@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000189980461 186258511 /nfs/dbraw/zinc/25/85/11/186258511.db2.gz FYVBHOMXOOAMLC-POYBYMJQSA-N -1 1 301.140 1.913 20 0 DDADMM C[C@@H](NC(=O)c1ccc2ccccc2c1[O-])C(=O)NCCF ZINC000282094331 186529948 /nfs/dbraw/zinc/52/99/48/186529948.db2.gz SCCLGGQHKIRZBY-SNVBAGLBSA-N -1 1 304.321 1.749 20 0 DDADMM Cc1ncc(S(=O)(=O)N=c2cc(OC(F)F)n(C)[n-]2)s1 ZINC000488318390 233867634 /nfs/dbraw/zinc/86/76/34/233867634.db2.gz KWUXIBTYXFKTHQ-UHFFFAOYSA-N -1 1 324.334 1.009 20 0 DDADMM NC(=O)c1ccc(S(=O)(=O)[N-]c2ccc3c(c2)OCO3)cc1 ZINC000016725693 352162075 /nfs/dbraw/zinc/16/20/75/352162075.db2.gz OKBFFBYPZHATBN-UHFFFAOYSA-N -1 1 320.326 1.315 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@H](CO)NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000617536607 363163836 /nfs/dbraw/zinc/16/38/36/363163836.db2.gz GANLXUIFTMRREV-YUELXQCFSA-N -1 1 321.373 1.257 20 0 DDADMM Cn1c(CNC(=O)COc2cccc(Cl)c2)n[n-]c1=S ZINC000066626146 353008485 /nfs/dbraw/zinc/00/84/85/353008485.db2.gz CVNIGDOJJMAUFF-UHFFFAOYSA-N -1 1 312.782 1.826 20 0 DDADMM Cc1cnc(C(=O)Nc2c[nH]cc(Br)c2=O)c([O-])c1 ZINC000358553059 299195854 /nfs/dbraw/zinc/19/58/54/299195854.db2.gz BMCGVXHLOZJKEV-UHFFFAOYSA-N -1 1 324.134 1.799 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCCO[C@@H]2c2nccn2C)c([O-])c1 ZINC000332013422 234386000 /nfs/dbraw/zinc/38/60/00/234386000.db2.gz DSCHBFFGOLIXFK-FZMZJTMJSA-N -1 1 316.361 1.479 20 0 DDADMM O=S(=O)(CCc1ncc(-c2ccccc2)o1)c1nc[n-]n1 ZINC000086169659 353738561 /nfs/dbraw/zinc/73/85/61/353738561.db2.gz RSGYXAJRPSXLMJ-UHFFFAOYSA-N -1 1 304.331 1.476 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC ZINC000091052653 353807573 /nfs/dbraw/zinc/80/75/73/353807573.db2.gz MTPRJVGJIVHRMR-SECBINFHSA-N -1 1 307.318 1.585 20 0 DDADMM O=S(=O)([N-]CCOC[C@H]1CCCO1)c1cc(F)ccc1F ZINC000115584822 353986873 /nfs/dbraw/zinc/98/68/73/353986873.db2.gz UPBFHMBYLOLEDV-LLVKDONJSA-N -1 1 321.345 1.439 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2scnc2CC)s1 ZINC000124429856 354039624 /nfs/dbraw/zinc/03/96/24/354039624.db2.gz XWXYUTNLROMVLU-UHFFFAOYSA-N -1 1 312.376 1.986 20 0 DDADMM CCOC(=O)[C@@H](C)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000124860572 354042251 /nfs/dbraw/zinc/04/22/51/354042251.db2.gz UDMLXJGEHUGXID-JTQLQIEISA-N -1 1 311.359 1.910 20 0 DDADMM CCOC1(C)CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000358647043 299213202 /nfs/dbraw/zinc/21/32/02/299213202.db2.gz AZEZBIOBUNJZQC-UHFFFAOYSA-N -1 1 318.377 1.705 20 0 DDADMM CC(=O)NCCN(C)C(=O)c1ccc(Br)c([O-])c1 ZINC000308301573 354473873 /nfs/dbraw/zinc/47/38/73/354473873.db2.gz MGRQFWSRTKBNIJ-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2cnn(C)c2)c(F)c1 ZINC000588678842 354927143 /nfs/dbraw/zinc/92/71/43/354927143.db2.gz BMZPNJCYOOINNV-UHFFFAOYSA-N -1 1 317.317 1.185 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc([O-])c(F)c1)C(=O)N(C)C ZINC000588801156 354937302 /nfs/dbraw/zinc/93/73/02/354937302.db2.gz ZPZYYMFKMWPCLW-NSHDSACASA-N -1 1 314.382 1.471 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2cc(C(N)=O)ccc2C)c1 ZINC000589434713 354989755 /nfs/dbraw/zinc/98/97/55/354989755.db2.gz PMPOMFDTNFDPOL-UHFFFAOYSA-N -1 1 320.370 1.903 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCCCC(=O)OC(C)(C)C)[n-]n1 ZINC000591153134 355255741 /nfs/dbraw/zinc/25/57/41/355255741.db2.gz GUOZGSHELJKZAE-UHFFFAOYSA-N -1 1 322.409 1.524 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@@H]2CCCN(C)C2)c1 ZINC000591761410 355379313 /nfs/dbraw/zinc/37/93/13/355379313.db2.gz IZAMXUJGDFYOBR-GTJPDFRWSA-N -1 1 310.419 1.013 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)C[C@@H](OC)C(C)C ZINC000592011885 355463454 /nfs/dbraw/zinc/46/34/54/355463454.db2.gz GNTIMPKSDWXJMI-NWDGAFQWSA-N -1 1 309.428 1.165 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1cc(Cl)ccc1F)C(=O)OC ZINC000592077801 355487012 /nfs/dbraw/zinc/48/70/12/355487012.db2.gz LMYVMLCBUVQAEF-MRVPVSSYSA-N -1 1 323.773 1.957 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCCOC2)c(F)c1 ZINC000592287551 355553167 /nfs/dbraw/zinc/55/31/67/355553167.db2.gz QZVLUKRSUGWUAG-MRVPVSSYSA-N -1 1 307.318 1.431 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C[C@@H](OC)C(C)C)cn1 ZINC000592353671 355565337 /nfs/dbraw/zinc/56/53/37/355565337.db2.gz FDVYGBSZCKYWNV-GFCCVEGCSA-N -1 1 316.379 1.281 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1C[C@@H]2C[C@H]1C[S@]2=O ZINC000593875643 356036018 /nfs/dbraw/zinc/03/60/18/356036018.db2.gz XJOXJHCSHCTCKF-QJHLZDNFSA-N -1 1 319.304 1.756 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](C)[C@@H](C)OC)cc1C ZINC000595324395 356449879 /nfs/dbraw/zinc/44/98/79/356449879.db2.gz FBOHESDWIQUSNN-DTWKUNHWSA-N -1 1 305.352 1.076 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CS[C@@H](C)C2)cc1C ZINC000595338008 356454062 /nfs/dbraw/zinc/45/40/62/356454062.db2.gz OFROOLADFPXFHX-IUCAKERBSA-N -1 1 319.404 1.547 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H](C)C[C@H](C)O)cc1C ZINC000595313671 356445239 /nfs/dbraw/zinc/44/52/39/356445239.db2.gz BIFRGYFBLXAFOQ-WPRPVWTQSA-N -1 1 319.379 1.060 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCO[C@@H](C)C2)cc1C ZINC000595320901 356448200 /nfs/dbraw/zinc/44/82/00/356448200.db2.gz JMMQWWAFLBBHDV-VHSXEESVSA-N -1 1 317.363 1.220 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H]3CCC[C@H](O)C3)cnc2n1 ZINC000081716218 192317066 /nfs/dbraw/zinc/31/70/66/192317066.db2.gz DUUDCCGLAOAOJS-RYUDHWBXSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@H]3CCC[C@H](O)C3)c[n-]c2n1 ZINC000081716218 192317067 /nfs/dbraw/zinc/31/70/67/192317067.db2.gz DUUDCCGLAOAOJS-RYUDHWBXSA-N -1 1 315.373 1.925 20 0 DDADMM CC(C)OC(=O)[C@@H](C)CN=c1nc(C2CCOCC2)[n-]s1 ZINC000596139612 356787974 /nfs/dbraw/zinc/78/79/74/356787974.db2.gz MQXBILCMIQWUCV-JTQLQIEISA-N -1 1 313.423 1.854 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H]2CCCC[C@H]2C)co1 ZINC000179269605 199007917 /nfs/dbraw/zinc/00/79/17/199007917.db2.gz ADSULIZHKKZGIW-PWSUYJOCSA-N -1 1 314.407 1.838 20 0 DDADMM COc1ccccc1-c1nc(C(=O)NCc2nn[n-]n2)cs1 ZINC000599333956 357898024 /nfs/dbraw/zinc/89/80/24/357898024.db2.gz AWGIDOQFMAQDHT-UHFFFAOYSA-N -1 1 316.346 1.262 20 0 DDADMM CC(C)[C@@H](C)CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000179539030 199052147 /nfs/dbraw/zinc/05/21/47/199052147.db2.gz UMWSVESLOLZRTF-VIFPVBQESA-N -1 1 300.380 1.660 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1CCC2(CCCCC2)CC1 ZINC000313028290 304071386 /nfs/dbraw/zinc/07/13/86/304071386.db2.gz YMOIQZRNVVKOPL-UHFFFAOYSA-N -1 1 303.424 1.532 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(OCc2ccccc2)nc1 ZINC000600498085 358242103 /nfs/dbraw/zinc/24/21/03/358242103.db2.gz UDSMWFLKSJFBSZ-UHFFFAOYSA-N -1 1 310.317 1.104 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2ccccc2OC)[n-]n1 ZINC000336862758 535413279 /nfs/dbraw/zinc/41/32/79/535413279.db2.gz GXWQFLXVDCUOJK-UHFFFAOYSA-N -1 1 300.362 1.311 20 0 DDADMM Cc1nc(-c2ccc(N[C@H]3C[C@H](C(N)=O)C3)nc2)[n-]c(=O)c1C ZINC000624541294 366436269 /nfs/dbraw/zinc/43/62/69/366436269.db2.gz ZIVDXDMAEFTZJS-HAQNSBGRSA-N -1 1 313.361 1.537 20 0 DDADMM CCOC(=O)c1[nH]c(C)c(CN=c2[n-]nc(C3CC3)n2C)c1C ZINC000601063765 358394111 /nfs/dbraw/zinc/39/41/11/358394111.db2.gz JCOUUAGUQOGIHK-UHFFFAOYSA-N -1 1 317.393 1.848 20 0 DDADMM C[C@H](CC[N-]S(=O)(=O)c1ccc(C(F)F)o1)[S@](C)=O ZINC000601373704 358521743 /nfs/dbraw/zinc/52/17/43/358521743.db2.gz YBDTVGURABASGA-MDTSDYNXSA-N -1 1 315.363 1.653 20 0 DDADMM CS[C@H](C)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000601375442 358522592 /nfs/dbraw/zinc/52/25/92/358522592.db2.gz LBZHUWRQORTHMB-RXMQYKEDSA-N -1 1 303.331 1.458 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@H]2C2CCC2)o1 ZINC000601450539 358559301 /nfs/dbraw/zinc/55/93/01/358559301.db2.gz BBWGOABDOFKJME-WDEREUQCSA-N -1 1 313.375 1.923 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1cnns1 ZINC000358921541 299282015 /nfs/dbraw/zinc/28/20/15/299282015.db2.gz QVXYWRIJEOBCKT-UHFFFAOYSA-N -1 1 316.339 1.301 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccc(F)c(C(=O)OC)c2)c1=O ZINC000601951667 358751127 /nfs/dbraw/zinc/75/11/27/358751127.db2.gz WZDQYXCCRJOWKT-QMMMGPOBSA-N -1 1 308.265 1.124 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1coc(C(F)F)c1 ZINC000602302092 358920676 /nfs/dbraw/zinc/92/06/76/358920676.db2.gz YFMAUSUPYUKVLT-UHFFFAOYSA-N -1 1 313.260 1.802 20 0 DDADMM CS[C@@H](CO)[C@@H](C)NC(=O)N=c1[n-]sc2ccccc21 ZINC000603051908 359378192 /nfs/dbraw/zinc/37/81/92/359378192.db2.gz MWNAQDQTKYAGBQ-KCJUWKMLSA-N -1 1 311.432 1.952 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCC[C@H](C)C2)n[n-]1 ZINC000603152688 359439432 /nfs/dbraw/zinc/43/94/32/359439432.db2.gz DMGLWGMAFFYJEH-GARJFASQSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCC[C@H](C)C2)[n-]1 ZINC000603152688 359439435 /nfs/dbraw/zinc/43/94/35/359439435.db2.gz DMGLWGMAFFYJEH-GARJFASQSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCC[C@H](C)C2)n1 ZINC000603152688 359439438 /nfs/dbraw/zinc/43/94/38/359439438.db2.gz DMGLWGMAFFYJEH-GARJFASQSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2C[C@H]2C2CCCC2)n[n-]1 ZINC000603154315 359440913 /nfs/dbraw/zinc/44/09/13/359440913.db2.gz LBQOVVZBYPKZAK-DLOVCJGASA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2C[C@H]2C2CCCC2)[n-]1 ZINC000603154315 359440919 /nfs/dbraw/zinc/44/09/19/359440919.db2.gz LBQOVVZBYPKZAK-DLOVCJGASA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2C[C@H]2C2CCCC2)n1 ZINC000603154315 359440922 /nfs/dbraw/zinc/44/09/22/359440922.db2.gz LBQOVVZBYPKZAK-DLOVCJGASA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCCCS2)n[n-]1 ZINC000603156147 359442763 /nfs/dbraw/zinc/44/27/63/359442763.db2.gz QMNCSVZAHFXWIO-DTWKUNHWSA-N -1 1 312.395 1.444 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCCCS2)[n-]1 ZINC000603156147 359442767 /nfs/dbraw/zinc/44/27/67/359442767.db2.gz QMNCSVZAHFXWIO-DTWKUNHWSA-N -1 1 312.395 1.444 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCCCS2)n1 ZINC000603156147 359442771 /nfs/dbraw/zinc/44/27/71/359442771.db2.gz QMNCSVZAHFXWIO-DTWKUNHWSA-N -1 1 312.395 1.444 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)N=c1cc[nH][nH]1 ZINC000604320380 359736104 /nfs/dbraw/zinc/73/61/04/359736104.db2.gz JVJCYROOMUECBR-UHFFFAOYSA-N -1 1 308.363 1.236 20 0 DDADMM CCOC[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C(C)C ZINC000188391266 200239012 /nfs/dbraw/zinc/23/90/12/200239012.db2.gz SYGSSPHHGLCNBZ-VIFPVBQESA-N -1 1 309.819 1.413 20 0 DDADMM O=C(NC[C@H](O)[C@@H]1CCOC1)c1cc2ccccc2cc1[O-] ZINC000605891479 359890318 /nfs/dbraw/zinc/89/03/18/359890318.db2.gz JGDXHYSWATXRJV-CJNGLKHVSA-N -1 1 301.342 1.673 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2COC[C@@]2(C)C1 ZINC000618710544 363729659 /nfs/dbraw/zinc/72/96/59/363729659.db2.gz KQFANITYQOUDRZ-PIGZYNQJSA-N -1 1 319.405 1.897 20 0 DDADMM CCC[C@H](NC(=O)c1cc(SC)ccc1O)c1nn[n-]n1 ZINC000612061967 360906754 /nfs/dbraw/zinc/90/67/54/360906754.db2.gz RNKYBTPASIJION-JTQLQIEISA-N -1 1 307.379 1.898 20 0 DDADMM O=C(NCCCO[C@@H]1CCOC1)c1ncc2ccccc2c1[O-] ZINC000612257179 360951785 /nfs/dbraw/zinc/95/17/85/360951785.db2.gz YICQBYGKLJJVJK-CYBMUJFWSA-N -1 1 316.357 1.866 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncc(C)cc1[O-])c1ccccc1F ZINC000613083494 361261730 /nfs/dbraw/zinc/26/17/30/361261730.db2.gz VENHBGSBCSLTNX-CYBMUJFWSA-N -1 1 318.304 1.879 20 0 DDADMM O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccc1[O-])C(F)F ZINC000194809676 201292386 /nfs/dbraw/zinc/29/23/86/201292386.db2.gz XIBYKBIDGLSRIV-UHFFFAOYSA-N -1 1 320.317 1.380 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)c1nnc(-c2ccccc2F)[n-]1 ZINC000195128655 201343118 /nfs/dbraw/zinc/34/31/18/201343118.db2.gz AMMWWLHJWURFGF-VIFPVBQESA-N -1 1 311.338 1.564 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)c1n[n-]c(-c2ccccc2F)n1 ZINC000195128655 201343121 /nfs/dbraw/zinc/34/31/21/201343121.db2.gz AMMWWLHJWURFGF-VIFPVBQESA-N -1 1 311.338 1.564 20 0 DDADMM O=C(NCCN1C[C@H]2CCCCN2C1=O)c1c([O-])cccc1F ZINC000620104014 364285588 /nfs/dbraw/zinc/28/55/88/364285588.db2.gz WBWDGBZSALWSAE-LLVKDONJSA-N -1 1 321.352 1.551 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC2(COC2)C1 ZINC000620292019 364364720 /nfs/dbraw/zinc/36/47/20/364364720.db2.gz AYSCOJXNWLCWMQ-UHFFFAOYSA-N -1 1 305.378 1.651 20 0 DDADMM CN(CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccccc1 ZINC000622609575 365450295 /nfs/dbraw/zinc/45/02/95/365450295.db2.gz CCRBULPPIAAOHA-MRXNPFEDSA-N -1 1 322.372 1.542 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCCC(=O)N2)c1 ZINC000281947857 216651385 /nfs/dbraw/zinc/65/13/85/216651385.db2.gz AHJHAUCFYDZRLV-SNVBAGLBSA-N -1 1 306.318 1.176 20 0 DDADMM CCO[C@@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C(C)C ZINC000626338616 367490073 /nfs/dbraw/zinc/49/00/73/367490073.db2.gz FZFMAHNDYFCNLN-GFCCVEGCSA-N -1 1 316.379 1.039 20 0 DDADMM Cc1cccc(O)c1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000626847371 367798298 /nfs/dbraw/zinc/79/82/98/367798298.db2.gz TXGQEPYGSLLKPF-JTQLQIEISA-N -1 1 303.318 1.809 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(OC)c(OC)c2)cn1 ZINC000349947914 284115599 /nfs/dbraw/zinc/11/55/99/284115599.db2.gz OAVQFRZKWUXBKX-UHFFFAOYSA-N -1 1 324.358 1.908 20 0 DDADMM O=C([O-])C1(NC(=O)c2n[nH]c3ccccc32)CCSCC1 ZINC000262235088 203237021 /nfs/dbraw/zinc/23/70/21/203237021.db2.gz VSZMISGFUBRHOI-UHFFFAOYSA-N -1 1 305.359 1.643 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)Nc1ccc(OC)c(OC)c1)C(=O)[O-] ZINC000262251722 203241683 /nfs/dbraw/zinc/24/16/83/203241683.db2.gz DKRUVQMLECHJCW-CMPLNLGQSA-N -1 1 324.377 1.874 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCN(CC(F)(F)F)C1)c1nn[n-]n1 ZINC000350182284 284165755 /nfs/dbraw/zinc/16/57/55/284165755.db2.gz XTAPJHZBRJROIO-BDAKNGLRSA-N -1 1 320.319 1.041 20 0 DDADMM COC(=O)[C@H](C)CNC(=O)c1ccc(Br)cc1[O-] ZINC000094090304 193344693 /nfs/dbraw/zinc/34/46/93/193344693.db2.gz GDCUHFCTNPEFQY-SSDOTTSWSA-N -1 1 316.151 1.694 20 0 DDADMM C[C@H]1CCN(CC(=O)Nc2cccc(-c3n[nH]c(=O)[n-]3)c2)C1 ZINC000566459217 304160731 /nfs/dbraw/zinc/16/07/31/304160731.db2.gz AGIKVGSQHVMNHE-JTQLQIEISA-N -1 1 301.350 1.458 20 0 DDADMM CCCN(C(=O)CCc1nn[n-]n1)[C@@H]1C[C@@H](OCC)C1(C)C ZINC000631452717 422773562 /nfs/dbraw/zinc/77/35/62/422773562.db2.gz YSVVVLHZMQBTDP-VXGBXAGGSA-N -1 1 309.414 1.575 20 0 DDADMM COC[C@H](O)CNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000282696973 217184017 /nfs/dbraw/zinc/18/40/17/217184017.db2.gz SIYVUHCLXRCNCV-SECBINFHSA-N -1 1 310.737 1.331 20 0 DDADMM O=C(Nc1cc([C@H]2CCCOC2)[nH]n1)C(=O)c1ccc([O-])cc1 ZINC000288286293 220064183 /nfs/dbraw/zinc/06/41/83/220064183.db2.gz QRTFFLPRXZSLAQ-NSHDSACASA-N -1 1 315.329 1.831 20 0 DDADMM CCc1ccccc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282999385 217378202 /nfs/dbraw/zinc/37/82/02/217378202.db2.gz VMDBLBMNJWLATH-GFCCVEGCSA-N -1 1 300.362 1.680 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N2C[C@H](C)C[C@@H](C)C2)n1 ZINC000019974361 182172341 /nfs/dbraw/zinc/17/23/41/182172341.db2.gz JGWFRBDJXDUYLK-GHMZBOCLSA-N -1 1 309.435 1.929 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(NC(=O)OC)c1)c1nn[n-]n1 ZINC000267549155 206139248 /nfs/dbraw/zinc/13/92/48/206139248.db2.gz CGPSXWUFYNUPNH-LLVKDONJSA-N -1 1 318.337 1.649 20 0 DDADMM O=C(N=c1nc(C2CCOCC2)[n-]s1)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000636288497 422783133 /nfs/dbraw/zinc/78/31/33/422783133.db2.gz VQHJUAACMIVBQU-NXEZZACHSA-N -1 1 319.390 1.319 20 0 DDADMM CCC[C@H](NC(=O)C[C@H](C)c1c(C)n[nH]c1C)c1nn[n-]n1 ZINC000579557827 422786912 /nfs/dbraw/zinc/78/69/12/422786912.db2.gz YSTJITQFRPVNHP-KWQFWETISA-N -1 1 305.386 1.691 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2cc(F)ccc2F)CN1C1CC1 ZINC000175293209 248398037 /nfs/dbraw/zinc/39/80/37/248398037.db2.gz GQPUIWDBAQZXLP-SECBINFHSA-N -1 1 316.329 1.006 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H](C)c1c(C)n[nH]c1C)c1nn[n-]n1 ZINC000579557825 422787486 /nfs/dbraw/zinc/78/74/86/422787486.db2.gz YSTJITQFRPVNHP-GZMMTYOYSA-N -1 1 305.386 1.691 20 0 DDADMM O=C(c1ccc(O)c(Cl)c1)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000334024791 249183227 /nfs/dbraw/zinc/18/32/27/249183227.db2.gz GJWGCKBFFBYBQY-VIFPVBQESA-N -1 1 322.752 1.889 20 0 DDADMM CN(CCN(C)C(=O)c1nc2ccccc2c(=O)[n-]1)CC(F)F ZINC000337025232 249318883 /nfs/dbraw/zinc/31/88/83/249318883.db2.gz CDMXCHUVDILFGK-UHFFFAOYSA-N -1 1 324.331 1.192 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1noc2c1CCCCC2 ZINC000337155526 249368124 /nfs/dbraw/zinc/36/81/24/249368124.db2.gz OYRIPEROTZIABE-UHFFFAOYSA-N -1 1 317.349 1.009 20 0 DDADMM CCC[C@@](C)([N-]S(=O)(=O)c1sccc1F)C(=O)OC ZINC000338858391 250166149 /nfs/dbraw/zinc/16/61/49/250166149.db2.gz QUOOZUSARIFYRZ-LLVKDONJSA-N -1 1 309.384 1.897 20 0 DDADMM CN1CCN(c2ccc([N-]S(=O)(=O)c3ccoc3)nc2)CC1 ZINC000285002615 218252307 /nfs/dbraw/zinc/25/23/07/218252307.db2.gz GJGFZDJTKQLNGV-UHFFFAOYSA-N -1 1 322.390 1.227 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@H]2CCC[C@@H]2F)oc1C ZINC000339119532 250303679 /nfs/dbraw/zinc/30/36/79/250303679.db2.gz LIIIGNJJNQDOCO-UWVGGRQHSA-N -1 1 305.327 1.544 20 0 DDADMM COCCS(=O)(=O)[N-]c1c(C)cc(C(=O)OC)cc1Cl ZINC000285024376 218260561 /nfs/dbraw/zinc/26/05/61/218260561.db2.gz HVEXKHLVTCKDOL-UHFFFAOYSA-N -1 1 321.782 1.823 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1cccc(C)c1 ZINC000352169363 284837403 /nfs/dbraw/zinc/83/74/03/284837403.db2.gz GTKJCRDJNFGUKS-UHFFFAOYSA-N -1 1 303.318 1.177 20 0 DDADMM CNS(=O)(=O)c1cccc(C(=O)Nc2ccc(F)cc2[O-])c1 ZINC000340327829 251009799 /nfs/dbraw/zinc/00/97/99/251009799.db2.gz MZVIGLAKSHZVTR-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM O=S(=O)([N-]CCC1(O)CCC1)c1ccc(Br)o1 ZINC000341110686 251386318 /nfs/dbraw/zinc/38/63/18/251386318.db2.gz CAQBGCTWBPHKQF-UHFFFAOYSA-N -1 1 324.196 1.626 20 0 DDADMM O=C(NCc1ccc2c(c1)OCCCO2)c1ncccc1[O-] ZINC000067180176 184453361 /nfs/dbraw/zinc/45/33/61/184453361.db2.gz LVRBVEGANKKJNI-UHFFFAOYSA-N -1 1 300.314 1.879 20 0 DDADMM CCn1[nH]c(C)nc1=NC(=O)c1cnc2c(C)cccc2c1[O-] ZINC000412861798 224094220 /nfs/dbraw/zinc/09/42/20/224094220.db2.gz PRFIFMZASZMMLV-UHFFFAOYSA-N -1 1 311.345 1.431 20 0 DDADMM CNC(=O)C(C)(C)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000119889552 195055921 /nfs/dbraw/zinc/05/59/21/195055921.db2.gz XYYLCFFXWZKWOF-UHFFFAOYSA-N -1 1 324.324 1.154 20 0 DDADMM CS[C@@H](CO)[C@@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000119973971 195073301 /nfs/dbraw/zinc/07/33/01/195073301.db2.gz PFPUVUYEQKYMGG-APPZFPTMSA-N -1 1 311.375 1.356 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)Cc2cccc(C)c2)co1 ZINC000270019743 208074725 /nfs/dbraw/zinc/07/47/25/208074725.db2.gz IOYUGEVTLGLMDX-UHFFFAOYSA-N -1 1 322.386 1.768 20 0 DDADMM CCc1noc(CC)c1CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000352473274 285076206 /nfs/dbraw/zinc/07/62/06/285076206.db2.gz RQWIVOLFRWXLLE-SECBINFHSA-N -1 1 306.370 1.117 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCSCC(C)C)c1 ZINC000270206834 208273545 /nfs/dbraw/zinc/27/35/45/208273545.db2.gz KMBIXDQZTFMBLV-UHFFFAOYSA-N -1 1 321.420 1.734 20 0 DDADMM CC(C)CSCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000270241174 208306009 /nfs/dbraw/zinc/30/60/09/208306009.db2.gz QDMYQZKKSUGADI-UHFFFAOYSA-N -1 1 311.860 1.741 20 0 DDADMM CCC[C@]1(CO)CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000288662884 220330644 /nfs/dbraw/zinc/33/06/44/220330644.db2.gz APAYLWRFUCZKOR-UEIJICEPSA-N -1 1 317.393 1.358 20 0 DDADMM Cc1cccc(O)c1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000352647346 285196234 /nfs/dbraw/zinc/19/62/34/285196234.db2.gz XJRCPRHSGODZSL-UHFFFAOYSA-N -1 1 301.302 1.170 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)NOCCC(F)(F)F)c1 ZINC000155066509 197018658 /nfs/dbraw/zinc/01/86/58/197018658.db2.gz QNRQBTCSYYRKHN-UHFFFAOYSA-N -1 1 306.240 1.964 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc2c(n1)CCCC2 ZINC000289944899 221333282 /nfs/dbraw/zinc/33/32/82/221333282.db2.gz SEMLCDNFCZTBFM-UHFFFAOYSA-N -1 1 322.390 1.318 20 0 DDADMM CCN(CCS(C)(=O)=O)C(=O)c1c(F)ccc([O-])c1F ZINC000289956142 221340854 /nfs/dbraw/zinc/34/08/54/221340854.db2.gz YDMOJTRQBMVZAY-UHFFFAOYSA-N -1 1 307.318 1.177 20 0 DDADMM C[C@@H]1CCCC[C@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000424759881 533585821 /nfs/dbraw/zinc/58/58/21/533585821.db2.gz HUEYDLGPQDKVMU-MWLCHTKSSA-N -1 1 312.391 1.804 20 0 DDADMM COC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC000416614884 533539006 /nfs/dbraw/zinc/53/90/06/533539006.db2.gz IRUJOMFKXBGMAT-OTSSQURYSA-N -1 1 305.302 1.195 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCOC[C@H]1CC(F)F ZINC000353330549 285666401 /nfs/dbraw/zinc/66/64/01/285666401.db2.gz LQDXRAUGOBPDFY-SECBINFHSA-N -1 1 323.299 1.419 20 0 DDADMM CCc1cc(=O)[n-]c(SCC2CCS(=O)(=O)CC2)n1 ZINC000568831947 304314056 /nfs/dbraw/zinc/31/40/56/304314056.db2.gz SRGCAQWDNVGKJJ-UHFFFAOYSA-N -1 1 302.421 1.662 20 0 DDADMM CC(C)(CNC(=O)c1nc2ccccc2c(=O)[n-]1)n1ccnc1 ZINC000631537523 422820170 /nfs/dbraw/zinc/82/01/70/422820170.db2.gz VJYYMZQSGPBKLE-UHFFFAOYSA-N -1 1 311.345 1.285 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCCC1 ZINC000353692293 285873448 /nfs/dbraw/zinc/87/34/48/285873448.db2.gz RLWVAXNUSSMPEX-GFCCVEGCSA-N -1 1 317.393 1.896 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC2=CCCOC2)c(F)c1 ZINC000569477978 304356363 /nfs/dbraw/zinc/35/63/63/304356363.db2.gz HUFCKEQDZOMCAD-UHFFFAOYSA-N -1 1 303.330 1.898 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(c2cc(-n3cccn3)nc(N)n2)C1 ZINC000569582416 304366135 /nfs/dbraw/zinc/36/61/35/304366135.db2.gz STCRSJGNMFBAOX-OAHLLOKOSA-N -1 1 316.365 1.012 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H]4CC[C@@H](O)[C@H]4C3)cnc2n1 ZINC000329726578 292305016 /nfs/dbraw/zinc/30/50/16/292305016.db2.gz DWGHKMWUQQQJCW-LEWSCRJBSA-N -1 1 313.357 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@@H]4CC[C@@H](O)[C@H]4C3)c[n-]c2n1 ZINC000329726578 292305017 /nfs/dbraw/zinc/30/50/17/292305017.db2.gz DWGHKMWUQQQJCW-LEWSCRJBSA-N -1 1 313.357 1.487 20 0 DDADMM Cc1csc([C@H](NC(=O)CCc2nn[n-]n2)C(F)(F)F)n1 ZINC000633257294 422829515 /nfs/dbraw/zinc/82/95/15/422829515.db2.gz DWAJPYFQXGOJAA-QMMMGPOBSA-N -1 1 320.300 1.317 20 0 DDADMM CCN1CCOC[C@@H]1C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000361068751 299797972 /nfs/dbraw/zinc/79/79/72/299797972.db2.gz IOVFFDMZHSRTHB-GFCCVEGCSA-N -1 1 320.418 1.528 20 0 DDADMM CCO[C@@H]1C[C@@H]1C(=O)N1CCC(c2n[n-]c(=S)n2C)CC1 ZINC000068427824 406640368 /nfs/dbraw/zinc/64/03/68/406640368.db2.gz KANQTERPOLXNAQ-WDEREUQCSA-N -1 1 310.423 1.609 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@]1(O)CCC[C@H]1C ZINC000294301461 286734754 /nfs/dbraw/zinc/73/47/54/286734754.db2.gz OHWQEPXDIUKHTR-CLAHSXSESA-N -1 1 311.407 1.493 20 0 DDADMM CS(=O)(=O)CCc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000179023153 306690140 /nfs/dbraw/zinc/69/01/40/306690140.db2.gz ZYNMEDSSONUMJM-UHFFFAOYSA-N -1 1 320.370 1.627 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(Cc2cscn2)CC1 ZINC000070006246 406801503 /nfs/dbraw/zinc/80/15/03/406801503.db2.gz GNILRYWQHYEDQE-UHFFFAOYSA-N -1 1 318.402 1.592 20 0 DDADMM CCCC(O)(CCC)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000078847817 407048173 /nfs/dbraw/zinc/04/81/73/407048173.db2.gz RQDCKIHEFYNWOJ-UHFFFAOYSA-N -1 1 317.389 1.984 20 0 DDADMM CC(C)[C@H](NS(=O)(=O)c1c(F)cc(F)cc1F)C(=O)[O-] ZINC000037908944 406995120 /nfs/dbraw/zinc/99/51/20/406995120.db2.gz GNDTVZDFSFEBIV-VIFPVBQESA-N -1 1 311.281 1.491 20 0 DDADMM CCc1nc(S(=O)(=O)CCC(=O)Nc2ccccc2)n[n-]1 ZINC000086170404 407109769 /nfs/dbraw/zinc/10/97/69/407109769.db2.gz OPZBZJUHMONUSE-UHFFFAOYSA-N -1 1 308.363 1.170 20 0 DDADMM O=C(NC[C@H]1CCOC1)c1ccc(Br)cc1[O-] ZINC000049450048 407121283 /nfs/dbraw/zinc/12/12/83/407121283.db2.gz HMUMZIHIPVABIO-MRVPVSSYSA-N -1 1 300.152 1.921 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc3ccccc3c2)n1 ZINC000064348010 407238698 /nfs/dbraw/zinc/23/86/98/407238698.db2.gz WQLQKLGYVVEGEX-UHFFFAOYSA-N -1 1 316.342 1.614 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2ccc3ccccc3c2)[n-]1 ZINC000064348010 407238702 /nfs/dbraw/zinc/23/87/02/407238702.db2.gz WQLQKLGYVVEGEX-UHFFFAOYSA-N -1 1 316.342 1.614 20 0 DDADMM Cc1noc(CCNC(=O)c2cnc3nc(C)ccc3c2[O-])n1 ZINC000101663450 407314165 /nfs/dbraw/zinc/31/41/65/407314165.db2.gz ZNYQFPZHSMBFPR-UHFFFAOYSA-N -1 1 313.317 1.308 20 0 DDADMM Cc1noc(CCNC(=O)c2c[n-]c3nc(C)ccc3c2=O)n1 ZINC000101663450 407314166 /nfs/dbraw/zinc/31/41/66/407314166.db2.gz ZNYQFPZHSMBFPR-UHFFFAOYSA-N -1 1 313.317 1.308 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cnc2c(cnn2Cc2ccccc2)c1 ZINC000102894960 407328737 /nfs/dbraw/zinc/32/87/37/407328737.db2.gz RNNIHXPRVQKBJQ-UHFFFAOYSA-N -1 1 320.316 1.245 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnc2c(cnn2Cc2ccccc2)c1 ZINC000102894960 407328738 /nfs/dbraw/zinc/32/87/38/407328738.db2.gz RNNIHXPRVQKBJQ-UHFFFAOYSA-N -1 1 320.316 1.245 20 0 DDADMM CCC(CC)n1nc(C)cc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000124352148 407355236 /nfs/dbraw/zinc/35/52/36/407355236.db2.gz DZNXMIAJYFUUFQ-SNVBAGLBSA-N -1 1 319.413 1.941 20 0 DDADMM CC[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccc(OC)cc1 ZINC000124697123 407366337 /nfs/dbraw/zinc/36/63/37/407366337.db2.gz TYCLTIFSMBMXAA-FZMZJTMJSA-N -1 1 317.393 1.964 20 0 DDADMM CN1C(=S)N=NC1CCNC(=O)c1ccc(Cl)cc1[O-] ZINC000109065430 407394318 /nfs/dbraw/zinc/39/43/18/407394318.db2.gz IDTANDXPTBGFLR-UHFFFAOYSA-N -1 1 312.782 1.435 20 0 DDADMM CCC[C@@H](C)NC(=O)[C@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000111588755 407411793 /nfs/dbraw/zinc/41/17/93/407411793.db2.gz DOLXRCXECLIUSI-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NC[C@H]1CCN(CC(F)(F)F)C1)c1cncc([O-])c1 ZINC000111776724 407416116 /nfs/dbraw/zinc/41/61/16/407416116.db2.gz REYIZQAJDITQRP-SECBINFHSA-N -1 1 303.284 1.401 20 0 DDADMM O=C(NCC(F)(F)CO)c1ccc(Br)c([O-])c1 ZINC000186102756 407576846 /nfs/dbraw/zinc/57/68/46/407576846.db2.gz KOTUWMLITAJJQF-UHFFFAOYSA-N -1 1 310.094 1.512 20 0 DDADMM CCOc1cc(C(=O)N2CC(C(=O)NC)C2)cc(Cl)c1[O-] ZINC000186122512 407583959 /nfs/dbraw/zinc/58/39/59/407583959.db2.gz IXIYYHLDDZHTFO-UHFFFAOYSA-N -1 1 312.753 1.262 20 0 DDADMM COC(=O)CC[C@H](Cc1ccccc1)NC(=O)c1cnn[nH]1 ZINC000130499432 407697246 /nfs/dbraw/zinc/69/72/46/407697246.db2.gz CEDKCCQDLAPQMH-GFCCVEGCSA-N -1 1 302.334 1.099 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1nccn1C ZINC000266993954 407707768 /nfs/dbraw/zinc/70/77/68/407707768.db2.gz CZWNIQPJDNZIOH-UHFFFAOYSA-N -1 1 303.366 1.452 20 0 DDADMM COc1ccc(NC(=O)N[C@H]2C[C@@H]2C)cc1[N-]S(C)(=O)=O ZINC000267252676 407808588 /nfs/dbraw/zinc/80/85/88/407808588.db2.gz YPOVGBJWOWHYEZ-WPRPVWTQSA-N -1 1 313.379 1.597 20 0 DDADMM Cc1cc(C)n2nc(C(=O)[N-]c3nc4ccccn4n3)nc2n1 ZINC000133019847 407817485 /nfs/dbraw/zinc/81/74/85/407817485.db2.gz DYKPQPYAJVBPMW-UHFFFAOYSA-N -1 1 308.305 1.036 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC(C)(C)CC2)co1 ZINC000153446455 407826770 /nfs/dbraw/zinc/82/67/70/407826770.db2.gz IIBSDFJMIUMEQI-UHFFFAOYSA-N -1 1 314.407 1.840 20 0 DDADMM O=C(N[C@H]1CCC[C@@H]1CCO)c1nc2ccccc2c(=O)[n-]1 ZINC000187193069 407831052 /nfs/dbraw/zinc/83/10/52/407831052.db2.gz CTZZYVCPQMOPIX-PWSUYJOCSA-N -1 1 301.346 1.204 20 0 DDADMM O=C(c1cc(Cl)cc(Br)c1[O-])N1CCNCC1 ZINC000238017931 407870571 /nfs/dbraw/zinc/87/05/71/407870571.db2.gz XHHXYXOQXNPILZ-UHFFFAOYSA-N -1 1 319.586 1.854 20 0 DDADMM CCCOc1ccc(CNC(=O)CN(C)CCC(=O)[O-])cc1 ZINC000262652914 407892818 /nfs/dbraw/zinc/89/28/18/407892818.db2.gz LQSFACULWBNYBI-UHFFFAOYSA-N -1 1 308.378 1.498 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)NCc1ccc(OC(F)F)cc1 ZINC000262689601 407902237 /nfs/dbraw/zinc/90/22/37/407902237.db2.gz YZIGTBNSUAVTOX-UHFFFAOYSA-N -1 1 316.304 1.311 20 0 DDADMM O=C(NCCCS(=O)(=O)c1ccc([O-])cc1)C1CCCC1 ZINC000134533668 407904685 /nfs/dbraw/zinc/90/46/85/407904685.db2.gz PKUNGDSGRZCNHY-UHFFFAOYSA-N -1 1 311.403 1.862 20 0 DDADMM COC1(CNC(=O)c2cnc3nc(C)ccc3c2[O-])CCC1 ZINC000180624956 407908149 /nfs/dbraw/zinc/90/81/49/407908149.db2.gz VLACOYBSHLQCRR-UHFFFAOYSA-N -1 1 301.346 1.943 20 0 DDADMM COC1(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)CCC1 ZINC000180624956 407908153 /nfs/dbraw/zinc/90/81/53/407908153.db2.gz VLACOYBSHLQCRR-UHFFFAOYSA-N -1 1 301.346 1.943 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(c2ccccc2)C1=O ZINC000153804234 407908447 /nfs/dbraw/zinc/90/84/47/407908447.db2.gz WTWJLDXJKHCOLC-ZDUSSCGKSA-N -1 1 311.341 1.665 20 0 DDADMM O=C(C[C@H]1COCCN1C(=O)c1ncccc1[O-])c1ccco1 ZINC000154000410 407949956 /nfs/dbraw/zinc/94/99/56/407949956.db2.gz XCYFJSMWJQSIQX-NSHDSACASA-N -1 1 316.313 1.494 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H]([C@H](C)O)C3)cnc2n1 ZINC000180989334 407942329 /nfs/dbraw/zinc/94/23/29/407942329.db2.gz BVUAPVJMCQRGLL-QWRGUYRKSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H]([C@H](C)O)C3)c[n-]c2n1 ZINC000180989334 407942336 /nfs/dbraw/zinc/94/23/36/407942336.db2.gz BVUAPVJMCQRGLL-QWRGUYRKSA-N -1 1 301.346 1.487 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2cccc(F)c2F)CCC1 ZINC000119293985 408032473 /nfs/dbraw/zinc/03/24/73/408032473.db2.gz GZWKHVCBVMAHMI-UHFFFAOYSA-N -1 1 305.302 1.339 20 0 DDADMM CC(C)CC[C@@](C)(O)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119302024 408035216 /nfs/dbraw/zinc/03/52/16/408035216.db2.gz DPVHOGYZYUPBCW-MRXNPFEDSA-N -1 1 320.393 1.591 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCS1 ZINC000119299939 408035328 /nfs/dbraw/zinc/03/53/28/408035328.db2.gz OJHDAMMEGYCTQW-SECBINFHSA-N -1 1 306.391 1.642 20 0 DDADMM CC(C)[C@H](O)C(C)(C)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119429006 408066203 /nfs/dbraw/zinc/06/62/03/408066203.db2.gz HBYXTLFQGYFRAG-ZDUSSCGKSA-N -1 1 320.393 1.447 20 0 DDADMM C[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1cccc(O)c1 ZINC000182263322 408111438 /nfs/dbraw/zinc/11/14/38/408111438.db2.gz NIQXRFIMOBXNMR-VIFPVBQESA-N -1 1 312.329 1.871 20 0 DDADMM C[C@@H]1CN(C(C)(C)CNc2cnc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC000263462544 408145744 /nfs/dbraw/zinc/14/57/44/408145744.db2.gz CAJQPCJARSGDJR-PHIMTYICSA-N -1 1 308.382 1.475 20 0 DDADMM COC(=O)[C@@H](C)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000268725011 408176486 /nfs/dbraw/zinc/17/64/86/408176486.db2.gz DBOYCTAGHRBVNZ-LURJTMIESA-N -1 1 311.281 1.191 20 0 DDADMM COc1cccc(CCC(=O)Nc2nc(SCCO)n[nH]2)c1 ZINC000268734384 408182676 /nfs/dbraw/zinc/18/26/76/408182676.db2.gz PNWXQQCGADCKDB-UHFFFAOYSA-N -1 1 322.390 1.469 20 0 DDADMM COc1cccc([C@@H](C)C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000155629539 408190106 /nfs/dbraw/zinc/19/01/06/408190106.db2.gz XZLXZBAYLLGZJS-DGCLKSJQSA-N -1 1 315.377 1.718 20 0 DDADMM CC(C)(C)CC(=O)Nc1ccc(CC(=O)Nc2nnn[n-]2)cc1 ZINC000121437243 408212121 /nfs/dbraw/zinc/21/21/21/408212121.db2.gz KWVBHXSJWIGZIU-UHFFFAOYSA-N -1 1 316.365 1.756 20 0 DDADMM CC(C)(C)CC(=O)Nc1ccc(CC(=O)Nc2nn[n-]n2)cc1 ZINC000121437243 408212133 /nfs/dbraw/zinc/21/21/33/408212133.db2.gz KWVBHXSJWIGZIU-UHFFFAOYSA-N -1 1 316.365 1.756 20 0 DDADMM C[C@H](CO[C@@H]1CCOC1)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000150923398 408226712 /nfs/dbraw/zinc/22/67/12/408226712.db2.gz BXXCAKONXDCJGK-MWLCHTKSSA-N -1 1 321.345 1.437 20 0 DDADMM O=C(Cc1c[nH]c2ccccc12)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129409463 161968666 /nfs/dbraw/zinc/96/86/66/161968666.db2.gz RLMVOHIGNIYSQS-LLVKDONJSA-N -1 1 310.361 1.630 20 0 DDADMM O=S(=O)([N-]CCCn1ccnn1)c1c(F)cccc1Cl ZINC000133368312 162057297 /nfs/dbraw/zinc/05/72/97/162057297.db2.gz RWJYCMKZWFEXPO-UHFFFAOYSA-N -1 1 318.761 1.439 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)c2cnn(C)c2)c(F)c1 ZINC000171639259 162231113 /nfs/dbraw/zinc/23/11/13/162231113.db2.gz IZBMBTZBOXXQCK-UHFFFAOYSA-N -1 1 313.310 1.147 20 0 DDADMM O=C(c1ncccc1[O-])N1CCn2c(nnc2-c2ccccc2)C1 ZINC000173353562 162333603 /nfs/dbraw/zinc/33/36/03/162333603.db2.gz XNLFFWLYUSCAIS-UHFFFAOYSA-N -1 1 321.340 1.702 20 0 DDADMM CO[C@H](C)CCC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000183609008 408454564 /nfs/dbraw/zinc/45/45/64/408454564.db2.gz KDAUZLVNZIMUQK-SECBINFHSA-N -1 1 306.366 1.071 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183398872 408397263 /nfs/dbraw/zinc/39/72/63/408397263.db2.gz GPHHLAFZNGBLLS-NEPJUHHUSA-N -1 1 303.366 1.538 20 0 DDADMM CC[C@@H](Nc1ccc(OC)c([N-]S(C)(=O)=O)c1)C(=O)OC ZINC000279969168 286934054 /nfs/dbraw/zinc/93/40/54/286934054.db2.gz YSOXYXCBDBBKLO-SNVBAGLBSA-N -1 1 316.379 1.430 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc(Br)c1)c1nn[n-]n1 ZINC000183401076 408400644 /nfs/dbraw/zinc/40/06/44/408400644.db2.gz HGBRPTPVFDAAII-MRVPVSSYSA-N -1 1 324.182 1.838 20 0 DDADMM COc1ccc(CCCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183444232 408413792 /nfs/dbraw/zinc/41/37/92/408413792.db2.gz LVUJQQWJPWZWCV-GFCCVEGCSA-N -1 1 317.393 1.793 20 0 DDADMM CCC[C@H](NC(=O)CCCNC(=O)C(C)(C)C)c1nn[n-]n1 ZINC000176755060 408435565 /nfs/dbraw/zinc/43/55/65/408435565.db2.gz CKNUQCNNHRNUHL-JTQLQIEISA-N -1 1 310.402 1.100 20 0 DDADMM CCC[C@H](NC(=O)COc1ccc(Cl)cc1)c1nn[n-]n1 ZINC000176763781 408437148 /nfs/dbraw/zinc/43/71/48/408437148.db2.gz LPEQTWAGEZUNDI-NSHDSACASA-N -1 1 309.757 1.890 20 0 DDADMM CCC[C@H](NC(=O)CSc1nc(C)cc(C)n1)c1nn[n-]n1 ZINC000176784955 408443576 /nfs/dbraw/zinc/44/35/76/408443576.db2.gz LPIAMKPTQKDFMW-JTQLQIEISA-N -1 1 321.410 1.356 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@@H]1COc2ccccc2O1 ZINC000247494790 408480548 /nfs/dbraw/zinc/48/05/48/408480548.db2.gz NUJBLORKKSXKJW-QMMMGPOBSA-N -1 1 314.223 1.602 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2c([O-])cccc2F)[C@@]12CCCO2 ZINC000274683698 408494607 /nfs/dbraw/zinc/49/46/07/408494607.db2.gz HVHJISUTBFNEQZ-IOASZLSFSA-N -1 1 309.337 1.988 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCc2cccnc2)o1 ZINC000177337898 408584609 /nfs/dbraw/zinc/58/46/09/408584609.db2.gz NAWRDIBKKARIED-UHFFFAOYSA-N -1 1 324.358 1.372 20 0 DDADMM O=C(NC[C@@H](O)COc1ccc(F)cc1)c1c([O-])cccc1F ZINC000184465863 408622268 /nfs/dbraw/zinc/62/22/68/408622268.db2.gz YFTFADNBJWEQOD-LLVKDONJSA-N -1 1 323.295 1.840 20 0 DDADMM O=C(CSc1nc([O-])cc(=O)n1C1CCCC1)NCC1CC1 ZINC000192709394 408635711 /nfs/dbraw/zinc/63/57/11/408635711.db2.gz ZHRCXVQEHJXAKZ-UHFFFAOYSA-N -1 1 323.418 1.682 20 0 DDADMM Cc1nnc2n1C[C@H](CNC(=O)c1cc(Cl)ccc1[O-])CC2 ZINC000265423799 408645384 /nfs/dbraw/zinc/64/53/84/408645384.db2.gz KSDCINNCPUFNEB-JTQLQIEISA-N -1 1 320.780 1.938 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)CCC(C)C)co1 ZINC000161964761 408655440 /nfs/dbraw/zinc/65/54/40/408655440.db2.gz IMWANCVGPHYKOP-SNVBAGLBSA-N -1 1 302.396 1.742 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000184757140 408682786 /nfs/dbraw/zinc/68/27/86/408682786.db2.gz NAVFTFDFSNNBJM-AWEZNQCLSA-N -1 1 306.362 1.119 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(C)cc2)C1 ZINC000184820367 408695160 /nfs/dbraw/zinc/69/51/60/408695160.db2.gz GFXSRNHASHCKTN-ZDUSSCGKSA-N -1 1 306.362 1.432 20 0 DDADMM O=C(N[C@H]1CCCN(C2CCCCC2)C1=O)c1cncc([O-])c1 ZINC000276012697 408750748 /nfs/dbraw/zinc/75/07/48/408750748.db2.gz QQJVBZZIPKKVRR-HNNXBMFYSA-N -1 1 317.389 1.841 20 0 DDADMM Cc1nnc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)s1 ZINC000281330038 408882225 /nfs/dbraw/zinc/88/22/25/408882225.db2.gz LSVDUFSPFONVIZ-UHFFFAOYSA-N -1 1 322.356 1.737 20 0 DDADMM CC(C)C(=O)N1CCCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000280777818 408832367 /nfs/dbraw/zinc/83/23/67/408832367.db2.gz NAUXGWUATFRPDM-UHFFFAOYSA-N -1 1 318.373 1.292 20 0 DDADMM CCCNC(=O)NC(=O)CSc1nc(C2CC2)cc(=O)[n-]1 ZINC000276391458 408847995 /nfs/dbraw/zinc/84/79/95/408847995.db2.gz UTDJZVAAJGTSOL-UHFFFAOYSA-N -1 1 310.379 1.388 20 0 DDADMM Cc1ccnc2ccc(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)cc12 ZINC000286006014 408906756 /nfs/dbraw/zinc/90/67/56/408906756.db2.gz HTYRKGHUCKTAPF-LLVKDONJSA-N -1 1 310.361 1.932 20 0 DDADMM CCC[C@H](NC(=O)[C@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000291719505 408912475 /nfs/dbraw/zinc/91/24/75/408912475.db2.gz BCPCWMMBRGXAKD-WDEREUQCSA-N -1 1 309.757 1.544 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H](Cc2ccccc2)C(=O)NC)n1 ZINC000189438627 163099950 /nfs/dbraw/zinc/09/99/50/163099950.db2.gz CMRTXMYWTZGMIU-ZDUSSCGKSA-N -1 1 317.414 1.782 20 0 DDADMM CO[C@H]1CC[C@@H](OC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000281771625 408927053 /nfs/dbraw/zinc/92/70/53/408927053.db2.gz ABJRMAUYKCHOLM-NWDGAFQWSA-N -1 1 319.361 1.293 20 0 DDADMM CCOCC(=O)CSc1nc([O-])cc(=O)n1C1CCCC1 ZINC000277733436 409001358 /nfs/dbraw/zinc/00/13/58/409001358.db2.gz PMKUWRLXZXRHJX-UHFFFAOYSA-N -1 1 312.391 1.762 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@H]([C@@H](O)C(F)(F)F)C1 ZINC000277523562 408958033 /nfs/dbraw/zinc/95/80/33/408958033.db2.gz ODYMTULJICHXDR-GZMMTYOYSA-N -1 1 304.268 1.563 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(F)cc3nn[nH]c32)CC[S@]1=O ZINC000282034355 408976625 /nfs/dbraw/zinc/97/66/25/408976625.db2.gz KNTWBTPNZQGZHE-IJSAXESFSA-N -1 1 310.354 1.080 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2cccnc2C(F)(F)F)o1 ZINC000287627261 409066537 /nfs/dbraw/zinc/06/65/37/409066537.db2.gz BFFZSFKZPLDWRQ-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM Cc1noc(C)c1C[C@H](C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000287634819 409067664 /nfs/dbraw/zinc/06/76/64/409067664.db2.gz NLRQCQSGSVBAAM-VIFPVBQESA-N -1 1 302.330 1.927 20 0 DDADMM COC(=O)[C@@H](CF)[N-]S(=O)(=O)c1ccc(Cl)cc1F ZINC000287403604 409031492 /nfs/dbraw/zinc/03/14/92/409031492.db2.gz KUCZCHOGPXVVSR-MRVPVSSYSA-N -1 1 313.709 1.269 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)Oc1ccc(C=O)cc1)c1nn[n-]n1 ZINC000287682906 409076520 /nfs/dbraw/zinc/07/65/20/409076520.db2.gz WHVOWALFAVVHPE-ZWNOBZJWSA-N -1 1 317.349 1.437 20 0 DDADMM C[C@H]1C[N@H+](C2CC2)C[C@@H]1NC(=O)c1ccc2n[nH]c(=S)n2c1 ZINC000283636889 409188344 /nfs/dbraw/zinc/18/83/44/409188344.db2.gz CBQFTPXKMHOODF-CABZTGNLSA-N -1 1 317.418 1.231 20 0 DDADMM CC(C)C[C@H]1COCCN1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279336018 409193834 /nfs/dbraw/zinc/19/38/34/409193834.db2.gz NTJLPELUWXGFPY-DSVMPHHWSA-N -1 1 317.393 1.621 20 0 DDADMM C[C@H](CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)c1nccs1 ZINC000279250183 409178561 /nfs/dbraw/zinc/17/85/61/409178561.db2.gz HUTZYZVWLCOASQ-DAPYGLKOSA-N -1 1 316.390 1.724 20 0 DDADMM COCCC1(CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000279282382 409184484 /nfs/dbraw/zinc/18/44/84/409184484.db2.gz KHWVDDPXSHZAFU-UNOMPAQXSA-N -1 1 303.366 1.280 20 0 DDADMM CCOCCC[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000294088092 409238506 /nfs/dbraw/zinc/23/85/06/409238506.db2.gz OADYDOSVNWYIJD-UHFFFAOYSA-N -1 1 315.317 1.144 20 0 DDADMM COCC(C)(C)N(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000294158302 409250778 /nfs/dbraw/zinc/25/07/78/409250778.db2.gz QPLYPUNAJWYZCE-UHFFFAOYSA-N -1 1 320.393 1.065 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@H]1O)c1ncccc1[O-] ZINC000283717515 409202013 /nfs/dbraw/zinc/20/20/13/409202013.db2.gz UUOYBGZRFGKTJS-RISCZKNCSA-N -1 1 306.362 1.085 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc2nccnc2cc1F)c1nn[n-]n1 ZINC000280282617 409283702 /nfs/dbraw/zinc/28/37/02/409283702.db2.gz LHOYSVDVKKYCGA-QMMMGPOBSA-N -1 1 315.312 1.158 20 0 DDADMM C[C@@H](C[S@@](C)=O)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284177129 409290587 /nfs/dbraw/zinc/29/05/87/409290587.db2.gz GLMOMFUENJVGCF-BWKAKNAASA-N -1 1 313.803 1.524 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc(O)c(OC)c1 ZINC000295511969 409322986 /nfs/dbraw/zinc/32/29/86/409322986.db2.gz HSRLOSFQZIKGDD-UHFFFAOYSA-N -1 1 311.334 1.333 20 0 DDADMM Cc1nnc(CC[N-]S(=O)(=O)c2cccc(F)c2F)s1 ZINC000290217603 409324597 /nfs/dbraw/zinc/32/45/97/409324597.db2.gz OGNUTDLZKRYXFJ-UHFFFAOYSA-N -1 1 319.358 1.646 20 0 DDADMM COc1ccccc1NC(=O)[C@@H](C)N1CCC[C@@H](C(=O)[O-])C1 ZINC000262665863 163863597 /nfs/dbraw/zinc/86/35/97/163863597.db2.gz NGSXTMGCSAPINP-VXGBXAGGSA-N -1 1 306.362 1.819 20 0 DDADMM CC(C)[C@H](NCC(=O)[N-]OCc1ccccc1)c1nncn1C ZINC000285375006 409416996 /nfs/dbraw/zinc/41/69/96/409416996.db2.gz MSCMDPZZSNZYII-HNNXBMFYSA-N -1 1 317.393 1.350 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)C(=O)c2ccc([O-])cc2)C[C@H](C)O1 ZINC000280725345 409428846 /nfs/dbraw/zinc/42/88/46/409428846.db2.gz HVPRTLAMWUIGLY-BETUJISGSA-N -1 1 320.389 1.190 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)no1 ZINC000290522848 409431372 /nfs/dbraw/zinc/43/13/72/409431372.db2.gz ZUSUJJUSJRPFHF-VIFPVBQESA-N -1 1 319.283 1.514 20 0 DDADMM Cc1cnc(C(=O)NC[C@@](C)(CO)c2ccccc2)c([O-])c1 ZINC000346320836 164095379 /nfs/dbraw/zinc/09/53/79/164095379.db2.gz STJXNMYLHWITGM-KRWDZBQOSA-N -1 1 300.358 1.776 20 0 DDADMM Cc1cnc(C(=O)N2CCCC[C@@H]2CN2CCOCC2)c([O-])c1 ZINC000408228882 164268428 /nfs/dbraw/zinc/26/84/28/164268428.db2.gz KIINZTGQACHLNB-CQSZACIVSA-N -1 1 319.405 1.423 20 0 DDADMM COc1cc(C(=O)N2CC[C@@]3(CNC(=O)C3)C2)cc(Cl)c1[O-] ZINC000408449477 164335193 /nfs/dbraw/zinc/33/51/93/164335193.db2.gz GKVSOYJBWCGPJN-OAHLLOKOSA-N -1 1 324.764 1.406 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCC2(C)C)o1 ZINC000344815290 409519842 /nfs/dbraw/zinc/51/98/42/409519842.db2.gz UGLLSHKGWXQKBK-JTQLQIEISA-N -1 1 301.364 1.923 20 0 DDADMM CO[C@H](C)c1nc(=N[C@H]2CCC[C@@H](C(=O)N(C)C)C2)s[n-]1 ZINC000337879900 409532692 /nfs/dbraw/zinc/53/26/92/409532692.db2.gz TXDVBGZMZGMJGI-MXWKQRLJSA-N -1 1 312.439 1.726 20 0 DDADMM NC(=O)[C@H]1CCC[C@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000344853115 409533765 /nfs/dbraw/zinc/53/37/65/409533765.db2.gz LWNJCUVSSGCKMY-WDSKDSINSA-N -1 1 305.260 1.054 20 0 DDADMM Cn1nc2ccccc2c1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000356831086 409641320 /nfs/dbraw/zinc/64/13/20/409641320.db2.gz QKZYWHYJTXVXKX-UHFFFAOYSA-N -1 1 311.349 1.286 20 0 DDADMM CC(C)C(=O)NCCCNC(=O)c1csc(=NC2CC2)[n-]1 ZINC000344994469 409585252 /nfs/dbraw/zinc/58/52/52/409585252.db2.gz BMGCDVKVDHGRRZ-UHFFFAOYSA-N -1 1 310.423 1.031 20 0 DDADMM CCc1cc(C(=O)N[C@H](C)CN2CCN(C)CC2)ccc1O ZINC000342509151 409688019 /nfs/dbraw/zinc/68/80/19/409688019.db2.gz UYJQZFHVIKWSSH-CYBMUJFWSA-N -1 1 305.422 1.320 20 0 DDADMM CC(C)[C@@](C)(O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000305206463 409730319 /nfs/dbraw/zinc/73/03/19/409730319.db2.gz NETSZVWZMHVOPT-LBPRGKRZSA-N -1 1 311.325 1.789 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ncsc1-c1ccccc1 ZINC000357066973 409838328 /nfs/dbraw/zinc/83/83/28/409838328.db2.gz IMXLYBQSVCHMIZ-UHFFFAOYSA-N -1 1 312.358 1.742 20 0 DDADMM C[C@H]1[C@H](C(=O)NC2(c3nn[n-]n3)CC2)CCN1c1ccccc1 ZINC000357045379 409817241 /nfs/dbraw/zinc/81/72/41/409817241.db2.gz BZPJGYWHBXHDRD-WCQYABFASA-N -1 1 312.377 1.220 20 0 DDADMM CCCNC(=O)CNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338336017 409920134 /nfs/dbraw/zinc/92/01/34/409920134.db2.gz KPEOOXLPILQSKS-UHFFFAOYSA-N -1 1 321.764 1.850 20 0 DDADMM CSc1nsc([N-]C(=O)c2ccnc3c2nnn3C)n1 ZINC000338339326 409922832 /nfs/dbraw/zinc/92/28/32/409922832.db2.gz OJAUOASOGUVFJZ-UHFFFAOYSA-N -1 1 307.364 1.189 20 0 DDADMM CC[C@H](C)n1ncc([N-]S(=O)(=O)CCC(=O)OC)c1C ZINC000357086976 409860769 /nfs/dbraw/zinc/86/07/69/409860769.db2.gz VGEUMTIWXDVMHG-VIFPVBQESA-N -1 1 303.384 1.467 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@H](C)c1cccc(C)c1 ZINC000349582415 409878779 /nfs/dbraw/zinc/87/87/79/409878779.db2.gz GLRPDAKSDMDKEA-JTQLQIEISA-N -1 1 309.391 1.786 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H](C)c1cccc(C)c1 ZINC000349582415 409878788 /nfs/dbraw/zinc/87/87/88/409878788.db2.gz GLRPDAKSDMDKEA-JTQLQIEISA-N -1 1 309.391 1.786 20 0 DDADMM COc1cc(C(=O)NC[C@@H]2CC[C@@H](CO)O2)cc(Cl)c1[O-] ZINC000349591354 409882636 /nfs/dbraw/zinc/88/26/36/409882636.db2.gz UVXLGGXVIZESJG-UWVGGRQHSA-N -1 1 315.753 1.324 20 0 DDADMM Cc1cnc(C(=O)N2CCN(Cc3ccncc3)CC2)c([O-])c1 ZINC000332113973 409898983 /nfs/dbraw/zinc/89/89/83/409898983.db2.gz JNAKAQFYECXLBD-UHFFFAOYSA-N -1 1 312.373 1.449 20 0 DDADMM C[C@H]1CN(c2c(C(=O)[O-])cnc3ccccc32)C[C@@H](CO)O1 ZINC000319860448 409900168 /nfs/dbraw/zinc/90/01/68/409900168.db2.gz CUJHJYUTNLJVLK-QWRGUYRKSA-N -1 1 302.330 1.519 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NCc1ccn(C)n1)c2=O ZINC000346335829 409924681 /nfs/dbraw/zinc/92/46/81/409924681.db2.gz YZGHETGBHXBBKA-UHFFFAOYSA-N -1 1 312.329 1.200 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332178406 409953279 /nfs/dbraw/zinc/95/32/79/409953279.db2.gz MVZRGFFVFMLQCN-NWDGAFQWSA-N -1 1 317.345 1.949 20 0 DDADMM C[C@]1(c2ccc(C[NH2+]Cc3cscn3)cc2)NC(=O)NC1=O ZINC000338425774 409978576 /nfs/dbraw/zinc/97/85/76/409978576.db2.gz NZFMIHOYKSBLIQ-OAHLLOKOSA-N -1 1 316.386 1.488 20 0 DDADMM CC1(C)CCC(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)CC1 ZINC000297755806 409986407 /nfs/dbraw/zinc/98/64/07/409986407.db2.gz ORKLZLVKEHLEME-UHFFFAOYSA-N -1 1 305.382 1.852 20 0 DDADMM CCC[C@H]1C[C@H](C(=O)Nc2nn[nH]c2C(=O)NC2CC2)CCO1 ZINC000297870500 410029147 /nfs/dbraw/zinc/02/91/47/410029147.db2.gz ZOEDFRYWHYNGQA-KOLCDFICSA-N -1 1 321.381 1.231 20 0 DDADMM O=C(CSc1ccccc1)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297866287 410030815 /nfs/dbraw/zinc/03/08/15/410030815.db2.gz ZDHQFDVYYXCWLR-UHFFFAOYSA-N -1 1 317.374 1.428 20 0 DDADMM COCc1cccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332274745 410032320 /nfs/dbraw/zinc/03/23/20/410032320.db2.gz GZXMCBZAAXEVOV-CYBMUJFWSA-N -1 1 317.345 1.941 20 0 DDADMM O=c1[nH]nc2ccc(-c3nc(-c4ccc([O-])cc4F)no3)cn12 ZINC000350812678 409998489 /nfs/dbraw/zinc/99/84/89/409998489.db2.gz LMZALLFFKYCIFS-UHFFFAOYSA-N -1 1 313.248 1.997 20 0 DDADMM Cc1nn(C(F)F)c(C)c1CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000354749641 410060334 /nfs/dbraw/zinc/06/03/34/410060334.db2.gz FLOQLFLRWLROPV-UHFFFAOYSA-N -1 1 313.312 1.002 20 0 DDADMM O=S(=O)([N-]Cc1noc(C2CC2)n1)c1cccc(F)c1F ZINC000343101298 410146369 /nfs/dbraw/zinc/14/63/69/410146369.db2.gz ZKLGCCAVHHPQML-UHFFFAOYSA-N -1 1 315.301 1.704 20 0 DDADMM CS(=O)(=O)CCCCCN=c1nc(C(F)(F)F)[n-]s1 ZINC000343034889 410090415 /nfs/dbraw/zinc/09/04/15/410090415.db2.gz BUTQHYKUIXUFLN-UHFFFAOYSA-N -1 1 317.358 1.606 20 0 DDADMM CC(C)(NC(=O)[C@H]1CCSc2ccccc21)c1nn[n-]n1 ZINC000354793460 410092060 /nfs/dbraw/zinc/09/20/60/410092060.db2.gz QHZZVIOTSWVLLS-JTQLQIEISA-N -1 1 303.391 1.831 20 0 DDADMM Cc1nc(-c2c[nH]c(C(=O)NC(C)(C)c3nn[n-]n3)c2)cs1 ZINC000354794337 410093349 /nfs/dbraw/zinc/09/33/49/410093349.db2.gz ZDNFYDUGWFMOKL-UHFFFAOYSA-N -1 1 317.378 1.625 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N(C1CCC1)C1CCC1 ZINC000346733618 410121034 /nfs/dbraw/zinc/12/10/34/410121034.db2.gz RMTRYUAGQRPAKQ-UHFFFAOYSA-N -1 1 303.362 1.451 20 0 DDADMM COc1ccccc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000343176242 410212622 /nfs/dbraw/zinc/21/26/22/410212622.db2.gz YXRADSDMSNFVHN-UHFFFAOYSA-N -1 1 322.342 1.301 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cc(F)c[nH]2)c1 ZINC000357643705 410157710 /nfs/dbraw/zinc/15/77/10/410157710.db2.gz AQLVLDFIXHARGQ-UHFFFAOYSA-N -1 1 313.310 1.020 20 0 DDADMM COc1cccc(C(F)(F)CNC(=O)c2ncccc2[O-])n1 ZINC000343133317 410174668 /nfs/dbraw/zinc/17/46/68/410174668.db2.gz XYJACYJAUFNHOR-UHFFFAOYSA-N -1 1 309.272 1.713 20 0 DDADMM COC[C@@H]1CCN1C(=O)c1c[n-]c2cc(OC)ccc2c1=O ZINC000298315397 410177555 /nfs/dbraw/zinc/17/75/55/410177555.db2.gz JMWHZFSYZPJBDJ-JTQLQIEISA-N -1 1 302.330 1.810 20 0 DDADMM O=C(NCCO)c1c([O-])cnc2c(Br)cccc21 ZINC000351797516 410244897 /nfs/dbraw/zinc/24/48/97/410244897.db2.gz FDFBQCSBENKAOX-UHFFFAOYSA-N -1 1 311.135 1.425 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000333139627 410382518 /nfs/dbraw/zinc/38/25/18/410382518.db2.gz YKIUNPQSNSYWCN-MNOVXSKESA-N -1 1 307.419 1.638 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)CC[S@@](C)=O)cnc2n1 ZINC000358161417 410389224 /nfs/dbraw/zinc/38/92/24/410389224.db2.gz CQOAFCNOJXWNKZ-MLMJSJRWSA-N -1 1 321.402 1.531 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)CC[S@@](C)=O)c2=O ZINC000358161417 410389229 /nfs/dbraw/zinc/38/92/29/410389229.db2.gz CQOAFCNOJXWNKZ-MLMJSJRWSA-N -1 1 321.402 1.531 20 0 DDADMM CCN(CCc1nccs1)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000352066172 410453446 /nfs/dbraw/zinc/45/34/46/410453446.db2.gz VFRUVLFAHBMXIZ-UHFFFAOYSA-N -1 1 324.362 1.058 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnc(SC)c2)n1 ZINC000352164843 410519439 /nfs/dbraw/zinc/51/94/39/410519439.db2.gz XWXHBFYVJHDEOA-UHFFFAOYSA-N -1 1 306.347 1.956 20 0 DDADMM CC(C)(NC(=O)c1ccc(OC2CCCC2)nc1)c1nn[n-]n1 ZINC000359441115 410660802 /nfs/dbraw/zinc/66/08/02/410660802.db2.gz PXLYFQMVAVCVEE-UHFFFAOYSA-N -1 1 316.365 1.581 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(N2CCCNC2=O)CC1 ZINC000330337471 410635080 /nfs/dbraw/zinc/63/50/80/410635080.db2.gz ZYIBBJRTIZRBNB-UHFFFAOYSA-N -1 1 304.350 1.012 20 0 DDADMM COc1cc(C)c(Br)cc1C(=O)Nc1nnn[n-]1 ZINC000352973884 410707548 /nfs/dbraw/zinc/70/75/48/410707548.db2.gz CKIYDNUCNVFAKZ-UHFFFAOYSA-N -1 1 312.127 1.532 20 0 DDADMM COc1cc(C)c(Br)cc1C(=O)Nc1nn[n-]n1 ZINC000352973884 410707555 /nfs/dbraw/zinc/70/75/55/410707555.db2.gz CKIYDNUCNVFAKZ-UHFFFAOYSA-N -1 1 312.127 1.532 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N[C@@H]1CCSC1)c2=O ZINC000352982939 410714858 /nfs/dbraw/zinc/71/48/58/410714858.db2.gz AUIOCYDEVRZTRA-SECBINFHSA-N -1 1 304.371 1.772 20 0 DDADMM CCC[C@H](NC(=O)c1cc(-c2ccccn2)no1)c1nn[n-]n1 ZINC000343765014 410681165 /nfs/dbraw/zinc/68/11/65/410681165.db2.gz MXKNOIUAXWCIIP-JTQLQIEISA-N -1 1 313.321 1.521 20 0 DDADMM CS[C@@H](C)CCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000347725647 410688507 /nfs/dbraw/zinc/68/85/07/410688507.db2.gz VAPVXRWKTDGVIV-QMMMGPOBSA-N -1 1 318.420 1.510 20 0 DDADMM CCC[C@H](NC(=O)c1cnn(-c2cccnc2)c1)c1nn[n-]n1 ZINC000347876294 410770091 /nfs/dbraw/zinc/77/00/91/410770091.db2.gz NMWPXMUOYKMWJD-LBPRGKRZSA-N -1 1 312.337 1.052 20 0 DDADMM Cc1nc(-c2ccc(NC[C@@]3(O)CCOC3)nc2)[n-]c(=O)c1C ZINC000301852923 410812956 /nfs/dbraw/zinc/81/29/56/410812956.db2.gz QEBSVWBFUSJEIL-INIZCTEOSA-N -1 1 316.361 1.424 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cccs2)c1 ZINC000359696041 410822403 /nfs/dbraw/zinc/82/24/03/410822403.db2.gz BROLZZFASKUUMI-UHFFFAOYSA-N -1 1 312.372 1.614 20 0 DDADMM Cc1cc(Cl)ccc1O[C@H](C)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359780213 410871889 /nfs/dbraw/zinc/87/18/89/410871889.db2.gz ABZNGROLJFBYIK-SECBINFHSA-N -1 1 323.784 1.980 20 0 DDADMM COC(=O)c1ccc(C(=O)Nc2cc(C(=O)OC)ccc2[O-])o1 ZINC000337588744 410881516 /nfs/dbraw/zinc/88/15/16/410881516.db2.gz VKPWEGYXABVPGY-UHFFFAOYSA-N -1 1 319.269 1.811 20 0 DDADMM CCNC(=O)c1ccc(=NCC2(C)CCC(OC)CC2)[n-]n1 ZINC000359834055 410909162 /nfs/dbraw/zinc/90/91/62/410909162.db2.gz HFNNXLPOKDRPOS-UHFFFAOYSA-N -1 1 306.410 1.655 20 0 DDADMM COc1cccc(N=c2[n-]nc(SCc3nn[nH]n3)s2)c1 ZINC000353311380 410915273 /nfs/dbraw/zinc/91/52/73/410915273.db2.gz ZSSRJMNTKRDKMG-UHFFFAOYSA-N -1 1 321.391 1.518 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC[C@@](C)(O)C2)c(=O)[n-]1 ZINC000331080994 410936799 /nfs/dbraw/zinc/93/67/99/410936799.db2.gz AHQXKOKRSAPFMY-CQSZACIVSA-N -1 1 311.407 1.128 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1C ZINC000353396884 410963135 /nfs/dbraw/zinc/96/31/35/410963135.db2.gz HVJZVWWADAHXSC-NSHDSACASA-N -1 1 311.345 1.525 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1CSCCN1C(=O)c1ncccc1[O-] ZINC000331167158 410990069 /nfs/dbraw/zinc/99/00/69/410990069.db2.gz DYYUZDHFYOOBND-SNVBAGLBSA-N -1 1 324.402 1.687 20 0 DDADMM CO[C@@H](C)c1nsc(=NC[C@H](C)CN2CCOCC2)[n-]1 ZINC000337785258 411000379 /nfs/dbraw/zinc/00/03/79/411000379.db2.gz AVMNTZORWXTMMX-QWRGUYRKSA-N -1 1 300.428 1.048 20 0 DDADMM COc1ccc(C(=O)Nc2nnn[n-]2)c(OCCOC(C)C)c1 ZINC000356473280 411048702 /nfs/dbraw/zinc/04/87/02/411048702.db2.gz DHOJYVQRICUNIC-UHFFFAOYSA-N -1 1 321.337 1.264 20 0 DDADMM COc1ccc(C(=O)Nc2nn[n-]n2)c(OCCOC(C)C)c1 ZINC000356473280 411048710 /nfs/dbraw/zinc/04/87/10/411048710.db2.gz DHOJYVQRICUNIC-UHFFFAOYSA-N -1 1 321.337 1.264 20 0 DDADMM C[C@H](NCc1nc(=O)n(C)[n-]1)c1ccc(Br)s1 ZINC000331285763 411056649 /nfs/dbraw/zinc/05/66/49/411056649.db2.gz GPMYYMKLSVPRCG-LURJTMIESA-N -1 1 317.212 1.783 20 0 DDADMM CN(C[C@H]1CCS(=O)(=O)C1)C(=O)c1c([O-])cccc1F ZINC000331237444 411033193 /nfs/dbraw/zinc/03/31/93/411033193.db2.gz NCCSDUQTCIOBCJ-SECBINFHSA-N -1 1 301.339 1.038 20 0 DDADMM CCOC1CC(N(C)C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000360341550 411128776 /nfs/dbraw/zinc/12/87/76/411128776.db2.gz ITDLKZRKKQGWHV-UHFFFAOYSA-N -1 1 304.350 1.313 20 0 DDADMM NC(=O)c1c[nH]c(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)c1 ZINC000580025679 422874527 /nfs/dbraw/zinc/87/45/27/422874527.db2.gz CLDSZHVJPAKENG-UHFFFAOYSA-N -1 1 311.301 1.462 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCN1CCc2ccccc21 ZINC000635220835 422883212 /nfs/dbraw/zinc/88/32/12/422883212.db2.gz WBCKFWOAYMODNE-UHFFFAOYSA-N -1 1 314.393 1.091 20 0 DDADMM Cc1ccc(OC(F)F)c(CNC(=O)CCc2nn[n-]n2)c1 ZINC000631749423 422903920 /nfs/dbraw/zinc/90/39/20/422903920.db2.gz NLWHBPQXVWPTMO-UHFFFAOYSA-N -1 1 311.292 1.359 20 0 DDADMM COc1cc(NC(=O)C(C)(C)CO)ccc1[N-]S(C)(=O)=O ZINC000580326255 422919597 /nfs/dbraw/zinc/91/95/97/422919597.db2.gz FBIJVYUPSZXTEQ-UHFFFAOYSA-N -1 1 316.379 1.024 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@@H]1C[C@H]1C1CC1 ZINC000580396256 422925747 /nfs/dbraw/zinc/92/57/47/422925747.db2.gz JYHDOSNXTSJTJM-LSDHHAIUSA-N -1 1 324.384 1.598 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)N=c2[n-]nc(C3CC3)s2)n[nH]1 ZINC000580404928 422926647 /nfs/dbraw/zinc/92/66/47/422926647.db2.gz ILRRENHPSGOPKI-UHFFFAOYSA-N -1 1 321.410 1.575 20 0 DDADMM COCCOCCc1nc(-c2ccc([O-])c(C(N)=O)c2)cs1 ZINC000647601055 422968609 /nfs/dbraw/zinc/96/86/09/422968609.db2.gz SQRSKXPCBJUTTI-UHFFFAOYSA-N -1 1 322.386 1.820 20 0 DDADMM CN(CC[C@@H]1CCOC1=O)Cc1nc(=O)c2sccc2[n-]1 ZINC000131838111 196203066 /nfs/dbraw/zinc/20/30/66/196203066.db2.gz VFTCEAYVMNGMLZ-SECBINFHSA-N -1 1 307.375 1.370 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3ccccc3c2[O-])CCS1(=O)=O ZINC000131850739 196203527 /nfs/dbraw/zinc/20/35/27/196203527.db2.gz YPAUQIWCQBKDAZ-NSHDSACASA-N -1 1 319.382 1.805 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C1CCOCC1 ZINC000132944562 196298285 /nfs/dbraw/zinc/29/82/85/196298285.db2.gz QIVFLTOGKQGGPB-QMMMGPOBSA-N -1 1 307.803 1.167 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2ccc(F)nc2)c(=O)[n-]1 ZINC000135069437 196442620 /nfs/dbraw/zinc/44/26/20/196442620.db2.gz KCOJAZULCNDJFA-UHFFFAOYSA-N -1 1 322.365 1.906 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(F)cc(OC)cc1F)[C@@H](C)O ZINC000650208132 423086899 /nfs/dbraw/zinc/08/68/99/423086899.db2.gz OHSVDEMLQUHRPG-RDDDGLTNSA-N -1 1 309.334 1.411 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@@H](OC)C(C)C)c1ccc(C)o1 ZINC000645574933 423094444 /nfs/dbraw/zinc/09/44/44/423094444.db2.gz FNCUAZVLXCLWTO-GXTWGEPZSA-N -1 1 319.423 1.866 20 0 DDADMM C[C@@H](CCCO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645596430 423101114 /nfs/dbraw/zinc/10/11/14/423101114.db2.gz UABVKVUQXQLFEY-QMMMGPOBSA-N -1 1 312.313 1.540 20 0 DDADMM C[C@@H]1CN(C(=O)c2cncc([O-])c2)C[C@]2(CCO[C@H](C)C2)O1 ZINC000373834015 418464212 /nfs/dbraw/zinc/46/42/12/418464212.db2.gz AAIGGGXQUZDVBP-XHBSWPGZSA-N -1 1 306.362 1.586 20 0 DDADMM COc1cccc([C@@H]2[C@H](NC(=O)C(=O)[O-])CCN2C2CC2)c1 ZINC000366731993 418509772 /nfs/dbraw/zinc/50/97/72/418509772.db2.gz HNQMMHOLLMSXEX-ZIAGYGMSSA-N -1 1 304.346 1.174 20 0 DDADMM Cc1csc([C@@H]2COCCN2C(=O)c2cncc([O-])c2)n1 ZINC000189240385 418521676 /nfs/dbraw/zinc/52/16/76/418521676.db2.gz SRVQBYNZDUNKHV-LBPRGKRZSA-N -1 1 305.359 1.766 20 0 DDADMM O=C(c1ccc2ncsc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000367167373 418566288 /nfs/dbraw/zinc/56/62/88/418566288.db2.gz FLJADVAYPGRNGN-JTQLQIEISA-N -1 1 314.374 1.829 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)ccc1F ZINC000188579679 222017973 /nfs/dbraw/zinc/01/79/73/222017973.db2.gz LJZAARVNBHQEGL-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@@H]1O)c1cc(F)ccc1[O-] ZINC000367238813 418575529 /nfs/dbraw/zinc/57/55/29/418575529.db2.gz QSHCXMBVCDQQNZ-IAQYHMDHSA-N -1 1 323.364 1.829 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)c2ccncc2)C1)C(F)(F)F ZINC000290509317 418577519 /nfs/dbraw/zinc/57/75/19/418577519.db2.gz YTJFRCDPCRUCDQ-JTQLQIEISA-N -1 1 315.295 1.612 20 0 DDADMM Cc1n[nH]cc1C(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000291020618 222077338 /nfs/dbraw/zinc/07/73/38/222077338.db2.gz DHQOKRUEGOBZJP-VIFPVBQESA-N -1 1 318.299 1.249 20 0 DDADMM O=C([O-])c1ccc(C(=O)NCc2nc3ccc(F)cc3[nH]2)cn1 ZINC000190642580 222084979 /nfs/dbraw/zinc/08/49/79/222084979.db2.gz NSPBEPFVYMEPPU-UHFFFAOYSA-N -1 1 314.276 1.725 20 0 DDADMM O=C(NCCN1CCc2ccccc2C1)c1ccc(O)cc1O ZINC000193125783 222144124 /nfs/dbraw/zinc/14/41/24/222144124.db2.gz SBXDWBIPUKXNRV-UHFFFAOYSA-N -1 1 312.369 1.886 20 0 DDADMM CC(C)N(C)C(=O)[C@H](C)S(=O)(=O)c1nnc(C(C)(C)C)[n-]1 ZINC000195169906 222192954 /nfs/dbraw/zinc/19/29/54/222192954.db2.gz VDKRTOKXEHSACH-VIFPVBQESA-N -1 1 316.427 1.131 20 0 DDADMM CC(C)N(C)C(=O)[C@H](C)S(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000195169906 222192958 /nfs/dbraw/zinc/19/29/58/222192958.db2.gz VDKRTOKXEHSACH-VIFPVBQESA-N -1 1 316.427 1.131 20 0 DDADMM CC(C)N(C)C(=O)[C@H](C)S(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195169906 222192959 /nfs/dbraw/zinc/19/29/59/222192959.db2.gz VDKRTOKXEHSACH-VIFPVBQESA-N -1 1 316.427 1.131 20 0 DDADMM C[C@](O)(CN1Cc2ccccc2[C@H](C(=O)[O-])C1)C(F)(F)F ZINC000313874285 418593214 /nfs/dbraw/zinc/59/32/14/418593214.db2.gz MERFMJFYIDTBNV-YPMHNXCESA-N -1 1 303.280 1.984 20 0 DDADMM CCN(CC)C(=O)[C@H](C)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000315828569 418598113 /nfs/dbraw/zinc/59/81/13/418598113.db2.gz FDHZHQWIQWRVKS-WFASDCNBSA-N -1 1 304.390 1.927 20 0 DDADMM CNC(=O)CN(C)c1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000361137519 418591318 /nfs/dbraw/zinc/59/13/18/418591318.db2.gz ZCASRGODERFSOW-UHFFFAOYSA-N -1 1 301.350 1.043 20 0 DDADMM CN1CCC[C@@]2(CCN(C(=O)c3c(F)ccc([O-])c3F)C2)C1=O ZINC000368137108 418695080 /nfs/dbraw/zinc/69/50/80/418695080.db2.gz XJVRCBFNQMRCJN-INIZCTEOSA-N -1 1 324.327 1.755 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)CC(F)(F)F)o1 ZINC000362019510 418739995 /nfs/dbraw/zinc/73/99/95/418739995.db2.gz XQUMBOFILIZONB-ZCFIWIBFSA-N -1 1 314.285 1.258 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(CC(=O)Nc2cccc(C)c2C)C1 ZINC000391872689 418758611 /nfs/dbraw/zinc/75/86/11/418758611.db2.gz JCMWUXHMRXIWHF-KRWDZBQOSA-N -1 1 320.389 1.665 20 0 DDADMM C[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@H](C)[S@@]1=O ZINC000408204242 418792418 /nfs/dbraw/zinc/79/24/18/418792418.db2.gz UELJYSOGJDPTEL-OMSYJELOSA-N -1 1 303.330 1.652 20 0 DDADMM CCN(C)S(=O)(=O)[N-]c1nn(-c2ncccc2F)cc1C ZINC000372764481 418899700 /nfs/dbraw/zinc/89/97/00/418899700.db2.gz HUJFBUIOMRXTOC-UHFFFAOYSA-N -1 1 313.358 1.323 20 0 DDADMM Cc1ccc(CCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)s1 ZINC000365880053 418919167 /nfs/dbraw/zinc/91/91/67/418919167.db2.gz MVPOJAXYILJZSK-LLVKDONJSA-N -1 1 307.379 1.102 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC2(CO)CCC2)c(F)c1 ZINC000425166193 228377919 /nfs/dbraw/zinc/37/79/19/228377919.db2.gz DMTQNFXXOXNZFZ-UHFFFAOYSA-N -1 1 305.346 1.714 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC(=O)NC(C)C)c(F)c1 ZINC000425147985 228373229 /nfs/dbraw/zinc/37/32/29/228373229.db2.gz ZZWFYSZVOMAVJT-UHFFFAOYSA-N -1 1 306.334 1.076 20 0 DDADMM Cc1cnc(C(=O)NCCNC(=O)c2ccc(F)cc2)c([O-])c1 ZINC000426650303 419524827 /nfs/dbraw/zinc/52/48/27/419524827.db2.gz BCZOWHMACKGPNN-UHFFFAOYSA-N -1 1 317.320 1.395 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cccnc1NC(C)=O)OC ZINC000421237068 419534392 /nfs/dbraw/zinc/53/43/92/419534392.db2.gz BEPRUNRLZVCJQO-JTQLQIEISA-N -1 1 301.368 1.207 20 0 DDADMM COCCn1cc([N-]S(=O)(=O)C[C@@H](CC(C)C)OC)cn1 ZINC000421148395 419502417 /nfs/dbraw/zinc/50/24/17/419502417.db2.gz DFNRFLFHQDPHFJ-CYBMUJFWSA-N -1 1 319.427 1.332 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cnn(CC(F)(F)F)c1)OC ZINC000421158101 419507441 /nfs/dbraw/zinc/50/74/41/419507441.db2.gz RNGCZNQYGCDDDW-VIFPVBQESA-N -1 1 315.317 1.612 20 0 DDADMM Cc1c(S(=O)(=O)[N-]c2cc3[nH]ncc3c(C)c2)cnn1C ZINC000427341507 419640017 /nfs/dbraw/zinc/64/00/17/419640017.db2.gz FIPDQSZEXGFELH-UHFFFAOYSA-N -1 1 305.363 1.714 20 0 DDADMM CO[C@@H](C)c1noc(CN(C)C(=O)c2ncc(C)cc2[O-])n1 ZINC000427237233 419624572 /nfs/dbraw/zinc/62/45/72/419624572.db2.gz COYHMWWPENBZLW-VIFPVBQESA-N -1 1 306.322 1.458 20 0 DDADMM CCOC(=O)[C@H](NC(=O)c1ncccc1[O-])c1cccc(O)c1 ZINC000427765246 419731764 /nfs/dbraw/zinc/73/17/64/419731764.db2.gz MFTSFLSAEHKBKS-CYBMUJFWSA-N -1 1 316.313 1.527 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)F)c1cc(F)ccc1Cl ZINC000428372673 419856707 /nfs/dbraw/zinc/85/67/07/419856707.db2.gz JFVLROBZSNAUMA-ZETCQYMHSA-N -1 1 317.716 1.774 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)F)c1ccc(F)cc1F ZINC000428426397 419864788 /nfs/dbraw/zinc/86/47/88/419864788.db2.gz XZSBTJABRDLXCM-SSDOTTSWSA-N -1 1 301.261 1.259 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(-c2cc[nH]n2)s1 ZINC000432145694 229082821 /nfs/dbraw/zinc/08/28/21/229082821.db2.gz VPAUZHRRHCFHGI-UHFFFAOYSA-N -1 1 301.335 1.070 20 0 DDADMM Cc1nc(-c2ccc(NCCC[C@H](C)O)nc2)[n-]c(=O)c1C ZINC000432828141 229131076 /nfs/dbraw/zinc/13/10/76/229131076.db2.gz IOZPFEGKSPZOEA-JTQLQIEISA-N -1 1 302.378 1.856 20 0 DDADMM C[C@@H]1CN(C(C)(C)CN=c2[n-]nc(C(F)F)o2)C[C@H](C)O1 ZINC000429212075 419986024 /nfs/dbraw/zinc/98/60/24/419986024.db2.gz NELUKFYODLGLTH-DTORHVGOSA-N -1 1 304.341 1.729 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ncn(C(C)(C)C)n2)n1 ZINC000415649114 420136125 /nfs/dbraw/zinc/13/61/25/420136125.db2.gz PUBNTLXLSKZPQY-UHFFFAOYSA-N -1 1 306.326 1.185 20 0 DDADMM Cc1cc(C)c(CCNC(=O)CN(C)CCC(=O)[O-])c(C)c1 ZINC000430663709 420174458 /nfs/dbraw/zinc/17/44/58/420174458.db2.gz GZHUSDHTALAOBY-UHFFFAOYSA-N -1 1 306.406 1.677 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](C)c1ccccc1 ZINC000416122862 420248912 /nfs/dbraw/zinc/24/89/12/420248912.db2.gz KITAWBDZQOSVOX-SECBINFHSA-N -1 1 303.318 1.430 20 0 DDADMM CCC1(CC)CCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CC1 ZINC000416212196 420280500 /nfs/dbraw/zinc/28/05/00/420280500.db2.gz YKGNXSDIKDKQNE-UHFFFAOYSA-N -1 1 309.366 1.939 20 0 DDADMM Cc1n[nH]cc1S(=O)(=O)[N-]c1ccc(F)c(-c2nc[nH]n2)c1 ZINC000436477872 420330419 /nfs/dbraw/zinc/33/04/19/420330419.db2.gz NAPFQFQAUWCYBE-UHFFFAOYSA-N -1 1 322.325 1.443 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)COc2ccccc2O)c1 ZINC000436509190 420334545 /nfs/dbraw/zinc/33/45/45/420334545.db2.gz RNISIKCRUJNDMM-UHFFFAOYSA-N -1 1 317.297 1.902 20 0 DDADMM C[C@@H]1C[C@H]1N1C[C@@H](NC(=O)c2ccc(Cl)cc2[O-])CC1=O ZINC000436571985 420341367 /nfs/dbraw/zinc/34/13/67/420341367.db2.gz DTBMAZUHEBIKGO-UBHAPETDSA-N -1 1 308.765 1.785 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cccc2c1OCC2)c1ccco1 ZINC000420676539 420352337 /nfs/dbraw/zinc/35/23/37/420352337.db2.gz QOMPYVKVALTMNA-LBPRGKRZSA-N -1 1 323.370 1.881 20 0 DDADMM COCC[C@H](COC)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436817994 420369427 /nfs/dbraw/zinc/36/94/27/420369427.db2.gz TWIZASWRPHCHBO-SNVBAGLBSA-N -1 1 317.769 1.836 20 0 DDADMM CC[N@H+]1C[C@H](C)N(C(=O)c2cc(NC(C)=O)ccc2O)C[C@@H]1C ZINC000436818000 420369689 /nfs/dbraw/zinc/36/96/89/420369689.db2.gz TWLNFBVTAPFMGA-RYUDHWBXSA-N -1 1 319.405 1.905 20 0 DDADMM CCOC(=O)c1cc(NC(=O)C(=O)c2ccc([O-])cc2)cn1C ZINC000436927150 420384373 /nfs/dbraw/zinc/38/43/73/420384373.db2.gz MPVJKMUIKNUSSH-UHFFFAOYSA-N -1 1 316.313 1.729 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](C)c1ccc(Cl)cn1 ZINC000436997568 420390687 /nfs/dbraw/zinc/39/06/87/420390687.db2.gz GLEPDONKDUNULK-LURJTMIESA-N -1 1 316.770 1.483 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)c1ccc(Cl)cn1 ZINC000436997568 420390692 /nfs/dbraw/zinc/39/06/92/420390692.db2.gz GLEPDONKDUNULK-LURJTMIESA-N -1 1 316.770 1.483 20 0 DDADMM COCCOCCCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436767267 420366424 /nfs/dbraw/zinc/36/64/24/420366424.db2.gz GISYMYLSYVBSGV-UHFFFAOYSA-N -1 1 317.769 1.837 20 0 DDADMM Cn1cc(CCC(=O)NC(C)(C)c2nn[n-]n2)c2ccccc21 ZINC000446312039 230251749 /nfs/dbraw/zinc/25/17/49/230251749.db2.gz SLZFTPHGULQZON-UHFFFAOYSA-N -1 1 312.377 1.676 20 0 DDADMM CC(C)(C)OC(=O)N[C@@]1(C)CCCC[C@H]1C(=O)[N-]OCCO ZINC000492306594 420518635 /nfs/dbraw/zinc/51/86/35/420518635.db2.gz HIQFPDFNMJHQHJ-NHYWBVRUSA-N -1 1 316.398 1.500 20 0 DDADMM COC(=O)CCc1nnc(NC(=O)c2ccc(O)cc2[O-])s1 ZINC000439049898 420477267 /nfs/dbraw/zinc/47/72/67/420477267.db2.gz AELVKKXONXXQIP-UHFFFAOYSA-N -1 1 323.330 1.307 20 0 DDADMM CNC(=O)CCCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442653180 420694875 /nfs/dbraw/zinc/69/48/75/420694875.db2.gz RGEXFFSAMJEBEK-UHFFFAOYSA-N -1 1 300.742 1.310 20 0 DDADMM C[C@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)CC(C)(C)C ZINC000442726992 420704335 /nfs/dbraw/zinc/70/43/35/420704335.db2.gz USZXLTMVCIWMFX-SNVBAGLBSA-N -1 1 305.382 1.610 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1CCO[C@H]1C)[C@@H](C)CC ZINC000442751501 420707141 /nfs/dbraw/zinc/70/71/41/420707141.db2.gz BXZDZXVOEQOZFA-NNYUYHANSA-N -1 1 307.412 1.061 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2CCN([C@@H](C)CC)CC2)s1 ZINC000454287628 420832140 /nfs/dbraw/zinc/83/21/40/420832140.db2.gz HCEKBAHGSNONAV-NSHDSACASA-N -1 1 311.455 1.861 20 0 DDADMM O=C(C=Cc1nc2ccccc2o1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000492210773 421213937 /nfs/dbraw/zinc/21/39/37/421213937.db2.gz ZWGFKDNGXKURFS-TVRMLOFPSA-N -1 1 324.344 1.760 20 0 DDADMM CC[C@H](O)CC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000450601701 421215991 /nfs/dbraw/zinc/21/59/91/421215991.db2.gz PZDMNLOTLWSFMY-VIFPVBQESA-N -1 1 304.343 1.297 20 0 DDADMM C[C@H](C(=O)NC(C)(C)C)N(C)C(=O)c1cccc2nn[nH]c21 ZINC000525873655 421309078 /nfs/dbraw/zinc/30/90/78/421309078.db2.gz PAXLGNMAJXRZQW-SECBINFHSA-N -1 1 303.366 1.333 20 0 DDADMM CC(C)(C)c1cnc(NC(=O)c2cc(=O)n3[n-]cnc3n2)s1 ZINC000546796880 421311342 /nfs/dbraw/zinc/31/13/42/421311342.db2.gz GPAOCBUSNRTTGB-UHFFFAOYSA-N -1 1 318.362 1.424 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)c2ccccc21 ZINC000546131029 421286182 /nfs/dbraw/zinc/28/61/82/421286182.db2.gz UHNPJDUBEVBPJE-GWCFXTLKSA-N -1 1 323.356 1.786 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1CCCN1C(=O)c1cccc2nn[nH]c21 ZINC000562315913 421351365 /nfs/dbraw/zinc/35/13/65/421351365.db2.gz YQBSJQBRKWYIOI-GFCCVEGCSA-N -1 1 316.361 1.904 20 0 DDADMM CNC(=O)Cc1noc(CSc2nc(C3CC3)cc(=O)[n-]2)n1 ZINC000562438118 421365691 /nfs/dbraw/zinc/36/56/91/421365691.db2.gz KCWBWGRMKOVSLE-UHFFFAOYSA-N -1 1 321.362 1.023 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@H]1CC[C@@H](C(F)(F)F)C1 ZINC000562699702 421401365 /nfs/dbraw/zinc/40/13/65/421401365.db2.gz YECYMVQSQWSKDV-NTSWFWBYSA-N -1 1 305.260 1.081 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccccc2Cl)[n-]n1C ZINC000548149752 421407154 /nfs/dbraw/zinc/40/71/54/421407154.db2.gz BAOBMQNLDPXFNU-UHFFFAOYSA-N -1 1 301.755 1.305 20 0 DDADMM CC1(C)CC(CNC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000548046895 421392747 /nfs/dbraw/zinc/39/27/47/421392747.db2.gz SVXBLDGYLYLQSX-UHFFFAOYSA-N -1 1 324.454 1.218 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2ccncc2n1 ZINC000514856451 421459220 /nfs/dbraw/zinc/45/92/20/421459220.db2.gz HPWPIRLLRWXSGG-UHFFFAOYSA-N -1 1 309.333 1.342 20 0 DDADMM C[C@H](C(=O)NCc1cccs1)N1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000563122845 421459991 /nfs/dbraw/zinc/45/99/91/421459991.db2.gz FCSZFFGORMJMDP-WZRBSPASSA-N -1 1 310.419 1.795 20 0 DDADMM O=C([O-])C1(O)CCN(CCOc2ccc(F)cc2Cl)CC1 ZINC000563278594 421481157 /nfs/dbraw/zinc/48/11/57/421481157.db2.gz TWNYJMYBIGAURB-UHFFFAOYSA-N -1 1 317.744 1.769 20 0 DDADMM COC(=O)c1sccc1NC(=O)CN(C)CCCC(=O)[O-] ZINC000515488290 421505576 /nfs/dbraw/zinc/50/55/76/421505576.db2.gz PKMIXWHHSDWNKK-UHFFFAOYSA-N -1 1 314.363 1.270 20 0 DDADMM O=C(c1cc(O)ccc1Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000551902666 421551887 /nfs/dbraw/zinc/55/18/87/421551887.db2.gz WFTCALBNOWYVMH-QMMMGPOBSA-N -1 1 307.741 1.579 20 0 DDADMM O=C([C@@H]1CC[C@H](C(F)(F)F)C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000552121280 421574383 /nfs/dbraw/zinc/57/43/83/421574383.db2.gz ZLGYZHINKCAXBH-BBBLOLIVSA-N -1 1 317.315 1.884 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](CO)CC3CCC3)cnc2n1 ZINC000552235359 421581487 /nfs/dbraw/zinc/58/14/87/421581487.db2.gz RSGPAFJQXVUIIB-GFCCVEGCSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@@H](CO)CC3CCC3)c[n-]c2n1 ZINC000552235359 421581490 /nfs/dbraw/zinc/58/14/90/421581490.db2.gz RSGPAFJQXVUIIB-GFCCVEGCSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCN(CC(F)(F)F)C2)c([O-])c1 ZINC000516222195 421534302 /nfs/dbraw/zinc/53/43/02/421534302.db2.gz BCTJKERTPDRYJP-SECBINFHSA-N -1 1 303.284 1.462 20 0 DDADMM COc1ncccc1CN1CCC([C@@H]2OCC[C@@H]2C(=O)[O-])CC1 ZINC000517241806 421605193 /nfs/dbraw/zinc/60/51/93/421605193.db2.gz SQFQMRFGTXUFOS-GJZGRUSLSA-N -1 1 320.389 1.792 20 0 DDADMM Cn1[n-]nnc1=NC[C@@H](c1cccc(Cl)c1)N1CCCC1 ZINC000518065961 421639507 /nfs/dbraw/zinc/63/95/07/421639507.db2.gz RERKZGVUHFXUMD-ZDUSSCGKSA-N -1 1 306.801 1.534 20 0 DDADMM C[C@H]1CC[S@@](=O)CCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000519803165 421729476 /nfs/dbraw/zinc/72/94/76/421729476.db2.gz OPFOLDRIALPFIQ-GWNMQOMSSA-N -1 1 313.448 1.122 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@@H](CO)O1)c1cc2ccccc2o1 ZINC000519857628 421735274 /nfs/dbraw/zinc/73/52/74/421735274.db2.gz COMHQWGZEKQMJT-NEPJUHHUSA-N -1 1 311.359 1.251 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc(-n2ccnc2)c1)c1nn[n-]n1 ZINC000541843896 421807053 /nfs/dbraw/zinc/80/70/53/421807053.db2.gz PAPIFXZJIWMLGV-LLVKDONJSA-N -1 1 311.349 1.261 20 0 DDADMM Cn1[n-]c(CN(Cc2cccs2)C[C@@H]2CCCO2)nc1=O ZINC000542778529 421828976 /nfs/dbraw/zinc/82/89/76/421828976.db2.gz BIKPOPDACSIWQL-NSHDSACASA-N -1 1 308.407 1.351 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)c1cc[nH]c(=O)c1 ZINC000521657822 421796961 /nfs/dbraw/zinc/79/69/61/421796961.db2.gz AADBRFADFSWVQH-ZETCQYMHSA-N -1 1 320.374 1.804 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCO[C@@H](c2cccs2)C1 ZINC000633337595 421850568 /nfs/dbraw/zinc/85/05/68/421850568.db2.gz CTJNQYQXUXBIPF-NXEZZACHSA-N -1 1 307.379 1.230 20 0 DDADMM Cc1oc(CN(C)C(=O)[C@@H]2CCCN2C2CC2)cc1C(=O)[O-] ZINC000630132793 421908667 /nfs/dbraw/zinc/90/86/67/421908667.db2.gz PPYXRMJCSSFVRT-AWEZNQCLSA-N -1 1 306.362 1.871 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@H]1CCCN1C1CC1)Cc1ccccc1 ZINC000630137900 421911553 /nfs/dbraw/zinc/91/15/53/421911553.db2.gz ZOTHTURGZPOCLM-GDBMZVCRSA-N -1 1 316.401 1.673 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@@H]1CCCN1C1CC1)c1ccccc1 ZINC000630142564 421914876 /nfs/dbraw/zinc/91/48/76/421914876.db2.gz WLMDUVDEXLWVJT-GJZGRUSLSA-N -1 1 302.374 1.598 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630223613 421973189 /nfs/dbraw/zinc/97/31/89/421973189.db2.gz JYPBMENXDDUDLV-ZIAGYGMSSA-N -1 1 320.389 1.461 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2scnc2C)c1 ZINC000631901840 421924868 /nfs/dbraw/zinc/92/48/68/421924868.db2.gz PONHVYZMJVDBSC-UHFFFAOYSA-N -1 1 314.388 1.644 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3c2OCO3)CC1 ZINC000630222509 421973546 /nfs/dbraw/zinc/97/35/46/421973546.db2.gz FUHXPDZGCMCVPV-LLVKDONJSA-N -1 1 320.345 1.036 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N(CC(=O)[O-])Cc1ccc(F)cc1 ZINC000630184286 421943698 /nfs/dbraw/zinc/94/36/98/421943698.db2.gz JNIANDKBKWFOGJ-NSHDSACASA-N -1 1 319.336 1.841 20 0 DDADMM COC[C@@H]1CCCN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000631958704 421973980 /nfs/dbraw/zinc/97/39/80/421973980.db2.gz ARZMVVQVYRGSJN-LLVKDONJSA-N -1 1 315.391 1.448 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCN(c2ccccc2)CC1 ZINC000635395982 421949190 /nfs/dbraw/zinc/94/91/90/421949190.db2.gz UFDWAVBTNZBTQV-UHFFFAOYSA-N -1 1 314.393 1.261 20 0 DDADMM O=C(c1ncccc1[O-])N1CCc2[nH]cnc2C12CCOCC2 ZINC000633526910 421950242 /nfs/dbraw/zinc/95/02/42/421950242.db2.gz AFNPRTDUQFYRPR-UHFFFAOYSA-N -1 1 314.345 1.215 20 0 DDADMM O=C(c1ncccc1[O-])N1CCc2nc[nH]c2C12CCOCC2 ZINC000633526910 421950250 /nfs/dbraw/zinc/95/02/50/421950250.db2.gz AFNPRTDUQFYRPR-UHFFFAOYSA-N -1 1 314.345 1.215 20 0 DDADMM Cc1onc(CO)c1C(=O)[N-]c1nnc(C(F)(F)F)s1 ZINC000581734336 422036182 /nfs/dbraw/zinc/03/61/82/422036182.db2.gz HVEAMCZROVHYLK-UHFFFAOYSA-N -1 1 308.241 1.598 20 0 DDADMM NC(=O)C[C@H]1CCCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000630346874 422036736 /nfs/dbraw/zinc/03/67/36/422036736.db2.gz ARDFLUYICMQTRL-SNVBAGLBSA-N -1 1 318.402 1.838 20 0 DDADMM COc1ccccc1CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630225350 421976695 /nfs/dbraw/zinc/97/66/95/421976695.db2.gz SFXNCMRAAMAOTF-ZDUSSCGKSA-N -1 1 320.389 1.245 20 0 DDADMM Cc1scc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1C ZINC000630228366 421977961 /nfs/dbraw/zinc/97/79/61/421977961.db2.gz XWARJTYUMREPBW-UHFFFAOYSA-N -1 1 310.419 1.986 20 0 DDADMM CO[C@@H](CNC(=O)Cc1ccc([O-])c(Cl)c1)[C@H]1CCOC1 ZINC000633607569 421996409 /nfs/dbraw/zinc/99/64/09/421996409.db2.gz XMTNNKDXWRNPJA-FZMZJTMJSA-N -1 1 313.781 1.756 20 0 DDADMM COc1ccc([C@@H]2CCN(C(=O)CCCc3nn[n-]n3)C2)cc1 ZINC000635464448 422014845 /nfs/dbraw/zinc/01/48/45/422014845.db2.gz ZOYNNFNVWKUSHM-CYBMUJFWSA-N -1 1 315.377 1.547 20 0 DDADMM Cc1ccc(OCCCNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635467672 422018457 /nfs/dbraw/zinc/01/84/57/422018457.db2.gz VUPCICPITSWWPK-UHFFFAOYSA-N -1 1 303.366 1.416 20 0 DDADMM CO[C@H]1CN(C(=O)c2cccc3n[nH]cc32)[C@](C)(C(=O)[O-])C1 ZINC000630318930 422021489 /nfs/dbraw/zinc/02/14/89/422021489.db2.gz ZVTGZQUIZVZDSA-PSLIRLAXSA-N -1 1 303.318 1.267 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@]2(C)CCCC[C@@H]2O)sn1 ZINC000632068003 422066162 /nfs/dbraw/zinc/06/61/62/422066162.db2.gz XWVJMDWSEKCRJE-CMPLNLGQSA-N -1 1 304.437 1.671 20 0 DDADMM COc1cccc2c1CC[C@@H]2[N-]S(=O)(=O)c1conc1C ZINC000632100536 422090539 /nfs/dbraw/zinc/09/05/39/422090539.db2.gz GKOPOIAKJRIYRS-LBPRGKRZSA-N -1 1 308.359 1.957 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCCc2ccccn2)c1 ZINC000632125214 422104944 /nfs/dbraw/zinc/10/49/44/422104944.db2.gz CPRDLAWHZLVQMU-UHFFFAOYSA-N -1 1 322.386 1.707 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1ccc(C(F)(F)F)cc1 ZINC000630449623 422110923 /nfs/dbraw/zinc/11/09/23/422110923.db2.gz VAAVVEKNZIYKIM-UHFFFAOYSA-N -1 1 313.283 1.510 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](CO)c2ccccc2C)sn1 ZINC000632060265 422058915 /nfs/dbraw/zinc/05/89/15/422058915.db2.gz HNGRLSYVTTWKLH-GFCCVEGCSA-N -1 1 312.416 1.772 20 0 DDADMM COC[C@]1(C)CN(C(=O)Cc2ccc([O-])c(Cl)c2)CCO1 ZINC000633700587 422060209 /nfs/dbraw/zinc/06/02/09/422060209.db2.gz YSTDOEWVGMNERU-HNNXBMFYSA-N -1 1 313.781 1.852 20 0 DDADMM C[C@H](NS(=O)(=O)c1ncc[nH]1)[C@@H]1CCC[N@@H+](C2CCC2)C1 ZINC000632197874 422154596 /nfs/dbraw/zinc/15/45/96/422154596.db2.gz YXEUAXSTMAIYIV-NWDGAFQWSA-N -1 1 312.439 1.341 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC[C@H]2CCO[C@@H](C)C2)sn1 ZINC000632202214 422159273 /nfs/dbraw/zinc/15/92/73/422159273.db2.gz AHMWXKBTGGMOOE-QWRGUYRKSA-N -1 1 304.437 1.935 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C)C(F)(F)[C@@H](C)C1 ZINC000628398042 422165537 /nfs/dbraw/zinc/16/55/37/422165537.db2.gz KBYQLUHRPKDHTE-BQBZGAKWSA-N -1 1 317.292 1.260 20 0 DDADMM Cc1ccccc1O[C@@H](C)CNC(=O)CCCc1nn[n-]n1 ZINC000635617392 422169723 /nfs/dbraw/zinc/16/97/23/422169723.db2.gz ZEMMGDMRONIJHV-LBPRGKRZSA-N -1 1 303.366 1.415 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](O)Cc1ccccc1Cl)c1nn[n-]n1 ZINC000574573046 422135331 /nfs/dbraw/zinc/13/53/31/422135331.db2.gz WEPPMPDLQATAJG-VXGBXAGGSA-N -1 1 323.784 1.414 20 0 DDADMM CN(Cc1nc2c(s1)CCCC2)C(=O)CCCc1nn[n-]n1 ZINC000635643977 422198228 /nfs/dbraw/zinc/19/82/28/422198228.db2.gz LOPQXFTTWPFPRR-UHFFFAOYSA-N -1 1 320.422 1.516 20 0 DDADMM CCn1cccc(C[N-]S(=O)(=O)c2cc(C)ns2)c1=O ZINC000632286094 422221568 /nfs/dbraw/zinc/22/15/68/422221568.db2.gz GIYLGFFIVJPVIR-UHFFFAOYSA-N -1 1 313.404 1.112 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(F)cc1NC1CC1 ZINC000635678797 422231401 /nfs/dbraw/zinc/23/14/01/422231401.db2.gz JXMWBJTZBCABGT-UHFFFAOYSA-N -1 1 304.329 1.875 20 0 DDADMM CC[C@@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])CCCO1 ZINC000632303655 422235922 /nfs/dbraw/zinc/23/59/22/422235922.db2.gz SVRXHRNMGYBSNK-LLVKDONJSA-N -1 1 315.391 1.590 20 0 DDADMM C[C@H]1CCNC(=O)[C@@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632305224 422237230 /nfs/dbraw/zinc/23/72/30/422237230.db2.gz OSUOHDRJJLWYQG-IMTBSYHQSA-N -1 1 308.306 1.020 20 0 DDADMM COC[C@](C)(CCO)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632323682 422248800 /nfs/dbraw/zinc/24/88/00/422248800.db2.gz XLISEKMAEFQZEQ-AWEZNQCLSA-N -1 1 301.770 1.492 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCCSC2)c1 ZINC000632338761 422260305 /nfs/dbraw/zinc/26/03/05/422260305.db2.gz QZAYOQYWQMPHMO-SNVBAGLBSA-N -1 1 317.432 1.822 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1(Cc2ccc(F)cc2)CC1 ZINC000635775256 422333829 /nfs/dbraw/zinc/33/38/29/422333829.db2.gz LEMHKAADHKHWKG-UHFFFAOYSA-N -1 1 317.368 1.801 20 0 DDADMM COc1cccc(C[C@H]2CCCN2C(=O)CCc2nn[n-]n2)c1 ZINC000630788250 422336824 /nfs/dbraw/zinc/33/68/24/422336824.db2.gz HFARBMWHRMGXFU-CYBMUJFWSA-N -1 1 315.377 1.375 20 0 DDADMM COCCCCN(C)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632469729 422370169 /nfs/dbraw/zinc/37/01/69/422370169.db2.gz MEVXRAQKEIXCMC-UHFFFAOYSA-N -1 1 303.380 1.448 20 0 DDADMM CC1(C)C[C@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CO1 ZINC000632544264 422424283 /nfs/dbraw/zinc/42/42/83/422424283.db2.gz AVSPJWUZNIHXHO-LURJTMIESA-N -1 1 313.301 1.274 20 0 DDADMM O=S(=O)([N-]CCO[C@@H]1CC1(F)F)c1ccc(C(F)F)o1 ZINC000632553339 422431695 /nfs/dbraw/zinc/43/16/95/422431695.db2.gz KIEKTNRMTVUXCE-SSDOTTSWSA-N -1 1 317.260 1.920 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1ccc(C2CC2)cc1F ZINC000635952335 422505687 /nfs/dbraw/zinc/50/56/87/422505687.db2.gz RRYMOKMKFLWKSI-UHFFFAOYSA-N -1 1 303.341 1.855 20 0 DDADMM CC[C@@H](O)Cn1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634628254 422513478 /nfs/dbraw/zinc/51/34/78/422513478.db2.gz UTJSPOJNGWSALX-CABCVRRESA-N -1 1 301.390 1.478 20 0 DDADMM O=C(CN1CCC[C@@H]1c1ccc(F)cc1F)Nc1nnn[n-]1 ZINC000578163431 422515645 /nfs/dbraw/zinc/51/56/45/422515645.db2.gz QGZJWJLDCLQTCG-LLVKDONJSA-N -1 1 308.292 1.254 20 0 DDADMM O=C(CN1CCC[C@@H]1c1ccc(F)cc1F)Nc1nn[n-]n1 ZINC000578163431 422515650 /nfs/dbraw/zinc/51/56/50/422515650.db2.gz QGZJWJLDCLQTCG-LLVKDONJSA-N -1 1 308.292 1.254 20 0 DDADMM CC1(C)CN(C(=O)CCCc2nn[n-]n2)[C@H]1c1ccncc1 ZINC000635962662 422518323 /nfs/dbraw/zinc/51/83/23/422518323.db2.gz WVDMHKXAAHJHLQ-AWEZNQCLSA-N -1 1 300.366 1.527 20 0 DDADMM CCC[C@H](CNS(=O)(=O)c1cc(OC)ccc1[O-])OCC ZINC000632590097 422458012 /nfs/dbraw/zinc/45/80/12/422458012.db2.gz IYMJWGSRCREBQW-GFCCVEGCSA-N -1 1 317.407 1.884 20 0 DDADMM C[C@@H]1CCc2[n-]n(-c3nccc(N4CCOCC4)n3)c(=O)c21 ZINC000634606772 422502256 /nfs/dbraw/zinc/50/22/56/422502256.db2.gz BARBEZNIIQYZHP-ZWNOBZJWSA-N -1 1 301.350 1.062 20 0 DDADMM C[C@@H]1C[C@H](C(F)(F)F)CCN1C(=O)CCCc1nn[n-]n1 ZINC000635983280 422537177 /nfs/dbraw/zinc/53/71/77/422537177.db2.gz JXCNTJQXRDQRTO-RKDXNWHRSA-N -1 1 305.304 1.712 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCn2cnnc2C(C)C)sn1 ZINC000634658759 422540631 /nfs/dbraw/zinc/54/06/31/422540631.db2.gz WVYUTCPULQPBHP-UHFFFAOYSA-N -1 1 315.424 1.145 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2CCc3cccnc32)sn1 ZINC000632731809 422547190 /nfs/dbraw/zinc/54/71/90/422547190.db2.gz QXXGXLBIGOOGOJ-NSHDSACASA-N -1 1 309.416 1.855 20 0 DDADMM C[C@](O)(CN1CCc2ccc(C(F)(F)F)cc2C1)C(=O)[O-] ZINC000634692424 422552774 /nfs/dbraw/zinc/55/27/74/422552774.db2.gz AXUYEPSVKPMOKY-ZDUSSCGKSA-N -1 1 303.280 1.899 20 0 DDADMM COc1ccc(COCCN2C[C@@H](OC)C[C@@]2(C)C(=O)[O-])cc1 ZINC000634695384 422555112 /nfs/dbraw/zinc/55/51/12/422555112.db2.gz UJAKWSMAOPKYPM-RDJZCZTQSA-N -1 1 323.389 1.776 20 0 DDADMM O=C(N[C@@H]1CCOC[C@H]1O)c1ccc(C(F)(F)F)cc1[O-] ZINC000629247582 422558694 /nfs/dbraw/zinc/55/86/94/422558694.db2.gz YOIXUUQWZADDSR-MWLCHTKSSA-N -1 1 305.252 1.291 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@@H]2CC[C@H]3C[C@H]32)c1 ZINC000578485765 422577291 /nfs/dbraw/zinc/57/72/91/422577291.db2.gz QCKKEJRCSBSJBM-JBLDHEPKSA-N -1 1 324.402 1.675 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@@H]1CCCN(c2ccccc2)C1 ZINC000632796310 422585008 /nfs/dbraw/zinc/58/50/08/422585008.db2.gz TULZTGPIEWIAIC-ZDUSSCGKSA-N -1 1 314.393 1.165 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)c2cnc(C)n2C)cc1F ZINC000629290279 422586076 /nfs/dbraw/zinc/58/60/76/422586076.db2.gz RMUIJCCDQLSLPK-UHFFFAOYSA-N -1 1 317.317 1.816 20 0 DDADMM CC(C)C[C@H](C(N)=O)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629380150 422641207 /nfs/dbraw/zinc/64/12/07/422641207.db2.gz LHMANFPPZBYQFG-CYBMUJFWSA-N -1 1 316.361 1.664 20 0 DDADMM C[C@@H](C(=O)NCc1cccs1)N1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000629501204 422703144 /nfs/dbraw/zinc/70/31/44/422703144.db2.gz LEGQTDSCUJOATR-NTZNESFSSA-N -1 1 310.419 1.795 20 0 DDADMM Cc1ccc(-c2n[nH]c(=O)[n-]2)cc1NC(=O)CCc1nc[nH]n1 ZINC000579010463 422690667 /nfs/dbraw/zinc/69/06/67/422690667.db2.gz JGFMUZSTULTKFD-UHFFFAOYSA-N -1 1 313.321 1.175 20 0 DDADMM O=C(C(=O)N1CCC(Cn2cnnc2)CC1)c1ccc([O-])cc1 ZINC000652848130 423171175 /nfs/dbraw/zinc/17/11/75/423171175.db2.gz PITWVMSNVVMAQW-UHFFFAOYSA-N -1 1 314.345 1.105 20 0 DDADMM Cn1cnc(CCC[N-]S(=O)(=O)c2sccc2Cl)n1 ZINC000645803945 423195745 /nfs/dbraw/zinc/19/57/45/423195745.db2.gz RSXWCFWJUCVHLO-UHFFFAOYSA-N -1 1 320.827 1.441 20 0 DDADMM CCN(CC)CCS(=O)(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000645934946 423249176 /nfs/dbraw/zinc/24/91/76/423249176.db2.gz OPUHBQYJDQRTGD-UHFFFAOYSA-N -1 1 314.407 1.146 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1C[C@@H]2CC[C@H](O)C[C@@H]2C1 ZINC000643666091 423254103 /nfs/dbraw/zinc/25/41/03/423254103.db2.gz ZSJCCGGUTQTDPG-TUAOUCFPSA-N -1 1 313.357 1.156 20 0 DDADMM CO[C@@H](CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)C(F)(F)F ZINC000653028428 423266124 /nfs/dbraw/zinc/26/61/24/423266124.db2.gz DPOXUKZILJQYRB-YUMQZZPRSA-N -1 1 323.271 1.449 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1[nH]nc2c1CCCC2)c1cccs1 ZINC000648334385 423322769 /nfs/dbraw/zinc/32/27/69/423322769.db2.gz JTJNELFKUWXLNV-GFCCVEGCSA-N -1 1 305.359 1.906 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)c1cccc2c[nH]nc21 ZINC000643849034 423377959 /nfs/dbraw/zinc/37/79/59/423377959.db2.gz RHMILANBECYQSH-HNNXBMFYSA-N -1 1 303.318 1.269 20 0 DDADMM COc1ccc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)cc1F ZINC000643909391 423401793 /nfs/dbraw/zinc/40/17/93/423401793.db2.gz WBJGBWAMZYVLGZ-UHFFFAOYSA-N -1 1 317.280 1.126 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NC[C@](C)(C(=O)[O-])c1ccccc1 ZINC000653323711 423441135 /nfs/dbraw/zinc/44/11/35/423441135.db2.gz YTPBOFXETXTWED-PBHICJAKSA-N -1 1 304.390 1.629 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1CCc2cc(Br)ccc21 ZINC000648788785 423464258 /nfs/dbraw/zinc/46/42/58/423464258.db2.gz WZYSQXNNJFLCNN-VIFPVBQESA-N -1 1 308.139 1.631 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1CCc2cc(Br)ccc21 ZINC000648788785 423464261 /nfs/dbraw/zinc/46/42/61/423464261.db2.gz WZYSQXNNJFLCNN-VIFPVBQESA-N -1 1 308.139 1.631 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(N2CCOCC2)cc1 ZINC000646413220 423479392 /nfs/dbraw/zinc/47/93/92/423479392.db2.gz VROISAKCDOTCDS-UHFFFAOYSA-N -1 1 302.334 1.513 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](NC(=O)c1cccs1)C(C)C ZINC000646411855 423480620 /nfs/dbraw/zinc/48/06/20/423480620.db2.gz VLQZTYNUJOWBMX-SNVBAGLBSA-N -1 1 322.390 1.878 20 0 DDADMM CCC(C)(C)c1n[n-]c(=NC[C@H]2CN(CC(C)C)CCO2)o1 ZINC000641264648 423512810 /nfs/dbraw/zinc/51/28/10/423512810.db2.gz OOBZANQPYSOFFM-ZDUSSCGKSA-N -1 1 310.442 1.948 20 0 DDADMM CC[C@H](C)C[C@@H](CO)NC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000649054691 423601076 /nfs/dbraw/zinc/60/10/76/423601076.db2.gz DCCJHFSUIQFFIT-WPRPVWTQSA-N -1 1 313.423 1.739 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C[C@H]1O ZINC000654019599 423672015 /nfs/dbraw/zinc/67/20/15/423672015.db2.gz OONSFJKMFFLWLI-GHMZBOCLSA-N -1 1 305.252 1.243 20 0 DDADMM CC(C)(C)n1nnc(CNC(=O)c2cc(Cl)ccc2[O-])n1 ZINC000654088013 423701825 /nfs/dbraw/zinc/70/18/25/423701825.db2.gz HZOKZYBRRVOACM-UHFFFAOYSA-N -1 1 309.757 1.717 20 0 DDADMM CCC[C@H](C)NC(=O)[C@@H](C)N1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639823931 423760380 /nfs/dbraw/zinc/76/03/80/423760380.db2.gz FJPJEJCLFZRIJT-QJPTWQEYSA-N -1 1 309.414 1.355 20 0 DDADMM CC(C)C[C@H](CNC(=O)N[C@H]1CCc2nc[nH]c2C1)CC(=O)[O-] ZINC000659404124 423799672 /nfs/dbraw/zinc/79/96/72/423799672.db2.gz BNOPYQFPFTZIMY-RYUDHWBXSA-N -1 1 322.409 1.703 20 0 DDADMM CCn1c2ccccc2nc(SCCc2nn[n-]n2)c1=O ZINC000641637964 423784625 /nfs/dbraw/zinc/78/46/25/423784625.db2.gz TUQFVSPYCAADLR-UHFFFAOYSA-N -1 1 302.363 1.264 20 0 DDADMM C[C@@H](CO)[C@@H](C)[N-]S(=O)(=O)c1ncccc1Br ZINC000656913541 423866568 /nfs/dbraw/zinc/86/65/68/423866568.db2.gz GRGGLBLSSLHKQP-JGVFFNPUSA-N -1 1 323.212 1.139 20 0 DDADMM O=S(=O)([N-]CC1CCC1)c1ncccc1Br ZINC000656874468 423826478 /nfs/dbraw/zinc/82/64/78/423826478.db2.gz VDJNMROFGRESCG-UHFFFAOYSA-N -1 1 305.197 1.923 20 0 DDADMM COc1ccc([C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cc1F ZINC000661659005 424049499 /nfs/dbraw/zinc/04/94/99/424049499.db2.gz NWIIPBCIPWNREM-LBPRGKRZSA-N -1 1 306.341 1.593 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CCC[C@@H](C)O2)sc1C ZINC000657155639 424166050 /nfs/dbraw/zinc/16/60/50/424166050.db2.gz QIOWDEGAQZQOAA-KCJUWKMLSA-N -1 1 304.437 1.996 20 0 DDADMM COc1ccc(CN(CCC(=O)[O-])Cc2ccccc2)nn1 ZINC000659789731 424257687 /nfs/dbraw/zinc/25/76/87/424257687.db2.gz INGFLXMIGUTWKI-UHFFFAOYSA-N -1 1 301.346 1.962 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCn2nncc2C1 ZINC000655227716 424314880 /nfs/dbraw/zinc/31/48/80/424314880.db2.gz WDJVPWDFHMHCHL-UHFFFAOYSA-N -1 1 316.365 1.036 20 0 DDADMM C[C@@H](CNC(=O)C(=O)c1ccc([O-])cc1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000655241123 424328485 /nfs/dbraw/zinc/32/84/85/424328485.db2.gz GFHBAZTURZBZLB-RWMBFGLXSA-N -1 1 320.389 1.189 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2ccc(N)cc2F)n1 ZINC000659900526 424351999 /nfs/dbraw/zinc/35/19/99/424351999.db2.gz JLTZJVIEMNROFL-LURJTMIESA-N -1 1 300.315 1.139 20 0 DDADMM CCN(Cc1cn(C)nn1)C(=O)N=c1[n-]sc2ccccc21 ZINC000640338574 424358668 /nfs/dbraw/zinc/35/86/68/424358668.db2.gz DRAHROKPUVZPFC-UHFFFAOYSA-N -1 1 316.390 1.901 20 0 DDADMM CCCNC(=O)CCNC(=O)N=c1[n-]sc2ccccc21 ZINC000640341666 424362169 /nfs/dbraw/zinc/36/21/69/424362169.db2.gz IPHLZCLDPDHZHZ-UHFFFAOYSA-N -1 1 306.391 1.756 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@]2(C)CC2(C)C)c(C(F)(F)F)n1 ZINC000660050740 424485345 /nfs/dbraw/zinc/48/53/45/424485345.db2.gz NRZAHHWJVMXDBX-JTQLQIEISA-N -1 1 311.329 1.906 20 0 DDADMM CC[C@H]1c2ccccc2CCN1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662205823 424472308 /nfs/dbraw/zinc/47/23/08/424472308.db2.gz MLVOKWOITNNMEQ-LSDHHAIUSA-N -1 1 302.374 1.681 20 0 DDADMM COc1ccccc1[C@@H]1CCN([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662206719 424473214 /nfs/dbraw/zinc/47/32/14/424473214.db2.gz SBHSUVFOUHGDRU-OCCSQVGLSA-N -1 1 318.373 1.170 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCC2(CCCC2)O1 ZINC000655682602 424631986 /nfs/dbraw/zinc/63/19/86/424631986.db2.gz WJNMFVFDDYPBIO-SNVBAGLBSA-N -1 1 315.395 1.335 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1O ZINC000665380979 424796867 /nfs/dbraw/zinc/79/68/67/424796867.db2.gz AIOYRNFYTAVWGW-LLVKDONJSA-N -1 1 315.333 1.128 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1cc(F)c(F)cc1F)C2 ZINC000665344777 424788430 /nfs/dbraw/zinc/78/84/30/424788430.db2.gz UYUKIWVJCWHYPK-YMTOWFKASA-N -1 1 301.264 1.637 20 0 DDADMM Cn1[n-]c(CN2CC[C@](F)(c3cc(F)cc(F)c3)C2)nc1=O ZINC000341979333 271264864 /nfs/dbraw/zinc/26/48/64/271264864.db2.gz OBEPAOCWHNIWOP-CQSZACIVSA-N -1 1 312.295 1.457 20 0 DDADMM C[C@@H]1C[C@@H](CCNC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000342359406 271388001 /nfs/dbraw/zinc/38/80/01/271388001.db2.gz PYYJKIBVTQEZPJ-NEPJUHHUSA-N -1 1 315.373 1.858 20 0 DDADMM CCc1cc(C(=O)N2C[C@H](C(F)(F)F)[C@H](C(=O)[O-])C2)n[nH]1 ZINC000344592482 272066516 /nfs/dbraw/zinc/06/65/16/272066516.db2.gz PATGNKBJNTYZBL-SFYZADRCSA-N -1 1 305.256 1.307 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCC1(C2CC2)CCC1 ZINC000344638917 272076325 /nfs/dbraw/zinc/07/63/25/272076325.db2.gz PKKYCVPEPFPLTK-UHFFFAOYSA-N -1 1 303.362 1.214 20 0 DDADMM C[C@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])C[C@H](C)C1 ZINC000344729950 272102580 /nfs/dbraw/zinc/10/25/80/272102580.db2.gz KTMSWWRWNXTGNG-NWDGAFQWSA-N -1 1 305.378 1.412 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(Cc3ccccn3)no2)o1 ZINC000346043705 272397323 /nfs/dbraw/zinc/39/73/23/272397323.db2.gz GAGXEKXZAXBMLR-UHFFFAOYSA-N -1 1 320.330 1.224 20 0 DDADMM COC(=O)[C@@H]1CCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000194635251 277348566 /nfs/dbraw/zinc/34/85/66/277348566.db2.gz RGJASBYLSLHWLA-ZDUSSCGKSA-N -1 1 303.314 1.636 20 0 DDADMM CCC[C@H](NC(=O)c1ccn(C2CCCC2)n1)c1nn[n-]n1 ZINC000120076625 281112576 /nfs/dbraw/zinc/11/25/76/281112576.db2.gz PDVDNNUMEGBGKO-NSHDSACASA-N -1 1 303.370 1.783 20 0 DDADMM CSC[C@H](CCO)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000121191066 281133590 /nfs/dbraw/zinc/13/35/90/281133590.db2.gz NVPZKNATQKEVRI-NSHDSACASA-N -1 1 315.416 1.825 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(C)(C)C1CC1 ZINC000228601572 281871947 /nfs/dbraw/zinc/87/19/47/281871947.db2.gz DWJZIZYNDKTQOG-UHFFFAOYSA-N -1 1 301.368 1.053 20 0 DDADMM COc1cc(C(=O)N(C)CCNC(C)=O)cc(Cl)c1[O-] ZINC000573252645 304586350 /nfs/dbraw/zinc/58/63/50/304586350.db2.gz CBFBQKHPUYHCSK-UHFFFAOYSA-N -1 1 300.742 1.262 20 0 DDADMM CC(C)C1CCC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000353985008 298329653 /nfs/dbraw/zinc/32/96/53/298329653.db2.gz XKBKGOBAOXBGDF-UHFFFAOYSA-N -1 1 317.393 1.610 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1cncc([O-])c1)c1ccc(F)cc1 ZINC000355235671 298681922 /nfs/dbraw/zinc/68/19/22/298681922.db2.gz AAEVITDXAJSXJQ-CQSZACIVSA-N -1 1 318.304 1.613 20 0 DDADMM C[C@H]1CCSCCN1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287554771 300020366 /nfs/dbraw/zinc/02/03/66/300020366.db2.gz JYVCLCAKRDCQBL-JCROAMGPSA-N -1 1 305.407 1.701 20 0 DDADMM Cc1noc([C@H]([N-]S(=O)(=O)CCCF)c2ccccc2)n1 ZINC000363158434 300222686 /nfs/dbraw/zinc/22/26/86/300222686.db2.gz YOUIGTZLJMMTPN-GFCCVEGCSA-N -1 1 313.354 1.746 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c2ccccc2n1 ZINC000363518559 300279349 /nfs/dbraw/zinc/27/93/49/300279349.db2.gz XQTKROAZJFPQAU-AWEZNQCLSA-N -1 1 324.344 1.270 20 0 DDADMM CCc1n[n-]c(=NC(=O)N2CCC(c3cnc[nH]3)CC2)s1 ZINC000363609233 300286112 /nfs/dbraw/zinc/28/61/12/300286112.db2.gz QJHOHHUVLXOXNW-UHFFFAOYSA-N -1 1 306.395 1.657 20 0 DDADMM Cc1nnsc1C(=O)[N-]c1nnc(-c2c[nH]nc2C)s1 ZINC000364290541 300370056 /nfs/dbraw/zinc/37/00/56/300370056.db2.gz MXMKRUAQJUSTBD-UHFFFAOYSA-N -1 1 307.364 1.649 20 0 DDADMM O=C(N[C@H]1C[C@H]2CCCCN2C1=O)c1ccc(Cl)cc1[O-] ZINC000575143888 304719133 /nfs/dbraw/zinc/71/91/33/304719133.db2.gz SUVJKDHLHGQQRP-PWSUYJOCSA-N -1 1 308.765 1.929 20 0 DDADMM CC[C@@H](OC1CCCCC1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370513481 301355103 /nfs/dbraw/zinc/35/51/03/301355103.db2.gz TXPMFJUGWAKEHO-CHWSQXEVSA-N -1 1 323.397 1.228 20 0 DDADMM NC(=O)C1(NC(=O)c2cc3ccccc3cc2[O-])CCOCC1 ZINC000371219728 301435225 /nfs/dbraw/zinc/43/52/25/301435225.db2.gz NKOAOPLTOMSWCK-UHFFFAOYSA-N -1 1 314.341 1.310 20 0 DDADMM O=S(=O)(CC1CC1)[N-][C@H](CO)c1nc(-c2ccccc2)no1 ZINC000372145248 301529826 /nfs/dbraw/zinc/52/98/26/301529826.db2.gz YGPNCUHIDHRHAY-GFCCVEGCSA-N -1 1 323.374 1.099 20 0 DDADMM O=C(Nc1ccc2c(c1)N[C@@H](CO)CO2)c1ccccc1[O-] ZINC000375885361 302010682 /nfs/dbraw/zinc/01/06/82/302010682.db2.gz SVPJPANCONQYJD-NSHDSACASA-N -1 1 300.314 1.810 20 0 DDADMM CC(C)C[C@H](C)OCCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000376794718 302104347 /nfs/dbraw/zinc/10/43/47/302104347.db2.gz QWTOYXJMPDEUEC-QWHCGFSZSA-N -1 1 309.414 1.747 20 0 DDADMM CC(C)[C@@]1(CO)CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000377981883 302262441 /nfs/dbraw/zinc/26/24/41/302262441.db2.gz FLORLWKBQIATJI-KRWDZBQOSA-N -1 1 315.373 1.404 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cc(Br)ccc2[O-])C[C@H]1O ZINC000400425990 302369249 /nfs/dbraw/zinc/36/92/49/302369249.db2.gz ANJGYNIMXYYSKG-PRHODGIISA-N -1 1 314.179 1.998 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@](C)(C(=O)[N-]OCC(C)(C)O)C1 ZINC000495808409 302469213 /nfs/dbraw/zinc/46/92/13/302469213.db2.gz FPYZLGKMBIUKON-HNNXBMFYSA-N -1 1 316.398 1.452 20 0 DDADMM COc1cccc([C@@H]2C[C@H]2CNc2nc3[nH][n-]cc-3c(=O)n2)c1 ZINC000517531808 302814089 /nfs/dbraw/zinc/81/40/89/302814089.db2.gz GRSOXYOVEOUZJK-JQWIXIFHSA-N -1 1 311.345 1.656 20 0 DDADMM C[C@@H](CN(C)C(=O)C1CCc2ccccc2CC1)c1nn[n-]n1 ZINC000529023794 303081816 /nfs/dbraw/zinc/08/18/16/303081816.db2.gz WSHIMCWDXNFKIV-LBPRGKRZSA-N -1 1 313.405 1.957 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000529273136 303106016 /nfs/dbraw/zinc/10/60/16/303106016.db2.gz SJRMDGGSRKUGJT-DTHBNOIPSA-N -1 1 309.366 1.541 20 0 DDADMM Cc1oc(C(=O)[N-]c2ncn(C(C)C)n2)cc1S(C)(=O)=O ZINC000529511786 303134841 /nfs/dbraw/zinc/13/48/41/303134841.db2.gz JQWSUMCDOCQYHD-UHFFFAOYSA-N -1 1 312.351 1.416 20 0 DDADMM O=C(CCC(=O)N1CCC(O)CC1)Nc1cccc(F)c1[O-] ZINC000530006692 303170044 /nfs/dbraw/zinc/17/00/44/303170044.db2.gz SYIRNCCHIKYXJX-UHFFFAOYSA-N -1 1 310.325 1.233 20 0 DDADMM O=C([O-])c1ccc(F)c2c1CN(CCN1CCOCC1)CC2 ZINC000530168010 303178130 /nfs/dbraw/zinc/17/81/30/303178130.db2.gz IDYXUYFNZAFSOQ-UHFFFAOYSA-N -1 1 308.353 1.214 20 0 DDADMM Cn1cnc2cc(C(=O)NC3(c4nn[n-]n4)CCCC3)ccc21 ZINC000532795825 303298015 /nfs/dbraw/zinc/29/80/15/303298015.db2.gz FETNOONFHMGFTI-UHFFFAOYSA-N -1 1 311.349 1.286 20 0 DDADMM O=C(CNC1(C(=O)[O-])CCCC1)NC[C@H]1Cc2ccccc2O1 ZINC000532881347 303300665 /nfs/dbraw/zinc/30/06/65/303300665.db2.gz OGSQTWZLISRLIJ-CYBMUJFWSA-N -1 1 318.373 1.093 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CC[C@H](CO)CC2)sc1C ZINC000533884237 303324335 /nfs/dbraw/zinc/32/43/35/303324335.db2.gz AKDYXJHBNSDXJJ-XYPYZODXSA-N -1 1 304.437 1.589 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cnn(C)c2C(=O)[O-])c(C)c1 ZINC000537639839 303377683 /nfs/dbraw/zinc/37/76/83/303377683.db2.gz JYFOYPPOHOYLGR-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM O=C(N=c1ccn(Cc2ccccc2)[nH]1)C(=O)c1ccc([O-])cc1 ZINC000538348153 303384238 /nfs/dbraw/zinc/38/42/38/303384238.db2.gz JTIPJEDVDXJJJV-UHFFFAOYSA-N -1 1 321.336 1.880 20 0 DDADMM Cc1cnc(C(=O)N2CC(=O)N(CCC(F)(F)F)C2)c([O-])c1 ZINC000562934968 303903426 /nfs/dbraw/zinc/90/34/26/303903426.db2.gz KOVMYYBCPMZBPN-UHFFFAOYSA-N -1 1 317.267 1.290 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccncc2C)c1 ZINC000359674071 306959869 /nfs/dbraw/zinc/95/98/69/306959869.db2.gz BWTQEHHBLKNSLC-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(F)cc1F ZINC000362711569 307026327 /nfs/dbraw/zinc/02/63/27/307026327.db2.gz QVHSMKLKFSZLCL-VIFPVBQESA-N -1 1 307.304 1.806 20 0 DDADMM C[C@@H](Cc1ccccc1F)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366664639 307079207 /nfs/dbraw/zinc/07/92/07/307079207.db2.gz VEOMHFQIKJWYQJ-AAEUAGOBSA-N -1 1 317.368 1.924 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](C)[C@@H]1COc2ccccc21 ZINC000367674144 307101390 /nfs/dbraw/zinc/10/13/90/307101390.db2.gz VNHXDQFABSRHMO-KCJUWKMLSA-N -1 1 323.374 1.239 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)[C@@H]1COc2ccccc21 ZINC000367674144 307101391 /nfs/dbraw/zinc/10/13/91/307101391.db2.gz VNHXDQFABSRHMO-KCJUWKMLSA-N -1 1 323.374 1.239 20 0 DDADMM CCc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)oc1CC ZINC000370738345 307134649 /nfs/dbraw/zinc/13/46/49/307134649.db2.gz SRARQQSRYWJUIC-GFCCVEGCSA-N -1 1 305.338 1.131 20 0 DDADMM O=C([C@@H]1CCC[C@@H]2CCCC[C@H]12)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370739816 307134868 /nfs/dbraw/zinc/13/48/68/307134868.db2.gz LZJLFBRQEHNWHX-FQUUOJAGSA-N -1 1 319.409 1.706 20 0 DDADMM O=C([C@@H]1C[C@@]12CCc1ccccc12)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000371298395 307146802 /nfs/dbraw/zinc/14/68/02/307146802.db2.gz VJSRSWPPVMRLFX-DHSIGJKJSA-N -1 1 323.400 1.810 20 0 DDADMM O=C(C[C@@H]1CCCCNC1=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000374217605 307203910 /nfs/dbraw/zinc/20/39/10/307203910.db2.gz LZGSSWGNWXFDHH-LURJTMIESA-N -1 1 305.260 1.068 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@]2(C)CCN(C(C)=O)C2)c1 ZINC000374485460 307208884 /nfs/dbraw/zinc/20/88/84/307208884.db2.gz RRZSNNLGUURNQS-MRXNPFEDSA-N -1 1 320.345 1.376 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCO[C@@H](C4CC4)C3)cnc2n1 ZINC000375344778 307223296 /nfs/dbraw/zinc/22/32/96/307223296.db2.gz LJYIJBPQPKOVGM-CQSZACIVSA-N -1 1 313.357 1.895 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CCO[C@@H](C3CC3)C1)c2=O ZINC000375344778 307223297 /nfs/dbraw/zinc/22/32/97/307223297.db2.gz LJYIJBPQPKOVGM-CQSZACIVSA-N -1 1 313.357 1.895 20 0 DDADMM CC[C@H](CN=c1ccc(C(=O)N(C)C)n[n-]1)CC(F)(F)F ZINC000402805386 307297422 /nfs/dbraw/zinc/29/74/22/307297422.db2.gz ZMSJVBXXLACTJG-VIFPVBQESA-N -1 1 304.316 1.991 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCC[C@@H](F)C1 ZINC000517032297 307429150 /nfs/dbraw/zinc/42/91/50/307429150.db2.gz TUBWJTQPODWZDJ-NEPJUHHUSA-N -1 1 320.368 1.991 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3cncc([O-])c3)[C@@H]2C1 ZINC000565572730 308033762 /nfs/dbraw/zinc/03/37/62/308033762.db2.gz ZOTPQLFXEWHREF-NILFDRSVSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000566233666 308050876 /nfs/dbraw/zinc/05/08/76/308050876.db2.gz FTAXDVQFNNENPW-ZDUSSCGKSA-N -1 1 307.325 1.718 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)Nc1ccc(CN2CCN(C)CC2)cc1 ZINC000567165518 308073439 /nfs/dbraw/zinc/07/34/39/308073439.db2.gz FUZLJIPWOLZOPB-LBPRGKRZSA-N -1 1 305.378 1.093 20 0 DDADMM CCC[C@H](C)[C@@H](CO)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000568032930 308104598 /nfs/dbraw/zinc/10/45/98/308104598.db2.gz WYRRPPGQTAERNO-GXSJLCMTSA-N -1 1 319.379 1.142 20 0 DDADMM Cn1cc([C@@H]2CN(C(=O)c3cncc([O-])c3)CC2(C)C)cn1 ZINC000569707485 308147503 /nfs/dbraw/zinc/14/75/03/308147503.db2.gz CXDYLESELMVWNU-AWEZNQCLSA-N -1 1 300.362 1.787 20 0 DDADMM C[N@H+](CC(=O)Nc1nn[nH]n1)[C@@H]1CCCC[C@H]1c1ccccc1 ZINC000570661370 308176355 /nfs/dbraw/zinc/17/63/55/308176355.db2.gz GBKUJORKKSDFBP-UONOGXRCSA-N -1 1 314.393 1.796 20 0 DDADMM C[N@@H+](CC(=O)Nc1nn[nH]n1)[C@@H]1CCCC[C@H]1c1ccccc1 ZINC000570661370 308176356 /nfs/dbraw/zinc/17/63/56/308176356.db2.gz GBKUJORKKSDFBP-UONOGXRCSA-N -1 1 314.393 1.796 20 0 DDADMM O=C(N=c1ccc(C(F)(F)F)n[n-]1)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000570811150 308181143 /nfs/dbraw/zinc/18/11/43/308181143.db2.gz QYJDQOGIGRJSPK-DTORHVGOSA-N -1 1 315.299 1.276 20 0 DDADMM C[C@@H](NC(=O)[C@@](C)(N)c1ccc(Br)cc1)C(=O)[O-] ZINC000571288771 308193832 /nfs/dbraw/zinc/19/38/32/308193832.db2.gz HMGBVKRUPXMESO-KRTXAFLBSA-N -1 1 315.167 1.212 20 0 DDADMM C[C@@H](CN1CCN(c2ccccc2)CC1)NC(=O)CCC(=O)[O-] ZINC000571679994 308200234 /nfs/dbraw/zinc/20/02/34/308200234.db2.gz CGDFHVBRCWRDCD-AWEZNQCLSA-N -1 1 319.405 1.178 20 0 DDADMM CC1(C(N)=O)CN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000572289973 308217316 /nfs/dbraw/zinc/21/73/16/308217316.db2.gz AKWUGTKWDOVRKY-UHFFFAOYSA-N -1 1 313.151 1.102 20 0 DDADMM O=C([O-])c1cn(C2CCN(Cc3ccccc3F)CC2)nn1 ZINC000573579239 308244991 /nfs/dbraw/zinc/24/49/91/308244991.db2.gz RNZQZQGYRDMMKN-UHFFFAOYSA-N -1 1 304.325 1.953 20 0 DDADMM COC[C@H](C)n1ccc(=NC(=O)c2c(F)ccc([O-])c2F)[nH]1 ZINC000576148343 308292239 /nfs/dbraw/zinc/29/22/39/308292239.db2.gz BNADZYVVJGFTOQ-QMMMGPOBSA-N -1 1 311.288 1.749 20 0 DDADMM CN1C(=O)NCC12CCN(C(=O)c1ccc([O-])cc1F)CC2 ZINC000576221442 308298100 /nfs/dbraw/zinc/29/81/00/308298100.db2.gz JOMPHQJGFSCBHG-UHFFFAOYSA-N -1 1 307.325 1.161 20 0 DDADMM CC(C)C[C@@H](Nc1nc(C(F)(F)F)nc2[nH]cnc21)C(=O)[O-] ZINC000577045897 308358312 /nfs/dbraw/zinc/35/83/12/308358312.db2.gz PHPNAMQRAXMARQ-ZCFIWIBFSA-N -1 1 317.271 1.705 20 0 DDADMM CS(=O)(=O)[N-]c1ccc(Oc2ncnc3c2CCC3)cn1 ZINC000578692016 308478016 /nfs/dbraw/zinc/47/80/16/308478016.db2.gz ALEAATGECAYJGX-UHFFFAOYSA-N -1 1 306.347 1.524 20 0 DDADMM Cn1[nH]c([C@@H]2CCCN2C(=O)c2ccc(Cl)cc2[O-])nc1=N ZINC000580778041 308630489 /nfs/dbraw/zinc/63/04/89/308630489.db2.gz CGHBCSMLXUPTAT-JTQLQIEISA-N -1 1 321.768 1.564 20 0 DDADMM Cc1nc(C)c(CN2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)s1 ZINC000582366001 326020717 /nfs/dbraw/zinc/02/07/17/326020717.db2.gz VHTCOEKXDRIJDD-NSHDSACASA-N -1 1 321.406 1.887 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1ccc(F)c(Cl)c1 ZINC000582514225 337099953 /nfs/dbraw/zinc/09/99/53/337099953.db2.gz KOUBKJOVPZCTDL-UHFFFAOYSA-N -1 1 319.745 1.667 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc(F)c(Cl)c1 ZINC000582514225 337099954 /nfs/dbraw/zinc/09/99/54/337099954.db2.gz KOUBKJOVPZCTDL-UHFFFAOYSA-N -1 1 319.745 1.667 20 0 DDADMM COc1ccc(OC)c(CN(CCOCC(=O)[O-])C2CC2)c1 ZINC000582611632 337110160 /nfs/dbraw/zinc/11/01/60/337110160.db2.gz GSYHVEHOMQITSI-UHFFFAOYSA-N -1 1 309.362 1.769 20 0 DDADMM Cc1ccc(CNC(=O)C[N@@H+]2C[C@@H](C(=O)[O-])CC[C@@H]2C)cc1F ZINC000397503655 337266967 /nfs/dbraw/zinc/26/69/67/337266967.db2.gz UAKRLFRAZURCGM-JSGCOSHPSA-N -1 1 322.380 1.935 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCC3(O)CCC3)cnc2n1 ZINC000583282290 337304991 /nfs/dbraw/zinc/30/49/91/337304991.db2.gz QHOAUYRJGCRBLF-UHFFFAOYSA-N -1 1 301.346 1.679 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCCC3(O)CCC3)c[n-]c2n1 ZINC000583282290 337304992 /nfs/dbraw/zinc/30/49/92/337304992.db2.gz QHOAUYRJGCRBLF-UHFFFAOYSA-N -1 1 301.346 1.679 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000398997525 337313521 /nfs/dbraw/zinc/31/35/21/337313521.db2.gz KXZYFTRLWLOPOD-MNOVXSKESA-N -1 1 314.341 1.711 20 0 DDADMM O=C([O-])[C@H](CCF)NS(=O)(=O)c1ccc(F)c(F)c1F ZINC000656162768 483987762 /nfs/dbraw/zinc/98/77/62/483987762.db2.gz IIKMRRWFPJUTOY-LURJTMIESA-N -1 1 315.244 1.195 20 0 DDADMM CO[C@@H]1CC[C@@H]2OCCN(C(=O)C(=O)c3ccc([O-])cc3)[C@@H]2C1 ZINC000436990369 484132789 /nfs/dbraw/zinc/13/27/89/484132789.db2.gz RBJLHSJIANNBOM-KFWWJZLASA-N -1 1 319.357 1.370 20 0 DDADMM Cc1ccnc([C@H](NC(=O)C(=O)c2ccc([O-])cc2)C2CC2)n1 ZINC000436985797 484133387 /nfs/dbraw/zinc/13/33/87/484133387.db2.gz PMDQOCNBKCJBPK-CQSZACIVSA-N -1 1 311.341 1.941 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccc(F)c1)[C@@H]1CCOC1 ZINC000656613807 484243687 /nfs/dbraw/zinc/24/36/87/484243687.db2.gz SEKXWDUEXMTTNT-MFKMUULPSA-N -1 1 303.355 1.619 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccc(O)c1F)[C@H]1CCOC1 ZINC000656627083 484249808 /nfs/dbraw/zinc/24/98/08/484249808.db2.gz RUCDPOPRBODXEQ-CABZTGNLSA-N -1 1 319.354 1.325 20 0 DDADMM CN(CC1CC1)S(=O)(=O)[N-]c1ccn(C2CCOCC2)n1 ZINC000656636634 484254095 /nfs/dbraw/zinc/25/40/95/484254095.db2.gz QFASUGVRSQFNNS-UHFFFAOYSA-N -1 1 314.411 1.233 20 0 DDADMM CSc1n[nH]c([N-]S(=O)(=O)CCc2ccc(F)cc2)n1 ZINC000656729517 484305213 /nfs/dbraw/zinc/30/52/13/484305213.db2.gz XMRYDYKYOTZOCQ-UHFFFAOYSA-N -1 1 316.383 1.650 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(C[C@H](C)COC)no2)o1 ZINC000351469715 484488147 /nfs/dbraw/zinc/48/81/47/484488147.db2.gz VTAIAWTUYOVFBE-QMMMGPOBSA-N -1 1 315.351 1.063 20 0 DDADMM CCc1nc(C2CCN(C(=O)[C@@H](C(=O)[O-])C(C)(C)C)CC2)n[nH]1 ZINC000663049812 484628494 /nfs/dbraw/zinc/62/84/94/484628494.db2.gz RAHKIJVHLXHYAW-LBPRGKRZSA-N -1 1 322.409 1.820 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@H](C(=O)[O-])C1CC1)c1cccc(F)c1 ZINC000663075221 484645937 /nfs/dbraw/zinc/64/59/37/484645937.db2.gz HBYAOCZUQOQUIE-ZIAGYGMSSA-N -1 1 308.353 1.655 20 0 DDADMM CCc1c([N-]S(=O)(=O)C[C@H](C)OC)cnn1CC(C)C ZINC000669821377 484653697 /nfs/dbraw/zinc/65/36/97/484653697.db2.gz KWWQEJORNBAXMS-NSHDSACASA-N -1 1 303.428 1.878 20 0 DDADMM COCC(C)(C)N1CCN(C(=O)[C@@H](C(=O)[O-])C(C)(C)C)CC1 ZINC000663116434 484680688 /nfs/dbraw/zinc/68/06/88/484680688.db2.gz HDHINBNDECXJEJ-LBPRGKRZSA-N -1 1 314.426 1.303 20 0 DDADMM Cc1cn(C)nc1NS(=O)(=O)Cc1ccccc1CC(=O)[O-] ZINC000663263809 484771773 /nfs/dbraw/zinc/77/17/73/484771773.db2.gz DMEVEQVXIFQMLV-UHFFFAOYSA-N -1 1 323.374 1.298 20 0 DDADMM O=C(c1nc2c(s1)CCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000667969357 484881679 /nfs/dbraw/zinc/88/16/79/484881679.db2.gz MPHRBIAFIPSMJQ-MRVPVSSYSA-N -1 1 304.379 1.165 20 0 DDADMM CCN(CC(=O)N(C)C)C(=O)c1ncc2ccccc2c1[O-] ZINC000668288606 485079778 /nfs/dbraw/zinc/07/97/78/485079778.db2.gz BJQZQCCILZNXMO-UHFFFAOYSA-N -1 1 301.346 1.491 20 0 DDADMM O=C1CC[C@@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)CCN1 ZINC000668324228 485104566 /nfs/dbraw/zinc/10/45/66/485104566.db2.gz FXFREJNJPYSYHX-SECBINFHSA-N -1 1 320.773 1.426 20 0 DDADMM NC(=O)[C@H]1CCC[C@H](NC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000669002287 485376347 /nfs/dbraw/zinc/37/63/47/485376347.db2.gz XWAYOECVJPKKRY-JQWIXIFHSA-N -1 1 313.357 1.714 20 0 DDADMM Cc1nc([C@H]2CCCN2C(=O)c2ccc3[nH][n-]c(=O)c3c2)no1 ZINC000669296711 485424819 /nfs/dbraw/zinc/42/48/19/485424819.db2.gz XECJEJDKUPGXCX-GFCCVEGCSA-N -1 1 313.317 1.937 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1ccc2[nH]nnc2c1)c1ccccc1F ZINC000678988770 485750888 /nfs/dbraw/zinc/75/08/88/485750888.db2.gz YLFDXROVOGFCIM-ZDUSSCGKSA-N -1 1 314.276 1.653 20 0 DDADMM O=C1CCC[C@H](C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)N1 ZINC000683952218 486180625 /nfs/dbraw/zinc/18/06/25/486180625.db2.gz QQTKPVBNEVZWCX-SSDOTTSWSA-N -1 1 322.308 1.051 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCCc3c[nH]nc32)sc1C ZINC000676417284 486222803 /nfs/dbraw/zinc/22/28/03/486222803.db2.gz FDZCELXIKSEAPI-SNVBAGLBSA-N -1 1 312.420 1.839 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(O)c(C)cc2C)o1 ZINC000680823475 486272020 /nfs/dbraw/zinc/27/20/20/486272020.db2.gz VDOXCQGMEXQWIM-UHFFFAOYSA-N -1 1 324.358 1.762 20 0 DDADMM CCc1ncsc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000676761292 486313826 /nfs/dbraw/zinc/31/38/26/486313826.db2.gz GIQRMFWHYWUVRL-LLVKDONJSA-N -1 1 314.374 1.738 20 0 DDADMM O=S(=O)([N-]CCCn1cncn1)c1c(F)cccc1Cl ZINC000680973914 486315994 /nfs/dbraw/zinc/31/59/94/486315994.db2.gz UUWGFFWIJQQUIA-UHFFFAOYSA-N -1 1 318.761 1.439 20 0 DDADMM O=C(NCc1nnc2n1CCCCC2)c1ccc([O-])c(F)c1 ZINC000681014172 486324040 /nfs/dbraw/zinc/32/40/40/486324040.db2.gz GGONQIWJPRLJCB-UHFFFAOYSA-N -1 1 304.325 1.779 20 0 DDADMM COC[C@@](C)(CO)NC(=O)c1ccc(Br)cc1[O-] ZINC000681070624 486337414 /nfs/dbraw/zinc/33/74/14/486337414.db2.gz FXLBUOVLNDJHQE-GFCCVEGCSA-N -1 1 318.167 1.282 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H](O)C(C)C)c(F)c1 ZINC000676858842 486348725 /nfs/dbraw/zinc/34/87/25/486348725.db2.gz HDPNLTUKAYFSCU-NSHDSACASA-N -1 1 309.334 1.269 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(CCOCc2ccccc2)CC1 ZINC000681190067 486367948 /nfs/dbraw/zinc/36/79/48/486367948.db2.gz RDPYQXGGBFNMKV-UHFFFAOYSA-N -1 1 301.350 1.203 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)cc(C)c1F ZINC000677644963 486513103 /nfs/dbraw/zinc/51/31/03/486513103.db2.gz PTTNLBRKRYNSBU-UHFFFAOYSA-N -1 1 312.326 1.065 20 0 DDADMM CN(CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000677929361 486581571 /nfs/dbraw/zinc/58/15/71/486581571.db2.gz VVQUTUDOLPAGSA-LBPRGKRZSA-N -1 1 300.366 1.042 20 0 DDADMM CCCn1cc(C(=O)[N-]c2nnc(C(F)(F)F)s2)nn1 ZINC000677997787 486604517 /nfs/dbraw/zinc/60/45/17/486604517.db2.gz KELYLKCDNVTICE-UHFFFAOYSA-N -1 1 306.273 1.811 20 0 DDADMM COc1ccc(SCCCC(=O)NCc2nn[n-]n2)cc1 ZINC000682000472 486617617 /nfs/dbraw/zinc/61/76/17/486617617.db2.gz BSVUOZLHPLQECJ-UHFFFAOYSA-N -1 1 307.379 1.397 20 0 DDADMM COc1cccc2c1CCCN2S(=O)(=O)c1c(C)o[n-]c1=N ZINC000432298922 533852902 /nfs/dbraw/zinc/85/29/02/533852902.db2.gz OAXUTWPPPQXCIQ-UHFFFAOYSA-N -1 1 323.374 1.546 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2C[C@H](C)O[C@@H]2C)c1 ZINC000416605277 534322186 /nfs/dbraw/zinc/32/21/86/534322186.db2.gz MMJSQGBDHJLBAO-AEJSXWLSSA-N -1 1 317.363 1.158 20 0 DDADMM CC(=O)[C@@H]1C[C@H](C(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1(C)C ZINC000156637196 518208727 /nfs/dbraw/zinc/20/87/27/518208727.db2.gz ZCVXXCJPPZUOIK-SDDRHHMPSA-N -1 1 305.382 1.157 20 0 DDADMM N=c1nc(N2CCN(CC=Cc3ccncc3)CC2)s[n-]1 ZINC000494973400 534841385 /nfs/dbraw/zinc/84/13/85/534841385.db2.gz MDDVKQKVNMUMAG-UPHRSURJSA-N -1 1 302.407 1.181 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1ccn(-c2ccncc2)n1 ZINC000424068071 534860844 /nfs/dbraw/zinc/86/08/44/534860844.db2.gz YEGBCAHMHYWXSS-UHFFFAOYSA-N -1 1 322.390 1.436 20 0 DDADMM Cc1nc(-c2cccc(CNC(=O)c3ncccc3[O-])c2)n[nH]1 ZINC000153723861 526582915 /nfs/dbraw/zinc/58/29/15/526582915.db2.gz RBTGBFKQYULBGM-UHFFFAOYSA-N -1 1 309.329 1.811 20 0 DDADMM CC(C)(NC(=O)C=Cc1nc2ccccc2s1)c1nn[n-]n1 ZINC000492080686 527263474 /nfs/dbraw/zinc/26/34/74/527263474.db2.gz DFXUYUCYGAKHOQ-BQYQJAHWSA-N -1 1 314.374 1.874 20 0 DDADMM CCC[C@H](C)C(=O)NCCN=c1nc([C@@H](C)OC)[n-]s1 ZINC000431480633 527998023 /nfs/dbraw/zinc/99/80/23/527998023.db2.gz CEJKCXLFDCHVGT-VHSXEESVSA-N -1 1 300.428 1.632 20 0 DDADMM CCCN(C[C@H]1CCCCO1)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000330878381 528128386 /nfs/dbraw/zinc/12/83/86/528128386.db2.gz HAHISDXUFQGEKK-LLVKDONJSA-N -1 1 317.411 1.365 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H]1COc2ccccc2O1)c1nn[n-]n1 ZINC000294996159 528177794 /nfs/dbraw/zinc/17/77/94/528177794.db2.gz PPWXWRFAKKWPRF-MNOVXSKESA-N -1 1 317.349 1.387 20 0 DDADMM CCC[C@H](O)[C@@H](CO)NC(=O)c1ccc2ccccc2c1[O-] ZINC000412515220 528291601 /nfs/dbraw/zinc/29/16/01/528291601.db2.gz JUGXEQLMLJCXMU-CABCVRRESA-N -1 1 303.358 1.797 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccccc1NC(C)=O)c1nn[n-]n1 ZINC000444271819 528977535 /nfs/dbraw/zinc/97/75/35/528977535.db2.gz URCGDHYTNBWKAA-CYBMUJFWSA-N -1 1 316.365 1.358 20 0 DDADMM CCC[C@@H](O)[C@@H](CO)NC(=O)c1ccc2ccccc2c1[O-] ZINC000412515222 529054895 /nfs/dbraw/zinc/05/48/95/529054895.db2.gz JUGXEQLMLJCXMU-HUUCEWRRSA-N -1 1 303.358 1.797 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000330951098 529368894 /nfs/dbraw/zinc/36/88/94/529368894.db2.gz KIYSIIWFEODGFI-SKDRFNHKSA-N -1 1 309.391 1.217 20 0 DDADMM CCOc1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000736643308 598987591 /nfs/dbraw/zinc/98/75/91/598987591.db2.gz GVIFCLLEWJSPIE-UHFFFAOYSA-N -1 1 324.344 1.590 20 0 DDADMM CCOc1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000736643308 598987592 /nfs/dbraw/zinc/98/75/92/598987592.db2.gz GVIFCLLEWJSPIE-UHFFFAOYSA-N -1 1 324.344 1.590 20 0 DDADMM C[C@@H]1CCC[C@H](N(C)C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736249892 598989511 /nfs/dbraw/zinc/98/95/11/598989511.db2.gz LNAMCTAYBNGQAK-PWSUYJOCSA-N -1 1 300.366 1.912 20 0 DDADMM C[C@@H]1CCC[C@H](N(C)C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736249892 598989513 /nfs/dbraw/zinc/98/95/13/598989513.db2.gz LNAMCTAYBNGQAK-PWSUYJOCSA-N -1 1 300.366 1.912 20 0 DDADMM O=C(Nc1ccc2c(c1)CCCO2)c1ccc(-c2nnn[n-]2)nc1 ZINC000738173124 599000851 /nfs/dbraw/zinc/00/08/51/599000851.db2.gz CEXFZRNCLARDLH-UHFFFAOYSA-N -1 1 322.328 1.839 20 0 DDADMM O=C(Nc1ccc2c(c1)CCCO2)c1ccc(-c2nn[n-]n2)nc1 ZINC000738173124 599000853 /nfs/dbraw/zinc/00/08/53/599000853.db2.gz CEXFZRNCLARDLH-UHFFFAOYSA-N -1 1 322.328 1.839 20 0 DDADMM O=C(NC[C@@H]1Cc2ccccc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738052236 599024679 /nfs/dbraw/zinc/02/46/79/599024679.db2.gz SFVMARCEVGHATP-LBPRGKRZSA-N -1 1 306.329 1.331 20 0 DDADMM O=C(NC[C@@H]1Cc2ccccc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738052236 599024681 /nfs/dbraw/zinc/02/46/81/599024681.db2.gz SFVMARCEVGHATP-LBPRGKRZSA-N -1 1 306.329 1.331 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC[C@@H](c2ccco2)C1 ZINC000738259212 599032101 /nfs/dbraw/zinc/03/21/01/599032101.db2.gz OBTVGFRCDACCNV-LLVKDONJSA-N -1 1 310.317 1.484 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC[C@@H](c2ccco2)C1 ZINC000738259212 599032103 /nfs/dbraw/zinc/03/21/03/599032103.db2.gz OBTVGFRCDACCNV-LLVKDONJSA-N -1 1 310.317 1.484 20 0 DDADMM CCC(CC)(CC)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820887946 599351903 /nfs/dbraw/zinc/35/19/03/599351903.db2.gz YMFUODVQHBBCQR-UHFFFAOYSA-N -1 1 324.410 1.509 20 0 DDADMM CCC(CC)(CC)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820887946 599351905 /nfs/dbraw/zinc/35/19/05/599351905.db2.gz YMFUODVQHBBCQR-UHFFFAOYSA-N -1 1 324.410 1.509 20 0 DDADMM Cc1ccccc1[C@@H](C)CC(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000737419934 599183521 /nfs/dbraw/zinc/18/35/21/599183521.db2.gz GQPJWRXSXDESIO-JTQLQIEISA-N -1 1 311.349 1.788 20 0 DDADMM CC[S@@](=O)CCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000736666233 599204263 /nfs/dbraw/zinc/20/42/63/599204263.db2.gz QQZWWFBZOIOUGN-GOSISDBHSA-N -1 1 306.804 1.157 20 0 DDADMM CC[S@@](=O)CCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000736666233 599204265 /nfs/dbraw/zinc/20/42/65/599204265.db2.gz QQZWWFBZOIOUGN-GOSISDBHSA-N -1 1 306.804 1.157 20 0 DDADMM CC(C)[C@@]1(C)NC(=O)N(CN2CCC[C@@H](CC(=O)[O-])C2)C1=O ZINC000817858549 597044213 /nfs/dbraw/zinc/04/42/13/597044213.db2.gz IEQHGSVDCQYHNB-XHDPSFHLSA-N -1 1 311.382 1.097 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CN2C(=O)NC3(CCCCC3)C2=O)C1 ZINC000819381026 597045786 /nfs/dbraw/zinc/04/57/86/597045786.db2.gz YHPXTDCSJKAFHZ-LBPRGKRZSA-N -1 1 323.393 1.385 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)N2CC[C@H](C(=O)[O-])C2)c(OC)c1 ZINC000818599057 597524880 /nfs/dbraw/zinc/52/48/80/597524880.db2.gz LGPZVQUCQKPIGU-QWRGUYRKSA-N -1 1 322.361 1.437 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)N2CC[C@@H](C(=O)[O-])C2)c(OC)c1 ZINC000818599055 597525139 /nfs/dbraw/zinc/52/51/39/597525139.db2.gz LGPZVQUCQKPIGU-GHMZBOCLSA-N -1 1 322.361 1.437 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N1CCC(c2ccccc2)=N1)C(=O)[O-] ZINC000820459744 598187553 /nfs/dbraw/zinc/18/75/53/598187553.db2.gz OMWYNZGZZYMGRD-LRDDRELGSA-N -1 1 317.389 1.712 20 0 DDADMM Cc1cccc(OS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737409179 598259176 /nfs/dbraw/zinc/25/91/76/598259176.db2.gz BKVUBGXWGFIRPS-UHFFFAOYSA-N -1 1 317.330 1.338 20 0 DDADMM Cc1cccc(OS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737409179 598259178 /nfs/dbraw/zinc/25/91/78/598259178.db2.gz BKVUBGXWGFIRPS-UHFFFAOYSA-N -1 1 317.330 1.338 20 0 DDADMM COc1ccc(CN(C)c2nccnc2-c2nnn[n-]2)cc1C ZINC000737090873 598672850 /nfs/dbraw/zinc/67/28/50/598672850.db2.gz NXTGKMGGHDKYBX-UHFFFAOYSA-N -1 1 311.349 1.610 20 0 DDADMM COc1ccc(CN(C)c2nccnc2-c2nn[n-]n2)cc1C ZINC000737090873 598672851 /nfs/dbraw/zinc/67/28/51/598672851.db2.gz NXTGKMGGHDKYBX-UHFFFAOYSA-N -1 1 311.349 1.610 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1CCOc1ccccc1Cl ZINC000738372988 598676780 /nfs/dbraw/zinc/67/67/80/598676780.db2.gz YYEPHAWIMHNZFZ-UHFFFAOYSA-N -1 1 317.736 1.761 20 0 DDADMM CN(Cc1ccccc1)C(=O)CNc1cccc(-c2nnn[n-]2)n1 ZINC000736829069 599413638 /nfs/dbraw/zinc/41/36/38/599413638.db2.gz VZVLJGFKACOUPS-UHFFFAOYSA-N -1 1 323.360 1.332 20 0 DDADMM CN(Cc1ccccc1)C(=O)CNc1cccc(-c2nn[n-]n2)n1 ZINC000736829069 599413641 /nfs/dbraw/zinc/41/36/41/599413641.db2.gz VZVLJGFKACOUPS-UHFFFAOYSA-N -1 1 323.360 1.332 20 0 DDADMM c1cnc(N2CCC[C@@H](Nc3cccc(-c4nnn[n-]4)n3)C2)nc1 ZINC000738446034 599413911 /nfs/dbraw/zinc/41/39/11/599413911.db2.gz RRXHYUMJKLTQOT-LLVKDONJSA-N -1 1 323.364 1.133 20 0 DDADMM c1cnc(N2CCC[C@@H](Nc3cccc(-c4nn[n-]n4)n3)C2)nc1 ZINC000738446034 599413913 /nfs/dbraw/zinc/41/39/13/599413913.db2.gz RRXHYUMJKLTQOT-LLVKDONJSA-N -1 1 323.364 1.133 20 0 DDADMM Cc1ccc2nc(CNc3cccc(-c4nn[n-]n4)n3)cn2c1 ZINC000737374668 599414489 /nfs/dbraw/zinc/41/44/89/599414489.db2.gz RIMYJISUSBZDKY-UHFFFAOYSA-N -1 1 306.333 1.830 20 0 DDADMM c1cnn(-c2cccc(Oc3nccnc3-c3nn[n-]n3)c2)c1 ZINC000738422381 599527580 /nfs/dbraw/zinc/52/75/80/599527580.db2.gz XOVJMOMQIANCQV-UHFFFAOYSA-N -1 1 306.289 1.635 20 0 DDADMM CO[C@H](CNc1c2ccccc2nnc1-c1nnn[n-]1)C(C)C ZINC000821677159 599687058 /nfs/dbraw/zinc/68/70/58/599687058.db2.gz PWMLOOAIXUCYCJ-GFCCVEGCSA-N -1 1 313.365 1.893 20 0 DDADMM CO[C@H](CNc1c2ccccc2nnc1-c1nn[n-]n1)C(C)C ZINC000821677159 599687059 /nfs/dbraw/zinc/68/70/59/599687059.db2.gz PWMLOOAIXUCYCJ-GFCCVEGCSA-N -1 1 313.365 1.893 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N(C)CCc1cccc2ccccc21 ZINC000736208275 599716417 /nfs/dbraw/zinc/71/64/17/599716417.db2.gz MEJCGNQMBFSXNU-ZDUSSCGKSA-N -1 1 314.385 1.903 20 0 DDADMM CN(CC(=O)Nc1cccc(C(=O)[O-])c1)C[C@H]1CCCOC1 ZINC000737397491 599763975 /nfs/dbraw/zinc/76/39/75/599763975.db2.gz UNDKWVNNNZCCMU-GFCCVEGCSA-N -1 1 306.362 1.682 20 0 DDADMM O=C([O-])C[C@H]1CCCC[N@H+]1CN1C(=O)CC2(CCCCC2)C1=O ZINC000739639317 599840702 /nfs/dbraw/zinc/84/07/02/599840702.db2.gz KQTWCTOHCZDWPK-CYBMUJFWSA-N -1 1 322.405 1.983 20 0 DDADMM O=C([O-])C[C@H]1CCCCN1CN1C(=O)CC2(CCCCC2)C1=O ZINC000739639317 599840703 /nfs/dbraw/zinc/84/07/03/599840703.db2.gz KQTWCTOHCZDWPK-CYBMUJFWSA-N -1 1 322.405 1.983 20 0 DDADMM CCOC(=O)c1ccccc1NC(=O)CNC(C)(C)C(=O)[O-] ZINC000737154836 599926969 /nfs/dbraw/zinc/92/69/69/599926969.db2.gz DOJYUEDOVMWORB-UHFFFAOYSA-N -1 1 308.334 1.255 20 0 DDADMM O=C([O-])[C@@H](Cc1c[nH]c2ccccc12)NC(=O)CN1CCCC1 ZINC000739284824 600003276 /nfs/dbraw/zinc/00/32/76/600003276.db2.gz VIUOHPCUPXGKRT-OAHLLOKOSA-N -1 1 315.373 1.376 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc(Br)c1O)C(=O)[O-] ZINC000736576081 600100203 /nfs/dbraw/zinc/10/02/03/600100203.db2.gz TVSBBPQFUHQLNN-SSDOTTSWSA-N -1 1 316.151 1.947 20 0 DDADMM CCOc1ccc([C@@H]2CCCN2CC(=O)NCCC(=O)[O-])cc1 ZINC000737195192 600113539 /nfs/dbraw/zinc/11/35/39/600113539.db2.gz LQCGPFMVQUKLBV-HNNXBMFYSA-N -1 1 320.389 1.813 20 0 DDADMM Cn1cc(-c2nc(CN3CCC[C@@H](C(=O)[O-])C3)cs2)cn1 ZINC000739015063 600129128 /nfs/dbraw/zinc/12/91/28/600129128.db2.gz DKLPKYUVKULQAI-SNVBAGLBSA-N -1 1 306.391 1.840 20 0 DDADMM O=C(CN1CCC2(C[C@@H]2C(=O)[O-])CC1)NC(C1CC1)C1CC1 ZINC000739278536 600288637 /nfs/dbraw/zinc/28/86/37/600288637.db2.gz OUVDHQUNBMGRIJ-CYBMUJFWSA-N -1 1 306.406 1.478 20 0 DDADMM CC(C)C[C@@H](NC(=O)CN1CC[C@H](c2ccco2)C1)C(=O)[O-] ZINC000736414616 600325812 /nfs/dbraw/zinc/32/58/12/600325812.db2.gz ZKZCREGWMJTRQE-QWHCGFSZSA-N -1 1 308.378 1.684 20 0 DDADMM Cc1oc(CN2CCC[C@H](N3CCCC3=O)C2)cc1C(=O)[O-] ZINC000738979319 600335396 /nfs/dbraw/zinc/33/53/96/600335396.db2.gz MDZSUGTXKVUSHW-LBPRGKRZSA-N -1 1 306.362 1.873 20 0 DDADMM O=C([O-])c1coc(CN2CCCC[C@@H]2CN2CCOCC2)c1 ZINC000740269398 600335949 /nfs/dbraw/zinc/33/59/49/600335949.db2.gz QHIIVYISSNKYNZ-CQSZACIVSA-N -1 1 308.378 1.665 20 0 DDADMM O=C([O-])CCN(Cc1ccccc1)Cc1cc(=O)c(O)co1 ZINC000739723135 600399329 /nfs/dbraw/zinc/39/93/29/600399329.db2.gz GFNBMYUIAQVWLS-UHFFFAOYSA-N -1 1 303.314 1.822 20 0 DDADMM O=C([O-])CCN(Cc1ccc(F)c(F)c1)C(=O)c1cnc[nH]1 ZINC000739719978 600442597 /nfs/dbraw/zinc/44/25/97/600442597.db2.gz CONJNDNJEGYLJU-UHFFFAOYSA-N -1 1 309.272 1.805 20 0 DDADMM Cc1[nH]c(C(=O)CN2CCN(C3CCC3)CC2)c(C)c1C(=O)[O-] ZINC000738153581 600508692 /nfs/dbraw/zinc/50/86/92/600508692.db2.gz NTQLGYJTYVHJKG-UHFFFAOYSA-N -1 1 319.405 1.683 20 0 DDADMM CC[C@](C)(NCC(=O)NCCOc1cccc(F)c1)C(=O)[O-] ZINC000736861578 600560097 /nfs/dbraw/zinc/56/00/97/600560097.db2.gz OIDRTTWFCBUQQW-HNNXBMFYSA-N -1 1 312.341 1.164 20 0 DDADMM CC[C@](C)(NCC(=O)NC(=O)Nc1ccc(OC)cc1)C(=O)[O-] ZINC000736861432 600560168 /nfs/dbraw/zinc/56/01/68/600560168.db2.gz MEOLIUWEYLMSLQ-HNNXBMFYSA-N -1 1 323.349 1.186 20 0 DDADMM COC[C@H]1CCN(CC(=O)Nc2cc(C(=O)[O-])ccc2C)C1 ZINC000737752776 600573604 /nfs/dbraw/zinc/57/36/04/600573604.db2.gz YFAWEYQMTGIZDW-LBPRGKRZSA-N -1 1 306.362 1.600 20 0 DDADMM C[C@H](c1ccc(C(=O)[O-])o1)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000736717678 600626638 /nfs/dbraw/zinc/62/66/38/600626638.db2.gz PKWMDGLUEKWXIC-JHJVBQTASA-N -1 1 308.378 1.570 20 0 DDADMM NC(=O)c1ccc(CN[C@@H](C(=O)[O-])c2ccccc2F)cc1 ZINC000833085398 600732857 /nfs/dbraw/zinc/73/28/57/600732857.db2.gz ODCLGBRRHRVBOR-CQSZACIVSA-N -1 1 302.305 1.840 20 0 DDADMM Cn1nc2c(cc1=O)CN([C@H](C(=O)[O-])c1ccc(F)cc1)CC2 ZINC000833060284 600827220 /nfs/dbraw/zinc/82/72/20/600827220.db2.gz BOESDLPNYGZPDR-HNNXBMFYSA-N -1 1 317.320 1.103 20 0 DDADMM C[C@@H](NC(=O)c1ccccc1S[C@@H](C)C(=O)[O-])c1nnc[nH]1 ZINC000827916474 600833589 /nfs/dbraw/zinc/83/35/89/600833589.db2.gz TVMFCHHRFSPYOP-BDAKNGLRSA-N -1 1 320.374 1.861 20 0 DDADMM Cc1nnc(SCC(=O)NCc2ccc(C(=O)[O-])cc2)[nH]1 ZINC000818981367 600835741 /nfs/dbraw/zinc/83/57/41/600835741.db2.gz PIRKOUBUYAOUGO-UHFFFAOYSA-N -1 1 306.347 1.220 20 0 DDADMM Cc1n[nH]c(SCC(=O)NCc2ccc(C(=O)[O-])cc2)n1 ZINC000818981367 600835743 /nfs/dbraw/zinc/83/57/43/600835743.db2.gz PIRKOUBUYAOUGO-UHFFFAOYSA-N -1 1 306.347 1.220 20 0 DDADMM Cc1[nH]c(C(=O)[C@H](C)N2CC[C@@H](CCO)C2)c(C)c1C(=O)[O-] ZINC000738237816 600970631 /nfs/dbraw/zinc/97/06/31/600970631.db2.gz XHBYNXWUPAEGPU-RYUDHWBXSA-N -1 1 308.378 1.605 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN1CC[C@H](CCO)C1 ZINC000738531644 600971625 /nfs/dbraw/zinc/97/16/25/600971625.db2.gz JQHCUIHNLRMTMS-GFCCVEGCSA-N -1 1 306.362 1.336 20 0 DDADMM Cc1cnc(CN(C)[C@@H]2CCN(Cc3ccoc3C(=O)[O-])C2)o1 ZINC000738803552 601104899 /nfs/dbraw/zinc/10/48/99/601104899.db2.gz YXHDFZQXWUOJBD-CYBMUJFWSA-N -1 1 319.361 1.981 20 0 DDADMM Cc1nnc([C@@H]2CN([C@H](C(=O)[O-])c3ccccc3)CCO2)[nH]1 ZINC000738338603 601105460 /nfs/dbraw/zinc/10/54/60/601105460.db2.gz SCCSOLHKINPZBZ-STQMWFEESA-N -1 1 302.334 1.312 20 0 DDADMM Cc1nc([C@H]2CN(Cc3cccc(C(=O)[O-])c3)CCO2)n[nH]1 ZINC000738823830 601105530 /nfs/dbraw/zinc/10/55/30/601105530.db2.gz ONCNZFWAXYBBSH-CYBMUJFWSA-N -1 1 302.334 1.385 20 0 DDADMM NC(=O)C1(NCC(=O)Nc2cccc(C(=O)[O-])c2)CCCCC1 ZINC000833080493 601133798 /nfs/dbraw/zinc/13/37/98/601133798.db2.gz AADZUGJJILZDEM-UHFFFAOYSA-N -1 1 319.361 1.101 20 0 DDADMM COCc1ccc(CNC(=O)CN[C@@](C)(C(=O)[O-])C2CC2)cc1 ZINC000831813084 601175599 /nfs/dbraw/zinc/17/55/99/601175599.db2.gz LDFMVNPKWSXDAM-QGZVFWFLSA-N -1 1 320.389 1.292 20 0 DDADMM O=C([O-])C1CCN(C(=O)Nc2cnn(-c3ccncc3)c2)CC1 ZINC000819271025 601313009 /nfs/dbraw/zinc/31/30/09/601313009.db2.gz IEBVTXCCTHYSRS-UHFFFAOYSA-N -1 1 315.333 1.596 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCN(CCCCO)CC1 ZINC000830376304 601422817 /nfs/dbraw/zinc/42/28/17/601422817.db2.gz WBUMGQVLDWXMHA-UHFFFAOYSA-N -1 1 310.394 1.430 20 0 DDADMM CN(C(=O)CN1C[C@H](C2CCCCC2)C[C@@H]1C(=O)[O-])C1CC1 ZINC000830478154 601431683 /nfs/dbraw/zinc/43/16/83/601431683.db2.gz KTGOGBHJWYPRBX-UKRRQHHQSA-N -1 1 308.422 1.963 20 0 DDADMM C[C@H](C(=O)Nc1ccc(Cl)cn1)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828425368 601507681 /nfs/dbraw/zinc/50/76/81/601507681.db2.gz ZKZQUIWQPLGRIE-FXPVBKGRSA-N -1 1 311.769 1.715 20 0 DDADMM C[C@@H]1CN([C@H](C(=O)NC2CC2)c2ccc(F)cc2)C[C@H]1C(=O)[O-] ZINC000828413165 601523607 /nfs/dbraw/zinc/52/36/07/601523607.db2.gz QHANYYHXJGGQSG-KMUNFCNLSA-N -1 1 320.364 1.798 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)c1c[nH]c2ccccc2c1=O)C1CC1 ZINC000317438608 601527177 /nfs/dbraw/zinc/52/71/77/601527177.db2.gz UDAVINDAAZFXQT-CYBMUJFWSA-N -1 1 300.314 1.924 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CCO[C@H](CC(=O)[O-])C2)o1 ZINC000390311591 601670445 /nfs/dbraw/zinc/67/04/45/601670445.db2.gz GBSFISFYKUTYGA-CYBMUJFWSA-N -1 1 324.377 1.437 20 0 DDADMM CC(C)OC(=O)[C@@H]1CCCN1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000824309907 607520508 /nfs/dbraw/zinc/52/05/08/607520508.db2.gz ZRUOAJQJRUFXND-ZDUSSCGKSA-N -1 1 316.365 1.178 20 0 DDADMM OCCN(CCc1ccccc1)c1cccc(-c2nnn[n-]2)n1 ZINC000826509947 607522280 /nfs/dbraw/zinc/52/22/80/607522280.db2.gz RELDIYFVTNPRSS-UHFFFAOYSA-N -1 1 310.361 1.303 20 0 DDADMM OCCN(CCc1ccccc1)c1cccc(-c2nn[n-]n2)n1 ZINC000826509947 607522281 /nfs/dbraw/zinc/52/22/81/607522281.db2.gz RELDIYFVTNPRSS-UHFFFAOYSA-N -1 1 310.361 1.303 20 0 DDADMM COCc1cccc(S(=O)(=O)Nc2cc(C(=O)[O-])n(C)c2)c1 ZINC000831821540 601783188 /nfs/dbraw/zinc/78/31/88/601783188.db2.gz AINFETOCQWRBPU-UHFFFAOYSA-N -1 1 324.358 1.671 20 0 DDADMM O=C([O-])NC[C@H]1CCN([C@H](C(=O)NC2CC2)c2ccccc2)C1 ZINC000740640910 601956130 /nfs/dbraw/zinc/95/61/30/601956130.db2.gz GCAIZHDCMWZCGN-DOMZBBRYSA-N -1 1 317.389 1.596 20 0 DDADMM C[C@H](CN(C)CC(=O)N1CCN(C(=O)[O-])CC1)c1ccccc1 ZINC000737667369 602041407 /nfs/dbraw/zinc/04/14/07/602041407.db2.gz HEIVIHXUMAWNAJ-CQSZACIVSA-N -1 1 319.405 1.544 20 0 DDADMM CN1CCC[C@@H](NC(=O)[C@H](Cc2ccccc2)NC(=O)[O-])C1 ZINC000739726442 602067337 /nfs/dbraw/zinc/06/73/37/602067337.db2.gz AEPATODZSUGRKF-KGLIPLIRSA-N -1 1 305.378 1.076 20 0 DDADMM CC(C)C[C@H]1CCC(=O)N(CN2CCC[C@H](C(=O)[O-])C2)C1=O ZINC000826832553 602082463 /nfs/dbraw/zinc/08/24/63/602082463.db2.gz UAJOWBKUTHLBKT-OLZOCXBDSA-N -1 1 310.394 1.552 20 0 DDADMM CC(=O)c1cccc(NC(=O)CN[C@H](C(=O)[O-])C(C)(C)C)c1 ZINC000825960931 602224400 /nfs/dbraw/zinc/22/44/00/602224400.db2.gz TXERQBWOTMEDJH-CQSZACIVSA-N -1 1 306.362 1.917 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCCN1CC(=O)N1CCC(C)CC1 ZINC000739113415 602267099 /nfs/dbraw/zinc/26/70/99/602267099.db2.gz PFWPWGSDJKYBDY-ZIAGYGMSSA-N -1 1 311.426 1.756 20 0 DDADMM CC(C)N1CCN(C(=O)[C@@H](NC(=O)[O-])c2ccccc2)CC1 ZINC000738854840 602381937 /nfs/dbraw/zinc/38/19/37/602381937.db2.gz PTRWADSPAXQGJV-AWEZNQCLSA-N -1 1 305.378 1.548 20 0 DDADMM CN(C[C@@H]1CCN(CC(=O)NCC2CCCCC2)C1)C(=O)[O-] ZINC000739660464 602538898 /nfs/dbraw/zinc/53/88/98/602538898.db2.gz IIYKXLUTOAWFJP-AWEZNQCLSA-N -1 1 311.426 1.615 20 0 DDADMM CC(C)n1nnnc1CN[C@]1(CNC(=O)[O-])CCCC[C@@H]1C ZINC000738891210 602553452 /nfs/dbraw/zinc/55/34/52/602553452.db2.gz QVPWSPMUNZXHGK-FZMZJTMJSA-N -1 1 310.402 1.560 20 0 DDADMM Cc1nn(C)c(Cl)c1CN1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000740244410 602579033 /nfs/dbraw/zinc/57/90/33/602579033.db2.gz BOYDIGZDCQXFRU-SNVBAGLBSA-N -1 1 300.790 1.814 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N[C@@H]1CCN(C(=O)[O-])C1 ZINC000739608988 602593940 /nfs/dbraw/zinc/59/39/40/602593940.db2.gz SGTHKYDLFSXMER-ZIAGYGMSSA-N -1 1 305.378 1.028 20 0 DDADMM CCC[C@](C)(NC(=O)[O-])C(=O)N[C@H]1CCCN(CCOC)C1 ZINC000739286548 602677009 /nfs/dbraw/zinc/67/70/09/602677009.db2.gz ACQBFLXEOKKUDW-WFASDCNBSA-N -1 1 315.414 1.040 20 0 DDADMM O=C([O-])NCCNC(=O)c1cccc(Br)c1O ZINC000740659549 602685259 /nfs/dbraw/zinc/68/52/59/602685259.db2.gz YJQOCPWEIWVFFO-UHFFFAOYSA-N -1 1 303.112 1.152 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1c[nH]c2ccccc2c1=O)NC(=O)[O-] ZINC000738786035 602773914 /nfs/dbraw/zinc/77/39/14/602773914.db2.gz SMVQZDLLHGMSMT-CYBMUJFWSA-N -1 1 317.345 1.550 20 0 DDADMM Cc1cc(NC(=O)CCN2C[C@@H](C)N(C(=O)[O-])[C@H](C)C2)no1 ZINC000740083566 602835471 /nfs/dbraw/zinc/83/54/71/602835471.db2.gz DWVCQSFJDIOBHX-NXEZZACHSA-N -1 1 310.354 1.384 20 0 DDADMM C[C@H](CNC(=O)c1ccc(NC(=O)[O-])cc1[O-])C[NH+]1CCCC1 ZINC000738939361 602850842 /nfs/dbraw/zinc/85/08/42/602850842.db2.gz KZYKSDAYBYSECU-LLVKDONJSA-N -1 1 321.377 1.944 20 0 DDADMM CC(C)[N@@H+]1CC[C@@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000738852781 602854320 /nfs/dbraw/zinc/85/43/20/602854320.db2.gz OXFNBJFINHMCDQ-NSHDSACASA-N -1 1 321.377 1.942 20 0 DDADMM CC(C)[N@H+]1CC[C@@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000738852781 602854323 /nfs/dbraw/zinc/85/43/23/602854323.db2.gz OXFNBJFINHMCDQ-NSHDSACASA-N -1 1 321.377 1.942 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)CN(CC1CC1)C(=O)[O-] ZINC000826087524 602957337 /nfs/dbraw/zinc/95/73/37/602957337.db2.gz ONTXVZLHNAVZKV-GXTWGEPZSA-N -1 1 309.410 1.462 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N[C@@H]2CCCN(C(=O)[O-])C2)o1 ZINC000737086844 603190933 /nfs/dbraw/zinc/19/09/33/603190933.db2.gz PRGYLJCVHNORHY-GFCCVEGCSA-N -1 1 323.393 1.994 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)CNC(=O)[O-])N(C)Cc1ccccc1 ZINC000738620527 603291468 /nfs/dbraw/zinc/29/14/68/603291468.db2.gz VPFSFYBEACXSQX-LBPRGKRZSA-N -1 1 307.394 1.669 20 0 DDADMM CN(CC(=O)N(C)C1CCCCC1)C[C@@H]1CCN(C(=O)[O-])C1 ZINC000739618241 603325886 /nfs/dbraw/zinc/32/58/86/603325886.db2.gz YFYRCYGJLXFCOD-ZDUSSCGKSA-N -1 1 311.426 1.709 20 0 DDADMM C[C@H]1CN(CCC(=O)N2CCc3ccccc32)CCN1C(=O)[O-] ZINC000739181547 603355190 /nfs/dbraw/zinc/35/51/90/603355190.db2.gz JWDYLDXSEFFSTG-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM O=S(=O)(N[C@@H]1CC=CCC1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826488785 607620671 /nfs/dbraw/zinc/62/06/71/607620671.db2.gz ZGQGTAWOBYVYKE-SNVBAGLBSA-N -1 1 323.353 1.393 20 0 DDADMM CCC[C@@](C)(NC(=O)[O-])C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000826720560 603483929 /nfs/dbraw/zinc/48/39/29/603483929.db2.gz JWPRSZDSXHHFBX-CQSZACIVSA-N -1 1 318.337 1.632 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1CNCc1cn2ccccc2n1 ZINC000826126677 603565174 /nfs/dbraw/zinc/56/51/74/603565174.db2.gz PODOPNNWAHLMHW-WCQYABFASA-N -1 1 318.377 1.927 20 0 DDADMM C[C@@H](C1CC1)N(C(=O)CN1CCC[C@H]1CN(C)C(=O)[O-])C1CC1 ZINC000824545243 603579288 /nfs/dbraw/zinc/57/92/88/603579288.db2.gz VSRQPAVQHPCBMT-WFASDCNBSA-N -1 1 323.437 1.850 20 0 DDADMM O=S(=O)(NCCC1CC1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826491147 607653546 /nfs/dbraw/zinc/65/35/46/607653546.db2.gz VXPLXHJDCGAABQ-UHFFFAOYSA-N -1 1 311.342 1.084 20 0 DDADMM Cc1nc2ccc(NC(=O)[C@@]3(F)CCN(C(=O)[O-])C3)cc2[nH]1 ZINC000830784788 603825719 /nfs/dbraw/zinc/82/57/19/603825719.db2.gz BASNTOJFJHNRJM-CQSZACIVSA-N -1 1 306.297 1.902 20 0 DDADMM Cc1cnc(N2CCN(C[C@H]3CCCN(C(=O)[O-])C3)CC2)s1 ZINC000830634433 603833606 /nfs/dbraw/zinc/83/36/06/603833606.db2.gz VBPREHDBQNEEPA-CYBMUJFWSA-N -1 1 324.450 1.964 20 0 DDADMM C[C@H](CS(C)(=O)=O)NCc1cccc2c1N(C(=O)[O-])CC2 ZINC000825015093 603861570 /nfs/dbraw/zinc/86/15/70/603861570.db2.gz CBIPFXOKGUPEQX-SNVBAGLBSA-N -1 1 312.391 1.250 20 0 DDADMM C[C@H](CC(=O)NC1CCN(Cc2cccnc2)CC1)NC(=O)[O-] ZINC000824622488 603896220 /nfs/dbraw/zinc/89/62/20/603896220.db2.gz NDBGPERURDCNME-GFCCVEGCSA-N -1 1 320.393 1.208 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(C[C@H](C)CS(C)(=O)=O)CC1 ZINC000824902956 603905229 /nfs/dbraw/zinc/90/52/29/603905229.db2.gz RYUOHJDPLMOBIM-WDEREUQCSA-N -1 1 306.428 1.035 20 0 DDADMM CO[C@H](C)C(=O)Nc1ccc(-c2nc(CNC(=O)[O-])n[nH]2)cc1 ZINC000828824018 603911621 /nfs/dbraw/zinc/91/16/21/603911621.db2.gz MXEOKRLMXXJZNU-MRVPVSSYSA-N -1 1 319.321 1.213 20 0 DDADMM CO[C@H](C)C(=O)Nc1ccc(-c2n[nH]c(CNC(=O)[O-])n2)cc1 ZINC000828824018 603911623 /nfs/dbraw/zinc/91/16/23/603911623.db2.gz MXEOKRLMXXJZNU-MRVPVSSYSA-N -1 1 319.321 1.213 20 0 DDADMM CC(C)C[C@@H](CNC(=O)[O-])NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000824182829 603947321 /nfs/dbraw/zinc/94/73/21/603947321.db2.gz MKEIFHKAAORIRG-QWRGUYRKSA-N -1 1 308.382 1.628 20 0 DDADMM C[C@@H](NC(=O)[O-])C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000825176969 603969132 /nfs/dbraw/zinc/96/91/32/603969132.db2.gz DLOMCAFXZBHJIB-SKDRFNHKSA-N -1 1 313.785 1.715 20 0 DDADMM CCCN(C)CC(=O)Nc1cc2c(cc1NC(=O)[O-])OCO2 ZINC000826893234 604005032 /nfs/dbraw/zinc/00/50/32/604005032.db2.gz QLPNECVGWUADHR-UHFFFAOYSA-N -1 1 309.322 1.786 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N(C)C1CCN(C(=O)[O-])CC1 ZINC000829833779 604025118 /nfs/dbraw/zinc/02/51/18/604025118.db2.gz OLGLECHAHDSYNL-UHFFFAOYSA-N -1 1 323.397 1.435 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(C(=O)N[C@H]2CCCN(C)C2)C1 ZINC000825220925 604122812 /nfs/dbraw/zinc/12/28/12/604122812.db2.gz WTPYGWKZIFLNPR-AVGNSLFASA-N -1 1 312.414 1.158 20 0 DDADMM Cn1cc(NC(=O)N[C@H]2CCCc3cn[nH]c32)cc1C(=O)[O-] ZINC000833051544 604356544 /nfs/dbraw/zinc/35/65/44/604356544.db2.gz PUDNPENNZLVHRR-JTQLQIEISA-N -1 1 303.322 1.646 20 0 DDADMM O=C([O-])N[C@@H]1CCN(C[C@H](O)COc2ccc(Cl)cc2)C1 ZINC000740592923 604373465 /nfs/dbraw/zinc/37/34/65/604373465.db2.gz CNBMHYRREZSVBW-NEPJUHHUSA-N -1 1 314.769 1.422 20 0 DDADMM C[C@@H](C(=O)c1c[nH]c2ncccc12)N1CCC(C(=O)[O-])CC1 ZINC000819901384 604469003 /nfs/dbraw/zinc/46/90/03/604469003.db2.gz BTIKWLLZQXILOK-JTQLQIEISA-N -1 1 301.346 1.931 20 0 DDADMM Cc1sc2[nH]c(CN[C@]3(C(=O)[O-])CCOC3)nc(=O)c2c1C ZINC000833758389 604484837 /nfs/dbraw/zinc/48/48/37/604484837.db2.gz FWBVVEDDDGHBPL-CQSZACIVSA-N -1 1 323.374 1.347 20 0 DDADMM O=C(CN(C[C@@H]1CCCO1)C(=O)[O-])Nc1ccc2[nH]nnc2c1 ZINC000831474308 604525922 /nfs/dbraw/zinc/52/59/22/604525922.db2.gz OKKPRDJMLCPORE-JTQLQIEISA-N -1 1 319.321 1.055 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2cccc(C(=O)[O-])c2)[C@@H](C)CN1C ZINC000833627826 604573839 /nfs/dbraw/zinc/57/38/39/604573839.db2.gz VKXUPZGXYREDIZ-RYUDHWBXSA-N -1 1 305.378 1.348 20 0 DDADMM O=C([O-])N1CCC[C@@H](C(=O)Nc2ncc(C(F)(F)F)[nH]2)C1 ZINC000831809893 604633533 /nfs/dbraw/zinc/63/35/33/604633533.db2.gz FHOLRMGBYCKDBY-ZCFIWIBFSA-N -1 1 306.244 1.757 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)[C@@H]2CCC[C@H](C(=O)[O-])C2)[nH]n1 ZINC000833057388 604645287 /nfs/dbraw/zinc/64/52/87/604645287.db2.gz BKQVIJSRMCOTFL-ZJUUUORDSA-N -1 1 317.349 1.640 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CN(C(=O)c2cccc3c[nH]nc32)CCO1 ZINC000825334047 604713168 /nfs/dbraw/zinc/71/31/68/604713168.db2.gz FBCDHSGFTRJOIP-JOYOIKCWSA-N -1 1 318.333 1.060 20 0 DDADMM NC(=O)N1CCCN([C@@H](C(=O)[O-])c2cccc(Cl)c2)CC1 ZINC000833084114 604741261 /nfs/dbraw/zinc/74/12/61/604741261.db2.gz VYSOHIGSKFBUEE-GFCCVEGCSA-N -1 1 311.769 1.552 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)Nc2nc(CC(=O)[O-])cs2)CCN1C ZINC000833584732 604803449 /nfs/dbraw/zinc/80/34/49/604803449.db2.gz UDGLIWLBVDRHEX-BDAKNGLRSA-N -1 1 312.395 1.327 20 0 DDADMM C[C@@H]1[C@@H](C)N(CC(=O)Nc2cccc(C(=O)[O-])c2)CCN1C ZINC000833589387 604854836 /nfs/dbraw/zinc/85/48/36/604854836.db2.gz VTLGLEGYISCAEM-VXGBXAGGSA-N -1 1 305.378 1.348 20 0 DDADMM CC(C)(C)c1ncc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])s1 ZINC000833450665 604896817 /nfs/dbraw/zinc/89/68/17/604896817.db2.gz HKBQQFQMRTYUJC-VIFPVBQESA-N -1 1 322.390 1.589 20 0 DDADMM CS(=O)(=O)c1ccc(CN[C@H](C(=O)[O-])c2cccnc2)cc1 ZINC000832716455 604991164 /nfs/dbraw/zinc/99/11/64/604991164.db2.gz MWCFXVLGFAGHHW-AWEZNQCLSA-N -1 1 320.370 1.401 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)N[C@@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000833511902 605022020 /nfs/dbraw/zinc/02/20/20/605022020.db2.gz DVXNRXPSSNVPPA-NXEZZACHSA-N -1 1 324.385 1.431 20 0 DDADMM C[C@H](Sc1ccccn1)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833544375 605024373 /nfs/dbraw/zinc/02/43/73/605024373.db2.gz FYTWERFRDCNIIH-ONGXEEELSA-N -1 1 320.374 1.097 20 0 DDADMM C[C@H](NC1CN(C(=O)[O-])C1)c1cccc(N2CCOC2=O)c1 ZINC000825485810 605100316 /nfs/dbraw/zinc/10/03/16/605100316.db2.gz RTYRFOANABLSFW-JTQLQIEISA-N -1 1 305.334 1.656 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2cc[nH]c2c1 ZINC000833627290 605104461 /nfs/dbraw/zinc/10/44/61/605104461.db2.gz WKRRGMORRXURNG-GFCCVEGCSA-N -1 1 315.373 1.789 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC(C1CC1)C1CC1 ZINC000833627766 605140062 /nfs/dbraw/zinc/14/00/62/605140062.db2.gz ZVJJMUQOWLIRCD-GFCCVEGCSA-N -1 1 323.437 1.613 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C\c1cccnc1 ZINC000833625108 605158016 /nfs/dbraw/zinc/15/80/16/605158016.db2.gz WJGKYSOPZPDNML-ZFDPJTLLSA-N -1 1 303.362 1.102 20 0 DDADMM O=C([O-])N[C@H]1CCN(C[C@@H](O)COc2ccccc2Cl)C1 ZINC000740595258 605161731 /nfs/dbraw/zinc/16/17/31/605161731.db2.gz SROLIFJOKWHCQD-WDEREUQCSA-N -1 1 314.769 1.422 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1CC(=O)Nc1ccccc1 ZINC000833628212 605187796 /nfs/dbraw/zinc/18/77/96/605187796.db2.gz DIBPDEVIJMSESN-CYBMUJFWSA-N -1 1 305.378 1.106 20 0 DDADMM C[C@]1(CCCO)CCCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000833564026 605193642 /nfs/dbraw/zinc/19/36/42/605193642.db2.gz QZXIRRDYOLBUEK-QGZVFWFLSA-N -1 1 320.389 1.950 20 0 DDADMM C[C@H]1CN(C(=O)/C=C\c2cccc(F)c2)CCN1CCC(=O)[O-] ZINC000833617152 605276654 /nfs/dbraw/zinc/27/66/54/605276654.db2.gz AXKWHHNXQGGKMW-SZZPACECSA-N -1 1 320.364 1.846 20 0 DDADMM O=C([O-])NC1CCN(Cc2c[nH]nc2-c2cccnc2)CC1 ZINC000834190860 605487144 /nfs/dbraw/zinc/48/71/44/605487144.db2.gz VMGLNVSEEZUCQL-UHFFFAOYSA-N -1 1 301.350 1.704 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N(C)C2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000830028583 605699246 /nfs/dbraw/zinc/69/92/46/605699246.db2.gz AIHMNRXHVUOEJR-JTQLQIEISA-N -1 1 323.397 1.433 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])C[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000833833169 605808150 /nfs/dbraw/zinc/80/81/50/605808150.db2.gz WSIUNSYOVDSPBV-TZMCWYRMSA-N -1 1 321.377 1.212 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N1CCN(C(=O)[O-])C[C@@H]1C)C2 ZINC000833916327 605856625 /nfs/dbraw/zinc/85/66/25/605856625.db2.gz PUYQVDBOLWFNGS-ONGXEEELSA-N -1 1 306.366 1.034 20 0 DDADMM O=C([O-])Nc1ccccc1C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000834268601 605976254 /nfs/dbraw/zinc/97/62/54/605976254.db2.gz QZFSNNQIQVGALT-SECBINFHSA-N -1 1 316.321 1.309 20 0 DDADMM Cc1cnc(COC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000822395173 606136496 /nfs/dbraw/zinc/13/64/96/606136496.db2.gz UUIYGEOHBPQKJX-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM Cc1cnc(COC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000822395173 606136497 /nfs/dbraw/zinc/13/64/97/606136497.db2.gz UUIYGEOHBPQKJX-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM CCCc1noc(COC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000821142043 606139091 /nfs/dbraw/zinc/13/90/91/606139091.db2.gz CDTUATSLSPTUQC-UHFFFAOYSA-N -1 1 320.334 1.621 20 0 DDADMM CCCc1noc(COC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000821142043 606139092 /nfs/dbraw/zinc/13/90/92/606139092.db2.gz CDTUATSLSPTUQC-UHFFFAOYSA-N -1 1 320.334 1.621 20 0 DDADMM CS[C@@H]1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000821951495 606163645 /nfs/dbraw/zinc/16/36/45/606163645.db2.gz ZFUMLHXDEXTUBT-JGVFFNPUSA-N -1 1 322.398 1.006 20 0 DDADMM CS[C@@H]1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000821951495 606163646 /nfs/dbraw/zinc/16/36/46/606163646.db2.gz ZFUMLHXDEXTUBT-JGVFFNPUSA-N -1 1 322.398 1.006 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC(O[C@@H]3CCOC3)CC2)c1 ZINC000823742424 606244077 /nfs/dbraw/zinc/24/40/77/606244077.db2.gz GBEBVJGVCDGSMV-GFCCVEGCSA-N -1 1 316.365 1.036 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC(O[C@@H]3CCOC3)CC2)c1 ZINC000823742424 606244078 /nfs/dbraw/zinc/24/40/78/606244078.db2.gz GBEBVJGVCDGSMV-GFCCVEGCSA-N -1 1 316.365 1.036 20 0 DDADMM CC[C@@H](C)N(C)C(=O)c1cc(-c2nn[n-]n2)c(SC)[nH]c1=O ZINC000820876695 606294207 /nfs/dbraw/zinc/29/42/07/606294207.db2.gz SBWSPSNRYMAHCP-SSDOTTSWSA-N -1 1 322.394 1.560 20 0 DDADMM CCn1c(C)nnc1Sc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821564255 606462256 /nfs/dbraw/zinc/46/22/56/606462256.db2.gz ZNMSSVAGCYRZNJ-UHFFFAOYSA-N -1 1 322.785 1.986 20 0 DDADMM CCn1c(C)nnc1Sc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821564255 606462257 /nfs/dbraw/zinc/46/22/57/606462257.db2.gz ZNMSSVAGCYRZNJ-UHFFFAOYSA-N -1 1 322.785 1.986 20 0 DDADMM CCc1nnc(NC(=O)c2ccc(-c3nn[n-]n3)s2)s1 ZINC000821529792 606489405 /nfs/dbraw/zinc/48/94/05/606489405.db2.gz ZUJFEEUFIAMACM-UHFFFAOYSA-N -1 1 307.364 1.594 20 0 DDADMM O=C(Cc1ccc2ccccc2c1)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000823087040 606532596 /nfs/dbraw/zinc/53/25/96/606532596.db2.gz DFKCTVZIEGXNEE-UHFFFAOYSA-N -1 1 319.328 1.924 20 0 DDADMM O=C(Cc1ccc2ccccc2c1)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000823087040 606532598 /nfs/dbraw/zinc/53/25/98/606532598.db2.gz DFKCTVZIEGXNEE-UHFFFAOYSA-N -1 1 319.328 1.924 20 0 DDADMM Cn1cc(CCCNC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000822680370 606545821 /nfs/dbraw/zinc/54/58/21/606545821.db2.gz MPMSHZHTYHDXDH-UHFFFAOYSA-N -1 1 317.378 1.024 20 0 DDADMM Cn1cc(CCCNC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000822680370 606545823 /nfs/dbraw/zinc/54/58/23/606545823.db2.gz MPMSHZHTYHDXDH-UHFFFAOYSA-N -1 1 317.378 1.024 20 0 DDADMM C[C@@H](Cc1ccncc1)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820300351 606587784 /nfs/dbraw/zinc/58/77/84/606587784.db2.gz QCXZQCLPOSQNEO-VIFPVBQESA-N -1 1 314.374 1.684 20 0 DDADMM C[C@@H](Cc1ccncc1)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820300351 606587785 /nfs/dbraw/zinc/58/77/85/606587785.db2.gz QCXZQCLPOSQNEO-VIFPVBQESA-N -1 1 314.374 1.684 20 0 DDADMM OCCC1(CNc2nccnc2-c2nnn[n-]2)CCCCC1 ZINC000823717256 606610109 /nfs/dbraw/zinc/61/01/09/606610109.db2.gz BWBJCVAAHNBNFH-UHFFFAOYSA-N -1 1 303.370 1.402 20 0 DDADMM OCCC1(CNc2nccnc2-c2nn[n-]n2)CCCCC1 ZINC000823717256 606610110 /nfs/dbraw/zinc/61/01/10/606610110.db2.gz BWBJCVAAHNBNFH-UHFFFAOYSA-N -1 1 303.370 1.402 20 0 DDADMM O=C(Cc1cccc(F)c1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000823111190 606650479 /nfs/dbraw/zinc/65/04/79/606650479.db2.gz FNPZIWPFRDOHMP-UHFFFAOYSA-N -1 1 313.292 1.687 20 0 DDADMM O=C(Cc1cccc(F)c1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000823111190 606650480 /nfs/dbraw/zinc/65/04/80/606650480.db2.gz FNPZIWPFRDOHMP-UHFFFAOYSA-N -1 1 313.292 1.687 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cccc2c[nH]nc21 ZINC000823435857 606651559 /nfs/dbraw/zinc/65/15/59/606651559.db2.gz BQTDLNOJUFCJPJ-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cccc2c[nH]nc21 ZINC000823435857 606651560 /nfs/dbraw/zinc/65/15/60/606651560.db2.gz BQTDLNOJUFCJPJ-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM CC(C)C[C@@H](C)OCCC(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000820057350 606694542 /nfs/dbraw/zinc/69/45/42/606694542.db2.gz YVXXGVSETHBCAR-SECBINFHSA-N -1 1 307.358 1.127 20 0 DDADMM CCN(C(=O)c1cc(-c2nn[n-]n2)c(SC)[nH]c1=O)C1CC1 ZINC000821155125 606834642 /nfs/dbraw/zinc/83/46/42/606834642.db2.gz WGTTVTMYTZBYLE-UHFFFAOYSA-N -1 1 320.378 1.314 20 0 DDADMM CC(C)CSCCNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000824276066 607919500 /nfs/dbraw/zinc/91/95/00/607919500.db2.gz YVFKYOTZEOFIDJ-UHFFFAOYSA-N -1 1 324.414 1.111 20 0 DDADMM CC(C)CSCCNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000824276066 607919501 /nfs/dbraw/zinc/91/95/01/607919501.db2.gz YVFKYOTZEOFIDJ-UHFFFAOYSA-N -1 1 324.414 1.111 20 0 DDADMM CCCCN(CC(N)=O)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825281893 607933953 /nfs/dbraw/zinc/93/39/53/607933953.db2.gz MLRYHVSBVGVMHF-UHFFFAOYSA-N -1 1 309.761 1.007 20 0 DDADMM CCCCN(CC(N)=O)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825281893 607933954 /nfs/dbraw/zinc/93/39/54/607933954.db2.gz MLRYHVSBVGVMHF-UHFFFAOYSA-N -1 1 309.761 1.007 20 0 DDADMM C[C@](O)(CNc1ccc(-c2nnn[n-]2)nn1)c1ccsc1 ZINC000824677563 607990392 /nfs/dbraw/zinc/99/03/92/607990392.db2.gz FYUNPEBAIPGDLM-LBPRGKRZSA-N -1 1 303.351 1.038 20 0 DDADMM C[C@](O)(CNc1ccc(-c2nn[n-]n2)nn1)c1ccsc1 ZINC000824677563 607990393 /nfs/dbraw/zinc/99/03/93/607990393.db2.gz FYUNPEBAIPGDLM-LBPRGKRZSA-N -1 1 303.351 1.038 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])C1(C(F)(F)F)CC1 ZINC000826450986 607999796 /nfs/dbraw/zinc/99/97/96/607999796.db2.gz LKACZUFROHMZCI-UHFFFAOYSA-N -1 1 313.239 1.853 20 0 DDADMM Cc1[nH]c2ccccc2c1C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000826233672 608012872 /nfs/dbraw/zinc/01/28/72/608012872.db2.gz QUNYYHIHMXFLFE-UHFFFAOYSA-N -1 1 307.317 1.736 20 0 DDADMM Cc1[nH]c2ccccc2c1C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000826233672 608012873 /nfs/dbraw/zinc/01/28/73/608012873.db2.gz QUNYYHIHMXFLFE-UHFFFAOYSA-N -1 1 307.317 1.736 20 0 DDADMM Brc1cc(Cn2ccnc2-c2nnn[n-]2)cs1 ZINC000823826989 608012994 /nfs/dbraw/zinc/01/29/94/608012994.db2.gz RQAYNCGPISTZKC-UHFFFAOYSA-N -1 1 311.168 1.936 20 0 DDADMM Brc1cc(Cn2ccnc2-c2nn[n-]n2)cs1 ZINC000823826989 608012995 /nfs/dbraw/zinc/01/29/95/608012995.db2.gz RQAYNCGPISTZKC-UHFFFAOYSA-N -1 1 311.168 1.936 20 0 DDADMM FC(F)n1c(Cn2ccnc2-c2nnn[n-]2)nc2ccccc21 ZINC000826370254 608014323 /nfs/dbraw/zinc/01/43/23/608014323.db2.gz KLLAKAHROYDQLU-UHFFFAOYSA-N -1 1 316.275 1.856 20 0 DDADMM FC(F)n1c(Cn2ccnc2-c2nn[n-]n2)nc2ccccc21 ZINC000826370254 608014324 /nfs/dbraw/zinc/01/43/24/608014324.db2.gz KLLAKAHROYDQLU-UHFFFAOYSA-N -1 1 316.275 1.856 20 0 DDADMM Cc1c(O)cccc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826242976 608058272 /nfs/dbraw/zinc/05/82/72/608058272.db2.gz ONIHMAXYAVACEP-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM Cc1c(O)cccc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826242976 608058273 /nfs/dbraw/zinc/05/82/73/608058273.db2.gz ONIHMAXYAVACEP-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CC2(C1)CC(F)(F)C2 ZINC000826472544 608198737 /nfs/dbraw/zinc/19/87/37/608198737.db2.gz NWWRDDYXEVEPKW-UHFFFAOYSA-N -1 1 311.317 1.800 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CC2(C1)CC(F)(F)C2 ZINC000826472544 608198735 /nfs/dbraw/zinc/19/87/35/608198735.db2.gz NWWRDDYXEVEPKW-UHFFFAOYSA-N -1 1 311.317 1.800 20 0 DDADMM c1cc(N2CCC[C@@H](C[C@H]3CCOC3)C2)nnc1-c1nnn[n-]1 ZINC000826516828 608299098 /nfs/dbraw/zinc/29/90/98/608299098.db2.gz DHHOZBQDVBXYAE-NWDGAFQWSA-N -1 1 315.381 1.300 20 0 DDADMM c1cc(N2CCC[C@@H](C[C@H]3CCOC3)C2)nnc1-c1nn[n-]n1 ZINC000826516828 608299099 /nfs/dbraw/zinc/29/90/99/608299099.db2.gz DHHOZBQDVBXYAE-NWDGAFQWSA-N -1 1 315.381 1.300 20 0 DDADMM C[C@H]1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C[C@H]1C ZINC000824948792 608306290 /nfs/dbraw/zinc/30/62/90/608306290.db2.gz VZGWCLSBNKXCNG-DTWKUNHWSA-N -1 1 304.358 1.110 20 0 DDADMM C[C@H]1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C[C@H]1C ZINC000824948792 608306292 /nfs/dbraw/zinc/30/62/92/608306292.db2.gz VZGWCLSBNKXCNG-DTWKUNHWSA-N -1 1 304.358 1.110 20 0 DDADMM c1nnc(-c2cccc(Oc3ccc(-c4nnn[n-]4)nn3)c2)o1 ZINC000826517903 608397278 /nfs/dbraw/zinc/39/72/78/608397278.db2.gz SUNKXYFPXHYENO-UHFFFAOYSA-N -1 1 308.261 1.499 20 0 DDADMM c1nnc(-c2cccc(Oc3ccc(-c4nn[n-]n4)nn3)c2)o1 ZINC000826517903 608397279 /nfs/dbraw/zinc/39/72/79/608397279.db2.gz SUNKXYFPXHYENO-UHFFFAOYSA-N -1 1 308.261 1.499 20 0 DDADMM c1csc([C@H]2CCCCN2c2ccc(-c3nnn[n-]3)nn2)n1 ZINC000826526031 608409453 /nfs/dbraw/zinc/40/94/53/608409453.db2.gz IMJAGOBORLURFY-SNVBAGLBSA-N -1 1 314.378 1.845 20 0 DDADMM c1csc([C@H]2CCCCN2c2ccc(-c3nn[n-]n3)nn2)n1 ZINC000826526031 608409456 /nfs/dbraw/zinc/40/94/56/608409456.db2.gz IMJAGOBORLURFY-SNVBAGLBSA-N -1 1 314.378 1.845 20 0 DDADMM c1ccc2c(c1)CC[C@H](CNc1ccc(-c3nnn[n-]3)nn1)N2 ZINC000826523736 608412403 /nfs/dbraw/zinc/41/24/03/608412403.db2.gz WJCUBNUWGFILDF-LLVKDONJSA-N -1 1 308.349 1.496 20 0 DDADMM c1ccc2c(c1)CC[C@H](CNc1ccc(-c3nn[n-]n3)nn1)N2 ZINC000826523736 608412404 /nfs/dbraw/zinc/41/24/04/608412404.db2.gz WJCUBNUWGFILDF-LLVKDONJSA-N -1 1 308.349 1.496 20 0 DDADMM c1ccc2c(c1)CC[C@@H](CNc1ccc(-c3nnn[n-]3)nn1)C2 ZINC000826523109 608420868 /nfs/dbraw/zinc/42/08/68/608420868.db2.gz AYMZJIMWXJEOLX-LLVKDONJSA-N -1 1 307.361 1.874 20 0 DDADMM c1ccc2c(c1)CC[C@@H](CNc1ccc(-c3nn[n-]n3)nn1)C2 ZINC000826523109 608420870 /nfs/dbraw/zinc/42/08/70/608420870.db2.gz AYMZJIMWXJEOLX-LLVKDONJSA-N -1 1 307.361 1.874 20 0 DDADMM C[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)[C@H](O)c1cccc(F)c1 ZINC000824650971 608428644 /nfs/dbraw/zinc/42/86/44/608428644.db2.gz WNCIWURBKADAGW-OQPBUACISA-N -1 1 315.312 1.330 20 0 DDADMM C[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)[C@H](O)c1cccc(F)c1 ZINC000824650971 608428647 /nfs/dbraw/zinc/42/86/47/608428647.db2.gz WNCIWURBKADAGW-OQPBUACISA-N -1 1 315.312 1.330 20 0 DDADMM CCCCC[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)C(=O)OC ZINC000825265886 608430533 /nfs/dbraw/zinc/43/05/33/608430533.db2.gz CFAKFZAOEVGZCU-SNVBAGLBSA-N -1 1 305.342 1.191 20 0 DDADMM CCCCC[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)C(=O)OC ZINC000825265886 608430534 /nfs/dbraw/zinc/43/05/34/608430534.db2.gz CFAKFZAOEVGZCU-SNVBAGLBSA-N -1 1 305.342 1.191 20 0 DDADMM Cc1ccccc1CC1CN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000826306866 608431919 /nfs/dbraw/zinc/43/19/19/608431919.db2.gz OCVYLAZEVGDTSD-UHFFFAOYSA-N -1 1 307.361 1.644 20 0 DDADMM Cc1ccccc1CC1CN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000826306866 608431921 /nfs/dbraw/zinc/43/19/21/608431921.db2.gz OCVYLAZEVGDTSD-UHFFFAOYSA-N -1 1 307.361 1.644 20 0 DDADMM CCC1(CC)[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C[C@@H]1OC ZINC000825171753 608432711 /nfs/dbraw/zinc/43/27/11/608432711.db2.gz VLOIRLBGMQCMDT-MNOVXSKESA-N -1 1 303.370 1.662 20 0 DDADMM CCC1(CC)[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C[C@@H]1OC ZINC000825171753 608432713 /nfs/dbraw/zinc/43/27/13/608432713.db2.gz VLOIRLBGMQCMDT-MNOVXSKESA-N -1 1 303.370 1.662 20 0 DDADMM COCCN(Cc1ccc(C)o1)c1ccc(-c2nnn[n-]2)nn1 ZINC000826159084 608433022 /nfs/dbraw/zinc/43/30/22/608433022.db2.gz PVPLTWANCOEELJ-UHFFFAOYSA-N -1 1 315.337 1.211 20 0 DDADMM COCCN(Cc1ccc(C)o1)c1ccc(-c2nn[n-]n2)nn1 ZINC000826159084 608433025 /nfs/dbraw/zinc/43/30/25/608433025.db2.gz PVPLTWANCOEELJ-UHFFFAOYSA-N -1 1 315.337 1.211 20 0 DDADMM c1ccc(N[C@@H]2CCCN(c3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826522375 608433786 /nfs/dbraw/zinc/43/37/86/608433786.db2.gz XRCITSUXGZICKV-CYBMUJFWSA-N -1 1 322.376 1.738 20 0 DDADMM c1ccc(N[C@@H]2CCCN(c3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826522375 608433788 /nfs/dbraw/zinc/43/37/88/608433788.db2.gz XRCITSUXGZICKV-CYBMUJFWSA-N -1 1 322.376 1.738 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C[C@H](C)O1 ZINC000824893597 608451558 /nfs/dbraw/zinc/45/15/58/608451558.db2.gz RWMFRNGECYOPPO-AYMMMOKOSA-N -1 1 307.379 1.614 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C[C@H](C)O1 ZINC000824893597 608451559 /nfs/dbraw/zinc/45/15/59/608451559.db2.gz RWMFRNGECYOPPO-AYMMMOKOSA-N -1 1 307.379 1.614 20 0 DDADMM c1c[nH]c(CCNc2c3ccccc3nnc2-c2nnn[n-]2)c1 ZINC000826512716 608540529 /nfs/dbraw/zinc/54/05/29/608540529.db2.gz LILXKQFUSDEOBD-UHFFFAOYSA-N -1 1 306.333 1.793 20 0 DDADMM c1c[nH]c(CCNc2c3ccccc3nnc2-c2nn[n-]n2)c1 ZINC000826512716 608540531 /nfs/dbraw/zinc/54/05/31/608540531.db2.gz LILXKQFUSDEOBD-UHFFFAOYSA-N -1 1 306.333 1.793 20 0 DDADMM Cc1cc(F)c(C(=O)Cn2ccnc2-c2nnn[n-]2)cc1F ZINC000826268087 608888354 /nfs/dbraw/zinc/88/83/54/608888354.db2.gz JMLHRBHJBKCZSZ-UHFFFAOYSA-N -1 1 304.260 1.533 20 0 DDADMM Cc1cc(F)c(C(=O)Cn2ccnc2-c2nn[n-]n2)cc1F ZINC000826268087 608888359 /nfs/dbraw/zinc/88/83/59/608888359.db2.gz JMLHRBHJBKCZSZ-UHFFFAOYSA-N -1 1 304.260 1.533 20 0 DDADMM Cc1cc(C(=O)Cn2ccnc2-c2nnn[n-]2)c(F)cc1F ZINC000826256936 608894936 /nfs/dbraw/zinc/89/49/36/608894936.db2.gz HFLIUQBXGDINSP-UHFFFAOYSA-N -1 1 304.260 1.533 20 0 DDADMM Cc1cc(C(=O)Cn2ccnc2-c2nn[n-]n2)c(F)cc1F ZINC000826256936 608894937 /nfs/dbraw/zinc/89/49/37/608894937.db2.gz HFLIUQBXGDINSP-UHFFFAOYSA-N -1 1 304.260 1.533 20 0 DDADMM CC(C)C[C@@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)CCO1 ZINC000824243429 609170456 /nfs/dbraw/zinc/17/04/56/609170456.db2.gz FZXKLTWNDGAJOK-WDEREUQCSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)C[C@@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)CCO1 ZINC000824243429 609170458 /nfs/dbraw/zinc/17/04/58/609170458.db2.gz FZXKLTWNDGAJOK-WDEREUQCSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)[C@H]1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CCO1 ZINC000824224245 609320500 /nfs/dbraw/zinc/32/05/00/609320500.db2.gz OEXZIKAABUCTCC-SNVBAGLBSA-N -1 1 308.773 1.776 20 0 DDADMM CC(C)[C@H]1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CCO1 ZINC000824224245 609320502 /nfs/dbraw/zinc/32/05/02/609320502.db2.gz OEXZIKAABUCTCC-SNVBAGLBSA-N -1 1 308.773 1.776 20 0 DDADMM CN(Cc1ncc(Cl)n1C)c1cccc(-c2nnn[n-]2)n1 ZINC000825998984 609434812 /nfs/dbraw/zinc/43/48/12/609434812.db2.gz HUUVTQBAWSSOSY-UHFFFAOYSA-N -1 1 304.745 1.285 20 0 DDADMM CN(Cc1ncc(Cl)n1C)c1cccc(-c2nn[n-]n2)n1 ZINC000825998984 609434814 /nfs/dbraw/zinc/43/48/14/609434814.db2.gz HUUVTQBAWSSOSY-UHFFFAOYSA-N -1 1 304.745 1.285 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC([C@H]3CCOC3)CC2)c1 ZINC000826513968 609592380 /nfs/dbraw/zinc/59/23/80/609592380.db2.gz CIEHEVWFAXJYEA-LBPRGKRZSA-N -1 1 300.366 1.515 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC([C@H]3CCOC3)CC2)c1 ZINC000826513968 609592382 /nfs/dbraw/zinc/59/23/82/609592382.db2.gz CIEHEVWFAXJYEA-LBPRGKRZSA-N -1 1 300.366 1.515 20 0 DDADMM CC(=O)N[C@@H](C)C(=O)Nc1nc(Br)ccc1[O-] ZINC000121528810 696711760 /nfs/dbraw/zinc/71/17/60/696711760.db2.gz QCTFTWGOPIXURP-YFKPBYRVSA-N -1 1 302.128 1.013 20 0 DDADMM Cc1cc2sc(NC(=O)NN3CC(=O)[N-]C3=O)nc2cc1F ZINC000797673247 700018305 /nfs/dbraw/zinc/01/83/05/700018305.db2.gz ANWZWTJQQXHAOQ-UHFFFAOYSA-N -1 1 323.309 1.332 20 0 DDADMM O=C([O-])[C@H](NC(=O)N[C@@H]1CCCc2cn[nH]c21)c1cccs1 ZINC000797725919 700021261 /nfs/dbraw/zinc/02/12/61/700021261.db2.gz XNQASPQHYDWZDO-BXKDBHETSA-N -1 1 320.374 1.974 20 0 DDADMM CC(C)(C)C(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000975917856 695878619 /nfs/dbraw/zinc/87/86/19/695878619.db2.gz WOXWVNCCWHVNPQ-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CC1(CNC(=O)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)CC1 ZINC000798431399 700065285 /nfs/dbraw/zinc/06/52/85/700065285.db2.gz LBRDISZMALDBCO-UHFFFAOYSA-N -1 1 321.343 1.887 20 0 DDADMM CCNC(=O)N[N-]C(=O)c1ccc(Br)cc1F ZINC000049165633 696221432 /nfs/dbraw/zinc/22/14/32/696221432.db2.gz MFPQTGMHDCOACU-UHFFFAOYSA-N -1 1 304.119 1.552 20 0 DDADMM NC(=O)c1ccc(C(=O)[N-]c2n[nH]c(-c3cccs3)n2)o1 ZINC000747403467 700068088 /nfs/dbraw/zinc/06/80/88/700068088.db2.gz RIPJJCKUXUMWAE-UHFFFAOYSA-N -1 1 303.303 1.477 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NC[C@H]2Cc3ccccc3O2)n1 ZINC000046876091 696191966 /nfs/dbraw/zinc/19/19/66/696191966.db2.gz KVGLPHRDRVSFJO-LLVKDONJSA-N -1 1 315.333 1.115 20 0 DDADMM CCC1(O)CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)CC1 ZINC000747405772 700068553 /nfs/dbraw/zinc/06/85/53/700068553.db2.gz SOMHDPXVJYPPFM-UHFFFAOYSA-N -1 1 307.394 1.991 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)C(C)(F)F)C(=O)c1ncccc1[O-] ZINC000977602014 696230728 /nfs/dbraw/zinc/23/07/28/696230728.db2.gz ROFSMNUYXMSMPQ-VIFPVBQESA-N -1 1 313.304 1.115 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-])C1CC1 ZINC000977622258 696238060 /nfs/dbraw/zinc/23/80/60/696238060.db2.gz WDNWJCBDUDJTTQ-DGCLKSJQSA-N -1 1 317.389 1.506 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCc2cccc3c2OCO3)n1 ZINC000052561318 696258736 /nfs/dbraw/zinc/25/87/36/696258736.db2.gz PNLFNOUXHADTDU-UHFFFAOYSA-N -1 1 317.305 1.040 20 0 DDADMM CCn1c(CNC(=O)c2cccc3c2OCO3)n[n-]c1=S ZINC000066636168 696354233 /nfs/dbraw/zinc/35/42/33/696354233.db2.gz MODYUEIBYYUDPY-UHFFFAOYSA-N -1 1 306.347 1.619 20 0 DDADMM CC(C)n1c(CCNC(=O)CCCn2cccn2)n[n-]c1=S ZINC000067074632 696358943 /nfs/dbraw/zinc/35/89/43/696358943.db2.gz NUFJMYQYHAOWJP-UHFFFAOYSA-N -1 1 322.438 1.857 20 0 DDADMM C[C@@H](c1ccco1)N(C(=O)Cc1sc(N)nc1[O-])C1CC1 ZINC000079710847 696462835 /nfs/dbraw/zinc/46/28/35/696462835.db2.gz BJSHTIRYJYHYCY-GZMMTYOYSA-N -1 1 307.375 1.678 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])C(C)(C)c1ccccc1 ZINC000079989692 696465635 /nfs/dbraw/zinc/46/56/35/696465635.db2.gz ZTLDJDNTURFFNK-ZYHUDNBSSA-N -1 1 319.430 1.816 20 0 DDADMM Cn1[n-]c(COC(=O)CC2CC(c3ccccc3)C2)nc1=O ZINC000798734507 700090420 /nfs/dbraw/zinc/09/04/20/700090420.db2.gz YFLYOCHISKFFJL-UHFFFAOYSA-N -1 1 301.346 1.736 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2cccc(F)n2)c1Cl ZINC000747904418 700091574 /nfs/dbraw/zinc/09/15/74/700091574.db2.gz FXMXKYTWBBIIGM-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM CC[C@H]1c2ccccc2CCN1C(=O)Cc1sc(N)nc1[O-] ZINC000080293400 696532668 /nfs/dbraw/zinc/53/26/68/696532668.db2.gz IWNIBFWVSHGSDV-STQMWFEESA-N -1 1 317.414 1.869 20 0 DDADMM CC[C@@H](C)NC(=O)CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000080584638 696535814 /nfs/dbraw/zinc/53/58/14/696535814.db2.gz QFQJZQKAQYFBMG-SNVBAGLBSA-N -1 1 322.409 1.236 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H](C)C(=O)OC(C)C ZINC000081955126 696548830 /nfs/dbraw/zinc/54/88/30/696548830.db2.gz DVUKJDFDXCAFLY-SNVBAGLBSA-N -1 1 323.393 1.519 20 0 DDADMM COCCCNC(=O)[C@@H](C)O[N-]C(=O)CCC1CCCCC1 ZINC000083536800 696552427 /nfs/dbraw/zinc/55/24/27/696552427.db2.gz WJZYJJBTDYLDSE-CYBMUJFWSA-N -1 1 314.426 1.936 20 0 DDADMM NC(=O)CO[N-]C(=O)CCc1ccc(Br)cc1F ZINC000089462710 696576618 /nfs/dbraw/zinc/57/66/18/696576618.db2.gz UELIQKJOYCUTLX-UHFFFAOYSA-N -1 1 319.130 1.054 20 0 DDADMM COc1ccc([S@@](=O)CCC[N-]C(=O)C(F)(F)F)cc1 ZINC000091537778 696588991 /nfs/dbraw/zinc/58/89/91/696588991.db2.gz KFRKWFYALPVEBY-HXUWFJFHSA-N -1 1 309.309 1.871 20 0 DDADMM CC1(CNC(=O)Cc2sc(N)nc2[O-])Cc2ccccc2C1 ZINC000091801855 696591309 /nfs/dbraw/zinc/59/13/09/696591309.db2.gz JTSZHXZEGLUHKF-GFCCVEGCSA-N -1 1 317.414 1.255 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(F)c(F)c1 ZINC000120806236 696701638 /nfs/dbraw/zinc/70/16/38/696701638.db2.gz CJTXADBDKOSFEZ-QUBYGPBYSA-N -1 1 313.329 1.489 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@H]2c2ccc(F)cc2)s1 ZINC000120855466 696702465 /nfs/dbraw/zinc/70/24/65/696702465.db2.gz USIOZDCNEDFLLL-NWDGAFQWSA-N -1 1 321.377 1.836 20 0 DDADMM Cc1cc([C@@H](C)NC(=O)Cc2sc(N)nc2[O-])c(C)s1 ZINC000120891154 696703032 /nfs/dbraw/zinc/70/30/32/696703032.db2.gz RFSCVPAATMBTGO-GMSGAONNSA-N -1 1 311.432 1.889 20 0 DDADMM CN(C[C@@H]1CCC[C@@H]1O)C(=O)c1nnc2ccccc2c1O ZINC000127952915 696775376 /nfs/dbraw/zinc/77/53/76/696775376.db2.gz VPTYNFUTZHNMAO-GWCFXTLKSA-N -1 1 301.346 1.156 20 0 DDADMM O=C(Nc1ccncc1[O-])c1cc(N2CCOC2=O)ccc1F ZINC000748311889 700109723 /nfs/dbraw/zinc/10/97/23/700109723.db2.gz DUVBZXANEAVPFI-UHFFFAOYSA-N -1 1 317.276 1.557 20 0 DDADMM CC[C@H](NC(=O)[C@H](N)c1ccc(Br)cc1)C(=O)[O-] ZINC000763027953 700920527 /nfs/dbraw/zinc/92/05/27/700920527.db2.gz HOPFMQUWLVWMQD-VHSXEESVSA-N -1 1 315.167 1.428 20 0 DDADMM O=C(CC1CCC1)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982592113 697155139 /nfs/dbraw/zinc/15/51/39/697155139.db2.gz NWRHFQOVOAPHCA-CYBMUJFWSA-N -1 1 317.389 1.556 20 0 DDADMM Cc1ncc(CN(C)C(=O)c2nnc3ccccc3c2O)s1 ZINC000171864538 697362925 /nfs/dbraw/zinc/36/29/25/697362925.db2.gz DIGMTSCKPXUYKZ-UHFFFAOYSA-N -1 1 314.370 1.960 20 0 DDADMM Cc1nc(-c2cccc(C(=O)NN3CC(=O)[N-]C3=O)c2)cs1 ZINC000175017431 697394193 /nfs/dbraw/zinc/39/41/93/697394193.db2.gz YZSVXGDOKKEELZ-UHFFFAOYSA-N -1 1 316.342 1.315 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2ccc(C)cc2)n1 ZINC000176720616 697405417 /nfs/dbraw/zinc/40/54/17/697405417.db2.gz BTHXQNDKNOJDJQ-UHFFFAOYSA-N -1 1 308.363 1.407 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H]1O)c1ccc(Cl)nc1Cl ZINC000178642751 697426777 /nfs/dbraw/zinc/42/67/77/697426777.db2.gz WCCWWXGQCMYTHF-BQBZGAKWSA-N -1 1 311.190 1.580 20 0 DDADMM CCCNC(=O)NC(=O)COC(=O)c1c([O-])cc(F)cc1F ZINC000749278181 700154938 /nfs/dbraw/zinc/15/49/38/700154938.db2.gz HZDPQWFNTDPNSD-UHFFFAOYSA-N -1 1 316.260 1.063 20 0 DDADMM C[C@@H](NC(=O)c1cc(Cl)ccc1[O-])c1nnnn1C1CC1 ZINC000190281499 697588122 /nfs/dbraw/zinc/58/81/22/697588122.db2.gz YRNCVBGFFLXEBQ-SSDOTTSWSA-N -1 1 307.741 1.858 20 0 DDADMM CN(CC(F)(F)F)C(=O)COC(=O)c1ccc([O-])cc1F ZINC000773567393 697782485 /nfs/dbraw/zinc/78/24/85/697782485.db2.gz RYYLJOFRTSIHDB-UHFFFAOYSA-N -1 1 309.215 1.709 20 0 DDADMM Cc1nc(C(C)C)[nH]c(=O)c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000773998483 697831849 /nfs/dbraw/zinc/83/18/49/697831849.db2.gz KZBJSZVYEMQKMF-MRVPVSSYSA-N -1 1 319.369 1.003 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)C[C@H]2CCOC2)o1 ZINC000774713163 697917427 /nfs/dbraw/zinc/91/74/27/697917427.db2.gz DSHLNZZZCBKOPJ-NXEZZACHSA-N -1 1 317.363 1.160 20 0 DDADMM CCN1CCOC[C@H]1C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000774999590 697952574 /nfs/dbraw/zinc/95/25/74/697952574.db2.gz ITMCPVARFJYCPC-HNNXBMFYSA-N -1 1 321.373 1.401 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1CCC(C)(C)C1 ZINC000777357639 698182187 /nfs/dbraw/zinc/18/21/87/698182187.db2.gz ZHDUCOHDOHVXJP-NSHDSACASA-N -1 1 318.377 1.638 20 0 DDADMM CCCCSCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000777446206 698191445 /nfs/dbraw/zinc/19/14/45/698191445.db2.gz XPSYGGUGVMYDDG-UHFFFAOYSA-N -1 1 318.420 1.511 20 0 DDADMM COc1cc2ccncc2cc1OS(=O)(=O)c1c[n-]cn1 ZINC000778069782 698240290 /nfs/dbraw/zinc/24/02/90/698240290.db2.gz QVLGRLJMWYYAME-UHFFFAOYSA-N -1 1 305.315 1.734 20 0 DDADMM COC(=O)C(=O)[C@H](C)OC(=O)c1cc(F)cc(Cl)c1[O-] ZINC000778317576 698261420 /nfs/dbraw/zinc/26/14/20/698261420.db2.gz OBCJWVGELXMTTJ-YFKPBYRVSA-N -1 1 304.657 1.472 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCO[C@H](C)C2)o1 ZINC000778366182 698269234 /nfs/dbraw/zinc/26/92/34/698269234.db2.gz NLUSJMVSJLSUEN-NXEZZACHSA-N -1 1 317.363 1.160 20 0 DDADMM Cc1cnc(CN[C@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)o1 ZINC000988682352 698435878 /nfs/dbraw/zinc/43/58/78/698435878.db2.gz BHDCDNOSUXXBNN-JQWIXIFHSA-N -1 1 316.361 1.476 20 0 DDADMM C[C@H](O)c1cn(C2CN(C(=O)c3c([O-])cccc3Cl)C2)nn1 ZINC000779563283 698436336 /nfs/dbraw/zinc/43/63/36/698436336.db2.gz WLIXXHQUHWUZDQ-QMMMGPOBSA-N -1 1 322.752 1.388 20 0 DDADMM C[C@@H]1C[C@@H](NCc2nncs2)CN1C(=O)c1ncccc1[O-] ZINC000988692531 698439291 /nfs/dbraw/zinc/43/92/91/698439291.db2.gz WDZHQSLZFNLARU-NXEZZACHSA-N -1 1 319.390 1.032 20 0 DDADMM CC[C@H](NC(=O)NC[C@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000780344200 698509424 /nfs/dbraw/zinc/50/94/24/698509424.db2.gz IVTLNIQXOVCAAZ-NWDGAFQWSA-N -1 1 309.366 1.579 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)C(=O)[C@H](N)Cc1c[nH]c2ccccc12 ZINC000318763457 698707322 /nfs/dbraw/zinc/70/73/22/698707322.db2.gz LLETYKPKFCIFMR-OCCSQVGLSA-N -1 1 303.362 1.359 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2ccncc2N)c1 ZINC000783183843 698815260 /nfs/dbraw/zinc/81/52/60/698815260.db2.gz SJYYIRYISTWIHM-UHFFFAOYSA-N -1 1 300.314 1.972 20 0 DDADMM O=C([N-]C1CN(C(=O)c2cc(F)c(F)cc2Cl)C1)C(F)F ZINC000990026721 698904687 /nfs/dbraw/zinc/90/46/87/698904687.db2.gz VNNUQWHGURDCDO-UHFFFAOYSA-N -1 1 324.661 1.824 20 0 DDADMM C[C@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C1CCCC1 ZINC000990969271 699195632 /nfs/dbraw/zinc/19/56/32/699195632.db2.gz CFDRQWVIXWFUHI-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)n1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cn1 ZINC000787813367 699245696 /nfs/dbraw/zinc/24/56/96/699245696.db2.gz YOSXARYJVRCNLM-UHFFFAOYSA-N -1 1 315.329 1.577 20 0 DDADMM COC(=O)c1coc(C(=O)CSc2nc(C(=O)OC)c[n-]2)c1 ZINC000788504972 699320010 /nfs/dbraw/zinc/32/00/10/699320010.db2.gz JATMENBDAUHWCP-UHFFFAOYSA-N -1 1 324.314 1.551 20 0 DDADMM COc1nc(Br)ccc1[N-]S(=O)(=O)C1CC1 ZINC000788762699 699338155 /nfs/dbraw/zinc/33/81/55/699338155.db2.gz QPCSDDZFYUAXFS-UHFFFAOYSA-N -1 1 307.169 1.757 20 0 DDADMM CCOC(COCCNC(=O)c1ccc([O-])c(F)c1)OCC ZINC000790056468 699438548 /nfs/dbraw/zinc/43/85/48/699438548.db2.gz KMWKBIAMPUVBKI-UHFFFAOYSA-N -1 1 315.341 1.677 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(C2SCCS2)cc1 ZINC000732157861 699548453 /nfs/dbraw/zinc/54/84/53/699548453.db2.gz JCTJJCJLAMCHDA-UHFFFAOYSA-N -1 1 307.404 1.608 20 0 DDADMM COC[C@@H](C)OC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000733707467 699612947 /nfs/dbraw/zinc/61/29/47/699612947.db2.gz ZHAJVQOUTWHOHB-SECBINFHSA-N -1 1 319.379 1.548 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ncn(-c4ccccc4)n3)ccnc1-2 ZINC000791284653 699619499 /nfs/dbraw/zinc/61/94/99/699619499.db2.gz BLUJGXVPGUGHMU-UHFFFAOYSA-N -1 1 319.328 1.175 20 0 DDADMM COC(=O)Nc1ccccc1OS(=O)(=O)c1c[n-]nc1C ZINC000751121543 700269750 /nfs/dbraw/zinc/26/97/50/700269750.db2.gz BNRSTIKIGQBISV-UHFFFAOYSA-N -1 1 311.319 1.664 20 0 DDADMM O=C(OCCC1OCCCO1)c1nn(-c2ccccc2)cc1[O-] ZINC000801204110 700277179 /nfs/dbraw/zinc/27/71/79/700277179.db2.gz WHXSBCDXWRVYQM-UHFFFAOYSA-N -1 1 318.329 1.888 20 0 DDADMM CC1CCC(OCC(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000801705827 700333676 /nfs/dbraw/zinc/33/36/76/700333676.db2.gz XOLMTWDHQRBOQR-UHFFFAOYSA-N -1 1 302.378 1.876 20 0 DDADMM O=C([N-][C@H]1COc2ccccc2C1=O)C(F)(F)c1nccs1 ZINC000756877693 700647054 /nfs/dbraw/zinc/64/70/54/700647054.db2.gz AITPMYYHOLMONI-VIFPVBQESA-N -1 1 324.308 1.995 20 0 DDADMM O=C(COC(=O)c1ncc(F)cc1F)[N-]C(=O)c1ccccc1 ZINC000758617068 700716399 /nfs/dbraw/zinc/71/63/99/700716399.db2.gz UOTDMULTYKQNQC-UHFFFAOYSA-N -1 1 320.251 1.473 20 0 DDADMM C[C@H](Oc1ccc(Cl)cc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000758703265 700719493 /nfs/dbraw/zinc/71/94/93/700719493.db2.gz VVIFKOXTNWHZRB-QMMMGPOBSA-N -1 1 311.725 1.273 20 0 DDADMM O=S(=O)(C[C@@H]1CCCCO1)[N-][C@H]1CCCCC12OCCO2 ZINC000759758249 700770106 /nfs/dbraw/zinc/77/01/06/700770106.db2.gz OOMUZHJKHOVOTO-STQMWFEESA-N -1 1 319.423 1.161 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H]2CCC[C@@H](OC)C2)o1 ZINC000761085459 700842119 /nfs/dbraw/zinc/84/21/19/700842119.db2.gz NCCCDXHOGXUSEI-ZJUUUORDSA-N -1 1 317.363 1.302 20 0 DDADMM Cc1cnc(C(=O)NCC(=O)OCc2ccccc2)c([O-])c1 ZINC000763234517 700930413 /nfs/dbraw/zinc/93/04/13/700930413.db2.gz WBQMIGJNLLZTPT-UHFFFAOYSA-N -1 1 300.314 1.569 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]c1ccn(Cc2ccccn2)n1 ZINC000765066250 700997236 /nfs/dbraw/zinc/99/72/36/700997236.db2.gz AOEFGGOWAOJNGK-UHFFFAOYSA-N -1 1 309.395 1.325 20 0 DDADMM CCC[C@H](NC(=O)[C@H](O)c1ccccc1Cl)c1nn[n-]n1 ZINC000765143986 701000716 /nfs/dbraw/zinc/00/07/16/701000716.db2.gz SGTUFFLYHSGHCE-WDEREUQCSA-N -1 1 309.757 1.544 20 0 DDADMM Cc1nc(-c2ccccc2)ccc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765419343 701011056 /nfs/dbraw/zinc/01/10/56/701011056.db2.gz AOLFAERZIGIGAD-UHFFFAOYSA-N -1 1 324.340 1.836 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(C(C)(C)C)ccc2N)nc1=O ZINC000765460057 701013633 /nfs/dbraw/zinc/01/36/33/701013633.db2.gz UNMIAMYSWGAVDX-UHFFFAOYSA-N -1 1 304.350 1.345 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)OCc2nc(=O)n(C)[n-]2)c1C(C)C ZINC000765502183 701016464 /nfs/dbraw/zinc/01/64/64/701016464.db2.gz LWNCXPZZOHDAQR-UHFFFAOYSA-N -1 1 320.349 1.428 20 0 DDADMM CCOC(=O)N[C@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000767297052 701095956 /nfs/dbraw/zinc/09/59/56/701095956.db2.gz NCVXLECLTZORFN-NSHDSACASA-N -1 1 310.325 1.882 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC1(CO)CCC1 ZINC000767890030 701143401 /nfs/dbraw/zinc/14/34/01/701143401.db2.gz LTQKXJZLLXFRSO-UHFFFAOYSA-N -1 1 318.377 1.016 20 0 DDADMM CC(C)[C@@H]1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000769397879 701243727 /nfs/dbraw/zinc/24/37/27/701243727.db2.gz FMJDQPCKJVRMJP-ZIAGYGMSSA-N -1 1 321.421 1.648 20 0 DDADMM C[C@]1(NC(=O)c2c([O-])cccc2Cl)CCS(=O)(=O)C1 ZINC000769649605 701251160 /nfs/dbraw/zinc/25/11/60/701251160.db2.gz ZAVWCWBDKNBUOU-LBPRGKRZSA-N -1 1 303.767 1.353 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ncc(C)s2)o1 ZINC000771917023 701336837 /nfs/dbraw/zinc/33/68/37/701336837.db2.gz ZKOKNYSYMJYQRG-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CC(=O)[C@@H](Cc1ccc(F)c(F)c1F)OC(=O)c1cn[n-]n1 ZINC000805605012 701397899 /nfs/dbraw/zinc/39/78/99/701397899.db2.gz UNAUECXJLVILOE-SNVBAGLBSA-N -1 1 313.235 1.579 20 0 DDADMM CCc1ccc([C@@H](C)NC(=O)COC(=O)c2cn[n-]n2)cc1 ZINC000805606709 701398904 /nfs/dbraw/zinc/39/89/04/701398904.db2.gz LFTMTHMPLLOVKU-SNVBAGLBSA-N -1 1 302.334 1.401 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC(C4CCOCC4)C3)ccnc1-2 ZINC000806491784 701437722 /nfs/dbraw/zinc/43/77/22/701437722.db2.gz SJEJHVZTKXYRPT-UHFFFAOYSA-N -1 1 315.377 1.232 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cccc(OC)c1F ZINC000808108960 701498875 /nfs/dbraw/zinc/49/88/75/701498875.db2.gz LIMGOXDLPIYVLX-UHFFFAOYSA-N -1 1 319.354 1.775 20 0 DDADMM CO[C@@H]1CCC[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)C1 ZINC000814564239 701721550 /nfs/dbraw/zinc/72/15/50/701721550.db2.gz NMIZUVWVCPXXMZ-UONOGXRCSA-N -1 1 319.357 1.691 20 0 DDADMM CCOC(=O)[C@@H](CC=C(C)C)[N-]S(=O)(=O)C[C@@H](CC)OC ZINC000867758017 701733774 /nfs/dbraw/zinc/73/37/74/701733774.db2.gz FDZXSTJGGPRHOO-CHWSQXEVSA-N -1 1 321.439 1.619 20 0 DDADMM COC(=O)C1CC([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000867770992 701739923 /nfs/dbraw/zinc/73/99/23/701739923.db2.gz KGQXXLTVZGOIOU-UHFFFAOYSA-N -1 1 309.343 1.663 20 0 DDADMM O=C(c1cc(F)ccc1Cl)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000815520428 701886225 /nfs/dbraw/zinc/88/62/25/701886225.db2.gz ZSPVBWXXRWYHTL-JTQLQIEISA-N -1 1 311.704 1.206 20 0 DDADMM COCCO[N-]C(=O)C1(Cc2cccc(F)c2)CCOCC1 ZINC000815754478 701926096 /nfs/dbraw/zinc/92/60/96/701926096.db2.gz PTUMGQCIJBEHKK-UHFFFAOYSA-N -1 1 311.353 1.859 20 0 DDADMM O=C(/C=C/c1ccc[nH]1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831129482 706640244 /nfs/dbraw/zinc/64/02/44/706640244.db2.gz DPHAAMCVCLXHGQ-ONEGZZNKSA-N -1 1 301.268 1.165 20 0 DDADMM Nc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc(Cl)n1 ZINC000816498288 702097617 /nfs/dbraw/zinc/09/76/17/702097617.db2.gz OQAISXJPSPZPMK-SSDOTTSWSA-N -1 1 323.740 1.426 20 0 DDADMM Cc1ccc(CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)o1 ZINC000831133948 706641283 /nfs/dbraw/zinc/64/12/83/706641283.db2.gz GBUFKCIUSJXNOO-UHFFFAOYSA-N -1 1 304.268 1.267 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC2CC=CC2)C1 ZINC000868401947 702109252 /nfs/dbraw/zinc/10/92/52/702109252.db2.gz YFZDFOKCPHHIPN-LBPRGKRZSA-N -1 1 305.300 1.558 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC2CCCC2)C1 ZINC000866179979 706642007 /nfs/dbraw/zinc/64/20/07/706642007.db2.gz VUOPOOREYLSNDB-LBPRGKRZSA-N -1 1 307.316 1.782 20 0 DDADMM O=C(NCC[C@H](O)C1CCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000866185737 706643016 /nfs/dbraw/zinc/64/30/16/706643016.db2.gz YJRWISIWAKZCIE-AWEZNQCLSA-N -1 1 315.373 1.594 20 0 DDADMM O=C(CC[C@@H]1CCCO1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831154464 706646025 /nfs/dbraw/zinc/64/60/25/706646025.db2.gz RKVSRMRWXBFBIQ-JTQLQIEISA-N -1 1 308.300 1.083 20 0 DDADMM C=C1c2ccccc2C(=O)N1[C@@H](C)C(=O)Nc1c(C)[n-][nH]c1=O ZINC000816740663 702173849 /nfs/dbraw/zinc/17/38/49/702173849.db2.gz KICKBXXBMNBTSH-JTQLQIEISA-N -1 1 312.329 1.877 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)[N-]OCCOC(C)C)CC2=O)cc1 ZINC000812807184 702245205 /nfs/dbraw/zinc/24/52/05/702245205.db2.gz ZWJAMQOZZMOPKB-CQSZACIVSA-N -1 1 320.389 1.821 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)[N-]OCCOC(C)C)c2=O ZINC000812808676 702245793 /nfs/dbraw/zinc/24/57/93/702245793.db2.gz JFJNQVDCBYCZMO-UHFFFAOYSA-N -1 1 320.345 1.623 20 0 DDADMM O=C([N-]CCC[S@](=O)c1ccc2n[nH]cc2c1)C(F)(F)F ZINC000840881097 702270334 /nfs/dbraw/zinc/27/03/34/702270334.db2.gz NRJHELBNLTUMDC-NRFANRHFSA-N -1 1 319.308 1.739 20 0 DDADMM CC1(C)CCC[C@](O)(C[N-]S(=O)(=O)c2c[nH]nc2Cl)C1 ZINC000831207035 706657339 /nfs/dbraw/zinc/65/73/39/706657339.db2.gz NRNCNWRCGHUANR-GFCCVEGCSA-N -1 1 321.830 1.673 20 0 DDADMM C[C@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)c1ccnn1C ZINC000817263712 702318747 /nfs/dbraw/zinc/31/87/47/702318747.db2.gz USWYJUGNGADFJD-QMMMGPOBSA-N -1 1 307.272 1.135 20 0 DDADMM CS(C)(=O)=NC(=O)CNC(=O)c1cc(Cl)ccc1[O-] ZINC000868953049 702401533 /nfs/dbraw/zinc/40/15/33/702401533.db2.gz CIVLHOWCZOCHBE-UHFFFAOYSA-N -1 1 304.755 1.030 20 0 DDADMM O=C([N-]CC1CN(c2ccnc(C3CC3)n2)C1)C(F)(F)F ZINC000866294371 706667457 /nfs/dbraw/zinc/66/74/57/706667457.db2.gz OUOJFFZMFMGLDT-UHFFFAOYSA-N -1 1 300.284 1.469 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)Cc2ccc(F)cc2F)C(=O)O1 ZINC000841525812 702480025 /nfs/dbraw/zinc/48/00/25/702480025.db2.gz UYXLHDREDVNCEG-HQJQHLMTSA-N -1 1 305.302 1.088 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCc1cnn(C)n1 ZINC000817973471 702540681 /nfs/dbraw/zinc/54/06/81/702540681.db2.gz JQKMDTZBGXFCGU-UHFFFAOYSA-N -1 1 318.381 1.105 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)CCc1ccoc1 ZINC000869429746 702604469 /nfs/dbraw/zinc/60/44/69/702604469.db2.gz NIUIYNNPQDCZML-UHFFFAOYSA-N -1 1 321.251 1.393 20 0 DDADMM CC(C)C[C@H](C)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869473623 702618154 /nfs/dbraw/zinc/61/81/54/702618154.db2.gz GKKWYKDUYPHJIP-VIFPVBQESA-N -1 1 311.300 1.850 20 0 DDADMM Cn1cc(N2CCC[C@H](O[N-]C(=O)CC(C)(C)C)C2=O)cn1 ZINC000842062761 702665483 /nfs/dbraw/zinc/66/54/83/702665483.db2.gz AJPWKCWXNGNWGX-LBPRGKRZSA-N -1 1 308.382 1.400 20 0 DDADMM C[C@@H](O[N-]C(=O)CC(C)(C)C)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000842063401 702665905 /nfs/dbraw/zinc/66/59/05/702665905.db2.gz MTLKZHXAXSPKLU-SNVBAGLBSA-N -1 1 321.377 1.597 20 0 DDADMM C[C@@H]1CCC[C@H]1CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014954 702803684 /nfs/dbraw/zinc/80/36/84/702803684.db2.gz JEYSCCUXRIWONW-OLZOCXBDSA-N -1 1 316.467 1.743 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CCc2ccoc2)CCC1 ZINC000843017034 702804083 /nfs/dbraw/zinc/80/40/83/702804083.db2.gz YTKBVNHBDFHQGF-UHFFFAOYSA-N -1 1 314.407 1.143 20 0 DDADMM C[C@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C1CCCC1 ZINC000843017132 702804304 /nfs/dbraw/zinc/80/43/04/702804304.db2.gz ZGMBNYHQMOPYBH-LBPRGKRZSA-N -1 1 316.467 1.743 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000844247622 702999348 /nfs/dbraw/zinc/99/93/48/702999348.db2.gz OVXWSTDSSQAMEZ-GFCCVEGCSA-N -1 1 302.334 1.445 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000844247622 702999351 /nfs/dbraw/zinc/99/93/51/702999351.db2.gz OVXWSTDSSQAMEZ-GFCCVEGCSA-N -1 1 302.334 1.445 20 0 DDADMM CCC[C@H](C)[C@H](CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000846360098 703269173 /nfs/dbraw/zinc/26/91/73/703269173.db2.gz KWCGLKROLJHORA-ONGXEEELSA-N -1 1 308.407 1.545 20 0 DDADMM Cc1cc(CC(=O)NC[C@](C)(C(=O)[O-])c2ccccc2)[nH]n1 ZINC000846712658 703322858 /nfs/dbraw/zinc/32/28/58/703322858.db2.gz WVVKAFUORYHSGW-INIZCTEOSA-N -1 1 301.346 1.419 20 0 DDADMM Cn1c2ccccc2sc1=NC(=O)C1CCCS1(=O)=O ZINC000846769414 703328683 /nfs/dbraw/zinc/32/86/83/703328683.db2.gz AAFKTMGBNMRFJD-LLVKDONJSA-N -1 1 310.400 1.244 20 0 DDADMM Cn1c2ccccc2sc1=NC([O-])=C1CCCS1(=O)=O ZINC000846769414 703328686 /nfs/dbraw/zinc/32/86/86/703328686.db2.gz AAFKTMGBNMRFJD-LLVKDONJSA-N -1 1 310.400 1.244 20 0 DDADMM CC[C@@H](C[C@H](C)CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866900583 706839267 /nfs/dbraw/zinc/83/92/67/706839267.db2.gz GTKHODYYKITSJD-IUCAKERBSA-N -1 1 308.350 1.435 20 0 DDADMM C[C@H]1OCC[C@@H]1C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341508 703541136 /nfs/dbraw/zinc/54/11/36/703541136.db2.gz ZUXKBEAYDYLVIZ-CHWFTXMASA-N -1 1 322.327 1.469 20 0 DDADMM CC[C@@H](C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C)[C@@H](C)O ZINC000848391357 703545587 /nfs/dbraw/zinc/54/55/87/703545587.db2.gz GEXAJZREMBQSAY-GWOFURMSSA-N -1 1 324.343 1.451 20 0 DDADMM Cc1nn(C(C)C)cc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000848812319 703591695 /nfs/dbraw/zinc/59/16/95/703591695.db2.gz POXCIBHORWLTIG-UHFFFAOYSA-N -1 1 321.299 1.998 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H]2COC(C)(C)C2)c1 ZINC000849810797 703680365 /nfs/dbraw/zinc/68/03/65/703680365.db2.gz CNZVHLVNWNFXCP-GTJPDFRWSA-N -1 1 312.387 1.914 20 0 DDADMM CC1(C)CNC(=O)[C@@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000851818687 703864015 /nfs/dbraw/zinc/86/40/15/703864015.db2.gz WVNHVHVDUBKQBA-LBPRGKRZSA-N -1 1 308.359 1.236 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccc(Cl)nc1F)OCC1CC1 ZINC000866706667 706770228 /nfs/dbraw/zinc/77/02/28/706770228.db2.gz JISAHCVLGOCWJA-UHFFFAOYSA-N -1 1 322.745 1.106 20 0 DDADMM CC(C)C(C)(C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866727236 706777450 /nfs/dbraw/zinc/77/74/50/706777450.db2.gz BGHNWZWWGPGRHF-UHFFFAOYSA-N -1 1 310.217 1.885 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC000870381588 704016627 /nfs/dbraw/zinc/01/66/27/704016627.db2.gz XHFUCGADSJJDTP-QWRGUYRKSA-N -1 1 319.361 1.621 20 0 DDADMM Cc1noc(C)c1CCN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764341 706785311 /nfs/dbraw/zinc/78/53/11/706785311.db2.gz UBIOPGYWHZUHJS-CYBMUJFWSA-N -1 1 319.327 1.977 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C[C@@H](O)CC(F)(F)F)C1 ZINC000879765807 706785655 /nfs/dbraw/zinc/78/56/55/706785655.db2.gz IDPZEHIJSISYHA-IONNQARKSA-N -1 1 322.249 1.443 20 0 DDADMM O=C(Nc1cnn2c1CCCC2)c1ccc2n[n-]c(=S)n2c1 ZINC000870696006 704106020 /nfs/dbraw/zinc/10/60/20/704106020.db2.gz WSBOKPKEEJWMPR-UHFFFAOYSA-N -1 1 314.374 1.803 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC(C3CCCCC3)CC2)C1=O ZINC000852725322 704107005 /nfs/dbraw/zinc/10/70/05/704107005.db2.gz BMINWKNVXGPXEN-OAHLLOKOSA-N -1 1 308.422 1.964 20 0 DDADMM O=C([O-])[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CC1CCCC1 ZINC000852735274 704108972 /nfs/dbraw/zinc/10/89/72/704108972.db2.gz HALPSKLUTZNPAR-MNOVXSKESA-N -1 1 322.327 1.773 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]C(=O)C(F)(F)C(F)F)[C@H]1n1cccn1 ZINC000819397103 704120335 /nfs/dbraw/zinc/12/03/35/704120335.db2.gz JGPWURJGYPEUMX-DJLDLDEBSA-N -1 1 309.263 1.618 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)Cn2cccn2)c1 ZINC000820220035 704242269 /nfs/dbraw/zinc/24/22/69/704242269.db2.gz XKWCCDMCFHXRMD-IPQOISQHSA-N -1 1 322.386 1.631 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)CC1(OC)CCC1)C(F)(F)F ZINC000866786356 706800784 /nfs/dbraw/zinc/80/07/84/706800784.db2.gz REDCBFYSJUJGDQ-MRVPVSSYSA-N -1 1 305.318 1.052 20 0 DDADMM CC[N@@H+]1CC[C@H]2OCCN(C(=O)c3cccc(F)c3O)[C@H]2C1 ZINC000820592423 704306542 /nfs/dbraw/zinc/30/65/42/704306542.db2.gz FDXQPDRDPSKJCU-UONOGXRCSA-N -1 1 308.353 1.467 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)N=S(C)(C)=O)N(C)c1ccccc1 ZINC000820787592 704330937 /nfs/dbraw/zinc/33/09/37/704330937.db2.gz BZUFWINXZHOHJS-LLVKDONJSA-N -1 1 319.452 1.073 20 0 DDADMM COC(=O)[C@@H]1CO[C@H](CCC(=O)Nc2c([O-])cccc2F)C1 ZINC000821344126 704394315 /nfs/dbraw/zinc/39/43/15/704394315.db2.gz IQYKSIASQAHQLO-VHSXEESVSA-N -1 1 311.309 1.828 20 0 DDADMM CN(C)C(=O)OCCNC(=O)c1cc2ccccc2cc1[O-] ZINC000855652728 704495515 /nfs/dbraw/zinc/49/55/15/704495515.db2.gz TYNVONXGDUYYBI-UHFFFAOYSA-N -1 1 302.330 1.973 20 0 DDADMM O=C(Cc1ccc(Cl)s1)[N-]OCCN1CCCC1=O ZINC000856044868 704511687 /nfs/dbraw/zinc/51/16/87/704511687.db2.gz ZXVVEXODRVKOIV-UHFFFAOYSA-N -1 1 302.783 1.614 20 0 DDADMM C[C@@H]1CCN(C(=O)C2=CCOCC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856417283 704527985 /nfs/dbraw/zinc/52/79/85/704527985.db2.gz OAYIFRPQRWZVIC-KOLCDFICSA-N -1 1 320.311 1.249 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H](C)CC(F)(F)F ZINC000867114829 706900553 /nfs/dbraw/zinc/90/05/53/706900553.db2.gz CXFAGWUBVCAZJF-SSDOTTSWSA-N -1 1 310.363 1.670 20 0 DDADMM C[C@H](O)CCC[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000867204690 706924278 /nfs/dbraw/zinc/92/42/78/706924278.db2.gz LELJRAQWGVILDC-ZETCQYMHSA-N -1 1 313.206 1.828 20 0 DDADMM CC(C)OCCOC[C@H](O)CN(C)c1cc(Cl)[n-]c(=O)n1 ZINC000858364217 704700517 /nfs/dbraw/zinc/70/05/17/704700517.db2.gz DEOIRWJVGBNYBX-SNVBAGLBSA-N -1 1 319.789 1.074 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H]1CCC(F)(F)C1 ZINC000867220694 706928732 /nfs/dbraw/zinc/92/87/32/706928732.db2.gz HBRUZFQXDRVJKZ-SECBINFHSA-N -1 1 316.395 1.518 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CCC(F)(F)C1 ZINC000867221397 706929066 /nfs/dbraw/zinc/92/90/66/706929066.db2.gz RQWMCXRHBWMPLR-VIFPVBQESA-N -1 1 318.411 1.764 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CN(c2cc(Cl)[n-]c(=O)n2)CCO1 ZINC000858431779 704710593 /nfs/dbraw/zinc/71/05/93/704710593.db2.gz AVVWKSWLFDVQSI-QMMMGPOBSA-N -1 1 315.757 1.383 20 0 DDADMM CO[C@@](C)(C[N-]S(=O)(=O)c1ccc(F)nc1F)C1CC1 ZINC000867287742 706948566 /nfs/dbraw/zinc/94/85/66/706948566.db2.gz KNHZAGDWZOXGTB-LBPRGKRZSA-N -1 1 306.334 1.453 20 0 DDADMM C[C@H](F)CC[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000867308743 706955418 /nfs/dbraw/zinc/95/54/18/706955418.db2.gz MSNXWQCQBVZTNO-XTZNXHDOSA-N -1 1 308.400 1.726 20 0 DDADMM COCOCCC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000859384068 704886748 /nfs/dbraw/zinc/88/67/48/704886748.db2.gz XJFITCDEUPZPDB-UHFFFAOYSA-N -1 1 311.762 1.768 20 0 DDADMM O=C([N-]OCCC(F)(F)F)[C@H]1CNC(=O)C[C@@H]1C(F)(F)F ZINC000822161744 704888895 /nfs/dbraw/zinc/88/88/95/704888895.db2.gz DDAONMLHKXBWRK-WDSKDSINSA-N -1 1 322.205 1.301 20 0 DDADMM CC[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867350626 706971240 /nfs/dbraw/zinc/97/12/40/706971240.db2.gz KYXYAXRWQRAVGI-RQJHMYQMSA-N -1 1 308.201 1.497 20 0 DDADMM CC[C@H](C)C[C@H](CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867449451 707004822 /nfs/dbraw/zinc/00/48/22/707004822.db2.gz VDOHYCPUXISRLR-DTWKUNHWSA-N -1 1 308.350 1.435 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H](C1CC1)C1CCOCC1 ZINC000867459907 707008486 /nfs/dbraw/zinc/00/84/86/707008486.db2.gz ZROJJOMLXVPPCI-CWTRNNRKSA-N -1 1 324.468 1.144 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(Cc1cnnn1-c1ccccc1)CC2 ZINC000859806880 705009306 /nfs/dbraw/zinc/00/93/06/705009306.db2.gz POYLCGFFCXFMBN-HNNXBMFYSA-N -1 1 312.373 1.954 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H]1C[C@H](C(=O)[O-])C1)c1cccc(F)c1 ZINC000874382701 705029036 /nfs/dbraw/zinc/02/90/36/705029036.db2.gz KZRZPJUCRYZKKP-UBHSHLNASA-N -1 1 323.368 1.591 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)c1cccc(F)c1 ZINC000874382704 705029188 /nfs/dbraw/zinc/02/91/88/705029188.db2.gz KZRZPJUCRYZKKP-YUTCNCBUSA-N -1 1 323.368 1.591 20 0 DDADMM O=C(NCCN1CCc2ccccc2C1)N[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000874387238 705031910 /nfs/dbraw/zinc/03/19/10/705031910.db2.gz QKTDXAPZVJLQLP-GASCZTMLSA-N -1 1 317.389 1.207 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)NCc1ccccc1C(=O)[O-] ZINC000874410483 705046570 /nfs/dbraw/zinc/04/65/70/705046570.db2.gz VYRYFRCZIVICKO-HNNXBMFYSA-N -1 1 319.405 1.866 20 0 DDADMM COC(=O)c1c[n-]c(C(=O)OC)c1NC(=O)C(F)=C1CCC1 ZINC000823707728 705299651 /nfs/dbraw/zinc/29/96/51/705299651.db2.gz MARVMNHFIWAFMZ-UHFFFAOYSA-N -1 1 310.281 1.934 20 0 DDADMM OCCOc1ccc(NCc2ccc(-c3nn[n-]n3)s2)cc1 ZINC000823721720 705302144 /nfs/dbraw/zinc/30/21/44/705302144.db2.gz HXDAPRDVZYMDRN-UHFFFAOYSA-N -1 1 317.374 1.911 20 0 DDADMM CCO[N-]C(=O)CNC(=O)c1cccc2nc(C(F)F)[nH]c21 ZINC000824004469 705353857 /nfs/dbraw/zinc/35/38/57/705353857.db2.gz WSIJSCFQSYREJG-UHFFFAOYSA-N -1 1 312.276 1.298 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)n[n-]1 ZINC000824887184 705551582 /nfs/dbraw/zinc/55/15/82/705551582.db2.gz JAGAOSMFJDTARZ-URIQBSJHSA-N -1 1 318.377 1.451 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)[n-]1 ZINC000824887184 705551585 /nfs/dbraw/zinc/55/15/85/705551585.db2.gz JAGAOSMFJDTARZ-URIQBSJHSA-N -1 1 318.377 1.451 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)n1 ZINC000824887184 705551587 /nfs/dbraw/zinc/55/15/87/705551587.db2.gz JAGAOSMFJDTARZ-URIQBSJHSA-N -1 1 318.377 1.451 20 0 DDADMM CC[C@@H](C)C[C@@H](C)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825061080 705590208 /nfs/dbraw/zinc/59/02/08/705590208.db2.gz WGLXVCUQWZQWHO-RKDXNWHRSA-N -1 1 306.370 1.647 20 0 DDADMM CC[C@@H](C)C[C@@H](C)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825061080 705590214 /nfs/dbraw/zinc/59/02/14/705590214.db2.gz WGLXVCUQWZQWHO-RKDXNWHRSA-N -1 1 306.370 1.647 20 0 DDADMM CC[C@@H]1C[C@H](OC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000825184618 705619164 /nfs/dbraw/zinc/61/91/64/705619164.db2.gz WUEMAQVXXSETBN-GHMZBOCLSA-N -1 1 303.322 1.376 20 0 DDADMM CC[C@@H]1C[C@H](OC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000825184618 705619167 /nfs/dbraw/zinc/61/91/67/705619167.db2.gz WUEMAQVXXSETBN-GHMZBOCLSA-N -1 1 303.322 1.376 20 0 DDADMM CCC[C@@](C)(NCc1ccc(-c2nn[n-]n2)s1)C(=O)OC ZINC000825233580 705630425 /nfs/dbraw/zinc/63/04/25/705630425.db2.gz QYFKOQSQBVBHLH-CYBMUJFWSA-N -1 1 309.395 1.750 20 0 DDADMM C[C@H]1CCCCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000876299662 705682920 /nfs/dbraw/zinc/68/29/20/705682920.db2.gz DNKFEPUMTKBKMQ-NSHDSACASA-N -1 1 320.393 1.587 20 0 DDADMM C[C@@H]1c2nncn2CCN1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876395330 705707599 /nfs/dbraw/zinc/70/75/99/705707599.db2.gz DYOVNKKEUQAFMM-SECBINFHSA-N -1 1 321.768 1.924 20 0 DDADMM O=C(Nc1ccncc1[O-])c1c(Br)nc2n1CCC2 ZINC000825769655 705728313 /nfs/dbraw/zinc/72/83/13/705728313.db2.gz AVZANJGKBZJISL-UHFFFAOYSA-N -1 1 323.150 1.367 20 0 DDADMM COC(=O)C1(CNc2cccc(-c3nnn[n-]3)n2)CCCCC1 ZINC000826125050 705774983 /nfs/dbraw/zinc/77/49/83/705774983.db2.gz ZUXRGZGFRCOIKB-UHFFFAOYSA-N -1 1 316.365 1.797 20 0 DDADMM COC(=O)C1(CNc2cccc(-c3nn[n-]n3)n2)CCCCC1 ZINC000826125050 705774986 /nfs/dbraw/zinc/77/49/86/705774986.db2.gz ZUXRGZGFRCOIKB-UHFFFAOYSA-N -1 1 316.365 1.797 20 0 DDADMM Cc1cc(NCc2ccc(-c3nnn[n-]3)o2)ccc1OCCO ZINC000826271502 705786322 /nfs/dbraw/zinc/78/63/22/705786322.db2.gz UWOJZUSGJYQOKX-UHFFFAOYSA-N -1 1 315.333 1.751 20 0 DDADMM Cc1cc(NCc2ccc(-c3nn[n-]n3)o2)ccc1OCCO ZINC000826271502 705786324 /nfs/dbraw/zinc/78/63/24/705786324.db2.gz UWOJZUSGJYQOKX-UHFFFAOYSA-N -1 1 315.333 1.751 20 0 DDADMM Cc1onc(CC(=O)Nc2c(C)cccc2C)c1-c1nnn[n-]1 ZINC000826296962 705787005 /nfs/dbraw/zinc/78/70/05/705787005.db2.gz PVRUEVDLRVTOJE-UHFFFAOYSA-N -1 1 312.333 1.961 20 0 DDADMM Cc1onc(CC(=O)Nc2c(C)cccc2C)c1-c1nn[n-]n1 ZINC000826296962 705787010 /nfs/dbraw/zinc/78/70/10/705787010.db2.gz PVRUEVDLRVTOJE-UHFFFAOYSA-N -1 1 312.333 1.961 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H]2CC(C)C)c1-c1nnn[n-]1 ZINC000826344905 705793523 /nfs/dbraw/zinc/79/35/23/705793523.db2.gz WGHGZJJOPFJPKT-LLVKDONJSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H]2CC(C)C)c1-c1nn[n-]n1 ZINC000826344905 705793527 /nfs/dbraw/zinc/79/35/27/705793527.db2.gz WGHGZJJOPFJPKT-LLVKDONJSA-N -1 1 318.381 1.743 20 0 DDADMM O=C(NC1CCC(CO)CC1)c1ccc(-c2nnn[n-]2)s1 ZINC000826429283 705799758 /nfs/dbraw/zinc/79/97/58/705799758.db2.gz YVUDKDNRMJUAMU-UHFFFAOYSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(NC1CCC(CO)CC1)c1ccc(-c2nn[n-]n2)s1 ZINC000826429283 705799761 /nfs/dbraw/zinc/79/97/61/705799761.db2.gz YVUDKDNRMJUAMU-UHFFFAOYSA-N -1 1 307.379 1.209 20 0 DDADMM c1ccc(C[C@H]2COCCN2c2cccc(-c3nnn[n-]3)n2)cc1 ZINC000826520684 705806951 /nfs/dbraw/zinc/80/69/51/705806951.db2.gz PKEIMPVWTBGNGV-AWEZNQCLSA-N -1 1 322.372 1.710 20 0 DDADMM c1ccc(C[C@H]2COCCN2c2cccc(-c3nn[n-]n3)n2)cc1 ZINC000826520684 705806954 /nfs/dbraw/zinc/80/69/54/705806954.db2.gz PKEIMPVWTBGNGV-AWEZNQCLSA-N -1 1 322.372 1.710 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CO[C@@H](C2CC2)C1 ZINC000863285116 705889946 /nfs/dbraw/zinc/88/99/46/705889946.db2.gz GAPDCPSHGIKAOQ-NWDGAFQWSA-N -1 1 305.378 1.911 20 0 DDADMM COc1cnc(F)c([N-]S(=O)(=O)c2cn(C)nc2C)c1 ZINC000863449377 705932951 /nfs/dbraw/zinc/93/29/51/705932951.db2.gz FHRBKANWNABGHB-UHFFFAOYSA-N -1 1 300.315 1.072 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)c2ccns2)co1 ZINC000863611612 705967539 /nfs/dbraw/zinc/96/75/39/705967539.db2.gz WZHVGMIKZVGCKF-SSDOTTSWSA-N -1 1 315.376 1.135 20 0 DDADMM C[C@@H]1CC[N@H+](Cc2ccn(C)c2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000827851741 706076307 /nfs/dbraw/zinc/07/63/07/706076307.db2.gz UTTSSLWKTRLADQ-PWSUYJOCSA-N -1 1 303.328 1.914 20 0 DDADMM C/C(=C/c1ccccn1)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000864159964 706084795 /nfs/dbraw/zinc/08/47/95/706084795.db2.gz PKOLDRCURUZSOS-FZDNWWAKSA-N -1 1 317.389 1.492 20 0 DDADMM O=C([O-])[C@]12C[C@H]1C[C@H](NC(=O)c1cc(F)cc3nc[nH]c31)C2 ZINC000864210717 706100246 /nfs/dbraw/zinc/10/02/46/706100246.db2.gz RLGJWNBUZMRYNR-SDRGUPOISA-N -1 1 303.293 1.685 20 0 DDADMM CN(CC(=O)N(C)c1ccccc1)CC(C)(C)CNC(=O)[O-] ZINC000828090916 706116528 /nfs/dbraw/zinc/11/65/28/706116528.db2.gz SOWJHNVUYMYUPF-UHFFFAOYSA-N -1 1 307.394 1.875 20 0 DDADMM CCN(OC)C(=O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000828170720 706131991 /nfs/dbraw/zinc/13/19/91/706131991.db2.gz SSTORXGBHRUDBL-UHFFFAOYSA-N -1 1 324.761 1.167 20 0 DDADMM O=C([O-])[C@@]1(NCc2cccnc2Br)CCSC1 ZINC000864511379 706185234 /nfs/dbraw/zinc/18/52/34/706185234.db2.gz WNNNACIXONXROB-LLVKDONJSA-N -1 1 317.208 1.894 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1ccc2c(c1)COC2 ZINC000872360651 707390214 /nfs/dbraw/zinc/39/02/14/707390214.db2.gz BDGLWWDCLIVIQK-UHFFFAOYSA-N -1 1 318.420 1.881 20 0 DDADMM O=C([N-]CC1CN(Cc2cc3n(n2)CCCC3)C1)C(F)(F)F ZINC000877776330 706206878 /nfs/dbraw/zinc/20/68/78/706206878.db2.gz GIIPZKDJHUCHJI-UHFFFAOYSA-N -1 1 316.327 1.330 20 0 DDADMM CCn1c([S-])nnc1CNC(=O)c1cccn(C2CC2)c1=O ZINC000865168607 706368924 /nfs/dbraw/zinc/36/89/24/706368924.db2.gz JAZVQRKCGIIZPU-UHFFFAOYSA-N -1 1 319.390 1.013 20 0 DDADMM COCCC[N@@H+](CCO)Cc1nnc(-c2ccccc2O)o1 ZINC000878480265 706402127 /nfs/dbraw/zinc/40/21/27/706402127.db2.gz OCUYEWWWEPHAJI-UHFFFAOYSA-N -1 1 307.350 1.273 20 0 DDADMM C[C@]1([N-]S(=O)(=O)N=[S@@](C)(=O)C2CCCCC2)CCOC1 ZINC000882017966 707467654 /nfs/dbraw/zinc/46/76/54/707467654.db2.gz MHNYGOJPMVRLAK-BUXKBTBVSA-N -1 1 324.468 1.430 20 0 DDADMM CCS(=O)(=O)CCSc1nc(C)c(C2CC2)c(=O)[n-]1 ZINC000865373068 706415949 /nfs/dbraw/zinc/41/59/49/706415949.db2.gz FAIYWSWUTKULJQ-UHFFFAOYSA-N -1 1 302.421 1.895 20 0 DDADMM O=C(C[N@H+]1CCC[C@@H]1c1cn[nH]c1)[N-]OCc1ccccc1 ZINC000878875762 706522613 /nfs/dbraw/zinc/52/26/13/706522613.db2.gz FGGUMCDZGQLLAN-OAHLLOKOSA-N -1 1 300.362 1.795 20 0 DDADMM O=C(CN1CCC[C@@H]1c1cn[nH]c1)[N-]OCc1ccccc1 ZINC000878875762 706522615 /nfs/dbraw/zinc/52/26/15/706522615.db2.gz FGGUMCDZGQLLAN-OAHLLOKOSA-N -1 1 300.362 1.795 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)/C=C/[C@H]2CCOC2)C1 ZINC000830796093 706581522 /nfs/dbraw/zinc/58/15/22/706581522.db2.gz VZPAVFMEVDLKSW-JUYSOIKJSA-N -1 1 320.311 1.249 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@H]2CCCOC2)C1 ZINC000830801147 706582037 /nfs/dbraw/zinc/58/20/37/706582037.db2.gz IBHXRTORORKGIH-MFKMUULPSA-N -1 1 322.327 1.473 20 0 DDADMM O=C(N[C@@H]([C@@H](CO)C1CC1)C1CC1)c1cnc(C2CC2)[n-]c1=O ZINC000908985939 712909210 /nfs/dbraw/zinc/90/92/10/712909210.db2.gz LRLXWDIXRNRVBM-UONOGXRCSA-N -1 1 317.389 1.587 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000832075996 706838306 /nfs/dbraw/zinc/83/83/06/706838306.db2.gz MASRTLDQDQYRMI-ZJUUUORDSA-N -1 1 313.350 1.038 20 0 DDADMM CC(C)COC(=O)[C@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867029748 706877008 /nfs/dbraw/zinc/87/70/08/706877008.db2.gz SABDMMBWKRLPBJ-QMMMGPOBSA-N -1 1 322.333 1.226 20 0 DDADMM Cc1nccnc1[C@@H](C)NCC(=O)[N-]OCc1ccccc1 ZINC000880107921 706879943 /nfs/dbraw/zinc/87/99/43/706879943.db2.gz IHCQVVVZRSFNFH-CYBMUJFWSA-N -1 1 300.362 1.684 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1(C)C ZINC000867058662 706885467 /nfs/dbraw/zinc/88/54/67/706885467.db2.gz IIMWFVDNROTSOW-BKDNQFJXSA-N -1 1 320.361 1.698 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C1Cc2ccccc2C1 ZINC000867107860 706898214 /nfs/dbraw/zinc/89/82/14/706898214.db2.gz URCSQGIQECVRQC-GOSISDBHSA-N -1 1 302.421 1.106 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H]1CCO)c1ccc(F)nc1F ZINC000867135552 706907083 /nfs/dbraw/zinc/90/70/83/706907083.db2.gz XOAQKVUTDOPBMR-IUCAKERBSA-N -1 1 306.334 1.189 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCC12CCOCC2 ZINC000867232058 706933148 /nfs/dbraw/zinc/93/31/48/706933148.db2.gz HYQXUAUVEZBQKB-WYRIXSBYSA-N -1 1 324.468 1.288 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c(=O)[nH]1 ZINC000832871994 706997081 /nfs/dbraw/zinc/99/70/81/706997081.db2.gz JQRWQVZIDUEIOK-LBPRGKRZSA-N -1 1 321.377 1.025 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCCOC1)c1ccc(F)nc1F ZINC000867553277 707037478 /nfs/dbraw/zinc/03/74/78/707037478.db2.gz VNHSHUMZIWCLGM-SECBINFHSA-N -1 1 306.334 1.455 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Br)o2)[C@H](C)O1 ZINC000867609397 707052892 /nfs/dbraw/zinc/05/28/92/707052892.db2.gz RDVRSLYVKIHZKD-CSMHCCOUSA-N -1 1 324.196 1.886 20 0 DDADMM NC(=O)[C@H]1CCC[C@H](C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000834825266 707131413 /nfs/dbraw/zinc/13/14/13/707131413.db2.gz JDIQGICBOZVNHN-QWRGUYRKSA-N -1 1 310.781 1.954 20 0 DDADMM C[C@@H](NCc1nc2c(c(=O)[n-]1)COCC2)C(=O)OC(C)(C)C ZINC000871932558 707248690 /nfs/dbraw/zinc/24/86/90/707248690.db2.gz UWGWAUSDYILNQG-SECBINFHSA-N -1 1 309.366 1.075 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)CCC1CCCC1)N(C)C ZINC000835950233 707356808 /nfs/dbraw/zinc/35/68/08/707356808.db2.gz QNCHIZVWIVIMGI-ZDUSSCGKSA-N -1 1 304.456 1.599 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C1CCC1)c1cc(F)ccc1F ZINC000881856181 707394649 /nfs/dbraw/zinc/39/46/49/707394649.db2.gz FHGNGTMBYFQBOU-LBPRGKRZSA-N -1 1 319.329 1.651 20 0 DDADMM CC(C)(CO)O[N-]C(=O)CCc1nc(-c2ccsc2)no1 ZINC000836802759 707518773 /nfs/dbraw/zinc/51/87/73/707518773.db2.gz XXYDOWLYXXLAGD-UHFFFAOYSA-N -1 1 311.363 1.549 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000836904846 707548436 /nfs/dbraw/zinc/54/84/36/707548436.db2.gz HAWPFKJCTWTWNB-HUUCEWRRSA-N -1 1 320.389 1.100 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@]2(CC(F)(F)C2(F)F)C1 ZINC000927266604 712966022 /nfs/dbraw/zinc/96/60/22/712966022.db2.gz LJGHYWXVRAYPBU-JTQLQIEISA-N -1 1 321.278 1.415 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CC1CSC1 ZINC000882405999 707625334 /nfs/dbraw/zinc/62/53/34/707625334.db2.gz KUBCQBVTLQJBPB-MRXNPFEDSA-N -1 1 300.471 1.080 20 0 DDADMM C[C@H](C[S@@](C)=O)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872967622 707675541 /nfs/dbraw/zinc/67/55/41/707675541.db2.gz JKKXDNABZYFUNG-LILOVNGRSA-N -1 1 304.799 1.612 20 0 DDADMM CC1(C)CC[C@@H](C[N-]S(=O)(=O)c2c[nH]nc2Cl)OC1 ZINC000882565668 707701262 /nfs/dbraw/zinc/70/12/62/707701262.db2.gz RCBSVDXKRMYOKW-QMMMGPOBSA-N -1 1 307.803 1.547 20 0 DDADMM CCN1C[C@@H](NC(=O)NCc2ccc([O-])c(Cl)c2)CC1=O ZINC000873032666 707701566 /nfs/dbraw/zinc/70/15/66/707701566.db2.gz RAQZPPPMLMBXSI-JTQLQIEISA-N -1 1 311.769 1.466 20 0 DDADMM O=C([N-]CCCOC(=O)c1ncc[nH]c1=S)C(F)(F)F ZINC000837747835 707736492 /nfs/dbraw/zinc/73/64/92/707736492.db2.gz JLNKKFNOQINFTK-UHFFFAOYSA-N -1 1 309.269 1.365 20 0 DDADMM CN(CC(=O)NC[C@H](C(=O)[O-])c1ccccc1)[C@@H]1CCSC1 ZINC000909296517 712984877 /nfs/dbraw/zinc/98/48/77/712984877.db2.gz OOQLOSREBOISLH-KGLIPLIRSA-N -1 1 322.430 1.408 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@]23C[C@@H]2CCCC3)co1 ZINC000927443673 712985638 /nfs/dbraw/zinc/98/56/38/712985638.db2.gz VHQMXNBVCRAUIK-SMDDNHRTSA-N -1 1 312.391 1.498 20 0 DDADMM O=C(COC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)[N-]C(=O)c1ccccc1 ZINC000838417393 707915389 /nfs/dbraw/zinc/91/53/89/707915389.db2.gz DOFJESXCPGYMCT-UPJWGTAASA-N -1 1 303.314 1.054 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000838427927 707918340 /nfs/dbraw/zinc/91/83/40/707918340.db2.gz LGKUJUJETOCYTG-VIFPVBQESA-N -1 1 321.299 1.211 20 0 DDADMM CCN(CC(=O)N[C@@H](C(=O)[O-])c1ccc(OC)cc1)C1CC1 ZINC000909328681 712992789 /nfs/dbraw/zinc/99/27/89/712992789.db2.gz LOZUIGKPMRTZNW-OAHLLOKOSA-N -1 1 306.362 1.421 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC2(c3ccccc3)CC2)C1 ZINC000909406988 713010245 /nfs/dbraw/zinc/01/02/45/713010245.db2.gz KPGUXHVGBKPEHO-ZDUSSCGKSA-N -1 1 302.374 1.589 20 0 DDADMM Cc1ncc2c(n1)CC[C@@H]([N-]S(=O)(=O)c1ccns1)C2 ZINC000884926811 708422700 /nfs/dbraw/zinc/42/27/00/708422700.db2.gz FEOKFDHHZRGAHP-SNVBAGLBSA-N -1 1 310.404 1.077 20 0 DDADMM Cc1nc(CC[N-]S(=O)(=O)c2ccns2)sc1C ZINC000885060222 708458890 /nfs/dbraw/zinc/45/88/90/708458890.db2.gz SQYFEWVQKUGDAG-UHFFFAOYSA-N -1 1 303.434 1.737 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCC(CO)(CO)C1 ZINC000912550742 713034684 /nfs/dbraw/zinc/03/46/84/713034684.db2.gz CCGFJEVGSSJUFB-UHFFFAOYSA-N -1 1 319.279 1.228 20 0 DDADMM CC(C)(C)OC(=O)CCCC[N-]S(=O)(=O)c1ccns1 ZINC000885084778 708466866 /nfs/dbraw/zinc/46/68/66/708466866.db2.gz DRXHWQSAXAWDND-UHFFFAOYSA-N -1 1 320.436 1.933 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])C[C@H]1O ZINC000897912547 708491063 /nfs/dbraw/zinc/49/10/63/708491063.db2.gz GPLTYYBEMFVCIF-ZIAGYGMSSA-N -1 1 303.314 1.083 20 0 DDADMM CCSCC[C@H](C)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912541560 713033136 /nfs/dbraw/zinc/03/31/36/713033136.db2.gz HNCZXIYHMWQXCS-QMMMGPOBSA-N -1 1 321.424 1.006 20 0 DDADMM CC[C@@H](COCC(F)(F)F)[N-]S(=O)(=O)c1ccns1 ZINC000885212462 708495486 /nfs/dbraw/zinc/49/54/86/708495486.db2.gz LNNSIHWHIPQQIY-ZETCQYMHSA-N -1 1 318.342 1.779 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CC[C@@H](C)[C@H](C)C1 ZINC000912548837 713034340 /nfs/dbraw/zinc/03/43/40/713034340.db2.gz YPVXUNBYICPSIP-OPRDCNLKSA-N -1 1 315.395 1.299 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2cc(C3CC3)no2)c1Cl ZINC000885601653 708583060 /nfs/dbraw/zinc/58/30/60/708583060.db2.gz SGRLYHKCRYQBQN-UHFFFAOYSA-N -1 1 316.770 1.417 20 0 DDADMM CC1(C)CO[C@@H](CC[N-]S(=O)(=O)N=S2(=O)CCCC2)C1 ZINC000885675126 708595062 /nfs/dbraw/zinc/59/50/62/708595062.db2.gz NYUFDFWXJLZCSR-NSHDSACASA-N -1 1 324.468 1.288 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@@H](CCO)C(F)(F)F)s1 ZINC000885828574 708634840 /nfs/dbraw/zinc/63/48/40/708634840.db2.gz QBLCZGNEZKZUDF-ZETCQYMHSA-N -1 1 303.327 1.648 20 0 DDADMM Cn1nccc1[C@@H](O)CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000886243534 708723104 /nfs/dbraw/zinc/72/31/04/708723104.db2.gz AQZAGSIUIUAXEX-ZDUSSCGKSA-N -1 1 309.753 1.171 20 0 DDADMM CCN1C[C@@H](C(=O)NCCc2c(F)cc([O-])cc2F)CC1=O ZINC000886262919 708731434 /nfs/dbraw/zinc/73/14/34/708731434.db2.gz GCERBBFECZBUJX-VIFPVBQESA-N -1 1 312.316 1.198 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H](O)C1CCOCC1 ZINC000886264918 708732185 /nfs/dbraw/zinc/73/21/85/708732185.db2.gz PYQMXJGZNARQQM-CQSZACIVSA-N -1 1 315.316 1.117 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1CCCc2nn[nH]c21 ZINC000886268294 708733028 /nfs/dbraw/zinc/73/30/28/708733028.db2.gz KXLQTWRCKBYOFP-SNVBAGLBSA-N -1 1 322.315 1.567 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1ccc(F)nc1F ZINC000886412550 708756394 /nfs/dbraw/zinc/75/63/94/708756394.db2.gz KXPQQSCPIUWYDA-UHFFFAOYSA-N -1 1 302.306 1.457 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOC[C@H]1CC1CC1 ZINC000886474536 708766940 /nfs/dbraw/zinc/76/69/40/708766940.db2.gz RZANAMFTIKUHTO-GFCCVEGCSA-N -1 1 303.362 1.701 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N(CCO)CC1CC1 ZINC000927782936 713054979 /nfs/dbraw/zinc/05/49/79/713054979.db2.gz RIDRQHOILMIYGW-UHFFFAOYSA-N -1 1 314.332 1.627 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)[C@@](C)(CC(=O)[O-])c1ccc(F)cc1 ZINC000887316426 709015791 /nfs/dbraw/zinc/01/57/91/709015791.db2.gz PXOZOEDVZMGDQF-PBHICJAKSA-N -1 1 322.380 1.769 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)[C@](C)(CC(=O)[O-])c1ccsc1 ZINC000887316710 709015820 /nfs/dbraw/zinc/01/58/20/709015820.db2.gz XRRLUEBMIXBAIE-IUODEOHRSA-N -1 1 310.419 1.691 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N[C@]13C[C@H]1COC3)c2=O ZINC000899249016 709033858 /nfs/dbraw/zinc/03/38/58/709033858.db2.gz GXIMKFXXJCHPHW-FVMDXXJSSA-N -1 1 300.314 1.055 20 0 DDADMM CN(C(=O)c1ccc2ccc(O)cc2c1[O-])[C@@H]1COC[C@H]1O ZINC000899359139 709067110 /nfs/dbraw/zinc/06/71/10/709067110.db2.gz WINOEHXQIFCYSE-ZIAGYGMSSA-N -1 1 303.314 1.083 20 0 DDADMM CCn1cc(CN2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)nn1 ZINC000899868827 709222200 /nfs/dbraw/zinc/22/22/00/709222200.db2.gz BMQDXUDYQBEHNQ-SNVBAGLBSA-N -1 1 319.331 1.189 20 0 DDADMM CC1(CNC(=O)c2cncc([O-])c2)CCC2(CC1)OCCO2 ZINC000888286824 709265017 /nfs/dbraw/zinc/26/50/17/709265017.db2.gz QJBUDYYKBBSFFT-UHFFFAOYSA-N -1 1 306.362 1.840 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@H]2NCc1ccnn1CC1CC1 ZINC000900074614 709281899 /nfs/dbraw/zinc/28/18/99/709281899.db2.gz WOIXWXJNYOXILC-CYBMUJFWSA-N -1 1 315.377 1.813 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2cc(F)ccc2[O-])CCS1(=O)=O ZINC000888386641 709295905 /nfs/dbraw/zinc/29/59/05/709295905.db2.gz DMBQHWJHMLSMAD-SNVBAGLBSA-N -1 1 315.366 1.617 20 0 DDADMM CN(C)c1ncccc1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900196241 709480342 /nfs/dbraw/zinc/48/03/42/709480342.db2.gz BRZOLYCTIXEVIN-UHFFFAOYSA-N -1 1 313.361 1.451 20 0 DDADMM CN(Cc1ccc(OCC(=O)[O-])cc1)C(=O)Cc1c[nH]cn1 ZINC000909510703 709495988 /nfs/dbraw/zinc/49/59/88/709495988.db2.gz WDOAIGCYBNUYBT-UHFFFAOYSA-N -1 1 303.318 1.074 20 0 DDADMM O=C([N-]OCc1ccccn1)[C@@H]1CCCN1Cc1ccccn1 ZINC000909530080 709503795 /nfs/dbraw/zinc/50/37/95/709503795.db2.gz ADCSBJPFKJBECK-INIZCTEOSA-N -1 1 312.373 1.689 20 0 DDADMM CSCC[C@H](NC(=O)COC1CCCCC1)c1nn[n-]n1 ZINC000912859936 713109421 /nfs/dbraw/zinc/10/94/21/713109421.db2.gz DLESEZWVGVCUQH-NSHDSACASA-N -1 1 313.427 1.459 20 0 DDADMM CSCC[C@H](NC(=O)COc1ccc(C)cc1)c1nn[n-]n1 ZINC000912860294 713109523 /nfs/dbraw/zinc/10/95/23/713109523.db2.gz RMESXBHWVMZEMD-LBPRGKRZSA-N -1 1 321.406 1.498 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(C)c(F)c1)c1nn[n-]n1 ZINC000912860280 713109629 /nfs/dbraw/zinc/10/96/29/713109629.db2.gz QYUXOKVDOOZRET-NSHDSACASA-N -1 1 309.370 1.871 20 0 DDADMM CCO[C@@H]1C[C@@](NC(=O)CN(C)C2CCC2)(C(=O)[O-])C1(C)C ZINC000909602570 709536809 /nfs/dbraw/zinc/53/68/09/709536809.db2.gz LWYIBMFAJZJGMA-MLGOLLRUSA-N -1 1 312.410 1.245 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCOC3(CCCCC3)C2)C1 ZINC000909724794 709596847 /nfs/dbraw/zinc/59/68/47/709596847.db2.gz NSUMUDTVLSGENG-AWEZNQCLSA-N -1 1 324.421 1.345 20 0 DDADMM Cc1nc(C)c(C(=O)N(C)c2ccc(OCC(=O)[O-])cc2)[nH]1 ZINC000909785370 709619704 /nfs/dbraw/zinc/61/97/04/709619704.db2.gz UPVPPKOKARWTDX-UHFFFAOYSA-N -1 1 303.318 1.767 20 0 DDADMM CCN(CC(=O)N[C@H](C)c1ccc(OCC(=O)[O-])cc1)C1CC1 ZINC000909799734 709627790 /nfs/dbraw/zinc/62/77/90/709627790.db2.gz ZPIQGBVVBTYPCR-GFCCVEGCSA-N -1 1 320.389 1.812 20 0 DDADMM CCO[C@@H](C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1)C1CC1 ZINC000889785006 709635089 /nfs/dbraw/zinc/63/50/89/709635089.db2.gz BNAFQSRGUMYPHD-DGCLKSJQSA-N -1 1 321.377 1.669 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CCC[C@@](C)(C(=O)[O-])C3)n[nH]2)c1 ZINC000909840814 709647057 /nfs/dbraw/zinc/64/70/57/709647057.db2.gz DXNVLQIYYWBLIC-MRXNPFEDSA-N -1 1 316.361 1.742 20 0 DDADMM O=C(OC[C@H]1CNC(=O)O1)c1cc(Cl)cc(Cl)c1[O-] ZINC000909860722 709656710 /nfs/dbraw/zinc/65/67/10/709656710.db2.gz SSBVCTNNDXBFEJ-ZCFIWIBFSA-N -1 1 306.101 1.964 20 0 DDADMM O=C(c1cscc1C(F)F)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000900625741 709683948 /nfs/dbraw/zinc/68/39/48/709683948.db2.gz NKRHANHKIOVFHT-MRVPVSSYSA-N -1 1 315.305 1.413 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC3CC(CCO)C3)cnc2n1 ZINC000900827828 709780792 /nfs/dbraw/zinc/78/07/92/709780792.db2.gz CGFGPEQKRQSXPE-UHFFFAOYSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC3CC(CCO)C3)c[n-]c2n1 ZINC000900827828 709780795 /nfs/dbraw/zinc/78/07/95/709780795.db2.gz CGFGPEQKRQSXPE-UHFFFAOYSA-N -1 1 301.346 1.535 20 0 DDADMM C[C@@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)c1ncc(C(=O)[O-])s1 ZINC000910160382 709795280 /nfs/dbraw/zinc/79/52/80/709795280.db2.gz NCUIIRPEZFXHCV-KXUCPTDWSA-N -1 1 311.407 1.891 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3COC[C@@H]3C3CC3)cnc2n1 ZINC000900865048 709797527 /nfs/dbraw/zinc/79/75/27/709797527.db2.gz YOKDPMJFHQWUKR-ZIAGYGMSSA-N -1 1 313.357 1.799 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1COC[C@@H]1C1CC1)c2=O ZINC000900865048 709797531 /nfs/dbraw/zinc/79/75/31/709797531.db2.gz YOKDPMJFHQWUKR-ZIAGYGMSSA-N -1 1 313.357 1.799 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2nccc3occc32)CC1 ZINC000910179046 709800055 /nfs/dbraw/zinc/80/00/55/709800055.db2.gz ISAAPMTYDLBJSP-UHFFFAOYSA-N -1 1 317.345 1.449 20 0 DDADMM C[C@H](CC[S@@](C)=O)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900877981 709805272 /nfs/dbraw/zinc/80/52/72/709805272.db2.gz IYNMSZMHEJKWLI-PVBMHHQDSA-N -1 1 324.377 1.966 20 0 DDADMM O=C([O-])[C@@H]1c2ccoc2CCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000910205226 709813641 /nfs/dbraw/zinc/81/36/41/709813641.db2.gz MIPOBPAYZNTIGW-XPTSAGLGSA-N -1 1 315.329 1.633 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC[C@H]2COc3ccccc32)C1 ZINC000910240561 709834266 /nfs/dbraw/zinc/83/42/66/709834266.db2.gz ARHJWIVCPPYHNH-STQMWFEESA-N -1 1 318.373 1.075 20 0 DDADMM COc1cccc(CCNC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC000900995629 709869534 /nfs/dbraw/zinc/86/95/34/709869534.db2.gz ZMAVLBZBXYCTRM-UHFFFAOYSA-N -1 1 314.345 1.436 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@](C)(CC(=O)[O-])C1CC1 ZINC000910316873 709886295 /nfs/dbraw/zinc/88/62/95/709886295.db2.gz IMZSREBFQQZVMD-SFHVURJKSA-N -1 1 318.417 1.921 20 0 DDADMM C[C@@]1(C(=O)[O-])CN(C(=O)c2cc(-c3cccs3)[nH]n2)CCO1 ZINC000910322980 709890744 /nfs/dbraw/zinc/89/07/44/709890744.db2.gz FBDDNHRFZZGSMP-AWEZNQCLSA-N -1 1 321.358 1.454 20 0 DDADMM O=C(NC[C@H](O)[C@H]1CCCO1)c1c([O-])cnc2c(F)cccc21 ZINC000912992562 713140766 /nfs/dbraw/zinc/14/07/66/713140766.db2.gz ZHYURUOGNGRRMW-WCQYABFASA-N -1 1 320.320 1.349 20 0 DDADMM C[C@H](C(=O)N[C@H](C(=O)[O-])c1cccc(C(F)(F)F)c1)N(C)C ZINC000910378613 709915502 /nfs/dbraw/zinc/91/55/02/709915502.db2.gz BRHXVKRNKSNYIT-KCJUWKMLSA-N -1 1 318.295 1.897 20 0 DDADMM CN(C)CC(=O)N[C@@H](C(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC000910381930 709916671 /nfs/dbraw/zinc/91/66/71/709916671.db2.gz SYNLFKCPQNKSNK-LLVKDONJSA-N -1 1 304.268 1.509 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N2C[C@@H]3CCC[C@]3(C(=O)[O-])C2)C1 ZINC000910419612 709931799 /nfs/dbraw/zinc/93/17/99/709931799.db2.gz XGAZSUNWDXXEBK-PYERRIOISA-N -1 1 317.389 1.789 20 0 DDADMM O=C([O-])[C@@H]1CC(F)(F)CN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000910437256 709941579 /nfs/dbraw/zinc/94/15/79/709941579.db2.gz YCXQNDMCKVCYGD-YFKPBYRVSA-N -1 1 313.182 1.363 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CCC(CO)CC1 ZINC000901141984 709944859 /nfs/dbraw/zinc/94/48/59/709944859.db2.gz YBGCVCNSBQDNIG-UHFFFAOYSA-N -1 1 304.321 1.924 20 0 DDADMM O=C([O-])C[C@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)C1CCCC1 ZINC000910558131 709998834 /nfs/dbraw/zinc/99/88/34/709998834.db2.gz CHORLFLDGNYBMG-RISCZKNCSA-N -1 1 305.378 1.979 20 0 DDADMM CC(C)CCC[C@H](NC(=O)[C@H](C)CN1CCOCC1)C(=O)[O-] ZINC000910574105 710005645 /nfs/dbraw/zinc/00/56/45/710005645.db2.gz FRXKRSTVZXYPRF-KGLIPLIRSA-N -1 1 314.426 1.350 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(Cc2conc2Cc2ccccc2)C1 ZINC000901544416 710071065 /nfs/dbraw/zinc/07/10/65/710071065.db2.gz VCMMORYSFCEFBL-KRWDZBQOSA-N -1 1 316.357 1.941 20 0 DDADMM O=C([O-])CCN(C(=O)c1cccc2[nH]cnc21)C1CCOCC1 ZINC000901577323 710082042 /nfs/dbraw/zinc/08/20/42/710082042.db2.gz BSWQMQVNKIYDRT-UHFFFAOYSA-N -1 1 317.345 1.659 20 0 DDADMM CCn1cc(Cl)c([N-]S(=O)(=O)C[C@@H](OC)C(C)C)n1 ZINC000901718200 710131731 /nfs/dbraw/zinc/13/17/31/710131731.db2.gz PKRITXLKQUBGNG-SNVBAGLBSA-N -1 1 309.819 1.969 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])[C@@H]1CCc2nncn2CC1 ZINC000910990754 710143982 /nfs/dbraw/zinc/14/39/82/710143982.db2.gz KGHSQWXSJCZZID-MRVPVSSYSA-N -1 1 308.288 1.853 20 0 DDADMM COc1cc2c(cc1CN1CC[C@@](O)(C(=O)[O-])C1)O[C@@H](C)C2 ZINC000901900238 710168305 /nfs/dbraw/zinc/16/83/05/710168305.db2.gz DMCJMYBODQBTQD-QFYYESIMSA-N -1 1 307.346 1.040 20 0 DDADMM COc1cccc(CN2CC[C@@](O)(C(=O)[O-])C2)c1OC(C)C ZINC000901902197 710168968 /nfs/dbraw/zinc/16/89/68/710168968.db2.gz NQVHRBUQVIRNFW-INIZCTEOSA-N -1 1 309.362 1.504 20 0 DDADMM O=C(C=CC1CCOCC1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891622365 710250831 /nfs/dbraw/zinc/25/08/31/710250831.db2.gz LNVXWZVVNDQVTC-WAYWQWQTSA-N -1 1 303.362 1.982 20 0 DDADMM O=C(CC1(O)CCOCC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000913270950 713187062 /nfs/dbraw/zinc/18/70/62/713187062.db2.gz LRENETDJJLKDMZ-UHFFFAOYSA-N -1 1 317.345 1.448 20 0 DDADMM COCc1nc(NCc2ccc([S@](C)=O)cc2)cc(=O)[n-]1 ZINC000892852320 710512867 /nfs/dbraw/zinc/51/28/67/710512867.db2.gz XMZKCRJABFCPHT-NRFANRHFSA-N -1 1 307.375 1.678 20 0 DDADMM COCCN(Cc1ccccc1)c1cc(=O)[n-]c(COC)n1 ZINC000892947776 710530167 /nfs/dbraw/zinc/53/01/67/710530167.db2.gz PNHBVQUMOQOOJM-UHFFFAOYSA-N -1 1 303.362 1.982 20 0 DDADMM COCc1nc(N2CCN(c3ccc(C)cc3)CC2)cc(=O)[n-]1 ZINC000893134806 710559978 /nfs/dbraw/zinc/55/99/78/710559978.db2.gz MPKPROCHKALLJN-UHFFFAOYSA-N -1 1 314.389 1.964 20 0 DDADMM COCc1nc(NCCc2cn3c(n2)SCC3)cc(=O)[n-]1 ZINC000893214082 710572618 /nfs/dbraw/zinc/57/26/18/710572618.db2.gz OGOAOYPXCCXFHH-UHFFFAOYSA-N -1 1 307.379 1.285 20 0 DDADMM Cc1cccc(OC[C@@H](C)NCc2cn(CC(=O)[O-])nn2)c1 ZINC000902157452 710639102 /nfs/dbraw/zinc/63/91/02/710639102.db2.gz DDYCEMWNSTZOMM-GFCCVEGCSA-N -1 1 304.350 1.228 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)NCc1ccc(OCC(=O)[O-])cc1 ZINC000902193349 710658443 /nfs/dbraw/zinc/65/84/43/710658443.db2.gz YRWCGDCAHBKGBI-NWDGAFQWSA-N -1 1 308.378 1.543 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2cc(C)cc(C(=O)[O-])c2)C1 ZINC000911352384 710757629 /nfs/dbraw/zinc/75/76/29/710757629.db2.gz KVTQMCIOXCFXEC-HNNXBMFYSA-N -1 1 320.389 1.534 20 0 DDADMM Cc1cccc(OCCCNCc2cn(CC(=O)[O-])nn2)c1C ZINC000902450915 710758419 /nfs/dbraw/zinc/75/84/19/710758419.db2.gz OUFXWAIJDHZFRO-UHFFFAOYSA-N -1 1 318.377 1.538 20 0 DDADMM COc1cc(F)cc(OC)c1CN1CC[C@H]2[C@@H](C1)[C@H]2C(=O)[O-] ZINC000902553778 710792758 /nfs/dbraw/zinc/79/27/58/710792758.db2.gz FRPMGOHMROEDKV-RWSFTLGLSA-N -1 1 309.337 1.995 20 0 DDADMM COCc1nc(NC[C@@H]2CCC3(CCOCC3)[C@H]2O)cc(=O)[n-]1 ZINC000893723173 710796177 /nfs/dbraw/zinc/79/61/77/710796177.db2.gz AZNBUKOSKATTEQ-NHYWBVRUSA-N -1 1 323.393 1.308 20 0 DDADMM CC(C)OC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC000913438701 713216198 /nfs/dbraw/zinc/21/61/98/713216198.db2.gz SZHNIQQBVRZSBL-ZDUSSCGKSA-N -1 1 315.377 1.753 20 0 DDADMM C[C@]12CSC[C@H]1CN(C(=O)c1nc3ccccc3c(=O)[n-]1)C2 ZINC000903045968 711014271 /nfs/dbraw/zinc/01/42/71/711014271.db2.gz XOHYWVXXRKUWJX-HWPZZCPQSA-N -1 1 315.398 1.748 20 0 DDADMM Cc1nn(C)c([N-]S(=O)(=O)c2c(C)noc2C)c1Cl ZINC000903163295 711052051 /nfs/dbraw/zinc/05/20/51/711052051.db2.gz BMSRUNOATFUMMJ-UHFFFAOYSA-N -1 1 304.759 1.788 20 0 DDADMM COCc1nc(N2C[C@@H]3CCCC[C@]3(C(=O)OC)C2)cc(=O)[n-]1 ZINC000894419639 711112284 /nfs/dbraw/zinc/11/22/84/711112284.db2.gz HSPIYEXVHMOITE-ZBEGNZNMSA-N -1 1 321.377 1.498 20 0 DDADMM CC(C)c1ccc(CN(C)C(=O)[C@@]2(C(=O)[O-])CNCCO2)cc1 ZINC000912007995 711115520 /nfs/dbraw/zinc/11/55/20/711115520.db2.gz MTVCQTLNTNXHNW-QGZVFWFLSA-N -1 1 320.389 1.212 20 0 DDADMM O=C(/C=C\Sc1ccccc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913493256 713236714 /nfs/dbraw/zinc/23/67/14/713236714.db2.gz KULHICBOKFHSAL-OJIASSBASA-N -1 1 317.374 1.406 20 0 DDADMM O=S(=O)([N-]c1ccc2nccn2c1)c1cnc2n1CCCC2 ZINC000903606306 711221303 /nfs/dbraw/zinc/22/13/03/711221303.db2.gz IKKPXQPCZLVKKJ-UHFFFAOYSA-N -1 1 317.374 1.668 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-][C@H](C1CC1)C(F)(F)F ZINC000903632428 711230964 /nfs/dbraw/zinc/23/09/64/711230964.db2.gz PRMGKKJEOFBWGV-SECBINFHSA-N -1 1 310.297 1.709 20 0 DDADMM COc1c(C)cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1C ZINC000913495507 713237751 /nfs/dbraw/zinc/23/77/51/713237751.db2.gz KXZYDLXOAGRMEY-GFCCVEGCSA-N -1 1 317.349 1.039 20 0 DDADMM CSc1nc(CNC(=O)C=C2CCSCC2)cc(=O)[n-]1 ZINC000912249314 711244784 /nfs/dbraw/zinc/24/47/84/711244784.db2.gz ORBNZRGURRCYBH-UHFFFAOYSA-N -1 1 311.432 1.974 20 0 DDADMM CC(C)CC(CC(C)C)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913497187 713238886 /nfs/dbraw/zinc/23/88/86/713238886.db2.gz IECJZSYOUZVHQC-CYBMUJFWSA-N -1 1 309.414 1.808 20 0 DDADMM CN(C)c1ncc(CN[C@@H](C(=O)[O-])c2ccc(F)cc2)cn1 ZINC000903710279 711250552 /nfs/dbraw/zinc/25/05/52/711250552.db2.gz OJGYITXBHLEFAU-CYBMUJFWSA-N -1 1 304.325 1.597 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)NCc1ccccc1C ZINC000903712162 711251151 /nfs/dbraw/zinc/25/11/51/711251151.db2.gz RZNCLKDBFQSFHA-AWEZNQCLSA-N -1 1 322.405 1.423 20 0 DDADMM O=C([O-])[C@]12C[C@H]1CCCN2CCS(=O)(=O)Cc1ccccc1 ZINC000903936256 711341525 /nfs/dbraw/zinc/34/15/25/711341525.db2.gz GHXPHOMIOBRADN-ZBFHGGJFSA-N -1 1 323.414 1.541 20 0 DDADMM COc1ccc(CN[C@@H](C(=O)[O-])c2cnn(C)c2C)c(F)c1 ZINC000904047808 711370153 /nfs/dbraw/zinc/37/01/53/711370153.db2.gz JVYNVKRKMKDCHE-CQSZACIVSA-N -1 1 307.325 1.792 20 0 DDADMM CC(C)(C)n1ncnc1CN[C@@H]1C[C@@H](NC(=O)[O-])C12CCC2 ZINC000904237979 711415341 /nfs/dbraw/zinc/41/53/41/711415341.db2.gz YKTWEBVODSHHKS-GHMZBOCLSA-N -1 1 307.398 1.702 20 0 DDADMM C[C@@H](NCc1cc(C(=O)[O-])nn1C)c1ccc2c(c1)CCO2 ZINC000904261516 711419977 /nfs/dbraw/zinc/41/99/77/711419977.db2.gz DUQHMGFJTCFHDT-SNVBAGLBSA-N -1 1 301.346 1.904 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NCc2nc(Cl)cs2)n[n-]1 ZINC000895239766 711461658 /nfs/dbraw/zinc/46/16/58/711461658.db2.gz UKHZZGLQZQOPIO-LURJTMIESA-N -1 1 315.786 1.942 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2nc(Cl)cs2)n1 ZINC000895239766 711461659 /nfs/dbraw/zinc/46/16/59/711461659.db2.gz UKHZZGLQZQOPIO-LURJTMIESA-N -1 1 315.786 1.942 20 0 DDADMM O=C(N[C@@H]1CCCCNC1=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895695627 711579885 /nfs/dbraw/zinc/57/98/85/711579885.db2.gz ZYVAPPWIZREWSI-CQSZACIVSA-N -1 1 314.341 1.650 20 0 DDADMM CCN(CC(=O)NC)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895715861 711586242 /nfs/dbraw/zinc/58/62/42/711586242.db2.gz YHDVGWSTKPZMCS-UHFFFAOYSA-N -1 1 302.330 1.459 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742187 713291879 /nfs/dbraw/zinc/29/18/79/713291879.db2.gz MBGGASGJSHRAMT-LLVKDONJSA-N -1 1 301.350 1.373 20 0 DDADMM O=C(CCc1ccccc1O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742866 713291998 /nfs/dbraw/zinc/29/19/98/713291998.db2.gz YOQWEQBPMCBGIR-UHFFFAOYSA-N -1 1 301.350 1.244 20 0 DDADMM O=C(C1=Cc2ccccc2OC1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742763 713292146 /nfs/dbraw/zinc/29/21/46/713292146.db2.gz SVANKDMDSJTYGH-UHFFFAOYSA-N -1 1 311.345 1.382 20 0 DDADMM CSc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)ccn1 ZINC000913743159 713292261 /nfs/dbraw/zinc/29/22/61/713292261.db2.gz IODVNQPGIJRNGR-UHFFFAOYSA-N -1 1 304.379 1.336 20 0 DDADMM Cc1cc(F)c(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1F ZINC000913743587 713292413 /nfs/dbraw/zinc/29/24/13/713292413.db2.gz BSVBXIUHEIYZCM-UHFFFAOYSA-N -1 1 307.304 1.806 20 0 DDADMM CC(=O)[C@@H]1C[C@H](CC(=O)N2CCC(c3nn[n-]n3)CC2)C1(C)C ZINC000913744166 713292935 /nfs/dbraw/zinc/29/29/35/713292935.db2.gz CKWRQSQDFGSXFI-OLZOCXBDSA-N -1 1 319.409 1.547 20 0 DDADMM O=C(c1cccc2scnc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913745537 713293772 /nfs/dbraw/zinc/29/37/72/713293772.db2.gz IYWZGHZHYOMYID-UHFFFAOYSA-N -1 1 314.374 1.829 20 0 DDADMM O=Cc1ccc(C=CC(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000913746000 713294137 /nfs/dbraw/zinc/29/41/37/713294137.db2.gz SPBWBBBODNTMDF-AATRIKPKSA-N -1 1 311.345 1.432 20 0 DDADMM Cn1ccc2ccc(C(=O)N3CCC(c4nn[n-]n4)CC3)cc21 ZINC000913746566 713294290 /nfs/dbraw/zinc/29/42/90/713294290.db2.gz ADAIYIMRNFHNAR-UHFFFAOYSA-N -1 1 310.361 1.711 20 0 DDADMM O=C([C@@H]1C[C@@]1(F)c1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746345 713294359 /nfs/dbraw/zinc/29/43/59/713294359.db2.gz PGWKYFQDBAIEAY-XJKSGUPXSA-N -1 1 315.352 1.791 20 0 DDADMM CC(C)NCc1cn(CC(=O)[N-]OCc2ccccc2)nn1 ZINC000905788881 712146575 /nfs/dbraw/zinc/14/65/75/712146575.db2.gz NNSWIUDJXLOQGZ-UHFFFAOYSA-N -1 1 303.366 1.024 20 0 DDADMM Cc1cccc2ncc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)n21 ZINC000907105289 712488435 /nfs/dbraw/zinc/48/84/35/712488435.db2.gz RLEYTWZDVNZNJQ-LLVKDONJSA-N -1 1 311.349 1.176 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC(C)(C)C2CC2)c1 ZINC000907454014 712575902 /nfs/dbraw/zinc/57/59/02/712575902.db2.gz DJVAEWHGKXOVEP-UHFFFAOYSA-N -1 1 301.364 1.781 20 0 DDADMM CCc1cccc(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000907472297 712580881 /nfs/dbraw/zinc/58/08/81/712580881.db2.gz AXFTZAUGXODMCC-CYBMUJFWSA-N -1 1 317.418 1.621 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccccn1 ZINC000907475875 712582236 /nfs/dbraw/zinc/58/22/36/712582236.db2.gz AXLGWAPQOQXWLB-GXSJLCMTSA-N -1 1 304.379 1.015 20 0 DDADMM O=C(c1csc(Cl)n1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479885 712583499 /nfs/dbraw/zinc/58/34/99/712583499.db2.gz NCDBQSHRSWTDQL-LURJTMIESA-N -1 1 316.799 1.240 20 0 DDADMM O=C(c1ccsc1Cl)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480793 712583845 /nfs/dbraw/zinc/58/38/45/712583845.db2.gz DIMABARHTCRIPY-SSDOTTSWSA-N -1 1 315.811 1.845 20 0 DDADMM O=C(c1occ2c1CCC2)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481467 712584133 /nfs/dbraw/zinc/58/41/33/712584133.db2.gz WLISTXUNRAJDEU-SNVBAGLBSA-N -1 1 305.363 1.212 20 0 DDADMM CCOC(=O)[C@@H]1CCCN(CC(=O)[N-]OC2CCCCC2)C1 ZINC000907544492 712592312 /nfs/dbraw/zinc/59/23/12/712592312.db2.gz SALMDGWPVZZRMR-CYBMUJFWSA-N -1 1 312.410 1.642 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](CCO)C2CCCC2)sc1C ZINC000907824944 712631682 /nfs/dbraw/zinc/63/16/82/712631682.db2.gz GTNFAQCULYORED-GFCCVEGCSA-N -1 1 318.464 1.979 20 0 DDADMM Cc1cccc(Cl)c1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907941791 712652251 /nfs/dbraw/zinc/65/22/51/712652251.db2.gz QGYUQXSCQODBJL-UHFFFAOYSA-N -1 1 321.768 1.285 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2C[C@H](NC(=O)[C@@H]3CCc4nc[nH]c4C3)[C@@H]2C1 ZINC000907956365 712654981 /nfs/dbraw/zinc/65/49/81/712654981.db2.gz BCLAJOXIVWIFFJ-CJJWORHMSA-N -1 1 303.362 1.130 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@@H]1CCOC12CCCC2 ZINC000908123299 712693345 /nfs/dbraw/zinc/69/33/45/712693345.db2.gz FDYOJBXRPNFYIW-QWHCGFSZSA-N -1 1 310.394 1.001 20 0 DDADMM CCN(CC(=O)N1CCOc2cc(C(=O)[O-])ccc2C1)C1CC1 ZINC000908153624 712699524 /nfs/dbraw/zinc/69/95/24/712699524.db2.gz OVHVWZHNNCRQCE-UHFFFAOYSA-N -1 1 318.373 1.590 20 0 DDADMM CN1CCC[C@@H]1C(=O)NCCc1cc2ccc(C(=O)[O-])cc2o1 ZINC000908222892 712713477 /nfs/dbraw/zinc/71/34/77/712713477.db2.gz ZVWNCYUWZIVFME-CQSZACIVSA-N -1 1 316.357 1.884 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NC[C@@H](CC1CCCC1)C(=O)[O-] ZINC000908294688 712730902 /nfs/dbraw/zinc/73/09/02/712730902.db2.gz YQRYYLASEIUFNS-WCQYABFASA-N -1 1 307.394 1.986 20 0 DDADMM C[C@H](Cn1cccn1)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000914082905 713340823 /nfs/dbraw/zinc/34/08/23/713340823.db2.gz MLKNQUHDGIIVLL-SNVBAGLBSA-N -1 1 324.331 1.797 20 0 DDADMM O=C([O-])[C@@H]1CCCN(C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC000908596594 712817592 /nfs/dbraw/zinc/81/75/92/712817592.db2.gz CDDLBWZSCMPVQL-SNVBAGLBSA-N -1 1 300.318 1.409 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC[C@@H]2Cc3ccccc32)C1 ZINC000908739646 712845446 /nfs/dbraw/zinc/84/54/46/712845446.db2.gz JAMLSWKRINQUEI-KGLIPLIRSA-N -1 1 302.374 1.239 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000908745149 712846198 /nfs/dbraw/zinc/84/61/98/712846198.db2.gz ZKDXKJZWUAINEX-CHWSQXEVSA-N -1 1 323.393 1.921 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@@H](C(=O)[O-])C1CC1)c1cccc(F)c1 ZINC000908755665 712848576 /nfs/dbraw/zinc/84/85/76/712848576.db2.gz YVORVHFCCGEODW-ZIAGYGMSSA-N -1 1 323.368 1.591 20 0 DDADMM CCOCc1cccc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000908771754 712851843 /nfs/dbraw/zinc/85/18/43/712851843.db2.gz KMSOJYPKKOVCNY-AWEZNQCLSA-N -1 1 320.389 1.958 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000908815088 712860387 /nfs/dbraw/zinc/86/03/87/712860387.db2.gz WWXLRUIDAMVEIB-GXFFZTMASA-N -1 1 311.357 1.543 20 0 DDADMM CCC[C@](C)(NC(=O)CN(C)CCc1ccccc1)C(=O)[O-] ZINC000908867647 712874060 /nfs/dbraw/zinc/87/40/60/712874060.db2.gz RZBADPWBYJVXLL-KRWDZBQOSA-N -1 1 306.406 1.921 20 0 DDADMM CN(C(=O)N[C@H]1CCCN(C)C1)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000908869822 712874817 /nfs/dbraw/zinc/87/48/17/712874817.db2.gz HIHVVGOSLMGRJJ-GJZGRUSLSA-N -1 1 319.405 1.418 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)CC1(F)CCC1)C(=O)OCC ZINC000914374355 713385584 /nfs/dbraw/zinc/38/55/84/713385584.db2.gz BEKHFVNQXKFVOD-TZNOJPMFSA-N -1 1 307.387 1.696 20 0 DDADMM Cc1c(C(=O)NN2CC(=O)[N-]C2=O)sc2nccc(C)c12 ZINC000914831363 713402598 /nfs/dbraw/zinc/40/25/98/713402598.db2.gz IRRGLAZHSBIKCJ-UHFFFAOYSA-N -1 1 304.331 1.110 20 0 DDADMM CC(F)(F)C[N-]S(=O)(=O)c1cnn2c1OCC(C)(C)C2 ZINC000915893093 713434898 /nfs/dbraw/zinc/43/48/98/713434898.db2.gz SLPPMNIUSPXUJT-UHFFFAOYSA-N -1 1 309.338 1.235 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)Cc1cc(C)on1 ZINC000918056472 713527316 /nfs/dbraw/zinc/52/73/16/713527316.db2.gz SEVXMEBJOIJANC-GFCCVEGCSA-N -1 1 318.395 1.334 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]CC2(Br)CC2)s1 ZINC000920057223 713647770 /nfs/dbraw/zinc/64/77/70/713647770.db2.gz GWJMAOLLGXNWNH-UHFFFAOYSA-N -1 1 311.226 1.657 20 0 DDADMM C[C@@H](CN1CCN(C2CC2)C(=O)C1)C(=O)c1ccc([O-])cc1 ZINC000929784615 713689357 /nfs/dbraw/zinc/68/93/57/713689357.db2.gz YEVJYXSRLBYGKD-LBPRGKRZSA-N -1 1 302.374 1.518 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@]23C[C@H]2CCCC3)o1 ZINC000920861137 713698963 /nfs/dbraw/zinc/69/89/63/713698963.db2.gz OZFMUDFWPDLKIU-YGRLFVJLSA-N -1 1 313.375 1.925 20 0 DDADMM O=S(=O)([N-][C@H]1c2ccccc2OC[C@H]1F)c1cscn1 ZINC000921318567 713731945 /nfs/dbraw/zinc/73/19/45/713731945.db2.gz ZKGGNFHHIXFBRG-SKDRFNHKSA-N -1 1 314.363 1.893 20 0 DDADMM C[C@@H](CN1CCOC[C@@]12CCOC2)C(=O)c1ccc([O-])cc1 ZINC000930322452 713803424 /nfs/dbraw/zinc/80/34/24/713803424.db2.gz ASVIQZFZAVCXFW-GUYCJALGSA-N -1 1 305.374 1.702 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@]2(C)CCCCO2)c1 ZINC000921675658 713838917 /nfs/dbraw/zinc/83/89/17/713838917.db2.gz CWZZULTYXODFCC-CQSZACIVSA-N -1 1 315.391 1.638 20 0 DDADMM CCC[C@H](O)CCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000921685577 713842965 /nfs/dbraw/zinc/84/29/65/713842965.db2.gz WBAZAJWCEYWJMI-JTQLQIEISA-N -1 1 303.380 1.230 20 0 DDADMM O=S(=O)([N-][C@@H](C1CC1)[C@H]1CCCOC1)c1c[nH]nc1Cl ZINC000921850419 713885262 /nfs/dbraw/zinc/88/52/62/713885262.db2.gz NATPFYCNNVSCQK-ONGXEEELSA-N -1 1 319.814 1.547 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C2([C@H]3CCCCO3)CC2)sn1 ZINC000922096992 713959586 /nfs/dbraw/zinc/95/95/86/713959586.db2.gz BOJURFFRDLNEMK-SNVBAGLBSA-N -1 1 302.421 1.832 20 0 DDADMM C[C@H]1CN(C(=O)c2ccco2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966086864 717940761 /nfs/dbraw/zinc/94/07/61/717940761.db2.gz NBWVQPIVBNUXSD-WDEREUQCSA-N -1 1 315.329 1.271 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3CCO[C@H]3C3CC3)ccnc1-2 ZINC000931130053 714009972 /nfs/dbraw/zinc/00/99/72/714009972.db2.gz MNIYRBPYZPBRHQ-OLZOCXBDSA-N -1 1 301.350 1.031 20 0 DDADMM C[C@@H](Cc1cccnc1)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000931131926 714010458 /nfs/dbraw/zinc/01/04/58/714010458.db2.gz PFMUBNPROXSDBF-NSHDSACASA-N -1 1 310.361 1.490 20 0 DDADMM COC(=O)[C@@H]1CN(C[C@@H](C)C(=O)c2ccc([O-])cc2)C[C@@H](C)O1 ZINC000931179228 714024930 /nfs/dbraw/zinc/02/49/30/714024930.db2.gz DSXDZZBEULKOBH-JMSVASOKSA-N -1 1 321.373 1.473 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](c1cccc(Cl)c1)C(F)F ZINC000922504753 714073003 /nfs/dbraw/zinc/07/30/03/714073003.db2.gz VBCUYMZFUAMKAU-LLVKDONJSA-N -1 1 315.711 1.908 20 0 DDADMM CCC1(CNC(=O)NCCc2c(F)cc([O-])cc2F)COC1 ZINC000922755552 714138366 /nfs/dbraw/zinc/13/83/66/714138366.db2.gz WRJCGSKXAZPHAW-UHFFFAOYSA-N -1 1 314.332 1.939 20 0 DDADMM C[C@](O)(CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1CC1 ZINC000932041783 714244514 /nfs/dbraw/zinc/24/45/14/714244514.db2.gz FZAZWTJTBCNFGT-INIZCTEOSA-N -1 1 316.361 1.860 20 0 DDADMM C[C@H](CCCO)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932045168 714245344 /nfs/dbraw/zinc/24/53/44/714245344.db2.gz QPZJICFNYSHNBE-LLVKDONJSA-N -1 1 304.350 1.860 20 0 DDADMM Cn1[n-]c(COC(=O)C[C@H]2CCC[C@H](C(F)(F)F)O2)nc1=O ZINC000924325133 714563748 /nfs/dbraw/zinc/56/37/48/714563748.db2.gz OUGQKGMOEOEWRK-HTQZYQBOSA-N -1 1 323.271 1.042 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccoc2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966165018 717991278 /nfs/dbraw/zinc/99/12/78/717991278.db2.gz ZSNCMECQXQCNHL-PWSUYJOCSA-N -1 1 315.329 1.271 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@]3(C=Cc4ccccc4O3)C2)nc1=O ZINC000933656110 714640390 /nfs/dbraw/zinc/64/03/90/714640390.db2.gz LSTYFRXIXZBYSJ-QGZVFWFLSA-N -1 1 312.373 1.549 20 0 DDADMM COc1cnc([C@@H]2CCCN2CCOCC(F)F)[n-]c1=O ZINC000934269801 714781386 /nfs/dbraw/zinc/78/13/86/714781386.db2.gz ASTNIBASBSVCNX-VIFPVBQESA-N -1 1 303.309 1.609 20 0 DDADMM C[C@@H]1C[C@@H]2C[C@@H]2C[C@H]1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000935457617 715060355 /nfs/dbraw/zinc/06/03/55/715060355.db2.gz VLGXDMWOSSJJOK-OOCWMUITSA-N -1 1 307.423 1.498 20 0 DDADMM CC1=C(C)C[C@](C)(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1 ZINC000935785064 715128354 /nfs/dbraw/zinc/12/83/54/715128354.db2.gz QSNLRTQOTYRSMN-OAHLLOKOSA-N -1 1 319.409 1.536 20 0 DDADMM C[C@@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1cccc(O)c1 ZINC000935830004 715131448 /nfs/dbraw/zinc/13/14/48/715131448.db2.gz RAPYZARXAXUXAH-SNVBAGLBSA-N -1 1 317.345 1.991 20 0 DDADMM CN(C(=O)c1cc[nH]c1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216429 715293608 /nfs/dbraw/zinc/29/36/08/715293608.db2.gz CGCWELYPSRNRSU-GFCCVEGCSA-N -1 1 314.345 1.102 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956150716 715334475 /nfs/dbraw/zinc/33/44/75/715334475.db2.gz QBHNKUDMLHKAAW-WDEREUQCSA-N -1 1 309.341 1.256 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849319 715636341 /nfs/dbraw/zinc/63/63/41/715636341.db2.gz QDOOOAIGTGEFMZ-MRXNPFEDSA-N -1 1 303.362 1.474 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(C)(C)F ZINC000955475687 715806593 /nfs/dbraw/zinc/80/65/93/715806593.db2.gz DMDPQROLIWGOOF-GHMZBOCLSA-N -1 1 323.368 1.645 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C(C)(C)F)CCN1C(=O)c1ncccc1[O-] ZINC000955652185 715893452 /nfs/dbraw/zinc/89/34/52/715893452.db2.gz PQGJEFUQODXXOD-WDEREUQCSA-N -1 1 323.368 1.645 20 0 DDADMM CC(C)(F)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955848322 715973232 /nfs/dbraw/zinc/97/32/32/715973232.db2.gz SOCZRKUPVRGIHP-JTQLQIEISA-N -1 1 309.341 1.256 20 0 DDADMM C[C@@H](C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000939916764 716499132 /nfs/dbraw/zinc/49/91/32/716499132.db2.gz WWLXHAMFFAVXDO-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H]1CN(C(=O)C2CCC2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959315798 716953530 /nfs/dbraw/zinc/95/35/30/716953530.db2.gz RSVDEFQSGFMIDF-AAEUAGOBSA-N -1 1 317.389 1.412 20 0 DDADMM CC[C@H](C)C(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964432022 717261695 /nfs/dbraw/zinc/26/16/95/717261695.db2.gz TUNSBUDIGUQAHE-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CSCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964851235 717454348 /nfs/dbraw/zinc/45/43/48/717454348.db2.gz WYSITRGJARRICC-LLVKDONJSA-N -1 1 323.418 1.261 20 0 DDADMM CCN(C(C)=O)[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000942614452 717778717 /nfs/dbraw/zinc/77/87/17/717778717.db2.gz OMHKTOWKYAZWLI-LBPRGKRZSA-N -1 1 318.377 1.143 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CSC)C1 ZINC000942758959 717858389 /nfs/dbraw/zinc/85/83/89/717858389.db2.gz SNVQXBATTWMYOG-LLVKDONJSA-N -1 1 323.418 1.213 20 0 DDADMM CCC(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])CC2 ZINC000944916143 718364432 /nfs/dbraw/zinc/36/44/32/718364432.db2.gz BQDMLOPTHWEUMX-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM C[C@@H]1CN(C(=O)C2CCC2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945089575 718391814 /nfs/dbraw/zinc/39/18/14/718391814.db2.gz MAASFEIQISYYLD-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(C)(C)F ZINC000966324491 718515299 /nfs/dbraw/zinc/51/52/99/718515299.db2.gz AESYRKASZGYWBH-UWVGGRQHSA-N -1 1 309.341 1.112 20 0 DDADMM CCN(C(=O)c1ccco1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967725691 718990406 /nfs/dbraw/zinc/99/04/06/718990406.db2.gz DCAWJEKUHKYXIX-UHFFFAOYSA-N -1 1 315.329 1.367 20 0 DDADMM C[C@@H]1CN(C(=O)CC2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000947073903 719011714 /nfs/dbraw/zinc/01/17/14/719011714.db2.gz DLBLFDFTROTHJY-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1Cc1ccon1 ZINC000947230334 719080282 /nfs/dbraw/zinc/08/02/82/719080282.db2.gz FIPMVTWFBFIOLS-NWDGAFQWSA-N -1 1 316.361 1.558 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)C1CC=CC1)c1ncccc1[O-] ZINC000949535787 720145280 /nfs/dbraw/zinc/14/52/80/720145280.db2.gz ZRCYXWPCILVYEM-GFCCVEGCSA-N -1 1 301.346 1.084 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949539193 720147666 /nfs/dbraw/zinc/14/76/66/720147666.db2.gz ZPXDEYMMNAXJKN-NWDGAFQWSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC000949541736 720149407 /nfs/dbraw/zinc/14/94/07/720149407.db2.gz ONDXEDANXIIAOP-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2conc2C)C1 ZINC000949948482 720426791 /nfs/dbraw/zinc/42/67/91/720426791.db2.gz PBWXZDPAMXUIEZ-UHFFFAOYSA-N -1 1 316.361 1.430 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1=CCCC1 ZINC000950539547 720675297 /nfs/dbraw/zinc/67/52/97/720675297.db2.gz SEVGYPYCVVJIIG-CYBMUJFWSA-N -1 1 315.373 1.618 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC12CC2 ZINC000950543118 720676587 /nfs/dbraw/zinc/67/65/87/720676587.db2.gz RDIUFJAWABMXJZ-RYUDHWBXSA-N -1 1 315.373 1.308 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)c1cc[nH]c1 ZINC000950545952 720677777 /nfs/dbraw/zinc/67/77/77/720677777.db2.gz CPHLLVAZWPGKQV-GFCCVEGCSA-N -1 1 314.345 1.150 20 0 DDADMM Cc1conc1CN(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971398039 721277947 /nfs/dbraw/zinc/27/79/47/721277947.db2.gz TZHTWWCEYURZPX-GFCCVEGCSA-N -1 1 316.361 1.430 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954127839 721724945 /nfs/dbraw/zinc/72/49/45/721724945.db2.gz IAFPJQXGFMHMAX-LBPRGKRZSA-N -1 1 309.341 1.064 20 0 DDADMM Cc1cc2c(c(N3CCO[C@@H](C(F)(F)F)C3)n1)C(=O)[N-]C2=O ZINC001167084841 732529460 /nfs/dbraw/zinc/52/94/60/732529460.db2.gz RREJTLKIAMMKGS-MRVPVSSYSA-N -1 1 315.251 1.041 20 0 DDADMM CC(C)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001020698369 732634242 /nfs/dbraw/zinc/63/42/42/732634242.db2.gz VMMIJASMADHKNM-STQMWFEESA-N -1 1 319.405 1.658 20 0 DDADMM C[C@@H](CCNC(=O)c1ccncc1)NC(=O)c1ncccc1[O-] ZINC001075373644 732847854 /nfs/dbraw/zinc/84/78/54/732847854.db2.gz MNKRPLRCFYVUHR-NSHDSACASA-N -1 1 314.345 1.121 20 0 DDADMM O=C([N-]C1CCN(Cc2cccc(F)n2)CC1)C(F)(F)F ZINC001140134397 732880339 /nfs/dbraw/zinc/88/03/39/732880339.db2.gz VWYCCUYEAALXAR-UHFFFAOYSA-N -1 1 305.275 1.864 20 0 DDADMM Cc1oncc1C[N@H+]1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001027844332 738728850 /nfs/dbraw/zinc/72/88/50/738728850.db2.gz QMFJKPPRBBWIAN-ZDUSSCGKSA-N -1 1 316.361 1.478 20 0 DDADMM Cc1occc1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024862327 736134324 /nfs/dbraw/zinc/13/43/24/736134324.db2.gz RZVYDCVAUNMHKB-LLVKDONJSA-N -1 1 319.365 1.196 20 0 DDADMM CCC[C@@H]1CCC[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000974284406 737484925 /nfs/dbraw/zinc/48/49/25/737484925.db2.gz VIRHOWYJPIJIJS-NDBYEHHHSA-N -1 1 319.409 1.398 20 0 DDADMM Cc1cc(NS(=O)(=O)c2cncc(N)c2)ccc1C(=O)[O-] ZINC001211654872 737626504 /nfs/dbraw/zinc/62/65/04/737626504.db2.gz BBCIJRIMHXTNQM-UHFFFAOYSA-N -1 1 307.331 1.471 20 0 DDADMM C[C@@H](CO)CCC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692822422 738102390 /nfs/dbraw/zinc/10/23/90/738102390.db2.gz JHROKCYGWHVDBE-MRVPVSSYSA-N -1 1 310.778 1.561 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(CC3CCCC3)CCO2)c1[O-] ZINC001035342280 751425919 /nfs/dbraw/zinc/42/59/19/751425919.db2.gz JYPUOEGXQVTCEN-CYBMUJFWSA-N -1 1 322.409 1.045 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2CCC[C@H]2CCOC2)c1[O-] ZINC001038163947 739245609 /nfs/dbraw/zinc/24/56/09/739245609.db2.gz DHQMKJPXQJFLHC-QWHCGFSZSA-N -1 1 322.409 1.045 20 0 DDADMM CN(CCN(C)c1ncc(Cl)cn1)C(=O)c1ncccc1[O-] ZINC001105376333 739809530 /nfs/dbraw/zinc/80/95/30/739809530.db2.gz RAFGHABGNSOYDJ-UHFFFAOYSA-N -1 1 321.768 1.439 20 0 DDADMM Cn1cc(CCC(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)cn1 ZINC001141862998 740859745 /nfs/dbraw/zinc/85/97/45/740859745.db2.gz NQCISPNYXXXMEK-UHFFFAOYSA-N -1 1 311.345 1.883 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059519029 741091222 /nfs/dbraw/zinc/09/12/22/741091222.db2.gz YEONBFUVHPYRMW-AVGNSLFASA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC=CCC1 ZINC001076244206 742623028 /nfs/dbraw/zinc/62/30/28/742623028.db2.gz MHPBKOIQKVPHKN-STQMWFEESA-N -1 1 317.389 1.768 20 0 DDADMM Cc1cc[nH]c1C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076245206 742624700 /nfs/dbraw/zinc/62/47/00/742624700.db2.gz SDEYZFWAMHLEHP-NSHDSACASA-N -1 1 316.361 1.362 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1CC2(C1)OCCO2 ZINC001181512752 743219313 /nfs/dbraw/zinc/21/93/13/743219313.db2.gz GMRRWWDZZLIQLU-UHFFFAOYSA-N -1 1 315.329 1.670 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1ccc(=O)[nH]n1 ZINC001181683332 743280427 /nfs/dbraw/zinc/28/04/27/743280427.db2.gz IZIOKYDJVUNBLN-YFKPBYRVSA-N -1 1 311.095 1.405 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C(F)F)CCCN1C(=O)c1ncccc1[O-] ZINC001014660583 743423927 /nfs/dbraw/zinc/42/39/27/743423927.db2.gz FMADJHBACVKERO-BDAKNGLRSA-N -1 1 313.304 1.162 20 0 DDADMM Nc1cc(F)c(Cl)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001137730271 743750049 /nfs/dbraw/zinc/75/00/49/743750049.db2.gz FKRZPYZYMIIXKE-ZETCQYMHSA-N -1 1 324.747 1.594 20 0 DDADMM CC(C)(CC1CCC1)C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001183936841 743930939 /nfs/dbraw/zinc/93/09/39/743930939.db2.gz IJMPZCVYFWOKMW-UHFFFAOYSA-N -1 1 305.338 1.871 20 0 DDADMM C[C@@H](CCNC(=O)C1(CCF)CC1)NC(=O)c1ncccc1[O-] ZINC001077456754 743949164 /nfs/dbraw/zinc/94/91/64/743949164.db2.gz QQKCZQKXUKHRTA-NSHDSACASA-N -1 1 323.368 1.552 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)C1CC2(C1)CC(F)(F)C2 ZINC001184207637 743991711 /nfs/dbraw/zinc/99/17/11/743991711.db2.gz WKXSYHRQOFGGQQ-UHFFFAOYSA-N -1 1 311.265 1.886 20 0 DDADMM O=S(=O)([N-]c1ccc(F)nc1F)c1cnc(Cl)nc1 ZINC001185003472 744143009 /nfs/dbraw/zinc/14/30/09/744143009.db2.gz AAZRYJJYHGZMSZ-UHFFFAOYSA-N -1 1 306.681 1.604 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1cnc([C@@H]2C[C@H]2C)nc1 ZINC001185118672 744164395 /nfs/dbraw/zinc/16/43/95/744164395.db2.gz WJRJJBVQLNIETR-PSASIEDQSA-N -1 1 315.333 1.752 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(N[C@@H]3CCc4cc(F)ccc4C3)c2[nH]1 ZINC001168412772 744220876 /nfs/dbraw/zinc/22/08/76/744220876.db2.gz SZVQWQUJZPKCRJ-SNVBAGLBSA-N -1 1 315.308 1.824 20 0 DDADMM COc1ccc(CNC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001185514196 744239852 /nfs/dbraw/zinc/23/98/52/744239852.db2.gz DUURAQGTMPYKJW-UHFFFAOYSA-N -1 1 319.277 1.616 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCc2ccc(=O)[nH]c2CC1 ZINC001186218518 744365816 /nfs/dbraw/zinc/36/58/16/744365816.db2.gz FIEPKFAGKHGXCB-UHFFFAOYSA-N -1 1 302.305 1.873 20 0 DDADMM O=S(=O)([N-][C@H]1CC1(F)F)c1cncc(Br)c1 ZINC001186420373 744397560 /nfs/dbraw/zinc/39/75/60/744397560.db2.gz SJHPKQOZWIGOFX-ZETCQYMHSA-N -1 1 313.123 1.530 20 0 DDADMM CCOCc1ccc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001187087886 744502060 /nfs/dbraw/zinc/50/20/60/744502060.db2.gz JUHODJDJBXQIRB-UHFFFAOYSA-N -1 1 304.306 1.259 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cc(C(=O)OC)cc(F)c1C ZINC001187291700 744535401 /nfs/dbraw/zinc/53/54/01/744535401.db2.gz CAEIMUJLXHJUGF-UHFFFAOYSA-N -1 1 319.354 1.699 20 0 DDADMM COc1cc(F)cc(C[N-]S(=O)(=O)c2cnoc2C)c1 ZINC001187409702 744557326 /nfs/dbraw/zinc/55/73/26/744557326.db2.gz DFCGWSPRWMQMJV-UHFFFAOYSA-N -1 1 300.311 1.609 20 0 DDADMM O=S(=O)([N-]Cc1ncccn1)c1ccc(Cl)nc1Cl ZINC001187926535 744636460 /nfs/dbraw/zinc/63/64/60/744636460.db2.gz UTRIBVAUWQMIIU-UHFFFAOYSA-N -1 1 319.173 1.657 20 0 DDADMM Cc1ccc(F)c2[nH]c(C(=O)NCc3nc([O-])cc(=O)[nH]3)cc21 ZINC001168429639 744599921 /nfs/dbraw/zinc/59/99/21/744599921.db2.gz GYGMNELFTMKVFV-UHFFFAOYSA-N -1 1 316.292 1.747 20 0 DDADMM O=c1ccc2c([nH]1)CC[C@@H]([N-]S(=O)(=O)c1nccs1)C2 ZINC001187902569 744626850 /nfs/dbraw/zinc/62/68/50/744626850.db2.gz RMTXYXGXTHFVDM-SECBINFHSA-N -1 1 311.388 1.080 20 0 DDADMM O=C(CCCC1CCCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188211143 744676552 /nfs/dbraw/zinc/67/65/52/744676552.db2.gz UCLNXTGBGXFPBH-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM O=C(Nc1cccc2c1CC(=O)NC2)c1ncc(C(F)(F)F)[n-]1 ZINC001188288913 744679039 /nfs/dbraw/zinc/67/90/39/744679039.db2.gz OYMJIHVEYBMMCQ-UHFFFAOYSA-N -1 1 324.262 1.853 20 0 DDADMM O=C(Nc1cccc2c1CC(=O)NC2)c1nc(C(F)(F)F)c[n-]1 ZINC001188288913 744679041 /nfs/dbraw/zinc/67/90/41/744679041.db2.gz OYMJIHVEYBMMCQ-UHFFFAOYSA-N -1 1 324.262 1.853 20 0 DDADMM O=C(Nc1ncccc1CCO)c1ncc(C(F)(F)F)[n-]1 ZINC001188281650 744686699 /nfs/dbraw/zinc/68/66/99/744686699.db2.gz YXDMJDRTRCCIAC-UHFFFAOYSA-N -1 1 300.240 1.611 20 0 DDADMM O=C(Nc1ncccc1CCO)c1nc(C(F)(F)F)c[n-]1 ZINC001188281650 744686700 /nfs/dbraw/zinc/68/67/00/744686700.db2.gz YXDMJDRTRCCIAC-UHFFFAOYSA-N -1 1 300.240 1.611 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc(C(F)(F)F)[nH]1 ZINC001188511694 744715616 /nfs/dbraw/zinc/71/56/16/744715616.db2.gz FTSSPFNHKMNEFQ-UHFFFAOYSA-N -1 1 314.200 1.721 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cccc(-c2cn[nH]c2)c1 ZINC001189256585 744855104 /nfs/dbraw/zinc/85/51/04/744855104.db2.gz DRYLPCGRODTPRZ-UHFFFAOYSA-N -1 1 323.289 1.764 20 0 DDADMM Cc1cnc(NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)nc1C ZINC001190618729 745260477 /nfs/dbraw/zinc/26/04/77/745260477.db2.gz WXIDUMDFKUPGTC-UHFFFAOYSA-N -1 1 322.328 1.903 20 0 DDADMM O=S(=O)([N-]c1cnc(Cl)nc1Cl)c1ccncc1 ZINC001190853821 745352214 /nfs/dbraw/zinc/35/22/14/745352214.db2.gz BBTFVPYUKDSRKD-UHFFFAOYSA-N -1 1 305.146 1.979 20 0 DDADMM COc1c(C)cc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)cc1C ZINC001191056399 745413608 /nfs/dbraw/zinc/41/36/08/745413608.db2.gz GZNHKUDFKOMQBW-UHFFFAOYSA-N -1 1 311.345 1.913 20 0 DDADMM CSc1ncc(C(=O)NCC2Oc3ccccc3O2)c(=O)[n-]1 ZINC001191441595 745513540 /nfs/dbraw/zinc/51/35/40/745513540.db2.gz YYTJACQKRGFVOC-UHFFFAOYSA-N -1 1 319.342 1.431 20 0 DDADMM CCOC(=O)c1ncc([N-]S(=O)(=O)CC2CCCC2)cn1 ZINC001191493398 745523138 /nfs/dbraw/zinc/52/31/38/745523138.db2.gz CJYVTNGSBPEMAL-UHFFFAOYSA-N -1 1 313.379 1.585 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1c[nH]cc1-c1ccccc1 ZINC001191774269 745595385 /nfs/dbraw/zinc/59/53/85/745595385.db2.gz KWIJTEATBAETHC-UHFFFAOYSA-N -1 1 311.301 1.717 20 0 DDADMM COC(=O)[C@H]1CC[C@@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192049925 745671808 /nfs/dbraw/zinc/67/18/08/745671808.db2.gz NPGLBRSUUBEEIK-NTSWFWBYSA-N -1 1 324.613 1.575 20 0 DDADMM O=S(=O)([N-]CCc1cncs1)C(Cl)(Cl)Cl ZINC001192049026 745671959 /nfs/dbraw/zinc/67/19/59/745671959.db2.gz DUJKFNDPAHWOIN-UHFFFAOYSA-N -1 1 309.627 1.933 20 0 DDADMM C[C@H](Cc1cnccn1)[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192042750 745680710 /nfs/dbraw/zinc/68/07/10/745680710.db2.gz JDCCDZDUMNZQRV-ZCFIWIBFSA-N -1 1 318.613 1.655 20 0 DDADMM COC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192042766 745680743 /nfs/dbraw/zinc/68/07/43/745680743.db2.gz JQQGJMAJIYRQNU-URHBZAFASA-N -1 1 310.586 1.185 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)C(Cl)(Cl)Cl)ccn1 ZINC001192044099 745681271 /nfs/dbraw/zinc/68/12/71/745681271.db2.gz KTCNSHQVQXDASC-UHFFFAOYSA-N -1 1 319.597 1.837 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cccnc1C(=O)OC ZINC001192493328 745795921 /nfs/dbraw/zinc/79/59/21/745795921.db2.gz LUQJJQBLYWIIHN-UHFFFAOYSA-N -1 1 319.273 1.204 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CC(F)(F)CC[C@@H]1CO ZINC001192661342 745867837 /nfs/dbraw/zinc/86/78/37/745867837.db2.gz SRGWNWCDSNINFT-SSDOTTSWSA-N -1 1 307.243 1.903 20 0 DDADMM CC(C)(C)c1cnc(C(=O)NCCCC[P@](=O)([O-])O)cn1 ZINC001192800617 745896879 /nfs/dbraw/zinc/89/68/79/745896879.db2.gz SGZCGIPCUCUEMS-UHFFFAOYSA-N -1 1 315.310 1.462 20 0 DDADMM O=S(=O)([N-]c1cnncc1Cl)c1cnccc1Cl ZINC001192854752 745917329 /nfs/dbraw/zinc/91/73/29/745917329.db2.gz HCQQUMVYLZAOBH-UHFFFAOYSA-N -1 1 305.146 1.979 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cc(C)nn1CCF ZINC001193025624 745963871 /nfs/dbraw/zinc/96/38/71/745963871.db2.gz AQIDWJYJCTYOHQ-UHFFFAOYSA-N -1 1 321.374 1.244 20 0 DDADMM CCN(C)c1ncc(NC(=O)c2nccc(OC)c2[O-])cn1 ZINC001193525464 746134113 /nfs/dbraw/zinc/13/41/13/746134113.db2.gz YVTWZEMWVIFZLU-UHFFFAOYSA-N -1 1 303.322 1.294 20 0 DDADMM CC(C)COC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1[O-] ZINC001193839968 746201069 /nfs/dbraw/zinc/20/10/69/746201069.db2.gz DJXUGELVJQMUBS-UHFFFAOYSA-N -1 1 316.379 1.847 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccc(-n3ccnc3)cc2)[n-]n1 ZINC001194279490 746315883 /nfs/dbraw/zinc/31/58/83/746315883.db2.gz WITWSQRRKUFWLK-UHFFFAOYSA-N -1 1 311.301 1.634 20 0 DDADMM O=C1CCCC[C@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC001194587208 746415949 /nfs/dbraw/zinc/41/59/49/746415949.db2.gz WZYHOCHSCHIHKU-SECBINFHSA-N -1 1 322.308 1.890 20 0 DDADMM CC(C)(C)n1cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cn1 ZINC001194809779 746465715 /nfs/dbraw/zinc/46/57/15/746465715.db2.gz BALININORKDPSG-UHFFFAOYSA-N -1 1 301.310 1.262 20 0 DDADMM CC(C)c1cccc(S(=O)(=O)NCC[P@](=O)([O-])O)c1 ZINC001195170967 746544687 /nfs/dbraw/zinc/54/46/87/746544687.db2.gz JYWMLNCYLCSNAI-UHFFFAOYSA-N -1 1 307.308 1.266 20 0 DDADMM O=c1nc2nc[nH]c2c(NC(=S)NCc2ccccc2)[n-]1 ZINC001195648543 746657267 /nfs/dbraw/zinc/65/72/67/746657267.db2.gz QPKBMFXHUSCHSE-UHFFFAOYSA-N -1 1 300.347 1.545 20 0 DDADMM O=C1NCc2c([N-]S(=O)(=O)c3ccc(O)cc3)cccc2N1 ZINC001195810100 746712864 /nfs/dbraw/zinc/71/28/64/746712864.db2.gz BXSLAJWAFQSZDO-UHFFFAOYSA-N -1 1 319.342 1.828 20 0 DDADMM CCOC(=O)[C@@H]1CCCCN1C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196013168 746756440 /nfs/dbraw/zinc/75/64/40/746756440.db2.gz FHEZVRHRUORLQU-VIFPVBQESA-N -1 1 311.363 1.029 20 0 DDADMM O=C(NCc1ccc2oc(=O)[nH]c2c1)c1c[nH]c(=S)[n-]c1=O ZINC001196027224 746766042 /nfs/dbraw/zinc/76/60/42/746766042.db2.gz VOAYRNDSYMIXHV-UHFFFAOYSA-N -1 1 318.314 1.248 20 0 DDADMM CN(C)c1nc(NC(=S)NCc2ccc(F)cc2)cc(=O)[n-]1 ZINC001196459696 746876724 /nfs/dbraw/zinc/87/67/24/746876724.db2.gz ARDFVUKUSFLGTI-UHFFFAOYSA-N -1 1 321.381 1.874 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cc(OC)ccn1 ZINC001196915197 746996339 /nfs/dbraw/zinc/99/63/39/746996339.db2.gz SOVPTCPSXPMSDI-UHFFFAOYSA-N -1 1 302.352 1.175 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2noc(C(C)(C)C)n2)c[n-]1 ZINC001196980948 747023160 /nfs/dbraw/zinc/02/31/60/747023160.db2.gz NFRKPVZTGWHZSJ-UHFFFAOYSA-N -1 1 307.310 1.519 20 0 DDADMM COc1cccc(CS(=O)(=O)[N-]c2cccc(CO)n2)c1 ZINC001197348187 747134900 /nfs/dbraw/zinc/13/49/00/747134900.db2.gz HUUFQVWCRYTWFJ-UHFFFAOYSA-N -1 1 308.359 1.524 20 0 DDADMM O=C1CCc2cccc([N-]S(=O)(=O)Cc3cccnc3)c2N1 ZINC001197465149 747166179 /nfs/dbraw/zinc/16/61/79/747166179.db2.gz QDYWRVPZIWTKGP-UHFFFAOYSA-N -1 1 317.370 1.908 20 0 DDADMM O=S(=O)(Cc1ccccn1)[N-]c1ccncc1C(F)(F)F ZINC001197774519 747261585 /nfs/dbraw/zinc/26/15/85/747261585.db2.gz WJDPEONHZCAZQB-UHFFFAOYSA-N -1 1 317.292 1.859 20 0 DDADMM O=S(=O)(Cc1ccccn1)[N-]c1cccnc1OC1COC1 ZINC001197789512 747264825 /nfs/dbraw/zinc/26/48/25/747264825.db2.gz ZQJHTRBVHLAPDF-UHFFFAOYSA-N -1 1 321.358 1.196 20 0 DDADMM C=CS(=O)(=O)[N-]c1cc(NC(=O)OC(C)(C)C)ncn1 ZINC001198569849 747530238 /nfs/dbraw/zinc/53/02/38/747530238.db2.gz OGYDHMSOWZBESX-UHFFFAOYSA-N -1 1 300.340 1.709 20 0 DDADMM C=CS(=O)(=O)[N-]c1cn2cc(Br)ncc2n1 ZINC001198574482 747531238 /nfs/dbraw/zinc/53/12/38/747531238.db2.gz ZIUZYIAQCBMKBM-UHFFFAOYSA-N -1 1 303.141 1.377 20 0 DDADMM Cc1nsc(N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001061344532 747681628 /nfs/dbraw/zinc/68/16/28/747681628.db2.gz UKQYKPNSQHUKAI-SNVBAGLBSA-N -1 1 319.390 1.346 20 0 DDADMM CC(C)Oc1cccnc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001199239338 747756886 /nfs/dbraw/zinc/75/68/86/747756886.db2.gz PHIJCZBLZYQZAE-UHFFFAOYSA-N -1 1 319.277 1.720 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1nccn1CC1CC1 ZINC001199449863 747844218 /nfs/dbraw/zinc/84/42/18/747844218.db2.gz HNUZPRRZJZGEKI-UHFFFAOYSA-N -1 1 303.322 1.445 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)c1nccn1CC1CC1 ZINC001199449863 747844221 /nfs/dbraw/zinc/84/42/21/747844221.db2.gz HNUZPRRZJZGEKI-UHFFFAOYSA-N -1 1 303.322 1.445 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001004012123 747921551 /nfs/dbraw/zinc/92/15/51/747921551.db2.gz RGLYPDWLCBAVFP-STQMWFEESA-N -1 1 317.389 1.268 20 0 DDADMM CCC(=O)N1CC[C@@]2(CNC(=O)c3ncccc3[O-])CCC[C@@H]12 ZINC000995276501 748496557 /nfs/dbraw/zinc/49/65/57/748496557.db2.gz FRALNRBXEJZXAA-CXAGYDPISA-N -1 1 317.389 1.698 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]c1ncc(C)[nH]c1=O ZINC001201769368 748600163 /nfs/dbraw/zinc/60/01/63/748600163.db2.gz SEWYPIIHZMAUQJ-UHFFFAOYSA-N -1 1 320.783 1.297 20 0 DDADMM O=C(NCC[P@@](=O)([O-])O)Nc1ccccc1Br ZINC001201983553 748647514 /nfs/dbraw/zinc/64/75/14/748647514.db2.gz YTVFDHIITCXNED-UHFFFAOYSA-N -1 1 323.083 1.748 20 0 DDADMM Cc1nccc(N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001061586152 748888215 /nfs/dbraw/zinc/88/82/15/748888215.db2.gz MJORUKDHNWTNPW-GFCCVEGCSA-N -1 1 313.361 1.142 20 0 DDADMM O=C(CC1CC1)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC000995594844 748973271 /nfs/dbraw/zinc/97/32/71/748973271.db2.gz ZHYYQVXFSTZTJD-AGIUHOORSA-N -1 1 315.373 1.449 20 0 DDADMM COC(=O)CC1(NC(=O)C(=O)c2ccc([O-])cc2)CCCCC1 ZINC001137131865 749354060 /nfs/dbraw/zinc/35/40/60/749354060.db2.gz VBHLDMPWSREPRE-UHFFFAOYSA-N -1 1 319.357 1.957 20 0 DDADMM COc1ccc(Br)c(-c2noc(-c3cn[n-]n3)n2)n1 ZINC001212799952 749523149 /nfs/dbraw/zinc/52/31/49/749523149.db2.gz GUHLCCNHXJSVBY-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(Cc2ccon2)C1 ZINC001033803617 749927132 /nfs/dbraw/zinc/92/71/32/749927132.db2.gz JIZILDIXRUIVEQ-ZDUSSCGKSA-N -1 1 316.361 1.512 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2COC3(CCC3)C2)c1Cl ZINC000688283048 750458469 /nfs/dbraw/zinc/45/84/69/750458469.db2.gz NCPNWBRFXIBOEY-QMMMGPOBSA-N -1 1 305.787 1.063 20 0 DDADMM C[C@H]1CCCN(C(=O)c2ccoc2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036504316 752492847 /nfs/dbraw/zinc/49/28/47/752492847.db2.gz ZOFGXXHHMPMWSV-CMPLNLGQSA-N -1 1 319.365 1.134 20 0 DDADMM CCCC(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062149494 752793063 /nfs/dbraw/zinc/79/30/63/752793063.db2.gz IUOLURMDNZGIBZ-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM CCCCC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062554526 753109637 /nfs/dbraw/zinc/10/96/37/753109637.db2.gz XUTGJQAWLPPURS-UHFFFAOYSA-N -1 1 305.378 1.746 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839631 753760300 /nfs/dbraw/zinc/76/03/00/753760300.db2.gz YCMRKISHEWTABF-GHMZBOCLSA-N -1 1 303.362 1.212 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(c2nccnc2F)C1 ZINC001062923028 753848468 /nfs/dbraw/zinc/84/84/68/753848468.db2.gz HMTZLZOWGMSODQ-SNVBAGLBSA-N -1 1 317.324 1.067 20 0 DDADMM O=C(N[C@H]1CCCCN(c2ncccn2)C1)c1ncccc1[O-] ZINC001063185294 754035806 /nfs/dbraw/zinc/03/58/06/754035806.db2.gz IWDUJXGVYYBZMT-LBPRGKRZSA-N -1 1 313.361 1.366 20 0 DDADMM Cc1oncc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010735978 754133151 /nfs/dbraw/zinc/13/31/51/754133151.db2.gz ZZIRRCCEKQUTGE-GFCCVEGCSA-N -1 1 302.334 1.088 20 0 DDADMM CCC(=O)N[C@@H]1C[C@H](C)N(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001012616576 755161879 /nfs/dbraw/zinc/16/18/79/755161879.db2.gz KOVGZFLSDBJRIU-GXSJLCMTSA-N -1 1 318.377 1.189 20 0 DDADMM CCC(=O)N[C@H]1C[C@H](C)N(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001012616579 755161965 /nfs/dbraw/zinc/16/19/65/755161965.db2.gz KOVGZFLSDBJRIU-ONGXEEELSA-N -1 1 318.377 1.189 20 0 DDADMM CCN(C(=O)C1(CC)CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080472711 755936530 /nfs/dbraw/zinc/93/65/30/755936530.db2.gz HTNOGYMJOZZLKW-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)c1cc(N[C@H](C)CNC(=O)c2ncccc2[O-])ncn1 ZINC001097765274 757512054 /nfs/dbraw/zinc/51/20/54/757512054.db2.gz QIZFGLCSTDQIMI-LLVKDONJSA-N -1 1 315.377 1.931 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017220876 757729166 /nfs/dbraw/zinc/72/91/66/757729166.db2.gz SAFFFWDQQJTXNZ-JHJVBQTASA-N -1 1 321.425 1.227 20 0 DDADMM O=C(CC1CCCC1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085122177 758263313 /nfs/dbraw/zinc/26/33/13/758263313.db2.gz PXMCYKHGAUUREV-CHWSQXEVSA-N -1 1 321.425 1.608 20 0 DDADMM CC(C)CC(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001065781463 758795275 /nfs/dbraw/zinc/79/52/75/758795275.db2.gz JGBDPKAWQKXBGH-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM CCCC(=O)N1CC[C@@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001018484573 758897199 /nfs/dbraw/zinc/89/71/99/758897199.db2.gz YQYQWNCHBZCJJX-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM CN(C(=O)CC(C)(C)CC(=O)c1ccccc1)c1nn[n-]n1 ZINC000828176547 759510684 /nfs/dbraw/zinc/51/06/84/759510684.db2.gz CZNOUOQQWNKXLX-UHFFFAOYSA-N -1 1 301.350 1.852 20 0 DDADMM CN(C[C@@H]1CCCC[C@@H]1O)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000828624645 759707771 /nfs/dbraw/zinc/70/77/71/759707771.db2.gz PJYFOLCEIFEXJE-AAEUAGOBSA-N -1 1 305.378 1.683 20 0 DDADMM C[C@H]1CN(C(=O)CC2CC(C)(C)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001055001003 760278762 /nfs/dbraw/zinc/27/87/62/760278762.db2.gz BVYVKJRDLUMSQB-CMPLNLGQSA-N -1 1 321.425 1.273 20 0 DDADMM O=C(NC[C@@H]1CCN1CC=C(Cl)Cl)c1ncccc1[O-] ZINC001038190660 760905530 /nfs/dbraw/zinc/90/55/30/760905530.db2.gz CCPOKWXCXQTMPM-VIFPVBQESA-N -1 1 316.188 1.910 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](Nc2cncc(Cl)n2)C1 ZINC001056612414 761287896 /nfs/dbraw/zinc/28/78/96/761287896.db2.gz HUTZCALQLNYZJN-SECBINFHSA-N -1 1 319.752 1.557 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001540950 762945975 /nfs/dbraw/zinc/94/59/75/762945975.db2.gz HCGJYFUSHQDLEZ-UHFFFAOYSA-N -1 1 319.405 1.658 20 0 DDADMM C[C@H](CN(C)c1cncc(Cl)n1)NC(=O)c1ncccc1[O-] ZINC001108932930 763142417 /nfs/dbraw/zinc/14/24/17/763142417.db2.gz HPLVBRQLSSXDFG-SECBINFHSA-N -1 1 321.768 1.485 20 0 DDADMM O=C(NC[C@H]1CN(CCF)CCC1(F)F)c1ncccc1[O-] ZINC001046932750 768129649 /nfs/dbraw/zinc/12/96/49/768129649.db2.gz SNBFVLXAQYWCJG-JTQLQIEISA-N -1 1 317.311 1.444 20 0 DDADMM O=C(N[C@@H](CNc1cnc(F)cn1)C1CC1)c1ncccc1[O-] ZINC001109821653 764105319 /nfs/dbraw/zinc/10/53/19/764105319.db2.gz MDYOBXMLWOUBKS-JTQLQIEISA-N -1 1 317.324 1.337 20 0 DDADMM CCc1cnc(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)o1 ZINC001042643250 764305494 /nfs/dbraw/zinc/30/54/94/764305494.db2.gz ZGVNLQNFYZWXHX-UHFFFAOYSA-N -1 1 316.361 1.294 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1cnc(F)cn1 ZINC001112983844 765083473 /nfs/dbraw/zinc/08/34/73/765083473.db2.gz QUIFDFCTTUWITF-IUCAKERBSA-N -1 1 305.313 1.335 20 0 DDADMM O=C(CC1CCCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044905303 765898801 /nfs/dbraw/zinc/89/88/01/765898801.db2.gz MRZDZSODNXDEEV-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM CCOC=Cc1nccnc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170217818 766176548 /nfs/dbraw/zinc/17/65/48/766176548.db2.gz MYSJGBMWDXIBPH-UTCJRWHESA-N -1 1 315.293 1.257 20 0 DDADMM Cc1ccc(N(C)C)c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001170217442 766176651 /nfs/dbraw/zinc/17/66/51/766176651.db2.gz CWZVBJQLNIPNSD-UHFFFAOYSA-N -1 1 300.322 1.834 20 0 DDADMM Cn1cnc(-c2ccc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)cc2)n1 ZINC001170219953 766178004 /nfs/dbraw/zinc/17/80/04/766178004.db2.gz QFAJZOQFBANVHN-UHFFFAOYSA-N -1 1 324.304 1.255 20 0 DDADMM Cc1nc2ccc(Nc3[n-]c(=O)nc4nc[nH]c43)cc2c(=O)o1 ZINC001170233211 766188000 /nfs/dbraw/zinc/18/80/00/766188000.db2.gz LOLGDNWRCRKZEH-UHFFFAOYSA-N -1 1 310.273 1.612 20 0 DDADMM CC[C@H](F)CN1CCC(C)(NC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001045409689 766289694 /nfs/dbraw/zinc/28/96/94/766289694.db2.gz SJAAGXLXLUDPLF-NSHDSACASA-N -1 1 312.389 1.756 20 0 DDADMM O=C(NC1CC(CNc2cnc(F)cn2)C1)c1ncccc1[O-] ZINC001067843254 766746784 /nfs/dbraw/zinc/74/67/84/766746784.db2.gz CJCWCAAYZZKFSL-UHFFFAOYSA-N -1 1 317.324 1.337 20 0 DDADMM Cc1ncoc1CN1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001046260187 767315885 /nfs/dbraw/zinc/31/58/85/767315885.db2.gz XEJCOBZDJIIBPX-MRXNPFEDSA-N -1 1 316.361 1.478 20 0 DDADMM CSCCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952416 770336172 /nfs/dbraw/zinc/33/61/72/770336172.db2.gz LVFKSCMIPCLYDS-MNOVXSKESA-N -1 1 323.418 1.117 20 0 DDADMM CCc1ccc([C@H](C)C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001135369087 771464172 /nfs/dbraw/zinc/46/41/72/771464172.db2.gz MXPNBXOOVRQWNJ-NSHDSACASA-N -1 1 317.393 1.082 20 0 DDADMM O=C(N[C@H]1CCCc2[nH]c(=O)ccc21)C(=O)c1ccc([O-])cc1 ZINC001136003034 771831314 /nfs/dbraw/zinc/83/13/14/771831314.db2.gz OHYIPQKBWNJNCJ-AWEZNQCLSA-N -1 1 312.325 1.869 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCC[C@@H](C3OCCO3)C2)c1 ZINC001136571590 772022084 /nfs/dbraw/zinc/02/20/84/772022084.db2.gz WYUPXCMZKZJEPI-GFCCVEGCSA-N -1 1 319.357 1.738 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@H](O)c1ccc(O)cc1 ZINC001144599070 772577511 /nfs/dbraw/zinc/57/75/11/772577511.db2.gz BPSJZAAIJLROQZ-SSDOTTSWSA-N -1 1 302.212 1.201 20 0 DDADMM CO[C@]1(CO)CCCN(C(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001146518871 772886333 /nfs/dbraw/zinc/88/63/33/772886333.db2.gz WPFYTIPIDQMKFY-MRXNPFEDSA-N -1 1 307.346 1.127 20 0 DDADMM COC(=O)c1ccnc(Cl)c1[N-]C(=O)c1cc(OC)no1 ZINC001146931743 772978758 /nfs/dbraw/zinc/97/87/58/772978758.db2.gz BZJWFGCILAVWRD-UHFFFAOYSA-N -1 1 311.681 1.771 20 0 DDADMM Cc1cc(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)ncn1 ZINC001147000676 772998015 /nfs/dbraw/zinc/99/80/15/772998015.db2.gz NTMGKSRNKXZCQI-UHFFFAOYSA-N -1 1 311.305 1.129 20 0 DDADMM COc1cccc(OCCCNC(=O)CCCc2nn[n-]n2)c1 ZINC001147250940 773079288 /nfs/dbraw/zinc/07/92/88/773079288.db2.gz RPTQTGJBQIJPTE-UHFFFAOYSA-N -1 1 319.365 1.116 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCCOc2ccccc2F)c1[O-] ZINC001147842606 773266406 /nfs/dbraw/zinc/26/64/06/773266406.db2.gz FPGDNUMKMYWZRP-UHFFFAOYSA-N -1 1 320.320 1.536 20 0 DDADMM CC(C)Oc1ccc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001148396389 773454129 /nfs/dbraw/zinc/45/41/29/773454129.db2.gz DWXVBXUIKLRXNF-UHFFFAOYSA-N -1 1 304.306 1.510 20 0 DDADMM COC(=O)[C@H]1C[C@@H]1CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001148805928 773606256 /nfs/dbraw/zinc/60/62/56/773606256.db2.gz IPFDAQFIIDUBMM-XCBNKYQSSA-N -1 1 317.263 1.950 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CC1CCCC1 ZINC001101692568 777398352 /nfs/dbraw/zinc/39/83/52/777398352.db2.gz VDFBSEJVGXHFSW-DGCLKSJQSA-N -1 1 321.425 1.275 20 0 DDADMM [O-]c1c(Cl)nccc1Nc1cnc(N2CCOCC2)nc1 ZINC001174451483 777492423 /nfs/dbraw/zinc/49/24/23/777492423.db2.gz SYMDNJOWOPMOQF-UHFFFAOYSA-N -1 1 307.741 1.811 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2ncccc2OC)[n-]1 ZINC001175458928 777810655 /nfs/dbraw/zinc/81/06/55/777810655.db2.gz QIKLPHXXJJPSAJ-UHFFFAOYSA-N -1 1 318.333 1.561 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2ncccc2OC)n1 ZINC001175458928 777810660 /nfs/dbraw/zinc/81/06/60/777810660.db2.gz QIKLPHXXJJPSAJ-UHFFFAOYSA-N -1 1 318.333 1.561 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cccc3c2OCC3)cc1N ZINC001176075650 778014984 /nfs/dbraw/zinc/01/49/84/778014984.db2.gz RWDULNUJAWJQFT-UHFFFAOYSA-N -1 1 305.359 1.587 20 0 DDADMM CSc1nc(NC(=O)CN(C)c2ccccn2)cc(=O)[n-]1 ZINC001177408376 778585018 /nfs/dbraw/zinc/58/50/18/778585018.db2.gz FLCSUTYWEHMIJC-UHFFFAOYSA-N -1 1 305.363 1.374 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)[C@H](c1ccccn1)C1CC1 ZINC001178232522 778962358 /nfs/dbraw/zinc/96/23/58/778962358.db2.gz QXCNKFYIFFKRLC-VIFPVBQESA-N -1 1 315.289 1.813 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@@H]3CCC(=O)c4ccccc43)c1-2 ZINC001178919126 779228298 /nfs/dbraw/zinc/22/82/98/779228298.db2.gz WFAYSLWXANQKOZ-GFCCVEGCSA-N -1 1 321.340 1.734 20 0 DDADMM CN(C)c1nc(NC(=O)[C@@H]2CC23CCOCC3)c(N=O)c(=O)[n-]1 ZINC001179310264 779332702 /nfs/dbraw/zinc/33/27/02/779332702.db2.gz STGJGWOIHFTNPA-QMMMGPOBSA-N -1 1 321.337 1.401 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)C(=O)CCc1nc[nH]n1 ZINC001116208289 780484850 /nfs/dbraw/zinc/48/48/50/780484850.db2.gz NFZSNFIOXZHEOW-UHFFFAOYSA-N -1 1 318.362 1.106 20 0 DDADMM O=Cc1ccccc1S(=O)(=O)[N-][C@@H](CCO)C(F)(F)F ZINC001119436078 781451889 /nfs/dbraw/zinc/45/18/89/781451889.db2.gz VYSGMMVBCNBSAK-JTQLQIEISA-N -1 1 311.281 1.091 20 0 DDADMM CC(C)(C)N1CCN(C(=O)c2coc(/C=C\C(=O)[O-])c2)CC1 ZINC001119536009 781500198 /nfs/dbraw/zinc/50/01/98/781500198.db2.gz DUPHFZOPCILVIW-PLNGDYQASA-N -1 1 306.362 1.934 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(Oc2cnnc(=S)[n-]2)CC1 ZINC001228640449 844359649 /nfs/dbraw/zinc/35/96/49/844359649.db2.gz RLLYCKOMCWMSOB-UHFFFAOYSA-N -1 1 312.395 1.939 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@](C)(O)CCC(C)C ZINC001414210977 841447415 /nfs/dbraw/zinc/44/74/15/841447415.db2.gz FSNJVVOLDDBJNH-GFCCVEGCSA-N -1 1 322.452 1.617 20 0 DDADMM CN1CCC12CN(C(=O)c1cc(Br)ccc1[O-])C2 ZINC001269615110 841825093 /nfs/dbraw/zinc/82/50/93/841825093.db2.gz XUSCDJSFOWCTPW-UHFFFAOYSA-N -1 1 311.179 1.685 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccc2ccncc2c1 ZINC001154601285 861264856 /nfs/dbraw/zinc/26/48/56/861264856.db2.gz SRSRLHHPLUTHJK-UHFFFAOYSA-N -1 1 322.284 1.516 20 0 DDADMM CN1CCC12CN(C(=O)c1ccc(C(F)(F)F)cc1[O-])C2 ZINC001269990597 842208150 /nfs/dbraw/zinc/20/81/50/842208150.db2.gz FPLWZERQFGEDRD-UHFFFAOYSA-N -1 1 300.280 1.941 20 0 DDADMM C[C@@H](CN1CCOCC1)Oc1[n-]c(=O)ncc1Br ZINC001227133004 843357852 /nfs/dbraw/zinc/35/78/52/843357852.db2.gz CIZOUVQRBPILNF-QMMMGPOBSA-N -1 1 318.171 1.044 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H](C)C1CC1 ZINC001409261176 844725578 /nfs/dbraw/zinc/72/55/78/844725578.db2.gz FDRGYODNZLPDCH-ZYHUDNBSSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3nc4ccccn4c3C)c1-2 ZINC001149259392 861503419 /nfs/dbraw/zinc/50/34/19/861503419.db2.gz YITXXVPCTWJHAL-UHFFFAOYSA-N -1 1 307.317 1.243 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4c(c3)NC(=O)CC4)c1-2 ZINC001149326888 861547866 /nfs/dbraw/zinc/54/78/66/861547866.db2.gz CBFIYEXHUWTLJV-UHFFFAOYSA-N -1 1 322.328 1.172 20 0 DDADMM Cc1nc([C@H](C)N(C)[C@H](C)CNC(=O)c2ncccc2[O-])no1 ZINC001409538680 845271892 /nfs/dbraw/zinc/27/18/92/845271892.db2.gz LSWDQHBMFBSONF-ZJUUUORDSA-N -1 1 319.365 1.290 20 0 DDADMM COC(=O)[C@H]1C[C@H]1CNC(=O)c1ccc2cccnc2c1[O-] ZINC001149329971 861551358 /nfs/dbraw/zinc/55/13/58/861551358.db2.gz VDRYEKWTFRPXJR-JQWIXIFHSA-N -1 1 300.314 1.479 20 0 DDADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1(C)CCCC1 ZINC001409849480 845899673 /nfs/dbraw/zinc/89/96/73/845899673.db2.gz YJEOJRJYLJYZFC-GFCCVEGCSA-N -1 1 323.441 1.855 20 0 DDADMM CC(C)[C@@H](C)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C(C)C ZINC001409878168 845968427 /nfs/dbraw/zinc/96/84/27/845968427.db2.gz SIBJUUUEECKKBU-CHWSQXEVSA-N -1 1 321.421 1.950 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC3(CCC(=O)N(C)C3)CC2)n1 ZINC001272386384 846091651 /nfs/dbraw/zinc/09/16/51/846091651.db2.gz FHUWWTJOPGRPMN-UHFFFAOYSA-N -1 1 317.389 1.570 20 0 DDADMM CN1C[C@@]2(F)CN(Cc3ccc([O-])c(F)c3F)C[C@@]2(F)C1=O ZINC001272724603 846722815 /nfs/dbraw/zinc/72/28/15/846722815.db2.gz LVCGRHBQPAMMTP-ZIAGYGMSSA-N -1 1 318.270 1.375 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(C(F)(F)F)c2ncccc12 ZINC001155123515 861755373 /nfs/dbraw/zinc/75/53/73/861755373.db2.gz WDSYWEUOEMDRPW-UHFFFAOYSA-N -1 1 322.250 1.697 20 0 DDADMM COCCOC1CN(C(=O)c2cc3cccc(O)c3cc2[O-])C1 ZINC001149651416 861783916 /nfs/dbraw/zinc/78/39/16/861783916.db2.gz LVLFAEUGDZIZQH-UHFFFAOYSA-N -1 1 317.341 1.738 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C1C[C@@H](C)O[C@H](C)C1 ZINC001434560568 848666136 /nfs/dbraw/zinc/66/61/36/848666136.db2.gz BVXSHYUCUSDMNN-HTQZYQBOSA-N -1 1 306.409 1.386 20 0 DDADMM CC[C@H](C)[C@@H](C[N-]S(=O)(=O)c1cc(OC)ns1)OC ZINC001364863988 849220810 /nfs/dbraw/zinc/22/08/10/849220810.db2.gz BBUOEEIDLBUWJX-DTWKUNHWSA-N -1 1 308.425 1.491 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H](C)C(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001410448120 849293607 /nfs/dbraw/zinc/29/36/07/849293607.db2.gz YKRRYPRHZVHOLZ-JGVFFNPUSA-N -1 1 323.319 1.035 20 0 DDADMM CC[C@@H](C)CC(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001410551748 849476608 /nfs/dbraw/zinc/47/66/08/849476608.db2.gz LGOZXOHTLHESMS-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(NCC1(NC(=O)c2ncccc2[O-])CC1)c1ccco1 ZINC001410641158 849582023 /nfs/dbraw/zinc/58/20/23/849582023.db2.gz COBKQYGBRMIHDV-UHFFFAOYSA-N -1 1 301.302 1.073 20 0 DDADMM CC(C)NC(=O)N[C@@]12CC[C@@](NC(=O)c3cnn[nH]3)(C1)CCC2 ZINC001273371886 849715932 /nfs/dbraw/zinc/71/59/32/849715932.db2.gz PXKSVCZWCDCAOA-CABCVRRESA-N -1 1 320.397 1.088 20 0 DDADMM NC(=O)c1cc2c([nH]1)CN(C(=O)c1ccc(F)c([O-])c1)CC2 ZINC001275407871 853078643 /nfs/dbraw/zinc/07/86/43/853078643.db2.gz IJJHFRMHFPQJJR-UHFFFAOYSA-N -1 1 303.293 1.157 20 0 DDADMM CCC[C@@H](C)C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001411510311 853261147 /nfs/dbraw/zinc/26/11/47/853261147.db2.gz LAKGEDGXIVJUPV-VXGBXAGGSA-N -1 1 307.394 1.800 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@@H](NC(=O)c2ccc(F)c([O-])c2)C1 ZINC001411796256 853749233 /nfs/dbraw/zinc/74/92/33/853749233.db2.gz BHUUUZHGOSQLTR-GHMZBOCLSA-N -1 1 315.366 1.617 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCOc2c(F)cccc21)c1nn[n-]n1 ZINC001411987624 854075224 /nfs/dbraw/zinc/07/52/24/854075224.db2.gz BTVOTZIZYRUJEU-KOLCDFICSA-N -1 1 319.340 1.467 20 0 DDADMM COc1cncc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1C ZINC001412048257 854153009 /nfs/dbraw/zinc/15/30/09/854153009.db2.gz CYXMPZQLZYCOJP-SNVBAGLBSA-N -1 1 318.333 1.507 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2nc(CN(C)C)cs2)on1 ZINC001412206036 854333476 /nfs/dbraw/zinc/33/34/76/854333476.db2.gz IKIMSSTUQCHWCU-UHFFFAOYSA-N -1 1 310.335 1.232 20 0 DDADMM CS(=O)(=O)c1ccccc1CNC(=O)c1ccc(F)c([O-])c1 ZINC001412242117 854367960 /nfs/dbraw/zinc/36/79/60/854367960.db2.gz GOQBNHZCKXHDIH-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM CSc1nc(CNC(=O)c2nccnc2SC)cc(=O)[n-]1 ZINC001412289814 854409729 /nfs/dbraw/zinc/40/97/29/854409729.db2.gz WGWBFDQSBHXTPJ-UHFFFAOYSA-N -1 1 323.403 1.346 20 0 DDADMM CSc1ncc(C(=O)N2CCOc3ccccc32)c(=O)[n-]1 ZINC001412393172 854498826 /nfs/dbraw/zinc/49/88/26/854498826.db2.gz KMXYGOQGKYBSLA-UHFFFAOYSA-N -1 1 303.343 1.943 20 0 DDADMM O=C(N[C@@H]1Cc2ccccc2NC1=O)c1ccc([O-])c(F)c1 ZINC001412450400 854561697 /nfs/dbraw/zinc/56/16/97/854561697.db2.gz YCLJRYHWRNQJKC-CYBMUJFWSA-N -1 1 300.289 1.825 20 0 DDADMM O=C(CCN1CC[C@@H](F)C1)Nc1cccc(-c2nc(=O)o[n-]2)c1 ZINC001412492527 854620612 /nfs/dbraw/zinc/62/06/12/854620612.db2.gz QYXWRPHYXDDXMG-LLVKDONJSA-N -1 1 320.324 1.402 20 0 DDADMM C[C@@]1(C(=O)NCc2nn[n-]n2)CC(c2ccccc2Cl)=NO1 ZINC001412508301 854639645 /nfs/dbraw/zinc/63/96/45/854639645.db2.gz UWEGOAVYFZHJMM-ZDUSSCGKSA-N -1 1 320.740 1.053 20 0 DDADMM COC(=O)Nc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001412545249 854693968 /nfs/dbraw/zinc/69/39/68/854693968.db2.gz UREBZPCMZFXHHV-UHFFFAOYSA-N -1 1 316.321 1.187 20 0 DDADMM COc1cc(CC(=O)NC2(c3nn[n-]n3)CCC2)ccc1C ZINC001412547473 854701981 /nfs/dbraw/zinc/70/19/81/854701981.db2.gz WZPYYNQJOFXUSA-UHFFFAOYSA-N -1 1 301.350 1.255 20 0 DDADMM Cc1cc2c(c(NC[C@@H]3Cc4ccccc4O3)n1)C(=O)[N-]C2=O ZINC001155973083 862605044 /nfs/dbraw/zinc/60/50/44/862605044.db2.gz PWDOFFWTKVWSTH-NSHDSACASA-N -1 1 309.325 1.689 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C[C@H]2CCC(=O)[C@@H]2C)[n-]c1=O ZINC001412659718 854893775 /nfs/dbraw/zinc/89/37/75/854893775.db2.gz WCWDVWUTESSNFL-NXEZZACHSA-N -1 1 321.377 1.547 20 0 DDADMM CN(C)C(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)c1cc([O-])cc(F)c1)C2 ZINC001276183014 854919236 /nfs/dbraw/zinc/91/92/36/854919236.db2.gz HKYGZFPETBXFEV-QHBPRCKVSA-N -1 1 320.364 1.718 20 0 DDADMM O=C(NC1CC1)c1c[nH]nc1NC(=O)c1ccc(F)c([O-])c1 ZINC001412785052 855266029 /nfs/dbraw/zinc/26/60/29/855266029.db2.gz CYFLWAMROODNMJ-UHFFFAOYSA-N -1 1 304.281 1.399 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCOC[C@H]1[C@@H]1CCCC1=O ZINC001412813895 855300939 /nfs/dbraw/zinc/30/09/39/855300939.db2.gz FTMSZANVYMUEFE-JQWIXIFHSA-N -1 1 307.321 1.742 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(OC)ccc2O)n1 ZINC001413055055 856224562 /nfs/dbraw/zinc/22/45/62/856224562.db2.gz ZMQDKSKZMRBPCE-UHFFFAOYSA-N -1 1 305.290 1.553 20 0 DDADMM O=C(CCCF)N[C@@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001413183713 856525666 /nfs/dbraw/zinc/52/56/66/856525666.db2.gz ZCXJNSJKQKDRSH-VXGBXAGGSA-N -1 1 323.368 1.552 20 0 DDADMM CN(C(=O)[C@H](O)c1ccc(Cl)c(Cl)c1)c1nn[n-]n1 ZINC001413328779 856665729 /nfs/dbraw/zinc/66/57/29/856665729.db2.gz UAPGWIMOMCBYAN-MRVPVSSYSA-N -1 1 302.121 1.203 20 0 DDADMM CC(C)NC(=O)[C@H]1CCCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001413337331 856683650 /nfs/dbraw/zinc/68/36/50/856683650.db2.gz QIZRUZOJJMTPOK-LBPRGKRZSA-N -1 1 308.353 1.908 20 0 DDADMM CCO[C@H](C(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccccc1 ZINC001413342516 856690491 /nfs/dbraw/zinc/69/04/91/856690491.db2.gz NGXDYDWBZFSAEM-AWEZNQCLSA-N -1 1 303.318 1.282 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1cncs1)c1sccc1Cl ZINC001413444369 856820330 /nfs/dbraw/zinc/82/03/30/856820330.db2.gz XJGLXIJUYFVKIG-SSDOTTSWSA-N -1 1 324.836 1.870 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1CCS[C@@H](C)C1 ZINC001413455847 856834225 /nfs/dbraw/zinc/83/42/25/856834225.db2.gz BTFQOMQSKMOCJG-YUMQZZPRSA-N -1 1 308.450 1.714 20 0 DDADMM CCn1cc(CNC(=O)c2c([O-])cccc2Cl)c(=O)[nH]c1=O ZINC001413464347 856846080 /nfs/dbraw/zinc/84/60/80/856846080.db2.gz AKVSNHIHAZEYKA-UHFFFAOYSA-N -1 1 323.736 1.258 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]Cc1cnccn1 ZINC001413481330 856869517 /nfs/dbraw/zinc/86/95/17/856869517.db2.gz JPEQQBBRPJBTQR-UHFFFAOYSA-N -1 1 315.301 1.242 20 0 DDADMM CCCCS(=O)(=O)[N-]c1cc(C(=O)N(C)C)ccc1OC ZINC001253855221 857044339 /nfs/dbraw/zinc/04/43/39/857044339.db2.gz JSJVBSGTOJZQTR-UHFFFAOYSA-N -1 1 314.407 1.939 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1csc(Cl)n1 ZINC001362831254 884747403 /nfs/dbraw/zinc/74/74/03/884747403.db2.gz OQLYXQHXJVXUJL-UHFFFAOYSA-N -1 1 300.775 1.608 20 0 DDADMM Nc1cn(C(=O)c2nc3cc(Br)ccc3[n-]2)nc1N ZINC001151821179 862989858 /nfs/dbraw/zinc/98/98/58/862989858.db2.gz ICEHBXIXNXAHQM-UHFFFAOYSA-N -1 1 321.138 1.375 20 0 DDADMM Nc1cn(C(=O)c2nc3ccc(Br)cc3[n-]2)nc1N ZINC001151821179 862989867 /nfs/dbraw/zinc/98/98/67/862989867.db2.gz ICEHBXIXNXAHQM-UHFFFAOYSA-N -1 1 321.138 1.375 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ncccc2[O-])[nH]c1=O ZINC001413764060 858498400 /nfs/dbraw/zinc/49/84/00/858498400.db2.gz HWKSKQCJRKMKNR-VIFPVBQESA-N -1 1 316.317 1.269 20 0 DDADMM c1ccc(Cn2c(-c3nnn[n-]3)nnc2N2CCCCC2)cc1 ZINC001122081507 858679679 /nfs/dbraw/zinc/67/96/79/858679679.db2.gz GKKHLFBESIFCRB-UHFFFAOYSA-N -1 1 310.365 1.497 20 0 DDADMM c1ccc(Cn2c(-c3nn[n-]n3)nnc2N2CCCCC2)cc1 ZINC001122081507 858679681 /nfs/dbraw/zinc/67/96/81/858679681.db2.gz GKKHLFBESIFCRB-UHFFFAOYSA-N -1 1 310.365 1.497 20 0 DDADMM CC(C)NC(=O)OC1CN(Cc2ccc([O-])c(F)c2F)C1 ZINC001414152186 881367745 /nfs/dbraw/zinc/36/77/45/881367745.db2.gz CQEWLQDFNPOQBT-UHFFFAOYSA-N -1 1 300.305 1.989 20 0 DDADMM COC1(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)CCCCC1 ZINC001123790898 859415780 /nfs/dbraw/zinc/41/57/80/859415780.db2.gz ZFTUNUOZCOPTRU-UHFFFAOYSA-N -1 1 311.407 1.858 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](C1CCC1)[C@@H]1CCCO1 ZINC001123846866 859439310 /nfs/dbraw/zinc/43/93/10/859439310.db2.gz HCSQKORDCWDMAH-JQWIXIFHSA-N -1 1 323.418 1.857 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1COCc2ccccc21 ZINC001123901598 859462993 /nfs/dbraw/zinc/46/29/93/859462993.db2.gz HGSWBHVHLLKRLJ-NSHDSACASA-N -1 1 317.370 1.781 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](C)c1cccc(O)c1 ZINC001138200908 860042699 /nfs/dbraw/zinc/04/26/99/860042699.db2.gz CTJUWTZTGXTGCI-SSDOTTSWSA-N -1 1 305.359 1.976 20 0 DDADMM Cc1cnn(C)c1C(=O)Nc1nc(Br)ccc1[O-] ZINC001138325502 860056390 /nfs/dbraw/zinc/05/63/90/860056390.db2.gz FLFPVGVLGDLCHF-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM CCn1nnc2c1CCN(Cc1ncccc1[O-])[C@H]2COC ZINC001138391391 860087334 /nfs/dbraw/zinc/08/73/34/860087334.db2.gz XGJDHMRPFCZXRW-ZDUSSCGKSA-N -1 1 303.366 1.144 20 0 DDADMM CC(C)OCc1nnc2n1CCN(Cc1ncccc1[O-])C2 ZINC001138392609 860088501 /nfs/dbraw/zinc/08/85/01/860088501.db2.gz IMSORWRSPQNEGN-UHFFFAOYSA-N -1 1 303.366 1.320 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(Cc2ncccc2[O-])CC1=O ZINC001138394503 860089753 /nfs/dbraw/zinc/08/97/53/860089753.db2.gz UCKZNOSCAUITMV-UHFFFAOYSA-N -1 1 307.350 1.367 20 0 DDADMM Cn1ccc2cc(C(=O)NCCCC[P@](=O)([O-])O)ccc21 ZINC001149231381 860214762 /nfs/dbraw/zinc/21/47/62/860214762.db2.gz PGONLSQDEDMNAA-UHFFFAOYSA-N -1 1 310.290 1.866 20 0 DDADMM CCCN(C(=O)c1ccc(F)c([O-])c1)[C@H]1CC(=O)N(C)C1=O ZINC001138874395 860220412 /nfs/dbraw/zinc/22/04/12/860220412.db2.gz CLZVEGITYFAACJ-NSHDSACASA-N -1 1 308.309 1.141 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(C)c(C)c2)C1 ZINC001139846697 860496778 /nfs/dbraw/zinc/49/67/78/860496778.db2.gz GLYYTVZCCIXDBN-CYBMUJFWSA-N -1 1 306.362 1.351 20 0 DDADMM COc1cc(C(=O)N2CC[C@H]3C[C@]32C(N)=O)cc(Cl)c1[O-] ZINC001276402067 860575450 /nfs/dbraw/zinc/57/54/50/860575450.db2.gz SQGQKRKTOGXICN-RMLUDKJBSA-N -1 1 310.737 1.144 20 0 DDADMM CCOC(=O)[C@H]1[C@@H]2CN(Cc3[nH]c(C)c(C(=O)[O-])c3C)C[C@@H]21 ZINC001140496387 860645269 /nfs/dbraw/zinc/64/52/69/860645269.db2.gz DBYAYFKHYIKLRI-YABSGUDNSA-N -1 1 306.362 1.571 20 0 DDADMM O=C([O-])c1ccccc1CN1C[C@](O)(C(F)(F)F)C[C@H]1CO ZINC001140497975 860646232 /nfs/dbraw/zinc/64/62/32/860646232.db2.gz BLNADUOUKCBHTQ-GWCFXTLKSA-N -1 1 319.279 1.245 20 0 DDADMM O=C([O-])c1ccc(CN2C[C@H]3CN(CC4CC4)C[C@@H](C2)O3)o1 ZINC001140945919 860743347 /nfs/dbraw/zinc/74/33/47/860743347.db2.gz MDXUHHZSGJFFQE-OKILXGFUSA-N -1 1 306.362 1.273 20 0 DDADMM COC(=O)[C@@]1(C)COCCN1Cc1cc(F)c([O-])c(F)c1 ZINC001140994569 860754548 /nfs/dbraw/zinc/75/45/48/860754548.db2.gz SDKYDPWYELQIIU-CQSZACIVSA-N -1 1 301.289 1.434 20 0 DDADMM CC[C@@H]1CCC[C@@]1(C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001363113104 885492931 /nfs/dbraw/zinc/49/29/31/885492931.db2.gz URZKSBREPKZOND-PRHODGIISA-N -1 1 300.384 1.363 20 0 DDADMM Cc1cc(NC(=O)c2cnncc2[O-])n(Cc2ccccc2)n1 ZINC001142037076 861001776 /nfs/dbraw/zinc/00/17/76/861001776.db2.gz RUKLCUQYXDANLP-UHFFFAOYSA-N -1 1 309.329 1.988 20 0 DDADMM CCOC(=O)[C@H]1CC[C@@H](OCCCC[P@](=O)([O-])O)CO1 ZINC001225019120 881641416 /nfs/dbraw/zinc/64/14/16/881641416.db2.gz RYKBZZZVHHSQSP-GHMZBOCLSA-N -1 1 310.283 1.072 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc3[nH]nc(N)c32)n1 ZINC001156738354 863310893 /nfs/dbraw/zinc/31/08/93/863310893.db2.gz UPSLBEIFHZAZPF-UHFFFAOYSA-N -1 1 314.305 1.297 20 0 DDADMM CN(C)c1ccnc(NC(=N)SCCS(=O)(=O)[O-])c1 ZINC001157322753 863737957 /nfs/dbraw/zinc/73/79/57/863737957.db2.gz VWQBJMZURNAYPR-UHFFFAOYSA-N -1 1 304.397 1.115 20 0 DDADMM Cc1cc2c(c(NCc3cn4cccc(C)c4n3)n1)C(=O)[N-]C2=O ZINC001158158339 864460791 /nfs/dbraw/zinc/46/07/91/864460791.db2.gz AMAUFSRCKAMDHJ-UHFFFAOYSA-N -1 1 321.340 1.842 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC1CCC=CCC1 ZINC001330908926 864695356 /nfs/dbraw/zinc/69/53/56/864695356.db2.gz PEXWVQZDFHZWCW-UHFFFAOYSA-N -1 1 318.377 1.553 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CCCn2ccnc2)[n-]1 ZINC001361516261 881810137 /nfs/dbraw/zinc/81/01/37/881810137.db2.gz CSYIQTSNOYIDCC-UHFFFAOYSA-N -1 1 318.333 1.619 20 0 DDADMM CC(C)Oc1cncc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159195364 865160053 /nfs/dbraw/zinc/16/00/53/865160053.db2.gz OCFWYGVANUCDKF-UHFFFAOYSA-N -1 1 303.282 1.037 20 0 DDADMM CC(C)CC(=O)Nc1ccc(C(=O)N(C)c2nn[n-]n2)cc1F ZINC001361566705 881909615 /nfs/dbraw/zinc/90/96/15/881909615.db2.gz XSDJZDRXSGOWMO-UHFFFAOYSA-N -1 1 320.328 1.600 20 0 DDADMM Cc1cc2ncnc(Nc3c(O)[nH]c(=O)[n-]c3=S)c2cc1C ZINC001160857186 866151144 /nfs/dbraw/zinc/15/11/44/866151144.db2.gz BNEGTXVHOHOJGW-JTQLQIEISA-N -1 1 315.358 1.194 20 0 DDADMM C[C@H]1[C@@H](Oc2cc(=O)[n-]c(=S)[nH]2)CN1C(=O)OC(C)(C)C ZINC001225764535 882016472 /nfs/dbraw/zinc/01/64/72/882016472.db2.gz FYEUXEICNVEGOA-YUMQZZPRSA-N -1 1 313.379 1.858 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nnc(-c3ccncc3)o2)co1 ZINC001323847396 866893677 /nfs/dbraw/zinc/89/36/77/866893677.db2.gz HWJJDGKULCQERX-UHFFFAOYSA-N -1 1 306.303 1.300 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)Cc2cnn(C)c2C)[n-]1 ZINC001361623769 882029937 /nfs/dbraw/zinc/02/99/37/882029937.db2.gz PHEBIHCUFWIWEQ-UHFFFAOYSA-N -1 1 318.333 1.227 20 0 DDADMM CC(C(=O)N[C@@H](C)[C@@H](C)NC(=O)c1ncccc1[O-])=C1CCC1 ZINC001333887478 867118007 /nfs/dbraw/zinc/11/80/07/867118007.db2.gz RDEDGUFYHBNZLS-NWDGAFQWSA-N -1 1 317.389 1.911 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@@H]1CCCC2(C1)OCCO2 ZINC001225900875 882100506 /nfs/dbraw/zinc/10/05/06/882100506.db2.gz IVHJLMCJMCETES-SNVBAGLBSA-N -1 1 324.333 1.423 20 0 DDADMM Cc1noc(C)c1[N-]C(=O)c1c(C)oc2ncn(C)c(=O)c21 ZINC001322196691 868044224 /nfs/dbraw/zinc/04/42/24/868044224.db2.gz IRQJXDTYCJNKKJ-UHFFFAOYSA-N -1 1 302.290 1.692 20 0 DDADMM CSc1nc(CNC(=O)c2c(F)ccnc2F)cc(=O)[n-]1 ZINC001163578789 868545547 /nfs/dbraw/zinc/54/55/47/868545547.db2.gz WSTZPKMUQUUUCR-UHFFFAOYSA-N -1 1 312.301 1.507 20 0 DDADMM O=C(NCCN=S1(=O)CCCC1)c1cccc([O-])c1F ZINC001163941652 868813141 /nfs/dbraw/zinc/81/31/41/868813141.db2.gz UFEVFALAZQPADI-UHFFFAOYSA-N -1 1 300.355 1.523 20 0 DDADMM CCC(CC)(NC(=O)c1cccc(Cl)c1N)c1nn[n-]n1 ZINC001297489434 870074487 /nfs/dbraw/zinc/07/44/87/870074487.db2.gz PDTLBFRHRIGNFP-UHFFFAOYSA-N -1 1 308.773 1.881 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC001338628918 870082171 /nfs/dbraw/zinc/08/21/71/870082171.db2.gz MTLRUPDTUZYQJR-MWLCHTKSSA-N -1 1 310.781 1.967 20 0 DDADMM NC(=O)[C@@H]1CC[C@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)C1 ZINC001361791458 882382401 /nfs/dbraw/zinc/38/24/01/882382401.db2.gz GZTCWCXPKRSTRL-MNOVXSKESA-N -1 1 314.345 1.622 20 0 DDADMM O=C(c1cnncc1[O-])N(C[C@H]1CCCO1)[C@H]1CCSC1 ZINC001361798115 882393757 /nfs/dbraw/zinc/39/37/57/882393757.db2.gz KKPANWGNWGXCJG-WDEREUQCSA-N -1 1 309.391 1.309 20 0 DDADMM CC(C)=C(C)CC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001298845286 870751093 /nfs/dbraw/zinc/75/10/93/870751093.db2.gz QUMISYGBHFZDQA-LBPRGKRZSA-N -1 1 305.378 1.768 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1Cc2ccccc2C(C)(C)C1 ZINC001340047995 870863217 /nfs/dbraw/zinc/86/32/17/870863217.db2.gz KAXQAQCCTNEEMH-UHFFFAOYSA-N -1 1 324.392 1.776 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1Cc2ccccc2C(C)(C)C1 ZINC001340047995 870863228 /nfs/dbraw/zinc/86/32/28/870863228.db2.gz KAXQAQCCTNEEMH-UHFFFAOYSA-N -1 1 324.392 1.776 20 0 DDADMM CCOC(=O)c1nc([N-]C(=O)c2cc(OC)no2)sc1C ZINC001361837487 882470336 /nfs/dbraw/zinc/47/03/36/882470336.db2.gz VFFLTEVHKOIZQI-UHFFFAOYSA-N -1 1 311.319 1.877 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCOCC1CCCC1 ZINC001309562490 871582334 /nfs/dbraw/zinc/58/23/34/871582334.db2.gz KJQNZDVHESYJOJ-UHFFFAOYSA-N -1 1 320.436 1.637 20 0 DDADMM CC1=C(Oc2[n-]c(=O)nc(C)c2Br)C(=O)O[C@H]1C ZINC001226788540 882653777 /nfs/dbraw/zinc/65/37/77/882653777.db2.gz XPPDLKXJCZUVPM-LURJTMIESA-N -1 1 315.123 1.851 20 0 DDADMM CC(C)NC(=O)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001361946085 882689084 /nfs/dbraw/zinc/68/90/84/882689084.db2.gz IRNMTLGZSTUNKS-UHFFFAOYSA-N -1 1 316.365 1.299 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cn3c(n2)CCC3)[n-]c1Cl ZINC001361972607 882738793 /nfs/dbraw/zinc/73/87/93/882738793.db2.gz ZKOBGEOSNRSBPQ-UHFFFAOYSA-N -1 1 323.740 1.635 20 0 DDADMM COCC1(C)CCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1 ZINC001346734205 873868365 /nfs/dbraw/zinc/86/83/65/873868365.db2.gz DYFVHEKQIODBKZ-UHFFFAOYSA-N -1 1 311.407 1.668 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(c2ncco2)CC1 ZINC001347047587 873991284 /nfs/dbraw/zinc/99/12/84/873991284.db2.gz LSNKXPBBGHHEEM-UHFFFAOYSA-N -1 1 320.374 1.787 20 0 DDADMM COC[C@H](C)OC[C@H](C)OC[C@@H](C)Oc1cnc[n-]c1=O ZINC001227049764 882796583 /nfs/dbraw/zinc/79/65/83/882796583.db2.gz XCDFUZVAVRRBSO-SDDRHHMPSA-N -1 1 300.355 1.406 20 0 DDADMM Cc1ccn(-c2ccc(C(=O)N3CC[C@H](c4nn[n-]n4)C3)cc2)n1 ZINC001347474571 874155387 /nfs/dbraw/zinc/15/53/87/874155387.db2.gz OPIAPPHJPFDQQG-ZDUSSCGKSA-N -1 1 323.360 1.324 20 0 DDADMM CCN(CC)c1nnc(-c2noc(=O)[n-]2)n1Cc1cccs1 ZINC001348543210 874624392 /nfs/dbraw/zinc/62/43/92/874624392.db2.gz NCGOIMUAEMOOJI-UHFFFAOYSA-N -1 1 320.378 1.577 20 0 DDADMM CCCC[C@H](CNCc1ccon1)NC(=O)c1ncccc1[O-] ZINC001378445438 874890597 /nfs/dbraw/zinc/89/05/97/874890597.db2.gz XHBOPYXWCRITSA-GFCCVEGCSA-N -1 1 318.377 1.854 20 0 DDADMM Nc1cccc(S(=O)(=O)[N-]c2ccc3c(c2)NCCO3)c1 ZINC001209799822 875132988 /nfs/dbraw/zinc/13/29/88/875132988.db2.gz MLTHBCQFYVWGDP-UHFFFAOYSA-N -1 1 305.359 1.874 20 0 DDADMM COc1ccc(Nc2cc(=O)nc(N(C)C)[nH]2)cc1C(=O)[O-] ZINC001209899762 875162339 /nfs/dbraw/zinc/16/23/39/875162339.db2.gz WVKAZFGYXXADPR-UHFFFAOYSA-N -1 1 304.306 1.699 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H](C)c2ccc(OC)c(OC)c2)n1 ZINC001228309821 883438432 /nfs/dbraw/zinc/43/84/32/883438432.db2.gz AMYFBODHXPTLQZ-QMMMGPOBSA-N -1 1 307.306 1.749 20 0 DDADMM COC(=O)c1nc(O[C@@H](C)c2ccc(OC)c(OC)c2)n[n-]1 ZINC001228309821 883438439 /nfs/dbraw/zinc/43/84/39/883438439.db2.gz AMYFBODHXPTLQZ-QMMMGPOBSA-N -1 1 307.306 1.749 20 0 DDADMM O=C(/C=C/c1ccc[nH]1)NCCCNC(=O)c1ncccc1[O-] ZINC001349940418 875466987 /nfs/dbraw/zinc/46/69/87/875466987.db2.gz MJVARYOKWOXSMC-VOTSOKGWSA-N -1 1 314.345 1.065 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCC1CCOCC1 ZINC001364776830 889393026 /nfs/dbraw/zinc/39/30/26/889393026.db2.gz BOUVQSJNVDTWSD-UHFFFAOYSA-N -1 1 306.409 1.247 20 0 DDADMM CCC[C@H](CC(=O)OCC)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227430613 883009526 /nfs/dbraw/zinc/00/95/26/883009526.db2.gz MWAZEPWTACBOCG-SSDOTTSWSA-N -1 1 310.310 1.265 20 0 DDADMM Cc1ccc(OC[C@@H](C)Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)cc1 ZINC001227430997 883012692 /nfs/dbraw/zinc/01/26/92/883012692.db2.gz QHIISJPQVBJJFK-SECBINFHSA-N -1 1 316.317 1.919 20 0 DDADMM COC(=O)c1nsnc1[N-]c1ccc(CO)c(F)c1F ZINC001215031205 876545310 /nfs/dbraw/zinc/54/53/10/876545310.db2.gz ZLFSRBWMAFDFBF-UHFFFAOYSA-N -1 1 301.274 1.839 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OC(C)(C)C ZINC000401798357 876689258 /nfs/dbraw/zinc/68/92/58/876689258.db2.gz RBWARLZBLGYSHL-MRVPVSSYSA-N -1 1 304.343 1.229 20 0 DDADMM CCCC1(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001215492958 876728808 /nfs/dbraw/zinc/72/88/08/876728808.db2.gz FYPFCZBJWWYZDK-GHMZBOCLSA-N -1 1 307.398 1.027 20 0 DDADMM CC(C)(F)C(=O)NCCCN(C(=O)c1ncccc1[O-])C1CC1 ZINC001352409172 876732300 /nfs/dbraw/zinc/73/23/00/876732300.db2.gz OWPHSIZSCFILNX-UHFFFAOYSA-N -1 1 323.368 1.646 20 0 DDADMM COC(=O)[C@@](C)(NC(=O)c1cnncc1[O-])c1ccccc1 ZINC001362115826 883078422 /nfs/dbraw/zinc/07/84/22/883078422.db2.gz SNWOARITXLOAOT-HNNXBMFYSA-N -1 1 301.302 1.000 20 0 DDADMM COCNC(=O)c1cccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c1 ZINC001216462378 876949062 /nfs/dbraw/zinc/94/90/62/876949062.db2.gz WBQFCIFGRXBSFM-UHFFFAOYSA-N -1 1 321.362 1.130 20 0 DDADMM CC/C(C)=C/C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001352816106 876952804 /nfs/dbraw/zinc/95/28/04/876952804.db2.gz STXUSVALKNILJE-LMMOQWNQSA-N -1 1 303.362 1.474 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cc(Cl)cs1 ZINC001379437224 877079187 /nfs/dbraw/zinc/07/91/87/877079187.db2.gz ZVMOADWNWFLPJY-ZCFIWIBFSA-N -1 1 315.786 1.133 20 0 DDADMM O=c1nc(OC2CC(O)C2)c2c([n-]1)CN(Cc1ccccc1)C2 ZINC001218257148 877439029 /nfs/dbraw/zinc/43/90/29/877439029.db2.gz XLPBMJGWMCPEJQ-UHFFFAOYSA-N -1 1 313.357 1.600 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@@H]3CCc4ccccc4N3)c1-2 ZINC001218527073 877539538 /nfs/dbraw/zinc/53/95/38/877539538.db2.gz DKPWOYKLDVQUQC-LBPRGKRZSA-N -1 1 308.345 1.400 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H]2C[C@H](C)n3ncnc32)c1 ZINC001300759604 878332294 /nfs/dbraw/zinc/33/22/94/878332294.db2.gz ZBPNKEXIMHWDRO-JOYOIKCWSA-N -1 1 300.318 1.540 20 0 DDADMM CC(C)N(Cc1cccs1)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001355765110 878705998 /nfs/dbraw/zinc/70/59/98/878705998.db2.gz NTAQBZXVLOKRDN-UHFFFAOYSA-N -1 1 320.378 1.635 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)C1(C)CCCC1 ZINC001380444642 879668784 /nfs/dbraw/zinc/66/87/84/879668784.db2.gz VRGYBYREYJUPFQ-RYUDHWBXSA-N -1 1 319.405 1.991 20 0 DDADMM CNC(=O)c1ccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)c(OC)c1 ZINC001222535062 880543845 /nfs/dbraw/zinc/54/38/45/880543845.db2.gz IHSWHQNVNMHYGG-UHFFFAOYSA-N -1 1 324.336 1.534 20 0 DDADMM C=C/C(C)=C/CC(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358630532 880648266 /nfs/dbraw/zinc/64/82/66/880648266.db2.gz PZRWLKZCHSMLLI-XEVNVYFWSA-N -1 1 315.373 1.640 20 0 DDADMM C[C@@H]1CCN(C(=O)OC(C)(C)C)CC[C@@H]1OCc1nn[n-]n1 ZINC001223029234 880790771 /nfs/dbraw/zinc/79/07/71/880790771.db2.gz IGKPGBJHRRVNHU-MNOVXSKESA-N -1 1 311.386 1.752 20 0 DDADMM CCN(CC(C)C)c1nc(CC(=O)Nc2nnn[n-]2)cs1 ZINC001362158647 883176537 /nfs/dbraw/zinc/17/65/37/883176537.db2.gz BMDGTQZIFCPJJW-UHFFFAOYSA-N -1 1 309.399 1.320 20 0 DDADMM CCN(CC(C)C)c1nc(CC(=O)Nc2nn[n-]n2)cs1 ZINC001362158647 883176543 /nfs/dbraw/zinc/17/65/43/883176543.db2.gz BMDGTQZIFCPJJW-UHFFFAOYSA-N -1 1 309.399 1.320 20 0 DDADMM CS[C@@H]1CC[C@H](N(C)C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001362185755 883241516 /nfs/dbraw/zinc/24/15/16/883241516.db2.gz CZYKFGBOFQCJND-WDEREUQCSA-N -1 1 323.418 1.260 20 0 DDADMM CCO[C@@H]1C[C@@H](O)C12CCN(C(=O)c1ccc([O-])cn1)CC2 ZINC001362190160 883254744 /nfs/dbraw/zinc/25/47/44/883254744.db2.gz WORQAFNTTDXHFH-ZIAGYGMSSA-N -1 1 306.362 1.179 20 0 DDADMM Cn1cc(N2CCN(C(=O)c3cccc([O-])c3F)CC2)cn1 ZINC001362193665 883261358 /nfs/dbraw/zinc/26/13/58/883261358.db2.gz AQQDLJCZTVXDBS-UHFFFAOYSA-N -1 1 304.325 1.227 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)c2cccc([O-])c2F)C1 ZINC001362193868 883261435 /nfs/dbraw/zinc/26/14/35/883261435.db2.gz ACLLGXJECYBRAN-GHMZBOCLSA-N -1 1 308.353 1.908 20 0 DDADMM CC(=O)Nc1c(C)ccc(NC(=O)CCc2nn[n-]n2)c1C ZINC001362262191 883433108 /nfs/dbraw/zinc/43/31/08/883433108.db2.gz LDMQBSOYECJWJK-UHFFFAOYSA-N -1 1 302.338 1.346 20 0 DDADMM CO[C@@H](C(=O)Nc1ccc(OCc2nn[n-]n2)cc1)C1CCC1 ZINC001362300822 883515959 /nfs/dbraw/zinc/51/59/59/883515959.db2.gz YLNNWJJMMGUYKU-CQSZACIVSA-N -1 1 317.349 1.532 20 0 DDADMM COC(=O)CCN1CCN(C(=O)c2ccc(F)c([O-])c2)[C@@H](C)C1 ZINC001362379561 883678885 /nfs/dbraw/zinc/67/88/85/883678885.db2.gz JSQLJZLMYFJWMX-NSHDSACASA-N -1 1 324.352 1.241 20 0 DDADMM Cc1nc(CN(C)C(=O)c2c(C)nc(C(C)C)[n-]c2=O)no1 ZINC001362416730 883762111 /nfs/dbraw/zinc/76/21/11/883762111.db2.gz DWQKDXDAYRTQSJ-UHFFFAOYSA-N -1 1 305.338 1.578 20 0 DDADMM CC(=O)N1CCC[C@@H](Oc2cc([O-])cc3oc(=O)ccc23)C1 ZINC001229129702 883836890 /nfs/dbraw/zinc/83/68/90/883836890.db2.gz PNYJMJTXQNVCNG-GFCCVEGCSA-N -1 1 303.314 1.888 20 0 DDADMM CCc1[nH]c(=O)c(C(=O)NC(CC)(CC)c2nn[n-]n2)cc1C ZINC001362494016 883934450 /nfs/dbraw/zinc/93/44/50/883934450.db2.gz FMTGCDVLIACABB-UHFFFAOYSA-N -1 1 318.381 1.616 20 0 DDADMM CCC(CC)(NC(=O)c1ccc2c(c1)C[C@H](C)O2)c1nn[n-]n1 ZINC001362499303 883949300 /nfs/dbraw/zinc/94/93/00/883949300.db2.gz VYARTRJEGYYUOA-JTQLQIEISA-N -1 1 315.377 1.968 20 0 DDADMM CCC(CC)(NC(=O)C[C@@H](C)c1c(C)n[nH]c1C)c1nn[n-]n1 ZINC001362500286 883949413 /nfs/dbraw/zinc/94/94/13/883949413.db2.gz YAEDMLVRWHPXFL-SECBINFHSA-N -1 1 319.413 1.865 20 0 DDADMM CCOC1(C)CCN(C(=O)c2cnc(SC)[n-]c2=O)CC1 ZINC001362503213 883957478 /nfs/dbraw/zinc/95/74/78/883957478.db2.gz LQWIAVFHATTZGU-UHFFFAOYSA-N -1 1 311.407 1.935 20 0 DDADMM O=C(CCNC(=O)c1c([O-])cccc1Cl)Nc1ncc[nH]1 ZINC001362512957 883977438 /nfs/dbraw/zinc/97/74/38/883977438.db2.gz HBYKZABGHBYEPO-UHFFFAOYSA-N -1 1 308.725 1.527 20 0 DDADMM C[C@@H]1CCc2[nH]nc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)c2C1 ZINC001362562053 884100565 /nfs/dbraw/zinc/10/05/65/884100565.db2.gz KQGBKALPGGHLRT-NXEZZACHSA-N -1 1 315.381 1.067 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cc(F)ccc1[O-])c1cccnc1 ZINC001362596421 884179382 /nfs/dbraw/zinc/17/93/82/884179382.db2.gz OYINNCNWDHAOSX-CYBMUJFWSA-N -1 1 304.277 1.570 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@@H](Oc2nc(C)[n-]c(=O)c2OC)C1 ZINC001230024327 884282959 /nfs/dbraw/zinc/28/29/59/884282959.db2.gz FQKLHLXRILSUBA-GHMZBOCLSA-N -1 1 310.350 2.000 20 0 DDADMM COC(=O)C(C)(C)n1ccc(NC(=O)c2ccc(F)c([O-])c2)n1 ZINC001362689752 884420641 /nfs/dbraw/zinc/42/06/41/884420641.db2.gz LGBWDONUSLAIGG-UHFFFAOYSA-N -1 1 321.308 1.888 20 0 DDADMM COc1cc(NC(=O)CCCc2nn[n-]n2)c(C)c(OC)c1 ZINC001362705611 884451361 /nfs/dbraw/zinc/45/13/61/884451361.db2.gz WXNOMLFHKYLVAV-UHFFFAOYSA-N -1 1 305.338 1.487 20 0 DDADMM COC(=O)c1oc(CNC(=O)c2ncc(C)cc2[O-])cc1C ZINC001362766088 884587733 /nfs/dbraw/zinc/58/77/33/884587733.db2.gz CPDSPYVFBMMQQD-UHFFFAOYSA-N -1 1 304.302 1.714 20 0 DDADMM CCC[C@H]1C[C@H](C(=O)NC(CC)(CC)c2nn[n-]n2)CCO1 ZINC001362782071 884625450 /nfs/dbraw/zinc/62/54/50/884625450.db2.gz BABYHFGKYPTRJN-NEPJUHHUSA-N -1 1 309.414 1.927 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1C[C@H]1C1CCOCC1 ZINC001362808778 884692774 /nfs/dbraw/zinc/69/27/74/884692774.db2.gz DQLQKLUICZWSPA-WDEREUQCSA-N -1 1 323.418 1.757 20 0 DDADMM COc1cc(C(N)=O)ccc1NC(=O)c1ccc(O)cc1[O-] ZINC001362855302 884806618 /nfs/dbraw/zinc/80/66/18/884806618.db2.gz VXSWEZXTELBBDE-UHFFFAOYSA-N -1 1 302.286 1.458 20 0 DDADMM CC(C)C(=O)NC[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001382871844 884839721 /nfs/dbraw/zinc/83/97/21/884839721.db2.gz UGDULXQEWZORTH-NWDGAFQWSA-N -1 1 305.378 1.458 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cc(O)cc(Br)c1 ZINC001362915190 884973300 /nfs/dbraw/zinc/97/33/00/884973300.db2.gz USWKXQMDZRWRCD-UHFFFAOYSA-N -1 1 312.127 1.239 20 0 DDADMM COC(=O)[C@H](NC(=O)c1c([O-])cccc1Cl)c1ccnn1C ZINC001362931967 885018582 /nfs/dbraw/zinc/01/85/82/885018582.db2.gz MAYXGTHQJFSRLT-GFCCVEGCSA-N -1 1 323.736 1.423 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C[C@H](C)n2cccn2)[n-]c1=O ZINC001362968096 885110967 /nfs/dbraw/zinc/11/09/67/885110967.db2.gz CKTASNLIXXLTFK-JTQLQIEISA-N -1 1 319.365 1.390 20 0 DDADMM Cn1cc(C[C@H]2CCC[C@@H]2NC(=O)c2ccc([O-])cn2)cn1 ZINC001362984194 885145269 /nfs/dbraw/zinc/14/52/69/885145269.db2.gz PBFMEMMCBYGIKG-OCCSQVGLSA-N -1 1 300.362 1.662 20 0 DDADMM CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363035374 885286248 /nfs/dbraw/zinc/28/62/48/885286248.db2.gz CQKOUKUKQUABHH-TUAOUCFPSA-N -1 1 323.393 1.878 20 0 DDADMM CN(C(=O)c1occc1NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001363059101 885357854 /nfs/dbraw/zinc/35/78/54/885357854.db2.gz ZFRLGOBCQQOPPY-UHFFFAOYSA-N -1 1 308.298 1.416 20 0 DDADMM CCCC[C@@H](CNC(=O)C(C)C)NC(=O)c1ncccc1[O-] ZINC001383221776 885432076 /nfs/dbraw/zinc/43/20/76/885432076.db2.gz BJPYASYTEABSME-LBPRGKRZSA-N -1 1 307.394 1.848 20 0 DDADMM C[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)[C@H](C)C(C)(C)C ZINC001363107113 885481435 /nfs/dbraw/zinc/48/14/35/885481435.db2.gz IDYGZYXTDHTDKH-YUMQZZPRSA-N -1 1 302.400 1.465 20 0 DDADMM C[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)[C@H](C)C(C)(C)C ZINC001363107113 885481441 /nfs/dbraw/zinc/48/14/41/885481441.db2.gz IDYGZYXTDHTDKH-YUMQZZPRSA-N -1 1 302.400 1.465 20 0 DDADMM O=c1[n-]c(OC[C@H]2C[N@@H+](Cc3ccccc3)CCCO2)n[nH]1 ZINC001231309248 885492012 /nfs/dbraw/zinc/49/20/12/885492012.db2.gz ZCCPOURIUOUIAZ-CYBMUJFWSA-N -1 1 304.350 1.180 20 0 DDADMM CC[C@@H]1CCC[C@@]1(C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001363113104 885492925 /nfs/dbraw/zinc/49/29/25/885492925.db2.gz URZKSBREPKZOND-PRHODGIISA-N -1 1 300.384 1.363 20 0 DDADMM O=C(N[C@H]1CCOC1=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC001363115853 885499637 /nfs/dbraw/zinc/49/96/37/885499637.db2.gz CUCXMKQCSJXJBU-JTQLQIEISA-N -1 1 306.705 1.639 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2[nH]c(C)cc2C)n[n-]1 ZINC001363229514 885768300 /nfs/dbraw/zinc/76/83/00/885768300.db2.gz BEQJYGZVBMEZIT-SECBINFHSA-N -1 1 305.338 1.417 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2[nH]c(C)cc2C)[n-]1 ZINC001363229514 885768310 /nfs/dbraw/zinc/76/83/10/885768310.db2.gz BEQJYGZVBMEZIT-SECBINFHSA-N -1 1 305.338 1.417 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2[nH]c(C)cc2C)n1 ZINC001363229514 885768317 /nfs/dbraw/zinc/76/83/17/885768317.db2.gz BEQJYGZVBMEZIT-SECBINFHSA-N -1 1 305.338 1.417 20 0 DDADMM COC(=O)[C@@H]1C[C@H](NC(=O)c2cncc([O-])c2)c2ccccc21 ZINC001363259618 885841927 /nfs/dbraw/zinc/84/19/27/885841927.db2.gz VYPXDTWIDOEGBV-CABCVRRESA-N -1 1 312.325 1.919 20 0 DDADMM CC(C)(CNC(=O)CNC(=O)c1ncccc1[O-])CC(F)F ZINC001363259650 885844926 /nfs/dbraw/zinc/84/49/26/885844926.db2.gz ZDQASPXWABWYGD-UHFFFAOYSA-N -1 1 315.320 1.315 20 0 DDADMM CCOC(=O)C1(CC(=O)N(Cc2nn[n-]n2)CC(C)C)CCC1 ZINC001363275483 885882860 /nfs/dbraw/zinc/88/28/60/885882860.db2.gz HQKNTDAHFMNHBI-UHFFFAOYSA-N -1 1 323.397 1.308 20 0 DDADMM COc1ccc([C@@H](C)CC(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001363276565 885885900 /nfs/dbraw/zinc/88/59/00/885885900.db2.gz FYQFZPMXLPKLQP-NSHDSACASA-N -1 1 315.377 1.898 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1cc(C2CC2)no1 ZINC001363357165 886119552 /nfs/dbraw/zinc/11/95/52/886119552.db2.gz RDCPIVLKHAFESX-UHFFFAOYSA-N -1 1 304.354 1.292 20 0 DDADMM CC/C=C(/C)C(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001277622367 886132947 /nfs/dbraw/zinc/13/29/47/886132947.db2.gz NTDMHOUNADMRKT-JSJZCHLLSA-N -1 1 307.398 1.193 20 0 DDADMM C[C@H](C(=O)OC(C)(C)C)N(C(=O)CCCc1nn[n-]n1)C1CC1 ZINC001363362229 886134337 /nfs/dbraw/zinc/13/43/37/886134337.db2.gz MKVHTZOFMONYTH-SNVBAGLBSA-N -1 1 323.397 1.244 20 0 DDADMM C[C@H](c1ccccc1)[C@@H](NC(=O)c1ccc(F)c([O-])c1)C(N)=O ZINC001363374955 886167185 /nfs/dbraw/zinc/16/71/85/886167185.db2.gz PCRMNCFCRWYNFE-MEBBXXQBSA-N -1 1 316.332 1.919 20 0 DDADMM CC(C)(C)c1coc(CNCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC001363419344 886286297 /nfs/dbraw/zinc/28/62/97/886286297.db2.gz PYMDJDFIGFCSAA-UHFFFAOYSA-N -1 1 318.377 1.830 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCOCC2)C1)c1ccc(F)c([O-])c1 ZINC001363423217 886297672 /nfs/dbraw/zinc/29/76/72/886297672.db2.gz ZRNAFFBVOVJSER-GFCCVEGCSA-N -1 1 309.337 1.989 20 0 DDADMM Cc1nc(CN(C)CCN(C)C(=O)c2ncccc2[O-])oc1C ZINC001374490602 913038035 /nfs/dbraw/zinc/03/80/35/913038035.db2.gz TVOPTYLMZTXNTR-UHFFFAOYSA-N -1 1 318.377 1.596 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2oc(C(=O)OC)cc2C)c[n-]1 ZINC001363499278 886494278 /nfs/dbraw/zinc/49/42/78/886494278.db2.gz GBIYALDUFVQESF-UHFFFAOYSA-N -1 1 321.289 1.527 20 0 DDADMM O=C(NC1CN(c2ccncn2)C1)c1c([O-])cccc1Cl ZINC001363523474 886548488 /nfs/dbraw/zinc/54/84/88/886548488.db2.gz JNJFBRVWTSKUBM-UHFFFAOYSA-N -1 1 304.737 1.454 20 0 DDADMM CCO[C@@H](C(=O)N(Cc1nn[n-]n1)CC(C)C)c1ccccc1 ZINC001363544169 886597674 /nfs/dbraw/zinc/59/76/74/886597674.db2.gz KUEDETAMYXQOJM-OAHLLOKOSA-N -1 1 317.393 1.962 20 0 DDADMM COC[C@@H](NC(=O)[C@H](C)c1cccc(Cl)c1)c1nn[n-]n1 ZINC001363591238 886711975 /nfs/dbraw/zinc/71/19/75/886711975.db2.gz SNKPEUFEYQTSDY-LDYMZIIASA-N -1 1 309.757 1.461 20 0 DDADMM CSc1nc(CNC(=O)[C@H](C)c2c(C)n[nH]c2C)cc(=O)[n-]1 ZINC001363627652 886807545 /nfs/dbraw/zinc/80/75/45/886807545.db2.gz BORKTXMCYWHZEF-SSDOTTSWSA-N -1 1 321.406 1.664 20 0 DDADMM COc1cnc(C2(NC(=O)Cc3c[nH]cn3)CCCC2)[n-]c1=O ZINC001363651357 886889434 /nfs/dbraw/zinc/88/94/34/886889434.db2.gz KLWUBFAFDKRUPV-UHFFFAOYSA-N -1 1 317.349 1.042 20 0 DDADMM O=C(NC1CC1)c1c[nH]nc1NC(=O)c1cccc([O-])c1F ZINC001363689744 886984569 /nfs/dbraw/zinc/98/45/69/886984569.db2.gz DVOBPWCXPJACND-UHFFFAOYSA-N -1 1 304.281 1.399 20 0 DDADMM CCCC(=O)c1ccc([O-])cc1O[C@@H]1C[C@@H](C(=O)OC)N(C)C1 ZINC001233649932 887188004 /nfs/dbraw/zinc/18/80/04/887188004.db2.gz GDEPZNPJONDWEH-OCCSQVGLSA-N -1 1 321.373 2.000 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2c[nH]nc2C)[n-]c1=O ZINC001363886428 887471488 /nfs/dbraw/zinc/47/14/88/887471488.db2.gz NMEUXBYSPHNPLQ-JTQLQIEISA-N -1 1 303.322 1.200 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@]3(C2)CCCOC3)c(=O)[n-]1 ZINC001363984953 887668675 /nfs/dbraw/zinc/66/86/75/887668675.db2.gz ZMBJNDMBUPCFTB-CQSZACIVSA-N -1 1 309.391 1.547 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C(C)(C)COC(C)C ZINC001364007053 887710494 /nfs/dbraw/zinc/71/04/94/887710494.db2.gz QUVJSTNTIABJQI-UHFFFAOYSA-N -1 1 308.425 1.634 20 0 DDADMM CSc1ncc(C(=O)Nc2cn(C)nc2C(F)F)c(=O)[n-]1 ZINC001364010845 887719490 /nfs/dbraw/zinc/71/94/90/887719490.db2.gz MJUBBZOCALXFIR-UHFFFAOYSA-N -1 1 315.305 1.828 20 0 DDADMM COC(=O)C[C@@](C)(NC(=O)c1ncccc1[O-])c1cccnc1 ZINC001364030634 887758805 /nfs/dbraw/zinc/75/88/05/887758805.db2.gz YIVXRPRIWTWNHX-MRXNPFEDSA-N -1 1 315.329 1.391 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)c2cnc(C)s2)sn1 ZINC001364034561 887766457 /nfs/dbraw/zinc/76/64/57/887766457.db2.gz WSTNBUASSRQXGG-ZCFIWIBFSA-N -1 1 319.433 1.956 20 0 DDADMM CC(C)CC(=O)NCC1(CCNC(=O)c2ncccc2[O-])CC1 ZINC001384660404 887854207 /nfs/dbraw/zinc/85/42/07/887854207.db2.gz ILJYGRWRTCLFLA-UHFFFAOYSA-N -1 1 319.405 1.850 20 0 DDADMM COc1ccccc1N1C[C@H](C(=O)Nc2c[n-][nH]c2=O)CC1=O ZINC001364092613 887900663 /nfs/dbraw/zinc/90/06/63/887900663.db2.gz MEBIDYKTPPHZFG-SECBINFHSA-N -1 1 316.317 1.116 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@@H](Oc2c(C(N)=O)nc(C)[n-]c2=O)C1 ZINC001234470626 888004629 /nfs/dbraw/zinc/00/46/29/888004629.db2.gz WFJLODGYADDUDX-NXEZZACHSA-N -1 1 323.349 1.090 20 0 DDADMM CC(C)[C@H](O)[C@@H](NC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC001364149486 888029097 /nfs/dbraw/zinc/02/90/97/888029097.db2.gz QIXRCZMBNLRKFM-GJZGRUSLSA-N -1 1 303.366 1.007 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@@H]1Oc1c(=O)[n-]c(C)nc1C(=O)OC ZINC001234558138 888092418 /nfs/dbraw/zinc/09/24/18/888092418.db2.gz SDMMCSLKDIERQC-UWVGGRQHSA-N -1 1 324.333 1.388 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](Oc2c(C(N)=O)nc(C(C)C)[n-]c2=O)C1 ZINC001234565258 888104369 /nfs/dbraw/zinc/10/43/69/888104369.db2.gz MTIVXJOWJIHXJD-BDAKNGLRSA-N -1 1 323.349 1.125 20 0 DDADMM CCC[C@H](CC(=O)OC)Oc1[n-]c(=O)c(F)cc1C(=O)OC ZINC001234611157 888139556 /nfs/dbraw/zinc/13/95/56/888139556.db2.gz ZKRKJRNTKCIQFN-MRVPVSSYSA-N -1 1 315.297 1.824 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)Nc2cc(C)nc(OC)n2)n[n-]1 ZINC001364209876 888148963 /nfs/dbraw/zinc/14/89/63/888148963.db2.gz DSEPDVDGOAMJNC-MRVPVSSYSA-N -1 1 306.326 1.262 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)Nc2cc(C)nc(OC)n2)n1 ZINC001364209876 888148975 /nfs/dbraw/zinc/14/89/75/888148975.db2.gz DSEPDVDGOAMJNC-MRVPVSSYSA-N -1 1 306.326 1.262 20 0 DDADMM COc1cn(C)nc1[C@H](C)NC(=O)c1cnc(SC)[n-]c1=O ZINC001364293268 888315725 /nfs/dbraw/zinc/31/57/25/888315725.db2.gz GLFQUZGAXVVRJI-ZETCQYMHSA-N -1 1 323.378 1.137 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccn(CC)c2C)n[n-]1 ZINC001364510014 888805358 /nfs/dbraw/zinc/80/53/58/888805358.db2.gz WVYIWBGTJNUDBE-UHFFFAOYSA-N -1 1 305.338 1.041 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccn(CC)c2C)n1 ZINC001364510014 888805366 /nfs/dbraw/zinc/80/53/66/888805366.db2.gz WVYIWBGTJNUDBE-UHFFFAOYSA-N -1 1 305.338 1.041 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cnn(C(C)(C)C)c1 ZINC001364649730 889119758 /nfs/dbraw/zinc/11/97/58/889119758.db2.gz CWTRTXWDRHCRJX-UHFFFAOYSA-N -1 1 305.386 1.450 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@@H]3CCCC[C@H]23)[n-]n1 ZINC001364932654 889692826 /nfs/dbraw/zinc/69/28/26/889692826.db2.gz MZIFLIBEEFDPCA-LPEHRKFASA-N -1 1 313.379 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@@H]3CCCC[C@H]23)n[n-]1 ZINC001364932654 889692831 /nfs/dbraw/zinc/69/28/31/889692831.db2.gz MZIFLIBEEFDPCA-LPEHRKFASA-N -1 1 313.379 1.053 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001385801682 889768702 /nfs/dbraw/zinc/76/87/02/889768702.db2.gz XOOHWLCYRZLQQJ-RTXFEEFZSA-N -1 1 317.389 1.458 20 0 DDADMM Cc1conc1C[N-]S(=O)(=O)c1c(C(F)(F)F)cnn1C ZINC001364978576 889803210 /nfs/dbraw/zinc/80/32/10/889803210.db2.gz PWLVEXAABMVNSA-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM C/C=C(\C)C(=O)NC[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001290114288 913386626 /nfs/dbraw/zinc/38/66/26/913386626.db2.gz FYEHISJBFCBYNK-RRDLLQCMSA-N -1 1 317.389 1.768 20 0 DDADMM O=C(NC1(C(F)(F)F)CC1)N1CCC(c2nn[n-]n2)CC1 ZINC001365084215 890069730 /nfs/dbraw/zinc/06/97/30/890069730.db2.gz GUWVGEOUDRRCQW-UHFFFAOYSA-N -1 1 304.276 1.184 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](C)OCC[C@H]2C)c1 ZINC001365473729 890862615 /nfs/dbraw/zinc/86/26/15/890862615.db2.gz SULJIZZJKNDNGC-GHMZBOCLSA-N -1 1 315.391 1.589 20 0 DDADMM C[C@H](CNC(=O)C(C1CC1)C1CC1)NC(=O)c1ncccc1[O-] ZINC001386510948 891119012 /nfs/dbraw/zinc/11/90/12/891119012.db2.gz PUVUVSKOBFESJC-SNVBAGLBSA-N -1 1 317.389 1.458 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CCCC[C@@H]2C)[n-]n1 ZINC001365634019 891217164 /nfs/dbraw/zinc/21/71/64/891217164.db2.gz RCEXSYQQCFBGMS-GXSJLCMTSA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CCCC[C@@H]2C)n[n-]1 ZINC001365634019 891217176 /nfs/dbraw/zinc/21/71/76/891217176.db2.gz RCEXSYQQCFBGMS-GXSJLCMTSA-N -1 1 315.395 1.396 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)[C@H]1CCCOC1 ZINC001365683873 891310884 /nfs/dbraw/zinc/31/08/84/891310884.db2.gz HJRIKAHGTUJIAT-IUCAKERBSA-N -1 1 306.409 1.245 20 0 DDADMM C[N@H+]1CCc2ncnc(-c3cc(C(=O)[O-])cc(C(=O)[O-])c3)c2C1 ZINC001242160053 891353552 /nfs/dbraw/zinc/35/35/52/891353552.db2.gz CGASGLJXVFNSOH-UHFFFAOYSA-N -1 1 313.313 1.528 20 0 DDADMM COC(=O)Cc1ccc(-c2nc(C)cc3c2C(=O)[N-]C3=O)cc1 ZINC001243598568 891680678 /nfs/dbraw/zinc/68/06/78/891680678.db2.gz YNVYKZIUQXVPQD-UHFFFAOYSA-N -1 1 310.309 1.656 20 0 DDADMM COC(=O)Cc1cccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)c1 ZINC001244220439 891818075 /nfs/dbraw/zinc/81/80/75/891818075.db2.gz VUISJPORSJLCGK-UHFFFAOYSA-N -1 1 309.321 1.882 20 0 DDADMM C[C@@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC001374697935 913606854 /nfs/dbraw/zinc/60/68/54/913606854.db2.gz XAMODBJGWCUOQP-JTQLQIEISA-N -1 1 305.378 1.410 20 0 DDADMM COc1ncc(-c2ncnc3cc[nH]c32)cc1[N-]S(C)(=O)=O ZINC001244790012 891923895 /nfs/dbraw/zinc/92/38/95/891923895.db2.gz NTBOVPODRVZCDB-UHFFFAOYSA-N -1 1 319.346 1.400 20 0 DDADMM COc1ncc(-c2cncc(F)c2C)cc1[N-]S(C)(=O)=O ZINC001244793626 891927178 /nfs/dbraw/zinc/92/71/78/891927178.db2.gz NIKZJKVLTLOHOW-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM CCOc1cncc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c1 ZINC001244794280 891929301 /nfs/dbraw/zinc/92/93/01/891929301.db2.gz RJJXKIJJUQBVPY-UHFFFAOYSA-N -1 1 323.374 1.922 20 0 DDADMM COc1c(F)c(F)cc(-c2cc(=O)n(C(O)C=O)[n-]2)c1F ZINC001245930822 892273987 /nfs/dbraw/zinc/27/39/87/892273987.db2.gz OQNNLYNRUKZIDF-UHFFFAOYSA-N -1 1 302.208 1.314 20 0 DDADMM NS(=O)(=O)c1cccc(-n2[n-]c(CC3CCC3)cc2=O)c1 ZINC001245935790 892277758 /nfs/dbraw/zinc/27/77/58/892277758.db2.gz BSYVYQQXOSBALC-UHFFFAOYSA-N -1 1 307.375 1.568 20 0 DDADMM CCC[C@@H](C)C(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001387482411 893203396 /nfs/dbraw/zinc/20/33/96/893203396.db2.gz PHWIQZYPEZMBKB-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1ccccc1[C@H](C)C(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001366367118 893331738 /nfs/dbraw/zinc/33/17/38/893331738.db2.gz VTEOBMWEUHSDET-NEPJUHHUSA-N -1 1 317.393 1.217 20 0 DDADMM CCC[C@@H](C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001387648518 893535216 /nfs/dbraw/zinc/53/52/16/893535216.db2.gz QJKWFHRCGFPCDD-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CCC[C@H](C)CC(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001387844605 894007001 /nfs/dbraw/zinc/00/70/01/894007001.db2.gz DFWSGDVRGUTJEP-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@H](C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC001387897579 894112556 /nfs/dbraw/zinc/11/25/56/894112556.db2.gz SBZBFIUWCZNJHW-LLVKDONJSA-N -1 1 319.405 1.658 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1coc(C)n1 ZINC001388054056 894462189 /nfs/dbraw/zinc/46/21/89/894462189.db2.gz DBFCYPLVNQOGRF-SNVBAGLBSA-N -1 1 318.333 1.022 20 0 DDADMM O=c1nc2nc[nH]c2c(NC[C@H](O)COc2ccc(F)cc2)[n-]1 ZINC001251946470 894905696 /nfs/dbraw/zinc/90/56/96/894905696.db2.gz ZNWWIORNBCCJIG-VIFPVBQESA-N -1 1 319.296 1.001 20 0 DDADMM CCOC(Cc1c(=O)[n-]c(=S)[nH]c1NC[C@H](C)O)OCC ZINC001251956994 894910543 /nfs/dbraw/zinc/91/05/43/894910543.db2.gz DGWUDHGACSVVCZ-QMMMGPOBSA-N -1 1 317.411 1.205 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H]([N-]S(C)(=O)=O)C(F)(F)C1 ZINC001252756501 895436877 /nfs/dbraw/zinc/43/68/77/895436877.db2.gz KFTYFXJOBFVHGH-QMMMGPOBSA-N -1 1 314.354 1.180 20 0 DDADMM CC(C)c1ccoc1C(=O)N[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001374802630 913971966 /nfs/dbraw/zinc/97/19/66/913971966.db2.gz CUUBVZWAOLHZAH-SECBINFHSA-N -1 1 307.354 1.135 20 0 DDADMM CCS(=O)(=O)[N-]c1cc(S(=O)(=O)CC)ccc1OC ZINC001253348622 895785015 /nfs/dbraw/zinc/78/50/15/895785015.db2.gz HBCTYSSCAFHENZ-UHFFFAOYSA-N -1 1 307.393 1.250 20 0 DDADMM CCOC(=O)c1cc(OC)c(OC)cc1[N-]S(=O)(=O)CC ZINC001253373658 895801703 /nfs/dbraw/zinc/80/17/03/895801703.db2.gz FQLZRKSFXDXCHP-UHFFFAOYSA-N -1 1 317.363 1.642 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(-c2c(F)cccc2F)no1 ZINC001292871848 914059317 /nfs/dbraw/zinc/05/93/17/914059317.db2.gz FLMFQIGAQOCLFC-UHFFFAOYSA-N -1 1 306.232 1.063 20 0 DDADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)[C@@H]1CC12CCC2 ZINC001367325031 896446046 /nfs/dbraw/zinc/44/60/46/896446046.db2.gz FUZRDCUHSXWIMW-NSHDSACASA-N -1 1 319.409 1.219 20 0 DDADMM C[C@H](CN[C@@H](C)c1csnn1)N(C)C(=O)c1ncccc1[O-] ZINC001367352382 896526748 /nfs/dbraw/zinc/52/67/48/896526748.db2.gz VXYMENRSQFCLJW-ZJUUUORDSA-N -1 1 321.406 1.450 20 0 DDADMM C[C@H](CNC(=O)[C@H]1CCC(C)(C)C1)NC(=O)c1ncccc1[O-] ZINC001389171461 896604322 /nfs/dbraw/zinc/60/43/22/896604322.db2.gz OZJUGGUKLMUPOT-NEPJUHHUSA-N -1 1 319.405 1.848 20 0 DDADMM CCOC(=O)C1CCC(Nc2[n-]c(=O)nc3nc[nH]c32)CC1 ZINC001255254011 896809652 /nfs/dbraw/zinc/80/96/52/896809652.db2.gz QBNLHCYJPSHHHD-UHFFFAOYSA-N -1 1 305.338 1.592 20 0 DDADMM CC[C@@H](SC)C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001389629850 897677888 /nfs/dbraw/zinc/67/78/88/897677888.db2.gz GDEICIUNEIXAAU-ZYHUDNBSSA-N -1 1 323.418 1.260 20 0 DDADMM CCCCOC(=O)CC[C@@H](C)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001257386599 897834381 /nfs/dbraw/zinc/83/43/81/897834381.db2.gz VTBQFURGYSPLOL-MRVPVSSYSA-N -1 1 323.353 1.640 20 0 DDADMM CN(C)C(=O)C1([N-]S(=O)(=O)c2cc(F)ccc2F)CCC1 ZINC001258951250 898377185 /nfs/dbraw/zinc/37/71/85/898377185.db2.gz WBAOEHAXGPPIBA-UHFFFAOYSA-N -1 1 318.345 1.254 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1cccc(C(F)(F)F)c1C ZINC001259024335 898421993 /nfs/dbraw/zinc/42/19/93/898421993.db2.gz KTYLMNNMLAIAPA-UHFFFAOYSA-N -1 1 311.281 1.929 20 0 DDADMM O=S(=O)([N-]c1ccnc(F)c1)c1ccc2c(c1)OCCO2 ZINC001259339388 898615824 /nfs/dbraw/zinc/61/58/24/898615824.db2.gz QGMVJMFHNBTVHL-UHFFFAOYSA-N -1 1 310.306 1.793 20 0 DDADMM Cc1cc2ncc([N-]S(=O)(=O)CCC(F)(F)F)cn2n1 ZINC001259866558 898877869 /nfs/dbraw/zinc/87/78/69/898877869.db2.gz FONVELAUVUKKCR-UHFFFAOYSA-N -1 1 308.285 1.732 20 0 DDADMM O=C1CCCCN1c1ccc(C[N-]S(=O)(=O)C(F)F)cc1 ZINC001259964522 898988830 /nfs/dbraw/zinc/98/88/30/898988830.db2.gz VQLXBZZGCHYXNZ-UHFFFAOYSA-N -1 1 318.345 1.846 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(C(=O)CCCO)cc1 ZINC001259973190 898997457 /nfs/dbraw/zinc/99/74/57/898997457.db2.gz XSXDIZCCSQBSPM-UHFFFAOYSA-N -1 1 301.364 1.030 20 0 DDADMM C[C@]1(CNC(=O)C2CCC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC001390282821 899073955 /nfs/dbraw/zinc/07/39/55/899073955.db2.gz YLSYBBBNFGHFHA-QGZVFWFLSA-N -1 1 317.389 1.556 20 0 DDADMM CCNC(=O)C[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260205898 899087588 /nfs/dbraw/zinc/08/75/88/899087588.db2.gz UUIMLUGYPNHWND-UHFFFAOYSA-N -1 1 312.725 1.033 20 0 DDADMM O=C1C[C@H](C[N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)CN1 ZINC001260206377 899088153 /nfs/dbraw/zinc/08/81/53/899088153.db2.gz MYJMBZZHPXMIDB-LURJTMIESA-N -1 1 324.736 1.033 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](CO)C1)c1cc(F)c(F)cc1Cl ZINC001260288682 899112515 /nfs/dbraw/zinc/11/25/15/899112515.db2.gz YHTLMFNEWXPASW-LJGSYFOKSA-N -1 1 311.737 1.667 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc(F)c2ccccc12 ZINC001260593071 899180295 /nfs/dbraw/zinc/18/02/95/899180295.db2.gz ZYRWFRNIIBHKIV-UHFFFAOYSA-N -1 1 317.363 1.723 20 0 DDADMM O=S(=O)(Cc1ccc(Cl)cc1Cl)[N-]Cc1ncon1 ZINC001261076903 899343242 /nfs/dbraw/zinc/34/32/42/899343242.db2.gz BAUHVXBQWGPJAZ-UHFFFAOYSA-N -1 1 322.173 1.996 20 0 DDADMM C[C@H](CNC(=O)[C@H](C)c1ccccc1F)NCc1n[nH]c(=O)[n-]1 ZINC001390490370 899527793 /nfs/dbraw/zinc/52/77/93/899527793.db2.gz OPRGSVXBSNYVNK-NXEZZACHSA-N -1 1 321.356 1.047 20 0 DDADMM C[C@H](CN(C)C(=O)CCCC1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001369314530 901044286 /nfs/dbraw/zinc/04/42/86/901044286.db2.gz QGTWHYWQSIYHGE-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM CC[C@H](CNC(=O)CC1CC(C)(C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001391720539 902443345 /nfs/dbraw/zinc/44/33/45/902443345.db2.gz GKGGNFDQIIFTFZ-LLVKDONJSA-N -1 1 309.414 1.321 20 0 DDADMM CC1(C)CCC[C@@H]1C(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370212125 902742854 /nfs/dbraw/zinc/74/28/54/902742854.db2.gz KSRADVOZYOXBCU-VXGBXAGGSA-N -1 1 321.425 1.321 20 0 DDADMM CN(C(=O)CN(Cc1ccccc1)c1ccccc1)c1nn[n-]n1 ZINC001279187580 903190194 /nfs/dbraw/zinc/19/01/94/903190194.db2.gz JMIQNLNSVPUHKN-UHFFFAOYSA-N -1 1 322.372 1.869 20 0 DDADMM CCC(C)(C)C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001370884134 903917414 /nfs/dbraw/zinc/91/74/14/903917414.db2.gz LIKOOWQKSNXLHP-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM C[C@H](CCCNC(=O)CC1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001280814081 904076713 /nfs/dbraw/zinc/07/67/13/904076713.db2.gz ZRPCVKUHSVWYFL-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CO[C@@H](C)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001371117250 904285144 /nfs/dbraw/zinc/28/51/44/904285144.db2.gz ZBAAUFPUENIJHQ-CMPLNLGQSA-N -1 1 323.393 1.083 20 0 DDADMM CCc1noc(C)c1CN[C@@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001371155159 904366267 /nfs/dbraw/zinc/36/62/67/904366267.db2.gz YLFBCJVORFVYPU-QMMMGPOBSA-N -1 1 321.381 1.191 20 0 DDADMM C[C@H](C[C@@H](C)NC(=O)c1ncccc1[O-])NC(=O)c1ccco1 ZINC001371248294 904565415 /nfs/dbraw/zinc/56/54/15/904565415.db2.gz NLLLPBGYOQPLFC-GHMZBOCLSA-N -1 1 317.345 1.707 20 0 DDADMM CC[C@H](OC)C(=O)NC[C@@H](C)N(CC)C(=O)c1ncccc1[O-] ZINC001371336948 904730139 /nfs/dbraw/zinc/73/01/39/904730139.db2.gz WWGDZSLFPQTXDN-YPMHNXCESA-N -1 1 323.393 1.179 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CC12CCC2 ZINC001294036950 914872661 /nfs/dbraw/zinc/87/26/61/914872661.db2.gz FTEBZHJAJDNOCM-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM C[C@@H](CNC(=O)CCC(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001392775718 905219502 /nfs/dbraw/zinc/21/95/02/905219502.db2.gz ZDWPNNPBUGZMQY-VIFPVBQESA-N -1 1 315.320 1.457 20 0 DDADMM C/C=C(/C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001282334661 905697441 /nfs/dbraw/zinc/69/74/41/905697441.db2.gz RDSZCISBVKPVRQ-JUTKWBCOSA-N -1 1 303.362 1.473 20 0 DDADMM CCC(CC)CC(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001393440785 907004590 /nfs/dbraw/zinc/00/45/90/907004590.db2.gz MLHCTYHEANCKCJ-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NCCCN(C(=O)C1CC1)C1CC1)c1ncccc1[O-] ZINC001283785306 907956999 /nfs/dbraw/zinc/95/69/99/907956999.db2.gz IMPQDCBKZYCVRA-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM C/C(=C\C(=O)NCCN(C)C(=O)c1ncccc1[O-])C1CC1 ZINC001284501833 909095067 /nfs/dbraw/zinc/09/50/67/909095067.db2.gz UQEAYALEIVVSAA-ZHACJKMWSA-N -1 1 303.362 1.332 20 0 DDADMM COCCCCC(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001285653919 910978551 /nfs/dbraw/zinc/97/85/51/910978551.db2.gz CBFSFWSARFEZTD-LBPRGKRZSA-N -1 1 323.393 1.181 20 0 DDADMM C[C@@H](CN(C)C(=O)CC(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001395530092 912189776 /nfs/dbraw/zinc/18/97/76/912189776.db2.gz LXVDQVFXZBLBQC-NSHDSACASA-N -1 1 307.394 1.800 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)sc1C=O ZINC001295409825 915762455 /nfs/dbraw/zinc/76/24/55/915762455.db2.gz AAADPDVXKVNVEV-SECBINFHSA-N -1 1 305.363 1.402 20 0 DDADMM CCC[C@H](CC)C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001375846149 917111602 /nfs/dbraw/zinc/11/16/02/917111602.db2.gz KMYDGRXAWAYBLU-BLLLJJGKSA-N -1 1 323.441 1.015 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CC1(C)CCCC1 ZINC001377794742 923065542 /nfs/dbraw/zinc/06/55/42/923065542.db2.gz NKXGCEUGJIIAHT-QWRGUYRKSA-N -1 1 309.414 1.464 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@]12C[C@H]1CCC2 ZINC001377887035 923334045 /nfs/dbraw/zinc/33/40/45/923334045.db2.gz SFJNXEKMUVINLB-LYOVBCGYSA-N -1 1 303.362 1.212 20 0 DDADMM C[C@@H]1CN=C(N2CCN(C(=O)c3ccc([O-])cc3F)CC2)S1 ZINC000181237964 199269788 /nfs/dbraw/zinc/26/97/88/199269788.db2.gz VMNBSVKTMUVFSX-SNVBAGLBSA-N -1 1 323.393 1.780 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@]34C[C@H]3CCC4)nc2n1 ZINC000622998134 365591809 /nfs/dbraw/zinc/59/18/09/365591809.db2.gz YSHXKZMZGXYUPE-RFAUZJTJSA-N -1 1 301.350 1.499 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(-n2cnc3ccccc32)cc1 ZINC000076963452 185061739 /nfs/dbraw/zinc/06/17/39/185061739.db2.gz FVTWDZQZCNFMLP-UHFFFAOYSA-N -1 1 305.301 1.791 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(-n2cnc3ccccc32)cc1 ZINC000076963452 185061740 /nfs/dbraw/zinc/06/17/40/185061740.db2.gz FVTWDZQZCNFMLP-UHFFFAOYSA-N -1 1 305.301 1.791 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)c2ccc(OC)cc2[O-])n1C ZINC000278676797 214318556 /nfs/dbraw/zinc/31/85/56/214318556.db2.gz QEPPWCVHJBATHB-UHFFFAOYSA-N -1 1 319.317 1.563 20 0 DDADMM COC[C@@H](C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1)OC ZINC000451380441 231081458 /nfs/dbraw/zinc/08/14/58/231081458.db2.gz BZIJCQMCCCEGIZ-LLVKDONJSA-N -1 1 317.338 1.512 20 0 DDADMM O=C(CN1C(=O)c2ccccc2C1=O)Nc1c([O-])cccc1F ZINC000092551678 539176492 /nfs/dbraw/zinc/17/64/92/539176492.db2.gz VQENUZUOYYULBW-UHFFFAOYSA-N -1 1 314.272 1.766 20 0 DDADMM CC(C)Oc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cn1 ZINC000129425397 539184415 /nfs/dbraw/zinc/18/44/15/539184415.db2.gz YRNGTADXKJJVHA-GFCCVEGCSA-N -1 1 316.365 1.402 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=O)C=Cc2cccc(O)c2)n1 ZINC000155264559 539209136 /nfs/dbraw/zinc/20/91/36/539209136.db2.gz SXRXEYGKZZPUCH-VOTSOKGWSA-N -1 1 300.318 1.656 20 0 DDADMM CS(=O)(=O)N1CCC[C@@H]1C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000179012278 539233515 /nfs/dbraw/zinc/23/35/15/539233515.db2.gz PPMWRGDCAZIABZ-SNVBAGLBSA-N -1 1 318.782 1.408 20 0 DDADMM O=S(=O)(C[C@@H]1CCOC1)c1nnc(-c2ccccc2F)[n-]1 ZINC000278197940 214017766 /nfs/dbraw/zinc/01/77/66/214017766.db2.gz NMBKKHTUCFBOMW-SECBINFHSA-N -1 1 311.338 1.421 20 0 DDADMM O=S(=O)(C[C@@H]1CCOC1)c1n[n-]c(-c2ccccc2F)n1 ZINC000278197940 214017770 /nfs/dbraw/zinc/01/77/70/214017770.db2.gz NMBKKHTUCFBOMW-SECBINFHSA-N -1 1 311.338 1.421 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cnoc2)c(Cl)c1 ZINC000311483570 539294245 /nfs/dbraw/zinc/29/42/45/539294245.db2.gz LOOOIGSQGMIWNE-UHFFFAOYSA-N -1 1 302.695 1.827 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1Cc2cncn2C1 ZINC000623264118 365760762 /nfs/dbraw/zinc/76/07/62/365760762.db2.gz GKFQYDVSNQYBGL-JTQLQIEISA-N -1 1 315.377 1.339 20 0 DDADMM C[C@H]1C[C@H](c2ccccc2)N(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000343612952 539358787 /nfs/dbraw/zinc/35/87/87/539358787.db2.gz BBWNOXHKXQPTLH-SMDDNHRTSA-N -1 1 323.356 1.641 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCS(=O)(=O)C[C@@H]1C1CC1 ZINC000615785933 362438315 /nfs/dbraw/zinc/43/83/15/362438315.db2.gz IPAIUNZEBVKCIA-GFCCVEGCSA-N -1 1 313.350 1.181 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccccc2OCC)n[n-]1 ZINC000615920005 362486069 /nfs/dbraw/zinc/48/60/69/362486069.db2.gz ONWOAWFYUNCQKW-UHFFFAOYSA-N -1 1 318.333 1.310 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccccc2OCC)n1 ZINC000615920005 362486071 /nfs/dbraw/zinc/48/60/71/362486071.db2.gz ONWOAWFYUNCQKW-UHFFFAOYSA-N -1 1 318.333 1.310 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](CC)OC2CCCC2)n[n-]1 ZINC000615917054 362486805 /nfs/dbraw/zinc/48/68/05/362486805.db2.gz JPRMKSQCKBEPOP-NSHDSACASA-N -1 1 324.381 1.335 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](CC)OC2CCCC2)n1 ZINC000615917054 362486813 /nfs/dbraw/zinc/48/68/13/362486813.db2.gz JPRMKSQCKBEPOP-NSHDSACASA-N -1 1 324.381 1.335 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](C(C)C)C2)co1 ZINC000332638608 530025050 /nfs/dbraw/zinc/02/50/50/530025050.db2.gz JTLJGJGTEFVANM-JTQLQIEISA-N -1 1 300.380 1.306 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@](C)(F)C2)co1 ZINC000295638903 530025167 /nfs/dbraw/zinc/02/51/67/530025167.db2.gz SXXZWUGRLLIHET-LBPRGKRZSA-N -1 1 304.343 1.152 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2ccc(C)c(C)n2)o1 ZINC000457980346 530028323 /nfs/dbraw/zinc/02/83/23/530028323.db2.gz GNCHVVWOPZIFII-UHFFFAOYSA-N -1 1 323.374 1.130 20 0 DDADMM O=c1ccccn1CCc1nc(-c2ccc([O-])cc2F)no1 ZINC000350817694 539416970 /nfs/dbraw/zinc/41/69/70/539416970.db2.gz MBZUWOKZXFSTNH-UHFFFAOYSA-N -1 1 301.277 1.986 20 0 DDADMM CCC(=O)C1(C(=O)N=c2[nH][n-]c(C)c2Br)CC1 ZINC000616011588 362520252 /nfs/dbraw/zinc/52/02/52/362520252.db2.gz PBYPNIQAIMFNMP-UHFFFAOYSA-N -1 1 300.156 1.600 20 0 DDADMM CN(C(=O)c1c[n-]c2c(cnn2C)c1=O)C1Cc2ccccc2C1 ZINC000358309097 299117171 /nfs/dbraw/zinc/11/71/71/299117171.db2.gz IDONNBNYTHGLEM-UHFFFAOYSA-N -1 1 322.368 1.913 20 0 DDADMM Cc1nc(C)c(NC(=O)c2cnc3n(C)[n-]cc-3c2=O)s1 ZINC000358396987 299142244 /nfs/dbraw/zinc/14/22/44/299142244.db2.gz KEBIITRHVAPJHI-UHFFFAOYSA-N -1 1 303.347 2.000 20 0 DDADMM CCCC[C@@H](CCC)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353844885 290855024 /nfs/dbraw/zinc/85/50/24/290855024.db2.gz ZVMVAIJCDLYKTF-LLVKDONJSA-N -1 1 305.382 1.754 20 0 DDADMM CCN(Cc1ccccn1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287045096 219285208 /nfs/dbraw/zinc/28/52/08/219285208.db2.gz DKIVZVXNTNRFQH-ZHZULCJRSA-N -1 1 310.361 1.791 20 0 DDADMM C[C@H]1CC[C@H](CNC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000331386235 233259787 /nfs/dbraw/zinc/25/97/87/233259787.db2.gz HSJXMJLXZKUMOW-QWRGUYRKSA-N -1 1 324.454 1.218 20 0 DDADMM CC[C@@H]1CCC[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000155920054 186123542 /nfs/dbraw/zinc/12/35/42/186123542.db2.gz CPYUMGCQDMZKJF-NXEZZACHSA-N -1 1 300.380 1.496 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2C[C@H](C)C[C@H](C)C2)o1 ZINC000172597056 198120762 /nfs/dbraw/zinc/12/07/62/198120762.db2.gz CGZRGEPAAISIQO-ZACCUICWSA-N -1 1 314.407 1.742 20 0 DDADMM CC(C)(NC(=O)C(F)(F)F)C(=O)[N-]OCc1ccccc1 ZINC000277184542 213352104 /nfs/dbraw/zinc/35/21/04/213352104.db2.gz UFOHGOGLKMGNLR-UHFFFAOYSA-N -1 1 304.268 1.692 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2csc(=NC3CC3)[n-]2)c2ncnn21 ZINC000617442791 363111442 /nfs/dbraw/zinc/11/14/42/363111442.db2.gz RBFCOHDZKUWHIE-CBAPKCEASA-N -1 1 304.379 1.167 20 0 DDADMM O=C(COc1cccc(F)c1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000029388786 352238554 /nfs/dbraw/zinc/23/85/54/352238554.db2.gz VAFCJBGZOHRFQN-UHFFFAOYSA-N -1 1 304.203 1.980 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@H](CO)NC(=O)c2ccc([O-])cc2F)C1 ZINC000617533981 363162472 /nfs/dbraw/zinc/16/24/72/363162472.db2.gz ATNFPKSFWOHHEV-HCKVZZMMSA-N -1 1 311.353 1.827 20 0 DDADMM CCOc1cc(C=CC(=O)Nc2nnn[n-]2)cc(Cl)c1OC ZINC000072678404 191213206 /nfs/dbraw/zinc/21/32/06/191213206.db2.gz SQMGEOCVSDHELY-SNAWJCMRSA-N -1 1 323.740 1.912 20 0 DDADMM CCOc1cc(C=CC(=O)Nc2nn[n-]n2)cc(Cl)c1OC ZINC000072678404 191213209 /nfs/dbraw/zinc/21/32/09/191213209.db2.gz SQMGEOCVSDHELY-SNAWJCMRSA-N -1 1 323.740 1.912 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](C)[C@@H]2C)o1 ZINC000172999217 198175091 /nfs/dbraw/zinc/17/50/91/198175091.db2.gz ACIZZTWZEVUQPV-ZJUUUORDSA-N -1 1 300.380 1.448 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N([C@H](C)C2CC2)C2CC2)o1 ZINC000056932830 352790266 /nfs/dbraw/zinc/79/02/66/352790266.db2.gz NAAWKJDUHSHRFN-SECBINFHSA-N -1 1 312.391 1.591 20 0 DDADMM Cn1c(CCNC(=O)Cn2ncc3ccccc32)n[n-]c1=S ZINC000067052007 353032315 /nfs/dbraw/zinc/03/23/15/353032315.db2.gz MJAYFUWSJNXOSH-UHFFFAOYSA-N -1 1 316.390 1.186 20 0 DDADMM CCn1c(CCNC(=O)c2ccnc(N(C)C)c2)n[n-]c1=S ZINC000067075457 353032832 /nfs/dbraw/zinc/03/28/32/353032832.db2.gz FEKYRUBVKNFERF-UHFFFAOYSA-N -1 1 320.422 1.394 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)[C@](C)(O)Cc1ccccc1 ZINC000073942364 353279904 /nfs/dbraw/zinc/27/99/04/353279904.db2.gz CXXXGPRSEZLPSJ-OAHLLOKOSA-N -1 1 320.418 1.359 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@H]1CN1CCOCC1 ZINC000174563130 198352472 /nfs/dbraw/zinc/35/24/72/198352472.db2.gz HPTXVESPBZPTPB-ZDUSSCGKSA-N -1 1 324.808 1.982 20 0 DDADMM C[C@H](CO[N-]C(=O)[C@H]1CO[C@@H](C)C1)NC(=O)OC(C)(C)C ZINC000492413488 234475805 /nfs/dbraw/zinc/47/58/05/234475805.db2.gz QNLMSJVXCUERPM-OUAUKWLOSA-N -1 1 302.371 1.372 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)[C@@H]1CCCC[C@@H]1OC)C(C)C ZINC000601287509 358479625 /nfs/dbraw/zinc/47/96/25/358479625.db2.gz UUPHARGJLDKIHK-TUAOUCFPSA-N -1 1 307.412 1.061 20 0 DDADMM COC(=O)C1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CC1 ZINC000565016536 304036655 /nfs/dbraw/zinc/03/66/55/304036655.db2.gz SMRVIJRRPJSKFW-UHFFFAOYSA-N -1 1 301.302 1.674 20 0 DDADMM Cc1nc(-c2ccc(N3CC[C@@H](C)[C@H](O)C3)nc2)[n-]c(=O)c1C ZINC000092977960 353877918 /nfs/dbraw/zinc/87/79/18/353877918.db2.gz CJQRAJZCROLYPN-QMTHXVAHSA-N -1 1 314.389 1.656 20 0 DDADMM O=C([O-])c1cccc(Cl)c1NS(=O)(=O)c1c[nH]cn1 ZINC000230026693 354324475 /nfs/dbraw/zinc/32/44/75/354324475.db2.gz IWONUPSDDPJJNH-UHFFFAOYSA-N -1 1 301.711 1.562 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1[C@H](C(=O)[O-])C1(C)C)c1cccs1 ZINC000251157620 354382263 /nfs/dbraw/zinc/38/22/63/354382263.db2.gz MNMYVDJDRMJCMG-ADEWGFFLSA-N -1 1 310.419 1.824 20 0 DDADMM CC(C)n1nnnc1CN1CC[C@@](C(=O)[O-])(c2ccccc2)C1 ZINC000584785991 354768730 /nfs/dbraw/zinc/76/87/30/354768730.db2.gz WOFXONVXLQHKQZ-INIZCTEOSA-N -1 1 315.377 1.482 20 0 DDADMM CCCCc1ccc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)cc1 ZINC000586757307 354857997 /nfs/dbraw/zinc/85/79/97/354857997.db2.gz MJQNMMNUHMUPEJ-UHFFFAOYSA-N -1 1 322.390 1.803 20 0 DDADMM CCCCc1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1 ZINC000586757307 354858000 /nfs/dbraw/zinc/85/80/00/354858000.db2.gz MJQNMMNUHMUPEJ-UHFFFAOYSA-N -1 1 322.390 1.803 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)CCOC)o1 ZINC000358848067 291053925 /nfs/dbraw/zinc/05/39/25/291053925.db2.gz AQQVZCISBZFJND-VIFPVBQESA-N -1 1 305.352 1.160 20 0 DDADMM CCOC(=O)c1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC000171271272 306683653 /nfs/dbraw/zinc/68/36/53/306683653.db2.gz ZFQRMXCIUSDTFR-UHFFFAOYSA-N -1 1 300.314 1.894 20 0 DDADMM O=C([O-])CCC(=O)NC[C@@H]1CSCCN1Cc1ccccc1 ZINC000277365971 304045046 /nfs/dbraw/zinc/04/50/46/304045046.db2.gz MNMTVOIZPRONOW-CQSZACIVSA-N -1 1 322.430 1.585 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)c2ccc3c(c2)OCO3)cc1 ZINC000074928293 191388100 /nfs/dbraw/zinc/38/81/00/191388100.db2.gz XYSZKIPVCNUXLR-UHFFFAOYSA-N -1 1 320.326 1.315 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CC[C@H](Nc2nc3[nH][n-]cc-3c(=O)n2)C1 ZINC000592005206 355461644 /nfs/dbraw/zinc/46/16/44/355461644.db2.gz DWHGLUUCTWHYOI-BDAKNGLRSA-N -1 1 319.365 1.354 20 0 DDADMM COC(=O)[C@H]1C[C@H](O)CN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000592911032 355737314 /nfs/dbraw/zinc/73/73/14/355737314.db2.gz MKQVHNLOTNDBMS-WPRPVWTQSA-N -1 1 313.737 1.042 20 0 DDADMM CCOC(=O)C[C@@H](CN=c1ccc(C(=O)NC)n[n-]1)CC(C)C ZINC000593492946 355901943 /nfs/dbraw/zinc/90/19/43/355901943.db2.gz DVRLNJQDHVZYAQ-LBPRGKRZSA-N -1 1 322.409 1.286 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc(COC)s2)n1 ZINC000593900874 356048080 /nfs/dbraw/zinc/04/80/80/356048080.db2.gz HTYIKNXLONUTMG-UHFFFAOYSA-N -1 1 310.335 1.442 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]C[C@@]2(C)CC2(F)F)oc1C ZINC000594849855 356336819 /nfs/dbraw/zinc/33/68/19/356336819.db2.gz AEMIDOIGFSQYSD-LLVKDONJSA-N -1 1 323.317 1.698 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCc2ccccn2)cc1C ZINC000595299695 356439025 /nfs/dbraw/zinc/43/90/25/356439025.db2.gz AALHERLGDBFOHG-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@]2(C)CCCO2)cc1C ZINC000595319296 356447644 /nfs/dbraw/zinc/44/76/44/356447644.db2.gz PYFWPNRNAVMHTC-ZDUSSCGKSA-N -1 1 317.363 1.222 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2csc(=NC3CC3)[n-]2)[C@H]1C ZINC000347191972 283203393 /nfs/dbraw/zinc/20/33/93/283203393.db2.gz ITCQEDIGLUAVHF-WPRPVWTQSA-N -1 1 309.391 1.163 20 0 DDADMM CSCC1(CCN=c2[n-]cc(S(N)(=O)=O)s2)CC1 ZINC000596426566 356875492 /nfs/dbraw/zinc/87/54/92/356875492.db2.gz CYXWGZYNTDZMJN-UHFFFAOYSA-N -1 1 307.466 1.158 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@H]2C2CCC2)c1 ZINC000565309971 304061645 /nfs/dbraw/zinc/06/16/45/304061645.db2.gz QZENEZPZSUMCAA-NWDGAFQWSA-N -1 1 324.402 1.675 20 0 DDADMM COC(=O)c1cc([N-]C(=O)c2nnn(C(C)(C)C)n2)c(C)s1 ZINC000597758454 357319645 /nfs/dbraw/zinc/31/96/45/357319645.db2.gz AKRRRYFWLTZSBA-UHFFFAOYSA-N -1 1 323.378 1.837 20 0 DDADMM COC(=O)C12CC(C1)CN2C(=O)c1ncc2ccccc2c1[O-] ZINC000598052115 357445182 /nfs/dbraw/zinc/44/51/82/357445182.db2.gz VBBAESAREXGSLK-UHFFFAOYSA-N -1 1 312.325 1.718 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCCCSC)nc2n1 ZINC000598893400 357752736 /nfs/dbraw/zinc/75/27/36/357752736.db2.gz RZRAIZNHPYBEGW-UHFFFAOYSA-N -1 1 323.422 1.842 20 0 DDADMM CCn1cc(S(=O)(=O)[N-][C@@](C)(COC)C(F)(F)F)cn1 ZINC000358811045 299264919 /nfs/dbraw/zinc/26/49/19/299264919.db2.gz BAPGNJQMRGZUET-VIFPVBQESA-N -1 1 315.317 1.149 20 0 DDADMM CCCc1nc(=N[C@H](C[C@H]2CCCOC2)C(=O)OC)s[n-]1 ZINC000599166948 357837172 /nfs/dbraw/zinc/83/71/72/357837172.db2.gz WYTVRMVKZFXCMT-GHMZBOCLSA-N -1 1 313.423 1.683 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)[C@H]1COC(C)(C)C1)C(=O)OC ZINC000599334913 357899080 /nfs/dbraw/zinc/89/90/80/357899080.db2.gz KPVUREZERGJZPN-TUAOUCFPSA-N -1 1 321.439 1.451 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC[C@@H]2CCCOC2)o1 ZINC000599365151 357911112 /nfs/dbraw/zinc/91/11/12/357911112.db2.gz SEAHYVLZMJFPOE-JTQLQIEISA-N -1 1 317.363 1.161 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)o1 ZINC000599460362 357946624 /nfs/dbraw/zinc/94/66/24/357946624.db2.gz XCWWCQZOGFXTKV-NHRVJRKFSA-N -1 1 317.363 1.156 20 0 DDADMM O=C(CCc1ccc(-c2ccccc2F)o1)NCc1nn[n-]n1 ZINC000600505299 358242921 /nfs/dbraw/zinc/24/29/21/358242921.db2.gz OFWQFPYDGJJFCY-UHFFFAOYSA-N -1 1 315.308 1.848 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H]2CCC[C@H]21 ZINC000600551666 358254480 /nfs/dbraw/zinc/25/44/80/358254480.db2.gz PETYXNGCPPVHJB-TUAOUCFPSA-N -1 1 304.346 1.591 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C1CC1 ZINC000601361783 358516280 /nfs/dbraw/zinc/51/62/80/358516280.db2.gz OXYZYURKCOTMLM-SECBINFHSA-N -1 1 309.290 1.447 20 0 DDADMM CCOC(=O)Cn1[n-]c(-c2cccc(OC)c2)c(CC)c1=O ZINC000601954371 358751423 /nfs/dbraw/zinc/75/14/23/358751423.db2.gz NGOZFUHJQVWJMP-CYBMUJFWSA-N -1 1 304.346 1.831 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@@H]2C[C@H]2C2CCOCC2)[n-]1 ZINC000602329221 358933190 /nfs/dbraw/zinc/93/31/90/358933190.db2.gz MLQSSOFCGCTXBR-WDEREUQCSA-N -1 1 307.350 1.588 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2C[C@H]2C2CCOCC2)n1 ZINC000602329221 358933192 /nfs/dbraw/zinc/93/31/92/358933192.db2.gz MLQSSOFCGCTXBR-WDEREUQCSA-N -1 1 307.350 1.588 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cc(OC)ccc2Cl)n1 ZINC000358950363 299289416 /nfs/dbraw/zinc/28/94/16/299289416.db2.gz ISPODFDEXLHCCV-UHFFFAOYSA-N -1 1 316.770 1.830 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H]2CC[C@@H](C)C2)n[n-]1 ZINC000603157238 359444417 /nfs/dbraw/zinc/44/44/17/359444417.db2.gz VDXCXOGFISYWSS-VWYCJHECSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H]2CC[C@@H](C)C2)[n-]1 ZINC000603157238 359444422 /nfs/dbraw/zinc/44/44/22/359444422.db2.gz VDXCXOGFISYWSS-VWYCJHECSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H]2CC[C@@H](C)C2)n1 ZINC000603157238 359444428 /nfs/dbraw/zinc/44/44/28/359444428.db2.gz VDXCXOGFISYWSS-VWYCJHECSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)CC[C@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000089690045 192844159 /nfs/dbraw/zinc/84/41/59/192844159.db2.gz CLIGEQIRYNSFBN-JTQLQIEISA-N -1 1 317.345 1.385 20 0 DDADMM COc1ncccc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000604082233 359716556 /nfs/dbraw/zinc/71/65/56/359716556.db2.gz XILKHGAVSYZZNY-GFCCVEGCSA-N -1 1 310.317 1.123 20 0 DDADMM CC1(C)[C@H](O)C[C@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000188118125 200197011 /nfs/dbraw/zinc/19/70/11/200197011.db2.gz FARHKZAQMQNVDD-GHMZBOCLSA-N -1 1 309.309 1.542 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc(F)c(F)c(F)c1 ZINC000605531615 359867466 /nfs/dbraw/zinc/86/74/66/359867466.db2.gz KIDYZLVMBOLTFH-UHFFFAOYSA-N -1 1 311.267 1.816 20 0 DDADMM CNS(=O)(=O)c1ccc(C(=O)Nc2c([O-])cccc2F)cc1 ZINC000605439401 359858015 /nfs/dbraw/zinc/85/80/15/359858015.db2.gz WFVUGQRBNROSMI-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM Cn1c2ccccc2n(CC(=O)Nc2c([O-])cccc2F)c1=O ZINC000605435589 359858194 /nfs/dbraw/zinc/85/81/94/359858194.db2.gz HQMCQIOOBQIIIR-UHFFFAOYSA-N -1 1 315.304 1.823 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCC2CCC2)co1 ZINC000618617768 363692164 /nfs/dbraw/zinc/69/21/64/363692164.db2.gz RBCCDUMOQVUUBM-UHFFFAOYSA-N -1 1 300.380 1.498 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc(Br)cc2[O-])[C@@H]1CO ZINC000189395833 200393684 /nfs/dbraw/zinc/39/36/84/200393684.db2.gz DGLPLKTWNSJLJA-LDYMZIIASA-N -1 1 314.179 1.998 20 0 DDADMM COC(=O)c1[nH]c(C)cc1NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000611489951 360733986 /nfs/dbraw/zinc/73/39/86/360733986.db2.gz CZSICJBXOFBSKL-UHFFFAOYSA-N -1 1 320.374 1.815 20 0 DDADMM CCC[C@@H](NC(=O)c1ncnc2ccsc21)c1nn[n-]n1 ZINC000612060470 360905648 /nfs/dbraw/zinc/90/56/48/360905648.db2.gz KFRFAKDTXDOPQX-MRVPVSSYSA-N -1 1 303.351 1.476 20 0 DDADMM O=C(NCC1(CO)CCOCC1)c1ncc2ccccc2c1[O-] ZINC000612772892 361140027 /nfs/dbraw/zinc/14/00/27/361140027.db2.gz MJPQCHMIJXAZSC-UHFFFAOYSA-N -1 1 316.357 1.459 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]Cc1noc(C2CC2)n1)C1CC1 ZINC000625107934 366715381 /nfs/dbraw/zinc/71/53/81/366715381.db2.gz OWZAFESGSARXPD-LLVKDONJSA-N -1 1 315.395 1.182 20 0 DDADMM COc1cccc(F)c1[N-]S(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000359086741 299324179 /nfs/dbraw/zinc/32/41/79/299324179.db2.gz MJBUEJCSECODSH-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncnc2ccsc21)c1nn[n-]n1 ZINC000613473947 361433747 /nfs/dbraw/zinc/43/37/47/361433747.db2.gz DGOJCAMYRDEJAB-ZETCQYMHSA-N -1 1 303.351 1.080 20 0 DDADMM CO[C@@]1(CNC(=O)c2ncc3ccccc3c2[O-])CCOC1 ZINC000613536269 361459456 /nfs/dbraw/zinc/45/94/56/361459456.db2.gz RHYMXUGXZIFTHM-MRXNPFEDSA-N -1 1 302.330 1.476 20 0 DDADMM NC(=O)NC(=O)C1CCC(NC(=O)c2ccc([O-])c(F)c2)CC1 ZINC000613513739 361450786 /nfs/dbraw/zinc/45/07/86/361450786.db2.gz SLNACNZCKVVNBJ-UHFFFAOYSA-N -1 1 323.324 1.015 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)c1ncnn1C ZINC000613894100 361622008 /nfs/dbraw/zinc/62/20/08/361622008.db2.gz DLDDIBKRMFPMCN-VIFPVBQESA-N -1 1 318.381 1.408 20 0 DDADMM O=C([N-][C@@H]1CCCN(Cc2ccc(F)cc2F)C1=O)C(F)F ZINC000194893775 201306862 /nfs/dbraw/zinc/30/68/62/201306862.db2.gz UKLBVPQFQBIFAT-LLVKDONJSA-N -1 1 318.270 1.837 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)c2ccc[nH]2)n[n-]1 ZINC000619253258 363947056 /nfs/dbraw/zinc/94/70/56/363947056.db2.gz ULJJPAJSNXFLAD-LLVKDONJSA-N -1 1 319.365 1.827 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)c2ccc[nH]2)[n-]1 ZINC000619253258 363947058 /nfs/dbraw/zinc/94/70/58/363947058.db2.gz ULJJPAJSNXFLAD-LLVKDONJSA-N -1 1 319.365 1.827 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)c2ccc[nH]2)n1 ZINC000619253258 363947060 /nfs/dbraw/zinc/94/70/60/363947060.db2.gz ULJJPAJSNXFLAD-LLVKDONJSA-N -1 1 319.365 1.827 20 0 DDADMM CCCn1nccc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000621709465 364994055 /nfs/dbraw/zinc/99/40/55/364994055.db2.gz URABMADHUFNJIJ-CYBMUJFWSA-N -1 1 311.349 1.326 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc2c(c1)C[C@H](C)O2 ZINC000621992275 365174499 /nfs/dbraw/zinc/17/44/99/365174499.db2.gz RVEQFGIIXOUCHJ-QMMMGPOBSA-N -1 1 314.345 1.075 20 0 DDADMM CC(C)(C[C@@H]1CCCN(C(=O)c2cncc([O-])c2)C1)C(N)=O ZINC000622636010 365461146 /nfs/dbraw/zinc/46/11/46/365461146.db2.gz RXNZLGBNIYGFCB-NSHDSACASA-N -1 1 305.378 1.541 20 0 DDADMM CCC(F)(F)C[N-]S(=O)(=O)c1cnnn1-c1ccccc1 ZINC000625454357 366938299 /nfs/dbraw/zinc/93/82/99/366938299.db2.gz XUBUGEDZAAFXDY-UHFFFAOYSA-N -1 1 316.333 1.591 20 0 DDADMM O=c1[n-]c(CN(Cc2ccccc2)C2(CO)CCCC2)n[nH]1 ZINC000625455993 366938761 /nfs/dbraw/zinc/93/87/61/366938761.db2.gz UZFDHGDXIYCVFI-UHFFFAOYSA-N -1 1 302.378 1.818 20 0 DDADMM O=S(=O)([N-][C@@H]1CO[C@H](C2CC2)C1)c1cccc(F)c1F ZINC000625581559 367030560 /nfs/dbraw/zinc/03/05/60/367030560.db2.gz NPQMHGFIFCLVRL-ONGXEEELSA-N -1 1 303.330 1.811 20 0 DDADMM Cc1ccc(CO[C@@H](C)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000626443652 367548738 /nfs/dbraw/zinc/54/87/38/367548738.db2.gz PCXFKCXRJXZCBJ-OLZOCXBDSA-N -1 1 317.393 1.675 20 0 DDADMM Cn1cnc(C[N-]S(=O)(=O)c2cc(F)ccc2Cl)n1 ZINC000092265321 193183054 /nfs/dbraw/zinc/18/30/54/193183054.db2.gz BAIZKQBITCHPLL-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM O=S(=O)([N-]c1ccc(-n2cnnn2)cc1)c1ccsc1 ZINC000349921185 284106849 /nfs/dbraw/zinc/10/68/49/284106849.db2.gz NQKARCMPXIONND-UHFFFAOYSA-N -1 1 307.360 1.525 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000635017407 422771609 /nfs/dbraw/zinc/77/16/09/422771609.db2.gz HNQZBTUBDRHVCW-NXEZZACHSA-N -1 1 310.316 1.440 20 0 DDADMM CCOC(=O)C1(C(=O)Nc2cc(C(=O)OC)ccc2[O-])CC1 ZINC000282785450 217249780 /nfs/dbraw/zinc/24/97/80/217249780.db2.gz MTAACCJJDKAHPS-UHFFFAOYSA-N -1 1 307.302 1.461 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCCC[C@H]2OC)o1 ZINC000266251019 205217212 /nfs/dbraw/zinc/21/72/12/205217212.db2.gz XRIBFDUCBYPVIL-NXEZZACHSA-N -1 1 317.363 1.302 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cnn3c2CCCC3)c1 ZINC000282873125 217292270 /nfs/dbraw/zinc/29/22/70/217292270.db2.gz UTDUSKHIYWPHHX-UHFFFAOYSA-N -1 1 315.329 1.964 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CSCCS2)c1 ZINC000282875656 217294302 /nfs/dbraw/zinc/29/43/02/217294302.db2.gz UXYAOFVNUAVRFY-NSHDSACASA-N -1 1 313.400 1.966 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@H](C(=O)N2CCCC2)C1 ZINC000288445097 220145743 /nfs/dbraw/zinc/14/57/43/220145743.db2.gz VTHGNPRYTNADJU-NSHDSACASA-N -1 1 306.337 1.616 20 0 DDADMM CCc1ccccc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282999390 217378972 /nfs/dbraw/zinc/37/89/72/217378972.db2.gz VMDBLBMNJWLATH-LBPRGKRZSA-N -1 1 300.362 1.680 20 0 DDADMM O=C(NCCc1cnccn1)c1cc(Br)ccc1[O-] ZINC000390694898 307293780 /nfs/dbraw/zinc/29/37/80/307293780.db2.gz CUOFJUVJRMFTFA-UHFFFAOYSA-N -1 1 322.162 1.917 20 0 DDADMM COCCCS(=O)(=O)c1nc(-c2ccc(OC)cc2)n[n-]1 ZINC000566777128 304180624 /nfs/dbraw/zinc/18/06/24/304180624.db2.gz NSAVYIPPIREWCE-UHFFFAOYSA-N -1 1 311.363 1.291 20 0 DDADMM COCCCS(=O)(=O)c1n[n-]c(-c2ccc(OC)cc2)n1 ZINC000566777128 304180625 /nfs/dbraw/zinc/18/06/25/304180625.db2.gz NSAVYIPPIREWCE-UHFFFAOYSA-N -1 1 311.363 1.291 20 0 DDADMM COc1ccc(-c2nnc(SCc3nn[n-]n3)o2)cc1OC ZINC000267366815 206015025 /nfs/dbraw/zinc/01/50/25/206015025.db2.gz LXGOLVNOSHTEDI-UHFFFAOYSA-N -1 1 320.334 1.559 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)Cc1c(C)nn(C)c1C)c1nn[n-]n1 ZINC000267560321 206144282 /nfs/dbraw/zinc/14/42/82/206144282.db2.gz GXTDDETYEXUSNH-TVQRCGJNSA-N -1 1 319.413 1.386 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cccc(Cl)c1F)C1CC1 ZINC000267754602 206261902 /nfs/dbraw/zinc/26/19/02/206261902.db2.gz MVTDPJPIOGJMCU-NSHDSACASA-N -1 1 321.757 1.709 20 0 DDADMM Cc1cccc(N(CCC(N)=O)C(=O)c2ccc(O)cc2[O-])c1 ZINC000170832244 248320564 /nfs/dbraw/zinc/32/05/64/248320564.db2.gz OMSFNWLFZNNOPT-UHFFFAOYSA-N -1 1 314.341 1.928 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)cnc1C ZINC000567209919 304203425 /nfs/dbraw/zinc/20/34/25/304203425.db2.gz KPNRWTYRWMSLJG-UHFFFAOYSA-N -1 1 324.362 1.317 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(C)(C)C[C@@H]2C)co1 ZINC000332679193 248891208 /nfs/dbraw/zinc/89/12/08/248891208.db2.gz JMVCWTDULAMSQJ-VIFPVBQESA-N -1 1 300.380 1.448 20 0 DDADMM O=S1(=O)CCC[C@@H]1CN=c1nc(C2CCCCC2)[n-]s1 ZINC000333637717 249052217 /nfs/dbraw/zinc/05/22/17/249052217.db2.gz HQWJCHIPAIFZSQ-LLVKDONJSA-N -1 1 315.464 1.997 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1[C@H](C)[C@H]1c1ccccc1 ZINC000337126381 249357931 /nfs/dbraw/zinc/35/79/31/249357931.db2.gz FVZSYUIRSIFNPR-JIMOISOXSA-N -1 1 312.373 1.488 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cncc2ccccc21 ZINC000337163054 249370616 /nfs/dbraw/zinc/37/06/16/249370616.db2.gz VUDIHOGCGBIQHN-UHFFFAOYSA-N -1 1 309.329 1.300 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC2(CCCC2)CC1 ZINC000579570052 422792218 /nfs/dbraw/zinc/79/22/18/422792218.db2.gz ACILYFAZTILXPF-UHFFFAOYSA-N -1 1 307.350 1.693 20 0 DDADMM CC[C@@H](C)[C@@H](C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000104865049 194048036 /nfs/dbraw/zinc/04/80/36/194048036.db2.gz SUUAKXBUDKVSPC-NXEZZACHSA-N -1 1 304.394 1.733 20 0 DDADMM CCCCOCCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000104870511 194048995 /nfs/dbraw/zinc/04/89/95/194048995.db2.gz XVWTYZSTRKQHBK-UHFFFAOYSA-N -1 1 320.393 1.115 20 0 DDADMM C[C@H](NC(=O)c1ccc(Cl)cc1[O-])[C@H](C)N1CCOCC1 ZINC000104937983 194055048 /nfs/dbraw/zinc/05/50/48/194055048.db2.gz UZVUEPPYIVTLCV-QWRGUYRKSA-N -1 1 312.797 1.885 20 0 DDADMM CCN(CC)C(=O)CCC[N-]S(=O)(=O)c1sccc1F ZINC000338864621 250170845 /nfs/dbraw/zinc/17/08/45/250170845.db2.gz FVOUSXRJCOAYCA-UHFFFAOYSA-N -1 1 322.427 1.814 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1sccc1F)N1CCCCC1=O ZINC000338977252 250228867 /nfs/dbraw/zinc/22/88/67/250228867.db2.gz ZLFVXDIJMZLBPP-VIFPVBQESA-N -1 1 320.411 1.567 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]1c1ccccc1 ZINC000352190971 284854171 /nfs/dbraw/zinc/85/41/71/284854171.db2.gz RAFJGLRGNTVCHM-NSHDSACASA-N -1 1 315.329 1.874 20 0 DDADMM COC(=O)C(C)(C)CCNC(=O)c1csc(=NC2CC2)[n-]1 ZINC000340731646 251182164 /nfs/dbraw/zinc/18/21/64/251182164.db2.gz ASCBALZWEQKIPQ-UHFFFAOYSA-N -1 1 311.407 1.458 20 0 DDADMM CSCC[C@@H](NC(N)=O)C(=O)Nc1ccc(F)cc1[O-] ZINC000269029984 207178737 /nfs/dbraw/zinc/17/87/37/207178737.db2.gz MDOZDGZVOBBZOI-SECBINFHSA-N -1 1 301.343 1.260 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCC[C@H]1F ZINC000340827637 251230416 /nfs/dbraw/zinc/23/04/16/251230416.db2.gz PKEGWGWDYZXHOT-VXGBXAGGSA-N -1 1 306.341 1.601 20 0 DDADMM CS(=O)(=O)[N-]c1ccc(Oc2ncc(Cl)cn2)cn1 ZINC000340864110 251250961 /nfs/dbraw/zinc/25/09/61/251250961.db2.gz WRQHYQWBGYZDRZ-UHFFFAOYSA-N -1 1 300.727 1.689 20 0 DDADMM C/C=C\C[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OCC ZINC000340939921 251289980 /nfs/dbraw/zinc/28/99/80/251289980.db2.gz XPXZMUWYQVASBJ-TXNVCOODSA-N -1 1 316.379 1.300 20 0 DDADMM COCCN1CCCN(C(=O)CC(C)(C)CC(=O)[O-])CC1 ZINC000061827108 184188741 /nfs/dbraw/zinc/18/87/41/184188741.db2.gz NMLNSVFLGSHDTM-UHFFFAOYSA-N -1 1 300.399 1.058 20 0 DDADMM C[C@H]1CCC[C@]1(O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000294559243 224088072 /nfs/dbraw/zinc/08/80/72/224088072.db2.gz LKECOYHGYJFQNH-ZANVPECISA-N -1 1 305.346 1.794 20 0 DDADMM O=C([O-])c1coc(=NCCc2cc(Cl)c3c(c2)OCCO3)[nH]1 ZINC000567739253 304239167 /nfs/dbraw/zinc/23/91/67/304239167.db2.gz GXUIVRDOFXBYAD-UHFFFAOYSA-N -1 1 324.720 1.874 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccccc1C(=O)c1ccc(F)cc1 ZINC000118686086 194915161 /nfs/dbraw/zinc/91/51/61/194915161.db2.gz QVDWTWDWXPXORD-UHFFFAOYSA-N -1 1 311.276 1.822 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccccc1C(=O)c1ccc(F)cc1 ZINC000118686086 194915162 /nfs/dbraw/zinc/91/51/62/194915162.db2.gz QVDWTWDWXPXORD-UHFFFAOYSA-N -1 1 311.276 1.822 20 0 DDADMM O=C(Nc1ccc(OC(F)F)cc1)c1cc(=O)n2[n-]cnc2n1 ZINC000352440051 285051934 /nfs/dbraw/zinc/05/19/34/285051934.db2.gz MFMFROSILBLKLV-UHFFFAOYSA-N -1 1 321.243 1.271 20 0 DDADMM C[C@H](CN(C)C(=O)CCSc1ccccc1)c1nn[n-]n1 ZINC000352465372 285070134 /nfs/dbraw/zinc/07/01/34/285070134.db2.gz IZVGFQXXZBZAIQ-LLVKDONJSA-N -1 1 305.407 1.944 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H](C)c2ccccc2)co1 ZINC000270139592 208200180 /nfs/dbraw/zinc/20/01/80/208200180.db2.gz RJGGUYKYGDZIHJ-NSHDSACASA-N -1 1 322.386 1.721 20 0 DDADMM COC(=O)[C@H]1C[C@@H](NC(=O)c2cc(Cl)c([O-])c(OC)c2)C1 ZINC000413978189 533060690 /nfs/dbraw/zinc/06/06/90/533060690.db2.gz VRSWTJKORRLVKV-DTORHVGOSA-N -1 1 313.737 1.736 20 0 DDADMM CC[C@@H](C)[C@H]1CCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352550090 285133854 /nfs/dbraw/zinc/13/38/54/285133854.db2.gz JXXFRQPOPVHPEC-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CCOc1cc2c(cc1OCC)CN(Cc1nc(=O)[n-][nH]1)CC2 ZINC000271658979 209103317 /nfs/dbraw/zinc/10/33/17/209103317.db2.gz XDGRHOSJOWQQOA-UHFFFAOYSA-N -1 1 318.377 1.454 20 0 DDADMM COC(=O)CCCc1nnc([N-]C(=O)c2c(C)noc2C)s1 ZINC000157929882 197228213 /nfs/dbraw/zinc/22/82/13/197228213.db2.gz SODSRTWMKHKCOI-UHFFFAOYSA-N -1 1 324.362 1.891 20 0 DDADMM CN(C)C(=O)[C@H]1CSCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000120690577 195217010 /nfs/dbraw/zinc/21/70/10/195217010.db2.gz PMNPQAZFZQQUHO-SNVBAGLBSA-N -1 1 314.794 1.649 20 0 DDADMM O=c1nc([C@H]2CCCN(Cc3ccn(C4CCCC4)n3)C2)[nH][n-]1 ZINC000289493071 221015738 /nfs/dbraw/zinc/01/57/38/221015738.db2.gz SVBNAXJEZYSCID-LBPRGKRZSA-N -1 1 316.409 1.789 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@H]1CCO[C@H](C)C1 ZINC000289657987 221134420 /nfs/dbraw/zinc/13/44/20/221134420.db2.gz BKCFCLFXKUXKSN-SCZZXKLOSA-N -1 1 303.384 1.049 20 0 DDADMM COC[C@@H]1C[C@H](O)CN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000413924231 224275798 /nfs/dbraw/zinc/27/57/98/224275798.db2.gz VNLLMJUMIBHCDA-UWVGGRQHSA-N -1 1 319.279 1.633 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)C(=O)NC(C)C ZINC000414020794 224290321 /nfs/dbraw/zinc/29/03/21/224290321.db2.gz OUXHYTDZNFVJNY-JTQLQIEISA-N -1 1 322.409 1.431 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCCC[C@H]1C(C)C ZINC000416150448 533446187 /nfs/dbraw/zinc/44/61/87/533446187.db2.gz KDNSFCOOTFNWRV-UWVGGRQHSA-N -1 1 309.366 1.841 20 0 DDADMM CO[C@@H]1COCC[C@H]1CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000294636605 533511333 /nfs/dbraw/zinc/51/13/33/533511333.db2.gz URYRNVGWTMWHRW-NKWVEPMBSA-N -1 1 308.260 1.204 20 0 DDADMM COc1cc(C(=O)N2CCn3cnnc3[C@H]2C)cc(Cl)c1[O-] ZINC000332579312 286198370 /nfs/dbraw/zinc/19/83/70/286198370.db2.gz HPUUORXLGPLJBK-MRVPVSSYSA-N -1 1 322.752 1.863 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC000497040704 307377417 /nfs/dbraw/zinc/37/74/17/307377417.db2.gz ZKEJRJXAKZIRKN-VIFPVBQESA-N -1 1 313.402 1.539 20 0 DDADMM CCn1c(=S)[n-]nc1C1CCN(C(=O)c2ccon2)CC1 ZINC000068452436 406643024 /nfs/dbraw/zinc/64/30/24/406643024.db2.gz HBKHBEVKDCEZBS-UHFFFAOYSA-N -1 1 307.379 1.968 20 0 DDADMM Cc1nc(SCC(=O)N2C[C@H](C)O[C@@H](C)C2)[n-]c(=O)c1C ZINC000005155278 406733555 /nfs/dbraw/zinc/73/35/55/406733555.db2.gz MMSLLEBVODQWKT-IUCAKERBSA-N -1 1 311.407 1.115 20 0 DDADMM COc1ccc(F)cc1C[N-]S(=O)(=O)c1c(C)noc1C ZINC000074986680 406918646 /nfs/dbraw/zinc/91/86/46/406918646.db2.gz FPLKKPDCXPSVTA-UHFFFAOYSA-N -1 1 314.338 1.918 20 0 DDADMM COC(=O)Cc1csc(NC(=O)c2ccc(O)cc2[O-])n1 ZINC000036815749 406983871 /nfs/dbraw/zinc/98/38/71/406983871.db2.gz XSPGCIFOBVBQNQ-UHFFFAOYSA-N -1 1 308.315 1.522 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2nccs2)cc1C(=O)[O-] ZINC000037310189 406987650 /nfs/dbraw/zinc/98/76/50/406987650.db2.gz CMZKCZYEQGHTTH-UHFFFAOYSA-N -1 1 314.344 1.651 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2ccc(C)s2)o1 ZINC000048790590 407108145 /nfs/dbraw/zinc/10/81/45/407108145.db2.gz VLBBXZATPTXAIP-UHFFFAOYSA-N -1 1 314.388 1.488 20 0 DDADMM Cc1ccccc1-c1noc([C@H](C)S(=O)(=O)c2nnc[n-]2)n1 ZINC000086169593 407108911 /nfs/dbraw/zinc/10/89/11/407108911.db2.gz VNRCXXBGNPJRIP-VIFPVBQESA-N -1 1 319.346 1.698 20 0 DDADMM Cc1ccccc1-c1noc([C@H](C)S(=O)(=O)c2ncn[n-]2)n1 ZINC000086169593 407108913 /nfs/dbraw/zinc/10/89/13/407108913.db2.gz VNRCXXBGNPJRIP-VIFPVBQESA-N -1 1 319.346 1.698 20 0 DDADMM Cc1ccccc1-c1noc([C@H](C)S(=O)(=O)c2nc[n-]n2)n1 ZINC000086169593 407108915 /nfs/dbraw/zinc/10/89/15/407108915.db2.gz VNRCXXBGNPJRIP-VIFPVBQESA-N -1 1 319.346 1.698 20 0 DDADMM CN1CCN(C(=O)c2ccc(Br)cc2[O-])CC1=O ZINC000084204542 407085000 /nfs/dbraw/zinc/08/50/00/407085000.db2.gz BRUHQFCIBNADHL-UHFFFAOYSA-N -1 1 313.151 1.069 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C(=O)c1[nH]nc2ccccc21 ZINC000091129516 407179250 /nfs/dbraw/zinc/17/92/50/407179250.db2.gz NFAMYEHYASUQIQ-SECBINFHSA-N -1 1 305.359 1.595 20 0 DDADMM O=C(COc1ccccc1OCC(F)(F)F)Nc1nnn[n-]1 ZINC000102895342 407328451 /nfs/dbraw/zinc/32/84/51/407328451.db2.gz HSQBSBCZAKDFQT-UHFFFAOYSA-N -1 1 317.227 1.158 20 0 DDADMM O=C(COc1ccccc1OCC(F)(F)F)Nc1nn[n-]n1 ZINC000102895342 407328453 /nfs/dbraw/zinc/32/84/53/407328453.db2.gz HSQBSBCZAKDFQT-UHFFFAOYSA-N -1 1 317.227 1.158 20 0 DDADMM COCC[C@@H](C)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000101520332 407310220 /nfs/dbraw/zinc/31/02/20/407310220.db2.gz AOHTZBIOTZYFTK-SNVBAGLBSA-N -1 1 320.393 1.526 20 0 DDADMM CCOc1ccccc1CCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000124385906 407356986 /nfs/dbraw/zinc/35/69/86/407356986.db2.gz AOYBOGALDFWAQU-LBPRGKRZSA-N -1 1 317.393 1.793 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCC[C@H](C)C2)o1 ZINC000107699131 407378979 /nfs/dbraw/zinc/37/89/79/407378979.db2.gz JUVSMHQYOKNETD-UWVGGRQHSA-N -1 1 301.364 1.923 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2(C)CCC(C)CC2)co1 ZINC000126201112 407407736 /nfs/dbraw/zinc/40/77/36/407407736.db2.gz SGEOLVNYYJUXEU-UHFFFAOYSA-N -1 1 314.407 1.886 20 0 DDADMM CCCNC(=O)c1cccc(CNC(=O)c2cncc([O-])c2)c1 ZINC000111569013 407412095 /nfs/dbraw/zinc/41/20/95/407412095.db2.gz OMXQPHKSDIHTPS-UHFFFAOYSA-N -1 1 313.357 1.857 20 0 DDADMM CCCCc1noc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)n1 ZINC000127207501 407430709 /nfs/dbraw/zinc/43/07/09/407430709.db2.gz VWDCMXZSMFWTIM-UHFFFAOYSA-N -1 1 311.367 1.382 20 0 DDADMM COC(=O)[C@H](C[N-]C(=O)C(F)(F)F)Cc1ccc(O)cc1 ZINC000112901515 407446475 /nfs/dbraw/zinc/44/64/75/407446475.db2.gz HOIMCKDCDACXNV-VIFPVBQESA-N -1 1 305.252 1.402 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H](CO)CC(C)(C)C)c1Cl ZINC000128833254 407575333 /nfs/dbraw/zinc/57/53/33/407575333.db2.gz CCRNGZSQAZDEHN-QMMMGPOBSA-N -1 1 309.819 1.149 20 0 DDADMM COc1ccc(SCCC(=O)Nc2nnn[n-]2)cc1OC ZINC000265957408 407524609 /nfs/dbraw/zinc/52/46/09/407524609.db2.gz XEBSRAQXHAFLJB-UHFFFAOYSA-N -1 1 309.351 1.338 20 0 DDADMM COc1ccc(SCCC(=O)Nc2nn[n-]n2)cc1OC ZINC000265957408 407524616 /nfs/dbraw/zinc/52/46/16/407524616.db2.gz XEBSRAQXHAFLJB-UHFFFAOYSA-N -1 1 309.351 1.338 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC(C)(C)CCCO)c1Cl ZINC000221252624 407544828 /nfs/dbraw/zinc/54/48/28/407544828.db2.gz DGKQDKXHCALRQF-UHFFFAOYSA-N -1 1 309.819 1.151 20 0 DDADMM COc1ccc(Cl)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129371763 407605264 /nfs/dbraw/zinc/60/52/64/407605264.db2.gz YMJYDMFTULZPQP-VIFPVBQESA-N -1 1 321.768 1.882 20 0 DDADMM COC(=O)C[C@@H]1CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000178827305 407615459 /nfs/dbraw/zinc/61/54/59/407615459.db2.gz RBEMYMLHSPYATM-JTQLQIEISA-N -1 1 315.329 1.091 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCO1 ZINC000271304928 407627562 /nfs/dbraw/zinc/62/75/62/407627562.db2.gz VKWUPRNFCZRMFI-JGVFFNPUSA-N -1 1 309.309 1.950 20 0 DDADMM COC(=O)CC[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272100534 407774166 /nfs/dbraw/zinc/77/41/66/407774166.db2.gz LSITZRZATVTKSS-UHFFFAOYSA-N -1 1 313.162 1.230 20 0 DDADMM CC(=O)Nc1nc(CN2C[C@@H]3CCC[C@@]3(C(=O)[O-])C2)cs1 ZINC000262222311 407769566 /nfs/dbraw/zinc/76/95/66/407769566.db2.gz VPKSEFKWNBNSKO-IINYFYTJSA-N -1 1 309.391 1.788 20 0 DDADMM C[C@@]1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCCS1 ZINC000132375662 407782279 /nfs/dbraw/zinc/78/22/79/407782279.db2.gz LGEDKVCZKDRVFO-HNNXBMFYSA-N -1 1 303.387 1.939 20 0 DDADMM CS[C@H](C)CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000272125089 407784139 /nfs/dbraw/zinc/78/41/39/407784139.db2.gz KTQFPWJZVBTTTP-SSDOTTSWSA-N -1 1 304.393 1.120 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCc1ccccc1F)c2=O ZINC000179392053 407784263 /nfs/dbraw/zinc/78/42/63/407784263.db2.gz CFQPPUFFDHFHNK-UHFFFAOYSA-N -1 1 314.320 1.786 20 0 DDADMM C[C@@H]1CCCN(C(=O)CN2[C@@H]3CCCC[C@H]3C[C@H]2C(=O)[O-])C1 ZINC000262324872 407801040 /nfs/dbraw/zinc/80/10/40/407801040.db2.gz RLXXXKTZGJCJJR-BARDWOONSA-N -1 1 308.422 1.963 20 0 DDADMM CCCCN(C(=O)c1ncccc1[O-])[C@H]1CCS(=O)(=O)C1 ZINC000153639246 407867062 /nfs/dbraw/zinc/86/70/62/407867062.db2.gz SHUNPXLGGOONJS-NSHDSACASA-N -1 1 312.391 1.217 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H]3CCC[C@H]32)co1 ZINC000153645552 407867717 /nfs/dbraw/zinc/86/77/17/407867717.db2.gz YBQSJGBXBRVHSX-CMPLNLGQSA-N -1 1 312.391 1.592 20 0 DDADMM Cc1ccccc1CCNC(=O)CN1CCC(C(=O)[O-])CC1 ZINC000262631245 407886980 /nfs/dbraw/zinc/88/69/80/407886980.db2.gz HCVWQGMAPIOBBB-UHFFFAOYSA-N -1 1 304.390 1.450 20 0 DDADMM CCCC[C@H](COC)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119269342 408026040 /nfs/dbraw/zinc/02/60/40/408026040.db2.gz TZMGDDQYCZMECT-SNVBAGLBSA-N -1 1 306.366 1.609 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2ccc(OC)c(F)c2)[n-]1 ZINC000268454916 408052181 /nfs/dbraw/zinc/05/21/81/408052181.db2.gz BYMOPUPXMKPPEV-UHFFFAOYSA-N -1 1 315.326 1.073 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2ccc(OC)c(F)c2)n[n-]1 ZINC000268454916 408052186 /nfs/dbraw/zinc/05/21/86/408052186.db2.gz BYMOPUPXMKPPEV-UHFFFAOYSA-N -1 1 315.326 1.073 20 0 DDADMM COCCN(CC(C)C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119147887 408003517 /nfs/dbraw/zinc/00/35/17/408003517.db2.gz ZHDGEHTUSSUYMM-UHFFFAOYSA-N -1 1 306.366 1.419 20 0 DDADMM CCOC(=O)[C@H](C)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000175202238 408077626 /nfs/dbraw/zinc/07/76/26/408077626.db2.gz OYLSYZNCMBKYEZ-SECBINFHSA-N -1 1 323.393 1.305 20 0 DDADMM C[C@H](c1cnn(C)c1)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119489966 408081304 /nfs/dbraw/zinc/08/13/04/408081304.db2.gz BRLJNRJLIXGEIV-SECBINFHSA-N -1 1 314.349 1.241 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(NC(C)=O)c1)c1nn[n-]n1 ZINC000136623943 408112565 /nfs/dbraw/zinc/11/25/65/408112565.db2.gz BJMMHKWILPDDDJ-GFCCVEGCSA-N -1 1 302.338 1.429 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)N2CC[C@](C)(C(=O)[O-])C2)n[nH]1 ZINC000263356065 408116347 /nfs/dbraw/zinc/11/63/47/408116347.db2.gz JAFNBAUYQFJULT-AWEZNQCLSA-N -1 1 309.370 1.108 20 0 DDADMM C[C@@]1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCCC[C@@H]1O ZINC000175423784 408126847 /nfs/dbraw/zinc/12/68/47/408126847.db2.gz VFWXNARVNOERBA-GUYCJALGSA-N -1 1 315.373 1.594 20 0 DDADMM CC1CCN(CC(=O)N2[C@@H]3CCCC[C@H]3C[C@H]2C(=O)[O-])CC1 ZINC000263393508 408127619 /nfs/dbraw/zinc/12/76/19/408127619.db2.gz FBHAYSUQGYFWIP-ZNMIVQPWSA-N -1 1 308.422 1.963 20 0 DDADMM CC(C)C[C@@H](NC(=O)c1ccc(-c2nnc[nH]2)cc1)C(=O)[O-] ZINC000263415262 408132708 /nfs/dbraw/zinc/13/27/08/408132708.db2.gz JUHIHPXIZHIWJO-GFCCVEGCSA-N -1 1 302.334 1.701 20 0 DDADMM COCCOCCCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000155390596 408161036 /nfs/dbraw/zinc/16/10/36/408161036.db2.gz QQTYNVOKEWRXFI-UHFFFAOYSA-N -1 1 323.361 1.686 20 0 DDADMM C[C@@H](Cc1cccc(F)c1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155485237 408171215 /nfs/dbraw/zinc/17/12/15/408171215.db2.gz TUTSJEYMWZIABK-WCQYABFASA-N -1 1 317.368 1.924 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC2(C)CCC2)o1 ZINC000175692659 408184304 /nfs/dbraw/zinc/18/43/04/408184304.db2.gz KXQQKQVQDUGZRM-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM C[C@@H](C(=O)NCC(F)(F)F)S(=O)(=O)c1ccc([O-])cc1 ZINC000125524926 161877285 /nfs/dbraw/zinc/87/72/85/161877285.db2.gz OVHZBITXJPRPRC-ZETCQYMHSA-N -1 1 311.281 1.233 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2cccn(C)c2=O)c(F)cc1F ZINC000175770607 408202666 /nfs/dbraw/zinc/20/26/66/408202666.db2.gz VTJMGKOPTUIVMX-UHFFFAOYSA-N -1 1 314.313 1.773 20 0 DDADMM CCCCN(C)S(=O)(=O)Nc1cc(C(C)=O)ccc1[O-] ZINC000273502299 408225313 /nfs/dbraw/zinc/22/53/13/408225313.db2.gz YRSVKDCJPRMNOU-UHFFFAOYSA-N -1 1 300.380 1.983 20 0 DDADMM CC[N@@H+]1CCC[C@H](NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000176235820 408320559 /nfs/dbraw/zinc/32/05/59/408320559.db2.gz XIRPEMSRMAPXEO-LBPRGKRZSA-N -1 1 320.437 1.590 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1C[C@@H]1C1CC1 ZINC000176080112 408281923 /nfs/dbraw/zinc/28/19/23/408281923.db2.gz KSDYGGFLQVUWEF-NEPJUHHUSA-N -1 1 300.362 1.302 20 0 DDADMM CC1(C)C[C@@H]1C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000156952507 408282701 /nfs/dbraw/zinc/28/27/01/408282701.db2.gz UZMJWKYSZVLQQZ-LLVKDONJSA-N -1 1 324.402 1.818 20 0 DDADMM CC(C)C(=O)NCCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000158765680 408360028 /nfs/dbraw/zinc/36/00/28/408360028.db2.gz DENZVPDNICCNHK-UHFFFAOYSA-N -1 1 320.361 1.405 20 0 DDADMM CC[C@]1(C)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000191021774 408360429 /nfs/dbraw/zinc/36/04/29/408360429.db2.gz YALXZEVOEDSKCT-MRXNPFEDSA-N -1 1 301.346 1.564 20 0 DDADMM CNC(=O)[C@H](C)C[N@@H+](C)Cc1cc(=O)oc2cc(O)ccc12 ZINC000176386198 408355268 /nfs/dbraw/zinc/35/52/68/408355268.db2.gz WUKRYSCPCJIRJY-SNVBAGLBSA-N -1 1 304.346 1.313 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H](C)C(C)C ZINC000172450635 162276254 /nfs/dbraw/zinc/27/62/54/162276254.db2.gz DCSGZIACKYZPAA-JTQLQIEISA-N -1 1 304.394 1.590 20 0 DDADMM Cc1ccc(C)c(OCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183398338 408397384 /nfs/dbraw/zinc/39/73/84/408397384.db2.gz VNRAAWCYTJWMDW-LBPRGKRZSA-N -1 1 303.366 1.457 20 0 DDADMM C[C@H](CN(C)C(=O)COc1ccc(F)cc1F)c1nn[n-]n1 ZINC000183419494 408406882 /nfs/dbraw/zinc/40/68/82/408406882.db2.gz HTBAIOARCWFYOO-MRVPVSSYSA-N -1 1 311.292 1.119 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1ccc(F)c(F)c1F)C[C@H](C)O ZINC000269748850 408415280 /nfs/dbraw/zinc/41/52/80/408415280.db2.gz GZAUIHDMBIJSQX-SFYZADRCSA-N -1 1 311.325 1.789 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(-n2cncn2)c1)c1nn[n-]n1 ZINC000176762926 408438920 /nfs/dbraw/zinc/43/89/20/408438920.db2.gz NYVNFTICFMTHHI-GFCCVEGCSA-N -1 1 312.337 1.052 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(OC)c(C)c(OC)c1)c1nn[n-]n1 ZINC000176785393 408442186 /nfs/dbraw/zinc/44/21/86/408442186.db2.gz BYIAFLSQLIJFFK-LLVKDONJSA-N -1 1 319.365 1.797 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(N2CCCC2)nc1)c1nn[n-]n1 ZINC000176781399 408443591 /nfs/dbraw/zinc/44/35/91/408443591.db2.gz NXZKYKHJGDCGBM-LBPRGKRZSA-N -1 1 315.381 1.466 20 0 DDADMM Cc1nnc2n1C[C@@H](CNC(=O)c1c([O-])cccc1F)CC2 ZINC000274689758 408496853 /nfs/dbraw/zinc/49/68/53/408496853.db2.gz LONRNEUUPNJEBT-SNVBAGLBSA-N -1 1 304.325 1.424 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(F)ccc2[O-])CCS1(=O)=O ZINC000192327238 408575079 /nfs/dbraw/zinc/57/50/79/408575079.db2.gz GJINVYXNWNAEJZ-VIFPVBQESA-N -1 1 301.339 1.181 20 0 DDADMM C[C@H](CN(C)C(=O)c1cncnc1-c1ccccc1)c1nn[n-]n1 ZINC000274789478 408533024 /nfs/dbraw/zinc/53/30/24/408533024.db2.gz PSQUQIOUXDQYOD-LLVKDONJSA-N -1 1 323.360 1.532 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)Oc1ccc(F)cc1)c1nn[n-]n1 ZINC000274802068 408538788 /nfs/dbraw/zinc/53/87/88/408538788.db2.gz SNRASBXMLKOBDG-UWVGGRQHSA-N -1 1 307.329 1.368 20 0 DDADMM O=C(CCNC(=O)c1c([O-])cccc1F)Nc1ccncc1 ZINC000184560773 408642331 /nfs/dbraw/zinc/64/23/31/408642331.db2.gz QPNSYMYMKIPRRW-UHFFFAOYSA-N -1 1 303.293 1.685 20 0 DDADMM Cc1cc(C(=O)[O-])cc(S(=O)(=O)N(C)CCN(C)C)c1F ZINC000184903333 408713177 /nfs/dbraw/zinc/71/31/77/408713177.db2.gz CNPLZZYTUNLXJD-UHFFFAOYSA-N -1 1 318.370 1.014 20 0 DDADMM COC(=O)c1cncc(S(=O)(=O)[N-]c2ccccc2F)c1 ZINC000193441192 408719115 /nfs/dbraw/zinc/71/91/15/408719115.db2.gz XEBLNNKKZWPTMO-UHFFFAOYSA-N -1 1 310.306 1.808 20 0 DDADMM COC(=O)N[C@@H](C)C(=O)Nc1nc(Br)ccc1[O-] ZINC000275921745 408719596 /nfs/dbraw/zinc/71/95/96/408719596.db2.gz USKJDDGATZDOEG-YFKPBYRVSA-N -1 1 318.127 1.233 20 0 DDADMM CCOC(=O)c1cnn(C2CCCC2)c1[N-]S(C)(=O)=O ZINC000194987470 408807965 /nfs/dbraw/zinc/80/79/65/408807965.db2.gz IWDZEVFYCQGOTL-UHFFFAOYSA-N -1 1 301.368 1.546 20 0 DDADMM COC(=O)c1ccc(CN(C)C(=O)c2ncccc2[O-])cc1 ZINC000195149426 408812923 /nfs/dbraw/zinc/81/29/23/408812923.db2.gz VTVAZGDWGYGXOV-UHFFFAOYSA-N -1 1 300.314 1.846 20 0 DDADMM C[C@@H]1C[C@@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)CN1C1CC1 ZINC000185105039 408756001 /nfs/dbraw/zinc/75/60/01/408756001.db2.gz FIAYLKMDQZGOHB-ZYHUDNBSSA-N -1 1 324.402 1.288 20 0 DDADMM Cc1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])cc1 ZINC000194035934 408761092 /nfs/dbraw/zinc/76/10/92/408761092.db2.gz BOSNOQDPGZIZKT-UHFFFAOYSA-N -1 1 306.343 1.600 20 0 DDADMM CC(=O)NCC1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000280854523 408846477 /nfs/dbraw/zinc/84/64/77/408846477.db2.gz WKVCBSZUOUMZRH-UHFFFAOYSA-N -1 1 312.316 1.659 20 0 DDADMM CCCC[C@@H](C)N(C)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000290882188 408848323 /nfs/dbraw/zinc/84/83/23/408848323.db2.gz GGQXRDXXCLELIG-SNVBAGLBSA-N -1 1 302.396 1.838 20 0 DDADMM CCS[C@H]1CCC[C@@H]([N-]S(=O)(=O)c2c(C)onc2N)C1 ZINC000290882271 408848519 /nfs/dbraw/zinc/84/85/19/408848519.db2.gz YWWMTZIGCYVEEP-ZJUUUORDSA-N -1 1 319.452 1.908 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2nnc(C)n2C2CC2)c1 ZINC000285747675 408856476 /nfs/dbraw/zinc/85/64/76/408856476.db2.gz IKYZLBBHXWCZCK-UHFFFAOYSA-N -1 1 315.333 1.838 20 0 DDADMM Cn1cnnc1C1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000280949126 408863579 /nfs/dbraw/zinc/86/35/79/408863579.db2.gz MDJUNOJXOAWRKN-UHFFFAOYSA-N -1 1 322.315 1.819 20 0 DDADMM O=C(CCNC(=O)C(=O)c1ccc([O-])cc1)N1CCCCC1 ZINC000280946546 408864174 /nfs/dbraw/zinc/86/41/74/408864174.db2.gz IIYVLGCKLSFVDQ-UHFFFAOYSA-N -1 1 304.346 1.094 20 0 DDADMM NC(=O)CC[N-]S(=O)(=O)c1ccc(Cl)c(F)c1Cl ZINC000191891142 163208011 /nfs/dbraw/zinc/20/80/11/163208011.db2.gz VAPNGPSVTJMEQF-UHFFFAOYSA-N -1 1 315.153 1.286 20 0 DDADMM O=S(=O)(Cc1ncccn1)c1nc(Cc2ccccc2)n[n-]1 ZINC000195285876 163314271 /nfs/dbraw/zinc/31/42/71/163314271.db2.gz KCYAYFGXKZWUJA-UHFFFAOYSA-N -1 1 315.358 1.159 20 0 DDADMM O=S(=O)(Cc1ncccn1)c1nnc(Cc2ccccc2)[n-]1 ZINC000195285876 163314272 /nfs/dbraw/zinc/31/42/72/163314272.db2.gz KCYAYFGXKZWUJA-UHFFFAOYSA-N -1 1 315.358 1.159 20 0 DDADMM O=S(=O)(Cc1ncccn1)c1n[n-]c(Cc2ccccc2)n1 ZINC000195285876 163314273 /nfs/dbraw/zinc/31/42/73/163314273.db2.gz KCYAYFGXKZWUJA-UHFFFAOYSA-N -1 1 315.358 1.159 20 0 DDADMM CO[C@@H]1C[C@H](c2nc(C)no2)N(C(=O)c2ncccc2[O-])C1 ZINC000277591870 408973039 /nfs/dbraw/zinc/97/30/39/408973039.db2.gz RGSLXBURBABEHI-NXEZZACHSA-N -1 1 304.306 1.081 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(F)cc3nn[nH]c32)C[C@@]2(CCOC2)O1 ZINC000282027389 408974777 /nfs/dbraw/zinc/97/47/77/408974777.db2.gz JYPVVTYJIAWVRF-BJOHPYRUSA-N -1 1 320.324 1.117 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(F)cc3nn[nH]c32)CC[S@]1=O ZINC000282034351 408976144 /nfs/dbraw/zinc/97/61/44/408976144.db2.gz KNTWBTPNZQGZHE-HXNGOWOSSA-N -1 1 310.354 1.080 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C(C)(C)C)c(Br)n1C ZINC000286457572 408989423 /nfs/dbraw/zinc/98/94/23/408989423.db2.gz JBIRNCDFHLEVAJ-UHFFFAOYSA-N -1 1 310.217 1.568 20 0 DDADMM C[C@@H](Sc1nc(C2CC2)cc(=O)[n-]1)C(=O)NC[C@H]1CCCO1 ZINC000277704930 408995946 /nfs/dbraw/zinc/99/59/46/408995946.db2.gz MYVUCCJEIAWVQU-MWLCHTKSSA-N -1 1 323.418 1.835 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CC[C@H](C)OC)c1 ZINC000292238822 409007676 /nfs/dbraw/zinc/00/76/76/409007676.db2.gz NTAAIOHQKLDHEG-VIFPVBQESA-N -1 1 316.379 1.054 20 0 DDADMM C[C@H](NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C(=O)OC(C)(C)C ZINC000287347764 409023889 /nfs/dbraw/zinc/02/38/89/409023889.db2.gz XZFXFGBDEOXISD-SHDGIHNSSA-N -1 1 319.365 1.194 20 0 DDADMM O=S(=O)(CC[C@H](O)c1ccccc1)c1n[n-]c(C2CC2)n1 ZINC000287501203 409046529 /nfs/dbraw/zinc/04/65/29/409046529.db2.gz LJQQWCUYGUJIQO-LBPRGKRZSA-N -1 1 307.375 1.580 20 0 DDADMM COC(=O)[C@@H](CF)[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC000287526148 409050933 /nfs/dbraw/zinc/05/09/33/409050933.db2.gz UDECQXJDONWEQT-SSDOTTSWSA-N -1 1 313.709 1.269 20 0 DDADMM CO[C@@H]1CCC[C@H](CC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000283036526 409074050 /nfs/dbraw/zinc/07/40/50/409074050.db2.gz GKRUDXBJRWTEPZ-XRNNBIEOSA-N -1 1 302.378 1.876 20 0 DDADMM COc1cccc([C@@H](C)CCCC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000279063858 409141548 /nfs/dbraw/zinc/14/15/48/409141548.db2.gz SJIVFVWSEIBIEH-NSHDSACASA-N -1 1 319.361 1.552 20 0 DDADMM Cn1nc([C@H]2CCCOC2)cc1NC(=O)c1cncc([O-])c1 ZINC000279133486 409156005 /nfs/dbraw/zinc/15/60/05/409156005.db2.gz AAFOTCCKSPUTNE-JTQLQIEISA-N -1 1 302.334 1.667 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2ccc(F)cc2F)s1 ZINC000289406754 409265038 /nfs/dbraw/zinc/26/50/38/409265038.db2.gz AQYMQMMUCCMUDR-UHFFFAOYSA-N -1 1 319.358 1.857 20 0 DDADMM CN1C(=S)N=NC1CCCNC(=O)c1c([O-])cccc1F ZINC000293888772 409200779 /nfs/dbraw/zinc/20/07/79/409200779.db2.gz GHFMJNFAIJPGGF-UHFFFAOYSA-N -1 1 310.354 1.311 20 0 DDADMM CCN(C)C(=O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284140321 409282760 /nfs/dbraw/zinc/28/27/60/409282760.db2.gz DQWSGFWKXMCKFA-UHFFFAOYSA-N -1 1 308.762 1.236 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCC(C)(F)F)co1 ZINC000295560387 409348460 /nfs/dbraw/zinc/34/84/60/409348460.db2.gz LGUMXUZRQHJHLH-UHFFFAOYSA-N -1 1 310.322 1.353 20 0 DDADMM CCC[C@@H](C[N-]S(=O)(=O)c1cc(C(=O)OC)co1)OC ZINC000296085217 409423727 /nfs/dbraw/zinc/42/37/27/409423727.db2.gz FJEDTODSTVBASB-JTQLQIEISA-N -1 1 305.352 1.160 20 0 DDADMM Cc1nc(C2CC2)ccc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000296147946 409446000 /nfs/dbraw/zinc/44/60/00/409446000.db2.gz XSRHUXKRXKMCQN-VIFPVBQESA-N -1 1 300.366 1.656 20 0 DDADMM O=C([O-])[C@H](Cc1ccccc1)NC(=O)c1ccc2[nH]nnc2c1 ZINC000320022894 164040325 /nfs/dbraw/zinc/04/03/25/164040325.db2.gz OPSYOXDSRIWUDJ-AWEZNQCLSA-N -1 1 310.313 1.384 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN(CC(C)(C)C)OC)cc1 ZINC000285497270 409465387 /nfs/dbraw/zinc/46/53/87/409465387.db2.gz MKHKNVDPUBFENF-UHFFFAOYSA-N -1 1 308.378 1.861 20 0 DDADMM CCCc1cnc(NC(=O)CNC(=O)c2ncccc2[O-])s1 ZINC000345685104 164094236 /nfs/dbraw/zinc/09/42/36/164094236.db2.gz VNLSOLFHZDFYNO-UHFFFAOYSA-N -1 1 320.374 1.565 20 0 DDADMM CC(C)(NC(=O)[C@H]1CCC[C@H](C(F)(F)F)C1)c1nn[n-]n1 ZINC000359803251 164146424 /nfs/dbraw/zinc/14/64/24/164146424.db2.gz USSJSFGBGFISIS-YUMQZZPRSA-N -1 1 305.304 1.920 20 0 DDADMM CC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC000408018446 164199784 /nfs/dbraw/zinc/19/97/84/164199784.db2.gz RWVVCZCSQACNSK-SNVBAGLBSA-N -1 1 320.773 1.378 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@@H]1Cc1ccccc1 ZINC000290662877 409479430 /nfs/dbraw/zinc/47/94/30/409479430.db2.gz FVMKQHJGWRMHQU-NWDGAFQWSA-N -1 1 307.375 1.475 20 0 DDADMM CCOC1(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)CCCC1 ZINC000408198533 164257570 /nfs/dbraw/zinc/25/75/70/164257570.db2.gz NGPQRWLXGRXJDO-LLVKDONJSA-N -1 1 309.366 1.831 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@H](O)C1)c1ccc(Br)o1 ZINC000408301970 164290709 /nfs/dbraw/zinc/29/07/09/164290709.db2.gz DLDTUGDXTPQEEL-SFYZADRCSA-N -1 1 324.196 1.481 20 0 DDADMM C[C@H]1CCCN(S(=O)(=O)[N-]c2ccn(CC(F)F)n2)C1 ZINC000408352926 164305480 /nfs/dbraw/zinc/30/54/80/164305480.db2.gz WKCISWYZUSZNDI-VIFPVBQESA-N -1 1 308.354 1.537 20 0 DDADMM Cc1cnc(C(=O)N2CCC(c3ccnn3C)CC2)c([O-])c1 ZINC000408370109 164309806 /nfs/dbraw/zinc/30/98/06/164309806.db2.gz HBZRWZSXXWOVJM-UHFFFAOYSA-N -1 1 300.362 1.849 20 0 DDADMM Cn1ccc2ccc(NC(=O)CNC(=O)c3ncccc3[O-])cc21 ZINC000337948279 409592238 /nfs/dbraw/zinc/59/22/38/409592238.db2.gz WOJQKGONAXWRJI-UHFFFAOYSA-N -1 1 324.340 1.647 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H]1C1CCCC1 ZINC000337946695 409593426 /nfs/dbraw/zinc/59/34/26/409593426.db2.gz UZTHDBGXZURUGQ-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc2cc(F)ccc2s1 ZINC000357069486 409835695 /nfs/dbraw/zinc/83/56/95/409835695.db2.gz BUJOAOGGHPYXNJ-UHFFFAOYSA-N -1 1 303.322 1.973 20 0 DDADMM CCO[C@@H](C)c1ncc(C(=O)NC2(c3nn[n-]n3)CC2)s1 ZINC000357077665 409845398 /nfs/dbraw/zinc/84/53/98/409845398.db2.gz XAQKKXAPRWPHHW-ZETCQYMHSA-N -1 1 308.367 1.173 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1CCSc2ccccc21 ZINC000357077840 409845812 /nfs/dbraw/zinc/84/58/12/409845812.db2.gz OKNALZYGJVEZIW-JTQLQIEISA-N -1 1 301.375 1.585 20 0 DDADMM Cc1ccc(C)c(SCC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000357075656 409846018 /nfs/dbraw/zinc/84/60/18/409846018.db2.gz PTXYTJUNMSQHNT-UHFFFAOYSA-N -1 1 303.391 1.714 20 0 DDADMM O=S(=O)([N-]CC1(O)CCC1)c1ccc(Br)o1 ZINC000332059782 409846935 /nfs/dbraw/zinc/84/69/35/409846935.db2.gz XGSRFBUGMWAQQQ-UHFFFAOYSA-N -1 1 310.169 1.235 20 0 DDADMM Cc1noc([N-]C(=O)c2csc(Nc3ccccn3)n2)n1 ZINC000346185199 409848084 /nfs/dbraw/zinc/84/80/84/409848084.db2.gz XBCZVPNKPTVXAT-UHFFFAOYSA-N -1 1 302.319 1.647 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccc3c(c2)OCO3)CC[C@H]1C(=O)[O-] ZINC000323625842 409919112 /nfs/dbraw/zinc/91/91/12/409919112.db2.gz CYGUWJLYLHITQD-CMPLNLGQSA-N -1 1 320.345 1.396 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@]2(C1)CCCOC2 ZINC000357086236 409860812 /nfs/dbraw/zinc/86/08/12/409860812.db2.gz DPEQPNKKYBBJMH-HNNXBMFYSA-N -1 1 307.419 1.782 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCc1cccc2[nH]ccc21 ZINC000349649588 409899720 /nfs/dbraw/zinc/89/97/20/409899720.db2.gz DFYMFNQDDTYJOI-UHFFFAOYSA-N -1 1 320.374 1.398 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1cccc2[nH]ccc21 ZINC000349649588 409899726 /nfs/dbraw/zinc/89/97/26/409899726.db2.gz DFYMFNQDDTYJOI-UHFFFAOYSA-N -1 1 320.374 1.398 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3nccc(C)n3)no2)o1 ZINC000350563024 409961597 /nfs/dbraw/zinc/96/15/97/409961597.db2.gz IWLYHHKPIFXQOD-UHFFFAOYSA-N -1 1 321.318 1.003 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3nccc(C)n3)no2)co1 ZINC000350549079 409962991 /nfs/dbraw/zinc/96/29/91/409962991.db2.gz HSOVDFOGKAPXGJ-UHFFFAOYSA-N -1 1 321.318 1.003 20 0 DDADMM COc1ccc(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)cc1F ZINC000297760466 409989416 /nfs/dbraw/zinc/98/94/16/409989416.db2.gz OYZXYOWSBZTIEA-UHFFFAOYSA-N -1 1 319.296 1.097 20 0 DDADMM Cc1ccc(F)c(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)c1 ZINC000297837644 410018586 /nfs/dbraw/zinc/01/85/86/410018586.db2.gz WFOAKTCRXZOWLA-UHFFFAOYSA-N -1 1 303.297 1.397 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@H]1C[C@H]1c1ccc(F)cc1 ZINC000297866350 410032040 /nfs/dbraw/zinc/03/20/40/410032040.db2.gz ZEKXJXTYIURDHB-UWVGGRQHSA-N -1 1 303.297 1.046 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)CCn3ccnn3)[nH][n-]2)c1 ZINC000338911055 410052424 /nfs/dbraw/zinc/05/24/24/410052424.db2.gz MMPOZKJIXGUKTF-UHFFFAOYSA-N -1 1 314.324 1.566 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)C[C@H](C)[C@@H]2C)co1 ZINC000354739071 410052890 /nfs/dbraw/zinc/05/28/90/410052890.db2.gz VAQWCZMSGYZGMW-VWYCJHECSA-N -1 1 314.407 1.694 20 0 DDADMM Cc1ccc(OCCC(=O)NC(C)(C)c2nn[n-]n2)cc1C ZINC000354780332 410082861 /nfs/dbraw/zinc/08/28/61/410082861.db2.gz XHTYCAKCRGTWHG-UHFFFAOYSA-N -1 1 303.366 1.637 20 0 DDADMM C[C@@H](CC(=O)NC(C)(C)c1nn[n-]n1)Cc1cccc(F)c1 ZINC000354796692 410092658 /nfs/dbraw/zinc/09/26/58/410092658.db2.gz XGJZKPUCJFSTHB-SNVBAGLBSA-N -1 1 305.357 1.959 20 0 DDADMM CC(C)(NC(=O)COc1cccc2ccccc21)c1nn[n-]n1 ZINC000354804764 410098716 /nfs/dbraw/zinc/09/87/16/410098716.db2.gz PZPSBXSYYYAQQJ-UHFFFAOYSA-N -1 1 311.345 1.783 20 0 DDADMM COc1cccc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332394396 410122730 /nfs/dbraw/zinc/12/27/30/410122730.db2.gz KYQWMPJZBWXPDQ-GFCCVEGCSA-N -1 1 317.345 1.733 20 0 DDADMM CCC1(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCOCC1 ZINC000332436126 410154969 /nfs/dbraw/zinc/15/49/69/410154969.db2.gz GPOOGXKSMGASCJ-UHFFFAOYSA-N -1 1 309.366 1.688 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCO1 ZINC000332446580 410164099 /nfs/dbraw/zinc/16/40/99/410164099.db2.gz KGVPLFPBECSXOI-VXGBXAGGSA-N -1 1 309.366 1.686 20 0 DDADMM Cc1cccc(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)c1 ZINC000332444405 410164368 /nfs/dbraw/zinc/16/43/68/410164368.db2.gz KEQPNYCEVPNKFA-UHFFFAOYSA-N -1 1 303.391 1.221 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc3[nH]cnc3c2)co1 ZINC000339403249 410164711 /nfs/dbraw/zinc/16/47/11/410164711.db2.gz CTNFPCUCQDQPNU-UHFFFAOYSA-N -1 1 320.330 1.316 20 0 DDADMM CNS(=O)(=O)c1ccc(CNc2cc(C)[nH+]c(C)n2)s1 ZINC000298305545 410174861 /nfs/dbraw/zinc/17/48/61/410174861.db2.gz XQAOAZGBEQBDDR-UHFFFAOYSA-N -1 1 312.420 1.675 20 0 DDADMM NC(=O)c1cnc(N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(Cl)c1 ZINC000357688689 410191319 /nfs/dbraw/zinc/19/13/19/410191319.db2.gz UEAAELJNTCFIJZ-ZETCQYMHSA-N -1 1 323.740 1.307 20 0 DDADMM CSC[C@@H]1CCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000298419468 410219491 /nfs/dbraw/zinc/21/94/91/410219491.db2.gz LFWUBEMDEIBHHZ-SHTJFRFBSA-N -1 1 319.434 1.949 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCc1ccc(F)cc1F ZINC000343169222 410203600 /nfs/dbraw/zinc/20/36/00/410203600.db2.gz SUESMXHNKFHVSE-UHFFFAOYSA-N -1 1 321.283 1.112 20 0 DDADMM Cn1[n-]c(CN2C[C@H](c3ccccc3)OCC2(C)C)nc1=O ZINC000329277585 410207527 /nfs/dbraw/zinc/20/75/27/410207527.db2.gz KVGOFMDMWTZUHY-CYBMUJFWSA-N -1 1 302.378 1.461 20 0 DDADMM Cc1cnc(C(=O)NC[C@H]2CCC3(CCOCC3)[C@H]2O)c([O-])c1 ZINC000332729132 410236381 /nfs/dbraw/zinc/23/63/81/410236381.db2.gz SGSCKJAVEKBPBV-DOMZBBRYSA-N -1 1 320.389 1.393 20 0 DDADMM Cn1cc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)ccc1=O ZINC000357777877 410244961 /nfs/dbraw/zinc/24/49/61/410244961.db2.gz LBIJGACPWNHKKK-UHFFFAOYSA-N -1 1 310.313 1.733 20 0 DDADMM CCC(CC)(CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)OC ZINC000298434223 410225195 /nfs/dbraw/zinc/22/51/95/410225195.db2.gz MDSBUGODUUOLLC-UNOMPAQXSA-N -1 1 305.382 1.669 20 0 DDADMM CCCc1nnc([N-]C(=O)c2cnc(C(=O)OC)cn2)s1 ZINC000355165410 410353401 /nfs/dbraw/zinc/35/34/01/410353401.db2.gz RBFTWJBJAMRVNY-UHFFFAOYSA-N -1 1 307.335 1.320 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)CN2CCCC2=O)sc1C ZINC000333124912 410371085 /nfs/dbraw/zinc/37/10/85/410371085.db2.gz HRPRVFSLAOFVBR-MRVPVSSYSA-N -1 1 317.436 1.049 20 0 DDADMM O=C(N=c1nc(-c2cccnc2)[n-]s1)c1[nH]nc2c1CCC2 ZINC000339729045 410421179 /nfs/dbraw/zinc/42/11/79/410421179.db2.gz WKONIOMBYBXRHQ-UHFFFAOYSA-N -1 1 312.358 1.486 20 0 DDADMM CCc1nc2c(s1)[C@@H](N(C)Cc1nc(=O)n(C)[n-]1)CCC2 ZINC000329630862 410390536 /nfs/dbraw/zinc/39/05/36/410390536.db2.gz WJESBFPJYDJTDP-JTQLQIEISA-N -1 1 307.423 1.637 20 0 DDADMM C[C@@H]1CCCCN1C(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000333178669 410405631 /nfs/dbraw/zinc/40/56/31/410405631.db2.gz RLVWPPYSCKKJKO-LLVKDONJSA-N -1 1 307.398 1.091 20 0 DDADMM C[C@H](NCc1nc(=O)n(C)[n-]1)c1nc(C2CCCCC2)no1 ZINC000329683708 410412272 /nfs/dbraw/zinc/41/22/72/410412272.db2.gz TYBXQWJSVGGMCC-VIFPVBQESA-N -1 1 306.370 1.390 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 ZINC000343440034 410455587 /nfs/dbraw/zinc/45/55/87/410455587.db2.gz BHNKMGWNNNTZBV-XCBNKYQSSA-N -1 1 311.704 1.709 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 ZINC000343440034 410455593 /nfs/dbraw/zinc/45/55/93/410455593.db2.gz BHNKMGWNNNTZBV-XCBNKYQSSA-N -1 1 311.704 1.709 20 0 DDADMM Cc1cc(NC(=O)CNC(=O)c2ncccc2[O-])cc(C)c1O ZINC000358581059 410486483 /nfs/dbraw/zinc/48/64/83/410486483.db2.gz OSTRXKNUHUDEGK-UHFFFAOYSA-N -1 1 315.329 1.478 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C)[C@H]1c1ccccc1 ZINC000352056346 410446895 /nfs/dbraw/zinc/44/68/95/410446895.db2.gz BRVKYNHMEABFMA-CABZTGNLSA-N -1 1 315.329 1.730 20 0 DDADMM Cn1[n-]c(CN(CCCO)Cc2cccc(Cl)c2)nc1=O ZINC000347481736 410576489 /nfs/dbraw/zinc/57/64/89/410576489.db2.gz KAIYCHXNBWYUME-UHFFFAOYSA-N -1 1 310.785 1.146 20 0 DDADMM CC(C)[C@@H](Cc1ccc(F)cc1)[N@H+](C)Cc1nc(=O)n(C)[n-]1 ZINC000347490422 410582043 /nfs/dbraw/zinc/58/20/43/410582043.db2.gz VFCYPIXELFNVAO-CQSZACIVSA-N -1 1 306.385 1.947 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCC[C@H]2CCO)sc1C ZINC000330273751 410609962 /nfs/dbraw/zinc/60/99/62/410609962.db2.gz ZQZOZGORUYMXLJ-QWRGUYRKSA-N -1 1 304.437 1.589 20 0 DDADMM CCCN(C)C(=O)CCc1c(C)nc(N2CCOCC2)[n-]c1=O ZINC000330382978 410657086 /nfs/dbraw/zinc/65/70/86/410657086.db2.gz JJYQJMYTCYZVKE-UHFFFAOYSA-N -1 1 322.409 1.128 20 0 DDADMM CC(C)(C(=O)Nc1cccc(-c2n[nH]c(=O)[n-]2)c1)c1cnc[nH]1 ZINC000356020610 410776925 /nfs/dbraw/zinc/77/69/25/410776925.db2.gz GGQHSJDTZDNUMB-UHFFFAOYSA-N -1 1 312.333 1.817 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cc(CC(C)C)n[nH]2)nc1C ZINC000330571512 410788985 /nfs/dbraw/zinc/78/89/85/410788985.db2.gz JFXJNIDCMRFHCY-UHFFFAOYSA-N -1 1 311.411 1.934 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2C(C)(C)C2(C)C)o1 ZINC000359654387 410799238 /nfs/dbraw/zinc/79/92/38/410799238.db2.gz WPBCOQRGTKMTKB-UHFFFAOYSA-N -1 1 300.380 1.352 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCCCS2)o1 ZINC000343954560 410844180 /nfs/dbraw/zinc/84/41/80/410844180.db2.gz HPWFPKXHOFKPMQ-VIFPVBQESA-N -1 1 319.404 1.630 20 0 DDADMM Cc1cccc(OCCC(=O)NC2(c3nn[n-]n3)CC2)c1C ZINC000348280170 410892670 /nfs/dbraw/zinc/89/26/70/410892670.db2.gz QYQCUUWZXNQSNU-UHFFFAOYSA-N -1 1 301.350 1.391 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2C[C@H]2c2ccccc2)o1 ZINC000341142595 410894489 /nfs/dbraw/zinc/89/44/89/410894489.db2.gz HHVLVRPDSHUWTE-RYUDHWBXSA-N -1 1 321.354 1.901 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1COc2ccc(Cl)cc2C1 ZINC000348282155 410896759 /nfs/dbraw/zinc/89/67/59/410896759.db2.gz MACVYKJVNIFTSB-SECBINFHSA-N -1 1 319.752 1.210 20 0 DDADMM CC[C@H]1C[C@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)CC(=O)N1 ZINC000348574784 411023593 /nfs/dbraw/zinc/02/35/93/411023593.db2.gz KYTGGUWFXXXAMD-WDSKDSINSA-N -1 1 305.260 1.067 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC000353490849 411026800 /nfs/dbraw/zinc/02/68/00/411026800.db2.gz LJMLAHFOSRZNCF-WDEREUQCSA-N -1 1 315.377 1.505 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC000353490846 411028034 /nfs/dbraw/zinc/02/80/34/411028034.db2.gz LJMLAHFOSRZNCF-GHMZBOCLSA-N -1 1 315.377 1.505 20 0 DDADMM CCOC(=O)[C@H](C)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331236345 411033587 /nfs/dbraw/zinc/03/35/87/411033587.db2.gz KKSVNPSZMLOPJT-SSDOTTSWSA-N -1 1 306.409 1.237 20 0 DDADMM CCC[C@]1(CO)CCN(C(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000356567281 411091851 /nfs/dbraw/zinc/09/18/51/411091851.db2.gz YFVPCSLAEOSQBC-HNNXBMFYSA-N -1 1 309.435 1.764 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cnc2nccnc2c1 ZINC000353757220 411124830 /nfs/dbraw/zinc/12/48/30/411124830.db2.gz XZXYXKVSIUZTRD-UHFFFAOYSA-N -1 1 309.211 1.414 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)[C@H]1Oc2ccccc2[C@H]1C ZINC000631685610 422880756 /nfs/dbraw/zinc/88/07/56/422880756.db2.gz AXCLVNSNTJMPNV-FTGAXOIBSA-N -1 1 301.350 1.202 20 0 DDADMM COc1ccc([C@@H](NC(=O)CCCc2nn[n-]n2)C2CC2)cc1 ZINC000635221240 422884321 /nfs/dbraw/zinc/88/43/21/422884321.db2.gz CMVYBGMMBIMDMW-INIZCTEOSA-N -1 1 315.377 1.799 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@@H]1[C@H]1CCC[C@H]1O ZINC000580157475 422894786 /nfs/dbraw/zinc/89/47/86/422894786.db2.gz ARGSDHMJHABAGY-MRVWCRGKSA-N -1 1 321.446 1.905 20 0 DDADMM CCN(CC)c1ccc(C[N-]S(=O)(=O)c2conc2C)cn1 ZINC000631758414 422910283 /nfs/dbraw/zinc/91/02/83/422910283.db2.gz ZVAHABSVFJCCDU-UHFFFAOYSA-N -1 1 324.406 1.703 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC[C@H](CO)[C@@H](O)C1 ZINC000649862299 422964783 /nfs/dbraw/zinc/96/47/83/422964783.db2.gz PVUGUONPDVESGR-SKDRFNHKSA-N -1 1 319.279 1.226 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H](C)[C@H](C)CO ZINC000131631478 196186477 /nfs/dbraw/zinc/18/64/77/196186477.db2.gz IKKYFGNFYINRRH-NXEZZACHSA-N -1 1 320.393 1.117 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@@H]1O)c1c(F)cccc1Cl ZINC000132896482 196294240 /nfs/dbraw/zinc/29/42/40/196294240.db2.gz SXSQBJFHPZSWNM-KWQFWETISA-N -1 1 307.774 1.918 20 0 DDADMM O=C(NCc1cn(C2CCC2)nn1)C(=O)c1ccc([O-])cc1 ZINC000640543921 423018821 /nfs/dbraw/zinc/01/88/21/423018821.db2.gz WVOVNRGVGLSXJY-UHFFFAOYSA-N -1 1 300.318 1.208 20 0 DDADMM O=C([O-])CCN(Cc1cn(-c2ccccc2)nn1)CC1CC1 ZINC000652467734 423030209 /nfs/dbraw/zinc/03/02/09/423030209.db2.gz ILCQOWSXLZQYMI-UHFFFAOYSA-N -1 1 300.362 1.954 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCc2ccccc2)c1 ZINC000133848082 196339070 /nfs/dbraw/zinc/33/90/70/196339070.db2.gz HWNLXVOJDVMEHZ-UHFFFAOYSA-N -1 1 323.370 1.977 20 0 DDADMM CC[C@H](NC(=O)c1ccc(CN2CCN(C)CC2)cc1)C(=O)[O-] ZINC000647768558 423038605 /nfs/dbraw/zinc/03/86/05/423038605.db2.gz GHENEVAESUWXBG-HNNXBMFYSA-N -1 1 319.405 1.027 20 0 DDADMM CCCCC(=O)N1CSC[C@@H]1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000647816311 423057663 /nfs/dbraw/zinc/05/76/63/423057663.db2.gz FFBSGCSFARHXQK-SECBINFHSA-N -1 1 312.395 1.454 20 0 DDADMM COc1cc(-c2nc(CCNC(C)=O)no2)cc(Cl)c1[O-] ZINC000350606071 306754050 /nfs/dbraw/zinc/75/40/50/306754050.db2.gz LFRYTXJCHKBVIK-UHFFFAOYSA-N -1 1 311.725 1.783 20 0 DDADMM Cc1nnc([N-][C@H]2CCCCN(CC(=O)OC(C)(C)C)C2=O)o1 ZINC000601252593 416622351 /nfs/dbraw/zinc/62/23/51/416622351.db2.gz NRCFXZDOCYELHY-NSHDSACASA-N -1 1 324.381 1.513 20 0 DDADMM Cc1oc2nc[nH]c(=O)c2c1C(=O)[N-]c1ncn(C(C)C)n1 ZINC000652728349 423109715 /nfs/dbraw/zinc/10/97/15/423109715.db2.gz GWXJYJRUMCFWRC-UHFFFAOYSA-N -1 1 302.294 1.662 20 0 DDADMM C[C@@]1(O)C[C@H]([N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000416651065 225018653 /nfs/dbraw/zinc/01/86/53/225018653.db2.gz BBCRDCXUTJZGLC-KZCWHLCOSA-N -1 1 310.169 1.234 20 0 DDADMM O=c1[nH]nc2ccc(-c3nc(-c4ccc([O-])c(F)c4)no3)cn12 ZINC000350832508 306757824 /nfs/dbraw/zinc/75/78/24/306757824.db2.gz IIHDAUKQYPMRRY-UHFFFAOYSA-N -1 1 313.248 1.997 20 0 DDADMM CCn1cc(CN[C@@]2(C(=O)[O-])CCOc3ccccc32)cn1 ZINC000417571769 225367795 /nfs/dbraw/zinc/36/77/95/225367795.db2.gz UJBBZNLZWMQNGN-INIZCTEOSA-N -1 1 301.346 1.755 20 0 DDADMM CSc1nc(CNC(=O)COc2ccccc2C)cc(=O)[n-]1 ZINC000640658055 423119120 /nfs/dbraw/zinc/11/91/20/423119120.db2.gz LSBHGSXSMLYYNE-UHFFFAOYSA-N -1 1 319.386 1.908 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@H]3C[C@@H]3c3cnn(C)c3)n2)o1 ZINC000366269542 418450769 /nfs/dbraw/zinc/45/07/69/418450769.db2.gz XHROJBYCUSWMNA-MNOVXSKESA-N -1 1 312.333 1.849 20 0 DDADMM NC(=O)CC[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000360586000 418471922 /nfs/dbraw/zinc/47/19/22/418471922.db2.gz WGJXXEBDWQABCT-UHFFFAOYSA-N -1 1 303.192 1.209 20 0 DDADMM CCc1cc(=O)[n-]c(SCCCS(=O)(=O)C(C)C)n1 ZINC000188101560 222005893 /nfs/dbraw/zinc/00/58/93/222005893.db2.gz VVRAJTWNAGUZHZ-UHFFFAOYSA-N -1 1 304.437 1.638 20 0 DDADMM CN(C)c1ccc(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)cn1 ZINC000290907386 222011490 /nfs/dbraw/zinc/01/14/90/222011490.db2.gz GGKCBBMCSZAZOO-UHFFFAOYSA-N -1 1 322.394 1.334 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@@H](C)S1(=O)=O ZINC000291175179 222196238 /nfs/dbraw/zinc/19/62/38/222196238.db2.gz HRIGVERKAGAZJN-RKDXNWHRSA-N -1 1 301.339 1.179 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(Cl)ccc2[O-])C[C@@H](C)S1(=O)=O ZINC000291300425 222296817 /nfs/dbraw/zinc/29/68/17/222296817.db2.gz PWPNFSSDVTVRGW-RKDXNWHRSA-N -1 1 317.794 1.693 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC[C@H](N2CCOCC2)C1 ZINC000382497249 418731087 /nfs/dbraw/zinc/73/10/87/418731087.db2.gz LXNJPSMDHZXIHS-LBPRGKRZSA-N -1 1 308.353 1.468 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Br)cc2[O-])C[C@@H]1O ZINC000387764811 418744390 /nfs/dbraw/zinc/74/43/90/418744390.db2.gz AVCANVBSSKREFH-HQJQHLMTSA-N -1 1 300.152 1.608 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC(n2ccnn2)C1 ZINC000364720307 418804144 /nfs/dbraw/zinc/80/41/44/418804144.db2.gz FUZIGOSHPXUFFC-UHFFFAOYSA-N -1 1 323.150 1.443 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@]2(CC2(F)F)C1 ZINC000650383288 423145075 /nfs/dbraw/zinc/14/50/75/423145075.db2.gz JPBMOALOCQVZIC-AWEZNQCLSA-N -1 1 305.284 1.794 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1C[C@H]1C(F)(F)F ZINC000410664673 418837771 /nfs/dbraw/zinc/83/77/71/418837771.db2.gz SIZHQGPTADWPDZ-HTRCEHHLSA-N -1 1 305.256 1.156 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@H]3C[C@H]3C)CC2)n1 ZINC000373074921 418927161 /nfs/dbraw/zinc/92/71/61/418927161.db2.gz QZZVTHVVJYHXNK-KOLCDFICSA-N -1 1 306.366 1.343 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C(N)=O)C(C)(C)C)c(F)c1 ZINC000425168836 228378959 /nfs/dbraw/zinc/37/89/59/228378959.db2.gz MHWKGPDJMKKANP-LLVKDONJSA-N -1 1 320.361 1.452 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](CO)[C@@H]2CCCO2)c(F)c1 ZINC000425185167 228384542 /nfs/dbraw/zinc/38/45/42/228384542.db2.gz GNQVIOPVPOIYEY-NEPJUHHUSA-N -1 1 321.345 1.091 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cccnc1-n1cccn1)OC ZINC000421222478 419528649 /nfs/dbraw/zinc/52/86/49/419528649.db2.gz CIEZZGWOLASZGM-NSHDSACASA-N -1 1 310.379 1.434 20 0 DDADMM O=C(N=c1ccc([O-])n[nH]1)C1(c2c(F)cccc2F)CCC1 ZINC000421533276 419629660 /nfs/dbraw/zinc/62/96/60/419629660.db2.gz RWFHQISKMZTVMF-UHFFFAOYSA-N -1 1 305.284 1.943 20 0 DDADMM O=C(N=c1ccc(O)n[n-]1)C1(c2c(F)cccc2F)CCC1 ZINC000421533276 419629670 /nfs/dbraw/zinc/62/96/70/419629670.db2.gz RWFHQISKMZTVMF-UHFFFAOYSA-N -1 1 305.284 1.943 20 0 DDADMM CS[C@H](CO)[C@@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000427436677 419658891 /nfs/dbraw/zinc/65/88/91/419658891.db2.gz UUTKJTZBXZQOND-BXKDBHETSA-N -1 1 321.402 1.486 20 0 DDADMM CS[C@H](CO)[C@@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000427436677 419658900 /nfs/dbraw/zinc/65/89/00/419658900.db2.gz UUTKJTZBXZQOND-BXKDBHETSA-N -1 1 321.402 1.486 20 0 DDADMM COC[C@H]1C(=O)N[C@H](c2cccc([O-])c2Br)N1C ZINC000414206215 419809879 /nfs/dbraw/zinc/80/98/79/419809879.db2.gz XZYQAJGPGFLJLG-KWQFWETISA-N -1 1 315.167 1.230 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C)c2noc(COC(C)C)n2)c([O-])c1 ZINC000428158600 419823040 /nfs/dbraw/zinc/82/30/40/419823040.db2.gz RIDCWDCFKUGJRG-SNVBAGLBSA-N -1 1 320.349 1.895 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@@H](CCO)CC1)c2=O ZINC000422074338 419832107 /nfs/dbraw/zinc/83/21/07/419832107.db2.gz NVCSBTIQLJZACB-LLVKDONJSA-N -1 1 318.377 1.299 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](CO)CC(F)F)cc1F ZINC000428415281 419862046 /nfs/dbraw/zinc/86/20/46/419862046.db2.gz ZDZSHEBSDXNFNY-ZETCQYMHSA-N -1 1 315.288 1.568 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2cncc(C)c2)n1 ZINC000415654951 420137913 /nfs/dbraw/zinc/13/79/13/420137913.db2.gz ZALYNNYMOOXFCO-UHFFFAOYSA-N -1 1 302.334 1.861 20 0 DDADMM Cn1cc(C(=O)NC2(c3nn[n-]n3)CC2)c2cc(F)ccc21 ZINC000435851492 420288580 /nfs/dbraw/zinc/28/85/80/420288580.db2.gz OIILXXKDIXENKM-UHFFFAOYSA-N -1 1 300.297 1.250 20 0 DDADMM O=S(=O)([N-]c1cc(-c2nc[nH]n2)ccc1F)c1cn[nH]c1 ZINC000436479192 420331651 /nfs/dbraw/zinc/33/16/51/420331651.db2.gz SZKZRUUQXMALST-UHFFFAOYSA-N -1 1 308.298 1.135 20 0 DDADMM NC(=O)[C@H](Cc1ccccc1)NC(=O)c1cc(F)ccc1[O-] ZINC000436548053 420339989 /nfs/dbraw/zinc/33/99/89/420339989.db2.gz LKFNENYMBNHQIW-ZDUSSCGKSA-N -1 1 302.305 1.358 20 0 DDADMM CSc1nnc(CNC(=O)C(=O)c2ccc([O-])cc2)s1 ZINC000436562525 420340754 /nfs/dbraw/zinc/34/07/54/420340754.db2.gz KPSHNSCDAYPPAZ-UHFFFAOYSA-N -1 1 309.372 1.465 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C(=O)c2ccc([O-])cc2)n1C ZINC000436613999 420344718 /nfs/dbraw/zinc/34/47/18/420344718.db2.gz YVDUHDRPTVUQIN-UHFFFAOYSA-N -1 1 317.301 1.124 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C(C)(C)N2CCOCC2)c1 ZINC000436670819 420353686 /nfs/dbraw/zinc/35/36/86/420353686.db2.gz GGFZXFBTMYYYEV-UHFFFAOYSA-N -1 1 322.361 1.228 20 0 DDADMM CS(=O)(=O)Cc1ccc(NC(=O)c2ccc(O)cc2[O-])cc1 ZINC000436669203 420353825 /nfs/dbraw/zinc/35/38/25/420353825.db2.gz IABMHYQKGXGJCG-UHFFFAOYSA-N -1 1 321.354 1.895 20 0 DDADMM O=C(c1ccc2cc[nH]c2n1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425329001 420353873 /nfs/dbraw/zinc/35/38/73/420353873.db2.gz YJTNFLQRWLHSJA-UHFFFAOYSA-N -1 1 313.317 1.671 20 0 DDADMM O=C(NCc1nc(-c2ccncc2)no1)C(=O)c1ccc([O-])cc1 ZINC000436700868 420356303 /nfs/dbraw/zinc/35/63/03/420356303.db2.gz YBVIEGNOGGNWGQ-UHFFFAOYSA-N -1 1 324.296 1.336 20 0 DDADMM O=C(NCCn1cnnc1C1CC1)c1ccc(Cl)cc1[O-] ZINC000436716414 420357554 /nfs/dbraw/zinc/35/75/54/420357554.db2.gz ARALYIRCVGLPHP-UHFFFAOYSA-N -1 1 306.753 1.945 20 0 DDADMM CS(=O)(=O)C[C@H]1CCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000436713369 420359271 /nfs/dbraw/zinc/35/92/71/420359271.db2.gz QXJUTAWHXYLBDB-VIFPVBQESA-N -1 1 301.339 1.038 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C[C@H]1OC ZINC000436734406 420360662 /nfs/dbraw/zinc/36/06/62/420360662.db2.gz CZCPOERKAAYSDZ-VXGBXAGGSA-N -1 1 319.279 1.897 20 0 DDADMM O=C(NCCCN1CCCCCC1=O)C(=O)c1ccc([O-])cc1 ZINC000436733211 420360834 /nfs/dbraw/zinc/36/08/34/420360834.db2.gz UJOLKMUZYQLNAU-UHFFFAOYSA-N -1 1 318.373 1.484 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416242330 420294284 /nfs/dbraw/zinc/29/42/84/420294284.db2.gz RPZNMJFLWHEGRG-NXEZZACHSA-N -1 1 309.366 1.843 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@H](C)CC(N)=O)[nH][n-]2)c1 ZINC000416250221 420295965 /nfs/dbraw/zinc/29/59/65/420295965.db2.gz CVNJEHVHNKFTEV-SECBINFHSA-N -1 1 304.325 1.396 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cc(Cl)ccc1[O-])c1ccn(C)n1 ZINC000436827498 420371578 /nfs/dbraw/zinc/37/15/78/420371578.db2.gz KBGSXOKWRNEBMI-LBPRGKRZSA-N -1 1 323.736 1.423 20 0 DDADMM CCc1cnccc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000436870365 420379052 /nfs/dbraw/zinc/37/90/52/420379052.db2.gz HOVCUHVXBNOLSR-UHFFFAOYSA-N -1 1 321.358 1.249 20 0 DDADMM O=C(N[C@@H]1COc2ccc(F)cc2C1)C(=O)c1ccc([O-])cc1 ZINC000436927046 420385114 /nfs/dbraw/zinc/38/51/14/420385114.db2.gz NXVDTKHGPZUVDV-ZDUSSCGKSA-N -1 1 315.300 1.834 20 0 DDADMM COCCOCCN(C)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436786649 420365743 /nfs/dbraw/zinc/36/57/43/420365743.db2.gz KBLUVJCQGLPZSZ-UHFFFAOYSA-N -1 1 317.769 1.789 20 0 DDADMM Cn1cnc(CC[N-]S(=O)(=O)c2sccc2Cl)c1 ZINC000416535651 420410095 /nfs/dbraw/zinc/41/00/95/420410095.db2.gz NDWZWOPPBPFEPZ-UHFFFAOYSA-N -1 1 305.812 1.656 20 0 DDADMM C[C@H]1CC[C@@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)O1 ZINC000416534249 420410433 /nfs/dbraw/zinc/41/04/33/420410433.db2.gz LBGJBCVIKVCKQN-YUMQZZPRSA-N -1 1 309.309 1.950 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2cnc(C)o2)o1 ZINC000416550400 420415032 /nfs/dbraw/zinc/41/50/32/420415032.db2.gz QUNZVCVKNYHKKU-UHFFFAOYSA-N -1 1 314.319 1.231 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccsc2)cc1C(N)=O ZINC000438776470 420466221 /nfs/dbraw/zinc/46/62/21/420466221.db2.gz STKNTLVUQRAGNY-UHFFFAOYSA-N -1 1 312.372 1.656 20 0 DDADMM CSc1n[nH]c(NC(=O)CCc2ccccc2C(N)=O)n1 ZINC000471967019 420960712 /nfs/dbraw/zinc/96/07/12/420960712.db2.gz QCJDGHTYVNVUNS-UHFFFAOYSA-N -1 1 305.363 1.197 20 0 DDADMM CC[C@H]1CC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000479544686 420981764 /nfs/dbraw/zinc/98/17/64/420981764.db2.gz KULVQHQIAJGOJH-HAQNSBGRSA-N -1 1 305.378 1.602 20 0 DDADMM Cc1cnc(C(=O)N2CCCC[C@H]2CS(C)(=O)=O)c([O-])c1 ZINC000456204845 421118828 /nfs/dbraw/zinc/11/88/28/421118828.db2.gz WYKOFNJDYFDMNB-NSHDSACASA-N -1 1 312.391 1.135 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)C(C)(C)CNC(=O)OC(C)(C)C ZINC000496090661 421131630 /nfs/dbraw/zinc/13/16/30/421131630.db2.gz NOUQJAZBHOMSPC-NSHDSACASA-N -1 1 318.414 1.602 20 0 DDADMM COc1cc(C=CC(=O)NC(C)(C)c2nn[n-]n2)ccc1F ZINC000492243258 421218366 /nfs/dbraw/zinc/21/83/66/421218366.db2.gz NYYPFJPONCBASX-ALCCZGGFSA-N -1 1 305.313 1.412 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1(C)C ZINC000496362140 421241507 /nfs/dbraw/zinc/24/15/07/421241507.db2.gz MNKXFBYDBJBXEU-VIFPVBQESA-N -1 1 311.386 1.388 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1cnc2ccccc2c1)c1nn[n-]n1 ZINC000525932075 421314220 /nfs/dbraw/zinc/31/42/20/421314220.db2.gz OUGFCOHATFJNKK-LBPRGKRZSA-N -1 1 324.388 1.943 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]2CCCC[C@H]12 ZINC000546789065 421311548 /nfs/dbraw/zinc/31/15/48/421311548.db2.gz BXWRUSSBVUHONV-WZRBSPASSA-N -1 1 315.377 1.458 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1CSc2ccccc21 ZINC000524578967 421262812 /nfs/dbraw/zinc/26/28/12/421262812.db2.gz HDTPPCDCSSIAHV-LLVKDONJSA-N -1 1 315.402 1.975 20 0 DDADMM O=C([C@H]1CCCCCC(=O)C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000562346053 421354768 /nfs/dbraw/zinc/35/47/68/421354768.db2.gz YOPJRILZGIJSND-NWDGAFQWSA-N -1 1 305.382 1.445 20 0 DDADMM CCC[C@H](NC(=O)c1cc(=O)[nH]c(C2CC2)c1)c1nn[n-]n1 ZINC000547779794 421366206 /nfs/dbraw/zinc/36/62/06/421366206.db2.gz RLMZPIRYOFSQAD-JTQLQIEISA-N -1 1 302.338 1.449 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@]1(C)CCc2ccccc2C1)c1nn[n-]n1 ZINC000547810779 421371115 /nfs/dbraw/zinc/37/11/15/421371115.db2.gz NPUXSEDNUBAKFL-YVEFUNNKSA-N -1 1 313.405 1.957 20 0 DDADMM Cn1cncc1CS(=O)(=O)c1ncc(-c2ccc(F)cc2)[n-]1 ZINC000562496258 421373466 /nfs/dbraw/zinc/37/34/66/421373466.db2.gz YBSPHHZOHSTAMC-UHFFFAOYSA-N -1 1 320.349 1.923 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2ccc(F)c(F)c2)[n-]n1 ZINC000527294283 421375289 /nfs/dbraw/zinc/37/52/89/421375289.db2.gz BDXDLZUIBLLEOQ-UHFFFAOYSA-N -1 1 306.316 1.581 20 0 DDADMM CC(C)C(=O)N[C@H]1CCCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000527355372 421380139 /nfs/dbraw/zinc/38/01/39/421380139.db2.gz WTGIJLWMAWPIFJ-NSHDSACASA-N -1 1 315.377 1.335 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1ccccc1-c1cn[nH]c1 ZINC000527369438 421382764 /nfs/dbraw/zinc/38/27/64/421382764.db2.gz RAXFXWWBAXERNX-GFCCVEGCSA-N -1 1 307.375 1.997 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCSC2(CCC2)CC1 ZINC000562782671 421413442 /nfs/dbraw/zinc/41/34/42/421413442.db2.gz RZGLAXLINZXVNA-UHFFFAOYSA-N -1 1 317.436 1.446 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1n[nH]c(SC(C)C)n1)C1CC1 ZINC000514602873 421438457 /nfs/dbraw/zinc/43/84/57/421438457.db2.gz GTGBAUQITQIGGD-SECBINFHSA-N -1 1 320.440 1.472 20 0 DDADMM C[C@@H]1CCN(C(=O)NCc2ccc3cncn3c2)C[C@@H]1C(=O)[O-] ZINC000563078415 421451819 /nfs/dbraw/zinc/45/18/19/421451819.db2.gz ZDTSVAWPKHCXMA-RISCZKNCSA-N -1 1 316.361 1.587 20 0 DDADMM CN(C)C(=O)C1([N-]S(=O)(=O)c2cc3ccccc3o2)CC1 ZINC000563523081 421517026 /nfs/dbraw/zinc/51/70/26/421517026.db2.gz GIKZUNJQPZNONV-UHFFFAOYSA-N -1 1 308.359 1.332 20 0 DDADMM CC(C)(C)OC(=O)C1(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CC1 ZINC000563248710 421477587 /nfs/dbraw/zinc/47/75/87/421477587.db2.gz JMCNWMAGKRRBGU-SNVBAGLBSA-N -1 1 321.381 1.028 20 0 DDADMM O=C([O-])[C@@H]1Cc2c(Br)cccc2CN1CCCO ZINC000563289647 421481809 /nfs/dbraw/zinc/48/18/09/421481809.db2.gz NMZSWTISZJZJSR-LBPRGKRZSA-N -1 1 314.179 1.643 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)c2cccc(C)n2)co1 ZINC000549732297 421506474 /nfs/dbraw/zinc/50/64/74/421506474.db2.gz BFBJQXYMBNQRFQ-UHFFFAOYSA-N -1 1 309.347 1.168 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCC[C@H](F)C1 ZINC000517032298 421590314 /nfs/dbraw/zinc/59/03/14/421590314.db2.gz TUBWJTQPODWZDJ-NWDGAFQWSA-N -1 1 320.368 1.991 20 0 DDADMM Cc1cncc(CCC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000551257182 421529725 /nfs/dbraw/zinc/52/97/25/421529725.db2.gz QUVBDAUSDQABMZ-UHFFFAOYSA-N -1 1 300.366 1.421 20 0 DDADMM C[C@H](C(=O)NC(C)(C)c1nn[n-]n1)c1ccc2c(c1)OCO2 ZINC000551477969 421533173 /nfs/dbraw/zinc/53/31/73/421533173.db2.gz YUNQKKRZFRLUOJ-QMMMGPOBSA-N -1 1 303.322 1.083 20 0 DDADMM Fc1ccc(-c2nc(CN=c3[n-]nc(C(F)F)o3)n[nH]2)cc1 ZINC000516235265 421535378 /nfs/dbraw/zinc/53/53/78/421535378.db2.gz NSUXETQZTAMVKN-UHFFFAOYSA-N -1 1 310.239 1.965 20 0 DDADMM Cc1ccc(CC(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])o1 ZINC000553995063 421629256 /nfs/dbraw/zinc/62/92/56/421629256.db2.gz RURPUDHDOMMDDJ-UHFFFAOYSA-N -1 1 310.331 1.122 20 0 DDADMM O=C([O-])C1(C(=O)NC[C@H]2CCC[N@@H+](Cc3cccs3)C2)CC1 ZINC000554267651 421635620 /nfs/dbraw/zinc/63/56/20/421635620.db2.gz WDEUSUXHBACEMD-GFCCVEGCSA-N -1 1 322.430 1.941 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccon1)C1CCCCC1 ZINC000531533568 421636206 /nfs/dbraw/zinc/63/62/06/421636206.db2.gz SMBPGMYYDTYJDG-LBPRGKRZSA-N -1 1 316.379 1.216 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2ccc(F)c(F)c2)o1 ZINC000532349949 421657786 /nfs/dbraw/zinc/65/77/86/421657786.db2.gz VGKWEFBYVUPASB-ZCFIWIBFSA-N -1 1 303.290 1.696 20 0 DDADMM CO[C@@H](C)c1nc(=NCCC2CCS(=O)(=O)CC2)s[n-]1 ZINC000554686156 421658240 /nfs/dbraw/zinc/65/82/40/421658240.db2.gz IFUMBZZLEANBTF-VIFPVBQESA-N -1 1 319.452 1.294 20 0 DDADMM COc1ccc(NC(=O)NCCCF)cc1[N-]S(C)(=O)=O ZINC000532650081 421663759 /nfs/dbraw/zinc/66/37/59/421663759.db2.gz GAPYWHCBUWEFID-UHFFFAOYSA-N -1 1 319.358 1.548 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000534316090 421690814 /nfs/dbraw/zinc/69/08/14/421690814.db2.gz PKFGIQIEVDKNAB-DOFRTFSJSA-N -1 1 317.363 1.301 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C[C@H]1C(=O)[O-] ZINC000571403922 421713155 /nfs/dbraw/zinc/71/31/55/421713155.db2.gz QCIYWUIJJIGLKV-GXSJLCMTSA-N -1 1 303.318 1.853 20 0 DDADMM O=C(Cc1c[nH]c2ncccc12)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538223389 421737792 /nfs/dbraw/zinc/73/77/92/421737792.db2.gz KWNITVQVJMDQLM-JTQLQIEISA-N -1 1 311.349 1.025 20 0 DDADMM CC[C@@H](C)n1nc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1C ZINC000539790975 421752803 /nfs/dbraw/zinc/75/28/03/421752803.db2.gz NHVORDSGQIZDEO-PWSUYJOCSA-N -1 1 317.397 1.695 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)N=c1ccc(C(F)(F)F)n[n-]1 ZINC000580994855 421878991 /nfs/dbraw/zinc/87/89/91/421878991.db2.gz KGMZFWXSQYDPSP-SECBINFHSA-N -1 1 317.315 1.475 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC1(CO)CCSCC1 ZINC000633401972 421884889 /nfs/dbraw/zinc/88/48/89/421884889.db2.gz ZSMHGFOWSJFTJB-UHFFFAOYSA-N -1 1 315.822 1.962 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc2ccccc2o1)N1CCCCC1 ZINC000559762329 421838038 /nfs/dbraw/zinc/83/80/38/421838038.db2.gz ZZUFUUBFEUHRFQ-UHFFFAOYSA-N -1 1 322.386 1.724 20 0 DDADMM CN(C)[C@H](CNC(=O)NCCCC(=O)[O-])c1cccc(F)c1 ZINC000572718524 421847967 /nfs/dbraw/zinc/84/79/67/421847967.db2.gz HKVUHFKORVFMEF-CYBMUJFWSA-N -1 1 311.357 1.592 20 0 DDADMM CCCCOCCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631811415 421849569 /nfs/dbraw/zinc/84/95/69/421849569.db2.gz DJWBNWMOUICLSQ-UHFFFAOYSA-N -1 1 303.380 1.496 20 0 DDADMM C[C@@H](CN(CC(=O)[O-])Cc1ccc(F)cc1)CS(C)(=O)=O ZINC000572774137 421860130 /nfs/dbraw/zinc/86/01/30/421860130.db2.gz VABYBJBJMGTFRT-NSHDSACASA-N -1 1 317.382 1.393 20 0 DDADMM COc1ccc2nc(CN(C[C@H](C)C(=O)[O-])C3CC3)[nH]c2n1 ZINC000581136961 421908305 /nfs/dbraw/zinc/90/83/05/421908305.db2.gz IWYVIGJTEZODSG-VIFPVBQESA-N -1 1 304.350 1.652 20 0 DDADMM Cc1oc(C)c(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1C ZINC000630222785 421973589 /nfs/dbraw/zinc/97/35/89/421973589.db2.gz DAUIWQLLNCRUTJ-UHFFFAOYSA-N -1 1 308.378 1.826 20 0 DDADMM C[C@](CNC(=O)[C@H]1CCCN1C1CC1)(C(=O)[O-])c1ccccc1 ZINC000630172144 421937312 /nfs/dbraw/zinc/93/73/12/421937312.db2.gz ZNYATOKINWNWLH-CRAIPNDOSA-N -1 1 316.401 1.772 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CC[C@@](C(=O)[O-])(C(F)(F)F)C1 ZINC000630185609 421945244 /nfs/dbraw/zinc/94/52/44/421945244.db2.gz DRTQWDFXDNPHEM-PRHODGIISA-N -1 1 319.283 1.454 20 0 DDADMM CCC[C@H](C(=O)[O-])n1ccc(NC(=O)[C@@H](C)Cc2cnc[nH]2)n1 ZINC000630208243 421960290 /nfs/dbraw/zinc/96/02/90/421960290.db2.gz VFDOWCOBUAUGLB-CMPLNLGQSA-N -1 1 319.365 1.271 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H](C)c1ncc(C(=O)[O-])s1 ZINC000630220817 421971025 /nfs/dbraw/zinc/97/10/25/421971025.db2.gz XEFIRTJHRGELLT-YUMQZZPRSA-N -1 1 308.363 1.620 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCCOC[C@@H]1CCOC1 ZINC000630349010 422037789 /nfs/dbraw/zinc/03/77/89/422037789.db2.gz QOVCGNPFOPSWNZ-LLVKDONJSA-N -1 1 321.402 1.893 20 0 DDADMM CN(C)CCn1cc(C(=O)N=c2nc(C3(C)CC3)[n-]s2)cn1 ZINC000633673821 422041583 /nfs/dbraw/zinc/04/15/83/422041583.db2.gz VNZXUXZOWFUNLY-UHFFFAOYSA-N -1 1 320.422 1.022 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1cc(-c2cccnc2)on1 ZINC000581464050 421976834 /nfs/dbraw/zinc/97/68/34/421976834.db2.gz YIGSQUPUAFZNBQ-UHFFFAOYSA-N -1 1 313.273 1.499 20 0 DDADMM CCOc1cccnc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630227114 421978399 /nfs/dbraw/zinc/97/83/99/421978399.db2.gz IEMJQDNGZBCQDN-UHFFFAOYSA-N -1 1 321.377 1.101 20 0 DDADMM CSc1cccnc1[N-]C(=O)c1cn(C[C@@H]2CCOC2)nn1 ZINC000628003879 421993607 /nfs/dbraw/zinc/99/36/07/421993607.db2.gz WYBHZZNCIANDNP-JTQLQIEISA-N -1 1 319.390 1.684 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CC[C@H](C(=O)[O-])[C@H]2CCC[C@H]21 ZINC000630282007 422004300 /nfs/dbraw/zinc/00/43/00/422004300.db2.gz GWIXLLADRUINBP-RUZUBIRVSA-N -1 1 305.378 1.690 20 0 DDADMM O=S(=O)([N-][C@H]1CCCSC1)c1c[nH]nc1C(F)(F)F ZINC000632069466 422065899 /nfs/dbraw/zinc/06/58/99/422065899.db2.gz VWXVLUFPXCDYNE-LURJTMIESA-N -1 1 315.342 1.602 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](CO)c2sccc2C)sn1 ZINC000632073238 422071210 /nfs/dbraw/zinc/07/12/10/422071210.db2.gz WLIZYWYVUBTHHA-VIFPVBQESA-N -1 1 318.445 1.833 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3OCC[C@H]32)sn1 ZINC000632103341 422089009 /nfs/dbraw/zinc/08/90/09/422089009.db2.gz QFUBSBISQJYEDN-AXFHLTTASA-N -1 1 302.421 1.687 20 0 DDADMM CN(C)[C@H](C(=O)NC1(c2nn[n-]n2)CC1)c1ccc(Cl)cc1 ZINC000574289718 422090763 /nfs/dbraw/zinc/09/07/63/422090763.db2.gz IQXDZSBELIKAPT-NSHDSACASA-N -1 1 320.784 1.261 20 0 DDADMM CC[C@@H](C(=O)N=c1[nH][n-]c(C)c1C(=O)NC)c1ccccc1 ZINC000633691289 422053566 /nfs/dbraw/zinc/05/35/66/422053566.db2.gz HZICOVJLAFOMKZ-GFCCVEGCSA-N -1 1 300.362 1.632 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccc(C(F)F)o1)NCC1CC1 ZINC000632188631 422150613 /nfs/dbraw/zinc/15/06/13/422150613.db2.gz RPKREEROZBNEOG-UHFFFAOYSA-N -1 1 308.306 1.022 20 0 DDADMM CCOC1CC(CC[N-]S(=O)(=O)c2cc(C)ns2)C1 ZINC000632266664 422208807 /nfs/dbraw/zinc/20/88/07/422208807.db2.gz HYEZXYLUMUZYLA-UHFFFAOYSA-N -1 1 304.437 1.935 20 0 DDADMM CSc1cc(C)ccc1CNC(=O)CCCc1nn[n-]n1 ZINC000635669289 422222388 /nfs/dbraw/zinc/22/23/88/422222388.db2.gz BRFHHEXUVRNJRI-UHFFFAOYSA-N -1 1 305.407 1.869 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)Cc2cnccc2C)c1 ZINC000632294971 422227905 /nfs/dbraw/zinc/22/79/05/422227905.db2.gz YFGLSFSYUNKHQB-UHFFFAOYSA-N -1 1 322.386 1.925 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1nc2ccccc2s1 ZINC000630578003 422195041 /nfs/dbraw/zinc/19/50/41/422195041.db2.gz CQHUOOGSDXFMTB-UHFFFAOYSA-N -1 1 302.363 1.101 20 0 DDADMM COc1cccc([C@H](CNC(=O)[C@@H](C(=O)[O-])C(C)C)N(C)C)c1 ZINC000575128016 422264290 /nfs/dbraw/zinc/26/42/90/422264290.db2.gz XVTQBIOLAHKKFU-GJZGRUSLSA-N -1 1 322.405 1.771 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)OC ZINC000632348191 422267554 /nfs/dbraw/zinc/26/75/54/422267554.db2.gz FTOGCKYZUUEYMJ-ZCFIWIBFSA-N -1 1 301.290 1.132 20 0 DDADMM COc1ccc(NC(=O)CCc2nn[n-]n2)c(C(F)(F)F)c1 ZINC000630787432 422335920 /nfs/dbraw/zinc/33/59/20/422335920.db2.gz QUHGHLUBTQUKEX-UHFFFAOYSA-N -1 1 315.255 1.798 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](O)C1)c1sccc1Br ZINC000632430717 422337506 /nfs/dbraw/zinc/33/75/06/422337506.db2.gz UNAITYYMLAWAOG-OLQVQODUSA-N -1 1 312.210 1.312 20 0 DDADMM Cc1cccc(CO[C@@H](C)C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000632436819 422343197 /nfs/dbraw/zinc/34/31/97/422343197.db2.gz OHOLAKRDRBRCPS-STQMWFEESA-N -1 1 317.393 1.675 20 0 DDADMM COc1cccc2c1CCN(C(=O)CCCc1nn[n-]n1)C2 ZINC000635788524 422343714 /nfs/dbraw/zinc/34/37/14/422343714.db2.gz CJIIUAMYJFTXMG-UHFFFAOYSA-N -1 1 301.350 1.116 20 0 DDADMM CN1CC[C@H](C[N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1=O ZINC000632481872 422380965 /nfs/dbraw/zinc/38/09/65/422380965.db2.gz JYSHVCCRATTYLM-QMMMGPOBSA-N -1 1 322.333 1.364 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H](CC(C)C)OC)c1 ZINC000632468366 422370815 /nfs/dbraw/zinc/37/08/15/422370815.db2.gz SUPGTBDKJJFZAN-GFCCVEGCSA-N -1 1 317.407 1.740 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1ccc2c(n1)CCCC2 ZINC000635860424 422416107 /nfs/dbraw/zinc/41/61/07/422416107.db2.gz FOMFBHAIXHAEAL-UHFFFAOYSA-N -1 1 300.366 1.113 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCO[C@@H](c2ccc(F)cc2F)C1 ZINC000632582452 422452065 /nfs/dbraw/zinc/45/20/65/422452065.db2.gz UAOJCEWAFUFGNY-GFCCVEGCSA-N -1 1 323.303 1.011 20 0 DDADMM C[C@@]12CCC(=O)N1[C@@H](C(=O)Nc1cccc(F)c1[O-])CS2 ZINC000628961006 422422442 /nfs/dbraw/zinc/42/24/42/422422442.db2.gz LHTNQSUBRAJVTE-QMTHXVAHSA-N -1 1 310.350 1.924 20 0 DDADMM CO[C@@H](CNC(=O)c1c(F)ccc([O-])c1F)[C@H]1CCOC1 ZINC000617129142 422520106 /nfs/dbraw/zinc/52/01/06/422520106.db2.gz CDALTPXLUGBUCT-KWQFWETISA-N -1 1 301.289 1.452 20 0 DDADMM C[C@@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)[C@H](C(N)=O)C1 ZINC000632583517 422453160 /nfs/dbraw/zinc/45/31/60/422453160.db2.gz FWDYGDDRSIGINM-SKDRFNHKSA-N -1 1 310.781 1.701 20 0 DDADMM O=S(=O)([N-][C@@H]1COCC[C@H]1O)c1cc2cc(F)ccc2o1 ZINC000632707261 422532634 /nfs/dbraw/zinc/53/26/34/422532634.db2.gz JGAQASSRMLMHDZ-GHMZBOCLSA-N -1 1 315.322 1.000 20 0 DDADMM O=C(N[C@H]1CCOC[C@H]1O)c1ccc(C(F)(F)F)cc1[O-] ZINC000629247579 422559128 /nfs/dbraw/zinc/55/91/28/422559128.db2.gz YOIXUUQWZADDSR-GXSJLCMTSA-N -1 1 305.252 1.291 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)c1ccc(C)cn1 ZINC000625416376 422560655 /nfs/dbraw/zinc/56/06/55/422560655.db2.gz FBWYBYRDBLPBGP-UHFFFAOYSA-N -1 1 324.406 1.525 20 0 DDADMM CNC(=O)[C@]1(C)CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000632839504 422619436 /nfs/dbraw/zinc/61/94/36/422619436.db2.gz WFFBWPGCUJFCCH-OAHLLOKOSA-N -1 1 310.781 1.573 20 0 DDADMM CCCC(=O)NCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629374049 422635961 /nfs/dbraw/zinc/63/59/61/422635961.db2.gz OVVSGBWYSPGUGB-UHFFFAOYSA-N -1 1 302.334 1.433 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2ccccc2-n2ccnn2)CCC1 ZINC000634787087 422646551 /nfs/dbraw/zinc/64/65/51/422646551.db2.gz ISBSZIWHBVHOMP-UHFFFAOYSA-N -1 1 322.390 1.578 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2cnc(CC(C)C)nc2)CCC1 ZINC000634792604 422650062 /nfs/dbraw/zinc/65/00/62/422650062.db2.gz ZCWCPHNJVLJNHO-UHFFFAOYSA-N -1 1 313.423 1.986 20 0 DDADMM CC(C)c1nc(=NC[C@H]2CS(=O)(=O)c3ccccc32)o[n-]1 ZINC000579076175 422700559 /nfs/dbraw/zinc/70/05/59/422700559.db2.gz ZLPSBRNFUXIMTP-JTQLQIEISA-N -1 1 307.375 1.598 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(Cc2cn3ccsc3n2)C1 ZINC000579133105 422714677 /nfs/dbraw/zinc/71/46/77/422714677.db2.gz FAWCHVUQAHNMQD-AWEZNQCLSA-N -1 1 309.391 1.709 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCO[C@@H](Cn2ccnn2)C1 ZINC000636224716 422727654 /nfs/dbraw/zinc/72/76/54/422727654.db2.gz NHKNOBXKCBTXRD-LLVKDONJSA-N -1 1 322.752 1.178 20 0 DDADMM O=C([O-])[C@H]1CCCC[C@H]1C(=O)NCc1ccc2cncn2c1 ZINC000634841708 422678075 /nfs/dbraw/zinc/67/80/75/422678075.db2.gz VLJNWIIQUPAXSP-KGLIPLIRSA-N -1 1 301.346 1.842 20 0 DDADMM O=C(N[C@H](CO)CCF)c1cc(Br)ccc1[O-] ZINC000652921089 423216254 /nfs/dbraw/zinc/21/62/54/423216254.db2.gz NOCKYYIKQUEELR-QMMMGPOBSA-N -1 1 306.131 1.605 20 0 DDADMM C[C@@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)n1ccnc1 ZINC000653017179 423260474 /nfs/dbraw/zinc/26/04/74/423260474.db2.gz QKJPWRINGMBIPV-SMDDNHRTSA-N -1 1 311.349 1.253 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@H]2CCc3[nH]cnc3C2)C[C@@H]1C(=O)[O-] ZINC000646158171 423372601 /nfs/dbraw/zinc/37/26/01/423372601.db2.gz HTQYDSZDOYZTNA-VWYCJHECSA-N -1 1 306.366 1.019 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc2c(c1)NC(=O)CS2 ZINC000646412114 423479430 /nfs/dbraw/zinc/47/94/30/423479430.db2.gz BZNSLEZUIHLIJI-UHFFFAOYSA-N -1 1 304.331 1.720 20 0 DDADMM CN1CC[C@@H](C(=O)Nc2ccc([O-])c(Cl)c2)S1(=O)=O ZINC000648837050 423492121 /nfs/dbraw/zinc/49/21/21/423492121.db2.gz ZUOVHZRTXWGXPH-JTQLQIEISA-N -1 1 304.755 1.018 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@@H]3C[C@@H]3C3CCCC3)CC2)s[n-]1 ZINC000651467561 423553922 /nfs/dbraw/zinc/55/39/22/423553922.db2.gz OAOKIDXPRPDGJT-VXGBXAGGSA-N -1 1 321.450 1.426 20 0 DDADMM CC1(C(N)=O)CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000648954126 423564762 /nfs/dbraw/zinc/56/47/62/423564762.db2.gz GYHCWCUWOWRTCW-UHFFFAOYSA-N -1 1 302.252 1.358 20 0 DDADMM NS(=O)(=O)CCCCCC(=O)Nc1c([O-])cccc1F ZINC000651586352 423604847 /nfs/dbraw/zinc/60/48/47/423604847.db2.gz YRXUFFSPFLDMRA-UHFFFAOYSA-N -1 1 304.343 1.319 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1C[C@@H]1c1ccccc1 ZINC000648983926 423572785 /nfs/dbraw/zinc/57/27/85/423572785.db2.gz WFYCQRKKSSTPMM-GHMZBOCLSA-N -1 1 315.329 1.430 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(F)cc2ccncc21)c1nn[n-]n1 ZINC000651721182 423657181 /nfs/dbraw/zinc/65/71/81/423657181.db2.gz WCQLWMSFEUQVNE-VIFPVBQESA-N -1 1 314.324 1.763 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCO[C@H]2CCCCO2)sn1 ZINC000641504990 423680720 /nfs/dbraw/zinc/68/07/20/423680720.db2.gz WAHRGNZFYHGWSJ-JTQLQIEISA-N -1 1 306.409 1.273 20 0 DDADMM N=c1[n-]nc([C@H]2CCCN(C[C@H]3COc4ccccc4O3)C2)o1 ZINC000639818728 423756236 /nfs/dbraw/zinc/75/62/36/423756236.db2.gz CKZFYDBKSWNJEE-RYUDHWBXSA-N -1 1 316.361 1.502 20 0 DDADMM N=c1[n-]nc([C@@H]2CCCN([C@@H]3C[C@@H]4CCCC[C@H]4NC3=O)C2)o1 ZINC000639822367 423760187 /nfs/dbraw/zinc/76/01/87/423760187.db2.gz NWYJBDGMIMPGNK-UMSGYPCISA-N -1 1 319.409 1.109 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@@H](OC)C(C)C)c(O)c1 ZINC000647131069 423769028 /nfs/dbraw/zinc/76/90/28/423769028.db2.gz LWHXADVPJAZQCX-CYBMUJFWSA-N -1 1 303.380 1.814 20 0 DDADMM CC(C)C[C@H](CNC(=O)N[C@@H]1CCc2nc[nH]c2C1)CC(=O)[O-] ZINC000659404123 423800832 /nfs/dbraw/zinc/80/08/32/423800832.db2.gz BNOPYQFPFTZIMY-NWDGAFQWSA-N -1 1 322.409 1.703 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]C(C)(C)C(F)F)[C@H]1CCOC1 ZINC000656976592 423948753 /nfs/dbraw/zinc/94/87/53/423948753.db2.gz KINOOHHSDZUZJO-IUCAKERBSA-N -1 1 301.355 1.001 20 0 DDADMM O=C([C@H]1C[C@H]2CC(=O)[C@@H]1C2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887595 424016234 /nfs/dbraw/zinc/01/62/34/424016234.db2.gz PIUIQVLWXPRBHX-GDPRMGEGSA-N -1 1 305.334 1.096 20 0 DDADMM CCN1CC[C@H]([N-]S(=O)(=O)c2c(F)cc(C)cc2F)C1=O ZINC000644861385 423988785 /nfs/dbraw/zinc/98/87/85/423988785.db2.gz FNENNQULWQHHME-NSHDSACASA-N -1 1 318.345 1.172 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)nn1 ZINC000657121648 424131242 /nfs/dbraw/zinc/13/12/42/424131242.db2.gz PJUKRGIVAMAMNU-UHFFFAOYSA-N -1 1 320.296 1.194 20 0 DDADMM CCOc1cc(C(=O)NC[C@](C)(CO)OC)cc(Cl)c1[O-] ZINC000655206394 424292261 /nfs/dbraw/zinc/29/22/61/424292261.db2.gz OUURDKRTXRHZTB-CQSZACIVSA-N -1 1 317.769 1.572 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCC[C@@]1(O)CCCOC1 ZINC000640336871 424357434 /nfs/dbraw/zinc/35/74/34/424357434.db2.gz CKRVCMCEKILJEK-HNNXBMFYSA-N -1 1 321.402 1.771 20 0 DDADMM CO[C@@H](CNC(=O)N=c1[n-]sc2ccccc21)[C@@H]1CCOC1 ZINC000640338247 424360067 /nfs/dbraw/zinc/36/00/67/424360067.db2.gz UKHBTCYFCFVFKY-PWSUYJOCSA-N -1 1 321.402 1.891 20 0 DDADMM C[C@H](O)C[C@H]1COCCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640339142 424361220 /nfs/dbraw/zinc/36/12/20/424361220.db2.gz MBPQFHRXQMMSKB-QWRGUYRKSA-N -1 1 321.402 1.722 20 0 DDADMM O=C(CCCNC(=O)N=c1[n-]sc2ccccc21)NC1CC1 ZINC000640340866 424363509 /nfs/dbraw/zinc/36/35/09/424363509.db2.gz AUAOLDWPTCZUQC-UHFFFAOYSA-N -1 1 318.402 1.899 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)Cc2csc(C)n2)on1 ZINC000660011725 424456692 /nfs/dbraw/zinc/45/66/92/424456692.db2.gz JBBHTTLGVNEWRD-UHFFFAOYSA-N -1 1 303.365 1.068 20 0 DDADMM O=C([O-])CN1CC[C@H](NC2(c3cccc(F)c3)CCC2)C1=O ZINC000662213479 424478280 /nfs/dbraw/zinc/47/82/80/424478280.db2.gz YIRDIBMYPBXLPQ-ZDUSSCGKSA-N -1 1 306.337 1.480 20 0 DDADMM C[C@@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@H](C)O1 ZINC000664405471 424578097 /nfs/dbraw/zinc/57/80/97/424578097.db2.gz KYZTZHACFVNHJR-MNOVXSKESA-N -1 1 301.346 1.563 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](NC(=O)N[C@H]2CCC[N@H+]3CCCC[C@@H]23)C1 ZINC000655880190 424690044 /nfs/dbraw/zinc/69/00/44/424690044.db2.gz UDXSFZGOSFTLLR-RFGFWPKPSA-N -1 1 309.410 1.556 20 0 DDADMM CCc1nc([C@H](C)NC(=O)NC[C@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665322453 424782997 /nfs/dbraw/zinc/78/29/97/424782997.db2.gz DWOWSFRMJCBZIW-WPRPVWTQSA-N -1 1 309.370 1.228 20 0 DDADMM CCOC(=O)C(C)(C)N(C)C(=O)c1csc(=NC2CC2)[n-]1 ZINC000341497840 271095902 /nfs/dbraw/zinc/09/59/02/271095902.db2.gz LOUBSMFOHQCQSV-UHFFFAOYSA-N -1 1 311.407 1.553 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1cc(Cl)ccc1F)C(=O)OC ZINC000341756870 271200994 /nfs/dbraw/zinc/20/09/94/271200994.db2.gz GIJIDHYATSQJGD-NSHDSACASA-N -1 1 323.773 1.850 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cnn(CC(F)F)c1)C(F)(F)F ZINC000341882575 271230146 /nfs/dbraw/zinc/23/01/46/271230146.db2.gz IVZYWLQFYLDLRI-RXMQYKEDSA-N -1 1 307.244 1.377 20 0 DDADMM CCOc1cccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000345480137 272260903 /nfs/dbraw/zinc/26/09/03/272260903.db2.gz NWOSAIYAUVLNLY-UHFFFAOYSA-N -1 1 301.350 1.798 20 0 DDADMM CC1(C)C(=O)NCCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000182031636 278178696 /nfs/dbraw/zinc/17/86/96/278178696.db2.gz UBFIKQALVGCYBW-UHFFFAOYSA-N -1 1 316.279 1.762 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CN(c3ccc(F)cc3)C2=O)c([O-])c1 ZINC000428049758 279009566 /nfs/dbraw/zinc/00/95/66/279009566.db2.gz UNHGBYCMZZTKFQ-GFCCVEGCSA-N -1 1 315.304 1.380 20 0 DDADMM CC(C)N(C(=O)c1ccc2n[n-]c(=S)n2c1)[C@H]1CCOC1 ZINC000293005453 279315236 /nfs/dbraw/zinc/31/52/36/279315236.db2.gz ZOERZZIJBAEEJO-NSHDSACASA-N -1 1 306.391 1.658 20 0 DDADMM CC(C)[C@H](C)NC(=O)[C@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000275313160 280222132 /nfs/dbraw/zinc/22/21/32/280222132.db2.gz NTROBRGZQZYMOD-WDEREUQCSA-N -1 1 323.418 1.463 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)Nc2ccc(C)c(C)c2)n[n-]1 ZINC000086170490 281015541 /nfs/dbraw/zinc/01/55/41/281015541.db2.gz VRRZATWEXQYSHB-UHFFFAOYSA-N -1 1 322.390 1.396 20 0 DDADMM C[C@H](CCC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000123574893 281173622 /nfs/dbraw/zinc/17/36/22/281173622.db2.gz KKNRBAVBTRSROA-SNVBAGLBSA-N -1 1 322.390 1.731 20 0 DDADMM C[C@H](CCC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000123574893 281173623 /nfs/dbraw/zinc/17/36/23/281173623.db2.gz KKNRBAVBTRSROA-SNVBAGLBSA-N -1 1 322.390 1.731 20 0 DDADMM O=C(c1cccc(-n2ccnc2)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129448081 281345286 /nfs/dbraw/zinc/34/52/86/281345286.db2.gz XPLARBCZZXTNHN-CYBMUJFWSA-N -1 1 323.360 1.405 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC[C@H]1CN1CCOCC1 ZINC000154939145 281804601 /nfs/dbraw/zinc/80/46/01/281804601.db2.gz XMDCSITXXTWRDF-LBPRGKRZSA-N -1 1 308.353 1.468 20 0 DDADMM CCNC(=O)[C@H](C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000361729875 299926621 /nfs/dbraw/zinc/92/66/21/299926621.db2.gz QLJZKSLNHVKLIJ-ZETCQYMHSA-N -1 1 308.762 1.282 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1CCC[C@H]2OCC[C@@H]21 ZINC000332937486 298299756 /nfs/dbraw/zinc/29/97/56/298299756.db2.gz BJBMILOAPLZEMD-GMTAPVOTSA-N -1 1 323.418 1.900 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)NCC[C@@H](C)F ZINC000356658015 298842364 /nfs/dbraw/zinc/84/23/64/298842364.db2.gz UNYUIFITIYFWNR-SECBINFHSA-N -1 1 319.340 1.354 20 0 DDADMM C[C@H]1CC[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000356766100 298869334 /nfs/dbraw/zinc/86/93/34/298869334.db2.gz WASAZKDSSFRYFV-UWVGGRQHSA-N -1 1 314.407 1.885 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@](C)(O)C1CCC1 ZINC000362849955 300159556 /nfs/dbraw/zinc/15/95/56/300159556.db2.gz IZKPGUKOUQTXTF-MRXNPFEDSA-N -1 1 318.377 1.219 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@](O)(c2ccc(F)cc2)C1 ZINC000367704960 300873168 /nfs/dbraw/zinc/87/31/68/300873168.db2.gz MBLPBTRCZFYMOY-INIZCTEOSA-N -1 1 302.305 1.660 20 0 DDADMM Cc1ccccc1C(=O)N1CCCC[C@H]1C(=O)Nc1nnn[n-]1 ZINC000368577298 301056265 /nfs/dbraw/zinc/05/62/65/301056265.db2.gz UJJXXUZDRIOLRX-LBPRGKRZSA-N -1 1 314.349 1.142 20 0 DDADMM Cc1ccccc1C(=O)N1CCCC[C@H]1C(=O)Nc1nn[n-]n1 ZINC000368577298 301056266 /nfs/dbraw/zinc/05/62/66/301056266.db2.gz UJJXXUZDRIOLRX-LBPRGKRZSA-N -1 1 314.349 1.142 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H]2CCN(c3ccn(C)n3)C2=O)n1 ZINC000368915995 301105651 /nfs/dbraw/zinc/10/56/51/301105651.db2.gz WDNCIULKBRSOHA-SNVBAGLBSA-N -1 1 319.390 1.376 20 0 DDADMM NC(=O)[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@H]1C(F)(F)F ZINC000375476114 301944353 /nfs/dbraw/zinc/94/43/53/301944353.db2.gz MWIFOQXMMTYRPD-RKDXNWHRSA-N -1 1 320.242 1.267 20 0 DDADMM O=C(Nc1cccc(-c2n[nH]c(=O)[n-]2)c1)[C@@H]1CCCc2cn[nH]c21 ZINC000377163584 302150373 /nfs/dbraw/zinc/15/03/73/302150373.db2.gz BUDWKPGVPKSKCU-GFCCVEGCSA-N -1 1 324.344 1.959 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2[C@@H]2CCCC[C@@H]2O)c([O-])c1 ZINC000408064569 302333278 /nfs/dbraw/zinc/33/32/78/302333278.db2.gz LCUKPJKPVPSQLV-MJBXVCDLSA-N -1 1 320.389 1.488 20 0 DDADMM COc1ccc(C[C@H]2CCCN(CC(=O)NCC(=O)[O-])C2)cc1 ZINC000378578178 302339052 /nfs/dbraw/zinc/33/90/52/302339052.db2.gz DBPNSVPCLPPBDK-CQSZACIVSA-N -1 1 320.389 1.151 20 0 DDADMM C[C@@H](CCO)CC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000397653712 302355771 /nfs/dbraw/zinc/35/57/71/302355771.db2.gz GDSNLSZDHSQPBZ-MRVPVSSYSA-N -1 1 311.325 1.791 20 0 DDADMM O=C(NC[C@@H]1CNC(=O)C1)c1cc(Br)ccc1[O-] ZINC000398307251 302357918 /nfs/dbraw/zinc/35/79/18/302357918.db2.gz QALMDHHDYQZPME-ZETCQYMHSA-N -1 1 313.151 1.021 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CCn2nncc2C1 ZINC000517585166 302815831 /nfs/dbraw/zinc/81/58/31/302815831.db2.gz CHLCXXKAEFARMC-UHFFFAOYSA-N -1 1 323.150 1.402 20 0 DDADMM COCc1n[n-]c(=NC[C@H](c2ccco2)N2CCCC2)s1 ZINC000357054049 306868518 /nfs/dbraw/zinc/86/85/18/306868518.db2.gz LRTIBOQPQAVADD-LLVKDONJSA-N -1 1 308.407 1.948 20 0 DDADMM CC(C)Cc1n[n-]c(=NC(=O)N2CCNC[C@@H]2C(C)C)s1 ZINC000528664055 303054254 /nfs/dbraw/zinc/05/42/54/303054254.db2.gz ILOKYLFUVPBAEJ-LLVKDONJSA-N -1 1 311.455 1.620 20 0 DDADMM COc1ccc(O)c(C(=O)N=c2nc(C3(C)CC3)[n-]s2)c1 ZINC000529704913 303145654 /nfs/dbraw/zinc/14/56/54/303145654.db2.gz WNLXJMSOFTWJNY-UHFFFAOYSA-N -1 1 305.359 1.978 20 0 DDADMM CC(C)(CNC(=O)c1c([O-])cccc1F)C(=O)N1CCCC1 ZINC000530084804 303174048 /nfs/dbraw/zinc/17/40/48/303174048.db2.gz IMXLJEDGACSIPJ-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2c3ccccc3C[C@@H]2O)sc1C ZINC000530427497 303197016 /nfs/dbraw/zinc/19/70/16/303197016.db2.gz SFYXOUDWRNJSIZ-STQMWFEESA-N -1 1 324.427 1.697 20 0 DDADMM O=C([C@@H]1CC(=O)c2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000530507302 303200480 /nfs/dbraw/zinc/20/04/80/303200480.db2.gz SAGVIUYCWZCXEP-ZWNOBZJWSA-N -1 1 311.345 1.276 20 0 DDADMM CC(C)CCOCCNC(=O)CN1CCC[C@H](CC(=O)[O-])C1 ZINC000532880831 303300475 /nfs/dbraw/zinc/30/04/75/303300475.db2.gz ATMCGFGIUJLSFQ-CQSZACIVSA-N -1 1 314.426 1.352 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2c(n1)CCCC2)c1nn[n-]n1 ZINC000533087437 303307824 /nfs/dbraw/zinc/30/78/24/303307824.db2.gz KISITPTXYFUSPT-LBPRGKRZSA-N -1 1 300.366 1.745 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1F ZINC000539323671 303394678 /nfs/dbraw/zinc/39/46/78/303394678.db2.gz LKONPGGCRHYRCN-LLVKDONJSA-N -1 1 318.356 1.425 20 0 DDADMM CCn1nc(C(C)C)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000539325205 303394788 /nfs/dbraw/zinc/39/47/88/303394788.db2.gz TWHUVAXUANABFJ-NSHDSACASA-N -1 1 317.397 1.559 20 0 DDADMM C[C@H](CC1CCCCC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000559223971 303788192 /nfs/dbraw/zinc/78/81/92/303788192.db2.gz GKZYGVJZEIQTIC-SNVBAGLBSA-N -1 1 303.366 1.506 20 0 DDADMM CCc1nc(SCCN2C(=O)NC(C)(C)C2=O)[n-]c(=O)c1C ZINC000563438610 303953688 /nfs/dbraw/zinc/95/36/88/303953688.db2.gz CFGNIZKWMCBTTI-UHFFFAOYSA-N -1 1 324.406 1.476 20 0 DDADMM O=C(CCCc1cccs1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370849303 307138322 /nfs/dbraw/zinc/13/83/22/307138322.db2.gz CQVHXZNYENEZKY-NSHDSACASA-N -1 1 307.379 1.184 20 0 DDADMM O=C(Cc1ccc(Cl)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000371019660 307141143 /nfs/dbraw/zinc/14/11/43/307141143.db2.gz TWZCIIVZYRAZKJ-NSHDSACASA-N -1 1 305.769 1.802 20 0 DDADMM COc1ccc(F)cc1CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000372226202 307165636 /nfs/dbraw/zinc/16/56/36/307165636.db2.gz DZGWCTKNNAVHEP-SNVBAGLBSA-N -1 1 319.340 1.296 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H]1c1ccccc1F ZINC000377852404 307277427 /nfs/dbraw/zinc/27/74/27/307277427.db2.gz PSTBARHCSLCVBI-NSHDSACASA-N -1 1 311.338 1.670 20 0 DDADMM CCNC(=O)OC[C@@H]1CCCCN1C(=O)c1ncc(C)cc1[O-] ZINC000495692902 307314728 /nfs/dbraw/zinc/31/47/28/307314728.db2.gz KQYQEWXWKKLRRH-LBPRGKRZSA-N -1 1 321.377 1.836 20 0 DDADMM CCC(C)(C)OC1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000530190301 307587679 /nfs/dbraw/zinc/58/76/79/307587679.db2.gz NCQPGTCSYOGWOY-UHFFFAOYSA-N -1 1 315.373 1.953 20 0 DDADMM CCN1CCOC[C@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000538275027 307675159 /nfs/dbraw/zinc/67/51/59/307675159.db2.gz QYAMZDRLBKZDQH-AWEZNQCLSA-N -1 1 316.361 1.442 20 0 DDADMM CN(C)[C@H](CNC(=O)CSCC(=O)[O-])c1cccc(F)c1 ZINC000556728519 307853954 /nfs/dbraw/zinc/85/39/54/307853954.db2.gz NVMLWFLYVPUQCD-GFCCVEGCSA-N -1 1 314.382 1.362 20 0 DDADMM CN(Cc1cc(-c2cnn(C)c2)no1)C1(C(=O)[O-])CCCC1 ZINC000565962678 308039667 /nfs/dbraw/zinc/03/96/67/308039667.db2.gz YBLLMIGRQBKLIJ-UHFFFAOYSA-N -1 1 304.350 1.904 20 0 DDADMM CC[C@@H](N=c1nc(CCOC)[n-]s1)C(=O)OC(C)(C)C ZINC000567605067 308086052 /nfs/dbraw/zinc/08/60/52/308086052.db2.gz SNMFJRAFJWUJMU-SECBINFHSA-N -1 1 301.412 1.681 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C[C@@H]1C(=O)[O-] ZINC000570451369 308170022 /nfs/dbraw/zinc/17/00/22/308170022.db2.gz LJLOSOANBFCWLW-MFKMUULPSA-N -1 1 314.345 1.655 20 0 DDADMM O=C([C@@H]1C[C@H]1C(F)(F)F)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000573200618 308233269 /nfs/dbraw/zinc/23/32/69/308233269.db2.gz BLGQHDANSQWPRY-HTQZYQBOSA-N -1 1 305.256 1.680 20 0 DDADMM COC(=O)CCC1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000574305032 308266907 /nfs/dbraw/zinc/26/69/07/308266907.db2.gz HXWOIJBLOQQDIV-UHFFFAOYSA-N -1 1 319.357 1.767 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1cnc(C(=O)[O-])cn1)c1ccsc1 ZINC000575179611 308272240 /nfs/dbraw/zinc/27/22/40/308272240.db2.gz DBIIBBCGCFLYHE-LBPRGKRZSA-N -1 1 320.374 1.269 20 0 DDADMM CCOC(=O)c1cc(F)cc(S(=O)(=O)[N-]c2cnc[nH]2)c1 ZINC000576510795 308319743 /nfs/dbraw/zinc/31/97/43/308319743.db2.gz LEHODWXUHJWEJT-UHFFFAOYSA-N -1 1 313.310 1.526 20 0 DDADMM CCOc1ccc(OCCN2C[C@H](OC)C[C@@H]2C(=O)[O-])cc1 ZINC000577521594 308395422 /nfs/dbraw/zinc/39/54/22/308395422.db2.gz JRKVJKBABZHMGF-HUUCEWRRSA-N -1 1 309.362 1.638 20 0 DDADMM O=C([O-])CSCC(=O)N[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000393903820 337094348 /nfs/dbraw/zinc/09/43/48/337094348.db2.gz JRIUZDPCWSPCLC-CQSZACIVSA-N -1 1 322.430 1.585 20 0 DDADMM C[C@@H]1CN(CCCN=c2nc(C(F)(F)F)[n-]s2)C[C@@H](C)O1 ZINC000582760005 337136961 /nfs/dbraw/zinc/13/69/61/337136961.db2.gz DGPTUQARXMKDBX-RKDXNWHRSA-N -1 1 324.372 1.890 20 0 DDADMM COCCS(=O)(=O)[N-]c1cccc(Br)c1F ZINC000656636991 484253975 /nfs/dbraw/zinc/25/39/75/484253975.db2.gz ADWWPXWKTKOKOA-UHFFFAOYSA-N -1 1 312.160 1.976 20 0 DDADMM CCC[C@@H](C(=O)[O-])N1C[C@H](C(F)(F)F)[C@@H](S(C)(=O)=O)C1 ZINC000656758376 484315690 /nfs/dbraw/zinc/31/56/90/484315690.db2.gz HFEVAIMOZWHIAN-CIUDSAMLSA-N -1 1 317.329 1.147 20 0 DDADMM COc1cccc([C@H](CNC(=O)[C@H](C(=O)[O-])C2CC2)N(C)C)c1 ZINC000663074485 484646628 /nfs/dbraw/zinc/64/66/28/484646628.db2.gz BSQJTRKOEKGOFE-LSDHHAIUSA-N -1 1 320.389 1.525 20 0 DDADMM CN(C)c1n[nH]c([N-]C(=O)c2noc(-c3cccc(F)c3)n2)n1 ZINC000672117486 485228959 /nfs/dbraw/zinc/22/89/59/485228959.db2.gz UXMBGLOHDRCIQD-UHFFFAOYSA-N -1 1 317.284 1.312 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)NCc2cccc(C(=O)[O-])c2)CCN1C ZINC000666564116 485273988 /nfs/dbraw/zinc/27/39/88/485273988.db2.gz UAPGGQVRESGEQU-HNNXBMFYSA-N -1 1 319.405 1.866 20 0 DDADMM O=C(N[C@H]1CCCN(CC(F)(F)F)C1)c1ncccc1[O-] ZINC000673548310 485435591 /nfs/dbraw/zinc/43/55/91/485435591.db2.gz SELVBWLSQHBGHV-VIFPVBQESA-N -1 1 303.284 1.544 20 0 DDADMM O=C(CCOCC1CC1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000674360028 485612817 /nfs/dbraw/zinc/61/28/17/485612817.db2.gz JYZPHPHDMZBQEA-AWEZNQCLSA-N -1 1 301.350 1.222 20 0 DDADMM O=C(NCC1(CO)CCCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000674422349 485631972 /nfs/dbraw/zinc/63/19/72/485631972.db2.gz ZBTDEZCXFBUOJE-UHFFFAOYSA-N -1 1 315.373 1.596 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccccc1NCc1ccco1 ZINC000682825091 485788741 /nfs/dbraw/zinc/78/87/41/485788741.db2.gz RZFOIVWIXCEMRL-UHFFFAOYSA-N -1 1 324.344 1.824 20 0 DDADMM C[C@@H](CN(C)C(=O)C12CC(c3ccccc3)(C1)C2)c1nn[n-]n1 ZINC000682932845 485831477 /nfs/dbraw/zinc/83/14/77/485831477.db2.gz HDPLDTCATGGSSY-CDEQTRAXSA-N -1 1 311.389 1.884 20 0 DDADMM CC(C)(C)OC1CC(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1 ZINC000683115704 485883280 /nfs/dbraw/zinc/88/32/80/485883280.db2.gz DFIGAUDPPBPVTO-OTTFEQOBSA-N -1 1 321.425 1.890 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccoc1Br)c1nn[n-]n1 ZINC000683630455 486064141 /nfs/dbraw/zinc/06/41/41/486064141.db2.gz NASBECOVVQXPBY-ZCFIWIBFSA-N -1 1 314.143 1.431 20 0 DDADMM CC(C)(O)CCS(=O)(=O)c1nnc(-c2ccccc2F)[n-]1 ZINC000683686511 486080720 /nfs/dbraw/zinc/08/07/20/486080720.db2.gz AAFKCFUDFSPCEO-UHFFFAOYSA-N -1 1 313.354 1.546 20 0 DDADMM CC(C)(O)CCS(=O)(=O)c1n[n-]c(-c2ccccc2F)n1 ZINC000683686511 486080728 /nfs/dbraw/zinc/08/07/28/486080728.db2.gz AAFKCFUDFSPCEO-UHFFFAOYSA-N -1 1 313.354 1.546 20 0 DDADMM COc1ccc(NC(=O)c2n[nH]c(C)c2[O-])cc1NC(C)=O ZINC000676631463 486273094 /nfs/dbraw/zinc/27/30/94/486273094.db2.gz WPPCJDAKZMSNNG-UHFFFAOYSA-N -1 1 304.306 1.643 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCN(C(=O)C3CCCC3)C2)c([O-])c1 ZINC000680845248 486281101 /nfs/dbraw/zinc/28/11/01/486281101.db2.gz JKGITLJGMAOUJT-CYBMUJFWSA-N -1 1 317.389 1.617 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(C(=O)NC3CC3)cc2)c1[O-] ZINC000676706763 486296001 /nfs/dbraw/zinc/29/60/01/486296001.db2.gz NAYNEZUKHYOECD-UHFFFAOYSA-N -1 1 300.318 1.568 20 0 DDADMM Cc1cc(NC(=O)CN(C)C(=O)c2ccc([O-])c(F)c2)no1 ZINC000681015079 486324090 /nfs/dbraw/zinc/32/40/90/486324090.db2.gz CMVIZLZWLJRZCA-UHFFFAOYSA-N -1 1 307.281 1.538 20 0 DDADMM COCC[C@@](C)(O)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000676855520 486347745 /nfs/dbraw/zinc/34/77/45/486347745.db2.gz KFVODUJHHNJJDC-CQSZACIVSA-N -1 1 313.375 1.499 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCn2c(nnc2C(F)F)C1 ZINC000684818419 486434010 /nfs/dbraw/zinc/43/40/10/486434010.db2.gz VGFHTZGJCRIHKD-UHFFFAOYSA-N -1 1 312.251 1.716 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC(F)(F)C2)co1 ZINC000677316223 486438483 /nfs/dbraw/zinc/43/84/83/486438483.db2.gz JAMDIUQDFMHORE-MRVPVSSYSA-N -1 1 308.306 1.105 20 0 DDADMM CC(C)[C@H](NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(N)=O ZINC000684873341 486449803 /nfs/dbraw/zinc/44/98/03/486449803.db2.gz JMLHDJCPQKFZAG-JTQLQIEISA-N -1 1 304.268 1.651 20 0 DDADMM CNC(=O)c1cccc(OCC(=O)Nc2c([O-])cccc2F)c1 ZINC000677644704 486512067 /nfs/dbraw/zinc/51/20/67/486512067.db2.gz FHCFTCNYTXRWNL-UHFFFAOYSA-N -1 1 318.304 1.908 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(Cl)c1 ZINC000677928394 486580964 /nfs/dbraw/zinc/58/09/64/486580964.db2.gz XMTDZFYSDKCFCS-SECBINFHSA-N -1 1 321.768 1.882 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccc1[O-] ZINC000069673607 490578208 /nfs/dbraw/zinc/57/82/08/490578208.db2.gz VGUBTPABHODXJV-ZYHUDNBSSA-N -1 1 324.402 1.771 20 0 DDADMM CSC[C@H]1CCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000298419459 533798455 /nfs/dbraw/zinc/79/84/55/533798455.db2.gz LFWUBEMDEIBHHZ-AFSRSGBESA-N -1 1 319.434 1.949 20 0 DDADMM COc1cc(CN[C@@H](C(=O)[O-])c2cnn(C)c2)cc(OC)c1 ZINC000417586874 533888810 /nfs/dbraw/zinc/88/88/10/533888810.db2.gz BBLJHFIYEDNHOI-CQSZACIVSA-N -1 1 305.334 1.353 20 0 DDADMM COC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)c2cncc([O-])c2)C1 ZINC000495480801 533966670 /nfs/dbraw/zinc/96/66/70/533966670.db2.gz OAGSSRZAYIVHHA-GHMZBOCLSA-N -1 1 307.350 1.384 20 0 DDADMM COc1ccc(N2C[C@H](C(=O)[N-]OC(C)C)CC2=O)c(OC)c1 ZINC000297159281 534020550 /nfs/dbraw/zinc/02/05/50/534020550.db2.gz JOOVRJKSBSZBDC-LLVKDONJSA-N -1 1 322.361 1.513 20 0 DDADMM COc1ccc(NC(=O)[C@@]2(C)C[C@H]2F)cc1[N-]S(C)(=O)=O ZINC000424558647 534157028 /nfs/dbraw/zinc/15/70/28/534157028.db2.gz FOLWFRHVUQEVDB-YPMHNXCESA-N -1 1 316.354 1.753 20 0 DDADMM C[C@H](N=c1ccc(N2CCO[C@@H](CO)C2)n[n-]1)c1ccccc1 ZINC000425239732 534189748 /nfs/dbraw/zinc/18/97/48/534189748.db2.gz XITMKCPQPDBBTG-DZGCQCFKSA-N -1 1 314.389 1.269 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C[C@H]2CCOC[C@@H]2OC)c1 ZINC000451463098 534230978 /nfs/dbraw/zinc/23/09/78/534230978.db2.gz WOQPAGNWPGIVHM-YGRLFVJLSA-N -1 1 323.345 1.559 20 0 DDADMM Cn1[n-]c(CN2CCC(N3CCc4ccccc43)CC2)nc1=O ZINC000328640697 534666186 /nfs/dbraw/zinc/66/61/86/534666186.db2.gz CVYCVLTYRNJNNZ-UHFFFAOYSA-N -1 1 313.405 1.136 20 0 DDADMM N=c1nc(N2CCN(C(=O)C(C3CC3)C3CC3)CC2)s[n-]1 ZINC000328830495 534842018 /nfs/dbraw/zinc/84/20/18/534842018.db2.gz UXUAVQMDCQFCFY-UHFFFAOYSA-N -1 1 307.423 1.035 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)[nH]n1 ZINC000331811527 528018069 /nfs/dbraw/zinc/01/80/69/528018069.db2.gz LECUYVWFSJXDFZ-VIFPVBQESA-N -1 1 305.338 1.642 20 0 DDADMM CCN(CC)C(=O)[C@@H]1CSCN1C(=O)c1ncc(C)cc1[O-] ZINC000330893542 528056804 /nfs/dbraw/zinc/05/68/04/528056804.db2.gz YWFCGLZRVHOBOB-NSHDSACASA-N -1 1 323.418 1.479 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C)cc(C(=O)OC)c1)c1nn[n-]n1 ZINC000457616106 528201147 /nfs/dbraw/zinc/20/11/47/528201147.db2.gz ALTLBTHJVIYPII-LBPRGKRZSA-N -1 1 317.349 1.566 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C2CC(C)(F)C2)o1 ZINC000451633983 528242309 /nfs/dbraw/zinc/24/23/09/528242309.db2.gz SSEJIINKXNQDOF-UHFFFAOYSA-N -1 1 305.327 1.625 20 0 DDADMM CCCN1CCN(C(=O)c2ncc(C)cc2[O-])C(C)(C)C1=O ZINC000331195672 528245886 /nfs/dbraw/zinc/24/58/86/528245886.db2.gz WVNSRWZDUYHPJI-UHFFFAOYSA-N -1 1 305.378 1.569 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc(F)c(F)c1 ZINC000295722778 528561491 /nfs/dbraw/zinc/56/14/91/528561491.db2.gz QRULNFDULRGTJV-UHFFFAOYSA-N -1 1 301.289 1.897 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cccc(F)c1F ZINC000295745132 528562161 /nfs/dbraw/zinc/56/21/61/528562161.db2.gz UBYBCMNLBXUVKA-UHFFFAOYSA-N -1 1 301.289 1.897 20 0 DDADMM CCC(CC)(CNC(=O)c1coc(S(=O)(=O)[N-]C)c1)OC ZINC000292402200 528691122 /nfs/dbraw/zinc/69/11/22/528691122.db2.gz TWCJRCVUZXNBMV-UHFFFAOYSA-N -1 1 318.395 1.123 20 0 DDADMM CC(C)N(C)c1ccccc1CNc1nc2[nH][n-]cc-2c(=O)n1 ZINC000445613178 528849752 /nfs/dbraw/zinc/84/97/52/528849752.db2.gz NHDFJXYUKKPSOP-UHFFFAOYSA-N -1 1 312.377 1.889 20 0 DDADMM CC[C@@H]1CCC[C@H]1CNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000452537032 529319410 /nfs/dbraw/zinc/31/94/10/529319410.db2.gz ZKWVQFJPDLIYAC-MNOVXSKESA-N -1 1 314.407 1.744 20 0 DDADMM O=C(OCCCN1CCCC1=O)c1sccc1-c1nn[n-]n1 ZINC000738222525 598844435 /nfs/dbraw/zinc/84/44/35/598844435.db2.gz RVYNTSBACVVIEV-UHFFFAOYSA-N -1 1 321.362 1.098 20 0 DDADMM OCC[C@H](CNc1nccnc1-c1nnn[n-]1)c1ccccc1 ZINC000738394453 598974433 /nfs/dbraw/zinc/97/44/33/598974433.db2.gz ROIVCPWYVQMRFJ-GFCCVEGCSA-N -1 1 311.349 1.235 20 0 DDADMM OCC[C@H](CNc1nccnc1-c1nn[n-]n1)c1ccccc1 ZINC000738394453 598974435 /nfs/dbraw/zinc/97/44/35/598974435.db2.gz ROIVCPWYVQMRFJ-GFCCVEGCSA-N -1 1 311.349 1.235 20 0 DDADMM CCOc1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000736646783 598978692 /nfs/dbraw/zinc/97/86/92/598978692.db2.gz KBJYQAZXPLZEDJ-UHFFFAOYSA-N -1 1 310.317 1.913 20 0 DDADMM CCOc1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000736646783 598978694 /nfs/dbraw/zinc/97/86/94/598978694.db2.gz KBJYQAZXPLZEDJ-UHFFFAOYSA-N -1 1 310.317 1.913 20 0 DDADMM Cc1ccc(CCNC(=O)c2ccc(-c3nnn[n-]3)nc2)c(C)c1 ZINC000737336428 598999039 /nfs/dbraw/zinc/99/90/39/598999039.db2.gz CPQMVVDUUPPRMH-UHFFFAOYSA-N -1 1 322.372 1.851 20 0 DDADMM Cc1ccc(CCNC(=O)c2ccc(-c3nn[n-]n3)nc2)c(C)c1 ZINC000737336428 598999041 /nfs/dbraw/zinc/99/90/41/598999041.db2.gz CPQMVVDUUPPRMH-UHFFFAOYSA-N -1 1 322.372 1.851 20 0 DDADMM N#Cc1ccc(NC(=O)CN2CCC[C@@H](CC(=O)[O-])C2)cc1 ZINC000386497643 597076436 /nfs/dbraw/zinc/07/64/36/597076436.db2.gz ZQLWUJRRPOOCRG-ZDUSSCGKSA-N -1 1 301.346 1.683 20 0 DDADMM Cc1nn(C)c(N(C)C)c1CNCc1ccc(C(=O)[O-])cc1 ZINC000819023521 597136581 /nfs/dbraw/zinc/13/65/81/597136581.db2.gz MKUDCOGSSISYNX-UHFFFAOYSA-N -1 1 302.378 1.783 20 0 DDADMM C[C@H](CNC(=O)NCCC(=O)[O-])N1CCc2ccccc2C1 ZINC000818008309 597211662 /nfs/dbraw/zinc/21/16/62/597211662.db2.gz DHVHZPBSJMGJEN-GFCCVEGCSA-N -1 1 305.378 1.207 20 0 DDADMM CC(=O)NCCN(Cc1cnc2ccc(C)cn12)[C@@H](C)C(=O)[O-] ZINC000819780447 597705892 /nfs/dbraw/zinc/70/58/92/597705892.db2.gz HUCGPUCPSSGFAV-LBPRGKRZSA-N -1 1 318.377 1.054 20 0 DDADMM CC(C)[C@@H](NCC(=O)Nc1nnc(C(C)(C)C)s1)C(=O)[O-] ZINC000820043781 598188927 /nfs/dbraw/zinc/18/89/27/598188927.db2.gz IIEKKYQAANBQAN-SECBINFHSA-N -1 1 314.411 1.473 20 0 DDADMM CCCOc1ncccc1CNc1nccnc1-c1nnn[n-]1 ZINC000736493033 598348170 /nfs/dbraw/zinc/34/81/70/598348170.db2.gz PZIVKTVBFOKOEC-UHFFFAOYSA-N -1 1 312.337 1.453 20 0 DDADMM CCCOc1ncccc1CNc1nccnc1-c1nn[n-]n1 ZINC000736493033 598348172 /nfs/dbraw/zinc/34/81/72/598348172.db2.gz PZIVKTVBFOKOEC-UHFFFAOYSA-N -1 1 312.337 1.453 20 0 DDADMM COc1ccc2c(c1)CN(c1cnc(-c3nnn[n-]3)cn1)CC2 ZINC000737118135 598386792 /nfs/dbraw/zinc/38/67/92/598386792.db2.gz AHHXOZNNWXSCBA-UHFFFAOYSA-N -1 1 309.333 1.228 20 0 DDADMM COc1ccc2c(c1)CN(c1cnc(-c3nn[n-]n3)cn1)CC2 ZINC000737118135 598386793 /nfs/dbraw/zinc/38/67/93/598386793.db2.gz AHHXOZNNWXSCBA-UHFFFAOYSA-N -1 1 309.333 1.228 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nnn[n-]2)c1C(=O)NC1CCC1 ZINC000737548338 598426047 /nfs/dbraw/zinc/42/60/47/598426047.db2.gz OMYXKLKHHZBFKP-UHFFFAOYSA-N -1 1 312.333 1.841 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nn[n-]n2)c1C(=O)NC1CCC1 ZINC000737548338 598426049 /nfs/dbraw/zinc/42/60/49/598426049.db2.gz OMYXKLKHHZBFKP-UHFFFAOYSA-N -1 1 312.333 1.841 20 0 DDADMM CCC(=O)N1CCCN([C@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000736839713 599711963 /nfs/dbraw/zinc/71/19/63/599711963.db2.gz JNVFVPHGCGVSCV-HNNXBMFYSA-N -1 1 308.353 1.896 20 0 DDADMM CSc1ccc([C@H](CC(=O)[O-])NC(=O)c2cnn[nH]2)cc1 ZINC000738202287 599852330 /nfs/dbraw/zinc/85/23/30/599852330.db2.gz CVZBAHGOOYUTLZ-JTQLQIEISA-N -1 1 306.347 1.472 20 0 DDADMM Cc1ccc(CN(C(=O)CNC(C)(C)C(=O)[O-])C2CC2)cc1 ZINC000738282123 599926260 /nfs/dbraw/zinc/92/62/60/599926260.db2.gz MTVLZZMQBMDJFX-UHFFFAOYSA-N -1 1 304.390 1.939 20 0 DDADMM CCC[C@](C)(NCC(=O)NCCc1ccc(F)cc1)C(=O)[O-] ZINC000386570632 600155025 /nfs/dbraw/zinc/15/50/25/600155025.db2.gz PWGLBHVIHIEREA-INIZCTEOSA-N -1 1 310.369 1.717 20 0 DDADMM COCc1cccc(S(=O)(=O)Nc2cc(C)[nH]c2C(=O)[O-])c1 ZINC000737834465 600255151 /nfs/dbraw/zinc/25/51/51/600255151.db2.gz FSOAUNRQIRGDRH-UHFFFAOYSA-N -1 1 324.358 1.969 20 0 DDADMM C[C@H](Cc1ccc(Cl)cc1)N(C)CC(=O)NCCC(=O)[O-] ZINC000736621501 600262857 /nfs/dbraw/zinc/26/28/57/600262857.db2.gz BQDUZZYWKAQKOW-LLVKDONJSA-N -1 1 312.797 1.794 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)CCSCC(=O)[O-] ZINC000736825189 600298123 /nfs/dbraw/zinc/29/81/23/600298123.db2.gz LBEGOAYCIGNILI-NWDGAFQWSA-N -1 1 300.424 1.280 20 0 DDADMM CN(Cc1ccco1)C(=O)CN1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000405480057 600332464 /nfs/dbraw/zinc/33/24/64/600332464.db2.gz JJBAUWOITKQYGY-CYBMUJFWSA-N -1 1 306.362 1.425 20 0 DDADMM CN1CCCC[C@H]1C(=O)NC[C@H](Cc1cccc(F)c1)C(=O)[O-] ZINC000737516386 600363445 /nfs/dbraw/zinc/36/34/45/600363445.db2.gz AIQIEKMTYBXSIN-ZFWWWQNUSA-N -1 1 322.380 1.670 20 0 DDADMM CC[C@@H](O)[C@H]1CCCCN1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000736924252 600391977 /nfs/dbraw/zinc/39/19/77/600391977.db2.gz MNKDCKQHUJYRSE-HUUCEWRRSA-N -1 1 320.389 1.949 20 0 DDADMM COc1ccccc1[C@@H]1CCN(CC(=O)NCCC(=O)[O-])C1 ZINC000738128359 600441907 /nfs/dbraw/zinc/44/19/07/600441907.db2.gz NPKMHVPOFGZBGN-GFCCVEGCSA-N -1 1 306.362 1.075 20 0 DDADMM Cc1cc(CNS(=O)(=O)c2ccc(C(=O)[O-])cc2C)n[nH]1 ZINC000738393646 600445167 /nfs/dbraw/zinc/44/51/67/600445167.db2.gz XHGZYUPBLJOFAW-UHFFFAOYSA-N -1 1 309.347 1.203 20 0 DDADMM O=C([O-])[C@@H]1CCN(CN2C(=O)OC[C@@H]2Cc2ccccc2)C1 ZINC000739599653 600557160 /nfs/dbraw/zinc/55/71/60/600557160.db2.gz YYBAGZOJSOVHSF-KGLIPLIRSA-N -1 1 304.346 1.414 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1cn2cc(Cl)ccc2n1 ZINC000737794247 600640348 /nfs/dbraw/zinc/64/03/48/600640348.db2.gz KWFAQQIFLQKMKF-UHFFFAOYSA-N -1 1 311.769 1.911 20 0 DDADMM O=C([O-])CCN(Cc1ccc(F)c(F)c1)C(=O)Cc1ccn[nH]1 ZINC000833233278 600712736 /nfs/dbraw/zinc/71/27/36/600712736.db2.gz LRERFCFEQXRJIF-UHFFFAOYSA-N -1 1 323.299 1.734 20 0 DDADMM O=C([O-])[C@H]1CCN(C(=O)NCc2n[nH]c(-c3ccccc3)n2)C1 ZINC000739592882 600813490 /nfs/dbraw/zinc/81/34/90/600813490.db2.gz FVTJTEGPWNFSBW-NSHDSACASA-N -1 1 315.333 1.088 20 0 DDADMM O=C([O-])[C@H]1CCN(C(=O)NCc2nc(-c3ccccc3)n[nH]2)C1 ZINC000739592882 600813492 /nfs/dbraw/zinc/81/34/92/600813492.db2.gz FVTJTEGPWNFSBW-NSHDSACASA-N -1 1 315.333 1.088 20 0 DDADMM COCc1ccccc1CNC(=O)CN[C@](C)(C(=O)[O-])C1CC1 ZINC000831821954 601040676 /nfs/dbraw/zinc/04/06/76/601040676.db2.gz IFXZXDKCCWDCCE-KRWDZBQOSA-N -1 1 320.389 1.292 20 0 DDADMM Cc1nc(C(C)(C)NCCC(=O)N(C)CC(=O)[O-])sc1C ZINC000832994320 601044000 /nfs/dbraw/zinc/04/40/00/601044000.db2.gz RKUCWTVQLXNFGS-UHFFFAOYSA-N -1 1 313.423 1.518 20 0 DDADMM Cc1nnc([C@@H]2CN([C@@H](C(=O)[O-])c3ccc(F)cc3)CCO2)[nH]1 ZINC000738823595 601106011 /nfs/dbraw/zinc/10/60/11/601106011.db2.gz NTGBXIQLUNFQIG-QWHCGFSZSA-N -1 1 320.324 1.451 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)Nc2ccccc2F)CC1 ZINC000827369472 601123446 /nfs/dbraw/zinc/12/34/46/601123446.db2.gz YZQNWCQJBFYMRH-NSHDSACASA-N -1 1 309.341 1.838 20 0 DDADMM O=C([O-])CN(Cc1ccc(Cl)cc1)C(=O)CCc1nc[nH]n1 ZINC000833249099 601137559 /nfs/dbraw/zinc/13/75/59/601137559.db2.gz BFPFPLMBOJKFPY-UHFFFAOYSA-N -1 1 322.752 1.504 20 0 DDADMM Cc1ccccc1CN(C)C(=O)CN[C@](C)(C(=O)[O-])C1CC1 ZINC000832978709 601174874 /nfs/dbraw/zinc/17/48/74/601174874.db2.gz DBOQPJQFBBLLTB-KRWDZBQOSA-N -1 1 304.390 1.796 20 0 DDADMM COC(=O)/C(C)=C/CN1CCN(C/C=C(/C)C(=O)[O-])[C@H](C)C1 ZINC000831052903 601180741 /nfs/dbraw/zinc/18/07/41/601180741.db2.gz FDPYTYJRFIVODW-KULRPMIKSA-N -1 1 310.394 1.143 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)N1CC[C@](C)(O)C1 ZINC000832916068 601182114 /nfs/dbraw/zinc/18/21/14/601182114.db2.gz KVFPROHLJSFUSL-BZNIZROVSA-N -1 1 306.362 1.477 20 0 DDADMM O=C([O-])[C@H]1C[C@H]1C(=O)NCC1(CN2CCOCC2)CCCCC1 ZINC000833178676 601278483 /nfs/dbraw/zinc/27/84/83/601278483.db2.gz IMMOFCLBFYDSNO-KGLIPLIRSA-N -1 1 324.421 1.106 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCC[C@@H](N2CCNC2=O)C1 ZINC000830369611 601414899 /nfs/dbraw/zinc/41/48/99/601414899.db2.gz PMWATEPTWGCNFT-GFCCVEGCSA-N -1 1 321.377 1.530 20 0 DDADMM C[C@H]1CN(CC(=O)N2CCSc3ccccc32)C[C@H]1C(=O)[O-] ZINC000315621933 601506191 /nfs/dbraw/zinc/50/61/91/601506191.db2.gz SPNASUWSXHTQPO-NWDGAFQWSA-N -1 1 320.414 1.778 20 0 DDADMM CCc1cc(C(=O)N(CCC(=O)[O-])Cc2ccncc2)n[nH]1 ZINC000830213794 601511499 /nfs/dbraw/zinc/51/14/99/601511499.db2.gz HBKZATMVMJPOHH-UHFFFAOYSA-N -1 1 302.334 1.484 20 0 DDADMM COc1ccc(-c2nnc(CN3C[C@@H](C)[C@H](C(=O)[O-])C3)o2)cc1 ZINC000832133927 601518837 /nfs/dbraw/zinc/51/88/37/601518837.db2.gz HBKVSDRFYCVUOR-ZWNOBZJWSA-N -1 1 317.345 1.898 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2C[C@@H](C)[C@H](C(=O)[O-])C2)o1 ZINC000387692453 601691104 /nfs/dbraw/zinc/69/11/04/601691104.db2.gz HNPDJNZFMANMLG-DGCLKSJQSA-N -1 1 308.378 1.914 20 0 DDADMM Cc1nnc(SCC(=O)N[C@H](C(=O)[O-])c2ccccc2F)[nH]1 ZINC000832990503 601743465 /nfs/dbraw/zinc/74/34/65/601743465.db2.gz DFKQVSVGZIILKH-NSHDSACASA-N -1 1 324.337 1.286 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@H](C(=O)[O-])c2ccccc2F)n1 ZINC000832990503 601743466 /nfs/dbraw/zinc/74/34/66/601743466.db2.gz DFKQVSVGZIILKH-NSHDSACASA-N -1 1 324.337 1.286 20 0 DDADMM CC[C@H](NC(=O)c1cccc(Br)c1O)C(=O)[O-] ZINC000828976647 601761663 /nfs/dbraw/zinc/76/16/63/601761663.db2.gz YFCVXBHMURGEIL-QMMMGPOBSA-N -1 1 302.124 1.748 20 0 DDADMM O=C([O-])c1sccc1CN1CCN(C[C@H]2CCCO2)CC1 ZINC000833348347 601805265 /nfs/dbraw/zinc/80/52/65/601805265.db2.gz IDROXEDNBSUVNZ-CYBMUJFWSA-N -1 1 310.419 1.743 20 0 DDADMM C[C@@H]1CN(CN2C(=O)NC3(CCC(C)CC3)C2=O)C[C@@H]1C(=O)[O-] ZINC000828233444 601816794 /nfs/dbraw/zinc/81/67/94/601816794.db2.gz PIQWRNKQRXFRRH-QNGPIJNLSA-N -1 1 323.393 1.097 20 0 DDADMM C[C@H]1CN(CN2C(=O)NC3(CCC(C)CC3)C2=O)C[C@H]1C(=O)[O-] ZINC000828233442 601816859 /nfs/dbraw/zinc/81/68/59/601816859.db2.gz PIQWRNKQRXFRRH-LZUBYRBGSA-N -1 1 323.393 1.097 20 0 DDADMM Cc1ccc([C@H](CNC(=O)C(C)(C)NC(=O)[O-])N2CCCC2)o1 ZINC000738549961 601886574 /nfs/dbraw/zinc/88/65/74/601886574.db2.gz CYVMOORAFOVNKA-LBPRGKRZSA-N -1 1 323.393 1.887 20 0 DDADMM C[C@H](C(=O)Nc1ccc(Cl)cn1)N1CC[C@](C)(C(=O)[O-])C1 ZINC000826012670 601921406 /nfs/dbraw/zinc/92/14/06/601921406.db2.gz ICOADHLFBAMVBD-OTYXRUKQSA-N -1 1 311.769 1.859 20 0 DDADMM CN(CC1CC1)C(=O)CN1CCCC[C@@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000739634437 601923003 /nfs/dbraw/zinc/92/30/03/601923003.db2.gz GZXIBVRBWVFJLB-CABCVRRESA-N -1 1 323.437 1.852 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C[C@H]1COc2ccccc2O1 ZINC000250533390 601923906 /nfs/dbraw/zinc/92/39/06/601923906.db2.gz MZTDQFVYPDAICU-NEPJUHHUSA-N -1 1 309.387 1.719 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@H](C)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000826021018 601941598 /nfs/dbraw/zinc/94/15/98/601941598.db2.gz KMNMLCUHEQPXRC-XHDPSFHLSA-N -1 1 308.382 1.588 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)CN2CC[C@@H](CNC(=O)[O-])C2)[C@@H]1C ZINC000739096008 601963270 /nfs/dbraw/zinc/96/32/70/601963270.db2.gz YLQPKFFREBGACQ-MQYQWHSLSA-N -1 1 311.426 1.517 20 0 DDADMM Cc1cc(CSCc2ccnc(-c3nnn[n-]3)c2)nn1C ZINC000826267468 607536612 /nfs/dbraw/zinc/53/66/12/607536612.db2.gz HLBVBAKHQBRWNE-UHFFFAOYSA-N -1 1 301.379 1.737 20 0 DDADMM Cc1cc(CSCc2ccnc(-c3nn[n-]n3)c2)nn1C ZINC000826267468 607536613 /nfs/dbraw/zinc/53/66/13/607536613.db2.gz HLBVBAKHQBRWNE-UHFFFAOYSA-N -1 1 301.379 1.737 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000827281559 602090730 /nfs/dbraw/zinc/09/07/30/602090730.db2.gz PFRCLUXBNDUQTH-CHWSQXEVSA-N -1 1 304.390 1.660 20 0 DDADMM C[C@@H](CNC(=O)CN(C)C(=O)[O-])N1CCc2ccccc2C1 ZINC000738913904 602190631 /nfs/dbraw/zinc/19/06/31/602190631.db2.gz IRHIWWGOLHFLFP-LBPRGKRZSA-N -1 1 305.378 1.159 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)CCSCC(=O)[O-])C2)n[nH]1 ZINC000832997270 602242045 /nfs/dbraw/zinc/24/20/45/602242045.db2.gz VHUOTIQRVJJICC-JTQLQIEISA-N -1 1 312.395 1.027 20 0 DDADMM O=C([O-])N1CCC(CN2CCN(c3nccs3)CC2)CC1 ZINC000740504196 602257099 /nfs/dbraw/zinc/25/70/99/602257099.db2.gz SWVIAGZCMKEWIL-UHFFFAOYSA-N -1 1 310.423 1.655 20 0 DDADMM CCCN1CCN(C(=O)[C@H](NC(=O)[O-])c2ccccc2)CC1 ZINC000739365535 602300672 /nfs/dbraw/zinc/30/06/72/602300672.db2.gz SEEWUEKKBMJYKC-CQSZACIVSA-N -1 1 305.378 1.550 20 0 DDADMM CN(C[C@H]1CCN(C(=O)c2cc(-c3ccco3)[nH]n2)C1)C(=O)[O-] ZINC000739657723 602484699 /nfs/dbraw/zinc/48/46/99/602484699.db2.gz KZIKCVTVBWGBFY-SNVBAGLBSA-N -1 1 318.333 1.742 20 0 DDADMM CN(C[C@@H]1CCN(CC(=O)N(C)C2CCCCC2)C1)C(=O)[O-] ZINC000739660236 602536326 /nfs/dbraw/zinc/53/63/26/602536326.db2.gz MMAPUTTVFGQVFE-ZDUSSCGKSA-N -1 1 311.426 1.709 20 0 DDADMM C[C@@H]1CN([C@H](C(=O)N(C)C)c2ccccc2)CC[C@H]1NC(=O)[O-] ZINC000739178466 602781651 /nfs/dbraw/zinc/78/16/51/602781651.db2.gz QPWPJHFQDJJDGI-YUELXQCFSA-N -1 1 319.405 1.794 20 0 DDADMM C[C@@H](C(=O)NC1CCCCC1)N1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000739180142 602783209 /nfs/dbraw/zinc/78/32/09/602783209.db2.gz XXWJGMVGLAREOD-DYEKYZERSA-N -1 1 311.426 1.802 20 0 DDADMM CCN(CC1CCN(C(=O)[O-])CC1)[C@@H](C)CS(=O)(=O)CC ZINC000739412542 602840854 /nfs/dbraw/zinc/84/08/54/602840854.db2.gz AVUBYBRKYAEXSK-LBPRGKRZSA-N -1 1 320.455 1.522 20 0 DDADMM CC(C)[C@H](CNC(=O)N1CCN2CCCC[C@H]2C1)NC(=O)[O-] ZINC000738788581 602845609 /nfs/dbraw/zinc/84/56/09/602845609.db2.gz YUQUFLIFDHVIQY-STQMWFEESA-N -1 1 312.414 1.158 20 0 DDADMM Cc1nnc(NC(=O)[C@H](C)[C@@H](NC(=O)[O-])c2ccccc2)[nH]1 ZINC000830770242 602847643 /nfs/dbraw/zinc/84/76/43/602847643.db2.gz PLPVPNDZDWNWPJ-LDYMZIIASA-N -1 1 303.322 1.697 20 0 DDADMM Cc1n[nH]c(NC(=O)[C@H](C)[C@@H](NC(=O)[O-])c2ccccc2)n1 ZINC000830770242 602847645 /nfs/dbraw/zinc/84/76/45/602847645.db2.gz PLPVPNDZDWNWPJ-LDYMZIIASA-N -1 1 303.322 1.697 20 0 DDADMM CCc1cc(CNC(=O)N2C[C@H](C)N(C(=O)[O-])[C@@H](C)C2)[nH]n1 ZINC000739536896 602885878 /nfs/dbraw/zinc/88/58/78/602885878.db2.gz VTFPCVCLXDIKOA-UWVGGRQHSA-N -1 1 309.370 1.254 20 0 DDADMM C[C@H](CC(=O)NCc1nc2ccc(Cl)cc2[nH]1)NC(=O)[O-] ZINC000824671355 602914962 /nfs/dbraw/zinc/91/49/62/602914962.db2.gz GDRHNNCBIBXPIR-SSDOTTSWSA-N -1 1 310.741 1.879 20 0 DDADMM CCc1cc(CNC(=O)N2CC[C@@H](CN(C)C(=O)[O-])C2)[nH]n1 ZINC000739536025 602916650 /nfs/dbraw/zinc/91/66/50/602916650.db2.gz LQXPEDIXJSGDHK-JTQLQIEISA-N -1 1 309.370 1.113 20 0 DDADMM Cc1cc(CNC(=O)[C@@H](C)[C@@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830040800 602933254 /nfs/dbraw/zinc/93/32/54/602933254.db2.gz DGKUXXBPQPDNLP-SMDDNHRTSA-N -1 1 316.361 1.979 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@H]1NC(=O)c1cc(F)cc2nc[nH]c21 ZINC000740635610 602975779 /nfs/dbraw/zinc/97/57/79/602975779.db2.gz YIPVXECJYIAYMT-LDYMZIIASA-N -1 1 320.324 1.868 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@H]1NC(=O)c1n[nH]c2ccccc21 ZINC000740624357 602982508 /nfs/dbraw/zinc/98/25/08/602982508.db2.gz HSHLXHAPCWPTNK-MWLCHTKSSA-N -1 1 302.334 1.729 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCN(C(=O)[O-])[C@H](C)C1 ZINC000829834878 603003202 /nfs/dbraw/zinc/00/32/02/603003202.db2.gz WUDZSBPPQSBCRN-SNVBAGLBSA-N -1 1 309.370 1.044 20 0 DDADMM COc1ccc(-c2n[nH]c(SCCNC(=O)[O-])n2)c(OC)c1 ZINC000739880890 603049013 /nfs/dbraw/zinc/04/90/13/603049013.db2.gz AHSWZWLLBYFDLZ-UHFFFAOYSA-N -1 1 324.362 1.849 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(NC(=O)[O-])cc2[O-])C[C@@H]1[NH+](C)C ZINC000739167792 603075424 /nfs/dbraw/zinc/07/54/24/603075424.db2.gz OCLUOSPOKTUJSA-CABZTGNLSA-N -1 1 307.350 1.504 20 0 DDADMM CN(CC(=O)OCc1ccnc(-c2nnn[n-]2)c1)c1ccccc1 ZINC000825897473 607607366 /nfs/dbraw/zinc/60/73/66/607607366.db2.gz GPCZIZZKHVZDKN-UHFFFAOYSA-N -1 1 324.344 1.441 20 0 DDADMM CN(CC(=O)OCc1ccnc(-c2nn[n-]n2)c1)c1ccccc1 ZINC000825897473 607607368 /nfs/dbraw/zinc/60/73/68/607607368.db2.gz GPCZIZZKHVZDKN-UHFFFAOYSA-N -1 1 324.344 1.441 20 0 DDADMM O=C([O-])NC1CCC(NC(=O)N2CCN3CCCC[C@H]3C2)CC1 ZINC000740555627 603152848 /nfs/dbraw/zinc/15/28/48/603152848.db2.gz XAZBEDBOOOZSHI-RUXDESIVSA-N -1 1 324.425 1.445 20 0 DDADMM Cc1ccc(-c2cc(C(=O)N(C)CCCNC(=O)[O-])n[nH]2)o1 ZINC000740098382 603238705 /nfs/dbraw/zinc/23/87/05/603238705.db2.gz WWLOEPXYXSVTSQ-UHFFFAOYSA-N -1 1 306.322 1.708 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1CCN(C(=O)[O-])C1)c1ccsc1 ZINC000739604632 603274381 /nfs/dbraw/zinc/27/43/81/603274381.db2.gz ZHHRBEAYHYPZTH-PWSUYJOCSA-N -1 1 311.407 1.467 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1CCN(C(=O)[O-])C1)c1ccc(F)cc1 ZINC000739603527 603283296 /nfs/dbraw/zinc/28/32/96/603283296.db2.gz VWNMQYVXAIKACB-TZMCWYRMSA-N -1 1 323.368 1.545 20 0 DDADMM CC(C)[C@H](CCN(C)C(=O)c1ccc2[nH]nnc2c1)NC(=O)[O-] ZINC000824091952 603566789 /nfs/dbraw/zinc/56/67/89/603566789.db2.gz MPXFBKUAIKHLFL-NSHDSACASA-N -1 1 319.365 1.712 20 0 DDADMM CN(C(=O)c1cnc(-c2ccccc2)[nH]1)[C@H]1CCN(C(=O)[O-])C1 ZINC000827927096 603725430 /nfs/dbraw/zinc/72/54/30/603725430.db2.gz KQXDZRWFSFKTBB-LBPRGKRZSA-N -1 1 314.345 1.901 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)[C@H](C)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000826669312 603811120 /nfs/dbraw/zinc/81/11/20/603811120.db2.gz VNKRVQDMJAOGFN-BFHYXJOUSA-N -1 1 311.426 1.850 20 0 DDADMM O=C([O-])N[C@H](CC(=O)NCc1cnc[nH]1)Cc1ccccc1 ZINC000832104928 603905623 /nfs/dbraw/zinc/90/56/23/603905623.db2.gz KGOURRNLMFJTKW-LBPRGKRZSA-N -1 1 302.334 1.295 20 0 DDADMM CN(Cc1ccc(-n2ccnc2)nc1)[C@H]1CCN(C(=O)[O-])C1 ZINC000828350492 603969489 /nfs/dbraw/zinc/96/94/89/603969489.db2.gz JMBNTTGISVDJQO-ZDUSSCGKSA-N -1 1 301.350 1.451 20 0 DDADMM CCC[C@@H]1CC(=O)N(CN2CCC[C@@H]([C@@H](C)NC(=O)[O-])C2)C1 ZINC000826770065 604025589 /nfs/dbraw/zinc/02/55/89/604025589.db2.gz YCKHZACHSGGXEH-MGPQQGTHSA-N -1 1 311.426 1.961 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)NCc2ccccc2)C1 ZINC000825263154 604179427 /nfs/dbraw/zinc/17/94/27/604179427.db2.gz FGVKJZOQYVPVKR-HIFRSBDPSA-N -1 1 319.405 1.671 20 0 DDADMM CC(C)CCNC(=O)[C@H](C)N1CCC[C@@H]([C@@H](C)NC(=O)[O-])C1 ZINC000824238805 604222243 /nfs/dbraw/zinc/22/22/43/604222243.db2.gz LMFSGWGDFJZTAX-HZSPNIEDSA-N -1 1 313.442 1.905 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NC2CCC(C(=O)[O-])CC2)n[nH]1 ZINC000263272756 604275363 /nfs/dbraw/zinc/27/53/63/604275363.db2.gz RUGKMPXJIWSPLI-OIKLOGQESA-N -1 1 308.382 1.592 20 0 DDADMM O=C([O-])N[C@@H](C(=O)NCCc1nc[nH]n1)c1cccc(Cl)c1 ZINC000832090878 604306366 /nfs/dbraw/zinc/30/63/66/604306366.db2.gz ATMXHYNIULUINJ-LLVKDONJSA-N -1 1 323.740 1.126 20 0 DDADMM CC(C)c1nnc(NC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)s1 ZINC000827168608 604485045 /nfs/dbraw/zinc/48/50/45/604485045.db2.gz OLKDERKNBAOQTA-VIFPVBQESA-N -1 1 314.411 1.689 20 0 DDADMM CN(C)C[C@H]1CCCN1S(=O)(=O)c1csc(C(=O)[O-])c1 ZINC000113251103 604489513 /nfs/dbraw/zinc/48/95/13/604489513.db2.gz KDQPMSNGSHCCDG-SECBINFHSA-N -1 1 318.420 1.161 20 0 DDADMM O=C([O-])c1cc(CN2CCN(c3ccc(O)cc3)CC2)c[nH]1 ZINC000833780142 604516963 /nfs/dbraw/zinc/51/69/63/604516963.db2.gz ROLDTBNURCUPTO-UHFFFAOYSA-N -1 1 301.346 1.741 20 0 DDADMM Cc1nc2ccc(NC(=O)C[C@H]3CN(C(=O)[O-])CCO3)cc2[nH]1 ZINC000830785495 604635250 /nfs/dbraw/zinc/63/52/50/604635250.db2.gz NQDZTWSQUPGPTG-NSHDSACASA-N -1 1 318.333 1.579 20 0 DDADMM O=C([O-])[C@@H]1CCCN1C(=O)c1cccc(Br)c1O ZINC000821509874 604700670 /nfs/dbraw/zinc/70/06/70/604700670.db2.gz ZZQAFTVMGSIOIP-VIFPVBQESA-N -1 1 314.135 1.844 20 0 DDADMM COc1cccc(CN2CCC([C@@H](O)C(=O)[O-])CC2)c1OC ZINC000833735821 604762377 /nfs/dbraw/zinc/76/23/77/604762377.db2.gz XELMFNKEKWKJES-CQSZACIVSA-N -1 1 309.362 1.361 20 0 DDADMM CC(C)Cc1ncc(CN2CCC([C@H](O)C(=O)[O-])CC2)s1 ZINC000833495096 604763910 /nfs/dbraw/zinc/76/39/10/604763910.db2.gz VEABEYZFMPSVFZ-AWEZNQCLSA-N -1 1 312.435 1.999 20 0 DDADMM O=C([O-])c1cccc(NC2CCN(C(=O)c3ccn[nH]3)CC2)c1 ZINC000833331531 604787331 /nfs/dbraw/zinc/78/73/31/604787331.db2.gz DGCVGKNMAYBWRH-UHFFFAOYSA-N -1 1 314.345 1.825 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCN(Cc3ccccc3)CC2)O1 ZINC000833577661 604823049 /nfs/dbraw/zinc/82/30/49/604823049.db2.gz FKSFTMGOSIDNOZ-INIZCTEOSA-N -1 1 316.401 1.952 20 0 DDADMM CC(C)(C(=O)[O-])[C@@H]1CCCN(Cc2nnc3n2CCCC3)C1 ZINC000318632346 604936545 /nfs/dbraw/zinc/93/65/45/604936545.db2.gz CISPSGWSYWTBIB-GFCCVEGCSA-N -1 1 306.410 1.937 20 0 DDADMM CCc1cc(CNC(=O)Nc2nc(CCC(=O)[O-])cs2)n[nH]1 ZINC000830218599 604967649 /nfs/dbraw/zinc/96/76/49/604967649.db2.gz DIGVYNSMWZZLQZ-UHFFFAOYSA-N -1 1 323.378 1.768 20 0 DDADMM O=C([O-])[C@H](O)C1CCN(Cc2cccc3c2OCCO3)CC1 ZINC000833770233 604982779 /nfs/dbraw/zinc/98/27/79/604982779.db2.gz ASDPIRODGOAGQC-CQSZACIVSA-N -1 1 307.346 1.115 20 0 DDADMM CCc1nc([C@H](C)NC(=O)c2[nH]c(C)c(C(=O)[O-])c2C)n[nH]1 ZINC000833674049 605024171 /nfs/dbraw/zinc/02/41/71/605024171.db2.gz OCSWXAHJLOTJGX-QMMMGPOBSA-N -1 1 305.338 1.501 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC1(C2CC2)CC1 ZINC000833626843 605056531 /nfs/dbraw/zinc/05/65/31/605056531.db2.gz RQGZSQAXTTXWES-LBPRGKRZSA-N -1 1 309.410 1.367 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN[C@H](C)c1cccc(OC)c1)C(=O)[O-] ZINC000833638924 605066884 /nfs/dbraw/zinc/06/68/84/605066884.db2.gz LICBPLYJOHFTKR-OZVIIMIRSA-N -1 1 322.405 1.961 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(Cl)cn1 ZINC000833626990 605100459 /nfs/dbraw/zinc/10/04/59/605100459.db2.gz ULAXFMAPTFIJIT-JTQLQIEISA-N -1 1 311.769 1.356 20 0 DDADMM Cc1scc(C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)c1C ZINC000833758910 605103925 /nfs/dbraw/zinc/10/39/25/605103925.db2.gz BPPIRHAOMGOHKF-JTQLQIEISA-N -1 1 310.419 1.986 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cc2ccccc2[nH]1 ZINC000833623075 605105168 /nfs/dbraw/zinc/10/51/68/605105168.db2.gz DJTMFCKMYQOTCV-GFCCVEGCSA-N -1 1 315.373 1.789 20 0 DDADMM C[C@H](O)C[C@@H]1CCCN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000824699317 607738122 /nfs/dbraw/zinc/73/81/22/607738122.db2.gz RLSNFZWFGITFBF-IUCAKERBSA-N -1 1 308.773 1.655 20 0 DDADMM C[C@H](O)C[C@@H]1CCCN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000824699317 607738123 /nfs/dbraw/zinc/73/81/23/607738123.db2.gz RLSNFZWFGITFBF-IUCAKERBSA-N -1 1 308.773 1.655 20 0 DDADMM COC(=O)c1cc(CN2CCN(C3CC3)CC2)cc(C(=O)[O-])c1 ZINC000833712969 605203795 /nfs/dbraw/zinc/20/37/95/605203795.db2.gz PLKYVSVXFQAIRD-UHFFFAOYSA-N -1 1 318.373 1.451 20 0 DDADMM COC(=O)c1cc(CN2CCOC[C@H](C)C2)cc(C(=O)[O-])c1 ZINC000833712365 605207260 /nfs/dbraw/zinc/20/72/60/605207260.db2.gz FZIVNXZDPCDKJX-LLVKDONJSA-N -1 1 307.346 1.640 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC(NC(=O)[O-])CC2)n[nH]1 ZINC000830029459 605301196 /nfs/dbraw/zinc/30/11/96/605301196.db2.gz GWAAFYRDSQLMRJ-VIFPVBQESA-N -1 1 309.370 1.091 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H](F)Cc2ccccc2)CCN1CCC(=O)[O-] ZINC000833620461 605407950 /nfs/dbraw/zinc/40/79/50/605407950.db2.gz XTSYGSXQFLGDGG-UKRRQHHQSA-N -1 1 322.380 1.575 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCN(CCCCO)CC2)cn1 ZINC000834260499 605595505 /nfs/dbraw/zinc/59/55/05/605595505.db2.gz RSXKJTMGJZKANY-UHFFFAOYSA-N -1 1 308.382 1.062 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@H]1NCc1n[nH]cc1Br ZINC000834214586 605615819 /nfs/dbraw/zinc/61/58/19/605615819.db2.gz NEVYVIXBDTZDTI-VXNVDRBHSA-N -1 1 317.187 1.698 20 0 DDADMM O=C([O-])N1CC[C@](F)(C(=O)Nc2cc(C(F)(F)F)n[nH]2)C1 ZINC000834083374 605631090 /nfs/dbraw/zinc/63/10/90/605631090.db2.gz IJUJNZGAIBJMFM-SECBINFHSA-N -1 1 310.207 1.459 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])C[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000833833165 605808022 /nfs/dbraw/zinc/80/80/22/605808022.db2.gz WSIUNSYOVDSPBV-JSGCOSHPSA-N -1 1 321.377 1.212 20 0 DDADMM O=C([O-])N1[C@@H]2CC[C@H]1CC(NC(=O)c1ccc(F)cc1O)C2 ZINC000834018549 605832071 /nfs/dbraw/zinc/83/20/71/605832071.db2.gz YUWHICUBMUBTTQ-FGWVZKOKSA-N -1 1 308.309 1.935 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCN(c3ccc(F)cn3)CC2)C1 ZINC000834080019 605975715 /nfs/dbraw/zinc/97/57/15/605975715.db2.gz YVIAVXILAISYRI-GFCCVEGCSA-N -1 1 308.357 1.343 20 0 DDADMM C[C@H]1[C@H](C)N(C(=O)[O-])CCN1Cc1ccc(-n2cncn2)cc1 ZINC000833828766 606073379 /nfs/dbraw/zinc/07/33/79/606073379.db2.gz PJCJOOPLBLVYNA-STQMWFEESA-N -1 1 315.377 1.840 20 0 DDADMM c1cnc(N2CCC[C@]3(CCCOC3)C2)c(-c2nnn[n-]2)n1 ZINC000823810494 606142893 /nfs/dbraw/zinc/14/28/93/606142893.db2.gz ZCSDNSCARFOWBC-AWEZNQCLSA-N -1 1 301.354 1.054 20 0 DDADMM c1cnc(N2CCC[C@]3(CCCOC3)C2)c(-c2nn[n-]n2)n1 ZINC000823810494 606142895 /nfs/dbraw/zinc/14/28/95/606142895.db2.gz ZCSDNSCARFOWBC-AWEZNQCLSA-N -1 1 301.354 1.054 20 0 DDADMM CC(C)[C@@H]1CCCN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820038127 606161968 /nfs/dbraw/zinc/16/19/68/606161968.db2.gz LHUZTBGCMXHXFC-JTQLQIEISA-N -1 1 304.358 1.253 20 0 DDADMM CC(C)[C@@H]1CCCN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820038127 606161969 /nfs/dbraw/zinc/16/19/69/606161969.db2.gz LHUZTBGCMXHXFC-JTQLQIEISA-N -1 1 304.358 1.253 20 0 DDADMM CS[C@@H]1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000821951494 606163862 /nfs/dbraw/zinc/16/38/62/606163862.db2.gz ZFUMLHXDEXTUBT-HTQZYQBOSA-N -1 1 322.398 1.006 20 0 DDADMM CS[C@@H]1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000821951494 606163863 /nfs/dbraw/zinc/16/38/63/606163863.db2.gz ZFUMLHXDEXTUBT-HTQZYQBOSA-N -1 1 322.398 1.006 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2Cc3ccccc3C2)n1 ZINC000822609501 606170286 /nfs/dbraw/zinc/17/02/86/606170286.db2.gz OIXSIZBIIYFHSH-UHFFFAOYSA-N -1 1 310.321 1.148 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2Cc3ccccc3C2)n1 ZINC000822609501 606170288 /nfs/dbraw/zinc/17/02/88/606170288.db2.gz OIXSIZBIIYFHSH-UHFFFAOYSA-N -1 1 310.321 1.148 20 0 DDADMM Cc1ccc(C(=O)N(C)c2snc(C)c2-c2nnn[n-]2)o1 ZINC000822226080 606238455 /nfs/dbraw/zinc/23/84/55/606238455.db2.gz BPYZFDOZBDOCAQ-UHFFFAOYSA-N -1 1 304.335 1.810 20 0 DDADMM Cc1ccc(C(=O)N(C)c2snc(C)c2-c2nn[n-]n2)o1 ZINC000822226080 606238456 /nfs/dbraw/zinc/23/84/56/606238456.db2.gz BPYZFDOZBDOCAQ-UHFFFAOYSA-N -1 1 304.335 1.810 20 0 DDADMM COC(=O)[C@H]1CCCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821656101 606545123 /nfs/dbraw/zinc/54/51/23/606545123.db2.gz XRFMSRIWWSNCBB-MRVPVSSYSA-N -1 1 321.362 1.096 20 0 DDADMM COC(=O)[C@H]1CCCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821656101 606545124 /nfs/dbraw/zinc/54/51/24/606545124.db2.gz XRFMSRIWWSNCBB-MRVPVSSYSA-N -1 1 321.362 1.096 20 0 DDADMM CCc1cc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)on1 ZINC000821470191 606571059 /nfs/dbraw/zinc/57/10/59/606571059.db2.gz NNLXUYFWNCSQCJ-UHFFFAOYSA-N -1 1 304.335 1.409 20 0 DDADMM CCc1cc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)on1 ZINC000821470191 606571061 /nfs/dbraw/zinc/57/10/61/606571061.db2.gz NNLXUYFWNCSQCJ-UHFFFAOYSA-N -1 1 304.335 1.409 20 0 DDADMM c1cnn(Cc2noc(-c3ccc(-c4nnn[n-]4)s3)n2)c1 ZINC000823816394 606620818 /nfs/dbraw/zinc/62/08/18/606620818.db2.gz UUENNARBISZULJ-UHFFFAOYSA-N -1 1 300.307 1.223 20 0 DDADMM c1cnn(Cc2noc(-c3ccc(-c4nn[n-]n4)s3)n2)c1 ZINC000823816394 606620819 /nfs/dbraw/zinc/62/08/19/606620819.db2.gz UUENNARBISZULJ-UHFFFAOYSA-N -1 1 300.307 1.223 20 0 DDADMM Cc1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c(C)n1 ZINC000822227302 606648758 /nfs/dbraw/zinc/64/87/58/606648758.db2.gz CQGRROBZZZMLKA-UHFFFAOYSA-N -1 1 310.317 1.631 20 0 DDADMM Cc1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c(C)n1 ZINC000822227302 606648759 /nfs/dbraw/zinc/64/87/59/606648759.db2.gz CQGRROBZZZMLKA-UHFFFAOYSA-N -1 1 310.317 1.631 20 0 DDADMM CO[C@@H](C(=O)Nc1ncc(-c2nnn[n-]2)s1)c1ccccc1 ZINC000821672612 607023420 /nfs/dbraw/zinc/02/34/20/607023420.db2.gz QYECTYVPKLOGDS-SNVBAGLBSA-N -1 1 316.346 1.649 20 0 DDADMM CO[C@@H](C(=O)Nc1ncc(-c2nn[n-]n2)s1)c1ccccc1 ZINC000821672612 607023422 /nfs/dbraw/zinc/02/34/22/607023422.db2.gz QYECTYVPKLOGDS-SNVBAGLBSA-N -1 1 316.346 1.649 20 0 DDADMM O=C(N[C@@H]1CCCC(F)(F)C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823151035 607169309 /nfs/dbraw/zinc/16/93/09/607169309.db2.gz VYCAJPXERLIUPC-SECBINFHSA-N -1 1 308.292 1.569 20 0 DDADMM O=C(N[C@@H]1CCCC(F)(F)C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823151035 607169310 /nfs/dbraw/zinc/16/93/10/607169310.db2.gz VYCAJPXERLIUPC-SECBINFHSA-N -1 1 308.292 1.569 20 0 DDADMM C[C@H](O)C[C@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000824699716 607779307 /nfs/dbraw/zinc/77/93/07/607779307.db2.gz UTMGKVFDZPYZSB-DTWKUNHWSA-N -1 1 307.379 1.304 20 0 DDADMM C[C@H](O)C[C@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000824699716 607779308 /nfs/dbraw/zinc/77/93/08/607779308.db2.gz UTMGKVFDZPYZSB-DTWKUNHWSA-N -1 1 307.379 1.304 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000826394879 607928791 /nfs/dbraw/zinc/92/87/91/607928791.db2.gz COPLBAXXEKVELV-HBNTYKKESA-N -1 1 315.381 1.892 20 0 DDADMM C[C@@H](CO)CCCNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000824435123 607967184 /nfs/dbraw/zinc/96/71/84/607967184.db2.gz LTQWDGQCNXYRRQ-SNVBAGLBSA-N -1 1 313.365 1.630 20 0 DDADMM C[C@@H](CO)CCCNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000824435123 607967185 /nfs/dbraw/zinc/96/71/85/607967185.db2.gz LTQWDGQCNXYRRQ-SNVBAGLBSA-N -1 1 313.365 1.630 20 0 DDADMM C[C@@H]1CC(=O)CC[C@H]1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824869107 608029587 /nfs/dbraw/zinc/02/95/87/608029587.db2.gz YISMXVGUBDKFPW-BXKDBHETSA-N -1 1 315.333 1.310 20 0 DDADMM C[C@@H]1CC(=O)CC[C@H]1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824869107 608029588 /nfs/dbraw/zinc/02/95/88/608029588.db2.gz YISMXVGUBDKFPW-BXKDBHETSA-N -1 1 315.333 1.310 20 0 DDADMM O=C(NC1CCC(F)(F)CC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826429956 608060771 /nfs/dbraw/zinc/06/07/71/608060771.db2.gz QMAWNLZWIOMQDX-UHFFFAOYSA-N -1 1 308.292 1.569 20 0 DDADMM O=C(NC1CCC(F)(F)CC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826429956 608060772 /nfs/dbraw/zinc/06/07/72/608060772.db2.gz QMAWNLZWIOMQDX-UHFFFAOYSA-N -1 1 308.292 1.569 20 0 DDADMM COc1cccc(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)c1F ZINC000826214794 608066599 /nfs/dbraw/zinc/06/65/99/608066599.db2.gz XGNHJVVWVUVUIK-UHFFFAOYSA-N -1 1 317.284 1.000 20 0 DDADMM COc1cccc(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)c1F ZINC000826214794 608066600 /nfs/dbraw/zinc/06/66/00/608066600.db2.gz XGNHJVVWVUVUIK-UHFFFAOYSA-N -1 1 317.284 1.000 20 0 DDADMM CC(C)(C)[C@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)CCO1 ZINC000824077755 608149076 /nfs/dbraw/zinc/14/90/76/608149076.db2.gz FHRIKOJOMOKKCC-NXEZZACHSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)(C)[C@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)CCO1 ZINC000824077755 608149078 /nfs/dbraw/zinc/14/90/78/608149078.db2.gz FHRIKOJOMOKKCC-NXEZZACHSA-N -1 1 303.370 1.662 20 0 DDADMM C[C@@H](Nc1snc(Cl)c1-c1nnn[n-]1)c1nncn1C ZINC000824670364 608176689 /nfs/dbraw/zinc/17/66/89/608176689.db2.gz MSFBLRIXVUSSIV-SCSAIBSYSA-N -1 1 311.762 1.278 20 0 DDADMM C[C@@H](Nc1snc(Cl)c1-c1nn[n-]n1)c1nncn1C ZINC000824670364 608176691 /nfs/dbraw/zinc/17/66/91/608176691.db2.gz MSFBLRIXVUSSIV-SCSAIBSYSA-N -1 1 311.762 1.278 20 0 DDADMM Cc1ccncc1[C@H](C)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826314619 608201684 /nfs/dbraw/zinc/20/16/84/608201684.db2.gz FQGKTAMOIRHTSF-JTQLQIEISA-N -1 1 309.333 1.456 20 0 DDADMM Cc1ccncc1[C@H](C)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826314619 608201686 /nfs/dbraw/zinc/20/16/86/608201686.db2.gz FQGKTAMOIRHTSF-JTQLQIEISA-N -1 1 309.333 1.456 20 0 DDADMM C[C@@H](CO)Cn1cc(-c2nn[n-]n2)c(=O)c2cc(Cl)ccc21 ZINC000824442575 608263976 /nfs/dbraw/zinc/26/39/76/608263976.db2.gz XCRDGBLHOHPIOV-MRVPVSSYSA-N -1 1 319.752 1.463 20 0 DDADMM COc1ccc(CNc2ccc(-c3nnn[n-]3)nn2)c(OC)c1 ZINC000826203776 608401873 /nfs/dbraw/zinc/40/18/73/608401873.db2.gz FTTVCQPTLFDGOV-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM COc1ccc(CNc2ccc(-c3nn[n-]n3)nn2)c(OC)c1 ZINC000826203776 608401875 /nfs/dbraw/zinc/40/18/75/608401875.db2.gz FTTVCQPTLFDGOV-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@@H]1[C@H]2CCO[C@@H]2C12CCCC2 ZINC000826514349 608438105 /nfs/dbraw/zinc/43/81/05/608438105.db2.gz ORNWVPKQAPXLMQ-WQAKAFBOSA-N -1 1 313.365 1.416 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@@H]1[C@H]2CCO[C@@H]2C12CCCC2 ZINC000826514349 608438106 /nfs/dbraw/zinc/43/81/06/608438106.db2.gz ORNWVPKQAPXLMQ-WQAKAFBOSA-N -1 1 313.365 1.416 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@@H]1CC[C@@H]2SCCS[C@H]12 ZINC000826514292 608439494 /nfs/dbraw/zinc/43/94/94/608439494.db2.gz NAQGRYQDDYBQKU-POZPLHJXSA-N -1 1 321.435 1.448 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@@H]1CC[C@@H]2SCCS[C@H]12 ZINC000826514292 608439496 /nfs/dbraw/zinc/43/94/96/608439496.db2.gz NAQGRYQDDYBQKU-POZPLHJXSA-N -1 1 321.435 1.448 20 0 DDADMM Cc1ccc([C@@H]2COCCN2Cc2ccc(-c3nnn[n-]3)o2)o1 ZINC000826285728 608710892 /nfs/dbraw/zinc/71/08/92/608710892.db2.gz ICPXMCGJFURHHG-LBPRGKRZSA-N -1 1 315.333 1.935 20 0 DDADMM Cc1ccc([C@@H]2COCCN2Cc2ccc(-c3nn[n-]n3)o2)o1 ZINC000826285728 608710893 /nfs/dbraw/zinc/71/08/93/608710893.db2.gz ICPXMCGJFURHHG-LBPRGKRZSA-N -1 1 315.333 1.935 20 0 DDADMM CN(CC1CCCCC1)C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000825908123 608892376 /nfs/dbraw/zinc/89/23/76/608892376.db2.gz FKSVGCKYBXTEBK-UHFFFAOYSA-N -1 1 303.370 1.102 20 0 DDADMM CN(CC1CCCCC1)C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000825908123 608892377 /nfs/dbraw/zinc/89/23/77/608892377.db2.gz FKSVGCKYBXTEBK-UHFFFAOYSA-N -1 1 303.370 1.102 20 0 DDADMM Fc1ccccc1-c1cnc(Cn2ccnc2-c2nnn[n-]2)o1 ZINC000826378143 608894874 /nfs/dbraw/zinc/89/48/74/608894874.db2.gz XJARTJQTTLZFLT-UHFFFAOYSA-N -1 1 311.280 1.906 20 0 DDADMM Fc1ccccc1-c1cnc(Cn2ccnc2-c2nn[n-]n2)o1 ZINC000826378143 608894875 /nfs/dbraw/zinc/89/48/75/608894875.db2.gz XJARTJQTTLZFLT-UHFFFAOYSA-N -1 1 311.280 1.906 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCC[C@H]1c1ncc[nH]1 ZINC000826472720 609263493 /nfs/dbraw/zinc/26/34/93/609263493.db2.gz VBEBTNSDPXUBGT-QMMMGPOBSA-N -1 1 315.362 1.629 20 0 DDADMM COC(=O)[C@H]1CCCCCN1Cc1ccc(-c2nnn[n-]2)o1 ZINC000826134712 609368493 /nfs/dbraw/zinc/36/84/93/609368493.db2.gz ZKTBORGKBJFWAS-LLVKDONJSA-N -1 1 305.338 1.377 20 0 DDADMM COC(=O)[C@H]1CCCCCN1Cc1ccc(-c2nn[n-]n2)o1 ZINC000826134712 609368496 /nfs/dbraw/zinc/36/84/96/609368496.db2.gz ZKTBORGKBJFWAS-LLVKDONJSA-N -1 1 305.338 1.377 20 0 DDADMM O=C(C[C@@H]1C=CCC1)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000972947103 695375557 /nfs/dbraw/zinc/37/55/57/695375557.db2.gz AYBDKCMIJXYEJF-JHJVBQTASA-N -1 1 315.373 1.521 20 0 DDADMM CC(C)(C)C(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000975081754 695790112 /nfs/dbraw/zinc/79/01/12/695790112.db2.gz PPHNZQHFXIXODT-VXGBXAGGSA-N -1 1 317.389 1.506 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975219542 695816047 /nfs/dbraw/zinc/81/60/47/695816047.db2.gz JNPAHOKTAIJACR-ZDUSSCGKSA-N -1 1 317.389 1.722 20 0 DDADMM CC[C@@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976403691 695971722 /nfs/dbraw/zinc/97/17/22/695971722.db2.gz NSOIGIAWZMEONN-DCQANWLSSA-N -1 1 303.362 1.020 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2cccn2C)cc1 ZINC000008773418 696036655 /nfs/dbraw/zinc/03/66/55/696036655.db2.gz IUIGXDNTJNTLIR-UHFFFAOYSA-N -1 1 316.313 1.147 20 0 DDADMM C[C@](O)(CNC(=O)C(=O)c1ccc([O-])cc1)c1ccsc1 ZINC000798296161 700055446 /nfs/dbraw/zinc/05/54/46/700055446.db2.gz DCHYTXKTXWENQQ-HNNXBMFYSA-N -1 1 305.355 1.660 20 0 DDADMM O=C(COc1ccc(F)cc1)N[N-]C(=O)c1cccnc1Cl ZINC000016069567 696060215 /nfs/dbraw/zinc/06/02/15/696060215.db2.gz RPZKYYFNOXKWEH-UHFFFAOYSA-N -1 1 323.711 1.714 20 0 DDADMM CC(=O)c1cccc(S(=O)(=O)[N-]C(=O)[C@@H]2CC2[N+](=O)[O-])c1 ZINC000048561899 696214039 /nfs/dbraw/zinc/21/40/39/696214039.db2.gz NVRIDVVYGOXKHR-GHMZBOCLSA-N -1 1 312.303 1.200 20 0 DDADMM CN(C[C@H]1CCN1C(=O)C1=CCCC1)C(=O)c1ncccc1[O-] ZINC000977600243 696229826 /nfs/dbraw/zinc/22/98/26/696229826.db2.gz BFQGEFLITAATDE-CYBMUJFWSA-N -1 1 315.373 1.570 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCCOC(C)C ZINC000057604401 696298536 /nfs/dbraw/zinc/29/85/36/696298536.db2.gz KZUFITYOIJHUKO-UHFFFAOYSA-N -1 1 321.377 1.953 20 0 DDADMM CCn1c(CNC(=O)C(=O)c2ccc(C)cc2)n[n-]c1=S ZINC000066637158 696354433 /nfs/dbraw/zinc/35/44/33/696354433.db2.gz MLLQGZZAYXNOLB-UHFFFAOYSA-N -1 1 304.375 1.768 20 0 DDADMM O=C([N-]NC(=O)c1cccc([N+](=O)[O-])c1)c1ccncc1Cl ZINC000072934083 696398752 /nfs/dbraw/zinc/39/87/52/696398752.db2.gz KPNYYQSTVDMIHR-UHFFFAOYSA-N -1 1 320.692 1.718 20 0 DDADMM CCn1c(CCNC(=O)CC2(O)CCCCC2)n[n-]c1=S ZINC000073497252 696404703 /nfs/dbraw/zinc/40/47/03/696404703.db2.gz HFFFFJDJIUOSAY-UHFFFAOYSA-N -1 1 312.439 1.705 20 0 DDADMM CC(C)Nc1ccc(F)cc1NC(=O)Cc1sc(N)nc1[O-] ZINC000074254687 696412297 /nfs/dbraw/zinc/41/22/97/696412297.db2.gz JHPMZEGLLURPHM-LLVKDONJSA-N -1 1 324.381 1.931 20 0 DDADMM Cc1ccc(NC(=O)Cc2sc(N)nc2[O-])c2n[nH]cc21 ZINC000079817818 696463924 /nfs/dbraw/zinc/46/39/24/696463924.db2.gz VYTMRSUOTILBHZ-SECBINFHSA-N -1 1 303.347 1.157 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCCCc3ccccc32)s1 ZINC000080023786 696466174 /nfs/dbraw/zinc/46/61/74/696466174.db2.gz BLQCGTUJYBMJFH-LBPRGKRZSA-N -1 1 303.387 1.703 20 0 DDADMM Cc1cccc([C@H](C)NC(=O)Cc2sc(N)nc2[O-])c1C ZINC000080321941 696533282 /nfs/dbraw/zinc/53/32/82/696533282.db2.gz GRRXCFVGPPHLBC-CMPLNLGQSA-N -1 1 305.403 1.827 20 0 DDADMM CCCCC[C@H](C)NC(=O)CS(=O)(=O)c1n[n-]c(CC)n1 ZINC000086170670 696565406 /nfs/dbraw/zinc/56/54/06/696565406.db2.gz YTNNYHKPIZYIKC-JTQLQIEISA-N -1 1 316.427 1.226 20 0 DDADMM CON(C)C(=O)CN1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000091596990 696589984 /nfs/dbraw/zinc/58/99/84/696589984.db2.gz SZFZBUVYDCYXRY-UHFFFAOYSA-N -1 1 306.362 1.307 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)NNC(=O)c1cc2ccccc2cc1[O-] ZINC000092716196 696596476 /nfs/dbraw/zinc/59/64/76/696596476.db2.gz FZANXMMYLNWVTD-GWCFXTLKSA-N -1 1 314.341 1.731 20 0 DDADMM Cc1cc(C(=O)NN2CC(=O)[N-]C2=O)c(C)n1C1CCCCC1 ZINC000102783262 696611881 /nfs/dbraw/zinc/61/18/81/696611881.db2.gz HRSOGWKUWVMCKA-UHFFFAOYSA-N -1 1 318.377 1.807 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(Cc2ccc(C)cc2)C1 ZINC000748772500 700134111 /nfs/dbraw/zinc/13/41/11/700134111.db2.gz GRKZXFXWLZUPDA-CQSZACIVSA-N -1 1 306.362 1.038 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000982592464 697155136 /nfs/dbraw/zinc/15/51/36/697155136.db2.gz ZTOKOYYSIHMEAM-VXGBXAGGSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2c(Cl)cccc2Cl)C(=O)O1 ZINC000748861921 700139460 /nfs/dbraw/zinc/13/94/60/700139460.db2.gz IOXACRYALAHSLT-HZGVNTEJSA-N -1 1 324.185 1.976 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H](NC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000983416962 697259927 /nfs/dbraw/zinc/25/99/27/697259927.db2.gz MVJHAUSNBDXJCN-CHWSQXEVSA-N -1 1 317.389 1.745 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H](CNC(=O)C2CC2)C1 ZINC000983738277 697293332 /nfs/dbraw/zinc/29/33/32/697293332.db2.gz DVVGTGWVJDLUPH-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)C2CCC2)C1 ZINC000983775976 697298751 /nfs/dbraw/zinc/29/87/51/697298751.db2.gz XNOAXIXTTLQGEV-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(CCc1ccccc1)N1CC[C@H]([N-]C(=O)C(F)(F)F)C1 ZINC000158881695 697305046 /nfs/dbraw/zinc/30/50/46/697305046.db2.gz VXLDBZDIEBTRLS-LBPRGKRZSA-N -1 1 314.307 1.899 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)N1CCc2ccccc21)c1nn[n-]n1 ZINC000176740528 697405825 /nfs/dbraw/zinc/40/58/25/697405825.db2.gz AIXCQGMDJCRBSB-YPMHNXCESA-N -1 1 314.393 1.608 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(Cc2ccccc2)OC)co1 ZINC000180847996 697452755 /nfs/dbraw/zinc/45/27/55/697452755.db2.gz BJQKQUCPTLULFC-UHFFFAOYSA-N -1 1 324.358 1.392 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@@H]1CC=CCC1 ZINC000181997920 697469045 /nfs/dbraw/zinc/46/90/45/697469045.db2.gz LAHPVPXSKATWDP-LLVKDONJSA-N -1 1 302.334 1.168 20 0 DDADMM O=C(NNC(=O)c1cc(Cl)ccc1[O-])c1ccc(F)cn1 ZINC000182645397 697477650 /nfs/dbraw/zinc/47/76/50/697477650.db2.gz WNBJHAAACCZSKR-UHFFFAOYSA-N -1 1 309.684 1.655 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(Cl)c(Cl)[nH]1)c1nn[n-]n1 ZINC000183397405 697487754 /nfs/dbraw/zinc/48/77/54/697487754.db2.gz CBCNQXFWEBMSQT-RXMQYKEDSA-N -1 1 303.153 1.710 20 0 DDADMM Cc1ccccc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000985904185 697599329 /nfs/dbraw/zinc/59/93/29/697599329.db2.gz SNVHKHIUJIAILA-DGCLKSJQSA-N -1 1 315.377 1.212 20 0 DDADMM CN(Cc1cc(=O)oc2cc([O-])ccc12)[C@@H]1CCS(=O)(=O)C1 ZINC000194315385 697704430 /nfs/dbraw/zinc/70/44/30/697704430.db2.gz ZBXRJYXDSMXQCQ-LLVKDONJSA-N -1 1 323.370 1.118 20 0 DDADMM CCOC(=O)CN(CC)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000194636423 697716842 /nfs/dbraw/zinc/71/68/42/697716842.db2.gz OCBZVUKDHSJQHF-UHFFFAOYSA-N -1 1 305.330 1.884 20 0 DDADMM COc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1F ZINC000773157509 697720564 /nfs/dbraw/zinc/72/05/64/697720564.db2.gz KUKFEJRVHBIJLL-JTQLQIEISA-N -1 1 323.353 1.278 20 0 DDADMM CCc1oc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1C ZINC000773160113 697721091 /nfs/dbraw/zinc/72/10/91/697721091.db2.gz HQXAPHDHQOKTJJ-VIFPVBQESA-N -1 1 307.379 1.594 20 0 DDADMM O=C(Cc1cccc(F)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773159630 697721651 /nfs/dbraw/zinc/72/16/51/697721651.db2.gz KOOSTDPGMLIRBA-LLVKDONJSA-N -1 1 307.354 1.198 20 0 DDADMM COc1ccc(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1 ZINC000773160894 697722101 /nfs/dbraw/zinc/72/21/01/697722101.db2.gz WNVDGRQOYYUFOC-GFCCVEGCSA-N -1 1 319.390 1.068 20 0 DDADMM O=C([N-]CCCn1cc([N+](=O)[O-])c(C2CC2)n1)C(F)(F)F ZINC000195043914 697728813 /nfs/dbraw/zinc/72/88/13/697728813.db2.gz YGQUGRLPPXXCGM-UHFFFAOYSA-N -1 1 306.244 1.737 20 0 DDADMM C[S@](=O)CCNC(=O)c1ccc(Br)c([O-])c1 ZINC000228317085 697774216 /nfs/dbraw/zinc/77/42/16/697774216.db2.gz RTOXMUMVAKMZFV-INIZCTEOSA-N -1 1 306.181 1.263 20 0 DDADMM CCS(=O)(=O)NCCCOC(=O)c1ccc([O-])cc1F ZINC000773567935 697782500 /nfs/dbraw/zinc/78/25/00/697782500.db2.gz ZGSRIHYFNRDKKM-UHFFFAOYSA-N -1 1 305.327 1.018 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)c2cnccc2C)o1 ZINC000773941726 697825207 /nfs/dbraw/zinc/82/52/07/697825207.db2.gz FQORGBOQCFFIMC-SNVBAGLBSA-N -1 1 324.358 1.809 20 0 DDADMM O=C(c1ccc(Cl)nc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000774272114 697861446 /nfs/dbraw/zinc/86/14/46/697861446.db2.gz HPWUPVQVGOCJGM-UHFFFAOYSA-N -1 1 308.725 1.843 20 0 DDADMM CCOCCONC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000775230679 697973338 /nfs/dbraw/zinc/97/33/38/697973338.db2.gz AJAOAANGZVBLAJ-UHFFFAOYSA-N -1 1 322.365 1.069 20 0 DDADMM C[C@H](C(=O)[N-]OC1CCOCC1)N1CCc2ccccc2C1 ZINC000775240350 697974205 /nfs/dbraw/zinc/97/42/05/697974205.db2.gz GSWXJTKJKMEQTM-CYBMUJFWSA-N -1 1 304.390 1.660 20 0 DDADMM O=C([C@H](O)c1ccccc1Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000775545373 698008398 /nfs/dbraw/zinc/00/83/98/698008398.db2.gz NMGBUUVOHFOQHA-BXKDBHETSA-N -1 1 321.768 1.293 20 0 DDADMM O=C([C@H]1CC1(Cl)Cl)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776562591 698117288 /nfs/dbraw/zinc/11/72/88/698117288.db2.gz DUGGNLCWIMZLAU-RITPCOANSA-N -1 1 308.194 1.010 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H](C)c1ccco1 ZINC000777360847 698182501 /nfs/dbraw/zinc/18/25/01/698182501.db2.gz JYFQDQJCHNCTOQ-VIFPVBQESA-N -1 1 316.317 1.208 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C1(C)CCCC1 ZINC000777362248 698183041 /nfs/dbraw/zinc/18/30/41/698183041.db2.gz IBRJIRLAYASGDJ-UHFFFAOYSA-N -1 1 304.350 1.392 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CCCC1 ZINC000987685939 698198006 /nfs/dbraw/zinc/19/80/06/698198006.db2.gz VBSLQJCOXCSXIH-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM CCOC(=O)[C@H](C)N(C)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000777615524 698207830 /nfs/dbraw/zinc/20/78/30/698207830.db2.gz LIAFHELXWYDIOX-JTQLQIEISA-N -1 1 305.330 1.882 20 0 DDADMM O=C(NCCc1nnc2ccccn21)c1ccc([O-])cc1F ZINC000777803527 698223656 /nfs/dbraw/zinc/22/36/56/698223656.db2.gz PRDIJLABFXLLPN-UHFFFAOYSA-N -1 1 300.293 1.547 20 0 DDADMM CC(C)(C)c1ccccc1S(=O)(=O)[N-]c1cc(C(N)=O)[nH]n1 ZINC000778084095 698240933 /nfs/dbraw/zinc/24/09/33/698240933.db2.gz DIDZZCDQABREIE-UHFFFAOYSA-N -1 1 322.390 1.607 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(F)cc1 ZINC000987842199 698244791 /nfs/dbraw/zinc/24/47/91/698244791.db2.gz NHGLJMMSFFNZNF-JOYOIKCWSA-N -1 1 319.340 1.042 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CCCCC1 ZINC000987876197 698255552 /nfs/dbraw/zinc/25/55/52/698255552.db2.gz KNQODOPAIBETFA-JQWIXIFHSA-N -1 1 307.398 1.170 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C=C1CCCCC1 ZINC000988288840 698322977 /nfs/dbraw/zinc/32/29/77/698322977.db2.gz GPMLCFOCSDJMEQ-DGCLKSJQSA-N -1 1 319.409 1.480 20 0 DDADMM CCC(N[C@@H]1CCC[C@H](C)[C@H]1C)=C1C(=O)[N-]C(=S)NC1=O ZINC000249115372 698387506 /nfs/dbraw/zinc/38/75/06/698387506.db2.gz QJMUCOFQVFPBSD-IQJOONFLSA-N -1 1 309.435 1.596 20 0 DDADMM COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)c2ncc(C)cc2[O-])C1 ZINC000779101677 698396067 /nfs/dbraw/zinc/39/60/67/698396067.db2.gz QWEFCYPUARXWNO-NWDGAFQWSA-N -1 1 321.377 1.692 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C(F)=C1CCCC1 ZINC000988598757 698415800 /nfs/dbraw/zinc/41/58/00/698415800.db2.gz BFIKEYUWYSEIOF-KOLCDFICSA-N -1 1 323.372 1.387 20 0 DDADMM Cc1conc1CN[C@@H]1C[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC000988692042 698439220 /nfs/dbraw/zinc/43/92/20/698439220.db2.gz SGURNIKYVBJSNM-VXGBXAGGSA-N -1 1 316.361 1.476 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CCC[C@H]1C(=O)[O-])c1ccc(F)cc1 ZINC000780452302 698519620 /nfs/dbraw/zinc/51/96/20/698519620.db2.gz VAUKLXLFIYWXLV-UONOGXRCSA-N -1 1 323.368 1.687 20 0 DDADMM C[C@H](CNC(=O)N[C@@H](C)C(=O)[O-])N1CCc2ccccc2C1 ZINC000780479374 698523264 /nfs/dbraw/zinc/52/32/64/698523264.db2.gz CVFAMFPEAFYMNV-NEPJUHHUSA-N -1 1 305.378 1.206 20 0 DDADMM O=C([O-])c1ccccc1CC(=O)N1CCN(CC2CC2)CC1 ZINC000317452246 698698218 /nfs/dbraw/zinc/69/82/18/698698218.db2.gz WAUUWJROINQTDA-UHFFFAOYSA-N -1 1 302.374 1.482 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)OCc2cc(=O)oc3cc([O-])ccc23)CO1 ZINC000784277428 698924268 /nfs/dbraw/zinc/92/42/68/698924268.db2.gz YSYSVGUJEGVZMD-MWLCHTKSSA-N -1 1 304.298 1.967 20 0 DDADMM COCC1([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])CCC1 ZINC000378707394 699056806 /nfs/dbraw/zinc/05/68/06/699056806.db2.gz QQUAAJYPRIXBIA-UHFFFAOYSA-N -1 1 318.326 1.581 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2CC=CC2)c(Br)n1C ZINC000383139146 699069069 /nfs/dbraw/zinc/06/90/69/699069069.db2.gz AEVUYVLODMPNLM-UHFFFAOYSA-N -1 1 320.212 1.488 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC[C@@H](F)C1 ZINC000991308723 699298207 /nfs/dbraw/zinc/29/82/07/699298207.db2.gz WDFCJDNMVQGSAV-GHMZBOCLSA-N -1 1 321.352 1.256 20 0 DDADMM CCN(CC)C(=O)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000725826638 699335617 /nfs/dbraw/zinc/33/56/17/699335617.db2.gz HMJUXMKMRRQCRX-UHFFFAOYSA-N -1 1 306.334 1.112 20 0 DDADMM CCO[N-]C(=O)C(=O)Nc1cccc2c1OCC[C@H]2N(C)C ZINC000789777583 699408610 /nfs/dbraw/zinc/40/86/10/699408610.db2.gz YOYMAGUWUSIYMD-GFCCVEGCSA-N -1 1 307.350 1.078 20 0 DDADMM CC[C@H](Cc1ccccc1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727860713 699428714 /nfs/dbraw/zinc/42/87/14/699428714.db2.gz HLABQSIOIRBAFU-CQSZACIVSA-N -1 1 315.373 1.064 20 0 DDADMM C[C@]1(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC=CCC1 ZINC000991905626 699439415 /nfs/dbraw/zinc/43/94/15/699439415.db2.gz FTTLAXNKISQMFB-KRWDZBQOSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(CCCCCc1ccc(O)cc1)NN1CC(=O)[N-]C1=O ZINC000729510272 699481050 /nfs/dbraw/zinc/48/10/50/699481050.db2.gz MHKSBSSDEABWPG-UHFFFAOYSA-N -1 1 305.334 1.078 20 0 DDADMM CC(C)(C)c1nc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cs1 ZINC000732091569 699546043 /nfs/dbraw/zinc/54/60/43/699546043.db2.gz JELHHSRIOINZPV-SECBINFHSA-N -1 1 322.394 1.167 20 0 DDADMM CC(C)CN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CCCC1 ZINC000733141442 699580498 /nfs/dbraw/zinc/58/04/98/699580498.db2.gz LLQBUMUKZSLWIJ-UHFFFAOYSA-N -1 1 307.394 1.354 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cccc(C(F)F)c1 ZINC000733143967 699580551 /nfs/dbraw/zinc/58/05/51/699580551.db2.gz KIDYYSHJBSQHKL-UHFFFAOYSA-N -1 1 309.272 1.503 20 0 DDADMM Cc1nc(Cl)ccc1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791164496 699613622 /nfs/dbraw/zinc/61/36/22/699613622.db2.gz LUXGSRLCXCJMSD-UHFFFAOYSA-N -1 1 301.737 1.951 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCc1ncccn1 ZINC000791351283 699626227 /nfs/dbraw/zinc/62/62/27/699626227.db2.gz HBRDMQNFSUUFGO-UHFFFAOYSA-N -1 1 321.358 1.595 20 0 DDADMM CC(=CC(=O)NCc1nn[n-]n1)c1ccccc1C(F)(F)F ZINC000735450335 699694462 /nfs/dbraw/zinc/69/44/62/699694462.db2.gz YQCPOOIDZXOWLW-VURMDHGXSA-N -1 1 311.267 1.938 20 0 DDADMM O=C(Nc1nn[n-]n1)C(=Cc1ccco1)NC(=O)c1ccccc1 ZINC000736157960 699719484 /nfs/dbraw/zinc/71/94/84/699719484.db2.gz YLFVQAVLOPISOA-FMIVXFBMSA-N -1 1 324.300 1.202 20 0 DDADMM C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1c([O-])cccc1Cl ZINC000736709639 699730579 /nfs/dbraw/zinc/73/05/79/699730579.db2.gz BQJNMMVLTVGBPS-IUCAKERBSA-N -1 1 317.794 1.693 20 0 DDADMM Cc1ccc(CCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000737338486 699739080 /nfs/dbraw/zinc/73/90/80/699739080.db2.gz UDCIYKOLUIVSQS-CYBMUJFWSA-N -1 1 301.350 1.041 20 0 DDADMM CC1(C(=O)COC(=O)c2sccc2[N-]S(C)(=O)=O)CC1 ZINC000795451036 699869237 /nfs/dbraw/zinc/86/92/37/699869237.db2.gz FZBBTKCYFZNSIH-UHFFFAOYSA-N -1 1 317.388 1.646 20 0 DDADMM C[C@@H]1CCC[C@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC000796458569 699935020 /nfs/dbraw/zinc/93/50/20/699935020.db2.gz VBDNVABQIYZHNP-FRRDWIJNSA-N -1 1 307.394 1.115 20 0 DDADMM Cc1cccc(-n2cc([O-])c(C(=O)OC[C@H](C)CO)n2)c1C ZINC000801416505 700305593 /nfs/dbraw/zinc/30/55/93/700305593.db2.gz HECFTOFEJRWRNZ-SNVBAGLBSA-N -1 1 304.346 1.980 20 0 DDADMM O=C([N-][C@@H]1CCN(C(=O)Nc2ccccc2)C1)C(F)(F)F ZINC000801587750 700324340 /nfs/dbraw/zinc/32/43/40/700324340.db2.gz ACIBVPCZOATSFA-SNVBAGLBSA-N -1 1 301.268 1.971 20 0 DDADMM CCCC[C@@H](CCC)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000753775190 700456591 /nfs/dbraw/zinc/45/65/91/700456591.db2.gz IIURMXFVJFWZPL-CYBMUJFWSA-N -1 1 309.410 1.649 20 0 DDADMM Cc1cc(F)ccc1CN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000754421046 700501500 /nfs/dbraw/zinc/50/15/00/700501500.db2.gz XCQFRQQDYRGKSQ-UHFFFAOYSA-N -1 1 319.336 1.033 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)c1nccs1)NCc1ccco1 ZINC000756408707 700620313 /nfs/dbraw/zinc/62/03/13/700620313.db2.gz LUOWXQFRDHNHSR-UHFFFAOYSA-N -1 1 315.301 1.261 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCSC(C)(C)C)co1 ZINC000756560090 700628561 /nfs/dbraw/zinc/62/85/61/700628561.db2.gz CWTHREVFIHQGLD-UHFFFAOYSA-N -1 1 321.420 1.876 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCC(=O)OC(C)(C)C ZINC000758406023 700704013 /nfs/dbraw/zinc/70/40/13/700704013.db2.gz QPLFEZNAQOQHQT-UHFFFAOYSA-N -1 1 316.379 1.029 20 0 DDADMM CN1CCC[C@H]([N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000759005275 700733301 /nfs/dbraw/zinc/73/33/01/700733301.db2.gz WCZMZTKMKDUSMC-QMMMGPOBSA-N -1 1 323.212 1.415 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1cc(F)c(C(F)(F)F)c(F)c1 ZINC000760126655 700792819 /nfs/dbraw/zinc/79/28/19/700792819.db2.gz LXSLDCFDOBEKTI-UHFFFAOYSA-N -1 1 323.177 1.180 20 0 DDADMM O=S(=O)([N-][C@@H](C1CCC1)[C@H]1CCOC1)c1c[nH]nc1Cl ZINC000867732906 701719240 /nfs/dbraw/zinc/71/92/40/701719240.db2.gz ICAHZDMSVFZUNP-ONGXEEELSA-N -1 1 319.814 1.547 20 0 DDADMM C[C@@H]1CC(=O)CC[C@H]1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000763975666 700955772 /nfs/dbraw/zinc/95/57/72/700955772.db2.gz AGTQGLGFPAJYMQ-LERXQTSPSA-N -1 1 313.361 1.411 20 0 DDADMM O=C(c1ccnc(Cl)c1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000765110878 700999591 /nfs/dbraw/zinc/99/95/91/700999591.db2.gz VPAUWFGEXSCVTO-SSDOTTSWSA-N -1 1 310.720 1.407 20 0 DDADMM Cn1[n-]c(COC(=O)COc2cccc3ccccc32)nc1=O ZINC000765392223 701009409 /nfs/dbraw/zinc/00/94/09/701009409.db2.gz WKGVYAQOHOSYSO-UHFFFAOYSA-N -1 1 313.313 1.384 20 0 DDADMM COc1ccc(NCCCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765480799 701014760 /nfs/dbraw/zinc/01/47/60/701014760.db2.gz QCACCFBBRSGJFV-UHFFFAOYSA-N -1 1 320.349 1.053 20 0 DDADMM CC/C(=C/C(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(C)cc1 ZINC000765479809 701014813 /nfs/dbraw/zinc/01/48/13/701014813.db2.gz HVKAVKTUVZVYHD-XFXZXTDPSA-N -1 1 301.346 1.954 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000766763525 701062114 /nfs/dbraw/zinc/06/21/14/701062114.db2.gz SVRIPNQZEXINMK-MRVPVSSYSA-N -1 1 320.242 1.789 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)nc1Cl ZINC000768326464 701169424 /nfs/dbraw/zinc/16/94/24/701169424.db2.gz ZMZZDTDACMCUQW-QMMMGPOBSA-N -1 1 322.756 1.277 20 0 DDADMM O=C(Nc1ncc(-c2ccccc2)s1)NN1CC(=O)[N-]C1=O ZINC000768504270 701183175 /nfs/dbraw/zinc/18/31/75/701183175.db2.gz HASYHPMXYHNOAE-UHFFFAOYSA-N -1 1 317.330 1.398 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)C2(C(N)=O)CCC2)c1 ZINC000769094377 701229857 /nfs/dbraw/zinc/22/98/57/701229857.db2.gz DWAHKBGRGJBWAT-UHFFFAOYSA-N -1 1 305.330 1.336 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2cn(C)c(Cl)n2)on1 ZINC000769906591 701260648 /nfs/dbraw/zinc/26/06/48/701260648.db2.gz KLMDKNZRFIGCBD-UHFFFAOYSA-N -1 1 304.759 1.102 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC000769984178 701263232 /nfs/dbraw/zinc/26/32/32/701263232.db2.gz QDFPTSIGZYHVLY-GHMZBOCLSA-N -1 1 308.765 1.929 20 0 DDADMM CC(C)(C)OCc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000770563509 701284192 /nfs/dbraw/zinc/28/41/92/701284192.db2.gz FKHHCRKLINMZGV-UHFFFAOYSA-N -1 1 320.349 1.590 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H](OC)C(C)C)cc1 ZINC000770630531 701286882 /nfs/dbraw/zinc/28/68/82/701286882.db2.gz IDFZHZNPRZGJFK-CQSZACIVSA-N -1 1 323.345 1.166 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)N1c2ccccc2C[C@@H]1C ZINC000805606763 701399229 /nfs/dbraw/zinc/39/92/29/701399229.db2.gz MNMHPDBSQUGIJC-VHSXEESVSA-N -1 1 300.318 1.328 20 0 DDADMM C[C@H](NC(=O)COC(=O)c1cn[n-]n1)c1cccc2ccccc21 ZINC000805608101 701399672 /nfs/dbraw/zinc/39/96/72/701399672.db2.gz YCEZVVMJOUCJAY-NSHDSACASA-N -1 1 324.340 1.992 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CCCSC2)co1 ZINC000806053232 701423151 /nfs/dbraw/zinc/42/31/51/701423151.db2.gz PCOXJKFZAFCGQR-SECBINFHSA-N -1 1 319.404 1.488 20 0 DDADMM Cn1cnc2c1nccc2C(=O)[N-]n1cnc2ccccc2c1=O ZINC000806271685 701430338 /nfs/dbraw/zinc/43/03/38/701430338.db2.gz JLQMFNYZCSEQSA-UHFFFAOYSA-N -1 1 320.312 1.062 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N(CCO)CC(C)(C)C)ccnc1-2 ZINC000806490947 701437870 /nfs/dbraw/zinc/43/78/70/701437870.db2.gz RAJXMYLGYMYXIE-UHFFFAOYSA-N -1 1 305.382 1.214 20 0 DDADMM Cc1noc(C)c1[C@@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806493285 701438113 /nfs/dbraw/zinc/43/81/13/701438113.db2.gz WFQDYINWCFRCRK-MRVPVSSYSA-N -1 1 314.349 1.829 20 0 DDADMM C[C@H](CNC(=O)C(=O)c1ccc([O-])cc1)NC(=O)OC(C)(C)C ZINC000807448578 701477252 /nfs/dbraw/zinc/47/72/52/701477252.db2.gz SZNPTWGZUBCEOH-SNVBAGLBSA-N -1 1 322.361 1.604 20 0 DDADMM C[C@H]1CCN(C(=O)CC(C)(C)O)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000807802127 701487162 /nfs/dbraw/zinc/48/71/62/701487162.db2.gz BZZINNHHCJKHMU-QXEWZRGKSA-N -1 1 324.343 1.451 20 0 DDADMM CCCN1C[C@@H](C(=O)[N-]OCc2ccccc2OC)CC1=O ZINC000839754138 701810017 /nfs/dbraw/zinc/81/00/17/701810017.db2.gz LOKRBWWWQLKPLT-ZDUSSCGKSA-N -1 1 306.362 1.502 20 0 DDADMM CC1CCN(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000867889867 701812951 /nfs/dbraw/zinc/81/29/51/701812951.db2.gz HDISXHVWLVAPEV-ZDUSSCGKSA-N -1 1 321.343 1.981 20 0 DDADMM Cc1ccccc1C1(C(=O)OCc2nc(=O)n(C)[n-]2)CCCC1 ZINC000811267927 701950326 /nfs/dbraw/zinc/95/03/26/701950326.db2.gz FRWCTWBPTISHBV-UHFFFAOYSA-N -1 1 315.373 1.972 20 0 DDADMM C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831111837 706636884 /nfs/dbraw/zinc/63/68/84/706636884.db2.gz FNUVGYXVPGKKET-APHKKCJPSA-N -1 1 304.312 1.415 20 0 DDADMM O=C(COc1ccsc1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831125635 706639785 /nfs/dbraw/zinc/63/97/85/706639785.db2.gz OBJJMNIDSPBEPX-UHFFFAOYSA-N -1 1 322.308 1.264 20 0 DDADMM CC(C)c1cccc(-n2cc([O-])c(C(=O)O[C@H]3CNOC3)n2)c1 ZINC000816570744 702114966 /nfs/dbraw/zinc/11/49/66/702114966.db2.gz GCHQBIAFKFLVDJ-ZDUSSCGKSA-N -1 1 317.345 1.762 20 0 DDADMM Cc1cc(C)c(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)o1 ZINC000831139427 706642102 /nfs/dbraw/zinc/64/21/02/706642102.db2.gz IJLAARKJZLJNBJ-UHFFFAOYSA-N -1 1 304.268 1.647 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)c2ccnnc2)C1)C(F)(F)F ZINC000812275250 702133539 /nfs/dbraw/zinc/13/35/39/702133539.db2.gz GREWLTVXOKZIQS-VIFPVBQESA-N -1 1 316.283 1.007 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)[N-]OC/C=C/Cl)c2=O ZINC000812409833 702177829 /nfs/dbraw/zinc/17/78/29/702177829.db2.gz ZFZCNSWQOCWWGC-GORDUTHDSA-N -1 1 308.721 1.951 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000813084104 702286138 /nfs/dbraw/zinc/28/61/38/702286138.db2.gz HHJDODWGYRPWNQ-ZYHUDNBSSA-N -1 1 304.350 1.248 20 0 DDADMM O=C([N-]OCC1CC1)[C@@H]1CC(=O)N(c2ccc(F)cc2F)C1 ZINC000817353580 702348796 /nfs/dbraw/zinc/34/87/96/702348796.db2.gz AOFKRDLQPNYOCB-SNVBAGLBSA-N -1 1 310.300 1.776 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(SC(F)(F)F)nc1 ZINC000868852622 702351108 /nfs/dbraw/zinc/35/11/08/702351108.db2.gz BBNPDOALTHUMKR-UHFFFAOYSA-N -1 1 318.284 1.778 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)[C@@H](C)N2CC[C@H](C(=O)[O-])C2)c1 ZINC000817715941 702460034 /nfs/dbraw/zinc/46/00/34/702460034.db2.gz PKVJJZBVPHYQBZ-PWSUYJOCSA-N -1 1 319.361 1.379 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000841535979 702486468 /nfs/dbraw/zinc/48/64/68/702486468.db2.gz YDTZZEHVEBTOJO-ZETCQYMHSA-N -1 1 309.796 1.631 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H](CC(C)C)OC)o1 ZINC000842065120 702666150 /nfs/dbraw/zinc/66/61/50/702666150.db2.gz FHHOFCKAJIIWIN-JTQLQIEISA-N -1 1 319.379 1.406 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC12CCOCC2)c1c[nH]nc1Cl ZINC000831395275 706699335 /nfs/dbraw/zinc/69/93/35/706699335.db2.gz XZYQCIKDEATPHJ-JTQLQIEISA-N -1 1 319.814 1.691 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1ccc(F)c(C)c1 ZINC000866440867 706699986 /nfs/dbraw/zinc/69/99/86/706699986.db2.gz UYWPVDRAFNPLIQ-UHFFFAOYSA-N -1 1 322.427 1.976 20 0 DDADMM O=C(/C=C\C1CCCCCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000845462894 703159915 /nfs/dbraw/zinc/15/99/15/703159915.db2.gz LZKHSYPPLQLPLE-MEJMFZKBSA-N -1 1 305.382 1.626 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCC[C@H]1CC(=O)Nc1nnn[n-]1 ZINC000845554684 703173735 /nfs/dbraw/zinc/17/37/35/703173735.db2.gz KIFFFZHLKZDALU-DTWKUNHWSA-N -1 1 310.358 1.222 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCC[C@H]1CC(=O)Nc1nn[n-]n1 ZINC000845554684 703173736 /nfs/dbraw/zinc/17/37/36/703173736.db2.gz KIFFFZHLKZDALU-DTWKUNHWSA-N -1 1 310.358 1.222 20 0 DDADMM CC(C)(C)OCCS(=O)(=O)[N-]Cc1nc(C2CC2)no1 ZINC000866549501 706726694 /nfs/dbraw/zinc/72/66/94/706726694.db2.gz RXRYHXZYXFTSOM-UHFFFAOYSA-N -1 1 303.384 1.182 20 0 DDADMM CC[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1F ZINC000846431799 703275143 /nfs/dbraw/zinc/27/51/43/703275143.db2.gz KYDKMDLRJFSOTG-ZDUSSCGKSA-N -1 1 319.336 1.333 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCN(Cc2nc[nH]n2)CC1 ZINC000846527768 703291996 /nfs/dbraw/zinc/29/19/96/703291996.db2.gz SOBNUKDCYHJGLX-UHFFFAOYSA-N -1 1 321.768 1.122 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ccccn1 ZINC000847080237 703375251 /nfs/dbraw/zinc/37/52/51/703375251.db2.gz MWHVOYKKKBQHAA-MNOVXSKESA-N -1 1 302.334 1.680 20 0 DDADMM COC[C@@H](C)C[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000866605869 706743090 /nfs/dbraw/zinc/74/30/90/706743090.db2.gz QCTSDELBDREVFG-JEOXALJRSA-N -1 1 320.436 1.260 20 0 DDADMM CS[C@@H](C)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848342404 703541084 /nfs/dbraw/zinc/54/10/84/703541084.db2.gz NHYVJZWUNRJTRD-HRDYMLBCSA-N -1 1 312.357 1.796 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCCC2CCOCC2)c1Cl ZINC000831682828 706752835 /nfs/dbraw/zinc/75/28/35/706752835.db2.gz DYOAKGQAUYHROB-UHFFFAOYSA-N -1 1 321.830 1.559 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)[C@@H]1CCCCO1 ZINC000848508749 703559108 /nfs/dbraw/zinc/55/91/08/703559108.db2.gz GXXDJZPCMKIADA-IUCAKERBSA-N -1 1 307.803 1.689 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)Cc1cc(F)ccc1Cl ZINC000849373336 703640618 /nfs/dbraw/zinc/64/06/18/703640618.db2.gz BJXHUPRUEYTZHC-UHFFFAOYSA-N -1 1 323.773 1.850 20 0 DDADMM COC1CC(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)C1 ZINC000849412637 703645397 /nfs/dbraw/zinc/64/53/97/703645397.db2.gz UKANYRVSWVOLRF-UHFFFAOYSA-N -1 1 307.803 1.167 20 0 DDADMM O=C(Cn1cc(C2CC2)cn1)OCCC[N-]C(=O)C(F)(F)F ZINC000849976722 703693410 /nfs/dbraw/zinc/69/34/10/703693410.db2.gz XFOUCHLBCZUEPT-UHFFFAOYSA-N -1 1 319.283 1.372 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000850105097 703704037 /nfs/dbraw/zinc/70/40/37/703704037.db2.gz ZULMATLXTBGERX-CHWSQXEVSA-N -1 1 319.357 1.690 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C23CCC(CC2)C3)cc1C ZINC000851777823 703853405 /nfs/dbraw/zinc/85/34/05/703853405.db2.gz ACHJTOGHWJJCJE-UHFFFAOYSA-N -1 1 313.375 1.986 20 0 DDADMM Cc1ccnc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1N ZINC000869787564 703853812 /nfs/dbraw/zinc/85/38/12/703853812.db2.gz GQXIQQBXAIQBPD-UHFFFAOYSA-N -1 1 305.256 1.198 20 0 DDADMM C[C@H](CC(=O)c1ccc(F)cc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000869851346 703865342 /nfs/dbraw/zinc/86/53/42/703865342.db2.gz UVLMCQYQYUFETP-SECBINFHSA-N -1 1 321.308 1.200 20 0 DDADMM COC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000851873710 703875444 /nfs/dbraw/zinc/87/54/44/703875444.db2.gz KGQXXLTVZGOIOU-XYPYZODXSA-N -1 1 309.343 1.663 20 0 DDADMM CC(C)CNC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000851906240 703881464 /nfs/dbraw/zinc/88/14/64/703881464.db2.gz KCHNIJGLQXJNCJ-ZJUUUORDSA-N -1 1 309.332 1.741 20 0 DDADMM COc1ccc([C@H]2CN(C(=O)c3ncccc3[O-])CCN2)cc1 ZINC000870141621 703927233 /nfs/dbraw/zinc/92/72/33/703927233.db2.gz AJYIKOPGWOUPJT-CQSZACIVSA-N -1 1 313.357 1.583 20 0 DDADMM CCCCCNC(=O)CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764377 706785222 /nfs/dbraw/zinc/78/52/22/706785222.db2.gz WZPMWNPFABCZIZ-ZDUSSCGKSA-N -1 1 323.359 1.436 20 0 DDADMM CCc1nnc(N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)n1C ZINC000871048507 704207828 /nfs/dbraw/zinc/20/78/28/704207828.db2.gz POICVIYQTVKXNK-LLVKDONJSA-N -1 1 305.304 1.025 20 0 DDADMM Cc1nc(C)n(C2CN(C(=O)c3c([O-])cccc3Cl)C2)n1 ZINC000820048103 704210716 /nfs/dbraw/zinc/21/07/16/704210716.db2.gz JWFPTBYMJQILLL-UHFFFAOYSA-N -1 1 306.753 1.951 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cnns2)c1 ZINC000820499783 704290864 /nfs/dbraw/zinc/29/08/64/704290864.db2.gz YDFOJPRFKRSNMJ-HXUWFJFHSA-N -1 1 312.372 1.398 20 0 DDADMM CCC1(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)CCOCC1 ZINC000820962090 704351697 /nfs/dbraw/zinc/35/16/97/704351697.db2.gz JNLHUDBPOGZVGC-UHFFFAOYSA-N -1 1 316.365 1.198 20 0 DDADMM CCC1(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)CCOCC1 ZINC000820962090 704351700 /nfs/dbraw/zinc/35/17/00/704351700.db2.gz JNLHUDBPOGZVGC-UHFFFAOYSA-N -1 1 316.365 1.198 20 0 DDADMM O=C(Cc1csc(C2CC2)n1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000821195147 704380216 /nfs/dbraw/zinc/38/02/16/704380216.db2.gz MRIUBUGNOBIWIG-JTQLQIEISA-N -1 1 318.406 1.482 20 0 DDADMM COc1cccc([S@@](=O)CC(=O)O[C@H](C)c2n[nH]c(C)n2)c1 ZINC000821352746 704395604 /nfs/dbraw/zinc/39/56/04/704395604.db2.gz NNYSKZBCDCGEJN-CLTRCRFRSA-N -1 1 323.374 1.534 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1ncc(CCO)s1 ZINC000854482921 704405176 /nfs/dbraw/zinc/40/51/76/704405176.db2.gz QVMLIAACSKBUDG-UHFFFAOYSA-N -1 1 322.390 1.858 20 0 DDADMM O=C([O-])[C@@H]1CCCN1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000821508684 704418727 /nfs/dbraw/zinc/41/87/27/704418727.db2.gz FARXZIWJEQKEEH-LBPRGKRZSA-N -1 1 301.306 1.348 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1)NCC1CC1 ZINC000821765076 704440069 /nfs/dbraw/zinc/44/00/69/704440069.db2.gz BNOTUYZPXRGXRF-UHFFFAOYSA-N -1 1 318.270 1.699 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1C[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC000855335542 704479052 /nfs/dbraw/zinc/47/90/52/704479052.db2.gz UWZCBJSSXFSTRY-ADEWGFFLSA-N -1 1 318.402 1.251 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2C=CCC2)o1 ZINC000855421840 704484939 /nfs/dbraw/zinc/48/49/39/704484939.db2.gz LBPOPGIARNHFSL-SNVBAGLBSA-N -1 1 312.391 1.805 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2c([O-])cccc2Cl)CS1(=O)=O ZINC000855704033 704498510 /nfs/dbraw/zinc/49/85/10/704498510.db2.gz RQWDVQARWLOJBY-BDAKNGLRSA-N -1 1 317.794 1.741 20 0 DDADMM C[C@]12COC[C@H]1CN(C(=O)c1ccc3n[n-]c(=S)n3c1)C2 ZINC000855835088 704502470 /nfs/dbraw/zinc/50/24/70/704502470.db2.gz GVZZMFYBVJEYHC-YGRLFVJLSA-N -1 1 304.375 1.127 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC1SCCS1 ZINC000856164026 704517009 /nfs/dbraw/zinc/51/70/09/704517009.db2.gz XBDUEWLVTNWZPF-UHFFFAOYSA-N -1 1 317.461 1.748 20 0 DDADMM Cn1[n-]c(COC(=O)c2cscc2C(F)(F)F)nc1=O ZINC000856986438 704545907 /nfs/dbraw/zinc/54/59/07/704545907.db2.gz WPLXPIOLKPTGEG-UHFFFAOYSA-N -1 1 307.253 1.546 20 0 DDADMM CC(C)n1cc(S(=O)(=O)NN=c2ncc(Cl)c[n-]2)cn1 ZINC000857224687 704566012 /nfs/dbraw/zinc/56/60/12/704566012.db2.gz XSBYUFMRYSZCKT-UHFFFAOYSA-N -1 1 316.774 1.213 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1c(C)cccc1F ZINC000866883434 706833476 /nfs/dbraw/zinc/83/34/76/706833476.db2.gz UBUMDCAYXWHHTK-GOSISDBHSA-N -1 1 308.400 1.586 20 0 DDADMM COC1(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCC(F)(F)CC1 ZINC000857615422 704607740 /nfs/dbraw/zinc/60/77/40/704607740.db2.gz UQAMIKAPHOIDAP-UHFFFAOYSA-N -1 1 324.331 1.875 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCC[C@@H]1O)c1ccc(F)nc1F ZINC000866860671 706828688 /nfs/dbraw/zinc/82/86/88/706828688.db2.gz ZWYWDSXRYOWZON-BDAKNGLRSA-N -1 1 306.334 1.189 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ncc(C)cc3C)nc2n1 ZINC000857685452 704613624 /nfs/dbraw/zinc/61/36/24/704613624.db2.gz YTUHETRJTVYWMG-UHFFFAOYSA-N -1 1 312.333 1.244 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](C)[C@H](CO)C1 ZINC000857730325 704618642 /nfs/dbraw/zinc/61/86/42/704618642.db2.gz PUTKTCVZIAEEEV-PWSUYJOCSA-N -1 1 307.394 1.705 20 0 DDADMM COC(=O)CCN(C[C@H]1CCCO1)c1cc(Cl)[n-]c(=O)n1 ZINC000858450211 704712614 /nfs/dbraw/zinc/71/26/14/704712614.db2.gz CPRDAENFOKPGTH-SECBINFHSA-N -1 1 315.757 1.384 20 0 DDADMM C[C@H](F)CC[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867309160 706955190 /nfs/dbraw/zinc/95/51/90/706955190.db2.gz TTWOYDLYOILFTI-LURJTMIESA-N -1 1 303.281 1.465 20 0 DDADMM CC1(C)C[C@H](S(=O)(=O)[N-][C@H]2CCCCC23OCCO3)CO1 ZINC000859073161 704793959 /nfs/dbraw/zinc/79/39/59/704793959.db2.gz DUZMNSRLHGKPTJ-RYUDHWBXSA-N -1 1 319.423 1.159 20 0 DDADMM Cc1nnc(NC(=O)c2ccc(C[N-]C(=O)C(F)(F)F)o2)[nH]1 ZINC000859187010 704815669 /nfs/dbraw/zinc/81/56/69/704815669.db2.gz UGSCRAFIBRKOKD-UHFFFAOYSA-N -1 1 317.227 1.137 20 0 DDADMM Cc1n[nH]c(NC(=O)c2ccc(C[N-]C(=O)C(F)(F)F)o2)n1 ZINC000859187010 704815676 /nfs/dbraw/zinc/81/56/76/704815676.db2.gz UGSCRAFIBRKOKD-UHFFFAOYSA-N -1 1 317.227 1.137 20 0 DDADMM Cc1ccccc1C[C@@H](C)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000859278927 704846598 /nfs/dbraw/zinc/84/65/98/704846598.db2.gz XEEGJCBXTJBAEV-TZMCWYRMSA-N -1 1 315.377 1.287 20 0 DDADMM O=C([N-]OC1CCCCC1)[C@H]1CNC(=O)C[C@H]1C(F)(F)F ZINC000822155173 704887146 /nfs/dbraw/zinc/88/71/46/704887146.db2.gz LBZSOISDTPLKDR-VHSXEESVSA-N -1 1 308.300 1.682 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]2C[C@@H]21)c1nc[nH]c1Br ZINC000867388290 706984635 /nfs/dbraw/zinc/98/46/35/706984635.db2.gz YMCSPSJAFIGTIH-GJMOJQLCSA-N -1 1 320.212 1.639 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC000822550595 704973393 /nfs/dbraw/zinc/97/33/93/704973393.db2.gz QTFVVMPIWLUJCJ-CHWSQXEVSA-N -1 1 301.346 1.543 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC12OCCO2)c1ccc(C(F)F)o1 ZINC000867526576 707028710 /nfs/dbraw/zinc/02/87/10/707028710.db2.gz UOHHPHNHEVZRQR-VIFPVBQESA-N -1 1 323.317 1.791 20 0 DDADMM C[C@]12CCN(C(=O)CCCc3nn[n-]n3)C[C@H]1C2(Cl)Cl ZINC000822788451 705040017 /nfs/dbraw/zinc/04/00/17/705040017.db2.gz GPIMRKWVGPBOOC-KCJUWKMLSA-N -1 1 318.208 1.565 20 0 DDADMM C[C@@H]1C[C@H]([N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)C(=O)O1 ZINC000822940012 705088497 /nfs/dbraw/zinc/08/84/97/705088497.db2.gz TZJVXRKRTXWCBD-LDWIPMOCSA-N -1 1 305.227 1.877 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H](C(F)(F)F)O1 ZINC000874562676 705106106 /nfs/dbraw/zinc/10/61/06/705106106.db2.gz IODAUGBSKLQNEX-WCBMZHEXSA-N -1 1 304.268 1.969 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC[C@@H]2CCCS2)o1 ZINC000867659882 707066368 /nfs/dbraw/zinc/06/63/68/707066368.db2.gz FMEAWRZURBXTJP-VIFPVBQESA-N -1 1 319.404 1.630 20 0 DDADMM O=C(COc1ccccc1F)Nc1ncc(-c2nnn[n-]2)s1 ZINC000823054666 705126235 /nfs/dbraw/zinc/12/62/35/705126235.db2.gz CIZQVLPMPCPWHR-UHFFFAOYSA-N -1 1 320.309 1.480 20 0 DDADMM O=C(COc1ccccc1F)Nc1ncc(-c2nn[n-]n2)s1 ZINC000823054666 705126237 /nfs/dbraw/zinc/12/62/37/705126237.db2.gz CIZQVLPMPCPWHR-UHFFFAOYSA-N -1 1 320.309 1.480 20 0 DDADMM O=C1CCCN1[N-]S(=O)(=O)c1cnc2[nH]ccc(Cl)c1-2 ZINC000867594185 707049570 /nfs/dbraw/zinc/04/95/70/707049570.db2.gz ISQQMPMNZNJTSI-UHFFFAOYSA-N -1 1 314.754 1.032 20 0 DDADMM Cc1cccc(Cl)c1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000823192497 705167713 /nfs/dbraw/zinc/16/77/13/705167713.db2.gz WLKHGWBQVMVBOT-JTQLQIEISA-N -1 1 307.741 1.375 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2ccnc(F)c2)sc1C ZINC000867658129 707065496 /nfs/dbraw/zinc/06/54/96/707065496.db2.gz YQPQWDUVOKNBSZ-UHFFFAOYSA-N -1 1 301.368 1.773 20 0 DDADMM CCC[C@H](OCC)C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000860648223 705245001 /nfs/dbraw/zinc/24/50/01/705245001.db2.gz NMKNXOGPLKPJQK-LBPRGKRZSA-N -1 1 322.365 1.017 20 0 DDADMM O=C(Oc1ccc2c[nH]nc2c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823454267 705246521 /nfs/dbraw/zinc/24/65/21/705246521.db2.gz MCITYOGHADJRBB-UHFFFAOYSA-N -1 1 307.273 1.357 20 0 DDADMM O=C(Oc1ccc2c[nH]nc2c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823454267 705246527 /nfs/dbraw/zinc/24/65/27/705246527.db2.gz MCITYOGHADJRBB-UHFFFAOYSA-N -1 1 307.273 1.357 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCS[C@@H](C)C2)o1 ZINC000834690030 707103543 /nfs/dbraw/zinc/10/35/43/707103543.db2.gz WJTWNECAHRYJIH-DTWKUNHWSA-N -1 1 318.420 1.202 20 0 DDADMM O=C([N-]S(=O)(=O)C1(C(F)F)CC1)c1ccc2cncn2c1 ZINC000861159911 705388065 /nfs/dbraw/zinc/38/80/65/705388065.db2.gz BQKCHTSHONCKEP-UHFFFAOYSA-N -1 1 315.301 1.192 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2C[C@H]3C[C@H]3C2)C1 ZINC000875684103 705476236 /nfs/dbraw/zinc/47/62/36/705476236.db2.gz PKOBCTXWMSRBHL-WJZNIJOASA-N -1 1 319.327 1.637 20 0 DDADMM CSc1n[nH]c(NC(=O)C2CCC(C(=O)N(C)C)CC2)n1 ZINC000824782430 705528276 /nfs/dbraw/zinc/52/82/76/705528276.db2.gz IZOGZFCBDNSFEH-UHFFFAOYSA-N -1 1 311.411 1.360 20 0 DDADMM CCC1(CC)CN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000876300851 705683463 /nfs/dbraw/zinc/68/34/63/705683463.db2.gz JJLGZAFQJSNHMU-UHFFFAOYSA-N -1 1 320.393 1.587 20 0 DDADMM CCCOc1nc2ccccc2cc1C(=O)N(C)c1nn[n-]n1 ZINC000825532491 705688851 /nfs/dbraw/zinc/68/88/51/705688851.db2.gz WQGHBFYOIPTOCG-UHFFFAOYSA-N -1 1 312.333 1.813 20 0 DDADMM COCC[C@H](CO)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876396851 705708551 /nfs/dbraw/zinc/70/85/51/705708551.db2.gz PXSSVXUIEBELEN-SNVBAGLBSA-N -1 1 302.758 1.242 20 0 DDADMM COCCOCCCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000825674976 705712171 /nfs/dbraw/zinc/71/21/71/705712171.db2.gz BMIIFVHAUXAIJJ-UHFFFAOYSA-N -1 1 324.406 1.191 20 0 DDADMM CCc1cccc(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)c1 ZINC000825722731 705722354 /nfs/dbraw/zinc/72/23/54/705722354.db2.gz KZNXEZCACGLMIA-UHFFFAOYSA-N -1 1 312.333 1.907 20 0 DDADMM CCc1cccc(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)c1 ZINC000825722731 705722359 /nfs/dbraw/zinc/72/23/59/705722359.db2.gz KZNXEZCACGLMIA-UHFFFAOYSA-N -1 1 312.333 1.907 20 0 DDADMM CN(CCS(C)(=O)=O)C(=O)c1ncc2ccccc2c1[O-] ZINC000825785049 705730560 /nfs/dbraw/zinc/73/05/60/705730560.db2.gz UMHOLJYCUABKEE-UHFFFAOYSA-N -1 1 308.359 1.057 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1(C)C ZINC000826144162 705778030 /nfs/dbraw/zinc/77/80/30/705778030.db2.gz CMJDXJDYHPCUOB-AVPPRXQKSA-N -1 1 321.406 1.718 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1(C)C ZINC000826144162 705778034 /nfs/dbraw/zinc/77/80/34/705778034.db2.gz CMJDXJDYHPCUOB-AVPPRXQKSA-N -1 1 321.406 1.718 20 0 DDADMM Cc1ccc(C(=O)[N-]N2CCOC2=O)c(Br)n1 ZINC000862701980 705779531 /nfs/dbraw/zinc/77/95/31/705779531.db2.gz RTNCZSIUBZMIPD-UHFFFAOYSA-N -1 1 300.112 1.250 20 0 DDADMM Cc1onc(CC(=O)NCc2ccc(C)cc2)c1-c1nnn[n-]1 ZINC000826288249 705787391 /nfs/dbraw/zinc/78/73/91/705787391.db2.gz XQCIRZNAPPUUIB-UHFFFAOYSA-N -1 1 312.333 1.330 20 0 DDADMM Cc1onc(CC(=O)NCc2ccc(C)cc2)c1-c1nn[n-]n1 ZINC000826288249 705787395 /nfs/dbraw/zinc/78/73/95/705787395.db2.gz XQCIRZNAPPUUIB-UHFFFAOYSA-N -1 1 312.333 1.330 20 0 DDADMM Cc1csc(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)n1 ZINC000826320854 705790491 /nfs/dbraw/zinc/79/04/91/705790491.db2.gz HSUJZNLAVSPZBU-UHFFFAOYSA-N -1 1 305.323 1.109 20 0 DDADMM Cc1csc(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)n1 ZINC000826320854 705790495 /nfs/dbraw/zinc/79/04/95/705790495.db2.gz HSUJZNLAVSPZBU-UHFFFAOYSA-N -1 1 305.323 1.109 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@H]2CCC[C@H](C)C2)c1-c1nnn[n-]1 ZINC000826343009 705793150 /nfs/dbraw/zinc/79/31/50/705793150.db2.gz IXOUULKTCRKGRI-ONGXEEELSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@H]2CCC[C@H](C)C2)c1-c1nn[n-]n1 ZINC000826343009 705793155 /nfs/dbraw/zinc/79/31/55/705793155.db2.gz IXOUULKTCRKGRI-ONGXEEELSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)NC[C@H]2Cc3ccccc32)c1-c1nnn[n-]1 ZINC000826346990 705794682 /nfs/dbraw/zinc/79/46/82/705794682.db2.gz AILBNRYVVSDUDK-LLVKDONJSA-N -1 1 324.344 1.162 20 0 DDADMM Cc1onc(CC(=O)NC[C@H]2Cc3ccccc32)c1-c1nn[n-]n1 ZINC000826346990 705794683 /nfs/dbraw/zinc/79/46/83/705794683.db2.gz AILBNRYVVSDUDK-LLVKDONJSA-N -1 1 324.344 1.162 20 0 DDADMM OC[C@@H](Nc1ccc(Cl)c(-c2nnn[n-]2)n1)C1CCOCC1 ZINC000826508692 705805794 /nfs/dbraw/zinc/80/57/94/705805794.db2.gz ZHZDRVCNCCLKPG-SNVBAGLBSA-N -1 1 324.772 1.115 20 0 DDADMM OC[C@@H](Nc1ccc(Cl)c(-c2nn[n-]n2)n1)C1CCOCC1 ZINC000826508692 705805795 /nfs/dbraw/zinc/80/57/95/705805795.db2.gz ZHZDRVCNCCLKPG-SNVBAGLBSA-N -1 1 324.772 1.115 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1(C)C ZINC000826764870 705868179 /nfs/dbraw/zinc/86/81/79/705868179.db2.gz SKMMHBXYUIUGAC-UWVGGRQHSA-N -1 1 306.391 1.561 20 0 DDADMM O=C1OC[C@@H]2CN(Cn3[n-]c(-c4ccccn4)nc3=S)C[C@H]12 ZINC000863338163 705905628 /nfs/dbraw/zinc/90/56/28/705905628.db2.gz FGOSZZMNKSGRDG-UWVGGRQHSA-N -1 1 317.374 1.065 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@H]2COCC[C@@H]21 ZINC000827349687 705982934 /nfs/dbraw/zinc/98/29/34/705982934.db2.gz LMNYSNFTIQOCNH-RYUDHWBXSA-N -1 1 318.402 1.659 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2C[C@]2(C)Br)n1 ZINC000863912336 706036365 /nfs/dbraw/zinc/03/63/65/706036365.db2.gz FKMLVJGOXHNPKP-KGFZYKRKSA-N -1 1 316.155 1.698 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]C[C@@H](C)CC(F)F ZINC000827881713 706081358 /nfs/dbraw/zinc/08/13/58/706081358.db2.gz JQBKTVWKAOCPSR-LURJTMIESA-N -1 1 315.773 1.952 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Cl)nc2Cl)CO1 ZINC000828301398 706156834 /nfs/dbraw/zinc/15/68/34/706156834.db2.gz JGTGZSDRMWMWLL-RNFRBKRXSA-N -1 1 311.190 1.844 20 0 DDADMM O=C([O-])c1csc(CCNCc2cc3n(n2)CCCC3)n1 ZINC000864524709 706187473 /nfs/dbraw/zinc/18/74/73/706187473.db2.gz ZBVVCECEZSKCIQ-UHFFFAOYSA-N -1 1 306.391 1.706 20 0 DDADMM CNc1cccc(-c2cn(CC[N-]C(=O)C(F)(F)F)nn2)c1 ZINC000864625479 706217077 /nfs/dbraw/zinc/21/70/77/706217077.db2.gz SDGKLXVCBUMMDW-UHFFFAOYSA-N -1 1 313.283 1.665 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cc(F)c(N2CCCC2)c(F)c1 ZINC000864940265 706306797 /nfs/dbraw/zinc/30/67/97/706306797.db2.gz ODLFHZDZEBZVNB-UHFFFAOYSA-N -1 1 322.319 1.649 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@]1(C(=O)[O-])CCc2ccccc21 ZINC000908973224 712906306 /nfs/dbraw/zinc/90/63/06/712906306.db2.gz BXWLXMMDUAJVJL-WMLDXEAASA-N -1 1 302.374 1.513 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)c1cccnc1F ZINC000881962903 707446396 /nfs/dbraw/zinc/44/63/96/707446396.db2.gz OLZAAWFOEOSRHG-SNVBAGLBSA-N -1 1 316.354 1.231 20 0 DDADMM O=S(=O)([N-]C[C@H]1Cc2ccccc2O1)c1c[nH]nc1Cl ZINC000829927038 706420219 /nfs/dbraw/zinc/42/02/19/706420219.db2.gz KDCQLDQFYAGTPS-SECBINFHSA-N -1 1 313.766 1.345 20 0 DDADMM CC[C@H](C)N(CC(=O)OC)Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878549510 706424631 /nfs/dbraw/zinc/42/46/31/706424631.db2.gz GTEGEYWUUJSEDT-JTQLQIEISA-N -1 1 309.366 1.028 20 0 DDADMM CCCc1cc(=O)[n-]c(SCN(C)C(=O)OCCOC)n1 ZINC000882037540 707474731 /nfs/dbraw/zinc/47/47/31/707474731.db2.gz GTLPGRUFMDACFV-UHFFFAOYSA-N -1 1 315.395 1.899 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCCCC1)c1c[nH]nc1Cl ZINC000830760354 706575039 /nfs/dbraw/zinc/57/50/39/706575039.db2.gz XHVFGRAWBDABSR-SECBINFHSA-N -1 1 307.803 1.283 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCCOCC2)C1 ZINC000830802214 706582719 /nfs/dbraw/zinc/58/27/19/706582719.db2.gz RFVHJQOFHDLHRX-GXFFZTMASA-N -1 1 322.327 1.473 20 0 DDADMM C[C@@H]1COCC[C@@H]1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807083 706583131 /nfs/dbraw/zinc/58/31/31/706583131.db2.gz BOHUFOAFYJCUGG-NRUUGDAUSA-N -1 1 322.327 1.329 20 0 DDADMM COC1(CC(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000830808325 706583768 /nfs/dbraw/zinc/58/37/68/706583768.db2.gz ZERHYXYQTHQJQB-LBPRGKRZSA-N -1 1 322.327 1.615 20 0 DDADMM COC1(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000830807956 706583985 /nfs/dbraw/zinc/58/39/85/706583985.db2.gz UALNIWXNQAMDME-LLVKDONJSA-N -1 1 308.300 1.225 20 0 DDADMM CSC[C@@H](C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830819836 706586336 /nfs/dbraw/zinc/58/63/36/706586336.db2.gz BOENGEVCOMBQQW-LDYMZIIASA-N -1 1 312.357 1.655 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC(=O)OC2CCCCC2)sn1 ZINC000866914263 706843327 /nfs/dbraw/zinc/84/33/27/706843327.db2.gz SDEBNAGRQUIXQP-UHFFFAOYSA-N -1 1 318.420 1.606 20 0 DDADMM COC1(CS(=O)(=O)[N-]CC(=O)OC2CCCCC2)CCC1 ZINC000866915895 706843651 /nfs/dbraw/zinc/84/36/51/706843651.db2.gz ZSZBYVSQMAQOEZ-UHFFFAOYSA-N -1 1 319.423 1.351 20 0 DDADMM C[C@H]1CCN(C(=O)COC2CC2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000880000007 706849803 /nfs/dbraw/zinc/84/98/03/706849803.db2.gz QLRDGSDLKOSPCR-AUTRQRHGSA-N -1 1 322.327 1.469 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC12CCC(CC1)C2 ZINC000867017865 706873823 /nfs/dbraw/zinc/87/38/23/706873823.db2.gz RRMXMRDXZWHFJC-UHFFFAOYSA-N -1 1 308.469 1.909 20 0 DDADMM CC(C)(C)OC(=O)CC[C@@H]1C[C@@H](C(=O)[N-]OCC2CC2)CO1 ZINC000880111381 706880734 /nfs/dbraw/zinc/88/07/34/706880734.db2.gz SSUAKAKZLGGHHL-CHWSQXEVSA-N -1 1 313.394 1.971 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CCO[C@H](C)C1 ZINC000867047096 706881569 /nfs/dbraw/zinc/88/15/69/706881569.db2.gz HMMQEPLATKTMOX-MNOVXSKESA-N -1 1 312.457 1.144 20 0 DDADMM CC[C@@H](CSC)[N-]S(=O)(=O)N=S(=O)(CC)CC ZINC000867057854 706885033 /nfs/dbraw/zinc/88/50/33/706885033.db2.gz DINOSGDRHYMMQB-VIFPVBQESA-N -1 1 302.487 1.470 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H](C)c1cncc(F)c1 ZINC000867079479 706891026 /nfs/dbraw/zinc/89/10/26/706891026.db2.gz PARXLFYQKCABKB-NTCNTBNZSA-N -1 1 309.388 1.234 20 0 DDADMM COCCC(C)(C)C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867107413 706897718 /nfs/dbraw/zinc/89/77/18/706897718.db2.gz WNQSCLAIBUANEF-UHFFFAOYSA-N -1 1 312.457 1.145 20 0 DDADMM C[C@@H](CC(F)(F)F)[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867114767 706900646 /nfs/dbraw/zinc/90/06/46/706900646.db2.gz AOCAUBACPNLMFM-ZETCQYMHSA-N -1 1 308.347 1.424 20 0 DDADMM Cc1nc(SCC(C)C)sc1CC(=O)[N-]OCCO ZINC000832411085 706907606 /nfs/dbraw/zinc/90/76/06/706907606.db2.gz AXCUYZQEFLKRBZ-UHFFFAOYSA-N -1 1 304.437 1.782 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2CCSC[C@H]2CC(=O)[O-])[nH]1 ZINC000909010546 712915811 /nfs/dbraw/zinc/91/58/11/712915811.db2.gz ZVFVNGBQSFCUEW-SNVBAGLBSA-N -1 1 319.386 1.904 20 0 DDADMM CC1(C)CC[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867267809 706942619 /nfs/dbraw/zinc/94/26/19/706942619.db2.gz BHMOWDSGQKAYGS-ZCFIWIBFSA-N -1 1 308.201 1.639 20 0 DDADMM O=S(=O)([N-]CCCc1nccs1)c1ccc(F)nc1F ZINC000867279502 706946554 /nfs/dbraw/zinc/94/65/54/706946554.db2.gz BRZMUJPGKHBNOI-UHFFFAOYSA-N -1 1 319.358 1.727 20 0 DDADMM CCc1noc(C)c1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867306970 706954104 /nfs/dbraw/zinc/95/41/04/706954104.db2.gz HSPJPDYNWAZGDC-UHFFFAOYSA-N -1 1 317.317 1.697 20 0 DDADMM COCC1(CC[N-]S(=O)(=O)c2ccc(F)nc2F)CCC1 ZINC000867307665 706954853 /nfs/dbraw/zinc/95/48/53/706954853.db2.gz VDDVWEHOEWFRTD-UHFFFAOYSA-N -1 1 320.361 1.845 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1cc(C)nc(C)c1 ZINC000867419465 706995999 /nfs/dbraw/zinc/99/59/99/706995999.db2.gz JXWIFVPMHLCNTJ-UHFFFAOYSA-N -1 1 319.452 1.541 20 0 DDADMM O=S(=O)([N-][C@@H]1CC[C@H]2C[C@H]2C1)c1nc[nH]c1Br ZINC000867452307 707005274 /nfs/dbraw/zinc/00/52/74/707005274.db2.gz CBNBWKJUBCSYFR-BIIVOSGPSA-N -1 1 320.212 1.639 20 0 DDADMM CCOC=CC(=O)N[C@H](CC(C)C)c1n[n-]c(C(=O)OCC)n1 ZINC000834687098 707103289 /nfs/dbraw/zinc/10/32/89/707103289.db2.gz MPNCJMGFPHOLGI-SKVAFPRGSA-N -1 1 324.381 1.735 20 0 DDADMM CCOC=CC(=O)N[C@H](CC(C)C)c1nnc(C(=O)OCC)[n-]1 ZINC000834687098 707103290 /nfs/dbraw/zinc/10/32/90/707103290.db2.gz MPNCJMGFPHOLGI-SKVAFPRGSA-N -1 1 324.381 1.735 20 0 DDADMM CCO/C=C\C(=O)N[C@H](CC(C)C)c1nc(C(=O)OCC)n[n-]1 ZINC000834687098 707103291 /nfs/dbraw/zinc/10/32/91/707103291.db2.gz MPNCJMGFPHOLGI-SKVAFPRGSA-N -1 1 324.381 1.735 20 0 DDADMM O=C(CC(=O)NCC(F)(F)F)NCc1ccc([O-])c(Cl)c1 ZINC000834825467 707131374 /nfs/dbraw/zinc/13/13/74/707131374.db2.gz KJMMOBUCRNFKKD-UHFFFAOYSA-N -1 1 324.686 1.730 20 0 DDADMM CCN(CC)C(=O)[C@H](C)O[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871797830 707207849 /nfs/dbraw/zinc/20/78/49/707207849.db2.gz FFFYCTKSLVRYLE-ZDUSSCGKSA-N -1 1 322.405 1.933 20 0 DDADMM CCC[C@@H](COC(=O)c1ccc(S(=O)(=O)[N-]C)o1)OC ZINC000835541422 707275171 /nfs/dbraw/zinc/27/51/71/707275171.db2.gz PFDUOCSODZCQOP-VIFPVBQESA-N -1 1 305.352 1.160 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)C[C@H]1C(C)(C)C1(F)F)C(=O)OC ZINC000882040610 707475779 /nfs/dbraw/zinc/47/57/79/707475779.db2.gz SPCJADUKCVCFAY-IUCAKERBSA-N -1 1 313.366 1.539 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3C[C@@H](C4CC4)[C@H]3C3CC3)ccnc1-2 ZINC000836577081 707482886 /nfs/dbraw/zinc/48/28/86/707482886.db2.gz ZODHWYDBNLXMCV-DZGCQCFKSA-N -1 1 311.389 1.994 20 0 DDADMM CCCn1ccc(C[N-]S(=O)(=O)N=S(=O)(CC)CC)c1 ZINC000882120530 707507453 /nfs/dbraw/zinc/50/74/53/707507453.db2.gz WLQWMZCCBUJBSS-UHFFFAOYSA-N -1 1 321.468 1.740 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000836901775 707546319 /nfs/dbraw/zinc/54/63/19/707546319.db2.gz USSUBWNAOLFZRI-OCCSQVGLSA-N -1 1 306.362 1.104 20 0 DDADMM C[C@H]1C(=O)N(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C[C@H]1C ZINC000872751333 707584434 /nfs/dbraw/zinc/58/44/34/707584434.db2.gz BOWLYAYUUVEOLS-BREBYQMCSA-N -1 1 321.343 1.201 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2C[C@H](OC)C2)c1 ZINC000882590345 707709921 /nfs/dbraw/zinc/70/99/21/707709921.db2.gz ZAZNARLFOXPKAA-HOMQSWHASA-N -1 1 301.364 1.104 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)Cc1cnc[nH]1)Cc1cccc(F)c1 ZINC000909239865 712971170 /nfs/dbraw/zinc/97/11/70/712971170.db2.gz UOYTWBMFDGPYCC-LLVKDONJSA-N -1 1 305.309 1.151 20 0 DDADMM O=C(COC(=O)C[C@@H]1CC[C@@H]2C[C@@H]21)[N-]C(=O)c1ccccc1 ZINC000838125070 707848544 /nfs/dbraw/zinc/84/85/44/707848544.db2.gz OQMIGTBWBWKQEC-RDBSUJKOSA-N -1 1 301.342 1.922 20 0 DDADMM CC[C@@H](C)N(CC(=O)[O-])C(=O)c1cc([C@H]2CCCN2C)n[nH]1 ZINC000909311062 712988612 /nfs/dbraw/zinc/98/86/12/712988612.db2.gz IQBJDQLOUFYNEG-ZWNOBZJWSA-N -1 1 308.382 1.502 20 0 DDADMM COc1ccc([C@@H](NC(=O)CN(C)C2CCC2)C(=O)[O-])cc1 ZINC000909327449 712992430 /nfs/dbraw/zinc/99/24/30/712992430.db2.gz MEPGDZYRBLBIJF-OAHLLOKOSA-N -1 1 306.362 1.421 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC(F)(F)C(F)(F)C3)ccnc1-2 ZINC000839074790 708022732 /nfs/dbraw/zinc/02/27/32/708022732.db2.gz LWVZPXXEFUPLLE-UHFFFAOYSA-N -1 1 317.246 1.460 20 0 DDADMM COCCO[N-]C(=O)Cc1sc(CC(F)(F)F)nc1C ZINC000896843828 708162097 /nfs/dbraw/zinc/16/20/97/708162097.db2.gz ZCFFSXHQJHYDGF-UHFFFAOYSA-N -1 1 312.313 1.793 20 0 DDADMM Cc1cccc2c1CCN2C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909407602 713010319 /nfs/dbraw/zinc/01/03/19/713010319.db2.gz UZGHHHWBKDFHNT-ZDUSSCGKSA-N -1 1 302.374 1.681 20 0 DDADMM COc1cnc([C@H]2CCCN2c2ncc(Cl)cn2)[n-]c1=O ZINC000897224546 708261194 /nfs/dbraw/zinc/26/11/94/708261194.db2.gz RYVBZQHNCIYMIE-SECBINFHSA-N -1 1 307.741 1.976 20 0 DDADMM CCOC(=O)[C@@]1(F)CN(c2cc(Cl)[n-]c(=O)n2)C[C@@H]1CC ZINC000884775830 708377160 /nfs/dbraw/zinc/37/71/60/708377160.db2.gz JQVRPTSBPQZJES-ISVAXAHUSA-N -1 1 317.748 1.953 20 0 DDADMM CC1(C)CO[C@@H](CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)C1 ZINC000897640079 708403172 /nfs/dbraw/zinc/40/31/72/708403172.db2.gz IERNYXHUWTXQBC-QWRGUYRKSA-N -1 1 309.366 1.686 20 0 DDADMM COc1ccc(OCC[N-]S(=O)(=O)c2ccns2)cc1 ZINC000884897268 708411189 /nfs/dbraw/zinc/41/11/89/708411189.db2.gz IYESYSPZLLSCGJ-UHFFFAOYSA-N -1 1 314.388 1.509 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCCC(=O)[C@H]2C)c(=O)[n-]1 ZINC000885030281 708448504 /nfs/dbraw/zinc/44/85/04/708448504.db2.gz GTQCTOULOPMQLE-SNVBAGLBSA-N -1 1 323.418 1.725 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccns1)OC1CCCCC1 ZINC000885041237 708453050 /nfs/dbraw/zinc/45/30/50/708453050.db2.gz VKHRKHZOWXYTEC-UHFFFAOYSA-N -1 1 304.393 1.297 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@H](C)C1 ZINC000912529264 713031005 /nfs/dbraw/zinc/03/10/05/713031005.db2.gz CGONQTAPOVXBIX-DTWKUNHWSA-N -1 1 301.368 1.053 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccns1)[C@@H](O)Cc1ccccc1 ZINC000885070608 708462094 /nfs/dbraw/zinc/46/20/94/708462094.db2.gz QKAIOPMZGIWWSH-JQWIXIFHSA-N -1 1 312.416 1.414 20 0 DDADMM COC(=O)C1(C[N-]S(=O)(=O)c2cc(Cl)ccc2F)CC1 ZINC000885398359 708535720 /nfs/dbraw/zinc/53/57/20/708535720.db2.gz CTLDRIMOPYSVFX-UHFFFAOYSA-N -1 1 321.757 1.711 20 0 DDADMM O=C([N-]CC1CN(C(=O)NC[C@]23C[C@H]2CCC3)C1)C(F)(F)F ZINC000927710553 713037185 /nfs/dbraw/zinc/03/71/85/713037185.db2.gz KNFRYDTWYAGRLO-MFKMUULPSA-N -1 1 319.327 1.497 20 0 DDADMM O=S(=O)([N-]C(CF)CF)c1cncc(Br)c1 ZINC000885419826 708540669 /nfs/dbraw/zinc/54/06/69/708540669.db2.gz ANYSRRVDUPNFIT-UHFFFAOYSA-N -1 1 315.139 1.430 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@H](CCO)C(F)(F)F)s1 ZINC000885828573 708634621 /nfs/dbraw/zinc/63/46/21/708634621.db2.gz QBLCZGNEZKZUDF-SSDOTTSWSA-N -1 1 303.327 1.648 20 0 DDADMM Cc1csc(C[C@@H]2CCN(C(=O)CCCc3nn[n-]n3)C2)n1 ZINC000886219675 708717708 /nfs/dbraw/zinc/71/77/08/708717708.db2.gz UXWQKONTFIAACS-NSHDSACASA-N -1 1 320.422 1.379 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCn2ncnc2C1 ZINC000927782484 713054832 /nfs/dbraw/zinc/05/48/32/713054832.db2.gz GGTDAIFRYNWXTM-UHFFFAOYSA-N -1 1 323.303 1.030 20 0 DDADMM CC[C@H](NC(=O)NCCc1c(F)cc([O-])cc1F)[C@@H](C)O ZINC000927787525 713056158 /nfs/dbraw/zinc/05/61/58/713056158.db2.gz XKYNCTJCQOCXNU-OQPBUACISA-N -1 1 302.321 1.672 20 0 DDADMM CCC[C@@H](O)CC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000921683737 713842040 /nfs/dbraw/zinc/84/20/40/713842040.db2.gz OHYOCRYGBCLTIZ-MRVPVSSYSA-N -1 1 311.325 1.933 20 0 DDADMM COC[C@@H]1COCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000886860823 708868427 /nfs/dbraw/zinc/86/84/27/708868427.db2.gz LHDBVLKEQLPFNE-GFCCVEGCSA-N -1 1 302.330 1.428 20 0 DDADMM C[C@@]1(CO)C[C@H](O)CN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000912661174 713063208 /nfs/dbraw/zinc/06/32/08/713063208.db2.gz XBUOBSVAKOFISZ-ZANVPECISA-N -1 1 319.279 1.369 20 0 DDADMM C[C@H]1C(=O)N([N-]C(=O)c2cc3sccc3s2)C(=O)N1C ZINC000899029424 708958378 /nfs/dbraw/zinc/95/83/78/708958378.db2.gz OUBLXDBSXJKUEC-LURJTMIESA-N -1 1 309.372 1.890 20 0 DDADMM CCn1cc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)nn1 ZINC000899344576 709064271 /nfs/dbraw/zinc/06/42/71/709064271.db2.gz SQRTYZMGQDJGFJ-UHFFFAOYSA-N -1 1 319.331 1.189 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)CC1 ZINC000899538402 709108020 /nfs/dbraw/zinc/10/80/20/709108020.db2.gz OIYUQWDHGIQHGT-QMPKGSCPSA-N -1 1 306.406 1.286 20 0 DDADMM Cc1cnc(C(=O)N2CCC(N3CCCOC3=O)CC2)c([O-])c1 ZINC000887716250 709110474 /nfs/dbraw/zinc/11/04/74/709110474.db2.gz YLWOHWKBYISVJD-UHFFFAOYSA-N -1 1 319.361 1.543 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])C[C@H]1C(=O)NCc1ccc2cncn2c1 ZINC000899789605 709196679 /nfs/dbraw/zinc/19/66/79/709196679.db2.gz UJGMUEHPFVHJSP-BBRMVZONSA-N -1 1 301.346 1.697 20 0 DDADMM C[C@@H]1CC2(CC(NC(=O)c3ccc4n[n-]c(=S)n4c3)C2)CO1 ZINC000888722145 709367187 /nfs/dbraw/zinc/36/71/87/709367187.db2.gz YCXDVBHRGRFUIR-IWPPJYEWSA-N -1 1 318.402 1.705 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)NCC1(C(=O)[O-])CCCCC1 ZINC000909526744 709501962 /nfs/dbraw/zinc/50/19/62/709501962.db2.gz PTFPXGXICCOBJB-ZDUSSCGKSA-N -1 1 312.410 1.106 20 0 DDADMM COC(=O)c1ccc2c(c1)N(C(=O)c1n[nH]c(C)c1[O-])CC2 ZINC000889453934 709511251 /nfs/dbraw/zinc/51/12/51/709511251.db2.gz FQJOBYWQZUIWBD-UHFFFAOYSA-N -1 1 301.302 1.413 20 0 DDADMM COCc1ccccc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912859797 713109320 /nfs/dbraw/zinc/10/93/20/713109320.db2.gz CHMIBQYNPYKVIC-LBPRGKRZSA-N -1 1 321.406 1.570 20 0 DDADMM CCO[C@H]1C[C@](NC(=O)CN(CC)C2CC2)(C(=O)[O-])C1(C)C ZINC000909605383 709538133 /nfs/dbraw/zinc/53/81/33/709538133.db2.gz XGIOKXBBHFKJJP-LRDDRELGSA-N -1 1 312.410 1.245 20 0 DDADMM COCCN(CCC(=O)[O-])C(=O)[C@@H](N)c1cccc(Cl)c1 ZINC000900472629 709612143 /nfs/dbraw/zinc/61/21/43/709612143.db2.gz LZGZXOUBUDRZSK-ZDUSSCGKSA-N -1 1 314.769 1.290 20 0 DDADMM C[C@H](NC(=O)CN1CCCC1)c1ccc(OCC(=O)[O-])cc1 ZINC000909790599 709621773 /nfs/dbraw/zinc/62/17/73/709621773.db2.gz RMBFVLVXIVFDJR-LBPRGKRZSA-N -1 1 306.362 1.423 20 0 DDADMM CCC[C@H](CNC(=O)CN(C)CCc1ccccc1)C(=O)[O-] ZINC000909810879 709633559 /nfs/dbraw/zinc/63/35/59/709633559.db2.gz CZYJXQSVCRWEGH-OAHLLOKOSA-N -1 1 306.406 1.778 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2C[C@H](C)CO2)[n-]c1=O ZINC000889786581 709635518 /nfs/dbraw/zinc/63/55/18/709635518.db2.gz MUKNIAWPVGCZAT-GARJFASQSA-N -1 1 307.350 1.279 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2CCO[C@H]2C)[n-]c1=O ZINC000889790473 709637682 /nfs/dbraw/zinc/63/76/82/709637682.db2.gz RMXZPPXRNSWJAM-AXFHLTTASA-N -1 1 307.350 1.279 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)Nc1cccc([C@H](C)C(=O)[O-])c1 ZINC000909833731 709644660 /nfs/dbraw/zinc/64/46/60/709644660.db2.gz JLBZIYAOUIXPHH-OLZOCXBDSA-N -1 1 320.389 1.782 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)CCc2cnc[nH]2)C[C@@H]1c1ccccc1 ZINC000909836942 709645391 /nfs/dbraw/zinc/64/53/91/709645391.db2.gz UQOOSMPKEFISKV-HUUCEWRRSA-N -1 1 313.357 1.669 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CCC2(C1)OCCO2 ZINC000900561600 709652151 /nfs/dbraw/zinc/65/21/51/709652151.db2.gz FWWSTEDXFQAUIB-UHFFFAOYSA-N -1 1 318.304 1.669 20 0 DDADMM O=C([N-]CCCOC(=O)c1cccc2c1CCN2)C(F)(F)F ZINC000909867012 709660373 /nfs/dbraw/zinc/66/03/73/709660373.db2.gz PUVJXVKDTBNLGR-UHFFFAOYSA-N -1 1 316.279 1.880 20 0 DDADMM CN(CC(=O)N[C@H](CC(C)(C)C)C(=O)[O-])[C@H]1CCSC1 ZINC000909877834 709665318 /nfs/dbraw/zinc/66/53/18/709665318.db2.gz KPAADLUZVALZES-WDEREUQCSA-N -1 1 302.440 1.429 20 0 DDADMM CCC[C@@H](C(=O)[O-])n1ccc(NC(=O)[C@@H](C(C)C)N(C)C)n1 ZINC000909953548 709706115 /nfs/dbraw/zinc/70/61/15/709706115.db2.gz JRQSESWDOQWTOH-WCQYABFASA-N -1 1 310.398 1.834 20 0 DDADMM CCC[C@H](C(=O)[O-])n1ccc(NC(=O)CN(C)C2CCC2)n1 ZINC000909957448 709707957 /nfs/dbraw/zinc/70/79/57/709707957.db2.gz YWVMCCPEWAACII-GFCCVEGCSA-N -1 1 308.382 1.732 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@H]1CCc2nnnn2CC1 ZINC000909987848 709722459 /nfs/dbraw/zinc/72/24/59/709722459.db2.gz LDUQLCJGQGWPOB-ZETCQYMHSA-N -1 1 309.276 1.248 20 0 DDADMM CN(C(=O)c1c([O-])cnc2c(F)cccc21)[C@H]1CCN(C)C1=O ZINC000900783057 709755811 /nfs/dbraw/zinc/75/58/11/709755811.db2.gz MGEFAJXUTLGEGH-NSHDSACASA-N -1 1 317.320 1.382 20 0 DDADMM CN1CC[C@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C1=O ZINC000900782943 709755956 /nfs/dbraw/zinc/75/59/56/709755956.db2.gz FIVROGMERYTIIM-JTQLQIEISA-N -1 1 303.293 1.040 20 0 DDADMM CC[C@H](C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1)[C@@H](C)O ZINC000900791963 709760826 /nfs/dbraw/zinc/76/08/26/709760826.db2.gz KREXERQCDACTLD-VWYCJHECSA-N -1 1 309.366 1.261 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CC([C@H](F)C(=O)[O-])C1 ZINC000910124573 709781821 /nfs/dbraw/zinc/78/18/21/709781821.db2.gz PUWCIEPHJKOUJM-HNNXBMFYSA-N -1 1 308.353 1.042 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)[C@@H]2CCCCS2)CC1 ZINC000910178920 709799641 /nfs/dbraw/zinc/79/96/41/709799641.db2.gz RFSIPUJHHNARGG-NSHDSACASA-N -1 1 300.424 1.280 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)Cc2ccc(O)c(F)c2)CC1 ZINC000910170335 709796504 /nfs/dbraw/zinc/79/65/04/709796504.db2.gz KFCHEQRDNBYZNO-LLVKDONJSA-N -1 1 324.352 1.081 20 0 DDADMM COCC1(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CCCC1 ZINC000910177009 709798701 /nfs/dbraw/zinc/79/87/01/709798701.db2.gz HCOGOXNEHFXGRV-UHFFFAOYSA-N -1 1 312.410 1.201 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(C(=O)c2cc(C3CCCCC3)[nH]n2)C1 ZINC000910217674 709820115 /nfs/dbraw/zinc/82/01/15/709820115.db2.gz HLGUIZJHSKRKIE-INIZCTEOSA-N -1 1 321.377 1.773 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CC[C@@](OC)(C(=O)[O-])C1)c1ccccc1 ZINC000910219405 709821146 /nfs/dbraw/zinc/82/11/46/709821146.db2.gz KRKYMHYXICVEGU-PBHICJAKSA-N -1 1 320.389 1.382 20 0 DDADMM CC1CCN(CC(=O)N[C@H](C[C@@H]2CCCOC2)C(=O)[O-])CC1 ZINC000910239807 709833631 /nfs/dbraw/zinc/83/36/31/709833631.db2.gz DWGMEYXMMMIVTA-UONOGXRCSA-N -1 1 312.410 1.104 20 0 DDADMM O=C(C[N@@H+]1CCC[C@@H](C(=O)[O-])C1)NCC[C@H]1CCCS1 ZINC000910302893 709877499 /nfs/dbraw/zinc/87/74/99/709877499.db2.gz LUXAGNKXLGHNIC-VXGBXAGGSA-N -1 1 300.424 1.185 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NCC[C@H]1CCCS1 ZINC000910302893 709877504 /nfs/dbraw/zinc/87/75/04/709877504.db2.gz LUXAGNKXLGHNIC-VXGBXAGGSA-N -1 1 300.424 1.185 20 0 DDADMM C[C@](Cc1ccc(Cl)cc1)(NC(=O)CN1CCCC1)C(=O)[O-] ZINC000910312238 709883796 /nfs/dbraw/zinc/88/37/96/709883796.db2.gz PGSIHUQCYYREOY-MRXNPFEDSA-N -1 1 324.808 1.938 20 0 DDADMM C[C@@H](C(=O)N[C@](C)(Cc1ccc(Cl)cc1)C(=O)[O-])N(C)C ZINC000910316395 709886058 /nfs/dbraw/zinc/88/60/58/709886058.db2.gz SUHOMHKBJRLPDE-ZUZCIYMTSA-N -1 1 312.797 1.792 20 0 DDADMM Cc1nc(NC[C@H](C)N2C[C@@H](C)O[C@@H](C)C2)ccc1C(=O)[O-] ZINC000910317036 709886806 /nfs/dbraw/zinc/88/68/06/709886806.db2.gz IEWORBYSJMFZLX-SDDRHHMPSA-N -1 1 307.394 1.998 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)C[C@@]1(F)C(=O)[O-] ZINC000910549715 709995160 /nfs/dbraw/zinc/99/51/60/709995160.db2.gz SNSSXZSKHGEWLI-JRFJBVBDSA-N -1 1 323.368 1.737 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)N[C@@H](CC(=O)[O-])C1CCCC1 ZINC000910566609 710002815 /nfs/dbraw/zinc/00/28/15/710002815.db2.gz IJSIZEGVJOSQPC-OCCSQVGLSA-N -1 1 312.410 1.104 20 0 DDADMM O=C(NCc1cnc2n1CCOC2)c1c([O-])cccc1Cl ZINC000890845526 710005826 /nfs/dbraw/zinc/00/58/26/710005826.db2.gz SCZKHRMEQZLDRW-UHFFFAOYSA-N -1 1 307.737 1.702 20 0 DDADMM O=C(N[C@H]1Cc2ccccc2[C@@H]1O)c1cnc(C2CC2)[n-]c1=O ZINC000891096799 710089684 /nfs/dbraw/zinc/08/96/84/710089684.db2.gz JWDDJKXPXMPPFW-KBPBESRZSA-N -1 1 311.341 1.448 20 0 DDADMM CC(C)(CN1Cc2ccccc2[C@@H](C(=O)[O-])C1)N1CCOCC1 ZINC000901621518 710098263 /nfs/dbraw/zinc/09/82/63/710098263.db2.gz HKVJFYZTHFSIAR-INIZCTEOSA-N -1 1 318.417 1.781 20 0 DDADMM CCC[C@@H](CN(C)CC(=O)N1CCC[C@H](C(=O)[O-])C1)OC ZINC000901621900 710098991 /nfs/dbraw/zinc/09/89/91/710098991.db2.gz BHJOEBQSHGXDAE-STQMWFEESA-N -1 1 300.399 1.057 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2(C)C(C)(C)C2(C)C)co1 ZINC000891163184 710110627 /nfs/dbraw/zinc/11/06/27/710110627.db2.gz QPFNKVVRUMHTOK-UHFFFAOYSA-N -1 1 314.407 1.742 20 0 DDADMM C[C@H](NC(=O)[C@H](C)NCc1ccc(C(F)(F)F)cc1)C(=O)[O-] ZINC000901740901 710136414 /nfs/dbraw/zinc/13/64/14/710136414.db2.gz HRQMUZCJMFRESH-IUCAKERBSA-N -1 1 318.295 1.773 20 0 DDADMM CC(C)(C)NS(=O)(=O)CC(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910984695 710140950 /nfs/dbraw/zinc/14/09/50/710140950.db2.gz SDBLFOCLCKDXJM-UHFFFAOYSA-N -1 1 322.333 1.327 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(Cc2ncc(Cl)s2)CC1 ZINC000901766279 710144974 /nfs/dbraw/zinc/14/49/74/710144974.db2.gz QMRHSUAKTHBIHA-UHFFFAOYSA-N -1 1 303.815 1.777 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(Cc2c(Cl)cccc2N2CCCC2)C1 ZINC000901902419 710169219 /nfs/dbraw/zinc/16/92/19/710169219.db2.gz DZAPDKCDXXFQQG-MRXNPFEDSA-N -1 1 324.808 1.962 20 0 DDADMM COCc1nc(NCCC(=O)NCc2ccccc2)cc(=O)[n-]1 ZINC000892161606 710384578 /nfs/dbraw/zinc/38/45/78/710384578.db2.gz NKPXSSKTXLVOAG-UHFFFAOYSA-N -1 1 316.361 1.447 20 0 DDADMM COCc1nc(N[C@H]2CCC[C@H](C(=O)N(C)C)C2)cc(=O)[n-]1 ZINC000892542657 710455100 /nfs/dbraw/zinc/45/51/00/710455100.db2.gz RXYOBBGFSVZLIJ-QWRGUYRKSA-N -1 1 308.382 1.388 20 0 DDADMM COCc1nc(N[C@H]2CCCc3nc(C)ncc32)cc(=O)[n-]1 ZINC000892569201 710461086 /nfs/dbraw/zinc/46/10/86/710461086.db2.gz WRYKFHABHYEEKT-LBPRGKRZSA-N -1 1 301.350 1.917 20 0 DDADMM Cc1ncc(C(=O)N2CCOc3c(cccc3C(=O)[O-])C2)[nH]1 ZINC000911023053 710599194 /nfs/dbraw/zinc/59/91/94/710599194.db2.gz KMRDQVJVEXCFIM-UHFFFAOYSA-N -1 1 301.302 1.451 20 0 DDADMM CN1CCC[C@H]1C(=O)N1CCOc2ccccc2[C@H]1C(=O)[O-] ZINC000911058207 710614721 /nfs/dbraw/zinc/61/47/21/710614721.db2.gz VMCNHBOEFUJLGR-JSGCOSHPSA-N -1 1 304.346 1.128 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCC[C@@]1(C)C(=O)[O-] ZINC000902109234 710617985 /nfs/dbraw/zinc/61/79/85/710617985.db2.gz HWVYGFBIOSEISJ-UFBFGSQYSA-N -1 1 324.299 1.155 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000902162847 710641648 /nfs/dbraw/zinc/64/16/48/710641648.db2.gz WCFYXKHGQCEYNE-PUHVVEEASA-N -1 1 305.378 1.645 20 0 DDADMM O=C([O-])CN1CCCN(C(=O)C(F)(F)c2ccccc2)CC1 ZINC000911217773 710691307 /nfs/dbraw/zinc/69/13/07/710691307.db2.gz DVTNXYZDHIXEGI-UHFFFAOYSA-N -1 1 312.316 1.397 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(C(=O)c2cccc3[nH]cnc32)C1 ZINC000902321666 710716073 /nfs/dbraw/zinc/71/60/73/710716073.db2.gz FGTPOJAKDXJHOD-OAHLLOKOSA-N -1 1 303.318 1.126 20 0 DDADMM COCCN1CCC[C@@H]1CNC(=O)c1ccc(/C=C\C(=O)[O-])o1 ZINC000911334590 710747584 /nfs/dbraw/zinc/74/75/84/710747584.db2.gz DUCWJSNKRGQKFJ-SMYYYNMVSA-N -1 1 322.361 1.218 20 0 DDADMM Cc1n[nH]c2cc(NC(=O)CN3CCC[C@H](C(=O)[O-])C3)ccc12 ZINC000911395423 710777949 /nfs/dbraw/zinc/77/79/49/710777949.db2.gz OLIQUSMIZVBPDX-NSHDSACASA-N -1 1 316.361 1.606 20 0 DDADMM CN(CCCN(C)C(=O)[C@@H]1CC[C@H](C(=O)[O-])O1)Cc1ccco1 ZINC000911543155 710844204 /nfs/dbraw/zinc/84/42/04/710844204.db2.gz ZMPSKGXYAVSWMQ-UONOGXRCSA-N -1 1 324.377 1.192 20 0 DDADMM Cc1[nH]ncc1C1CCN(C(=O)c2ccc(C(=O)[O-])cn2)CC1 ZINC000911589478 710871097 /nfs/dbraw/zinc/87/10/97/710871097.db2.gz FMGUYSZICCIBSL-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)N[C@@H]1CCC[N@H+]2CCSC[C@@H]12 ZINC000902819803 710916034 /nfs/dbraw/zinc/91/60/34/710916034.db2.gz XDOVNIZGPHBSFK-JRPNMDOOSA-N -1 1 312.435 1.183 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000902819803 710916037 /nfs/dbraw/zinc/91/60/37/710916037.db2.gz XDOVNIZGPHBSFK-JRPNMDOOSA-N -1 1 312.435 1.183 20 0 DDADMM O=S(=O)(CCCC1CCOCC1)[N-]c1nc(C(F)F)n[nH]1 ZINC000903150151 711048293 /nfs/dbraw/zinc/04/82/93/711048293.db2.gz NFIPYJJKKHKXFO-UHFFFAOYSA-N -1 1 324.353 1.691 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1c(Cl)c(C)nn1C ZINC000903165359 711052837 /nfs/dbraw/zinc/05/28/37/711052837.db2.gz IBCCGFZBBZWEJP-UHFFFAOYSA-N -1 1 303.775 1.399 20 0 DDADMM COc1cccc(Cl)c1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000903198013 711061917 /nfs/dbraw/zinc/06/19/17/711061917.db2.gz ZVPBQQIYSHJPNU-UHFFFAOYSA-N -1 1 312.800 1.733 20 0 DDADMM Cn1cnnc1-c1cncc([N-]S(=O)(=O)CC2(F)CC2)c1 ZINC000903614722 711224791 /nfs/dbraw/zinc/22/47/91/711224791.db2.gz INQSVDJNWBTGNT-UHFFFAOYSA-N -1 1 311.342 1.121 20 0 DDADMM CC(C)c1cccnc1[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC000903615141 711224944 /nfs/dbraw/zinc/22/49/44/711224944.db2.gz TUJBYRPAWYBLCT-IBGZPJMESA-N -1 1 320.440 1.436 20 0 DDADMM Cc1ccc(/C=C/CC(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000913495512 713237720 /nfs/dbraw/zinc/23/77/20/713237720.db2.gz KZNAIFITXCXBGB-HSWBROFVSA-N -1 1 313.361 1.512 20 0 DDADMM CSc1nc(CNC(=O)CCCc2nccs2)cc(=O)[n-]1 ZINC000912246452 711243225 /nfs/dbraw/zinc/24/32/25/711243225.db2.gz DUTXIFOMFLMBCP-UHFFFAOYSA-N -1 1 324.431 2.000 20 0 DDADMM C[C@@H](NCc1ccc(C(=O)[O-])s1)C(=O)NCc1ccco1 ZINC000903682203 711243941 /nfs/dbraw/zinc/24/39/41/711243941.db2.gz RVHMHDOUWWZJTH-SECBINFHSA-N -1 1 308.359 1.834 20 0 DDADMM CC1=C(C(=O)[N-]Oc2ccc(F)cc2)[C@@H](C)n2ncnc2N1C ZINC000912262507 711250554 /nfs/dbraw/zinc/25/05/54/711250554.db2.gz LVHLYZFNLXBEJR-SNVBAGLBSA-N -1 1 317.324 1.812 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H]1CCc2ccccc2NC1=O ZINC000903712469 711251235 /nfs/dbraw/zinc/25/12/35/711251235.db2.gz LYAXPJBCAOJXIL-CQSZACIVSA-N -1 1 306.362 1.363 20 0 DDADMM CNC(=O)[C@H]1CCN(Cc2ncc(Br)cc2[O-])C1 ZINC000894973629 711345915 /nfs/dbraw/zinc/34/59/15/711345915.db2.gz VSFWVAULTCMNMT-QMMMGPOBSA-N -1 1 314.183 1.118 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NCc2nc(Cl)cs2)n[n-]1 ZINC000895239767 711461530 /nfs/dbraw/zinc/46/15/30/711461530.db2.gz UKHZZGLQZQOPIO-ZCFIWIBFSA-N -1 1 315.786 1.942 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2nc(Cl)cs2)n1 ZINC000895239767 711461532 /nfs/dbraw/zinc/46/15/32/711461532.db2.gz UKHZZGLQZQOPIO-ZCFIWIBFSA-N -1 1 315.786 1.942 20 0 DDADMM O=S1(=O)CC(C2CN(Cc3cccc([O-])c3Cl)C2)C1 ZINC000895429311 711510774 /nfs/dbraw/zinc/51/07/74/711510774.db2.gz XUKSKIXFSXKDCT-UHFFFAOYSA-N -1 1 301.795 1.522 20 0 DDADMM O=c1nc(NC[C@@]2(c3ccccc3)CCCO2)nc2[nH][n-]cc1-2 ZINC000896539027 711743909 /nfs/dbraw/zinc/74/39/09/711743909.db2.gz VVZOSIHYHKWJEO-MRXNPFEDSA-N -1 1 311.345 1.550 20 0 DDADMM O=C(C=Cc1ccccc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913742208 713291609 /nfs/dbraw/zinc/29/16/09/713291609.db2.gz NMVSGSLBFVITQA-AATRIKPKSA-N -1 1 301.325 1.758 20 0 DDADMM Cc1cc(F)c(C(=O)[O-])cc1S(=O)(=O)NC[C@H](C)N(C)C ZINC000905211685 711969454 /nfs/dbraw/zinc/96/94/54/711969454.db2.gz ZFKAAVAHASNTLL-VIFPVBQESA-N -1 1 318.370 1.061 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2c(F)ccc(C)c2F)n[n-]1 ZINC000905250660 711980158 /nfs/dbraw/zinc/98/01/58/711980158.db2.gz YLCJRJIPNBGIGD-UHFFFAOYSA-N -1 1 317.317 1.512 20 0 DDADMM Cc1cc(C)c(CC(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC000913747058 713294493 /nfs/dbraw/zinc/29/44/93/713294493.db2.gz PPUAPVWNAAXSKN-UHFFFAOYSA-N -1 1 305.407 1.827 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]4CCCC[C@H]34)nc2n1 ZINC000905635012 712102345 /nfs/dbraw/zinc/10/23/45/712102345.db2.gz TTWAAHADUAGWCA-GARJFASQSA-N -1 1 301.350 1.491 20 0 DDADMM Cc1cc(S(=O)(=O)NCC(F)(F)C(=O)[O-])c(F)cc1F ZINC000905999177 712215794 /nfs/dbraw/zinc/21/57/94/712215794.db2.gz KFJGDXDEZOWIFO-UHFFFAOYSA-N -1 1 315.244 1.271 20 0 DDADMM O=C([O-])c1cc(NCC2(N3CCOCC3)CCCCC2)ncn1 ZINC000906138752 712252332 /nfs/dbraw/zinc/25/23/32/712252332.db2.gz FBEIXOFBFPJFPU-UHFFFAOYSA-N -1 1 320.393 1.622 20 0 DDADMM O=C([O-])c1cc(NCC[N@H+]2CCc3sccc3C2)ncn1 ZINC000906141201 712252653 /nfs/dbraw/zinc/25/26/53/712252653.db2.gz VQODTZTWCFYTGU-UHFFFAOYSA-N -1 1 304.375 1.707 20 0 DDADMM O=C([O-])c1cc(NCCN2CCc3sccc3C2)ncn1 ZINC000906141201 712252656 /nfs/dbraw/zinc/25/26/56/712252656.db2.gz VQODTZTWCFYTGU-UHFFFAOYSA-N -1 1 304.375 1.707 20 0 DDADMM CC(C)[C@@H]1CN(S(=O)(=O)c2cccc(C(=O)[O-])c2)CCN1 ZINC000907057405 712476654 /nfs/dbraw/zinc/47/66/54/712476654.db2.gz UUKHKTDNWJWTNF-ZDUSSCGKSA-N -1 1 312.391 1.003 20 0 DDADMM COC[C@@H](CNC(=O)c1c([O-])cnc2c(F)cccc21)OC ZINC000907284691 712533178 /nfs/dbraw/zinc/53/31/78/712533178.db2.gz OONYZQWIIIUVJK-SECBINFHSA-N -1 1 308.309 1.471 20 0 DDADMM CCn1nc(C2CC2)cc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000907348951 712549776 /nfs/dbraw/zinc/54/97/76/712549776.db2.gz CQINBTYLFIRAPQ-VIFPVBQESA-N -1 1 303.370 1.169 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481305 712583966 /nfs/dbraw/zinc/58/39/66/712583966.db2.gz VITRPZJKIVAWEF-VPUINMBXSA-N -1 1 316.390 1.315 20 0 DDADMM C[C@@H](Cc1ccco1)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907482290 712584047 /nfs/dbraw/zinc/58/40/47/712584047.db2.gz OQKAQGVWXDWLJD-ONGXEEELSA-N -1 1 307.379 1.288 20 0 DDADMM Cc1nn(C(C)C)cc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481452 712584070 /nfs/dbraw/zinc/58/40/70/712584070.db2.gz WHLVLEXGCCDDCN-LLVKDONJSA-N -1 1 321.410 1.216 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)Cc3ccccc3F)CC2)n1 ZINC000907864659 712637971 /nfs/dbraw/zinc/63/79/71/712637971.db2.gz LUKLPAZROAHQGY-UHFFFAOYSA-N -1 1 311.338 1.626 20 0 DDADMM Cc1ccc(CN(CCO)C(=O)c2cnc(C3CC3)[n-]c2=O)o1 ZINC000907916200 712647351 /nfs/dbraw/zinc/64/73/51/712647351.db2.gz CRLUQGJEMGEJEN-UHFFFAOYSA-N -1 1 317.345 1.596 20 0 DDADMM COCC[C@H](CO)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907979783 712659438 /nfs/dbraw/zinc/65/94/38/712659438.db2.gz MSRVEMKSMLLAPA-SECBINFHSA-N -1 1 308.309 1.207 20 0 DDADMM CC(C)(C)[C@H](CC(=O)[O-])NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000908035082 712669834 /nfs/dbraw/zinc/66/98/34/712669834.db2.gz RDECGIGGYAMGDN-ZDUSSCGKSA-N -1 1 307.394 1.837 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@H]1CCC2(CCOCC2)CO1 ZINC000908372438 712755131 /nfs/dbraw/zinc/75/51/31/712755131.db2.gz TXKSLNGTROFTCH-LLVKDONJSA-N -1 1 309.366 1.728 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2COC[C@@H]2C2CC2)c(F)c1 ZINC000908405734 712762912 /nfs/dbraw/zinc/76/29/12/712762912.db2.gz KYRSDRQMKXAGHD-ZWNOBZJWSA-N -1 1 317.357 1.977 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@@H]1C1CC1)c1cc(F)c(F)cc1F ZINC000908405829 712763138 /nfs/dbraw/zinc/76/31/38/712763138.db2.gz AALAQNPQIAXAHI-PELKAZGASA-N -1 1 321.320 1.807 20 0 DDADMM O=C([O-])C[C@H](NC(=O)[C@@H]1CCc2nc[nH]c2C1)c1cccs1 ZINC000908641286 712827515 /nfs/dbraw/zinc/82/75/15/712827515.db2.gz BKMSQXGXCHYWRB-SKDRFNHKSA-N -1 1 319.386 1.908 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCc2cccc(F)c2)C1 ZINC000908703780 712838710 /nfs/dbraw/zinc/83/87/10/712838710.db2.gz LAZDJZNYNNYSSL-CYBMUJFWSA-N -1 1 308.353 1.281 20 0 DDADMM NC(=O)[C@H]1CC[C@H]1C(=O)Nc1cccc([O-])c1Br ZINC000908707996 712839076 /nfs/dbraw/zinc/83/90/76/712839076.db2.gz MLTXYJGJHZZAEY-NKWVEPMBSA-N -1 1 313.151 1.605 20 0 DDADMM CCOC(=O)NCC(=O)Nc1cccc([O-])c1Br ZINC000908715550 712840373 /nfs/dbraw/zinc/84/03/73/712840373.db2.gz SXGJMPAWIOMOSF-UHFFFAOYSA-N -1 1 317.139 1.839 20 0 DDADMM CCC(CC)N(CCOC)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908733065 712843450 /nfs/dbraw/zinc/84/34/50/712843450.db2.gz BIJKSWWQRCAPNI-ZDUSSCGKSA-N -1 1 314.426 1.447 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2CCc3c2cccc3F)C1 ZINC000908754695 712848469 /nfs/dbraw/zinc/84/84/69/712848469.db2.gz UEIUTQNLPPBFFD-NHYWBVRUSA-N -1 1 320.364 1.726 20 0 DDADMM COc1cc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)ccc1C ZINC000908754985 712848530 /nfs/dbraw/zinc/84/85/30/712848530.db2.gz UGQSBHMSFBFNLY-CQSZACIVSA-N -1 1 320.389 1.416 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H](C(=O)[O-])C1CC1)c1cccc(F)c1 ZINC000908755600 712848587 /nfs/dbraw/zinc/84/85/87/712848587.db2.gz YVORVHFCCGEODW-KBPBESRZSA-N -1 1 323.368 1.591 20 0 DDADMM CC1(C)[C@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)[C@H]2CCCO[C@@H]21 ZINC000908824560 712862582 /nfs/dbraw/zinc/86/25/82/712862582.db2.gz IFCDAZAPTZNWJZ-MXYBEHONSA-N -1 1 324.421 1.103 20 0 DDADMM CCN(CC(=O)N[C@@H](C(=O)[O-])c1cc(F)ccc1F)C1CC1 ZINC000908919297 712887051 /nfs/dbraw/zinc/88/70/51/712887051.db2.gz BALOCISQKLTWLK-CQSZACIVSA-N -1 1 312.316 1.691 20 0 DDADMM C[C@H](Cn1cnc2c(cnn2C)c1=O)C(=O)c1ccc([O-])cc1 ZINC000916251949 713448611 /nfs/dbraw/zinc/44/86/11/713448611.db2.gz LJZKJOACTBIAJE-SNVBAGLBSA-N -1 1 312.329 1.355 20 0 DDADMM O=C(CCCCC(=O)c1ccccc1)NN1CC(=O)[N-]C1=O ZINC000916732019 713465336 /nfs/dbraw/zinc/46/53/36/713465336.db2.gz ULSJPCCDVXMNMA-UHFFFAOYSA-N -1 1 303.318 1.013 20 0 DDADMM C[C@@H](CSc1nnc(CO)n1C)C(=O)c1ccc([O-])cc1 ZINC000917338807 713493387 /nfs/dbraw/zinc/49/33/87/713493387.db2.gz HAPFHUJYEUCRJH-VIFPVBQESA-N -1 1 307.375 1.624 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)F)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966050715 717911182 /nfs/dbraw/zinc/91/11/82/717911182.db2.gz UCNIFKOQCYOHIK-ZJUUUORDSA-N -1 1 309.341 1.112 20 0 DDADMM CCN(CC)C(=O)CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000920807837 713696120 /nfs/dbraw/zinc/69/61/20/713696120.db2.gz DABFLVBDUFVWSD-UHFFFAOYSA-N -1 1 316.361 1.242 20 0 DDADMM CCN(CC)C(=O)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000920807837 713696122 /nfs/dbraw/zinc/69/61/22/713696122.db2.gz DABFLVBDUFVWSD-UHFFFAOYSA-N -1 1 316.361 1.242 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCC2(CCC2)O1)c1ccns1 ZINC000921667846 713836462 /nfs/dbraw/zinc/83/64/62/713836462.db2.gz AJCOGWNMWJHSJT-SNVBAGLBSA-N -1 1 302.421 1.913 20 0 DDADMM CCC[C@@H](O)CC[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000921683615 713841869 /nfs/dbraw/zinc/84/18/69/713841869.db2.gz ILTHOWVTGRCFHM-MGNBDDOMSA-N -1 1 314.473 1.268 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)CCS1 ZINC000921717765 713852531 /nfs/dbraw/zinc/85/25/31/713852531.db2.gz MXQXPPCJXIVWAW-YUMQZZPRSA-N -1 1 309.844 1.636 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2cccc3c2OCC3)sn1 ZINC000921891629 713898949 /nfs/dbraw/zinc/89/89/49/713898949.db2.gz JEZKRZQRUHSYCB-UHFFFAOYSA-N -1 1 310.400 1.865 20 0 DDADMM CC(C)CC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000966084636 717939045 /nfs/dbraw/zinc/93/90/45/717939045.db2.gz PWECFODNMBLYAC-NWDGAFQWSA-N -1 1 305.378 1.410 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3C[C@@H]4CSC[C@]4(C)C3)ccnc1-2 ZINC000931131317 714010621 /nfs/dbraw/zinc/01/06/21/714010621.db2.gz FJJBZAKVRIVDRM-BMIGLBTASA-N -1 1 317.418 1.559 20 0 DDADMM CC(C)[C@@H](O)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932042980 714244751 /nfs/dbraw/zinc/24/47/51/714244751.db2.gz KLSPVDBFCLCSEV-ZDUSSCGKSA-N -1 1 304.350 1.716 20 0 DDADMM C[C@@]1(NC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCOC1 ZINC000932046229 714245804 /nfs/dbraw/zinc/24/58/04/714245804.db2.gz KWSIYTLELSKROK-OAHLLOKOSA-N -1 1 302.334 1.878 20 0 DDADMM Cc1cnc(C(=O)N2CCC(C(=O)OC(C)C)CC2)c([O-])c1 ZINC000924395179 714574792 /nfs/dbraw/zinc/57/47/92/714574792.db2.gz NRRJRMDGIOILNO-UHFFFAOYSA-N -1 1 306.362 1.899 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@@H]1CC1(O)CCCCC1 ZINC000925047773 714736841 /nfs/dbraw/zinc/73/68/41/714736841.db2.gz DLKAXXLDVWFPQZ-CYBMUJFWSA-N -1 1 321.425 1.599 20 0 DDADMM COC(=O)/C=C(\C)CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934271197 714782568 /nfs/dbraw/zinc/78/25/68/714782568.db2.gz ZHIZCHLXNWNVBS-HUYFXPKMSA-N -1 1 307.350 1.447 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2ccnc(OC)n2)[n-]c1=O ZINC000934281088 714785893 /nfs/dbraw/zinc/78/58/93/714785893.db2.gz ZXRVPXHGHMJINM-LLVKDONJSA-N -1 1 317.349 1.327 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1ccnn1C1CCOCC1 ZINC000934628905 714865770 /nfs/dbraw/zinc/86/57/70/714865770.db2.gz JDTKNGNCFQMGQV-CYBMUJFWSA-N -1 1 310.354 1.814 20 0 DDADMM O=C([C@@H](O)C1CCCCCCC1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000935036635 714960885 /nfs/dbraw/zinc/96/08/85/714960885.db2.gz GFRWZEUFVIZUAA-KBPBESRZSA-N -1 1 321.425 1.627 20 0 DDADMM Cc1ccccc1[C@H](C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000935785566 715128409 /nfs/dbraw/zinc/12/84/09/715128409.db2.gz URLZDTLTEJKKBA-LBPRGKRZSA-N -1 1 315.377 1.122 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1cccc(O)c1 ZINC000935793995 715128873 /nfs/dbraw/zinc/12/88/73/715128873.db2.gz LAWORQUTTZYKDZ-ZYHUDNBSSA-N -1 1 317.345 1.991 20 0 DDADMM CN(C(=O)[C@H]1CC1(C)C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937210249 715292739 /nfs/dbraw/zinc/29/27/39/715292739.db2.gz AHMGRUZYENTZNG-VXGBXAGGSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956342898 715411847 /nfs/dbraw/zinc/41/18/47/715411847.db2.gz AHPIUCDLHICANT-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(C=C1CCC1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937862126 715618115 /nfs/dbraw/zinc/61/81/15/715618115.db2.gz YMRNSAJLYVNYFE-LBPRGKRZSA-N -1 1 301.346 1.228 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937864403 715619284 /nfs/dbraw/zinc/61/92/84/715619284.db2.gz PVGGUEOZGKPJKY-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM CCC(C)(CC)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937866454 715620423 /nfs/dbraw/zinc/62/04/23/715620423.db2.gz IJAICWOPKTZDQF-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)C2CCCC2)C1 ZINC000956849413 715636235 /nfs/dbraw/zinc/63/62/35/715636235.db2.gz QWVMCBODWHTMFP-KRWDZBQOSA-N -1 1 317.389 1.698 20 0 DDADMM Cn1c2ccc(CNC3(c4nnn[n-]4)CCCC3)cc2oc1=O ZINC000956873381 715647282 /nfs/dbraw/zinc/64/72/82/715647282.db2.gz XRJDFPNDNGVGCB-UHFFFAOYSA-N -1 1 314.349 1.204 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955249555 715701282 /nfs/dbraw/zinc/70/12/82/715701282.db2.gz SVHCDCHOTLVNSE-DGCLKSJQSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC=CC1 ZINC000939816951 716441834 /nfs/dbraw/zinc/44/18/34/716441834.db2.gz OGDAUEYAHOOJRY-GFCCVEGCSA-N -1 1 301.346 1.084 20 0 DDADMM Cc1occc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940125651 716637974 /nfs/dbraw/zinc/63/79/74/716637974.db2.gz NMTQUVDSTFSLHE-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM CC(=O)N1CC[C@H]([C@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000958984098 716794337 /nfs/dbraw/zinc/79/43/37/716794337.db2.gz USNINABCXYOKFU-CABZTGNLSA-N -1 1 318.377 1.046 20 0 DDADMM C[C@@H](NC(=O)C1CCC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000959131381 716878477 /nfs/dbraw/zinc/87/84/77/716878477.db2.gz BSROEQJRUXKWLC-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(C)C(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000961427943 716942881 /nfs/dbraw/zinc/94/28/81/716942881.db2.gz SPIMCBJFFSVJJM-TXEJJXNPSA-N -1 1 317.389 1.364 20 0 DDADMM CCCC(=O)N1CCC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000963641626 717862751 /nfs/dbraw/zinc/86/27/51/717862751.db2.gz UTMINNNMOFKQHM-QWHCGFSZSA-N -1 1 317.389 1.650 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000945250236 718430398 /nfs/dbraw/zinc/43/03/98/718430398.db2.gz NINRYWUCRQLSPU-GKADRNHJSA-N -1 1 317.389 1.720 20 0 DDADMM CC(C)C(=O)N1CC[C@@H]2[C@@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945884870 718604292 /nfs/dbraw/zinc/60/42/92/718604292.db2.gz GUMJGVKREGHRCV-QWHCGFSZSA-N -1 1 317.389 1.506 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC000967904710 719081430 /nfs/dbraw/zinc/08/14/30/719081430.db2.gz NEQLCBALXSIAGT-DGCLKSJQSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])o1 ZINC000949531315 720143288 /nfs/dbraw/zinc/14/32/88/720143288.db2.gz PJVHTSSIHVSSBA-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(Cc2ccccn2)C1 ZINC000969541745 720180985 /nfs/dbraw/zinc/18/09/85/720180985.db2.gz APZDNIQHPQEPIR-GFCCVEGCSA-N -1 1 312.373 1.433 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ccoc2)C1)c1ncccc1[O-] ZINC000950005390 720448387 /nfs/dbraw/zinc/44/83/87/720448387.db2.gz LMXONPIZMDTQNS-GFCCVEGCSA-N -1 1 315.329 1.415 20 0 DDADMM CC1(C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000951051278 720896340 /nfs/dbraw/zinc/89/63/40/720896340.db2.gz LEEJDFPKBFINAG-LLVKDONJSA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000951675937 721130570 /nfs/dbraw/zinc/13/05/70/721130570.db2.gz DUMLEPGDOJGCFR-DGCLKSJQSA-N -1 1 323.368 1.502 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1C[C@H]2C[C@H]2C1 ZINC000951677468 721132351 /nfs/dbraw/zinc/13/23/51/721132351.db2.gz CDVKLJUHYBSHNY-MROQNXINSA-N -1 1 315.373 1.164 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)C2(CF)CC2)C1)c1ncccc1[O-] ZINC000951959755 721254737 /nfs/dbraw/zinc/25/47/37/721254737.db2.gz HKBAQDKDJWPPGL-NSHDSACASA-N -1 1 321.352 1.258 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)[C@@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000952141910 721330512 /nfs/dbraw/zinc/33/05/12/721330512.db2.gz MRVJQGYSCFHOMQ-RYUDHWBXSA-N -1 1 315.373 1.308 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)C2CC2)CC1 ZINC000953887915 721674399 /nfs/dbraw/zinc/67/43/99/721674399.db2.gz FQUMCFMNWKBFQL-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@H]1CN(C(=O)C2CC2)CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001020653431 732601897 /nfs/dbraw/zinc/60/18/97/732601897.db2.gz DTQACNFLTOEZFE-AAEUAGOBSA-N -1 1 317.389 1.412 20 0 DDADMM O=C(CC1CC1)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001020906366 732758906 /nfs/dbraw/zinc/75/89/06/732758906.db2.gz QZYJOFFDGPIERL-QWHCGFSZSA-N -1 1 315.373 1.260 20 0 DDADMM Nc1cc(F)ccc1S(=O)(=O)[N-]c1ccc(F)c(CO)c1 ZINC001209881229 732837428 /nfs/dbraw/zinc/83/74/28/732837428.db2.gz ZKYJASNRBVFQFO-UHFFFAOYSA-N -1 1 314.313 1.840 20 0 DDADMM CC1(C)OCC[C@H]1C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000693135234 733100976 /nfs/dbraw/zinc/10/09/76/733100976.db2.gz PIRCESPVXSNQKQ-QMMMGPOBSA-N -1 1 322.789 1.968 20 0 DDADMM C[C@H](c1csnn1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038194099 733199631 /nfs/dbraw/zinc/19/96/31/733199631.db2.gz MZKZLJSPPCJBLC-ZJUUUORDSA-N -1 1 319.390 1.204 20 0 DDADMM Cc1noc(C)c1CN1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038194233 733204075 /nfs/dbraw/zinc/20/40/75/733204075.db2.gz PDAKVOBBIAYLQR-GFCCVEGCSA-N -1 1 316.361 1.396 20 0 DDADMM Cc1cnc([C@@H](C)N2CC[C@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038195654 733216932 /nfs/dbraw/zinc/21/69/32/733216932.db2.gz WZELRYWIBWMUEX-NEPJUHHUSA-N -1 1 316.361 1.649 20 0 DDADMM CCC[C@@H](C[N-]S(=O)(=O)c1ccc(Cl)nc1F)OC ZINC000692872033 738709305 /nfs/dbraw/zinc/70/93/05/738709305.db2.gz IUQQWRJVXTXQIZ-QMMMGPOBSA-N -1 1 310.778 1.968 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCC[C@@H]1O)c1ccc(Cl)nc1F ZINC000692879610 738741581 /nfs/dbraw/zinc/74/15/81/738741581.db2.gz KLCUSFIJMMRDAP-BDAKNGLRSA-N -1 1 322.789 1.704 20 0 DDADMM COCc1nc(NCCc2nnc3ccccn32)cc(=O)[n-]1 ZINC000891586628 734416106 /nfs/dbraw/zinc/41/61/06/734416106.db2.gz IIOYCXBFXZWCMC-UHFFFAOYSA-N -1 1 300.322 1.026 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCC[C@@H]2[C@H]2CCCN2CCF)c1[O-] ZINC001025221187 736371788 /nfs/dbraw/zinc/37/17/88/736371788.db2.gz MAWHCVNJMODRPB-CHWSQXEVSA-N -1 1 324.400 1.852 20 0 DDADMM CC1(C)CN(Cc2ccc([O-])c(F)c2F)CCN1CC(N)=O ZINC001140893418 736470561 /nfs/dbraw/zinc/47/05/61/736470561.db2.gz PRQAPGXRBYJPIH-UHFFFAOYSA-N -1 1 313.348 1.052 20 0 DDADMM CC(C)CCC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075538697 737068717 /nfs/dbraw/zinc/06/87/17/737068717.db2.gz FUPNMIZXEDNJAU-LBPRGKRZSA-N -1 1 307.394 1.848 20 0 DDADMM CSCC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008070171 737379674 /nfs/dbraw/zinc/37/96/74/737379674.db2.gz OKPOCIBYEDBWKJ-LLVKDONJSA-N -1 1 323.418 1.117 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059085182 739898386 /nfs/dbraw/zinc/89/83/86/739898386.db2.gz OCTIUTRGIMQQBE-ZDUSSCGKSA-N -1 1 317.389 1.722 20 0 DDADMM C[C@@H](NC(=O)[C@H]1CC12CC2)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006959894 739902973 /nfs/dbraw/zinc/90/29/73/739902973.db2.gz QPCBNTODEAGIMO-ZYHUDNBSSA-N -1 1 315.373 1.164 20 0 DDADMM C[C@@H](NC(=O)[C@@H]1CC12CC2)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006959891 739903254 /nfs/dbraw/zinc/90/32/54/739903254.db2.gz QPCBNTODEAGIMO-PWSUYJOCSA-N -1 1 315.373 1.164 20 0 DDADMM O=C(C1CCCC1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088248686 741194639 /nfs/dbraw/zinc/19/46/39/741194639.db2.gz YCLQPXDZAFPMKJ-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM Cc1cocc1C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029807184 741329647 /nfs/dbraw/zinc/32/96/47/741329647.db2.gz AMBZGJHWIGWTEZ-LLVKDONJSA-N -1 1 319.365 1.006 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029833866 741371861 /nfs/dbraw/zinc/37/18/61/741371861.db2.gz OAEHTMNVUKDEMK-JHJVBQTASA-N -1 1 321.425 1.227 20 0 DDADMM N=C(Nc1ccc2c(c1)OCO2)SCCS(=O)(=O)[O-] ZINC001168094401 741435212 /nfs/dbraw/zinc/43/52/12/741435212.db2.gz XZYWXFJPSMPLLA-UHFFFAOYSA-N -1 1 304.349 1.383 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1Cc1cnsn1 ZINC001088538929 741712435 /nfs/dbraw/zinc/71/24/35/741712435.db2.gz YOCQXASMEHJOTM-KOLCDFICSA-N -1 1 319.390 1.032 20 0 DDADMM C[C@H](CC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001076245180 742624614 /nfs/dbraw/zinc/62/46/14/742624614.db2.gz RBVVEVAAPOBIBG-NEPJUHHUSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001060134814 742942931 /nfs/dbraw/zinc/94/29/31/742942931.db2.gz ZJUGEFHBKUWNLB-XQQFMLRXSA-N -1 1 317.389 1.412 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@H](CO)c1ccccc1 ZINC001181925784 743376577 /nfs/dbraw/zinc/37/65/77/743376577.db2.gz WGMLCWAIXLOTDE-MRVPVSSYSA-N -1 1 300.240 1.538 20 0 DDADMM CCN(CC)[C@@H](C(=O)NCc1nn[n-]n1)c1ccccc1Cl ZINC001182224744 743535099 /nfs/dbraw/zinc/53/50/99/743535099.db2.gz RRPDXWNYAGIQJE-CYBMUJFWSA-N -1 1 322.800 1.552 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@@H]3C[C@H]3c3ccccc3F)c1-2 ZINC001182280069 743551102 /nfs/dbraw/zinc/55/11/02/743551102.db2.gz LBXUVZOEMCPTNM-WDEREUQCSA-N -1 1 311.320 1.916 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2ccc3[nH]ccc3c2)C1 ZINC000908707415 743592563 /nfs/dbraw/zinc/59/25/63/743592563.db2.gz HITKHJJLFHOFAC-GFCCVEGCSA-N -1 1 301.346 1.903 20 0 DDADMM CCCc1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)s1 ZINC001128334786 743615084 /nfs/dbraw/zinc/61/50/84/743615084.db2.gz KMQNGODZXUNVPA-UHFFFAOYSA-N -1 1 309.395 1.044 20 0 DDADMM CC(=O)SC[C@@H](C)C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001183124169 743777226 /nfs/dbraw/zinc/77/72/26/743777226.db2.gz SSCSCWXTFKUHMC-SCSAIBSYSA-N -1 1 300.296 1.140 20 0 DDADMM O=C(NC1CN(Cc2ccnc(Cl)c2)C1)c1ncccc1[O-] ZINC001030240338 743974056 /nfs/dbraw/zinc/97/40/56/743974056.db2.gz ZPPPKNVAUFAKIJ-UHFFFAOYSA-N -1 1 318.764 1.450 20 0 DDADMM COc1cc(CC(=O)C(=O)Nc2ncccc2[O-])ccc1O ZINC001184249011 744001676 /nfs/dbraw/zinc/00/16/76/744001676.db2.gz UMSOQMSWRURBSY-UHFFFAOYSA-N -1 1 302.286 1.252 20 0 DDADMM O=S(=O)([N-]c1ccnnc1Cl)c1cccnc1Cl ZINC001184836126 744111072 /nfs/dbraw/zinc/11/10/72/744111072.db2.gz OVCNFNJFHMUTCE-UHFFFAOYSA-N -1 1 305.146 1.979 20 0 DDADMM Cc1cc([O-])ccc1C(=O)N=c1nc2[nH][nH]cc-2c(Cl)n1 ZINC001186173319 744357422 /nfs/dbraw/zinc/35/74/22/744357422.db2.gz IOEFGFAPWQWVBM-UHFFFAOYSA-N -1 1 303.709 1.646 20 0 DDADMM C[C@@H]1CN(C2CN(C(=O)c3ccc([O-])c(F)c3)C2)C[C@@H](C)O1 ZINC001186220181 744367070 /nfs/dbraw/zinc/36/70/70/744367070.db2.gz ZOHNTUNNCBGKBU-GHMZBOCLSA-N -1 1 308.353 1.465 20 0 DDADMM Cc1ccc(F)c([N-]S(=O)(=O)c2ccc3c(c2)CC(=O)N3)n1 ZINC001186897839 744462657 /nfs/dbraw/zinc/46/26/57/744462657.db2.gz AZMNPRGCXQSQSQ-UHFFFAOYSA-N -1 1 321.333 1.825 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2cc3c(s2)CCCC3)no1 ZINC001187179895 744511957 /nfs/dbraw/zinc/51/19/57/744511957.db2.gz IURMJIWYFSMHJW-UHFFFAOYSA-N -1 1 313.404 1.797 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)C1=NCCC1)c1ccccc1N ZINC001187368585 744550349 /nfs/dbraw/zinc/55/03/49/744550349.db2.gz DGXUZSVAIPHJHA-SNVBAGLBSA-N -1 1 320.374 1.476 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)C1=NCCC1)c1ccccc1N ZINC001187368585 744550350 /nfs/dbraw/zinc/55/03/50/744550350.db2.gz DGXUZSVAIPHJHA-SNVBAGLBSA-N -1 1 320.374 1.476 20 0 DDADMM C[C@@H](CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001187413506 744558940 /nfs/dbraw/zinc/55/89/40/744558940.db2.gz ZMLRWRMDWIYLCG-WDEREUQCSA-N -1 1 309.414 1.273 20 0 DDADMM CC(C)NC(=O)[C@@H](NC(=O)c1n[n-]nc1C(F)(F)F)C(C)C ZINC001187769938 744598423 /nfs/dbraw/zinc/59/84/23/744598423.db2.gz GAEUTXWLBVWVDJ-ZETCQYMHSA-N -1 1 321.303 1.103 20 0 DDADMM Cc1cccc([C@@H](CO)NC(=O)c2n[n-]nc2C(F)(F)F)c1 ZINC001187773381 744600339 /nfs/dbraw/zinc/60/03/39/744600339.db2.gz FHSXVHAEGSMMEP-SECBINFHSA-N -1 1 314.267 1.595 20 0 DDADMM CC1(C)C[C@H](CNC(=O)c2n[n-]nc2C(F)(F)F)CCO1 ZINC001187774780 744600889 /nfs/dbraw/zinc/60/08/89/744600889.db2.gz XISQLTOSEQMOAD-SSDOTTSWSA-N -1 1 306.288 1.759 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1nccs1)[C@@H](C)CC ZINC001187918087 744627431 /nfs/dbraw/zinc/62/74/31/744627431.db2.gz RASLSDNKNOOYKN-DTWKUNHWSA-N -1 1 306.409 1.399 20 0 DDADMM O=C1Nc2ccccc2[C@H]1C[N-]S(=O)(=O)c1nccs1 ZINC001187923428 744635727 /nfs/dbraw/zinc/63/57/27/744635727.db2.gz PCVURFVGDJKXAS-SECBINFHSA-N -1 1 309.372 1.157 20 0 DDADMM CCOC(=O)c1n[nH]cc1NC(=O)c1ncc(C(F)(F)F)[n-]1 ZINC001188277155 744685521 /nfs/dbraw/zinc/68/55/21/744685521.db2.gz CDZUIXIIHINDHS-UHFFFAOYSA-N -1 1 317.227 1.581 20 0 DDADMM CCOC(=O)c1n[nH]cc1NC(=O)c1nc(C(F)(F)F)c[n-]1 ZINC001188277155 744685522 /nfs/dbraw/zinc/68/55/22/744685522.db2.gz CDZUIXIIHINDHS-UHFFFAOYSA-N -1 1 317.227 1.581 20 0 DDADMM NCc1ncccc1NC(=O)c1ccccc1S(=O)(=O)[O-] ZINC001189703941 744957879 /nfs/dbraw/zinc/95/78/79/744957879.db2.gz PNDFWTUJPZWLAP-UHFFFAOYSA-N -1 1 307.331 1.039 20 0 DDADMM CCC1(CC)CCCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1 ZINC001121980268 744995812 /nfs/dbraw/zinc/99/58/12/744995812.db2.gz JYAROZKTELMJJJ-MRXNPFEDSA-N -1 1 312.410 1.249 20 0 DDADMM COc1nc(S(=O)(=O)[N-]C[C@H]2CCC(F)(F)C2)ccc1F ZINC001190106690 745102645 /nfs/dbraw/zinc/10/26/45/745102645.db2.gz BYFXRSLKMWSLSH-QMMMGPOBSA-N -1 1 324.324 1.943 20 0 DDADMM Cc1ccnc(CNC(=O)c2cnc(-c3ccccc3)[n-]c2=O)n1 ZINC001190258959 745163802 /nfs/dbraw/zinc/16/38/02/745163802.db2.gz RWTVXQGEJSYNFI-UHFFFAOYSA-N -1 1 321.340 1.878 20 0 DDADMM COC(=O)[C@@H](NC(=O)NCC(=O)c1ccc([O-])cc1)C(C)C ZINC001190269623 745169105 /nfs/dbraw/zinc/16/91/05/745169105.db2.gz PUEWSTUAKXKMIH-ZDUSSCGKSA-N -1 1 308.334 1.072 20 0 DDADMM CCCC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@]2(C)C1 ZINC000992813994 745218131 /nfs/dbraw/zinc/21/81/31/745218131.db2.gz OYSNGXBFTIPOHM-PXAZEXFGSA-N -1 1 317.389 1.508 20 0 DDADMM COc1cc(C(=O)N[C@H]2CCCNC(=O)C2)cc(Cl)c1[O-] ZINC001191158170 745438528 /nfs/dbraw/zinc/43/85/28/745438528.db2.gz LYNDAATVJBCRKR-VIFPVBQESA-N -1 1 312.753 1.453 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CNC(=O)C2(C)C)cc(Cl)c1[O-] ZINC001191158885 745438615 /nfs/dbraw/zinc/43/86/15/745438615.db2.gz YCQDFZAVQPTUFV-SNVBAGLBSA-N -1 1 312.753 1.309 20 0 DDADMM Cn1nnnc1NC(=O)c1ccccc1C(=O)c1ccc([O-])cc1 ZINC001191484441 745519696 /nfs/dbraw/zinc/51/96/96/745519696.db2.gz MCHXHOLYLLVNND-UHFFFAOYSA-N -1 1 323.312 1.399 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H](O)C1)C(Cl)(Cl)Cl ZINC001192049959 745671866 /nfs/dbraw/zinc/67/18/66/745671866.db2.gz OIQDQVJAHWUTLM-NKWVEPMBSA-N -1 1 310.630 1.785 20 0 DDADMM Nc1nonc1[N-]C(=O)c1ccc(F)c(F)c1Br ZINC001191866493 745633419 /nfs/dbraw/zinc/63/34/19/745633419.db2.gz GLEQXDRXWIELDC-UHFFFAOYSA-N -1 1 319.065 1.945 20 0 DDADMM Cc1nc(C(=O)[N-]c2nnc3c[nH]cc(Br)c2-3)no1 ZINC001191990464 745659705 /nfs/dbraw/zinc/65/97/05/745659705.db2.gz NIYDBYCABJYAPW-UHFFFAOYSA-N -1 1 323.110 1.664 20 0 DDADMM O=C(NCCn1nnc2ccccc21)c1c(F)ccc([O-])c1F ZINC001192552865 745814110 /nfs/dbraw/zinc/81/41/10/745814110.db2.gz UZMNACFYGCVFCB-UHFFFAOYSA-N -1 1 318.283 1.845 20 0 DDADMM CCOC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1c(F)ccc([O-])c1F ZINC001192526454 745821442 /nfs/dbraw/zinc/82/14/42/745821442.db2.gz KDOGQDINXFWSHH-VXJOIVPMSA-N -1 1 311.284 1.838 20 0 DDADMM O=C(CNC(=O)c1cc([O-])cc(F)c1F)NCC(F)(F)F ZINC001192685097 745861612 /nfs/dbraw/zinc/86/16/12/745861612.db2.gz YPQHIOSVEPMYDX-UHFFFAOYSA-N -1 1 312.194 1.079 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Cl)nc1OC(F)(F)F ZINC001192865866 745908536 /nfs/dbraw/zinc/90/85/36/745908536.db2.gz XWRNRAYLPUZGME-UHFFFAOYSA-N -1 1 322.634 1.077 20 0 DDADMM CCOc1c(C(=O)[N-]c2c(C)noc2C(=O)OC)cnn1C ZINC001194363931 746353339 /nfs/dbraw/zinc/35/33/39/746353339.db2.gz OHCNIVFJMVFWTB-UHFFFAOYSA-N -1 1 308.294 1.154 20 0 DDADMM CC(C)(C)n1cc(C(=O)NCCCC[P@](=O)([O-])O)cn1 ZINC001194810251 746465676 /nfs/dbraw/zinc/46/56/76/746465676.db2.gz MGODWJDIDXYZGH-UHFFFAOYSA-N -1 1 303.299 1.326 20 0 DDADMM CC[C@H](C)OC(=O)Nc1ccc(S(=O)(=O)N(C)C)cc1[O-] ZINC001195121489 746532487 /nfs/dbraw/zinc/53/24/87/746532487.db2.gz FWQHRQRDICAPNO-VIFPVBQESA-N -1 1 316.379 1.990 20 0 DDADMM O=C(NCC[P@@](=O)([O-])O)Oc1ccccc1C(F)(F)F ZINC001195212419 746551113 /nfs/dbraw/zinc/55/11/13/746551113.db2.gz OKOGQFGAGQDGNC-UHFFFAOYSA-N -1 1 313.168 1.972 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc2c(c1)CNC(=O)N2C ZINC001195492172 746624515 /nfs/dbraw/zinc/62/45/15/746624515.db2.gz DSCFEQYLRWLOIG-UHFFFAOYSA-N -1 1 308.363 1.789 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(S(C)(=O)=O)cc1C ZINC001195492924 746624871 /nfs/dbraw/zinc/62/48/71/746624871.db2.gz RBSNSHJDZRNGBD-UHFFFAOYSA-N -1 1 316.404 1.841 20 0 DDADMM Cc1cc2c(cn1)[C@@H](NC(=O)c1c[nH]c(=S)[n-]c1=O)CC2 ZINC001196027364 746766032 /nfs/dbraw/zinc/76/60/32/746766032.db2.gz WFCFUQMJRNQPFW-NSHDSACASA-N -1 1 302.359 1.592 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc(-c2ccncc2)on1 ZINC001196225153 746802743 /nfs/dbraw/zinc/80/27/43/746802743.db2.gz XPXYOKOHPOASEO-UHFFFAOYSA-N -1 1 323.272 1.361 20 0 DDADMM O=[P@]([O-])(O)CCNC(=S)NCc1ccccc1Cl ZINC001196842861 746977771 /nfs/dbraw/zinc/97/77/71/746977771.db2.gz KQKYNJTWNNRLAI-UHFFFAOYSA-N -1 1 308.727 1.482 20 0 DDADMM CCOc1ccncc1[N-]S(=O)(=O)CCCCC(=O)OC ZINC001196921845 746997613 /nfs/dbraw/zinc/99/76/13/746997613.db2.gz ALZMTVIORNYOMU-UHFFFAOYSA-N -1 1 316.379 1.565 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ncccc3OC(C)C)c1-2 ZINC001199239568 747756389 /nfs/dbraw/zinc/75/63/89/747756389.db2.gz QYOWGNMBABSTKV-UHFFFAOYSA-N -1 1 312.333 1.469 20 0 DDADMM COc1cnc(Cl)c(C(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001199265212 747768728 /nfs/dbraw/zinc/76/87/28/747768728.db2.gz GGBDTQMYHSLJKN-UHFFFAOYSA-N -1 1 322.685 1.431 20 0 DDADMM [O-]c1cc(Cl)cnc1NC(=S)NCCN1CCOCC1 ZINC001200117673 748128268 /nfs/dbraw/zinc/12/82/68/748128268.db2.gz MINDBDYQNHCAAG-UHFFFAOYSA-N -1 1 316.814 1.059 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]c1[nH]c(=O)ncc1C ZINC001201767148 748598762 /nfs/dbraw/zinc/59/87/62/748598762.db2.gz PAIUMJQDEVMDQV-UHFFFAOYSA-N -1 1 320.783 1.710 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)Nc1c(F)cccc1F ZINC001202046577 748669414 /nfs/dbraw/zinc/66/94/14/748669414.db2.gz QCYDFTNZVKQFEX-UHFFFAOYSA-N -1 1 306.232 1.981 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1ccc[nH]1 ZINC001004722899 748677546 /nfs/dbraw/zinc/67/75/46/748677546.db2.gz BMPFDEIHPVHAFL-ZYHUDNBSSA-N -1 1 318.381 1.011 20 0 DDADMM C[C@@H]1CCN(C(=O)C2CCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004770958 748781515 /nfs/dbraw/zinc/78/15/15/748781515.db2.gz UYXXEJMIPRHLEC-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2nccnc2C)c1 ZINC000869541476 749224512 /nfs/dbraw/zinc/22/45/12/749224512.db2.gz LPQXKBMFSKUDRC-QFIPXVFZSA-N -1 1 320.370 1.645 20 0 DDADMM CC(C)=C(F)C(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202752274 749639449 /nfs/dbraw/zinc/63/94/49/749639449.db2.gz BIVXTWAMDBAORF-ZJUUUORDSA-N -1 1 323.372 1.291 20 0 DDADMM CC1(C(=O)N2C[C@H](NC(=O)c3ncccc3[O-])C(C)(C)C2)CC1 ZINC001008061570 752526764 /nfs/dbraw/zinc/52/67/64/752526764.db2.gz BZOSQINAYRVBQL-LBPRGKRZSA-N -1 1 317.389 1.554 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000999249555 752720862 /nfs/dbraw/zinc/72/08/62/752720862.db2.gz NJIPEOHEJJFUSA-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(C[C@H]1C=CCCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999480160 752946454 /nfs/dbraw/zinc/94/64/54/752946454.db2.gz CVCWHSZXCBFRNU-STQMWFEESA-N -1 1 319.409 1.337 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999960914 753277808 /nfs/dbraw/zinc/27/78/08/753277808.db2.gz SQDFXJRKOWOXHH-WDEREUQCSA-N -1 1 307.398 1.027 20 0 DDADMM O=C(CC1CCC1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036678714 753278561 /nfs/dbraw/zinc/27/85/61/753278561.db2.gz VKJCSYJVZXSXCG-STQMWFEESA-N -1 1 319.409 1.123 20 0 DDADMM C[C@@H](NC(=O)C1CC(C)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005880156 753292910 /nfs/dbraw/zinc/29/29/10/753292910.db2.gz IPVWUZOAMRQXKX-MOENNCHZSA-N -1 1 317.389 1.410 20 0 DDADMM CC(=O)N1C[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1C ZINC001009897994 753443708 /nfs/dbraw/zinc/44/37/08/753443708.db2.gz MXPWAEBCXBVXJF-KOLCDFICSA-N -1 1 318.377 1.046 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C(F)F ZINC001009913258 753464218 /nfs/dbraw/zinc/46/42/18/753464218.db2.gz XHPNPGALPUXNAN-BDAKNGLRSA-N -1 1 313.304 1.019 20 0 DDADMM C/C=C(\C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839505 753760194 /nfs/dbraw/zinc/76/01/94/753760194.db2.gz STZAESDTHOWTEF-QDEBKDIKSA-N -1 1 303.362 1.522 20 0 DDADMM CO[C@@H](C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-])C(C)C ZINC001078210289 753842351 /nfs/dbraw/zinc/84/23/51/753842351.db2.gz BPXORMBUIBLQEF-SMDDNHRTSA-N -1 1 323.393 1.083 20 0 DDADMM CC/C(C)=C/C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062947370 753869430 /nfs/dbraw/zinc/86/94/30/753869430.db2.gz ULFHAGGENAGGMB-ZRDIBKRKSA-N -1 1 317.389 1.912 20 0 DDADMM CCN(C(=O)CCCF)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080264259 755807809 /nfs/dbraw/zinc/80/78/09/755807809.db2.gz GLBVFFRNFZJARQ-UHFFFAOYSA-N -1 1 309.341 1.210 20 0 DDADMM C[C@H]1[C@H](NC(=O)C2(C)CC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014992913 756058451 /nfs/dbraw/zinc/05/84/51/756058451.db2.gz IQMSWEJGCDMJIE-NWDGAFQWSA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015568082 756380089 /nfs/dbraw/zinc/38/00/89/756380089.db2.gz QJKLDQPGIHFQSZ-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@@H](C)C(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082022458 756586979 /nfs/dbraw/zinc/58/69/79/756586979.db2.gz CSWQXRZTGYDIKC-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H](C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001082595064 756863745 /nfs/dbraw/zinc/86/37/45/756863745.db2.gz UTBDRMAEBKCVHE-OUAUKWLOSA-N -1 1 309.414 1.129 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2CC23CCCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082842223 756934050 /nfs/dbraw/zinc/93/40/50/756934050.db2.gz BVNHUAVFFBDJPD-GRYCIOLGSA-N -1 1 319.409 1.027 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(C/C=C\Cl)C[C@H]21 ZINC001084299186 757501555 /nfs/dbraw/zinc/50/15/55/757501555.db2.gz HYSVEVOSOCQBLY-YNNXIKFZSA-N -1 1 307.781 1.686 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)CC(C)(C)C)C1 ZINC001017049615 757594974 /nfs/dbraw/zinc/59/49/74/757594974.db2.gz UEARRYZQGCRURL-GFCCVEGCSA-N -1 1 323.441 1.617 20 0 DDADMM CC[C@H](CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC000822659852 758227487 /nfs/dbraw/zinc/22/74/87/758227487.db2.gz YAUVQYRCTGCJCT-OCCSQVGLSA-N -1 1 315.377 1.684 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C(F)F ZINC001014894277 758258607 /nfs/dbraw/zinc/25/86/07/758258607.db2.gz OHMLOCGKORGTOZ-IUCAKERBSA-N -1 1 313.304 1.162 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(F)F ZINC001018105737 758551455 /nfs/dbraw/zinc/55/14/55/758551455.db2.gz ULOQZVYYQYGWQX-DTWKUNHWSA-N -1 1 313.304 1.162 20 0 DDADMM C[C@@H]1CCN(C(=O)C2CC2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001018460340 758857368 /nfs/dbraw/zinc/85/73/68/758857368.db2.gz AEBHYUXZGRSUEL-YPMHNXCESA-N -1 1 317.389 1.412 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1[C@@H](C)[C@H](C)O[C@H]1C)c1nn[n-]n1 ZINC000824912912 759212675 /nfs/dbraw/zinc/21/26/75/759212675.db2.gz INPPZEZJTQELCT-QHZLYTNSSA-N -1 1 313.427 1.170 20 0 DDADMM O=C([C@H](O)c1ccc(Cl)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000825535286 759250032 /nfs/dbraw/zinc/25/00/32/759250032.db2.gz DKKSMWUYTQPYLN-GFCCVEGCSA-N -1 1 321.768 1.293 20 0 DDADMM O=C(N[C@H]1c2ccccc2C[C@H]1O)c1cnc(C2CC2)[n-]c1=O ZINC000828871567 759802895 /nfs/dbraw/zinc/80/28/95/759802895.db2.gz LMRXYNSKDUJXBA-KGLIPLIRSA-N -1 1 311.341 1.448 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001020205979 760678134 /nfs/dbraw/zinc/67/81/34/760678134.db2.gz DMALZPGHNXBOEX-LOWDOPEQSA-N -1 1 317.389 1.553 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001001310555 762749254 /nfs/dbraw/zinc/74/92/54/762749254.db2.gz CBRXFFNEVFMDJN-IMRBUKKESA-N -1 1 315.373 1.022 20 0 DDADMM O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)C1=CCCC1 ZINC001047053075 768212698 /nfs/dbraw/zinc/21/26/98/768212698.db2.gz RLVBOXLGNNWUGJ-UHTWSYAYSA-N -1 1 317.393 1.090 20 0 DDADMM CC(C)=CC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052420610 765808061 /nfs/dbraw/zinc/80/80/61/765808061.db2.gz GDCFPOPJSGEJBK-QWHCGFSZSA-N -1 1 317.389 1.578 20 0 DDADMM C[C@H]1c2ccc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)cc2NC1=O ZINC001170216387 766175301 /nfs/dbraw/zinc/17/53/01/766175301.db2.gz KJRNACAKQDCJPH-YFKPBYRVSA-N -1 1 312.289 1.515 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccc(F)c(C3OCCO3)c2)[n-]1 ZINC001170233208 766187480 /nfs/dbraw/zinc/18/74/80/766187480.db2.gz LNGDEDFSVCDHBB-UHFFFAOYSA-N -1 1 317.280 1.987 20 0 DDADMM COC(=O)c1cc(OC)ccc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170233233 766188016 /nfs/dbraw/zinc/18/80/16/766188016.db2.gz LZUSGDYDLYEYGF-UHFFFAOYSA-N -1 1 315.289 1.597 20 0 DDADMM Cn1cnc2c1cc(Cl)nc2Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170222818 766196049 /nfs/dbraw/zinc/19/60/49/766196049.db2.gz GBHRJMNSXSGTAK-UHFFFAOYSA-N -1 1 316.712 1.737 20 0 DDADMM COc1nc(Nc2[n-]c(=O)nc3nc[nH]c32)nc2ccsc21 ZINC001170226093 766200509 /nfs/dbraw/zinc/20/05/09/766200509.db2.gz ADZGTYGGHBLMFC-UHFFFAOYSA-N -1 1 315.318 1.815 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2cnc(OC(F)(F)F)cn2)[n-]1 ZINC001170226907 766201287 /nfs/dbraw/zinc/20/12/87/766201287.db2.gz BLAPQIXHOFBVOU-UHFFFAOYSA-N -1 1 313.199 1.491 20 0 DDADMM C[C@@H]1[C@@H](Nc2ncc(F)cn2)CCN1C(=O)c1ncccc1[O-] ZINC001068821775 767638845 /nfs/dbraw/zinc/63/88/45/767638845.db2.gz FPKNGOUTGRBSFX-KOLCDFICSA-N -1 1 317.324 1.431 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CCCF ZINC001071951876 770334747 /nfs/dbraw/zinc/33/47/47/770334747.db2.gz DYOKKNULLDVDSY-MNOVXSKESA-N -1 1 309.341 1.114 20 0 DDADMM O=C(NC[C@@H](Nc1cccc(F)n1)C1CC1)c1ncccc1[O-] ZINC001096651447 771373671 /nfs/dbraw/zinc/37/36/71/771373671.db2.gz JNZBYUWIAWOZBV-LLVKDONJSA-N -1 1 316.336 1.942 20 0 DDADMM CSc1ncc(C(=O)NCc2cn3ccccc3n2)c(=O)[n-]1 ZINC001135467194 771524977 /nfs/dbraw/zinc/52/49/77/771524977.db2.gz DMCHEGQWOWHRSF-UHFFFAOYSA-N -1 1 315.358 1.482 20 0 DDADMM Cc1ncsc1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001136713003 772107738 /nfs/dbraw/zinc/10/77/38/772107738.db2.gz RIOVEUGKBUABBE-UHFFFAOYSA-N -1 1 305.388 1.713 20 0 DDADMM COc1ccc([C@H](O)C(=O)Nc2cc(=O)[n-]c(SC)n2)cc1 ZINC001144771764 772617270 /nfs/dbraw/zinc/61/72/70/772617270.db2.gz IWGBBDGFWFOBIY-LBPRGKRZSA-N -1 1 321.358 1.585 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCCN(C(C)(C)C)C1=O)c1nn[n-]n1 ZINC001149123388 773031882 /nfs/dbraw/zinc/03/18/82/773031882.db2.gz YUUKYTIMANCEGP-WDEREUQCSA-N -1 1 322.413 1.194 20 0 DDADMM Cc1ncc(CO)c(C(=O)NC[C@@H]2Cc3ccccc3O2)c1[O-] ZINC001147842776 773266025 /nfs/dbraw/zinc/26/60/25/773266025.db2.gz MQVZBXOCHPIJKN-ZDUSSCGKSA-N -1 1 314.341 1.321 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCc2ccc3n[nH]cc3c2)c1[O-] ZINC001147842726 773266561 /nfs/dbraw/zinc/26/65/61/773266561.db2.gz KREBBIHZHVFBAR-UHFFFAOYSA-N -1 1 312.329 1.394 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@@H]2CCCc3cnccc32)c1[O-] ZINC001147847941 773268516 /nfs/dbraw/zinc/26/85/16/773268516.db2.gz AVERRZWVCWSSJW-CQSZACIVSA-N -1 1 313.357 1.790 20 0 DDADMM Cn1cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c2ccccc21 ZINC001148194721 773374549 /nfs/dbraw/zinc/37/45/49/773374549.db2.gz MAVHOWCCPCCFSG-UHFFFAOYSA-N -1 1 308.301 1.803 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N(C)Cc3ncccn3)cc2)[n-]1 ZINC001148325898 773436323 /nfs/dbraw/zinc/43/63/23/773436323.db2.gz AJJAQVLOEYQCHS-UHFFFAOYSA-N -1 1 323.356 1.861 20 0 DDADMM CC1(CC(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001036776025 774575344 /nfs/dbraw/zinc/57/53/44/774575344.db2.gz FRMWJPBGRGUKES-RYUDHWBXSA-N -1 1 319.409 1.123 20 0 DDADMM CCN1CC(OC2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001093529940 774782879 /nfs/dbraw/zinc/78/28/79/774782879.db2.gz NEGSGDOJAXDFOX-UHFFFAOYSA-N -1 1 305.378 1.113 20 0 DDADMM CSc1ccnc(NCCNC(=O)c2ncccc2[O-])n1 ZINC001093562898 774889898 /nfs/dbraw/zinc/88/98/98/774889898.db2.gz MKGLDXJWVVJYJP-UHFFFAOYSA-N -1 1 305.363 1.141 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)c1 ZINC001171451911 776222158 /nfs/dbraw/zinc/22/21/58/776222158.db2.gz MSHPXDXYYIITJL-QAEGUCOOSA-N -1 1 301.342 1.666 20 0 DDADMM COC(=O)[C@H]1CCC[C@@H](Nc2[n-]c(=O)nc3nc[nH]c32)CC1 ZINC001172775496 776887412 /nfs/dbraw/zinc/88/74/12/776887412.db2.gz GYCNMQSIILNWBG-DTWKUNHWSA-N -1 1 305.338 1.592 20 0 DDADMM COC(=O)[C@H]1CCC[C@H](Nc2[n-]c(=O)nc3nc[nH]c32)CC1 ZINC001172775498 776887851 /nfs/dbraw/zinc/88/78/51/776887851.db2.gz GYCNMQSIILNWBG-IUCAKERBSA-N -1 1 305.338 1.592 20 0 DDADMM COC(=O)[C@@H]1CCC[C@H](Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC1 ZINC001172778766 776888962 /nfs/dbraw/zinc/88/89/62/776888962.db2.gz WKUGBTSTWWAIDN-SFYZADRCSA-N -1 1 321.337 1.250 20 0 DDADMM [O-]c1c(F)c(F)ccc1Nc1cnn(CCN2CCOCC2)c1 ZINC001174492741 777487202 /nfs/dbraw/zinc/48/72/02/777487202.db2.gz BZIPATPEBFHQEN-UHFFFAOYSA-N -1 1 324.331 1.943 20 0 DDADMM O=C(N[C@H]1SC(=O)[N-]C1=O)c1cc2c(Cl)[nH]ccc-2n1 ZINC001174584303 777511809 /nfs/dbraw/zinc/51/18/09/777511809.db2.gz GUZLNHQRXNEYPM-JTQLQIEISA-N -1 1 310.722 1.255 20 0 DDADMM CN(C)c1nc(Nc2cnn([C@@H]3CCCCO3)c2)cc(=O)[n-]1 ZINC001174598682 777537079 /nfs/dbraw/zinc/53/70/79/777537079.db2.gz MJHVWHJYOUNXEB-ZDUSSCGKSA-N -1 1 304.354 1.887 20 0 DDADMM O=C([O-])c1ccc(CN2C[C@](O)(C(F)(F)F)C[C@H]2CO)cc1 ZINC001204150365 777690321 /nfs/dbraw/zinc/69/03/21/777690321.db2.gz HHPUEGQKLQEQPW-AAEUAGOBSA-N -1 1 319.279 1.245 20 0 DDADMM CC(C)C1(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CC1 ZINC001102346359 778083123 /nfs/dbraw/zinc/08/31/23/778083123.db2.gz KFXZZOWSRTXLHF-VXGBXAGGSA-N -1 1 321.425 1.131 20 0 DDADMM CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)Nc1ccccc1[S-] ZINC001176354743 778132497 /nfs/dbraw/zinc/13/24/97/778132497.db2.gz OSNPTZKRWTWGOM-GFCCVEGCSA-N -1 1 304.375 1.384 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccc(Br)c2c[nH]nc21 ZINC001176842484 778271139 /nfs/dbraw/zinc/27/11/39/778271139.db2.gz KKGJKXLNQVRAFB-UHFFFAOYSA-N -1 1 322.126 1.020 20 0 DDADMM O=C(NC[C@H](O)c1c[nH]cn1)c1c([O-])cnc2c(F)cccc21 ZINC001177837722 778755452 /nfs/dbraw/zinc/75/54/52/778755452.db2.gz MNBZVKHLDHFVIY-NSHDSACASA-N -1 1 316.292 1.266 20 0 DDADMM O=C(Cc1ncccc1C(F)F)NCCCC[P@@](=O)([O-])O ZINC001178440485 779030006 /nfs/dbraw/zinc/03/00/06/779030006.db2.gz PFVFKXJHOBXEJG-UHFFFAOYSA-N -1 1 322.248 1.636 20 0 DDADMM CCNc1ccc(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cn1 ZINC001179712502 779465329 /nfs/dbraw/zinc/46/53/29/779465329.db2.gz XHFROCWKVWZGNI-UHFFFAOYSA-N -1 1 305.363 1.858 20 0 DDADMM O=C(NCC1(Nc2ncc(F)cn2)CCC1)c1ncccc1[O-] ZINC001111788709 779482375 /nfs/dbraw/zinc/48/23/75/779482375.db2.gz WLQIQACVMMPLJH-UHFFFAOYSA-N -1 1 317.324 1.481 20 0 DDADMM CCC[C@H](CC)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179812998 779496659 /nfs/dbraw/zinc/49/66/59/779496659.db2.gz RYJVPMTWOXTHHG-SRVKXCTJSA-N -1 1 309.414 1.416 20 0 DDADMM Cc1cc2ccccc2n1CC(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001180430463 779757012 /nfs/dbraw/zinc/75/70/12/779757012.db2.gz ZZQNVNIXVNYHQC-UHFFFAOYSA-N -1 1 313.317 1.372 20 0 DDADMM CC(C)(C)N1CCN(C(=O)C2(C(=O)[O-])CC3(CCC3)C2)CC1 ZINC001119586959 781527493 /nfs/dbraw/zinc/52/74/93/781527493.db2.gz LBVMNADTXBHONK-UHFFFAOYSA-N -1 1 308.422 1.964 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-]CCCC(F)F ZINC001119609699 781535101 /nfs/dbraw/zinc/53/51/01/781535101.db2.gz TUAGVRWSYZPFHJ-UHFFFAOYSA-N -1 1 314.335 1.253 20 0 DDADMM O=C([O-])c1cnc(CCNCc2cn(C3CCC3)nn2)s1 ZINC001120278012 781849023 /nfs/dbraw/zinc/84/90/23/781849023.db2.gz QYGQBTXASVHGAZ-UHFFFAOYSA-N -1 1 307.379 1.490 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cccc(C)c1 ZINC001268433889 840180664 /nfs/dbraw/zinc/18/06/64/840180664.db2.gz SJDRNARCNOXEJK-UHFFFAOYSA-N -1 1 303.366 1.071 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-][C@H](C)c1nnc(C)o1 ZINC001414231439 844511804 /nfs/dbraw/zinc/51/18/04/844511804.db2.gz RNAAABAIZLXCCR-PSASIEDQSA-N -1 1 319.383 1.090 20 0 DDADMM Cc1nnc(CNC/C=C\CNC(=O)c2ncccc2[O-])s1 ZINC001268535756 840405070 /nfs/dbraw/zinc/40/50/70/840405070.db2.gz VFMNRVUOYUJSII-IHWYPQMZSA-N -1 1 319.390 1.023 20 0 DDADMM Cn1nnc(C(=O)[N-]c2cccc3nonc32)c1C(F)(F)F ZINC001272098683 844609610 /nfs/dbraw/zinc/60/96/10/844609610.db2.gz SSMCGFYJWKROQU-UHFFFAOYSA-N -1 1 312.211 1.622 20 0 DDADMM CCN(C(=O)[C@H]1CC12CN(C(=O)c1ncccc1[O-])C2)C(C)C ZINC001269347182 841552183 /nfs/dbraw/zinc/55/21/83/841552183.db2.gz UNNKUTHMIRMDAV-GFCCVEGCSA-N -1 1 317.389 1.506 20 0 DDADMM CCOC(=O)[C@@H](C)CNC(=O)c1ccc2cccnc2c1[O-] ZINC001149329232 861551091 /nfs/dbraw/zinc/55/10/91/861551091.db2.gz OSLCYOMQWFKFSJ-JTQLQIEISA-N -1 1 302.330 1.869 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3cn(C(C)C)cn3)CC2)n1 ZINC001365469259 846278339 /nfs/dbraw/zinc/27/83/39/846278339.db2.gz AFVXWGJXCDDKPJ-UHFFFAOYSA-N -1 1 311.367 1.123 20 0 DDADMM COC(=O)[C@H]1C[C@@H]1CNC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149656057 861788190 /nfs/dbraw/zinc/78/81/90/861788190.db2.gz FCAPYNIMKPRDNV-PWSUYJOCSA-N -1 1 315.325 1.790 20 0 DDADMM O=C(Nc1cnn2c1OCCC2)c1nc2ccc(Cl)nc2[n-]1 ZINC001155177168 861802885 /nfs/dbraw/zinc/80/28/85/861802885.db2.gz NLKGIRWJICLAFB-UHFFFAOYSA-N -1 1 318.724 1.843 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CC(F)(F)CC[C@H]1CO ZINC001155261175 861906725 /nfs/dbraw/zinc/90/67/25/861906725.db2.gz IAOYXEUXVKYDLA-QMMMGPOBSA-N -1 1 311.288 1.501 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3n[nH]c4cccc(F)c43)c1-2 ZINC001155276196 861922226 /nfs/dbraw/zinc/92/22/26/861922226.db2.gz MSCCGCXIDGQOEH-UHFFFAOYSA-N -1 1 311.280 1.303 20 0 DDADMM CCC(=O)N1CC[C@H](NC(=O)c2[n-][nH]c3cc(=O)ccc2-3)C1 ZINC001155273828 861923432 /nfs/dbraw/zinc/92/34/32/861923432.db2.gz YJKUUQBECUJPKN-VIFPVBQESA-N -1 1 302.334 1.009 20 0 DDADMM CCC[C@H](C)CC(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001410710955 849675757 /nfs/dbraw/zinc/67/57/57/849675757.db2.gz QGFORSZOIMYXFL-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CCC[C@](C)(CC)C(=O)N1CC[C@@](C)(NC(=O)c2cnn[nH]2)C1 ZINC001411009352 850100605 /nfs/dbraw/zinc/10/06/05/850100605.db2.gz UERHUARAKVKQQA-JKSUJKDBSA-N -1 1 321.425 1.742 20 0 DDADMM O=C(c1cc2occc2s1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001155516445 862183082 /nfs/dbraw/zinc/18/30/82/862183082.db2.gz VWVFGUUJCSZZBW-UHFFFAOYSA-N -1 1 319.346 1.131 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc2n[nH]cc2s1 ZINC001155555133 862221398 /nfs/dbraw/zinc/22/13/98/862221398.db2.gz FPANFXHARUUFTB-UHFFFAOYSA-N -1 1 303.280 1.312 20 0 DDADMM Cc1ccc2ccc(C(=O)Nc3ncnc4n[nH]nc43)c([O-])c2n1 ZINC001155652083 862342652 /nfs/dbraw/zinc/34/26/52/862342652.db2.gz NWAIAAWKHVVRMT-UHFFFAOYSA-N -1 1 321.300 1.562 20 0 DDADMM CO[C@@H]1COCC[C@H]1NC(=O)c1ccc2ccc(C)nc2c1[O-] ZINC001155660018 862351406 /nfs/dbraw/zinc/35/14/06/862351406.db2.gz PZVLIFNZWOYZKA-ZIAGYGMSSA-N -1 1 316.357 1.783 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC[C@]3(CCC[C@@H]3C(N)=O)C2)n1 ZINC001274974813 852724053 /nfs/dbraw/zinc/72/40/53/852724053.db2.gz PABSAMWVZGDSLW-BDJLRTHQSA-N -1 1 303.362 1.213 20 0 DDADMM CN1CCO[C@]2(CCCN(C(=O)c3c([O-])cccc3Cl)C2)C1 ZINC001275090467 852803487 /nfs/dbraw/zinc/80/34/87/852803487.db2.gz IRLXWCFRVCPHRQ-MRXNPFEDSA-N -1 1 324.808 1.982 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1C[C@H]2C[C@@]2(C(=O)N2CC=CC2)C1 ZINC001275399786 853066261 /nfs/dbraw/zinc/06/62/61/853066261.db2.gz VWDPQZLLGMMMRL-PIGZYNQJSA-N -1 1 316.332 1.392 20 0 DDADMM CC(C)(C)C(=O)N1CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001411630151 853485255 /nfs/dbraw/zinc/48/52/55/853485255.db2.gz NLCCUYVVGRIXSY-UHFFFAOYSA-N -1 1 308.353 1.862 20 0 DDADMM CC1=C(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CCCO1 ZINC001411802754 853761087 /nfs/dbraw/zinc/76/10/87/853761087.db2.gz QXXNVYYYQWWUPK-UHFFFAOYSA-N -1 1 315.333 1.802 20 0 DDADMM COc1ccc(O[C@H](C)CNC(=O)c2ccc([O-])cn2)cc1 ZINC001411860487 853849970 /nfs/dbraw/zinc/84/99/70/853849970.db2.gz CYYQGSSVXDVKNE-LLVKDONJSA-N -1 1 302.330 1.993 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cncc2ccccc21 ZINC001150923837 862533637 /nfs/dbraw/zinc/53/36/37/862533637.db2.gz GAFIAUAXVHVLLJ-UHFFFAOYSA-N -1 1 308.274 1.923 20 0 DDADMM CCc1ccc(CC(=O)NC(CC)(CC)c2nn[n-]n2)nc1 ZINC001412109320 854227813 /nfs/dbraw/zinc/22/78/13/854227813.db2.gz LOOKXBACPLIZCE-UHFFFAOYSA-N -1 1 302.382 1.531 20 0 DDADMM CCc1c(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cnn1CC ZINC001412298909 854420305 /nfs/dbraw/zinc/42/03/05/854420305.db2.gz CIUZLQMPEABUOC-UHFFFAOYSA-N -1 1 302.260 1.855 20 0 DDADMM CO[C@@H](CNC(=O)c1cnc(SC)[n-]c1=O)C1CCCC1 ZINC001412369887 854482704 /nfs/dbraw/zinc/48/27/04/854482704.db2.gz MABNQASERFWFIJ-NSHDSACASA-N -1 1 311.407 1.839 20 0 DDADMM NC(=O)[C@H]1Cc2ccccc2N(C(=O)c2ccc([O-])cc2F)C1 ZINC001412502686 854633858 /nfs/dbraw/zinc/63/38/58/854633858.db2.gz JUVUSIPQXRECDY-NSHDSACASA-N -1 1 314.316 1.836 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CO[C@@H](C)CC ZINC001412587509 854768341 /nfs/dbraw/zinc/76/83/41/854768341.db2.gz KNXHMXWYOPZORK-WDEREUQCSA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CO[C@@H](C)CC ZINC001412587509 854768346 /nfs/dbraw/zinc/76/83/46/854768346.db2.gz KNXHMXWYOPZORK-WDEREUQCSA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CO[C@@H](C)CC ZINC001412587509 854768351 /nfs/dbraw/zinc/76/83/51/854768351.db2.gz KNXHMXWYOPZORK-WDEREUQCSA-N -1 1 324.381 1.460 20 0 DDADMM CC(C)C(=O)[C@@H](NC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC001412596516 854787441 /nfs/dbraw/zinc/78/74/41/854787441.db2.gz NXHHSZYBXSQJDF-HNNXBMFYSA-N -1 1 315.377 1.605 20 0 DDADMM COC[C@@H](NC(=O)C[C@H]1CCc2ccccc2C1)c1nn[n-]n1 ZINC001412599370 854790991 /nfs/dbraw/zinc/79/09/91/854790991.db2.gz WNPICSCCWQPAAI-SMDDNHRTSA-N -1 1 315.377 1.199 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C(N)=O ZINC001412606150 854801441 /nfs/dbraw/zinc/80/14/41/854801441.db2.gz OFKCWQLZSXIGGP-SDBXPKJASA-N -1 1 319.336 1.709 20 0 DDADMM NC(=O)C1=CC2(CC1)CCN(C(=O)c1cc([O-])cc(F)c1)CC2 ZINC001276183453 854920398 /nfs/dbraw/zinc/92/03/98/854920398.db2.gz MPIIRYJSBLVPFU-UHFFFAOYSA-N -1 1 318.348 1.959 20 0 DDADMM Cc1cc(=O)c(C(=O)NCCc2c(F)cc([O-])cc2F)c[nH]1 ZINC001412684467 854946490 /nfs/dbraw/zinc/94/64/90/854946490.db2.gz YGQMNFIVOBMMGG-UHFFFAOYSA-N -1 1 308.284 1.640 20 0 DDADMM O=C(NC1CCN([C@@H]2CCOC2=O)CC1)c1c([O-])cccc1F ZINC001412731094 855040721 /nfs/dbraw/zinc/04/07/21/855040721.db2.gz PDDQLWZYKJGQDU-GFCCVEGCSA-N -1 1 322.336 1.041 20 0 DDADMM CC1(C)[C@H](O)C[C@@H]1NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001412848234 855722513 /nfs/dbraw/zinc/72/25/13/855722513.db2.gz IEVZBUMPTWKTFP-NWDGAFQWSA-N -1 1 304.321 1.969 20 0 DDADMM CCC(CC)(NC(=O)CN1CCc2ccccc21)c1nn[n-]n1 ZINC001412935705 855799611 /nfs/dbraw/zinc/79/96/11/855799611.db2.gz MGFQWPUIPUODHD-UHFFFAOYSA-N -1 1 314.393 1.394 20 0 DDADMM CNC(=O)OC[C@@H]1CCCCN1C(=O)c1ccc(F)c([O-])c1 ZINC001413040124 856022188 /nfs/dbraw/zinc/02/21/88/856022188.db2.gz JLOXXJSGORKNJX-NSHDSACASA-N -1 1 310.325 1.882 20 0 DDADMM Cc1occc(=O)c1OCC(=O)Nc1cc([O-])c(F)cc1F ZINC001413049854 856142920 /nfs/dbraw/zinc/14/29/20/856142920.db2.gz JXBVCLXNUBWJKB-UHFFFAOYSA-N -1 1 311.240 1.950 20 0 DDADMM COc1cc(NC(=O)CCc2nn[n-]n2)c(Cl)c(OC)c1 ZINC001413105597 856437728 /nfs/dbraw/zinc/43/77/28/856437728.db2.gz OPBSIDJLYPRWEO-UHFFFAOYSA-N -1 1 311.729 1.442 20 0 DDADMM C[C@H](COc1c(F)cccc1F)NC(=O)c1cnncc1[O-] ZINC001413268333 856595446 /nfs/dbraw/zinc/59/54/46/856595446.db2.gz GPUINDUKGFIAEO-MRVPVSSYSA-N -1 1 309.272 1.658 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)c2ncnn21 ZINC001413274810 856601072 /nfs/dbraw/zinc/60/10/72/856601072.db2.gz YUOSEWZPQGKYMP-XVKPBYJWSA-N -1 1 300.322 1.087 20 0 DDADMM C[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCC(F)CC1 ZINC001413333926 856679652 /nfs/dbraw/zinc/67/96/52/856679652.db2.gz JVVFJQHRRMLRMA-VOMCLLRMSA-N -1 1 323.368 1.550 20 0 DDADMM O=C(c1n[nH]c2c1CCCCC2)N1CCC(c2nn[n-]n2)CC1 ZINC001413427976 856798232 /nfs/dbraw/zinc/79/82/32/856798232.db2.gz QTCACVMWCURLGU-UHFFFAOYSA-N -1 1 315.381 1.212 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CC1(C(F)(F)F)CC1 ZINC001413507224 856905108 /nfs/dbraw/zinc/90/51/08/856905108.db2.gz XNFLSCYDFRGGTK-UHFFFAOYSA-N -1 1 305.304 1.917 20 0 DDADMM CCCc1cc(C(=O)N[C@H](COC)c2nn[n-]n2)ccc1Cl ZINC001413557707 857063490 /nfs/dbraw/zinc/06/34/90/857063490.db2.gz USRNARKVTSCQMQ-GFCCVEGCSA-N -1 1 323.784 1.923 20 0 DDADMM COC[C@@H](NC(=O)c1cccc(Cl)c1Cl)c1nn[n-]n1 ZINC001413557616 857065811 /nfs/dbraw/zinc/06/58/11/857065811.db2.gz UAIGQFZDSJPRMW-MRVPVSSYSA-N -1 1 316.148 1.624 20 0 DDADMM CCn1cc(CC(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)cn1 ZINC001413627326 857256137 /nfs/dbraw/zinc/25/61/37/857256137.db2.gz VFMUIZULSNZEQT-UHFFFAOYSA-N -1 1 319.365 1.001 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nnc3c(C)cccn23)n1 ZINC001156345636 862953359 /nfs/dbraw/zinc/95/33/59/862953359.db2.gz JPLMLCIKWPXLAI-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM O=C(C=Cc1ccccc1F)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123999011 859517908 /nfs/dbraw/zinc/51/79/08/859517908.db2.gz MMPGUBBHHNFOMV-GUOLPTJISA-N -1 1 315.352 1.833 20 0 DDADMM C[C@@H](c1ccccn1)N1CCC[C@H](OCCS(=O)(=O)[O-])C1 ZINC001224571901 881454717 /nfs/dbraw/zinc/45/47/17/881454717.db2.gz PUKKCKJXHVMCDG-STQMWFEESA-N -1 1 314.407 1.511 20 0 DDADMM COC(=O)c1ccc(F)c([N-]C(=O)c2nc3ncccn3n2)c1 ZINC001124507041 859724700 /nfs/dbraw/zinc/72/47/00/859724700.db2.gz ROGGUYIVODDGOO-UHFFFAOYSA-N -1 1 315.264 1.302 20 0 DDADMM CC(C)(C)OC(=O)N1CCC12CC(OCC[P@](=O)([O-])O)C2 ZINC001224607796 881466678 /nfs/dbraw/zinc/46/66/78/881466678.db2.gz VSEIPOHOKKZWIT-UHFFFAOYSA-N -1 1 321.310 1.723 20 0 DDADMM COC(=O)CCCc1nnc([N-]C(=O)c2nocc2C)s1 ZINC001361363731 881508801 /nfs/dbraw/zinc/50/88/01/881508801.db2.gz VKCWHYKOZQZJGE-UHFFFAOYSA-N -1 1 310.335 1.583 20 0 DDADMM COc1cccc(CN2C[C@H]3OC[C@@H](C)[C@H]3C2)c1OCC(=O)[O-] ZINC001139269650 860345184 /nfs/dbraw/zinc/34/51/84/860345184.db2.gz NIJHLIFAWZBVCX-UXIGCNINSA-N -1 1 321.373 1.625 20 0 DDADMM CC(C)C(=O)N[C@@H]1[C@H]2CN(Cc3ccc([O-])c(F)c3F)C[C@H]21 ZINC001140890775 860725886 /nfs/dbraw/zinc/72/58/86/860725886.db2.gz LMLXGMZMMHRZTP-HBYGRHMLSA-N -1 1 310.344 1.873 20 0 DDADMM CCOC(=O)N1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001140892791 860726845 /nfs/dbraw/zinc/72/68/45/860726845.db2.gz AWPCNJPCVBJCGD-UHFFFAOYSA-N -1 1 300.305 1.945 20 0 DDADMM Cc1cnc(O[C@@H]2CCN(Cc3ccc(C(=O)[O-])o3)C2)nc1 ZINC001140949209 860744073 /nfs/dbraw/zinc/74/40/73/860744073.db2.gz SIPMHHRPRDKCDH-GFCCVEGCSA-N -1 1 303.318 1.730 20 0 DDADMM O=C(Nc1ccc(-n2cccn2)nc1)c1cnc(C2CC2)[n-]c1=O ZINC001141361150 860832947 /nfs/dbraw/zinc/83/29/47/860832947.db2.gz FNOQSWWKZJUZKG-UHFFFAOYSA-N -1 1 322.328 1.893 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccc2[nH]c(-c3ccccc3)nc21 ZINC001154489675 861146380 /nfs/dbraw/zinc/14/63/80/861146380.db2.gz HTAWVQWKWSVXPQ-UHFFFAOYSA-N -1 1 319.328 1.673 20 0 DDADMM COC(=O)[C@H](CCC(=O)[O-])NC(=O)c1nc2cccc(C)c2[nH]1 ZINC001151993115 863097637 /nfs/dbraw/zinc/09/76/37/863097637.db2.gz YPWDXRBLSKTCGQ-JTQLQIEISA-N -1 1 319.317 1.008 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cncc(C=O)c2)[n-]c1=O ZINC001156558373 863147923 /nfs/dbraw/zinc/14/79/23/863147923.db2.gz CBMXHULTYNCSNY-UHFFFAOYSA-N -1 1 316.317 1.063 20 0 DDADMM CC(C)OC(=O)N1CCC(OCCCC[P@](=O)([O-])O)CC1 ZINC001225019878 881640204 /nfs/dbraw/zinc/64/02/04/881640204.db2.gz BOEZNIXPMGUGIT-UHFFFAOYSA-N -1 1 323.326 1.970 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cnn2cccc(F)c12 ZINC001156910574 863440287 /nfs/dbraw/zinc/44/02/87/863440287.db2.gz DQZHUGYZUJKLBA-UHFFFAOYSA-N -1 1 314.202 1.863 20 0 DDADMM CCOC(=O)C=Cc1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001152736831 863510660 /nfs/dbraw/zinc/51/06/60/863510660.db2.gz NVSJESPGSJLGBH-BJMVGYQFSA-N -1 1 315.333 1.347 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cc2ccoc2cn1 ZINC001153316196 863821109 /nfs/dbraw/zinc/82/11/09/863821109.db2.gz JQHBJYSJIQBHHA-UHFFFAOYSA-N -1 1 312.245 1.109 20 0 DDADMM CCCC[C@@H](NC(=O)c1c(C)[nH]c(=O)[n-]c1=S)C(=O)OC ZINC001153645699 863996859 /nfs/dbraw/zinc/99/68/59/863996859.db2.gz RFPPYTUUVLPVSM-MRVPVSSYSA-N -1 1 313.379 1.241 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)c1cccnc1OCCO ZINC001157811622 864161527 /nfs/dbraw/zinc/16/15/27/864161527.db2.gz KUTMJMJRWOFLBM-UHFFFAOYSA-N -1 1 310.256 1.689 20 0 DDADMM CCOc1cccc(C=CC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001153871271 864185275 /nfs/dbraw/zinc/18/52/75/864185275.db2.gz DCJRSIDDMRNAQR-FPLPWBNLSA-N -1 1 313.361 1.807 20 0 DDADMM O=Cc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)ccc1F ZINC001330555614 864448641 /nfs/dbraw/zinc/44/86/41/864448641.db2.gz YTHJAXSMWILNBM-SNVBAGLBSA-N -1 1 319.292 1.747 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2CCO[C@H](C)C2)[n-]c1Cl ZINC001361530374 881838486 /nfs/dbraw/zinc/83/84/86/881838486.db2.gz UTGYUSJVUFDEGW-HTQZYQBOSA-N -1 1 315.757 1.993 20 0 DDADMM COC(=O)c1cc(Cl)nc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159192783 865157484 /nfs/dbraw/zinc/15/74/84/865157484.db2.gz YWWASQRGVSPVFW-UHFFFAOYSA-N -1 1 321.684 1.032 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccnc(-c3cccnc3)n2)[n-]1 ZINC001159198788 865162294 /nfs/dbraw/zinc/16/22/94/865162294.db2.gz XZNPLGJAKWURAY-UHFFFAOYSA-N -1 1 306.289 1.654 20 0 DDADMM CCOCc1cnc(Cl)nc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159199305 865164783 /nfs/dbraw/zinc/16/47/83/865164783.db2.gz IRVREECCSFENLA-UHFFFAOYSA-N -1 1 321.728 1.782 20 0 DDADMM Cc1ccc2nc(Nc3c(O)[nH]c(=O)[n-]c3=S)ccc2c1 ZINC001160847184 866146757 /nfs/dbraw/zinc/14/67/57/866146757.db2.gz BIMCKEFTBVCROW-NSHDSACASA-N -1 1 300.343 1.491 20 0 DDADMM Cc1cc(Nc2c(O)[nH]c(=O)[n-]c2=S)nc2ccccc12 ZINC001160847788 866148043 /nfs/dbraw/zinc/14/80/43/866148043.db2.gz DWUPRXDWDVVMLJ-LLVKDONJSA-N -1 1 300.343 1.491 20 0 DDADMM Cc1cccc2ccc(Nc3c(O)[nH]c(=O)[n-]c3=S)nc12 ZINC001160847522 866148167 /nfs/dbraw/zinc/14/81/67/866148167.db2.gz MEGJWYDRHCASDA-LLVKDONJSA-N -1 1 300.343 1.491 20 0 DDADMM Cc1cc2ccccc2c(Nc2c(O)[nH]c(=O)[n-]c2=S)n1 ZINC001160854428 866151251 /nfs/dbraw/zinc/15/12/51/866151251.db2.gz VXAFYGCHZRHLPV-SNVBAGLBSA-N -1 1 300.343 1.491 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCOC(C)(C)C3)nc2n1 ZINC001361588495 881956050 /nfs/dbraw/zinc/95/60/50/881956050.db2.gz PJZNPCJAHTYFGZ-VIFPVBQESA-N -1 1 319.365 1.124 20 0 DDADMM CCCCCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001319837547 866328172 /nfs/dbraw/zinc/32/81/72/866328172.db2.gz PHTFHQTWQLDDEU-OLZOCXBDSA-N -1 1 323.441 1.807 20 0 DDADMM Clc1cc(CCOCc2nn[n-]n2)cc2c1OCCCO2 ZINC001333151244 866461608 /nfs/dbraw/zinc/46/16/08/866461608.db2.gz WXTIHBLFPAUKFD-UHFFFAOYSA-N -1 1 310.741 1.774 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc2c(c1)NC(=O)CS2 ZINC001361603918 881988597 /nfs/dbraw/zinc/98/85/97/881988597.db2.gz HGBWTAJWDZLUIY-UHFFFAOYSA-N -1 1 318.362 1.205 20 0 DDADMM C[C@@H](C(=O)Nc1nc(Br)ccc1[O-])n1ccnc1 ZINC001324342381 867214954 /nfs/dbraw/zinc/21/49/54/867214954.db2.gz JIPSSEOCZZTCTI-ZETCQYMHSA-N -1 1 311.139 1.946 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CC[C@]3(CC(F)(F)C3(F)F)C2)C1=O ZINC001334039566 867257695 /nfs/dbraw/zinc/25/76/95/867257695.db2.gz FWRFUEKLAIJSMM-KCJUWKMLSA-N -1 1 324.274 1.038 20 0 DDADMM O=C(/C=C/c1cc(C(=O)[O-])co1)NCCCCN1CCOCC1 ZINC001334217690 867391507 /nfs/dbraw/zinc/39/15/07/867391507.db2.gz MDSUPIBNTVHFGU-ONEGZZNKSA-N -1 1 322.361 1.220 20 0 DDADMM CS(=O)(=O)CC1(CNCc2ccc(/C=C\C(=O)[O-])o2)CC1 ZINC001334805258 867839273 /nfs/dbraw/zinc/83/92/73/867839273.db2.gz CZPCWDJBYMZLJY-PLNGDYQASA-N -1 1 313.375 1.292 20 0 DDADMM Cc1ccoc1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163435483 868443214 /nfs/dbraw/zinc/44/32/14/868443214.db2.gz PDASSGHWLOSMSO-UHFFFAOYSA-N -1 1 319.365 1.102 20 0 DDADMM CSc1nc(CNC(=O)C=C(C)c2cnccn2)cc(=O)[n-]1 ZINC001163577913 868543421 /nfs/dbraw/zinc/54/34/21/868543421.db2.gz NGNHTCPZCGCAPB-UITAMQMPSA-N -1 1 317.374 1.414 20 0 DDADMM CCC(=O)Nc1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001361708331 882202999 /nfs/dbraw/zinc/20/29/99/882202999.db2.gz WPYNZTDBQKPGPF-UHFFFAOYSA-N -1 1 302.338 1.510 20 0 DDADMM C[C@H](CCCNC(=O)[C@@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001336013808 868680035 /nfs/dbraw/zinc/68/00/35/868680035.db2.gz SGXVSHSWXRQLAY-NEPJUHHUSA-N -1 1 319.405 1.848 20 0 DDADMM CCCC[C@@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164381574 869170081 /nfs/dbraw/zinc/17/00/81/869170081.db2.gz XQQHAOWCXSYNBJ-GFCCVEGCSA-N -1 1 323.441 1.713 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(NC=O)cc1)c1nn[n-]n1 ZINC001297486782 870072536 /nfs/dbraw/zinc/07/25/36/870072536.db2.gz ACTJCRNRGAKWPW-UHFFFAOYSA-N -1 1 302.338 1.213 20 0 DDADMM C=C/C(C)=C\CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166679484 870150621 /nfs/dbraw/zinc/15/06/21/870150621.db2.gz VNNCLBLDYHDOEP-QCDXTXTGSA-N -1 1 319.409 1.409 20 0 DDADMM CCC(=CC(=O)NC[C@H](C)NC(=O)c1ncccc1[O-])CC ZINC001298758776 870708800 /nfs/dbraw/zinc/70/88/00/870708800.db2.gz IMSDCUYJQKRVBA-NSHDSACASA-N -1 1 305.378 1.768 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CCC[C@H]1c1ccsc1 ZINC001340070906 870875840 /nfs/dbraw/zinc/87/58/40/870875840.db2.gz XTNIBFQGSVXBIB-VIFPVBQESA-N -1 1 318.362 1.561 20 0 DDADMM CSCC[C@H](NC(=O)c1cc2c[nH]ccc-2n1)c1nn[n-]n1 ZINC001301161173 870978636 /nfs/dbraw/zinc/97/86/36/870978636.db2.gz IXMYPLAGMVHXIP-JTQLQIEISA-N -1 1 317.378 1.300 20 0 DDADMM C=CC(=O)NCCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001301707385 871018437 /nfs/dbraw/zinc/01/84/37/871018437.db2.gz LSPZRFOZFYFNLI-UHFFFAOYSA-N -1 1 300.318 1.209 20 0 DDADMM Cc1c[nH]c(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c(C)c1=O ZINC001304151662 871197586 /nfs/dbraw/zinc/19/75/86/871197586.db2.gz OGFUCAVZOVGFHA-UHFFFAOYSA-N -1 1 320.374 1.014 20 0 DDADMM CCOCCCn1c(-c2nc(Cl)n[n-]2)nnc1N(C)OC ZINC001342484446 872228826 /nfs/dbraw/zinc/22/88/26/872228826.db2.gz BTMMKQJQBFPJCK-UHFFFAOYSA-N -1 1 315.765 1.141 20 0 DDADMM C[N@@H+]1CCO[C@@]2(CCCN(Cc3c(F)ccc(O)c3F)C2)C1 ZINC001276491129 872489917 /nfs/dbraw/zinc/48/99/17/872489917.db2.gz CBGGKNFIKALVGK-INIZCTEOSA-N -1 1 312.360 1.967 20 0 DDADMM C[C@@H](NC(=O)c1cccc([O-])c1F)C(=O)NCc1ccccc1 ZINC001361908512 882621088 /nfs/dbraw/zinc/62/10/88/882621088.db2.gz NSRTUTKPIUZKGQ-LLVKDONJSA-N -1 1 316.332 1.966 20 0 DDADMM O=C(N[C@@H]1CCCCNC1=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001361918306 882638133 /nfs/dbraw/zinc/63/81/33/882638133.db2.gz OLORCZFZRVBTEM-SNVBAGLBSA-N -1 1 316.279 1.810 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ccnc(OC)c2)[n-]c1Cl ZINC001361923349 882647810 /nfs/dbraw/zinc/64/78/10/882647810.db2.gz MBKMESBCUFHXEE-UHFFFAOYSA-N -1 1 324.724 1.896 20 0 DDADMM CCN(C(=O)c1cncc([O-])c1)c1ncc(C(=O)OC)s1 ZINC001361951757 882697771 /nfs/dbraw/zinc/69/77/71/882697771.db2.gz RPMQKXMIAJTFCE-UHFFFAOYSA-N -1 1 307.331 1.697 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H](O)c1ccsc1 ZINC001346420406 873744792 /nfs/dbraw/zinc/74/47/92/873744792.db2.gz FLFWZCNWCVGEMQ-QMMMGPOBSA-N -1 1 311.388 1.304 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC2(C1)CC(F)(F)C2 ZINC001346449533 873758514 /nfs/dbraw/zinc/75/85/14/873758514.db2.gz GDRRTWFOVSXAAF-UHFFFAOYSA-N -1 1 301.318 1.651 20 0 DDADMM CCC(C)(C)CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208225055 873921908 /nfs/dbraw/zinc/92/19/08/873921908.db2.gz MGFCBEXZGCEQAS-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM Cc1ncc(CCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)s1 ZINC001347052253 873993696 /nfs/dbraw/zinc/99/36/96/873993696.db2.gz ACMAIUJYMHLOAO-UHFFFAOYSA-N -1 1 310.404 1.517 20 0 DDADMM O=S(=O)([N-]Cc1ccnc(-n2cccn2)c1)c1ccns1 ZINC001208320314 874005882 /nfs/dbraw/zinc/00/58/82/874005882.db2.gz CPGQLUCSUKLZHU-UHFFFAOYSA-N -1 1 321.387 1.202 20 0 DDADMM CO[C@H](C)c1nc(CNC(=O)CCCc2nn[n-]n2)cs1 ZINC001362025691 882859319 /nfs/dbraw/zinc/85/93/19/882859319.db2.gz DWBDDPIWLJTKLA-MRVPVSSYSA-N -1 1 310.383 1.003 20 0 DDADMM O=C(/C=C/c1nccs1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC001348816073 874798466 /nfs/dbraw/zinc/79/84/66/874798466.db2.gz AZFYEDHGBXINDU-OWOJBTEDSA-N -1 1 319.308 1.293 20 0 DDADMM COCC(=O)c1ccc([O-])cc1OC1CC(C)(C(=O)OC)C1 ZINC001227171924 882890632 /nfs/dbraw/zinc/89/06/32/882890632.db2.gz IKGNKVBXWIGRNA-UHFFFAOYSA-N -1 1 308.330 1.942 20 0 DDADMM COc1ccc(N)cc1S(=O)(=O)[N-]c1ccc2nc[nH]c2c1 ZINC001209848184 875144416 /nfs/dbraw/zinc/14/44/16/875144416.db2.gz HDKYTQINLWSPGR-UHFFFAOYSA-N -1 1 318.358 1.955 20 0 DDADMM CC(=O)[C@H]1CC[C@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1 ZINC001349472344 875192274 /nfs/dbraw/zinc/19/22/74/875192274.db2.gz HQIAKRCQIPFOSL-MGCOHNPYSA-N -1 1 309.391 1.657 20 0 DDADMM CCC(=O)NCCCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001349579653 875244219 /nfs/dbraw/zinc/24/42/19/875244219.db2.gz RLRBVZAIIYZTHG-UHFFFAOYSA-N -1 1 308.382 1.260 20 0 DDADMM CCOC(=O)c1nonc1[N-]c1ccc(OC(F)F)nc1 ZINC001210300066 875319225 /nfs/dbraw/zinc/31/92/25/875319225.db2.gz MJVYJPIIYFSOEI-UHFFFAOYSA-N -1 1 300.221 1.986 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CC(=O)N(C(C)(C)C)C2)c(=O)[n-]1 ZINC001362057767 882931845 /nfs/dbraw/zinc/93/18/45/882931845.db2.gz HNIVYAYXJPCOSY-MRVPVSSYSA-N -1 1 324.406 1.033 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccccc1-n1cccn1 ZINC001210733060 875505001 /nfs/dbraw/zinc/50/50/01/875505001.db2.gz XDKZWXVJOPEJLJ-UHFFFAOYSA-N -1 1 300.347 1.982 20 0 DDADMM CC[C@H](CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001210750541 875513109 /nfs/dbraw/zinc/51/31/09/875513109.db2.gz FISWUOYCXOZCCK-OLZOCXBDSA-N -1 1 323.441 1.663 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCO[C@@](C)(C(F)F)C1 ZINC001350101221 875535949 /nfs/dbraw/zinc/53/59/49/875535949.db2.gz RFUPRVGVHYWRMT-GFCCVEGCSA-N -1 1 319.333 1.276 20 0 DDADMM Cc1cscc1C(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001378756975 875562122 /nfs/dbraw/zinc/56/21/22/875562122.db2.gz IHKWGTCHUGVRLI-VIFPVBQESA-N -1 1 309.395 1.131 20 0 DDADMM O=C(NCCOCCCO)c1ccc2ccc(O)cc2c1[O-] ZINC001350734024 875861338 /nfs/dbraw/zinc/86/13/38/875861338.db2.gz XAQUYHPDQNXCNB-UHFFFAOYSA-N -1 1 305.330 1.380 20 0 DDADMM C[C@@H](Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1)c1cccnc1Cl ZINC001227433624 883013497 /nfs/dbraw/zinc/01/34/97/883013497.db2.gz GBYJKBWVCKBWCX-RXMQYKEDSA-N -1 1 307.697 1.953 20 0 DDADMM C[C@@H](Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)c1cccnc1Cl ZINC001227433624 883013512 /nfs/dbraw/zinc/01/35/12/883013512.db2.gz GBYJKBWVCKBWCX-RXMQYKEDSA-N -1 1 307.697 1.953 20 0 DDADMM CC[C@@H](C)C(=O)N(CC)CCCNC(=O)c1ncccc1[O-] ZINC001352122241 876606003 /nfs/dbraw/zinc/60/60/03/876606003.db2.gz NWRFAQSBVIUVMT-GFCCVEGCSA-N -1 1 307.394 1.802 20 0 DDADMM O=S(=O)([O-])c1ccc(O)c(-c2nc(-c3cccnc3)no2)c1 ZINC001215454020 876715038 /nfs/dbraw/zinc/71/50/38/876715038.db2.gz DOSVXARHZTYVHF-UHFFFAOYSA-N -1 1 319.298 1.751 20 0 DDADMM CCC[C@@H](CC)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216090130 876861781 /nfs/dbraw/zinc/86/17/81/876861781.db2.gz AJRBNEDRWJIZBS-IJLUTSLNSA-N -1 1 309.414 1.273 20 0 DDADMM CCn1cc(Nc2cnc(OC)c([N-]S(C)(=O)=O)c2)cn1 ZINC001216152290 876881204 /nfs/dbraw/zinc/88/12/04/876881204.db2.gz RZADVCZEQJDYBZ-UHFFFAOYSA-N -1 1 311.367 1.422 20 0 DDADMM COCNC(=O)c1cccc(Nc2cc(=O)[n-]c(N(C)C)n2)c1 ZINC001216461728 876950021 /nfs/dbraw/zinc/95/00/21/876950021.db2.gz DSIPCOZOMVNMFN-UHFFFAOYSA-N -1 1 317.349 1.326 20 0 DDADMM CN(Cc1cscn1)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001355326677 878501597 /nfs/dbraw/zinc/50/15/97/878501597.db2.gz XMLJTQFQTZRNST-UHFFFAOYSA-N -1 1 310.774 1.347 20 0 DDADMM CC[C@@H](C)CC(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001380060173 878686028 /nfs/dbraw/zinc/68/60/28/878686028.db2.gz CVENGXNOTGKCBC-GHMZBOCLSA-N -1 1 311.430 1.567 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C(=O)C(C)(C)C ZINC001356881115 879529995 /nfs/dbraw/zinc/52/99/95/879529995.db2.gz TUGYNHXCDCPZDK-JTQLQIEISA-N -1 1 321.377 1.027 20 0 DDADMM [O-]c1ccc(Br)c(CN2CCO[C@H](CO)C2)c1F ZINC001238305418 890163183 /nfs/dbraw/zinc/16/31/83/890163183.db2.gz NKOFVGCYGROIAY-QMMMGPOBSA-N -1 1 320.158 1.487 20 0 DDADMM C=C/C(C)=C\CC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001358534422 880504171 /nfs/dbraw/zinc/50/41/71/880504171.db2.gz FLLLLWQCWRADTC-RDQDRAATSA-N -1 1 303.362 1.544 20 0 DDADMM CCCC(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCCC1 ZINC001374354216 912627385 /nfs/dbraw/zinc/62/73/85/912627385.db2.gz BHCWFHKMXNTDJX-GFCCVEGCSA-N -1 1 309.414 1.465 20 0 DDADMM COc1ncc(NCc2ccc([O-])c(F)c2F)cc1C(N)=O ZINC001414135240 881270716 /nfs/dbraw/zinc/27/07/16/881270716.db2.gz KEBLFXBPGNHAEF-UHFFFAOYSA-N -1 1 309.272 1.785 20 0 DDADMM COC(=O)[C@@H](Oc1[n-]c(=O)nc2c1COC2)c1ccc(F)cc1 ZINC001227691335 883133554 /nfs/dbraw/zinc/13/35/54/883133554.db2.gz SYBQXUUAJFJFPJ-LBPRGKRZSA-N -1 1 320.276 1.645 20 0 DDADMM CCOC(=O)[C@@H](Oc1[n-]c(=O)nc2c1CO[C@H]2C)C(F)(F)F ZINC001227717788 883145499 /nfs/dbraw/zinc/14/54/99/883145499.db2.gz ZPLAZNFJDQREOK-YLWLKBPMSA-N -1 1 322.239 1.646 20 0 DDADMM C[C@@H](COc1ccc(F)cc1F)NC(=O)c1cnncc1[O-] ZINC001362152368 883163530 /nfs/dbraw/zinc/16/35/30/883163530.db2.gz QGRQQZMNBVBLQK-QMMMGPOBSA-N -1 1 309.272 1.658 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H]1NC(=O)c2ccccc21)c1nn[n-]n1 ZINC001362203876 883290798 /nfs/dbraw/zinc/29/07/98/883290798.db2.gz KRUQMPMRDQDKBB-RYUDHWBXSA-N -1 1 314.349 1.032 20 0 DDADMM C[C@H](CN(C)C(=O)CC(C)(C)C1CC1)NCc1n[nH]c(=O)[n-]1 ZINC001382076374 883364252 /nfs/dbraw/zinc/36/42/52/883364252.db2.gz ZTRDIWIQIFROQO-SNVBAGLBSA-N -1 1 309.414 1.273 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@@H](C)c1ncccn1 ZINC001228551510 883546620 /nfs/dbraw/zinc/54/66/20/883546620.db2.gz TWHPAHFCELPUIZ-QMMMGPOBSA-N -1 1 306.347 1.872 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H](F)[C@@H](Oc2cnnc(=S)[n-]2)C1 ZINC001228635655 883582748 /nfs/dbraw/zinc/58/27/48/883582748.db2.gz ACWBEBAGCVLLNK-YUMQZZPRSA-N -1 1 316.358 1.497 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H](Oc2cnnc(=S)[n-]2)[C@@H](F)C1 ZINC001228635654 883582918 /nfs/dbraw/zinc/58/29/18/883582918.db2.gz ACWBEBAGCVLLNK-JGVFFNPUSA-N -1 1 316.358 1.497 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2C[C@@H]1C[C@H]2Oc1cnnc(=S)[n-]1 ZINC001228638460 883586213 /nfs/dbraw/zinc/58/62/13/883586213.db2.gz GUKUPJHFHZUXCZ-OPRDCNLKSA-N -1 1 324.406 1.937 20 0 DDADMM CC(=O)N1CCc2c1cccc2NC(=O)CCCc1nn[n-]n1 ZINC001362368410 883658623 /nfs/dbraw/zinc/65/86/23/883658623.db2.gz XIFZOOZBYVGFFH-UHFFFAOYSA-N -1 1 314.349 1.070 20 0 DDADMM O=C([C@@H]1CC[C@@H]2[C@H](C1)C2(F)F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362376004 883673437 /nfs/dbraw/zinc/67/34/37/883673437.db2.gz QOGDUVSJLJIKCN-DBIOUOCHSA-N -1 1 311.336 1.587 20 0 DDADMM Cc1ccc(NC(=O)c2cnncc2[O-])cc1NC(=O)N(C)C ZINC001362406713 883742029 /nfs/dbraw/zinc/74/20/29/883742029.db2.gz AWDNSEXFZVRWSU-UHFFFAOYSA-N -1 1 315.333 1.836 20 0 DDADMM COc1cc2ccc(=O)oc2c(OC2CCN(N)CC2)c1[O-] ZINC001229214709 883875110 /nfs/dbraw/zinc/87/51/10/883875110.db2.gz GNQLLLWDMILURB-UHFFFAOYSA-N -1 1 306.318 1.224 20 0 DDADMM CCC(CC)CC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001229768981 884151448 /nfs/dbraw/zinc/15/14/48/884151448.db2.gz GXKCCVOQDXNYMA-UHFFFAOYSA-N -1 1 323.441 1.015 20 0 DDADMM COC(=O)c1c[n-]c(OCCOCCOCCOC(C)C)n1 ZINC001230282275 884407928 /nfs/dbraw/zinc/40/79/28/884407928.db2.gz TUIGGOQKDYEMDR-UHFFFAOYSA-N -1 1 316.354 1.033 20 0 DDADMM COc1ccccc1C[C@](C)(CO)NC(=O)c1ccc([O-])cn1 ZINC001362741248 884524955 /nfs/dbraw/zinc/52/49/55/884524955.db2.gz JCQBDJJMMIXYNS-QGZVFWFLSA-N -1 1 316.357 1.519 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](CO)c1ccccn1 ZINC001362746568 884537472 /nfs/dbraw/zinc/53/74/72/884537472.db2.gz IAJQCWIQBBQSIE-LBPRGKRZSA-N -1 1 316.361 1.472 20 0 DDADMM CCO[C@H]1C[C@](O)(CNC(=O)c2ccc(F)c([O-])c2)C1(C)C ZINC001362769681 884596121 /nfs/dbraw/zinc/59/61/21/884596121.db2.gz YOVIXCIWCPNMLE-BBRMVZONSA-N -1 1 311.353 1.827 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CO[C@H]1CCCC[C@H]1C ZINC001362828258 884738901 /nfs/dbraw/zinc/73/89/01/884738901.db2.gz ARYNSBXHHOZHES-OLZOCXBDSA-N -1 1 309.414 1.780 20 0 DDADMM C[C@@H](C(=O)NC1(c2nn[n-]n2)CCC1)c1ccc(F)cc1F ZINC001362835422 884757237 /nfs/dbraw/zinc/75/72/37/884757237.db2.gz MEUQRJTVXMFORQ-MRVPVSSYSA-N -1 1 307.304 1.777 20 0 DDADMM CCC(CC)(NC(=O)c1s[n-]c(=O)c1Cl)c1nn[nH]n1 ZINC001362859048 884817321 /nfs/dbraw/zinc/81/73/21/884817321.db2.gz UQFONEBKQQXSDL-UHFFFAOYSA-N -1 1 316.774 1.461 20 0 DDADMM CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@H]3CN2C(=O)c1cnc(SC)[n-]c1=O ZINC001362874300 884854434 /nfs/dbraw/zinc/85/44/34/884854434.db2.gz QGFGCFATZSBQNH-WPCZNGEBSA-N -1 1 321.402 1.400 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc(F)c([O-])c2)C[C@H]1N1CCOCC1 ZINC001363005857 885207533 /nfs/dbraw/zinc/20/75/33/885207533.db2.gz RJMJBZCLFQFHGM-HUUCEWRRSA-N -1 1 322.380 1.760 20 0 DDADMM C[C@@H](NC(=O)OC(C)(C)C)[C@H](C)NC(=O)c1cnncc1[O-] ZINC001363029740 885270980 /nfs/dbraw/zinc/27/09/80/885270980.db2.gz DWKGZQMPNOJOSJ-DTWKUNHWSA-N -1 1 310.354 1.214 20 0 DDADMM Cn1cc([C@]2(CNC(=O)c3cccc([O-])c3F)CCCO2)cn1 ZINC001363043350 885305623 /nfs/dbraw/zinc/30/56/23/885305623.db2.gz JCGXCDLBEWDZRV-MRXNPFEDSA-N -1 1 319.336 1.701 20 0 DDADMM Cn1cc([C@H]2CCCN(C(=O)c3ccc([O-])c(F)c3)C2)nn1 ZINC001363064638 885374454 /nfs/dbraw/zinc/37/44/54/885374454.db2.gz CVJAPQDCUDQILD-NSHDSACASA-N -1 1 304.325 1.680 20 0 DDADMM CC(C)(C)[C@H](CNC(=O)C(F)F)NC(=O)c1ncccc1[O-] ZINC001383226645 885438552 /nfs/dbraw/zinc/43/85/52/885438552.db2.gz LCUBCZVOBBHUKK-VIFPVBQESA-N -1 1 315.320 1.313 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@](C)(NC(=O)c2cnncc2[O-])C1 ZINC001363121121 885517610 /nfs/dbraw/zinc/51/76/10/885517610.db2.gz AKJUVQWUMNXZNU-HNNXBMFYSA-N -1 1 322.365 1.312 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](CC(C)C)n1ccnc1)c1nn[n-]n1 ZINC001363134993 885552213 /nfs/dbraw/zinc/55/22/13/885552213.db2.gz GJJWLWZGTCRJHC-GFCCVEGCSA-N -1 1 319.413 1.815 20 0 DDADMM COc1nnc([N-]C(=O)c2ncc(Br)cn2)s1 ZINC001363192681 885679760 /nfs/dbraw/zinc/67/97/60/885679760.db2.gz RUWRHGUGHDQQDA-UHFFFAOYSA-N -1 1 316.140 1.352 20 0 DDADMM COc1cc(C)cc(C(=O)NC2(c3nn[n-]n3)CCC2)c1C ZINC001363277039 885888804 /nfs/dbraw/zinc/88/88/04/885888804.db2.gz IRRLSIYHIXBDAL-UHFFFAOYSA-N -1 1 301.350 1.634 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1SCCc2sccc21 ZINC001363376307 886169458 /nfs/dbraw/zinc/16/94/58/886169458.db2.gz QBOFXQODYQLGDE-JTQLQIEISA-N -1 1 321.431 1.787 20 0 DDADMM C[C@@H](NC(=O)c1cnncc1[O-])C(=O)OCc1ccccc1 ZINC001363467783 886418992 /nfs/dbraw/zinc/41/89/92/886418992.db2.gz ZQDZQNCVOFJSHV-SNVBAGLBSA-N -1 1 301.302 1.044 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cncc(F)c2)n[n-]1 ZINC001363471900 886430992 /nfs/dbraw/zinc/43/09/92/886430992.db2.gz ADVJCPNLQCIXSL-ZETCQYMHSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cncc(F)c2)[n-]1 ZINC001363471900 886431002 /nfs/dbraw/zinc/43/10/02/886431002.db2.gz ADVJCPNLQCIXSL-ZETCQYMHSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cncc(F)c2)n1 ZINC001363471900 886431018 /nfs/dbraw/zinc/43/10/18/886431018.db2.gz ADVJCPNLQCIXSL-ZETCQYMHSA-N -1 1 307.285 1.007 20 0 DDADMM C[C@@H]1[C@H](C)OC[C@]12CC[C@@H](CNC(=O)c1ccc([O-])cn1)O2 ZINC001363534160 886571332 /nfs/dbraw/zinc/57/13/32/886571332.db2.gz LYYFZTOIEBRXNI-KTSGXFQWSA-N -1 1 306.362 1.490 20 0 DDADMM O=C(N[C@H](CO)[C@H](O)c1ccccn1)c1ccc(Cl)cc1[O-] ZINC001363564025 886644616 /nfs/dbraw/zinc/64/46/16/886644616.db2.gz SJVBSAISRSTRQG-TZMCWYRMSA-N -1 1 322.748 1.265 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(Cc2ccc(F)c([O-])c2F)C1 ZINC001232937651 886686143 /nfs/dbraw/zinc/68/61/43/886686143.db2.gz QPBGIJFYSWNPKT-JTQLQIEISA-N -1 1 305.346 1.679 20 0 DDADMM COC(=O)C[C@@H]1CN(Cc2cc([O-])c(F)c(F)c2)CCO1 ZINC001232971913 886702570 /nfs/dbraw/zinc/70/25/70/886702570.db2.gz CCKRLBQOUDNYPI-SNVBAGLBSA-N -1 1 301.289 1.434 20 0 DDADMM COC[C@@H](NC(=O)c1snc(Cl)c1Cl)c1nn[n-]n1 ZINC001363589949 886709559 /nfs/dbraw/zinc/70/95/59/886709559.db2.gz QUJNEDUCVFVLMT-GSVOUGTGSA-N -1 1 323.165 1.081 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)c1cncs1 ZINC001363590495 886710988 /nfs/dbraw/zinc/71/09/88/886710988.db2.gz XQRRYOIBCHKLBH-SECBINFHSA-N -1 1 322.390 1.534 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CCN(c2ncccn2)CC1 ZINC001233033362 886738987 /nfs/dbraw/zinc/73/89/87/886738987.db2.gz MDUZFDXOYJYBFP-UHFFFAOYSA-N -1 1 305.769 1.553 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cccnc2OC)[n-]c1=O ZINC001363630818 886817948 /nfs/dbraw/zinc/81/79/48/886817948.db2.gz MONMQHCBMIXEFK-UHFFFAOYSA-N -1 1 318.333 1.260 20 0 DDADMM CO[C@@H](C)C(=O)NCCCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001288824321 913083376 /nfs/dbraw/zinc/08/33/76/913083376.db2.gz IMYVRYKZBIXZTB-NEPJUHHUSA-N -1 1 323.393 1.227 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)c1ccccc1O ZINC000436548765 886872044 /nfs/dbraw/zinc/87/20/44/886872044.db2.gz UWDHKAYKGONZCX-UHFFFAOYSA-N -1 1 311.301 1.737 20 0 DDADMM CC(C)(CNC(N)=O)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001363653750 886894300 /nfs/dbraw/zinc/89/43/00/886894300.db2.gz NBGGTJYJSQIFJU-UHFFFAOYSA-N -1 1 317.345 1.428 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H](O)c1cccnc1 ZINC001363654580 886897189 /nfs/dbraw/zinc/89/71/89/886897189.db2.gz OWGJKUBKDGNZSX-AWEZNQCLSA-N -1 1 308.284 1.458 20 0 DDADMM CSc1ncc(C(=O)N[C@H](c2cnn(C)c2)C(C)C)c(=O)[n-]1 ZINC001363666763 886926391 /nfs/dbraw/zinc/92/63/91/886926391.db2.gz ZOPONHSLWVSGIU-NSHDSACASA-N -1 1 321.406 1.765 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2csnn2)[n-]c1=O ZINC001363676662 886951883 /nfs/dbraw/zinc/95/18/83/886951883.db2.gz RZMOUOZCDXZPAG-QMMMGPOBSA-N -1 1 307.335 1.020 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H]2Cc3ccccc32)sn1 ZINC001363756318 887150838 /nfs/dbraw/zinc/15/08/38/887150838.db2.gz WKXBXRMSIVHIBN-SNVBAGLBSA-N -1 1 310.400 1.770 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H](C)c2ccco2)[n-]n1 ZINC001363768220 887173490 /nfs/dbraw/zinc/17/34/90/887173490.db2.gz NSRZSVXZJYKKLX-QMMMGPOBSA-N -1 1 313.335 1.171 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H](C)c2ccco2)n[n-]1 ZINC001363768220 887173497 /nfs/dbraw/zinc/17/34/97/887173497.db2.gz NSRZSVXZJYKKLX-QMMMGPOBSA-N -1 1 313.335 1.171 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)O1)c1cccc([O-])c1F ZINC001363798371 887247487 /nfs/dbraw/zinc/24/74/87/887247487.db2.gz XCRLRCFIHTVMRV-LLVKDONJSA-N -1 1 309.337 1.989 20 0 DDADMM Cc1csc([C@@H](C)C(=O)N(Cc2nn[n-]n2)CC(C)C)n1 ZINC001363797454 887247799 /nfs/dbraw/zinc/24/77/99/887247799.db2.gz BFZUKJHZMMGBQB-SNVBAGLBSA-N -1 1 308.411 1.753 20 0 DDADMM Cc1cc(Cl)ccc1OCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363822020 887317489 /nfs/dbraw/zinc/31/74/89/887317489.db2.gz QAOPUAFZAYRTGB-UHFFFAOYSA-N -1 1 321.768 1.736 20 0 DDADMM CCOC(=O)c1[nH]nc(NC(=O)C2=C([O-])C(C)N=N2)c1C1CC1 ZINC001363832167 887341225 /nfs/dbraw/zinc/34/12/25/887341225.db2.gz OGLNCMOWIKAHIR-UHFFFAOYSA-N -1 1 319.321 1.453 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ccc(F)c([O-])c2)CS1(=O)=O ZINC001363860247 887416061 /nfs/dbraw/zinc/41/60/61/887416061.db2.gz ZUASOTXMFVKACS-SCZZXKLOSA-N -1 1 301.339 1.227 20 0 DDADMM C[C@@H](NC(=O)CCCc1nn[n-]n1)c1cnn(CC2CCC2)c1 ZINC001363915174 887532130 /nfs/dbraw/zinc/53/21/30/887532130.db2.gz LCEIEZDVQGDNLM-LLVKDONJSA-N -1 1 317.397 1.396 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H]2C[C@@]21C(=O)Nc1c[n-][nH]c1=O ZINC001364094089 887902957 /nfs/dbraw/zinc/90/29/57/887902957.db2.gz PRUHIRDNFDWLNM-PSLIRLAXSA-N -1 1 322.365 1.843 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H](Oc2c(=O)[n-]c(C)nc2C(=O)OC)C1 ZINC001234564546 888105388 /nfs/dbraw/zinc/10/53/88/888105388.db2.gz LPKGWRBSXDVWEK-ZJUUUORDSA-N -1 1 324.333 1.388 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@@H]2CCOC(C)(C)C2)c(=O)[n-]1 ZINC001234566166 888105896 /nfs/dbraw/zinc/10/58/96/888105896.db2.gz STKHCUSORVCTFQ-SECBINFHSA-N -1 1 309.366 1.741 20 0 DDADMM COc1cnc(C2(NC(=O)N3CCOCC3)CCCC2)[n-]c1=O ZINC001364206235 888142878 /nfs/dbraw/zinc/14/28/78/888142878.db2.gz WLWGWBYYLCNTRK-UHFFFAOYSA-N -1 1 322.365 1.002 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H](CO)CCC(C)(C)C)ccnc1-2 ZINC001364333258 888403760 /nfs/dbraw/zinc/40/37/60/888403760.db2.gz NTPJHLPRAGQSKR-LLVKDONJSA-N -1 1 319.409 1.651 20 0 DDADMM CCC[C@@H](C)CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385143334 888558552 /nfs/dbraw/zinc/55/85/52/888558552.db2.gz NGAPNRVNEIGERL-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CNC(=O)NC[C@H]1CCCCN1C(=O)c1cccc([O-])c1F ZINC001364472495 888716106 /nfs/dbraw/zinc/71/61/06/888716106.db2.gz WIQXWTLLFOCISC-SNVBAGLBSA-N -1 1 309.341 1.455 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC(Cc2nn[nH]n2)CC1 ZINC001364668045 889158971 /nfs/dbraw/zinc/15/89/71/889158971.db2.gz HAPABOGIDNZXEQ-UHFFFAOYSA-N -1 1 305.313 1.139 20 0 DDADMM CC(C)C(=O)N[C@H](C)[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001385528991 889266400 /nfs/dbraw/zinc/26/64/00/889266400.db2.gz CXRFRFQNNJSWSX-CHWSQXEVSA-N -1 1 319.405 1.943 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCC[C@@H]2C)[n-]n1 ZINC001364750482 889334523 /nfs/dbraw/zinc/33/45/23/889334523.db2.gz NNCJLVQTKHHYFI-IUCAKERBSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCC[C@@H]2C)n[n-]1 ZINC001364750482 889334539 /nfs/dbraw/zinc/33/45/39/889334539.db2.gz NNCJLVQTKHHYFI-IUCAKERBSA-N -1 1 301.368 1.053 20 0 DDADMM CC/C=C(\C)C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001290019878 913339079 /nfs/dbraw/zinc/33/90/79/913339079.db2.gz SCHOODZMVLAFGP-BUVFXISZSA-N -1 1 317.389 1.768 20 0 DDADMM COc1c[nH]c(C(=O)NCCc2c(F)cc([O-])cc2F)cc1=O ZINC001364948352 889738047 /nfs/dbraw/zinc/73/80/47/889738047.db2.gz PDSILAUEMIYMMU-UHFFFAOYSA-N -1 1 324.283 1.340 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCC2(CCC2)CO1 ZINC001364967153 889777723 /nfs/dbraw/zinc/77/77/23/889777723.db2.gz WLMQBUBYORRAQX-JTQLQIEISA-N -1 1 315.395 1.193 20 0 DDADMM O=S(=O)([N-][C@@H]1CNCC(F)(F)C1)C(Cl)(Cl)Cl ZINC001238499654 890249871 /nfs/dbraw/zinc/24/98/71/890249871.db2.gz LYWDQWKETHUYBE-BYPYZUCNSA-N -1 1 317.572 1.231 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccsc1 ZINC001386238723 890620629 /nfs/dbraw/zinc/62/06/29/890620629.db2.gz MLZFAGUUEWBATJ-VIFPVBQESA-N -1 1 305.359 1.397 20 0 DDADMM COC(=O)c1nc2ccc(-c3ccc(OC)nc3OC)nc2[n-]1 ZINC001241577327 891210733 /nfs/dbraw/zinc/21/07/33/891210733.db2.gz VSTAIXPJSILJDB-UHFFFAOYSA-N -1 1 314.301 1.775 20 0 DDADMM CCc1ccccc1CNS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365657679 891265062 /nfs/dbraw/zinc/26/50/62/891265062.db2.gz DBOVSNFETXWIIH-UHFFFAOYSA-N -1 1 323.374 1.237 20 0 DDADMM CCc1ccccc1CNS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365657679 891265075 /nfs/dbraw/zinc/26/50/75/891265075.db2.gz DBOVSNFETXWIIH-UHFFFAOYSA-N -1 1 323.374 1.237 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(CCc2ccns2)CC1 ZINC001365693253 891343982 /nfs/dbraw/zinc/34/39/82/891343982.db2.gz YIEQWJMKQBWCEC-UHFFFAOYSA-N -1 1 318.402 1.244 20 0 DDADMM O=S(=O)([N-][C@H](C1CC1)C(F)(F)F)c1cnn2ccccc12 ZINC001365711307 891380476 /nfs/dbraw/zinc/38/04/76/891380476.db2.gz MNEGZFMOSMQWSV-LLVKDONJSA-N -1 1 319.308 1.954 20 0 DDADMM O=S(=O)([N-]CCC(F)F)c1ccc(Cl)c2nonc21 ZINC001365748728 891450977 /nfs/dbraw/zinc/45/09/77/891450977.db2.gz PJGUEMVCCMUVQQ-UHFFFAOYSA-N -1 1 311.697 1.810 20 0 DDADMM COc1c(C(=O)[O-])cccc1C1=C2C(=NC(=O)C[C@H]2C)N=CN1 ZINC001242920490 891550072 /nfs/dbraw/zinc/55/00/72/891550072.db2.gz HKKMRHXGEOPGTH-MRVPVSSYSA-N -1 1 313.313 1.701 20 0 DDADMM COC(=O)c1nc2ccc(-c3cc(CO)ccc3OC)nc2[n-]1 ZINC001243174019 891617471 /nfs/dbraw/zinc/61/74/71/891617471.db2.gz IIIRKMZTVDVFEG-UHFFFAOYSA-N -1 1 313.313 1.864 20 0 DDADMM Cc1cc(-c2nccnc2N2CCN(C)CC2)ccc1C(=O)[O-] ZINC001243212132 891622665 /nfs/dbraw/zinc/62/26/65/891622665.db2.gz LXLPSZRIYLUIRQ-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM C[C@@H]1CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C[C@@H]1O ZINC001366261880 892928301 /nfs/dbraw/zinc/92/83/01/892928301.db2.gz HUJHBRXBKOXOHL-RISCZKNCSA-N -1 1 316.361 1.813 20 0 DDADMM C[S@@](=O)CCCCNC(=S)Nc1cccc(F)c1[O-] ZINC001246947875 893009324 /nfs/dbraw/zinc/00/93/24/893009324.db2.gz DMDOJXYEJGLCPU-LJQANCHMSA-N -1 1 304.412 1.976 20 0 DDADMM CCCN(C(=O)C(C)C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001387561088 893346239 /nfs/dbraw/zinc/34/62/39/893346239.db2.gz WCFZJWMRLGWJAP-ZDUSSCGKSA-N -1 1 319.405 1.896 20 0 DDADMM C[C@@H](CCC(C)(C)C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001366386939 893405015 /nfs/dbraw/zinc/40/50/15/893405015.db2.gz FCTQMMBJYNMCIZ-RYUDHWBXSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@H](CN[C@H](C)c1ncccn1)NC(=O)c1ncccc1[O-] ZINC001374752531 913803726 /nfs/dbraw/zinc/80/37/26/913803726.db2.gz WCDHAZRYPSVFBI-GHMZBOCLSA-N -1 1 301.350 1.046 20 0 DDADMM O=C([O-])c1c(F)ccc(CN2CC[C@]3(CCNC3=O)C2)c1F ZINC001249764549 894121666 /nfs/dbraw/zinc/12/16/66/894121666.db2.gz SMNCPSYPKDDHGX-OAHLLOKOSA-N -1 1 310.300 1.375 20 0 DDADMM CN(C)c1nc(Nc2cnc3c(c2)NCCO3)c(N=O)c(=O)[n-]1 ZINC001249802920 894128962 /nfs/dbraw/zinc/12/89/62/894128962.db2.gz OBKATDRGFPGBTD-UHFFFAOYSA-N -1 1 317.309 1.589 20 0 DDADMM CN(CCO)c1cc(Nc2cc([O-])c(F)c(F)c2F)ncn1 ZINC001249960587 894174560 /nfs/dbraw/zinc/17/45/60/894174560.db2.gz OXEAKOGLCSQDIC-UHFFFAOYSA-N -1 1 314.267 1.772 20 0 DDADMM COc1ncc(C[C@H]2COC(C)(C)O2)cc1[N-]S(C)(=O)=O ZINC001250183207 894209986 /nfs/dbraw/zinc/20/99/86/894209986.db2.gz IXKOXDWIKWQEGU-JTQLQIEISA-N -1 1 316.379 1.156 20 0 DDADMM CC(=O)Nc1ccc(S(=O)(=O)[N-]c2ccnc(CO)c2)cc1 ZINC001251812761 894820033 /nfs/dbraw/zinc/82/00/33/894820033.db2.gz BIGGBXMKADYUOO-UHFFFAOYSA-N -1 1 321.358 1.333 20 0 DDADMM COc1ccc(C(=O)N(C)C)cc1[N-]S(=O)(=O)C(C)C ZINC001252523934 895241241 /nfs/dbraw/zinc/24/12/41/895241241.db2.gz ZHXNXOIKWHORFF-UHFFFAOYSA-N -1 1 300.380 1.547 20 0 DDADMM CC(C)(C)c1ccc(OC[C@H](O)CNCc2n[nH]c(=O)[n-]2)cc1 ZINC001252765691 895440837 /nfs/dbraw/zinc/44/08/37/895440837.db2.gz YEJNXVWAKUOZRU-GFCCVEGCSA-N -1 1 320.393 1.337 20 0 DDADMM CCC[C@H](C)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367160832 896038143 /nfs/dbraw/zinc/03/81/43/896038143.db2.gz PMVZBBYAEGGDEX-NSHDSACASA-N -1 1 309.414 1.465 20 0 DDADMM CCCCS(=O)(=O)[N-]c1ncccc1CN1CCOCC1 ZINC001253787190 896090829 /nfs/dbraw/zinc/09/08/29/896090829.db2.gz VWWPKUHBFYDHCD-UHFFFAOYSA-N -1 1 313.423 1.456 20 0 DDADMM CS[C@H](C)CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001374822873 914041546 /nfs/dbraw/zinc/04/15/46/914041546.db2.gz CKSODGVDUUXVCP-SNVBAGLBSA-N -1 1 323.418 1.117 20 0 DDADMM CCC(CC)CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001374824766 914050103 /nfs/dbraw/zinc/05/01/03/914050103.db2.gz UYLPGTXXWFIXLP-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1ccoc1C1CC1 ZINC001367382604 896619051 /nfs/dbraw/zinc/61/90/51/896619051.db2.gz JXAIKQSOMPZQAK-SECBINFHSA-N -1 1 319.365 1.231 20 0 DDADMM CC/C(C)=C\C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001299532039 898324266 /nfs/dbraw/zinc/32/42/66/898324266.db2.gz CWPZILJOTAEJHX-LUJNMSEFSA-N -1 1 317.389 1.864 20 0 DDADMM COC(=O)[C@H]1C[C@@H]1C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258950838 898378573 /nfs/dbraw/zinc/37/85/73/898378573.db2.gz ZIRBRUGFUSUULS-APPZFPTMSA-N -1 1 305.302 1.052 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1cc(-c2cccc(C)c2)nn1C ZINC001259020171 898420225 /nfs/dbraw/zinc/42/02/25/898420225.db2.gz IGTRPHQNDXWLLE-UHFFFAOYSA-N -1 1 323.374 1.310 20 0 DDADMM COc1ccc(C[N-]S(=O)(=O)c2c(F)cccc2F)nn1 ZINC001259071900 898451500 /nfs/dbraw/zinc/45/15/00/898451500.db2.gz RXJGJHZQXPDKKC-UHFFFAOYSA-N -1 1 315.301 1.242 20 0 DDADMM O=C1CC[C@H](C[N-]S(=O)(=O)c2cc(Cl)ccc2F)CN1 ZINC001259460134 898679860 /nfs/dbraw/zinc/67/98/60/898679860.db2.gz MUHQMGKXZDWZRQ-QMMMGPOBSA-N -1 1 320.773 1.284 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2ccc(C)c(F)c2)ccn1 ZINC001259474068 898686269 /nfs/dbraw/zinc/68/62/69/898686269.db2.gz DKUHOPSKPPRDPW-UHFFFAOYSA-N -1 1 324.333 1.538 20 0 DDADMM O=c1cc[nH]cc1[N-]S(=O)(=O)c1ccc(Cl)cc1F ZINC001259507347 898707284 /nfs/dbraw/zinc/70/72/84/898707284.db2.gz MDLHZOIXEUGZAK-UHFFFAOYSA-N -1 1 302.714 1.968 20 0 DDADMM NC(=O)c1ccc(F)c([N-]S(=O)(=O)CCC(F)(F)F)c1 ZINC001259872735 898882215 /nfs/dbraw/zinc/88/22/15/898882215.db2.gz MKUNMODRMLKVIH-UHFFFAOYSA-N -1 1 314.260 1.619 20 0 DDADMM O=S(=O)([N-]c1ccnc(F)c1Br)C(F)F ZINC001259950940 898972507 /nfs/dbraw/zinc/97/25/07/898972507.db2.gz KEMPVRQEYBUMPH-UHFFFAOYSA-N -1 1 305.075 1.948 20 0 DDADMM O=S(=O)([N-]C1(c2cccc(F)c2)CCOCC1)C(F)F ZINC001259964428 898989795 /nfs/dbraw/zinc/98/97/95/898989795.db2.gz QKRUQHBMCOLBCG-UHFFFAOYSA-N -1 1 309.309 1.973 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(F)c(CC(=O)OC)c1F ZINC001259971922 898995260 /nfs/dbraw/zinc/99/52/60/898995260.db2.gz WDNOVFCEQJNYNY-UHFFFAOYSA-N -1 1 323.317 1.068 20 0 DDADMM O=S(=O)([N-]C1(CO)CCC1)c1cc(F)c(F)cc1Cl ZINC001260289495 899112606 /nfs/dbraw/zinc/11/26/06/899112606.db2.gz ZMXLVSKOXNBTHF-UHFFFAOYSA-N -1 1 311.737 1.812 20 0 DDADMM O=S(=O)([N-]C[C@H](O)CF)c1cc(Cl)sc1Cl ZINC001260474333 899148972 /nfs/dbraw/zinc/14/89/72/899148972.db2.gz IVCYEFVBQWTOFQ-SCSAIBSYSA-N -1 1 308.183 1.664 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccccn1 ZINC001390374859 899285195 /nfs/dbraw/zinc/28/51/95/899285195.db2.gz BXFKUJKAULABHC-NSHDSACASA-N -1 1 314.345 1.121 20 0 DDADMM O=S(=O)([N-]c1cc(O)ccc1Br)c1cn[nH]c1 ZINC001260959271 899297894 /nfs/dbraw/zinc/29/78/94/899297894.db2.gz IQUWOBWBSCKVJR-UHFFFAOYSA-N -1 1 318.152 1.679 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)c2cn[nH]c2)c(F)c1F ZINC001260961722 899301503 /nfs/dbraw/zinc/30/15/03/899301503.db2.gz PIFUJSQXDVYEMC-UHFFFAOYSA-N -1 1 317.273 1.275 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc2c(c1)COC2 ZINC001261270799 899442916 /nfs/dbraw/zinc/44/29/16/899442916.db2.gz MMNOEANMHKQQIN-UHFFFAOYSA-N -1 1 307.346 1.649 20 0 DDADMM C[C@@]1(C(=O)NCCCNC(=O)c2ncccc2[O-])CC=CCC1 ZINC001293261706 914376136 /nfs/dbraw/zinc/37/61/36/914376136.db2.gz BQAZKWMKCIGAFQ-QGZVFWFLSA-N -1 1 317.389 1.770 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C1CN(C(C)C)C1 ZINC000385971380 901009011 /nfs/dbraw/zinc/00/90/11/901009011.db2.gz MLPWMXYDBUOVHJ-UHFFFAOYSA-N -1 1 309.844 1.476 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)cc(C)c1F ZINC001374977659 914505987 /nfs/dbraw/zinc/50/59/87/914505987.db2.gz BRWCLNIQQIDEBZ-JTQLQIEISA-N -1 1 321.356 1.174 20 0 DDADMM CC[C@@H](CNC(=O)c1cscn1)NC(=O)c1ncccc1[O-] ZINC001375025940 914685007 /nfs/dbraw/zinc/68/50/07/914685007.db2.gz KFCHXYPZQHHKCQ-VIFPVBQESA-N -1 1 320.374 1.182 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001370524722 903351147 /nfs/dbraw/zinc/35/11/47/903351147.db2.gz NRYIWEJVAVTTHK-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CC2CCC1CC2 ZINC001392136703 903365872 /nfs/dbraw/zinc/36/58/72/903365872.db2.gz GAQXOKLZJLWGES-IKWCTNDRSA-N -1 1 321.425 1.273 20 0 DDADMM Cc1nccnc1CN(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001392637032 904685087 /nfs/dbraw/zinc/68/50/87/904685087.db2.gz DCQXARNPOZJGGD-NSHDSACASA-N -1 1 315.377 1.136 20 0 DDADMM C[C@H](CCCCNC(=O)C1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001281562538 905034119 /nfs/dbraw/zinc/03/41/19/905034119.db2.gz HXTNFNPLXKONCC-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CC[C@@H]1CCC[C@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282981431 906392677 /nfs/dbraw/zinc/39/26/77/906392677.db2.gz LPOLEOFADKKGPE-VXGBXAGGSA-N -1 1 305.378 1.460 20 0 DDADMM CC[C@H]1CCC[C@@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282981430 906393014 /nfs/dbraw/zinc/39/30/14/906393014.db2.gz LPOLEOFADKKGPE-RYUDHWBXSA-N -1 1 305.378 1.460 20 0 DDADMM CC(C)(C)CCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001372245431 906805242 /nfs/dbraw/zinc/80/52/42/906805242.db2.gz IFAXSRGPRSDHTG-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H](CNC(=O)CC1CCCC1)NC(=O)c1ncccc1[O-] ZINC001372749138 908131212 /nfs/dbraw/zinc/13/12/12/908131212.db2.gz ACIHWVCUAUZTSL-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM Cc1cc(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])c(C)o1 ZINC001372769219 908194843 /nfs/dbraw/zinc/19/48/43/908194843.db2.gz RGLPQIMLBOGWJI-VIFPVBQESA-N -1 1 317.345 1.545 20 0 DDADMM Cc1ccsc1C(=O)N[C@@H](C)[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001393919897 908248809 /nfs/dbraw/zinc/24/88/09/908248809.db2.gz VMRYRFPVFAJQPL-BDAKNGLRSA-N -1 1 309.395 1.177 20 0 DDADMM CC(C)=CC(=O)N[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC001285166357 910132806 /nfs/dbraw/zinc/13/28/06/910132806.db2.gz DLVWLEHKNZROJI-JOCQHMNTSA-N -1 1 317.389 1.911 20 0 DDADMM CC[C@H](F)C(=O)N(C)C[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001394873693 910794102 /nfs/dbraw/zinc/79/41/02/910794102.db2.gz HMWNFKPWGUYMAI-RYUDHWBXSA-N -1 1 323.368 1.598 20 0 DDADMM C[C@@H](CNC(=O)/C=C\c1ccc[nH]1)NC(=O)c1ncccc1[O-] ZINC001285722684 911101142 /nfs/dbraw/zinc/10/11/42/911101142.db2.gz HEXAQSYDYFXLBQ-ZADCQDASSA-N -1 1 314.345 1.063 20 0 DDADMM CCN(CCNC(=O)[C@H]1CCC1(C)C)C(=O)c1ncccc1[O-] ZINC001373916676 911351951 /nfs/dbraw/zinc/35/19/51/911351951.db2.gz DJCHGKHOTSHXFB-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM CC1(C)C[C@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001374159265 912041177 /nfs/dbraw/zinc/04/11/77/912041177.db2.gz KWLMOFXXXJAHKA-JTQLQIEISA-N -1 1 307.398 1.075 20 0 DDADMM CCCC(C)(C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001397160471 915313060 /nfs/dbraw/zinc/31/30/60/915313060.db2.gz YYMVPACIISRTNC-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H]1C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001375248772 915379821 /nfs/dbraw/zinc/37/98/21/915379821.db2.gz VPRHTIZRSWQPDT-UTUOFQBUSA-N -1 1 321.425 1.177 20 0 DDADMM CC(C)=CC(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001296391194 916373818 /nfs/dbraw/zinc/37/38/18/916373818.db2.gz FYNMUGGDDMUXED-GFCCVEGCSA-N -1 1 303.362 1.474 20 0 DDADMM Cn1cc(CN2CCN(Cc3ccc([O-])c(F)c3F)CC2)cn1 ZINC001414121225 916966634 /nfs/dbraw/zinc/96/66/34/916966634.db2.gz RBIJUQYRQSZWLX-UHFFFAOYSA-N -1 1 322.359 1.722 20 0 DDADMM Cc1nc(CN[C@@H](C)CCNC(=O)c2[nH]nc(C)c2[O-])co1 ZINC001377018157 920103134 /nfs/dbraw/zinc/10/31/34/920103134.db2.gz COBRHDNEUSAIOH-QMMMGPOBSA-N -1 1 307.354 1.018 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1(C)CCCCCC1 ZINC001377809000 923102112 /nfs/dbraw/zinc/10/21/12/923102112.db2.gz QETFWJJUMGAUAV-NEPJUHHUSA-N -1 1 323.441 1.854 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)C3CCCC3)nc2n1 ZINC000622994317 365586978 /nfs/dbraw/zinc/58/69/78/365586978.db2.gz KMARBDORRJAPPM-VIFPVBQESA-N -1 1 303.366 1.745 20 0 DDADMM O=C(CCCNC(=O)c1ccc(Cl)cc1)Nc1nnn[n-]1 ZINC000076963400 185061915 /nfs/dbraw/zinc/06/19/15/185061915.db2.gz AVZNJRRIKLBNJY-UHFFFAOYSA-N -1 1 308.729 1.002 20 0 DDADMM O=C(CCCNC(=O)c1ccc(Cl)cc1)Nc1nn[n-]n1 ZINC000076963400 185061917 /nfs/dbraw/zinc/06/19/17/185061917.db2.gz AVZNJRRIKLBNJY-UHFFFAOYSA-N -1 1 308.729 1.002 20 0 DDADMM CC(C)(C)C[C@@H](O)CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614388468 361832518 /nfs/dbraw/zinc/83/25/18/361832518.db2.gz HGNCZXFMKRYTON-MNOVXSKESA-N -1 1 311.382 1.668 20 0 DDADMM CCOC(=O)Cc1nnc([N-]C(=O)c2coc(C3CC3)n2)s1 ZINC000614420383 361846413 /nfs/dbraw/zinc/84/64/13/361846413.db2.gz SHZSHVCBOPRYIS-UHFFFAOYSA-N -1 1 322.346 1.761 20 0 DDADMM O=C([C@@H](O)C1CCCCC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474652 361875905 /nfs/dbraw/zinc/87/59/05/361875905.db2.gz WQNUAHVDKIDFOX-LBPRGKRZSA-N -1 1 309.366 1.422 20 0 DDADMM COC[C@@](C)([N-]S(=O)(=O)CCC1CCCC1)C(=O)OC ZINC000451308644 231064353 /nfs/dbraw/zinc/06/43/53/231064353.db2.gz PSQSGYIVYCYXNB-CYBMUJFWSA-N -1 1 307.412 1.064 20 0 DDADMM CC(C)[C@@](C)(NC(=O)c1ncc2ccccc2c1[O-])C(N)=O ZINC000614718274 361989413 /nfs/dbraw/zinc/98/94/13/361989413.db2.gz VMEDWCFNAOJEFC-MRXNPFEDSA-N -1 1 301.346 1.570 20 0 DDADMM O=S(=O)([N-]CCCOCCO)c1cc2cc(F)ccc2o1 ZINC000451384930 231083236 /nfs/dbraw/zinc/08/32/36/231083236.db2.gz PNEUESVNQNFFQE-UHFFFAOYSA-N -1 1 317.338 1.249 20 0 DDADMM O=C(N[C@]1(CCO)CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000278235657 214038057 /nfs/dbraw/zinc/03/80/57/214038057.db2.gz YXQSNCAKFOFNQZ-CYBMUJFWSA-N -1 1 319.279 1.682 20 0 DDADMM COc1cc(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)ccc1C ZINC000129336302 539184525 /nfs/dbraw/zinc/18/45/25/539184525.db2.gz PPCUGRPNFMNASK-ZDUSSCGKSA-N -1 1 315.377 1.465 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Br)c(Cl)c1 ZINC000130503926 539186440 /nfs/dbraw/zinc/18/64/40/539186440.db2.gz KWSVFBNNGOWSLW-UHFFFAOYSA-N -1 1 316.546 1.546 20 0 DDADMM CO[C@@H](C)c1nc(=NC2CCN(C(=O)C3CC3)CC2)s[n-]1 ZINC000328790884 231346422 /nfs/dbraw/zinc/34/64/22/231346422.db2.gz LPFGUUNMDHDPLD-VIFPVBQESA-N -1 1 310.423 1.480 20 0 DDADMM CCOc1cc(C(=O)NC[C@H]2COCCO2)cc(Cl)c1[O-] ZINC000358129471 299084177 /nfs/dbraw/zinc/08/41/77/299084177.db2.gz KEVNAEJXNVFWQT-JTQLQIEISA-N -1 1 315.753 1.590 20 0 DDADMM Cc1c(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)ccn1C ZINC000195262469 539263700 /nfs/dbraw/zinc/26/37/00/539263700.db2.gz DITJNVKHYHYCIA-UHFFFAOYSA-N -1 1 313.361 1.216 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc2oc(=O)[nH]c2cc1F)C(F)F ZINC000414448900 529644597 /nfs/dbraw/zinc/64/45/97/529644597.db2.gz AAUNNGHZSWDLKS-LURJTMIESA-N -1 1 324.280 1.582 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCC2(C)C)c1 ZINC000615053996 362129558 /nfs/dbraw/zinc/12/95/58/362129558.db2.gz LYOWBJBGCBFTTB-JTQLQIEISA-N -1 1 312.391 1.675 20 0 DDADMM O=C([O-])c1cccc(CNC(=O)[C@@H]2Cc3ccccc3CN2)c1 ZINC000321968529 539297534 /nfs/dbraw/zinc/29/75/34/539297534.db2.gz KARUDSUJTBCAHV-INIZCTEOSA-N -1 1 310.353 1.716 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](CC(C)C)NC(=O)C(C)(C)C ZINC000615225796 362203463 /nfs/dbraw/zinc/20/34/63/362203463.db2.gz GLVBPGTZMRGBIU-JTQLQIEISA-N -1 1 310.398 1.939 20 0 DDADMM COC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)c1ncc(C)cc1[O-] ZINC000615816429 362452791 /nfs/dbraw/zinc/45/27/91/362452791.db2.gz MVLXNDIPJILOEE-WDMOLILDSA-N -1 1 304.346 1.509 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)[C@H](C)[C@H]2C)co1 ZINC000332637206 530025490 /nfs/dbraw/zinc/02/54/90/530025490.db2.gz AEZJXKSJWOJQGJ-LPEHRKFASA-N -1 1 300.380 1.304 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2C[C@H]2CC(C)C)co1 ZINC000458000457 530025856 /nfs/dbraw/zinc/02/58/56/530025856.db2.gz XNWZVHLKJAVONO-MWLCHTKSSA-N -1 1 300.380 1.352 20 0 DDADMM COCC[C@H](C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330801037 232078869 /nfs/dbraw/zinc/07/88/69/232078869.db2.gz DBIMXJIPUFRRHF-ZETCQYMHSA-N -1 1 312.185 1.745 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC(=O)N(CC(F)(F)F)C1 ZINC000330886779 232139084 /nfs/dbraw/zinc/13/90/84/232139084.db2.gz MNFVLQZJVPFEFZ-UHFFFAOYSA-N -1 1 324.205 1.475 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC1(C2CCC2)CCC1 ZINC000458232371 232300447 /nfs/dbraw/zinc/30/04/47/232300447.db2.gz GHLCHQPVMZDNMH-UHFFFAOYSA-N -1 1 303.362 1.356 20 0 DDADMM O=C(C(=O)N1CC[C@@H](O)[C@H](C2CCCC2)C1)c1ccc([O-])cc1 ZINC000458324998 232346001 /nfs/dbraw/zinc/34/60/01/232346001.db2.gz BILSIKUTAYYIKB-JKSUJKDBSA-N -1 1 317.385 1.975 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCCc3c2ccc(=O)n3C)c([O-])c1 ZINC000616555011 362750882 /nfs/dbraw/zinc/75/08/82/362750882.db2.gz MXLWLONDZAGVHR-LBPRGKRZSA-N -1 1 313.357 1.602 20 0 DDADMM C[C@H](COc1ccccc1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000358381110 299137299 /nfs/dbraw/zinc/13/72/99/299137299.db2.gz HHISXCGAKHDTRD-NWDGAFQWSA-N -1 1 303.366 1.477 20 0 DDADMM CC[C@@H](C[C@@H](C)CO)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358379959 299136876 /nfs/dbraw/zinc/13/68/76/299136876.db2.gz FEBXUYXTWHHSKV-ZJUUUORDSA-N -1 1 306.366 1.201 20 0 DDADMM CC[C@@H](C)n1nc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1C ZINC000358386971 299139508 /nfs/dbraw/zinc/13/95/08/299139508.db2.gz XWXXJZXPCOJRRS-NXEZZACHSA-N -1 1 305.386 1.551 20 0 DDADMM CCO[C@@H](C)c1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)s1 ZINC000358403054 299143434 /nfs/dbraw/zinc/14/34/34/299143434.db2.gz AJADLISFONVKAT-IUCAKERBSA-N -1 1 324.410 1.629 20 0 DDADMM O=C(NC[C@@H](CO)[C@H]1CCOC1)c1c(F)ccc([O-])c1F ZINC000564613546 304003781 /nfs/dbraw/zinc/00/37/81/304003781.db2.gz ZYOVYDQDOSCECN-IUCAKERBSA-N -1 1 301.289 1.045 20 0 DDADMM O=C(N[C@H]1CCOC2(CCOCC2)C1)c1c([O-])cccc1F ZINC000331370946 233202829 /nfs/dbraw/zinc/20/28/29/233202829.db2.gz BSHVKZLMDZLLJJ-NSHDSACASA-N -1 1 309.337 1.989 20 0 DDADMM COC(=O)[C@H]1CCN(CC(=O)[N-]OCc2ccccc2)C[C@@H]1C ZINC000191867129 186267238 /nfs/dbraw/zinc/26/72/38/186267238.db2.gz ZYUAYJWXVZMIBV-ZFWWWQNUSA-N -1 1 320.389 1.365 20 0 DDADMM Cc1nc(CN2CCN(C(=O)c3cncc([O-])c3)CC2)cs1 ZINC000171909890 198006144 /nfs/dbraw/zinc/00/61/44/198006144.db2.gz KDMYVUZZEAINFN-UHFFFAOYSA-N -1 1 318.402 1.510 20 0 DDADMM COc1c(F)cc([N-]S(=O)(=O)C[C@@H]2CCCO2)cc1F ZINC000172366957 198086497 /nfs/dbraw/zinc/08/64/97/198086497.db2.gz MADAVGLXSWISEH-VIFPVBQESA-N -1 1 307.318 1.894 20 0 DDADMM CC[C@@H](C)NC(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC000042127571 352330500 /nfs/dbraw/zinc/33/05/00/352330500.db2.gz HWTZDCGOZDMPCU-SNVBAGLBSA-N -1 1 300.362 1.598 20 0 DDADMM O=C(CCOc1cccc(Br)c1)Nc1nnn[n-]1 ZINC000072678389 191212682 /nfs/dbraw/zinc/21/26/82/191212682.db2.gz YTCQUCWELWQSQU-UHFFFAOYSA-N -1 1 312.127 1.370 20 0 DDADMM O=C(CCOc1cccc(Br)c1)Nc1nn[n-]n1 ZINC000072678389 191212684 /nfs/dbraw/zinc/21/26/84/191212684.db2.gz YTCQUCWELWQSQU-UHFFFAOYSA-N -1 1 312.127 1.370 20 0 DDADMM O=C([O-])CCCCN1CCN(c2ccc([N+](=O)[O-])nc2)CC1 ZINC000057875456 352832517 /nfs/dbraw/zinc/83/25/17/352832517.db2.gz IHWYAJLCSUHOTM-UHFFFAOYSA-N -1 1 308.338 1.367 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2cscn2)o1 ZINC000072712028 353210956 /nfs/dbraw/zinc/21/09/56/353210956.db2.gz AVPGBIYKHOSISO-UHFFFAOYSA-N -1 1 316.360 1.044 20 0 DDADMM COc1ccccc1S(=O)(=O)[N-]c1ccc(C(N)=O)cc1F ZINC000080782012 353628185 /nfs/dbraw/zinc/62/81/85/353628185.db2.gz ATOPNFSNJQWQDC-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM Cc1cnc(C(=O)N2CCNC(=O)[C@H]2c2ccccc2)c([O-])c1 ZINC000358629643 299209292 /nfs/dbraw/zinc/20/92/92/299209292.db2.gz MYEAFUUOMYFZMX-OAHLLOKOSA-N -1 1 311.341 1.409 20 0 DDADMM CC[C@H](CO)NC(=O)c1c[n-]c2cc(OC)cc(OC)c2c1=O ZINC000623934796 366118690 /nfs/dbraw/zinc/11/86/90/366118690.db2.gz DNZDUSQIWAHWKK-SECBINFHSA-N -1 1 320.345 1.046 20 0 DDADMM CCOC(=O)[C@H](C)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000124860809 354042094 /nfs/dbraw/zinc/04/20/94/354042094.db2.gz UDMLXJGEHUGXID-SNVBAGLBSA-N -1 1 311.359 1.910 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)Nc1ccc(=O)n(C)c1)c2=O ZINC000131603084 354101518 /nfs/dbraw/zinc/10/15/18/354101518.db2.gz DCCPKNGRQWPLOY-UHFFFAOYSA-N -1 1 310.313 1.595 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)Nc3ccc(=O)n(C)c3)cnc2n1 ZINC000131603084 354101522 /nfs/dbraw/zinc/10/15/22/354101522.db2.gz DCCPKNGRQWPLOY-UHFFFAOYSA-N -1 1 310.313 1.595 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)(F)F)c1ccc(Cl)s1 ZINC000140741603 354137720 /nfs/dbraw/zinc/13/77/20/354137720.db2.gz FBRFTPLFTOJBSK-YFKPBYRVSA-N -1 1 323.745 1.993 20 0 DDADMM O=C(Nc1nccs1)[C@@H]1CCCN1Cc1ncccc1[O-] ZINC000584687394 354745565 /nfs/dbraw/zinc/74/55/65/354745565.db2.gz VGIWQGIBAWSNGV-NSHDSACASA-N -1 1 304.375 1.847 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn(C(C)(C)C)c2)n1 ZINC000585682535 354827309 /nfs/dbraw/zinc/82/73/09/354827309.db2.gz MYCAIFLYELWEGM-UHFFFAOYSA-N -1 1 305.338 1.790 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2cnn(-c3ccncc3)c2)c[nH]1 ZINC000589260609 354979530 /nfs/dbraw/zinc/97/95/30/354979530.db2.gz RNLOQZKOYPDLNQ-UHFFFAOYSA-N -1 1 304.335 1.100 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](CC)COC)o1 ZINC000358861544 291059637 /nfs/dbraw/zinc/05/96/37/291059637.db2.gz SCXLQBNSSCNYBM-SECBINFHSA-N -1 1 305.352 1.160 20 0 DDADMM CN(C(=O)OC(C)(C)C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000495404220 235179294 /nfs/dbraw/zinc/17/92/94/235179294.db2.gz SKSMABVMNFJOPN-NSHDSACASA-N -1 1 321.377 1.869 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2cccc3n[nH]cc32)CC1 ZINC000591257690 355271927 /nfs/dbraw/zinc/27/19/27/355271927.db2.gz YVCLBBSEBDUAEJ-UHFFFAOYSA-N -1 1 323.374 1.790 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C(C)=O)cn2C)n1 ZINC000591302935 355284873 /nfs/dbraw/zinc/28/48/73/355284873.db2.gz GIKCPGBZOYNYIZ-UHFFFAOYSA-N -1 1 304.306 1.380 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)Cc1cc(C)on1 ZINC000592074398 355484080 /nfs/dbraw/zinc/48/40/80/355484080.db2.gz CFPKUJLMYVJMPT-LLVKDONJSA-N -1 1 318.395 1.380 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(=O)OC ZINC000592080104 355488013 /nfs/dbraw/zinc/48/80/13/355488013.db2.gz KKESGEYRVDRVQR-SECBINFHSA-N -1 1 321.345 1.751 20 0 DDADMM CC(C)(C)OC(=O)CN(CC1CC1)C(=O)c1cncc([O-])c1 ZINC000593821321 356011026 /nfs/dbraw/zinc/01/10/26/356011026.db2.gz NMYBIKFHAPVRJD-UHFFFAOYSA-N -1 1 306.362 1.981 20 0 DDADMM COCCN1CC[C@H](NC(=O)c2c([O-])cc(F)cc2F)C1 ZINC000594186888 356134513 /nfs/dbraw/zinc/13/45/13/356134513.db2.gz UPDGSFBKLLCDFV-JTQLQIEISA-N -1 1 300.305 1.121 20 0 DDADMM CNC(=O)c1ccc(=NC[C@@H](C(=O)OC(C)(C)C)C(C)C)[n-]n1 ZINC000594599956 356260960 /nfs/dbraw/zinc/26/09/60/356260960.db2.gz OTFRLUWQPZLNFE-LLVKDONJSA-N -1 1 322.409 1.284 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCC(C)(C)CO)cc1C ZINC000595333567 356453078 /nfs/dbraw/zinc/45/30/78/356453078.db2.gz KEGSCGQYFNEXCZ-UHFFFAOYSA-N -1 1 319.379 1.062 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](C)c2ccccn2)cc1C ZINC000595300786 356439034 /nfs/dbraw/zinc/43/90/34/356439034.db2.gz VJCQUECTLRUWPB-JTQLQIEISA-N -1 1 324.358 1.809 20 0 DDADMM Cc1cccc(CN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)c1C ZINC000358752524 299242777 /nfs/dbraw/zinc/24/27/77/299242777.db2.gz PYTLIXGGMXTIEF-UHFFFAOYSA-N -1 1 311.345 1.307 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@H]2CCCc3cn[nH]c32)C[C@H]1C(=O)[O-] ZINC000579349112 422752262 /nfs/dbraw/zinc/75/22/62/422752262.db2.gz ARGQBNMSMGFKND-JLLWLGSASA-N -1 1 306.366 1.539 20 0 DDADMM O=C([O-])CC[C@@H]1CCCCN1[C@H]1CCN(CC(F)(F)F)C1=O ZINC000424264282 304060118 /nfs/dbraw/zinc/06/01/18/304060118.db2.gz TXOMNJTUJHQQEC-QWRGUYRKSA-N -1 1 322.327 1.869 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C)n([C@H](C)C2CC2)n1)c1nn[n-]n1 ZINC000347594844 283236180 /nfs/dbraw/zinc/23/61/80/283236180.db2.gz HKKAPMHJZCWNMR-ZYHUDNBSSA-N -1 1 317.397 1.947 20 0 DDADMM CCOC(=O)C[C@H](CO)NC(=O)c1ccc(Cl)cc1[O-] ZINC000597681623 357282965 /nfs/dbraw/zinc/28/29/65/357282965.db2.gz LVLYYTXMDXGSRX-SECBINFHSA-N -1 1 301.726 1.090 20 0 DDADMM CCOC(=O)CC1(NC(=O)c2ncccc2[O-])CCOCC1 ZINC000598490339 357605078 /nfs/dbraw/zinc/60/50/78/357605078.db2.gz PWVCFMOWLNYVPS-UHFFFAOYSA-N -1 1 308.334 1.019 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2C[C@@H](C)Cc3c[nH]nc32)n1 ZINC000598935998 357763319 /nfs/dbraw/zinc/76/33/19/357763319.db2.gz IMFQLCYAUNCOPN-WPRPVWTQSA-N -1 1 317.349 1.614 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]CC(=O)OC(C)(C)C)C1CC1 ZINC000599237582 357859141 /nfs/dbraw/zinc/85/91/41/357859141.db2.gz KSCWFBHRFNAEMS-LLVKDONJSA-N -1 1 307.412 1.063 20 0 DDADMM CC[C@H](C)CS(=O)(=O)[N-][C@@H](C(=O)OC)c1cccs1 ZINC000347971920 283311003 /nfs/dbraw/zinc/31/10/03/283311003.db2.gz WIYIXRHXUUHRAN-GXSJLCMTSA-N -1 1 305.421 1.928 20 0 DDADMM COC(=O)C[C@@](C)(NC(=O)c1ncccc1[O-])c1ccccn1 ZINC000427805475 291134775 /nfs/dbraw/zinc/13/47/75/291134775.db2.gz FITGMQFMCOKTHY-MRXNPFEDSA-N -1 1 315.329 1.391 20 0 DDADMM COC(=O)C1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000600925922 358346500 /nfs/dbraw/zinc/34/65/00/358346500.db2.gz HYKBZURKVPUKRC-UHFFFAOYSA-N -1 1 311.765 2.000 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-][C@@H](C(=O)OC)c1cccs1 ZINC000348111172 283373709 /nfs/dbraw/zinc/37/37/09/283373709.db2.gz NUQOVMDYDGUCCZ-LLVKDONJSA-N -1 1 320.436 1.529 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2C[C@H](C)O[C@@H]2C)cc1C ZINC000601498931 358576156 /nfs/dbraw/zinc/57/61/56/358576156.db2.gz ICIDRFDLIGUSSK-IVZWLZJFSA-N -1 1 317.363 1.219 20 0 DDADMM COC(=O)[C@]1(C)C[C@@H](OC)CN1C(=O)c1cc(F)ccc1[O-] ZINC000601734575 358669937 /nfs/dbraw/zinc/66/99/37/358669937.db2.gz DLZNFENQLRWZDA-BMIGLBTASA-N -1 1 311.309 1.324 20 0 DDADMM CCOC(=O)Cn1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000601952816 358750970 /nfs/dbraw/zinc/75/09/70/358750970.db2.gz ILUWXSSBTQEVDB-AWEZNQCLSA-N -1 1 315.373 1.270 20 0 DDADMM C[C@H](S[C@@H](C)C(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000602004953 358773776 /nfs/dbraw/zinc/77/37/76/358773776.db2.gz YWZWXFXIVCGBIZ-UWVGGRQHSA-N -1 1 307.375 1.545 20 0 DDADMM CCOC(=O)CCN(Cc1cc(C(=O)[O-])nn1C)CC1CC1 ZINC000602066911 358798998 /nfs/dbraw/zinc/79/89/98/358798998.db2.gz PTZHXDIHBKXVOV-UHFFFAOYSA-N -1 1 309.366 1.284 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccsc2Cl)n[n-]1 ZINC000603024239 359365936 /nfs/dbraw/zinc/36/59/36/359365936.db2.gz WUBBTXSJMIKRIX-UHFFFAOYSA-N -1 1 314.754 1.626 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccsc2Cl)n1 ZINC000603024239 359365938 /nfs/dbraw/zinc/36/59/38/359365938.db2.gz WUBBTXSJMIKRIX-UHFFFAOYSA-N -1 1 314.754 1.626 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CC[C@H](C)[C@@H](C)C2)n[n-]1 ZINC000603024642 359367199 /nfs/dbraw/zinc/36/71/99/359367199.db2.gz YAIHHDGKNTUNKC-GARJFASQSA-N -1 1 308.382 1.670 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CC[C@H](C)[C@@H](C)C2)n1 ZINC000603024642 359367201 /nfs/dbraw/zinc/36/72/01/359367201.db2.gz YAIHHDGKNTUNKC-GARJFASQSA-N -1 1 308.382 1.670 20 0 DDADMM C[C@H](CC[S@](C)=O)NC(=O)N=c1[n-]sc2ccccc21 ZINC000603052767 359378181 /nfs/dbraw/zinc/37/81/81/359378181.db2.gz VJBGJLYQMQYSDK-YBYGRFCBSA-N -1 1 311.432 1.997 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC[C@@H](C)SC)n[n-]1 ZINC000603156650 359442679 /nfs/dbraw/zinc/44/26/79/359442679.db2.gz RWJMNZJAUGQZMR-BDAKNGLRSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC[C@@H](C)SC)[n-]1 ZINC000603156650 359442686 /nfs/dbraw/zinc/44/26/86/359442686.db2.gz RWJMNZJAUGQZMR-BDAKNGLRSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC[C@@H](C)SC)n1 ZINC000603156650 359442693 /nfs/dbraw/zinc/44/26/93/359442693.db2.gz RWJMNZJAUGQZMR-BDAKNGLRSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(F)cc2)n[n-]1 ZINC000603159397 359445801 /nfs/dbraw/zinc/44/58/01/359445801.db2.gz BKSXWRSWGCKZDX-MRVPVSSYSA-N -1 1 306.297 1.612 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(F)cc2)[n-]1 ZINC000603159397 359445805 /nfs/dbraw/zinc/44/58/05/359445805.db2.gz BKSXWRSWGCKZDX-MRVPVSSYSA-N -1 1 306.297 1.612 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(F)cc2)n1 ZINC000603159397 359445807 /nfs/dbraw/zinc/44/58/07/359445807.db2.gz BKSXWRSWGCKZDX-MRVPVSSYSA-N -1 1 306.297 1.612 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccccc2C)n[n-]1 ZINC000603163510 359448346 /nfs/dbraw/zinc/44/83/46/359448346.db2.gz JKDNEKKXPIRZEI-JTQLQIEISA-N -1 1 302.334 1.781 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccccc2C)[n-]1 ZINC000603163510 359448349 /nfs/dbraw/zinc/44/83/49/359448349.db2.gz JKDNEKKXPIRZEI-JTQLQIEISA-N -1 1 302.334 1.781 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccccc2C)n1 ZINC000603163510 359448352 /nfs/dbraw/zinc/44/83/52/359448352.db2.gz JKDNEKKXPIRZEI-JTQLQIEISA-N -1 1 302.334 1.781 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cnc3ccc(F)cc3c2)n1 ZINC000358958532 299292076 /nfs/dbraw/zinc/29/20/76/299292076.db2.gz OYRQNNLAPZFUSO-UHFFFAOYSA-N -1 1 321.337 1.855 20 0 DDADMM COC(=O)c1c(C)oc(C)c1S(=O)(=O)[N-][C@@H](C)C(F)F ZINC000603422151 359630800 /nfs/dbraw/zinc/63/08/00/359630800.db2.gz DJYZAUXSUPBFKC-YFKPBYRVSA-N -1 1 311.306 1.615 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(F)c(F)c(F)c1F)c1nn[n-]n1 ZINC000187308060 200092010 /nfs/dbraw/zinc/09/20/10/200092010.db2.gz ROQOORWPPYCJGX-RXMQYKEDSA-N -1 1 317.246 1.632 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)NC2CCC(C)CC2)n1 ZINC000187591384 200131565 /nfs/dbraw/zinc/13/15/65/200131565.db2.gz QGBAVDWRHAJOOP-UHFFFAOYSA-N -1 1 311.407 1.983 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@@H](O)C1)c1ccc(F)c(F)c1F ZINC000188331917 200229433 /nfs/dbraw/zinc/22/94/33/200229433.db2.gz GVIWZSPHITZTJQ-HTQZYQBOSA-N -1 1 309.309 1.543 20 0 DDADMM COc1cccc(CC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000605381819 359847790 /nfs/dbraw/zinc/84/77/90/359847790.db2.gz YWZIXYFTCSIHFC-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM C[C@@H](CN=c1[n-]c(-c2ccccc2)no1)CN1CCOCC1 ZINC000606155536 359903650 /nfs/dbraw/zinc/90/36/50/359903650.db2.gz HCQBZVYUHVGMDM-ZDUSSCGKSA-N -1 1 302.378 1.539 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1Cc2ccccc21 ZINC000608356933 360164743 /nfs/dbraw/zinc/16/47/43/360164743.db2.gz YMHJYVUCQPPMSG-GJZGRUSLSA-N -1 1 305.341 1.745 20 0 DDADMM COC(=O)C1CCC(NC(=O)c2csc(=NC3CC3)[n-]2)CC1 ZINC000610878900 360559837 /nfs/dbraw/zinc/55/98/37/360559837.db2.gz VLVJODWAXLOMKW-UHFFFAOYSA-N -1 1 323.418 1.601 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2c(c1)CCOC2)c1nn[n-]n1 ZINC000612059433 360903972 /nfs/dbraw/zinc/90/39/72/360903972.db2.gz ARISHRKFEGEVJE-CYBMUJFWSA-N -1 1 301.350 1.544 20 0 DDADMM COC(=O)C(C)(C)[C@H](NCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000612462484 361029347 /nfs/dbraw/zinc/02/93/47/361029347.db2.gz ZREYQZUVPJWSDR-CYBMUJFWSA-N -1 1 318.377 1.139 20 0 DDADMM CCc1ccc(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)nc1 ZINC000612815425 361154401 /nfs/dbraw/zinc/15/44/01/361154401.db2.gz GVMYELOHCWBTQJ-GFCCVEGCSA-N -1 1 300.366 1.106 20 0 DDADMM CCOC(=O)CCN(CC1CC1)C(=O)c1ncc(C)cc1[O-] ZINC000613080942 361260919 /nfs/dbraw/zinc/26/09/19/361260919.db2.gz QNMCXYPANJMLQR-UHFFFAOYSA-N -1 1 306.362 1.901 20 0 DDADMM O=C(NCc1nnnn1CC1CC1)c1ncc2ccccc2c1[O-] ZINC000613595424 361482557 /nfs/dbraw/zinc/48/25/57/361482557.db2.gz MDYFYIRWJLVDPN-UHFFFAOYSA-N -1 1 324.344 1.267 20 0 DDADMM Cc1nonc1CN1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000281496060 216340985 /nfs/dbraw/zinc/34/09/85/216340985.db2.gz XRBLMAIYVYNPOG-UHFFFAOYSA-N -1 1 306.288 1.269 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ncc3ccccc3c2[O-])[C@@H]1OC ZINC000613513846 361450985 /nfs/dbraw/zinc/45/09/85/361450985.db2.gz OXFGONZXWMMKCK-IOASZLSFSA-N -1 1 316.357 1.863 20 0 DDADMM CCN(C)C(=O)[C@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000613899110 361623940 /nfs/dbraw/zinc/62/39/40/361623940.db2.gz RWTBZANZURXPFW-JTQLQIEISA-N -1 1 301.346 1.537 20 0 DDADMM CC(C)OCCS(=O)(=O)c1nnc(-c2ccccc2F)[n-]1 ZINC000195127413 201343362 /nfs/dbraw/zinc/34/33/62/201343362.db2.gz OJPZSXVJGXKCQJ-UHFFFAOYSA-N -1 1 313.354 1.810 20 0 DDADMM CC(C)OCCS(=O)(=O)c1n[n-]c(-c2ccccc2F)n1 ZINC000195127413 201343365 /nfs/dbraw/zinc/34/33/65/201343365.db2.gz OJPZSXVJGXKCQJ-UHFFFAOYSA-N -1 1 313.354 1.810 20 0 DDADMM Cc1nnc(CNC(=O)c2ccc(C(F)(F)F)cc2[O-])n1C ZINC000271828804 209249130 /nfs/dbraw/zinc/24/91/30/209249130.db2.gz FFINTQRREVPSMP-UHFFFAOYSA-N -1 1 314.267 1.778 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2Cc2ccccc2)c1[O-] ZINC000620771985 364545259 /nfs/dbraw/zinc/54/52/59/364545259.db2.gz JPLQDRXPOZBDOB-ZDUSSCGKSA-N -1 1 300.362 1.428 20 0 DDADMM CCn1ccnc1[C@H]1OCC[C@@H]1[N-]C(=O)C(F)(F)C(F)F ZINC000275423500 212324060 /nfs/dbraw/zinc/32/40/60/212324060.db2.gz UHVFDJKGTYYOSR-YUMQZZPRSA-N -1 1 309.263 1.750 20 0 DDADMM O=C([O-])[C@H](C1CC1)N1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000635015578 422767534 /nfs/dbraw/zinc/76/75/34/422767534.db2.gz GOUUDNPXBHRKGL-AWEZNQCLSA-N -1 1 324.327 1.586 20 0 DDADMM CN1CCN(C(=O)c2csc(=NC3CC3)[n-]2)c2cccnc21 ZINC000622415182 365400568 /nfs/dbraw/zinc/40/05/68/365400568.db2.gz WLWGLJXLRMRYON-UHFFFAOYSA-N -1 1 315.402 1.631 20 0 DDADMM CO[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccc(F)cc1 ZINC000626443748 367548113 /nfs/dbraw/zinc/54/81/13/367548113.db2.gz SOMRKORUMJXEJI-JOYOIKCWSA-N -1 1 307.329 1.288 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)NCCOc1ccc(Cl)cc1 ZINC000262648243 203365369 /nfs/dbraw/zinc/36/53/69/203365369.db2.gz KPROFRWSNSZKSY-UHFFFAOYSA-N -1 1 314.769 1.242 20 0 DDADMM COc1ccc(Cl)cc1CNC(=O)CN(C)CCC(=O)[O-] ZINC000262683498 203379290 /nfs/dbraw/zinc/37/92/90/203379290.db2.gz XBGFNVUJSKLQQX-UHFFFAOYSA-N -1 1 314.769 1.371 20 0 DDADMM C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1cc(F)ccc1[O-] ZINC000094158329 193347199 /nfs/dbraw/zinc/34/71/99/193347199.db2.gz RZTWWFOVCOFAQV-BDAKNGLRSA-N -1 1 301.339 1.179 20 0 DDADMM Cc1cnc(C(=O)N(C)C[C@@H](O)Cc2ccccc2)c([O-])c1 ZINC000350921889 284282670 /nfs/dbraw/zinc/28/26/70/284282670.db2.gz UNNKCZLUTOBMJG-AWEZNQCLSA-N -1 1 300.358 1.771 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)c2cccnc2)c(F)c1 ZINC000351172272 284307012 /nfs/dbraw/zinc/30/70/12/284307012.db2.gz QOCFZHMVMMZFTK-UHFFFAOYSA-N -1 1 310.306 1.808 20 0 DDADMM CCOC(=O)COc1ccc(CC[N-]C(=O)C(F)(F)F)cc1 ZINC000266298551 205246480 /nfs/dbraw/zinc/24/64/80/205246480.db2.gz JFHWHMLKDUHULF-UHFFFAOYSA-N -1 1 319.279 1.850 20 0 DDADMM CCC(=O)N1CC[C@H](C(=O)Nc2cc(C(=O)OC)ccc2[O-])C1 ZINC000282895081 217308839 /nfs/dbraw/zinc/30/88/39/217308839.db2.gz WKLNAYYJDJZKIZ-NSHDSACASA-N -1 1 320.345 1.376 20 0 DDADMM O=C(NCCCOCC1CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000282991086 217374326 /nfs/dbraw/zinc/37/43/26/217374326.db2.gz OAFCXNPPIZGWQJ-UHFFFAOYSA-N -1 1 306.391 1.565 20 0 DDADMM CCOc1ccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)cc1 ZINC000283007478 217383352 /nfs/dbraw/zinc/38/33/52/217383352.db2.gz WBVWVDGODIVOKE-GFCCVEGCSA-N -1 1 316.361 1.517 20 0 DDADMM CC(=O)c1[n-]c(=NCc2nc(C3CCOCC3)n[nH]2)sc1C ZINC000631460141 422777380 /nfs/dbraw/zinc/77/73/80/422777380.db2.gz MMSOLTPWMWTGDB-UHFFFAOYSA-N -1 1 321.406 1.700 20 0 DDADMM CC(=O)c1[n-]c(=NCc2n[nH]c(C3CCOCC3)n2)sc1C ZINC000631460141 422777384 /nfs/dbraw/zinc/77/73/84/422777384.db2.gz MMSOLTPWMWTGDB-UHFFFAOYSA-N -1 1 321.406 1.700 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N2C[C@@H](C)C[C@H](C)C2)n1 ZINC000019974362 182172594 /nfs/dbraw/zinc/17/25/94/182172594.db2.gz JGWFRBDJXDUYLK-QWRGUYRKSA-N -1 1 309.435 1.929 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)C[C@@H]1CCOC1 ZINC000267501227 206110272 /nfs/dbraw/zinc/11/02/72/206110272.db2.gz XVLOMCLFDKIWKE-NSHDSACASA-N -1 1 307.394 1.897 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1ccccc1C(F)(F)F ZINC000174586570 248370941 /nfs/dbraw/zinc/37/09/41/248370941.db2.gz RIYHXEJWIQDWQO-UHFFFAOYSA-N -1 1 314.267 1.479 20 0 DDADMM CCOc1cc(C(=O)NCCCC(=O)NC)cc(Cl)c1[O-] ZINC000273935251 211190839 /nfs/dbraw/zinc/19/08/39/211190839.db2.gz IXYAMBMCAXMARV-UHFFFAOYSA-N -1 1 314.769 1.700 20 0 DDADMM CCc1oc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1C(=O)OC ZINC000338544381 250021894 /nfs/dbraw/zinc/02/18/94/250021894.db2.gz ZZRBHTIHKPZQQA-QMMMGPOBSA-N -1 1 321.337 1.017 20 0 DDADMM CC(C)(C)c1cnc(CCC(=O)NC2(c3nn[n-]n3)CC2)o1 ZINC000338844666 250158618 /nfs/dbraw/zinc/15/86/18/250158618.db2.gz RQMMHNJXCJRFAE-UHFFFAOYSA-N -1 1 304.354 1.223 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2sccc2F)[C@@H]2CCO[C@H]21 ZINC000338912657 250192637 /nfs/dbraw/zinc/19/26/37/250192637.db2.gz PWOIPCPVYFOALD-FXBDTBDDSA-N -1 1 305.396 1.979 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)c2cc(-c3ccco3)n[nH]2)s[n-]1 ZINC000338917872 250194575 /nfs/dbraw/zinc/19/45/75/250194575.db2.gz ZUWNIUAYYDULFW-SSDOTTSWSA-N -1 1 319.346 1.903 20 0 DDADMM Cc1nn(C)c(C)c1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000339206814 250341674 /nfs/dbraw/zinc/34/16/74/250341674.db2.gz ZKXRJTPDOWGZSY-SNVBAGLBSA-N -1 1 305.338 1.145 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccccc2F)c1 ZINC000359679189 299512308 /nfs/dbraw/zinc/51/23/08/299512308.db2.gz IUXFQRRCQRCVKR-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM NC(=O)NCc1ccc(C(=O)Nc2ccc(F)cc2[O-])cc1 ZINC000340328583 251009362 /nfs/dbraw/zinc/00/93/62/251009362.db2.gz LELUZYODCBNLHM-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM NS(=O)(=O)Cc1cccc(C(=O)Nc2ccc(F)cc2[O-])c1 ZINC000340328469 251010406 /nfs/dbraw/zinc/01/04/06/251010406.db2.gz KPNBZODQEPSNOK-UHFFFAOYSA-N -1 1 324.333 1.572 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)OC)cc1[N-]S(C)(=O)=O ZINC000056061886 184004161 /nfs/dbraw/zinc/00/41/61/184004161.db2.gz VMCDMRKHVQCEPF-MRVPVSSYSA-N -1 1 302.352 1.040 20 0 DDADMM O=c1nc(NC[C@@H](CO)c2ccccc2Cl)nc2[nH][n-]cc1-2 ZINC000340898529 251263822 /nfs/dbraw/zinc/26/38/22/251263822.db2.gz MTTYLHCBMFWUEK-QMMMGPOBSA-N -1 1 319.752 1.273 20 0 DDADMM CC[C@H](CCO)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000158325579 197262553 /nfs/dbraw/zinc/26/25/53/197262553.db2.gz WYXCKOVUYPXCGF-MRVPVSSYSA-N -1 1 311.325 1.791 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H](C)c1ccccc1 ZINC000352386073 285013649 /nfs/dbraw/zinc/01/36/49/285013649.db2.gz RNPOLQTXIUAUEZ-WDEREUQCSA-N -1 1 311.345 1.340 20 0 DDADMM COc1cc(NC(=O)[C@]2(C)C[C@@H]2F)ccc1[N-]S(C)(=O)=O ZINC000424583333 533014453 /nfs/dbraw/zinc/01/44/53/533014453.db2.gz MJIUSFOPCZEUEZ-WCQYABFASA-N -1 1 316.354 1.753 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cc(OC)ccc1F ZINC000269980830 208033615 /nfs/dbraw/zinc/03/36/15/208033615.db2.gz RARMCKUMIQBABF-UHFFFAOYSA-N -1 1 307.343 1.239 20 0 DDADMM CCC(CC)(CO)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000159210458 197336413 /nfs/dbraw/zinc/33/64/13/197336413.db2.gz WSGWFFSQLVAVTL-UHFFFAOYSA-N -1 1 309.819 1.151 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCc2ccc3c[nH]nc3c2)[n-]n1 ZINC000413287639 224169510 /nfs/dbraw/zinc/16/95/10/224169510.db2.gz GFFSCDBYXSALHF-UHFFFAOYSA-N -1 1 310.361 1.131 20 0 DDADMM O=C(NCC1(CCO)CCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000294800959 224231259 /nfs/dbraw/zinc/23/12/59/224231259.db2.gz BISKEAMOHRRNPP-UHFFFAOYSA-N -1 1 320.418 1.691 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@@]1(F)c1ccccc1)c1nn[n-]n1 ZINC000413686304 224238174 /nfs/dbraw/zinc/23/81/74/224238174.db2.gz KFMZHDPVXADXNR-IFUGULHKSA-N -1 1 303.341 1.647 20 0 DDADMM COc1ccc([C@H](NCc2cn(C)nc2C)C(=O)[O-])cc1F ZINC000417572257 533198035 /nfs/dbraw/zinc/19/80/35/533198035.db2.gz OZXRNYPCKNFEMO-AWEZNQCLSA-N -1 1 307.325 1.792 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCCc1cccc(C)n1 ZINC000289670528 221142984 /nfs/dbraw/zinc/14/29/84/221142984.db2.gz CBUVNUPQYGJQFI-UHFFFAOYSA-N -1 1 310.379 1.180 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCC[C@H]1CCCCO1 ZINC000289740041 221194242 /nfs/dbraw/zinc/19/42/42/221194242.db2.gz FUMZTYQISISYKF-SNVBAGLBSA-N -1 1 303.384 1.193 20 0 DDADMM COC(=O)[C@H]1CSCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000412955968 533504851 /nfs/dbraw/zinc/50/48/51/533504851.db2.gz SLYLCSPDRXVWOZ-SECBINFHSA-N -1 1 313.350 1.510 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1cccc(C(F)(F)F)c1 ZINC000635099720 422810766 /nfs/dbraw/zinc/81/07/66/422810766.db2.gz MIYUTNDPPNHRJY-UHFFFAOYSA-N -1 1 313.283 1.858 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1C[C@H](c2ccccc2Cl)C1 ZINC000633217028 422810948 /nfs/dbraw/zinc/81/09/48/422810948.db2.gz OTPVFMBHGOKURO-MGCOHNPYSA-N -1 1 305.769 1.848 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)C2(OC)CCC2)c1 ZINC000416621724 292033282 /nfs/dbraw/zinc/03/32/82/292033282.db2.gz UIOKSNHUKIZENQ-SECBINFHSA-N -1 1 317.363 1.302 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000568706432 304306742 /nfs/dbraw/zinc/30/67/42/304306742.db2.gz YDRCJLFXVYENIS-DTWKUNHWSA-N -1 1 305.386 1.295 20 0 DDADMM CO[C@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC[C@@H]1C ZINC000287764414 286069392 /nfs/dbraw/zinc/06/93/92/286069392.db2.gz VVINOTSAEQBZBO-AEFXGERKSA-N -1 1 303.366 1.231 20 0 DDADMM COC[C@](C)(O)CCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633250978 422825681 /nfs/dbraw/zinc/82/56/81/422825681.db2.gz AZDSSABXMPAIPR-CQSZACIVSA-N -1 1 301.770 1.492 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1CCCOC1 ZINC000170934847 407562098 /nfs/dbraw/zinc/56/20/98/407562098.db2.gz NJXVTDRJQJHAAY-GFCCVEGCSA-N -1 1 318.377 1.280 20 0 DDADMM O=C(NCC(F)(F)CO)c1ccc(Br)cc1[O-] ZINC000186083571 407571114 /nfs/dbraw/zinc/57/11/14/407571114.db2.gz DNBHFUAOQFRMMD-UHFFFAOYSA-N -1 1 310.094 1.512 20 0 DDADMM O=C(NC[C@@H](O)C1CCCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000069221704 406693528 /nfs/dbraw/zinc/69/35/28/406693528.db2.gz AMBPWOZUXXVGJU-CQSZACIVSA-N -1 1 315.373 1.594 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000008496971 406787666 /nfs/dbraw/zinc/78/76/66/406787666.db2.gz HXKBPCSQVYPQSC-LLVKDONJSA-N -1 1 321.345 1.831 20 0 DDADMM CCC[C@](C)([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC ZINC000035248799 406969652 /nfs/dbraw/zinc/96/96/52/406969652.db2.gz DTYGUSMFPBIGHC-ZDUSSCGKSA-N -1 1 321.345 1.975 20 0 DDADMM Cc1noc2nc(-c3ccccc3)cc(C(=O)Nc3nnn[n-]3)c12 ZINC000076963595 406976018 /nfs/dbraw/zinc/97/60/18/406976018.db2.gz NCOJNLFYRALPRY-UHFFFAOYSA-N -1 1 321.300 1.964 20 0 DDADMM Cc1noc2nc(-c3ccccc3)cc(C(=O)Nc3nn[n-]n3)c12 ZINC000076963595 406976021 /nfs/dbraw/zinc/97/60/21/406976021.db2.gz NCOJNLFYRALPRY-UHFFFAOYSA-N -1 1 321.300 1.964 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)Cc2ccccc2)o1 ZINC000032633986 406950647 /nfs/dbraw/zinc/95/06/47/406950647.db2.gz DUGYFZJICMZYEX-UHFFFAOYSA-N -1 1 308.359 1.460 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H](C)C[C@H]1C ZINC000078006325 407015998 /nfs/dbraw/zinc/01/59/98/407015998.db2.gz VQNPFJBGZICKMP-WDEREUQCSA-N -1 1 316.405 1.829 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccccc1F)C(C)(C)C ZINC000078805829 407046589 /nfs/dbraw/zinc/04/65/89/407046589.db2.gz JEZSCWRMEPYABR-GFCCVEGCSA-N -1 1 317.382 1.833 20 0 DDADMM O=C([O-])CCCCCNS(=O)(=O)c1c(F)cccc1F ZINC000037405835 406988436 /nfs/dbraw/zinc/98/84/36/406988436.db2.gz ZAYRHQSRYVAMAC-UHFFFAOYSA-N -1 1 307.318 1.888 20 0 DDADMM CCCCc1noc([C@H](C)S(=O)(=O)c2n[n-]c(CC)n2)n1 ZINC000086170584 407109429 /nfs/dbraw/zinc/10/94/29/407109429.db2.gz FLOZLTIKHFPGKO-QMMMGPOBSA-N -1 1 313.383 1.628 20 0 DDADMM O=S(=O)(Cc1ccc(Br)s1)c1ncn[n-]1 ZINC000086169856 407109697 /nfs/dbraw/zinc/10/96/97/407109697.db2.gz AEGLJUKTOFIYBA-UHFFFAOYSA-N -1 1 308.182 1.603 20 0 DDADMM O=S(=O)(Cc1ccc(Br)s1)c1nc[n-]n1 ZINC000086169856 407109700 /nfs/dbraw/zinc/10/97/00/407109700.db2.gz AEGLJUKTOFIYBA-UHFFFAOYSA-N -1 1 308.182 1.603 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C(=O)c1[nH]nc2ccccc21 ZINC000091129515 407179030 /nfs/dbraw/zinc/17/90/30/407179030.db2.gz NFAMYEHYASUQIQ-VIFPVBQESA-N -1 1 305.359 1.595 20 0 DDADMM CC(C)n1c(CNC(=O)c2cccc(C(N)=O)c2)n[n-]c1=S ZINC000066640595 407256071 /nfs/dbraw/zinc/25/60/71/407256071.db2.gz CEXGKDLHRFCVKN-UHFFFAOYSA-N -1 1 319.390 1.550 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]1O)c1ccc(Br)c([O-])c1 ZINC000095979196 407220271 /nfs/dbraw/zinc/22/02/71/407220271.db2.gz UQBRWFCPWFBLDD-NXEZZACHSA-N -1 1 300.152 1.798 20 0 DDADMM C[C@@H](CC[N-]S(=O)(=O)c1cccc(F)c1F)[S@@](C)=O ZINC000124064738 407347748 /nfs/dbraw/zinc/34/77/48/407347748.db2.gz DQQBMBKWCKKBHZ-ASCAZGACSA-N -1 1 311.375 1.400 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N(C)CC[C@H](C)O ZINC000125992583 407402439 /nfs/dbraw/zinc/40/24/39/407402439.db2.gz QCVVVEYWXQCTRQ-JTQLQIEISA-N -1 1 320.393 1.214 20 0 DDADMM O=C(NCCCNC(=O)c1cncc([O-])c1)c1cccs1 ZINC000111590307 407412091 /nfs/dbraw/zinc/41/20/91/407412091.db2.gz LOMWUDROVUTSSB-UHFFFAOYSA-N -1 1 305.359 1.399 20 0 DDADMM CC1(C)CCCN(CCNS(=O)(=O)[N-]CC(F)(F)F)C1 ZINC000253432416 407450387 /nfs/dbraw/zinc/45/03/87/407450387.db2.gz KGRSWYLERKSESI-UHFFFAOYSA-N -1 1 317.377 1.095 20 0 DDADMM NC(=O)NC[C@@H]1CCCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000271223912 407585552 /nfs/dbraw/zinc/58/55/52/407585552.db2.gz GSGDBORCLAOYDN-VIFPVBQESA-N -1 1 311.769 1.566 20 0 DDADMM CCCC1(CNC(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1 ZINC000151901728 407523543 /nfs/dbraw/zinc/52/35/43/407523543.db2.gz VRYLQAGNTNVVLJ-UHFFFAOYSA-N -1 1 300.380 1.498 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCCNC(=O)CN1CC[C@H](C(=O)[O-])C1 ZINC000178903196 407650206 /nfs/dbraw/zinc/65/02/06/407650206.db2.gz AKQLJLRPYFKAQR-RDBSUJKOSA-N -1 1 312.410 1.104 20 0 DDADMM COCCN1CC[C@H](NC(=O)c2ccc3[n-]c(=S)oc3c2)C1 ZINC000266872271 407655916 /nfs/dbraw/zinc/65/59/16/407655916.db2.gz XTVYNSZDPMVBHY-NSHDSACASA-N -1 1 321.402 1.567 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)C2CCCC2)co1 ZINC000178921921 407658490 /nfs/dbraw/zinc/65/84/90/407658490.db2.gz VVQKTACYOSPJNR-SECBINFHSA-N -1 1 300.380 1.496 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCc2nc[nH]c(=O)c2C1 ZINC000179091429 407718266 /nfs/dbraw/zinc/71/82/66/407718266.db2.gz AJIWIAYOWREPIC-UHFFFAOYSA-N -1 1 305.721 1.327 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCC(=O)N(C)C1 ZINC000267020581 407718623 /nfs/dbraw/zinc/71/86/23/407718623.db2.gz CRWXXYKTEIUVAC-JTQLQIEISA-N -1 1 320.393 1.139 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(Br)ccc2[O-])CC[C@H]1O ZINC000186623817 407724418 /nfs/dbraw/zinc/72/44/18/407724418.db2.gz OXRIKTKGACCPCD-GZMMTYOYSA-N -1 1 314.179 1.998 20 0 DDADMM CCc1nc(S(=O)(=O)[C@@H](C)C(=O)Nc2ccccc2C)n[n-]1 ZINC000153151935 407767751 /nfs/dbraw/zinc/76/77/51/407767751.db2.gz PDQJGSHTEOZFDZ-JTQLQIEISA-N -1 1 322.390 1.476 20 0 DDADMM C[C@@H](OCCCNC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC000635139247 422837974 /nfs/dbraw/zinc/83/79/74/422837974.db2.gz BSZTVSQAELDSSK-CYBMUJFWSA-N -1 1 317.393 1.807 20 0 DDADMM CC(C)C[C@@H](CCO)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179545714 407802688 /nfs/dbraw/zinc/80/26/88/407802688.db2.gz HBZNBYOJNALNSF-LLVKDONJSA-N -1 1 320.393 1.448 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnc(-c2ccco2)s1)c1nn[n-]n1 ZINC000187263707 407837265 /nfs/dbraw/zinc/83/72/65/407837265.db2.gz LMZVQWXUUPKSDS-MRVPVSSYSA-N -1 1 318.362 1.792 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1cccc2c1OCO2)c1nn[n-]n1 ZINC000187288547 407839754 /nfs/dbraw/zinc/83/97/54/407839754.db2.gz JLJCPCKEJKWRBK-SNVBAGLBSA-N -1 1 317.349 1.123 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(c2ccccc2)C1=O ZINC000153804111 407908368 /nfs/dbraw/zinc/90/83/68/407908368.db2.gz WTWJLDXJKHCOLC-CYBMUJFWSA-N -1 1 311.341 1.665 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(c2ccccn2)CC1 ZINC000181044582 407949138 /nfs/dbraw/zinc/94/91/38/407949138.db2.gz NYJVIPIEGINMLC-UHFFFAOYSA-N -1 1 301.321 1.889 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc2cc(C)nn2c1C)c1nn[n-]n1 ZINC000268229018 407958096 /nfs/dbraw/zinc/95/80/96/407958096.db2.gz RURXEXSNAKBZOA-LLVKDONJSA-N -1 1 314.353 1.130 20 0 DDADMM COc1cccc(O[C@@H](C)CNC(=O)c2ncccc2[O-])c1 ZINC000174525498 407961514 /nfs/dbraw/zinc/96/15/14/407961514.db2.gz KXEUEGAHXSXXMY-NSHDSACASA-N -1 1 302.330 1.993 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2ccc3c(c2)OCCO3)c1C ZINC000135040812 407966773 /nfs/dbraw/zinc/96/67/73/407966773.db2.gz STXHUDLZDNCXSS-UHFFFAOYSA-N -1 1 309.347 1.599 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)CCOC)cc1Cl ZINC000154356208 408023901 /nfs/dbraw/zinc/02/39/01/408023901.db2.gz CKRGFNSWERYVPH-UHFFFAOYSA-N -1 1 306.771 1.088 20 0 DDADMM CC[C@H]1CCCC[C@H]1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000119342735 408044391 /nfs/dbraw/zinc/04/43/91/408044391.db2.gz ZZUWIRCNMMKRPW-CMPLNLGQSA-N -1 1 314.407 1.886 20 0 DDADMM O=C([O-])[C@H](CC(F)(F)F)NC(=O)Cc1[nH]nc2ccccc21 ZINC000263049971 408011344 /nfs/dbraw/zinc/01/13/44/408011344.db2.gz RZIWPINPNSGQOI-JTQLQIEISA-N -1 1 315.251 1.627 20 0 DDADMM CCc1c(C(=O)Nc2nn[n-]n2)nnn1-c1cccc(Cl)c1 ZINC000135464042 408019064 /nfs/dbraw/zinc/01/90/64/408019064.db2.gz BQZRQJIMTCLETA-UHFFFAOYSA-N -1 1 318.728 1.249 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N(C)Cc1ccccc1OC)C(=O)[O-] ZINC000263212979 408058522 /nfs/dbraw/zinc/05/85/22/408058522.db2.gz ZJYLLZDUCXAXRA-LRDDRELGSA-N -1 1 322.405 1.743 20 0 DDADMM CCc1noc(C)c1NC(=O)c1cnc2n(C)[n-]cc-2c1=O ZINC000119434833 408070280 /nfs/dbraw/zinc/07/02/80/408070280.db2.gz AYZJZYUFVOTDOF-UHFFFAOYSA-N -1 1 301.306 1.785 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NCc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000263272777 408085105 /nfs/dbraw/zinc/08/51/05/408085105.db2.gz RUYIXTLQKSKNNM-JTQLQIEISA-N -1 1 316.361 1.847 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3CCC(C(=O)[O-])CC3)[nH]c2c1 ZINC000263310520 408100475 /nfs/dbraw/zinc/10/04/75/408100475.db2.gz YOIOXFIOBUJTOF-UHFFFAOYSA-N -1 1 316.361 1.878 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CC(c2ccccc2)=NO1)c1nn[n-]n1 ZINC000136668620 408120223 /nfs/dbraw/zinc/12/02/23/408120223.db2.gz BEIORQMLRRYOQC-YPMHNXCESA-N -1 1 314.349 1.350 20 0 DDADMM CC[C@@H](O)CCNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000268655777 408140128 /nfs/dbraw/zinc/14/01/28/408140128.db2.gz CFYQULITBYDUKB-SNVBAGLBSA-N -1 1 313.423 1.422 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2cc(C)oc2C)n1 ZINC000182811679 408255534 /nfs/dbraw/zinc/25/55/34/408255534.db2.gz PSBMTGZGYXFKRC-UHFFFAOYSA-N -1 1 312.351 1.309 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C)C(C)(C)C2)o1 ZINC000273505520 408225690 /nfs/dbraw/zinc/22/56/90/408225690.db2.gz BVKSLPHTEBPBEK-JTQLQIEISA-N -1 1 314.407 1.696 20 0 DDADMM O=C([O-])c1ccc(C(=O)NCc2nc3ccc(F)cc3[nH]2)nc1 ZINC000190642611 408296497 /nfs/dbraw/zinc/29/64/97/408296497.db2.gz LBPHEDWZZUKENP-UHFFFAOYSA-N -1 1 314.276 1.725 20 0 DDADMM CC(C)(C)OC(=O)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000263882227 408299800 /nfs/dbraw/zinc/29/98/00/408299800.db2.gz UCGFBICPOBLJBN-UHFFFAOYSA-N -1 1 306.362 1.981 20 0 DDADMM O=C(Nc1cccc(CC(F)(F)F)c1)NN1CC(=O)[N-]C1=O ZINC000190972122 408351191 /nfs/dbraw/zinc/35/11/91/408351191.db2.gz DIFFBKCFDZFRMI-UHFFFAOYSA-N -1 1 316.239 1.380 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCC2(c3ccccc3)CC2)C1 ZINC000164506868 162151968 /nfs/dbraw/zinc/15/19/68/162151968.db2.gz NBLFTNUHKVJLGO-AWEZNQCLSA-N -1 1 316.401 1.631 20 0 DDADMM COc1ccc2nc(-c3ccc(C(=O)N(C)C)nc3)[n-]c(=O)c2c1 ZINC000172997809 162316182 /nfs/dbraw/zinc/31/61/82/162316182.db2.gz CVDFRVMWFSYUNO-UHFFFAOYSA-N -1 1 324.340 1.696 20 0 DDADMM COC(C)(C)CNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000174149072 162395058 /nfs/dbraw/zinc/39/50/58/162395058.db2.gz VAJYNVCWWJPVGA-UHFFFAOYSA-N -1 1 313.423 1.274 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc(OCC)cc1)c1nn[n-]n1 ZINC000176788145 408445689 /nfs/dbraw/zinc/44/56/89/408445689.db2.gz HTWZOGXJNHYDOR-ZDUSSCGKSA-N -1 1 303.366 1.799 20 0 DDADMM O=C(NC[C@H](CCO)c1ccccc1)c1ccc2[nH]nnc2c1 ZINC000176824341 408452569 /nfs/dbraw/zinc/45/25/69/408452569.db2.gz BITJNDJWQREXOQ-AWEZNQCLSA-N -1 1 310.357 1.854 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCOCC1)c1cc(F)c(F)cc1F ZINC000183390223 408395502 /nfs/dbraw/zinc/39/55/02/408395502.db2.gz MGVOEKNXRKDTAP-MRVPVSSYSA-N -1 1 309.309 1.951 20 0 DDADMM C[C@H](CN(C)C(=O)C(C)(C)c1ccccc1F)c1nn[n-]n1 ZINC000183393660 408398724 /nfs/dbraw/zinc/39/87/24/408398724.db2.gz LMXZMGKIOAHEEA-SNVBAGLBSA-N -1 1 305.357 1.879 20 0 DDADMM C[C@@H](CN(C)C(=O)CCCOc1ccccc1F)c1nn[n-]n1 ZINC000183412618 408403793 /nfs/dbraw/zinc/40/37/93/408403793.db2.gz MAFQYEWWVLRDRA-NSHDSACASA-N -1 1 321.356 1.760 20 0 DDADMM Cc1ccc(O[C@H](C)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183409256 408404942 /nfs/dbraw/zinc/40/49/42/408404942.db2.gz APVLLOYJQHXWSD-VXGBXAGGSA-N -1 1 303.366 1.538 20 0 DDADMM C[C@H](CN(C)C(=O)CCC(=O)c1ccc(F)cc1)c1nn[n-]n1 ZINC000183424166 408407930 /nfs/dbraw/zinc/40/79/30/408407930.db2.gz BXJAEJPBDLLBAD-SNVBAGLBSA-N -1 1 319.340 1.564 20 0 DDADMM COc1ccc(OC)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183432752 408410135 /nfs/dbraw/zinc/41/01/35/408410135.db2.gz ONXKUMJVCHBRCA-VIFPVBQESA-N -1 1 305.338 1.093 20 0 DDADMM CCC[C@@H](NC(=O)c1cc2c(c(Cl)c1)OCO2)c1nn[n-]n1 ZINC000176752310 408433270 /nfs/dbraw/zinc/43/32/70/408433270.db2.gz GMNKMTAXHUIUTM-SECBINFHSA-N -1 1 323.740 1.853 20 0 DDADMM CCc1noc2ncc(C(=O)N(C)C[C@H](C)c3nn[n-]n3)cc12 ZINC000274720053 408507860 /nfs/dbraw/zinc/50/78/60/408507860.db2.gz AIBONRSHEXKNDU-QMMMGPOBSA-N -1 1 315.337 1.174 20 0 DDADMM CCC[C@@H]1CN(C(=O)c2c(C)[n-]c(=O)nc2SC)CCO1 ZINC000270421446 408528068 /nfs/dbraw/zinc/52/80/68/408528068.db2.gz OUXJGUAJACCZQN-SNVBAGLBSA-N -1 1 311.407 1.854 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-]CC2(C)OCCCO2)c(F)c1 ZINC000192962567 408676018 /nfs/dbraw/zinc/67/60/18/408676018.db2.gz XGLVTVGNKNJAPI-UHFFFAOYSA-N -1 1 317.382 1.707 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccc(OCC)cc1)c1nn[n-]n1 ZINC000177651040 408676840 /nfs/dbraw/zinc/67/68/40/408676840.db2.gz TUJJYMDPSTVKEZ-CYBMUJFWSA-N -1 1 319.365 1.635 20 0 DDADMM O=S(=O)([N-]CC1(CO)CCCC1)c1cc(F)c(F)cc1F ZINC000185261420 408788489 /nfs/dbraw/zinc/78/84/89/408788489.db2.gz AHEWMZNJTUZWSD-UHFFFAOYSA-N -1 1 323.336 1.935 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)NCCc1ccc(F)cc1)C(=O)[O-] ZINC000185048131 408744462 /nfs/dbraw/zinc/74/44/62/408744462.db2.gz CYYOYWUPECVGNY-SMDDNHRTSA-N -1 1 310.369 1.716 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)NCCc1ccc(F)cc1)C(=O)[O-] ZINC000185048114 408744839 /nfs/dbraw/zinc/74/48/39/408744839.db2.gz CYYOYWUPECVGNY-FZMZJTMJSA-N -1 1 310.369 1.716 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)C2CCCCC2)C1)c1cncc([O-])c1 ZINC000178040744 408763411 /nfs/dbraw/zinc/76/34/11/408763411.db2.gz XYOVHZSMRUJMJG-AWEZNQCLSA-N -1 1 317.389 1.698 20 0 DDADMM COc1ccc(F)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1OC ZINC000276566189 408884327 /nfs/dbraw/zinc/88/43/27/408884327.db2.gz UTHHZKMPIUCEQN-MRVPVSSYSA-N -1 1 323.328 1.232 20 0 DDADMM CCNC(=O)N1CC[C@@H](NC(=O)c2c(F)ccc([O-])c2F)C1 ZINC000280869703 408850255 /nfs/dbraw/zinc/85/02/55/408850255.db2.gz BPCRQZVTQFVPSB-MRVPVSSYSA-N -1 1 313.304 1.204 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H](C)Cc1cccs1 ZINC000290890230 408850641 /nfs/dbraw/zinc/85/06/41/408850641.db2.gz ZPVPBUGYYVIBAF-QMMMGPOBSA-N -1 1 315.420 1.784 20 0 DDADMM CO[C@@H]1C[C@@H](c2nc[nH]n2)N(C(=O)c2ccc([O-])cc2F)C1 ZINC000285761142 408858720 /nfs/dbraw/zinc/85/87/20/408858720.db2.gz MAWWZOUVDYRLPI-SKDRFNHKSA-N -1 1 306.297 1.252 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCC(F)(F)C2)c1 ZINC000281614289 408897042 /nfs/dbraw/zinc/89/70/42/408897042.db2.gz KUWOBXNGDSBAFE-QMMMGPOBSA-N -1 1 323.317 1.780 20 0 DDADMM CC1CCC(N2C[C@@H](C(=O)[N-]OC[C@H]3CCOC3)CC2=O)CC1 ZINC000189248497 163090521 /nfs/dbraw/zinc/09/05/21/163090521.db2.gz VZLGLRQSBUDAOL-GQKFXUNGSA-N -1 1 324.421 1.498 20 0 DDADMM CC(C)(C)[C@@H]1NC(=O)CC[C@H]1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000286663655 408994085 /nfs/dbraw/zinc/99/40/85/408994085.db2.gz RORBUAGANYBGEK-IUODEOHRSA-N -1 1 318.373 1.384 20 0 DDADMM CCC1(O)CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000287384766 409029273 /nfs/dbraw/zinc/02/92/73/409029273.db2.gz FPJUFKNZTAFODN-PDGQHHTCSA-N -1 1 303.366 1.111 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCCSC(F)(F)F)ccnc1-2 ZINC000287748763 409086173 /nfs/dbraw/zinc/08/61/73/409086173.db2.gz UVYXQJNYDHJZKH-LSCVHKIXSA-N -1 1 319.312 1.716 20 0 DDADMM CC(C)C[C@H](CCO)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287766517 409089847 /nfs/dbraw/zinc/08/98/47/409089847.db2.gz VWCNBNBOSABDFC-SXPRPLFSSA-N -1 1 319.409 1.508 20 0 DDADMM COCC(C)(C)CCCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287774329 409091250 /nfs/dbraw/zinc/09/12/50/409091250.db2.gz WGYJIRQUHKAGTL-MOSHPQCFSA-N -1 1 319.409 1.916 20 0 DDADMM CCCN1Cc2cccc(NC(=O)C(=O)[N-]OCC3CC3)c2C1 ZINC000293364324 409099191 /nfs/dbraw/zinc/09/91/91/409099191.db2.gz LQVRKESXHKNWQF-UHFFFAOYSA-N -1 1 317.389 1.809 20 0 DDADMM COC(=O)[C@H]1CC[C@H](C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000283222911 409115181 /nfs/dbraw/zinc/11/51/81/409115181.db2.gz OQYHJGUVRGMRDJ-SGCOTTDSSA-N -1 1 316.361 1.260 20 0 DDADMM CCc1ccccc1N1C[C@H](C(=O)[N-]OCCCOC)CC1=O ZINC000288051993 409137515 /nfs/dbraw/zinc/13/75/15/409137515.db2.gz IPKLLFGXSWXEMB-CQSZACIVSA-N -1 1 320.389 1.686 20 0 DDADMM O=C(C(=O)N1CCC[C@@H]1[C@H](O)C(F)(F)F)c1ccc([O-])cc1 ZINC000288155886 409155411 /nfs/dbraw/zinc/15/54/11/409155411.db2.gz LSQXJZVRBLKANF-PWSUYJOCSA-N -1 1 317.263 1.489 20 0 DDADMM O=C(CNC(=O)c1c[nH]c2ccccc2c1=O)[N-]OCC1CC1 ZINC000293784827 409179511 /nfs/dbraw/zinc/17/95/11/409179511.db2.gz OBXRORDGPGKGTE-UHFFFAOYSA-N -1 1 315.329 1.128 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3CCC[C@H]4OCC[C@@H]43)ccnc1-2 ZINC000279411466 409209772 /nfs/dbraw/zinc/20/97/72/409209772.db2.gz SJYFDKSGMNERDJ-SVGJLOAYSA-N -1 1 315.377 1.421 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)[nH]n1 ZINC000283788993 409218052 /nfs/dbraw/zinc/21/80/52/409218052.db2.gz UQJKTOZEBOKHPI-QMMMGPOBSA-N -1 1 316.390 1.411 20 0 DDADMM COCC1([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCC1 ZINC000283817064 409222257 /nfs/dbraw/zinc/22/22/57/409222257.db2.gz DTHGFGJSMLWVHI-UHFFFAOYSA-N -1 1 309.309 1.951 20 0 DDADMM C[C@@H](c1ccccc1)[C@H]([N-]C(=O)C(F)(F)C(F)F)C(N)=O ZINC000294014040 409225770 /nfs/dbraw/zinc/22/57/70/409225770.db2.gz ZTKASUUCAJIAPI-CBAPKCEASA-N -1 1 306.259 1.661 20 0 DDADMM C[C@H]1CCC[C@@]1(O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294326082 409279691 /nfs/dbraw/zinc/27/96/91/409279691.db2.gz PNVKAVTVDASTCF-LKFCYVNXSA-N -1 1 306.391 1.299 20 0 DDADMM O=C1COc2c([N-]S(=O)(=O)CCCCF)cccc2N1 ZINC000295205294 409373751 /nfs/dbraw/zinc/37/37/51/409373751.db2.gz ODHZCGDRWCIMNL-UHFFFAOYSA-N -1 1 302.327 1.509 20 0 DDADMM O=S(=O)([N-]Cc1nnc(C2CC2)[nH]1)c1cccc(F)c1F ZINC000295428423 409479134 /nfs/dbraw/zinc/47/91/34/409479134.db2.gz XNTQJPSPEJIETL-UHFFFAOYSA-N -1 1 314.317 1.439 20 0 DDADMM C[C@@](O)(CNC(=O)c1ccc2n[n-]c(=S)n2c1)C(F)(F)F ZINC000295432914 409480650 /nfs/dbraw/zinc/48/06/50/409480650.db2.gz FVCUKWPAKREJIX-SNVBAGLBSA-N -1 1 320.296 1.061 20 0 DDADMM CC(C)(C)OC(=O)C[C@H](NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC000408494292 164349584 /nfs/dbraw/zinc/34/95/84/164349584.db2.gz AOASXANVIXDHMG-QMMMGPOBSA-N -1 1 313.358 1.497 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCCC1=CCCCC1 ZINC000337939970 409584825 /nfs/dbraw/zinc/58/48/25/409584825.db2.gz ASMDRNWTSAMBTD-UHFFFAOYSA-N -1 1 303.362 1.524 20 0 DDADMM Cc1cc2cc(NC(=O)CNC(=O)c3ncccc3[O-])ccc2[nH]1 ZINC000337943141 409588559 /nfs/dbraw/zinc/58/85/59/409588559.db2.gz FHRVWMIVXGAPOJ-UHFFFAOYSA-N -1 1 324.340 1.945 20 0 DDADMM NC(=O)c1ccccc1NCC(=O)Nc1ccc(F)cc1[O-] ZINC000349113745 409699928 /nfs/dbraw/zinc/69/99/28/409699928.db2.gz ZBQXUWQUIVREIQ-UHFFFAOYSA-N -1 1 303.293 1.681 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCS1 ZINC000296838212 409658691 /nfs/dbraw/zinc/65/86/91/409658691.db2.gz SPAOTPIEFZJPPO-SECBINFHSA-N -1 1 308.432 1.986 20 0 DDADMM COc1ccccc1NCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000345554203 409691238 /nfs/dbraw/zinc/69/12/38/409691238.db2.gz UIDUYIXFXBQKEM-UHFFFAOYSA-N -1 1 316.365 1.206 20 0 DDADMM Cc1ccc(CN2C[C@H](C(=O)[N-]OC(C)(C)CO)CC2=O)cc1 ZINC000297150689 409827593 /nfs/dbraw/zinc/82/75/93/409827593.db2.gz IYOULPZWKJTAAH-CQSZACIVSA-N -1 1 320.389 1.162 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cnc(Oc2ccccc2)cn1 ZINC000357068339 409836762 /nfs/dbraw/zinc/83/67/62/409836762.db2.gz LKDSYBMFCJLSBH-UHFFFAOYSA-N -1 1 323.316 1.201 20 0 DDADMM CCCOc1cccc(CC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000357075197 409847166 /nfs/dbraw/zinc/84/71/66/409847166.db2.gz KIDIUVUJDUJVSA-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM Cc1c(=O)[n-]c(SCc2nnnn2C)nc1-c1ccccc1 ZINC000342651959 409789533 /nfs/dbraw/zinc/78/95/33/409789533.db2.gz JHIHVGVVIWKTEM-UHFFFAOYSA-N -1 1 314.374 1.973 20 0 DDADMM CC[C@@H](C[C@H](C)CO)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000338229107 409819891 /nfs/dbraw/zinc/81/98/91/409819891.db2.gz KQSPZDYDFJRSKL-ONGXEEELSA-N -1 1 319.379 1.142 20 0 DDADMM CN(C)C(=O)CNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338337774 409922169 /nfs/dbraw/zinc/92/21/69/409922169.db2.gz ZGIPUFLTHOJRTJ-UHFFFAOYSA-N -1 1 307.737 1.412 20 0 DDADMM COc1ncc(-c2noc(-c3cc(F)ccc3[O-])n2)c(N)n1 ZINC000350577279 409966749 /nfs/dbraw/zinc/96/67/49/409966749.db2.gz LJIWNBQFEMUZBJ-UHFFFAOYSA-N -1 1 303.253 1.459 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CSC2(C)C)o1 ZINC000338439362 409993026 /nfs/dbraw/zinc/99/30/26/409993026.db2.gz ZSAGGFRIFJLWAS-SECBINFHSA-N -1 1 319.404 1.629 20 0 DDADMM CN(C)C(=O)[C@@H]1CC[C@H](CN=c2nc(C(F)(F)F)[n-]s2)O1 ZINC000342930562 410007764 /nfs/dbraw/zinc/00/77/64/410007764.db2.gz HXSTWFZOHPFOHU-RQJHMYQMSA-N -1 1 324.328 1.027 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000354774101 410075310 /nfs/dbraw/zinc/07/53/10/410075310.db2.gz OUOGAXNJWYXDKQ-CLAHSXSESA-N -1 1 313.423 1.739 20 0 DDADMM COc1cccc(F)c1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332348103 410086955 /nfs/dbraw/zinc/08/69/55/410086955.db2.gz QELGJFUOJOSIEM-VIFPVBQESA-N -1 1 321.308 1.943 20 0 DDADMM CC(C)(NC(=O)c1cnn(Cc2ccccc2)c1)c1nn[n-]n1 ZINC000354809874 410103639 /nfs/dbraw/zinc/10/36/39/410103639.db2.gz ZYJIEFYZPLUTSY-UHFFFAOYSA-N -1 1 311.349 1.110 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCO[C@H](c3cncn3C)C2)c([O-])c1 ZINC000332378356 410109531 /nfs/dbraw/zinc/10/95/31/410109531.db2.gz AGCPOZWNDRUZIS-RISCZKNCSA-N -1 1 316.361 1.479 20 0 DDADMM COCCO[C@@H]1COCC[C@@H]1[NH2+]Cc1cccc([O-])c1Cl ZINC000339360937 410125315 /nfs/dbraw/zinc/12/53/15/410125315.db2.gz MWYAPFRUEKDTIQ-GXTWGEPZSA-N -1 1 315.797 1.956 20 0 DDADMM C[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H]1CCCCO1 ZINC000346769979 410144987 /nfs/dbraw/zinc/14/49/87/410144987.db2.gz PIOSQTGQLCHUJR-MFKMUULPSA-N -1 1 301.346 1.611 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2([C@@H](O)C(C)C)CC2)sc1C ZINC000329221103 410175619 /nfs/dbraw/zinc/17/56/19/410175619.db2.gz UIMMXSBMWNAIQV-NSHDSACASA-N -1 1 318.464 1.835 20 0 DDADMM C[C@@H](c1ccc(F)cc1)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332461458 410175928 /nfs/dbraw/zinc/17/59/28/410175928.db2.gz PKMYLBLYKSWFDG-JTQLQIEISA-N -1 1 307.398 1.973 20 0 DDADMM C[NH+](C)C[C@H]1CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000298487498 410252929 /nfs/dbraw/zinc/25/29/29/410252929.db2.gz TZSYZMLCFDIQSQ-LLVKDONJSA-N -1 1 305.407 1.184 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCCCNC2=O)sc1C ZINC000329511875 410336632 /nfs/dbraw/zinc/33/66/32/410336632.db2.gz NHEGHEIBSITQAH-SECBINFHSA-N -1 1 303.409 1.547 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)Nc1ccc3nc[nH]c3c1)c2=O ZINC000358273753 410428161 /nfs/dbraw/zinc/42/81/61/410428161.db2.gz KQRXWFFDNJIOFZ-UHFFFAOYSA-N -1 1 308.301 1.803 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c(F)c1 ZINC000298884704 410437270 /nfs/dbraw/zinc/43/72/70/410437270.db2.gz HYDPGDZDHGGREQ-JTQLQIEISA-N -1 1 304.325 1.565 20 0 DDADMM Cc1cc(=NC(=O)NCCCN2C[C@H](C)O[C@@H](C)C2)[n-]nc1C ZINC000343546590 410523424 /nfs/dbraw/zinc/52/34/24/410523424.db2.gz LOAWUVLWIHNTEU-STQMWFEESA-N -1 1 321.425 1.136 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2nc(C)c(C)s2)o1 ZINC000330166508 410572002 /nfs/dbraw/zinc/57/20/02/410572002.db2.gz VDVLYPRQQFVKCP-UHFFFAOYSA-N -1 1 301.393 1.789 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CCCc2occc21 ZINC000339975294 410590378 /nfs/dbraw/zinc/59/03/78/410590378.db2.gz JTZSREXNTTVBQJ-LLVKDONJSA-N -1 1 315.329 1.304 20 0 DDADMM C[C@H](Cc1ccccc1Cl)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000352209272 410547035 /nfs/dbraw/zinc/54/70/35/410547035.db2.gz AITIGOJSCDFRHO-SECBINFHSA-N -1 1 305.769 1.837 20 0 DDADMM Cn1[n-]c(CN2CCc3[nH]c4ccc(Cl)cc4c3C2)nc1=O ZINC000347463435 410563370 /nfs/dbraw/zinc/56/33/70/410563370.db2.gz KGAHYVJAPIFMSN-UHFFFAOYSA-N -1 1 317.780 1.801 20 0 DDADMM CCC[C@H](NC(=O)C[C@H]1CCO[C@@H](C(C)C)C1)c1nn[n-]n1 ZINC000359329103 410606939 /nfs/dbraw/zinc/60/69/39/410606939.db2.gz LNYJJYCHAVGXGK-RWMBFGLXSA-N -1 1 309.414 1.998 20 0 DDADMM CCN(CC)S(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000330520858 410745905 /nfs/dbraw/zinc/74/59/05/410745905.db2.gz KYHLHHSHPHKYLX-UHFFFAOYSA-N -1 1 324.399 1.228 20 0 DDADMM COc1ccc(F)c(NC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000343893938 410788508 /nfs/dbraw/zinc/78/85/08/410788508.db2.gz YYWWPNQEVFSQKO-UHFFFAOYSA-N -1 1 319.292 1.303 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc(C[S@@](C)=O)cc2)c([O-])c1 ZINC000343938607 410827647 /nfs/dbraw/zinc/82/76/47/410827647.db2.gz YVCOXHWRURLNMA-JOCHJYFZSA-N -1 1 318.398 1.904 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCCN(C(=O)CC)C2)n1 ZINC000330615010 410831150 /nfs/dbraw/zinc/83/11/50/410831150.db2.gz UCCZJAASWOLBEE-JTQLQIEISA-N -1 1 322.365 1.174 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2C[C@@H]2c2ccccc2)o1 ZINC000341142593 410893660 /nfs/dbraw/zinc/89/36/60/410893660.db2.gz HHVLVRPDSHUWTE-NEPJUHHUSA-N -1 1 321.354 1.901 20 0 DDADMM CC(C)COc1ncccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348280846 410893880 /nfs/dbraw/zinc/89/38/80/410893880.db2.gz IJNCSFXHTWJAOE-UHFFFAOYSA-N -1 1 302.338 1.049 20 0 DDADMM O=C(CCc1nc2ccccc2s1)NC1(c2nn[n-]n2)CC1 ZINC000348271939 410887351 /nfs/dbraw/zinc/88/73/51/410887351.db2.gz ADMMSFKPTYVSEJ-UHFFFAOYSA-N -1 1 314.374 1.548 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)NC[C@H](CC(C)C)C(=O)[O-] ZINC000424429816 287188976 /nfs/dbraw/zinc/18/89/76/287188976.db2.gz KWYGFNBNTUDSTH-UONOGXRCSA-N -1 1 313.442 1.859 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2cc(C)c(C)c(C(=O)[O-])c2)cn1 ZINC000348439065 410965468 /nfs/dbraw/zinc/96/54/68/410965468.db2.gz GUDRKAUUTJAGAR-UHFFFAOYSA-N -1 1 321.358 1.901 20 0 DDADMM C[C@H](Oc1cnc2ccccc2c1)C(=O)N=c1ccc(=O)[n-][nH]1 ZINC000348515427 410991818 /nfs/dbraw/zinc/99/18/18/410991818.db2.gz YJPIJLBILPJZCY-JTQLQIEISA-N -1 1 310.313 1.558 20 0 DDADMM CCCN(Cc1ccc(OC)cc1)C(=O)CCCc1nn[n-]n1 ZINC000635234602 422890295 /nfs/dbraw/zinc/89/02/95/422890295.db2.gz OJKISIDILHNEBN-UHFFFAOYSA-N -1 1 317.393 1.970 20 0 DDADMM Cc1csc(CC[N-]S(=O)(=O)c2cc(C)ns2)n1 ZINC000631724914 422895604 /nfs/dbraw/zinc/89/56/04/422895604.db2.gz GBHSCQCGJHQNCM-UHFFFAOYSA-N -1 1 303.434 1.737 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H](C)[C@H](C)C1 ZINC000131514502 196176526 /nfs/dbraw/zinc/17/65/26/196176526.db2.gz AVJOTNCTTQQRCH-GHMZBOCLSA-N -1 1 316.405 1.686 20 0 DDADMM Cc1ccc(-n2[n-]c(C(=O)N3CCc4nc[nH]c4C3)cc2=O)cc1 ZINC000647735940 423019161 /nfs/dbraw/zinc/01/91/61/423019161.db2.gz SNLBGFLBRAZLIY-UHFFFAOYSA-N -1 1 323.356 1.396 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2(CC(C)C)CC2)o1 ZINC000133915479 196346234 /nfs/dbraw/zinc/34/62/34/196346234.db2.gz KIZCQPIFHOTZIZ-UHFFFAOYSA-N -1 1 314.407 1.744 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@H]2CC(=O)N(C(C)(C)C)C2)n[nH]1 ZINC000541904451 416619144 /nfs/dbraw/zinc/61/91/44/416619144.db2.gz QMKIMRKLXGHERH-VIFPVBQESA-N -1 1 322.365 1.172 20 0 DDADMM O=S(=O)([N-]Cc1ccccn1)c1c[nH]nc1C(F)(F)F ZINC000647889518 423101103 /nfs/dbraw/zinc/10/11/03/423101103.db2.gz AGRIDJIZTOOSIV-UHFFFAOYSA-N -1 1 306.269 1.302 20 0 DDADMM CSc1nc(CNC(=O)C[C@@H](C)n2cccc2)cc(=O)[n-]1 ZINC000640658844 423117252 /nfs/dbraw/zinc/11/72/52/423117252.db2.gz QWHVOHSQXBCTJZ-SNVBAGLBSA-N -1 1 306.391 1.973 20 0 DDADMM CSc1nc(CNC(=O)C[C@H](C)c2cnn(C)c2)cc(=O)[n-]1 ZINC000640655463 423115853 /nfs/dbraw/zinc/11/58/53/423115853.db2.gz NYBWBNOFVUDLTA-VIFPVBQESA-N -1 1 321.406 1.448 20 0 DDADMM COc1nc(C)ccc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640656507 423117693 /nfs/dbraw/zinc/11/76/93/423117693.db2.gz QPGPFNYMEGGOBV-UHFFFAOYSA-N -1 1 320.374 1.546 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3ncoc3C)no2)o1 ZINC000351013449 306760914 /nfs/dbraw/zinc/76/09/14/306760914.db2.gz AQTSDKPIOMERKU-UHFFFAOYSA-N -1 1 310.291 1.201 20 0 DDADMM CCc1nc(CC(=O)NCc2cc(=O)[n-]c(SC)n2)cs1 ZINC000640659275 423119778 /nfs/dbraw/zinc/11/97/78/423119778.db2.gz VXNRZHHWLDQZSY-UHFFFAOYSA-N -1 1 324.431 1.782 20 0 DDADMM O=C(N[C@@H](C1CC1)C1CCOCC1)C(=O)c1ccc([O-])cc1 ZINC000652768591 423122479 /nfs/dbraw/zinc/12/24/79/423122479.db2.gz MZCYATWDUNVYGK-HNNXBMFYSA-N -1 1 303.358 1.896 20 0 DDADMM COCCC1([N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CCC1 ZINC000360385003 418422404 /nfs/dbraw/zinc/42/24/04/418422404.db2.gz OQQZMPFWTLIRCE-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C[C@H]2CCCCNC2=O)c1 ZINC000374477162 418534335 /nfs/dbraw/zinc/53/43/35/418534335.db2.gz ZWXAAFHQUSDEJG-SNVBAGLBSA-N -1 1 320.345 1.424 20 0 DDADMM O=C(c1cc(-c2ccco2)n[nH]1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366635341 418499718 /nfs/dbraw/zinc/49/97/18/418499718.db2.gz GTIHQTZDDRCQKA-SECBINFHSA-N -1 1 313.321 1.203 20 0 DDADMM O=S(=O)(Cc1cccc(F)c1F)[N-]C[C@@H](O)C(F)(F)F ZINC000645677420 423138966 /nfs/dbraw/zinc/13/89/66/423138966.db2.gz FTMZYYYNLJOIDH-MRVPVSSYSA-N -1 1 319.251 1.307 20 0 DDADMM CC(C)N(C)C(=O)[C@@H](C)S(=O)(=O)c1nnc(C(C)(C)C)[n-]1 ZINC000195169895 222193695 /nfs/dbraw/zinc/19/36/95/222193695.db2.gz VDKRTOKXEHSACH-SECBINFHSA-N -1 1 316.427 1.131 20 0 DDADMM CC(C)N(C)C(=O)[C@@H](C)S(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000195169895 222193698 /nfs/dbraw/zinc/19/36/98/222193698.db2.gz VDKRTOKXEHSACH-SECBINFHSA-N -1 1 316.427 1.131 20 0 DDADMM CC(C)N(C)C(=O)[C@@H](C)S(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195169895 222193700 /nfs/dbraw/zinc/19/37/00/222193700.db2.gz VDKRTOKXEHSACH-SECBINFHSA-N -1 1 316.427 1.131 20 0 DDADMM CCc1n[n-]c(=NC(=O)N2CCC(c3cn[nH]c3C)CC2)s1 ZINC000375245815 418620606 /nfs/dbraw/zinc/62/06/06/418620606.db2.gz UXRRGPIVUFKUSA-UHFFFAOYSA-N -1 1 320.422 1.965 20 0 DDADMM C[C@@H]1[C@H](CO)CCN1C(=O)c1ccc(Br)cc1[O-] ZINC000386308136 418738853 /nfs/dbraw/zinc/73/88/53/418738853.db2.gz SBNXKDLUSAWFBQ-BDAKNGLRSA-N -1 1 314.179 1.998 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCOCC2(CCC2)C1 ZINC000371946025 418826399 /nfs/dbraw/zinc/82/63/99/418826399.db2.gz DLLOIIPRVDNHHZ-UHFFFAOYSA-N -1 1 313.357 1.566 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H](C2CC2)C1 ZINC000372332356 418857105 /nfs/dbraw/zinc/85/71/05/418857105.db2.gz KYOITLWLEGDATO-ZDUSSCGKSA-N -1 1 314.389 1.853 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@H]3C[C@@H]3C)CC2)n1 ZINC000373074923 418927056 /nfs/dbraw/zinc/92/70/56/418927056.db2.gz QZZVTHVVJYHXNK-ONGXEEELSA-N -1 1 306.366 1.343 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]Cc2ccn(C)n2)c(F)c1 ZINC000425169285 228378526 /nfs/dbraw/zinc/37/85/26/228378526.db2.gz JLKZFVUTYRAUNX-UHFFFAOYSA-N -1 1 301.318 1.485 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC(=O)N2CCCC2)c(F)c1 ZINC000425142256 228371861 /nfs/dbraw/zinc/37/18/61/228371861.db2.gz LQHHUSWCNZSKDI-UHFFFAOYSA-N -1 1 318.345 1.174 20 0 DDADMM O=S(=O)([N-][C@@H]1CC[C@H]1O)c1c(Cl)cc(F)cc1Cl ZINC000425210765 228392206 /nfs/dbraw/zinc/39/22/06/228392206.db2.gz VORSAJZJSPXPGV-RKDXNWHRSA-N -1 1 314.165 1.934 20 0 DDADMM O=C(Nc1n[nH]c2ccc(F)cc21)c1csc(=NC2CC2)[n-]1 ZINC000425922043 419368195 /nfs/dbraw/zinc/36/81/95/419368195.db2.gz MEONULRSCGFREI-UHFFFAOYSA-N -1 1 317.349 1.829 20 0 DDADMM COC(=O)CC1(NC(=O)c2nc3ccccc3c(=O)[n-]2)CCC1 ZINC000426643634 419525028 /nfs/dbraw/zinc/52/50/28/419525028.db2.gz QVXQBTKKBZTABJ-UHFFFAOYSA-N -1 1 315.329 1.139 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(C(=O)NC)cc1)OC ZINC000421141064 419499277 /nfs/dbraw/zinc/49/92/77/419499277.db2.gz OAVNEYRUACTIKS-LBPRGKRZSA-N -1 1 300.380 1.213 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(C(=O)OC)nc1)OC ZINC000421150367 419503916 /nfs/dbraw/zinc/50/39/16/419503916.db2.gz ILRDNFNHIIRZCE-JTQLQIEISA-N -1 1 302.352 1.035 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncc(C)cc1[O-])c1cccc(O)c1 ZINC000427656721 419711155 /nfs/dbraw/zinc/71/11/55/419711155.db2.gz LTLIXEZBUMMPPK-ZDUSSCGKSA-N -1 1 316.313 1.445 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)F)c1cc(F)ccc1F ZINC000428405088 419859206 /nfs/dbraw/zinc/85/92/06/419859206.db2.gz RPJILQXBIXOOIY-SSDOTTSWSA-N -1 1 301.261 1.259 20 0 DDADMM COc1ccc([C@H](CO)N(C)C(=O)c2ncc(C)cc2[O-])cc1 ZINC000428026074 419790687 /nfs/dbraw/zinc/79/06/87/419790687.db2.gz XNLDIEPJYZDKHL-AWEZNQCLSA-N -1 1 316.357 1.910 20 0 DDADMM NC(=O)c1csc(=NCc2cccc(OCC(F)F)c2)[n-]1 ZINC000432330232 229096391 /nfs/dbraw/zinc/09/63/91/229096391.db2.gz YVXNNBQLTLQZHG-UHFFFAOYSA-N -1 1 313.329 1.920 20 0 DDADMM O=C(N=c1cc(-c2cccs2)[n-][nH]1)c1cc2n(n1)CCCO2 ZINC000432421699 229103375 /nfs/dbraw/zinc/10/33/75/229103375.db2.gz ATDYFTZVQFSGLQ-UHFFFAOYSA-N -1 1 315.358 1.791 20 0 DDADMM O=C(NCCCN1CCCCC1=O)C(=O)c1ccc([O-])cc1 ZINC000436996140 229551942 /nfs/dbraw/zinc/55/19/42/229551942.db2.gz SNLOYYMIINRZJD-UHFFFAOYSA-N -1 1 304.346 1.094 20 0 DDADMM O=C(N[C@H]1CN(c2ccccc2)C1=O)C(=O)c1ccc([O-])cc1 ZINC000437002811 229554166 /nfs/dbraw/zinc/55/41/66/229554166.db2.gz QONATIDESQQPCS-AWEZNQCLSA-N -1 1 310.309 1.107 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1CCCC[C@H]1C(F)(F)F ZINC000430328434 420107961 /nfs/dbraw/zinc/10/79/61/420107961.db2.gz ZZARTQPBOVPJFZ-HTQZYQBOSA-N -1 1 303.288 1.674 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@H]2CC[C@H](C(=O)OC)CC2)[n-]1 ZINC000415646110 420134136 /nfs/dbraw/zinc/13/41/36/420134136.db2.gz JYOUTFGUTWGDPF-MGCOHNPYSA-N -1 1 323.349 1.504 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CC[C@H](C(=O)OC)CC2)n1 ZINC000415646110 420134140 /nfs/dbraw/zinc/13/41/40/420134140.db2.gz JYOUTFGUTWGDPF-MGCOHNPYSA-N -1 1 323.349 1.504 20 0 DDADMM O=C([O-])C1CCN(CC(=O)N[C@H]2CCCc3ccccc32)CC1 ZINC000430653218 420172238 /nfs/dbraw/zinc/17/22/38/420172238.db2.gz OXSDXEIDCSSIGC-INIZCTEOSA-N -1 1 316.401 1.977 20 0 DDADMM Cc1ccc([C@@H](NC(=O)CN2CC[C@@H](C(=O)[O-])C2)C2CC2)cc1 ZINC000430675086 420176374 /nfs/dbraw/zinc/17/63/74/420176374.db2.gz DJBHIBZHJPZPJD-NVXWUHKLSA-N -1 1 316.401 1.969 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1cccc(C)c1C ZINC000416236341 420290571 /nfs/dbraw/zinc/29/05/71/420290571.db2.gz YVQWXTULYGRGSC-UHFFFAOYSA-N -1 1 317.345 1.785 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cc(CN(C)C)on2)c1 ZINC000436519953 420337823 /nfs/dbraw/zinc/33/78/23/420337823.db2.gz SILAUUBDBOTCRF-UHFFFAOYSA-N -1 1 319.317 1.481 20 0 DDADMM CC(=O)N1CC[C@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000436754582 420363978 /nfs/dbraw/zinc/36/39/78/420363978.db2.gz ZTRBPRHUQKWCIL-JTQLQIEISA-N -1 1 316.279 1.762 20 0 DDADMM C[C@H]1COCCN1CCNC(=O)c1ccc2ccccc2c1[O-] ZINC000436562152 420339426 /nfs/dbraw/zinc/33/94/26/420339426.db2.gz KFZWZUUIMIBVHR-ZDUSSCGKSA-N -1 1 314.385 1.996 20 0 DDADMM CC(=O)N[C@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)C(C)(C)C ZINC000425296050 420341240 /nfs/dbraw/zinc/34/12/40/420341240.db2.gz GECIVLPCLHSDOL-GHMZBOCLSA-N -1 1 324.381 1.032 20 0 DDADMM CCOC(=O)[C@H](CC)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425295342 420342129 /nfs/dbraw/zinc/34/21/29/420342129.db2.gz FMFNPEAJDRCSLU-VHSXEESVSA-N -1 1 311.338 1.071 20 0 DDADMM O=C(NC[C@H](CO)C1CC1)c1ccc(Br)cc1[O-] ZINC000436617432 420345715 /nfs/dbraw/zinc/34/57/15/420345715.db2.gz IHBAWZXREYZTIH-SECBINFHSA-N -1 1 314.179 1.903 20 0 DDADMM O=C(CCc1cncs1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425307504 420346313 /nfs/dbraw/zinc/34/63/13/420346313.db2.gz UECOFJUBPCMCIL-VIFPVBQESA-N -1 1 308.363 1.571 20 0 DDADMM COC(=O)Cn1ncc(NC(=O)c2ccc([O-])cc2F)c1C ZINC000436730928 420361538 /nfs/dbraw/zinc/36/15/38/420361538.db2.gz YWRLKZVFLZPOMB-UHFFFAOYSA-N -1 1 307.281 1.462 20 0 DDADMM CC[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)[C@H]1CC1(C)C ZINC000416271103 420300491 /nfs/dbraw/zinc/30/04/91/420300491.db2.gz AVRGUWFCILSOOG-ZJUUUORDSA-N -1 1 314.407 1.742 20 0 DDADMM CCOC[C@H](O)CNC(=O)c1cc(Cl)c([O-])c(OCC)c1 ZINC000436964888 420387504 /nfs/dbraw/zinc/38/75/04/420387504.db2.gz HXPBELQQLGQLBP-SNVBAGLBSA-N -1 1 317.769 1.572 20 0 DDADMM O=C(N[C@H](CO)c1ccc(F)cc1)C(=O)c1ccc([O-])cc1 ZINC000436999685 420390734 /nfs/dbraw/zinc/39/07/34/420390734.db2.gz MVVBKJDPVOQKNR-CQSZACIVSA-N -1 1 303.289 1.564 20 0 DDADMM CNC(=O)Nc1cccc(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436763432 420364714 /nfs/dbraw/zinc/36/47/14/420364714.db2.gz GFVOYXVVIBMSJA-UHFFFAOYSA-N -1 1 313.313 1.965 20 0 DDADMM CC[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CCO1 ZINC000420717878 420367417 /nfs/dbraw/zinc/36/74/17/420367417.db2.gz DPKRAOFHUROSCJ-VHSXEESVSA-N -1 1 317.363 1.302 20 0 DDADMM Cc1cc(CN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)ccc1F ZINC000444422577 230096964 /nfs/dbraw/zinc/09/69/64/230096964.db2.gz GOGQJOCRUQNLIG-UHFFFAOYSA-N -1 1 315.308 1.137 20 0 DDADMM Cn1nccc1CC(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000444822493 230137642 /nfs/dbraw/zinc/13/76/42/230137642.db2.gz KUNXPNWQSMFPBL-UHFFFAOYSA-N -1 1 317.299 1.692 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)Cc3ccnn3C)[nH][n-]2)c1 ZINC000445224666 230171962 /nfs/dbraw/zinc/17/19/62/230171962.db2.gz YMBPDOGNXJCGLC-UHFFFAOYSA-N -1 1 313.336 1.861 20 0 DDADMM CN(C)C(=O)C1([N-]S(=O)(=O)c2cc(Cl)ccc2F)CC1 ZINC000416580355 420425044 /nfs/dbraw/zinc/42/50/44/420425044.db2.gz FNTPLBBMNCYKCD-UHFFFAOYSA-N -1 1 320.773 1.378 20 0 DDADMM C[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@@H](C)[C@@H](C)O1 ZINC000437917788 420429872 /nfs/dbraw/zinc/42/98/72/420429872.db2.gz PKJOXVCWTCLJHX-OUAUKWLOSA-N -1 1 301.346 1.561 20 0 DDADMM COc1ccc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000492366870 420535592 /nfs/dbraw/zinc/53/55/92/420535592.db2.gz ODHUPRLAFNAAJY-PPGNKHEKSA-N -1 1 313.361 1.628 20 0 DDADMM CCOC(=O)c1nnsc1[N-]C(=O)c1cc(C2CC2)no1 ZINC000440754437 420604037 /nfs/dbraw/zinc/60/40/37/420604037.db2.gz LSVUNYFMYOXFHQ-UHFFFAOYSA-N -1 1 308.319 1.833 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCC(C)(C)CO ZINC000452817171 420666482 /nfs/dbraw/zinc/66/64/82/420666482.db2.gz GWISZBURDPGZGU-UHFFFAOYSA-N -1 1 309.410 1.927 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCCC2(F)F)o1 ZINC000452842549 420669591 /nfs/dbraw/zinc/66/95/91/420669591.db2.gz LGMKMGKGZHPRBF-QMMMGPOBSA-N -1 1 322.333 1.353 20 0 DDADMM COC(=O)[C@@H](CC(C)C)N(C)C(=O)C(=O)c1ccc([O-])cc1 ZINC000452980249 420693971 /nfs/dbraw/zinc/69/39/71/420693971.db2.gz SKBHWGTYFOYMAM-CYBMUJFWSA-N -1 1 307.346 1.621 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccc(O)cc2)o1 ZINC000443129030 420745815 /nfs/dbraw/zinc/74/58/15/420745815.db2.gz MONWKVGLYXSTJA-UHFFFAOYSA-N -1 1 311.315 1.250 20 0 DDADMM COc1cc(C(=O)N2CCn3ncnc3C2)cc(Cl)c1[O-] ZINC000442794755 420716788 /nfs/dbraw/zinc/71/67/88/420716788.db2.gz QFJUTGLWHIDGFT-UHFFFAOYSA-N -1 1 308.725 1.302 20 0 DDADMM CCOc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc1C ZINC000493240170 420797341 /nfs/dbraw/zinc/79/73/41/420797341.db2.gz NXGBDUMTADXCAS-ALCCZGGFSA-N -1 1 313.361 1.726 20 0 DDADMM COC(=O)c1cnccc1[N-]S(=O)(=O)Cc1ccc(F)cc1 ZINC000448540415 420858238 /nfs/dbraw/zinc/85/82/38/420858238.db2.gz ZYHOPIPXHRNMCO-UHFFFAOYSA-N -1 1 324.333 1.371 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H](C)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000479585071 420982563 /nfs/dbraw/zinc/98/25/63/420982563.db2.gz PBYSRZIYLINKEZ-RXMQYKEDSA-N -1 1 316.287 1.916 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N2C[C@@H](C)N(C)[C@@H](C)C2)s[n-]1 ZINC000455459662 421017742 /nfs/dbraw/zinc/01/77/42/421017742.db2.gz SXPBOSNIMYFNHP-KXUCPTDWSA-N -1 1 313.427 1.224 20 0 DDADMM CO[C@@H](C)c1nc(C)c(C(=O)NC(C)(C)c2nn[n-]n2)s1 ZINC000487541527 421036697 /nfs/dbraw/zinc/03/66/97/421036697.db2.gz RYMQOBUDTVOGMO-ZETCQYMHSA-N -1 1 310.383 1.337 20 0 DDADMM COC[C@]1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CCOC1 ZINC000449803306 421087692 /nfs/dbraw/zinc/08/76/92/421087692.db2.gz OBIIYUWDDAUEPF-MRXNPFEDSA-N -1 1 317.345 1.774 20 0 DDADMM O=C(OC[C@@H]1CNC(=O)O1)c1ccc(Cl)c(Cl)c1[O-] ZINC000495785658 421064195 /nfs/dbraw/zinc/06/41/95/421064195.db2.gz RMXZJDVGYHIIJH-YFKPBYRVSA-N -1 1 306.101 1.964 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@H](O)C1CCC1 ZINC000450604487 421215865 /nfs/dbraw/zinc/21/58/65/421215865.db2.gz WVJXXIYZJBAVGE-GFCCVEGCSA-N -1 1 316.354 1.297 20 0 DDADMM C[C@H](CN(C)C(=O)c1csc([C@H]2CCCO2)n1)c1nn[n-]n1 ZINC000544686855 421227530 /nfs/dbraw/zinc/22/75/30/421227530.db2.gz IWQIRRDVHHWXHR-PSASIEDQSA-N -1 1 322.394 1.383 20 0 DDADMM O=C1OCCN1c1ccc(S(=O)(=O)[N-]c2cnc[nH]2)cc1 ZINC000496613201 421283014 /nfs/dbraw/zinc/28/30/14/421283014.db2.gz HICHPWVCZKVAOH-UHFFFAOYSA-N -1 1 308.319 1.167 20 0 DDADMM O=C1COc2ccc(S(=O)(=O)[N-]c3ccsc3)cc2N1 ZINC000525687392 421295161 /nfs/dbraw/zinc/29/51/61/421295161.db2.gz PGJZRXGRCCMVCI-UHFFFAOYSA-N -1 1 310.356 1.880 20 0 DDADMM CC1CCC(OCC(=O)NC2(c3nn[n-]n3)CCCC2)CC1 ZINC000526006244 421321838 /nfs/dbraw/zinc/32/18/38/421321838.db2.gz RRLALIRNFISASQ-UHFFFAOYSA-N -1 1 307.398 1.681 20 0 DDADMM Cc1nc2ccc(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)cc2n1C ZINC000527006993 421346913 /nfs/dbraw/zinc/34/69/13/421346913.db2.gz MGXKZCIEWBDSSO-SECBINFHSA-N -1 1 313.365 1.271 20 0 DDADMM O=C1OCCN1C[C@H]1CCN(Cc2cc(=O)[nH]c(C3CC3)n2)C1 ZINC000497021804 421352223 /nfs/dbraw/zinc/35/22/23/421352223.db2.gz MXYNWMDVUNGLHS-NSHDSACASA-N -1 1 318.377 1.334 20 0 DDADMM CC(C)c1nncn1CCN=c1nc(C(F)(F)F)[n-]s1 ZINC000547762561 421364902 /nfs/dbraw/zinc/36/49/02/421364902.db2.gz SJMMRDWRTRULFJ-UHFFFAOYSA-N -1 1 306.317 1.806 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N=c2ccc(C3CC3)n[n-]2)n[nH]1 ZINC000548158724 421406612 /nfs/dbraw/zinc/40/66/12/421406612.db2.gz IHFRRKNHHUOIFP-VIFPVBQESA-N -1 1 300.366 1.560 20 0 DDADMM C[C@H](CN(C)c1nc(C(F)(F)F)nc2[nH]cnc21)C(=O)[O-] ZINC000562789365 421413308 /nfs/dbraw/zinc/41/33/08/421413308.db2.gz VQCMYKAGRQXHET-RXMQYKEDSA-N -1 1 303.244 1.529 20 0 DDADMM COCCN(CC(=O)N(C)C)Cc1cccc([O-])c1Cl ZINC000507485419 421427925 /nfs/dbraw/zinc/42/79/25/421427925.db2.gz MFTZETXCHAVCAT-UHFFFAOYSA-N -1 1 300.786 1.582 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2ccccc2c1O)c1nn[n-]n1 ZINC000548466612 421442503 /nfs/dbraw/zinc/44/25/03/421442503.db2.gz YHZNDLUPYVDOIG-SNVBAGLBSA-N -1 1 311.345 1.934 20 0 DDADMM CCCc1nc(=NC(=O)N2CC[C@H](c3nc[nH]n3)C2)s[n-]1 ZINC000563030539 421443458 /nfs/dbraw/zinc/44/34/58/421443458.db2.gz SXLUPFCUNWWASO-QMMMGPOBSA-N -1 1 307.383 1.052 20 0 DDADMM CO[C@H](CS(=O)(=O)Nc1cccc(C(=O)[O-])c1O)C1CC1 ZINC000563135590 421461724 /nfs/dbraw/zinc/46/17/24/421461724.db2.gz NFNGGTVJEWRGRG-LLVKDONJSA-N -1 1 315.347 1.257 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCC[C@@H]([C@H](C)O)C1 ZINC000563852552 421548612 /nfs/dbraw/zinc/54/86/12/421548612.db2.gz XCNUMSAXIXGYBS-VHSXEESVSA-N -1 1 311.407 1.446 20 0 DDADMM Cc1noc(C23CC(C2)CN3C(=O)C(=O)c2ccc([O-])cc2)n1 ZINC000529743411 421554498 /nfs/dbraw/zinc/55/44/98/421554498.db2.gz AMKSMDHRRBIEOM-UHFFFAOYSA-N -1 1 313.313 1.414 20 0 DDADMM COCCO[C@@H]1CCCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000564333898 421581824 /nfs/dbraw/zinc/58/18/24/421581824.db2.gz NTXCDUMAPHPCTG-SNVBAGLBSA-N -1 1 315.316 1.938 20 0 DDADMM O=C(c1c[nH]c(-c2ccccc2)n1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000551735806 421540257 /nfs/dbraw/zinc/54/02/57/421540257.db2.gz MVOJCKXCUUUSQQ-LBPRGKRZSA-N -1 1 323.360 1.610 20 0 DDADMM CC(C)(C)c1cccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1 ZINC000517756074 421628212 /nfs/dbraw/zinc/62/82/12/421628212.db2.gz DJPUBBRUCUWGLP-UHFFFAOYSA-N -1 1 322.390 1.758 20 0 DDADMM CC(C)(C)c1cccc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1 ZINC000517756074 421628214 /nfs/dbraw/zinc/62/82/14/421628214.db2.gz DJPUBBRUCUWGLP-UHFFFAOYSA-N -1 1 322.390 1.758 20 0 DDADMM CC(C)Cc1cc(=NC(=O)c2csc(=NC3CC3)[n-]2)[nH][nH]1 ZINC000532278074 421653356 /nfs/dbraw/zinc/65/33/56/421653356.db2.gz VFHXRJZHQBEHRI-UHFFFAOYSA-N -1 1 305.407 1.735 20 0 DDADMM CO[C@@H](CC[N-]S(=O)(=O)c1cscn1)C(F)(F)F ZINC000570566699 421655208 /nfs/dbraw/zinc/65/52/08/421655208.db2.gz FXJLUVHJGAAHCA-LURJTMIESA-N -1 1 304.315 1.389 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]Cc2nnc(C(C)C)s2)no1 ZINC000519008413 421676951 /nfs/dbraw/zinc/67/69/51/421676951.db2.gz FLAIHYLOVCCUKV-UHFFFAOYSA-N -1 1 316.408 1.578 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2ccc(F)c(F)c2)s1 ZINC000542799674 421830459 /nfs/dbraw/zinc/83/04/59/421830459.db2.gz HHCZCVCDTMHVMN-UHFFFAOYSA-N -1 1 305.331 1.603 20 0 DDADMM O=C([O-])c1cnc(C(=O)N2CCN(C3CCCCC3)CC2)cn1 ZINC000572241980 421773124 /nfs/dbraw/zinc/77/31/24/421773124.db2.gz SREUWNRKVHKQDH-UHFFFAOYSA-N -1 1 318.377 1.265 20 0 DDADMM Cc1ccc2c(O)c(C(=O)NCC[N@H+](C)C3CC3)cnc2n1 ZINC000521409556 421784910 /nfs/dbraw/zinc/78/49/10/421784910.db2.gz XRKFMMLSXOQNRN-UHFFFAOYSA-N -1 1 300.362 1.468 20 0 DDADMM C[C@@H](C(=O)N=c1cc(-c2cccs2)[n-][nH]1)[C@@H]1CCC(=O)N1 ZINC000627770247 421883061 /nfs/dbraw/zinc/88/30/61/421883061.db2.gz MATWVVVOWFIUJS-BDAKNGLRSA-N -1 1 304.375 1.413 20 0 DDADMM CC(=O)Nc1ccc(CNCc2cc(C(=O)[O-])nn2C)cc1 ZINC000635303565 421891699 /nfs/dbraw/zinc/89/16/99/421891699.db2.gz LPEVPGOAZLBYOM-UHFFFAOYSA-N -1 1 302.334 1.367 20 0 DDADMM CC(=O)Nc1cccc([C@H](C)NCc2cc(C(=O)[O-])nn2C)c1 ZINC000635305047 421893192 /nfs/dbraw/zinc/89/31/92/421893192.db2.gz MBPNTBGHMLHOPB-JTQLQIEISA-N -1 1 316.361 1.928 20 0 DDADMM O=C(N=c1cc(-c2cccs2)[n-][nH]1)C1(N2CCOCC2)CC1 ZINC000633446600 421905614 /nfs/dbraw/zinc/90/56/14/421905614.db2.gz VFLUIUBKJVQVFU-UHFFFAOYSA-N -1 1 318.402 1.363 20 0 DDADMM O=C([O-])COc1ccc(NC(=O)[C@H]2CCCN2C2CC2)cc1 ZINC000630157576 421926465 /nfs/dbraw/zinc/92/64/65/421926465.db2.gz ODLZWUPCNFQRTJ-CQSZACIVSA-N -1 1 304.346 1.715 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)c1 ZINC000581232163 421927442 /nfs/dbraw/zinc/92/74/42/421927442.db2.gz HCOFKDJCEQYOHU-YWVKMMECSA-N -1 1 310.375 1.414 20 0 DDADMM Cc1nc(C2CC2)oc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000573356649 421954087 /nfs/dbraw/zinc/95/40/87/421954087.db2.gz UHGULANKYDVFNE-UHFFFAOYSA-N -1 1 318.333 1.969 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)Cc2cccc(F)c2)CC1 ZINC000630223128 421972428 /nfs/dbraw/zinc/97/24/28/421972428.db2.gz HZXKLYMQEHYQLG-GFCCVEGCSA-N -1 1 308.353 1.376 20 0 DDADMM CCn1c(C)cc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1C ZINC000630221801 421972495 /nfs/dbraw/zinc/97/24/95/421972495.db2.gz BELDSCOFTQHZPL-GFCCVEGCSA-N -1 1 321.421 1.746 20 0 DDADMM CC(C)(C(=O)NC1(c2nn[n-]n2)CC1)c1ccc2c(c1)OCO2 ZINC000573869312 422028622 /nfs/dbraw/zinc/02/86/22/422028622.db2.gz HAUMNJYBSYRCLU-UHFFFAOYSA-N -1 1 315.333 1.012 20 0 DDADMM COc1ccc2c(c1)CCCN(C(=O)CCCc1nn[n-]n1)C2 ZINC000635494619 422042200 /nfs/dbraw/zinc/04/22/00/422042200.db2.gz FJIZNYSQSVKCRX-UHFFFAOYSA-N -1 1 315.377 1.506 20 0 DDADMM O=S(=O)([N-][C@@H]1CCSC1)c1c[nH]nc1C(F)(F)F ZINC000632040525 422042805 /nfs/dbraw/zinc/04/28/05/422042805.db2.gz IZZMHNZHOUGSEB-RXMQYKEDSA-N -1 1 301.315 1.212 20 0 DDADMM CCCCCc1cc(C(=O)N2C[C@H](OC)C[C@]2(C)C(=O)[O-])n[nH]1 ZINC000630315217 422020670 /nfs/dbraw/zinc/02/06/70/422020670.db2.gz FOQWULBTZKKFEH-MLGOLLRUSA-N -1 1 323.393 1.847 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCc1c[nH]c2ccccc12 ZINC000635537158 422085597 /nfs/dbraw/zinc/08/55/97/422085597.db2.gz VRDFFSYQVHVBSN-UHFFFAOYSA-N -1 1 312.377 1.753 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccc(Cl)cc1Cl ZINC000630420605 422088979 /nfs/dbraw/zinc/08/89/79/422088979.db2.gz ZDABMSYSTXKQRC-UHFFFAOYSA-N -1 1 300.149 1.756 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCOc2ccc(F)cc21 ZINC000635543339 422093049 /nfs/dbraw/zinc/09/30/49/422093049.db2.gz BERKETPVFDTSEF-NSHDSACASA-N -1 1 305.313 1.302 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2c3ccccc3C[C@H]2O)sn1 ZINC000632122089 422101573 /nfs/dbraw/zinc/10/15/73/422101573.db2.gz LHLZWCSTHNWCNQ-DGCLKSJQSA-N -1 1 310.400 1.388 20 0 DDADMM O=C([O-])[C@H]1CCCN(S(=O)(=O)c2cc(O)cc(F)c2)C1 ZINC000630443469 422106265 /nfs/dbraw/zinc/10/62/65/422106265.db2.gz XRCBHAJSKDHICE-QMMMGPOBSA-N -1 1 303.311 1.017 20 0 DDADMM C[C@@H](CN(C)Cc1nc(-c2ccc3c(c2)OCO3)no1)C(=O)[O-] ZINC000574458491 422113952 /nfs/dbraw/zinc/11/39/52/422113952.db2.gz KXKRRPWSVMVOGM-VIFPVBQESA-N -1 1 319.317 1.618 20 0 DDADMM CCOCC[N@@H+](CCc1cn[nH]c1)Cc1ccc(C(=O)[O-])o1 ZINC000582246551 422139734 /nfs/dbraw/zinc/13/97/34/422139734.db2.gz FOSYBVPLOVNEEX-UHFFFAOYSA-N -1 1 307.350 1.782 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2ccncc2C)c1 ZINC000632142648 422118422 /nfs/dbraw/zinc/11/84/22/422118422.db2.gz JLZYLNLQBGVBJE-UHFFFAOYSA-N -1 1 322.386 1.625 20 0 DDADMM C[C@@H](CO)CCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632149646 422123174 /nfs/dbraw/zinc/12/31/74/422123174.db2.gz BZSUPENEQVWJOI-SSDOTTSWSA-N -1 1 315.317 1.115 20 0 DDADMM O=S(=O)([N-]Cc1noc(C2CC2)n1)c1ccc(C(F)F)o1 ZINC000632160206 422128976 /nfs/dbraw/zinc/12/89/76/422128976.db2.gz WVHOHJHNKLLFDG-UHFFFAOYSA-N -1 1 319.289 1.956 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1C[C@@H]2C[C@@H]2C1 ZINC000628505637 422202315 /nfs/dbraw/zinc/20/23/15/422202315.db2.gz LKKRAYBHNFGJOR-BETUJISGSA-N -1 1 310.357 1.161 20 0 DDADMM COC(=O)CCc1nc(CSc2ncc(C)c(=O)[n-]2)no1 ZINC000575003310 422236469 /nfs/dbraw/zinc/23/64/69/422236469.db2.gz KJJWGGFUOMZNLE-UHFFFAOYSA-N -1 1 310.335 1.272 20 0 DDADMM CC[C@@H]1[C@@H](CO)CCN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632390396 422299028 /nfs/dbraw/zinc/29/90/28/422299028.db2.gz AEUYAKHQZJCKAK-ZYHUDNBSSA-N -1 1 315.391 1.182 20 0 DDADMM Cc1nocc1C[N-]S(=O)(=O)c1cnccc1C(F)(F)F ZINC000632398051 422308081 /nfs/dbraw/zinc/30/80/81/422308081.db2.gz XUCVSWIXAKOWTF-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM CC[C@@](C)(C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)OC ZINC000632409019 422316448 /nfs/dbraw/zinc/31/64/48/422316448.db2.gz DFORKPIMDHQELS-VIFPVBQESA-N -1 1 315.317 1.522 20 0 DDADMM CC1(C)COC[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632420048 422328045 /nfs/dbraw/zinc/32/80/45/422328045.db2.gz VZKSLMMLNFPQRS-ZETCQYMHSA-N -1 1 313.301 1.132 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H]3C[C@H]2CS3)c1 ZINC000632442505 422347892 /nfs/dbraw/zinc/34/78/92/422347892.db2.gz FKUCDIXFCVFLEI-WPRPVWTQSA-N -1 1 301.389 1.279 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CS[C@H](C)C2)c1 ZINC000632455551 422359539 /nfs/dbraw/zinc/35/95/39/422359539.db2.gz GYIXYEHOTCVPON-RKDXNWHRSA-N -1 1 303.405 1.573 20 0 DDADMM C[C@](CO)(Cc1ccccc1F)NC(=O)c1ncccc1[O-] ZINC000634282211 422365185 /nfs/dbraw/zinc/36/51/85/422365185.db2.gz XYBPSORJIDTDGA-MRXNPFEDSA-N -1 1 304.321 1.650 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](OC)[C@@H](C)C2)c1 ZINC000632411312 422319246 /nfs/dbraw/zinc/31/92/46/422319246.db2.gz YLCMIZSGNWFMNP-GXFFZTMASA-N -1 1 315.391 1.446 20 0 DDADMM COc1cccc([C@H]2C[C@H](C)CN2C(=O)CCc2nn[n-]n2)c1 ZINC000632412465 422321542 /nfs/dbraw/zinc/32/15/42/422321542.db2.gz BXDYVTHISRLZRU-SMDDNHRTSA-N -1 1 315.377 1.751 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](C)[C@@](C)(CO)C2)c1 ZINC000632572069 422445638 /nfs/dbraw/zinc/44/56/38/422445638.db2.gz YVOSYPXNJLUCOR-QMTHXVAHSA-N -1 1 315.391 1.040 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cc(F)cc(OC(F)F)c1 ZINC000634474139 422445971 /nfs/dbraw/zinc/44/59/71/422445971.db2.gz VNOXOYYMHMAKPD-UHFFFAOYSA-N -1 1 301.228 1.512 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]1C1CCC1)c1c[nH]nc1C(F)(F)F ZINC000632493250 422390937 /nfs/dbraw/zinc/39/09/37/422390937.db2.gz OQDJUNAOCLRLEG-JGVFFNPUSA-N -1 1 309.313 1.895 20 0 DDADMM COCc1ccc([C@@H]2CCCN2C(=O)CCc2nn[n-]n2)o1 ZINC000632506210 422397077 /nfs/dbraw/zinc/39/70/77/422397077.db2.gz SZKJGYUMVYIKIO-NSHDSACASA-N -1 1 305.338 1.235 20 0 DDADMM CC(C)n1cc(C[N-]S(=O)(=O)c2c(F)cccc2F)nn1 ZINC000632529750 422413786 /nfs/dbraw/zinc/41/37/86/422413786.db2.gz XBDUWTXYVJXKRG-UHFFFAOYSA-N -1 1 316.333 1.616 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CO[C@H](C3CC3)C2)c1 ZINC000632600695 422465624 /nfs/dbraw/zinc/46/56/24/422465624.db2.gz RHNQMZYRYMOYKW-MFKMUULPSA-N -1 1 313.375 1.247 20 0 DDADMM CO[C@](C)(CNC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC000635988730 422543637 /nfs/dbraw/zinc/54/36/37/422543637.db2.gz SRVIAYWVLNRKCK-OAHLLOKOSA-N -1 1 303.366 1.200 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1(Cc2ccc(F)cc2)CC1 ZINC000635994718 422547343 /nfs/dbraw/zinc/54/73/43/422547343.db2.gz IIZCKCLMOCPPHP-UHFFFAOYSA-N -1 1 303.341 1.553 20 0 DDADMM CCN(C(=O)CCc1nn[n-]n1)[C@@H](C)Cc1ccc(OC)cc1 ZINC000631180401 422586864 /nfs/dbraw/zinc/58/68/64/422586864.db2.gz FHXMRPVPGTYDKN-LBPRGKRZSA-N -1 1 317.393 1.621 20 0 DDADMM CCN(C(=O)CCc1nn[n-]n1)[C@H](C)Cc1ccc(OC)cc1 ZINC000631180400 422587884 /nfs/dbraw/zinc/58/78/84/422587884.db2.gz FHXMRPVPGTYDKN-GFCCVEGCSA-N -1 1 317.393 1.621 20 0 DDADMM CCSc1nccnc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000578708735 422625672 /nfs/dbraw/zinc/62/56/72/422625672.db2.gz UCQDPFVMDFORRX-SECBINFHSA-N -1 1 319.394 1.122 20 0 DDADMM CCN1C[C@H](C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC1=O ZINC000629375196 422635581 /nfs/dbraw/zinc/63/55/81/422635581.db2.gz YDBCXEBMQUELKD-LLVKDONJSA-N -1 1 314.345 1.385 20 0 DDADMM Cc1cc(F)cc([C@]2(F)CCN(C(=O)CCc3nn[n-]n3)C2)c1 ZINC000632897951 422649196 /nfs/dbraw/zinc/64/91/96/422649196.db2.gz ILQMKZYQSFFIKP-HNNXBMFYSA-N -1 1 321.331 1.677 20 0 DDADMM O=C([C@H]1CCc2ccccc2C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000578899337 422670908 /nfs/dbraw/zinc/67/09/08/422670908.db2.gz PYYBIGKPGBHDDQ-GJZGRUSLSA-N -1 1 311.389 1.711 20 0 DDADMM COc1cc(C=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccc1F ZINC000183408240 263379604 /nfs/dbraw/zinc/37/96/04/263379604.db2.gz USTHUAASQJGUJA-BREXMAIKSA-N -1 1 319.340 1.623 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2c2ccnn2C)c([O-])c1 ZINC000652984927 423245990 /nfs/dbraw/zinc/24/59/90/423245990.db2.gz APAWXOLPMQKNEJ-GFCCVEGCSA-N -1 1 302.334 1.043 20 0 DDADMM C[C@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)n1ccnc1 ZINC000653017178 423261271 /nfs/dbraw/zinc/26/12/71/423261271.db2.gz QKJPWRINGMBIPV-RISCZKNCSA-N -1 1 311.349 1.253 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(C(=O)NC2CC2)cc1 ZINC000646410342 423480840 /nfs/dbraw/zinc/48/08/40/423480840.db2.gz DSXUWXQWOOKICJ-UHFFFAOYSA-N -1 1 300.318 1.568 20 0 DDADMM COC1(C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)CC1 ZINC000648824409 423480985 /nfs/dbraw/zinc/48/09/85/423480985.db2.gz SXEUZVSFJQOOCN-UHFFFAOYSA-N -1 1 303.358 1.993 20 0 DDADMM C[C@]1(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCc2ccccc21 ZINC000648838198 423490755 /nfs/dbraw/zinc/49/07/55/423490755.db2.gz YZSVYBPGEISSSZ-DYVFJYSZSA-N -1 1 311.389 1.810 20 0 DDADMM O=c1nc(NC[C@H]2CC[C@H](c3ccccc3)O2)nc2[nH][n-]cc1-2 ZINC000651465049 423551175 /nfs/dbraw/zinc/55/11/75/423551175.db2.gz YFTHAXVNNXFRET-DGCLKSJQSA-N -1 1 311.345 1.764 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)C[C@]1(C)CC1(F)F)C(F)(F)F ZINC000641401123 423610749 /nfs/dbraw/zinc/61/07/49/423610749.db2.gz XGPRFFVJFSXPEH-BQBZGAKWSA-N -1 1 311.272 1.264 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000653847590 423628755 /nfs/dbraw/zinc/62/87/55/423628755.db2.gz XEOFHGVKSQZEOO-NSHDSACASA-N -1 1 315.366 1.571 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2(C(F)F)CCCC2)o1 ZINC000644558970 423704968 /nfs/dbraw/zinc/70/49/68/423704968.db2.gz KEXKMOYZIVWEFA-UHFFFAOYSA-N -1 1 322.333 1.495 20 0 DDADMM C[C@@H]1CO[C@H](CO)CN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000649215703 423680261 /nfs/dbraw/zinc/68/02/61/423680261.db2.gz JYOQMEZPWHLHSW-SCZZXKLOSA-N -1 1 319.279 1.633 20 0 DDADMM CS(=O)(=O)N1CCC[C@H]1C(=O)Nc1ccc([O-])c(F)c1F ZINC000654308878 423759673 /nfs/dbraw/zinc/75/96/73/423759673.db2.gz ITGVXJVCRSUWPM-QMMMGPOBSA-N -1 1 320.317 1.033 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnc(CC2CC2)nc1)C(C)C ZINC000647132619 423770464 /nfs/dbraw/zinc/77/04/64/423770464.db2.gz JOWMOJCQORSSLI-ZDUSSCGKSA-N -1 1 313.423 1.842 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)C(F)F)cc1OC ZINC000641702949 423889346 /nfs/dbraw/zinc/88/93/46/423889346.db2.gz XRZPUTBCLAYGDL-ZCFIWIBFSA-N -1 1 313.297 1.775 20 0 DDADMM Cc1cn(Cc2ccccc2)nc1[N-]S(=O)(=O)N1CCCC1 ZINC000641697132 423877815 /nfs/dbraw/zinc/87/78/15/423877815.db2.gz VPWALLBVNYRVNC-UHFFFAOYSA-N -1 1 320.418 1.992 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)NCC3(C(=O)[O-])CCC3)C2)n[nH]1 ZINC000659423501 423827730 /nfs/dbraw/zinc/82/77/30/423827730.db2.gz RLOVHBAXUCUEHH-NSHDSACASA-N -1 1 321.381 1.257 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2cscn2)[nH]nc1C(F)(F)F ZINC000647270574 423937746 /nfs/dbraw/zinc/93/77/46/423937746.db2.gz ATEPKMRVKRJYQL-UHFFFAOYSA-N -1 1 312.298 1.994 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C[C@@H](C)n3ncnc32)sc1C ZINC000657069737 424075647 /nfs/dbraw/zinc/07/56/47/424075647.db2.gz XZZLAKIVVSFPJS-HZGVNTEJSA-N -1 1 313.408 1.336 20 0 DDADMM O=S(=O)([N-]C/C=C\CO)c1sccc1Br ZINC000657082611 424085887 /nfs/dbraw/zinc/08/58/87/424085887.db2.gz AEIQYRPAMFWEFW-UPHRSURJSA-N -1 1 312.210 1.337 20 0 DDADMM COC[C@@H](CC[N-]S(=O)(=O)c1cccc(F)c1F)OC ZINC000657054152 424048032 /nfs/dbraw/zinc/04/80/32/424048032.db2.gz UNUDGNHYTKUBJT-SECBINFHSA-N -1 1 309.334 1.295 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)CC1CC1)c1cc(F)c(F)cc1F ZINC000657182617 424193790 /nfs/dbraw/zinc/19/37/90/424193790.db2.gz KHGPGNMPYZWFGZ-SECBINFHSA-N -1 1 323.336 1.791 20 0 DDADMM Cc1ccc(S(=O)(=O)CCCN(C)[C@H](C)CC(=O)[O-])cc1 ZINC000659791260 424256363 /nfs/dbraw/zinc/25/63/63/424256363.db2.gz KJMNOLGDSNLOMH-CYBMUJFWSA-N -1 1 313.419 1.954 20 0 DDADMM C[C@H](O)[C@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CCO1 ZINC000640336890 424357518 /nfs/dbraw/zinc/35/75/18/424357518.db2.gz DABXDEWWPQYGFB-GXSJLCMTSA-N -1 1 307.375 1.332 20 0 DDADMM C[C@@H]1NC(=O)[C@H](C)N(C(=O)N=c2[n-]sc3ccccc32)[C@H]1C ZINC000640338436 424359913 /nfs/dbraw/zinc/35/99/13/424359913.db2.gz XLPANIBDMSWSNN-GUBZILKMSA-N -1 1 318.402 1.847 20 0 DDADMM CC1(C)CNC(=O)[C@@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000660010910 424456803 /nfs/dbraw/zinc/45/68/03/424456803.db2.gz CWNVTYBZWORGPQ-JTQLQIEISA-N -1 1 320.773 1.282 20 0 DDADMM COc1ccc([C@@H]2CCCN2[C@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662201386 424466846 /nfs/dbraw/zinc/46/68/46/424466846.db2.gz QZXCWITWRGSTAM-GJZGRUSLSA-N -1 1 318.373 1.518 20 0 DDADMM COCCN([C@H](C)c1ccccc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662204536 424468460 /nfs/dbraw/zinc/46/84/60/424468460.db2.gz UYWYSMAATABVOW-HIFRSBDPSA-N -1 1 320.389 1.382 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cccc(OC)c2F)o1 ZINC000655556808 424563609 /nfs/dbraw/zinc/56/36/09/424563609.db2.gz NUPNFOATUVGURN-UHFFFAOYSA-N -1 1 314.338 1.863 20 0 DDADMM CN(C)C(=O)c1ccc(CN[C@H](C(=O)[O-])c2ccccc2)[nH]1 ZINC000665340477 424787296 /nfs/dbraw/zinc/78/72/96/424787296.db2.gz DNCSSIHNOZVZBM-AWEZNQCLSA-N -1 1 301.346 1.632 20 0 DDADMM CC(C)(NC(=O)c1cc(C2CCCCC2)n[nH]1)c1nn[n-]n1 ZINC000340212404 271050806 /nfs/dbraw/zinc/05/08/06/271050806.db2.gz OODUJHBGPZDYLB-UHFFFAOYSA-N -1 1 303.370 1.636 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCCCS2)o1 ZINC000344506703 272043056 /nfs/dbraw/zinc/04/30/56/272043056.db2.gz DPPYUQYLIHLSRF-SECBINFHSA-N -1 1 318.420 1.203 20 0 DDADMM CC(C)(C)c1nc(=NC(=O)CCCCc2cn[nH]n2)s[n-]1 ZINC000345315375 272217326 /nfs/dbraw/zinc/21/73/26/272217326.db2.gz GWHPUCMLRPVHKR-UHFFFAOYSA-N -1 1 308.411 1.727 20 0 DDADMM Cc1n[n-]c(=NC(=O)NCc2nc3cccc(C)c3[nH]2)s1 ZINC000345874533 272354669 /nfs/dbraw/zinc/35/46/69/272354669.db2.gz LDFXIEGCHZSCCP-UHFFFAOYSA-N -1 1 302.363 1.775 20 0 DDADMM Cc1nc(S[C@H](C)c2nc(-c3nc[nH]n3)no2)[n-]c(=O)c1C ZINC000346713841 272528810 /nfs/dbraw/zinc/52/88/10/272528810.db2.gz HQFMLTHPTZEANR-SSDOTTSWSA-N -1 1 319.350 1.820 20 0 DDADMM CO[C@@]1(C)C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1(C)C ZINC000283271081 277320049 /nfs/dbraw/zinc/32/00/49/277320049.db2.gz DRNXRFFODBKEEX-BMIGLBTASA-N -1 1 320.418 1.951 20 0 DDADMM C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)c1ccc(Cl)cc1[O-] ZINC000287520473 278016320 /nfs/dbraw/zinc/01/63/20/278016320.db2.gz VFRIDWHMRSHXNX-YPMHNXCESA-N -1 1 324.808 1.981 20 0 DDADMM CC[C@@H]1CCC[C@H](CN2Cc3n[nH]c(=O)n3C[C@H]2C(=O)[O-])C1 ZINC000333580710 280304359 /nfs/dbraw/zinc/30/43/59/280304359.db2.gz NUSIUZIJBJZHJK-WOPDTQHZSA-N -1 1 308.382 1.469 20 0 DDADMM O=C(CCNC(=O)c1cc(F)ccc1[O-])NCc1ccccc1 ZINC000081958286 281012873 /nfs/dbraw/zinc/01/28/73/281012873.db2.gz WMMJTZVBBODCIC-UHFFFAOYSA-N -1 1 316.332 1.968 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCCO[C@H]1CC(C)C ZINC000329439909 294015064 /nfs/dbraw/zinc/01/50/64/294015064.db2.gz MTGDXTDOBPPHAY-MNOVXSKESA-N -1 1 317.411 1.268 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCCO[C@H]1CC(C)C ZINC000329439909 294015068 /nfs/dbraw/zinc/01/50/68/294015068.db2.gz MTGDXTDOBPPHAY-MNOVXSKESA-N -1 1 317.411 1.268 20 0 DDADMM CO[C@@](C)(C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C)C1CC1 ZINC000292063689 294057450 /nfs/dbraw/zinc/05/74/50/294057450.db2.gz ZNUSNSHFSGBGHX-MRXNPFEDSA-N -1 1 318.377 1.071 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@@H]1[C@H]1CCCC[C@@H]1O ZINC000408409984 304527272 /nfs/dbraw/zinc/52/72/72/304527272.db2.gz HMFSSKFRFAUAIM-BMFZPTHFSA-N -1 1 323.364 1.923 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC/C=C/c2ccccc2)o1 ZINC000172003700 298240762 /nfs/dbraw/zinc/24/07/62/298240762.db2.gz FTWMUIBDMGTUNH-VMPITWQZSA-N -1 1 320.370 1.631 20 0 DDADMM CCNC(=O)C[C@@H]1CCCCN1C(=O)c1ncc(C)cc1[O-] ZINC000356894809 298902278 /nfs/dbraw/zinc/90/22/78/298902278.db2.gz NSFZXGHVZXZNNX-LBPRGKRZSA-N -1 1 305.378 1.617 20 0 DDADMM Cc1csc(N2CCCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000362885774 300170150 /nfs/dbraw/zinc/17/01/50/300170150.db2.gz RXTOZBNZQYEELW-UHFFFAOYSA-N -1 1 318.402 1.905 20 0 DDADMM O=c1cc(CN2CCC[C@@]3(CCCCO3)C2)nc2cc[n-]n21 ZINC000369670170 301209290 /nfs/dbraw/zinc/20/92/90/301209290.db2.gz JTHMMFVKRIVRQM-INIZCTEOSA-N -1 1 302.378 1.558 20 0 DDADMM FC(F)(F)c1nsc(=NC[C@@H]2CN3CCCC[C@@H]3CO2)[n-]1 ZINC000376401641 302073355 /nfs/dbraw/zinc/07/33/55/302073355.db2.gz CGFQNUFRLSTTOQ-RKDXNWHRSA-N -1 1 322.356 1.644 20 0 DDADMM Cn1cc(-c2cc(NC(=O)c3ccc([O-])cc3F)n(C)n2)cn1 ZINC000377076669 302140055 /nfs/dbraw/zinc/14/00/55/302140055.db2.gz HGQWYVBNVBDTAQ-UHFFFAOYSA-N -1 1 315.308 1.918 20 0 DDADMM O=C([O-])[C@@]12CCC[C@@H]1CN(C(=O)c1ccc3[nH]nnc3c1)C2 ZINC000377221736 302156802 /nfs/dbraw/zinc/15/68/02/302156802.db2.gz KJUHQZYMQHAAOG-MEBBXXQBSA-N -1 1 300.318 1.285 20 0 DDADMM CN(C)[C@]1(C(=O)N2CC[C@@H](C(=O)[O-])C2)CCc2ccccc21 ZINC000378180904 302286772 /nfs/dbraw/zinc/28/67/72/302286772.db2.gz CBMPSKACTQVPPT-CXAGYDPISA-N -1 1 302.374 1.323 20 0 DDADMM O=S(=O)([N-][C@H]1CCO[C@H]1C1CC1)c1cc(F)c(F)cc1F ZINC000378323726 302312452 /nfs/dbraw/zinc/31/24/52/302312452.db2.gz NQYCQRXNVQVMSX-AAEUAGOBSA-N -1 1 321.320 1.950 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@]1(C)CCCCO1 ZINC000520984952 302869035 /nfs/dbraw/zinc/86/90/35/302869035.db2.gz MLRATDPEOGJVPT-INIZCTEOSA-N -1 1 318.377 1.627 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](n2cccn2)C(F)(F)F)c([O-])c1 ZINC000529625790 303142644 /nfs/dbraw/zinc/14/26/44/303142644.db2.gz ZIYPNEZGJNSQDM-SNVBAGLBSA-N -1 1 314.267 1.826 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@]2(C)CCCOC2)o1 ZINC000357420825 306883468 /nfs/dbraw/zinc/88/34/68/306883468.db2.gz OYBPULBENCOPHD-ZDUSSCGKSA-N -1 1 317.363 1.304 20 0 DDADMM O=C(CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)Nc1cccc(F)c1[O-] ZINC000530005619 303169925 /nfs/dbraw/zinc/16/99/25/303169925.db2.gz JOCJBIALLMMNRS-NXEZZACHSA-N -1 1 320.320 1.645 20 0 DDADMM CS(=O)(=O)C1(C(=O)Nc2cccc(F)c2[O-])CCOCC1 ZINC000530006938 303170184 /nfs/dbraw/zinc/17/01/84/303170184.db2.gz YFWQMXOJAKOCHY-UHFFFAOYSA-N -1 1 317.338 1.064 20 0 DDADMM COc1cncc(/C=C/CCN2C[C@@H](C)O[C@H](C(=O)[O-])C2)c1 ZINC000530170728 303179097 /nfs/dbraw/zinc/17/90/97/303179097.db2.gz UHXISVNKAMNHMW-MOEUOMFESA-N -1 1 306.362 1.667 20 0 DDADMM CCOC[C@H]1CN(CC[C@@H](C(=O)[O-])c2ccccc2)CCO1 ZINC000530173039 303179696 /nfs/dbraw/zinc/17/96/96/303179696.db2.gz SAHIPNKWZZSLOT-HZPDHXFCSA-N -1 1 307.390 1.982 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cnn(C2CC2)c1 ZINC000530262080 303189025 /nfs/dbraw/zinc/18/90/25/303189025.db2.gz KMMWWHNXXRCCKT-ZDUSSCGKSA-N -1 1 309.333 1.251 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1Cc2c1cccc2Cl ZINC000530267951 303189879 /nfs/dbraw/zinc/18/98/79/303189879.db2.gz LZRZDLINWWWTMF-SNVBAGLBSA-N -1 1 318.764 1.431 20 0 DDADMM O=C(CNC(=O)c1ccc(O)cc1)Nc1ccc(F)cc1[O-] ZINC000531756408 303255385 /nfs/dbraw/zinc/25/53/85/303255385.db2.gz DZNZUVPPCMPFNA-UHFFFAOYSA-N -1 1 304.277 1.605 20 0 DDADMM Cc1nc(-c2ccc(N[C@@H]3CC[S@](=O)C3)nc2)[n-]c(=O)c1C ZINC000533228995 303314014 /nfs/dbraw/zinc/31/40/14/303314014.db2.gz ITZNRUQVZARCIS-IPQOISQHSA-N -1 1 318.402 1.794 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)Cc2c(F)cccc2F)o1 ZINC000535040035 303341532 /nfs/dbraw/zinc/34/15/32/303341532.db2.gz VPJXTGZCYAHJFO-ZETCQYMHSA-N -1 1 317.317 1.837 20 0 DDADMM O=C(c1ccnc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000539326910 303394810 /nfs/dbraw/zinc/39/48/10/303394810.db2.gz STCFWHFTXQVVFA-NSHDSACASA-N -1 1 308.345 1.768 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cccc3c2CCO3)co1 ZINC000357704460 306907916 /nfs/dbraw/zinc/90/79/16/306907916.db2.gz DKAHRDJJBLHJIK-UHFFFAOYSA-N -1 1 322.342 1.375 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCO[C@@H](C3CC3)C2)sc1C ZINC000545909737 303503579 /nfs/dbraw/zinc/50/35/79/303503579.db2.gz VGERDQNMVVSEFY-VXGBXAGGSA-N -1 1 316.448 1.996 20 0 DDADMM O=C([O-])c1cc(NS(=O)(=O)c2cccnc2)cc([N+](=O)[O-])c1 ZINC000547589488 303541869 /nfs/dbraw/zinc/54/18/69/303541869.db2.gz RRJYQXVDHPVYJT-UHFFFAOYSA-N -1 1 323.286 1.489 20 0 DDADMM COc1c(C)cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1C ZINC000369435989 307120225 /nfs/dbraw/zinc/12/02/25/307120225.db2.gz HTQDQMYPWLKHBY-GFCCVEGCSA-N -1 1 317.349 1.039 20 0 DDADMM CC(C)(C)SCCCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000372227245 307165550 /nfs/dbraw/zinc/16/55/50/307165550.db2.gz CKAINNJKBZYDPZ-JTQLQIEISA-N -1 1 313.427 1.412 20 0 DDADMM Cc1ccc(C[C@H](C)C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000378508542 307290705 /nfs/dbraw/zinc/29/07/05/307290705.db2.gz QHIJURDTDOGDJP-GXTWGEPZSA-N -1 1 315.377 1.287 20 0 DDADMM COC(=O)Nc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000496176895 307330096 /nfs/dbraw/zinc/33/00/96/307330096.db2.gz FSQOEWOSKLCVCY-SECBINFHSA-N -1 1 318.337 1.254 20 0 DDADMM COCCO[N-]C(=O)[C@]1(C)CCCN(C(=O)OC(C)(C)C)C1 ZINC000497089716 307381132 /nfs/dbraw/zinc/38/11/32/307381132.db2.gz BRGOJNRHMJSHQA-OAHLLOKOSA-N -1 1 316.398 1.718 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC[C@@H]1CCOC1 ZINC000542297254 307698581 /nfs/dbraw/zinc/69/85/81/307698581.db2.gz XZWNJOICNYZHFJ-GFCCVEGCSA-N -1 1 318.377 1.137 20 0 DDADMM Cc1sc(N2CCN(CC(C)(C)O)[C@@H](C)C2)nc1C(=O)[O-] ZINC000570686943 308176915 /nfs/dbraw/zinc/17/69/15/308176915.db2.gz PTDBSLJZFZRVCN-VIFPVBQESA-N -1 1 313.423 1.431 20 0 DDADMM Cc1cn2[nH]c(=N[C@@H](C)c3ncc(C(=O)[O-])s3)sc2n1 ZINC000576044232 308286297 /nfs/dbraw/zinc/28/62/97/308286297.db2.gz MKUZBDUFGMTPQD-LURJTMIESA-N -1 1 309.376 1.849 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000576346563 308308317 /nfs/dbraw/zinc/30/83/17/308308317.db2.gz MNQQKQIEWFLLDJ-UHFFFAOYSA-N -1 1 303.318 1.581 20 0 DDADMM CCOC(=O)c1cn(CCNC(=O)c2c([O-])cccc2F)cn1 ZINC000577119667 308364161 /nfs/dbraw/zinc/36/41/61/308364161.db2.gz DVCFHMUKLWNPSX-UHFFFAOYSA-N -1 1 321.308 1.335 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1noc2ccccc12 ZINC000577146444 308366122 /nfs/dbraw/zinc/36/61/22/308366122.db2.gz BXUNHNANTHPVFL-UHFFFAOYSA-N -1 1 308.319 1.015 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1noc2ccccc21 ZINC000577146444 308366124 /nfs/dbraw/zinc/36/61/24/308366124.db2.gz BXUNHNANTHPVFL-UHFFFAOYSA-N -1 1 308.319 1.015 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(Cc2nc3ccccc3[nH]2)C1 ZINC000577185644 308369104 /nfs/dbraw/zinc/36/91/04/308369104.db2.gz UQHUWBZIRGZNTL-INIZCTEOSA-N -1 1 303.362 1.876 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2CCCC[C@H]2C2CC2)n1 ZINC000577398506 308385875 /nfs/dbraw/zinc/38/58/75/308385875.db2.gz CDFHGHJCZWVDJA-VHSXEESVSA-N -1 1 312.395 1.363 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2CCCC[C@H]2C2CC2)[n-]1 ZINC000577398506 308385876 /nfs/dbraw/zinc/38/58/76/308385876.db2.gz CDFHGHJCZWVDJA-VHSXEESVSA-N -1 1 312.395 1.363 20 0 DDADMM NC(=O)CCCC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000577697938 308407616 /nfs/dbraw/zinc/40/76/16/308407616.db2.gz MRIGURYRORQNQA-UHFFFAOYSA-N -1 1 314.338 1.506 20 0 DDADMM O=C([O-])C[C@H]1CCCN(C(=O)NCc2ccc3cncn3c2)C1 ZINC000580295968 308593378 /nfs/dbraw/zinc/59/33/78/308593378.db2.gz WGHOJCXBEKCIIW-GFCCVEGCSA-N -1 1 316.361 1.731 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ccc(C)cc2C)n1 ZINC000583630997 336007880 /nfs/dbraw/zinc/00/78/80/336007880.db2.gz DFZACDUCDKJBBY-UHFFFAOYSA-N -1 1 308.359 1.787 20 0 DDADMM O=C([C@H]1CCc2n[nH]cc2C1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000582841221 337207941 /nfs/dbraw/zinc/20/79/41/337207941.db2.gz GONOLKWJJRRMTM-VHSXEESVSA-N -1 1 317.349 1.009 20 0 DDADMM CCc1nn(C)cc1[N-]S(=O)(=O)C[C@@H](OC)C1CCCC1 ZINC000656626681 484249767 /nfs/dbraw/zinc/24/97/67/484249767.db2.gz NWUILASKEDDVDS-CQSZACIVSA-N -1 1 315.439 1.929 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cncc(F)c1)[C@H]1CCOC1 ZINC000656736529 484308180 /nfs/dbraw/zinc/30/81/80/484308180.db2.gz BXQVJNZROWSRLX-CABZTGNLSA-N -1 1 304.343 1.014 20 0 DDADMM CC[C@H]1CN(C(=O)C2(C(=O)[O-])CC2)CCN1Cc1ccccc1 ZINC000572217968 484343634 /nfs/dbraw/zinc/34/36/34/484343634.db2.gz XRPFSTONDANZMD-HNNXBMFYSA-N -1 1 316.401 1.974 20 0 DDADMM COCCCNC(=O)[C@H](C)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000321022363 484404986 /nfs/dbraw/zinc/40/49/86/484404986.db2.gz PJUXZUXHHSEAJS-SWLSCSKDSA-N -1 1 320.389 1.212 20 0 DDADMM CC(C)(C(=O)[O-])n1cc(Nc2nnc(-c3ccon3)s2)cn1 ZINC000662896014 484527857 /nfs/dbraw/zinc/52/78/57/484527857.db2.gz QGLMFFDBLCYJRZ-UHFFFAOYSA-N -1 1 320.334 1.953 20 0 DDADMM O=C([O-])C1(C(=O)N2CCN(CC3CC3)CC2)CCCCCC1 ZINC000663110928 484676890 /nfs/dbraw/zinc/67/68/90/484676890.db2.gz IZNWCPXZBPNOFD-UHFFFAOYSA-N -1 1 308.422 1.966 20 0 DDADMM CCN1CC[C@H](NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1=O ZINC000670170737 484768834 /nfs/dbraw/zinc/76/88/34/484768834.db2.gz WBZPWIIIODXWPQ-JTQLQIEISA-N -1 1 320.393 1.139 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000663270616 484777331 /nfs/dbraw/zinc/77/73/31/484777331.db2.gz CRGTVMIUACDAPT-OAHLLOKOSA-N -1 1 319.405 1.767 20 0 DDADMM O=C(c1cc(C2CC2)on1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670383638 484800994 /nfs/dbraw/zinc/80/09/94/484800994.db2.gz FNNUWKLCLRQEFY-SECBINFHSA-N -1 1 304.306 1.660 20 0 DDADMM Cc1cc(F)ccc1C[C@H](C)Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000664173163 484851162 /nfs/dbraw/zinc/85/11/62/484851162.db2.gz IDXGJGSRTPECAP-VIFPVBQESA-N -1 1 301.325 1.923 20 0 DDADMM O=C1COc2ccc([N-]S(=O)(=O)c3ccsc3)cc2N1 ZINC000671657783 485160675 /nfs/dbraw/zinc/16/06/75/485160675.db2.gz VNPPVVSCNISNDC-UHFFFAOYSA-N -1 1 310.356 1.880 20 0 DDADMM CC(=O)NCC[N@@H+](Cc1ccccc1C1CC1)[C@H](C)C(=O)[O-] ZINC000666584171 485282193 /nfs/dbraw/zinc/28/21/93/485282193.db2.gz JSOVRZUSHUTLKG-GFCCVEGCSA-N -1 1 304.390 1.975 20 0 DDADMM C[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@H](C(F)(F)F)O1 ZINC000672952500 485372194 /nfs/dbraw/zinc/37/21/94/485372194.db2.gz QRMABFNWWMYZNH-KCJUWKMLSA-N -1 1 317.263 1.753 20 0 DDADMM COC[C@@](C)(CO)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000682363743 485570252 /nfs/dbraw/zinc/57/02/52/485570252.db2.gz VYKOGPLHRAYXBM-CYBMUJFWSA-N -1 1 303.742 1.181 20 0 DDADMM O=C(CCOc1cccc(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000678754568 485673766 /nfs/dbraw/zinc/67/37/66/485673766.db2.gz JWLOAELCTCDFPA-LLVKDONJSA-N -1 1 319.340 1.514 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(-n3cncn3)cn2)c([O-])c1 ZINC000682968226 485842922 /nfs/dbraw/zinc/84/29/22/485842922.db2.gz HLZHPTBQXHEGFO-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM CCc1noc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)n1 ZINC000683686048 486080555 /nfs/dbraw/zinc/08/05/55/486080555.db2.gz HUJBCORGZXUCSJ-UHFFFAOYSA-N -1 1 319.346 1.391 20 0 DDADMM CCc1noc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)n1 ZINC000683686048 486080559 /nfs/dbraw/zinc/08/05/59/486080559.db2.gz HUJBCORGZXUCSJ-UHFFFAOYSA-N -1 1 319.346 1.391 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCCC(=O)N1 ZINC000684082064 486240846 /nfs/dbraw/zinc/24/08/46/486240846.db2.gz LLHIPIHDUXXIND-JTQLQIEISA-N -1 1 320.393 1.187 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cc([C@H]3CCCOC3)nn2C)c1[O-] ZINC000684157291 486265660 /nfs/dbraw/zinc/26/56/60/486265660.db2.gz DHGARUPLBHERQN-VIFPVBQESA-N -1 1 305.338 1.304 20 0 DDADMM COC[C@](C)(CO)NC(=O)c1cc(Br)ccc1[O-] ZINC000681073268 486338718 /nfs/dbraw/zinc/33/87/18/486338718.db2.gz MVMPPJPKCBRGAR-LBPRGKRZSA-N -1 1 318.167 1.282 20 0 DDADMM CCC(O)(CC)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676852424 486346566 /nfs/dbraw/zinc/34/65/66/486346566.db2.gz SLDNTWWVFUHCHD-UHFFFAOYSA-N -1 1 323.361 1.803 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1cnn(-c2ccncc2)c1 ZINC000681130794 486356370 /nfs/dbraw/zinc/35/63/70/486356370.db2.gz ZYQQBDXHOOGHLY-UHFFFAOYSA-N -1 1 318.362 1.285 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC2(CC(F)C2)C1 ZINC000677103738 486393009 /nfs/dbraw/zinc/39/30/09/486393009.db2.gz YLTLSZHSKHOOFS-UHFFFAOYSA-N -1 1 318.352 1.555 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccn1Cc1ccccc1)c1nn[n-]n1 ZINC000681353967 486411341 /nfs/dbraw/zinc/41/13/41/486411341.db2.gz ZIRUQUKGZFOYLU-CYBMUJFWSA-N -1 1 324.388 1.925 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2ccc(Cl)o2)co1 ZINC000677299004 486434530 /nfs/dbraw/zinc/43/45/30/486434530.db2.gz HQUQOHVVWWQSNJ-UHFFFAOYSA-N -1 1 318.738 1.364 20 0 DDADMM CCOc1cc(C(=O)NCCCc2nnc[nH]2)cc(Cl)c1[O-] ZINC000684869556 486448806 /nfs/dbraw/zinc/44/88/06/486448806.db2.gz PXZXKZJLISBFEJ-UHFFFAOYSA-N -1 1 324.768 1.925 20 0 DDADMM C[C@H]1C(=O)NCCN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684872788 486449774 /nfs/dbraw/zinc/44/97/74/486449774.db2.gz OTGUCRFLRPCFDB-ZETCQYMHSA-N -1 1 302.252 1.372 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C(=O)CC(C)(C)C)CC2)c([O-])c1 ZINC000681711382 486498781 /nfs/dbraw/zinc/49/87/81/486498781.db2.gz SXQAALRHAYJYFW-UHFFFAOYSA-N -1 1 319.405 1.816 20 0 DDADMM C[C@@H](O)[C@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CCO1 ZINC000412925756 533856214 /nfs/dbraw/zinc/85/62/14/533856214.db2.gz OYJKQHKGBPGQFK-PRHODGIISA-N -1 1 319.279 1.633 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C[C@@H](C)n2cccn2)c1 ZINC000424833008 534231019 /nfs/dbraw/zinc/23/10/19/534231019.db2.gz VBNOYWJLKOVKGZ-SNVBAGLBSA-N -1 1 303.318 1.965 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)[C@@H](OC)C2CC2)o1 ZINC000451995381 534241595 /nfs/dbraw/zinc/24/15/95/534241595.db2.gz QZPDNEMUPSNDSX-PRHODGIISA-N -1 1 317.363 1.158 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cccc(N)c2)o1 ZINC000158292268 522157259 /nfs/dbraw/zinc/15/72/59/522157259.db2.gz YLEAFTYUQUASFD-UHFFFAOYSA-N -1 1 310.331 1.127 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCO[C@H](CF)C1 ZINC000412439840 534691902 /nfs/dbraw/zinc/69/19/02/534691902.db2.gz VICPKZYHQSQZOT-SECBINFHSA-N -1 1 318.142 1.965 20 0 DDADMM O=C(N=c1ccn(CCC(F)(F)F)[nH]1)c1cncc([O-])c1 ZINC000425102689 534779910 /nfs/dbraw/zinc/77/99/10/534779910.db2.gz QFKAYJIMFUZGBA-UHFFFAOYSA-N -1 1 300.240 1.610 20 0 DDADMM Cc1ccccc1-n1cc(CN[C@]2(C(=O)[O-])CCSC2)nn1 ZINC000417573459 534793867 /nfs/dbraw/zinc/79/38/67/534793867.db2.gz HRYJHLCUYBHWFL-OAHLLOKOSA-N -1 1 318.402 1.626 20 0 DDADMM CC(C)(C)OC(=O)[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000331329641 526526424 /nfs/dbraw/zinc/52/64/24/526526424.db2.gz HCGZXMJSAOFHQV-MRXNPFEDSA-N -1 1 306.362 1.981 20 0 DDADMM CC(=O)c1c[nH]c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000331880315 527178596 /nfs/dbraw/zinc/17/85/96/527178596.db2.gz UYNBCRCPZPJKQA-SECBINFHSA-N -1 1 304.306 1.326 20 0 DDADMM CC1(C)NC(=O)CC[C@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451518978 527784448 /nfs/dbraw/zinc/78/44/48/527784448.db2.gz UHUNJJSRXGJOHC-MRVPVSSYSA-N -1 1 322.839 1.737 20 0 DDADMM CCC[C@H](NC(=O)c1coc(S(=O)(=O)[N-]C)c1)C1CCC1 ZINC000458333396 528206411 /nfs/dbraw/zinc/20/64/11/528206411.db2.gz OVSRFGDCXANMRN-LBPRGKRZSA-N -1 1 314.407 1.886 20 0 DDADMM CC(C)n1cc(C(=O)[N-]c2nnc(C(F)(F)F)s2)nn1 ZINC000432424257 528558302 /nfs/dbraw/zinc/55/83/02/528558302.db2.gz IQQMGKRJEBTXMI-UHFFFAOYSA-N -1 1 306.273 1.982 20 0 DDADMM CCC(C)(C)OCC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000457567574 528578281 /nfs/dbraw/zinc/57/82/81/528578281.db2.gz FCWDIZIBGXODJM-UHFFFAOYSA-N -1 1 320.393 1.873 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cccc(NC(C)=O)c1)c1nn[n-]n1 ZINC000424709080 528974765 /nfs/dbraw/zinc/97/47/65/528974765.db2.gz NIQVRWTUNSLXPU-CYBMUJFWSA-N -1 1 316.365 1.358 20 0 DDADMM Cn1ccnc1CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000737616128 598965956 /nfs/dbraw/zinc/96/59/56/598965956.db2.gz OCLRBLGIASIQOL-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM Cn1ccnc1CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000737616128 598965957 /nfs/dbraw/zinc/96/59/57/598965957.db2.gz OCLRBLGIASIQOL-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM OCC[C@@H](Nc1cccc(-c2nnn[n-]2)n1)c1cccs1 ZINC000738392443 598977606 /nfs/dbraw/zinc/97/76/06/598977606.db2.gz DJAWXPQEVOYPIE-SECBINFHSA-N -1 1 302.363 1.859 20 0 DDADMM OCC[C@@H](Nc1cccc(-c2nn[n-]n2)n1)c1cccs1 ZINC000738392443 598977608 /nfs/dbraw/zinc/97/76/08/598977608.db2.gz DJAWXPQEVOYPIE-SECBINFHSA-N -1 1 302.363 1.859 20 0 DDADMM COc1cccc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737132324 598980249 /nfs/dbraw/zinc/98/02/49/598980249.db2.gz JWWGBLSCYQYWSJ-UHFFFAOYSA-N -1 1 311.301 1.627 20 0 DDADMM COc1cccc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737132324 598980251 /nfs/dbraw/zinc/98/02/51/598980251.db2.gz JWWGBLSCYQYWSJ-UHFFFAOYSA-N -1 1 311.301 1.627 20 0 DDADMM Cc1ncc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000737491325 598996167 /nfs/dbraw/zinc/99/61/67/598996167.db2.gz HXNMLCWVPAJIIK-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM Cc1ncc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000737491325 598996169 /nfs/dbraw/zinc/99/61/69/598996169.db2.gz HXNMLCWVPAJIIK-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM Cc1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c2n[nH]cc21 ZINC000737360450 599026864 /nfs/dbraw/zinc/02/68/64/599026864.db2.gz NLGYCQCMBSPZCG-UHFFFAOYSA-N -1 1 320.316 1.699 20 0 DDADMM Cc1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c2n[nH]cc21 ZINC000737360450 599026866 /nfs/dbraw/zinc/02/68/66/599026866.db2.gz NLGYCQCMBSPZCG-UHFFFAOYSA-N -1 1 320.316 1.699 20 0 DDADMM Fc1ccc2[nH]cc(CCNc3nccnc3-c3nnn[n-]3)c2c1 ZINC000737656073 599205985 /nfs/dbraw/zinc/20/59/85/599205985.db2.gz HQNWCRALRLVGGY-UHFFFAOYSA-N -1 1 324.323 1.932 20 0 DDADMM Fc1ccc2[nH]cc(CCNc3nccnc3-c3nn[n-]n3)c2c1 ZINC000737656073 599205986 /nfs/dbraw/zinc/20/59/86/599205986.db2.gz HQNWCRALRLVGGY-UHFFFAOYSA-N -1 1 324.323 1.932 20 0 DDADMM c1ccc2c(c1)CC[C@@H](CNc1nccnc1-c1nnn[n-]1)C2 ZINC000738437458 599232485 /nfs/dbraw/zinc/23/24/85/599232485.db2.gz QESFWNCMOAXAMH-LLVKDONJSA-N -1 1 307.361 1.874 20 0 DDADMM c1ccc2c(c1)CC[C@@H](CNc1nccnc1-c1nn[n-]n1)C2 ZINC000738437458 599232486 /nfs/dbraw/zinc/23/24/86/599232486.db2.gz QESFWNCMOAXAMH-LLVKDONJSA-N -1 1 307.361 1.874 20 0 DDADMM O=C(N[C@@H]1CC[C@@H]2CCC[C@H]2C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738025323 599227489 /nfs/dbraw/zinc/22/74/89/599227489.db2.gz MNIDNIIDQXXSCG-GMXVVIOVSA-N -1 1 312.377 1.960 20 0 DDADMM O=C(N[C@@H]1CC[C@@H]2CCC[C@H]2C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738025323 599227492 /nfs/dbraw/zinc/22/74/92/599227492.db2.gz MNIDNIIDQXXSCG-GMXVVIOVSA-N -1 1 312.377 1.960 20 0 DDADMM CN1CCN(c2ccccc2NC(=O)NCCCC(=O)[O-])CC1 ZINC000818482380 597266534 /nfs/dbraw/zinc/26/65/34/597266534.db2.gz RIAPHLFREBUXPX-UHFFFAOYSA-N -1 1 320.393 1.425 20 0 DDADMM CCN(CCC(=O)[O-])CC(=O)Nc1ccc2c(c1)OCCO2 ZINC000262325673 597278065 /nfs/dbraw/zinc/27/80/65/597278065.db2.gz GBBKYGNLZVJHCX-UHFFFAOYSA-N -1 1 308.334 1.193 20 0 DDADMM CN(CCO)c1ccc(NCc2ccc(-c3nnn[n-]3)o2)cc1 ZINC000821602879 607313897 /nfs/dbraw/zinc/31/38/97/607313897.db2.gz ZCNNFKLPSJCFRF-UHFFFAOYSA-N -1 1 314.349 1.500 20 0 DDADMM CN(CCO)c1ccc(NCc2ccc(-c3nn[n-]n3)o2)cc1 ZINC000821602879 607313898 /nfs/dbraw/zinc/31/38/98/607313898.db2.gz ZCNNFKLPSJCFRF-UHFFFAOYSA-N -1 1 314.349 1.500 20 0 DDADMM C[C@@H](CC(=O)[O-])N[C@H](C(N)=O)c1cccc(Br)c1 ZINC000820071735 597513599 /nfs/dbraw/zinc/51/35/99/597513599.db2.gz GEFSFZOPKPIJPU-CPCISQLKSA-N -1 1 315.167 1.428 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1ccccc1OC(F)F ZINC000738372389 599269862 /nfs/dbraw/zinc/26/98/62/599269862.db2.gz PFUPFXKQVZSMJY-UHFFFAOYSA-N -1 1 319.271 1.678 20 0 DDADMM C[S@@](=O)c1ccc(NCc2ccc(-c3nnn[n-]3)o2)cc1F ZINC000821942786 607318753 /nfs/dbraw/zinc/31/87/53/607318753.db2.gz RFDIUDMTJFBCTD-JOCHJYFZSA-N -1 1 321.337 1.948 20 0 DDADMM C[S@@](=O)c1ccc(NCc2ccc(-c3nn[n-]n3)o2)cc1F ZINC000821942786 607318754 /nfs/dbraw/zinc/31/87/54/607318754.db2.gz RFDIUDMTJFBCTD-JOCHJYFZSA-N -1 1 321.337 1.948 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC[C@@H](c2ccc(F)cc2)CC1 ZINC000821530245 597811250 /nfs/dbraw/zinc/81/12/50/597811250.db2.gz BYTWIJAEVIQVLU-GFCCVEGCSA-N -1 1 308.353 1.596 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN[C@@H](C(=O)[O-])C(C)C)cc1 ZINC000819788333 598188764 /nfs/dbraw/zinc/18/87/64/598188764.db2.gz BDGZCSBWAADYNF-CQSZACIVSA-N -1 1 307.350 1.282 20 0 DDADMM CC(C)[C@@H](NCC(=O)NC(=O)N[C@@H]1CCCC[C@H]1C)C(=O)[O-] ZINC000820236017 598188982 /nfs/dbraw/zinc/18/89/82/598188982.db2.gz ZTRZUPQYOZMCOH-NQBHXWOUSA-N -1 1 313.398 1.090 20 0 DDADMM COc1ccc(CNc2nccnc2-c2nnn[n-]2)cc1OC ZINC000737095629 598336790 /nfs/dbraw/zinc/33/67/90/598336790.db2.gz LBWLURLNKJMQJV-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM COc1ccc(CNc2nccnc2-c2nn[n-]n2)cc1OC ZINC000737095629 598336792 /nfs/dbraw/zinc/33/67/92/598336792.db2.gz LBWLURLNKJMQJV-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(NCc2ccc(N3CCCC3)nc2)n1 ZINC000738446081 598343459 /nfs/dbraw/zinc/34/34/59/598343459.db2.gz RQMONYVDBIWVKP-UHFFFAOYSA-N -1 1 323.364 1.264 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(NCc2ccc(N3CCCC3)nc2)n1 ZINC000738446081 598343460 /nfs/dbraw/zinc/34/34/60/598343460.db2.gz RQMONYVDBIWVKP-UHFFFAOYSA-N -1 1 323.364 1.264 20 0 DDADMM Cc1ccccc1[C@H]1CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC000737422210 598351983 /nfs/dbraw/zinc/35/19/83/598351983.db2.gz LZDCTJZYOXXVGC-LBPRGKRZSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1ccccc1[C@H]1CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC000737422210 598351985 /nfs/dbraw/zinc/35/19/85/598351985.db2.gz LZDCTJZYOXXVGC-LBPRGKRZSA-N -1 1 307.361 1.959 20 0 DDADMM CCOc1ccc(CNc2nccnc2-c2nnn[n-]2)cc1F ZINC000736644673 598362544 /nfs/dbraw/zinc/36/25/44/598362544.db2.gz OJTHEPVMFFYFGO-UHFFFAOYSA-N -1 1 315.312 1.807 20 0 DDADMM CCOc1ccc(CNc2nccnc2-c2nn[n-]n2)cc1F ZINC000736644673 598362546 /nfs/dbraw/zinc/36/25/46/598362546.db2.gz OJTHEPVMFFYFGO-UHFFFAOYSA-N -1 1 315.312 1.807 20 0 DDADMM C[S@@](=O)CCCNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000821942679 599547458 /nfs/dbraw/zinc/54/74/58/599547458.db2.gz PIYAYSKMUUTFME-JOCHJYFZSA-N -1 1 316.390 1.595 20 0 DDADMM C[S@@](=O)CCCNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821942679 599547460 /nfs/dbraw/zinc/54/74/60/599547460.db2.gz PIYAYSKMUUTFME-JOCHJYFZSA-N -1 1 316.390 1.595 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])c1cccc(-c2nn[nH]n2)c1 ZINC000820403096 599552893 /nfs/dbraw/zinc/55/28/93/599552893.db2.gz HMUZCNKWNHILMW-SECBINFHSA-N -1 1 310.317 1.458 20 0 DDADMM O[C@H]1CCC[C@@H](CNc2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000823697027 599574860 /nfs/dbraw/zinc/57/48/60/599574860.db2.gz IBWXOTZTDOLWSP-BDAKNGLRSA-N -1 1 308.773 1.878 20 0 DDADMM O[C@H]1CCC[C@@H](CNc2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000823697027 599574863 /nfs/dbraw/zinc/57/48/63/599574863.db2.gz IBWXOTZTDOLWSP-BDAKNGLRSA-N -1 1 308.773 1.878 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN(C)C[C@@H]1CCCOC1 ZINC000738534232 599764106 /nfs/dbraw/zinc/76/41/06/599764106.db2.gz XXHFFIHCZDQACR-ZDUSSCGKSA-N -1 1 320.389 1.990 20 0 DDADMM CC(C)(NCC(=O)NC[C@H]1CCN(c2ccccc2)C1)C(=O)[O-] ZINC000736339800 599926937 /nfs/dbraw/zinc/92/69/37/599926937.db2.gz WVHZPRNWOVPXPX-CYBMUJFWSA-N -1 1 319.405 1.082 20 0 DDADMM C[C@H](CNC(=O)[C@H]1C[C@H]1C(=O)[O-])N1CCc2ccccc2C1 ZINC000736592645 600069367 /nfs/dbraw/zinc/06/93/67/600069367.db2.gz GYCQHKHXKDSDRU-BYCMXARLSA-N -1 1 302.374 1.270 20 0 DDADMM CN1CCN(C)[C@H](CNC(=O)Nc2cccc(NC(=O)[O-])c2)C1 ZINC000737526432 600175479 /nfs/dbraw/zinc/17/54/79/600175479.db2.gz OXUXROJOCAKZPX-CYBMUJFWSA-N -1 1 321.381 1.144 20 0 DDADMM COC[C@@H]1CCCN(CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC000737755578 600223590 /nfs/dbraw/zinc/22/35/90/600223590.db2.gz YSUNDQIUFDVUSX-CYBMUJFWSA-N -1 1 320.389 1.706 20 0 DDADMM O=C([O-])CCCc1nc(CN2CCN(CC3CC3)CC2)no1 ZINC000739697038 600292126 /nfs/dbraw/zinc/29/21/26/600292126.db2.gz FRJUHHXLISITAQ-UHFFFAOYSA-N -1 1 308.382 1.005 20 0 DDADMM O=C([O-])[C@H](c1ccccc1)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000738523377 600405120 /nfs/dbraw/zinc/40/51/20/600405120.db2.gz BEBWNISYNSESEN-OAGGEKHMSA-N -1 1 304.390 1.343 20 0 DDADMM CC(C)N(CCC(=O)[O-])CC(=O)N1CC(=O)Nc2ccccc21 ZINC000736466215 600445701 /nfs/dbraw/zinc/44/57/01/600445701.db2.gz RYACXIBWFBLIHA-UHFFFAOYSA-N -1 1 319.361 1.157 20 0 DDADMM Cc1cncc(C=CC(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000822400121 607445079 /nfs/dbraw/zinc/44/50/79/607445079.db2.gz XCFJTURDNHEKTD-IHWYPQMZSA-N -1 1 322.328 1.722 20 0 DDADMM Cc1cncc(C=CC(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000822400121 607445081 /nfs/dbraw/zinc/44/50/81/607445081.db2.gz XCFJTURDNHEKTD-IHWYPQMZSA-N -1 1 322.328 1.722 20 0 DDADMM COc1cccc(CNC(=O)CNC2(C(=O)[O-])CCCCC2)c1 ZINC000738105111 600460430 /nfs/dbraw/zinc/46/04/30/600460430.db2.gz SKOFLUXREKVQOK-UHFFFAOYSA-N -1 1 320.389 1.689 20 0 DDADMM Cc1ccc(C[C@@H]2CCCN2Cn2cc(C(=O)[O-])nn2)cc1 ZINC000738588379 600637092 /nfs/dbraw/zinc/63/70/92/600637092.db2.gz DQNYBGNELQIFIO-AWEZNQCLSA-N -1 1 300.362 1.949 20 0 DDADMM CCOc1ccccc1N1CCN(C[C@@](C)(O)C(=O)[O-])CC1 ZINC000737219554 600761017 /nfs/dbraw/zinc/76/10/17/600761017.db2.gz YDPSBMOIQVOIKE-MRXNPFEDSA-N -1 1 308.378 1.043 20 0 DDADMM C[C@H](O)CCN(C)[C@H]1CCN(c2sccc2C(=O)[O-])C1=O ZINC000827796175 600799001 /nfs/dbraw/zinc/79/90/01/600799001.db2.gz MQZMNYOVKHTXFU-ONGXEEELSA-N -1 1 312.391 1.254 20 0 DDADMM COCCCN(C)[C@@H]1CCN(c2sccc2C(=O)[O-])C1=O ZINC000831692148 600821263 /nfs/dbraw/zinc/82/12/63/600821263.db2.gz DLNPIWRYLKHCDH-LLVKDONJSA-N -1 1 312.391 1.520 20 0 DDADMM Cc1nnc(SCC(=O)N[C@@H](CC(=O)[O-])c2ccccc2)[nH]1 ZINC000832991391 600875505 /nfs/dbraw/zinc/87/55/05/600875505.db2.gz VLENFJOEXVFUGO-NSHDSACASA-N -1 1 320.374 1.537 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@@H](CC(=O)[O-])c2ccccc2)n1 ZINC000832991391 600875506 /nfs/dbraw/zinc/87/55/06/600875506.db2.gz VLENFJOEXVFUGO-NSHDSACASA-N -1 1 320.374 1.537 20 0 DDADMM CC1CCN(C(=O)CN2CCSC[C@@H]2CC(=O)[O-])CC1 ZINC000091130748 601025061 /nfs/dbraw/zinc/02/50/61/601025061.db2.gz OGDABNMLAUMTAF-LBPRGKRZSA-N -1 1 300.424 1.137 20 0 DDADMM COc1ccccc1CNC(=O)CN[C@](C)(C(=O)[O-])C1CC1 ZINC000832618360 601037833 /nfs/dbraw/zinc/03/78/33/601037833.db2.gz UQFDLUFZXZPNGT-INIZCTEOSA-N -1 1 306.362 1.154 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2cncc(F)c2)CC1 ZINC000827356442 601094432 /nfs/dbraw/zinc/09/44/32/601094432.db2.gz RXCIZPIELCOBOP-ZZKXABKFSA-N -1 1 321.352 1.241 20 0 DDADMM Cc1nc([C@H]2CN(C(=O)c3ccc(C(=O)[O-])s3)CCO2)n[nH]1 ZINC000738824431 601100685 /nfs/dbraw/zinc/10/06/85/601100685.db2.gz WBTPSJWUMXCHKT-MRVPVSSYSA-N -1 1 322.346 1.087 20 0 DDADMM CCN1CCC(NS(=O)(=O)c2csc(C(=O)[O-])c2)CC1 ZINC000070156558 601101554 /nfs/dbraw/zinc/10/15/54/601101554.db2.gz QNODWOFAWLNGNW-UHFFFAOYSA-N -1 1 318.420 1.209 20 0 DDADMM O=C(CN1CCN2CCCC[C@@H]2C1)NC1(C(=O)[O-])CCCCC1 ZINC000833108644 601171216 /nfs/dbraw/zinc/17/12/16/601171216.db2.gz UTAFCROOTMRAHT-CQSZACIVSA-N -1 1 323.437 1.060 20 0 DDADMM CCC[C@](C)(NC(=O)CSc1n[nH]c(=S)s1)C(=O)[O-] ZINC000829193947 601197904 /nfs/dbraw/zinc/19/79/04/601197904.db2.gz OXZGAHVDYFIHBZ-JTQLQIEISA-N -1 1 321.449 1.679 20 0 DDADMM CC(C)(CCC(=O)[O-])NC(=O)CSc1n[nH]c(=S)s1 ZINC000817828195 601285331 /nfs/dbraw/zinc/28/53/31/601285331.db2.gz YOUGJNPZRZIGMG-UHFFFAOYSA-N -1 1 321.449 1.679 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)N(C)Cc1nnc[nH]1 ZINC000832914163 601320766 /nfs/dbraw/zinc/32/07/66/601320766.db2.gz BUSSQBULOFBXBW-JTQLQIEISA-N -1 1 317.349 1.270 20 0 DDADMM c1coc([C@H]2COCCN2Cc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000826526472 607502991 /nfs/dbraw/zinc/50/29/91/607502991.db2.gz ZWMAIQZQPFHNLH-CYBMUJFWSA-N -1 1 312.333 1.428 20 0 DDADMM c1coc([C@H]2COCCN2Cc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000826526472 607502992 /nfs/dbraw/zinc/50/29/92/607502992.db2.gz ZWMAIQZQPFHNLH-CYBMUJFWSA-N -1 1 312.333 1.428 20 0 DDADMM CCOC[C@@H]1CCN(CC(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC000829990377 601378514 /nfs/dbraw/zinc/37/85/14/601378514.db2.gz XWZDUOHCRUHMKJ-GFCCVEGCSA-N -1 1 306.362 1.682 20 0 DDADMM C[C@H](O)C1CCN(Cn2nc(C(=O)[O-])c3ccccc32)CC1 ZINC000827790521 601399646 /nfs/dbraw/zinc/39/96/46/601399646.db2.gz QLTSSFGTAYHUMQ-NSHDSACASA-N -1 1 303.362 1.785 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCN(C[C@H](C)O)[C@@H](C)C1 ZINC000830375533 601417254 /nfs/dbraw/zinc/41/72/54/601417254.db2.gz OZWFTAWNLTXJNQ-RYUDHWBXSA-N -1 1 310.394 1.427 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@@H](N3CCNC3=O)C2)cc1F ZINC000833313296 601622631 /nfs/dbraw/zinc/62/26/31/601622631.db2.gz SANJYRDWARKYMA-GFCCVEGCSA-N -1 1 321.352 1.514 20 0 DDADMM COCCN1CCN(Cc2cc(C(=O)[O-])sc2C)C[C@@H]1C ZINC000831744990 601630883 /nfs/dbraw/zinc/63/08/83/601630883.db2.gz NYMWCKMZZBEOCC-NSHDSACASA-N -1 1 312.435 1.907 20 0 DDADMM CCCCC[C@H](NC(=O)CSc1nnc(C)[nH]1)C(=O)[O-] ZINC000829320876 601746290 /nfs/dbraw/zinc/74/62/90/601746290.db2.gz GSSZRDAEBCTDJW-VIFPVBQESA-N -1 1 300.384 1.355 20 0 DDADMM CCCCC[C@H](NC(=O)CSc1nc(C)n[nH]1)C(=O)[O-] ZINC000829320876 601746291 /nfs/dbraw/zinc/74/62/91/601746291.db2.gz GSSZRDAEBCTDJW-VIFPVBQESA-N -1 1 300.384 1.355 20 0 DDADMM C[C@@H]1CN(CN2C[C@@H](c3ccccn3)CC2=O)C[C@H]1C(=O)[O-] ZINC000828452203 601816589 /nfs/dbraw/zinc/81/65/89/601816589.db2.gz YVDLHEHVOOVDGF-FRRDWIJNSA-N -1 1 303.362 1.008 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN[C@H](C(=O)[O-])C(C)C)cc1 ZINC000825902765 601822713 /nfs/dbraw/zinc/82/27/13/601822713.db2.gz BDGZCSBWAADYNF-AWEZNQCLSA-N -1 1 307.350 1.282 20 0 DDADMM O=C([O-])c1cc(CNCC(=O)Nc2ccc(F)c(F)c2)c[nH]1 ZINC000833110225 601831425 /nfs/dbraw/zinc/83/14/25/601831425.db2.gz MUPJZIDIKDJMQM-UHFFFAOYSA-N -1 1 309.272 1.719 20 0 DDADMM CN(Cc1ccco1)C(=O)CN1CCC(CCC(=O)[O-])CC1 ZINC000314868308 601840955 /nfs/dbraw/zinc/84/09/55/601840955.db2.gz IIOPMBMNEYQJLF-UHFFFAOYSA-N -1 1 308.378 1.815 20 0 DDADMM CN(C(=O)CN1CCSC[C@@H]1CC(=O)[O-])C1CCCCC1 ZINC000091131596 601884766 /nfs/dbraw/zinc/88/47/66/601884766.db2.gz XSLFVUUGGHYYBE-ZDUSSCGKSA-N -1 1 314.451 1.670 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000387753403 601911907 /nfs/dbraw/zinc/91/19/07/601911907.db2.gz BLOAHLPTHJZORJ-OAHLLOKOSA-N -1 1 306.318 1.150 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(C[C@@H](O)c2c(F)cccc2F)C1 ZINC000740643738 601940610 /nfs/dbraw/zinc/94/06/10/601940610.db2.gz QBPKBGZEDZMYQE-JOYOIKCWSA-N -1 1 300.305 1.588 20 0 DDADMM Cn1c(SCc2ccnc(-c3nnn[n-]3)c2)nnc1C1CC1 ZINC000826356190 607538097 /nfs/dbraw/zinc/53/80/97/607538097.db2.gz FVPNSDQPBCBSME-UHFFFAOYSA-N -1 1 314.378 1.560 20 0 DDADMM Cn1c(SCc2ccnc(-c3nn[n-]n3)c2)nnc1C1CC1 ZINC000826356190 607538099 /nfs/dbraw/zinc/53/80/99/607538099.db2.gz FVPNSDQPBCBSME-UHFFFAOYSA-N -1 1 314.378 1.560 20 0 DDADMM CC(C)[C@@H]1c2ccccc2CCN1CC(=O)NCCC(=O)[O-] ZINC000826747780 602030371 /nfs/dbraw/zinc/03/03/71/602030371.db2.gz QANUXCFCOSSBNU-QGZVFWFLSA-N -1 1 304.390 1.833 20 0 DDADMM CC(C)C[C@@H]1CCC(=O)N(CN2C[C@H](C)[C@H](C(=O)[O-])C2)C1=O ZINC000826832348 602084019 /nfs/dbraw/zinc/08/40/19/602084019.db2.gz QAEQHNNVIGHUMV-RWMBFGLXSA-N -1 1 310.394 1.408 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)[O-])C(=O)N1CCN(C2CCCC2)CC1 ZINC000739238286 602118656 /nfs/dbraw/zinc/11/86/56/602118656.db2.gz UBKBNSVOEPAZKG-TZMCWYRMSA-N -1 1 311.426 1.756 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@H](C)CC(=O)[O-])N2CCCC2)o1 ZINC000832920715 602140546 /nfs/dbraw/zinc/14/05/46/602140546.db2.gz DMXLVBJNZIGCNA-YPMHNXCESA-N -1 1 308.378 1.952 20 0 DDADMM C[C@@H](NC(=O)OC(C)(C)C)[C@@H]1CN(CCCC(=O)[O-])CCO1 ZINC000820129520 602235173 /nfs/dbraw/zinc/23/51/73/602235173.db2.gz LIYKGRZXMDVRQJ-NEPJUHHUSA-N -1 1 316.398 1.465 20 0 DDADMM O=C([O-])NC1(C(=O)N2CCN(C3CCCC3)CC2)CCCC1 ZINC000740534666 602379442 /nfs/dbraw/zinc/37/94/42/602379442.db2.gz UOOUCSXZVHIAMO-UHFFFAOYSA-N -1 1 309.410 1.654 20 0 DDADMM Cc1ccc(Cl)cc1C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000826289436 607809681 /nfs/dbraw/zinc/80/96/81/607809681.db2.gz ZXRQJJLRHUEPCI-UHFFFAOYSA-N -1 1 303.713 1.296 20 0 DDADMM Cc1csc(N2CCN(C[C@H]3CCCN(C(=O)[O-])C3)CC2)n1 ZINC000740209360 602452220 /nfs/dbraw/zinc/45/22/20/602452220.db2.gz ROOKFGKCBRFKMX-CYBMUJFWSA-N -1 1 324.450 1.964 20 0 DDADMM CN(CCNC(=O)c1cccc(Br)c1O)C(=O)[O-] ZINC000739699308 602458606 /nfs/dbraw/zinc/45/86/06/602458606.db2.gz YSPCFQHZCMWPDC-UHFFFAOYSA-N -1 1 317.139 1.494 20 0 DDADMM CC(C)C[C@H](CNC(=O)[O-])NC(=O)c1ccc2[nH]nnc2c1 ZINC000738806653 602532540 /nfs/dbraw/zinc/53/25/40/602532540.db2.gz JCJNWLPWHQGXRP-SNVBAGLBSA-N -1 1 305.338 1.370 20 0 DDADMM C[C@@H](C1CC1)N(C(=O)CN1CCC(N(C)C(=O)[O-])CC1)C1CC1 ZINC000737660075 602533728 /nfs/dbraw/zinc/53/37/28/602533728.db2.gz LBCOHUSVZPOWPX-LBPRGKRZSA-N -1 1 323.437 1.850 20 0 DDADMM CCCN(CC(=O)NCc1ccco1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739354227 602547165 /nfs/dbraw/zinc/54/71/65/602547165.db2.gz PGLAPAYHLHJGHX-LBPRGKRZSA-N -1 1 309.366 1.360 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CNC(=O)c1cc(F)cc2nc[nH]c21 ZINC000740413261 602579563 /nfs/dbraw/zinc/57/95/63/602579563.db2.gz ADJOEOPBPKRVAR-SECBINFHSA-N -1 1 306.297 1.574 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCC[N@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC000739002335 602678786 /nfs/dbraw/zinc/67/87/86/602678786.db2.gz PYOUVPXVAIFAQP-ZYHUDNBSSA-N -1 1 319.365 1.033 20 0 DDADMM O=S(=O)(NCC1CC1)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000826490471 607583004 /nfs/dbraw/zinc/58/30/04/607583004.db2.gz WQEWFHKLDPOYDK-UHFFFAOYSA-N -1 1 313.770 1.208 20 0 DDADMM O=S(=O)(NCC1CC1)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000826490471 607583005 /nfs/dbraw/zinc/58/30/05/607583005.db2.gz WQEWFHKLDPOYDK-UHFFFAOYSA-N -1 1 313.770 1.208 20 0 DDADMM C[C@H]1CN(C(=O)[O-])CCN1CCC(=O)NCC1CCCCC1 ZINC000739161497 602738184 /nfs/dbraw/zinc/73/81/84/602738184.db2.gz PETRSMWDZXVFCN-ZDUSSCGKSA-N -1 1 311.426 1.757 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)CCC(C)(C)NC(=O)[O-] ZINC000739200923 602809058 /nfs/dbraw/zinc/80/90/58/602809058.db2.gz VKEGVHBTIKTRIY-CHWSQXEVSA-N -1 1 311.426 1.898 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1CNC(=O)[C@@H]1CCCCN1C ZINC000739205982 602839409 /nfs/dbraw/zinc/83/94/09/602839409.db2.gz NPVDVTAMANKJFK-SDDRHHMPSA-N -1 1 313.398 1.090 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@H]2CC[N@@H+](C3CC3)C2)c([O-])c1 ZINC000740699657 602855730 /nfs/dbraw/zinc/85/57/30/602855730.db2.gz VZOWLHMKXZGCQG-JTQLQIEISA-N -1 1 305.334 1.449 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@H]2CC[N@H+](C3CC3)C2)c([O-])c1 ZINC000740699657 602855735 /nfs/dbraw/zinc/85/57/35/602855735.db2.gz VZOWLHMKXZGCQG-JTQLQIEISA-N -1 1 305.334 1.449 20 0 DDADMM Cc1cccc(OC[C@H](O)CN2C[C@H](C)N(C(=O)[O-])[C@@H](C)C2)c1 ZINC000740172631 602875976 /nfs/dbraw/zinc/87/59/76/602875976.db2.gz RCBPQKIYTMHYDQ-SOUVJXGZSA-N -1 1 322.405 1.807 20 0 DDADMM Cc1cc(CNC(=O)N[C@@H](C)[C@@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000740073703 602911865 /nfs/dbraw/zinc/91/18/65/602911865.db2.gz RTJHMORZFWMAQH-WDEREUQCSA-N -1 1 309.370 1.296 20 0 DDADMM O=C([O-])N1CC[C@H](N[C@@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000740504981 603088930 /nfs/dbraw/zinc/08/89/30/603088930.db2.gz HMDXWGYQKBVEAF-WCQYABFASA-N -1 1 323.780 1.787 20 0 DDADMM CC(C)[C@](C)(CNC(=O)[O-])NCc1nnnn1-c1ccccc1 ZINC000738774923 603134759 /nfs/dbraw/zinc/13/47/59/603134759.db2.gz ZDCWDDBNJOZMET-HNNXBMFYSA-N -1 1 318.381 1.434 20 0 DDADMM C[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)OC(C)(C)C ZINC000824722491 608103605 /nfs/dbraw/zinc/10/36/05/608103605.db2.gz JAYGYONEVKZXDJ-SSDOTTSWSA-N -1 1 324.362 1.815 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@H](C)N(C)CCCNC(=O)[O-] ZINC000738636307 603252484 /nfs/dbraw/zinc/25/24/84/603252484.db2.gz DGJMDTZLLBGDAA-NSHDSACASA-N -1 1 311.386 1.381 20 0 DDADMM C[C@H](C(=O)Nc1ccc(Cl)cn1)N(C)CCCNC(=O)[O-] ZINC000738630121 603255193 /nfs/dbraw/zinc/25/51/93/603255193.db2.gz JMVWROUTSQFINL-SECBINFHSA-N -1 1 314.773 1.652 20 0 DDADMM CN(C[C@@H]1CN(c2ccccc2)C(=O)O1)[C@H]1CCN(C(=O)[O-])C1 ZINC000828267757 603504077 /nfs/dbraw/zinc/50/40/77/603504077.db2.gz YMODQGJELGKZMN-UONOGXRCSA-N -1 1 319.361 1.696 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N1CCCN(C(=O)[O-])CC1 ZINC000828045960 603524072 /nfs/dbraw/zinc/52/40/72/603524072.db2.gz CXGQVEBHSBPJCJ-UHFFFAOYSA-N -1 1 311.407 1.636 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000827864379 603526872 /nfs/dbraw/zinc/52/68/72/603526872.db2.gz DNDFJDQBFDYDHI-SNVBAGLBSA-N -1 1 304.306 1.494 20 0 DDADMM O=C([O-])N1CC[C@@](F)(C(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000831889077 603549737 /nfs/dbraw/zinc/54/97/37/603549737.db2.gz QXCXACBVQPDWPK-AWEZNQCLSA-N -1 1 310.329 1.208 20 0 DDADMM C[C@@H]1CCCN(CN2C[C@H]3CN(C(=O)[O-])CCN3C2=O)CC1 ZINC000825926014 603599927 /nfs/dbraw/zinc/59/99/27/603599927.db2.gz SXWCIVHTUYWTRH-CHWSQXEVSA-N -1 1 310.398 1.166 20 0 DDADMM CN(C(=O)Cc1n[nH]c2ccccc21)[C@@H]1CCN(C(=O)[O-])C1 ZINC000827782694 603690780 /nfs/dbraw/zinc/69/07/80/603690780.db2.gz ZKVBJHPTTVRQHZ-SNVBAGLBSA-N -1 1 302.334 1.316 20 0 DDADMM CC(C)[C@@H](CCN(C)CC(=O)N1CCC[C@H](C)C1)NC(=O)[O-] ZINC000825906890 603824585 /nfs/dbraw/zinc/82/45/85/603824585.db2.gz UUIPCULGHDCDRM-UONOGXRCSA-N -1 1 313.442 1.859 20 0 DDADMM CCC(CC)(CNC(=O)[O-])NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000826545047 603948279 /nfs/dbraw/zinc/94/82/79/603948279.db2.gz ZKAIFVJZSSIXNS-SNVBAGLBSA-N -1 1 308.382 1.772 20 0 DDADMM O=C(CC1CCN(C(=O)[O-])CC1)Nc1ccc2[nH]nnc2c1 ZINC000831350862 603950060 /nfs/dbraw/zinc/95/00/60/603950060.db2.gz SDKVTGWNLCEGTR-UHFFFAOYSA-N -1 1 303.322 1.677 20 0 DDADMM CN(CC(=O)Nc1cccc(F)c1)C1CCN(C(=O)[O-])CC1 ZINC000828120857 603984015 /nfs/dbraw/zinc/98/40/15/603984015.db2.gz ZFROHKMZGRFQNC-UHFFFAOYSA-N -1 1 309.341 1.838 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(F)c(NC(=O)[O-])c1)c1nn[nH]n1 ZINC000826763923 604002646 /nfs/dbraw/zinc/00/26/46/604002646.db2.gz GHXCPIXTCAIPOU-VIFPVBQESA-N -1 1 322.300 1.700 20 0 DDADMM C[C@H]1OC(C)(C)N(C(=O)[O-])[C@H]1CNc1ncnc2[nH]cnc21 ZINC000826125413 604019223 /nfs/dbraw/zinc/01/92/23/604019223.db2.gz HQKJUAZVWNNDHI-SFYZADRCSA-N -1 1 306.326 1.220 20 0 DDADMM CC(C)[C@@H]1CC(=O)N(CN2CCC[C@@H]([C@H](C)NC(=O)[O-])C2)C1 ZINC000824159229 604020771 /nfs/dbraw/zinc/02/07/71/604020771.db2.gz ZQYIEVWWJBNZDG-BFHYXJOUSA-N -1 1 311.426 1.817 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)CC2CCN(C(=O)[O-])CC2)c1 ZINC000828046881 604066367 /nfs/dbraw/zinc/06/63/67/604066367.db2.gz UTNWKBIREJDBKK-UHFFFAOYSA-N -1 1 320.393 1.862 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)NCCC(C)(C)C)C1 ZINC000825254592 604225496 /nfs/dbraw/zinc/22/54/96/604225496.db2.gz MZBHDKAHGROFPB-OLZOCXBDSA-N -1 1 313.442 1.907 20 0 DDADMM Cc1cc(CC(=O)Nc2cc3c(cc2NC(=O)[O-])OCO3)n[nH]1 ZINC000830031150 604239032 /nfs/dbraw/zinc/23/90/32/604239032.db2.gz SLYBAZSBCLXJSY-UHFFFAOYSA-N -1 1 318.289 1.718 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC[C@H]([C@@H]3CCOC3)C2)c1 ZINC000826514561 607682528 /nfs/dbraw/zinc/68/25/28/607682528.db2.gz VUNQRSWAMDCVTO-NWDGAFQWSA-N -1 1 300.366 1.515 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC[C@H]([C@@H]3CCOC3)C2)c1 ZINC000826514561 607682529 /nfs/dbraw/zinc/68/25/29/607682529.db2.gz VUNQRSWAMDCVTO-NWDGAFQWSA-N -1 1 300.366 1.515 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)c1ccc(C(=O)[O-])c(F)c1 ZINC000826741701 604282078 /nfs/dbraw/zinc/28/20/78/604282078.db2.gz LYYVEPSXQXJEJF-CQSZACIVSA-N -1 1 308.353 1.936 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccccc2C(=O)[O-])[C@@H](C)CN1C ZINC000833627932 604574063 /nfs/dbraw/zinc/57/40/63/604574063.db2.gz XZJHKOYQIQHOLX-RYUDHWBXSA-N -1 1 305.378 1.348 20 0 DDADMM C[C@@H](CC(=O)N1CCc2[nH]nnc2C1)[C@H]1CCCN(C(=O)[O-])C1 ZINC000824606479 604582189 /nfs/dbraw/zinc/58/21/89/604582189.db2.gz QCKBBCKVJSQHKU-QWRGUYRKSA-N -1 1 321.381 1.106 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)c2ccc(C(=O)[O-])s2)[nH]n1 ZINC000833057408 604645353 /nfs/dbraw/zinc/64/53/53/604645353.db2.gz BTRVNWOSHWZWNQ-UHFFFAOYSA-N -1 1 317.330 1.822 20 0 DDADMM C[C@H](C(=O)N(C)C1CCCCC1)N1CCC(O)(C(=O)[O-])CC1 ZINC000833402384 604723731 /nfs/dbraw/zinc/72/37/31/604723731.db2.gz LBQLCQNLQAXJHH-GFCCVEGCSA-N -1 1 312.410 1.078 20 0 DDADMM COC[C@](C)(CC(=O)OC)NCc1ccc(C(=O)[O-])cc1F ZINC000833722101 604766587 /nfs/dbraw/zinc/76/65/87/604766587.db2.gz ZYJOWCDPMBZCDM-HNNXBMFYSA-N -1 1 313.325 1.582 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1CCCN([C@@H](C(=O)[O-])c2cccnc2)C1 ZINC000833420128 604870644 /nfs/dbraw/zinc/87/06/44/604870644.db2.gz AWNXADWYDZFKQM-ZIAGYGMSSA-N -1 1 319.405 1.834 20 0 DDADMM O=C([O-])C[C@H]1CCCN1CN1C[C@@H](c2ccccn2)CC1=O ZINC000833210277 604978795 /nfs/dbraw/zinc/97/87/95/604978795.db2.gz GEORFAVHCLAFAA-QWHCGFSZSA-N -1 1 303.362 1.294 20 0 DDADMM CCc1nc([C@H](C)NC(=O)NC2CCC(C(=O)[O-])CC2)n[nH]1 ZINC000820492896 605021657 /nfs/dbraw/zinc/02/16/57/605021657.db2.gz TZRZKSHDOHAWJT-IDKOKCKLSA-N -1 1 309.370 1.371 20 0 DDADMM C[C@H]1C[C@@H](NS(=O)(=O)c2ccsc2C(=O)[O-])CCN1C ZINC000157605519 605039613 /nfs/dbraw/zinc/03/96/13/605039613.db2.gz RIEAJQRAGQZUTL-IUCAKERBSA-N -1 1 318.420 1.207 20 0 DDADMM C[C@@H](OCc1ccccc1)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000378702884 605072791 /nfs/dbraw/zinc/07/27/91/605072791.db2.gz LATDUKLRGWGYFT-RISCZKNCSA-N -1 1 317.345 1.127 20 0 DDADMM CC(C)Oc1cncc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000833507825 605079543 /nfs/dbraw/zinc/07/95/43/605079543.db2.gz KCHPISJJOJTTLZ-ZDUSSCGKSA-N -1 1 318.333 1.018 20 0 DDADMM C[C@H](Sc1ccccc1C(=O)N1CC(N(C)C)C1)C(=O)[O-] ZINC000833545377 605095789 /nfs/dbraw/zinc/09/57/89/605095789.db2.gz HFBLXJRIPUFSOI-JTQLQIEISA-N -1 1 308.403 1.638 20 0 DDADMM Cc1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)c(C)n1C ZINC000833742304 605104010 /nfs/dbraw/zinc/10/40/10/605104010.db2.gz JHCCCKKUZHIXPD-GFCCVEGCSA-N -1 1 307.394 1.263 20 0 DDADMM CC(C)COCCC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833487894 605108054 /nfs/dbraw/zinc/10/80/54/605108054.db2.gz JTFSZHHXEWHCDV-ZDUSSCGKSA-N -1 1 300.399 1.057 20 0 DDADMM C[C@@H]1CC[C@@H](CCC(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)O1 ZINC000833602655 605111901 /nfs/dbraw/zinc/11/19/01/605111901.db2.gz JWKOVWSOWDGPAW-MJBXVCDLSA-N -1 1 312.410 1.342 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1CCc2ccccc21 ZINC000833623739 605115380 /nfs/dbraw/zinc/11/53/80/605115380.db2.gz SHUWGHIWKNDNRZ-XJKSGUPXSA-N -1 1 316.401 1.724 20 0 DDADMM CSCC[C@H](NC(=O)[O-])C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000829729503 605128356 /nfs/dbraw/zinc/12/83/56/605128356.db2.gz GFNJGUJQPYFQLV-UWVGGRQHSA-N -1 1 312.395 1.293 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)NC2CCC(C(=O)[O-])CC2)C[C@H]1C ZINC000820347934 605141026 /nfs/dbraw/zinc/14/10/26/605141026.db2.gz APAKKBHWEDGCLO-IWMBGFJWSA-N -1 1 311.426 1.754 20 0 DDADMM CN(CC(=O)N(CC(=O)[O-])C1CCCC1)[C@H]1CCSC1 ZINC000833691519 605217257 /nfs/dbraw/zinc/21/72/57/605217257.db2.gz ORJNRPLLUZECQJ-LBPRGKRZSA-N -1 1 300.424 1.280 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C/c2cncc(F)c2)CCN1CCC(=O)[O-] ZINC000833618798 605278251 /nfs/dbraw/zinc/27/82/51/605278251.db2.gz QUGFZKRQLPRUQR-QAVQXKDTSA-N -1 1 321.352 1.241 20 0 DDADMM C[C@H]1CN(C(=O)[C@H]2CC2(Cl)Cl)CCN1CCC(=O)[O-] ZINC000833618859 605278470 /nfs/dbraw/zinc/27/84/70/605278470.db2.gz RMKMHECXNITXJS-DTWKUNHWSA-N -1 1 309.193 1.188 20 0 DDADMM C[C@H]1CN(C(=O)/C=C/c2cncc(F)c2)CCN1CCC(=O)[O-] ZINC000833618797 605278476 /nfs/dbraw/zinc/27/84/76/605278476.db2.gz QUGFZKRQLPRUQR-JDGPPOGSSA-N -1 1 321.352 1.241 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2C[C@H](C)N(C(=O)[O-])[C@@H](C)C2)n[nH]1 ZINC000830029462 605301172 /nfs/dbraw/zinc/30/11/72/605301172.db2.gz GZUWCRDOCBIJEK-DLOVCJGASA-N -1 1 323.397 1.431 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CC[C@H](N(C)C(=O)[O-])C2)n[nH]1 ZINC000830029851 605301612 /nfs/dbraw/zinc/30/16/12/605301612.db2.gz KLYFGELSDIBNJK-SKDRFNHKSA-N -1 1 309.370 1.043 20 0 DDADMM CCc1cc(CNC(=O)C[C@H]2CSCCN2C(=O)[O-])n[nH]1 ZINC000827557972 605310798 /nfs/dbraw/zinc/31/07/98/605310798.db2.gz XUEQWKVBFYRNMO-NSHDSACASA-N -1 1 312.395 1.074 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCC[C@H](NC(=O)C(C)(C)C)C2)O1 ZINC000833584277 605334409 /nfs/dbraw/zinc/33/44/09/605334409.db2.gz NHAXKPSXGFOSTL-QWHCGFSZSA-N -1 1 324.421 1.761 20 0 DDADMM CCOCCC1(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000825589489 607758445 /nfs/dbraw/zinc/75/84/45/607758445.db2.gz GFXXYPYRMXNIOW-UHFFFAOYSA-N -1 1 316.365 1.198 20 0 DDADMM CCOCCC1(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000825589489 607758446 /nfs/dbraw/zinc/75/84/46/607758446.db2.gz GFXXYPYRMXNIOW-UHFFFAOYSA-N -1 1 316.365 1.198 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1Cc1ccc(C(=O)N(C)C)[nH]1 ZINC000833835382 605683715 /nfs/dbraw/zinc/68/37/15/605683715.db2.gz YNNQPSGRSXDVNK-MNOVXSKESA-N -1 1 308.382 1.195 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NCc1cccs1 ZINC000833832923 605905039 /nfs/dbraw/zinc/90/50/39/605905039.db2.gz VHQSIAKJJLWFMK-QWRGUYRKSA-N -1 1 311.407 1.342 20 0 DDADMM O=C([O-])N1CCc2ccccc2[C@H]1C(=O)NCCN1CCC1 ZINC000834041635 605932391 /nfs/dbraw/zinc/93/23/91/605932391.db2.gz MIBOJIMYUHUDLW-AWEZNQCLSA-N -1 1 303.362 1.086 20 0 DDADMM Cn1cc(CN[C@@H]2CN(C(=O)[O-])CC[C@H]2c2ccccc2)nn1 ZINC000833946153 606052439 /nfs/dbraw/zinc/05/24/39/606052439.db2.gz IFZMKHYNTBTVST-LSDHHAIUSA-N -1 1 315.377 1.441 20 0 DDADMM O=C([O-])N1CC[C@@H](C(=O)OC[C@H]2CCCN2Cc2ccco2)C1 ZINC000834284872 606092857 /nfs/dbraw/zinc/09/28/57/606092857.db2.gz ITGLQELJFZETAX-CHWSQXEVSA-N -1 1 322.361 1.787 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1)NC(=O)[O-] ZINC000833799457 606093422 /nfs/dbraw/zinc/09/34/22/606093422.db2.gz JZOGPLKVVPCDBL-GXSJLCMTSA-N -1 1 321.381 1.434 20 0 DDADMM CC(C)CCC[C@@H](C)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820073151 606160841 /nfs/dbraw/zinc/16/08/41/606160841.db2.gz RGCSHACWUDGABN-SNVBAGLBSA-N -1 1 320.401 1.937 20 0 DDADMM CC(C)CCC[C@@H](C)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820073151 606160842 /nfs/dbraw/zinc/16/08/42/606160842.db2.gz RGCSHACWUDGABN-SNVBAGLBSA-N -1 1 320.401 1.937 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC(C)(C)CC2)n1 ZINC000822604285 606161203 /nfs/dbraw/zinc/16/12/03/606161203.db2.gz BRYPGTDQKOZPPQ-UHFFFAOYSA-N -1 1 304.358 1.254 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC(C)(C)CC2)n1 ZINC000822604285 606161205 /nfs/dbraw/zinc/16/12/05/606161205.db2.gz BRYPGTDQKOZPPQ-UHFFFAOYSA-N -1 1 304.358 1.254 20 0 DDADMM Cc1occc1CN(C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000822554080 606162810 /nfs/dbraw/zinc/16/28/10/606162810.db2.gz UCAJWCIGQXEHCO-UHFFFAOYSA-N -1 1 316.325 1.166 20 0 DDADMM Cc1occc1CN(C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000822554080 606162812 /nfs/dbraw/zinc/16/28/12/606162812.db2.gz UCAJWCIGQXEHCO-UHFFFAOYSA-N -1 1 316.325 1.166 20 0 DDADMM CCN(Cc1cnn(C)c1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821231235 606461779 /nfs/dbraw/zinc/46/17/79/606461779.db2.gz ZQFXUWSVQIZLJN-UHFFFAOYSA-N -1 1 318.772 1.675 20 0 DDADMM CCN(Cc1cnn(C)c1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821231235 606461780 /nfs/dbraw/zinc/46/17/80/606461780.db2.gz ZQFXUWSVQIZLJN-UHFFFAOYSA-N -1 1 318.772 1.675 20 0 DDADMM O=C(NCCOc1ccccc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823216034 606492972 /nfs/dbraw/zinc/49/29/72/606492972.db2.gz WLRIZYNCBHNVOT-UHFFFAOYSA-N -1 1 315.358 1.737 20 0 DDADMM O=C(NCCOc1ccccc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823216034 606492974 /nfs/dbraw/zinc/49/29/74/606492974.db2.gz WLRIZYNCBHNVOT-UHFFFAOYSA-N -1 1 315.358 1.737 20 0 DDADMM Cc1ccc(C=CCC(=O)Nc2nn(C)cc2-c2nnn[n-]2)cc1 ZINC000822232844 606622490 /nfs/dbraw/zinc/62/24/90/606622490.db2.gz CALUWVZYUYXPBJ-ONEGZZNKSA-N -1 1 323.360 1.951 20 0 DDADMM Cc1ccc(C=CCC(=O)Nc2nn(C)cc2-c2nn[n-]n2)cc1 ZINC000822232844 606622492 /nfs/dbraw/zinc/62/24/92/606622492.db2.gz CALUWVZYUYXPBJ-ONEGZZNKSA-N -1 1 323.360 1.951 20 0 DDADMM Cc1noc(C)c1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822520233 606649675 /nfs/dbraw/zinc/64/96/75/606649675.db2.gz UJSSHRWBTGESOD-UHFFFAOYSA-N -1 1 300.278 1.224 20 0 DDADMM Cc1noc(C)c1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822520233 606649676 /nfs/dbraw/zinc/64/96/76/606649676.db2.gz UJSSHRWBTGESOD-UHFFFAOYSA-N -1 1 300.278 1.224 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]2OCC[C@H]21)c1ccc(-c2nnn[n-]2)s1 ZINC000823151311 606752380 /nfs/dbraw/zinc/75/23/80/606752380.db2.gz ZLUAARRAFNAXSK-IVZWLZJFSA-N -1 1 319.390 1.616 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]2OCC[C@H]21)c1ccc(-c2nn[n-]n2)s1 ZINC000823151311 606752382 /nfs/dbraw/zinc/75/23/82/606752382.db2.gz ZLUAARRAFNAXSK-IVZWLZJFSA-N -1 1 319.390 1.616 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@H]2CCCCC2(C)C)n1 ZINC000822614955 606908588 /nfs/dbraw/zinc/90/85/88/606908588.db2.gz YBYKKZDAXGKQIU-SNVBAGLBSA-N -1 1 318.385 1.691 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@H]2CCCCC2(C)C)n1 ZINC000822614955 606908590 /nfs/dbraw/zinc/90/85/90/606908590.db2.gz YBYKKZDAXGKQIU-SNVBAGLBSA-N -1 1 318.385 1.691 20 0 DDADMM c1ccc(N2CC[C@H](Nc3cccc(-c4nn[n-]n4)n3)C2)nc1 ZINC000826522190 607811516 /nfs/dbraw/zinc/81/15/16/607811516.db2.gz PZLRTRANJBSJKQ-NSHDSACASA-N -1 1 308.349 1.348 20 0 DDADMM c1ccc2[nH]c(CCNc3cccc(-c4nnn[n-]4)n3)nc2c1 ZINC000826517209 607895915 /nfs/dbraw/zinc/89/59/15/607895915.db2.gz VDYWVXBXJCZRAP-UHFFFAOYSA-N -1 1 306.333 1.793 20 0 DDADMM c1ccc2[nH]c(CCNc3cccc(-c4nn[n-]n4)n3)nc2c1 ZINC000826517209 607895916 /nfs/dbraw/zinc/89/59/16/607895916.db2.gz VDYWVXBXJCZRAP-UHFFFAOYSA-N -1 1 306.333 1.793 20 0 DDADMM c1cn(Cc2csc(-c3ccccn3)n2)c(-c2nnn[n-]2)n1 ZINC000826519127 608014528 /nfs/dbraw/zinc/01/45/28/608014528.db2.gz LFEHZSNCBQUCFI-UHFFFAOYSA-N -1 1 310.346 1.630 20 0 DDADMM c1cn(Cc2csc(-c3ccccn3)n2)c(-c2nn[n-]n2)n1 ZINC000826519127 608014529 /nfs/dbraw/zinc/01/45/29/608014529.db2.gz LFEHZSNCBQUCFI-UHFFFAOYSA-N -1 1 310.346 1.630 20 0 DDADMM CCOC(=O)C[C@H](C)CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825499843 608018339 /nfs/dbraw/zinc/01/83/39/608018339.db2.gz VMDIFTBWVBYHFQ-QMMMGPOBSA-N -1 1 324.772 1.916 20 0 DDADMM CCOC(=O)C[C@H](C)CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825499843 608018340 /nfs/dbraw/zinc/01/83/40/608018340.db2.gz VMDIFTBWVBYHFQ-QMMMGPOBSA-N -1 1 324.772 1.916 20 0 DDADMM CC(=O)c1ccc(NC(=O)c2ccc(-c3nnn[n-]3)s2)nc1 ZINC000824025190 608031151 /nfs/dbraw/zinc/03/11/51/608031151.db2.gz WSBQADSQORRPHN-UHFFFAOYSA-N -1 1 314.330 1.778 20 0 DDADMM CC(=O)c1ccc(NC(=O)c2ccc(-c3nn[n-]n3)s2)nc1 ZINC000824025190 608031152 /nfs/dbraw/zinc/03/11/52/608031152.db2.gz WSBQADSQORRPHN-UHFFFAOYSA-N -1 1 314.330 1.778 20 0 DDADMM C[C@H]1C[C@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCC1=O ZINC000824874047 608058769 /nfs/dbraw/zinc/05/87/69/608058769.db2.gz BCNSXIAJUWGHME-GXSJLCMTSA-N -1 1 315.333 1.310 20 0 DDADMM C[C@H]1C[C@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCC1=O ZINC000824874047 608058770 /nfs/dbraw/zinc/05/87/70/608058770.db2.gz BCNSXIAJUWGHME-GXSJLCMTSA-N -1 1 315.333 1.310 20 0 DDADMM Cc1nn(C/C=C\c2ccccc2)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334459 608079440 /nfs/dbraw/zinc/07/94/40/608079440.db2.gz VUSNSUVVOGJLID-TWGQIWQCSA-N -1 1 308.345 1.754 20 0 DDADMM C[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)OC(C)(C)C ZINC000824722491 608103604 /nfs/dbraw/zinc/10/36/04/608103604.db2.gz JAYGYONEVKZXDJ-SSDOTTSWSA-N -1 1 324.362 1.815 20 0 DDADMM CCN(CC(C)(C)O)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825399468 608122658 /nfs/dbraw/zinc/12/26/58/608122658.db2.gz UYTZIBUJBJMPLK-UHFFFAOYSA-N -1 1 302.791 1.574 20 0 DDADMM CCN(CC(C)(C)O)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825399468 608122659 /nfs/dbraw/zinc/12/26/59/608122659.db2.gz UYTZIBUJBJMPLK-UHFFFAOYSA-N -1 1 302.791 1.574 20 0 DDADMM CCOC(=O)CN(CC)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825511803 608191786 /nfs/dbraw/zinc/19/17/86/608191786.db2.gz GBTCNYDTLURMCF-UHFFFAOYSA-N -1 1 316.774 1.366 20 0 DDADMM CCOC(=O)CN(CC)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825511803 608191787 /nfs/dbraw/zinc/19/17/87/608191787.db2.gz GBTCNYDTLURMCF-UHFFFAOYSA-N -1 1 316.774 1.366 20 0 DDADMM CN(c1snc(Cl)c1-c1nnn[n-]1)[C@H]1CCSC1 ZINC000826011025 608192304 /nfs/dbraw/zinc/19/23/04/608192304.db2.gz UNKCSNCRGVFJDH-YFKPBYRVSA-N -1 1 302.816 1.918 20 0 DDADMM CN(c1snc(Cl)c1-c1nn[n-]n1)[C@H]1CCSC1 ZINC000826011025 608192306 /nfs/dbraw/zinc/19/23/06/608192306.db2.gz UNKCSNCRGVFJDH-YFKPBYRVSA-N -1 1 302.816 1.918 20 0 DDADMM Cc1nnc(CSc2nc(C)nc(C)c2-c2nn[n-]n2)s1 ZINC000826324146 608291396 /nfs/dbraw/zinc/29/13/96/608291396.db2.gz GFLYVZBLMXYHTC-UHFFFAOYSA-N -1 1 320.407 1.726 20 0 DDADMM CC(=O)Nc1ccc(CCNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000824000121 608412605 /nfs/dbraw/zinc/41/26/05/608412605.db2.gz VKJOOUOJHCPHHO-UHFFFAOYSA-N -1 1 324.348 1.270 20 0 DDADMM CC(=O)Nc1ccc(CCNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000824000121 608412606 /nfs/dbraw/zinc/41/26/06/608412606.db2.gz VKJOOUOJHCPHHO-UHFFFAOYSA-N -1 1 324.348 1.270 20 0 DDADMM Fc1cccc(F)c1[C@H]1C[C@H]1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826377528 608419972 /nfs/dbraw/zinc/41/99/72/608419972.db2.gz VVKDMVUWRXRJRM-WRWORJQWSA-N -1 1 315.287 1.903 20 0 DDADMM Fc1cccc(F)c1[C@H]1C[C@H]1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826377528 608419973 /nfs/dbraw/zinc/41/99/73/608419973.db2.gz VVKDMVUWRXRJRM-WRWORJQWSA-N -1 1 315.287 1.903 20 0 DDADMM COCCN(Cc1ccc(-c2nn[n-]n2)s1)CC(F)(F)F ZINC000826159174 608654401 /nfs/dbraw/zinc/65/44/01/608654401.db2.gz VCRURLDZQUHHSW-UHFFFAOYSA-N -1 1 321.328 1.939 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)NCCC1CCCCC1 ZINC000826424854 608892286 /nfs/dbraw/zinc/89/22/86/608892286.db2.gz GOIYYBNYADTESH-UHFFFAOYSA-N -1 1 303.370 1.150 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)NCCC1CCCCC1 ZINC000826424854 608892287 /nfs/dbraw/zinc/89/22/87/608892287.db2.gz GOIYYBNYADTESH-UHFFFAOYSA-N -1 1 303.370 1.150 20 0 DDADMM Fc1c(F)c(F)c(Cn2ccnc2-c2nnn[n-]2)c(F)c1F ZINC000826371724 608892986 /nfs/dbraw/zinc/89/29/86/608892986.db2.gz RLJFMBFZPZMDPR-UHFFFAOYSA-N -1 1 316.193 1.807 20 0 DDADMM Fc1c(F)c(F)c(Cn2ccnc2-c2nn[n-]n2)c(F)c1F ZINC000826371724 608892987 /nfs/dbraw/zinc/89/29/87/608892987.db2.gz RLJFMBFZPZMDPR-UHFFFAOYSA-N -1 1 316.193 1.807 20 0 DDADMM Cc1cc2c(cc1NCc1ccnc(-c3nnn[n-]3)c1)OCO2 ZINC000826273253 609278477 /nfs/dbraw/zinc/27/84/77/609278477.db2.gz VSDNCRMOLWXBFS-UHFFFAOYSA-N -1 1 310.317 1.911 20 0 DDADMM Cc1cc2c(cc1NCc1ccnc(-c3nn[n-]n3)c1)OCO2 ZINC000826273253 609278478 /nfs/dbraw/zinc/27/84/78/609278478.db2.gz VSDNCRMOLWXBFS-UHFFFAOYSA-N -1 1 310.317 1.911 20 0 DDADMM O=C(Nc1cccc(CCCO)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826456825 609395698 /nfs/dbraw/zinc/39/56/98/609395698.db2.gz YXXYHHBZQXATPH-UHFFFAOYSA-N -1 1 324.344 1.439 20 0 DDADMM O=C(Nc1cccc(CCCO)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826456825 609395700 /nfs/dbraw/zinc/39/57/00/609395700.db2.gz YXXYHHBZQXATPH-UHFFFAOYSA-N -1 1 324.344 1.439 20 0 DDADMM Fc1cc2c(c(F)c1)[C@H](Nc1ccc(-c3nnn[n-]3)nn1)CO2 ZINC000826372606 609606526 /nfs/dbraw/zinc/60/65/26/609606526.db2.gz FDWNDEYNATYMIW-SECBINFHSA-N -1 1 317.259 1.481 20 0 DDADMM Fc1cc2c(c(F)c1)[C@H](Nc1ccc(-c3nn[n-]n3)nn1)CO2 ZINC000826372606 609606527 /nfs/dbraw/zinc/60/65/27/609606527.db2.gz FDWNDEYNATYMIW-SECBINFHSA-N -1 1 317.259 1.481 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)[C@@H](C)SC)o1 ZINC000797195752 699998452 /nfs/dbraw/zinc/99/84/52/699998452.db2.gz RHEXQZSWAYJCAR-JGVFFNPUSA-N -1 1 307.393 1.485 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2CCN(CC(F)(F)F)C2)[n-]1 ZINC000797107677 699994192 /nfs/dbraw/zinc/99/41/92/699994192.db2.gz VENXPEYJFIQYDP-QMMMGPOBSA-N -1 1 320.267 1.595 20 0 DDADMM CCC(=O)N1CC[C@H]2[C@@H](CCCN2C(=O)c2ncccc2[O-])C1 ZINC000973716634 695518642 /nfs/dbraw/zinc/51/86/42/695518642.db2.gz DQPYRRPVUOXLHS-STQMWFEESA-N -1 1 317.389 1.650 20 0 DDADMM CCCN(C(=O)N[C@@H]1CCCc2cn[nH]c21)[C@H](COC)C(=O)[O-] ZINC000797724570 700020921 /nfs/dbraw/zinc/02/09/21/700020921.db2.gz MKGOLTLKFNYCCS-VXGBXAGGSA-N -1 1 324.381 1.308 20 0 DDADMM O=C(c1ccc2ccccc2c1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798148364 700044185 /nfs/dbraw/zinc/04/41/85/700044185.db2.gz YLKCFHDDYLRDDS-UHFFFAOYSA-N -1 1 323.356 1.477 20 0 DDADMM COc1cccc([C@H](O)CNC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000798170395 700046186 /nfs/dbraw/zinc/04/61/86/700046186.db2.gz XGLJZMAIYCMYRU-OAHLLOKOSA-N -1 1 315.325 1.433 20 0 DDADMM C[C@H](C(=O)N[N-]C(=O)c1cc(-c2ccccc2)[nH]n1)n1cccn1 ZINC000030562826 696114896 /nfs/dbraw/zinc/11/48/96/696114896.db2.gz HIZYICCIWSHCIK-LLVKDONJSA-N -1 1 324.344 1.295 20 0 DDADMM C=CCNC(=S)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000044383507 696163956 /nfs/dbraw/zinc/16/39/56/696163956.db2.gz ILUNSVXJXMRTCU-UHFFFAOYSA-N -1 1 315.420 1.539 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1cc[nH]c1)C(=O)c1ncccc1[O-] ZINC000977596075 696227542 /nfs/dbraw/zinc/22/75/42/696227542.db2.gz AQHLJZDQICGGCI-LBPRGKRZSA-N -1 1 314.345 1.102 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N(C)C[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000978443098 696383541 /nfs/dbraw/zinc/38/35/41/696383541.db2.gz PDXMFZYCVRCMBW-JHJVBQTASA-N -1 1 317.389 1.506 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CC12CC2 ZINC000978504632 696397593 /nfs/dbraw/zinc/39/75/93/696397593.db2.gz JZLVXBREORVUJQ-NEPJUHHUSA-N -1 1 315.373 1.260 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)[C@@H]1CC1(Cl)Cl ZINC000073997802 696409705 /nfs/dbraw/zinc/40/97/05/696409705.db2.gz RFPRTYPTFKFSBM-LURJTMIESA-N -1 1 309.222 1.813 20 0 DDADMM CC(C)(C)c1ccc(CNC(=O)Cc2sc(N)nc2[O-])cc1 ZINC000079481755 696460675 /nfs/dbraw/zinc/46/06/75/696460675.db2.gz UPYKYLFETVSSQD-GFCCVEGCSA-N -1 1 319.430 1.947 20 0 DDADMM Cc1ccc(N(CCC#N)C(=O)Cc2sc(N)nc2[O-])cc1 ZINC000079790277 696463492 /nfs/dbraw/zinc/46/34/92/696463492.db2.gz LUJNWGRGJLOABW-GFCCVEGCSA-N -1 1 316.386 1.589 20 0 DDADMM CC[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1nc(C)cs1 ZINC000080067978 696530076 /nfs/dbraw/zinc/53/00/76/696530076.db2.gz KNSJEUHYYOHBJZ-HTQZYQBOSA-N -1 1 312.420 1.366 20 0 DDADMM CO[C@@]1(C[N-]S(=O)(=O)c2cc(F)ccc2F)CCSC1 ZINC000096364535 696603797 /nfs/dbraw/zinc/60/37/97/696603797.db2.gz AZDCESUCSBJMIB-GFCCVEGCSA-N -1 1 323.386 1.765 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)NCc2ccccn2)n1 ZINC000096608843 696604156 /nfs/dbraw/zinc/60/41/56/696604156.db2.gz SZCYDMADUFQFMO-UHFFFAOYSA-N -1 1 318.402 1.526 20 0 DDADMM CC(=CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000101664104 696608431 /nfs/dbraw/zinc/60/84/31/696608431.db2.gz SLWCMTDKASFRKA-HJWRWDBZSA-N -1 1 306.347 1.250 20 0 DDADMM CC(=CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000101664104 696608432 /nfs/dbraw/zinc/60/84/32/696608432.db2.gz SLWCMTDKASFRKA-HJWRWDBZSA-N -1 1 306.347 1.250 20 0 DDADMM CC1(C)C[C@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979663862 696621843 /nfs/dbraw/zinc/62/18/43/696621843.db2.gz IAVXOEUUCGJANM-SRVKXCTJSA-N -1 1 317.389 1.458 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC23CC4CC(CC(C4)C2)C3)s1 ZINC000120873873 696702701 /nfs/dbraw/zinc/70/27/01/696702701.db2.gz FYEDPABAFBEIMG-BJLLDDKASA-N -1 1 307.419 1.418 20 0 DDADMM CC[C@H]1c2ccsc2CCN1C(=O)Cc1sc(N)nc1[O-] ZINC000120915019 696703533 /nfs/dbraw/zinc/70/35/33/696703533.db2.gz XUYAITRQXNGCNS-GXSJLCMTSA-N -1 1 323.443 1.931 20 0 DDADMM CN(C[C@H]1CCC[C@H]1O)C(=O)c1nnc2ccccc2c1O ZINC000127953540 696775206 /nfs/dbraw/zinc/77/52/06/696775206.db2.gz VPTYNFUTZHNMAO-ZWNOBZJWSA-N -1 1 301.346 1.156 20 0 DDADMM O=C([N-]CC1CCN(C(=O)c2ncccn2)CC1)C(F)(F)F ZINC000799019740 700110091 /nfs/dbraw/zinc/11/00/91/700110091.db2.gz RJHSDAUCUXVOOL-UHFFFAOYSA-N -1 1 316.283 1.007 20 0 DDADMM CC(C)OCCC(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799021686 700110160 /nfs/dbraw/zinc/11/01/60/700110160.db2.gz LIMTVTRMQWJJRJ-UHFFFAOYSA-N -1 1 324.343 1.719 20 0 DDADMM O=C(NCCCNc1ccccn1)c1nnc2ccccc2c1O ZINC000136190983 696853444 /nfs/dbraw/zinc/85/34/44/696853444.db2.gz SFJIYMUNEIJIOL-UHFFFAOYSA-N -1 1 323.356 1.550 20 0 DDADMM CC(C)CONC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000153655999 696919677 /nfs/dbraw/zinc/91/96/77/696919677.db2.gz HMFRHPLTPWDVPB-UHFFFAOYSA-N -1 1 318.395 1.674 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(Cc2ccccn2)CC1 ZINC000981027524 696983516 /nfs/dbraw/zinc/98/35/16/696983516.db2.gz ZJYYQQYBJDJYAO-UHFFFAOYSA-N -1 1 312.373 1.530 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCc2ccncc2)o1 ZINC000162786695 697330363 /nfs/dbraw/zinc/33/03/63/697330363.db2.gz ZVAQMQCXHACIDF-UHFFFAOYSA-N -1 1 324.358 1.372 20 0 DDADMM C[C@](O)(CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000163695865 697333960 /nfs/dbraw/zinc/33/39/60/697333960.db2.gz LCFLBIUALBQLJQ-WBMJQRKESA-N -1 1 315.377 1.204 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2cnc(C)s2)co1 ZINC000179337421 697436470 /nfs/dbraw/zinc/43/64/70/697436470.db2.gz ANUUIAZUOIJHCD-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@H]1CSCCS1)c1nn[n-]n1 ZINC000183415680 697488114 /nfs/dbraw/zinc/48/81/14/697488114.db2.gz GNKARQSFHKUCLG-IUCAKERBSA-N -1 1 301.441 1.000 20 0 DDADMM O=C(Nc1ccc(-c2ccccc2)cc1)NN1CC(=O)[N-]C1=O ZINC000187619562 697547571 /nfs/dbraw/zinc/54/75/71/697547571.db2.gz WMCYMWCRYBOVIU-UHFFFAOYSA-N -1 1 310.313 1.942 20 0 DDADMM CC[C@]1(C)C[C@@H]1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985728863 697564370 /nfs/dbraw/zinc/56/43/70/697564370.db2.gz RHXURLDARHCFLT-MLGOLLRUSA-N -1 1 321.425 1.369 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C[C@H]1CCCOC1 ZINC000193262966 697656991 /nfs/dbraw/zinc/65/69/91/697656991.db2.gz QTDDYVLBVQJKTM-GFCCVEGCSA-N -1 1 318.377 1.072 20 0 DDADMM O=C(NNc1ncccc1Cl)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000193586981 697673602 /nfs/dbraw/zinc/67/36/02/697673602.db2.gz SPKKWXQUHKPJMX-UHFFFAOYSA-N -1 1 309.669 1.501 20 0 DDADMM COc1ccc(NC(=O)C[C@@H](C)OC)cc1[N-]S(C)(=O)=O ZINC000749566993 700170456 /nfs/dbraw/zinc/17/04/56/700170456.db2.gz BPLQRDACZPDOSJ-SECBINFHSA-N -1 1 316.379 1.430 20 0 DDADMM COc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)ccc1C ZINC000773165021 697722701 /nfs/dbraw/zinc/72/27/01/697722701.db2.gz YHKSZQOQURGQFT-LLVKDONJSA-N -1 1 319.390 1.447 20 0 DDADMM Cn1cccc1C[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000231660426 697786961 /nfs/dbraw/zinc/78/69/61/697786961.db2.gz MUHSSKPTGJRTKR-UHFFFAOYSA-N -1 1 313.310 1.551 20 0 DDADMM CC(C)C1(O)CN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000232245064 697788467 /nfs/dbraw/zinc/78/84/67/697788467.db2.gz XJIJZURUTALUSC-UHFFFAOYSA-N -1 1 314.179 1.998 20 0 DDADMM Cc1csc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC000773751461 697800581 /nfs/dbraw/zinc/80/05/81/697800581.db2.gz LNPZKNVMBAQXKE-UHFFFAOYSA-N -1 1 305.388 1.713 20 0 DDADMM C[C@@H](O)CC(C)(C)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774019586 697834261 /nfs/dbraw/zinc/83/42/61/697834261.db2.gz PXRYCZKMKBYUKC-SECBINFHSA-N -1 1 308.407 1.545 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@H]2CSCCS2)[n-]1 ZINC000774294938 697864938 /nfs/dbraw/zinc/86/49/38/697864938.db2.gz YOFQIBUFNVTUGG-QMMMGPOBSA-N -1 1 315.420 1.764 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@H]2CSCCS2)n1 ZINC000774294938 697864940 /nfs/dbraw/zinc/86/49/40/697864940.db2.gz YOFQIBUFNVTUGG-QMMMGPOBSA-N -1 1 315.420 1.764 20 0 DDADMM C[C@H](NC(=O)C1CC1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000986863789 697932958 /nfs/dbraw/zinc/93/29/58/697932958.db2.gz YCXOXMPWVWJPGX-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM O=C([N-]CCCOC(=O)[C@H]1Cc2ccccc2O1)C(F)(F)F ZINC000774896193 697939176 /nfs/dbraw/zinc/93/91/76/697939176.db2.gz ZXFLETFABSLRCD-LLVKDONJSA-N -1 1 317.263 1.602 20 0 DDADMM O=C([N-]CCCOC(=O)[C@H]1CCCc2n[nH]cc21)C(F)(F)F ZINC000774969213 697948777 /nfs/dbraw/zinc/94/87/77/697948777.db2.gz NOXWSWMROYEKMI-QMMMGPOBSA-N -1 1 319.283 1.441 20 0 DDADMM CN1CC[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)CC1=O ZINC000800129079 700191482 /nfs/dbraw/zinc/19/14/82/700191482.db2.gz LJKRYTUIBHGWJU-LLVKDONJSA-N -1 1 308.359 1.332 20 0 DDADMM O=C(C=Cc1ccccc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776562069 698117414 /nfs/dbraw/zinc/11/74/14/698117414.db2.gz AMUZNMNHQDRGEV-SYTKJHMZSA-N -1 1 301.375 1.530 20 0 DDADMM O=C(c1cccc2cn[nH]c21)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776565559 698117626 /nfs/dbraw/zinc/11/76/26/698117626.db2.gz TWTHYRKHFQQARF-SNVBAGLBSA-N -1 1 315.362 1.006 20 0 DDADMM C[S@](=O)CC[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000778001364 698236463 /nfs/dbraw/zinc/23/64/63/698236463.db2.gz SSXHZZFJPMHGKF-INIZCTEOSA-N -1 1 317.219 1.045 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)/C=C\c1ccc(C)cc1)C(=O)OC ZINC000778066897 698240269 /nfs/dbraw/zinc/24/02/69/698240269.db2.gz BCVDNGSBKCRKEV-LJTDUEICSA-N -1 1 313.375 1.073 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccccc1F ZINC000987859418 698248957 /nfs/dbraw/zinc/24/89/57/698248957.db2.gz ULGPEDDAOIOFRL-NXEZZACHSA-N -1 1 319.340 1.042 20 0 DDADMM Cc1cccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)c1 ZINC000987939233 698269957 /nfs/dbraw/zinc/26/99/57/698269957.db2.gz PRKWTKQFJDKFQA-YPMHNXCESA-N -1 1 315.377 1.212 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C=C1CCCCC1 ZINC000988288836 698322859 /nfs/dbraw/zinc/32/28/59/698322859.db2.gz GPMLCFOCSDJMEQ-AAEUAGOBSA-N -1 1 319.409 1.480 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)CC(C)(C)C)N1CCCCC1 ZINC000778638910 698355045 /nfs/dbraw/zinc/35/50/45/698355045.db2.gz HEOBXKZFHMYGPW-ZDUSSCGKSA-N -1 1 318.483 1.989 20 0 DDADMM Cc1cnc(C(=O)NCC2CN(C(=O)OC(C)(C)C)C2)c([O-])c1 ZINC000779041481 698392809 /nfs/dbraw/zinc/39/28/09/698392809.db2.gz SDUWTQIBHFLHRN-UHFFFAOYSA-N -1 1 321.377 1.692 20 0 DDADMM C[C@@H]1CCN(Cc2cc(=O)oc3cc([O-])ccc23)[C@@H](C(N)=O)C1 ZINC000249599093 698395283 /nfs/dbraw/zinc/39/52/83/698395283.db2.gz XRQWEDSSBBAOHF-QMTHXVAHSA-N -1 1 316.357 1.584 20 0 DDADMM CC[C@@H]1C[C@H](OC(=O)c2ccc(S(=O)(=O)[N-]C)o2)CCO1 ZINC000779301309 698411088 /nfs/dbraw/zinc/41/10/88/698411088.db2.gz YQDBRPLFMMXFJJ-NXEZZACHSA-N -1 1 317.363 1.302 20 0 DDADMM C[C@@H]1C[C@H](NCc2csnn2)CN1C(=O)c1ncccc1[O-] ZINC000988686712 698436857 /nfs/dbraw/zinc/43/68/57/698436857.db2.gz VWPWTPBYFDOEMO-ZJUUUORDSA-N -1 1 319.390 1.032 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC(C)(C)C1CC1 ZINC000988802705 698469742 /nfs/dbraw/zinc/46/97/42/698469742.db2.gz JWKHVMYKPDZMHI-JQWIXIFHSA-N -1 1 321.425 1.416 20 0 DDADMM CC[C@@H](NC(=O)NC[C@H](c1ccc(C)o1)N1CCCC1)C(=O)[O-] ZINC000780513372 698527274 /nfs/dbraw/zinc/52/72/74/698527274.db2.gz OUGQYTVFYKKGJB-CHWSQXEVSA-N -1 1 323.393 1.887 20 0 DDADMM Cc1ccc2nc(CNC(=O)N(CC(=O)[O-])C3CC3)[nH]c2c1 ZINC000780619501 698539221 /nfs/dbraw/zinc/53/92/21/698539221.db2.gz JZTASCLCTJKMSH-UHFFFAOYSA-N -1 1 302.334 1.630 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)C[C@@H](OC)c1ccccc1)C(=O)OC ZINC000296453908 698592922 /nfs/dbraw/zinc/59/29/22/698592922.db2.gz WRPSETCHCHDCQK-CHWSQXEVSA-N -1 1 315.391 1.245 20 0 DDADMM CCc1cnccc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000781262016 698602668 /nfs/dbraw/zinc/60/26/68/698602668.db2.gz JALRJJGQTBTLCC-UHFFFAOYSA-N -1 1 304.268 1.869 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H]1CCC=CO1 ZINC000781474304 698623349 /nfs/dbraw/zinc/62/33/49/698623349.db2.gz DGXZXOXUUIECLB-GFCCVEGCSA-N -1 1 316.361 1.403 20 0 DDADMM CC[C@](C)(C[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-])OC ZINC000323040267 698738636 /nfs/dbraw/zinc/73/86/36/698738636.db2.gz BOJJVELGCXQJAL-GFCCVEGCSA-N -1 1 320.342 1.827 20 0 DDADMM COC(=O)CCC(=O)COC(=O)c1c([O-])cc(F)cc1F ZINC000783264058 698827480 /nfs/dbraw/zinc/82/74/80/698827480.db2.gz NTMUXDYECIPNKZ-UHFFFAOYSA-N -1 1 302.229 1.350 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)N(C)C(C)C)c1cccs1 ZINC000348114722 698829982 /nfs/dbraw/zinc/82/99/82/698829982.db2.gz UHDCKHQXTVQPOY-SNVBAGLBSA-N -1 1 306.409 1.137 20 0 DDADMM Cc1cccc(C2(C(=O)NN3CC(=O)[N-]C3=O)CCCC2)c1 ZINC000783569497 698853204 /nfs/dbraw/zinc/85/32/04/698853204.db2.gz SAQMGLBXKDZRSP-UHFFFAOYSA-N -1 1 301.346 1.390 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1C[C@H]2C[C@@]2(CO)C1 ZINC000783782033 698878568 /nfs/dbraw/zinc/87/85/68/698878568.db2.gz DRAXTZOANRWVJL-OQPBUACISA-N -1 1 312.163 1.609 20 0 DDADMM O=C(CCn1ccnc1)Nc1nc(Br)ccc1[O-] ZINC000783911057 698890987 /nfs/dbraw/zinc/89/09/87/698890987.db2.gz VNTACPRHIYEIQH-UHFFFAOYSA-N -1 1 311.139 1.775 20 0 DDADMM Cc1nc(C(=O)N2CCN(CC3CC3)CC2)ccc1C(=O)[O-] ZINC000387015323 699080186 /nfs/dbraw/zinc/08/01/86/699080186.db2.gz JWXWYPOGBSQJRP-UHFFFAOYSA-N -1 1 303.362 1.256 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2C[C@H]2c2ccc(Cl)s2)nc1=O ZINC000787138495 699193203 /nfs/dbraw/zinc/19/32/03/699193203.db2.gz OEURKNQEYIFTOC-RNFRBKRXSA-N -1 1 313.766 1.670 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990976139 699197442 /nfs/dbraw/zinc/19/74/42/699197442.db2.gz DYNZIVQXEWIVBJ-DGCLKSJQSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@@H]1CN(Cc2cn(CC(=O)[O-])nn2)CC[C@H]1c1ccccc1 ZINC000517241935 699208765 /nfs/dbraw/zinc/20/87/65/699208765.db2.gz XLLRWEDSZZRABB-CZUORRHYSA-N -1 1 314.389 1.988 20 0 DDADMM Cc1cccnc1C(F)(F)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000787380282 699209495 /nfs/dbraw/zinc/20/94/95/699209495.db2.gz RXKFHLZYKMHTQV-UHFFFAOYSA-N -1 1 322.319 1.581 20 0 DDADMM Cc1ccc(CCC(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1 ZINC000788147868 699284297 /nfs/dbraw/zinc/28/42/97/699284297.db2.gz JVHZCPHWVMVHPP-ZDUSSCGKSA-N -1 1 317.418 1.757 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000717109641 699286333 /nfs/dbraw/zinc/28/63/33/699286333.db2.gz MOOBMIMFIJUTDO-XFWSIPNHSA-N -1 1 303.815 1.644 20 0 DDADMM COc1cc(C(=O)Nc2ccncc2[O-])cnc1Br ZINC000788520726 699320834 /nfs/dbraw/zinc/32/08/34/699320834.db2.gz KXPVEPMBYMKDEP-UHFFFAOYSA-N -1 1 324.134 1.628 20 0 DDADMM C[C@@H]1CC[C@H](COC(=O)c2sccc2[N-]S(C)(=O)=O)O1 ZINC000789031795 699357171 /nfs/dbraw/zinc/35/71/71/699357171.db2.gz NDMCSHDWHJJRKB-RKDXNWHRSA-N -1 1 319.404 1.844 20 0 DDADMM COc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1Cl ZINC000726865093 699386061 /nfs/dbraw/zinc/38/60/61/699386061.db2.gz QERAXCSSSOBMOK-UHFFFAOYSA-N -1 1 323.736 1.227 20 0 DDADMM COC(COCCNC(=O)c1ccc2ccccc2c1[O-])OC ZINC000789917894 699421095 /nfs/dbraw/zinc/42/10/95/699421095.db2.gz REJOADBNKBWUNW-UHFFFAOYSA-N -1 1 319.357 1.911 20 0 DDADMM Cc1nn(-c2ccccc2)c(Cl)c1C(=O)NCc1nn[n-]n1 ZINC000731873561 699539361 /nfs/dbraw/zinc/53/93/61/699539361.db2.gz VYMSZBKBAJVLFC-UHFFFAOYSA-N -1 1 317.740 1.277 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCc4ccccc4C3)ccnc1-2 ZINC000791089672 699610902 /nfs/dbraw/zinc/61/09/02/699610902.db2.gz OSWXNTCSCDOZBA-UHFFFAOYSA-N -1 1 307.357 1.932 20 0 DDADMM CC[C@@H](C(=O)OC)C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000791634641 699644526 /nfs/dbraw/zinc/64/45/26/699644526.db2.gz PINJRLCWAMRUOP-ZDUSSCGKSA-N -1 1 308.330 1.880 20 0 DDADMM CCOCCOC[C@H](O)CNC(=O)c1c([O-])cccc1Cl ZINC000792043388 699672335 /nfs/dbraw/zinc/67/23/35/699672335.db2.gz KFEXWZATUGQIIN-SNVBAGLBSA-N -1 1 317.769 1.189 20 0 DDADMM COC(=O)Cc1cccc(NC([O-])=NO[C@H]2CCCCO2)n1 ZINC000792406108 699695854 /nfs/dbraw/zinc/69/58/54/699695854.db2.gz GKFAWENWVLXZGW-ZDUSSCGKSA-N -1 1 309.322 1.377 20 0 DDADMM COC(=O)Cc1cccc(NC(=O)[N-]O[C@H]2CCCCO2)n1 ZINC000792406108 699695855 /nfs/dbraw/zinc/69/58/55/699695855.db2.gz GKFAWENWVLXZGW-ZDUSSCGKSA-N -1 1 309.322 1.377 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1cccc(Nc2ccccc2)c1 ZINC000735687722 699700265 /nfs/dbraw/zinc/70/02/65/699700265.db2.gz RUTRLBIIROZWCG-UHFFFAOYSA-N -1 1 310.313 1.627 20 0 DDADMM CCCOc1cccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c1 ZINC000736492719 699726598 /nfs/dbraw/zinc/72/65/98/699726598.db2.gz NCXSOFKAQWFWQH-ZDUSSCGKSA-N -1 1 317.349 1.202 20 0 DDADMM CCC(CC)S(=O)(=O)[N-]C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000793147929 699735286 /nfs/dbraw/zinc/73/52/86/699735286.db2.gz LXXMVONUGLXPSZ-UHFFFAOYSA-N -1 1 322.390 1.720 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(N2CCCC2=O)ccc1F ZINC000737756352 699744465 /nfs/dbraw/zinc/74/44/65/699744465.db2.gz LHJSGWYLXPDPAX-UHFFFAOYSA-N -1 1 316.354 1.341 20 0 DDADMM CCN(Cc1cccs1)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000737850941 699746409 /nfs/dbraw/zinc/74/64/09/699746409.db2.gz BEYWLKBWKUOWTO-GFCCVEGCSA-N -1 1 310.419 1.893 20 0 DDADMM Cn1c2ccc(OC(=O)c3ccc([O-])c(F)c3)cc2n(C)c1=O ZINC000794164855 699795993 /nfs/dbraw/zinc/79/59/93/699795993.db2.gz PWRLURQRBKYUHE-UHFFFAOYSA-N -1 1 316.288 1.941 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C(N)=O)c2ccccc2)[n-]1 ZINC000796346283 699928469 /nfs/dbraw/zinc/92/84/69/699928469.db2.gz RNFSHPBCSIDOIT-LBPRGKRZSA-N -1 1 302.286 1.185 20 0 DDADMM CCc1cnc(COC(=O)c2ccc(S(=O)(=O)[N-]C)o2)o1 ZINC000744718114 699959051 /nfs/dbraw/zinc/95/90/51/699959051.db2.gz BBYBDKJZBSKYLR-UHFFFAOYSA-N -1 1 314.319 1.095 20 0 DDADMM Cc1cc(N)ccc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000744949268 699966598 /nfs/dbraw/zinc/96/65/98/699966598.db2.gz HBHSERPLPRQLEW-UHFFFAOYSA-N -1 1 312.325 1.691 20 0 DDADMM COC(=O)CCCOC(=O)c1nn(-c2ccc(C)cc2)cc1[O-] ZINC000801372305 700301490 /nfs/dbraw/zinc/30/14/90/700301490.db2.gz WXFKBPFKOUYVFK-UHFFFAOYSA-N -1 1 318.329 1.996 20 0 DDADMM Cc1cc(F)ccc1-n1cc([O-])c(C(=O)OCCOCCO)n1 ZINC000801427022 700307978 /nfs/dbraw/zinc/30/79/78/700307978.db2.gz AYBDIXKSVRIQQD-UHFFFAOYSA-N -1 1 324.308 1.191 20 0 DDADMM C[C@@H](Oc1ccc(F)cc1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801704420 700333249 /nfs/dbraw/zinc/33/32/49/700333249.db2.gz LMUMORVSYIVEKC-SNVBAGLBSA-N -1 1 314.320 1.887 20 0 DDADMM O=C([N-]n1cnc2ccccc2c1=O)c1cccc2nccnc21 ZINC000754025462 700476980 /nfs/dbraw/zinc/47/69/80/700476980.db2.gz PHHAYAOYCMDJNI-UHFFFAOYSA-N -1 1 317.308 1.724 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cnc(Cc2ccccc2)s1 ZINC000755359955 700562936 /nfs/dbraw/zinc/56/29/36/700562936.db2.gz DYLARSKUKKKYBX-UHFFFAOYSA-N -1 1 300.347 1.177 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C(=O)[N-]OC2CCCCC2)CN1C1CC1 ZINC000809361755 701661282 /nfs/dbraw/zinc/66/12/82/701661282.db2.gz JQOXPXMBVXIDGI-NWDGAFQWSA-N -1 1 309.410 1.108 20 0 DDADMM Cc1cccc(C2(C(=O)OCc3nc(=O)n(C)[n-]3)CCCC2)c1 ZINC000765461999 701013958 /nfs/dbraw/zinc/01/39/58/701013958.db2.gz FIOSMFKZLSQYDU-UHFFFAOYSA-N -1 1 315.373 1.972 20 0 DDADMM CC[C@H](CC(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(OC)cc1 ZINC000765500128 701016320 /nfs/dbraw/zinc/01/63/20/701016320.db2.gz CAXDVDDRUJOAPI-LLVKDONJSA-N -1 1 319.361 1.744 20 0 DDADMM COC(=O)C1(C(=O)OCCc2cc(C(C)=O)ccc2[O-])CC1 ZINC000766834046 701065056 /nfs/dbraw/zinc/06/50/56/701065056.db2.gz MUUVDDDFZSRCEU-UHFFFAOYSA-N -1 1 306.314 1.634 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCSC(C)C)o1 ZINC000803277965 701097505 /nfs/dbraw/zinc/09/75/05/701097505.db2.gz KIHDQFGMCPWSLV-UHFFFAOYSA-N -1 1 307.393 1.486 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1COc2ccccc2C1 ZINC000809806151 701691347 /nfs/dbraw/zinc/69/13/47/701691347.db2.gz DKXBSJJEAHVEMF-SNVBAGLBSA-N -1 1 323.374 1.095 20 0 DDADMM COCCS(=O)(=O)[N-]c1ncc(Br)cc1F ZINC000769670835 701251543 /nfs/dbraw/zinc/25/15/43/701251543.db2.gz FUGSTXWMWBSIDF-UHFFFAOYSA-N -1 1 313.148 1.371 20 0 DDADMM CC(C)n1cc2cc(NC(=O)NN3CC(=O)[N-]C3=O)ccc2n1 ZINC000770514702 701281318 /nfs/dbraw/zinc/28/13/18/701281318.db2.gz SDLVBSYTAYIJMT-UHFFFAOYSA-N -1 1 316.321 1.206 20 0 DDADMM CC(C)(CO)O[N-]C(=O)Cc1cccnc1Br ZINC000836806212 707520178 /nfs/dbraw/zinc/52/01/78/707520178.db2.gz UQCWPJOQMRXSJN-UHFFFAOYSA-N -1 1 303.156 1.205 20 0 DDADMM CCCOC(=O)CC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000771949301 701338008 /nfs/dbraw/zinc/33/80/08/701338008.db2.gz VNSKFDIQULQLLC-UHFFFAOYSA-N -1 1 306.409 1.382 20 0 DDADMM O=CNc1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000771556918 701323457 /nfs/dbraw/zinc/32/34/57/701323457.db2.gz YXNDGIYQMWONRE-CQSZACIVSA-N -1 1 322.328 1.287 20 0 DDADMM Cc1ccc(NC(=O)[C@H](C)OC(=O)c2cn[n-]n2)c(Cl)c1 ZINC000805603328 701397238 /nfs/dbraw/zinc/39/72/38/701397238.db2.gz LWBPOJWZEHLIAH-QMMMGPOBSA-N -1 1 308.725 1.951 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)Nc1ccc(F)cc1Cl ZINC000805602703 701397534 /nfs/dbraw/zinc/39/75/34/701397534.db2.gz AGHRIDBXBSDPHR-LURJTMIESA-N -1 1 312.688 1.781 20 0 DDADMM Cc1ccc(N2CCC[C@@H](OC(=O)c3cn[n-]n3)C2=O)cc1 ZINC000805606574 701398828 /nfs/dbraw/zinc/39/88/28/701398828.db2.gz ZVBSNTRCMQUVLR-CYBMUJFWSA-N -1 1 300.318 1.466 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)Nc1c(Cl)cccc1Cl ZINC000805606093 701399042 /nfs/dbraw/zinc/39/90/42/701399042.db2.gz FUBAXUSTHJEDEM-UHFFFAOYSA-N -1 1 315.116 1.907 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)N1CCSc2ccccc21 ZINC000805606419 701399142 /nfs/dbraw/zinc/39/91/42/701399142.db2.gz WYKHBMWWIOMHAM-SECBINFHSA-N -1 1 318.358 1.489 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)Nc1ccc(C(F)(F)F)cc1 ZINC000805609416 701399809 /nfs/dbraw/zinc/39/98/09/701399809.db2.gz QRHFVJWJBHBLRJ-UHFFFAOYSA-N -1 1 314.223 1.619 20 0 DDADMM C[C@H]1CCN(Cc2ccnn2C)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000805788642 701409424 /nfs/dbraw/zinc/40/94/24/701409424.db2.gz RHMXHBBFBGOLMH-NHCYSSNCSA-N -1 1 318.343 1.698 20 0 DDADMM C[C@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@H](C)S1 ZINC000806479999 701436682 /nfs/dbraw/zinc/43/66/82/701436682.db2.gz AUFTUEGAAHJUDU-UWVGGRQHSA-N -1 1 305.407 1.700 20 0 DDADMM Cc1c(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)n[nH]c1C1CC1 ZINC000806484677 701437316 /nfs/dbraw/zinc/43/73/16/701437316.db2.gz FNWPHLLTCFVTHP-UHFFFAOYSA-N -1 1 311.349 1.895 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3ccc(Cl)o3)ccnc1-2 ZINC000806493048 701438010 /nfs/dbraw/zinc/43/80/10/701438010.db2.gz OEKCGSSYCIPQGC-UHFFFAOYSA-N -1 1 305.725 1.910 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@@H](c4ccccn4)C3)ccnc1-2 ZINC000806493074 701438088 /nfs/dbraw/zinc/43/80/88/701438088.db2.gz SEWSHUZVEQHZSS-GFCCVEGCSA-N -1 1 322.372 1.758 20 0 DDADMM NC(=O)N1CCC[C@H](CNC(=O)c2c([O-])cccc2Cl)C1 ZINC000807812016 701487420 /nfs/dbraw/zinc/48/74/20/701487420.db2.gz GUKMCUQHVZCNCE-SECBINFHSA-N -1 1 311.769 1.566 20 0 DDADMM CCOC(=O)[C@H](CC=C(C)C)[N-]S(=O)(=O)Cc1ccon1 ZINC000867761235 701735262 /nfs/dbraw/zinc/73/52/62/701735262.db2.gz YUXRLEUORYQZSN-LBPRGKRZSA-N -1 1 316.379 1.382 20 0 DDADMM COC(=O)C1CC([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC000867768820 701739246 /nfs/dbraw/zinc/73/92/46/701739246.db2.gz GJIOFZVPAWEKOT-UHFFFAOYSA-N -1 1 321.757 1.709 20 0 DDADMM C[C@H](c1ccc(F)cc1)N1CC[C@@H](OC(=O)c2cn[n-]n2)C1=O ZINC000815246119 701830251 /nfs/dbraw/zinc/83/02/51/701830251.db2.gz TVQRMGVEVGDNHI-NOZJJQNGSA-N -1 1 318.308 1.463 20 0 DDADMM O=C([N-]N1CCCC1=O)c1cc(Cl)ncc1Br ZINC000810854544 701863185 /nfs/dbraw/zinc/86/31/85/701863185.db2.gz CSYZMFHJXBCSEH-UHFFFAOYSA-N -1 1 318.558 1.765 20 0 DDADMM C[C@@H](CNC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000868365571 702087622 /nfs/dbraw/zinc/08/76/22/702087622.db2.gz OHXAKMSURVJHHQ-TVQRCGJNSA-N -1 1 321.343 1.885 20 0 DDADMM O=C([C@H]1CCCC12OCCO2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000816498573 702097352 /nfs/dbraw/zinc/09/73/52/702097352.db2.gz AKZNTEHJQVOWGQ-GHMZBOCLSA-N -1 1 323.349 1.024 20 0 DDADMM CC1CC(NC(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000868378079 702097865 /nfs/dbraw/zinc/09/78/65/702097865.db2.gz STDJNDIVRLOFSV-KWPJZBAWSA-N -1 1 307.316 1.637 20 0 DDADMM CC1(OCC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000831140132 706642762 /nfs/dbraw/zinc/64/27/62/706642762.db2.gz RRMNTISKAOTHAQ-UHFFFAOYSA-N -1 1 308.300 1.083 20 0 DDADMM Cc1ncccc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831145005 706643920 /nfs/dbraw/zinc/64/39/20/706643920.db2.gz PRHYVKQWSCAITN-UHFFFAOYSA-N -1 1 301.268 1.141 20 0 DDADMM CC(C)CO[C@H](C)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831148918 706644313 /nfs/dbraw/zinc/64/43/13/706644313.db2.gz CFDFVZCYFCBIGW-SECBINFHSA-N -1 1 310.316 1.184 20 0 DDADMM CO[C@@H](CC[N-]S(=O)(=O)c1c[nH]nc1Cl)C(F)(F)F ZINC000831216775 706660003 /nfs/dbraw/zinc/66/00/03/706660003.db2.gz QMTFDNBMKOTCGH-LURJTMIESA-N -1 1 321.708 1.309 20 0 DDADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)[N-]OCC1CC1 ZINC000817344855 702347393 /nfs/dbraw/zinc/34/73/93/702347393.db2.gz XKYQQLZBCOLDHC-VIFPVBQESA-N -1 1 302.334 1.139 20 0 DDADMM CSc1nc(C)c(CCC(=O)NOCCC2CC2)c(=O)[n-]1 ZINC000817441812 702380907 /nfs/dbraw/zinc/38/09/07/702380907.db2.gz ODYJXICYTBSURI-UHFFFAOYSA-N -1 1 311.407 1.993 20 0 DDADMM CON(C)C(=O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000817667920 702443913 /nfs/dbraw/zinc/44/39/13/702443913.db2.gz WCXCUFUDJCJOEI-UHFFFAOYSA-N -1 1 306.240 1.161 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC2(C1)CNC(=O)O2 ZINC000817684072 702450564 /nfs/dbraw/zinc/45/05/64/702450564.db2.gz KYWGNXOPASZNGH-UHFFFAOYSA-N -1 1 316.235 1.345 20 0 DDADMM O=C(OCC[N-]S(=O)(=O)c1cc(F)ccc1F)C1CC1 ZINC000841537719 702488065 /nfs/dbraw/zinc/48/80/65/702488065.db2.gz WSALQLBPCOVHKI-UHFFFAOYSA-N -1 1 305.302 1.196 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)c1cccc(=O)[nH]1 ZINC000814476542 702531659 /nfs/dbraw/zinc/53/16/59/702531659.db2.gz WHKGHYFHLJQDNT-UHFFFAOYSA-N -1 1 313.265 1.956 20 0 DDADMM O=C1OCC[C@@H]1N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000879446902 706681793 /nfs/dbraw/zinc/68/17/93/706681793.db2.gz VMBRKYWZBNVTPF-ZDUSSCGKSA-N -1 1 310.781 1.479 20 0 DDADMM [O-]c1ccc(CN2CCN(Cc3cnon3)CC2)cc1Cl ZINC000879447679 706682237 /nfs/dbraw/zinc/68/22/37/706682237.db2.gz QDVOORZMCPCNGO-UHFFFAOYSA-N -1 1 308.769 1.746 20 0 DDADMM C[C@H]1CC[C@H](CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869435498 702606135 /nfs/dbraw/zinc/60/61/35/702606135.db2.gz OIXYFUHDSFAKGD-UWVGGRQHSA-N -1 1 323.311 1.994 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CC(C)(C)C2CC2)CCC1 ZINC000843013151 702803410 /nfs/dbraw/zinc/80/34/10/702803410.db2.gz AFZRJSUVHHPTNI-UHFFFAOYSA-N -1 1 316.467 1.743 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC[C@H](O)c2ccccc2)sn1 ZINC000866889402 706835640 /nfs/dbraw/zinc/83/56/40/706835640.db2.gz TUFLWLZFXFXAKH-LBPRGKRZSA-N -1 1 312.416 1.854 20 0 DDADMM CCC[C@@](C)([N-]S(=O)(=O)c1ccc(F)nc1F)C(=O)OC ZINC000866467016 706705995 /nfs/dbraw/zinc/70/59/95/706705995.db2.gz RSFYORIJEKQGKK-GFCCVEGCSA-N -1 1 322.333 1.370 20 0 DDADMM C[C@@]1(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CCc2ccccc21 ZINC000843790931 702924495 /nfs/dbraw/zinc/92/44/95/702924495.db2.gz JGAYKWBPKNTOCJ-CZUORRHYSA-N -1 1 313.361 1.004 20 0 DDADMM Cc1ccccc1C1(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000843958638 702946493 /nfs/dbraw/zinc/94/64/93/702946493.db2.gz NWKSDPWXJVCYPK-UHFFFAOYSA-N -1 1 313.357 1.041 20 0 DDADMM CCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccccc2OCC)C1 ZINC000844079718 702968636 /nfs/dbraw/zinc/96/86/36/702968636.db2.gz INOQMDGBCDQMDB-LBPRGKRZSA-N -1 1 306.362 1.896 20 0 DDADMM CCCO[N-]C(=O)[C@@H]1CCC(=O)N(CCC)[C@H]1c1cncn1C ZINC000844087458 702969963 /nfs/dbraw/zinc/96/99/63/702969963.db2.gz SJXUFTUORBYNFJ-IUODEOHRSA-N -1 1 322.409 1.568 20 0 DDADMM C[C@@H]1C[C@@H]1C[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000866494748 706713091 /nfs/dbraw/zinc/71/30/91/706713091.db2.gz RVPLFLVIKCDULE-PJYBLOJUSA-N -1 1 302.421 1.634 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@@]1(C)C[C@]1(F)Cl ZINC000845973698 703221796 /nfs/dbraw/zinc/22/17/96/703221796.db2.gz BYDRRZGVMVAQIS-VHSXEESVSA-N -1 1 311.766 1.548 20 0 DDADMM CCON(C)C(=O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000846004465 703225703 /nfs/dbraw/zinc/22/57/03/703225703.db2.gz FPIRZFBOFDWXQR-UHFFFAOYSA-N -1 1 324.761 1.167 20 0 DDADMM COC(=O)c1[n-]cnc1NC(=O)C(F)(F)C1CCOCC1 ZINC000847028283 703368352 /nfs/dbraw/zinc/36/83/52/703368352.db2.gz RVDGHKWJCARXDF-UHFFFAOYSA-N -1 1 303.265 1.197 20 0 DDADMM CC[C@](C)(CO)C(=O)Nc1nc(Br)ccc1[O-] ZINC000847880432 703480081 /nfs/dbraw/zinc/48/00/81/703480081.db2.gz MHMANDAOZRNAHR-LLVKDONJSA-N -1 1 303.156 1.897 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1ccc2ncnn2c1 ZINC000848247265 703531866 /nfs/dbraw/zinc/53/18/66/703531866.db2.gz GWSHKVBZTKVWRX-UHFFFAOYSA-N -1 1 312.333 1.909 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@H]1CC12CC2 ZINC000848337324 703540261 /nfs/dbraw/zinc/54/02/61/703540261.db2.gz FLWXWZYLCJXOIN-OPRDCNLKSA-N -1 1 304.312 1.845 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@H]1CCC(=O)C1 ZINC000848338638 703540509 /nfs/dbraw/zinc/54/05/09/703540509.db2.gz QLIOFWUHTPTNFP-WCABBAIRSA-N -1 1 320.311 1.414 20 0 DDADMM CC(=O)CCCC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848342578 703540986 /nfs/dbraw/zinc/54/09/86/703540986.db2.gz TWXMSOMEGZWRGA-GHMZBOCLSA-N -1 1 322.327 1.804 20 0 DDADMM COCCCC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848342180 703541015 /nfs/dbraw/zinc/54/10/15/703541015.db2.gz FRUYSFKLQXRVNI-NXEZZACHSA-N -1 1 310.316 1.471 20 0 DDADMM CN(C)[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(Cl)cc1 ZINC000848800100 703591017 /nfs/dbraw/zinc/59/10/17/703591017.db2.gz MJOVMGRZTREXEV-GFCCVEGCSA-N -1 1 324.768 1.108 20 0 DDADMM COc1cccc2c1n[nH]c2C(=O)OC[C@H](OC)[C@H]1CCOC1 ZINC000849778058 703677182 /nfs/dbraw/zinc/67/71/82/703677182.db2.gz XUTBVRXXMSXCGT-GWCFXTLKSA-N -1 1 320.345 1.780 20 0 DDADMM Cn1nnnc1C1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000831733066 706765870 /nfs/dbraw/zinc/76/58/70/706765870.db2.gz FLOSRHDJWKYCEE-UHFFFAOYSA-N -1 1 305.313 1.075 20 0 DDADMM O=C([O-])C[C@H](CNC(=O)c1ccc(-c2nnc[nH]2)cc1)C1CC1 ZINC000851706022 703833572 /nfs/dbraw/zinc/83/35/72/703833572.db2.gz WKSLHHVZTONKOD-CYBMUJFWSA-N -1 1 314.345 1.702 20 0 DDADMM O=S(=O)(CCC(F)(F)F)[N-][C@@H]1CCCC12OCCO2 ZINC000851815633 703863451 /nfs/dbraw/zinc/86/34/51/703863451.db2.gz RICPAJLPFIKXCQ-MRVPVSSYSA-N -1 1 303.302 1.154 20 0 DDADMM O=S(=O)([N-]CCOCCF)c1ccc(Br)o1 ZINC000851892043 703879340 /nfs/dbraw/zinc/87/93/40/703879340.db2.gz CPYSXCQDZVDZBI-UHFFFAOYSA-N -1 1 316.148 1.307 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(Cc2cccnc2N)C1 ZINC000879767319 706787341 /nfs/dbraw/zinc/78/73/41/706787341.db2.gz WLUPGWZBLFVAGB-GFCCVEGCSA-N -1 1 302.300 1.307 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(CC(=O)Nc2ccc(Cl)nc2)C1 ZINC000852732621 704108468 /nfs/dbraw/zinc/10/84/68/704108468.db2.gz VFQIRJCVMUQPCE-NXEZZACHSA-N -1 1 311.769 1.716 20 0 DDADMM CS(=O)(=O)CCCCNC(=O)c1c([O-])cccc1Cl ZINC000819609073 704150614 /nfs/dbraw/zinc/15/06/14/704150614.db2.gz KRKUUGOOALGBQS-UHFFFAOYSA-N -1 1 305.783 1.600 20 0 DDADMM CC1(C)CCCC[C@@H]1CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000819620707 704151444 /nfs/dbraw/zinc/15/14/44/704151444.db2.gz RGRQYQOUNPDCLE-GFCCVEGCSA-N -1 1 321.425 1.616 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1nc[nH]c1Br)C1CCC1 ZINC000867011526 706871434 /nfs/dbraw/zinc/87/14/34/706871434.db2.gz ACXKMZMUJIBZKO-LURJTMIESA-N -1 1 308.201 1.639 20 0 DDADMM CCN(C(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C)[C@@H](C)C(=O)[O-] ZINC000852954554 704178277 /nfs/dbraw/zinc/17/82/77/704178277.db2.gz LFEAWAUGTKCUAY-YUMQZZPRSA-N -1 1 312.288 1.011 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1c2ccccc2C[C@H]1C ZINC000866773339 706795942 /nfs/dbraw/zinc/79/59/42/706795942.db2.gz DXOGUEZIJSICSB-KRDDEPHXSA-N -1 1 316.448 1.872 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC2(CC(=O)N2Cc2ccccc2)C1 ZINC000871457597 704298151 /nfs/dbraw/zinc/29/81/51/704298151.db2.gz WLRWSKLPBBHBLP-UHFFFAOYSA-N -1 1 323.352 1.414 20 0 DDADMM CCCOC(=O)[C@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821128508 704374727 /nfs/dbraw/zinc/37/47/27/704374727.db2.gz WAVDYCKAYNEOLJ-ZETCQYMHSA-N -1 1 310.335 1.427 20 0 DDADMM CCCOC(=O)[C@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821128508 704374729 /nfs/dbraw/zinc/37/47/29/704374729.db2.gz WAVDYCKAYNEOLJ-ZETCQYMHSA-N -1 1 310.335 1.427 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)CCSC)c1 ZINC000866813976 706811687 /nfs/dbraw/zinc/81/16/87/706811687.db2.gz HXFSQYZJMZMVGF-SECBINFHSA-N -1 1 305.421 1.821 20 0 DDADMM CCCC[C@@H](NC(N)=O)C(=O)n1[n-]c(=O)c2ccc(OC)cc21 ZINC000854505842 704409461 /nfs/dbraw/zinc/40/94/61/704409461.db2.gz KWURTZWGJCSVLG-LLVKDONJSA-N -1 1 320.349 1.618 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CC1CCN(OCC)CC1 ZINC000855135556 704470172 /nfs/dbraw/zinc/47/01/72/704470172.db2.gz OGOTXYOPSJUFFI-UHFFFAOYSA-N -1 1 316.398 1.430 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCCC12CCCCC2 ZINC000855203492 704472740 /nfs/dbraw/zinc/47/27/40/704472740.db2.gz NHVVVXQRZIQOPO-ZDUSSCGKSA-N -1 1 319.405 1.546 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@H](N2CCOC2=O)C1 ZINC000855511263 704489683 /nfs/dbraw/zinc/48/96/83/704489683.db2.gz WIPLAHOEZXQFGV-JTQLQIEISA-N -1 1 310.737 1.712 20 0 DDADMM COC(=O)N(C)CCN(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000855892783 704505154 /nfs/dbraw/zinc/50/51/54/704505154.db2.gz LTRPRUJDAAQRIS-UHFFFAOYSA-N -1 1 317.345 1.711 20 0 DDADMM COC(=O)[C@](C)(CCF)[N-]C(=O)C(F)(F)c1nccs1 ZINC000855909894 704506067 /nfs/dbraw/zinc/50/60/67/704506067.db2.gz HGIARMGBOLYBHW-JTQLQIEISA-N -1 1 310.297 1.642 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)C1CC1)c1ccc(Cl)nc1F ZINC000866859088 706827762 /nfs/dbraw/zinc/82/77/62/706827762.db2.gz JICKCYRWKOMVRW-QMMMGPOBSA-N -1 1 308.762 1.313 20 0 DDADMM CC(C)CC[C@](C)(O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866860908 706828702 /nfs/dbraw/zinc/82/87/02/706828702.db2.gz YZBNBJRGZQJILT-ZDUSSCGKSA-N -1 1 322.377 1.825 20 0 DDADMM CC(C)(CNc1cc(Cl)[n-]c(=O)n1)C1(O)CCOCC1 ZINC000858572744 704729021 /nfs/dbraw/zinc/72/90/21/704729021.db2.gz SQAVSSMVXRNPGE-UHFFFAOYSA-N -1 1 301.774 1.815 20 0 DDADMM O=c1nc(NCC[S@@](=O)CC(F)(F)F)cc(Cl)[n-]1 ZINC000858620937 704735941 /nfs/dbraw/zinc/73/59/41/704735941.db2.gz IVQZHPDYLXGRDU-GOSISDBHSA-N -1 1 303.693 1.559 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CCC(C)(F)F)c1C(F)(F)F ZINC000867319100 706959053 /nfs/dbraw/zinc/95/90/53/706959053.db2.gz IETNNGQJCQXEGU-UHFFFAOYSA-N -1 1 321.271 1.763 20 0 DDADMM Cc1occ(C(F)(F)F)c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000821894252 704836595 /nfs/dbraw/zinc/83/65/95/704836595.db2.gz LNSIZRVHCDFJJI-LURJTMIESA-N -1 1 317.271 1.996 20 0 DDADMM O=C(CC(C1CCC1)C1CCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000859278061 704846022 /nfs/dbraw/zinc/84/60/22/704846022.db2.gz JEXMUBPYEBDMBK-AWEZNQCLSA-N -1 1 319.409 1.706 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@]12C[C@@H]1COC2(C)C ZINC000859289957 704850979 /nfs/dbraw/zinc/85/09/79/704850979.db2.gz IOAMLILFNAAPTQ-PELKAZGASA-N -1 1 319.814 1.228 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@@H](c2c(F)cccc2F)C1 ZINC000867468243 707011669 /nfs/dbraw/zinc/01/16/69/707011669.db2.gz YVUKZFGGNIFPAK-SECBINFHSA-N -1 1 307.304 1.427 20 0 DDADMM CC1(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)CC(F)(F)C1 ZINC000822658157 704999276 /nfs/dbraw/zinc/99/92/76/704999276.db2.gz YEXNPEPZGUUSAA-QMMMGPOBSA-N -1 1 301.293 1.917 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](O)C12CCC2)c1ccc(Cl)nc1F ZINC000867519516 707026123 /nfs/dbraw/zinc/02/61/23/707026123.db2.gz RFFGVXWOTCJYSY-DTWKUNHWSA-N -1 1 320.773 1.456 20 0 DDADMM CCC1(CC)CCCN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000874627503 705122259 /nfs/dbraw/zinc/12/22/59/705122259.db2.gz SFMBQGRSWLVSEX-UHFFFAOYSA-N -1 1 314.407 1.983 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3COC4(CCC4)C3)ccnc1-2 ZINC000823061701 705127924 /nfs/dbraw/zinc/12/79/24/705127924.db2.gz DUOAMEHOHVBCAY-SNVBAGLBSA-N -1 1 301.350 1.175 20 0 DDADMM CC(C)n1ncc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1Cl ZINC000860348682 705161903 /nfs/dbraw/zinc/16/19/03/705161903.db2.gz FYZOIZCXPSBFKD-VIFPVBQESA-N -1 1 323.788 1.650 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCNC(=O)CC12CCC2 ZINC000874920844 705229192 /nfs/dbraw/zinc/22/91/92/705229192.db2.gz CKAMKWRLXDWYQP-UHFFFAOYSA-N -1 1 322.792 1.859 20 0 DDADMM O=C([O-])[C@H]1CCCN1C(=O)c1cc(-c2ccccc2O)[nH]n1 ZINC000874962590 705237875 /nfs/dbraw/zinc/23/78/75/705237875.db2.gz JKXZVZRGBFYUDB-GFCCVEGCSA-N -1 1 301.302 1.472 20 0 DDADMM CSc1nc(CNC(=O)C[C@@H]2CC[C@H](C3CC3)O2)cc(=O)[n-]1 ZINC000824602801 705491619 /nfs/dbraw/zinc/49/16/19/705491619.db2.gz JILCXKBMUOGCQA-NWDGAFQWSA-N -1 1 323.418 1.868 20 0 DDADMM CC(C)(C)n1[nH]cnc1=NC([O-])=CC(F)(F)C(F)(F)F ZINC000875735287 705493056 /nfs/dbraw/zinc/49/30/56/705493056.db2.gz WLZFKPMSTHRLRL-UHFFFAOYSA-N -1 1 300.231 1.981 20 0 DDADMM CC[C@@H]1CCC[C@@H](NC(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825191586 705621082 /nfs/dbraw/zinc/62/10/82/705621082.db2.gz CTWNBPGIKWJIOC-GHMZBOCLSA-N -1 1 318.381 1.791 20 0 DDADMM CC[C@@H]1CCC[C@@H](NC(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825191586 705621086 /nfs/dbraw/zinc/62/10/86/705621086.db2.gz CTWNBPGIKWJIOC-GHMZBOCLSA-N -1 1 318.381 1.791 20 0 DDADMM CC(C)(C)OC1CCC(NC(=O)CCCc2nn[n-]n2)CC1 ZINC000825246014 705632993 /nfs/dbraw/zinc/63/29/93/705632993.db2.gz UIQMZAIBNMSFLE-UHFFFAOYSA-N -1 1 309.414 1.765 20 0 DDADMM CC[C@H]1CC[C@H](C)N1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876299997 705682739 /nfs/dbraw/zinc/68/27/39/705682739.db2.gz HMMHQDSVTYSYOK-QWRGUYRKSA-N -1 1 320.393 1.727 20 0 DDADMM CCO[C@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000825542090 705690210 /nfs/dbraw/zinc/69/02/10/705690210.db2.gz HQMYBGQECNLZOZ-NSHDSACASA-N -1 1 306.391 1.659 20 0 DDADMM CCOC(=O)c1cnn(C/C=C\c2ccccc2)c1-c1nn[n-]n1 ZINC000825571279 705695217 /nfs/dbraw/zinc/69/52/17/705695217.db2.gz WZJLSBLNKPGUPY-TWGQIWQCSA-N -1 1 324.344 1.953 20 0 DDADMM COC[C@@H]1CCCN1NC(=O)c1ncc2ccccc2c1[O-] ZINC000862591383 705742771 /nfs/dbraw/zinc/74/27/71/705742771.db2.gz LCVJQLNHCVGWLY-LBPRGKRZSA-N -1 1 301.346 1.696 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H](C)[C@@H]2C)c1-c1nnn[n-]1 ZINC000826344761 705793955 /nfs/dbraw/zinc/79/39/55/705793955.db2.gz RIQCWRAQQJVRCE-IUCAKERBSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H](C)[C@@H]2C)c1-c1nn[n-]n1 ZINC000826344761 705793957 /nfs/dbraw/zinc/79/39/57/705793957.db2.gz RIQCWRAQQJVRCE-IUCAKERBSA-N -1 1 304.354 1.353 20 0 DDADMM O=C(CS[C@H]1CCS(=O)(=O)C1)Nc1cccc(F)c1[O-] ZINC000863009546 705849781 /nfs/dbraw/zinc/84/97/81/705849781.db2.gz HDUDKXNADUTPCP-QMMMGPOBSA-N -1 1 319.379 1.390 20 0 DDADMM CN1CCC[C@@H](C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1=O ZINC000863477797 705936859 /nfs/dbraw/zinc/93/68/59/705936859.db2.gz VLJOXTMGYZWABP-ZDUSSCGKSA-N -1 1 314.345 1.385 20 0 DDADMM CC(=O)O[C@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000827219609 705953092 /nfs/dbraw/zinc/95/30/92/705953092.db2.gz WMPJRLCVMVLIMD-NSHDSACASA-N -1 1 320.374 1.186 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@@H](OC)C1CC1 ZINC000827320342 705974370 /nfs/dbraw/zinc/97/43/70/705974370.db2.gz GLPRODINULKLIZ-QWHCGFSZSA-N -1 1 319.423 1.063 20 0 DDADMM O=C([N-]CC1CN(C(=O)Nc2ccccc2)C1)C(F)(F)F ZINC000864429037 706166405 /nfs/dbraw/zinc/16/64/05/706166405.db2.gz MAWBYPHGZZDNAN-UHFFFAOYSA-N -1 1 301.268 1.829 20 0 DDADMM O=C(C[C@H]1CC[C@H](C2CC2)O1)N1CCC(c2nn[n-]n2)CC1 ZINC000828416351 706175383 /nfs/dbraw/zinc/17/53/83/706175383.db2.gz HKFCQRXQJIRRKS-CHWSQXEVSA-N -1 1 305.382 1.253 20 0 DDADMM COC[C@H](NCc1cc(Br)cnc1OC)C(=O)[O-] ZINC000864513772 706185642 /nfs/dbraw/zinc/18/56/42/706185642.db2.gz ILUAAGRKXHNZNU-VIFPVBQESA-N -1 1 319.155 1.042 20 0 DDADMM O=S(=O)(CC=C(Cl)Cl)[N-]Cc1nc(C2CC2)no1 ZINC000881800158 707369897 /nfs/dbraw/zinc/36/98/97/707369897.db2.gz RPOWZFNFHTWGBM-UHFFFAOYSA-N -1 1 312.178 1.685 20 0 DDADMM OC[C@H]1C[N@@H+](Cc2cccc(O)c2Br)C[C@H]1CO ZINC000877763572 706200750 /nfs/dbraw/zinc/20/07/50/706200750.db2.gz CHHSTEZVXCKCGZ-PHIMTYICSA-N -1 1 316.195 1.187 20 0 DDADMM O=C([N-]CC1CN(Cc2ccnn2CC2CC2)C1)C(F)(F)F ZINC000877776301 706206856 /nfs/dbraw/zinc/20/68/56/706206856.db2.gz FCUCMLJJBIQASK-UHFFFAOYSA-N -1 1 316.327 1.403 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C1CCC1)c1cc(F)ccc1F ZINC000881856180 707394928 /nfs/dbraw/zinc/39/49/28/707394928.db2.gz FHGNGTMBYFQBOU-GFCCVEGCSA-N -1 1 319.329 1.651 20 0 DDADMM COCCOc1cccc(CNCc2cn(C)nc2C(=O)[O-])c1 ZINC000864782106 706261546 /nfs/dbraw/zinc/26/15/46/706261546.db2.gz BFAWCAZMJDOZSL-UHFFFAOYSA-N -1 1 319.361 1.433 20 0 DDADMM CC[C@H](CCO)C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872461023 707424637 /nfs/dbraw/zinc/42/46/37/707424637.db2.gz NIMCASPKBKAJTE-MLCYQJTMSA-N -1 1 314.473 1.126 20 0 DDADMM CCOC1CC2(C[C@@H]2C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000864975193 706316752 /nfs/dbraw/zinc/31/67/52/706316752.db2.gz QORNIQYFXWFWTP-UXEXPGAESA-N -1 1 304.272 1.967 20 0 DDADMM CCc1cc(CNS(=O)(=O)Cc2ccccc2C(=O)[O-])n[nH]1 ZINC000829318969 706318574 /nfs/dbraw/zinc/31/85/74/706318574.db2.gz OJVSJBMJRCQVQM-UHFFFAOYSA-N -1 1 323.374 1.290 20 0 DDADMM FC(F)(F)c1nnc([N-]Cc2cn(C[C@@H]3CCCO3)nn2)o1 ZINC000865291543 706396241 /nfs/dbraw/zinc/39/62/41/706396241.db2.gz NMDOIXYZIQXHGL-QMMMGPOBSA-N -1 1 318.259 1.471 20 0 DDADMM CCCc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)s1 ZINC000865595881 706472649 /nfs/dbraw/zinc/47/26/49/706472649.db2.gz HOFUFSXRPJDHMP-JTQLQIEISA-N -1 1 307.379 1.427 20 0 DDADMM CCN(C)S(=O)(=O)[N-]CC(F)(F)c1ccc(F)cc1F ZINC000872550670 707473835 /nfs/dbraw/zinc/47/38/35/707473835.db2.gz WKAWPNGINGDBPS-UHFFFAOYSA-N -1 1 314.304 1.843 20 0 DDADMM O=C1OCC[C@@H]1CCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000872564821 707482432 /nfs/dbraw/zinc/48/24/32/707482432.db2.gz JVAWDCQUYYAPMC-VIFPVBQESA-N -1 1 319.329 1.586 20 0 DDADMM CCn1nc(C)c(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1C ZINC000830441003 706518042 /nfs/dbraw/zinc/51/80/42/706518042.db2.gz OFLGLPQIAGIAAZ-LLVKDONJSA-N -1 1 322.409 1.141 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@H](O)C1)c1cc(Cl)cnc1Cl ZINC000872579895 707492377 /nfs/dbraw/zinc/49/23/77/707492377.db2.gz KQBFGVHJIHYBON-FKQCQYRASA-N -1 1 311.190 1.438 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1c[nH]nc1Cl)c1ccccc1 ZINC000830632866 706549961 /nfs/dbraw/zinc/54/99/61/706549961.db2.gz FCGNVHNPKQUYFB-GFCCVEGCSA-N -1 1 315.782 1.249 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)[C@H]2CCO[C@@H]21 ZINC000830663411 706556537 /nfs/dbraw/zinc/55/65/37/706556537.db2.gz FMLNJLDZUSSTOB-VDAHYXPESA-N -1 1 305.787 1.155 20 0 DDADMM CO[C@@H](C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830801255 706582295 /nfs/dbraw/zinc/58/22/95/706582295.db2.gz JEEUMNFHZSGFPU-BXKDBHETSA-N -1 1 308.300 1.081 20 0 DDADMM CO[C@@H](C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C(C)C ZINC000830807410 706583369 /nfs/dbraw/zinc/58/33/69/706583369.db2.gz JDOZFSKXZYXVAJ-BXKDBHETSA-N -1 1 310.316 1.327 20 0 DDADMM CC1(OCC(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000830815587 706585106 /nfs/dbraw/zinc/58/51/06/706585106.db2.gz CDRACTOSKMTCBD-GFCCVEGCSA-N -1 1 322.327 1.615 20 0 DDADMM C[C@@H](CN(C)C(=O)/C=C/COc1ccccc1)c1nn[n-]n1 ZINC000832126080 706848235 /nfs/dbraw/zinc/84/82/35/706848235.db2.gz JIYFLZCEXIFTLT-LZGFCCKTSA-N -1 1 301.350 1.397 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@]1(C)CCCS1 ZINC000866955087 706855498 /nfs/dbraw/zinc/85/54/98/706855498.db2.gz OMDGUPCZXGYADG-FVMDXXJSSA-N -1 1 300.471 1.224 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-]CCCF)c1ccccc1F ZINC000866981706 706863651 /nfs/dbraw/zinc/86/36/51/706863651.db2.gz LLVSLFDGEAGNLV-SFHVURJKSA-N -1 1 312.363 1.476 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@H]1CCOC2(CCC2)C1 ZINC000866984334 706864551 /nfs/dbraw/zinc/86/45/51/706864551.db2.gz QDYAKEZCYGYQBF-NSHDSACASA-N -1 1 322.452 1.184 20 0 DDADMM CCC1([N-]S(=O)(=O)c2ccc(F)nc2F)CCOCC1 ZINC000867027998 706876459 /nfs/dbraw/zinc/87/64/59/706876459.db2.gz UOYCTYDMKNYNJS-UHFFFAOYSA-N -1 1 306.334 1.597 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1(C)C ZINC000867058666 706885365 /nfs/dbraw/zinc/88/53/65/706885365.db2.gz IIMWFVDNROTSOW-WHGOUJPWSA-N -1 1 320.361 1.698 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(Cl)ccnc1Cl)[C@@H](C)O ZINC000832309573 706888851 /nfs/dbraw/zinc/88/88/51/706888851.db2.gz HZISOFBKZKQOOP-SVRRBLITSA-N -1 1 313.206 1.826 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)O1)c1ccc([O-])c(F)c1 ZINC000832396320 706904149 /nfs/dbraw/zinc/90/41/49/706904149.db2.gz GHTOHLZESCXRDB-GFCCVEGCSA-N -1 1 309.337 1.989 20 0 DDADMM CCC(C)(C)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867191034 706920166 /nfs/dbraw/zinc/92/01/66/706920166.db2.gz AAHXZYDLDOWETH-UHFFFAOYSA-N -1 1 310.217 1.887 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@H]2[C@@H]3CCC[C@@H]32)c1C(F)(F)F ZINC000867203133 706923314 /nfs/dbraw/zinc/92/33/14/706923314.db2.gz RKHOJKGCMFFZCC-QMSLJYSESA-N -1 1 309.313 1.516 20 0 DDADMM COC1(CC[N-]S(=O)(=O)N=S2(=O)CCCC2)CCC1 ZINC000867306698 706954258 /nfs/dbraw/zinc/95/42/58/706954258.db2.gz BCFCFGPNNKXBEN-UHFFFAOYSA-N -1 1 310.441 1.042 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CC(C)(C)c1cccnc1 ZINC000867408547 706991130 /nfs/dbraw/zinc/99/11/30/706991130.db2.gz HOSSLOTUFFYDQI-IBGZPJMESA-N -1 1 319.452 1.311 20 0 DDADMM CC[C@H]1C[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)CCO1 ZINC000867421374 706996607 /nfs/dbraw/zinc/99/66/07/706996607.db2.gz MUGPGIHZGXIUPW-MNOVXSKESA-N -1 1 310.441 1.040 20 0 DDADMM C[C@@H](NC(=O)c1ncc2ccccc2c1[O-])c1nnnn1C1CC1 ZINC000834368551 707038910 /nfs/dbraw/zinc/03/89/10/707038910.db2.gz JBCPLRUKBWLENZ-SECBINFHSA-N -1 1 324.344 1.753 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867561611 707040445 /nfs/dbraw/zinc/04/04/45/707040445.db2.gz BZYJFNVUPOJCKS-FBSDJGSXSA-N -1 1 306.334 1.450 20 0 DDADMM COC(=O)C[C@@](C)([N-]S(=O)(=O)c1cc(C)ns1)C1CC1 ZINC000867701801 707079228 /nfs/dbraw/zinc/07/92/28/707079228.db2.gz WLQBDLYZKMOUHY-GFCCVEGCSA-N -1 1 318.420 1.462 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](C(=O)NCc2ccc([O-])c(Cl)c2)O1 ZINC000834819186 707129087 /nfs/dbraw/zinc/12/90/87/707129087.db2.gz UGMKDRKNURLKLP-NEPJUHHUSA-N -1 1 313.737 1.382 20 0 DDADMM CCOC(=O)CC(=O)[C@H](C)Sc1nc(C(=O)OC)c[n-]1 ZINC000871668150 707161514 /nfs/dbraw/zinc/16/15/14/707161514.db2.gz VBCRCAYHHOUTHD-ZETCQYMHSA-N -1 1 300.336 1.199 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H]1C(=O)N1CCNC[C@H]1c1cccc(Cl)c1 ZINC000871668805 707161616 /nfs/dbraw/zinc/16/16/16/707161616.db2.gz YOKWGZRNRCYBQR-MCIONIFRSA-N -1 1 322.792 1.924 20 0 DDADMM CO[C@@H]1CN2C(=O)N(Cc3ccc([O-])c(Cl)c3)C(=O)[C@]2(C)C1 ZINC000871910716 707242015 /nfs/dbraw/zinc/24/20/15/707242015.db2.gz AJSPMNYRZMNHAA-BONVTDFDSA-N -1 1 324.764 1.987 20 0 DDADMM CCc1nc(CN2CCc3c(C(=O)[O-])ccc(OC)c3C2)n[nH]1 ZINC000872167357 707311376 /nfs/dbraw/zinc/31/13/76/707311376.db2.gz JHZTUDAHHDKJCF-UHFFFAOYSA-N -1 1 316.361 1.632 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)C[C@H]1C(C)(C)C1(F)F)C(=O)OC ZINC000882040608 707475558 /nfs/dbraw/zinc/47/55/58/707475558.db2.gz SPCJADUKCVCFAY-BDAKNGLRSA-N -1 1 313.366 1.539 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)NCC(C)(C)C(=O)[O-])cn1 ZINC000836718491 707506698 /nfs/dbraw/zinc/50/66/98/707506698.db2.gz FZDZKYJOWFMEST-UHFFFAOYSA-N -1 1 305.338 1.134 20 0 DDADMM CC1(C)[C@@H](CS(=O)(=O)[N-]CC2(C)OCCCO2)C1(F)F ZINC000882125545 707508631 /nfs/dbraw/zinc/50/86/31/707508631.db2.gz IJMXBWJZZYTUPD-SECBINFHSA-N -1 1 313.366 1.350 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC2(C)CC(F)(F)C2)c1 ZINC000872662714 707543082 /nfs/dbraw/zinc/54/30/82/707543082.db2.gz AZCUXNYFTZTTEP-UHFFFAOYSA-N -1 1 307.318 1.867 20 0 DDADMM O=C([O-])[C@H](CNC(=O)CCc1cnc[nH]1)Cc1cccc(F)c1 ZINC000909237061 712970398 /nfs/dbraw/zinc/97/03/98/712970398.db2.gz XJDICEPTJPELGC-LBPRGKRZSA-N -1 1 319.336 1.541 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2CCC(C)(C)CO2)sn1 ZINC000882565596 707700643 /nfs/dbraw/zinc/70/06/43/707700643.db2.gz PZZNBKWVSRQOBW-SNVBAGLBSA-N -1 1 304.437 1.935 20 0 DDADMM Cc1cc(C(=O)OCc2nc(=O)n(C)[n-]2)c2c(c1)[C@H](C)CCN2 ZINC000837721336 707725907 /nfs/dbraw/zinc/72/59/07/707725907.db2.gz QPKADIIYFMPLKN-SNVBAGLBSA-N -1 1 316.361 1.693 20 0 DDADMM CCN1CC[C@@H]1C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000882765167 707780918 /nfs/dbraw/zinc/78/09/18/707780918.db2.gz RMGRFILNEZMVRJ-MRVPVSSYSA-N -1 1 323.212 1.415 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000837980663 707803348 /nfs/dbraw/zinc/80/33/48/707803348.db2.gz GSGKEELJAPWHGU-ZWNOBZJWSA-N -1 1 305.330 1.541 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@@H]2COC[C@@H]21 ZINC000838229963 707873208 /nfs/dbraw/zinc/87/32/08/707873208.db2.gz IOZRZONMGMVIFU-MNOVXSKESA-N -1 1 304.375 1.269 20 0 DDADMM CC(C)Cn1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000897161253 708241644 /nfs/dbraw/zinc/24/16/44/708241644.db2.gz BYWXIWGGFLSNCG-LLVKDONJSA-N -1 1 303.370 1.072 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccns1)[C@H](O)c1cccc(F)c1 ZINC000885042379 708453413 /nfs/dbraw/zinc/45/34/13/708453413.db2.gz NYDLMXMMPDIENA-UFBFGSQYSA-N -1 1 316.379 1.683 20 0 DDADMM COCc1nsc([N-]C(=O)c2c3c(ccc2F)OCO3)n1 ZINC000897797700 708453766 /nfs/dbraw/zinc/45/37/66/708453766.db2.gz JZEJXOMFNMRKOB-UHFFFAOYSA-N -1 1 311.294 1.805 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC12CCSCC2)c1ccns1 ZINC000885249811 708502148 /nfs/dbraw/zinc/50/21/48/708502148.db2.gz ZOUBWONJWIRFNZ-SECBINFHSA-N -1 1 304.462 1.955 20 0 DDADMM COc1ccccc1[C@@H]1C[C@H]1[N-]S(=O)(=O)c1ccns1 ZINC000885305270 708515902 /nfs/dbraw/zinc/51/59/02/708515902.db2.gz ZIYOTDKJSASIKF-WDEREUQCSA-N -1 1 310.400 1.986 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000885381960 708531219 /nfs/dbraw/zinc/53/12/19/708531219.db2.gz DQUNTDAHFBNMLC-IUCAKERBSA-N -1 1 320.361 1.546 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-]C(CF)CF)cc1 ZINC000885414215 708538840 /nfs/dbraw/zinc/53/88/40/708538840.db2.gz UPBQCFPNMLHQJO-UHFFFAOYSA-N -1 1 307.318 1.200 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)c1 ZINC000885620328 708585848 /nfs/dbraw/zinc/58/58/48/708585848.db2.gz IAJXUBDIFVMORT-DJIHRAIXSA-N -1 1 311.403 1.971 20 0 DDADMM CN(C)Cc1csc(CNC(=O)C(=O)c2ccc([O-])cc2)n1 ZINC000927765227 713050349 /nfs/dbraw/zinc/05/03/49/713050349.db2.gz MENWTHVDXYKQKJ-UHFFFAOYSA-N -1 1 319.386 1.409 20 0 DDADMM C[C@]1(C(=O)NCCc2c(F)cc([O-])cc2F)CCC(=O)NC1 ZINC000886268093 708732875 /nfs/dbraw/zinc/73/28/75/708732875.db2.gz CGNVNFVZMIWXHW-HNNXBMFYSA-N -1 1 312.316 1.245 20 0 DDADMM C[C@@H](C(=O)NCCc1c(F)cc([O-])cc1F)c1ccnn1C ZINC000886269933 708733489 /nfs/dbraw/zinc/73/34/89/708733489.db2.gz LQVYAMAWUPTMGB-SECBINFHSA-N -1 1 309.316 1.866 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1nnc(C2CC2)o1 ZINC000886270262 708733739 /nfs/dbraw/zinc/73/37/39/708733739.db2.gz XXBJEMVEXRCMOK-UHFFFAOYSA-N -1 1 309.272 1.903 20 0 DDADMM C[C@@H]1CN(C(=O)NCCc2c(F)cc([O-])cc2F)CCC1=O ZINC000927787559 713056260 /nfs/dbraw/zinc/05/62/60/713056260.db2.gz YDHSKFXFFHOZKB-SECBINFHSA-N -1 1 312.316 1.833 20 0 DDADMM O=C(N[C@H]1CCCC12OCCO2)c1ccc2n[n-]c(=S)n2c1 ZINC000898953281 708935526 /nfs/dbraw/zinc/93/55/26/708935526.db2.gz QOMUMZJUDFDFGJ-JTQLQIEISA-N -1 1 320.374 1.043 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc(Cl)cc2[O-])CCS1(=O)=O ZINC000887190658 708986305 /nfs/dbraw/zinc/98/63/05/708986305.db2.gz NWIXGGLDGYWLKR-WPRPVWTQSA-N -1 1 317.794 1.741 20 0 DDADMM N[C@H](Cc1cc2ccccc2o1)C(=O)N(CC(=O)[O-])C1CCC1 ZINC000887398166 709036427 /nfs/dbraw/zinc/03/64/27/709036427.db2.gz QWXLOSRRIRGQMM-CQSZACIVSA-N -1 1 316.357 1.768 20 0 DDADMM Cc1[n-]n(-c2nccc(N3CCOCC3)n2)c(=O)c1C(C)C ZINC000899351881 709065038 /nfs/dbraw/zinc/06/50/38/709065038.db2.gz URKHGOWHMNBBGO-CYBMUJFWSA-N -1 1 303.366 1.308 20 0 DDADMM Cc1nc(CS(=O)(=O)c2ncn[n-]2)sc1C(C)(C)C ZINC000899475525 709092446 /nfs/dbraw/zinc/09/24/46/709092446.db2.gz NYJKMBDUVMHTAA-UHFFFAOYSA-N -1 1 300.409 1.841 20 0 DDADMM Cc1nc(CS(=O)(=O)c2nc[n-]n2)sc1C(C)(C)C ZINC000899475525 709092449 /nfs/dbraw/zinc/09/24/49/709092449.db2.gz NYJKMBDUVMHTAA-UHFFFAOYSA-N -1 1 300.409 1.841 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)NC[C@H]2CCCO2)[n-]c1=O ZINC000899462992 709087772 /nfs/dbraw/zinc/08/77/72/709087772.db2.gz QZXNOBCGWFZFTO-GHMZBOCLSA-N -1 1 322.365 1.216 20 0 DDADMM CSCc1n[nH]c(CNC(=O)c2c([O-])cc(F)cc2F)n1 ZINC000899473661 709091362 /nfs/dbraw/zinc/09/13/62/709091362.db2.gz OJRGEMJDTAQVAZ-UHFFFAOYSA-N -1 1 314.317 1.582 20 0 DDADMM CSCc1nnc(CNC(=O)c2c([O-])cc(F)cc2F)[nH]1 ZINC000899473661 709091363 /nfs/dbraw/zinc/09/13/63/709091363.db2.gz OJRGEMJDTAQVAZ-UHFFFAOYSA-N -1 1 314.317 1.582 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC(CN2CCNC2=O)CC1 ZINC000887655596 709092529 /nfs/dbraw/zinc/09/25/29/709092529.db2.gz MVGHZOGVXLYQCV-UHFFFAOYSA-N -1 1 321.352 1.409 20 0 DDADMM CN=[S@@](C)(=O)CCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000912785803 713092428 /nfs/dbraw/zinc/09/24/28/713092428.db2.gz DINLVULPIGWGKL-NRFANRHFSA-N -1 1 324.324 1.868 20 0 DDADMM CCCN(C(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H](C)C(=O)OC ZINC000888610445 709350997 /nfs/dbraw/zinc/35/09/97/709350997.db2.gz ILRGFGICQVKWBN-VIFPVBQESA-N -1 1 322.390 1.432 20 0 DDADMM CSCC[C@H](NC(=O)CSc1ccccc1)c1nn[n-]n1 ZINC000912859839 713109308 /nfs/dbraw/zinc/10/93/08/713109308.db2.gz FXIRGJXTSPVTEA-NSHDSACASA-N -1 1 323.447 1.902 20 0 DDADMM CCOc1ccccc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912860043 713109401 /nfs/dbraw/zinc/10/94/01/713109401.db2.gz KUBPXQUWGRRTFV-NSHDSACASA-N -1 1 321.406 1.823 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NC[C@@H](C(=O)[O-])c1ccc(F)cc1 ZINC000909701648 709586704 /nfs/dbraw/zinc/58/67/04/709586704.db2.gz CGEAYNUCSKDDDF-ZIAGYGMSSA-N -1 1 308.353 1.594 20 0 DDADMM Cc1ccc2c(c1)CCN2C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909727982 709598198 /nfs/dbraw/zinc/59/81/98/709598198.db2.gz MWRQRNRBWJQAHK-CQSZACIVSA-N -1 1 302.374 1.681 20 0 DDADMM CC1CCN(CC(=O)NCCc2nc(C(=O)[O-])cs2)CC1 ZINC000909796804 709626081 /nfs/dbraw/zinc/62/60/81/709626081.db2.gz SVUKSRNUYQEEGM-UHFFFAOYSA-N -1 1 311.407 1.232 20 0 DDADMM Cc1cc([C@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C(=O)[O-])ccc1F ZINC000909799322 709627118 /nfs/dbraw/zinc/62/71/18/709627118.db2.gz XTPZZOUJGLEVEJ-GYSYKLTISA-N -1 1 317.320 1.903 20 0 DDADMM COC(=O)[C@H](C)CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC000900511170 709630006 /nfs/dbraw/zinc/63/00/06/709630006.db2.gz MUVUFYRQIYMKDZ-SECBINFHSA-N -1 1 319.361 1.473 20 0 DDADMM COCC1(CC(=O)N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)CC1 ZINC000889786409 709635544 /nfs/dbraw/zinc/63/55/44/709635544.db2.gz MAZQSJQITBYVOU-NSHDSACASA-N -1 1 321.377 1.671 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CSC2CC2)[n-]c1=O ZINC000889787872 709635958 /nfs/dbraw/zinc/63/59/58/709635958.db2.gz RGUGGTCUXHOWIO-JTQLQIEISA-N -1 1 309.391 1.750 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C=Cc2ccc[nH]2)[n-]c1=O ZINC000889791433 709637988 /nfs/dbraw/zinc/63/79/88/709637988.db2.gz ZHDWFBFHIPNOOB-ZHRWSRJISA-N -1 1 314.345 1.896 20 0 DDADMM C[C@H](NC(=O)[C@H]1CCCN1C)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909820043 709639671 /nfs/dbraw/zinc/63/96/71/709639671.db2.gz MTKBJUNTSZKBJO-NWANDNLSSA-N -1 1 304.390 1.529 20 0 DDADMM COC1(C[C@@H](NC(=O)CN2CCC(C)CC2)C(=O)[O-])CCC1 ZINC000909892562 709673452 /nfs/dbraw/zinc/67/34/52/709673452.db2.gz CDZDWZBNKJLBLB-CYBMUJFWSA-N -1 1 312.410 1.247 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(Br)ccc1C1CC1 ZINC000900658636 709698734 /nfs/dbraw/zinc/69/87/34/709698734.db2.gz IZTBLCRQLPWSIK-UHFFFAOYSA-N -1 1 322.166 1.770 20 0 DDADMM COc1nn(C)cc1CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000890023094 709709845 /nfs/dbraw/zinc/70/98/45/709709845.db2.gz ZUOGLFKUAMLAIF-UHFFFAOYSA-N -1 1 309.753 1.647 20 0 DDADMM CC(C)[C@H](NS(C)(=O)=O)C(=O)Nc1cc([O-])c(F)cc1F ZINC000909975473 709715345 /nfs/dbraw/zinc/71/53/45/709715345.db2.gz RUJODOMCFKSUPG-NSHDSACASA-N -1 1 322.333 1.183 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)C1CCCCC1 ZINC000910095693 709770295 /nfs/dbraw/zinc/77/02/95/709770295.db2.gz QMFCNDFJJDUQEH-BXUZGUMPSA-N -1 1 305.378 1.664 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H]2c2nc(C(=O)[O-])cs2)n[nH]1 ZINC000910112190 709775609 /nfs/dbraw/zinc/77/56/09/709775609.db2.gz KNAGSBITSPTTOZ-JTQLQIEISA-N -1 1 306.347 1.850 20 0 DDADMM O=C([O-])[C@H]1CSCCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC000910148924 709791652 /nfs/dbraw/zinc/79/16/52/709791652.db2.gz YZQJXXOSCGQFEC-ZCFIWIBFSA-N -1 1 323.296 1.318 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2CCSC[C@@H](C(=O)[O-])C2)[nH]1 ZINC000910149955 709792341 /nfs/dbraw/zinc/79/23/41/709792341.db2.gz OOQVPFHXUOLBQG-JTQLQIEISA-N -1 1 319.386 1.761 20 0 DDADMM COc1ccc(O)cc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910170294 709796835 /nfs/dbraw/zinc/79/68/35/709796835.db2.gz JFAJFHDUGVNVEZ-NSHDSACASA-N -1 1 322.361 1.022 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2[nH]ccc2C2CC2)CC1 ZINC000910177609 709799083 /nfs/dbraw/zinc/79/90/83/709799083.db2.gz MPZBPNWDWZEBCY-UHFFFAOYSA-N -1 1 305.378 1.513 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3CCOC4(CCC4)C3)ccnc1-2 ZINC000890283032 709811151 /nfs/dbraw/zinc/81/11/51/709811151.db2.gz CEFUOAOGZRWYJB-NSHDSACASA-N -1 1 300.362 1.630 20 0 DDADMM CO[C@]1(C)C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1(C)C ZINC000900910607 709823715 /nfs/dbraw/zinc/82/37/15/709823715.db2.gz RXPOZROGFXYRGX-MEDUHNTESA-N -1 1 305.378 1.993 20 0 DDADMM CC(C)(C)N1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1=O ZINC000900918731 709828022 /nfs/dbraw/zinc/82/80/22/709828022.db2.gz PLLCMVPYCMKQNE-JTQLQIEISA-N -1 1 318.377 1.189 20 0 DDADMM CC[C@@H](CC(F)(F)F)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000910252563 709841440 /nfs/dbraw/zinc/84/14/40/709841440.db2.gz GCNRVURBCQRAQE-UWVGGRQHSA-N -1 1 310.316 1.630 20 0 DDADMM COC(=O)[C@]1(C)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000901051579 709901652 /nfs/dbraw/zinc/90/16/52/709901652.db2.gz SKKDOWDHLFLHBU-OAHLLOKOSA-N -1 1 305.334 1.085 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC1([C@@H]2CCCCO2)CCC1 ZINC000910363633 709909747 /nfs/dbraw/zinc/90/97/47/709909747.db2.gz GWEOQTIWRJEUKF-KGLIPLIRSA-N -1 1 324.421 1.391 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(c2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000910447625 709946682 /nfs/dbraw/zinc/94/66/82/709946682.db2.gz DGFZKCPXBMGCRL-SNVBAGLBSA-N -1 1 319.218 1.375 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC[C@H](O)[C@H](F)C1 ZINC000890685252 709951876 /nfs/dbraw/zinc/95/18/76/709951876.db2.gz BVBBEPPWBQSZOY-ZJUUUORDSA-N -1 1 307.243 1.956 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCc1cnc2n1CCOC2 ZINC000890849561 710008519 /nfs/dbraw/zinc/00/85/19/710008519.db2.gz SIIUCTVANVNKCM-UHFFFAOYSA-N -1 1 321.764 1.631 20 0 DDADMM O=C(NCCc1ccc(CO)cc1)c1cnc(C2CC2)[n-]c1=O ZINC000901327720 710011358 /nfs/dbraw/zinc/01/13/58/710011358.db2.gz PKVNMVVHPQLTFM-UHFFFAOYSA-N -1 1 313.357 1.524 20 0 DDADMM CN(C)C1(C(=O)Nc2nc3c(s2)CC[C@@H](C(=O)[O-])C3)CCC1 ZINC000910678746 710044954 /nfs/dbraw/zinc/04/49/54/710044954.db2.gz BLUKNNNIMJLBSQ-SECBINFHSA-N -1 1 323.418 1.755 20 0 DDADMM C[C@H]1CN(Cc2ccc(OCC(=O)[O-])cc2)C[C@@]2(CCOC2)O1 ZINC000901469318 710048491 /nfs/dbraw/zinc/04/84/91/710048491.db2.gz KGRFPBVVSCPTLR-SUMWQHHRSA-N -1 1 321.373 1.530 20 0 DDADMM O=C([O-])[C@]1(F)CCN(Cc2ccc(O[C@H]3CCOC3)cc2)C1 ZINC000901517988 710063916 /nfs/dbraw/zinc/06/39/16/710063916.db2.gz JUHOYQWCPPMMIJ-HOCLYGCPSA-N -1 1 309.337 1.853 20 0 DDADMM CN(C)c1ncc(CNCc2ccc(CC(=O)[O-])cc2)cn1 ZINC000901541572 710070424 /nfs/dbraw/zinc/07/04/24/710070424.db2.gz VTOPMQLUOKQLKQ-UHFFFAOYSA-N -1 1 300.362 1.460 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@@H](Cc2nccs2)C1 ZINC000928268778 713160565 /nfs/dbraw/zinc/16/05/65/713160565.db2.gz FLUPTIAIMNEBDJ-JTQLQIEISA-N -1 1 306.395 1.070 20 0 DDADMM CN(CC(=O)N[C@H](Cc1ccc(F)cc1)C(=O)[O-])C1CCC1 ZINC000910905306 710113522 /nfs/dbraw/zinc/11/35/22/710113522.db2.gz NHNZAOSZTKYIEJ-CQSZACIVSA-N -1 1 308.353 1.422 20 0 DDADMM C[C@H](NCc1ccc(OC(F)F)cc1)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901740501 710136384 /nfs/dbraw/zinc/13/63/84/710136384.db2.gz DCHOYURWQGNOML-IUCAKERBSA-N -1 1 316.304 1.355 20 0 DDADMM CS(=O)(=O)N1CCC[C@H]1C(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910981080 710139889 /nfs/dbraw/zinc/13/98/89/710139889.db2.gz KQBGSAMMKUKVMJ-JTQLQIEISA-N -1 1 320.317 1.033 20 0 DDADMM CCn1nc(C)c(CN[C@H](Cc2cccc(OC)c2)C(=O)[O-])n1 ZINC000901755294 710142902 /nfs/dbraw/zinc/14/29/02/710142902.db2.gz JAWSGPSQLPAATQ-CQSZACIVSA-N -1 1 318.377 1.401 20 0 DDADMM O=C([O-])C1(C(=O)N[C@@H]2CCCc3cn[nH]c32)CCSCC1 ZINC000901767767 710144780 /nfs/dbraw/zinc/14/47/80/710144780.db2.gz RPVKFVZGUVPTIS-SNVBAGLBSA-N -1 1 309.391 1.501 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cnn(C2CCCC2)c1 ZINC000901767371 710144888 /nfs/dbraw/zinc/14/48/88/710144888.db2.gz MEQIZJHOVGZQLH-UHFFFAOYSA-N -1 1 317.411 1.403 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@@H](NCc2cn(CC(=O)[O-])nn2)C12CCC2 ZINC000901803056 710151217 /nfs/dbraw/zinc/15/12/17/710151217.db2.gz NLBUGHLQMUWALD-CHWSQXEVSA-N -1 1 322.409 1.579 20 0 DDADMM O=C([O-])CC[C@@H]1C[C@@H](C(=O)N2CCC(c3cnc[nH]3)CC2)CO1 ZINC000901953663 710184021 /nfs/dbraw/zinc/18/40/21/710184021.db2.gz AUQNQIZPAMYTLE-CHWSQXEVSA-N -1 1 321.377 1.386 20 0 DDADMM COc1cnc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)c(F)c1 ZINC000891616119 710249354 /nfs/dbraw/zinc/24/93/54/710249354.db2.gz VGCOJWIEESTFBF-UHFFFAOYSA-N -1 1 318.308 1.856 20 0 DDADMM C[C@H](Cn1ccnc1)[NH2+]Cc1ncc(Br)cc1[O-] ZINC000892565766 710460178 /nfs/dbraw/zinc/46/01/78/710460178.db2.gz JCNBULSUVGQZGJ-SECBINFHSA-N -1 1 311.183 1.925 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CCOc2ccccc2[C@H]1C(=O)[O-] ZINC000911061676 710617095 /nfs/dbraw/zinc/61/70/95/710617095.db2.gz FDDALNJKMDSXAS-ZFWWWQNUSA-N -1 1 318.373 1.518 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1cc(C(=O)[O-])on1)c1cccs1 ZINC000911101205 710634186 /nfs/dbraw/zinc/63/41/86/710634186.db2.gz MEZKTPUFPWJEEL-VIFPVBQESA-N -1 1 309.347 1.467 20 0 DDADMM Cc1cccc(OC[C@H](C)NCc2cn(CC(=O)[O-])nn2)c1 ZINC000902157454 710638939 /nfs/dbraw/zinc/63/89/39/710638939.db2.gz DDYCEMWNSTZOMM-LBPRGKRZSA-N -1 1 304.350 1.228 20 0 DDADMM Cc1nn(CCC(=O)[O-])c(C)c1CN(C)C[C@@H]1CCCCO1 ZINC000902164444 710642163 /nfs/dbraw/zinc/64/21/63/710642163.db2.gz BBKRIGNCQTUUKZ-AWEZNQCLSA-N -1 1 309.410 1.976 20 0 DDADMM O=C([O-])c1cc(C(=O)N2CCN(CC3CCCCC3)CC2)no1 ZINC000911168427 710666185 /nfs/dbraw/zinc/66/61/85/710666185.db2.gz RSNCKTKRIBLXMD-UHFFFAOYSA-N -1 1 321.377 1.711 20 0 DDADMM CC(C)N1CC[C@@H](NCc2ccc(OCC(=O)[O-])cc2)C1=O ZINC000902255681 710683849 /nfs/dbraw/zinc/68/38/49/710683849.db2.gz OMYSYVDQSJPXAK-CQSZACIVSA-N -1 1 306.362 1.249 20 0 DDADMM O=C([O-])/C=C\c1ccc(CN[C@H]2CC(=O)N(CC3CC3)C2)o1 ZINC000902341995 710722334 /nfs/dbraw/zinc/72/23/34/710722334.db2.gz DZKBGNRNLMMYQZ-FJOGCWAESA-N -1 1 304.346 1.478 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)N1CCC(CCN2CCOCC2)CC1 ZINC000911300108 710734113 /nfs/dbraw/zinc/73/41/13/710734113.db2.gz GWNCHGMYEMMQQB-AWEZNQCLSA-N -1 1 312.410 1.058 20 0 DDADMM C[C@@H]1[C@H](Cc2ccccc2)CCN1Cc1cn(CC(=O)[O-])nn1 ZINC000902541375 710789033 /nfs/dbraw/zinc/78/90/33/710789033.db2.gz IJVJLGDPQHJHAG-HIFRSBDPSA-N -1 1 314.389 1.816 20 0 DDADMM C[C@H]1CN(C(=O)CCc2ccc(C(=O)[O-])cc2)[C@@H](C)CN1C ZINC000911441235 710800183 /nfs/dbraw/zinc/80/01/83/710800183.db2.gz PHZYCNOSADZBFF-STQMWFEESA-N -1 1 304.390 1.868 20 0 DDADMM CC1CCN(CC(=O)N[C@@H](C(=O)[O-])c2cccc(O)c2)CC1 ZINC000911444878 710802336 /nfs/dbraw/zinc/80/23/36/710802336.db2.gz WBEUQWJLBUJPDD-OAHLLOKOSA-N -1 1 306.362 1.366 20 0 DDADMM CN(CCCN(C)C(=O)C1(C(=O)[O-])CCC1)Cc1ccco1 ZINC000911541345 710843547 /nfs/dbraw/zinc/84/35/47/710843547.db2.gz NRSWIBBBHXPLJI-UHFFFAOYSA-N -1 1 308.378 1.815 20 0 DDADMM Cc1cnnc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)c1 ZINC000893915044 710884544 /nfs/dbraw/zinc/88/45/44/710884544.db2.gz ZONFYHHCRTZQBR-JTQLQIEISA-N -1 1 302.300 1.680 20 0 DDADMM O=C([O-])c1cccc(C(=O)N[C@H]2CCCN3CCSC[C@@H]23)c1 ZINC000902817864 710914588 /nfs/dbraw/zinc/91/45/88/710914588.db2.gz FGSRKUIBCVFBQP-KBPBESRZSA-N -1 1 320.414 1.694 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)[N-]OCc2ccc(F)cc2)C1 ZINC000911807010 711004588 /nfs/dbraw/zinc/00/45/88/711004588.db2.gz SQXPTZMKIQTOPK-LBPRGKRZSA-N -1 1 310.325 1.170 20 0 DDADMM COCc1nc(NC[C@@H](CO)c2ccc(F)cc2)cc(=O)[n-]1 ZINC000894205941 711016696 /nfs/dbraw/zinc/01/66/96/711016696.db2.gz ZMHQDCQUDXNWKF-NSHDSACASA-N -1 1 307.325 1.656 20 0 DDADMM Cc1cn2cc([N-]S(=O)(=O)N=S(C)(C)=O)ccc2n1 ZINC000903088046 711028214 /nfs/dbraw/zinc/02/82/14/711028214.db2.gz IYIVRBSRXUDSJY-UHFFFAOYSA-N -1 1 302.381 1.027 20 0 DDADMM O=C(N[C@@H]([C@@H]1CCCO1)C1(CO)CCC1)c1ncccc1[O-] ZINC000912214976 711225850 /nfs/dbraw/zinc/22/58/50/711225850.db2.gz INTQHHZUFDOJDL-JSGCOSHPSA-N -1 1 306.362 1.227 20 0 DDADMM Cc1cc(Cl)c(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1C ZINC000913494434 713237258 /nfs/dbraw/zinc/23/72/58/713237258.db2.gz AIBCMWIMIPQYJY-GFCCVEGCSA-N -1 1 321.768 1.684 20 0 DDADMM COc1cccc(S(=O)(=O)[N-][C@H](C)C(F)(F)F)c1OC ZINC000903632606 711230939 /nfs/dbraw/zinc/23/09/39/711230939.db2.gz UPGBMWZAEOYQMA-SSDOTTSWSA-N -1 1 313.297 1.933 20 0 DDADMM Cn1nc(C2CC2)cc1S(=O)(=O)[N-]c1cnc2ccccn12 ZINC000903643176 711233596 /nfs/dbraw/zinc/23/35/96/711233596.db2.gz ZQRWITVUSZCMGD-UHFFFAOYSA-N -1 1 317.374 1.746 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1cnc(F)c(Cl)c1 ZINC000903672255 711242083 /nfs/dbraw/zinc/24/20/83/711242083.db2.gz JZJMGAZWUYFUIQ-QGZVFWFLSA-N -1 1 315.779 1.649 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1cccnc1C1CC1 ZINC000903679294 711243539 /nfs/dbraw/zinc/24/35/39/711243539.db2.gz AOVIAIASHUDVMU-GOSISDBHSA-N -1 1 303.409 1.733 20 0 DDADMM CCOC(=O)[C@H](CCc1ccccc1)N1CC[C@H](C(=O)[O-])C1 ZINC000903692784 711246243 /nfs/dbraw/zinc/24/62/43/711246243.db2.gz BGEXIAARRALFLI-GJZGRUSLSA-N -1 1 305.374 1.957 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N[C@@H]1CCCC[C@H]1C ZINC000903711771 711250050 /nfs/dbraw/zinc/25/00/50/711250050.db2.gz KDVCMPDKAPSJLA-MGPQQGTHSA-N -1 1 314.426 1.493 20 0 DDADMM COCCCn1nccc1CN[C@@H](C(=O)[O-])c1ccc(F)cc1 ZINC000903710908 711250314 /nfs/dbraw/zinc/25/03/14/711250314.db2.gz UYCIEPWWRRJYTL-OAHLLOKOSA-N -1 1 321.352 1.974 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H]1CCN(c2ccccc2)C1=O ZINC000903712597 711250719 /nfs/dbraw/zinc/25/07/19/711250719.db2.gz ZPJZMLNMYCCGBQ-CQSZACIVSA-N -1 1 306.362 1.215 20 0 DDADMM Cc1cccc(CN2CC[C@@](O)(C(=O)[O-])C2)c1Br ZINC000903913053 711327830 /nfs/dbraw/zinc/32/78/30/711327830.db2.gz NLTBRYSJQHVVKD-ZDUSSCGKSA-N -1 1 314.179 1.779 20 0 DDADMM C[C@@H](CN1CCOCC1)N1CCOc2cc(C(=O)[O-])ccc2C1 ZINC000903959022 711348702 /nfs/dbraw/zinc/34/87/02/711348702.db2.gz VJERKSLLXWJGSS-ZDUSSCGKSA-N -1 1 320.389 1.300 20 0 DDADMM COCc1nc(N[C@@H](CO)CCc2ccccc2)cc(=O)[n-]1 ZINC000895682788 711576749 /nfs/dbraw/zinc/57/67/49/711576749.db2.gz NAAZENHJXSHTPO-CYBMUJFWSA-N -1 1 303.362 1.734 20 0 DDADMM O=c1nc(NC[C@@H]2CCC[C@H](C(F)(F)F)O2)nc2[nH][n-]cc1-2 ZINC000896033272 711666404 /nfs/dbraw/zinc/66/64/04/711666404.db2.gz IMMGPQGNQPVRHQ-POYBYMJQSA-N -1 1 317.271 1.344 20 0 DDADMM COCc1nc(NC[C@H]2CCC3(CCOCC3)O2)cc(=O)[n-]1 ZINC000896179565 711686964 /nfs/dbraw/zinc/68/69/64/711686964.db2.gz UFPWBSZMXZPLPK-LLVKDONJSA-N -1 1 309.366 1.469 20 0 DDADMM CO[C@H]1CN(C(=O)NCc2ccc([O-])c(Cl)c2)CCO1 ZINC000896310436 711704885 /nfs/dbraw/zinc/70/48/85/711704885.db2.gz BQAWDZYHZNMFEP-GFCCVEGCSA-N -1 1 300.742 1.560 20 0 DDADMM CCCC(=O)N1C[C@@H]2CCCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000963733119 717893112 /nfs/dbraw/zinc/89/31/12/717893112.db2.gz IADKBSMORTXKQD-QWHCGFSZSA-N -1 1 317.389 1.650 20 0 DDADMM Cn1[n-]c(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2ccccc2)cc1=O ZINC000913686710 713281323 /nfs/dbraw/zinc/28/13/23/713281323.db2.gz SGPIFNNDRCELFP-KBPBESRZSA-N -1 1 312.373 1.225 20 0 DDADMM CN1CC[C@@H]1CNC(=O)C[C@@](C)(C(=O)[O-])c1ccc(Cl)cc1 ZINC000905131422 711946038 /nfs/dbraw/zinc/94/60/38/711946038.db2.gz RKRSEUXRKCKAOZ-CZUORRHYSA-N -1 1 324.808 1.893 20 0 DDADMM CN1CC[C@@H]1CNC(=O)C[C@]1(C(=O)[O-])CCCc2ccccc21 ZINC000905130629 711946048 /nfs/dbraw/zinc/94/60/48/711946048.db2.gz GFSGECANFDQKSF-RDTXWAMCSA-N -1 1 316.401 1.556 20 0 DDADMM O=C(CCOc1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742007 713291466 /nfs/dbraw/zinc/29/14/66/713291466.db2.gz AWOSWGYFXSQBHS-UHFFFAOYSA-N -1 1 301.350 1.375 20 0 DDADMM O=C(Nc1ccc(F)cc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000905151034 711952940 /nfs/dbraw/zinc/95/29/40/711952940.db2.gz GJIWRUMNYBXPKY-UHFFFAOYSA-N -1 1 308.292 1.889 20 0 DDADMM CCc1nc(CC(=O)N2CCC(c3nn[n-]n3)CC2)cs1 ZINC000913743094 713292089 /nfs/dbraw/zinc/29/20/89/713292089.db2.gz FEQVNZZBXHZBRY-UHFFFAOYSA-N -1 1 306.395 1.167 20 0 DDADMM Cc1cnc2cc(C(=O)N3CCC(c4nn[n-]n4)CC3)ccn12 ZINC000913745807 713293743 /nfs/dbraw/zinc/29/37/43/713293743.db2.gz OXVVMJJQVUNKSI-UHFFFAOYSA-N -1 1 311.349 1.176 20 0 DDADMM C[C@H]1CCCc2ncc(C(=O)N3CCC(c4nn[n-]n4)CC3)n21 ZINC000913746011 713293989 /nfs/dbraw/zinc/29/39/89/713293989.db2.gz SYAQPXNAHVDOSP-JTQLQIEISA-N -1 1 315.381 1.313 20 0 DDADMM O=C([C@@H]1C[C@]1(F)c1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746342 713294186 /nfs/dbraw/zinc/29/41/86/713294186.db2.gz PGWKYFQDBAIEAY-BBRMVZONSA-N -1 1 315.352 1.791 20 0 DDADMM Cc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(F)c1F ZINC000913746961 713294322 /nfs/dbraw/zinc/29/43/22/713294322.db2.gz MTEFYQCXIFQHBR-UHFFFAOYSA-N -1 1 307.304 1.806 20 0 DDADMM C[C@@](Cn1cccn1)(NCc1cc2n(n1)CCCC2)C(=O)[O-] ZINC000905807449 712151605 /nfs/dbraw/zinc/15/16/05/712151605.db2.gz LYNFDAXKQGNRIE-HNNXBMFYSA-N -1 1 303.366 1.049 20 0 DDADMM Cc1cc(C(=O)[O-])c(F)c(S(=O)(=O)NC[C@H](C)N(C)C)c1 ZINC000907005004 712461910 /nfs/dbraw/zinc/46/19/10/712461910.db2.gz PAGXLFCMJUGBSA-VIFPVBQESA-N -1 1 318.370 1.061 20 0 DDADMM O=C([C@@H]1CCOC2(CCC2)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907476386 712582249 /nfs/dbraw/zinc/58/22/49/712582249.db2.gz MVVBGUWUARLFTN-GHMZBOCLSA-N -1 1 323.422 1.166 20 0 DDADMM COc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)ccc1F ZINC000907475826 712582273 /nfs/dbraw/zinc/58/22/73/712582273.db2.gz QARLNDVQMQGWJH-JTQLQIEISA-N -1 1 323.353 1.278 20 0 DDADMM Cc1cnc(Cl)c(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000907478577 712583073 /nfs/dbraw/zinc/58/30/73/712583073.db2.gz WEWHYMAPZZBRPL-SECBINFHSA-N -1 1 324.797 1.487 20 0 DDADMM O=C(CCc1ccc(O)cc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480352 712583619 /nfs/dbraw/zinc/58/36/19/712583619.db2.gz SVADWYOHLSHXAI-GFCCVEGCSA-N -1 1 319.390 1.155 20 0 DDADMM C[C@H]1CC2(CC([N-]S(=O)(=O)c3ncn(C)c3Cl)C2)CO1 ZINC000907723455 712618539 /nfs/dbraw/zinc/61/85/39/712618539.db2.gz HPKNBEAWIPLACQ-QTZUAFFRSA-N -1 1 319.814 1.309 20 0 DDADMM CCCCCC[C@H](C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907943293 712652607 /nfs/dbraw/zinc/65/26/07/712652607.db2.gz GSSPMDKQJRIIJL-LBPRGKRZSA-N -1 1 309.414 1.616 20 0 DDADMM O=C(CC(F)(F)C(F)F)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000907956772 712654897 /nfs/dbraw/zinc/65/48/97/712654897.db2.gz HQSBAOVMDRZMLD-ZCFIWIBFSA-N -1 1 311.235 1.772 20 0 DDADMM CC[C@H](C)N(CC(=O)OC)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907989295 712660991 /nfs/dbraw/zinc/66/09/91/712660991.db2.gz IZWMKFXJJGYGSY-VIFPVBQESA-N -1 1 307.350 1.473 20 0 DDADMM C[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H](O)c1ccccc1 ZINC000908236094 712716246 /nfs/dbraw/zinc/71/62/46/712716246.db2.gz LFEYSAXBLHOMGK-QMTHXVAHSA-N -1 1 313.357 1.912 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOC[C@H](C2CCC2)C1 ZINC000908363176 712752501 /nfs/dbraw/zinc/75/25/01/712752501.db2.gz OBBWCIZGKAGVLQ-CYBMUJFWSA-N -1 1 317.389 1.948 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)N2CC[C@H]2c2ccccc2)C1 ZINC000908735338 712843765 /nfs/dbraw/zinc/84/37/65/712843765.db2.gz MUKZTTUCJJZBPE-GJZGRUSLSA-N -1 1 302.374 1.757 20 0 DDADMM CCn1c(C)nnc1N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000908755173 712848698 /nfs/dbraw/zinc/84/86/98/712848698.db2.gz CPOWECZVDXVPRH-SNVBAGLBSA-N -1 1 304.354 1.452 20 0 DDADMM CC[C@@](C)(NC(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000908808741 712858685 /nfs/dbraw/zinc/85/86/85/712858685.db2.gz JTFHRYNMUCJVQK-QGZVFWFLSA-N -1 1 319.405 1.597 20 0 DDADMM CC(C)O[C@@]1(CNC(=O)c2c(F)ccc([O-])c2F)CCOC1 ZINC000928759385 713479221 /nfs/dbraw/zinc/47/92/21/713479221.db2.gz WJLVLPDNKBGLMV-OAHLLOKOSA-N -1 1 315.316 1.984 20 0 DDADMM CC(=O)NC[C@H]1CN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929669642 713665433 /nfs/dbraw/zinc/66/54/33/713665433.db2.gz MQEUTMFWQSYJSW-WBMJQRKESA-N -1 1 320.389 1.048 20 0 DDADMM CC(C)C(=O)[C@H]([N-]S(=O)(=O)c1cn(C)cn1)c1ccccc1 ZINC000920555478 713679178 /nfs/dbraw/zinc/67/91/78/713679178.db2.gz URSXLIDNIWBXJI-CQSZACIVSA-N -1 1 321.402 1.665 20 0 DDADMM COC(=O)CC1CC([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1 ZINC000921181279 713718851 /nfs/dbraw/zinc/71/88/51/713718851.db2.gz JAHMDFCIKVITLF-UHFFFAOYSA-N -1 1 323.317 1.837 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@]12CCC[C@@]1(OC)OCC2)C1CC1 ZINC000921314283 713730637 /nfs/dbraw/zinc/73/06/37/713730637.db2.gz LMAZCYZIXQGIDI-HZSPNIEDSA-N -1 1 319.423 1.017 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@]12CCC[C@@]1(OC)OCC2)C1CC1 ZINC000921314284 713730668 /nfs/dbraw/zinc/73/06/68/713730668.db2.gz LMAZCYZIXQGIDI-MELADBBJSA-N -1 1 319.423 1.017 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@@H]1c2ccccc2OC[C@@H]1F ZINC000921319802 713732767 /nfs/dbraw/zinc/73/27/67/713732767.db2.gz WRABSQOPRHORTM-WCQYABFASA-N -1 1 317.382 1.803 20 0 DDADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)CC(C)(C)CC ZINC000921377438 713748718 /nfs/dbraw/zinc/74/87/18/713748718.db2.gz UNBJGMCHHVASNH-JTQLQIEISA-N -1 1 311.469 1.637 20 0 DDADMM CO[C@@]1(C[N-]S(=O)(=O)c2cccc(F)c2F)CCSC1 ZINC000921402709 713757041 /nfs/dbraw/zinc/75/70/41/713757041.db2.gz FHZMQBLYUFSTLB-GFCCVEGCSA-N -1 1 323.386 1.765 20 0 DDADMM C[C@@H]1CC[C@@H](C(N)=O)[C@H](C)N1Cc1nc(=O)c2sccc2[n-]1 ZINC000930916196 713957984 /nfs/dbraw/zinc/95/79/84/713957984.db2.gz LPWNYRUABWVSOC-KXUCPTDWSA-N -1 1 320.418 1.871 20 0 DDADMM O=C(NCCOCC(F)F)NCCc1c(F)cc([O-])cc1F ZINC000922145872 713973095 /nfs/dbraw/zinc/97/30/95/713973095.db2.gz DNBMYJQUGHUICD-UHFFFAOYSA-N -1 1 324.274 1.794 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3CCCC(C)(C)O3)ccnc1-2 ZINC000931132122 714010355 /nfs/dbraw/zinc/01/03/55/714010355.db2.gz SVHLSDHXCGCUGK-NSHDSACASA-N -1 1 317.393 1.811 20 0 DDADMM O=C(NCC1(CO)CC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932045247 714245352 /nfs/dbraw/zinc/24/53/52/714245352.db2.gz NWPFMCKEHOGHJB-UHFFFAOYSA-N -1 1 302.334 1.472 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CCC[C@@H]2C[C@@]21C(=O)[O-] ZINC000923151333 714255997 /nfs/dbraw/zinc/25/59/97/714255997.db2.gz VENPKAGKRSXANB-QAPCUYQASA-N -1 1 316.401 1.627 20 0 DDADMM Cc1ccc(CC(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1Cl ZINC000932282166 714299923 /nfs/dbraw/zinc/29/99/23/714299923.db2.gz HAWGVODJIGUSSP-LBPRGKRZSA-N -1 1 321.768 1.304 20 0 DDADMM CCCO[C@H](C(=O)NCc1nn[n-]n1)c1ccc(F)cc1C ZINC000932532464 714356951 /nfs/dbraw/zinc/35/69/51/714356951.db2.gz DKYARHDWWPGIRU-ZDUSSCGKSA-N -1 1 307.329 1.431 20 0 DDADMM Cn1[n-]c(CN2CCC(c3nc4c(s3)CCC4)CC2)nc1=O ZINC000932619474 714368549 /nfs/dbraw/zinc/36/85/49/714368549.db2.gz MTTLPBABEWOQLK-UHFFFAOYSA-N -1 1 319.434 1.433 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CCN(C(=O)C2CC2)C1 ZINC000923982109 714499857 /nfs/dbraw/zinc/49/98/57/714499857.db2.gz GUURJDHZWGIVQW-UHFFFAOYSA-N -1 1 323.780 1.767 20 0 DDADMM COc1nnc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)s1 ZINC000934275307 714783764 /nfs/dbraw/zinc/78/37/64/714783764.db2.gz OJDQHJZPSGFSAE-MRVPVSSYSA-N -1 1 323.378 1.388 20 0 DDADMM CCCc1nc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)no1 ZINC000934277088 714784793 /nfs/dbraw/zinc/78/47/93/714784793.db2.gz KUHUINXFPLBJRS-JTQLQIEISA-N -1 1 319.365 1.863 20 0 DDADMM O=C(c1ccc2scnc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000935037064 714960954 /nfs/dbraw/zinc/96/09/54/714960954.db2.gz MUODJGMJQJTWLR-JTQLQIEISA-N -1 1 314.374 1.829 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc2c1CCOC2)c1nn[n-]n1 ZINC000935369784 715039509 /nfs/dbraw/zinc/03/95/09/715039509.db2.gz YCWQSUIYKACKND-SNVBAGLBSA-N -1 1 301.350 1.148 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)c2ccco2)C1 ZINC000936425996 715198588 /nfs/dbraw/zinc/19/85/88/715198588.db2.gz PPFFDCKJSGJZAS-NSHDSACASA-N -1 1 315.329 1.367 20 0 DDADMM CN(C(=O)C1(C2CC2)CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954530214 715409244 /nfs/dbraw/zinc/40/92/44/715409244.db2.gz DUGZCQLPLXSDPQ-UHFFFAOYSA-N -1 1 315.373 1.260 20 0 DDADMM CC[C@H](F)C(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956347401 715413634 /nfs/dbraw/zinc/41/36/34/715413634.db2.gz GJJZVXHTJCFLRP-NSHDSACASA-N -1 1 323.368 1.646 20 0 DDADMM O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1[O-])C2)C1CC1 ZINC000957849998 716086765 /nfs/dbraw/zinc/08/67/65/716086765.db2.gz CNZMXSNOTMFNBS-UHTWSYAYSA-N -1 1 315.373 1.307 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000940055914 716601025 /nfs/dbraw/zinc/60/10/25/716601025.db2.gz DFUQXUXIVOAJII-GHMZBOCLSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H](CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000940136216 716645220 /nfs/dbraw/zinc/64/52/20/716645220.db2.gz VSQDNFQNXFOORO-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]([C@@H]2CC[N@@H+](CCF)C2)C1 ZINC000961144309 716831936 /nfs/dbraw/zinc/83/19/36/716831936.db2.gz KBNQDYBLJBYQAX-ZIAGYGMSSA-N -1 1 321.396 1.931 20 0 DDADMM CC(C)C(=O)N1C[C@@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959373738 716988781 /nfs/dbraw/zinc/98/87/81/716988781.db2.gz IOKSIXOTQZRHMO-NEPJUHHUSA-N -1 1 305.378 1.268 20 0 DDADMM CCC(=O)N1CCC[C@H]2[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962516029 717484905 /nfs/dbraw/zinc/48/49/05/717484905.db2.gz JNNKEUYITBNNQW-RYUDHWBXSA-N -1 1 303.362 1.403 20 0 DDADMM CC(C)=C(F)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943954766 718231596 /nfs/dbraw/zinc/23/15/96/718231596.db2.gz QZGBIFHIWPOZOE-LLVKDONJSA-N -1 1 321.352 1.771 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)C)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945516249 718472125 /nfs/dbraw/zinc/47/21/25/718472125.db2.gz HFDGRBXBUZDTCT-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)(F)C(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000948640487 719610146 /nfs/dbraw/zinc/61/01/46/719610146.db2.gz CLVIJJFYFARVHD-UHFFFAOYSA-N -1 1 323.368 1.504 20 0 DDADMM CCCC(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000948664121 719628152 /nfs/dbraw/zinc/62/81/52/719628152.db2.gz HHGANDYXQJJQKC-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM CC[C@@H](F)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886549 719844667 /nfs/dbraw/zinc/84/46/67/719844667.db2.gz QRQBIJKHOZESIL-GRYCIOLGSA-N -1 1 321.352 1.351 20 0 DDADMM O=C([C@@H]1C[C@@H]2CCCC[C@H]12)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969437747 720131824 /nfs/dbraw/zinc/13/18/24/720131824.db2.gz IGVWIUGGGCMXOL-QNWHQSFQSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@H](CC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000949541953 720150373 /nfs/dbraw/zinc/15/03/73/720150373.db2.gz SKRLVEMWRHGDCY-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)C2CN(CCC(F)(F)F)C2)c1[O-] ZINC000969499750 720162042 /nfs/dbraw/zinc/16/20/42/720162042.db2.gz AQGICUCYARZFCD-SSDOTTSWSA-N -1 1 320.315 1.426 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2cnoc2C)C1 ZINC000949951775 720428171 /nfs/dbraw/zinc/42/81/71/720428171.db2.gz ANLILSPDXYJBJO-UHFFFAOYSA-N -1 1 316.361 1.430 20 0 DDADMM CC1(C)CC[C@@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000969874050 720544078 /nfs/dbraw/zinc/54/40/78/720544078.db2.gz GGHJAIVDEUCOMQ-GHMZBOCLSA-N -1 1 307.398 1.027 20 0 DDADMM O=C(/C=C/C1CC1)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950285488 720555833 /nfs/dbraw/zinc/55/58/33/720555833.db2.gz OXUPBAMFTQCUNJ-GWJCSSMESA-N -1 1 315.373 1.474 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000950542739 720676750 /nfs/dbraw/zinc/67/67/50/720676750.db2.gz IEWRHEQBPRHMQR-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C12CCC(CC1)CC2 ZINC000970769551 720930149 /nfs/dbraw/zinc/93/01/49/720930149.db2.gz QJLOAAXJAGXOQF-BWBHSNQOSA-N -1 1 319.409 1.171 20 0 DDADMM CCC(C)(C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000951840374 721208843 /nfs/dbraw/zinc/20/88/43/721208843.db2.gz YHZPDTCFPXSZLD-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC000953897316 721675963 /nfs/dbraw/zinc/67/59/63/721675963.db2.gz IEOLOCQLYOGHAK-GFCCVEGCSA-N -1 1 323.368 1.598 20 0 DDADMM CN(C(=O)[C@@H]1CC1(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954077999 721711729 /nfs/dbraw/zinc/71/17/29/721711729.db2.gz DORARMGKISSQKV-NSHDSACASA-N -1 1 303.362 1.116 20 0 DDADMM Nc1n[nH]c2ccc([N-]S(=O)(=O)c3cccc(N)c3)cc12 ZINC001209861908 732831254 /nfs/dbraw/zinc/83/12/54/732831254.db2.gz JKIYDIHKRXVANY-UHFFFAOYSA-N -1 1 303.347 1.528 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccoc1C(F)(F)F ZINC001187724858 744597064 /nfs/dbraw/zinc/59/70/64/744597064.db2.gz GBKYDICDDAFTGJ-UHFFFAOYSA-N -1 1 315.184 1.986 20 0 DDADMM CCn1ccnc1CN1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038193118 733186978 /nfs/dbraw/zinc/18/69/78/733186978.db2.gz HPFGIBDYKCXTPW-LBPRGKRZSA-N -1 1 315.377 1.008 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])c1nc(C)ncc1C ZINC001100515205 733713110 /nfs/dbraw/zinc/71/31/10/733713110.db2.gz GUTZUGFZMQRKGE-UHFFFAOYSA-N -1 1 315.377 1.450 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@H]1/C=C/c1ccccc1 ZINC001121268343 782433551 /nfs/dbraw/zinc/43/35/51/782433551.db2.gz MSLOCBZUAJWBRE-LXKVQUBZSA-N -1 1 322.376 1.677 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@H]1/C=C/c1ccccc1 ZINC001121268343 782433557 /nfs/dbraw/zinc/43/35/57/782433557.db2.gz MSLOCBZUAJWBRE-LXKVQUBZSA-N -1 1 322.376 1.677 20 0 DDADMM C[C@@H]1CN(C(=O)CC2(C)CC2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104430196 734839970 /nfs/dbraw/zinc/83/99/70/734839970.db2.gz VGHQIOUOENFARH-VXGBXAGGSA-N -1 1 321.425 1.275 20 0 DDADMM CC(F)(F)CC(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025069817 736276938 /nfs/dbraw/zinc/27/69/38/736276938.db2.gz PREQORQJDDXDMB-SECBINFHSA-N -1 1 317.340 1.026 20 0 DDADMM CC[C@]1(C)C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974055927 737353019 /nfs/dbraw/zinc/35/30/19/737353019.db2.gz DFTSZPSSSUOZCJ-HBGPKNEHSA-N -1 1 317.389 1.600 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](Nc2nccnc2F)C1 ZINC001058879081 739006752 /nfs/dbraw/zinc/00/67/52/739006752.db2.gz LVHUXJMGWKSPMG-VIFPVBQESA-N -1 1 303.297 1.043 20 0 DDADMM CC[C@H](F)CN1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H]1CC ZINC001087543887 740621957 /nfs/dbraw/zinc/62/19/57/740621957.db2.gz LHAKWBXZKXGRCP-SDDRHHMPSA-N -1 1 312.389 1.755 20 0 DDADMM O=C(CCCF)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001060122461 742767340 /nfs/dbraw/zinc/76/73/40/742767340.db2.gz DRSLVIFLGJJDON-GFCCVEGCSA-N -1 1 323.368 1.505 20 0 DDADMM COCC[C@H](C)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076635794 742836420 /nfs/dbraw/zinc/83/64/20/742836420.db2.gz MHQWRFKEHXJTLA-RYUDHWBXSA-N -1 1 323.393 1.084 20 0 DDADMM C[C@@H](CCNC(=O)[C@@H]1C[C@H]1C1CC1)NC(=O)c1ncccc1[O-] ZINC001076712657 742934365 /nfs/dbraw/zinc/93/43/65/742934365.db2.gz JIDROMSANQYSEH-WCFLWFBJSA-N -1 1 317.389 1.458 20 0 DDADMM CC(C)CC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002189072 742936392 /nfs/dbraw/zinc/93/63/92/742936392.db2.gz QRLSQKBXWHYGTL-UPJWGTAASA-N -1 1 317.389 1.695 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)Cc1cc2ccccc2o1 ZINC001181093660 743054702 /nfs/dbraw/zinc/05/47/02/743054702.db2.gz HKPWOPCFBCXCHY-UHFFFAOYSA-N -1 1 300.274 1.397 20 0 DDADMM O=C(Cc1cccc2[nH]ccc21)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001181729712 743312884 /nfs/dbraw/zinc/31/28/84/743312884.db2.gz TXOUFYSZGBXQPZ-UHFFFAOYSA-N -1 1 308.301 1.721 20 0 DDADMM O=C(Cc1ccc2c(c1)OCC2)NCCCC[P@@](=O)([O-])O ZINC001182652253 743692507 /nfs/dbraw/zinc/69/25/07/743692507.db2.gz FJXJVZKEYMYETA-UHFFFAOYSA-N -1 1 313.290 1.238 20 0 DDADMM Cc1nc(CN2CC(NC(=O)c3ncccc3[O-])C2)oc1C ZINC001030240267 743974069 /nfs/dbraw/zinc/97/40/69/743974069.db2.gz XQLRKEYHSAISEU-UHFFFAOYSA-N -1 1 302.334 1.006 20 0 DDADMM O=C(NC1CN(C/C(Cl)=C\Cl)C1)c1ncccc1[O-] ZINC001030242798 743978546 /nfs/dbraw/zinc/97/85/46/743978546.db2.gz WUUBOHMLUWLYPF-XBXARRHUSA-N -1 1 302.161 1.520 20 0 DDADMM Cc1nn(C)cc1[N-]S(=O)(=O)Cc1cc(F)cc(F)c1 ZINC001185084735 744158741 /nfs/dbraw/zinc/15/87/41/744158741.db2.gz LIUMYZQTHPWDQY-UHFFFAOYSA-N -1 1 301.318 1.949 20 0 DDADMM O=C(CC1CCCCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998479976 751898297 /nfs/dbraw/zinc/89/82/97/751898297.db2.gz PYKUXVHBZFKXSB-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM CC(C)CCCCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186109873 744345557 /nfs/dbraw/zinc/34/55/57/744345557.db2.gz AYTBUVGWRYJDBB-CYBMUJFWSA-N -1 1 323.441 1.807 20 0 DDADMM COC(=O)[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ccc([O-])cc1F)C2 ZINC001186327599 744375362 /nfs/dbraw/zinc/37/53/62/744375362.db2.gz RFWNRBYVNGHPFG-DCAQKATOSA-N -1 1 307.321 1.945 20 0 DDADMM O=C1Cc2cc(S(=O)(=O)[N-]c3ccc(F)c(O)c3)ccc2N1 ZINC001186927829 744467143 /nfs/dbraw/zinc/46/71/43/744467143.db2.gz PPOUZDSQOQCAIL-UHFFFAOYSA-N -1 1 322.317 1.827 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cnn(CC(F)(F)F)c1 ZINC001187243001 744524035 /nfs/dbraw/zinc/52/40/35/744524035.db2.gz BLZPSGMBCOMHOL-UHFFFAOYSA-N -1 1 301.290 1.224 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1nc(Cl)cnc1Cl ZINC001187247007 744525663 /nfs/dbraw/zinc/52/56/63/744525663.db2.gz ZYFXABCNNOKPLC-UHFFFAOYSA-N -1 1 300.167 1.562 20 0 DDADMM Cc1ccnc(N[C@H](C)CCNC(=O)c2ncccc2[O-])n1 ZINC001099104988 744534780 /nfs/dbraw/zinc/53/47/80/744534780.db2.gz NTRRJKQBEHKNAU-SNVBAGLBSA-N -1 1 301.350 1.506 20 0 DDADMM O=S(=O)([N-]CC1CCC2(COC2)CC1)c1nccs1 ZINC001187910751 744628791 /nfs/dbraw/zinc/62/87/91/744628791.db2.gz IWEHHIOIDQZJCK-UHFFFAOYSA-N -1 1 302.421 1.628 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)c1ccccc1-c1cn[nH]c1 ZINC001168429571 744598490 /nfs/dbraw/zinc/59/84/90/744598490.db2.gz FWJXEWDLNXDBFH-UHFFFAOYSA-N -1 1 311.301 1.208 20 0 DDADMM Cn1cc2cccc(CNC(=O)c3n[n-]nc3C(F)(F)F)c2n1 ZINC001187770228 744599304 /nfs/dbraw/zinc/59/93/04/744599304.db2.gz IYCOWWNFHHPTJW-UHFFFAOYSA-N -1 1 324.266 1.640 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccccc2[S@@](C)=O)n1 ZINC001187821465 744612862 /nfs/dbraw/zinc/61/28/62/744612862.db2.gz YJFQPYRFSALKOV-JOCHJYFZSA-N -1 1 321.358 1.576 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1nccs1)C1CCCCC1 ZINC001187846669 744617687 /nfs/dbraw/zinc/61/76/87/744617687.db2.gz MCYKKWCBFXLUJU-SNVBAGLBSA-N -1 1 318.420 1.543 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cc(C3CC3)nn2C)n[nH]1 ZINC001189403969 744889920 /nfs/dbraw/zinc/88/99/20/744889920.db2.gz VZJDGEHCGZBSBG-UHFFFAOYSA-N -1 1 303.322 1.450 20 0 DDADMM COCn1cc([N-]S(=O)(=O)Cc2cc(F)ccc2F)cn1 ZINC001189651535 744947490 /nfs/dbraw/zinc/94/74/90/744947490.db2.gz RYLATHGUMYXIFV-UHFFFAOYSA-N -1 1 317.317 1.707 20 0 DDADMM CCc1cc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)no1 ZINC001189996870 745075115 /nfs/dbraw/zinc/07/51/15/745075115.db2.gz YUKGZHOIYMJMFY-UHFFFAOYSA-N -1 1 306.282 1.449 20 0 DDADMM CCCc1nnsc1C(=O)[N-]c1n[nH]c(-c2ccncc2)n1 ZINC001190150976 745116741 /nfs/dbraw/zinc/11/67/41/745116741.db2.gz UHSRPPZEVSWSOD-UHFFFAOYSA-N -1 1 315.362 1.923 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2cc(N(C)C)n[nH]2)c(OC)c1 ZINC001190122985 745123760 /nfs/dbraw/zinc/12/37/60/745123760.db2.gz YPPLTOPEFDUXOC-UHFFFAOYSA-N -1 1 306.322 1.451 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncc(F)cc1F)c1cscn1 ZINC001190193170 745131470 /nfs/dbraw/zinc/13/14/70/745131470.db2.gz YXSRWHVMWDVZGK-LURJTMIESA-N -1 1 305.331 1.856 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncc(F)cc1F)c1cscn1 ZINC001190193171 745132031 /nfs/dbraw/zinc/13/20/31/745132031.db2.gz YXSRWHVMWDVZGK-ZCFIWIBFSA-N -1 1 305.331 1.856 20 0 DDADMM COc1ncc(NC(=O)c2cnc(-c3ccccc3)[n-]c2=O)cn1 ZINC001190256979 745163202 /nfs/dbraw/zinc/16/32/02/745163202.db2.gz BSFDLHXVYUZOOG-UHFFFAOYSA-N -1 1 323.312 1.900 20 0 DDADMM Cc1cncnc1NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190618914 745260500 /nfs/dbraw/zinc/26/05/00/745260500.db2.gz NPRQRTQADOOFQX-UHFFFAOYSA-N -1 1 308.301 1.595 20 0 DDADMM CSc1ncc(C(=O)NCc2nc3cc[nH]cc-3n2)c(=O)[n-]1 ZINC001191440296 745513068 /nfs/dbraw/zinc/51/30/68/745513068.db2.gz KHZAEWCKHWJGLT-UHFFFAOYSA-N -1 1 316.346 1.105 20 0 DDADMM Cc1cc(C)nc(NCC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001106296438 745563408 /nfs/dbraw/zinc/56/34/08/745563408.db2.gz RFOYXXVNGZVVSF-SNVBAGLBSA-N -1 1 315.377 1.815 20 0 DDADMM CSCC[C@@H](CO)[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192044059 745681563 /nfs/dbraw/zinc/68/15/63/745681563.db2.gz JLYZDTGVPNSXDU-YFKPBYRVSA-N -1 1 316.659 1.348 20 0 DDADMM Cc1ncc(CO)c(CNC(=O)c2c(F)ccc([O-])c2F)c1O ZINC001192553196 745813784 /nfs/dbraw/zinc/81/37/84/745813784.db2.gz WXPWCUCQRFYNHZ-UHFFFAOYSA-N -1 1 324.283 1.502 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1C[C@@H]2OCC(F)(F)[C@@H]2C1 ZINC001192661103 745846499 /nfs/dbraw/zinc/84/64/99/745846499.db2.gz JPOOEPXXZUPVJH-SCZZXKLOSA-N -1 1 305.227 1.777 20 0 DDADMM COCCN1CCN(C(=O)c2cc([O-])cc(F)c2F)CC1 ZINC001192661493 745867937 /nfs/dbraw/zinc/86/79/37/745867937.db2.gz ZGVBYDOEWUFYCV-UHFFFAOYSA-N -1 1 300.305 1.075 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc(CO)c(C)c1 ZINC001193061553 745976860 /nfs/dbraw/zinc/97/68/60/745976860.db2.gz ZEDSBAHDUWRSGB-UHFFFAOYSA-N -1 1 315.391 1.571 20 0 DDADMM Cc1cnc(Cl)nc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001193235349 746025157 /nfs/dbraw/zinc/02/51/57/746025157.db2.gz MRPPXNAGGIUSML-UHFFFAOYSA-N -1 1 310.657 1.290 20 0 DDADMM CN1Cc2cc([N-]S(=O)(=O)C[C@@H]3CCCO3)ccc2C1=O ZINC001193264673 746036715 /nfs/dbraw/zinc/03/67/15/746036715.db2.gz LKCSAFZNJSQLIB-LBPRGKRZSA-N -1 1 310.375 1.193 20 0 DDADMM COC(=O)c1cc(NC(=O)c2cc(=O)[nH][n-]2)cc2[nH]ncc21 ZINC001193472656 746114215 /nfs/dbraw/zinc/11/42/15/746114215.db2.gz FABWCGYGNFAHCD-UHFFFAOYSA-N -1 1 301.262 1.031 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1cccc(-n2cncn2)c1 ZINC001193930164 746224600 /nfs/dbraw/zinc/22/46/00/746224600.db2.gz XWBGIAFGMIJIDH-UHFFFAOYSA-N -1 1 315.358 1.650 20 0 DDADMM C[C@@H]1CCC[C@H]1CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000993750936 746307564 /nfs/dbraw/zinc/30/75/64/746307564.db2.gz MNHFCQODUSCKSN-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cccc(C)c1S(=O)(=O)[N-]c1cc(O)cc(C(N)=O)c1 ZINC001194643461 746423026 /nfs/dbraw/zinc/42/30/26/746423026.db2.gz WEIBXAUXPKXVOA-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM O=C(Nc1ccc2[nH]c(=O)cnc2c1)c1c[n-]c(C(F)(F)F)n1 ZINC001194783032 746453792 /nfs/dbraw/zinc/45/37/92/746453792.db2.gz AUXMJTYCLOLLSH-UHFFFAOYSA-N -1 1 323.234 1.917 20 0 DDADMM CCOc1cc(C(N)=O)ccc1NC(=O)c1nc(C)ccc1[O-] ZINC001195326460 746566823 /nfs/dbraw/zinc/56/68/23/746566823.db2.gz QBSXQDRIKNDKBL-UHFFFAOYSA-N -1 1 315.329 1.846 20 0 DDADMM COC(=O)c1n[nH]c(C)c1NC(=O)c1cc([O-])cnc1Cl ZINC001195298462 746570393 /nfs/dbraw/zinc/57/03/93/746570393.db2.gz XYCKUQUGCGATLM-UHFFFAOYSA-N -1 1 310.697 1.511 20 0 DDADMM O=C(NCC1(c2cnccn2)CC1)c1cc([O-])cnc1Cl ZINC001195313913 746574643 /nfs/dbraw/zinc/57/46/43/746574643.db2.gz ALLYXSPILTZWMA-UHFFFAOYSA-N -1 1 304.737 1.692 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2cccc3c(=O)[nH][nH]c(=O)c23)n1 ZINC001195330846 746588093 /nfs/dbraw/zinc/58/80/93/746588093.db2.gz SUAWEUMEYSJNNC-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM CC(C)(C)OC(=O)Nc1cnc(C(=O)[N-]c2nnco2)cn1 ZINC001195627108 746660137 /nfs/dbraw/zinc/66/01/37/746660137.db2.gz XZNIBRMKJKYMKA-UHFFFAOYSA-N -1 1 306.282 1.459 20 0 DDADMM O=C(Nc1cnccc1OC(F)F)c1c[nH]c(=S)[n-]c1=O ZINC001196014558 746757024 /nfs/dbraw/zinc/75/70/24/746757024.db2.gz RPDKUPQSMKHZDF-UHFFFAOYSA-N -1 1 314.273 1.720 20 0 DDADMM Cc1ccc2c(c1)[C@H](NC(=O)c1c[nH]c(=S)[n-]c1=O)C(=O)N2 ZINC001196019757 746759177 /nfs/dbraw/zinc/75/91/77/746759177.db2.gz BVGUNAREYZWHFI-JTQLQIEISA-N -1 1 316.342 1.203 20 0 DDADMM COC(=O)C12CCC(NC(=O)c3c[nH]c(=S)[n-]c3=O)(CC1)C2 ZINC001196026651 746760578 /nfs/dbraw/zinc/76/05/78/746760578.db2.gz AICNSBYJLDATJV-UHFFFAOYSA-N -1 1 323.374 1.077 20 0 DDADMM CSc1nc(NC(=O)c2noc(C(C)(C)C)n2)cc(=O)[n-]1 ZINC001196980385 747023761 /nfs/dbraw/zinc/02/37/61/747023761.db2.gz KRFSGKLDDDLBAM-UHFFFAOYSA-N -1 1 309.351 1.837 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(O)cc2OC)n1 ZINC001197156619 747089245 /nfs/dbraw/zinc/08/92/45/747089245.db2.gz UGDGHBHMSQEJPX-UHFFFAOYSA-N -1 1 305.290 1.553 20 0 DDADMM C[C@@H]1CCc2c(C(=O)Nc3[nH]c(=O)[n-]c(=O)c3N=O)n[nH]c21 ZINC001198433810 747494313 /nfs/dbraw/zinc/49/43/13/747494313.db2.gz GFODKFDJTDRJGI-SCSAIBSYSA-N -1 1 304.266 1.311 20 0 DDADMM C=CS(=O)(=O)[N-]c1cc(Br)cc(C(=O)OC)n1 ZINC001198579161 747533952 /nfs/dbraw/zinc/53/39/52/747533952.db2.gz LGKBSCVKVSTTRR-UHFFFAOYSA-N -1 1 321.152 1.516 20 0 DDADMM CCN1CCC(NS(=O)(=O)c2ccccc2C(=O)[O-])CC1 ZINC001198799322 747616060 /nfs/dbraw/zinc/61/60/60/747616060.db2.gz OJVYPGCHWMYRGM-UHFFFAOYSA-N -1 1 312.391 1.147 20 0 DDADMM O=C(NC[C@@H]1CCCN1c1cccc(F)n1)c1ncccc1[O-] ZINC001061345012 747684264 /nfs/dbraw/zinc/68/42/64/747684264.db2.gz XZFGZLWUEKBFGA-NSHDSACASA-N -1 1 316.336 1.720 20 0 DDADMM CC(C)Oc1cnccc1C(=O)NCCCC[P@](=O)([O-])O ZINC001199158660 747722599 /nfs/dbraw/zinc/72/25/99/747722599.db2.gz VOMBFMHYAQUKNA-UHFFFAOYSA-N -1 1 316.294 1.557 20 0 DDADMM CC(C)n1cc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)ccc1=O ZINC001199509402 747880852 /nfs/dbraw/zinc/88/08/52/747880852.db2.gz ZOVVGYHWRJBNHK-UHFFFAOYSA-N -1 1 319.277 1.281 20 0 DDADMM O=[P@]([O-])(O)CCCCNC(=S)NCC1CCCCC1 ZINC001199930610 748058520 /nfs/dbraw/zinc/05/85/20/748058520.db2.gz GISFVYYINYLVPV-UHFFFAOYSA-N -1 1 308.384 1.989 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cccc2cc[nH]c21 ZINC001201325541 748478823 /nfs/dbraw/zinc/47/88/23/748478823.db2.gz DUJAMYCKYISISR-UHFFFAOYSA-N -1 1 310.273 1.449 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)C1CCCC1 ZINC001004665182 748633197 /nfs/dbraw/zinc/63/31/97/748633197.db2.gz ZTMQJBUUOCICCW-AAEUAGOBSA-N -1 1 321.425 1.560 20 0 DDADMM CC(C)CC(=O)N1CCCC[C@H]1[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004702864 748661826 /nfs/dbraw/zinc/66/18/26/748661826.db2.gz XUFMTUWDNXFLCS-RYUDHWBXSA-N -1 1 309.414 1.416 20 0 DDADMM Cc1occc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995429276 748713355 /nfs/dbraw/zinc/71/33/55/748713355.db2.gz WSLGWXRWZAFPNE-NSHDSACASA-N -1 1 319.365 1.052 20 0 DDADMM CC1(C(=O)NC[C@]23CCC[C@H]2N(Cc2n[nH]c(=O)[n-]2)CC3)CC1 ZINC001107416701 749920911 /nfs/dbraw/zinc/92/09/11/749920911.db2.gz QYHZNJOTIKJXIY-BDJLRTHQSA-N -1 1 319.409 1.171 20 0 DDADMM O=C(C(C1CC1)C1CC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000648532 762047725 /nfs/dbraw/zinc/04/77/25/762047725.db2.gz IUIYRBKBURMIAN-LBPRGKRZSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])Nc1cncc(Cl)n1 ZINC001115719169 750887988 /nfs/dbraw/zinc/88/79/88/750887988.db2.gz JIRVPNGWLHEGQV-SECBINFHSA-N -1 1 321.768 1.803 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])Nc1cc(F)ncn1 ZINC001115719412 750912047 /nfs/dbraw/zinc/91/20/47/750912047.db2.gz PPQBGVGWYPNJJT-VIFPVBQESA-N -1 1 305.313 1.289 20 0 DDADMM CC1(C)CC(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000999064028 752520131 /nfs/dbraw/zinc/52/01/31/752520131.db2.gz HWJOAWWPHRLYPE-NSHDSACASA-N -1 1 307.398 1.027 20 0 DDADMM CC[C@@H]1CCC[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000999149029 752562955 /nfs/dbraw/zinc/56/29/55/752562955.db2.gz BBYSVWYNMLTMMT-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011013322 754306021 /nfs/dbraw/zinc/30/60/21/754306021.db2.gz UKOHKARAHCYYBN-LLVKDONJSA-N -1 1 303.362 1.118 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036040 754318842 /nfs/dbraw/zinc/31/88/42/754318842.db2.gz XDBMGZJORDCEDL-RYUDHWBXSA-N -1 1 303.362 1.118 20 0 DDADMM CCC(=O)N1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]1C ZINC001011340896 754448859 /nfs/dbraw/zinc/44/88/59/754448859.db2.gz STCMWOMHJQWRFK-JOYOIKCWSA-N -1 1 318.377 1.189 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)CC1CC1)C(=O)c1ncccc1[O-] ZINC001002032103 754659224 /nfs/dbraw/zinc/65/92/24/754659224.db2.gz OXTBUKSHJTWYGZ-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CC=CC1 ZINC001012413259 755055843 /nfs/dbraw/zinc/05/58/43/755055843.db2.gz QAURNZOXPRZMCN-YPMHNXCESA-N -1 1 315.373 1.473 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C(C)(F)F)CN1C(=O)c1ncccc1[O-] ZINC001012507891 755114160 /nfs/dbraw/zinc/11/41/60/755114160.db2.gz XAAVKEYPOYWPBY-BDAKNGLRSA-N -1 1 313.304 1.162 20 0 DDADMM CCCC(=O)NC[C@H]1CCC(C)(C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001098862239 755577437 /nfs/dbraw/zinc/57/74/37/755577437.db2.gz NKWDZYFZVMAECU-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1Nc1ncc(F)cn1 ZINC001067075083 755673357 /nfs/dbraw/zinc/67/33/57/755673357.db2.gz ARKBOFWZASUDKR-KOLCDFICSA-N -1 1 317.324 1.289 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C1CC1 ZINC001014896895 756005096 /nfs/dbraw/zinc/00/50/96/756005096.db2.gz YNFXWOODIYNAFC-CMPLNLGQSA-N -1 1 303.362 1.307 20 0 DDADMM O=C(NC[C@@H]1CC[C@@H](NC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC001015284971 756215727 /nfs/dbraw/zinc/21/57/27/756215727.db2.gz YVIOQSYGAROVII-DGCLKSJQSA-N -1 1 317.389 1.602 20 0 DDADMM COC(=O)c1n[nH]cc1[N-]c1cc(C(F)(F)F)cc[n+]1[O-] ZINC001169573990 762518890 /nfs/dbraw/zinc/51/88/90/762518890.db2.gz WNGQPRQONMPWRH-UHFFFAOYSA-N -1 1 302.212 1.592 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@@H]1CC=CCC1 ZINC001085132267 758280104 /nfs/dbraw/zinc/28/01/04/758280104.db2.gz VPDZFHITMDYADD-JHJVBQTASA-N -1 1 319.409 1.384 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001018097271 758544655 /nfs/dbraw/zinc/54/46/55/758544655.db2.gz DDDKPSWGDFRYRZ-CLFQDTNISA-N -1 1 317.389 1.863 20 0 DDADMM C[C@H]1CN(C(=O)C2C(C)(C)C2(C)C)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054544708 759792270 /nfs/dbraw/zinc/79/22/70/759792270.db2.gz YRPZDWYYHHKFNX-UWVGGRQHSA-N -1 1 321.425 1.129 20 0 DDADMM C[C@H]1CN(C(=O)C2C(C)(C)C2(C)C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054544711 759792347 /nfs/dbraw/zinc/79/23/47/759792347.db2.gz YRPZDWYYHHKFNX-VHSXEESVSA-N -1 1 321.425 1.129 20 0 DDADMM Cc1cc(N(C)C[C@@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001108933175 763142442 /nfs/dbraw/zinc/14/24/42/763142442.db2.gz LJMGPXCQHHUXDZ-LLVKDONJSA-N -1 1 301.350 1.140 20 0 DDADMM O=C(N[C@H](CNc1cc(F)ncn1)C1CC1)c1ncccc1[O-] ZINC001109821574 764104703 /nfs/dbraw/zinc/10/47/03/764104703.db2.gz JVXBVFBXGQEULT-SNVBAGLBSA-N -1 1 317.324 1.337 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](Nc2cc(F)ncn2)C1 ZINC001057622694 764156240 /nfs/dbraw/zinc/15/62/40/764156240.db2.gz BXLHRWGTWXEVNO-SNVBAGLBSA-N -1 1 317.324 1.433 20 0 DDADMM CC(C)=CCN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001050887730 764235755 /nfs/dbraw/zinc/23/57/55/764235755.db2.gz KNSYRGPBASFNQC-ZDUSSCGKSA-N -1 1 305.378 1.184 20 0 DDADMM Cc1nsc(N[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983375 765081762 /nfs/dbraw/zinc/08/17/62/765081762.db2.gz KHOQAGKYURUZKF-JGVFFNPUSA-N -1 1 307.379 1.566 20 0 DDADMM Cc1ccnc(N[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983717 765082616 /nfs/dbraw/zinc/08/26/16/765082616.db2.gz PLTNDHAQOUDTQO-WDEREUQCSA-N -1 1 301.350 1.505 20 0 DDADMM CC(C)CCN1CC(N(C)C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001044182635 765371078 /nfs/dbraw/zinc/37/10/78/765371078.db2.gz XRXFAGLPRJKGSB-UHFFFAOYSA-N -1 1 318.377 1.731 20 0 DDADMM Cc1ccc(N(C)[C@H](C)CNC(=O)c2ncccc2[O-])nn1 ZINC001113574666 765895379 /nfs/dbraw/zinc/89/53/79/765895379.db2.gz CHGJQVQLODNFKB-LLVKDONJSA-N -1 1 301.350 1.140 20 0 DDADMM Cc1coc(C)c1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045021089 766040734 /nfs/dbraw/zinc/04/07/34/766040734.db2.gz QFGPZUFBTCCWIE-NSHDSACASA-N -1 1 319.365 1.115 20 0 DDADMM CC(C)(C)c1nccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170214156 766173960 /nfs/dbraw/zinc/17/39/60/766173960.db2.gz YMQXRHLXXPIEKZ-UHFFFAOYSA-N -1 1 301.310 1.547 20 0 DDADMM Cc1nc(Nc2[n-]c(=O)nc3nc[nH]c32)cc(-n2ccnc2)n1 ZINC001170227679 766202181 /nfs/dbraw/zinc/20/21/81/766202181.db2.gz SPTTUXCFRPENHA-UHFFFAOYSA-N -1 1 309.293 1.086 20 0 DDADMM Cc1nccc(N[C@@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)n1 ZINC001069062886 767811003 /nfs/dbraw/zinc/81/10/03/767811003.db2.gz KPLHKILHQLMWLG-ZYHUDNBSSA-N -1 1 313.361 1.601 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CCCF ZINC001071951877 770334791 /nfs/dbraw/zinc/33/47/91/770334791.db2.gz DYOKKNULLDVDSY-QWRGUYRKSA-N -1 1 309.341 1.114 20 0 DDADMM O=C(NC[C@@H](Nc1cc(F)ncn1)C1CC1)c1ncccc1[O-] ZINC001096640548 771365004 /nfs/dbraw/zinc/36/50/04/771365004.db2.gz RCSCRBPLLYMVDE-SNVBAGLBSA-N -1 1 317.324 1.337 20 0 DDADMM Cc1nsc(N[C@@H](CNC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001096640518 771365240 /nfs/dbraw/zinc/36/52/40/771365240.db2.gz QLCWQNPDQKMLSW-JTQLQIEISA-N -1 1 319.390 1.568 20 0 DDADMM Cc1ccccc1[C@H](O)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001144522297 772556658 /nfs/dbraw/zinc/55/66/58/772556658.db2.gz CXKKCJSVAJYFPE-QMMMGPOBSA-N -1 1 300.240 1.804 20 0 DDADMM CCOC(=O)[C@@H]1COCCN1Cc1c(F)cc([O-])cc1F ZINC001144562085 772565233 /nfs/dbraw/zinc/56/52/33/772565233.db2.gz YDNKGQOKBRRGBO-ZDUSSCGKSA-N -1 1 301.289 1.434 20 0 DDADMM CCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073636120 773249468 /nfs/dbraw/zinc/24/94/68/773249468.db2.gz UQMSPIJPCITHBK-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NC3CN(C(C)C)C3)cc2)[n-]1 ZINC001148336029 773440617 /nfs/dbraw/zinc/44/06/17/773440617.db2.gz KFSVBQHBXDEHSO-UHFFFAOYSA-N -1 1 314.389 1.622 20 0 DDADMM CC1(C)C(=O)NC[C@@H]1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001148805511 773605960 /nfs/dbraw/zinc/60/59/60/773605960.db2.gz AJUQMRIBPBQEJB-JTQLQIEISA-N -1 1 316.279 1.665 20 0 DDADMM O=C(Nc1ccccc1CO)c1cc(S(=O)(=O)[O-])ccc1O ZINC001148925932 773652325 /nfs/dbraw/zinc/65/23/25/773652325.db2.gz DPVUYZWDPNNBPN-UHFFFAOYSA-N -1 1 323.326 1.384 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C[C@H]1C=CCCC1 ZINC001074707697 774076701 /nfs/dbraw/zinc/07/67/01/774076701.db2.gz MTLMLPVGZSGJGG-AVGNSLFASA-N -1 1 319.409 1.336 20 0 DDADMM CC1(CC(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001036776026 774575112 /nfs/dbraw/zinc/57/51/12/774575112.db2.gz FRMWJPBGRGUKES-VXGBXAGGSA-N -1 1 319.409 1.123 20 0 DDADMM CC(C)c1cc(NCCNC(=O)c2ncccc2[O-])ncn1 ZINC001093562640 774889885 /nfs/dbraw/zinc/88/98/85/774889885.db2.gz AEHVWFBJQYUWRU-UHFFFAOYSA-N -1 1 301.350 1.543 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccc(-n2cccn2)cc1 ZINC001175369451 777764054 /nfs/dbraw/zinc/76/40/54/777764054.db2.gz DTKIYAYPYQXANZ-UHFFFAOYSA-N -1 1 300.347 1.982 20 0 DDADMM C=C/C(C)=C/CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102244297 777971183 /nfs/dbraw/zinc/97/11/83/777971183.db2.gz FEISZMPGIRUNLD-PUZDAVHHSA-N -1 1 319.409 1.217 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCCOc1cccnc1 ZINC001176594369 778177782 /nfs/dbraw/zinc/17/77/82/778177782.db2.gz QDJMJUQYYBDCJW-UHFFFAOYSA-N -1 1 318.381 1.439 20 0 DDADMM COC(=O)CCc1ccc(O)c(NC(=O)c2cnncc2[O-])c1 ZINC001177801414 778741464 /nfs/dbraw/zinc/74/14/64/778741464.db2.gz SOSITRDBGQONKH-UHFFFAOYSA-N -1 1 317.301 1.246 20 0 DDADMM COC[C@H](NC(=O)c1ccc(F)c(Cl)c1F)c1nn[n-]n1 ZINC001177829569 778751841 /nfs/dbraw/zinc/75/18/41/778751841.db2.gz ZVOWNFBYKXPKMO-ZETCQYMHSA-N -1 1 317.683 1.249 20 0 DDADMM CCCC[C@H](C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001178621302 779116161 /nfs/dbraw/zinc/11/61/61/779116161.db2.gz HAWGIUJMFYFALI-SRVKXCTJSA-N -1 1 309.414 1.416 20 0 DDADMM CC[C@H](C)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001103904487 779156442 /nfs/dbraw/zinc/15/64/42/779156442.db2.gz GVRVQESYIGKXAN-QJPTWQEYSA-N -1 1 309.414 1.131 20 0 DDADMM O=C([N-]CCN1CCCCC1=O)C(F)(F)C(F)(F)Cl ZINC001179774417 779473184 /nfs/dbraw/zinc/47/31/84/779473184.db2.gz NLZZTQZLYORQNH-UHFFFAOYSA-N -1 1 304.671 1.582 20 0 DDADMM CC[C@H](C)CCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179775108 779473923 /nfs/dbraw/zinc/47/39/23/779473923.db2.gz JPESVSCMDBDJDG-SRVKXCTJSA-N -1 1 309.414 1.416 20 0 DDADMM Cc1cccc(CCC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001180168137 779650002 /nfs/dbraw/zinc/65/00/02/779650002.db2.gz UPVGBQCTQMGVSR-UHFFFAOYSA-N -1 1 313.317 1.595 20 0 DDADMM O=C(NCc1nn[n-]n1)c1nc(-c2ccccc2)oc1C1CC1 ZINC001116032368 780468820 /nfs/dbraw/zinc/46/88/20/780468820.db2.gz NPPAHXUVIKPDCO-UHFFFAOYSA-N -1 1 310.317 1.662 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cnn(Cc2ccccc2)c1 ZINC001116929544 780578098 /nfs/dbraw/zinc/57/80/98/780578098.db2.gz OQRHPPBOVJIRLQ-UHFFFAOYSA-N -1 1 318.358 1.731 20 0 DDADMM Cn1cc(CN[C@@H]2C=C[C@H](C(=O)[O-])C2)c(Br)n1 ZINC001118920975 781272442 /nfs/dbraw/zinc/27/24/42/781272442.db2.gz ZJKZLVNTUCBXEG-IONNQARKSA-N -1 1 300.156 1.302 20 0 DDADMM CN(C(=O)C1(C(=O)[O-])CC1)C1CCN(CCC(F)(F)F)CC1 ZINC001119654568 781550124 /nfs/dbraw/zinc/55/01/24/781550124.db2.gz ZBONKQCXMCNAAO-UHFFFAOYSA-N -1 1 322.327 1.726 20 0 DDADMM Cc1nocc1C[N-]S(=O)(=O)c1sc(Cl)nc1C ZINC000397898458 836490747 /nfs/dbraw/zinc/49/07/47/836490747.db2.gz AAFQZRJPALPYNK-UHFFFAOYSA-N -1 1 307.784 1.880 20 0 DDADMM CCN(CCNC(=O)[C@@H](C)SC)C(=O)c1ncccc1[O-] ZINC001408717996 838539704 /nfs/dbraw/zinc/53/97/04/838539704.db2.gz KSRQSXQWWVMYIQ-SNVBAGLBSA-N -1 1 311.407 1.117 20 0 DDADMM O=C(CSc1nc(C(F)F)cc(=O)[n-]1)Nc1ncc[nH]1 ZINC001413937438 839062667 /nfs/dbraw/zinc/06/26/67/839062667.db2.gz XCJZRZKXZKDWJY-UHFFFAOYSA-N -1 1 301.278 1.574 20 0 DDADMM O=C(C[C@H]1C=CCC1)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001269524800 841726233 /nfs/dbraw/zinc/72/62/33/841726233.db2.gz IWTWHHOJPPNZHP-LBPRGKRZSA-N -1 1 319.409 1.385 20 0 DDADMM C/C=C(\C)C(=O)N[C@]1(CNCc2n[nH]c(=O)[n-]2)CCCC[C@H]1C ZINC001269656913 841902814 /nfs/dbraw/zinc/90/28/14/841902814.db2.gz HFNHIWRMNCYZAB-RWXOKUBQSA-N -1 1 321.425 1.631 20 0 DDADMM NC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001269918844 842156529 /nfs/dbraw/zinc/15/65/29/842156529.db2.gz BIAKFSIDAQVBCA-ISVAXAHUSA-N -1 1 314.263 1.501 20 0 DDADMM O=S(=O)([N-]Cc1nccc(C(F)(F)F)n1)c1ccns1 ZINC001363989933 842922972 /nfs/dbraw/zinc/92/29/72/842922972.db2.gz CVSKBIKARATTPC-UHFFFAOYSA-N -1 1 324.309 1.430 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc2c(Br)c[nH]c2c1 ZINC001154718707 861388123 /nfs/dbraw/zinc/38/81/23/861388123.db2.gz JKIRQGKJSFIJNB-UHFFFAOYSA-N -1 1 321.138 1.374 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)N(C)CC(=O)N(C)C)cc2c1 ZINC001154749557 861420175 /nfs/dbraw/zinc/42/01/75/861420175.db2.gz JBGWHVMUXDRYIF-UHFFFAOYSA-N -1 1 316.357 1.714 20 0 DDADMM CCC[C@H](NC(=O)c1cnn2c1cccc2OC)c1nn[n-]n1 ZINC001149122916 861473051 /nfs/dbraw/zinc/47/30/51/861473051.db2.gz SQSVECBOBJDFLG-JTQLQIEISA-N -1 1 315.337 1.127 20 0 DDADMM CC[C@@H](CNC(=O)CCCC(F)(F)F)NC(=O)c1cnn[nH]1 ZINC001409418057 845069496 /nfs/dbraw/zinc/06/94/96/845069496.db2.gz OPKIEXRRJGIATJ-QMMMGPOBSA-N -1 1 321.303 1.162 20 0 DDADMM Cc1ccc(CC(=O)N[C@@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)s1 ZINC001409462832 845135652 /nfs/dbraw/zinc/13/56/52/845135652.db2.gz IKKICSHPKAZFMC-ZJUUUORDSA-N -1 1 323.422 1.106 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccsc1C(F)F ZINC001149397226 861585691 /nfs/dbraw/zinc/58/56/91/861585691.db2.gz RVBNUTBRBYURPZ-UHFFFAOYSA-N -1 1 317.321 1.029 20 0 DDADMM CC(C)[C@@H](CNC(=O)CC1CC1)NC(=O)c1ncccc1[O-] ZINC001409832043 845872165 /nfs/dbraw/zinc/87/21/65/845872165.db2.gz CUFHTMBTNGMQFD-GFCCVEGCSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCCC[C@@]23CC(=O)N(C)C3)n1 ZINC001272386498 846091270 /nfs/dbraw/zinc/09/12/70/846091270.db2.gz JNURUWFCRSMVFC-INIZCTEOSA-N -1 1 303.362 1.323 20 0 DDADMM Cc1cnc(CN2C[C@@H]3C[C@H]2CN3C(=O)c2ncccc2[O-])o1 ZINC001032370464 847208595 /nfs/dbraw/zinc/20/85/95/847208595.db2.gz YCBACUYUFDCUCM-RYUDHWBXSA-N -1 1 314.345 1.183 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N(CCO)CC(F)(F)F ZINC001155266938 861913886 /nfs/dbraw/zinc/91/38/86/861913886.db2.gz RIOGMOXYBOOMLZ-UHFFFAOYSA-N -1 1 303.240 1.265 20 0 DDADMM COc1cnccc1CCNC(=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155276758 861925343 /nfs/dbraw/zinc/92/53/43/861925343.db2.gz RTODMBVMEDOLLY-UHFFFAOYSA-N -1 1 312.329 1.645 20 0 DDADMM CCCC(=O)N1CC[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001411030344 850130295 /nfs/dbraw/zinc/13/02/95/850130295.db2.gz MEQAIYXLXQYBBN-MRXNPFEDSA-N -1 1 305.378 1.556 20 0 DDADMM C[C@]1(CNC(=O)c2ncccc2[O-])CCN(C(=O)C2CCC2)C1 ZINC001411029725 850130835 /nfs/dbraw/zinc/13/08/35/850130835.db2.gz SFRCWERAQMHGNL-QGZVFWFLSA-N -1 1 317.389 1.556 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnn4cccc(C)c34)c1-2 ZINC001155611624 862287671 /nfs/dbraw/zinc/28/76/71/862287671.db2.gz SIWGYHPACXFEPA-UHFFFAOYSA-N -1 1 307.317 1.243 20 0 DDADMM [O-]c1cc(F)c(CN2CC3(CN(Cc4cn[nH]c4)C3)C2)c(F)c1 ZINC001275123787 852819072 /nfs/dbraw/zinc/81/90/72/852819072.db2.gz AXCLHAMYKZKWNP-UHFFFAOYSA-N -1 1 320.343 1.711 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1C[C@H]2C[C@@]2(C(=O)N2CC=CC2)C1 ZINC001275360603 853015278 /nfs/dbraw/zinc/01/52/78/853015278.db2.gz CYBIKTNVABLPND-PIGZYNQJSA-N -1 1 316.332 1.392 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@]2(F)CCOC2)[n-]c1Cl ZINC001411550368 853346715 /nfs/dbraw/zinc/34/67/15/853346715.db2.gz YDDIKWFITZQFDH-NSHDSACASA-N -1 1 305.693 1.307 20 0 DDADMM Cc1cc(CCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)no1 ZINC001412113060 854225557 /nfs/dbraw/zinc/22/55/57/854225557.db2.gz YEEBVSPDGIJJPO-UHFFFAOYSA-N -1 1 306.322 1.410 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(C(C)C)[nH]c1=O)c1nn[n-]n1 ZINC001412109583 854229269 /nfs/dbraw/zinc/22/92/69/854229269.db2.gz MDUQQHJYQIJPJM-UHFFFAOYSA-N -1 1 318.381 1.869 20 0 DDADMM Cc1cc(C2(C(=O)Nc3c(C)[n-][nH]c3=O)CCOCC2)on1 ZINC001412190184 854309396 /nfs/dbraw/zinc/30/93/96/854309396.db2.gz YJLYGIFCNMFJLD-UHFFFAOYSA-N -1 1 306.322 1.407 20 0 DDADMM O=C(CCCF)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001412257790 854382913 /nfs/dbraw/zinc/38/29/13/854382913.db2.gz RRLAXCZAWGDJLV-XYPYZODXSA-N -1 1 309.341 1.162 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)COC(C)(C)CC)n[n-]1 ZINC001412325079 854442968 /nfs/dbraw/zinc/44/29/68/854442968.db2.gz BACNLSRGHQXHQJ-SECBINFHSA-N -1 1 312.370 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)COC(C)(C)CC)[n-]1 ZINC001412325079 854442971 /nfs/dbraw/zinc/44/29/71/854442971.db2.gz BACNLSRGHQXHQJ-SECBINFHSA-N -1 1 312.370 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)COC(C)(C)CC)n1 ZINC001412325079 854442977 /nfs/dbraw/zinc/44/29/77/854442977.db2.gz BACNLSRGHQXHQJ-SECBINFHSA-N -1 1 312.370 1.364 20 0 DDADMM O=C(N[C@H](CO)Cc1cncs1)c1cc(Cl)ccc1[O-] ZINC001412399932 854508688 /nfs/dbraw/zinc/50/86/88/854508688.db2.gz BLBGRXCYYJJOLO-VIFPVBQESA-N -1 1 312.778 1.836 20 0 DDADMM CS[C@@H](C)C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001412501500 854631283 /nfs/dbraw/zinc/63/12/83/854631283.db2.gz VVWXFKLSAODNQR-UWVGGRQHSA-N -1 1 311.407 1.115 20 0 DDADMM CC(C)C(=O)[C@H](NC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC001412596522 854787599 /nfs/dbraw/zinc/78/75/99/854787599.db2.gz NXHHSZYBXSQJDF-OAHLLOKOSA-N -1 1 315.377 1.605 20 0 DDADMM CCC1(C(=O)N(C)C[C@@H](C)NC(=O)c2ncccc2[O-])CCC1 ZINC001412646760 854872787 /nfs/dbraw/zinc/87/27/87/854872787.db2.gz SADIVCMXCYKPPS-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C[C@H]2CCC(=O)[C@H]2C)[n-]c1=O ZINC001412659731 854893957 /nfs/dbraw/zinc/89/39/57/854893957.db2.gz WCWDVWUTESSNFL-VHSXEESVSA-N -1 1 321.377 1.547 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Cc2cc(C)on2)[n-]c1=O ZINC001412779276 855260918 /nfs/dbraw/zinc/26/09/18/855260918.db2.gz WBXCYIBSOVARQR-LLVKDONJSA-N -1 1 318.333 1.393 20 0 DDADMM O=C(N[C@@H](CO)[C@@H]1CCOC1)c1c([O-])cnc2c(F)cccc21 ZINC001412790093 855271212 /nfs/dbraw/zinc/27/12/12/855271212.db2.gz WDAXGTFRWJESTP-SKDRFNHKSA-N -1 1 320.320 1.207 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2c(C)noc2C)c(F)cn1 ZINC001258531867 855598052 /nfs/dbraw/zinc/59/80/52/855598052.db2.gz ZPAVYOQOCXRXSC-UHFFFAOYSA-N -1 1 301.299 1.635 20 0 DDADMM O=C(c1cccc(O)c1F)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001412873503 855746082 /nfs/dbraw/zinc/74/60/82/855746082.db2.gz CMDIXEDXONOFHP-MRVPVSSYSA-N -1 1 307.281 1.640 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1CCC[C@@H](C(=O)OC)C1)c1nn[n-]n1 ZINC001412935706 855799436 /nfs/dbraw/zinc/79/94/36/855799436.db2.gz MJXZJZFFMVUTRF-GHMZBOCLSA-N -1 1 323.397 1.311 20 0 DDADMM O=C(NCc1ccc2c(=O)cc[nH]c2c1)C(=O)c1ccc([O-])cc1 ZINC001156128211 862744073 /nfs/dbraw/zinc/74/40/73/862744073.db2.gz JXTNHUGVAYCUHC-UHFFFAOYSA-N -1 1 322.320 1.733 20 0 DDADMM CC(C)[C@H](O)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001413191813 856530996 /nfs/dbraw/zinc/53/09/96/856530996.db2.gz GRHCTDQZEDZASO-GUBZILKMSA-N -1 1 310.316 1.061 20 0 DDADMM CNS(=O)(=O)[C@@H]1CCCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001413239521 856576700 /nfs/dbraw/zinc/57/67/00/856576700.db2.gz NALVZKVDLZCDDU-SNVBAGLBSA-N -1 1 320.361 1.184 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)c2ncnn21 ZINC001413274786 856600934 /nfs/dbraw/zinc/60/09/34/856600934.db2.gz YUOSEWZPQGKYMP-OIBJUYFYSA-N -1 1 300.322 1.087 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])cn2)C[C@H](CC(F)(F)F)O1 ZINC001413314073 856634386 /nfs/dbraw/zinc/63/43/86/856634386.db2.gz AEJJQCUIPFJCNK-SCZZXKLOSA-N -1 1 304.268 1.969 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)C2CCCCC2)[n-]n1 ZINC001413389986 856744007 /nfs/dbraw/zinc/74/40/07/856744007.db2.gz PLFAUOHQBSQRRP-SECBINFHSA-N -1 1 315.395 1.443 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)C2CCCCC2)n[n-]1 ZINC001413389986 856744011 /nfs/dbraw/zinc/74/40/11/856744011.db2.gz PLFAUOHQBSQRRP-SECBINFHSA-N -1 1 315.395 1.443 20 0 DDADMM COc1ccnc(C[N-]S(=O)(=O)c2c[nH]nc2Cl)c1F ZINC001413397537 856760271 /nfs/dbraw/zinc/76/02/71/856760271.db2.gz DUDHNONSHVTVHS-UHFFFAOYSA-N -1 1 320.733 1.084 20 0 DDADMM CCN(CC1CCC1)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001413432338 856803441 /nfs/dbraw/zinc/80/34/41/856803441.db2.gz PNYAMFHQDLWDSC-UHFFFAOYSA-N -1 1 301.368 1.007 20 0 DDADMM CCN(CC1CCC1)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001413432338 856803449 /nfs/dbraw/zinc/80/34/49/856803449.db2.gz PNYAMFHQDLWDSC-UHFFFAOYSA-N -1 1 301.368 1.007 20 0 DDADMM CC(=O)c1cccc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC001413561227 857069798 /nfs/dbraw/zinc/06/97/98/857069798.db2.gz KARUDLDLTRXJCI-UHFFFAOYSA-N -1 1 323.356 1.866 20 0 DDADMM COC(=O)[C@@H](C)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001413604407 857222112 /nfs/dbraw/zinc/22/21/12/857222112.db2.gz DPGVBNQOLXSECB-JTQLQIEISA-N -1 1 318.333 1.509 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nnc3c(F)cccn23)n1 ZINC001156327248 862938817 /nfs/dbraw/zinc/93/88/17/862938817.db2.gz UYOKEXUANCWHJX-UHFFFAOYSA-N -1 1 318.268 1.021 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@@H]1[C@H]1CCC[C@H]1O ZINC001123800908 859420359 /nfs/dbraw/zinc/42/03/59/859420359.db2.gz GXUVQJPCFVBTGF-GMTAPVOTSA-N -1 1 323.418 1.545 20 0 DDADMM Cc1ccccc1-n1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)cn1 ZINC001123868841 859447616 /nfs/dbraw/zinc/44/76/16/859447616.db2.gz JCYVLJXTXXJGPE-GFCCVEGCSA-N -1 1 323.360 1.324 20 0 DDADMM O=C(c1cc(C2CC2)cnc1Cl)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123961933 859499652 /nfs/dbraw/zinc/49/96/52/859499652.db2.gz PCBMPWXGXWIMHZ-SECBINFHSA-N -1 1 318.768 1.755 20 0 DDADMM C[C@H](OCC[P@](=O)([O-])O)c1cc(Br)no1 ZINC001224600861 881463489 /nfs/dbraw/zinc/46/34/89/881463489.db2.gz ASIPROJHVNDSNN-YFKPBYRVSA-N -1 1 300.045 1.692 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2C1=O)C(=O)c1ccc([O-])cc1 ZINC001137562861 859940539 /nfs/dbraw/zinc/94/05/39/859940539.db2.gz NADVEGAAMYAESS-CYBMUJFWSA-N -1 1 311.293 1.335 20 0 DDADMM CN(C)c1noc(CNC(=O)c2ncc3ccccc3c2[O-])n1 ZINC001138612506 860144590 /nfs/dbraw/zinc/14/45/90/860144590.db2.gz CAATYPMERNMPII-UHFFFAOYSA-N -1 1 313.317 1.319 20 0 DDADMM Cc1[nH]c(CN2CCC[C@H](n3cncn3)C2)c(C)c1C(=O)[O-] ZINC001140498072 860646138 /nfs/dbraw/zinc/64/61/38/860646138.db2.gz ZIFKOGXCDRUNKC-LBPRGKRZSA-N -1 1 303.366 1.758 20 0 DDADMM CCOC(=O)[C@@H]1COCCN1Cc1ccc([O-])c(F)c1F ZINC001140892549 860726342 /nfs/dbraw/zinc/72/63/42/860726342.db2.gz RNTQYSHFLWHAHU-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001140893588 860726684 /nfs/dbraw/zinc/72/66/84/860726684.db2.gz UNQKVGDPIYDXDF-JTQLQIEISA-N -1 1 305.346 1.679 20 0 DDADMM CC(C)[C@@H](NC(=O)CCc1nn[n-]n1)c1nc2ccccc2[nH]1 ZINC001141667293 860905130 /nfs/dbraw/zinc/90/51/30/860905130.db2.gz SCKSHRHHTKSOSC-CQSZACIVSA-N -1 1 313.365 1.522 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](C)c1cncc(F)c1 ZINC001141973409 860985436 /nfs/dbraw/zinc/98/54/36/860985436.db2.gz DJMJIOUYZGBSBA-LURJTMIESA-N -1 1 308.338 1.804 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nnc3cc[nH]cc2-3)n1 ZINC001152087410 863153252 /nfs/dbraw/zinc/15/32/52/863153252.db2.gz ROXWNPATVJREBH-UHFFFAOYSA-N -1 1 300.278 1.110 20 0 DDADMM Cc1nc2ccc(C(=O)NCCCC[P@](=O)([O-])O)cc2o1 ZINC001152121865 863177347 /nfs/dbraw/zinc/17/73/47/863177347.db2.gz OZUPGNVNVVDXKX-UHFFFAOYSA-N -1 1 312.262 1.824 20 0 DDADMM Cc1n[nH]c(C(=O)NCCCNCc2ncoc2C(C)C)c1[O-] ZINC001156626643 863205119 /nfs/dbraw/zinc/20/51/19/863205119.db2.gz MHHQEDGYOASVST-UHFFFAOYSA-N -1 1 321.381 1.445 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cnc2cccc(F)n21 ZINC001156625563 863205709 /nfs/dbraw/zinc/20/57/09/863205709.db2.gz XWQSXSQTBHPUOP-UHFFFAOYSA-N -1 1 314.202 1.863 20 0 DDADMM O=C(Nc1ccc(F)c([O-])c1)c1cccc2c1NC(=O)C2=O ZINC001152456813 863361710 /nfs/dbraw/zinc/36/17/10/863361710.db2.gz LYCJDZCSPIQJIM-UHFFFAOYSA-N -1 1 300.245 1.918 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2ccc(OC)nc2)[n-]1 ZINC001361440571 881656746 /nfs/dbraw/zinc/65/67/46/881656746.db2.gz JHHVTPMDNCWXKZ-UHFFFAOYSA-N -1 1 318.333 1.561 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2ccc(OC)nc2)n1 ZINC001361440571 881656753 /nfs/dbraw/zinc/65/67/53/881656753.db2.gz JHHVTPMDNCWXKZ-UHFFFAOYSA-N -1 1 318.333 1.561 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1c[nH]c2nccnc12 ZINC001153189653 863751399 /nfs/dbraw/zinc/75/13/99/863751399.db2.gz DLSNPCQODCIEFF-UHFFFAOYSA-N -1 1 300.278 1.062 20 0 DDADMM CSc1nc(Nc2ccnc(N3CCOCC3)c2)cc(=O)[n-]1 ZINC001212990188 864034347 /nfs/dbraw/zinc/03/43/47/864034347.db2.gz DQYVYYHLVVYKKP-UHFFFAOYSA-N -1 1 319.390 1.879 20 0 DDADMM CS(=O)(=O)c1ccc(C(=O)[N-]c2nc(C(F)(F)F)co2)o1 ZINC001361473264 881724261 /nfs/dbraw/zinc/72/42/61/881724261.db2.gz XTYGMNVYROAOIF-UHFFFAOYSA-N -1 1 324.236 1.942 20 0 DDADMM O=C(Nc1ccc2c(c1)OCO2)c1cnc2cccnc2c1[O-] ZINC001153854169 864159712 /nfs/dbraw/zinc/15/97/12/864159712.db2.gz OODPAYNHBUFWJW-UHFFFAOYSA-N -1 1 309.281 1.904 20 0 DDADMM Cc1ccc(CO)cc1NC(=O)c1cnc2cccnc2c1[O-] ZINC001153854087 864161234 /nfs/dbraw/zinc/16/12/34/864161234.db2.gz KWUWAIDNUBYWCU-UHFFFAOYSA-N -1 1 309.325 1.976 20 0 DDADMM Cn1nnc2cc(NC(=O)c3cnc4cccnc4c3[O-])ccc21 ZINC001153857432 864166793 /nfs/dbraw/zinc/16/67/93/864166793.db2.gz NNGHHEDZOINQQM-UHFFFAOYSA-N -1 1 320.312 1.457 20 0 DDADMM CC(C)[C@H](C)CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225307799 881773151 /nfs/dbraw/zinc/77/31/51/881773151.db2.gz PQNMITXBOGEMLJ-JHJVBQTASA-N -1 1 323.441 1.710 20 0 DDADMM COCCn1cc(C(=O)[N-]c2c(C)onc2OC(F)F)nn1 ZINC001361509500 881794244 /nfs/dbraw/zinc/79/42/44/881794244.db2.gz ATYUKKGYVOIQHS-UHFFFAOYSA-N -1 1 317.252 1.075 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc(Cl)nc3cnccc32)[n-]1 ZINC001159192751 865158217 /nfs/dbraw/zinc/15/82/17/865158217.db2.gz XBMBSMJJFBBOQV-UHFFFAOYSA-N -1 1 314.696 1.794 20 0 DDADMM CC(C)Cc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)ncn1 ZINC001159194471 865160131 /nfs/dbraw/zinc/16/01/31/865160131.db2.gz DKLNRMXCPFZAQA-UHFFFAOYSA-N -1 1 301.310 1.448 20 0 DDADMM COCc1cc(CNCCc2ccc(OCC(=O)[O-])cc2)no1 ZINC001331963778 865465566 /nfs/dbraw/zinc/46/55/66/865465566.db2.gz SQHGKNZXDMFUNP-UHFFFAOYSA-N -1 1 320.345 1.617 20 0 DDADMM CCOC(=O)[C@H]1CC[C@@H](Oc2cc([O-])cc(O)c2C(C)=O)CO1 ZINC001225679652 881970541 /nfs/dbraw/zinc/97/05/41/881970541.db2.gz QUUMIEUEEVAKHH-DGCLKSJQSA-N -1 1 324.329 1.790 20 0 DDADMM O=C(Nc1ncn(Cc2cccnc2)n1)c1ccc(O)cc1[O-] ZINC001319948926 866400516 /nfs/dbraw/zinc/40/05/16/866400516.db2.gz BEGNTEKRJVJJGY-UHFFFAOYSA-N -1 1 311.301 1.385 20 0 DDADMM Cn1c(=O)oc2cc(NC(=O)c3ccc([O-])cn3)c(F)cc21 ZINC001161238662 866460121 /nfs/dbraw/zinc/46/01/21/866460121.db2.gz XTGCCKRWTICNHE-UHFFFAOYSA-N -1 1 303.249 1.624 20 0 DDADMM COc1cc(NC(=O)CCCc2nn[n-]n2)cc(OC)c1OC ZINC001361604089 881988633 /nfs/dbraw/zinc/98/86/33/881988633.db2.gz KHYWDEVJQYFHLU-UHFFFAOYSA-N -1 1 321.337 1.187 20 0 DDADMM O=C(Nc1ccc(NC(=O)c2cnncc2[O-])cc1)NC1CC1 ZINC001361616183 882015296 /nfs/dbraw/zinc/01/52/96/882015296.db2.gz YKDOPCSILXLTLJ-UHFFFAOYSA-N -1 1 313.317 1.718 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)C(=O)C(C)(C)C ZINC001333867458 867094614 /nfs/dbraw/zinc/09/46/14/867094614.db2.gz PZTIGVSRHXWYIY-ZJUUUORDSA-N -1 1 321.377 1.025 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@@H]1CCCCN1Cc1cccnc1 ZINC001320990030 867184925 /nfs/dbraw/zinc/18/49/25/867184925.db2.gz WUEXGFPPRMGGPO-CVEARBPZSA-N -1 1 319.405 1.520 20 0 DDADMM CCn1cccc(NC(=O)c2ccc3nnc([S-])n3c2)c1=O ZINC001162058836 867224178 /nfs/dbraw/zinc/22/41/78/867224178.db2.gz AJFJOIIGZXZDFG-UHFFFAOYSA-N -1 1 315.358 1.452 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H]2C[C@@H](C)CO2)[n-]c1Cl ZINC001361641128 882068650 /nfs/dbraw/zinc/06/86/50/882068650.db2.gz HHBYSMPMMDCVHY-RNFRBKRXSA-N -1 1 301.730 1.603 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2oc(C(=O)OC)cc2C)n1 ZINC001361651668 882090358 /nfs/dbraw/zinc/09/03/58/882090358.db2.gz WHKKFFIYHXTZGU-UHFFFAOYSA-N -1 1 321.289 1.527 20 0 DDADMM O=C([N-]c1n[nH]c(Cc2cccc(F)c2)n1)c1csnn1 ZINC001162748842 867798485 /nfs/dbraw/zinc/79/84/85/867798485.db2.gz VTTKSMPVFUMKQU-UHFFFAOYSA-N -1 1 304.310 1.638 20 0 DDADMM O=C([O-])/C=C\c1cc(C(=O)NCCCCN2CCOCC2)co1 ZINC001334793077 867831614 /nfs/dbraw/zinc/83/16/14/867831614.db2.gz ILMKRAYQBLOLEM-ARJAWSKDSA-N -1 1 322.361 1.220 20 0 DDADMM O=C1C[C@@H]2[NH2+]CC[C@]2([N-]c2c(F)c(F)c(F)c(F)c2F)[N-]1 ZINC001163243303 868251780 /nfs/dbraw/zinc/25/17/80/868251780.db2.gz SIUOKYCKSCNJGF-DILCMSKXSA-N -1 1 307.222 1.372 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CC1)c1cnc(Cl)cc1Cl ZINC001337169669 869359640 /nfs/dbraw/zinc/35/96/40/869359640.db2.gz MLTXANQUVJLOJZ-QMMMGPOBSA-N -1 1 311.190 1.438 20 0 DDADMM CC(C)(C)NC(=O)c1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001361755134 882303628 /nfs/dbraw/zinc/30/36/28/882303628.db2.gz ZUUHDSZAFXMLNE-UHFFFAOYSA-N -1 1 314.345 1.963 20 0 DDADMM O=C(NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1)C1CCC=CCC1 ZINC001317033244 870142258 /nfs/dbraw/zinc/14/22/58/870142258.db2.gz GRELAWKYGBNETM-ZDUSSCGKSA-N -1 1 319.409 1.241 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3ncn(C)c3n2)n1 ZINC001298980239 870798483 /nfs/dbraw/zinc/79/84/83/870798483.db2.gz DXYPCCQHBYWJFL-UHFFFAOYSA-N -1 1 314.305 1.120 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC2(CC2)c2ccccc21 ZINC001340047082 870863121 /nfs/dbraw/zinc/86/31/21/870863121.db2.gz CICUXCIRXNBRQO-UHFFFAOYSA-N -1 1 308.349 1.661 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC2(CC2)c2ccccc21 ZINC001340047082 870863131 /nfs/dbraw/zinc/86/31/31/870863131.db2.gz CICUXCIRXNBRQO-UHFFFAOYSA-N -1 1 308.349 1.661 20 0 DDADMM Cc1cc(=O)[nH]c2cc(C(=O)N3CC[C@H](c4nn[n-]n4)C3)ccc12 ZINC001302938671 871090456 /nfs/dbraw/zinc/09/04/56/871090456.db2.gz UQVKFAQTZACMQR-NSHDSACASA-N -1 1 324.344 1.392 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2csc(C=O)c2)[n-]c1=O ZINC001303980132 871171953 /nfs/dbraw/zinc/17/19/53/871171953.db2.gz UXTOJNSTNXOOQG-UHFFFAOYSA-N -1 1 321.358 1.730 20 0 DDADMM CN(Cc1cc(-c2ccccc2)n[nH]1)C(=O)CCc1nn[n-]n1 ZINC001304863660 871247288 /nfs/dbraw/zinc/24/72/88/871247288.db2.gz CJYOUBPQSQMRIQ-UHFFFAOYSA-N -1 1 311.349 1.181 20 0 DDADMM O=C([N-]c1n[nH]c(SCCO)n1)c1nc2cnccc2s1 ZINC001306706059 871355169 /nfs/dbraw/zinc/35/51/69/871355169.db2.gz HSTFJFLCQKZMTI-UHFFFAOYSA-N -1 1 322.375 1.146 20 0 DDADMM COCCOc1ccccc1CNCc1cnn(C)c1C(=O)[O-] ZINC001339267181 871396084 /nfs/dbraw/zinc/39/60/84/871396084.db2.gz HODYFHSFRSVBMX-UHFFFAOYSA-N -1 1 319.361 1.433 20 0 DDADMM CCC1(CC)CCC(NC(=O)[C@]2(C(=O)[O-])CNCCO2)CC1 ZINC001340999547 871459927 /nfs/dbraw/zinc/45/99/27/871459927.db2.gz MPALUGPEPGGBMK-INIZCTEOSA-N -1 1 312.410 1.295 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1c(F)cccc1F ZINC001308991872 871544276 /nfs/dbraw/zinc/54/42/76/871544276.db2.gz MJCIKLVHOYXWPX-UHFFFAOYSA-N -1 1 318.257 1.242 20 0 DDADMM CCn1c(-c2cc(Cl)ncc2[O-])nnc1N1CCOCC1 ZINC001341186992 871548155 /nfs/dbraw/zinc/54/81/55/871548155.db2.gz UQRNVAFBWXZTSB-UHFFFAOYSA-N -1 1 309.757 1.556 20 0 DDADMM CCCCOC[C@@H](C)Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226723679 882612204 /nfs/dbraw/zinc/61/22/04/882612204.db2.gz ZTPMBHZDJDNIDC-GFCCVEGCSA-N -1 1 311.382 1.603 20 0 DDADMM C[C@H](Oc1cc(=O)[n-]c(N2CCOCC2)n1)c1cccnc1 ZINC001226723539 882613209 /nfs/dbraw/zinc/61/32/09/882613209.db2.gz YEWMEVUQQOGYAQ-NSHDSACASA-N -1 1 302.334 1.554 20 0 DDADMM CCn1nc(C)c(CNCCc2nc(C(=O)[O-])c(C)s2)n1 ZINC001343443339 872627222 /nfs/dbraw/zinc/62/72/22/872627222.db2.gz LNYJOOLIADTKJV-UHFFFAOYSA-N -1 1 309.395 1.402 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N1CC2(CC2)c2ccccc21 ZINC001343590738 872680611 /nfs/dbraw/zinc/68/06/11/872680611.db2.gz AAXFMIUKFUGSJH-UHFFFAOYSA-N -1 1 324.344 1.825 20 0 DDADMM C[C@]1(C(=O)OCCOCC[N-]C(=O)C(F)(F)F)C=CCC1 ZINC001343891283 872796442 /nfs/dbraw/zinc/79/64/42/872796442.db2.gz HFSSLCNIHLRASB-LBPRGKRZSA-N -1 1 309.284 1.581 20 0 DDADMM Cc1nc(=O)[n-]c(O[C@H]2CCn3ccnc32)c1Br ZINC001226781336 882648975 /nfs/dbraw/zinc/64/89/75/882648975.db2.gz FAYJNHBKYMNTKQ-ZETCQYMHSA-N -1 1 311.139 1.973 20 0 DDADMM CCOCCCn1c(-c2c[n-][nH]c2=O)nnc1N1CCCC1 ZINC001343916449 872805204 /nfs/dbraw/zinc/80/52/04/872805204.db2.gz QHKUXCDFWLURJP-UHFFFAOYSA-N -1 1 306.370 1.401 20 0 DDADMM CC(C)C[C@H](CC(=O)Nc1nnn[n-]1)NC(=O)OC(C)(C)C ZINC001361929151 882659230 /nfs/dbraw/zinc/65/92/30/882659230.db2.gz KVFXCARKNNPFSR-SECBINFHSA-N -1 1 312.374 1.468 20 0 DDADMM CC(C)C[C@H](CC(=O)Nc1nn[n-]n1)NC(=O)OC(C)(C)C ZINC001361929151 882659237 /nfs/dbraw/zinc/65/92/37/882659237.db2.gz KVFXCARKNNPFSR-SECBINFHSA-N -1 1 312.374 1.468 20 0 DDADMM C[C@H](CN(C)C(=O)[C@]1(C(=O)[O-])CNCCO1)C1CCCCC1 ZINC001344369347 872988440 /nfs/dbraw/zinc/98/84/40/872988440.db2.gz STANWLFANQOTMS-WBMJQRKESA-N -1 1 312.410 1.104 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC2(CCCC2)C1 ZINC001361942292 882682238 /nfs/dbraw/zinc/68/22/38/882682238.db2.gz FNWUMOITCRTLBT-UHFFFAOYSA-N -1 1 303.362 1.310 20 0 DDADMM CC1(C)C(=O)CCC[C@@H]1Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c21 ZINC001226885187 882701720 /nfs/dbraw/zinc/70/17/20/882701720.db2.gz DGKYWMYOBXIESK-ZETCQYMHSA-N -1 1 320.305 1.063 20 0 DDADMM CC(C)(C)[C@@H](O)C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001361977657 882748241 /nfs/dbraw/zinc/74/82/41/882748241.db2.gz DGYMYWDDRZFNHR-LBPRGKRZSA-N -1 1 305.338 1.124 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(n2ccnc2)CC1 ZINC001346381912 873726571 /nfs/dbraw/zinc/72/65/71/873726571.db2.gz PCMZPNBQBBCJMY-UHFFFAOYSA-N -1 1 319.390 1.453 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CC[C@@H]2Oc2cnc[n-]c2=O)C1 ZINC001227049181 882793275 /nfs/dbraw/zinc/79/32/75/882793275.db2.gz QSQORGFUIOJWOZ-NSHDSACASA-N -1 1 307.350 1.961 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H](C)Cn1ccnc1 ZINC001347767604 874268232 /nfs/dbraw/zinc/26/82/32/874268232.db2.gz VQVZDOXHTWXMOD-MRVPVSSYSA-N -1 1 307.379 1.042 20 0 DDADMM CC(C)O[N-]C(=O)[C@H]1CC(=O)N(c2ccc3c(c2)CCC3)C1 ZINC001347998655 874330709 /nfs/dbraw/zinc/33/07/09/874330709.db2.gz RFXPDLRJJQEVKV-AWEZNQCLSA-N -1 1 302.374 1.984 20 0 DDADMM O=C(CNC(=O)c1ccc(C(F)(F)F)c([O-])c1)NC1CC1 ZINC001362020442 882844479 /nfs/dbraw/zinc/84/44/79/882844479.db2.gz VLYWZMVMVMEPLL-UHFFFAOYSA-N -1 1 302.252 1.419 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CSC[C@H]1C(=O)N1CCCC1 ZINC001362036009 882885433 /nfs/dbraw/zinc/88/54/33/882885433.db2.gz LWZXBFWTQLJBLF-LBPRGKRZSA-N -1 1 324.377 1.669 20 0 DDADMM O=C(c1cc2ccccn2c1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001349208874 875034638 /nfs/dbraw/zinc/03/46/38/875034638.db2.gz AOPMUDAKKDGWND-GFCCVEGCSA-N -1 1 310.361 1.547 20 0 DDADMM Nc1cncc(S(=O)(=O)Nc2cccc(CC(=O)[O-])c2)c1 ZINC001210072616 875216916 /nfs/dbraw/zinc/21/69/16/875216916.db2.gz QWNWCHGVJRBQQA-UHFFFAOYSA-N -1 1 307.331 1.092 20 0 DDADMM CC(C)CCCC(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001378747080 875535772 /nfs/dbraw/zinc/53/57/72/875535772.db2.gz FZZIYAMDBLHXAT-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM CC(C)CNC(=O)C1(NC(=O)c2ccc([O-])cn2)CCCC1 ZINC001362067316 882951911 /nfs/dbraw/zinc/95/19/11/882951911.db2.gz HBVYQLJATAWFTD-UHFFFAOYSA-N -1 1 305.378 1.602 20 0 DDADMM Cc1cccnc1C=CC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001350429011 875684182 /nfs/dbraw/zinc/68/41/82/875684182.db2.gz MOKMKTBYBAWGEK-FMFIFOJESA-N -1 1 312.377 1.398 20 0 DDADMM C[C@H](COc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)Oc1ccccc1 ZINC001227430387 883009052 /nfs/dbraw/zinc/00/90/52/883009052.db2.gz KRICTGAQAKLEFT-MRVPVSSYSA-N -1 1 302.290 1.610 20 0 DDADMM CCC(=CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)CC ZINC001214439165 876338578 /nfs/dbraw/zinc/33/85/78/876338578.db2.gz PAFXMNZUQGEIIN-ZYHUDNBSSA-N -1 1 307.398 1.193 20 0 DDADMM O=c1[nH]c2[n-]c(OC[C@@H]3CCc4ccccc4O3)nc2c(=O)[nH]1 ZINC001227436489 883019775 /nfs/dbraw/zinc/01/97/75/883019775.db2.gz XWEADCFFKHMROF-VIFPVBQESA-N -1 1 314.301 1.537 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C12CCC2 ZINC001352281514 876675426 /nfs/dbraw/zinc/67/54/26/876675426.db2.gz MXNXOICKTDWHOA-RKDXNWHRSA-N -1 1 309.391 1.467 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cccnc2F)s1 ZINC000401801511 876688332 /nfs/dbraw/zinc/68/83/32/876688332.db2.gz URKFGZLHDXCVAS-UHFFFAOYSA-N -1 1 301.368 1.718 20 0 DDADMM CC(C)CC(C)(C)C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001379261778 876699156 /nfs/dbraw/zinc/69/91/56/876699156.db2.gz FOHPPODCPAVEJC-LLVKDONJSA-N -1 1 311.430 1.519 20 0 DDADMM COc1ncc(Nc2cc(F)ccn2)cc1[N-]S(C)(=O)=O ZINC001216151685 876878158 /nfs/dbraw/zinc/87/81/58/876878158.db2.gz GBZOKLTXBXOQKS-UHFFFAOYSA-N -1 1 312.326 1.739 20 0 DDADMM COc1ncc(Nc2cscn2)cc1[N-]S(C)(=O)=O ZINC001216152381 876878385 /nfs/dbraw/zinc/87/83/85/876878385.db2.gz PTFUEIIDWAAAEI-UHFFFAOYSA-N -1 1 300.365 1.662 20 0 DDADMM O=C(C=Cc1cccc(F)c1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001352793081 876934376 /nfs/dbraw/zinc/93/43/76/876934376.db2.gz FXBMLJKHRJNJPD-YBJDMEARSA-N -1 1 315.352 1.833 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](Oc2nc(=O)[nH]c(=O)[n-]2)C1 ZINC001227568278 883085490 /nfs/dbraw/zinc/08/54/90/883085490.db2.gz LUMMBWCTDNMSTL-MRVPVSSYSA-N -1 1 312.326 1.061 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)/C=C\[C@H]2CCCO2)[n-]c1=O ZINC001353050264 877084017 /nfs/dbraw/zinc/08/40/17/877084017.db2.gz KXWNGMPMGQNIBG-JYESYGNLSA-N -1 1 307.350 1.277 20 0 DDADMM COCCN1CCCN(C(=O)c2coc(/C=C/C(=O)[O-])c2)CC1 ZINC001353909396 877642284 /nfs/dbraw/zinc/64/22/84/877642284.db2.gz DAJBWFWWZBAMJZ-ONEGZZNKSA-N -1 1 322.361 1.172 20 0 DDADMM CC[C@H](C)c1cccc(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)c1 ZINC001354382499 877938715 /nfs/dbraw/zinc/93/87/15/877938715.db2.gz UNMRZLIQOZBRIO-MEDUHNTESA-N -1 1 306.362 1.582 20 0 DDADMM CC[C@H](C)OCC(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001395568148 912294286 /nfs/dbraw/zinc/29/42/86/912294286.db2.gz DFEGQMIFKHTHAS-RYUDHWBXSA-N -1 1 323.393 1.179 20 0 DDADMM Nc1ccc(F)cc1S(=O)(=O)[N-]c1cccc(CCO)c1 ZINC000313180319 878400094 /nfs/dbraw/zinc/40/00/94/878400094.db2.gz IPYKAEKIHSTDTA-UHFFFAOYSA-N -1 1 310.350 1.744 20 0 DDADMM Cc1n[nH]c(C(=O)NCC2(NCc3ccccc3F)CC2)c1[O-] ZINC001380070349 878716114 /nfs/dbraw/zinc/71/61/14/878716114.db2.gz CQTCTXHIFGCSFC-UHFFFAOYSA-N -1 1 318.352 1.615 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1cccn1C ZINC001380690354 880198292 /nfs/dbraw/zinc/19/82/92/880198292.db2.gz DAJSVZMHLUHPLM-LLVKDONJSA-N -1 1 316.361 1.016 20 0 DDADMM CC(C)=C(C)CC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001358600982 880602098 /nfs/dbraw/zinc/60/20/98/880602098.db2.gz NUJVWXLOLNIFHS-ZDUSSCGKSA-N -1 1 317.389 1.864 20 0 DDADMM CS(=O)(=O)C[C@@H](OCc1nn[n-]n1)c1ccccc1Cl ZINC001223027354 880787939 /nfs/dbraw/zinc/78/79/39/880787939.db2.gz OIOAQFQVIPJUTL-SNVBAGLBSA-N -1 1 316.770 1.156 20 0 DDADMM CC[C@H](C)OC(=O)N1CCCC[C@@H]1CCOCc1nn[n-]n1 ZINC001223027350 880788599 /nfs/dbraw/zinc/78/85/99/880788599.db2.gz OIFNSNZEKCEHQS-NWDGAFQWSA-N -1 1 311.386 1.896 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H](OCc2nn[n-]n2)[C@@H](F)C1 ZINC001223029793 880791377 /nfs/dbraw/zinc/79/13/77/880791377.db2.gz PVMLOYCSYKMJBQ-UWVGGRQHSA-N -1 1 315.349 1.454 20 0 DDADMM CN(C(=O)C1CCCCC1)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001382011709 883170627 /nfs/dbraw/zinc/17/06/27/883170627.db2.gz UIFSBJQWOIPJPC-CYBMUJFWSA-N -1 1 321.425 1.417 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H]2C=CCN(C(=O)OC(C)(C)C)C2)n1 ZINC001228311621 883441579 /nfs/dbraw/zinc/44/15/79/883441579.db2.gz LVNKMZLFLHJNDU-SECBINFHSA-N -1 1 324.337 1.146 20 0 DDADMM COC(=O)c1nc(O[C@@H]2C=CCN(C(=O)OC(C)(C)C)C2)n[n-]1 ZINC001228311621 883441585 /nfs/dbraw/zinc/44/15/85/883441585.db2.gz LVNKMZLFLHJNDU-SECBINFHSA-N -1 1 324.337 1.146 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H]3COCC[C@H]32)c(=O)[n-]1 ZINC001362287722 883486117 /nfs/dbraw/zinc/48/61/17/883486117.db2.gz RIGNWQJVZWIYMY-MWLCHTKSSA-N -1 1 309.391 1.545 20 0 DDADMM COC(=O)[C@@H](COC1CCC1)N(C)C(=O)c1ncc(C)cc1[O-] ZINC001362290376 883491685 /nfs/dbraw/zinc/49/16/85/883491685.db2.gz YAQQCLOVNUOFKH-GFCCVEGCSA-N -1 1 322.361 1.278 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cccnc1OCC(F)F ZINC001362304777 883525504 /nfs/dbraw/zinc/52/55/04/883525504.db2.gz BBTYBGLTDCUSSD-UHFFFAOYSA-N -1 1 312.280 1.200 20 0 DDADMM O=S1(=O)CCC(Oc2[n-]c(=S)nc3ccccc32)CC1 ZINC001228763883 883657966 /nfs/dbraw/zinc/65/79/66/883657966.db2.gz CYVSPVQEAGBMCD-UHFFFAOYSA-N -1 1 310.400 1.875 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2CC(C)(C)OC2(C)C)c(=O)[n-]1 ZINC001362376660 883672511 /nfs/dbraw/zinc/67/25/11/883672511.db2.gz AYOAZWBILYRMQD-VIFPVBQESA-N -1 1 311.407 1.980 20 0 DDADMM CCC[C@@H](NC(=O)Cc1c(C)cc(C)[nH]c1=O)c1nn[n-]n1 ZINC001362390911 883701476 /nfs/dbraw/zinc/70/14/76/883701476.db2.gz FTHPKCHXSFDHCM-LLVKDONJSA-N -1 1 304.354 1.117 20 0 DDADMM Cc1cc(N2CCC[C@@H](NC(=O)c3ccc([O-])cn3)C2)n(C)n1 ZINC001362399685 883722868 /nfs/dbraw/zinc/72/28/68/883722868.db2.gz HEZQXYCWODYJNG-GFCCVEGCSA-N -1 1 315.377 1.228 20 0 DDADMM CCC(CC)(NC(=O)CCn1cc(Cl)cn1)c1nn[n-]n1 ZINC001362427663 883785956 /nfs/dbraw/zinc/78/59/56/883785956.db2.gz SELCBXLRYBYRFD-UHFFFAOYSA-N -1 1 311.777 1.271 20 0 DDADMM CC[C@H](C)CONC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001229081615 883806574 /nfs/dbraw/zinc/80/65/74/883806574.db2.gz PMOORIODYGZHCQ-VIFPVBQESA-N -1 1 310.354 1.032 20 0 DDADMM NC(=O)[C@H](NC(=O)c1cccc([O-])c1F)c1ccc(F)cc1 ZINC001362446270 883830864 /nfs/dbraw/zinc/83/08/64/883830864.db2.gz SUGCOHSNQHYUPJ-CYBMUJFWSA-N -1 1 306.268 1.627 20 0 DDADMM CN(C)C(=O)O[C@H]1CCCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001362464551 883873416 /nfs/dbraw/zinc/87/34/16/883873416.db2.gz MIPANIFSFPOEBI-NSHDSACASA-N -1 1 310.325 1.834 20 0 DDADMM O=C(N[C@H]1CCN(c2ncccc2F)C1)c1ccc([O-])cn1 ZINC001362469137 883884830 /nfs/dbraw/zinc/88/48/30/883884830.db2.gz PLVVDSRRPUOJMF-JTQLQIEISA-N -1 1 302.309 1.330 20 0 DDADMM Cn1ccc(NC(=O)CNC(=O)c2ccc(Cl)cc2[O-])n1 ZINC001362485288 883915692 /nfs/dbraw/zinc/91/56/92/883915692.db2.gz VZWYHKXRBZLGAW-UHFFFAOYSA-N -1 1 308.725 1.148 20 0 DDADMM CCC(CC)(NC(=O)c1ccn(C(C)(C)C)n1)c1nn[n-]n1 ZINC001362496326 883938761 /nfs/dbraw/zinc/93/87/61/883938761.db2.gz LXEUDBLDVLOERI-UHFFFAOYSA-N -1 1 305.386 1.597 20 0 DDADMM COC(=O)N1CC(NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC001362522753 883998770 /nfs/dbraw/zinc/99/87/70/883998770.db2.gz XUBAZUFFUPDJOJ-UHFFFAOYSA-N -1 1 318.251 1.591 20 0 DDADMM C[C@@H]1OCC[C@]1(O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362578014 884133998 /nfs/dbraw/zinc/13/39/98/884133998.db2.gz BJKYVNXKSJXMDT-SDBXPKJASA-N -1 1 319.279 1.681 20 0 DDADMM CSc1ncc(C(=O)N(C)[C@@H](CO)c2ccccc2)c(=O)[n-]1 ZINC001362617894 884234393 /nfs/dbraw/zinc/23/43/93/884234393.db2.gz DZVDTBFIOYDBND-LBPRGKRZSA-N -1 1 319.386 1.710 20 0 DDADMM CCC(CC)(NC(=O)c1sc(COC)nc1C)c1nn[n-]n1 ZINC001362628147 884262460 /nfs/dbraw/zinc/26/24/60/884262460.db2.gz ZAJZFPLQCYSMQO-UHFFFAOYSA-N -1 1 324.410 1.556 20 0 DDADMM CC(C)(Oc1ccccc1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362662136 884353851 /nfs/dbraw/zinc/35/38/51/884353851.db2.gz HOUPQPQJFYZSID-GFCCVEGCSA-N -1 1 315.377 1.763 20 0 DDADMM CCOC(=O)[C@H](Oc1nc(C(=O)OC)c[n-]1)c1ccccc1 ZINC001230280364 884405997 /nfs/dbraw/zinc/40/59/97/884405997.db2.gz RGFAVSLFNKLCDY-GFCCVEGCSA-N -1 1 304.302 1.880 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1nnc(C2CC2)o1 ZINC001362684747 884410117 /nfs/dbraw/zinc/41/01/17/884410117.db2.gz GCTHWBYIHNYZNN-UHFFFAOYSA-N -1 1 321.362 1.403 20 0 DDADMM O=C(NC1CC(CO)(CO)C1)c1ccc2ccc(O)cc2c1[O-] ZINC001362720593 884481825 /nfs/dbraw/zinc/48/18/25/884481825.db2.gz FLZVVZLAVWJVBL-UHFFFAOYSA-N -1 1 317.341 1.114 20 0 DDADMM O=C(N[C@H](CO)[C@H]1CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC001362770403 884597899 /nfs/dbraw/zinc/59/78/99/884597899.db2.gz MGNJCHHUSXTPRP-GZMMTYOYSA-N -1 1 319.279 1.538 20 0 DDADMM CC(C)c1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(=O)[nH]1 ZINC001362827484 884734078 /nfs/dbraw/zinc/73/40/78/884734078.db2.gz ZYYSYOKALUUJIN-VIFPVBQESA-N -1 1 304.354 1.299 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H](O)C1CCCCCCC1 ZINC001362835578 884759248 /nfs/dbraw/zinc/75/92/48/884759248.db2.gz MMXNEVSUJGSXDB-LBPRGKRZSA-N -1 1 307.398 1.417 20 0 DDADMM CCc1ccc(OCC(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001362851098 884795180 /nfs/dbraw/zinc/79/51/80/884795180.db2.gz NFOCJVIYTYUHJK-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM CCOc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)cc1OC ZINC001362851249 884795729 /nfs/dbraw/zinc/79/57/29/884795729.db2.gz PECFFQWFOZVQNN-UHFFFAOYSA-N -1 1 317.349 1.416 20 0 DDADMM CNC(=O)c1ccc(C(=O)NCc2ccc([O-])c(Cl)c2)cn1 ZINC001362853201 884800335 /nfs/dbraw/zinc/80/03/35/884800335.db2.gz FDEPQFKQOPPESG-UHFFFAOYSA-N -1 1 319.748 1.730 20 0 DDADMM CCCC(=O)NCC(C)(C)CN(C)C(=O)c1ncccc1[O-] ZINC001382850861 884803342 /nfs/dbraw/zinc/80/33/42/884803342.db2.gz RNQVKCIQNNOESO-UHFFFAOYSA-N -1 1 307.394 1.802 20 0 DDADMM O=C(c1ncccc1[O-])N1CCO[C@@H](c2noc(C3CC3)n2)C1 ZINC001362863256 884825784 /nfs/dbraw/zinc/82/57/84/884825784.db2.gz TWTHGNNTGGPQKD-LLVKDONJSA-N -1 1 316.317 1.261 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CC(F)(F)F ZINC001362885157 884883964 /nfs/dbraw/zinc/88/39/64/884883964.db2.gz CGXPMFQTOVDXNS-ZETCQYMHSA-N -1 1 320.271 1.597 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CC(F)(F)F ZINC001362885157 884883980 /nfs/dbraw/zinc/88/39/80/884883980.db2.gz CGXPMFQTOVDXNS-ZETCQYMHSA-N -1 1 320.271 1.597 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CC(F)(F)F ZINC001362885157 884883997 /nfs/dbraw/zinc/88/39/97/884883997.db2.gz CGXPMFQTOVDXNS-ZETCQYMHSA-N -1 1 320.271 1.597 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC[C@H]2CC[C@H](C)O2)n[n-]1 ZINC001362939729 885038792 /nfs/dbraw/zinc/03/87/92/885038792.db2.gz URGUUBHUXZPESK-GARJFASQSA-N -1 1 324.381 1.506 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC[C@H]2CC[C@H](C)O2)[n-]1 ZINC001362939729 885038802 /nfs/dbraw/zinc/03/88/02/885038802.db2.gz URGUUBHUXZPESK-GARJFASQSA-N -1 1 324.381 1.506 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC[C@H]2CC[C@H](C)O2)n1 ZINC001362939729 885038812 /nfs/dbraw/zinc/03/88/12/885038812.db2.gz URGUUBHUXZPESK-GARJFASQSA-N -1 1 324.381 1.506 20 0 DDADMM O=C(N[C@@H](c1ncccn1)C1CC1)c1cnc(C2CC2)[n-]c1=O ZINC001362956778 885080570 /nfs/dbraw/zinc/08/05/70/885080570.db2.gz XOCAYXWUZDKLBK-GFCCVEGCSA-N -1 1 311.345 1.731 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCc3ccccc3NC2=O)c([O-])c1 ZINC001362985268 885148417 /nfs/dbraw/zinc/14/84/17/885148417.db2.gz NZVKRFDKKKAANY-ZDUSSCGKSA-N -1 1 311.341 1.779 20 0 DDADMM CSc1ncc(C(=O)NC(C)(C)C(=O)OC(C)C)c(=O)[n-]1 ZINC001363045452 885317601 /nfs/dbraw/zinc/31/76/01/885317601.db2.gz VGJLVHUIGNXXFL-UHFFFAOYSA-N -1 1 313.379 1.364 20 0 DDADMM COC(=O)COc1ccc(C(=O)Nc2c(C)[n-][nH]c2=O)cc1 ZINC001363136024 885553370 /nfs/dbraw/zinc/55/33/70/885553370.db2.gz HEEVZWASZCWRBH-UHFFFAOYSA-N -1 1 305.290 1.228 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2ccc([O-])cc2F)ccn1 ZINC001363150372 885588592 /nfs/dbraw/zinc/58/85/92/885588592.db2.gz IIHAKMVULSTHRX-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM O=C([O-])c1ccc(F)c(CN2CCC3(C[C@H](O)CO3)CC2)c1 ZINC001231456714 885679415 /nfs/dbraw/zinc/67/94/15/885679415.db2.gz GLFXZSFMTZGOEW-ZDUSSCGKSA-N -1 1 309.337 1.640 20 0 DDADMM O=C(c1oc(C2CC2)nc1C(F)F)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363218918 885742072 /nfs/dbraw/zinc/74/20/72/885742072.db2.gz PAVPSMWDKBQRLN-ZETCQYMHSA-N -1 1 324.291 1.632 20 0 DDADMM O=C([O-])COc1ccccc1CN1CCC[C@H](n2ccnn2)C1 ZINC001231793612 885877345 /nfs/dbraw/zinc/87/73/45/885877345.db2.gz FXQNFEXWMBSTRK-AWEZNQCLSA-N -1 1 316.361 1.579 20 0 DDADMM COC(=O)C[C@@H]1CCCN(Cc2ccccc2OCC(=O)[O-])C1 ZINC001231794540 885880480 /nfs/dbraw/zinc/88/04/80/885880480.db2.gz CLVFESZDDOJQBS-ZDUSSCGKSA-N -1 1 321.373 1.925 20 0 DDADMM COc1cccc(F)c1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363276818 885890252 /nfs/dbraw/zinc/89/02/52/885890252.db2.gz PYLCAUKORNHDAL-UHFFFAOYSA-N -1 1 307.329 1.646 20 0 DDADMM C[C@@H](c1ccccc1)[C@@H](NC(=O)c1ccc(F)c([O-])c1)C(N)=O ZINC001363374957 886167754 /nfs/dbraw/zinc/16/77/54/886167754.db2.gz PCRMNCFCRWYNFE-ZUZCIYMTSA-N -1 1 316.332 1.919 20 0 DDADMM NC(=O)[C@@H]1CC[C@H](CCC(=O)Nc2cc([O-])c(F)cc2F)O1 ZINC001363385119 886191222 /nfs/dbraw/zinc/19/12/22/886191222.db2.gz VWCDSIDIASFNFF-KRTXAFLBSA-N -1 1 314.288 1.422 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccco1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363398337 886223364 /nfs/dbraw/zinc/22/33/64/886223364.db2.gz JKHWQPCNGIOPDO-IJLUTSLNSA-N -1 1 301.350 1.378 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2CC3(CCC3)CO2)c(=O)[n-]1 ZINC001363437719 886335084 /nfs/dbraw/zinc/33/50/84/886335084.db2.gz GXDWJBJAEZJTPX-VIFPVBQESA-N -1 1 309.391 1.593 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2CCCn3nccc32)c(=O)[n-]1 ZINC001363532894 886568505 /nfs/dbraw/zinc/56/85/05/886568505.db2.gz CMVCUKXASTXOQT-VIFPVBQESA-N -1 1 305.363 1.366 20 0 DDADMM CCCc1cc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)no1 ZINC001363536363 886576522 /nfs/dbraw/zinc/57/65/22/886576522.db2.gz ORAQHVVUFYFDTL-VIFPVBQESA-N -1 1 310.383 1.364 20 0 DDADMM C[C@H](NC(=O)c1cnncc1[O-])c1ccncc1Br ZINC001363558850 886633837 /nfs/dbraw/zinc/63/38/37/886633837.db2.gz MKQSRNBEVFUNNK-ZETCQYMHSA-N -1 1 323.150 1.831 20 0 DDADMM CC(C)(CNC(=O)c1cccc([O-])c1F)C(=O)N1CCCC1 ZINC001363560038 886634995 /nfs/dbraw/zinc/63/49/95/886634995.db2.gz ZWZKFMRFWOAWMX-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM CC(C)C(=O)N[C@@H]1[C@H]2CN(Cc3ccc(F)c([O-])c3F)C[C@H]21 ZINC001232935409 886683101 /nfs/dbraw/zinc/68/31/01/886683101.db2.gz JPRHFRBMYLKYLD-YABSGUDNSA-N -1 1 310.344 1.873 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cc(F)c[nH]c2=O)[n-]c1=O ZINC001363606434 886751292 /nfs/dbraw/zinc/75/12/92/886751292.db2.gz RBLAGASEFLUGMH-UHFFFAOYSA-N -1 1 322.296 1.096 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2ccc(C(C)=O)o2)[n-]c1=O ZINC001363628001 886805356 /nfs/dbraw/zinc/80/53/56/886805356.db2.gz FNNKCOFAFVFPCT-UHFFFAOYSA-N -1 1 319.317 1.652 20 0 DDADMM COC(=O)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1F ZINC001363677539 886953934 /nfs/dbraw/zinc/95/39/34/886953934.db2.gz KLYBHTBNDHOBLB-UHFFFAOYSA-N -1 1 307.285 1.087 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc2c(o1)CCCC2 ZINC001363800049 887251842 /nfs/dbraw/zinc/25/18/42/887251842.db2.gz JGWJVBXLCRYJOD-UHFFFAOYSA-N -1 1 303.366 1.970 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@H]1CC[C@]2(CCCCO2)O1 ZINC001233757719 887286349 /nfs/dbraw/zinc/28/63/49/887286349.db2.gz GKSBRQWNJOFKCG-BMIGLBTASA-N -1 1 324.333 1.423 20 0 DDADMM COc1ccc([C@@H]2C[C@H]2C(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001363816878 887299195 /nfs/dbraw/zinc/29/91/95/887299195.db2.gz DYYIDFXMNGJIRF-QWHCGFSZSA-N -1 1 313.361 1.507 20 0 DDADMM CO[C@@H](C)C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C(C)(C)C ZINC001384361919 887340611 /nfs/dbraw/zinc/34/06/11/887340611.db2.gz ZLEHKVLSMGIQDD-JQWIXIFHSA-N -1 1 323.393 1.083 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2CCC(C)(C)O2)sn1 ZINC001363856868 887406699 /nfs/dbraw/zinc/40/66/99/887406699.db2.gz FKOQEEWLYOXCMX-QMMMGPOBSA-N -1 1 306.409 1.388 20 0 DDADMM COC(=O)c1cccc2[n-]c(O[C@@H]3C=C(C)OC(=O)C3)nc21 ZINC001234039833 887582507 /nfs/dbraw/zinc/58/25/07/887582507.db2.gz UFOJJQNWHVFPQT-SECBINFHSA-N -1 1 302.286 1.948 20 0 DDADMM COC(=O)c1cccc2nc(O[C@@H]3C=C(C)OC(=O)C3)[n-]c21 ZINC001234039833 887582516 /nfs/dbraw/zinc/58/25/16/887582516.db2.gz UFOJJQNWHVFPQT-SECBINFHSA-N -1 1 302.286 1.948 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)Cc1ccno1)c1nc(C(C)(C)C)no1 ZINC001363947651 887596045 /nfs/dbraw/zinc/59/60/45/887596045.db2.gz ONXDJTBTRKIYRV-MRVPVSSYSA-N -1 1 314.367 1.536 20 0 DDADMM CC(C)C(=O)NCCCN(C)Cc1nc(=O)c2sccc2[n-]1 ZINC001234075444 887621368 /nfs/dbraw/zinc/62/13/68/887621368.db2.gz WFUSMQAYMFCKHP-UHFFFAOYSA-N -1 1 322.434 1.991 20 0 DDADMM NC(=O)C1(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)CCCC1 ZINC001363975128 887648518 /nfs/dbraw/zinc/64/85/18/887648518.db2.gz QGHHLZRTNYVUJP-UHFFFAOYSA-N -1 1 322.333 1.541 20 0 DDADMM CC[C@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)[C@@H]1C ZINC001363988003 887674570 /nfs/dbraw/zinc/67/45/70/887674570.db2.gz JSTVPWVNPLXNBL-BDAKNGLRSA-N -1 1 301.368 1.005 20 0 DDADMM CC[C@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)[C@@H]1C ZINC001363988003 887674577 /nfs/dbraw/zinc/67/45/77/887674577.db2.gz JSTVPWVNPLXNBL-BDAKNGLRSA-N -1 1 301.368 1.005 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(OC(C)C)CCC1 ZINC001364071769 887849936 /nfs/dbraw/zinc/84/99/36/887849936.db2.gz PSWGUVDXUZKJEE-UHFFFAOYSA-N -1 1 303.384 1.191 20 0 DDADMM COc1ccc([C@@H](C)Oc2c(C(N)=O)nc(C)[n-]c2=O)cc1 ZINC001234470791 888005402 /nfs/dbraw/zinc/00/54/02/888005402.db2.gz XWJGEHJDTGSAMB-MRVPVSSYSA-N -1 1 303.318 1.738 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2c(C)onc2N)CCCCCC1 ZINC001364153086 888036046 /nfs/dbraw/zinc/03/60/46/888036046.db2.gz ZHGZCCKATRPOLU-UHFFFAOYSA-N -1 1 317.411 1.583 20 0 DDADMM COC(=O)c1nc(-c2ccccc2)[n-]c(=O)c1O[C@@H](C)C(C)=O ZINC001234556540 888091104 /nfs/dbraw/zinc/09/11/04/888091104.db2.gz FTYUWHCLIMLIFO-JTQLQIEISA-N -1 1 316.313 1.992 20 0 DDADMM COc1cc(C(=O)N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)on1 ZINC001364417364 888591263 /nfs/dbraw/zinc/59/12/63/888591263.db2.gz UPNZLZHRMQNQFR-QMMMGPOBSA-N -1 1 320.305 1.165 20 0 DDADMM C[C@H](C(=O)Nc1c[n-][nH]c1=O)C1CN(C(=O)OC(C)(C)C)C1 ZINC001364513433 888811787 /nfs/dbraw/zinc/81/17/87/888811787.db2.gz VJUAJIRYJAYAGS-QMMMGPOBSA-N -1 1 310.354 1.557 20 0 DDADMM COC(=O)[C@@H]1[C@H](CNC(=O)c2ccc(F)c([O-])c2)C1(F)F ZINC001364621620 889055253 /nfs/dbraw/zinc/05/52/53/889055253.db2.gz YIGKZOUCABAMQG-XVKPBYJWSA-N -1 1 303.236 1.315 20 0 DDADMM CCCCC(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001396188809 913331119 /nfs/dbraw/zinc/33/11/19/913331119.db2.gz MSQJITZFDVFNPN-UHFFFAOYSA-N -1 1 305.378 1.697 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CC[C@H](C)OC ZINC001364769364 889373022 /nfs/dbraw/zinc/37/30/22/889373022.db2.gz NYPRLFCKFLSIJN-WDEREUQCSA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CC[C@H](C)OC ZINC001364769364 889373029 /nfs/dbraw/zinc/37/30/29/889373029.db2.gz NYPRLFCKFLSIJN-WDEREUQCSA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CC[C@H](C)OC ZINC001364769364 889373037 /nfs/dbraw/zinc/37/30/37/889373037.db2.gz NYPRLFCKFLSIJN-WDEREUQCSA-N -1 1 324.381 1.460 20 0 DDADMM CNC(=O)N[C@H]1CCCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC001364788703 889418524 /nfs/dbraw/zinc/41/85/24/889418524.db2.gz JQYJUFKISUHUPH-QMMMGPOBSA-N -1 1 313.304 1.204 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2C[C@@H](OC)C23CCC3)sn1 ZINC001364859213 889561578 /nfs/dbraw/zinc/56/15/78/889561578.db2.gz PDVLOUXRDZFSHZ-RKDXNWHRSA-N -1 1 318.420 1.388 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCCn3nccc32)sn1 ZINC001364908854 889650712 /nfs/dbraw/zinc/65/07/12/889650712.db2.gz BLPZOPGXBSHEGF-MRVPVSSYSA-N -1 1 314.392 1.162 20 0 DDADMM CC(F)(F)CN1CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001365042926 889964437 /nfs/dbraw/zinc/96/44/37/889964437.db2.gz WFJNGYZLCGRXNZ-UHFFFAOYSA-N -1 1 302.296 1.944 20 0 DDADMM CSCC[C@H](NC(=O)Nc1ccn(C(C)C)n1)c1nn[n-]n1 ZINC001365197715 890321780 /nfs/dbraw/zinc/32/17/80/890321780.db2.gz GGOZCXIKAWMGGS-VIFPVBQESA-N -1 1 324.414 1.593 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnc(OC)c(F)c3)nc2[n-]1 ZINC001239518394 890667083 /nfs/dbraw/zinc/66/70/83/890667083.db2.gz MOPYPEROGVCLJS-UHFFFAOYSA-N -1 1 302.265 1.954 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](CCO)c2ccco2)sn1 ZINC001365373487 890685023 /nfs/dbraw/zinc/68/50/23/890685023.db2.gz CAEPAHWLKMNAAX-QMMMGPOBSA-N -1 1 318.376 1.147 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)c1cnn(CCO)c1 ZINC001290782217 913515197 /nfs/dbraw/zinc/51/51/97/913515197.db2.gz MLTYFOHCXSZLBT-UHFFFAOYSA-N -1 1 313.317 1.223 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)Cc2ccc(O)cc2)c1 ZINC001365679390 891304180 /nfs/dbraw/zinc/30/41/80/891304180.db2.gz AJHKVQPRMANBCO-UHFFFAOYSA-N -1 1 323.370 1.927 20 0 DDADMM O=C1CN(Cc2ccnc(-c3ccc([O-])c(F)c3F)c2)CCN1 ZINC001243339265 891645007 /nfs/dbraw/zinc/64/50/07/891645007.db2.gz NPSCWWRSXXFUEU-UHFFFAOYSA-N -1 1 319.311 1.664 20 0 DDADMM Cc1cc2c(c(-c3ccc(C(=O)N(C)C)cc3C)n1)C(=O)[N-]C2=O ZINC001243681158 891708728 /nfs/dbraw/zinc/70/87/28/891708728.db2.gz SEIZRBZPSQQTMR-UHFFFAOYSA-N -1 1 323.352 1.951 20 0 DDADMM COc1ccc(C)nc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244791530 891925605 /nfs/dbraw/zinc/92/56/05/891925605.db2.gz VYXIWNSARWQEQO-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM COc1ncc(-c2cc(C)nc(F)c2)cc1[N-]S(C)(=O)=O ZINC001244792869 891926897 /nfs/dbraw/zinc/92/68/97/891926897.db2.gz VMRBWXVDAHHREL-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM COc1ncc(-c2cc(C)cn(C)c2=O)cc1[N-]S(C)(=O)=O ZINC001244796610 891932113 /nfs/dbraw/zinc/93/21/13/891932113.db2.gz WDTAKCWMRSYBHO-UHFFFAOYSA-N -1 1 323.374 1.136 20 0 DDADMM C[S@@](=O)CCCNC(=S)Nc1cc(F)c([O-])c(F)c1 ZINC001246530392 892678534 /nfs/dbraw/zinc/67/85/34/892678534.db2.gz JTICVYUNAFMOLY-LJQANCHMSA-N -1 1 308.375 1.725 20 0 DDADMM C[C@H](CCNC(=O)[C@@H]1CC1(F)F)NC(=O)c1ncccc1[O-] ZINC001387457438 893144698 /nfs/dbraw/zinc/14/46/98/893144698.db2.gz VSIMHZZKQHJYFK-BDAKNGLRSA-N -1 1 313.304 1.067 20 0 DDADMM Nc1cccc(S(=O)(=O)[N-]c2ccc3c(c2)OCC(=O)N3)c1 ZINC001249622999 894070196 /nfs/dbraw/zinc/07/01/96/894070196.db2.gz RMTUNXBYJXFWJB-UHFFFAOYSA-N -1 1 319.342 1.401 20 0 DDADMM O=[P@]([O-])(O)CCNS(=O)(=O)c1cccc2ccccc21 ZINC001250623780 894343067 /nfs/dbraw/zinc/34/30/67/894343067.db2.gz OZOVALCOGCLIGC-UHFFFAOYSA-N -1 1 315.287 1.296 20 0 DDADMM O=c1nc2nc[nH]c2c(NC[C@H](O)COc2cccc(F)c2)[n-]1 ZINC001250828798 894447633 /nfs/dbraw/zinc/44/76/33/894447633.db2.gz CJOHWAVBWWXMHE-VIFPVBQESA-N -1 1 319.296 1.001 20 0 DDADMM CC[C@H](CNC(=O)COC(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001388056673 894468935 /nfs/dbraw/zinc/46/89/35/894468935.db2.gz XGBSVQGPKWNVBD-LLVKDONJSA-N -1 1 323.393 1.227 20 0 DDADMM CC[C@H](C)CC(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001396522484 913979151 /nfs/dbraw/zinc/97/91/51/913979151.db2.gz WDUYJFGOEYPBMS-RWMBFGLXSA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@@H](CNC(=O)C1CCCCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001367114100 895902144 /nfs/dbraw/zinc/90/21/44/895902144.db2.gz NTEJAIRMUATESM-ZDUSSCGKSA-N -1 1 323.441 1.855 20 0 DDADMM Cc1cc(F)ccc1C(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367396673 896657484 /nfs/dbraw/zinc/65/74/84/896657484.db2.gz GISCYWGMXQGKHQ-JTQLQIEISA-N -1 1 321.356 1.208 20 0 DDADMM Cc1cc(F)ccc1C(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367396674 896658355 /nfs/dbraw/zinc/65/83/55/896658355.db2.gz GISCYWGMXQGKHQ-SNVBAGLBSA-N -1 1 321.356 1.208 20 0 DDADMM C[C@@H]1C[C@H]([NH2+]CCP(=O)([O-])[O-])CCN1C(=O)OC(C)(C)C ZINC001256454794 897442601 /nfs/dbraw/zinc/44/26/01/897442601.db2.gz XCOFOXRXSCEEBT-GHMZBOCLSA-N -1 1 322.342 1.542 20 0 DDADMM CC(C)(O)CCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259082221 898459455 /nfs/dbraw/zinc/45/94/55/898459455.db2.gz QNDWFSUKTVWUDO-UHFFFAOYSA-N -1 1 311.325 1.933 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C(F)(F)F)c1ccc(F)c(F)c1 ZINC001259093669 898465721 /nfs/dbraw/zinc/46/57/21/898465721.db2.gz WFRJWAVYWCODAX-QMMMGPOBSA-N -1 1 305.224 1.166 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC[C@@H]1F)c1cc(F)c(F)c(F)c1 ZINC001259503036 898704031 /nfs/dbraw/zinc/70/40/31/898704031.db2.gz CRJNOFZOJUOYQV-VHSXEESVSA-N -1 1 313.272 1.509 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC[C@@H]1F)c1cc(F)c(F)c(F)c1 ZINC001259503035 898705434 /nfs/dbraw/zinc/70/54/34/898705434.db2.gz CRJNOFZOJUOYQV-UWVGGRQHSA-N -1 1 313.272 1.509 20 0 DDADMM CCCC1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCC1 ZINC001368110440 898723237 /nfs/dbraw/zinc/72/32/37/898723237.db2.gz QSICFDLEDZQXEX-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM O=S(=O)(CCc1ccccc1F)[N-]c1ncccc1CCO ZINC001259781492 898798092 /nfs/dbraw/zinc/79/80/92/898798092.db2.gz HIZNVCBRJMPETA-UHFFFAOYSA-N -1 1 324.377 1.740 20 0 DDADMM O=S(=O)([N-]c1ncc(F)cc1F)c1ccc2c(c1)OCO2 ZINC001259843739 898861469 /nfs/dbraw/zinc/86/14/69/898861469.db2.gz CGKHGSUPJCYFPG-UHFFFAOYSA-N -1 1 314.269 1.889 20 0 DDADMM COc1ccc2c(c1)NC(=O)C[C@@H]2C[N-]S(=O)(=O)C(F)F ZINC001259961315 898984671 /nfs/dbraw/zinc/98/46/71/898984671.db2.gz FFUJIPXBGDMCDA-SSDOTTSWSA-N -1 1 320.317 1.263 20 0 DDADMM CCC(=O)c1cccc([N-]S(=O)(=O)CS(C)(=O)=O)c1 ZINC001260592905 899180057 /nfs/dbraw/zinc/18/00/57/899180057.db2.gz WBXGMADNDQQYBO-UHFFFAOYSA-N -1 1 305.377 1.023 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)[C@H](C)CC)c1ccccn1 ZINC001260747975 899245814 /nfs/dbraw/zinc/24/58/14/899245814.db2.gz DVHZLUSRFASIFQ-ZYHUDNBSSA-N -1 1 300.380 1.404 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)c2cn[nH]c2)c(F)c1 ZINC001260953526 899291770 /nfs/dbraw/zinc/29/17/70/899291770.db2.gz NLDWXAAZNUCKRD-UHFFFAOYSA-N -1 1 313.310 1.526 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2cnc(Cl)cc2Cl)CO1 ZINC001261457357 899582578 /nfs/dbraw/zinc/58/25/78/899582578.db2.gz GVVBCEZLTMCIDR-NKWVEPMBSA-N -1 1 311.190 1.844 20 0 DDADMM Cc1nc(Cl)ccc1CC(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001263886844 900799986 /nfs/dbraw/zinc/79/99/86/900799986.db2.gz YOZZFZCROWXATD-SNVBAGLBSA-N -1 1 306.757 1.115 20 0 DDADMM CCCCC(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001369627541 901655397 /nfs/dbraw/zinc/65/53/97/901655397.db2.gz WLKZTXZRKKVEFX-UHFFFAOYSA-N -1 1 305.378 1.602 20 0 DDADMM C[C@@H](NC(=O)CC1CCCC1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369654718 901708842 /nfs/dbraw/zinc/70/88/42/901708842.db2.gz NUWRWSZPIUFCFF-NEPJUHHUSA-N -1 1 319.405 1.991 20 0 DDADMM CCCC1(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)CC1 ZINC001370223760 902758027 /nfs/dbraw/zinc/75/80/27/902758027.db2.gz LLVIUKJHGKZLNL-NSHDSACASA-N -1 1 307.398 1.075 20 0 DDADMM Cc1csc(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C(C)C)c1 ZINC001392209954 903542006 /nfs/dbraw/zinc/54/20/06/903542006.db2.gz IJZXSEAKHNQYKV-JTQLQIEISA-N -1 1 323.422 1.424 20 0 DDADMM CC(C)=CC(=O)N[C@@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001280739449 903973338 /nfs/dbraw/zinc/97/33/38/903973338.db2.gz QCINAYHXFTWPTL-CHWSQXEVSA-N -1 1 317.389 1.911 20 0 DDADMM C[C@@H](CNC(=O)[C@H]1CCCCC1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001371487770 905086711 /nfs/dbraw/zinc/08/67/11/905086711.db2.gz RUGINZVNDRUGDO-WDEREUQCSA-N -1 1 309.414 1.321 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)CC1(C)CC1 ZINC001294037230 914873866 /nfs/dbraw/zinc/87/38/66/914873866.db2.gz LUIQZAXRIYFNND-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM O=C(CCCC1CC1)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001372609843 907761911 /nfs/dbraw/zinc/76/19/11/907761911.db2.gz KTBPXCJAKCBUSM-BETUJISGSA-N -1 1 317.389 1.745 20 0 DDADMM CCC(=CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C)CC ZINC001283861904 908089428 /nfs/dbraw/zinc/08/94/28/908089428.db2.gz WZPSMONXHDGYED-PWSUYJOCSA-N -1 1 307.398 1.336 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1c[nH]cc1C1CC1 ZINC001393985367 908459153 /nfs/dbraw/zinc/45/91/53/908459153.db2.gz MVAGZAPDZPMFLX-BDAKNGLRSA-N -1 1 318.381 1.012 20 0 DDADMM C/C=C(\C)C(=O)N(CC)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001285016844 909945456 /nfs/dbraw/zinc/94/54/56/909945456.db2.gz LWRQBRLRRMVFDK-YKAQBRKTSA-N -1 1 317.389 1.816 20 0 DDADMM CC[C@@H](C)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001394719640 910394785 /nfs/dbraw/zinc/39/47/85/910394785.db2.gz PMJKBLWKBJEMNY-MNOVXSKESA-N -1 1 309.414 1.273 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1ccn(C)c1 ZINC001395066054 911278474 /nfs/dbraw/zinc/27/84/74/911278474.db2.gz KLSMZDPDPBETPQ-NSHDSACASA-N -1 1 316.361 1.016 20 0 DDADMM CCCC(=O)NC1(CNC(=O)c2ncccc2[O-])CCCC1 ZINC001375261583 915414412 /nfs/dbraw/zinc/41/44/12/915414412.db2.gz ZSNDJFOAWKSFIX-UHFFFAOYSA-N -1 1 305.378 1.746 20 0 DDADMM CC/C(C)=C\C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001296420237 916402581 /nfs/dbraw/zinc/40/25/81/916402581.db2.gz WAICTPDISNFJGO-UKVQZPPCSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(Cl)[nH]1)NC(=O)c1ncccc1[O-] ZINC001397591539 916438580 /nfs/dbraw/zinc/43/85/80/916438580.db2.gz LVOAEYPVGVRFKU-QMMMGPOBSA-N -1 1 322.752 1.317 20 0 DDADMM CC(C)C(=O)NC[C@@H]1[C@H](C)CCCN1C(=O)c1ncccc1[O-] ZINC001375917586 917256706 /nfs/dbraw/zinc/25/67/06/917256706.db2.gz XPEIDZMFCOJOSV-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H](CNC(=O)C1(C)C(C)(C)C1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001376917748 919777769 /nfs/dbraw/zinc/77/77/69/919777769.db2.gz DSDFOVOSRFQRCE-SECBINFHSA-N -1 1 309.414 1.177 20 0 DDADMM O=C(CCCC1CC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001377607171 922461462 /nfs/dbraw/zinc/46/14/62/922461462.db2.gz URPCJCIGMWRWQR-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM CCC[C@H](C)C(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001377666644 922631814 /nfs/dbraw/zinc/63/18/14/922631814.db2.gz BJKHLLCJDPIGGL-RYUDHWBXSA-N -1 1 309.414 1.275 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H](C)C1CCC1 ZINC001377737622 922842511 /nfs/dbraw/zinc/84/25/11/922842511.db2.gz UIQYVUXAOCWEBW-QWRGUYRKSA-N -1 1 305.378 1.458 20 0 DDADMM O=C([N-]Cc1ncnn1-c1ccccc1)C(F)(F)C(F)F ZINC000278309475 214081209 /nfs/dbraw/zinc/08/12/09/214081209.db2.gz BCTJPXRDUJWXOK-UHFFFAOYSA-N -1 1 302.231 1.784 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C(C)(C)CC(F)(F)F)nc2n1 ZINC000622870025 365550084 /nfs/dbraw/zinc/55/00/84/365550084.db2.gz PEKQVIVBTJFMQQ-UHFFFAOYSA-N -1 1 317.271 1.643 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000622870090 365550098 /nfs/dbraw/zinc/55/00/98/365550098.db2.gz QWCRDVVHVYPRDQ-MNOVXSKESA-N -1 1 303.366 1.881 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3c(C)cccc3C)nc2n1 ZINC000622994335 365587126 /nfs/dbraw/zinc/58/71/26/365587126.db2.gz KYWVSOPCHMTFLB-UHFFFAOYSA-N -1 1 311.345 1.849 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CCCCO3)nc2n1 ZINC000622997021 365590153 /nfs/dbraw/zinc/59/01/53/365590153.db2.gz JGDYROBVCSSSDT-NSHDSACASA-N -1 1 319.365 1.268 20 0 DDADMM COC[C@H](NC(=O)c1ncc2ccccc2c1[O-])[C@H]1CCCO1 ZINC000614198295 361747480 /nfs/dbraw/zinc/74/74/80/361747480.db2.gz JRTKLWGOWIALMA-UONOGXRCSA-N -1 1 316.357 1.864 20 0 DDADMM CCCc1nc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)co1 ZINC000614388905 361832902 /nfs/dbraw/zinc/83/29/02/361832902.db2.gz JDYWDLJAPONGQZ-SECBINFHSA-N -1 1 306.322 1.736 20 0 DDADMM Cc1cc(C)n([C@H](C)C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000614388432 361833056 /nfs/dbraw/zinc/83/30/56/361833056.db2.gz YLZFQENUYOHUHL-NEPJUHHUSA-N -1 1 319.365 1.556 20 0 DDADMM Cc1ccn([C@@H](C)CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000614390075 361833536 /nfs/dbraw/zinc/83/35/36/361833536.db2.gz QVFPYJWXHWWELH-NWDGAFQWSA-N -1 1 319.365 1.637 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614475856 361876280 /nfs/dbraw/zinc/87/62/80/361876280.db2.gz VEBDVHRINVMDSC-GHMZBOCLSA-N -1 1 309.366 1.685 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncc(C)cc1[O-])c1ccccn1 ZINC000614625634 361948911 /nfs/dbraw/zinc/94/89/11/361948911.db2.gz KBGYFOGARJGGGU-LBPRGKRZSA-N -1 1 301.302 1.135 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@H]1CCO[C@@H](C(C)C)C1 ZINC000451812107 231201997 /nfs/dbraw/zinc/20/19/97/231201997.db2.gz BTYVSHZTXVSROL-WDEREUQCSA-N -1 1 317.411 1.125 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCO[C@@H](C(C)C)C1 ZINC000451812107 231202000 /nfs/dbraw/zinc/20/20/00/231202000.db2.gz BTYVSHZTXVSROL-WDEREUQCSA-N -1 1 317.411 1.125 20 0 DDADMM C[C@@H]1CO[C@@H](c2cccc(Cl)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC000328605835 231242241 /nfs/dbraw/zinc/24/22/41/231242241.db2.gz JWVOKALCDVPCJQ-ZWNOBZJWSA-N -1 1 322.796 1.724 20 0 DDADMM CCc1cc(C)c(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)o1 ZINC000331952246 529523248 /nfs/dbraw/zinc/52/32/48/529523248.db2.gz QYZJDKDIDSDAFV-UHFFFAOYSA-N -1 1 321.406 1.377 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2ncnn2CC(F)F)n1 ZINC000447310522 529566827 /nfs/dbraw/zinc/56/68/27/529566827.db2.gz YMHLKUWPZXQRAJ-UHFFFAOYSA-N -1 1 301.322 1.894 20 0 DDADMM CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000615225808 362203862 /nfs/dbraw/zinc/20/38/62/362203862.db2.gz GRDVCCFJRDGGBS-CYBMUJFWSA-N -1 1 314.345 1.336 20 0 DDADMM CCc1nc2n(n1)CCC[C@H]2NC(=O)c1ncc(C)cc1[O-] ZINC000331760005 529750617 /nfs/dbraw/zinc/75/06/17/529750617.db2.gz ATQGAVKFCOGHKL-SNVBAGLBSA-N -1 1 301.350 1.514 20 0 DDADMM O=C([O-])CCCCN1CCN(C(=O)NC2CCCCC2)CC1 ZINC000181546079 199314170 /nfs/dbraw/zinc/31/41/70/199314170.db2.gz FPRMXTGYXDQBLE-UHFFFAOYSA-N -1 1 311.426 1.901 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2nnc3n2CCCC3)c1 ZINC000272797111 210198995 /nfs/dbraw/zinc/19/89/95/210198995.db2.gz INMBIZAPAVDFPU-UHFFFAOYSA-N -1 1 315.333 1.531 20 0 DDADMM CN(C)c1nc(C(=O)Nc2ccc([O-])c(Cl)c2)cc(=O)[nH]1 ZINC000434360878 529804480 /nfs/dbraw/zinc/80/44/80/529804480.db2.gz SZLYHOPTSYGQPO-UHFFFAOYSA-N -1 1 308.725 1.860 20 0 DDADMM C[C@@H](C[S@@](C)=O)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000181671546 199336754 /nfs/dbraw/zinc/33/67/54/199336754.db2.gz UFYFVOPWLKBDDX-SVWIBVJCSA-N -1 1 309.309 1.908 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CC2CC2)C(C)C)o1 ZINC000615795581 362444094 /nfs/dbraw/zinc/44/40/94/362444094.db2.gz YLADFGGZHWHAQG-UHFFFAOYSA-N -1 1 300.380 1.448 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)c2c(C)noc2C)n[n-]1 ZINC000615920032 362486133 /nfs/dbraw/zinc/48/61/33/362486133.db2.gz OWLLSVIBLRBMFF-SSDOTTSWSA-N -1 1 321.337 1.006 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)c2c(C)noc2C)n1 ZINC000615920032 362486137 /nfs/dbraw/zinc/48/61/37/362486137.db2.gz OWLLSVIBLRBMFF-SSDOTTSWSA-N -1 1 321.337 1.006 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CSC2CC2)c1 ZINC000451864428 530031864 /nfs/dbraw/zinc/03/18/64/530031864.db2.gz SFXLOLHIOMVZKI-UHFFFAOYSA-N -1 1 316.404 1.134 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCC(=O)[C@H](C)C2)c1Br ZINC000616007484 362517944 /nfs/dbraw/zinc/51/79/44/362517944.db2.gz PZQUVTKZQMSHLL-SVRRBLITSA-N -1 1 314.183 1.846 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCc3n[nH]cc3C2)c1Br ZINC000616006888 362518290 /nfs/dbraw/zinc/51/82/90/362518290.db2.gz MDLBRJWIJCKJHX-ZETCQYMHSA-N -1 1 324.182 1.369 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](C)Cc1ccc(C)cc1C ZINC000330898146 232147906 /nfs/dbraw/zinc/14/79/06/232147906.db2.gz URIGUJCHOFOSCG-LLVKDONJSA-N -1 1 323.418 1.922 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)Cc1ccc(C)cc1C ZINC000330898146 232147907 /nfs/dbraw/zinc/14/79/07/232147907.db2.gz URIGUJCHOFOSCG-LLVKDONJSA-N -1 1 323.418 1.922 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccc2c(c1)NC(=O)CCS2 ZINC000358344337 299127023 /nfs/dbraw/zinc/12/70/23/299127023.db2.gz WFOMGPZOKFSALE-UHFFFAOYSA-N -1 1 315.354 1.896 20 0 DDADMM CCC(CC)CNC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000494548112 539546812 /nfs/dbraw/zinc/54/68/12/539546812.db2.gz MJUXUDMHKAPGJM-UHFFFAOYSA-N -1 1 312.443 1.218 20 0 DDADMM Cc1cnc(C(=O)NCC(=O)Nc2ccc(F)cc2)c([O-])c1 ZINC000494821546 539547296 /nfs/dbraw/zinc/54/72/96/539547296.db2.gz SQOGMBVHVYXYJN-UHFFFAOYSA-N -1 1 303.293 1.603 20 0 DDADMM CC[C@@H](C[C@H](C)CO)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358379953 299136727 /nfs/dbraw/zinc/13/67/27/299136727.db2.gz FEBXUYXTWHHSKV-UWVGGRQHSA-N -1 1 306.366 1.201 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1c(C)noc1C)c1ccccc1 ZINC000170735727 197813846 /nfs/dbraw/zinc/81/38/46/197813846.db2.gz SFOATUUXEVXOTQ-ZDUSSCGKSA-N -1 1 310.375 1.957 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@]3(O)CCSC3)[nH][n-]2)s1 ZINC000616811914 362829080 /nfs/dbraw/zinc/82/90/80/362829080.db2.gz ZDWHLYXPOAXWDO-ZDUSSCGKSA-N -1 1 309.416 1.675 20 0 DDADMM CSc1ccccc1CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000358395237 299141449 /nfs/dbraw/zinc/14/14/49/299141449.db2.gz UKWNOFQDENOLTQ-SNVBAGLBSA-N -1 1 305.407 1.726 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCc2cc(C)ccc2O1)c1nn[n-]n1 ZINC000280038707 215330021 /nfs/dbraw/zinc/33/00/21/215330021.db2.gz VJIMBNDMGLELNU-TZMCWYRMSA-N -1 1 315.377 1.859 20 0 DDADMM CCS(=O)(=O)c1ccc(F)c(C(=O)Nc2nnc(C)[nH]2)c1 ZINC000266856162 186360445 /nfs/dbraw/zinc/36/04/45/186360445.db2.gz SNJIAQATDKQKGB-UHFFFAOYSA-N -1 1 312.326 1.298 20 0 DDADMM NC(=O)c1ccc(S(=O)(=O)[N-]c2cccc3c2CCO3)cc1 ZINC000358496209 299172993 /nfs/dbraw/zinc/17/29/93/299172993.db2.gz RARGEOZMFKEZBA-UHFFFAOYSA-N -1 1 318.354 1.521 20 0 DDADMM COCCOCCC(=O)NCc1n[n-]c(=S)n1C(C)C ZINC000066640492 353010120 /nfs/dbraw/zinc/01/01/20/353010120.db2.gz LRTJNFWFTOXISL-UHFFFAOYSA-N -1 1 302.400 1.191 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@@H](C)COCC(F)(F)F)n1 ZINC000067720524 353064955 /nfs/dbraw/zinc/06/49/55/353064955.db2.gz MDXFXJYUHPCEHU-ZETCQYMHSA-N -1 1 323.275 1.079 20 0 DDADMM COc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000491858114 234316134 /nfs/dbraw/zinc/31/61/34/234316134.db2.gz FFAKNPUJZQYEPP-RMKNXTFCSA-N -1 1 313.361 1.807 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2cccn2C)c(=O)[n-]1 ZINC000075353379 353359468 /nfs/dbraw/zinc/35/94/68/353359468.db2.gz FDGVPGCSNRHCQY-UHFFFAOYSA-N -1 1 320.418 1.388 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2ccc[nH]c2=O)c1Br ZINC000358589124 299203462 /nfs/dbraw/zinc/20/34/62/299203462.db2.gz LUEBAVBCOHMOSG-UHFFFAOYSA-N -1 1 311.139 1.509 20 0 DDADMM CCc1nnc(NC(=O)NCCn2c(C)n[n-]c2=S)s1 ZINC000084294617 353719886 /nfs/dbraw/zinc/71/98/86/353719886.db2.gz JRBXVLKVPFSCOU-UHFFFAOYSA-N -1 1 313.412 1.485 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)C[C@@H]1CCC1(F)F ZINC000601300047 358485786 /nfs/dbraw/zinc/48/57/86/358485786.db2.gz UWPFPRDHCMGJJD-VHSXEESVSA-N -1 1 313.366 1.539 20 0 DDADMM COC[C@H](CNC(=O)c1cnc2nc(C)ccc2c1[O-])OC ZINC000358657912 299215274 /nfs/dbraw/zinc/21/52/74/299215274.db2.gz ZVGXUQRUCHMXKV-JTQLQIEISA-N -1 1 305.334 1.035 20 0 DDADMM COC[C@H](CNC(=O)c1c[n-]c2nc(C)ccc2c1=O)OC ZINC000358657912 299215276 /nfs/dbraw/zinc/21/52/76/299215276.db2.gz ZVGXUQRUCHMXKV-JTQLQIEISA-N -1 1 305.334 1.035 20 0 DDADMM CN1CCO[C@H]2CCN(C(=O)c3cc(Cl)ccc3[O-])C[C@H]21 ZINC000284493527 283026852 /nfs/dbraw/zinc/02/68/52/283026852.db2.gz NDNRGZUDEFLGNR-OCCSQVGLSA-N -1 1 310.781 1.591 20 0 DDADMM C[C@H]1C[N@H+]2CCCC[C@H]2CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC000317273677 354509774 /nfs/dbraw/zinc/50/97/74/354509774.db2.gz SUQBJACESWVVGX-AAEUAGOBSA-N -1 1 303.362 1.479 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC000317273677 354509777 /nfs/dbraw/zinc/50/97/77/354509777.db2.gz SUQBJACESWVVGX-AAEUAGOBSA-N -1 1 303.362 1.479 20 0 DDADMM O=C1CCCN1CC[N-]S(=O)(=O)c1sccc1Cl ZINC000584727037 354755105 /nfs/dbraw/zinc/75/51/05/354755105.db2.gz WDNDHDPLQABISI-UHFFFAOYSA-N -1 1 308.812 1.302 20 0 DDADMM CCC[C@H](NC(=O)c1cnn([C@H](C)CC)c1C)c1nn[n-]n1 ZINC000358826567 291045776 /nfs/dbraw/zinc/04/57/76/291045776.db2.gz LKZZCVSIGYWPTD-SKDRFNHKSA-N -1 1 305.386 1.947 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCc3ccc(F)cc3)nc2n1 ZINC000588006766 354892591 /nfs/dbraw/zinc/89/25/91/354892591.db2.gz UWMJDOCAWSKPRH-UHFFFAOYSA-N -1 1 315.308 1.436 20 0 DDADMM COC(=O)CC1(CS(=O)(=O)[N-][C@@H]2CCCC2(F)F)CC1 ZINC000588144795 354905384 /nfs/dbraw/zinc/90/53/84/354905384.db2.gz VEFGWBYBYRHQPA-SECBINFHSA-N -1 1 311.350 1.437 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC=CCC3)nc2n1 ZINC000588743971 354932861 /nfs/dbraw/zinc/93/28/61/354932861.db2.gz BZIMWVKIYSMFND-JTQLQIEISA-N -1 1 301.350 1.665 20 0 DDADMM CCOC(=O)Cc1cccc(S(=O)(=O)[N-]c2cnc[nH]2)c1 ZINC000589592744 355003159 /nfs/dbraw/zinc/00/31/59/355003159.db2.gz AHBKRPLVCIVMRD-UHFFFAOYSA-N -1 1 309.347 1.316 20 0 DDADMM COC(=O)c1ccc(CN[C@H](C(=O)[O-])c2ccnn2C)cc1 ZINC000592424220 355579446 /nfs/dbraw/zinc/57/94/46/355579446.db2.gz WZTLDURMILDKQV-ZDUSSCGKSA-N -1 1 303.318 1.122 20 0 DDADMM CCN(CCSC)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000592920735 355740626 /nfs/dbraw/zinc/74/06/26/355740626.db2.gz HXSUZKZIBZZGAM-UHFFFAOYSA-N -1 1 306.409 1.013 20 0 DDADMM C[S@@](=O)Cc1noc(-c2[n-]cnc2C(=O)c2ccccc2)n1 ZINC000346588393 283132324 /nfs/dbraw/zinc/13/23/24/283132324.db2.gz XRXUKMAXGRLDSV-JOCHJYFZSA-N -1 1 316.342 1.569 20 0 DDADMM CC[C@@H](C)[C@H](O)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595316042 356446687 /nfs/dbraw/zinc/44/66/87/356446687.db2.gz XZXDWNWLZFODET-PSASIEDQSA-N -1 1 319.379 1.060 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCO[C@H](C)C2)cc1C ZINC000595320902 356448108 /nfs/dbraw/zinc/44/81/08/356448108.db2.gz JMMQWWAFLBBHDV-ZJUUUORDSA-N -1 1 317.363 1.220 20 0 DDADMM COC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC000595397103 356477507 /nfs/dbraw/zinc/47/75/07/356477507.db2.gz IRUJOMFKXBGMAT-XWEPSHTISA-N -1 1 305.302 1.195 20 0 DDADMM CCOC(=O)c1csc(=NCCN2C[C@H](C)OC[C@H]2C)[n-]1 ZINC000596434395 356879689 /nfs/dbraw/zinc/87/96/89/356879689.db2.gz XSIVFJJQJUUMJN-MNOVXSKESA-N -1 1 313.423 1.263 20 0 DDADMM CS[C@@H](CO)[C@@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000597393098 357161319 /nfs/dbraw/zinc/16/13/19/357161319.db2.gz HKFOKGVBZLNASB-SKDRFNHKSA-N -1 1 306.387 1.783 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N(C)Cc2cnc[nH]2)c1 ZINC000597863243 357363299 /nfs/dbraw/zinc/36/32/99/357363299.db2.gz LYDXGDGAEXUJIX-OAQYLSRUSA-N -1 1 307.375 1.185 20 0 DDADMM COC(=O)[C@@]1(NC(=O)C(=O)c2ccc([O-])cc2)CC[C@@H](C)CC1 ZINC000598100457 357462428 /nfs/dbraw/zinc/46/24/28/357462428.db2.gz HVYHHBYDNVXBLC-QQVUYCAZSA-N -1 1 319.357 1.813 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2CCOC(C)(C)C2)c1Cl ZINC000179292278 199011239 /nfs/dbraw/zinc/01/12/39/199011239.db2.gz LUFSPCKMMNPDJU-QMMMGPOBSA-N -1 1 307.803 1.309 20 0 DDADMM CCC[C@H](C)CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000179541413 199052633 /nfs/dbraw/zinc/05/26/33/199052633.db2.gz IPAYKLPVHQWPOS-VIFPVBQESA-N -1 1 300.380 1.804 20 0 DDADMM CC(C)[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[O-])C(N)=O ZINC000180524718 199170584 /nfs/dbraw/zinc/17/05/84/199170584.db2.gz SGVLSVWHWQKHIF-SNVBAGLBSA-N -1 1 304.268 1.651 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncc(C)cc2[O-])[C@H]2CCC[C@@H]21 ZINC000600555747 358256693 /nfs/dbraw/zinc/25/66/93/358256693.db2.gz YLWBVJSJTZJHPS-UPJWGTAASA-N -1 1 318.373 1.899 20 0 DDADMM C[C@H]1CN(CC(=O)NC(=O)Nc2ccccc2)CC[C@@H]1C(=O)[O-] ZINC000600701499 358287092 /nfs/dbraw/zinc/28/70/92/358287092.db2.gz KJLJEEYVCXDEIO-AAEUAGOBSA-N -1 1 319.361 1.377 20 0 DDADMM CC1(CNC(=O)c2ccc(C(F)(F)F)cc2[O-])OCCO1 ZINC000180775279 199208178 /nfs/dbraw/zinc/20/81/78/199208178.db2.gz AVZUIYBWXFOAPS-UHFFFAOYSA-N -1 1 305.252 1.904 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(Cc2cccnc2)CC1 ZINC000181083393 199249377 /nfs/dbraw/zinc/24/93/77/199249377.db2.gz QCMJYSYHKDBWOF-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(c2cnccn2)CC1 ZINC000181114532 199254755 /nfs/dbraw/zinc/25/47/55/199254755.db2.gz RPXCUNILGAPYSL-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM CCOC(=O)c1cnc(-n2[n-]c3c(c2=O)[C@@H](C)CC3)nc1C ZINC000601937686 358744892 /nfs/dbraw/zinc/74/48/92/358744892.db2.gz DIJZYSMFLKUFJX-QPUJVOFHSA-N -1 1 302.334 1.710 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(C3CC3)c2)n[n-]1 ZINC000603022818 359365032 /nfs/dbraw/zinc/36/50/32/359365032.db2.gz QYGCMDKSBFSWBO-UHFFFAOYSA-N -1 1 314.345 1.789 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(C3CC3)c2)n1 ZINC000603022818 359365037 /nfs/dbraw/zinc/36/50/37/359365037.db2.gz QYGCMDKSBFSWBO-UHFFFAOYSA-N -1 1 314.345 1.789 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C)c(F)c2F)n[n-]1 ZINC000603024251 359365975 /nfs/dbraw/zinc/36/59/75/359365975.db2.gz XAYMKUDPYGGSBP-UHFFFAOYSA-N -1 1 324.287 1.498 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C)c(F)c2F)n1 ZINC000603024251 359365977 /nfs/dbraw/zinc/36/59/77/359365977.db2.gz XAYMKUDPYGGSBP-UHFFFAOYSA-N -1 1 324.287 1.498 20 0 DDADMM Cc1ccccc1OCC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000618488560 363650417 /nfs/dbraw/zinc/65/04/17/363650417.db2.gz XWAFJTRWDLFJPH-INIZCTEOSA-N -1 1 323.356 1.793 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCCC2(C)C)n[n-]1 ZINC000603154953 359442083 /nfs/dbraw/zinc/44/20/83/359442083.db2.gz NJRBLLRROBFEHH-VHSXEESVSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCCC2(C)C)[n-]1 ZINC000603154953 359442085 /nfs/dbraw/zinc/44/20/85/359442085.db2.gz NJRBLLRROBFEHH-VHSXEESVSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCCC2(C)C)n1 ZINC000603154953 359442087 /nfs/dbraw/zinc/44/20/87/359442087.db2.gz NJRBLLRROBFEHH-VHSXEESVSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccccc2F)n[n-]1 ZINC000603166644 359450981 /nfs/dbraw/zinc/45/09/81/359450981.db2.gz QLJPUTCAYCLBHP-QMMMGPOBSA-N -1 1 306.297 1.612 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccccc2F)[n-]1 ZINC000603166644 359450983 /nfs/dbraw/zinc/45/09/83/359450983.db2.gz QLJPUTCAYCLBHP-QMMMGPOBSA-N -1 1 306.297 1.612 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccccc2F)n1 ZINC000603166644 359450987 /nfs/dbraw/zinc/45/09/87/359450987.db2.gz QLJPUTCAYCLBHP-QMMMGPOBSA-N -1 1 306.297 1.612 20 0 DDADMM Cc1[n-]c(S(=O)(=O)[C@H](C)C(=O)N(C)C)nc1-c1ccccc1 ZINC000186837341 200018988 /nfs/dbraw/zinc/01/89/88/200018988.db2.gz JWKFLOSGFZIBCY-LLVKDONJSA-N -1 1 321.402 1.636 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)C[C@@H]1C(C)(C)C1(F)F ZINC000603371380 359598487 /nfs/dbraw/zinc/59/84/87/359598487.db2.gz ORODJWKLQYYYPW-HTQZYQBOSA-N -1 1 317.329 1.098 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2ccc(C(F)(F)F)nc2)n1 ZINC000358960650 299292860 /nfs/dbraw/zinc/29/28/60/299292860.db2.gz SWLSVRCYMGMLHH-UHFFFAOYSA-N -1 1 321.284 1.582 20 0 DDADMM CCOC(=O)c1c([N-]S(=O)(=O)C[C@H]2CCCO2)ccn1C ZINC000603416508 359627819 /nfs/dbraw/zinc/62/78/19/359627819.db2.gz HAAZMZQLKBOMRY-SNVBAGLBSA-N -1 1 316.379 1.123 20 0 DDADMM CCc1oc(C(=O)OC)cc1S(=O)(=O)[N-][C@@H](C)C(F)F ZINC000603424918 359633208 /nfs/dbraw/zinc/63/32/08/359633208.db2.gz UHTSZNTUZXTGEV-LURJTMIESA-N -1 1 311.306 1.561 20 0 DDADMM O=c1nc(C2CCN(c3ccc(C(F)(F)F)cn3)CC2)[nH][n-]1 ZINC000281012224 216022432 /nfs/dbraw/zinc/02/24/32/216022432.db2.gz RAHXTKGRRMNDAS-UHFFFAOYSA-N -1 1 313.283 1.896 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1noc2c1CCCC2 ZINC000605530326 359865783 /nfs/dbraw/zinc/86/57/83/359865783.db2.gz KGAKXPGQDFMWHR-UHFFFAOYSA-N -1 1 302.338 1.266 20 0 DDADMM CCC(=O)N[C@H]1CCCN(C(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000607055461 359995778 /nfs/dbraw/zinc/99/57/78/359995778.db2.gz DBRCPQSUKPENMO-NSHDSACASA-N -1 1 322.434 1.270 20 0 DDADMM Cc1cccc(C)c1NCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000607910315 360067673 /nfs/dbraw/zinc/06/76/73/360067673.db2.gz DOADXKZQORJMRL-UHFFFAOYSA-N -1 1 314.393 1.814 20 0 DDADMM Cc1nc(-c2ccc(N3CCS(=O)CC3)nc2)[n-]c(=O)c1C ZINC000610276118 360406433 /nfs/dbraw/zinc/40/64/33/360406433.db2.gz RFHSCZCDGDEWID-UHFFFAOYSA-N -1 1 318.402 1.430 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)Cc1cccs1 ZINC000281239910 216176858 /nfs/dbraw/zinc/17/68/58/216176858.db2.gz SYGHZMANKVLOIZ-ZETCQYMHSA-N -1 1 301.393 1.536 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000281393789 216268625 /nfs/dbraw/zinc/26/86/25/216268625.db2.gz OMUAVBXCLICGHH-KCJUWKMLSA-N -1 1 310.737 1.616 20 0 DDADMM C[C@@H]1Cc2cc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)ccc2O1 ZINC000612814832 361154745 /nfs/dbraw/zinc/15/47/45/361154745.db2.gz FDYQCAXJXXEFPP-ZYHUDNBSSA-N -1 1 313.361 1.543 20 0 DDADMM COC(=O)c1ccc(C[N-]S(=O)(=O)c2cncc(F)c2)o1 ZINC000359095468 299326562 /nfs/dbraw/zinc/32/65/62/299326562.db2.gz MMMZGWGADNGZRP-UHFFFAOYSA-N -1 1 314.294 1.079 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cnnn1-c1ccccc1)C(F)F ZINC000625233715 366792858 /nfs/dbraw/zinc/79/28/58/366792858.db2.gz UIMJYAFHBMRVFX-JTQLQIEISA-N -1 1 316.333 1.589 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@H](CO)O1 ZINC000613859612 361607365 /nfs/dbraw/zinc/60/73/65/361607365.db2.gz ZOBFHRMMICYJOS-ZYHUDNBSSA-N -1 1 302.330 1.162 20 0 DDADMM CCC[C@@H](NC(=O)C(F)(F)c1ncccc1C)c1nn[n-]n1 ZINC000194730954 201278445 /nfs/dbraw/zinc/27/84/45/201278445.db2.gz MBRMJTRLDGNSAR-SECBINFHSA-N -1 1 310.308 1.653 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H](C)OC)C1CCCCC1 ZINC000619680366 364114202 /nfs/dbraw/zinc/11/42/02/364114202.db2.gz ONYJTSGUXJBLHS-CMPLNLGQSA-N -1 1 307.412 1.063 20 0 DDADMM C[C@H]1CN(C(=O)c2nn(-c3ccccc3F)cc2[O-])CCN1 ZINC000275522342 212393329 /nfs/dbraw/zinc/39/33/29/212393329.db2.gz KBFDYLFRKLOWHG-JTQLQIEISA-N -1 1 304.325 1.151 20 0 DDADMM CC(C)C(=O)NCCCNC(=O)c1c(F)ccc([O-])c1F ZINC000349091613 283839423 /nfs/dbraw/zinc/83/94/23/283839423.db2.gz CLUDFXOLEIVEBR-UHFFFAOYSA-N -1 1 300.305 1.563 20 0 DDADMM CCNc1ncc(C(=O)N=c2nc(CC(C)C)[n-]s2)s1 ZINC000622163791 365297243 /nfs/dbraw/zinc/29/72/43/365297243.db2.gz IXFVFBOVPWXPAX-UHFFFAOYSA-N -1 1 311.436 1.721 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](C)[C@](C)(CO)C3)c[n-]c2[nH+]1 ZINC000622180950 365317252 /nfs/dbraw/zinc/31/72/52/365317252.db2.gz BESGTQHSOJWDIC-BTDLBPIBSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H](C)[C@](C)(CO)C3)cnc2n1 ZINC000622180950 365317257 /nfs/dbraw/zinc/31/72/57/365317257.db2.gz BESGTQHSOJWDIC-BTDLBPIBSA-N -1 1 315.373 1.734 20 0 DDADMM CN(Cc1cnc[nH]1)C(=O)c1ccccc1[N-]S(C)(=O)=O ZINC000622745837 365520644 /nfs/dbraw/zinc/52/06/44/365520644.db2.gz XUVOGYTWROVGRM-UHFFFAOYSA-N -1 1 308.363 1.053 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)c2nccn2c1 ZINC000625706528 367100717 /nfs/dbraw/zinc/10/07/17/367100717.db2.gz GIVAUBZEIPPOMJ-UHFFFAOYSA-N -1 1 311.349 1.355 20 0 DDADMM O=C([C@@H]1[C@@H]2Cc3ccccc3[C@@H]21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000626238209 367433251 /nfs/dbraw/zinc/43/32/51/367433251.db2.gz ZAFDNOHSWPCTFS-REBRKWNGSA-N -1 1 309.373 1.492 20 0 DDADMM CCN(CCNc1ccc(C(=O)[O-])nn1)CCC(F)(F)F ZINC000566291525 304139672 /nfs/dbraw/zinc/13/96/72/304139672.db2.gz LGJGWOATBYNVQB-UHFFFAOYSA-N -1 1 306.288 1.283 20 0 DDADMM C[C@H](CCNC(=O)c1csc(=NC2CC2)[n-]1)[S@@](C)=O ZINC000349775258 284048176 /nfs/dbraw/zinc/04/81/76/284048176.db2.gz ILTHIVXUEBUIGI-LILOVNGRSA-N -1 1 301.437 1.026 20 0 DDADMM Cc1nc([C@@H](C)NC(=O)N=c2ccc(-c3ccccc3)n[n-]2)n[nH]1 ZINC000349905495 284101563 /nfs/dbraw/zinc/10/15/63/284101563.db2.gz TZJDDXLFINCZIR-SNVBAGLBSA-N -1 1 323.360 1.875 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CC[C@H](OC)C2)o1 ZINC000350001798 284130668 /nfs/dbraw/zinc/13/06/68/284130668.db2.gz GZKXUIXPSBPANV-UWVGGRQHSA-N -1 1 317.363 1.302 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000262485787 203307665 /nfs/dbraw/zinc/30/76/65/203307665.db2.gz KIYLSVFEODFSAF-HNNXBMFYSA-N -1 1 302.334 1.531 20 0 DDADMM O=C(NCC1CC1)[C@@H]1CSCN1C(=O)c1cc(F)ccc1[O-] ZINC000094075521 193344286 /nfs/dbraw/zinc/34/42/86/193344286.db2.gz MOSGQZSRKCGONP-LBPRGKRZSA-N -1 1 324.377 1.573 20 0 DDADMM CCC(CC)(NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000094240556 193354644 /nfs/dbraw/zinc/35/46/44/193354644.db2.gz UJDWNZIBQVAMIM-UHFFFAOYSA-N -1 1 307.318 1.887 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)CC1(O)CCCCCC1 ZINC000377860249 307277729 /nfs/dbraw/zinc/27/77/29/307277729.db2.gz LSAQAKCYRAHUQU-UHFFFAOYSA-N -1 1 317.411 1.101 20 0 DDADMM O=C([O-])c1cccc(NS(=O)(=O)[C@@H]2CCCOC2)c1O ZINC000274877408 212002227 /nfs/dbraw/zinc/00/22/27/212002227.db2.gz BYNITZIFKOXTDO-MRVPVSSYSA-N -1 1 301.320 1.011 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H](CC(C)C)NC(C)=O)c1 ZINC000282515872 217055616 /nfs/dbraw/zinc/05/56/16/217055616.db2.gz AVDVWVBXUIPSKL-ZDUSSCGKSA-N -1 1 322.361 1.668 20 0 DDADMM O=C(NC[C@H]1COC2(CCCC2)O1)C(=O)c1ccc([O-])cc1 ZINC000288254307 220046391 /nfs/dbraw/zinc/04/63/91/220046391.db2.gz BOUJPWVHTJMGCH-ZDUSSCGKSA-N -1 1 305.330 1.377 20 0 DDADMM COc1cccc(CC(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282955665 217351039 /nfs/dbraw/zinc/35/10/39/217351039.db2.gz QXKGXLOGFNIRIY-GFCCVEGCSA-N -1 1 316.361 1.055 20 0 DDADMM CO[C@@H](C)c1noc(CN(C)C(=O)c2cc(F)ccc2[O-])n1 ZINC000267501924 206109376 /nfs/dbraw/zinc/10/93/76/206109376.db2.gz JBQVXNVIICJNNU-QMMMGPOBSA-N -1 1 309.297 1.894 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2cc(Br)ccc2[O-])C(=O)O1 ZINC000267881329 206317690 /nfs/dbraw/zinc/31/76/90/206317690.db2.gz WQJQSEVYKMFYQM-IMTBSYHQSA-N -1 1 314.135 1.589 20 0 DDADMM CCCNC(=O)NC(=O)CSc1nc(C(F)F)cc(=O)[n-]1 ZINC000351882261 284610336 /nfs/dbraw/zinc/61/03/36/284610336.db2.gz MITADELTZZQQLN-UHFFFAOYSA-N -1 1 320.321 1.448 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H](CO)C1)c1cc(F)ccc1F ZINC000336843397 249249222 /nfs/dbraw/zinc/24/92/22/249249222.db2.gz WWGOSAOZDBDAGI-ONGXEEELSA-N -1 1 305.346 1.794 20 0 DDADMM COc1cncc(S(=O)(=O)Nc2ccc(C(=O)[O-])cc2)c1 ZINC000337144527 249363979 /nfs/dbraw/zinc/36/39/79/249363979.db2.gz WPZVPKDIQJRJTR-UHFFFAOYSA-N -1 1 308.315 1.589 20 0 DDADMM O=C(N[C@H]1CC[S@@](=O)C1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338387164 249939625 /nfs/dbraw/zinc/93/96/25/249939625.db2.gz JREJUAWIMPUSQC-JLTPVHFHSA-N -1 1 324.789 1.845 20 0 DDADMM Cc1noc([C@H]2CN(C(=O)c3cc(F)ccc3[O-])CCN2C)n1 ZINC000284743660 218160257 /nfs/dbraw/zinc/16/02/57/218160257.db2.gz UXAYEEIUJUCETL-GFCCVEGCSA-N -1 1 320.324 1.352 20 0 DDADMM CCOc1cc(C(=O)NCCCOCCO)cc(Cl)c1[O-] ZINC000273911908 211166648 /nfs/dbraw/zinc/16/66/48/211166648.db2.gz ZNCUPIVFDHTBHK-UHFFFAOYSA-N -1 1 317.769 1.573 20 0 DDADMM CC[C@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccncc1 ZINC000352056204 284743928 /nfs/dbraw/zinc/74/39/28/284743928.db2.gz AHDVAYXOLPFKRZ-VIFPVBQESA-N -1 1 304.306 1.173 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@H](O)C[C@H]1c1ccc(F)cc1 ZINC000225566088 296290204 /nfs/dbraw/zinc/29/02/04/296290204.db2.gz LDXCNIYEKCCKFV-CABCVRRESA-N -1 1 302.305 1.874 20 0 DDADMM COCC[C@]1([N-]S(=O)(=O)c2sccc2F)CCOC1 ZINC000338971237 250225978 /nfs/dbraw/zinc/22/59/78/250225978.db2.gz CVTZTYPTLWPBOF-NSHDSACASA-N -1 1 309.384 1.361 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCC[C@@H]2F)s1 ZINC000339117666 250302016 /nfs/dbraw/zinc/30/20/16/250302016.db2.gz BWJLRQYXVGISHJ-YUMQZZPRSA-N -1 1 307.368 1.704 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)C[C@H]1CCC[C@@H]1O ZINC000339187327 250333547 /nfs/dbraw/zinc/33/35/47/250333547.db2.gz XRVVHVIPLWZSGE-ZJUUUORDSA-N -1 1 311.407 1.446 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])c1ncc(Br)cn1 ZINC000352084387 284767450 /nfs/dbraw/zinc/76/74/50/284767450.db2.gz UQFQKWKVAZJJLL-SSDOTTSWSA-N -1 1 323.150 1.831 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@H]2C=CCC2)c1 ZINC000359679199 299512401 /nfs/dbraw/zinc/51/24/01/299512401.db2.gz IVPQUQJNAXRZQQ-JTQLQIEISA-N -1 1 310.375 1.595 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](c1ccccc1)C1CC1 ZINC000352128514 284807415 /nfs/dbraw/zinc/80/74/15/284807415.db2.gz FSMKQYRCIAGBCO-NSHDSACASA-N -1 1 315.329 1.778 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCC(=O)c2ccccc21)c1nn[n-]n1 ZINC000285331183 218384639 /nfs/dbraw/zinc/38/46/39/218384639.db2.gz QRFYTVHKMNRKFS-QWHCGFSZSA-N -1 1 313.361 1.917 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)[C@H]1OCCc2sccc21 ZINC000633189443 422797084 /nfs/dbraw/zinc/79/70/84/422797084.db2.gz VCEKHTHCKQFBEJ-AMIZOPFISA-N -1 1 307.379 1.013 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2CC(C)(C)C2)c1 ZINC000359700688 299517786 /nfs/dbraw/zinc/51/77/86/299517786.db2.gz QNJKAJMZOYQBAW-UHFFFAOYSA-N -1 1 312.391 1.675 20 0 DDADMM COc1ccc(C(=O)NCC(=O)Nc2ccc(F)cc2[O-])cc1 ZINC000340331907 251012193 /nfs/dbraw/zinc/01/21/93/251012193.db2.gz DSMUCWWGKADDAK-UHFFFAOYSA-N -1 1 318.304 1.908 20 0 DDADMM CC(C)[C@H](O)CNC(=O)c1ccc(Br)cc1[O-] ZINC000157550481 197195978 /nfs/dbraw/zinc/19/59/78/197195978.db2.gz GEBPDYCWKJHFLI-LLVKDONJSA-N -1 1 302.168 1.901 20 0 DDADMM CC(C)(NC(=O)c1ccc2c(c1)NC(=O)C2(C)C)c1nn[n-]n1 ZINC000340745403 251189108 /nfs/dbraw/zinc/18/91/08/251189108.db2.gz YMMGOCFAWKGCGC-UHFFFAOYSA-N -1 1 314.349 1.095 20 0 DDADMM O=C([O-])c1cncc(NS(=O)(=O)c2cc(F)cc(F)c2)c1 ZINC000062947019 184261648 /nfs/dbraw/zinc/26/16/48/184261648.db2.gz TVFCERRUPQBNAQ-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM Cn1cc([C@@H]2CN(C(=O)c3ncccc3[O-])CC2(C)C)cn1 ZINC000579610050 422800665 /nfs/dbraw/zinc/80/06/65/422800665.db2.gz PZKNDYXZCHHRIN-LBPRGKRZSA-N -1 1 300.362 1.787 20 0 DDADMM COCCN(Cc1c(C)nn(C)c1C)C(=O)c1ncccc1[O-] ZINC000457255298 284994430 /nfs/dbraw/zinc/99/44/30/284994430.db2.gz HUDMVSAJHNNMAA-UHFFFAOYSA-N -1 1 318.377 1.426 20 0 DDADMM COC1CCC(C)(CN=c2ccc(C(=O)N(C)C)n[n-]2)CC1 ZINC000413270207 533034443 /nfs/dbraw/zinc/03/44/43/533034443.db2.gz QLPPWGMAJNRTNA-UHFFFAOYSA-N -1 1 306.410 1.608 20 0 DDADMM COc1cccc(-c2cc(C(=O)[N-]N3CCCNC3=O)n[nH]2)c1 ZINC000272985128 210365264 /nfs/dbraw/zinc/36/52/64/210365264.db2.gz VRVBOJXBXPULQF-UHFFFAOYSA-N -1 1 315.333 1.145 20 0 DDADMM CO[C@H](C)c1nc(=N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)s[n-]1 ZINC000328825016 533121145 /nfs/dbraw/zinc/12/11/45/533121145.db2.gz SGBYUQYZYBMXNF-UTUOFQBUSA-N -1 1 324.450 1.870 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C)C[C@H](C)C2)co1 ZINC000157517353 197192736 /nfs/dbraw/zinc/19/27/36/197192736.db2.gz UMICDUPMUOUHNB-UWVGGRQHSA-N -1 1 300.380 1.306 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2(C3CC3)CCC2)o1 ZINC000157454943 197188843 /nfs/dbraw/zinc/18/88/43/197188843.db2.gz CEBGWPFDFOYPTF-UHFFFAOYSA-N -1 1 313.375 1.925 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CCN1CC(F)(F)F ZINC000156002582 197080445 /nfs/dbraw/zinc/08/04/45/197080445.db2.gz UQBSWAATUTUEQX-SECBINFHSA-N -1 1 303.284 1.496 20 0 DDADMM CCN(CC)CCS(=O)(=O)[N-][C@H](C1CC1)C(F)(F)F ZINC000352711923 285247339 /nfs/dbraw/zinc/24/73/39/285247339.db2.gz YGEPDRPNRJTMME-SNVBAGLBSA-N -1 1 302.362 1.589 20 0 DDADMM COCCCOCCN(C)C(=O)c1c(F)ccc([O-])c1F ZINC000289561865 221069569 /nfs/dbraw/zinc/06/95/69/221069569.db2.gz YRCPWRFEHVYCNY-UHFFFAOYSA-N -1 1 303.305 1.796 20 0 DDADMM COC[C@@H]1C[C@H](O)CN1C(=O)c1ccc2ccccc2c1[O-] ZINC000413910238 224272994 /nfs/dbraw/zinc/27/29/94/224272994.db2.gz VNURRDABNNQXDN-STQMWFEESA-N -1 1 301.342 1.767 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1cnc(CC(C)C)nc1 ZINC000414381801 224330171 /nfs/dbraw/zinc/33/01/71/224330171.db2.gz NBABZZUZPUIMLM-UHFFFAOYSA-N -1 1 301.412 1.842 20 0 DDADMM CCNC(=O)C1(CNC(=O)c2c(F)ccc([O-])c2F)CCC1 ZINC000290003141 221373849 /nfs/dbraw/zinc/37/38/49/221373849.db2.gz DCYKJLBVXCMXID-UHFFFAOYSA-N -1 1 312.316 1.707 20 0 DDADMM COC(=O)[C@H](CC1CC1)NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000412515070 533255364 /nfs/dbraw/zinc/25/53/64/533255364.db2.gz HCPLYMGGMZCNIK-JTQLQIEISA-N -1 1 309.391 1.211 20 0 DDADMM CC(C)OCC[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352937234 285397294 /nfs/dbraw/zinc/39/72/94/285397294.db2.gz CFXLOPJESDVEJY-UHFFFAOYSA-N -1 1 312.185 1.745 20 0 DDADMM CCSc1cccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000353426441 285724175 /nfs/dbraw/zinc/72/41/75/285724175.db2.gz JDWYSWMSHZUYHR-UHFFFAOYSA-N -1 1 315.358 1.782 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCCc2c(F)cc(F)cc21 ZINC000633242061 422821907 /nfs/dbraw/zinc/82/19/07/422821907.db2.gz WTBBNYNTAMWLRR-LBPRGKRZSA-N -1 1 307.304 1.604 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1c(F)cc(F)cc1F)N(C)C1CC1 ZINC000069128977 406682684 /nfs/dbraw/zinc/68/26/84/406682684.db2.gz CMSNCWHFUGORMC-MRVPVSSYSA-N -1 1 322.352 1.865 20 0 DDADMM CCc1cc(C(=O)[N-]n2cnc3sc(C)c(C)c3c2=O)n[nH]1 ZINC000032271565 406946822 /nfs/dbraw/zinc/94/68/22/406946822.db2.gz XOMNJNRPCSNTNG-UHFFFAOYSA-N -1 1 317.374 1.744 20 0 DDADMM Cn1cc(CC[N-]S(=O)(=O)c2ccc(F)c(F)c2F)cn1 ZINC000042745135 407014513 /nfs/dbraw/zinc/01/45/13/407014513.db2.gz PJVLLVVUFOVRHM-UHFFFAOYSA-N -1 1 319.308 1.358 20 0 DDADMM CC(C)(NC(=O)c1ccc(Br)cc1[O-])C(N)=O ZINC000045110008 407040147 /nfs/dbraw/zinc/04/01/47/407040147.db2.gz PCVSDRZGSMKSHE-UHFFFAOYSA-N -1 1 301.140 1.148 20 0 DDADMM O=C(c1ccc([O-])c(Cl)c1)N1CCN(C[C@H]2CCOC2)CC1 ZINC000085635404 407103514 /nfs/dbraw/zinc/10/35/14/407103514.db2.gz VSRCQSFBPVVXOV-GFCCVEGCSA-N -1 1 324.808 1.840 20 0 DDADMM CC(C)c1ccccc1NC(=O)[C@@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000086170008 407108544 /nfs/dbraw/zinc/10/85/44/407108544.db2.gz UFVVVQACIHXPQA-SNVBAGLBSA-N -1 1 322.390 1.729 20 0 DDADMM CC(C)c1ccccc1NC(=O)[C@@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000086170008 407108547 /nfs/dbraw/zinc/10/85/47/407108547.db2.gz UFVVVQACIHXPQA-SNVBAGLBSA-N -1 1 322.390 1.729 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc(Br)cc2[O-])C[C@@H]1O ZINC000089425927 407134592 /nfs/dbraw/zinc/13/45/92/407134592.db2.gz QFVQDDNGFLTRQE-PELKAZGASA-N -1 1 314.179 1.998 20 0 DDADMM C[C@@H]1CCCC[N@H+]1CCNC(=O)c1nc2ccccc2c(=O)[nH]1 ZINC000107324116 407372890 /nfs/dbraw/zinc/37/28/90/407372890.db2.gz KPPITDQKOCQBSU-GFCCVEGCSA-N -1 1 314.389 1.527 20 0 DDADMM CCCCN(C(=O)c1cncc([O-])c1)[C@@H]1CCS(=O)(=O)C1 ZINC000109042355 407394327 /nfs/dbraw/zinc/39/43/27/407394327.db2.gz CKLJJUQMPHNGHR-GFCCVEGCSA-N -1 1 312.391 1.217 20 0 DDADMM O=C(CC1CCCCC1)NCCNC(=O)c1cncc([O-])c1 ZINC000111592526 407411934 /nfs/dbraw/zinc/41/19/34/407411934.db2.gz GYJFIYQLZKFVSR-UHFFFAOYSA-N -1 1 305.378 1.604 20 0 DDADMM CC1(C)OCC(C)(C(=O)Nc2nc(-c3ccccn3)n[nH]2)CO1 ZINC000271090747 407508390 /nfs/dbraw/zinc/50/83/90/407508390.db2.gz HXZSISLEZFDGNI-UHFFFAOYSA-N -1 1 317.349 1.594 20 0 DDADMM C[C@@H]1C[C@H](C[N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000271249446 407597300 /nfs/dbraw/zinc/59/73/00/407597300.db2.gz HHWKYPMPWVIJBL-RKDXNWHRSA-N -1 1 307.803 1.167 20 0 DDADMM Cc1c2cccc(C)c2oc1C(=O)[N-]N1CC(=O)N(C)C1=O ZINC000271359391 407649958 /nfs/dbraw/zinc/64/99/58/407649958.db2.gz PMEDPHXQEBENQT-UHFFFAOYSA-N -1 1 301.302 1.588 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2ccc(C)nc2)c1 ZINC000152954002 407722656 /nfs/dbraw/zinc/72/26/56/407722656.db2.gz KPEUJXHQCDMRDU-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM CCNC(=O)CNC(=O)c1cc(Cl)c([O-])c(OCC)c1 ZINC000186422628 407663542 /nfs/dbraw/zinc/66/35/42/407663542.db2.gz MQNJRHJZLXFYFW-UHFFFAOYSA-N -1 1 300.742 1.310 20 0 DDADMM O=C([O-])CCCN1CCN(C(=O)c2cc3ccccc3[nH]2)CC1 ZINC000116390176 407749631 /nfs/dbraw/zinc/74/96/31/407749631.db2.gz KUPAHBOJDCWYIG-UHFFFAOYSA-N -1 1 315.373 1.791 20 0 DDADMM CNS(=O)(=O)c1csc(C(=O)[N-]c2c(C)noc2C)c1 ZINC000267164844 407772099 /nfs/dbraw/zinc/77/20/99/407772099.db2.gz RISWQTLWSBBUTA-UHFFFAOYSA-N -1 1 315.376 1.513 20 0 DDADMM C[C@@H](c1ccccn1)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179459440 407792634 /nfs/dbraw/zinc/79/26/34/407792634.db2.gz RWNDDEJAXSRGCX-JTQLQIEISA-N -1 1 311.345 1.902 20 0 DDADMM C[S@](=O)CCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000116902035 407795871 /nfs/dbraw/zinc/79/58/71/407795871.db2.gz UPDDPFAWYYNKPP-GOSISDBHSA-N -1 1 315.338 1.151 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCS1 ZINC000179525718 407802959 /nfs/dbraw/zinc/80/29/59/407802959.db2.gz ARESKNXWTAFVSN-GFCCVEGCSA-N -1 1 320.418 1.888 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)Cc2ccc(F)cc2)c1 ZINC000179538822 407803746 /nfs/dbraw/zinc/80/37/46/407803746.db2.gz AMEPDUIRCKWOHC-UHFFFAOYSA-N -1 1 324.333 1.360 20 0 DDADMM COc1n[nH]c(NC(=O)c2cc(Br)ccc2[O-])n1 ZINC000179702139 407826072 /nfs/dbraw/zinc/82/60/72/407826072.db2.gz FRBRHYGAQHPWPG-UHFFFAOYSA-N -1 1 313.111 1.534 20 0 DDADMM O=C([O-])[C@H]1CCN(CC(=O)Nc2ccc(OC(F)F)cc2)C1 ZINC000262603598 407879289 /nfs/dbraw/zinc/87/92/89/407879289.db2.gz AKZKXTKGYODBPP-VIFPVBQESA-N -1 1 314.288 1.633 20 0 DDADMM O=C(NCc1ccccc1OCCO)c1c([O-])cccc1F ZINC000187855614 407899431 /nfs/dbraw/zinc/89/94/31/407899431.db2.gz PLIVKQCWDZIOPQ-UHFFFAOYSA-N -1 1 305.305 1.832 20 0 DDADMM O=C(NCCNC(=O)c1ccc([O-])cc1F)c1ccc(O)cc1 ZINC000181146031 407957862 /nfs/dbraw/zinc/95/78/62/407957862.db2.gz PKLGIQRXBRCZRO-UHFFFAOYSA-N -1 1 318.304 1.397 20 0 DDADMM CN1CCC[C@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000135137258 407979707 /nfs/dbraw/zinc/97/97/07/407979707.db2.gz WFRBXSXEHHYERL-ZETCQYMHSA-N -1 1 300.156 1.582 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCCC2(C)C)c1 ZINC000153902569 407928879 /nfs/dbraw/zinc/92/88/79/407928879.db2.gz AFDYQAIFTHOZCO-SNVBAGLBSA-N -1 1 301.364 1.923 20 0 DDADMM O=C(Nc1nnn[n-]1)C1(c2ccc(Br)cc2)CC1 ZINC000118685733 407936004 /nfs/dbraw/zinc/93/60/04/407936004.db2.gz QLRYDIVPUICFCY-UHFFFAOYSA-N -1 1 308.139 1.633 20 0 DDADMM O=C(Nc1nn[n-]n1)C1(c2ccc(Br)cc2)CC1 ZINC000118685733 407936009 /nfs/dbraw/zinc/93/60/09/407936009.db2.gz QLRYDIVPUICFCY-UHFFFAOYSA-N -1 1 308.139 1.633 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC[C@H]2CCCCO2)o1 ZINC000272476984 407940563 /nfs/dbraw/zinc/94/05/63/407940563.db2.gz XXSRPUIBDOIRKL-SNVBAGLBSA-N -1 1 317.363 1.304 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@@H](C)n2nc(C)cc2C)n[nH]1 ZINC000181751609 408034853 /nfs/dbraw/zinc/03/48/53/408034853.db2.gz NZSZNMRCEWWKHW-SNVBAGLBSA-N -1 1 305.338 1.599 20 0 DDADMM CNC(=O)CCN(C)c1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000174725030 407983864 /nfs/dbraw/zinc/98/38/64/407983864.db2.gz DVFTWZRUPJHGBQ-UHFFFAOYSA-N -1 1 315.377 1.021 20 0 DDADMM CCC1(CC)CN(C(=O)c2cccc3nn[nH]c32)CC[S@@]1=O ZINC000188859226 407984190 /nfs/dbraw/zinc/98/41/90/407984190.db2.gz UKZFAQRYOYMDOV-QFIPXVFZSA-N -1 1 320.418 1.721 20 0 DDADMM CC[C@@]1(C)CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000135280957 407998031 /nfs/dbraw/zinc/99/80/31/407998031.db2.gz MFZHXLDQPKOKDN-HNNXBMFYSA-N -1 1 304.350 1.315 20 0 DDADMM CC[C@H](Oc1ccccc1C)C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000175413800 408125031 /nfs/dbraw/zinc/12/50/31/408125031.db2.gz HPXRUJDYRPFGGG-LBPRGKRZSA-N -1 1 318.377 1.313 20 0 DDADMM Cc1cnccc1CCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119434707 408070768 /nfs/dbraw/zinc/07/07/68/408070768.db2.gz SWIXHEOSVHGUBW-UHFFFAOYSA-N -1 1 311.345 1.350 20 0 DDADMM COCc1nsc([N-]C(=O)c2csc(COC)n2)n1 ZINC000273161085 408086799 /nfs/dbraw/zinc/08/67/99/408086799.db2.gz ZXYMQPNDVFOASO-UHFFFAOYSA-N -1 1 300.365 1.540 20 0 DDADMM CC(C)OCCCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000273165100 408089031 /nfs/dbraw/zinc/08/90/31/408089031.db2.gz BUFOGHZHCXASRZ-UHFFFAOYSA-N -1 1 316.379 1.183 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccnc(-n2cccn2)c1 ZINC000119571097 408097199 /nfs/dbraw/zinc/09/71/99/408097199.db2.gz BSRRINWCYUZVRQ-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM CN1CCN(Cc2cc(=O)oc3cc([O-])ccc23)C(C)(C)C1=O ZINC000182450645 408165262 /nfs/dbraw/zinc/16/52/62/408165262.db2.gz NNDHJYUZCFEGDJ-UHFFFAOYSA-N -1 1 316.357 1.551 20 0 DDADMM C[C@H](Cc1cccc(F)c1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155485337 408170291 /nfs/dbraw/zinc/17/02/91/408170291.db2.gz TUTSJEYMWZIABK-DGCLKSJQSA-N -1 1 317.368 1.924 20 0 DDADMM CCOc1ccc(C(C)=O)cc1CS(=O)(=O)c1ncn[n-]1 ZINC000175627957 408176968 /nfs/dbraw/zinc/17/69/68/408176968.db2.gz HVCPHUIPAJMPRR-UHFFFAOYSA-N -1 1 309.347 1.380 20 0 DDADMM CCOc1ccc(C(C)=O)cc1CS(=O)(=O)c1nc[n-]n1 ZINC000175627957 408176976 /nfs/dbraw/zinc/17/69/76/408176976.db2.gz HVCPHUIPAJMPRR-UHFFFAOYSA-N -1 1 309.347 1.380 20 0 DDADMM CCOC(=O)C[C@H](C)N(C)Cc1nc(=O)c2sccc2[n-]1 ZINC000182625622 408208325 /nfs/dbraw/zinc/20/83/25/408208325.db2.gz AYDYNENQOOPTQX-VIFPVBQESA-N -1 1 309.391 1.758 20 0 DDADMM CCn1nc(C)c(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)c1C ZINC000274244190 408339778 /nfs/dbraw/zinc/33/97/78/408339778.db2.gz WWGUIVMTQNGBEY-UHFFFAOYSA-N -1 1 324.410 1.706 20 0 DDADMM CC(C)[C@H]1OCC[C@@H]1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132823157 162045624 /nfs/dbraw/zinc/04/56/24/162045624.db2.gz FGAQBZUFSBHCAW-QMTHXVAHSA-N -1 1 318.377 1.465 20 0 DDADMM Cc1sc2[nH]c(CCC(=O)Nc3nnn[n-]3)nc(=O)c2c1C ZINC000264051203 408354255 /nfs/dbraw/zinc/35/42/55/408354255.db2.gz YGQWYONVHQIBNQ-UHFFFAOYSA-N -1 1 319.350 1.098 20 0 DDADMM Cc1sc2[nH]c(CCC(=O)Nc3nn[n-]n3)nc(=O)c2c1C ZINC000264051203 408354258 /nfs/dbraw/zinc/35/42/58/408354258.db2.gz YGQWYONVHQIBNQ-UHFFFAOYSA-N -1 1 319.350 1.098 20 0 DDADMM O=C(NCCNC(=O)c1c(F)cccc1F)c1cncc([O-])c1 ZINC000171857208 162244382 /nfs/dbraw/zinc/24/43/82/162244382.db2.gz BOFOTPPARRNXMB-UHFFFAOYSA-N -1 1 321.283 1.225 20 0 DDADMM CCC(CC)c1cc(C(=O)[N-]c2ncn(CC(=O)NC)n2)on1 ZINC000172671389 162293073 /nfs/dbraw/zinc/29/30/73/162293073.db2.gz MLGNWVSIRFFPQN-UHFFFAOYSA-N -1 1 320.353 1.168 20 0 DDADMM CC[C@H](Oc1ccccc1F)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183407851 408400141 /nfs/dbraw/zinc/40/01/41/408400141.db2.gz SCHLFDGUXJNMMG-PWSUYJOCSA-N -1 1 321.356 1.758 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1SCCc2sccc21)c1nn[n-]n1 ZINC000183437503 408411099 /nfs/dbraw/zinc/41/10/99/408411099.db2.gz NIRKPWRGVNRVPH-GZMMTYOYSA-N -1 1 323.447 1.854 20 0 DDADMM C[C@@H](OC1CCCCCC1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183454736 408415600 /nfs/dbraw/zinc/41/56/00/408415600.db2.gz JQPQTBZEBOVXSA-NWDGAFQWSA-N -1 1 309.414 1.890 20 0 DDADMM CC[C@@H](C(=O)[O-])N1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC000191402398 408420991 /nfs/dbraw/zinc/42/09/91/408420991.db2.gz MWXBQMHCYWRWBJ-ZDUSSCGKSA-N -1 1 310.781 1.961 20 0 DDADMM CCC[C@@H](NC(=O)CNC(=O)CCC1CCCC1)c1nn[n-]n1 ZINC000176776143 408440581 /nfs/dbraw/zinc/44/05/81/408440581.db2.gz SPVRIJSIIKAILG-GFCCVEGCSA-N -1 1 322.413 1.244 20 0 DDADMM Cc1nc(CNC(=O)c2ccc(Br)c([O-])c2)no1 ZINC000159892637 408440576 /nfs/dbraw/zinc/44/05/76/408440576.db2.gz LEBGIIYLTIDHHW-UHFFFAOYSA-N -1 1 312.123 1.776 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@](C)(O)[C@@H](C)c1ccccc1)c1nn[n-]n1 ZINC000274784413 408531676 /nfs/dbraw/zinc/53/16/76/408531676.db2.gz OMRMGGDQXBQWSB-WQGACYEGSA-N -1 1 317.393 1.316 20 0 DDADMM CC[N@@H+](CCNS(=O)(=O)c1cncc(Cl)c1)C1CC1 ZINC000269932263 408472316 /nfs/dbraw/zinc/47/23/16/408472316.db2.gz CYQWRSVRAMCZIS-UHFFFAOYSA-N -1 1 303.815 1.498 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cn(C)nc1C(C)(C)C)c1nn[n-]n1 ZINC000274803696 408537742 /nfs/dbraw/zinc/53/77/42/408537742.db2.gz TYFCGMBUYCMGIS-VIFPVBQESA-N -1 1 305.386 1.106 20 0 DDADMM CC(C)c1noc([C@@H](NC(=O)c2cnn[nH]2)C2CCOCC2)n1 ZINC000275643320 408629671 /nfs/dbraw/zinc/62/96/71/408629671.db2.gz MXRPARYAAAMSIC-NSHDSACASA-N -1 1 320.353 1.209 20 0 DDADMM CC(C)n1cnnc1[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000265403739 408636553 /nfs/dbraw/zinc/63/65/53/408636553.db2.gz LIZSPMNNORFMNW-LBPRGKRZSA-N -1 1 315.377 1.979 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(C)noc1C)[C@H](O)C(F)(F)F ZINC000270861270 408714392 /nfs/dbraw/zinc/71/43/92/408714392.db2.gz QZHSQYUSKDABNY-CBAPKCEASA-N -1 1 316.301 1.272 20 0 DDADMM CC(C)(C)C[C@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000162994880 408751829 /nfs/dbraw/zinc/75/18/29/408751829.db2.gz XTTVLEWVCUBYIX-SNVBAGLBSA-N -1 1 303.362 1.450 20 0 DDADMM CC(C)c1cc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)[nH]n1 ZINC000178027543 408761817 /nfs/dbraw/zinc/76/18/17/408761817.db2.gz KOGXQFYOKOVZJT-UHFFFAOYSA-N -1 1 308.363 1.433 20 0 DDADMM CO[C@H]1COC[C@@H]1NC(=O)c1cc(Br)ccc1[O-] ZINC000291521954 408879512 /nfs/dbraw/zinc/87/95/12/408879512.db2.gz YIIPQWXQOQHCDR-ONGXEEELSA-N -1 1 316.151 1.298 20 0 DDADMM Cn1cncc1[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCO1 ZINC000276164108 408823760 /nfs/dbraw/zinc/82/37/60/408823760.db2.gz GEAPFYCMCOYWNS-GXFFZTMASA-N -1 1 302.334 1.171 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@H]1[C@@H]1CCCO1 ZINC000285622475 408830634 /nfs/dbraw/zinc/83/06/34/408830634.db2.gz ARAYUQZOTYEGIA-RYUDHWBXSA-N -1 1 318.402 1.802 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@H]1C ZINC000280915748 408858353 /nfs/dbraw/zinc/85/83/53/408858353.db2.gz FYLFXPPLPQIXPD-GXFFZTMASA-N -1 1 305.330 1.233 20 0 DDADMM NC(=O)C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C1CCCCC1 ZINC000280928742 408860100 /nfs/dbraw/zinc/86/01/00/408860100.db2.gz KFUNKGIANHWFHA-CQSZACIVSA-N -1 1 318.373 1.515 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(OC)c(OC)c1C)c1nn[n-]n1 ZINC000286044476 408913691 /nfs/dbraw/zinc/91/36/91/408913691.db2.gz AMDWQHDMRDMTON-NSHDSACASA-N -1 1 319.365 1.797 20 0 DDADMM CC(C)(C)[C@@H](O)C[C@@H](CO)NC(=O)c1ccc(Cl)cc1[O-] ZINC000286055945 408915960 /nfs/dbraw/zinc/91/59/60/408915960.db2.gz VTDWWBAXYMNSHO-GWCFXTLKSA-N -1 1 315.797 1.933 20 0 DDADMM CCOCc1nc([C@@H](C)NC(=O)c2cc(F)ccc2[O-])no1 ZINC000291811126 408926974 /nfs/dbraw/zinc/92/69/74/408926974.db2.gz HMRFAFRQUCMJDE-MRVPVSSYSA-N -1 1 309.297 1.942 20 0 DDADMM CCOc1cc(C(=O)N[C@H](CO)CCOC)cc(Cl)c1[O-] ZINC000281809460 408933947 /nfs/dbraw/zinc/93/39/47/408933947.db2.gz WTAIPIMXWOULIG-JTQLQIEISA-N -1 1 317.769 1.572 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCS2)c1 ZINC000291886879 408940948 /nfs/dbraw/zinc/94/09/48/408940948.db2.gz HTGJFVHRJJNWIO-NSHDSACASA-N -1 1 316.404 1.134 20 0 DDADMM O=C(NCC1(O)CCC1)c1ccc(Br)c([O-])c1 ZINC000228317326 163341417 /nfs/dbraw/zinc/34/14/17/163341417.db2.gz CGDHZFCQGBGGLM-UHFFFAOYSA-N -1 1 300.152 1.800 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@H](O)C1)c1ccc(F)c(F)c1F ZINC000228596428 163341927 /nfs/dbraw/zinc/34/19/27/163341927.db2.gz COHXETMXJKRTKQ-IUCAKERBSA-N -1 1 323.336 1.933 20 0 DDADMM O=C([N-]CC1CCN(C(=O)c2ccoc2)CC1)C(F)(F)F ZINC000281858612 408942196 /nfs/dbraw/zinc/94/21/96/408942196.db2.gz YPTZLDBDIUUUNT-UHFFFAOYSA-N -1 1 304.268 1.810 20 0 DDADMM CC(C)([N-]C(=O)C(F)(F)F)C(=O)Nc1ccc2[nH]nnc2c1 ZINC000277731977 409001188 /nfs/dbraw/zinc/00/11/88/409001188.db2.gz UPKIZNNMGGDTKN-UHFFFAOYSA-N -1 1 315.255 1.354 20 0 DDADMM CC[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@H](C)[S@@]1=O ZINC000286329843 408964608 /nfs/dbraw/zinc/96/46/08/408964608.db2.gz BHROINQHVHOUGW-HWBVIDEXSA-N -1 1 309.387 1.333 20 0 DDADMM CCC[C@]1(CO)CCCN1C(=O)c1cc(F)cc2nn[nH]c21 ZINC000282008901 408970906 /nfs/dbraw/zinc/97/09/06/408970906.db2.gz IMHMITSEWQHQPZ-OAHLLOKOSA-N -1 1 306.341 1.864 20 0 DDADMM COC(=O)C(C)(C)CCNC(=O)c1c(F)ccc([O-])c1F ZINC000286363946 408970929 /nfs/dbraw/zinc/97/09/29/408970929.db2.gz JOELAXXYQFYSCA-UHFFFAOYSA-N -1 1 301.289 1.990 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)C[C@@H](C)[S@]1=O ZINC000287633596 409067677 /nfs/dbraw/zinc/06/76/77/409067677.db2.gz ZSRJUJZOFMVOFY-LSMJOZQBSA-N -1 1 306.391 1.330 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H](C)C(C)C)c1 ZINC000292241154 409008966 /nfs/dbraw/zinc/00/89/66/409008966.db2.gz NWEUCFLEBNQLIN-VIFPVBQESA-N -1 1 300.380 1.531 20 0 DDADMM CC[C@H](C)CC(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000292255688 409010567 /nfs/dbraw/zinc/01/05/67/409010567.db2.gz PCBPUEYBWXXDRZ-VIFPVBQESA-N -1 1 300.380 1.675 20 0 DDADMM O=C(c1c([O-])cnc2ccc(Cl)cc21)N1CCNC(=O)CC1 ZINC000282391949 409046630 /nfs/dbraw/zinc/04/66/30/409046630.db2.gz DRRFHUXOVUGIOF-UHFFFAOYSA-N -1 1 319.748 1.556 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC000287958036 409122346 /nfs/dbraw/zinc/12/23/46/409122346.db2.gz WZYLUXKUWTVAJH-HNNXBMFYSA-N -1 1 310.369 1.874 20 0 DDADMM CCN(CC)C(=O)[C@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000279128117 409154977 /nfs/dbraw/zinc/15/49/77/409154977.db2.gz BHGXBZFVEANHNO-GFCCVEGCSA-N -1 1 309.391 1.171 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)CCC(F)(F)F)s1 ZINC000284221310 409299060 /nfs/dbraw/zinc/29/90/60/409299060.db2.gz ISZXBKBLATZLBH-UHFFFAOYSA-N -1 1 321.371 1.632 20 0 DDADMM CCN(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284320398 409318562 /nfs/dbraw/zinc/31/85/62/409318562.db2.gz OEBLHKTVNMGOHV-MRVPVSSYSA-N -1 1 322.789 1.624 20 0 DDADMM COc1nc(C)cc(C)c1C[N@H+]1CCC[C@H](c2nc(=O)[nH][nH]2)C1 ZINC000289415846 409267147 /nfs/dbraw/zinc/26/71/47/409267147.db2.gz MEVBVAKAZXEJNE-LBPRGKRZSA-N -1 1 317.393 1.498 20 0 DDADMM O=C(NC[C@H](CO)[C@H]1CCOC1)c1cc2ccccc2cc1[O-] ZINC000280578726 409367128 /nfs/dbraw/zinc/36/71/28/409367128.db2.gz WQVVOQPZNCYGQO-LSDHHAIUSA-N -1 1 315.369 1.920 20 0 DDADMM CN(C(=O)c1cc(Br)ccc1[O-])C(C)(C)C(N)=O ZINC000295919831 409376906 /nfs/dbraw/zinc/37/69/06/409376906.db2.gz IUIUYLVXWOEDHM-UHFFFAOYSA-N -1 1 315.167 1.491 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC000285474039 409458318 /nfs/dbraw/zinc/45/83/18/409458318.db2.gz VEHPCSGORSBTMM-VIFPVBQESA-N -1 1 308.762 1.411 20 0 DDADMM COCc1cccc(NS(=O)(=O)c2cc(C(=O)[O-])n(C)c2)c1 ZINC000315096657 164018135 /nfs/dbraw/zinc/01/81/35/164018135.db2.gz BRNPJUYOHAGLDQ-UHFFFAOYSA-N -1 1 324.358 1.671 20 0 DDADMM NC(=O)CCOc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000316075397 164021053 /nfs/dbraw/zinc/02/10/53/164021053.db2.gz QCWUVNJPUJGGTA-UHFFFAOYSA-N -1 1 301.302 1.294 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)Nc2ccc(C(=O)[O-])cc2)c[nH]1 ZINC000361998930 164154959 /nfs/dbraw/zinc/15/49/59/164154959.db2.gz GSUPHAMSENWRMP-UHFFFAOYSA-N -1 1 324.314 1.300 20 0 DDADMM C[C@H]1CCN(C(=O)CCc2nn[n-]n2)[C@H]1c1cccc(F)c1 ZINC000631592249 422843496 /nfs/dbraw/zinc/84/34/96/422843496.db2.gz OSBZPLMTHROAEG-ZUZCIYMTSA-N -1 1 303.341 1.881 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cnc3nc(C)ccc3c2[O-])CCO1 ZINC000407983523 164188317 /nfs/dbraw/zinc/18/83/17/164188317.db2.gz QWLLKPFRYVBVFH-LLVKDONJSA-N -1 1 301.346 1.895 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)CCO1 ZINC000407983523 164188320 /nfs/dbraw/zinc/18/83/20/164188320.db2.gz QWLLKPFRYVBVFH-LLVKDONJSA-N -1 1 301.346 1.895 20 0 DDADMM C[C@H]1[C@H](C)N(C(=O)N=c2nc(C(C)(C)C)[n-]s2)CCN1C ZINC000408206585 164260048 /nfs/dbraw/zinc/26/00/48/164260048.db2.gz OZJAYCJTXZSTME-UWVGGRQHSA-N -1 1 311.455 1.814 20 0 DDADMM COC(=O)[C@H]1CC[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000296268209 409484857 /nfs/dbraw/zinc/48/48/57/409484857.db2.gz MEBSEDUFLHFQAS-WCBMZHEXSA-N -1 1 320.374 1.090 20 0 DDADMM CO[C@@H](C)c1nsc(=NCCCN2C[C@@H](C)O[C@@H](C)C2)[n-]1 ZINC000337920269 409567593 /nfs/dbraw/zinc/56/75/93/409567593.db2.gz YPRSMQGKXGPOTN-TUAOUCFPSA-N -1 1 314.455 1.579 20 0 DDADMM CO[C@@H](C)c1nc(=NCCCN2C[C@@H](C)O[C@@H](C)C2)s[n-]1 ZINC000337920269 409567602 /nfs/dbraw/zinc/56/76/02/409567602.db2.gz YPRSMQGKXGPOTN-TUAOUCFPSA-N -1 1 314.455 1.579 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H](NC(=O)c2csc(=NC3CC3)[n-]2)C1(C)C ZINC000344841360 409524311 /nfs/dbraw/zinc/52/43/11/409524311.db2.gz TZAMZSAWBURNFU-JFUSQASVSA-N -1 1 309.435 1.929 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H](NC(=O)c2csc(=NC3CC3)[n-]2)C1(C)C ZINC000344841362 409524402 /nfs/dbraw/zinc/52/44/02/409524402.db2.gz TZAMZSAWBURNFU-XXILOJSOSA-N -1 1 309.435 1.929 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H](NC(=O)c2csc(=NC3CC3)[n-]2)C1(C)C ZINC000344841361 409524733 /nfs/dbraw/zinc/52/47/33/409524733.db2.gz TZAMZSAWBURNFU-KPXOXKRLSA-N -1 1 309.435 1.929 20 0 DDADMM C[C@H](c1ccncc1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337950254 409593400 /nfs/dbraw/zinc/59/34/00/409593400.db2.gz QHUSWNNTPYNWTM-LLVKDONJSA-N -1 1 314.345 1.132 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H]2CCCC[C@H]21 ZINC000337947509 409593901 /nfs/dbraw/zinc/59/39/01/409593901.db2.gz NDPKKOJZEDKHAW-CHWSQXEVSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)(CN=c1ccc(C(=O)NCCO)n[n-]1)C1CCCC1 ZINC000338051549 409678862 /nfs/dbraw/zinc/67/88/62/409678862.db2.gz DPFLGAPHWMRSAZ-UHFFFAOYSA-N -1 1 306.410 1.249 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(=O)OC)cc1F)c1nn[n-]n1 ZINC000356943863 409740014 /nfs/dbraw/zinc/74/00/14/409740014.db2.gz URYHRMYICHTWDA-NSHDSACASA-N -1 1 321.312 1.397 20 0 DDADMM COc1ccccc1C(C)(C)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357063413 409830814 /nfs/dbraw/zinc/83/08/14/409830814.db2.gz ZOMJRGZQQSGPPU-UHFFFAOYSA-N -1 1 301.350 1.291 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1CCSc2ccccc21 ZINC000357077842 409846667 /nfs/dbraw/zinc/84/66/67/409846667.db2.gz OKNALZYGJVEZIW-SNVBAGLBSA-N -1 1 301.375 1.585 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCCc2c(O)cccc21 ZINC000349501078 409853229 /nfs/dbraw/zinc/85/32/29/409853229.db2.gz QDLZRARMWYDCRL-LLVKDONJSA-N -1 1 323.374 1.457 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCCc2c(O)cccc21 ZINC000349501078 409853234 /nfs/dbraw/zinc/85/32/34/409853234.db2.gz QDLZRARMWYDCRL-LLVKDONJSA-N -1 1 323.374 1.457 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H]2c2nc3c(n2C)CCCC3)nc1=O ZINC000328983822 410036520 /nfs/dbraw/zinc/03/65/20/410036520.db2.gz ZBGUGFPDBVWSHD-ZDUSSCGKSA-N -1 1 316.409 1.058 20 0 DDADMM CCCOc1ccc(CCC(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000297903473 410042413 /nfs/dbraw/zinc/04/24/13/410042413.db2.gz WIOAYOCNVAKZON-UHFFFAOYSA-N -1 1 317.349 1.264 20 0 DDADMM CCCCO[C@@H]1C[C@@H](N(C)C(=O)CCc2nn[n-]n2)C1(C)C ZINC000631608803 422852954 /nfs/dbraw/zinc/85/29/54/422852954.db2.gz HUMWLSNXSYOUTM-VXGBXAGGSA-N -1 1 309.414 1.575 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NCC2(C)CC2)o1 ZINC000357518458 410078980 /nfs/dbraw/zinc/07/89/80/410078980.db2.gz DOBSAEIWVXJEII-UHFFFAOYSA-N -1 1 314.407 1.886 20 0 DDADMM CN(C)c1ccc([N-]S(=O)(=O)c2ccc3c(c2)COC3)cn1 ZINC000357520668 410081987 /nfs/dbraw/zinc/08/19/87/410081987.db2.gz AWCNEETXTADEMA-UHFFFAOYSA-N -1 1 319.386 1.979 20 0 DDADMM CN(C(=O)N1CCN(c2nc(=N)[n-]s2)CC1)C1CCCCC1 ZINC000332351812 410089032 /nfs/dbraw/zinc/08/90/32/410089032.db2.gz YLMCSOZJHGHLQK-UHFFFAOYSA-N -1 1 324.454 1.457 20 0 DDADMM CC(C)(NC(=O)[C@@H]1Cc2cc(Cl)ccc2O1)c1nn[n-]n1 ZINC000354805537 410099631 /nfs/dbraw/zinc/09/96/31/410099631.db2.gz RDYMOCWHIRPCRP-JTQLQIEISA-N -1 1 307.741 1.208 20 0 DDADMM Cc1cnc(C(=O)N2CCN(c3cnccc3C)CC2)c([O-])c1 ZINC000332383297 410116172 /nfs/dbraw/zinc/11/61/72/410116172.db2.gz HREIJOZDXHNWME-UHFFFAOYSA-N -1 1 312.373 1.761 20 0 DDADMM CCc1csc(NC(=O)c2coc(S(=O)(=O)[N-]C)c2)n1 ZINC000339346962 410116825 /nfs/dbraw/zinc/11/68/25/410116825.db2.gz CKTZIFDCBXQRGX-UHFFFAOYSA-N -1 1 315.376 1.459 20 0 DDADMM Cc1nc2[nH]ccc2c(N2CCC(c3n[nH]c(=O)o3)CC2)n1 ZINC000357687040 410185912 /nfs/dbraw/zinc/18/59/12/410185912.db2.gz RJHHDZVJPHCJGK-UHFFFAOYSA-N -1 1 300.322 1.739 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@@H](C)Cn3cncn3)c[n-]c2[nH+]1 ZINC000357845783 410294579 /nfs/dbraw/zinc/29/45/79/410294579.db2.gz BIOMTGBTSNMXDW-JTQLQIEISA-N -1 1 312.333 1.054 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@@H](C)Cn3cncn3)c[n-]c2n1 ZINC000357845783 410294589 /nfs/dbraw/zinc/29/45/89/410294589.db2.gz BIOMTGBTSNMXDW-JTQLQIEISA-N -1 1 312.333 1.054 20 0 DDADMM C[C@H]1CC(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C[C@H](C)O1 ZINC000351860378 410297858 /nfs/dbraw/zinc/29/78/58/410297858.db2.gz QBLZWPXLWZJBTB-UWVGGRQHSA-N -1 1 309.366 1.685 20 0 DDADMM CCc1csc(NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)n1 ZINC000355083171 410299420 /nfs/dbraw/zinc/29/94/20/410299420.db2.gz SIOIPNCYFZYPRE-UHFFFAOYSA-N -1 1 315.376 1.459 20 0 DDADMM COc1cccc(NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000329595686 410374493 /nfs/dbraw/zinc/37/44/93/410374493.db2.gz OZQVGXNARFPRPS-UHFFFAOYSA-N -1 1 317.393 1.194 20 0 DDADMM O=C(c1cccc2cnccc21)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000298820684 410412479 /nfs/dbraw/zinc/41/24/79/410412479.db2.gz AZMYDONJSAUTFU-LBPRGKRZSA-N -1 1 323.356 1.666 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NC[C@@H]1C[C@H]1C ZINC000298923816 410453547 /nfs/dbraw/zinc/45/35/47/410453547.db2.gz BAOAXBRRAZNALP-MFKMUULPSA-N -1 1 312.373 1.867 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC12CC3CC(CC(C3)C1)C2 ZINC000352098368 410479348 /nfs/dbraw/zinc/47/93/48/410479348.db2.gz PGORAJGDQBZMKM-UHFFFAOYSA-N -1 1 319.361 1.595 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2C[C@@]23CCOC3)c1Br ZINC000329728174 410425749 /nfs/dbraw/zinc/42/57/49/410425749.db2.gz HEEMIOHTCKYWPG-JVXZTZIISA-N -1 1 314.183 1.522 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)nc1 ZINC000298879948 410434087 /nfs/dbraw/zinc/43/40/87/410434087.db2.gz HKIHOVKSYLZCDL-LBPRGKRZSA-N -1 1 315.377 1.636 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]Cc1cn2ccc(C)cc2n1 ZINC000299070531 410510308 /nfs/dbraw/zinc/51/03/08/410510308.db2.gz WSCDZUHQEMJPSB-UHFFFAOYSA-N -1 1 320.374 1.726 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCCc2occc21 ZINC000352112960 410489801 /nfs/dbraw/zinc/48/98/01/410489801.db2.gz XVVAIBPLRZHZQK-QMMMGPOBSA-N -1 1 305.290 1.297 20 0 DDADMM O=C(CSc1nc(-c2ccccc2)co1)Nc1nnn[n-]1 ZINC000352167906 410521353 /nfs/dbraw/zinc/52/13/53/410521353.db2.gz TVTOFHPKYNNFRV-UHFFFAOYSA-N -1 1 302.319 1.586 20 0 DDADMM O=C(CSc1nc(-c2ccccc2)co1)Nc1nn[n-]n1 ZINC000352167906 410521360 /nfs/dbraw/zinc/52/13/60/410521360.db2.gz TVTOFHPKYNNFRV-UHFFFAOYSA-N -1 1 302.319 1.586 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nccnc2SCC)n1 ZINC000352163458 410519171 /nfs/dbraw/zinc/51/91/71/410519171.db2.gz CEXIUTIXXRMAPG-UHFFFAOYSA-N -1 1 321.362 1.741 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H]1CCCCO1 ZINC000355609806 410604778 /nfs/dbraw/zinc/60/47/78/410604778.db2.gz RAYYRFHZIYVIPK-LBPRGKRZSA-N -1 1 318.377 1.280 20 0 DDADMM CC[C@H]1CCC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343709879 410637889 /nfs/dbraw/zinc/63/78/89/410637889.db2.gz DDIZSLXCSUVHAA-RYUDHWBXSA-N -1 1 305.378 1.602 20 0 DDADMM CCc1c(C(=O)N=c2ccc([O-])n[nH]2)cnn1C1CCCC1 ZINC000355881295 410714292 /nfs/dbraw/zinc/71/42/92/410714292.db2.gz MJZROBMZLNDNPX-UHFFFAOYSA-N -1 1 301.350 1.730 20 0 DDADMM CCc1c(C(=O)N=c2ccc(O)n[n-]2)cnn1C1CCCC1 ZINC000355881295 410714297 /nfs/dbraw/zinc/71/42/97/410714297.db2.gz MJZROBMZLNDNPX-UHFFFAOYSA-N -1 1 301.350 1.730 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@H]2CCC[C@@H]2OC(F)F)s1 ZINC000337347844 410726386 /nfs/dbraw/zinc/72/63/86/410726386.db2.gz YACLYHWEMIXOPK-YUMQZZPRSA-N -1 1 312.363 1.890 20 0 DDADMM C[C@@H]1CC(C)(C)CC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343938593 410828950 /nfs/dbraw/zinc/82/89/50/410828950.db2.gz FVWLWUNIIBGOHU-NEPJUHHUSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)CN(C(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1 ZINC000343997506 410875955 /nfs/dbraw/zinc/87/59/55/410875955.db2.gz XYWVARQBFCUKTD-UHFFFAOYSA-N -1 1 311.345 1.720 20 0 DDADMM Fc1ccccc1N=c1[n-]nc(SCc2nn[nH]n2)s1 ZINC000353249178 410875971 /nfs/dbraw/zinc/87/59/71/410875971.db2.gz LRFYKBPBSCDOAD-UHFFFAOYSA-N -1 1 309.355 1.648 20 0 DDADMM CSc1nc([N-]C(=O)c2cc(S(C)(=O)=O)cs2)n[nH]1 ZINC000348250800 410876457 /nfs/dbraw/zinc/87/64/57/410876457.db2.gz XEZURSKIADLQAZ-UHFFFAOYSA-N -1 1 318.405 1.244 20 0 DDADMM Cc1cccc(OCCC(=O)NC(C)(C)c2nn[n-]n2)c1C ZINC000359788617 410883167 /nfs/dbraw/zinc/88/31/67/410883167.db2.gz NFMRZTYDVDHBHG-UHFFFAOYSA-N -1 1 303.366 1.637 20 0 DDADMM Cc1cnc(C(=O)N2CCC(NC(=O)C(C)C)CC2)c([O-])c1 ZINC000330883194 410902346 /nfs/dbraw/zinc/90/23/46/410902346.db2.gz OQECPOIQOPWDPU-UHFFFAOYSA-N -1 1 305.378 1.472 20 0 DDADMM CC[C@H](C(=O)[O-])N1CCN(C(=O)c2ccc(F)c(F)c2)CC1 ZINC000331084597 410936827 /nfs/dbraw/zinc/93/68/27/410936827.db2.gz QELFZYAIFNHCLS-CYBMUJFWSA-N -1 1 312.316 1.586 20 0 DDADMM COc1cc(OC)cc(Oc2nc3c(cnn3C)c(=O)[n-]2)c1 ZINC000356516202 411067478 /nfs/dbraw/zinc/06/74/78/411067478.db2.gz NKANCVPMTZSKEK-UHFFFAOYSA-N -1 1 302.290 1.878 20 0 DDADMM CC(C)(C)NC(=O)CC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000341865816 411074862 /nfs/dbraw/zinc/07/48/62/411074862.db2.gz DNJBJNUADLRGJW-UHFFFAOYSA-N -1 1 320.361 1.548 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCOc2ccc(F)cc2)sn1 ZINC000631722420 422894514 /nfs/dbraw/zinc/89/45/14/422894514.db2.gz GTVOSDXWFDDJGW-UHFFFAOYSA-N -1 1 316.379 1.948 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCCc3c2cnn3C)sn1 ZINC000631726136 422895392 /nfs/dbraw/zinc/89/53/92/422895392.db2.gz MQSMNMJEKZXOMC-SNVBAGLBSA-N -1 1 312.420 1.541 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H]1CCc2c[nH]nc2C1 ZINC000631777717 422918232 /nfs/dbraw/zinc/91/82/32/422918232.db2.gz DNXXJXRTLVRLMC-NSHDSACASA-N -1 1 305.765 1.985 20 0 DDADMM Cc1cnccc1CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000647659442 422987989 /nfs/dbraw/zinc/98/79/89/422987989.db2.gz FBXJILBUGYVTFS-GFCCVEGCSA-N -1 1 302.334 1.427 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@H]3O[C@H](c4ccccc4)C[C@@H]32)nc1=O ZINC000649917395 422991656 /nfs/dbraw/zinc/99/16/56/422991656.db2.gz LFZUVTNJNKQBFW-KKUMJFAQSA-N -1 1 314.389 1.603 20 0 DDADMM CCN1CC[C@@H]([N-]S(=O)(=O)c2sccc2Cl)C1=O ZINC000649985741 423012561 /nfs/dbraw/zinc/01/25/61/423012561.db2.gz YVVQYTXHKZHMQG-MRVPVSSYSA-N -1 1 308.812 1.301 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(OC)nc1OC)OC ZINC000643269187 423092323 /nfs/dbraw/zinc/09/23/23/423092323.db2.gz GKORKXDZNSFYOH-VIFPVBQESA-N -1 1 304.368 1.266 20 0 DDADMM O=C([O-])[C@]1(NCc2cccc(F)c2Br)CCOC1 ZINC000417561538 225365020 /nfs/dbraw/zinc/36/50/20/225365020.db2.gz DNIHWFIQESOGKW-LBPRGKRZSA-N -1 1 318.142 1.922 20 0 DDADMM CCn1nccc1CN[C@@H](C(=O)[O-])c1ccc(OC)c(F)c1 ZINC000417564649 225366464 /nfs/dbraw/zinc/36/64/64/225366464.db2.gz GCXTYUBVYLYFDA-CQSZACIVSA-N -1 1 307.325 1.966 20 0 DDADMM CSc1nc(CNC(=O)c2nc(C)sc2C)cc(=O)[n-]1 ZINC000640655812 423115050 /nfs/dbraw/zinc/11/50/50/423115050.db2.gz JQAKUXQIIGAAIN-UHFFFAOYSA-N -1 1 310.404 1.907 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1 ZINC000630208477 417812718 /nfs/dbraw/zinc/81/27/18/417812718.db2.gz JVFZURSQTPDEQM-SECBINFHSA-N -1 1 305.338 1.243 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)Cc2ccsc2)o1 ZINC000048104135 418465212 /nfs/dbraw/zinc/46/52/12/418465212.db2.gz OUEQSMBQDWHYBB-UHFFFAOYSA-N -1 1 314.388 1.521 20 0 DDADMM O=C(c1cccc2cccnc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366661456 418501536 /nfs/dbraw/zinc/50/15/36/418501536.db2.gz ZOXHSFBLPBSMED-GFCCVEGCSA-N -1 1 308.345 1.768 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(OC[C@@H]2CCCO2)CC1 ZINC000374197555 418503206 /nfs/dbraw/zinc/50/32/06/418503206.db2.gz HLYRRURKLYTESD-ZDUSSCGKSA-N -1 1 306.362 1.587 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccn(C(C)C)n2)co1 ZINC000280450331 418569917 /nfs/dbraw/zinc/56/99/17/418569917.db2.gz KWILFIKPNHBFJK-UHFFFAOYSA-N -1 1 312.351 1.217 20 0 DDADMM CCC(CC)c1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)on1 ZINC000360939262 418550959 /nfs/dbraw/zinc/55/09/59/418550959.db2.gz BYCVUWFWWQXENL-LBPRGKRZSA-N -1 1 320.353 1.305 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2nnnn2-c2ccccc2)n1 ZINC000188116026 222006052 /nfs/dbraw/zinc/00/60/52/222006052.db2.gz RVLXQOWBMYFYRD-UHFFFAOYSA-N -1 1 314.374 1.600 20 0 DDADMM COc1ccc(O)cc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000188678367 222021114 /nfs/dbraw/zinc/02/11/14/222021114.db2.gz MFVGTMJDCXVXHC-UHFFFAOYSA-N -1 1 302.212 1.790 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC000290711362 418577951 /nfs/dbraw/zinc/57/79/51/418577951.db2.gz JJUAFOOYKNDQIY-JHJVBQTASA-N -1 1 319.386 1.429 20 0 DDADMM CCc1nc(C)c(CNC(=O)c2ccc3n[n-]c(=S)n3c2)o1 ZINC000294047314 418581852 /nfs/dbraw/zinc/58/18/52/418581852.db2.gz OXAUNDGBNXFHLM-UHFFFAOYSA-N -1 1 317.374 1.807 20 0 DDADMM CCC(C)(C)NC(=O)CS(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195170447 222193420 /nfs/dbraw/zinc/19/34/20/222193420.db2.gz GZHPCSCHPBUQST-UHFFFAOYSA-N -1 1 316.427 1.181 20 0 DDADMM C[C@H]1CCNC(=O)[C@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000195475857 222203988 /nfs/dbraw/zinc/20/39/88/222203988.db2.gz PHTCMWFLLZPMQF-XVKPBYJWSA-N -1 1 320.773 1.282 20 0 DDADMM CC1(C(=O)[O-])CCN(CC(=O)NCc2ccc(F)cc2)CC1 ZINC000316126557 418599469 /nfs/dbraw/zinc/59/94/69/418599469.db2.gz PTDYAGVHZGGFAO-UHFFFAOYSA-N -1 1 308.353 1.629 20 0 DDADMM CCS[C@H]1CCCCN(CCS(=O)(=O)CC(=O)[O-])C1 ZINC000367883447 418652168 /nfs/dbraw/zinc/65/21/68/418652168.db2.gz HQAKTRBZWCEUCM-NSHDSACASA-N -1 1 309.453 1.093 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(C)C ZINC000361718285 418702030 /nfs/dbraw/zinc/70/20/30/418702030.db2.gz VCNOZGZTOJMEFN-SNVBAGLBSA-N -1 1 323.773 1.955 20 0 DDADMM CC(C)S(=O)(=O)c1ccccc1C(=O)N=c1ccc([O-])n[nH]1 ZINC000361959404 418730675 /nfs/dbraw/zinc/73/06/75/418730675.db2.gz ZUWKOAZSNFLQLJ-UHFFFAOYSA-N -1 1 321.358 1.039 20 0 DDADMM CC(C)S(=O)(=O)c1ccccc1C(=O)N=c1ccc(O)n[n-]1 ZINC000361959404 418730677 /nfs/dbraw/zinc/73/06/77/418730677.db2.gz ZUWKOAZSNFLQLJ-UHFFFAOYSA-N -1 1 321.358 1.039 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@@H]1[C@@H]1CCCC[C@@H]1O ZINC000408409986 418801436 /nfs/dbraw/zinc/80/14/36/418801436.db2.gz HMFSSKFRFAUAIM-IMJJTQAJSA-N -1 1 323.364 1.923 20 0 DDADMM Cc1n[nH]c(C(=O)[N-]S(=O)(=O)[C@@H]2CC3CCC2CC3)c1C ZINC000408497613 418805562 /nfs/dbraw/zinc/80/55/62/418805562.db2.gz RUAYJRHBRFAKCH-HTAVTVPLSA-N -1 1 311.407 1.665 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@@]2(CC2(F)F)C1 ZINC000650383289 423144440 /nfs/dbraw/zinc/14/44/40/423144440.db2.gz JPBMOALOCQVZIC-CQSZACIVSA-N -1 1 305.284 1.794 20 0 DDADMM CCC[C@]1(CO)CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000365600878 418880660 /nfs/dbraw/zinc/88/06/60/418880660.db2.gz QMHDPNASSLVZFE-INIZCTEOSA-N -1 1 318.377 1.299 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CC[C@@H]2C(=O)NC[C@H]2C1 ZINC000365726094 418899886 /nfs/dbraw/zinc/89/98/86/418899886.db2.gz INCOUMBDNLWJIZ-JSGCOSHPSA-N -1 1 310.353 1.754 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]Cc2cnn(C)c2)c(F)c1 ZINC000425161375 228376389 /nfs/dbraw/zinc/37/63/89/228376389.db2.gz XUMLAXYHGRYFSY-UHFFFAOYSA-N -1 1 301.318 1.485 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CC[C@H](O)C2)c(F)c1 ZINC000425182407 228383482 /nfs/dbraw/zinc/38/34/82/228383482.db2.gz YYIGSKXZLNXRHL-UWVGGRQHSA-N -1 1 305.346 1.713 20 0 DDADMM O=C(N[C@H]1CCC[C@@H](CO)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000298492407 228580209 /nfs/dbraw/zinc/58/02/09/228580209.db2.gz VCIHDDKNTJWWBX-KOLCDFICSA-N -1 1 306.391 1.299 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cnn(Cc2ccncc2)c1)OC ZINC000421155783 419508531 /nfs/dbraw/zinc/50/85/31/419508531.db2.gz OPVILPQKZYRYMX-CQSZACIVSA-N -1 1 324.406 1.493 20 0 DDADMM C[C@@H]1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1(F)F ZINC000427672410 419712127 /nfs/dbraw/zinc/71/21/27/419712127.db2.gz MPZKFDDTLOZCIZ-MRVPVSSYSA-N -1 1 310.304 1.791 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@H](CCO)CC1)c2=O ZINC000422074339 419831717 /nfs/dbraw/zinc/83/17/17/419831717.db2.gz NVCSBTIQLJZACB-NSHDSACASA-N -1 1 318.377 1.299 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)F)c1ccc(Cl)cc1F ZINC000428370455 419855868 /nfs/dbraw/zinc/85/58/68/419855868.db2.gz QQHONSJGKGWMGH-ZETCQYMHSA-N -1 1 317.716 1.774 20 0 DDADMM COC[C@@H](C)n1ccc(=NC(=O)c2csc(=NC3CC3)[n-]2)[nH]1 ZINC000428752986 419926060 /nfs/dbraw/zinc/92/60/60/419926060.db2.gz LVHYBTDAROJNCB-SECBINFHSA-N -1 1 321.406 1.217 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(c2ccccc2F)CCCC1 ZINC000430348333 420110170 /nfs/dbraw/zinc/11/01/70/420110170.db2.gz ZYCCCCDXSLQESM-UHFFFAOYSA-N -1 1 315.352 1.956 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@@H]2COCCN2)c1 ZINC000423803070 420195160 /nfs/dbraw/zinc/19/51/60/420195160.db2.gz QJYSHMSSOYGCOO-JTQLQIEISA-N -1 1 315.167 1.390 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCC[C@@H](C(C)C)C1 ZINC000416150395 420259582 /nfs/dbraw/zinc/25/95/82/420259582.db2.gz JVFCLQOUVIZHKJ-NXEZZACHSA-N -1 1 309.366 1.841 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(CC1CC1)CC1CCC1 ZINC000416228807 420287777 /nfs/dbraw/zinc/28/77/77/420287777.db2.gz STADIABHFBKBHA-UHFFFAOYSA-N -1 1 307.350 1.549 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1ccc(C2CC2)cc1 ZINC000416231315 420287846 /nfs/dbraw/zinc/28/78/46/420287846.db2.gz UOMZXZKIKXDCFG-UHFFFAOYSA-N -1 1 315.329 1.704 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc(N(C)C)nc2)c1 ZINC000436503007 420333951 /nfs/dbraw/zinc/33/39/51/420333951.db2.gz FGCGTWSQFKXIOU-UHFFFAOYSA-N -1 1 315.329 1.892 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cccn(C)c2=O)c1 ZINC000436504598 420335314 /nfs/dbraw/zinc/33/53/14/420335314.db2.gz LKDOLCHZFSXEIN-UHFFFAOYSA-N -1 1 302.286 1.130 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CC[C@]2(C)CCC(=O)N2)c1 ZINC000436502184 420335373 /nfs/dbraw/zinc/33/53/73/420335373.db2.gz BQDBDLVMKHQNTM-MRXNPFEDSA-N -1 1 320.345 1.566 20 0 DDADMM CCO[C@@H](CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)C(C)C ZINC000425293868 420342059 /nfs/dbraw/zinc/34/20/59/420342059.db2.gz CIZJMPDHXMJUCV-NEPJUHHUSA-N -1 1 311.382 1.932 20 0 DDADMM CCc1cccnc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425298236 420344345 /nfs/dbraw/zinc/34/43/45/420344345.db2.gz JERPZRZQNNQODE-LLVKDONJSA-N -1 1 302.334 1.752 20 0 DDADMM O=C(CCNC(=O)C(=O)c1ccc([O-])cc1)Nc1ccccc1 ZINC000436696553 420356854 /nfs/dbraw/zinc/35/68/54/420356854.db2.gz HUHWXTMWDVTHKU-UHFFFAOYSA-N -1 1 312.325 1.720 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@](C)(O)C2CCOCC2)c1 ZINC000436726666 420361460 /nfs/dbraw/zinc/36/14/60/420361460.db2.gz VPIBZIRZSIOAAJ-INIZCTEOSA-N -1 1 323.345 1.295 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc([O-])cc1F)C(=O)N(C)C ZINC000436747614 420362229 /nfs/dbraw/zinc/36/22/29/420362229.db2.gz MAAJIOUXLJIQHG-LBPRGKRZSA-N -1 1 314.382 1.471 20 0 DDADMM O=C(N[C@H](CO)[C@H]1CCCO1)c1cc2ccccc2cc1[O-] ZINC000436806381 420370933 /nfs/dbraw/zinc/37/09/33/420370933.db2.gz HMTWODSGZDMTII-GDBMZVCRSA-N -1 1 301.342 1.815 20 0 DDADMM CS(=O)(=O)c1cccnc1CNC(=O)c1ccc([O-])cc1F ZINC000436823019 420371897 /nfs/dbraw/zinc/37/18/97/420371897.db2.gz RZZCIUDWBGVPMY-UHFFFAOYSA-N -1 1 324.333 1.260 20 0 DDADMM C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@@H](O)Cc1ccccc1 ZINC000436973012 420390049 /nfs/dbraw/zinc/39/00/49/420390049.db2.gz RSDASWOVTUGESY-LRDDRELGSA-N -1 1 313.353 1.683 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CC[C@H](C)O2)o1 ZINC000416536020 420410397 /nfs/dbraw/zinc/41/03/97/420410397.db2.gz OJRZKJISQYWYTL-VHSXEESVSA-N -1 1 317.363 1.302 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1nc2ccccc2s1 ZINC000439213857 420500144 /nfs/dbraw/zinc/50/01/44/420500144.db2.gz RWZMNXRVGYBSNB-UHFFFAOYSA-N -1 1 324.387 1.484 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1nc2ccccc2s1 ZINC000439213857 420500146 /nfs/dbraw/zinc/50/01/46/420500146.db2.gz RWZMNXRVGYBSNB-UHFFFAOYSA-N -1 1 324.387 1.484 20 0 DDADMM O=C(N[C@H]([C@H](CO)C1CC1)C1CC1)c1csc(=NC2CC2)[n-]1 ZINC000452937031 420684092 /nfs/dbraw/zinc/68/40/92/420684092.db2.gz OWDVYSSNVXHROH-OCCSQVGLSA-N -1 1 321.446 1.666 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)CS(C)(=O)=O)cc(Cl)c1[O-] ZINC000442651908 420693473 /nfs/dbraw/zinc/69/34/73/420693473.db2.gz NGQNAJBGXYTXTQ-ZETCQYMHSA-N -1 1 321.782 1.217 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1CCO[C@@H]1C)c1ccsc1 ZINC000442741785 420705965 /nfs/dbraw/zinc/70/59/65/420705965.db2.gz NRKZVHAXUNWGRM-FBIMIBRVSA-N -1 1 319.404 1.059 20 0 DDADMM CC(C)(NC(=O)c1cccc2c1OCC2(C)C)c1nn[n-]n1 ZINC000447520293 420777238 /nfs/dbraw/zinc/77/72/38/420777238.db2.gz JOJYYQOPHYYPFN-UHFFFAOYSA-N -1 1 301.350 1.535 20 0 DDADMM Cc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc1Cl ZINC000493352807 420826598 /nfs/dbraw/zinc/82/65/98/420826598.db2.gz NGZRNDHIHPRHRC-SNAWJCMRSA-N -1 1 303.753 1.980 20 0 DDADMM CCO/C=C\C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000493452114 420853929 /nfs/dbraw/zinc/85/39/29/420853929.db2.gz LPMHGFZGBCJUAH-SREVYHEPSA-N -1 1 302.327 1.686 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)NCCCO)c([O-])c1 ZINC000493473608 420860405 /nfs/dbraw/zinc/86/04/05/420860405.db2.gz PAMVIBFCLWZRFX-UHFFFAOYSA-N -1 1 310.350 1.851 20 0 DDADMM C[C@@H](Cc1ccncc1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000487707927 421045681 /nfs/dbraw/zinc/04/56/81/421045681.db2.gz KEFOXOGTIRIEFQ-NSHDSACASA-N -1 1 308.341 1.679 20 0 DDADMM C/C=C\COc1cccc(CC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000450003003 421126475 /nfs/dbraw/zinc/12/64/75/421126475.db2.gz FTYIDGGLGFJFRR-PLNGDYQASA-N -1 1 315.377 1.749 20 0 DDADMM CCC[C@H](NC(=O)c1sc(COCC)nc1C)c1nn[n-]n1 ZINC000450122645 421145073 /nfs/dbraw/zinc/14/50/73/421145073.db2.gz QJYYGOLLILRGBG-VIFPVBQESA-N -1 1 324.410 1.772 20 0 DDADMM O=C(C=Cc1c(F)cccc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000492223891 421215333 /nfs/dbraw/zinc/21/53/33/421215333.db2.gz WBNZUOAOPMVSAU-GFVADAIESA-N -1 1 319.315 1.897 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@]1(c2ccccc2)CCCCC1=O ZINC000560197160 421239485 /nfs/dbraw/zinc/23/94/85/421239485.db2.gz ARPYZKKPAIAMFT-QGZVFWFLSA-N -1 1 314.389 1.579 20 0 DDADMM COc1ccccc1C(=O)C[N-]S(=O)(=O)Cc1cc(C)no1 ZINC000545211966 421243647 /nfs/dbraw/zinc/24/36/47/421243647.db2.gz LRHBDHRXSCWQQK-UHFFFAOYSA-N -1 1 324.358 1.294 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H]2NC(=O)O[C@H]2C)c1 ZINC000496463497 421259807 /nfs/dbraw/zinc/25/98/07/421259807.db2.gz XFTZFANCGSILRD-ZANVPECISA-N -1 1 307.302 1.177 20 0 DDADMM CC(C)(NC(=O)c1cnc(-c2ccccc2)nc1)c1nn[n-]n1 ZINC000525173101 421280598 /nfs/dbraw/zinc/28/05/98/421280598.db2.gz ODKFRMIBBQZUCM-UHFFFAOYSA-N -1 1 309.333 1.322 20 0 DDADMM Cc1nc(C(C)C)sc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000547488218 421337335 /nfs/dbraw/zinc/33/73/35/421337335.db2.gz MDSCQXKICVMVAD-QMMMGPOBSA-N -1 1 308.411 1.964 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cccc(Cl)c2F)[n-]n1C ZINC000547499579 421338851 /nfs/dbraw/zinc/33/88/51/421338851.db2.gz IHSCNYFDWRIZNJ-UHFFFAOYSA-N -1 1 319.745 1.444 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(-c2ccoc2)n[nH]1)c1nn[n-]n1 ZINC000548465343 421442550 /nfs/dbraw/zinc/44/25/50/421442550.db2.gz OJRSUOQQKIPENX-MRVPVSSYSA-N -1 1 301.310 1.059 20 0 DDADMM CCNC(=O)c1ccc(=NCC2(CO)CC3(CCC3)C2)[n-]n1 ZINC000514771875 421453353 /nfs/dbraw/zinc/45/33/53/421453353.db2.gz KNFGNMMJWMKCBW-UHFFFAOYSA-N -1 1 304.394 1.003 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](OCC(F)(F)F)C1 ZINC000547975520 421384735 /nfs/dbraw/zinc/38/47/35/421384735.db2.gz YJMBKBRPQIDIAR-VIFPVBQESA-N -1 1 304.268 1.971 20 0 DDADMM COc1cccc([C@@H]2C[C@H]2NS(=O)(=O)c2c(C)o[n-]c2=N)c1 ZINC000563597089 421524643 /nfs/dbraw/zinc/52/46/43/421524643.db2.gz PGYRFDQSIJORPE-NWDGAFQWSA-N -1 1 323.374 1.239 20 0 DDADMM COc1cccc([C@@H]2C[C@H]2[N-]S(=O)(=O)c2c(C)onc2N)c1 ZINC000563597089 421524646 /nfs/dbraw/zinc/52/46/46/421524646.db2.gz PGYRFDQSIJORPE-NWDGAFQWSA-N -1 1 323.374 1.239 20 0 DDADMM CCc1ccc(CNC(=O)CN2CC[C@@H](C)[C@@H](C(=O)[O-])C2)s1 ZINC000563125906 421460112 /nfs/dbraw/zinc/46/01/12/421460112.db2.gz RAAWCBFLZWFFTF-RISCZKNCSA-N -1 1 324.446 1.969 20 0 DDADMM CCc1ccc(CNC(=O)CN2CC[C@@H](C)[C@H](C(=O)[O-])C2)s1 ZINC000563125903 421460234 /nfs/dbraw/zinc/46/02/34/421460234.db2.gz RAAWCBFLZWFFTF-BXUZGUMPSA-N -1 1 324.446 1.969 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC1(Cc2ccccc2)CCC1 ZINC000514972543 421470296 /nfs/dbraw/zinc/47/02/96/421470296.db2.gz MKMXNGPZEWUYHG-UHFFFAOYSA-N -1 1 321.402 1.839 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1(Cc2ccccc2)CCC1 ZINC000514972543 421470298 /nfs/dbraw/zinc/47/02/98/421470298.db2.gz MKMXNGPZEWUYHG-UHFFFAOYSA-N -1 1 321.402 1.839 20 0 DDADMM CO[C@H]1C[C@@H](CC(=O)[O-])N(Cc2cn3cc(C)ccc3n2)C1 ZINC000563289415 421481656 /nfs/dbraw/zinc/48/16/56/421481656.db2.gz NBNKUCANIFUEFK-KBPBESRZSA-N -1 1 303.362 1.707 20 0 DDADMM CC1(C)C[C@H](C(=O)NC2(c3nn[n-]n3)CCCC2)C(C)(C)O1 ZINC000528598861 421500387 /nfs/dbraw/zinc/50/03/87/421500387.db2.gz OEUIIQZVVSOKIS-SNVBAGLBSA-N -1 1 307.398 1.679 20 0 DDADMM COc1cccc2c1[C@@H](C(=O)NC(C)(C)c1nn[n-]n1)CC2 ZINC000551477944 421532972 /nfs/dbraw/zinc/53/29/72/421532972.db2.gz XPZSEPOIGIXRFE-JTQLQIEISA-N -1 1 301.350 1.290 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCC(C)(C)C[C@H]1C ZINC000570552621 421653775 /nfs/dbraw/zinc/65/37/75/421653775.db2.gz ANZIOPMGQQUOLR-PSASIEDQSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCC(C)(C)C[C@H]1C ZINC000570552621 421653778 /nfs/dbraw/zinc/65/37/78/421653778.db2.gz ANZIOPMGQQUOLR-PSASIEDQSA-N -1 1 301.412 1.889 20 0 DDADMM O=C(Nc1cc(CCO)ccn1)c1csc(=NC2CC2)[n-]1 ZINC000570651510 421659112 /nfs/dbraw/zinc/65/91/12/421659112.db2.gz RNVDDMBCILJUME-UHFFFAOYSA-N -1 1 304.375 1.321 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H](O)CCc1ccccc1 ZINC000536546952 421720448 /nfs/dbraw/zinc/72/04/48/421720448.db2.gz UKBZCXYJHBWTKP-ZDUSSCGKSA-N -1 1 315.377 1.079 20 0 DDADMM Cc1ccc(-c2n[n-]c(S(=O)(=O)[C@@H](C)C(=O)N(C)C)n2)cc1 ZINC000519743554 421722293 /nfs/dbraw/zinc/72/22/93/421722293.db2.gz YLKONCONWNBBKS-JTQLQIEISA-N -1 1 322.390 1.031 20 0 DDADMM Cc1ccc(-c2nc(S(=O)(=O)[C@@H](C)C(=O)N(C)C)n[n-]2)cc1 ZINC000519743554 421722296 /nfs/dbraw/zinc/72/22/96/421722296.db2.gz YLKONCONWNBBKS-JTQLQIEISA-N -1 1 322.390 1.031 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@@H](O)C1CCOCC1 ZINC000570845106 421674034 /nfs/dbraw/zinc/67/40/34/421674034.db2.gz HRCAAIBBUICYPG-HNNXBMFYSA-N -1 1 317.345 1.508 20 0 DDADMM COCc1cccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000533572862 421679419 /nfs/dbraw/zinc/67/94/19/421679419.db2.gz RLJDNIYRPWSRFD-ZDUSSCGKSA-N -1 1 301.350 1.366 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc(C2CC2)cc1 ZINC000558433986 421807441 /nfs/dbraw/zinc/80/74/41/421807441.db2.gz AXHCYJJRBSEAIK-UHFFFAOYSA-N -1 1 307.375 1.921 20 0 DDADMM CSc1ccccc1CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000540806513 421769040 /nfs/dbraw/zinc/76/90/40/421769040.db2.gz UACYBTWXLWYXJH-LBPRGKRZSA-N -1 1 317.418 1.870 20 0 DDADMM CC[C@H]1CCCC[C@@H]1N(C)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000572564697 421800426 /nfs/dbraw/zinc/80/04/26/421800426.db2.gz SVTYKLWIYQLEKK-QWRGUYRKSA-N -1 1 301.412 1.985 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1C(=O)N=c1[n-]nc(C(F)F)s1 ZINC000541705813 421801300 /nfs/dbraw/zinc/80/13/00/421801300.db2.gz HJBICEQSVNYZJF-NKWVEPMBSA-N -1 1 305.354 1.454 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000630097180 421887029 /nfs/dbraw/zinc/88/70/29/421887029.db2.gz VUHCYUHQCRTZNV-FZMZJTMJSA-N -1 1 301.346 1.400 20 0 DDADMM COc1cccc2c1CC[C@H]2NCc1cc(C(=O)[O-])nn1C ZINC000635308397 421896569 /nfs/dbraw/zinc/89/65/69/421896569.db2.gz WQCOAYVFOQCLIS-CYBMUJFWSA-N -1 1 301.346 1.904 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@H](O)COCC1CC1 ZINC000631812542 421850468 /nfs/dbraw/zinc/85/04/68/421850468.db2.gz BPKUQPIWGIIVGR-LBPRGKRZSA-N -1 1 313.781 1.492 20 0 DDADMM CN1CCC[C@@H](NC(=O)CCc2nn[n-]n2)c2ccccc21 ZINC000633343913 421855558 /nfs/dbraw/zinc/85/55/58/421855558.db2.gz RFWFMGJZXKLCAR-GFCCVEGCSA-N -1 1 300.366 1.220 20 0 DDADMM CC1(C)CN(C(=O)Cc2ccc([O-])c(Cl)c2)C[C@@H](CO)O1 ZINC000633458284 421916524 /nfs/dbraw/zinc/91/65/24/421916524.db2.gz ZDKBFBXOELIWAV-NSHDSACASA-N -1 1 313.781 1.586 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)Nc1cnn(C(C)(C)C(=O)[O-])c1 ZINC000630171825 421935536 /nfs/dbraw/zinc/93/55/36/421935536.db2.gz XDWLDAMRFCGCJN-SECBINFHSA-N -1 1 305.338 1.243 20 0 DDADMM Cc1ncc(C(=O)N2CCC(n3ncc(C(=O)[O-])c3C)CC2)[nH]1 ZINC000630193154 421951162 /nfs/dbraw/zinc/95/11/62/421951162.db2.gz OVZLOONSSFTOPW-UHFFFAOYSA-N -1 1 317.349 1.399 20 0 DDADMM CC[C@@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])C[C@H](C)O1 ZINC000631942381 421959554 /nfs/dbraw/zinc/95/95/54/421959554.db2.gz WLTACBNCEOEMNE-WDEREUQCSA-N -1 1 315.391 1.589 20 0 DDADMM CN(C)c1ccncc1C(=O)NCC1(CC(=O)[O-])CCOCC1 ZINC000630216392 421966323 /nfs/dbraw/zinc/96/63/23/421966323.db2.gz DVPHAFSNKVVJFK-UHFFFAOYSA-N -1 1 321.377 1.149 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+](C)[C@@H](Cc2ccccc2)C(=O)[O-])n1 ZINC000581434864 421974693 /nfs/dbraw/zinc/97/46/93/421974693.db2.gz PPAYGLDOGWKHDL-AWEZNQCLSA-N -1 1 315.373 1.872 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@@H+](C)[C@@H](Cc2ccccc2)C(=O)[O-])n1 ZINC000581434864 421974700 /nfs/dbraw/zinc/97/47/00/421974700.db2.gz PPAYGLDOGWKHDL-AWEZNQCLSA-N -1 1 315.373 1.872 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000630346895 422035643 /nfs/dbraw/zinc/03/56/43/422035643.db2.gz AVSWEJJZSNGFAH-SECBINFHSA-N -1 1 316.386 1.603 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCCO[C@H]3C2(C)C)sn1 ZINC000632032535 422038379 /nfs/dbraw/zinc/03/83/79/422038379.db2.gz UXVGKAUPNAMTSU-MVWJERBFSA-N -1 1 316.448 1.933 20 0 DDADMM Cc1ccccc1CC(C)(C)NC(=O)CCCc1nn[n-]n1 ZINC000635495611 422041999 /nfs/dbraw/zinc/04/19/99/422041999.db2.gz FPVVADQDBMYLEC-UHFFFAOYSA-N -1 1 301.394 1.968 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](CCc1ccccc1)C(F)F ZINC000633678728 422042995 /nfs/dbraw/zinc/04/29/95/422042995.db2.gz JGGXVGXWHFMLSF-LLVKDONJSA-N -1 1 309.320 1.515 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc3cc[nH]c3c2)CC1 ZINC000630225048 421975559 /nfs/dbraw/zinc/97/55/59/421975559.db2.gz SFLQWMPKBJVGNG-LBPRGKRZSA-N -1 1 315.373 1.789 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@@H]1Cc1ccccc1F ZINC000633573031 421975836 /nfs/dbraw/zinc/97/58/36/421975836.db2.gz CULRNYFVWHTSRM-CYBMUJFWSA-N -1 1 317.368 1.895 20 0 DDADMM CC(C)c1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)n(C)n1 ZINC000630226545 421975888 /nfs/dbraw/zinc/97/58/88/421975888.db2.gz QMUARUUHWUAFLH-UHFFFAOYSA-N -1 1 322.409 1.165 20 0 DDADMM COc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c(C)c1 ZINC000630225061 421976728 /nfs/dbraw/zinc/97/67/28/421976728.db2.gz SEMILBXPWVFRDN-ZDUSSCGKSA-N -1 1 320.389 1.625 20 0 DDADMM COc1nc(C)ccc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630226929 421977875 /nfs/dbraw/zinc/97/78/75/421977875.db2.gz XRTICAMVGZWUAU-LBPRGKRZSA-N -1 1 321.377 1.020 20 0 DDADMM CC[C@H](C)[C@@](C)(O)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632011580 422018933 /nfs/dbraw/zinc/01/89/33/422018933.db2.gz ZOBUBEOJOZQNSH-HZMBPMFUSA-N -1 1 317.407 1.476 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@]2(C)CCCO2)c1 ZINC000632088608 422080164 /nfs/dbraw/zinc/08/01/64/422080164.db2.gz FYRUQGQXVWHFCV-ZDUSSCGKSA-N -1 1 301.364 1.248 20 0 DDADMM O=C([O-])CN(CC1CC1)S(=O)(=O)c1cc(O)cc(F)c1 ZINC000630446079 422108666 /nfs/dbraw/zinc/10/86/66/422108666.db2.gz OXHKEQRRPSYAHM-UHFFFAOYSA-N -1 1 303.311 1.017 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H](OC)C(C)(C)C)c1 ZINC000632055648 422056895 /nfs/dbraw/zinc/05/68/95/422056895.db2.gz CRBJUABURMDKLI-CYBMUJFWSA-N -1 1 317.407 1.740 20 0 DDADMM CCC[C@@H](CNC(=O)c1coc(S(=O)(=O)[N-]C)c1)OCC ZINC000628345176 422140963 /nfs/dbraw/zinc/14/09/63/422140963.db2.gz RABPVZFPEOGKSO-NSHDSACASA-N -1 1 318.395 1.123 20 0 DDADMM C[C@H](CNC(=O)CCCc1nn[n-]n1)Oc1ccccc1Cl ZINC000635616006 422165493 /nfs/dbraw/zinc/16/54/93/422165493.db2.gz OKPPAVLBIBBRCC-SNVBAGLBSA-N -1 1 323.784 1.760 20 0 DDADMM C[C@@H]1CCN(CC(=O)Nc2ccc3c(c2)OCO3)C[C@H]1C(=O)[O-] ZINC000583440378 422166512 /nfs/dbraw/zinc/16/65/12/422166512.db2.gz BEMZLVUGEVFJRE-ZYHUDNBSSA-N -1 1 320.345 1.396 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCC34CC4)nc2n1 ZINC000637419259 422203106 /nfs/dbraw/zinc/20/31/06/422203106.db2.gz ZZYHVZYASLFRGD-SNVBAGLBSA-N -1 1 301.350 1.499 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H](C1CCCC1)C(F)(F)F ZINC000635670760 422226924 /nfs/dbraw/zinc/22/69/24/422226924.db2.gz DRDPLOPKGMEDEU-LLVKDONJSA-N -1 1 305.304 1.760 20 0 DDADMM CN(C(=O)c1c(F)ccc([O-])c1F)[C@@H]1CCCCN(C)C1=O ZINC000634137297 422279130 /nfs/dbraw/zinc/27/91/30/422279130.db2.gz JDKACQBMGPXXKV-SNVBAGLBSA-N -1 1 312.316 1.753 20 0 DDADMM CC(=O)C[C@H]1COCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632393169 422301947 /nfs/dbraw/zinc/30/19/47/422301947.db2.gz HUKAHAATEFWEDB-LBPRGKRZSA-N -1 1 311.765 1.795 20 0 DDADMM Cc1cc(C[C@H](C)[N-]S(=O)(=O)c2cc(F)ccc2F)[nH]n1 ZINC000632394179 422302931 /nfs/dbraw/zinc/30/29/31/422302931.db2.gz KKOKACHWWIRIAZ-VIFPVBQESA-N -1 1 315.345 1.906 20 0 DDADMM CC(C)Oc1ccccc1[C@H](C)NC(=O)CCc1nn[n-]n1 ZINC000630753903 422314478 /nfs/dbraw/zinc/31/44/78/422314478.db2.gz LZDVLCOARRBTCO-NSHDSACASA-N -1 1 303.366 1.797 20 0 DDADMM CC1CC(n2nnc3c2CN(C(=O)c2cncc([O-])c2)CC3)C1 ZINC000634227952 422335640 /nfs/dbraw/zinc/33/56/40/422335640.db2.gz SOCJXLHRZVUHGB-UHFFFAOYSA-N -1 1 313.361 1.548 20 0 DDADMM COCC1CCC([N-]S(=O)(=O)c2cc(C)ns2)CC1 ZINC000632457528 422360883 /nfs/dbraw/zinc/36/08/83/422360883.db2.gz ICHSEWFXOUFPHD-UHFFFAOYSA-N -1 1 304.437 1.935 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1C[C@@H]2CCC(=O)c3cccc1c32 ZINC000635805608 422362134 /nfs/dbraw/zinc/36/21/34/422362134.db2.gz CFIGWODCDFNMRB-JTQLQIEISA-N -1 1 311.345 1.629 20 0 DDADMM COCCN(C(=O)CCc1nn[n-]n1)[C@@H](C)c1ccccc1 ZINC000630850138 422373878 /nfs/dbraw/zinc/37/38/78/422373878.db2.gz NDHZUIVKJQUNLI-LBPRGKRZSA-N -1 1 303.366 1.369 20 0 DDADMM CC[C@@H]1CN(c2ccccc2)CCN1C(=O)CCc1nn[n-]n1 ZINC000632476986 422376464 /nfs/dbraw/zinc/37/64/64/422376464.db2.gz RDHQXCBFJCCGAD-CYBMUJFWSA-N -1 1 314.393 1.260 20 0 DDADMM COc1cccc(C2CCN(C(=O)CCc3nn[n-]n3)CC2)c1 ZINC000630865776 422382071 /nfs/dbraw/zinc/38/20/71/422382071.db2.gz GRAVQEGJBBIUQT-UHFFFAOYSA-N -1 1 315.377 1.547 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]([C@@H](CO)C2CC2)C2CC2)sn1 ZINC000632411449 422320326 /nfs/dbraw/zinc/32/03/26/422320326.db2.gz BEXYIGOWQXFPJF-AAEUAGOBSA-N -1 1 316.448 1.527 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC1CN(c2ccncn2)C1 ZINC000634455436 422433547 /nfs/dbraw/zinc/43/35/47/422433547.db2.gz FNOHMCKSYPSULS-UHFFFAOYSA-N -1 1 318.764 1.383 20 0 DDADMM O=C([O-])c1coc(=NCCc2cc(F)cc3c2OCOC3)[nH]1 ZINC000577853284 422442859 /nfs/dbraw/zinc/44/28/59/422442859.db2.gz CPLCHJZSDKFUAL-UHFFFAOYSA-N -1 1 308.265 1.455 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCOC2(C)C)c1 ZINC000632573013 422445015 /nfs/dbraw/zinc/44/50/15/422445015.db2.gz NUVRBPYKBSXXHG-SNVBAGLBSA-N -1 1 315.391 1.494 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1[C@H](C)O[C@H](C)[C@H]1C ZINC000628949084 422414678 /nfs/dbraw/zinc/41/46/78/422414678.db2.gz UNOYCHBNOBLYMX-CNABLZDZSA-N -1 1 311.407 1.754 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(F)cc1F)[C@@H](O)C(F)(F)F ZINC000632673176 422508653 /nfs/dbraw/zinc/50/86/53/422508653.db2.gz JSLLFDZWDFLTLU-MLUIRONXSA-N -1 1 319.251 1.555 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@H](O)[C@@H]2CCCC[C@H]21 ZINC000634489214 422455911 /nfs/dbraw/zinc/45/59/11/422455911.db2.gz NCSRXDZYQQZMAJ-BNOWGMLFSA-N -1 1 321.446 1.905 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCSc1ccccn1 ZINC000635907389 422460354 /nfs/dbraw/zinc/46/03/54/422460354.db2.gz SVDGIJFRFVVHMW-UHFFFAOYSA-N -1 1 306.395 1.216 20 0 DDADMM C[C@@H](CCOCc1ccccc1)NC(=O)CCCc1nn[n-]n1 ZINC000635935680 422489599 /nfs/dbraw/zinc/48/95/99/422489599.db2.gz ZLVDVFKFJBIHLA-ZDUSSCGKSA-N -1 1 317.393 1.634 20 0 DDADMM CCCc1[n-]n(-c2ccc(S(N)(=O)=O)cc2)c(=O)c1CC ZINC000634582134 422492730 /nfs/dbraw/zinc/49/27/30/422492730.db2.gz LERRFTNTMGCCSU-GFCCVEGCSA-N -1 1 309.391 1.863 20 0 DDADMM COC[C@@H](c1ccc(Cl)cc1)N(C)C(=O)CCc1nn[n-]n1 ZINC000632787224 422577365 /nfs/dbraw/zinc/57/73/65/422577365.db2.gz PVPVCNZFEKVKAU-LBPRGKRZSA-N -1 1 323.784 1.632 20 0 DDADMM Cc1cccc(-c2nc(=NC[C@@H]3CCCS3(=O)=O)s[n-]2)c1 ZINC000631170820 422579951 /nfs/dbraw/zinc/57/99/51/422579951.db2.gz NLVMRSVWMFWJEG-LBPRGKRZSA-N -1 1 323.443 1.925 20 0 DDADMM O=C(c1cnn(C(F)F)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000632843640 422619935 /nfs/dbraw/zinc/61/99/35/422619935.db2.gz VOCQXFSBGGVRJU-SSDOTTSWSA-N -1 1 313.264 1.387 20 0 DDADMM CCn1ncc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1C ZINC000632843001 422621934 /nfs/dbraw/zinc/62/19/34/422621934.db2.gz BZKNAFOTKMYXFD-SNVBAGLBSA-N -1 1 305.338 1.320 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000631240333 422623268 /nfs/dbraw/zinc/62/32/68/422623268.db2.gz KRQRLOKJGYJLLS-LLVKDONJSA-N -1 1 305.769 1.802 20 0 DDADMM CC(=O)N[C@@H](C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C(C)C ZINC000629371655 422637990 /nfs/dbraw/zinc/63/79/90/422637990.db2.gz BAWBWTKKSRRKTR-OAHLLOKOSA-N -1 1 316.361 1.677 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1CC[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000629492016 422698287 /nfs/dbraw/zinc/69/82/87/422698287.db2.gz WWTKCMACAMBPHM-QWRGUYRKSA-N -1 1 324.343 1.830 20 0 DDADMM O=C(CCc1nn[n-]n1)N1C[C@H](c2ccccc2)[C@@H]2CCC[C@@H]21 ZINC000633016046 422712796 /nfs/dbraw/zinc/71/27/96/422712796.db2.gz CLYFNNJDPOFYAB-ZNMIVQPWSA-N -1 1 311.389 1.927 20 0 DDADMM Cc1ncc([C@@H](C)N2CCN(c3nc(=N)[n-]s3)CC2)c(C)n1 ZINC000638171397 422724793 /nfs/dbraw/zinc/72/47/93/422724793.db2.gz VKCJMUJXNOVPMC-SNVBAGLBSA-N -1 1 319.438 1.241 20 0 DDADMM O=C(N=c1[n-]nc([C@@H]2CCCO2)s1)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000632979835 422691109 /nfs/dbraw/zinc/69/11/09/422691109.db2.gz LXLKCYQYFPYCDM-AXFHLTTASA-N -1 1 323.422 1.170 20 0 DDADMM Cn1nccc1[C@@H]1COCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000652985172 423246269 /nfs/dbraw/zinc/24/62/69/423246269.db2.gz HFJPJZJSKCQKCC-ZDUSSCGKSA-N -1 1 305.309 1.479 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1C[C@@H]2CC[C@H](O)C[C@H]2C1 ZINC000643666090 423254747 /nfs/dbraw/zinc/25/47/47/423254747.db2.gz ZSJCCGGUTQTDPG-SRVKXCTJSA-N -1 1 313.357 1.156 20 0 DDADMM CCc1ccc(CN2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)o1 ZINC000650791506 423261905 /nfs/dbraw/zinc/26/19/05/423261905.db2.gz IXPQRRPRPPJKIU-GFCCVEGCSA-N -1 1 320.389 1.740 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H]3CC[C@H](C(=O)[O-])C3)C2)n[nH]1 ZINC000653284266 423418398 /nfs/dbraw/zinc/41/83/98/423418398.db2.gz AKANYRKHGXRAAO-GRYCIOLGSA-N -1 1 306.366 1.320 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC(C)(C(=O)[O-])CC2)n[nH]1 ZINC000646152882 423369616 /nfs/dbraw/zinc/36/96/16/423369616.db2.gz IZUCNCHZJURYGI-JTQLQIEISA-N -1 1 308.382 1.545 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCc3ccsc3)nc2n1 ZINC000643909651 423401687 /nfs/dbraw/zinc/40/16/87/423401687.db2.gz YRNMJWGNVWRUBY-UHFFFAOYSA-N -1 1 303.347 1.359 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCc1nc(-c2ccoc2)no1 ZINC000646409474 423477692 /nfs/dbraw/zinc/47/76/92/423477692.db2.gz YPBNOABNGOZFDU-UHFFFAOYSA-N -1 1 303.278 1.638 20 0 DDADMM O=C([N-]c1nc2n(n1)CCCC2)c1scc2c1OCCO2 ZINC000653871087 423634663 /nfs/dbraw/zinc/63/46/63/423634663.db2.gz LECZIYYTTHUHIA-UHFFFAOYSA-N -1 1 306.347 1.699 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2CCC(F)CC2)co1 ZINC000649149727 423653401 /nfs/dbraw/zinc/65/34/01/423653401.db2.gz VEBXNYFRXVMGRT-UHFFFAOYSA-N -1 1 318.370 1.446 20 0 DDADMM CNC(=O)C[C@H](C)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000654071862 423698091 /nfs/dbraw/zinc/69/80/91/423698091.db2.gz PBEPEXGHYQTMLK-ZETCQYMHSA-N -1 1 304.268 1.665 20 0 DDADMM Cn1nccc1[C@H](NCc1ccc(N2CCCC2)nc1)C(=O)[O-] ZINC000644598184 423719232 /nfs/dbraw/zinc/71/92/32/423719232.db2.gz HHQKBVBRBQTYPK-HNNXBMFYSA-N -1 1 315.377 1.331 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1sccc1Cl)C1N=NC(=O)N1C ZINC000641565690 423724517 /nfs/dbraw/zinc/72/45/17/423724517.db2.gz WOPNVNUEGOHLHB-RXMQYKEDSA-N -1 1 322.799 1.275 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CCF)c1cc2cc(F)ccc2o1 ZINC000651893758 423729884 /nfs/dbraw/zinc/72/98/84/423729884.db2.gz FNAZQBIFKWUFNV-SNVBAGLBSA-N -1 1 305.302 1.571 20 0 DDADMM COc1nc(N2CCN(C[C@@H]3CCCO3)CC2)ccc1C(=O)[O-] ZINC000649383198 423832212 /nfs/dbraw/zinc/83/22/12/423832212.db2.gz AVPFAQCYTDPIOY-LBPRGKRZSA-N -1 1 321.377 1.089 20 0 DDADMM C[C@H]1CN(C(C)(C)CNc2cncc(C(=O)[O-])n2)C[C@H](C)O1 ZINC000649384149 423835185 /nfs/dbraw/zinc/83/51/85/423835185.db2.gz NLVARMCDHPQGHI-QWRGUYRKSA-N -1 1 308.382 1.475 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCCO1 ZINC000652100044 423859287 /nfs/dbraw/zinc/85/92/87/423859287.db2.gz JDCBMVHRYOBOEJ-CQSZACIVSA-N -1 1 315.373 1.810 20 0 DDADMM CO[C@H](C)[C@H](C)[N-]S(=O)(=O)c1ncccc1Br ZINC000656968389 423939581 /nfs/dbraw/zinc/93/95/81/423939581.db2.gz BEHBEWZCEUAUIW-JGVFFNPUSA-N -1 1 323.212 1.546 20 0 DDADMM C[C@@H](N=c1ccc(N2C[C@H](O)C[C@H](O)C2)n[n-]1)c1ccccc1 ZINC000644873893 424001340 /nfs/dbraw/zinc/00/13/40/424001340.db2.gz WKZDCYJBYGRHGR-YUELXQCFSA-N -1 1 314.389 1.004 20 0 DDADMM COC[C@H](CC[N-]S(=O)(=O)c1nc(C)c(C)s1)OC ZINC000657053011 424045441 /nfs/dbraw/zinc/04/54/41/424045441.db2.gz NGYSPJKAKQTZLX-JTQLQIEISA-N -1 1 308.425 1.090 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)C1(CO)CC1 ZINC000657101955 424106170 /nfs/dbraw/zinc/10/61/70/424106170.db2.gz GCDYPCCIUJYDPO-MRVPVSSYSA-N -1 1 324.324 1.540 20 0 DDADMM Cn1[n-]c(CN2CCc3oc(-c4ccccc4)cc3C2)nc1=O ZINC000649676518 424125875 /nfs/dbraw/zinc/12/58/75/424125875.db2.gz KQZLCGHXXXBBOS-UHFFFAOYSA-N -1 1 310.357 1.927 20 0 DDADMM COc1cc(OC)cc(-c2noc([N-]Cc3ncn(C)n3)n2)c1 ZINC000663765656 424154540 /nfs/dbraw/zinc/15/45/40/424154540.db2.gz UVBSQSZCMDLIFG-UHFFFAOYSA-N -1 1 316.321 1.494 20 0 DDADMM C[C@@H](O)CN(C(=O)Cc1ccc([O-])c(Cl)c1)[C@H](C)CO ZINC000640161712 424229301 /nfs/dbraw/zinc/22/93/01/424229301.db2.gz REUKADCYKOZSKX-NXEZZACHSA-N -1 1 301.770 1.178 20 0 DDADMM COc1nccc(CN(CCC(=O)[O-])Cc2ccccc2)n1 ZINC000659790405 424257684 /nfs/dbraw/zinc/25/76/84/424257684.db2.gz RQJUOUHBHGPUBV-UHFFFAOYSA-N -1 1 301.346 1.962 20 0 DDADMM C[C@@H]1CN([C@H]2CCN(CC(=O)[O-])C2=O)CCc2ccc(F)cc21 ZINC000662215709 424485314 /nfs/dbraw/zinc/48/53/14/424485314.db2.gz VLODOCBKKKRLNP-ABAIWWIYSA-N -1 1 320.364 1.473 20 0 DDADMM Cc1ccc(NC(=O)CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)cc1F ZINC000662220271 424486138 /nfs/dbraw/zinc/48/61/38/424486138.db2.gz GBIUSZWQGWTEOY-BDJLRTHQSA-N -1 1 322.336 1.106 20 0 DDADMM C[C@@]1(c2ccccc2)CCCN([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662204523 424469932 /nfs/dbraw/zinc/46/99/32/424469932.db2.gz HYDBKQALAWJMSF-CRAIPNDOSA-N -1 1 316.401 1.726 20 0 DDADMM C[C@@H]1C[C@@H](c2ccccc2F)N([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662207319 424472727 /nfs/dbraw/zinc/47/27/27/424472727.db2.gz XAJAEQTXFMZZLJ-DFBGVHRSSA-N -1 1 320.364 1.894 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCSC3(CCCCC3)C2)C1=O ZINC000662206494 424474913 /nfs/dbraw/zinc/47/49/13/424474913.db2.gz QIEHUTFGHSACEB-LBPRGKRZSA-N -1 1 312.435 1.424 20 0 DDADMM O=c1nc(NCc2cccc(OC3CC3)c2F)nc2[nH][n-]cc1-2 ZINC000664311876 424528890 /nfs/dbraw/zinc/52/88/90/424528890.db2.gz QQLJXPOITUVUJT-UHFFFAOYSA-N -1 1 315.308 1.724 20 0 DDADMM CC(C)(C)C(F)(F)C[N-]S(=O)(=O)N1CCOC(C)(C)C1 ZINC000660108823 424533314 /nfs/dbraw/zinc/53/33/14/424533314.db2.gz YIDAISYJPAATJK-UHFFFAOYSA-N -1 1 314.398 1.613 20 0 DDADMM O=C([O-])CCCCC(=O)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000655542689 424556124 /nfs/dbraw/zinc/55/61/24/424556124.db2.gz AQXQVBAVJUOZTD-CQSZACIVSA-N -1 1 302.334 1.655 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)CC1 ZINC000655637959 424607930 /nfs/dbraw/zinc/60/79/30/424607930.db2.gz QRGJSEXAWRZEBT-UHFFFAOYSA-N -1 1 324.324 1.805 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3nc(C)c(C)[nH]3)no2)o1 ZINC000665093282 424742028 /nfs/dbraw/zinc/74/20/28/424742028.db2.gz CXSOQZJPFNAPTF-UHFFFAOYSA-N -1 1 323.334 1.245 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)c2ccon2)o1 ZINC000342346748 271383710 /nfs/dbraw/zinc/38/37/10/271383710.db2.gz IMCLXFWQSYXPFJ-ZETCQYMHSA-N -1 1 300.292 1.094 20 0 DDADMM Cc1cc(F)cc(NC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000344307014 271995318 /nfs/dbraw/zinc/99/53/18/271995318.db2.gz HVPIJZMBQCKSOX-UHFFFAOYSA-N -1 1 303.293 1.603 20 0 DDADMM C[C@@H](CN(C)C)[N-]S(=O)(=O)c1c(F)c(F)cc(F)c1F ZINC000344899898 272137728 /nfs/dbraw/zinc/13/77/28/272137728.db2.gz FVSXDQLEFDWIAQ-LURJTMIESA-N -1 1 314.304 1.471 20 0 DDADMM CC[C@H](C)[C@@H]1CCCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416167735 287686503 /nfs/dbraw/zinc/68/65/03/287686503.db2.gz UHDHPMJWBJAIGJ-UWVGGRQHSA-N -1 1 309.366 1.937 20 0 DDADMM CC(C)C[C@@H]1COCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283148662 279040000 /nfs/dbraw/zinc/04/00/00/279040000.db2.gz SMXHHNLCRWQUGO-GFCCVEGCSA-N -1 1 320.418 1.905 20 0 DDADMM CCC[C@@H](NC(=O)CS(=O)(=O)c1nc[n-]n1)c1ccccc1 ZINC000086169628 281015569 /nfs/dbraw/zinc/01/55/69/281015569.db2.gz PQWLXYOLEIHSQY-GFCCVEGCSA-N -1 1 322.390 1.236 20 0 DDADMM O=C(c1ccccc1-n1cccn1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129488919 281346552 /nfs/dbraw/zinc/34/65/52/281346552.db2.gz RHUGSVONBJBJAK-LBPRGKRZSA-N -1 1 323.360 1.405 20 0 DDADMM COCCC1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000130559524 281385351 /nfs/dbraw/zinc/38/53/51/281385351.db2.gz PUVKRJJIKIUUHQ-UHFFFAOYSA-N -1 1 301.346 1.470 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2cc(C)cc3c[nH]nc32)C1=O ZINC000281178535 288472457 /nfs/dbraw/zinc/47/24/57/288472457.db2.gz NOFMXMFPPANOIZ-HNNXBMFYSA-N -1 1 315.333 1.237 20 0 DDADMM Cc1nn(C)c(C)c1CCCNC(=O)c1ncc(C)cc1[O-] ZINC000361475097 299874703 /nfs/dbraw/zinc/87/47/03/299874703.db2.gz JOPHCGBHUDQJPK-UHFFFAOYSA-N -1 1 302.378 1.809 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]2CCCC[C@@H]21 ZINC000416131794 294907082 /nfs/dbraw/zinc/90/70/82/294907082.db2.gz ZXULOQICRFXGSB-ZJUUUORDSA-N -1 1 307.350 1.691 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C1CC1 ZINC000361959477 299970549 /nfs/dbraw/zinc/97/05/49/299970549.db2.gz LTHZDZKASIZTIS-JTQLQIEISA-N -1 1 321.757 1.709 20 0 DDADMM C[C@@H](CC1CCCCC1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363407964 300263655 /nfs/dbraw/zinc/26/36/55/300263655.db2.gz JOKHPZXFSOSDPI-WCQYABFASA-N -1 1 307.398 1.706 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(C(=O)N2CCCCC2)CC1 ZINC000364077593 300341383 /nfs/dbraw/zinc/34/13/83/300341383.db2.gz RNYYVWRUMIOODT-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCCN(C)C(=O)C1 ZINC000364954641 300467762 /nfs/dbraw/zinc/46/77/62/300467762.db2.gz LMUDWPCLRHZADQ-UHFFFAOYSA-N -1 1 320.393 1.092 20 0 DDADMM CN(C(=O)CN1CCC(C(=O)c2ccc([O-])cc2)CC1)C1CC1 ZINC000368777243 301088440 /nfs/dbraw/zinc/08/84/40/301088440.db2.gz KPJLDENTRWYCIC-UHFFFAOYSA-N -1 1 316.401 1.908 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N2CCOC[C@H]2C2CC2)n1 ZINC000368911642 301104922 /nfs/dbraw/zinc/10/49/22/301104922.db2.gz ACMFGTJYTGFVND-LBPRGKRZSA-N -1 1 323.418 1.474 20 0 DDADMM C[C@@H](NC(=O)N=c1nc(-c2ccsc2)[n-]s1)c1nnc[nH]1 ZINC000369615510 301205141 /nfs/dbraw/zinc/20/51/41/301205141.db2.gz JZUYFDNCJNPDBC-ZCFIWIBFSA-N -1 1 321.391 1.689 20 0 DDADMM O=C(N[C@H]1CCN(c2cccnc2)C1)c1cc(F)ccc1[O-] ZINC000370265194 301304911 /nfs/dbraw/zinc/30/49/11/301304911.db2.gz NCYZFGWKLHFYRF-LBPRGKRZSA-N -1 1 301.321 1.935 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000370271689 301306394 /nfs/dbraw/zinc/30/63/94/301306394.db2.gz WVLKDGGACRVRTN-QMMMGPOBSA-N -1 1 320.242 1.789 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H]2CCN(c3cnn(C)c3)C2)sc1C ZINC000370489927 301350333 /nfs/dbraw/zinc/35/03/33/301350333.db2.gz DJRPLPKCJYKDHG-SNVBAGLBSA-N -1 1 321.406 1.084 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CC23CCOCC3)c1 ZINC000374464088 301808140 /nfs/dbraw/zinc/80/81/40/301808140.db2.gz DFOHGCBGATWCHO-LLVKDONJSA-N -1 1 305.330 1.934 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3ncccc3C)no2)o1 ZINC000355849750 306815479 /nfs/dbraw/zinc/81/54/79/306815479.db2.gz WPXZIRHSIIMTKX-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCCC12CCOCC2 ZINC000377840664 302241651 /nfs/dbraw/zinc/24/16/51/302241651.db2.gz UMWXOGDPQMPNKB-JTQLQIEISA-N -1 1 315.395 1.023 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCCC12CCOCC2 ZINC000377840664 302241653 /nfs/dbraw/zinc/24/16/53/302241653.db2.gz UMWXOGDPQMPNKB-JTQLQIEISA-N -1 1 315.395 1.023 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1=CCOCC1 ZINC000356115267 306836175 /nfs/dbraw/zinc/83/61/75/306836175.db2.gz IBYVMLKAKLGEBE-UHFFFAOYSA-N -1 1 302.334 1.015 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cnn([C@H](C)C2CC2)c1 ZINC000357098865 306871252 /nfs/dbraw/zinc/87/12/52/306871252.db2.gz BSZYSPJUUFLVOG-SECBINFHSA-N -1 1 301.368 1.159 20 0 DDADMM CNC(=O)c1ccc(=NCC2CCc3ccccc3CC2)[n-]n1 ZINC000528077932 303001640 /nfs/dbraw/zinc/00/16/40/303001640.db2.gz RIPRCMBZAWKJRH-UHFFFAOYSA-N -1 1 310.401 1.865 20 0 DDADMM CCOC(=O)c1cnc(/C=C/c2cc(=O)n3[n-]cnc3n2)s1 ZINC000357174607 306872414 /nfs/dbraw/zinc/87/24/14/306872414.db2.gz QJWYXWYQLRFLQY-ONEGZZNKSA-N -1 1 317.330 1.221 20 0 DDADMM O=c1nc(N[C@@H]2[C@H]3Cc4c(cccc4Cl)[C@@H]23)nc2[nH][n-]cc1-2 ZINC000528215892 303010530 /nfs/dbraw/zinc/01/05/30/303010530.db2.gz HNDBAZMZDXOVQW-XXILOJSOSA-N -1 1 313.748 1.836 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1ccc(F)c(Cl)c1)c1nn[n-]n1 ZINC000529018957 303081363 /nfs/dbraw/zinc/08/13/63/303081363.db2.gz DYCBSRMXRYNVSJ-QMMMGPOBSA-N -1 1 311.748 1.797 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(F)(F)C[C@@H]2C)o1 ZINC000530016005 303170560 /nfs/dbraw/zinc/17/05/60/303170560.db2.gz PTVPWWATHGGHBM-QMMMGPOBSA-N -1 1 322.333 1.448 20 0 DDADMM C[C@H](CN1CCOCC1)N1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000530169706 303178866 /nfs/dbraw/zinc/17/88/66/303178866.db2.gz RNOZCJKGOMSHNZ-GFCCVEGCSA-N -1 1 322.380 1.603 20 0 DDADMM CC1(C)[C@H](c2ccc(F)cc2)CCN1CC(=O)NCC(=O)[O-] ZINC000530206701 303183426 /nfs/dbraw/zinc/18/34/26/303183426.db2.gz MLXRUKXOXWYESD-ZDUSSCGKSA-N -1 1 308.353 1.594 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccc(C)c(C(N)=O)c2)c(C)n1 ZINC000531039426 303226572 /nfs/dbraw/zinc/22/65/72/303226572.db2.gz ZCKXSNORGMDBTI-UHFFFAOYSA-N -1 1 319.386 1.907 20 0 DDADMM CC(C)c1nc(=NCC2(S(C)(=O)=O)CCCC2)s[n-]1 ZINC000561446541 303841894 /nfs/dbraw/zinc/84/18/94/303841894.db2.gz ZZPFDEYHYOAOKY-UHFFFAOYSA-N -1 1 303.453 1.853 20 0 DDADMM CCCN(C)S(=O)(=O)[N-][C@H](C(=O)OC)c1cccs1 ZINC000360220067 306977776 /nfs/dbraw/zinc/97/77/76/306977776.db2.gz NNMBZLGWVRTNIS-JTQLQIEISA-N -1 1 306.409 1.138 20 0 DDADMM CCC[C@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363396890 307027227 /nfs/dbraw/zinc/02/72/27/307027227.db2.gz ZQYIDBRAHSGDLJ-KBPBESRZSA-N -1 1 315.377 1.684 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](C(=O)N2CCCCC2)C1 ZINC000364134629 307041773 /nfs/dbraw/zinc/04/17/73/307041773.db2.gz OGOJNAHRCRQMSY-ZDUSSCGKSA-N -1 1 317.389 1.652 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCCO[C@H]2CCC[C@H]21 ZINC000369435773 307120180 /nfs/dbraw/zinc/12/01/80/307120180.db2.gz SYRNVTPIMMVPAW-KGLIPLIRSA-N -1 1 313.357 1.707 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@H]1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370815544 307136877 /nfs/dbraw/zinc/13/68/77/307136877.db2.gz YOBQVICFTMQPJE-MXWKQRLJSA-N -1 1 319.390 1.273 20 0 DDADMM Cn1cc(-c2cc(NC(=O)[N-]O[C@H]3CCCCO3)n(C)n2)cn1 ZINC000373164993 307180709 /nfs/dbraw/zinc/18/07/09/307180709.db2.gz QQXYWHKYAYGFJW-ZDUSSCGKSA-N -1 1 320.353 1.400 20 0 DDADMM CC[C@H]1CN(C(=O)c2cncc([O-])c2)C[C@]2(CCCOC2)O1 ZINC000373518446 307189954 /nfs/dbraw/zinc/18/99/54/307189954.db2.gz CVPZOXGMMUKJMB-HOCLYGCPSA-N -1 1 306.362 1.587 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC=C(c2ccccc2)CC1 ZINC000373943844 307198378 /nfs/dbraw/zinc/19/83/78/307198378.db2.gz WPSPBLHPFGWYKQ-UHFFFAOYSA-N -1 1 319.386 1.874 20 0 DDADMM CC(C)N1CC(NC(=O)c2ccc(Br)cc2[O-])C1 ZINC000379103865 307292842 /nfs/dbraw/zinc/29/28/42/307292842.db2.gz GOCJMABEPCAKCO-UHFFFAOYSA-N -1 1 313.195 1.977 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2C[C@@]2(C)C(C)C)o1 ZINC000416544927 307299612 /nfs/dbraw/zinc/29/96/12/307299612.db2.gz HHGPXUUSKIDERV-GWCFXTLKSA-N -1 1 301.364 1.779 20 0 DDADMM O=C(NCc1n[nH]c(=O)[n-]1)c1cn[nH]c1-c1ccc(Cl)s1 ZINC000427255729 307302914 /nfs/dbraw/zinc/30/29/14/307302914.db2.gz HLRUZWGCSCCXJI-UHFFFAOYSA-N -1 1 324.753 1.545 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cccc2c1O[C@H](C)C2 ZINC000451423169 307306634 /nfs/dbraw/zinc/30/66/34/307306634.db2.gz DPCVEVKQDGGTAE-MRVPVSSYSA-N -1 1 314.345 1.075 20 0 DDADMM COCCn1cc(S(=O)(=O)[N-]c2cccc(O)c2F)cn1 ZINC000534317712 307648004 /nfs/dbraw/zinc/64/80/04/307648004.db2.gz CWKCJFONMZUUBZ-UHFFFAOYSA-N -1 1 315.326 1.175 20 0 DDADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCc2ccccc2C1 ZINC000542769182 307700785 /nfs/dbraw/zinc/70/07/85/307700785.db2.gz NQHBZRSZPDQYJD-ZDUSSCGKSA-N -1 1 323.356 1.047 20 0 DDADMM O=C([O-])[C@@H](C1CC1)N1C[C@H]2Oc3c(F)ccc(F)c3O[C@H]2C1 ZINC000565102949 308020451 /nfs/dbraw/zinc/02/04/51/308020451.db2.gz CTMCIZBOTRXTEZ-GRYCIOLGSA-N -1 1 311.284 1.652 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCC[C@@H](n2ccnn2)C1 ZINC000565104699 308020541 /nfs/dbraw/zinc/02/05/41/308020541.db2.gz KVUDEXLDJLLOSW-GFCCVEGCSA-N -1 1 304.350 1.969 20 0 DDADMM Cc1ccc([C@@H](N)C(=O)N2C[C@@H]3CCC[C@@]3(C(=O)[O-])C2)cc1 ZINC000568008057 308103861 /nfs/dbraw/zinc/10/38/61/308103861.db2.gz HULPCPZMPDTUQF-JJRVBVJISA-N -1 1 302.374 1.708 20 0 DDADMM C[C@@H]1CCN(CC(=O)Nc2ccc(F)c(F)c2)C[C@H]1C(=O)[O-] ZINC000570450637 308169763 /nfs/dbraw/zinc/16/97/63/308169763.db2.gz NNSXWYJAJDVBEC-MWLCHTKSSA-N -1 1 312.316 1.946 20 0 DDADMM Cc1nc(CS(=O)(=O)c2nc(-c3ccc(C)cc3)n[n-]2)no1 ZINC000573788335 308252461 /nfs/dbraw/zinc/25/24/61/308252461.db2.gz ICOQSSZPYBYPRM-UHFFFAOYSA-N -1 1 319.346 1.445 20 0 DDADMM Cc1nc(CS(=O)(=O)c2n[n-]c(-c3ccc(C)cc3)n2)no1 ZINC000573788335 308252462 /nfs/dbraw/zinc/25/24/62/308252462.db2.gz ICOQSSZPYBYPRM-UHFFFAOYSA-N -1 1 319.346 1.445 20 0 DDADMM O=C(c1cccc2oc(=O)[nH]c21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000574217917 308265232 /nfs/dbraw/zinc/26/52/32/308265232.db2.gz WVDCAGZPVLIKJB-MRVPVSSYSA-N -1 1 314.305 1.066 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)[C@@H]1CCC(=O)c2ccccc21 ZINC000576050042 308286880 /nfs/dbraw/zinc/28/68/80/308286880.db2.gz XEGBHNWANTXZJI-LLVKDONJSA-N -1 1 313.313 1.895 20 0 DDADMM C[C@@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1c1ccccc1 ZINC000576372957 308310468 /nfs/dbraw/zinc/31/04/68/308310468.db2.gz RUWYDDMCJVRVKV-MEDUHNTESA-N -1 1 309.329 1.094 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)C(=O)OC ZINC000576818945 308341875 /nfs/dbraw/zinc/34/18/75/308341875.db2.gz FJMCGQNYQPXYOO-SDDRHHMPSA-N -1 1 307.412 1.063 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-][C@@H]2CCCC[C@@H]2C(F)(F)F)n1 ZINC000576982877 308354246 /nfs/dbraw/zinc/35/42/46/308354246.db2.gz ARECMONVXPCQOE-DTWKUNHWSA-N -1 1 311.329 1.820 20 0 DDADMM O=C(c1cc2ccncc2s1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000577339897 308379848 /nfs/dbraw/zinc/37/98/48/308379848.db2.gz KTIFWOCGASSZMQ-SNVBAGLBSA-N -1 1 314.374 1.829 20 0 DDADMM COCc1cc(Oc2ccc([N-]S(C)(=O)=O)nc2)ncn1 ZINC000577415094 308387312 /nfs/dbraw/zinc/38/73/12/308387312.db2.gz QQOBIUKQJNOYNK-UHFFFAOYSA-N -1 1 310.335 1.182 20 0 DDADMM Cc1ccc(N2CCN(C(=O)c3ncccc3[O-])CC2=O)o1 ZINC000372201393 325736941 /nfs/dbraw/zinc/73/69/41/325736941.db2.gz NGFMQVONVVHUKA-UHFFFAOYSA-N -1 1 301.302 1.178 20 0 DDADMM COCC[C@H](COC)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000584201389 331860748 /nfs/dbraw/zinc/86/07/48/331860748.db2.gz RYDOZEXTZCJBLG-GFCCVEGCSA-N -1 1 313.375 1.763 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C12CCC2 ZINC000584340012 333804313 /nfs/dbraw/zinc/80/43/13/333804313.db2.gz CIQBAFUKUNUXML-QWRGUYRKSA-N -1 1 316.448 1.996 20 0 DDADMM Cc1ncc2c(n1)CCN(C(=O)c1c(F)ccc([O-])c1F)C2 ZINC000582447241 337019882 /nfs/dbraw/zinc/01/98/82/337019882.db2.gz CVFMMJVCPZLTPA-UHFFFAOYSA-N -1 1 305.284 1.967 20 0 DDADMM Cc1ccc(CC[C@@H](C)NS(=O)(=O)c2c(C)o[n-]c2=N)o1 ZINC000582454189 337055692 /nfs/dbraw/zinc/05/56/92/337055692.db2.gz PYLVPVDQFAWISY-MRVPVSSYSA-N -1 1 313.379 1.597 20 0 DDADMM Cc1ccc(CC[C@@H](C)[N-]S(=O)(=O)c2c(C)onc2N)o1 ZINC000582454189 337055693 /nfs/dbraw/zinc/05/56/93/337055693.db2.gz PYLVPVDQFAWISY-MRVPVSSYSA-N -1 1 313.379 1.597 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCCCC(N)=O)c(F)c1 ZINC000582482803 337096876 /nfs/dbraw/zinc/09/68/76/337096876.db2.gz AUTJNGXUNJZVTF-UHFFFAOYSA-N -1 1 306.334 1.207 20 0 DDADMM O=C(Nc1ccc(C2CCC2)cc1)c1cc(=O)n2[n-]cnc2n1 ZINC000583120240 337243723 /nfs/dbraw/zinc/24/37/23/337243723.db2.gz YTZZDURDLABEFM-UHFFFAOYSA-N -1 1 309.329 1.937 20 0 DDADMM CC(=O)NCCN(C)c1ccc(=NCc2ccccc2F)[n-]n1 ZINC000583261431 337293570 /nfs/dbraw/zinc/29/35/70/337293570.db2.gz ZLYDVOMASHMRJC-UHFFFAOYSA-N -1 1 317.368 1.222 20 0 DDADMM NC(=O)CC1(C[N-]S(=O)(=O)c2cc3ccccc3o2)CC1 ZINC000584463023 337344526 /nfs/dbraw/zinc/34/45/26/337344526.db2.gz WLOZYVYNWNDCFD-UHFFFAOYSA-N -1 1 308.359 1.367 20 0 DDADMM CCOc1ccccc1CCN=c1ccc(C(=O)N(C)C)n[n-]1 ZINC000413116751 484042148 /nfs/dbraw/zinc/04/21/48/484042148.db2.gz HQHWTRNIUCFOJD-UHFFFAOYSA-N -1 1 314.389 1.654 20 0 DDADMM O=C(c1ncccc1Cl)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425303800 484115935 /nfs/dbraw/zinc/11/59/35/484115935.db2.gz PCFZKGUOSQSGCL-MRVPVSSYSA-N -1 1 308.725 1.843 20 0 DDADMM COC(=O)Cn1ncc(NC(=O)c2ccc(OC)cc2[O-])c1C ZINC000436984682 484133440 /nfs/dbraw/zinc/13/34/40/484133440.db2.gz ZJNARARWEQSNAI-UHFFFAOYSA-N -1 1 319.317 1.331 20 0 DDADMM COc1ccc(-c2nnc([N-][C@H](C)C(=O)NCCF)o2)cc1 ZINC000656469831 484153910 /nfs/dbraw/zinc/15/39/10/484153910.db2.gz AQSZSIBAQQTQMD-SECBINFHSA-N -1 1 308.313 1.631 20 0 DDADMM CCn1ccc([N-]S(=O)(=O)N2CCc3ccccc3C2)n1 ZINC000656634823 484252509 /nfs/dbraw/zinc/25/25/09/484252509.db2.gz HMZHPJNFVOOOPO-UHFFFAOYSA-N -1 1 306.391 1.618 20 0 DDADMM CC(C)(C)[C@@H](C(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000663107687 484673564 /nfs/dbraw/zinc/67/35/64/484673564.db2.gz LJVHCXQSVRHDGK-GFCCVEGCSA-N -1 1 302.334 1.953 20 0 DDADMM C[C@H]1CC[C@H](C)N1CC(=O)Nc1cnn(C(C)(C)C(=O)[O-])c1 ZINC000663128992 484690940 /nfs/dbraw/zinc/69/09/40/484690940.db2.gz UUCUKPFEIVUVKG-QWRGUYRKSA-N -1 1 308.382 1.514 20 0 DDADMM O=C(c1ccc(O)cc1F)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670383991 484801140 /nfs/dbraw/zinc/80/11/40/484801140.db2.gz XBDJCEQHCJXYCH-QMMMGPOBSA-N -1 1 307.281 1.640 20 0 DDADMM CNC(=O)[C@@H]1CCCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000668575730 485223076 /nfs/dbraw/zinc/22/30/76/485223076.db2.gz VVWNLSXCYCXJIV-GFCCVEGCSA-N -1 1 313.357 1.539 20 0 DDADMM NC(=O)CC1CCN(C(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000666607034 485293215 /nfs/dbraw/zinc/29/32/15/485293215.db2.gz QNTNGHMLPMXIST-UHFFFAOYSA-N -1 1 313.357 1.668 20 0 DDADMM COc1cc(C(=O)N2CC(F)(F)C[C@H]2CO)cc(Cl)c1[O-] ZINC000682364732 485569894 /nfs/dbraw/zinc/56/98/94/485569894.db2.gz RRWRMYKOJZGTSZ-QMMMGPOBSA-N -1 1 321.707 1.896 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)c2c(C)noc2C)c1 ZINC000678823995 485698836 /nfs/dbraw/zinc/69/88/36/485698836.db2.gz TXOZIERILKUDQE-UHFFFAOYSA-N -1 1 312.347 1.831 20 0 DDADMM Cc1cc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c(C)[nH]1 ZINC000679113608 485780593 /nfs/dbraw/zinc/78/05/93/485780593.db2.gz CFWRZOWXIUQNGT-UHFFFAOYSA-N -1 1 309.347 1.237 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H](C)[C@H](F)C1 ZINC000679260919 485824993 /nfs/dbraw/zinc/82/49/93/485824993.db2.gz ZNOLJTKSPUNODM-TVQRCGJNSA-N -1 1 320.368 1.801 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCO1 ZINC000679545727 485915418 /nfs/dbraw/zinc/91/54/18/485915418.db2.gz PGRWTLWAKLNWOU-MGPQQGTHSA-N -1 1 315.377 1.611 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CC12CCC2 ZINC000675336004 485917733 /nfs/dbraw/zinc/91/77/33/485917733.db2.gz NWHPJWBWPYHOQA-GFCCVEGCSA-N -1 1 300.362 1.858 20 0 DDADMM CCCn1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cn1 ZINC000679836127 486007841 /nfs/dbraw/zinc/00/78/41/486007841.db2.gz YSVCCODIHWOBAS-CYBMUJFWSA-N -1 1 311.349 1.326 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(C[C@H]2CCCO2)CC1 ZINC000681016322 486323604 /nfs/dbraw/zinc/32/36/04/486323604.db2.gz NBEJEQBKEAKCCU-CYBMUJFWSA-N -1 1 308.353 1.468 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2C1=O)c1ccc([O-])c(F)c1 ZINC000681059457 486334618 /nfs/dbraw/zinc/33/46/18/486334618.db2.gz SWTJWKKKUQBRPP-GFCCVEGCSA-N -1 1 301.273 1.905 20 0 DDADMM CC(C)Nc1ncccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677048089 486385833 /nfs/dbraw/zinc/38/58/33/486385833.db2.gz SLSZXZPNTZQGQJ-NSHDSACASA-N -1 1 315.381 1.435 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@H](C)O[C@@H](C(F)(F)F)C2)c([O-])c1 ZINC000681735887 486505298 /nfs/dbraw/zinc/50/52/98/486505298.db2.gz ZKUYKTNVDPGZCQ-PSASIEDQSA-N -1 1 304.268 1.887 20 0 DDADMM C[C@@H](CN=c1[n-]cc(S(N)(=O)=O)s1)CC(F)(F)F ZINC000413537829 533870515 /nfs/dbraw/zinc/87/05/15/533870515.db2.gz SBOLZHBVFVEDSC-RXMQYKEDSA-N -1 1 303.331 1.213 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1c(F)c(F)cc(F)c1F)N(C)C ZINC000451924765 534071424 /nfs/dbraw/zinc/07/14/24/534071424.db2.gz FBHAWRYDDJPANZ-LURJTMIESA-N -1 1 314.304 1.471 20 0 DDADMM COc1ccc(NC(=O)[C@@]2(C)C[C@@H]2F)cc1[N-]S(C)(=O)=O ZINC000424558641 534156274 /nfs/dbraw/zinc/15/62/74/534156274.db2.gz FOLWFRHVUQEVDB-AAEUAGOBSA-N -1 1 316.354 1.753 20 0 DDADMM C[C@@H](C(=O)[N-]OCC(N)=O)c1cccc(Br)c1 ZINC000291874934 518176552 /nfs/dbraw/zinc/17/65/52/518176552.db2.gz IJTCMPQIDPVFNN-SSDOTTSWSA-N -1 1 301.140 1.086 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H]3CC[C@H](C)O3)cnc2n1 ZINC000412417551 534400633 /nfs/dbraw/zinc/40/06/33/534400633.db2.gz NIROYVZQUCLPNR-WDEREUQCSA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@H]1CC[C@H](C)O1)c2=O ZINC000412417551 534400639 /nfs/dbraw/zinc/40/06/39/534400639.db2.gz NIROYVZQUCLPNR-WDEREUQCSA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)c3ccn(C)n3)cnc2n1 ZINC000412412801 534401413 /nfs/dbraw/zinc/40/14/13/534401413.db2.gz DSQFSRPMGQRZHT-SNVBAGLBSA-N -1 1 311.345 1.868 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)c1ccn(C)n1)c2=O ZINC000412412801 534401420 /nfs/dbraw/zinc/40/14/20/534401420.db2.gz DSQFSRPMGQRZHT-SNVBAGLBSA-N -1 1 311.345 1.868 20 0 DDADMM CC(C)(C)OC(=O)Nc1cccc(CC(=O)Nc2nnn[n-]2)c1 ZINC000494589651 526497305 /nfs/dbraw/zinc/49/73/05/526497305.db2.gz KGWLFMPJPHPVDZ-UHFFFAOYSA-N -1 1 318.337 1.728 20 0 DDADMM CC(C)(C)OC(=O)Nc1cccc(CC(=O)Nc2nn[n-]n2)c1 ZINC000494589651 526497308 /nfs/dbraw/zinc/49/73/08/526497308.db2.gz KGWLFMPJPHPVDZ-UHFFFAOYSA-N -1 1 318.337 1.728 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1sccc1Cl)OCCO ZINC000451559889 527176285 /nfs/dbraw/zinc/17/62/85/527176285.db2.gz UPOBXNCDGKPXAE-UHFFFAOYSA-N -1 1 313.828 1.467 20 0 DDADMM CC(C)C1CCC(CN=c2ccc(C(=O)NCCO)n[n-]2)CC1 ZINC000432069248 527628731 /nfs/dbraw/zinc/62/87/31/527628731.db2.gz LCEQKTYARXOOOJ-UHFFFAOYSA-N -1 1 320.437 1.495 20 0 DDADMM CCOC(=O)N1CCC(NC(=O)c2ncc(C)cc2[O-])CC1 ZINC000495322116 528956376 /nfs/dbraw/zinc/95/63/76/528956376.db2.gz MOMQWMCVWPRXMR-UHFFFAOYSA-N -1 1 307.350 1.446 20 0 DDADMM CCOC(=O)N1CCC[C@@H](C(=O)[N-]O[C@@H]2CCCCO2)C1 ZINC000490991605 528971531 /nfs/dbraw/zinc/97/15/31/528971531.db2.gz TUWIPAHAQQAEFP-VXGBXAGGSA-N -1 1 300.355 1.429 20 0 DDADMM CCOC(=O)N1CCC[C@H](C(=O)[N-]O[C@H]2CCCCO2)C1 ZINC000490991604 528979803 /nfs/dbraw/zinc/97/98/03/528979803.db2.gz TUWIPAHAQQAEFP-RYUDHWBXSA-N -1 1 300.355 1.429 20 0 DDADMM CC[C@H](CNC(=O)c1cncc([O-])c1)NC(=O)OC(C)(C)C ZINC000295835257 529360035 /nfs/dbraw/zinc/36/00/35/529360035.db2.gz QXYWFBZXCRTRHX-LLVKDONJSA-N -1 1 309.366 1.820 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CC[C@@H](C)C2)co1 ZINC000334070741 536312479 /nfs/dbraw/zinc/31/24/79/536312479.db2.gz PAIVLOZLMUOTFH-ZJUUUORDSA-N -1 1 300.380 1.354 20 0 DDADMM O[C@H]1CCC[C@@H](Nc2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000738390211 598960582 /nfs/dbraw/zinc/96/05/82/598960582.db2.gz QJTKCWCHWZGHFB-ZJUUUORDSA-N -1 1 311.349 1.525 20 0 DDADMM O[C@H]1CCC[C@@H](Nc2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000738390211 598960584 /nfs/dbraw/zinc/96/05/84/598960584.db2.gz QJTKCWCHWZGHFB-ZJUUUORDSA-N -1 1 311.349 1.525 20 0 DDADMM CCN(Cc1ccccc1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736558656 598979029 /nfs/dbraw/zinc/97/90/29/598979029.db2.gz OXFQYGRATAJQAX-UHFFFAOYSA-N -1 1 308.345 1.924 20 0 DDADMM CCN(Cc1ccccc1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736558656 598979030 /nfs/dbraw/zinc/97/90/30/598979030.db2.gz OXFQYGRATAJQAX-UHFFFAOYSA-N -1 1 308.345 1.924 20 0 DDADMM CN(C(=O)c1ccc(-c2nnn[n-]2)nc1)c1nc2ccccc2o1 ZINC000736741600 598986022 /nfs/dbraw/zinc/98/60/22/598986022.db2.gz GLGZJJILSBOCRM-UHFFFAOYSA-N -1 1 321.300 1.680 20 0 DDADMM CN(C(=O)c1ccc(-c2nn[n-]n2)nc1)c1nc2ccccc2o1 ZINC000736741600 598986024 /nfs/dbraw/zinc/98/60/24/598986024.db2.gz GLGZJJILSBOCRM-UHFFFAOYSA-N -1 1 321.300 1.680 20 0 DDADMM CC[C@@H]1CCCC[C@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736400441 598990435 /nfs/dbraw/zinc/99/04/35/598990435.db2.gz OFYWOEGVYKSRGK-ZYHUDNBSSA-N -1 1 300.366 1.960 20 0 DDADMM CC[C@@H]1CCCC[C@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736400441 598990437 /nfs/dbraw/zinc/99/04/37/598990437.db2.gz OFYWOEGVYKSRGK-ZYHUDNBSSA-N -1 1 300.366 1.960 20 0 DDADMM C[C@H]1CCC[C@@H](CNC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736251487 598997138 /nfs/dbraw/zinc/99/71/38/598997138.db2.gz OQWSPBCRZNVARY-WDEREUQCSA-N -1 1 300.366 1.818 20 0 DDADMM C[C@H]1CCC[C@@H](CNC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736251487 598997140 /nfs/dbraw/zinc/99/71/40/598997140.db2.gz OQWSPBCRZNVARY-WDEREUQCSA-N -1 1 300.366 1.818 20 0 DDADMM C[C@@H](c1ccncc1)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736201431 598998584 /nfs/dbraw/zinc/99/85/84/598998584.db2.gz FEUXFJXKAJOPMW-JTQLQIEISA-N -1 1 309.333 1.490 20 0 DDADMM C[C@@H](c1ccncc1)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736201431 598998586 /nfs/dbraw/zinc/99/85/86/598998586.db2.gz FEUXFJXKAJOPMW-JTQLQIEISA-N -1 1 309.333 1.490 20 0 DDADMM CN(C(=O)c1ccc(-c2nnn[n-]2)nc1)c1cc(F)ccc1F ZINC000736743057 599000353 /nfs/dbraw/zinc/00/03/53/599000353.db2.gz XZDXKNCPUFDTKB-UHFFFAOYSA-N -1 1 316.271 1.817 20 0 DDADMM CN(C(=O)c1ccc(-c2nn[n-]n2)nc1)c1cc(F)ccc1F ZINC000736743057 599000355 /nfs/dbraw/zinc/00/03/55/599000355.db2.gz XZDXKNCPUFDTKB-UHFFFAOYSA-N -1 1 316.271 1.817 20 0 DDADMM O=C(Nc1cc(F)c(F)cc1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738144145 599003564 /nfs/dbraw/zinc/00/35/64/599003564.db2.gz JGMOXOUVXDGLMQ-UHFFFAOYSA-N -1 1 320.234 1.931 20 0 DDADMM O=C(Nc1cc(F)c(F)cc1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738144145 599003566 /nfs/dbraw/zinc/00/35/66/599003566.db2.gz JGMOXOUVXDGLMQ-UHFFFAOYSA-N -1 1 320.234 1.931 20 0 DDADMM C[C@@H]1Cc2ccccc2[C@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736296823 599005799 /nfs/dbraw/zinc/00/57/99/599005799.db2.gz JYJAHTUDDFZGAT-BMIGLBTASA-N -1 1 320.356 1.925 20 0 DDADMM C[C@@H]1Cc2ccccc2[C@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736296823 599005800 /nfs/dbraw/zinc/00/58/00/599005800.db2.gz JYJAHTUDDFZGAT-BMIGLBTASA-N -1 1 320.356 1.925 20 0 DDADMM CC(C)C[C@@H]1COCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735796205 599007002 /nfs/dbraw/zinc/00/70/02/599007002.db2.gz WVSGXVKOAZZTEO-GFCCVEGCSA-N -1 1 316.365 1.149 20 0 DDADMM CC(C)C[C@@H]1COCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735796205 599007004 /nfs/dbraw/zinc/00/70/04/599007004.db2.gz WVSGXVKOAZZTEO-GFCCVEGCSA-N -1 1 316.365 1.149 20 0 DDADMM O=C(NC[C@H]1Cc2ccccc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738052233 599024645 /nfs/dbraw/zinc/02/46/45/599024645.db2.gz SFVMARCEVGHATP-GFCCVEGCSA-N -1 1 306.329 1.331 20 0 DDADMM O=C(NC[C@H]1Cc2ccccc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738052233 599024648 /nfs/dbraw/zinc/02/46/48/599024648.db2.gz SFVMARCEVGHATP-GFCCVEGCSA-N -1 1 306.329 1.331 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC[C@H](c2ncc[nH]2)C1 ZINC000738259640 599028698 /nfs/dbraw/zinc/02/86/98/599028698.db2.gz UETVFSGQKZSXHZ-NSHDSACASA-N -1 1 324.348 1.005 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@H](c2ncc[nH]2)C1 ZINC000738259640 599028700 /nfs/dbraw/zinc/02/87/00/599028700.db2.gz UETVFSGQKZSXHZ-NSHDSACASA-N -1 1 324.348 1.005 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)[C@H](C)N(C)CCC(=O)[O-])c1 ZINC000817715959 596997401 /nfs/dbraw/zinc/99/74/01/596997401.db2.gz RFOOYDBYYATEFU-JTQLQIEISA-N -1 1 307.350 1.379 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)N(C)CCC(=O)[O-])c(OC)c1 ZINC000818598985 597002472 /nfs/dbraw/zinc/00/24/72/597002472.db2.gz BNEKIPNYEIBXCH-SNVBAGLBSA-N -1 1 310.350 1.437 20 0 DDADMM O=C([O-])[C@H]1CCN(C(=O)c2ccc3c(=O)[nH]c(=S)[nH]c3c2)C1 ZINC000819275851 597505576 /nfs/dbraw/zinc/50/55/76/597505576.db2.gz ZRIFQWDASRUVCT-QMMMGPOBSA-N -1 1 319.342 1.171 20 0 DDADMM CC(C)(NCC(=O)NCC(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC000819948152 597775467 /nfs/dbraw/zinc/77/54/67/597775467.db2.gz BZNGTBCETFDPOB-UHFFFAOYSA-N -1 1 318.295 1.731 20 0 DDADMM O=C([O-])CNC(=O)CN[C@@H](c1ccccc1F)C1CCCC1 ZINC000821530387 597809368 /nfs/dbraw/zinc/80/93/68/597809368.db2.gz IGEZPCFTLBHDIT-MRXNPFEDSA-N -1 1 308.353 1.847 20 0 DDADMM C[C@H](O)CN1CCN(C(=O)Nc2ccccc2C(=O)[O-])C[C@@H]1C ZINC000820161950 598178879 /nfs/dbraw/zinc/17/88/79/598178879.db2.gz UZXRBVAVAPKWOC-RYUDHWBXSA-N -1 1 321.377 1.304 20 0 DDADMM CCCN(CC(C)C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736470320 598270266 /nfs/dbraw/zinc/27/02/66/598270266.db2.gz NPCZECWSXSNGIF-UHFFFAOYSA-N -1 1 324.410 1.318 20 0 DDADMM CCCN(CC(C)C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736470320 598270267 /nfs/dbraw/zinc/27/02/67/598270267.db2.gz NPCZECWSXSNGIF-UHFFFAOYSA-N -1 1 324.410 1.318 20 0 DDADMM c1ccc2nc(CCNc3nccnc3-c3nnn[n-]3)ccc2c1 ZINC000738443028 598351082 /nfs/dbraw/zinc/35/10/82/598351082.db2.gz UIOFFCRDKMXQLZ-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM c1ccc2nc(CCNc3nccnc3-c3nn[n-]n3)ccc2c1 ZINC000738443028 598351084 /nfs/dbraw/zinc/35/10/84/598351084.db2.gz UIOFFCRDKMXQLZ-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM c1nn(-c2ccccc2)cc1CNc1cnc(-c2nnn[n-]2)cn1 ZINC000738427505 598385804 /nfs/dbraw/zinc/38/58/04/598385804.db2.gz WRIQVIWNYZSHPB-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1nn(-c2ccccc2)cc1CNc1cnc(-c2nn[n-]n2)cn1 ZINC000738427505 598385806 /nfs/dbraw/zinc/38/58/06/598385806.db2.gz WRIQVIWNYZSHPB-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM O=CNc1ccc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])cc1 ZINC000823551254 607358942 /nfs/dbraw/zinc/35/89/42/607358942.db2.gz KWBHNXUFWTYMOV-UHFFFAOYSA-N -1 1 324.300 1.393 20 0 DDADMM COC(=O)Cc1cccc(Oc2nccnc2-c2nn[n-]n2)c1 ZINC000736930042 598580490 /nfs/dbraw/zinc/58/04/90/598580490.db2.gz DQADLYFZYAXACG-UHFFFAOYSA-N -1 1 312.289 1.165 20 0 DDADMM COC1(C)CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000826143847 608411916 /nfs/dbraw/zinc/41/19/16/608411916.db2.gz RWSUROQICCBLHC-UHFFFAOYSA-N -1 1 308.773 1.920 20 0 DDADMM CCCN(CC)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000821094487 599340422 /nfs/dbraw/zinc/34/04/22/599340422.db2.gz KMTJGLFMCQATOM-UHFFFAOYSA-N -1 1 313.358 1.426 20 0 DDADMM CCCN(CC)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000821094487 599340426 /nfs/dbraw/zinc/34/04/26/599340426.db2.gz KMTJGLFMCQATOM-UHFFFAOYSA-N -1 1 313.358 1.426 20 0 DDADMM CC(C)n1nnnc1Sc1cccc(F)c1-c1nn[n-]n1 ZINC000820219932 599359606 /nfs/dbraw/zinc/35/96/06/599359606.db2.gz LPRPAJOFAXOXQL-UHFFFAOYSA-N -1 1 306.330 1.724 20 0 DDADMM c1csc([C@H]2CN(c3cccc(-c4nnn[n-]4)n3)CCO2)n1 ZINC000738413354 599423861 /nfs/dbraw/zinc/42/38/61/599423861.db2.gz UHCAASUVWUPLTC-SNVBAGLBSA-N -1 1 315.362 1.296 20 0 DDADMM c1csc([C@H]2CN(c3cccc(-c4nn[n-]n4)n3)CCO2)n1 ZINC000738413354 599423862 /nfs/dbraw/zinc/42/38/62/599423862.db2.gz UHCAASUVWUPLTC-SNVBAGLBSA-N -1 1 315.362 1.296 20 0 DDADMM O=C(CNc1cccc(-c2nnn[n-]2)n1)N1CCc2ccccc21 ZINC000737898871 599426566 /nfs/dbraw/zinc/42/65/66/599426566.db2.gz LODDSJZVJFHOHC-UHFFFAOYSA-N -1 1 321.344 1.263 20 0 DDADMM O=C(CNc1cccc(-c2nn[n-]n2)n1)N1CCc2ccccc21 ZINC000737898871 599426571 /nfs/dbraw/zinc/42/65/71/599426571.db2.gz LODDSJZVJFHOHC-UHFFFAOYSA-N -1 1 321.344 1.263 20 0 DDADMM COC(=O)c1ccc2c(c1)CN(c1cccc(-c3nnn[n-]3)n1)C2 ZINC000736948631 599431936 /nfs/dbraw/zinc/43/19/36/599431936.db2.gz NEGFGFRLDYPBSN-UHFFFAOYSA-N -1 1 322.328 1.569 20 0 DDADMM COC(=O)c1ccc2c(c1)CN(c1cccc(-c3nn[n-]n3)n1)C2 ZINC000736948631 599431939 /nfs/dbraw/zinc/43/19/39/599431939.db2.gz NEGFGFRLDYPBSN-UHFFFAOYSA-N -1 1 322.328 1.569 20 0 DDADMM CCN(CCNS(=O)(=O)c1cccc(C(=O)[O-])c1)C1CC1 ZINC000217929911 599800776 /nfs/dbraw/zinc/80/07/76/599800776.db2.gz ZWCGMKXTSOACMZ-UHFFFAOYSA-N -1 1 312.391 1.147 20 0 DDADMM C[C@H]1CN(c2ccc(C(=O)[O-])cc2)CCN1CCn1cncn1 ZINC000736823447 600025594 /nfs/dbraw/zinc/02/55/94/600025594.db2.gz MMQLTGOWPBEFJV-ZDUSSCGKSA-N -1 1 315.377 1.187 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN([C@@H]1CCN(c3ccccc3)C1=O)CC2 ZINC000739531329 600291609 /nfs/dbraw/zinc/29/16/09/600291609.db2.gz GVAFVVVHDCYDFO-LSDHHAIUSA-N -1 1 314.385 1.979 20 0 DDADMM CC[C@H](O)[C@H]1CCCCN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000736923619 600391772 /nfs/dbraw/zinc/39/17/72/600391772.db2.gz JAPIPHLNLRTWIK-CABCVRRESA-N -1 1 320.389 1.949 20 0 DDADMM C[C@H](O)CN1CCN([C@H](C(=O)[O-])c2cccc(Cl)c2)CC1 ZINC000736675084 600408124 /nfs/dbraw/zinc/40/81/24/600408124.db2.gz BHJDGGTXKPQRCN-FZMZJTMJSA-N -1 1 312.797 1.464 20 0 DDADMM CCc1cc(CNS(=O)(=O)Cc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000737254510 600446025 /nfs/dbraw/zinc/44/60/25/600446025.db2.gz PVCJIBMTCIGZEF-UHFFFAOYSA-N -1 1 323.374 1.290 20 0 DDADMM CCN(CC(=O)c1[nH]c(C)c(C(=O)[O-])c1C)C[C@@H]1CCOC1 ZINC000737079453 600508643 /nfs/dbraw/zinc/50/86/43/600508643.db2.gz MQPWSNVXVWOXDX-LBPRGKRZSA-N -1 1 308.378 1.871 20 0 DDADMM CC(=O)c1ccc(S(=O)(=O)Nc2cnccc2C(=O)[O-])cc1 ZINC000316088776 600514111 /nfs/dbraw/zinc/51/41/11/600514111.db2.gz AJYPBZTZJRVSES-UHFFFAOYSA-N -1 1 320.326 1.783 20 0 DDADMM CC[C@@H](O)CN1CCN(CCOc2cccc(C(=O)[O-])c2)CC1 ZINC000736927382 600557881 /nfs/dbraw/zinc/55/78/81/600557881.db2.gz NUWVYVITHWIBSR-OAHLLOKOSA-N -1 1 322.405 1.152 20 0 DDADMM CC(C)N(CCC(=O)[O-])CN1C(=O)C[C@]2(CCSC2)C1=O ZINC000736469059 600609122 /nfs/dbraw/zinc/60/91/22/600609122.db2.gz WSVFEGPSRNSEIY-AWEZNQCLSA-N -1 1 314.407 1.011 20 0 DDADMM COC[C@H]1CCCN(CC(=O)NC2(C(=O)[O-])CCCCC2)C1 ZINC000737753525 600614294 /nfs/dbraw/zinc/61/42/94/600614294.db2.gz MXAJAAVFHLBEBO-ZDUSSCGKSA-N -1 1 312.410 1.249 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1cc(F)cc2c1OCOC2 ZINC000737794291 600640689 /nfs/dbraw/zinc/64/06/89/600640689.db2.gz LGHRKYUZTREKBJ-UHFFFAOYSA-N -1 1 313.325 1.615 20 0 DDADMM CN(C[C@@H]1CCS(=O)(=O)C1)[C@H](C(=O)[O-])c1ccc(F)cc1 ZINC000737413273 600720238 /nfs/dbraw/zinc/72/02/38/600720238.db2.gz KJRVSPZPFXQHQI-GWCFXTLKSA-N -1 1 315.366 1.318 20 0 DDADMM COC[C@@H]1CCN([C@H]2CCN(c3sccc3C(=O)[O-])C2=O)C1 ZINC000831666729 600798204 /nfs/dbraw/zinc/79/82/04/600798204.db2.gz UEGLYJPNOIBJLK-PWSUYJOCSA-N -1 1 324.402 1.520 20 0 DDADMM C[C@@H]1CN(CC(=O)N2CCC(C(=O)[O-])CC2)C[C@@H](C)S1 ZINC000070383920 600839786 /nfs/dbraw/zinc/83/97/86/600839786.db2.gz KMCYNDCFSDWWQD-GHMZBOCLSA-N -1 1 300.424 1.135 20 0 DDADMM C[C@@H]1CC[C@H](C)N1CC(=O)Nc1ccc(OCC(=O)[O-])cc1 ZINC000828214347 600890970 /nfs/dbraw/zinc/89/09/70/600890970.db2.gz LCMINXWJUWETDJ-TXEJJXNPSA-N -1 1 306.362 1.961 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1cc(F)cc2nc[nH]c21)c1ccccn1 ZINC000833126973 600911083 /nfs/dbraw/zinc/91/10/83/600911083.db2.gz XDTYRHKYPJJZDR-ZDUSSCGKSA-N -1 1 314.276 1.653 20 0 DDADMM CC[C@H](CO)N1CCN([C@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000828890258 601035057 /nfs/dbraw/zinc/03/50/57/601035057.db2.gz AAKDQXGBFQESPC-CABCVRRESA-N -1 1 310.369 1.340 20 0 DDADMM CN(CC(=O)[O-])C(=O)CCNC1(c2nccs2)CCCC1 ZINC000830692343 601045964 /nfs/dbraw/zinc/04/59/64/601045964.db2.gz LIZBNNRLVPXAJE-UHFFFAOYSA-N -1 1 311.407 1.435 20 0 DDADMM C[C@@H](Cc1ccccc1)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000827592673 601087485 /nfs/dbraw/zinc/08/74/85/601087485.db2.gz QUBVBPUHEBNWEM-GJZGRUSLSA-N -1 1 318.417 1.873 20 0 DDADMM Cc1nc([C@@H]2CN([C@H](C)c3ccc(C(=O)[O-])o3)CCO2)n[nH]1 ZINC000738823968 601105876 /nfs/dbraw/zinc/10/58/76/601105876.db2.gz RVAJOYNBOJGDKN-PELKAZGASA-N -1 1 306.322 1.539 20 0 DDADMM Cc1nc([C@H]2CN(Cc3ccc(C(=O)[O-])cc3)CCO2)n[nH]1 ZINC000738823900 601105999 /nfs/dbraw/zinc/10/59/99/601105999.db2.gz QSDIZGXWXWBNLF-CYBMUJFWSA-N -1 1 302.334 1.385 20 0 DDADMM CC[C@@](NC(=O)CCc1nc[nH]n1)(C(=O)[O-])c1ccccc1 ZINC000828933025 601136965 /nfs/dbraw/zinc/13/69/65/601136965.db2.gz MDNSVWVKWPNMDS-HNNXBMFYSA-N -1 1 302.334 1.244 20 0 DDADMM COc1ccc(OC)c(CN(C/C=C(/C)C(=O)[O-])CCO)c1 ZINC000832435700 601167212 /nfs/dbraw/zinc/16/72/12/601167212.db2.gz LFRUKVHRZJOZEA-SDQBBNPISA-N -1 1 309.362 1.529 20 0 DDADMM C[C@](NCC(=O)N1CCSc2ccccc21)(C(=O)[O-])C1CC1 ZINC000827726981 601174067 /nfs/dbraw/zinc/17/40/67/601174067.db2.gz DIUXPUCYSXTZLI-MRXNPFEDSA-N -1 1 320.414 1.968 20 0 DDADMM CN(C)[C@H]1CCN(S(=O)(=O)c2ccc(/C=C/C(=O)[O-])cc2)C1 ZINC000316030422 601235348 /nfs/dbraw/zinc/23/53/48/601235348.db2.gz HHOQZYCBLJAFLA-LJLILKBBSA-N -1 1 324.402 1.109 20 0 DDADMM C[C@H]1CN(CCCNC(=O)c2ccnc(C(=O)[O-])c2)C[C@H](C)O1 ZINC000828448746 601247682 /nfs/dbraw/zinc/24/76/82/601247682.db2.gz GHBPGONUCJGTBF-RYUDHWBXSA-N -1 1 321.377 1.009 20 0 DDADMM O=C([O-])[C@H]1CCC[C@H](C(=O)N2CCC(c3nc[nH]n3)CC2)C1 ZINC000833185121 601295250 /nfs/dbraw/zinc/29/52/50/601295250.db2.gz KBQVMPBYLFQANZ-RYUDHWBXSA-N -1 1 306.366 1.402 20 0 DDADMM CO[C@@H](C)c1noc(CN(CCC(=O)[O-])C2CCOCC2)n1 ZINC000831505748 601306095 /nfs/dbraw/zinc/30/60/95/601306095.db2.gz ULIPTCFYBSQFGY-JTQLQIEISA-N -1 1 313.354 1.233 20 0 DDADMM C/C(=C\COC(=O)c1ccccc1NS(C)(=O)=O)C(=O)[O-] ZINC000825780714 601410308 /nfs/dbraw/zinc/41/03/08/601410308.db2.gz HKTYBVCOJTXQFL-VQHVLOKHSA-N -1 1 313.331 1.246 20 0 DDADMM CN(C(=O)CN1C[C@H](C2CCCCC2)C[C@H]1C(=O)[O-])C1CC1 ZINC000830478152 601431503 /nfs/dbraw/zinc/43/15/03/601431503.db2.gz KTGOGBHJWYPRBX-HIFRSBDPSA-N -1 1 308.422 1.963 20 0 DDADMM COCCN1CCC[C@H](Nc2nc(Cl)c(C(=O)[O-])s2)C1 ZINC000399660898 601444516 /nfs/dbraw/zinc/44/45/16/601444516.db2.gz PKRZNDYCZBLMJV-QMMMGPOBSA-N -1 1 319.814 1.439 20 0 DDADMM Cc1nc(NC(=O)[C@H](C)N2C[C@@H](C)[C@H](C(=O)[O-])C2)sc1C ZINC000833003297 601513800 /nfs/dbraw/zinc/51/38/00/601513800.db2.gz JHHUIVMBRWRIDV-POZPLHJXSA-N -1 1 311.407 1.739 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(F)c(F)c1)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828424958 601528310 /nfs/dbraw/zinc/52/83/10/601528310.db2.gz VLVARUBGHJQJHV-WCABBAIRSA-N -1 1 312.316 1.944 20 0 DDADMM COc1cccc(NC(=O)CCN2CCO[C@@H](CC(=O)[O-])C2)c1 ZINC000832561952 601570290 /nfs/dbraw/zinc/57/02/90/601570290.db2.gz HPXQRLRXPIMCIU-AWEZNQCLSA-N -1 1 322.361 1.199 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nnn[n-]2)c1)c1ccc(Cl)cn1 ZINC000826496504 607530344 /nfs/dbraw/zinc/53/03/44/607530344.db2.gz YJFSWCCYRYUPLC-NRFANRHFSA-N -1 1 320.765 1.618 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nn[n-]n2)c1)c1ccc(Cl)cn1 ZINC000826496504 607530345 /nfs/dbraw/zinc/53/03/45/607530345.db2.gz YJFSWCCYRYUPLC-NRFANRHFSA-N -1 1 320.765 1.618 20 0 DDADMM Cc1ccc(CNC(=O)[C@H](C)N2CC[C@@H](CNC(=O)[O-])C2)cc1 ZINC000740127711 601950376 /nfs/dbraw/zinc/95/03/76/601950376.db2.gz ZYGFGCIZYONXLL-ZFWWWQNUSA-N -1 1 319.405 1.589 20 0 DDADMM CCN(C(=O)CN1CC[C@H](CNC(=O)[O-])C1)c1ccccc1 ZINC000739380404 601952373 /nfs/dbraw/zinc/95/23/73/601952373.db2.gz JKZMWNPFQBVNJD-CYBMUJFWSA-N -1 1 305.378 1.629 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)N2CCC[C@@H]3CCCC[C@H]32)C1 ZINC000740644026 601956410 /nfs/dbraw/zinc/95/64/10/601956410.db2.gz WLUHPELPXSEZTA-SOUVJXGZSA-N -1 1 323.437 1.757 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)CN2CC[C@H](CNC(=O)[O-])C2)[C@@H]1C ZINC000739096019 601963565 /nfs/dbraw/zinc/96/35/65/601963565.db2.gz YLQPKFFREBGACQ-SYQHCUMBSA-N -1 1 311.426 1.517 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000830585067 602011621 /nfs/dbraw/zinc/01/16/21/602011621.db2.gz MRLJQAOTJTWAEX-RHSMWYFYSA-N -1 1 304.390 1.483 20 0 DDADMM NC(=O)[C@H](NCC1(NC(=O)[O-])CCCCC1)c1ccc(F)cc1 ZINC000740325522 602046637 /nfs/dbraw/zinc/04/66/37/602046637.db2.gz VFMRDYDWIJDSIB-CYBMUJFWSA-N -1 1 323.368 1.912 20 0 DDADMM CC(C)(C)c1ccc(=O)n(Cc2ccnc(-c3nnn[n-]3)c2)n1 ZINC000824135086 607545610 /nfs/dbraw/zinc/54/56/10/607545610.db2.gz AQOPCQJPKJGFPU-UHFFFAOYSA-N -1 1 311.349 1.164 20 0 DDADMM CC(C)(C)c1ccc(=O)n(Cc2ccnc(-c3nn[n-]n3)c2)n1 ZINC000824135086 607545611 /nfs/dbraw/zinc/54/56/11/607545611.db2.gz AQOPCQJPKJGFPU-UHFFFAOYSA-N -1 1 311.349 1.164 20 0 DDADMM O=C([O-])c1c(F)cccc1S(=O)(=O)Nc1ccc(F)nc1 ZINC000833294943 602158006 /nfs/dbraw/zinc/15/80/06/602158006.db2.gz NWZIMVQAUUPBCO-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM CN(C)C(=O)c1ccc(NCc2ccnc(-c3nnn[n-]3)c2)cc1 ZINC000825846078 607547493 /nfs/dbraw/zinc/54/74/93/607547493.db2.gz QPRNFEJZPPUXKA-UHFFFAOYSA-N -1 1 323.360 1.576 20 0 DDADMM CN(C)C(=O)c1ccc(NCc2ccnc(-c3nn[n-]n3)c2)cc1 ZINC000825846078 607547494 /nfs/dbraw/zinc/54/74/94/607547494.db2.gz QPRNFEJZPPUXKA-UHFFFAOYSA-N -1 1 323.360 1.576 20 0 DDADMM C[C@@H](NC(=O)[C@@H](C)CC(=O)[O-])C1(N2CCOCC2)CCCC1 ZINC000827275116 602172288 /nfs/dbraw/zinc/17/22/88/602172288.db2.gz ZXRYCTNKQSBTNM-QWHCGFSZSA-N -1 1 312.410 1.247 20 0 DDADMM O=C([O-])[C@@H](NCCS(=O)(=O)CC1CC1)c1ccc(F)cc1 ZINC000833165593 602180202 /nfs/dbraw/zinc/18/02/02/602180202.db2.gz KRTXGPTVONDHKV-ZDUSSCGKSA-N -1 1 315.366 1.366 20 0 DDADMM CCc1c(NC(=O)CN(C)C(=O)[O-])[nH]nc1-c1ccncc1 ZINC000737247865 602325389 /nfs/dbraw/zinc/32/53/89/602325389.db2.gz RQJHWUFYIQAWPL-UHFFFAOYSA-N -1 1 303.322 1.582 20 0 DDADMM CC(C)N1CCN(C(=O)[C@@H]2C[C@H]3CCCC[C@@H]3N2C(=O)[O-])CC1 ZINC000738854730 602381756 /nfs/dbraw/zinc/38/17/56/602381756.db2.gz OFLQZVVHLOSXET-ILXRZTDVSA-N -1 1 323.437 1.850 20 0 DDADMM CN(C[C@@H]1CC[N@H+](Cc2nc(=O)c3sccc3[n-]2)C1)C(=O)[O-] ZINC000739665667 602540159 /nfs/dbraw/zinc/54/01/59/602540159.db2.gz MVMHIPPVPBHCKN-VIFPVBQESA-N -1 1 322.390 1.829 20 0 DDADMM CN(C[C@@H]1CC[N@@H+](Cc2nc(=O)c3sccc3[n-]2)C1)C(=O)[O-] ZINC000739665667 602540160 /nfs/dbraw/zinc/54/01/60/602540160.db2.gz MVMHIPPVPBHCKN-VIFPVBQESA-N -1 1 322.390 1.829 20 0 DDADMM COC(=O)c1cc(CN2CC[C@@H](CN(C)C(=O)[O-])C2)oc1C ZINC000739776827 602541904 /nfs/dbraw/zinc/54/19/04/602541904.db2.gz GFAPDQJFPPKONI-NSHDSACASA-N -1 1 310.350 1.806 20 0 DDADMM CC(C)N(C)S(=O)(=O)c1ccc(Cl)cc1-c1nn[n-]n1 ZINC000824288504 607572876 /nfs/dbraw/zinc/57/28/76/607572876.db2.gz IMEYRFWOUJJCHJ-UHFFFAOYSA-N -1 1 315.786 1.549 20 0 DDADMM CCn1c(C)nnc1CN[C@]1(CNC(=O)[O-])CCCC[C@H]1C ZINC000739565552 602554362 /nfs/dbraw/zinc/55/43/62/602554362.db2.gz XLQKBBNEBCTQSH-ABAIWWIYSA-N -1 1 309.414 1.913 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CN[C@@H](C(=O)NC1CC1)c1ccccc1 ZINC000740392204 602594005 /nfs/dbraw/zinc/59/40/05/602594005.db2.gz GFXZXRAKHBODHB-HUUCEWRRSA-N -1 1 317.389 1.738 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)NCC[C@H]1CCN(C(=O)[O-])C1 ZINC000740030011 602690680 /nfs/dbraw/zinc/69/06/80/602690680.db2.gz HMCHXDZDSAFYJZ-LBPRGKRZSA-N -1 1 323.397 1.340 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(C(=O)N[C@@H]2CCCN(C)C2)CC1 ZINC000739009514 602704980 /nfs/dbraw/zinc/70/49/80/602704980.db2.gz UPVGVKNPMDFUNN-DGCLKSJQSA-N -1 1 312.414 1.158 20 0 DDADMM O=C([O-])Nc1ccc(CC(=O)NCCN2CCSCC2)cc1 ZINC000740703818 602715430 /nfs/dbraw/zinc/71/54/30/602715430.db2.gz WWNGOWNMEIKWQB-UHFFFAOYSA-N -1 1 323.418 1.484 20 0 DDADMM COc1ccc(NC(=O)CCN2CCN(C(=O)[O-])C[C@H]2C)cc1 ZINC000739918176 602737015 /nfs/dbraw/zinc/73/70/15/602737015.db2.gz CEFCEYIDMBAMNO-GFCCVEGCSA-N -1 1 321.377 1.708 20 0 DDADMM C[C@H]1CN(CC(=O)NCCC2=CCCCC2)CC[C@H]1NC(=O)[O-] ZINC000739170585 602766403 /nfs/dbraw/zinc/76/64/03/602766403.db2.gz GSMDJLONFPZOKK-DZGCQCFKSA-N -1 1 323.437 1.971 20 0 DDADMM CCc1cc(CNC(=O)N2CCC(N(C)C(=O)[O-])CC2)[nH]n1 ZINC000737896562 602886151 /nfs/dbraw/zinc/88/61/51/602886151.db2.gz IFKGVNCCSIWVCD-UHFFFAOYSA-N -1 1 309.370 1.256 20 0 DDADMM CN(CCN1CCN(C(=O)[O-])CC1)C[C@@H]1CC1(Cl)Cl ZINC000739684798 602898557 /nfs/dbraw/zinc/89/85/57/602898557.db2.gz PQNDCFIMTXJFFM-JTQLQIEISA-N -1 1 310.225 1.408 20 0 DDADMM O=Cc1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)cc1 ZINC000826483849 607599730 /nfs/dbraw/zinc/59/97/30/607599730.db2.gz CDFVDTWHDVACFD-UHFFFAOYSA-N -1 1 309.285 1.431 20 0 DDADMM O=Cc1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)cc1 ZINC000826483849 607599731 /nfs/dbraw/zinc/59/97/31/607599731.db2.gz CDFVDTWHDVACFD-UHFFFAOYSA-N -1 1 309.285 1.431 20 0 DDADMM O=C([O-])NCCCNC(=O)[C@H]1CCCCN1Cc1ccccc1 ZINC000740650493 603094448 /nfs/dbraw/zinc/09/44/48/603094448.db2.gz NVSHNPIBDPLRFX-OAHLLOKOSA-N -1 1 319.405 1.815 20 0 DDADMM O=C([O-])NCCNC(=O)c1ccc(Nc2ccncc2)cc1 ZINC000740658757 603124260 /nfs/dbraw/zinc/12/42/60/603124260.db2.gz LOFATBMKGHIXPN-UHFFFAOYSA-N -1 1 300.318 1.245 20 0 DDADMM Cc1cc(C)n(C[C@H]2CN(C[C@@H]3CCN(C(=O)[O-])C3)CCO2)n1 ZINC000740069302 603340641 /nfs/dbraw/zinc/34/06/41/603340641.db2.gz NFNMVGLNHSPBRV-LSDHHAIUSA-N -1 1 322.409 1.201 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CC[C@H](N(C)C(=O)[O-])C2)c1 ZINC000829918815 603515555 /nfs/dbraw/zinc/51/55/55/603515555.db2.gz CQLFQWGAUXMYHD-NSHDSACASA-N -1 1 302.334 1.696 20 0 DDADMM CN(CCn1cnc2ccccc2c1=O)[C@H]1CCN(C(=O)[O-])C1 ZINC000828345997 603535594 /nfs/dbraw/zinc/53/55/94/603535594.db2.gz ONIIFMNYRURNPY-LBPRGKRZSA-N -1 1 316.361 1.081 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@@H](C(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000832247696 603550029 /nfs/dbraw/zinc/55/00/29/603550029.db2.gz RPAZCUIIYJXNIF-VXGBXAGGSA-N -1 1 306.366 1.552 20 0 DDADMM CN(CCCNC(=O)Nc1cnn(-c2ccncc2)c1)C(=O)[O-] ZINC000828294552 603556256 /nfs/dbraw/zinc/55/62/56/603556256.db2.gz ZBUXUECBJSPSLU-UHFFFAOYSA-N -1 1 318.337 1.389 20 0 DDADMM CC(C)[C@@H](CCN(C)C(=O)c1ccc2[nH]nnc2c1)NC(=O)[O-] ZINC000824091951 603566615 /nfs/dbraw/zinc/56/66/15/603566615.db2.gz MPXFBKUAIKHLFL-LLVKDONJSA-N -1 1 319.365 1.712 20 0 DDADMM CN(C(=O)N[C@H]1CCCN(C)C1)C1CCC(NC(=O)[O-])CC1 ZINC000828479651 603634099 /nfs/dbraw/zinc/63/40/99/603634099.db2.gz AXGIMXAKIHNKQO-CPCZMJQVSA-N -1 1 312.414 1.301 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@H](C)[C@H]2CNC(=O)[O-])n[nH]1 ZINC000826948285 603691594 /nfs/dbraw/zinc/69/15/94/603691594.db2.gz AEELZONSPCVEEL-GXFFZTMASA-N -1 1 308.382 1.871 20 0 DDADMM CCc1cc(CNC(=O)[C@@H]2[C@H](C)OC(C)(C)N2C(=O)[O-])n[nH]1 ZINC000827556229 603788166 /nfs/dbraw/zinc/78/81/66/603788166.db2.gz FWYMGZYJMOLJGP-KWQFWETISA-N -1 1 310.354 1.092 20 0 DDADMM CN1CCCN(C(=O)[C@@H]2CCCCCC[C@@H]2NC(=O)[O-])CC1 ZINC000828486464 603937205 /nfs/dbraw/zinc/93/72/05/603937205.db2.gz CLGINAYCYGTGBC-KGLIPLIRSA-N -1 1 311.426 1.757 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@H]1CCCCCC[C@@H]1NC(=O)[O-] ZINC000828426564 603954556 /nfs/dbraw/zinc/95/45/56/603954556.db2.gz JZWNUNDEODPOCD-QWRGUYRKSA-N -1 1 309.370 1.370 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCCN(Cc2ccc(-n3ccnc3)nc2)C1 ZINC000827821613 603963689 /nfs/dbraw/zinc/96/36/89/603963689.db2.gz APHBVLZVVOQKDR-AWEZNQCLSA-N -1 1 315.377 1.842 20 0 DDADMM C[C@H]1CN(C[C@H](O)COCc2ccccc2)C[C@H](C)N1C(=O)[O-] ZINC000826056782 604016810 /nfs/dbraw/zinc/01/68/10/604016810.db2.gz FUZCJTBQKYEESO-DZKIICNBSA-N -1 1 322.405 1.637 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C[N@@H+]1C1CC1 ZINC000825851457 604018640 /nfs/dbraw/zinc/01/86/40/604018640.db2.gz HSMZKRLGMZZVNQ-KOLCDFICSA-N -1 1 319.361 1.837 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C[N@H+]1C1CC1 ZINC000825851457 604018643 /nfs/dbraw/zinc/01/86/43/604018643.db2.gz HSMZKRLGMZZVNQ-KOLCDFICSA-N -1 1 319.361 1.837 20 0 DDADMM O=C([O-])N[C@H](C(=O)NCc1cnc[nH]1)c1cccc(Cl)c1 ZINC000832091312 604051901 /nfs/dbraw/zinc/05/19/01/604051901.db2.gz DHYKZZZPIQWGHB-NSHDSACASA-N -1 1 308.725 1.688 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@H](NC(=O)[O-])c1cccc(Cl)c1 ZINC000828427022 604131072 /nfs/dbraw/zinc/13/10/72/604131072.db2.gz UDXNHYZNBPWDGV-LLVKDONJSA-N -1 1 323.740 1.425 20 0 DDADMM O=S(=O)(c1cccc(F)c1-c1nnn[n-]1)N1C[C@@H]2CCC[C@@H]21 ZINC000826495800 607674588 /nfs/dbraw/zinc/67/45/88/607674588.db2.gz BSEOHMTZRKHHBU-WPRPVWTQSA-N -1 1 323.353 1.179 20 0 DDADMM O=S(=O)(c1cccc(F)c1-c1nn[n-]n1)N1C[C@@H]2CCC[C@@H]21 ZINC000826495800 607674590 /nfs/dbraw/zinc/67/45/90/607674590.db2.gz BSEOHMTZRKHHBU-WPRPVWTQSA-N -1 1 323.353 1.179 20 0 DDADMM O=C([O-])N1CC[C@@H](CCNC(=O)N[C@H]2CCCc3cn[nH]c32)C1 ZINC000831659612 604270801 /nfs/dbraw/zinc/27/08/01/604270801.db2.gz KYFNTBWFVKWSSI-PWSUYJOCSA-N -1 1 321.381 1.476 20 0 DDADMM CC(C)(C)OC(=O)NCCN1CCC[C@@H](CNC(=O)[O-])C1 ZINC000823822439 604360641 /nfs/dbraw/zinc/36/06/41/604360641.db2.gz JIFSTHSSZRSJNH-NSHDSACASA-N -1 1 301.387 1.491 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cn1 ZINC000827113170 604546138 /nfs/dbraw/zinc/54/61/38/604546138.db2.gz WQNDWQSUVQAEDS-CYBMUJFWSA-N -1 1 319.405 1.826 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc(OCC(=O)[O-])c2)[C@H](C)CN1C ZINC000833623360 604580067 /nfs/dbraw/zinc/58/00/67/604580067.db2.gz PNRGDRXPWQBNAJ-VXGBXAGGSA-N -1 1 306.362 1.315 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)C3CCN(C(=O)[O-])CC3)C2)n[nH]1 ZINC000830735839 604646627 /nfs/dbraw/zinc/64/66/27/604646627.db2.gz VPQGRKRJHDKDCC-GFCCVEGCSA-N -1 1 321.381 1.209 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)Cc1ccccc1C(=O)[O-] ZINC000833471536 604788127 /nfs/dbraw/zinc/78/81/27/604788127.db2.gz MAUJXESNJUXRLU-OAHLLOKOSA-N -1 1 304.390 1.726 20 0 DDADMM O=C([O-])CC1(NCc2nc(CC(F)(F)F)no2)CCOCC1 ZINC000833205387 604794928 /nfs/dbraw/zinc/79/49/28/604794928.db2.gz CELKEYWAQCFJIQ-UHFFFAOYSA-N -1 1 323.271 1.288 20 0 DDADMM C[C@H](O)[C@H]1CCCN(CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC000833528152 604925411 /nfs/dbraw/zinc/92/54/11/604925411.db2.gz NHAUXVMUXNTONK-STQMWFEESA-N -1 1 320.389 1.440 20 0 DDADMM C[C@H](C(=O)NCC(F)(F)F)N1CCC[C@@H](C(C)(C)C(=O)[O-])C1 ZINC000833404137 604949396 /nfs/dbraw/zinc/94/93/96/604949396.db2.gz HNHLKBAHIAZCHO-NXEZZACHSA-N -1 1 324.343 1.876 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N[C@H](CC(F)F)C(=O)[O-] ZINC000833689802 604997665 /nfs/dbraw/zinc/99/76/65/604997665.db2.gz XTRRVTTXEZPMKH-MRVPVSSYSA-N -1 1 306.334 1.648 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2cccc(C)c2C(=O)[O-])n[nH]1 ZINC000829318213 605029121 /nfs/dbraw/zinc/02/91/21/605029121.db2.gz FCOCMPCOAOCURE-UHFFFAOYSA-N -1 1 323.374 1.457 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)C(=O)c1ccc(Cl)cc1 ZINC000833767439 605082637 /nfs/dbraw/zinc/08/26/37/605082637.db2.gz FXEBYNJABQFWDZ-NSHDSACASA-N -1 1 321.720 1.058 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2c(c1)COC2 ZINC000833626996 605099955 /nfs/dbraw/zinc/09/99/55/605099955.db2.gz UOXKTAODLGXYIN-GFCCVEGCSA-N -1 1 318.373 1.338 20 0 DDADMM CCc1ccc(C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)cc1 ZINC000833669337 605104595 /nfs/dbraw/zinc/10/45/95/605104595.db2.gz GAPCPJGCQHBWRF-ZDUSSCGKSA-N -1 1 304.390 1.870 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833484351 605107209 /nfs/dbraw/zinc/10/72/09/605107209.db2.gz ZJSVXYIJUXXPCM-KGLIPLIRSA-N -1 1 314.426 1.445 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc(Cl)c1 ZINC000833623744 605112070 /nfs/dbraw/zinc/11/20/70/605112070.db2.gz FWQPOVBBMQHQOW-NSHDSACASA-N -1 1 310.781 1.961 20 0 DDADMM CC(C)C[C@H](NC(=O)CN[C@@H](C)c1cccc(O)c1)C(=O)[O-] ZINC000833481308 605121594 /nfs/dbraw/zinc/12/15/94/605121594.db2.gz LUWRBSUITRBJSO-FZMZJTMJSA-N -1 1 308.378 1.658 20 0 DDADMM CC(C)c1ccccc1OC[C@@H](O)CN1CC[C@H](NC(=O)[O-])C1 ZINC000738878019 605160508 /nfs/dbraw/zinc/16/05/08/605160508.db2.gz UNMMVNDFCQJFBP-KBPBESRZSA-N -1 1 322.405 1.892 20 0 DDADMM C[C@@H](NC(=O)Nc1cc(C(=O)[O-])c(F)cc1F)c1nnc[nH]1 ZINC000318783965 605167113 /nfs/dbraw/zinc/16/71/13/605167113.db2.gz IZAYGQZIRASOOD-RXMQYKEDSA-N -1 1 311.248 1.664 20 0 DDADMM CN(CC(=O)N(C)[C@@H](C(=O)[O-])c1ccccc1)[C@@H]1CCSC1 ZINC000833690795 605212826 /nfs/dbraw/zinc/21/28/26/605212826.db2.gz DMHVWGWPZVSXDE-UKRRQHHQSA-N -1 1 322.430 1.708 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CC[C@@H](N(C)C(=O)[O-])C2)n[nH]1 ZINC000830029840 605301208 /nfs/dbraw/zinc/30/12/08/605301208.db2.gz KLYFGELSDIBNJK-BXKDBHETSA-N -1 1 309.370 1.043 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC(CNC(=O)[O-])CC2)n[nH]1 ZINC000830031779 605301812 /nfs/dbraw/zinc/30/18/12/605301812.db2.gz YJWBKATXYBKHKO-JTQLQIEISA-N -1 1 323.397 1.338 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)C[C@H]2CCCN2C(=O)[O-])[nH]n1 ZINC000831030576 605337703 /nfs/dbraw/zinc/33/77/03/605337703.db2.gz CIZOHACETLAMNA-SECBINFHSA-N -1 1 318.337 1.281 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)[C@@]2(C)CCN(C(=O)[O-])C2)[nH]n1 ZINC000831031888 605337796 /nfs/dbraw/zinc/33/77/96/605337796.db2.gz XCRUMLMEXHOSMK-AWEZNQCLSA-N -1 1 318.337 1.139 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCC([C@@H](O)C(F)(F)F)CC2)O1 ZINC000833585595 605359036 /nfs/dbraw/zinc/35/90/36/605359036.db2.gz YMEUOOYMEHBUGF-ZYHUDNBSSA-N -1 1 323.311 1.769 20 0 DDADMM O=C(CO[C@H]1CCCN(C(=O)[O-])C1)Nc1ccc2[nH]nnc2c1 ZINC000831524436 605388793 /nfs/dbraw/zinc/38/87/93/605388793.db2.gz SASGKSXBFXCWDJ-JTQLQIEISA-N -1 1 319.321 1.055 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(CC2(CS(C)(=O)=O)CC2)C1 ZINC000833813689 605428037 /nfs/dbraw/zinc/42/80/37/605428037.db2.gz PZIKXEAPQHYJOU-VXGBXAGGSA-N -1 1 318.439 1.179 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]2CN(CC(=O)Nc3ccccc3)C[C@H]21 ZINC000834184348 605444008 /nfs/dbraw/zinc/44/40/08/605444008.db2.gz GOZSYBNLLOMZAS-NWANDNLSSA-N -1 1 317.389 1.993 20 0 DDADMM O=C([O-])N[C@@H]1CCCN(Cc2ccccc2N2CCOCC2)C1 ZINC000834184761 605492462 /nfs/dbraw/zinc/49/24/62/605492462.db2.gz WECMAQNYMDYFGM-OAHLLOKOSA-N -1 1 319.405 1.755 20 0 DDADMM CN(Cc1ccc(N2CCCC2)nc1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000833874757 605589355 /nfs/dbraw/zinc/58/93/55/605589355.db2.gz YLCDRSVYIZUFPK-CQSZACIVSA-N -1 1 304.394 1.866 20 0 DDADMM Cc1nnc(NC(=O)[C@@H]2c3ccccc3CCN2C(=O)[O-])[nH]1 ZINC000830769684 605699592 /nfs/dbraw/zinc/69/95/92/605699592.db2.gz JXOITWXPMRLMNH-NSHDSACASA-N -1 1 301.306 1.329 20 0 DDADMM Cc1n[nH]c(NC(=O)[C@@H]2c3ccccc3CCN2C(=O)[O-])n1 ZINC000830769684 605699597 /nfs/dbraw/zinc/69/95/97/605699597.db2.gz JXOITWXPMRLMNH-NSHDSACASA-N -1 1 301.306 1.329 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])C[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000833833167 605808404 /nfs/dbraw/zinc/80/84/04/605808404.db2.gz WSIUNSYOVDSPBV-OCCSQVGLSA-N -1 1 321.377 1.212 20 0 DDADMM O=C([O-])N1CC[C@H](Nc2ccc(CCN3CCOCC3)cc2)C1 ZINC000831964436 605840840 /nfs/dbraw/zinc/84/08/40/605840840.db2.gz WSVOZRRDAOOMBW-INIZCTEOSA-N -1 1 319.405 1.726 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1C[C@H](O)c1cc(F)ccc1F ZINC000833835065 605897369 /nfs/dbraw/zinc/89/73/69/605897369.db2.gz ULDGZCFRAPOVGZ-BFVZDQMLSA-N -1 1 314.332 1.976 20 0 DDADMM O=C([O-])Nc1ccccc1CC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000834271654 605960582 /nfs/dbraw/zinc/96/05/82/605960582.db2.gz JUMBWACOADYAIK-LLVKDONJSA-N -1 1 314.345 1.716 20 0 DDADMM Cn1cc(CN2CC[C@@H](NC(=O)[O-])C2)c(-c2cccs2)n1 ZINC000833949532 605991328 /nfs/dbraw/zinc/99/13/28/605991328.db2.gz SOAWJZMTLQQQJI-LLVKDONJSA-N -1 1 306.391 1.991 20 0 DDADMM O=C([O-])N[C@@H](CC(F)F)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000834131397 606075243 /nfs/dbraw/zinc/07/52/43/606075243.db2.gz HUOIQGLHMHZEBI-YUMQZZPRSA-N -1 1 302.281 1.195 20 0 DDADMM O=C(NCCOCc1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823210829 606124707 /nfs/dbraw/zinc/12/47/07/606124707.db2.gz GSSFQNXWKZPHIC-UHFFFAOYSA-N -1 1 324.344 1.208 20 0 DDADMM O=C(NCCOCc1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823210829 606124708 /nfs/dbraw/zinc/12/47/08/606124708.db2.gz GSSFQNXWKZPHIC-UHFFFAOYSA-N -1 1 324.344 1.208 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)s1)N1CCCCC1 ZINC000823397577 606133200 /nfs/dbraw/zinc/13/32/00/606133200.db2.gz XRCADIVQGPJVAR-UHFFFAOYSA-N -1 1 321.362 1.098 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)s1)N1CCCCC1 ZINC000823397577 606133201 /nfs/dbraw/zinc/13/32/01/606133201.db2.gz XRCADIVQGPJVAR-UHFFFAOYSA-N -1 1 321.362 1.098 20 0 DDADMM Cn1cccc1C(=O)COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822690183 606139598 /nfs/dbraw/zinc/13/95/98/606139598.db2.gz UZYKZVIATICXMR-UHFFFAOYSA-N -1 1 317.330 1.306 20 0 DDADMM Cn1cccc1C(=O)COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822690183 606139599 /nfs/dbraw/zinc/13/95/99/606139599.db2.gz UZYKZVIATICXMR-UHFFFAOYSA-N -1 1 317.330 1.306 20 0 DDADMM COc1ccc(F)cc1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821827497 606154598 /nfs/dbraw/zinc/15/45/98/606154598.db2.gz DBDFKIPGYRSRHF-UHFFFAOYSA-N -1 1 317.284 1.000 20 0 DDADMM COc1ccc(F)cc1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821827497 606154599 /nfs/dbraw/zinc/15/45/99/606154599.db2.gz DBDFKIPGYRSRHF-UHFFFAOYSA-N -1 1 317.284 1.000 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820978432 606168339 /nfs/dbraw/zinc/16/83/39/606168339.db2.gz BDXHWLHTGMHOMD-SECBINFHSA-N -1 1 304.358 1.397 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820978432 606168340 /nfs/dbraw/zinc/16/83/40/606168340.db2.gz BDXHWLHTGMHOMD-SECBINFHSA-N -1 1 304.358 1.397 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)[C@H](C)C1 ZINC000820690636 606170589 /nfs/dbraw/zinc/17/05/89/606170589.db2.gz PHBRNVWGMDVJFU-FXPVBKGRSA-N -1 1 318.385 1.546 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)[C@H](C)C1 ZINC000820690636 606170591 /nfs/dbraw/zinc/17/05/91/606170591.db2.gz PHBRNVWGMDVJFU-FXPVBKGRSA-N -1 1 318.385 1.546 20 0 DDADMM CCc1nc(Sc2ccc(Cl)c(-c3nnn[n-]3)n2)n[nH]1 ZINC000821547782 606446436 /nfs/dbraw/zinc/44/64/36/606446436.db2.gz BUNKAEUHLGAMSO-UHFFFAOYSA-N -1 1 308.758 1.747 20 0 DDADMM CCc1nc(Sc2ccc(Cl)c(-c3nn[n-]n3)n2)n[nH]1 ZINC000821547782 606446438 /nfs/dbraw/zinc/44/64/38/606446438.db2.gz BUNKAEUHLGAMSO-UHFFFAOYSA-N -1 1 308.758 1.747 20 0 DDADMM CCC1(CNS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCC1 ZINC000820961771 606473651 /nfs/dbraw/zinc/47/36/51/606473651.db2.gz AVZNWYXYTBOHRQ-UHFFFAOYSA-N -1 1 322.394 1.120 20 0 DDADMM CCC1(CNS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCC1 ZINC000820961771 606473653 /nfs/dbraw/zinc/47/36/53/606473653.db2.gz AVZNWYXYTBOHRQ-UHFFFAOYSA-N -1 1 322.394 1.120 20 0 DDADMM O=C(CCSc1ccccc1)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000822974189 606531083 /nfs/dbraw/zinc/53/10/83/606531083.db2.gz AGGBWKQAQIRPGG-UHFFFAOYSA-N -1 1 315.362 1.711 20 0 DDADMM O=C(CCSc1ccccc1)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000822974189 606531084 /nfs/dbraw/zinc/53/10/84/606531084.db2.gz AGGBWKQAQIRPGG-UHFFFAOYSA-N -1 1 315.362 1.711 20 0 DDADMM Cc1cccc2nc(Cn3cccc(-c4nn[n-]n4)c3=O)cn21 ZINC000822340723 606594748 /nfs/dbraw/zinc/59/47/48/606594748.db2.gz FHBPRSQBRNAEFI-UHFFFAOYSA-N -1 1 307.317 1.033 20 0 DDADMM CCc1nc2n(n1)CCC[C@@H]2Nc1cccc(-c2nnn[n-]2)n1 ZINC000821518169 606729204 /nfs/dbraw/zinc/72/92/04/606729204.db2.gz QAOFCKMECFQPEA-JTQLQIEISA-N -1 1 311.353 1.363 20 0 DDADMM CCc1nc2n(n1)CCC[C@@H]2Nc1cccc(-c2nn[n-]n2)n1 ZINC000821518169 606729205 /nfs/dbraw/zinc/72/92/05/606729205.db2.gz QAOFCKMECFQPEA-JTQLQIEISA-N -1 1 311.353 1.363 20 0 DDADMM O=C(NCC1(CCO)CCCC1)c1ccc(-c2nnn[n-]2)s1 ZINC000823166776 606769758 /nfs/dbraw/zinc/76/97/58/606769758.db2.gz OZOFCRLBFFXLDE-UHFFFAOYSA-N -1 1 321.406 1.601 20 0 DDADMM O=C(NCC1(CCO)CCCC1)c1ccc(-c2nn[n-]n2)s1 ZINC000823166776 606769760 /nfs/dbraw/zinc/76/97/60/606769760.db2.gz OZOFCRLBFFXLDE-UHFFFAOYSA-N -1 1 321.406 1.601 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)C1 ZINC000820727030 606843499 /nfs/dbraw/zinc/84/34/99/606843499.db2.gz FTFSYDBOSLTIQU-MRVPVSSYSA-N -1 1 311.342 1.036 20 0 DDADMM CC1(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)CCCCC1 ZINC000820618691 606870721 /nfs/dbraw/zinc/87/07/21/606870721.db2.gz MEINXEQRFOACIE-UHFFFAOYSA-N -1 1 300.366 1.962 20 0 DDADMM CC1(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)CCCCC1 ZINC000820618691 606870722 /nfs/dbraw/zinc/87/07/22/606870722.db2.gz MEINXEQRFOACIE-UHFFFAOYSA-N -1 1 300.366 1.962 20 0 DDADMM COC(C)(C)CN(C)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000821672614 606951022 /nfs/dbraw/zinc/95/10/22/606951022.db2.gz RAQIKYQVOFEBPD-UHFFFAOYSA-N -1 1 313.365 1.671 20 0 DDADMM COC(C)(C)CN(C)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000821672614 606951024 /nfs/dbraw/zinc/95/10/24/606951024.db2.gz RAQIKYQVOFEBPD-UHFFFAOYSA-N -1 1 313.365 1.671 20 0 DDADMM CC[C@@]1(C)CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820949763 606956124 /nfs/dbraw/zinc/95/61/24/606956124.db2.gz FHFGKLZQGGARNR-ZDUSSCGKSA-N -1 1 304.358 1.254 20 0 DDADMM CC[C@@]1(C)CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820949763 606956126 /nfs/dbraw/zinc/95/61/26/606956126.db2.gz FHFGKLZQGGARNR-ZDUSSCGKSA-N -1 1 304.358 1.254 20 0 DDADMM C[C@H]1OCCN(c2snc(Cl)c2-c2nnn[n-]2)[C@H]1C ZINC000820818930 607028936 /nfs/dbraw/zinc/02/89/36/607028936.db2.gz CNZWNNXPKRXICQ-NTSWFWBYSA-N -1 1 300.775 1.590 20 0 DDADMM C[C@H]1OCCN(c2snc(Cl)c2-c2nn[n-]n2)[C@H]1C ZINC000820818930 607028937 /nfs/dbraw/zinc/02/89/37/607028937.db2.gz CNZWNNXPKRXICQ-NTSWFWBYSA-N -1 1 300.775 1.590 20 0 DDADMM Cc1cc(NC(=O)c2ccc(-c3nn[n-]n3)s2)c(=O)n(C)c1 ZINC000822209310 607098973 /nfs/dbraw/zinc/09/89/73/607098973.db2.gz CHQXQQGBPRTHRX-UHFFFAOYSA-N -1 1 316.346 1.188 20 0 DDADMM CN(C[C@@H]1CCC[C@H]1O)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825908782 607851143 /nfs/dbraw/zinc/85/11/43/607851143.db2.gz MABFFZFKKTUSDM-NKWVEPMBSA-N -1 1 314.802 1.574 20 0 DDADMM CN(C[C@@H]1CCC[C@H]1O)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825908782 607851144 /nfs/dbraw/zinc/85/11/44/607851144.db2.gz MABFFZFKKTUSDM-NKWVEPMBSA-N -1 1 314.802 1.574 20 0 DDADMM CCCc1nc(COc2cccc(F)c2-c2nnn[n-]2)no1 ZINC000825351820 607866590 /nfs/dbraw/zinc/86/65/90/607866590.db2.gz JLEZTSBLBMAKNA-UHFFFAOYSA-N -1 1 304.285 1.920 20 0 DDADMM CCCc1nc(COc2cccc(F)c2-c2nn[n-]n2)no1 ZINC000825351820 607866591 /nfs/dbraw/zinc/86/65/91/607866591.db2.gz JLEZTSBLBMAKNA-UHFFFAOYSA-N -1 1 304.285 1.920 20 0 DDADMM CCc1nc([C@H]2CCCN2c2cccc(-c3nnn[n-]3)n2)no1 ZINC000825744743 607898146 /nfs/dbraw/zinc/89/81/46/607898146.db2.gz DFUKAOWJJPMRJK-SNVBAGLBSA-N -1 1 312.337 1.549 20 0 DDADMM CCc1nc([C@H]2CCCN2c2cccc(-c3nn[n-]n3)n2)no1 ZINC000825744743 607898147 /nfs/dbraw/zinc/89/81/47/607898147.db2.gz DFUKAOWJJPMRJK-SNVBAGLBSA-N -1 1 312.337 1.549 20 0 DDADMM OC[C@@H](Nc1cccc(-c2nnn[n-]2)n1)c1ccc(F)cc1 ZINC000826508367 607900323 /nfs/dbraw/zinc/90/03/23/607900323.db2.gz NSOXDRMWENVSGX-GFCCVEGCSA-N -1 1 300.297 1.546 20 0 DDADMM OC[C@@H](Nc1cccc(-c2nn[n-]n2)n1)c1ccc(F)cc1 ZINC000826508367 607900324 /nfs/dbraw/zinc/90/03/24/607900324.db2.gz NSOXDRMWENVSGX-GFCCVEGCSA-N -1 1 300.297 1.546 20 0 DDADMM Cc1noc(C)c1CCOC(=O)c1sccc1-c1nn[n-]n1 ZINC000826338680 607914524 /nfs/dbraw/zinc/91/45/24/607914524.db2.gz DPHAGXSNCGBQEQ-UHFFFAOYSA-N -1 1 319.346 1.933 20 0 DDADMM c1cc2c(cc1Nc1ccc(-c3nnn[n-]3)nn1)OCCCO2 ZINC000826518736 607988118 /nfs/dbraw/zinc/98/81/18/607988118.db2.gz WIEOMKWXXMLZHY-UHFFFAOYSA-N -1 1 311.305 1.562 20 0 DDADMM c1cc2c(cc1Nc1ccc(-c3nn[n-]n3)nn1)OCCCO2 ZINC000826518736 607988119 /nfs/dbraw/zinc/98/81/19/607988119.db2.gz WIEOMKWXXMLZHY-UHFFFAOYSA-N -1 1 311.305 1.562 20 0 DDADMM O[C@H](CNc1ccc(-c2nnn[n-]2)nn1)c1ccc(Cl)cc1 ZINC000826502790 607989372 /nfs/dbraw/zinc/98/93/72/607989372.db2.gz KREWFTCGLWRQRO-LLVKDONJSA-N -1 1 317.740 1.456 20 0 DDADMM O[C@H](CNc1ccc(-c2nn[n-]n2)nn1)c1ccc(Cl)cc1 ZINC000826502790 607989373 /nfs/dbraw/zinc/98/93/73/607989373.db2.gz KREWFTCGLWRQRO-LLVKDONJSA-N -1 1 317.740 1.456 20 0 DDADMM Cc1cc(Cl)ccc1NC(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000826267491 608013284 /nfs/dbraw/zinc/01/32/84/608013284.db2.gz IIOYNMFREHYURO-UHFFFAOYSA-N -1 1 317.740 1.664 20 0 DDADMM Cc1cc(Cl)ccc1NC(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000826267491 608013285 /nfs/dbraw/zinc/01/32/85/608013285.db2.gz IIOYNMFREHYURO-UHFFFAOYSA-N -1 1 317.740 1.664 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)N1CCC[C@H]2CCCC[C@@H]21 ZINC000826425333 608013710 /nfs/dbraw/zinc/01/37/10/608013710.db2.gz YJYZPDRHVJTAHV-NEPJUHHUSA-N -1 1 315.381 1.244 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)N1CCC[C@H]2CCCC[C@@H]21 ZINC000826425333 608013711 /nfs/dbraw/zinc/01/37/11/608013711.db2.gz YJYZPDRHVJTAHV-NEPJUHHUSA-N -1 1 315.381 1.244 20 0 DDADMM Cn1c2ccc(Cl)cc2nc1Cn1ccnc1-c1nnn[n-]1 ZINC000826355873 608014206 /nfs/dbraw/zinc/01/42/06/608014206.db2.gz QIWVVFJXQDDLDR-UHFFFAOYSA-N -1 1 314.740 1.652 20 0 DDADMM Cn1c2ccc(Cl)cc2nc1Cn1ccnc1-c1nn[n-]n1 ZINC000826355873 608014207 /nfs/dbraw/zinc/01/42/07/608014207.db2.gz QIWVVFJXQDDLDR-UHFFFAOYSA-N -1 1 314.740 1.652 20 0 DDADMM CCCC[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)OC ZINC000825265853 608104138 /nfs/dbraw/zinc/10/41/38/608104138.db2.gz BTEQGNBSIAVMOP-MRVPVSSYSA-N -1 1 324.362 1.817 20 0 DDADMM CCCC[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)OC ZINC000825265853 608104139 /nfs/dbraw/zinc/10/41/39/608104139.db2.gz BTEQGNBSIAVMOP-MRVPVSSYSA-N -1 1 324.362 1.817 20 0 DDADMM O[C@@]1(CNc2snc(Cl)c2-c2nnn[n-]2)CCSC1 ZINC000826505881 608187956 /nfs/dbraw/zinc/18/79/56/608187956.db2.gz HQMBZVMCTQQGMM-SECBINFHSA-N -1 1 318.815 1.257 20 0 DDADMM O[C@@]1(CNc2snc(Cl)c2-c2nn[n-]n2)CCSC1 ZINC000826505881 608187958 /nfs/dbraw/zinc/18/79/58/608187958.db2.gz HQMBZVMCTQQGMM-SECBINFHSA-N -1 1 318.815 1.257 20 0 DDADMM NC(=O)C[C@@H]1CCCCN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000826391019 608293186 /nfs/dbraw/zinc/29/31/86/608293186.db2.gz UFWMVVOXSXBBQI-QMMMGPOBSA-N -1 1 321.772 1.149 20 0 DDADMM NC(=O)C[C@@H]1CCCCN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000826391019 608293185 /nfs/dbraw/zinc/29/31/85/608293185.db2.gz UFWMVVOXSXBBQI-QMMMGPOBSA-N -1 1 321.772 1.149 20 0 DDADMM COCC1(C)CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000826152856 608336316 /nfs/dbraw/zinc/33/63/16/608336316.db2.gz PZCKQYSWXDTCGO-UHFFFAOYSA-N -1 1 321.406 1.817 20 0 DDADMM COCC1(C)CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000826152856 608336318 /nfs/dbraw/zinc/33/63/18/608336318.db2.gz PZCKQYSWXDTCGO-UHFFFAOYSA-N -1 1 321.406 1.817 20 0 DDADMM Cc1cc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c(C)nn1 ZINC000826260536 608387280 /nfs/dbraw/zinc/38/72/80/608387280.db2.gz SSLHPLPJWNAYLQ-UHFFFAOYSA-N -1 1 311.305 1.231 20 0 DDADMM CCOc1ccc(Nc2ccc(-c3nnn[n-]3)nn2)cc1OC ZINC000825643029 608390156 /nfs/dbraw/zinc/39/01/56/608390156.db2.gz GARWOGMWVJPDAN-UHFFFAOYSA-N -1 1 313.321 1.808 20 0 DDADMM CCOc1ccc(Nc2ccc(-c3nn[n-]n3)nn2)cc1OC ZINC000825643029 608390158 /nfs/dbraw/zinc/39/01/58/608390158.db2.gz GARWOGMWVJPDAN-UHFFFAOYSA-N -1 1 313.321 1.808 20 0 DDADMM CCSc1nnc(Sc2ccc(-c3nnn[n-]3)nn2)s1 ZINC000825679719 608391181 /nfs/dbraw/zinc/39/11/81/608391181.db2.gz BIAUQRIVSLFKND-UHFFFAOYSA-N -1 1 324.420 1.771 20 0 DDADMM CCSc1nnc(Sc2ccc(-c3nn[n-]n3)nn2)s1 ZINC000825679719 608391183 /nfs/dbraw/zinc/39/11/83/608391183.db2.gz BIAUQRIVSLFKND-UHFFFAOYSA-N -1 1 324.420 1.771 20 0 DDADMM O=C1CCCN1c1cccc(Nc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826480531 608396101 /nfs/dbraw/zinc/39/61/01/608396101.db2.gz GBWPZIOHKRHINP-UHFFFAOYSA-N -1 1 322.332 1.527 20 0 DDADMM O=C1CCCN1c1cccc(Nc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826480531 608396104 /nfs/dbraw/zinc/39/61/04/608396104.db2.gz GBWPZIOHKRHINP-UHFFFAOYSA-N -1 1 322.332 1.527 20 0 DDADMM COC1(C)CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000826143847 608411915 /nfs/dbraw/zinc/41/19/15/608411915.db2.gz RWSUROQICCBLHC-UHFFFAOYSA-N -1 1 308.773 1.920 20 0 DDADMM OCCC1(CNc2ccc(-c3nnn[n-]3)nn2)CCCCC1 ZINC000826508787 608431157 /nfs/dbraw/zinc/43/11/57/608431157.db2.gz BMHYDLXEKDUNIA-UHFFFAOYSA-N -1 1 303.370 1.402 20 0 DDADMM OCCC1(CNc2ccc(-c3nn[n-]n3)nn2)CCCCC1 ZINC000826508787 608431158 /nfs/dbraw/zinc/43/11/58/608431158.db2.gz BMHYDLXEKDUNIA-UHFFFAOYSA-N -1 1 303.370 1.402 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@H]2CCC(C)(C)C2)n1 ZINC000826356733 608608694 /nfs/dbraw/zinc/60/86/94/608608694.db2.gz CZKITSMQORDAAZ-MRVPVSSYSA-N -1 1 304.358 1.300 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@H]2CCC(C)(C)C2)n1 ZINC000826356733 608608695 /nfs/dbraw/zinc/60/86/95/608608695.db2.gz CZKITSMQORDAAZ-MRVPVSSYSA-N -1 1 304.358 1.300 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)[C@H](F)Cc2ccccc2)n1 ZINC000826356799 609326613 /nfs/dbraw/zinc/32/66/13/609326613.db2.gz GFOGZFIMPKZTHY-LLVKDONJSA-N -1 1 315.312 1.120 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)[C@H](F)Cc2ccccc2)n1 ZINC000826356799 609326614 /nfs/dbraw/zinc/32/66/14/609326614.db2.gz GFOGZFIMPKZTHY-LLVKDONJSA-N -1 1 315.312 1.120 20 0 DDADMM C[C@@H]1C[C@@H](COC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824883853 609388133 /nfs/dbraw/zinc/38/81/33/609388133.db2.gz JMJZYVCEAGKKGL-BDAKNGLRSA-N -1 1 308.363 1.900 20 0 DDADMM C[C@@H]1C[C@@H](COC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824883853 609388137 /nfs/dbraw/zinc/38/81/37/609388137.db2.gz JMJZYVCEAGKKGL-BDAKNGLRSA-N -1 1 308.363 1.900 20 0 DDADMM COCc1nc(CSCc2ccnc(-c3nnn[n-]3)c2)no1 ZINC000826165954 609519712 /nfs/dbraw/zinc/51/97/12/609519712.db2.gz PLHOLISHYFSEGH-UHFFFAOYSA-N -1 1 319.350 1.225 20 0 DDADMM COCc1nc(CSCc2ccnc(-c3nn[n-]n3)c2)no1 ZINC000826165954 609519714 /nfs/dbraw/zinc/51/97/14/609519714.db2.gz PLHOLISHYFSEGH-UHFFFAOYSA-N -1 1 319.350 1.225 20 0 DDADMM Cc1ncc(COC(=O)c2ccc(-c3nnn[n-]3)s2)c(C)n1 ZINC000826330281 609606896 /nfs/dbraw/zinc/60/68/96/609606896.db2.gz NBENEUFLAHGKJZ-UHFFFAOYSA-N -1 1 316.346 1.692 20 0 DDADMM Cc1ncc(COC(=O)c2ccc(-c3nn[n-]n3)s2)c(C)n1 ZINC000826330281 609606899 /nfs/dbraw/zinc/60/68/99/609606899.db2.gz NBENEUFLAHGKJZ-UHFFFAOYSA-N -1 1 316.346 1.692 20 0 DDADMM O=C(Nc1cccc(OS(=O)(=O)c2c[n-]cn2)c1)C1CC1 ZINC000121692249 696714379 /nfs/dbraw/zinc/71/43/79/696714379.db2.gz ABEDFVAKOQOJDC-UHFFFAOYSA-N -1 1 307.331 1.526 20 0 DDADMM COC(=O)c1ccc(C(=O)OC2C[C@@H](OC)C[C@H](OC)C2)[n-]1 ZINC000797055969 699991850 /nfs/dbraw/zinc/99/18/50/699991850.db2.gz SNZLXLCFCGCUDO-UWVGGRQHSA-N -1 1 311.334 1.541 20 0 DDADMM COc1cc(NC(=O)c2ccccc2[O-])c(C(N)=O)cc1OC ZINC000745786838 699993914 /nfs/dbraw/zinc/99/39/14/699993914.db2.gz ZBWUNESPCNKCTI-UHFFFAOYSA-N -1 1 316.313 1.761 20 0 DDADMM Cc1cc(C)n(CC(=O)N[N-]C(=O)c2cccnc2Cl)n1 ZINC000015462352 696057394 /nfs/dbraw/zinc/05/73/94/696057394.db2.gz KOLYTGFRACLHOJ-UHFFFAOYSA-N -1 1 307.741 1.010 20 0 DDADMM CC(=O)N1CCN(Cc2cc(=O)oc3cc([O-])ccc23)CC1 ZINC000016446530 696062973 /nfs/dbraw/zinc/06/29/73/696062973.db2.gz SRWGDJAFBMEAJX-UHFFFAOYSA-N -1 1 302.330 1.163 20 0 DDADMM O=C(COC(=O)c1cc(Cl)c[nH]1)[N-]C(=O)c1ccccc1 ZINC000025196802 696090332 /nfs/dbraw/zinc/09/03/32/696090332.db2.gz ZJNWNNOVBCVQCV-UHFFFAOYSA-N -1 1 306.705 1.782 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCSc2ccccc2)n1 ZINC000043862043 696156373 /nfs/dbraw/zinc/15/63/73/696156373.db2.gz FCCYHCQBNDYTDC-UHFFFAOYSA-N -1 1 319.390 1.904 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H](CC(N)=O)C1 ZINC000747421958 700069343 /nfs/dbraw/zinc/06/93/43/700069343.db2.gz NFNZEUIJUXLRLI-LLVKDONJSA-N -1 1 320.393 1.342 20 0 DDADMM N#Cc1ccc(CS(=O)(=O)[N-]C(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000048509558 696213103 /nfs/dbraw/zinc/21/31/03/696213103.db2.gz QECLAKSEKGHTDQ-GHMZBOCLSA-N -1 1 309.303 1.010 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ccc[nH]1)C(=O)c1ncccc1[O-] ZINC000977605644 696231521 /nfs/dbraw/zinc/23/15/21/696231521.db2.gz BKABFHKHQBYOLV-LLVKDONJSA-N -1 1 314.345 1.102 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-])C1CC1 ZINC000977622273 696237906 /nfs/dbraw/zinc/23/79/06/696237906.db2.gz WDNWJCBDUDJTTQ-YPMHNXCESA-N -1 1 317.389 1.506 20 0 DDADMM CCn1nc(C)cc1C(=O)NCc1n[n-]c(=S)n1C(C)C ZINC000066639614 696354728 /nfs/dbraw/zinc/35/47/28/696354728.db2.gz HTGGFUKPPVOWBP-UHFFFAOYSA-N -1 1 308.411 1.976 20 0 DDADMM Cn1c(CCNC(=O)c2cccc3n[nH]cc32)n[n-]c1=S ZINC000067051749 696358534 /nfs/dbraw/zinc/35/85/34/696358534.db2.gz MDUHGUJMRRKPHU-UHFFFAOYSA-N -1 1 302.363 1.326 20 0 DDADMM CC(C)COCCC(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000075936980 696424472 /nfs/dbraw/zinc/42/44/72/696424472.db2.gz GQRAQEJTYSNVRX-UHFFFAOYSA-N -1 1 314.769 1.869 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@H](c3ccccc3)C2)s1 ZINC000079810757 696463890 /nfs/dbraw/zinc/46/38/90/696463890.db2.gz ZYUQMRFESWNPDT-RYUDHWBXSA-N -1 1 303.387 1.349 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000079956105 696465251 /nfs/dbraw/zinc/46/52/51/696465251.db2.gz WOZHVWITOCENIH-ZYHUDNBSSA-N -1 1 305.403 1.595 20 0 DDADMM C[C@H](CNC(=O)Cc1sc(N)nc1[O-])Cc1cccs1 ZINC000080506197 696534879 /nfs/dbraw/zinc/53/48/79/696534879.db2.gz NTAWVRFIYIXOPI-WCBMZHEXSA-N -1 1 311.432 1.390 20 0 DDADMM C[C@H]1C[C@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000979431143 696555614 /nfs/dbraw/zinc/55/56/14/696555614.db2.gz VDQWWEWJNOIAAJ-FIQHERPVSA-N -1 1 303.362 1.068 20 0 DDADMM CCCn1cc(NC(=O)NCCn2c(CC)n[n-]c2=S)cn1 ZINC000084333317 696557124 /nfs/dbraw/zinc/55/71/24/696557124.db2.gz UAFAZTSEKWRSMF-UHFFFAOYSA-N -1 1 323.426 1.931 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H]2CCCc3ccc(F)cc32)s1 ZINC000089391915 696576453 /nfs/dbraw/zinc/57/64/53/696576453.db2.gz PNKXKZYGECYYQJ-NEPJUHHUSA-N -1 1 321.377 1.666 20 0 DDADMM Cc1ccc(C[C@@H](C)NC(=O)Cc2sc(N)nc2[O-])c(C)c1 ZINC000095962112 696603463 /nfs/dbraw/zinc/60/34/63/696603463.db2.gz MYKYTGWMAAMUDB-DGCLKSJQSA-N -1 1 319.430 1.697 20 0 DDADMM O=C(CC1CC1)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979687575 696627096 /nfs/dbraw/zinc/62/70/96/696627096.db2.gz NZNLZPRVZVQNQK-HAQNSBGRSA-N -1 1 303.362 1.212 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC000107549555 696631013 /nfs/dbraw/zinc/63/10/13/696631013.db2.gz GQLNROVYHJGPCU-UHFFFAOYSA-N -1 1 323.802 1.004 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cccc(-c3cn[nH]c3)c2)s1 ZINC000132102657 696811103 /nfs/dbraw/zinc/81/11/03/696811103.db2.gz CYKLAFLTLIEDDK-NSHDSACASA-N -1 1 315.358 1.362 20 0 DDADMM O=C(CNC(=O)c1c([O-])cccc1Cl)NCc1ccco1 ZINC000143639569 696870192 /nfs/dbraw/zinc/87/01/92/696870192.db2.gz QRRUBVITYOHCNA-UHFFFAOYSA-N -1 1 308.721 1.685 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000799033059 700111187 /nfs/dbraw/zinc/11/11/87/700111187.db2.gz IDRGGEVRUHLWCF-SCZZXKLOSA-N -1 1 310.737 1.616 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CC12CC2 ZINC000982673268 697167754 /nfs/dbraw/zinc/16/77/54/697167754.db2.gz ODMOIMNEJDUZTI-NWDGAFQWSA-N -1 1 315.373 1.166 20 0 DDADMM CC(=O)NC[C@@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000983070028 697207202 /nfs/dbraw/zinc/20/72/02/697207202.db2.gz UIQZREWWYKHODQ-LBPRGKRZSA-N -1 1 320.393 1.212 20 0 DDADMM CCCC(=O)N1CC[C@@H](C)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000983498500 697272555 /nfs/dbraw/zinc/27/25/55/697272555.db2.gz VKJATSWQDDQBKA-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CC(=O)N[C@@H]1[C@H]2CCCN(C(=O)c3ncccc3[O-])[C@@H]2C1(C)C ZINC000984162299 697348760 /nfs/dbraw/zinc/34/87/60/697348760.db2.gz ZFGNLJPFDGQJHY-DFBGVHRSSA-N -1 1 317.389 1.553 20 0 DDADMM Cc1ncc([N+](=O)[O-])cc1C(=O)[N-]N1Cc2ccccc2C1=O ZINC000183845666 697495218 /nfs/dbraw/zinc/49/52/18/697495218.db2.gz AUKBPLIKAJMRJL-UHFFFAOYSA-N -1 1 312.285 1.599 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H](C(C)C)N1C[C@@H](C)O[C@@H](C)C1 ZINC000184785638 697507693 /nfs/dbraw/zinc/50/76/93/697507693.db2.gz KDSFBULBALGIBC-HZSPNIEDSA-N -1 1 302.415 1.205 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(CC)cc2)C1 ZINC000184794726 697508009 /nfs/dbraw/zinc/50/80/09/697508009.db2.gz MODXLKMFCBKMKV-CQSZACIVSA-N -1 1 320.389 1.686 20 0 DDADMM CC(=O)N[C@@H](CC(=O)[N-]O[C@@H]1CCCCO1)c1cccs1 ZINC000749375285 700159443 /nfs/dbraw/zinc/15/94/43/700159443.db2.gz OFYCYDXMQDIWBD-SMDDNHRTSA-N -1 1 312.391 1.890 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/c2ccco2)c1 ZINC000193391990 697663619 /nfs/dbraw/zinc/66/36/19/697663619.db2.gz CFJSBFCOLNLRMH-ZZXKWVIFSA-N -1 1 308.315 1.285 20 0 DDADMM O=C(CCc1ccccc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773148499 697719156 /nfs/dbraw/zinc/71/91/56/697719156.db2.gz DZUHYMUNPYAFDJ-LBPRGKRZSA-N -1 1 303.391 1.449 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cnc(C)s2)o1 ZINC000195350611 697739809 /nfs/dbraw/zinc/73/98/09/697739809.db2.gz UZTKHYUJMTYEHP-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CC(C)(C)C1 ZINC000986229956 697744870 /nfs/dbraw/zinc/74/48/70/697744870.db2.gz NCXHCRJEXUNVIS-KOLCDFICSA-N -1 1 307.398 1.026 20 0 DDADMM C/C=C/C=C\C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000215092791 697752473 /nfs/dbraw/zinc/75/24/73/697752473.db2.gz LJANYSDPJNJPSO-ICWBMWKASA-N -1 1 303.314 1.627 20 0 DDADMM O=C(OCc1cc(=O)n2ccsc2n1)c1ccc([O-])cc1F ZINC000773576349 697782783 /nfs/dbraw/zinc/78/27/83/697782783.db2.gz OEUAXLVCZPQORV-UHFFFAOYSA-N -1 1 320.301 1.958 20 0 DDADMM CC(=O)c1ccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)o1 ZINC000773685864 697793568 /nfs/dbraw/zinc/79/35/68/697793568.db2.gz GHKONQITMNNEJB-UHFFFAOYSA-N -1 1 315.281 1.596 20 0 DDADMM O=C(NCCOc1ccccc1)c1ccc2n[n-]c(=S)n2c1 ZINC000773713494 697796680 /nfs/dbraw/zinc/79/66/80/697796680.db2.gz NYYRWEGOLGECOG-UHFFFAOYSA-N -1 1 314.370 1.827 20 0 DDADMM C[C@H](O)CC(C)(C)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774019591 697834319 /nfs/dbraw/zinc/83/43/19/697834319.db2.gz PXRYCZKMKBYUKC-VIFPVBQESA-N -1 1 308.407 1.545 20 0 DDADMM CN(C(=O)c1ccc2n[n-]c(=S)n2c1)C1CCC(CO)CC1 ZINC000774046628 697837257 /nfs/dbraw/zinc/83/72/57/697837257.db2.gz QLNSUIKIRFAORO-UHFFFAOYSA-N -1 1 320.418 1.641 20 0 DDADMM O=C(NCc1nccn1C(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000774413149 697876531 /nfs/dbraw/zinc/87/65/31/697876531.db2.gz XEIGHKSMDIXUHB-UHFFFAOYSA-N -1 1 324.316 1.540 20 0 DDADMM CC(C)C(=O)N1CCC([C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC000986768065 697900000 /nfs/dbraw/zinc/90/00/00/697900000.db2.gz MMKAYAJFECRNDQ-LBPRGKRZSA-N -1 1 319.405 1.800 20 0 DDADMM CCc1ncsc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776562634 698117347 /nfs/dbraw/zinc/11/73/47/698117347.db2.gz DWAZHLDACXXTFR-QMMMGPOBSA-N -1 1 310.408 1.149 20 0 DDADMM CCc1cc(CNC(=O)CCc2ccccc2C(=O)[O-])n[nH]1 ZINC000777360722 698182192 /nfs/dbraw/zinc/18/21/92/698182192.db2.gz MXMMMMPUSYFBOQ-UHFFFAOYSA-N -1 1 301.346 1.919 20 0 DDADMM O=c1cc(CN2CCOC[C@@H]2CCO)c2ccc([O-])cc2o1 ZINC000777712583 698217003 /nfs/dbraw/zinc/21/70/03/698217003.db2.gz IBANCSMBNHNMKZ-LBPRGKRZSA-N -1 1 305.330 1.082 20 0 DDADMM COC1CCC(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000750170294 700207465 /nfs/dbraw/zinc/20/74/65/700207465.db2.gz XOKZHIWDEXECCT-UHFFFAOYSA-N -1 1 321.830 1.557 20 0 DDADMM Cc1ccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)s1 ZINC000987844889 698245651 /nfs/dbraw/zinc/24/56/51/698245651.db2.gz UCXOSAAVYZGVHU-WCBMZHEXSA-N -1 1 321.406 1.273 20 0 DDADMM O=C(O[C@H]1CCCNC1=O)c1ccc(Br)c([O-])c1 ZINC000778828649 698373229 /nfs/dbraw/zinc/37/32/29/698373229.db2.gz ZTZNLRWKAWVCRC-JTQLQIEISA-N -1 1 314.135 1.590 20 0 DDADMM CC(C)[C@H](OC(=O)c1ccc(Br)c([O-])c1)C(N)=O ZINC000778827869 698373407 /nfs/dbraw/zinc/37/34/07/698373407.db2.gz DLEBQXGTZKNBDI-JTQLQIEISA-N -1 1 316.151 1.821 20 0 DDADMM CCCCCNC(C)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000255720687 698423043 /nfs/dbraw/zinc/42/30/43/698423043.db2.gz MJWZFNFMAGJUFL-VAWYXSNFSA-N -1 1 311.451 1.940 20 0 DDADMM Cc1sccc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000988796981 698467564 /nfs/dbraw/zinc/46/75/64/698467564.db2.gz HXXLCCIVMNDIQC-SCZZXKLOSA-N -1 1 321.406 1.273 20 0 DDADMM CC[C@@H](NC(=O)NC[C@@H](c1ccco1)N(CC)CC)C(=O)[O-] ZINC000780486115 698523599 /nfs/dbraw/zinc/52/35/99/698523599.db2.gz PLOAWXQIUGDZHU-NEPJUHHUSA-N -1 1 311.382 1.825 20 0 DDADMM O=C([O-])[C@H](CC(F)F)NC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000780682256 698547701 /nfs/dbraw/zinc/54/77/01/698547701.db2.gz AMRHFNAPQWGNNM-YUMQZZPRSA-N -1 1 302.281 1.195 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCC=CO2)c1 ZINC000780898270 698568990 /nfs/dbraw/zinc/56/89/90/698568990.db2.gz DMAWPEIPCHLCNT-SNVBAGLBSA-N -1 1 301.320 1.037 20 0 DDADMM CCOc1cc(C(=O)N2C[C@H]3C[C@@]3(CO)C2)cc(Cl)c1[O-] ZINC000783788982 698880080 /nfs/dbraw/zinc/88/00/80/698880080.db2.gz UFZVVQMJFJARQD-BMIGLBTASA-N -1 1 311.765 1.899 20 0 DDADMM O=C(CC1CCCCC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000990166107 698979326 /nfs/dbraw/zinc/97/93/26/698979326.db2.gz YIEBIKYYLOURNK-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(Cc2occc2Br)C1 ZINC000394147749 699105820 /nfs/dbraw/zinc/10/58/20/699105820.db2.gz QBOPXNLYRSWFFH-LBPRGKRZSA-N -1 1 318.167 1.965 20 0 DDADMM O=C(/C=C/c1cncc(F)c1)OCCC[N-]C(=O)C(F)(F)F ZINC000785853030 699106655 /nfs/dbraw/zinc/10/66/55/699106655.db2.gz YZLJZGHASLZQSF-NSCUHMNNSA-N -1 1 320.242 1.846 20 0 DDADMM O=C(Cc1ccon1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000786011035 699117795 /nfs/dbraw/zinc/11/77/95/699117795.db2.gz OOCMPBZLMUWXCQ-UHFFFAOYSA-N -1 1 301.254 1.773 20 0 DDADMM CC1(C)C(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1(C)C ZINC000990666529 699125616 /nfs/dbraw/zinc/12/56/16/699125616.db2.gz BLDTVZLFYSGZOD-UHFFFAOYSA-N -1 1 317.389 1.410 20 0 DDADMM Cc1nc(CCOC(=O)c2cc(=O)[n-]c(N(C)C)n2)cs1 ZINC000786497415 699150941 /nfs/dbraw/zinc/15/09/41/699150941.db2.gz OMLFHMNXQJOAGI-UHFFFAOYSA-N -1 1 308.363 1.413 20 0 DDADMM CC(=O)N1CSC[C@H]1C(=O)Nc1ccc([O-])c(F)c1F ZINC000456779988 699192773 /nfs/dbraw/zinc/19/27/73/699192773.db2.gz AVHNEPJBOXFAMN-QMMMGPOBSA-N -1 1 302.302 1.530 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)CC1 ZINC000703060831 699235361 /nfs/dbraw/zinc/23/53/61/699235361.db2.gz ULUUHCMJHDRJKS-UHFFFAOYSA-N -1 1 322.789 1.969 20 0 DDADMM CC[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000726866398 699386393 /nfs/dbraw/zinc/38/63/93/699386393.db2.gz SFRPPNIQOMAGGY-ZDUSSCGKSA-N -1 1 315.373 1.237 20 0 DDADMM O=C([O-])[C@]1(NCc2cn(-c3ccccc3)nn2)CCSC1 ZINC000789898054 699418052 /nfs/dbraw/zinc/41/80/52/699418052.db2.gz RVJWTGSAWBDVEE-AWEZNQCLSA-N -1 1 304.375 1.317 20 0 DDADMM Cc1ccc2cccc(NC(=O)CCn3cc[n-]c(=O)c3=O)c2n1 ZINC000727857401 699428750 /nfs/dbraw/zinc/42/87/50/699428750.db2.gz YGYDFDASZIODKT-UHFFFAOYSA-N -1 1 324.340 1.422 20 0 DDADMM C[C@H](NC(=O)c1cncc([O-])c1)c1ccc2c(c1)CC(=O)N2C ZINC000732286751 699554166 /nfs/dbraw/zinc/55/41/66/699554166.db2.gz WJVMDLANBZLAAK-JTQLQIEISA-N -1 1 311.341 1.797 20 0 DDADMM C[C@H](Oc1ccccc1F)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791165077 699613598 /nfs/dbraw/zinc/61/35/98/699613598.db2.gz AORMXUIMUNCNSU-JTQLQIEISA-N -1 1 314.320 1.887 20 0 DDADMM CCOC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCCC1 ZINC000792825173 699717649 /nfs/dbraw/zinc/71/76/49/699717649.db2.gz YKPZUIFYCOYXJM-UHFFFAOYSA-N -1 1 319.357 1.836 20 0 DDADMM C[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000736117955 699718462 /nfs/dbraw/zinc/71/84/62/699718462.db2.gz OZSJJHLVXDVMDB-FRRDWIJNSA-N -1 1 305.378 1.106 20 0 DDADMM O=C([N-]S(=O)(=O)CC1CCCCC1)c1ccc2cncn2c1 ZINC000793128991 699734551 /nfs/dbraw/zinc/73/45/51/699734551.db2.gz ZRZOKRRHGPKPAG-UHFFFAOYSA-N -1 1 321.402 1.974 20 0 DDADMM CCC(CC)S(=O)(=O)[N-]C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000793146335 699735292 /nfs/dbraw/zinc/73/52/92/699735292.db2.gz GWEFCXKVDKWZDK-UHFFFAOYSA-N -1 1 322.390 1.720 20 0 DDADMM Cc1c2ccccc2oc1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737206479 699737278 /nfs/dbraw/zinc/73/72/78/699737278.db2.gz HKCUVDLQVCOIJP-NSHDSACASA-N -1 1 313.317 1.468 20 0 DDADMM Cc1nc(C(=O)N2CCC(c3nn[n-]n3)CC2)c2ccccn12 ZINC000737467471 699741276 /nfs/dbraw/zinc/74/12/76/699741276.db2.gz PRNOFGDVQZSZPX-UHFFFAOYSA-N -1 1 311.349 1.176 20 0 DDADMM C[C@H](C(=O)N(CCC(=O)[O-])C1CC1)N1CCc2ccccc2C1 ZINC000737564198 699742075 /nfs/dbraw/zinc/74/20/75/699742075.db2.gz UODLCIXRWXUBKX-CYBMUJFWSA-N -1 1 316.401 1.899 20 0 DDADMM O=C(CCc1ccc(Cl)cc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737852207 699746440 /nfs/dbraw/zinc/74/64/40/699746440.db2.gz DRKZWNVXJRFHPQ-GFCCVEGCSA-N -1 1 321.768 1.386 20 0 DDADMM O=C(N1CCC(c2nn[n-]n2)CC1)C1(c2ccccc2F)CC1 ZINC000737992981 699749558 /nfs/dbraw/zinc/74/95/58/699749558.db2.gz MTTJVWPXJAXSKL-UHFFFAOYSA-N -1 1 315.352 1.777 20 0 DDADMM Cc1cc(N(C)C)nc(NCc2ccccc2OCC(=O)[O-])n1 ZINC000738402647 699759016 /nfs/dbraw/zinc/75/90/16/699759016.db2.gz ZFCMVEMBFLFMPP-UHFFFAOYSA-N -1 1 316.361 1.927 20 0 DDADMM C[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000741233849 699823428 /nfs/dbraw/zinc/82/34/28/699823428.db2.gz NQICFAHDNHDMAE-DGCLKSJQSA-N -1 1 316.323 1.954 20 0 DDADMM O=C1OCc2c1c(OS(=O)(=O)c1c[n-]cn1)ccc2Cl ZINC000795295244 699856771 /nfs/dbraw/zinc/85/67/71/699856771.db2.gz WEHBOESEFVHOAW-UHFFFAOYSA-N -1 1 314.706 1.501 20 0 DDADMM CC(=O)N[C@H](C(=O)OCCc1cc(C(C)=O)ccc1[O-])C(C)C ZINC000744460381 699949951 /nfs/dbraw/zinc/94/99/51/699949951.db2.gz RVYBKLDYWFIESH-INIZCTEOSA-N -1 1 321.373 1.841 20 0 DDADMM COC(=O)[C@@H](OC(=O)c1nn(-c2ccccc2)cc1[O-])C1CC1 ZINC000801202765 700277167 /nfs/dbraw/zinc/27/71/67/700277167.db2.gz BBCGCQLZNQYBRB-AWEZNQCLSA-N -1 1 316.313 1.686 20 0 DDADMM Cc1noc(COC(=O)c2nn(-c3ccc(F)cc3)cc2[O-])n1 ZINC000801326161 700297733 /nfs/dbraw/zinc/29/77/33/700297733.db2.gz WMGUFPJVAWZCMR-UHFFFAOYSA-N -1 1 318.264 1.765 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)OCC(=O)OC)n1 ZINC000801417234 700305757 /nfs/dbraw/zinc/30/57/57/700305757.db2.gz GRSCUTMRRRIVCS-UHFFFAOYSA-N -1 1 304.302 1.470 20 0 DDADMM CCOCCCCOC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000756563991 700629138 /nfs/dbraw/zinc/62/91/38/700629138.db2.gz BBVVMEGGLVGSQP-UHFFFAOYSA-N -1 1 305.352 1.161 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H]2CCC[C@H](OC)C2)o1 ZINC000761085452 700842164 /nfs/dbraw/zinc/84/21/64/700842164.db2.gz NCCCDXHOGXUSEI-UWVGGRQHSA-N -1 1 317.363 1.302 20 0 DDADMM CC1=CCN(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000762552518 700897795 /nfs/dbraw/zinc/89/77/95/700897795.db2.gz ZSDAJDLWNNSXEE-UHFFFAOYSA-N -1 1 318.830 1.004 20 0 DDADMM CCC(=O)N1CC[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000762565963 700898427 /nfs/dbraw/zinc/89/84/27/700898427.db2.gz YBASUIYAKLBFEM-NSHDSACASA-N -1 1 320.393 1.355 20 0 DDADMM O=C(C1CCC(F)(F)CC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000763008922 700919148 /nfs/dbraw/zinc/91/91/48/700919148.db2.gz UCVASGIKTUEQST-VIFPVBQESA-N -1 1 317.365 1.642 20 0 DDADMM CNc1ncc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)s1 ZINC000763977218 700955901 /nfs/dbraw/zinc/95/59/01/700955901.db2.gz NXZUTRRRZJEMJC-SNVBAGLBSA-N -1 1 315.362 1.217 20 0 DDADMM O=C(c1ccnc(Cl)c1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000765110881 700999526 /nfs/dbraw/zinc/99/95/26/700999526.db2.gz VPAUWFGEXSCVTO-ZETCQYMHSA-N -1 1 310.720 1.407 20 0 DDADMM Cn1[n-]c(COC(=O)c2c[nH]c3cc(Cl)ccc23)nc1=O ZINC000765462028 701013908 /nfs/dbraw/zinc/01/39/08/701013908.db2.gz MHMOZYFCHNRXNV-UHFFFAOYSA-N -1 1 306.709 1.600 20 0 DDADMM Cn1[n-]c(COC(=O)C(F)(F)c2ccc(F)cc2)nc1=O ZINC000765462097 701013918 /nfs/dbraw/zinc/01/39/18/701013918.db2.gz REYOFWGUJQBSJB-UHFFFAOYSA-N -1 1 301.224 1.083 20 0 DDADMM C[C@H](CCc1ccccc1F)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765487717 701015410 /nfs/dbraw/zinc/01/54/10/701015410.db2.gz FJWDGYGUTCHHCO-SNVBAGLBSA-N -1 1 307.325 1.560 20 0 DDADMM CSc1nc(C)c(CCC(=O)O[C@]2(C)CCOC2)c(=O)[n-]1 ZINC000802901954 701066702 /nfs/dbraw/zinc/06/67/02/701066702.db2.gz FDDVVCMVOJBRNE-CQSZACIVSA-N -1 1 312.391 1.867 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2ncnn2C)c(=O)[n-]1 ZINC000768830875 701208219 /nfs/dbraw/zinc/20/82/19/701208219.db2.gz ORTXUPBNJCNXLW-UHFFFAOYSA-N -1 1 323.378 1.017 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCO[C@@]2(CCOC2)C1 ZINC000769624117 701250734 /nfs/dbraw/zinc/25/07/34/701250734.db2.gz OQRQWOAXTXUXLR-INIZCTEOSA-N -1 1 321.377 1.246 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H](O)C1CCC1 ZINC000830858707 706592695 /nfs/dbraw/zinc/59/26/95/706592695.db2.gz DJMGFNVDJLKKTJ-VIFPVBQESA-N -1 1 301.140 1.649 20 0 DDADMM CC(=O)[C@H](Cc1ccc(F)c(F)c1F)OC(=O)c1cn[n-]n1 ZINC000805605008 701398028 /nfs/dbraw/zinc/39/80/28/701398028.db2.gz UNAUECXJLVILOE-JTQLQIEISA-N -1 1 313.235 1.579 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC3Cc4ccccc4C3)ccnc1-2 ZINC000806489181 701437919 /nfs/dbraw/zinc/43/79/19/701437919.db2.gz OIBPLAIHZCYEMZ-UHFFFAOYSA-N -1 1 321.384 1.878 20 0 DDADMM C[C@H]1CCCCCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806898346 701453151 /nfs/dbraw/zinc/45/31/51/701453151.db2.gz BSSCGZXGZVHSCV-NSHDSACASA-N -1 1 315.377 1.071 20 0 DDADMM O=S(=O)([N-][C@H]1CCCCC12OCCO2)c1c[nH]nc1Cl ZINC000830913227 706601624 /nfs/dbraw/zinc/60/16/24/706601624.db2.gz CWJOFYVBHNNLBK-VIFPVBQESA-N -1 1 321.786 1.027 20 0 DDADMM COCCCO[N-]C(=O)c1c[nH]c2cc(OC)ccc2c1=O ZINC000807772312 701486612 /nfs/dbraw/zinc/48/66/12/701486612.db2.gz KSWBEAZLUCUBFM-UHFFFAOYSA-N -1 1 306.318 1.235 20 0 DDADMM CC(C)(C)c1cc(CS(=O)(=O)[N-][C@@]2(C)CCOC2=O)no1 ZINC000810103303 701730298 /nfs/dbraw/zinc/73/02/98/701730298.db2.gz OYNMIPXKZGOEIP-ZDUSSCGKSA-N -1 1 316.379 1.097 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@H](C)c1ccnn1C ZINC000867855769 701796047 /nfs/dbraw/zinc/79/60/47/701796047.db2.gz FVQSECWTPDRFSR-SSDOTTSWSA-N -1 1 317.802 1.155 20 0 DDADMM CC[C@@H](C[C@H](C)O)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000867931387 701838303 /nfs/dbraw/zinc/83/83/03/701838303.db2.gz IWVXVODBSZSMKS-YUMQZZPRSA-N -1 1 311.325 1.932 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CC[C@H](O)C1CCCC1 ZINC000867932058 701838996 /nfs/dbraw/zinc/83/89/96/701838996.db2.gz UMEHDGGAKQGXTI-LBPRGKRZSA-N -1 1 324.468 1.024 20 0 DDADMM COc1cccc(OC)c1OCCCCOC(=O)c1cn[n-]n1 ZINC000811223274 701938939 /nfs/dbraw/zinc/93/89/39/701938939.db2.gz DAYTVWLYZFAWSJ-UHFFFAOYSA-N -1 1 321.333 1.838 20 0 DDADMM Cc1cc(F)ccc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831139138 706642109 /nfs/dbraw/zinc/64/21/09/706642109.db2.gz FLNMMLKRPLHFJU-UHFFFAOYSA-N -1 1 318.270 1.885 20 0 DDADMM Cc1ncsc1CCC(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000840602715 702169561 /nfs/dbraw/zinc/16/95/61/702169561.db2.gz HFCDHMLQPYWOMT-UHFFFAOYSA-N -1 1 324.324 1.996 20 0 DDADMM CCn1ncn([N-]C(=O)c2cccc(Cl)c2Cl)c1=O ZINC000816738337 702172052 /nfs/dbraw/zinc/17/20/52/702172052.db2.gz ZLRVAQUQGIJGNU-UHFFFAOYSA-N -1 1 301.133 1.755 20 0 DDADMM CO[C@@](C)(C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C1CC1 ZINC000812665795 702217587 /nfs/dbraw/zinc/21/75/87/702217587.db2.gz VMUGPEFLGXLGQA-MRXNPFEDSA-N -1 1 305.330 1.301 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000812801286 702242229 /nfs/dbraw/zinc/24/22/29/702242229.db2.gz GPDRLACVKWRBQC-OAHLLOKOSA-N -1 1 320.389 1.508 20 0 DDADMM COC(=O)CN1c2ccccc2C[C@H]1C[N-]C(=O)C(F)(F)F ZINC000817292660 702333175 /nfs/dbraw/zinc/33/31/75/702333175.db2.gz IPAOPLAPLOSNDV-JTQLQIEISA-N -1 1 316.279 1.269 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC2(C1)CCCOC2 ZINC000813816234 702418721 /nfs/dbraw/zinc/41/87/21/702418721.db2.gz OFMVAEAGPWYWCA-UHFFFAOYSA-N -1 1 305.378 1.867 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)CCc1cccs1 ZINC000841533631 702483351 /nfs/dbraw/zinc/48/33/51/702483351.db2.gz FPWSSQDBNYHGRW-JTQLQIEISA-N -1 1 303.405 1.162 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)Cc1ccccc1Cl ZINC000841534149 702484249 /nfs/dbraw/zinc/48/42/49/702484249.db2.gz IITBZLSJFGBCJI-LLVKDONJSA-N -1 1 317.794 1.711 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1c[nH]nc1Cl)OCC1CC1 ZINC000841561839 702498112 /nfs/dbraw/zinc/49/81/12/702498112.db2.gz YPRCBZFAERUVGG-UHFFFAOYSA-N -1 1 307.803 1.547 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC(C(C)(C)O)CC3)ccnc1-2 ZINC000879416778 706674585 /nfs/dbraw/zinc/67/45/85/706674585.db2.gz ZQRZIAYTZXHHOS-UHFFFAOYSA-N -1 1 317.393 1.357 20 0 DDADMM COc1ccccc1CO[N-]C(=O)Cc1cn(C)nc1Cl ZINC000814416317 702519158 /nfs/dbraw/zinc/51/91/58/702519158.db2.gz LISBYZGGUDMNSA-UHFFFAOYSA-N -1 1 309.753 1.873 20 0 DDADMM CCOC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccccc1C ZINC000817917472 702520234 /nfs/dbraw/zinc/52/02/34/702520234.db2.gz HEBQAOQJNJFDEI-UHFFFAOYSA-N -1 1 310.331 1.663 20 0 DDADMM CC(C)(C)/C=C/C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869394060 702591531 /nfs/dbraw/zinc/59/15/31/702591531.db2.gz NFZMBFTWQFLSON-GQCTYLIASA-N -1 1 309.284 1.770 20 0 DDADMM CO[C@H]1CCn2cc(C(=O)Nc3cccc(F)c3[O-])nc2C1 ZINC000869445361 702609659 /nfs/dbraw/zinc/60/96/59/702609659.db2.gz FLWGXOBITKWTMN-VIFPVBQESA-N -1 1 305.309 1.941 20 0 DDADMM CC[C@H](C(=O)Nc1nc(Br)ccc1[O-])[C@H](C)O ZINC000842243456 702688597 /nfs/dbraw/zinc/68/85/97/702688597.db2.gz ZAEPHNFPVITHGV-BQBZGAKWSA-N -1 1 303.156 1.895 20 0 DDADMM CC(C)SCC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843019811 702804763 /nfs/dbraw/zinc/80/47/63/702804763.db2.gz XNQQULDIRDKMHY-UHFFFAOYSA-N -1 1 308.469 1.058 20 0 DDADMM CCCCS(=O)(=O)Cc1noc(-c2ccc(N)cc2[O-])n1 ZINC000843221603 702844770 /nfs/dbraw/zinc/84/47/70/702844770.db2.gz FYHPHPOCWCCBMI-UHFFFAOYSA-N -1 1 311.363 1.739 20 0 DDADMM CCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc3c(c2)CCC3)C1 ZINC000844083701 702969555 /nfs/dbraw/zinc/96/95/55/702969555.db2.gz RHGGSMZYYBSUAB-AWEZNQCLSA-N -1 1 302.374 1.986 20 0 DDADMM CC(C)n1cnc([N-]C(=O)c2cnc(C3OCCO3)s2)n1 ZINC000844735348 703065777 /nfs/dbraw/zinc/06/57/77/703065777.db2.gz GRFOGJQZBYFNPU-UHFFFAOYSA-N -1 1 309.351 1.613 20 0 DDADMM Cc1cnccc1C=CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847091177 703376714 /nfs/dbraw/zinc/37/67/14/703376714.db2.gz MQJPJFPLPNDVMT-MUBLQREKSA-N -1 1 314.345 1.898 20 0 DDADMM CC[C@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CCCCO1 ZINC000847178029 703384464 /nfs/dbraw/zinc/38/44/64/703384464.db2.gz NJTBYJFVQGEJMQ-GXTWGEPZSA-N -1 1 317.393 1.811 20 0 DDADMM O=CN1CCCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CC1 ZINC000847369069 703408651 /nfs/dbraw/zinc/40/86/51/703408651.db2.gz FAMJUUUCOOOJNX-UHFFFAOYSA-N -1 1 316.279 1.715 20 0 DDADMM COC[C@@H](C)C[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000866605871 706743377 /nfs/dbraw/zinc/74/33/77/706743377.db2.gz QCTSDELBDREVFG-WLRWDXFRSA-N -1 1 320.436 1.260 20 0 DDADMM CCC1(C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)COC1 ZINC000848341095 703540883 /nfs/dbraw/zinc/54/08/83/703540883.db2.gz WWANDZWVPCNDFB-NXEZZACHSA-N -1 1 322.327 1.471 20 0 DDADMM O=S(=O)([N-][C@H](C1CC1)[C@@H]1CCCCO1)c1c[nH]nc1Cl ZINC000848467872 703553501 /nfs/dbraw/zinc/55/35/01/703553501.db2.gz WGKGXZHWJYDWBO-GXSJLCMTSA-N -1 1 319.814 1.689 20 0 DDADMM CC1(C)C[C@H]([N-]S(=O)(=O)c2ccc(Br)o2)CO1 ZINC000849412802 703645232 /nfs/dbraw/zinc/64/52/32/703645232.db2.gz ZARALCZMKSGDLW-ZETCQYMHSA-N -1 1 324.196 1.888 20 0 DDADMM CCn1ncc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1C ZINC000869844992 703864667 /nfs/dbraw/zinc/86/46/67/703864667.db2.gz BZRLFCVJVFFLBW-UHFFFAOYSA-N -1 1 315.329 1.325 20 0 DDADMM O=C(c1cnco1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870161306 703938970 /nfs/dbraw/zinc/93/89/70/703938970.db2.gz FHTIOCAELXZVSR-UHFFFAOYSA-N -1 1 321.764 1.992 20 0 DDADMM C[C@H](C(=O)OC(C)(C)C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879762968 706783685 /nfs/dbraw/zinc/78/36/85/706783685.db2.gz BDJSUMHUPNEVBA-RNCFNFMXSA-N -1 1 324.343 1.860 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCc2ccc(F)cc21 ZINC000866746653 706785521 /nfs/dbraw/zinc/78/55/21/706785521.db2.gz HGNAEYWRFMKUFN-HXPMCKFVSA-N -1 1 320.411 1.765 20 0 DDADMM C[C@@H]([N-]C(=O)C(F)(F)C1(O)CCC1)c1noc(C(F)F)n1 ZINC000819569629 704143394 /nfs/dbraw/zinc/14/33/94/704143394.db2.gz AMJURBPHPGNNNX-RXMQYKEDSA-N -1 1 311.235 1.735 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H](C)c1cnn(C(C)C)c1 ZINC000866779661 706797969 /nfs/dbraw/zinc/79/79/69/706797969.db2.gz QSPMZFBVDKGGJD-APBUJDDRSA-N -1 1 322.456 1.477 20 0 DDADMM CO[C@@H](COC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C1CC1 ZINC000820346509 704264215 /nfs/dbraw/zinc/26/42/15/704264215.db2.gz VRHGENAAHRKBSE-ZDUSSCGKSA-N -1 1 319.361 1.562 20 0 DDADMM Cn1cc(Br)c(C(=O)[N-]OCCC2CC2)cc1=O ZINC000820485147 704288673 /nfs/dbraw/zinc/28/86/73/704288673.db2.gz WERAJEDWLMACPN-UHFFFAOYSA-N -1 1 315.167 1.609 20 0 DDADMM Cc1ccc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)nn1 ZINC000853637231 704291228 /nfs/dbraw/zinc/29/12/28/704291228.db2.gz OLUCRVHEOKOOTH-JTQLQIEISA-N -1 1 302.300 1.680 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nc(C(F)F)no2)[n-]1 ZINC000820687546 704320193 /nfs/dbraw/zinc/32/01/93/704320193.db2.gz YIDIVTIIQWWKSU-UHFFFAOYSA-N -1 1 301.205 1.479 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N3CCOCCN3)c2)o1 ZINC000821004601 704358147 /nfs/dbraw/zinc/35/81/47/704358147.db2.gz PSKRIWKEOUSEEI-UHFFFAOYSA-N -1 1 316.313 1.442 20 0 DDADMM CCCCOC(=O)COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821072043 704367831 /nfs/dbraw/zinc/36/78/31/704367831.db2.gz QBSVSEQETSRDNM-UHFFFAOYSA-N -1 1 310.335 1.428 20 0 DDADMM CCCCOC(=O)COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821072043 704367833 /nfs/dbraw/zinc/36/78/33/704367833.db2.gz QBSVSEQETSRDNM-UHFFFAOYSA-N -1 1 310.335 1.428 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854341961 704382081 /nfs/dbraw/zinc/38/20/81/704382081.db2.gz BPRLBTSSTZQFSC-IHWYPQMZSA-N -1 1 320.374 1.960 20 0 DDADMM COc1cc(C(=O)N[C@H](C)C(OC)OC)cc(Cl)c1[O-] ZINC000854465755 704401927 /nfs/dbraw/zinc/40/19/27/704401927.db2.gz VUTQVKGVCAAGGU-SSDOTTSWSA-N -1 1 303.742 1.791 20 0 DDADMM COc1cccc(C2=NO[C@H](C(=O)Nc3c(C)[n-][nH]c3=O)C2)c1 ZINC000854635875 704430757 /nfs/dbraw/zinc/43/07/57/704430757.db2.gz XRXCMKAYGILMCV-LBPRGKRZSA-N -1 1 316.317 1.564 20 0 DDADMM COC(=O)N(C)CCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000855943661 704508351 /nfs/dbraw/zinc/50/83/51/704508351.db2.gz XXFGTXBAQHIHNF-UHFFFAOYSA-N -1 1 320.267 1.839 20 0 DDADMM O=S(=O)([N-]CCOCC1CCC1)c1ccc(Cl)nc1F ZINC000867113288 706900056 /nfs/dbraw/zinc/90/00/56/706900056.db2.gz RXNHRLUQOAXLST-UHFFFAOYSA-N -1 1 322.789 1.969 20 0 DDADMM CC(C)[C@@H](C)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866854775 706826195 /nfs/dbraw/zinc/82/61/95/706826195.db2.gz SJYDFAKFQWYIMO-ZETCQYMHSA-N -1 1 310.217 1.743 20 0 DDADMM O=c1n(CCCNc2cc(Cl)[n-]c(=O)n2)nc2n1CCCC2 ZINC000858355760 704699014 /nfs/dbraw/zinc/69/90/14/704699014.db2.gz WPJNYVMPYUUCFX-UHFFFAOYSA-N -1 1 324.772 1.032 20 0 DDADMM NC(=O)NC(=O)C1CCC(Nc2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858443819 704711581 /nfs/dbraw/zinc/71/15/81/704711581.db2.gz HMYSQLSWVVMTKG-UHFFFAOYSA-N -1 1 313.745 1.001 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1COc2ccccc21 ZINC000867275399 706944973 /nfs/dbraw/zinc/94/49/73/706944973.db2.gz SMJXMGPGYAMZHM-GIGQVBGESA-N -1 1 318.420 1.115 20 0 DDADMM C[C@H]1C[C@@H]1C[N-]S(=O)(=O)c1ccc(Br)nc1F ZINC000858901065 704770102 /nfs/dbraw/zinc/77/01/02/704770102.db2.gz IPEFAQFYNMVAQV-NKWVEPMBSA-N -1 1 323.187 1.918 20 0 DDADMM O=C([O-])[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCCC1CC1 ZINC000873644278 704815216 /nfs/dbraw/zinc/81/52/16/704815216.db2.gz VSDFJPFLNJZFSP-MNOVXSKESA-N -1 1 322.327 1.773 20 0 DDADMM CSCCCCCCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000859277926 704846557 /nfs/dbraw/zinc/84/65/57/704846557.db2.gz FZYCMPCGUTWWSN-NSHDSACASA-N -1 1 313.427 1.413 20 0 DDADMM CCO[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000832714126 706968493 /nfs/dbraw/zinc/96/84/93/706968493.db2.gz VVMGHRBOVITKFH-NXEZZACHSA-N -1 1 321.830 1.700 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC(C)(C)C(C)(C)O ZINC000867369423 706977932 /nfs/dbraw/zinc/97/79/32/706977932.db2.gz URXDQUSUGGRGIA-UHFFFAOYSA-N -1 1 314.473 1.126 20 0 DDADMM C[C@@]1(C(=O)Nc2nc(Br)ccc2[O-])CCNC1=O ZINC000822352904 704925873 /nfs/dbraw/zinc/92/58/73/704925873.db2.gz FPKJZDTUZVHSBO-LLVKDONJSA-N -1 1 314.139 1.014 20 0 DDADMM C[C@]1(C(=O)Nc2nc(Br)ccc2[O-])CCNC1=O ZINC000822352905 704926002 /nfs/dbraw/zinc/92/60/02/704926002.db2.gz FPKJZDTUZVHSBO-NSHDSACASA-N -1 1 314.139 1.014 20 0 DDADMM CC(C)[C@@]1(C)C[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867386180 706983840 /nfs/dbraw/zinc/98/38/40/706983840.db2.gz RNSYIZHZSXWOTJ-GMSGAONNSA-N -1 1 322.228 1.885 20 0 DDADMM O=S(=O)([N-]CCC1(CO)CCC1)c1ccc(F)nc1F ZINC000867466423 707010738 /nfs/dbraw/zinc/01/07/38/707010738.db2.gz RMHJWNLCPAVCMZ-UHFFFAOYSA-N -1 1 306.334 1.191 20 0 DDADMM CC1(C)CC[C@@H](O)[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1 ZINC000867616081 707055549 /nfs/dbraw/zinc/05/55/49/707055549.db2.gz LVMRBCZDKHQQAM-HTQZYQBOSA-N -1 1 307.803 1.281 20 0 DDADMM COc1ccc(C[C@@](C)(CO)NC(=O)c2ncccc2[O-])cc1 ZINC000874739012 705158380 /nfs/dbraw/zinc/15/83/80/705158380.db2.gz TXVNQQZQQBXPAP-KRWDZBQOSA-N -1 1 316.357 1.519 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](CCC(=O)[O-])Cc2ccccc2)[nH]n1 ZINC000823825497 705325404 /nfs/dbraw/zinc/32/54/04/705325404.db2.gz QKLWPUPPRGYBLR-AWEZNQCLSA-N -1 1 315.373 1.853 20 0 DDADMM CCOC(=O)NCCC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834833652 707133978 /nfs/dbraw/zinc/13/39/78/707133978.db2.gz GYBOMFQPRCRRCJ-UHFFFAOYSA-N -1 1 300.742 1.798 20 0 DDADMM O=C(C[C@H]1C=CS(=O)(=O)C1)NCc1ccc([O-])c(Cl)c1 ZINC000834833767 707134095 /nfs/dbraw/zinc/13/40/95/707134095.db2.gz LHWHNHCJLPRHTA-SNVBAGLBSA-N -1 1 315.778 1.610 20 0 DDADMM COC(=O)C1CC(NC(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000876128153 705630600 /nfs/dbraw/zinc/63/06/00/705630600.db2.gz VXPGCEMUYNOCCX-UHFFFAOYSA-N -1 1 312.753 1.796 20 0 DDADMM CC(C)CC1(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000876300702 705683444 /nfs/dbraw/zinc/68/34/44/705683444.db2.gz HBAATILTKOXEDZ-UHFFFAOYSA-N -1 1 320.393 1.633 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1C[C@@H]2COC[C@H](C1)O2 ZINC000876396966 705708462 /nfs/dbraw/zinc/70/84/62/705708462.db2.gz UATRVWGULVMFKT-PHIMTYICSA-N -1 1 312.753 1.355 20 0 DDADMM Cc1onc(CC(=O)N2CCS[C@H](C)[C@H]2C)c1-c1nnn[n-]1 ZINC000826345855 705794048 /nfs/dbraw/zinc/79/40/48/705794048.db2.gz WDAIXRIZJHKBAB-VXNVDRBHSA-N -1 1 322.394 1.058 20 0 DDADMM Cc1onc(CC(=O)N2CCS[C@H](C)[C@H]2C)c1-c1nn[n-]n1 ZINC000826345855 705794051 /nfs/dbraw/zinc/79/40/51/705794051.db2.gz WDAIXRIZJHKBAB-VXNVDRBHSA-N -1 1 322.394 1.058 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc3[nH]ccc3c2)c1-c1nnn[n-]1 ZINC000826349140 705795171 /nfs/dbraw/zinc/79/51/71/705795171.db2.gz VUEQSHNVGRKCOZ-UHFFFAOYSA-N -1 1 323.316 1.826 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc3[nH]ccc3c2)c1-c1nn[n-]n1 ZINC000826349140 705795175 /nfs/dbraw/zinc/79/51/75/705795175.db2.gz VUEQSHNVGRKCOZ-UHFFFAOYSA-N -1 1 323.316 1.826 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1CCCCC12OCCO2)C1CC1 ZINC000827370062 705987494 /nfs/dbraw/zinc/98/74/94/705987494.db2.gz ZFVMANGYKICPAV-CHWSQXEVSA-N -1 1 319.423 1.017 20 0 DDADMM CC[C@@H](C[C@H](C)CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000827473175 706009118 /nfs/dbraw/zinc/00/91/18/706009118.db2.gz OJWARHAPAWHRIQ-ONGXEEELSA-N -1 1 308.407 1.545 20 0 DDADMM CCC1(S(=O)(=O)[N-]C(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC000835534969 707273762 /nfs/dbraw/zinc/27/37/62/707273762.db2.gz CRJPOYIOQFDUID-UHFFFAOYSA-N -1 1 320.374 1.474 20 0 DDADMM CC[C@H](CO)[N-]S(=O)(=O)N=[S@@](C)(=O)C1CCCCC1 ZINC000881912274 707420548 /nfs/dbraw/zinc/42/05/48/707420548.db2.gz HFHBGYCKIPGXMH-MGNBDDOMSA-N -1 1 312.457 1.022 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]1CCO)c1ccc2n[n-]c(=S)n2c1 ZINC000829092573 706287082 /nfs/dbraw/zinc/28/70/82/706287082.db2.gz GRIOTRKHTCPXDF-MWLCHTKSSA-N -1 1 306.391 1.299 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cccnc1F)[C@@H](O)C(F)(F)F ZINC000882029461 707472099 /nfs/dbraw/zinc/47/20/99/707472099.db2.gz IJGHTBRUEBARDW-POYBYMJQSA-N -1 1 316.276 1.201 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000865768187 706520499 /nfs/dbraw/zinc/52/04/99/706520499.db2.gz DQGOXEUMVQUWNJ-GFCCVEGCSA-N -1 1 307.304 1.774 20 0 DDADMM Cc1ccccc1[C@@H](O)C[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830633276 706549887 /nfs/dbraw/zinc/54/98/87/706549887.db2.gz LWZMCYXFEWPCFT-JTQLQIEISA-N -1 1 315.782 1.383 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1c[nH]nc1Cl)c1ccsc1 ZINC000830659383 706555816 /nfs/dbraw/zinc/55/58/16/706555816.db2.gz SCYLZPYLLIOZEE-SNVBAGLBSA-N -1 1 321.811 1.311 20 0 DDADMM CCC[C@H](OC)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830795747 706581222 /nfs/dbraw/zinc/58/12/22/706581222.db2.gz OOUBCCZGOSNFJU-CABZTGNLSA-N -1 1 310.316 1.471 20 0 DDADMM COCC1(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000830807655 706583119 /nfs/dbraw/zinc/58/31/19/706583119.db2.gz OAOCFBGDKAFCGB-NSHDSACASA-N -1 1 308.300 1.083 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@H]2CCOC2)C1 ZINC000830807916 706583827 /nfs/dbraw/zinc/58/38/27/706583827.db2.gz SPFLIEYJEZSIBR-BXKDBHETSA-N -1 1 308.300 1.083 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CCn2cccc2)C1 ZINC000830819847 706586038 /nfs/dbraw/zinc/58/60/38/706586038.db2.gz BZHZDSDWZIECLA-CYBMUJFWSA-N -1 1 317.311 1.548 20 0 DDADMM CCCCOCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830824252 706587507 /nfs/dbraw/zinc/58/75/07/706587507.db2.gz YMHAECJAOTZFHC-GFCCVEGCSA-N -1 1 310.316 1.473 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CCc1ccccc1O ZINC000866835750 706820426 /nfs/dbraw/zinc/82/04/26/706820426.db2.gz RNZKTWOMCUXUAY-UHFFFAOYSA-N -1 1 318.420 1.031 20 0 DDADMM Cc1nn(C)c(C)c1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866895102 706837767 /nfs/dbraw/zinc/83/77/67/706837767.db2.gz OJSOZMBACKAYIW-UHFFFAOYSA-N -1 1 316.333 1.189 20 0 DDADMM O=C(Cc1cccnc1Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000866901259 706839737 /nfs/dbraw/zinc/83/97/37/706839737.db2.gz BMVPGHXBNJIMKT-JTQLQIEISA-N -1 1 306.757 1.197 20 0 DDADMM CCS[C@H]1CCC[C@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866915768 706843806 /nfs/dbraw/zinc/84/38/06/706843806.db2.gz LDLWBOGFFGMEKR-BDAKNGLRSA-N -1 1 300.471 1.223 20 0 DDADMM C[C@H](CN(C)C(=O)CCC[C@@H](O)c1ccccc1)c1nn[n-]n1 ZINC000832126376 706848272 /nfs/dbraw/zinc/84/82/72/706848272.db2.gz MKEILALNWZFAQJ-TZMCWYRMSA-N -1 1 317.393 1.666 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@]1(C)CCCS1 ZINC000866954923 706855525 /nfs/dbraw/zinc/85/55/25/706855525.db2.gz MYUKWGAZCLZMBA-SNVBAGLBSA-N -1 1 314.498 1.614 20 0 DDADMM Cc1ncc(CC[N-]S(=O)(=O)N=S2(=O)CCCC2)s1 ZINC000866989969 706865952 /nfs/dbraw/zinc/86/59/52/706865952.db2.gz IGIWJBZJKWUKGC-UHFFFAOYSA-N -1 1 323.465 1.090 20 0 DDADMM O=S(=O)([N-]C1(CO)CCC1)c1cc(Cl)cnc1Cl ZINC000867056400 706884507 /nfs/dbraw/zinc/88/45/07/706884507.db2.gz ROJHMMSFOFLLCF-UHFFFAOYSA-N -1 1 311.190 1.582 20 0 DDADMM C[C@@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867092763 706893756 /nfs/dbraw/zinc/89/37/56/706893756.db2.gz QLIOANNEKQAPGH-IMTBSYHQSA-N -1 1 324.200 1.018 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]1C1CC1)c1nc[nH]c1Br ZINC000867218810 706928409 /nfs/dbraw/zinc/92/84/09/706928409.db2.gz CCYKPAILHWXPGC-NKWVEPMBSA-N -1 1 306.185 1.249 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(CN1CC3(CC1=O)CCOCC3)CC2 ZINC000833178532 707001727 /nfs/dbraw/zinc/00/17/27/707001727.db2.gz REOMSBIAZVCOJC-CYBMUJFWSA-N -1 1 322.405 1.160 20 0 DDADMM O=C([O-])c1cccc(NC(=O)[C@H]2CCCc3[nH]ncc32)c1O ZINC000833329625 707005567 /nfs/dbraw/zinc/00/55/67/707005567.db2.gz FQUNDDCHAKKYIV-QMMMGPOBSA-N -1 1 301.302 1.872 20 0 DDADMM CSc1nc(CNC(=O)C2SCCCS2)cc(=O)[n-]1 ZINC000880651106 707048697 /nfs/dbraw/zinc/04/86/97/707048697.db2.gz GKOMLVNMFPWHEZ-UHFFFAOYSA-N -1 1 317.461 1.717 20 0 DDADMM CCn1ccc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c1C ZINC000880653186 707048717 /nfs/dbraw/zinc/04/87/17/707048717.db2.gz UAWNJMKIJFOREU-UHFFFAOYSA-N -1 1 306.391 1.964 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)C2=COCC2)n[n-]1 ZINC000834686500 707102894 /nfs/dbraw/zinc/10/28/94/707102894.db2.gz HYIRATATSLKXGD-NSHDSACASA-N -1 1 322.365 1.489 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)C2=COCC2)[n-]1 ZINC000834686500 707102897 /nfs/dbraw/zinc/10/28/97/707102897.db2.gz HYIRATATSLKXGD-NSHDSACASA-N -1 1 322.365 1.489 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)C2=COCC2)n1 ZINC000834686500 707102900 /nfs/dbraw/zinc/10/29/00/707102900.db2.gz HYIRATATSLKXGD-NSHDSACASA-N -1 1 322.365 1.489 20 0 DDADMM CN(C)c1noc(CCC(=O)NCc2ccc([O-])c(Cl)c2)n1 ZINC000834825512 707131304 /nfs/dbraw/zinc/13/13/04/707131304.db2.gz LUVHRBVUHMZMBT-UHFFFAOYSA-N -1 1 324.768 1.744 20 0 DDADMM C[C@H](C(=O)NCc1ccc([O-])c(Cl)c1)[C@@H](C)S(C)(=O)=O ZINC000834825270 707131464 /nfs/dbraw/zinc/13/14/64/707131464.db2.gz JFTHWPDCFCLZOP-DTWKUNHWSA-N -1 1 319.810 1.731 20 0 DDADMM COC(=O)c1c[n-]c(SCc2cn(C[C@H]3CCOC3)nn2)n1 ZINC000871667304 707160949 /nfs/dbraw/zinc/16/09/49/707160949.db2.gz HIMYSCBESZRSGH-SECBINFHSA-N -1 1 323.378 1.117 20 0 DDADMM CCN1CC[C@H](O[N-]C(=O)CCc2ccc(OC)cc2)C1=O ZINC000871798659 707208617 /nfs/dbraw/zinc/20/86/17/707208617.db2.gz OZBXUBLYHYYEGU-AWEZNQCLSA-N -1 1 306.362 1.296 20 0 DDADMM CCOC(=O)[C@H](CC(C)C)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000871931656 707248849 /nfs/dbraw/zinc/24/88/49/707248849.db2.gz JQQNPAWORZKRFO-ZDUSSCGKSA-N -1 1 323.393 1.322 20 0 DDADMM C[C@H]1CN(CC(=O)N2CCC(C(=O)[O-])CC2)Cc2ccccc21 ZINC000872166459 707311631 /nfs/dbraw/zinc/31/16/31/707311631.db2.gz JFPPWIZSPAGPNY-ZDUSSCGKSA-N -1 1 316.401 1.929 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCc1ccncc1 ZINC000872462019 707425544 /nfs/dbraw/zinc/42/55/44/707425544.db2.gz DBFLYSDBAYJSDI-IBGZPJMESA-N -1 1 319.452 1.355 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCn2ccnc21 ZINC000872490448 707442805 /nfs/dbraw/zinc/44/28/05/707442805.db2.gz BKQQNJCHNMRNLD-AYLIAGHASA-N -1 1 320.440 1.059 20 0 DDADMM C[C@@H]1SCC[C@H]1[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872499106 707448528 /nfs/dbraw/zinc/44/85/28/707448528.db2.gz DPJWZOQWIONYCP-MRAOVIAESA-N -1 1 314.498 1.611 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)C[C@@H]1C(C)(C)C1(F)F)C(=O)OC ZINC000882040611 707475835 /nfs/dbraw/zinc/47/58/35/707475835.db2.gz SPCJADUKCVCFAY-RKDXNWHRSA-N -1 1 313.366 1.539 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-][C@H]1CC12CCC2)c1ccccc1 ZINC000872650311 707532473 /nfs/dbraw/zinc/53/24/73/707532473.db2.gz SLGNWKJCYYUPOA-HXPMCKFVSA-N -1 1 314.432 1.920 20 0 DDADMM O=C(NC[C@H](O)C(F)(F)C(F)(F)F)c1cc(F)ccc1[O-] ZINC000882562180 707699049 /nfs/dbraw/zinc/69/90/49/707699049.db2.gz PRTZKDBTCXAOOA-QMMMGPOBSA-N -1 1 317.185 1.820 20 0 DDADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]c1ccc(F)c(F)c1 ZINC000882659679 707735680 /nfs/dbraw/zinc/73/56/80/707735680.db2.gz GSYXTPZQBRBAFF-SFHVURJKSA-N -1 1 313.351 1.196 20 0 DDADMM O=S(=O)(C[C@@H]1CCCOC1)[N-]c1cc(F)cc(F)c1O ZINC000882744767 707770321 /nfs/dbraw/zinc/77/03/21/707770321.db2.gz PLXNVXCGIKLWTB-MRVPVSSYSA-N -1 1 307.318 1.839 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)C(F)F)cnc1Cl ZINC000882871029 707830221 /nfs/dbraw/zinc/83/02/21/707830221.db2.gz XCOZFTIDYCYONJ-RXMQYKEDSA-N -1 1 300.714 1.676 20 0 DDADMM CCc1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)n(C)n1 ZINC000873477705 707867346 /nfs/dbraw/zinc/86/73/46/707867346.db2.gz QKHPZSINLVWXOW-UHFFFAOYSA-N -1 1 315.329 1.096 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000909304571 712986849 /nfs/dbraw/zinc/98/68/49/712986849.db2.gz GFLYIRHIHCRQQM-AWEZNQCLSA-N -1 1 304.281 1.111 20 0 DDADMM O=C([O-])C1(NC(=O)[C@@H]2CCC[N@@H+](Cc3cccnc3)C2)CCC1 ZINC000909338352 712995004 /nfs/dbraw/zinc/99/50/04/712995004.db2.gz RUSHQKCXYWQXGQ-CQSZACIVSA-N -1 1 317.389 1.417 20 0 DDADMM Cn1cccc1S(=O)(=O)[N-]C(=O)c1[nH]nc2c1CCCCC2 ZINC000839067783 708021613 /nfs/dbraw/zinc/02/16/13/708021613.db2.gz YGSNAHHMRKLDFP-UHFFFAOYSA-N -1 1 322.390 1.136 20 0 DDADMM Cc1cc(CC(=O)N[C@H](CC(=O)[O-])c2ccccc2C)[nH]n1 ZINC000909375912 713003464 /nfs/dbraw/zinc/00/34/64/713003464.db2.gz NHFXBGPITBZYAZ-CQSZACIVSA-N -1 1 301.346 1.901 20 0 DDADMM COc1ccnc(N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)n1 ZINC000897224484 708261259 /nfs/dbraw/zinc/26/12/59/708261259.db2.gz QGNRZAHOMUITNW-VIFPVBQESA-N -1 1 303.322 1.331 20 0 DDADMM COc1ccc(N(C)C(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1F ZINC000909413645 713011650 /nfs/dbraw/zinc/01/16/50/713011650.db2.gz RNJKMZKOVPMXJG-NSHDSACASA-N -1 1 324.352 1.594 20 0 DDADMM O=S(=O)([N-]C[C@@H]1COc2ccccc2O1)c1ccns1 ZINC000884877037 708404295 /nfs/dbraw/zinc/40/42/95/708404295.db2.gz SVBXANSXZWGVPA-SECBINFHSA-N -1 1 312.372 1.261 20 0 DDADMM O=C(C[C@@H]1CCC2(CCC2)O1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000897668642 708412782 /nfs/dbraw/zinc/41/27/82/708412782.db2.gz JCOBFNDHRDYZAA-LBPRGKRZSA-N -1 1 321.377 1.973 20 0 DDADMM CC(C)(C)OC(=O)NCCC[N-]S(=O)(=O)c1ccns1 ZINC000885001994 708438855 /nfs/dbraw/zinc/43/88/55/708438855.db2.gz UZMKJSBMHMXUCP-UHFFFAOYSA-N -1 1 321.424 1.336 20 0 DDADMM O=S(=O)([N-]CCCOCC1CCOCC1)c1ccns1 ZINC000885028898 708448042 /nfs/dbraw/zinc/44/80/42/708448042.db2.gz OGFWTLNWKYVPHD-UHFFFAOYSA-N -1 1 320.436 1.255 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1cccc(Cl)c1 ZINC000912530888 713031508 /nfs/dbraw/zinc/03/15/08/713031508.db2.gz JBSQAIGPJZDMDM-UHFFFAOYSA-N -1 1 316.722 1.617 20 0 DDADMM CC(C)[C@H](C[N-]S(=O)(=O)c1ccns1)c1ccnn1C ZINC000885131415 708477896 /nfs/dbraw/zinc/47/78/96/708477896.db2.gz HBWHVNHYXWUTGP-JTQLQIEISA-N -1 1 314.436 1.595 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCc1ccc(OC)nc1 ZINC000897891138 708483796 /nfs/dbraw/zinc/48/37/96/708483796.db2.gz QLFOQXYICSLDNU-UHFFFAOYSA-N -1 1 310.350 1.412 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CC[C@H](C)C[C@@H]1C ZINC000912540961 713032914 /nfs/dbraw/zinc/03/29/14/713032914.db2.gz ANAUULXTQBBDQR-ATZCPNFKSA-N -1 1 315.395 1.299 20 0 DDADMM NC(=O)C12CCC(CC1)N2C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000885282381 708510681 /nfs/dbraw/zinc/51/06/81/708510681.db2.gz WTGSYUXKJPFKGI-UHFFFAOYSA-N -1 1 308.765 1.597 20 0 DDADMM COCCOC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000885311132 708516787 /nfs/dbraw/zinc/51/67/87/708516787.db2.gz NXCSKYAZUYBRSP-BDAKNGLRSA-N -1 1 312.288 1.158 20 0 DDADMM O=C([N-]CC1CN(C(=O)N[C@@H]2C[C@@H]3CCC[C@H]23)C1)C(F)(F)F ZINC000898257501 708587926 /nfs/dbraw/zinc/58/79/26/708587926.db2.gz WWAZQJMEVOIWPF-GARJFASQSA-N -1 1 319.327 1.495 20 0 DDADMM CN(CC(=O)Nc1cc(F)c([O-])cc1Cl)S(C)(=O)=O ZINC000885674774 708594563 /nfs/dbraw/zinc/59/45/63/708594563.db2.gz VAQISOISWPESOR-UHFFFAOYSA-N -1 1 310.734 1.015 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC2(CC1)C[C@H](O)CCO2 ZINC000886108192 708699649 /nfs/dbraw/zinc/69/96/49/708699649.db2.gz JWRBMLOLDVNOQB-GFCCVEGCSA-N -1 1 309.337 1.677 20 0 DDADMM C[C@H](C(=O)NCCc1c(F)cc([O-])cc1F)[C@H]1CCC(=O)N1 ZINC000886268196 708732944 /nfs/dbraw/zinc/73/29/44/708732944.db2.gz HCPBDNJVCHKFQJ-ISVAXAHUSA-N -1 1 312.316 1.244 20 0 DDADMM O=S(=O)([N-]c1cc([C@@H]2CCCOC2)n[nH]1)c1ccns1 ZINC000886403832 708754480 /nfs/dbraw/zinc/75/44/80/708754480.db2.gz UACCUWWRIFOWHO-MRVPVSSYSA-N -1 1 314.392 1.561 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1cnc2c(C)cccn12 ZINC000886417122 708757463 /nfs/dbraw/zinc/75/74/63/708757463.db2.gz JGQMYANHZOTSMV-UHFFFAOYSA-N -1 1 319.390 1.739 20 0 DDADMM O=S(=O)([N-]c1[nH]nc2c1COCC2)c1c(F)cccc1F ZINC000886480290 708767472 /nfs/dbraw/zinc/76/74/72/708767472.db2.gz KLFSQGYECYEKEJ-UHFFFAOYSA-N -1 1 315.301 1.561 20 0 DDADMM CC[C@@H]1C(=O)NCCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000886503203 708769360 /nfs/dbraw/zinc/76/93/60/708769360.db2.gz GNHBIZWKOAVZOK-CYBMUJFWSA-N -1 1 313.357 1.681 20 0 DDADMM CC[C@@H](O)CCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927785320 713055536 /nfs/dbraw/zinc/05/55/36/713055536.db2.gz JCONHBYGNPQXDJ-SECBINFHSA-N -1 1 302.321 1.673 20 0 DDADMM CC[C@H](O)CCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927785321 713055562 /nfs/dbraw/zinc/05/55/62/713055562.db2.gz JCONHBYGNPQXDJ-VIFPVBQESA-N -1 1 302.321 1.673 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CC[C@@](F)(CO)C1 ZINC000927788313 713056612 /nfs/dbraw/zinc/05/66/12/713056612.db2.gz HIJQYXWDBOTMMP-AWEZNQCLSA-N -1 1 318.295 1.329 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000898750924 708854601 /nfs/dbraw/zinc/85/46/01/708854601.db2.gz JSNVIRZQOOOQGI-JGVFFNPUSA-N -1 1 319.283 1.873 20 0 DDADMM O=C(CCCc1c(F)cccc1F)NCc1nc([O-])cc(=O)[nH]1 ZINC000898753630 708855099 /nfs/dbraw/zinc/85/50/99/708855099.db2.gz CKOLFWUBRQDOII-UHFFFAOYSA-N -1 1 323.299 1.805 20 0 DDADMM CCCN(C)c1ccc(C(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC000898754877 708855576 /nfs/dbraw/zinc/85/55/76/708855576.db2.gz YYLVZDZVIBXVGO-UHFFFAOYSA-N -1 1 316.361 1.664 20 0 DDADMM CCCc1cc(C(=O)[N-]N2C(=O)[C@@H](C)N(C)C2=O)sc1C ZINC000899025238 708956745 /nfs/dbraw/zinc/95/67/45/708956745.db2.gz IMXSFZGBHCDBGR-MRVPVSSYSA-N -1 1 309.391 1.936 20 0 DDADMM CN(C)S(=O)(=O)c1ccc(-n2[n-]c(CC3CC3)cc2=O)cc1 ZINC000899356525 709066438 /nfs/dbraw/zinc/06/64/38/709066438.db2.gz LDJKSPAWFPZHBH-UHFFFAOYSA-N -1 1 321.402 1.830 20 0 DDADMM O=C(C(=O)N1CCOC[C@@H]1C[C@H]1CCOC1)c1ccc([O-])cc1 ZINC000887813451 709131026 /nfs/dbraw/zinc/13/10/26/709131026.db2.gz ILQAVSBOUARFBG-OCCSQVGLSA-N -1 1 319.357 1.229 20 0 DDADMM COC(=O)[C@H]1CCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000888064184 709204825 /nfs/dbraw/zinc/20/48/25/709204825.db2.gz KQPXXCPTQYZHCK-SECBINFHSA-N -1 1 303.236 1.799 20 0 DDADMM Cn1nc2c(c1CN[C@H](C(=O)[O-])c1ccc(F)cc1)CCC2 ZINC000900027732 709271144 /nfs/dbraw/zinc/27/11/44/709271144.db2.gz CTJYVTSCHSDEHP-HNNXBMFYSA-N -1 1 303.337 1.963 20 0 DDADMM Cc1nn(C)c(C)c1CN[C@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000900072018 709281665 /nfs/dbraw/zinc/28/16/65/709281665.db2.gz WGEBNQCXXFTMKF-LBPRGKRZSA-N -1 1 303.366 1.556 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2ccc([O-])c(F)c2)CCS1(=O)=O ZINC000888385260 709295108 /nfs/dbraw/zinc/29/51/08/709295108.db2.gz RERIFWMNZIUKKP-JTQLQIEISA-N -1 1 315.366 1.617 20 0 DDADMM CC1(C)CNC(=O)[C@H]1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000912821221 713100354 /nfs/dbraw/zinc/10/03/54/713100354.db2.gz WEXGJBYYFICLEI-SNVBAGLBSA-N -1 1 316.279 1.665 20 0 DDADMM CC(C)[C@H](C(=O)[N-]OCc1ccccn1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000909527481 709502728 /nfs/dbraw/zinc/50/27/28/709502728.db2.gz FRZRHZUUGYQWGC-IJEWVQPXSA-N -1 1 321.421 1.763 20 0 DDADMM COC(=O)[C@](C)(CO)NC(=O)c1ccc2ccccc2c1[O-] ZINC000909585531 709528207 /nfs/dbraw/zinc/52/82/07/709528207.db2.gz TZJUBEBTRIVBOV-INIZCTEOSA-N -1 1 303.314 1.199 20 0 DDADMM COc1cc(C)ccc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912861962 713110590 /nfs/dbraw/zinc/11/05/90/713110590.db2.gz DVCTUFAHNDQZIO-NSHDSACASA-N -1 1 321.406 1.741 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000909700518 709585583 /nfs/dbraw/zinc/58/55/83/709585583.db2.gz ZDJHNVRQWXZYQV-OAHLLOKOSA-N -1 1 302.334 1.531 20 0 DDADMM O=C([O-])[C@@H](CC(F)F)NC(=O)c1[nH]nc2c1CCCCC2 ZINC000909769212 709609433 /nfs/dbraw/zinc/60/94/33/709609433.db2.gz CLKIBMGPEFEQTR-SECBINFHSA-N -1 1 301.293 1.517 20 0 DDADMM COc1ccc([C@H](NC(=O)[C@@H](C)N(C)C)C(=O)[O-])cc1Cl ZINC000909796164 709625176 /nfs/dbraw/zinc/62/51/76/709625176.db2.gz NIBHCPAQBSIKOA-PELKAZGASA-N -1 1 314.769 1.541 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H](C)[C@@H]2CCCO2)[n-]c1=O ZINC000889786321 709635691 /nfs/dbraw/zinc/63/56/91/709635691.db2.gz LVOSRHZGQXSHST-SRVKXCTJSA-N -1 1 321.377 1.669 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)o1 ZINC000889787639 709636063 /nfs/dbraw/zinc/63/60/63/709636063.db2.gz YKLJVIQGWZJIOV-SECBINFHSA-N -1 1 319.317 1.770 20 0 DDADMM CCCCC[C@@H](O)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889787813 709636418 /nfs/dbraw/zinc/63/64/18/709636418.db2.gz PZGKWKZKIKAFMW-NWDGAFQWSA-N -1 1 323.393 1.796 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H]2CCCCO2)[n-]c1=O ZINC000889790654 709637725 /nfs/dbraw/zinc/63/77/25/709637725.db2.gz UAHMHPVNWWVLPM-GHMZBOCLSA-N -1 1 307.350 1.423 20 0 DDADMM CC[C@H](NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)[C@@H]1CCCCO1 ZINC000909919573 709689155 /nfs/dbraw/zinc/68/91/55/709689155.db2.gz JLHHBGNMPBLOKS-RDBSUJKOSA-N -1 1 312.410 1.247 20 0 DDADMM CC(C)(C)OCCCNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909952847 709705558 /nfs/dbraw/zinc/70/55/58/709705558.db2.gz ACCHXQAQKROEND-GFCCVEGCSA-N -1 1 300.399 1.104 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)NCc1ccc(C(=O)[O-])cc1F ZINC000909986201 709720992 /nfs/dbraw/zinc/72/09/92/709720992.db2.gz CMEIRSBCPRZPFE-LLVKDONJSA-N -1 1 324.352 1.108 20 0 DDADMM C[C@@H]1COC2(CCCC2)CN1C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909987860 709722340 /nfs/dbraw/zinc/72/23/40/709722340.db2.gz LXEYYCRJIQIIKT-ZIAGYGMSSA-N -1 1 324.421 1.343 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1(C2CC2)CC1 ZINC000900711213 709723294 /nfs/dbraw/zinc/72/32/94/709723294.db2.gz PFKINIYWNRODLP-UHFFFAOYSA-N -1 1 300.362 1.858 20 0 DDADMM O=C([O-])[C@]12CN(C(=O)c3cccc4nn[nH]c43)C[C@H]1CCCC2 ZINC000910151855 709793365 /nfs/dbraw/zinc/79/33/65/709793365.db2.gz JVPDYZUXMNLMSP-QLJPJBMISA-N -1 1 314.345 1.675 20 0 DDADMM CCc1ccnc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000910171158 709796561 /nfs/dbraw/zinc/79/65/61/709796561.db2.gz UIAZYPNBKBDORK-LBPRGKRZSA-N -1 1 305.378 1.265 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@H]1CC=C(C(=O)[O-])C1)c1ccccc1 ZINC000910200127 709810409 /nfs/dbraw/zinc/81/04/09/709810409.db2.gz LDEDURHGUCXKJF-GJZGRUSLSA-N -1 1 302.374 1.969 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(C(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC000910219913 709821671 /nfs/dbraw/zinc/82/16/71/709821671.db2.gz GGIQTJDQJFZWAP-LLVKDONJSA-N -1 1 321.255 1.053 20 0 DDADMM CCC[C@H](NC(=O)c1cnc(CC2CC2)s1)c1nn[n-]n1 ZINC000900984166 709862476 /nfs/dbraw/zinc/86/24/76/709862476.db2.gz MLACMMDXNLJBLS-VIFPVBQESA-N -1 1 306.395 1.880 20 0 DDADMM O=C(NC[C@@H]1CSCCS1)c1cnc(C2CC2)[n-]c1=O ZINC000900991685 709867160 /nfs/dbraw/zinc/86/71/60/709867160.db2.gz KYRUAKQYYFKHKF-SECBINFHSA-N -1 1 311.432 1.638 20 0 DDADMM Cc1nc(N2CCC[C@H](N3CCOCC3)CC2)ccc1C(=O)[O-] ZINC000910362742 709909545 /nfs/dbraw/zinc/90/95/45/709909545.db2.gz NMCCWOQTIBXDSE-AWEZNQCLSA-N -1 1 319.405 1.779 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C(=O)c1cnc[nH]1)CC2 ZINC000910386205 709918532 /nfs/dbraw/zinc/91/85/32/709918532.db2.gz WLAYLMNWZPJBSW-UHFFFAOYSA-N -1 1 301.302 1.315 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1N(C(=O)c1n[nH]cc1C(F)(F)F)CC2 ZINC000910398454 709923412 /nfs/dbraw/zinc/92/34/12/709923412.db2.gz UAJQYXXPMLADFG-PELKAZGASA-N -1 1 317.267 1.898 20 0 DDADMM O=C([O-])c1cn(CCNc2ccncc2C(F)(F)F)cn1 ZINC000910466801 709956788 /nfs/dbraw/zinc/95/67/88/709956788.db2.gz ZLGUFTRDMZLBJD-UHFFFAOYSA-N -1 1 300.240 1.529 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)NC[C@@H]3[C@H](C(=O)[O-])C3(F)F)c2C1 ZINC000910488289 709969745 /nfs/dbraw/zinc/96/97/45/709969745.db2.gz ZZAXVZWBGDHNBA-GTNGPMTGSA-N -1 1 313.304 1.230 20 0 DDADMM O=C([O-])C[C@H](NC(=O)Cc1n[nH]c2c1CCCC2)C1CCCC1 ZINC000910558115 709998896 /nfs/dbraw/zinc/99/88/96/709998896.db2.gz BVGUICHSKSDVBK-AWEZNQCLSA-N -1 1 319.405 1.981 20 0 DDADMM C[C@@H](C(=O)N[C@H](Cc1cc2ccccc2o1)C(=O)[O-])N(C)C ZINC000910579856 710008458 /nfs/dbraw/zinc/00/84/58/710008458.db2.gz LYLNOPBPXRZXBF-GXFFZTMASA-N -1 1 304.346 1.495 20 0 DDADMM CN1CCN(C(=O)c2cc(C(=O)[O-])ccn2)C2(CCCCC2)C1 ZINC000910687279 710047625 /nfs/dbraw/zinc/04/76/25/710047625.db2.gz NHYCFKWMZVBPCQ-UHFFFAOYSA-N -1 1 317.389 1.870 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1COC[C@@H]1C1CC1 ZINC000891062395 710076756 /nfs/dbraw/zinc/07/67/56/710076756.db2.gz YLINASXXIKPLIS-NEPJUHHUSA-N -1 1 305.378 1.769 20 0 DDADMM CO[C@@H](C(=O)[O-])C(=O)N1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000901607357 710093065 /nfs/dbraw/zinc/09/30/65/710093065.db2.gz QLVPZQNQXWDAPR-QWHCGFSZSA-N -1 1 317.345 1.634 20 0 DDADMM C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000901607536 710093252 /nfs/dbraw/zinc/09/32/52/710093252.db2.gz CHAXZFINFBXDDS-RDGWCUKFSA-N -1 1 318.377 1.499 20 0 DDADMM C[C@H](NCc1ccc(C(F)(F)F)s1)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901744671 710138999 /nfs/dbraw/zinc/13/89/99/710138999.db2.gz ACSQTEINWUNQGV-BQBZGAKWSA-N -1 1 324.324 1.834 20 0 DDADMM CS(=O)(=O)CC1(CC(=O)Nc2cc(F)cc(F)c2[O-])CC1 ZINC000910981544 710140500 /nfs/dbraw/zinc/14/05/00/710140500.db2.gz HSOXWJAAACPBAW-UHFFFAOYSA-N -1 1 319.329 1.824 20 0 DDADMM Cn1nnc2c1C[C@H](C(=O)Nc1cc(F)cc(F)c1[O-])CC2 ZINC000910986022 710141373 /nfs/dbraw/zinc/14/13/73/710141373.db2.gz FGMLCMSLDZFEFQ-SSDOTTSWSA-N -1 1 308.288 1.543 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCCC[C@H]1CC(=O)[O-] ZINC000901997550 710197212 /nfs/dbraw/zinc/19/72/12/710197212.db2.gz NOFHMEWOUHHEON-JRPNMDOOSA-N -1 1 305.378 1.931 20 0 DDADMM COCc1nc(N(C)CCOc2ccc(F)cc2)cc(=O)[n-]1 ZINC000891605081 710246262 /nfs/dbraw/zinc/24/62/62/710246262.db2.gz YIQKRKWGMRHKOZ-UHFFFAOYSA-N -1 1 307.325 1.983 20 0 DDADMM Cc1cc(C)n(CC(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)n1 ZINC000891627037 710251996 /nfs/dbraw/zinc/25/19/96/710251996.db2.gz QWXXZWDTNKKSAW-UHFFFAOYSA-N -1 1 301.350 1.513 20 0 DDADMM COCc1nc(N[C@@H]2C[N@@H+](C)Cc3ccccc32)cc(=O)[nH]1 ZINC000892995958 710538805 /nfs/dbraw/zinc/53/88/05/710538805.db2.gz WMFYWFNAOHFQKK-CYBMUJFWSA-N -1 1 300.362 1.927 20 0 DDADMM COCc1nc(N2CCC[C@H](C3(C)OCCO3)C2)cc(=O)[n-]1 ZINC000893153057 710563424 /nfs/dbraw/zinc/56/34/24/710563424.db2.gz ZCQMXSSVJZCQJD-NSHDSACASA-N -1 1 309.366 1.308 20 0 DDADMM Cc1cc(CNCCNC(=O)c2ccccc2)oc1C(=O)[O-] ZINC000902091843 710611447 /nfs/dbraw/zinc/61/14/47/710611447.db2.gz BDTDODQBCNWQJQ-UHFFFAOYSA-N -1 1 302.330 1.806 20 0 DDADMM Cc1ncc(C(=O)[O-])cc1C(=O)N1CCN(C)C[C@H]1C(C)C ZINC000911409896 710786422 /nfs/dbraw/zinc/78/64/22/710786422.db2.gz REEGRZVPCOKHRG-AWEZNQCLSA-N -1 1 305.378 1.500 20 0 DDADMM COCc1nc(NC[C@H]2CCC3(CCOCC3)[C@H]2O)cc(=O)[n-]1 ZINC000893723169 710796299 /nfs/dbraw/zinc/79/62/99/710796299.db2.gz AZNBUKOSKATTEQ-ABAIWWIYSA-N -1 1 323.393 1.308 20 0 DDADMM C[C@@H]1CN(C(=O)CCc2ccccc2C(=O)[O-])[C@H](C)CN1C ZINC000911439153 710798720 /nfs/dbraw/zinc/79/87/20/710798720.db2.gz HUXLIFCHQNGJMM-CHWSQXEVSA-N -1 1 304.390 1.868 20 0 DDADMM CN(CC(=O)N[C@@H](c1nc(=O)o[n-]1)C(C)(C)C)CC(C)(C)C ZINC000911589204 710870347 /nfs/dbraw/zinc/87/03/47/710870347.db2.gz QMGFBNVLUFIIIX-NSHDSACASA-N -1 1 312.414 1.544 20 0 DDADMM CC1(C)CO[C@@H](CCNC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000902762390 710893167 /nfs/dbraw/zinc/89/31/67/710893167.db2.gz MRUYFMNOQOBSAR-NSHDSACASA-N -1 1 315.373 1.858 20 0 DDADMM C[N@H+]1CCN(C(=O)CCc2ccccc2C(=O)[O-])CC1(C)C ZINC000911722982 710951302 /nfs/dbraw/zinc/95/13/02/710951302.db2.gz PJUPEXAARSNDQP-UHFFFAOYSA-N -1 1 304.390 1.870 20 0 DDADMM CN1CCN(C(=O)CCc2ccccc2C(=O)[O-])CC1(C)C ZINC000911722982 710951305 /nfs/dbraw/zinc/95/13/05/710951305.db2.gz PJUPEXAARSNDQP-UHFFFAOYSA-N -1 1 304.390 1.870 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCOC[C@H]1CC1CC1 ZINC000902985449 710985158 /nfs/dbraw/zinc/98/51/58/710985158.db2.gz ISQLOVYUKKILEW-GFCCVEGCSA-N -1 1 313.357 1.564 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnc2ccnn2c1)C1CCCC1 ZINC000903187869 711058292 /nfs/dbraw/zinc/05/82/92/711058292.db2.gz JEGXUDWWHUIWSH-CYBMUJFWSA-N -1 1 324.406 1.676 20 0 DDADMM CC[C@@H]1C[C@H]1C[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000903408943 711118427 /nfs/dbraw/zinc/11/84/27/711118427.db2.gz OIZBBVADMDCIKR-YTWAJWBKSA-N -1 1 322.327 1.629 20 0 DDADMM CC[C@H](O)[C@@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000912120380 711181682 /nfs/dbraw/zinc/18/16/82/711181682.db2.gz WDIGIRUJDLIKEE-RYUDHWBXSA-N -1 1 320.418 1.784 20 0 DDADMM CO[C@@H]1CN(C[C@H]2CCC3(CCOCC3)O2)[C@@](C)(C(=O)[O-])C1 ZINC000903608297 711221786 /nfs/dbraw/zinc/22/17/86/711221786.db2.gz USVALLHFFIJEPU-VNHYZAJKSA-N -1 1 313.394 1.279 20 0 DDADMM CSc1nc(CNC(=O)C[C@H]2CCCC[C@@H]2O)cc(=O)[n-]1 ZINC000912251872 711246716 /nfs/dbraw/zinc/24/67/16/711246716.db2.gz VHOOUBJCIMUFFJ-KOLCDFICSA-N -1 1 311.407 1.462 20 0 DDADMM CCCN(Cc1ccc(F)cc1)C(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC000912476031 711345423 /nfs/dbraw/zinc/34/54/23/711345423.db2.gz XJIWBBOXQMAWCO-MRXNPFEDSA-N -1 1 324.352 1.008 20 0 DDADMM O=C([O-])[C@]1(NCc2cocn2)CCC[N@@H+](Cc2ccccc2)C1 ZINC000904024614 711365872 /nfs/dbraw/zinc/36/58/72/711365872.db2.gz ULCMELGRHSLQCP-KRWDZBQOSA-N -1 1 315.373 1.884 20 0 DDADMM O=C([O-])[C@]1(NCc2cocn2)CCCN(Cc2ccccc2)C1 ZINC000904024614 711365875 /nfs/dbraw/zinc/36/58/75/711365875.db2.gz ULCMELGRHSLQCP-KRWDZBQOSA-N -1 1 315.373 1.884 20 0 DDADMM COc1cc(CN[C@H](C(=O)[O-])c2cnn(C)c2C)cc(OC)c1 ZINC000904049755 711370621 /nfs/dbraw/zinc/37/06/21/711370621.db2.gz ZQWCWIPPZSZVNF-HNNXBMFYSA-N -1 1 319.361 1.661 20 0 DDADMM COc1cc(C)c(CNCc2cnn(C)c2C(=O)[O-])c(C)n1 ZINC000904067846 711373380 /nfs/dbraw/zinc/37/33/80/711373380.db2.gz NIFNMSSRYLCIOI-UHFFFAOYSA-N -1 1 304.350 1.429 20 0 DDADMM COCCN(CCOC)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895583065 711549895 /nfs/dbraw/zinc/54/98/95/711549895.db2.gz JSMBNCLWVDXEFL-UHFFFAOYSA-N -1 1 319.357 1.986 20 0 DDADMM O=c1nc(NC[C@H]2[C@H](c3ccccc3)C2(F)F)nc2[nH][n-]cc1-2 ZINC000896130936 711680005 /nfs/dbraw/zinc/68/00/05/711680005.db2.gz CJJXCGLBBLAXAB-QWRGUYRKSA-N -1 1 317.299 1.893 20 0 DDADMM O=S1(=O)CCC[C@@H](c2nc(-c3ccc([O-])cc3F)no2)C1 ZINC000896220949 711693730 /nfs/dbraw/zinc/69/37/30/711693730.db2.gz LSNPZCUUVSKXDU-MRVPVSSYSA-N -1 1 312.322 1.874 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC000913685666 713281154 /nfs/dbraw/zinc/28/11/54/713281154.db2.gz AQTQNSVOTMFAHR-GJZGRUSLSA-N -1 1 309.369 1.886 20 0 DDADMM CC(C)Oc1cccnc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743906 713292454 /nfs/dbraw/zinc/29/24/54/713292454.db2.gz QIZGUVQMHZIADM-UHFFFAOYSA-N -1 1 316.365 1.402 20 0 DDADMM CC(C)c1nn(C)cc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743467 713292504 /nfs/dbraw/zinc/29/25/04/713292504.db2.gz XDBHBMHGIKGJBP-UHFFFAOYSA-N -1 1 303.370 1.076 20 0 DDADMM O=C(CCCc1ccsc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744389 713292766 /nfs/dbraw/zinc/29/27/66/713292766.db2.gz MEGAABJWILFQDT-UHFFFAOYSA-N -1 1 305.407 1.990 20 0 DDADMM O=C(c1ccn(C2CCCC2)n1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744050 713292790 /nfs/dbraw/zinc/29/27/90/713292790.db2.gz YIVZGARYENXDEM-UHFFFAOYSA-N -1 1 315.381 1.531 20 0 DDADMM CC[C@H]1CCCC[C@H]1OCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744545 713292846 /nfs/dbraw/zinc/29/28/46/713292846.db2.gz SWKBPMUTERQOAU-GXTWGEPZSA-N -1 1 321.425 1.891 20 0 DDADMM O=C(/C=C\COc1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745239 713293549 /nfs/dbraw/zinc/29/35/49/713293549.db2.gz VPVZJDBMWZDBPS-DAXSKMNVSA-N -1 1 313.361 1.541 20 0 DDADMM CCn1cc(Cl)cc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745616 713293687 /nfs/dbraw/zinc/29/36/87/713293687.db2.gz LLPXWJIKXLLRPG-UHFFFAOYSA-N -1 1 308.773 1.694 20 0 DDADMM COc1ccc([C@H](NC[C@H](O)C(F)(F)F)C(=O)[O-])cc1F ZINC000905396096 712027206 /nfs/dbraw/zinc/02/72/06/712027206.db2.gz MTGUANHEZJJTMT-UWVGGRQHSA-N -1 1 311.231 1.473 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@H]2NCc1cnc2ccccn12 ZINC000905798012 712148438 /nfs/dbraw/zinc/14/84/38/712148438.db2.gz YPSDBUQXZAYVOD-GFCCVEGCSA-N -1 1 311.345 1.854 20 0 DDADMM C[C@](Cn1cccn1)(NCc1cn2cccc(F)c2n1)C(=O)[O-] ZINC000905806384 712150836 /nfs/dbraw/zinc/15/08/36/712150836.db2.gz DZWLSJJDQZIQBM-OAHLLOKOSA-N -1 1 317.324 1.303 20 0 DDADMM CC[C@@](O)(CN1CC(C[N-]C(=O)C(F)(F)F)C1)C(F)(F)F ZINC000905974453 712206856 /nfs/dbraw/zinc/20/68/56/712206856.db2.gz YJUMPAFSLVKRAF-SECBINFHSA-N -1 1 322.249 1.300 20 0 DDADMM O=C([O-])C(F)(F)CNS(=O)(=O)CCc1ccccc1F ZINC000905999025 712215855 /nfs/dbraw/zinc/21/58/55/712215855.db2.gz GVOCXKDYPQLMGC-UHFFFAOYSA-N -1 1 311.281 1.008 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1ccnc(Cl)c1C ZINC000906066149 712235606 /nfs/dbraw/zinc/23/56/06/712235606.db2.gz HNFZFTIHSFXMCO-KRWDZBQOSA-N -1 1 311.816 1.818 20 0 DDADMM CC(C)[C@H]1CCN(S(=O)(=O)c2cc(C(=O)[O-])ccc2O)C1 ZINC000906886631 712428128 /nfs/dbraw/zinc/42/81/28/712428128.db2.gz PIJSPJQSENEAGM-NSHDSACASA-N -1 1 313.375 1.757 20 0 DDADMM O=C(c1nc(Cl)cs1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479697 712583398 /nfs/dbraw/zinc/58/33/98/712583398.db2.gz LZKJMSJRWLBJIM-RXMQYKEDSA-N -1 1 316.799 1.240 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccccc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480708 712583755 /nfs/dbraw/zinc/58/37/55/712583755.db2.gz WLCPGFFKEBKTSN-YNEHKIRRSA-N -1 1 315.402 1.620 20 0 DDADMM CO[C@@H]1CCCC[C@H]1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481752 712584120 /nfs/dbraw/zinc/58/41/20/712584120.db2.gz YQWHHELMUYQDBL-OUAUKWLOSA-N -1 1 311.411 1.021 20 0 DDADMM Cc1ncoc1C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000907597921 712600529 /nfs/dbraw/zinc/60/05/29/712600529.db2.gz WRRZYPNIGRTCIV-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM C[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)N1CCCCC1=O ZINC000907648771 712608526 /nfs/dbraw/zinc/60/85/26/712608526.db2.gz SWNPYKDQNPGKQU-SNVBAGLBSA-N -1 1 318.377 1.190 20 0 DDADMM CC(C)(C)C1CC(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C1 ZINC000907939029 712651993 /nfs/dbraw/zinc/65/19/93/712651993.db2.gz ASYPKSNGOBTKPG-UHFFFAOYSA-N -1 1 307.398 1.082 20 0 DDADMM Cc1cc(CC(=O)N[C@H]2CCSC3(CC(C(=O)[O-])C3)C2)[nH]n1 ZINC000908175146 712702872 /nfs/dbraw/zinc/70/28/72/712702872.db2.gz ZHZKVLLBNCINPU-VTWZXRTESA-N -1 1 323.418 1.506 20 0 DDADMM O=C(NC[C@H]1COc2ccccc21)c1cnc(C2CC2)[n-]c1=O ZINC000908244652 712718683 /nfs/dbraw/zinc/71/86/83/712718683.db2.gz DXGYOCQRVSQQRY-NSHDSACASA-N -1 1 311.341 1.966 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@H](c1ccncc1)C1CC1 ZINC000908390831 712759099 /nfs/dbraw/zinc/75/90/99/712759099.db2.gz UBWDEWRVUXKFHN-NSHDSACASA-N -1 1 303.409 1.095 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@@H]2CCc3ccccc3C2)C1 ZINC000908700585 712837739 /nfs/dbraw/zinc/83/77/39/712837739.db2.gz MXOAJVHHZQDDCK-HZPDHXFCSA-N -1 1 316.401 1.457 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2CCc3c2cccc3F)C1 ZINC000908754696 712848507 /nfs/dbraw/zinc/84/85/07/712848507.db2.gz UEIUTQNLPPBFFD-XHDPSFHLSA-N -1 1 320.364 1.726 20 0 DDADMM CN(C(=O)NCCN1CCc2ccccc2C1)C(C)(C)C(=O)[O-] ZINC000908808212 712858283 /nfs/dbraw/zinc/85/82/83/712858283.db2.gz BMQQVZNZEBVBFY-UHFFFAOYSA-N -1 1 319.405 1.549 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)NC[C@@H](c1ccsc1)N(C)C ZINC000908815876 712860725 /nfs/dbraw/zinc/86/07/25/712860725.db2.gz ZWHSSUCFJXUTES-LBPRGKRZSA-N -1 1 313.423 1.857 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@@H](C(=O)[O-])C1CC1)c1ccc(F)cc1 ZINC000908817394 712860944 /nfs/dbraw/zinc/86/09/44/712860944.db2.gz FFMCCKXTXXZKFK-ZIAGYGMSSA-N -1 1 323.368 1.591 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@@H](CF)C(=O)[O-])c1ccc(F)cc1 ZINC000908816949 712861042 /nfs/dbraw/zinc/86/10/42/712861042.db2.gz DRYUCFKPECFGOI-RYUDHWBXSA-N -1 1 315.320 1.150 20 0 DDADMM CC[C@@](NC(=O)[C@@H]1CCCCN1C)(C(=O)[O-])c1ccccc1 ZINC000908941733 712892954 /nfs/dbraw/zinc/89/29/54/712892954.db2.gz VMBDWWWOODHJAV-YOEHRIQHSA-N -1 1 304.390 1.977 20 0 DDADMM CO[C@]1(C(F)(F)F)CCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000916145620 713444949 /nfs/dbraw/zinc/44/49/49/713444949.db2.gz CMCBBKYXVSHSGD-GFCCVEGCSA-N -1 1 304.268 1.889 20 0 DDADMM O=S(=O)([N-][C@H]1CCCN2CCSC[C@H]12)c1ccns1 ZINC000921322181 713734266 /nfs/dbraw/zinc/73/42/66/713734266.db2.gz OTZGIURTLPJXRU-VHSXEESVSA-N -1 1 319.477 1.001 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@@H](C)CC2)c1 ZINC000921410491 713760357 /nfs/dbraw/zinc/76/03/57/713760357.db2.gz XTRYJHQLMNKAKR-JTQLQIEISA-N -1 1 301.364 1.200 20 0 DDADMM C[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1ncccc1Br ZINC000921499600 713790792 /nfs/dbraw/zinc/79/07/92/713790792.db2.gz CJSBVPDOFFPWKR-VXNVDRBHSA-N -1 1 321.196 1.157 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]CC[C@@H](N(C)C)C(F)(F)F)o1 ZINC000921631508 713826623 /nfs/dbraw/zinc/82/66/23/713826623.db2.gz CNTJJWUVPIOPJW-SECBINFHSA-N -1 1 314.329 1.749 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC[C@H]2CNC(=O)C2)c(F)c1 ZINC000921653132 713831970 /nfs/dbraw/zinc/83/19/70/713831970.db2.gz ISZSNLHTNAIQPP-SECBINFHSA-N -1 1 318.345 1.078 20 0 DDADMM COC[C@H](C[N-]S(=O)(=O)N=S1(=O)CCCC1)C(C)C ZINC000921897247 713900769 /nfs/dbraw/zinc/90/07/69/713900769.db2.gz ISKKNEIVKHOVRG-NSHDSACASA-N -1 1 312.457 1.001 20 0 DDADMM C[C@@H]1COCC[C@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922201702 713989220 /nfs/dbraw/zinc/98/92/20/713989220.db2.gz LPKGZOONQJQUDG-YMTOWFKASA-N -1 1 314.332 1.937 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC3([C@H]4CCCCO4)CC3)ccnc1-2 ZINC000931131868 714010338 /nfs/dbraw/zinc/01/03/38/714010338.db2.gz NVEXRYPRXCMJSU-CYBMUJFWSA-N -1 1 315.377 1.565 20 0 DDADMM C[C@@H]1CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)[C@H]1CO ZINC000932048728 714246046 /nfs/dbraw/zinc/24/60/46/714246046.db2.gz MZECIZJGYCLUQM-RISCZKNCSA-N -1 1 316.361 1.813 20 0 DDADMM C[C@@H]1C(=O)N(C)CN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932058061 714248658 /nfs/dbraw/zinc/24/86/58/714248658.db2.gz DKOLWSXSQDMZSG-SNVBAGLBSA-N -1 1 315.333 1.230 20 0 DDADMM CC(C)C[C@@](C)(CNC(=O)[C@@H](C)CN1CCOCC1)C(=O)[O-] ZINC000923184400 714264053 /nfs/dbraw/zinc/26/40/53/714264053.db2.gz BDYCSIQVAZLDHI-BBRMVZONSA-N -1 1 314.426 1.208 20 0 DDADMM CC(C)C[C@](C)(CNC(=O)CN(C)[C@@H]1CCSC1)C(=O)[O-] ZINC000923191592 714266384 /nfs/dbraw/zinc/26/63/84/714266384.db2.gz YPNSDHBZBGQNQS-IUODEOHRSA-N -1 1 316.467 1.677 20 0 DDADMM NC(=O)[C@@H](c1ccc(F)cc1)N1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC000923530019 714392426 /nfs/dbraw/zinc/39/24/26/714392426.db2.gz VFHVMFLJPOIEKL-BFHYXJOUSA-N -1 1 306.337 1.395 20 0 DDADMM Cc1cc(NC(=O)[C@@H](C)N2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)on1 ZINC000923531244 714392682 /nfs/dbraw/zinc/39/26/82/714392682.db2.gz FYSTXKPKIFFWNZ-ADEWGFFLSA-N -1 1 307.350 1.353 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2(C)CC=CC2)cc1 ZINC000924199639 714544029 /nfs/dbraw/zinc/54/40/29/714544029.db2.gz WMBQINNKLDAQMD-UHFFFAOYSA-N -1 1 317.341 1.851 20 0 DDADMM COC(=O)[C@@H](C[C@@H]1CCCO1)NC(=O)c1ccc([O-])c(F)c1 ZINC000924871847 714684174 /nfs/dbraw/zinc/68/41/74/714684174.db2.gz ZLQWYFWXHXYGRP-CMPLNLGQSA-N -1 1 311.309 1.372 20 0 DDADMM C[C@@H](CO)N(C)C(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC000935066194 714967952 /nfs/dbraw/zinc/96/79/52/714967952.db2.gz HJKDOXSPHZXCEQ-JTQLQIEISA-N -1 1 303.314 1.918 20 0 DDADMM CN(C(=O)[C@H]1C[C@H]2C[C@H]2C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955055632 715601540 /nfs/dbraw/zinc/60/15/40/715601540.db2.gz LRZAGSLKMJQTOE-GDNZZTSVSA-N -1 1 315.373 1.116 20 0 DDADMM Cc1c[nH]cc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937975413 715671746 /nfs/dbraw/zinc/67/17/46/715671746.db2.gz YXWCDZZMVYKSTG-NSHDSACASA-N -1 1 314.345 1.068 20 0 DDADMM CCCC(=O)N1CCC[C@H]([C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC000961099020 716804363 /nfs/dbraw/zinc/80/43/63/716804363.db2.gz OACXWOHQUGRYOR-STQMWFEESA-N -1 1 321.425 1.371 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959036456 716837928 /nfs/dbraw/zinc/83/79/28/716837928.db2.gz ODENLKFOEWMPAY-CHWSQXEVSA-N -1 1 317.389 1.720 20 0 DDADMM CC(C)CC(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964408067 717251564 /nfs/dbraw/zinc/25/15/64/717251564.db2.gz DCFOQLKMKRWNAH-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1)C1CCC1 ZINC000964660218 717362668 /nfs/dbraw/zinc/36/26/68/717362668.db2.gz SLLLPXMVVMKJKW-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)C(F)F)CC1)c1ncccc1[O-] ZINC000964851598 717454384 /nfs/dbraw/zinc/45/43/84/717454384.db2.gz XGRUHBKOGPXYLD-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM CC(C)(C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964857233 717456755 /nfs/dbraw/zinc/45/67/55/717456755.db2.gz SRMNVABHGIXSDG-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H](C)C(=O)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943205137 718046622 /nfs/dbraw/zinc/04/66/22/718046622.db2.gz AVJIIDSGUGIKFA-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)c1ccc[nH]1)c1ncccc1[O-] ZINC000943861255 718209818 /nfs/dbraw/zinc/20/98/18/718209818.db2.gz SLMBVRJDTHRRAA-LLVKDONJSA-N -1 1 314.345 1.150 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)c1cc[nH]c1)c1ncccc1[O-] ZINC000944073805 718251003 /nfs/dbraw/zinc/25/10/03/718251003.db2.gz WVQDVFVZUZJTDB-GFCCVEGCSA-N -1 1 314.345 1.150 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000944433680 718301783 /nfs/dbraw/zinc/30/17/83/718301783.db2.gz ZQQFFLGBVDKHQB-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM CC(=O)N[C@@H]1CCC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000946068559 718660305 /nfs/dbraw/zinc/66/03/05/718660305.db2.gz KLGXNEXWNXNCBW-DGCLKSJQSA-N -1 1 318.377 1.094 20 0 DDADMM O=C(NC1CCN(C(=O)C2=CCCC2)CC1)c1ncccc1[O-] ZINC000946440588 718784871 /nfs/dbraw/zinc/78/48/71/718784871.db2.gz SRMLKYHYVVEEKP-UHFFFAOYSA-N -1 1 315.373 1.618 20 0 DDADMM CCN(C(=O)[C@H]1C[C@H]1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967759213 719007378 /nfs/dbraw/zinc/00/73/78/719007378.db2.gz VSHIMEIHYNIBMH-PWSUYJOCSA-N -1 1 303.362 1.116 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)/C=C/C2CC2)C1 ZINC000967898353 719078366 /nfs/dbraw/zinc/07/83/66/719078366.db2.gz FWRLXDDFDIXQMV-BQYQJAHWSA-N -1 1 315.373 1.426 20 0 DDADMM O=C(CC1CCCC1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949531995 720143782 /nfs/dbraw/zinc/14/37/82/720143782.db2.gz WLNPVIYTRLTRAY-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1cc(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])co1 ZINC000949553897 720158590 /nfs/dbraw/zinc/15/85/90/720158590.db2.gz KJVIAQMNTOLVEH-LBPRGKRZSA-N -1 1 315.329 1.333 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ccoc2)C1)c1ncccc1[O-] ZINC000950005391 720448269 /nfs/dbraw/zinc/44/82/69/720448269.db2.gz LMXONPIZMDTQNS-LBPRGKRZSA-N -1 1 315.329 1.415 20 0 DDADMM CC1(C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)CCC1 ZINC000950546477 720677764 /nfs/dbraw/zinc/67/77/64/720677764.db2.gz PCWMBNFIIDOTIU-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM CC1(C)CCCC[C@H]1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970830775 720950689 /nfs/dbraw/zinc/95/06/89/720950689.db2.gz MKKASSBTTPBXEQ-NEPJUHHUSA-N -1 1 321.425 1.417 20 0 DDADMM CC1CCC(C)(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000970833131 720951507 /nfs/dbraw/zinc/95/15/07/720951507.db2.gz LWIMBJPCWURMMI-BWBHSNQOSA-N -1 1 321.425 1.417 20 0 DDADMM Cn1cncc1C[N@H+](C)[C@@H]1CCN(C(=O)c2ccccc2O)C1 ZINC000971106591 721113615 /nfs/dbraw/zinc/11/36/15/721113615.db2.gz PFNIJXOLPRKVQP-CYBMUJFWSA-N -1 1 314.389 1.472 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000951675936 721130662 /nfs/dbraw/zinc/13/06/62/721130662.db2.gz DUMLEPGDOJGCFR-AAEUAGOBSA-N -1 1 323.368 1.502 20 0 DDADMM Cc1occc1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953679479 721640806 /nfs/dbraw/zinc/64/08/06/721640806.db2.gz HQOOXGVIRRFVHP-UHFFFAOYSA-N -1 1 315.329 1.285 20 0 DDADMM CN(C(=O)C(C)(C)F)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953701516 721644827 /nfs/dbraw/zinc/64/48/27/721644827.db2.gz RYYHIRGRFPJIIT-UHFFFAOYSA-N -1 1 323.368 1.598 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)/C=C\C2CC2)C1 ZINC000954124412 721723656 /nfs/dbraw/zinc/72/36/56/721723656.db2.gz YWCXGVUSFPOILM-SREVYHEPSA-N -1 1 301.346 1.036 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001020483044 732420708 /nfs/dbraw/zinc/42/07/08/732420708.db2.gz MIJQXCLRBCNCMS-BJDJZHNGSA-N -1 1 303.362 1.162 20 0 DDADMM CCCC(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001020671890 732619629 /nfs/dbraw/zinc/61/96/29/732619629.db2.gz RQWMJUUANKDPQF-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM Cc1cnc(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038193494 733196613 /nfs/dbraw/zinc/19/66/13/733196613.db2.gz JUURBAOEDRQLML-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM O=C(NC[C@H]1CCCN1Cc1ccns1)c1ncccc1[O-] ZINC001027844719 738728912 /nfs/dbraw/zinc/72/89/12/738728912.db2.gz WMDSVFZPXAWGIH-LLVKDONJSA-N -1 1 318.402 1.638 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2cc(F)nc(F)c2)ccc1O ZINC001213081688 734385619 /nfs/dbraw/zinc/38/56/19/734385619.db2.gz DZJVSIGFMOANPM-UHFFFAOYSA-N -1 1 301.274 1.448 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2ccccc2C(=O)[O-])CCS1 ZINC001140503921 734553033 /nfs/dbraw/zinc/55/30/33/734553033.db2.gz CXTXZJAXVUMZJB-ZDUSSCGKSA-N -1 1 309.387 1.865 20 0 DDADMM Cc1cnc(C)nc1NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001104535840 736198938 /nfs/dbraw/zinc/19/89/38/736198938.db2.gz AVHSNUFXPMTOEX-NSHDSACASA-N -1 1 315.377 1.767 20 0 DDADMM CC1(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC=CC1 ZINC001025067101 736274283 /nfs/dbraw/zinc/27/42/83/736274283.db2.gz KUATXSAJMAAFFO-LBPRGKRZSA-N -1 1 319.409 1.337 20 0 DDADMM O=S(=O)([N-]CCCn1ccnc1)c1ccc(Cl)nc1F ZINC000692889286 738959248 /nfs/dbraw/zinc/95/92/48/738959248.db2.gz VWGYJRBNKZKTFX-UHFFFAOYSA-N -1 1 318.761 1.439 20 0 DDADMM CC(C)OCCC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692805997 737944121 /nfs/dbraw/zinc/94/41/21/737944121.db2.gz ISWOSUOIYZXIJH-UHFFFAOYSA-N -1 1 310.778 1.968 20 0 DDADMM O=S(=O)([N-][C@@H]1CO[C@@H](C2CC2)C1)c1ccc(Cl)nc1F ZINC000692906372 739049904 /nfs/dbraw/zinc/04/99/04/739049904.db2.gz YKCCJUDFEYIJSL-DTWKUNHWSA-N -1 1 320.773 1.720 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2Cc2cccc(C)c2)c1[O-] ZINC001038163747 739239103 /nfs/dbraw/zinc/23/91/03/739239103.db2.gz BGZDVCVZKYPCHX-AWEZNQCLSA-N -1 1 314.389 1.736 20 0 DDADMM Cc1nccnc1NC1([P@](=O)([O-])O)Cc2ccccc2C1 ZINC001167937097 739740732 /nfs/dbraw/zinc/74/07/32/739740732.db2.gz QYESLHFRRQIJMM-UHFFFAOYSA-N -1 1 305.274 1.870 20 0 DDADMM Cc1nsc(N(C)CCN(C)C(=O)c2ncccc2[O-])n1 ZINC001105376207 739806967 /nfs/dbraw/zinc/80/69/67/739806967.db2.gz KCSRWFFRQQILKG-UHFFFAOYSA-N -1 1 307.379 1.156 20 0 DDADMM Cc1cc(N(C)CCN(C)C(=O)c2ncccc2[O-])nc(C)n1 ZINC001105376686 739817053 /nfs/dbraw/zinc/81/70/53/739817053.db2.gz ZZJRLNXLHSLPNY-UHFFFAOYSA-N -1 1 315.377 1.402 20 0 DDADMM CC[C@H](F)C(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059518601 741091119 /nfs/dbraw/zinc/09/11/19/741091119.db2.gz OVURZLZARPICHR-NEPJUHHUSA-N -1 1 323.368 1.504 20 0 DDADMM CC1CC(C(=O)N2CCCCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001088294332 741341835 /nfs/dbraw/zinc/34/18/35/741341835.db2.gz VVRLCYUHKDNONY-WXRRBKDZSA-N -1 1 321.425 1.417 20 0 DDADMM CN(C(=O)c1c[nH]nc1-c1c(F)cccc1F)c1nn[n-]n1 ZINC001168358561 742288855 /nfs/dbraw/zinc/28/88/55/742288855.db2.gz IMXCFEQRDDGKMJ-UHFFFAOYSA-N -1 1 305.248 1.145 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)CCNC(=O)c2ncccc2[O-])no1 ZINC001076243997 742623083 /nfs/dbraw/zinc/62/30/83/742623083.db2.gz GFZXVAXHIXKCJL-VIFPVBQESA-N -1 1 318.333 1.022 20 0 DDADMM COc1ccc2c(c1)CC[C@H]2C(=O)N=c1ncnc2[nH][n-]c(C)c1-2 ZINC001182444916 743629678 /nfs/dbraw/zinc/62/96/78/743629678.db2.gz UCJIKWDJFOLZJI-CYBMUJFWSA-N -1 1 323.356 1.712 20 0 DDADMM O=C(NC1CN(Cc2cccc(Cl)n2)C1)c1ncccc1[O-] ZINC001030240141 743974051 /nfs/dbraw/zinc/97/40/51/743974051.db2.gz VIUYYORDSFJAPN-UHFFFAOYSA-N -1 1 318.764 1.450 20 0 DDADMM Cc1cc(F)ccc1CN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001030241833 743977481 /nfs/dbraw/zinc/97/74/81/743977481.db2.gz OADYQDPRXPIIJD-UHFFFAOYSA-N -1 1 315.348 1.849 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CC2(C)CCC2)c1 ZINC001185103209 744150826 /nfs/dbraw/zinc/15/08/26/744150826.db2.gz IIVOBPXGJPUIHY-UHFFFAOYSA-N -1 1 312.391 1.819 20 0 DDADMM Cc1cnc(NS(=O)(=O)c2cc(C(=O)[O-])ccc2C)nc1C ZINC001185869068 744303324 /nfs/dbraw/zinc/30/33/24/744303324.db2.gz JFBGRFOAAKXPCJ-UHFFFAOYSA-N -1 1 321.358 1.901 20 0 DDADMM O=S(=O)([N-]c1ccc(CCO)cc1)c1ccc2n[nH]cc2c1 ZINC001185987229 744317894 /nfs/dbraw/zinc/31/78/94/744317894.db2.gz IFQVWCBLYHASKI-UHFFFAOYSA-N -1 1 317.370 1.899 20 0 DDADMM C[C@@H](CC(C)(C)C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186119444 744346654 /nfs/dbraw/zinc/34/66/54/744346654.db2.gz RFONGPPWUBLJAT-WDEREUQCSA-N -1 1 309.414 1.273 20 0 DDADMM O=C(N[C@@H]1CCc2[nH]c(=O)ccc2C1)c1ccc([O-])c(F)c1 ZINC001186236608 744361902 /nfs/dbraw/zinc/36/19/02/744361902.db2.gz IIWYZQKIQMXKBO-LLVKDONJSA-N -1 1 302.305 1.919 20 0 DDADMM CN1C(=O)CC[C@H]2CN(C(=O)c3ccc([O-])cc3F)CC[C@H]21 ZINC001186326448 744374989 /nfs/dbraw/zinc/37/49/89/744374989.db2.gz DGLRNOLTWMMSNS-IINYFYTJSA-N -1 1 306.337 1.614 20 0 DDADMM CN(C)c1nc(NS(=O)(=O)c2ccco2)c(N=O)c(=O)[n-]1 ZINC001186819126 744453795 /nfs/dbraw/zinc/45/37/95/744453795.db2.gz BHHWYZOOFDPEEL-UHFFFAOYSA-N -1 1 313.295 1.040 20 0 DDADMM Cc1cnc([N-]S(=O)(=O)c2ccc3c(c2)CC(=O)N3)c(F)c1 ZINC001186899349 744463272 /nfs/dbraw/zinc/46/32/72/744463272.db2.gz PDXSDPUTTRVXGM-UHFFFAOYSA-N -1 1 321.333 1.825 20 0 DDADMM CCOC(=O)CCNC(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001187182439 744512886 /nfs/dbraw/zinc/51/28/86/744512886.db2.gz VHGHFHSUSDOSPI-UHFFFAOYSA-N -1 1 318.333 1.653 20 0 DDADMM O=c1oc2cc([O-])ccc2cc1NS(=O)(=O)c1c[nH]cn1 ZINC001187730752 744598305 /nfs/dbraw/zinc/59/83/05/744598305.db2.gz QJJGDOIYWRCVQN-UHFFFAOYSA-N -1 1 307.287 1.023 20 0 DDADMM O=C(NCc1ccc2nonc2c1)c1n[n-]nc1C(F)(F)F ZINC001187770229 744599401 /nfs/dbraw/zinc/59/94/01/744599401.db2.gz IYIKTOGDXBJENV-UHFFFAOYSA-N -1 1 312.211 1.290 20 0 DDADMM CCn1cc(NC(=O)c2n[n-]nc2C(F)(F)F)ccc1=O ZINC001187773695 744600325 /nfs/dbraw/zinc/60/03/25/744600325.db2.gz JADMASQUPIVNNC-UHFFFAOYSA-N -1 1 301.228 1.257 20 0 DDADMM COc1ccc(NC(=O)c2n[n-]nc2C(F)(F)F)c(C)n1 ZINC001187758923 744605824 /nfs/dbraw/zinc/60/58/24/744605824.db2.gz NXDQARJXIRSSIJ-UHFFFAOYSA-N -1 1 301.228 1.788 20 0 DDADMM O=C(COC/C=C/c1ccccc1)NCc1nc([O-])cc(=O)[nH]1 ZINC001168430115 744606416 /nfs/dbraw/zinc/60/64/16/744606416.db2.gz LHOKPHBHBPFFJM-QPJJXVBHSA-N -1 1 315.329 1.234 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)Nc1ccc2c(c1)OCO2 ZINC001187834335 744625043 /nfs/dbraw/zinc/62/50/43/744625043.db2.gz RYIZINMHLWHVPY-UHFFFAOYSA-N -1 1 319.233 1.658 20 0 DDADMM O=S(=O)([N-][C@@H]1CCc2ccc(O)cc2C1)c1nccs1 ZINC001187917918 744626068 /nfs/dbraw/zinc/62/60/68/744626068.db2.gz NCDQFLBOSHLROP-LLVKDONJSA-N -1 1 310.400 1.685 20 0 DDADMM CCOC(=O)CCCCC[N-]S(=O)(=O)c1nccs1 ZINC001187912762 744629342 /nfs/dbraw/zinc/62/93/42/744629342.db2.gz NCKLIKNUCZFSGT-UHFFFAOYSA-N -1 1 306.409 1.545 20 0 DDADMM O=S(=O)([N-]CCN1CCCC(F)(F)C1)c1nccs1 ZINC001187918355 744633072 /nfs/dbraw/zinc/63/30/72/744633072.db2.gz CMYMCXHNAKWGOU-UHFFFAOYSA-N -1 1 311.379 1.153 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@@H](CNC(=O)c2ccncc2[O-])C1 ZINC001188637891 744744193 /nfs/dbraw/zinc/74/41/93/744744193.db2.gz IQMLUYIOCXKMFO-PHIMTYICSA-N -1 1 321.377 1.820 20 0 DDADMM CC1(C)C[C@@H](NC(=O)C2CCC2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089291973 744940601 /nfs/dbraw/zinc/94/06/01/744940601.db2.gz DPYDSJXUVMNRMI-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM COC(=O)[C@@H](NC(=O)Nc1c(F)cc([O-])cc1F)C(C)C ZINC001190245178 745159842 /nfs/dbraw/zinc/15/98/42/745159842.db2.gz JHFBQQJEILKDRD-JTQLQIEISA-N -1 1 302.277 1.990 20 0 DDADMM Cn1ncc(Br)c1[N-]S(=O)(=O)c1ccncc1 ZINC001190854541 745352288 /nfs/dbraw/zinc/35/22/88/745352288.db2.gz REHUFVDPCUIKJP-UHFFFAOYSA-N -1 1 317.168 1.378 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccncc1)c1cccc(F)c1 ZINC001190916367 745384569 /nfs/dbraw/zinc/38/45/69/745384569.db2.gz PJDLGNVYBQIHAF-CYBMUJFWSA-N -1 1 324.333 1.413 20 0 DDADMM CSc1ncc(C(=O)Nc2cccnc2C(C)=O)c(=O)[n-]1 ZINC001191429833 745510711 /nfs/dbraw/zinc/51/07/11/745510711.db2.gz VEZUIOKYZJARIM-UHFFFAOYSA-N -1 1 304.331 1.754 20 0 DDADMM O=C([N-]c1noc2nccnc12)c1n[nH]cc1-c1ccccn1 ZINC001191483178 745519933 /nfs/dbraw/zinc/51/99/33/745519933.db2.gz CQABRHFVQBHYLF-UHFFFAOYSA-N -1 1 307.273 1.655 20 0 DDADMM NCc1ncccc1NC(=O)c1nc(Br)ccc1[O-] ZINC001191749747 745601780 /nfs/dbraw/zinc/60/17/80/745601780.db2.gz WHDSGEKIZMLAIU-UHFFFAOYSA-N -1 1 323.150 1.656 20 0 DDADMM COC(=O)[C@H](COC(C)(C)C)NC(=O)Nc1ncccc1[O-] ZINC001191864225 745620926 /nfs/dbraw/zinc/62/09/26/745620926.db2.gz QUGAXYKFXZKWBF-VIFPVBQESA-N -1 1 311.338 1.265 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(CC2CC2)C1)C(Cl)(Cl)Cl ZINC001192055474 745686243 /nfs/dbraw/zinc/68/62/43/745686243.db2.gz XQVDSBAQIVDMSQ-QMMMGPOBSA-N -1 1 321.657 1.718 20 0 DDADMM COC(=O)c1ccc([O-])c(C(=O)N2CCc3nc[nH]c3C2)c1 ZINC001192390141 745776286 /nfs/dbraw/zinc/77/62/86/745776286.db2.gz TXQPIKVPCCSDQT-UHFFFAOYSA-N -1 1 301.302 1.100 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCCN(CCOC3CCC3)C2)c1[O-] ZINC001007134551 752032412 /nfs/dbraw/zinc/03/24/12/752032412.db2.gz OFDWBVVVQFVEOB-LBPRGKRZSA-N -1 1 322.409 1.187 20 0 DDADMM CCOC(=O)[C@@H]1COCCN1C(=O)c1c(F)ccc([O-])c1F ZINC001192540978 745827136 /nfs/dbraw/zinc/82/71/36/745827136.db2.gz SCLQBVWXIZELHQ-VIFPVBQESA-N -1 1 315.272 1.075 20 0 DDADMM NC(=O)c1cc(O)cc(NC(=O)c2c(F)ccc([O-])c2F)c1 ZINC001192539513 745827150 /nfs/dbraw/zinc/82/71/50/745827150.db2.gz KTRDPCMRQCOKQT-UHFFFAOYSA-N -1 1 308.240 1.727 20 0 DDADMM COCCn1cncc1CNC(=O)c1cc([O-])cc(F)c1F ZINC001192679630 745859483 /nfs/dbraw/zinc/85/94/83/745859483.db2.gz YBSISOOQVBFQRH-UHFFFAOYSA-N -1 1 311.288 1.443 20 0 DDADMM CCOC(=O)[C@]12C[C@H]1CCN2C(=O)c1cc([O-])cc(F)c1F ZINC001192661412 745868496 /nfs/dbraw/zinc/86/84/96/745868496.db2.gz WGXZMTABGSYRSZ-GLEZIHRCSA-N -1 1 311.284 1.838 20 0 DDADMM NC(=O)c1[nH]ncc1NC(=O)c1cc(Cl)nc(Cl)c1[O-] ZINC001193084347 745970725 /nfs/dbraw/zinc/97/07/25/745970725.db2.gz WYPXHHOPWWFQPO-UHFFFAOYSA-N -1 1 316.104 1.168 20 0 DDADMM CCCc1ncncc1C(=O)NCCCC[P@](=O)([O-])O ZINC001193454580 746120754 /nfs/dbraw/zinc/12/07/54/746120754.db2.gz XFGZNCQALVWFHH-UHFFFAOYSA-N -1 1 301.283 1.117 20 0 DDADMM COc1ccnc(C(=O)Nc2cc(Cl)ncc2CO)c1[O-] ZINC001193525483 746134123 /nfs/dbraw/zinc/13/41/23/746134123.db2.gz ZNMCKRQYKYTIBJ-UHFFFAOYSA-N -1 1 309.709 1.589 20 0 DDADMM Cc1cc(O)cc([O-])c1C(=O)n1c(N)nc(=O)c2ccccc21 ZINC001193938545 746228601 /nfs/dbraw/zinc/22/86/01/746228601.db2.gz PZKGKIMLZAJQRC-UHFFFAOYSA-N -1 1 311.297 1.629 20 0 DDADMM CCCOC(=O)C[N-]S(=O)(=O)Cc1noc2ccccc12 ZINC001194127029 746282538 /nfs/dbraw/zinc/28/25/38/746282538.db2.gz ABMDTQLHFMPOKV-UHFFFAOYSA-N -1 1 312.347 1.200 20 0 DDADMM COC(=O)c1cc(C(=O)N(C)c2nc(Cl)ccc2N)[n-]n1 ZINC001194283193 746338845 /nfs/dbraw/zinc/33/88/45/746338845.db2.gz LKFSCTIXUHIJKN-UHFFFAOYSA-N -1 1 309.713 1.104 20 0 DDADMM O=C1CCC(S(=O)(=O)[N-]c2cccc3c2OCCO3)CC1 ZINC001194799401 746463205 /nfs/dbraw/zinc/46/32/05/746463205.db2.gz OLEJDEBKYDMSLB-UHFFFAOYSA-N -1 1 311.359 1.711 20 0 DDADMM Cc1cccc(Cl)c1S(=O)(=O)NCC[P@](=O)([O-])O ZINC001195322738 746564713 /nfs/dbraw/zinc/56/47/13/746564713.db2.gz DGFRVEPFGKPEIP-UHFFFAOYSA-N -1 1 313.699 1.104 20 0 DDADMM O=C(NC[C@@H](CO)c1ccccc1)c1cc([O-])cnc1Cl ZINC001195314495 746574673 /nfs/dbraw/zinc/57/46/73/746574673.db2.gz GGKPKCVQXBWHLP-NSHDSACASA-N -1 1 306.749 1.947 20 0 DDADMM O=C(NCCc1ccc(CO)cc1)c1cc([O-])cnc1Cl ZINC001195315648 746575188 /nfs/dbraw/zinc/57/51/88/746575188.db2.gz RBNBPULHJSFKCU-UHFFFAOYSA-N -1 1 306.749 1.905 20 0 DDADMM COC(=O)[C@](C)(NC(=O)c1nc(C)ccc1[O-])C(F)(F)F ZINC001195336081 746577307 /nfs/dbraw/zinc/57/73/07/746577307.db2.gz UWGLLEZYFZHGFP-NSHDSACASA-N -1 1 306.240 1.319 20 0 DDADMM CCOC(=O)c1ccncc1S(=O)(=O)[N-]CC(C)(F)F ZINC001195593329 746649403 /nfs/dbraw/zinc/64/94/03/746649403.db2.gz RHHKTBIYOAGNKW-UHFFFAOYSA-N -1 1 308.306 1.192 20 0 DDADMM Cn1cnc2cc([N-]S(=O)(=O)c3ccc(O)cc3)cnc21 ZINC001195763899 746689684 /nfs/dbraw/zinc/68/96/84/746689684.db2.gz WDWBAVVJRMPTPI-UHFFFAOYSA-N -1 1 304.331 1.475 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1c[nH]c2cc(=O)ccc-2c1 ZINC001195942536 746733059 /nfs/dbraw/zinc/73/30/59/746733059.db2.gz POVQWPIIAZWOFF-UHFFFAOYSA-N -1 1 310.331 1.245 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC(=O)[C@H]2CCCC[C@H]21 ZINC001196009262 746754812 /nfs/dbraw/zinc/75/48/12/746754812.db2.gz XEDSNWFGQFDSNV-WCBMZHEXSA-N -1 1 307.375 1.445 20 0 DDADMM O=C(NCc1ccc2c(c1)CCC2)c1c[nH]c(=S)[n-]c1=O ZINC001196009072 746754854 /nfs/dbraw/zinc/75/48/54/746754854.db2.gz UEILVPHYMOUWAG-UHFFFAOYSA-N -1 1 301.371 1.890 20 0 DDADMM Cc1ccc(C2(NC(=O)c3c[nH]c(=S)[n-]c3=O)COC2)cc1 ZINC001196024336 746759865 /nfs/dbraw/zinc/75/98/65/746759865.db2.gz ROIGMIMAVQVQDK-UHFFFAOYSA-N -1 1 317.370 1.435 20 0 DDADMM COC(=O)/C=C\[C@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC001196100795 746777535 /nfs/dbraw/zinc/77/75/35/746777535.db2.gz QYGOTCGFFXIKTB-NFNQMPKISA-N -1 1 322.745 1.270 20 0 DDADMM COc1ncncc1C(=O)[N-]c1c(Cl)cnnc1Cl ZINC001196529168 746888642 /nfs/dbraw/zinc/88/86/42/746888642.db2.gz XKZMDAOKSUHPCH-UHFFFAOYSA-N -1 1 300.105 1.834 20 0 DDADMM Cc1c(F)ccc(F)c1C(=O)Nc1[n-]c(=O)nc2[nH]c(=O)[nH]c21 ZINC001196744513 746955808 /nfs/dbraw/zinc/95/58/08/746955808.db2.gz CMAHGFDVQMEQAK-UHFFFAOYSA-N -1 1 321.243 1.555 20 0 DDADMM Cc1c(F)ccc(F)c1C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001196744513 746955817 /nfs/dbraw/zinc/95/58/17/746955817.db2.gz CMAHGFDVQMEQAK-UHFFFAOYSA-N -1 1 321.243 1.555 20 0 DDADMM O=S(=O)(Cc1cccnc1)[N-]c1cccc2cnncc21 ZINC001197458501 747179310 /nfs/dbraw/zinc/17/93/10/747179310.db2.gz RRSONODZSODFBZ-UHFFFAOYSA-N -1 1 300.343 1.967 20 0 DDADMM Cn1cc2c(cccc2[N-]S(=O)(=O)Cc2cccnc2)n1 ZINC001197457854 747179675 /nfs/dbraw/zinc/17/96/75/747179675.db2.gz LFJJPQLSFCMVQY-UHFFFAOYSA-N -1 1 302.359 1.910 20 0 DDADMM O=S(=O)(Cc1ccc(Cl)c(F)c1)[N-][C@@H]1COC[C@@H]1F ZINC001197716777 747233860 /nfs/dbraw/zinc/23/38/60/747233860.db2.gz SPDFGKILBKFZCH-WDEREUQCSA-N -1 1 311.737 1.635 20 0 DDADMM O=C(NCC1CN(Cc2ccc(F)cn2)C1)c1ncccc1[O-] ZINC001031627672 747248919 /nfs/dbraw/zinc/24/89/19/747248919.db2.gz RQRXWDPUPPFZGS-UHFFFAOYSA-N -1 1 316.336 1.183 20 0 DDADMM COC(=O)c1cncc([N-]S(=O)(=O)Cc2ccccn2)c1 ZINC001197783118 747262776 /nfs/dbraw/zinc/26/27/76/747262776.db2.gz PTJVVEQMPMJIAB-UHFFFAOYSA-N -1 1 307.331 1.205 20 0 DDADMM O=S(=O)(Cc1ccccn1)[N-]c1cnc(OCC2CC2)nc1 ZINC001197789601 747264492 /nfs/dbraw/zinc/26/44/92/747264492.db2.gz AEJDRMVOQQOAFB-UHFFFAOYSA-N -1 1 320.374 1.602 20 0 DDADMM NC(=O)c1cccc([N-]S(=O)(=O)Cc2ccccn2)c1F ZINC001197835258 747273638 /nfs/dbraw/zinc/27/36/38/747273638.db2.gz QIWOBPAMYOLOHM-UHFFFAOYSA-N -1 1 309.322 1.262 20 0 DDADMM C=CS(=O)(=O)[N-]c1csc(C(=O)OC)c1C(=O)OC ZINC001198569509 747529545 /nfs/dbraw/zinc/52/95/45/747529545.db2.gz CXQFRFNBYCVGPD-UHFFFAOYSA-N -1 1 305.333 1.207 20 0 DDADMM CC(C)Oc1cc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)ccn1 ZINC001199207096 747742616 /nfs/dbraw/zinc/74/26/16/747742616.db2.gz FTQAMAYDRDIWKE-UHFFFAOYSA-N -1 1 319.277 1.720 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1CCN(C)C(=O)C1 ZINC001201769295 748599634 /nfs/dbraw/zinc/59/96/34/748599634.db2.gz UZXCKNHWBGUSHV-ZETCQYMHSA-N -1 1 323.827 1.004 20 0 DDADMM COc1ccccc1NC(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001202133302 748692993 /nfs/dbraw/zinc/69/29/93/748692993.db2.gz BBTZEFCAGBZQGD-UHFFFAOYSA-N -1 1 300.278 1.711 20 0 DDADMM Cc1occc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995429269 748713275 /nfs/dbraw/zinc/71/32/75/748713275.db2.gz WSLGWXRWZAFPNE-LLVKDONJSA-N -1 1 319.365 1.052 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)/C=C\C1CC1 ZINC001004772286 748789487 /nfs/dbraw/zinc/78/94/87/748789487.db2.gz LHNUKFHVQWOPFG-BYOMZUQQSA-N -1 1 319.409 1.336 20 0 DDADMM CC1(C)CN(C(=O)CCC2CC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995563264 748921236 /nfs/dbraw/zinc/92/12/36/748921236.db2.gz NLAFLTVOFAHBFH-NSHDSACASA-N -1 1 307.398 1.027 20 0 DDADMM CCC[C@@H](NC(=O)c1nnc(-c2ccco2)o1)c1nn[n-]n1 ZINC001137206344 749509121 /nfs/dbraw/zinc/50/91/21/749509121.db2.gz OPIBIUIZIDPSDT-SSDOTTSWSA-N -1 1 303.282 1.114 20 0 DDADMM CC(C)CC(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107264361 749705606 /nfs/dbraw/zinc/70/56/06/749705606.db2.gz DHBYJCQABKDPHH-MLGOLLRUSA-N -1 1 321.425 1.417 20 0 DDADMM CCC(CC)C(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036651728 752630002 /nfs/dbraw/zinc/63/00/02/752630002.db2.gz IRTNCYLWPWMYPE-OLZOCXBDSA-N -1 1 321.425 1.369 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1 ZINC001000784433 762199376 /nfs/dbraw/zinc/19/93/76/762199376.db2.gz YWYLNDDUOAGKLZ-CYBMUJFWSA-N -1 1 315.377 1.213 20 0 DDADMM O=C(NCC1CCN(CCF)CC1)c1ccc2oc(=O)nc-2[n-]1 ZINC001002047523 754650104 /nfs/dbraw/zinc/65/01/04/754650104.db2.gz YEZMXGMXUQOGIK-UHFFFAOYSA-N -1 1 322.340 1.340 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CCCC1 ZINC001012151354 754891328 /nfs/dbraw/zinc/89/13/28/754891328.db2.gz YAPXDDRHAVBFSS-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccoc2)CN1C(=O)c1ncccc1[O-] ZINC001012504431 755112311 /nfs/dbraw/zinc/11/23/11/755112311.db2.gz HVTNJFLCPOCADG-JQWIXIFHSA-N -1 1 315.329 1.413 20 0 DDADMM CCN(C(=O)[C@H](C)SC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079358272 755264057 /nfs/dbraw/zinc/26/40/57/755264057.db2.gz LJOXIFANEHUYLC-JTQLQIEISA-N -1 1 323.418 1.212 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)CCC1CC1 ZINC001067036331 755298619 /nfs/dbraw/zinc/29/86/19/755298619.db2.gz KIDLBPDPFPRRJQ-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM CC(C)C(=O)N[C@@]12CCC[C@@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001014188858 755639750 /nfs/dbraw/zinc/63/97/50/755639750.db2.gz NHLBGEPTUCFOQA-SUMWQHHRSA-N -1 1 317.389 1.697 20 0 DDADMM Cc1nccc(N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)n1 ZINC001067075489 755685376 /nfs/dbraw/zinc/68/53/76/755685376.db2.gz HHFRLQSNDNGONA-CMPLNLGQSA-N -1 1 313.361 1.458 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)CC2(C)CC2)C1 ZINC001017163577 757681707 /nfs/dbraw/zinc/68/17/07/757681707.db2.gz MEBHBDHFEOKWFB-LBPRGKRZSA-N -1 1 321.425 1.371 20 0 DDADMM CC(C)OC(=O)[C@@H](C)N(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000821709800 758173344 /nfs/dbraw/zinc/17/33/44/758173344.db2.gz HNEOWPGJFRNCBT-SECBINFHSA-N -1 1 307.350 1.472 20 0 DDADMM C[C@H](Nc1ncccn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001065696892 758712793 /nfs/dbraw/zinc/71/27/93/758712793.db2.gz ASAZLDQEYBWFDI-NWDGAFQWSA-N -1 1 313.361 1.540 20 0 DDADMM C[C@H]1CN(C(=O)C23CCC(CC2)C3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054802488 760096265 /nfs/dbraw/zinc/09/62/65/760096265.db2.gz OQMIAGBQTGIEKT-BYHRKAOISA-N -1 1 319.409 1.027 20 0 DDADMM CCCCC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055177274 760373835 /nfs/dbraw/zinc/37/38/35/760373835.db2.gz YQYQMWFTOLGSNO-BETUJISGSA-N -1 1 317.389 1.508 20 0 DDADMM O=C(NC[C@@H]1CCN1C/C(Cl)=C/Cl)c1ncccc1[O-] ZINC001038195963 760910663 /nfs/dbraw/zinc/91/06/63/760910663.db2.gz ZSUYNKGSRUAZEI-MBACFSSFSA-N -1 1 316.188 1.910 20 0 DDADMM CC1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCCCC1 ZINC001000083797 761496609 /nfs/dbraw/zinc/49/66/09/761496609.db2.gz XKOMSVFSWZHXFY-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM CCC(C)(C)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541737 762946575 /nfs/dbraw/zinc/94/65/75/762946575.db2.gz NRZSWELLBCKRAX-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM CCCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530467 763715291 /nfs/dbraw/zinc/71/52/91/763715291.db2.gz NLGSEJFSVFGCJG-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(N[C@@H](CNc1cccc(F)n1)C1CC1)c1ncccc1[O-] ZINC001109821412 764103455 /nfs/dbraw/zinc/10/34/55/764103455.db2.gz FWYOXTJZXFXMFL-NSHDSACASA-N -1 1 316.336 1.942 20 0 DDADMM C[C@@H]1[C@H](Nc2ncc(F)cn2)CCN1C(=O)c1ncccc1[O-] ZINC001068821776 767639340 /nfs/dbraw/zinc/63/93/40/767639340.db2.gz FPKNGOUTGRBSFX-MWLCHTKSSA-N -1 1 317.324 1.431 20 0 DDADMM CN(C(=O)c1cccc(Oc2nccs2)c1)c1nn[n-]n1 ZINC001130972198 767825431 /nfs/dbraw/zinc/82/54/31/767825431.db2.gz QKVWRYSOOLNSEO-UHFFFAOYSA-N -1 1 302.319 1.725 20 0 DDADMM CC[C@H](C)CC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648366 768643148 /nfs/dbraw/zinc/64/31/48/768643148.db2.gz GXFGJKKDBCCCOU-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@@H](C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648504 768643280 /nfs/dbraw/zinc/64/32/80/768643280.db2.gz MKQSYWZBRUGCQP-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM CC/C(C)=C/C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070694138 768675172 /nfs/dbraw/zinc/67/51/72/768675172.db2.gz JTPAQMJFXXPJQI-RSKUSDAESA-N -1 1 317.389 1.864 20 0 DDADMM CC(C)[C@@H](CC1CCCC1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134694205 771127090 /nfs/dbraw/zinc/12/70/90/771127090.db2.gz ZPRSSVAKACPEHZ-CYBMUJFWSA-N -1 1 323.441 1.569 20 0 DDADMM O=C(C[C@@H]1C[C@@H]2CC[C@H]1C2)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001144301064 772474849 /nfs/dbraw/zinc/47/48/49/772474849.db2.gz MJGRQNDGRKZHHM-CSMHCCOUSA-N -1 1 303.322 1.481 20 0 DDADMM O=C(C(=O)N1CC[C@@H](c2cc(=O)[nH][nH]2)C1)c1ccc([O-])cc1 ZINC001144502273 772552413 /nfs/dbraw/zinc/55/24/13/772552413.db2.gz ICDQCJAVWAMNPQ-SNVBAGLBSA-N -1 1 301.302 1.020 20 0 DDADMM Cc1ccc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)cn1 ZINC001147160438 773056122 /nfs/dbraw/zinc/05/61/22/773056122.db2.gz LFEWDWLLLGGXPL-UHFFFAOYSA-N -1 1 302.294 1.602 20 0 DDADMM CCCCCC[C@H](C)CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001147424537 773129619 /nfs/dbraw/zinc/12/96/19/773129619.db2.gz WYCBGHJKUHAELY-LBPRGKRZSA-N -1 1 311.430 1.713 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCCCc2ccncc2)c1[O-] ZINC001147842622 773266109 /nfs/dbraw/zinc/26/61/09/773266109.db2.gz GDPRPKREMJJDLQ-UHFFFAOYSA-N -1 1 301.346 1.346 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)CCCF ZINC001074113004 773639495 /nfs/dbraw/zinc/63/94/95/773639495.db2.gz BNBDHXBELXSFFU-VXGBXAGGSA-N -1 1 323.368 1.504 20 0 DDADMM CCOC(=O)[C@H](C)CNC(=O)c1ccc2cccnc2c1[O-] ZINC001149329235 773643282 /nfs/dbraw/zinc/64/32/82/773643282.db2.gz OSLCYOMQWFKFSJ-SNVBAGLBSA-N -1 1 302.330 1.869 20 0 DDADMM CCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001074771845 774118763 /nfs/dbraw/zinc/11/87/63/774118763.db2.gz XHZPLVIQJVAADO-NWDGAFQWSA-N -1 1 305.378 1.697 20 0 DDADMM CN(C)c1ncc(Br)nc1[N-]c1nccnc1CN ZINC001171447708 776005544 /nfs/dbraw/zinc/00/55/44/776005544.db2.gz LLQARKBDBDRKTG-UHFFFAOYSA-N -1 1 324.186 1.297 20 0 DDADMM [O-]c1c(Cl)nccc1Nc1cnn(CCN2CCOCC2)c1 ZINC001174491035 777485263 /nfs/dbraw/zinc/48/52/63/777485263.db2.gz DMNNVLKFACLEMK-UHFFFAOYSA-N -1 1 323.784 1.713 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cnn([C@H]2CCCCO2)c1 ZINC001174599135 777536902 /nfs/dbraw/zinc/53/69/02/777536902.db2.gz OZTIQUBHWXXCPJ-MRVPVSSYSA-N -1 1 308.367 1.692 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cncc(N)c2)c(F)c1F ZINC001175019031 777657736 /nfs/dbraw/zinc/65/77/36/777657736.db2.gz IZKXKNUCKQVZNT-UHFFFAOYSA-N -1 1 315.301 1.751 20 0 DDADMM CN1CCN(c2ccc(Nc3cc(=O)[n-]c(Cl)n3)cn2)CC1 ZINC001176654480 778217384 /nfs/dbraw/zinc/21/73/84/778217384.db2.gz FYEWZVGVDBTHAA-UHFFFAOYSA-N -1 1 320.784 1.726 20 0 DDADMM O=C(Cc1nn[n-]n1)N1CCn2cccc2[C@@H]1c1ccccc1 ZINC001176837679 778269264 /nfs/dbraw/zinc/26/92/64/778269264.db2.gz AMCYBUOVIPHUJD-INIZCTEOSA-N -1 1 308.345 1.176 20 0 DDADMM CSc1nc(NC(=O)CSc2nccc(C)n2)cc(=O)[n-]1 ZINC001177495114 778620365 /nfs/dbraw/zinc/62/03/65/778620365.db2.gz STYSAALWHYBZCV-UHFFFAOYSA-N -1 1 323.403 1.733 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cc(Cl)ccn2)n1 ZINC001177650520 778697525 /nfs/dbraw/zinc/69/75/25/778697525.db2.gz IPMGPSPWZJPKHF-UHFFFAOYSA-N -1 1 308.725 1.816 20 0 DDADMM Cc1ccnc(C2(C(=O)NCCCC[P@](=O)([O-])O)CC2)c1 ZINC001178072238 778883581 /nfs/dbraw/zinc/88/35/81/778883581.db2.gz IABXZLFBAHOENL-UHFFFAOYSA-N -1 1 312.306 1.496 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)C(=O)[N-]OCc2ccccc2)n[nH]1 ZINC001117343805 780729349 /nfs/dbraw/zinc/72/93/49/780729349.db2.gz DDLXEFRHEQFDFR-LLVKDONJSA-N -1 1 316.361 1.013 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc2c[nH]nc2c1 ZINC001118764322 781236230 /nfs/dbraw/zinc/23/62/30/781236230.db2.gz PINHEWKHQUAJBY-UHFFFAOYSA-N -1 1 305.334 1.495 20 0 DDADMM CC[C@H](COC)NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001119124768 781326932 /nfs/dbraw/zinc/32/69/32/781326932.db2.gz QVUVFRXQWXPWHI-SNVBAGLBSA-N -1 1 314.769 1.203 20 0 DDADMM CCC1(C(=O)NCCC[C@@H]2CCCN2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001267174480 837469375 /nfs/dbraw/zinc/46/93/75/837469375.db2.gz IPPDFUOUFZGVIH-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM CCCC(C[NH3+])(CCC)[N-]C(=O)C(F)(F)C(F)(F)C(=O)[O-] ZINC001221371629 838927225 /nfs/dbraw/zinc/92/72/25/838927225.db2.gz GHQHPNSENQPQIM-UHFFFAOYSA-N -1 1 316.295 1.756 20 0 DDADMM CC[C@H](C)N1CC[C@]2(CCCN2C(=O)c2ccc([O-])cn2)C1=O ZINC001269354555 841556828 /nfs/dbraw/zinc/55/68/28/841556828.db2.gz MWEMOAHNYCTLGY-YVEFUNNKSA-N -1 1 317.389 1.793 20 0 DDADMM COCCN1CCN(Cc2ccc(Cl)cc2C(=O)[O-])CC1 ZINC001142649782 861214389 /nfs/dbraw/zinc/21/43/89/861214389.db2.gz JHZACWLKHLDCGD-UHFFFAOYSA-N -1 1 312.797 1.802 20 0 DDADMM CN1CC[C@@]2(CCN(C(=O)c3cc(Cl)ccc3[O-])C2)C1=O ZINC001269685756 841933781 /nfs/dbraw/zinc/93/37/81/841933781.db2.gz DGABKFYJECRJLW-HNNXBMFYSA-N -1 1 308.765 1.740 20 0 DDADMM CC(C)NC(=O)N1CC[C@H]2[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC001270258731 842434644 /nfs/dbraw/zinc/43/46/44/842434644.db2.gz WHUWLZKDPYPNHF-RYUDHWBXSA-N -1 1 318.377 1.194 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2(C)CCC(C)CC2)[n-]n1 ZINC001363935000 842869905 /nfs/dbraw/zinc/86/99/05/842869905.db2.gz QIEIAAVSIILQEE-UHFFFAOYSA-N -1 1 315.395 1.443 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2(C)CCC(C)CC2)n[n-]1 ZINC001363935000 842869912 /nfs/dbraw/zinc/86/99/12/842869912.db2.gz QIEIAAVSIILQEE-UHFFFAOYSA-N -1 1 315.395 1.443 20 0 DDADMM COc1cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc2[nH]ccc21 ZINC001154826533 861488666 /nfs/dbraw/zinc/48/86/66/861488666.db2.gz CIXRZFUONGKFDX-UHFFFAOYSA-N -1 1 324.300 1.801 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CCCC1CC1 ZINC001409261931 844728592 /nfs/dbraw/zinc/72/85/92/844728592.db2.gz VZMOOPILKBVKAS-CYBMUJFWSA-N -1 1 319.405 1.992 20 0 DDADMM CN(C(=O)c1c[nH]c2ncc(Br)cc12)c1nn[n-]n1 ZINC001149219918 861492531 /nfs/dbraw/zinc/49/25/31/861492531.db2.gz JFXTUSWDPKWIFK-UHFFFAOYSA-N -1 1 322.126 1.115 20 0 DDADMM CNC(=O)[C@@H]1CC12CCN(C(=O)c1ccc(F)c([O-])c1)CC2 ZINC001272227324 844781331 /nfs/dbraw/zinc/78/13/31/844781331.db2.gz MQAVPQWAMODXLC-NSHDSACASA-N -1 1 306.337 1.520 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)[C@H](C)NCc2conc2C)c1[O-] ZINC001409337269 844941189 /nfs/dbraw/zinc/94/11/89/844941189.db2.gz PDHNDHFUOJJAFX-JGVFFNPUSA-N -1 1 307.354 1.017 20 0 DDADMM CC[C@@H](CNC(=O)c1nocc1C)NC(=O)c1ncccc1[O-] ZINC001409410307 845058541 /nfs/dbraw/zinc/05/85/41/845058541.db2.gz NNWICBPNFCMVTE-JTQLQIEISA-N -1 1 318.333 1.022 20 0 DDADMM CC(=O)NC[C@H](C)CNC(=O)c1ccc2cccnc2c1[O-] ZINC001149328374 861550090 /nfs/dbraw/zinc/55/00/90/861550090.db2.gz HSQZMHXUZHXRFH-JTQLQIEISA-N -1 1 301.346 1.442 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cn2c(n1)C=CCN2 ZINC001149435241 861612655 /nfs/dbraw/zinc/61/26/55/861612655.db2.gz MFFKFPWSHHIHQG-UHFFFAOYSA-N -1 1 322.328 1.136 20 0 DDADMM CN1C[C@@]2(CC1=O)CCCCN2C(=O)c1ccc([O-])c(F)c1 ZINC001272401689 846102452 /nfs/dbraw/zinc/10/24/52/846102452.db2.gz RINXSQXWLIXWKD-INIZCTEOSA-N -1 1 306.337 1.758 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)co1 ZINC001272408450 846109474 /nfs/dbraw/zinc/10/94/74/846109474.db2.gz CNIZKBRFHPTGCD-NSHDSACASA-N -1 1 319.365 1.054 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2[n-][nH]c3cc(=O)ccc2-3)on1 ZINC001155262032 861906970 /nfs/dbraw/zinc/90/69/70/861906970.db2.gz BKQGMGQKPUMMLW-QMMMGPOBSA-N -1 1 300.318 1.926 20 0 DDADMM Cc1cc(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])ccn1 ZINC001410183797 848866322 /nfs/dbraw/zinc/86/63/22/848866322.db2.gz ACAKPJBVMICUQX-LLVKDONJSA-N -1 1 314.345 1.039 20 0 DDADMM Cc1cccnc1[C@@H](C)[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC001434654372 849495879 /nfs/dbraw/zinc/49/58/79/849495879.db2.gz CPDCREWJZIBUGP-LLVKDONJSA-N -1 1 317.436 1.547 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCc1cccc(O)c1 ZINC001150535579 862297332 /nfs/dbraw/zinc/29/73/32/862297332.db2.gz DYFPGBXVXNPRGY-UHFFFAOYSA-N -1 1 305.359 1.457 20 0 DDADMM CN1C[C@]2(CC1=O)COCCN(Cc1cccc([O-])c1Cl)C2 ZINC001274724459 852556121 /nfs/dbraw/zinc/55/61/21/852556121.db2.gz UJGWCQOTQRDGCC-INIZCTEOSA-N -1 1 324.808 1.726 20 0 DDADMM O=C(CCNC(=O)Cc1ccccc1)[N-]Oc1ccccc1F ZINC001303333286 852850126 /nfs/dbraw/zinc/85/01/26/852850126.db2.gz ZQIHPCSALQOXJX-UHFFFAOYSA-N -1 1 316.332 1.985 20 0 DDADMM NC(=O)C1=CC2(CC1)CCN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC001275361041 853016591 /nfs/dbraw/zinc/01/65/91/853016591.db2.gz LUZSNMAZOBFMEW-UHFFFAOYSA-N -1 1 318.348 1.959 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC2(CCN2Cc2ccc[nH]2)C1 ZINC001275409262 853083107 /nfs/dbraw/zinc/08/31/07/853083107.db2.gz WWAXHPSXJQNEDP-UHFFFAOYSA-N -1 1 315.348 1.960 20 0 DDADMM CN(C)C(=O)[C@]12C[C@H]1CCN2C(=O)c1c(F)ccc([O-])c1F ZINC001275612400 853432483 /nfs/dbraw/zinc/43/24/83/853432483.db2.gz RXSNJQRYHCUGBF-GLEZIHRCSA-N -1 1 310.300 1.363 20 0 DDADMM CSc1ncc(C(=O)NCc2cccc3c2OCO3)c(=O)[n-]1 ZINC001411692173 853587303 /nfs/dbraw/zinc/58/73/03/853587303.db2.gz AGDOIGIVAUUSOP-UHFFFAOYSA-N -1 1 319.342 1.563 20 0 DDADMM NC(=O)[C@@H]1COCCC12CN(C(=O)c1ccc(Cl)cc1[O-])C2 ZINC001275934403 853978687 /nfs/dbraw/zinc/97/86/87/853978687.db2.gz NAGPCDBTKQZRNW-NSHDSACASA-N -1 1 324.764 1.010 20 0 DDADMM Cc1ccc(NC(=O)c2cnncc2[O-])cc1N1CCNC1=O ZINC001412075722 854193779 /nfs/dbraw/zinc/19/37/79/854193779.db2.gz LTRCHZITHUJBEF-UHFFFAOYSA-N -1 1 313.317 1.273 20 0 DDADMM COC(=O)[C@]12CN(C(=O)c3cccc([O-])c3F)C[C@H]1COCC2 ZINC001412091338 854211326 /nfs/dbraw/zinc/21/13/26/854211326.db2.gz MQJMZENXLLEJIQ-MGPLVRAMSA-N -1 1 323.320 1.183 20 0 DDADMM CCC(CC)(NC(=O)c1cn2c(n1)C[C@H](C)CC2)c1nn[n-]n1 ZINC001412109932 854228931 /nfs/dbraw/zinc/22/89/31/854228931.db2.gz NDCXFTQZLAHNRY-SNVBAGLBSA-N -1 1 317.397 1.424 20 0 DDADMM CCC1(CNC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)COC1 ZINC001412123635 854238036 /nfs/dbraw/zinc/23/80/36/854238036.db2.gz UOXMVGKLZSCZPT-UHFFFAOYSA-N -1 1 318.377 1.137 20 0 DDADMM CCC[C@H](C(=O)Nc1cc([O-])c(F)cc1F)S(N)(=O)=O ZINC001412200913 854328014 /nfs/dbraw/zinc/32/80/14/854328014.db2.gz KHGNUQDOCNGRMF-SNVBAGLBSA-N -1 1 308.306 1.066 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C2CC(OC)C2)[n-]c1Cl ZINC001412300032 854421635 /nfs/dbraw/zinc/42/16/35/854421635.db2.gz JBPUJYSPMKAOKB-UHFFFAOYSA-N -1 1 301.730 1.603 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(O)c(C)c1)c1nn[n-]n1 ZINC001412364820 854476763 /nfs/dbraw/zinc/47/67/63/854476763.db2.gz DAWQXDBTUDIPSI-JTQLQIEISA-N -1 1 307.379 1.438 20 0 DDADMM COc1ccc(CNC(=O)c2ccc([O-])cn2)c(OC)c1OC ZINC001412420309 854528826 /nfs/dbraw/zinc/52/88/26/854528826.db2.gz ODDARFKRDSNVKL-UHFFFAOYSA-N -1 1 318.329 1.743 20 0 DDADMM CO[C@@H](C(=O)N(Cc1nn[n-]n1)CC(C)C)c1ccccc1 ZINC001412525893 854658999 /nfs/dbraw/zinc/65/89/99/854658999.db2.gz GFGPWXBDEAXUQY-CQSZACIVSA-N -1 1 303.366 1.572 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@H]1OCc2ccccc21 ZINC001412527327 854660925 /nfs/dbraw/zinc/66/09/25/854660925.db2.gz ISDJUSYPUAIRBS-CQSZACIVSA-N -1 1 315.377 1.846 20 0 DDADMM O=C(C/C=C/c1ccc(F)cc1)NC1(c2nn[n-]n2)CCC1 ZINC001412545097 854694674 /nfs/dbraw/zinc/69/46/74/854694674.db2.gz RIAHWQMHPSCRHR-HNQUOIGGSA-N -1 1 301.325 1.938 20 0 DDADMM COC[C@H](NC(=O)c1cc2c(s1)CCCC2)c1nn[n-]n1 ZINC001412597654 854789398 /nfs/dbraw/zinc/78/93/98/854789398.db2.gz STTAYZXFHKTNBW-VIFPVBQESA-N -1 1 307.379 1.258 20 0 DDADMM CCC[C@@H](NC(=O)c1sc(NCC)nc1C)c1nn[n-]n1 ZINC001412638282 854860030 /nfs/dbraw/zinc/86/00/30/854860030.db2.gz HCMGCQBCOGMSTQ-MRVPVSSYSA-N -1 1 309.399 1.668 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)[nH]n1 ZINC001412684636 854944101 /nfs/dbraw/zinc/94/41/01/854944101.db2.gz BVHJMIJJVAVYJH-MRVPVSSYSA-N -1 1 301.350 1.452 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCCC[C@H]1CCn1ccnn1 ZINC001412803776 855292088 /nfs/dbraw/zinc/29/20/88/855292088.db2.gz QDHDDWXTCRFLQH-LBPRGKRZSA-N -1 1 301.350 1.464 20 0 DDADMM CCC(CC)(NC(=O)CCOc1ccccc1)c1nn[n-]n1 ZINC001412937682 855793534 /nfs/dbraw/zinc/79/35/34/855793534.db2.gz SXOMNEQFCOSTQQ-UHFFFAOYSA-N -1 1 303.366 1.800 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCCF ZINC001413084288 856388374 /nfs/dbraw/zinc/38/83/74/856388374.db2.gz HPAPFPXICWDZDY-WDEREUQCSA-N -1 1 309.341 1.256 20 0 DDADMM COc1cnc(NCC[N-]C(=O)C(F)(F)F)nc1NC(C)C ZINC001156197215 862800951 /nfs/dbraw/zinc/80/09/51/862800951.db2.gz QWKQTUCOAISEDP-UHFFFAOYSA-N -1 1 321.303 1.396 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC[C@@H](Nc2ncccn2)C1 ZINC001413176433 856520051 /nfs/dbraw/zinc/52/00/51/856520051.db2.gz DNJSJICHHWBAIY-LLVKDONJSA-N -1 1 302.309 1.648 20 0 DDADMM CC(C)(C)[C@@H](NC(=O)c1[nH]nc2c1CCC2)c1nc(=O)o[n-]1 ZINC001413187207 856527226 /nfs/dbraw/zinc/52/72/26/856527226.db2.gz IUUVHGKXRJWJQO-JTQLQIEISA-N -1 1 305.338 1.092 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(SC)o2)n[n-]1 ZINC001413269967 856596371 /nfs/dbraw/zinc/59/63/71/856596371.db2.gz KWQSJPXZQIMCQJ-SSDOTTSWSA-N -1 1 324.362 1.787 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(SC)o2)[n-]1 ZINC001413269967 856596377 /nfs/dbraw/zinc/59/63/77/856596377.db2.gz KWQSJPXZQIMCQJ-SSDOTTSWSA-N -1 1 324.362 1.787 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(SC)o2)n1 ZINC001413269967 856596385 /nfs/dbraw/zinc/59/63/85/856596385.db2.gz KWQSJPXZQIMCQJ-SSDOTTSWSA-N -1 1 324.362 1.787 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cnc(CC)s2)n[n-]1 ZINC001413273828 856599908 /nfs/dbraw/zinc/59/99/08/856599908.db2.gz ROGOWYTUCDQEGB-ZETCQYMHSA-N -1 1 323.378 1.491 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cnc(CC)s2)[n-]1 ZINC001413273828 856599910 /nfs/dbraw/zinc/59/99/10/856599910.db2.gz ROGOWYTUCDQEGB-ZETCQYMHSA-N -1 1 323.378 1.491 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cnc(CC)s2)n1 ZINC001413273828 856599911 /nfs/dbraw/zinc/59/99/11/856599911.db2.gz ROGOWYTUCDQEGB-ZETCQYMHSA-N -1 1 323.378 1.491 20 0 DDADMM COC[C@@H](C)CS(=O)(=O)[N-][C@H](C(=O)OC)c1ccsc1 ZINC001413329527 856669040 /nfs/dbraw/zinc/66/90/40/856669040.db2.gz PIAPQXJUFIWJBO-KOLCDFICSA-N -1 1 321.420 1.164 20 0 DDADMM C[C@@H](CCOc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001413348666 856701509 /nfs/dbraw/zinc/70/15/09/856701509.db2.gz YBMJHGAPNVMXOY-NSHDSACASA-N -1 1 317.345 1.609 20 0 DDADMM Nc1nccc2c1CN(C(=O)c1c(F)ccc([O-])c1F)CC2 ZINC001413380384 856732765 /nfs/dbraw/zinc/73/27/65/856732765.db2.gz SFENIKASAMMGTA-UHFFFAOYSA-N -1 1 305.284 1.846 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](C[N-]S(=O)(=O)C(F)F)C1 ZINC001259958563 856787574 /nfs/dbraw/zinc/78/75/74/856787574.db2.gz BIJCNRNXJKWMSE-MRVPVSSYSA-N -1 1 314.354 1.385 20 0 DDADMM CCOC(=O)[C@@]1(C)CN(C(=O)c2ccc(F)c([O-])c2)CCO1 ZINC001413424831 856793024 /nfs/dbraw/zinc/79/30/24/856793024.db2.gz FAJPJIIAMMOSCW-OAHLLOKOSA-N -1 1 311.309 1.326 20 0 DDADMM COC[C@H](NC(=O)c1ccc(OC)c(C2CC2)c1)c1nn[n-]n1 ZINC001413557720 857063694 /nfs/dbraw/zinc/06/36/94/857063694.db2.gz UXIHITCFEXKCLB-LBPRGKRZSA-N -1 1 317.349 1.203 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001413626735 857254918 /nfs/dbraw/zinc/25/49/18/857254918.db2.gz MZCFDGSZJHAZKW-KCJUWKMLSA-N -1 1 318.304 1.761 20 0 DDADMM C[C@@H]1CN(C(=O)CCCF)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001072537938 857418132 /nfs/dbraw/zinc/41/81/32/857418132.db2.gz RGDDZBWGTPYZIY-MNOVXSKESA-N -1 1 309.341 1.114 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc2ncsc2n1 ZINC001156304706 862922586 /nfs/dbraw/zinc/92/25/86/862922586.db2.gz UIIGRRIQBYIUGV-UHFFFAOYSA-N -1 1 313.302 1.316 20 0 DDADMM CCCC(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001413933566 881363411 /nfs/dbraw/zinc/36/34/11/881363411.db2.gz LBQNNSHDGTZJEM-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM Cn1ccc2c1cccc2C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123999573 859518465 /nfs/dbraw/zinc/51/84/65/859518465.db2.gz XOAKOALKRRACKJ-LBPRGKRZSA-N -1 1 324.388 1.786 20 0 DDADMM C[C@@H]1CC[C@H](OCC[P@](=O)([O-])O)CN1C(=O)OC(C)(C)C ZINC001224603040 881462715 /nfs/dbraw/zinc/46/27/15/881462715.db2.gz VDKRETLKFWZFTJ-MNOVXSKESA-N -1 1 323.326 1.969 20 0 DDADMM O=C(c1cc2ncccc2o1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001138803589 860194966 /nfs/dbraw/zinc/19/49/66/860194966.db2.gz HYGNYPSAMZKKQR-SECBINFHSA-N -1 1 314.301 1.936 20 0 DDADMM COc1cccc(CN2C[C@@H](O)CC[C@H]2C)c1OCC(=O)[O-] ZINC001139268976 860344765 /nfs/dbraw/zinc/34/47/65/860344765.db2.gz WOYXULYENYMVSQ-YPMHNXCESA-N -1 1 309.362 1.504 20 0 DDADMM COc1cccc(C[N@H+]2CCC[C@]3(CCO3)C2)c1OCC(=O)[O-] ZINC001139271514 860345949 /nfs/dbraw/zinc/34/59/49/860345949.db2.gz KECGMQMBVXFLPP-KRWDZBQOSA-N -1 1 321.373 1.914 20 0 DDADMM COc1cccc(CN2CCC[C@]3(CCO3)C2)c1OCC(=O)[O-] ZINC001139271514 860345954 /nfs/dbraw/zinc/34/59/54/860345954.db2.gz KECGMQMBVXFLPP-KRWDZBQOSA-N -1 1 321.373 1.914 20 0 DDADMM CN1CCc2c1cccc2C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001154151299 860822224 /nfs/dbraw/zinc/82/22/24/860822224.db2.gz ROWKJXRIWHNIRO-UHFFFAOYSA-N -1 1 315.289 1.530 20 0 DDADMM Cc1nc(CN[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])co1 ZINC001381363726 881587904 /nfs/dbraw/zinc/58/79/04/881587904.db2.gz IPVTVDBXPDIKTM-UWVGGRQHSA-N -1 1 304.350 1.380 20 0 DDADMM O=C([O-])/C=C/c1ccccc1-c1noc([C@H]2CNCCO2)n1 ZINC001325897125 860930656 /nfs/dbraw/zinc/93/06/56/860930656.db2.gz SRLSMAGOCMFAFQ-BTDICHCPSA-N -1 1 301.302 1.495 20 0 DDADMM O=C([O-])/C=C\c1ccccc1-c1noc([C@@H]2CNCCO2)n1 ZINC001325927417 860962198 /nfs/dbraw/zinc/96/21/98/860962198.db2.gz SRLSMAGOCMFAFQ-FJOGCWAESA-N -1 1 301.302 1.495 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc4c3C(=O)CC4)c1-2 ZINC001154399291 861070946 /nfs/dbraw/zinc/07/09/46/861070946.db2.gz MNSLBARYCUSUNK-UHFFFAOYSA-N -1 1 307.313 1.416 20 0 DDADMM C[NH+]1CCC2(CC[C@H](COCCCC[P@](=O)([O-])O)O2)CC1 ZINC001225022334 881642180 /nfs/dbraw/zinc/64/21/80/881642180.db2.gz NFGVWEIRVQTPEM-CYBMUJFWSA-N -1 1 321.354 1.604 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc2[nH]c(=O)[nH]c2c1 ZINC001152370730 863307676 /nfs/dbraw/zinc/30/76/76/863307676.db2.gz ABQCTKCDMLROKB-UHFFFAOYSA-N -1 1 316.233 1.120 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(=O)c3cccc(O)c3[n-]2)[C@@H](C)CO1 ZINC001152679755 863488357 /nfs/dbraw/zinc/48/83/57/863488357.db2.gz OHQZSRHJECQDEN-VHSXEESVSA-N -1 1 302.330 1.895 20 0 DDADMM CCOC[C@H](NCc1cccnc1Br)C(=O)[O-] ZINC001329683543 863788806 /nfs/dbraw/zinc/78/88/06/863788806.db2.gz YHPMSJDXFKSFLC-VIFPVBQESA-N -1 1 303.156 1.423 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc3ccoc3cn2)n1 ZINC001153303357 863813962 /nfs/dbraw/zinc/81/39/62/863813962.db2.gz HLZQNDVBXPLDQP-UHFFFAOYSA-N -1 1 300.274 1.980 20 0 DDADMM CCOC(=O)C[C@H](C)CNC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001153357925 863846628 /nfs/dbraw/zinc/84/66/28/863846628.db2.gz HSKKTDNCXGFSQF-NSHDSACASA-N -1 1 307.346 1.832 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCC[C@H]2C2OCCO2)c1 ZINC001157645402 864006932 /nfs/dbraw/zinc/00/69/32/864006932.db2.gz LRZDKRWGLOOGOR-ZDUSSCGKSA-N -1 1 305.330 1.491 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C=Cc1ccc(Cl)nc1 ZINC001153811014 864123716 /nfs/dbraw/zinc/12/37/16/864123716.db2.gz HPKPBYXKQNXVIK-GQCTYLIASA-N -1 1 320.784 1.946 20 0 DDADMM O=C(c1cc(=O)c2cc(Cl)ccc2[nH]1)N1CC[N@@H+]2CC[C@@H]2C1 ZINC001153904712 864208987 /nfs/dbraw/zinc/20/89/87/864208987.db2.gz WCYBIPZESDESAV-LLVKDONJSA-N -1 1 317.776 1.712 20 0 DDADMM C[C@H](NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1)C1CCC1 ZINC001330629026 864497297 /nfs/dbraw/zinc/49/72/97/864497297.db2.gz SWSIPYJUFIGWSZ-VIFPVBQESA-N -1 1 310.781 1.967 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ccc(C)nc2)[n-]1 ZINC001361516767 881809954 /nfs/dbraw/zinc/80/99/54/881809954.db2.gz NCRZCRSRCQPJIP-UHFFFAOYSA-N -1 1 301.302 1.960 20 0 DDADMM COc1ccc2ncc(Nc3[n-]c(=O)nc4nc[nH]c43)nc2c1 ZINC001159198566 865161525 /nfs/dbraw/zinc/16/15/25/865161525.db2.gz QVWMXNUTWIZBJJ-UHFFFAOYSA-N -1 1 309.289 1.754 20 0 DDADMM CC(C)(C(=O)[O-])C(=O)N1CCC(CCN2CCOCC2)CC1 ZINC001332114436 865587642 /nfs/dbraw/zinc/58/76/42/865587642.db2.gz QZCQXBLNTQFZEH-UHFFFAOYSA-N -1 1 312.410 1.058 20 0 DDADMM CSc1ccc(CCNCc2cn(CC(=O)[O-])nn2)cc1 ZINC001332438409 865844257 /nfs/dbraw/zinc/84/42/57/865844257.db2.gz PTIIIGOQWFZLSH-UHFFFAOYSA-N -1 1 306.391 1.417 20 0 DDADMM CC(C)(C(=O)NN1CC(=O)[N-]C1=O)c1cccc2ccccc21 ZINC001160529941 865916132 /nfs/dbraw/zinc/91/61/32/865916132.db2.gz INYBHSPUZCETLH-UHFFFAOYSA-N -1 1 311.341 1.700 20 0 DDADMM O=C([O-])Cc1nccnc1NCCN1CCC(F)(F)CC1 ZINC001160752345 866100176 /nfs/dbraw/zinc/10/01/76/866100176.db2.gz NYZVTDGTZYZPSM-UHFFFAOYSA-N -1 1 300.309 1.247 20 0 DDADMM Cc1ccc2ccnc(Nc3c(O)[nH]c(=O)[n-]c3=S)c2c1 ZINC001160849908 866149245 /nfs/dbraw/zinc/14/92/45/866149245.db2.gz UYLUNHYSEUZXNZ-SNVBAGLBSA-N -1 1 300.343 1.491 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CCC[C@H](C)CO ZINC001319747106 866265979 /nfs/dbraw/zinc/26/59/79/866265979.db2.gz PUNNMUZYLSAQQP-VIFPVBQESA-N -1 1 323.361 1.660 20 0 DDADMM Cc1cc2c(c(NCCCc3cccc(N)c3)n1)C(=O)[N-]C2=O ZINC001161013255 866271654 /nfs/dbraw/zinc/27/16/54/866271654.db2.gz YTQMGUJDQQLVHS-UHFFFAOYSA-N -1 1 310.357 1.901 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N1CC=CCC1 ZINC001333813992 867037611 /nfs/dbraw/zinc/03/76/11/867037611.db2.gz BZVFJMXQUWXYMP-UHFFFAOYSA-N -1 1 310.300 1.118 20 0 DDADMM CCCCCC(=O)N[C@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001333828128 867053218 /nfs/dbraw/zinc/05/32/18/867053218.db2.gz PBQNKCLYLKOXMB-NEPJUHHUSA-N -1 1 307.394 1.991 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@@H]1CCCCN1Cc1cccnc1 ZINC001320990032 867184122 /nfs/dbraw/zinc/18/41/22/867184122.db2.gz WUEXGFPPRMGGPO-HOTGVXAUSA-N -1 1 319.405 1.520 20 0 DDADMM COC(=O)c1ccn(CC(=O)Nc2cc(F)cc(F)c2[O-])n1 ZINC001162295455 867417940 /nfs/dbraw/zinc/41/79/40/867417940.db2.gz FUYVOSDJPUGDSI-UHFFFAOYSA-N -1 1 311.244 1.292 20 0 DDADMM CC(C)CCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163324528 868351178 /nfs/dbraw/zinc/35/11/78/868351178.db2.gz KPJKTVRXFIGLDT-UHFFFAOYSA-N -1 1 309.414 1.323 20 0 DDADMM Cc1noc(CCC(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)n1 ZINC001361725144 882237806 /nfs/dbraw/zinc/23/78/06/882237806.db2.gz HLWKIMLJBZIUJZ-UHFFFAOYSA-N -1 1 303.322 1.324 20 0 DDADMM CN1C(=O)NCc2cc(NCC[N-]C(=O)C(F)(F)F)ccc21 ZINC001164250913 869059714 /nfs/dbraw/zinc/05/97/14/869059714.db2.gz GIOYGSIURKJOME-UHFFFAOYSA-N -1 1 316.283 1.436 20 0 DDADMM Cc1cc2c(c(N3CC(F)(F)C[C@]3(C)CF)n1)C(=O)[N-]C2=O ZINC001164563891 869288738 /nfs/dbraw/zinc/28/87/38/869288738.db2.gz SZOKDEWNKYSODD-CYBMUJFWSA-N -1 1 313.279 1.847 20 0 DDADMM O=C([O-])c1ccc(CN2CC3(C[C@@H]3C(=O)NCC(F)F)C2)cc1 ZINC001277109967 882297631 /nfs/dbraw/zinc/29/76/31/882297631.db2.gz OOEPOAYQKQUOHJ-GFCCVEGCSA-N -1 1 324.327 1.588 20 0 DDADMM COC(=O)[C@H](Cc1ccccc1)Oc1[n-]c(=S)ncc1OC ZINC001226241999 882302264 /nfs/dbraw/zinc/30/22/64/882302264.db2.gz GINWXOYLVMMLJT-NSHDSACASA-N -1 1 320.370 1.937 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCC([C@H](C)CO)CC2)c1 ZINC001165478934 869614388 /nfs/dbraw/zinc/61/43/88/869614388.db2.gz DABHYUZELJRQHP-GFCCVEGCSA-N -1 1 305.374 1.994 20 0 DDADMM COC(=O)Cc1ccccc1Nc1cc(=O)[n-]c(N(C)C)n1 ZINC001213056637 869817795 /nfs/dbraw/zinc/81/77/95/869817795.db2.gz OTRUEUXLNOVPAE-UHFFFAOYSA-N -1 1 302.334 1.707 20 0 DDADMM O=C(CNC(=O)c1cccc([O-])c1F)NCCc1ccccc1 ZINC001361807937 882411960 /nfs/dbraw/zinc/41/19/60/882411960.db2.gz SJAAHTMQJNSYBO-UHFFFAOYSA-N -1 1 316.332 1.620 20 0 DDADMM O=C(NC/C=C\CNC(=O)[C@H]1CC12CCC2)c1ncccc1[O-] ZINC001298356779 870498290 /nfs/dbraw/zinc/49/82/90/870498290.db2.gz MPVMWFIAEPZQRS-UDSFMNFJSA-N -1 1 315.373 1.380 20 0 DDADMM C[C@@H](NC(=O)c1ccc(F)c([O-])c1)C(=O)NCC(F)(F)F ZINC001361833873 882461355 /nfs/dbraw/zinc/46/13/55/882461355.db2.gz NIBQAUSCNWKTPU-ZCFIWIBFSA-N -1 1 308.231 1.328 20 0 DDADMM Cc1ccnc(N2CCN(Cc3ccc(C(=O)[O-])cc3)CC2)n1 ZINC001204159166 870972473 /nfs/dbraw/zinc/97/24/73/870972473.db2.gz YSOWXWMAHXPCEF-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM Cc1ccc(O)cc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001301651128 871016863 /nfs/dbraw/zinc/01/68/63/871016863.db2.gz XWOKSSVEXBAJFT-CQSZACIVSA-N -1 1 309.329 1.733 20 0 DDADMM C=CC(=O)Nc1ccc([O-])c(C(=O)Nc2ccn(CCF)n2)c1 ZINC001302669208 871078227 /nfs/dbraw/zinc/07/82/27/871078227.db2.gz IKMOBHGIIIUSFL-UHFFFAOYSA-N -1 1 318.308 1.935 20 0 DDADMM C[C@@H]1CN(Cc2ccccc2)CC[C@@H]1Oc1c([O-])c(=O)c1=O ZINC001226605641 882534850 /nfs/dbraw/zinc/53/48/50/882534850.db2.gz IVIZFELODUUOHU-YPMHNXCESA-N -1 1 301.342 1.278 20 0 DDADMM CCO[C@H](C(=O)Nc1ccc(OCc2nn[n-]n2)cc1)C1CC1 ZINC001361871678 882543537 /nfs/dbraw/zinc/54/35/37/882543537.db2.gz RZOVJSDRWBWOOA-AWEZNQCLSA-N -1 1 317.349 1.532 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cc2c(cc1Cl)OCCO2 ZINC001361916175 882633467 /nfs/dbraw/zinc/63/34/67/882633467.db2.gz CFMXMYPXZQSTSL-UHFFFAOYSA-N -1 1 309.713 1.196 20 0 DDADMM CN(c1nnc(Cc2nnn[n-]2)n1CCc1ccccc1)C1CC1 ZINC001344446912 873007703 /nfs/dbraw/zinc/00/77/03/873007703.db2.gz CQYMVIBESONWLZ-UHFFFAOYSA-N -1 1 324.392 1.223 20 0 DDADMM CN(c1nnc(Cc2nn[n-]n2)n1CCc1ccccc1)C1CC1 ZINC001344446912 873007722 /nfs/dbraw/zinc/00/77/22/873007722.db2.gz CQYMVIBESONWLZ-UHFFFAOYSA-N -1 1 324.392 1.223 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@H](c2ncc[nH]2)C1 ZINC001346402540 873736269 /nfs/dbraw/zinc/73/62/69/873736269.db2.gz HKZIVELUMSCAFV-VIFPVBQESA-N -1 1 319.390 1.522 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC1(C(F)(F)F)CC1 ZINC001346972136 873955014 /nfs/dbraw/zinc/95/50/14/873955014.db2.gz GNWGTZYMBMIDBI-UHFFFAOYSA-N -1 1 307.297 1.852 20 0 DDADMM O=C(NCCc1nccn1C(F)F)C(=O)c1ccc([O-])cc1 ZINC001347072160 873999872 /nfs/dbraw/zinc/99/98/72/873999872.db2.gz LYFSNKRHEMOXEC-UHFFFAOYSA-N -1 1 309.272 1.525 20 0 DDADMM CCCC(CCC)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001378120800 874187869 /nfs/dbraw/zinc/18/78/69/874187869.db2.gz LCXRWCFCQODSRV-CYBMUJFWSA-N -1 1 323.441 1.015 20 0 DDADMM COc1ccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c2ccccc12 ZINC001347975840 874326063 /nfs/dbraw/zinc/32/60/63/874326063.db2.gz VGZLBFOYMXUIET-NSHDSACASA-N -1 1 323.356 1.991 20 0 DDADMM CC[C@H](CNC(=O)C1C(C)(C)C1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001378233332 874494194 /nfs/dbraw/zinc/49/41/94/874494194.db2.gz OXFXMMORIAVZQZ-SECBINFHSA-N -1 1 309.414 1.177 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCCc1ccc(O)cc1 ZINC001348881340 874851430 /nfs/dbraw/zinc/85/14/30/874851430.db2.gz UGZJVHBLZBDDEV-UHFFFAOYSA-N -1 1 319.386 1.848 20 0 DDADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CC1(C)C ZINC001378428078 874860381 /nfs/dbraw/zinc/86/03/81/874860381.db2.gz HPIDBUUTSQCEJR-WDEREUQCSA-N -1 1 309.414 1.321 20 0 DDADMM Nc1n[nH]c2ccc([N-]S(=O)(=O)c3ccc(O)c(N)c3)cc12 ZINC001209863259 875148069 /nfs/dbraw/zinc/14/80/69/875148069.db2.gz QWYXMXQQBVLSGB-UHFFFAOYSA-N -1 1 319.346 1.234 20 0 DDADMM CC(C)C[C@H](C)CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210615897 875459289 /nfs/dbraw/zinc/45/92/89/875459289.db2.gz WJEHFLIPMRDIMC-QWHCGFSZSA-N -1 1 323.441 1.663 20 0 DDADMM Cc1cccn2cc(C(=O)N3CCC[C@H](Cc4nn[n-]n4)C3)cc12 ZINC001350429662 875684549 /nfs/dbraw/zinc/68/45/49/875684549.db2.gz RJTPAWMSBGDTNU-CYBMUJFWSA-N -1 1 324.388 1.856 20 0 DDADMM CCOC(=O)c1nonc1[N-]c1cccc(C(=O)N(C)OC)c1 ZINC001211388209 875786372 /nfs/dbraw/zinc/78/63/72/875786372.db2.gz WZTFZBIWLYJFRC-UHFFFAOYSA-N -1 1 320.305 1.623 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1CC1(Cl)Cl ZINC001350871299 875938644 /nfs/dbraw/zinc/93/86/44/875938644.db2.gz CCKDGWJGHSADCG-YFKPBYRVSA-N -1 1 308.190 1.703 20 0 DDADMM COC(=O)Cc1cccc(Nc2cc(=O)[n-]c(N(C)C)n2)c1 ZINC001213709981 876026844 /nfs/dbraw/zinc/02/68/44/876026844.db2.gz QRELLFUYQPLXQW-UHFFFAOYSA-N -1 1 302.334 1.707 20 0 DDADMM COC(=O)Nc1ccc(CNC(=O)c2ccc([O-])cn2)cc1 ZINC001362097943 883029757 /nfs/dbraw/zinc/02/97/57/883029757.db2.gz IIDXQBPBAAECKT-UHFFFAOYSA-N -1 1 301.302 1.896 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC(CCO)CC1 ZINC000400951984 876588711 /nfs/dbraw/zinc/58/87/11/876588711.db2.gz FVMKNMJQLRSGSN-UHFFFAOYSA-N -1 1 307.394 1.849 20 0 DDADMM CC(C)OC1(CNC(=O)CCCc2nn[n-]n2)CCCCC1 ZINC001362105278 883049087 /nfs/dbraw/zinc/04/90/87/883049087.db2.gz IVUOWNOLMYVLTG-UHFFFAOYSA-N -1 1 309.414 1.767 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C12CCC2 ZINC001352281511 876675444 /nfs/dbraw/zinc/67/54/44/876675444.db2.gz MXNXOICKTDWHOA-BDAKNGLRSA-N -1 1 309.391 1.467 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2ccccc2[C@@H](C)C1 ZINC001352345261 876700809 /nfs/dbraw/zinc/70/08/09/876700809.db2.gz GGMDZHFXBRGWDK-NSHDSACASA-N -1 1 324.392 1.644 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2ccccc2[C@@H](C)C1 ZINC001352345261 876700819 /nfs/dbraw/zinc/70/08/19/876700819.db2.gz GGMDZHFXBRGWDK-NSHDSACASA-N -1 1 324.392 1.644 20 0 DDADMM O=S(=O)([O-])c1ccc(O)c(-c2nc(-c3ccncc3)no2)c1 ZINC001215454409 876714729 /nfs/dbraw/zinc/71/47/29/876714729.db2.gz YMSCFRYSOIUFGU-UHFFFAOYSA-N -1 1 319.298 1.751 20 0 DDADMM COc1ncc(Nc2cccc(O)c2)cc1[N-]S(C)(=O)=O ZINC001216153966 876879774 /nfs/dbraw/zinc/87/97/74/876879774.db2.gz NWDNRTZXAABBOG-UHFFFAOYSA-N -1 1 309.347 1.911 20 0 DDADMM CCc1nnc([C@@H](C)NC[C@@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001379347931 876882014 /nfs/dbraw/zinc/88/20/14/876882014.db2.gz ISBFAZBTGUCMFN-NXEZZACHSA-N -1 1 319.365 1.202 20 0 DDADMM C[C@@H]1C[C@@H](Oc2nc(=O)[nH]c(=O)[n-]2)CN1C(=O)OC(C)(C)C ZINC001227569236 883083752 /nfs/dbraw/zinc/08/37/52/883083752.db2.gz WCLBSFXLUSQGCD-HTQZYQBOSA-N -1 1 312.326 1.060 20 0 DDADMM CCO[C@@H](C)c1ncc(C(=O)[N-]c2nc3cnccn3n2)s1 ZINC001353532889 877404590 /nfs/dbraw/zinc/40/45/90/877404590.db2.gz FKSHBEYUTKZPSA-QMMMGPOBSA-N -1 1 318.362 1.931 20 0 DDADMM COC(=O)c1nc(-c2ccccc2)[n-]c(=O)c1O[C@H]1C[C@H](O)C1 ZINC001218365502 877464523 /nfs/dbraw/zinc/46/45/23/877464523.db2.gz BZUXVYVRBGSVBQ-XYPYZODXSA-N -1 1 316.313 1.538 20 0 DDADMM O=C(Nc1cc(=O)[n-]c(Cl)n1)[C@@H]1Cc2ccccc2CN1 ZINC001218646749 877636386 /nfs/dbraw/zinc/63/63/86/877636386.db2.gz BSQVTBOKKIZUGF-JTQLQIEISA-N -1 1 304.737 1.489 20 0 DDADMM C[C@@H](OC(C)(C)C)[C@@H](N)C(=O)Nc1cc(=O)[n-]c(Cl)n1 ZINC001218647205 877636878 /nfs/dbraw/zinc/63/68/78/877636878.db2.gz HVNYZTXWWRPKAQ-HZGVNTEJSA-N -1 1 302.762 1.305 20 0 DDADMM O=C([O-])/C=C\c1ccc(CN2CCC[C@@H](n3ccnn3)C2)o1 ZINC001353923640 877648023 /nfs/dbraw/zinc/64/80/23/877648023.db2.gz ZVHQGWRLLLJSGD-FOQNGQEVSA-N -1 1 302.334 1.806 20 0 DDADMM Cc1nc(CN(C)C[C@H](C)NC(=O)c2ncccc2[O-])cs1 ZINC001379656608 877668057 /nfs/dbraw/zinc/66/80/57/877668057.db2.gz JTEVRULFTXKBOP-JTQLQIEISA-N -1 1 320.418 1.802 20 0 DDADMM CC(C)=C(F)C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001354835910 878259598 /nfs/dbraw/zinc/25/95/98/878259598.db2.gz VFXTXJUMQKPGEQ-LLVKDONJSA-N -1 1 321.352 1.629 20 0 DDADMM CC1(C)CC[C@@H](C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001379900968 878268960 /nfs/dbraw/zinc/26/89/60/878268960.db2.gz HOQSSERUMVUQMZ-VXGBXAGGSA-N -1 1 321.425 1.321 20 0 DDADMM CCC(=O)N1CCC[C@H]1CCCNC(=O)c1ncccc1[O-] ZINC001355376101 878526195 /nfs/dbraw/zinc/52/61/95/878526195.db2.gz PEASHCKHQGZOCY-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@H]1CCc2ccccc2N1 ZINC001220471594 879043083 /nfs/dbraw/zinc/04/30/83/879043083.db2.gz SXVVBIDFTZBJAX-SNVBAGLBSA-N -1 1 310.317 1.424 20 0 DDADMM CCC(=O)N[C@H](C)[C@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001380369715 879480886 /nfs/dbraw/zinc/48/08/86/879480886.db2.gz XPTBZJIDWJVFPL-ZJUUUORDSA-N -1 1 322.409 1.647 20 0 DDADMM CCC1(C(=O)N[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])CCC1 ZINC001380433176 879644218 /nfs/dbraw/zinc/64/42/18/879644218.db2.gz IKNGFKCVCVTTAU-NWDGAFQWSA-N -1 1 319.405 1.991 20 0 DDADMM CC1(C)CC(C(=O)NC/C=C\CNC(=O)c2ncccc2[O-])C1 ZINC001357752920 880178209 /nfs/dbraw/zinc/17/82/09/880178209.db2.gz RQZOWFKWBFJWNR-ARJAWSKDSA-N -1 1 317.389 1.626 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CN(C(=O)c1cc([O-])cc(F)c1F)C2 ZINC001276827802 880285817 /nfs/dbraw/zinc/28/58/17/880285817.db2.gz PJQVIAMFAZBINH-SNVBAGLBSA-N -1 1 310.300 1.221 20 0 DDADMM CCCCCCC(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001358129485 880342818 /nfs/dbraw/zinc/34/28/18/880342818.db2.gz RKNZUOYCBXQFED-GFCCVEGCSA-N -1 1 307.394 1.992 20 0 DDADMM C[C@H](OCc1nnn[n-]1)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001223027207 880788244 /nfs/dbraw/zinc/78/82/44/880788244.db2.gz NMLVKEYDRUMHDM-GXTWGEPZSA-N -1 1 303.366 1.006 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(C1)CCC(OCc1nn[n-]n1)CC2 ZINC001223028745 880790730 /nfs/dbraw/zinc/79/07/30/880790730.db2.gz CSGMBSKERUXBKE-UHFFFAOYSA-N -1 1 323.397 1.896 20 0 DDADMM CC(C)(C)OC(=O)N1CCC2(CC(OCc3nn[n-]n3)C2)C1 ZINC001223030369 880791087 /nfs/dbraw/zinc/79/10/87/880791087.db2.gz WLMAGWWLNLWICB-UHFFFAOYSA-N -1 1 309.370 1.506 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc([O-])cn2)[C@@H](CC)CN1C(C)=O ZINC001362196134 883265886 /nfs/dbraw/zinc/26/58/86/883265886.db2.gz ARCOLNFPPGUYLS-STQMWFEESA-N -1 1 305.378 1.649 20 0 DDADMM COCc1nc2n(n1)C[C@H](NC(=O)c1cccc([O-])c1F)CC2 ZINC001362229565 883357620 /nfs/dbraw/zinc/35/76/20/883357620.db2.gz IOKMWUWZDBGLBL-SECBINFHSA-N -1 1 320.324 1.014 20 0 DDADMM CSc1ncc(C(=O)NC[C@@]2(C)CCO[C@@H]2C2CC2)c(=O)[n-]1 ZINC001362322706 883562981 /nfs/dbraw/zinc/56/29/81/883562981.db2.gz DPIOVEPIKZNYAS-IAQYHMDHSA-N -1 1 323.418 1.839 20 0 DDADMM S=c1nncc(OC2CCN(c3ccnc(Cl)n3)CC2)[n-]1 ZINC001228642306 883590141 /nfs/dbraw/zinc/59/01/41/883590141.db2.gz NOKKESZPMHTYKC-UHFFFAOYSA-N -1 1 324.797 1.652 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(=O)[nH]1 ZINC001362404618 883734093 /nfs/dbraw/zinc/73/40/93/883734093.db2.gz DIBXRCLUTVPVJZ-SNVBAGLBSA-N -1 1 316.365 1.444 20 0 DDADMM CSc1nc(CNC(=O)c2nccn2C(C)C)cc(=O)[n-]1 ZINC001362609796 884214930 /nfs/dbraw/zinc/21/49/30/884214930.db2.gz FPYXOAGNUYNKID-UHFFFAOYSA-N -1 1 307.379 1.612 20 0 DDADMM CNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1ncc(C)cc1[O-] ZINC001362652897 884328468 /nfs/dbraw/zinc/32/84/68/884328468.db2.gz GWJCISRGGNTFKD-CYBMUJFWSA-N -1 1 313.357 1.183 20 0 DDADMM Cc1cc(N2CCC(NC(=O)c3ccc(F)c([O-])c3)CC2)n[nH]1 ZINC001362680860 884399139 /nfs/dbraw/zinc/39/91/39/884399139.db2.gz VCMVQBIFOIVYTL-UHFFFAOYSA-N -1 1 318.352 1.962 20 0 DDADMM Cc1oc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1CO ZINC001362704920 884451553 /nfs/dbraw/zinc/45/15/53/884451553.db2.gz ZMQQCCNNHZCYKE-VIFPVBQESA-N -1 1 307.306 1.189 20 0 DDADMM CSc1ncc(C(=O)N2CCSC[C@@H]2C2CC2)c(=O)[n-]1 ZINC001362715029 884472659 /nfs/dbraw/zinc/47/26/59/884472659.db2.gz PXSZJPQIDCUFIP-SNVBAGLBSA-N -1 1 311.432 1.872 20 0 DDADMM CC(C)(O)C1CCC(C(=O)NCc2nc([O-])cc(=O)[nH]2)CC1 ZINC001362727659 884495779 /nfs/dbraw/zinc/49/57/79/884495779.db2.gz VXQFRJISHLLCNN-UHFFFAOYSA-N -1 1 309.366 1.081 20 0 DDADMM CC(C)CCC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001382701474 884501429 /nfs/dbraw/zinc/50/14/29/884501429.db2.gz GORJXGJJHHJVTI-OLZOCXBDSA-N -1 1 319.405 1.943 20 0 DDADMM CC(C)c1nnsc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001362794101 884656501 /nfs/dbraw/zinc/65/65/01/884656501.db2.gz DZJZKBXOSRWNFA-UHFFFAOYSA-N -1 1 307.383 1.194 20 0 DDADMM COC(=O)c1cnc([C@@H](C)NC(=O)c2cncc([O-])c2)s1 ZINC001362799671 884672653 /nfs/dbraw/zinc/67/26/53/884672653.db2.gz NFVSSSUWXGUOFS-SSDOTTSWSA-N -1 1 307.331 1.521 20 0 DDADMM CSc1ncc(C(=O)Nc2nnc(C)n2C2CC2)c(=O)[n-]1 ZINC001362803610 884682233 /nfs/dbraw/zinc/68/22/33/884682233.db2.gz IYOIMELKTBZDGP-UHFFFAOYSA-N -1 1 306.351 1.391 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1ccc2c(c1)COC2 ZINC001362832149 884748624 /nfs/dbraw/zinc/74/86/24/884748624.db2.gz QMQSBQSMBINDLE-UHFFFAOYSA-N -1 1 315.377 1.457 20 0 DDADMM O=C(Nc1ccc(F)c(N2CCOC2=O)c1)c1cnncc1[O-] ZINC001362832944 884751325 /nfs/dbraw/zinc/75/13/25/884751325.db2.gz GUGLDVJBTFXMOG-UHFFFAOYSA-N -1 1 318.264 1.530 20 0 DDADMM O=C(Nc1cccc([C@@H]2CCCC(=O)N2)c1)c1cnncc1[O-] ZINC001362922594 884994173 /nfs/dbraw/zinc/99/41/73/884994173.db2.gz PNQKLOHPQFGNNX-ZDUSSCGKSA-N -1 1 312.329 1.776 20 0 DDADMM Cn1nccc1[C@H]1COCCN1C(=O)c1cccc([O-])c1F ZINC001362985800 885151573 /nfs/dbraw/zinc/15/15/73/885151573.db2.gz QVBCBZSLNLCZQF-GFCCVEGCSA-N -1 1 305.309 1.479 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCOC2(CCOCC2)CC1 ZINC001362996703 885184293 /nfs/dbraw/zinc/18/42/93/885184293.db2.gz XMXUNINBEWQRSW-UHFFFAOYSA-N -1 1 309.337 1.943 20 0 DDADMM CCc1c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cnn1CC ZINC001363017683 885240194 /nfs/dbraw/zinc/24/01/94/885240194.db2.gz TVWSKNLZKGXBFO-SNVBAGLBSA-N -1 1 319.365 1.574 20 0 DDADMM COC(=O)c1cnc(CCNC(=O)c2ccc([O-])c(F)c2)s1 ZINC001363019655 885243922 /nfs/dbraw/zinc/24/39/22/885243922.db2.gz INVRNJIPYJHJIT-UHFFFAOYSA-N -1 1 324.333 1.747 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)[nH]n1 ZINC001363038094 885291784 /nfs/dbraw/zinc/29/17/84/885291784.db2.gz ISGGQJHPIJYJHI-NSHDSACASA-N -1 1 317.349 1.454 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ncccc2CC)n[n-]1 ZINC001363045616 885315958 /nfs/dbraw/zinc/31/59/58/885315958.db2.gz GULKYDZFLFFELZ-SECBINFHSA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ncccc2CC)[n-]1 ZINC001363045616 885315978 /nfs/dbraw/zinc/31/59/78/885315978.db2.gz GULKYDZFLFFELZ-SECBINFHSA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ncccc2CC)n1 ZINC001363045616 885315995 /nfs/dbraw/zinc/31/59/95/885315995.db2.gz GULKYDZFLFFELZ-SECBINFHSA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C(C)(C)CCC(C)=O)n[n-]1 ZINC001363050399 885334197 /nfs/dbraw/zinc/33/41/97/885334197.db2.gz QSVVNZLPFWVGOB-JTQLQIEISA-N -1 1 324.381 1.554 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C(C)(C)CCC(C)=O)[n-]1 ZINC001363050399 885334219 /nfs/dbraw/zinc/33/42/19/885334219.db2.gz QSVVNZLPFWVGOB-JTQLQIEISA-N -1 1 324.381 1.554 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C(C)(C)CCC(C)=O)n1 ZINC001363050399 885334242 /nfs/dbraw/zinc/33/42/42/885334242.db2.gz QSVVNZLPFWVGOB-JTQLQIEISA-N -1 1 324.381 1.554 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCCC(=O)C(C)(C)C)n[n-]1 ZINC001363119282 885509811 /nfs/dbraw/zinc/50/98/11/885509811.db2.gz QIIYFACSYBQLMP-UHFFFAOYSA-N -1 1 324.381 1.383 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCCC(=O)C(C)(C)C)n1 ZINC001363119282 885509824 /nfs/dbraw/zinc/50/98/24/885509824.db2.gz QIIYFACSYBQLMP-UHFFFAOYSA-N -1 1 324.381 1.383 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1CC(=O)N(c2ccccc2Cl)C1 ZINC001363129709 885538245 /nfs/dbraw/zinc/53/82/45/885538245.db2.gz RRVXZWAACULBPY-MRVPVSSYSA-N -1 1 320.736 1.760 20 0 DDADMM CCC(CC)(NC(=O)Cc1cc(C)ccc1OC)c1nn[n-]n1 ZINC001363133692 885549723 /nfs/dbraw/zinc/54/97/23/885549723.db2.gz BCYXROSTSRMFFQ-UHFFFAOYSA-N -1 1 317.393 1.891 20 0 DDADMM CCn1nc(C(=O)NC(CC)(CC)c2nn[n-]n2)cc1C1CC1 ZINC001363138399 885559686 /nfs/dbraw/zinc/55/96/86/885559686.db2.gz UAMQYEDNNYOYAQ-UHFFFAOYSA-N -1 1 317.397 1.739 20 0 DDADMM CNC(=O)N[C@H]1CCCN(Cc2ccc(OC)c(C(=O)[O-])c2)C1 ZINC001231755982 885858988 /nfs/dbraw/zinc/85/89/88/885858988.db2.gz HAVFCVIKGUGOSA-LBPRGKRZSA-N -1 1 321.377 1.287 20 0 DDADMM C[C@H]1[C@H](c2cccc(Cl)c2)OCCN1Cc1nc(=O)n(C)[n-]1 ZINC001363271756 885875039 /nfs/dbraw/zinc/87/50/39/885875039.db2.gz UDWJZWYSWQYUJW-IINYFYTJSA-N -1 1 322.796 1.724 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CC12CCOCC2 ZINC001363282376 885906495 /nfs/dbraw/zinc/90/64/95/885906495.db2.gz VFVWKOAYDJJTCA-LLVKDONJSA-N -1 1 305.378 1.913 20 0 DDADMM CC1N=NC(C(=O)Nc2cccc([C@]3(C)COC(=O)N3)c2)=C1[O-] ZINC001363342529 886075730 /nfs/dbraw/zinc/07/57/30/886075730.db2.gz SSGSBAHEPGFSOB-HNNXBMFYSA-N -1 1 316.317 1.631 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc(CO)c(F)c1 ZINC001363353399 886111607 /nfs/dbraw/zinc/11/16/07/886111607.db2.gz FLQSQDNQJSICFQ-UHFFFAOYSA-N -1 1 307.329 1.130 20 0 DDADMM COC[C@@H](NC(=O)[C@H]1Cc2ccc(C)cc2S1)c1nn[n-]n1 ZINC001363416629 886276702 /nfs/dbraw/zinc/27/67/02/886276702.db2.gz PXIMUTOSIUWSLD-ZYHUDNBSSA-N -1 1 319.390 1.029 20 0 DDADMM COC[C@H](C)CC(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001383701653 886357032 /nfs/dbraw/zinc/35/70/32/886357032.db2.gz MBMQEFFXUQYVQT-NEPJUHHUSA-N -1 1 323.393 1.037 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2cc(C)c(C(=O)OC)s2)on1 ZINC001363495501 886487178 /nfs/dbraw/zinc/48/71/78/886487178.db2.gz KQZVUHLVXJLDHJ-UHFFFAOYSA-N -1 1 324.314 1.870 20 0 DDADMM COC(=O)[C@@H](Cc1ccc(F)cc1)NC(=O)c1cncc([O-])c1 ZINC001363502310 886500270 /nfs/dbraw/zinc/50/02/70/886500270.db2.gz FIJVVCWKTOLIFP-CQSZACIVSA-N -1 1 318.304 1.440 20 0 DDADMM COC(=O)[C@@H]1[C@H](CNC(=O)c2cccc([O-])c2F)C1(F)F ZINC001363534922 886574744 /nfs/dbraw/zinc/57/47/44/886574744.db2.gz JWDMALOBEQAONX-CBAPKCEASA-N -1 1 303.236 1.315 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc2c(c1)OCCO2 ZINC001363549680 886613100 /nfs/dbraw/zinc/61/31/00/886613100.db2.gz UOXBMAVZXRJPMJ-UHFFFAOYSA-N -1 1 317.349 1.269 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)C1CCOCC1 ZINC001363558140 886632107 /nfs/dbraw/zinc/63/21/07/886632107.db2.gz KKMHOKNNIYQJRZ-LLVKDONJSA-N -1 1 322.365 1.072 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)C1CCOCC1 ZINC001363558140 886632121 /nfs/dbraw/zinc/63/21/21/886632121.db2.gz KKMHOKNNIYQJRZ-LLVKDONJSA-N -1 1 322.365 1.072 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)C1CCOCC1 ZINC001363558140 886632131 /nfs/dbraw/zinc/63/21/31/886632131.db2.gz KKMHOKNNIYQJRZ-LLVKDONJSA-N -1 1 322.365 1.072 20 0 DDADMM CCOC(=O)[C@@H]1C(=O)CCCN1Cc1ccc(F)c([O-])c1F ZINC001232935253 886683413 /nfs/dbraw/zinc/68/34/13/886683413.db2.gz OTPOSGZNFVAUSK-ZDUSSCGKSA-N -1 1 313.300 1.767 20 0 DDADMM CSc1nc(CNC(=O)c2n[nH]c3c2CCCC3)cc(=O)[n-]1 ZINC001363635094 886830112 /nfs/dbraw/zinc/83/01/12/886830112.db2.gz TWBQKYVJUCIFHL-UHFFFAOYSA-N -1 1 319.390 1.436 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1ccc(CO)nc1 ZINC001363647178 886873741 /nfs/dbraw/zinc/87/37/41/886873741.db2.gz GJKZHPCBOOJSJP-UHFFFAOYSA-N -1 1 308.284 1.530 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)[C@@H](O)c2cccnc2)n[nH]1 ZINC001363670135 886935657 /nfs/dbraw/zinc/93/56/57/886935657.db2.gz BZIIYUXHGGXFBY-LBPRGKRZSA-N -1 1 304.306 1.042 20 0 DDADMM O=C(NCC1N=NC(=O)N1C1CC1)c1ccc(Cl)cc1[O-] ZINC001363757255 887153264 /nfs/dbraw/zinc/15/32/64/887153264.db2.gz ZHFZCFKZOXBBRQ-UHFFFAOYSA-N -1 1 308.725 1.608 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2C[C@@H](C)C[C@H](C)C2)[n-]n1 ZINC001363766962 887171790 /nfs/dbraw/zinc/17/17/90/887171790.db2.gz IKNNGMDTANININ-IUCAKERBSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2C[C@@H](C)C[C@H](C)C2)n[n-]1 ZINC001363766962 887171798 /nfs/dbraw/zinc/17/17/98/887171798.db2.gz IKNNGMDTANININ-IUCAKERBSA-N -1 1 315.395 1.299 20 0 DDADMM CON1CCC([N@@H+](C)Cc2nc(=O)c3sccc3[n-]2)CC1 ZINC001363789254 887220704 /nfs/dbraw/zinc/22/07/04/887220704.db2.gz MFNPWRHBWOBPQA-UHFFFAOYSA-N -1 1 308.407 1.855 20 0 DDADMM CON1CCC(N(C)Cc2nc(=O)c3sccc3[n-]2)CC1 ZINC001363789254 887220717 /nfs/dbraw/zinc/22/07/17/887220717.db2.gz MFNPWRHBWOBPQA-UHFFFAOYSA-N -1 1 308.407 1.855 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H](C)COCc1ccccc1 ZINC001233759907 887289128 /nfs/dbraw/zinc/28/91/28/887289128.db2.gz RSDKSTJMNOHAJD-NSHDSACASA-N -1 1 318.329 1.953 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1CC(C)C1)c1ccsc1 ZINC001363857158 887408271 /nfs/dbraw/zinc/40/82/71/887408271.db2.gz GLJZYVLTJSZYGZ-CBINBANVSA-N -1 1 317.432 1.928 20 0 DDADMM Cc1n[nH]c(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)c1C ZINC001363875495 887446753 /nfs/dbraw/zinc/44/67/53/887446753.db2.gz HBLLBBOYJYPLMM-UHFFFAOYSA-N -1 1 303.366 1.884 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2cc(OC)ccn2)sn1 ZINC001364008458 887712688 /nfs/dbraw/zinc/71/26/88/887712688.db2.gz PUXZEUQTRNXAMZ-UHFFFAOYSA-N -1 1 315.376 1.034 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)c1c(C)n[nH]c1C ZINC001364007259 887713232 /nfs/dbraw/zinc/71/32/32/887713232.db2.gz WVLYQVBWHNVTMC-MRVPVSSYSA-N -1 1 316.408 1.531 20 0 DDADMM COC[C@@H](NC(=O)Cc1cccc(C)c1Cl)c1nn[n-]n1 ZINC001364030873 887758407 /nfs/dbraw/zinc/75/84/07/887758407.db2.gz LXTFKYVGQNYNFB-SNVBAGLBSA-N -1 1 309.757 1.208 20 0 DDADMM C[C@@H](O)C(C)(C)C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC001364058462 887818846 /nfs/dbraw/zinc/81/88/46/887818846.db2.gz UUXDWZCKMWQMSY-DYBLOJMWSA-N -1 1 314.473 1.124 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H](c1cccc(F)c1)N1CCOCC1 ZINC001364091698 887896905 /nfs/dbraw/zinc/89/69/05/887896905.db2.gz JNONFRCAUMODQD-ZDUSSCGKSA-N -1 1 320.324 1.266 20 0 DDADMM CSCC[C@H](NC(=O)Nc1ncccc1C)c1nn[n-]n1 ZINC001364106121 887933819 /nfs/dbraw/zinc/93/38/19/887933819.db2.gz DVIBCOFPXSWNLL-VIFPVBQESA-N -1 1 307.383 1.519 20 0 DDADMM COC(=O)c1nc(-c2ccccc2)[n-]c(=O)c1OC1COC1 ZINC001234556837 888089156 /nfs/dbraw/zinc/08/91/56/888089156.db2.gz GOWZIHVOCXSMEF-UHFFFAOYSA-N -1 1 302.286 1.413 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@@H](C)c2ccncc2)c(=O)[n-]1 ZINC001234562799 888099721 /nfs/dbraw/zinc/09/97/21/888099721.db2.gz RQUYCSHPJZKGIE-VIFPVBQESA-N -1 1 302.334 1.940 20 0 DDADMM CCOC(=O)[C@H](CC)Oc1[n-]c(=O)c(F)cc1C(=O)OC ZINC001234607015 888136856 /nfs/dbraw/zinc/13/68/56/888136856.db2.gz VJAGCHPVBJGZCK-VIFPVBQESA-N -1 1 301.270 1.433 20 0 DDADMM O=C(CNC(=O)c1cnncc1[O-])c1cccc(F)c1Cl ZINC001364384838 888510012 /nfs/dbraw/zinc/51/00/12/888510012.db2.gz MIEWNMITRDJISJ-UHFFFAOYSA-N -1 1 309.684 1.587 20 0 DDADMM CSc1ncc(C(=O)N2C[C@H]3CSC[C@@]3(C)C2)c(=O)[n-]1 ZINC001364419077 888595711 /nfs/dbraw/zinc/59/57/11/888595711.db2.gz ZHLZAYFXJJAVJN-ISVAXAHUSA-N -1 1 311.432 1.729 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)COc1ccccc1F ZINC001364645492 889110375 /nfs/dbraw/zinc/11/03/75/889110375.db2.gz YLRAIZHMWWQYMR-UHFFFAOYSA-N -1 1 307.329 1.402 20 0 DDADMM CCOC(=O)[C@@H](c1cccnc1)N(C)C(=O)c1ncccc1[O-] ZINC001364796714 889435026 /nfs/dbraw/zinc/43/50/26/889435026.db2.gz SPTMEBZEPLRZKY-CQSZACIVSA-N -1 1 315.329 1.559 20 0 DDADMM O=S(=O)([N-]Cc1ncc(C(F)(F)F)cn1)c1ccns1 ZINC001364850802 889547049 /nfs/dbraw/zinc/54/70/49/889547049.db2.gz YOMGICSLENGPFV-UHFFFAOYSA-N -1 1 324.309 1.430 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2C[C@H](OC)C23CCC3)sn1 ZINC001364859211 889562187 /nfs/dbraw/zinc/56/21/87/889562187.db2.gz PDVLOUXRDZFSHZ-IUCAKERBSA-N -1 1 318.420 1.388 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1ccc(Cl)cc1)c1ccns1 ZINC001364897279 889628524 /nfs/dbraw/zinc/62/85/24/889628524.db2.gz KCLKXCNQQQDVRF-JTQLQIEISA-N -1 1 318.807 1.808 20 0 DDADMM CC[C@H](C)CN(CC)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364918961 889664973 /nfs/dbraw/zinc/66/49/73/889664973.db2.gz UTFZIHJTDPKECD-VIFPVBQESA-N -1 1 303.384 1.253 20 0 DDADMM CC[C@H](C)CN(CC)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364918961 889664974 /nfs/dbraw/zinc/66/49/74/889664974.db2.gz UTFZIHJTDPKECD-VIFPVBQESA-N -1 1 303.384 1.253 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CCCC2(CC2)C1 ZINC001364988590 889827473 /nfs/dbraw/zinc/82/74/73/889827473.db2.gz QJQKNRSYMDHIPD-LLVKDONJSA-N -1 1 303.362 1.356 20 0 DDADMM CO[C@@H]1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@@H]1C ZINC001365052409 889989547 /nfs/dbraw/zinc/98/95/47/889989547.db2.gz ZUWAUZSDDSCEMF-GXFFZTMASA-N -1 1 303.366 1.231 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1CCN(c2ncncn2)CC1 ZINC001238145517 890070554 /nfs/dbraw/zinc/07/05/54/890070554.db2.gz GMXJDWLMBFFHFO-UHFFFAOYSA-N -1 1 313.361 1.200 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1c(F)cccc1F)c1ccns1 ZINC001365127869 890159345 /nfs/dbraw/zinc/15/93/45/890159345.db2.gz CNKCKMRELFJFME-SECBINFHSA-N -1 1 320.342 1.433 20 0 DDADMM [O-]c1ccc(Br)c(CN2CCO[C@@H](CO)C2)c1F ZINC001238305417 890164144 /nfs/dbraw/zinc/16/41/44/890164144.db2.gz NKOFVGCYGROIAY-MRVPVSSYSA-N -1 1 320.158 1.487 20 0 DDADMM Cc1ccc(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)nc1 ZINC001365166155 890238518 /nfs/dbraw/zinc/23/85/18/890238518.db2.gz KZQBCYGWBOPSRO-UHFFFAOYSA-N -1 1 315.333 1.280 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2c(C)cnn2C)[n-]c1=O ZINC001365238381 890415168 /nfs/dbraw/zinc/41/51/68/890415168.db2.gz NOOIPDVBLYHXSN-UHFFFAOYSA-N -1 1 320.353 1.290 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(OC)ns1)[C@H]1CCCO1 ZINC001365390970 890717395 /nfs/dbraw/zinc/71/73/95/890717395.db2.gz VJJARMIXHHMUTP-DTWKUNHWSA-N -1 1 306.409 1.388 20 0 DDADMM CCC(C)(C)CC[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001365451460 890819467 /nfs/dbraw/zinc/81/94/67/890819467.db2.gz ODZXNRDSXNYXFT-UHFFFAOYSA-N -1 1 303.384 1.301 20 0 DDADMM COc1ccc(NC(=O)N[C@@H](CCSC)c2nn[n-]n2)cn1 ZINC001365481099 890882967 /nfs/dbraw/zinc/88/29/67/890882967.db2.gz UXYGYKSFAWFUJU-VIFPVBQESA-N -1 1 323.382 1.219 20 0 DDADMM CS(=O)(=O)c1ccc(-c2ccc(-c3nnn[n-]3)nc2)c(F)c1 ZINC001242190078 891370193 /nfs/dbraw/zinc/37/01/93/891370193.db2.gz WJEWEZDAVMVYDC-UHFFFAOYSA-N -1 1 319.321 1.471 20 0 DDADMM CS(=O)(=O)c1ccc(-c2ccc(-c3nn[n-]n3)nc2)c(F)c1 ZINC001242190078 891370204 /nfs/dbraw/zinc/37/02/04/891370204.db2.gz WJEWEZDAVMVYDC-UHFFFAOYSA-N -1 1 319.321 1.471 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](S(=O)(=O)[N-][C@@H]2CCCC2(F)F)C1 ZINC001365711499 891379458 /nfs/dbraw/zinc/37/94/58/891379458.db2.gz SZKBPWKVWAPELB-KXUCPTDWSA-N -1 1 311.350 1.435 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1cccnc1)c1cccc(F)c1F ZINC001365720824 891394730 /nfs/dbraw/zinc/39/47/30/891394730.db2.gz FAVJNLMIDNNXME-NSHDSACASA-N -1 1 314.313 1.372 20 0 DDADMM COCc1nccnc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244790563 891925331 /nfs/dbraw/zinc/92/53/31/891925331.db2.gz NOILYQICHGLWSA-UHFFFAOYSA-N -1 1 324.362 1.065 20 0 DDADMM COc1ncc(-c2cc(C)c(C)nn2)cc1[N-]S(C)(=O)=O ZINC001244792224 891928526 /nfs/dbraw/zinc/92/85/26/891928526.db2.gz HYVLYNKJXQGKBQ-UHFFFAOYSA-N -1 1 308.363 1.536 20 0 DDADMM C[C@H]1[C@@H](CO)CCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001366262630 892931331 /nfs/dbraw/zinc/93/13/31/892931331.db2.gz JRAXZQRRIKIPJM-NWDGAFQWSA-N -1 1 316.361 1.813 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2ccc3c(c2)NC(=O)C3)ccc1O ZINC001250317844 894233280 /nfs/dbraw/zinc/23/32/80/894233280.db2.gz DDIYONXHOPORCT-UHFFFAOYSA-N -1 1 319.342 1.270 20 0 DDADMM CCOC(=O)c1cc([N-]S(=O)(=O)c2ccc(C)cc2)ccn1 ZINC001252102140 895021073 /nfs/dbraw/zinc/02/10/73/895021073.db2.gz HSWLMFPORBWBDM-UHFFFAOYSA-N -1 1 320.370 1.789 20 0 DDADMM Cc1cc([N-]S(=O)(=O)C(F)(F)F)n(-c2ccncc2)n1 ZINC001252293329 895067638 /nfs/dbraw/zinc/06/76/38/895067638.db2.gz SFMLTSRNUIVPFR-UHFFFAOYSA-N -1 1 306.269 1.837 20 0 DDADMM CO[C@@H](C)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])CC(C)C ZINC001388521182 895381196 /nfs/dbraw/zinc/38/11/96/895381196.db2.gz PFAJMRSBHLZYKQ-RYUDHWBXSA-N -1 1 323.393 1.083 20 0 DDADMM COCCn1cnc([N-]S(=O)(=O)/C=C/c2ccccc2)c1 ZINC001252968826 895590260 /nfs/dbraw/zinc/59/02/60/895590260.db2.gz NEERJUVFEAOOQM-JXMROGBWSA-N -1 1 307.375 1.942 20 0 DDADMM Nc1cn[n-]c(=NNC(=S)NC(C2CC2)C2CC2)c1Cl ZINC001253071521 895627703 /nfs/dbraw/zinc/62/77/03/895627703.db2.gz PEBSYMVKQGOFFR-UHFFFAOYSA-N -1 1 312.830 1.692 20 0 DDADMM O=S(=O)(CC(F)(F)F)[N-]c1ccnn1Cc1ccccn1 ZINC001253124559 895649085 /nfs/dbraw/zinc/64/90/85/895649085.db2.gz ZWKMSADAYYDDKJ-UHFFFAOYSA-N -1 1 320.296 1.630 20 0 DDADMM CCOC(=O)c1cnn(-c2ccccn2)c1[N-]S(=O)(=O)CC ZINC001253277616 895767512 /nfs/dbraw/zinc/76/75/12/895767512.db2.gz MRWMOZRDZILHLM-UHFFFAOYSA-N -1 1 324.362 1.206 20 0 DDADMM CCCCS(=O)(=O)[N-][C@@H](C(=O)OCC)c1ccccn1 ZINC001253910761 896154931 /nfs/dbraw/zinc/15/49/31/896154931.db2.gz MWNFUYPSTMOOAN-GFCCVEGCSA-N -1 1 300.380 1.405 20 0 DDADMM C[C@H](Cc1ccccc1)N(C)Cc1cn(CCC(=O)[O-])nn1 ZINC001254093453 896255312 /nfs/dbraw/zinc/25/53/12/896255312.db2.gz BHNJNXAGFZKQME-CYBMUJFWSA-N -1 1 302.378 1.816 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCC1(F)F ZINC001389400196 897126532 /nfs/dbraw/zinc/12/65/32/897126532.db2.gz YJQLVWKSQIIZLM-IUCAKERBSA-N -1 1 313.304 1.067 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(C2CC2)cc1 ZINC001367714734 897554356 /nfs/dbraw/zinc/55/43/56/897554356.db2.gz GONBBMZESSHMLY-SNVBAGLBSA-N -1 1 315.377 1.296 20 0 DDADMM CCCCCOC(=O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258950941 898378662 /nfs/dbraw/zinc/37/86/62/898378662.db2.gz GFZAZIYTKCMVGJ-UHFFFAOYSA-N -1 1 321.345 1.977 20 0 DDADMM COCCOc1cnc([N-]S(=O)(=O)C2CCCC2)cn1 ZINC001259793838 898805916 /nfs/dbraw/zinc/80/59/16/898805916.db2.gz IANADICKSWPRGC-UHFFFAOYSA-N -1 1 301.368 1.186 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@H](c2ccccn2)C(F)(F)F)cn1 ZINC001259828870 898839182 /nfs/dbraw/zinc/83/91/82/898839182.db2.gz ZNQLCMPVNHAHMM-SNVBAGLBSA-N -1 1 320.296 1.397 20 0 DDADMM O=S(=O)(CCC(F)(F)F)[N-]CC1Oc2ccccc2O1 ZINC001259875999 898887378 /nfs/dbraw/zinc/88/73/78/898887378.db2.gz NJLLDDUULSVWLX-UHFFFAOYSA-N -1 1 311.281 1.656 20 0 DDADMM CCC1([N-]S(=O)(=O)C(F)F)CN(C(=O)OC(C)(C)C)C1 ZINC001259962346 898983962 /nfs/dbraw/zinc/98/39/62/898983962.db2.gz GYGPMPQXMLDESQ-UHFFFAOYSA-N -1 1 314.354 1.528 20 0 DDADMM C[C@@H](C[NH+](C)C)[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260205205 899088107 /nfs/dbraw/zinc/08/81/07/899088107.db2.gz AAHOGADTYICKOZ-ZETCQYMHSA-N -1 1 312.769 1.847 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cccc(-c2cnco2)c1 ZINC001260590496 899178434 /nfs/dbraw/zinc/17/84/34/899178434.db2.gz GIDXGZKLUDIQQI-UHFFFAOYSA-N -1 1 316.360 1.085 20 0 DDADMM CCOC(=O)Cc1ncc([N-]S(=O)(=O)[C@@H](C)CC)cn1 ZINC001260726377 899230805 /nfs/dbraw/zinc/23/08/05/899230805.db2.gz AFSZVRCJBYLKJL-VIFPVBQESA-N -1 1 301.368 1.122 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)NCC1CCC1 ZINC001262226631 900031109 /nfs/dbraw/zinc/03/11/09/900031109.db2.gz HNVLMLSUXWGHQA-UHFFFAOYSA-N -1 1 312.316 1.245 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)CCc1ccccn1 ZINC001263721393 900682840 /nfs/dbraw/zinc/68/28/40/900682840.db2.gz QFOXQLXKOGIZER-UHFFFAOYSA-N -1 1 304.375 1.489 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](C1CCC1)[C@@H]1CCOC1 ZINC001263872904 900788825 /nfs/dbraw/zinc/78/88/25/900788825.db2.gz AZDVDXMYPJKBNE-PWSUYJOCSA-N -1 1 323.418 1.714 20 0 DDADMM CC(=Cc1cccnc1)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001263911431 900813915 /nfs/dbraw/zinc/81/39/15/900813915.db2.gz IWDQYRIFSWZFLU-BCNIOPEESA-N -1 1 312.377 1.479 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2ccc(F)nc2)[n-]c1=O ZINC001263944406 900829454 /nfs/dbraw/zinc/82/94/54/900829454.db2.gz BUQDCSGTPKESFJ-UHFFFAOYSA-N -1 1 306.297 1.390 20 0 DDADMM CCC(C)(C)C(=O)NCCC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001266231131 903158248 /nfs/dbraw/zinc/15/82/48/903158248.db2.gz BHTFUTCEUDZVMH-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM CCC1(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001280163689 903532886 /nfs/dbraw/zinc/53/28/86/903532886.db2.gz JUTBAISBJWGINP-LBPRGKRZSA-N -1 1 321.425 1.419 20 0 DDADMM CSCC(=O)NCCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001280813723 904074896 /nfs/dbraw/zinc/07/48/96/904074896.db2.gz WVGBDTYQPNHCRZ-SNVBAGLBSA-N -1 1 311.407 1.165 20 0 DDADMM CC(C)[C@H](F)C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001371672542 905467418 /nfs/dbraw/zinc/46/74/18/905467418.db2.gz UGLPQHDZJBUHDJ-LOWVWBTDSA-N -1 1 323.368 1.406 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001372381712 907171901 /nfs/dbraw/zinc/17/19/01/907171901.db2.gz PZMFMUADHOPLIU-RTXFEEFZSA-N -1 1 317.389 1.458 20 0 DDADMM CC(C)(C)CCC(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001372542981 907586768 /nfs/dbraw/zinc/58/67/68/907586768.db2.gz BWUZFEFWALWEHX-HAQNSBGRSA-N -1 1 319.405 1.991 20 0 DDADMM CC[C@H](C)[C@H](C)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001393980084 908446242 /nfs/dbraw/zinc/44/62/42/908446242.db2.gz MEDQKNOKFYHKPM-RYUDHWBXSA-N -1 1 319.405 1.752 20 0 DDADMM CCC1(C(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001373227325 909245965 /nfs/dbraw/zinc/24/59/65/909245965.db2.gz VDIAAQAAJGDQOE-LLVKDONJSA-N -1 1 307.398 1.029 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)CCC2(C)CC2)C1 ZINC001373306288 909470231 /nfs/dbraw/zinc/47/02/31/909470231.db2.gz YXIXTYRONYLZIC-LBPRGKRZSA-N -1 1 321.425 1.371 20 0 DDADMM CN(C(=O)CCC1CC1)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001394413683 909565833 /nfs/dbraw/zinc/56/58/33/909565833.db2.gz DYOUFSFAHMJRIP-GFCCVEGCSA-N -1 1 307.398 1.027 20 0 DDADMM O=C(Cc1ccoc1)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001285528937 910740515 /nfs/dbraw/zinc/74/05/15/910740515.db2.gz BNTCREIRNBVKFK-OWOJBTEDSA-N -1 1 315.329 1.025 20 0 DDADMM CC[C@H](C)OCC(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001285532721 910749451 /nfs/dbraw/zinc/74/94/51/910749451.db2.gz YUEQPLNVJQOKBN-RXNFCKPNSA-N -1 1 321.377 1.005 20 0 DDADMM CC[C@@H](C)CC(=O)NCC1(NC(=O)c2cnn[nH]2)CCCCC1 ZINC001395227305 911595244 /nfs/dbraw/zinc/59/52/44/911595244.db2.gz KYLGHMVIYCLTKQ-GFCCVEGCSA-N -1 1 321.425 1.790 20 0 DDADMM CSCCC(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001374008822 911624982 /nfs/dbraw/zinc/62/49/82/911624982.db2.gz ZIDNLWYUPZDZGY-LLVKDONJSA-N -1 1 323.418 1.261 20 0 DDADMM COCCOc1cccc(CNCc2cnn(C)c2C(=O)[O-])c1 ZINC001333201026 912116832 /nfs/dbraw/zinc/11/68/32/912116832.db2.gz BFPRJPKSDAYEBU-UHFFFAOYSA-N -1 1 319.361 1.433 20 0 DDADMM C[C@H](CCCNC(=O)c1ncccc1[O-])NC(=O)C(C)(C)C ZINC001287325056 912187539 /nfs/dbraw/zinc/18/75/39/912187539.db2.gz WINFVFILOAINCY-LLVKDONJSA-N -1 1 307.394 1.848 20 0 DDADMM CC[C@@H](C)C(=O)NC[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001376960764 919908786 /nfs/dbraw/zinc/90/87/86/919908786.db2.gz JPDBMTANLHEJQT-NXEZZACHSA-N -1 1 320.393 1.340 20 0 DDADMM CCC[C@@H](CC)C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001377851159 923231478 /nfs/dbraw/zinc/23/14/78/923231478.db2.gz CFEVZVDOXMJVEX-OLZOCXBDSA-N -1 1 323.441 1.015 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCCC3(C)C)nc2n1 ZINC000622869654 365548971 /nfs/dbraw/zinc/54/89/71/365548971.db2.gz AWSJMOYWICSYFS-SNVBAGLBSA-N -1 1 303.366 1.881 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCC3(C)C)nc2n1 ZINC000622993319 365585193 /nfs/dbraw/zinc/58/51/93/365585193.db2.gz JBEGURHRVPOPSM-JTQLQIEISA-N -1 1 303.366 1.745 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)c3cccs3)nc2n1 ZINC000622994110 365587524 /nfs/dbraw/zinc/58/75/24/365587524.db2.gz DTXXPMCDCSJPLI-QMMMGPOBSA-N -1 1 317.374 1.784 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3Cc4ccccc43)nc2n1 ZINC000622996739 365590068 /nfs/dbraw/zinc/59/00/68/365590068.db2.gz YVRZKYMWLYGUIJ-ZDUSSCGKSA-N -1 1 323.356 1.649 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)[C@H](C)COC)nc2n1 ZINC000622997607 365591178 /nfs/dbraw/zinc/59/11/78/365591178.db2.gz DUDKOUUZSODKPG-ZJUUUORDSA-N -1 1 321.381 1.227 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H](C)C[C@@H](C)O)cnc2n1 ZINC000081796529 192325666 /nfs/dbraw/zinc/32/56/66/192325666.db2.gz BATNNEBLLCALGS-MWLCHTKSSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@H](C)C[C@@H](C)O)c2=O ZINC000081796529 192325669 /nfs/dbraw/zinc/32/56/69/192325669.db2.gz BATNNEBLLCALGS-MWLCHTKSSA-N -1 1 303.362 1.781 20 0 DDADMM O=C(NC[C@H](CO)[C@H]1CCOC1)c1ncc2ccccc2c1[O-] ZINC000614340014 361810517 /nfs/dbraw/zinc/81/05/17/361810517.db2.gz GYFDTZOZUHNDMW-QWHCGFSZSA-N -1 1 316.357 1.315 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ncccc1F ZINC000614387755 361832439 /nfs/dbraw/zinc/83/24/39/361832439.db2.gz CXPNDWNYLAZWLI-VHSXEESVSA-N -1 1 320.324 1.819 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2ccccc2Cl)s1 ZINC000082353058 185177027 /nfs/dbraw/zinc/17/70/27/185177027.db2.gz QGLWMYNQEMIARE-UHFFFAOYSA-N -1 1 303.796 1.978 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)c3cccnc3N3CCCC3)ccnc1-2 ZINC000279262639 214746672 /nfs/dbraw/zinc/74/66/72/214746672.db2.gz UECVRTDCZDFHIQ-STZFKDTASA-N -1 1 322.372 1.589 20 0 DDADMM COCC(COC)[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC000451889938 231225440 /nfs/dbraw/zinc/22/54/40/231225440.db2.gz NXWIVFFXOBQUNS-UHFFFAOYSA-N -1 1 309.334 1.046 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)Cc1c(F)cccc1F ZINC000087388455 185223487 /nfs/dbraw/zinc/22/34/87/185223487.db2.gz ANEFHDLXGQZVCW-UHFFFAOYSA-N -1 1 312.345 1.886 20 0 DDADMM CC[C@@]1(CO)CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000295873743 529549167 /nfs/dbraw/zinc/54/91/67/529549167.db2.gz ZGHSIFDIKQPTIP-OAHLLOKOSA-N -1 1 320.418 1.643 20 0 DDADMM CCOC(=O)Cc1csc([N-]C(=O)c2cc(OC)no2)n1 ZINC000090706846 185310966 /nfs/dbraw/zinc/31/09/66/185310966.db2.gz JKBKRFGWPLVIER-UHFFFAOYSA-N -1 1 311.319 1.498 20 0 DDADMM CS(=O)(=O)C1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000313099209 539294200 /nfs/dbraw/zinc/29/42/00/539294200.db2.gz YNTCWMYXFDVDPQ-UHFFFAOYSA-N -1 1 317.794 1.695 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N(Cc3cccnc3)C3CC3)ccnc1-2 ZINC000279294554 214771585 /nfs/dbraw/zinc/77/15/85/214771585.db2.gz LCPSTQYMUPPKRE-QNGOZBTKSA-N -1 1 322.372 1.933 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1OCCO[C@@H]1c1ccccc1 ZINC000615229941 362204850 /nfs/dbraw/zinc/20/48/50/362204850.db2.gz YJOMEDZKQAHVFB-CHWSQXEVSA-N -1 1 303.318 1.519 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@H]2[C@H]2CCC[N@H+]2C)c([O-])c1 ZINC000615271299 362225983 /nfs/dbraw/zinc/22/59/83/362225983.db2.gz VVUWQXVEZZPYHA-OLZOCXBDSA-N -1 1 305.378 1.031 20 0 DDADMM COc1cc(C=CC(=O)Nc2nnn[n-]2)ccc1OCC(C)C ZINC000255590855 282267409 /nfs/dbraw/zinc/26/74/09/282267409.db2.gz SCJAOETZFSPZNU-ALCCZGGFSA-N -1 1 317.349 1.895 20 0 DDADMM COc1cc(C=CC(=O)Nc2nn[n-]n2)ccc1OCC(C)C ZINC000255590855 282267415 /nfs/dbraw/zinc/26/74/15/282267415.db2.gz SCJAOETZFSPZNU-ALCCZGGFSA-N -1 1 317.349 1.895 20 0 DDADMM CCc1cc(N2CCC[C@H](c3n[n-]c(=O)o3)C2)n2ncnc2n1 ZINC000339976972 539332557 /nfs/dbraw/zinc/33/25/57/539332557.db2.gz OOYFQZVBIYZYAT-VIFPVBQESA-N -1 1 315.337 1.159 20 0 DDADMM Cc1ccc(CC(=O)N2CCN(CCCCC(=O)[O-])CC2)cc1 ZINC000181553148 199316440 /nfs/dbraw/zinc/31/64/40/199316440.db2.gz SEFHAUVAZDZOAP-UHFFFAOYSA-N -1 1 318.417 1.937 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccn(C(C)C)c1C ZINC000451426094 529931002 /nfs/dbraw/zinc/93/10/02/529931002.db2.gz ICVVQIGSRFHOCL-UHFFFAOYSA-N -1 1 303.366 1.443 20 0 DDADMM CCCCS[C@H](C)C(=O)NCc1n[n-]c(C(=O)OCC)n1 ZINC000615916530 362484785 /nfs/dbraw/zinc/48/47/85/362484785.db2.gz BLYJXVCKTYYUEL-SECBINFHSA-N -1 1 314.411 1.519 20 0 DDADMM CCCCS[C@H](C)C(=O)NCc1nc(C(=O)OCC)n[n-]1 ZINC000615916530 362484787 /nfs/dbraw/zinc/48/47/87/362484787.db2.gz BLYJXVCKTYYUEL-SECBINFHSA-N -1 1 314.411 1.519 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2ccccc2OC)co1 ZINC000158064889 290737402 /nfs/dbraw/zinc/73/74/02/290737402.db2.gz REGFLNBYJIWIEI-UHFFFAOYSA-N -1 1 324.358 1.126 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cccnc2N(C)C)c1Br ZINC000616010509 362518979 /nfs/dbraw/zinc/51/89/79/362518979.db2.gz NZSNDNMZVDQDQI-UHFFFAOYSA-N -1 1 324.182 1.616 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C[C@@H](C)O)C1CCCCC1 ZINC000330893361 232141718 /nfs/dbraw/zinc/14/17/18/232141718.db2.gz QTBHMNXCERBRAS-SECBINFHSA-N -1 1 317.411 1.100 20 0 DDADMM CN1C(=O)CC[C@H]1C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000361892492 539498307 /nfs/dbraw/zinc/49/83/07/539498307.db2.gz LDBVJFBSPYHFLY-NSHDSACASA-N -1 1 320.299 1.336 20 0 DDADMM O=C([O-])CCC(=O)NC[C@@H]1CCC[N@@H+](Cc2ccccc2)C1 ZINC000390767215 539511137 /nfs/dbraw/zinc/51/11/37/539511137.db2.gz GBBRBPRTBSJXFU-HNNXBMFYSA-N -1 1 304.390 1.880 20 0 DDADMM COCCCNC(=O)Cc1noc(-c2ccc(OC)cc2[O-])n1 ZINC000277790424 213769987 /nfs/dbraw/zinc/76/99/87/213769987.db2.gz DYTDOVCIRHKAMQ-UHFFFAOYSA-N -1 1 321.333 1.146 20 0 DDADMM COC[C@H]1C[C@@H](O)CN1C(=O)c1ncc2ccccc2c1[O-] ZINC000616538593 362741835 /nfs/dbraw/zinc/74/18/35/362741835.db2.gz FVAXXPVNKYNAPQ-VXGBXAGGSA-N -1 1 302.330 1.162 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3CCC(=O)NC3)[nH][n-]2)s1 ZINC000623491978 365897145 /nfs/dbraw/zinc/89/71/45/365897145.db2.gz VIBZKXAPVKMTOS-SECBINFHSA-N -1 1 304.375 1.333 20 0 DDADMM CC(C)CCc1nnc([N-]C(=O)c2nccnc2C(N)=O)s1 ZINC000617096101 362948809 /nfs/dbraw/zinc/94/88/09/362948809.db2.gz GMSNUXMAVXBSIN-UHFFFAOYSA-N -1 1 320.378 1.268 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CC[C@@H]2CCC[C@H]2C1 ZINC000343494777 282680822 /nfs/dbraw/zinc/68/08/22/282680822.db2.gz NHMUJDVBVZPWRE-RWMBFGLXSA-N -1 1 317.389 1.602 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCS[C@H](C)[C@H]2C)co1 ZINC000152465531 186075919 /nfs/dbraw/zinc/07/59/19/186075919.db2.gz IORUEOLGUZLHHT-RKDXNWHRSA-N -1 1 318.420 1.154 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC000262847835 186312439 /nfs/dbraw/zinc/31/24/39/186312439.db2.gz HOMOJZLFMYNXKO-HNNXBMFYSA-N -1 1 307.350 1.241 20 0 DDADMM COC[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C1CCOCC1 ZINC000617366441 363075351 /nfs/dbraw/zinc/07/53/51/363075351.db2.gz MOQPWRJNEMOYBR-AWEZNQCLSA-N -1 1 307.346 1.133 20 0 DDADMM CS[C@@H](C)CCC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000358516231 299180968 /nfs/dbraw/zinc/18/09/68/299180968.db2.gz YZMPDFCOMVVSEF-VIFPVBQESA-N -1 1 315.468 1.131 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cn(-c2ccccc2)nn1 ZINC000028987537 352234360 /nfs/dbraw/zinc/23/43/60/352234360.db2.gz MXALFPFBRAXGQS-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM O=C(NC[C@@H]1CCS(=O)(=O)C1)c1cc2ccccc2cc1[O-] ZINC000045075559 352402034 /nfs/dbraw/zinc/40/20/34/352402034.db2.gz WHICXKRACODHRX-NSHDSACASA-N -1 1 319.382 1.710 20 0 DDADMM CCc1onc(C)c1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000331872551 234220546 /nfs/dbraw/zinc/22/05/46/234220546.db2.gz UBUBYWJUEUMUKI-SECBINFHSA-N -1 1 306.322 1.654 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)o1 ZINC000491945609 234340366 /nfs/dbraw/zinc/34/03/66/234340366.db2.gz OPKXLZBCKDYLTM-CZVSDVRESA-N -1 1 301.350 1.981 20 0 DDADMM Cc1nc(C)c(CNC(=O)c2nc3ccccc3c(=O)[n-]2)s1 ZINC000075494819 353365796 /nfs/dbraw/zinc/36/57/96/353365796.db2.gz FBQJPTAURYJGAN-UHFFFAOYSA-N -1 1 314.370 1.926 20 0 DDADMM COc1ccc(O[C@@H](C)CNC(=O)c2cncc([O-])c2)cc1 ZINC000174566360 198353313 /nfs/dbraw/zinc/35/33/13/198353313.db2.gz DVJZDMAYQMXMMN-NSHDSACASA-N -1 1 302.330 1.993 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)Cc1c(F)cccc1F)C(=O)OC ZINC000358601710 299205046 /nfs/dbraw/zinc/20/50/46/299205046.db2.gz QHQUQLAMAJOMAP-GFCCVEGCSA-N -1 1 321.345 1.726 20 0 DDADMM O=c1[n-]c(CN2CCCCC[C@H]2C[C@@H](O)c2ccco2)n[nH]1 ZINC000091591906 353831074 /nfs/dbraw/zinc/83/10/74/353831074.db2.gz NHTZVWRIYICPBZ-NWDGAFQWSA-N -1 1 306.366 1.559 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCc3c2cccc3C)o1 ZINC000126841538 354057811 /nfs/dbraw/zinc/05/78/11/354057811.db2.gz YGXIDIZBGLDROM-UHFFFAOYSA-N -1 1 320.370 1.699 20 0 DDADMM COC(=O)Cc1csc(NC(=O)c2ccc(OC)cc2[O-])n1 ZINC000314326751 354484537 /nfs/dbraw/zinc/48/45/37/354484537.db2.gz ZXIYFXDOCDJUIE-UHFFFAOYSA-N -1 1 322.342 1.825 20 0 DDADMM CCOC(=O)c1coc(=NCCCN2C[C@@H](C)O[C@@H](C)C2)[n-]1 ZINC000346285969 283053215 /nfs/dbraw/zinc/05/32/15/283053215.db2.gz ZKYYDNWZYZGHST-TXEJJXNPSA-N -1 1 311.382 1.184 20 0 DDADMM NC(=O)[C@H]1CCC[C@@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000332468676 235002791 /nfs/dbraw/zinc/00/27/91/235002791.db2.gz RQSFXGMIRSJADB-WDEREUQCSA-N -1 1 322.365 1.823 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)CCC(C)=O)n[n-]1 ZINC000588817491 354938651 /nfs/dbraw/zinc/93/86/51/354938651.db2.gz ZSVRAFLLAJCYBB-NSHDSACASA-N -1 1 324.381 1.554 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)CCC(C)=O)[n-]1 ZINC000588817491 354938653 /nfs/dbraw/zinc/93/86/53/354938653.db2.gz ZSVRAFLLAJCYBB-NSHDSACASA-N -1 1 324.381 1.554 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)CCC(C)=O)n1 ZINC000588817491 354938655 /nfs/dbraw/zinc/93/86/55/354938655.db2.gz ZSVRAFLLAJCYBB-NSHDSACASA-N -1 1 324.381 1.554 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)[C@@H]1CC2CCC1CC2 ZINC000565145133 304045288 /nfs/dbraw/zinc/04/52/88/304045288.db2.gz JTAHMYYFYHCPBC-SFXRXQKFSA-N -1 1 321.377 1.841 20 0 DDADMM CC[C@H](C)C[C@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000080681865 192181214 /nfs/dbraw/zinc/18/12/14/192181214.db2.gz JVOPCUAGRHIFIC-UWVGGRQHSA-N -1 1 302.396 1.742 20 0 DDADMM COCC[C@@H](C)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595335737 356452649 /nfs/dbraw/zinc/45/26/49/356452649.db2.gz CITVOTYBFKISIS-SECBINFHSA-N -1 1 319.379 1.326 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2C(C)(C)C2(F)F)c1 ZINC000595354912 356461357 /nfs/dbraw/zinc/46/13/57/356461357.db2.gz ZPUWYTACJLQVIE-MRVPVSSYSA-N -1 1 323.317 1.636 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC2(C3CC3)CC2)cc1C ZINC000595317532 356447845 /nfs/dbraw/zinc/44/78/45/356447845.db2.gz AGKULSSEORIZET-UHFFFAOYSA-N -1 1 313.375 1.843 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCc2ccco2)o1 ZINC000595379139 356472368 /nfs/dbraw/zinc/47/23/68/356472368.db2.gz XOPPHPUOKOWGPI-UHFFFAOYSA-N -1 1 313.331 1.570 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)C[C@H]1COCCO1 ZINC000618228382 363529077 /nfs/dbraw/zinc/52/90/77/363529077.db2.gz IFEYDIPRBQDREP-NSHDSACASA-N -1 1 323.393 1.276 20 0 DDADMM O=C(CS(=O)(=O)c1ncn[n-]1)Nc1ccc(F)cc1Cl ZINC000086169694 192550731 /nfs/dbraw/zinc/55/07/31/192550731.db2.gz XKDDNFHAOHVOAU-UHFFFAOYSA-N -1 1 318.717 1.010 20 0 DDADMM O=C(CS(=O)(=O)c1nc[n-]n1)Nc1ccc(F)cc1Cl ZINC000086169694 192550733 /nfs/dbraw/zinc/55/07/33/192550733.db2.gz XKDDNFHAOHVOAU-UHFFFAOYSA-N -1 1 318.717 1.010 20 0 DDADMM COC(=O)CCCN(Cc1nc(=O)n(C)[n-]1)Cc1ccccc1 ZINC000347432114 283212740 /nfs/dbraw/zinc/21/27/40/283212740.db2.gz FIXLCYNIGAADQR-UHFFFAOYSA-N -1 1 318.377 1.064 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3ccn(C)c3)no2)co1 ZINC000347529279 283226307 /nfs/dbraw/zinc/22/63/07/283226307.db2.gz INLUSUCKQXNMGO-UHFFFAOYSA-N -1 1 308.319 1.243 20 0 DDADMM COC(=O)[C@H]1CCCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000597090246 357059029 /nfs/dbraw/zinc/05/90/29/357059029.db2.gz NOJOUPMKSWUIJV-LBPRGKRZSA-N -1 1 314.341 1.966 20 0 DDADMM O=C(CNC(=O)c1c(F)ccc([O-])c1F)NCc1ccco1 ZINC000347686528 283259401 /nfs/dbraw/zinc/25/94/01/283259401.db2.gz SLOOODQDKIAJBD-UHFFFAOYSA-N -1 1 310.256 1.310 20 0 DDADMM COc1ccc(S(=O)(=O)N=c2cc3ccccn3[n-]2)cc1 ZINC000565378069 304066027 /nfs/dbraw/zinc/06/60/27/304066027.db2.gz MCVVNQVRFJZKFE-UHFFFAOYSA-N -1 1 303.343 1.566 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2cc(F)ccc2[O-])CS1(=O)=O ZINC000618323450 363586595 /nfs/dbraw/zinc/58/65/95/363586595.db2.gz IZQUKQMCIYIFPE-WPRPVWTQSA-N -1 1 301.339 1.227 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)c1 ZINC000599459090 357945221 /nfs/dbraw/zinc/94/52/21/357945221.db2.gz CRPBVQJBPMMIRD-ARHDFHRDSA-N -1 1 317.363 1.156 20 0 DDADMM O=C(N=c1nc[nH][n-]1)c1nc2ccccc2cc1Br ZINC000347925986 283303215 /nfs/dbraw/zinc/30/32/15/283303215.db2.gz YRTIRXIDTPABSU-UHFFFAOYSA-N -1 1 318.134 1.790 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C34CC(C3)C4)CC2)n1 ZINC000599831339 358067848 /nfs/dbraw/zinc/06/78/48/358067848.db2.gz RBOGUWBYFBUMDM-UHFFFAOYSA-N -1 1 318.377 1.488 20 0 DDADMM CC(C)(C)n1ncc(C(=O)NCc2nn[n-]n2)c1C(F)(F)F ZINC000600496294 358241167 /nfs/dbraw/zinc/24/11/67/358241167.db2.gz AUOHWGPYLSOHGG-UHFFFAOYSA-N -1 1 317.275 1.100 20 0 DDADMM O=C(CCc1cccc(OC2CCCC2)c1)NCc1nn[n-]n1 ZINC000600506275 358243533 /nfs/dbraw/zinc/24/35/33/358243533.db2.gz UPYNZBHBAKBXJG-UHFFFAOYSA-N -1 1 315.377 1.770 20 0 DDADMM CC[C@@H](CSC)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000180568718 199176556 /nfs/dbraw/zinc/17/65/56/199176556.db2.gz LFNYPEKYBUDXBC-NSHDSACASA-N -1 1 322.434 1.440 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OC ZINC000601451508 358559526 /nfs/dbraw/zinc/55/95/26/358559526.db2.gz CSOOBTNLJAZIJR-WCBMZHEXSA-N -1 1 320.436 1.708 20 0 DDADMM CCOC(=O)N[C@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000181144376 199256567 /nfs/dbraw/zinc/25/65/67/199256567.db2.gz KJVMCJSJWLLWIH-JTQLQIEISA-N -1 1 310.325 1.882 20 0 DDADMM CCCNC(=O)[C@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000181194132 199263813 /nfs/dbraw/zinc/26/38/13/199263813.db2.gz GBMMPKNMBMBQKX-NSHDSACASA-N -1 1 308.353 1.910 20 0 DDADMM CCCn1ncnc1CN1CC[C@@](C(=O)[O-])(c2ccccc2)C1 ZINC000565599747 304079315 /nfs/dbraw/zinc/07/93/15/304079315.db2.gz ANSAPURMUKSJRN-KRWDZBQOSA-N -1 1 314.389 1.916 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccccc2SC)n[n-]1 ZINC000603019426 359361001 /nfs/dbraw/zinc/36/10/01/359361001.db2.gz VSUITXXBUAHCLT-UHFFFAOYSA-N -1 1 320.374 1.633 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccccc2SC)n1 ZINC000603019426 359361003 /nfs/dbraw/zinc/36/10/03/359361003.db2.gz VSUITXXBUAHCLT-UHFFFAOYSA-N -1 1 320.374 1.633 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2CCC(C)(C)C2)n[n-]1 ZINC000603152242 359439552 /nfs/dbraw/zinc/43/95/52/359439552.db2.gz BTYDSTJFKNOZCT-NXEZZACHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2CCC(C)(C)C2)[n-]1 ZINC000603152242 359439555 /nfs/dbraw/zinc/43/95/55/359439555.db2.gz BTYDSTJFKNOZCT-NXEZZACHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2CCC(C)(C)C2)n1 ZINC000603152242 359439557 /nfs/dbraw/zinc/43/95/57/359439557.db2.gz BTYDSTJFKNOZCT-NXEZZACHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CC3CCC2CC3)n[n-]1 ZINC000603152750 359439577 /nfs/dbraw/zinc/43/95/77/359439577.db2.gz FLNXTMNWKZXVBQ-XKGSQUFQSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CC3CCC2CC3)[n-]1 ZINC000603152750 359439580 /nfs/dbraw/zinc/43/95/80/359439580.db2.gz FLNXTMNWKZXVBQ-XKGSQUFQSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CC3CCC2CC3)n1 ZINC000603152750 359439582 /nfs/dbraw/zinc/43/95/82/359439582.db2.gz FLNXTMNWKZXVBQ-XKGSQUFQSA-N -1 1 320.393 1.985 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2)C(=O)OCC ZINC000603311083 359562642 /nfs/dbraw/zinc/56/26/42/359562642.db2.gz VRVYTMLOTYJSNJ-JVABAKOHSA-N -1 1 317.407 1.124 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2Cc3ccccc3C2)co1 ZINC000186790813 200011527 /nfs/dbraw/zinc/01/15/27/200011527.db2.gz ALRZDXSVPANNED-UHFFFAOYSA-N -1 1 320.370 1.085 20 0 DDADMM CCc1cc(C(=O)N(C)Cc2ccc(OCC(=O)[O-])cc2)n[nH]1 ZINC000187523398 200123407 /nfs/dbraw/zinc/12/34/07/200123407.db2.gz LOWKPAJTVSZNBQ-UHFFFAOYSA-N -1 1 317.345 1.708 20 0 DDADMM CCc1nnsc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000604081810 359716614 /nfs/dbraw/zinc/71/66/14/359716614.db2.gz MYZVLFREIPREFO-JTQLQIEISA-N -1 1 315.362 1.133 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@H](O)C1)c1ccc(F)c(F)c1F ZINC000188331873 200229019 /nfs/dbraw/zinc/22/90/19/200229019.db2.gz GVIWZSPHITZTJQ-SFYZADRCSA-N -1 1 309.309 1.543 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1CCOc2ccccc21 ZINC000605531440 359867933 /nfs/dbraw/zinc/86/79/33/359867933.db2.gz ZRASUGXDQBPSAU-LBPRGKRZSA-N -1 1 313.361 1.652 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]1CCO)c1cc(F)ccc1F ZINC000188899976 200319056 /nfs/dbraw/zinc/31/90/56/200319056.db2.gz AYJJYAPXYKSGAB-JOYOIKCWSA-N -1 1 305.346 1.794 20 0 DDADMM CCC[C@H](NC(=O)Cc1c(CC)nn(C)c1CC)c1nn[n-]n1 ZINC000189065082 200343271 /nfs/dbraw/zinc/34/32/71/200343271.db2.gz HACAFMFDZQLDFF-LBPRGKRZSA-N -1 1 319.413 1.258 20 0 DDADMM Cn1nc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1C1CC1 ZINC000607910657 360067694 /nfs/dbraw/zinc/06/76/94/360067694.db2.gz UMTAPNHXQFAZST-UHFFFAOYSA-N -1 1 301.354 1.010 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)CCc1ccccc1 ZINC000281177797 216134234 /nfs/dbraw/zinc/13/42/34/216134234.db2.gz NBRCGSMSGQARCO-JTQLQIEISA-N -1 1 309.391 1.865 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)[C@H]1COC(C)(C)C1)c1ccco1 ZINC000625040759 366680921 /nfs/dbraw/zinc/68/09/21/366680921.db2.gz MTKYAIZQEIFHLL-MNOVXSKESA-N -1 1 303.380 1.454 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@@H]2COC[C@]2(C)C1 ZINC000618713511 363732631 /nfs/dbraw/zinc/73/26/31/363732631.db2.gz WCTAVPXQRZIRNW-OTYXRUKQSA-N -1 1 309.391 1.321 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc(C(=O)N(C)C)c(C)c1 ZINC000193330253 201030935 /nfs/dbraw/zinc/03/09/35/201030935.db2.gz YKJUSGNRXKTOGX-NSHDSACASA-N -1 1 314.407 1.473 20 0 DDADMM O=C(N=c1ncn(Cc2ccccc2)[n-]1)c1[nH]nc2c1CCC2 ZINC000618820370 363769695 /nfs/dbraw/zinc/76/96/95/363769695.db2.gz KPQVIBUSRDPCQQ-UHFFFAOYSA-N -1 1 308.345 1.212 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)NC(=O)c1ccc([O-])c(F)c1 ZINC000613533777 361458279 /nfs/dbraw/zinc/45/82/79/361458279.db2.gz FWIVZSPRBOJSPV-QMMMGPOBSA-N -1 1 309.215 1.755 20 0 DDADMM CO[C@]1(CNC(=O)c2ncc3ccccc3c2[O-])CCOC1 ZINC000613536268 361459654 /nfs/dbraw/zinc/45/96/54/361459654.db2.gz RHYMXUGXZIFTHM-INIZCTEOSA-N -1 1 302.330 1.476 20 0 DDADMM COCCCS(=O)(=O)c1nnc(Cc2cccs2)[n-]1 ZINC000195160641 201349946 /nfs/dbraw/zinc/34/99/46/201349946.db2.gz LQECCIIMIVBLLJ-UHFFFAOYSA-N -1 1 301.393 1.267 20 0 DDADMM COCCCS(=O)(=O)c1nc(Cc2cccs2)n[n-]1 ZINC000195160641 201349948 /nfs/dbraw/zinc/34/99/48/201349948.db2.gz LQECCIIMIVBLLJ-UHFFFAOYSA-N -1 1 301.393 1.267 20 0 DDADMM COCCCS(=O)(=O)c1n[n-]c(Cc2cccs2)n1 ZINC000195160641 201349952 /nfs/dbraw/zinc/34/99/52/201349952.db2.gz LQECCIIMIVBLLJ-UHFFFAOYSA-N -1 1 301.393 1.267 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H](C)OC)C1CCCCC1 ZINC000619680371 364113995 /nfs/dbraw/zinc/11/39/95/364113995.db2.gz ONYJTSGUXJBLHS-ZYHUDNBSSA-N -1 1 307.412 1.063 20 0 DDADMM CC(C)(C)N1C[C@H](CNC(=O)c2ccc([O-])c(F)c2)CC1=O ZINC000620017017 364246579 /nfs/dbraw/zinc/24/65/79/364246579.db2.gz YVVDURVIXZRHGP-JTQLQIEISA-N -1 1 308.353 1.908 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@@H](CN2CCCC2=O)C1 ZINC000620254300 364351980 /nfs/dbraw/zinc/35/19/80/364351980.db2.gz QMPWFJLGPIXKNV-NSHDSACASA-N -1 1 306.337 1.616 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](OC)C1CCCCC1 ZINC000621992366 365174397 /nfs/dbraw/zinc/17/43/97/365174397.db2.gz VKLZXNWUVHQLDE-LBPRGKRZSA-N -1 1 308.382 1.033 20 0 DDADMM Cn1cc(Cl)c(CNC(=O)c2c(F)ccc([O-])c2F)n1 ZINC000622644614 365464387 /nfs/dbraw/zinc/46/43/87/365464387.db2.gz ZJWSWQRETWDLLH-UHFFFAOYSA-N -1 1 301.680 1.987 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2s[n-]c(=O)c2Cl)CCN1C ZINC000622554722 365436358 /nfs/dbraw/zinc/43/63/58/365436358.db2.gz FVEWRPDOXCMYST-MRVPVSSYSA-N -1 1 303.815 1.914 20 0 DDADMM O=C(Cc1cccc2cnccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000626238192 367433402 /nfs/dbraw/zinc/43/34/02/367433402.db2.gz YOKLFQODUVSMFY-CQSZACIVSA-N -1 1 322.372 1.697 20 0 DDADMM Cc1ccccc1C[C@@H](C)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000626443645 367548699 /nfs/dbraw/zinc/54/86/99/367548699.db2.gz OZRQYWAVQKVCCI-OLZOCXBDSA-N -1 1 301.394 1.949 20 0 DDADMM CCn1cc([C@H]2OCC[C@@H]2NC(=O)c2ncccc2[O-])cn1 ZINC000275254820 212227222 /nfs/dbraw/zinc/22/72/22/212227222.db2.gz AQTISWPZELQJNP-SMDDNHRTSA-N -1 1 302.334 1.264 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC2(CCC2)[C@H]1C1CC1 ZINC000359269534 299387672 /nfs/dbraw/zinc/38/76/72/299387672.db2.gz JYHAWNIVJDLESW-OAHLLOKOSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@@H](CCC(C)(C)C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000350157620 284161311 /nfs/dbraw/zinc/16/13/11/284161311.db2.gz RFISPSFMPFBVCW-QMMMGPOBSA-N -1 1 302.400 1.609 20 0 DDADMM C[C@@H](CCC(C)(C)C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000350157620 284161314 /nfs/dbraw/zinc/16/13/14/284161314.db2.gz RFISPSFMPFBVCW-QMMMGPOBSA-N -1 1 302.400 1.609 20 0 DDADMM C[C@H](CCC(C)(C)C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000350157619 284161349 /nfs/dbraw/zinc/16/13/49/284161349.db2.gz RFISPSFMPFBVCW-MRVPVSSYSA-N -1 1 302.400 1.609 20 0 DDADMM C[C@H](CCC(C)(C)C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000350157619 284161350 /nfs/dbraw/zinc/16/13/50/284161350.db2.gz RFISPSFMPFBVCW-MRVPVSSYSA-N -1 1 302.400 1.609 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)Cc1cccc(Cl)c1 ZINC000262672182 203376603 /nfs/dbraw/zinc/37/66/03/203376603.db2.gz SBJQPRUZXMVXNM-GFCCVEGCSA-N -1 1 324.808 1.890 20 0 DDADMM O=C1NCCc2c(F)c([N-]S(=O)(=O)c3ccoc3)ccc21 ZINC000350891989 284275986 /nfs/dbraw/zinc/27/59/86/284275986.db2.gz CKOPLQFYQNNAHJ-UHFFFAOYSA-N -1 1 310.306 1.505 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CSC1(C)C ZINC000282563738 217091717 /nfs/dbraw/zinc/09/17/17/217091717.db2.gz AILWNDIDAKESLW-NSHDSACASA-N -1 1 320.418 1.192 20 0 DDADMM C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[O-])C(=O)NCCF ZINC000282815414 217269987 /nfs/dbraw/zinc/26/99/87/217269987.db2.gz PBVKQEDTUWOXKG-ZETCQYMHSA-N -1 1 322.258 1.615 20 0 DDADMM Cc1ccc(O)c(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282866069 217287379 /nfs/dbraw/zinc/28/73/79/217287379.db2.gz IAJRHAQCQHPNDJ-JTQLQIEISA-N -1 1 302.334 1.132 20 0 DDADMM O=C(c1nccc2ccccc21)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282953341 217348085 /nfs/dbraw/zinc/34/80/85/217348085.db2.gz QQDRJFFWJMYSCZ-LBPRGKRZSA-N -1 1 323.356 1.666 20 0 DDADMM FC(F)c1n[n-]c(=NCc2nc(C3CCOCC3)n[nH]2)s1 ZINC000631459190 422776547 /nfs/dbraw/zinc/77/65/47/422776547.db2.gz AQHHBBOBBPXTJJ-UHFFFAOYSA-N -1 1 316.337 1.522 20 0 DDADMM FC(F)c1n[n-]c(=NCc2n[nH]c(C3CCOCC3)n2)s1 ZINC000631459190 422776550 /nfs/dbraw/zinc/77/65/50/422776550.db2.gz AQHHBBOBBPXTJJ-UHFFFAOYSA-N -1 1 316.337 1.522 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)OCc1ccccc1)c1nn[n-]n1 ZINC000267713632 206236088 /nfs/dbraw/zinc/23/60/88/206236088.db2.gz TWOADXPXRHWETJ-DGCLKSJQSA-N -1 1 303.366 1.762 20 0 DDADMM CC1(C)CN(c2ccc(=NCc3ccccc3F)[n-]n2)C[C@H]1O ZINC000333529691 249013332 /nfs/dbraw/zinc/01/33/32/249013332.db2.gz MAFCNKKOWNCBQB-CQSZACIVSA-N -1 1 316.380 1.857 20 0 DDADMM CCN(C)S(=O)(=O)[N-]c1cnn(CC)c1C(F)(F)F ZINC000337168003 249373181 /nfs/dbraw/zinc/37/31/81/249373181.db2.gz CTVPMWWBRIUNAO-UHFFFAOYSA-N -1 1 300.306 1.530 20 0 DDADMM NC(=O)[C@H]1CCCC[C@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338924316 250198700 /nfs/dbraw/zinc/19/87/00/250198700.db2.gz HDWNKVZGWFSDON-IONNQARKSA-N -1 1 306.384 1.210 20 0 DDADMM CCOC[C@@H](C)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338960718 250219295 /nfs/dbraw/zinc/21/92/95/250219295.db2.gz HAXYZTPLNZUELM-SSDOTTSWSA-N -1 1 315.317 1.142 20 0 DDADMM CC(=O)N1CCC[C@@H](C[N-]S(=O)(=O)c2sccc2F)C1 ZINC000338973242 250228170 /nfs/dbraw/zinc/22/81/70/250228170.db2.gz MFQLNRYVLLDBAJ-JTQLQIEISA-N -1 1 320.411 1.424 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]c2cc(-c3ccncc3)n[nH]2)n1 ZINC000339032895 250258859 /nfs/dbraw/zinc/25/88/59/250258859.db2.gz KHDYJAMJZGQDJL-UHFFFAOYSA-N -1 1 304.335 1.006 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(OC)cc2F)n1 ZINC000339167423 250323263 /nfs/dbraw/zinc/32/32/63/250323263.db2.gz RAURWICPIJXCQR-UHFFFAOYSA-N -1 1 307.281 1.986 20 0 DDADMM O=C(NCc1nnc2ccccn21)c1c(F)ccc([O-])c1F ZINC000339234529 250356012 /nfs/dbraw/zinc/35/60/12/250356012.db2.gz PLSHPTMMNDVBNB-UHFFFAOYSA-N -1 1 304.256 1.643 20 0 DDADMM COc1ccc(NC(=O)c2c[nH]c(C)n2)cc1[N-]S(C)(=O)=O ZINC000339184621 250333939 /nfs/dbraw/zinc/33/39/39/250333939.db2.gz RREXDJRRFRLDQQ-UHFFFAOYSA-N -1 1 324.362 1.351 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC2(CCSCC2)CC1 ZINC000636310240 422796820 /nfs/dbraw/zinc/79/68/20/422796820.db2.gz LGSQPSSYCBKVIW-UHFFFAOYSA-N -1 1 309.439 1.658 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cc[nH]c2C)c1 ZINC000359701450 299517774 /nfs/dbraw/zinc/51/77/74/299517774.db2.gz UTCWWXHHCJLBLH-UHFFFAOYSA-N -1 1 309.347 1.189 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H](O)C[C@H]1c1ccc(F)cc1 ZINC000225421139 296305923 /nfs/dbraw/zinc/30/59/23/296305923.db2.gz IEGHJSWVTDTLHN-OLZOCXBDSA-N -1 1 302.305 1.874 20 0 DDADMM CCC1(CC)NC(=O)N(CC(=O)Nc2ccc(F)cc2[O-])C1=O ZINC000340332223 251012155 /nfs/dbraw/zinc/01/21/55/251012155.db2.gz OKIWDMQIFWUYOQ-UHFFFAOYSA-N -1 1 323.324 1.580 20 0 DDADMM CCc1noc(C)c1[N-]S(=O)(=O)N1C[C@H](C)OC[C@@H]1C ZINC000340947986 251295380 /nfs/dbraw/zinc/29/53/80/251295380.db2.gz HGGXQUKDSWUIRI-IUCAKERBSA-N -1 1 303.384 1.311 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OCC ZINC000340923001 251279302 /nfs/dbraw/zinc/27/93/02/251279302.db2.gz GWXXUNYNVIQAFS-SGRBOOSSSA-N -1 1 317.329 1.756 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H]1CCC[C@@H]1C1CC1 ZINC000412475604 224037265 /nfs/dbraw/zinc/03/72/65/224037265.db2.gz MWFQKUXTLNVPLC-CHWSQXEVSA-N -1 1 317.389 1.460 20 0 DDADMM C[C@@H]1CCC[C@]1(O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000294559239 224087627 /nfs/dbraw/zinc/08/76/27/224087627.db2.gz LKECOYHGYJFQNH-RNCFNFMXSA-N -1 1 305.346 1.794 20 0 DDADMM O=C(Nc1ccc(F)c(Cl)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000352421517 285039083 /nfs/dbraw/zinc/03/90/83/285039083.db2.gz DARNWJOWXRBNQL-UHFFFAOYSA-N -1 1 307.672 1.462 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCCC[C@@H]2OC)c1 ZINC000270016796 208068738 /nfs/dbraw/zinc/06/87/38/208068738.db2.gz NJBCCEOMLDQOMD-MNOVXSKESA-N -1 1 317.363 1.302 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2CCCCC2)co1 ZINC000270029105 208085329 /nfs/dbraw/zinc/08/53/29/208085329.db2.gz CYTUHWJFFSZXAO-UHFFFAOYSA-N -1 1 300.380 1.498 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CC[C@H](O)CC1 ZINC000270078705 208135647 /nfs/dbraw/zinc/13/56/47/208135647.db2.gz QESKIJZCADGXAO-XYPYZODXSA-N -1 1 307.394 1.821 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(N)=O ZINC000352528175 285117317 /nfs/dbraw/zinc/11/73/17/285117317.db2.gz KIQULKMESQBEFW-SECBINFHSA-N -1 1 310.297 1.036 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCC[C@H]2CCCC[C@H]2O)[n-]n1 ZINC000413195771 224152617 /nfs/dbraw/zinc/15/26/17/224152617.db2.gz CYTALSQEOGGPTH-TZMCWYRMSA-N -1 1 306.410 1.344 20 0 DDADMM COC(=O)C1(C)CN(C(=O)c2cc(Cl)c([O-])c(OC)c2)C1 ZINC000425157102 533181558 /nfs/dbraw/zinc/18/15/58/533181558.db2.gz ZOGVJPGTOVZVMC-UHFFFAOYSA-N -1 1 313.737 1.689 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](CO)Cc2cccnc2)c([O-])c1 ZINC000568205197 304275899 /nfs/dbraw/zinc/27/58/99/304275899.db2.gz HINCQMWPJYEQPC-CYBMUJFWSA-N -1 1 301.346 1.072 20 0 DDADMM COCC[C@@H](c1ccccc1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000352780427 285296451 /nfs/dbraw/zinc/29/64/51/285296451.db2.gz UCGREUCVVJTHJK-KBPBESRZSA-N -1 1 303.362 1.000 20 0 DDADMM O=C(CN1CCC[C@H](c2nc(=O)[n-][nH]2)C1)Nc1cccc(F)c1 ZINC000289581665 221083876 /nfs/dbraw/zinc/08/38/76/221083876.db2.gz ZCKWOIWABLEEFD-JTQLQIEISA-N -1 1 319.340 1.055 20 0 DDADMM COC(=O)[C@]1(F)CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000289768779 221212794 /nfs/dbraw/zinc/21/27/94/221212794.db2.gz RFQWTSZYKYACBY-ZDUSSCGKSA-N -1 1 303.236 1.398 20 0 DDADMM COCc1nnc(S(=O)(=O)[C@@H](C)c2ccc3c(c2)CCC3)[n-]1 ZINC000414221050 224314101 /nfs/dbraw/zinc/31/41/01/224314101.db2.gz KIUVMYIGGAKRGT-JTQLQIEISA-N -1 1 321.402 1.975 20 0 DDADMM COCc1nc(S(=O)(=O)[C@@H](C)c2ccc3c(c2)CCC3)n[n-]1 ZINC000414221050 224314103 /nfs/dbraw/zinc/31/41/03/224314103.db2.gz KIUVMYIGGAKRGT-JTQLQIEISA-N -1 1 321.402 1.975 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1nc2cc3c(cc2[nH]1)OCO3 ZINC000414463084 224341177 /nfs/dbraw/zinc/34/11/77/224341177.db2.gz JGLJNECXRJXYKB-SSDOTTSWSA-N -1 1 313.335 1.068 20 0 DDADMM O=C(Nc1nc(-c2ccco2)n[nH]1)c1ccnc(-n2cccn2)c1 ZINC000121023248 195281697 /nfs/dbraw/zinc/28/16/97/195281697.db2.gz AGCAOPCUBABBBA-UHFFFAOYSA-N -1 1 321.300 1.898 20 0 DDADMM CO[C@](C)([C@@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000414417012 533346219 /nfs/dbraw/zinc/34/62/19/533346219.db2.gz XOASNMDMPCCTQL-BMLIUANNSA-N -1 1 315.373 1.857 20 0 DDADMM COC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000416623420 533539852 /nfs/dbraw/zinc/53/98/52/533539852.db2.gz NNZPZDWOZTZJIU-KNVOCYPGSA-N -1 1 309.796 1.631 20 0 DDADMM COc1cc(C(=O)N[C@H]2COC[C@H]2OC)cc(Cl)c1[O-] ZINC000458335852 533555186 /nfs/dbraw/zinc/55/51/86/533555186.db2.gz FCUGLXZVXMTJAJ-GXSJLCMTSA-N -1 1 301.726 1.198 20 0 DDADMM C[C@H]1C[C@@H](c2cccc(F)c2)N(C(=O)CCc2nn[n-]n2)C1 ZINC000631528226 422814737 /nfs/dbraw/zinc/81/47/37/422814737.db2.gz UOZALSXWLYKTFP-GWCFXTLKSA-N -1 1 303.341 1.881 20 0 DDADMM O=C([C@@H]1CCCc2[nH]ncc21)N1CCCC[C@H]1c1n[nH]c(=O)[n-]1 ZINC000329159448 304350160 /nfs/dbraw/zinc/35/01/60/304350160.db2.gz DUCPYHZWPUKNKX-SKDRFNHKSA-N -1 1 316.365 1.407 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCOc1ccc(Cl)cc1 ZINC000635131667 422831213 /nfs/dbraw/zinc/83/12/13/422831213.db2.gz MBJFNLFWPPXQMT-UHFFFAOYSA-N -1 1 309.757 1.371 20 0 DDADMM C[C@H]1CN(C(=O)CCc2nn[n-]n2)[C@H](c2ccccc2)CO1 ZINC000631561469 422832222 /nfs/dbraw/zinc/83/22/22/422832222.db2.gz FUFXZEUJQFKWFE-AAEUAGOBSA-N -1 1 301.350 1.121 20 0 DDADMM Cc1ccc(C(=O)Nc2nnn[n-]2)cc1NC(=O)NC(C)(C)C ZINC000077021555 406977167 /nfs/dbraw/zinc/97/71/67/406977167.db2.gz WRLFBZKGYKYPAD-UHFFFAOYSA-N -1 1 317.353 1.680 20 0 DDADMM Cc1ccc(C(=O)Nc2nn[n-]n2)cc1NC(=O)NC(C)(C)C ZINC000077021555 406977169 /nfs/dbraw/zinc/97/71/69/406977169.db2.gz WRLFBZKGYKYPAD-UHFFFAOYSA-N -1 1 317.353 1.680 20 0 DDADMM CCCCc1noc([C@@H](C)S(=O)(=O)c2n[n-]c(CC)n2)n1 ZINC000086170583 407109795 /nfs/dbraw/zinc/10/97/95/407109795.db2.gz FLOZLTIKHFPGKO-MRVPVSSYSA-N -1 1 313.383 1.628 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc(F)ccc1F)OCC1CC1 ZINC000102184440 407320456 /nfs/dbraw/zinc/32/04/56/407320456.db2.gz FOKRVWNZYDNPPJ-UHFFFAOYSA-N -1 1 305.302 1.196 20 0 DDADMM CC(C)n1c(CCNC(=O)c2ccc(=O)n(C)c2)n[n-]c1=S ZINC000067073074 407267770 /nfs/dbraw/zinc/26/77/70/407267770.db2.gz KUSSRHDXNPYVFN-UHFFFAOYSA-N -1 1 321.406 1.193 20 0 DDADMM COc1cc(C)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1OC ZINC000124140760 407349753 /nfs/dbraw/zinc/34/97/53/407349753.db2.gz ISQXYGAHDBRBFC-SNVBAGLBSA-N -1 1 319.365 1.401 20 0 DDADMM CCCOc1cccc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000124159175 407350302 /nfs/dbraw/zinc/35/03/02/407350302.db2.gz OIWWNTASIDAIMS-GFCCVEGCSA-N -1 1 317.393 1.793 20 0 DDADMM CC(=O)CCCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000186092288 407575384 /nfs/dbraw/zinc/57/53/84/407575384.db2.gz JQKBIEUDAKMGQR-UHFFFAOYSA-N -1 1 316.354 1.895 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129289365 407602594 /nfs/dbraw/zinc/60/25/94/407602594.db2.gz MDVGCJUNQQKGLQ-RYUDHWBXSA-N -1 1 301.350 1.373 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCOC[C@@H]1C[C@@H](O)c1ccco1 ZINC000178800540 407606806 /nfs/dbraw/zinc/60/68/06/407606806.db2.gz BYTGVGJDDBWYTE-GXTWGEPZSA-N -1 1 318.329 1.345 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(C2CC2)CC1 ZINC000228675773 407650823 /nfs/dbraw/zinc/65/08/23/407650823.db2.gz BBWCDOXHUZOPTR-UHFFFAOYSA-N -1 1 313.379 1.055 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)CC(C)(C)O)c(=O)[n-]1 ZINC000266878998 407660881 /nfs/dbraw/zinc/66/08/81/407660881.db2.gz WOZPTVLABCANKP-UHFFFAOYSA-N -1 1 313.423 1.374 20 0 DDADMM Cn1ccc(CCNC(=O)c2ccc(Br)cc2[O-])n1 ZINC000230910067 407693540 /nfs/dbraw/zinc/69/35/40/407693540.db2.gz HSZJLZQFZTZONN-UHFFFAOYSA-N -1 1 324.178 1.861 20 0 DDADMM COCCCOCCN(C)CC(=O)[N-]OCc1ccccc1 ZINC000271521089 407713626 /nfs/dbraw/zinc/71/36/26/407713626.db2.gz GXSUAFAVAWVIGA-UHFFFAOYSA-N -1 1 310.394 1.219 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccc(F)cc1)c1cncc([O-])c1 ZINC000267069865 407736467 /nfs/dbraw/zinc/73/64/67/407736467.db2.gz BAFSXEBCANDCCM-LBPRGKRZSA-N -1 1 304.321 1.507 20 0 DDADMM O=C(NCCc1ncc[nH]1)c1cc(Br)ccc1[O-] ZINC000171756106 407760883 /nfs/dbraw/zinc/76/08/83/407760883.db2.gz GFZSKGPUCPFDRD-UHFFFAOYSA-N -1 1 310.151 1.850 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@H]1CCCCO1 ZINC000272090395 407770209 /nfs/dbraw/zinc/77/02/09/407770209.db2.gz UVTXFJWHWOQEDF-RKDXNWHRSA-N -1 1 307.803 1.309 20 0 DDADMM CCNC(=O)CNC(=O)c1ccc(Br)c([O-])c1 ZINC000132874841 407808445 /nfs/dbraw/zinc/80/84/45/407808445.db2.gz PHFVNNVPSVXJEJ-UHFFFAOYSA-N -1 1 301.140 1.021 20 0 DDADMM O=C([O-])[C@H]1CCN([C@@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000262646149 407888699 /nfs/dbraw/zinc/88/86/99/407888699.db2.gz KCOKERMFVRDZKN-SMDDNHRTSA-N -1 1 306.337 1.552 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2ccc3c(c2)OCCO3)C1 ZINC000262668979 407893662 /nfs/dbraw/zinc/89/36/62/407893662.db2.gz ONIXKDWPKDRADR-NSHDSACASA-N -1 1 320.345 1.193 20 0 DDADMM C[C@H](C(=O)Nc1ccc2c(c1)OCCCO2)N(C)CCC(=O)[O-] ZINC000262659779 407895361 /nfs/dbraw/zinc/89/53/61/407895361.db2.gz NKXIZJDEHUPKJG-LLVKDONJSA-N -1 1 322.361 1.581 20 0 DDADMM CC[C@H]1CCC[C@H]1[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000154059461 407966119 /nfs/dbraw/zinc/96/61/19/407966119.db2.gz XALRVQDJYQOPRZ-GXSJLCMTSA-N -1 1 301.364 1.923 20 0 DDADMM CCS(=O)(=O)[N-]CC(F)(F)CNC(=O)OC(C)(C)C ZINC000188066516 407916122 /nfs/dbraw/zinc/91/61/22/407916122.db2.gz NCYNAAACZWJPGH-UHFFFAOYSA-N -1 1 302.343 1.086 20 0 DDADMM CCOC(=O)CN(C(=O)c1cccc2nn[nH]c21)C1CCCC1 ZINC000188324038 407938234 /nfs/dbraw/zinc/93/82/34/407938234.db2.gz LGBBIXFWPVSTCE-UHFFFAOYSA-N -1 1 316.361 1.906 20 0 DDADMM CC[C@@H](C)NC(=O)c1ccc([N-]S(=O)(=O)CCOC)cc1 ZINC000154363792 408026919 /nfs/dbraw/zinc/02/69/19/408026919.db2.gz XZDFPHPJWOFPGC-LLVKDONJSA-N -1 1 314.407 1.603 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cccc(C)c2F)o1 ZINC000181791923 408041354 /nfs/dbraw/zinc/04/13/54/408041354.db2.gz AVAGIYIKZAPZKY-UHFFFAOYSA-N -1 1 312.322 1.888 20 0 DDADMM O=C(c1cc(Br)cc(Cl)c1[O-])N1CCNCC1 ZINC000181353627 407986849 /nfs/dbraw/zinc/98/68/49/407986849.db2.gz SXSBDTJZJGSCDJ-UHFFFAOYSA-N -1 1 319.586 1.854 20 0 DDADMM COc1cc(C(=O)N(C)[C@@H](C)C[S@](C)=O)cc(Cl)c1[O-] ZINC000135250286 407994553 /nfs/dbraw/zinc/99/45/53/407994553.db2.gz HUXBBONTQSIGLI-FFVOIRBGSA-N -1 1 319.810 1.893 20 0 DDADMM CC(C)(C(=O)Nc1nnn[n-]1)c1ccc(Br)cc1 ZINC000135468238 408019336 /nfs/dbraw/zinc/01/93/36/408019336.db2.gz BENGACGLWIGKND-UHFFFAOYSA-N -1 1 310.155 1.879 20 0 DDADMM CC(C)(C(=O)Nc1nn[n-]n1)c1ccc(Br)cc1 ZINC000135468238 408019342 /nfs/dbraw/zinc/01/93/42/408019342.db2.gz BENGACGLWIGKND-UHFFFAOYSA-N -1 1 310.155 1.879 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)N(C)C[C@@H](C)C(=O)[O-])cn1 ZINC000263082052 408020158 /nfs/dbraw/zinc/02/01/58/408020158.db2.gz BJZCVQKHSVSHDS-SECBINFHSA-N -1 1 305.338 1.086 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1ccc[nH]1 ZINC000135946657 408064079 /nfs/dbraw/zinc/06/40/79/408064079.db2.gz GLTHDQLLGXPSJW-UHFFFAOYSA-N -1 1 302.378 1.676 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2ccc(F)c(F)c2)[n-]1 ZINC000268510702 408076025 /nfs/dbraw/zinc/07/60/25/408076025.db2.gz WIBOFOLBFVDRSD-UHFFFAOYSA-N -1 1 303.290 1.203 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2ccc(F)c(F)c2)n[n-]1 ZINC000268510702 408076035 /nfs/dbraw/zinc/07/60/35/408076035.db2.gz WIBOFOLBFVDRSD-UHFFFAOYSA-N -1 1 303.290 1.203 20 0 DDADMM Cc1nnc(NC(=O)c2cc(C)ccc2[N-]S(C)(=O)=O)n1C ZINC000273151622 408081928 /nfs/dbraw/zinc/08/19/28/408081928.db2.gz HWZDTQMFABONRM-UHFFFAOYSA-N -1 1 323.378 1.056 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](C)C[S@](C)=O ZINC000273208236 408109509 /nfs/dbraw/zinc/10/95/09/408109509.db2.gz RPCLTEBMAYLPAA-ZEDNOMKYSA-N -1 1 313.423 1.285 20 0 DDADMM C[C@@H]1CS(=O)(=O)CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000182335557 408128006 /nfs/dbraw/zinc/12/80/06/408128006.db2.gz NPUPBTYQMILXMK-SNVBAGLBSA-N -1 1 323.370 1.118 20 0 DDADMM CCC[C@H](NC(=O)Cc1cccc(COC)c1)c1nn[n-]n1 ZINC000190156236 408175668 /nfs/dbraw/zinc/17/56/68/408175668.db2.gz QMZMBKOREGSVJZ-ZDUSSCGKSA-N -1 1 303.366 1.546 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc2ccccc2cc1O)c1nn[n-]n1 ZINC000156549201 408264317 /nfs/dbraw/zinc/26/43/17/408264317.db2.gz ZVUCFZKJBVLNEB-SNVBAGLBSA-N -1 1 311.345 1.934 20 0 DDADMM COc1ccc(OC)c([C@H](O)CNC(=O)c2ncccc2[O-])c1 ZINC000263703593 408212967 /nfs/dbraw/zinc/21/29/67/408212967.db2.gz SUYHJUYGHAFLJE-CYBMUJFWSA-N -1 1 318.329 1.268 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc2ccccc2oc1=O)c1nn[n-]n1 ZINC000273523737 408230787 /nfs/dbraw/zinc/23/07/87/408230787.db2.gz PWGMSAVBIWSFHY-SECBINFHSA-N -1 1 313.317 1.182 20 0 DDADMM CSc1n[nH]c(NC(=O)[C@@H](C)n2nnnc2C(C)(C)C)n1 ZINC000273522095 408231650 /nfs/dbraw/zinc/23/16/50/408231650.db2.gz SSBPJOGFVJUTMY-ZCFIWIBFSA-N -1 1 310.387 1.010 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccccc1F)C(C)C ZINC000263938180 408320426 /nfs/dbraw/zinc/32/04/26/408320426.db2.gz DEEHRPXNFVDWFV-LBPRGKRZSA-N -1 1 303.355 1.443 20 0 DDADMM COc1ccccc1CCNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000164583164 162153378 /nfs/dbraw/zinc/15/33/78/162153378.db2.gz GHBZXFQLPANREC-CQSZACIVSA-N -1 1 320.389 1.151 20 0 DDADMM COc1ccccc1CCNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000164583045 162153807 /nfs/dbraw/zinc/15/38/07/162153807.db2.gz GHBZXFQLPANREC-AWEZNQCLSA-N -1 1 320.389 1.151 20 0 DDADMM C[C@@H](C[S@](C)=O)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000183208708 408354269 /nfs/dbraw/zinc/35/42/69/408354269.db2.gz WTKJKZQMIVSIND-QQOXFEPMSA-N -1 1 315.338 1.149 20 0 DDADMM CO[C@H]1CC[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000191050066 408364326 /nfs/dbraw/zinc/36/43/26/408364326.db2.gz BGARMVLSGDKNMJ-YUMQZZPRSA-N -1 1 309.309 1.950 20 0 DDADMM Cc1cccc(N(C)C(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)c1 ZINC000172750442 162299331 /nfs/dbraw/zinc/29/93/31/162299331.db2.gz ZSFNZIXIMGNITQ-UHFFFAOYSA-N -1 1 324.340 1.917 20 0 DDADMM Cc1cccc(N(C)C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[n-]2)c1 ZINC000172750442 162299335 /nfs/dbraw/zinc/29/93/35/162299335.db2.gz ZSFNZIXIMGNITQ-UHFFFAOYSA-N -1 1 324.340 1.917 20 0 DDADMM C[C@H](CN(C)C(=O)c1scnc1C(C)(C)C)c1nn[n-]n1 ZINC000183431046 408412016 /nfs/dbraw/zinc/41/20/16/408412016.db2.gz IQDDSZKDZKECDS-MRVPVSSYSA-N -1 1 308.411 1.829 20 0 DDADMM Cc1cccc2[nH]cc(CC(=O)N(C)C[C@@H](C)c3nn[n-]n3)c21 ZINC000183452383 408417501 /nfs/dbraw/zinc/41/75/01/408417501.db2.gz UEOVUBAFVGTTKJ-LLVKDONJSA-N -1 1 312.377 1.794 20 0 DDADMM CCC[C@@H](NC(=O)Cn1c(C)nc2ccccc21)c1nn[n-]n1 ZINC000176738841 408427009 /nfs/dbraw/zinc/42/70/09/408427009.db2.gz INWNHURDOVVQSJ-GFCCVEGCSA-N -1 1 313.365 1.515 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(OCCOC)c1)c1nn[n-]n1 ZINC000176753541 408433120 /nfs/dbraw/zinc/43/31/20/408433120.db2.gz NGYVFYCFKVHTJU-ZDUSSCGKSA-N -1 1 319.365 1.496 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)NC(=O)c1c([O-])cccc1F ZINC000274692561 408497599 /nfs/dbraw/zinc/49/75/99/408497599.db2.gz KKGZDSGABHSBJK-SSDOTTSWSA-N -1 1 309.215 1.755 20 0 DDADMM CCCn1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1C1CC1 ZINC000274731247 408511645 /nfs/dbraw/zinc/51/16/45/408511645.db2.gz COPOCJSXRSORDA-SNVBAGLBSA-N -1 1 317.397 1.559 20 0 DDADMM COC(=O)[C@H]1[C@H](O)CCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000275493030 408590201 /nfs/dbraw/zinc/59/02/01/408590201.db2.gz GSXBMGQUFFJRJW-ZYHUDNBSSA-N -1 1 313.737 1.184 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@@H+]2[C@@H]3CCCC[C@H]3C[C@H]2C(=O)[O-])n1 ZINC000248571407 408590607 /nfs/dbraw/zinc/59/06/07/408590607.db2.gz SGPZRPGPEXKPEC-UHTWSYAYSA-N -1 1 305.378 1.962 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+]2[C@@H]3CCCC[C@H]3C[C@H]2C(=O)[O-])n1 ZINC000248571407 408590609 /nfs/dbraw/zinc/59/06/09/408590609.db2.gz SGPZRPGPEXKPEC-UHTWSYAYSA-N -1 1 305.378 1.962 20 0 DDADMM CO[C@@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000162132758 408673335 /nfs/dbraw/zinc/67/33/35/408673335.db2.gz IZKYTSDCVCCGOE-CHWSQXEVSA-N -1 1 301.350 1.293 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc3c[nH]nc3c2)co1 ZINC000162485595 408703821 /nfs/dbraw/zinc/70/38/21/408703821.db2.gz QXMJPOPIOVZGIN-UHFFFAOYSA-N -1 1 320.330 1.316 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@@H](C)N(C)CC(F)(F)F)n[nH]1 ZINC000193765274 408741912 /nfs/dbraw/zinc/74/19/12/408741912.db2.gz ZMTCASGVVJWPDO-SSDOTTSWSA-N -1 1 322.287 1.408 20 0 DDADMM O=C([O-])[C@H]1CCN(C(=O)c2cn[nH]c2-c2ccccc2F)C1 ZINC000178270042 408797573 /nfs/dbraw/zinc/79/75/73/408797573.db2.gz HNANPWJKPRJYGU-VIFPVBQESA-N -1 1 303.293 1.763 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000164625422 408800418 /nfs/dbraw/zinc/80/04/18/408800418.db2.gz CFOGYXWLVBMUHC-STQMWFEESA-N -1 1 320.389 1.669 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000164625506 408800447 /nfs/dbraw/zinc/80/04/47/408800447.db2.gz CFOGYXWLVBMUHC-OLZOCXBDSA-N -1 1 320.389 1.669 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H]3CCCC[C@@H]3C2)o1 ZINC000178347605 408810057 /nfs/dbraw/zinc/81/00/57/408810057.db2.gz WCRAILRGRHHJSN-GHMZBOCLSA-N -1 1 312.391 1.450 20 0 DDADMM Cn1nccc1C[N-]S(=O)(=O)c1c(F)cc(F)cc1F ZINC000193810117 408744707 /nfs/dbraw/zinc/74/47/07/408744707.db2.gz WJHCEEIOBBHAJE-UHFFFAOYSA-N -1 1 305.281 1.316 20 0 DDADMM Cc1nccnc1N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000290813704 408837772 /nfs/dbraw/zinc/83/77/72/408837772.db2.gz ZZMKYUGFJRZBHZ-JTQLQIEISA-N -1 1 302.300 1.680 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000291719497 408912300 /nfs/dbraw/zinc/91/23/00/408912300.db2.gz BCPCWMMBRGXAKD-MNOVXSKESA-N -1 1 309.757 1.544 20 0 DDADMM CCN(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000188449209 163053185 /nfs/dbraw/zinc/05/31/85/163053185.db2.gz CHOWKBSWOGNIFW-MRVPVSSYSA-N -1 1 306.334 1.110 20 0 DDADMM Cc1nnc([C@H](C)NC(=O)CSc2n[nH]c(=S)s2)[nH]1 ZINC000286251210 408949253 /nfs/dbraw/zinc/94/92/53/408949253.db2.gz DYQYGISWWLTWKL-BYPYZUCNSA-N -1 1 316.437 1.223 20 0 DDADMM CC(C)(C)Oc1ccccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000277630776 408981039 /nfs/dbraw/zinc/98/10/39/408981039.db2.gz BOMLFNMYTINMBS-UHFFFAOYSA-N -1 1 306.322 1.452 20 0 DDADMM CCN(C(=O)c1ccc(C(F)(F)F)cc1[O-])[C@@H]1CCNC1=O ZINC000282122447 408995358 /nfs/dbraw/zinc/99/53/58/408995358.db2.gz HSZTUPFMGLFEOZ-SNVBAGLBSA-N -1 1 316.279 1.762 20 0 DDADMM O=c1cc(CN2CCC[C@](F)(CO)C2)c2ccc([O-])cc2o1 ZINC000286680146 408996173 /nfs/dbraw/zinc/99/61/73/408996173.db2.gz ABXHJCCFDZAIAI-MRXNPFEDSA-N -1 1 307.321 1.795 20 0 DDADMM CC(C)CO[C@@H]1CCN(C(=O)c2cc(F)cc3nn[nH]c32)C1 ZINC000282399807 409047782 /nfs/dbraw/zinc/04/77/82/409047782.db2.gz HMEHNBGMDXSOLM-LLVKDONJSA-N -1 1 306.341 1.984 20 0 DDADMM CC(C)OCCCN(C)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287685773 409076797 /nfs/dbraw/zinc/07/67/97/409076797.db2.gz QZSXLGFURGORBX-AQTBWJFISA-N -1 1 305.382 1.621 20 0 DDADMM CC[C@@H]1CC[C@H](C)N1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287691874 409077854 /nfs/dbraw/zinc/07/78/54/409077854.db2.gz IOZZKJVSUBVXSX-TUBFPLQUSA-N -1 1 315.377 1.070 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(OC)cc2)C1 ZINC000287850912 409103881 /nfs/dbraw/zinc/10/38/81/409103881.db2.gz ORRLUFKMUMRMHZ-GFCCVEGCSA-N -1 1 322.361 1.132 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(OC(C)(C)C)cn1)c1nn[n-]n1 ZINC000293445565 409115586 /nfs/dbraw/zinc/11/55/86/409115586.db2.gz IUSGWSVQELZDOT-SNVBAGLBSA-N -1 1 318.381 1.648 20 0 DDADMM C[C@H](CN(C)C(=O)c1c(Cl)cncc1Cl)c1nn[n-]n1 ZINC000293461483 409117949 /nfs/dbraw/zinc/11/79/49/409117949.db2.gz JXHFNVPMKSTDKG-ZCFIWIBFSA-N -1 1 315.164 1.777 20 0 DDADMM CO[C@]1(C)C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1(C)C ZINC000283271112 409126961 /nfs/dbraw/zinc/12/69/61/409126961.db2.gz DRNXRFFODBKEEX-ZUZCIYMTSA-N -1 1 320.418 1.951 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3CCO[C@H](C4CC4)C3)ccnc1-2 ZINC000279300284 409187642 /nfs/dbraw/zinc/18/76/42/409187642.db2.gz LMXMODNDDVRVLX-SMCZMYSKSA-N -1 1 315.377 1.421 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(C)(C)O ZINC000293687361 409161267 /nfs/dbraw/zinc/16/12/67/409161267.db2.gz BMSXWUVNXFYCAI-NSHDSACASA-N -1 1 311.325 1.932 20 0 DDADMM C[C@@H](CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)c1nccs1 ZINC000279250188 409178013 /nfs/dbraw/zinc/17/80/13/409178013.db2.gz HUTZYZVWLCOASQ-SHDGIHNSSA-N -1 1 316.390 1.724 20 0 DDADMM CO[C@H](C)c1nc(CN2CCC[C@H](c3nc(=O)[n-][nH]3)C2)cs1 ZINC000289351301 409252280 /nfs/dbraw/zinc/25/22/80/409252280.db2.gz GDULASJOQIAFPU-ZJUUUORDSA-N -1 1 323.422 1.642 20 0 DDADMM CC[C@H](C)CN(CC)C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000288940745 409200172 /nfs/dbraw/zinc/20/01/72/409200172.db2.gz WHWLQJZPXBLECB-UQGHUHRHSA-N -1 1 317.393 1.175 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@@H]1O)c1ccc([O-])cc1F ZINC000283721539 409203759 /nfs/dbraw/zinc/20/37/59/409203759.db2.gz VGRJVWGNLPCRHP-XHDPSFHLSA-N -1 1 323.364 1.829 20 0 DDADMM CC(C)O[N-]C(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000295518528 409328815 /nfs/dbraw/zinc/32/88/15/409328815.db2.gz IHFARDUJVWDVAH-UHFFFAOYSA-N -1 1 303.318 1.126 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC000294271664 409270375 /nfs/dbraw/zinc/27/03/75/409270375.db2.gz UHFQTFFQPYBHCY-NSHDSACASA-N -1 1 320.324 1.092 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000290417653 409393382 /nfs/dbraw/zinc/39/33/82/409393382.db2.gz KLRAYNDEUVDRSP-QMMMGPOBSA-N -1 1 319.329 1.320 20 0 DDADMM CCOC(=O)N1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000280695407 409414727 /nfs/dbraw/zinc/41/47/27/409414727.db2.gz FBJILEIWJLPEHN-UHFFFAOYSA-N -1 1 314.288 1.585 20 0 DDADMM CCCOc1cc(F)ccc1[N-]S(=O)(=O)CCC(=O)OC ZINC000290558160 409444143 /nfs/dbraw/zinc/44/41/43/409444143.db2.gz RJHTVMMEVJPJCD-UHFFFAOYSA-N -1 1 319.354 1.919 20 0 DDADMM COc1ccc([C@@H]2CCCN2C(=O)CCCc2nn[n-]n2)cc1 ZINC000635149495 422844047 /nfs/dbraw/zinc/84/40/47/422844047.db2.gz JXFYDKQRHSPTCQ-AWEZNQCLSA-N -1 1 315.377 1.895 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]2[C@@H]2COCC[C@H]2O)c([O-])c1 ZINC000408261188 164278558 /nfs/dbraw/zinc/27/85/58/164278558.db2.gz MDVDFKTWZWGOIR-YNEHKIRRSA-N -1 1 306.362 1.098 20 0 DDADMM CN(C(=O)c1cc(Br)ccc1[O-])C1CC(O)C1 ZINC000408416202 164325515 /nfs/dbraw/zinc/32/55/15/164325515.db2.gz SJTBNAJRXMJKRB-UHFFFAOYSA-N -1 1 300.152 1.750 20 0 DDADMM CC[C@@H](C)[C@H](C)N(C(=O)CNC(=O)c1ncccc1[O-])C1CC1 ZINC000337920314 409569686 /nfs/dbraw/zinc/56/96/86/409569686.db2.gz YIWRUJRVFWWYLX-NEPJUHHUSA-N -1 1 319.405 1.943 20 0 DDADMM O=C(N[C@@H]1CCN(C2CC2)C1)c1cc(F)c(F)c([O-])c1F ZINC000331443963 409515130 /nfs/dbraw/zinc/51/51/30/409515130.db2.gz DUKKDRWTHYWNAJ-SSDOTTSWSA-N -1 1 300.280 1.776 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC(c2ncc[nH]2)CC1 ZINC000337987823 409632200 /nfs/dbraw/zinc/63/22/00/409632200.db2.gz UVMJVVDZJCVOGN-UHFFFAOYSA-N -1 1 323.356 1.666 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)CCc3cnccn3)cnc2n1 ZINC000356900856 409701450 /nfs/dbraw/zinc/70/14/50/409701450.db2.gz ZRYRDSVMVZRDNB-UHFFFAOYSA-N -1 1 323.356 1.749 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N(C)CCc1cnccn1)c2=O ZINC000356900856 409701459 /nfs/dbraw/zinc/70/14/59/409701459.db2.gz ZRYRDSVMVZRDNB-UHFFFAOYSA-N -1 1 323.356 1.749 20 0 DDADMM O=C(Cc1cn2ccsc2n1)N=c1nc[n-]n1-c1ccccc1 ZINC000342488465 409670274 /nfs/dbraw/zinc/67/02/74/409670274.db2.gz IQGLDJWSIFUION-UHFFFAOYSA-N -1 1 324.369 1.580 20 0 DDADMM C[C@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC12CCC2 ZINC000356882688 409686344 /nfs/dbraw/zinc/68/63/44/409686344.db2.gz UEWUIBBJWDGJEA-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC(=O)OC(C)(C)C ZINC000354468282 409879124 /nfs/dbraw/zinc/87/91/24/409879124.db2.gz SXIHIKCMQMZDNU-UHFFFAOYSA-N -1 1 313.379 1.284 20 0 DDADMM O=C(CCc1ccccc1O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332107239 409889451 /nfs/dbraw/zinc/88/94/51/409889451.db2.gz ABEVJZYYVCBNHQ-LBPRGKRZSA-N -1 1 317.345 1.820 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cc2n[nH]cc2c(C)c1 ZINC000346485600 409985497 /nfs/dbraw/zinc/98/54/97/409985497.db2.gz PGTNJMHQZKDRMK-UHFFFAOYSA-N -1 1 313.379 1.276 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)nc1C ZINC000332261458 410022254 /nfs/dbraw/zinc/02/22/54/410022254.db2.gz BEFBTDAXNTYQIU-LLVKDONJSA-N -1 1 302.334 1.807 20 0 DDADMM COc1ccc(O)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332282540 410038714 /nfs/dbraw/zinc/03/87/14/410038714.db2.gz FDJUVPKKSLASFA-SECBINFHSA-N -1 1 319.317 1.509 20 0 DDADMM CCN(c1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cn1)C(C)C ZINC000354767215 410071629 /nfs/dbraw/zinc/07/16/29/410071629.db2.gz XONQFRVUXBZXPL-UHFFFAOYSA-N -1 1 317.397 1.495 20 0 DDADMM COc1cccc([C@H]2C[C@@H]2C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000354777260 410079005 /nfs/dbraw/zinc/07/90/05/410079005.db2.gz FDSLEDNEQXRLLL-NEPJUHHUSA-N -1 1 301.350 1.363 20 0 DDADMM Cc1c(Br)cccc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354777156 410080659 /nfs/dbraw/zinc/08/06/59/410080659.db2.gz SHMVHGNCZKIDSF-UHFFFAOYSA-N -1 1 324.182 1.936 20 0 DDADMM CC(C)(NC(=O)c1cnc(-c2ccccn2)s1)c1nn[n-]n1 ZINC000354794938 410091856 /nfs/dbraw/zinc/09/18/56/410091856.db2.gz UFRSXHYSVPUXEX-UHFFFAOYSA-N -1 1 315.362 1.383 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccsc1)c1ccccc1 ZINC000351660195 410138952 /nfs/dbraw/zinc/13/89/52/410138952.db2.gz AKINSZBBJAPTGA-GFCCVEGCSA-N -1 1 311.384 1.941 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN(C2CC2)C(=O)C1 ZINC000631619559 422855421 /nfs/dbraw/zinc/85/54/21/422855421.db2.gz VOHZWUFGZGHROL-UHFFFAOYSA-N -1 1 308.765 1.421 20 0 DDADMM Cc1nn(-c2ccncc2)cc1CN=c1[n-]nc2ccccn21 ZINC000354902054 410159568 /nfs/dbraw/zinc/15/95/68/410159568.db2.gz WWFIKCAGOMMYCK-UHFFFAOYSA-N -1 1 305.345 1.652 20 0 DDADMM Cc1cc(=O)[nH]cc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000332465433 410180556 /nfs/dbraw/zinc/18/05/56/410180556.db2.gz QNPIVLPYJVZOPC-UHFFFAOYSA-N -1 1 304.306 1.204 20 0 DDADMM C[C@H]1CCN(Cc2nn(C)c(=O)[n-]2)CC[N@@H+]1Cc1ccccc1 ZINC000329259217 410196529 /nfs/dbraw/zinc/19/65/29/410196529.db2.gz CNDRLMXVGJQNHN-AWEZNQCLSA-N -1 1 315.421 1.205 20 0 DDADMM COc1ccc([C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c(OC)c1 ZINC000329266975 410203596 /nfs/dbraw/zinc/20/35/96/410203596.db2.gz FXXFZGSSCZPASK-ZDUSSCGKSA-N -1 1 318.377 1.463 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCn3ccccc3=O)cnc2n1 ZINC000354962471 410208584 /nfs/dbraw/zinc/20/85/84/410208584.db2.gz MAUAHDCQYZTRBF-UHFFFAOYSA-N -1 1 324.340 1.236 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCn1ccccc1=O)c2=O ZINC000354962471 410208591 /nfs/dbraw/zinc/20/85/91/410208591.db2.gz MAUAHDCQYZTRBF-UHFFFAOYSA-N -1 1 324.340 1.236 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(C(F)F)CC2)co1 ZINC000339509620 410244707 /nfs/dbraw/zinc/24/47/07/410244707.db2.gz UWXJQWPCFUUTKD-UHFFFAOYSA-N -1 1 322.333 1.305 20 0 DDADMM COC(=O)c1ccc(C(=O)N=c2ccn(CC(F)(F)F)[nH]2)[n-]1 ZINC000343241479 410266014 /nfs/dbraw/zinc/26/60/14/410266014.db2.gz JBTUUCUPMQOSEC-UHFFFAOYSA-N -1 1 316.239 1.234 20 0 DDADMM C[C@H](Cn1ccnc1)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000298516417 410270397 /nfs/dbraw/zinc/27/03/97/410270397.db2.gz SOLXOGIIKOOKQG-MRVPVSSYSA-N -1 1 319.308 1.667 20 0 DDADMM C[C@@H]1C[C@H]([N-]C(=O)C(F)(F)c2c(F)cccc2F)C(=O)O1 ZINC000298533922 410275389 /nfs/dbraw/zinc/27/53/89/410275389.db2.gz VWONKPGGDAZVEQ-MUWHJKNJSA-N -1 1 305.227 1.877 20 0 DDADMM CC(C)(C)c1n[n-]c(=NC(=O)N2CCN(C3CCC3)CC2)s1 ZINC000329523711 410341438 /nfs/dbraw/zinc/34/14/38/410341438.db2.gz GPNGRMCUBISBIW-UHFFFAOYSA-N -1 1 323.466 1.960 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000358363385 410456799 /nfs/dbraw/zinc/45/67/99/410456799.db2.gz XXTSVEKNJMUALS-UWVGGRQHSA-N -1 1 304.350 1.219 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000358363383 410457151 /nfs/dbraw/zinc/45/71/51/410457151.db2.gz XXTSVEKNJMUALS-NXEZZACHSA-N -1 1 304.350 1.219 20 0 DDADMM CC(C)n1ncnc1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000298860059 410427740 /nfs/dbraw/zinc/42/77/40/410427740.db2.gz FZXJOCQZCAYMNJ-UHFFFAOYSA-N -1 1 317.378 1.120 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccnc2OCC)n1 ZINC000352163671 410517891 /nfs/dbraw/zinc/51/78/91/410517891.db2.gz FZECMUSKSPTOTE-UHFFFAOYSA-N -1 1 304.306 1.632 20 0 DDADMM CCOC(=O)[C@H](NC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000339895362 410537328 /nfs/dbraw/zinc/53/73/28/410537328.db2.gz IIBULOBNONQEKR-CQSZACIVSA-N -1 1 300.314 1.821 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)Oc1ccc(OC)cc1)c1nn[n-]n1 ZINC000343758688 410674341 /nfs/dbraw/zinc/67/43/41/410674341.db2.gz ZQRDOFKEZHEPFY-GXFFZTMASA-N -1 1 319.365 1.633 20 0 DDADMM CC(C)[C@H]1CCC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343694492 410625965 /nfs/dbraw/zinc/62/59/65/410625965.db2.gz IYHKVWYWLHXFTP-STQMWFEESA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)Cc1n[n-]c(=NC(=O)NCCCc2nc[nH]n2)s1 ZINC000343713567 410641521 /nfs/dbraw/zinc/64/15/21/410641521.db2.gz KYEKFKWNSUQDOM-UHFFFAOYSA-N -1 1 309.399 1.031 20 0 DDADMM CC[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352942418 410690446 /nfs/dbraw/zinc/69/04/46/410690446.db2.gz NGNBWMNDYNCOSK-SECBINFHSA-N -1 1 312.185 1.481 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2ccc(Nc3ccncc3)cc2)C1 ZINC000340196016 410743888 /nfs/dbraw/zinc/74/38/88/410743888.db2.gz MWISLCKKPZGOON-CYBMUJFWSA-N -1 1 311.341 1.794 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)Oc1ccc(F)cc1)c1nn[n-]n1 ZINC000343771268 410683208 /nfs/dbraw/zinc/68/32/08/410683208.db2.gz XSLZTXRORNGGFT-JOYOIKCWSA-N -1 1 307.329 1.764 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cnnc(-c2ccccc2)c1 ZINC000356030419 410784646 /nfs/dbraw/zinc/78/46/46/410784646.db2.gz AZQNJVLIDOUVHE-UHFFFAOYSA-N -1 1 307.317 1.076 20 0 DDADMM CC(C)(C)C1CC(NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000356029736 410784761 /nfs/dbraw/zinc/78/47/61/410784761.db2.gz QYHYUZJFAPAJCF-UHFFFAOYSA-N -1 1 305.378 1.458 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2ccc(F)cn2)c1Br ZINC000337459837 410802915 /nfs/dbraw/zinc/80/29/15/410802915.db2.gz OUQHWCPCEPFMEI-UHFFFAOYSA-N -1 1 313.130 1.943 20 0 DDADMM C[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@H]1CCCOC1 ZINC000355957456 410749763 /nfs/dbraw/zinc/74/97/63/410749763.db2.gz RSXYPZXYSRHEGQ-QWRGUYRKSA-N -1 1 301.346 1.468 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](CC3CC3)C2)o1 ZINC000359703375 410824802 /nfs/dbraw/zinc/82/48/02/410824802.db2.gz BRZAKYBHSCTREU-LLVKDONJSA-N -1 1 312.391 1.450 20 0 DDADMM CCNC(=O)CCCC(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000337571017 410869513 /nfs/dbraw/zinc/86/95/13/410869513.db2.gz CZTXUEIBLXWQAP-UHFFFAOYSA-N -1 1 308.334 1.424 20 0 DDADMM CO[C@@H]1C[C@@H](c2ccccc2)CC[C@H]1NC(=O)c1cnn[nH]1 ZINC000343997493 410876127 /nfs/dbraw/zinc/87/61/27/410876127.db2.gz XWYKHCQGMCDDDQ-GZBFAFLISA-N -1 1 300.362 1.886 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)Nc2cc(C(=O)OC)ccc2[O-])CC(=O)N1 ZINC000337587466 410879352 /nfs/dbraw/zinc/87/93/52/410879352.db2.gz UHKTTZIZGDANKQ-WDEREUQCSA-N -1 1 320.345 1.422 20 0 DDADMM CC(C)(NC(=O)CCCOc1ccccc1F)c1nn[n-]n1 ZINC000359796544 410884696 /nfs/dbraw/zinc/88/46/96/410884696.db2.gz WXHVVVPPIJXCGR-UHFFFAOYSA-N -1 1 307.329 1.549 20 0 DDADMM Cc1oc(-c2ccccc2)nc1CC(=O)NC1(c2nn[n-]n2)CC1 ZINC000348279855 410893583 /nfs/dbraw/zinc/89/35/83/410893583.db2.gz QEFUIKVYXONFLM-UHFFFAOYSA-N -1 1 324.344 1.511 20 0 DDADMM CCCCN(C)c1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348290484 410901307 /nfs/dbraw/zinc/90/13/07/410901307.db2.gz FTEQUUVWZUIKEG-UHFFFAOYSA-N -1 1 314.393 1.855 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C[C@H]1OC ZINC000331142825 410974789 /nfs/dbraw/zinc/97/47/89/410974789.db2.gz GBUQCKRLFVGRSC-GHMZBOCLSA-N -1 1 323.393 1.274 20 0 DDADMM Cc1nc2ccccn2c1CN1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000344130620 410977824 /nfs/dbraw/zinc/97/78/24/410977824.db2.gz XVIRIBCHUMBZTB-GFCCVEGCSA-N -1 1 312.377 1.846 20 0 DDADMM CCOC(=O)c1cccc([N-]S(=O)(=O)C[C@H](C)OC)c1 ZINC000337778429 410996653 /nfs/dbraw/zinc/99/66/53/410996653.db2.gz ZEJOMHFPWWTIGA-JTQLQIEISA-N -1 1 301.364 1.640 20 0 DDADMM CC[C@H]1CN(C(=O)c2ncc(C)cc2[O-])CCN1CC(F)F ZINC000331207126 411018367 /nfs/dbraw/zinc/01/83/67/411018367.db2.gz PFYKIISEVRAQSO-NSHDSACASA-N -1 1 313.348 1.897 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCO[C@@H](c2ccccc2)C1 ZINC000635197579 422873738 /nfs/dbraw/zinc/87/37/38/422873738.db2.gz JCDDNSOAAHGNPH-CYBMUJFWSA-N -1 1 301.350 1.123 20 0 DDADMM Cc1ccc(S(=O)(=O)CCCN2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000652359579 422975939 /nfs/dbraw/zinc/97/59/39/422975939.db2.gz GUARTNKXPMRZMD-CYBMUJFWSA-N -1 1 311.403 1.565 20 0 DDADMM Cc1nc(CN(CCC(=O)[O-])Cc2ccc(F)c(F)c2)n[nH]1 ZINC000652473968 423035545 /nfs/dbraw/zinc/03/55/45/423035545.db2.gz DHDYQMQMYAKTPC-UHFFFAOYSA-N -1 1 310.304 1.868 20 0 DDADMM C[C@H]1CCN(CCS(=O)(=O)c2ccccc2)[C@H](C(=O)[O-])C1 ZINC000652502351 423047181 /nfs/dbraw/zinc/04/71/81/423047181.db2.gz LUZVXHALABISQV-JSGCOSHPSA-N -1 1 311.403 1.645 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCCC[C@H]1O)c1cc(F)ccc1F ZINC000135998534 196513510 /nfs/dbraw/zinc/51/35/10/196513510.db2.gz NNAKHHYZMKYFMG-VXGBXAGGSA-N -1 1 305.346 1.937 20 0 DDADMM COc1ccc(-c2nc(C3(S(C)(=O)=O)CC3)no2)c([O-])c1 ZINC000350591510 306753740 /nfs/dbraw/zinc/75/37/40/306753740.db2.gz ZDZRCZMEIIUUHD-UHFFFAOYSA-N -1 1 310.331 1.485 20 0 DDADMM COC1(C(=O)NCc2cc(=O)[n-]c(SC)n2)CCCCC1 ZINC000640656851 423117853 /nfs/dbraw/zinc/11/78/53/423117853.db2.gz VPYCDTFOZMBIOA-UHFFFAOYSA-N -1 1 311.407 1.870 20 0 DDADMM CCc1nc(=NC[C@@H]2CCCN(C)[C@H]2c2cnn(C)c2)s[n-]1 ZINC000360481738 418445647 /nfs/dbraw/zinc/44/56/47/418445647.db2.gz AOCUSIHVVGAKBE-SMDDNHRTSA-N -1 1 320.466 1.751 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000360616517 418477402 /nfs/dbraw/zinc/47/74/02/418477402.db2.gz FPNFHBYXOYJRGR-MWLCHTKSSA-N -1 1 312.391 1.675 20 0 DDADMM CCO[C@@H]1C[C@](O)(CNC(=O)c2cc(F)ccc2[O-])C1(C)C ZINC000191802454 222110288 /nfs/dbraw/zinc/11/02/88/222110288.db2.gz BMGONUIEFJIYTN-CJNGLKHVSA-N -1 1 311.353 1.827 20 0 DDADMM COCCNC(=O)c1cc(I)ccc1[O-] ZINC000192739748 222134387 /nfs/dbraw/zinc/13/43/87/222134387.db2.gz JYTXWUNCVJSMMD-UHFFFAOYSA-N -1 1 321.114 1.373 20 0 DDADMM CCOCCCNC(=O)[C@H](C)Sc1nc(CC)cc(=O)[n-]1 ZINC000195060091 222189795 /nfs/dbraw/zinc/18/97/95/222189795.db2.gz MKPOHMQULUCIRS-JTQLQIEISA-N -1 1 313.423 1.356 20 0 DDADMM O=C(C=Cc1ccc(F)c(F)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000367523047 418607598 /nfs/dbraw/zinc/60/75/98/418607598.db2.gz LUWMNSVBGLOSOQ-KMOQFTEPSA-N -1 1 321.287 1.091 20 0 DDADMM NC(=O)[C@H]1c2ccccc2CCN1C(=O)c1cc(F)ccc1[O-] ZINC000367528993 418608593 /nfs/dbraw/zinc/60/85/93/418608593.db2.gz LGWQXWHFWVWYLA-OAHLLOKOSA-N -1 1 314.316 1.756 20 0 DDADMM C[C@@H]1CCC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC000361259421 418614837 /nfs/dbraw/zinc/61/48/37/418614837.db2.gz ASKNJEDPOVPHER-OUAUKWLOSA-N -1 1 303.366 1.220 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC(C2CCCC2)CC1 ZINC000367700755 418622670 /nfs/dbraw/zinc/62/26/70/418622670.db2.gz CTQNJRYNUJIUGT-UHFFFAOYSA-N -1 1 313.423 1.986 20 0 DDADMM COc1cccc(Cl)c1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000365775072 418907033 /nfs/dbraw/zinc/90/70/33/418907033.db2.gz ZMQJGCIIXSAJMJ-SNVBAGLBSA-N -1 1 323.740 1.075 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2C=C[C@H](CO)C2)c(F)c1 ZINC000425171927 228379291 /nfs/dbraw/zinc/37/92/91/228379291.db2.gz XDYQHIZAWSNZOW-VHSXEESVSA-N -1 1 303.330 1.489 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)C(=O)NCCF)c(F)c1 ZINC000425185768 228384781 /nfs/dbraw/zinc/38/47/81/228384781.db2.gz HMYCCHIDJGTAHT-MRVPVSSYSA-N -1 1 324.324 1.026 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1c(F)cc(C)cc1F)C1CC1 ZINC000425225564 228394083 /nfs/dbraw/zinc/39/40/83/228394083.db2.gz DGRPXQOBSYMNOW-LBPRGKRZSA-N -1 1 305.346 1.977 20 0 DDADMM COC(=O)[C@@H](c1ccccc1)N(C)C(=O)c1ncccc1[O-] ZINC000427450211 419661760 /nfs/dbraw/zinc/66/17/60/419661760.db2.gz KRYKTWOCVNALOY-CQSZACIVSA-N -1 1 300.314 1.774 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@@H](CCO)CC1 ZINC000422073842 419831675 /nfs/dbraw/zinc/83/16/75/419831675.db2.gz NFNKPJVQRXSLNK-LLVKDONJSA-N -1 1 309.435 1.764 20 0 DDADMM CN=c1[n-]nc(CC(=O)Nc2nc3ccc(F)cc3[nH]2)s1 ZINC000428043289 419799232 /nfs/dbraw/zinc/79/92/32/419799232.db2.gz PCFSZSMHODQPFB-UHFFFAOYSA-N -1 1 306.326 1.198 20 0 DDADMM CCN(C[C@H](O)C(F)(F)F)C(=O)c1csc(=NC2CC2)[n-]1 ZINC000428496318 419877394 /nfs/dbraw/zinc/87/73/94/419877394.db2.gz FGSLRQPQIRFYHX-VIFPVBQESA-N -1 1 323.340 1.525 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)F)c1cc(F)c(F)c(F)c1 ZINC000428414008 419862980 /nfs/dbraw/zinc/86/29/80/419862980.db2.gz NTTHAWIWHDIXBG-YFKPBYRVSA-N -1 1 319.251 1.398 20 0 DDADMM C[C@@H]1COCC[C@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000432309463 229094851 /nfs/dbraw/zinc/09/48/51/229094851.db2.gz XKNDLOLNBMWCHL-HTQZYQBOSA-N -1 1 324.196 1.745 20 0 DDADMM FC(F)c1n[n-]c(=NCc2n[nH]c(COc3ccccc3)n2)o1 ZINC000432177210 229085560 /nfs/dbraw/zinc/08/55/60/229085560.db2.gz OHAABBVPORNRBD-UHFFFAOYSA-N -1 1 322.275 1.738 20 0 DDADMM CN1CCN(CCCN=c2nc(C3CCCC3)[n-]s2)CC1 ZINC000420593202 420325267 /nfs/dbraw/zinc/32/52/67/420325267.db2.gz XCRWTCIZJBWPTN-UHFFFAOYSA-N -1 1 309.483 1.667 20 0 DDADMM Cc1cc(=NC(=O)c2cncc([O-])c2)[nH]n1CC(F)(F)F ZINC000416316535 420327330 /nfs/dbraw/zinc/32/73/30/420327330.db2.gz BIVMHTDCJTXIDX-UHFFFAOYSA-N -1 1 300.240 1.529 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccccc2O)c1 ZINC000436615507 420344700 /nfs/dbraw/zinc/34/47/00/420344700.db2.gz FGJIZJBHABMTMA-UHFFFAOYSA-N -1 1 322.342 1.258 20 0 DDADMM Cc1n[nH]c(C)c1CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425320934 420348668 /nfs/dbraw/zinc/34/86/68/420348668.db2.gz LZRKKRNMIRLSPZ-UHFFFAOYSA-N -1 1 305.338 1.064 20 0 DDADMM COc1nc(C)ccc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425325239 420351698 /nfs/dbraw/zinc/35/16/98/420351698.db2.gz ROXUSYGPCRQYIY-UHFFFAOYSA-N -1 1 318.333 1.507 20 0 DDADMM COC(=O)C[C@H](C)CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425327546 420354095 /nfs/dbraw/zinc/35/40/95/420354095.db2.gz VROXRZSERNOODE-SECBINFHSA-N -1 1 311.338 1.071 20 0 DDADMM O=C(Cn1cc(NC(=O)c2ccccc2[O-])cn1)NC1CC1 ZINC000436722489 420360476 /nfs/dbraw/zinc/36/04/76/420360476.db2.gz NZOSRJRTBBLGIM-UHFFFAOYSA-N -1 1 300.318 1.120 20 0 DDADMM NC(=O)CC1(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)CC1 ZINC000420701358 420362323 /nfs/dbraw/zinc/36/23/23/420362323.db2.gz FZFPMBJDSRUCIZ-UHFFFAOYSA-N -1 1 322.308 1.038 20 0 DDADMM O=C(C(=O)N1CCOC[C@@H](C2CCC2)C1)c1ccc([O-])cc1 ZINC000436796080 420368732 /nfs/dbraw/zinc/36/87/32/420368732.db2.gz TVVTZTGDVXCUFU-AWEZNQCLSA-N -1 1 303.358 1.850 20 0 DDADMM CN(C)C(=O)N1CCN(C(=O)c2cc(F)ccc2[O-])C(C)(C)C1 ZINC000436815343 420369846 /nfs/dbraw/zinc/36/98/46/420369846.db2.gz LCCRTQHZZXBVCB-UHFFFAOYSA-N -1 1 323.368 1.749 20 0 DDADMM CNC(=O)N[C@H]1CCN(C(=O)c2ccc3ccccc3c2[O-])C1 ZINC000436810829 420370938 /nfs/dbraw/zinc/37/09/38/420370938.db2.gz YXBIIDIJWVETTI-LBPRGKRZSA-N -1 1 313.357 1.689 20 0 DDADMM O=C(NC[C@H]1CCCCS1(=O)=O)c1cc(Cl)ccc1[O-] ZINC000436829259 420371627 /nfs/dbraw/zinc/37/16/27/420371627.db2.gz LCHFZLYTOBUAJH-SNVBAGLBSA-N -1 1 317.794 1.743 20 0 DDADMM CN(C)c1n[nH]c(NC(=O)c2ccc(C(F)(F)F)cc2[O-])n1 ZINC000436851957 420377570 /nfs/dbraw/zinc/37/75/70/420377570.db2.gz GQDXMWBLNCZTDP-UHFFFAOYSA-N -1 1 315.255 1.847 20 0 DDADMM CCn1c(NC(=O)C(=O)c2ccc([O-])cc2)nc2cccnc21 ZINC000436882485 420379289 /nfs/dbraw/zinc/37/92/89/420379289.db2.gz ZRFVYSPXCOVQBD-UHFFFAOYSA-N -1 1 310.313 1.978 20 0 DDADMM O=C(C(=O)N1CCO[C@H](COCC2CC2)C1)c1ccc([O-])cc1 ZINC000436929251 420383655 /nfs/dbraw/zinc/38/36/55/420383655.db2.gz YVRAFXDZNBAOCO-HNNXBMFYSA-N -1 1 319.357 1.229 20 0 DDADMM CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)c1c([O-])cccc1F ZINC000436931346 420383981 /nfs/dbraw/zinc/38/39/81/420383981.db2.gz BGAGKKUAVSJTPX-HNNXBMFYSA-N -1 1 313.325 1.354 20 0 DDADMM O=C(Nc1cn(Cc2ccccc2)nn1)C(=O)c1ccc([O-])cc1 ZINC000436921477 420384938 /nfs/dbraw/zinc/38/49/38/420384938.db2.gz SZPWLLTZQXVGPV-UHFFFAOYSA-N -1 1 322.324 1.853 20 0 DDADMM CCc1ccc([C@@H](CO)NC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000437000229 420391129 /nfs/dbraw/zinc/39/11/29/420391129.db2.gz OSVGGKKUNLKBEE-MRXNPFEDSA-N -1 1 313.353 1.987 20 0 DDADMM Cc1ccsc1[C@@H](CO)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000437004079 420391731 /nfs/dbraw/zinc/39/17/31/420391731.db2.gz VWRXPOAKDIOPNS-GFCCVEGCSA-N -1 1 305.355 1.795 20 0 DDADMM C[N@H+]1CC[C@@H](CNS(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000416494830 420392496 /nfs/dbraw/zinc/39/24/96/420392496.db2.gz JPZIFMGBHGQWLF-QMMMGPOBSA-N -1 1 308.325 1.334 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](C)CCOC)o1 ZINC000416587604 420425855 /nfs/dbraw/zinc/42/58/55/420425855.db2.gz PYSXMBKXCVPZIF-SNVBAGLBSA-N -1 1 319.379 1.407 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CS[C@@H](C)C2)o1 ZINC000416598529 420429990 /nfs/dbraw/zinc/42/99/90/420429990.db2.gz TXOGHJVXAMXQFL-JGVFFNPUSA-N -1 1 305.377 1.239 20 0 DDADMM CC[C@@H]1CCCC[C@H]1CN=c1ccc(C(=O)NCCO)n[n-]1 ZINC000450616545 420499797 /nfs/dbraw/zinc/49/97/97/420499797.db2.gz BKPGXVZUORFDJS-OLZOCXBDSA-N -1 1 306.410 1.249 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2C[C@H](OC)C2(C)C)o1 ZINC000440391646 420573715 /nfs/dbraw/zinc/57/37/15/420573715.db2.gz LNGXXOXXPYKVPC-UWVGGRQHSA-N -1 1 317.363 1.158 20 0 DDADMM C[C@@H](CN(C)C(=O)/C=C\SCc1ccco1)c1nn[n-]n1 ZINC000492548608 420589411 /nfs/dbraw/zinc/58/94/11/420589411.db2.gz JQINGIWKDSQRTF-BXKUYDPTSA-N -1 1 307.379 1.802 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3nc(C)cn3c2)cn1 ZINC000442622347 420690980 /nfs/dbraw/zinc/69/09/80/420690980.db2.gz OFPHHCMEGGRLOB-UHFFFAOYSA-N -1 1 318.358 1.847 20 0 DDADMM COc1cc(C(=O)NCCn2cnnc2C)cc(Cl)c1[O-] ZINC000442660610 420695547 /nfs/dbraw/zinc/69/55/47/420695547.db2.gz ANEXVRFLPZSYEM-UHFFFAOYSA-N -1 1 310.741 1.384 20 0 DDADMM C[C@H](O)CCS(=O)(=O)c1nc(-c2ccc3c(c2)CCC3)n[n-]1 ZINC000453026098 420703742 /nfs/dbraw/zinc/70/37/42/420703742.db2.gz MKDKPZZJWDKUOX-JTQLQIEISA-N -1 1 321.402 1.505 20 0 DDADMM C[C@H](O)CCS(=O)(=O)c1n[n-]c(-c2ccc3c(c2)CCC3)n1 ZINC000453026098 420703745 /nfs/dbraw/zinc/70/37/45/420703745.db2.gz MKDKPZZJWDKUOX-JTQLQIEISA-N -1 1 321.402 1.505 20 0 DDADMM COC[C@@H]1CCCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454350566 420843274 /nfs/dbraw/zinc/84/32/74/420843274.db2.gz NIWXKMMTHUHEJS-NSHDSACASA-N -1 1 301.346 1.564 20 0 DDADMM CO[C@H](Cc1ccccc1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000449929490 421113841 /nfs/dbraw/zinc/11/38/41/421113841.db2.gz RZRSYASMSNBLES-CYBMUJFWSA-N -1 1 315.377 1.343 20 0 DDADMM Cc1nc(CSc2n[n-]c(=NC[C@@H]3CCCO3)s2)n[nH]1 ZINC000488241951 421078308 /nfs/dbraw/zinc/07/83/08/421078308.db2.gz GJMSCPSIVHGKFG-QMMMGPOBSA-N -1 1 312.424 1.270 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000495873214 421079526 /nfs/dbraw/zinc/07/95/26/421079526.db2.gz FXMZTTGYZLSQDI-VHSXEESVSA-N -1 1 309.370 1.228 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H]1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000495873215 421079816 /nfs/dbraw/zinc/07/98/16/421079816.db2.gz FXMZTTGYZLSQDI-ZJUUUORDSA-N -1 1 309.370 1.228 20 0 DDADMM c1n[n-]c(=NCCCN2CCN(c3ccccc3)CC2)s1 ZINC000450250415 421170754 /nfs/dbraw/zinc/17/07/54/421170754.db2.gz JWALIANGIZUNMN-UHFFFAOYSA-N -1 1 303.435 1.584 20 0 DDADMM CN(C)[C@@H](CN=c1nn[n-]n1C)c1cccc(C(F)(F)F)c1 ZINC000546681979 421303229 /nfs/dbraw/zinc/30/32/29/421303229.db2.gz OXXRZUUKBOFWCJ-NSHDSACASA-N -1 1 314.315 1.366 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3ccc([O-])cc3F)[C@H]2C1 ZINC000562223167 421344613 /nfs/dbraw/zinc/34/46/13/421344613.db2.gz HTVYDWMTWFTODO-PJODQICGSA-N -1 1 320.364 1.908 20 0 DDADMM COc1ccccc1[C@@H](CO)NC(=O)c1ncc(C)cc1[O-] ZINC000547656642 421351724 /nfs/dbraw/zinc/35/17/24/421351724.db2.gz DHGNVYHLENQVFW-GFCCVEGCSA-N -1 1 302.330 1.568 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)Nc1ccc(O)cc1 ZINC000562451322 421369218 /nfs/dbraw/zinc/36/92/18/421369218.db2.gz BDHHXUUQGKJEQN-UHFFFAOYSA-N -1 1 312.329 1.939 20 0 DDADMM CN1CCc2ccc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)cc21 ZINC000548463506 421442092 /nfs/dbraw/zinc/44/20/92/421442092.db2.gz NBJHLWGNFSISHI-CYBMUJFWSA-N -1 1 312.377 1.212 20 0 DDADMM O=S(=O)(N=c1cc2ccccn2[n-]1)c1ccc2c(c1)CCO2 ZINC000551952319 421559365 /nfs/dbraw/zinc/55/93/65/421559365.db2.gz QZCLVIFFDUYGKB-UHFFFAOYSA-N -1 1 315.354 1.492 20 0 DDADMM COC(=O)C1(c2ccc(S(=O)(=O)[N-]c3cnc[nH]3)cc2)CC1 ZINC000516792752 421568672 /nfs/dbraw/zinc/56/86/72/421568672.db2.gz XSVUNQCQMIGLPT-UHFFFAOYSA-N -1 1 321.358 1.415 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)[C@H](C)N(C)C[C@H](C)C(=O)[O-])c1C ZINC000563716743 421537706 /nfs/dbraw/zinc/53/77/06/421537706.db2.gz NHYXQATXTPZCND-KWQFWETISA-N -1 1 324.377 1.642 20 0 DDADMM Cc1nc(=NC(=O)[C@H]2CCc3[nH]c(C(C)C)nc3C2)s[n-]1 ZINC000530486633 421603956 /nfs/dbraw/zinc/60/39/56/421603956.db2.gz XURZSCMOASFFMA-VIFPVBQESA-N -1 1 305.407 1.859 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCOC(C)(C)C)o1 ZINC000517410876 421613400 /nfs/dbraw/zinc/61/34/00/421613400.db2.gz NDOYZDHKRZAJTQ-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM O=C(c1cc2cccnc2s1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000570397581 421644135 /nfs/dbraw/zinc/64/41/35/421644135.db2.gz HHWVQBSQXBZBBS-SNVBAGLBSA-N -1 1 314.374 1.829 20 0 DDADMM O=C(NCc1ccccc1CO)c1csc(=NC2CC2)[n-]1 ZINC000532284734 421654819 /nfs/dbraw/zinc/65/48/19/421654819.db2.gz WWHDUBKLJBJLPQ-UHFFFAOYSA-N -1 1 303.387 1.562 20 0 DDADMM CCC1(CC)CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000530406495 421600090 /nfs/dbraw/zinc/60/00/90/421600090.db2.gz SIXCOCFNBQZZAT-UHFFFAOYSA-N -1 1 317.393 1.896 20 0 DDADMM O=C(c1ccc2c(c1)CCO2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000519388676 421690249 /nfs/dbraw/zinc/69/02/49/421690249.db2.gz CWDPUGMJOUCXSH-GFCCVEGCSA-N -1 1 315.329 1.730 20 0 DDADMM CC[C@H](NC(=O)c1c(C)[n-]c(=O)nc1SC)[C@H]1CCCO1 ZINC000534410963 421691323 /nfs/dbraw/zinc/69/13/23/421691323.db2.gz ISWCRSLBOAFNIU-VHSXEESVSA-N -1 1 311.407 1.900 20 0 DDADMM CC(=O)[C@H](C)CCCCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000537124033 421727293 /nfs/dbraw/zinc/72/72/93/421727293.db2.gz VYXFBEWNTWSDGC-YPMHNXCESA-N -1 1 307.398 1.691 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1cccnc1 ZINC000538223710 421737478 /nfs/dbraw/zinc/73/74/78/421737478.db2.gz BPCACGDBDMQMSK-YPMHNXCESA-N -1 1 300.366 1.495 20 0 DDADMM CC(C)Cc1c[nH]c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000556824018 421742334 /nfs/dbraw/zinc/74/23/34/421742334.db2.gz CPBBSSQADDWFTH-GFCCVEGCSA-N -1 1 302.382 1.746 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1(C)COC1)C1CCCCC1 ZINC000572066169 421763509 /nfs/dbraw/zinc/76/35/09/421763509.db2.gz NYFRPMAUOCOOMC-LBPRGKRZSA-N -1 1 319.423 1.064 20 0 DDADMM O=C(NC1(c2ccccc2)CCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000541840422 421807045 /nfs/dbraw/zinc/80/70/45/421807045.db2.gz JDWNZIBXLCHITD-UHFFFAOYSA-N -1 1 323.356 1.617 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)N2CCC[C@H](CC(=O)[O-])C2)n[nH]1 ZINC000572492925 421794468 /nfs/dbraw/zinc/79/44/68/421794468.db2.gz PCEQEUVNVADNPL-SNVBAGLBSA-N -1 1 323.397 1.499 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cccc([C@@H]2CCCOC2)c1 ZINC000572573837 421800059 /nfs/dbraw/zinc/80/00/59/421800059.db2.gz KTQYRFLUHUXLQK-CYBMUJFWSA-N -1 1 313.361 1.513 20 0 DDADMM COCCCCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000631839058 421871853 /nfs/dbraw/zinc/87/18/53/421871853.db2.gz ZIZGYHZLAGSAFT-UHFFFAOYSA-N -1 1 315.317 1.524 20 0 DDADMM O=C(N=c1cc(-c2cccc(F)c2F)[n-][nH]1)[C@H]1CCCNC1=O ZINC000633424200 421895214 /nfs/dbraw/zinc/89/52/14/421895214.db2.gz YFZGSYZWFPTXBA-VIFPVBQESA-N -1 1 320.299 1.242 20 0 DDADMM O=C(CNC(=O)c1ccc(C(F)(F)F)c([O-])c1)N1CCCO1 ZINC000627889687 421933636 /nfs/dbraw/zinc/93/36/36/421933636.db2.gz KRNVDIQRIJASKU-UHFFFAOYSA-N -1 1 318.251 1.305 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N(C)c1ccc(OCC(=O)[O-])cc1 ZINC000630192797 421951819 /nfs/dbraw/zinc/95/18/19/421951819.db2.gz XYAUYBOYFLJZPD-LLVKDONJSA-N -1 1 317.345 1.715 20 0 DDADMM COc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c(O)c1 ZINC000630220602 421970208 /nfs/dbraw/zinc/97/02/08/421970208.db2.gz PXFVTBDHDRANIM-LLVKDONJSA-N -1 1 322.361 1.022 20 0 DDADMM C[C@H](CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)n1cccc1 ZINC000630222298 421972090 /nfs/dbraw/zinc/97/20/90/421972090.db2.gz GHJFUIQZCJTDMF-ZIAGYGMSSA-N -1 1 307.394 1.447 20 0 DDADMM CC(=O)NC1CCN(C(=O)N=c2[n-]sc3ccccc32)CC1 ZINC000630348633 422037983 /nfs/dbraw/zinc/03/79/83/422037983.db2.gz QACRPDGEZHUMJD-UHFFFAOYSA-N -1 1 318.402 1.851 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000573688442 422001211 /nfs/dbraw/zinc/00/12/11/422001211.db2.gz RZAQNTNBLGWSEB-KGLIPLIRSA-N -1 1 310.369 1.901 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000581944136 422073554 /nfs/dbraw/zinc/07/35/54/422073554.db2.gz SODAXAUYRCLOPN-VXGBXAGGSA-N -1 1 320.393 1.745 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1[C@H](C(=O)[O-])C1(C)C)c1ccc(F)cc1 ZINC000582002359 422086268 /nfs/dbraw/zinc/08/62/68/422086268.db2.gz DAUIYRVTEOVJAY-HZSPNIEDSA-N -1 1 322.380 1.901 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2cccc(N(C)C)n2)sn1 ZINC000632142581 422115089 /nfs/dbraw/zinc/11/50/89/422115089.db2.gz HZXCRYRCLHUKQK-UHFFFAOYSA-N -1 1 312.420 1.391 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CC(=O)N(C(C)(C)C)C2)sn1 ZINC000632059215 422059694 /nfs/dbraw/zinc/05/96/94/422059694.db2.gz DPOCEBHSZKZAHR-SECBINFHSA-N -1 1 317.436 1.129 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN(C(=O)C2CC2)CC1 ZINC000630495196 422139363 /nfs/dbraw/zinc/13/93/63/422139363.db2.gz NEXFSKQMBFTORK-UHFFFAOYSA-N -1 1 322.792 1.669 20 0 DDADMM N[C@@H](C(=O)N1CC[C@@H](C(=O)[O-])C1)c1ccc(C(F)(F)F)cc1 ZINC000630571437 422187372 /nfs/dbraw/zinc/18/73/72/422187372.db2.gz NDRDCUDCCDGSPY-MWLCHTKSSA-N -1 1 316.279 1.638 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](C)[C@@H](F)C2)c1 ZINC000632187187 422150680 /nfs/dbraw/zinc/15/06/80/422150680.db2.gz WTUFCQMWCOMLIB-ONGXEEELSA-N -1 1 303.355 1.769 20 0 DDADMM CC[C@@H]1CN(CCNC(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000574678142 422157965 /nfs/dbraw/zinc/15/79/65/422157965.db2.gz OPVRBKNUHHRKBG-SNVBAGLBSA-N -1 1 314.332 1.511 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)CCCc2nn[n-]n2)C12CCCC2 ZINC000635625776 422177409 /nfs/dbraw/zinc/17/74/09/422177409.db2.gz FDYCNTOMBQLBIT-VXGBXAGGSA-N -1 1 307.398 1.376 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](C)O[C@H](C)[C@H]2C)c1 ZINC000632258833 422200042 /nfs/dbraw/zinc/20/00/42/422200042.db2.gz VTJNMJRYLRYCDH-HBNTYKKESA-N -1 1 315.391 1.587 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@](C)(O)CC2)c1 ZINC000632292624 422227082 /nfs/dbraw/zinc/22/70/82/422227082.db2.gz UCZGRAAUZQFTGR-AWEZNQCLSA-N -1 1 315.391 1.326 20 0 DDADMM O=S(=O)([N-]CCCOC(F)F)c1c[nH]nc1C(F)(F)F ZINC000632311528 422242090 /nfs/dbraw/zinc/24/20/90/422242090.db2.gz DWDBLBXUFMEGLY-UHFFFAOYSA-N -1 1 323.243 1.336 20 0 DDADMM CN(C(=O)CCCc1nn[n-]n1)[C@@H](CCO)c1ccccc1 ZINC000635710563 422267145 /nfs/dbraw/zinc/26/71/45/422267145.db2.gz VSZNCRYNYCNFTC-ZDUSSCGKSA-N -1 1 303.366 1.105 20 0 DDADMM CCNC(=O)NCC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000632365758 422278305 /nfs/dbraw/zinc/27/83/05/422278305.db2.gz JURILUIRRMVZBB-UHFFFAOYSA-N -1 1 323.777 1.077 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCO[C@@H](C(F)F)CC1 ZINC000634159250 422294397 /nfs/dbraw/zinc/29/43/97/422294397.db2.gz AWMNLQPUXCWFMX-SNVBAGLBSA-N -1 1 317.361 1.636 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)[C@@H](OC)C2CC2)c1 ZINC000632420590 422328387 /nfs/dbraw/zinc/32/83/87/422328387.db2.gz KBJIXJKUWAPETP-YMTOWFKASA-N -1 1 315.391 1.493 20 0 DDADMM Cc1noc(CS(=O)(=O)c2nc(-c3ccccc3F)n[n-]2)n1 ZINC000575826909 422332308 /nfs/dbraw/zinc/33/23/08/422332308.db2.gz LUJSYKWXQHZTDH-UHFFFAOYSA-N -1 1 323.309 1.276 20 0 DDADMM Cc1noc(CS(=O)(=O)c2n[n-]c(-c3ccccc3F)n2)n1 ZINC000575826909 422332314 /nfs/dbraw/zinc/33/23/14/422332314.db2.gz LUJSYKWXQHZTDH-UHFFFAOYSA-N -1 1 323.309 1.276 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](O)C1)c1ccc(Cl)c(F)c1Cl ZINC000632431168 422339140 /nfs/dbraw/zinc/33/91/40/422339140.db2.gz VMTHITDPGPDPRH-OLQVQODUSA-N -1 1 314.165 1.934 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@H](Oc2cccc(F)c2)C1 ZINC000635805189 422361709 /nfs/dbraw/zinc/36/17/09/422361709.db2.gz CFJODCJYWPRJNZ-ZDUSSCGKSA-N -1 1 319.340 1.341 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C[C@H]1C1CCC1 ZINC000576032119 422362642 /nfs/dbraw/zinc/36/26/42/422362642.db2.gz NKZXCSIXXOBGMI-UONOGXRCSA-N -1 1 314.389 1.899 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)Cc2ccc([O-])c(Cl)c2)[C@@H]1OC ZINC000632462537 422364733 /nfs/dbraw/zinc/36/47/33/422364733.db2.gz RHBLVXIZQZPKRH-LNSITVRQSA-N -1 1 313.781 1.897 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@@H](n2cncn2)C1 ZINC000632564347 422439507 /nfs/dbraw/zinc/43/95/07/422439507.db2.gz RSQICQZGPHYRNC-LLVKDONJSA-N -1 1 306.753 1.653 20 0 DDADMM COCCn1ccc(=NC(=O)Cc2ccc([O-])c(Cl)c2)[nH]1 ZINC000630887188 422395606 /nfs/dbraw/zinc/39/56/06/422395606.db2.gz MNBGMCLJQAJGFH-UHFFFAOYSA-N -1 1 309.753 1.492 20 0 DDADMM COCCN(Cc1ccc(F)cc1Cl)C[C@](C)(O)C(=O)[O-] ZINC000578137435 422506088 /nfs/dbraw/zinc/50/60/88/422506088.db2.gz IQMGAXVWRGLOTQ-AWEZNQCLSA-N -1 1 319.760 1.763 20 0 DDADMM Cn1ccnc1-n1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634630524 422515913 /nfs/dbraw/zinc/51/59/13/422515913.db2.gz YGZZWYWZRDBMOV-CQSZACIVSA-N -1 1 309.373 1.645 20 0 DDADMM CCC[C@@H](CCO)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632619335 422477749 /nfs/dbraw/zinc/47/77/49/422477749.db2.gz IVJHKTDGIHKJHF-JTQLQIEISA-N -1 1 303.380 1.230 20 0 DDADMM C[C@H]1C[C@@H](C(F)(F)F)CCN1C(=O)CCCc1nn[n-]n1 ZINC000635983279 422537408 /nfs/dbraw/zinc/53/74/08/422537408.db2.gz JXCNTJQXRDQRTO-IUCAKERBSA-N -1 1 305.304 1.712 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000635984678 422539170 /nfs/dbraw/zinc/53/91/70/422539170.db2.gz UGJNDMPQONKTFJ-UPJWGTAASA-N -1 1 307.398 1.065 20 0 DDADMM CC(C)(C)c1ccccc1OCCNC(=O)CCc1nn[n-]n1 ZINC000631176181 422583492 /nfs/dbraw/zinc/58/34/92/422583492.db2.gz KZANFOXRMVTUKT-UHFFFAOYSA-N -1 1 317.393 1.625 20 0 DDADMM CC1(C)C[C@H](S(=O)(=O)Nc2cccc(C(=O)[O-])c2O)CO1 ZINC000629297236 422590685 /nfs/dbraw/zinc/59/06/85/422590685.db2.gz URPUYBDBOOWWCB-QMMMGPOBSA-N -1 1 315.347 1.400 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCOC[C@@H]1CCOC1 ZINC000631200518 422597493 /nfs/dbraw/zinc/59/74/93/422597493.db2.gz QVFAFKGLZPCSSE-GFCCVEGCSA-N -1 1 313.781 1.757 20 0 DDADMM CNS(=O)(=O)CCCNC(=O)c1ccc2ccccc2c1[O-] ZINC000629347117 422623296 /nfs/dbraw/zinc/62/32/96/422623296.db2.gz JGDJRAYWRVMEAC-UHFFFAOYSA-N -1 1 322.386 1.215 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2[nH]nc(C(F)(F)F)c2C)n1C ZINC000629349465 422625806 /nfs/dbraw/zinc/62/58/06/422625806.db2.gz JZRMPOHVHYWJHU-UHFFFAOYSA-N -1 1 323.300 1.580 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cccc2cnccc21 ZINC000634798200 422652966 /nfs/dbraw/zinc/65/29/66/422652966.db2.gz NEBVJZZLALWQNB-UHFFFAOYSA-N -1 1 310.375 1.640 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H]3CCC[C@H]3C(=O)[O-])[nH]c2c1 ZINC000634822634 422669955 /nfs/dbraw/zinc/66/99/55/422669955.db2.gz ALBAIZMHZQEYEH-WDEREUQCSA-N -1 1 301.346 1.988 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@H]3CCC[C@@H]3C(=O)[O-])[nH]c21 ZINC000634827659 422672484 /nfs/dbraw/zinc/67/24/84/422672484.db2.gz BYSPKSMJYXUYPU-QWRGUYRKSA-N -1 1 301.346 1.988 20 0 DDADMM CCc1cccc(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)c1 ZINC000652925519 423217898 /nfs/dbraw/zinc/21/78/98/423217898.db2.gz TUYNMVCNEPTMRF-UHFFFAOYSA-N -1 1 303.318 1.389 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000650777761 423257188 /nfs/dbraw/zinc/25/71/88/423257188.db2.gz QSBXRAGIHFUTNX-YFKPBYRVSA-N -1 1 303.244 1.671 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCS[C@H]1C ZINC000648685921 423417226 /nfs/dbraw/zinc/41/72/26/423417226.db2.gz URUMDRDUPONHMV-JQWIXIFHSA-N -1 1 320.418 1.604 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCc4ccccc43)nc2n1 ZINC000643909520 423402686 /nfs/dbraw/zinc/40/26/86/423402686.db2.gz DOCVZIZDZHCOQI-CYBMUJFWSA-N -1 1 323.356 1.785 20 0 DDADMM CC(C)(C)c1ncc(C(=O)NC2(c3nn[n-]n3)CCCC2)cn1 ZINC000643989518 423431828 /nfs/dbraw/zinc/43/18/28/423431828.db2.gz OAHJHSJVNDRTRQ-UHFFFAOYSA-N -1 1 315.381 1.487 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)C(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000653344826 423448705 /nfs/dbraw/zinc/44/87/05/423448705.db2.gz DUHQHIPJEOFLTQ-LLVKDONJSA-N -1 1 305.338 1.228 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(S(C)(=O)=O)ccc1C ZINC000646409049 423477209 /nfs/dbraw/zinc/47/72/09/423477209.db2.gz AZXQDNMVQWZIEP-UHFFFAOYSA-N -1 1 309.347 1.388 20 0 DDADMM C[C@@H](CN(C)C(=O)C1CCC(C(C)(C)O)CC1)c1nn[n-]n1 ZINC000651720547 423657245 /nfs/dbraw/zinc/65/72/45/423657245.db2.gz CFHAIKWPIAEBKE-UNXYVOJBSA-N -1 1 309.414 1.339 20 0 DDADMM COCc1nc(=NC(=O)[C@@H]2C[C@@H](C)Cc3cn[nH]c32)s[n-]1 ZINC000646956981 423690787 /nfs/dbraw/zinc/69/07/87/423690787.db2.gz OHNOTGWQYXXDTI-IONNQARKSA-N -1 1 307.379 1.134 20 0 DDADMM C[C@H](C(=O)N1CCC(C)CC1)N1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639824553 423762867 /nfs/dbraw/zinc/76/28/67/423762867.db2.gz JBYGBVQOOHOSRT-CHWSQXEVSA-N -1 1 321.425 1.309 20 0 DDADMM CCCCn1ncc([N-]S(=O)(=O)C2(COC)CC2)c1C ZINC000641685536 423861644 /nfs/dbraw/zinc/86/16/44/423861644.db2.gz KADMZJXVOYMJMR-UHFFFAOYSA-N -1 1 301.412 1.912 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccncc1)c1nc(C(C)(C)C)no1 ZINC000656923301 423880253 /nfs/dbraw/zinc/88/02/53/423880253.db2.gz QNQUDULAELOCBH-SECBINFHSA-N -1 1 310.379 1.802 20 0 DDADMM O=S(=O)(CCCC1CCOCC1)N=c1cc2ccccn2[n-]1 ZINC000647275855 423939454 /nfs/dbraw/zinc/93/94/54/423939454.db2.gz JECNLPNPFYOCMD-UHFFFAOYSA-N -1 1 323.418 1.705 20 0 DDADMM O=C(N[C@]1(CO)CCOC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000649485580 423951396 /nfs/dbraw/zinc/95/13/96/423951396.db2.gz PZZGTSHFJUUEMJ-LBPRGKRZSA-N -1 1 305.252 1.292 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]1C(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000649462165 423928136 /nfs/dbraw/zinc/92/81/36/423928136.db2.gz HTVMXYKLVBZMRR-HUUCEWRRSA-N -1 1 320.364 1.627 20 0 DDADMM CCCc1nnc([N-]C(=O)c2coc(S(C)(=O)=O)c2)s1 ZINC000649533699 424005780 /nfs/dbraw/zinc/00/57/80/424005780.db2.gz OYVJMNHOXFEAIN-UHFFFAOYSA-N -1 1 315.376 1.739 20 0 DDADMM COCc1cncc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000644884337 424008832 /nfs/dbraw/zinc/00/88/32/424008832.db2.gz NBKQCIJLSOBSRT-NSHDSACASA-N -1 1 318.333 1.336 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCC1)c1ncccc1C(F)(F)F ZINC000657053504 424045629 /nfs/dbraw/zinc/04/56/29/424045629.db2.gz GEGGMMJFKZRWIF-SNVBAGLBSA-N -1 1 324.324 1.540 20 0 DDADMM O=C(NCc1nc([C@@H]2CCCO2)n[nH]1)c1ccc(Cl)cc1[O-] ZINC000655238214 424324255 /nfs/dbraw/zinc/32/42/55/424324255.db2.gz YDTJNTXAYDGOMN-NSHDSACASA-N -1 1 322.752 1.945 20 0 DDADMM CN(C(=O)N=c1[n-]sc2ccccc21)[C@@H]1CCN(C)C1=O ZINC000640339096 424358368 /nfs/dbraw/zinc/35/83/68/424358368.db2.gz KWTGUTPWZHLMSG-SNVBAGLBSA-N -1 1 304.375 1.413 20 0 DDADMM CN(C[C@H]1COCCO1)C(=O)N=c1[n-]sc2ccccc21 ZINC000640338225 424359125 /nfs/dbraw/zinc/35/91/25/424359125.db2.gz SWDLLGNQNUIYOI-JTQLQIEISA-N -1 1 307.375 1.597 20 0 DDADMM CN(C[C@@H]1COCCO1)C(=O)N=c1[n-]sc2ccccc21 ZINC000640338226 424359174 /nfs/dbraw/zinc/35/91/74/424359174.db2.gz SWDLLGNQNUIYOI-SNVBAGLBSA-N -1 1 307.375 1.597 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000640339774 424360626 /nfs/dbraw/zinc/36/06/26/424360626.db2.gz XGWUDVLXDXQKEI-OAHLLOKOSA-N -1 1 316.386 1.462 20 0 DDADMM C[C@@H](NC(=O)N=c1[n-]sc2ccccc21)C(=O)NCCF ZINC000640340035 424362881 /nfs/dbraw/zinc/36/28/81/424362881.db2.gz MTFNKBJGGWPBLJ-MRVPVSSYSA-N -1 1 310.354 1.314 20 0 DDADMM CC(=O)N1CC[C@@H](NC(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640343894 424366740 /nfs/dbraw/zinc/36/67/40/424366740.db2.gz VAAXCBPBKXATSD-SNVBAGLBSA-N -1 1 304.375 1.461 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(F)cc2N2CCOCC2)c1[O-] ZINC000655474670 424498525 /nfs/dbraw/zinc/49/85/25/424498525.db2.gz YTDPURBQUZQPBM-UHFFFAOYSA-N -1 1 320.324 1.652 20 0 DDADMM C[C@@]1(c2ccccc2)CCCN([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662204526 424469651 /nfs/dbraw/zinc/46/96/51/424469651.db2.gz HYDBKQALAWJMSF-MAUKXSAKSA-N -1 1 316.401 1.726 20 0 DDADMM O=C([O-])CN1CC[C@@H](N(Cc2ccc(Cl)cc2)C2CC2)C1=O ZINC000662205774 424472673 /nfs/dbraw/zinc/47/26/73/424472673.db2.gz ZYIOPEXXRNFHKJ-CQSZACIVSA-N -1 1 322.792 1.990 20 0 DDADMM CC1(C)[C@@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)C1(F)F ZINC000664423218 424585016 /nfs/dbraw/zinc/58/50/16/424585016.db2.gz TVANVEZRCRHYHY-SNVBAGLBSA-N -1 1 307.300 1.944 20 0 DDADMM C[C@H](CNC(=O)NCCN(C)Cc1ccc(F)cc1)C(=O)[O-] ZINC000655872176 424688484 /nfs/dbraw/zinc/68/84/84/424688484.db2.gz LOFUBKDMRHYMSJ-LLVKDONJSA-N -1 1 311.357 1.277 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)NC[C@@H](C(=O)[O-])C1CCC1 ZINC000665325892 424784482 /nfs/dbraw/zinc/78/44/82/424784482.db2.gz QHNJNUKPOAXAGR-KGLIPLIRSA-N -1 1 311.426 1.469 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCCOCC2)o1 ZINC000345193989 272188226 /nfs/dbraw/zinc/18/82/26/272188226.db2.gz AYDLPEXISDXXKH-SNVBAGLBSA-N -1 1 317.363 1.304 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CC(=O)N1C ZINC000282491284 288027848 /nfs/dbraw/zinc/02/78/48/288027848.db2.gz WESWQYGXWGFJAE-MRVPVSSYSA-N -1 1 316.279 1.714 20 0 DDADMM Cc1nnc([C@H]2CCCCN2C(=O)c2ncc(C)cc2[O-])[nH]1 ZINC000407981551 279108083 /nfs/dbraw/zinc/10/80/83/279108083.db2.gz UULWVKBPKSTRBK-LLVKDONJSA-N -1 1 301.350 1.890 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1nc2c(s1)CCC2 ZINC000415380071 279639270 /nfs/dbraw/zinc/63/92/70/279639270.db2.gz KZPJEDCNYHLANE-UHFFFAOYSA-N -1 1 320.374 1.216 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)NC[C@H](CC(C)C)C(=O)[O-] ZINC000424429814 288283543 /nfs/dbraw/zinc/28/35/43/288283543.db2.gz KWYGFNBNTUDSTH-KBPBESRZSA-N -1 1 313.442 1.859 20 0 DDADMM CC(C)N1CC[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1=O ZINC000084938222 281014715 /nfs/dbraw/zinc/01/47/15/281014715.db2.gz AIAYGRLRMJKBBP-GFCCVEGCSA-N -1 1 322.386 1.720 20 0 DDADMM CC(C)CC1(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CCCC1 ZINC000129930922 281361723 /nfs/dbraw/zinc/36/17/23/281361723.db2.gz AXDDAPQASXXVTE-UHFFFAOYSA-N -1 1 314.411 1.753 20 0 DDADMM CC(C)CC1(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CCCC1 ZINC000129930922 281361726 /nfs/dbraw/zinc/36/17/26/281361726.db2.gz AXDDAPQASXXVTE-UHFFFAOYSA-N -1 1 314.411 1.753 20 0 DDADMM NC(=O)c1coc(C[N-]S(=O)(=O)c2cccc(F)c2F)c1 ZINC000188249837 281854863 /nfs/dbraw/zinc/85/48/63/281854863.db2.gz ZALZSDHRPRVNHJ-UHFFFAOYSA-N -1 1 316.285 1.135 20 0 DDADMM O=S(=O)([N-]CCc1nccs1)c1cccc(F)c1F ZINC000171096218 298227635 /nfs/dbraw/zinc/22/76/35/298227635.db2.gz XDAUXUXBPNHSAF-UHFFFAOYSA-N -1 1 304.343 1.942 20 0 DDADMM Cc1ccc2c(c1)[C@H](NC(=O)c1cc(=O)n3[n-]cnc3n1)[C@H](C)C2 ZINC000354339813 298405606 /nfs/dbraw/zinc/40/56/06/298405606.db2.gz HWOLHNWRTLCTOM-MEBBXXQBSA-N -1 1 323.356 1.389 20 0 DDADMM COC(=O)c1c(F)cccc1S(=O)(=O)[N-]CC(C)(F)F ZINC000573745444 304611386 /nfs/dbraw/zinc/61/13/86/304611386.db2.gz USGXMKKIVZGARV-UHFFFAOYSA-N -1 1 311.281 1.546 20 0 DDADMM CO[C@]1(C)C[C@@H](N(C)S(=O)(=O)c2c(C)o[n-]c2=N)C1(C)C ZINC000573847198 304618911 /nfs/dbraw/zinc/61/89/11/304618911.db2.gz MUXMNXUGPMDHQQ-NOZJJQNGSA-N -1 1 317.411 1.220 20 0 DDADMM CC(C)N(C(=O)CS(=O)(=O)c1n[n-]c(C2CC2)n1)C(C)C ZINC000357954545 299030944 /nfs/dbraw/zinc/03/09/44/299030944.db2.gz KWUJMGLWRVIJMG-UHFFFAOYSA-N -1 1 314.411 1.101 20 0 DDADMM COC(=O)c1cc(F)cc([N-]C(=O)c2cncc(OC)n2)c1F ZINC000357979512 299040110 /nfs/dbraw/zinc/04/01/10/299040110.db2.gz ONDDONDRKQMDCL-UHFFFAOYSA-N -1 1 323.255 1.802 20 0 DDADMM CC(C)c1n[n-]c(=NC[C@@H]2CCC[C@H]2N2CCOCC2)s1 ZINC000368560817 301052906 /nfs/dbraw/zinc/05/29/06/301052906.db2.gz GFKVVDGGISLWHA-QWHCGFSZSA-N -1 1 310.467 1.996 20 0 DDADMM Nc1nc(-n2cccn2)cc(N2CCC(CCC(=O)[O-])CC2)n1 ZINC000575751640 304731030 /nfs/dbraw/zinc/73/10/30/304731030.db2.gz DHUNLSBGIQNXRJ-UHFFFAOYSA-N -1 1 316.365 1.156 20 0 DDADMM CO[C@H](C)c1nsc(=NC[C@H]2CN3CCCC[C@@H]3CO2)[n-]1 ZINC000370285853 301309833 /nfs/dbraw/zinc/30/98/33/301309833.db2.gz QIMBFGILYWJJNZ-UTUOFQBUSA-N -1 1 312.439 1.333 20 0 DDADMM FC(F)(F)c1csc(NC[C@@H]2C[N@H+]3CCC[C@H]3CO2)n1 ZINC000370749688 301384402 /nfs/dbraw/zinc/38/44/02/301384402.db2.gz VZKBFYLTPOJDMM-DTWKUNHWSA-N -1 1 307.341 1.859 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@H](NC(=O)OC(C)(C)C)C2)c([O-])c1 ZINC000495546914 302422710 /nfs/dbraw/zinc/42/27/10/302422710.db2.gz WDNJUYIMJWJGCA-LLVKDONJSA-N -1 1 321.377 1.835 20 0 DDADMM COC(=O)NCCNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000496311539 302552263 /nfs/dbraw/zinc/55/22/63/302552263.db2.gz SNBWYVWTAAUIBR-UHFFFAOYSA-N -1 1 317.349 1.051 20 0 DDADMM Cn1ncc(NS(=O)(=O)c2ccc(F)cc2F)c1C(=O)[O-] ZINC000515407390 302774688 /nfs/dbraw/zinc/77/46/88/302774688.db2.gz TWLUKRHIWCBFHR-UHFFFAOYSA-N -1 1 317.273 1.197 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cnn(CC(C)C)c1C ZINC000357075320 306869399 /nfs/dbraw/zinc/86/93/99/306869399.db2.gz GVUOWFVSGVDAAY-UHFFFAOYSA-N -1 1 303.384 1.152 20 0 DDADMM CCCCN(c1cccnc1)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000528484390 303032957 /nfs/dbraw/zinc/03/29/57/303032957.db2.gz NQYLKSHIIRDMRZ-UHFFFAOYSA-N -1 1 310.379 1.786 20 0 DDADMM CC(C)[C@@H]1CNCCN1C(=O)N=c1[n-]nc(-c2ccco2)s1 ZINC000528676217 303055558 /nfs/dbraw/zinc/05/55/58/303055558.db2.gz VMEVNWMCSVJQFK-JTQLQIEISA-N -1 1 321.406 1.682 20 0 DDADMM CO[C@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000528883121 303069654 /nfs/dbraw/zinc/06/96/54/303069654.db2.gz OJNNSJVWQAOTQO-YPMHNXCESA-N -1 1 319.340 1.433 20 0 DDADMM Cc1cc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)ncc1Cl ZINC000529018295 303081297 /nfs/dbraw/zinc/08/12/97/303081297.db2.gz CKPMCELIAIKPKO-SECBINFHSA-N -1 1 308.773 1.361 20 0 DDADMM COc1cccc(C2(F)CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000532891848 303301268 /nfs/dbraw/zinc/30/12/68/303301268.db2.gz FBJOXSDOBPNTLQ-UHFFFAOYSA-N -1 1 320.368 1.578 20 0 DDADMM C[C@H]1CCCN(S(=O)(=O)[N-]c2cc(C(F)(F)F)[nH]n2)C1 ZINC000536302636 303358864 /nfs/dbraw/zinc/35/88/64/303358864.db2.gz QNIRNHOSHYULGX-ZETCQYMHSA-N -1 1 312.317 1.817 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000538606095 303385438 /nfs/dbraw/zinc/38/54/38/303385438.db2.gz ZBRKIZAYFQZKSA-VIFPVBQESA-N -1 1 316.379 1.039 20 0 DDADMM COC(=O)c1c(C)oc(C)c1S(=O)(=O)[N-]CC(C)(F)F ZINC000549912623 303620844 /nfs/dbraw/zinc/62/08/44/303620844.db2.gz WJEWKFQTRKFERI-UHFFFAOYSA-N -1 1 311.306 1.617 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)[C@@H]1CCCOC1 ZINC000561074073 303829364 /nfs/dbraw/zinc/82/93/64/303829364.db2.gz KUUVAHOFAKKYKD-GFCCVEGCSA-N -1 1 318.377 1.232 20 0 DDADMM CCNC(=O)c1cccc(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000562240973 303852254 /nfs/dbraw/zinc/85/22/54/303852254.db2.gz GEFWBSBYUUZHDY-UHFFFAOYSA-N -1 1 312.325 1.963 20 0 DDADMM O=C(c1cncn1-c1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366643026 307078299 /nfs/dbraw/zinc/07/82/99/307078299.db2.gz PVYPTWFURBVSBD-LBPRGKRZSA-N -1 1 323.360 1.405 20 0 DDADMM O=C(Nc1ccc2c(c1)N[C@@H](CO)CO2)c1ccc([O-])cc1F ZINC000367645028 307100200 /nfs/dbraw/zinc/10/02/00/307100200.db2.gz WLUDWTUTQUCDTF-JTQLQIEISA-N -1 1 318.304 1.949 20 0 DDADMM CN(C)[C@@]1(C(=O)[N-]O[C@H]2CCCCO2)CCc2ccccc21 ZINC000369175905 307117339 /nfs/dbraw/zinc/11/73/39/307117339.db2.gz GCICPRVHEQPHBN-RDJZCZTQSA-N -1 1 304.390 1.964 20 0 DDADMM CCc1ccc(OCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000371018905 307141045 /nfs/dbraw/zinc/14/10/45/307141045.db2.gz OSTCEYRODUWRQP-ZDUSSCGKSA-N -1 1 315.377 1.547 20 0 DDADMM CCOc1cc(C(=O)N2C[C@H](O)C[C@H]2CO)cc(Cl)c1[O-] ZINC000372236787 307165770 /nfs/dbraw/zinc/16/57/70/307165770.db2.gz PFOYWTLJPMLPEI-VHSXEESVSA-N -1 1 315.753 1.012 20 0 DDADMM CCOC(=O)C1(F)CN(C(=O)c2c([O-])cnc3ccccc32)C1 ZINC000374994575 307217204 /nfs/dbraw/zinc/21/72/04/307217204.db2.gz LUIGAUCCPAJKIN-UHFFFAOYSA-N -1 1 318.304 1.668 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@]3(C)CCO[C@@H]3C3CC3)ccnc1-2 ZINC000376647408 307251463 /nfs/dbraw/zinc/25/14/63/307251463.db2.gz XAENGCLGTWMMAC-IWKQRZCBSA-N -1 1 315.377 1.421 20 0 DDADMM C[C@H](CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000377596038 307274269 /nfs/dbraw/zinc/27/42/69/307274269.db2.gz UODNFHALWWGFDO-YPMHNXCESA-N -1 1 301.350 1.293 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@@H]3NC(=O)O[C@H]3C)[nH][n-]2)c1 ZINC000496148183 307328750 /nfs/dbraw/zinc/32/87/50/307328750.db2.gz CCYIBQMZULQCRV-ISVAXAHUSA-N -1 1 318.308 1.382 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OCCO)[C@H](C)NC(=O)OC(C)(C)C ZINC000496508672 307347038 /nfs/dbraw/zinc/34/70/38/307347038.db2.gz RWEMDFHABLNISU-WDEREUQCSA-N -1 1 304.387 1.212 20 0 DDADMM CCO[C@@H]1C[C@](NCc2ncccc2[O-])(C(=O)OC)C1(C)C ZINC000514612651 307401529 /nfs/dbraw/zinc/40/15/29/307401529.db2.gz SDGYAGDNLDBCMT-CJNGLKHVSA-N -1 1 308.378 1.624 20 0 DDADMM COc1ncccc1CN1CCC([C@@H]2OCC[C@H]2C(=O)[O-])CC1 ZINC000517241805 307431633 /nfs/dbraw/zinc/43/16/33/307431633.db2.gz SQFQMRFGTXUFOS-CABCVRRESA-N -1 1 320.389 1.792 20 0 DDADMM C[C@H](CC(C)(C)NS(=O)(=O)[C@@H](C)C(F)(F)F)C(=O)[O-] ZINC000547639664 307741612 /nfs/dbraw/zinc/74/16/12/307741612.db2.gz NVUIRRKCMIDTIH-RQJHMYQMSA-N -1 1 305.318 1.746 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCCC[C@H]2N2CCOCC2)c([O-])c1 ZINC000548312366 307764781 /nfs/dbraw/zinc/76/47/81/307764781.db2.gz VOMWVDVPWDQDBI-ZIAGYGMSSA-N -1 1 319.405 1.469 20 0 DDADMM CCN(C(=O)c1coc(S(=O)(=O)[N-]C)c1)[C@H](C)C(C)C ZINC000561986131 307930709 /nfs/dbraw/zinc/93/07/09/307930709.db2.gz OJGXDVZAFCVZAT-SNVBAGLBSA-N -1 1 302.396 1.694 20 0 DDADMM Cn1cc(CSc2nc([O-])cc(=O)n2C2CCCC2)nn1 ZINC000565669904 308036783 /nfs/dbraw/zinc/03/67/83/308036783.db2.gz GWQYJHJDKDNHNJ-UHFFFAOYSA-N -1 1 307.379 1.485 20 0 DDADMM Nc1nc2c(s1)C[C@@H](NC(=O)c1ccc([O-])cc1F)CC2 ZINC000565977030 308039969 /nfs/dbraw/zinc/03/99/69/308039969.db2.gz SPBQNHWCCUCUIK-ZETCQYMHSA-N -1 1 307.350 1.688 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(CN2CCN(C)CC2)cc1)C(=O)[O-] ZINC000567102393 308070965 /nfs/dbraw/zinc/07/09/65/308070965.db2.gz GHENEVAESUWXBG-OAHLLOKOSA-N -1 1 319.405 1.027 20 0 DDADMM CC[C@H](O)CC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000568505702 308120907 /nfs/dbraw/zinc/12/09/07/308120907.db2.gz AFLVBZFFPHUENJ-VIFPVBQESA-N -1 1 300.405 1.736 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1scnc1C(F)(F)F ZINC000576410516 308313101 /nfs/dbraw/zinc/31/31/01/308313101.db2.gz MAZNNKZOWWFWAY-UHFFFAOYSA-N -1 1 304.257 1.094 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)Nc1nccn1C ZINC000579091635 308506014 /nfs/dbraw/zinc/50/60/14/308506014.db2.gz HACOLPBVQBMGOL-UHFFFAOYSA-N -1 1 314.349 1.357 20 0 DDADMM COC(=O)C1(C(=O)N=c2cc(-c3cccc(F)c3F)[n-][nH]2)CC1 ZINC000583632737 336008313 /nfs/dbraw/zinc/00/83/13/336008313.db2.gz IBFMSBQAWQZEST-UHFFFAOYSA-N -1 1 321.283 1.669 20 0 DDADMM Cc1oc2nc[nH]c(=O)c2c1C(=O)[N-]c1nnc(C2CC2)s1 ZINC000021294050 483921014 /nfs/dbraw/zinc/92/10/14/483921014.db2.gz KKIMRLCYXIYSSR-UHFFFAOYSA-N -1 1 317.330 1.806 20 0 DDADMM NC(=O)Nc1cccc(CNC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436932593 484127097 /nfs/dbraw/zinc/12/70/97/484127097.db2.gz PLAXTKICQJQVNA-UHFFFAOYSA-N -1 1 313.313 1.382 20 0 DDADMM CCC1CCN(S(=O)(=O)[N-]c2ccn(CCOC)n2)CC1 ZINC000656620300 484246533 /nfs/dbraw/zinc/24/65/33/484246533.db2.gz CCGPGJQIIGMCOP-UHFFFAOYSA-N -1 1 316.427 1.308 20 0 DDADMM Cc1sc(Br)c(C(=O)NCc2nn[n-]n2)c1C ZINC000669603777 484582805 /nfs/dbraw/zinc/58/28/05/484582805.db2.gz WDDZCRHXKRVOKS-UHFFFAOYSA-N -1 1 316.184 1.571 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)CC[C@@H]1C(=O)[O-] ZINC000659224803 484697971 /nfs/dbraw/zinc/69/79/71/484697971.db2.gz YEBHVJLXQMLUCX-KOLCDFICSA-N -1 1 303.318 1.853 20 0 DDADMM N[C@@H](C(=O)NC[C@H](C(=O)[O-])C1CCC1)c1cccc(Cl)c1 ZINC000663280056 484783383 /nfs/dbraw/zinc/78/33/83/484783383.db2.gz NPKBFITYJLREBB-QWHCGFSZSA-N -1 1 310.781 1.957 20 0 DDADMM Cc1ccc(OCC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1 ZINC000670383488 484801015 /nfs/dbraw/zinc/80/10/15/484801015.db2.gz QLCQZNCAYCXBMZ-GFCCVEGCSA-N -1 1 317.345 1.869 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCC2(F)F)o1 ZINC000672494320 485306893 /nfs/dbraw/zinc/30/68/93/485306893.db2.gz AAWXKYJNGAPRJK-MRVPVSSYSA-N -1 1 308.306 1.105 20 0 DDADMM CCCNC(=O)CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000666940757 485383931 /nfs/dbraw/zinc/38/39/31/485383931.db2.gz KREUYGAJDXGCTQ-UHFFFAOYSA-N -1 1 301.346 1.587 20 0 DDADMM O=C(NCc1nnnn1C1CC1)c1ncc2ccccc2c1[O-] ZINC000669314329 485428152 /nfs/dbraw/zinc/42/81/52/485428152.db2.gz HTDGDRLNYYHSMC-UHFFFAOYSA-N -1 1 310.317 1.192 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CC12CCOCC2 ZINC000674011236 485509639 /nfs/dbraw/zinc/50/96/39/485509639.db2.gz QJRFANBORFTEPO-QWHCGFSZSA-N -1 1 313.361 1.222 20 0 DDADMM O=C(CCC(=O)N1CCC(O)CC1)Nc1c([O-])cccc1F ZINC000678374527 485556913 /nfs/dbraw/zinc/55/69/13/485556913.db2.gz POCTWEBOKMOZOK-UHFFFAOYSA-N -1 1 310.325 1.233 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)c2cnccc2C)co1 ZINC000678414784 485575562 /nfs/dbraw/zinc/57/55/62/485575562.db2.gz DNLQNKULRXGMBV-JTQLQIEISA-N -1 1 323.374 1.382 20 0 DDADMM Cc1cc(CCC(=O)NCc2nn[n-]n2)ccc1Br ZINC000674359601 485611655 /nfs/dbraw/zinc/61/16/55/485611655.db2.gz AKUGHYJKBJOFSH-UHFFFAOYSA-N -1 1 324.182 1.520 20 0 DDADMM CC(C)n1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cn1 ZINC000682607938 485702949 /nfs/dbraw/zinc/70/29/49/485702949.db2.gz SEAYZVGOLZMXFX-ZDUSSCGKSA-N -1 1 311.349 1.497 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)sc1Cl ZINC000682718116 485754960 /nfs/dbraw/zinc/75/49/60/485754960.db2.gz BKYJAABKUCTRMM-UHFFFAOYSA-N -1 1 320.783 1.333 20 0 DDADMM Cc1ccc(-c2[nH]nc([N-]S(=O)(=O)c3cnnn3C)c2C)o1 ZINC000682806779 485780104 /nfs/dbraw/zinc/78/01/04/485780104.db2.gz CUAZONWMGVDATI-UHFFFAOYSA-N -1 1 322.350 1.216 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)C[C@@H]2CCOC2)sc1C ZINC000676415912 486221716 /nfs/dbraw/zinc/22/17/16/486221716.db2.gz JNVGGWOZGDMLDY-KCJUWKMLSA-N -1 1 304.437 1.853 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccn(CCc3ccncc3)n2)c1[O-] ZINC000676631395 486273561 /nfs/dbraw/zinc/27/35/61/486273561.db2.gz WUIFWNBKPHOYID-UHFFFAOYSA-N -1 1 312.333 1.510 20 0 DDADMM COCCOc1ccc(CNC(=O)c2ccc([O-])c(F)c2)cn1 ZINC000681019976 486324417 /nfs/dbraw/zinc/32/44/17/486324417.db2.gz RLCPTWKYXXVZEB-UHFFFAOYSA-N -1 1 320.320 1.882 20 0 DDADMM COC[C@@](C)(CO)NC(=O)c1cc(Br)ccc1[O-] ZINC000681073265 486338950 /nfs/dbraw/zinc/33/89/50/486338950.db2.gz MVMPPJPKCBRGAR-GFCCVEGCSA-N -1 1 318.167 1.282 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](CO)C2CCCCC2)sc1C ZINC000676863714 486350229 /nfs/dbraw/zinc/35/02/29/486350229.db2.gz UYXZCWXTDHOFJP-GFCCVEGCSA-N -1 1 318.464 1.979 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@]2(CCCOC2)O1 ZINC000684622121 486399460 /nfs/dbraw/zinc/39/94/60/486399460.db2.gz WVEZUZKYFUIYNR-BZNIZROVSA-N -1 1 309.337 1.941 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCO[C@@H](COCC2CC2)C1 ZINC000681628168 486475153 /nfs/dbraw/zinc/47/51/53/486475153.db2.gz KYZYDTDOABZLSR-CYBMUJFWSA-N -1 1 309.337 1.799 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@H](C)C(C)(F)F)c(C)o1 ZINC000451072403 533919291 /nfs/dbraw/zinc/91/92/91/533919291.db2.gz OBONUBKAEBJBGW-SSDOTTSWSA-N -1 1 311.306 1.697 20 0 DDADMM C[C@@H](C[S@@](C)=O)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451388452 534219664 /nfs/dbraw/zinc/21/96/64/534219664.db2.gz CAELXBNZCRGAFQ-WPCRTTGESA-N -1 1 319.379 1.617 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cnc(C)cc2C)c1 ZINC000452043729 534321794 /nfs/dbraw/zinc/32/17/94/534321794.db2.gz QFYDOPYKAURFPI-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM Cc1ccc2nc(-c3ccc(S(N)(=O)=O)cc3)[n-]c(=O)c2c1 ZINC000339565713 526094987 /nfs/dbraw/zinc/09/49/87/526094987.db2.gz OKOYVRZTBICRLG-UHFFFAOYSA-N -1 1 315.354 1.546 20 0 DDADMM CC(C)(C)OC(=O)N[C@@]1(C)CCCC[C@@H]1C(=O)[N-]OCCO ZINC000492306592 526488526 /nfs/dbraw/zinc/48/85/26/526488526.db2.gz HIQFPDFNMJHQHJ-ABAIWWIYSA-N -1 1 316.398 1.500 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCc1ccccc1Cl ZINC000337938417 526874819 /nfs/dbraw/zinc/87/48/19/526874819.db2.gz HMZLMOQGUZPRHE-UHFFFAOYSA-N -1 1 319.748 1.487 20 0 DDADMM CCC1([N-]S(=O)(=O)c2nc(C)c(C)s2)CCOCC1 ZINC000328888672 527804291 /nfs/dbraw/zinc/80/42/91/527804291.db2.gz QFZZPWBZNHZBLJ-UHFFFAOYSA-N -1 1 304.437 1.997 20 0 DDADMM CCN1C[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)CC1=O ZINC000451862687 527991800 /nfs/dbraw/zinc/99/18/00/527991800.db2.gz DZCHXNQSTHZLGR-SECBINFHSA-N -1 1 320.773 1.378 20 0 DDADMM CCNC(=O)c1ccc(=NCCOc2c(C)cccc2C)[n-]n1 ZINC000413058810 528228304 /nfs/dbraw/zinc/22/83/04/528228304.db2.gz ITOWREZURUJHKI-UHFFFAOYSA-N -1 1 314.389 1.756 20 0 DDADMM CCCN1CCN(C(=O)N=c2nc(C(C)(C)C)[n-]s2)CC1 ZINC000330831141 528239842 /nfs/dbraw/zinc/23/98/42/528239842.db2.gz QDLCWQPJOGUQDL-UHFFFAOYSA-N -1 1 311.455 1.817 20 0 DDADMM CC(C)n1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000331858735 528590093 /nfs/dbraw/zinc/59/00/93/528590093.db2.gz RSHZCGFMAJGHOZ-SNVBAGLBSA-N -1 1 305.338 1.572 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)c1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000295023069 528989283 /nfs/dbraw/zinc/98/92/83/528989283.db2.gz QONAIUOJNGMELW-MWLCHTKSSA-N -1 1 317.349 1.690 20 0 DDADMM CCS[C@H]1CC[C@H](N(C)S(=O)(=O)c2c(C)o[n-]c2=N)C1 ZINC000330894891 529234335 /nfs/dbraw/zinc/23/43/35/529234335.db2.gz RNMOCJBDSHUHSL-UWVGGRQHSA-N -1 1 319.452 1.690 20 0 DDADMM O[C@H](CNc1nccnc1-c1nnn[n-]1)c1ccccc1Cl ZINC000738384921 598974397 /nfs/dbraw/zinc/97/43/97/598974397.db2.gz GHWKHWAKNIDFSL-SNVBAGLBSA-N -1 1 317.740 1.456 20 0 DDADMM O[C@H](CNc1nccnc1-c1nn[n-]n1)c1ccccc1Cl ZINC000738384921 598974400 /nfs/dbraw/zinc/97/44/00/598974400.db2.gz GHWKHWAKNIDFSL-SNVBAGLBSA-N -1 1 317.740 1.456 20 0 DDADMM O=C(NCC1(c2ccccc2)CC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738038896 598986367 /nfs/dbraw/zinc/98/63/67/598986367.db2.gz JGIWFRTXMQZOSW-UHFFFAOYSA-N -1 1 320.356 1.723 20 0 DDADMM O=C(NCC1(c2ccccc2)CC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738038896 598986369 /nfs/dbraw/zinc/98/63/69/598986369.db2.gz JGIWFRTXMQZOSW-UHFFFAOYSA-N -1 1 320.356 1.723 20 0 DDADMM O=C(N[C@H]1CCc2ccc(F)cc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738035850 599005010 /nfs/dbraw/zinc/00/50/10/599005010.db2.gz NBQSWHSKJRHCBM-ZDUSSCGKSA-N -1 1 324.319 1.818 20 0 DDADMM O=C(N[C@H]1CCc2ccc(F)cc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738035850 599005012 /nfs/dbraw/zinc/00/50/12/599005012.db2.gz NBQSWHSKJRHCBM-ZDUSSCGKSA-N -1 1 324.319 1.818 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC[C@H]1c1cccnc1 ZINC000738258199 599020421 /nfs/dbraw/zinc/02/04/21/599020421.db2.gz HTZKDTFMQZKAON-AWEZNQCLSA-N -1 1 321.344 1.634 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@H]1c1cccnc1 ZINC000738258199 599020422 /nfs/dbraw/zinc/02/04/22/599020422.db2.gz HTZKDTFMQZKAON-AWEZNQCLSA-N -1 1 321.344 1.634 20 0 DDADMM CCc1cc(CNc2c3ccccc3nnc2-c2nnn[n-]2)on1 ZINC000736676011 599044348 /nfs/dbraw/zinc/04/43/48/599044348.db2.gz ODRBPFZBERCOEX-UHFFFAOYSA-N -1 1 322.332 1.972 20 0 DDADMM CCc1cc(CNc2c3ccccc3nnc2-c2nn[n-]n2)on1 ZINC000736676011 599044350 /nfs/dbraw/zinc/04/43/50/599044350.db2.gz ODRBPFZBERCOEX-UHFFFAOYSA-N -1 1 322.332 1.972 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCCC3(CCOCC3)C2)c1 ZINC000823743024 599045327 /nfs/dbraw/zinc/04/53/27/599045327.db2.gz QXCLZSNPEULHAL-UHFFFAOYSA-N -1 1 300.366 1.659 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCCC3(CCOCC3)C2)c1 ZINC000823743024 599045329 /nfs/dbraw/zinc/04/53/29/599045329.db2.gz QXCLZSNPEULHAL-UHFFFAOYSA-N -1 1 300.366 1.659 20 0 DDADMM C[C@H](CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)(C)C ZINC000736026142 599082471 /nfs/dbraw/zinc/08/24/71/599082471.db2.gz LKCQKUSABOQJIQ-SECBINFHSA-N -1 1 324.410 1.222 20 0 DDADMM C[C@H](CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)(C)C ZINC000736026142 599082474 /nfs/dbraw/zinc/08/24/74/599082474.db2.gz LKCQKUSABOQJIQ-SECBINFHSA-N -1 1 324.410 1.222 20 0 DDADMM C[C@@H]1CCC[C@H](C)N(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736244743 599086943 /nfs/dbraw/zinc/08/69/43/599086943.db2.gz MUUKVBZIJHWFRC-MNOVXSKESA-N -1 1 300.366 1.912 20 0 DDADMM C[C@@H]1CCC[C@H](C)N(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736244743 599086946 /nfs/dbraw/zinc/08/69/46/599086946.db2.gz MUUKVBZIJHWFRC-MNOVXSKESA-N -1 1 300.366 1.912 20 0 DDADMM CCCOC(=O)[C@@H](C)Sc1nc(C)nc(C)c1-c1nn[n-]n1 ZINC000736489355 599112796 /nfs/dbraw/zinc/11/27/96/599112796.db2.gz YNVSOZABYHVEGY-MRVPVSSYSA-N -1 1 322.394 1.707 20 0 DDADMM O=C(OCc1ccnc(Cl)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738233831 599137939 /nfs/dbraw/zinc/13/79/39/599137939.db2.gz HIJCPODSQSWHEV-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C(OCc1ccnc(Cl)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738233831 599137941 /nfs/dbraw/zinc/13/79/41/599137941.db2.gz HIJCPODSQSWHEV-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)N2CCN3CCCC[C@H]3C2)cc1 ZINC000321474520 597300127 /nfs/dbraw/zinc/30/01/27/597300127.db2.gz FSHLFHKNFWZKEH-HNNXBMFYSA-N -1 1 317.389 1.765 20 0 DDADMM Cc1nn(C)c(OCC(F)(F)F)c1CN(C)[C@@H](C)C(=O)[O-] ZINC000821208735 598132086 /nfs/dbraw/zinc/13/20/86/598132086.db2.gz DXQMWNKGYOPJOD-QMMMGPOBSA-N -1 1 309.288 1.575 20 0 DDADMM c1c2ccccc2[nH]c1CCNc1nccnc1-c1nnn[n-]1 ZINC000823792525 607329999 /nfs/dbraw/zinc/32/99/99/607329999.db2.gz WLIYVCLCAQUUEG-UHFFFAOYSA-N -1 1 306.333 1.793 20 0 DDADMM c1c2ccccc2[nH]c1CCNc1nccnc1-c1nn[n-]n1 ZINC000823792525 607330000 /nfs/dbraw/zinc/33/00/00/607330000.db2.gz WLIYVCLCAQUUEG-UHFFFAOYSA-N -1 1 306.333 1.793 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N1CCO[C@H]2CCCC[C@@H]21)C(=O)[O-] ZINC000263042684 598187703 /nfs/dbraw/zinc/18/77/03/598187703.db2.gz CPFWHKUQHLFBNG-ABHRYQDASA-N -1 1 312.410 1.245 20 0 DDADMM COC(=O)c1sccc1NC(=O)CN[C@@H](C(=O)[O-])C(C)C ZINC000820693734 598188661 /nfs/dbraw/zinc/18/86/61/598188661.db2.gz AMPJTGFJKOXECK-SNVBAGLBSA-N -1 1 314.363 1.172 20 0 DDADMM CCOCc1ccccc1CNc1nccnc1-c1nnn[n-]1 ZINC000736624607 598342011 /nfs/dbraw/zinc/34/20/11/598342011.db2.gz ABPQKZVEIFFALE-UHFFFAOYSA-N -1 1 311.349 1.805 20 0 DDADMM CCOCc1ccccc1CNc1nccnc1-c1nn[n-]n1 ZINC000736624607 598342013 /nfs/dbraw/zinc/34/20/13/598342013.db2.gz ABPQKZVEIFFALE-UHFFFAOYSA-N -1 1 311.349 1.805 20 0 DDADMM CCC(=O)Nc1ccc(Nc2nccnc2-c2nnn[n-]2)cc1 ZINC000736308785 598345783 /nfs/dbraw/zinc/34/57/83/598345783.db2.gz HZSUXAZWRWIPKW-UHFFFAOYSA-N -1 1 310.321 1.749 20 0 DDADMM CCC(=O)Nc1ccc(Nc2nccnc2-c2nn[n-]n2)cc1 ZINC000736308785 598345785 /nfs/dbraw/zinc/34/57/85/598345785.db2.gz HZSUXAZWRWIPKW-UHFFFAOYSA-N -1 1 310.321 1.749 20 0 DDADMM Brc1ncccc1Oc1nccnc1-c1nn[n-]n1 ZINC000735375356 598346521 /nfs/dbraw/zinc/34/65/21/598346521.db2.gz KBZLNFVLCGKFPA-UHFFFAOYSA-N -1 1 320.110 1.607 20 0 DDADMM O=C(Nc1cccc(Nc2cnc(-c3nnn[n-]3)cn2)c1)C1CC1 ZINC000738184165 598386165 /nfs/dbraw/zinc/38/61/65/598386165.db2.gz CATPJVXQTIITCT-UHFFFAOYSA-N -1 1 322.332 1.749 20 0 DDADMM O=C(Nc1cccc(Nc2cnc(-c3nn[n-]n3)cn2)c1)C1CC1 ZINC000738184165 598386167 /nfs/dbraw/zinc/38/61/67/598386167.db2.gz CATPJVXQTIITCT-UHFFFAOYSA-N -1 1 322.332 1.749 20 0 DDADMM c1ccc(N[C@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000738435348 598394428 /nfs/dbraw/zinc/39/44/28/598394428.db2.gz LQQVQLIYLUPDPF-LBPRGKRZSA-N -1 1 308.349 1.348 20 0 DDADMM c1ccc(N[C@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000738435348 598394431 /nfs/dbraw/zinc/39/44/31/598394431.db2.gz LQQVQLIYLUPDPF-LBPRGKRZSA-N -1 1 308.349 1.348 20 0 DDADMM CCOC1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000736609744 598576229 /nfs/dbraw/zinc/57/62/29/598576229.db2.gz RNLZAZSQZGNQON-UHFFFAOYSA-N -1 1 308.773 1.920 20 0 DDADMM CCOC1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000736609744 598576227 /nfs/dbraw/zinc/57/62/27/598576227.db2.gz RNLZAZSQZGNQON-UHFFFAOYSA-N -1 1 308.773 1.920 20 0 DDADMM CCS[C@H]1CCCCN(c2nccnc2-c2nnn[n-]2)C1 ZINC000736664144 598748542 /nfs/dbraw/zinc/74/85/42/598748542.db2.gz JAYYIWYWSGCYGI-JTQLQIEISA-N -1 1 305.411 1.769 20 0 DDADMM CCS[C@H]1CCCCN(c2nccnc2-c2nn[n-]n2)C1 ZINC000736664144 598748544 /nfs/dbraw/zinc/74/85/44/598748544.db2.gz JAYYIWYWSGCYGI-JTQLQIEISA-N -1 1 305.411 1.769 20 0 DDADMM C[C@H]1SCCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)[C@@H]1C ZINC000736305061 599301680 /nfs/dbraw/zinc/30/16/80/599301680.db2.gz WUSUEUIIFTWRFD-RKDXNWHRSA-N -1 1 304.379 1.228 20 0 DDADMM C[C@H]1SCCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)[C@@H]1C ZINC000736305061 599301683 /nfs/dbraw/zinc/30/16/83/599301683.db2.gz WUSUEUIIFTWRFD-RKDXNWHRSA-N -1 1 304.379 1.228 20 0 DDADMM Cc1nn(Cc2cccc(Cl)n2)c(=O)c(-c2nn[n-]n2)c1C ZINC000822500877 599342936 /nfs/dbraw/zinc/34/29/36/599342936.db2.gz KPYSJEUPJROPRF-UHFFFAOYSA-N -1 1 317.740 1.137 20 0 DDADMM COC(=O)CC1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000736911213 599417056 /nfs/dbraw/zinc/41/70/56/599417056.db2.gz JMGQVULURUIIMM-UHFFFAOYSA-N -1 1 302.338 1.041 20 0 DDADMM COC(=O)CC1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000736911213 599417057 /nfs/dbraw/zinc/41/70/57/599417057.db2.gz JMGQVULURUIIMM-UHFFFAOYSA-N -1 1 302.338 1.041 20 0 DDADMM Cn1cc(CNc2cc(-c3nnn[n-]3)nc3ccccc32)cn1 ZINC000822680993 599491407 /nfs/dbraw/zinc/49/14/07/599491407.db2.gz SEFNJOFKYKDGPD-UHFFFAOYSA-N -1 1 306.333 1.761 20 0 DDADMM Cn1cc(CNc2cc(-c3nn[n-]n3)nc3ccccc32)cn1 ZINC000822680993 599491410 /nfs/dbraw/zinc/49/14/10/599491410.db2.gz SEFNJOFKYKDGPD-UHFFFAOYSA-N -1 1 306.333 1.761 20 0 DDADMM O=C(OCCOc1cccnc1)c1sccc1-c1nn[n-]n1 ZINC000823410394 599625155 /nfs/dbraw/zinc/62/51/55/599625155.db2.gz VDMZRQRFYPLOGT-UHFFFAOYSA-N -1 1 317.330 1.559 20 0 DDADMM CC[C@H]1C[C@H](C)CN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820973293 599683915 /nfs/dbraw/zinc/68/39/15/599683915.db2.gz VZAGIOPTPIJUCL-UWVGGRQHSA-N -1 1 322.394 1.071 20 0 DDADMM CC[C@H]1C[C@H](C)CN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820973293 599683916 /nfs/dbraw/zinc/68/39/16/599683916.db2.gz VZAGIOPTPIJUCL-UWVGGRQHSA-N -1 1 322.394 1.071 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1Cc1nc(-c2ccccn2)no1 ZINC000315117828 599754266 /nfs/dbraw/zinc/75/42/66/599754266.db2.gz ROSMZPYWCMJNCE-NSHDSACASA-N -1 1 302.334 1.961 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCCOc1ccccc1 ZINC000320718125 599778036 /nfs/dbraw/zinc/77/80/36/599778036.db2.gz VKVOWACUVIISKC-AWEZNQCLSA-N -1 1 317.345 1.381 20 0 DDADMM CC(C)(O)CN1CCN(C(=O)Nc2cccc(C(=O)[O-])c2)CC1 ZINC000321713685 599814830 /nfs/dbraw/zinc/81/48/30/599814830.db2.gz GOZAIWCYJLMLFG-UHFFFAOYSA-N -1 1 321.377 1.305 20 0 DDADMM CCOC(=O)CN(C(=O)CNC(C)(C)C(=O)[O-])c1ccccc1 ZINC000737138032 599830536 /nfs/dbraw/zinc/83/05/36/599830536.db2.gz HVKQVHAYUHFHPP-UHFFFAOYSA-N -1 1 322.361 1.036 20 0 DDADMM Cn1ccc(S(=O)(=O)Nc2cc(C(=O)[O-])ccc2Cl)n1 ZINC000739038757 599914443 /nfs/dbraw/zinc/91/44/43/599914443.db2.gz MUXMFOYTCBCSDD-UHFFFAOYSA-N -1 1 315.738 1.573 20 0 DDADMM O=C([O-])[C@H](Cc1c[nH]c2ccccc12)NC(=O)CN1CCCC1 ZINC000739284823 600003204 /nfs/dbraw/zinc/00/32/04/600003204.db2.gz VIUOHPCUPXGKRT-HNNXBMFYSA-N -1 1 315.373 1.376 20 0 DDADMM C[C@@H](NCC(=O)NCCC(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC000736661002 600046831 /nfs/dbraw/zinc/04/68/31/600046831.db2.gz CEFICZZGKMPMKQ-SECBINFHSA-N -1 1 318.295 1.947 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN(CCO)CC1CC1 ZINC000738534234 600148225 /nfs/dbraw/zinc/14/82/25/600148225.db2.gz XXKALOVCXKUFEZ-UHFFFAOYSA-N -1 1 306.362 1.336 20 0 DDADMM CN(C(=O)c1ccc2[nH]nnc2c1)[C@H](C(=O)[O-])c1ccccc1 ZINC000737338995 600196990 /nfs/dbraw/zinc/19/69/90/600196990.db2.gz NXLIJSXUYYURTF-AWEZNQCLSA-N -1 1 310.313 1.856 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CCC(Cc2ccccc2F)CC1 ZINC000736210300 600338133 /nfs/dbraw/zinc/33/81/33/600338133.db2.gz XSLIBUFWLNMAKA-GFCCVEGCSA-N -1 1 322.380 1.670 20 0 DDADMM CC[C@@H](C(=O)Nc1nnc(CCC(=O)[O-])s1)N(CC)CC ZINC000736847434 600626988 /nfs/dbraw/zinc/62/69/88/600626988.db2.gz JXPHHKZJZLNXSW-VIFPVBQESA-N -1 1 314.411 1.614 20 0 DDADMM C[C@@H](CN(Cc1ccccc1)C(=O)Cc1ccn[nH]1)C(=O)[O-] ZINC000827456244 600724246 /nfs/dbraw/zinc/72/42/46/600724246.db2.gz UJTGPZRINODCKO-LBPRGKRZSA-N -1 1 301.346 1.702 20 0 DDADMM Cn1cc(N2CCC[C@H](N3CCC(CC(=O)[O-])CC3)C2=O)cn1 ZINC000738412360 600950431 /nfs/dbraw/zinc/95/04/31/600950431.db2.gz XRRBLNWQXSKYKQ-AWEZNQCLSA-N -1 1 320.393 1.102 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)N1CC[C@@H](CCO)C1 ZINC000738518097 600971098 /nfs/dbraw/zinc/97/10/98/600971098.db2.gz HVTXSHMTTJPYFO-STQMWFEESA-N -1 1 320.389 1.725 20 0 DDADMM O=C([O-])[C@H](c1ccccc1F)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000833174038 600997927 /nfs/dbraw/zinc/99/79/27/600997927.db2.gz LHAWMRTZDSYMBB-PMPSAXMXSA-N -1 1 322.380 1.482 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N1C[C@@H](C)S[C@H](C)C1 ZINC000828411559 601025542 /nfs/dbraw/zinc/02/55/42/601025542.db2.gz IHLNHPNFSHJYMF-UTUOFQBUSA-N -1 1 314.451 1.524 20 0 DDADMM C[C@@](NCC(=O)NCCc1ccc(Cl)cc1)(C(=O)[O-])C1CC1 ZINC000827727682 601029248 /nfs/dbraw/zinc/02/92/48/601029248.db2.gz LDEMKRQPTOWHDI-INIZCTEOSA-N -1 1 324.808 1.842 20 0 DDADMM c1ccc([C@H]2CN(Cc3ccnc(-c4nn[n-]n4)c3)CCO2)cc1 ZINC000826520209 607485629 /nfs/dbraw/zinc/48/56/29/607485629.db2.gz CQBAJNWHXKTWIJ-MRXNPFEDSA-N -1 1 322.372 1.835 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccccn2)CC1 ZINC000827362776 601085011 /nfs/dbraw/zinc/08/50/11/601085011.db2.gz VLEPQHWYXDLKLN-CYBMUJFWSA-N -1 1 305.378 1.022 20 0 DDADMM COCc1cccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000831817772 601102264 /nfs/dbraw/zinc/10/22/64/601102264.db2.gz WCBFTOPXQGBWMB-CYBMUJFWSA-N -1 1 320.389 1.454 20 0 DDADMM CCN1CCN(CC(=O)Nc2ccc(C)c(C(=O)[O-])c2)CC1 ZINC000829776838 601110145 /nfs/dbraw/zinc/11/01/45/601110145.db2.gz WAUYCIRTQKDFEW-UHFFFAOYSA-N -1 1 305.378 1.269 20 0 DDADMM COc1ccc(NC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cn1 ZINC000832397930 601128481 /nfs/dbraw/zinc/12/84/81/601128481.db2.gz BCSKDGOMQMAFMT-NSHDSACASA-N -1 1 322.365 1.103 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)N(C)CC(C)(C)O ZINC000832918967 601169128 /nfs/dbraw/zinc/16/91/28/601169128.db2.gz ZRRXDRXBEBVPNW-LLVKDONJSA-N -1 1 308.378 1.723 20 0 DDADMM C[C@@H](O)CN1CCN(C(=O)Nc2ccc(C(=O)[O-])cc2)C[C@@H]1C ZINC000827796632 601192082 /nfs/dbraw/zinc/19/20/82/601192082.db2.gz ZUBBXHOTRVRLEV-NWDGAFQWSA-N -1 1 321.377 1.304 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccccc2[N+](=O)[O-])C[C@H]1C(=O)[O-] ZINC000315088142 601499782 /nfs/dbraw/zinc/49/97/82/601499782.db2.gz BAABYWWMBUKIAP-VHSXEESVSA-N -1 1 307.306 1.186 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2nc3ccccc3s2)C[C@H]1C(=O)[O-] ZINC000315515782 601505084 /nfs/dbraw/zinc/50/50/84/601505084.db2.gz DJBJXNXPINVNQO-NXEZZACHSA-N -1 1 319.386 1.887 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828430803 601508899 /nfs/dbraw/zinc/50/88/99/601508899.db2.gz DREJTJFXVBQJBI-NXEZZACHSA-N -1 1 310.316 1.438 20 0 DDADMM COc1ccc(OC)c(NC(=O)CN2C[C@H](C)[C@@H](C(=O)[O-])C2)c1 ZINC000832436055 601511208 /nfs/dbraw/zinc/51/12/08/601511208.db2.gz PXZRIQXJXRNRTA-JQWIXIFHSA-N -1 1 322.361 1.295 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CN2C[C@@H](C)[C@H](C(=O)[O-])C2)c1 ZINC000825912927 601515485 /nfs/dbraw/zinc/51/54/85/601515485.db2.gz HCSMHDUMCOFWEF-QMTHXVAHSA-N -1 1 319.361 1.236 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C[C@H]1C(=O)[O-] ZINC000315074914 601532613 /nfs/dbraw/zinc/53/26/13/601532613.db2.gz XOPZFXGHVSDHSO-BXKDBHETSA-N -1 1 321.764 1.803 20 0 DDADMM Cc1nc([C@H]2CN(Cc3ccc(C(=O)[O-])c(F)c3)CCO2)n[nH]1 ZINC000832991731 601647158 /nfs/dbraw/zinc/64/71/58/601647158.db2.gz OKAJLNWTMNHNEZ-CYBMUJFWSA-N -1 1 320.324 1.524 20 0 DDADMM C[C@H](C(=O)N1C[C@H](C(=O)[O-])[C@H](C)C1)N(C)Cc1ccccc1 ZINC000828317358 601693505 /nfs/dbraw/zinc/69/35/05/601693505.db2.gz DDIIUZRLLNNBNR-NFAWXSAZSA-N -1 1 304.390 1.686 20 0 DDADMM Cc1cc(C(=O)N(C)Cc2nnc[nH]2)cc(C)c1OCC(=O)[O-] ZINC000832860002 601757723 /nfs/dbraw/zinc/75/77/23/601757723.db2.gz SVIIIHXCLMWCFT-UHFFFAOYSA-N -1 1 318.333 1.157 20 0 DDADMM O=C([O-])CCC1CCN(CC(=O)Nc2ccc(O)cc2)CC1 ZINC000833224488 601842871 /nfs/dbraw/zinc/84/28/71/601842871.db2.gz QMQUVPYPTPILLJ-UHFFFAOYSA-N -1 1 306.362 1.908 20 0 DDADMM C[C@H]1CCCCN1C(=O)CN1CCSC[C@@H]1CC(=O)[O-] ZINC000091131276 601884777 /nfs/dbraw/zinc/88/47/77/601884777.db2.gz KMOCGRKNHXLALL-RYUDHWBXSA-N -1 1 300.424 1.280 20 0 DDADMM CCC(CC)NC(=O)[C@H](C)N1CCSC[C@H]1CC(=O)[O-] ZINC000250744593 601927186 /nfs/dbraw/zinc/92/71/86/601927186.db2.gz PUQJUKLHVRWHSR-CMPLNLGQSA-N -1 1 302.440 1.572 20 0 DDADMM Cc1ccc(CNC(=O)[C@@H](C)N2CC[C@@H](CNC(=O)[O-])C2)cc1 ZINC000740127709 601950529 /nfs/dbraw/zinc/95/05/29/601950529.db2.gz ZYGFGCIZYONXLL-HIFRSBDPSA-N -1 1 319.405 1.589 20 0 DDADMM C[C@H](NC(=O)CN1CC[C@@H](CNC(=O)[O-])C1)c1ccc(F)cc1 ZINC000738959537 601956977 /nfs/dbraw/zinc/95/69/77/601956977.db2.gz CTKWTDCXVHOKHF-RYUDHWBXSA-N -1 1 323.368 1.592 20 0 DDADMM COc1ccc(-c2n[nH]c(CNC(=O)[C@H](C)CC(=O)[O-])n2)cc1 ZINC000832129633 602149424 /nfs/dbraw/zinc/14/94/24/602149424.db2.gz HHABRGCTGXGFTL-SECBINFHSA-N -1 1 318.333 1.207 20 0 DDADMM COc1ccc(-c2nc(CNC(=O)[C@H](C)CC(=O)[O-])n[nH]2)cc1 ZINC000832129633 602149426 /nfs/dbraw/zinc/14/94/26/602149426.db2.gz HHABRGCTGXGFTL-SECBINFHSA-N -1 1 318.333 1.207 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CC[C@@H](CNC(=O)[O-])C2)c1 ZINC000740054246 602250785 /nfs/dbraw/zinc/25/07/85/602250785.db2.gz OUHLTLJZLOSVMR-JTQLQIEISA-N -1 1 302.334 1.601 20 0 DDADMM CCS(=O)(=O)c1ccc(O)c(NC(=O)[C@@H](C)CC(=O)[O-])c1 ZINC000830140258 602266705 /nfs/dbraw/zinc/26/67/05/602266705.db2.gz HTAUIDCKJKXHTM-QMMMGPOBSA-N -1 1 315.347 1.235 20 0 DDADMM CCc1ncsc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000825754810 607562326 /nfs/dbraw/zinc/56/23/26/607562326.db2.gz HXQBUCNRSIPNIM-UHFFFAOYSA-N -1 1 316.346 1.844 20 0 DDADMM CCC(CC)[C@@H](CCNc1nccnc1-c1nnn[n-]1)OC ZINC000825096597 607564446 /nfs/dbraw/zinc/56/44/46/607564446.db2.gz OKFWDUVSFJAWGS-LLVKDONJSA-N -1 1 305.386 1.910 20 0 DDADMM CCC(CC)[C@@H](CCNc1nccnc1-c1nn[n-]n1)OC ZINC000825096597 607564447 /nfs/dbraw/zinc/56/44/47/607564447.db2.gz OKFWDUVSFJAWGS-LLVKDONJSA-N -1 1 305.386 1.910 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N[C@H]2CCN(C(=O)[O-])C2)o1 ZINC000739410277 602478322 /nfs/dbraw/zinc/47/83/22/602478322.db2.gz HBQYCXRKTWWSNF-NSHDSACASA-N -1 1 309.366 1.604 20 0 DDADMM CC[C@H](C)NS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000825068045 607570816 /nfs/dbraw/zinc/57/08/16/607570816.db2.gz OTOXTEIUPDFFSU-ZETCQYMHSA-N -1 1 315.786 1.597 20 0 DDADMM CC[C@H](C)NS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000825068045 607570817 /nfs/dbraw/zinc/57/08/17/607570817.db2.gz OTOXTEIUPDFFSU-ZETCQYMHSA-N -1 1 315.786 1.597 20 0 DDADMM CN(C[C@H]1CCN(C[C@@H](O)c2c(F)cccc2F)C1)C(=O)[O-] ZINC000739661645 602528955 /nfs/dbraw/zinc/52/89/55/602528955.db2.gz RYCKEULIPPYHKO-ZWNOBZJWSA-N -1 1 314.332 1.930 20 0 DDADMM C[C@@H](C(=O)N(C)c1ccccc1)N1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738603477 602577633 /nfs/dbraw/zinc/57/76/33/602577633.db2.gz PSODUYQXWJMLDB-UONOGXRCSA-N -1 1 319.405 1.970 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)NC[C@H]1CCCN1C(=O)[O-] ZINC000739607746 602607473 /nfs/dbraw/zinc/60/74/73/602607473.db2.gz LECPLBNFFKTPOE-CABCVRRESA-N -1 1 319.405 1.418 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)NC[C@H]1CCCN1C(=O)[O-] ZINC000740029925 602611378 /nfs/dbraw/zinc/61/13/78/602611378.db2.gz FPWOJYQDOUQWKI-GFCCVEGCSA-N -1 1 309.370 1.092 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2ccc(NC(=O)[O-])cc2)C1 ZINC000737810112 602676777 /nfs/dbraw/zinc/67/67/77/602676777.db2.gz HMIZLVPRHFUGTN-CQSZACIVSA-N -1 1 321.377 1.617 20 0 DDADMM Cc1ccccc1CNC(=O)CN1CC[C@H](NC(=O)[O-])[C@@H](C)C1 ZINC000740185078 602759091 /nfs/dbraw/zinc/75/90/91/602759091.db2.gz SRWCZQLEIIITFC-ZFWWWQNUSA-N -1 1 319.405 1.589 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)c1ccc(CNC(=O)[O-])cc1 ZINC000738802993 602818282 /nfs/dbraw/zinc/81/82/82/602818282.db2.gz ZVSYNSNGBGBJRY-OAHLLOKOSA-N -1 1 319.405 1.866 20 0 DDADMM Cc1cc(CNC(=O)[C@@H]2C[C@H]3CCCC[C@H]3N2C(=O)[O-])n[nH]1 ZINC000740074262 602857334 /nfs/dbraw/zinc/85/73/34/602857334.db2.gz WGCXLYCNABPLHX-RTXFEEFZSA-N -1 1 306.366 1.645 20 0 DDADMM CN(C(=O)c1ccc(CNC(=O)[O-])o1)[C@@H]1CN2CCC1CC2 ZINC000827931886 602912821 /nfs/dbraw/zinc/91/28/21/602912821.db2.gz KEMOEDXRJVBIIQ-GFCCVEGCSA-N -1 1 307.350 1.213 20 0 DDADMM CC(C)N(CCC(=O)N1C[C@H]2CCCCN2C[C@H]1C)C(=O)[O-] ZINC000738839073 602989976 /nfs/dbraw/zinc/98/99/76/602989976.db2.gz XTKVPWIGHPCWFN-ZIAGYGMSSA-N -1 1 311.426 1.850 20 0 DDADMM CC(C)(CC(=O)Nc1ccn(-c2ccncc2)n1)NC(=O)[O-] ZINC000738737983 603000230 /nfs/dbraw/zinc/00/02/30/603000230.db2.gz HQULOEPMKANUCL-UHFFFAOYSA-N -1 1 303.322 1.642 20 0 DDADMM O=C(CCc1ccccn1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826409387 607604529 /nfs/dbraw/zinc/60/45/29/607604529.db2.gz WOOWWKLAXAANKA-UHFFFAOYSA-N -1 1 310.317 1.333 20 0 DDADMM O=C(CCc1ccccn1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826409387 607604531 /nfs/dbraw/zinc/60/45/31/607604531.db2.gz WOOWWKLAXAANKA-UHFFFAOYSA-N -1 1 310.317 1.333 20 0 DDADMM C[C@@H](NCCNC(=O)[O-])c1ccc(S(C)(=O)=O)c(F)c1 ZINC000825496409 603177053 /nfs/dbraw/zinc/17/70/53/603177053.db2.gz QRGPWPFNTGRLQH-MRVPVSSYSA-N -1 1 304.343 1.147 20 0 DDADMM C[C@@H](C(=O)N[C@H]1CCN(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000738621808 603177727 /nfs/dbraw/zinc/17/77/27/603177727.db2.gz AJTPMNCKSWHSNF-JSGCOSHPSA-N -1 1 305.378 1.375 20 0 DDADMM O=C([O-])N[C@H]1CCCN(C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC000738655052 603193655 /nfs/dbraw/zinc/19/36/55/603193655.db2.gz LLQNHROWPSHYOY-LBPRGKRZSA-N -1 1 314.345 1.949 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@H]1CCC[C@H](NC(=O)[O-])C1 ZINC000739199153 603208378 /nfs/dbraw/zinc/20/83/78/603208378.db2.gz OSPHNFINDPFNEJ-CBBWQLFWSA-N -1 1 323.437 1.898 20 0 DDADMM C[C@@H](C(=O)Nc1ccc2c(c1)OCO2)N(C)CCCNC(=O)[O-] ZINC000738632714 603249146 /nfs/dbraw/zinc/24/91/46/603249146.db2.gz UWUYIGWJJAMUHV-JTQLQIEISA-N -1 1 323.349 1.332 20 0 DDADMM O=C([O-])N1CCC[C@@H](CNCc2nnc3n2CCCCC3)C1 ZINC000740513538 603265027 /nfs/dbraw/zinc/26/50/27/603265027.db2.gz WFTUNMUPCMLZDN-LBPRGKRZSA-N -1 1 307.398 1.484 20 0 DDADMM C[C@@H](C(=O)N1CCC(C)CC1)N1CC[C@H](NC(=O)[O-])[C@@H](C)C1 ZINC000739112904 603415001 /nfs/dbraw/zinc/41/50/01/603415001.db2.gz KXRKBWZEYHNXQD-IHRRRGAJSA-N -1 1 311.426 1.611 20 0 DDADMM Cc1ccc2nc(CNC(=O)NCC[C@@H](C)NC(=O)[O-])[nH]c2c1 ZINC000830434075 603467871 /nfs/dbraw/zinc/46/78/71/603467871.db2.gz ZOTSQBOREUILTF-SNVBAGLBSA-N -1 1 319.365 1.717 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@H](C(=O)Nc2nc(-c3ccccn3)n[nH]2)C1 ZINC000832293980 603484901 /nfs/dbraw/zinc/48/49/01/603484901.db2.gz AARXPOZAGXRWAX-DTWKUNHWSA-N -1 1 316.321 1.242 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000828001966 603510538 /nfs/dbraw/zinc/51/05/38/603510538.db2.gz YFINDZRZNVSBGQ-CABCVRRESA-N -1 1 319.405 1.370 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)[C@H]1CCC[C@@H](NC(=O)[O-])C1 ZINC000829833430 603543832 /nfs/dbraw/zinc/54/38/32/603543832.db2.gz JZJKNAYTEGKNHW-WCQYABFASA-N -1 1 308.382 1.593 20 0 DDADMM C[C@H]1CCCN(Cc2cnc3ccnn3c2)[C@H]1CNC(=O)[O-] ZINC000825924270 603717819 /nfs/dbraw/zinc/71/78/19/603717819.db2.gz GIIQPLORZKOYHB-AAEUAGOBSA-N -1 1 303.366 1.597 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H]3CC[C@H](NC(=O)[O-])C3)[nH]c2c1 ZINC000830433429 603764654 /nfs/dbraw/zinc/76/46/54/603764654.db2.gz UFJGDRCHZCBSDA-QWRGUYRKSA-N -1 1 316.361 1.924 20 0 DDADMM O=C([O-])N1CC[C@H](N[C@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000831948236 603872363 /nfs/dbraw/zinc/87/23/63/603872363.db2.gz QOUUUGIAKHLMPE-AAEUAGOBSA-N -1 1 323.780 1.787 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@H](CNC(=O)[O-])C1 ZINC000829832935 603939431 /nfs/dbraw/zinc/93/94/31/603939431.db2.gz DAJUMBSWBUEMOM-SSDOTTSWSA-N -1 1 320.271 1.467 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2CCCc3[nH]ncc32)C[C@@H](C)N1C(=O)[O-] ZINC000825975431 603949813 /nfs/dbraw/zinc/94/98/13/603949813.db2.gz OUBJVIPNWIEBFJ-GMTAPVOTSA-N -1 1 306.366 1.429 20 0 DDADMM CN(C)[C@H](CNC(=O)CCNC(=O)[O-])c1ccc(Cl)cc1 ZINC000827993666 603970069 /nfs/dbraw/zinc/97/00/69/603970069.db2.gz DUNKWDUXCMYNFZ-GFCCVEGCSA-N -1 1 313.785 1.717 20 0 DDADMM C[C@H](NC(=O)[C@H]1CCCCCC[C@H]1NC(=O)[O-])c1nnc[nH]1 ZINC000825095746 603995522 /nfs/dbraw/zinc/99/55/22/603995522.db2.gz XWRKPHRYWFSCKE-GARJFASQSA-N -1 1 309.370 1.589 20 0 DDADMM CN(C)C(=O)c1cccc(CN2CCC[C@@H]2CN(C)C(=O)[O-])c1 ZINC000827989255 604109223 /nfs/dbraw/zinc/10/92/23/604109223.db2.gz UJBZLRHJEZJJIS-OAHLLOKOSA-N -1 1 319.405 1.963 20 0 DDADMM O=C([O-])N1CCc2ccc(NC(=O)CCc3nc[nH]n3)cc2C1 ZINC000831440986 604155668 /nfs/dbraw/zinc/15/56/68/604155668.db2.gz AAJHMQFQHNVMNF-UHFFFAOYSA-N -1 1 315.333 1.412 20 0 DDADMM O=C([O-])N1CCN(C(=O)c2cn[nH]c2-c2ccccc2F)CC1 ZINC000832025431 604158227 /nfs/dbraw/zinc/15/82/27/604158227.db2.gz ZRISNFCOAZKJBQ-UHFFFAOYSA-N -1 1 318.308 1.652 20 0 DDADMM C[C@@H](OC(=O)c1sccc1-c1nn[n-]n1)C1CCOCC1 ZINC000824729413 608186452 /nfs/dbraw/zinc/18/64/52/608186452.db2.gz JYVGXHAITGDGJC-MRVPVSSYSA-N -1 1 308.363 1.900 20 0 DDADMM C[C@@H](C(=O)c1c[nH]c2ncccc12)N1CCC[C@H](C(=O)[O-])C1 ZINC000833407982 604469766 /nfs/dbraw/zinc/46/97/66/604469766.db2.gz BAWKVBXULNIRSV-QWRGUYRKSA-N -1 1 301.346 1.931 20 0 DDADMM CCC[C@@](C)(NCC(=O)Nc1nnc(C(C)C)s1)C(=O)[O-] ZINC000829193681 604474947 /nfs/dbraw/zinc/47/49/47/604474947.db2.gz DLBUHAXDVQLENT-CYBMUJFWSA-N -1 1 314.411 1.833 20 0 DDADMM O=C(CNC1(C(=O)[O-])CCCCC1)Nc1nc(C2CC2)no1 ZINC000833110205 604476284 /nfs/dbraw/zinc/47/62/84/604476284.db2.gz LZCASYQZYZLHBZ-UHFFFAOYSA-N -1 1 308.338 1.263 20 0 DDADMM Cc1nc2ccc(NC(=O)[C@H]3CN(C(=O)[O-])CCO3)cc2[nH]1 ZINC000830785944 604635230 /nfs/dbraw/zinc/63/52/30/604635230.db2.gz WDGUHLVNDWCCLG-GFCCVEGCSA-N -1 1 304.306 1.189 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)N1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000826742634 604646189 /nfs/dbraw/zinc/64/61/89/604646189.db2.gz DCKCJNWEYQBJNE-QWRGUYRKSA-N -1 1 309.370 1.255 20 0 DDADMM Cc1nc(CN(C)[C@H]2CCN(Cc3c[nH]c(C(=O)[O-])c3)C2)no1 ZINC000832997646 604685129 /nfs/dbraw/zinc/68/51/29/604685129.db2.gz FNAYPOOFOOPESW-LBPRGKRZSA-N -1 1 319.365 1.111 20 0 DDADMM C[C@H](CN(CC(=O)Nc1nc(C2CC2)no1)C1CC1)C(=O)[O-] ZINC000827449529 604738883 /nfs/dbraw/zinc/73/88/83/604738883.db2.gz MPDWUOZNQSSCAX-MRVPVSSYSA-N -1 1 308.338 1.071 20 0 DDADMM CCC[C@](C)(NCC(=O)Nc1oc(C)c(C)c1C#N)C(=O)[O-] ZINC000386446854 604763583 /nfs/dbraw/zinc/76/35/83/604763583.db2.gz FZXIVNCYYVNPFC-HNNXBMFYSA-N -1 1 307.350 1.940 20 0 DDADMM CC(C)N(CCN(C)C)S(=O)(=O)c1ccc(C(=O)[O-])s1 ZINC000708290395 604930317 /nfs/dbraw/zinc/93/03/17/604930317.db2.gz MNMJLJUGLSERTM-UHFFFAOYSA-N -1 1 320.436 1.407 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1CSc2ccccc21 ZINC000317348213 605079154 /nfs/dbraw/zinc/07/91/54/605079154.db2.gz DWVFEDCVHUPCAB-RYUDHWBXSA-N -1 1 317.370 1.411 20 0 DDADMM C[C@H](NC1CN(C(=O)[O-])C1)c1ccc(N2CCCC2=O)cc1 ZINC000825479882 605099495 /nfs/dbraw/zinc/09/94/95/605099495.db2.gz QQMGKLNYPSXNHA-NSHDSACASA-N -1 1 303.362 1.826 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(Cl)cn1 ZINC000833626991 605100713 /nfs/dbraw/zinc/10/07/13/605100713.db2.gz ULAXFMAPTFIJIT-SNVBAGLBSA-N -1 1 311.769 1.356 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C\c1cccc(F)c1 ZINC000833621917 605114636 /nfs/dbraw/zinc/11/46/36/605114636.db2.gz OSNMRSLDEFQLHV-SZZPACECSA-N -1 1 320.364 1.846 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)NCC2(C(=O)[O-])CCCC2)C[C@@H]1C ZINC000833656168 605123970 /nfs/dbraw/zinc/12/39/70/605123970.db2.gz ICGWQQBNTUQMOS-QWHCGFSZSA-N -1 1 311.426 1.756 20 0 DDADMM CC(C)[C@@H]1C[C@@H](CC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CCO1 ZINC000833469525 605124339 /nfs/dbraw/zinc/12/43/39/605124339.db2.gz AQXDYPPZZCJESI-UBHSHLNASA-N -1 1 323.393 1.363 20 0 DDADMM CC(C)(NCC(=O)Nc1ccc(N2CCCCC2)nc1)C(=O)[O-] ZINC000833461143 605239472 /nfs/dbraw/zinc/23/94/72/605239472.db2.gz OXUMMOGCKPNFLS-UHFFFAOYSA-N -1 1 320.393 1.463 20 0 DDADMM C[C@@H]1CN(C(=O)Cc2ccccc2F)CCN1CCC(=O)[O-] ZINC000833625366 605257585 /nfs/dbraw/zinc/25/75/85/605257585.db2.gz WPFHXTARRHARRM-GFCCVEGCSA-N -1 1 308.353 1.376 20 0 DDADMM CCc1cnccc1[C@H](C)NCC(=O)N[C@@H](C(=O)[O-])[C@@H](C)CC ZINC000833674253 605270729 /nfs/dbraw/zinc/27/07/29/605270729.db2.gz PUMUDGWSOGZXIV-MQIPJXDCSA-N -1 1 321.421 1.910 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)Cc2ccccc2C(=O)[O-])n[nH]1 ZINC000833674717 605272468 /nfs/dbraw/zinc/27/24/68/605272468.db2.gz VBIKFUDYNRIZAO-SECBINFHSA-N -1 1 302.334 1.485 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2CC2(Cl)Cl)CCN1CCC(=O)[O-] ZINC000833618858 605278103 /nfs/dbraw/zinc/27/81/03/605278103.db2.gz RMKMHECXNITXJS-BDAKNGLRSA-N -1 1 309.193 1.188 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2C[C@@H](C)N(C(=O)[O-])[C@@H](C)C2)n[nH]1 ZINC000830029465 605301270 /nfs/dbraw/zinc/30/12/70/605301270.db2.gz GZUWCRDOCBIJEK-ZMLRMANQSA-N -1 1 323.397 1.431 20 0 DDADMM C[C@H]1CN(C(=O)c2ccnc(C3CC3)c2)CCN1CCC(=O)[O-] ZINC000833625819 605314320 /nfs/dbraw/zinc/31/43/20/605314320.db2.gz UZNFILMQPUYVQL-LBPRGKRZSA-N -1 1 317.389 1.580 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)CCC(C)(C)NC(=O)[O-])[nH]n1 ZINC000831031708 605338138 /nfs/dbraw/zinc/33/81/38/605338138.db2.gz UKRHPJARFUUUBN-UHFFFAOYSA-N -1 1 320.353 1.575 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CC[C@@H]1NC(=O)[O-] ZINC000833851260 605338420 /nfs/dbraw/zinc/33/84/20/605338420.db2.gz MONOHOFZEOGGHD-KOLCDFICSA-N -1 1 317.349 1.466 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)[O-])CCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000825838912 605355061 /nfs/dbraw/zinc/35/50/61/605355061.db2.gz TXQSGIXOQZPNJP-PSASIEDQSA-N -1 1 303.322 1.219 20 0 DDADMM COCCC[C@@H](C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000833723563 605402973 /nfs/dbraw/zinc/40/29/73/605402973.db2.gz PYVRTOWWBZAQAG-CHWSQXEVSA-N -1 1 300.399 1.057 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2ccc(NC(=O)[O-])cc2O)n[nH]1 ZINC000830036747 605436138 /nfs/dbraw/zinc/43/61/38/605436138.db2.gz ZTLIJXWZBMQQOU-QMMMGPOBSA-N -1 1 318.333 1.875 20 0 DDADMM O=C([O-])N1CCC[C@H]1CN1CCN(c2ccc(O)cc2)CC1 ZINC000834111209 605462886 /nfs/dbraw/zinc/46/28/86/605462886.db2.gz AJONVHOTXFJNKY-AWEZNQCLSA-N -1 1 305.378 1.657 20 0 DDADMM CCCN(CC(=O)Nc1ccon1)C1CCN(C(=O)[O-])CC1 ZINC000826902386 605465956 /nfs/dbraw/zinc/46/59/56/605465956.db2.gz BHTOMKLNOYJSMG-UHFFFAOYSA-N -1 1 310.354 1.468 20 0 DDADMM O=C([O-])N1CCC[C@H]1CCC(=O)N1CCN(C2CCC2)CC1 ZINC000833995706 605470130 /nfs/dbraw/zinc/47/01/30/605470130.db2.gz PWVUAEMOAHCLTK-AWEZNQCLSA-N -1 1 309.410 1.606 20 0 DDADMM Cn1cnnc1C1CCN(Cc2ccc(NC(=O)[O-])nc2)CC1 ZINC000833956302 605527291 /nfs/dbraw/zinc/52/72/91/605527291.db2.gz FCDBREVTKQFTMS-UHFFFAOYSA-N -1 1 316.365 1.680 20 0 DDADMM COc1cc(CN(C)[C@@H]2CCN(C(=O)[O-])C2)cc2c1OCCO2 ZINC000833887784 605589860 /nfs/dbraw/zinc/58/98/60/605589860.db2.gz YCCZNVIPSDPJCU-GFCCVEGCSA-N -1 1 322.361 1.651 20 0 DDADMM O=C([O-])NCC1CCN(C(=O)c2cccc3[nH]cnc32)CC1 ZINC000834226296 605641112 /nfs/dbraw/zinc/64/11/12/605641112.db2.gz VTHHUOFMWHYRRD-UHFFFAOYSA-N -1 1 302.334 1.683 20 0 DDADMM Cc1nn2c(CN3C[C@H](CNC(=O)[O-])C[C@H]3C)c(C)nc2s1 ZINC000833922018 605685024 /nfs/dbraw/zinc/68/50/24/605685024.db2.gz XOKZCJHAESAPEQ-KCJUWKMLSA-N -1 1 323.422 1.886 20 0 DDADMM C[C@H](O)[C@@H]1CCN([C@H]2CCN(c3sccc3C(=O)[O-])C2=O)C1 ZINC000833526283 605698449 /nfs/dbraw/zinc/69/84/49/605698449.db2.gz HTBPUIKMHXJXRZ-UMNHJUIQSA-N -1 1 324.402 1.254 20 0 DDADMM CCN(CC(=O)N(C1CC1)C1CCN(C(=O)[O-])CC1)C1CC1 ZINC000833856208 605840936 /nfs/dbraw/zinc/84/09/36/605840936.db2.gz AFVFYXQLGXDNJH-UHFFFAOYSA-N -1 1 309.410 1.604 20 0 DDADMM O=C([O-])NC1C[C@H]2CCC[C@@H](C1)C2NC(=O)CCc1nc[nH]n1 ZINC000834157748 606003077 /nfs/dbraw/zinc/00/30/77/606003077.db2.gz JOBIAZXQHLZNBC-QLHKPRNWSA-N -1 1 321.381 1.068 20 0 DDADMM O=C([O-])N1CCC[C@H](NCc2n[nH]cc2Br)CC1 ZINC000834101536 606040744 /nfs/dbraw/zinc/04/07/44/606040744.db2.gz SVHDEDYVHGCBJG-QMMMGPOBSA-N -1 1 317.187 1.794 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1)NC(=O)[O-] ZINC000833799463 606092951 /nfs/dbraw/zinc/09/29/51/606092951.db2.gz JZOGPLKVVPCDBL-ONGXEEELSA-N -1 1 321.381 1.434 20 0 DDADMM CCOC(=O)[C@H](C)COC(=O)c1sccc1-c1nn[n-]n1 ZINC000821315882 606135683 /nfs/dbraw/zinc/13/56/83/606135683.db2.gz YONFGKIPXKZUON-SSDOTTSWSA-N -1 1 310.335 1.284 20 0 DDADMM CC(C)(C)N1C[C@@H](Nc2cccc(-c3nnn[n-]3)n2)CC1=O ZINC000819913944 606420501 /nfs/dbraw/zinc/42/05/01/606420501.db2.gz IKIKAGZLBGGKAQ-VIFPVBQESA-N -1 1 301.354 1.073 20 0 DDADMM CC(C)(C)N1C[C@@H](Nc2cccc(-c3nn[n-]n3)n2)CC1=O ZINC000819913944 606420503 /nfs/dbraw/zinc/42/05/03/606420503.db2.gz IKIKAGZLBGGKAQ-VIFPVBQESA-N -1 1 301.354 1.073 20 0 DDADMM O=c1[nH]c2ccccc2n1CCNc1cccc(-c2nnn[n-]2)n1 ZINC000823657089 606429867 /nfs/dbraw/zinc/42/98/67/606429867.db2.gz NGCPYHZIBBQMPB-UHFFFAOYSA-N -1 1 322.332 1.429 20 0 DDADMM O=c1[nH]c2ccccc2n1CCNc1cccc(-c2nn[n-]n2)n1 ZINC000823657089 606429869 /nfs/dbraw/zinc/42/98/69/606429869.db2.gz NGCPYHZIBBQMPB-UHFFFAOYSA-N -1 1 322.332 1.429 20 0 DDADMM Nc1n[nH]c(CCCNc2ccc(Cl)cn2)c1-c1nn[n-]n1 ZINC000822899265 606442486 /nfs/dbraw/zinc/44/24/86/606442486.db2.gz FHLUDKMTURRHRA-UHFFFAOYSA-N -1 1 319.760 1.265 20 0 DDADMM COc1ccccc1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821896742 606489155 /nfs/dbraw/zinc/48/91/55/606489155.db2.gz AIKOQUWCCLYHKQ-UHFFFAOYSA-N -1 1 315.358 1.867 20 0 DDADMM COc1ccccc1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821896742 606489156 /nfs/dbraw/zinc/48/91/56/606489156.db2.gz AIKOQUWCCLYHKQ-UHFFFAOYSA-N -1 1 315.358 1.867 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCCc2ncccc21 ZINC000823484226 606522038 /nfs/dbraw/zinc/52/20/38/606522038.db2.gz UKKSVXVJHRRTIB-UHFFFAOYSA-N -1 1 312.358 1.916 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCCc2ncccc21 ZINC000823484226 606522039 /nfs/dbraw/zinc/52/20/39/606522039.db2.gz UKKSVXVJHRRTIB-UHFFFAOYSA-N -1 1 312.358 1.916 20 0 DDADMM O=C(NC[C@@H]1CCC[C@@H](O)C1)c1ccc(-c2nnn[n-]2)s1 ZINC000823175271 606571969 /nfs/dbraw/zinc/57/19/69/606571969.db2.gz DLLYJNWETCOKLH-RKDXNWHRSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(NC[C@@H]1CCC[C@@H](O)C1)c1ccc(-c2nn[n-]n2)s1 ZINC000823175271 606571970 /nfs/dbraw/zinc/57/19/70/606571970.db2.gz DLLYJNWETCOKLH-RKDXNWHRSA-N -1 1 307.379 1.209 20 0 DDADMM C[C@H]1CCCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820705578 606595576 /nfs/dbraw/zinc/59/55/76/606595576.db2.gz VKRZELKQKABPRG-WCBMZHEXSA-N -1 1 304.358 1.300 20 0 DDADMM C[C@H]1CCCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820705578 606595578 /nfs/dbraw/zinc/59/55/78/606595578.db2.gz VKRZELKQKABPRG-WCBMZHEXSA-N -1 1 304.358 1.300 20 0 DDADMM CC(C)C[C@@H](CCO)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820055884 606597822 /nfs/dbraw/zinc/59/78/22/606597822.db2.gz LCWGAGMOBNSUKH-SNVBAGLBSA-N -1 1 323.422 1.703 20 0 DDADMM CC(C)C[C@@H](CCO)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820055884 606597824 /nfs/dbraw/zinc/59/78/24/606597824.db2.gz LCWGAGMOBNSUKH-SNVBAGLBSA-N -1 1 323.422 1.703 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCc2ccc(F)cc2)n1 ZINC000822620439 606597899 /nfs/dbraw/zinc/59/78/99/606597899.db2.gz QNOOSUUQRMCJEB-UHFFFAOYSA-N -1 1 316.300 1.061 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCc2ccc(F)cc2)n1 ZINC000822620439 606597900 /nfs/dbraw/zinc/59/79/00/606597900.db2.gz QNOOSUUQRMCJEB-UHFFFAOYSA-N -1 1 316.300 1.061 20 0 DDADMM C[C@@H](O)CC(C)(C)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820475309 606598663 /nfs/dbraw/zinc/59/86/63/606598663.db2.gz ZWVOKEWPSNOYQP-MRVPVSSYSA-N -1 1 309.395 1.455 20 0 DDADMM C[C@@H](O)CC(C)(C)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820475309 606598664 /nfs/dbraw/zinc/59/86/64/606598664.db2.gz ZWVOKEWPSNOYQP-MRVPVSSYSA-N -1 1 309.395 1.455 20 0 DDADMM c1cc(-c2nc(Cc3ccccn3)no2)sc1-c1nnn[n-]1 ZINC000823787275 606620914 /nfs/dbraw/zinc/62/09/14/606620914.db2.gz KCPRIFZMYYHBSB-UHFFFAOYSA-N -1 1 311.330 1.964 20 0 DDADMM c1cc(-c2nc(Cc3ccccn3)no2)sc1-c1nn[n-]n1 ZINC000823787275 606620916 /nfs/dbraw/zinc/62/09/16/606620916.db2.gz KCPRIFZMYYHBSB-UHFFFAOYSA-N -1 1 311.330 1.964 20 0 DDADMM C[C@H](CO[C@@H]1CCOC1)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820287698 606634428 /nfs/dbraw/zinc/63/44/28/606634428.db2.gz PFSKBBCIKFWKKQ-RKDXNWHRSA-N -1 1 324.772 1.521 20 0 DDADMM C[C@H](CO[C@@H]1CCOC1)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820287698 606634429 /nfs/dbraw/zinc/63/44/29/606634429.db2.gz PFSKBBCIKFWKKQ-RKDXNWHRSA-N -1 1 324.772 1.521 20 0 DDADMM COC(=O)[C@H]1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C[C@H]1C ZINC000821657446 606729451 /nfs/dbraw/zinc/72/94/51/606729451.db2.gz NWVCFDORXPVGFD-SFYZADRCSA-N -1 1 322.756 1.160 20 0 DDADMM COC(=O)[C@H]1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C[C@H]1C ZINC000821657446 606729453 /nfs/dbraw/zinc/72/94/53/606729453.db2.gz NWVCFDORXPVGFD-SFYZADRCSA-N -1 1 322.756 1.160 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)c2ccc(F)c(F)c2F)n1 ZINC000822620459 606850344 /nfs/dbraw/zinc/85/03/44/606850344.db2.gz RKSNWBLPFSNEEL-UHFFFAOYSA-N -1 1 323.238 1.270 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)c2ccc(F)c(F)c2F)n1 ZINC000822620459 606850345 /nfs/dbraw/zinc/85/03/45/606850345.db2.gz RKSNWBLPFSNEEL-UHFFFAOYSA-N -1 1 323.238 1.270 20 0 DDADMM c1cnc(N2CCOC3(C2)CCCCCC3)c(-c2nnn[n-]2)n1 ZINC000823808764 606879662 /nfs/dbraw/zinc/87/96/62/606879662.db2.gz FFVACXAXMOSTHJ-UHFFFAOYSA-N -1 1 315.381 1.586 20 0 DDADMM c1cnc(N2CCOC3(C2)CCCCCC3)c(-c2nn[n-]n2)n1 ZINC000823808764 606879665 /nfs/dbraw/zinc/87/96/65/606879665.db2.gz FFVACXAXMOSTHJ-UHFFFAOYSA-N -1 1 315.381 1.586 20 0 DDADMM O=C(N[C@H]1CCCc2c[nH]nc21)c1ccc(-c2nn[n-]n2)s1 ZINC000823149631 607097377 /nfs/dbraw/zinc/09/73/77/607097377.db2.gz DRGKZLXOEGEURC-QMMMGPOBSA-N -1 1 315.362 1.459 20 0 DDADMM COC(=O)CCCCCCCNc1nccnc1-c1nnn[n-]1 ZINC000821660435 607117639 /nfs/dbraw/zinc/11/76/39/607117639.db2.gz QUXALIKFRADDQO-UHFFFAOYSA-N -1 1 319.369 1.582 20 0 DDADMM COC(=O)CCCCCCCNc1nccnc1-c1nn[n-]n1 ZINC000821660435 607117642 /nfs/dbraw/zinc/11/76/42/607117642.db2.gz QUXALIKFRADDQO-UHFFFAOYSA-N -1 1 319.369 1.582 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(N[C@@H]2CCOC3(CCCC3)C2)n1 ZINC000823810513 607130611 /nfs/dbraw/zinc/13/06/11/607130611.db2.gz ZNNKOEGQUMWQRL-SNVBAGLBSA-N -1 1 301.354 1.560 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(N[C@@H]2CCOC3(CCCC3)C2)n1 ZINC000823810513 607130613 /nfs/dbraw/zinc/13/06/13/607130613.db2.gz ZNNKOEGQUMWQRL-SNVBAGLBSA-N -1 1 301.354 1.560 20 0 DDADMM Cc1cc(Cn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)nn1C ZINC000822202185 607167004 /nfs/dbraw/zinc/16/70/04/607167004.db2.gz ONVQFGGVMBHIMM-UHFFFAOYSA-N -1 1 321.344 1.272 20 0 DDADMM c1cc(Nc2ccc(N3CCOCC3)cc2)nc(-c2nnn[n-]2)c1 ZINC000826516941 607895073 /nfs/dbraw/zinc/89/50/73/607895073.db2.gz JJKGBXWOELSUCI-UHFFFAOYSA-N -1 1 323.360 1.842 20 0 DDADMM c1cc(Nc2ccc(N3CCOCC3)cc2)nc(-c2nn[n-]n2)c1 ZINC000826516941 607895074 /nfs/dbraw/zinc/89/50/74/607895074.db2.gz JJKGBXWOELSUCI-UHFFFAOYSA-N -1 1 323.360 1.842 20 0 DDADMM Cc1noc(C)c1CCOC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826338924 607914636 /nfs/dbraw/zinc/91/46/36/607914636.db2.gz MBOKADOMMIAHHA-UHFFFAOYSA-N -1 1 314.305 1.266 20 0 DDADMM Cc1noc(C)c1CCOC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826338924 607914637 /nfs/dbraw/zinc/91/46/37/607914637.db2.gz MBOKADOMMIAHHA-UHFFFAOYSA-N -1 1 314.305 1.266 20 0 DDADMM O=C(c1ccccc1[O-])N1CCc2ccc(-c3nn[nH]n3)cc21 ZINC000826474247 607962120 /nfs/dbraw/zinc/96/21/20/607962120.db2.gz HZFOGQYAFOHMBS-UHFFFAOYSA-N -1 1 307.313 1.775 20 0 DDADMM FC(F)(F)[C@@H]1COCCN1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826367929 608044026 /nfs/dbraw/zinc/04/40/26/608044026.db2.gz UUJOMPVDVVYOMU-JTQLQIEISA-N -1 1 314.271 1.025 20 0 DDADMM FC(F)(F)[C@@H]1COCCN1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826367929 608044027 /nfs/dbraw/zinc/04/40/27/608044027.db2.gz UUJOMPVDVVYOMU-JTQLQIEISA-N -1 1 314.271 1.025 20 0 DDADMM Fc1cc2c(c(F)c1)[C@H](NCc1ccc(-c3nnn[n-]3)o1)CO2 ZINC000826372840 608136670 /nfs/dbraw/zinc/13/66/70/608136670.db2.gz NOTMLDQDTQBSHG-SNVBAGLBSA-N -1 1 319.271 1.961 20 0 DDADMM Fc1cc2c(c(F)c1)[C@H](NCc1ccc(-c3nn[n-]n3)o1)CO2 ZINC000826372840 608136671 /nfs/dbraw/zinc/13/66/71/608136671.db2.gz NOTMLDQDTQBSHG-SNVBAGLBSA-N -1 1 319.271 1.961 20 0 DDADMM CCc1cnccc1[C@H](C)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825733347 608331055 /nfs/dbraw/zinc/33/10/55/608331055.db2.gz CXBQOIIIIKJBME-JTQLQIEISA-N -1 1 323.360 1.710 20 0 DDADMM CCc1cnccc1[C@H](C)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825733347 608331056 /nfs/dbraw/zinc/33/10/56/608331056.db2.gz CXBQOIIIIKJBME-JTQLQIEISA-N -1 1 323.360 1.710 20 0 DDADMM Cc1ccncc1[C@H](C)OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826315651 608334584 /nfs/dbraw/zinc/33/45/84/608334584.db2.gz PDJHCFXYBDJYNQ-JTQLQIEISA-N -1 1 310.317 1.883 20 0 DDADMM Cc1ccncc1[C@H](C)OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826315651 608334586 /nfs/dbraw/zinc/33/45/86/608334586.db2.gz PDJHCFXYBDJYNQ-JTQLQIEISA-N -1 1 310.317 1.883 20 0 DDADMM C[S@@](=O)Cc1cccc(Nc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826224909 608420117 /nfs/dbraw/zinc/42/01/17/608420117.db2.gz OUCKMFKCVYFTIU-JOCHJYFZSA-N -1 1 315.362 1.279 20 0 DDADMM C[S@@](=O)Cc1cccc(Nc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826224909 608420118 /nfs/dbraw/zinc/42/01/18/608420118.db2.gz OUCKMFKCVYFTIU-JOCHJYFZSA-N -1 1 315.362 1.279 20 0 DDADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)Oc1ccccc1F ZINC000824428249 608424056 /nfs/dbraw/zinc/42/40/56/608424056.db2.gz RDHLPUZHEMJWES-VIFPVBQESA-N -1 1 315.312 1.675 20 0 DDADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)Oc1ccccc1F ZINC000824428249 608424058 /nfs/dbraw/zinc/42/40/58/608424058.db2.gz RDHLPUZHEMJWES-VIFPVBQESA-N -1 1 315.312 1.675 20 0 DDADMM Cc1ccc(O[C@H](C)CNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826292327 608424530 /nfs/dbraw/zinc/42/45/30/608424530.db2.gz QMPKTBYTQOVQKU-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM Cc1ccc(O[C@H](C)CNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826292327 608424532 /nfs/dbraw/zinc/42/45/32/608424532.db2.gz QMPKTBYTQOVQKU-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC000825669452 608428818 /nfs/dbraw/zinc/42/88/18/608428818.db2.gz YCBGOXVWSAAODE-FQOARCPFSA-N -1 1 321.410 1.148 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC000825669452 608428819 /nfs/dbraw/zinc/42/88/19/608428819.db2.gz YCBGOXVWSAAODE-FQOARCPFSA-N -1 1 321.410 1.148 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@H]1CCOC2(CCCCC2)C1 ZINC000826514662 608434794 /nfs/dbraw/zinc/43/47/94/608434794.db2.gz YPDOVRUPUSRMQY-NSHDSACASA-N -1 1 315.381 1.951 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@H]1CCOC2(CCCCC2)C1 ZINC000826514662 608434795 /nfs/dbraw/zinc/43/47/95/608434795.db2.gz YPDOVRUPUSRMQY-NSHDSACASA-N -1 1 315.381 1.951 20 0 DDADMM CCN(Cc1ccccc1)C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000825439654 608894682 /nfs/dbraw/zinc/89/46/82/608894682.db2.gz JHGZWEBPWYJPAT-UHFFFAOYSA-N -1 1 311.349 1.112 20 0 DDADMM CCN(Cc1ccccc1)C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000825439654 608894683 /nfs/dbraw/zinc/89/46/83/608894683.db2.gz JHGZWEBPWYJPAT-UHFFFAOYSA-N -1 1 311.349 1.112 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)c1c[nH]c(-c2nn[nH]n2)c1 ZINC000826455041 609254656 /nfs/dbraw/zinc/25/46/56/609254656.db2.gz WRWYHJOICIDAQS-UHFFFAOYSA-N -1 1 304.697 1.806 20 0 DDADMM Cc1onc(CC(=O)Nc2c(C)cccc2F)c1-c1nnn[n-]1 ZINC000826298214 609469906 /nfs/dbraw/zinc/46/99/06/609469906.db2.gz IUZFITMOWDBVEY-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM Cc1onc(CC(=O)Nc2c(C)cccc2F)c1-c1nn[n-]n1 ZINC000826298214 609469907 /nfs/dbraw/zinc/46/99/07/609469907.db2.gz IUZFITMOWDBVEY-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@H]2CCCN(C(C)=O)C2)[n-]1 ZINC000796984019 699989248 /nfs/dbraw/zinc/98/92/48/699989248.db2.gz RQKZVCVLVAPMQR-NSHDSACASA-N -1 1 308.334 1.217 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H](C)Cn1cccn1 ZINC000747357569 700066204 /nfs/dbraw/zinc/06/62/04/700066204.db2.gz GOJZPGXIOJEVSP-NSHDSACASA-N -1 1 317.393 1.877 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C[C@H]2CCCO2)cc1 ZINC000046542356 696188793 /nfs/dbraw/zinc/18/87/93/696188793.db2.gz FIQBRXKAKZCDBF-CYBMUJFWSA-N -1 1 321.329 1.064 20 0 DDADMM CC(Nc1cc(Cl)ccc1C)=C1C(=O)[N-]C(=S)NC1=O ZINC000048403325 696211833 /nfs/dbraw/zinc/21/18/33/696211833.db2.gz UEKXEGWNGBYYCZ-UHFFFAOYSA-N -1 1 309.778 1.865 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccc2c(c1)CCCC2)[C@@H]1CC1[N+](=O)[O-] ZINC000048615974 696214785 /nfs/dbraw/zinc/21/47/85/696214785.db2.gz SWFNHHBLEUVGCF-CHWSQXEVSA-N -1 1 324.358 1.876 20 0 DDADMM CCC(NCc1ccccc1Cl)=C1C(=O)[N-]C(=S)NC1=O ZINC000054502785 696276709 /nfs/dbraw/zinc/27/67/09/696276709.db2.gz WXWDMJISPAPSJC-UHFFFAOYSA-N -1 1 323.805 1.625 20 0 DDADMM COCCCNC(=O)[C@H](C)O[N-]C(=O)CCC1CCCCC1 ZINC000083536798 696552457 /nfs/dbraw/zinc/55/24/57/696552457.db2.gz WJZYJJBTDYLDSE-ZDUSSCGKSA-N -1 1 314.426 1.936 20 0 DDADMM O=S(=O)([N-]CC(F)F)c1c(Cl)nc2sccn21 ZINC000098000531 696606444 /nfs/dbraw/zinc/60/64/44/696606444.db2.gz FZMLUPYLFHFPNA-UHFFFAOYSA-N -1 1 301.727 1.593 20 0 DDADMM O=S(=O)([N-]N=c1nccc[nH]1)c1cc(F)ccc1Cl ZINC000103058300 696612471 /nfs/dbraw/zinc/61/24/71/696612471.db2.gz LCHDDXVICXVNOS-UHFFFAOYSA-N -1 1 302.718 1.575 20 0 DDADMM C[C@H](C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000979664558 696621670 /nfs/dbraw/zinc/62/16/70/696621670.db2.gz MMOBJKVDYXHWRH-GVXVVHGQSA-N -1 1 317.389 1.458 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCCNC(=O)C1 ZINC000112210065 696649127 /nfs/dbraw/zinc/64/91/27/696649127.db2.gz TVEAWYNTZCGABW-UHFFFAOYSA-N -1 1 313.151 1.117 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@@H]2c2ccccc2)s1 ZINC000120847521 696702418 /nfs/dbraw/zinc/70/24/18/696702418.db2.gz YFSBHMKJPLTSGK-VXGBXAGGSA-N -1 1 303.387 1.697 20 0 DDADMM Cc1ccc2c(c1)CCCN2C(=O)Cc1sc(N)nc1[O-] ZINC000120861149 696702907 /nfs/dbraw/zinc/70/29/07/696702907.db2.gz PRHQRAKGCDNYHM-LBPRGKRZSA-N -1 1 303.387 1.621 20 0 DDADMM C/C(=C\C(=O)OCCc1c(C)nc2[n-]cnn2c1=O)C(C)(C)C ZINC000129872852 696792526 /nfs/dbraw/zinc/79/25/26/696792526.db2.gz OMXLDGRGJDZRHR-CSKARUKUSA-N -1 1 318.377 1.804 20 0 DDADMM CCCCC[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C(C)C ZINC000129930022 696793028 /nfs/dbraw/zinc/79/30/28/696793028.db2.gz WCHUSTULBILZLG-SNVBAGLBSA-N -1 1 316.427 1.999 20 0 DDADMM CCCCC[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C(C)C ZINC000129930022 696793030 /nfs/dbraw/zinc/79/30/30/696793030.db2.gz WCHUSTULBILZLG-SNVBAGLBSA-N -1 1 316.427 1.999 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131602832 696807404 /nfs/dbraw/zinc/80/74/04/696807404.db2.gz STXUNCVEADTPLI-UHFFFAOYSA-N -1 1 309.746 1.709 20 0 DDADMM C[N@H+]1CCN(Cc2ccccc2)[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000150293191 696876714 /nfs/dbraw/zinc/87/67/14/696876714.db2.gz VHMOVMYVHQAHAC-ZDUSSCGKSA-N -1 1 315.339 1.481 20 0 DDADMM O=C(N[C@@H]1CCO[C@H](C2CC2)C1)c1nnc2ccccc2c1O ZINC000156292665 696960734 /nfs/dbraw/zinc/96/07/34/696960734.db2.gz ABGOCVJLDGMCNB-RISCZKNCSA-N -1 1 313.357 1.611 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)Nc2cnn(C)c2)cc1C(=O)[O-] ZINC000156294381 696960996 /nfs/dbraw/zinc/96/09/96/696960996.db2.gz HXMFYDIBOUWXGR-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM CC(F)(F)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982348691 697108179 /nfs/dbraw/zinc/10/81/79/697108179.db2.gz MSKMDWOGZKSXFI-VIFPVBQESA-N -1 1 313.304 1.021 20 0 DDADMM CCc1ccccc1N1C[C@H](C(=O)[N-]OCCOC)CC1=O ZINC000748765897 700133080 /nfs/dbraw/zinc/13/30/80/700133080.db2.gz BAKHIYDKPHANQR-CYBMUJFWSA-N -1 1 306.362 1.296 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCCn2cccn2)c(=O)[n-]1 ZINC000170729262 697349486 /nfs/dbraw/zinc/34/94/86/697349486.db2.gz BBUMEDLSIWFEJM-UHFFFAOYSA-N -1 1 322.390 1.173 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CCC1 ZINC000984801376 697430814 /nfs/dbraw/zinc/43/08/14/697430814.db2.gz NZKVBUVEVCLODD-QWHCGFSZSA-N -1 1 317.389 1.697 20 0 DDADMM COCCCNC(=O)CN(C)Cc1cccc(Cl)c1[O-] ZINC000179619619 697439969 /nfs/dbraw/zinc/43/99/69/697439969.db2.gz VPDHPJDKWONAFC-UHFFFAOYSA-N -1 1 300.786 1.630 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000772709286 697664743 /nfs/dbraw/zinc/66/47/43/697664743.db2.gz RDQXKQQVFBKHGE-NSHDSACASA-N -1 1 322.336 1.405 20 0 DDADMM Cc1ccc(C)c(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000773153013 697719407 /nfs/dbraw/zinc/71/94/07/697719407.db2.gz OMLIFQOGXRWXMA-CYBMUJFWSA-N -1 1 317.418 1.676 20 0 DDADMM O=C(COc1ccccc1F)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773157174 697720683 /nfs/dbraw/zinc/72/06/83/697720683.db2.gz HPOIALWDPHTNDR-SNVBAGLBSA-N -1 1 323.353 1.034 20 0 DDADMM Cc1nc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cs1 ZINC000773498700 697768554 /nfs/dbraw/zinc/76/85/54/697768554.db2.gz RUHPZOPMZKJLAS-UHFFFAOYSA-N -1 1 308.363 1.489 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCCNC(=O)C1 ZINC000227761849 697771509 /nfs/dbraw/zinc/77/15/09/697771509.db2.gz WYTSXTBDJDHAKU-UHFFFAOYSA-N -1 1 313.151 1.117 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cccc(F)c2C#N)o1 ZINC000229000779 697777255 /nfs/dbraw/zinc/77/72/55/697777255.db2.gz CZJHYFLEHZKXLK-UHFFFAOYSA-N -1 1 309.322 1.726 20 0 DDADMM COc1ccc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)cn1 ZINC000773734575 697798610 /nfs/dbraw/zinc/79/86/10/697798610.db2.gz LCDSUBYZDJZYNN-UHFFFAOYSA-N -1 1 315.358 1.352 20 0 DDADMM O=C(NCc1ccn2ccnc2c1)c1ccc2n[n-]c(=S)n2c1 ZINC000774062665 697838834 /nfs/dbraw/zinc/83/88/34/697838834.db2.gz BWPRTEZLCBMNDG-UHFFFAOYSA-N -1 1 324.369 1.596 20 0 DDADMM Cc1cc(=O)oc(C)c1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774895903 697939232 /nfs/dbraw/zinc/93/92/32/697939232.db2.gz LAWXXGZVJRCAPS-UHFFFAOYSA-N -1 1 321.251 1.482 20 0 DDADMM Cc1cc(C)n(CCC(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000774897273 697939848 /nfs/dbraw/zinc/93/98/48/697939848.db2.gz NPHXRCYEDGPNRR-UHFFFAOYSA-N -1 1 321.299 1.502 20 0 DDADMM [O-]C(=NO[C@@H]1CCCCO1)Nc1ccn(Cc2ccccn2)n1 ZINC000775626918 698016681 /nfs/dbraw/zinc/01/66/81/698016681.db2.gz MZJZMYQUEALJFJ-CQSZACIVSA-N -1 1 317.349 1.906 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1ccn(Cc2ccccn2)n1 ZINC000775626918 698016682 /nfs/dbraw/zinc/01/66/82/698016682.db2.gz MZJZMYQUEALJFJ-CQSZACIVSA-N -1 1 317.349 1.906 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCCCCCO)c(F)c1 ZINC000775735755 698027116 /nfs/dbraw/zinc/02/71/16/698027116.db2.gz URRXIONBHSFNEN-UHFFFAOYSA-N -1 1 323.361 1.804 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CC[C@@H](C)CC1 ZINC000987375071 698103148 /nfs/dbraw/zinc/10/31/48/698103148.db2.gz GEZDXTCGXKLREQ-CYDGBPFRSA-N -1 1 321.425 1.416 20 0 DDADMM O=C(c1coc2ccccc12)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776562034 698117342 /nfs/dbraw/zinc/11/73/42/698117342.db2.gz AGZWIGMFCDUXSV-NSHDSACASA-N -1 1 315.358 1.876 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C2CC=CC2)CCN1C(=O)c1ncccc1[O-] ZINC000987488008 698128542 /nfs/dbraw/zinc/12/85/42/698128542.db2.gz KJAQUOVPDIGSNA-YPMHNXCESA-N -1 1 315.373 1.473 20 0 DDADMM O=S(=O)([N-]CCn1ccnc1)c1c(Cl)ccnc1Cl ZINC000777954769 698233097 /nfs/dbraw/zinc/23/30/97/698233097.db2.gz PVGLAQMFAZVPMQ-UHFFFAOYSA-N -1 1 321.189 1.563 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C[C@H](C)O1 ZINC000245699668 698337245 /nfs/dbraw/zinc/33/72/45/698337245.db2.gz RHVVJNVISYTEBN-AYMMMOKOSA-N -1 1 307.803 1.308 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)CC1CC1)N1CCCCC1 ZINC000778640990 698354949 /nfs/dbraw/zinc/35/49/49/698354949.db2.gz NRQUKYNOJAPOPF-ZDUSSCGKSA-N -1 1 302.440 1.353 20 0 DDADMM O=C(OC[C@H]1COC(=O)O1)c1ccc(Br)c([O-])c1 ZINC000778826990 698373261 /nfs/dbraw/zinc/37/32/61/698373261.db2.gz GPZMKLQESDRRQJ-ZETCQYMHSA-N -1 1 317.091 1.847 20 0 DDADMM Cc1cnc(C(=O)NC/C=C/CNC(=O)OC(C)(C)C)c([O-])c1 ZINC000779085996 698394708 /nfs/dbraw/zinc/39/47/08/698394708.db2.gz SQHUQYQCYBKBRK-AATRIKPKSA-N -1 1 321.377 1.906 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](CC(=O)[N-]OCC(F)F)C1 ZINC000779094623 698395475 /nfs/dbraw/zinc/39/54/75/698395475.db2.gz DIHDACGEGNWXTQ-VIFPVBQESA-N -1 1 308.325 1.946 20 0 DDADMM Cc1cnc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c(C)c1 ZINC000780224662 698498603 /nfs/dbraw/zinc/49/86/03/698498603.db2.gz GAQSIGXZACOZOH-UHFFFAOYSA-N -1 1 312.325 1.812 20 0 DDADMM CC(C)N(C)S(=O)(=O)[N-]c1ccn(CC(F)(F)F)n1 ZINC000269465158 698524164 /nfs/dbraw/zinc/52/41/64/698524164.db2.gz YZGNQTYGWFXDFD-UHFFFAOYSA-N -1 1 300.306 1.442 20 0 DDADMM CC[C@@H](NC(=O)NCc1ccc(F)c(CN(C)C)c1)C(=O)[O-] ZINC000780577221 698534522 /nfs/dbraw/zinc/53/45/22/698534522.db2.gz NVAOHNMDWAOSOE-CYBMUJFWSA-N -1 1 311.357 1.550 20 0 DDADMM CC[C@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000305226861 698642612 /nfs/dbraw/zinc/64/26/12/698642612.db2.gz ZEWQZBIIKIBNPU-KWQFWETISA-N -1 1 309.819 1.149 20 0 DDADMM C[C@@H](CN1CCOCC1)OC(=O)c1c([O-])cc(F)cc1F ZINC000782261193 698700604 /nfs/dbraw/zinc/70/06/04/698700604.db2.gz OGJBXCSRLGNTMD-VIFPVBQESA-N -1 1 301.289 1.548 20 0 DDADMM Cc1cc(CC(=O)[N-]OC[C@H](C)NC(=O)OC(C)(C)C)[nH]n1 ZINC000783659912 698865607 /nfs/dbraw/zinc/86/56/07/698865607.db2.gz BWYMIRKNVASHPB-JTQLQIEISA-N -1 1 312.370 1.222 20 0 DDADMM NC(=O)c1c[nH]c(-c2noc(-c3cc4c(cc3[O-])OCO4)n2)c1 ZINC000351043478 698876498 /nfs/dbraw/zinc/87/64/98/698876498.db2.gz OQXCEHVBWVDCDE-UHFFFAOYSA-N -1 1 314.257 1.265 20 0 DDADMM O=C(C[C@@H]1CCOC1)Nc1nc(Br)ccc1[O-] ZINC000783905523 698890496 /nfs/dbraw/zinc/89/04/96/698890496.db2.gz RNNNVFWVUKSMTH-ZETCQYMHSA-N -1 1 301.140 1.915 20 0 DDADMM O=C([N-]C1CN(C(=O)c2cc(C(F)(F)F)ccn2)C1)C(F)F ZINC000990024009 698902968 /nfs/dbraw/zinc/90/29/68/698902968.db2.gz DSNPKRKQITWVDY-UHFFFAOYSA-N -1 1 323.221 1.306 20 0 DDADMM C[C@@H]1OC(=O)N[C@H]1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000786073489 699123517 /nfs/dbraw/zinc/12/35/17/699123517.db2.gz RRZIBXWFSKDCJV-WPPNPWJKSA-N -1 1 319.269 1.039 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cncc([O-])c1)c1cc(F)ccc1F ZINC000786076125 699124131 /nfs/dbraw/zinc/12/41/31/699124131.db2.gz SWXKNURUAYBVIR-CYBMUJFWSA-N -1 1 322.267 1.710 20 0 DDADMM Cn1nnc(C[N-]C(=O)C(F)(F)c2cccc(Cl)c2)n1 ZINC000786483689 699149457 /nfs/dbraw/zinc/14/94/57/699149457.db2.gz FKEUEJJYMGKATD-UHFFFAOYSA-N -1 1 301.684 1.272 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1cc(-c2ccc(Cl)cc2)c[nH]1 ZINC000787009111 699185124 /nfs/dbraw/zinc/18/51/24/699185124.db2.gz GTAYRJDLSYSGLG-UHFFFAOYSA-N -1 1 318.720 1.532 20 0 DDADMM Cc1coc(C)c1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969337 699195596 /nfs/dbraw/zinc/19/55/96/699195596.db2.gz MMSSJFWEIHPGRH-UHFFFAOYSA-N -1 1 315.329 1.251 20 0 DDADMM O=C(NC1CN(C(=O)[C@@H]2CC23CCCC3)C1)c1ncccc1[O-] ZINC000990969159 699195645 /nfs/dbraw/zinc/19/56/45/699195645.db2.gz AXSKHLJAAGQVMV-LBPRGKRZSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2ccc(Cl)nc2F)CCCOC1 ZINC000703058823 699234992 /nfs/dbraw/zinc/23/49/92/699234992.db2.gz HYCJNPQAJHARJA-LLVKDONJSA-N -1 1 308.762 1.722 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC=CO1)c1ccc(Cl)nc1F ZINC000703191397 699235666 /nfs/dbraw/zinc/23/56/66/699235666.db2.gz GFNBOZBVHXXPEB-QMMMGPOBSA-N -1 1 306.746 1.845 20 0 DDADMM O=C(C=Cc1cccs1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000787902237 699252787 /nfs/dbraw/zinc/25/27/87/699252787.db2.gz LAIHTSSTFHKWCB-XOULXFPDSA-N -1 1 307.404 1.591 20 0 DDADMM O=C(NCC1OCCCO1)c1cc(Br)ccc1[O-] ZINC000716405625 699282671 /nfs/dbraw/zinc/28/26/71/699282671.db2.gz SRFJEIVVCFEBFE-UHFFFAOYSA-N -1 1 316.151 1.648 20 0 DDADMM CC(=O)CC(C)(C)CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000788152159 699284761 /nfs/dbraw/zinc/28/47/61/699284761.db2.gz UFWDSOHNLOFGOY-UHFFFAOYSA-N -1 1 319.357 1.882 20 0 DDADMM C[C@H](CN(C)C(=O)CC1CCC2(CC1)OCCO2)c1nn[n-]n1 ZINC000788530087 699321749 /nfs/dbraw/zinc/32/17/49/699321749.db2.gz GZEZQZSHCIBKHU-LLVKDONJSA-N -1 1 323.397 1.085 20 0 DDADMM O=C(OC[C@@H]1CCS(=O)(=O)C1)c1c([O-])cc(F)cc1F ZINC000788922356 699347863 /nfs/dbraw/zinc/34/78/63/699347863.db2.gz HEFGVDJGLVKOMI-ZETCQYMHSA-N -1 1 306.286 1.262 20 0 DDADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000727853303 699428746 /nfs/dbraw/zinc/42/87/46/699428746.db2.gz JISXFBQXLVFWNB-ZDUSSCGKSA-N -1 1 315.373 1.237 20 0 DDADMM CCN(CC)S(=O)(=O)CC(=O)Nc1nc(Cl)ccc1[O-] ZINC000790047237 699437447 /nfs/dbraw/zinc/43/74/47/699437447.db2.gz HXRXLOFLCVRBPN-UHFFFAOYSA-N -1 1 321.786 1.051 20 0 DDADMM CCc1c(C(=O)NN2CC(=O)[N-]C2=O)cnn1C(CC)CC ZINC000790330661 699454057 /nfs/dbraw/zinc/45/40/57/699454057.db2.gz FDELZBSUANYPIJ-UHFFFAOYSA-N -1 1 307.354 1.003 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCSC(C)(C)C)o1 ZINC000730811805 699516423 /nfs/dbraw/zinc/51/64/23/699516423.db2.gz KNBQKSWNVWMHPF-UHFFFAOYSA-N -1 1 321.420 1.876 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cc(-n4cccn4)ccn3)ccnc1-2 ZINC000791166977 699613653 /nfs/dbraw/zinc/61/36/53/699613653.db2.gz MOUMBKWZYHSIKN-UHFFFAOYSA-N -1 1 319.328 1.175 20 0 DDADMM CC[C@@H](C)n1ncc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1C ZINC000791581515 699641880 /nfs/dbraw/zinc/64/18/80/699641880.db2.gz WLARQFVOIUGSRL-SNVBAGLBSA-N -1 1 319.365 1.041 20 0 DDADMM Cc1c2ccccc2oc1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737206478 699737313 /nfs/dbraw/zinc/73/73/13/699737313.db2.gz HKCUVDLQVCOIJP-LLVKDONJSA-N -1 1 313.317 1.468 20 0 DDADMM C[C@H](N[C@@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O)C(=O)[O-] ZINC000739032703 699770254 /nfs/dbraw/zinc/77/02/54/699770254.db2.gz NNGSATRMCCOKHB-GZMMTYOYSA-N -1 1 316.279 1.873 20 0 DDADMM Cc1nnc(N(C)C(=O)c2ccc3n[n-]c(=S)n3c2)s1 ZINC000794017459 699792259 /nfs/dbraw/zinc/79/22/59/699792259.db2.gz JWGBSLOTUDSSLC-UHFFFAOYSA-N -1 1 306.376 1.455 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2cn(C)nc2C)c(=O)[n-]1 ZINC000741191556 699819683 /nfs/dbraw/zinc/81/96/83/699819683.db2.gz ZKFXVCXPFQWSGW-UHFFFAOYSA-N -1 1 321.406 1.826 20 0 DDADMM Cc1[nH]nc(C(F)F)c1[N-]S(=O)(=O)C[C@H]1CCCOC1 ZINC000795348508 699860681 /nfs/dbraw/zinc/86/06/81/699860681.db2.gz NAVPANVAUYEZLN-QMMMGPOBSA-N -1 1 309.338 1.824 20 0 DDADMM CCC[C@@H]1[C@@H](C)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000742802200 699891056 /nfs/dbraw/zinc/89/10/56/699891056.db2.gz KCCYZFYGGHGDRX-QWHCGFSZSA-N -1 1 307.394 1.354 20 0 DDADMM Cc1nsc([N-]C(=O)c2cnn(-c3ccc(F)cc3)n2)n1 ZINC000742828724 699891960 /nfs/dbraw/zinc/89/19/60/699891960.db2.gz DLNKIGAGWHPMLP-UHFFFAOYSA-N -1 1 304.310 1.819 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC2CCN(C(C)=O)CC2)[n-]1 ZINC000796352279 699928632 /nfs/dbraw/zinc/92/86/32/699928632.db2.gz UTVFXTGTSFKPMU-UHFFFAOYSA-N -1 1 308.334 1.217 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C)C(=O)N2CCCCCC2)[n-]1 ZINC000796363663 699929454 /nfs/dbraw/zinc/92/94/54/699929454.db2.gz VKVCGANBTVLCFG-LLVKDONJSA-N -1 1 322.361 1.749 20 0 DDADMM Cc1noc([C@@H]2CCCCN2CCC[N-]C(=O)C(F)(F)F)n1 ZINC000796666944 699945676 /nfs/dbraw/zinc/94/56/76/699945676.db2.gz FGINULWUHJBIOB-JTQLQIEISA-N -1 1 320.315 1.974 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CN2CCCNC2=O)c1 ZINC000744723118 699959296 /nfs/dbraw/zinc/95/92/96/699959296.db2.gz HHFNUNAOSBYSQI-UHFFFAOYSA-N -1 1 320.345 1.096 20 0 DDADMM COCCOCCOC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801203649 700277205 /nfs/dbraw/zinc/27/72/05/700277205.db2.gz WVPLYMIELQXPAV-UHFFFAOYSA-N -1 1 306.318 1.398 20 0 DDADMM CC(C)N1C(=O)C[C@@H](NC(=O)c2c([O-])cccc2Cl)C1=O ZINC000751394507 700285299 /nfs/dbraw/zinc/28/52/99/700285299.db2.gz GFSVNAYMODVJLU-SECBINFHSA-N -1 1 310.737 1.311 20 0 DDADMM Cn1ccc(COC(=O)c2nn(-c3ccc(F)cc3)cc2[O-])n1 ZINC000801325493 700297291 /nfs/dbraw/zinc/29/72/91/700297291.db2.gz MRVDILZHILRMTF-UHFFFAOYSA-N -1 1 316.292 1.808 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCCn3ccnc3)n2)cc1 ZINC000801369661 700301289 /nfs/dbraw/zinc/30/12/89/700301289.db2.gz UYVQTCJKBSPJOU-UHFFFAOYSA-N -1 1 312.329 1.940 20 0 DDADMM Cc1cc(C)nc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000801607713 700326826 /nfs/dbraw/zinc/32/68/26/700326826.db2.gz MQEOYMOMSHJVIM-CQSZACIVSA-N -1 1 308.345 1.731 20 0 DDADMM CO[C@@](C)(C(=O)N=c1ccnc2n(C)[n-]cc1-2)c1ccccc1 ZINC000801703515 700333145 /nfs/dbraw/zinc/33/31/45/700333145.db2.gz LIWSFRTWIXOHQA-QGZVFWFLSA-N -1 1 310.357 1.842 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC2(CNC(=O)C2)CC1 ZINC000752807394 700389448 /nfs/dbraw/zinc/38/94/48/700389448.db2.gz HYUZRQQGFZILEI-UHFFFAOYSA-N -1 1 308.765 1.788 20 0 DDADMM Cc1ccc(/C=C\CC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000753604839 700445505 /nfs/dbraw/zinc/44/55/05/700445505.db2.gz CBQKEZRIHBVIRU-PYLYLYNFSA-N -1 1 313.361 1.512 20 0 DDADMM Cn1[n-]c(CN2CCc3cc(-c4ccccc4)oc3C2)nc1=O ZINC000754065909 700479868 /nfs/dbraw/zinc/47/98/68/700479868.db2.gz ZKHCYUFHWIGCIH-UHFFFAOYSA-N -1 1 310.357 1.927 20 0 DDADMM CO[C@H]1C[C@@H](OC(=O)CCc2c(C)nc(SC)[n-]c2=O)C1 ZINC000809112210 701645189 /nfs/dbraw/zinc/64/51/89/701645189.db2.gz NSINRWYYUAYAKP-AOOOYVTPSA-N -1 1 312.391 1.866 20 0 DDADMM Cc1cc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)ncn1 ZINC000757209445 700660321 /nfs/dbraw/zinc/66/03/21/700660321.db2.gz NENBZNHEJDEAJQ-UHFFFAOYSA-N -1 1 301.350 1.642 20 0 DDADMM CC(C)(C)S(=O)(=O)N1CCC([N-]C(=O)C(F)(F)F)CC1 ZINC000757612824 700666075 /nfs/dbraw/zinc/66/60/75/700666075.db2.gz LCRFAUWGIASNOX-UHFFFAOYSA-N -1 1 316.345 1.258 20 0 DDADMM CON(C(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1)C1CCCC1 ZINC000757622998 700666318 /nfs/dbraw/zinc/66/63/18/700666318.db2.gz XNPKBTDXPVMFFR-UHFFFAOYSA-N -1 1 318.333 1.691 20 0 DDADMM CCOC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1cccnc1 ZINC000758550951 700712311 /nfs/dbraw/zinc/71/23/11/700712311.db2.gz UNULWWYMAJZWAQ-UHFFFAOYSA-N -1 1 311.319 1.058 20 0 DDADMM C[C@@H]1CC(=O)CC[C@@H]1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000763975660 700955816 /nfs/dbraw/zinc/95/58/16/700955816.db2.gz AGTQGLGFPAJYMQ-DDTOSNHZSA-N -1 1 313.361 1.411 20 0 DDADMM CCOc1ccc(CCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765458288 701013593 /nfs/dbraw/zinc/01/35/93/701013593.db2.gz OJKGRRLSIZEEMR-UHFFFAOYSA-N -1 1 305.334 1.183 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Br)cs2)nc1=O ZINC000765463894 701013778 /nfs/dbraw/zinc/01/37/78/701013778.db2.gz VXIBVYOIWAGAIL-UHFFFAOYSA-N -1 1 318.152 1.289 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2C[C@@H]2c2c(F)cccc2F)nc1=O ZINC000765481165 701014986 /nfs/dbraw/zinc/01/49/86/701014986.db2.gz TVLNCDQGMBZXAC-JGVFFNPUSA-N -1 1 309.272 1.234 20 0 DDADMM CCCOc1ccc(CCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765489287 701015725 /nfs/dbraw/zinc/01/57/25/701015725.db2.gz QKHQZBUOZSUGIN-UHFFFAOYSA-N -1 1 319.361 1.573 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCc1cn[nH]c1 ZINC000803288900 701099237 /nfs/dbraw/zinc/09/92/37/701099237.db2.gz IPMIYZBVCABGTB-UHFFFAOYSA-N -1 1 301.349 1.200 20 0 DDADMM COC(=O)C(=O)CCCOC(=O)c1c([O-])cc(F)cc1F ZINC000803321785 701101453 /nfs/dbraw/zinc/10/14/53/701101453.db2.gz SIOLKUGVBFZIEP-UHFFFAOYSA-N -1 1 302.229 1.350 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CC1(Cl)Cl ZINC000809807770 701691188 /nfs/dbraw/zinc/69/11/88/701691188.db2.gz SHUWKSCMZDIOPN-YFKPBYRVSA-N -1 1 300.167 1.037 20 0 DDADMM CCNC(=S)NNc1nc(=O)c2cnn(C(C)(C)C)c2[n-]1 ZINC000769789788 701255840 /nfs/dbraw/zinc/25/58/40/701255840.db2.gz AWBPGUNUKXRFQN-UHFFFAOYSA-N -1 1 309.399 1.098 20 0 DDADMM COC(=O)c1sc([N-]c2ccn(CCO)n2)nc1Cl ZINC000771199399 701313005 /nfs/dbraw/zinc/31/30/05/701313005.db2.gz GSAIRZSAJKANKK-UHFFFAOYSA-N -1 1 302.743 1.516 20 0 DDADMM COC(=O)C[C@@]1(NC(=O)c2cc(F)ccc2[O-])CCCOC1 ZINC000771657854 701327285 /nfs/dbraw/zinc/32/72/85/701327285.db2.gz PNFIAXWVMOVVJD-HNNXBMFYSA-N -1 1 311.309 1.373 20 0 DDADMM COC(=O)C=Cc1ccc(OCCOC(=O)c2cn[n-]n2)cc1 ZINC000805603794 701397355 /nfs/dbraw/zinc/39/73/55/701397355.db2.gz PCTDOOLOAMLTEI-DAXSKMNVSA-N -1 1 317.301 1.227 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)N(C)Cc1cccc(F)c1 ZINC000805603920 701397599 /nfs/dbraw/zinc/39/75/99/701397599.db2.gz XTECLYYMDUCWHW-SECBINFHSA-N -1 1 306.297 1.148 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)N[C@@H](C)c1ccc(F)cc1 ZINC000805605382 701398515 /nfs/dbraw/zinc/39/85/15/701398515.db2.gz LGBXFJAZFXLJAT-DTWKUNHWSA-N -1 1 306.297 1.367 20 0 DDADMM COC(=O)[C@H](Cc1ccc(Cl)cc1)OC(=O)c1cn[n-]n1 ZINC000805606048 701398888 /nfs/dbraw/zinc/39/88/88/701398888.db2.gz FECPEWDLJQKSFY-NSHDSACASA-N -1 1 309.709 1.399 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)COC(=O)c2cn[n-]n2)cc1C ZINC000805606633 701399171 /nfs/dbraw/zinc/39/91/71/701399171.db2.gz JMDHDNYUGSOJGC-NSHDSACASA-N -1 1 302.334 1.456 20 0 DDADMM Cc1cc(Cl)ccc1NC(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805606489 701399188 /nfs/dbraw/zinc/39/91/88/701399188.db2.gz XRPOYAIIVYYILB-QMMMGPOBSA-N -1 1 308.725 1.951 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3ccccc3CO)ccnc1-2 ZINC000806484636 701437260 /nfs/dbraw/zinc/43/72/60/701437260.db2.gz FABLWSWCJKUAPW-UHFFFAOYSA-N -1 1 311.345 1.156 20 0 DDADMM C[C@H]1CC(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@H](C)O1 ZINC000806488800 701437514 /nfs/dbraw/zinc/43/75/14/701437514.db2.gz SSVLDYXCCBBPCO-UWVGGRQHSA-N -1 1 303.366 1.419 20 0 DDADMM CCC1(O)CCC(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000806492990 701437979 /nfs/dbraw/zinc/43/79/79/701437979.db2.gz RTPDRDFYOIMHPP-UHFFFAOYSA-N -1 1 317.393 1.547 20 0 DDADMM C[C@H]1CCN(C(=O)[C@H]2CCOC2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000807707389 701484365 /nfs/dbraw/zinc/48/43/65/701484365.db2.gz CMWYLKMTSSIVBY-NAKRPEOUSA-N -1 1 322.327 1.327 20 0 DDADMM Cc1cccnc1C=CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000808022168 701494646 /nfs/dbraw/zinc/49/46/46/701494646.db2.gz APPLKZXBJKCLQC-PLNGDYQASA-N -1 1 314.345 1.898 20 0 DDADMM COc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1Cl ZINC000815519970 701886309 /nfs/dbraw/zinc/88/63/09/701886309.db2.gz MVWYZXWPKPXSRM-NSHDSACASA-N -1 1 323.740 1.075 20 0 DDADMM Cc1ccc(O)cc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000811311427 701956476 /nfs/dbraw/zinc/95/64/76/701956476.db2.gz JKMYWUDVSGFHRJ-UHFFFAOYSA-N -1 1 305.252 1.926 20 0 DDADMM C[C@@H]1CCC[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868220363 702007122 /nfs/dbraw/zinc/00/71/22/702007122.db2.gz DEPVQKHVYLDYAI-MWLCHTKSSA-N -1 1 306.366 1.243 20 0 DDADMM Nc1nnc(SCCC[N-]C(=O)C(F)(F)F)n1C1CC1 ZINC000840190365 702022184 /nfs/dbraw/zinc/02/21/84/702022184.db2.gz VLQUPPIACJHWOK-UHFFFAOYSA-N -1 1 309.317 1.356 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@H]2COc3cccnc3O2)[n-]1 ZINC000816364815 702073557 /nfs/dbraw/zinc/07/35/57/702073557.db2.gz PIIOULUUECUVOR-SECBINFHSA-N -1 1 318.285 1.193 20 0 DDADMM CSCC1(CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)CC1 ZINC000831121429 706638689 /nfs/dbraw/zinc/63/86/89/706638689.db2.gz KTQVZHLSHHTFRU-UHFFFAOYSA-N -1 1 324.368 1.657 20 0 DDADMM CC(C)n1cnc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1 ZINC000831125679 706639573 /nfs/dbraw/zinc/63/95/73/706639573.db2.gz PMPQOWSNJQRLLP-UHFFFAOYSA-N -1 1 318.299 1.215 20 0 DDADMM CO[C@H]1CCC[C@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000831125591 706639635 /nfs/dbraw/zinc/63/96/35/706639635.db2.gz MHAJQVMVZYXTHP-QWRGUYRKSA-N -1 1 322.327 1.329 20 0 DDADMM CCc1ncsc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831140434 706642500 /nfs/dbraw/zinc/64/25/00/706642500.db2.gz WSFINXWLODJCJG-UHFFFAOYSA-N -1 1 321.324 1.456 20 0 DDADMM CC(C)c1ocnc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831144356 706643727 /nfs/dbraw/zinc/64/37/27/706643727.db2.gz HRQKAMIGVXVNJW-UHFFFAOYSA-N -1 1 319.283 1.549 20 0 DDADMM C[C@@H](C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)c1cccs1 ZINC000831145524 706644038 /nfs/dbraw/zinc/64/40/38/706644038.db2.gz XASVNQLZRAQYNM-MRVPVSSYSA-N -1 1 320.336 1.989 20 0 DDADMM CCn1ncn([N-]C(=O)c2cc3cc(F)ccc3s2)c1=O ZINC000816736907 702171290 /nfs/dbraw/zinc/17/12/90/702171290.db2.gz QZZVLZCIONDQKN-UHFFFAOYSA-N -1 1 306.322 1.802 20 0 DDADMM CC(C)CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)[N-]OC1CCC1 ZINC000812789815 702239022 /nfs/dbraw/zinc/23/90/22/702239022.db2.gz CUCJEORCIFXKNE-CYBMUJFWSA-N -1 1 308.382 1.083 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)CCCC1CC1 ZINC000813084261 702286124 /nfs/dbraw/zinc/28/61/24/702286124.db2.gz LSXFMQHEIPTUAO-UHFFFAOYSA-N -1 1 304.350 1.392 20 0 DDADMM O=C(NCCCC1CCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868776945 702314877 /nfs/dbraw/zinc/31/48/77/702314877.db2.gz QAWWCZPOWOPHCB-UHFFFAOYSA-N -1 1 320.393 1.634 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000868817840 702335024 /nfs/dbraw/zinc/33/50/24/702335024.db2.gz MYYIAPCJLWWKQV-KBVBSXBZSA-N -1 1 323.315 1.016 20 0 DDADMM CNC(=O)C[N-]C(=O)C(F)(F)c1cc(Cl)ccc1Cl ZINC000817423355 702378517 /nfs/dbraw/zinc/37/85/17/702378517.db2.gz HDZPKDIQRBPWEC-UHFFFAOYSA-N -1 1 311.115 1.947 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccn(C(C)C)n2)n1 ZINC000841222164 702393448 /nfs/dbraw/zinc/39/34/48/702393448.db2.gz QXQUOBSODLBXNK-UHFFFAOYSA-N -1 1 305.338 1.545 20 0 DDADMM O=c1[n-]c(CNc2cnc3ccccc3n2)nc2c1COCC2 ZINC000866289843 706666371 /nfs/dbraw/zinc/66/63/71/706666371.db2.gz MQSKZYSYRRFFQO-UHFFFAOYSA-N -1 1 309.329 1.810 20 0 DDADMM CON(C)C(=O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000817665864 702443102 /nfs/dbraw/zinc/44/31/02/702443102.db2.gz LNISJNZYJOPGRV-UHFFFAOYSA-N -1 1 306.240 1.161 20 0 DDADMM O=C(N[C@H]1CCc2nnnn2CC1)c1c([O-])cccc1Cl ZINC000817703581 702456065 /nfs/dbraw/zinc/45/60/65/702456065.db2.gz UMBPNKZIFOYQSZ-QMMMGPOBSA-N -1 1 307.741 1.167 20 0 DDADMM CC[C@H]1CCCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000869212857 702512981 /nfs/dbraw/zinc/51/29/81/702512981.db2.gz MLHIZNXUQRBCBX-NSHDSACASA-N -1 1 320.393 1.587 20 0 DDADMM CCn1ncc(C(=O)CSc2nc(C3CC3)cc(=O)[n-]2)n1 ZINC000841672004 702528594 /nfs/dbraw/zinc/52/85/94/702528594.db2.gz OZWMHDTZHYJGGL-UHFFFAOYSA-N -1 1 305.363 1.646 20 0 DDADMM O=C(CN1CCN(Cc2ccc([O-])c(Cl)c2)CC1)N1CCC1 ZINC000879446677 706682014 /nfs/dbraw/zinc/68/20/14/706682014.db2.gz MUCNMONRNIFGNX-UHFFFAOYSA-N -1 1 323.824 1.396 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@@H]1OCCO[C@@H]1C(F)(F)F ZINC000869445534 702609789 /nfs/dbraw/zinc/60/97/89/702609789.db2.gz HLARCDYNMBBCPI-ZJUUUORDSA-N -1 1 309.215 1.816 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)CCC1 ZINC000843013615 702803323 /nfs/dbraw/zinc/80/33/23/702803323.db2.gz HSAGMJNDPAFWSS-JHJVBQTASA-N -1 1 314.451 1.353 20 0 DDADMM C[C@@H]1CCCC[C@@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014610 702803414 /nfs/dbraw/zinc/80/34/14/702803414.db2.gz PCZSZNYHOKAGQV-OLZOCXBDSA-N -1 1 316.467 1.743 20 0 DDADMM Cc1ccoc1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843017497 702804419 /nfs/dbraw/zinc/80/44/19/702804419.db2.gz GZOZAARSZCGOGL-UHFFFAOYSA-N -1 1 300.380 1.132 20 0 DDADMM Nc1ccc(-c2nc(CC(=O)NC3CCCC3)no2)c([O-])c1 ZINC000843215973 702843433 /nfs/dbraw/zinc/84/34/33/702843433.db2.gz FPTBXXQGCPSNAB-UHFFFAOYSA-N -1 1 302.334 1.626 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2CC2(Cl)Cl)sn1 ZINC000866470141 706706929 /nfs/dbraw/zinc/70/69/29/706706929.db2.gz STIRHTYNAMZODD-LURJTMIESA-N -1 1 301.220 1.924 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)C1(F)CC1 ZINC000843855610 702934240 /nfs/dbraw/zinc/93/42/40/702934240.db2.gz NCXRRTPENHCJLH-VIFPVBQESA-N -1 1 313.695 1.700 20 0 DDADMM O=S(=O)([N-]Cc1nc(C2CC2)no1)c1ccc(F)nc1F ZINC000866549458 706726923 /nfs/dbraw/zinc/72/69/23/706726923.db2.gz PRJKNOXASQIQRZ-UHFFFAOYSA-N -1 1 316.289 1.099 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)[C@@H](O)c1ccccc1 ZINC000831550731 706729695 /nfs/dbraw/zinc/72/96/95/706729695.db2.gz PVDOWUFPAHIAPA-GZMMTYOYSA-N -1 1 315.782 1.464 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1[C@@H](C)CC[C@H]1C(=O)[O-] ZINC000846714021 703322985 /nfs/dbraw/zinc/32/29/85/703322985.db2.gz WRBVLHMWNQNPLJ-CIUDSAMLSA-N -1 1 324.299 1.154 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](F)Cc2ccccc2)n[n-]1 ZINC000879654502 706750494 /nfs/dbraw/zinc/75/04/94/706750494.db2.gz KVFBOCLOGFIGEA-NSHDSACASA-N -1 1 320.324 1.178 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](F)Cc2ccccc2)n1 ZINC000879654502 706750495 /nfs/dbraw/zinc/75/04/95/706750495.db2.gz KVFBOCLOGFIGEA-NSHDSACASA-N -1 1 320.324 1.178 20 0 DDADMM CC1(C)C[C@@](C)([N-]C(=O)C(F)(F)c2nccs2)C(=O)O1 ZINC000848148545 703516104 /nfs/dbraw/zinc/51/61/04/703516104.db2.gz REEFAQBTQAABFU-LLVKDONJSA-N -1 1 304.318 1.835 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](F)c2ccccc2)n[n-]1 ZINC000879654500 706750844 /nfs/dbraw/zinc/75/08/44/706750844.db2.gz KTYYSTYEIIVQLV-NSHDSACASA-N -1 1 306.297 1.308 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](F)c2ccccc2)n1 ZINC000879654500 706750846 /nfs/dbraw/zinc/75/08/46/706750846.db2.gz KTYYSTYEIIVQLV-NSHDSACASA-N -1 1 306.297 1.308 20 0 DDADMM COC(=O)C[C@H]([N-]S(=O)(=O)c1cc(C)ns1)C1CC1 ZINC000866941285 706851322 /nfs/dbraw/zinc/85/13/22/706851322.db2.gz GGEUCCMPTPDPLN-VIFPVBQESA-N -1 1 304.393 1.072 20 0 DDADMM CC(C)N(C)C(=O)[C@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763226 706784511 /nfs/dbraw/zinc/78/45/11/706784511.db2.gz CYTXLBQWYOOEAU-GXFFZTMASA-N -1 1 323.359 1.385 20 0 DDADMM C[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866741894 706784017 /nfs/dbraw/zinc/78/40/17/706784017.db2.gz MOQQFFWRGLUDGV-HTQZYQBOSA-N -1 1 311.329 1.906 20 0 DDADMM CC(C)N(C)C(=O)[C@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763225 706784060 /nfs/dbraw/zinc/78/40/60/706784060.db2.gz CYTXLBQWYOOEAU-GWCFXTLKSA-N -1 1 323.359 1.385 20 0 DDADMM O=C([O-])[C@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CC1CCC1 ZINC000852736091 704108880 /nfs/dbraw/zinc/10/88/80/704108880.db2.gz KYTXHJBECWRIPB-NXEZZACHSA-N -1 1 308.300 1.383 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H](O)CC(C)C)c(F)c1 ZINC000819876562 704179405 /nfs/dbraw/zinc/17/94/05/704179405.db2.gz XCQFIZVTLDQRRZ-SNVBAGLBSA-N -1 1 307.362 1.959 20 0 DDADMM C[C@H]1Cc2ccccc2[C@@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866773364 706795935 /nfs/dbraw/zinc/79/59/35/706795935.db2.gz FGLCJECTPSPUOS-JOYOIKCWSA-N -1 1 302.421 1.482 20 0 DDADMM CNc1ncc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)s1 ZINC000820067365 704214914 /nfs/dbraw/zinc/21/49/14/704214914.db2.gz CIHRSWLSNGJYFT-UHFFFAOYSA-N -1 1 309.351 1.293 20 0 DDADMM O=S(=O)([N-]CCOc1cccnc1)c1ccc(C(F)F)o1 ZINC000866788925 706801543 /nfs/dbraw/zinc/80/15/43/706801543.db2.gz HTZREKIRLVGMDQ-UHFFFAOYSA-N -1 1 318.301 1.970 20 0 DDADMM CN(C[C@@H]1COCCO1)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000853556582 704268434 /nfs/dbraw/zinc/26/84/34/704268434.db2.gz ACPQZSYCDBXGSN-LLVKDONJSA-N -1 1 321.406 1.307 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@H]2CCO[C@@H]2C)c1 ZINC000821035779 704362414 /nfs/dbraw/zinc/36/24/14/704362414.db2.gz SARHRNZBSCFRJE-JWCBKOKGSA-N -1 1 312.387 1.771 20 0 DDADMM CCCOC(=O)[C@H](C)OC(=O)c1sccc1-c1nn[n-]n1 ZINC000821127094 704374495 /nfs/dbraw/zinc/37/44/95/704374495.db2.gz BBLRKCBQGXWGGB-ZETCQYMHSA-N -1 1 310.335 1.427 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-]c1c[nH]c(=O)cc1C ZINC000821186473 704379368 /nfs/dbraw/zinc/37/93/68/704379368.db2.gz YZTFKBSVUVDESO-LLVKDONJSA-N -1 1 316.379 1.569 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C(C)(C)SC)c1 ZINC000854530619 704413234 /nfs/dbraw/zinc/41/32/34/704413234.db2.gz BAOBHPMCEJVIKI-UHFFFAOYSA-N -1 1 318.420 1.380 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1c(C)nc(C2CC2)[nH]c1=S ZINC000854634970 704430739 /nfs/dbraw/zinc/43/07/39/704430739.db2.gz KKCWVNDRKCARIF-UHFFFAOYSA-N -1 1 305.363 1.941 20 0 DDADMM C[C@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@@H]1CCO ZINC000855540097 704491080 /nfs/dbraw/zinc/49/10/80/704491080.db2.gz BEESWHOGBWGSRL-CMPLNLGQSA-N -1 1 320.418 1.641 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000855691239 704497698 /nfs/dbraw/zinc/49/76/98/704497698.db2.gz ONACEFWXKMEBBY-IWIIMEHWSA-N -1 1 307.394 1.703 20 0 DDADMM CC[C@@H](C(=O)[N-]OCCN1CCCC1=O)c1ccc(OC)cc1 ZINC000856041254 704511238 /nfs/dbraw/zinc/51/12/38/704511238.db2.gz AAQNWEBZGWPGIJ-OAHLLOKOSA-N -1 1 320.389 1.859 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1CCS(=O)(=O)C1CCCCC1 ZINC000857084084 704550197 /nfs/dbraw/zinc/55/01/97/704550197.db2.gz NVHNOTYQSVBPSM-CHWSQXEVSA-N -1 1 317.451 1.919 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)Cc3cncs3)nc2n1 ZINC000857683984 704613383 /nfs/dbraw/zinc/61/33/83/704613383.db2.gz HRNOKBANRXIJDW-UHFFFAOYSA-N -1 1 318.362 1.008 20 0 DDADMM CC[C@@H]1CN(c2cc(Cl)[n-]c(=O)n2)C[C@H](C)S1(=O)=O ZINC000858546747 704725346 /nfs/dbraw/zinc/72/53/46/704725346.db2.gz ZRKXYEIGACZBQM-JGVFFNPUSA-N -1 1 305.787 1.238 20 0 DDADMM C[C@@H]1C[C@]2(CCO1)CN(c1cc(Cl)[n-]c(=O)n1)C[C@H](C)O2 ZINC000858526184 704722630 /nfs/dbraw/zinc/72/26/30/704722630.db2.gz VGRWWSIJHUKHGZ-ISTVAULSSA-N -1 1 313.785 1.998 20 0 DDADMM COC(=O)[C@@H](NCCCNc1cc(Cl)[n-]c(=O)n1)C(C)C ZINC000858557695 704727015 /nfs/dbraw/zinc/72/70/15/704727015.db2.gz WMGLBZFLPJIPIQ-NSHDSACASA-N -1 1 316.789 1.425 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1cc(C2CC2)ccc1F ZINC000867320547 706959729 /nfs/dbraw/zinc/95/97/29/706959729.db2.gz IJRBVBDOCWBAKU-UHFFFAOYSA-N -1 1 320.411 1.765 20 0 DDADMM CCNC(=O)[C@@H](C)[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000873825154 704862610 /nfs/dbraw/zinc/86/26/10/704862610.db2.gz BBQLJHJUBDCGDB-SSDOTTSWSA-N -1 1 306.259 1.697 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(Cc2c(F)ccc(O)c2F)C1 ZINC000874043501 704925128 /nfs/dbraw/zinc/92/51/28/704925128.db2.gz TWVSNXLELNFMOY-CQSZACIVSA-N -1 1 301.289 1.594 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(C)c(C)n1 ZINC000867384639 706983247 /nfs/dbraw/zinc/98/32/47/706983247.db2.gz HIUNJNUSMXIZAE-SFHVURJKSA-N -1 1 305.425 1.151 20 0 DDADMM CCO[C@H](COC(=O)c1coc(S(=O)(=O)[N-]C)c1)C1CC1 ZINC000859609086 704950294 /nfs/dbraw/zinc/95/02/94/704950294.db2.gz XYJIMLIOYCIXBA-LLVKDONJSA-N -1 1 317.363 1.160 20 0 DDADMM CCCCS(=O)(=O)CC(=O)Nc1cc([O-])c(F)cc1F ZINC000822543252 704971487 /nfs/dbraw/zinc/97/14/87/704971487.db2.gz RDIYYGOGJDSUPH-UHFFFAOYSA-N -1 1 307.318 1.824 20 0 DDADMM C[C@H]1CCC[C@H](CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)O1 ZINC000823058436 705127140 /nfs/dbraw/zinc/12/71/40/705127140.db2.gz PCRVOOPXJVEGCT-WDEREUQCSA-N -1 1 303.366 1.421 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1csc(C)c1C ZINC000867601954 707051027 /nfs/dbraw/zinc/05/10/27/707051027.db2.gz SLDZWCHTTYJXNP-QGZVFWFLSA-N -1 1 310.466 1.817 20 0 DDADMM CCCCSCC(=O)N[C@@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC000880667962 707052843 /nfs/dbraw/zinc/05/28/43/707052843.db2.gz NCFDNZMIPAILBC-VIFPVBQESA-N -1 1 314.411 1.692 20 0 DDADMM CCCCSCC(=O)N[C@@H](C)c1nnc(C(=O)OCC)[n-]1 ZINC000880667962 707052846 /nfs/dbraw/zinc/05/28/46/707052846.db2.gz NCFDNZMIPAILBC-VIFPVBQESA-N -1 1 314.411 1.692 20 0 DDADMM CCCCSCC(=O)N[C@@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC000880667962 707052848 /nfs/dbraw/zinc/05/28/48/707052848.db2.gz NCFDNZMIPAILBC-VIFPVBQESA-N -1 1 314.411 1.692 20 0 DDADMM O=C(c1cccc2c1CCCC2)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000823190056 705166957 /nfs/dbraw/zinc/16/69/57/705166957.db2.gz CWPDDCPUCHNGCR-CQSZACIVSA-N -1 1 313.361 1.292 20 0 DDADMM CCc1n[nH]c(Cl)c1C(=O)[N-]N1CCc2ccccc2C1=O ZINC000860668673 705250942 /nfs/dbraw/zinc/25/09/42/705250942.db2.gz VNIMPZXIBVUSLG-UHFFFAOYSA-N -1 1 318.764 1.969 20 0 DDADMM CC(C)[C@H](CNc1ccc(-c2nnn[n-]2)nn1)c1cccnc1 ZINC000824193116 705395051 /nfs/dbraw/zinc/39/50/51/705395051.db2.gz SLUCFBSIHRJHKP-LBPRGKRZSA-N -1 1 310.365 1.903 20 0 DDADMM CC(C)[C@H](CNc1ccc(-c2nn[n-]n2)nn1)c1cccnc1 ZINC000824193116 705395058 /nfs/dbraw/zinc/39/50/58/705395058.db2.gz SLUCFBSIHRJHKP-LBPRGKRZSA-N -1 1 310.365 1.903 20 0 DDADMM CSc1nc(CNC(=O)c2cccc3c2OCC3)cc(=O)[n-]1 ZINC000824602852 705491758 /nfs/dbraw/zinc/49/17/58/705491758.db2.gz LJPPWBRZYPNXTG-UHFFFAOYSA-N -1 1 317.370 1.769 20 0 DDADMM CC[C@H]1CCCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876299190 705682807 /nfs/dbraw/zinc/68/28/07/705682807.db2.gz KNVRDWSOJFKELZ-NSHDSACASA-N -1 1 320.393 1.729 20 0 DDADMM CC[C@@](C)(NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CC1 ZINC000876300913 705683503 /nfs/dbraw/zinc/68/35/03/705683503.db2.gz LPSJLYGRVMSQPW-MRXNPFEDSA-N -1 1 320.393 1.633 20 0 DDADMM CO[C@H](C(=O)N(C)c1nn[n-]n1)c1ccccc1C(F)(F)F ZINC000825531574 705688833 /nfs/dbraw/zinc/68/88/33/705688833.db2.gz LZGAEFLHIYQWDA-VIFPVBQESA-N -1 1 315.255 1.569 20 0 DDADMM COc1cccc2c1CCN(c1ccc(-c3nnn[n-]3)nn1)C2 ZINC000826218175 705783467 /nfs/dbraw/zinc/78/34/67/705783467.db2.gz RMUNXUCCRCXWJS-UHFFFAOYSA-N -1 1 309.333 1.228 20 0 DDADMM COc1cccc2c1CCN(c1ccc(-c3nn[n-]n3)nn1)C2 ZINC000826218175 705783469 /nfs/dbraw/zinc/78/34/69/705783469.db2.gz RMUNXUCCRCXWJS-UHFFFAOYSA-N -1 1 309.333 1.228 20 0 DDADMM Cc1onc(CC(=O)N2[C@H](C)CCC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826343684 705792745 /nfs/dbraw/zinc/79/27/45/705792745.db2.gz JXVDOOQHFKWJSV-DTORHVGOSA-N -1 1 304.354 1.495 20 0 DDADMM Cc1onc(CC(=O)N2[C@H](C)CCC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826343684 705792749 /nfs/dbraw/zinc/79/27/49/705792749.db2.gz JXVDOOQHFKWJSV-DTORHVGOSA-N -1 1 304.354 1.495 20 0 DDADMM Cc1onc(CC(=O)Nc2ccnn2C(C)C)c1-c1nnn[n-]1 ZINC000826348815 705795812 /nfs/dbraw/zinc/79/58/12/705795812.db2.gz GHUCSAJJBWEQND-UHFFFAOYSA-N -1 1 316.325 1.122 20 0 DDADMM Cc1onc(CC(=O)Nc2ccnn2C(C)C)c1-c1nn[n-]n1 ZINC000826348815 705795813 /nfs/dbraw/zinc/79/58/13/705795813.db2.gz GHUCSAJJBWEQND-UHFFFAOYSA-N -1 1 316.325 1.122 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cnn(C(C)(C)C)c2)CC1 ZINC000827378842 705989721 /nfs/dbraw/zinc/98/97/21/705989721.db2.gz ARLGJLWPLGTRNE-LBPRGKRZSA-N -1 1 322.409 1.259 20 0 DDADMM O=C(N[C@@H](CO)C1CCCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000827963282 706094637 /nfs/dbraw/zinc/09/46/37/706094637.db2.gz HAYBJFVEVOQXCU-LBPRGKRZSA-N -1 1 320.418 1.689 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(C)(C)[C@H]1C(=O)Nc1nnn[n-]1 ZINC000828074896 706113878 /nfs/dbraw/zinc/11/38/78/706113878.db2.gz YWUYVFDPEYRCAG-MRVPVSSYSA-N -1 1 310.358 1.174 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(C)(C)[C@H]1C(=O)Nc1nn[n-]n1 ZINC000828074896 706113882 /nfs/dbraw/zinc/11/38/82/706113882.db2.gz YWUYVFDPEYRCAG-MRVPVSSYSA-N -1 1 310.358 1.174 20 0 DDADMM C[C@@H](NCc1cn(C)nc1C(=O)[O-])c1ccc([S@@](C)=O)cc1 ZINC000864784463 706262514 /nfs/dbraw/zinc/26/25/14/706262514.db2.gz JIKNJIYKOAMCKZ-ZQJOYCHOSA-N -1 1 321.402 1.707 20 0 DDADMM CC(C)(C)NC(=S)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000865275706 706394400 /nfs/dbraw/zinc/39/44/00/706394400.db2.gz OJAIEISPMVJZJN-NSHDSACASA-N -1 1 311.373 1.802 20 0 DDADMM COc1c([N-]S(=O)(=O)C2=CCCCC2)cccc1C(N)=O ZINC000829906997 706417628 /nfs/dbraw/zinc/41/76/28/706417628.db2.gz ZGOMSSDOLDVAON-UHFFFAOYSA-N -1 1 310.375 1.994 20 0 DDADMM CCn1nccc1C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872507505 707452618 /nfs/dbraw/zinc/45/26/18/707452618.db2.gz WMVOLXWVKPMRFV-LJQANCHMSA-N -1 1 322.456 1.134 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC(C)(C)C ZINC000830429482 706516415 /nfs/dbraw/zinc/51/64/15/706516415.db2.gz UBEKHRCMEPVHHA-ZCFIWIBFSA-N -1 1 309.775 1.072 20 0 DDADMM CCO[C@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C12CCC2 ZINC000830687382 706562344 /nfs/dbraw/zinc/56/23/44/706562344.db2.gz CHRBHHPURYTDJP-UWVGGRQHSA-N -1 1 319.814 1.689 20 0 DDADMM COCC(C)(C)CC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830795977 706581301 /nfs/dbraw/zinc/58/13/01/706581301.db2.gz TUXFNBFHWIHBBY-ZDUSSCGKSA-N -1 1 324.343 1.719 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830815410 706584763 /nfs/dbraw/zinc/58/47/63/706584763.db2.gz AQYPQGGEXTVFNH-YGOYTEALSA-N -1 1 308.300 1.081 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CC[C@@H]2CCOC2)C1 ZINC000830816354 706585561 /nfs/dbraw/zinc/58/55/61/706585561.db2.gz OMYXHGQPIQLVOI-ZWNOBZJWSA-N -1 1 322.327 1.473 20 0 DDADMM O=Cc1cc(F)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1F ZINC000866902031 706840142 /nfs/dbraw/zinc/84/01/42/706840142.db2.gz VJKIWQBKDXFAQI-MRVPVSSYSA-N -1 1 321.287 1.310 20 0 DDADMM O=C(c1ccnc(-n2cccc2)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000866902055 706840353 /nfs/dbraw/zinc/84/03/53/706840353.db2.gz VTASKOGWLSJQTL-CYBMUJFWSA-N -1 1 323.360 1.405 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCCC2(F)F)nc1Cl ZINC000832188365 706865025 /nfs/dbraw/zinc/86/50/25/706865025.db2.gz ZEEGFQHZUMILMZ-ZETCQYMHSA-N -1 1 313.757 1.787 20 0 DDADMM CC(C)[C@@H]1C[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)CCO1 ZINC000867052853 706882537 /nfs/dbraw/zinc/88/25/37/706882537.db2.gz TWDYXPNBBKDYBQ-RYUDHWBXSA-N -1 1 324.468 1.286 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cc(F)ccc1OC ZINC000867131783 706906055 /nfs/dbraw/zinc/90/60/55/706906055.db2.gz NFJBUMDQTYAZEV-IBGZPJMESA-N -1 1 324.399 1.286 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC(F)(F)CC2)c1 ZINC000867216836 706927914 /nfs/dbraw/zinc/92/79/14/706927914.db2.gz XFZBFTSANATFJL-UHFFFAOYSA-N -1 1 307.318 1.821 20 0 DDADMM C[C@@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867263925 706941814 /nfs/dbraw/zinc/94/18/14/706941814.db2.gz WDPYGLXSCBHVHB-RKDXNWHRSA-N -1 1 306.334 1.311 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C)[C@@H](O)c2ccccc2)sn1 ZINC000867274059 706944571 /nfs/dbraw/zinc/94/45/71/706944571.db2.gz PFUBQJNLDRDJAX-ZWNOBZJWSA-N -1 1 312.416 1.852 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CC(C)(C)c1ccccn1 ZINC000867326771 706962150 /nfs/dbraw/zinc/96/21/50/706962150.db2.gz IIKIHVJTCKZVHV-LJQANCHMSA-N -1 1 319.452 1.311 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@H]1Cc2ccccc2O1)c1nn[n-]n1 ZINC000867381779 706982501 /nfs/dbraw/zinc/98/25/01/706982501.db2.gz QTHMIHKWHNNTJE-PWSUYJOCSA-N -1 1 301.350 1.155 20 0 DDADMM Cc1cc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c(C)n1C ZINC000832861921 706996595 /nfs/dbraw/zinc/99/65/95/706996595.db2.gz MZULGNYKVUOGCV-LBPRGKRZSA-N -1 1 307.394 1.263 20 0 DDADMM CCC1=C(C(=O)NCc2cc(=O)[n-]c(SC)n2)CCCO1 ZINC000880651565 707048462 /nfs/dbraw/zinc/04/84/62/707048462.db2.gz QQWBVRMTCVGZGI-UHFFFAOYSA-N -1 1 309.391 1.995 20 0 DDADMM CCOC1CC2(C[C@@H]2C(=O)NCc2cc(=O)[n-]c(SC)n2)C1 ZINC000880651319 707048630 /nfs/dbraw/zinc/04/86/30/707048630.db2.gz LYJKWIYYXARVDG-LOIXOFCESA-N -1 1 323.418 1.726 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)C=CCOC)n[n-]1 ZINC000834686193 707102760 /nfs/dbraw/zinc/10/27/60/707102760.db2.gz FLDRHPVMZZPHBB-JMEBYUIHSA-N -1 1 324.381 1.387 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)C=CCOC)[n-]1 ZINC000834686193 707102761 /nfs/dbraw/zinc/10/27/61/707102761.db2.gz FLDRHPVMZZPHBB-JMEBYUIHSA-N -1 1 324.381 1.387 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)/C=C\COC)n1 ZINC000834686193 707102764 /nfs/dbraw/zinc/10/27/64/707102764.db2.gz FLDRHPVMZZPHBB-JMEBYUIHSA-N -1 1 324.381 1.387 20 0 DDADMM CN(C)[C@@H](C(=O)NCc1ccc([O-])c(Cl)c1)c1cncn1C ZINC000834824918 707131481 /nfs/dbraw/zinc/13/14/81/707131481.db2.gz BRUWEURFBSJUKL-CQSZACIVSA-N -1 1 322.796 1.698 20 0 DDADMM O=C(NC[C@@H]1C[C@@H]2CCC[C@@H]2O1)c1nc2ccccc2c(=O)[n-]1 ZINC000871745494 707185852 /nfs/dbraw/zinc/18/58/52/707185852.db2.gz CZTTVOMFIVNQLX-MJVIPROJSA-N -1 1 313.357 1.611 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2cnn(C)c2C(F)(F)F)c1C ZINC000872003455 707277397 /nfs/dbraw/zinc/27/73/97/707277397.db2.gz SEXSFFIKYRCFRL-UHFFFAOYSA-N -1 1 323.300 1.580 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1ccc(F)c(OC)c1 ZINC000872365449 707391886 /nfs/dbraw/zinc/39/18/86/707391886.db2.gz RIGRSPIQNVYGSD-UHFFFAOYSA-N -1 1 324.399 1.999 20 0 DDADMM CN(C(=O)NCc1ccc2cncn2c1)C1(C(=O)[O-])CCCC1 ZINC000909169949 712952838 /nfs/dbraw/zinc/95/28/38/712952838.db2.gz JQDCGFQMRANZIK-UHFFFAOYSA-N -1 1 316.361 1.873 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]3CCC[C@@H]3O2)sn1 ZINC000872555680 707476997 /nfs/dbraw/zinc/47/69/97/707476997.db2.gz NICFPQOFDASUIE-DCAQKATOSA-N -1 1 302.421 1.687 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCOCC3CCCC3)ccnc1-2 ZINC000836575047 707483003 /nfs/dbraw/zinc/48/30/03/707483003.db2.gz OPKNOYKRUOTJEJ-UHFFFAOYSA-N -1 1 317.393 1.670 20 0 DDADMM CCO[C@@H]1C[C@]([N-]S(=O)(=O)C2CCC2)(C(=O)OC)C1(C)C ZINC000882107804 707500963 /nfs/dbraw/zinc/50/09/63/707500963.db2.gz OXPOUTQAWWSCIC-RISCZKNCSA-N -1 1 319.423 1.205 20 0 DDADMM CC1(C)C[C@](C)([N-]S(=O)(=O)c2ccc(F)nc2F)C(=O)O1 ZINC000882223718 707546824 /nfs/dbraw/zinc/54/68/24/707546824.db2.gz QFLLIVNBODNGGG-LBPRGKRZSA-N -1 1 320.317 1.122 20 0 DDADMM CC1(C)[C@@H](CS(=O)(=O)[N-][C@H]2C(=O)OCC2(C)C)C1(F)F ZINC000882248720 707558165 /nfs/dbraw/zinc/55/81/65/707558165.db2.gz OVLHSUHZVZTSCX-SFYZADRCSA-N -1 1 311.350 1.149 20 0 DDADMM CCC[C@@H]1CCCC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000837108296 707579382 /nfs/dbraw/zinc/57/93/82/707579382.db2.gz PVQZORYFARFBOL-ZIAGYGMSSA-N -1 1 321.421 1.649 20 0 DDADMM Cc1ncnc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)c1C ZINC000882382911 707615268 /nfs/dbraw/zinc/61/52/68/707615268.db2.gz KFSUIIUDUROBEL-LLVKDONJSA-N -1 1 316.327 1.988 20 0 DDADMM CC(C)(C)N1CC[C@H]1CNC(=O)C(C)(C)SCC(=O)[O-] ZINC000872877021 707634502 /nfs/dbraw/zinc/63/45/02/707634502.db2.gz CSSGGLMSEKURSA-JTQLQIEISA-N -1 1 302.440 1.572 20 0 DDADMM COC(=O)NCCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873035497 707702368 /nfs/dbraw/zinc/70/23/68/707702368.db2.gz LDJAZHUPWYMGDW-UHFFFAOYSA-N -1 1 301.730 1.201 20 0 DDADMM COC(=O)[C@@H](F)C[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000838073035 707830386 /nfs/dbraw/zinc/83/03/86/707830386.db2.gz XXEMMWXWGNMXOL-QMMMGPOBSA-N -1 1 311.206 1.684 20 0 DDADMM Cc1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)nn1C(C)C ZINC000838108083 707842464 /nfs/dbraw/zinc/84/24/64/707842464.db2.gz QCMXLIULQTXMLZ-UHFFFAOYSA-N -1 1 321.299 1.998 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C12CCC2 ZINC000838120432 707847923 /nfs/dbraw/zinc/84/79/23/707847923.db2.gz DTSCONGAMCNNQT-QWRGUYRKSA-N -1 1 318.402 1.705 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C1CCC=CCC1 ZINC000873517658 707882584 /nfs/dbraw/zinc/88/25/84/707882584.db2.gz PSCUSYSCLRLJPH-UHFFFAOYSA-N -1 1 316.361 1.558 20 0 DDADMM COc1ccc([C@H](NC(=O)[C@@H]2CCC[N@H+]2C(C)C)C(=O)[O-])cc1 ZINC000909329659 712993180 /nfs/dbraw/zinc/99/31/80/712993180.db2.gz WCIWAAHLOCOLJE-GJZGRUSLSA-N -1 1 320.389 1.810 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)N[C@H](C(=O)[O-])c1ccccc1F ZINC000909358793 712999854 /nfs/dbraw/zinc/99/98/54/712999854.db2.gz SNSPHIHMGLACPX-RISCZKNCSA-N -1 1 324.352 1.036 20 0 DDADMM CC[C@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C(=O)OC ZINC000896895439 708175581 /nfs/dbraw/zinc/17/55/81/708175581.db2.gz SPUCVQIGTVGVOI-ZDUSSCGKSA-N -1 1 303.314 1.932 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC2(CCNC(=O)O2)CC1 ZINC000884886303 708407895 /nfs/dbraw/zinc/40/78/95/708407895.db2.gz UVHXJGJATXUMAO-UHFFFAOYSA-N -1 1 308.309 1.636 20 0 DDADMM CCN1CC[C@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C1=O ZINC000897692296 708420830 /nfs/dbraw/zinc/42/08/30/708420830.db2.gz WYSHVFVIWNTSRV-AWEZNQCLSA-N -1 1 314.341 1.602 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1ccns1)N(C)c1ccccc1 ZINC000884975884 708433456 /nfs/dbraw/zinc/43/34/56/708433456.db2.gz IFUOFKYKEGXQEH-LLVKDONJSA-N -1 1 311.432 1.946 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C(=O)O1 ZINC000897764747 708443887 /nfs/dbraw/zinc/44/38/87/708443887.db2.gz OSQNDIFKXYOZNS-ISVAXAHUSA-N -1 1 301.298 1.685 20 0 DDADMM C[C@@H](C[C@@H](O)c1cccs1)[N-]S(=O)(=O)c1ccns1 ZINC000885068721 708461238 /nfs/dbraw/zinc/46/12/38/708461238.db2.gz FFMBRWHHULVRJT-DTWKUNHWSA-N -1 1 318.445 1.995 20 0 DDADMM O=S(=O)([N-][C@@H]1CC[C@H]2SCCS[C@H]12)c1ccns1 ZINC000885078293 708464645 /nfs/dbraw/zinc/46/46/45/708464645.db2.gz GSJXMGDINHKWJI-NQMVMOMDSA-N -1 1 322.502 1.801 20 0 DDADMM CC[C@H](CNc1ccccc1)NC(=O)CCCc1nn[n-]n1 ZINC000897884384 708480712 /nfs/dbraw/zinc/48/07/12/708480712.db2.gz VZZCQJDESQNERN-GFCCVEGCSA-N -1 1 302.382 1.529 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)C1CCCCC1)c1ccns1 ZINC000885208282 708494495 /nfs/dbraw/zinc/49/44/95/708494495.db2.gz NSPRGLLXHVNBQR-LLVKDONJSA-N -1 1 304.437 1.610 20 0 DDADMM CC[C@H](C)NC(=O)CC[N-]S(=O)(=O)c1cc(C)ns1 ZINC000885378818 708530120 /nfs/dbraw/zinc/53/01/20/708530120.db2.gz KCMJLXZHFVJICD-QMMMGPOBSA-N -1 1 305.425 1.035 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]C(CF)CF)c1ccc(F)cc1 ZINC000885413330 708539014 /nfs/dbraw/zinc/53/90/14/708539014.db2.gz HUBBEWLNSPKVJT-GFCCVEGCSA-N -1 1 311.325 1.740 20 0 DDADMM Cc1cnc(C(=O)N[C@H]([C@@H]2CCOC2)C(F)(F)F)c([O-])c1 ZINC000885533504 708569627 /nfs/dbraw/zinc/56/96/27/708569627.db2.gz OUMYPUDZSNBXKC-LDYMZIIASA-N -1 1 304.268 1.793 20 0 DDADMM CCn1cncc([N-]S(=O)(=O)C[C@@H](CC(C)C)OC)c1=O ZINC000886417295 708757595 /nfs/dbraw/zinc/75/75/95/708757595.db2.gz PKUIUJHOBRYZIJ-LLVKDONJSA-N -1 1 317.411 1.066 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@H]1CC[C@@H](O)C1 ZINC000927782787 713054995 /nfs/dbraw/zinc/05/49/95/713054995.db2.gz JYQHPVQSPSEYHB-VHSXEESVSA-N -1 1 314.332 1.673 20 0 DDADMM C[C@@H]1CS(=O)(=O)CCCN1C(=O)c1cc(F)ccc1[O-] ZINC000886820113 708853097 /nfs/dbraw/zinc/85/30/97/708853097.db2.gz BNCQJAAARUNFHC-SECBINFHSA-N -1 1 301.339 1.181 20 0 DDADMM COC[C@@H]1COCCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000886856866 708866395 /nfs/dbraw/zinc/86/63/95/708866395.db2.gz HQVSBFGWQNQNKS-GFCCVEGCSA-N -1 1 317.341 1.738 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2cc(Cl)ccc2[O-])CCS1(=O)=O ZINC000887190484 708986386 /nfs/dbraw/zinc/98/63/86/708986386.db2.gz LRKPTCDDGGLMIU-WPRPVWTQSA-N -1 1 317.794 1.741 20 0 DDADMM CO[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)C1CCCC1 ZINC000912698892 713071213 /nfs/dbraw/zinc/07/12/13/713071213.db2.gz UJBJGEJMOPFEKK-CYBMUJFWSA-N -1 1 305.378 1.995 20 0 DDADMM O=C([O-])c1ccccc1-n1[nH]c2c(c1=O)CC1(CC2)OCCO1 ZINC000887287023 709007942 /nfs/dbraw/zinc/00/79/42/709007942.db2.gz JFZLLQUZFUMBPC-LLVKDONJSA-N -1 1 316.313 1.631 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)CC1(C(=O)[O-])Cc2ccccc2C1 ZINC000887316800 709015989 /nfs/dbraw/zinc/01/59/89/709015989.db2.gz ZWHDXMBMIGUXFY-OAHLLOKOSA-N -1 1 316.401 1.457 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=S)NC2CCC2)[n-]c1=O ZINC000899667868 709142994 /nfs/dbraw/zinc/14/29/94/709142994.db2.gz YQCLITYJDJMKAA-SNVBAGLBSA-N -1 1 308.407 1.755 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000887912320 709159872 /nfs/dbraw/zinc/15/98/72/709159872.db2.gz WSCVZZYHUNSGNR-DTORHVGOSA-N -1 1 315.778 1.162 20 0 DDADMM NC(=O)C1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)CC1 ZINC000899928689 709239536 /nfs/dbraw/zinc/23/95/36/709239536.db2.gz MXFWVEXAUDXEES-UHFFFAOYSA-N -1 1 317.320 1.417 20 0 DDADMM C[C@H]1Cc2cc(CN[C@H](C(=O)[O-])c3ccnn3C)ccc2O1 ZINC000900039916 709274470 /nfs/dbraw/zinc/27/44/70/709274470.db2.gz FIQJADQSFZUWMQ-BONVTDFDSA-N -1 1 301.346 1.659 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2ccc([O-])cc2F)CCS1(=O)=O ZINC000888387717 709296476 /nfs/dbraw/zinc/29/64/76/709296476.db2.gz XQBBVLSYSQDBCM-VIFPVBQESA-N -1 1 315.366 1.617 20 0 DDADMM CC[C@@](C)(NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(N)=O ZINC000888548672 709338262 /nfs/dbraw/zinc/33/82/62/709338262.db2.gz XKNVMLCJKHGZCW-GFCCVEGCSA-N -1 1 304.268 1.795 20 0 DDADMM O=C(NC1(c2ccccc2)COC1)c1cnc(C2CC2)[n-]c1=O ZINC000888561700 709340701 /nfs/dbraw/zinc/34/07/01/709340701.db2.gz DAYXHVCQLMGMKO-UHFFFAOYSA-N -1 1 311.341 1.715 20 0 DDADMM CC1(C)CNC(=O)[C@H]1[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000912820925 713100282 /nfs/dbraw/zinc/10/02/82/713100282.db2.gz OTVMZIIUDZFUPM-SNVBAGLBSA-N -1 1 318.270 1.697 20 0 DDADMM Cc1nc(CNC(=O)[C@H]2CCCN2C(C)C)sc1C(=O)[O-] ZINC000909504395 709493162 /nfs/dbraw/zinc/49/31/62/709493162.db2.gz SPRHPYLLRSBORH-SNVBAGLBSA-N -1 1 311.407 1.639 20 0 DDADMM CN(CC(=O)NCC1(C(=O)[O-])CCCCC1)[C@@H]1CCSC1 ZINC000909525196 709501644 /nfs/dbraw/zinc/50/16/44/709501644.db2.gz GLDJQRCSHWRYJU-GFCCVEGCSA-N -1 1 314.451 1.575 20 0 DDADMM C[C@]1(NC(=O)[C@@H]2CCCc3[nH]ncc32)CCCC[C@@H]1C(=O)[O-] ZINC000909529038 709503150 /nfs/dbraw/zinc/50/31/50/709503150.db2.gz LPRQFZHTAAVTLI-SIVJFFJCSA-N -1 1 305.378 1.979 20 0 DDADMM C[C@]1(NC(=O)[C@H]2CCc3nc[nH]c3C2)CCCC[C@H]1C(=O)[O-] ZINC000909532606 709504635 /nfs/dbraw/zinc/50/46/35/709504635.db2.gz ZPPQOCZOMMDLJF-MMPTUQATSA-N -1 1 305.378 1.664 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2ccc3ncccc3c2)C1 ZINC000909540926 709508114 /nfs/dbraw/zinc/50/81/14/709508114.db2.gz GOXXYFNBHIQBHX-ZDUSSCGKSA-N -1 1 313.357 1.970 20 0 DDADMM CC1(C)C[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000909552047 709513130 /nfs/dbraw/zinc/51/31/30/709513130.db2.gz MXXUGXQROITXQV-JTQLQIEISA-N -1 1 305.378 1.995 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(Cl)cn1C)c1nn[n-]n1 ZINC000912859713 713109349 /nfs/dbraw/zinc/10/93/49/713109349.db2.gz DVVWEIVKUYAQCH-QMMMGPOBSA-N -1 1 314.802 1.416 20 0 DDADMM CCS[C@@H](C(=O)N[C@@H](CCSC)c1nn[n-]n1)C(C)C ZINC000912859728 713109659 /nfs/dbraw/zinc/10/96/59/713109659.db2.gz ABPSIZRLXCLCBP-VHSXEESVSA-N -1 1 317.484 1.888 20 0 DDADMM O=C([O-])[C@H]1CN(C(=O)[C@H]2CCc3nc[nH]c3C2)c2ccccc21 ZINC000909581961 709525715 /nfs/dbraw/zinc/52/57/15/709525715.db2.gz UCIMSMBMVYRPDJ-JQWIXIFHSA-N -1 1 311.341 1.730 20 0 DDADMM CCC(CC)(NC(=O)C[N@@H+](C)CCc1ccccc1)C(=O)[O-] ZINC000909615030 709540399 /nfs/dbraw/zinc/54/03/99/709540399.db2.gz FHKGQNUUHQBLOQ-UHFFFAOYSA-N -1 1 306.406 1.921 20 0 DDADMM CCC(CC)(NC(=O)CN(C)CCc1ccccc1)C(=O)[O-] ZINC000909615030 709540403 /nfs/dbraw/zinc/54/04/03/709540403.db2.gz FHKGQNUUHQBLOQ-UHFFFAOYSA-N -1 1 306.406 1.921 20 0 DDADMM CN(C)CC(=O)N1C[C@@H](c2ccc(Cl)cc2)[C@H](C(=O)[O-])C1 ZINC000909628933 709548022 /nfs/dbraw/zinc/54/80/22/709548022.db2.gz BKLAMBAOVMGBBI-QWHCGFSZSA-N -1 1 310.781 1.528 20 0 DDADMM CCN(CC(=O)N(C)[C@H](Cc1ccccc1)C(=O)[O-])C1CC1 ZINC000909641560 709554452 /nfs/dbraw/zinc/55/44/52/709554452.db2.gz AXHCYUODBCCCGA-OAHLLOKOSA-N -1 1 304.390 1.625 20 0 DDADMM CN(CC(=O)N(C)c1ccc(OCC(=O)[O-])cc1)C1CCC1 ZINC000909785818 709620087 /nfs/dbraw/zinc/62/00/87/709620087.db2.gz DIBYUDSMLQUXKE-UHFFFAOYSA-N -1 1 306.362 1.597 20 0 DDADMM C[C@@H]1[C@H](C(=O)[O-])CCN1C(=O)CN(C)CCc1ccccc1 ZINC000909807436 709631176 /nfs/dbraw/zinc/63/11/76/709631176.db2.gz HEIUVLYZWRQLHX-UKRRQHHQSA-N -1 1 304.390 1.483 20 0 DDADMM C[C@H]1[C@@H](C(=O)[O-])CCN1C(=O)CN(C)CCc1ccccc1 ZINC000909807437 709631241 /nfs/dbraw/zinc/63/12/41/709631241.db2.gz HEIUVLYZWRQLHX-ZFWWWQNUSA-N -1 1 304.390 1.483 20 0 DDADMM CN(CC(=O)N[C@H](Cc1cccc(Cl)c1)C(=O)[O-])C1CCC1 ZINC000909816691 709637464 /nfs/dbraw/zinc/63/74/64/709637464.db2.gz SUJSFXGTWHZUHK-CQSZACIVSA-N -1 1 324.808 1.936 20 0 DDADMM CCn1cc(C(=O)N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)cn1 ZINC000889791853 709638237 /nfs/dbraw/zinc/63/82/37/709638237.db2.gz OCEKAZMZIOBQGQ-NSHDSACASA-N -1 1 317.349 1.385 20 0 DDADMM C[C@H](NC(=O)Cc1cnc[nH]1)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909819110 709639163 /nfs/dbraw/zinc/63/91/63/709639163.db2.gz HVAMLWRJDIQFSX-SMDDNHRTSA-N -1 1 301.346 1.400 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2C[C@@H](C)O[C@@H](C(=O)[O-])C2)[nH]1 ZINC000909897650 709676986 /nfs/dbraw/zinc/67/69/86/709676986.db2.gz UQNYUWPBULHIHD-PRHODGIISA-N -1 1 303.318 1.185 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C12CCC2 ZINC000909908026 709682156 /nfs/dbraw/zinc/68/21/56/709682156.db2.gz BEKPZCMGFQLVDT-RYUDHWBXSA-N -1 1 303.362 1.747 20 0 DDADMM C[C@H]1CC[C@@](NC(=O)[C@@H]2CCc3[nH]cnc3C2)(C(=O)[O-])CC1 ZINC000909952275 709705269 /nfs/dbraw/zinc/70/52/69/709705269.db2.gz HMSJZDJTBJWPKL-GLKRBJQHSA-N -1 1 305.378 1.664 20 0 DDADMM CC(C)[C@@H](NS(C)(=O)=O)C(=O)Nc1cc([O-])c(F)cc1F ZINC000909975471 709715375 /nfs/dbraw/zinc/71/53/75/709715375.db2.gz RUJODOMCFKSUPG-LLVKDONJSA-N -1 1 322.333 1.183 20 0 DDADMM CCC(=O)N1CSC[C@@H]1C(=O)Nc1cc([O-])c(F)cc1F ZINC000909976502 709715669 /nfs/dbraw/zinc/71/56/69/709715669.db2.gz KFJVUSDFHIOKHP-SNVBAGLBSA-N -1 1 316.329 1.920 20 0 DDADMM C[C@@](O)(CNC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccccc1 ZINC000900718055 709726058 /nfs/dbraw/zinc/72/60/58/709726058.db2.gz JFAHCOOVCRIOJJ-QGZVFWFLSA-N -1 1 313.357 1.697 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N(CC(=O)[O-])C2CCCC2)C1 ZINC000910062400 709761151 /nfs/dbraw/zinc/76/11/51/709761151.db2.gz KKNQALHZPOWQGJ-GXFFZTMASA-N -1 1 305.378 1.931 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@]23CCO[C@@H]2CCCC3)CC1 ZINC000910170299 709796805 /nfs/dbraw/zinc/79/68/05/709796805.db2.gz JHGWEGIDLXTMBI-CKEIUWERSA-N -1 1 324.421 1.343 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c(C)c1 ZINC000910178869 709799701 /nfs/dbraw/zinc/79/97/01/709799701.db2.gz GBYBSIZKMXMCMK-UHFFFAOYSA-N -1 1 305.378 1.319 20 0 DDADMM COc1cc(C)ccc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910180220 709799759 /nfs/dbraw/zinc/79/97/59/709799759.db2.gz JDMRWLVYSWMBKK-UHFFFAOYSA-N -1 1 320.389 1.625 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccc3[nH]ccc3n2)CC1 ZINC000910178850 709799950 /nfs/dbraw/zinc/79/99/50/709799950.db2.gz FLZHZMJKEKIDIQ-UHFFFAOYSA-N -1 1 316.361 1.184 20 0 DDADMM O=C(Nc1ccnn1[C@H]1CCCOC1)C(=O)c1ccc([O-])cc1 ZINC000890332607 709826455 /nfs/dbraw/zinc/82/64/55/709826455.db2.gz IAQCNSHOEVPVSE-LBPRGKRZSA-N -1 1 315.329 1.762 20 0 DDADMM CC1CCN(CC(=O)N[C@@H](C[C@H]2CCCOC2)C(=O)[O-])CC1 ZINC000910239806 709833695 /nfs/dbraw/zinc/83/36/95/709833695.db2.gz DWGMEYXMMMIVTA-KGLIPLIRSA-N -1 1 312.410 1.104 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2cccc3nn[nH]c32)[C@H]2CCC[C@H]21 ZINC000910245850 709837420 /nfs/dbraw/zinc/83/74/20/709837420.db2.gz MBHVYUWZRHJAGA-CWSCBRNRSA-N -1 1 314.345 1.673 20 0 DDADMM C[C@H](NC(=O)CN1CCC[C@H](C(=O)[O-])C1)[C@]12C[C@H]1CCCC2 ZINC000910285998 709867149 /nfs/dbraw/zinc/86/71/49/709867149.db2.gz DUGVOGOUSGJHKN-ZJOBFFGXSA-N -1 1 308.422 1.868 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CC(F)(F)C[C@H]2C(=O)[O-])C1 ZINC000910434854 709939888 /nfs/dbraw/zinc/93/98/88/709939888.db2.gz GIZZAQYZEDYESM-HGNGGELXSA-N -1 1 313.304 1.396 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CC[C@H](O)[C@@H](F)C1 ZINC000890680319 709948836 /nfs/dbraw/zinc/94/88/36/709948836.db2.gz HHHFQQNUWYRBPX-QWRGUYRKSA-N -1 1 308.284 1.624 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC[C@@H]1CCC2(CCC2)O1 ZINC000910514503 709978641 /nfs/dbraw/zinc/97/86/41/709978641.db2.gz WGZUJTWBVKIAPV-OLZOCXBDSA-N -1 1 310.394 1.001 20 0 DDADMM CC[C@@H](C(=O)Nc1nccc(Br)c1[O-])N(C)C ZINC000913025971 713146637 /nfs/dbraw/zinc/14/66/37/713146637.db2.gz BPSFPKOVONWWST-QMMMGPOBSA-N -1 1 302.172 1.828 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cccc3c[nH]nc32)C[C@@]1(F)C(=O)[O-] ZINC000910558322 709998734 /nfs/dbraw/zinc/99/87/34/709998734.db2.gz OTBPKHZCHLNIPI-BMIGLBTASA-N -1 1 305.309 1.838 20 0 DDADMM O=C(NC1(CO)CCOCC1)c1c([O-])cnc2c(F)cccc21 ZINC000901358152 710023177 /nfs/dbraw/zinc/02/31/77/710023177.db2.gz VCZYYRXZFKBWMF-UHFFFAOYSA-N -1 1 320.320 1.351 20 0 DDADMM O=C([O-])/C=C\c1ccc(CN2CCC[C@@H](N3CCCC3=O)C2)o1 ZINC000901428911 710037388 /nfs/dbraw/zinc/03/73/88/710037388.db2.gz DLBLACUTCKFNJW-MEJMFZKBSA-N -1 1 318.373 1.964 20 0 DDADMM O=C([O-])c1csc(CC2CCN(C(=O)c3ccn[nH]3)CC2)n1 ZINC000910788632 710076937 /nfs/dbraw/zinc/07/69/37/710076937.db2.gz VTKSODOHSPAFFG-UHFFFAOYSA-N -1 1 320.374 1.659 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])CN(C(=O)CN(C)CCc2ccccc2)C1 ZINC000901660858 710112528 /nfs/dbraw/zinc/11/25/28/710112528.db2.gz KFHLOTUCOPATHH-HOCLYGCPSA-N -1 1 318.417 1.730 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NC/C=C\Cl)o1 ZINC000891211257 710125342 /nfs/dbraw/zinc/12/53/42/710125342.db2.gz MVHJOBCVWZLOOA-DAXSKMNVSA-N -1 1 320.798 1.839 20 0 DDADMM C[C@H](NCc1cccc(C(F)(F)F)c1)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901742000 710137044 /nfs/dbraw/zinc/13/70/44/710137044.db2.gz PJWLKQZURHUNTG-IUCAKERBSA-N -1 1 318.295 1.773 20 0 DDADMM CCn1ncc(CN[C@@H](Cc2cccc(Cl)c2)C(=O)[O-])n1 ZINC000901868884 710163162 /nfs/dbraw/zinc/16/31/62/710163162.db2.gz OVOJYWWSSDNIOV-ZDUSSCGKSA-N -1 1 308.769 1.737 20 0 DDADMM O=C([O-])[C@H]1CSCCN(Cc2cc3c(cn2)OCCO3)C1 ZINC000901892441 710167302 /nfs/dbraw/zinc/16/73/02/710167302.db2.gz WHXCNVRBYYLRJM-SNVBAGLBSA-N -1 1 310.375 1.102 20 0 DDADMM O=C([O-])c1ccc(/C=C\C(=O)N2CCN(C3CCC3)CC2)o1 ZINC000901921464 710176120 /nfs/dbraw/zinc/17/61/20/710176120.db2.gz BZOBIEUIEPXUTF-ALCCZGGFSA-N -1 1 304.346 1.688 20 0 DDADMM O=C([O-])C[C@@H]1COCCN1Cc1cnc(-c2ccccc2)[nH]1 ZINC000902001414 710198522 /nfs/dbraw/zinc/19/85/22/710198522.db2.gz GWRMVMSTJQEJNF-CQSZACIVSA-N -1 1 301.346 1.752 20 0 DDADMM O=C(CCN1CCCC1=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891621450 710250812 /nfs/dbraw/zinc/25/08/12/710250812.db2.gz HBKICNINOSFCFX-UHFFFAOYSA-N -1 1 304.350 1.012 20 0 DDADMM C[C@@H](Oc1cccnc1)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891623820 710251394 /nfs/dbraw/zinc/25/13/94/710251394.db2.gz UOXJAPMGSIOZRU-SNVBAGLBSA-N -1 1 314.345 1.862 20 0 DDADMM COCc1nc(N(C)Cc2cc(OC)cc(OC)c2)cc(=O)[n-]1 ZINC000891982064 710345432 /nfs/dbraw/zinc/34/54/32/710345432.db2.gz SSFKVAYSTRXPCO-UHFFFAOYSA-N -1 1 319.361 1.982 20 0 DDADMM COCc1nc(NC[C@]2(O)CCc3ccccc32)cc(=O)[n-]1 ZINC000892955850 710531999 /nfs/dbraw/zinc/53/19/99/710531999.db2.gz AZAAIZLWGUOXDP-MRXNPFEDSA-N -1 1 301.346 1.575 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000911094982 710631556 /nfs/dbraw/zinc/63/15/56/710631556.db2.gz BPAVIZVIFGKHBD-JSGCOSHPSA-N -1 1 308.378 1.890 20 0 DDADMM O=C([O-])C1(C(=O)NC[C@@H](c2ccco2)N2CCCC2)CCC1 ZINC000911096645 710632219 /nfs/dbraw/zinc/63/22/19/710632219.db2.gz SQPGZQWZTMXELS-LBPRGKRZSA-N -1 1 306.362 1.788 20 0 DDADMM Cc1cccc(C(=O)NCCNCc2cc(C(=O)[O-])co2)c1 ZINC000902153891 710637496 /nfs/dbraw/zinc/63/74/96/710637496.db2.gz DIGSYIUBNZKQDQ-UHFFFAOYSA-N -1 1 302.330 1.806 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)[C@@H]1CCc2nc[nH]c2C1)C(F)(F)F ZINC000911161743 710662986 /nfs/dbraw/zinc/66/29/86/710662986.db2.gz OZNGTLCPYDANFF-HZGVNTEJSA-N -1 1 305.256 1.036 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)[C@H]1CCCN1C1CCCC1)C(F)(F)F ZINC000911163035 710663749 /nfs/dbraw/zinc/66/37/49/710663749.db2.gz VTLMJMSWBMMXQN-GHMZBOCLSA-N -1 1 322.327 1.915 20 0 DDADMM CN(C)[C@H](CNC(=O)c1cc(C(=O)[O-])on1)c1ccc(F)cc1 ZINC000911192139 710676655 /nfs/dbraw/zinc/67/66/55/710676655.db2.gz CAYLAYPSEDHJNB-GFCCVEGCSA-N -1 1 321.308 1.545 20 0 DDADMM CN1CCC[C@@H](NC(=O)c2[nH]c3ccccc3c2CC(=O)[O-])C1 ZINC000911252571 710710434 /nfs/dbraw/zinc/71/04/34/710710434.db2.gz XMLABBOMHCEMCY-LLVKDONJSA-N -1 1 315.373 1.619 20 0 DDADMM Cc1ccccc1O[C@H](C)CNCc1cn(CC(=O)[O-])nn1 ZINC000902318019 710713976 /nfs/dbraw/zinc/71/39/76/710713976.db2.gz IMHBZCMKQYIKAJ-GFCCVEGCSA-N -1 1 304.350 1.228 20 0 DDADMM CCO[C@@H]1C[C@@H](NCc2cccc(C(=O)[O-])n2)[C@@]12CCCO2 ZINC000902440699 710755518 /nfs/dbraw/zinc/75/55/18/710755518.db2.gz VWNLLXHHAKEATA-FMKPAKJESA-N -1 1 306.362 1.596 20 0 DDADMM O=C([O-])CCN(Cc1ccncc1)C(=O)c1cc(C(F)F)[nH]n1 ZINC000911523551 710835472 /nfs/dbraw/zinc/83/54/72/710835472.db2.gz APMHZMTVMDQBIK-UHFFFAOYSA-N -1 1 324.287 1.859 20 0 DDADMM O=C([O-])CCN(Cc1ccncc1)C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000911525127 710836867 /nfs/dbraw/zinc/83/68/67/710836867.db2.gz PFWCLVKEKYHZFJ-CHWSQXEVSA-N -1 1 314.345 1.412 20 0 DDADMM O=C([O-])C1(C(=O)NCc2ccc3cncn3c2)CC2(CCC2)C1 ZINC000911646251 710903493 /nfs/dbraw/zinc/90/34/93/710903493.db2.gz UZXIUKIMKXQDLU-UHFFFAOYSA-N -1 1 313.357 1.986 20 0 DDADMM O=C(NC1([C@@H]2CCCCO2)CC1)c1nc2ccccc2c(=O)[n-]1 ZINC000902985789 710985307 /nfs/dbraw/zinc/98/53/07/710985307.db2.gz QGQDQTLMRBJSKN-ZDUSSCGKSA-N -1 1 313.357 1.755 20 0 DDADMM CC(C)(C)OC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000902986316 710985642 /nfs/dbraw/zinc/98/56/42/710985642.db2.gz WGIBRUWENFJMQE-UHFFFAOYSA-N -1 1 301.289 1.671 20 0 DDADMM CC1(C)CCC(CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)CC1 ZINC000913494700 713237324 /nfs/dbraw/zinc/23/73/24/713237324.db2.gz CDWPQHSBXIJPOK-GFCCVEGCSA-N -1 1 307.398 1.706 20 0 DDADMM O=C([C@@H]1Cc2ccc(Cl)cc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494337 713237462 /nfs/dbraw/zinc/23/74/62/713237462.db2.gz WYKJXIPCGYKOAB-NEPJUHHUSA-N -1 1 319.752 1.093 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)N(C)c1ccccc1 ZINC000903711729 711250449 /nfs/dbraw/zinc/25/04/49/711250449.db2.gz IREAROPCGAPSGM-ZDUSSCGKSA-N -1 1 308.378 1.461 20 0 DDADMM C[C@H](CN1CCOCC1)N1CCOc2cc(C(=O)[O-])ccc2C1 ZINC000903959021 711348451 /nfs/dbraw/zinc/34/84/51/711348451.db2.gz VJERKSLLXWJGSS-CYBMUJFWSA-N -1 1 320.389 1.300 20 0 DDADMM Cc1nn(C)cc1CN[C@@H](CC(=O)[O-])c1ccc2c(c1)OCO2 ZINC000904045316 711369601 /nfs/dbraw/zinc/36/96/01/711369601.db2.gz JCNIGQVYUAVHRE-ZDUSSCGKSA-N -1 1 317.345 1.763 20 0 DDADMM Cc1c([C@@H](NCc2ccc3c(c2)OCCO3)C(=O)[O-])cnn1C ZINC000904047980 711370297 /nfs/dbraw/zinc/37/02/97/711370297.db2.gz LNQWJGFRWFISNI-OAHLLOKOSA-N -1 1 317.345 1.415 20 0 DDADMM Cn1nc(CN[C@@H]2C[C@@H](NC(=O)[O-])C23CCC3)cc1C1CC1 ZINC000904261855 711419728 /nfs/dbraw/zinc/41/97/28/711419728.db2.gz PXPZSPCKTSGXKE-ZIAGYGMSSA-N -1 1 304.394 1.966 20 0 DDADMM CSc1n[nH]c(NC(=O)[C@H]2CCCN(C(C)(C)C)C2=O)n1 ZINC000895999857 711662788 /nfs/dbraw/zinc/66/27/88/711662788.db2.gz IGFMUVSFNFJJTP-MRVPVSSYSA-N -1 1 311.411 1.502 20 0 DDADMM COCc1nc(N2CCn3nc(C4CC4)cc3C2)cc(=O)[n-]1 ZINC000896220458 711693830 /nfs/dbraw/zinc/69/38/30/711693830.db2.gz ZMABBPASUMAPCQ-UHFFFAOYSA-N -1 1 301.350 1.423 20 0 DDADMM CC(C)[C@@H]1C[C@@H](C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000904939955 711905193 /nfs/dbraw/zinc/90/51/93/711905193.db2.gz HTCDZGJQFWDLLT-FZMZJTMJSA-N -1 1 302.378 1.732 20 0 DDADMM C[C@H](S[C@@H](C)C(=O)NCc1cc(N(C)C)ccn1)C(=O)[O-] ZINC000905128739 711944923 /nfs/dbraw/zinc/94/49/23/711944923.db2.gz OBTZMZNIACRAQK-UWVGGRQHSA-N -1 1 311.407 1.359 20 0 DDADMM CN1CC[C@@H]1CNC(=O)C[C@@]1(C(=O)[O-])CCc2ccccc21 ZINC000905130693 711945944 /nfs/dbraw/zinc/94/59/44/711945944.db2.gz IGRWKOXSHMKEEU-DYVFJYSZSA-N -1 1 302.374 1.166 20 0 DDADMM COc1ccc(CCC(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000913742101 713291673 /nfs/dbraw/zinc/29/16/73/713291673.db2.gz HFKIVJRFAYRYAW-UHFFFAOYSA-N -1 1 315.377 1.547 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccc(F)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742810 713291980 /nfs/dbraw/zinc/29/19/80/713291980.db2.gz VUFGUHOLCYIUPJ-UONOGXRCSA-N -1 1 315.352 1.849 20 0 DDADMM Cc1ccccc1NCCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742762 713292028 /nfs/dbraw/zinc/29/20/28/713292028.db2.gz SUWOSOKVEFDOQL-UHFFFAOYSA-N -1 1 314.393 1.716 20 0 DDADMM O=C([C@H]1CCOC2(CCCC2)C1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746179 713294010 /nfs/dbraw/zinc/29/40/10/713294010.db2.gz VOBQFJYXMWSKFZ-ZDUSSCGKSA-N -1 1 319.409 1.645 20 0 DDADMM O=C(N[C@@H]1C[C@H]1C1CCOCC1)c1cnc(C2CC2)[n-]c1=O ZINC000913775632 713298968 /nfs/dbraw/zinc/29/89/68/713298968.db2.gz OVKOWFPOYAPXSD-WCQYABFASA-N -1 1 303.362 1.605 20 0 DDADMM C[C@H](O)[C@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000907264279 712526903 /nfs/dbraw/zinc/52/69/03/712526903.db2.gz KLDGMRNVGIRFBF-UWVGGRQHSA-N -1 1 304.321 1.922 20 0 DDADMM COc1ccc(F)cc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907474307 712581487 /nfs/dbraw/zinc/58/14/87/712581487.db2.gz GMCLUVKVIHNWDY-JTQLQIEISA-N -1 1 323.353 1.278 20 0 DDADMM CC(C)c1cccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)n1 ZINC000907475822 712582222 /nfs/dbraw/zinc/58/22/22/712582222.db2.gz PZANBGPZCCCRBU-LBPRGKRZSA-N -1 1 318.406 1.648 20 0 DDADMM O=C([C@@H]1CCC=CCCC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907475760 712582266 /nfs/dbraw/zinc/58/22/66/712582266.db2.gz LBFPMDHFOCGRRC-VXGBXAGGSA-N -1 1 307.423 1.953 20 0 DDADMM O=C(C1CCC2(CC2)CC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480493 712583502 /nfs/dbraw/zinc/58/35/02/712583502.db2.gz AWYZJMZTICAYGB-LLVKDONJSA-N -1 1 307.423 1.787 20 0 DDADMM Cc1ccc(CCC(=O)N2CCSC[C@H]2c2nn[n-]n2)s1 ZINC000907481553 712584050 /nfs/dbraw/zinc/58/40/50/712584050.db2.gz WYGIJDFEEQFECM-NSHDSACASA-N -1 1 323.447 1.819 20 0 DDADMM O=C(NOC[C@@H]1CCOC1)c1c([O-])cnc2c(F)cccc21 ZINC000907665518 712611345 /nfs/dbraw/zinc/61/13/45/712611345.db2.gz HNBIBUPZZWGDFV-SECBINFHSA-N -1 1 306.293 1.777 20 0 DDADMM CCN(C)C(=O)[C@H](C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907672932 712612497 /nfs/dbraw/zinc/61/24/97/712612497.db2.gz LXGPFCGGIAZGGR-VIFPVBQESA-N -1 1 319.336 1.676 20 0 DDADMM C[C@@H](NC(=O)c1ccc2cncn2c1)C1(C(=O)[O-])CCOCC1 ZINC000907921115 712648594 /nfs/dbraw/zinc/64/85/94/712648594.db2.gz XZVMWKYZQXIOBF-LLVKDONJSA-N -1 1 317.345 1.334 20 0 DDADMM C[C@@H]1CCCC[C@@H]1CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907942844 712652592 /nfs/dbraw/zinc/65/25/92/712652592.db2.gz CDPCFZIDMKLUQC-VXGBXAGGSA-N -1 1 307.398 1.226 20 0 DDADMM COCCOCCN(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000908243442 712718552 /nfs/dbraw/zinc/71/85/52/712718552.db2.gz DMWDODQEFNXYNS-UHFFFAOYSA-N -1 1 322.336 1.815 20 0 DDADMM COCC1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCOCC1 ZINC000908340000 712746124 /nfs/dbraw/zinc/74/61/24/712746124.db2.gz ISEYOKLIRQDVIY-UHFFFAOYSA-N -1 1 321.377 1.233 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2COC[C@H]2C2CC2)c(F)c1 ZINC000908405732 712762742 /nfs/dbraw/zinc/76/27/42/712762742.db2.gz KYRSDRQMKXAGHD-GXFFZTMASA-N -1 1 317.357 1.977 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@H]1C1CC1)c1cccc(F)c1F ZINC000908409923 712764060 /nfs/dbraw/zinc/76/40/60/712764060.db2.gz LKKYATCVXHHGEW-ONGXEEELSA-N -1 1 303.330 1.668 20 0 DDADMM CN(C1CC1)S(=O)(=O)[N-]CC(F)(F)C1(O)CCCCC1 ZINC000908499250 712790440 /nfs/dbraw/zinc/79/04/40/712790440.db2.gz VXHRIFSSRWUHAQ-UHFFFAOYSA-N -1 1 312.382 1.246 20 0 DDADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H](CO)c1ccccc1 ZINC000908570049 712809521 /nfs/dbraw/zinc/80/95/21/712809521.db2.gz LBHNPHSIMGEWPJ-AWEZNQCLSA-N -1 1 313.357 1.865 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000908709808 712839278 /nfs/dbraw/zinc/83/92/78/712839278.db2.gz UJRPAIQWGGCERQ-HIFRSBDPSA-N -1 1 304.390 1.969 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@@H](CCF)C(=O)[O-])c1cccs1 ZINC000908745623 712846320 /nfs/dbraw/zinc/84/63/20/712846320.db2.gz DCVBLMWUDSCRKF-VHSXEESVSA-N -1 1 317.386 1.463 20 0 DDADMM CC(C)C(=O)C[N-]S(=O)(=O)Cc1noc2c1CCCC2 ZINC000914377301 713386098 /nfs/dbraw/zinc/38/60/98/713386098.db2.gz UINFFFLKAZVUFS-UHFFFAOYSA-N -1 1 300.380 1.198 20 0 DDADMM O=C(CNC(=O)C1CC1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000915014043 713406205 /nfs/dbraw/zinc/40/62/05/713406205.db2.gz MEBPAPDJLSBSLC-UHFFFAOYSA-N -1 1 317.297 1.068 20 0 DDADMM CCc1noc(C)c1C[N-]S(=O)(=O)c1cnc(OC)s1 ZINC000915879723 713434555 /nfs/dbraw/zinc/43/45/55/713434555.db2.gz BJDKJDOJFVDFKC-UHFFFAOYSA-N -1 1 317.392 1.489 20 0 DDADMM CCN1CCC[C@@H](NC(=O)Cc2ccc([O-])c(Cl)c2)C1=O ZINC000928862804 713496292 /nfs/dbraw/zinc/49/62/92/713496292.db2.gz BIVKRIPADHRBQO-GFCCVEGCSA-N -1 1 310.781 1.715 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1cccnc1)c1ccc(C(F)F)o1 ZINC000917489450 713500068 /nfs/dbraw/zinc/50/00/68/713500068.db2.gz AHIDHVIJVYYGHY-VIFPVBQESA-N -1 1 318.301 1.624 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1COC[C@H]1C ZINC000928897698 713507519 /nfs/dbraw/zinc/50/75/19/713507519.db2.gz HZTWYOVYAYFOQH-RNCFNFMXSA-N -1 1 318.377 1.135 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC(F)(F)c1cc(F)cc(F)c1 ZINC000918544695 713548290 /nfs/dbraw/zinc/54/82/90/713548290.db2.gz UFGRDCQXBZGCRE-UHFFFAOYSA-N -1 1 317.246 1.319 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC[C@@H]2C[C@H]2C2CC2)c1 ZINC000920842987 713698123 /nfs/dbraw/zinc/69/81/23/713698123.db2.gz RLUKPBNMPQHMIK-PWSUYJOCSA-N -1 1 313.375 1.781 20 0 DDADMM COC(=O)[C@H](C)[N-]C(=O)C(F)(F)c1cnc(Cl)c(C)c1 ZINC000929824961 713698891 /nfs/dbraw/zinc/69/88/91/713698891.db2.gz XQNVSOIWESTYAG-ZETCQYMHSA-N -1 1 306.696 1.813 20 0 DDADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)CCC(F)(F)F ZINC000921377914 713748839 /nfs/dbraw/zinc/74/88/39/713748839.db2.gz JOUAKQYZFBXPMO-SSDOTTSWSA-N -1 1 323.358 1.153 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCn3cccc3C2)c1 ZINC000921513522 713794172 /nfs/dbraw/zinc/79/41/72/713794172.db2.gz KUGCCSGIXIZDTF-UHFFFAOYSA-N -1 1 308.359 1.407 20 0 DDADMM O=S(=O)([N-][C@@H]([C@@H]1CCOC1)C(F)(F)F)c1cccnc1 ZINC000921863558 713889408 /nfs/dbraw/zinc/88/94/08/713889408.db2.gz XKLGWZGLVHVPOE-SCZZXKLOSA-N -1 1 310.297 1.327 20 0 DDADMM O=S(=O)([N-]CCO[C@H]1CCOC1)c1cc2ccccc2o1 ZINC000921884056 713896448 /nfs/dbraw/zinc/89/64/48/713896448.db2.gz QLKJOMKPWXDGPT-LBPRGKRZSA-N -1 1 311.359 1.517 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc2c1OCC2 ZINC000921892806 713899303 /nfs/dbraw/zinc/89/93/03/713899303.db2.gz SHPFMLWSNXEFIN-IBGZPJMESA-N -1 1 318.420 1.074 20 0 DDADMM COC[C@@H](CNS(=O)(=O)c1cc(OC)ccc1[O-])C(C)C ZINC000921895835 713899926 /nfs/dbraw/zinc/89/99/26/713899926.db2.gz ACZIZFDFYWAMCN-LLVKDONJSA-N -1 1 317.407 1.598 20 0 DDADMM O=S(=O)([N-]CC[C@@H]1CCC2(CCC2)O1)c1ccns1 ZINC000921934269 713913947 /nfs/dbraw/zinc/91/39/47/713913947.db2.gz UCYYFSBIWBCBJU-JTQLQIEISA-N -1 1 302.421 1.913 20 0 DDADMM C[C@@H](C[S@](C)=O)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932047228 714245837 /nfs/dbraw/zinc/24/58/37/714245837.db2.gz XSKILMLUONFAIT-MGUXCZDKSA-N -1 1 322.390 1.466 20 0 DDADMM CC(C)C[C@](C)(CNC(=O)[C@H](C)CN1CCOCC1)C(=O)[O-] ZINC000923184404 714264075 /nfs/dbraw/zinc/26/40/75/714264075.db2.gz BDYCSIQVAZLDHI-CZUORRHYSA-N -1 1 314.426 1.208 20 0 DDADMM CC[C@@H]1C(=O)NCCC[N@H+]1C[C@H](C)C(=O)c1ccc(O)cc1 ZINC000933219999 714539769 /nfs/dbraw/zinc/53/97/69/714539769.db2.gz ZWNLSCNIDSUFFK-SWLSCSKDSA-N -1 1 304.390 1.812 20 0 DDADMM COC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)c1ccc([O-])c(F)c1 ZINC000924871849 714684250 /nfs/dbraw/zinc/68/42/50/714684250.db2.gz ZLQWYFWXHXYGRP-JQWIXIFHSA-N -1 1 311.309 1.372 20 0 DDADMM COc1cnc([C@H]2CCCN2CCOC[C@H]2CCCO2)[n-]c1=O ZINC000934275960 714784134 /nfs/dbraw/zinc/78/41/34/714784134.db2.gz JIGDPJBPTUPTPT-CHWSQXEVSA-N -1 1 323.393 1.523 20 0 DDADMM CC[C@H](C)NC(=O)CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934282148 714786213 /nfs/dbraw/zinc/78/62/13/714786213.db2.gz MMYVFHFKDQMXCW-QWRGUYRKSA-N -1 1 308.382 1.242 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc(F)c2[O-])CCN1CC(C)(C)O ZINC000935541579 715082011 /nfs/dbraw/zinc/08/20/11/715082011.db2.gz BZSLBLHTTYSUKY-NSHDSACASA-N -1 1 310.369 1.449 20 0 DDADMM O=C(c1ccnn1C1CCC1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000935794017 715128788 /nfs/dbraw/zinc/12/87/88/715128788.db2.gz LKBMCKPGPKYGJT-SNVBAGLBSA-N -1 1 317.349 1.717 20 0 DDADMM O=C(c1cnn(C2CCC2)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000935795011 715128947 /nfs/dbraw/zinc/12/89/47/715128947.db2.gz UERMCYZALIPPJA-JTQLQIEISA-N -1 1 317.349 1.717 20 0 DDADMM CS[C@@H](C)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000936722859 715235771 /nfs/dbraw/zinc/23/57/71/715235771.db2.gz GWOUKEHTROSYAR-WDEREUQCSA-N -1 1 323.418 1.212 20 0 DDADMM C[C@@]1(NC(=O)c2ccco2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956647262 715520560 /nfs/dbraw/zinc/52/05/60/715520560.db2.gz WNGXRIZKPDBTIE-MRXNPFEDSA-N -1 1 315.329 1.415 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956852360 715638986 /nfs/dbraw/zinc/63/89/86/715638986.db2.gz VLDSOMBPIPNNSL-MEBBXXQBSA-N -1 1 309.341 1.256 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955178779 715658676 /nfs/dbraw/zinc/65/86/76/715658676.db2.gz UOKUJYQGDGPUDA-CHWSQXEVSA-N -1 1 317.389 1.362 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])C1CCC1 ZINC000955979885 716048095 /nfs/dbraw/zinc/04/80/95/716048095.db2.gz UUWMQRRIKGBVFR-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM CCCC(=O)N1CC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959016117 716825110 /nfs/dbraw/zinc/82/51/10/716825110.db2.gz LWXPMFVSWNFXKQ-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832383 716981797 /nfs/dbraw/zinc/98/17/97/716981797.db2.gz FJFKDQCEOVWBED-CYBMUJFWSA-N -1 1 319.405 1.754 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C=C(C)C)C1 ZINC000942754686 717856588 /nfs/dbraw/zinc/85/65/88/717856588.db2.gz DICPTNYDZRQJLZ-ZDUSSCGKSA-N -1 1 317.389 1.816 20 0 DDADMM CC1(CC(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000944142482 718260708 /nfs/dbraw/zinc/26/07/08/718260708.db2.gz CLYPQVHTUJUMAN-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccccc2O)CCN1CC(=O)N(C)C ZINC000947071590 719009915 /nfs/dbraw/zinc/00/99/15/719009915.db2.gz WNZZDNRVQFOMBX-STQMWFEESA-N -1 1 319.405 1.063 20 0 DDADMM O=C(NCC1CCN(C(=O)C(F)F)CC1)c1ncccc1[O-] ZINC000948599899 719575501 /nfs/dbraw/zinc/57/55/01/719575501.db2.gz HLENPBNBYXZSHL-UHFFFAOYSA-N -1 1 313.304 1.021 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])c1ccccc1 ZINC000948748870 719685350 /nfs/dbraw/zinc/68/53/50/719685350.db2.gz DQXBPQYSRVJVCK-CYBMUJFWSA-N -1 1 311.341 1.432 20 0 DDADMM O=C(NC[C@@H]1CCN1Cc1cscn1)c1ncccc1[O-] ZINC001038183053 732892957 /nfs/dbraw/zinc/89/29/57/732892957.db2.gz GEVNGUSFIZJWBO-NSHDSACASA-N -1 1 304.375 1.248 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021232954 733079346 /nfs/dbraw/zinc/07/93/46/733079346.db2.gz QRINZPFBHQTJEK-YATPEIPISA-N -1 1 319.409 1.168 20 0 DDADMM Cc1ncccc1CN1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038190213 733143797 /nfs/dbraw/zinc/14/37/97/733143797.db2.gz AUHRLWOIFSFPOD-CQSZACIVSA-N -1 1 312.373 1.495 20 0 DDADMM CC1(C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)CCC1 ZINC001021349842 733154649 /nfs/dbraw/zinc/15/46/49/733154649.db2.gz HWBYCJFLDCQLSQ-YOGCLGLASA-N -1 1 319.409 1.312 20 0 DDADMM Cc1[nH]ccc1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010941292 733536544 /nfs/dbraw/zinc/53/65/44/733536544.db2.gz UXXVRNVCMWVZPZ-UHFFFAOYSA-N -1 1 314.345 1.022 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167576735 734753300 /nfs/dbraw/zinc/75/33/00/734753300.db2.gz CPLPXVFIFNUTKW-NEPJUHHUSA-N -1 1 321.425 1.179 20 0 DDADMM C[C@@H](CNc1cncc(Cl)n1)N(C)C(=O)c1ncccc1[O-] ZINC001104537016 736204069 /nfs/dbraw/zinc/20/40/69/736204069.db2.gz ZYLTXSAKWBWYJM-VIFPVBQESA-N -1 1 321.768 1.803 20 0 DDADMM Cc1ccoc1C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029647475 741177159 /nfs/dbraw/zinc/17/71/59/741177159.db2.gz LHTRZKXJUGEVSY-NSHDSACASA-N -1 1 319.365 1.006 20 0 DDADMM N=C(Nc1cccc2c1CCN2)SCCS(=O)(=O)[O-] ZINC001168094403 741435041 /nfs/dbraw/zinc/43/50/41/741435041.db2.gz YEFRSGFOMCLNSA-UHFFFAOYSA-N -1 1 301.393 1.622 20 0 DDADMM N=C(Nc1cccc2c1CCCO2)SCCS(=O)(=O)[O-] ZINC001168096525 741440847 /nfs/dbraw/zinc/44/08/47/741440847.db2.gz IRNNRHCRUSABER-UHFFFAOYSA-N -1 1 316.404 1.979 20 0 DDADMM N=C(Nc1ccc(C(N)=S)cc1)SCCS(=O)(=O)[O-] ZINC001168097006 741440851 /nfs/dbraw/zinc/44/08/51/741440851.db2.gz NTLJVHJTVDBMGZ-UHFFFAOYSA-N -1 1 319.433 1.288 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088392898 741458673 /nfs/dbraw/zinc/45/86/73/741458673.db2.gz SBAYMZZCGBXFQF-OLZOCXBDSA-N -1 1 323.441 1.663 20 0 DDADMM CN1CC[C@H](C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)CC1=O ZINC001180632688 742859187 /nfs/dbraw/zinc/85/91/87/742859187.db2.gz QKIBIAHZHOBYDZ-NSHDSACASA-N -1 1 314.345 1.385 20 0 DDADMM Cc1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)nc1C ZINC001168693702 743057338 /nfs/dbraw/zinc/05/73/38/743057338.db2.gz JRUWBEGSCXJTBI-AWEZNQCLSA-N -1 1 308.345 1.731 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)C1CC1 ZINC001002410898 743116898 /nfs/dbraw/zinc/11/68/98/743116898.db2.gz IFESHELCJHOYAW-LBPRGKRZSA-N -1 1 303.362 1.260 20 0 DDADMM Cc1cc(F)cc(CC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001181648727 743284825 /nfs/dbraw/zinc/28/48/25/743284825.db2.gz SXGWYYMQRWARBO-UHFFFAOYSA-N -1 1 301.281 1.687 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@@]3(C)CC3(Cl)Cl)c1-2 ZINC001182139764 743496887 /nfs/dbraw/zinc/49/68/87/743496887.db2.gz VJLOMONSUGTRDP-SNVBAGLBSA-N -1 1 300.149 1.557 20 0 DDADMM Cn1ncc(C(=O)Nc2n[nH]c(-c3ccc([O-])c(Cl)c3)n2)n1 ZINC001183250244 743808996 /nfs/dbraw/zinc/80/89/96/743808996.db2.gz BRXGNPRHRCZVMS-UHFFFAOYSA-N -1 1 319.712 1.212 20 0 DDADMM CN(C)c1nc(NC(=O)[C@@H]2CCCC[C@H]2CO)c(N=O)c(=O)[n-]1 ZINC001184160290 743987278 /nfs/dbraw/zinc/98/72/78/743987278.db2.gz RXSILKULDFDKRK-DTWKUNHWSA-N -1 1 323.353 1.383 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C3=NOC4(C3)CCCCC4)c1-2 ZINC001184686191 744088998 /nfs/dbraw/zinc/08/89/98/744088998.db2.gz YMUZGMOOWSDTGN-UHFFFAOYSA-N -1 1 314.349 1.453 20 0 DDADMM CC1CC(CN2CC(NC(=O)c3cc(=O)[nH]c(C4CC4)n3)C2)C1 ZINC001030490174 744198386 /nfs/dbraw/zinc/19/83/86/744198386.db2.gz PWVKGTJELJEGEK-UHFFFAOYSA-N -1 1 316.405 1.520 20 0 DDADMM COC(=O)c1csc(CNC(=O)c2ccc([O-])c(F)c2)n1 ZINC001186237146 744361950 /nfs/dbraw/zinc/36/19/50/744361950.db2.gz QEHSBOGBGZQZRQ-UHFFFAOYSA-N -1 1 310.306 1.704 20 0 DDADMM Nc1cccc2c1CS(=O)(=O)N2C(=O)c1ccc([O-])c(F)c1 ZINC001186237623 744362266 /nfs/dbraw/zinc/36/22/66/744362266.db2.gz UPVQFEPVFPLEFU-UHFFFAOYSA-N -1 1 322.317 1.604 20 0 DDADMM Cc1cc(C(=O)NCCCC[P@](=O)([O-])O)c(F)cc1F ZINC001186394075 744391798 /nfs/dbraw/zinc/39/17/98/744391798.db2.gz GLPFGVPLANWJGB-UHFFFAOYSA-N -1 1 307.233 1.961 20 0 DDADMM O=S(=O)([N-][C@H]1CC1(F)F)c1c(Cl)nc2sccn21 ZINC001187148156 744509986 /nfs/dbraw/zinc/50/99/86/744509986.db2.gz CWKOBGSWCGKCNQ-BYPYZUCNSA-N -1 1 313.738 1.735 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cccc2c1CNC(=O)N2C ZINC001187292490 744535755 /nfs/dbraw/zinc/53/57/55/744535755.db2.gz VWLARUWSHXPMQM-UHFFFAOYSA-N -1 1 313.379 1.124 20 0 DDADMM CCOCCS(=O)(=O)[N-]C1(c2ccccc2F)COC1 ZINC001187299572 744544789 /nfs/dbraw/zinc/54/47/89/744544789.db2.gz RRZVEQBWYYWAIJ-UHFFFAOYSA-N -1 1 303.355 1.007 20 0 DDADMM COc1cccc2c1CC[C@@H]2[N-]S(=O)(=O)c1cnoc1C ZINC001187400934 744556453 /nfs/dbraw/zinc/55/64/53/744556453.db2.gz LYSOCDIZHWAABT-LBPRGKRZSA-N -1 1 308.359 1.957 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)c1n[n-]nc1C(F)(F)F)C(=O)N(C)C ZINC001187774062 744600846 /nfs/dbraw/zinc/60/08/46/744600846.db2.gz PIGZDFSSJKNTHW-BQBZGAKWSA-N -1 1 321.303 1.056 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccc(F)cc1)c1nccs1 ZINC001187846885 744617671 /nfs/dbraw/zinc/61/76/71/744617671.db2.gz SVULOPCPMYAQTA-JTQLQIEISA-N -1 1 302.352 1.294 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@H]([N-]S(=O)(=O)c2nccs2)C1 ZINC001187921076 744635664 /nfs/dbraw/zinc/63/56/64/744635664.db2.gz WTOLSILVGJWIKW-UWVGGRQHSA-N -1 1 318.420 1.543 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@@H]1F)c1ccc(Cl)nc1Cl ZINC001187987745 744643446 /nfs/dbraw/zinc/64/34/46/744643446.db2.gz JXRVROBHHWVKGG-WDSKDSINSA-N -1 1 315.153 1.404 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@@H]1F)c1cnc(Cl)c(Cl)c1 ZINC001188097356 744659544 /nfs/dbraw/zinc/65/95/44/744659544.db2.gz IVGAGVQESVSSMZ-YUMQZZPRSA-N -1 1 315.153 1.404 20 0 DDADMM Cn1nnc2cc(NC(=O)c3ncc(C(F)(F)F)[n-]3)ccc21 ZINC001188288177 744678594 /nfs/dbraw/zinc/67/85/94/744678594.db2.gz MPGUAPVJHPIYTR-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM Cn1nnc2cc(NC(=O)c3nc(C(F)(F)F)c[n-]3)ccc21 ZINC001188288177 744678596 /nfs/dbraw/zinc/67/85/96/744678596.db2.gz MPGUAPVJHPIYTR-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1c(Cl)cccc1C(C)=O ZINC001188395570 744698320 /nfs/dbraw/zinc/69/83/20/744698320.db2.gz WTFMJAPNZKYAQI-UHFFFAOYSA-N -1 1 319.766 1.847 20 0 DDADMM COc1cc(CCNC(=O)c2ccncc2[O-])cc(OC)c1 ZINC001188637237 744743672 /nfs/dbraw/zinc/74/36/72/744743672.db2.gz QIBHLWRHPKCOSU-UHFFFAOYSA-N -1 1 302.330 1.777 20 0 DDADMM Cn1cc(C(=O)[N-]c2noc3nccnc23)c(C(F)(F)F)n1 ZINC001188952840 744797978 /nfs/dbraw/zinc/79/79/78/744797978.db2.gz XAFZGGPVPNUEPT-UHFFFAOYSA-N -1 1 312.211 1.622 20 0 DDADMM C[C@@H](C[C@H](C)Nc1cnc(F)cn1)NC(=O)c1ncccc1[O-] ZINC001089273176 744848666 /nfs/dbraw/zinc/84/86/66/744848666.db2.gz VQEWOLSMEAUNPR-UWVGGRQHSA-N -1 1 319.340 1.725 20 0 DDADMM COc1cc(OC)nc(C(=O)[N-]c2nsc3ncccc23)n1 ZINC001189218024 744856968 /nfs/dbraw/zinc/85/69/68/744856968.db2.gz FZRRFVCSQFCCCP-UHFFFAOYSA-N -1 1 317.330 1.751 20 0 DDADMM CC(C)(C)OC(=O)C[N-]S(=O)(=O)Cc1cc(F)ccc1F ZINC001189700422 744957003 /nfs/dbraw/zinc/95/70/03/744957003.db2.gz NWASCOBEQQCYDH-UHFFFAOYSA-N -1 1 321.345 1.726 20 0 DDADMM COc1nc(S(=O)(=O)[N-]CCCC(F)(F)F)ccc1F ZINC001190119335 745121232 /nfs/dbraw/zinc/12/12/32/745121232.db2.gz CTKFBDOPXBUOIX-UHFFFAOYSA-N -1 1 316.276 1.850 20 0 DDADMM CC(C)N1CC[C@@H]([N-]S(=O)(=O)c2ncc(F)cc2F)C1 ZINC001190192766 745131329 /nfs/dbraw/zinc/13/13/29/745131329.db2.gz SNZDSCNZILCHEE-SNVBAGLBSA-N -1 1 305.350 1.121 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2ccncc2)ccc1C ZINC001190893054 745370472 /nfs/dbraw/zinc/37/04/72/745370472.db2.gz BITCGRLMDPIAQP-UHFFFAOYSA-N -1 1 306.343 1.977 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cncc(C(F)(F)F)c3)c1-2 ZINC001190926897 745373770 /nfs/dbraw/zinc/37/37/70/745373770.db2.gz WDHQHPKUICBXIN-UHFFFAOYSA-N -1 1 322.250 1.701 20 0 DDADMM COc1cc(C(=O)NCCN2CCCCO2)cc(Cl)c1[O-] ZINC001191148188 745436164 /nfs/dbraw/zinc/43/61/64/745436164.db2.gz HJUDXNQNOUCISX-UHFFFAOYSA-N -1 1 314.769 1.811 20 0 DDADMM CSc1ncc(C(=O)Nc2noc3cnccc32)c(=O)[n-]1 ZINC001191429725 745509244 /nfs/dbraw/zinc/50/92/44/745509244.db2.gz PEQZLXQVRNIDDJ-UHFFFAOYSA-N -1 1 303.303 1.693 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2CCOC(C)(C)C2)c(=O)[n-]1 ZINC001191440901 745513668 /nfs/dbraw/zinc/51/36/68/745513668.db2.gz ONBKGVGGBAJLGW-SECBINFHSA-N -1 1 311.407 1.839 20 0 DDADMM Cc1cnc(C)nc1NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001106296050 745562802 /nfs/dbraw/zinc/56/28/02/745562802.db2.gz GJLFZGAXNPGHAE-NSHDSACASA-N -1 1 315.377 1.815 20 0 DDADMM CCOc1cc([N-]C(=O)c2noc(C)n2)cc(C(=O)OC)n1 ZINC001191990529 745659950 /nfs/dbraw/zinc/65/99/50/745659950.db2.gz PQSWKMCTVYJXEH-UHFFFAOYSA-N -1 1 306.278 1.211 20 0 DDADMM CCOC(=O)[C@H]1[C@@H]2CN(C(=O)c3cc([O-])cc(F)c3F)C[C@@H]21 ZINC001192663995 745868004 /nfs/dbraw/zinc/86/80/04/745868004.db2.gz IGGHRKDLQIGHSR-IAZYJMLFSA-N -1 1 311.284 1.552 20 0 DDADMM CCOC(=O)[C@H]1COCCN1C(=O)c1cc([O-])cc(F)c1F ZINC001192665055 745868111 /nfs/dbraw/zinc/86/81/11/745868111.db2.gz JFRPTFFHEIUYJY-LLVKDONJSA-N -1 1 315.272 1.075 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1Cc2ccnn2CC[C@H]1CO ZINC001192665035 745868532 /nfs/dbraw/zinc/86/85/32/745868532.db2.gz IXPUKTBQOGYNDA-JTQLQIEISA-N -1 1 323.299 1.274 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc([C@H](C)O)cc1 ZINC001193052567 745973730 /nfs/dbraw/zinc/97/37/30/745973730.db2.gz VMBMBAGGHUGJQO-JTQLQIEISA-N -1 1 315.391 1.823 20 0 DDADMM CCOC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC001193279750 746044053 /nfs/dbraw/zinc/04/40/53/746044053.db2.gz WLIHXPDLRLYNIS-RYUDHWBXSA-N -1 1 307.412 1.063 20 0 DDADMM Cn1nc(C(=O)[N-]c2noc3nccnc23)cc1Br ZINC001194424556 746373626 /nfs/dbraw/zinc/37/36/26/746373626.db2.gz GAMSPYFSGGBYIF-UHFFFAOYSA-N -1 1 323.110 1.366 20 0 DDADMM CC[C@@H](F)C(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000993927906 746377237 /nfs/dbraw/zinc/37/72/37/746377237.db2.gz YQQPJPQZWXAOKB-IJLUTSLNSA-N -1 1 323.368 1.360 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1ccnn1CCF ZINC001194530506 746403008 /nfs/dbraw/zinc/40/30/08/746403008.db2.gz WQNJNSINRFQLAM-UHFFFAOYSA-N -1 1 315.308 1.996 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2C[C@H](CO)[C@@H](C(F)(F)F)C2)n1 ZINC001195321559 746564759 /nfs/dbraw/zinc/56/47/59/746564759.db2.gz FRNJZDLSVKGGHR-BDAKNGLRSA-N -1 1 304.268 1.338 20 0 DDADMM COC[C@@]12CCCO[C@H]1CCN(C(=O)c1nc(C)ccc1[O-])C2 ZINC001195323382 746565442 /nfs/dbraw/zinc/56/54/42/746565442.db2.gz URDPHHPHPLPTQD-YOEHRIQHSA-N -1 1 320.389 1.753 20 0 DDADMM O=C(NC[C@H](O)c1ccc(O)cc1)c1cc([O-])cnc1Cl ZINC001195311883 746573655 /nfs/dbraw/zinc/57/36/55/746573655.db2.gz CIPBIRQODOCQHN-LBPRGKRZSA-N -1 1 308.721 1.610 20 0 DDADMM CCOC(=O)c1c[nH]nc1CNC(=O)c1cc([O-])cnc1Cl ZINC001195314903 746575059 /nfs/dbraw/zinc/57/50/59/746575059.db2.gz JPDFGECPVVSPDL-UHFFFAOYSA-N -1 1 324.724 1.270 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccccc1N1CCOCC1 ZINC001195449320 746604022 /nfs/dbraw/zinc/60/40/22/746604022.db2.gz PZPXOICLNWRDFL-UHFFFAOYSA-N -1 1 309.391 1.966 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC000994458253 746670011 /nfs/dbraw/zinc/67/00/11/746670011.db2.gz VOTUTWVNAQZULW-LOWDOPEQSA-N -1 1 317.389 1.268 20 0 DDADMM O=C1NCCc2cc([N-]S(=O)(=O)c3ccc(O)cc3)ccc21 ZINC001195795647 746708128 /nfs/dbraw/zinc/70/81/28/746708128.db2.gz FOTSFCFLHRZASF-UHFFFAOYSA-N -1 1 318.354 1.479 20 0 DDADMM COc1ccc(F)c(S(=O)(=O)[N-]CCOC(F)(F)F)c1 ZINC001196785709 746960438 /nfs/dbraw/zinc/96/04/38/746960438.db2.gz XSYCNLHKTYMPNR-UHFFFAOYSA-N -1 1 317.260 1.649 20 0 DDADMM COc1ccc(CO)c([N-]S(=O)(=O)Cc2ccccn2)c1 ZINC001197829663 747293507 /nfs/dbraw/zinc/29/35/07/747293507.db2.gz ZLDJGPRHEOXCOW-UHFFFAOYSA-N -1 1 308.359 1.524 20 0 DDADMM CC(C)Oc1nccnc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001198048993 747347035 /nfs/dbraw/zinc/34/70/35/747347035.db2.gz MRDDVLZWSUXPLQ-UHFFFAOYSA-N -1 1 320.265 1.115 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)c1nccnc1OC(C)C ZINC001198048990 747347072 /nfs/dbraw/zinc/34/70/72/747347072.db2.gz MMZPXLLICFHADZ-UHFFFAOYSA-N -1 1 319.321 1.416 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1Nc1cnn(CC2OCCO2)c1 ZINC001201250446 748445318 /nfs/dbraw/zinc/44/53/18/748445318.db2.gz LUCZTEOPWSJTJF-UHFFFAOYSA-N -1 1 324.322 1.182 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]c1c[nH]ccc1=O ZINC001201735082 748603023 /nfs/dbraw/zinc/60/30/23/748603023.db2.gz BSMKOQVCRBULGF-UHFFFAOYSA-N -1 1 305.768 1.594 20 0 DDADMM CCC1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC001000591673 761972889 /nfs/dbraw/zinc/97/28/89/761972889.db2.gz QMCMEYNAGILGCU-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(Cc2ccon2)C1 ZINC001033803616 749927002 /nfs/dbraw/zinc/92/70/02/749927002.db2.gz JIZILDIXRUIVEQ-CYBMUJFWSA-N -1 1 316.361 1.512 20 0 DDADMM O=C(C(F)=C1CCCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034905639 751022904 /nfs/dbraw/zinc/02/29/04/751022904.db2.gz YGRAIJJFZLVKOF-LLVKDONJSA-N -1 1 323.372 1.389 20 0 DDADMM CC(C)=CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008070767 752533506 /nfs/dbraw/zinc/53/35/06/752533506.db2.gz PNKCYYLWPJUGNX-CYBMUJFWSA-N -1 1 317.389 1.720 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@]12C[C@@H]1CCCC2 ZINC000999265794 752755415 /nfs/dbraw/zinc/75/54/15/752755415.db2.gz KIHDJNQPJOTBCP-GTNSWQLSSA-N -1 1 315.373 1.308 20 0 DDADMM CC1(C)CCC[C@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999956610 753271873 /nfs/dbraw/zinc/27/18/73/753271873.db2.gz OYRRUHHSBSFLGK-RYUDHWBXSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2CC=CC2)C1 ZINC001005950923 753411129 /nfs/dbraw/zinc/41/11/29/753411129.db2.gz MHKKMMDKALWXBU-NSHDSACASA-N -1 1 315.373 1.330 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062841147 753753782 /nfs/dbraw/zinc/75/37/82/753753782.db2.gz YLZCGZGYSOKPDJ-NWDGAFQWSA-N -1 1 317.389 1.602 20 0 DDADMM COC[C@@H](C)CC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001078155372 753799925 /nfs/dbraw/zinc/79/99/25/753799925.db2.gz PPSFLNNRYIWZJW-RYUDHWBXSA-N -1 1 323.393 1.084 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)CC2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012508587 755114568 /nfs/dbraw/zinc/11/45/68/755114568.db2.gz FQZQLCOKPMYAHQ-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C2CCCC2)CN1C(=O)c1ncccc1[O-] ZINC001012509181 755114712 /nfs/dbraw/zinc/11/47/12/755114712.db2.gz HFJLHJBLHBAITG-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM CCN(C(=O)/C=C/C1CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079551527 755435689 /nfs/dbraw/zinc/43/56/89/755435689.db2.gz RWUKAGRCJUMDOA-BQYQJAHWSA-N -1 1 315.373 1.426 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@@]3(C2)CCCN(CCF)C3)c1[O-] ZINC001040166485 762417993 /nfs/dbraw/zinc/41/79/93/762417993.db2.gz QGPVFKQBLUPQGX-HNNXBMFYSA-N -1 1 310.373 1.321 20 0 DDADMM O=C(NC[C@@H]1CC[C@H](NC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC001015284972 756215522 /nfs/dbraw/zinc/21/55/22/756215522.db2.gz YVIOQSYGAROVII-YPMHNXCESA-N -1 1 317.389 1.602 20 0 DDADMM Cc1nc(C)c(C)c(N[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097764196 757509437 /nfs/dbraw/zinc/50/94/37/757509437.db2.gz CBVZYDKYSNALGR-SECBINFHSA-N -1 1 315.377 1.733 20 0 DDADMM CN(C[C@H]1CCN1CCCF)C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001085923682 760547954 /nfs/dbraw/zinc/54/79/54/760547954.db2.gz DCPLBHDCKXIBNT-SNVBAGLBSA-N -1 1 322.340 1.434 20 0 DDADMM CC(C)[C@@H](C)C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001067254508 761056224 /nfs/dbraw/zinc/05/62/24/761056224.db2.gz AOUQLCOUWRXWTM-CYBMUJFWSA-N -1 1 321.421 2.000 20 0 DDADMM CCc1nsc(N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001056612618 761287946 /nfs/dbraw/zinc/28/79/46/761287946.db2.gz SWFUGEHRIZXIMW-SECBINFHSA-N -1 1 319.390 1.528 20 0 DDADMM CCC(=O)N(C)CCCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001066565791 761813257 /nfs/dbraw/zinc/81/32/57/761813257.db2.gz FWZRQTKLQYZNDH-UHFFFAOYSA-N -1 1 320.393 1.390 20 0 DDADMM CCc1nsc(N(C)C[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108932586 763142072 /nfs/dbraw/zinc/14/20/72/763142072.db2.gz CAPNEIWMRYPQOY-SECBINFHSA-N -1 1 321.406 1.456 20 0 DDADMM Cc1nccc(N(C)C[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108933862 763142981 /nfs/dbraw/zinc/14/29/81/763142981.db2.gz XNJPPFAHXPNVQZ-JTQLQIEISA-N -1 1 301.350 1.140 20 0 DDADMM Cc1ncc(C)c(N[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112984726 765084779 /nfs/dbraw/zinc/08/47/79/765084779.db2.gz YDLLHIQXUHKBGW-GHMZBOCLSA-N -1 1 315.377 1.813 20 0 DDADMM CC[C@H](C)Oc1nccnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170227412 766201366 /nfs/dbraw/zinc/20/13/66/766201366.db2.gz LQZHTBLJDAGSNF-ZETCQYMHSA-N -1 1 301.310 1.769 20 0 DDADMM COC(=O)c1nccc(Nc2[n-]c(=O)nc3nc[nH]c32)c1C ZINC001170229368 766203504 /nfs/dbraw/zinc/20/35/04/766203504.db2.gz UKNZDSRLNNTVGH-UHFFFAOYSA-N -1 1 300.278 1.292 20 0 DDADMM CC1(CC(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001070648272 768643333 /nfs/dbraw/zinc/64/33/33/768643333.db2.gz CZDCIHBQMLXAQD-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM CSCCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001071559329 769623408 /nfs/dbraw/zinc/62/34/08/769623408.db2.gz IBCUIXYXUUTXMM-QWRGUYRKSA-N -1 1 323.418 1.117 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)C[C@H](C)NCc2cscn2)c1[O-] ZINC001134095408 770626733 /nfs/dbraw/zinc/62/67/33/770626733.db2.gz TZXUNVVDONZRBI-IUCAKERBSA-N -1 1 323.422 1.567 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@@H]3[C@@H]2CCN3C/C=C/Cl)c1[O-] ZINC001049422521 770807865 /nfs/dbraw/zinc/80/78/65/770807865.db2.gz JIVKCTAVFHQAFR-ZBWCZXCSSA-N -1 1 324.812 1.855 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCOc2cccnc2)c1 ZINC001136802335 772153647 /nfs/dbraw/zinc/15/36/47/772153647.db2.gz SILHRCWRSWSRHG-UHFFFAOYSA-N -1 1 300.314 1.717 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](O)c2ccccc2C)n1 ZINC001144522933 772556558 /nfs/dbraw/zinc/55/65/58/772556558.db2.gz SPMJKDUVAAMPJM-LBPRGKRZSA-N -1 1 303.318 1.567 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(Cc2c(F)cc([O-])cc2F)C1 ZINC001144559564 772564722 /nfs/dbraw/zinc/56/47/22/772564722.db2.gz NXYREKPPEPZQCC-SNVBAGLBSA-N -1 1 305.346 1.679 20 0 DDADMM Cc1ccoc1CC(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001147000703 772998113 /nfs/dbraw/zinc/99/81/13/772998113.db2.gz PBWIORIMNMAWQC-UHFFFAOYSA-N -1 1 313.317 1.861 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc4ccccc4c3)c1-2 ZINC001149123555 773077830 /nfs/dbraw/zinc/07/78/30/773077830.db2.gz AGHCOXKXBREWNU-UHFFFAOYSA-N -1 1 304.313 1.835 20 0 DDADMM CCc1cc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cs1 ZINC001147713975 773209613 /nfs/dbraw/zinc/20/96/13/773209613.db2.gz MSVJBEVGEGZQHU-UHFFFAOYSA-N -1 1 305.319 1.592 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2ccc3ncn(C)c3c2)c1[O-] ZINC001147842023 773265480 /nfs/dbraw/zinc/26/54/80/773265480.db2.gz YZIOAFDABIJGQE-UHFFFAOYSA-N -1 1 312.329 1.727 20 0 DDADMM Cc1cc(C)c(CNC(=O)c2c(CO)cnc(C)c2[O-])c(=O)[nH]1 ZINC001147842630 773266883 /nfs/dbraw/zinc/26/68/83/773266883.db2.gz GQFSNGPWUXTKIR-UHFFFAOYSA-N -1 1 317.345 1.235 20 0 DDADMM CSCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073676613 773294912 /nfs/dbraw/zinc/29/49/12/773294912.db2.gz SMJJALHUDYBVSU-QWRGUYRKSA-N -1 1 323.418 1.117 20 0 DDADMM COc1ccc(C(=O)Nc2cc(=O)[n-]c(SC)n2)cc1O ZINC001148258437 773413748 /nfs/dbraw/zinc/41/37/48/773413748.db2.gz CJFXFSMBEJHJJS-UHFFFAOYSA-N -1 1 307.331 1.871 20 0 DDADMM CN(C[C@@H](O)CO)C(=O)c1cccc(-c2ccccc2)c1[O-] ZINC001148867473 773621588 /nfs/dbraw/zinc/62/15/88/773621588.db2.gz VZCGJODHGHXTHO-CYBMUJFWSA-N -1 1 301.342 1.484 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cnnc2ccccc21 ZINC001149479419 773641447 /nfs/dbraw/zinc/64/14/47/773641447.db2.gz KMKQTICQHWMWQM-UHFFFAOYSA-N -1 1 309.262 1.318 20 0 DDADMM CC1(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001036793092 774641132 /nfs/dbraw/zinc/64/11/32/774641132.db2.gz YNUCKMFWBTYECB-NWDGAFQWSA-N -1 1 319.409 1.123 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])c1ncncc1Cl ZINC001100071114 775645314 /nfs/dbraw/zinc/64/53/14/775645314.db2.gz UQKKNDIPMWZLDX-UHFFFAOYSA-N -1 1 307.741 1.097 20 0 DDADMM CCOC(=O)c1nonc1[N-]c1cccc(S(C)(=O)=O)c1 ZINC001174025045 777400449 /nfs/dbraw/zinc/40/04/49/777400449.db2.gz BPYMZBJSLBCAIQ-UHFFFAOYSA-N -1 1 311.319 1.393 20 0 DDADMM CNC(=O)c1cccc(Nc2cc(S(C)(=O)=O)ccc2[O-])c1 ZINC001174142118 777414419 /nfs/dbraw/zinc/41/44/19/777414419.db2.gz OBAWBZFBZVLNKZ-UHFFFAOYSA-N -1 1 320.370 1.899 20 0 DDADMM COC(=O)[N-]C(=S)N(c1cnn(C)c1)c1ccccc1N ZINC001174333284 777459770 /nfs/dbraw/zinc/45/97/70/777459770.db2.gz KEPKXOQURIDPEX-UHFFFAOYSA-N -1 1 305.363 1.781 20 0 DDADMM O=C([O-])CCC(=O)CNC(=O)c1cc2c(ccnc2Cl)[nH]1 ZINC001174600163 777536929 /nfs/dbraw/zinc/53/69/29/777536929.db2.gz GQFOIVZSRYOCHB-UHFFFAOYSA-N -1 1 309.709 1.380 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1cnn2ccccc12 ZINC001176602602 778201548 /nfs/dbraw/zinc/20/15/48/778201548.db2.gz MTCCBJCZBRFVQH-UHFFFAOYSA-N -1 1 313.365 1.075 20 0 DDADMM O=C(Cn1ccc2ccc(F)cc21)NC1(c2nn[n-]n2)CCC1 ZINC001176726470 778221864 /nfs/dbraw/zinc/22/18/64/778221864.db2.gz ROTSRYNTFVDRLC-UHFFFAOYSA-N -1 1 314.324 1.489 20 0 DDADMM COC[C@@H](NC(=O)c1cc(C)cc(C=O)c1[O-])[C@@H]1CCCOC1 ZINC001178047436 778833447 /nfs/dbraw/zinc/83/34/47/778833447.db2.gz KHMNHTHWQHVXFF-IUODEOHRSA-N -1 1 321.373 1.685 20 0 DDADMM COC(=O)[C@@H]1CC[C@H]([N-]C(=O)C(F)(F)C(F)(F)Cl)C1 ZINC001179781652 779477367 /nfs/dbraw/zinc/47/73/67/779477367.db2.gz KRJYHAJPKZREMG-RITPCOANSA-N -1 1 305.655 1.911 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)[C@@H](F)c1ccccc1 ZINC001180151697 779644965 /nfs/dbraw/zinc/64/49/65/779644965.db2.gz SURQGRKYEIBOHS-ZETCQYMHSA-N -1 1 303.253 1.365 20 0 DDADMM CC(C)[C@@H](CCNC(=O)c1ncccc1[O-])Nc1ncccn1 ZINC001115319604 780033898 /nfs/dbraw/zinc/03/38/98/780033898.db2.gz NERZSGJQJOAPOI-GFCCVEGCSA-N -1 1 315.377 1.834 20 0 DDADMM CCN(C(=O)COCc1nn[n-]n1)c1cccc2ccccc21 ZINC001119539434 781501451 /nfs/dbraw/zinc/50/14/51/781501451.db2.gz FMYXXZYMSBQMST-UHFFFAOYSA-N -1 1 311.345 1.923 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@@H]2NCc1cn2ccnc2s1 ZINC001120002353 781716049 /nfs/dbraw/zinc/71/60/49/781716049.db2.gz SSIMPWCIOHXBOI-JTQLQIEISA-N -1 1 317.374 1.915 20 0 DDADMM Cc1scc(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)c1C ZINC001266710262 836707996 /nfs/dbraw/zinc/70/79/96/836707996.db2.gz LAYNUVXCHOUQQL-UHFFFAOYSA-N -1 1 309.395 1.050 20 0 DDADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1csc(Cl)c1 ZINC001266854610 836942149 /nfs/dbraw/zinc/94/21/49/836942149.db2.gz VVKTYUBPMHUBQZ-UHFFFAOYSA-N -1 1 315.786 1.087 20 0 DDADMM Cc1cnn(C)c1CN1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001414166231 838184282 /nfs/dbraw/zinc/18/42/82/838184282.db2.gz KWOYOINRDDICHE-QWRGUYRKSA-N -1 1 318.343 1.760 20 0 DDADMM NC(=O)C1=CC2(CC1)CCN(C(=O)c1ncccc1[O-])CC2 ZINC001269345728 841550100 /nfs/dbraw/zinc/55/01/00/841550100.db2.gz DWVYLWXLOOHOMZ-UHFFFAOYSA-N -1 1 301.346 1.215 20 0 DDADMM C[C@H](Oc1nc(=O)[nH]c(=O)[n-]1)c1cc(Br)no1 ZINC001227570814 843595402 /nfs/dbraw/zinc/59/54/02/843595402.db2.gz WVKDLXAKUBQNPM-VKHMYHEASA-N -1 1 303.072 1.173 20 0 DDADMM NC(=O)c1ccc(CNC(=O)c2ccc3cccnc3c2[O-])cc1 ZINC001149326659 861547710 /nfs/dbraw/zinc/54/77/10/861547710.db2.gz LCGXNTKSQQUOPD-UHFFFAOYSA-N -1 1 321.336 1.969 20 0 DDADMM CC(C)C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CCC1 ZINC001409758335 845669809 /nfs/dbraw/zinc/66/98/09/845669809.db2.gz AFMALTHBRVBGBY-CYBMUJFWSA-N -1 1 319.405 1.848 20 0 DDADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cscn1 ZINC001409833433 845872227 /nfs/dbraw/zinc/87/22/27/845872227.db2.gz UAJZWGHGFWPWKT-SECBINFHSA-N -1 1 324.410 1.045 20 0 DDADMM CC(C)[C@H](C)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C(C)C ZINC001409878174 845968807 /nfs/dbraw/zinc/96/88/07/845968807.db2.gz SIBJUUUEECKKBU-QWHCGFSZSA-N -1 1 321.421 1.950 20 0 DDADMM COc1ccc2cc(C(=O)N=c3ncnc4[nH][n-]c(C)c3-4)[nH]c2c1 ZINC001149562351 861711156 /nfs/dbraw/zinc/71/11/56/861711156.db2.gz GFIVHMIVXRATQC-UHFFFAOYSA-N -1 1 322.328 1.777 20 0 DDADMM O=C(N[C@H]1CCS(=O)(=O)C1)c1cc2cccc(O)c2cc1[O-] ZINC001149653777 861785942 /nfs/dbraw/zinc/78/59/42/861785942.db2.gz QLOXTRUYXDFJNO-JTQLQIEISA-N -1 1 321.354 1.168 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1ccc2oc(C)nc2c1 ZINC001128847769 847999946 /nfs/dbraw/zinc/99/99/46/847999946.db2.gz UIAZULVHYSAIPP-UHFFFAOYSA-N -1 1 300.274 1.898 20 0 DDADMM O=C(NCc1ncn2ccccc12)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273492 861923986 /nfs/dbraw/zinc/92/39/86/861923986.db2.gz PMXSNVJIWYBDBL-UHFFFAOYSA-N -1 1 307.313 1.846 20 0 DDADMM Cc1ccn2c(c1)ncc2C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001155347282 861982767 /nfs/dbraw/zinc/98/27/67/861982767.db2.gz TWBAOWZCZPTLLX-UHFFFAOYSA-N -1 1 309.289 1.267 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001410692280 849653926 /nfs/dbraw/zinc/65/39/26/849653926.db2.gz LZMDCWQCUGPEIG-VXGBXAGGSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@H](NC(=O)CC(F)(F)F)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001411344872 850884626 /nfs/dbraw/zinc/88/46/26/850884626.db2.gz DNAAIJUFVUHTCZ-HTQZYQBOSA-N -1 1 319.283 1.363 20 0 DDADMM Cc1cccc(C2([N-]S(=O)(=O)c3nccs3)COC2)c1 ZINC001187911470 851086415 /nfs/dbraw/zinc/08/64/15/851086415.db2.gz STVIUBJYXMYMBM-UHFFFAOYSA-N -1 1 310.400 1.656 20 0 DDADMM CN1C[C@@]2(CC1=O)COCCN(Cc1ccc(C(=O)[O-])s1)C2 ZINC001273719309 851269756 /nfs/dbraw/zinc/26/97/56/851269756.db2.gz QRSJTEFZDPSQLY-OAHLLOKOSA-N -1 1 324.402 1.127 20 0 DDADMM CCS(=O)(=O)[N-]c1cccc(I)n1 ZINC001253272752 851749255 /nfs/dbraw/zinc/74/92/55/851749255.db2.gz XJEBWCDJSHRQMS-UHFFFAOYSA-N -1 1 312.132 1.448 20 0 DDADMM CC(C)NC(=O)N1C[C@H]2C[C@@H](C1)N2C(=O)c1cc([O-])cc(F)c1 ZINC001275006049 852740381 /nfs/dbraw/zinc/74/03/81/852740381.db2.gz WBNDODZVWITVOJ-BETUJISGSA-N -1 1 321.352 1.548 20 0 DDADMM CN1CCC12CN(C(=O)c1ccc(Br)c([O-])c1)C2 ZINC001275369497 853027435 /nfs/dbraw/zinc/02/74/35/853027435.db2.gz FFXVOOBDVCHOPB-UHFFFAOYSA-N -1 1 311.179 1.685 20 0 DDADMM CC(C)(C)NC(=O)[C@H]1CC12CN(C(=O)c1ccc([O-])c(F)c1)C2 ZINC001275374316 853034320 /nfs/dbraw/zinc/03/43/20/853034320.db2.gz VXYASQWIBGDZMJ-LLVKDONJSA-N -1 1 320.364 1.908 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CCN(C(=O)c1cncc([O-])c1)CC2 ZINC001275624453 853448162 /nfs/dbraw/zinc/44/81/62/853448162.db2.gz ZJDABZVPMCCYAU-ZDUSSCGKSA-N -1 1 303.362 1.118 20 0 DDADMM COCC(=O)Nc1ccc(NC(=O)c2ccc([O-])cn2)cc1 ZINC001411612507 853458761 /nfs/dbraw/zinc/45/87/61/853458761.db2.gz QXBRLBGQPANJLX-UHFFFAOYSA-N -1 1 301.302 1.624 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCN(CC(F)(F)F)CC1 ZINC001411619122 853467865 /nfs/dbraw/zinc/46/78/65/853467865.db2.gz USQKSZZQODXBBU-UHFFFAOYSA-N -1 1 306.259 1.851 20 0 DDADMM CCN(C(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1cnn[nH]1)C(C)C ZINC001275713718 853614017 /nfs/dbraw/zinc/61/40/17/853614017.db2.gz DJRYTHYJJHJORV-UVLXDEKHSA-N -1 1 319.409 1.206 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@H](NC(=O)c2cccc([O-])c2F)C1 ZINC001411797679 853751959 /nfs/dbraw/zinc/75/19/59/853751959.db2.gz GMDSUWLFYCGSEE-UWVGGRQHSA-N -1 1 315.366 1.617 20 0 DDADMM CN(C(=O)c1ccc([O-])cn1)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC001411821532 853782746 /nfs/dbraw/zinc/78/27/46/853782746.db2.gz FBGDVQMAEYMJHF-STQMWFEESA-N -1 1 312.391 1.215 20 0 DDADMM NC(=O)c1[nH]nc2c1CN(C(=O)c1ccc(Cl)cc1[O-])C2 ZINC001275934766 853979791 /nfs/dbraw/zinc/97/97/91/853979791.db2.gz PDGZOLWSGJPVJA-UHFFFAOYSA-N -1 1 306.709 1.024 20 0 DDADMM CCc1nnc([C@H](C)NC(=O)c2cnc(SC)[n-]c2=O)[nH]1 ZINC001411986457 854071541 /nfs/dbraw/zinc/07/15/41/854071541.db2.gz HXXUDCVAIOUMLE-LURJTMIESA-N -1 1 308.367 1.076 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cncc2ccccc21 ZINC001150923796 862533738 /nfs/dbraw/zinc/53/37/38/862533738.db2.gz CLUQLIBYVPDKDU-UHFFFAOYSA-N -1 1 306.285 1.859 20 0 DDADMM NC(=O)[C@H](NC(=O)Cc1ccc([O-])c(Cl)c1)c1ccccn1 ZINC001412164987 854287230 /nfs/dbraw/zinc/28/72/30/854287230.db2.gz LJJGJZMPMVYLCG-CQSZACIVSA-N -1 1 319.748 1.326 20 0 DDADMM CN(C(=O)c1cccc(NC(=O)OC(C)(C)C)n1)c1nn[n-]n1 ZINC001412226939 854347754 /nfs/dbraw/zinc/34/77/54/854347754.db2.gz LIBSTFGXXKGIKF-UHFFFAOYSA-N -1 1 319.325 1.218 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1csc2c1CCCC2 ZINC001150976749 862561099 /nfs/dbraw/zinc/56/10/99/862561099.db2.gz ZTMLAGWJBBXSDI-UHFFFAOYSA-N -1 1 306.347 1.663 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C[C@@H]1CCCO1 ZINC001412293736 854416067 /nfs/dbraw/zinc/41/60/67/854416067.db2.gz RUKKOFDMTQORJD-DCAQKATOSA-N -1 1 322.327 1.614 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2[C@@H]3CCC(=O)[C@@H]32)[n-]c1Cl ZINC001412298471 854419392 /nfs/dbraw/zinc/41/93/92/854419392.db2.gz AUVAEZYEAQZLTL-LPBLVHEISA-N -1 1 311.725 1.403 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cnn([C@H]2CCCCO2)c1 ZINC001412380624 854492559 /nfs/dbraw/zinc/49/25/59/854492559.db2.gz CHSBMQIUIBDIBG-CYBMUJFWSA-N -1 1 305.342 1.057 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001412488715 854612734 /nfs/dbraw/zinc/61/27/34/854612734.db2.gz MPIYISMXFNRGBD-VIFPVBQESA-N -1 1 317.397 1.439 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1C ZINC001412527287 854661299 /nfs/dbraw/zinc/66/12/99/854661299.db2.gz IQUJVVXDNOKQDO-UHFFFAOYSA-N -1 1 318.381 1.646 20 0 DDADMM NC(=O)C[C@H]1C[C@@H]2CN(C(=O)c3cccc([O-])c3Cl)C[C@@H]2O1 ZINC001276050262 854674721 /nfs/dbraw/zinc/67/47/21/854674721.db2.gz RDOKNHVSGWAYRY-LNLATYFQSA-N -1 1 324.764 1.151 20 0 DDADMM Cn1cc(CN2CCN(C(=O)c3ccc([O-])cc3F)CC2)cn1 ZINC001412542313 854687972 /nfs/dbraw/zinc/68/79/72/854687972.db2.gz ZBWGHYXWDMFVQJ-UHFFFAOYSA-N -1 1 318.352 1.223 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1cc(F)c[nH]1 ZINC001412583111 854755391 /nfs/dbraw/zinc/75/53/91/854755391.db2.gz GVKLMBHPIFRAAC-JTQLQIEISA-N -1 1 321.312 1.426 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1cc(F)c[nH]1 ZINC001412583111 854755395 /nfs/dbraw/zinc/75/53/95/854755395.db2.gz GVKLMBHPIFRAAC-JTQLQIEISA-N -1 1 321.312 1.426 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1cc(F)c[nH]1 ZINC001412583111 854755398 /nfs/dbraw/zinc/75/53/98/854755398.db2.gz GVKLMBHPIFRAAC-JTQLQIEISA-N -1 1 321.312 1.426 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1N1CCOCC1)c1ccc(F)c([O-])c1 ZINC001412647338 854874932 /nfs/dbraw/zinc/87/49/32/854874932.db2.gz SCVPITIQBVSZEU-HUUCEWRRSA-N -1 1 322.380 1.905 20 0 DDADMM CSc1ncc(C(=O)Nc2cccnc2NC(C)=O)c(=O)[n-]1 ZINC001412731858 855042181 /nfs/dbraw/zinc/04/21/81/855042181.db2.gz SPYSISNCGWRDPW-UHFFFAOYSA-N -1 1 319.346 1.510 20 0 DDADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257503339 855152760 /nfs/dbraw/zinc/15/27/60/855152760.db2.gz DFKQFJXEVWISSB-YFKPBYRVSA-N -1 1 316.187 1.649 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc(NC(C)=O)cn2)c(=O)[n-]1 ZINC001412824540 855310575 /nfs/dbraw/zinc/31/05/75/855310575.db2.gz OGYVUTHYOCEZHC-UHFFFAOYSA-N -1 1 319.346 1.510 20 0 DDADMM CCC(CC)(NC(=O)C(F)(F)c1ncccc1C)c1nn[n-]n1 ZINC001412939273 855794596 /nfs/dbraw/zinc/79/45/96/855794596.db2.gz XZJKFRBEMLPGBD-UHFFFAOYSA-N -1 1 324.335 1.827 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1Cc2cccnc2C1)c1nn[n-]n1 ZINC001412936383 855800957 /nfs/dbraw/zinc/80/09/57/855800957.db2.gz OQVULUQTMMAOFW-NSHDSACASA-N -1 1 300.366 1.141 20 0 DDADMM NC(=O)c1cccc(CN(C(=O)c2cnncc2[O-])C2CC2)c1 ZINC001412979014 855861131 /nfs/dbraw/zinc/86/11/31/855861131.db2.gz LUEGSHXSKKQEAM-UHFFFAOYSA-N -1 1 312.329 1.086 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2nc(C)c(C)[nH]2)[n-]c1=O ZINC001413075068 856356115 /nfs/dbraw/zinc/35/61/15/856356115.db2.gz FZEFMFZJSHNYFC-LLVKDONJSA-N -1 1 303.366 1.868 20 0 DDADMM CC(C)c1[nH]nc(C(N)=O)c1NC(=O)c1cccc([O-])c1F ZINC001413099263 856425220 /nfs/dbraw/zinc/42/52/20/856425220.db2.gz AARPYJRQGIYUDK-UHFFFAOYSA-N -1 1 306.297 1.729 20 0 DDADMM CCC(CC)(CC)CNS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001413309186 856628136 /nfs/dbraw/zinc/62/81/36/856628136.db2.gz REPLOCUEDWCVMV-UHFFFAOYSA-N -1 1 317.411 1.691 20 0 DDADMM CCC(CC)(CC)CNS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001413309186 856628144 /nfs/dbraw/zinc/62/81/44/856628144.db2.gz REPLOCUEDWCVMV-UHFFFAOYSA-N -1 1 317.411 1.691 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(CC2CC2)C2CCC2)[n-]n1 ZINC001413355769 856708673 /nfs/dbraw/zinc/70/86/73/856708673.db2.gz OQESSZJRDLRKJQ-UHFFFAOYSA-N -1 1 313.379 1.150 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(CC2CC2)C2CCC2)n[n-]1 ZINC001413355769 856708677 /nfs/dbraw/zinc/70/86/77/856708677.db2.gz OQESSZJRDLRKJQ-UHFFFAOYSA-N -1 1 313.379 1.150 20 0 DDADMM CCc1nc(C)c(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)o1 ZINC001413452680 856829377 /nfs/dbraw/zinc/82/93/77/856829377.db2.gz BYCNCCLSGQKWQL-UHFFFAOYSA-N -1 1 318.333 1.044 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cn2c(n1)C[C@H](C)CC2 ZINC001413504020 856899282 /nfs/dbraw/zinc/89/92/82/856899282.db2.gz OIPTWLCGYNCUSM-LLVKDONJSA-N -1 1 317.397 1.277 20 0 DDADMM CS(C)(=O)=NCCNC(=O)c1ncc2ccccc2c1[O-] ZINC001156239426 862849015 /nfs/dbraw/zinc/84/90/15/862849015.db2.gz YRSLFFFNMXDJTI-UHFFFAOYSA-N -1 1 307.375 1.398 20 0 DDADMM Cc1nc(C)c(CC(=O)N(Cc2nn[n-]n2)CC(C)C)s1 ZINC001413506698 856906453 /nfs/dbraw/zinc/90/64/53/856906453.db2.gz VBJMDIFFQGVXMH-UHFFFAOYSA-N -1 1 308.411 1.500 20 0 DDADMM CN(C)c1nc2c(s1)CN(C(=O)c1ccc([O-])cn1)CC2 ZINC001413512476 856914175 /nfs/dbraw/zinc/91/41/75/856914175.db2.gz WLSRGPKIHXDDIM-UHFFFAOYSA-N -1 1 304.375 1.508 20 0 DDADMM CCCNC(=O)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001413607895 857228352 /nfs/dbraw/zinc/22/83/52/857228352.db2.gz TVRQIQKUEUETCT-UHFFFAOYSA-N -1 1 317.349 1.226 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cn2cccc(C)c2n1 ZINC001328308990 862887996 /nfs/dbraw/zinc/88/79/96/862887996.db2.gz FSIPWRUEAHYJCP-UHFFFAOYSA-N -1 1 319.361 1.575 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cnc(C)nc2C)[n-]c1=O ZINC001413621872 857248520 /nfs/dbraw/zinc/24/85/20/857248520.db2.gz IEGKCIPCVFNMDN-UHFFFAOYSA-N -1 1 317.349 1.263 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)[C@H](C)c1cccs1 ZINC001121769278 858591700 /nfs/dbraw/zinc/59/17/00/858591700.db2.gz HOXMDXRQISPESA-MRVPVSSYSA-N -1 1 304.383 1.737 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)[C@H](C)c1cccs1 ZINC001121769278 858591705 /nfs/dbraw/zinc/59/17/05/858591705.db2.gz HOXMDXRQISPESA-MRVPVSSYSA-N -1 1 304.383 1.737 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2cc(F)ccc2C1 ZINC001121770833 858593189 /nfs/dbraw/zinc/59/31/89/858593189.db2.gz VYRMMWUPRXYNPS-UHFFFAOYSA-N -1 1 314.328 1.180 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2cc(F)ccc2C1 ZINC001121770833 858593198 /nfs/dbraw/zinc/59/31/98/858593198.db2.gz VYRMMWUPRXYNPS-UHFFFAOYSA-N -1 1 314.328 1.180 20 0 DDADMM CCN(Cc1ccccc1)c1nnc(-c2nnn[n-]2)n1CC1CC1 ZINC001123594731 859319116 /nfs/dbraw/zinc/31/91/16/859319116.db2.gz OBEPZFPLMIGGJH-UHFFFAOYSA-N -1 1 324.392 1.895 20 0 DDADMM CCN(Cc1ccccc1)c1nnc(-c2nn[n-]n2)n1CC1CC1 ZINC001123594731 859319122 /nfs/dbraw/zinc/31/91/22/859319122.db2.gz OBEPZFPLMIGGJH-UHFFFAOYSA-N -1 1 324.392 1.895 20 0 DDADMM CO[C@@]1(C)CCCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1 ZINC001123871646 859449603 /nfs/dbraw/zinc/44/96/03/859449603.db2.gz PNQCBMMFFWRNBG-AWEZNQCLSA-N -1 1 311.407 1.811 20 0 DDADMM O=C([C@@H]1CC1(Cl)Cl)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123998356 859516820 /nfs/dbraw/zinc/51/68/20/859516820.db2.gz BCVBJHHNJMUEGI-SFYZADRCSA-N -1 1 304.181 1.175 20 0 DDADMM Cn1c2ccc(NC(=O)CCc3nn[n-]n3)cc2nc1C1CC1 ZINC001138035880 860001405 /nfs/dbraw/zinc/00/14/05/860001405.db2.gz NEKJNHISRXKWGV-UHFFFAOYSA-N -1 1 311.349 1.535 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(-c2c[nH]cn2)cc1)c1nn[n-]n1 ZINC001138058149 860002686 /nfs/dbraw/zinc/00/26/86/860002686.db2.gz UQUCQZMJIGLFRP-JTQLQIEISA-N -1 1 311.349 1.466 20 0 DDADMM CCOC(=O)c1ncn2c1CN(Cc1ncccc1[O-])CC2 ZINC001138390761 860085427 /nfs/dbraw/zinc/08/54/27/860085427.db2.gz HMLVLUXYGPXUCT-UHFFFAOYSA-N -1 1 302.334 1.176 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)[C@@H](C)NC/C(Cl)=C/Cl)c1[O-] ZINC001381353548 881550921 /nfs/dbraw/zinc/55/09/21/881550921.db2.gz AYRLEQKSIXRJTE-PJWJPSMOSA-N -1 1 321.208 1.839 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(Cc2ccncc2[O-])[C@H](CO)C1 ZINC001140274287 860605008 /nfs/dbraw/zinc/60/50/08/860605008.db2.gz YZQULZKMIJUGJU-ZDUSSCGKSA-N -1 1 323.393 1.201 20 0 DDADMM CCn1cc2c(n1)CN(Cc1ccncc1[O-])C[C@@H]2COC ZINC001140275286 860607334 /nfs/dbraw/zinc/60/73/34/860607334.db2.gz TUEJKTIYZKRBEV-CYBMUJFWSA-N -1 1 302.378 1.749 20 0 DDADMM CC(C)(C)c1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)s1 ZINC001151945781 863069812 /nfs/dbraw/zinc/06/98/12/863069812.db2.gz ACTZIGRWYGEKCO-UHFFFAOYSA-N -1 1 323.422 1.389 20 0 DDADMM O=C(N[C@H](CO)C(F)(F)F)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681308 863490038 /nfs/dbraw/zinc/49/00/38/863490038.db2.gz KZZXTQVIOBZATB-SNVBAGLBSA-N -1 1 316.235 1.299 20 0 DDADMM CCC[C@@H](CCO)NC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681277 863490533 /nfs/dbraw/zinc/49/05/33/863490533.db2.gz KBCHZWXXARPRLO-JTQLQIEISA-N -1 1 304.346 1.927 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1[nH]nc2ccccc21 ZINC001153806402 864120061 /nfs/dbraw/zinc/12/00/61/864120061.db2.gz CQEMJTRCJYZMPY-UHFFFAOYSA-N -1 1 313.365 1.303 20 0 DDADMM O=C(c1cc(=O)c2cc(Cl)ccc2[n-]1)N1C[C@@H]2C[C@H]1C(=O)O2 ZINC001153902619 864208122 /nfs/dbraw/zinc/20/81/22/864208122.db2.gz CEFFMHIRXIONES-UFBFGSQYSA-N -1 1 318.716 1.321 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C)nc3ccnn32)n1 ZINC001153980463 864279267 /nfs/dbraw/zinc/27/92/67/864279267.db2.gz MOAKQANVWRTOES-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@H]1CCCCN1Cc1ccncc1 ZINC001330803912 864618940 /nfs/dbraw/zinc/61/89/40/864618940.db2.gz GSBJPBGLONWDBE-CVEARBPZSA-N -1 1 321.421 1.501 20 0 DDADMM O=C(N[C@H]1C[C@@H]2[C@H](C1)C2(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC001331397004 865057699 /nfs/dbraw/zinc/05/76/99/865057699.db2.gz HWHNHVNBCWTYRL-AYMMMOKOSA-N -1 1 310.329 1.792 20 0 DDADMM O=C([O-])c1ccccc1CC(=O)NCCCCN1CCOCC1 ZINC001331934488 865442002 /nfs/dbraw/zinc/44/20/02/865442002.db2.gz SEDFSSCMRGSSSM-UHFFFAOYSA-N -1 1 320.389 1.156 20 0 DDADMM Cc1c(C(=O)NN2CC(=O)[N-]C2=O)ccn1Cc1ccccc1 ZINC001160530668 865915583 /nfs/dbraw/zinc/91/55/83/865915583.db2.gz WWVHQSXMMAGGFH-UHFFFAOYSA-N -1 1 312.329 1.041 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]c2nnc3cc(C)ccn32)on1 ZINC001160960622 866223386 /nfs/dbraw/zinc/22/33/86/866223386.db2.gz OOJSRYBPRXYOHE-UHFFFAOYSA-N -1 1 315.289 1.455 20 0 DDADMM Cc1nc([C@@H](C)[N-]S(=O)(=O)c2cnc(Cl)c(F)c2)no1 ZINC001323262962 866484574 /nfs/dbraw/zinc/48/45/74/866484574.db2.gz CZFWLKWFJMIMTE-RXMQYKEDSA-N -1 1 320.733 1.605 20 0 DDADMM COc1c(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cnn1C ZINC001361604753 881989944 /nfs/dbraw/zinc/98/99/44/881989944.db2.gz LICONHBHIVGNJU-UHFFFAOYSA-N -1 1 303.322 1.055 20 0 DDADMM CCOC(=O)c1nc(=NCc2nccn2C)c2sccc2[n-]1 ZINC001161911907 867091972 /nfs/dbraw/zinc/09/19/72/867091972.db2.gz NZUNCYXSDXGNJK-UHFFFAOYSA-N -1 1 317.374 1.636 20 0 DDADMM CC(C)CCCCC(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001324250909 867163228 /nfs/dbraw/zinc/16/32/28/867163228.db2.gz RPBQPJHEQHVNEJ-ZDUSSCGKSA-N -1 1 323.441 1.807 20 0 DDADMM CCC(=CC(=O)N1CCN([C@]2(C(=O)[O-])CCOC2)CC1)CC ZINC001334164329 867344913 /nfs/dbraw/zinc/34/49/13/867344913.db2.gz LMBUAPXBOIHHOK-MRXNPFEDSA-N -1 1 310.394 1.121 20 0 DDADMM CCCn1cc(C(=O)Nc2[n-]c(C(=O)OC)cc2C(C)=O)nn1 ZINC001361650068 882088456 /nfs/dbraw/zinc/08/84/56/882088456.db2.gz YVMGMWSXWOQKSA-UHFFFAOYSA-N -1 1 319.321 1.258 20 0 DDADMM CCOC(=O)c1nc(NC(=O)CC[C@H](C)OC)[n-]c1Cl ZINC001361670996 882126641 /nfs/dbraw/zinc/12/66/41/882126641.db2.gz IVPYCNLNBSGUIH-ZETCQYMHSA-N -1 1 303.746 1.993 20 0 DDADMM O=C(CNC(=O)c1ccc([O-])cn1)Nc1ccc(Cl)cc1 ZINC001361680156 882147227 /nfs/dbraw/zinc/14/72/27/882147227.db2.gz OOZKFFNBEUWUIW-UHFFFAOYSA-N -1 1 305.721 1.809 20 0 DDADMM COc1n[nH]c(NC(=O)c2cncc([O-])c2)c1Br ZINC001363688617 886978057 /nfs/dbraw/zinc/97/80/57/886978057.db2.gz LRFKXPRUVRBHHZ-UHFFFAOYSA-N -1 1 313.111 1.534 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc(C(N)=O)cc2C)c(=O)[n-]1 ZINC001361702928 882191634 /nfs/dbraw/zinc/19/16/34/882191634.db2.gz RMSAEMIISXFSNF-UHFFFAOYSA-N -1 1 318.358 1.564 20 0 DDADMM Cc1cc2c(c(N3CC[C@H](CF)C(F)(F)C3)n1)C(=O)[N-]C2=O ZINC001164094740 868939880 /nfs/dbraw/zinc/93/98/80/868939880.db2.gz DGIQONIYLNMZJJ-MRVPVSSYSA-N -1 1 313.279 1.705 20 0 DDADMM COC(OC)[C@H](NC(=O)c1cnncc1[O-])c1ccccc1 ZINC001337220493 869385095 /nfs/dbraw/zinc/38/50/95/869385095.db2.gz XKVNHAUUGIVTIG-CYBMUJFWSA-N -1 1 303.318 1.272 20 0 DDADMM CC(C)CNC(=O)c1ccccc1NC(=O)CCc1nn[n-]n1 ZINC001361752133 882296960 /nfs/dbraw/zinc/29/69/60/882296960.db2.gz HECCARPJDIMGSC-UHFFFAOYSA-N -1 1 316.365 1.157 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H](Oc2[n-]c(=S)ncc2OC)CO1 ZINC001226241920 882302124 /nfs/dbraw/zinc/30/21/24/882302124.db2.gz DJSKPLJBOZZJHN-IUCAKERBSA-N -1 1 314.363 1.263 20 0 DDADMM CN(C)c1cncc(N2CCC([N-]C(=O)C(F)(F)F)CC2)n1 ZINC001166895973 870349017 /nfs/dbraw/zinc/34/90/17/870349017.db2.gz CNENXQDGSHLKJO-UHFFFAOYSA-N -1 1 317.315 1.190 20 0 DDADMM CCOc1nccnc1N1CCC([N-]C(=O)C(F)(F)F)CC1 ZINC001166895183 870349174 /nfs/dbraw/zinc/34/91/74/870349174.db2.gz MNAQAQSAPVQAPG-UHFFFAOYSA-N -1 1 318.299 1.523 20 0 DDADMM Cc1cccnc1CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001298252837 870399156 /nfs/dbraw/zinc/39/91/56/870399156.db2.gz KWPOLUNNKWDXGW-UHFFFAOYSA-N -1 1 313.386 1.694 20 0 DDADMM COc1ncc([N-]S(=O)(=O)c2ccc(N)c(N)c2)cc1F ZINC001203410002 870552192 /nfs/dbraw/zinc/55/21/92/870552192.db2.gz JVYQPIKHDVKJHG-UHFFFAOYSA-N -1 1 312.326 1.195 20 0 DDADMM C[C@@H]1[C@H](c2ccccc2)CCN1c1nnc(Cc2nnn[n-]2)n1C ZINC001339604001 870577907 /nfs/dbraw/zinc/57/79/07/870577907.db2.gz QHEFDGBRANTJQA-DGCLKSJQSA-N -1 1 324.392 1.301 20 0 DDADMM C[C@@H]1[C@H](c2ccccc2)CCN1c1nnc(Cc2nn[n-]n2)n1C ZINC001339604001 870577918 /nfs/dbraw/zinc/57/79/18/870577918.db2.gz QHEFDGBRANTJQA-DGCLKSJQSA-N -1 1 324.392 1.301 20 0 DDADMM C[C@@H](COc1ccc(F)cc1)[N-]S(=O)(=O)N=S(C)(C)=O ZINC001363937140 887574911 /nfs/dbraw/zinc/57/49/11/887574911.db2.gz PAXKYPYLOWDOGH-VIFPVBQESA-N -1 1 324.399 1.155 20 0 DDADMM CC(C)COCCC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001298739825 870696049 /nfs/dbraw/zinc/69/60/49/870696049.db2.gz IRAGGEMCXCEHLU-LBPRGKRZSA-N -1 1 323.393 1.084 20 0 DDADMM C[C@H](c1ccc(F)cc1)N(C)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001340190121 870949004 /nfs/dbraw/zinc/94/90/04/870949004.db2.gz HGUGHEROCOXBRI-MRVPVSSYSA-N -1 1 318.312 1.495 20 0 DDADMM COC(=O)CCCCNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC001340210926 870962906 /nfs/dbraw/zinc/96/29/06/870962906.db2.gz XGQZYCLGEALBNF-UHFFFAOYSA-N -1 1 313.379 1.286 20 0 DDADMM COC(=O)c1occc1CNC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001300987875 870968990 /nfs/dbraw/zinc/96/89/90/870968990.db2.gz DNAABOHWMJKPIJ-UHFFFAOYSA-N -1 1 317.297 1.823 20 0 DDADMM CC(=CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)c1cnccn1 ZINC001301650441 871014854 /nfs/dbraw/zinc/01/48/54/871014854.db2.gz SSWAZFXPRDSQEI-KLQCNHIUSA-N -1 1 321.344 1.299 20 0 DDADMM O=C(Nc1cc(N2CCCC2=O)ccc1F)c1cnncc1[O-] ZINC001361836823 882467749 /nfs/dbraw/zinc/46/77/49/882467749.db2.gz AWDOOIMFJHWYAB-UHFFFAOYSA-N -1 1 316.292 1.700 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])c1ccc2c(c1)C(=O)NCCO2 ZINC001302487115 871071969 /nfs/dbraw/zinc/07/19/69/871071969.db2.gz VHFBRLKIXQUPBB-UHFFFAOYSA-N -1 1 316.288 1.906 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1nccc2cccnc21 ZINC001303202416 871108429 /nfs/dbraw/zinc/10/84/29/871108429.db2.gz YPSOQALLFAFZTD-UHFFFAOYSA-N -1 1 311.349 1.441 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CCC=C(C)C ZINC001309511630 871579185 /nfs/dbraw/zinc/57/91/85/871579185.db2.gz QCKYGDQSCJLBRJ-JTQLQIEISA-N -1 1 315.395 1.610 20 0 DDADMM O=c1ccc(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)n[nH]1 ZINC001309521659 871579334 /nfs/dbraw/zinc/57/93/34/871579334.db2.gz VDKJQUUPUYUILI-UHFFFAOYSA-N -1 1 319.264 1.078 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N(C)c1cccc(C)c1 ZINC001341772915 871816590 /nfs/dbraw/zinc/81/65/90/871816590.db2.gz YTGWSQMYVBXLMW-UHFFFAOYSA-N -1 1 300.322 1.718 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001316810255 872085419 /nfs/dbraw/zinc/08/54/19/872085419.db2.gz IAIQAJLLNRYBTD-DKRCXCIFSA-N -1 1 321.425 1.583 20 0 DDADMM C[C@@H](Oc1cc(=O)[n-]c(N2CCOCC2)n1)c1ccncc1 ZINC001226721785 882610500 /nfs/dbraw/zinc/61/05/00/882610500.db2.gz IOKCAWUYGKSVLZ-LLVKDONJSA-N -1 1 302.334 1.554 20 0 DDADMM CN(C(=O)Cc1cc(Br)ccc1F)c1nn[n-]n1 ZINC001361904730 882613843 /nfs/dbraw/zinc/61/38/43/882613843.db2.gz KYNLEFBQSCMUKN-UHFFFAOYSA-N -1 1 314.118 1.307 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1ncccc1[O-] ZINC001344066096 872849607 /nfs/dbraw/zinc/84/96/07/872849607.db2.gz JPSFXVBHRKFMLS-ZIAWVFJWSA-N -1 1 317.389 1.911 20 0 DDADMM CC/C(C)=C/C(=O)N(C)C[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001344157385 872892332 /nfs/dbraw/zinc/89/23/32/872892332.db2.gz CBMLWPMQNXIFMT-RSKUSDAESA-N -1 1 317.389 1.816 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cncs2)[n-]c1Cl ZINC001361959107 882710734 /nfs/dbraw/zinc/71/07/34/882710734.db2.gz CYVPENIUGHYPRN-UHFFFAOYSA-N -1 1 300.727 1.949 20 0 DDADMM C[C@@](O)(CNC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccco1 ZINC001362020160 882841861 /nfs/dbraw/zinc/84/18/61/882841861.db2.gz VLGSMNHLNJFEET-OAHLLOKOSA-N -1 1 303.318 1.290 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC([C@@H](C)CO)CC1 ZINC001348758982 874764379 /nfs/dbraw/zinc/76/43/79/874764379.db2.gz WAXBXAYBAATNRY-QMMMGPOBSA-N -1 1 311.407 1.260 20 0 DDADMM CCn1nc(C)c(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c1C ZINC001348772732 874771356 /nfs/dbraw/zinc/77/13/56/874771356.db2.gz RZUFMQXOFRJITL-UHFFFAOYSA-N -1 1 321.406 1.543 20 0 DDADMM CCc1cnc(CCNC(=O)c2cnc(SC)[n-]c2=O)s1 ZINC001362040397 882895167 /nfs/dbraw/zinc/89/51/67/882895167.db2.gz GNPDFDCPFYHTMW-UHFFFAOYSA-N -1 1 324.431 1.896 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cccc(N2CCCCC2=O)c1 ZINC001362044282 882904662 /nfs/dbraw/zinc/90/46/62/882904662.db2.gz YSDAACNTTFABTI-UHFFFAOYSA-N -1 1 314.349 1.288 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(Oc2nccs2)C1 ZINC001349866943 875421326 /nfs/dbraw/zinc/42/13/26/875421326.db2.gz DTRGWAWDZRKDQN-UHFFFAOYSA-N -1 1 324.387 1.139 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCCC1CCOCC1 ZINC001349899646 875442202 /nfs/dbraw/zinc/44/22/02/875442202.db2.gz GIXBCLDYULEVPW-UHFFFAOYSA-N -1 1 311.407 1.716 20 0 DDADMM O=C(c1ccc(Cn2cccc2)cc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001350236432 875592224 /nfs/dbraw/zinc/59/22/24/875592224.db2.gz XMGHVYYWRBAIOY-OAHLLOKOSA-N -1 1 322.372 1.679 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2cncc(CO)c2)c1 ZINC001211109280 875662722 /nfs/dbraw/zinc/66/27/22/875662722.db2.gz RQUVZQYDQDREGB-UHFFFAOYSA-N -1 1 323.330 1.290 20 0 DDADMM CCN(Cc1ccccc1C)c1nnc(Cc2nnn[n-]2)n1C ZINC001350689193 875835824 /nfs/dbraw/zinc/83/58/24/875835824.db2.gz UMEMIBRIGUMSPV-UHFFFAOYSA-N -1 1 312.381 1.254 20 0 DDADMM CCN(Cc1ccccc1C)c1nnc(Cc2nn[n-]n2)n1C ZINC001350689193 875835834 /nfs/dbraw/zinc/83/58/34/875835834.db2.gz UMEMIBRIGUMSPV-UHFFFAOYSA-N -1 1 312.381 1.254 20 0 DDADMM CSc1nc([N-]C(=O)c2ncsc2Br)n[nH]1 ZINC001362088814 883005867 /nfs/dbraw/zinc/00/58/67/883005867.db2.gz KPJCOVTYAMOJAT-UHFFFAOYSA-N -1 1 320.197 1.998 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccc(F)cc1)c1ccns1 ZINC001364826758 889493104 /nfs/dbraw/zinc/49/31/04/889493104.db2.gz JDGFCZWEVLZGAP-JTQLQIEISA-N -1 1 302.352 1.294 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cc[nH]c1 ZINC001381961402 883064268 /nfs/dbraw/zinc/06/42/68/883064268.db2.gz JIDIACFAYGSBLR-JTQLQIEISA-N -1 1 302.334 1.006 20 0 DDADMM CCC1(C(=O)N[C@H](C)CN(C)C(=O)c2ncccc2[O-])CCC1 ZINC001381965358 883076576 /nfs/dbraw/zinc/07/65/76/883076576.db2.gz WYMJOIMUFOESSV-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CCOC(=O)[C@H](C)n1cc(Nc2c(N)[nH]c(=S)[n-]c2=O)cn1 ZINC001216690353 877020199 /nfs/dbraw/zinc/02/01/99/877020199.db2.gz AAMBXFNLXJEBHW-LURJTMIESA-N -1 1 324.366 1.117 20 0 DDADMM CCOC(=O)[C@H](C)n1cc(Nc2cc(=O)[n-]c(SC)n2)cn1 ZINC001216691967 877021304 /nfs/dbraw/zinc/02/13/04/877021304.db2.gz JQQBJIFVGSMELG-QMMMGPOBSA-N -1 1 323.378 1.968 20 0 DDADMM COc1cc(O)ccc1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001217060026 877112686 /nfs/dbraw/zinc/11/26/86/877112686.db2.gz GGSMWGMOPMPGJM-UHFFFAOYSA-N -1 1 313.317 1.700 20 0 DDADMM COCc1nc(N[C@@H](C)c2nnc3ccccn32)cc(=O)[n-]1 ZINC001355216884 878465980 /nfs/dbraw/zinc/46/59/80/878465980.db2.gz WKZSESCHVFLEEV-VIFPVBQESA-N -1 1 300.322 1.544 20 0 DDADMM Cc1cccc([C@@H]2CCN(c3nnc(-c4nnn[n-]4)n3C)C2)c1 ZINC001355334791 878508888 /nfs/dbraw/zinc/50/88/88/878508888.db2.gz QXRMPLYMWJPFER-GFCCVEGCSA-N -1 1 310.365 1.298 20 0 DDADMM Cc1cccc([C@@H]2CCN(c3nnc(-c4nn[n-]n4)n3C)C2)c1 ZINC001355334791 878508901 /nfs/dbraw/zinc/50/89/01/878508901.db2.gz QXRMPLYMWJPFER-GFCCVEGCSA-N -1 1 310.365 1.298 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C(C)(C)C(F)(F)F ZINC001374320086 912531885 /nfs/dbraw/zinc/53/18/85/912531885.db2.gz JPNDDDBSIPDERN-ZETCQYMHSA-N -1 1 323.319 1.035 20 0 DDADMM CCC[C@H](OC)C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001380849795 880519925 /nfs/dbraw/zinc/51/99/25/880519925.db2.gz QMLFNRALDXXVOB-AAEUAGOBSA-N -1 1 323.393 1.179 20 0 DDADMM CC(C)NC(=O)N1CC2(CN(Cc3cc(Cl)ncc3[O-])C2)C1 ZINC001276837080 880693818 /nfs/dbraw/zinc/69/38/18/880693818.db2.gz DTZRAUYCHGOSEQ-UHFFFAOYSA-N -1 1 324.812 1.676 20 0 DDADMM CC(C)C(=O)NCC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001416544284 881227703 /nfs/dbraw/zinc/22/77/03/881227703.db2.gz YEUDATOABLCWRL-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM COC(=O)[C@H](Cc1ccccc1)Oc1[n-]c(=O)nc2c1COC2 ZINC001227691203 883133884 /nfs/dbraw/zinc/13/38/84/883133884.db2.gz RZFGVQMQLLPQDJ-ZDUSSCGKSA-N -1 1 316.313 1.376 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)c2cccc([O-])c2F)C1 ZINC001362193879 883262167 /nfs/dbraw/zinc/26/21/67/883262167.db2.gz ACLLGXJECYBRAN-QWRGUYRKSA-N -1 1 308.353 1.908 20 0 DDADMM CC[C@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C(=O)OC ZINC001362205606 883293865 /nfs/dbraw/zinc/29/38/65/883293865.db2.gz GGYVEFSGZKJIAF-JTQLQIEISA-N -1 1 306.293 1.761 20 0 DDADMM C=C/C(C)=C\CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228035296 883296404 /nfs/dbraw/zinc/29/64/04/883296404.db2.gz DBPKHUZRTZAHNC-FUTHCOOYSA-N -1 1 319.409 1.550 20 0 DDADMM CSc1ncc(C(=O)NCCc2ccncc2C)c(=O)[n-]1 ZINC001362250079 883405750 /nfs/dbraw/zinc/40/57/50/883405750.db2.gz YNUYMDADQBOFQJ-UHFFFAOYSA-N -1 1 304.375 1.580 20 0 DDADMM Cc1cc(NC(=O)c2cnc(C3CC3)[n-]c2=O)ccc1C(N)=O ZINC001362258708 883427028 /nfs/dbraw/zinc/42/70/28/883427028.db2.gz CRAZDXPMCZMBIX-UHFFFAOYSA-N -1 1 312.329 1.719 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC[C@@H]1CN1CCOCC1 ZINC001362346354 883615796 /nfs/dbraw/zinc/61/57/96/883615796.db2.gz KGTALQONGYXFFD-CYBMUJFWSA-N -1 1 308.353 1.468 20 0 DDADMM CCC[C@H](NC(=O)C(F)(F)C1(O)CCCCC1)c1nn[n-]n1 ZINC001362395924 883714741 /nfs/dbraw/zinc/71/47/41/883714741.db2.gz WXWCPKXZTQCSPA-VIFPVBQESA-N -1 1 317.340 1.488 20 0 DDADMM COC[C@H](C)Nc1ccccc1NC(=O)CCc1nn[n-]n1 ZINC001362406388 883739144 /nfs/dbraw/zinc/73/91/44/883739144.db2.gz KTULKUMPNQYVIN-JTQLQIEISA-N -1 1 304.354 1.218 20 0 DDADMM NC(=O)N1CCCc2ccc(NC(=O)c3cnncc3[O-])cc21 ZINC001362501089 883953859 /nfs/dbraw/zinc/95/38/59/883953859.db2.gz WVUARRGCVZRHQR-UHFFFAOYSA-N -1 1 313.317 1.266 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1scnc1Br ZINC001362506171 883964177 /nfs/dbraw/zinc/96/41/77/883964177.db2.gz UNLIMAXEHRIACU-UHFFFAOYSA-N -1 1 303.141 1.895 20 0 DDADMM Cc1[nH]cnc1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC001362556408 884087934 /nfs/dbraw/zinc/08/79/34/884087934.db2.gz NKDWOQVXEGSFIC-UHFFFAOYSA-N -1 1 312.326 1.481 20 0 DDADMM COC(=O)c1c[n-]c(=O)nc1O[C@@H]1CCC[C@@H](C(=O)OC)C1 ZINC001229871750 884206177 /nfs/dbraw/zinc/20/61/77/884206177.db2.gz BCEHHGSAEIHDKA-RKDXNWHRSA-N -1 1 310.306 1.079 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)c1ccn(C)c1 ZINC001362613227 884222311 /nfs/dbraw/zinc/22/23/11/884222311.db2.gz FOYFMZSUXMOIJR-ONGXEEELSA-N -1 1 317.311 1.697 20 0 DDADMM COC(=O)c1cc(NC(=O)c2cnncc2[O-])c(C)cc1C ZINC001362628576 884262659 /nfs/dbraw/zinc/26/26/59/884262659.db2.gz XUJGTLBWSVIFAS-UHFFFAOYSA-N -1 1 301.302 1.838 20 0 DDADMM CC(C)[C@H](C)C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001382639919 884386226 /nfs/dbraw/zinc/38/62/26/884386226.db2.gz AVUIHBPJZPELTK-HIFPTAJRSA-N -1 1 319.405 1.704 20 0 DDADMM COC(=O)c1c[n-]c(O[C@H](C(=O)OC)c2ccc(F)cc2)n1 ZINC001230278976 884406104 /nfs/dbraw/zinc/40/61/04/884406104.db2.gz AWJIIVJEUAIINN-NSHDSACASA-N -1 1 308.265 1.629 20 0 DDADMM COC(=O)c1c[n-]c(O[C@@H]2CN(C(=O)OC(C)(C)C)[C@H]2C)n1 ZINC001230282820 884407390 /nfs/dbraw/zinc/40/73/90/884407390.db2.gz ZAYOSAQDEOVVIZ-WCBMZHEXSA-N -1 1 311.338 1.583 20 0 DDADMM Cc1cccnc1NC(=O)CCNC(=O)c1cc(F)ccc1[O-] ZINC001362700948 884442022 /nfs/dbraw/zinc/44/20/22/884442022.db2.gz MLPRFJHCSSZEEN-UHFFFAOYSA-N -1 1 317.320 1.993 20 0 DDADMM CSc1ncc(C(=O)N2CCC3(CCCC3=O)CC2)c(=O)[n-]1 ZINC001362755975 884562320 /nfs/dbraw/zinc/56/23/20/884562320.db2.gz JKWZPMKQCKLSLR-UHFFFAOYSA-N -1 1 321.402 1.880 20 0 DDADMM Cc1cc[nH]c1C(=O)N[C@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382775237 884671825 /nfs/dbraw/zinc/67/18/25/884671825.db2.gz ZUORECJTNCMKRT-MNOVXSKESA-N -1 1 316.361 1.361 20 0 DDADMM Cc1cc(C)c(NC(=O)CNC(=O)c2ncccc2[O-])cc1O ZINC001362823185 884723331 /nfs/dbraw/zinc/72/33/31/884723331.db2.gz BDKBJQVHODYHCZ-UHFFFAOYSA-N -1 1 315.329 1.478 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccnn1C1CCC1 ZINC001362830292 884743596 /nfs/dbraw/zinc/74/35/96/884743596.db2.gz LGIMHADZBXKYDD-UHFFFAOYSA-N -1 1 303.370 1.420 20 0 DDADMM CSc1ncc(C(=O)NCc2ncc(C)cc2C)c(=O)[n-]1 ZINC001362881196 884874563 /nfs/dbraw/zinc/87/45/63/884874563.db2.gz VSCAUMCXMDPOOR-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM CSc1ncc(C(=O)NCc2cc(C3CC3)no2)c(=O)[n-]1 ZINC001362881018 884874975 /nfs/dbraw/zinc/87/49/75/884874975.db2.gz TXXYJNWITXHLNB-UHFFFAOYSA-N -1 1 306.347 1.700 20 0 DDADMM CCOC(=O)[C@@]1(F)CN(C(=O)c2ccc([O-])cn2)C[C@@H]1CC ZINC001362882780 884877187 /nfs/dbraw/zinc/87/71/87/884877187.db2.gz KTTUQIPJRTYBAY-ZUZCIYMTSA-N -1 1 310.325 1.541 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H](O)C23CCCC3)c(=O)[n-]1 ZINC001362950572 885065134 /nfs/dbraw/zinc/06/51/34/885065134.db2.gz COBNHQQGEYKCDN-JTQLQIEISA-N -1 1 309.391 1.424 20 0 DDADMM COc1cncc(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n1 ZINC001362961356 885093463 /nfs/dbraw/zinc/09/34/63/885093463.db2.gz FEOYKANAUOGFBE-UHFFFAOYSA-N -1 1 303.322 1.665 20 0 DDADMM COc1cnc(C2(NC(=O)[C@H](O)C(C)(C)C)CCCC2)[n-]c1=O ZINC001362995590 885182316 /nfs/dbraw/zinc/18/23/16/885182316.db2.gz CJIBIZABAQNNOR-NSHDSACASA-N -1 1 323.393 1.483 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2cccc([O-])c2F)CCS1(=O)=O ZINC001363021613 885249358 /nfs/dbraw/zinc/24/93/58/885249358.db2.gz DQYINBARDLSEDV-SECBINFHSA-N -1 1 315.366 1.617 20 0 DDADMM COC(=O)C[C@@H](C)CC(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001363138087 885558712 /nfs/dbraw/zinc/55/87/12/885558712.db2.gz FCMWPDQBTQYOTI-QMMMGPOBSA-N -1 1 313.379 1.110 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H](C)n2cccc2)n[n-]1 ZINC001363231600 885774829 /nfs/dbraw/zinc/77/48/29/885774829.db2.gz NYWPOMRAPJWXCM-MNOVXSKESA-N -1 1 319.365 1.611 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H](C)n2cccc2)[n-]1 ZINC001363231600 885774840 /nfs/dbraw/zinc/77/48/40/885774840.db2.gz NYWPOMRAPJWXCM-MNOVXSKESA-N -1 1 319.365 1.611 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H](C)n2cccc2)n1 ZINC001363231600 885774846 /nfs/dbraw/zinc/77/48/46/885774846.db2.gz NYWPOMRAPJWXCM-MNOVXSKESA-N -1 1 319.365 1.611 20 0 DDADMM O=C([O-])COc1ccccc1CN1CCC[C@@]2(CNC(=O)O2)C1 ZINC001231796436 885881414 /nfs/dbraw/zinc/88/14/14/885881414.db2.gz JCLMNGXMDHTRQV-MRXNPFEDSA-N -1 1 320.345 1.224 20 0 DDADMM CNC(=O)c1ccc(NC(=O)c2n[nH]c(C)c2[O-])c(OC)c1 ZINC001363288337 885919473 /nfs/dbraw/zinc/91/94/73/885919473.db2.gz RSEIIHVSKVBUIM-UHFFFAOYSA-N -1 1 304.306 1.044 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C1=C2CCCN=C2NN1 ZINC001363311668 885986079 /nfs/dbraw/zinc/98/60/79/885986079.db2.gz MABVBWLVLUPVNK-UHFFFAOYSA-N -1 1 306.753 1.216 20 0 DDADMM O=C(NC[C@@H]1CCN(CC(F)F)C1)c1cc(F)ccc1[O-] ZINC001363317113 886003643 /nfs/dbraw/zinc/00/36/43/886003643.db2.gz VENCFRXXEPMOLQ-VIFPVBQESA-N -1 1 302.296 1.848 20 0 DDADMM C[C@@H](CN(C)C(=O)CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001363319571 886011405 /nfs/dbraw/zinc/01/14/05/886011405.db2.gz BWKOXHGAPIJVJH-NSHDSACASA-N -1 1 307.394 1.658 20 0 DDADMM CSc1ncc(C(=O)NC2(c3ncccn3)CCC2)c(=O)[n-]1 ZINC001363323211 886020965 /nfs/dbraw/zinc/02/09/65/886020965.db2.gz PWICKZWZNHXEQW-UHFFFAOYSA-N -1 1 317.374 1.503 20 0 DDADMM CC(C)(C)C[C@H](O)CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001363343519 886079402 /nfs/dbraw/zinc/07/94/02/886079402.db2.gz HVAMUGVAJGUWNN-OCCSQVGLSA-N -1 1 317.393 1.593 20 0 DDADMM COC[C@H](NC(=O)[C@@H]1Cc2ccc(C)cc2S1)c1nn[n-]n1 ZINC001363416262 886276008 /nfs/dbraw/zinc/27/60/08/886276008.db2.gz PXIMUTOSIUWSLD-JQWIXIFHSA-N -1 1 319.390 1.029 20 0 DDADMM COC[C@H](NC(=O)c1ccc2c(c1)OC(C)(C)C2)c1nn[n-]n1 ZINC001363431573 886321891 /nfs/dbraw/zinc/32/18/91/886321891.db2.gz IAURWQHIWARXOZ-NSHDSACASA-N -1 1 317.349 1.031 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)CCn1cnnc1 ZINC001363544760 886597732 /nfs/dbraw/zinc/59/77/32/886597732.db2.gz JXXPIIDQCJGPFY-UHFFFAOYSA-N -1 1 318.381 1.152 20 0 DDADMM CCN(C(=O)c1cnc(SC)[n-]c1=O)C(C)(C)C(=O)OC ZINC001363595674 886723676 /nfs/dbraw/zinc/72/36/76/886723676.db2.gz KSQCIXHWVUPTTD-UHFFFAOYSA-N -1 1 313.379 1.318 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@H](c2cnccn2)C1 ZINC001363646069 886868849 /nfs/dbraw/zinc/86/88/49/886868849.db2.gz CIEYOJBLGTYBNO-NSHDSACASA-N -1 1 311.345 1.479 20 0 DDADMM NS(=O)(=O)C[C@@H]1CCCCN1Cc1ccc([O-])c(F)c1F ZINC001363688142 886980403 /nfs/dbraw/zinc/98/04/03/886980403.db2.gz WBNQASDIRYLVHC-JTQLQIEISA-N -1 1 320.361 1.313 20 0 DDADMM Cc1nnc(SCc2nc(=O)n(C)[n-]2)n1C1CCCCC1 ZINC001363746531 887129192 /nfs/dbraw/zinc/12/91/92/887129192.db2.gz FFSLXZCHFWBSDZ-UHFFFAOYSA-N -1 1 308.411 1.806 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@@H]1CCc2ccccc2O1 ZINC001233756214 887281854 /nfs/dbraw/zinc/28/18/54/887281854.db2.gz IUTOPRQAXNKBOB-NSHDSACASA-N -1 1 316.313 1.741 20 0 DDADMM CC(C)n1ncc(C(=O)NC2(c3nn[n-]n3)CCC2)c1Cl ZINC001363815498 887296983 /nfs/dbraw/zinc/29/69/83/887296983.db2.gz ANDFWNLQCMMARO-UHFFFAOYSA-N -1 1 309.761 1.440 20 0 DDADMM C[C@@H](Oc1cccc(F)c1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363816251 887299709 /nfs/dbraw/zinc/29/97/09/887299709.db2.gz CWAUXPUQWYDRGS-SECBINFHSA-N -1 1 305.313 1.302 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](C)n2cccc2)n[n-]1 ZINC001363890287 887480242 /nfs/dbraw/zinc/48/02/42/887480242.db2.gz XUBCHOFAWINZHY-ZJUUUORDSA-N -1 1 305.338 1.221 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](C)n2cccc2)[n-]1 ZINC001363890287 887480253 /nfs/dbraw/zinc/48/02/53/887480253.db2.gz XUBCHOFAWINZHY-ZJUUUORDSA-N -1 1 305.338 1.221 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](C)n2cccc2)n1 ZINC001363890287 887480264 /nfs/dbraw/zinc/48/02/64/887480264.db2.gz XUBCHOFAWINZHY-ZJUUUORDSA-N -1 1 305.338 1.221 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-][C@H](C)c1nc(C)no1 ZINC001363939663 887579670 /nfs/dbraw/zinc/57/96/70/887579670.db2.gz XENTUWRLAGMTBV-PSASIEDQSA-N -1 1 319.383 1.090 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)c2ncc(C)s2)sn1 ZINC001363955598 887607364 /nfs/dbraw/zinc/60/73/64/887607364.db2.gz QJLPJWWDEMUATD-SSDOTTSWSA-N -1 1 319.433 1.956 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)c2noc(C)n2)c1 ZINC001363965394 887628558 /nfs/dbraw/zinc/62/85/58/887628558.db2.gz DVZKUBDPENRXGN-ZETCQYMHSA-N -1 1 313.335 1.132 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H]2C(C)C)[n-]n1 ZINC001363969742 887637783 /nfs/dbraw/zinc/63/77/83/887637783.db2.gz VIUZNWZYLXKHQP-JTQLQIEISA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H]2C(C)C)n[n-]1 ZINC001363969742 887637787 /nfs/dbraw/zinc/63/77/87/887637787.db2.gz VIUZNWZYLXKHQP-JTQLQIEISA-N -1 1 301.368 1.005 20 0 DDADMM COC[C@@H](NC(=O)c1cc(C)n(C(C)C)c1C)c1nn[n-]n1 ZINC001364032214 887760147 /nfs/dbraw/zinc/76/01/47/887760147.db2.gz RQMOWIFDDRWBSL-GFCCVEGCSA-N -1 1 306.370 1.316 20 0 DDADMM CN1C(=O)C[C@H](C(=O)Nc2c[n-][nH]c2=O)[C@H]1c1ccc(F)cc1 ZINC001364095633 887906549 /nfs/dbraw/zinc/90/65/49/887906549.db2.gz UEXOBEQBARIEAD-GXFFZTMASA-N -1 1 318.308 1.413 20 0 DDADMM COc1ccccc1OC[C@H](C)Oc1c(C(N)=O)nc[n-]c1=O ZINC001234472176 888003591 /nfs/dbraw/zinc/00/35/91/888003591.db2.gz LZMZXEPIDUDWKC-VIFPVBQESA-N -1 1 319.317 1.136 20 0 DDADMM COC(C)(C)C[C@H](C)Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O ZINC001234565209 888105918 /nfs/dbraw/zinc/10/59/18/888105918.db2.gz MEGQJHKRGPHVPW-VIFPVBQESA-N -1 1 311.382 1.987 20 0 DDADMM CCOCC(COCC)Oc1[n-]c(=O)c(F)cc1C(=O)OC ZINC001234611140 888140280 /nfs/dbraw/zinc/14/02/80/888140280.db2.gz ZCUORBKBYPDGLR-UHFFFAOYSA-N -1 1 317.313 1.533 20 0 DDADMM CCn1ncc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)c1C ZINC001364235264 888191712 /nfs/dbraw/zinc/19/17/12/888191712.db2.gz RFFAUKWAFVFXSU-UHFFFAOYSA-N -1 1 319.365 1.381 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)O1)c1ccc(F)c([O-])c1 ZINC001364367777 888470316 /nfs/dbraw/zinc/47/03/16/888470316.db2.gz UDRQJZXKXSUNJV-LBPRGKRZSA-N -1 1 309.337 1.989 20 0 DDADMM CCC(CC)CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385143742 888560741 /nfs/dbraw/zinc/56/07/41/888560741.db2.gz SSXRPVCWKKHIKA-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cc(Cl)no2)[n-]c1=O ZINC001364417959 888592325 /nfs/dbraw/zinc/59/23/25/888592325.db2.gz WEWJKHDSBMVNOD-ZETCQYMHSA-N -1 1 324.724 1.810 20 0 DDADMM CC(C)(C)c1nc(C(=O)N2CCC(c3nn[n-]n3)CC2)co1 ZINC001364528095 888854706 /nfs/dbraw/zinc/85/47/06/888854706.db2.gz HFGGUVIWMIZAGF-UHFFFAOYSA-N -1 1 304.354 1.505 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)c1cc(C2CC2)no1 ZINC001364548363 888903619 /nfs/dbraw/zinc/90/36/19/888903619.db2.gz IEBOULDILNIMPX-UHFFFAOYSA-N -1 1 316.317 1.050 20 0 DDADMM Cc1cc(NC(=O)CNC(=O)c2ncccc2[O-])cnc1C ZINC001364607670 889023635 /nfs/dbraw/zinc/02/36/35/889023635.db2.gz BBDOEAZRDQVWMU-UHFFFAOYSA-N -1 1 300.318 1.168 20 0 DDADMM C[C@@H]1CCCc2ncc(C(=O)NC3(c4nn[n-]n4)CCC3)n21 ZINC001364663289 889149317 /nfs/dbraw/zinc/14/93/17/889149317.db2.gz NLEXJTCIRVHTSS-SECBINFHSA-N -1 1 301.354 1.103 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@@H]1CCCS1 ZINC001364767749 889370949 /nfs/dbraw/zinc/37/09/49/889370949.db2.gz HVJMVHGZDLQKMI-UWVGGRQHSA-N -1 1 324.406 1.541 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@@H]1CCCS1 ZINC001364767749 889370960 /nfs/dbraw/zinc/37/09/60/889370960.db2.gz HVJMVHGZDLQKMI-UWVGGRQHSA-N -1 1 324.406 1.541 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@@H]1CCCS1 ZINC001364767749 889370968 /nfs/dbraw/zinc/37/09/68/889370968.db2.gz HVJMVHGZDLQKMI-UWVGGRQHSA-N -1 1 324.406 1.541 20 0 DDADMM Cc1ccc(N2CC[C@@H]([N@@H+]3CC[C@@H](c4nn[nH]n4)C3)C2=O)cc1 ZINC001364784456 889409140 /nfs/dbraw/zinc/40/91/40/889409140.db2.gz HMQIZQVTGVJUMY-TZMCWYRMSA-N -1 1 312.377 1.103 20 0 DDADMM Cc1ccc(N2CC[C@@H]([N@H+]3CC[C@@H](c4nn[nH]n4)C3)C2=O)cc1 ZINC001364784456 889409150 /nfs/dbraw/zinc/40/91/50/889409150.db2.gz HMQIZQVTGVJUMY-TZMCWYRMSA-N -1 1 312.377 1.103 20 0 DDADMM COC[C@H](C[N-]S(=O)(=O)c1csnc1OC)C(C)C ZINC001364943209 889723459 /nfs/dbraw/zinc/72/34/59/889723459.db2.gz NZAYKQZEHHIGBN-VIFPVBQESA-N -1 1 308.425 1.349 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2ccnc(OC)c2F)n1 ZINC001364983429 889817634 /nfs/dbraw/zinc/81/76/34/889817634.db2.gz AKUOGZIIVLAGOU-MRVPVSSYSA-N -1 1 323.328 1.375 20 0 DDADMM O=C(N[C@@H]1CC[C@@H](O)[C@@H](O)C1)c1ccc2ccccc2c1[O-] ZINC001365020265 889909831 /nfs/dbraw/zinc/90/98/31/889909831.db2.gz BRYSRTYJVSAXPT-DFBGVHRSSA-N -1 1 301.342 1.550 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1csnc1OC)c1ccncc1 ZINC001365127840 890160304 /nfs/dbraw/zinc/16/03/04/890160304.db2.gz BWBSBSOOBDFTOP-JTQLQIEISA-N -1 1 313.404 1.976 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1sc(C)nc1C)c1noc(C)n1 ZINC001365130129 890164535 /nfs/dbraw/zinc/16/45/35/890164535.db2.gz BLUFOFNQMJLOFE-VIFPVBQESA-N -1 1 316.408 1.881 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1CCC[C@H]2OCC[C@H]21 ZINC001365132198 890169416 /nfs/dbraw/zinc/16/94/16/890169416.db2.gz LAEDKIQYMVACHP-IVZWLZJFSA-N -1 1 318.420 1.388 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCC[C@H]3OCC[C@H]32)sn1 ZINC001365135846 890175451 /nfs/dbraw/zinc/17/54/51/890175451.db2.gz YNXBPNMLAFTCGI-IVZWLZJFSA-N -1 1 318.420 1.388 20 0 DDADMM Nc1ncc(Br)cc1CNC(=O)c1ncccc1[O-] ZINC001365193472 890308691 /nfs/dbraw/zinc/30/86/91/890308691.db2.gz HHPWFGZDCAGYTR-UHFFFAOYSA-N -1 1 323.150 1.457 20 0 DDADMM Cc1[nH][n-]c(=O)c1Sc1nnc(Cc2ccccc2F)o1 ZINC001365251443 890436771 /nfs/dbraw/zinc/43/67/71/890436771.db2.gz GZHWZRXIBLMEKC-NSHDSACASA-N -1 1 306.322 1.766 20 0 DDADMM Cc1cc(CN2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)nn1C ZINC001365309550 890560283 /nfs/dbraw/zinc/56/02/83/890560283.db2.gz BDDYXOONGYWRTH-JQWIXIFHSA-N -1 1 318.343 1.760 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC12CCC2 ZINC001386245623 890635950 /nfs/dbraw/zinc/63/59/50/890635950.db2.gz XGKOSRYUIFFRKA-WDEREUQCSA-N -1 1 303.362 1.212 20 0 DDADMM c1cc(-c2ccc(-c3nn[n-]n3)nc2)cnc1CN1CCOCC1 ZINC001239590247 890685166 /nfs/dbraw/zinc/68/51/66/890685166.db2.gz KFNRNKZDKKRVSX-UHFFFAOYSA-N -1 1 323.360 1.156 20 0 DDADMM CNC(=O)c1ccc(-c2ccc3nc(C(=O)OC)[n-]c3c2)cn1 ZINC001239852040 890761677 /nfs/dbraw/zinc/76/16/77/890761677.db2.gz WBPWUVFXUGVXCD-UHFFFAOYSA-N -1 1 310.313 1.771 20 0 DDADMM CNC(=O)c1ccc(-c2ccc3[n-]c(C(=O)OC)nc3c2)cn1 ZINC001239852040 890761690 /nfs/dbraw/zinc/76/16/90/890761690.db2.gz WBPWUVFXUGVXCD-UHFFFAOYSA-N -1 1 310.313 1.771 20 0 DDADMM CSCC[C@H](NC(=O)Nc1ccc(C)cn1)c1nn[n-]n1 ZINC001365497156 890917824 /nfs/dbraw/zinc/91/78/24/890917824.db2.gz WVYHORXDXQFBDV-VIFPVBQESA-N -1 1 307.383 1.519 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnc(OC)c(OC)c3)nc2[n-]1 ZINC001240622554 890996018 /nfs/dbraw/zinc/99/60/18/890996018.db2.gz WTSMHYDNDIWBSK-UHFFFAOYSA-N -1 1 314.301 1.824 20 0 DDADMM O=C1CN(Cc2ccnc(-c3c(F)ccc([O-])c3F)c2)CCN1 ZINC001241291783 891141887 /nfs/dbraw/zinc/14/18/87/891141887.db2.gz WXIVZAZQRNKTSZ-UHFFFAOYSA-N -1 1 319.311 1.664 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]Cc2ccnc(C)n2)c(F)c1 ZINC001365635338 891221129 /nfs/dbraw/zinc/22/11/29/891221129.db2.gz MTTHBSAPZPATOL-UHFFFAOYSA-N -1 1 313.329 1.850 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1C[C@H](OC)C1(C)C ZINC001365679594 891303809 /nfs/dbraw/zinc/30/38/09/891303809.db2.gz HMYOCMAHUBJJAH-IUCAKERBSA-N -1 1 306.409 1.244 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-][C@@H]1C[C@@H]2CC[C@H]1O2 ZINC001365752483 891461885 /nfs/dbraw/zinc/46/18/85/891461885.db2.gz SGNOYLBPNXCJBP-JMJZKYOTSA-N -1 1 319.329 1.572 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)c2nccn21 ZINC001365784263 891545585 /nfs/dbraw/zinc/54/55/85/891545585.db2.gz FLGITQRHXSFFDQ-SKDRFNHKSA-N -1 1 311.349 1.366 20 0 DDADMM Cc1ccc(CC(=O)N[C@@H](C)CNC(=O)c2ncccc2[O-])o1 ZINC001386740502 891606250 /nfs/dbraw/zinc/60/62/50/891606250.db2.gz IZNLOGTYOULNRR-JTQLQIEISA-N -1 1 317.345 1.166 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1-c1ccnc(N2CCN(C)CC2)n1 ZINC001243202958 891620623 /nfs/dbraw/zinc/62/06/23/891620623.db2.gz KZVSYDIVYXCCCC-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM COc1ncc(-c2cc(C)ncc2F)cc1[N-]S(C)(=O)=O ZINC001244792674 891928315 /nfs/dbraw/zinc/92/83/15/891928315.db2.gz ANTIQTWNGBHNGN-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM Cc1cc2c(c(-c3cccc4c3CN(C)C4=O)n1)C(=O)[N-]C2=O ZINC001245626629 892200220 /nfs/dbraw/zinc/20/02/20/892200220.db2.gz XYIRZUPXJVIVGK-UHFFFAOYSA-N -1 1 307.309 1.526 20 0 DDADMM CCOc1ccc(-c2noc(Cc3nnn[n-]3)n2)cc1Cl ZINC001247139643 893121463 /nfs/dbraw/zinc/12/14/63/893121463.db2.gz LVBJMSNAPZHYLY-UHFFFAOYSA-N -1 1 306.713 1.893 20 0 DDADMM CCOc1ccc(-c2noc(Cc3nn[n-]n3)n2)cc1Cl ZINC001247139643 893121468 /nfs/dbraw/zinc/12/14/68/893121468.db2.gz LVBJMSNAPZHYLY-UHFFFAOYSA-N -1 1 306.713 1.893 20 0 DDADMM Cc1c(Nc2cc([O-])c(F)c(F)c2F)c(C(N)=O)nn1C ZINC001249960948 894175698 /nfs/dbraw/zinc/17/56/98/894175698.db2.gz XUZMKBXSZIDVIS-UHFFFAOYSA-N -1 1 300.240 1.694 20 0 DDADMM CCCC(=O)N(C)CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001387951348 894214563 /nfs/dbraw/zinc/21/45/63/894214563.db2.gz CWHQBKVYQPHAMM-UHFFFAOYSA-N -1 1 322.409 1.602 20 0 DDADMM COc1ccc(-c2ccccn2)nc1[N-]S(=O)(=O)N(C)C ZINC001251049548 894609513 /nfs/dbraw/zinc/60/95/13/894609513.db2.gz TZWYBIRVGCZJPQ-UHFFFAOYSA-N -1 1 308.363 1.371 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H]2C=C[C@H](CO)C2)c(=O)[n-]1 ZINC001253181524 895691657 /nfs/dbraw/zinc/69/16/57/895691657.db2.gz BIJFRCKUEZTZCN-WDEREUQCSA-N -1 1 323.418 1.198 20 0 DDADMM CC[C@@H](CNC(=O)CCC1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001367136433 895973022 /nfs/dbraw/zinc/97/30/22/895973022.db2.gz HULCWULVEZXJDT-ZDUSSCGKSA-N -1 1 323.441 1.855 20 0 DDADMM CC(C)[C@@H](F)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367159415 896031523 /nfs/dbraw/zinc/03/15/23/896031523.db2.gz RLQJYLLUCSXEER-LLVKDONJSA-N -1 1 313.377 1.023 20 0 DDADMM O=C(NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1)C1CCCC1 ZINC001367206507 896137320 /nfs/dbraw/zinc/13/73/20/896137320.db2.gz ZPNKLMZMBPBVOA-UHFFFAOYSA-N -1 1 321.425 1.419 20 0 DDADMM C[C@H](CNC(=O)C1(F)CCCC1)NC(=O)c1ncccc1[O-] ZINC001389169317 896598553 /nfs/dbraw/zinc/59/85/53/896598553.db2.gz HBKRLKUGXAQPPP-SNVBAGLBSA-N -1 1 309.341 1.304 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC001258948822 898374940 /nfs/dbraw/zinc/37/49/40/898374940.db2.gz UUENBJWPSDPXPA-UHFFFAOYSA-N -1 1 305.302 1.339 20 0 DDADMM O=S(=O)([N-][C@H]1CN2CCC1CC2)c1ccc(F)c(F)c1F ZINC001259080109 898455102 /nfs/dbraw/zinc/45/51/02/898455102.db2.gz CENHDYZCWGPRKC-JTQLQIEISA-N -1 1 320.336 1.476 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCCN1 ZINC001259083058 898458107 /nfs/dbraw/zinc/45/81/07/898458107.db2.gz CRETXEKWYXWNBT-ZETCQYMHSA-N -1 1 322.308 1.051 20 0 DDADMM CC(=O)c1nn(C)cc1[N-]S(=O)(=O)Cc1ccc(C)cc1 ZINC001259259406 898554475 /nfs/dbraw/zinc/55/44/75/898554475.db2.gz KLLFZOQRIKRTNJ-UHFFFAOYSA-N -1 1 307.375 1.873 20 0 DDADMM O=S(=O)([N-]c1cncc(O)c1)c1ccc(-n2cccn2)cc1 ZINC001259362833 898631621 /nfs/dbraw/zinc/63/16/21/898631621.db2.gz NYVZMDOBKDYGRB-UHFFFAOYSA-N -1 1 316.342 1.774 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2ccc3ncsc3c2)o1 ZINC001259400132 898651087 /nfs/dbraw/zinc/65/10/87/898651087.db2.gz OPKQXZDQEFIPID-UHFFFAOYSA-N -1 1 310.360 1.466 20 0 DDADMM O=S(=O)([N-]c1ccnc(N2CCOCC2)c1)c1cccnc1 ZINC001259602741 898729797 /nfs/dbraw/zinc/72/97/97/898729797.db2.gz SLKYQWDAIUJIHN-UHFFFAOYSA-N -1 1 320.374 1.114 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCC[C@H]1[N-]S(=O)(=O)C(F)F ZINC001259961480 898984005 /nfs/dbraw/zinc/98/40/05/898984005.db2.gz KDIBKSNNYUBQIM-JGVFFNPUSA-N -1 1 314.354 1.574 20 0 DDADMM CCS(=O)(=O)c1ccc(C[N-]S(=O)(=O)C(F)F)cc1 ZINC001259961668 898984166 /nfs/dbraw/zinc/98/41/66/898984166.db2.gz PLBUJMCRFFWBEE-UHFFFAOYSA-N -1 1 313.347 1.122 20 0 DDADMM O=C1CC(C[N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)C1 ZINC001260206478 899087491 /nfs/dbraw/zinc/08/74/91/899087491.db2.gz PZRQQPOXFOMXGD-UHFFFAOYSA-N -1 1 309.721 1.876 20 0 DDADMM CC(=O)Nc1cccc(S(=O)(=O)[N-]c2cnccc2F)c1 ZINC001260804762 899270281 /nfs/dbraw/zinc/27/02/81/899270281.db2.gz XTHIJKVKKBSGRM-UHFFFAOYSA-N -1 1 309.322 1.980 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-]c1cnc(C)nc1Cl ZINC001261023651 899323339 /nfs/dbraw/zinc/32/33/39/899323339.db2.gz QPNKHIMLYSORTF-UHFFFAOYSA-N -1 1 301.759 1.579 20 0 DDADMM COC(=O)c1sc(Cl)cc1S(=O)(=O)[N-][C@@H]1C[C@H]1F ZINC001261062116 899329281 /nfs/dbraw/zinc/32/92/81/899329281.db2.gz BWYTXHVNGSKPDV-RFZPGFLSSA-N -1 1 313.759 1.577 20 0 DDADMM O=C(CCC1CCC1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396745211 914370517 /nfs/dbraw/zinc/37/05/17/914370517.db2.gz WUKVDPBPJIEGHL-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1csc(CN(C)CCNC(=O)c2ncccc2[O-])n1 ZINC001390752437 900100096 /nfs/dbraw/zinc/10/00/96/900100096.db2.gz WGNSBZVZJFPZBB-UHFFFAOYSA-N -1 1 306.391 1.414 20 0 DDADMM CN(CCCF)c1nnc(-c2nnn[n-]2)n1Cc1ccccc1 ZINC001263164103 900520516 /nfs/dbraw/zinc/52/05/16/900520516.db2.gz KUWDGPUCEURZEV-UHFFFAOYSA-N -1 1 316.344 1.302 20 0 DDADMM CN(CCCF)c1nnc(-c2nn[n-]n2)n1Cc1ccccc1 ZINC001263164103 900520525 /nfs/dbraw/zinc/52/05/25/900520525.db2.gz KUWDGPUCEURZEV-UHFFFAOYSA-N -1 1 316.344 1.302 20 0 DDADMM CCOCC(=O)NC[C@@H](CC(C)C)NC(=O)c1ncccc1[O-] ZINC001391397114 901661587 /nfs/dbraw/zinc/66/15/87/901661587.db2.gz WMJKNOLOXIOFGW-GFCCVEGCSA-N -1 1 323.393 1.084 20 0 DDADMM C[C@H](NC(=O)c1cccs1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369692633 901782379 /nfs/dbraw/zinc/78/23/79/901782379.db2.gz VTJSEEWSYOJNAH-UWVGGRQHSA-N -1 1 319.386 1.786 20 0 DDADMM C[C@@H](CNC(=O)c1ccccc1)N(C)C(=O)c1ncccc1[O-] ZINC001369765120 901893893 /nfs/dbraw/zinc/89/38/93/901893893.db2.gz BXMMLISJTKMGLB-LBPRGKRZSA-N -1 1 313.357 1.678 20 0 DDADMM CC(C)[C@H](CNC(=O)C1=CCCC1)NC(=O)c1ncccc1[O-] ZINC001391570838 902034165 /nfs/dbraw/zinc/03/41/65/902034165.db2.gz XMRTZDCSSQBDTC-ZDUSSCGKSA-N -1 1 317.389 1.768 20 0 DDADMM CC[C@H](C)[C@@H](C)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001391769540 902564214 /nfs/dbraw/zinc/56/42/14/902564214.db2.gz KZXRZORLZFULFT-NWDGAFQWSA-N -1 1 323.441 1.711 20 0 DDADMM CCC[C@@H](C)C(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001370288043 902863172 /nfs/dbraw/zinc/86/31/72/902863172.db2.gz GJVHBTGYCRSYQM-CHWSQXEVSA-N -1 1 323.441 1.617 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CC2CCC1CC2 ZINC001392136705 903366026 /nfs/dbraw/zinc/36/60/26/903366026.db2.gz GAQXOKLZJLWGES-WTIISPKJSA-N -1 1 321.425 1.273 20 0 DDADMM CCCCC(=O)NC[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001370938538 904021220 /nfs/dbraw/zinc/02/12/20/904021220.db2.gz DSMSNCGMEOCCJT-CHWSQXEVSA-N -1 1 319.405 1.992 20 0 DDADMM CCC(CC)C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001371170946 904406435 /nfs/dbraw/zinc/40/64/35/904406435.db2.gz LUOLENUZRWSKDC-UHFFFAOYSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@H](CC(=O)NCCNC(=O)c1ncccc1[O-])n1cccc1 ZINC001282982375 906396009 /nfs/dbraw/zinc/39/60/09/906396009.db2.gz UZPGQACKWUONRZ-GFCCVEGCSA-N -1 1 316.361 1.086 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)NCCNC(=O)c2ncccc2[O-])CC1 ZINC001282982301 906396718 /nfs/dbraw/zinc/39/67/18/906396718.db2.gz SJLMXJIQZKMGSI-JOCQHMNTSA-N -1 1 319.405 1.850 20 0 DDADMM CC[C@@H](F)C(=O)NCC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001372150588 906583797 /nfs/dbraw/zinc/58/37/97/906583797.db2.gz LUEJISWCOUBNOF-GFCCVEGCSA-N -1 1 323.368 1.504 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1(C)CCCCC1 ZINC001393964304 908397310 /nfs/dbraw/zinc/39/73/10/908397310.db2.gz CDFWGDSWZKIFLW-QWRGUYRKSA-N -1 1 309.414 1.464 20 0 DDADMM CC/C(C)=C\C(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001285654249 910978607 /nfs/dbraw/zinc/97/86/07/910978607.db2.gz DPVKKMACXZRUHE-MMRAYRKESA-N -1 1 305.378 1.720 20 0 DDADMM CCN(CCNC(=O)Cc1ccco1)C(=O)c1ncccc1[O-] ZINC001373926424 911376275 /nfs/dbraw/zinc/37/62/75/911376275.db2.gz KVCSKIGNIZXLHX-UHFFFAOYSA-N -1 1 317.345 1.201 20 0 DDADMM Cc1nc(CNC[C@@H](C)CNC(=O)c2[nH]nc(C)c2[O-])c(C)o1 ZINC001374008345 911624540 /nfs/dbraw/zinc/62/45/40/911624540.db2.gz OWBMPMIKMXDBHH-MRVPVSSYSA-N -1 1 321.381 1.184 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@@H](C)C1CC1 ZINC001377043183 920171857 /nfs/dbraw/zinc/17/18/57/920171857.db2.gz DYRFXFMVHYCCRH-GHMZBOCLSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCC4(CC4)C3)nc2n1 ZINC000622870023 365550222 /nfs/dbraw/zinc/55/02/22/365550222.db2.gz PCBLLBSOFVLBPP-SNVBAGLBSA-N -1 1 301.350 1.635 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCC[C@@H]3C3CC3)nc2n1 ZINC000622870230 365550801 /nfs/dbraw/zinc/55/08/01/365550801.db2.gz VFGRBNQOBRHADN-NEPJUHHUSA-N -1 1 315.377 1.881 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CCC[C@H]3C)nc2n1 ZINC000622994154 365586411 /nfs/dbraw/zinc/58/64/11/365586411.db2.gz GABFAZXGQWDTSC-NXEZZACHSA-N -1 1 303.366 1.745 20 0 DDADMM Cc1cc([N-]S(=O)(=O)C[C@@H]2CCCCO2)ccc1C(N)=O ZINC000076937333 185058656 /nfs/dbraw/zinc/05/86/56/185058656.db2.gz SSLVDNQQBAFGEI-LBPRGKRZSA-N -1 1 312.391 1.405 20 0 DDADMM CCCn1nccc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474785 361875956 /nfs/dbraw/zinc/87/59/56/361875956.db2.gz DPHRCQAVFLEIAV-UHFFFAOYSA-N -1 1 305.338 1.402 20 0 DDADMM O=c1cc(C2CC2)nc(SC[C@@H]2CCS(=O)(=O)C2)[n-]1 ZINC000278670697 214314805 /nfs/dbraw/zinc/31/48/05/214314805.db2.gz OANADLQZWQOLOZ-QMMMGPOBSA-N -1 1 300.405 1.586 20 0 DDADMM CC[C@H](C[C@H](C)CO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000443307065 529423014 /nfs/dbraw/zinc/42/30/14/529423014.db2.gz HBGXWMHBFUNHJA-GZMMTYOYSA-N -1 1 306.453 1.835 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000328692506 231310856 /nfs/dbraw/zinc/31/08/56/231310856.db2.gz CFXBKVGMEMAUSU-MWLCHTKSSA-N -1 1 306.391 1.330 20 0 DDADMM Cc1cc(F)c(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)cc1F ZINC000136521950 539195231 /nfs/dbraw/zinc/19/52/31/539195231.db2.gz MWODSCCFMABAAK-UHFFFAOYSA-N -1 1 316.289 1.047 20 0 DDADMM Cc1cc(F)c(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cc1F ZINC000136521950 539195233 /nfs/dbraw/zinc/19/52/33/539195233.db2.gz MWODSCCFMABAAK-UHFFFAOYSA-N -1 1 316.289 1.047 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@H]2COCC[C@@H]21 ZINC000328873540 231373451 /nfs/dbraw/zinc/37/34/51/231373451.db2.gz RDUCOJAISKUJNX-GWCFXTLKSA-N -1 1 307.419 1.780 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N[C@@H](C(C)=O)C(C)C)n1 ZINC000436207087 529566765 /nfs/dbraw/zinc/56/67/65/529566765.db2.gz HUBCKTZAAVKKQE-CYBMUJFWSA-N -1 1 311.407 1.567 20 0 DDADMM O=C(CNC(=O)c1ccc([O-])cc1F)N1CCc2ccccc21 ZINC000154849930 290680430 /nfs/dbraw/zinc/68/04/30/290680430.db2.gz SMVRQHPUHIBRJR-UHFFFAOYSA-N -1 1 314.316 1.850 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CN(C)C(=O)c2ccccc21 ZINC000615219789 362201431 /nfs/dbraw/zinc/20/14/31/362201431.db2.gz BXKAJMVMMPWTBL-NSHDSACASA-N -1 1 300.318 1.232 20 0 DDADMM O=C(NC[C@@H]1CCCN(CC(F)(F)F)C1)c1cncc([O-])c1 ZINC000615335690 362255198 /nfs/dbraw/zinc/25/51/98/362255198.db2.gz CCTFRKHPERFEOG-JTQLQIEISA-N -1 1 317.311 1.791 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CO[C@@H]2CCC[C@@H](C)C2)n[n-]1 ZINC000615918489 362485701 /nfs/dbraw/zinc/48/57/01/362485701.db2.gz TZVKGSMHRBOIOR-GHMZBOCLSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CO[C@@H]2CCC[C@@H](C)C2)n1 ZINC000615918489 362485707 /nfs/dbraw/zinc/48/57/07/362485707.db2.gz TZVKGSMHRBOIOR-GHMZBOCLSA-N -1 1 324.381 1.193 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C)C(=O)Nc2cccnc2C)c([O-])c1 ZINC000457246560 232021887 /nfs/dbraw/zinc/02/18/87/232021887.db2.gz WAPNPVZEJCRVAW-NSHDSACASA-N -1 1 314.345 1.556 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCC[C@@H]1CCCC[C@@H]1O ZINC000330871650 232126268 /nfs/dbraw/zinc/12/62/68/232126268.db2.gz CCGVXSIIVNCHTJ-QWRGUYRKSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCC[C@@H]1CCCC[C@@H]1O ZINC000330871650 232126270 /nfs/dbraw/zinc/12/62/70/232126270.db2.gz CCGVXSIIVNCHTJ-QWRGUYRKSA-N -1 1 317.411 1.005 20 0 DDADMM CC[C@@H]1CC[C@@H](C(=O)N=c2[nH][n-]c(C)c2Br)O1 ZINC000616007530 362517386 /nfs/dbraw/zinc/51/73/86/362517386.db2.gz SFKYOACHLRFOEN-SFYZADRCSA-N -1 1 302.172 1.799 20 0 DDADMM O=S(=O)([N-]c1c(F)cccc1CO)c1c[nH]c2ncccc12 ZINC000158900617 290749868 /nfs/dbraw/zinc/74/98/68/290749868.db2.gz LEHHSLZGZDADFP-UHFFFAOYSA-N -1 1 321.333 1.995 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)N(C)[C@H]1CCCc2ccccc21 ZINC000262646179 282399341 /nfs/dbraw/zinc/39/93/41/282399341.db2.gz KDYAWIKUWFJYAS-HNNXBMFYSA-N -1 1 304.390 1.929 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc([C@H]2CCCS2)no1 ZINC000357094058 539473806 /nfs/dbraw/zinc/47/38/06/539473806.db2.gz XZDJEQZMLTWCQD-SECBINFHSA-N -1 1 321.424 1.094 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C1(C)C ZINC000358340940 299125451 /nfs/dbraw/zinc/12/54/51/299125451.db2.gz QPLIPQXZCQUTRX-OXHMUOHRSA-N -1 1 318.377 1.463 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCC(=O)OC(C)(C)C)c2=O ZINC000358346091 299126982 /nfs/dbraw/zinc/12/69/82/299126982.db2.gz CLGNUHRTGBFEFV-UHFFFAOYSA-N -1 1 320.349 1.136 20 0 DDADMM CC(C)C[C@H](CNC(=O)[C@H]1Cc2ccccc2CN1)C(=O)[O-] ZINC000316500063 290811398 /nfs/dbraw/zinc/81/13/98/290811398.db2.gz VMBMMHCMCUIOPD-HUUCEWRRSA-N -1 1 304.390 1.564 20 0 DDADMM CC(C)(CO)[C@@H](NC(=O)c1cncc([O-])c1)c1cccnc1 ZINC000616838665 362840121 /nfs/dbraw/zinc/84/01/21/362840121.db2.gz MHBZHDDSIKYFPM-AWEZNQCLSA-N -1 1 301.346 1.672 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)C3(CO)CCOCC3)[nH][n-]2)s1 ZINC000616810909 362829578 /nfs/dbraw/zinc/82/95/78/362829578.db2.gz BIISAQGMIDFMBC-UHFFFAOYSA-N -1 1 321.402 1.596 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](c2nccn2C)C(C)(C)CO)c([O-])c1 ZINC000616865464 362851461 /nfs/dbraw/zinc/85/14/61/362851461.db2.gz UMFZBYMJYUVUME-ZDUSSCGKSA-N -1 1 318.377 1.319 20 0 DDADMM Cc1cnc(C(=O)N[C@H](c2nccn2C)C(C)(C)CO)c([O-])c1 ZINC000616865463 362852240 /nfs/dbraw/zinc/85/22/40/362852240.db2.gz UMFZBYMJYUVUME-CYBMUJFWSA-N -1 1 318.377 1.319 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1COc2cc(C)c(C)cc21)c1nn[n-]n1 ZINC000186046784 186235435 /nfs/dbraw/zinc/23/54/35/186235435.db2.gz GYVMOUQPZVCEIM-CHWSQXEVSA-N -1 1 315.377 1.950 20 0 DDADMM CS(=O)(=O)CC1(CCNC(=O)c2ccc([O-])c(F)c2)CC1 ZINC000617181021 362988252 /nfs/dbraw/zinc/98/82/52/362988252.db2.gz RREAOABVEYOZMX-UHFFFAOYSA-N -1 1 315.366 1.476 20 0 DDADMM CCCOc1ccccc1NC(C)=C1C(=O)[N-]C(=S)NC1=O ZINC000054502521 352693949 /nfs/dbraw/zinc/69/39/49/352693949.db2.gz JPPPSLXYEHLUCZ-UHFFFAOYSA-N -1 1 319.386 1.692 20 0 DDADMM CO[C@@H]1C[C@@H](c2nnc(C)[nH]2)N(C(=O)c2ncc(C)cc2[O-])C1 ZINC000331908787 234262660 /nfs/dbraw/zinc/26/26/60/234262660.db2.gz ZTIHKRWHOQHWNC-MNOVXSKESA-N -1 1 317.349 1.124 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1Cc1nc(N)c2ccccc2n1 ZINC000564966529 304031070 /nfs/dbraw/zinc/03/10/70/304031070.db2.gz WZUYLKVWFGLEBB-MNOVXSKESA-N -1 1 300.362 1.727 20 0 DDADMM C[C@@H]1C[C@H]1N1C[C@H](NC(=O)c2ccc(Cl)cc2[O-])CC1=O ZINC000075251132 353356120 /nfs/dbraw/zinc/35/61/20/353356120.db2.gz DTBMAZUHEBIKGO-HLUHBDAQSA-N -1 1 308.765 1.785 20 0 DDADMM Cc1ccc(-c2nc(CS(=O)(=O)c3ncn[n-]3)co2)cc1 ZINC000086169698 353738495 /nfs/dbraw/zinc/73/84/95/353738495.db2.gz BDTXDYADERDHNR-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM Cc1ccc(-c2nc(CS(=O)(=O)c3nc[n-]n3)co2)cc1 ZINC000086169698 353738498 /nfs/dbraw/zinc/73/84/98/353738498.db2.gz BDTXDYADERDHNR-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM O=C(CN1CCC(c2nnc[nH]2)CC1)[N-]OCc1ccccc1 ZINC000093188496 353888095 /nfs/dbraw/zinc/88/80/95/353888095.db2.gz SGEVQPHLNIMZTK-UHFFFAOYSA-N -1 1 315.377 1.232 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H]3CCCC[C@@H]32)co1 ZINC000125852780 354047826 /nfs/dbraw/zinc/04/78/26/354047826.db2.gz DIULYLCTODJOBR-JQWIXIFHSA-N -1 1 312.391 1.592 20 0 DDADMM C[C@H](NS(=O)(=O)c1c(F)cccc1[N+](=O)[O-])[C@H](C)C(=O)[O-] ZINC000314321584 354484759 /nfs/dbraw/zinc/48/47/59/354484759.db2.gz YRJJQBISJRAKAP-BQBZGAKWSA-N -1 1 320.298 1.121 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(OCc2ccccc2)cc1 ZINC000309890260 354475128 /nfs/dbraw/zinc/47/51/28/354475128.db2.gz BDCYZKKZXLFIPT-UHFFFAOYSA-N -1 1 309.329 1.709 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(C)c(O)c2)n1 ZINC000585682879 354827674 /nfs/dbraw/zinc/82/76/74/354827674.db2.gz VTNQMXOYUQPJME-UHFFFAOYSA-N -1 1 303.318 1.782 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C(C)(C)C ZINC000585737185 354834489 /nfs/dbraw/zinc/83/44/89/354834489.db2.gz LBGINAPKYNKVHC-SSDOTTSWSA-N -1 1 305.318 1.446 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1Cc2ccccc2[C@@H]1C ZINC000618056229 363443753 /nfs/dbraw/zinc/44/37/53/363443753.db2.gz AWXQCKXRRUULTM-GZMMTYOYSA-N -1 1 315.329 1.355 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000332475933 235011514 /nfs/dbraw/zinc/01/15/14/235011514.db2.gz UXKPFVCCXRLKFJ-NWDGAFQWSA-N -1 1 309.366 1.686 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CSCC(=O)c1ccccc1 ZINC000590565046 355114808 /nfs/dbraw/zinc/11/48/08/355114808.db2.gz KHCPUEIRNPRRQH-UHFFFAOYSA-N -1 1 305.359 1.978 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H](C)C1CC1 ZINC000591445264 355312901 /nfs/dbraw/zinc/31/29/01/355312901.db2.gz JDHPXEPZVHQIQI-VIFPVBQESA-N -1 1 302.378 1.757 20 0 DDADMM Cc1nc(=NCC2(C(=O)OC(C)(C)C)CCOCC2)s[n-]1 ZINC000591972083 355450226 /nfs/dbraw/zinc/45/02/26/355450226.db2.gz XPOMGMVKWBVXBM-UHFFFAOYSA-N -1 1 313.423 1.819 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CC[C@H](N=c2[n-]c(C(N)=O)cs2)C1 ZINC000592006263 355461400 /nfs/dbraw/zinc/46/14/00/355461400.db2.gz KTJPMOVVTBCGOS-BDAKNGLRSA-N -1 1 311.407 1.586 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1C[C@@H]1C1CCCC1 ZINC000593116512 355804413 /nfs/dbraw/zinc/80/44/13/355804413.db2.gz PJBNJDISCYDIAU-VXGBXAGGSA-N -1 1 305.378 1.784 20 0 DDADMM CCOC(=O)[C@@H](CC1(OC)CCC1)NC(=O)c1cncc([O-])c1 ZINC000593859300 356026393 /nfs/dbraw/zinc/02/63/93/356026393.db2.gz ZAIWGYCNTVRBED-CYBMUJFWSA-N -1 1 322.361 1.408 20 0 DDADMM Cc1nc(SCCn2nc3ccccn3c2=O)[n-]c(=O)c1C ZINC000346714290 283144043 /nfs/dbraw/zinc/14/40/43/283144043.db2.gz JARSFBWPOFZQFD-UHFFFAOYSA-N -1 1 317.374 1.401 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H](C)C(=O)OC(C)(C)C)[n-]n1 ZINC000594594783 356259112 /nfs/dbraw/zinc/25/91/12/356259112.db2.gz BVNOEWWIQKQPAH-SNVBAGLBSA-N -1 1 308.382 1.038 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cccc(C(N)=O)c1)c1ccco1 ZINC000080789667 192190831 /nfs/dbraw/zinc/19/08/31/192190831.db2.gz CDICNLCCUORZJL-LBPRGKRZSA-N -1 1 324.358 1.045 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cccc(C(N)=O)c1)c1ccco1 ZINC000080789673 192191053 /nfs/dbraw/zinc/19/10/53/192191053.db2.gz CDICNLCCUORZJL-GFCCVEGCSA-N -1 1 324.358 1.045 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCC[C@@H](C)O2)o1 ZINC000594852816 356338225 /nfs/dbraw/zinc/33/82/25/356338225.db2.gz TXMPELPLCMURMT-NXEZZACHSA-N -1 1 317.363 1.302 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2CCCS2)cc1C ZINC000595318211 356447544 /nfs/dbraw/zinc/44/75/44/356447544.db2.gz LXKFACGIMYGQQR-SECBINFHSA-N -1 1 319.404 1.549 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@]2(C)CCCOC2)cc1C ZINC000595320115 356448097 /nfs/dbraw/zinc/44/80/97/356448097.db2.gz CIKKSBNKCXCALW-ZDUSSCGKSA-N -1 1 317.363 1.222 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCOC(C)(C)C)C(C)(C)C ZINC000601348375 358510047 /nfs/dbraw/zinc/51/00/47/358510047.db2.gz XCBYPTYQZYSHJQ-SNVBAGLBSA-N -1 1 309.428 1.309 20 0 DDADMM CCCN(CC(=O)OC)C(=O)c1ncc2ccccc2c1[O-] ZINC000596884466 357006098 /nfs/dbraw/zinc/00/60/98/357006098.db2.gz QBQPWCXWQQTMCK-UHFFFAOYSA-N -1 1 302.330 1.966 20 0 DDADMM CCOC(=O)[C@@H](C)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000597352344 357144589 /nfs/dbraw/zinc/14/45/89/357144589.db2.gz DIKJIMMPKZOLBS-JTQLQIEISA-N -1 1 302.330 1.869 20 0 DDADMM COC(=O)CCc1nnc([N-]C(=O)c2ncccc2F)s1 ZINC000597271461 357116291 /nfs/dbraw/zinc/11/62/91/357116291.db2.gz QSKNIUGVCHMKQG-UHFFFAOYSA-N -1 1 310.310 1.430 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2ccc([O-])c(F)c2)CS1(=O)=O ZINC000618325904 363588591 /nfs/dbraw/zinc/58/85/91/363588591.db2.gz OKBHJYRROYXJAW-WPRPVWTQSA-N -1 1 301.339 1.227 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)[C@H]1COC(C)(C)C1)C(=O)OC ZINC000599334907 357899101 /nfs/dbraw/zinc/89/91/01/357899101.db2.gz KPVUREZERGJZPN-QJPTWQEYSA-N -1 1 321.439 1.451 20 0 DDADMM CC[C@H](CSC)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000180568736 199177027 /nfs/dbraw/zinc/17/70/27/199177027.db2.gz LFNYPEKYBUDXBC-LLVKDONJSA-N -1 1 322.434 1.440 20 0 DDADMM COC(=O)[C@@H](C[N-]S(=O)(=O)c1cc(C)ns1)CC(C)C ZINC000601357486 358514879 /nfs/dbraw/zinc/51/48/79/358514879.db2.gz SOGFEQGDIUCTLS-SNVBAGLBSA-N -1 1 320.436 1.565 20 0 DDADMM CCOC(=O)[C@H](C)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601372154 358520898 /nfs/dbraw/zinc/52/08/98/358520898.db2.gz YTHXWQAMXLYZHS-SSDOTTSWSA-N -1 1 311.306 1.695 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OCC ZINC000601394944 358533870 /nfs/dbraw/zinc/53/38/70/358533870.db2.gz ZGJGDGCUPDORHA-RWCYGVJQSA-N -1 1 318.420 1.628 20 0 DDADMM CCOC(=O)c1ccc(C[N@H+]2CC[C@](COC)(C(=O)[O-])C2)o1 ZINC000602062407 358796976 /nfs/dbraw/zinc/79/69/76/358796976.db2.gz BCMRLALESWXKBJ-HNNXBMFYSA-N -1 1 311.334 1.379 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCC(C)(C)F ZINC000618408090 363625374 /nfs/dbraw/zinc/62/53/74/363625374.db2.gz LHFSQGDJJGGBME-UHFFFAOYSA-N -1 1 308.357 1.849 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)C1CCSCC1 ZINC000602942077 359310418 /nfs/dbraw/zinc/31/04/18/359310418.db2.gz RANHMXUHMOHDEB-GFCCVEGCSA-N -1 1 303.391 1.549 20 0 DDADMM Cc1cccc(OCC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000618488657 363650773 /nfs/dbraw/zinc/65/07/73/363650773.db2.gz ZKKLDOKOJXTAAU-MRXNPFEDSA-N -1 1 323.356 1.793 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](C)C2CCCC2)n[n-]1 ZINC000603154344 359441567 /nfs/dbraw/zinc/44/15/67/359441567.db2.gz LMFLEUDLXVTEFH-NXEZZACHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](C)C2CCCC2)[n-]1 ZINC000603154344 359441571 /nfs/dbraw/zinc/44/15/71/359441571.db2.gz LMFLEUDLXVTEFH-NXEZZACHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](C)C2CCCC2)n1 ZINC000603154344 359441575 /nfs/dbraw/zinc/44/15/75/359441575.db2.gz LMFLEUDLXVTEFH-NXEZZACHSA-N -1 1 308.382 1.985 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)c2cncs2)co1 ZINC000348270514 283442772 /nfs/dbraw/zinc/44/27/72/283442772.db2.gz GCQODRPZWXRGLE-ZETCQYMHSA-N -1 1 315.376 1.135 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2ccc(C(=O)OC)s2)n1 ZINC000358961183 299292726 /nfs/dbraw/zinc/29/27/26/299292726.db2.gz UQSIYTPTPSDVJY-UHFFFAOYSA-N -1 1 316.364 1.016 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cccc2c1ccnc2OC ZINC000603418048 359627281 /nfs/dbraw/zinc/62/72/81/359627281.db2.gz OHXVUGNKGYXRNP-UHFFFAOYSA-N -1 1 324.358 1.548 20 0 DDADMM O=C(NC1CC1)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000604419818 359749743 /nfs/dbraw/zinc/74/97/43/359749743.db2.gz LINOXPBEXYZXLV-UHFFFAOYSA-N -1 1 316.357 1.092 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C[C@@H]1O ZINC000188118081 200196467 /nfs/dbraw/zinc/19/64/67/200196467.db2.gz FARHKZAQMQNVDD-MNOVXSKESA-N -1 1 309.309 1.542 20 0 DDADMM O=C(NCc1nn[n-]n1)c1csc(Cc2ccccc2F)n1 ZINC000605129006 359833027 /nfs/dbraw/zinc/83/30/27/359833027.db2.gz WUUDVQSZKYNMGH-UHFFFAOYSA-N -1 1 318.337 1.316 20 0 DDADMM CCOC(C)(C)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000188381876 200237802 /nfs/dbraw/zinc/23/78/02/200237802.db2.gz JALGEXAFWOWZHS-UHFFFAOYSA-N -1 1 309.410 1.921 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605381580 359847930 /nfs/dbraw/zinc/84/79/30/359847930.db2.gz BKMNBMUBTXNOQN-NSHDSACASA-N -1 1 301.350 1.553 20 0 DDADMM C[C@@H](CC(=O)NC1(c2nn[n-]n2)CCCC1)c1cccnc1 ZINC000605533042 359867385 /nfs/dbraw/zinc/86/73/85/359867385.db2.gz ZGQZGODOWOOJLF-NSHDSACASA-N -1 1 300.366 1.674 20 0 DDADMM CC(C)CC(=O)Nc1ccc(C(=O)NCc2nn[n-]n2)cc1F ZINC000607905668 360064963 /nfs/dbraw/zinc/06/49/63/360064963.db2.gz DHRRIXUJMYOJAJ-UHFFFAOYSA-N -1 1 320.328 1.253 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)C(C)(C)C ZINC000610930620 360573851 /nfs/dbraw/zinc/57/38/51/360573851.db2.gz RDDXBEXNPCQBJW-SECBINFHSA-N -1 1 320.436 1.626 20 0 DDADMM CC(C)[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C(C)(C)O ZINC000359078777 299322054 /nfs/dbraw/zinc/32/20/54/299322054.db2.gz LCVBDIKYYWIDGJ-LBPRGKRZSA-N -1 1 303.362 1.448 20 0 DDADMM C[C@H]1SCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)[C@H]1C ZINC000132737959 291258632 /nfs/dbraw/zinc/25/86/32/291258632.db2.gz XGEZOLLRLBMAHS-DTWKUNHWSA-N -1 1 306.391 1.640 20 0 DDADMM CNC(=O)[C@H]1CCC[C@@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000613150374 361293809 /nfs/dbraw/zinc/29/38/09/361293809.db2.gz AZXRVFKCKKIQTR-STQMWFEESA-N -1 1 313.357 1.585 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]C[C@](C)(O)C(F)(F)F)C1CC1 ZINC000625092808 366707917 /nfs/dbraw/zinc/70/79/17/366707917.db2.gz HOLGIFTZWDGGSL-UWVGGRQHSA-N -1 1 319.345 1.034 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C2CCC3(CC3)CC2)c1 ZINC000613209561 361325163 /nfs/dbraw/zinc/32/51/63/361325163.db2.gz ZRLMIMGRCKKVRD-UHFFFAOYSA-N -1 1 324.402 1.949 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cc2c(cc1F)NC(=O)CC2 ZINC000193344202 201034834 /nfs/dbraw/zinc/03/48/34/201034834.db2.gz KEOFHQCHPZRQNB-MRVPVSSYSA-N -1 1 316.354 1.487 20 0 DDADMM Cc1ccc(S(=O)(=O)N2CCN3CCC[C@@H]3C2)cc1C(=O)[O-] ZINC000193939468 201149770 /nfs/dbraw/zinc/14/97/70/201149770.db2.gz WGRFMBRAGUHSOI-GFCCVEGCSA-N -1 1 324.402 1.162 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])[C@@H](C(N)=O)C1 ZINC000613690264 361521702 /nfs/dbraw/zinc/52/17/02/361521702.db2.gz GABNIOZQZHJPKP-GXFFZTMASA-N -1 1 313.357 1.666 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccc(C)cc1F)C1CC1 ZINC000281529462 216362715 /nfs/dbraw/zinc/36/27/15/216362715.db2.gz QYKHGJKVZAPZRO-ZDUSSCGKSA-N -1 1 315.366 1.505 20 0 DDADMM COCC[C@@]1(NC(=O)c2ncc3ccccc3c2[O-])CCOC1 ZINC000614081406 361692093 /nfs/dbraw/zinc/69/20/93/361692093.db2.gz BKNPHNZBSVBBHH-QGZVFWFLSA-N -1 1 316.357 1.866 20 0 DDADMM CC(C)n1ccc(CS(=O)(=O)c2nnc(C(C)(C)C)[n-]2)n1 ZINC000195173504 201352221 /nfs/dbraw/zinc/35/22/21/201352221.db2.gz XSFCTMYIBTYSRN-UHFFFAOYSA-N -1 1 311.411 1.854 20 0 DDADMM CC(C)n1ccc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)n1 ZINC000195173504 201352225 /nfs/dbraw/zinc/35/22/25/201352225.db2.gz XSFCTMYIBTYSRN-UHFFFAOYSA-N -1 1 311.411 1.854 20 0 DDADMM CC(C)n1ccc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)n1 ZINC000195173504 201352229 /nfs/dbraw/zinc/35/22/29/201352229.db2.gz XSFCTMYIBTYSRN-UHFFFAOYSA-N -1 1 311.411 1.854 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2C(C)(C)C2(F)F)co1 ZINC000619389404 363992404 /nfs/dbraw/zinc/99/24/04/363992404.db2.gz MPSKXWRBFWROAB-QMMMGPOBSA-N -1 1 322.333 1.209 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@@H](CN2CCCC2=O)C1 ZINC000620253582 364350691 /nfs/dbraw/zinc/35/06/91/364350691.db2.gz BVCWEJKLOJFWKX-JTQLQIEISA-N -1 1 324.327 1.755 20 0 DDADMM CO[C@@]1(C)C[C@H]1NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000620555193 364466864 /nfs/dbraw/zinc/46/68/64/364466864.db2.gz ACPPNCBMDFUOMP-WBMJQRKESA-N -1 1 318.377 1.278 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-][C@@H](C(F)F)C2CCCCC2)n1 ZINC000621323275 364802266 /nfs/dbraw/zinc/80/22/66/364802266.db2.gz YGLSKVRPBZOJHH-LLVKDONJSA-N -1 1 307.366 1.912 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](OC)C1CCCCC1 ZINC000621992365 365173835 /nfs/dbraw/zinc/17/38/35/365173835.db2.gz VKLZXNWUVHQLDE-GFCCVEGCSA-N -1 1 308.382 1.033 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC000621990997 365174031 /nfs/dbraw/zinc/17/40/31/365174031.db2.gz CHCWOXYXJFWRCT-GHMZBOCLSA-N -1 1 304.394 1.655 20 0 DDADMM O=C(Nc1ccc(=O)n(CCO)c1)c1c(F)ccc([O-])c1F ZINC000622737763 365518777 /nfs/dbraw/zinc/51/87/77/365518777.db2.gz NMJRPCALHXFUSB-UHFFFAOYSA-N -1 1 310.256 1.077 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)Cc1ccc(F)c(Cl)c1 ZINC000625491187 366962901 /nfs/dbraw/zinc/96/29/01/366962901.db2.gz BMOXUWARWMMBKK-NSHDSACASA-N -1 1 321.757 1.257 20 0 DDADMM CCO[C@@H](C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C)C1CC1 ZINC000625606653 367045333 /nfs/dbraw/zinc/04/53/33/367045333.db2.gz ULSPQXWRAYTEGH-OAHLLOKOSA-N -1 1 318.377 1.483 20 0 DDADMM Cc1cc(C2([N-]S(=O)(=O)c3ccco3)CCOCC2)on1 ZINC000625652719 367075545 /nfs/dbraw/zinc/07/55/45/367075545.db2.gz MHBMTEUZUSCSQK-UHFFFAOYSA-N -1 1 312.347 1.560 20 0 DDADMM CC(C)c1cc(Cl)ccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000627347225 368135315 /nfs/dbraw/zinc/13/53/15/368135315.db2.gz UGFIMHFNZBBCET-UHFFFAOYSA-N -1 1 322.756 1.231 20 0 DDADMM COc1ccc(C(=O)[O-])c(NS(=O)(=O)c2ccc(C)nc2)c1 ZINC000349988529 284127964 /nfs/dbraw/zinc/12/79/64/284127964.db2.gz DYKPCPVSIXNIHD-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)Nc1ccc(OC(F)(F)F)cc1 ZINC000262372311 203275627 /nfs/dbraw/zinc/27/56/27/203275627.db2.gz LVHDAFPVJORVQU-UHFFFAOYSA-N -1 1 320.267 1.930 20 0 DDADMM CCC(=O)C[C@@H](NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000275025449 212080349 /nfs/dbraw/zinc/08/03/49/212080349.db2.gz PGGVPWUYLSVOTP-SNVBAGLBSA-N -1 1 321.301 1.066 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC1(C)C ZINC000094324650 193359361 /nfs/dbraw/zinc/35/93/61/193359361.db2.gz RPUKJNNPYBJMRC-SNVBAGLBSA-N -1 1 315.395 1.443 20 0 DDADMM Cc1ccc(NS(=O)(=O)c2cc(C(=O)[O-])n(C)c2)c(C)n1 ZINC000094663947 193390431 /nfs/dbraw/zinc/39/04/31/193390431.db2.gz BKMMPOCFZRKAQT-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@H]1O)c1cncc([O-])c1 ZINC000282919819 217326051 /nfs/dbraw/zinc/32/60/51/217326051.db2.gz DYJRMZWOGWXDCI-RISCZKNCSA-N -1 1 306.362 1.085 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCO[C@@H](C(C)C)C1 ZINC000351559548 284379073 /nfs/dbraw/zinc/37/90/73/284379073.db2.gz NIOKPGNVGCVEBY-SNVBAGLBSA-N -1 1 311.407 1.710 20 0 DDADMM C[C@H]1Cc2ccccc2N1C(=O)CN1CCC(C(=O)[O-])CC1 ZINC000019774880 182146189 /nfs/dbraw/zinc/14/61/89/182146189.db2.gz ZQVSSLFONIZQBE-LBPRGKRZSA-N -1 1 302.374 1.761 20 0 DDADMM CCOC(=O)CCNC(=O)c1ccc(Br)cc1[O-] ZINC000041681408 183215879 /nfs/dbraw/zinc/21/58/79/183215879.db2.gz CDHYLPOBDKISNH-UHFFFAOYSA-N -1 1 316.151 1.838 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](C)Sc1ccccc1 ZINC000337156639 249369056 /nfs/dbraw/zinc/36/90/56/249369056.db2.gz PSVOXONSFSXQSP-JTQLQIEISA-N -1 1 318.402 1.619 20 0 DDADMM CCOCCO[C@@H](C)C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000337585965 249568516 /nfs/dbraw/zinc/56/85/16/249568516.db2.gz SFAMUXKYPBPKHN-JTQLQIEISA-N -1 1 311.334 1.559 20 0 DDADMM Cn1cc(/C=C/c2cc(=O)n3[n-]cnc3n2)c(-c2ccccc2)n1 ZINC000352036361 284727795 /nfs/dbraw/zinc/72/77/95/284727795.db2.gz YTTUDEOHRMBSLZ-BQYQJAHWSA-N -1 1 318.340 1.989 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NCc1cccnc1)c2=O ZINC000046762609 183568025 /nfs/dbraw/zinc/56/80/25/183568025.db2.gz HCAMWJKDOZFNLI-UHFFFAOYSA-N -1 1 309.325 1.862 20 0 DDADMM O=C(NCCO)c1ccc([N-]S(=O)(=O)c2ccccc2)cc1 ZINC000046870296 183570961 /nfs/dbraw/zinc/57/09/61/183570961.db2.gz HYUIDCMMJBKWRG-UHFFFAOYSA-N -1 1 320.370 1.210 20 0 DDADMM Cn1cc(CCC[N-]S(=O)(=O)c2sccc2F)cn1 ZINC000338889252 250182708 /nfs/dbraw/zinc/18/27/08/250182708.db2.gz XAMWJBARAIJATQ-UHFFFAOYSA-N -1 1 303.384 1.532 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1sccc1F)[C@@H]1CCCO1 ZINC000338974977 250229553 /nfs/dbraw/zinc/22/95/53/250229553.db2.gz RJPZXYDMWMEMPL-ZJUUUORDSA-N -1 1 309.384 1.360 20 0 DDADMM CS(=O)(=O)CCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000339138274 250309580 /nfs/dbraw/zinc/30/95/80/250309580.db2.gz WDWPWEIFFPMQNU-UHFFFAOYSA-N -1 1 309.347 1.156 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(OC)c(F)c2)n1 ZINC000339162996 250322579 /nfs/dbraw/zinc/32/25/79/250322579.db2.gz CBZGEGFVYCNVJJ-UHFFFAOYSA-N -1 1 307.281 1.986 20 0 DDADMM COc1ccc(F)cc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339194110 250337357 /nfs/dbraw/zinc/33/73/57/250337357.db2.gz BRFGCIWTTIFRHQ-UHFFFAOYSA-N -1 1 321.308 1.943 20 0 DDADMM CCc1cnccc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339193966 250337608 /nfs/dbraw/zinc/33/76/08/250337608.db2.gz JUKXPYXAAOSVCO-UHFFFAOYSA-N -1 1 302.334 1.752 20 0 DDADMM O=S(=O)([N-]c1nc(C2CCC2)n[nH]1)c1ccc2c(c1)OCO2 ZINC000285174030 218319186 /nfs/dbraw/zinc/31/91/86/218319186.db2.gz UEDBONSNRYLOTI-UHFFFAOYSA-N -1 1 322.346 1.602 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1ccccc1F ZINC000352147697 284822172 /nfs/dbraw/zinc/82/21/72/284822172.db2.gz JJJUUEOZXLZVBS-UHFFFAOYSA-N -1 1 307.281 1.308 20 0 DDADMM O=C(NCCNC(=O)c1ccccc1Cl)c1cncc([O-])c1 ZINC000109948038 194299805 /nfs/dbraw/zinc/29/98/05/194299805.db2.gz BSKGFKNIVTVSIB-UHFFFAOYSA-N -1 1 319.748 1.600 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(CCO)C2CC2)c(=O)[n-]1 ZINC000268886459 207056927 /nfs/dbraw/zinc/05/69/27/207056927.db2.gz WBTKGIIDELYCNB-UHFFFAOYSA-N -1 1 311.407 1.128 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C=C[C@H](CO)C1 ZINC000269099046 207239971 /nfs/dbraw/zinc/23/99/71/207239971.db2.gz HLTXZXBCBABRNK-WDEREUQCSA-N -1 1 305.378 1.455 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCCSC)o1 ZINC000119076068 194968750 /nfs/dbraw/zinc/96/87/50/194968750.db2.gz MZXJFEWOQBICJF-UHFFFAOYSA-N -1 1 306.409 1.061 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2(O)CCCCC2)c1 ZINC000270056097 208112857 /nfs/dbraw/zinc/11/28/57/208112857.db2.gz VWPMOGIWKRARKN-UHFFFAOYSA-N -1 1 317.363 1.040 20 0 DDADMM CC(=O)Nc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)ccc1F ZINC000352473700 285076852 /nfs/dbraw/zinc/07/68/52/285076852.db2.gz XKMNLJMNCBHCLU-QMMMGPOBSA-N -1 1 320.328 1.173 20 0 DDADMM O=C(Nc1ccc2[nH]nnc2c1)c1ccc(-c2nc(=O)o[n-]2)cc1 ZINC000352477185 285079331 /nfs/dbraw/zinc/07/93/31/285079331.db2.gz YKXNLPNZUKBACH-UHFFFAOYSA-N -1 1 322.284 1.554 20 0 DDADMM O=S(=O)([N-]C[C@]1(CCO)CCOC1)c1cccc(F)c1F ZINC000120186338 195114688 /nfs/dbraw/zinc/11/46/88/195114688.db2.gz RATMVDVEEPMMTF-ZDUSSCGKSA-N -1 1 321.345 1.032 20 0 DDADMM O=C(NN1CCCNC1=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000272984806 210364742 /nfs/dbraw/zinc/36/47/42/210364742.db2.gz VLAJQIAVGBRNGC-UHFFFAOYSA-N -1 1 303.240 1.471 20 0 DDADMM O=C([O-])c1cccc(C(=O)NC2(c3nn[nH]n3)CCCC2)c1 ZINC000567987765 304259413 /nfs/dbraw/zinc/25/94/13/304259413.db2.gz LFKJKACVJXEGCK-UHFFFAOYSA-N -1 1 301.306 1.097 20 0 DDADMM COCCOCCC(=O)Nc1nc(Br)ccc1[O-] ZINC000156371881 197108126 /nfs/dbraw/zinc/10/81/26/197108126.db2.gz FLWZYVMSQUNDBN-UHFFFAOYSA-N -1 1 319.155 1.541 20 0 DDADMM COc1csc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000331870630 533164216 /nfs/dbraw/zinc/16/42/16/533164216.db2.gz TWGITHNGAGIHJO-QMMMGPOBSA-N -1 1 309.347 1.865 20 0 DDADMM CN(C)C(=O)C1(CNC(=O)c2ccc([O-])cc2F)CCCC1 ZINC000154891498 197002717 /nfs/dbraw/zinc/00/27/17/197002717.db2.gz FMUDEEHBLXCWFK-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-]N=c1nc(C)cc(C)[nH]1 ZINC000120479512 195175085 /nfs/dbraw/zinc/17/50/85/195175085.db2.gz COBZAZJPDAHUDK-UHFFFAOYSA-N -1 1 314.392 1.469 20 0 DDADMM CCn1c2ccccc2nc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352786564 285300212 /nfs/dbraw/zinc/30/02/12/285300212.db2.gz JTMNTBBIUHMQDB-UHFFFAOYSA-N -1 1 323.316 1.040 20 0 DDADMM Cc1nnc(CC[N-]S(=O)(=O)c2cc(F)ccc2F)s1 ZINC000289792251 221229637 /nfs/dbraw/zinc/22/96/37/221229637.db2.gz IVMNOTUFYUWNMN-UHFFFAOYSA-N -1 1 319.358 1.646 20 0 DDADMM O=C(N[C@@H]1CCC[C@H](CO)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000414401757 224333421 /nfs/dbraw/zinc/33/34/21/224333421.db2.gz DUDAUIHYGGVHSX-WDEREUQCSA-N -1 1 301.346 1.204 20 0 DDADMM Cc1nnc(CC[N-]S(=O)(=O)c2sccc2Cl)n1C ZINC000414465802 224341688 /nfs/dbraw/zinc/34/16/88/224341688.db2.gz SSOMQFWWNTUABZ-UHFFFAOYSA-N -1 1 320.827 1.359 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc(C(=O)OC)ccc2[O-])c[nH]1 ZINC000353006833 285452302 /nfs/dbraw/zinc/45/23/02/285452302.db2.gz HVEKWDKVZBHBBQ-UHFFFAOYSA-N -1 1 318.285 1.546 20 0 DDADMM Cc1cc([C@H]2CCCN(C(=O)[C@@H]3CC[C@H](C(=O)[O-])O3)C2)n[nH]1 ZINC000569120305 304337454 /nfs/dbraw/zinc/33/74/54/304337454.db2.gz SNPOYHJBORPILM-WCFLWFBJSA-N -1 1 307.350 1.056 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C(C2CCC2)C2CCC2)n1 ZINC000569136286 304338363 /nfs/dbraw/zinc/33/83/63/304338363.db2.gz ZCWDZYVMFPVAOK-UHFFFAOYSA-N -1 1 312.395 1.363 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C(C2CCC2)C2CCC2)[n-]1 ZINC000569136286 304338365 /nfs/dbraw/zinc/33/83/65/304338365.db2.gz ZCWDZYVMFPVAOK-UHFFFAOYSA-N -1 1 312.395 1.363 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2Cc3ccccc3CN2)C[C@@H]1C(=O)[O-] ZINC000569355761 304350486 /nfs/dbraw/zinc/35/04/86/304350486.db2.gz YECCQQPONIZAQP-UGFHNGPFSA-N -1 1 302.374 1.270 20 0 DDADMM CCC[C@H](NC(=O)CCc1cccc2c1OCO2)c1nn[n-]n1 ZINC000186046258 407565909 /nfs/dbraw/zinc/56/59/09/407565909.db2.gz ZGLHZRAEYBQBMN-NSHDSACASA-N -1 1 317.349 1.519 20 0 DDADMM COc1c(Br)c(C)sc1C(=O)Nc1nn[n-]n1 ZINC000001482757 406671768 /nfs/dbraw/zinc/67/17/68/406671768.db2.gz RFKUYVKIFFELNG-UHFFFAOYSA-N -1 1 318.156 1.593 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)c2cn[nH]c2)cc1OC ZINC000069978559 406799056 /nfs/dbraw/zinc/79/90/56/406799056.db2.gz OCIULGBEHPTDBD-UHFFFAOYSA-N -1 1 301.299 1.367 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CC1CC1 ZINC000070102027 406808229 /nfs/dbraw/zinc/80/82/29/406808229.db2.gz CYBUITHOUQSCLP-MRVPVSSYSA-N -1 1 301.368 1.053 20 0 DDADMM CCc1nnc([N-]C(=O)c2c(C)oc3nc[nH]c(=O)c32)s1 ZINC000021289699 406888631 /nfs/dbraw/zinc/88/86/31/406888631.db2.gz TWHVVEBPZXJBQI-UHFFFAOYSA-N -1 1 305.319 1.491 20 0 DDADMM O=S(=O)([N-]C1CCC(O)CC1)c1ccc(F)c(F)c1F ZINC000035101517 406966271 /nfs/dbraw/zinc/96/62/71/406966271.db2.gz MWKPLORSTATXDY-UHFFFAOYSA-N -1 1 309.309 1.686 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(F)ccc1Br ZINC000044929469 407034201 /nfs/dbraw/zinc/03/42/01/407034201.db2.gz UFILOPLCEALDHG-UHFFFAOYSA-N -1 1 300.091 1.031 20 0 DDADMM Cn1c([C@H]2CCCN(C(=O)C3(O)CCCCC3)C2)n[n-]c1=S ZINC000086538824 407112972 /nfs/dbraw/zinc/11/29/72/407112972.db2.gz XDQCREKEUHFFES-NSHDSACASA-N -1 1 324.450 1.879 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@@H]1O)c1ccc(F)c(F)c1F ZINC000052005682 407146524 /nfs/dbraw/zinc/14/65/24/407146524.db2.gz JIWUPTBOQRPJOQ-BDAKNGLRSA-N -1 1 309.309 1.686 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@H]1O)c1ccc(F)c(F)c1F ZINC000052005691 407146595 /nfs/dbraw/zinc/14/65/95/407146595.db2.gz JIWUPTBOQRPJOQ-DTWKUNHWSA-N -1 1 309.309 1.686 20 0 DDADMM CC(C)CONC(=O)C[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000122996504 407316339 /nfs/dbraw/zinc/31/63/39/407316339.db2.gz XBJYRMQFGFLKIB-MRXNPFEDSA-N -1 1 306.406 1.981 20 0 DDADMM Cn1cc(CCC[N-]S(=O)(=O)c2cc(F)ccc2F)cn1 ZINC000102662119 407325848 /nfs/dbraw/zinc/32/58/48/407325848.db2.gz NSAIULOTQKTFPT-UHFFFAOYSA-N -1 1 315.345 1.609 20 0 DDADMM CCNC(=O)C(C)(C)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000124630049 407364399 /nfs/dbraw/zinc/36/43/99/407364399.db2.gz XJRHSINOJAWVCS-UHFFFAOYSA-N -1 1 315.373 1.420 20 0 DDADMM C[C@@H]1CC[C@H](C(N)=O)CN1Cc1nc(=O)c2sccc2[n-]1 ZINC000111220417 407401451 /nfs/dbraw/zinc/40/14/51/407401451.db2.gz LOCWZJMCAZWILF-BDAKNGLRSA-N -1 1 306.391 1.070 20 0 DDADMM O=C(CCc1cc(F)ccc1Br)Nc1nnn[n-]1 ZINC000170594263 407444538 /nfs/dbraw/zinc/44/45/38/407444538.db2.gz FWKRMXDYVZTFLG-UHFFFAOYSA-N -1 1 314.118 1.673 20 0 DDADMM O=C(CCc1cc(F)ccc1Br)Nc1nn[n-]n1 ZINC000170594263 407444543 /nfs/dbraw/zinc/44/45/43/407444543.db2.gz FWKRMXDYVZTFLG-UHFFFAOYSA-N -1 1 314.118 1.673 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CC[C@@H](CO)C1)c2=O ZINC000128819525 407576403 /nfs/dbraw/zinc/57/64/03/407576403.db2.gz ARHCVIXXPRQZBP-SNVBAGLBSA-N -1 1 302.330 1.403 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC(C)(C)[C@H](C)O)c(=O)[n-]1 ZINC000271248233 407596657 /nfs/dbraw/zinc/59/66/57/407596657.db2.gz DEWZLJXXOHYDFN-VIFPVBQESA-N -1 1 313.423 1.421 20 0 DDADMM CCCCc1nnc([N-]C(=O)c2nccnc2C(N)=O)s1 ZINC000266787246 407634021 /nfs/dbraw/zinc/63/40/21/407634021.db2.gz ARNZLFKRRQBFET-UHFFFAOYSA-N -1 1 306.351 1.022 20 0 DDADMM CC(C)[C@H](CCO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000228610043 407651406 /nfs/dbraw/zinc/65/14/06/407651406.db2.gz MTGCHANXWZKYKZ-VIFPVBQESA-N -1 1 311.325 1.789 20 0 DDADMM CC1(C)CN(CCNC(=O)c2cc(Cl)ccc2[O-])CCO1 ZINC000171303179 407655310 /nfs/dbraw/zinc/65/53/10/407655310.db2.gz PTMJZCIJWCFIED-UHFFFAOYSA-N -1 1 312.797 1.886 20 0 DDADMM O=C(CCCCCNC(=O)NC1CCCCC1)Nc1nnn[n-]1 ZINC000115709916 407701742 /nfs/dbraw/zinc/70/17/42/407701742.db2.gz BYQZKFDDZWNFRR-UHFFFAOYSA-N -1 1 323.401 1.331 20 0 DDADMM O=C(CCCCCNC(=O)NC1CCCCC1)Nc1nn[n-]n1 ZINC000115709916 407701747 /nfs/dbraw/zinc/70/17/47/407701747.db2.gz BYQZKFDDZWNFRR-UHFFFAOYSA-N -1 1 323.401 1.331 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000266985852 407705554 /nfs/dbraw/zinc/70/55/54/407705554.db2.gz HKTMMNSCZMPLAL-SNVBAGLBSA-N -1 1 321.377 1.423 20 0 DDADMM COCc1cccc(S(=O)(=O)[N-]c2ccc(C(N)=O)cc2)c1 ZINC000171329443 407662061 /nfs/dbraw/zinc/66/20/61/407662061.db2.gz QKCTZXDKRQNAJM-UHFFFAOYSA-N -1 1 320.370 1.733 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1CCOc3ccccc31)c2=O ZINC000179392787 407783776 /nfs/dbraw/zinc/78/37/76/407783776.db2.gz MFXREOVWARGYBE-ZDUSSCGKSA-N -1 1 324.340 1.928 20 0 DDADMM O=C(N[C@@H]1CCN(c2ncccc2F)C1)c1cncc([O-])c1 ZINC000153331846 407802776 /nfs/dbraw/zinc/80/27/76/407802776.db2.gz WWAQJFVFHOQOTQ-LLVKDONJSA-N -1 1 302.309 1.330 20 0 DDADMM Cc1nc(CN(C)C(=O)c2cnc3nc(C)ccc3c2[O-])no1 ZINC000181032197 407948421 /nfs/dbraw/zinc/94/84/21/407948421.db2.gz LFDXOMCHFOSUIN-UHFFFAOYSA-N -1 1 313.317 1.607 20 0 DDADMM Cc1nc(CN(C)C(=O)c2c[n-]c3nc(C)ccc3c2=O)no1 ZINC000181032197 407948425 /nfs/dbraw/zinc/94/84/25/407948425.db2.gz LFDXOMCHFOSUIN-UHFFFAOYSA-N -1 1 313.317 1.607 20 0 DDADMM CC(C)c1noc2nc(C3CC3)cc(C(=O)Nc3nn[n-]n3)c21 ZINC000118685934 407935143 /nfs/dbraw/zinc/93/51/43/407935143.db2.gz YJAJLQURCYWDPX-UHFFFAOYSA-N -1 1 313.321 1.989 20 0 DDADMM Cc1ccccc1C(=O)N[C@@H](CC(C)C)C(=O)Nc1nnn[n-]1 ZINC000118685583 407936180 /nfs/dbraw/zinc/93/61/80/407936180.db2.gz COAZBLYEKXIEKD-LBPRGKRZSA-N -1 1 316.365 1.291 20 0 DDADMM Cc1ccccc1C(=O)N[C@@H](CC(C)C)C(=O)Nc1nn[n-]n1 ZINC000118685583 407936189 /nfs/dbraw/zinc/93/61/89/407936189.db2.gz COAZBLYEKXIEKD-LBPRGKRZSA-N -1 1 316.365 1.291 20 0 DDADMM CCOC(=O)c1cc(NC(=O)Cc2cn3ccccc3n2)n[nH]1 ZINC000181748347 408033468 /nfs/dbraw/zinc/03/34/68/408033468.db2.gz CYIKXPCELZNKOR-UHFFFAOYSA-N -1 1 313.317 1.415 20 0 DDADMM CC(C)[N@@H+]1CCc2nc(NC(=O)c3cccc[n+]3[O-])sc2C1 ZINC000273086186 408050063 /nfs/dbraw/zinc/05/00/63/408050063.db2.gz FMVWJCPHCHQWLD-UHFFFAOYSA-N -1 1 318.402 1.795 20 0 DDADMM COc1cc(C(=O)NCC(=O)NCC2CC2)cc(Cl)c1[O-] ZINC000135248920 407994772 /nfs/dbraw/zinc/99/47/72/407994772.db2.gz RFXZPHZFEUVXPE-UHFFFAOYSA-N -1 1 312.753 1.310 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)N[C@@H]2CCCc3cn[nH]c32)cc1 ZINC000263194383 408052679 /nfs/dbraw/zinc/05/26/79/408052679.db2.gz GRZBDMVDZSIFSB-CYBMUJFWSA-N -1 1 314.345 1.985 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(C(=O)NC(C)(C)C)cc1 ZINC000154306731 408015260 /nfs/dbraw/zinc/01/52/60/408015260.db2.gz FKVGZNWNXYWXQO-UHFFFAOYSA-N -1 1 314.407 1.603 20 0 DDADMM CC(=O)N1CC[C@H](CN(C)C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000119544730 408093146 /nfs/dbraw/zinc/09/31/46/408093146.db2.gz IBXIIIRZSDKHIC-LLVKDONJSA-N -1 1 310.781 1.986 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC1(CO)CCC1 ZINC000182290904 408115522 /nfs/dbraw/zinc/11/55/22/408115522.db2.gz JRMSMOAWEJUDBM-UHFFFAOYSA-N -1 1 307.394 1.268 20 0 DDADMM NC(=O)[C@@H](NC(=O)c1cc(F)ccc1[O-])c1ccc(F)cc1 ZINC000137125125 408141345 /nfs/dbraw/zinc/14/13/45/408141345.db2.gz QQPBFCDOVQALCA-ZDUSSCGKSA-N -1 1 306.268 1.627 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccccc1F ZINC000155488212 408169486 /nfs/dbraw/zinc/16/94/86/408169486.db2.gz WFPJUYSTIICUHN-MNOVXSKESA-N -1 1 303.341 1.849 20 0 DDADMM CCOc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)ccc1C ZINC000155482699 408171324 /nfs/dbraw/zinc/17/13/24/408171324.db2.gz VHHZOCRUVLTHNE-ZDUSSCGKSA-N -1 1 315.377 1.927 20 0 DDADMM CC(C)N1C[C@H]([N-]S(=O)(=O)c2cc(F)ccc2F)CC1=O ZINC000263641606 408185832 /nfs/dbraw/zinc/18/58/32/408185832.db2.gz CDCSWQNNEHYJEA-SNVBAGLBSA-N -1 1 318.345 1.252 20 0 DDADMM O=C([N-]Cc1cccnc1-n1cccn1)C(F)(F)C(F)F ZINC000175957710 408249984 /nfs/dbraw/zinc/24/99/84/408249984.db2.gz BSKLZZGPNNTVEY-UHFFFAOYSA-N -1 1 302.231 1.784 20 0 DDADMM CC(C)[C@@H](NC(=O)c1ccc(Br)c([O-])c1)C(N)=O ZINC000133423380 162057445 /nfs/dbraw/zinc/05/74/45/162057445.db2.gz TYPBQJRFUXIFGR-SNVBAGLBSA-N -1 1 315.167 1.394 20 0 DDADMM CSC[C@@H]1CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000134399301 162077489 /nfs/dbraw/zinc/07/74/89/162077489.db2.gz BLAICCPWHQMHRT-JTQLQIEISA-N -1 1 303.387 1.891 20 0 DDADMM COc1cc(C(C)=O)ccc1OCCCC(=O)Nc1nnn[n-]1 ZINC000263992923 408337681 /nfs/dbraw/zinc/33/76/81/408337681.db2.gz HEXDXPMJBMQXAR-UHFFFAOYSA-N -1 1 319.321 1.209 20 0 DDADMM COc1cc(C(C)=O)ccc1OCCCC(=O)Nc1nn[n-]n1 ZINC000263992923 408337683 /nfs/dbraw/zinc/33/76/83/408337683.db2.gz HEXDXPMJBMQXAR-UHFFFAOYSA-N -1 1 319.321 1.209 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc(Br)c1)c1nn[n-]n1 ZINC000183401059 408401434 /nfs/dbraw/zinc/40/14/34/408401434.db2.gz HGBRPTPVFDAAII-QMMMGPOBSA-N -1 1 324.182 1.838 20 0 DDADMM Cc1ccc(OCCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1C ZINC000183411440 408404010 /nfs/dbraw/zinc/40/40/10/408404010.db2.gz VWAGAWXRAGFXBS-CYBMUJFWSA-N -1 1 317.393 1.848 20 0 DDADMM C[C@@H](CN(C)C(=O)COc1ccccc1Cl)c1nn[n-]n1 ZINC000183408989 408405727 /nfs/dbraw/zinc/40/57/27/408405727.db2.gz YMWWTHHWOUWKTR-VIFPVBQESA-N -1 1 309.757 1.494 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(OCCOC)c1)c1nn[n-]n1 ZINC000176753556 408431229 /nfs/dbraw/zinc/43/12/29/408431229.db2.gz NGYVFYCFKVHTJU-CYBMUJFWSA-N -1 1 319.365 1.496 20 0 DDADMM CC(C)C[C@]1(CO)CCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000191490317 408434682 /nfs/dbraw/zinc/43/46/82/408434682.db2.gz YBEGPBBUPJXAAA-INIZCTEOSA-N -1 1 302.378 1.971 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(SCC)nc1)c1nn[n-]n1 ZINC000176762493 408436171 /nfs/dbraw/zinc/43/61/71/408436171.db2.gz BLOTWWFRPMLMEP-JTQLQIEISA-N -1 1 306.395 1.978 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(c2ccccn2)CC1 ZINC000176945857 408482725 /nfs/dbraw/zinc/48/27/25/408482725.db2.gz WHTWOPAUAWQAMY-UHFFFAOYSA-N -1 1 301.321 1.889 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(C(F)(F)F)o1 ZINC000274766752 408523772 /nfs/dbraw/zinc/52/37/72/408523772.db2.gz KXSAUAUWARDJDE-LURJTMIESA-N -1 1 317.271 1.996 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1nc2ccccc2[nH]1)c1nn[n-]n1 ZINC000274795944 408536020 /nfs/dbraw/zinc/53/60/20/408536020.db2.gz RBLUGWMJJMRKAE-SNVBAGLBSA-N -1 1 313.365 1.271 20 0 DDADMM C[C@@H](NCc1cc(=O)oc2cc([O-])ccc12)c1ncn(C)n1 ZINC000275649801 408632589 /nfs/dbraw/zinc/63/25/89/408632589.db2.gz WYGQZNXUPLSEHE-SECBINFHSA-N -1 1 300.318 1.478 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H]3CCCC[C@@H]3O)cnc2n1 ZINC000177552599 408655700 /nfs/dbraw/zinc/65/57/00/408655700.db2.gz LLMAMQGGWMYLFS-FZMZJTMJSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@@H]3CCCC[C@@H]3O)c[n-]c2n1 ZINC000177552599 408655703 /nfs/dbraw/zinc/65/57/03/408655703.db2.gz LLMAMQGGWMYLFS-FZMZJTMJSA-N -1 1 315.373 1.925 20 0 DDADMM CC(C)OC(=O)CCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000162535258 408710567 /nfs/dbraw/zinc/71/05/67/408710567.db2.gz FPKCNQSSTDIRKU-UHFFFAOYSA-N -1 1 321.345 1.975 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1cc(C(=O)[O-])cs1)N(C)C1CC1 ZINC000184993916 408732206 /nfs/dbraw/zinc/73/22/06/408732206.db2.gz CEILLGYEHYDHHD-QMMMGPOBSA-N -1 1 318.420 1.207 20 0 DDADMM CCOC(=O)[C@]1(C(C)C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000192949735 408675665 /nfs/dbraw/zinc/67/56/65/408675665.db2.gz WRLDWAJSDHIFQE-MRXNPFEDSA-N -1 1 306.362 1.839 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2c(F)cccc2F)cn1 ZINC000285721119 408850562 /nfs/dbraw/zinc/85/05/62/408850562.db2.gz UODGTNBPQNAXOQ-UHFFFAOYSA-N -1 1 301.318 1.660 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H]1CCO)c1cc(F)c(F)cc1F ZINC000188903442 163076465 /nfs/dbraw/zinc/07/64/65/163076465.db2.gz HLPGDVSYXKMGEP-PELKAZGASA-N -1 1 323.336 1.933 20 0 DDADMM CCc1c(C(=O)Nc2nnn[n-]2)cnn1-c1ccccc1Cl ZINC000191892485 163208160 /nfs/dbraw/zinc/20/81/60/163208160.db2.gz XGZXRBAYCUBURP-UHFFFAOYSA-N -1 1 317.740 1.854 20 0 DDADMM CCc1c(C(=O)Nc2nn[n-]n2)cnn1-c1ccccc1Cl ZINC000191892485 163208161 /nfs/dbraw/zinc/20/81/61/163208161.db2.gz XGZXRBAYCUBURP-UHFFFAOYSA-N -1 1 317.740 1.854 20 0 DDADMM C[C@H](C(=O)Nc1ccccc1)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000194817484 163297366 /nfs/dbraw/zinc/29/73/66/163297366.db2.gz YOXPGILBQRHZRP-SECBINFHSA-N -1 1 320.374 1.483 20 0 DDADMM C[C@@H](O)CNC(=O)c1ccc(I)c([O-])c1 ZINC000230888368 163348653 /nfs/dbraw/zinc/34/86/53/163348653.db2.gz BRYMUSJGZWRUER-ZCFIWIBFSA-N -1 1 321.114 1.107 20 0 DDADMM CCC[C@H](NC(=O)c1c(C)coc1CC(=O)OC)c1nn[n-]n1 ZINC000291966900 408954174 /nfs/dbraw/zinc/95/41/74/408954174.db2.gz POJCHCDHYJOQBN-VIFPVBQESA-N -1 1 321.337 1.088 20 0 DDADMM CCC(=CC(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-])CC ZINC000292297486 409019710 /nfs/dbraw/zinc/01/97/10/409019710.db2.gz SEJKCJNMSFKLAN-UHFFFAOYSA-N -1 1 312.391 1.985 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H](C)CC(C)C)c1 ZINC000292313482 409022946 /nfs/dbraw/zinc/02/29/46/409022946.db2.gz TVWPPGVZCIIDKW-SNVBAGLBSA-N -1 1 314.407 1.921 20 0 DDADMM C[C@H]1CCN(Cc2cncn2C)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000287397303 409030128 /nfs/dbraw/zinc/03/01/28/409030128.db2.gz NVDPHBAKZLEJCJ-NHCYSSNCSA-N -1 1 318.343 1.698 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(NC(C)=O)c(F)c1)c1nn[n-]n1 ZINC000277980870 409044988 /nfs/dbraw/zinc/04/49/88/409044988.db2.gz IJZWOAKZLFLCEX-GFCCVEGCSA-N -1 1 320.328 1.568 20 0 DDADMM CCCCN(C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C1CC1 ZINC000287602822 409062597 /nfs/dbraw/zinc/06/25/97/409062597.db2.gz WYBVPJQREWZHMG-UYRXBGFRSA-N -1 1 315.377 1.071 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)cc1 ZINC000283181076 409105831 /nfs/dbraw/zinc/10/58/31/409105831.db2.gz HGLHGXBOHMSQQA-LBPRGKRZSA-N -1 1 315.377 1.184 20 0 DDADMM CCC1(CC)CN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000293822512 409187195 /nfs/dbraw/zinc/18/71/95/409187195.db2.gz BCPVZFHRWUBFIR-UHFFFAOYSA-N -1 1 316.405 1.831 20 0 DDADMM O=C(c1ccc2[nH]nnc2c1)N1CC[C@H](C(=O)N2CCCC2)C1 ZINC000288065380 409140086 /nfs/dbraw/zinc/14/00/86/409140086.db2.gz FRBVFDSWVHPMBN-LBPRGKRZSA-N -1 1 313.361 1.042 20 0 DDADMM O=C(c1ccc2[nH]nnc2c1)N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000288065375 409140142 /nfs/dbraw/zinc/14/01/42/409140142.db2.gz FRBVFDSWVHPMBN-GFCCVEGCSA-N -1 1 313.361 1.042 20 0 DDADMM CC[C@](NC(=O)c1ccc2n[n-]c(=S)n2c1)(C(N)=O)C(C)C ZINC000283512865 409169551 /nfs/dbraw/zinc/16/95/51/409169551.db2.gz SBPGHLXGXZPNBV-CQSZACIVSA-N -1 1 321.406 1.038 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@H]1O)c1c([O-])cccc1F ZINC000283602934 409182553 /nfs/dbraw/zinc/18/25/53/409182553.db2.gz LIDAOFNBJLHYJD-ABAIWWIYSA-N -1 1 323.364 1.829 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@H]1O)c1c([O-])cccc1F ZINC000283602943 409182929 /nfs/dbraw/zinc/18/29/29/409182929.db2.gz LIDAOFNBJLHYJD-NHYWBVRUSA-N -1 1 323.364 1.829 20 0 DDADMM O=C(CCc1ccccc1O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000283790178 409217702 /nfs/dbraw/zinc/21/77/02/409217702.db2.gz VZJFKSSJENLUOT-LBPRGKRZSA-N -1 1 316.361 1.142 20 0 DDADMM CC(C)(C)NC(=O)N1CCC(NC(=O)c2cncc([O-])c2)CC1 ZINC000279497749 409224846 /nfs/dbraw/zinc/22/48/46/409224846.db2.gz QAFSLHOMQODSES-UHFFFAOYSA-N -1 1 320.393 1.490 20 0 DDADMM COCCCN(Cc1ccncc1)C(=O)c1cncc([O-])c1 ZINC000279513637 409229643 /nfs/dbraw/zinc/22/96/43/409229643.db2.gz UNRXIHUURFIMIQ-UHFFFAOYSA-N -1 1 301.346 1.861 20 0 DDADMM COC(=O)[C@@H](c1ccsc1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000289398712 409263208 /nfs/dbraw/zinc/26/32/08/409263208.db2.gz KVDZXKFNXZCXLY-GXSJLCMTSA-N -1 1 322.390 1.253 20 0 DDADMM CN(C(=O)c1ccc(C(F)(F)F)cc1[O-])C(C)(C)C(N)=O ZINC000295919453 409376440 /nfs/dbraw/zinc/37/64/40/409376440.db2.gz JCKNWSIPTKJWLS-UHFFFAOYSA-N -1 1 304.268 1.747 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)[C@H]2CCO[C@@H]21 ZINC000295987347 409391574 /nfs/dbraw/zinc/39/15/74/409391574.db2.gz WWHFAPBYIWXKME-JLLWLGSASA-N -1 1 318.402 1.561 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CSC1CC1 ZINC000296000647 409397421 /nfs/dbraw/zinc/39/74/21/409397421.db2.gz XQJNFNCDDYPKIS-UHFFFAOYSA-N -1 1 306.391 1.151 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1cccc(OCC(N)=O)c1 ZINC000316664304 164023398 /nfs/dbraw/zinc/02/33/98/164023398.db2.gz RFOOULIIJHXZDR-UHFFFAOYSA-N -1 1 320.370 1.660 20 0 DDADMM COc1ccc([C@@H](C)CC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357053961 164137912 /nfs/dbraw/zinc/13/79/12/164137912.db2.gz KVOHLGLEKGAHLE-JTQLQIEISA-N -1 1 301.350 1.507 20 0 DDADMM CN1C[C@H]2CN(C(=O)c3ccc(Cl)cc3[O-])CCN2C1=O ZINC000373278846 164169319 /nfs/dbraw/zinc/16/93/19/164169319.db2.gz QBYFHNLTPTYSRI-JTQLQIEISA-N -1 1 309.753 1.237 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)nn1C ZINC000407994364 164192980 /nfs/dbraw/zinc/19/29/80/164192980.db2.gz CICQCLDDIAMBQF-SNVBAGLBSA-N -1 1 319.365 1.652 20 0 DDADMM Cc1csc(N2CCN(C(=O)c3ncc(C)cc3[O-])CC2)n1 ZINC000408229402 164268837 /nfs/dbraw/zinc/26/88/37/164268837.db2.gz RFESXHFBYNDDCJ-UHFFFAOYSA-N -1 1 318.402 1.823 20 0 DDADMM CO[C@@H]1CC[C@H]([N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000408284983 164285249 /nfs/dbraw/zinc/28/52/49/164285249.db2.gz BWPPZEPSORDZEL-JGVFFNPUSA-N -1 1 324.196 1.888 20 0 DDADMM CO[C@H](C)c1nsc(=NCCCN2C[C@@H](C)O[C@@H](C)C2)[n-]1 ZINC000337920266 409569424 /nfs/dbraw/zinc/56/94/24/409569424.db2.gz YPRSMQGKXGPOTN-GRYCIOLGSA-N -1 1 314.455 1.579 20 0 DDADMM CO[C@H](C)c1nc(=NCCCN2C[C@@H](C)O[C@@H](C)C2)s[n-]1 ZINC000337920266 409569434 /nfs/dbraw/zinc/56/94/34/409569434.db2.gz YPRSMQGKXGPOTN-GRYCIOLGSA-N -1 1 314.455 1.579 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC ZINC000337966366 409609467 /nfs/dbraw/zinc/60/94/67/409609467.db2.gz VISCWDWILHUJHF-UHFFFAOYSA-N -1 1 321.345 1.975 20 0 DDADMM COCCC1(C)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000342539364 409706235 /nfs/dbraw/zinc/70/62/35/409706235.db2.gz CPNWWDHLFICBDU-UHFFFAOYSA-N -1 1 301.346 1.422 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@@H]1CO ZINC000305168565 409708946 /nfs/dbraw/zinc/70/89/46/409708946.db2.gz IQRBNPIWZINIGR-ZWNOBZJWSA-N -1 1 301.346 1.568 20 0 DDADMM O=C(Nc1ccc(-c2ccc[nH]2)cc1)c1cc(=O)n2[n-]cnc2n1 ZINC000354163204 409709604 /nfs/dbraw/zinc/70/96/04/409709604.db2.gz YGZAXZABQSZXGF-UHFFFAOYSA-N -1 1 320.312 1.665 20 0 DDADMM C[C@@H]1C(=O)CC[C@H]1CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000331600649 409679723 /nfs/dbraw/zinc/67/97/23/409679723.db2.gz AUDJMEPBJSFPAP-ONGXEEELSA-N -1 1 307.350 1.487 20 0 DDADMM C[C@@H]1CN(CC(=O)N(C)Cc2cccs2)CC[C@H]1C(=O)[O-] ZINC000314679226 409830471 /nfs/dbraw/zinc/83/04/71/409830471.db2.gz ZVIYIAHCBASFLO-DGCLKSJQSA-N -1 1 310.419 1.749 20 0 DDADMM NC(=O)CCSc1ccccc1[N-]C(=O)c1cnns1 ZINC000342672752 409803095 /nfs/dbraw/zinc/80/30/95/409803095.db2.gz DXESWHMTRSBPPL-UHFFFAOYSA-N -1 1 308.388 1.758 20 0 DDADMM O=C([O-])c1cccc(CNC(=O)N2CCN(CC3CC3)CC2)c1 ZINC000320244416 409903871 /nfs/dbraw/zinc/90/38/71/409903871.db2.gz BIOHDFXYCDXNFS-UHFFFAOYSA-N -1 1 317.389 1.622 20 0 DDADMM Cc1nn(CC(F)(F)F)c(C)c1[C@@H](C)C(=O)Nc1nnn[n-]1 ZINC000349991340 409920564 /nfs/dbraw/zinc/92/05/64/409920564.db2.gz HGYGVVOQFJELMW-RXMQYKEDSA-N -1 1 317.275 1.318 20 0 DDADMM Cc1nn(CC(F)(F)F)c(C)c1[C@@H](C)C(=O)Nc1nn[n-]n1 ZINC000349991340 409920572 /nfs/dbraw/zinc/92/05/72/409920572.db2.gz HGYGVVOQFJELMW-RXMQYKEDSA-N -1 1 317.275 1.318 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3cscn3)no2)co1 ZINC000350550288 409962084 /nfs/dbraw/zinc/96/20/84/409962084.db2.gz JTSFPXUCGQHLKO-UHFFFAOYSA-N -1 1 312.332 1.361 20 0 DDADMM COC[C@H](CNC(=O)c1c([O-])cnc2ccc(Cl)cc21)OC ZINC000338412510 409966358 /nfs/dbraw/zinc/96/63/58/409966358.db2.gz ROUCJTSYJJOLAG-JTQLQIEISA-N -1 1 324.764 1.985 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(CO)ccc2C)co1 ZINC000342894323 409979911 /nfs/dbraw/zinc/97/99/11/409979911.db2.gz MWWRCYKDCDEKGU-UHFFFAOYSA-N -1 1 324.358 1.241 20 0 DDADMM Cc1cc(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)cnn1 ZINC000346650943 410059518 /nfs/dbraw/zinc/05/95/18/410059518.db2.gz YQWGWBZWXGMTGY-UHFFFAOYSA-N -1 1 324.337 1.548 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cncc([O-])c1)c1ccc(F)c(C)c1 ZINC000354755179 410062535 /nfs/dbraw/zinc/06/25/35/410062535.db2.gz KYQIEBAFVRNJGM-CQSZACIVSA-N -1 1 318.304 1.879 20 0 DDADMM CC(C)(NC(=O)[C@@H]1C[C@H]1c1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000354769529 410072752 /nfs/dbraw/zinc/07/27/52/410072752.db2.gz GPWJWPUNWKCROF-DTWKUNHWSA-N -1 1 307.304 1.633 20 0 DDADMM Cc1cnc(C(=O)NCCCc2nnc3n2CCCC3)c([O-])c1 ZINC000343012907 410073635 /nfs/dbraw/zinc/07/36/35/410073635.db2.gz HOPKVOLLJVZEOB-UHFFFAOYSA-N -1 1 315.377 1.386 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332336499 410076598 /nfs/dbraw/zinc/07/65/98/410076598.db2.gz NWPKKHBDGVKKMX-NSHDSACASA-N -1 1 303.318 1.804 20 0 DDADMM CCO[C@H](C)c1nc(CC(=O)NC(C)(C)c2nn[n-]n2)cs1 ZINC000354771949 410077009 /nfs/dbraw/zinc/07/70/09/410077009.db2.gz LEFAHAAOMXXGHR-MRVPVSSYSA-N -1 1 324.410 1.348 20 0 DDADMM CCOc1ccc(SCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354787297 410086046 /nfs/dbraw/zinc/08/60/46/410086046.db2.gz PXACHCGOBGPGBM-UHFFFAOYSA-N -1 1 321.406 1.742 20 0 DDADMM CS(=O)(=O)CCCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000343106832 410148142 /nfs/dbraw/zinc/14/81/42/410148142.db2.gz ZFMJPZCCHVWPRE-UHFFFAOYSA-N -1 1 323.374 1.546 20 0 DDADMM COC(=O)Cn1cc(CNCc2cccc([O-])c2Cl)nn1 ZINC000339319096 410088464 /nfs/dbraw/zinc/08/84/64/410088464.db2.gz WQCCPVRILHTNIY-UHFFFAOYSA-N -1 1 310.741 1.100 20 0 DDADMM CCc1noc(C)c1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000354811072 410101342 /nfs/dbraw/zinc/10/13/42/410101342.db2.gz VACSMLGHYJNIMH-UHFFFAOYSA-N -1 1 315.333 1.463 20 0 DDADMM CC(C)(C)[C@H](O)C[C@@H](CO)N=c1nc(C(F)(F)F)[n-]s1 ZINC000343059096 410109816 /nfs/dbraw/zinc/10/98/16/410109816.db2.gz GJEUOIZMLQSYEI-NKWVEPMBSA-N -1 1 313.345 1.549 20 0 DDADMM COC[C@H](C)NC(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000354977296 410218006 /nfs/dbraw/zinc/21/80/06/410218006.db2.gz SIZFBAXMQKYLRI-JTQLQIEISA-N -1 1 316.361 1.246 20 0 DDADMM CC[C@@H](C)[NH+]1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000298328094 410183581 /nfs/dbraw/zinc/18/35/81/410183581.db2.gz FIPRKBULVYWSMX-NODXGKJPSA-N -1 1 316.409 1.290 20 0 DDADMM COC[C@H](CNC(=O)CCc1nn[n-]n1)c1ccc(Cl)cc1 ZINC000633310938 422855312 /nfs/dbraw/zinc/85/53/12/422855312.db2.gz NICWBTRUAMTJQF-NSHDSACASA-N -1 1 323.784 1.332 20 0 DDADMM Cc1ccc(C)c([C@H]2CN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)CO2)c1 ZINC000329299986 410220435 /nfs/dbraw/zinc/22/04/35/410220435.db2.gz VIBWUVNHXGPADQ-DZGCQCFKSA-N -1 1 316.405 1.687 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1ccn(C)n1 ZINC000355132090 410331976 /nfs/dbraw/zinc/33/19/76/410331976.db2.gz LENLEVOSIRZHDA-UHFFFAOYSA-N -1 1 317.393 1.494 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2cncc([O-])c2)s1 ZINC000355158763 410350194 /nfs/dbraw/zinc/35/01/94/410350194.db2.gz GVGADRNDFRJPFU-UHFFFAOYSA-N -1 1 312.372 1.182 20 0 DDADMM O=C(CCCn1cccc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351864581 410303516 /nfs/dbraw/zinc/30/35/16/410303516.db2.gz YRJDQEUYFUNGLG-UHFFFAOYSA-N -1 1 304.350 1.763 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H](Oc3ccccc3Cl)C2)nc1=O ZINC000329613315 410385813 /nfs/dbraw/zinc/38/58/13/410385813.db2.gz RUNBTGQIAVOXHT-NSHDSACASA-N -1 1 322.796 1.805 20 0 DDADMM CC(C)(C)n1cnc(=NC(=O)N2CCC(c3cnc[nH]3)CC2)[n-]1 ZINC000329756461 410432974 /nfs/dbraw/zinc/43/29/74/410432974.db2.gz MFRBBQBMIXOKLH-UHFFFAOYSA-N -1 1 317.397 1.590 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H](C)c1ccccc1F ZINC000352125951 410498492 /nfs/dbraw/zinc/49/84/92/410498492.db2.gz OIQPBLOWZMCMMH-MRVPVSSYSA-N -1 1 321.308 1.869 20 0 DDADMM CCOC(=O)c1ccc(NC(=O)c2cncc([O-])c2)c(O)c1 ZINC000339963817 410581346 /nfs/dbraw/zinc/58/13/46/410581346.db2.gz IWPVOLMXVCYWGK-UHFFFAOYSA-N -1 1 302.286 1.922 20 0 DDADMM Cc1nc(CN(C)C(=O)c2c[n-]c3c(cnn3C)c2=O)cs1 ZINC000355566301 410582251 /nfs/dbraw/zinc/58/22/51/410582251.db2.gz ANZWRMNASLGUOG-UHFFFAOYSA-N -1 1 317.374 1.711 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1C[C@@H]1c1ccccc1 ZINC000339983099 410594276 /nfs/dbraw/zinc/59/42/76/410594276.db2.gz TUAHPXAFCCSPJN-CHWSQXEVSA-N -1 1 311.341 1.189 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@H]3C[C@H]3C2)c(C(F)(F)F)n1 ZINC000333423624 410540803 /nfs/dbraw/zinc/54/08/03/410540803.db2.gz JOJNPWGSKQRASU-RNLVFQAGSA-N -1 1 309.313 1.516 20 0 DDADMM O=C(NCC[C@@H]1COc2ccccc2O1)c1cncc([O-])c1 ZINC000339906529 410547480 /nfs/dbraw/zinc/54/74/80/410547480.db2.gz DWLNTBVQOGZMMT-CYBMUJFWSA-N -1 1 300.314 1.747 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@H]1c1ccc(F)c(F)c1 ZINC000352204529 410549347 /nfs/dbraw/zinc/54/93/47/410549347.db2.gz HSEUBAXPSWFAAF-IUCAKERBSA-N -1 1 305.288 1.387 20 0 DDADMM CCc1ncc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000359413295 410649151 /nfs/dbraw/zinc/64/91/51/410649151.db2.gz FOSQPPHNFUVOIN-UHFFFAOYSA-N -1 1 323.403 1.162 20 0 DDADMM CCC[C@H]1[C@@H](C)CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343959533 410850231 /nfs/dbraw/zinc/85/02/31/410850231.db2.gz BCWTYPXIPOLHQZ-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM Cc1ccc(S(=O)(=O)N[C@H]2CCC[N@H+](C)[C@@H]2C)c(F)c1 ZINC000343973615 410860337 /nfs/dbraw/zinc/86/03/37/410860337.db2.gz PLNZFYPBJSTSFY-YPMHNXCESA-N -1 1 300.399 1.895 20 0 DDADMM O=C(N[C@H]1CC[S@@](=O)C1)c1ccc(Br)c([O-])c1 ZINC000343977917 410862358 /nfs/dbraw/zinc/86/23/58/410862358.db2.gz KPTWZNRKBIBWBO-WNWIJWBNSA-N -1 1 318.192 1.406 20 0 DDADMM CCC(C)(C)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000359694988 410821116 /nfs/dbraw/zinc/82/11/16/410821116.db2.gz VFYFMEGODBIYRV-UHFFFAOYSA-N -1 1 300.380 1.675 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@H]1c1ccc(F)cc1F ZINC000348298500 410905401 /nfs/dbraw/zinc/90/54/01/410905401.db2.gz QVFCLLWEFUFTJS-UWVGGRQHSA-N -1 1 305.288 1.387 20 0 DDADMM C[C@H](CCc1ccccc1F)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348298518 410905778 /nfs/dbraw/zinc/90/57/78/410905778.db2.gz QZTLNBQSJOXJOO-SNVBAGLBSA-N -1 1 303.341 1.713 20 0 DDADMM CCCc1c(C(=O)NC2(c3nn[n-]n3)CC2)[nH]c(C)c1C(C)=O ZINC000348298645 410907315 /nfs/dbraw/zinc/90/73/15/410907315.db2.gz SAGWUKUCWZDKOT-UHFFFAOYSA-N -1 1 316.365 1.410 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC1(CCO)CCCCC1 ZINC000331277362 411054148 /nfs/dbraw/zinc/05/41/48/411054148.db2.gz YNHOMKCXYROGJE-UHFFFAOYSA-N -1 1 317.411 1.007 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(CCO)CCCCC1 ZINC000331277362 411054154 /nfs/dbraw/zinc/05/41/54/411054154.db2.gz YNHOMKCXYROGJE-UHFFFAOYSA-N -1 1 317.411 1.007 20 0 DDADMM CN(CC1CC1)S(=O)(=O)[N-]c1ccn(Cc2ccncc2)n1 ZINC000348738486 411071554 /nfs/dbraw/zinc/07/15/54/411071554.db2.gz IBFDHNYWVXPKGM-UHFFFAOYSA-N -1 1 321.406 1.325 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]2[C@H](O)C(F)(F)F)c([O-])c1 ZINC000331201579 411015580 /nfs/dbraw/zinc/01/55/80/411015580.db2.gz NEMCORKUVVCGBK-KCJUWKMLSA-N -1 1 304.268 1.623 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cn(CC(C)C)cn2)c1C ZINC000331252840 411043576 /nfs/dbraw/zinc/04/35/76/411043576.db2.gz QSMZGNFCOHYRCH-UHFFFAOYSA-N -1 1 311.411 1.934 20 0 DDADMM O=S(=O)([N-]CC1(CO)CCOCC1)c1cccc(F)c1F ZINC000129391025 196043969 /nfs/dbraw/zinc/04/39/69/196043969.db2.gz AVQLGHIXILYDNZ-UHFFFAOYSA-N -1 1 321.345 1.032 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](C)OC[C@H]2C)c1 ZINC000631721416 422892711 /nfs/dbraw/zinc/89/27/11/422892711.db2.gz RLOVPJYEPFSWCT-NXEZZACHSA-N -1 1 301.364 1.199 20 0 DDADMM CCOCc1ccccc1C[N-]S(=O)(=O)c1conc1C ZINC000631723262 422894667 /nfs/dbraw/zinc/89/46/67/422894667.db2.gz OTMCCVVEIWKOAL-UHFFFAOYSA-N -1 1 310.375 1.998 20 0 DDADMM COc1ccccc1[C@@H]1C[C@H]1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000580407791 422928144 /nfs/dbraw/zinc/92/81/44/422928144.db2.gz HZUPMKNFISVZTP-KGYLQXTDSA-N -1 1 315.377 1.574 20 0 DDADMM CC1(C)[C@H](N2CCOCC2)C[C@@H]1NC(=O)c1c([O-])cccc1F ZINC000640517947 423007348 /nfs/dbraw/zinc/00/73/48/423007348.db2.gz HJBYULFDMSZAPQ-UONOGXRCSA-N -1 1 322.380 1.760 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]1C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000647737862 423023073 /nfs/dbraw/zinc/02/30/73/423023073.db2.gz DHWCIOHPBGDIIS-HBNTYKKESA-N -1 1 304.350 1.109 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@H](c2nnc3ccccn32)C1 ZINC000133803868 196337142 /nfs/dbraw/zinc/33/71/42/196337142.db2.gz IFFQIZSCTHJMKB-LBPRGKRZSA-N -1 1 323.356 1.850 20 0 DDADMM CS(=O)(=O)CC1(C[N@@H+](CC(=O)[O-])C2CCCCC2)CC1 ZINC000652482116 423036756 /nfs/dbraw/zinc/03/67/56/423036756.db2.gz NTAYNXNWXJWUSE-UHFFFAOYSA-N -1 1 303.424 1.531 20 0 DDADMM COc1ccc(-c2nc(-c3cnc(N(C)C)cn3)no2)c([O-])c1 ZINC000350567051 306753042 /nfs/dbraw/zinc/75/30/42/306753042.db2.gz LMEOLEBTCJGRKM-UHFFFAOYSA-N -1 1 313.317 1.974 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)[C@@H]1CCOC1 ZINC000645567429 423087610 /nfs/dbraw/zinc/08/76/10/423087610.db2.gz KJSBQYURHJTHCE-RKDXNWHRSA-N -1 1 324.324 1.804 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C(C)C)C1(CO)CCC1 ZINC000490078476 416566978 /nfs/dbraw/zinc/56/69/78/416566978.db2.gz HKSGTNPWNTUCBK-LLVKDONJSA-N -1 1 317.411 1.031 20 0 DDADMM O=S(=O)([N-]CCO[C@@H]1CCCCO1)c1cc(F)ccc1F ZINC000647885498 423100013 /nfs/dbraw/zinc/10/00/13/423100013.db2.gz UVSOYVSGBNPRGJ-CYBMUJFWSA-N -1 1 321.345 1.786 20 0 DDADMM CC[C@@H](O)CC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645605387 423103565 /nfs/dbraw/zinc/10/35/65/423103565.db2.gz QPTZXUWXELFLLE-MRVPVSSYSA-N -1 1 312.313 1.540 20 0 DDADMM Cc1ccc([C@H](CC(=O)[O-])NCc2ccc(C(N)=O)cc2)o1 ZINC000417576920 225369385 /nfs/dbraw/zinc/36/93/85/225369385.db2.gz VSJIRFJAWLXRKC-ZDUSSCGKSA-N -1 1 302.330 1.993 20 0 DDADMM O=C([N-]c1nnc(C(F)(F)C(F)(F)F)s1)c1nc[nH]n1 ZINC000633728799 417484386 /nfs/dbraw/zinc/48/43/86/417484386.db2.gz CGNSAHDLYHDRMS-UHFFFAOYSA-N -1 1 314.199 1.563 20 0 DDADMM CSc1nc(CNC(=O)CC[C@@H]2CC[C@H](C)O2)cc(=O)[n-]1 ZINC000640659282 423120963 /nfs/dbraw/zinc/12/09/63/423120963.db2.gz WEFNZCYCCWGNKQ-ONGXEEELSA-N -1 1 311.407 1.868 20 0 DDADMM CO[C@@](C)(CNC(=O)c1c[n-]c2c(cnn2C)c1=O)C1CC1 ZINC000360744583 418505475 /nfs/dbraw/zinc/50/54/75/418505475.db2.gz JQOBPBUJRIFQOM-HNNXBMFYSA-N -1 1 304.350 1.219 20 0 DDADMM Cn1[n-]cc2/c(=N/C(=O)N3CCOC4(CCC4)C3)ccnc1-2 ZINC000287776885 418574303 /nfs/dbraw/zinc/57/43/03/418574303.db2.gz WLCBEMJKSSRRGC-LDADJPATSA-N -1 1 301.350 1.129 20 0 DDADMM CO[C@@H]1CCN(C(=O)CCc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000360980173 418558879 /nfs/dbraw/zinc/55/88/79/418558879.db2.gz SLFRTEPYJFVHAH-GFCCVEGCSA-N -1 1 318.377 1.232 20 0 DDADMM C[C@@H]1[C@H](N2CCOCC2)CCN1C(=O)c1cc(F)ccc1[O-] ZINC000291017908 222076396 /nfs/dbraw/zinc/07/63/96/222076396.db2.gz VCZSVOANXZEBHQ-BXUZGUMPSA-N -1 1 308.353 1.467 20 0 DDADMM O=C(NCc1ccc(NC(=O)c2ccco2)cc1)c1cnn[nH]1 ZINC000194233391 222173540 /nfs/dbraw/zinc/17/35/40/222173540.db2.gz IZSSZJXXIGDDNT-UHFFFAOYSA-N -1 1 311.301 1.580 20 0 DDADMM C[C@@](O)(CN1Cc2ccccc2[C@H](C(=O)[O-])C1)C(F)(F)F ZINC000313874280 418592500 /nfs/dbraw/zinc/59/25/00/418592500.db2.gz MERFMJFYIDTBNV-DGCLKSJQSA-N -1 1 303.280 1.984 20 0 DDADMM Cc1ccc2c(c1)CN(C(=O)CNC(=O)c1ncccc1[O-])C2 ZINC000361322623 418625751 /nfs/dbraw/zinc/62/57/51/418625751.db2.gz XXPUOZNGYMGLOX-UHFFFAOYSA-N -1 1 311.341 1.368 20 0 DDADMM CN1CC[C@@H]2CCN(S(=O)(=O)c3cccc(C(=O)[O-])c3)C[C@@H]21 ZINC000375578180 418658671 /nfs/dbraw/zinc/65/86/71/418658671.db2.gz OGKOEDDQYMYNQP-RISCZKNCSA-N -1 1 324.402 1.100 20 0 DDADMM COc1cc(C(=O)N=c2cc(-c3ccc(C)s3)[n-][nH]2)nn1C ZINC000361482911 418660409 /nfs/dbraw/zinc/66/04/09/418660409.db2.gz YZKODWJAOFLEFH-UHFFFAOYSA-N -1 1 317.374 1.863 20 0 DDADMM Cn1[n-]c(CN[C@H](CO)c2c(Cl)cccc2Cl)nc1=O ZINC000361543133 418674376 /nfs/dbraw/zinc/67/43/76/418674376.db2.gz KVIBEMWWFSJZJP-SECBINFHSA-N -1 1 317.176 1.238 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC[C@@H](N2CCOCC2)C1 ZINC000382476052 418731565 /nfs/dbraw/zinc/73/15/65/418731565.db2.gz HVRIPVILDHZAPT-CYBMUJFWSA-N -1 1 308.353 1.468 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)c1ccc(C(=O)[O-])cn1 ZINC000387871880 418744370 /nfs/dbraw/zinc/74/43/70/418744370.db2.gz BKDYMXWHEWMCMK-AAEUAGOBSA-N -1 1 303.362 1.479 20 0 DDADMM O=C([O-])C1(C(=O)N[C@H]2CCCN(Cc3ccccc3)C2)CC1 ZINC000380888883 418727408 /nfs/dbraw/zinc/72/74/08/418727408.db2.gz VVMIZLKHOXTQAV-AWEZNQCLSA-N -1 1 302.374 1.632 20 0 DDADMM Cc1cc(=O)[nH]c([C@H](C)[NH+]2CCC(C(=O)NC3CC3)CC2)n1 ZINC000364624927 418794503 /nfs/dbraw/zinc/79/45/03/418794503.db2.gz VSZSGSDQJQPWLJ-NSHDSACASA-N -1 1 304.394 1.542 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2ccccc2-c2nnc[nH]2)c[nH]1 ZINC000372324613 418856168 /nfs/dbraw/zinc/85/61/68/418856168.db2.gz KYNIHDRTIANXNK-UHFFFAOYSA-N -1 1 304.335 1.304 20 0 DDADMM CC(C)Oc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)nc1 ZINC000365591573 418879593 /nfs/dbraw/zinc/87/95/93/418879593.db2.gz VUHXXPBHUIMCQV-NSHDSACASA-N -1 1 316.365 1.402 20 0 DDADMM CCc1cc(=O)[nH]c(C[N@H+]2C[C@@H](C(=O)OC)C3(CCC3)C2)n1 ZINC000373185315 418931220 /nfs/dbraw/zinc/93/12/20/418931220.db2.gz ZHAGRXCLMNJZPL-LBPRGKRZSA-N -1 1 305.378 1.520 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@H]2CCCN(C)[C@H]2C)c1 ZINC000424755340 228313208 /nfs/dbraw/zinc/31/32/08/228313208.db2.gz DYSQOUADUFIVCC-FIKRCHLTSA-N -1 1 324.446 1.402 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)C(=O)NCCF)c(F)c1 ZINC000425185771 228384266 /nfs/dbraw/zinc/38/42/66/228384266.db2.gz HMYCCHIDJGTAHT-QMMMGPOBSA-N -1 1 324.324 1.026 20 0 DDADMM CCn1ncnc1C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425186645 228385166 /nfs/dbraw/zinc/38/51/66/228385166.db2.gz ISHCUZDYODNFBL-UHFFFAOYSA-N -1 1 316.333 1.363 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2C(=O)NCC[C@H]2C)c(F)c1 ZINC000425193341 228387203 /nfs/dbraw/zinc/38/72/03/228387203.db2.gz LZRXDDUFJYLCFC-KCJUWKMLSA-N -1 1 318.345 1.076 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cnccc1-n1cccn1)OC ZINC000421222776 419528253 /nfs/dbraw/zinc/52/82/53/419528253.db2.gz DBHLVPLPJXUKQI-NSHDSACASA-N -1 1 310.379 1.434 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc2ccccc2n1CC(F)(F)F ZINC000426872726 419561869 /nfs/dbraw/zinc/56/18/69/419561869.db2.gz YDVNRDNGEMEOLA-UHFFFAOYSA-N -1 1 310.239 1.969 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cccc2c1ccn(C)c2=O)OC ZINC000421164234 419511259 /nfs/dbraw/zinc/51/12/59/419511259.db2.gz KDPKILNRPWXIGX-NSHDSACASA-N -1 1 324.402 1.705 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cccc2c1CCNC2=O)OC ZINC000421168777 419513868 /nfs/dbraw/zinc/51/38/68/419513868.db2.gz YVSSFXUYBSRCAM-SNVBAGLBSA-N -1 1 312.391 1.139 20 0 DDADMM CC(=O)c1ccc(C(=O)N[C@H]2C[N@@H+](C3CC3)C[C@H]2C)cc1O ZINC000412182818 419709486 /nfs/dbraw/zinc/70/94/86/419709486.db2.gz KKZXLJWRAYNYKV-BMIGLBTASA-N -1 1 302.374 1.807 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(C(C)(C)C)cn1 ZINC000422105372 419843938 /nfs/dbraw/zinc/84/39/38/419843938.db2.gz USVNSFNSBDFJHL-UHFFFAOYSA-N -1 1 315.377 1.444 20 0 DDADMM COC(=O)[C@](C)(NC(=O)c1ncccc1[O-])c1ccc(F)cc1 ZINC000428572172 419895995 /nfs/dbraw/zinc/89/59/95/419895995.db2.gz ZKCRIUUZOWDLPU-MRXNPFEDSA-N -1 1 318.304 1.745 20 0 DDADMM C[C@H]1COCC[C@@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000432309466 229094304 /nfs/dbraw/zinc/09/43/04/229094304.db2.gz XKNDLOLNBMWCHL-YUMQZZPRSA-N -1 1 324.196 1.745 20 0 DDADMM O=S(=O)([N-][C@@H](c1cc(F)ccc1F)C(F)F)c1c[nH]cn1 ZINC000432968998 229141514 /nfs/dbraw/zinc/14/15/14/229141514.db2.gz DBNQYDMRKTUEKQ-JTQLQIEISA-N -1 1 323.271 1.973 20 0 DDADMM O=C([N-]c1n[nH]c(-c2ccncc2)n1)c1ncc(F)cc1F ZINC000433080856 229149537 /nfs/dbraw/zinc/14/95/37/229149537.db2.gz DFLBLHQGKOWATB-UHFFFAOYSA-N -1 1 302.244 1.792 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn(C)nc2C2CCC2)n1 ZINC000415625283 420127900 /nfs/dbraw/zinc/12/79/00/420127900.db2.gz CCLFZYDSHUEQEQ-UHFFFAOYSA-N -1 1 317.349 1.840 20 0 DDADMM O=C(C(=O)N1CCO[C@@H](c2ccco2)C1)c1ccc([O-])cc1 ZINC000436510792 420335754 /nfs/dbraw/zinc/33/57/54/420335754.db2.gz HCFIUCHWSPXNPL-CQSZACIVSA-N -1 1 301.298 1.768 20 0 DDADMM O=C(NCc1ccccc1OCCO)c1cc(F)ccc1[O-] ZINC000436573821 420341236 /nfs/dbraw/zinc/34/12/36/420341236.db2.gz IMJWEBWYLUJBAN-UHFFFAOYSA-N -1 1 305.305 1.832 20 0 DDADMM COc1ccc(O)c(C(=O)N=c2nc([C@@H](C)OC)[n-]s2)c1 ZINC000436580524 420341537 /nfs/dbraw/zinc/34/15/37/420341537.db2.gz BPHPPOZSPYOCRX-SSDOTTSWSA-N -1 1 309.347 1.634 20 0 DDADMM COCC[C@@H](NC(=O)c1cc2ccccc2cc1[O-])C(N)=O ZINC000436690441 420355659 /nfs/dbraw/zinc/35/56/59/420355659.db2.gz QMBNZALQUVBOML-CYBMUJFWSA-N -1 1 302.330 1.166 20 0 DDADMM CC(=O)NCC[C@@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000436737668 420362275 /nfs/dbraw/zinc/36/22/75/420362275.db2.gz JOPYSMOYMBYQTE-LBPRGKRZSA-N -1 1 308.353 1.910 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@@H]3CCC(=O)N3)[nH][n-]2)c1 ZINC000416250146 420296064 /nfs/dbraw/zinc/29/60/64/420296064.db2.gz CSAGVCZLXUSCSP-NSHDSACASA-N -1 1 302.309 1.163 20 0 DDADMM O=C(N[C@@H](CO)CC(F)F)c1ccc(Br)cc1[O-] ZINC000436883107 420379335 /nfs/dbraw/zinc/37/93/35/420379335.db2.gz YZOCHIFCYSVPKZ-SSDOTTSWSA-N -1 1 324.121 1.901 20 0 DDADMM O=C(NCc1ccc2c(c1)C(=O)NCC2)c1c([O-])cccc1F ZINC000436928005 420383877 /nfs/dbraw/zinc/38/38/77/420383877.db2.gz AYMVRWAJFNHJNO-UHFFFAOYSA-N -1 1 314.316 1.747 20 0 DDADMM O=C(CC1CCCC1)NCCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000436961281 420387538 /nfs/dbraw/zinc/38/75/38/420387538.db2.gz GRYCSORFOZBIRR-UHFFFAOYSA-N -1 1 318.373 1.388 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2cc(Cl)cnc2N)n1 ZINC000443751984 230027796 /nfs/dbraw/zinc/02/77/96/230027796.db2.gz BHTCIHXOHFFAGB-RXMQYKEDSA-N -1 1 317.758 1.048 20 0 DDADMM Cn1cnc(CC[N-]S(=O)(=O)c2cccc(F)c2F)c1 ZINC000416535610 420410308 /nfs/dbraw/zinc/41/03/08/420410308.db2.gz MXMZFIDTGJFPPF-UHFFFAOYSA-N -1 1 301.318 1.219 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cc(F)cc1F)c1ccn(C)n1 ZINC000416566130 420420289 /nfs/dbraw/zinc/42/02/89/420420289.db2.gz VWGSQVDZMBOVEJ-ZETCQYMHSA-N -1 1 319.308 1.877 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(Br)cc1[O-])C(=O)NC ZINC000457064722 420600252 /nfs/dbraw/zinc/60/02/52/420600252.db2.gz WJEGKMXNOZHURR-SECBINFHSA-N -1 1 315.167 1.409 20 0 DDADMM CCCNC(=O)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000450989610 420576935 /nfs/dbraw/zinc/57/69/35/420576935.db2.gz GGOVIDLWZDRITO-UHFFFAOYSA-N -1 1 314.338 1.376 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1C[C@]12CCc1ccccc12 ZINC000440642485 420593828 /nfs/dbraw/zinc/59/38/28/420593828.db2.gz YIGFTIHKWJBFLD-PBHICJAKSA-N -1 1 323.400 1.989 20 0 DDADMM Cc1ncsc1C=CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000492716623 420639618 /nfs/dbraw/zinc/63/96/18/420639618.db2.gz OANWARMSDKHQLB-SNAWJCMRSA-N -1 1 304.379 1.564 20 0 DDADMM CC(C)(C)OC(=O)NCC(C)(C)C(=O)[N-]OC[C@@H]1CCOC1 ZINC000492772496 420660353 /nfs/dbraw/zinc/66/03/53/420660353.db2.gz QVSGLAWWEOHJIG-LLVKDONJSA-N -1 1 316.398 1.622 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2c[nH]c3ccccc23)[n-]n1 ZINC000447653650 420790625 /nfs/dbraw/zinc/79/06/25/420790625.db2.gz NCVHRLRLNIJXDZ-UHFFFAOYSA-N -1 1 309.373 1.784 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccc(C)nc1)c1ccccc1 ZINC000447756287 420799287 /nfs/dbraw/zinc/79/92/87/420799287.db2.gz FYUVIVRSDOVULL-AWEZNQCLSA-N -1 1 320.370 1.583 20 0 DDADMM C[C@@H](O)C[C@H]1CCCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000447893153 420812158 /nfs/dbraw/zinc/81/21/58/420812158.db2.gz LBMPTASVXIEEPL-VXGBXAGGSA-N -1 1 315.373 1.689 20 0 DDADMM COC[C@H](C)n1ccc([N-]S(=O)(=O)N2CC[C@@H](C)C2)n1 ZINC000489040432 421133038 /nfs/dbraw/zinc/13/30/38/421133038.db2.gz KXZBDCZUYLRILC-MNOVXSKESA-N -1 1 302.400 1.089 20 0 DDADMM CCOc1cc(C(=O)N2CC(=O)N[C@@H](C)C2)cc(Cl)c1[O-] ZINC000456203441 421119356 /nfs/dbraw/zinc/11/93/56/421119356.db2.gz ZCTWVVLYYXWFTN-QMMMGPOBSA-N -1 1 312.753 1.405 20 0 DDADMM CO[C@H]([C@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O)C1CC1 ZINC000456541808 421180753 /nfs/dbraw/zinc/18/07/53/421180753.db2.gz DAHWQDOZORTSJE-ISVAXAHUSA-N -1 1 304.350 1.217 20 0 DDADMM CC(C)Oc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)nc1 ZINC000559829495 421226755 /nfs/dbraw/zinc/22/67/55/421226755.db2.gz KOHOGNHSPBJIIL-UHFFFAOYSA-N -1 1 316.365 1.581 20 0 DDADMM C[C@@H]1C[C@@H](c2ccccc2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546798732 421311562 /nfs/dbraw/zinc/31/15/62/421311562.db2.gz APGSNXZJFFLFSN-DGCLKSJQSA-N -1 1 323.356 1.436 20 0 DDADMM C[C@H]1[C@H](c2ccccc2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546855995 421312389 /nfs/dbraw/zinc/31/23/89/421312389.db2.gz QTDWEPPTKQYHPX-WCQYABFASA-N -1 1 323.356 1.436 20 0 DDADMM CC(C)CC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC000546854039 421312660 /nfs/dbraw/zinc/31/26/60/421312660.db2.gz LIUVKHYBYDSHSN-UHFFFAOYSA-N -1 1 303.366 1.364 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@]2(C)CCO[C@@H]2C2CC2)[n-]n1 ZINC000545927909 421272337 /nfs/dbraw/zinc/27/23/37/421272337.db2.gz LJMAPNOJRSAETJ-GDBMZVCRSA-N -1 1 304.394 1.265 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cccc2cnccc21 ZINC000525288512 421284583 /nfs/dbraw/zinc/28/45/83/421284583.db2.gz GOQWPKMCNGWJLV-UHFFFAOYSA-N -1 1 308.345 1.947 20 0 DDADMM Cc1nc2ccc(C(=O)N(C)C[C@H](C)c3nn[n-]n3)cc2n1C ZINC000527006994 421347092 /nfs/dbraw/zinc/34/70/92/421347092.db2.gz MGXKZCIEWBDSSO-VIFPVBQESA-N -1 1 313.365 1.271 20 0 DDADMM COc1ccc(O)cc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000547712324 421358352 /nfs/dbraw/zinc/35/83/52/421358352.db2.gz NIUVSFKOYKJDAL-UHFFFAOYSA-N -1 1 303.322 1.113 20 0 DDADMM NS(=O)(=O)c1ccc(-c2nc3ccc(F)cc3c(=O)[n-]2)nc1 ZINC000547951257 421382080 /nfs/dbraw/zinc/38/20/80/421382080.db2.gz AHUJBXNSXOPYNS-UHFFFAOYSA-N -1 1 320.305 1.184 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(OC(F)F)cn1)c1nn[n-]n1 ZINC000548138634 421405207 /nfs/dbraw/zinc/40/52/07/421405207.db2.gz YZWRPXIUELXMKL-ZETCQYMHSA-N -1 1 312.280 1.072 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(OC(F)F)cn1)c1nn[n-]n1 ZINC000548138631 421405331 /nfs/dbraw/zinc/40/53/31/421405331.db2.gz YZWRPXIUELXMKL-SSDOTTSWSA-N -1 1 312.280 1.072 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2ccc3c(c2)C(=O)CCO3)c1C ZINC000562740822 421407768 /nfs/dbraw/zinc/40/77/68/421407768.db2.gz CVBKFRJOPGATOX-UHFFFAOYSA-N -1 1 321.358 1.793 20 0 DDADMM CO[C@@H]1C[C@@H](C(=O)[O-])N(CCOCCOc2ccccc2)C1 ZINC000515855930 421519875 /nfs/dbraw/zinc/51/98/75/421519875.db2.gz MMPRVTNIGRBFHN-CABCVRRESA-N -1 1 309.362 1.256 20 0 DDADMM O=C(C(=O)N1CCC(c2nnco2)CC1)c1ccc([O-])cc1 ZINC000563261799 421478431 /nfs/dbraw/zinc/47/84/31/421478431.db2.gz JBVLTRIDYZMVMV-UHFFFAOYSA-N -1 1 301.302 1.364 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1n[nH]c(=O)[n-]1)c1ccc(Cl)cc1 ZINC000530602632 421609185 /nfs/dbraw/zinc/60/91/85/421609185.db2.gz ARJDOBIHTCAIFR-JTQLQIEISA-N -1 1 309.757 1.196 20 0 DDADMM O=C([O-])c1coc(=NCCCS(=O)(=O)Cc2ccccc2)[nH]1 ZINC000517377122 421611628 /nfs/dbraw/zinc/61/16/28/421611628.db2.gz HXFSZZHMRJIHEN-UHFFFAOYSA-N -1 1 324.358 1.212 20 0 DDADMM Cc1ccc(F)c(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000519310440 421688860 /nfs/dbraw/zinc/68/88/60/421688860.db2.gz FOYMIJQYVPJDQV-LLVKDONJSA-N -1 1 303.341 1.596 20 0 DDADMM C[C@H](OCc1ccc(F)cc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000556265447 421706356 /nfs/dbraw/zinc/70/63/56/421706356.db2.gz GIXBBOCLBDTMQL-VIFPVBQESA-N -1 1 305.313 1.049 20 0 DDADMM CC(C)C[C@@H](CO)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000571555981 421725759 /nfs/dbraw/zinc/72/57/59/421725759.db2.gz GWZSAYOVWOQCOI-JTQLQIEISA-N -1 1 314.432 1.982 20 0 DDADMM O=C(Cc1cccc(F)c1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000537122671 421727715 /nfs/dbraw/zinc/72/77/15/421727715.db2.gz IQCOKVGWFMSXEQ-JTQLQIEISA-N -1 1 307.304 1.427 20 0 DDADMM O=c1cc(C[N@H+]2CC[C@H](CO)[C@H](O)C2)nc(-c2ccccc2)[nH]1 ZINC000556782811 421736912 /nfs/dbraw/zinc/73/69/12/421736912.db2.gz MBMUYJGPEJJYKB-UKRRQHHQSA-N -1 1 315.373 1.024 20 0 DDADMM Cc1c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)sc2nccn12 ZINC000538224949 421737098 /nfs/dbraw/zinc/73/70/98/421737098.db2.gz NANMIDACMNJWFJ-VIFPVBQESA-N -1 1 317.378 1.237 20 0 DDADMM CC(C)Cc1c[nH]c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000556824019 421742234 /nfs/dbraw/zinc/74/22/34/421742234.db2.gz CPBBSSQADDWFTH-LBPRGKRZSA-N -1 1 302.382 1.746 20 0 DDADMM Cc1ccc(C[C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)s1 ZINC000541843274 421808055 /nfs/dbraw/zinc/80/80/55/421808055.db2.gz DWPMGWYPEIEWEO-MRVPVSSYSA-N -1 1 317.374 1.149 20 0 DDADMM Cc1cc(=O)c(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1C ZINC000559318412 421833253 /nfs/dbraw/zinc/83/32/53/421833253.db2.gz FDCUCLGRGVHPNX-UHFFFAOYSA-N -1 1 301.228 1.083 20 0 DDADMM CC(C)(C)c1csc(NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC000572686696 421810789 /nfs/dbraw/zinc/81/07/89/421810789.db2.gz YRVRPOZMOYIDAH-UHFFFAOYSA-N -1 1 318.362 1.424 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC[C@H]1CCOC1 ZINC000542297255 421820188 /nfs/dbraw/zinc/82/01/88/421820188.db2.gz XZWNJOICNYZHFJ-LBPRGKRZSA-N -1 1 318.377 1.137 20 0 DDADMM CC(C)Cn1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cn1 ZINC000542889126 421831948 /nfs/dbraw/zinc/83/19/48/421831948.db2.gz SDTGDBPDBVOJSJ-LLVKDONJSA-N -1 1 303.370 1.072 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCC2=CCCOC2)c(=O)[n-]1 ZINC000557984588 421795812 /nfs/dbraw/zinc/79/58/12/421795812.db2.gz ZEZCZNWQPQTZFJ-UHFFFAOYSA-N -1 1 323.418 1.608 20 0 DDADMM CO[C@H]1C[C@@H](C(=O)[O-])N(Cc2ccc(OC(F)F)cc2)C1 ZINC000580955685 421872187 /nfs/dbraw/zinc/87/21/87/421872187.db2.gz MRFPBMLWMJYOPN-RYUDHWBXSA-N -1 1 301.289 1.962 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000635307837 421896389 /nfs/dbraw/zinc/89/63/89/421896389.db2.gz GMTRVFMDJNVBRP-NSHDSACASA-N -1 1 320.393 1.142 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@H](c1ccccc1)C(C)(C)CO ZINC000635313673 421899067 /nfs/dbraw/zinc/89/90/67/421899067.db2.gz MSPNWSKURBZSTF-OAHLLOKOSA-N -1 1 317.389 1.968 20 0 DDADMM CCCNC(=O)C1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000543749685 421841119 /nfs/dbraw/zinc/84/11/19/421841119.db2.gz VKJASLPFRHIXPX-UHFFFAOYSA-N -1 1 315.377 1.336 20 0 DDADMM CCOC1CN(c2ccc(=NCc3ccccc3F)[n-]n2)C1 ZINC000572706023 421846110 /nfs/dbraw/zinc/84/61/10/421846110.db2.gz NDAXKFWJJOMNQR-UHFFFAOYSA-N -1 1 302.353 1.875 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCc2cc(F)c(F)c(F)c21 ZINC000633334056 421848270 /nfs/dbraw/zinc/84/82/70/421848270.db2.gz RDSNCGHCACIBDQ-QMMMGPOBSA-N -1 1 311.267 1.353 20 0 DDADMM O=C(CCc1nn[n-]n1)NC1(Cc2cccc(Cl)c2)CC1 ZINC000633348312 421856693 /nfs/dbraw/zinc/85/66/93/421856693.db2.gz QZARUVSDYDEGBL-UHFFFAOYSA-N -1 1 305.769 1.677 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)n1ccnc1 ZINC000572776432 421859870 /nfs/dbraw/zinc/85/98/70/421859870.db2.gz KQAMQKGVDCWRGC-WDEREUQCSA-N -1 1 305.338 1.329 20 0 DDADMM C[C@H](Oc1ccc2c(c1)CCC2)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000572812553 421863776 /nfs/dbraw/zinc/86/37/76/421863776.db2.gz HXSSESGGYFMJFU-JTQLQIEISA-N -1 1 313.361 1.261 20 0 DDADMM CC1(C)CCc2nc(NC(=O)CCc3nn[n-]n3)sc2C1 ZINC000633453046 421912698 /nfs/dbraw/zinc/91/26/98/421912698.db2.gz SXADPXKPAIFOIU-UHFFFAOYSA-N -1 1 306.395 1.742 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H](C(=O)[O-])c1ccccc1F ZINC000630147388 421918830 /nfs/dbraw/zinc/91/88/30/421918830.db2.gz URZYPRFSHJNGLS-TVQRCGJNSA-N -1 1 305.309 1.670 20 0 DDADMM COCC1(C(=O)[O-])CCN(C(=O)c2cccc3n[nH]cc32)CC1 ZINC000630166257 421931334 /nfs/dbraw/zinc/93/13/34/421931334.db2.gz FYKJONBCVNXBPR-UHFFFAOYSA-N -1 1 317.345 1.516 20 0 DDADMM C[C@@H]1CN(S(=O)(=O)c2cccc(Cl)c2[O-])[C@@H](C)CN1 ZINC000631929887 421947885 /nfs/dbraw/zinc/94/78/85/421947885.db2.gz PXXJRINVMOHBAX-BDAKNGLRSA-N -1 1 304.799 1.417 20 0 DDADMM Cc1noc([C@H](NCc2ncccc2[O-])C2CCOCC2)n1 ZINC000573366145 421956819 /nfs/dbraw/zinc/95/68/19/421956819.db2.gz FZRISWQBMGRCQZ-CQSZACIVSA-N -1 1 304.350 1.736 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2nccn2C(F)F)sn1 ZINC000632038081 422041134 /nfs/dbraw/zinc/04/11/34/422041134.db2.gz OFLKJEKIOGSQNH-UHFFFAOYSA-N -1 1 308.335 1.522 20 0 DDADMM Cc1ccc(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)s1 ZINC000630225717 421976393 /nfs/dbraw/zinc/97/63/93/421976393.db2.gz WRZKRLCFYACDNP-LLVKDONJSA-N -1 1 310.419 1.606 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccc(C(F)F)o2)CC1 ZINC000630227520 421979074 /nfs/dbraw/zinc/97/90/74/421979074.db2.gz NRCHBPRXQFPHEA-UHFFFAOYSA-N -1 1 316.304 1.838 20 0 DDADMM O=C([O-])[C@@H](C[C@@H]1CCCOC1)NC(=O)c1n[nH]c2ccccc21 ZINC000630277535 422002651 /nfs/dbraw/zinc/00/26/51/422002651.db2.gz ZXLUANPXEJZDCS-GXFFZTMASA-N -1 1 317.345 1.563 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCCOC[C@H]2C)c1 ZINC000632127387 422107628 /nfs/dbraw/zinc/10/76/28/422107628.db2.gz UTJPPESZKGEWLM-SNVBAGLBSA-N -1 1 301.364 1.200 20 0 DDADMM CCc1ccc(F)cc1C(=O)N=c1[nH][n-]c(C)c1C(=O)NC ZINC000633690634 422052254 /nfs/dbraw/zinc/05/22/54/422052254.db2.gz HPCGBYJSYJCCKR-UHFFFAOYSA-N -1 1 304.325 1.453 20 0 DDADMM O=C(CCc1nn[n-]n1)NC1(c2c(F)cccc2F)CCC1 ZINC000633699599 422059938 /nfs/dbraw/zinc/05/99/38/422059938.db2.gz WPEWHAYLEGBPEW-UHFFFAOYSA-N -1 1 307.304 1.606 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC(C)(C)C[C@H](C)O)c1 ZINC000632181549 422142961 /nfs/dbraw/zinc/14/29/61/422142961.db2.gz KEXWUABRGAGERK-JTQLQIEISA-N -1 1 317.407 1.476 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@@H](C(C)C)C2)c1 ZINC000632185749 422147049 /nfs/dbraw/zinc/14/70/49/422147049.db2.gz CGHSZYACIJUXKC-CYBMUJFWSA-N -1 1 315.391 1.446 20 0 DDADMM COc1ccc(C[C@@H](C)CN(C)C(=O)CCc2nn[n-]n2)cc1 ZINC000632187090 422151169 /nfs/dbraw/zinc/15/11/69/422151169.db2.gz UJRMZQRLBNRDOH-GFCCVEGCSA-N -1 1 317.393 1.478 20 0 DDADMM COc1ccccc1C[C@H](C)N(C)C(=O)CCCc1nn[n-]n1 ZINC000635616120 422168756 /nfs/dbraw/zinc/16/87/56/422168756.db2.gz ANYSZDZNPGHODR-LBPRGKRZSA-N -1 1 317.393 1.621 20 0 DDADMM C[C@H](CNC(=O)CCCc1nn[n-]n1)Sc1ccccc1 ZINC000635620194 422171748 /nfs/dbraw/zinc/17/17/48/422171748.db2.gz VFGYLEVZPRPZBU-LLVKDONJSA-N -1 1 305.407 1.819 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCCC[C@H]2[C@H](C)O)c1 ZINC000632147743 422120687 /nfs/dbraw/zinc/12/06/87/422120687.db2.gz ACKGHSZDWWKMHL-JQWIXIFHSA-N -1 1 315.391 1.325 20 0 DDADMM CC(C)(CNC(=O)CCCc1nn[n-]n1)Cc1ccccc1 ZINC000635578387 422131807 /nfs/dbraw/zinc/13/18/07/422131807.db2.gz XHENILZFJNEDKZ-UHFFFAOYSA-N -1 1 301.394 1.908 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632162621 422131749 /nfs/dbraw/zinc/13/17/49/422131749.db2.gz IDJSYKDILXISAB-SFYZADRCSA-N -1 1 322.333 1.410 20 0 DDADMM Cc1nnc(SCC(=O)N=c2nc(C3(C)CC3)[n-]s2)[nH]1 ZINC000628539993 422212331 /nfs/dbraw/zinc/21/23/31/422212331.db2.gz VFCSALLJZQREAG-UHFFFAOYSA-N -1 1 310.408 1.169 20 0 DDADMM C[C@H]1COCC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632278444 422216895 /nfs/dbraw/zinc/21/68/95/422216895.db2.gz RGJTUCMHDVZONY-NKWVEPMBSA-N -1 1 313.301 1.132 20 0 DDADMM C[C@H]1OCC[C@@]1(O)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632288683 422224813 /nfs/dbraw/zinc/22/48/13/422224813.db2.gz LCEFYHGFEXKRHJ-RDDDGLTNSA-N -1 1 311.306 1.035 20 0 DDADMM C[C@@H]1c2cc(F)cc(F)c2CCN1C(=O)CCCc1nn[n-]n1 ZINC000635679789 422235543 /nfs/dbraw/zinc/23/55/43/422235543.db2.gz GIUYFWUHUGQBLN-SECBINFHSA-N -1 1 321.331 1.947 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCN(c2ccc(Cl)cc2)C1 ZINC000632312073 422241802 /nfs/dbraw/zinc/24/18/02/422241802.db2.gz LTDLMDDBWLYZBS-NSHDSACASA-N -1 1 320.784 1.181 20 0 DDADMM O=C([O-])c1cccc(N2CCC[C@@H](N3CCOCC3)CC2)n1 ZINC000574822280 422190343 /nfs/dbraw/zinc/19/03/43/422190343.db2.gz DQEFVUJAQVFGCY-CYBMUJFWSA-N -1 1 305.378 1.471 20 0 DDADMM C[C@@H]([C@@H]1Cc2ccccc2O1)N(C)C(=O)CCCc1nn[n-]n1 ZINC000635716459 422273917 /nfs/dbraw/zinc/27/39/17/422273917.db2.gz RWYIIOUQUIZUHZ-FZMZJTMJSA-N -1 1 315.377 1.373 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccc(Br)s1 ZINC000630705532 422280738 /nfs/dbraw/zinc/28/07/38/422280738.db2.gz VGJQPWCZCGRGEW-UHFFFAOYSA-N -1 1 316.184 1.273 20 0 DDADMM CC(C)Oc1cccc(CN(C)C(=O)CCCc2nn[n-]n2)c1 ZINC000635736024 422295440 /nfs/dbraw/zinc/29/54/40/422295440.db2.gz NUTSZAMAIFBRAY-UHFFFAOYSA-N -1 1 317.393 1.968 20 0 DDADMM CCn1ccnc1[C@@H]1CCCCN1C(=O)CCCc1nn[n-]n1 ZINC000635889749 422442917 /nfs/dbraw/zinc/44/29/17/422442917.db2.gz ZTERIISXXXBMEM-LBPRGKRZSA-N -1 1 317.397 1.493 20 0 DDADMM CC(C)(C)C(=O)NCCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630874782 422389013 /nfs/dbraw/zinc/38/90/13/422389013.db2.gz QJOBURZHDJMASC-UHFFFAOYSA-N -1 1 312.797 1.867 20 0 DDADMM C[C@@H]1SCC[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632504833 422396455 /nfs/dbraw/zinc/39/64/55/422396455.db2.gz NDMFYPCLVHBAHY-WDSKDSINSA-N -1 1 315.342 1.601 20 0 DDADMM CC(C)(CNC(=O)CCc1nn[n-]n1)c1ccccc1Cl ZINC000630914741 422410824 /nfs/dbraw/zinc/41/08/24/422410824.db2.gz LHGUYOYZXMFRGM-UHFFFAOYSA-N -1 1 307.785 1.880 20 0 DDADMM C[C@@]1(CCCC(=O)Nc2cccc(F)c2[O-])NC(=O)NC1=O ZINC000628960478 422418148 /nfs/dbraw/zinc/41/81/48/422418148.db2.gz JOXQLHVVCQNHIB-AWEZNQCLSA-N -1 1 309.297 1.238 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])c1cn(C[C@H]2CCOC2)nn1 ZINC000628960401 422418753 /nfs/dbraw/zinc/41/87/53/422418753.db2.gz OZKDOHYANRHRHY-SECBINFHSA-N -1 1 306.297 1.412 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@H]1Cc1ccc(F)cc1 ZINC000630930554 422420222 /nfs/dbraw/zinc/42/02/22/422420222.db2.gz JFDDKOAFECAQJS-AWEZNQCLSA-N -1 1 317.368 1.895 20 0 DDADMM O=C(CC1(n2cnnn2)CCOCC1)Nc1cccc(F)c1[O-] ZINC000628960705 422420870 /nfs/dbraw/zinc/42/08/70/422420870.db2.gz XBVRFKXMZZCVGR-UHFFFAOYSA-N -1 1 321.312 1.052 20 0 DDADMM CC(C)[C@@H]1CCc2[n-]n(CCCN3CCOCC3)c(=O)c2C1 ZINC000634616244 422509506 /nfs/dbraw/zinc/50/95/06/422509506.db2.gz FSJPCYHCBAKWPO-CABCVRRESA-N -1 1 307.438 1.979 20 0 DDADMM COC[C@H]1CCCN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632597491 422463718 /nfs/dbraw/zinc/46/37/18/422463718.db2.gz UIDMSHKJJOCDTI-SNVBAGLBSA-N -1 1 301.364 1.200 20 0 DDADMM COc1cccc(C2(CNC(=O)CCCc3nn[n-]n3)CC2)c1 ZINC000635939938 422493639 /nfs/dbraw/zinc/49/36/39/422493639.db2.gz UAOBNCINXCJPIN-UHFFFAOYSA-N -1 1 315.377 1.379 20 0 DDADMM COc1ccc(-c2[n-]n([C@@H]3CCS(=O)(=O)C3)c(=O)c2C)cc1 ZINC000634584729 422494743 /nfs/dbraw/zinc/49/47/43/422494743.db2.gz LJOSGQMXDIDYCW-ZYHUDNBSSA-N -1 1 322.386 1.065 20 0 DDADMM O=c1c2c([n-]n1-c1cccnn1)CN(Cc1ccccc1)CC2 ZINC000634607026 422502443 /nfs/dbraw/zinc/50/24/43/422502443.db2.gz KDKXPLSFEJGDSL-AWEZNQCLSA-N -1 1 307.357 1.701 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1cccc(C(F)(F)F)n1 ZINC000635975938 422528377 /nfs/dbraw/zinc/52/83/77/422528377.db2.gz FDSYJNVUDISASL-UHFFFAOYSA-N -1 1 314.271 1.253 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H]1COc1ccccc1 ZINC000635980889 422535623 /nfs/dbraw/zinc/53/56/23/422535623.db2.gz OLLPOFOWCRXTRS-ZDUSSCGKSA-N -1 1 315.377 1.592 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CC[C@H](c2ccc(F)cc2)C1 ZINC000632807395 422592999 /nfs/dbraw/zinc/59/29/99/422592999.db2.gz QVLYOFSEFNBZNA-WCQYABFASA-N -1 1 303.341 1.724 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@H]1O)c1c[nH]nc1C(F)(F)F ZINC000632794486 422585782 /nfs/dbraw/zinc/58/57/82/422585782.db2.gz GJXJYNLJPOZDNF-NKWVEPMBSA-N -1 1 313.301 1.010 20 0 DDADMM COC1(OC)CCN(C(=O)c2ccc(Cl)cc2[O-])C[C@H]1O ZINC000636080879 422624541 /nfs/dbraw/zinc/62/45/41/422624541.db2.gz GPRBVYGHKWFXGW-GFCCVEGCSA-N -1 1 315.753 1.242 20 0 DDADMM CN1C(=O)CC[C@@H]1CC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629379170 422639700 /nfs/dbraw/zinc/63/97/00/422639700.db2.gz BOTYYRZOFZEDHZ-CYBMUJFWSA-N -1 1 314.345 1.527 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2cnn(CC(F)F)c2)CCC1 ZINC000634789104 422646436 /nfs/dbraw/zinc/64/64/36/422646436.db2.gz YQNRWSDZWPXGDG-UHFFFAOYSA-N -1 1 309.338 1.459 20 0 DDADMM CC(C)O[C@@]1(CS(=O)(=O)[N-]c2ccc[nH]c2=O)CCOC1 ZINC000634788300 422647909 /nfs/dbraw/zinc/64/79/09/422647909.db2.gz MQVMSRZRSWLQAN-ZDUSSCGKSA-N -1 1 316.379 1.113 20 0 DDADMM C[C@@H](O[C@@H](C)C(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000634860007 422687124 /nfs/dbraw/zinc/68/71/24/422687124.db2.gz GMQZQMWBUQDWTQ-QWHCGFSZSA-N -1 1 320.389 1.039 20 0 DDADMM C[C@@H]1CCN(CC(=O)N(C)Cc2cccs2)C[C@H]1C(=O)[O-] ZINC000579007121 422689311 /nfs/dbraw/zinc/68/93/11/422689311.db2.gz YJHQWVMFIJPEMK-DGCLKSJQSA-N -1 1 310.419 1.749 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC(Cn2cnnc2)CC1 ZINC000652848803 423172425 /nfs/dbraw/zinc/17/24/25/423172425.db2.gz DWQNBVDDSGPRRZ-UHFFFAOYSA-N -1 1 304.325 1.675 20 0 DDADMM O=c1[nH]c2c(cc1[N-]S(=O)(=O)c1cscn1)CCCC2 ZINC000650482693 423173158 /nfs/dbraw/zinc/17/31/58/423173158.db2.gz RQVRJNCSQYNSPT-UHFFFAOYSA-N -1 1 311.388 1.923 20 0 DDADMM CCO[C@H]1C[C@@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC000645753619 423174412 /nfs/dbraw/zinc/17/44/12/423174412.db2.gz YMEKALREERZFCF-DTORHVGOSA-N -1 1 324.324 1.946 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)COCC1CC1 ZINC000652906185 423206866 /nfs/dbraw/zinc/20/68/66/423206866.db2.gz KORCWDIPSAMDNK-QMMMGPOBSA-N -1 1 311.407 1.757 20 0 DDADMM CO[C@H](CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)C(F)(F)F ZINC000653028425 423265409 /nfs/dbraw/zinc/26/54/09/423265409.db2.gz DPOXUKZILJQYRB-HTQZYQBOSA-N -1 1 323.271 1.449 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H]1C1CC1 ZINC000650700139 423231066 /nfs/dbraw/zinc/23/10/66/423231066.db2.gz ZTKIMSXXDJMROW-CYBMUJFWSA-N -1 1 300.362 1.605 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)Cc3ccc(F)c(F)c3)nc2n1 ZINC000643909129 423401704 /nfs/dbraw/zinc/40/17/04/423401704.db2.gz GQUOMJBNIBHXJV-UHFFFAOYSA-N -1 1 319.271 1.185 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)Cc3cccc(Cl)c3)nc2n1 ZINC000643909089 423402917 /nfs/dbraw/zinc/40/29/17/423402917.db2.gz DZYASJUHDLUOLR-UHFFFAOYSA-N -1 1 317.736 1.561 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCc4ccccc43)nc2n1 ZINC000643909521 423402973 /nfs/dbraw/zinc/40/29/73/423402973.db2.gz DOCVZIZDZHCOQI-ZDUSSCGKSA-N -1 1 323.356 1.785 20 0 DDADMM Cc1nc(-c2cnn(C)c2)sc1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000646409088 423477278 /nfs/dbraw/zinc/47/72/78/423477278.db2.gz DUVKWRQQJRUGPY-UHFFFAOYSA-N -1 1 318.362 1.841 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@H](C)NC(=O)c1ccccc1 ZINC000646409173 423478018 /nfs/dbraw/zinc/47/80/18/423478018.db2.gz BIVZTHIFDWFYHH-VIFPVBQESA-N -1 1 302.334 1.571 20 0 DDADMM CC[C@H](C)C(=O)N1CSC[C@@H]1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000646412398 423480180 /nfs/dbraw/zinc/48/01/80/423480180.db2.gz GVMVNHSWIHKRKS-IONNQARKSA-N -1 1 312.395 1.310 20 0 DDADMM Cn1[n-]c(Cn2cc(-c3cccc(C4CCC4)c3)nn2)nc1=O ZINC000641156113 423428771 /nfs/dbraw/zinc/42/87/71/423428771.db2.gz NWYFCKSIYIKCML-UHFFFAOYSA-N -1 1 310.361 1.683 20 0 DDADMM O=S(=O)([N-]C1COCCOC1)c1c(F)cccc1Cl ZINC000644288167 423588370 /nfs/dbraw/zinc/58/83/70/423588370.db2.gz NGBQZKXTLBDKSR-UHFFFAOYSA-N -1 1 309.746 1.173 20 0 DDADMM Cn1nnc2c1CC[C@@H](NC(=O)c1cc(Cl)ccc1[O-])C2 ZINC000654110658 423708273 /nfs/dbraw/zinc/70/82/73/423708273.db2.gz GGGVUVSLRWFNAJ-SECBINFHSA-N -1 1 306.753 1.461 20 0 DDADMM O=C(NC[C@@H](c1ccccc1)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000647070555 423737261 /nfs/dbraw/zinc/73/72/61/423737261.db2.gz BNAZDNCOADNSDD-ZDUSSCGKSA-N -1 1 323.356 1.341 20 0 DDADMM CC[C@H]1CCCCN1C(=O)CN1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639826598 423763050 /nfs/dbraw/zinc/76/30/50/423763050.db2.gz ULORMFYODSJCBQ-STQMWFEESA-N -1 1 321.425 1.453 20 0 DDADMM C[C@@H](C(=O)N1CCCCCC1)N1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639827488 423767096 /nfs/dbraw/zinc/76/70/96/423767096.db2.gz ZEODKUOGHCUIBZ-STQMWFEESA-N -1 1 321.425 1.453 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCS(=O)(=O)[C@H]2CCC[C@@H]21 ZINC000654343121 423774937 /nfs/dbraw/zinc/77/49/37/423774937.db2.gz BLFGHKUBONTEOO-AAEUAGOBSA-N -1 1 313.350 1.323 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)NCC2(C(=O)[O-])CCC2)n[nH]1 ZINC000659402997 423799864 /nfs/dbraw/zinc/79/98/64/423799864.db2.gz CUUPJSHCOVJSNR-UHFFFAOYSA-N -1 1 309.370 1.156 20 0 DDADMM O=C([O-])c1cncnc1NC[C@@H](c1ccco1)N1CCCC1 ZINC000649383144 423834860 /nfs/dbraw/zinc/83/48/60/423834860.db2.gz ZVNAGDIAWDDQSD-LBPRGKRZSA-N -1 1 302.334 1.439 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccccc2-n2cncn2)CC1 ZINC000641680697 423855949 /nfs/dbraw/zinc/85/59/49/423855949.db2.gz VXIQCQXFOBJPBX-UHFFFAOYSA-N -1 1 308.363 1.188 20 0 DDADMM Cc1ccc(C2(C(=O)Nc3nnn[n-]3)CCOCC2)cc1C ZINC000654810551 423933768 /nfs/dbraw/zinc/93/37/68/423933768.db2.gz NWJCLXPJYZVJCO-UHFFFAOYSA-N -1 1 301.350 1.503 20 0 DDADMM Cc1ccc(C2(C(=O)Nc3nn[n-]n3)CCOCC2)cc1C ZINC000654810551 423933779 /nfs/dbraw/zinc/93/37/79/423933779.db2.gz NWJCLXPJYZVJCO-UHFFFAOYSA-N -1 1 301.350 1.503 20 0 DDADMM O=S(=O)([N-][C@H]1[C@@H]2CCC[C@@H]21)c1ncccc1Br ZINC000656976632 423949820 /nfs/dbraw/zinc/94/98/20/423949820.db2.gz UCANRDSQYYMQLR-MBTKJCJQSA-N -1 1 317.208 1.921 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)cc1 ZINC000649462646 423927831 /nfs/dbraw/zinc/92/78/31/423927831.db2.gz NWQABZMOLQQJJN-CABCVRRESA-N -1 1 318.373 1.056 20 0 DDADMM O=C([C@H]1Cc2ccc(F)cc21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644884397 424010630 /nfs/dbraw/zinc/01/06/30/424010630.db2.gz UJVCIANSVNGUGV-MFKMUULPSA-N -1 1 317.320 1.960 20 0 DDADMM COCc1cncc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000644884332 424012281 /nfs/dbraw/zinc/01/22/81/424012281.db2.gz NBKQCIJLSOBSRT-LLVKDONJSA-N -1 1 318.333 1.336 20 0 DDADMM CCC[C@H](NC(=O)c1cnc(N(C)C)c(Cl)c1)c1nn[n-]n1 ZINC000654871203 423986446 /nfs/dbraw/zinc/98/64/46/423986446.db2.gz OEXXOABPXSUHFO-JTQLQIEISA-N -1 1 323.788 1.585 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2COC(C)(C)C2)c(F)c1 ZINC000657041833 424029337 /nfs/dbraw/zinc/02/93/37/424029337.db2.gz LYYDRWXAOBYXSS-QMMMGPOBSA-N -1 1 321.345 1.819 20 0 DDADMM O=C([O-])c1cccnc1N1CCC(CCN2CCOCC2)CC1 ZINC000647456647 424039946 /nfs/dbraw/zinc/03/99/46/424039946.db2.gz YFLZOMUSKCEBQS-UHFFFAOYSA-N -1 1 319.405 1.719 20 0 DDADMM O=C(c1scc2c1OCCO2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000654943901 424045080 /nfs/dbraw/zinc/04/50/80/424045080.db2.gz PJUGWUPEFILUGX-QMMMGPOBSA-N -1 1 321.362 1.052 20 0 DDADMM C[C@H]1CN(CCCNC(=O)c2ccc(C(=O)[O-])nc2)C[C@H](C)O1 ZINC000642206995 424131985 /nfs/dbraw/zinc/13/19/85/424131985.db2.gz RLEIZAITXSUUBX-RYUDHWBXSA-N -1 1 321.377 1.009 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](O)C12CCC2)c1ccc(F)c(F)c1F ZINC000657184606 424195502 /nfs/dbraw/zinc/19/55/02/424195502.db2.gz KFSYPEXWCYPLGG-VHSXEESVSA-N -1 1 321.320 1.686 20 0 DDADMM COCCCN(CCO)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000649784423 424203505 /nfs/dbraw/zinc/20/35/05/424203505.db2.gz KEEMECODDMDDQI-UHFFFAOYSA-N -1 1 321.295 1.882 20 0 DDADMM O=C(C(=O)N1CC2(CCC2)[C@@H]2COC[C@@H]21)c1ccc([O-])cc1 ZINC000655137874 424243320 /nfs/dbraw/zinc/24/33/20/424243320.db2.gz YBTCGFTWVUEWPF-KGLIPLIRSA-N -1 1 301.342 1.602 20 0 DDADMM Cn1ccc(=NC(=O)N=c2[n-]n(CC(F)(F)F)cc2Cl)[nH]1 ZINC000640297047 424311618 /nfs/dbraw/zinc/31/16/18/424311618.db2.gz SUIBCUNQSHHSCX-UHFFFAOYSA-N -1 1 322.678 1.320 20 0 DDADMM Cc1cnc(OC2CCN(C(=O)c3cncc([O-])c3)CC2)nc1 ZINC000655254747 424344397 /nfs/dbraw/zinc/34/43/97/424344397.db2.gz CFARKLDCVMHUAN-UHFFFAOYSA-N -1 1 314.345 1.569 20 0 DDADMM CCOCCOC1CN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640341201 424363270 /nfs/dbraw/zinc/36/32/70/424363270.db2.gz FLGFLHWIXJQDBH-UHFFFAOYSA-N -1 1 321.402 1.987 20 0 DDADMM CCN(C)C(=O)[C@@H](C)NC(=O)N=c1[n-]sc2ccccc21 ZINC000640339858 424364860 /nfs/dbraw/zinc/36/48/60/424364860.db2.gz YLQUTIJVDAMQBT-SECBINFHSA-N -1 1 306.391 1.707 20 0 DDADMM CN(CCCOc1ccc(F)cc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662201323 424465507 /nfs/dbraw/zinc/46/55/07/424465507.db2.gz HUJNDKHWKTXTEH-CQSZACIVSA-N -1 1 324.352 1.212 20 0 DDADMM CC(C)c1ccc(CN(C)[C@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662200822 424466144 /nfs/dbraw/zinc/46/61/44/424466144.db2.gz LRFPZVDHUAUCDR-HNNXBMFYSA-N -1 1 304.390 1.927 20 0 DDADMM COc1ccc(CN(C)[C@H]2CCN(CC(=O)[O-])C2=O)cc1C ZINC000662201961 424467230 /nfs/dbraw/zinc/46/72/30/424467230.db2.gz KXWZPAQFPKPNJK-ZDUSSCGKSA-N -1 1 306.362 1.121 20 0 DDADMM CN(Cc1ccc2ccccc2c1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662202579 424471886 /nfs/dbraw/zinc/47/18/86/424471886.db2.gz ZWRPGPFWZPRPGD-INIZCTEOSA-N -1 1 312.369 1.957 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC(Cc3cccnc3)CC2)C1=O ZINC000662210640 424476174 /nfs/dbraw/zinc/47/61/74/424476174.db2.gz IUAXNASKQOJYJJ-HNNXBMFYSA-N -1 1 317.389 1.022 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)c2nccn2C)sc1C ZINC000660103356 424527404 /nfs/dbraw/zinc/52/74/04/424527404.db2.gz BVNGLIGMQWMNII-QMMMGPOBSA-N -1 1 300.409 1.533 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(C)onc1N)[C@H]1CCCCO1 ZINC000655666957 424620621 /nfs/dbraw/zinc/62/06/21/424620621.db2.gz SSOAZTLQTNISQS-NXEZZACHSA-N -1 1 303.384 1.191 20 0 DDADMM Cc1ccc2nc(NC(=O)c3c[n-]n4c3nccc4=O)[nH]c2c1 ZINC000344522655 272048373 /nfs/dbraw/zinc/04/83/73/272048373.db2.gz KMHLZAWXQJQZAV-UHFFFAOYSA-N -1 1 308.301 1.460 20 0 DDADMM Cn1cc(C(C)(C)C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC000344753300 272110102 /nfs/dbraw/zinc/11/01/02/272110102.db2.gz WMQGZJRUXLXQAM-UHFFFAOYSA-N -1 1 302.260 1.473 20 0 DDADMM CNC(=O)[C@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000345511029 272269482 /nfs/dbraw/zinc/26/94/82/272269482.db2.gz UMJQEDDEOPCCTQ-WPRPVWTQSA-N -1 1 318.345 1.158 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)c3ccc[nH]3)CC2)n1 ZINC000277613281 276303782 /nfs/dbraw/zinc/30/37/82/276303782.db2.gz FULORAGTHGNELH-UHFFFAOYSA-N -1 1 317.349 1.329 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000263727877 278442886 /nfs/dbraw/zinc/44/28/86/278442886.db2.gz XWQGBXNNJQFVBY-NSHDSACASA-N -1 1 321.377 1.917 20 0 DDADMM CC(C)C[C@H](CNC(=O)N1CCN(C)[C@@H](C(C)C)C1)C(=O)[O-] ZINC000424428715 279150673 /nfs/dbraw/zinc/15/06/73/279150673.db2.gz XXWQOBHMFYFHGH-ZIAGYGMSSA-N -1 1 313.442 1.715 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@@H](O)C[C@H]1c1ccc(F)cc1 ZINC000225566058 280231871 /nfs/dbraw/zinc/23/18/71/280231871.db2.gz LDXCNIYEKCCKFV-GJZGRUSLSA-N -1 1 302.305 1.874 20 0 DDADMM NC(=O)c1ccc(S(=O)(=O)[N-]c2ccc3c(c2)COC3)cc1 ZINC000132903353 281471689 /nfs/dbraw/zinc/47/16/89/281471689.db2.gz PYBMSAZAHDKXGC-UHFFFAOYSA-N -1 1 318.354 1.617 20 0 DDADMM C[C@H]1C(=O)NCCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180491581 290310787 /nfs/dbraw/zinc/31/07/87/290310787.db2.gz BNTKASKKYPVBDZ-ZETCQYMHSA-N -1 1 302.252 1.372 20 0 DDADMM O=C(N[C@H]1CCO[C@]2(CCOC2)C1)c1csc(=NC2CC2)[n-]1 ZINC000332799181 298276679 /nfs/dbraw/zinc/27/66/79/298276679.db2.gz PBTZMFOKYXVPNS-XHDPSFHLSA-N -1 1 323.418 1.207 20 0 DDADMM O=C(CNS(=O)(=O)c1ccccc1)Nc1ccc(F)cc1[O-] ZINC000355004278 298597574 /nfs/dbraw/zinc/59/75/74/298597574.db2.gz JBRUKBGUIXBBBX-UHFFFAOYSA-N -1 1 324.333 1.448 20 0 DDADMM CC(C)(C)OCc1cc(CNc2nc3[nH][n-]cc-3c(=O)n2)no1 ZINC000362697062 300116878 /nfs/dbraw/zinc/11/68/78/300116878.db2.gz IBAMMKNQXOJHJM-UHFFFAOYSA-N -1 1 318.337 1.347 20 0 DDADMM O=C([C@H]1COc2ccccc2C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000362780859 300139457 /nfs/dbraw/zinc/13/94/57/300139457.db2.gz IUTTZZQGZNYCGZ-QWHCGFSZSA-N -1 1 313.361 1.157 20 0 DDADMM COc1ccc(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1F ZINC000362781787 300139890 /nfs/dbraw/zinc/13/98/90/300139890.db2.gz POOWJWRRHBRKAA-LLVKDONJSA-N -1 1 319.340 1.296 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@@H]1Cc2cccc(F)c2O1 ZINC000363419473 300265637 /nfs/dbraw/zinc/26/56/37/300265637.db2.gz OUCQWFVLCHSSMI-ZETCQYMHSA-N -1 1 316.214 1.905 20 0 DDADMM O=c1cc(CN2CC[C@H]3CCOC(=O)[C@H]32)c2ccc([O-])cc2o1 ZINC000364358217 300382981 /nfs/dbraw/zinc/38/29/81/300382981.db2.gz FMSSHIJILYDBTN-QFYYESIMSA-N -1 1 315.325 1.636 20 0 DDADMM Cc1noc([C@H](NC(=O)c2ncccc2[O-])c2ccccc2)n1 ZINC000364497117 300405566 /nfs/dbraw/zinc/40/55/66/300405566.db2.gz UXONNKHBXIPHIA-CYBMUJFWSA-N -1 1 310.313 1.998 20 0 DDADMM Cc1ccc(-n2cnc3c2CCN(Cc2nc(=O)n(C)[n-]2)C3)cc1 ZINC000574952452 304700017 /nfs/dbraw/zinc/70/00/17/304700017.db2.gz YDIIJMWOSRNEAW-UHFFFAOYSA-N -1 1 324.388 1.161 20 0 DDADMM C[C@]1(C(=O)Nc2nnn[n-]2)CCCCN1C(=O)c1ccccc1 ZINC000369376032 301176363 /nfs/dbraw/zinc/17/63/63/301176363.db2.gz QXVXDQAFUPAOCS-OAHLLOKOSA-N -1 1 314.349 1.223 20 0 DDADMM C[C@]1(C(=O)Nc2nn[n-]n2)CCCCN1C(=O)c1ccccc1 ZINC000369376032 301176365 /nfs/dbraw/zinc/17/63/65/301176365.db2.gz QXVXDQAFUPAOCS-OAHLLOKOSA-N -1 1 314.349 1.223 20 0 DDADMM CC(C)(C)CCNC(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000377587168 302196478 /nfs/dbraw/zinc/19/64/78/302196478.db2.gz WVDCKXCQWDYSIV-UHFFFAOYSA-N -1 1 309.414 1.242 20 0 DDADMM Cc1cccc2c1C[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)O2 ZINC000450836933 302398178 /nfs/dbraw/zinc/39/81/78/302398178.db2.gz BVWRIJQVWZGLLX-GXFFZTMASA-N -1 1 301.350 1.074 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1COCC1(C)C ZINC000517873210 302819806 /nfs/dbraw/zinc/81/98/06/302819806.db2.gz FKRXWQYLDVAAIH-LBPRGKRZSA-N -1 1 318.377 1.135 20 0 DDADMM CCC[C@H](CC)S(=O)(=O)[N-]c1ccn(CC(=O)N(C)C)n1 ZINC000519163264 302834963 /nfs/dbraw/zinc/83/49/63/302834963.db2.gz WDMVRAAFLFHRCF-NSHDSACASA-N -1 1 316.427 1.292 20 0 DDADMM COc1cccc(CNC(=O)CNC2(C(=O)[O-])CCCC2)c1 ZINC000532881316 303300535 /nfs/dbraw/zinc/30/05/35/303300535.db2.gz IQHCIEZBWUCECZ-UHFFFAOYSA-N -1 1 306.362 1.298 20 0 DDADMM O=C(CNC1(C(=O)[O-])CCCC1)NC1C2CC3CC(C2)CC1C3 ZINC000533203099 303313567 /nfs/dbraw/zinc/31/35/67/303313567.db2.gz LJGIOIXXJVKHQD-UHFFFAOYSA-N -1 1 320.433 1.914 20 0 DDADMM O=C(c1cccc(-c2nc[nH]n2)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538222775 303383101 /nfs/dbraw/zinc/38/31/01/303383101.db2.gz AKDSVCXHBSQHSP-GFCCVEGCSA-N -1 1 324.348 1.005 20 0 DDADMM CCc1ccc(S(=O)(=O)N=c2cc(OC)n(C)[n-]2)cc1CC ZINC000547499359 303536964 /nfs/dbraw/zinc/53/69/64/303536964.db2.gz DMVWYWUSKSHDKG-UHFFFAOYSA-N -1 1 323.418 1.776 20 0 DDADMM Cn1cc([C@H]2C[C@H](NC(=O)c3cc(F)ccc3[O-])CCO2)cn1 ZINC000552447203 303660577 /nfs/dbraw/zinc/66/05/77/303660577.db2.gz ITRBVWUMCFMLGF-IUODEOHRSA-N -1 1 319.336 1.915 20 0 DDADMM Cn1[nH]c([C@H]2CCCN2C(=O)c2ccc([O-])cc2F)nc1=N ZINC000555544601 303718802 /nfs/dbraw/zinc/71/88/02/303718802.db2.gz VNBADSVMYGWOPR-LLVKDONJSA-N -1 1 305.313 1.050 20 0 DDADMM Cc1cnc(C(=O)NCCOc2ccc(Cl)cn2)c([O-])c1 ZINC000358558402 306943064 /nfs/dbraw/zinc/94/30/64/306943064.db2.gz OAKDOPFZEKSYGC-UHFFFAOYSA-N -1 1 307.737 1.953 20 0 DDADMM COc1c(C)cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1C ZINC000369435990 307120195 /nfs/dbraw/zinc/12/01/95/307120195.db2.gz HTQDQMYPWLKHBY-LBPRGKRZSA-N -1 1 317.349 1.039 20 0 DDADMM CCCOc1cccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000370863462 307138804 /nfs/dbraw/zinc/13/88/04/307138804.db2.gz WBNICEORKUBBMY-ZDUSSCGKSA-N -1 1 317.349 1.202 20 0 DDADMM O=C(N[C@H]1C[C@@H]2CCCCN2C1=O)c1cc(Cl)ccc1[O-] ZINC000563514268 307972424 /nfs/dbraw/zinc/97/24/24/307972424.db2.gz SUGGTZWQIPNMPW-JQWIXIFHSA-N -1 1 308.765 1.929 20 0 DDADMM COCCO[C@H]1CCCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000564333893 307996187 /nfs/dbraw/zinc/99/61/87/307996187.db2.gz NTXCDUMAPHPCTG-JTQLQIEISA-N -1 1 315.316 1.938 20 0 DDADMM CO[C@H]1C[C@@H](CC(=O)[O-])N(Cc2cc(-c3ccco3)on2)C1 ZINC000565485626 308032921 /nfs/dbraw/zinc/03/29/21/308032921.db2.gz GIRWHASGAILKNZ-RYUDHWBXSA-N -1 1 306.318 1.999 20 0 DDADMM CC(C)[C@@H](O)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000566161677 308046708 /nfs/dbraw/zinc/04/67/08/308046708.db2.gz GJBMXVWOVXLLQN-JTQLQIEISA-N -1 1 300.405 1.592 20 0 DDADMM [NH3+][C@@H](CC1CCCCC1)C(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000566197917 308049426 /nfs/dbraw/zinc/04/94/26/308049426.db2.gz UAYIRTLTNDXMLZ-ZDUSSCGKSA-N -1 1 322.409 1.779 20 0 DDADMM COCCN(C)S(=O)(=O)[N-]c1ccn(CCC(C)C)n1 ZINC000567170654 308074136 /nfs/dbraw/zinc/07/41/36/308074136.db2.gz GGEBEKXZTPARLD-UHFFFAOYSA-N -1 1 304.416 1.164 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2CCCC[C@@H]2N1Cc1ncnn1CC(F)F ZINC000567478852 308081736 /nfs/dbraw/zinc/08/17/36/308081736.db2.gz CMFQGLVBZZGFLN-DCAQKATOSA-N -1 1 314.336 1.761 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)N=c1[n-]nc(C(F)F)s1 ZINC000568035519 308104857 /nfs/dbraw/zinc/10/48/57/308104857.db2.gz UYMDGEMEELRUAP-SSDOTTSWSA-N -1 1 305.354 1.456 20 0 DDADMM CCNC(=O)NC(=O)[C@@H](C)Sc1nc(CC)c(C)c(=O)[n-]1 ZINC000568533836 308122452 /nfs/dbraw/zinc/12/24/52/308122452.db2.gz XXOBJVAYICNRHM-MRVPVSSYSA-N -1 1 312.395 1.379 20 0 DDADMM O=C([C@@H]1C[C@@]1(F)c1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000571529109 308197501 /nfs/dbraw/zinc/19/75/01/308197501.db2.gz XJPJNRKIJSZNNH-DETPVDSQSA-N -1 1 315.352 1.791 20 0 DDADMM CCc1nnc(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)o1 ZINC000572007055 308207722 /nfs/dbraw/zinc/20/77/22/308207722.db2.gz NUBZONQIPYJYJN-UHFFFAOYSA-N -1 1 319.365 1.664 20 0 DDADMM CN(CCc1cn(CC(=O)[O-])nn1)Cc1ccccc1Cl ZINC000572370349 308219199 /nfs/dbraw/zinc/21/91/99/308219199.db2.gz NCDKKQKVPRBIOQ-UHFFFAOYSA-N -1 1 308.769 1.691 20 0 DDADMM CC(C)(C)c1cc(NCCN2CCCOCC2)nc(C(=O)[O-])n1 ZINC000577117462 308364031 /nfs/dbraw/zinc/36/40/31/308364031.db2.gz VEHSDYKZFZDLLS-UHFFFAOYSA-N -1 1 322.409 1.028 20 0 DDADMM COC(=O)CCC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000581069483 308651660 /nfs/dbraw/zinc/65/16/60/308651660.db2.gz NTYMECLFWDZTSO-UHFFFAOYSA-N -1 1 314.388 1.528 20 0 DDADMM CN(CCCCO)C(=O)c1ccc(Br)cc1[O-] ZINC000384776060 325752332 /nfs/dbraw/zinc/75/23/32/325752332.db2.gz FYKBKSGYSZMKNP-UHFFFAOYSA-N -1 1 302.168 1.999 20 0 DDADMM COCC(COC)NC(=O)c1cc(Br)ccc1[O-] ZINC000388459342 325771801 /nfs/dbraw/zinc/77/18/01/325771801.db2.gz XIJOEOGGBVSNQH-UHFFFAOYSA-N -1 1 318.167 1.546 20 0 DDADMM Cc1cnc(S[C@H](C)C(=O)NC(=O)NC(C)(C)C)[n-]c1=O ZINC000582975429 337225191 /nfs/dbraw/zinc/22/51/91/337225191.db2.gz GPDQEMAXTBYJLS-MRVPVSSYSA-N -1 1 312.395 1.596 20 0 DDADMM O=C([O-])c1coc(=NC[C@@]2(CO)C[C@@H]2c2ccc(F)cc2)[nH]1 ZINC000584616136 337372886 /nfs/dbraw/zinc/37/28/86/337372886.db2.gz DMTDKQPXNZSMLI-IAQYHMDHSA-N -1 1 306.293 1.512 20 0 DDADMM CN1C(=O)Cc2cc([N-]S(=O)(=O)c3ccncc3)ccc21 ZINC000584637276 337379443 /nfs/dbraw/zinc/37/94/43/337379443.db2.gz OWJGKVBSROXUKA-UHFFFAOYSA-N -1 1 303.343 1.401 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(C(C)C)c1)[C@H]1CCOC1 ZINC000656621858 484246430 /nfs/dbraw/zinc/24/64/30/484246430.db2.gz UJPZTPFTYYZJOK-WCQYABFASA-N -1 1 317.411 1.257 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-]c1ccn(C2CCOCC2)n1 ZINC000656636292 484253529 /nfs/dbraw/zinc/25/35/29/484253529.db2.gz BQXLZARSFAJIDH-UHFFFAOYSA-N -1 1 316.427 1.623 20 0 DDADMM C[C@](O)(CN1C[C@H](C(=O)[O-])C[C@H](C(F)(F)F)C1)C(F)(F)F ZINC000656756796 484316238 /nfs/dbraw/zinc/31/62/38/484316238.db2.gz HPKZEIADSCIHSO-FJXKBIBVSA-N -1 1 323.233 1.885 20 0 DDADMM O=C([O-])[C@@H]1CN(c2ncnc3[nH]cnc32)C[C@H]1c1ccccc1 ZINC000662897011 484527932 /nfs/dbraw/zinc/52/79/32/484527932.db2.gz MKZXWNBEDKEJKJ-NWDGAFQWSA-N -1 1 309.329 1.658 20 0 DDADMM CCc1nc(C2CCN(C(=O)[C@H](C(=O)[O-])C3CC3)CC2)n[nH]1 ZINC000663051516 484629414 /nfs/dbraw/zinc/62/94/14/484629414.db2.gz YIEMWPTVGUVUFX-GFCCVEGCSA-N -1 1 306.366 1.184 20 0 DDADMM CC(C)CN1CCO[C@H](CNC(=O)[C@H](C(=O)[O-])C(C)(C)C)C1 ZINC000663100787 484666203 /nfs/dbraw/zinc/66/62/03/484666203.db2.gz PNQVCDXJJDUFEC-CHWSQXEVSA-N -1 1 314.426 1.206 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)C[C@@H](OC)C2CC2)nc1C ZINC000663301894 484794003 /nfs/dbraw/zinc/79/40/03/484794003.db2.gz RPBQDIZHYDOYSB-CYBMUJFWSA-N -1 1 314.407 1.955 20 0 DDADMM CCN1CC[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1=O ZINC000671184105 484965316 /nfs/dbraw/zinc/96/53/16/484965316.db2.gz UYQKPFPYBWCDCV-JTQLQIEISA-N -1 1 320.773 1.378 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C3CCC3)C2)o1 ZINC000665678748 485003099 /nfs/dbraw/zinc/00/30/99/485003099.db2.gz ZEJYFQLUJORCIW-LLVKDONJSA-N -1 1 312.391 1.450 20 0 DDADMM C[C@@H](O)[C@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CCO1 ZINC000666063640 485067432 /nfs/dbraw/zinc/06/74/32/485067432.db2.gz QWKJYEQSBJWTFZ-PRHODGIISA-N -1 1 319.279 1.633 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2C[C@@](C)(O)C2)c(F)c1 ZINC000668352173 485126207 /nfs/dbraw/zinc/12/62/07/485126207.db2.gz IOMDOHLMLVGLBJ-OGXFKERWSA-N -1 1 307.318 1.165 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1cnn(Cc2ccccn2)c1 ZINC000666300945 485164912 /nfs/dbraw/zinc/16/49/12/485164912.db2.gz JDBPUPSYIFZAKX-UHFFFAOYSA-N -1 1 324.406 1.493 20 0 DDADMM C[C@H]1CO[C@@H](C(F)(F)F)CN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000672176629 485247321 /nfs/dbraw/zinc/24/73/21/485247321.db2.gz HWZCFVFYEGTMLM-GZMMTYOYSA-N -1 1 317.263 1.753 20 0 DDADMM CN1Cc2ccccc2[C@@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000673038750 485381623 /nfs/dbraw/zinc/38/16/23/485381623.db2.gz HJFILURTBASRMZ-INIZCTEOSA-N -1 1 310.353 1.878 20 0 DDADMM Cc1nc(SCC(=O)N2CCOC[C@@H]2C2CC2)[n-]c(=O)c1C ZINC000679008869 485754817 /nfs/dbraw/zinc/75/48/17/485754817.db2.gz DHKKKHKPHUBQBU-GFCCVEGCSA-N -1 1 323.418 1.529 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1CC=CCC1 ZINC000679324929 485850190 /nfs/dbraw/zinc/85/01/90/485850190.db2.gz MIHGERBOXYNCSA-UHFFFAOYSA-N -1 1 310.357 1.884 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C2(CO)CCC2)c(F)c1 ZINC000680511298 486209771 /nfs/dbraw/zinc/20/97/71/486209771.db2.gz NMHJFUYVAOJUQC-UHFFFAOYSA-N -1 1 307.318 1.167 20 0 DDADMM O=S(=O)([N-]CCOCC1CC1)c1ccc(Br)o1 ZINC000680533301 486217312 /nfs/dbraw/zinc/21/73/12/486217312.db2.gz NXNWKJOSSXWCKI-UHFFFAOYSA-N -1 1 324.196 1.747 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccccc1NCc1ccccc1 ZINC000680879370 486293740 /nfs/dbraw/zinc/29/37/40/486293740.db2.gz TYWOSWAJJNVRBJ-UHFFFAOYSA-N -1 1 308.345 1.742 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ccc([O-])c(F)c2)[C@]12CCCO2 ZINC000681067606 486336901 /nfs/dbraw/zinc/33/69/01/486336901.db2.gz CMSCKDNMTKHAFR-IIAWOOMASA-N -1 1 309.337 1.988 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@]2(C)CCCC[C@H]2O)sc1C ZINC000676864294 486350357 /nfs/dbraw/zinc/35/03/57/486350357.db2.gz QGDVIRTUOLUSKH-DGCLKSJQSA-N -1 1 318.464 1.979 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1cc2ccccc2o1)S(C)(=O)=O ZINC000677148446 486400211 /nfs/dbraw/zinc/40/02/11/486400211.db2.gz HBKJWSKVDNLHSE-VIFPVBQESA-N -1 1 317.388 1.144 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1cc2ccccc2o1)S(C)(=O)=O ZINC000677148445 486400658 /nfs/dbraw/zinc/40/06/58/486400658.db2.gz HBKJWSKVDNLHSE-SECBINFHSA-N -1 1 317.388 1.144 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C(=O)N2CCCC2)C(C)C)c([O-])c1 ZINC000681732012 486503940 /nfs/dbraw/zinc/50/39/40/486503940.db2.gz SOCOGGZZUKEDGY-ZDUSSCGKSA-N -1 1 305.378 1.472 20 0 DDADMM COc1ccccc1C=CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000491860525 533945520 /nfs/dbraw/zinc/94/55/20/533945520.db2.gz RXYGGNDJKQCITP-CMDGGOBGSA-N -1 1 313.361 1.807 20 0 DDADMM COC(=O)c1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000491928574 533956482 /nfs/dbraw/zinc/95/64/82/533956482.db2.gz IEZQODZLYVFWDX-RMKNXTFCSA-N -1 1 315.333 1.051 20 0 DDADMM COC(=O)c1ccc(CCNC(=O)c2ncc(C)cc2[O-])cc1 ZINC000494907852 534090126 /nfs/dbraw/zinc/09/01/26/534090126.db2.gz JMRWHIMAXDKWRF-UHFFFAOYSA-N -1 1 314.341 1.855 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C2(CF)CCOCC2)c1 ZINC000451453411 534230563 /nfs/dbraw/zinc/23/05/63/534230563.db2.gz GGXDXAFPAWOLIV-UHFFFAOYSA-N -1 1 311.309 1.884 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@@H]3CCC[C@@H](F)C3)CC2)s[n-]1 ZINC000424706406 534841252 /nfs/dbraw/zinc/84/12/52/534841252.db2.gz BFGGJTCHQUPWTO-NXEZZACHSA-N -1 1 313.402 1.128 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC23CC3)o1 ZINC000424965915 526333877 /nfs/dbraw/zinc/33/38/77/526333877.db2.gz CDAHXGAVYXHZLJ-SNVBAGLBSA-N -1 1 312.391 1.639 20 0 DDADMM CC1(C)[C@H](O)C[C@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000492068525 527892714 /nfs/dbraw/zinc/89/27/14/527892714.db2.gz ZWAJYEJMHQPXEU-RNFRBKRXSA-N -1 1 324.196 1.480 20 0 DDADMM CCCN(C[C@@H]1CCCCO1)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000330878382 528123930 /nfs/dbraw/zinc/12/39/30/528123930.db2.gz HAHISDXUFQGEKK-NSHDSACASA-N -1 1 317.411 1.365 20 0 DDADMM CC(C)C[C@@H](C)CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000330999019 528248259 /nfs/dbraw/zinc/24/82/59/528248259.db2.gz PNNASHLDGOTGHL-LLVKDONJSA-N -1 1 311.455 1.672 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCN2C(=O)N[C@@H](C)C2=O)n1 ZINC000412978006 528613863 /nfs/dbraw/zinc/61/38/63/528613863.db2.gz MJALITSFLKATIK-QMMMGPOBSA-N -1 1 310.379 1.167 20 0 DDADMM CCOc1ccc2[nH]c(SCC(=O)Nc3nn[n-]n3)nc2c1 ZINC000412977094 528625742 /nfs/dbraw/zinc/62/57/42/528625742.db2.gz KAJVHQVGQZNPLA-UHFFFAOYSA-N -1 1 319.350 1.206 20 0 DDADMM CCOc1cccc2[nH]cc(CC(=O)[N-]OC(C)(C)CO)c21 ZINC000297350663 528697038 /nfs/dbraw/zinc/69/70/38/528697038.db2.gz YEQTYDMTVYCVBD-UHFFFAOYSA-N -1 1 306.362 1.928 20 0 DDADMM CCc1cccc(C)c1NC(=O)CS(=O)(=O)c1ncn[n-]1 ZINC000444402998 529157315 /nfs/dbraw/zinc/15/73/15/529157315.db2.gz FUYIWLCNSZBCLD-UHFFFAOYSA-N -1 1 308.363 1.088 20 0 DDADMM CCc1cccc(C)c1NC(=O)CS(=O)(=O)c1nc[n-]n1 ZINC000444402998 529157316 /nfs/dbraw/zinc/15/73/16/529157316.db2.gz FUYIWLCNSZBCLD-UHFFFAOYSA-N -1 1 308.363 1.088 20 0 DDADMM CCS[C@@H]1CCCC[C@@H]1NS(=O)(=O)c1c(C)o[n-]c1=N ZINC000330898217 529209422 /nfs/dbraw/zinc/20/94/22/529209422.db2.gz UVHWTDGEFGENFY-VHSXEESVSA-N -1 1 319.452 1.738 20 0 DDADMM CCS[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000330898217 529209424 /nfs/dbraw/zinc/20/94/24/529209424.db2.gz UVHWTDGEFGENFY-VHSXEESVSA-N -1 1 319.452 1.738 20 0 DDADMM CC[C@](C)(CNC(=O)OC(C)(C)C)C(=O)[N-]O[C@H]1CCOC1 ZINC000495046034 529362001 /nfs/dbraw/zinc/36/20/01/529362001.db2.gz IDDHVCHGDTUIRP-XHDPSFHLSA-N -1 1 316.398 1.764 20 0 DDADMM O=C(Cn1cnnc1-c1nn[n-]n1)Nc1cccc2ccccc21 ZINC000737988225 598876137 /nfs/dbraw/zinc/87/61/37/598876137.db2.gz JBDLEWIUJQLFOY-UHFFFAOYSA-N -1 1 320.316 1.250 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NCc1cccnc1 ZINC000738445148 598933988 /nfs/dbraw/zinc/93/39/88/598933988.db2.gz DZJXPKIRUPAMNX-UHFFFAOYSA-N -1 1 304.317 1.817 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NCc1cccnc1 ZINC000738445148 598933990 /nfs/dbraw/zinc/93/39/90/598933990.db2.gz DZJXPKIRUPAMNX-UHFFFAOYSA-N -1 1 304.317 1.817 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCc2sccc2C1 ZINC000738259926 598987407 /nfs/dbraw/zinc/98/74/07/598987407.db2.gz XPHIMPVNPZEHBL-UHFFFAOYSA-N -1 1 312.358 1.522 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCc2sccc2C1 ZINC000738259926 598987409 /nfs/dbraw/zinc/98/74/09/598987409.db2.gz XPHIMPVNPZEHBL-UHFFFAOYSA-N -1 1 312.358 1.522 20 0 DDADMM COc1ccc([C@@H](C)NC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737073142 598987874 /nfs/dbraw/zinc/98/78/74/598987874.db2.gz PUEZAICOTUYAJG-SNVBAGLBSA-N -1 1 324.344 1.761 20 0 DDADMM COc1ccc([C@@H](C)NC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737073142 598987876 /nfs/dbraw/zinc/98/78/76/598987876.db2.gz PUEZAICOTUYAJG-SNVBAGLBSA-N -1 1 324.344 1.761 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCCC[C@@H]1c1ncc[nH]1 ZINC000738259537 599028006 /nfs/dbraw/zinc/02/80/06/599028006.db2.gz RBEBDGZMRQARAH-GFCCVEGCSA-N -1 1 324.348 1.352 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCCC[C@@H]1c1ncc[nH]1 ZINC000738259537 599028008 /nfs/dbraw/zinc/02/80/08/599028008.db2.gz RBEBDGZMRQARAH-GFCCVEGCSA-N -1 1 324.348 1.352 20 0 DDADMM C[C@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)c1ccccc1 ZINC000736159967 599138952 /nfs/dbraw/zinc/13/89/52/599138952.db2.gz ZKLCDLTXGZWFDA-JTQLQIEISA-N -1 1 323.312 1.690 20 0 DDADMM C[C@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)c1ccccc1 ZINC000736159967 599138954 /nfs/dbraw/zinc/13/89/54/599138954.db2.gz ZKLCDLTXGZWFDA-JTQLQIEISA-N -1 1 323.312 1.690 20 0 DDADMM CCCC[C@@H]1NC(=O)N(CN2CCC[C@@H](CC(=O)[O-])C2)C1=O ZINC000818162081 597043868 /nfs/dbraw/zinc/04/38/68/597043868.db2.gz QENBTZSESZJHIN-RYUDHWBXSA-N -1 1 311.382 1.241 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CN2C(=O)CC3(CCCCC3)C2=O)C1 ZINC000819380484 597047991 /nfs/dbraw/zinc/04/79/91/597047991.db2.gz ONVSKDXSEICFRD-CYBMUJFWSA-N -1 1 322.405 1.840 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)N2CCN(C3CCC3)CC2)cc1 ZINC000378710304 597300191 /nfs/dbraw/zinc/30/01/91/597300191.db2.gz KRAFPMIAJUDNOX-UHFFFAOYSA-N -1 1 317.389 1.765 20 0 DDADMM C[C@H](NC(=O)Nc1ccccc1N1CCN(C)CC1)C(=O)[O-] ZINC000738980999 597301322 /nfs/dbraw/zinc/30/13/22/597301322.db2.gz TXUKJMGAELZMLH-NSHDSACASA-N -1 1 306.366 1.033 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CC[C@@H](C(=O)[O-])C1)c1ccsc1 ZINC000818373964 597507683 /nfs/dbraw/zinc/50/76/83/597507683.db2.gz FMKJXKREYGHURX-PWSUYJOCSA-N -1 1 311.407 1.467 20 0 DDADMM CCC[C@H](C)N(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736421749 598265552 /nfs/dbraw/zinc/26/55/52/598265552.db2.gz CYRBSDMFKOPXCE-VIFPVBQESA-N -1 1 310.383 1.071 20 0 DDADMM CCC[C@H](C)N(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736421749 598265554 /nfs/dbraw/zinc/26/55/54/598265554.db2.gz CYRBSDMFKOPXCE-VIFPVBQESA-N -1 1 310.383 1.071 20 0 DDADMM CC(C)COC1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000735838438 598346312 /nfs/dbraw/zinc/34/63/12/598346312.db2.gz PVUPZUCXKBHPIO-UHFFFAOYSA-N -1 1 303.370 1.298 20 0 DDADMM CC(C)COC1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000735838438 598346314 /nfs/dbraw/zinc/34/63/14/598346314.db2.gz PVUPZUCXKBHPIO-UHFFFAOYSA-N -1 1 303.370 1.298 20 0 DDADMM COc1ccc([C@@H](C)C(=O)n2ncc(-c3nn[n-]n3)c2N)cc1 ZINC000737073434 598473975 /nfs/dbraw/zinc/47/39/75/598473975.db2.gz QKJVDPRRYBSVMW-MRVPVSSYSA-N -1 1 313.321 1.098 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)C[C@@H]1CCCc2ccccc21 ZINC000737778044 598513273 /nfs/dbraw/zinc/51/32/73/598513273.db2.gz VZNUNFVGONSLHZ-NSHDSACASA-N -1 1 323.360 1.796 20 0 DDADMM CCOC(=O)C1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000736594071 599393530 /nfs/dbraw/zinc/39/35/30/599393530.db2.gz NEZTVAUAOZZCPQ-UHFFFAOYSA-N -1 1 302.338 1.041 20 0 DDADMM CCOC(=O)C1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000736594071 599393534 /nfs/dbraw/zinc/39/35/34/599393534.db2.gz NEZTVAUAOZZCPQ-UHFFFAOYSA-N -1 1 302.338 1.041 20 0 DDADMM COc1cccc([C@@H](O)CNc2cccc(-c3nnn[n-]3)n2)c1 ZINC000737127587 599436966 /nfs/dbraw/zinc/43/69/66/599436966.db2.gz LKHXLYHPTUKAIE-ZDUSSCGKSA-N -1 1 312.333 1.416 20 0 DDADMM COc1cccc([C@@H](O)CNc2cccc(-c3nn[n-]n3)n2)c1 ZINC000737127587 599436967 /nfs/dbraw/zinc/43/69/67/599436967.db2.gz LKHXLYHPTUKAIE-ZDUSSCGKSA-N -1 1 312.333 1.416 20 0 DDADMM c1ccc(C[C@@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000823780118 599580942 /nfs/dbraw/zinc/58/09/42/599580942.db2.gz OIRSZMUAOHEMIC-ZDUSSCGKSA-N -1 1 307.361 1.726 20 0 DDADMM c1ccc(C[C@@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000823780118 599580943 /nfs/dbraw/zinc/58/09/43/599580943.db2.gz OIRSZMUAOHEMIC-ZDUSSCGKSA-N -1 1 307.361 1.726 20 0 DDADMM CO[C@@H](CNc1c2ccccc2nnc1-c1nnn[n-]1)C(C)C ZINC000821677160 599687212 /nfs/dbraw/zinc/68/72/12/599687212.db2.gz PWMLOOAIXUCYCJ-LBPRGKRZSA-N -1 1 313.365 1.893 20 0 DDADMM CO[C@@H](CNc1c2ccccc2nnc1-c1nn[n-]n1)C(C)C ZINC000821677160 599687213 /nfs/dbraw/zinc/68/72/13/599687213.db2.gz PWMLOOAIXUCYCJ-LBPRGKRZSA-N -1 1 313.365 1.893 20 0 DDADMM O=C([O-])c1cccc(OCCN2CCCC[C@@H]2C2OCCO2)c1 ZINC000740230644 599843564 /nfs/dbraw/zinc/84/35/64/599843564.db2.gz CIOBRGSVYMECSB-OAHLLOKOSA-N -1 1 321.373 1.991 20 0 DDADMM COc1ccc([C@@H](NCc2cnc3ccnn3c2)C(=O)[O-])cc1 ZINC000737967805 599861298 /nfs/dbraw/zinc/86/12/98/599861298.db2.gz DNPATVJZIXRICP-OAHLLOKOSA-N -1 1 312.329 1.653 20 0 DDADMM C[C@H](CN(CC(=O)N1CCc2ccccc21)C1CC1)C(=O)[O-] ZINC000736579243 599952743 /nfs/dbraw/zinc/95/27/43/599952743.db2.gz RWSIQLGTFIESJP-GFCCVEGCSA-N -1 1 302.374 1.761 20 0 DDADMM CN1CCN(c2ccc(NC(=O)[C@H]3C[C@H]3C(=O)[O-])cc2)CC1 ZINC000737530921 600062009 /nfs/dbraw/zinc/06/20/09/600062009.db2.gz ZJDXRSFFZWQFFW-UONOGXRCSA-N -1 1 303.362 1.098 20 0 DDADMM C[C@H](CNC(=O)[C@H]1C[C@@H]1C(=O)[O-])N1CCc2ccccc2C1 ZINC000736592647 600069473 /nfs/dbraw/zinc/06/94/73/600069473.db2.gz GYCQHKHXKDSDRU-UGFHNGPFSA-N -1 1 302.374 1.270 20 0 DDADMM COC(=O)CCCN1CCN(c2ccc(C(=O)[O-])cc2)C[C@@H]1C ZINC000737596377 600227463 /nfs/dbraw/zinc/22/74/63/600227463.db2.gz QBITVZQXSVOTMI-ZDUSSCGKSA-N -1 1 320.389 1.849 20 0 DDADMM C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1CC(=O)NCCC(=O)[O-] ZINC000736761798 600264202 /nfs/dbraw/zinc/26/42/02/600264202.db2.gz QGMQVWFKTFIUDO-DGCLKSJQSA-N -1 1 308.353 1.594 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(Cc1nnc3n1CCCCC3)CC2 ZINC000739537046 600299750 /nfs/dbraw/zinc/29/97/50/600299750.db2.gz SWRNRKHYBHOEIE-GFCCVEGCSA-N -1 1 304.394 1.691 20 0 DDADMM Cc1ccc2[nH]c3c(c2c1)CN(CCC(=O)NCC(=O)[O-])CC3 ZINC000738667837 600300611 /nfs/dbraw/zinc/30/06/11/600300611.db2.gz UHRGBGMQDMMSIP-UHFFFAOYSA-N -1 1 315.373 1.425 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(CC(=O)NCC1CCCCC1)CC2 ZINC000739279416 600312316 /nfs/dbraw/zinc/31/23/16/600312316.db2.gz YTCLDXNJTPZXHK-AWEZNQCLSA-N -1 1 308.422 1.870 20 0 DDADMM C[C@H](CNC(=O)N1CC[C@@H](C(=O)[O-])C1)[N@@H+](C)Cc1ccccc1 ZINC000390602160 600412520 /nfs/dbraw/zinc/41/25/20/600412520.db2.gz BNILXEILUBLLJI-UKRRQHHQSA-N -1 1 319.405 1.623 20 0 DDADMM CCN(CCC(=O)[O-])Cn1cc(I)cn1 ZINC000737096534 600424510 /nfs/dbraw/zinc/42/45/10/600424510.db2.gz OSHQOKXFLLWUOK-UHFFFAOYSA-N -1 1 323.134 1.242 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CCC[C@@](C)(c2ccccc2)C1 ZINC000736205884 600643452 /nfs/dbraw/zinc/64/34/52/600643452.db2.gz AUBVYULAHGGIJG-SUMWQHHRSA-N -1 1 304.390 1.629 20 0 DDADMM CCOc1ccccc1N1CCN(C[C@](C)(O)C(=O)[O-])CC1 ZINC000737219548 600761084 /nfs/dbraw/zinc/76/10/84/600761084.db2.gz YDPSBMOIQVOIKE-INIZCTEOSA-N -1 1 308.378 1.043 20 0 DDADMM COCCN(CCC(=O)[O-])C(=O)c1c[nH]c2ccccc2c1=O ZINC000831725121 600765780 /nfs/dbraw/zinc/76/57/80/600765780.db2.gz OREDKIUQFCTTCV-UHFFFAOYSA-N -1 1 318.329 1.091 20 0 DDADMM CNC(=O)C(C)(C)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000821630469 607468270 /nfs/dbraw/zinc/46/82/70/607468270.db2.gz ZCZCBUZMMJIMAO-UHFFFAOYSA-N -1 1 315.790 1.161 20 0 DDADMM CNC(=O)C(C)(C)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000821630469 607468275 /nfs/dbraw/zinc/46/82/75/607468275.db2.gz ZCZCBUZMMJIMAO-UHFFFAOYSA-N -1 1 315.790 1.161 20 0 DDADMM CC[C@H](O)[C@H]1CCCCN1CC(=O)N[C@@H](CC(C)C)C(=O)[O-] ZINC000736925792 600977596 /nfs/dbraw/zinc/97/75/96/600977596.db2.gz WYYFESAKCCOCHK-MJBXVCDLSA-N -1 1 314.426 1.227 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N(C)CC[C@H](C)O ZINC000827796349 601019099 /nfs/dbraw/zinc/01/90/99/601019099.db2.gz RONLQJTVOJPSTP-NWDGAFQWSA-N -1 1 308.378 1.092 20 0 DDADMM C[C@](NCC(=O)NCCOc1ccccc1)(C(=O)[O-])C1CC1 ZINC000827726703 601031971 /nfs/dbraw/zinc/03/19/71/601031971.db2.gz BZULIULNKOQDIP-MRXNPFEDSA-N -1 1 306.362 1.025 20 0 DDADMM O=C([O-])c1cccc(OCCN2CCN(CCCCO)CC2)c1 ZINC000833331473 601044213 /nfs/dbraw/zinc/04/42/13/601044213.db2.gz KAJNMSYNSLKAFG-UHFFFAOYSA-N -1 1 322.405 1.154 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c(C)n1 ZINC000832911075 601098490 /nfs/dbraw/zinc/09/84/90/601098490.db2.gz JMCLUCUUYXFREK-LBPRGKRZSA-N -1 1 305.378 1.319 20 0 DDADMM O=C([O-])CC[C@@H](NC(=O)CCc1nc[nH]n1)c1ccccc1 ZINC000833222544 601137245 /nfs/dbraw/zinc/13/72/45/601137245.db2.gz SKMAHLLBBSVBLN-GFCCVEGCSA-N -1 1 302.334 1.460 20 0 DDADMM COCCOc1ccc(NCc2ccc(-c3nnn[n-]3)o2)cn1 ZINC000821693716 607492268 /nfs/dbraw/zinc/49/22/68/607492268.db2.gz KUDINHDOOGJRIP-UHFFFAOYSA-N -1 1 316.321 1.492 20 0 DDADMM COCCOc1ccc(NCc2ccc(-c3nn[n-]n3)o2)cn1 ZINC000821693716 607492270 /nfs/dbraw/zinc/49/22/70/607492270.db2.gz KUDINHDOOGJRIP-UHFFFAOYSA-N -1 1 316.321 1.492 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]1C(=O)NCC1(CN2CCOCC2)CCCCC1 ZINC000833178678 601278735 /nfs/dbraw/zinc/27/87/35/601278735.db2.gz IMMOFCLBFYDSNO-UONOGXRCSA-N -1 1 324.421 1.106 20 0 DDADMM CCOC[C@H]1CCN(CC(=O)NC2(C(=O)[O-])CCCCC2)C1 ZINC000829989650 601378493 /nfs/dbraw/zinc/37/84/93/601378493.db2.gz IRAGUFOOQKKFAH-ZDUSSCGKSA-N -1 1 312.410 1.249 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2nc3ccccc3s2)C[C@H]1C(=O)[O-] ZINC000315515788 601504891 /nfs/dbraw/zinc/50/48/91/601504891.db2.gz DJBJXNXPINVNQO-VHSXEESVSA-N -1 1 319.386 1.887 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2cc(C(C)(C)C)nn2C)C[C@@H]1C(=O)[O-] ZINC000828442218 601514138 /nfs/dbraw/zinc/51/41/38/601514138.db2.gz YQKCNQUZIZFACI-QWRGUYRKSA-N -1 1 322.409 1.309 20 0 DDADMM COC(=O)c1cc(NC(=O)CN(C)C(C)C)cc(C(=O)[O-])c1 ZINC000831270523 601600605 /nfs/dbraw/zinc/60/06/05/601600605.db2.gz YXIMIOGXNINEGK-UHFFFAOYSA-N -1 1 308.334 1.450 20 0 DDADMM Cc1cc(CC(=O)OCC(=O)Nc2ccsc2C(=O)[O-])n[nH]1 ZINC000832882813 601609785 /nfs/dbraw/zinc/60/97/85/601609785.db2.gz CRIQMMQDFNXQGV-UHFFFAOYSA-N -1 1 323.330 1.202 20 0 DDADMM COc1ccc([C@@H](CC(=O)[O-])NC(=O)Cc2cc(C)n[nH]2)cc1 ZINC000832230553 601642197 /nfs/dbraw/zinc/64/21/97/601642197.db2.gz DNIAOIMKCNNFBL-CQSZACIVSA-N -1 1 317.345 1.601 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000826009498 601924194 /nfs/dbraw/zinc/92/41/94/601924194.db2.gz YNVQUQPRRCRBHY-OCCSQVGLSA-N -1 1 322.430 1.583 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@@](C)(C(=O)[O-])C2)c(OC)c1 ZINC000832394308 601924240 /nfs/dbraw/zinc/92/42/40/601924240.db2.gz RGMAXJFUJDODIC-MRXNPFEDSA-N -1 1 322.361 1.439 20 0 DDADMM C[C@H](C(=O)NCC1CCCCC1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738624061 601959324 /nfs/dbraw/zinc/95/93/24/601959324.db2.gz KGZGDZRNQDOONB-TZMCWYRMSA-N -1 1 311.426 1.661 20 0 DDADMM CC(C)(C)n1nnnc1SCc1ccnc(-c2nnn[n-]2)c1 ZINC000824152367 607536876 /nfs/dbraw/zinc/53/68/76/607536876.db2.gz CGHTWUHTTUZGJZ-UHFFFAOYSA-N -1 1 317.382 1.296 20 0 DDADMM CC(C)(C)n1nnnc1SCc1ccnc(-c2nn[n-]n2)c1 ZINC000824152367 607536878 /nfs/dbraw/zinc/53/68/78/607536878.db2.gz CGHTWUHTTUZGJZ-UHFFFAOYSA-N -1 1 317.382 1.296 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@H]1CCCc2[nH]ncc21)c1ccccn1 ZINC000833126771 602044230 /nfs/dbraw/zinc/04/42/30/602044230.db2.gz SWYCPETZMIBGAC-ZANVPECISA-N -1 1 300.318 1.167 20 0 DDADMM O=c1c(Cl)c(Cl)cnn1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826501319 607541582 /nfs/dbraw/zinc/54/15/82/607541582.db2.gz KRDWRCJRTYAMMM-UHFFFAOYSA-N -1 1 324.131 1.174 20 0 DDADMM O=c1c(Cl)c(Cl)cnn1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826501319 607541584 /nfs/dbraw/zinc/54/15/84/607541584.db2.gz KRDWRCJRTYAMMM-UHFFFAOYSA-N -1 1 324.131 1.174 20 0 DDADMM O=C([O-])c1cccc(OCCOC(=O)CCc2nc[nH]n2)c1 ZINC000833104617 602089356 /nfs/dbraw/zinc/08/93/56/602089356.db2.gz PKMMHENHTGWHTE-UHFFFAOYSA-N -1 1 305.290 1.058 20 0 DDADMM CS(=O)(=O)CCCN1CCCC[C@@H]1[C@H]1CCCN1C(=O)[O-] ZINC000739982973 602315021 /nfs/dbraw/zinc/31/50/21/602315021.db2.gz MPQRPINOTAOOIU-CHWSQXEVSA-N -1 1 318.439 1.418 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CCC[C@@H](NC(=O)[O-])C1)c1ccccc1 ZINC000737839622 602318688 /nfs/dbraw/zinc/31/86/88/602318688.db2.gz ACBNZJGMHQILGW-HUUCEWRRSA-N -1 1 319.405 1.938 20 0 DDADMM CCN(C)[C@H](C(=O)N1CCCN(C(=O)[O-])CC1)c1ccccc1 ZINC000737840454 602318745 /nfs/dbraw/zinc/31/87/45/602318745.db2.gz WGDSGHJAGGBNAX-HNNXBMFYSA-N -1 1 319.405 1.892 20 0 DDADMM CCCN(CC(=O)c1[nH]c(C)c(C(=O)[O-])c1C)CC(C)(C)O ZINC000829482259 602329233 /nfs/dbraw/zinc/32/92/33/602329233.db2.gz NTUQVWVIJAWXAB-UHFFFAOYSA-N -1 1 310.394 1.995 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000736370501 602340440 /nfs/dbraw/zinc/34/04/40/602340440.db2.gz VZHPOCMSZMCHKV-JSGCOSHPSA-N -1 1 323.393 1.825 20 0 DDADMM CC(C)N1CCN(C(=O)[C@@H]2C[C@H]3CCCC[C@H]3N2C(=O)[O-])CC1 ZINC000738854734 602381606 /nfs/dbraw/zinc/38/16/06/602381606.db2.gz OFLQZVVHLOSXET-KFWWJZLASA-N -1 1 323.437 1.850 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)NC[C@@H](c1ccsc1)N(C)C ZINC000736369078 602382753 /nfs/dbraw/zinc/38/27/53/602382753.db2.gz PTDHYNRUBYMGLE-RYUDHWBXSA-N -1 1 313.423 1.759 20 0 DDADMM c1[nH]nc2c1CCN(c1c3ccccc3nnc1-c1nnn[n-]1)C2 ZINC000826523706 607565284 /nfs/dbraw/zinc/56/52/84/607565284.db2.gz UUGRZFZPRAKIOL-UHFFFAOYSA-N -1 1 319.332 1.096 20 0 DDADMM c1[nH]nc2c1CCN(c1c3ccccc3nnc1-c1nn[n-]n1)C2 ZINC000826523706 607565286 /nfs/dbraw/zinc/56/52/86/607565286.db2.gz UUGRZFZPRAKIOL-UHFFFAOYSA-N -1 1 319.332 1.096 20 0 DDADMM O=S(=O)(NCc1ccco1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826492170 607571497 /nfs/dbraw/zinc/57/14/97/607571497.db2.gz WLHZPZPTLJBATK-UHFFFAOYSA-N -1 1 323.309 1.077 20 0 DDADMM C[C@H](NC(=O)[O-])C(=O)N1CCN(Cc2ccccc2)C(C)(C)C1 ZINC000738989986 602523526 /nfs/dbraw/zinc/52/35/26/602523526.db2.gz YNGORGGVHFLRPB-ZDUSSCGKSA-N -1 1 319.405 1.766 20 0 DDADMM CN(C)C(=O)[C@H](c1ccccc1)N1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000739593387 602536163 /nfs/dbraw/zinc/53/61/63/602536163.db2.gz DPZJTRNEZSPFBH-HIFRSBDPSA-N -1 1 319.405 1.748 20 0 DDADMM C[C@@H](C(=O)Nc1ccccc1)N1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738635773 602538278 /nfs/dbraw/zinc/53/82/78/602538278.db2.gz AUCKZHUHAWKTSB-QWHCGFSZSA-N -1 1 305.378 1.945 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1)N1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738631400 602539210 /nfs/dbraw/zinc/53/92/10/602539210.db2.gz VCWWSKNEAQEDBP-UKRRQHHQSA-N -1 1 319.405 1.623 20 0 DDADMM CCN(CCCNC(=O)N1CCN2CCCC[C@H]2C1)C(=O)[O-] ZINC000739417820 602618704 /nfs/dbraw/zinc/61/87/04/602618704.db2.gz URGBKBXSDXEEKL-ZDUSSCGKSA-N -1 1 312.414 1.256 20 0 DDADMM C[C@@H](NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1)C1CC1 ZINC000824640316 607579982 /nfs/dbraw/zinc/57/99/82/607579982.db2.gz GHLJCIRHTJGIMK-SSDOTTSWSA-N -1 1 311.342 1.083 20 0 DDADMM C[C@@H](NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1)C1CC1 ZINC000824640316 607579984 /nfs/dbraw/zinc/57/99/84/607579984.db2.gz GHLJCIRHTJGIMK-SSDOTTSWSA-N -1 1 311.342 1.083 20 0 DDADMM O=C(CN1CCCC[C@H]1[C@H]1CCCN1C(=O)[O-])NCC1CCC1 ZINC000740369521 602679128 /nfs/dbraw/zinc/67/91/28/602679128.db2.gz NFDUPOVWSLVTOD-LSDHHAIUSA-N -1 1 323.437 1.900 20 0 DDADMM C[C@H](NCC(=O)N1CCN(C(=O)[O-])CC1)c1ccc(F)cc1 ZINC000739031527 602729899 /nfs/dbraw/zinc/72/98/99/602729899.db2.gz APTUOBKHSDBUOE-NSHDSACASA-N -1 1 309.341 1.299 20 0 DDADMM C[C@H]1CN(C(=O)[O-])CCN1C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000739151501 602730601 /nfs/dbraw/zinc/73/06/01/602730601.db2.gz CZBHGUOQPGFQJU-VIFPVBQESA-N -1 1 320.374 1.963 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)c2ccc(F)cc2F)CC[C@H]1NC(=O)[O-] ZINC000739174872 602774584 /nfs/dbraw/zinc/77/45/84/602774584.db2.gz AGTJCBNSKDMALP-OWYVNGRQSA-N -1 1 314.332 1.976 20 0 DDADMM C[C@@H](C(=O)NC1CCCCC1)N1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000739180144 602783461 /nfs/dbraw/zinc/78/34/61/602783461.db2.gz XXWJGMVGLAREOD-MBNYWOFBSA-N -1 1 311.426 1.802 20 0 DDADMM C[C@@H]1CN(CC(=O)N(C)Cc2ccccc2)CC[C@H]1NC(=O)[O-] ZINC000739171435 602798897 /nfs/dbraw/zinc/79/88/97/602798897.db2.gz IHHWWWVOCOHXPS-UKRRQHHQSA-N -1 1 319.405 1.623 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)C[C@H](C)N1C(=O)[O-] ZINC000739170429 602806848 /nfs/dbraw/zinc/80/68/48/602806848.db2.gz SAWKZWWZNBMZCR-DTORHVGOSA-N -1 1 303.322 1.171 20 0 DDADMM CC[NH+](CC)[C@H](C)CNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739406788 602849281 /nfs/dbraw/zinc/84/92/81/602849281.db2.gz MGDALSZXBMFJCK-SNVBAGLBSA-N -1 1 309.366 1.942 20 0 DDADMM Cc1cc(CNC(=O)[C@@H]2C[C@H]3CCCC[C@@H]3N2C(=O)[O-])n[nH]1 ZINC000740074264 602857599 /nfs/dbraw/zinc/85/75/99/602857599.db2.gz WGCXLYCNABPLHX-WXHSDQCUSA-N -1 1 306.366 1.645 20 0 DDADMM CCc1cc(CNC(=O)NCC2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000739537188 602886172 /nfs/dbraw/zinc/88/61/72/602886172.db2.gz DLWHRUWDHLNLMB-UHFFFAOYSA-N -1 1 309.370 1.161 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826401222 607597893 /nfs/dbraw/zinc/59/78/93/607597893.db2.gz SRMDUZGYRJKYTM-UTCJRWHESA-N -1 1 323.312 1.724 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826401222 607597894 /nfs/dbraw/zinc/59/78/94/607597894.db2.gz SRMDUZGYRJKYTM-UTCJRWHESA-N -1 1 323.312 1.724 20 0 DDADMM Cc1cc(CNC(=O)[C@@H](NC(=O)[O-])c2cccc(Cl)c2)n[nH]1 ZINC000740072729 603314593 /nfs/dbraw/zinc/31/45/93/603314593.db2.gz IDFXNMYIVGDYLS-LBPRGKRZSA-N -1 1 322.752 1.997 20 0 DDADMM CN(C(=O)[O-])C1CCN(CCNC(=O)OC(C)(C)C)CC1 ZINC000827890815 603453451 /nfs/dbraw/zinc/45/34/51/603453451.db2.gz USGKENPOTZSQRB-UHFFFAOYSA-N -1 1 301.387 1.585 20 0 DDADMM CC(C)C[C@@H]1CC(=O)N(CN2CC[C@@H](CN(C)C(=O)[O-])C2)C1 ZINC000824224361 603588612 /nfs/dbraw/zinc/58/86/12/603588612.db2.gz JXYYOURMENAFEP-UONOGXRCSA-N -1 1 311.426 1.770 20 0 DDADMM CCN1CCN(CC(=O)Nc2cc(NC(=O)[O-])ccc2F)CC1 ZINC000827222670 603738289 /nfs/dbraw/zinc/73/82/89/603738289.db2.gz MGQVDNHZFWMGLD-UHFFFAOYSA-N -1 1 324.356 1.492 20 0 DDADMM CCCN1CCN(C(=O)[C@@H]2[C@H](C)OC(C)(C)N2C(=O)[O-])CC1 ZINC000826920201 603746413 /nfs/dbraw/zinc/74/64/13/603746413.db2.gz GKISCYHQMSCNAT-RYUDHWBXSA-N -1 1 313.398 1.044 20 0 DDADMM O=C([O-])N1CCN(C(=O)CN[C@H]2CCc3ccc(F)cc32)CC1 ZINC000832024116 603808994 /nfs/dbraw/zinc/80/89/94/603808994.db2.gz FIHXLFNSOSMDEU-AWEZNQCLSA-N -1 1 321.352 1.225 20 0 DDADMM COC(=O)c1ccc(CN2CC[C@@H](CN(C)C(=O)[O-])C2)s1 ZINC000828772201 603907006 /nfs/dbraw/zinc/90/70/06/603907006.db2.gz LMMSKEXRZIBDRB-JTQLQIEISA-N -1 1 312.391 1.966 20 0 DDADMM CN(CCNC(=O)[C@@H]1CCN(C(=O)[O-])C1)Cc1ccc(F)cc1 ZINC000828321885 604066677 /nfs/dbraw/zinc/06/66/77/604066677.db2.gz ZIJCFRIIJXOMQO-CYBMUJFWSA-N -1 1 323.368 1.374 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)CC1(NC(=O)[O-])CCC1 ZINC000826087865 604180540 /nfs/dbraw/zinc/18/05/40/604180540.db2.gz SVJZFNIOTQQUQV-CHWSQXEVSA-N -1 1 309.410 1.652 20 0 DDADMM Cc1cc(CC(=O)Nc2nc3c(s2)CN(C(=O)[O-])CC3)n[nH]1 ZINC000830030944 604213242 /nfs/dbraw/zinc/21/32/42/604213242.db2.gz RPTKHIWZTBUVAE-UHFFFAOYSA-N -1 1 321.362 1.392 20 0 DDADMM C[C@H](CN1CCC[C@H]([C@@H](C)NC(=O)[O-])C1)CS(C)(=O)=O ZINC000824902997 604230378 /nfs/dbraw/zinc/23/03/78/604230378.db2.gz SOSCWUOUGBUMIX-UTUOFQBUSA-N -1 1 306.428 1.035 20 0 DDADMM O=C([O-])N1CCC(CN[C@@H](CO)c2c(F)cccc2F)CC1 ZINC000831878354 604514074 /nfs/dbraw/zinc/51/40/74/604514074.db2.gz HACGLIABBGIPKT-ZDUSSCGKSA-N -1 1 314.332 1.978 20 0 DDADMM C[C@@H]1CN(C)[C@@H](C)CN1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000833627935 604571870 /nfs/dbraw/zinc/57/18/70/604571870.db2.gz XZLUEVKTSMIJIK-NWDGAFQWSA-N -1 1 305.378 1.348 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@H]3CCCN(C(=O)[O-])C3)n2)o1 ZINC000830159569 604585574 /nfs/dbraw/zinc/58/55/74/604585574.db2.gz GELRXXZMUONXSZ-VIFPVBQESA-N -1 1 319.321 1.702 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)CC3(NC(=O)[O-])CCC3)n2)o1 ZINC000830158793 604585587 /nfs/dbraw/zinc/58/55/87/604585587.db2.gz ANCYZQMLNZNPLQ-UHFFFAOYSA-N -1 1 319.321 1.892 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H]3CCCN(C(=O)[O-])C3)C2)n[nH]1 ZINC000830735610 604645724 /nfs/dbraw/zinc/64/57/24/604645724.db2.gz SGPXULJGMKTYOS-VXGBXAGGSA-N -1 1 321.381 1.209 20 0 DDADMM CCOC[C@@H](C(=O)[O-])N(C)C(=O)[C@@H](C)N(C)Cc1ccccc1 ZINC000829940535 604712730 /nfs/dbraw/zinc/71/27/30/604712730.db2.gz UEZCQFIUKJSJEG-HIFRSBDPSA-N -1 1 322.405 1.455 20 0 DDADMM CC(C)(C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1ccccc1F ZINC000833411650 604896698 /nfs/dbraw/zinc/89/66/98/604896698.db2.gz LZKPDXIVUSSFIX-ZDUSSCGKSA-N -1 1 319.336 1.639 20 0 DDADMM C[C@@H](CC(=O)N[C@@H](C)c1nnc[nH]1)C1CCN(C(=O)[O-])CC1 ZINC000825100687 604973213 /nfs/dbraw/zinc/97/32/13/604973213.db2.gz IYNOYBVFPAPGMI-UWVGGRQHSA-N -1 1 309.370 1.398 20 0 DDADMM CC1CCC2(CC(=O)N(CN3CCC[C@H]3CC(=O)[O-])C2=O)CC1 ZINC000828233817 604981473 /nfs/dbraw/zinc/98/14/73/604981473.db2.gz SPGWERFBXPHNAM-JZLYGMAVSA-N -1 1 322.405 1.838 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN[C@H](C)c1ccc(OC)cc1)C(=O)[O-] ZINC000833636846 605067474 /nfs/dbraw/zinc/06/74/74/605067474.db2.gz AVSDPYPRBZYMKW-OZVIIMIRSA-N -1 1 322.405 1.961 20 0 DDADMM Cc1ccc(F)c(OCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000833749186 605076874 /nfs/dbraw/zinc/07/68/74/605076874.db2.gz CMBAUOAPSZHYBE-LBPRGKRZSA-N -1 1 321.308 1.048 20 0 DDADMM CC(=CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1cccc(F)c1 ZINC000833381301 605079845 /nfs/dbraw/zinc/07/98/45/605079845.db2.gz FNMPALDTVYNOGL-SEIPJRMCSA-N -1 1 317.320 1.764 20 0 DDADMM COCCOc1ccc([C@@H](C)NC2CN(C(=O)[O-])C2)cc1OC ZINC000829007729 605100652 /nfs/dbraw/zinc/10/06/52/605100652.db2.gz MYAKYRFIXAJCCF-LLVKDONJSA-N -1 1 324.377 1.733 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C/c1cccc(F)c1 ZINC000833621919 605114584 /nfs/dbraw/zinc/11/45/84/605114584.db2.gz OSNMRSLDEFQLHV-URWSZGRFSA-N -1 1 320.364 1.846 20 0 DDADMM O=C([O-])N[C@@H](C(=O)N[C@H]1CCCc2cn[nH]c21)c1ccccc1 ZINC000832752946 605129184 /nfs/dbraw/zinc/12/91/84/605129184.db2.gz WVIBJTQXGSZIHC-GXTWGEPZSA-N -1 1 314.345 1.912 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](NC(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000738616559 605136669 /nfs/dbraw/zinc/13/66/69/605136669.db2.gz PKAQJPNWRUOBTP-DOMZBBRYSA-N -1 1 317.389 1.302 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(Cl)nc1 ZINC000833623679 605155977 /nfs/dbraw/zinc/15/59/77/605155977.db2.gz FKUVBBDORJJYMV-JTQLQIEISA-N -1 1 311.769 1.356 20 0 DDADMM CC1(c2ccccc2)CCN(CN2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC000833576818 605176031 /nfs/dbraw/zinc/17/60/31/605176031.db2.gz DXMLBGOOWKHXDG-AWEZNQCLSA-N -1 1 316.401 1.931 20 0 DDADMM C[C@H]1CN(C(=O)/C=C\c2cccnc2)CCN1CCC(=O)[O-] ZINC000833620986 605276774 /nfs/dbraw/zinc/27/67/74/605276774.db2.gz YXUSGTFCXUTTEI-ZFDPJTLLSA-N -1 1 303.362 1.102 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC(N(C)C(=O)[O-])CC2)n[nH]1 ZINC000830030591 605301721 /nfs/dbraw/zinc/30/17/21/605301721.db2.gz PDGNUHHMYAAIIS-JTQLQIEISA-N -1 1 323.397 1.433 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCN(c3cccc(O)c3)CC2)O1 ZINC000833576858 605319414 /nfs/dbraw/zinc/31/94/14/605319414.db2.gz AMMBQVXNTCEHKZ-OAHLLOKOSA-N -1 1 318.373 1.662 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)C(C)(C)CNC(=O)[O-])[nH]n1 ZINC000831030474 605337679 /nfs/dbraw/zinc/33/76/79/605337679.db2.gz AIXPVPFMTWKELT-UHFFFAOYSA-N -1 1 306.326 1.043 20 0 DDADMM Cc1cccc([C@@H]2CC(=O)N(CN3CC[C@@H](C(=O)[O-])C3)C2)c1 ZINC000821111947 605345803 /nfs/dbraw/zinc/34/58/03/605345803.db2.gz CGZVFXOVGUPKBK-HUUCEWRRSA-N -1 1 302.374 1.675 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CN2C[C@@H](c3ccccc3F)CC2=O)C1 ZINC000833553621 605347079 /nfs/dbraw/zinc/34/70/79/605347079.db2.gz GHDHXLDWHCULLE-YVEFUNNKSA-N -1 1 320.364 1.896 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000825838914 605354686 /nfs/dbraw/zinc/35/46/86/605354686.db2.gz TXQSGIXOQZPNJP-SCZZXKLOSA-N -1 1 303.322 1.219 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC[C@H]1NC(=O)[O-] ZINC000833847164 605358312 /nfs/dbraw/zinc/35/83/12/605358312.db2.gz HVVUUSLOYQCVKT-NQBHXWOUSA-N -1 1 320.393 1.724 20 0 DDADMM CCNC(=O)[C@H](C)N[C@@H](C)c1ccc(CNC(=O)[O-])nc1C ZINC000833860213 605566980 /nfs/dbraw/zinc/56/69/80/605566980.db2.gz OLUSIDKKLXQBJX-ONGXEEELSA-N -1 1 308.382 1.333 20 0 DDADMM Cn1nc2c(cc1=O)CN(Cc1cccc(NC(=O)[O-])c1)CC2 ZINC000833958561 605599038 /nfs/dbraw/zinc/59/90/38/605599038.db2.gz LDYMLUHKQOTEMN-UHFFFAOYSA-N -1 1 314.345 1.429 20 0 DDADMM O=C([O-])N1CC(C(=O)NC[C@@H](c2ccco2)N2CCCC2)C1 ZINC000834021862 605605816 /nfs/dbraw/zinc/60/58/16/605605816.db2.gz LDQUUSCCMVZEHF-LBPRGKRZSA-N -1 1 307.350 1.143 20 0 DDADMM O=C([O-])N1CC[C@H](C(=O)N[C@@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000834016686 605691689 /nfs/dbraw/zinc/69/16/89/605691689.db2.gz WYUSMUQNBMHNQA-NWDGAFQWSA-N -1 1 315.333 1.010 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)NC1(CNC(=O)[O-])CCCC1)C2 ZINC000833915950 605856290 /nfs/dbraw/zinc/85/62/90/605856290.db2.gz MGSNLRIOXQAFNF-NSHDSACASA-N -1 1 320.393 1.520 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(Cc2ccnc(N(C)C)n2)C1 ZINC000833811568 606024045 /nfs/dbraw/zinc/02/40/45/606024045.db2.gz CTJSDEOFOQSXNG-RYUDHWBXSA-N -1 1 307.398 1.411 20 0 DDADMM O=C(CN(C(=O)[O-])C1CC1)OC[C@H]1CCCN1Cc1ccco1 ZINC000834002941 606092043 /nfs/dbraw/zinc/09/20/43/606092043.db2.gz PNJQBQOBOXVMIH-CYBMUJFWSA-N -1 1 322.361 1.930 20 0 DDADMM CN(C)c1nc(CNc2cccc(-c3nnn[n-]3)n2)cs1 ZINC000821590894 606142262 /nfs/dbraw/zinc/14/22/62/606142262.db2.gz KRUGYDGLWHTTAL-UHFFFAOYSA-N -1 1 302.367 1.396 20 0 DDADMM CN(C)c1nc(CNc2cccc(-c3nn[n-]n3)n2)cs1 ZINC000821590894 606142263 /nfs/dbraw/zinc/14/22/63/606142263.db2.gz KRUGYDGLWHTTAL-UHFFFAOYSA-N -1 1 302.367 1.396 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCC2CCCCC2)n1 ZINC000822615008 606169489 /nfs/dbraw/zinc/16/94/89/606169489.db2.gz ZLSXQNNGXKEWHB-UHFFFAOYSA-N -1 1 318.385 1.692 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCC2CCCCC2)n1 ZINC000822615008 606169490 /nfs/dbraw/zinc/16/94/90/606169490.db2.gz ZLSXQNNGXKEWHB-UHFFFAOYSA-N -1 1 318.385 1.692 20 0 DDADMM Cc1ccc(C)c(OCC(=O)Nc2n[nH]cc2-c2nnn[n-]2)c1 ZINC000822231909 606530720 /nfs/dbraw/zinc/53/07/20/606530720.db2.gz MGEJHEJHOFKDBM-UHFFFAOYSA-N -1 1 313.321 1.224 20 0 DDADMM Cc1ccc(C)c(OCC(=O)Nc2n[nH]cc2-c2nn[n-]n2)c1 ZINC000822231909 606530722 /nfs/dbraw/zinc/53/07/22/606530722.db2.gz MGEJHEJHOFKDBM-UHFFFAOYSA-N -1 1 313.321 1.224 20 0 DDADMM CC(C)[C@H]1OCC[C@@H]1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820046904 606584072 /nfs/dbraw/zinc/58/40/72/606584072.db2.gz VNABZDVIGAIJBU-BXKDBHETSA-N -1 1 321.406 1.719 20 0 DDADMM CC(C)[C@H]1OCC[C@@H]1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820046904 606584074 /nfs/dbraw/zinc/58/40/74/606584074.db2.gz VNABZDVIGAIJBU-BXKDBHETSA-N -1 1 321.406 1.719 20 0 DDADMM Cc1ccc([C@H](O)CNC(=O)c2ccc(-c3nnn[n-]3)s2)o1 ZINC000822230607 606599232 /nfs/dbraw/zinc/59/92/32/606599232.db2.gz LITJGHHTMFZHCE-MRVPVSSYSA-N -1 1 319.346 1.293 20 0 DDADMM Cc1ccc([C@H](O)CNC(=O)c2ccc(-c3nn[n-]n3)s2)o1 ZINC000822230607 606599233 /nfs/dbraw/zinc/59/92/33/606599233.db2.gz LITJGHHTMFZHCE-MRVPVSSYSA-N -1 1 319.346 1.293 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1(C)C ZINC000821678276 606605023 /nfs/dbraw/zinc/60/50/23/606605023.db2.gz MAKLSKGCGAISIC-VHSXEESVSA-N -1 1 307.379 1.472 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1(C)C ZINC000821678276 606605025 /nfs/dbraw/zinc/60/50/25/606605025.db2.gz MAKLSKGCGAISIC-VHSXEESVSA-N -1 1 307.379 1.472 20 0 DDADMM C[C@H](CO[C@H]1CCOC1)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820287695 606634302 /nfs/dbraw/zinc/63/43/02/606634302.db2.gz PFSKBBCIKFWKKQ-BDAKNGLRSA-N -1 1 324.772 1.521 20 0 DDADMM C[C@H](CO[C@H]1CCOC1)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820287695 606634303 /nfs/dbraw/zinc/63/43/03/606634303.db2.gz PFSKBBCIKFWKKQ-BDAKNGLRSA-N -1 1 324.772 1.521 20 0 DDADMM CC[C@@H](CCO)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000820901948 606722947 /nfs/dbraw/zinc/72/29/47/606722947.db2.gz GNFBQGIYASVDJN-LURJTMIESA-N -1 1 302.791 1.797 20 0 DDADMM CC[C@@H](CCO)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000820901948 606722949 /nfs/dbraw/zinc/72/29/49/606722949.db2.gz GNFBQGIYASVDJN-LURJTMIESA-N -1 1 302.791 1.797 20 0 DDADMM O=S(=O)(c1ccc(F)c(-c2nn[n-]n2)c1)N1C[C@H]2CCC[C@@H]21 ZINC000823601279 606874553 /nfs/dbraw/zinc/87/45/53/606874553.db2.gz ZALLRDLZZOHJMA-PELKAZGASA-N -1 1 323.353 1.179 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1cccnc1Cl ZINC000823383298 607024683 /nfs/dbraw/zinc/02/46/83/607024683.db2.gz PEFRLHRPFBFJGZ-UHFFFAOYSA-N -1 1 307.726 1.624 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1cccnc1Cl ZINC000823383298 607024685 /nfs/dbraw/zinc/02/46/85/607024685.db2.gz PEFRLHRPFBFJGZ-UHFFFAOYSA-N -1 1 307.726 1.624 20 0 DDADMM COc1ccc(O)c(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000821839548 607054461 /nfs/dbraw/zinc/05/44/61/607054461.db2.gz WIFKQRWLZUGYBV-UHFFFAOYSA-N -1 1 312.289 1.228 20 0 DDADMM COc1ccc(O)c(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000821839548 607054463 /nfs/dbraw/zinc/05/44/63/607054463.db2.gz WIFKQRWLZUGYBV-UHFFFAOYSA-N -1 1 312.289 1.228 20 0 DDADMM CC(C)c1cc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)n[nH]1 ZINC000820187680 607109809 /nfs/dbraw/zinc/10/98/09/607109809.db2.gz MIEKHWMHBKSYCK-UHFFFAOYSA-N -1 1 304.339 1.422 20 0 DDADMM CC(C)c1cc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)n[nH]1 ZINC000820187680 607109811 /nfs/dbraw/zinc/10/98/11/607109811.db2.gz MIEKHWMHBKSYCK-UHFFFAOYSA-N -1 1 304.339 1.422 20 0 DDADMM CC(C)CC(CC(C)C)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820057387 607214189 /nfs/dbraw/zinc/21/41/89/607214189.db2.gz ZOHIKJJLQYZSNO-UHFFFAOYSA-N -1 1 320.401 1.035 20 0 DDADMM CC1(C)CN(c2nccnc2-c2nnn[n-]2)Cc2ccccc21 ZINC000824811796 607967103 /nfs/dbraw/zinc/96/71/03/607967103.db2.gz CLBFALWQWSTKCI-UHFFFAOYSA-N -1 1 307.361 1.955 20 0 DDADMM CC1(C)CN(c2nccnc2-c2nn[n-]n2)Cc2ccccc21 ZINC000824811796 607967104 /nfs/dbraw/zinc/96/71/04/607967104.db2.gz CLBFALWQWSTKCI-UHFFFAOYSA-N -1 1 307.361 1.955 20 0 DDADMM CCCN(CC(=O)OCC)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825308083 607986729 /nfs/dbraw/zinc/98/67/29/607986729.db2.gz UOWKGWJBUUDVAZ-UHFFFAOYSA-N -1 1 324.772 1.695 20 0 DDADMM CCCN(CC(=O)OCC)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825308083 607986730 /nfs/dbraw/zinc/98/67/30/607986730.db2.gz UOWKGWJBUUDVAZ-UHFFFAOYSA-N -1 1 324.772 1.695 20 0 DDADMM COc1ccc(OC)c(CNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826211107 607988711 /nfs/dbraw/zinc/98/87/11/607988711.db2.gz PMJQQCGFAGXRIH-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM COc1ccc(OC)c(CNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826211107 607988712 /nfs/dbraw/zinc/98/87/12/607988712.db2.gz PMJQQCGFAGXRIH-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM CCCC[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)OC ZINC000825268635 608104073 /nfs/dbraw/zinc/10/40/73/608104073.db2.gz WYPOKEODPTYWIJ-LLVKDONJSA-N -1 1 319.321 1.150 20 0 DDADMM CCCC[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)OC ZINC000825268635 608104074 /nfs/dbraw/zinc/10/40/74/608104074.db2.gz WYPOKEODPTYWIJ-LLVKDONJSA-N -1 1 319.321 1.150 20 0 DDADMM Cc1ccc(CO)cc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000826288607 608106944 /nfs/dbraw/zinc/10/69/44/608106944.db2.gz OVDBKYVSWKDFAQ-UHFFFAOYSA-N -1 1 315.358 1.981 20 0 DDADMM Cc1ccc(CO)cc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000826288607 608106945 /nfs/dbraw/zinc/10/69/45/608106945.db2.gz OVDBKYVSWKDFAQ-UHFFFAOYSA-N -1 1 315.358 1.981 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)N(Cc1ccccc1)C1CC1 ZINC000826425131 608147611 /nfs/dbraw/zinc/14/76/11/608147611.db2.gz QTUWXAAHNPHVJN-UHFFFAOYSA-N -1 1 323.360 1.254 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)N(Cc1ccccc1)C1CC1 ZINC000826425131 608147613 /nfs/dbraw/zinc/14/76/13/608147613.db2.gz QTUWXAAHNPHVJN-UHFFFAOYSA-N -1 1 323.360 1.254 20 0 DDADMM C[C@H]1OCC[C@H]1C(=O)Nc1nn(C(C)(C)C)cc1-c1nnn[n-]1 ZINC000825020530 608160094 /nfs/dbraw/zinc/16/00/94/608160094.db2.gz KTTIEAQGHIEBNV-RKDXNWHRSA-N -1 1 319.369 1.182 20 0 DDADMM C[C@H]1OCC[C@H]1C(=O)Nc1nn(C(C)(C)C)cc1-c1nn[n-]n1 ZINC000825020530 608160095 /nfs/dbraw/zinc/16/00/95/608160095.db2.gz KTTIEAQGHIEBNV-RKDXNWHRSA-N -1 1 319.369 1.182 20 0 DDADMM CC(C)(O)[C@@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000824167307 608202136 /nfs/dbraw/zinc/20/21/36/608202136.db2.gz RBHVLAGIZSQOEB-JTQLQIEISA-N -1 1 307.379 1.304 20 0 DDADMM CC(C)(O)[C@@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000824167307 608202138 /nfs/dbraw/zinc/20/21/38/608202138.db2.gz RBHVLAGIZSQOEB-JTQLQIEISA-N -1 1 307.379 1.304 20 0 DDADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)c1ccccc1 ZINC000824426313 608398139 /nfs/dbraw/zinc/39/81/39/608398139.db2.gz DAEBTRYCOZGURS-LLVKDONJSA-N -1 1 310.365 1.594 20 0 DDADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)c1ccccc1 ZINC000824426313 608398141 /nfs/dbraw/zinc/39/81/41/608398141.db2.gz DAEBTRYCOZGURS-LLVKDONJSA-N -1 1 310.365 1.594 20 0 DDADMM O=C(Nc1ccc(Oc2ccc(-c3nnn[n-]3)nn2)cc1)C1CC1 ZINC000826455343 608400892 /nfs/dbraw/zinc/40/08/92/608400892.db2.gz KHKKNHJVUXHRGM-UHFFFAOYSA-N -1 1 323.316 1.798 20 0 DDADMM O=C(Nc1ccc(Oc2ccc(-c3nn[n-]n3)nn2)cc1)C1CC1 ZINC000826455343 608400893 /nfs/dbraw/zinc/40/08/93/608400893.db2.gz KHKKNHJVUXHRGM-UHFFFAOYSA-N -1 1 323.316 1.798 20 0 DDADMM COCCOc1cccc(Nc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826163023 608401273 /nfs/dbraw/zinc/40/12/73/608401273.db2.gz CVXSVPIXCMWKPN-UHFFFAOYSA-N -1 1 313.321 1.426 20 0 DDADMM COCCOc1cccc(Nc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826163023 608401274 /nfs/dbraw/zinc/40/12/74/608401274.db2.gz CVXSVPIXCMWKPN-UHFFFAOYSA-N -1 1 313.321 1.426 20 0 DDADMM c1ccc([C@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@H]2CCCO2)cc1 ZINC000826520860 608411312 /nfs/dbraw/zinc/41/13/12/608411312.db2.gz SUQRLDGECIVBGZ-HIFRSBDPSA-N -1 1 323.360 1.989 20 0 DDADMM c1ccc([C@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@H]2CCCO2)cc1 ZINC000826520860 608411313 /nfs/dbraw/zinc/41/13/13/608411313.db2.gz SUQRLDGECIVBGZ-HIFRSBDPSA-N -1 1 323.360 1.989 20 0 DDADMM c1cc(N2CCS[C@H]3CCCC[C@@H]32)nnc1-c1nnn[n-]1 ZINC000826517361 608430997 /nfs/dbraw/zinc/43/09/97/608430997.db2.gz ZPKXHWBXFYTSQE-QWRGUYRKSA-N -1 1 303.395 1.521 20 0 DDADMM c1cc(N2CCS[C@H]3CCCC[C@@H]32)nnc1-c1nn[n-]n1 ZINC000826517361 608430998 /nfs/dbraw/zinc/43/09/98/608430998.db2.gz ZPKXHWBXFYTSQE-QWRGUYRKSA-N -1 1 303.395 1.521 20 0 DDADMM C[C@@H]1[C@@H](C)[S@](=O)CCN1Cc1ccc(-c2nn[n-]n2)s1 ZINC000824860717 608714197 /nfs/dbraw/zinc/71/41/97/608714197.db2.gz XFWCWRJQDFFLGR-ZUNJMDCASA-N -1 1 311.436 1.270 20 0 DDADMM COC(=O)[C@H](Sc1nc(C)nc(C)c1-c1nn[n-]n1)C1CC1 ZINC000826117885 609376480 /nfs/dbraw/zinc/37/64/80/609376480.db2.gz UIPJOFAMENOEOK-SNVBAGLBSA-N -1 1 320.378 1.317 20 0 DDADMM C[C@@H]1C[C@@H](COC(=O)c2sccc2-c2nn[n-]n2)CCO1 ZINC000824883686 609389683 /nfs/dbraw/zinc/38/96/83/609389683.db2.gz ITCPGUDHNZKOFB-BDAKNGLRSA-N -1 1 308.363 1.900 20 0 DDADMM CC[C@@H](C)CCC(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000797273391 700002515 /nfs/dbraw/zinc/00/25/15/700002515.db2.gz FPHMQTAXXHJHIF-SNVBAGLBSA-N -1 1 306.366 1.638 20 0 DDADMM CCCC(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975226723 695817304 /nfs/dbraw/zinc/81/73/04/695817304.db2.gz RHCWEKYLJGYNER-LBPRGKRZSA-N -1 1 305.378 1.556 20 0 DDADMM CC[C@H](F)C(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975261547 695821322 /nfs/dbraw/zinc/82/13/22/695821322.db2.gz VRESKYFQCOLURJ-RYUDHWBXSA-N -1 1 323.368 1.504 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976363119 695966975 /nfs/dbraw/zinc/96/69/75/695966975.db2.gz RFOHXUBDFQNGQV-XZUYRWCXSA-N -1 1 315.373 1.020 20 0 DDADMM CC(Nc1ccc(F)cc1Cl)=C1C(=O)[N-]C(=S)NC1=O ZINC000009769471 696037379 /nfs/dbraw/zinc/03/73/79/696037379.db2.gz BGFYFVVKPNFODV-UHFFFAOYSA-N -1 1 313.741 1.696 20 0 DDADMM O=C([N-]CC1CCN(c2nnc(C3CC3)o2)CC1)C(F)(F)F ZINC000798403390 700062417 /nfs/dbraw/zinc/06/24/17/700062417.db2.gz YGNDZDCIPSFGHW-UHFFFAOYSA-N -1 1 318.299 1.842 20 0 DDADMM O=C(NC[C@H]1CC1(Cl)Cl)c1cc([N+](=O)[O-])c[n-]c1=O ZINC000031939351 696123024 /nfs/dbraw/zinc/12/30/24/696123024.db2.gz WSAZCCOIAKDUKN-RXMQYKEDSA-N -1 1 306.105 1.207 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)C1=CCCC1 ZINC000977545994 696208071 /nfs/dbraw/zinc/20/80/71/696208071.db2.gz HAKNUYKSTDVRGW-ZDUSSCGKSA-N -1 1 315.373 1.570 20 0 DDADMM CC1CC(C(=O)N2CC[C@H]2CN(C)C(=O)c2ncccc2[O-])C1 ZINC000977619418 696237162 /nfs/dbraw/zinc/23/71/62/696237162.db2.gz QOGMKXGQCWPOQN-BPCQOVAHSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1ncsc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000051241013 696238096 /nfs/dbraw/zinc/23/80/96/696238096.db2.gz PRVTVWKVLBRADB-UHFFFAOYSA-N -1 1 304.327 1.565 20 0 DDADMM CCC[C@H](NC(C)=C1C(=O)[N-]C(=S)NC1=O)c1ccccc1 ZINC000054500537 696276678 /nfs/dbraw/zinc/27/66/78/696276678.db2.gz HYKQOHXWBXKWLV-LBPRGKRZSA-N -1 1 317.414 1.922 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741126 696450344 /nfs/dbraw/zinc/45/03/44/696450344.db2.gz HMFQRUJKPNXJOC-WYUUTHIRSA-N -1 1 303.362 1.068 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@H]2C2CCCCC2)s1 ZINC000079642288 696462489 /nfs/dbraw/zinc/46/24/89/696462489.db2.gz CJYOROALIBALJR-RYUDHWBXSA-N -1 1 309.435 1.905 20 0 DDADMM Cc1ccccc1[C@H](NC(=O)Cc1sc(N)nc1[O-])C1CC1 ZINC000080235428 696531548 /nfs/dbraw/zinc/53/15/48/696531548.db2.gz SRIXZKMBCVHBOM-TZMCWYRMSA-N -1 1 317.414 1.909 20 0 DDADMM CN1CCCN(C(=O)Cc2sc(N)nc2[O-])c2ccccc21 ZINC000080408700 696534195 /nfs/dbraw/zinc/53/41/95/696534195.db2.gz YRXOEXDOWUCJFN-GFCCVEGCSA-N -1 1 318.402 1.206 20 0 DDADMM Cn1cccc1CNC(=O)N[N-]C(=O)c1ccc(Cl)cc1F ZINC000083235723 696552465 /nfs/dbraw/zinc/55/24/65/696552465.db2.gz URMQWXSSEIFQFT-UHFFFAOYSA-N -1 1 324.743 1.962 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)c1ccc(Cl)cc1)N(C)C ZINC000798812605 700098757 /nfs/dbraw/zinc/09/87/57/700098757.db2.gz VFOZGHRESLOQLW-LBPRGKRZSA-N -1 1 318.826 1.731 20 0 DDADMM CC(=O)NC1CCC(NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000979964302 696668446 /nfs/dbraw/zinc/66/84/46/696668446.db2.gz OAWTUXBAUVSMJL-UHFFFAOYSA-N -1 1 318.377 1.237 20 0 DDADMM Cc1cccc(C(=O)OCCc2c(C)nc3[n-]cnn3c2=O)c1 ZINC000119402850 696680476 /nfs/dbraw/zinc/68/04/76/696680476.db2.gz BJWZLEPVACDJNV-UHFFFAOYSA-N -1 1 312.329 1.434 20 0 DDADMM CC[C@](C)(CC(=O)OC)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000748658488 700130546 /nfs/dbraw/zinc/13/05/46/700130546.db2.gz QMBKWONPXWXHSG-CYBMUJFWSA-N -1 1 321.345 1.975 20 0 DDADMM Cc1c(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cnc2ccccc12 ZINC000748707685 700131728 /nfs/dbraw/zinc/13/17/28/700131728.db2.gz AIMRYYHNIQZWTC-AWEZNQCLSA-N -1 1 324.344 1.270 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982395573 697121753 /nfs/dbraw/zinc/12/17/53/697121753.db2.gz IIMASKNZLDQKHC-GFCCVEGCSA-N -1 1 303.362 1.332 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000982592462 697155194 /nfs/dbraw/zinc/15/51/94/697155194.db2.gz ZTOKOYYSIHMEAM-RYUDHWBXSA-N -1 1 317.389 1.412 20 0 DDADMM CC(C)(F)C(=O)N[C@@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000983429571 697262839 /nfs/dbraw/zinc/26/28/39/697262839.db2.gz NYZCASLDYHZKQN-GHMZBOCLSA-N -1 1 323.368 1.693 20 0 DDADMM CN(C(=O)CC1CC1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984105856 697340920 /nfs/dbraw/zinc/34/09/20/697340920.db2.gz MHKCSZASJODHSL-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(NCCOC1CCCC1)c1nnc2ccccc2c1O ZINC000171864967 697362943 /nfs/dbraw/zinc/36/29/43/697362943.db2.gz NYRRZUVCNKKQHN-UHFFFAOYSA-N -1 1 301.346 1.612 20 0 DDADMM C[C@@H](O)c1ccc(CNC(=O)c2nnc3ccccc3c2O)cc1 ZINC000171865916 697363025 /nfs/dbraw/zinc/36/30/25/697363025.db2.gz WVZXNDRLQIBOBP-LLVKDONJSA-N -1 1 323.352 1.906 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C=C(C)C ZINC000984801176 697430774 /nfs/dbraw/zinc/43/07/74/697430774.db2.gz KMCBJRXIMDODSX-QWHCGFSZSA-N -1 1 317.389 1.863 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)Nc2cc(F)cc(Cl)c2)n[nH]1 ZINC000186760508 697537783 /nfs/dbraw/zinc/53/77/83/697537783.db2.gz OMZGEBMGVOPSBJ-UHFFFAOYSA-N -1 1 311.704 1.977 20 0 DDADMM Cc1coc(C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)c1 ZINC000985596102 697539043 /nfs/dbraw/zinc/53/90/43/697539043.db2.gz BPKVIWQSUACDHT-UHFFFAOYSA-N -1 1 319.365 1.148 20 0 DDADMM CNC(=O)c1cc(OC)ccc1NC(=O)c1n[nH]c(C)c1[O-] ZINC000749420256 700163241 /nfs/dbraw/zinc/16/32/41/700163241.db2.gz PZNWIZRBEKYYQU-UHFFFAOYSA-N -1 1 304.306 1.044 20 0 DDADMM O=C(Nc1cc(C(F)(F)F)ccc1F)NN1CC(=O)[N-]C1=O ZINC000190960391 697599565 /nfs/dbraw/zinc/59/95/65/697599565.db2.gz XFTOFSXHVRYXNL-UHFFFAOYSA-N -1 1 320.202 1.433 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000772706867 697663986 /nfs/dbraw/zinc/66/39/86/697663986.db2.gz LQSYBZBSIHGUHJ-LBPRGKRZSA-N -1 1 304.346 1.266 20 0 DDADMM CC(C)c1nc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cs1 ZINC000773147553 697718768 /nfs/dbraw/zinc/71/87/68/697718768.db2.gz BQSXSRICNRBRSF-VIFPVBQESA-N -1 1 324.435 1.710 20 0 DDADMM O=C(CCCc1cccs1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773156837 697720606 /nfs/dbraw/zinc/72/06/06/697720606.db2.gz FWBVXDSCZBOTRT-LLVKDONJSA-N -1 1 323.447 1.901 20 0 DDADMM CC1(OCC(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCC1 ZINC000773207049 697727642 /nfs/dbraw/zinc/72/76/42/697727642.db2.gz QPFSDUGQGACLTI-UHFFFAOYSA-N -1 1 305.330 1.445 20 0 DDADMM CS[C@@H](CO)[C@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000230352791 697783104 /nfs/dbraw/zinc/78/31/04/697783104.db2.gz XJABGRVGSHRYGN-WPRPVWTQSA-N -1 1 313.423 1.044 20 0 DDADMM CC[C@H](C)NC(=O)CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773854576 697814767 /nfs/dbraw/zinc/81/47/67/697814767.db2.gz ZHIPMGZUIYSMKG-VIFPVBQESA-N -1 1 321.406 1.053 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)C=Cc2ccccc2F)n[nH]1 ZINC000800040574 700182725 /nfs/dbraw/zinc/18/27/25/700182725.db2.gz CWDXIFLKXOXWGY-AATRIKPKSA-N -1 1 310.310 1.060 20 0 DDADMM CCC(=O)N1CCCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000986803633 697913758 /nfs/dbraw/zinc/91/37/58/697913758.db2.gz PLEXMPZUKFFNCC-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2C[C@H]2C(C)C)o1 ZINC000774858659 697935779 /nfs/dbraw/zinc/93/57/79/697935779.db2.gz FAFLLHPCFSMFBO-UWVGGRQHSA-N -1 1 301.364 1.637 20 0 DDADMM O=C(Cc1cccc(F)c1)OCCC[N-]C(=O)C(F)(F)F ZINC000774877355 697937191 /nfs/dbraw/zinc/93/71/91/697937191.db2.gz DUBUVLHDXSAMNI-UHFFFAOYSA-N -1 1 307.243 1.980 20 0 DDADMM O=C(c1cccc2[nH]ccc21)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776561852 698117401 /nfs/dbraw/zinc/11/74/01/698117401.db2.gz AEORBHBGBFAWDZ-GFCCVEGCSA-N -1 1 314.374 1.611 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)[C@@H]1OC ZINC000777335539 698180867 /nfs/dbraw/zinc/18/08/67/698180867.db2.gz IEIVJZRXOZGMES-WZRBSPASSA-N -1 1 323.393 1.536 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CCO[C@H](C)C2)co1 ZINC000778363354 698268775 /nfs/dbraw/zinc/26/87/75/698268775.db2.gz CBTFFJUSAVPTSG-ZJUUUORDSA-N -1 1 317.363 1.160 20 0 DDADMM O=C([C@H](F)Cc1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000778394423 698270997 /nfs/dbraw/zinc/27/09/97/698270997.db2.gz UJLJFLUDTBTNPU-CHWSQXEVSA-N -1 1 303.341 1.487 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCc1cc(C(N)=O)co1 ZINC000779242160 698405170 /nfs/dbraw/zinc/40/51/70/698405170.db2.gz ALKCOYMFIBBPFD-UHFFFAOYSA-N -1 1 318.333 1.236 20 0 DDADMM O=C([N-]OC1CCCCC1)[C@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000779318738 698413099 /nfs/dbraw/zinc/41/30/99/698413099.db2.gz YBYJNIJZKWATPK-VIFPVBQESA-N -1 1 308.300 1.778 20 0 DDADMM Cc1coc(C)c1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000988753203 698456041 /nfs/dbraw/zinc/45/60/41/698456041.db2.gz AQUMWNXLEBFUSP-MWLCHTKSSA-N -1 1 319.365 1.113 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000263424274 698506600 /nfs/dbraw/zinc/50/66/00/698506600.db2.gz LWORCZSQALOHSA-IUCAKERBSA-N -1 1 324.299 1.013 20 0 DDADMM CC(C)[C@@H](NC(=O)NC[C@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000780344919 698509473 /nfs/dbraw/zinc/50/94/73/698509473.db2.gz YDLZAVPUOITWHY-TZMCWYRMSA-N -1 1 323.393 1.825 20 0 DDADMM Cc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cs1 ZINC000989557519 698666675 /nfs/dbraw/zinc/66/66/75/698666675.db2.gz HODSEVSPCDTDIX-LDYMZIIASA-N -1 1 321.406 1.273 20 0 DDADMM CCC(CC)[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000310395830 698670428 /nfs/dbraw/zinc/67/04/28/698670428.db2.gz FNJOEXSRTWAVDV-UHFFFAOYSA-N -1 1 324.244 1.958 20 0 DDADMM Cc1ccccc1[C@@H](C)NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000314555881 698690543 /nfs/dbraw/zinc/69/05/43/698690543.db2.gz ZTXYCXHXMYKFCH-ZIAGYGMSSA-N -1 1 304.390 1.969 20 0 DDADMM Cc1ccccc1[C@@H](C)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000314555881 698690547 /nfs/dbraw/zinc/69/05/47/698690547.db2.gz ZTXYCXHXMYKFCH-ZIAGYGMSSA-N -1 1 304.390 1.969 20 0 DDADMM CC(C)C(=O)N1CCCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000990483331 699068220 /nfs/dbraw/zinc/06/82/20/699068220.db2.gz FHYAOYQIFPPLRV-ZDUSSCGKSA-N -1 1 319.405 1.896 20 0 DDADMM COC(=O)c1n[nH]c2ccc(NC(=O)c3cncc([O-])c3)cc21 ZINC000785804186 699102844 /nfs/dbraw/zinc/10/28/44/699102844.db2.gz MGKHDMWHZGWGLW-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM CCC[C@H](NC(=O)C(F)(F)C1(O)CCCC1)c1nn[n-]n1 ZINC000786299558 699138892 /nfs/dbraw/zinc/13/88/92/699138892.db2.gz DADZAFDRCCNNDP-QMMMGPOBSA-N -1 1 303.313 1.098 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(OC(F)(F)Cl)cc1 ZINC000787011472 699185609 /nfs/dbraw/zinc/18/56/09/699185609.db2.gz WDISPSRUNQHMHE-UHFFFAOYSA-N -1 1 319.651 1.051 20 0 DDADMM O=C(NC1CN(C(=O)c2ccoc2Cl)C1)c1ncccc1[O-] ZINC000990969867 699195570 /nfs/dbraw/zinc/19/55/70/699195570.db2.gz QLSAQFQIHCNBFF-UHFFFAOYSA-N -1 1 321.720 1.288 20 0 DDADMM Cc1cc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)oc1C ZINC000990970752 699195815 /nfs/dbraw/zinc/19/58/15/699195815.db2.gz YXBBKFWMVSDDCE-UHFFFAOYSA-N -1 1 315.329 1.251 20 0 DDADMM CC(C)[C@@]1(C)C[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971038 699195824 /nfs/dbraw/zinc/19/58/24/699195824.db2.gz DVYUAPVFSVQQKH-SJKOYZFVSA-N -1 1 317.389 1.410 20 0 DDADMM CC[C@@H]1CCC[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971650 699195944 /nfs/dbraw/zinc/19/59/44/699195944.db2.gz IAPRNPKJYOVGMS-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CC[C@H](CC(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990974059 699196846 /nfs/dbraw/zinc/19/68/46/699196846.db2.gz FGLONFPACIUFOY-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](CC(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000990979017 699197933 /nfs/dbraw/zinc/19/79/33/699197933.db2.gz ROBLJZPXOQLLDS-JTQLQIEISA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000701200214 699226116 /nfs/dbraw/zinc/22/61/16/699226116.db2.gz BFRYABMWTKOEHU-XAVMHZPKSA-N -1 1 307.803 1.164 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CCC3(CCC3)O2)c1Cl ZINC000711517394 699258507 /nfs/dbraw/zinc/25/85/07/699258507.db2.gz BNMPMMKHMFSCQF-VIFPVBQESA-N -1 1 319.814 1.454 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC(O)(C(C)C)C3)cnc2n1 ZINC000788615603 699327300 /nfs/dbraw/zinc/32/73/00/699327300.db2.gz PIBAJYKSBGZXAE-UHFFFAOYSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC(O)(C(C)C)C3)c[n-]c2n1 ZINC000788615603 699327303 /nfs/dbraw/zinc/32/73/03/699327303.db2.gz PIBAJYKSBGZXAE-UHFFFAOYSA-N -1 1 301.346 1.487 20 0 DDADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1Cl ZINC000726866277 699386019 /nfs/dbraw/zinc/38/60/19/699386019.db2.gz OSZKNOIIEGNOCK-JTQLQIEISA-N -1 1 321.764 1.458 20 0 DDADMM CCCC[C@H]1CCC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727860683 699428729 /nfs/dbraw/zinc/42/87/29/699428729.db2.gz GAQPSWQOJSGYBB-QWHCGFSZSA-N -1 1 307.394 1.402 20 0 DDADMM CCc1cc2c(COC(=O)[C@H](O)C3CC3)cc(=O)oc2cc1[O-] ZINC000730880713 699518421 /nfs/dbraw/zinc/51/84/21/699518421.db2.gz UPYKYUTXBVUGJH-MRXNPFEDSA-N -1 1 318.325 1.875 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H](O)c3ccccc3)ccnc1-2 ZINC000791089683 699610867 /nfs/dbraw/zinc/61/08/67/699610867.db2.gz PGVBGKRLYQRPSR-AWEZNQCLSA-N -1 1 311.345 1.197 20 0 DDADMM O=C(Cc1cccc(C(F)F)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000791129569 699612160 /nfs/dbraw/zinc/61/21/60/699612160.db2.gz NMHMIGSZSKOLDW-LLVKDONJSA-N -1 1 323.303 1.280 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)COc3ccc4c(c3)CCC4)ccnc1-2 ZINC000791165404 699613826 /nfs/dbraw/zinc/61/38/26/699613826.db2.gz QMUGLBQUBFSKSC-UHFFFAOYSA-N -1 1 322.368 1.848 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3ccc4c(c3)OCO4)ccnc1-2 ZINC000791167486 699614022 /nfs/dbraw/zinc/61/40/22/699614022.db2.gz QLCCCYMRVIBKQV-UHFFFAOYSA-N -1 1 310.313 1.252 20 0 DDADMM O=C(NCCCc1nccs1)c1ccc2n[n-]c(=S)n2c1 ZINC000793041330 699730087 /nfs/dbraw/zinc/73/00/87/699730087.db2.gz NXIHJLSRUNTYGE-UHFFFAOYSA-N -1 1 319.415 1.837 20 0 DDADMM CCc1ccc(CCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000736683638 699730366 /nfs/dbraw/zinc/73/03/66/699730366.db2.gz RCSYVLXPTLERGR-CQSZACIVSA-N -1 1 315.377 1.295 20 0 DDADMM COc1cc(C)sc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000737042143 699735924 /nfs/dbraw/zinc/73/59/24/699735924.db2.gz PTUQGTAXNGCFTE-UHFFFAOYSA-N -1 1 307.379 1.598 20 0 DDADMM Cc1ccc2oc(C(=O)N3CCOC[C@@H]3c3nn[n-]n3)cc2c1 ZINC000737373075 699739176 /nfs/dbraw/zinc/73/91/76/699739176.db2.gz BUAQZVKBZFCCKN-LLVKDONJSA-N -1 1 313.317 1.468 20 0 DDADMM O=C(C=Cc1ccc(Cl)cc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737795099 699745331 /nfs/dbraw/zinc/74/53/31/699745331.db2.gz IKJFHKYZRRFWCH-UXONFWTHSA-N -1 1 319.752 1.466 20 0 DDADMM C[C@@H](C(=O)NC1CCC(C)CC1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000739089026 699770929 /nfs/dbraw/zinc/77/09/29/699770929.db2.gz XGOKNVXULRDCBL-YIFLHPOLSA-N -1 1 311.426 1.659 20 0 DDADMM O=C(Nc1c([O-])cccc1F)[C@H]1CC[C@H](C(F)(F)F)NC1=O ZINC000743415498 699911654 /nfs/dbraw/zinc/91/16/54/699911654.db2.gz CXHKOENMPDZUMO-IMTBSYHQSA-N -1 1 320.242 1.927 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C)c2nnnn2C2CC2)[n-]1 ZINC000796343145 699927584 /nfs/dbraw/zinc/92/75/84/699927584.db2.gz BKTCRTMARNOBRU-SSDOTTSWSA-N -1 1 305.294 1.041 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OCCC3(O)CC3)n2)cc1 ZINC000801365536 700301116 /nfs/dbraw/zinc/30/11/16/700301116.db2.gz GRWPACZPTQTCEC-UHFFFAOYSA-N -1 1 318.329 1.658 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2C[C@@H]2C2CC2)cc1 ZINC000751736997 700311494 /nfs/dbraw/zinc/31/14/94/700311494.db2.gz CHQHJJUJFNMQCL-ZIAGYGMSSA-N -1 1 317.341 1.541 20 0 DDADMM Cn1ncc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1C(F)F ZINC000801704071 700332939 /nfs/dbraw/zinc/33/29/39/700332939.db2.gz WNSLNPXHPNUCDC-UHFFFAOYSA-N -1 1 306.276 1.265 20 0 DDADMM COc1cnc2ccccc2c1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000801904439 700351555 /nfs/dbraw/zinc/35/15/55/700351555.db2.gz VCRNDMWLKUQMCC-UHFFFAOYSA-N -1 1 314.301 1.022 20 0 DDADMM O=S(=O)(Cc1cnnn1-c1ccccc1)c1ccc([O-])cc1 ZINC000809149634 701649087 /nfs/dbraw/zinc/64/90/87/701649087.db2.gz SLINIICFTCHXIM-UHFFFAOYSA-N -1 1 315.354 1.947 20 0 DDADMM O=C([N-]Cc1cn(-c2ccccc2)nn1)C(F)(F)C(F)F ZINC000755419974 700565076 /nfs/dbraw/zinc/56/50/76/700565076.db2.gz ZOZUEBSSMZGNHO-UHFFFAOYSA-N -1 1 302.231 1.784 20 0 DDADMM C[C@@H](CN(C)C(=O)C(F)(F)c1nccs1)c1nn[n-]n1 ZINC000757598466 700665441 /nfs/dbraw/zinc/66/54/41/700665441.db2.gz SCUNHWPAZORMCF-LURJTMIESA-N -1 1 302.310 1.010 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2cccc(=O)n2C)c1 ZINC000757736147 700670131 /nfs/dbraw/zinc/67/01/31/700670131.db2.gz OBEFUZCIHHRAMM-UHFFFAOYSA-N -1 1 315.325 1.693 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C(=O)O1 ZINC000809382143 701662230 /nfs/dbraw/zinc/66/22/30/701662230.db2.gz CLGRIRGTMMAIQZ-ANLVUFKYSA-N -1 1 309.265 1.086 20 0 DDADMM COC1CCC(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CC1 ZINC000762006790 700876171 /nfs/dbraw/zinc/87/61/71/700876171.db2.gz PLIXVTDQRUAKID-OTTFEQOBSA-N -1 1 307.398 1.501 20 0 DDADMM CCOC(=O)N[C@H](CNC(=O)c1ncc(C)cc1[O-])CC(C)C ZINC000763243628 700931221 /nfs/dbraw/zinc/93/12/21/700931221.db2.gz WXHWFGAQCYJOTH-LBPRGKRZSA-N -1 1 323.393 1.986 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2ccc(F)cn2)c1Cl ZINC000763379375 700936194 /nfs/dbraw/zinc/93/61/94/700936194.db2.gz ZYOYIQOTFRLXFV-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM Cn1[n-]c(COC(=O)C2(c3ccccc3)CCCC2)nc1=O ZINC000765418261 701011147 /nfs/dbraw/zinc/01/11/47/701011147.db2.gz UYERVEGGMYTJPW-UHFFFAOYSA-N -1 1 301.346 1.664 20 0 DDADMM Cn1[n-]c(COC(=O)CSc2nc3ccccc3o2)nc1=O ZINC000765420805 701011334 /nfs/dbraw/zinc/01/13/34/701011334.db2.gz XSCXNEVBSQKXIE-UHFFFAOYSA-N -1 1 320.330 1.085 20 0 DDADMM CC(C)[C@@H](Sc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765461922 701013862 /nfs/dbraw/zinc/01/38/62/701013862.db2.gz CQIATXFOCXFQKW-CYBMUJFWSA-N -1 1 321.402 1.969 20 0 DDADMM Cc1ncc(C(=O)OCc2nc(=O)n(C)[n-]2)c(Cl)c1Cl ZINC000765498242 701016433 /nfs/dbraw/zinc/01/64/33/701016433.db2.gz STTOUISWJYTCMD-UHFFFAOYSA-N -1 1 317.132 1.476 20 0 DDADMM CC(C)N1C(=S)N=NC1CNC(=O)c1ccc([O-])c(F)c1 ZINC000768045981 701154564 /nfs/dbraw/zinc/15/45/64/701154564.db2.gz XJUWDMPYUWUNEF-UHFFFAOYSA-N -1 1 310.354 1.922 20 0 DDADMM COC(=O)c1cc(Cl)cc([N-]C(=O)c2cccc[n+]2[O-])c1O ZINC000804900701 701218671 /nfs/dbraw/zinc/21/86/71/701218671.db2.gz GQUXFWCJMZGUKL-UHFFFAOYSA-N -1 1 322.704 1.718 20 0 DDADMM C[C@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccccc1Cl ZINC000805604619 701398020 /nfs/dbraw/zinc/39/80/20/701398020.db2.gz DBCNOSNQHAALCF-QMMMGPOBSA-N -1 1 308.725 1.492 20 0 DDADMM CC(C)[C@H](O)C1(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000806491207 701437690 /nfs/dbraw/zinc/43/76/90/701437690.db2.gz FJFOKADIJDQEQV-ZDUSSCGKSA-N -1 1 317.393 1.260 20 0 DDADMM CC(C)C[C@H](C)CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830966434 706612607 /nfs/dbraw/zinc/61/26/07/706612607.db2.gz WYMIHBYXCYEKCD-NSHDSACASA-N -1 1 307.394 1.943 20 0 DDADMM COC[C@H](C)OC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000867889942 701813110 /nfs/dbraw/zinc/81/31/10/701813110.db2.gz DSNAWQVDYQJAAP-GZMMTYOYSA-N -1 1 312.288 1.301 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCn2nccc21)c1c[nH]nc1Cl ZINC000867901691 701817713 /nfs/dbraw/zinc/81/77/13/701817713.db2.gz MFIPUQJXNSFDAW-SSDOTTSWSA-N -1 1 301.759 1.073 20 0 DDADMM O=C(Cc1ccoc1)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000810906246 701872488 /nfs/dbraw/zinc/87/24/88/701872488.db2.gz OJXYMILIFOLMRC-NSHDSACASA-N -1 1 318.295 1.739 20 0 DDADMM C[C@]1(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)C[C@@H]1c1ccccc1 ZINC000840332207 702073057 /nfs/dbraw/zinc/07/30/57/702073057.db2.gz AATZMEQVHLGNNG-WWGRRREGSA-N -1 1 313.361 1.293 20 0 DDADMM CCc1cc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)ncn1 ZINC000811856864 702073186 /nfs/dbraw/zinc/07/31/86/702073186.db2.gz VNDYWJFUNKUIFB-SNVBAGLBSA-N -1 1 316.327 1.934 20 0 DDADMM Cc1ccc(NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)nc1 ZINC000866182242 706642244 /nfs/dbraw/zinc/64/22/44/706642244.db2.gz VTDAYQRSWOFKMF-UHFFFAOYSA-N -1 1 316.283 1.532 20 0 DDADMM O=C(/C=C/c1ccco1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162479 706647519 /nfs/dbraw/zinc/64/75/19/706647519.db2.gz NCURVUYNCZFPND-ONEGZZNKSA-N -1 1 302.252 1.430 20 0 DDADMM CC[C@@H]1C[C@H]1NC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868574571 702205782 /nfs/dbraw/zinc/20/57/82/702205782.db2.gz PRXWMDZZTNCOGR-KBVBSXBZSA-N -1 1 307.316 1.637 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H]1C(F)F ZINC000868604534 702220836 /nfs/dbraw/zinc/22/08/36/702220836.db2.gz OCJNIZAURCSHOC-SNVBAGLBSA-N -1 1 310.304 1.460 20 0 DDADMM CC(C)[C@@H]1C[C@H]1NC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868699207 702274308 /nfs/dbraw/zinc/27/43/08/702274308.db2.gz QMQDCBUOZVMUKU-OPQQBVKSSA-N -1 1 321.343 1.883 20 0 DDADMM CN(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H]1CC(C)(C)OC1=O ZINC000813841429 702425645 /nfs/dbraw/zinc/42/56/45/702425645.db2.gz IUMAQYVMNDOJRV-LLVKDONJSA-N -1 1 315.329 1.089 20 0 DDADMM CC[C@@H](c1ccccc1)S(=O)(=O)[N-]C(=O)CCc1nc[nH]n1 ZINC000814016419 702460906 /nfs/dbraw/zinc/46/09/06/702460906.db2.gz PDHKPXUDWPQQSO-LBPRGKRZSA-N -1 1 322.390 1.335 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-][C@@H]2C[C@@H](C)OC2=O)c(F)c1 ZINC000841521405 702477809 /nfs/dbraw/zinc/47/78/09/702477809.db2.gz DSLCLTNPRDBPHA-BXKDBHETSA-N -1 1 301.339 1.258 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)Cc2ccccc2Cl)C(=O)O1 ZINC000841524097 702479514 /nfs/dbraw/zinc/47/95/14/702479514.db2.gz MZBQRVUPVVXQTB-KWQFWETISA-N -1 1 303.767 1.463 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)Cc1ccc(F)cc1 ZINC000841535648 702486447 /nfs/dbraw/zinc/48/64/47/702486447.db2.gz TYKVHFYVJBDSMO-LLVKDONJSA-N -1 1 301.339 1.197 20 0 DDADMM CO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C12CCC2 ZINC000841549397 702493682 /nfs/dbraw/zinc/49/36/82/702493682.db2.gz PGSKJKPMMNYHDH-RKDXNWHRSA-N -1 1 305.787 1.299 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC(C)(C)OCC2CC2)c1Cl ZINC000841559237 702497220 /nfs/dbraw/zinc/49/72/20/702497220.db2.gz DYQZHJNSBIAZIX-UHFFFAOYSA-N -1 1 321.830 1.557 20 0 DDADMM CC[C@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H](O)C(C)C ZINC000841705243 702544083 /nfs/dbraw/zinc/54/40/83/702544083.db2.gz KAZOPIUHNKUWCD-WCQYABFASA-N -1 1 305.382 1.259 20 0 DDADMM C/C(=C\C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1CC1 ZINC000869361307 702581931 /nfs/dbraw/zinc/58/19/31/702581931.db2.gz LBHGORGJVNHLGG-SOFGYWHQSA-N -1 1 307.268 1.524 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1cn(C(F)F)c2ccccc12 ZINC000869456251 702612576 /nfs/dbraw/zinc/61/25/76/702612576.db2.gz FFJLFLWLOQCVQY-UHFFFAOYSA-N -1 1 320.303 1.799 20 0 DDADMM Cc1c(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)ccn1C ZINC000869511288 702630779 /nfs/dbraw/zinc/63/07/79/702630779.db2.gz VFJXPZMXOUOAHN-UHFFFAOYSA-N -1 1 320.267 1.128 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC000869545721 702641697 /nfs/dbraw/zinc/64/16/97/702641697.db2.gz DWEVCMRELHZUFE-WDEREUQCSA-N -1 1 321.295 1.604 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2nc(C)oc2C)o1 ZINC000842427024 702719571 /nfs/dbraw/zinc/71/95/71/702719571.db2.gz KBSAQCVCQBZFKZ-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C1CC2(CCC2)C1 ZINC000842754081 702767499 /nfs/dbraw/zinc/76/74/99/702767499.db2.gz MAQPHRXQGYXWIY-UHFFFAOYSA-N -1 1 316.361 1.392 20 0 DDADMM O=S(=O)([N-]CCCOCC1CC1)c1ccc(F)nc1F ZINC000866426965 706696174 /nfs/dbraw/zinc/69/61/74/706696174.db2.gz JELZXFQJUKRTHH-UHFFFAOYSA-N -1 1 306.334 1.455 20 0 DDADMM CCC(C)(C)OCC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016065 702803796 /nfs/dbraw/zinc/80/37/96/702803796.db2.gz ZFZRACQRCOENRY-UHFFFAOYSA-N -1 1 320.455 1.122 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2C(C)(C)C2(C)C)CCC1 ZINC000843018848 702804184 /nfs/dbraw/zinc/80/41/84/702804184.db2.gz VIRRUAJJOJHUMO-UHFFFAOYSA-N -1 1 316.467 1.599 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CCc2ccccc2)CCC1 ZINC000843018803 702804441 /nfs/dbraw/zinc/80/44/41/702804441.db2.gz FGAIVPHUZGSJCY-UHFFFAOYSA-N -1 1 324.446 1.550 20 0 DDADMM CC(C)(C)CC[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866484527 706710212 /nfs/dbraw/zinc/71/02/12/706710212.db2.gz YHJLXLZMHYVGKY-UHFFFAOYSA-N -1 1 310.217 1.887 20 0 DDADMM O=C(OC[C@@H]1CN(C2CC2)C(=O)O1)c1c([O-])cc(F)cc1F ZINC000845110216 703110648 /nfs/dbraw/zinc/11/06/48/703110648.db2.gz YCTSKXQTMFTSOD-VIFPVBQESA-N -1 1 313.256 1.810 20 0 DDADMM Cn1[n-]c(COC(=O)C2(c3ccccc3Cl)CCC2)nc1=O ZINC000845305775 703141942 /nfs/dbraw/zinc/14/19/42/703141942.db2.gz JZQMJPRZIDIASJ-UHFFFAOYSA-N -1 1 321.764 1.927 20 0 DDADMM O=C([O-])[C@H]1CCCCN1CCS(=O)(=O)Cc1ccccc1 ZINC000846224366 703253243 /nfs/dbraw/zinc/25/32/43/703253243.db2.gz LPSJHCMJIZTBEY-CQSZACIVSA-N -1 1 311.403 1.541 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1C[C@@H]1c1ccccc1 ZINC000866596475 706740365 /nfs/dbraw/zinc/74/03/65/706740365.db2.gz MONZZOFEIROAGL-OLZOCXBDSA-N -1 1 316.448 1.885 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847078594 703374884 /nfs/dbraw/zinc/37/48/84/703374884.db2.gz JMRVNOLGUXQNRI-UMCURTJPSA-N -1 1 320.374 1.960 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C)C(=O)OC2CCCC2)sn1 ZINC000866910884 706842540 /nfs/dbraw/zinc/84/25/40/706842540.db2.gz WAKKDFUQZKCHNV-VIFPVBQESA-N -1 1 318.420 1.604 20 0 DDADMM C[C@H]1CCN(C(=O)C(C)(C)CO)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000848077296 703504489 /nfs/dbraw/zinc/50/44/89/703504489.db2.gz IMXSQHHWRUVVKQ-GUBZILKMSA-N -1 1 324.343 1.309 20 0 DDADMM CCO[N-]C(=O)CNC(=O)c1cccc(C(F)(F)F)c1O ZINC000848242338 703531111 /nfs/dbraw/zinc/53/11/11/703531111.db2.gz CRYSNKPNCUNSEV-UHFFFAOYSA-N -1 1 306.240 1.209 20 0 DDADMM O=C(NC[C@H]1CN(C2CC2)C(=O)O1)c1c([O-])cccc1Cl ZINC000848364117 703543387 /nfs/dbraw/zinc/54/33/87/703543387.db2.gz JUSFKBIORWSAEP-VIFPVBQESA-N -1 1 310.737 1.759 20 0 DDADMM O=C(COC(=O)[C@@H]1CCC[C@H]2C[C@H]21)[N-]C(=O)c1ccccc1 ZINC000849858344 703684470 /nfs/dbraw/zinc/68/44/70/703684470.db2.gz GSUNTQCFGREFNG-BFHYXJOUSA-N -1 1 301.342 1.922 20 0 DDADMM Cc1nc(SC[C@](O)(C(N)=O)c2ccccc2)[n-]c(=O)c1C ZINC000849893268 703687335 /nfs/dbraw/zinc/68/73/35/703687335.db2.gz ZNEOKWBETHJFIU-OAHLLOKOSA-N -1 1 319.386 1.264 20 0 DDADMM CO[C@@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C1CCC1 ZINC000850172132 703710384 /nfs/dbraw/zinc/71/03/84/703710384.db2.gz FRMKCLADVACNKL-CQSZACIVSA-N -1 1 305.330 1.301 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC12OCCO2)c1cccc(F)c1F ZINC000851816931 703863322 /nfs/dbraw/zinc/86/33/22/703863322.db2.gz YOKUAMVPHMQXKD-NSHDSACASA-N -1 1 319.329 1.539 20 0 DDADMM COCCn1c(C)cc(C(=O)CN2C[C@H](C)[C@@H](C(=O)[O-])C2)c1C ZINC000831800604 706783069 /nfs/dbraw/zinc/78/30/69/706783069.db2.gz PNZKXBKBEQAHFR-NHYWBVRUSA-N -1 1 322.405 1.587 20 0 DDADMM COC(=O)NC1CCN(CC(=O)[N-]OCc2ccccc2)CC1 ZINC000852768367 704119451 /nfs/dbraw/zinc/11/94/51/704119451.db2.gz XJMJOHGLDXFQNC-UHFFFAOYSA-N -1 1 321.377 1.055 20 0 DDADMM Cc1sc(C(=O)[N-]N2CCCNC2=O)cc1Br ZINC000819473373 704131148 /nfs/dbraw/zinc/13/11/48/704131148.db2.gz XDMXKYKOVMPPSO-UHFFFAOYSA-N -1 1 318.196 1.879 20 0 DDADMM CCCCC[C@@H](C)CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000819614591 704150967 /nfs/dbraw/zinc/15/09/67/704150967.db2.gz MBSAFOIXTVCVMX-GFCCVEGCSA-N -1 1 309.414 1.616 20 0 DDADMM O=C(N[C@H](C1CCC1)[C@H]1CCOC1)C(=O)c1ccc([O-])cc1 ZINC000871305076 704263151 /nfs/dbraw/zinc/26/31/51/704263151.db2.gz ATAPAWHRIYXYAI-DZGCQCFKSA-N -1 1 303.358 1.896 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2ccn(C)n2)c1 ZINC000820546106 704297701 /nfs/dbraw/zinc/29/77/01/704297701.db2.gz QWRKTBFOXSAALX-NRFANRHFSA-N -1 1 308.359 1.280 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H]2CCC[C@H]2OC)c1 ZINC000821386015 704401586 /nfs/dbraw/zinc/40/15/86/704401586.db2.gz DWJANRGYNUGFOZ-ZMOMAAQPSA-N -1 1 312.387 1.914 20 0 DDADMM CCn1ncn([N-]C(=O)c2coc3cc(C)c(C)cc23)c1=O ZINC000854629376 704429645 /nfs/dbraw/zinc/42/96/45/704429645.db2.gz VOXWQVPQTQGINO-UHFFFAOYSA-N -1 1 300.318 1.812 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](NC(=O)OC(C)(C)C)C(C)C ZINC000854634917 704430543 /nfs/dbraw/zinc/43/05/43/704430543.db2.gz JDAMSFQMGWACRV-SECBINFHSA-N -1 1 312.370 1.912 20 0 DDADMM CC(C(=O)Nc1c(C)[n-][nH]c1=O)=C1CN(C(=O)OC(C)(C)C)C1 ZINC000854635135 704430551 /nfs/dbraw/zinc/43/05/51/704430551.db2.gz MYYMHYPWXZXNBB-UHFFFAOYSA-N -1 1 322.365 1.929 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@@](C)(O)c1ccccc1 ZINC000855133747 704470108 /nfs/dbraw/zinc/47/01/08/704470108.db2.gz QEMMEVCGBQWCNL-MRXNPFEDSA-N -1 1 309.362 1.674 20 0 DDADMM C[C@H]1CCC[C@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)O1 ZINC000855797362 704501586 /nfs/dbraw/zinc/50/15/86/704501586.db2.gz NFHAMFTXDHDZHG-GXSJLCMTSA-N -1 1 306.391 1.705 20 0 DDADMM Cc1cncc(CCNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n1 ZINC000855951440 704508733 /nfs/dbraw/zinc/50/87/33/704508733.db2.gz QXPQOJJCNJEPAT-UHFFFAOYSA-N -1 1 315.377 1.685 20 0 DDADMM C[C@H](COc1ccccc1)C(=O)[N-]OCCN1CCCC1=O ZINC000856041686 704511262 /nfs/dbraw/zinc/51/12/62/704511262.db2.gz UPYATFWWHVQCGB-CYBMUJFWSA-N -1 1 306.362 1.372 20 0 DDADMM CC[C@@](O)(CC(=O)[N-]OCCN1CCCC1=O)c1ccccc1 ZINC000856045304 704511829 /nfs/dbraw/zinc/51/18/29/704511829.db2.gz RBFAWTAQSZVMDE-QGZVFWFLSA-N -1 1 320.389 1.345 20 0 DDADMM C[C@@H]1CCN(C(=O)CSC2CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856415707 704528057 /nfs/dbraw/zinc/52/80/57/704528057.db2.gz ORDWUNMYHVIVQZ-SCZZXKLOSA-N -1 1 324.368 1.798 20 0 DDADMM C[C@@H]1CCN(C(=O)C2=COCCC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418315 704528074 /nfs/dbraw/zinc/52/80/74/704528074.db2.gz GPKYUMISHJSUEV-KOLCDFICSA-N -1 1 320.311 1.596 20 0 DDADMM C[C@@H]1CCCN(S(=O)(=O)NN=c2ncc(Cl)c[n-]2)C1 ZINC000857224624 704565956 /nfs/dbraw/zinc/56/59/56/704565956.db2.gz VFICXPXWJVTPDT-MRVPVSSYSA-N -1 1 305.791 1.023 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cc(C)cc(C)n3)nc2n1 ZINC000857685167 704613617 /nfs/dbraw/zinc/61/36/17/704613617.db2.gz VCOITKMMMSGZKN-UHFFFAOYSA-N -1 1 312.333 1.244 20 0 DDADMM CCO[N-]C(=O)CNCc1ccc(Br)c(F)c1F ZINC000858184342 704675063 /nfs/dbraw/zinc/67/50/63/704675063.db2.gz QOOAGBYHPIFGGC-UHFFFAOYSA-N -1 1 323.137 1.885 20 0 DDADMM Cc1cnc(OC2CCN(c3cc(Cl)[n-]c(=O)n3)CC2)nc1 ZINC000858616585 704735464 /nfs/dbraw/zinc/73/54/64/704735464.db2.gz VDEGSVQTPZPINS-UHFFFAOYSA-N -1 1 321.768 1.982 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCCC1)c1ccc(F)nc1F ZINC000867284068 706948071 /nfs/dbraw/zinc/94/80/71/706948071.db2.gz DWFCDKIRGSNWNA-VIFPVBQESA-N -1 1 306.334 1.189 20 0 DDADMM O=S(=O)([N-][C@@H]1CCn2ccnc21)c1c[nH]nc1C(F)(F)F ZINC000867317748 706958731 /nfs/dbraw/zinc/95/87/31/706958731.db2.gz UKLQDUAPIQHPCO-ZCFIWIBFSA-N -1 1 321.284 1.048 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCCCC1(F)F ZINC000867319301 706959166 /nfs/dbraw/zinc/95/91/66/706959166.db2.gz MBAXEIYLTGYTIV-QMMMGPOBSA-N -1 1 304.384 1.374 20 0 DDADMM O=S(=O)([N-]CC1=CCCCC1)c1nc[nH]c1Br ZINC000867319761 706959271 /nfs/dbraw/zinc/95/92/71/706959271.db2.gz RISVONIZMRKFAW-UHFFFAOYSA-N -1 1 320.212 1.951 20 0 DDADMM CCC(F)(F)C(C)(C)CS(=O)(=O)[N-]C[C@H](F)C(=O)OC ZINC000859186548 704815686 /nfs/dbraw/zinc/81/56/86/704815686.db2.gz APZPUOSMWRTCDN-QMMMGPOBSA-N -1 1 319.345 1.488 20 0 DDADMM COc1ccccc1[C@H]1CCCN(CC(=O)NCC(=O)[O-])CC1 ZINC000873646601 704816481 /nfs/dbraw/zinc/81/64/81/704816481.db2.gz VDZULEPBVIZBLY-ZDUSSCGKSA-N -1 1 320.389 1.466 20 0 DDADMM COC(=O)C1=CC[C@@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C1 ZINC000859286993 704849847 /nfs/dbraw/zinc/84/98/47/704849847.db2.gz JJTKHHTWRXEOOW-SNVBAGLBSA-N -1 1 316.404 1.300 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@H](C)c1ccns1 ZINC000859401394 704890844 /nfs/dbraw/zinc/89/08/44/704890844.db2.gz URBDEKPXQKNRJJ-ZCFIWIBFSA-N -1 1 320.827 1.878 20 0 DDADMM Cc1ccc(OCC(=O)Nc2ncc(-c3nnn[n-]3)s2)cc1 ZINC000822262958 704900789 /nfs/dbraw/zinc/90/07/89/704900789.db2.gz HBMOYLJIMKTARS-UHFFFAOYSA-N -1 1 316.346 1.649 20 0 DDADMM Cc1ccc(OCC(=O)Nc2ncc(-c3nn[n-]n3)s2)cc1 ZINC000822262958 704900793 /nfs/dbraw/zinc/90/07/93/704900793.db2.gz HBMOYLJIMKTARS-UHFFFAOYSA-N -1 1 316.346 1.649 20 0 DDADMM O=S(=O)([N-]CCOCC1CCCC1)c1ccc(F)nc1F ZINC000867376984 706980398 /nfs/dbraw/zinc/98/03/98/706980398.db2.gz FAIQTHBJDQUYEZ-UHFFFAOYSA-N -1 1 320.361 1.845 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)c1ccn(C)n1 ZINC000867386113 706983857 /nfs/dbraw/zinc/98/38/57/706983857.db2.gz CBTAHMLPQSSEHK-SSDOTTSWSA-N -1 1 302.306 1.133 20 0 DDADMM COC1([C@@H](C)[N-]S(=O)(=O)c2ccc(F)nc2F)CCC1 ZINC000867404893 706989927 /nfs/dbraw/zinc/98/99/27/706989927.db2.gz AFBBYYXDFQYNJD-MRVPVSSYSA-N -1 1 306.334 1.596 20 0 DDADMM C[C@]1(CC(=O)Nc2cc([O-])c(F)cc2F)CCS(=O)(=O)N1 ZINC000822542900 704971596 /nfs/dbraw/zinc/97/15/96/704971596.db2.gz KQAHTFGSYFYSHE-GFCCVEGCSA-N -1 1 320.317 1.081 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)NCc1ccccc1C(=O)[O-] ZINC000874411395 705047607 /nfs/dbraw/zinc/04/76/07/705047607.db2.gz BTLVGHXCGHDXIS-CYBMUJFWSA-N -1 1 305.378 1.620 20 0 DDADMM O=Cc1c[nH]c2cc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)ccc12 ZINC000860349673 705162346 /nfs/dbraw/zinc/16/23/46/705162346.db2.gz QBYFJBYKBSOWBM-LLVKDONJSA-N -1 1 324.344 1.513 20 0 DDADMM CC[C@@H]([N-]C(=O)C(F)(F)c1cc(F)cc(F)c1)C(=O)NC ZINC000823463992 705248992 /nfs/dbraw/zinc/24/89/92/705248992.db2.gz PZFZKOTYBYHBNT-SNVBAGLBSA-N -1 1 306.259 1.697 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(F)(C2CC2)CC1 ZINC000834570958 707088538 /nfs/dbraw/zinc/08/85/38/707088538.db2.gz XUNPDMRTZHDOGH-UHFFFAOYSA-N -1 1 311.313 1.251 20 0 DDADMM C[C@@H](Nc1cccc(-c2nnn[n-]2)n1)[C@H](O)Cc1ccccc1 ZINC000824663168 705501923 /nfs/dbraw/zinc/50/19/23/705501923.db2.gz WEZQMXRANHIVTP-BXUZGUMPSA-N -1 1 310.361 1.666 20 0 DDADMM C[C@@H](Nc1cccc(-c2nn[n-]n2)n1)[C@H](O)Cc1ccccc1 ZINC000824663168 705501927 /nfs/dbraw/zinc/50/19/27/705501927.db2.gz WEZQMXRANHIVTP-BXUZGUMPSA-N -1 1 310.361 1.666 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@]34C[C@H]3CCCC4)nc2n1 ZINC000875838854 705532000 /nfs/dbraw/zinc/53/20/00/705532000.db2.gz XQKIVTQWZMEAAA-RFAUZJTJSA-N -1 1 301.350 1.499 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)NC[C@@H](O)C(F)(F)F ZINC000876395129 705707874 /nfs/dbraw/zinc/70/78/74/705707874.db2.gz UHPCMELIOMCEIS-SECBINFHSA-N -1 1 312.675 1.768 20 0 DDADMM Cc1nccc(NC(=O)c2ccc(-c3nnn[n-]3)s2)c1Cl ZINC000826330280 705792222 /nfs/dbraw/zinc/79/22/22/705792222.db2.gz NBAUVXIUFNPHDS-UHFFFAOYSA-N -1 1 320.765 1.959 20 0 DDADMM Cc1nccc(NC(=O)c2ccc(-c3nn[n-]n3)s2)c1Cl ZINC000826330280 705792227 /nfs/dbraw/zinc/79/22/27/705792227.db2.gz NBAUVXIUFNPHDS-UHFFFAOYSA-N -1 1 320.765 1.959 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)CC[C@H]2C)c1-c1nnn[n-]1 ZINC000826343660 705792693 /nfs/dbraw/zinc/79/26/93/705792693.db2.gz JJJOOFIYVCGZDQ-DTWKUNHWSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)CC[C@H]2C)c1-c1nn[n-]n1 ZINC000826343660 705792696 /nfs/dbraw/zinc/79/26/96/705792696.db2.gz JJJOOFIYVCGZDQ-DTWKUNHWSA-N -1 1 304.354 1.353 20 0 DDADMM Cn1c(SCc2ccnc(-c3nnn[n-]3)c2)nnc1C(F)F ZINC000826356477 705795253 /nfs/dbraw/zinc/79/52/53/705795253.db2.gz SGQCOQNEGWZMDV-UHFFFAOYSA-N -1 1 324.320 1.620 20 0 DDADMM Cn1c(SCc2ccnc(-c3nn[n-]n3)c2)nnc1C(F)F ZINC000826356477 705795255 /nfs/dbraw/zinc/79/52/55/705795255.db2.gz SGQCOQNEGWZMDV-UHFFFAOYSA-N -1 1 324.320 1.620 20 0 DDADMM Cn1[n-]c(CSc2ccc(-c3nn[nH]n3)cc2Cl)nc1=O ZINC000826365953 705796378 /nfs/dbraw/zinc/79/63/78/705796378.db2.gz LQZZROLUWRPABL-UHFFFAOYSA-N -1 1 323.769 1.234 20 0 DDADMM O[C@H](CCc1ccccc1)CNc1cccc(-c2nnn[n-]2)n1 ZINC000826503338 705805022 /nfs/dbraw/zinc/80/50/22/705805022.db2.gz VRQHIBRYAJRLAA-CYBMUJFWSA-N -1 1 310.361 1.667 20 0 DDADMM O[C@H](CCc1ccccc1)CNc1cccc(-c2nn[n-]n2)n1 ZINC000826503338 705805025 /nfs/dbraw/zinc/80/50/25/705805025.db2.gz VRQHIBRYAJRLAA-CYBMUJFWSA-N -1 1 310.361 1.667 20 0 DDADMM CC(C)(CC(F)(F)F)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000826833391 705880966 /nfs/dbraw/zinc/88/09/66/705880966.db2.gz CGZPXHRMENLDLO-SSDOTTSWSA-N -1 1 307.276 1.078 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1cnn(C(F)F)c1)C1CC1 ZINC000863407449 705920891 /nfs/dbraw/zinc/92/08/91/705920891.db2.gz QTQOTVBEMXXNJL-JTQLQIEISA-N -1 1 309.338 1.835 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)C[C@H](OC)C(C)C)C(C)(C)C ZINC000827332378 705978102 /nfs/dbraw/zinc/97/81/02/705978102.db2.gz SRHBPLJZIOOUTI-NWDGAFQWSA-N -1 1 323.455 1.555 20 0 DDADMM CN(C)c1ccncc1C(=O)N1CC2(CCC2)[C@](F)(C(=O)[O-])C1 ZINC000864153024 706082480 /nfs/dbraw/zinc/08/24/80/706082480.db2.gz WAUBWKYVBWEQJK-MRXNPFEDSA-N -1 1 321.352 1.567 20 0 DDADMM CCN(OC)C(=O)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000828173819 706132584 /nfs/dbraw/zinc/13/25/84/706132584.db2.gz IJOOREKQMMIAKF-UHFFFAOYSA-N -1 1 312.347 1.121 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)c1cccs1 ZINC000864345607 706143290 /nfs/dbraw/zinc/14/32/90/706143290.db2.gz ZYIMIAPGPAZUFE-OUAUKWLOSA-N -1 1 311.407 1.513 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C(C)=O)C(C)C)c1 ZINC000829294086 706315245 /nfs/dbraw/zinc/31/52/45/706315245.db2.gz NQRGEQCOCYFECA-VFNWGFHPSA-N -1 1 312.387 1.960 20 0 DDADMM CCC[C@H](NC(=O)CCc1cccnc1Cl)c1nn[n-]n1 ZINC000829799883 706398291 /nfs/dbraw/zinc/39/82/91/706398291.db2.gz ASRKFXJXRIOILW-JTQLQIEISA-N -1 1 308.773 1.838 20 0 DDADMM Cc1ccncc1C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872495853 707445957 /nfs/dbraw/zinc/44/59/57/707445957.db2.gz PDRQLVAOUFGXNU-LJQANCHMSA-N -1 1 319.452 1.621 20 0 DDADMM COC(=O)C1=NO[C@H](CSc2nc(C)c(C3CC3)c(=O)[n-]2)C1 ZINC000865372996 706415812 /nfs/dbraw/zinc/41/58/12/706415812.db2.gz BILXQDKWCYWGJZ-VIFPVBQESA-N -1 1 323.374 1.778 20 0 DDADMM O=C([C@@H]1Cc2ccc(Cl)cc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000865595472 706472799 /nfs/dbraw/zinc/47/27/99/706472799.db2.gz KMMWFVNIUGKBPP-VXGBXAGGSA-N -1 1 319.752 1.093 20 0 DDADMM CSC[C@@H](C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830819834 706586339 /nfs/dbraw/zinc/58/63/39/706586339.db2.gz BOENGEVCOMBQQW-KCJUWKMLSA-N -1 1 312.357 1.655 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CC[C@@H]2CCCO2)C1 ZINC000830833538 706589313 /nfs/dbraw/zinc/58/93/13/706589313.db2.gz RABJPXOFDRKVQB-GXFFZTMASA-N -1 1 322.327 1.615 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1c(C)nn(C)c1C ZINC000866889735 706836348 /nfs/dbraw/zinc/83/63/48/706836348.db2.gz XDGGDDQAMSJYRC-SNVBAGLBSA-N -1 1 322.456 1.050 20 0 DDADMM O=C(C[C@@H]1Cc2ccccc2O1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000866901549 706839934 /nfs/dbraw/zinc/83/99/34/706839934.db2.gz IAYOOSNVHMYRCJ-OLZOCXBDSA-N -1 1 313.361 1.300 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCCC2(F)F)nc1Cl ZINC000832188364 706865169 /nfs/dbraw/zinc/86/51/69/706865169.db2.gz ZEEGFQHZUMILMZ-SSDOTTSWSA-N -1 1 313.757 1.787 20 0 DDADMM CC1(C)C[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)c2ccccc21 ZINC000866988941 706865554 /nfs/dbraw/zinc/86/55/54/706865554.db2.gz UCANUCXWVBONQB-LBPRGKRZSA-N -1 1 316.448 1.971 20 0 DDADMM CC(C)CSCC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867016073 706872988 /nfs/dbraw/zinc/87/29/88/706872988.db2.gz NTRFXJFPZUQSDG-UHFFFAOYSA-N -1 1 314.498 1.472 20 0 DDADMM COC1(CS(=O)(=O)[N-][C@@H]2CCCCC23OCCO3)CCC1 ZINC000866991918 706866886 /nfs/dbraw/zinc/86/68/86/706866886.db2.gz KZVSYYSMFOUTOO-GFCCVEGCSA-N -1 1 319.423 1.161 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1cccc(F)c1F ZINC000867038233 706878908 /nfs/dbraw/zinc/87/89/08/706878908.db2.gz ZHEBVRAIATXEQU-SSDOTTSWSA-N -1 1 312.363 1.588 20 0 DDADMM Cc1ccc(N2CCN(C(=O)CCc3nn[n-]n3)CC2)cc1C ZINC000867035469 706878632 /nfs/dbraw/zinc/87/86/32/706878632.db2.gz LIMSICBTOTZNKE-UHFFFAOYSA-N -1 1 314.393 1.098 20 0 DDADMM CO[C@H]1CCC[C@@H]1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867058218 706885558 /nfs/dbraw/zinc/88/55/58/706885558.db2.gz PFGCLGNZVGKMKP-BDAKNGLRSA-N -1 1 306.334 1.453 20 0 DDADMM CC(C)O[N-]C(=O)[C@H]1CO[C@H](CCC(=O)OC(C)(C)C)C1 ZINC000880185167 706904848 /nfs/dbraw/zinc/90/48/48/706904848.db2.gz HOEQFIURMTVKBM-VXGBXAGGSA-N -1 1 301.383 1.970 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CCC2(C)CC2)c1C(F)(F)F ZINC000867210551 706926339 /nfs/dbraw/zinc/92/63/39/706926339.db2.gz ZAJICRYIWLBSRD-UHFFFAOYSA-N -1 1 311.329 1.907 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000909011978 712916421 /nfs/dbraw/zinc/91/64/21/712916421.db2.gz XKIGWLOPZVKASS-ZCFIWIBFSA-N -1 1 323.296 1.461 20 0 DDADMM CC[C@H]1CCC[C@@H]1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867331559 706963345 /nfs/dbraw/zinc/96/33/45/706963345.db2.gz NQSKKUBZCJFJKC-NWDGAFQWSA-N -1 1 308.469 1.909 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000909013895 712916740 /nfs/dbraw/zinc/91/67/40/712916740.db2.gz POKWKYPQNVUTPR-ZCFIWIBFSA-N -1 1 323.296 1.461 20 0 DDADMM C[C@H](CN(C)C(=O)CC(F)(F)C(F)(F)F)c1nn[n-]n1 ZINC000867382254 706982241 /nfs/dbraw/zinc/98/22/41/706982241.db2.gz TZLGNGAKHIULBO-RXMQYKEDSA-N -1 1 301.219 1.349 20 0 DDADMM CC[C@@H]1C[C@@H]([N-]S(=O)(=O)N=S(=O)(CC)CC)CCO1 ZINC000867422356 706997129 /nfs/dbraw/zinc/99/71/29/706997129.db2.gz WZGVGKUXMRVZFQ-WDEREUQCSA-N -1 1 312.457 1.286 20 0 DDADMM O=C([O-])[C@H](O)C1CCN(C[C@@H](O)c2ccc(F)cc2F)CC1 ZINC000833770567 707014570 /nfs/dbraw/zinc/01/45/70/707014570.db2.gz JCZYJTFXRJGUBU-ZIAGYGMSSA-N -1 1 315.316 1.156 20 0 DDADMM CC1(C)OCC[C@H]1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867533779 707031589 /nfs/dbraw/zinc/03/15/89/707031589.db2.gz GXMGUONSPJCINB-QMMMGPOBSA-N -1 1 306.334 1.453 20 0 DDADMM CSc1nc(CNC(=O)c2cn3c(n2)CCCC3)cc(=O)[n-]1 ZINC000880651045 707048779 /nfs/dbraw/zinc/04/87/79/707048779.db2.gz DJIVKRYDGXFKTP-UHFFFAOYSA-N -1 1 319.390 1.367 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H]1C[C@H]1C(F)(F)F ZINC000867693210 707076338 /nfs/dbraw/zinc/07/63/38/707076338.db2.gz YOEGBJJQGGKVDP-PHDIDXHHSA-N -1 1 317.720 1.611 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)O[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871797913 707207592 /nfs/dbraw/zinc/20/75/92/707207592.db2.gz JCOYKXZCJCICJU-QWHCGFSZSA-N -1 1 322.405 1.979 20 0 DDADMM Cc1sccc1C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000835292331 707214841 /nfs/dbraw/zinc/21/48/41/707214841.db2.gz GXRCXTDBAZIMPP-UHFFFAOYSA-N -1 1 318.358 1.495 20 0 DDADMM CC[C@@]1(COC)NC(=O)N(Cc2ccc([O-])c(Cl)c2)C1=O ZINC000871911976 707242665 /nfs/dbraw/zinc/24/26/65/707242665.db2.gz OPQFVJIDGQAZBJ-AWEZNQCLSA-N -1 1 312.753 1.893 20 0 DDADMM Cc1[n-]n(-c2ccc(S(=O)(=O)NC3CC3)cc2)c(=O)c1F ZINC000871949489 707252421 /nfs/dbraw/zinc/25/24/21/707252421.db2.gz BZKPNEVMNABEIV-GFCCVEGCSA-N -1 1 311.338 1.188 20 0 DDADMM CCc1[n-]n(-c2ccccc2S(=O)(=O)N(C)C)c(=O)c1F ZINC000871957653 707255758 /nfs/dbraw/zinc/25/57/58/707255758.db2.gz YEUJXYKBOWBMGA-GFCCVEGCSA-N -1 1 313.354 1.388 20 0 DDADMM C[N@@H+](CC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CCC1 ZINC000881446328 707260882 /nfs/dbraw/zinc/26/08/82/707260882.db2.gz HDASIKWTVMILHW-CYBMUJFWSA-N -1 1 321.343 1.140 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](C(C)(C)O)C3)cnc2n1 ZINC000881466944 707266645 /nfs/dbraw/zinc/26/66/45/707266645.db2.gz OVUOPJIINBJTJR-NSHDSACASA-N -1 1 315.373 1.877 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](C(C)(C)O)C3)c[n-]c2n1 ZINC000881466944 707266648 /nfs/dbraw/zinc/26/66/48/707266648.db2.gz OVUOPJIINBJTJR-NSHDSACASA-N -1 1 315.373 1.877 20 0 DDADMM O=C([O-])[C@H](F)CNS(=O)(=O)c1cc(Cl)sc1Cl ZINC000837296001 707614913 /nfs/dbraw/zinc/61/49/13/707614913.db2.gz HJOYMBBHEIRWRP-GSVOUGTGSA-N -1 1 322.166 1.756 20 0 DDADMM C[C@H](C(=O)NCc1nc(C(=O)[O-])cs1)N1CCCCCC1 ZINC000909257457 712975739 /nfs/dbraw/zinc/97/57/39/712975739.db2.gz AWNCUECQOKGVLE-SNVBAGLBSA-N -1 1 311.407 1.722 20 0 DDADMM Cn1cnnc1S(=O)(=O)[N-][C@@H]1c2ccccc2CC[C@@H]1F ZINC000882808449 707804772 /nfs/dbraw/zinc/80/47/72/707804772.db2.gz RMLNVJUSLLLDKX-NWDGAFQWSA-N -1 1 310.354 1.119 20 0 DDADMM O=C([O-])CNC(=O)CN1CCCSC[C@@H]1c1ccccc1 ZINC000883412314 707994627 /nfs/dbraw/zinc/99/46/27/707994627.db2.gz XAFSIUAQWZKLMY-CYBMUJFWSA-N -1 1 308.403 1.367 20 0 DDADMM COc1ccccc1C[C@@H](CNC(=O)C1(N(C)C)CC1)C(=O)[O-] ZINC000909382021 713004749 /nfs/dbraw/zinc/00/47/49/713004749.db2.gz CEQSTCQYEFFECS-ZDUSSCGKSA-N -1 1 320.389 1.149 20 0 DDADMM CCC(CC)(CNC(=O)Cc1n[nH]c2c1CCCC2)C(=O)[O-] ZINC000909388397 713006074 /nfs/dbraw/zinc/00/60/74/713006074.db2.gz HPNZJRFSDADXTO-UHFFFAOYSA-N -1 1 307.394 1.838 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@]2(OC(C)C)CCOC2)sn1 ZINC000921460738 713777149 /nfs/dbraw/zinc/77/71/49/713777149.db2.gz CPIVIJYKUOBGDA-GFCCVEGCSA-N -1 1 320.436 1.314 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1cccc(C)c1C ZINC000912528260 713030815 /nfs/dbraw/zinc/03/08/15/713030815.db2.gz RMLMEMDXEDZUQE-UHFFFAOYSA-N -1 1 310.331 1.581 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC(c2cccc(Cl)c2)C1 ZINC000897912245 708490559 /nfs/dbraw/zinc/49/05/59/708490559.db2.gz UDDKTMQBRKNTPA-UHFFFAOYSA-N -1 1 305.769 1.802 20 0 DDADMM Cc1cccc2c1OC[C@@H]([N-]S(=O)(=O)c1ccns1)C2 ZINC000885196683 708491605 /nfs/dbraw/zinc/49/16/05/708491605.db2.gz IJEBURVGFMCNQP-NSHDSACASA-N -1 1 310.400 1.734 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000885382219 708531074 /nfs/dbraw/zinc/53/10/74/708531074.db2.gz GCKOKZPRFIQBBS-CBAPKCEASA-N -1 1 319.452 1.341 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000885381958 708531082 /nfs/dbraw/zinc/53/10/82/708531082.db2.gz DQUNTDAHFBNMLC-BDAKNGLRSA-N -1 1 320.361 1.546 20 0 DDADMM COC(=O)c1cccc(CS(=O)(=O)[N-]C(CF)CF)c1 ZINC000885418472 708540195 /nfs/dbraw/zinc/54/01/95/708540195.db2.gz ULCIFBPSVORLDA-UHFFFAOYSA-N -1 1 307.318 1.200 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1[C@H](C)CCC[C@H]1C ZINC000912554292 713035332 /nfs/dbraw/zinc/03/53/32/713035332.db2.gz WSIVPPDHTRZDHB-RKDXNWHRSA-N -1 1 315.395 1.299 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2CC3(CCC3)CO2)sn1 ZINC000885636778 708589715 /nfs/dbraw/zinc/58/97/15/708589715.db2.gz UWXHBCRMPRLMEE-JTQLQIEISA-N -1 1 302.421 1.689 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCN(c2nc[nH]n2)CC1 ZINC000898331280 708612053 /nfs/dbraw/zinc/61/20/53/708612053.db2.gz VNOHCDXRNJYHQE-UHFFFAOYSA-N -1 1 307.741 1.126 20 0 DDADMM C[C@@H]1OCCO[C@@H]1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886271827 708734019 /nfs/dbraw/zinc/73/40/19/708734019.db2.gz XIKBZLJCFXNCEA-SDBXPKJASA-N -1 1 301.289 1.133 20 0 DDADMM COC(=O)[C@@H]1C[C@H](NC(=O)Cc2ccc([O-])c(Cl)c2)[C@H]2C[C@H]21 ZINC000886403397 708754373 /nfs/dbraw/zinc/75/43/73/708754373.db2.gz XZHIHOSJKBPFII-XZUYRWCXSA-N -1 1 323.776 1.902 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC1(O)CCC1 ZINC000927781913 713054574 /nfs/dbraw/zinc/05/45/74/713054574.db2.gz FHEIVLSYRPNYBX-UHFFFAOYSA-N -1 1 300.305 1.427 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@H]1CC[C@H](O)C1 ZINC000927782786 713054997 /nfs/dbraw/zinc/05/49/97/713054997.db2.gz JYQHPVQSPSEYHB-UWVGGRQHSA-N -1 1 314.332 1.673 20 0 DDADMM CCOC(=O)[C@@H](F)[C@@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000898548422 708785941 /nfs/dbraw/zinc/78/59/41/708785941.db2.gz OXEYMYXYGFQHNB-YPMHNXCESA-N -1 1 323.320 1.325 20 0 DDADMM Cc1ccc(C[C@@H](C)C(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC000898756558 708856261 /nfs/dbraw/zinc/85/62/61/708856261.db2.gz LASPMOSBQAGADE-LLVKDONJSA-N -1 1 301.346 1.691 20 0 DDADMM C[C@]1(CO)C[C@@H](O)CN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000912661171 713063234 /nfs/dbraw/zinc/06/32/34/713063234.db2.gz XBUOBSVAKOFISZ-NOZJJQNGSA-N -1 1 319.279 1.369 20 0 DDADMM CC(C)C[C@H]1C(=O)N[C@H](c2n[nH]c3cc(C(=O)[O-])ccc32)N1C ZINC000887333036 709020864 /nfs/dbraw/zinc/02/08/64/709020864.db2.gz TWOFBYGJRAJZFW-JSGCOSHPSA-N -1 1 316.361 1.736 20 0 DDADMM N[C@H](Cc1cc2ccccc2o1)C(=O)N(CC(=O)[O-])CC1CC1 ZINC000887398213 709036315 /nfs/dbraw/zinc/03/63/15/709036315.db2.gz RQCFFEZBFLTGQM-CQSZACIVSA-N -1 1 316.357 1.626 20 0 DDADMM Cc1noc(C[C@H]2CCCN(C(=O)c3cncc([O-])c3)C2)n1 ZINC000887633151 709086612 /nfs/dbraw/zinc/08/66/12/709086612.db2.gz IWGMLCNTZZMDMU-LLVKDONJSA-N -1 1 302.334 1.574 20 0 DDADMM O=C(C(=O)N1CCOC[C@@H]1C[C@H]1CCCO1)c1ccc([O-])cc1 ZINC000887847729 709143082 /nfs/dbraw/zinc/14/30/82/709143082.db2.gz RBTNVCJBBWEKQY-DZGCQCFKSA-N -1 1 319.357 1.371 20 0 DDADMM NC(=O)[C@]12CCC[C@H]1CN(C(=O)c1ccc(Cl)cc1[O-])C2 ZINC000887954059 709179757 /nfs/dbraw/zinc/17/97/57/709179757.db2.gz YCKAODZTTMBMGY-VFZGTOFNSA-N -1 1 308.765 1.773 20 0 DDADMM O=C(NCCc1cn2ccccc2n1)c1cnc(C2CC2)[n-]c1=O ZINC000900057519 709278336 /nfs/dbraw/zinc/27/83/36/709278336.db2.gz IUUIYXSBEIGPIC-UHFFFAOYSA-N -1 1 323.356 1.680 20 0 DDADMM O=C(NCc1cnn(CCF)c1)c1cnc(C2CC2)[n-]c1=O ZINC000888333765 709279423 /nfs/dbraw/zinc/27/94/23/709279423.db2.gz QYXVSTRAOWXKBC-UHFFFAOYSA-N -1 1 305.313 1.156 20 0 DDADMM Cc1cc(C)c(C(=O)[O-])cc1NC(=O)[C@@H](C)CN1CCOCC1 ZINC000909510624 709495946 /nfs/dbraw/zinc/49/59/46/709495946.db2.gz KHHXNESTSRIOBG-ZDUSSCGKSA-N -1 1 320.389 1.908 20 0 DDADMM CN(Cc1ccc(OCC(=O)[O-])cc1)C(=O)CCc1cnc[nH]1 ZINC000909510611 709496151 /nfs/dbraw/zinc/49/61/51/709496151.db2.gz URXFKLNVCLPQQQ-UHFFFAOYSA-N -1 1 317.345 1.464 20 0 DDADMM CN(CC(=O)NC1(C(=O)[O-])CCCCCC1)[C@@H]1CCSC1 ZINC000909518308 709499037 /nfs/dbraw/zinc/49/90/37/709499037.db2.gz BBVWRVGGAZCNQP-GFCCVEGCSA-N -1 1 314.451 1.718 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc2c(c1)CCC2)c1nn[n-]n1 ZINC000912859835 713109366 /nfs/dbraw/zinc/10/93/66/713109366.db2.gz FNTWZRNQZKITIU-ZDUSSCGKSA-N -1 1 317.418 1.913 20 0 DDADMM O=C([O-])[C@H]1CN(C(=O)[C@H]2CCCc3n[nH]nc32)c2ccccc21 ZINC000909577500 709523090 /nfs/dbraw/zinc/52/30/90/709523090.db2.gz KMKNGMAPRYXSQC-QWRGUYRKSA-N -1 1 312.329 1.440 20 0 DDADMM CSCCCCC(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912861755 713110378 /nfs/dbraw/zinc/11/03/78/713110378.db2.gz WRHJEMXVHJCXFQ-VIFPVBQESA-N -1 1 303.457 1.643 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(C)cc1F)c1nn[n-]n1 ZINC000912861783 713110596 /nfs/dbraw/zinc/11/05/96/713110596.db2.gz XWOJZRBOELITID-NSHDSACASA-N -1 1 309.370 1.871 20 0 DDADMM C[C@](CNC(=O)CCc1cnc[nH]1)(C(=O)[O-])c1ccccc1 ZINC000909602641 709536891 /nfs/dbraw/zinc/53/68/91/709536891.db2.gz VAINWYPNFNXKNH-MRXNPFEDSA-N -1 1 301.346 1.501 20 0 DDADMM CSCC[C@H](NC(=O)C(C)=Cc1cccnc1)c1nn[n-]n1 ZINC000912863405 713111627 /nfs/dbraw/zinc/11/16/27/713111627.db2.gz WZAYUOCJTYGXHC-CUZBXDDWSA-N -1 1 318.406 1.609 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2C[C@@H]3CCC[C@@H]3[C@H]2C(=O)[O-])C1 ZINC000909680281 709574116 /nfs/dbraw/zinc/57/41/16/709574116.db2.gz TVNDZMFUKCCWGR-AONBZMHBSA-N -1 1 317.389 1.787 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000909682797 709575818 /nfs/dbraw/zinc/57/58/18/709575818.db2.gz ULSCLHBUOGNHNQ-PJKMHFRUSA-N -1 1 317.267 1.754 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC000909715765 709594202 /nfs/dbraw/zinc/59/42/02/709594202.db2.gz SLBLGPXBBATNNM-CYBMUJFWSA-N -1 1 317.292 1.301 20 0 DDADMM C[C@H](C(=O)[O-])N(Cc1ccccc1)C(=O)[C@@H]1CCCCN1C ZINC000909746861 709603584 /nfs/dbraw/zinc/60/35/84/709603584.db2.gz HCWHUQQBINOFLF-HIFRSBDPSA-N -1 1 304.390 1.973 20 0 DDADMM C[C@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)[C@@H](C(=O)[O-])C1 ZINC000909784722 709619388 /nfs/dbraw/zinc/61/93/88/709619388.db2.gz YPZLGHYAMNOTOC-POYBYMJQSA-N -1 1 305.256 1.754 20 0 DDADMM CN1C[C@H](NC(=O)c2c([O-])cnc3c(F)cccc32)CC1=O ZINC000900490650 709620288 /nfs/dbraw/zinc/62/02/88/709620288.db2.gz YRIMXHAGKOMCMU-MRVPVSSYSA-N -1 1 303.293 1.040 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2C[C@@H]2C2CC2)[n-]c1=O ZINC000889785193 709634688 /nfs/dbraw/zinc/63/46/88/709634688.db2.gz CHWWVURSFXLROB-WOPDTQHZSA-N -1 1 303.362 1.900 20 0 DDADMM CC(C)C[C@H](CNC(=O)CN(C)[C@H]1CCSC1)CC(=O)[O-] ZINC000909875861 709664808 /nfs/dbraw/zinc/66/48/08/709664808.db2.gz SPPMFTCYNQHEPJ-STQMWFEESA-N -1 1 316.467 1.677 20 0 DDADMM COC1(C[C@H](NC(=O)CN2CCC(C)CC2)C(=O)[O-])CCC1 ZINC000909892565 709673497 /nfs/dbraw/zinc/67/34/97/709673497.db2.gz CDZDWZBNKJLBLB-ZDUSSCGKSA-N -1 1 312.410 1.247 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)[C@H]2CCCc3[nH]ncc32)C[C@H]1C1CC1 ZINC000909902332 709679107 /nfs/dbraw/zinc/67/91/07/709679107.db2.gz DXWWPRFKGGETLS-WCFLWFBJSA-N -1 1 303.362 1.399 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCc2cnc[nH]2)[C@H]2CCCC[C@H]12 ZINC000909963264 709710644 /nfs/dbraw/zinc/71/06/44/709710644.db2.gz ZDEDRPAHQBOTBU-MCIONIFRSA-N -1 1 305.378 1.834 20 0 DDADMM CN(CC(=O)N[C@@H](C(=O)[O-])C1CCCCC1)[C@H]1CCSC1 ZINC000910088763 709767309 /nfs/dbraw/zinc/76/73/09/709767309.db2.gz CSLJSXDVAQZVRH-GXTWGEPZSA-N -1 1 314.451 1.573 20 0 DDADMM CC1(C)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]2CCO[C@H]21 ZINC000900812713 709773700 /nfs/dbraw/zinc/77/37/00/709773700.db2.gz AJNUGFLSCYHJHV-YUSALJHKSA-N -1 1 303.362 1.603 20 0 DDADMM CCC[C@]1(C(=O)[O-])CCCN1C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000910113458 709776343 /nfs/dbraw/zinc/77/63/43/709776343.db2.gz DUWMBBWNRJBILG-MEDUHNTESA-N -1 1 305.378 1.761 20 0 DDADMM CO[C@@]1(C)C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1(C)C ZINC000900910608 709823686 /nfs/dbraw/zinc/82/36/86/709823686.db2.gz RXPOZROGFXYRGX-ZBEGNZNMSA-N -1 1 305.378 1.993 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@@H]1CCc2nc[nH]c2C1)C1CCCCC1 ZINC000910230825 709828329 /nfs/dbraw/zinc/82/83/29/709828329.db2.gz NJZIKLIAUZFVMT-CHWSQXEVSA-N -1 1 319.405 1.912 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC[C@H]2CCC(F)(F)C2)C1 ZINC000910252272 709841504 /nfs/dbraw/zinc/84/15/04/709841504.db2.gz ZPBRKEQGZDAQMX-WDEREUQCSA-N -1 1 304.337 1.335 20 0 DDADMM Cc1nc(NCC(C)(C)N2C[C@@H](C)O[C@H](C)C2)ncc1C(=O)[O-] ZINC000910277664 709860804 /nfs/dbraw/zinc/86/08/04/709860804.db2.gz ZDZHTPPIVCZRSJ-GHMZBOCLSA-N -1 1 322.409 1.783 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)N[C@@H]1CCC[C@]12CCCO2 ZINC000910512611 709977748 /nfs/dbraw/zinc/97/77/48/709977748.db2.gz FQSPLMDAFWOZSX-IOASZLSFSA-N -1 1 310.394 1.001 20 0 DDADMM C[C@@H](O)[C@@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)CCO1 ZINC000910564608 710002083 /nfs/dbraw/zinc/00/20/83/710002083.db2.gz OJCJARZETMFISZ-RNCFNFMXSA-N -1 1 320.320 1.301 20 0 DDADMM O=C(NCc1cnc2n1CCOC2)c1cc(Cl)ccc1[O-] ZINC000890843372 710004371 /nfs/dbraw/zinc/00/43/71/710004371.db2.gz ZQBNIEMIJPLBEH-UHFFFAOYSA-N -1 1 307.737 1.702 20 0 DDADMM CSCC(C)(C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000890875932 710017634 /nfs/dbraw/zinc/01/76/34/710017634.db2.gz ZKFUBTREQCTUJS-UHFFFAOYSA-N -1 1 322.434 1.852 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@H](O)C(C)(C)O)c1 ZINC000901350911 710020915 /nfs/dbraw/zinc/02/09/15/710020915.db2.gz XEAOSDMQPGDMFF-JTQLQIEISA-N -1 1 318.167 1.533 20 0 DDADMM COC[C@@H](NCc1ccc(OC)c(OC)c1Cl)C(=O)[O-] ZINC000901477970 710050586 /nfs/dbraw/zinc/05/05/86/710050586.db2.gz MDMZKPKYKJNHBR-SECBINFHSA-N -1 1 303.742 1.546 20 0 DDADMM Cc1cnc(SCC(=O)N2C[C@@H](C)OC(C)(C)C2)[n-]c1=O ZINC000901570595 710078232 /nfs/dbraw/zinc/07/82/32/710078232.db2.gz NUEIWYHOKUCKIA-SNVBAGLBSA-N -1 1 311.407 1.609 20 0 DDADMM CC(C)COc1ccc([N-]S(=O)(=O)N=S(C)(C)=O)cn1 ZINC000901628295 710101866 /nfs/dbraw/zinc/10/18/66/710101866.db2.gz VYRHXQPZVWSTKG-UHFFFAOYSA-N -1 1 321.424 1.501 20 0 DDADMM Cn1nnnc1SCCC(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910984004 710140814 /nfs/dbraw/zinc/14/08/14/710140814.db2.gz LRFITVUROVUSCV-UHFFFAOYSA-N -1 1 315.305 1.315 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])[C@@H]1CCc2nnnn2CC1 ZINC000910988302 710142840 /nfs/dbraw/zinc/14/28/40/710142840.db2.gz ZIWSZOHTRKQXPB-SSDOTTSWSA-N -1 1 309.276 1.248 20 0 DDADMM O=C([O-])[C@@H]1OCC[C@@H]1NCc1ccc(F)cc1Br ZINC000901922371 710175844 /nfs/dbraw/zinc/17/58/44/710175844.db2.gz VBOGVQUEHLXBMJ-WDEREUQCSA-N -1 1 318.142 1.920 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCCC[C@@H]2CC(=O)[O-])C1 ZINC000901999008 710197798 /nfs/dbraw/zinc/19/77/98/710197798.db2.gz VXRYGAUZEKEYOM-RAIGVLPGSA-N -1 1 305.378 1.931 20 0 DDADMM O=C([O-])C[C@@H]1COCCN1Cc1cc(-n2ccnc2)cs1 ZINC000902001378 710198238 /nfs/dbraw/zinc/19/82/38/710198238.db2.gz GLPCRMOWDVYRFV-LLVKDONJSA-N -1 1 307.375 1.609 20 0 DDADMM COCc1nc(NC[C@H](CCO)c2ccccc2)cc(=O)[n-]1 ZINC000892650399 710476171 /nfs/dbraw/zinc/47/61/71/710476171.db2.gz WTGULFRXEZLIKQ-ZDUSSCGKSA-N -1 1 303.362 1.907 20 0 DDADMM CCc1cc(C(=O)N2CCOc3c(cccc3C(=O)[O-])C2)n[nH]1 ZINC000911032075 710602974 /nfs/dbraw/zinc/60/29/74/710602974.db2.gz QOQRMJYNBIDGLI-UHFFFAOYSA-N -1 1 315.329 1.705 20 0 DDADMM O=C([O-])c1cnc([C@H]2CCN(C(=O)Cc3c[nH]cn3)C2)s1 ZINC000911036500 710604796 /nfs/dbraw/zinc/60/47/96/710604796.db2.gz FTOVRXMOSYUBPL-QMMMGPOBSA-N -1 1 306.347 1.123 20 0 DDADMM CC(C)Oc1ccc(CCNCc2cn(CC(=O)[O-])nn2)cc1 ZINC000902105782 710616744 /nfs/dbraw/zinc/61/67/44/710616744.db2.gz OKZWMISDFNJJOV-UHFFFAOYSA-N -1 1 318.377 1.482 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2ccc3c[nH]nc3c2)C1 ZINC000911088419 710628803 /nfs/dbraw/zinc/62/88/03/710628803.db2.gz IQUVWXDNZMXEPS-LLVKDONJSA-N -1 1 302.334 1.298 20 0 DDADMM CC(C)CN1CCO[C@@H](CNC(=O)c2cc(C(=O)[O-])co2)C1 ZINC000911117712 710642145 /nfs/dbraw/zinc/64/21/45/710642145.db2.gz BSSWBIRZHLPMNA-LBPRGKRZSA-N -1 1 310.350 1.064 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)CC(C)(C)CC(=O)[O-])C[C@@H](C)O1 ZINC000911150355 710655172 /nfs/dbraw/zinc/65/51/72/710655172.db2.gz IPZDXTTVFONYTR-CHWSQXEVSA-N -1 1 314.426 1.493 20 0 DDADMM O=C([O-])C[C@H](NC(=O)c1cccc2nc[nH]c21)C(F)(F)F ZINC000911156337 710660205 /nfs/dbraw/zinc/66/02/05/710660205.db2.gz GDCCGRQSGWTMCL-QMMMGPOBSA-N -1 1 301.224 1.698 20 0 DDADMM CN(C)[C@@H](CNC(=O)C12CC(C(=O)[O-])(C1)C2)c1ccsc1 ZINC000911193326 710678003 /nfs/dbraw/zinc/67/80/03/710678003.db2.gz MGCIPWPIEWLCFX-QGLTVNCISA-N -1 1 308.403 1.722 20 0 DDADMM Cc1ccc(C(=O)N2CCN(CC3CC3)CC2)cc1C(=O)[O-] ZINC000911221326 710693715 /nfs/dbraw/zinc/69/37/15/710693715.db2.gz XDSJKBCRFVMQHK-UHFFFAOYSA-N -1 1 302.374 1.861 20 0 DDADMM Cc1ccc([C@@H]2CCN(Cc3cn(CC(=O)[O-])nn3)C2)c(C)c1 ZINC000902450626 710758333 /nfs/dbraw/zinc/75/83/33/710758333.db2.gz KQBVOPYUCPQHLE-CQSZACIVSA-N -1 1 314.389 1.969 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCCc3occc3C2)C1 ZINC000911407319 710784373 /nfs/dbraw/zinc/78/43/73/710784373.db2.gz LJFAOHCYZKSHTQ-CYBMUJFWSA-N -1 1 306.362 1.351 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)c1ccc(C(=O)[O-])c(C)n1 ZINC000911574990 710861998 /nfs/dbraw/zinc/86/19/98/710861998.db2.gz WUKWPWYHNLHZNS-GFCCVEGCSA-N -1 1 305.378 1.645 20 0 DDADMM COCc1nc(N[C@@H]2COc3ccccc3[C@@H]2O)cc(=O)[n-]1 ZINC000893897528 710878252 /nfs/dbraw/zinc/87/82/52/710878252.db2.gz LQSVAFVLBJROFW-BMIGLBTASA-N -1 1 303.318 1.235 20 0 DDADMM COC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCCCC1 ZINC000913438978 713215929 /nfs/dbraw/zinc/21/59/29/713215929.db2.gz VGEONAZBGSZJFX-ZDUSSCGKSA-N -1 1 315.377 1.755 20 0 DDADMM COCc1nc(N(C)C[C@H](C)C(=O)OC(C)(C)C)cc(=O)[n-]1 ZINC000894170542 710997598 /nfs/dbraw/zinc/99/75/98/710997598.db2.gz DITXMELDSBHXAU-JTQLQIEISA-N -1 1 311.382 1.743 20 0 DDADMM CCON(Cc1ccccc1)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911810125 711006454 /nfs/dbraw/zinc/00/64/54/711006454.db2.gz PYYMKEMLXVGIPB-OAHLLOKOSA-N -1 1 320.389 1.763 20 0 DDADMM C[C@@H]1CSCCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000903037180 711010634 /nfs/dbraw/zinc/01/06/34/711010634.db2.gz ZPYGVLYZFOBKRH-SNVBAGLBSA-N -1 1 303.387 1.891 20 0 DDADMM O=C([O-])[C@@]1(C(=O)Nc2ccc(Cl)c(Cl)c2)CNCCO1 ZINC000911906895 711062464 /nfs/dbraw/zinc/06/24/64/711062464.db2.gz NCXPCOBUJOTPCK-LBPRGKRZSA-N -1 1 319.144 1.375 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCCCC[C@H]1CO ZINC000911951975 711087501 /nfs/dbraw/zinc/08/75/01/711087501.db2.gz WZKCGMWMWXIEMN-NSHDSACASA-N -1 1 306.391 1.395 20 0 DDADMM O=C(CC/C=C/c1ccccc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494939 713237160 /nfs/dbraw/zinc/23/71/60/713237160.db2.gz DMNJRNURFWSSKQ-PXYYCUNGSA-N -1 1 313.361 1.593 20 0 DDADMM C[C@@H](c1cn(-c2ccccc2)nn1)N1CC[C@H]2[C@@H](C1)[C@H]2C(=O)[O-] ZINC000903627267 711229380 /nfs/dbraw/zinc/22/93/80/711229380.db2.gz HIELHPQJKPUDFR-ZIEJDFEHSA-N -1 1 312.373 1.981 20 0 DDADMM O=C(/C=C\SCc1ccco1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495939 713238244 /nfs/dbraw/zinc/23/82/44/713238244.db2.gz PUTBFAYXYLTFQQ-KGHNQEBZSA-N -1 1 321.362 1.140 20 0 DDADMM Cc1ccsc1CCCC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495886 713238609 /nfs/dbraw/zinc/23/86/09/713238609.db2.gz PDMIEEWTMPEZDH-LLVKDONJSA-N -1 1 321.406 1.492 20 0 DDADMM Cc1cccc(Cl)c1CC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496983 713238915 /nfs/dbraw/zinc/23/89/15/713238915.db2.gz FYUIAIIJKKBKLU-GFCCVEGCSA-N -1 1 321.768 1.304 20 0 DDADMM O=C([C@@H](F)CC1CCCCC1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913497959 713239206 /nfs/dbraw/zinc/23/92/06/713239206.db2.gz NJQQFVKVMJSFRJ-RYUDHWBXSA-N -1 1 311.361 1.408 20 0 DDADMM CC1=C(C(=O)[N-]Oc2ccc(F)cc2)[C@H](C)n2nnnc2N1C ZINC000912261451 711250614 /nfs/dbraw/zinc/25/06/14/711250614.db2.gz CONSTUUMBRMNIV-VIFPVBQESA-N -1 1 318.312 1.207 20 0 DDADMM Cc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c(Cl)c1C ZINC000913499586 713239514 /nfs/dbraw/zinc/23/95/14/713239514.db2.gz WMFMQUMDOONEHE-NSHDSACASA-N -1 1 321.768 1.684 20 0 DDADMM O=C(c1cccc(C2CCC2)c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499611 713239610 /nfs/dbraw/zinc/23/96/10/713239610.db2.gz XFVAHZAGSLVSQS-AWEZNQCLSA-N -1 1 313.361 1.681 20 0 DDADMM O=C([O-])C[C@@H]1COCCN1C[C@@H](O)CCOCc1ccccc1 ZINC000903900305 711322985 /nfs/dbraw/zinc/32/29/85/711322985.db2.gz DBVPZKRJQPGFIT-CVEARBPZSA-N -1 1 323.389 1.130 20 0 DDADMM Cc1cccc([C@@H](NCc2ccc(C(=O)N(C)C)[nH]2)C(=O)[O-])c1 ZINC000904264702 711420444 /nfs/dbraw/zinc/42/04/44/711420444.db2.gz OARQHIQPLVFHAD-OAHLLOKOSA-N -1 1 315.373 1.940 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NCc2ncc(Cl)s2)n[n-]1 ZINC000895233490 711459428 /nfs/dbraw/zinc/45/94/28/711459428.db2.gz OHCQYUDKTLDGNZ-LURJTMIESA-N -1 1 315.786 1.942 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2ncc(Cl)s2)n1 ZINC000895233490 711459429 /nfs/dbraw/zinc/45/94/29/711459429.db2.gz OHCQYUDKTLDGNZ-LURJTMIESA-N -1 1 315.786 1.942 20 0 DDADMM COCc1nc(NCCN2CCc3ccccc32)cc(=O)[n-]1 ZINC000896103773 711676175 /nfs/dbraw/zinc/67/61/75/711676175.db2.gz USWNMNQQODCBKR-UHFFFAOYSA-N -1 1 300.362 1.803 20 0 DDADMM O=C(NC[C@H]1CCCNC1=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896260653 711697736 /nfs/dbraw/zinc/69/77/36/711697736.db2.gz UGWALPYXIOWWBR-LLVKDONJSA-N -1 1 314.341 1.507 20 0 DDADMM O=C(CCc1ccc(F)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742211 713291778 /nfs/dbraw/zinc/29/17/78/713291778.db2.gz NQENYTZTTGZQQG-UHFFFAOYSA-N -1 1 303.341 1.678 20 0 DDADMM C[C@@H](CC(=O)N1CCC(c2nn[n-]n2)CC1)c1ccncc1 ZINC000913743902 713292340 /nfs/dbraw/zinc/29/23/40/713292340.db2.gz QHASAEVREKEDFH-NSHDSACASA-N -1 1 300.366 1.495 20 0 DDADMM O=C(N1CCC(c2nn[n-]n2)CC1)C1(Cc2ccccc2)CC1 ZINC000913743558 713292623 /nfs/dbraw/zinc/29/26/23/713292623.db2.gz ASWYHKGAMDMFHH-UHFFFAOYSA-N -1 1 311.389 1.929 20 0 DDADMM O=C(N1CCC(c2nn[n-]n2)CC1)C(F)(F)c1nccs1 ZINC000913745314 713293538 /nfs/dbraw/zinc/29/35/38/713293538.db2.gz YEVOTMKUOPFTOW-UHFFFAOYSA-N -1 1 314.321 1.154 20 0 DDADMM Cc1cncc(CCC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913746386 713294354 /nfs/dbraw/zinc/29/43/54/713294354.db2.gz RINCACUBGIWGJH-UHFFFAOYSA-N -1 1 300.366 1.242 20 0 DDADMM CN(C[C@H](O)COCc1ccco1)[C@H](C(=O)[O-])c1ccccc1 ZINC000905369302 712018097 /nfs/dbraw/zinc/01/80/97/712018097.db2.gz KOYVPPQYKISEKS-HOCLYGCPSA-N -1 1 319.357 1.915 20 0 DDADMM COc1cccc([C@H](NCCS(=O)(=O)C(C)C)C(=O)[O-])c1 ZINC000905396436 712027358 /nfs/dbraw/zinc/02/73/58/712027358.db2.gz SMYJJVHLPSEGHT-ZDUSSCGKSA-N -1 1 315.391 1.234 20 0 DDADMM CN(C)c1ccc([N-]S(=O)(=O)N=S2(=O)CCCC2)nc1 ZINC000906058266 712233031 /nfs/dbraw/zinc/23/30/31/712233031.db2.gz SPLGMQXTFCWPPE-UHFFFAOYSA-N -1 1 318.424 1.066 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H](C)[C@H]1CCCO1 ZINC000906694235 712392244 /nfs/dbraw/zinc/39/22/44/712392244.db2.gz XHTCSBXFYSYDNB-TVQRCGJNSA-N -1 1 318.377 1.483 20 0 DDADMM CN(CCN(C)S(=O)(=O)c1csc(C(=O)[O-])c1)C1CC1 ZINC000906935670 712442706 /nfs/dbraw/zinc/44/27/06/712442706.db2.gz SZULETXKBVSVTG-UHFFFAOYSA-N -1 1 318.420 1.161 20 0 DDADMM O=C(N[C@@H]1CN(c2ccccc2)C1=O)c1cnc(C2CC2)[n-]c1=O ZINC000907288768 712534646 /nfs/dbraw/zinc/53/46/46/712534646.db2.gz WCPLWIQLBZPBER-CYBMUJFWSA-N -1 1 324.340 1.205 20 0 DDADMM C[C@@H](CN(C)C(=O)c1nsc2ccccc21)c1nn[n-]n1 ZINC000907349585 712549859 /nfs/dbraw/zinc/54/98/59/712549859.db2.gz HARLUKCMMRUDKJ-QMMMGPOBSA-N -1 1 302.363 1.685 20 0 DDADMM O=C([C@@H]1Cc2ccc(F)cc21)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477602 712582804 /nfs/dbraw/zinc/58/28/04/712582804.db2.gz FYTVMIQLOXIBGR-NEPJUHHUSA-N -1 1 319.365 1.295 20 0 DDADMM CO[C@@H]1CCC[C@@H](C(=O)N2CCSC[C@@H]2c2nn[n-]n2)C1 ZINC000907479797 712583314 /nfs/dbraw/zinc/58/33/14/712583314.db2.gz IIVCRROVTVJJMZ-GMTAPVOTSA-N -1 1 311.411 1.021 20 0 DDADMM Cc1c(F)cccc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480679 712583797 /nfs/dbraw/zinc/58/37/97/712583797.db2.gz QIFTWHBPRPILOA-LLVKDONJSA-N -1 1 307.354 1.578 20 0 DDADMM CC(=Cc1ccco1)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480620 712583824 /nfs/dbraw/zinc/58/38/24/712583824.db2.gz PRKDYFSCAIKANA-GXMKHXEJSA-N -1 1 305.363 1.513 20 0 DDADMM O=C(N[C@@H](CO)CC(F)F)c1c([O-])cnc2c(F)cccc21 ZINC000907742078 712620889 /nfs/dbraw/zinc/62/08/89/712620889.db2.gz FUFKJPGKFHBDHN-SSDOTTSWSA-N -1 1 314.263 1.825 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1cc2ccccc2o1 ZINC000907767801 712624126 /nfs/dbraw/zinc/62/41/26/712624126.db2.gz RCQQZKHIUIJABJ-LIIPTPMRSA-N -1 1 305.355 1.887 20 0 DDADMM CN(C)Cc1ccc(C(=O)N[C@H](CC(=O)[O-])C(C)(C)C)cn1 ZINC000908040139 712670799 /nfs/dbraw/zinc/67/07/99/712670799.db2.gz WLDSRXOFDODULB-CYBMUJFWSA-N -1 1 307.394 1.762 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000908752877 712848186 /nfs/dbraw/zinc/84/81/86/712848186.db2.gz YRHCYYIGKPZHSD-ZDUSSCGKSA-N -1 1 323.393 1.921 20 0 DDADMM CC[C@@](C)(NC(=O)NC[C@@H](c1ccsc1)N(C)C)C(=O)[O-] ZINC000908814775 712860462 /nfs/dbraw/zinc/86/04/62/712860462.db2.gz UKJIDMOVLDBNLP-SMDDNHRTSA-N -1 1 313.423 1.903 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@@H]1C[C@H]1C1CCCCC1 ZINC000908826903 712862906 /nfs/dbraw/zinc/86/29/06/712862906.db2.gz ZOUWCJVRFPLZSU-SOUVJXGZSA-N -1 1 308.422 1.868 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(c2cc(F)ncn2)CC1 ZINC000908896355 712882022 /nfs/dbraw/zinc/88/20/22/712882022.db2.gz OBXGQPDUWHFRJG-UHFFFAOYSA-N -1 1 320.299 1.423 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)CC1(F)CCC1)C(=O)OCC ZINC000914374354 713385591 /nfs/dbraw/zinc/38/55/91/713385591.db2.gz BEKHFVNQXKFVOD-MZBZXASESA-N -1 1 307.387 1.696 20 0 DDADMM O=S(=O)(CC1(F)CCC1)[N-]Cc1noc(C(F)(F)F)n1 ZINC000915056881 713407575 /nfs/dbraw/zinc/40/75/75/713407575.db2.gz AGXJISPOVBAUTE-UHFFFAOYSA-N -1 1 317.264 1.400 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1cnn(-c2ccccc2)n1 ZINC000916556080 713457637 /nfs/dbraw/zinc/45/76/37/713457637.db2.gz IZQGGVSTLVNNQF-UHFFFAOYSA-N -1 1 312.318 1.019 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)O[C@H]1CCOC1 ZINC000916830000 713468120 /nfs/dbraw/zinc/46/81/20/713468120.db2.gz XOKBZCGCFJNWCC-KXUCPTDWSA-N -1 1 324.299 1.443 20 0 DDADMM COC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C1 ZINC000920427362 713668569 /nfs/dbraw/zinc/66/85/69/713668569.db2.gz CWJANVFUGVLGBQ-ZJUUUORDSA-N -1 1 318.420 1.380 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)N[C@@H](C)C2CC2)[n-]c1=O ZINC000929920636 713718736 /nfs/dbraw/zinc/71/87/36/713718736.db2.gz GQLCSUDMFYGOEX-ONGXEEELSA-N -1 1 306.366 1.836 20 0 DDADMM COC(=O)[C@@H]1COCCN1C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000930013512 713735974 /nfs/dbraw/zinc/73/59/74/713735974.db2.gz GMGJNORVFFAHKY-RISCZKNCSA-N -1 1 307.346 1.085 20 0 DDADMM C[C@@H](CN1CCSC[C@@H]1C(N)=O)C(=O)c1ccc([O-])cc1 ZINC000930141959 713766017 /nfs/dbraw/zinc/76/60/17/713766017.db2.gz FHIYCFQLCSVNCA-GXFFZTMASA-N -1 1 308.403 1.114 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]CC(=O)c1cncs1)C1CC1 ZINC000921679293 713840639 /nfs/dbraw/zinc/84/06/39/713840639.db2.gz VRSZVSLYDKLDBX-NSHDSACASA-N -1 1 318.420 1.060 20 0 DDADMM CO[C@@H](C)[C@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922138557 713971674 /nfs/dbraw/zinc/97/16/74/713971674.db2.gz UWPHGZNJYXAGJM-IUCAKERBSA-N -1 1 302.321 1.936 20 0 DDADMM CNC(=O)C[C@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922745918 714135592 /nfs/dbraw/zinc/13/55/92/714135592.db2.gz CYORSTZHMWPRBM-QMMMGPOBSA-N -1 1 315.320 1.037 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@H](C2CCOCC2)C1 ZINC000922775687 714143871 /nfs/dbraw/zinc/14/38/71/714143871.db2.gz FBAWKQMJPCOILK-ZDUSSCGKSA-N -1 1 307.398 1.188 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)OCCO[C@@H]1CCOC1 ZINC000922913174 714184891 /nfs/dbraw/zinc/18/48/91/714184891.db2.gz SCNDKGNUEURCRO-LLVKDONJSA-N -1 1 300.738 1.937 20 0 DDADMM COCCOCCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932041110 714244450 /nfs/dbraw/zinc/24/44/50/714244450.db2.gz RCSCTFUZIQEVCJ-UHFFFAOYSA-N -1 1 320.349 1.362 20 0 DDADMM C[C@H](C(=O)Nc1nccs1)N1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC000923529457 714391928 /nfs/dbraw/zinc/39/19/28/714391928.db2.gz MWOPAPKZMOLSFU-DVVUODLYSA-N -1 1 309.391 1.513 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCCn2nncc2C1 ZINC000923801549 714473120 /nfs/dbraw/zinc/47/31/20/714473120.db2.gz VPQGGXFVNCLCOT-UHFFFAOYSA-N -1 1 316.365 1.252 20 0 DDADMM CCOCCOCCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000923983207 714500252 /nfs/dbraw/zinc/50/02/52/714500252.db2.gz WOOCKHQHEMASIX-UHFFFAOYSA-N -1 1 316.785 1.898 20 0 DDADMM CN(CCCF)C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933112685 714516654 /nfs/dbraw/zinc/51/66/54/714516654.db2.gz ZZLVFABAPNGABP-UHFFFAOYSA-N -1 1 318.295 1.147 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2cn(C)nc2C)[n-]c1=O ZINC000934278334 714785331 /nfs/dbraw/zinc/78/53/31/714785331.db2.gz FLMZDHAJOVLXDT-LBPRGKRZSA-N -1 1 303.366 1.570 20 0 DDADMM O=C([C@@H](O)C1CCCCCCC1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000935036636 714960928 /nfs/dbraw/zinc/96/09/28/714960928.db2.gz GFRWZEUFVIZUAA-KGLIPLIRSA-N -1 1 321.425 1.627 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N[C@H]3CCOC3)c2)o1 ZINC000935050112 714963675 /nfs/dbraw/zinc/96/36/75/714963675.db2.gz FZVBUJXDGCMBMB-NSHDSACASA-N -1 1 301.298 1.983 20 0 DDADMM CN(C(=O)C1CCCC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937219010 715294755 /nfs/dbraw/zinc/29/47/55/715294755.db2.gz CGYSEWPIVUMZER-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM CC1(C)C[C@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937838363 715605968 /nfs/dbraw/zinc/60/59/68/715605968.db2.gz DFUQXUXIVOAJII-QWRGUYRKSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1cc[nH]c1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937890815 715633946 /nfs/dbraw/zinc/63/39/46/715633946.db2.gz AXJKFJSZUGRQLK-NSHDSACASA-N -1 1 314.345 1.068 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000955656056 715894964 /nfs/dbraw/zinc/89/49/64/715894964.db2.gz VIALGKGPXODGSG-NWDGAFQWSA-N -1 1 305.378 1.553 20 0 DDADMM O=C(CC1CC1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964849586 717453725 /nfs/dbraw/zinc/45/37/25/717453725.db2.gz OSPLRRUEOPALDD-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM CCCC(=O)N1CCC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000965914426 717813281 /nfs/dbraw/zinc/81/32/81/717813281.db2.gz REPPCBIZHFPARZ-CYBMUJFWSA-N -1 1 319.405 1.898 20 0 DDADMM CCC(=O)N1CCC2(C[C@H]2NC(=O)c2ncccc2[O-])CC1 ZINC000963686517 717879781 /nfs/dbraw/zinc/87/97/81/717879781.db2.gz ZCZRRGWPDOIJPT-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H](C)C2CC2)C1 ZINC000967900535 719079263 /nfs/dbraw/zinc/07/92/63/719079263.db2.gz MZJMNBOLEIBTDD-LLVKDONJSA-N -1 1 317.389 1.506 20 0 DDADMM CC(C)(C)CC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949531489 720143171 /nfs/dbraw/zinc/14/31/71/720143171.db2.gz QOEGOUGKPRHEIH-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@@]1(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C=CCC1 ZINC000949542246 720150825 /nfs/dbraw/zinc/15/08/25/720150825.db2.gz ZRPJSRGVFXDLSR-SJKOYZFVSA-N -1 1 315.373 1.474 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@H]([N@@H+](C)Cc3cccnc3)C2)c1[O-] ZINC000971360579 721257007 /nfs/dbraw/zinc/25/70/07/721257007.db2.gz LHQBHYCHBPLIOO-ZDUSSCGKSA-N -1 1 315.377 1.165 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@H](N(C)Cc3cccnc3)C2)c1[O-] ZINC000971360579 721257012 /nfs/dbraw/zinc/25/70/12/721257012.db2.gz LHQBHYCHBPLIOO-ZDUSSCGKSA-N -1 1 315.377 1.165 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CCCCN1C(=O)C1CC1 ZINC000953518153 721619809 /nfs/dbraw/zinc/61/98/09/721619809.db2.gz ZITXKIMSSIEMDC-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)C(=O)N1CCCC[C@H]1[C@H](C)NC(=O)c1ncccc1[O-] ZINC000953556030 721625410 /nfs/dbraw/zinc/62/54/10/721625410.db2.gz KNUBLIKZFBHTAV-STQMWFEESA-N -1 1 319.405 1.943 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000954128078 721724876 /nfs/dbraw/zinc/72/48/76/721724876.db2.gz QXAUEHPGUPVJSM-NEPJUHHUSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(NC[C@@H]1CCCN(CCF)C1)c1ccc2oc(=O)nc-2[n-]1 ZINC001023700264 735407590 /nfs/dbraw/zinc/40/75/90/735407590.db2.gz LKAWEHQJULGYSB-JTQLQIEISA-N -1 1 322.340 1.340 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CC12CC2 ZINC001020628429 732575237 /nfs/dbraw/zinc/57/52/37/732575237.db2.gz IOSNUXKTORUHKU-TUAOUCFPSA-N -1 1 315.373 1.307 20 0 DDADMM O=C(NC[C@H]1CCN1Cc1ccns1)c1ncccc1[O-] ZINC001038193359 733194205 /nfs/dbraw/zinc/19/42/05/733194205.db2.gz IIHDNVSXPHNWFU-SNVBAGLBSA-N -1 1 304.375 1.248 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CC2(CCC2)c2ccccc21 ZINC001121358904 782471256 /nfs/dbraw/zinc/47/12/56/782471256.db2.gz ACEIEOSAQRVQCF-UHFFFAOYSA-N -1 1 308.349 1.569 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CC2(CCC2)c2ccccc21 ZINC001121358904 782471260 /nfs/dbraw/zinc/47/12/60/782471260.db2.gz ACEIEOSAQRVQCF-UHFFFAOYSA-N -1 1 308.349 1.569 20 0 DDADMM C[C@H]1CC[C@H](CC(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000997894523 751346020 /nfs/dbraw/zinc/34/60/20/751346020.db2.gz MHKQBESLIJZSFP-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](CC(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001025170053 736337297 /nfs/dbraw/zinc/33/72/97/736337297.db2.gz UMVBVQGNSNZNJA-WCQYABFASA-N -1 1 321.425 1.417 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCC[C@H]2[C@H]2CCCN2CCF)c1[O-] ZINC001025221188 736371550 /nfs/dbraw/zinc/37/15/50/736371550.db2.gz MAWHCVNJMODRPB-OLZOCXBDSA-N -1 1 324.400 1.852 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017030702 751400862 /nfs/dbraw/zinc/40/08/62/751400862.db2.gz OIIOOXMRWGUKEE-GFCCVEGCSA-N -1 1 307.398 1.147 20 0 DDADMM O=S(=O)([N-][C@@H]1CO[C@H](C2CC2)C1)c1ccc(Cl)nc1F ZINC000692906373 739050290 /nfs/dbraw/zinc/05/02/90/739050290.db2.gz YKCCJUDFEYIJSL-IUCAKERBSA-N -1 1 320.773 1.720 20 0 DDADMM Nc1ncc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)cn1 ZINC001167936268 739735285 /nfs/dbraw/zinc/73/52/85/739735285.db2.gz JWPRKECCYTUDQT-UHFFFAOYSA-N -1 1 306.262 1.144 20 0 DDADMM CCC(CC)C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029651764 741186135 /nfs/dbraw/zinc/18/61/35/741186135.db2.gz JDJWTVDJJDPFCS-NSHDSACASA-N -1 1 309.414 1.227 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001076244088 742623370 /nfs/dbraw/zinc/62/33/70/742623370.db2.gz HZKQZJDPNHUVDD-CIQGVGRVSA-N -1 1 317.389 1.458 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H](C)C1CC1 ZINC001076244479 742623703 /nfs/dbraw/zinc/62/37/03/742623703.db2.gz UMGHCIPBFAFSCE-QWRGUYRKSA-N -1 1 305.378 1.458 20 0 DDADMM O=Cc1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cs1 ZINC001168692017 743036458 /nfs/dbraw/zinc/03/64/58/743036458.db2.gz CNLOCVSFPONFPU-LBPRGKRZSA-N -1 1 313.342 1.593 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)C1CC2(C1)OCCO2 ZINC001181505259 743215835 /nfs/dbraw/zinc/21/58/35/743215835.db2.gz BYQVESMQCIEORL-UHFFFAOYSA-N -1 1 315.329 1.670 20 0 DDADMM O=C(NC1CCN(Cc2ccns2)CC1)c1ncccc1[O-] ZINC001002663416 743312187 /nfs/dbraw/zinc/31/21/87/743312187.db2.gz FZCDEKZJEDYRBH-UHFFFAOYSA-N -1 1 318.402 1.638 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](CO)c2ccccc2)n1 ZINC001181924973 743395067 /nfs/dbraw/zinc/39/50/67/743395067.db2.gz SKUCELYLBIUPOI-NSHDSACASA-N -1 1 303.318 1.301 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002826873 743482739 /nfs/dbraw/zinc/48/27/39/743482739.db2.gz WHYANKATYXNUSA-VXGBXAGGSA-N -1 1 323.368 1.598 20 0 DDADMM COc1ccc(C(=O)C(=O)[N-]C(=N)c2ccc(F)cn2)cc1 ZINC001183377454 743835747 /nfs/dbraw/zinc/83/57/47/743835747.db2.gz ZZGNQUYUJMBNHX-UHFFFAOYSA-N -1 1 301.277 1.554 20 0 DDADMM O=C(Cc1csc(-c2cccnc2)n1)Nc1c[n-][nH]c1=O ZINC001183550343 743853307 /nfs/dbraw/zinc/85/33/07/743853307.db2.gz KJIMJPJGJREILK-UHFFFAOYSA-N -1 1 301.331 1.815 20 0 DDADMM COc1ccccc1SCC(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001183824667 743920577 /nfs/dbraw/zinc/92/05/77/743920577.db2.gz SSWNOTZINRNARZ-UHFFFAOYSA-N -1 1 322.346 1.209 20 0 DDADMM Cc1ccc(F)cc1CN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001030239887 743976394 /nfs/dbraw/zinc/97/63/94/743976394.db2.gz OXDMSKSGGTYWDR-UHFFFAOYSA-N -1 1 315.348 1.849 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2ncncc2C)cc1C(=O)[O-] ZINC001184670443 744074908 /nfs/dbraw/zinc/07/49/08/744074908.db2.gz PEHVNNGJVIKFLJ-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C1=NOC2(C1)CCCCC2 ZINC001184685329 744088598 /nfs/dbraw/zinc/08/85/98/744088598.db2.gz QJHNQRXEPMEXTK-UHFFFAOYSA-N -1 1 321.293 1.703 20 0 DDADMM COC(=O)C(NC(=O)Nc1ccc(F)c(F)c1F)=C(C)[O-] ZINC001185350023 744203966 /nfs/dbraw/zinc/20/39/66/744203966.db2.gz YTNDBDVAWKNOPV-SNVBAGLBSA-N -1 1 304.224 1.356 20 0 DDADMM O=S(=O)([N-]c1nccnc1Cl)c1ccc2n[nH]cc2c1 ZINC001185942848 744310505 /nfs/dbraw/zinc/31/05/05/744310505.db2.gz DRWKJEBYPAGTEW-UHFFFAOYSA-N -1 1 309.738 1.807 20 0 DDADMM CCOC(=O)c1nnc(CNC(=O)c2ccc([O-])c(F)c2)o1 ZINC001186236705 744361862 /nfs/dbraw/zinc/36/18/62/744361862.db2.gz LJHCPMHRQNPFBK-UHFFFAOYSA-N -1 1 309.253 1.021 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1C[C@@H](OCC2CC2)[C@H]2COC[C@H]21 ZINC001186219658 744366628 /nfs/dbraw/zinc/36/66/28/744366628.db2.gz WUQDIQUJDPOUCW-JGGQBBKZSA-N -1 1 321.348 1.797 20 0 DDADMM C[C@@H]1CN(C2CN(C(=O)c3ccc([O-])cc3F)C2)C[C@H](C)O1 ZINC001186327517 744374853 /nfs/dbraw/zinc/37/48/53/744374853.db2.gz PLLOLKFGKWGFHC-PHIMTYICSA-N -1 1 308.353 1.465 20 0 DDADMM Cc1cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c(F)cc1F ZINC001186393909 744391559 /nfs/dbraw/zinc/39/15/59/744391559.db2.gz ATHKKEXUZKYJOZ-UHFFFAOYSA-N -1 1 305.244 1.897 20 0 DDADMM O=c1[nH]cnc([O-])c1NS(=O)(=O)c1csc2ccccc21 ZINC001186463527 744404320 /nfs/dbraw/zinc/40/43/20/744404320.db2.gz CJYPBBOHGNADNM-UHFFFAOYSA-N -1 1 323.355 1.903 20 0 DDADMM O=c1[nH]cc([N-]S(=O)(=O)c2ccco2)cc1Br ZINC001186819299 744453791 /nfs/dbraw/zinc/45/37/91/744453791.db2.gz DEEBFMNFYZWNTR-UHFFFAOYSA-N -1 1 319.136 1.944 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cncc(C(=O)OCC)c1 ZINC001187243520 744524427 /nfs/dbraw/zinc/52/44/27/744524427.db2.gz LBSUNHMRYMULBO-UHFFFAOYSA-N -1 1 302.352 1.037 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001187589191 744580590 /nfs/dbraw/zinc/58/05/90/744580590.db2.gz ALLUKOFPVKOPDX-UHFFFAOYSA-N -1 1 306.232 1.981 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccccc3[S@](C)=O)c1-2 ZINC001187813440 744611486 /nfs/dbraw/zinc/61/14/86/744611486.db2.gz HSACVKYGSGMELI-QFIPXVFZSA-N -1 1 315.358 1.025 20 0 DDADMM C[S@@](=O)c1ccccc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001187814149 744611892 /nfs/dbraw/zinc/61/18/92/744611892.db2.gz KPAMPVQPSWYJPZ-OAQYLSRUSA-N -1 1 318.280 1.813 20 0 DDADMM O=S(=O)([N-]Cc1cccc2c1OCCO2)c1nccs1 ZINC001187908194 744628020 /nfs/dbraw/zinc/62/80/20/744628020.db2.gz IAUWEWAPMQXOCI-UHFFFAOYSA-N -1 1 312.372 1.393 20 0 DDADMM O=C(CC[N-]S(=O)(=O)c1nccs1)Nc1ccccc1 ZINC001187912514 744629131 /nfs/dbraw/zinc/62/91/31/744629131.db2.gz KDWJXMPWVXHQBQ-UHFFFAOYSA-N -1 1 311.388 1.450 20 0 DDADMM NC(=O)c1ccc(NC(=O)Nc2ccc3c(c2)OCO3)cc1[O-] ZINC001187872983 744630131 /nfs/dbraw/zinc/63/01/31/744630131.db2.gz KMIGIUSKFDYPEV-UHFFFAOYSA-N -1 1 315.285 1.864 20 0 DDADMM COC(=O)c1nc(OC)ccc1[N-]S(=O)(=O)C1CCC1 ZINC001188110038 744661447 /nfs/dbraw/zinc/66/14/47/744661447.db2.gz CVEYUGTWEMAQNZ-UHFFFAOYSA-N -1 1 300.336 1.171 20 0 DDADMM COc1cc(OC)nc(C(=O)Nc2ccc3[nH][n-]c(=O)c3c2)n1 ZINC001189225051 744848330 /nfs/dbraw/zinc/84/83/30/744848330.db2.gz AVQMTPPPPMVBEP-UHFFFAOYSA-N -1 1 315.289 1.328 20 0 DDADMM C[C@H](C[C@H](C)Nc1ncccn1)NC(=O)c1ncccc1[O-] ZINC001089273258 744849260 /nfs/dbraw/zinc/84/92/60/744849260.db2.gz WCLGYERSULWGHE-MNOVXSKESA-N -1 1 301.350 1.586 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCCOC(F)F)sn1 ZINC001189630064 744938884 /nfs/dbraw/zinc/93/88/84/744938884.db2.gz RLBVGYYCTYVGKV-UHFFFAOYSA-N -1 1 302.324 1.059 20 0 DDADMM O=C(NCc1nnc[nH]1)c1cc(Br)ccc1[S-] ZINC001189970154 745051607 /nfs/dbraw/zinc/05/16/07/745051607.db2.gz SITKEPQDKGURFG-UHFFFAOYSA-N -1 1 313.180 1.786 20 0 DDADMM COc1ccc(C(=O)[N-]c2noc3nccnc23)c(Cl)n1 ZINC001190085215 745107243 /nfs/dbraw/zinc/10/72/43/745107243.db2.gz FPCVQVRCEVKWBJ-UHFFFAOYSA-N -1 1 305.681 1.927 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2cn(C)nc2C(C)=O)c(OC)c1 ZINC001190109909 745117228 /nfs/dbraw/zinc/11/72/28/745117228.db2.gz BPVCTFYRIGKQFM-UHFFFAOYSA-N -1 1 319.317 1.598 20 0 DDADMM O=C(c1cnc(-c2ccccc2)[n-]c1=O)N1CC2(C1)CCCO2 ZINC001190260277 745165210 /nfs/dbraw/zinc/16/52/10/745165210.db2.gz AZOUHNTYUSTZDB-UHFFFAOYSA-N -1 1 311.341 1.854 20 0 DDADMM O=C([N-]c1ncnc2n[nH]nc21)c1csc(-c2ccncc2)n1 ZINC001190325030 745182253 /nfs/dbraw/zinc/18/22/53/745182253.db2.gz PUVHGMZLGIXVAH-UHFFFAOYSA-N -1 1 324.329 1.519 20 0 DDADMM O=S(=O)(Nc1nc(F)c(F)c(Cl)c1F)c1ncc[n-]1 ZINC001190694361 745299987 /nfs/dbraw/zinc/29/99/87/745299987.db2.gz OERGOLGLCDYKIO-UHFFFAOYSA-N -1 1 312.660 1.676 20 0 DDADMM O=C1NCc2ccc([N-]S(=O)(=O)c3ccncc3)cc2N1 ZINC001190903266 745380368 /nfs/dbraw/zinc/38/03/68/745380368.db2.gz ALBULYBXDKXXLQ-UHFFFAOYSA-N -1 1 304.331 1.518 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC1 ZINC001191843799 745628538 /nfs/dbraw/zinc/62/85/38/745628538.db2.gz NKELHVRDGNBBQU-LJGSYFOKSA-N -1 1 306.326 1.416 20 0 DDADMM Cc1cc(C)n(CC[N-]S(=O)(=O)C(Cl)(Cl)Cl)n1 ZINC001191996629 745661315 /nfs/dbraw/zinc/66/13/15/745661315.db2.gz ISFMNKSYJZRLCB-UHFFFAOYSA-N -1 1 320.629 1.747 20 0 DDADMM COc1cc(C(=O)Nc2ccc3[nH][n-]c(=O)c3c2)cc(OC)n1 ZINC001192417006 745777325 /nfs/dbraw/zinc/77/73/25/745777325.db2.gz DGXKFNPGFVFUPZ-UHFFFAOYSA-N -1 1 314.301 1.933 20 0 DDADMM CCOC(=O)c1cncc([N-]S(=O)(=O)C[C@@H]2CCCO2)c1 ZINC001193206129 746013268 /nfs/dbraw/zinc/01/32/68/746013268.db2.gz QNQNCEAIKBCFMR-LBPRGKRZSA-N -1 1 314.363 1.179 20 0 DDADMM COc1ccc(Cl)c([N-]S(=O)(=O)C[C@@H]2CCCO2)n1 ZINC001193212849 746016049 /nfs/dbraw/zinc/01/60/49/746016049.db2.gz GYZBTRLYLPDJLM-QMMMGPOBSA-N -1 1 306.771 1.664 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1cnc2cc(C3CC3)nn2c1 ZINC001193214345 746016859 /nfs/dbraw/zinc/01/68/59/746016859.db2.gz YIMJCCPGAZKZAA-LBPRGKRZSA-N -1 1 322.390 1.527 20 0 DDADMM CCOC(=O)c1cccc(NC(=O)c2nccc(OC)c2[O-])n1 ZINC001193525446 746134088 /nfs/dbraw/zinc/13/40/88/746134088.db2.gz YGFKZAXFYCKJEU-UHFFFAOYSA-N -1 1 317.301 1.620 20 0 DDADMM Cn1ccnc1S(=O)(=O)Nc1cc2ccc([O-])cc2oc1=O ZINC001193561624 746145805 /nfs/dbraw/zinc/14/58/05/746145805.db2.gz KBKVEUHUBWJHGQ-UHFFFAOYSA-N -1 1 321.314 1.033 20 0 DDADMM Cn1c([S-])ncc1C(=O)Nc1cccn(CC2CC2)c1=O ZINC001194256865 746322252 /nfs/dbraw/zinc/32/22/52/746322252.db2.gz KDWVHRPDGVMPSM-UHFFFAOYSA-N -1 1 304.375 1.533 20 0 DDADMM O=S(=O)([N-][C@H]1CC1(F)F)c1ccc(C(F)(F)F)cn1 ZINC001194311882 746332863 /nfs/dbraw/zinc/33/28/63/746332863.db2.gz ULUDEQPWFRCYFJ-LURJTMIESA-N -1 1 302.224 1.786 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc3nc(C)cc(C)n3n2)[n-]n1 ZINC001194284186 746338824 /nfs/dbraw/zinc/33/88/24/746338824.db2.gz UNUXWIPTXBMEDG-UHFFFAOYSA-N -1 1 314.305 1.108 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccc(Cl)nc2OC)[n-]n1 ZINC001194282266 746339174 /nfs/dbraw/zinc/33/91/74/746339174.db2.gz GGNAXBLFBYXZHF-UHFFFAOYSA-N -1 1 310.697 1.506 20 0 DDADMM O=S(=O)([N-]Cc1ccon1)c1ncccc1C(F)(F)F ZINC001194582760 746414694 /nfs/dbraw/zinc/41/46/94/746414694.db2.gz VGYRGUHKLMVKSZ-UHFFFAOYSA-N -1 1 307.253 1.567 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1cncnc1Cl ZINC001194676700 746435125 /nfs/dbraw/zinc/43/51/25/746435125.db2.gz PQTQROSDGIKKHG-UHFFFAOYSA-N -1 1 300.727 1.334 20 0 DDADMM CC(=O)Nc1cc(NC(=O)c2cc([O-])cnc2Cl)ncn1 ZINC001195297695 746570181 /nfs/dbraw/zinc/57/01/81/746570181.db2.gz DVDBLXSITDKKAB-UHFFFAOYSA-N -1 1 307.697 1.441 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CCO[C@@H](C(F)(F)F)C1 ZINC001195305455 746572103 /nfs/dbraw/zinc/57/21/03/746572103.db2.gz KACJBHLJRYURDS-MRVPVSSYSA-N -1 1 310.659 1.844 20 0 DDADMM O=C(NCC1Oc2ccccc2O1)c1cc([O-])cnc1Cl ZINC001195312890 746573586 /nfs/dbraw/zinc/57/35/86/746573586.db2.gz MHQVLEQNLMZKPN-UHFFFAOYSA-N -1 1 306.705 1.968 20 0 DDADMM CC(C)Oc1nccc(CNC(=O)c2cc([O-])cnc2Cl)n1 ZINC001195314449 746574614 /nfs/dbraw/zinc/57/46/14/746574614.db2.gz FJFPYBLZKSLCRO-UHFFFAOYSA-N -1 1 322.752 1.948 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC(OC2CCC2)CC1 ZINC001196014596 746756388 /nfs/dbraw/zinc/75/63/88/746756388.db2.gz SRLWNKUOXJMFDI-UHFFFAOYSA-N -1 1 309.391 1.645 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC2(C[C@@H](F)CO2)CC1 ZINC001196016625 746757286 /nfs/dbraw/zinc/75/72/86/746757286.db2.gz WJLCAGSZJMDAOS-MRVPVSSYSA-N -1 1 313.354 1.204 20 0 DDADMM O=C(Nc1ccc2c(c1)OCC(=O)N2)c1c[nH]c(=S)[n-]c1=O ZINC001196015717 746757415 /nfs/dbraw/zinc/75/74/15/746757415.db2.gz HBEQPOQSYGTIGQ-UHFFFAOYSA-N -1 1 318.314 1.054 20 0 DDADMM O=C(NCc1cnc2[nH]ccc2c1)c1c[nH]c(=S)[n-]c1=O ZINC001196023938 746759919 /nfs/dbraw/zinc/75/99/19/746759919.db2.gz OWOOIPXDNVVPNM-UHFFFAOYSA-N -1 1 301.331 1.277 20 0 DDADMM CS(=O)(=O)c1ccc(C(=O)[N-]c2noc3cccnc23)nc1 ZINC001196701364 746935983 /nfs/dbraw/zinc/93/59/83/746935983.db2.gz WYTNNFHFNHNRAM-UHFFFAOYSA-N -1 1 318.314 1.274 20 0 DDADMM Cc1nc([N-]S(=O)(=O)c2cccc(Cl)n2)cc(=O)[nH]1 ZINC001198198069 747417907 /nfs/dbraw/zinc/41/79/07/747417907.db2.gz PXDIYSCUSYWMGR-UHFFFAOYSA-N -1 1 300.727 1.340 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C)nn2CC(C)C)n1 ZINC001199082545 747696920 /nfs/dbraw/zinc/69/69/20/747696920.db2.gz CODGLKLFMDMHDY-UHFFFAOYSA-N -1 1 319.365 2.000 20 0 DDADMM COCCOc1cnc(C(=O)[N-]c2nnc(C(C)(C)C)o2)cn1 ZINC001199122228 747704244 /nfs/dbraw/zinc/70/42/44/747704244.db2.gz AANSOVNZZJLAOO-UHFFFAOYSA-N -1 1 321.337 1.435 20 0 DDADMM CC[C@@]1(C)C[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001004051698 747944958 /nfs/dbraw/zinc/94/49/58/747944958.db2.gz PLDVMSJPXVSHFG-SJCJKPOMSA-N -1 1 317.389 1.412 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(Cc2cscn2)C1 ZINC001033037583 748009245 /nfs/dbraw/zinc/00/92/45/748009245.db2.gz ZXRQGCBWESWSNN-LBPRGKRZSA-N -1 1 318.402 1.590 20 0 DDADMM CCOC(=O)[C@H](C)NC(=S)Nc1cc(F)c([O-])cc1F ZINC001199839681 748015830 /nfs/dbraw/zinc/01/58/30/748015830.db2.gz GAKAKWAPFNJRST-LURJTMIESA-N -1 1 304.318 1.908 20 0 DDADMM CC(C)NC(=S)Nc1ccc(S(=O)(=O)N(C)C)cc1[O-] ZINC001200786532 748345151 /nfs/dbraw/zinc/34/51/51/748345151.db2.gz GGLFNSRFPKMAIF-UHFFFAOYSA-N -1 1 317.436 1.337 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H]1C[C@@]12CCCOC2 ZINC001201768940 748600032 /nfs/dbraw/zinc/60/00/32/748600032.db2.gz JQNQDUYPUKADDS-LDYMZIIASA-N -1 1 322.839 1.952 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)CCC1CC1 ZINC001004789291 748803888 /nfs/dbraw/zinc/80/38/88/748803888.db2.gz ZOLGZCGZLMPFSY-WCQYABFASA-N -1 1 321.425 1.560 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1(C)CC1 ZINC001004929636 748935954 /nfs/dbraw/zinc/93/59/54/748935954.db2.gz PTXIJGFAGKUYHB-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)NC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1[O-] ZINC001202527343 749212562 /nfs/dbraw/zinc/21/25/62/749212562.db2.gz RKIBIHOHPJIDLZ-UHFFFAOYSA-N -1 1 301.368 1.172 20 0 DDADMM Cc1cnc(-c2noc(-c3cn[n-]n3)n2)c(Br)c1 ZINC001212800142 749524308 /nfs/dbraw/zinc/52/43/08/749524308.db2.gz RJWSKBQMDDVMIF-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM CCN(C(=O)c1c(C)nc[nH]c1=O)[C@H]1CC[N@@H+](C/C=C/Cl)C1 ZINC001033932174 750143263 /nfs/dbraw/zinc/14/32/63/750143263.db2.gz ITPVVNUAPSWJOS-FUEXJSSKSA-N -1 1 324.812 1.780 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])c1ncccn1 ZINC001095863033 750602215 /nfs/dbraw/zinc/60/22/15/750602215.db2.gz RUSCWVVUUPQWKC-UHFFFAOYSA-N -1 1 301.350 1.224 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001014780712 750688868 /nfs/dbraw/zinc/68/88/68/750688868.db2.gz YKFLOMYLEJJWHA-NWDGAFQWSA-N -1 1 305.378 1.553 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001035025886 751150472 /nfs/dbraw/zinc/15/04/72/751150472.db2.gz ONCIMCKXALGWDN-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM COC(=O)[N-]C(=S)N(c1cnc(N)nc1)c1ccccc1N ZINC001212968080 751286407 /nfs/dbraw/zinc/28/64/07/751286407.db2.gz ICNBKHNRWBNYIW-UHFFFAOYSA-N -1 1 318.362 1.420 20 0 DDADMM COc1ccc([C@H]2C[C@@H]([NH2+]CCP(=O)([O-])[O-])CCO2)cc1 ZINC001168599460 751302490 /nfs/dbraw/zinc/30/24/90/751302490.db2.gz YXJVSNJXEIEPSV-GXTWGEPZSA-N -1 1 315.306 1.683 20 0 DDADMM CC1(C(=O)N[C@H]2CN(C(=O)c3ncccc3[O-])CC2(C)C)CC1 ZINC001008227471 752618999 /nfs/dbraw/zinc/61/89/99/752618999.db2.gz ANWMOLUJTIPEBQ-LBPRGKRZSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(CC)C(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036651729 752629514 /nfs/dbraw/zinc/62/95/14/752629514.db2.gz IRTNCYLWPWMYPE-QWHCGFSZSA-N -1 1 321.425 1.369 20 0 DDADMM CC[C@@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062569937 753131726 /nfs/dbraw/zinc/13/17/26/753131726.db2.gz PNZJOPJZPQYXFG-LLVKDONJSA-N -1 1 305.378 1.602 20 0 DDADMM C[C@@H](CCNC(=O)[C@]1(C)C=CCC1)NC(=O)c1ncccc1[O-] ZINC001077866501 753316227 /nfs/dbraw/zinc/31/62/27/753316227.db2.gz IXMOZYOSPXDJEK-YVEFUNNKSA-N -1 1 317.389 1.768 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036684972 753348475 /nfs/dbraw/zinc/34/84/75/753348475.db2.gz PYUWMUFDZYRVIL-MNOVXSKESA-N -1 1 323.372 1.197 20 0 DDADMM CC(C)=CC(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005952565 753414062 /nfs/dbraw/zinc/41/40/62/753414062.db2.gz QGHKSBYXIFLLNF-LLVKDONJSA-N -1 1 303.362 1.330 20 0 DDADMM CCC[C@H](C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839644 753759910 /nfs/dbraw/zinc/75/99/10/753759910.db2.gz YLZOSVADGCNWOW-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1nc(CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)oc1C ZINC001010719294 754121759 /nfs/dbraw/zinc/12/17/59/754121759.db2.gz JRTBOYKVWXATRT-LBPRGKRZSA-N -1 1 316.361 1.396 20 0 DDADMM Cc1nc([C@@H](C)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001010732999 754130231 /nfs/dbraw/zinc/13/02/31/754130231.db2.gz OJHGXAOEBZIXOD-KOLCDFICSA-N -1 1 317.349 1.044 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C=C1CCC1 ZINC001011640574 754649749 /nfs/dbraw/zinc/64/97/49/754649749.db2.gz KPTNTYDCOBQLDH-WCQYABFASA-N -1 1 315.373 1.617 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1=CCCC1 ZINC001011650237 754658071 /nfs/dbraw/zinc/65/80/71/754658071.db2.gz IJFWJNCRLCYJSI-AAEUAGOBSA-N -1 1 315.373 1.617 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C2CCCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011676638 754671329 /nfs/dbraw/zinc/67/13/29/754671329.db2.gz GMCNAVZYQKAMCF-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)[C@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064622892 754742125 /nfs/dbraw/zinc/74/21/25/754742125.db2.gz MVLDNEPTNFWROR-LBPRGKRZSA-N -1 1 319.405 1.848 20 0 DDADMM CCCC(=O)N1CC[C@@]2(NC(=O)c3ncccc3[O-])CCC[C@@H]12 ZINC001014252126 755663027 /nfs/dbraw/zinc/66/30/27/755663027.db2.gz FKURNUVZFJYXLK-DYVFJYSZSA-N -1 1 317.389 1.841 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C2CC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014664449 755866998 /nfs/dbraw/zinc/86/69/98/755866998.db2.gz KKFYQENGMYZKDU-ZYHUDNBSSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C2CCC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014669505 755869757 /nfs/dbraw/zinc/86/97/57/755869757.db2.gz LJKCUQMUEAYNCC-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM CCOC(=O)c1cc2c[nH+]c(NCc3cc(=O)[n-]o3)nc2[n-]1 ZINC001169482637 762444704 /nfs/dbraw/zinc/44/47/04/762444704.db2.gz UAWLODOECQAHDD-UHFFFAOYSA-N -1 1 303.278 1.392 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)CC(C)(C)C)C1 ZINC001017049616 757593760 /nfs/dbraw/zinc/59/37/60/757593760.db2.gz UEARRYZQGCRURL-LBPRGKRZSA-N -1 1 323.441 1.617 20 0 DDADMM C[C@]1(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)C=CCC1 ZINC001085300957 759243063 /nfs/dbraw/zinc/24/30/63/759243063.db2.gz NVDYQGKIUOZXAS-HSMVNMDESA-N -1 1 319.409 1.384 20 0 DDADMM O=C(C[C@@H](O)c1cccc(F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000826609277 759294043 /nfs/dbraw/zinc/29/40/43/759294043.db2.gz CWVGCPQXRBRLAJ-CYBMUJFWSA-N -1 1 319.340 1.169 20 0 DDADMM CN(C(=O)[C@@]1(C)CC(c2ccccc2Cl)=NO1)c1nn[n-]n1 ZINC000827659399 759360507 /nfs/dbraw/zinc/36/05/07/759360507.db2.gz RINCPCBNPJPBAB-CYBMUJFWSA-N -1 1 320.740 1.399 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1cccs1 ZINC001019371817 759864243 /nfs/dbraw/zinc/86/42/43/759864243.db2.gz NBIHIYQQLJXTFE-ZJUUUORDSA-N -1 1 321.406 1.066 20 0 DDADMM C[C@@H](CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001001543973 762947981 /nfs/dbraw/zinc/94/79/81/762947981.db2.gz LNRNBYVIUOEYSQ-NSHDSACASA-N -1 1 317.389 1.412 20 0 DDADMM O=C(NCC1CN(C(=O)C2(C3CC3)CC2)C1)c1ncccc1[O-] ZINC001001543980 762948052 /nfs/dbraw/zinc/94/80/52/762948052.db2.gz FHSKFRRJLFQYNW-UHFFFAOYSA-N -1 1 315.373 1.166 20 0 DDADMM Cc1cnc(C)nc1N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001108946328 763158380 /nfs/dbraw/zinc/15/83/80/763158380.db2.gz GXNQIVPFEOHMSR-LLVKDONJSA-N -1 1 315.377 1.449 20 0 DDADMM C/C=C(\C)C(=O)N[C@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050524118 763706033 /nfs/dbraw/zinc/70/60/33/763706033.db2.gz UNVPOWUSEUXZOU-UDLQBDOPSA-N -1 1 317.389 1.720 20 0 DDADMM CC(C)(C)CCN1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001050890139 764238506 /nfs/dbraw/zinc/23/85/06/764238506.db2.gz CLPJSHXLAOCHDP-CYBMUJFWSA-N -1 1 321.421 1.654 20 0 DDADMM O=C([O-])Cc1c[nH]c2cc(Cl)c(NC[C@H]3COCCN3)cc12 ZINC001170047132 764391084 /nfs/dbraw/zinc/39/10/84/764391084.db2.gz KAJOFYPYQBQELJ-JTQLQIEISA-N -1 1 323.780 1.849 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]1CNCc1cnsn1 ZINC001045008750 766025661 /nfs/dbraw/zinc/02/56/61/766025661.db2.gz PYQFXJOTOHJDRF-NSHDSACASA-N -1 1 319.390 1.033 20 0 DDADMM CCCNc1ccnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170213484 766172773 /nfs/dbraw/zinc/17/27/73/766172773.db2.gz KXGLRAMZTFHKHI-UHFFFAOYSA-N -1 1 302.298 1.071 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cnc(-c4ccccc4)nc3)c2[nH]1 ZINC001170219455 766177503 /nfs/dbraw/zinc/17/75/03/766177503.db2.gz QZVNMBMQPHNCFA-UHFFFAOYSA-N -1 1 321.300 1.916 20 0 DDADMM COc1cc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)ccc1F ZINC001129519876 766924522 /nfs/dbraw/zinc/92/45/22/766924522.db2.gz IXQGLFRBKUUCSH-UHFFFAOYSA-N -1 1 317.280 1.126 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(Cc2nccs2)C1 ZINC001046262715 767321465 /nfs/dbraw/zinc/32/14/65/767321465.db2.gz XCZXLBXHGNKPLR-HNNXBMFYSA-N -1 1 318.402 1.638 20 0 DDADMM O=C(CCc1ccc(Cl)cc1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001131986236 768539885 /nfs/dbraw/zinc/53/98/85/768539885.db2.gz JJGODBROQVDBID-UHFFFAOYSA-N -1 1 323.784 1.002 20 0 DDADMM CC/C=C(/C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648685 768643546 /nfs/dbraw/zinc/64/35/46/768643546.db2.gz SWUPMGHAVHMTJY-MVXISAMASA-N -1 1 317.389 1.864 20 0 DDADMM CCC(C)(C)C(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001132252787 768706788 /nfs/dbraw/zinc/70/67/88/768706788.db2.gz KHKIMWRFMSNJQR-VXGBXAGGSA-N -1 1 323.441 1.014 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1Cc1ccon1 ZINC001071455389 769460810 /nfs/dbraw/zinc/46/08/10/769460810.db2.gz ZVCCRPYANLYVAT-RYUDHWBXSA-N -1 1 316.361 1.558 20 0 DDADMM CSc1ncc(C(=O)Nc2nc3cc[nH]cc-3n2)c(=O)[n-]1 ZINC001137998651 770799753 /nfs/dbraw/zinc/79/97/53/770799753.db2.gz LEJUMNXLZRSEKF-UHFFFAOYSA-N -1 1 302.319 1.428 20 0 DDADMM CN(C)c1nc(CCNC(=O)C(=O)c2ccc([O-])cc2)cs1 ZINC001143354494 772278989 /nfs/dbraw/zinc/27/89/89/772278989.db2.gz DRNMLRDQDFHWDO-UHFFFAOYSA-N -1 1 319.386 1.456 20 0 DDADMM COC(=O)N1CCCN(Cc2cc(F)c([O-])cc2F)CC1 ZINC001144647675 772587351 /nfs/dbraw/zinc/58/73/51/772587351.db2.gz LEGRQWTXNAFTCP-UHFFFAOYSA-N -1 1 300.305 1.945 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[C@H](N3CCOC3=O)C2)c1 ZINC001146248364 772825665 /nfs/dbraw/zinc/82/56/65/772825665.db2.gz YUTCMZQAISFTNS-LBPRGKRZSA-N -1 1 318.329 1.180 20 0 DDADMM CCN(CC(=O)OC(C)(C)C)C(=O)c1c(CO)cnc(C)c1[O-] ZINC001147838335 773264348 /nfs/dbraw/zinc/26/43/48/773264348.db2.gz ODUPSDFPYSFSRG-UHFFFAOYSA-N -1 1 324.377 1.392 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CC(F)(F)C[C@@]2(C)CF)c1[O-] ZINC001147837181 773264596 /nfs/dbraw/zinc/26/45/96/773264596.db2.gz WKWAPRWUOGOIFK-ZDUSSCGKSA-N -1 1 318.295 1.797 20 0 DDADMM Cc1nc2c(o1)CCN(C(=O)c1c(CO)cnc(C)c1[O-])C2 ZINC001147840976 773265345 /nfs/dbraw/zinc/26/53/45/773265345.db2.gz MHVCNZIBDPHZSD-UHFFFAOYSA-N -1 1 303.318 1.083 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3C[C@@H](C)C[C@H]3CO)cc2)[n-]1 ZINC001148328908 773437270 /nfs/dbraw/zinc/43/72/70/773437270.db2.gz ANPOLDZLSOJCTQ-NHYWBVRUSA-N -1 1 315.373 1.642 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073868483 773484536 /nfs/dbraw/zinc/48/45/36/773484536.db2.gz MFGIYKIRDIXYOH-FZXKYSEESA-N -1 1 317.389 1.720 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(O)c(C(C)=O)c2)n1 ZINC001148656543 773544781 /nfs/dbraw/zinc/54/47/81/773544781.db2.gz XLSRKWXDNRSAFC-UHFFFAOYSA-N -1 1 317.301 1.747 20 0 DDADMM O=C(N[C@H]1CCC(=O)NC1=O)c1cccc(-c2ccccc2)c1[O-] ZINC001148870277 773622551 /nfs/dbraw/zinc/62/25/51/773622551.db2.gz RDZWSHGPSXNBGZ-AWEZNQCLSA-N -1 1 324.336 1.594 20 0 DDADMM CN1CCN(C(=O)c2cc([O-])c(Br)c(O)c2)CC1 ZINC001148981159 773663682 /nfs/dbraw/zinc/66/36/82/773663682.db2.gz CWYSXAIRQVXLOQ-UHFFFAOYSA-N -1 1 315.167 1.248 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001074741456 774093254 /nfs/dbraw/zinc/09/32/54/774093254.db2.gz VBKKKULFSPEUSP-QCNOEVLYSA-N -1 1 321.425 1.272 20 0 DDADMM CC(C)c1cc(N(C)CCNC(=O)c2ncccc2[O-])ncn1 ZINC001100070977 775645480 /nfs/dbraw/zinc/64/54/80/775645480.db2.gz MMIMRIBUFACSBK-UHFFFAOYSA-N -1 1 315.377 1.567 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])c1ncccn1 ZINC001101125683 776927264 /nfs/dbraw/zinc/92/72/64/776927264.db2.gz IZMUWAJRJDTTPK-UHFFFAOYSA-N -1 1 301.350 1.224 20 0 DDADMM CC(=O)Nc1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1 ZINC001173978976 777393740 /nfs/dbraw/zinc/39/37/40/777393740.db2.gz FEIQGRCBBLQIIM-UHFFFAOYSA-N -1 1 320.374 1.610 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3c(c2)CCO3)c1 ZINC001174011860 777394082 /nfs/dbraw/zinc/39/40/82/777394082.db2.gz LXXCSRODFCOHHD-UHFFFAOYSA-N -1 1 305.359 1.587 20 0 DDADMM COCc1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1 ZINC001174923894 777635288 /nfs/dbraw/zinc/63/52/88/777635288.db2.gz KYPHIJRLMALTNX-UHFFFAOYSA-N -1 1 307.375 1.798 20 0 DDADMM CCOC(=O)c1nnsc1[N-]c1ccc(OC)nc1OC ZINC001175586371 777833917 /nfs/dbraw/zinc/83/39/17/777833917.db2.gz FFAGUSJJXFHZET-UHFFFAOYSA-N -1 1 310.335 1.871 20 0 DDADMM CCC[C@@H](CC)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102485254 778165292 /nfs/dbraw/zinc/16/52/92/778165292.db2.gz MMIBLDWHZSGGLG-JHJVBQTASA-N -1 1 323.441 1.521 20 0 DDADMM COC(=O)c1sc2c(c1NC(=O)Cc1nn[n-]n1)CCCC2 ZINC001176839679 778271442 /nfs/dbraw/zinc/27/14/42/778271442.db2.gz BJYPLFZJLIBHKO-UHFFFAOYSA-N -1 1 321.362 1.108 20 0 DDADMM COC[C@H](NC(=O)C=CSc1ccccc1)c1nn[n-]n1 ZINC001177826867 778732868 /nfs/dbraw/zinc/73/28/68/778732868.db2.gz BUXOZQUEVDHKKG-TVRMLOFPSA-N -1 1 305.363 1.309 20 0 DDADMM COC[C@H](NC(=O)/C=C\Sc1ccccc1)c1nn[n-]n1 ZINC001177826867 778732872 /nfs/dbraw/zinc/73/28/72/778732872.db2.gz BUXOZQUEVDHKKG-TVRMLOFPSA-N -1 1 305.363 1.309 20 0 DDADMM COC[C@@H](NC(=O)C/C=C\Cc1ccccc1)c1nn[n-]n1 ZINC001177828260 778733634 /nfs/dbraw/zinc/73/36/34/778733634.db2.gz MILYQXFSTWPPEZ-CFHLNLSMSA-N -1 1 301.350 1.192 20 0 DDADMM C[C@H](C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O)n1ccnc1 ZINC001177922572 778786187 /nfs/dbraw/zinc/78/61/87/778786187.db2.gz WSWZWOWWZLKVNX-SSDOTTSWSA-N -1 1 305.298 1.042 20 0 DDADMM CC(C)(Cc1ccncc1)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001178247168 778951116 /nfs/dbraw/zinc/95/11/16/778951116.db2.gz QIDZVBAPUCNURX-UHFFFAOYSA-N -1 1 303.322 1.072 20 0 DDADMM Cc1cnc(C)nc1NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001103917252 779168767 /nfs/dbraw/zinc/16/87/67/779168767.db2.gz HVSSYEGCDNMJBL-SNVBAGLBSA-N -1 1 315.377 1.672 20 0 DDADMM CCCCOC(=O)[C@H](C)NC(=O)C(F)(F)C(F)(F)C(=O)[O-] ZINC001180176036 779635500 /nfs/dbraw/zinc/63/55/00/779635500.db2.gz IUQXWKPNTHRTBD-LURJTMIESA-N -1 1 317.235 1.190 20 0 DDADMM CC(F)(F)C1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CC1 ZINC001118421255 781119493 /nfs/dbraw/zinc/11/94/93/781119493.db2.gz JMQPACXUVNMJCP-UHFFFAOYSA-N -1 1 311.284 1.922 20 0 DDADMM CC(C)c1nc(CNCCN(C)C(=O)c2ncccc2[O-])no1 ZINC001266681884 836659705 /nfs/dbraw/zinc/65/97/05/836659705.db2.gz GNXUEZGPIVGFSU-UHFFFAOYSA-N -1 1 319.365 1.155 20 0 DDADMM C[C@@H](CNC(=O)c1cc2ccccc2o1)NCc1n[nH]c(=O)[n-]1 ZINC001266990321 837157776 /nfs/dbraw/zinc/15/77/76/837157776.db2.gz ZAEXDXYHJWLQHW-VIFPVBQESA-N -1 1 315.333 1.165 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N(C)CCNC(=O)c2ncccc2[O-])C1 ZINC001408554146 837233423 /nfs/dbraw/zinc/23/34/23/837233423.db2.gz CYXMLWSVAQOOEG-NEPJUHHUSA-N -1 1 305.378 1.412 20 0 DDADMM CN(CCNC(=O)[C@H]1CCC1(F)F)C(=O)c1ncccc1[O-] ZINC001408603817 837962486 /nfs/dbraw/zinc/96/24/86/837962486.db2.gz SEYJAFMQLQNWGA-SECBINFHSA-N -1 1 313.304 1.021 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@H](C)[C@@H]2C)[n-]n1 ZINC001414185511 839007891 /nfs/dbraw/zinc/00/78/91/839007891.db2.gz DECVSLHVRZANQW-LPEHRKFASA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@H](C)[C@@H]2C)n[n-]1 ZINC001414185511 839007897 /nfs/dbraw/zinc/00/78/97/839007897.db2.gz DECVSLHVRZANQW-LPEHRKFASA-N -1 1 315.395 1.299 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])C(=O)CC1(C)CC1 ZINC001408864870 839243469 /nfs/dbraw/zinc/24/34/69/839243469.db2.gz MDYBCAHCUNJSBO-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM O=C(NC[C@@H]1CC[N@@H+]1CCOCC1CC1)c1ncccc1[O-] ZINC001269223181 841375291 /nfs/dbraw/zinc/37/52/91/841375291.db2.gz LZVLHEWUPQRLIN-ZDUSSCGKSA-N -1 1 305.378 1.018 20 0 DDADMM NC(=O)[C@@H]1CCC2(C1)CCN(C(=O)c1ncccc1[O-])CC2 ZINC001269345753 841541703 /nfs/dbraw/zinc/54/17/03/841541703.db2.gz FUGNMCUXCWBSQC-LLVKDONJSA-N -1 1 303.362 1.295 20 0 DDADMM C[C@H]1CCN(C(=O)c2cccc([O-])c2F)CCS1(=O)=O ZINC001362479301 841541753 /nfs/dbraw/zinc/54/17/53/841541753.db2.gz CFCHIGDHANQURD-VIFPVBQESA-N -1 1 301.339 1.181 20 0 DDADMM NC(=O)[C@@H]1CC12CCN(C(=O)Cc1ccc([O-])c(Cl)c1)CC2 ZINC001269509233 841714083 /nfs/dbraw/zinc/71/40/83/841714083.db2.gz JEUXLAIWUQUUPC-NSHDSACASA-N -1 1 322.792 1.702 20 0 DDADMM CN(C)C(=O)c1[nH]nc2c1CN(C(=O)c1ccccc1[S-])C2 ZINC001269635465 841883311 /nfs/dbraw/zinc/88/33/11/841883311.db2.gz FRJYCOFDTKRKSS-UHFFFAOYSA-N -1 1 316.386 1.556 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)NC(=O)c1ccc(F)c([O-])c1 ZINC001362859188 842041398 /nfs/dbraw/zinc/04/13/98/842041398.db2.gz LJFRACCATCADPP-MRVPVSSYSA-N -1 1 309.215 1.755 20 0 DDADMM CCCC(=O)N(C)C[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001408991394 842781671 /nfs/dbraw/zinc/78/16/71/842781671.db2.gz RCMLFFNMDAHNMB-GFCCVEGCSA-N -1 1 305.378 1.508 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)c1ccsc1 ZINC001363866146 842793275 /nfs/dbraw/zinc/79/32/75/842793275.db2.gz VHZNXIRVPHOWFC-ZETCQYMHSA-N -1 1 315.376 1.297 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1nccc2ccccc21 ZINC001149157762 861474330 /nfs/dbraw/zinc/47/43/30/861474330.db2.gz HBIYQQZYYNIIBJ-UHFFFAOYSA-N -1 1 322.284 1.516 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cc(F)c[nH]1 ZINC001409261328 844726405 /nfs/dbraw/zinc/72/64/05/844726405.db2.gz IGDAJEPTIXCFHV-SNVBAGLBSA-N -1 1 320.324 1.193 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)c(C)s1 ZINC001409407630 845054736 /nfs/dbraw/zinc/05/47/36/845054736.db2.gz WEQORPNHIZHHSM-BDAKNGLRSA-N -1 1 323.422 1.485 20 0 DDADMM O=C(c1ccc2cccnc2c1[O-])N1C[C@H](CO)C[C@H](F)C1 ZINC001149320571 861545520 /nfs/dbraw/zinc/54/55/20/861545520.db2.gz ZTJIIYJEIODXHZ-PWSUYJOCSA-N -1 1 304.321 1.733 20 0 DDADMM Cn1cc2c(n1)CCN(C(=O)c1ccc3cccnc3c1[O-])C2 ZINC001149320190 861545966 /nfs/dbraw/zinc/54/59/66/861545966.db2.gz KQRLGTWITKXXOW-UHFFFAOYSA-N -1 1 308.341 1.872 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](C)N(C)Cc2cccnc2C)c1[O-] ZINC001409536305 845261582 /nfs/dbraw/zinc/26/15/82/845261582.db2.gz FESSSSJRWDOWKD-JTQLQIEISA-N -1 1 317.393 1.377 20 0 DDADMM CC(=O)NC[C@@H](C)CNC(=O)c1ccc2cccnc2c1[O-] ZINC001149328377 861549718 /nfs/dbraw/zinc/54/97/18/861549718.db2.gz HSQZMHXUZHXRFH-SNVBAGLBSA-N -1 1 301.346 1.442 20 0 DDADMM C[C@@H]1[C@@H](OCCCC[P@](=O)([O-])O)CN1C(=O)OC(C)(C)C ZINC001225019566 845782137 /nfs/dbraw/zinc/78/21/37/845782137.db2.gz YMFSASSPWGZTGQ-MNOVXSKESA-N -1 1 323.326 1.969 20 0 DDADMM CN1CCC2(CCN(C(=O)c3cc([O-])cnc3Cl)CC2)C1=O ZINC001272386663 846091457 /nfs/dbraw/zinc/09/14/57/846091457.db2.gz LKBVHIWRAHQBMT-UHFFFAOYSA-N -1 1 323.780 1.525 20 0 DDADMM CN1CCOC[C@H]1CNC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149656847 861789378 /nfs/dbraw/zinc/78/93/78/861789378.db2.gz PWVOMRQEFARWBN-GFCCVEGCSA-N -1 1 316.357 1.311 20 0 DDADMM COc1cc2c(cn1)CCN(C(=O)c1[n-][nH]c3cc(=O)ccc1-3)C2 ZINC001155266587 861911936 /nfs/dbraw/zinc/91/19/36/861911936.db2.gz JEZSBWBSNPVGCU-UHFFFAOYSA-N -1 1 324.340 1.871 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2cccs2)sn1 ZINC001364771527 848602995 /nfs/dbraw/zinc/60/29/95/848602995.db2.gz JJIWONMMGFWEPO-UHFFFAOYSA-N -1 1 304.418 1.734 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CC(C)(C)C ZINC001434575967 849401290 /nfs/dbraw/zinc/40/12/90/849401290.db2.gz QBJNTGUIZLRNDD-QMMMGPOBSA-N -1 1 303.384 1.299 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001411045452 850151779 /nfs/dbraw/zinc/15/17/79/850151779.db2.gz XQMCAOMJXFGTND-YVEFUNNKSA-N -1 1 319.405 1.802 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC[C@@H]3C[C@@]32C(=O)N2CC=CC2)n1 ZINC001274975078 852723680 /nfs/dbraw/zinc/72/36/80/852723680.db2.gz SLKKYCFRPYMSKZ-PXAZEXFGSA-N -1 1 313.357 1.099 20 0 DDADMM Cc1ccc(NC(=O)c2cnncc2[O-])cc1C(=O)NC(C)C ZINC001411780650 853722213 /nfs/dbraw/zinc/72/22/13/853722213.db2.gz CRDUHMCVYVOOKU-UHFFFAOYSA-N -1 1 314.345 1.881 20 0 DDADMM CCC[C@@H](OCC)C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001411798138 853752871 /nfs/dbraw/zinc/75/28/71/853752871.db2.gz GXYVSCJDAWGSNK-CYBMUJFWSA-N -1 1 319.365 1.922 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC[C@H](SC)C1 ZINC001411955867 854033766 /nfs/dbraw/zinc/03/37/66/854033766.db2.gz NEEPXZLHFCXOMV-QMMMGPOBSA-N -1 1 313.379 1.254 20 0 DDADMM CON1CCC(N(C)C(=O)c2nnc3ccccc3c2O)CC1 ZINC001412207310 854331767 /nfs/dbraw/zinc/33/17/67/854331767.db2.gz SGZGPHOMHYAULL-UHFFFAOYSA-N -1 1 316.361 1.433 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC(=O)C1CCC(F)(F)CC1 ZINC001412260258 854386690 /nfs/dbraw/zinc/38/66/90/854386690.db2.gz LDJWRTLPHJLIOJ-UHFFFAOYSA-N -1 1 315.324 1.033 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@]2(C)CCCOC2)[n-]c1Cl ZINC001412299195 854420686 /nfs/dbraw/zinc/42/06/86/854420686.db2.gz FBPDVHNSFZHDBV-CYBMUJFWSA-N -1 1 315.757 1.995 20 0 DDADMM CC(=O)NC[C@@H](C1CC1)N(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001412368322 854480690 /nfs/dbraw/zinc/48/06/90/854480690.db2.gz VLRUZAAAVMLATJ-ZDUSSCGKSA-N -1 1 318.377 1.046 20 0 DDADMM O=C(Nc1cc(CO)cc(CO)c1)c1c(F)ccc([O-])c1F ZINC001412400440 854508773 /nfs/dbraw/zinc/50/87/73/854508773.db2.gz NLZQVINHXKYRBC-UHFFFAOYSA-N -1 1 309.268 1.907 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCN(Cc2cscn2)CC1 ZINC001412457573 854573330 /nfs/dbraw/zinc/57/33/30/854573330.db2.gz QYBSXLCWGBZXKC-UHFFFAOYSA-N -1 1 321.377 1.946 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1CCCc2n[nH]cc21 ZINC001412527402 854660564 /nfs/dbraw/zinc/66/05/64/854660564.db2.gz JCWMTCRVMPMSON-JTQLQIEISA-N -1 1 303.370 1.028 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cnc([C@@H](C)O)s1 ZINC001412533596 854675650 /nfs/dbraw/zinc/67/56/50/854675650.db2.gz XRPVEFFZKVERSK-MRVPVSSYSA-N -1 1 310.383 1.008 20 0 DDADMM CCN(Cc1ccccc1F)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001328052613 862660162 /nfs/dbraw/zinc/66/01/62/862660162.db2.gz QTYYIRJGPXNUIU-UHFFFAOYSA-N -1 1 319.336 1.115 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCOC[C@@H]1[C@@H]1CCCC1=O ZINC001412813884 855301066 /nfs/dbraw/zinc/30/10/66/855301066.db2.gz FTMSZANVYMUEFE-CMPLNLGQSA-N -1 1 307.321 1.742 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1Cc2cccnc2C1)c1nn[n-]n1 ZINC001412936381 855800878 /nfs/dbraw/zinc/80/08/78/855800878.db2.gz OQVULUQTMMAOFW-LLVKDONJSA-N -1 1 300.366 1.141 20 0 DDADMM CO[C@H]([C@@H](C)NC(=O)c1c(C)[n-]c(=O)nc1SC)C1CC1 ZINC001412966323 855825923 /nfs/dbraw/zinc/82/59/23/855825923.db2.gz KNOZNNFHKJCOJO-LDYMZIIASA-N -1 1 311.407 1.756 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2CCOC3(CCC3)C2)c(=O)[n-]1 ZINC001412985448 855867228 /nfs/dbraw/zinc/86/72/28/855867228.db2.gz SEPFRZXDLMUSRQ-SNVBAGLBSA-N -1 1 323.418 1.983 20 0 DDADMM C[C@H]1[C@H](NC(=O)CC2(C)CC2)CCN1C(=O)c1ncccc1[O-] ZINC001413032066 855995504 /nfs/dbraw/zinc/99/55/04/855995504.db2.gz NNMQIRORUGVJCC-NWDGAFQWSA-N -1 1 317.389 1.697 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCN(c2nccs2)CC1 ZINC001413147342 856484975 /nfs/dbraw/zinc/48/49/75/856484975.db2.gz AQFZANUCXYYZHY-UHFFFAOYSA-N -1 1 307.350 1.950 20 0 DDADMM CC1(C(=O)NC[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001413161885 856502589 /nfs/dbraw/zinc/50/25/89/856502589.db2.gz BPEXVQISJBDDNL-NEPJUHHUSA-N -1 1 317.389 1.602 20 0 DDADMM CC[C@@H](NC(=O)c1ccc([O-])cn1)C(=O)NCc1cccs1 ZINC001413179038 856518047 /nfs/dbraw/zinc/51/80/47/856518047.db2.gz FWMHCHSNVLXIQF-GFCCVEGCSA-N -1 1 319.386 1.674 20 0 DDADMM NS(=O)(=O)c1cccc(NCc2ccc([O-])c(F)c2F)c1 ZINC001413210236 856552414 /nfs/dbraw/zinc/55/24/14/856552414.db2.gz XOODDKYDIZQRNY-UHFFFAOYSA-N -1 1 314.313 1.930 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)c2ncnn21 ZINC001413274782 856601165 /nfs/dbraw/zinc/60/11/65/856601165.db2.gz YUOSEWZPQGKYMP-GMSGAONNSA-N -1 1 300.322 1.087 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@H]2CC(C)(C)C)[n-]n1 ZINC001413399540 856762854 /nfs/dbraw/zinc/76/28/54/856762854.db2.gz PHOAOBIUUARFLF-DTWKUNHWSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@H]2CC(C)(C)C)n[n-]1 ZINC001413399540 856762862 /nfs/dbraw/zinc/76/28/62/856762862.db2.gz PHOAOBIUUARFLF-DTWKUNHWSA-N -1 1 315.395 1.299 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCCC[C@@H]1CN1CCCC1=O ZINC001413413525 856779844 /nfs/dbraw/zinc/77/98/44/856779844.db2.gz DCAMUTZKARBAOM-GFCCVEGCSA-N -1 1 303.362 1.404 20 0 DDADMM O=C(NC[C@@H]1CCN(CC(F)F)C1)c1cccc([O-])c1F ZINC001413476085 856861405 /nfs/dbraw/zinc/86/14/05/856861405.db2.gz WPAVRRBNGGLSMV-VIFPVBQESA-N -1 1 302.296 1.848 20 0 DDADMM O=C(N[C@@H]1Cc2cccnc2NC1=O)c1c([O-])cccc1Cl ZINC001413509924 856910140 /nfs/dbraw/zinc/91/01/40/856910140.db2.gz HVDCLEJVWFTPJY-SNVBAGLBSA-N -1 1 317.732 1.734 20 0 DDADMM Cc1ccc(CC(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)s1 ZINC001413649874 857284874 /nfs/dbraw/zinc/28/48/74/857284874.db2.gz GSXDFBKVDRAWHX-UHFFFAOYSA-N -1 1 321.402 1.810 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2nccs2)CC1 ZINC001123277901 859158163 /nfs/dbraw/zinc/15/81/63/859158163.db2.gz PJJRPVBOCXCWKD-KXMPLOMGSA-N -1 1 309.391 1.164 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@@H](n2ccnc2)C1 ZINC001123645272 859341897 /nfs/dbraw/zinc/34/18/97/859341897.db2.gz OUBSUYOJRSFSCO-SNVBAGLBSA-N -1 1 319.390 1.453 20 0 DDADMM [O-]c1cnccc1CN1CCn2c(Br)cnc2C1 ZINC001140277502 860609022 /nfs/dbraw/zinc/60/90/22/860609022.db2.gz BSAWKWUNLAEKHX-UHFFFAOYSA-N -1 1 309.167 1.762 20 0 DDADMM CC(=O)N(C)C1CCN(Cc2[nH]c(C)c(C(=O)[O-])c2C)CC1 ZINC001140496748 860644843 /nfs/dbraw/zinc/64/48/43/860644843.db2.gz PXXBAWUNOZZQHB-UHFFFAOYSA-N -1 1 307.394 1.772 20 0 DDADMM [O-]c1ccc(CN2CCN(c3cnccn3)CC2)c(F)c1F ZINC001140889193 860725608 /nfs/dbraw/zinc/72/56/08/860725608.db2.gz AYMSHLOBKMYVSG-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM COC(=O)C[C@@H]1CN(Cc2ccc([O-])c(F)c2F)CCO1 ZINC001140893925 860726320 /nfs/dbraw/zinc/72/63/20/860726320.db2.gz LPMMOBGTYWHZLH-SNVBAGLBSA-N -1 1 301.289 1.434 20 0 DDADMM COCC(=O)N[C@@H]1CCCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001140894661 860726654 /nfs/dbraw/zinc/72/66/54/860726654.db2.gz DPAHFNRVLKJLJC-LLVKDONJSA-N -1 1 314.332 1.397 20 0 DDADMM COc1ccc2ncc([O-])c(C(=O)n3c(N)csc3=N)c2c1 ZINC001154507355 861155263 /nfs/dbraw/zinc/15/52/63/861155263.db2.gz RSQMHBCGZJNBJX-UHFFFAOYSA-N -1 1 316.342 1.562 20 0 DDADMM CN(CCC1OCCO1)C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152672365 863486336 /nfs/dbraw/zinc/48/63/36/863486336.db2.gz MHDGEYBHVQSJLH-UHFFFAOYSA-N -1 1 318.329 1.481 20 0 DDADMM CO[C@@H]1COCC[C@H]1NC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152679507 863487195 /nfs/dbraw/zinc/48/71/95/863487195.db2.gz BJKIZZNOWNCFLO-QMTHXVAHSA-N -1 1 318.329 1.180 20 0 DDADMM Cc1cc2ccccc2c(N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)n1 ZINC001157071172 863551480 /nfs/dbraw/zinc/55/14/80/863551480.db2.gz PJWHAVWAAUPPJX-CABZTGNLSA-N -1 1 321.358 1.357 20 0 DDADMM CC(C)CC1(C(=O)N[C@@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001381403438 881682445 /nfs/dbraw/zinc/68/24/45/881682445.db2.gz HCIGWGTVHDYZIZ-RYUDHWBXSA-N -1 1 323.441 1.710 20 0 DDADMM Cc1cc2c(c(NCc3cccc4cn(C)nc43)n1)C(=O)[N-]C2=O ZINC001157418852 863804502 /nfs/dbraw/zinc/80/45/02/863804502.db2.gz YLALVGVADFZNBW-UHFFFAOYSA-N -1 1 321.340 1.772 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1nccc2ccccc21 ZINC001157880748 864215546 /nfs/dbraw/zinc/21/55/46/864215546.db2.gz HIJKVRRHTUFMOM-UHFFFAOYSA-N -1 1 322.324 1.704 20 0 DDADMM CCOC(=O)CC(=N)NC(=O)c1c(O)cc2ccccc2c1[O-] ZINC001154030795 864341114 /nfs/dbraw/zinc/34/11/14/864341114.db2.gz JSJBDPWPNYGQRB-UHFFFAOYSA-N -1 1 316.313 1.911 20 0 DDADMM CC1(C)CCN(C(=O)C(=O)c2ccc([O-])cc2)CC[S@]1=O ZINC001158109183 864433755 /nfs/dbraw/zinc/43/37/55/864433755.db2.gz MHFDIXLXXTUBGZ-OAQYLSRUSA-N -1 1 309.387 1.335 20 0 DDADMM COc1cncc(CCNc2nc(C)cc3c2C(=O)[N-]C3=O)c1 ZINC001158385913 864617757 /nfs/dbraw/zinc/61/77/57/864617757.db2.gz CIQWCZLLKCYQLB-UHFFFAOYSA-N -1 1 312.329 1.332 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@@H]1CCCCN1Cc1ccncc1 ZINC001330803913 864618914 /nfs/dbraw/zinc/61/89/14/864618914.db2.gz GSBJPBGLONWDBE-HOTGVXAUSA-N -1 1 321.421 1.501 20 0 DDADMM Cc1cc2c(c(NC(C)(C)C(=O)OC(C)(C)C)n1)C(=O)[N-]C2=O ZINC001158644533 864781811 /nfs/dbraw/zinc/78/18/11/864781811.db2.gz OWEHXYIFLBVJFT-UHFFFAOYSA-N -1 1 319.361 1.806 20 0 DDADMM CCOc1nc(C(=O)Nc2[n-]c(C(=O)OC)cc2C(C)=O)co1 ZINC001361517023 881813958 /nfs/dbraw/zinc/81/39/58/881813958.db2.gz UXEGLXCTBVPGEU-UHFFFAOYSA-N -1 1 321.289 1.643 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(-c4ccccc4)nn3)c2[nH]1 ZINC001159185533 865153798 /nfs/dbraw/zinc/15/37/98/865153798.db2.gz KERMTOXSPNQYHN-UHFFFAOYSA-N -1 1 321.300 1.916 20 0 DDADMM CSc1cnc(Cl)c(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159199703 865164147 /nfs/dbraw/zinc/16/41/47/865164147.db2.gz QLHJPOHBLLCVSM-UHFFFAOYSA-N -1 1 309.742 1.967 20 0 DDADMM COc1cc(CNC(=O)c2ccc([O-])cn2)cc(OC)c1OC ZINC001361602084 881982691 /nfs/dbraw/zinc/98/26/91/881982691.db2.gz SRQHWAIBPGRHSB-UHFFFAOYSA-N -1 1 318.329 1.743 20 0 DDADMM CN(C)Cc1cc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)no1 ZINC001361604669 881988430 /nfs/dbraw/zinc/98/84/30/881988430.db2.gz IPSRYVZWLGCECP-UHFFFAOYSA-N -1 1 317.349 1.363 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N(C1CC1)C1CC1 ZINC001333320046 866632067 /nfs/dbraw/zinc/63/20/67/866632067.db2.gz VHMHUHAVXZBTQS-UHFFFAOYSA-N -1 1 324.327 1.483 20 0 DDADMM CSc1ncc(C(=O)NCCc2csc(C)n2)c(=O)[n-]1 ZINC001361618823 882018273 /nfs/dbraw/zinc/01/82/73/882018273.db2.gz RAXLXBUQGNMFDS-UHFFFAOYSA-N -1 1 310.404 1.642 20 0 DDADMM C[S@](=O)CC[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001324860264 867583711 /nfs/dbraw/zinc/58/37/11/867583711.db2.gz RPRUNMKXZKOTNQ-INIZCTEOSA-N -1 1 317.219 1.045 20 0 DDADMM Cn1ccc(-c2nc(CN[C@@H]3C=C[C@H](C(=O)[O-])C3)cs2)n1 ZINC001334840720 867858516 /nfs/dbraw/zinc/85/85/16/867858516.db2.gz FAVIWFJCTJEMKG-VHSXEESVSA-N -1 1 304.375 1.663 20 0 DDADMM C[C@@H]1CC/C(=C\C(=O)N2CCN([C@@]3(C(=O)[O-])CCOC3)CC2)C1 ZINC001334961127 867949347 /nfs/dbraw/zinc/94/93/47/867949347.db2.gz HHYQISBKDXTHCY-YBVXIUISSA-N -1 1 322.405 1.121 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]1c1cc(C)on1 ZINC001361694853 882175379 /nfs/dbraw/zinc/17/53/79/882175379.db2.gz GGSBVVIAPZIDCW-VIFPVBQESA-N -1 1 320.305 1.170 20 0 DDADMM C[C@@H](CCCNC(=O)CC1CC1)NC(=O)c1ncccc1[O-] ZINC001335999650 868670550 /nfs/dbraw/zinc/67/05/50/868670550.db2.gz OEHYTCYCCZAFRY-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM Cc1cc2c(c(N3CCC(=O)[C@H]4CCCC[C@@H]43)n1)C(=O)[N-]C2=O ZINC001163791566 868708753 /nfs/dbraw/zinc/70/87/53/868708753.db2.gz BDCXQUXLHXESQM-JQWIXIFHSA-N -1 1 313.357 1.612 20 0 DDADMM COC(=O)c1nc(NCC[N-]C(=O)C(F)(F)F)ccc1C ZINC001164237697 869046048 /nfs/dbraw/zinc/04/60/48/869046048.db2.gz GQZMIPIHUMGIPT-UHFFFAOYSA-N -1 1 305.256 1.267 20 0 DDADMM O=C([N-]CCNc1ccc(-c2nnco2)cc1)C(F)(F)F ZINC001164243925 869050301 /nfs/dbraw/zinc/05/03/01/869050301.db2.gz LWPIRRWPVLSCCW-UHFFFAOYSA-N -1 1 300.240 1.827 20 0 DDADMM COc1cc(NCC[N-]C(=O)C(F)(F)F)ccc1NC(C)=O ZINC001164246304 869054228 /nfs/dbraw/zinc/05/42/28/869054228.db2.gz YDZFBFQCMQXQDL-UHFFFAOYSA-N -1 1 319.283 1.744 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CSCC(F)(F)F ZINC001363809725 887279855 /nfs/dbraw/zinc/27/98/55/887279855.db2.gz VTZPEBOKBSHDJS-UHFFFAOYSA-N -1 1 311.333 1.480 20 0 DDADMM CC(C)(C)C=CC(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001317009540 870115262 /nfs/dbraw/zinc/11/52/62/870115262.db2.gz FICGCDGNIPUNEI-XUIVZRPNSA-N -1 1 307.398 1.097 20 0 DDADMM C[C@]1(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)CC=CCC1 ZINC001317020512 870128553 /nfs/dbraw/zinc/12/85/53/870128553.db2.gz TUQAOHYXDQYXQV-LRDDRELGSA-N -1 1 319.409 1.241 20 0 DDADMM C[C@H](c1ccc(F)cc1)N(C)c1nnc(-c2nnn[n-]2)n1C ZINC001339543138 870547948 /nfs/dbraw/zinc/54/79/48/870547948.db2.gz HSGMIYTVTKCMDO-MRVPVSSYSA-N -1 1 302.317 1.332 20 0 DDADMM C[C@H](c1ccc(F)cc1)N(C)c1nnc(-c2nn[n-]n2)n1C ZINC001339543138 870547953 /nfs/dbraw/zinc/54/79/53/870547953.db2.gz HSGMIYTVTKCMDO-MRVPVSSYSA-N -1 1 302.317 1.332 20 0 DDADMM Cc1ccc([C@@H]2C[C@@H](C)N(c3nnc(-c4nnn[n-]4)n3C)C2)cc1 ZINC001339545123 870549500 /nfs/dbraw/zinc/54/95/00/870549500.db2.gz YCYPTLOVHIZGDW-DGCLKSJQSA-N -1 1 324.392 1.686 20 0 DDADMM Cc1ccc([C@@H]2C[C@@H](C)N(c3nnc(-c4nn[n-]n4)n3C)C2)cc1 ZINC001339545123 870549506 /nfs/dbraw/zinc/54/95/06/870549506.db2.gz YCYPTLOVHIZGDW-DGCLKSJQSA-N -1 1 324.392 1.686 20 0 DDADMM C/C=C\C[C@H]1CCCN(c2nnc(-c3noc(=O)[n-]3)n2C)C1 ZINC001340189815 870948952 /nfs/dbraw/zinc/94/89/52/870948952.db2.gz GJZUGAZGBWBFHY-XOULXFPDSA-N -1 1 304.354 1.341 20 0 DDADMM Cn1nc(-c2ccc(Cl)cc2)cc1C(=O)Nc1nn[n-]n1 ZINC001340513106 871165342 /nfs/dbraw/zinc/16/53/42/871165342.db2.gz DNHFXJPLQOTRSP-UHFFFAOYSA-N -1 1 303.713 1.506 20 0 DDADMM O=C(/C=C/Cn1cncn1)NCCc1c(F)cc([O-])cc1F ZINC001304012124 871177598 /nfs/dbraw/zinc/17/75/98/871177598.db2.gz GVAZEIOMSBFUPT-OWOJBTEDSA-N -1 1 308.288 1.177 20 0 DDADMM CSc1ncc(C(=O)Nc2c3n[nH]nc3ccc2C)c(=O)[n-]1 ZINC001305384612 871283844 /nfs/dbraw/zinc/28/38/44/871283844.db2.gz HFNJWKWGHPHDCI-UHFFFAOYSA-N -1 1 316.346 1.736 20 0 DDADMM C[C@@H]1CCc2nc(CNCCn3cc(C(=O)[O-])nn3)sc2C1 ZINC001339267892 871398801 /nfs/dbraw/zinc/39/88/01/871398801.db2.gz OBUWMUDYMAJUIS-SECBINFHSA-N -1 1 321.406 1.347 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCCC(C)(C)C ZINC001309170828 871555801 /nfs/dbraw/zinc/55/58/01/871555801.db2.gz MCDIMJUKGIHMDE-UHFFFAOYSA-N -1 1 317.411 1.691 20 0 DDADMM COCCn1c(-c2c[n-][nH]c2=O)nnc1N1CCC(C)=C(C)C1 ZINC001341248974 871574619 /nfs/dbraw/zinc/57/46/19/871574619.db2.gz UTAKDKQUIMBVSV-UHFFFAOYSA-N -1 1 318.381 1.567 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCCN(CCOCC(C)C)C2)c1[O-] ZINC001317926823 871627929 /nfs/dbraw/zinc/62/79/29/871627929.db2.gz UHRCOWMCZZLRTQ-CYBMUJFWSA-N -1 1 324.425 1.291 20 0 DDADMM COc1cc(CNC(=O)CCc2nn[n-]n2)ccc1OC(C)C ZINC001361878362 882558719 /nfs/dbraw/zinc/55/87/19/882558719.db2.gz QITJTKWGGWFFFN-UHFFFAOYSA-N -1 1 319.365 1.245 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC[C@@H](N2CCNC2=O)C1 ZINC001361880340 882562124 /nfs/dbraw/zinc/56/21/24/882562124.db2.gz KFOUFTHSGHYOGB-SNVBAGLBSA-N -1 1 307.325 1.161 20 0 DDADMM C[C@@H](Oc1cc(=O)[n-]c(N2CCOCC2)n1)c1nccs1 ZINC001226727963 882615527 /nfs/dbraw/zinc/61/55/27/882615527.db2.gz KPGCNFDHFLVKNL-SECBINFHSA-N -1 1 308.363 1.615 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@]1(C(=O)[O-])CNCCO1)C1CCCCC1 ZINC001344369344 872987957 /nfs/dbraw/zinc/98/79/57/872987957.db2.gz STANWLFANQOTMS-BLLLJJGKSA-N -1 1 312.410 1.104 20 0 DDADMM CCCN(CC)c1nnc(-c2nnn[n-]2)n1Cc1cccs1 ZINC001344632858 873061726 /nfs/dbraw/zinc/06/17/26/873061726.db2.gz KCOMYBNGYZOUJP-UHFFFAOYSA-N -1 1 318.410 1.804 20 0 DDADMM CCCN(CC)c1nnc(-c2nn[n-]n2)n1Cc1cccs1 ZINC001344632858 873061744 /nfs/dbraw/zinc/06/17/44/873061744.db2.gz KCOMYBNGYZOUJP-UHFFFAOYSA-N -1 1 318.410 1.804 20 0 DDADMM CN(CCCF)c1nnc(-c2nnn[n-]2)n1Cc1cccs1 ZINC001344907179 873170170 /nfs/dbraw/zinc/17/01/70/873170170.db2.gz QJZHNCUIXHYEKI-UHFFFAOYSA-N -1 1 322.373 1.364 20 0 DDADMM CN(CCCF)c1nnc(-c2nn[n-]n2)n1Cc1cccs1 ZINC001344907179 873170177 /nfs/dbraw/zinc/17/01/77/873170177.db2.gz QJZHNCUIXHYEKI-UHFFFAOYSA-N -1 1 322.373 1.364 20 0 DDADMM COCC[C@@H](NC(=O)c1c(C)[nH]c(=O)[n-]c1=S)c1ccco1 ZINC001346518837 873788722 /nfs/dbraw/zinc/78/87/22/873788722.db2.gz FZQYNRKZYQKWMK-SECBINFHSA-N -1 1 323.374 1.880 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H](O)Cc1ccccc1 ZINC001347065743 873998210 /nfs/dbraw/zinc/99/82/10/873998210.db2.gz JGCPZGVBLKNNSU-LLVKDONJSA-N -1 1 319.386 1.113 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)CCOCC(C)C)[n-]c1=O ZINC001347421447 874134734 /nfs/dbraw/zinc/13/47/34/874134734.db2.gz HDNBLVIVYMCSCR-UHFFFAOYSA-N -1 1 311.382 1.605 20 0 DDADMM Cc1nc(Cl)ccc1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001347673316 874238543 /nfs/dbraw/zinc/23/85/43/874238543.db2.gz XTBFKACRCXHOCZ-SNVBAGLBSA-N -1 1 320.784 1.651 20 0 DDADMM CCCC[C@H](C)C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001378336250 874711087 /nfs/dbraw/zinc/71/10/87/874711087.db2.gz RCLUYYKCCMNXDB-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM Nc1ncncc1C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC001362260401 883429047 /nfs/dbraw/zinc/42/90/47/883429047.db2.gz JPDHHRQHBOQIOH-UHFFFAOYSA-N -1 1 314.242 1.745 20 0 DDADMM CC1(C(=O)NCCNC(=O)c2cnc(C3CC3)[n-]c2=O)CCC1 ZINC001349015356 874925968 /nfs/dbraw/zinc/92/59/68/874925968.db2.gz JTHJPFISLQCXLU-UHFFFAOYSA-N -1 1 318.377 1.096 20 0 DDADMM Cc1ccc(CN2CCN(CCCCS(=O)(=O)[O-])CC2)[nH]1 ZINC001209576245 874981848 /nfs/dbraw/zinc/98/18/48/874981848.db2.gz UKBIXGJIEJBBOJ-UHFFFAOYSA-N -1 1 315.439 1.109 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](C)C(=O)OC(C)C)c(=O)[n-]1 ZINC001362042862 882900975 /nfs/dbraw/zinc/90/09/75/882900975.db2.gz KTESKTOZYGRSDP-MRVPVSSYSA-N -1 1 313.379 1.222 20 0 DDADMM O=CNc1cccc(NC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC001210099708 875229890 /nfs/dbraw/zinc/22/98/90/875229890.db2.gz STMZAWPBFZRUOM-UHFFFAOYSA-N -1 1 315.337 1.180 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H](C)c2cc(Br)no2)n1 ZINC001228313433 883440022 /nfs/dbraw/zinc/44/00/22/883440022.db2.gz HBJDDFJGLZNDOG-SCSAIBSYSA-N -1 1 317.099 1.482 20 0 DDADMM COC(=O)c1nc(O[C@H](C)c2cc(Br)no2)n[n-]1 ZINC001228313433 883440027 /nfs/dbraw/zinc/44/00/27/883440027.db2.gz HBJDDFJGLZNDOG-SCSAIBSYSA-N -1 1 317.099 1.482 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cnc(N3CCC3)nc2)cc1 ZINC001210722783 875500623 /nfs/dbraw/zinc/50/06/23/875500623.db2.gz RVOPEGLKZSTFSK-UHFFFAOYSA-N -1 1 305.363 1.070 20 0 DDADMM CCCCCCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210932404 875602179 /nfs/dbraw/zinc/60/21/79/875602179.db2.gz PIHFSUODPJJXKM-GFCCVEGCSA-N -1 1 309.414 1.561 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3cc(OC)ccn3)no2)[n-]n1 ZINC001213463950 875946093 /nfs/dbraw/zinc/94/60/93/875946093.db2.gz UEIBSNCZWONRMF-UHFFFAOYSA-N -1 1 301.262 1.317 20 0 DDADMM COC(=O)/C=C\[C@@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001214071077 876160516 /nfs/dbraw/zinc/16/05/16/876160516.db2.gz ZKONRLQDJCHMQI-WAXIIBMWSA-N -1 1 305.302 1.361 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C\C(C)(C)C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001214358809 876297142 /nfs/dbraw/zinc/29/71/42/876297142.db2.gz NGODQGYWJAVHTJ-ADRPCIBZSA-N -1 1 307.398 1.049 20 0 DDADMM O=Cc1ccc(F)c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC001351534434 876309920 /nfs/dbraw/zinc/30/99/20/876309920.db2.gz UEIOJXUWPYYJAZ-SNVBAGLBSA-N -1 1 319.292 1.747 20 0 DDADMM O=C(c1cccc(Cn2ccnc2)c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001352585739 876816993 /nfs/dbraw/zinc/81/69/93/876816993.db2.gz QKGIOLWYQAYVED-CQSZACIVSA-N -1 1 323.360 1.074 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cc(N)ccc2N)cc(C)c1CO ZINC001216124598 876869536 /nfs/dbraw/zinc/86/95/36/876869536.db2.gz ZDCNKSIYPUYYHM-UHFFFAOYSA-N -1 1 321.402 1.761 20 0 DDADMM COc1ncc(Nc2ccccc2CO)cc1[N-]S(C)(=O)=O ZINC001216156069 876880780 /nfs/dbraw/zinc/88/07/80/876880780.db2.gz ZCNSXFRIECJTDF-UHFFFAOYSA-N -1 1 323.374 1.698 20 0 DDADMM Cc1nnc([C@@H](C)NC[C@@H](C)NC(=O)c2ncccc2[O-])s1 ZINC001379349576 876888045 /nfs/dbraw/zinc/88/80/45/876888045.db2.gz STUYJNMTONZAAX-RKDXNWHRSA-N -1 1 321.406 1.416 20 0 DDADMM CSc1ncc(C(=O)N2CCCC[C@@H]2c2ncon2)c(=O)[n-]1 ZINC001362116602 883081213 /nfs/dbraw/zinc/08/12/13/883081213.db2.gz CKBRPGFDSWPVTI-SECBINFHSA-N -1 1 321.362 1.655 20 0 DDADMM CCSCc1cccc(NC(=O)[C@]2(C(=O)[O-])CNCCO2)c1 ZINC001353370937 877285057 /nfs/dbraw/zinc/28/50/57/877285057.db2.gz QCIIROHPQBFJDW-HNNXBMFYSA-N -1 1 324.402 1.321 20 0 DDADMM CCC(CC)C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC001379626661 877566763 /nfs/dbraw/zinc/56/67/63/877566763.db2.gz DUFAPTXFRZVUFS-AAEUAGOBSA-N -1 1 323.441 1.014 20 0 DDADMM COCCOC[C@H]1CCN(Cc2nc(C(=O)[O-])cs2)C1 ZINC001356022882 878829040 /nfs/dbraw/zinc/82/90/40/878829040.db2.gz MWDYQUAWMRDBKI-JTQLQIEISA-N -1 1 300.380 1.326 20 0 DDADMM O=C(Nc1ccccc1-c1nccs1)NN1CC(=O)[N-]C1=O ZINC001220444813 879022961 /nfs/dbraw/zinc/02/29/61/879022961.db2.gz KSDFYVFTUHJMQT-UHFFFAOYSA-N -1 1 317.330 1.398 20 0 DDADMM CC(C)C(=O)N[C@H](C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001374336694 912584186 /nfs/dbraw/zinc/58/41/86/912584186.db2.gz JSNCWLFGPOVAQJ-GFCCVEGCSA-N -1 1 319.405 1.800 20 0 DDADMM CCCSCC(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001358649641 880687057 /nfs/dbraw/zinc/68/70/57/880687057.db2.gz LGGKWFROAUSRBY-UHFFFAOYSA-N -1 1 323.418 1.309 20 0 DDADMM FC1(F)CN(Cc2ccccc2)CC[C@@H]1OCc1nn[n-]n1 ZINC001223026625 880788378 /nfs/dbraw/zinc/78/83/78/880788378.db2.gz DCKAGKCMIMJFRW-LBPRGKRZSA-N -1 1 309.320 1.626 20 0 DDADMM CC1(C(=O)NCCC2(CNC(=O)c3ncccc3[O-])CC2)CC1 ZINC001413891039 881326106 /nfs/dbraw/zinc/32/61/06/881326106.db2.gz DXGWRVJGTDCALF-UHFFFAOYSA-N -1 1 317.389 1.604 20 0 DDADMM O=C(N[C@H]1CCN(c2ccccc2F)C1=O)c1ccc([O-])cn1 ZINC001362138924 883133946 /nfs/dbraw/zinc/13/39/46/883133946.db2.gz MNRJJAVJMFRKOW-ZDUSSCGKSA-N -1 1 315.304 1.462 20 0 DDADMM CCOC(=O)C(F)(F)[C@@H](CC)Oc1[n-]c(=O)nc2c1COC2 ZINC001227690294 883134111 /nfs/dbraw/zinc/13/41/11/883134111.db2.gz KTDYEWJMBSXKOM-SECBINFHSA-N -1 1 318.276 1.568 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)c1ncc(C)s1 ZINC001362211321 883308172 /nfs/dbraw/zinc/30/81/72/883308172.db2.gz VEWIYPCSMUOEJB-ZCFIWIBFSA-N -1 1 310.335 1.152 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H](C)c2cc(Br)no2)n1 ZINC001228313226 883440499 /nfs/dbraw/zinc/44/04/99/883440499.db2.gz HBJDDFJGLZNDOG-BYPYZUCNSA-N -1 1 317.099 1.482 20 0 DDADMM COC(=O)c1nc(O[C@@H](C)c2cc(Br)no2)n[n-]1 ZINC001228313226 883440505 /nfs/dbraw/zinc/44/05/05/883440505.db2.gz HBJDDFJGLZNDOG-BYPYZUCNSA-N -1 1 317.099 1.482 20 0 DDADMM O=C(NC[C@@H]1CCCCS1(=O)=O)c1ccc(F)c([O-])c1 ZINC001362329440 883578273 /nfs/dbraw/zinc/57/82/73/883578273.db2.gz WJKTVZGECJLDEX-JTQLQIEISA-N -1 1 301.339 1.228 20 0 DDADMM Cc1cn(C(C)(C)C(=O)Nc2nnn[n-]2)nc1C(F)(F)F ZINC001362335668 883593199 /nfs/dbraw/zinc/59/31/99/883593199.db2.gz GORLUHIRWZAOKR-UHFFFAOYSA-N -1 1 303.248 1.097 20 0 DDADMM Cc1cn(C(C)(C)C(=O)Nc2nn[n-]n2)nc1C(F)(F)F ZINC001362335668 883593211 /nfs/dbraw/zinc/59/32/11/883593211.db2.gz GORLUHIRWZAOKR-UHFFFAOYSA-N -1 1 303.248 1.097 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)c1cccc(O)c1)c1nn[n-]n1 ZINC001362424803 883778305 /nfs/dbraw/zinc/77/83/05/883778305.db2.gz MKLSJLXRLLRHDS-JTQLQIEISA-N -1 1 303.366 1.841 20 0 DDADMM CC(C)(C)OC(=O)C[C@@H]1CCCN(C(=O)CCc2nn[n-]n2)C1 ZINC001362441101 883816373 /nfs/dbraw/zinc/81/63/73/883816373.db2.gz MDAAMYNUNIXXNM-NSHDSACASA-N -1 1 323.397 1.103 20 0 DDADMM O=C(N[C@H]1COc2ccccc2[C@@H]1O)c1cccc([O-])c1F ZINC001362502397 883955770 /nfs/dbraw/zinc/95/57/70/883955770.db2.gz GXTQDQDDYDURGP-NHYWBVRUSA-N -1 1 303.289 1.756 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)c2c(C)[n-]c(=O)nc2SC)C12CCC2 ZINC001362539160 884040218 /nfs/dbraw/zinc/04/02/18/884040218.db2.gz YYSSFTJRQZFCIL-ZJUUUORDSA-N -1 1 323.418 1.900 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC[C@H](O)[C@@H](CO)C1 ZINC001362592050 884170160 /nfs/dbraw/zinc/17/01/60/884170160.db2.gz QSWVGRLZFSNGAK-KOLCDFICSA-N -1 1 319.279 1.226 20 0 DDADMM Cc1ncc(Br)cc1NC(=O)c1cnncc1[O-] ZINC001362609755 884212926 /nfs/dbraw/zinc/21/29/26/884212926.db2.gz FGSGPHCLPDZDTL-UHFFFAOYSA-N -1 1 309.123 1.900 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccc(F)c(F)c1)c1ccc([O-])cn1 ZINC001362611443 884216826 /nfs/dbraw/zinc/21/68/26/884216826.db2.gz MKLREAXPIXNEBM-LLVKDONJSA-N -1 1 322.311 1.646 20 0 DDADMM CCOC(=O)N1CCC[C@H](Oc2nc(C)[n-]c(=O)c2OC)C1 ZINC001230021512 884280910 /nfs/dbraw/zinc/28/09/10/884280910.db2.gz NHKRTGAOAQJNPV-JTQLQIEISA-N -1 1 311.338 1.499 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(C3CC3)[nH]c2=O)n1 ZINC001362679114 884396616 /nfs/dbraw/zinc/39/66/16/884396616.db2.gz PBGJHXKWXRJPRJ-UHFFFAOYSA-N -1 1 316.317 1.817 20 0 DDADMM Cn1cnc(C(=O)Nc2ccc(Br)c([O-])c2)cc1=O ZINC001362678664 884396852 /nfs/dbraw/zinc/39/68/52/884396852.db2.gz PQCSRGGVTSMJTN-UHFFFAOYSA-N -1 1 324.134 1.501 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)c2c(C)n[nH]c2C)c(=O)[n-]1 ZINC001362679669 884399024 /nfs/dbraw/zinc/39/90/24/884399024.db2.gz RLFWNSQPDCBWFI-LURJTMIESA-N -1 1 307.379 1.735 20 0 DDADMM Cc1cc(CCC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)[nH]n1 ZINC001362701823 884444405 /nfs/dbraw/zinc/44/44/05/884444405.db2.gz AHMVQZXRDZHKLU-SNVBAGLBSA-N -1 1 305.338 1.146 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCN(CC(F)(F)F)C(=O)C1 ZINC001362801081 884675305 /nfs/dbraw/zinc/67/53/05/884675305.db2.gz SYBNPDTUVVJGLI-UHFFFAOYSA-N -1 1 320.242 1.378 20 0 DDADMM CO[C@H]1CCOc2c(NC(=O)CCc3nn[n-]n3)cccc21 ZINC001362814566 884706375 /nfs/dbraw/zinc/70/63/75/884706375.db2.gz CUGQDCSMGPVMBH-NSHDSACASA-N -1 1 303.322 1.241 20 0 DDADMM CC(C)(C)[C@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)c1nc(=O)o[n-]1 ZINC001362823755 884726166 /nfs/dbraw/zinc/72/61/66/884726166.db2.gz RWDCLHDZRFZKCA-LDYMZIIASA-N -1 1 319.365 1.095 20 0 DDADMM O=C(Cn1ccccc1=O)Nc1cccc([O-])c1Br ZINC001362903562 884938976 /nfs/dbraw/zinc/93/89/76/884938976.db2.gz OHRACWYKJNHZGF-UHFFFAOYSA-N -1 1 323.146 1.955 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC2CC(OCC)C2)n[n-]1 ZINC001362934832 885023722 /nfs/dbraw/zinc/02/37/22/885023722.db2.gz LSAUHWHTEMAPFL-WHXUTIOJSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC2CC(OCC)C2)[n-]1 ZINC001362934832 885023738 /nfs/dbraw/zinc/02/37/38/885023738.db2.gz LSAUHWHTEMAPFL-WHXUTIOJSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC2CC(OCC)C2)n1 ZINC001362934832 885023746 /nfs/dbraw/zinc/02/37/46/885023746.db2.gz LSAUHWHTEMAPFL-WHXUTIOJSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CC[C@@H]2CCCO2)n[n-]1 ZINC001362934712 885023931 /nfs/dbraw/zinc/02/39/31/885023931.db2.gz KYMZWHRHOKAZNC-ZJUUUORDSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CC[C@@H]2CCCO2)[n-]1 ZINC001362934712 885023945 /nfs/dbraw/zinc/02/39/45/885023945.db2.gz KYMZWHRHOKAZNC-ZJUUUORDSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CC[C@@H]2CCCO2)n1 ZINC001362934712 885023961 /nfs/dbraw/zinc/02/39/61/885023961.db2.gz KYMZWHRHOKAZNC-ZJUUUORDSA-N -1 1 310.354 1.118 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)CC(C)(C)O ZINC001362967306 885108230 /nfs/dbraw/zinc/10/82/30/885108230.db2.gz DVADARYVAWZTSN-IUCAKERBSA-N -1 1 310.316 1.205 20 0 DDADMM O=S1(=O)CC[C@H](Oc2nc3c(cc(Cl)nc3Cl)[n-]2)C1 ZINC001231045393 885163407 /nfs/dbraw/zinc/16/34/07/885163407.db2.gz WIDQYFAUWSKZDK-YFKPBYRVSA-N -1 1 322.173 1.831 20 0 DDADMM O=S1(=O)CC[C@H](Oc2nc3cc(Cl)nc(Cl)c3[n-]2)C1 ZINC001231045393 885163411 /nfs/dbraw/zinc/16/34/11/885163411.db2.gz WIDQYFAUWSKZDK-YFKPBYRVSA-N -1 1 322.173 1.831 20 0 DDADMM CON1CCC(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)CC1 ZINC001363063345 885370510 /nfs/dbraw/zinc/37/05/10/885370510.db2.gz GEGZFLMBWAHFDG-UHFFFAOYSA-N -1 1 308.382 1.370 20 0 DDADMM CC(C)[C@@H](O)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001363150411 885587701 /nfs/dbraw/zinc/58/77/01/885587701.db2.gz GRHCTDQZEDZASO-LPEHRKFASA-N -1 1 310.316 1.061 20 0 DDADMM CC(C)c1[nH]nc(C(=O)N(C)c2nn[n-]n2)c1Br ZINC001363150306 885588403 /nfs/dbraw/zinc/58/84/03/885588403.db2.gz NWRZKBQAARWYJZ-UHFFFAOYSA-N -1 1 314.147 1.085 20 0 DDADMM O=C([O-])c1ccc(CN2CC[C@@H](Oc3cnccn3)C2)s1 ZINC001231466088 885685769 /nfs/dbraw/zinc/68/57/69/885685769.db2.gz RMGNACCTDBEPAU-SNVBAGLBSA-N -1 1 305.359 1.890 20 0 DDADMM CCNc1nc(C)c(C(=O)N(Cc2nn[n-]n2)CC(C)C)s1 ZINC001363277268 885889727 /nfs/dbraw/zinc/88/97/27/885889727.db2.gz UBCGPCKOBYWXQI-UHFFFAOYSA-N -1 1 323.426 1.695 20 0 DDADMM CCOC(=O)[C@]1(C)CCC[C@@H]1NC(=O)c1ncc(C)cc1[O-] ZINC001363306579 885970728 /nfs/dbraw/zinc/97/07/28/885970728.db2.gz LAGBJUXIXIXJSP-BLLLJJGKSA-N -1 1 306.362 1.947 20 0 DDADMM CC[C@H](OC1CCCCC1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363316481 885996588 /nfs/dbraw/zinc/99/65/88/885996588.db2.gz CZZUDPVXEKDXAO-NSHDSACASA-N -1 1 309.366 1.632 20 0 DDADMM C[C@@]1(C(=O)NCc2nc([O-])cc(=O)[nH]2)CCOc2ccccc21 ZINC001363325221 886028380 /nfs/dbraw/zinc/02/83/80/886028380.db2.gz LAAMYKVUWYMXIN-MRXNPFEDSA-N -1 1 315.329 1.244 20 0 DDADMM C[C@H](CC(=O)NCc1nc([O-])cc(=O)[nH]1)C(=O)c1ccccc1 ZINC001363328750 886039975 /nfs/dbraw/zinc/03/99/75/886039975.db2.gz RTXLXOLZWJUURQ-SNVBAGLBSA-N -1 1 315.329 1.413 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](CO)c1ccccn1 ZINC001363346572 886089426 /nfs/dbraw/zinc/08/94/26/886089426.db2.gz NRHUPSGXIWZLAL-SNVBAGLBSA-N -1 1 320.374 1.071 20 0 DDADMM Cc1cnc(C(=O)NCc2nccc(C(F)(F)F)n2)c([O-])c1 ZINC001363351566 886106298 /nfs/dbraw/zinc/10/62/98/886106298.db2.gz SLKWZCQHFDNKQI-UHFFFAOYSA-N -1 1 312.251 1.834 20 0 DDADMM Cc1ccc(OCC(=O)N(Cc2nn[n-]n2)CC(C)C)c(C)c1 ZINC001363354696 886114222 /nfs/dbraw/zinc/11/42/22/886114222.db2.gz JBGHBALJMNBIRL-UHFFFAOYSA-N -1 1 317.393 1.880 20 0 DDADMM O=C(Cc1ccc(F)cc1Cl)NC1(c2nn[n-]n2)CCC1 ZINC001363375950 886171195 /nfs/dbraw/zinc/17/11/95/886171195.db2.gz JCBSAHDANUFWCM-UHFFFAOYSA-N -1 1 309.732 1.730 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCO[C@@H](c2noc(C3CC3)n2)C1 ZINC001363385815 886193303 /nfs/dbraw/zinc/19/33/03/886193303.db2.gz UOQNMJHWLGIKBI-GFCCVEGCSA-N -1 1 316.317 1.261 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)C[C@@H]1CCOC1 ZINC001363402702 886239118 /nfs/dbraw/zinc/23/91/18/886239118.db2.gz YOPXJQJKZDGIEL-WDEREUQCSA-N -1 1 322.365 1.072 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)C[C@@H]1CCOC1 ZINC001363402702 886239133 /nfs/dbraw/zinc/23/91/33/886239133.db2.gz YOPXJQJKZDGIEL-WDEREUQCSA-N -1 1 322.365 1.072 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)C[C@@H]1CCOC1 ZINC001363402702 886239151 /nfs/dbraw/zinc/23/91/51/886239151.db2.gz YOPXJQJKZDGIEL-WDEREUQCSA-N -1 1 322.365 1.072 20 0 DDADMM COC[C@@H](C)CC(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001383701655 886356255 /nfs/dbraw/zinc/35/62/55/886356255.db2.gz MBMQEFFXUQYVQT-RYUDHWBXSA-N -1 1 323.393 1.037 20 0 DDADMM CCOC(=O)[C@@H](NC(=O)c1cnncc1[O-])C1CCCCCC1 ZINC001363468056 886419275 /nfs/dbraw/zinc/41/92/75/886419275.db2.gz FODUDNJLGMMGKF-AWEZNQCLSA-N -1 1 321.377 1.814 20 0 DDADMM CC(C)(C)[C@@H](NC(=O)CCN1CC[C@H](F)C1)c1nc(=O)o[n-]1 ZINC001363526783 886555919 /nfs/dbraw/zinc/55/59/19/886555919.db2.gz MCYYYUDZSRYXKG-ONGXEEELSA-N -1 1 314.361 1.000 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1cncs1 ZINC001363562078 886640625 /nfs/dbraw/zinc/64/06/25/886640625.db2.gz WQMGRFRADLXWLZ-QMMMGPOBSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1cncs1 ZINC001363562078 886640634 /nfs/dbraw/zinc/64/06/34/886640634.db2.gz WQMGRFRADLXWLZ-QMMMGPOBSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1cncs1 ZINC001363562078 886640639 /nfs/dbraw/zinc/64/06/39/886640639.db2.gz WQMGRFRADLXWLZ-QMMMGPOBSA-N -1 1 321.362 1.415 20 0 DDADMM O=C1CC2(CCN(Cc3ccc(F)c([O-])c3F)CC2)CC(=O)N1 ZINC001232937396 886682380 /nfs/dbraw/zinc/68/23/80/886682380.db2.gz NRGBPCNHMTVIPM-UHFFFAOYSA-N -1 1 324.327 1.689 20 0 DDADMM CSCc1n[nH]c(CNC(=O)c2s[n-]c(=O)c2Cl)n1 ZINC001363599972 886733929 /nfs/dbraw/zinc/73/39/29/886733929.db2.gz GKFVSPDGKLYFGG-UHFFFAOYSA-N -1 1 319.799 1.413 20 0 DDADMM CSCc1nnc(CNC(=O)c2s[n-]c(=O)c2Cl)[nH]1 ZINC001363599972 886733943 /nfs/dbraw/zinc/73/39/43/886733943.db2.gz GKFVSPDGKLYFGG-UHFFFAOYSA-N -1 1 319.799 1.413 20 0 DDADMM O=C(CCCF)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001383962780 886773338 /nfs/dbraw/zinc/77/33/38/886773338.db2.gz OOUKGULIYBYWEN-NWDGAFQWSA-N -1 1 321.352 1.210 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)CCn2cc(C)cn2)[n-]c1=O ZINC001363619251 886784706 /nfs/dbraw/zinc/78/47/06/886784706.db2.gz XRIUPAHBRLZRKN-UHFFFAOYSA-N -1 1 319.365 1.137 20 0 DDADMM C[C@H]1CCc2[nH]nc(C(=O)N3CCC(c4nn[n-]n4)CC3)c2C1 ZINC001363660911 886912920 /nfs/dbraw/zinc/91/29/20/886912920.db2.gz OPRSOEDCBTXHCV-VIFPVBQESA-N -1 1 315.381 1.067 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C2=CCOCC2)[n-]c1=O ZINC001363670132 886936291 /nfs/dbraw/zinc/93/62/91/886936291.db2.gz AOMVPEUQVBVODQ-LLVKDONJSA-N -1 1 305.334 1.201 20 0 DDADMM CC(=O)c1cn(C2CN(C(=O)c3cccc([O-])c3F)C2)nn1 ZINC001363670812 886936650 /nfs/dbraw/zinc/93/66/50/886936650.db2.gz HSKCCXWOWXQEQQ-UHFFFAOYSA-N -1 1 304.281 1.023 20 0 DDADMM Cc1c[nH]c(C2(NC(=O)c3ccc([O-])c(F)c3)CCOCC2)n1 ZINC001363702621 887020796 /nfs/dbraw/zinc/02/07/96/887020796.db2.gz ZXBXWNGBOMHFBI-UHFFFAOYSA-N -1 1 319.336 1.999 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C(C)C)cn2)n[n-]1 ZINC001363706619 887030673 /nfs/dbraw/zinc/03/06/73/887030673.db2.gz KAZXGYFCFWTCPK-UHFFFAOYSA-N -1 1 317.349 1.430 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C(C)C)cn2)n1 ZINC001363706619 887030686 /nfs/dbraw/zinc/03/06/86/887030686.db2.gz KAZXGYFCFWTCPK-UHFFFAOYSA-N -1 1 317.349 1.430 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1ccc(OCCO)nc1 ZINC001363731515 887093720 /nfs/dbraw/zinc/09/37/20/887093720.db2.gz MPGFCJUBUMLIJL-UHFFFAOYSA-N -1 1 304.302 1.419 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCOC[C@@H]1C[C@H]1CCCO1 ZINC001363781556 887203916 /nfs/dbraw/zinc/20/39/16/887203916.db2.gz SIPPQXWGYCBXPF-QWHCGFSZSA-N -1 1 309.337 1.941 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2nc(C)sc2C)n[n-]1 ZINC001363881676 887462229 /nfs/dbraw/zinc/46/22/29/887462229.db2.gz AJFYDVLIEYUAIG-LURJTMIESA-N -1 1 323.378 1.546 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2nc(C)sc2C)[n-]1 ZINC001363881676 887462238 /nfs/dbraw/zinc/46/22/38/887462238.db2.gz AJFYDVLIEYUAIG-LURJTMIESA-N -1 1 323.378 1.546 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2nc(C)sc2C)n1 ZINC001363881676 887462247 /nfs/dbraw/zinc/46/22/47/887462247.db2.gz AJFYDVLIEYUAIG-LURJTMIESA-N -1 1 323.378 1.546 20 0 DDADMM COc1coc(C(=O)NCc2ccc([O-])c(Cl)c2)cc1=O ZINC001363887334 887473886 /nfs/dbraw/zinc/47/38/86/887473886.db2.gz MGFAOSNNDOVSTP-UHFFFAOYSA-N -1 1 309.705 1.937 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](C)n2cccc2)n[n-]1 ZINC001363890284 887480924 /nfs/dbraw/zinc/48/09/24/887480924.db2.gz XUBCHOFAWINZHY-UWVGGRQHSA-N -1 1 305.338 1.221 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](C)n2cccc2)[n-]1 ZINC001363890284 887480928 /nfs/dbraw/zinc/48/09/28/887480928.db2.gz XUBCHOFAWINZHY-UWVGGRQHSA-N -1 1 305.338 1.221 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](C)n2cccc2)n1 ZINC001363890284 887480936 /nfs/dbraw/zinc/48/09/36/887480936.db2.gz XUBCHOFAWINZHY-UWVGGRQHSA-N -1 1 305.338 1.221 20 0 DDADMM Cc1cc(C)c(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)c(=O)[nH]1 ZINC001364040562 887777905 /nfs/dbraw/zinc/77/79/05/887777905.db2.gz VAVBTGVVUVUETG-UHFFFAOYSA-N -1 1 315.255 1.722 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1([C@H]2CCCCO2)CCC1 ZINC001364039968 887778790 /nfs/dbraw/zinc/77/87/90/887778790.db2.gz PNHYASCNSSMQLL-SNVBAGLBSA-N -1 1 315.395 1.335 20 0 DDADMM COc1ccnc(OC)c1NC(=O)c1cnc(SC)[n-]c1=O ZINC001364048211 887791365 /nfs/dbraw/zinc/79/13/65/887791365.db2.gz GSTIFONDSHKIRW-UHFFFAOYSA-N -1 1 322.346 1.569 20 0 DDADMM O=C(Nc1ccc(CN2CCOCC2)cn1)c1cncc([O-])c1 ZINC001364059456 887820496 /nfs/dbraw/zinc/82/04/96/887820496.db2.gz KEHHUOMGMAJMEE-UHFFFAOYSA-N -1 1 314.345 1.267 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)OC2CCCCC2)n[n-]1 ZINC001364060210 887822616 /nfs/dbraw/zinc/82/26/16/887822616.db2.gz HERHKCJFILZZCY-JTQLQIEISA-N -1 1 324.381 1.335 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)OC2CCCCC2)n1 ZINC001364060210 887822621 /nfs/dbraw/zinc/82/26/21/887822621.db2.gz HERHKCJFILZZCY-JTQLQIEISA-N -1 1 324.381 1.335 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)Nc3c[n-][nH]c3=O)CC2=O)cc1F ZINC001364087274 887884082 /nfs/dbraw/zinc/88/40/82/887884082.db2.gz CGWUACJSXFUQJQ-VIFPVBQESA-N -1 1 318.308 1.554 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](C)c1c(C)noc1C)c1nn[n-]n1 ZINC001364087753 887886701 /nfs/dbraw/zinc/88/67/01/887886701.db2.gz MSHPCMLUKIDSFK-XCBNKYQSSA-N -1 1 324.410 1.519 20 0 DDADMM COC(=O)c1ccc([C@H](C)Oc2c(C(N)=O)nc[n-]c2=O)cc1 ZINC001234462438 887989351 /nfs/dbraw/zinc/98/93/51/887989351.db2.gz HILJPLSHHSQBQW-QMMMGPOBSA-N -1 1 317.301 1.208 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@H](Oc2c(C(N)=O)nc(C)[n-]c2=O)C1 ZINC001234470629 888004370 /nfs/dbraw/zinc/00/43/70/888004370.db2.gz WFJLODGYADDUDX-ZJUUUORDSA-N -1 1 323.349 1.090 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCC2CSC2)c1 ZINC001364185322 888104660 /nfs/dbraw/zinc/10/46/60/888104660.db2.gz ACAKSMYELKESJJ-UHFFFAOYSA-N -1 1 305.377 1.098 20 0 DDADMM CCOC(=O)[C@H]1C[C@@H](Oc2c(=O)[n-]c(C(C)C)nc2C(N)=O)C1 ZINC001234565599 888105222 /nfs/dbraw/zinc/10/52/22/888105222.db2.gz OEGLXWYAWURRGQ-DTORHVGOSA-N -1 1 323.349 1.125 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@H](C)CC(OC)OC ZINC001234610963 888139200 /nfs/dbraw/zinc/13/92/00/888139200.db2.gz XHNISRXAZNCSEX-SSDOTTSWSA-N -1 1 303.286 1.489 20 0 DDADMM CC(C)NC(=O)Nc1ccccc1C(=O)N(C)c1nn[n-]n1 ZINC001364205380 888141606 /nfs/dbraw/zinc/14/16/06/888141606.db2.gz YZTXKLAXCSTXOY-UHFFFAOYSA-N -1 1 303.326 1.006 20 0 DDADMM CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001384991480 888327626 /nfs/dbraw/zinc/32/76/26/888327626.db2.gz LERMRTWBLNVKDR-UPJWGTAASA-N -1 1 317.389 1.839 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@@H]2CC[C@H]1O2 ZINC001364473125 888718775 /nfs/dbraw/zinc/71/87/75/888718775.db2.gz DGIIDHREDOWMJB-HBNTYKKESA-N -1 1 305.378 1.838 20 0 DDADMM CS(=O)(=O)NCc1ccccc1NC(=O)c1ccccc1[O-] ZINC001364539514 888880630 /nfs/dbraw/zinc/88/06/30/888880630.db2.gz JSOWZXJYAVRSNY-UHFFFAOYSA-N -1 1 320.370 1.694 20 0 DDADMM CC[C@@H]1C[C@H](CC(=O)N(Cc2nn[n-]n2)CC(C)C)CCO1 ZINC001364652212 889125776 /nfs/dbraw/zinc/12/57/76/889125776.db2.gz RTJDQKLIPRUMBS-CHWSQXEVSA-N -1 1 309.414 1.780 20 0 DDADMM Cn1nccc1[C@@H]1COCCN1Cc1ccc([O-])c(F)c1F ZINC001364731166 889294858 /nfs/dbraw/zinc/29/48/58/889294858.db2.gz XUPJJRAWRROVSI-LBPRGKRZSA-N -1 1 309.316 1.977 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CCN2Cc1ccc(OCC(=O)[O-])cc1 ZINC001278022463 889393987 /nfs/dbraw/zinc/39/39/87/889393987.db2.gz UZTDVVVGYKYJPK-SUMWQHHRSA-N -1 1 318.373 1.203 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)c1nccnc1C ZINC001364949480 889738258 /nfs/dbraw/zinc/73/82/58/889738258.db2.gz GIIMKTDRGCUFCG-MRVPVSSYSA-N -1 1 314.392 1.290 20 0 DDADMM CS[C@H](C)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001385865878 889889206 /nfs/dbraw/zinc/88/92/06/889889206.db2.gz PALXYOCVMLHYFG-KOLCDFICSA-N -1 1 323.418 1.163 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CC[C@H](Nc2ncccn2)CC1 ZINC001365204886 890338495 /nfs/dbraw/zinc/33/84/95/890338495.db2.gz BOYVBESJEUCKAQ-MGCOHNPYSA-N -1 1 302.338 1.515 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(C2CCC2)CCC1 ZINC001365418130 890767106 /nfs/dbraw/zinc/76/71/06/890767106.db2.gz RUFHSLSFNLCHNK-UHFFFAOYSA-N -1 1 313.379 1.197 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C1CC2(C1)CO[C@@H](C)C2 ZINC001365463596 890838733 /nfs/dbraw/zinc/83/87/33/890838733.db2.gz HIXPMFLLSGZLMK-QTZUAFFRSA-N -1 1 318.420 1.388 20 0 DDADMM CN1CCN(c2cc(-c3cc(F)ccc3[O-])ncn2)CC1=O ZINC001240695117 891022519 /nfs/dbraw/zinc/02/25/19/891022519.db2.gz BERBTMKTFMZOOZ-UHFFFAOYSA-N -1 1 302.309 1.267 20 0 DDADMM COC(=O)c1nc2ccc(-c3ccc(CC(=O)[O-])cc3)[nH]c-2n1 ZINC001240975734 891106054 /nfs/dbraw/zinc/10/60/54/891106054.db2.gz FZMHDMRHYWPXGK-UHFFFAOYSA-N -1 1 311.297 1.990 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@]1(C)CCCC[C@H]1O ZINC001365679433 891304921 /nfs/dbraw/zinc/30/49/21/891304921.db2.gz BNFKXWCDSSKETH-PWSUYJOCSA-N -1 1 320.436 1.371 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2C[C@H](C)N(C3CC3)C2)sn1 ZINC001365680857 891306408 /nfs/dbraw/zinc/30/64/08/891306408.db2.gz VQQPYALPPHSSGW-IUCAKERBSA-N -1 1 317.436 1.055 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H](C)C1CC1)c1ccsc1 ZINC001365701983 891362072 /nfs/dbraw/zinc/36/20/72/891362072.db2.gz IAAXPBIETGOHLW-BXKDBHETSA-N -1 1 317.432 1.928 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccc(Cl)cc1)c1ccns1 ZINC001365709451 891375526 /nfs/dbraw/zinc/37/55/26/891375526.db2.gz RWIBLEUEYZGMBM-SNVBAGLBSA-N -1 1 318.807 1.808 20 0 DDADMM NC(=O)[C@@H]1CCCN1c1cc(-c2ccc(Cl)c([O-])c2)ncn1 ZINC001243577861 891677647 /nfs/dbraw/zinc/67/76/47/891677647.db2.gz AHHBYDBAVSTPBJ-LBPRGKRZSA-N -1 1 318.764 1.957 20 0 DDADMM O=C([O-])CCC(=O)Nc1ccc(-c2cc3c(nn2)CNC3)cc1 ZINC001244028878 891778335 /nfs/dbraw/zinc/77/83/35/891778335.db2.gz HCFCGEZCVYHBMR-UHFFFAOYSA-N -1 1 312.329 1.550 20 0 DDADMM O=C1Cc2cc(-c3c(F)cc(CO)cc3F)ccc2C(=O)[N-]1 ZINC001244370180 891845414 /nfs/dbraw/zinc/84/54/14/891845414.db2.gz GMYNBRKFTZLSPS-UHFFFAOYSA-N -1 1 303.264 1.937 20 0 DDADMM COc1ncc(-c2cccn3ncnc23)cc1[N-]S(C)(=O)=O ZINC001244791304 891924203 /nfs/dbraw/zinc/92/42/03/891924203.db2.gz IFRMFIBJHYIWBI-UHFFFAOYSA-N -1 1 319.346 1.171 20 0 DDADMM COc1cc(C)c(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nn1 ZINC001244791010 891925177 /nfs/dbraw/zinc/92/51/77/891925177.db2.gz QWMLNGMGKZIFJT-UHFFFAOYSA-N -1 1 324.362 1.236 20 0 DDADMM CCOC(=O)c1nc2cc(C3=CCS(=O)(=O)CC3)ccc2[n-]1 ZINC001245211058 892030267 /nfs/dbraw/zinc/03/02/67/892030267.db2.gz IECQSSGGNSCOFR-UHFFFAOYSA-N -1 1 320.370 1.942 20 0 DDADMM CCOC(=O)c1nc2ccc(C3=CCS(=O)(=O)CC3)cc2[n-]1 ZINC001245211058 892030284 /nfs/dbraw/zinc/03/02/84/892030284.db2.gz IECQSSGGNSCOFR-UHFFFAOYSA-N -1 1 320.370 1.942 20 0 DDADMM O=c1cc(C2CCOCC2)[nH]n1-c1ccc(S(=O)(=O)[O-])cc1 ZINC001245943228 892279252 /nfs/dbraw/zinc/27/92/52/892279252.db2.gz RDQABGJEKTYGOJ-UHFFFAOYSA-N -1 1 324.358 1.719 20 0 DDADMM CC(C)OCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC001366083846 892434313 /nfs/dbraw/zinc/43/43/13/892434313.db2.gz STVVWOWQIQDLSO-OKILXGFUSA-N -1 1 319.405 1.501 20 0 DDADMM C[S@@](=O)CCCNC(=S)Nc1cc(F)c([O-])cc1F ZINC001246539707 892686599 /nfs/dbraw/zinc/68/65/99/892686599.db2.gz BLDHHAOMNYBEKS-LJQANCHMSA-N -1 1 308.375 1.725 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001292489726 913730431 /nfs/dbraw/zinc/73/04/31/913730431.db2.gz KEFVCGMRUVVOLR-WDEREUQCSA-N -1 1 306.362 1.546 20 0 DDADMM CCc1ccc(NC(=S)Nc2c(O)[nH]c(=O)[n-]c2=S)cc1 ZINC001247359063 893173264 /nfs/dbraw/zinc/17/32/64/893173264.db2.gz QURMUSSLASJFIB-VIFPVBQESA-N -1 1 322.415 1.071 20 0 DDADMM NC(=O)Cc1ccccc1[N-]S(=O)(=O)c1ccc(F)cc1N ZINC001249614725 894062549 /nfs/dbraw/zinc/06/25/49/894062549.db2.gz QTJSILPSMGRZTI-UHFFFAOYSA-N -1 1 323.349 1.237 20 0 DDADMM CSc1nc(NS(=O)(=O)c2ccc(F)cc2)cc(=O)[n-]1 ZINC001251608870 894747607 /nfs/dbraw/zinc/74/76/07/894747607.db2.gz GNDBZUMWIOXAEM-UHFFFAOYSA-N -1 1 315.351 1.844 20 0 DDADMM CC[C@H](C)CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1CC ZINC001388771187 895868451 /nfs/dbraw/zinc/86/84/51/895868451.db2.gz VFZFURQXEHCOBT-RWMBFGLXSA-N -1 1 323.441 1.014 20 0 DDADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1)c1ccsc1 ZINC001367144592 895997762 /nfs/dbraw/zinc/99/77/62/895997762.db2.gz DCCPAFDBQFJKQX-UHFFFAOYSA-N -1 1 321.406 1.404 20 0 DDADMM CN(CCN(C)C(=O)c1ncccc1[O-])C(=O)CCC1CCC1 ZINC001388989074 896311936 /nfs/dbraw/zinc/31/19/36/896311936.db2.gz MFMGUYTWZGBNJT-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@]12CCCOC2)c1cc(F)ccc1F ZINC001258951158 898378535 /nfs/dbraw/zinc/37/85/35/898378535.db2.gz QRVIMALURRDZEN-OLZOCXBDSA-N -1 1 303.330 1.812 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1c(C)nccc1Br ZINC001259018817 898417411 /nfs/dbraw/zinc/41/74/11/898417411.db2.gz ITSINEOWNPWBLA-UHFFFAOYSA-N -1 1 323.168 1.067 20 0 DDADMM O=C1SCC[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259042841 898438424 /nfs/dbraw/zinc/43/84/24/898438424.db2.gz JLHCZKGHSQYQBD-QMMMGPOBSA-N -1 1 311.306 1.414 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc(Cl)ccc1F)NC1CCC1 ZINC001259462018 898681921 /nfs/dbraw/zinc/68/19/21/898681921.db2.gz JQACYHNODKAFHE-UHFFFAOYSA-N -1 1 320.773 1.426 20 0 DDADMM O=S(=O)([O-])c1ccc(NS(=O)(=O)c2cccnc2)cc1 ZINC001259607811 898737689 /nfs/dbraw/zinc/73/76/89/898737689.db2.gz KOBLJAUIHHXSEB-UHFFFAOYSA-N -1 1 314.344 1.129 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cnc3cccc(C)n23)cn1 ZINC001259833447 898847248 /nfs/dbraw/zinc/84/72/48/898847248.db2.gz RDZKWEWRSOQWPB-UHFFFAOYSA-N -1 1 305.363 1.660 20 0 DDADMM COC(=O)c1cscc1[N-]S(=O)(=O)C1CCOCC1 ZINC001259908158 898915491 /nfs/dbraw/zinc/91/54/91/898915491.db2.gz YZBITZWUSJYXGA-UHFFFAOYSA-N -1 1 305.377 1.455 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)C(F)F)ccc1OC1COC1 ZINC001259961969 898984455 /nfs/dbraw/zinc/98/44/55/898984455.db2.gz AJMJOROGRJUQAJ-UHFFFAOYSA-N -1 1 323.317 1.115 20 0 DDADMM O=S(=O)([N-]Cc1cn(Cc2cccc(F)c2)cn1)C(F)F ZINC001259964278 898988849 /nfs/dbraw/zinc/98/88/49/898988849.db2.gz KFLDULFKAJCEAL-UHFFFAOYSA-N -1 1 319.308 1.713 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1cncnc1Cl ZINC001260105112 899053712 /nfs/dbraw/zinc/05/37/12/899053712.db2.gz JUIVRJPYEQGZSF-UHFFFAOYSA-N -1 1 301.759 1.281 20 0 DDADMM O=C1CC[C@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)N1 ZINC001260287119 899110152 /nfs/dbraw/zinc/11/01/52/899110152.db2.gz SWYDGMHLYZFPQE-ZCFIWIBFSA-N -1 1 324.736 1.175 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-][C@H]2CC[C@@H](F)CC2)s1 ZINC001260708180 899226671 /nfs/dbraw/zinc/22/66/71/899226671.db2.gz SDFVUGUPKYGXDE-DTORHVGOSA-N -1 1 321.399 1.661 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](F)C2)s1 ZINC001260707952 899227066 /nfs/dbraw/zinc/22/70/66/899227066.db2.gz QOBFITGQRCVFPK-RKDXNWHRSA-N -1 1 321.399 1.518 20 0 DDADMM CC[C@@H](C)CC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001374930688 914374344 /nfs/dbraw/zinc/37/43/44/914374344.db2.gz KKBZEKPLFMECAB-AGIUHOORSA-N -1 1 317.389 1.649 20 0 DDADMM CSCCCNC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001261920208 899827942 /nfs/dbraw/zinc/82/79/42/899827942.db2.gz IWTPYNHZWFRSNP-UHFFFAOYSA-N -1 1 316.810 1.531 20 0 DDADMM Cc1ccccc1[C@H](O)CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001263767123 900698651 /nfs/dbraw/zinc/69/86/51/900698651.db2.gz MRWJIVXCLRDJRP-LLVKDONJSA-N -1 1 319.386 1.551 20 0 DDADMM CC[C@@H](CNC(=O)C(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001374972011 914488390 /nfs/dbraw/zinc/48/83/90/914488390.db2.gz FEMBFUVLDWVRLU-QMMMGPOBSA-N -1 1 301.293 1.067 20 0 DDADMM O=C(NCCN(Cc1cnsn1)C1CC1)c1ncccc1[O-] ZINC001391158550 901094905 /nfs/dbraw/zinc/09/49/05/901094905.db2.gz WLWFKNZTWHKNTR-UHFFFAOYSA-N -1 1 319.390 1.033 20 0 DDADMM Cn1cc(Br)c(CNC(=O)c2ccncc2[O-])n1 ZINC000393644034 902600999 /nfs/dbraw/zinc/60/09/99/902600999.db2.gz DECMJFGIGXMVIF-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM CN(CCN(C)C(=O)c1ncccc1[O-])C(=O)c1nccs1 ZINC001391805918 902636326 /nfs/dbraw/zinc/63/63/26/902636326.db2.gz GFNBSAQKMADABB-UHFFFAOYSA-N -1 1 320.374 1.088 20 0 DDADMM CC/C=C(\C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001281133029 904450852 /nfs/dbraw/zinc/45/08/52/904450852.db2.gz PTNSXKQBFCIMBJ-WXYBXBMJSA-N -1 1 317.389 1.863 20 0 DDADMM CC/C=C(/C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001281133026 904450954 /nfs/dbraw/zinc/45/09/54/904450954.db2.gz PTNSXKQBFCIMBJ-RLLAQXBXSA-N -1 1 317.389 1.863 20 0 DDADMM CCC[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001371535480 905168508 /nfs/dbraw/zinc/16/85/08/905168508.db2.gz NCYPRYOWOBROIN-RYUDHWBXSA-N -1 1 309.414 1.273 20 0 DDADMM CCC(C)(C)CC(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001393335089 906749046 /nfs/dbraw/zinc/74/90/46/906749046.db2.gz RFVRIEVVYRLHFO-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)=C(C)CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001283891220 908141991 /nfs/dbraw/zinc/14/19/91/908141991.db2.gz VUENEHUYXLBJQX-CYBMUJFWSA-N -1 1 317.389 1.864 20 0 DDADMM Cc1ccncc1C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001372830435 908373143 /nfs/dbraw/zinc/37/31/43/908373143.db2.gz FXANACZIMKUGLP-NSHDSACASA-N -1 1 314.345 1.039 20 0 DDADMM Cc1cc(CN(C)[C@H](C)CNC(=O)c2ncccc2[O-])on1 ZINC001394064543 908686988 /nfs/dbraw/zinc/68/69/88/908686988.db2.gz NBJSNSHFUAPDSY-LLVKDONJSA-N -1 1 304.350 1.334 20 0 DDADMM CS[C@H](C)C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001394239510 909163133 /nfs/dbraw/zinc/16/31/33/909163133.db2.gz XQCDKRXTSNFAQJ-MEBBXXQBSA-N -1 1 323.418 1.260 20 0 DDADMM CCC1(C(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001373227335 909245461 /nfs/dbraw/zinc/24/54/61/909245461.db2.gz VDIAAQAAJGDQOE-NSHDSACASA-N -1 1 307.398 1.029 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1ccc[nH]1 ZINC001373261509 909340041 /nfs/dbraw/zinc/34/00/41/909340041.db2.gz OVKSNSAFRLJNBQ-SNVBAGLBSA-N -1 1 302.334 1.054 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H](C)c1ccsc1)NCc1n[nH]c(=O)[n-]1 ZINC001394522147 909889675 /nfs/dbraw/zinc/88/96/75/909889675.db2.gz FGQHVDNDAKIWMY-UWVGGRQHSA-N -1 1 323.422 1.312 20 0 DDADMM CC[C@H](C)OCC(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001285532717 910749118 /nfs/dbraw/zinc/74/91/18/910749118.db2.gz YUEQPLNVJQOKBN-ITKZLYELSA-N -1 1 321.377 1.005 20 0 DDADMM CCC(CC)C(=O)N[C@@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001395268049 911679850 /nfs/dbraw/zinc/67/98/50/911679850.db2.gz XLLHAUGPFQYUKJ-NSHDSACASA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H](CCCNC(=O)C(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001286657989 912075824 /nfs/dbraw/zinc/07/58/24/912075824.db2.gz GLJCGWLVEGMWIF-VIFPVBQESA-N -1 1 315.320 1.457 20 0 DDADMM C[C@@H](CCCNC(=O)CCCF)NC(=O)c1ncccc1[O-] ZINC001286661524 912081053 /nfs/dbraw/zinc/08/10/53/912081053.db2.gz WGGUHDKXLCBMTO-NSHDSACASA-N -1 1 311.357 1.552 20 0 DDADMM O=C(CC1CC1)N(CCCNC(=O)c1ncccc1[O-])C1CC1 ZINC001294587129 915236892 /nfs/dbraw/zinc/23/68/92/915236892.db2.gz OINUXFMQIJOZDU-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C1CCCCCC1 ZINC001375206979 915255799 /nfs/dbraw/zinc/25/57/99/915255799.db2.gz OGAUNGFXRTWNNW-CYBMUJFWSA-N -1 1 321.425 1.465 20 0 DDADMM CCCSCC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001295469324 915823492 /nfs/dbraw/zinc/82/34/92/915823492.db2.gz LUSDWKDMCQLPOB-JTQLQIEISA-N -1 1 311.407 1.165 20 0 DDADMM C[C@@H](C(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-])C1CCC1 ZINC001375693170 916723197 /nfs/dbraw/zinc/72/31/97/916723197.db2.gz DQGFTDJSITWLAB-NWDGAFQWSA-N -1 1 319.405 1.706 20 0 DDADMM O=C(NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1)[C@@]12C[C@@H]1CCCC2 ZINC001376244520 918054980 /nfs/dbraw/zinc/05/49/80/918054980.db2.gz NNUOZTFQLSOGJT-MKBNYLNASA-N -1 1 319.409 1.075 20 0 DDADMM C[C@@H](CNC(=O)[C@]1(C)CC[C@H](C)C1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001376818213 919482758 /nfs/dbraw/zinc/48/27/58/919482758.db2.gz DDGPPTPZAWZIAJ-MMPTUQATSA-N -1 1 323.441 1.567 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)CC3CCCC3)nc2n1 ZINC000622870743 365550731 /nfs/dbraw/zinc/55/07/31/365550731.db2.gz FIJDDHXAXPSRIJ-VIFPVBQESA-N -1 1 303.366 1.881 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CCCC[C@H]3C)nc2n1 ZINC000622872201 365551533 /nfs/dbraw/zinc/55/15/33/365551533.db2.gz ZOIXPFUISCHDHJ-KOLCDFICSA-N -1 1 303.366 1.881 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC3CCSCC3)nc2n1 ZINC000622994446 365587287 /nfs/dbraw/zinc/58/72/87/365587287.db2.gz PGHVHHVZCDOKOB-UHFFFAOYSA-N -1 1 321.406 1.452 20 0 DDADMM CC(C)N1C(=O)C[C@@H](NC(=O)c2ccc(Cl)cc2[O-])C1=O ZINC000081807538 192327386 /nfs/dbraw/zinc/32/73/86/192327386.db2.gz VHMJVQVEQJECFA-SNVBAGLBSA-N -1 1 310.737 1.311 20 0 DDADMM CC(C)COC[C@H](O)CNC(=O)c1cc(Cl)ccc1[O-] ZINC000081845562 192331970 /nfs/dbraw/zinc/33/19/70/192331970.db2.gz CMFWOPJJGUGGCY-LLVKDONJSA-N -1 1 301.770 1.809 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cnccc1C)c1ccc(C)o1 ZINC000451267748 231052018 /nfs/dbraw/zinc/05/20/18/231052018.db2.gz JUUDEMJAFVPGFE-GFCCVEGCSA-N -1 1 310.375 1.957 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@H]1O)c1cc(Cl)sc1Cl ZINC000323097627 231214111 /nfs/dbraw/zinc/21/41/11/231214111.db2.gz BGGGXDRKUYHSFR-RFZPGFLSSA-N -1 1 318.203 1.093 20 0 DDADMM C[C@@H](O)CC[N-]S(=O)(=O)c1sccc1Br ZINC000082996918 185210671 /nfs/dbraw/zinc/21/06/71/185210671.db2.gz LLVLKCQUAVIIHC-ZCFIWIBFSA-N -1 1 314.226 1.560 20 0 DDADMM CC(C)Oc1cncc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000155496262 539209682 /nfs/dbraw/zinc/20/96/82/539209682.db2.gz OIRDXFWOPIDHMM-NSHDSACASA-N -1 1 316.365 1.402 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C(=O)OC)cs1)C(F)F ZINC000451079374 529644205 /nfs/dbraw/zinc/64/42/05/529644205.db2.gz ITUFSOAXGYMZGW-ZETCQYMHSA-N -1 1 313.347 1.857 20 0 DDADMM CCNC(=O)CN(C)C(=O)c1ccc(Br)c([O-])c1 ZINC000231327047 539266861 /nfs/dbraw/zinc/26/68/61/539266861.db2.gz ZYYMINOVDPJPLW-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@H](O)C(F)F ZINC000451704053 529648243 /nfs/dbraw/zinc/64/82/43/529648243.db2.gz BZOOQGLTZYVOJT-YUMQZZPRSA-N -1 1 314.379 1.443 20 0 DDADMM Nc1n[nH]c(C2CCN(C(=O)c3ccc(Cl)cc3[O-])CC2)n1 ZINC000614989514 362105838 /nfs/dbraw/zinc/10/58/38/362105838.db2.gz MKBGAMBIOJMUFE-UHFFFAOYSA-N -1 1 321.768 1.596 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCC[N@H+](Cc2cccnc2)C1 ZINC000615225464 362202433 /nfs/dbraw/zinc/20/24/33/362202433.db2.gz BUOOOROIPCAOEL-ZDUSSCGKSA-N -1 1 315.377 1.669 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@H](NC(N)=O)c1cccc(C)c1 ZINC000615225688 362204182 /nfs/dbraw/zinc/20/41/82/362204182.db2.gz FLWIDEXEBJPHCV-NSHDSACASA-N -1 1 317.349 1.470 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-]C2(CF)CCOCC2)s1 ZINC000451736913 529759099 /nfs/dbraw/zinc/75/90/99/529759099.db2.gz MPDMELSTRHUHLW-UHFFFAOYSA-N -1 1 308.400 1.503 20 0 DDADMM C[C@@H]1CC=C(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC1 ZINC000456012242 231784348 /nfs/dbraw/zinc/78/43/48/231784348.db2.gz HYZRNCJCXOVVIP-SECBINFHSA-N -1 1 310.375 1.725 20 0 DDADMM O=C(C(=O)N1CC[C@@H](C(=O)N2CCCC2)C1)c1ccc([O-])cc1 ZINC000436745195 229518617 /nfs/dbraw/zinc/51/86/17/229518617.db2.gz SOUGKXNPOHYCCU-CYBMUJFWSA-N -1 1 316.357 1.046 20 0 DDADMM CNC(=O)c1cccc(NC(=O)C(=O)c2ccc([O-])cc2)c1C ZINC000437002228 529948527 /nfs/dbraw/zinc/94/85/27/529948527.db2.gz GJNCHMUDSIXMJJ-UHFFFAOYSA-N -1 1 312.325 1.882 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2c(F)cc(F)cc2F)[nH]1 ZINC000285527417 282344320 /nfs/dbraw/zinc/34/43/20/282344320.db2.gz ZRLBUGDWXAESTH-RXMQYKEDSA-N -1 1 320.296 1.570 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1cc(F)ccc1[O-])c1ccncc1 ZINC000615834636 362458719 /nfs/dbraw/zinc/45/87/19/362458719.db2.gz ASEAYHDOMIKFSO-ZDUSSCGKSA-N -1 1 318.304 1.961 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@H](C)C(C)C)c1 ZINC000292369426 530031762 /nfs/dbraw/zinc/03/17/62/530031762.db2.gz XRFGSWFYFBTSQP-JTQLQIEISA-N -1 1 314.407 1.921 20 0 DDADMM O=C(c1c([O-])cnc2ccc(Cl)cc21)N1C[C@H](CO)[C@H](O)C1 ZINC000458040599 232193370 /nfs/dbraw/zinc/19/33/70/232193370.db2.gz RTBCZMBMYOFABE-AMIZOPFISA-N -1 1 322.748 1.019 20 0 DDADMM CC(C)[C@H]1C[C@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000358322591 299120787 /nfs/dbraw/zinc/12/07/87/299120787.db2.gz DEQQUWWJIALRRF-ZWNOBZJWSA-N -1 1 318.377 1.607 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)C[C@H]3CC(=O)N3)[nH][n-]2)c1 ZINC000616250860 362601624 /nfs/dbraw/zinc/60/16/24/362601624.db2.gz CQWQIAGCBHPQQP-SECBINFHSA-N -1 1 302.309 1.163 20 0 DDADMM CCN(CC)C(=O)c1ccc(C(=O)Nc2ccncc2[O-])cc1 ZINC000358353218 299128437 /nfs/dbraw/zinc/12/84/37/299128437.db2.gz MNGOYHXQYHIJFU-UHFFFAOYSA-N -1 1 313.357 1.943 20 0 DDADMM CO[C@@H](C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1)[C@@H]1CCOC1 ZINC000616811140 362829384 /nfs/dbraw/zinc/82/93/84/362829384.db2.gz KPQPQEITIGDZPL-QMTHXVAHSA-N -1 1 321.402 1.859 20 0 DDADMM CCN1C[C@H](C(=O)N=c2cc(-c3ccc(C)s3)[n-][nH]2)CC1=O ZINC000623492478 365898000 /nfs/dbraw/zinc/89/80/00/365898000.db2.gz FCOLJSFGWHRVMQ-SNVBAGLBSA-N -1 1 318.402 1.675 20 0 DDADMM Cc1sc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)nc1C(C)C ZINC000279727028 215097064 /nfs/dbraw/zinc/09/70/64/215097064.db2.gz MDKGLAFPVBYNJC-VIFPVBQESA-N -1 1 322.438 1.893 20 0 DDADMM CCO[C@H](C)c1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)s1 ZINC000358403055 299143504 /nfs/dbraw/zinc/14/35/04/299143504.db2.gz AJADLISFONVKAT-RKDXNWHRSA-N -1 1 324.410 1.629 20 0 DDADMM C[C@H](NCc1nc(COc2ccc(F)cc2)no1)[C@H](C)C(=O)[O-] ZINC000564462201 303999531 /nfs/dbraw/zinc/99/95/31/303999531.db2.gz MIROHDBKMVXNMU-UWVGGRQHSA-N -1 1 323.324 1.987 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1cc(F)ccc1Br ZINC000272611547 210012212 /nfs/dbraw/zinc/01/22/12/210012212.db2.gz RSFJGFBPMLZQAK-UHFFFAOYSA-N -1 1 316.130 1.648 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H](C)CCSC)co1 ZINC000152588696 186087095 /nfs/dbraw/zinc/08/70/95/186087095.db2.gz RPPJVUWDHOXGPH-SECBINFHSA-N -1 1 320.436 1.401 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cccc3c2CCO3)c[nH]1 ZINC000358498676 299174430 /nfs/dbraw/zinc/17/44/30/299174430.db2.gz WFNCRSHHTOXUQY-UHFFFAOYSA-N -1 1 308.315 1.449 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1ccccc1-n1ccnn1 ZINC000172366250 198085936 /nfs/dbraw/zinc/08/59/36/198085936.db2.gz VVGCVVSIKMYCPG-LLVKDONJSA-N -1 1 308.363 1.188 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC ZINC000008499138 352135834 /nfs/dbraw/zinc/13/58/34/352135834.db2.gz BXJFWLIXQFUGJO-UFBFGSQYSA-N -1 1 321.345 1.831 20 0 DDADMM COc1cn(-c2ccccc2)nc1C(=O)[N-]c1nncs1 ZINC000021214676 352172571 /nfs/dbraw/zinc/17/25/71/352172571.db2.gz UGYVQGWLOQRIKE-UHFFFAOYSA-N -1 1 301.331 1.985 20 0 DDADMM CCC(C)(C)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000617572893 363189419 /nfs/dbraw/zinc/18/94/19/363189419.db2.gz RXVGZSNXHCYXMI-SECBINFHSA-N -1 1 302.396 1.742 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCc3ccccc32)o1 ZINC000048129545 352527080 /nfs/dbraw/zinc/52/70/80/352527080.db2.gz UXXBJFUQTBBKHK-LBPRGKRZSA-N -1 1 320.370 1.605 20 0 DDADMM CCNC(=O)C[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000060568258 352876621 /nfs/dbraw/zinc/87/66/21/352876621.db2.gz MELZGIHMRFPMLN-UHFFFAOYSA-N -1 1 317.219 1.469 20 0 DDADMM Cc1noc(CCC[N-]S(=O)(=O)c2cccc(F)c2F)n1 ZINC000066935107 353026503 /nfs/dbraw/zinc/02/65/03/353026503.db2.gz GWMRZOICLORPQZ-UHFFFAOYSA-N -1 1 317.317 1.567 20 0 DDADMM Cc1nc(CN2CCN(c3nc(=N)[n-]s3)CC2)c(C)s1 ZINC000331998302 234367069 /nfs/dbraw/zinc/36/70/69/234367069.db2.gz ZEQZWNLLICUSKB-UHFFFAOYSA-N -1 1 310.452 1.346 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CCC1 ZINC000084043555 353716703 /nfs/dbraw/zinc/71/67/03/353716703.db2.gz YAKKAOPTWJUWCB-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM C[C@H]1OCC[C@@H]1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091160806 353813880 /nfs/dbraw/zinc/81/38/80/353813880.db2.gz WMWFKSAZGOWSTM-SCZZXKLOSA-N -1 1 316.354 1.561 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091160807 353813925 /nfs/dbraw/zinc/81/39/25/353813925.db2.gz WMWFKSAZGOWSTM-WPRPVWTQSA-N -1 1 316.354 1.561 20 0 DDADMM O=C(CN1CCC[C@H](n2cccn2)C1)[N-]OCc1ccccc1 ZINC000093170744 353887499 /nfs/dbraw/zinc/88/74/99/353887499.db2.gz GOENEARBAWUKFM-INIZCTEOSA-N -1 1 314.389 1.768 20 0 DDADMM O=S(=O)([N-]CCOC[C@@H]1CCCO1)c1cc(F)ccc1F ZINC000115584820 353987350 /nfs/dbraw/zinc/98/73/50/353987350.db2.gz UPBFHMBYLOLEDV-NSHDSACASA-N -1 1 321.345 1.439 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)c2cscn2)o1 ZINC000617829392 363335240 /nfs/dbraw/zinc/33/52/40/363335240.db2.gz GAUZJAWOOMDOET-SSDOTTSWSA-N -1 1 315.376 1.135 20 0 DDADMM CCC(CC)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000586750297 354855485 /nfs/dbraw/zinc/85/54/85/354855485.db2.gz HACLTGABOWTLHB-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM O=C([O-])C1CCN(CC(=O)N2CCC[C@H]3CCCC[C@H]32)CC1 ZINC000261600587 304041574 /nfs/dbraw/zinc/04/15/74/304041574.db2.gz BNSKKDXVECHROY-UKRRQHHQSA-N -1 1 308.422 1.964 20 0 DDADMM O=C(CSC1CCC(C(F)(F)F)CC1)Nc1nnn[n-]1 ZINC000591130582 355251995 /nfs/dbraw/zinc/25/19/95/355251995.db2.gz LDYNLEJOVTWXRR-UHFFFAOYSA-N -1 1 309.317 1.993 20 0 DDADMM O=C(CSC1CCC(C(F)(F)F)CC1)Nc1nn[n-]n1 ZINC000591130582 355251998 /nfs/dbraw/zinc/25/19/98/355251998.db2.gz LDYNLEJOVTWXRR-UHFFFAOYSA-N -1 1 309.317 1.993 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)C[C@@H](OC)C(C)C)C(=O)OCC ZINC000592046668 355476440 /nfs/dbraw/zinc/47/64/40/355476440.db2.gz FPDBAWVAKHYSEN-FRNKVXGRSA-N -1 1 321.439 1.475 20 0 DDADMM CC[C@H](C)C[C@H](CO)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000592082530 355488566 /nfs/dbraw/zinc/48/85/66/355488566.db2.gz IYXRLBNCGBDMPC-VHSXEESVSA-N -1 1 319.379 1.142 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCOC(C)(C)C)c1 ZINC000594847353 356336189 /nfs/dbraw/zinc/33/61/89/356336189.db2.gz DMKYKQUJLZMZKP-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM CC[C@H](CCO)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595317185 356446390 /nfs/dbraw/zinc/44/63/90/356446390.db2.gz FSRBSPLRIVDSFA-SNVBAGLBSA-N -1 1 319.379 1.062 20 0 DDADMM COC(=O)C[C@H](CN=c1nc(C(F)(F)F)[n-]s1)C1CC1 ZINC000596494021 356897006 /nfs/dbraw/zinc/89/70/06/356897006.db2.gz WBEVAMQQEPZLHD-SSDOTTSWSA-N -1 1 309.313 1.980 20 0 DDADMM O=C(Nc1ccc(OC2CCCC2)cc1)NN1CC(=O)[N-]C1=O ZINC000276881788 213152206 /nfs/dbraw/zinc/15/22/06/213152206.db2.gz MSWVGWZDWAPJNR-UHFFFAOYSA-N -1 1 318.333 1.596 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]C[C@H]2C[C@@H]2C)c1F ZINC000599215030 357852672 /nfs/dbraw/zinc/85/26/72/357852672.db2.gz ATCWTBDNTNHLCR-JGVFFNPUSA-N -1 1 319.329 1.686 20 0 DDADMM O=C(Nc1ccc(N2CCOCC2)cn1)c1cncc([O-])c1 ZINC000171762123 306684590 /nfs/dbraw/zinc/68/45/90/306684590.db2.gz XNTCPQVRDKPWHC-UHFFFAOYSA-N -1 1 300.318 1.271 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)[C@H](CO)C1 ZINC000276677650 213041504 /nfs/dbraw/zinc/04/15/04/213041504.db2.gz BMSIMARBENASQS-KOLCDFICSA-N -1 1 307.394 1.489 20 0 DDADMM C[C@H](Oc1cc(Cl)cc(Cl)c1)C(=O)NCc1nn[n-]n1 ZINC000600496549 358241037 /nfs/dbraw/zinc/24/10/37/358241037.db2.gz SULNBRBNPTVGQZ-LURJTMIESA-N -1 1 316.148 1.590 20 0 DDADMM O=C(CCOc1cccc(Cl)c1Cl)NCc1nn[n-]n1 ZINC000600504985 358242982 /nfs/dbraw/zinc/24/29/82/358242982.db2.gz LPGVDQJJNRPARL-UHFFFAOYSA-N -1 1 316.148 1.592 20 0 DDADMM C[C@@H]1CC[C@@H](CC(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000181033910 199243250 /nfs/dbraw/zinc/24/32/50/199243250.db2.gz MZGKYDMJQADKOU-NXEZZACHSA-N -1 1 312.391 1.804 20 0 DDADMM C/C=C\C[C@@H]([N-]S(=O)(=O)[C@@H]1CCC[C@H]1OC)C(=O)OCC ZINC000601393303 358533012 /nfs/dbraw/zinc/53/30/12/358533012.db2.gz FMLKOBNNZRFLMU-VNRFJKPMSA-N -1 1 319.423 1.371 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)CC(F)(F)F)n[n-]1 ZINC000603024204 359365590 /nfs/dbraw/zinc/36/55/90/359365590.db2.gz VURKYXOABDYTAH-ZCFIWIBFSA-N -1 1 308.260 1.186 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)CC(F)(F)F)n1 ZINC000603024204 359365595 /nfs/dbraw/zinc/36/55/95/359365595.db2.gz VURKYXOABDYTAH-ZCFIWIBFSA-N -1 1 308.260 1.186 20 0 DDADMM C[C@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CC[S@@](=O)C1 ZINC000603051789 359378426 /nfs/dbraw/zinc/37/84/26/359378426.db2.gz LUDYDWZQXFKQHW-CHNSCGDPSA-N -1 1 323.443 1.951 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(F)c(C)c2)n[n-]1 ZINC000603167103 359450861 /nfs/dbraw/zinc/45/08/61/359450861.db2.gz UPCKOVLQUGBBFJ-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(F)c(C)c2)[n-]1 ZINC000603167103 359450864 /nfs/dbraw/zinc/45/08/64/359450864.db2.gz UPCKOVLQUGBBFJ-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(F)c(C)c2)n1 ZINC000603167103 359450869 /nfs/dbraw/zinc/45/08/69/359450869.db2.gz UPCKOVLQUGBBFJ-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCO[C@@H]1C[C@@](O)(CNC(=O)C(=O)c2ccc([O-])cc2)C1(C)C ZINC000281008878 216018823 /nfs/dbraw/zinc/01/88/23/216018823.db2.gz NHNUXYKRQUYYKG-CXAGYDPISA-N -1 1 321.373 1.257 20 0 DDADMM CO[C@H](C)c1nc(CN2CCC(c3nc(=O)[n-][nH]3)CC2)cs1 ZINC000281001851 216014323 /nfs/dbraw/zinc/01/43/23/216014323.db2.gz MTDKUUCFFOXMCQ-SECBINFHSA-N -1 1 323.422 1.642 20 0 DDADMM Cc1ccc(OCC(=O)NC2(c3nn[n-]n3)CCCC2)cc1C ZINC000605381589 359848544 /nfs/dbraw/zinc/84/85/44/359848544.db2.gz CWYHNYPLYQJVRN-UHFFFAOYSA-N -1 1 315.377 1.781 20 0 DDADMM C[C@]1(C2CC2)NC(=O)N(CC(=O)Nc2c([O-])cccc2F)C1=O ZINC000605437002 359857004 /nfs/dbraw/zinc/85/70/04/359857004.db2.gz NWYTZPVBZHOQRH-OAHLLOKOSA-N -1 1 321.308 1.190 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCC[C@H](C)CC2)co1 ZINC000188937026 200324131 /nfs/dbraw/zinc/32/41/31/200324131.db2.gz JCLCCEVHDRGPNR-JQWIXIFHSA-N -1 1 314.407 1.886 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H](OC)C(C)C)c(F)c1 ZINC000608744585 360234198 /nfs/dbraw/zinc/23/41/98/360234198.db2.gz LNOZCEVZMRAYOV-LBPRGKRZSA-N -1 1 323.361 1.923 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)C[C@H]2CCOC2)c1 ZINC000611082992 360617349 /nfs/dbraw/zinc/61/73/49/360617349.db2.gz GBKOPKYHHWAFDU-NXEZZACHSA-N -1 1 317.363 1.160 20 0 DDADMM CCOC(=O)CCC1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000610987838 360590327 /nfs/dbraw/zinc/59/03/27/360590327.db2.gz YIZJIRHADKTQBE-UHFFFAOYSA-N -1 1 306.362 1.983 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C(C)=O)c(O)c1)c1nn[n-]n1 ZINC000612062350 360906231 /nfs/dbraw/zinc/90/62/31/360906231.db2.gz VNZSMCGQWDXFRR-LLVKDONJSA-N -1 1 303.322 1.379 20 0 DDADMM C[C@@H](Cc1ccco1)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000612120098 360924752 /nfs/dbraw/zinc/92/47/52/360924752.db2.gz WXXZJOJILMOLGB-JTQLQIEISA-N -1 1 321.406 1.071 20 0 DDADMM CNC(=O)CCCN(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000612907427 361192039 /nfs/dbraw/zinc/19/20/39/361192039.db2.gz SIXMDEGFAIMKQL-UHFFFAOYSA-N -1 1 301.346 1.539 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2ncc3ccccc3c2[O-])[C@@H]1OC ZINC000613513849 361450592 /nfs/dbraw/zinc/45/05/92/361450592.db2.gz OXFGONZXWMMKCK-ZENOOKHLSA-N -1 1 316.357 1.863 20 0 DDADMM COC(=O)[C@H]1C[C@@H](OC)CCN1C(=O)c1ccc([O-])c(F)c1 ZINC000613524299 361455150 /nfs/dbraw/zinc/45/51/50/361455150.db2.gz BXRCBVCRTQDQQY-CMPLNLGQSA-N -1 1 311.309 1.324 20 0 DDADMM C[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@@H](CO)O1 ZINC000613859609 361607135 /nfs/dbraw/zinc/60/71/35/361607135.db2.gz ZOBFHRMMICYJOS-JQWIXIFHSA-N -1 1 302.330 1.162 20 0 DDADMM O=C([N-][C@@H]1CCN(c2ccc(F)c(Cl)c2)C1=O)C(F)F ZINC000194840563 201298971 /nfs/dbraw/zinc/29/89/71/201298971.db2.gz KRYAIEJCQPXYEW-SECBINFHSA-N -1 1 306.671 1.966 20 0 DDADMM O=S(=O)([N-]c1cnc[nH]1)c1ccc(OCC(F)F)cc1 ZINC000579431066 422765773 /nfs/dbraw/zinc/76/57/73/422765773.db2.gz ZFSZKNIUCLZWAU-UHFFFAOYSA-N -1 1 303.290 1.854 20 0 DDADMM O=C(N[C@H](c1ccncc1)C1(CO)CCC1)c1ncccc1[O-] ZINC000621033912 364654488 /nfs/dbraw/zinc/65/44/88/364654488.db2.gz UMKNHYWIUPEDFI-OAHLLOKOSA-N -1 1 313.357 1.816 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@H]1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000621709567 364994953 /nfs/dbraw/zinc/99/49/53/364994953.db2.gz XQRKCQWXOGEJMQ-JHJVBQTASA-N -1 1 315.377 1.609 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H]4COC[C@@]4(C)C3)cnc2n1 ZINC000622172648 365309942 /nfs/dbraw/zinc/30/99/42/365309942.db2.gz RPAKJUGYPASNMP-PIGZYNQJSA-N -1 1 313.357 1.752 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1C[C@@H]3COC[C@@]3(C)C1)c2=O ZINC000622172648 365309946 /nfs/dbraw/zinc/30/99/46/365309946.db2.gz RPAKJUGYPASNMP-PIGZYNQJSA-N -1 1 313.357 1.752 20 0 DDADMM CN(C)c1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)ccn1 ZINC000622609691 365450489 /nfs/dbraw/zinc/45/04/89/365450489.db2.gz FFVAKWYNHBMJGQ-CQSZACIVSA-N -1 1 323.360 1.180 20 0 DDADMM O=C(COC1CCCC1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622610390 365450715 /nfs/dbraw/zinc/45/07/15/365450715.db2.gz UIHHNMSJWJYQJY-CQSZACIVSA-N -1 1 301.350 1.365 20 0 DDADMM O=S(=O)([N-][C@@H]1CO[C@@H](C2CC2)C1)c1cc(F)c(F)cc1F ZINC000625584654 367034030 /nfs/dbraw/zinc/03/40/30/367034030.db2.gz XXHGCDCUHIGGJH-QPUJVOFHSA-N -1 1 321.320 1.950 20 0 DDADMM COc1cc2[n-]cc(C(=O)NCC(F)F)c(=O)c2c(OC)c1 ZINC000625948019 367236853 /nfs/dbraw/zinc/23/68/53/367236853.db2.gz NQRCMXVROHDSHX-UHFFFAOYSA-N -1 1 312.272 1.540 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1C[C@@H](C(=O)[O-])CC[C@@H]1C ZINC000635016649 422769506 /nfs/dbraw/zinc/76/95/06/422769506.db2.gz ANZQVUIQNXLSFL-UWVGGRQHSA-N -1 1 310.316 1.582 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@@H](C(=O)[O-])[C@@H](C)C2)n[nH]1 ZINC000626757618 367726861 /nfs/dbraw/zinc/72/68/61/367726861.db2.gz YMQHNWGMHHUVHY-OUJBWJOFSA-N -1 1 308.382 1.401 20 0 DDADMM CCN([C@H]1CCCC[C@H]1C)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349721624 284029875 /nfs/dbraw/zinc/02/98/75/284029875.db2.gz MCXOYMHNPWKOHP-KOLCDFICSA-N -1 1 301.412 1.985 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(OCCO)cc2)cn1 ZINC000349941591 284113264 /nfs/dbraw/zinc/11/32/64/284113264.db2.gz BEFRRYXTCTVVDY-UHFFFAOYSA-N -1 1 324.358 1.262 20 0 DDADMM C[C@H]1C[C@@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CC(=O)N1 ZINC000350019516 284133790 /nfs/dbraw/zinc/13/37/90/284133790.db2.gz VYNDQJJSSJHSEJ-GXSJLCMTSA-N -1 1 314.345 1.636 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ccc(Cl)cc2)n1 ZINC000350224317 284170908 /nfs/dbraw/zinc/17/09/08/284170908.db2.gz UYFJIZFHIXPFEW-UHFFFAOYSA-N -1 1 314.750 1.824 20 0 DDADMM CN1CC[C@](C)(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1=O ZINC000636281814 422774733 /nfs/dbraw/zinc/77/47/33/422774733.db2.gz AXJUKOCFNZDPRC-MRXNPFEDSA-N -1 1 314.345 1.385 20 0 DDADMM O=S(=O)([N-]c1ccn(Cc2ccncc2)n1)N1CCCCC1 ZINC000266001260 205017205 /nfs/dbraw/zinc/01/72/05/205017205.db2.gz DTSUXKJVLFMLPW-UHFFFAOYSA-N -1 1 321.406 1.469 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)[C@@H](C)CC ZINC000266279865 205234831 /nfs/dbraw/zinc/23/48/31/205234831.db2.gz IYTXINOIGRAKGY-JOYOIKCWSA-N -1 1 318.395 1.380 20 0 DDADMM COC(=O)[C@@H](CC(C)C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000282891950 217305502 /nfs/dbraw/zinc/30/55/02/217305502.db2.gz GEFOBSGKVNIGKM-SNVBAGLBSA-N -1 1 322.390 1.336 20 0 DDADMM Cc1ccc(C)c(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000283024035 217395001 /nfs/dbraw/zinc/39/50/01/217395001.db2.gz XSEFVEVDGOLXDR-GFCCVEGCSA-N -1 1 300.362 1.735 20 0 DDADMM CC(=O)Nc1ccc(C[N-]S(=O)(=O)c2c(C)noc2C)cc1 ZINC000022514717 182324424 /nfs/dbraw/zinc/32/44/24/182324424.db2.gz PQURTHXKHWCCMZ-UHFFFAOYSA-N -1 1 323.374 1.728 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CC[C@H](OC)C1)c2=O ZINC000267873440 206314053 /nfs/dbraw/zinc/31/40/53/206314053.db2.gz QXBSUKAHGQDPNV-NSHDSACASA-N -1 1 302.330 1.810 20 0 DDADMM Cc1cc(N)cc(CNC(=O)c2csc(=NC3CC3)[n-]2)c1 ZINC000638266816 422788540 /nfs/dbraw/zinc/78/85/40/422788540.db2.gz OUZTXNKBENYCKC-UHFFFAOYSA-N -1 1 302.403 1.960 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cccc(OC(F)F)c1 ZINC000337125164 249355691 /nfs/dbraw/zinc/35/56/91/249355691.db2.gz DQEOPOSNSAYUGT-UHFFFAOYSA-N -1 1 324.287 1.353 20 0 DDADMM COc1cncc(S(=O)(=O)Nc2ccccc2C(=O)[O-])c1 ZINC000337134790 249359825 /nfs/dbraw/zinc/35/98/25/249359825.db2.gz BKQUVSUXWCIMOS-UHFFFAOYSA-N -1 1 308.315 1.589 20 0 DDADMM C[C@@H](CN(C)CC(=O)Nc1nc2ccccc2s1)C(=O)[O-] ZINC000042706548 183299624 /nfs/dbraw/zinc/29/96/24/183299624.db2.gz CBKPFMRKUMVCRD-VIFPVBQESA-N -1 1 307.375 1.887 20 0 DDADMM COc1cncc(S(=O)(=O)[N-]c2nc3ccc(F)cc3[nH]2)c1 ZINC000337281099 249422841 /nfs/dbraw/zinc/42/28/41/249422841.db2.gz HOEONTOTVIEBMU-UHFFFAOYSA-N -1 1 322.321 1.906 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2cc(F)ccc2[O-])[C@]12CCCO2 ZINC000274240325 211447115 /nfs/dbraw/zinc/44/71/15/211447115.db2.gz RDPOCAXIFHWRRI-IIAWOOMASA-N -1 1 309.337 1.988 20 0 DDADMM CCOc1cc(C(=O)N[C@@H]2CCC(=O)NC2)cc(Cl)c1[O-] ZINC000273991938 211249592 /nfs/dbraw/zinc/24/95/92/211249592.db2.gz XGANRLJGIPALCB-SECBINFHSA-N -1 1 312.753 1.453 20 0 DDADMM CCOc1cc(C(=O)N[C@]2(CO)CCOC2)cc(Cl)c1[O-] ZINC000273870759 211129888 /nfs/dbraw/zinc/12/98/88/211129888.db2.gz QDSULTYOEPCZRX-AWEZNQCLSA-N -1 1 315.753 1.326 20 0 DDADMM C[C@@H]1C[C@H]1N1C[C@H]([N-]S(=O)(=O)c2sccc2F)CC1=O ZINC000338921823 250197183 /nfs/dbraw/zinc/19/71/83/250197183.db2.gz VJKWKDDUCLLRKO-NQMVMOMDSA-N -1 1 318.395 1.175 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1sccc1F)NC1CCCCC1 ZINC000338880999 250178287 /nfs/dbraw/zinc/17/82/87/250178287.db2.gz NLJVAMNFRYMQGG-UHFFFAOYSA-N -1 1 320.411 1.614 20 0 DDADMM CCNC(=O)[C@@H]([N-]S(=O)(=O)c1sccc1F)C(C)C ZINC000339000797 250242272 /nfs/dbraw/zinc/24/22/72/250242272.db2.gz NKXDYYKWHXETPG-VIFPVBQESA-N -1 1 308.400 1.326 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1c(F)cc(F)cc1F ZINC000339054467 250271160 /nfs/dbraw/zinc/27/11/60/250271160.db2.gz UJTVOKJFUHBRTF-UHFFFAOYSA-N -1 1 321.280 1.291 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1c(F)cc(F)cc1F ZINC000339054467 250271163 /nfs/dbraw/zinc/27/11/63/250271163.db2.gz UJTVOKJFUHBRTF-UHFFFAOYSA-N -1 1 321.280 1.291 20 0 DDADMM COC(=O)CCCNC(=O)c1ccc(Br)cc1[O-] ZINC000049446056 183731117 /nfs/dbraw/zinc/73/11/17/183731117.db2.gz VTCINQHZPBWTFQ-UHFFFAOYSA-N -1 1 316.151 1.838 20 0 DDADMM Cc1cc(C)n2nc(CC(=O)Nc3ccc(F)cc3[O-])nc2n1 ZINC000340330664 251012515 /nfs/dbraw/zinc/01/25/15/251012515.db2.gz LBBGLJOUVPJYJI-UHFFFAOYSA-N -1 1 315.308 1.767 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCO[C@@H](C(F)F)CC1 ZINC000631499212 422800302 /nfs/dbraw/zinc/80/03/02/422800302.db2.gz GRQCXRVKVNGNDN-LLVKDONJSA-N -1 1 323.299 1.419 20 0 DDADMM CC(C)n1ccc([N-]S(=O)(=O)N2C[C@@H](C)OC[C@H]2C)n1 ZINC000340972044 251311498 /nfs/dbraw/zinc/31/14/98/251311498.db2.gz GELPAZBKENFGHG-GHMZBOCLSA-N -1 1 302.400 1.230 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1ccc(OC)cc1O ZINC000340959473 251303009 /nfs/dbraw/zinc/30/30/09/251303009.db2.gz HGEHPMPDXMPJNT-UHFFFAOYSA-N -1 1 317.363 1.342 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OC ZINC000340957961 251303114 /nfs/dbraw/zinc/30/31/14/251303114.db2.gz OSCDKRLGYMENSA-MRVPVSSYSA-N -1 1 305.318 1.590 20 0 DDADMM CCC[C@H](NC(=O)COc1ccc(OC)c(C)c1)c1nn[n-]n1 ZINC000269095513 207234986 /nfs/dbraw/zinc/23/49/86/207234986.db2.gz JAWHRBZHNMYZEV-LBPRGKRZSA-N -1 1 319.365 1.553 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)Nc1ccc(C(=O)[O-])cc1F ZINC000063009456 184265950 /nfs/dbraw/zinc/26/59/50/184265950.db2.gz YCZBHQLIYHYVJP-UHFFFAOYSA-N -1 1 314.294 1.930 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H]1CC1(C)C ZINC000352279634 284919455 /nfs/dbraw/zinc/91/94/55/284919455.db2.gz OTWBWGSFMJYAAV-LLVKDONJSA-N -1 1 302.378 1.757 20 0 DDADMM CC(C)(C)[C@@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@H]1O ZINC000288664832 220333129 /nfs/dbraw/zinc/33/31/29/220333129.db2.gz SRHOZXBXVSWHQR-KGLIPLIRSA-N -1 1 305.374 1.830 20 0 DDADMM C[C@@H](Cc1ccc(Br)cc1)C(=O)Nc1nnn[n-]1 ZINC000155584825 197069430 /nfs/dbraw/zinc/06/94/30/197069430.db2.gz SJEBZBXHYKXILQ-ZETCQYMHSA-N -1 1 310.155 1.780 20 0 DDADMM C[C@@H](Cc1ccc(Br)cc1)C(=O)Nc1nn[n-]n1 ZINC000155584825 197069432 /nfs/dbraw/zinc/06/94/32/197069432.db2.gz SJEBZBXHYKXILQ-ZETCQYMHSA-N -1 1 310.155 1.780 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCSCC(F)(F)F)[n-]n1 ZINC000413219327 224156469 /nfs/dbraw/zinc/15/64/69/224156469.db2.gz MDQGNAVAJRVIQY-UHFFFAOYSA-N -1 1 308.329 1.308 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCCC1)c1cccc(F)c1F ZINC000289503120 221023205 /nfs/dbraw/zinc/02/32/05/221023205.db2.gz LAFPYJFMOHBZJQ-NSHDSACASA-N -1 1 305.346 1.794 20 0 DDADMM CC(C)(C)CCCCC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000352796959 285307231 /nfs/dbraw/zinc/30/72/31/285307231.db2.gz PRRIEETXEFGKJW-UHFFFAOYSA-N -1 1 302.400 1.753 20 0 DDADMM CC(C)(C)CCCCC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000352796959 285307235 /nfs/dbraw/zinc/30/72/35/285307235.db2.gz PRRIEETXEFGKJW-UHFFFAOYSA-N -1 1 302.400 1.753 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1COc2c(C)cccc2C1 ZINC000289683559 221150976 /nfs/dbraw/zinc/15/09/76/221150976.db2.gz XFJLIYIATXOBHS-LLVKDONJSA-N -1 1 323.374 1.156 20 0 DDADMM CC(C)(C)c1cc(CN2CCC[C@H](c3nc(=O)[n-][nH]3)C2)no1 ZINC000289906555 221308246 /nfs/dbraw/zinc/30/82/46/221308246.db2.gz TYFRSRMXTGPPRU-JTQLQIEISA-N -1 1 305.382 1.763 20 0 DDADMM Cc1nn(C)c(C)c1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294950949 224333738 /nfs/dbraw/zinc/33/37/38/224333738.db2.gz MBGHINNJKVOWMK-UHFFFAOYSA-N -1 1 316.390 1.298 20 0 DDADMM COCCCOCC(=O)Nc1nc(Br)ccc1[O-] ZINC000121563965 195306791 /nfs/dbraw/zinc/30/67/91/195306791.db2.gz OAQHMRVDAVEVHW-UHFFFAOYSA-N -1 1 319.155 1.541 20 0 DDADMM Cc1[nH]n(-c2cccc(-c3ccnn3C)c2)c(=O)c1CC(=O)[O-] ZINC000568266575 304282286 /nfs/dbraw/zinc/28/22/86/304282286.db2.gz ZUMNJDAMGVCVFH-CYBMUJFWSA-N -1 1 312.329 1.901 20 0 DDADMM COCCc1nc(=NC[C@H](C(=O)OC)c2ccccc2)s[n-]1 ZINC000433428418 533327883 /nfs/dbraw/zinc/32/78/83/533327883.db2.gz WSXPJFZZVHMXOG-LBPRGKRZSA-N -1 1 321.402 1.518 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncccc1[O-])c1cccc(O)c1 ZINC000427654377 533328109 /nfs/dbraw/zinc/32/81/09/533328109.db2.gz BQZKKCJLNIRTBF-GFCCVEGCSA-N -1 1 302.286 1.137 20 0 DDADMM COc1cc(C(=O)N2C[C@H](CO)O[C@@H](C)C2)cc(Cl)c1[O-] ZINC000330986237 533528203 /nfs/dbraw/zinc/52/82/03/533528203.db2.gz LFIADOOCLIITOM-WCBMZHEXSA-N -1 1 315.753 1.276 20 0 DDADMM C[C@@H](CCCCO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000295766725 533546487 /nfs/dbraw/zinc/54/64/87/533546487.db2.gz PMMMRQMVGSLOBL-QMMMGPOBSA-N -1 1 311.325 1.933 20 0 DDADMM Cn1cnnc1CS(=O)(=O)c1ncc(-c2ccc(F)cc2)[n-]1 ZINC000568353250 304287348 /nfs/dbraw/zinc/28/73/48/304287348.db2.gz MFJQCNIZMJLWKE-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM Cn1cnnc1CS(=O)(=O)c1nc(-c2ccc(F)cc2)c[n-]1 ZINC000568353250 304287350 /nfs/dbraw/zinc/28/73/50/304287350.db2.gz MFJQCNIZMJLWKE-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM Cc1[nH]ccc1C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000292549501 533641988 /nfs/dbraw/zinc/64/19/88/533641988.db2.gz MKYGAVKYLWIVLT-MWLCHTKSSA-N -1 1 317.311 1.995 20 0 DDADMM C[C@H](CNC(=O)CCc1nn[n-]n1)Oc1ccccc1Cl ZINC000631530905 422816338 /nfs/dbraw/zinc/81/63/38/422816338.db2.gz AKIQQNHCHZRBIW-SECBINFHSA-N -1 1 309.757 1.369 20 0 DDADMM Cc1ccccc1N1CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC000635115279 422819107 /nfs/dbraw/zinc/81/91/07/422819107.db2.gz CXZHMPVYEPOJFA-UHFFFAOYSA-N -1 1 314.393 1.180 20 0 DDADMM C[C@H](N=c1[n-]c(C(N)=O)cs1)[C@H](C)NC(=O)OC(C)(C)C ZINC000496835349 307363458 /nfs/dbraw/zinc/36/34/58/307363458.db2.gz NRCFWZPQTFUYNJ-YUMQZZPRSA-N -1 1 314.411 1.378 20 0 DDADMM COCC1(CCN=c2ccc(C(=O)NC3CCCC3)n[n-]2)CC1 ZINC000569480033 304356599 /nfs/dbraw/zinc/35/65/99/304356599.db2.gz QSMFDXQABJUIBC-UHFFFAOYSA-N -1 1 318.421 1.800 20 0 DDADMM C[C@@H]1OCC[C@@]1(O)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000570506637 304412619 /nfs/dbraw/zinc/41/26/19/304412619.db2.gz YUJYBDOIVSBYDU-IINYFYTJSA-N -1 1 311.359 1.251 20 0 DDADMM COC(=O)c1ccc(C[N-]S(=O)(=O)c2c(C)noc2C)cc1 ZINC000069099784 406679413 /nfs/dbraw/zinc/67/94/13/406679413.db2.gz UYJVVNBDFHGEHD-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1c(F)cc(F)cc1F)N(C)C1CC1 ZINC000069128975 406682165 /nfs/dbraw/zinc/68/21/65/406682165.db2.gz CMSNCWHFUGORMC-QMMMGPOBSA-N -1 1 322.352 1.865 20 0 DDADMM COC(=O)C[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000035160229 406967923 /nfs/dbraw/zinc/96/79/23/406967923.db2.gz ONSRWDNVASMRDT-UHFFFAOYSA-N -1 1 304.176 1.506 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cccc(Cl)c1F)C(C)C ZINC000035250406 406970746 /nfs/dbraw/zinc/97/07/46/406970746.db2.gz NDAQEEVRQLWNOC-LLVKDONJSA-N -1 1 323.773 1.955 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCSCC1)c2=O ZINC000077243688 406981304 /nfs/dbraw/zinc/98/13/04/406981304.db2.gz ZTYYXBNGOZSFGJ-UHFFFAOYSA-N -1 1 304.371 1.726 20 0 DDADMM CC[C@@H](C)n1ncc2c1nc(C)cc2C(=O)Nc1nnn[n-]1 ZINC000076964210 406975655 /nfs/dbraw/zinc/97/56/55/406975655.db2.gz NDDCXQBNVLDETL-MRVPVSSYSA-N -1 1 300.326 1.476 20 0 DDADMM CC[C@@H](C)n1ncc2c1nc(C)cc2C(=O)Nc1nn[n-]n1 ZINC000076964210 406975658 /nfs/dbraw/zinc/97/56/58/406975658.db2.gz NDDCXQBNVLDETL-MRVPVSSYSA-N -1 1 300.326 1.476 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cc(Cl)ccc2C)n1 ZINC000044454535 407023224 /nfs/dbraw/zinc/02/32/24/407023224.db2.gz ORDFVZCJIPJAAG-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM COCc1nc(C(=O)NCCc2n[n-]c(=S)n2C)cs1 ZINC000078502136 407031252 /nfs/dbraw/zinc/03/12/52/407031252.db2.gz XVFIPRAVOXNLAF-UHFFFAOYSA-N -1 1 313.408 1.053 20 0 DDADMM CCn1c(CCNC(=O)c2ccc(Cl)nc2)n[n-]c1=S ZINC000078543052 407033377 /nfs/dbraw/zinc/03/33/77/407033377.db2.gz RQBHBRIVOXLDJD-UHFFFAOYSA-N -1 1 311.798 1.981 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Br)cc1F ZINC000044929367 407034194 /nfs/dbraw/zinc/03/41/94/407034194.db2.gz WYVKNMUYXMISED-UHFFFAOYSA-N -1 1 300.091 1.031 20 0 DDADMM Cc1cccc(C)c1NC(=O)CCCS(=O)(=O)c1nc[n-]n1 ZINC000086169973 407108940 /nfs/dbraw/zinc/10/89/40/407108940.db2.gz XNSHKHOGTRATKZ-UHFFFAOYSA-N -1 1 322.390 1.614 20 0 DDADMM COC(=O)CCCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000049283026 407118167 /nfs/dbraw/zinc/11/81/67/407118167.db2.gz LUKHQKGKYLXUCB-UHFFFAOYSA-N -1 1 307.318 1.586 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(Br)ccc2[O-])C[C@H]1O ZINC000089423928 407134839 /nfs/dbraw/zinc/13/48/39/407134839.db2.gz PNAIAFWCCMXUDG-QPUJVOFHSA-N -1 1 314.179 1.998 20 0 DDADMM COc1ccc(COCCNC(=O)c2cncc([O-])c2)cc1 ZINC000089460425 407136279 /nfs/dbraw/zinc/13/62/79/407136279.db2.gz JPMOYJLYWZARIH-UHFFFAOYSA-N -1 1 302.330 1.742 20 0 DDADMM CCOC(=O)CC[C@H](C)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000089783033 407149306 /nfs/dbraw/zinc/14/93/06/407149306.db2.gz IWTLVXBWRMPGCJ-QMMMGPOBSA-N -1 1 323.802 1.084 20 0 DDADMM Cn1cnc(-c2ccc([N-]S(=O)(=O)C[C@H]3CCCO3)cc2)n1 ZINC000185637184 407467953 /nfs/dbraw/zinc/46/79/53/407467953.db2.gz MSNPEPMWFSYUQK-CYBMUJFWSA-N -1 1 322.390 1.403 20 0 DDADMM CCN(CC(C)(C)O)C(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000128113366 407496149 /nfs/dbraw/zinc/49/61/49/407496149.db2.gz VFZACNYHRYHVKO-UHFFFAOYSA-N -1 1 303.362 1.877 20 0 DDADMM CCN(CC(C)(C)O)C(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000128113366 407496155 /nfs/dbraw/zinc/49/61/55/407496155.db2.gz VFZACNYHRYHVKO-UHFFFAOYSA-N -1 1 303.362 1.877 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cccc3ncccc32)n1 ZINC000113421628 407503497 /nfs/dbraw/zinc/50/34/97/407503497.db2.gz UUBQMPSGWZWFFD-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cccc3ncccc32)[n-]1 ZINC000113421628 407503504 /nfs/dbraw/zinc/50/35/04/407503504.db2.gz UUBQMPSGWZWFFD-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM CCC[C@@H]1SCCN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000152061022 407553051 /nfs/dbraw/zinc/55/30/51/407553051.db2.gz QSWGOZLKVJXDAM-JTQLQIEISA-N -1 1 318.420 1.503 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(CC(F)(F)C(F)F)CC1 ZINC000114540314 407588611 /nfs/dbraw/zinc/58/86/11/407588611.db2.gz IEXWZDFEUUABSS-UHFFFAOYSA-N -1 1 321.274 1.445 20 0 DDADMM Cc1ccc(CO)cc1[N-]S(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000151883248 407520883 /nfs/dbraw/zinc/52/08/83/407520883.db2.gz MRBQFHUDFRTZFM-UHFFFAOYSA-N -1 1 320.370 1.387 20 0 DDADMM C[C@@H](CN(C)C)NS(=O)(=O)c1ccc(C(=O)[O-])c(Cl)c1 ZINC000218602545 407523110 /nfs/dbraw/zinc/52/31/10/407523110.db2.gz YNRMFWZTQLHNKU-QMMMGPOBSA-N -1 1 320.798 1.267 20 0 DDADMM O=C(CCc1cccc(F)c1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129533411 407614991 /nfs/dbraw/zinc/61/49/91/407614991.db2.gz JBSHWOZGISTCEQ-LLVKDONJSA-N -1 1 321.331 1.817 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@H]1CO)c1cc(F)ccc1F ZINC000226415409 407617547 /nfs/dbraw/zinc/61/75/47/407617547.db2.gz UDWLMDMTQCKSBP-CABZTGNLSA-N -1 1 305.346 1.794 20 0 DDADMM CSCCN(C)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000152427894 407633858 /nfs/dbraw/zinc/63/38/58/407633858.db2.gz IYNDWGJACLJHEW-UHFFFAOYSA-N -1 1 315.464 1.554 20 0 DDADMM CC(C)OC(=O)C[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000186450281 407671154 /nfs/dbraw/zinc/67/11/54/407671154.db2.gz UELKCUWJZZRSQV-GFCCVEGCSA-N -1 1 306.362 1.981 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(Br)ccc2[O-])CC[C@H]1O ZINC000186623798 407724760 /nfs/dbraw/zinc/72/47/60/407724760.db2.gz OXRIKTKGACCPCD-LDYMZIIASA-N -1 1 314.179 1.998 20 0 DDADMM COCCN(CC(=O)OC)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000272210277 407818138 /nfs/dbraw/zinc/81/81/38/407818138.db2.gz GJIZMZBMUMJODC-UHFFFAOYSA-N -1 1 321.329 1.120 20 0 DDADMM CCC1(CC)CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000268075017 407879228 /nfs/dbraw/zinc/87/92/28/407879228.db2.gz MULYNANRPHQTHH-UHFFFAOYSA-N -1 1 314.407 1.840 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2ccc3c(c2)OCCO3)C1 ZINC000262668978 407893979 /nfs/dbraw/zinc/89/39/79/407893979.db2.gz ONIXKDWPKDRADR-LLVKDONJSA-N -1 1 320.345 1.193 20 0 DDADMM O=c1c(Br)c[nH]cc1[N-]S(=O)(=O)N1CCCC1 ZINC000272419663 407911440 /nfs/dbraw/zinc/91/14/40/407911440.db2.gz HQYKYJQCSVCOGC-UHFFFAOYSA-N -1 1 322.184 1.302 20 0 DDADMM Cc1nn(C)c2ncc(S(=O)(=O)[N-]c3cccc(O)c3)cc12 ZINC000134609222 407914225 /nfs/dbraw/zinc/91/42/25/407914225.db2.gz DFBQYHOIRLMJDV-UHFFFAOYSA-N -1 1 318.358 1.783 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@H]([C@H](C)O)C3)c[n-]c2[nH+]1 ZINC000153934034 407936203 /nfs/dbraw/zinc/93/62/03/407936203.db2.gz JQQGZBUPLXTXAH-RYUDHWBXSA-N -1 1 315.373 1.877 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@H]([C@H](C)O)C3)cnc2n1 ZINC000153934034 407936208 /nfs/dbraw/zinc/93/62/08/407936208.db2.gz JQQGZBUPLXTXAH-RYUDHWBXSA-N -1 1 315.373 1.877 20 0 DDADMM O=S(=O)([N-]CC1(CCO)CC1)c1cc(F)c(F)cc1F ZINC000188941378 407988109 /nfs/dbraw/zinc/98/81/09/407988109.db2.gz PINVSMFEAHOYNQ-UHFFFAOYSA-N -1 1 309.309 1.545 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CC[C@H](C)CC2)c1 ZINC000245536148 408008357 /nfs/dbraw/zinc/00/83/57/408008357.db2.gz IHWJIIOFETUONZ-HOMQSWHASA-N -1 1 301.364 1.923 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3CC[C@H](O)CC3)cnc2n1 ZINC000245851379 408078641 /nfs/dbraw/zinc/07/86/41/408078641.db2.gz CVYXPKSOJOZPHL-XYPYZODXSA-N -1 1 301.346 1.677 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H]3CC[C@H](O)CC3)c[n-]c2n1 ZINC000245851379 408078648 /nfs/dbraw/zinc/07/86/48/408078648.db2.gz CVYXPKSOJOZPHL-XYPYZODXSA-N -1 1 301.346 1.677 20 0 DDADMM CCC[C@H](NC(=O)c1cc2c([nH]c1=O)CCCC2)c1nn[n-]n1 ZINC000136659999 408115934 /nfs/dbraw/zinc/11/59/34/408115934.db2.gz XVQLNURRPRRUNZ-LBPRGKRZSA-N -1 1 316.365 1.038 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCN2C(=O)NC[C@@H]2C1 ZINC000175455833 408136801 /nfs/dbraw/zinc/13/68/01/408136801.db2.gz XHJNZDZOHMPBDG-GFCCVEGCSA-N -1 1 311.341 1.395 20 0 DDADMM COc1cccc(-c2cc(C(=O)N(C)[C@H](C)C(=O)[O-])n[nH]2)c1 ZINC000263558280 408172724 /nfs/dbraw/zinc/17/27/24/408172724.db2.gz AEICEHUBOLYLTE-SECBINFHSA-N -1 1 303.318 1.630 20 0 DDADMM O=C(/C=C/SCc1ccco1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155494601 408174642 /nfs/dbraw/zinc/17/46/42/408174642.db2.gz CKBNSPZWORMWEG-AYLMVEPYSA-N -1 1 319.390 1.946 20 0 DDADMM O=C(Cc1c(F)cccc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155523797 408174727 /nfs/dbraw/zinc/17/47/27/408174727.db2.gz RGFXIWUSIACIFE-SECBINFHSA-N -1 1 307.304 1.427 20 0 DDADMM O=C(c1coc(-c2ccccc2)n1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155587861 408184431 /nfs/dbraw/zinc/18/44/31/408184431.db2.gz XKLOUICZGLKUFK-GFCCVEGCSA-N -1 1 324.344 1.875 20 0 DDADMM CCN(CC)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000263667273 408198047 /nfs/dbraw/zinc/19/80/47/408198047.db2.gz JZEFIFIBROZGLR-UHFFFAOYSA-N -1 1 306.366 1.007 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000263676459 408201290 /nfs/dbraw/zinc/20/12/90/408201290.db2.gz JYGJNGWTXNQABR-NSHDSACASA-N -1 1 321.377 1.774 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)C3CCOCC3)[nH]c21 ZINC000157769431 408317026 /nfs/dbraw/zinc/31/70/26/408317026.db2.gz WZLUDTIUBGDUTK-UHFFFAOYSA-N -1 1 303.318 1.715 20 0 DDADMM CC(C)COc1cccc(C(=O)NCCCc2nc(=O)[n-][nH]2)c1 ZINC000176256865 408324097 /nfs/dbraw/zinc/32/40/97/408324097.db2.gz DTAOPKVSNWQJHV-UHFFFAOYSA-N -1 1 318.377 1.495 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2ccn(C(CC)CC)n2)n[n-]1 ZINC000269342197 408276433 /nfs/dbraw/zinc/27/64/33/408276433.db2.gz KUFVKHNBLQWWED-UHFFFAOYSA-N -1 1 311.411 1.899 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@H]2COCCO2)c1 ZINC000182925942 408286873 /nfs/dbraw/zinc/28/68/73/408286873.db2.gz KRIUIMWYYLTGJD-SNVBAGLBSA-N -1 1 316.151 1.817 20 0 DDADMM CC[C@H](C)[C@H](C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000182974173 408298293 /nfs/dbraw/zinc/29/82/93/408298293.db2.gz FZDHWGOGHKNMMK-IUCAKERBSA-N -1 1 300.380 1.660 20 0 DDADMM O=S(=O)([N-]CCCOCCO)c1c(F)cccc1Cl ZINC000133356268 162056598 /nfs/dbraw/zinc/05/65/98/162056598.db2.gz XNFKCCSIYMVOBF-UHFFFAOYSA-N -1 1 311.762 1.156 20 0 DDADMM CC(C)Cc1ccc(C(=O)CCC(=O)Nc2nnn[n-]2)cc1 ZINC000264009799 408343452 /nfs/dbraw/zinc/34/34/52/408343452.db2.gz KRAPRKYHHLILDR-UHFFFAOYSA-N -1 1 301.350 2.000 20 0 DDADMM CC(C)Cc1ccc(C(=O)CCC(=O)Nc2nn[n-]n2)cc1 ZINC000264009799 408343458 /nfs/dbraw/zinc/34/34/58/408343458.db2.gz KRAPRKYHHLILDR-UHFFFAOYSA-N -1 1 301.350 2.000 20 0 DDADMM O=C(N[C@H]1CCN(c2ncccc2Cl)C1)c1cncc([O-])c1 ZINC000176815302 408450494 /nfs/dbraw/zinc/45/04/94/408450494.db2.gz RWFJCBRHWKKRHH-NSHDSACASA-N -1 1 318.764 1.844 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(=O)[nH]c2ccccc21)c1nn[n-]n1 ZINC000183398200 408398256 /nfs/dbraw/zinc/39/82/56/408398256.db2.gz VVJLJWQPRLZGCF-SECBINFHSA-N -1 1 312.333 1.329 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(Cl)c(Cl)n1C)c1nn[n-]n1 ZINC000183395356 408398373 /nfs/dbraw/zinc/39/83/73/408398373.db2.gz XMTZRPOXDVRQGT-ZCFIWIBFSA-N -1 1 317.180 1.721 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@H]1OCCc2ccccc21)c1nn[n-]n1 ZINC000183421939 408408578 /nfs/dbraw/zinc/40/85/78/408408578.db2.gz VFJPPDOCSCZTBC-RISCZKNCSA-N -1 1 315.377 1.466 20 0 DDADMM CCC[C@H](NC(=O)COc1ccc(C)c(C)c1)c1nn[n-]n1 ZINC000176753826 408432231 /nfs/dbraw/zinc/43/22/31/408432231.db2.gz SBDCHNXRTRYUHI-ZDUSSCGKSA-N -1 1 303.366 1.853 20 0 DDADMM CC(C)(Oc1ccc(F)cc1)C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000176757650 408434580 /nfs/dbraw/zinc/43/45/80/408434580.db2.gz JXFKEDBSXLRMQM-UHFFFAOYSA-N -1 1 322.340 1.144 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H](C)NC(=O)C1CCCC1)c1nn[n-]n1 ZINC000176762469 408436139 /nfs/dbraw/zinc/43/61/39/408436139.db2.gz OCFHSYUJEMWBOQ-CMPLNLGQSA-N -1 1 322.413 1.242 20 0 DDADMM COc1ccc(-c2nc(CS(=O)(=O)c3ncn[n-]3)co2)cc1 ZINC000177504224 408642909 /nfs/dbraw/zinc/64/29/09/408642909.db2.gz KEGSIBABVSCOMF-UHFFFAOYSA-N -1 1 320.330 1.442 20 0 DDADMM COc1ccc(-c2nc(CS(=O)(=O)c3nc[n-]n3)co2)cc1 ZINC000177504224 408642916 /nfs/dbraw/zinc/64/29/16/408642916.db2.gz KEGSIBABVSCOMF-UHFFFAOYSA-N -1 1 320.330 1.442 20 0 DDADMM CC(C)CN(C(=O)CS(=O)(=O)c1ccc([O-])cc1)C1CC1 ZINC000177526963 408646850 /nfs/dbraw/zinc/64/68/50/408646850.db2.gz YPMJWCIDJZVRSZ-UHFFFAOYSA-N -1 1 311.403 1.813 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(c2cccc(C)c2C)C1 ZINC000184760545 408684888 /nfs/dbraw/zinc/68/48/88/408684888.db2.gz AGESPHYGQHIBID-CQSZACIVSA-N -1 1 320.389 1.741 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc(C(=O)NC2CC2)cc1 ZINC000193113983 408697986 /nfs/dbraw/zinc/69/79/86/408697986.db2.gz PANIWINBGFISQK-JTQLQIEISA-N -1 1 312.391 1.355 20 0 DDADMM COCCS(=O)(=O)Nc1ccc(/C=C\C(=O)[O-])cc1OC ZINC000276062018 408765856 /nfs/dbraw/zinc/76/58/56/408765856.db2.gz KWOGWMVUAAHPSP-XQRVVYSFSA-N -1 1 315.347 1.181 20 0 DDADMM C[C@@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000285719016 408849189 /nfs/dbraw/zinc/84/91/89/408849189.db2.gz UKPBGCSTHWAOHQ-NXEZZACHSA-N -1 1 305.346 1.916 20 0 DDADMM CCS(=O)(=O)C[C@H](C)NC(=O)c1c(F)ccc([O-])c1F ZINC000280871737 408850576 /nfs/dbraw/zinc/85/05/76/408850576.db2.gz FELGQZWRLCSTRJ-ZETCQYMHSA-N -1 1 307.318 1.223 20 0 DDADMM CC(C)=CCCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000276600133 408891441 /nfs/dbraw/zinc/89/14/41/408891441.db2.gz GBEQJGSLMUYIRA-UHFFFAOYSA-N -1 1 302.378 1.654 20 0 DDADMM Cc1cc(N2CCN(C(=O)c3ccc([O-])cc3F)CC2)n[nH]1 ZINC000189801076 163119622 /nfs/dbraw/zinc/11/96/22/163119622.db2.gz OWAMWANQJRVGHE-UHFFFAOYSA-N -1 1 304.325 1.525 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)Cc2ccccc2F)c1 ZINC000192702095 163233812 /nfs/dbraw/zinc/23/38/12/163233812.db2.gz ULGABNZFRBZDCQ-UHFFFAOYSA-N -1 1 324.333 1.360 20 0 DDADMM O=C([N-][C@H]1CCN(c2ccc(Cl)c(F)c2)C1=O)C(F)F ZINC000194822102 163297281 /nfs/dbraw/zinc/29/72/81/163297281.db2.gz HOZUCFMWIOJETC-VIFPVBQESA-N -1 1 306.671 1.966 20 0 DDADMM O=C(N[C@@H]1CCC(=O)NC1)c1ccc(Br)c([O-])c1 ZINC000228317200 163342039 /nfs/dbraw/zinc/34/20/39/163342039.db2.gz UZZQPVRUJMSBMJ-MRVPVSSYSA-N -1 1 313.151 1.163 20 0 DDADMM COCC[C@@H](NC(=O)c1cc(Cl)ccc1[O-])C(=O)OC ZINC000292190083 408997613 /nfs/dbraw/zinc/99/76/13/408997613.db2.gz NUMVKGCYFPGMPY-SNVBAGLBSA-N -1 1 301.726 1.354 20 0 DDADMM CCC[C@@H](CNC(=O)C(=O)c1ccc([O-])cc1)C(=O)OCC ZINC000287946517 409119923 /nfs/dbraw/zinc/11/99/23/409119923.db2.gz GCIRYDCPTHBRJX-LBPRGKRZSA-N -1 1 307.346 1.671 20 0 DDADMM C[C@H](CN1CCOCC1)N(C)C(=O)c1cc(Cl)ccc1[O-] ZINC000279039550 409136796 /nfs/dbraw/zinc/13/67/96/409136796.db2.gz BMZIUCYREZYTLX-LLVKDONJSA-N -1 1 312.797 1.838 20 0 DDADMM CO[C@]1(C)C[C@@H](NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1(C)C ZINC000279255828 409179952 /nfs/dbraw/zinc/17/99/52/409179952.db2.gz IHXUUMDDAZVZOE-DYJQDLSISA-N -1 1 317.393 1.667 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC[C@@]2(CNC(=O)C2)C1 ZINC000279533449 409233754 /nfs/dbraw/zinc/23/37/54/409233754.db2.gz NGCAVUBSBXHKKO-GOSISDBHSA-N -1 1 310.353 1.898 20 0 DDADMM CCC[C@@H](C)C(=O)NCCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000294129062 409245608 /nfs/dbraw/zinc/24/56/08/409245608.db2.gz SQPFSMILKCBQSK-LLVKDONJSA-N -1 1 306.362 1.244 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccccc1SCC(N)=O ZINC000283995280 409253698 /nfs/dbraw/zinc/25/36/98/409253698.db2.gz ICENBZKAEGVQBG-SECBINFHSA-N -1 1 318.420 1.041 20 0 DDADMM C[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@H]1CCCCO1 ZINC000295059490 409311299 /nfs/dbraw/zinc/31/12/99/409311299.db2.gz PHXWBQIJVOXDEX-MWLCHTKSSA-N -1 1 306.391 1.705 20 0 DDADMM CCC[C@@H](NC(=O)c1coc2c1C(=O)CCC2)c1nn[n-]n1 ZINC000295089386 409317958 /nfs/dbraw/zinc/31/79/58/409317958.db2.gz XPJMLUYMFLEBBZ-SECBINFHSA-N -1 1 303.322 1.583 20 0 DDADMM O=C(CCNC(=O)C(=O)c1ccc([O-])cc1)N1CCCCCC1 ZINC000280694673 409414823 /nfs/dbraw/zinc/41/48/23/409414823.db2.gz DRVXOEHDORMANN-UHFFFAOYSA-N -1 1 318.373 1.484 20 0 DDADMM COC(=O)c1cnc(S[C@@H](C)C(=O)Nc2nccs2)[n-]1 ZINC000295297542 409420636 /nfs/dbraw/zinc/42/06/36/409420636.db2.gz JUQFDAOVHHXBLJ-LURJTMIESA-N -1 1 312.376 1.772 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H](C)C(=O)Nc2nccs2)n1 ZINC000295297542 409420642 /nfs/dbraw/zinc/42/06/42/409420642.db2.gz JUQFDAOVHHXBLJ-LURJTMIESA-N -1 1 312.376 1.772 20 0 DDADMM O=C(NCCN1CCc2ccccc2C1)C(=O)c1ccc([O-])cc1 ZINC000280709786 409421295 /nfs/dbraw/zinc/42/12/95/409421295.db2.gz GCTIXZQXFSZOOH-UHFFFAOYSA-N -1 1 324.380 1.749 20 0 DDADMM COC(=O)CN(CC(=O)OC)Cc1cccc([O-])c1Cl ZINC000295319008 409431908 /nfs/dbraw/zinc/43/19/08/409431908.db2.gz LDLGEUWOLZIISH-UHFFFAOYSA-N -1 1 301.726 1.194 20 0 DDADMM O=C(CC[C@H]1CCCCO1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000408000053 164194296 /nfs/dbraw/zinc/19/42/96/164194296.db2.gz XHTSBXSYLHCVRI-VXGBXAGGSA-N -1 1 309.366 1.831 20 0 DDADMM O=C(c1n[nH]c2c1CCC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000408000243 164194349 /nfs/dbraw/zinc/19/43/49/164194349.db2.gz YTHRHTRPQYBFMV-MRVPVSSYSA-N -1 1 303.322 1.007 20 0 DDADMM CO[C@@H]1CCC[C@H]1[C@@H]1COCCN1C(=O)c1ncc(C)cc1[O-] ZINC000408062563 164213517 /nfs/dbraw/zinc/21/35/17/164213517.db2.gz HMVHKXYSUACJMB-KCQAQPDRSA-N -1 1 320.389 1.752 20 0 DDADMM O=S(=O)([N-]CCF)c1cnc(Cl)c(Br)c1 ZINC000296330651 409505493 /nfs/dbraw/zinc/50/54/93/409505493.db2.gz QSRNWWLJTZNTSC-UHFFFAOYSA-N -1 1 317.567 1.745 20 0 DDADMM Cc1csc(CCC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000331446801 409518509 /nfs/dbraw/zinc/51/85/09/409518509.db2.gz IKIVFLCFRHIUAS-JTQLQIEISA-N -1 1 322.390 1.879 20 0 DDADMM CCS[C@@H]1CCC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337918372 409569115 /nfs/dbraw/zinc/56/91/15/409569115.db2.gz WNXJVVDYCCZPGM-CMPLNLGQSA-N -1 1 323.418 1.307 20 0 DDADMM CCC[C@H](NC(=O)C1CCC(OC(F)F)CC1)c1nn[n-]n1 ZINC000354012197 409599432 /nfs/dbraw/zinc/59/94/32/409599432.db2.gz YAHCTMRCVYJFKS-RTBKNWGFSA-N -1 1 317.340 1.955 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H](C)c1ccsc1 ZINC000342414585 409612027 /nfs/dbraw/zinc/61/20/27/409612027.db2.gz NCIOTKDMABDTKF-VIFPVBQESA-N -1 1 307.375 1.822 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)c2ccc(CN(C)C)cc2)s[n-]1 ZINC000338022006 409652090 /nfs/dbraw/zinc/65/20/90/409652090.db2.gz XIZFOHBCMDIACO-JTQLQIEISA-N -1 1 320.418 1.981 20 0 DDADMM C[C@H](NC(=O)CN1CC[C@H](C(=O)[O-])[C@@H](C)C1)c1cccs1 ZINC000314220001 409822412 /nfs/dbraw/zinc/82/24/12/409822412.db2.gz WYRYVQYRBZDDDK-SRVKXCTJSA-N -1 1 310.419 1.968 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccn(Cc2ccccc2)c1 ZINC000357055975 409824933 /nfs/dbraw/zinc/82/49/33/409824933.db2.gz WPNCIYLXSUREPH-UHFFFAOYSA-N -1 1 308.345 1.469 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C)c2nnc3n2CCCC3)c([O-])c1 ZINC000332046500 409834074 /nfs/dbraw/zinc/83/40/74/409834074.db2.gz LYJJZBYJROOUCV-JTQLQIEISA-N -1 1 301.350 1.514 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@H](C)c1ccc(C)cc1 ZINC000349587135 409882136 /nfs/dbraw/zinc/88/21/36/409882136.db2.gz NNOSEFXYXRXMNN-JTQLQIEISA-N -1 1 309.391 1.786 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H](C)c1ccc(C)cc1 ZINC000349587135 409882147 /nfs/dbraw/zinc/88/21/47/409882147.db2.gz NNOSEFXYXRXMNN-JTQLQIEISA-N -1 1 309.391 1.786 20 0 DDADMM CC(C)(CO)O[N-]C(=O)Cc1sccc1Br ZINC000297271885 409888120 /nfs/dbraw/zinc/88/81/20/409888120.db2.gz SDIYZRXSXLBJEB-UHFFFAOYSA-N -1 1 308.197 1.872 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(Cc1ccccc1)C(C)C ZINC000357219389 409949545 /nfs/dbraw/zinc/94/95/45/409949545.db2.gz LOHZJPSNVWCQDY-UHFFFAOYSA-N -1 1 317.345 1.947 20 0 DDADMM Cn1cc(-c2nc(-c3ccc([O-])cc3Cl)no2)c(=O)[nH]c1=O ZINC000350815047 409998100 /nfs/dbraw/zinc/99/81/00/409998100.db2.gz MFYHSWNDYWAQLD-UHFFFAOYSA-N -1 1 320.692 1.562 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(Cc1ccccc1F)C1CC1 ZINC000635162693 422853299 /nfs/dbraw/zinc/85/32/99/422853299.db2.gz LNHYAYSKCKVFHH-UHFFFAOYSA-N -1 1 303.341 1.853 20 0 DDADMM CCNC(=O)c1ccc(=NCCSCC(F)(F)F)[n-]n1 ZINC000354885373 410148172 /nfs/dbraw/zinc/14/81/72/410148172.db2.gz MUVHHVRNZZBWNK-UHFFFAOYSA-N -1 1 308.329 1.356 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCc1scnc1C ZINC000298402286 410213276 /nfs/dbraw/zinc/21/32/76/410213276.db2.gz NJJVDNUJAXCIND-UHFFFAOYSA-N -1 1 300.380 1.774 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)c2cccc(OC)n2)n1 ZINC000351695098 410154962 /nfs/dbraw/zinc/15/49/62/410154962.db2.gz MOJRIHHHPHSIHH-UHFFFAOYSA-N -1 1 307.331 1.575 20 0 DDADMM COc1ccc([C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cc1OC ZINC000329270988 410204520 /nfs/dbraw/zinc/20/45/20/410204520.db2.gz HVKNLZZVMVNOGA-LBPRGKRZSA-N -1 1 318.377 1.463 20 0 DDADMM C[C@H]1CCC[C@@H](N(C)C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343170609 410207271 /nfs/dbraw/zinc/20/72/71/410207271.db2.gz MCTKKSXQWMQZIE-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCCC(F)(F)C2)co1 ZINC000339510055 410243961 /nfs/dbraw/zinc/24/39/61/410243961.db2.gz KRWLTZFEWRCQAV-SECBINFHSA-N -1 1 322.333 1.495 20 0 DDADMM O=C(c1cc(F)cc2nn[nH]c21)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000329363362 410258351 /nfs/dbraw/zinc/25/83/51/410258351.db2.gz HNRVARPNUFXKSR-OLZOCXBDSA-N -1 1 320.324 1.117 20 0 DDADMM CC[C@@H](C)NC(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000298628103 410320863 /nfs/dbraw/zinc/32/08/63/410320863.db2.gz GNKBGRXQYHRWCT-SECBINFHSA-N -1 1 315.395 1.987 20 0 DDADMM CC[C@](NC(=O)c1cncc([O-])c1)(C(=O)OC)c1ccccc1 ZINC000339942461 410566885 /nfs/dbraw/zinc/56/68/85/410566885.db2.gz GPLGCXMILWQOOO-QGZVFWFLSA-N -1 1 314.341 1.996 20 0 DDADMM Cc1cn(-c2ncccc2F)nc1NC(=O)c1cncc([O-])c1 ZINC000339957770 410575368 /nfs/dbraw/zinc/57/53/68/410575368.db2.gz CMNABEVMXQTNCH-UHFFFAOYSA-N -1 1 313.292 1.490 20 0 DDADMM CC(C)(NC(=O)CCC(=O)c1ccc(Cl)cn1)c1nn[n-]n1 ZINC000347498032 410587727 /nfs/dbraw/zinc/58/77/27/410587727.db2.gz JMEAQEWQYKZQEA-UHFFFAOYSA-N -1 1 322.756 1.263 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@H]1CCCC[C@@H]1C(F)(F)F ZINC000631627274 422859689 /nfs/dbraw/zinc/85/96/89/422859689.db2.gz ZHVNYPFIOQPVJK-BDAKNGLRSA-N -1 1 305.304 1.617 20 0 DDADMM C[C@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])c1cccnc1 ZINC000347712472 410683357 /nfs/dbraw/zinc/68/33/57/410683357.db2.gz FFRAWOPIAGEJIC-VIFPVBQESA-N -1 1 321.358 1.177 20 0 DDADMM CC(C)(NC(=O)Cc1cccc(C(F)(F)F)c1)c1nn[n-]n1 ZINC000359791898 410884998 /nfs/dbraw/zinc/88/49/98/410884998.db2.gz JRTPLWMGZKOJGK-UHFFFAOYSA-N -1 1 313.283 1.813 20 0 DDADMM O=C(N[C@H]1CC[S@](=O)C1)c1ccc(Br)c([O-])c1 ZINC000343977905 410862075 /nfs/dbraw/zinc/86/20/75/410862075.db2.gz KPTWZNRKBIBWBO-QPFGOUBPSA-N -1 1 318.192 1.406 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCCSC)c1 ZINC000359693077 410817807 /nfs/dbraw/zinc/81/78/07/410817807.db2.gz MVCVVQFIOJJHNM-UHFFFAOYSA-N -1 1 318.420 1.382 20 0 DDADMM CSc1ccc(O[C@H](C)C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348284664 410896981 /nfs/dbraw/zinc/89/69/81/410896981.db2.gz WEEFEWHIOVGSSD-SECBINFHSA-N -1 1 319.390 1.494 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cccc(OC(F)(F)F)c1 ZINC000348291991 410901575 /nfs/dbraw/zinc/90/15/75/410901575.db2.gz KNFQBHDOWCQACS-UHFFFAOYSA-N -1 1 313.239 1.517 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCC[C@@H]2OC)o1 ZINC000341161623 410908656 /nfs/dbraw/zinc/90/86/56/410908656.db2.gz COGUGNFRAMDSJO-UWVGGRQHSA-N -1 1 317.363 1.160 20 0 DDADMM O=C(Cc1ccccc1C(F)(F)F)NC1(c2nn[n-]n2)CC1 ZINC000348296815 410903285 /nfs/dbraw/zinc/90/32/85/410903285.db2.gz HZHMCOFDAIAJKV-UHFFFAOYSA-N -1 1 311.267 1.567 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cnc2ccccn2c1=O ZINC000353318225 410917724 /nfs/dbraw/zinc/91/77/24/410917724.db2.gz YEQVTORBNLFKRD-UHFFFAOYSA-N -1 1 324.222 1.084 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(CCCCOC)no2)co1 ZINC000356347366 410978173 /nfs/dbraw/zinc/97/81/73/410978173.db2.gz VRJVUZACQMGWOT-UHFFFAOYSA-N -1 1 315.351 1.207 20 0 DDADMM COCCOCCN(C)C(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000356602602 411104164 /nfs/dbraw/zinc/10/41/64/411104164.db2.gz IKBJUUSGCQIGIO-UHFFFAOYSA-N -1 1 319.361 1.379 20 0 DDADMM COCCOCCN(C)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000356602602 411104173 /nfs/dbraw/zinc/10/41/73/411104173.db2.gz IKBJUUSGCQIGIO-UHFFFAOYSA-N -1 1 319.361 1.379 20 0 DDADMM O=S(=O)([N-]c1ccsc1)c1ccc(-n2cnnn2)cc1 ZINC000360231296 411106637 /nfs/dbraw/zinc/10/66/37/411106637.db2.gz QYRSUGFZEHRYAR-UHFFFAOYSA-N -1 1 307.360 1.525 20 0 DDADMM CC[C@@H](CNC(=O)CCc1nn[n-]n1)Oc1ccccc1C ZINC000631642147 422864665 /nfs/dbraw/zinc/86/46/65/422864665.db2.gz CJRXTDJZULOGPH-LBPRGKRZSA-N -1 1 303.366 1.415 20 0 DDADMM O=S(=O)(CCOCC(F)(F)F)[N-]c1cccc(O)c1F ZINC000580608075 422943979 /nfs/dbraw/zinc/94/39/79/422943979.db2.gz CPFCEEJYFNFWFY-UHFFFAOYSA-N -1 1 317.260 1.852 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H](C)[C@@H](C)CO ZINC000131631042 196186115 /nfs/dbraw/zinc/18/61/15/196186115.db2.gz IKKYFGNFYINRRH-VHSXEESVSA-N -1 1 320.393 1.117 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCC(C)(F)F)c1 ZINC000642990890 423018388 /nfs/dbraw/zinc/01/83/88/423018388.db2.gz VCLMNRCJZSAYGU-UHFFFAOYSA-N -1 1 322.333 1.674 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCOC1)c1ncccc1C(F)(F)F ZINC000645483657 423052587 /nfs/dbraw/zinc/05/25/87/423052587.db2.gz ZQKUGFSGKNRSBI-VIFPVBQESA-N -1 1 324.324 1.805 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)no1 ZINC000645489779 423053033 /nfs/dbraw/zinc/05/30/33/423053033.db2.gz MNLNDFQRRLOFSZ-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCCC[C@@H]1C(=O)N1CCCC1 ZINC000647815863 423059519 /nfs/dbraw/zinc/05/95/19/423059519.db2.gz OVWDPJCGTCIWEE-NEPJUHHUSA-N -1 1 320.393 1.791 20 0 DDADMM C[C@H](CO)[C@H](C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645560217 423086027 /nfs/dbraw/zinc/08/60/27/423086027.db2.gz WRQXQXPZVVILJT-SFYZADRCSA-N -1 1 312.313 1.396 20 0 DDADMM Cc1noc(COCc2nc(-c3ccc([O-])c(F)c3)no2)n1 ZINC000350827276 306757833 /nfs/dbraw/zinc/75/78/33/306757833.db2.gz ZECQJDNWKVEXPO-UHFFFAOYSA-N -1 1 306.253 1.990 20 0 DDADMM CCc1nn(C)cc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640657008 423118136 /nfs/dbraw/zinc/11/81/36/423118136.db2.gz XPQQBGPEMZHIJW-UHFFFAOYSA-N -1 1 307.379 1.130 20 0 DDADMM CSc1nc(CNC(=O)c2ccnc(N(C)C)c2)cc(=O)[n-]1 ZINC000640657288 423117947 /nfs/dbraw/zinc/11/79/47/423117947.db2.gz DCNFDERUEVEBPN-UHFFFAOYSA-N -1 1 319.390 1.295 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CCCCO1)[C@H](C)CC ZINC000360747933 418507714 /nfs/dbraw/zinc/50/77/14/418507714.db2.gz URHBZQJHZNZISJ-JHJVBQTASA-N -1 1 321.439 1.453 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC[C@@H]3OCCC[C@@H]3C1)c2=O ZINC000374284405 418513767 /nfs/dbraw/zinc/51/37/67/418513767.db2.gz TWYKZQRDGYSUOT-MFKMUULPSA-N -1 1 316.361 1.315 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)[N-]S(=O)(=O)CCC(C)C ZINC000360843878 418532002 /nfs/dbraw/zinc/53/20/02/418532002.db2.gz AJMSVEKAUMOVAI-MRVPVSSYSA-N -1 1 305.318 1.446 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C[C@H](CO)O1 ZINC000187948152 222002207 /nfs/dbraw/zinc/00/22/07/222002207.db2.gz XWRQXTHEODBQNC-PSASIEDQSA-N -1 1 319.279 1.633 20 0 DDADMM Cc1n[n-]c(=NCCN2CCN(C)C[C@@H]2c2ccccc2)s1 ZINC000361828002 418715451 /nfs/dbraw/zinc/71/54/51/418715451.db2.gz FERHTQMLYAOKMU-OAHLLOKOSA-N -1 1 317.462 1.669 20 0 DDADMM Cc1cnc(CCNC(=O)c2c[nH]c3c(C)cccc3c2=O)nc1 ZINC000361539056 418672945 /nfs/dbraw/zinc/67/29/45/418672945.db2.gz DRJWWSLKYVRWRE-UHFFFAOYSA-N -1 1 322.368 1.907 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C1CC1 ZINC000361959478 418730387 /nfs/dbraw/zinc/73/03/87/418730387.db2.gz LTHZDZKASIZTIS-SNVBAGLBSA-N -1 1 321.757 1.709 20 0 DDADMM CC1(C)CCCC[C@@H]1CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370976216 418753610 /nfs/dbraw/zinc/75/36/10/418753610.db2.gz MDEGRNXFDFRXBE-VXGBXAGGSA-N -1 1 307.398 1.706 20 0 DDADMM CC1(C)CCCC[C@H]1CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370976214 418754164 /nfs/dbraw/zinc/75/41/64/418754164.db2.gz MDEGRNXFDFRXBE-NWDGAFQWSA-N -1 1 307.398 1.706 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC[C@@]2(C1)C(=O)Nc1ccccc12 ZINC000364522413 418783812 /nfs/dbraw/zinc/78/38/12/418783812.db2.gz JAGJWPBHPJPJAF-KRWDZBQOSA-N -1 1 309.325 1.523 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)ccc1Cl ZINC000371962301 418827132 /nfs/dbraw/zinc/82/71/32/418827132.db2.gz KRXFQIKEPRITRJ-LLVKDONJSA-N -1 1 307.741 1.375 20 0 DDADMM C[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@@H](C2CC2)O1 ZINC000365474572 418865879 /nfs/dbraw/zinc/86/58/79/418865879.db2.gz VJWKOBCOZLTXDL-HZMBPMFUSA-N -1 1 313.357 1.563 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2[C@@H]1O)c1cc(F)ccc1[O-] ZINC000365613794 418882640 /nfs/dbraw/zinc/88/26/40/418882640.db2.gz CUELPTGSDPGHGH-DOMZBBRYSA-N -1 1 303.289 1.756 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)OCC3CC3)CC2)n1 ZINC000365945935 418924780 /nfs/dbraw/zinc/92/47/80/418924780.db2.gz NGWCHKJGHSLVDK-UHFFFAOYSA-N -1 1 322.365 1.707 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)Cn2cccn2)c(F)c1 ZINC000425140463 228372676 /nfs/dbraw/zinc/37/26/76/228372676.db2.gz FPYNZESYECLYLS-JTQLQIEISA-N -1 1 315.345 1.837 20 0 DDADMM COc1cc(C(=O)NCC[C@]2(O)CCOC2)cc(Cl)c1[O-] ZINC000652810064 423149630 /nfs/dbraw/zinc/14/96/30/423149630.db2.gz YBNMFELQECUFMX-AWEZNQCLSA-N -1 1 315.753 1.326 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H](CC(C)C)C(N)=O)[nH][n-]2)s1 ZINC000411807016 419422082 /nfs/dbraw/zinc/42/20/82/419422082.db2.gz RBYKQIPDEREYCF-JTQLQIEISA-N -1 1 320.418 1.955 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1ncccc1C(F)(F)F)OC ZINC000645718811 423153083 /nfs/dbraw/zinc/15/30/83/423153083.db2.gz JSCZKMXMIQLWGY-QMMMGPOBSA-N -1 1 312.313 1.804 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CS(=O)(=O)c3ccccc32)c([O-])c1 ZINC000427450645 419662074 /nfs/dbraw/zinc/66/20/74/419662074.db2.gz NFSDHOHSPWAHRN-NSHDSACASA-N -1 1 318.354 1.354 20 0 DDADMM C/C(=C\c1ccccc1)CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000427556036 419684628 /nfs/dbraw/zinc/68/46/28/419684628.db2.gz NCTRNNZOPMSRQK-XYOKQWHBSA-N -1 1 323.356 1.593 20 0 DDADMM COC(=O)[C@@](C)(NC(=O)c1ncccc1[O-])c1ccc(F)cc1 ZINC000428572171 419894977 /nfs/dbraw/zinc/89/49/77/419894977.db2.gz ZKCRIUUZOWDLPU-INIZCTEOSA-N -1 1 318.304 1.745 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1CCCC[C@H]1C(F)(F)F ZINC000430328435 420107972 /nfs/dbraw/zinc/10/79/72/420107972.db2.gz ZZARTQPBOVPJFZ-JGVFFNPUSA-N -1 1 303.288 1.674 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nn(C)c3c2CCCC3)n1 ZINC000415624987 420127588 /nfs/dbraw/zinc/12/75/88/420127588.db2.gz ANFNYRAHQYXHHV-UHFFFAOYSA-N -1 1 317.349 1.451 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@@H]1CCC[C@@H](C)C1 ZINC000416167141 420264220 /nfs/dbraw/zinc/26/42/20/420264220.db2.gz QCLPDFDADMAXJF-ZJUUUORDSA-N -1 1 309.366 1.843 20 0 DDADMM C[C@H]1CCCN1S(=O)(=O)[N-]c1cnn(C)c1C(F)(F)F ZINC000436156033 420312877 /nfs/dbraw/zinc/31/28/77/420312877.db2.gz RDMKLIPKNPNDNK-ZETCQYMHSA-N -1 1 312.317 1.580 20 0 DDADMM NC(=O)[C@H](Cc1ccccc1)NC(=O)c1ccc(Cl)cc1[O-] ZINC000436551453 420340436 /nfs/dbraw/zinc/34/04/36/420340436.db2.gz ZDKZBJONIGJQMW-ZDUSSCGKSA-N -1 1 318.760 1.872 20 0 DDADMM Cc1ncccc1CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425298129 420343613 /nfs/dbraw/zinc/34/36/13/420343613.db2.gz ISOIHEZMMBPWOA-LBPRGKRZSA-N -1 1 302.334 1.427 20 0 DDADMM CCO[C@@H](CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)C(C)C ZINC000425320344 420348871 /nfs/dbraw/zinc/34/88/71/420348871.db2.gz KQNOLNHQRDSLIP-LBPRGKRZSA-N -1 1 311.382 1.932 20 0 DDADMM COC1(c2ccccc2)CN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000436715049 420359647 /nfs/dbraw/zinc/35/96/47/420359647.db2.gz HMHZYFHPJCMSJH-UHFFFAOYSA-N -1 1 311.337 1.959 20 0 DDADMM Cc1nc(NC(=O)c2cc(Br)ccc2[O-])nn1C ZINC000436729884 420360329 /nfs/dbraw/zinc/36/03/29/420360329.db2.gz IJSDKDKGTPNLGN-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM O=C(N[C@@H]1CN(c2ccc(F)cc2)C1=O)c1cc(F)ccc1[O-] ZINC000436729168 420361599 /nfs/dbraw/zinc/36/15/99/420361599.db2.gz QHLDJCVRHZZTEK-CYBMUJFWSA-N -1 1 318.279 1.816 20 0 DDADMM CCN(Cc1occc1C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416254093 420297871 /nfs/dbraw/zinc/29/78/71/420297871.db2.gz UNTRZKJVNYTRBE-UHFFFAOYSA-N -1 1 307.306 1.460 20 0 DDADMM O=C(NC[C@H](O)[C@@H]1CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000436750880 420362589 /nfs/dbraw/zinc/36/25/89/420362589.db2.gz IDBDSCOFIZFLFG-PELKAZGASA-N -1 1 319.279 1.538 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2c(F)cccc2Cl)CCC(=O)N1 ZINC000416502514 420398312 /nfs/dbraw/zinc/39/83/12/420398312.db2.gz QFVZEZICPGCWSN-LBPRGKRZSA-N -1 1 320.773 1.426 20 0 DDADMM C[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@@H](C)[C@H](C)O1 ZINC000437917789 420430537 /nfs/dbraw/zinc/43/05/37/420430537.db2.gz PKJOXVCWTCLJHX-VWYCJHECSA-N -1 1 301.346 1.561 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H]2CCO[C@H](C(C)C)C2)[n-]n1 ZINC000450736915 420517114 /nfs/dbraw/zinc/51/71/14/420517114.db2.gz KBTONYAILNZYMW-OCCSQVGLSA-N -1 1 306.410 1.511 20 0 DDADMM O=C(C=Cc1ccnc(Cl)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000492313007 420519946 /nfs/dbraw/zinc/51/99/46/420519946.db2.gz NPHZRGAJLJFLEG-ARJAWSKDSA-N -1 1 318.768 1.847 20 0 DDADMM C/C=C(/C=C\C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])CC ZINC000492332556 420525866 /nfs/dbraw/zinc/52/58/66/420525866.db2.gz SYPLLDAJPPOCDP-IKJNXXLOSA-N -1 1 310.375 1.891 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)[C@@H]1COCCN1CC(F)F ZINC000456782798 420543328 /nfs/dbraw/zinc/54/33/28/420543328.db2.gz KNEQLLWAYNKTIS-QMMMGPOBSA-N -1 1 322.258 1.575 20 0 DDADMM COC(=O)c1cnc(S[C@@H]2C[C@@H]3CCCC[C@H]3NC2=O)[n-]1 ZINC000450908134 420556744 /nfs/dbraw/zinc/55/67/44/420556744.db2.gz UHQGIMXQEPZRJS-IQJOONFLSA-N -1 1 309.391 1.736 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H]2C[C@@H]3CCCC[C@H]3NC2=O)n1 ZINC000450908134 420556750 /nfs/dbraw/zinc/55/67/50/420556750.db2.gz UHQGIMXQEPZRJS-IQJOONFLSA-N -1 1 309.391 1.736 20 0 DDADMM COc1cc(F)cc(C[C@@H](C)N=c2[n-]c(C(N)=O)cs2)c1 ZINC000450948595 420567005 /nfs/dbraw/zinc/56/70/05/420567005.db2.gz GXIJSUODGWIGLR-MRVPVSSYSA-N -1 1 309.366 1.855 20 0 DDADMM CN(C)C(=O)N[C@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000456910974 420567667 /nfs/dbraw/zinc/56/76/67/420567667.db2.gz DGXOGZKXNRCZSV-JTQLQIEISA-N -1 1 309.341 1.407 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCC[C@@H]2CCOC2)c1 ZINC000452011183 420618185 /nfs/dbraw/zinc/61/81/85/420618185.db2.gz CPCZOVGOHBNMNL-SNVBAGLBSA-N -1 1 317.363 1.161 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000492935499 420716107 /nfs/dbraw/zinc/71/61/07/420716107.db2.gz ZGFPEJFFMVKUMJ-XTULLQBASA-N -1 1 307.304 1.753 20 0 DDADMM CC(=O)Nc1ccc(CNC(=O)c2ncc(C)cc2[O-])cn1 ZINC000447620823 420786451 /nfs/dbraw/zinc/78/64/51/420786451.db2.gz WLGZSTLXRQOZAL-UHFFFAOYSA-N -1 1 300.318 1.379 20 0 DDADMM COC1(CCNC(=O)c2nc3ccccc3c(=O)[n-]2)CCC1 ZINC000454236772 420822526 /nfs/dbraw/zinc/82/25/26/420822526.db2.gz IWJXEMRCTMGDMY-UHFFFAOYSA-N -1 1 301.346 1.612 20 0 DDADMM Cc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc1Cl ZINC000493352806 420826613 /nfs/dbraw/zinc/82/66/13/420826613.db2.gz NGZRNDHIHPRHRC-PLNGDYQASA-N -1 1 303.753 1.980 20 0 DDADMM CC[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@H](O)C(F)F ZINC000454291881 420831355 /nfs/dbraw/zinc/83/13/55/420831355.db2.gz BMMLCZHQMDHSMP-SCZZXKLOSA-N -1 1 311.288 1.058 20 0 DDADMM CCCc1nc(=NC(=O)N2CCN([C@H](C)CC)CC2)s[n-]1 ZINC000455374672 421004506 /nfs/dbraw/zinc/00/45/06/421004506.db2.gz ISNNNTSBNAJARP-LLVKDONJSA-N -1 1 311.455 1.861 20 0 DDADMM CC(C)(C)OC(=O)NCC1(CC(=O)[N-]OC(C)(C)CO)CC1 ZINC000495988835 421111925 /nfs/dbraw/zinc/11/19/25/421111925.db2.gz IZOXJBDGTFIQNH-UHFFFAOYSA-N -1 1 316.398 1.500 20 0 DDADMM COCc1nc2n(n1)C[C@H](N=c1[n-]c(C(C)=O)c(C)s1)CC2 ZINC000450376385 421190449 /nfs/dbraw/zinc/19/04/49/421190449.db2.gz GOSIGJUGWSZMEE-SNVBAGLBSA-N -1 1 321.406 1.241 20 0 DDADMM O=C(C=Cc1ccc(F)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000492239017 421217801 /nfs/dbraw/zinc/21/78/01/421217801.db2.gz LASKRIOIFGNVQS-JECSTDCCSA-N -1 1 301.325 1.758 20 0 DDADMM COc1ccc(=NC(=O)N2CCC(c3cn[nH]c3C)CC2)[n-]n1 ZINC000560374255 421254312 /nfs/dbraw/zinc/25/43/12/421254312.db2.gz AOELRKJAUGUFJC-UHFFFAOYSA-N -1 1 316.365 1.350 20 0 DDADMM c1cc(CNC2(c3nn[n-]n3)CCCC2)c2c(c1)OCCO2 ZINC000546035180 421282109 /nfs/dbraw/zinc/28/21/09/421282109.db2.gz YQMHSQWBQQZDIZ-UHFFFAOYSA-N -1 1 301.350 1.530 20 0 DDADMM c1cc(CNC2(c3nnn[n-]3)CCCC2)c2c(c1)OCCO2 ZINC000546035180 421282113 /nfs/dbraw/zinc/28/21/13/421282113.db2.gz YQMHSQWBQQZDIZ-UHFFFAOYSA-N -1 1 301.350 1.530 20 0 DDADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Cc1cccs1 ZINC000546088688 421284658 /nfs/dbraw/zinc/28/46/58/421284658.db2.gz HIAUBIJQINWNPR-VIFPVBQESA-N -1 1 317.374 1.088 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N[C@H]2CCCc3cn[nH]c32)s[n-]1 ZINC000562017787 421339692 /nfs/dbraw/zinc/33/96/92/421339692.db2.gz JSCDSBDQIJDGKR-APPZFPTMSA-N -1 1 322.394 1.590 20 0 DDADMM O=S(=O)(CCOCC(F)(F)F)[N-]c1ccc2c[nH]nc2c1 ZINC000562227632 421344032 /nfs/dbraw/zinc/34/40/32/421344032.db2.gz GEJFMKRCIMRUMV-UHFFFAOYSA-N -1 1 323.296 1.884 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(c2ccccc2)CC(=O)C1)c1nn[n-]n1 ZINC000562346497 421355799 /nfs/dbraw/zinc/35/57/99/421355799.db2.gz LASMUIIYZMZMBQ-NSHDSACASA-N -1 1 313.361 1.063 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000527355371 421381065 /nfs/dbraw/zinc/38/10/65/421381065.db2.gz WTGIJLWMAWPIFJ-LLVKDONJSA-N -1 1 315.377 1.335 20 0 DDADMM CC(C)(CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)C(F)(F)F ZINC000562920234 421425943 /nfs/dbraw/zinc/42/59/43/421425943.db2.gz UGHGGDOMMQBMPW-MRVPVSSYSA-N -1 1 305.304 1.884 20 0 DDADMM Cc1ccc(F)c(CC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000514580883 421435634 /nfs/dbraw/zinc/43/56/34/421435634.db2.gz WJPUUHHUJCPEPE-UHFFFAOYSA-N -1 1 303.341 1.775 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(CC2CC2)C(C)C)co1 ZINC000550105116 421512204 /nfs/dbraw/zinc/51/22/04/421512204.db2.gz JXHSKNHDQPSQJK-UHFFFAOYSA-N -1 1 300.380 1.448 20 0 DDADMM Cc1nc(C(C)C)oc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000550877422 421524834 /nfs/dbraw/zinc/52/48/34/421524834.db2.gz MCAYWNIPTSFFOE-UHFFFAOYSA-N -1 1 304.354 1.819 20 0 DDADMM CC[C@@H](C)c1nc([C@@H](C)NC(=O)N=c2ccc(OC)n[n-]2)n[nH]1 ZINC000551952145 421559148 /nfs/dbraw/zinc/55/91/48/421559148.db2.gz MGACOFGUNCSIDG-RKDXNWHRSA-N -1 1 319.369 1.421 20 0 DDADMM O=C(N[C@H]1CCN(CC(F)(F)F)C1)C(=O)c1ccc([O-])cc1 ZINC000554539461 421649120 /nfs/dbraw/zinc/64/91/20/421649120.db2.gz VTVQVXKYCZXOTO-JTQLQIEISA-N -1 1 316.279 1.328 20 0 DDADMM Cc1ccc(CCNC(=O)c2csc(=NC3CC3)[n-]2)cn1 ZINC000519740453 421722181 /nfs/dbraw/zinc/72/21/81/421722181.db2.gz RPNVAPFLLVBIEA-UHFFFAOYSA-N -1 1 302.403 1.815 20 0 DDADMM C[C@@H]1CCN(CCNS(=O)(=O)c2cccc(F)c2F)C1 ZINC000555286997 421674368 /nfs/dbraw/zinc/67/43/68/421674368.db2.gz FHORGTYRVITVOV-SNVBAGLBSA-N -1 1 304.362 1.585 20 0 DDADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1 ZINC000519046225 421677685 /nfs/dbraw/zinc/67/76/85/421677685.db2.gz XJWZMFBNJUKYTL-NSHDSACASA-N -1 1 311.345 1.341 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1c2ccccc2O[C@H]1C ZINC000570937899 421678511 /nfs/dbraw/zinc/67/85/11/421678511.db2.gz ZNRHCFGBQLWIFM-XVKPBYJWSA-N -1 1 317.301 1.148 20 0 DDADMM Cc1oc(C(C)C)nc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000556944058 421752450 /nfs/dbraw/zinc/75/24/50/421752450.db2.gz UOZXHFSVGSLTDW-JTQLQIEISA-N -1 1 304.354 1.639 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)C2(C(=O)[O-])CC2)N2CCCC2)o1 ZINC000558387131 421804365 /nfs/dbraw/zinc/80/43/65/421804365.db2.gz IXEZFCDXTFPDTP-GFCCVEGCSA-N -1 1 306.362 1.706 20 0 DDADMM Cc1ncc2c(n1)CC[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C2 ZINC000558397294 421805403 /nfs/dbraw/zinc/80/54/03/421805403.db2.gz FKRHWVFZJLYWSC-CYBMUJFWSA-N -1 1 311.341 1.347 20 0 DDADMM Cc1cc(C(F)(F)F)ccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000580992529 421876988 /nfs/dbraw/zinc/87/69/88/421876988.db2.gz CUEXIEOKWYSTQG-UHFFFAOYSA-N -1 1 311.267 1.946 20 0 DDADMM CC(=O)[C@@H]1C[C@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1(C)C ZINC000572994506 421894045 /nfs/dbraw/zinc/89/40/45/421894045.db2.gz TYOZJWLFJMAWLW-NWDGAFQWSA-N -1 1 321.377 1.733 20 0 DDADMM O=C([O-])Cn1cc(CN2CC[C@@H](Cc3ccc(F)cc3)C2)nn1 ZINC000573009099 421898670 /nfs/dbraw/zinc/89/86/70/421898670.db2.gz PYTQQFRAFYTDJR-ZDUSSCGKSA-N -1 1 318.352 1.566 20 0 DDADMM Cc1cc(OCC(=O)[O-])ccc1NC(=O)[C@H](C)Cc1cnc[nH]1 ZINC000630136062 421910136 /nfs/dbraw/zinc/91/01/36/421910136.db2.gz WQZHXJZEBBAWAY-LLVKDONJSA-N -1 1 317.345 1.999 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NC[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000630137852 421912961 /nfs/dbraw/zinc/91/29/61/421912961.db2.gz YFGNUIFGXAGWFI-TZMCWYRMSA-N -1 1 315.373 1.648 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NCc1cccc(OCC(=O)[O-])c1 ZINC000630143196 421917119 /nfs/dbraw/zinc/91/71/19/421917119.db2.gz WVJRUHZEQQUOGD-NSHDSACASA-N -1 1 317.345 1.368 20 0 DDADMM CC[C@H](C)C[C@@H](N=c1nc(CCOC)[n-]s1)C(=O)OC ZINC000573115230 421923738 /nfs/dbraw/zinc/92/37/38/421923738.db2.gz MTWDFBLDXCHXGL-VHSXEESVSA-N -1 1 301.412 1.539 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H](C)c2ccccc2F)CC1 ZINC000630221812 421973663 /nfs/dbraw/zinc/97/36/63/421973663.db2.gz BEWJGRRKCHWZIN-STQMWFEESA-N -1 1 322.380 1.937 20 0 DDADMM CN(C)c1ccncc1C(=O)N[C@H](CC(F)(F)F)C(=O)[O-] ZINC000630179294 421940069 /nfs/dbraw/zinc/94/00/69/421940069.db2.gz VCAOBZKLBYNEKE-MRVPVSSYSA-N -1 1 305.256 1.283 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(C(=O)c2cnccc2N(C)C)C1 ZINC000630183601 421944031 /nfs/dbraw/zinc/94/40/31/421944031.db2.gz YENYDLIYLFIRTR-MRXNPFEDSA-N -1 1 321.377 1.101 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCSC[C@@H]1CCO ZINC000631945791 421962136 /nfs/dbraw/zinc/96/21/36/421962136.db2.gz JQNFWVPLWDTVKV-NSHDSACASA-N -1 1 315.822 1.915 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)COc2ccc(F)cc2)CC1 ZINC000630224568 421974907 /nfs/dbraw/zinc/97/49/07/421974907.db2.gz PGYNJBLOLSRNCH-GFCCVEGCSA-N -1 1 324.352 1.212 20 0 DDADMM Cc1nc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c(C)s1 ZINC000630228384 421979270 /nfs/dbraw/zinc/97/92/70/421979270.db2.gz YHPNBKTVOOENNC-UHFFFAOYSA-N -1 1 311.407 1.381 20 0 DDADMM C[C@H]1CSc2ccccc2N(C(=O)CCc2nn[n-]n2)C1 ZINC000633604385 421994260 /nfs/dbraw/zinc/99/42/60/421994260.db2.gz ZPEZCMMQZMUGCX-SNVBAGLBSA-N -1 1 303.391 1.907 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1ccc2[nH]nnc2c1)C1CCCCC1 ZINC000630262221 421995977 /nfs/dbraw/zinc/99/59/77/421995977.db2.gz KNGJOHAFDRABHH-LBPRGKRZSA-N -1 1 316.361 1.969 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@@H](OC1CCCC1)C(F)(F)F ZINC000633614236 422000763 /nfs/dbraw/zinc/00/07/63/422000763.db2.gz CZDIGOGPTBKLPG-SECBINFHSA-N -1 1 321.303 1.139 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@H](NC(=O)CCc2nn[n-]n2)C12CCC2 ZINC000633637919 422019140 /nfs/dbraw/zinc/01/91/40/422019140.db2.gz GDAMYNJLWPMDEU-WDEREUQCSA-N -1 1 307.398 1.375 20 0 DDADMM O=C(c1ccc2c(c1)CCN2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000581942307 422073839 /nfs/dbraw/zinc/07/38/39/422073839.db2.gz JTHYFMKZNONTAW-UHFFFAOYSA-N -1 1 314.345 1.763 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)C[C@@H]2CCOC2)c1 ZINC000632098982 422087680 /nfs/dbraw/zinc/08/76/80/422087680.db2.gz DHUDPRCHADPHIW-QWRGUYRKSA-N -1 1 315.391 1.494 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](CO)CC(C)(C)C)c1 ZINC000632128235 422106415 /nfs/dbraw/zinc/10/64/15/422106415.db2.gz UUCHJOIRGDPGPG-SNVBAGLBSA-N -1 1 317.407 1.476 20 0 DDADMM CC[C@@H](C[C@H](C)CO)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632053636 422054113 /nfs/dbraw/zinc/05/41/13/422054113.db2.gz XHLLRZYUHWRBKQ-QWRGUYRKSA-N -1 1 317.407 1.476 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCCN(c2ccccc2F)C1 ZINC000632198246 422156004 /nfs/dbraw/zinc/15/60/04/422156004.db2.gz XYGSDKFPGAYQRU-NSHDSACASA-N -1 1 318.356 1.057 20 0 DDADMM C[C@H]1CCCN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@H]1C(=O)[O-] ZINC000583435200 422163205 /nfs/dbraw/zinc/16/32/05/422163205.db2.gz XEWHBUHJKJRCTE-TVQRCGJNSA-N -1 1 303.318 1.995 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@]2(C)CCO[C@H]2C2CC2)sn1 ZINC000632224108 422176001 /nfs/dbraw/zinc/17/60/01/422176001.db2.gz CJKQQOVRKJCOKN-STQMWFEESA-N -1 1 316.448 1.935 20 0 DDADMM C[C@H]1CN(C(=O)CCCc2nn[n-]n2)[C@H](c2ccccc2)CO1 ZINC000635630278 422181847 /nfs/dbraw/zinc/18/18/47/422181847.db2.gz MFYOHYFNDIPLGN-JSGCOSHPSA-N -1 1 315.377 1.511 20 0 DDADMM C[C@H](CO)CCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632149647 422121931 /nfs/dbraw/zinc/12/19/31/422121931.db2.gz BZSUPENEQVWJOI-ZETCQYMHSA-N -1 1 315.317 1.115 20 0 DDADMM CC[C@@]1(C)CN(S(=O)(=O)c2cc(OC)ccc2[O-])CCO1 ZINC000632261741 422204452 /nfs/dbraw/zinc/20/44/52/422204452.db2.gz XZJRBHWRNREJGJ-AWEZNQCLSA-N -1 1 315.391 1.590 20 0 DDADMM C[C@H]1C[C@H](c2ccccc2)CN1Cc1cn(CC(=O)[O-])nn1 ZINC000574921800 422218971 /nfs/dbraw/zinc/21/89/71/422218971.db2.gz PMSQPTDUMDTCHJ-JSGCOSHPSA-N -1 1 300.362 1.741 20 0 DDADMM CC(=O)N1CCCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000630620287 422226204 /nfs/dbraw/zinc/22/62/04/422226204.db2.gz CSMRWMJGQBHVMI-UHFFFAOYSA-N -1 1 310.781 1.669 20 0 DDADMM CN(C[C@@H](O)C(F)(F)F)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632293393 422228888 /nfs/dbraw/zinc/22/88/88/422228888.db2.gz DTJVIGSBZZGDLG-SNVBAGLBSA-N -1 1 311.687 1.970 20 0 DDADMM C[C@H]1c2cc(F)cc(F)c2CCN1C(=O)CCCc1nn[n-]n1 ZINC000635679790 422235128 /nfs/dbraw/zinc/23/51/28/422235128.db2.gz GIUYFWUHUGQBLN-VIFPVBQESA-N -1 1 321.331 1.947 20 0 DDADMM COCC1(CNS(=O)(=O)c2cc(OC)ccc2[O-])CCC1 ZINC000632307159 422238197 /nfs/dbraw/zinc/23/81/97/422238197.db2.gz VOYJHFRVEDMGIV-UHFFFAOYSA-N -1 1 315.391 1.496 20 0 DDADMM COCCC1(C)CN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000632341773 422262708 /nfs/dbraw/zinc/26/27/08/422262708.db2.gz UYXVKTJVCPLDFI-UHFFFAOYSA-N -1 1 315.391 1.448 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC2CC(F)(F)C2)c1 ZINC000632359864 422273709 /nfs/dbraw/zinc/27/37/09/422273709.db2.gz VGYWCRMRPLNSRW-UHFFFAOYSA-N -1 1 307.318 1.724 20 0 DDADMM CCN(Cc1ccc(OC)cc1OC)C(=O)CCc1nn[n-]n1 ZINC000630699767 422275285 /nfs/dbraw/zinc/27/52/85/422275285.db2.gz MBCWJKPVOXWKRY-UHFFFAOYSA-N -1 1 319.365 1.198 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2cc(C)nc(C)c2)c1 ZINC000632473803 422372864 /nfs/dbraw/zinc/37/28/64/422372864.db2.gz NONSPDVDUZHVOX-UHFFFAOYSA-N -1 1 322.386 1.891 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632418104 422325650 /nfs/dbraw/zinc/32/56/50/422325650.db2.gz XIJBDMNBKHEJFP-YUMQZZPRSA-N -1 1 311.329 1.999 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1cc(C)ns1)C1CCCC1 ZINC000632570751 422445404 /nfs/dbraw/zinc/44/54/04/422445404.db2.gz WPNXVHTVGWVVDC-NSHDSACASA-N -1 1 304.437 1.935 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H]1CCn2ccnc2C1 ZINC000632575063 422447927 /nfs/dbraw/zinc/44/79/27/422447927.db2.gz ABIMZBBORQJEAY-LLVKDONJSA-N -1 1 305.765 1.916 20 0 DDADMM CCC[C@@H](C)[C@H](CO)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632508838 422398765 /nfs/dbraw/zinc/39/87/65/422398765.db2.gz PCSVFWWVIYZZRM-PWSUYJOCSA-N -1 1 317.407 1.476 20 0 DDADMM C[C@@H](C(=O)N(C)CC(=O)Nc1cccc(F)c1[O-])n1cccn1 ZINC000628960524 422418377 /nfs/dbraw/zinc/41/83/77/422418377.db2.gz GVGZNALIOUCTOI-JTQLQIEISA-N -1 1 320.324 1.386 20 0 DDADMM CCOc1cc(C(=O)N[C@@H]2CCOC[C@H]2O)cc(Cl)c1[O-] ZINC000629219200 422535836 /nfs/dbraw/zinc/53/58/36/422535836.db2.gz BANXLPXDHPKHOF-GHMZBOCLSA-N -1 1 315.753 1.324 20 0 DDADMM C[C@]1(C(=O)N=c2cc(-c3cccc(F)c3F)[n-][nH]2)CCNC1=O ZINC000636022160 422573321 /nfs/dbraw/zinc/57/33/21/422573321.db2.gz PUDVCMMZZZLYHX-HNNXBMFYSA-N -1 1 320.299 1.242 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCCc2c(F)cccc21 ZINC000635970714 422523632 /nfs/dbraw/zinc/52/36/32/422523632.db2.gz MSXVELQBPAYZLY-CYBMUJFWSA-N -1 1 303.341 1.855 20 0 DDADMM C[C@H](CS(C)(=O)=O)N(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631257011 422638321 /nfs/dbraw/zinc/63/83/21/422638321.db2.gz ZAAFXPGXFCRAER-SECBINFHSA-N -1 1 319.810 1.480 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H](O)C1CCOCC1 ZINC000629378076 422639719 /nfs/dbraw/zinc/63/97/19/422639719.db2.gz NGNPWJNJONZLMO-HNNXBMFYSA-N -1 1 317.345 1.304 20 0 DDADMM COc1ccc([N-]S(=O)(=O)CCOCC2CCC2)c(O)c1 ZINC000634791869 422648825 /nfs/dbraw/zinc/64/88/25/422648825.db2.gz RWWYWXORKBKODM-UHFFFAOYSA-N -1 1 315.391 1.959 20 0 DDADMM Cn1nnc2cc([N-]S(=O)(=O)CCOCC3CCC3)ccc21 ZINC000634793738 422649349 /nfs/dbraw/zinc/64/93/49/422649349.db2.gz YMBXKSGTNWWSKH-UHFFFAOYSA-N -1 1 324.406 1.527 20 0 DDADMM Cc1ccc([C@H]2CSCCN2C(=O)CCc2nn[n-]n2)cc1 ZINC000631334764 422695576 /nfs/dbraw/zinc/69/55/76/422695576.db2.gz JDTWVHUKUDOTOL-CYBMUJFWSA-N -1 1 317.418 1.757 20 0 DDADMM CCOC(=O)c1nn(C)cc1CN1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000578987545 422684059 /nfs/dbraw/zinc/68/40/59/422684059.db2.gz FFPKVTCZRAYQTB-ZYHUDNBSSA-N -1 1 309.366 1.139 20 0 DDADMM COc1cccnc1CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000646067687 423323146 /nfs/dbraw/zinc/32/31/46/423323146.db2.gz ZBPJEDARIYJYMI-JTQLQIEISA-N -1 1 318.333 1.128 20 0 DDADMM COC[C@@](C)(O)CCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000650950794 423326281 /nfs/dbraw/zinc/32/62/81/423326281.db2.gz HOZNZBMSQVCKJB-ZDUSSCGKSA-N -1 1 321.295 1.928 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@]3(C(=O)[O-])CC=CCC3)C2)n[nH]1 ZINC000653284882 423421000 /nfs/dbraw/zinc/42/10/00/423421000.db2.gz XTVRCAGCAYFXRK-MLGOLLRUSA-N -1 1 318.377 1.630 20 0 DDADMM O=C([O-])CC[C@@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000648452533 423365258 /nfs/dbraw/zinc/36/52/58/423365258.db2.gz SVUARJUFIDJMIF-NWDGAFQWSA-N -1 1 305.378 1.933 20 0 DDADMM O=C([O-])CCCNC(=O)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC000646165506 423374890 /nfs/dbraw/zinc/37/48/90/423374890.db2.gz HZWBFXDCOXPSNE-LBPRGKRZSA-N -1 1 303.322 1.058 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1CCN(CC(F)(F)F)CC1 ZINC000646409304 423478392 /nfs/dbraw/zinc/47/83/92/423478392.db2.gz RMMLCEBTIMPUOB-UHFFFAOYSA-N -1 1 306.288 1.637 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(=O)n(-c2ccccc2)n1 ZINC000646411618 423479490 /nfs/dbraw/zinc/47/94/90/423479490.db2.gz LHLBXFBQVJOHGW-UHFFFAOYSA-N -1 1 311.301 1.222 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc2n1[C@@H](C)CCC2)c1nn[n-]n1 ZINC000651461791 423551192 /nfs/dbraw/zinc/55/11/92/423551192.db2.gz ILDLEBGQMMDWLD-VHSXEESVSA-N -1 1 303.370 1.565 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc2n1[C@H](C)CCC2)c1nn[n-]n1 ZINC000651461789 423552494 /nfs/dbraw/zinc/55/24/94/423552494.db2.gz ILDLEBGQMMDWLD-NXEZZACHSA-N -1 1 303.370 1.565 20 0 DDADMM O=C(N[C@@H]1CC[C@](O)(C(F)(F)F)C1)C(=O)c1ccc([O-])cc1 ZINC000646724146 423587320 /nfs/dbraw/zinc/58/73/20/423587320.db2.gz OHWYNRGQEZBFJP-NOZJJQNGSA-N -1 1 317.263 1.537 20 0 DDADMM NC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC000651836849 423704297 /nfs/dbraw/zinc/70/42/97/423704297.db2.gz JFVRLUIKEJQDCK-WRXNHJIOSA-N -1 1 306.746 1.021 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(-c2ccccn2)nc1)c1nn[n-]n1 ZINC000651720600 423657743 /nfs/dbraw/zinc/65/77/43/423657743.db2.gz FIUKMUJECKXPNW-NSHDSACASA-N -1 1 323.360 1.532 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCO[C@H]2CCCCO2)sc1C ZINC000641502874 423676497 /nfs/dbraw/zinc/67/64/97/423676497.db2.gz JJMWWEHIQDQTJN-NSHDSACASA-N -1 1 320.436 1.581 20 0 DDADMM COCCOc1cccc(CN[C@@H](C(=O)[O-])c2ccnn2C)c1 ZINC000644598232 423719039 /nfs/dbraw/zinc/71/90/39/423719039.db2.gz TUPXQEZRFPOHTN-OAHLLOKOSA-N -1 1 319.361 1.361 20 0 DDADMM N=c1[n-]nc([C@@H]2CCCN(Cc3ccc4c(c3)OCCO4)C2)o1 ZINC000639822875 423758679 /nfs/dbraw/zinc/75/86/79/423758679.db2.gz XOBYBSLZWWXCMV-GFCCVEGCSA-N -1 1 316.361 1.633 20 0 DDADMM CC(C)C[C@H](C)N(C)C(=O)CN1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639822714 423758981 /nfs/dbraw/zinc/75/89/81/423758981.db2.gz WKMOACAXSHQZDL-STQMWFEESA-N -1 1 323.441 1.555 20 0 DDADMM N=c1[n-]nc([C@H]2CCCN(Cc3ccc4c(c3)OCCO4)C2)o1 ZINC000639822876 423760460 /nfs/dbraw/zinc/76/04/60/423760460.db2.gz XOBYBSLZWWXCMV-LBPRGKRZSA-N -1 1 316.361 1.633 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(CC2CC2)c1)C(C)C ZINC000647127837 423769728 /nfs/dbraw/zinc/76/97/28/423769728.db2.gz CYIQTAZHTJPCOZ-ZDUSSCGKSA-N -1 1 301.412 1.706 20 0 DDADMM COc1ccccc1[C@@H]1C[C@H]1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000665746037 423801756 /nfs/dbraw/zinc/80/17/56/423801756.db2.gz MEKWUDRBJQSMGO-WDEREUQCSA-N -1 1 301.350 1.363 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644884535 424012517 /nfs/dbraw/zinc/01/25/17/424012517.db2.gz YFKYNVUFWUSANS-SNVBAGLBSA-N -1 1 319.365 1.950 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2ccc(C(=O)[O-])cn2)C[C@@H](C)O1 ZINC000642218985 424137060 /nfs/dbraw/zinc/13/70/60/424137060.db2.gz FZJCUKSUQQGVTA-VXGBXAGGSA-N -1 1 321.377 1.009 20 0 DDADMM C[C@@H](O)[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CCO1 ZINC000640336891 424356294 /nfs/dbraw/zinc/35/62/94/424356294.db2.gz DABXDEWWPQYGFB-KOLCDFICSA-N -1 1 307.375 1.332 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(O)CCC(C)(C)CC1 ZINC000660021965 424463478 /nfs/dbraw/zinc/46/34/78/424463478.db2.gz IGIJTQSCSXEHGV-UHFFFAOYSA-N -1 1 317.411 1.175 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)NC[C@H](C(=O)[O-])C(C)C)cn1 ZINC000655566234 424573373 /nfs/dbraw/zinc/57/33/73/424573373.db2.gz QENNOEBSVDAAMC-NSHDSACASA-N -1 1 319.365 1.380 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](NC(=O)N[C@@H]2CCC[N@H+]3CCCC[C@@H]23)C1 ZINC000655880193 424689836 /nfs/dbraw/zinc/68/98/36/424689836.db2.gz UDXSFZGOSFTLLR-RQJABVFESA-N -1 1 309.410 1.556 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)NC[C@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665313715 424780064 /nfs/dbraw/zinc/78/00/64/424780064.db2.gz RHWHABOREAFCNZ-JTQLQIEISA-N -1 1 323.397 1.402 20 0 DDADMM O=C([C@@H]1CCc2ccccc2O1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000665381066 424797128 /nfs/dbraw/zinc/79/71/28/424797128.db2.gz NBPPIXNIBLOEES-OCCSQVGLSA-N -1 1 313.361 1.300 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cnc2cc[nH]cc-2c1=O ZINC000341538035 271111268 /nfs/dbraw/zinc/11/12/68/271111268.db2.gz SYMANLBBKOOTIS-UHFFFAOYSA-N -1 1 324.222 1.312 20 0 DDADMM Cc1cc(C(=O)N=c2nc(-c3ccccn3)[nH][n-]2)nn1C(F)F ZINC000341787082 271212648 /nfs/dbraw/zinc/21/26/48/271212648.db2.gz UZHOHZYQOBOKRZ-UHFFFAOYSA-N -1 1 319.275 1.441 20 0 DDADMM Cc1ccnc(N2CCN(c3nc(=N)[n-]s3)CC2)c1Cl ZINC000342577123 271453963 /nfs/dbraw/zinc/45/39/63/271453963.db2.gz QDTCNCYHWOJGLS-UHFFFAOYSA-N -1 1 310.814 1.634 20 0 DDADMM Cc1ccc(S(C)(=O)=O)cc1C(=O)Nc1ccncc1[O-] ZINC000345360554 272230525 /nfs/dbraw/zinc/23/05/25/272230525.db2.gz OKPJXTKZPCHNHS-UHFFFAOYSA-N -1 1 306.343 1.173 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)o1 ZINC000347932346 272785187 /nfs/dbraw/zinc/78/51/87/272785187.db2.gz WNMWGGXVNULUHY-UHFFFAOYSA-N -1 1 305.290 1.591 20 0 DDADMM C[C@@H]1CCCN(CCS(=O)(=O)c2ccccc2)[C@H]1C(=O)[O-] ZINC000424260900 533690227 /nfs/dbraw/zinc/69/02/27/533690227.db2.gz DSXWONHCYLJFBU-TZMCWYRMSA-N -1 1 311.403 1.645 20 0 DDADMM O=C(Nc1cccc(CN2CCSCC2)c1)c1n[nH]c(=O)[n-]1 ZINC000061637037 280915375 /nfs/dbraw/zinc/91/53/75/280915375.db2.gz ILKZXRHZNUJUHI-UHFFFAOYSA-N -1 1 319.390 1.311 20 0 DDADMM COc1ccc(C)cc1C=CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000124545259 281191329 /nfs/dbraw/zinc/19/13/29/281191329.db2.gz QHCXWOYRUUINIY-WMADIVHISA-N -1 1 315.377 1.792 20 0 DDADMM C[C@@H](NC(=O)c1ccc(Cl)cc1[O-])C(=O)N1CCOCC1 ZINC000130142739 281369187 /nfs/dbraw/zinc/36/91/87/281369187.db2.gz KSHRQDBINJXJTL-SECBINFHSA-N -1 1 312.753 1.023 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ccccc2F)c1 ZINC000133959276 281521140 /nfs/dbraw/zinc/52/11/40/281521140.db2.gz QHQBQCGUKCDBCR-UHFFFAOYSA-N -1 1 313.306 1.684 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)CCc2ccccc2)co1 ZINC000162853758 298203777 /nfs/dbraw/zinc/20/37/77/298203777.db2.gz SABQDMIZSPEFPG-UHFFFAOYSA-N -1 1 322.386 1.502 20 0 DDADMM CSc1n[nH]c(NC(=O)Cn2cc(-c3ccccc3)nn2)n1 ZINC000353900952 298319076 /nfs/dbraw/zinc/31/90/76/298319076.db2.gz YZEBPYFYGKTVCJ-UHFFFAOYSA-N -1 1 315.362 1.424 20 0 DDADMM CN(CCN(C)C(=O)c1csc(=NC2CC2)[n-]1)CC(F)F ZINC000354146251 298354255 /nfs/dbraw/zinc/35/42/55/298354255.db2.gz MWKQLNHKIIBWAZ-UHFFFAOYSA-N -1 1 318.393 1.408 20 0 DDADMM CO[C@H](C)CC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000573797942 304614702 /nfs/dbraw/zinc/61/47/02/304614702.db2.gz JLCDIIZEAGSXHV-SECBINFHSA-N -1 1 300.405 2.000 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)[C@@H]2CCOC2)o1 ZINC000355321396 298712409 /nfs/dbraw/zinc/71/24/09/298712409.db2.gz ILQWLFVCIRMYPM-VHSXEESVSA-N -1 1 317.363 1.160 20 0 DDADMM COC(=O)[C@@]12CN(C(=O)c3ncc(C)cc3[O-])C[C@H]1CCCC2 ZINC000356361411 298771004 /nfs/dbraw/zinc/77/10/04/298771004.db2.gz PIFJVJAGBQSNCJ-PXAZEXFGSA-N -1 1 318.373 1.901 20 0 DDADMM CC(=O)N(C)CC(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000363245801 300237601 /nfs/dbraw/zinc/23/76/01/300237601.db2.gz JQJZRLWSIVSJKC-UHFFFAOYSA-N -1 1 318.373 1.292 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC([C@@H]4CCOC4)C3)cnc2n1 ZINC000368440910 301028881 /nfs/dbraw/zinc/02/88/81/301028881.db2.gz IYXZANUYFQLCJT-LLVKDONJSA-N -1 1 313.357 1.752 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC([C@@H]3CCOC3)C1)c2=O ZINC000368440910 301028882 /nfs/dbraw/zinc/02/88/82/301028882.db2.gz IYXZANUYFQLCJT-LLVKDONJSA-N -1 1 313.357 1.752 20 0 DDADMM Cc1nnnn1-c1cc(NC(=O)c2cncc([O-])c2)ccc1F ZINC000370279999 301308058 /nfs/dbraw/zinc/30/80/58/301308058.db2.gz TVBLYOHYMRNIQO-UHFFFAOYSA-N -1 1 314.280 1.463 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@](CO)(c2ccccc2)C1 ZINC000370509672 301354559 /nfs/dbraw/zinc/35/45/59/301354559.db2.gz VUKAZNCTJHOLNA-SFHVURJKSA-N -1 1 312.369 1.954 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H]3CCCCC[C@@H]32)co1 ZINC000372310525 301548032 /nfs/dbraw/zinc/54/80/32/301548032.db2.gz DCDRVRZJGOAQPI-JQWIXIFHSA-N -1 1 312.391 1.592 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H]3CCCCC[C@H]32)co1 ZINC000372310527 301548172 /nfs/dbraw/zinc/54/81/72/301548172.db2.gz DCDRVRZJGOAQPI-ZYHUDNBSSA-N -1 1 312.391 1.592 20 0 DDADMM Cc1ccc(N2CCN(C(=O)c3cncc([O-])c3)CC2=O)cc1 ZINC000376010968 302023968 /nfs/dbraw/zinc/02/39/68/302023968.db2.gz FKSAGKFFGHKHPH-UHFFFAOYSA-N -1 1 311.341 1.585 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCS[C@@H]2CCCC[C@@H]21 ZINC000377857339 302243694 /nfs/dbraw/zinc/24/36/94/302243694.db2.gz RYEDOSXDYFFUOX-VHSXEESVSA-N -1 1 317.436 1.444 20 0 DDADMM C[C@H](CCNC(=O)OC(C)(C)C)NC(=O)c1cncc([O-])c1 ZINC000495799614 302468324 /nfs/dbraw/zinc/46/83/24/302468324.db2.gz QTMMIYOGCPELGW-SNVBAGLBSA-N -1 1 309.366 1.820 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCNC(=O)OC(C)(C)C)[n-]1 ZINC000497041693 302661572 /nfs/dbraw/zinc/66/15/72/302661572.db2.gz WNNQSTRVWIJONF-UHFFFAOYSA-N -1 1 312.322 1.483 20 0 DDADMM O=c1nc(NC[C@H]2CC[N@H+]2C2CCCCC2)nc2[nH][n-]cc1-2 ZINC000528117061 303004795 /nfs/dbraw/zinc/00/47/95/303004795.db2.gz XSCHAWDJYUNSJC-LLVKDONJSA-N -1 1 302.382 1.251 20 0 DDADMM O=C(c1cccc2cc(=O)[nH]cc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000528880129 303069363 /nfs/dbraw/zinc/06/93/63/303069363.db2.gz DFIXFFNNMYRLAJ-NSHDSACASA-N -1 1 324.344 1.473 20 0 DDADMM O=C(NC[C@@H](O)[C@@H]1CCCO1)c1ccc(C(F)(F)F)cc1[O-] ZINC000529768484 303152426 /nfs/dbraw/zinc/15/24/26/303152426.db2.gz MFUQJHXRAICYDW-NEPJUHHUSA-N -1 1 319.279 1.681 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)Cc1ccncc1 ZINC000532033980 303271075 /nfs/dbraw/zinc/27/10/75/303271075.db2.gz LEQIHZYWTZWWRJ-UHFFFAOYSA-N -1 1 304.375 1.880 20 0 DDADMM CC(C)[C@@H]1CCCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544738460 303477061 /nfs/dbraw/zinc/47/70/61/303477061.db2.gz YFVIZBJXXQFKEF-QWRGUYRKSA-N -1 1 303.366 1.362 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)Cc2ccoc2)c1 ZINC000359673433 306959875 /nfs/dbraw/zinc/95/98/75/306959875.db2.gz CZCHAEJTZCQFRS-UHFFFAOYSA-N -1 1 310.331 1.075 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H]1C[C@@]12CCOC2 ZINC000363846933 307036732 /nfs/dbraw/zinc/03/67/32/307036732.db2.gz CLTCVVQYCGHCGD-JVXZTZIISA-N -1 1 313.151 1.915 20 0 DDADMM O=C(CCOc1ccc(F)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366661921 307078974 /nfs/dbraw/zinc/07/89/74/307078974.db2.gz HGZHETJLYVHGHN-LLVKDONJSA-N -1 1 319.340 1.514 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(C)n1C1CC1 ZINC000367109098 307086321 /nfs/dbraw/zinc/08/63/21/307086321.db2.gz HLGIDTYQZVKLTQ-GFCCVEGCSA-N -1 1 314.393 1.973 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCCO[C@H]2CCC[C@@H]21 ZINC000369435771 307120136 /nfs/dbraw/zinc/12/01/36/307120136.db2.gz SYRNVTPIMMVPAW-KBPBESRZSA-N -1 1 313.357 1.707 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2C[C@H]3CC[C@@H](C2)O3)c(=O)[n-]1 ZINC000371109767 307142508 /nfs/dbraw/zinc/14/25/08/307142508.db2.gz PVABFWIYFOFHIH-PHIMTYICSA-N -1 1 323.418 1.535 20 0 DDADMM CC[C@]1(C(=O)Nc2nnn[n-]2)CCCN1C(=O)OC(C)(C)C ZINC000374480527 307208606 /nfs/dbraw/zinc/20/86/06/307208606.db2.gz JQPAVMDYMWRVRJ-CYBMUJFWSA-N -1 1 310.358 1.318 20 0 DDADMM CC[C@]1(C(=O)Nc2nn[n-]n2)CCCN1C(=O)OC(C)(C)C ZINC000374480527 307208607 /nfs/dbraw/zinc/20/86/07/307208607.db2.gz JQPAVMDYMWRVRJ-CYBMUJFWSA-N -1 1 310.358 1.318 20 0 DDADMM Cc1ccc(C[C@@H](C)C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000378508544 307290801 /nfs/dbraw/zinc/29/08/01/307290801.db2.gz QHIJURDTDOGDJP-OCCSQVGLSA-N -1 1 315.377 1.287 20 0 DDADMM CN1C[C@@H](COC(=O)c2nn(-c3ccccc3)cc2[O-])OC1=O ZINC000495725550 307315920 /nfs/dbraw/zinc/31/59/20/307315920.db2.gz CXEDAURJIHPBTG-NSHDSACASA-N -1 1 317.301 1.185 20 0 DDADMM CN(C)C(=O)OC1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000495839035 307319946 /nfs/dbraw/zinc/31/99/46/307319946.db2.gz FCVTWPMGYWPEQP-UHFFFAOYSA-N -1 1 310.325 1.834 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCC[C@H](CO)C2)sc1C ZINC000561111547 307922244 /nfs/dbraw/zinc/92/22/44/307922244.db2.gz NULODSZEXRAQSH-QWRGUYRKSA-N -1 1 304.437 1.589 20 0 DDADMM COCC(C)(C)N1CCN([C@H](C(=O)[O-])c2ccccc2)CC1 ZINC000565107049 308020720 /nfs/dbraw/zinc/02/07/20/308020720.db2.gz VKFMLPUCZZETCQ-HNNXBMFYSA-N -1 1 306.406 1.855 20 0 DDADMM CC(C)[C@H](O)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000566161679 308046751 /nfs/dbraw/zinc/04/67/51/308046751.db2.gz GJBMXVWOVXLLQN-SNVBAGLBSA-N -1 1 300.405 1.592 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)c2s[n-]c(=O)c2Cl)n[nH]1 ZINC000566841025 308064637 /nfs/dbraw/zinc/06/46/37/308064637.db2.gz PJCCFUQGATZPSK-UHFFFAOYSA-N -1 1 315.786 1.848 20 0 DDADMM CO[C@@H]1COC[C@H]1NC(=O)c1ccc(Br)c([O-])c1 ZINC000567964552 308103072 /nfs/dbraw/zinc/10/30/72/308103072.db2.gz TUSFVEVMRSGLQH-MWLCHTKSSA-N -1 1 316.151 1.298 20 0 DDADMM CCC[C@@H](CC)S(=O)(=O)[N-]c1cn(CC)nc1C(N)=O ZINC000569460111 308144328 /nfs/dbraw/zinc/14/43/28/308144328.db2.gz AZUIJKSKQMTHBW-SECBINFHSA-N -1 1 302.400 1.322 20 0 DDADMM COC[C@]1(C(=O)[O-])CCC[N@@H+]([C@@H](C)c2nc(C)cc(=O)[n-]2)C1 ZINC000570639181 308174796 /nfs/dbraw/zinc/17/47/96/308174796.db2.gz WCNZMAREONWBBS-NHYWBVRUSA-N -1 1 309.366 1.365 20 0 DDADMM COC[C@]1(C(=O)[O-])CCC[N@H+]([C@@H](C)c2nc(C)cc(=O)[n-]2)C1 ZINC000570639181 308174797 /nfs/dbraw/zinc/17/47/97/308174797.db2.gz WCNZMAREONWBBS-NHYWBVRUSA-N -1 1 309.366 1.365 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](CO)CC2CCCC2)sc1C ZINC000574139046 308262931 /nfs/dbraw/zinc/26/29/31/308262931.db2.gz TUAWSUCEQQVOOK-LBPRGKRZSA-N -1 1 318.464 1.979 20 0 DDADMM COC[C@@H](C)n1ccc(=NC(=O)c2c(F)ccc([O-])c2F)[nH]1 ZINC000576148342 308292210 /nfs/dbraw/zinc/29/22/10/308292210.db2.gz BNADZYVVJGFTOQ-MRVPVSSYSA-N -1 1 311.288 1.749 20 0 DDADMM COCCN(C)S(=O)(=O)[N-]c1ccn(Cc2ccccc2)n1 ZINC000577114213 308363763 /nfs/dbraw/zinc/36/37/63/308363763.db2.gz FMWPRCKYOCFWDU-UHFFFAOYSA-N -1 1 324.406 1.166 20 0 DDADMM CC(C)Cn1c([C@H](C)NS(C)(=O)=O)n[n-]c1=NC(C)(C)C ZINC000577333787 308379314 /nfs/dbraw/zinc/37/93/14/308379314.db2.gz WIBHUIHQOGZCBJ-JTQLQIEISA-N -1 1 317.459 1.177 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(-c2nn[nH]n2)cc1)C1CC1 ZINC000577394526 308385526 /nfs/dbraw/zinc/38/55/26/308385526.db2.gz BTWGJOFOZSUXBK-LBPRGKRZSA-N -1 1 323.378 1.033 20 0 DDADMM C[C@H](c1nnnn1-c1ccccc1)N1C[C@@H](C)[C@](C)(C(=O)[O-])C1 ZINC000577500109 308393028 /nfs/dbraw/zinc/39/30/28/308393028.db2.gz PGDKYKHYILCYSJ-XHBSWPGZSA-N -1 1 315.377 1.766 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2CCC(=O)C2)c1Br ZINC000579234498 308517142 /nfs/dbraw/zinc/51/71/42/308517142.db2.gz FWFXLBYTWSHCHI-ZCFIWIBFSA-N -1 1 300.156 1.464 20 0 DDADMM O=C([O-])CC[C@@H](Cc1ccccc1)NC(=O)[C@@H]1CSCN1 ZINC000579481200 308535432 /nfs/dbraw/zinc/53/54/32/308535432.db2.gz WKWWTZIMGHXMAD-STQMWFEESA-N -1 1 308.403 1.241 20 0 DDADMM CN1C(=O)NCC12CCN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC000579702125 308551205 /nfs/dbraw/zinc/55/12/05/308551205.db2.gz OGHNTCMEJQNZGT-UHFFFAOYSA-N -1 1 307.325 1.161 20 0 DDADMM CCO[C@H](CC)C(=O)N=c1[nH][n-]c(CC)c1Br ZINC000582167935 325982183 /nfs/dbraw/zinc/98/21/83/325982183.db2.gz JEIOZIWGPDXYOL-MRVPVSSYSA-N -1 1 304.188 1.910 20 0 DDADMM CC1(C)CN(c2c(C(=O)[O-])cnc3ccccc32)C[C@@H](CO)O1 ZINC000391477076 336958084 /nfs/dbraw/zinc/95/80/84/336958084.db2.gz WWMGIUWQCDMYSB-NSHDSACASA-N -1 1 316.357 1.909 20 0 DDADMM CC(C)[C@@H](CNC(=O)N1CCC(c2cnc[nH]2)CC1)C(=O)[O-] ZINC000655893478 483984218 /nfs/dbraw/zinc/98/42/18/483984218.db2.gz OBBAEQIEWGYNMP-GFCCVEGCSA-N -1 1 308.382 1.656 20 0 DDADMM Cc1nc([C@@H](C)NC(=O)N[C@@H](C)[C@@H]2C[C@H](C(=O)[O-])C2(C)C)n[nH]1 ZINC000655900730 483992693 /nfs/dbraw/zinc/99/26/93/483992693.db2.gz WGRUVUQFWZADNG-GISOBZBCSA-N -1 1 323.397 1.609 20 0 DDADMM C[C@H]1CN(c2cncc(C(=O)[O-])n2)CCN1Cc1ccccc1 ZINC000396337754 483994811 /nfs/dbraw/zinc/99/48/11/483994811.db2.gz BACKJACEEQJNAF-ZDUSSCGKSA-N -1 1 312.373 1.886 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1c(C)n[nH]c1C)C1CCCC1 ZINC000656732285 484306962 /nfs/dbraw/zinc/30/69/62/484306962.db2.gz VBYMTBKVBOJBSC-LBPRGKRZSA-N -1 1 301.412 1.973 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000667401731 484589252 /nfs/dbraw/zinc/58/92/52/484589252.db2.gz NYPSABREDROOQW-GHMZBOCLSA-N -1 1 315.373 1.973 20 0 DDADMM CCC[C@@H]1CN(C(=O)[C@@H](C(=O)[O-])C2CC2)CCN1CCOC ZINC000663060852 484636478 /nfs/dbraw/zinc/63/64/78/484636478.db2.gz NBNYZNKHPNUZOS-KGLIPLIRSA-N -1 1 312.410 1.057 20 0 DDADMM CC[C@](C)(NC(=O)NC[C@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663271316 484776876 /nfs/dbraw/zinc/77/68/76/484776876.db2.gz MBWINTBQWKFXKT-PBHICJAKSA-N -1 1 319.405 1.813 20 0 DDADMM CC(C)(C)C(=O)NCCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670384021 484801569 /nfs/dbraw/zinc/80/15/69/484801569.db2.gz YRJXOVUTYFXZTA-SNVBAGLBSA-N -1 1 324.381 1.034 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCCc1ccccc1 ZINC000667935893 484860024 /nfs/dbraw/zinc/86/00/24/484860024.db2.gz UXRNCXWLGPNFBQ-UHFFFAOYSA-N -1 1 317.345 1.649 20 0 DDADMM CCOc1ccc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)nc1 ZINC000671194433 484967374 /nfs/dbraw/zinc/96/73/74/484967374.db2.gz PTFVSAZRQLDHJH-UHFFFAOYSA-N -1 1 324.406 1.870 20 0 DDADMM CCOc1ccc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)nc1 ZINC000671194433 484967379 /nfs/dbraw/zinc/96/73/79/484967379.db2.gz PTFVSAZRQLDHJH-UHFFFAOYSA-N -1 1 324.406 1.870 20 0 DDADMM COc1cc2c(cc1F)nccc2N1CCO[C@@H](C(=O)[O-])C1 ZINC000668130394 484974054 /nfs/dbraw/zinc/97/40/54/484974054.db2.gz DWEUMNRSRSOJEU-CQSZACIVSA-N -1 1 306.293 1.672 20 0 DDADMM CN(C)c1nc(CNC(=O)C(=O)c2ccc([O-])cc2)cs1 ZINC000672958402 485372393 /nfs/dbraw/zinc/37/23/93/485372393.db2.gz FPLXLFQFKKJVFN-UHFFFAOYSA-N -1 1 305.359 1.414 20 0 DDADMM O=C(c1c[nH]nc1-c1ccccn1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000685795106 485461306 /nfs/dbraw/zinc/46/13/06/485461306.db2.gz NNHDQOYQRCNGCT-JTQLQIEISA-N -1 1 324.348 1.005 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H](C)c2ccncc2)o1 ZINC000674124842 485543085 /nfs/dbraw/zinc/54/30/85/485543085.db2.gz CJJHQKRSTXVKLP-SNVBAGLBSA-N -1 1 323.374 1.116 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCO1 ZINC000678960098 485740333 /nfs/dbraw/zinc/74/03/33/485740333.db2.gz GENNZKWPCBSAJW-KGYLQXTDSA-N -1 1 301.350 1.220 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)c2nc[nH]n2)c(F)c1 ZINC000679197515 485803892 /nfs/dbraw/zinc/80/38/92/485803892.db2.gz YKQUXBHOUPTFGW-ZCFIWIBFSA-N -1 1 318.305 1.131 20 0 DDADMM CC[C@@H](CCO)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000675757818 486028130 /nfs/dbraw/zinc/02/81/30/486028130.db2.gz TVRGTGTYQHMKRE-VIFPVBQESA-N -1 1 323.361 1.660 20 0 DDADMM Cn1[n-]c(CN2C[C@H](C(F)(F)F)[C@@H](C(F)(F)F)C2)nc1=O ZINC000680373893 486167010 /nfs/dbraw/zinc/16/70/10/486167010.db2.gz CLERTJOLRUCTOB-WDSKDSINSA-N -1 1 318.221 1.281 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(O[C@H]3CCOC3)cc2)c1[O-] ZINC000676697678 486292777 /nfs/dbraw/zinc/29/27/77/486292777.db2.gz CLUCJZWYZKTGFU-LBPRGKRZSA-N -1 1 303.318 1.844 20 0 DDADMM O=C(NC1CCN(CC(F)F)CC1)c1ccc([O-])c(F)c1 ZINC000681037161 486327385 /nfs/dbraw/zinc/32/73/85/486327385.db2.gz WRTWNTVOADKCHI-UHFFFAOYSA-N -1 1 302.296 1.991 20 0 DDADMM O=C(CNC(=O)c1ccc([O-])c(F)c1)N1CCc2ccccc21 ZINC000681039063 486328510 /nfs/dbraw/zinc/32/85/10/486328510.db2.gz HAUJMVJGQWVULT-UHFFFAOYSA-N -1 1 314.316 1.850 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)C(=O)c2ccc([O-])c(F)c2)c1 ZINC000681040104 486328766 /nfs/dbraw/zinc/32/87/66/486328766.db2.gz WQALMKMAZZDGRY-UHFFFAOYSA-N -1 1 317.320 1.945 20 0 DDADMM COC[C@](C)(CO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000681075757 486340996 /nfs/dbraw/zinc/34/09/96/486340996.db2.gz PWEDPAJHPDJDBH-LBPRGKRZSA-N -1 1 307.268 1.538 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H]1CCCC1=O ZINC000684485191 486366923 /nfs/dbraw/zinc/36/69/23/486366923.db2.gz LTXVHTIYNDMDOX-NSHDSACASA-N -1 1 316.361 1.080 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@]1(C)c1ccccc1 ZINC000685499449 486550906 /nfs/dbraw/zinc/55/09/06/486550906.db2.gz XOVMDQHTBCJTMZ-SMDDNHRTSA-N -1 1 307.375 1.574 20 0 DDADMM COC(=O)N1CC(NC(=O)c2cc(Cl)c([O-])c(OC)c2)C1 ZINC000492132075 533926572 /nfs/dbraw/zinc/92/65/72/533926572.db2.gz KJQGYDXYERNHFJ-UHFFFAOYSA-N -1 1 314.725 1.235 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](CC(C)C)OC)o1 ZINC000416642069 534239739 /nfs/dbraw/zinc/23/97/39/534239739.db2.gz FFRZVYHPYFVHCX-JTQLQIEISA-N -1 1 319.379 1.406 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H](CCCO)C3)cnc2n1 ZINC000412414074 534396325 /nfs/dbraw/zinc/39/63/25/534396325.db2.gz UIZNQBXLHMULNQ-GFCCVEGCSA-N -1 1 315.373 1.878 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](CCCO)C3)c[n-]c2n1 ZINC000412414074 534396331 /nfs/dbraw/zinc/39/63/31/534396331.db2.gz UIZNQBXLHMULNQ-GFCCVEGCSA-N -1 1 315.373 1.878 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCC[C@]2(C)CO)sc1C ZINC000328849727 534520784 /nfs/dbraw/zinc/52/07/84/534520784.db2.gz YXEIQNSUGUFMPL-ZYHUDNBSSA-N -1 1 304.437 1.589 20 0 DDADMM CC(=O)N[C@H](C)C1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000331249593 526878576 /nfs/dbraw/zinc/87/85/76/526878576.db2.gz VVOJUMFFVNFPMJ-SNVBAGLBSA-N -1 1 308.353 1.908 20 0 DDADMM CC(C)(CO)CC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000451679651 527203599 /nfs/dbraw/zinc/20/35/99/527203599.db2.gz PDGRLSLKNWOZOQ-UHFFFAOYSA-N -1 1 311.325 1.791 20 0 DDADMM CC(C)(NC(=O)C=Cc1ccc(-n2ccnc2)cc1)c1nn[n-]n1 ZINC000492090614 527261436 /nfs/dbraw/zinc/26/14/36/527261436.db2.gz QYOFHCRRMULRAM-VMPITWQZSA-N -1 1 323.360 1.450 20 0 DDADMM CCN(CC(F)(F)F)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000299680420 527967468 /nfs/dbraw/zinc/96/74/68/527967468.db2.gz LCLCKUBQJMAWNK-UHFFFAOYSA-N -1 1 314.285 1.212 20 0 DDADMM CCN1C[C@H](C)N(C(=O)NC[C@@H](CC(C)C)C(=O)[O-])C[C@@H]1C ZINC000424424725 527968646 /nfs/dbraw/zinc/96/86/46/527968646.db2.gz POBMZKRCKUCMRK-MELADBBJSA-N -1 1 313.442 1.857 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CC[C@H](C)C2)o1 ZINC000444210092 528242978 /nfs/dbraw/zinc/24/29/78/528242978.db2.gz DQUXQKWKNFGJSU-VHSXEESVSA-N -1 1 301.364 1.923 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC2=CCOCC2)o1 ZINC000451671079 528243954 /nfs/dbraw/zinc/24/39/54/528243954.db2.gz FCZIGPRRYRLIRQ-UHFFFAOYSA-N -1 1 315.347 1.081 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1cc2cc(F)ccc2o1)C(N)=O ZINC000451173385 529124415 /nfs/dbraw/zinc/12/44/15/529124415.db2.gz AQWCODMADUUISC-LBPRGKRZSA-N -1 1 314.338 1.360 20 0 DDADMM OC1C[C@H]2CC[C@@H](C1)N2c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000738391462 598962275 /nfs/dbraw/zinc/96/22/75/598962275.db2.gz XFQIIPHJOVYJFR-ZACCUICWSA-N -1 1 323.360 1.302 20 0 DDADMM OC1C[C@H]2CC[C@@H](C1)N2c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000738391462 598962276 /nfs/dbraw/zinc/96/22/76/598962276.db2.gz XFQIIPHJOVYJFR-ZACCUICWSA-N -1 1 323.360 1.302 20 0 DDADMM C[C@@H](O)C[C@H](C)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736144641 598973090 /nfs/dbraw/zinc/97/30/90/598973090.db2.gz QBNLRXQEYAFYPT-VHSXEESVSA-N -1 1 313.365 1.629 20 0 DDADMM C[C@@H](O)C[C@H](C)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736144641 598973092 /nfs/dbraw/zinc/97/30/92/598973092.db2.gz QBNLRXQEYAFYPT-VHSXEESVSA-N -1 1 313.365 1.629 20 0 DDADMM C[C@H]1CCCC[C@H]1N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736274333 598987971 /nfs/dbraw/zinc/98/79/71/598987971.db2.gz ULVAVNFNKJRZBF-GXFFZTMASA-N -1 1 300.366 1.912 20 0 DDADMM C[C@H]1CCCC[C@H]1N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736274333 598987973 /nfs/dbraw/zinc/98/79/73/598987973.db2.gz ULVAVNFNKJRZBF-GXFFZTMASA-N -1 1 300.366 1.912 20 0 DDADMM CC[C@H]1CCCC[C@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736400437 598990525 /nfs/dbraw/zinc/99/05/25/598990525.db2.gz OFYWOEGVYKSRGK-CMPLNLGQSA-N -1 1 300.366 1.960 20 0 DDADMM CC[C@H]1CCCC[C@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736400437 598990526 /nfs/dbraw/zinc/99/05/26/598990526.db2.gz OFYWOEGVYKSRGK-CMPLNLGQSA-N -1 1 300.366 1.960 20 0 DDADMM C[C@H](CNC(=O)c1ccc(-c2nnn[n-]2)nc1)Oc1ccccc1 ZINC000736020102 599005663 /nfs/dbraw/zinc/00/56/63/599005663.db2.gz MKQYTHTWLPYVCI-LLVKDONJSA-N -1 1 324.344 1.459 20 0 DDADMM C[C@H](CNC(=O)c1ccc(-c2nn[n-]n2)nc1)Oc1ccccc1 ZINC000736020102 599005665 /nfs/dbraw/zinc/00/56/65/599005665.db2.gz MKQYTHTWLPYVCI-LLVKDONJSA-N -1 1 324.344 1.459 20 0 DDADMM C[C@H](O)[C@H](C)Sc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000820468139 599100249 /nfs/dbraw/zinc/10/02/49/599100249.db2.gz RPUZICSFQZBXLD-YUMQZZPRSA-N -1 1 302.363 1.671 20 0 DDADMM O=C(OCc1cccc(F)c1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738231627 599138036 /nfs/dbraw/zinc/13/80/36/599138036.db2.gz DURAXOUMZUKZJY-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM O=C(OCc1cccc(F)c1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738231627 599138037 /nfs/dbraw/zinc/13/80/37/599138037.db2.gz DURAXOUMZUKZJY-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM Cc1nnc(SCCC(=O)N[C@@H](CC(C)C)C(=O)[O-])[nH]1 ZINC000819036156 597107438 /nfs/dbraw/zinc/10/74/38/597107438.db2.gz ZGHIWWAFOUYPTJ-VIFPVBQESA-N -1 1 300.384 1.211 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)NCCCCCC(=O)[O-] ZINC000316860891 597235442 /nfs/dbraw/zinc/23/54/42/597235442.db2.gz XZZHIMUAAAHVLM-KBPBESRZSA-N -1 1 311.426 1.900 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](CN2CCO[C@H](C(=O)[O-])C2)C1 ZINC000736277690 597399902 /nfs/dbraw/zinc/39/99/02/597399902.db2.gz XKKXROIGOYNASL-RYUDHWBXSA-N -1 1 314.382 1.029 20 0 DDADMM O=C([O-])[C@H]1CCN(C(=O)NCCN2CCc3ccccc3C2)C1 ZINC000819257838 597507887 /nfs/dbraw/zinc/50/78/87/597507887.db2.gz HVTFTUUIKNKUII-HNNXBMFYSA-N -1 1 317.389 1.161 20 0 DDADMM CC(C)C[C@@H](NC(=O)Nc1cnn(-c2ccncc2)c1)C(=O)[O-] ZINC000817887479 597510828 /nfs/dbraw/zinc/51/08/28/597510828.db2.gz DANJXMRQAGMVHV-CYBMUJFWSA-N -1 1 317.349 1.888 20 0 DDADMM CC(=O)c1ccc(C(=O)OC[C@H]2CCCN2CC(=O)[O-])c(F)c1 ZINC000819804703 597732296 /nfs/dbraw/zinc/73/22/96/597732296.db2.gz MIOHIRRHBDZBLP-GFCCVEGCSA-N -1 1 323.320 1.734 20 0 DDADMM O=C([O-])c1ccc(C(=O)Nc2nc(-c3ccccn3)n[nH]2)cc1 ZINC000821545940 598154975 /nfs/dbraw/zinc/15/49/75/598154975.db2.gz MMLVRKUCVXXGRU-UHFFFAOYSA-N -1 1 309.285 1.817 20 0 DDADMM CC(C)[C@@H](NCC(=O)N[C@H]1CCOc2ccccc21)C(=O)[O-] ZINC000820041620 598189717 /nfs/dbraw/zinc/18/97/17/598189717.db2.gz LIFTUDZMIUOIIQ-SWLSCSKDSA-N -1 1 306.362 1.325 20 0 DDADMM OCCC1CCN(c2snc(Cl)c2-c2nnn[n-]2)CC1 ZINC000738395042 598386856 /nfs/dbraw/zinc/38/68/56/598386856.db2.gz UYTBJJWHAKYIRM-UHFFFAOYSA-N -1 1 314.802 1.575 20 0 DDADMM OCCC1CCN(c2snc(Cl)c2-c2nn[n-]n2)CC1 ZINC000738395042 598386858 /nfs/dbraw/zinc/38/68/58/598386858.db2.gz UYTBJJWHAKYIRM-UHFFFAOYSA-N -1 1 314.802 1.575 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)Cc1cccc(Cl)c1 ZINC000737777993 598528870 /nfs/dbraw/zinc/52/88/70/598528870.db2.gz VJIXKXDQEQCQMD-UHFFFAOYSA-N -1 1 303.713 1.182 20 0 DDADMM CC(C)CN(C)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000735827067 598692391 /nfs/dbraw/zinc/69/23/91/598692391.db2.gz CMHZNJZHERXSKD-UHFFFAOYSA-N -1 1 313.358 1.282 20 0 DDADMM CN(C1CC1)S(=O)(=O)c1ccc(Cl)cc1-c1nn[n-]n1 ZINC000821592544 599337427 /nfs/dbraw/zinc/33/74/27/599337427.db2.gz KISMVMNBSRVPOX-UHFFFAOYSA-N -1 1 313.770 1.303 20 0 DDADMM CCCC[C@H](COC)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736437246 599377515 /nfs/dbraw/zinc/37/75/15/599377515.db2.gz IBUSIPXXINYIDP-LLVKDONJSA-N -1 1 304.354 1.197 20 0 DDADMM CCCC[C@H](COC)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736437246 599377517 /nfs/dbraw/zinc/37/75/17/599377517.db2.gz IBUSIPXXINYIDP-LLVKDONJSA-N -1 1 304.354 1.197 20 0 DDADMM C[C@@H](Nc1cccc(-c2nnn[n-]2)n1)C(=O)NCc1ccccc1 ZINC000736140122 599415945 /nfs/dbraw/zinc/41/59/45/599415945.db2.gz YGCAHPYPLADLBH-LLVKDONJSA-N -1 1 323.360 1.379 20 0 DDADMM C[C@@H](Nc1cccc(-c2nn[n-]n2)n1)C(=O)NCc1ccccc1 ZINC000736140122 599415948 /nfs/dbraw/zinc/41/59/48/599415948.db2.gz YGCAHPYPLADLBH-LLVKDONJSA-N -1 1 323.360 1.379 20 0 DDADMM O[C@@H]1CCCC[C@@H]1Nc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000823707180 599515307 /nfs/dbraw/zinc/51/53/07/599515307.db2.gz WWPDFEDZFSDAGM-SWLSCSKDSA-N -1 1 310.361 1.552 20 0 DDADMM O[C@@H]1CCCC[C@@H]1Nc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000823707180 599515309 /nfs/dbraw/zinc/51/53/09/599515309.db2.gz WWPDFEDZFSDAGM-SWLSCSKDSA-N -1 1 310.361 1.552 20 0 DDADMM Cc1cccc(C)c1Cn1nc(C)c(C)c(-c2nn[n-]n2)c1=O ZINC000737391235 599521165 /nfs/dbraw/zinc/52/11/65/599521165.db2.gz WQJLCKNQPKBOPZ-UHFFFAOYSA-N -1 1 310.361 1.705 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)CC/C=C/c1ccccc1 ZINC000737775575 599604636 /nfs/dbraw/zinc/60/46/36/599604636.db2.gz CGUOZCLMIWNLOQ-XBXARRHUSA-N -1 1 309.333 1.779 20 0 DDADMM Cc1ccc(O[C@@H](C)C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000320547212 599777547 /nfs/dbraw/zinc/77/75/47/599777547.db2.gz COUCWYVLZLHUJP-FZMZJTMJSA-N -1 1 317.345 1.298 20 0 DDADMM Cc1nc(CN2CCCN(Cc3ccoc3C(=O)[O-])CC2)no1 ZINC000738858473 599917746 /nfs/dbraw/zinc/91/77/46/599917746.db2.gz UIKNFYGQUYDSBZ-UHFFFAOYSA-N -1 1 320.349 1.377 20 0 DDADMM NC(=O)C[C@H]1CCCCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000738440556 599993783 /nfs/dbraw/zinc/99/37/83/599993783.db2.gz ABZTYUKDEOMFHB-LLVKDONJSA-N -1 1 319.361 1.053 20 0 DDADMM O=C([O-])C1CCN(CC(=O)N[C@H]2CCOc3ccccc32)CC1 ZINC000738461622 600034516 /nfs/dbraw/zinc/03/45/16/600034516.db2.gz UPDGVQVVBVOYMR-AWEZNQCLSA-N -1 1 318.373 1.423 20 0 DDADMM Cc1cccc(C[C@@H](CNC(=O)[C@H]2CCCN2C)C(=O)[O-])c1 ZINC000738704894 600102516 /nfs/dbraw/zinc/10/25/16/600102516.db2.gz WBABPKCQYNXXJH-LSDHHAIUSA-N -1 1 304.390 1.449 20 0 DDADMM C[C@@H]1CCCC[C@@H]1NC(=O)CN1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000405467626 600295027 /nfs/dbraw/zinc/29/50/27/600295027.db2.gz CTDFSHWJVXKORN-MCIONIFRSA-N -1 1 308.422 1.868 20 0 DDADMM Cc1csc([C@@H]2CCCCN2CCC(=O)NCC(=O)[O-])n1 ZINC000738816377 600295931 /nfs/dbraw/zinc/29/59/31/600295931.db2.gz HQKNPHYHBXCVHU-NSHDSACASA-N -1 1 311.407 1.569 20 0 DDADMM C[C@@H]1CO[C@H](c2ccccc2)CN1CCC(=O)NCC(=O)[O-] ZINC000736823179 600297989 /nfs/dbraw/zinc/29/79/89/600297989.db2.gz VQYKFLFKGCFKSE-OCCSQVGLSA-N -1 1 306.362 1.039 20 0 DDADMM O=C([O-])[C@H]1CCCN1CCCS(=O)(=O)c1ccc(F)cc1 ZINC000262153429 600438153 /nfs/dbraw/zinc/43/81/53/600438153.db2.gz RLMDHKAVTHTJQI-CYBMUJFWSA-N -1 1 315.366 1.539 20 0 DDADMM COCCN(CC(=O)[O-])C[C@@H](O)c1ccc(C(F)(F)F)cc1 ZINC000737777792 600447379 /nfs/dbraw/zinc/44/73/79/600447379.db2.gz PVNLHNAVOLEMTF-GFCCVEGCSA-N -1 1 321.295 1.772 20 0 DDADMM O=C([O-])c1ccnc(NC(=O)c2nnc3ccccc3c2O)c1 ZINC000740248906 600452510 /nfs/dbraw/zinc/45/25/10/600452510.db2.gz KEUWAWFFBSXXQG-UHFFFAOYSA-N -1 1 310.269 1.681 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CCC[C@H](CCO)C2)cc1 ZINC000738465728 600555292 /nfs/dbraw/zinc/55/52/92/600555292.db2.gz KMHSUKIINWYWGN-GFCCVEGCSA-N -1 1 306.362 1.418 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1cnn[nH]1)Cc1ccc2ccccc2c1 ZINC000739408010 600603969 /nfs/dbraw/zinc/60/39/69/600603969.db2.gz JJSKWFNEIUCONE-AWEZNQCLSA-N -1 1 324.340 1.631 20 0 DDADMM C[C@@H](c1nc(-c2cccnc2)no1)N1CCC(C(=O)[O-])CC1 ZINC000736723564 600612277 /nfs/dbraw/zinc/61/22/77/600612277.db2.gz CUOZOXCMEJZOSQ-JTQLQIEISA-N -1 1 302.334 1.989 20 0 DDADMM CCN(C[C@@H]1CCOC1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821207861 607467847 /nfs/dbraw/zinc/46/78/47/607467847.db2.gz WFNRZSZSRISMLE-VIFPVBQESA-N -1 1 308.773 1.778 20 0 DDADMM CCN(C[C@@H]1CCOC1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821207861 607467848 /nfs/dbraw/zinc/46/78/48/607467848.db2.gz WFNRZSZSRISMLE-VIFPVBQESA-N -1 1 308.773 1.778 20 0 DDADMM CCN1CC[C@@H](NS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2)C1 ZINC000389374321 600788098 /nfs/dbraw/zinc/78/80/98/600788098.db2.gz XETCSXPWQGJZLW-LLVKDONJSA-N -1 1 312.391 1.066 20 0 DDADMM CCO[C@@H]1C[C@@H](O)C12CCN(Cc1cc(C(=O)[O-])co1)CC2 ZINC000737167389 600795622 /nfs/dbraw/zinc/79/56/22/600795622.db2.gz ZWCBWCTZIOYRHK-ZIAGYGMSSA-N -1 1 309.362 1.730 20 0 DDADMM COc1ccccc1C[C@@H](C)N(C)CC(=O)NCCC(=O)[O-] ZINC000832607326 600841197 /nfs/dbraw/zinc/84/11/97/600841197.db2.gz CTTVSNDLCLISSB-GFCCVEGCSA-N -1 1 308.378 1.149 20 0 DDADMM CCN1CCN(CC(=O)Nc2ccc(CNC(=O)[O-])cc2)CC1 ZINC000829776839 600958469 /nfs/dbraw/zinc/95/84/69/600958469.db2.gz WBNSESHHXIZBIM-UHFFFAOYSA-N -1 1 320.393 1.030 20 0 DDADMM CN(C(=O)CN1CC[C@H](CCO)C1)c1ccccc1C(=O)[O-] ZINC000737324896 600980727 /nfs/dbraw/zinc/98/07/27/600980727.db2.gz VHRHAVYZVXKAFU-GFCCVEGCSA-N -1 1 306.362 1.052 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000826741459 601011079 /nfs/dbraw/zinc/01/10/79/601011079.db2.gz DLPVXCDPDNEWCG-HNNXBMFYSA-N -1 1 319.405 1.595 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)COC2CCCCC2)CC1 ZINC000827362430 601103884 /nfs/dbraw/zinc/10/38/84/601103884.db2.gz ODHDXMPGOSQOIV-ZDUSSCGKSA-N -1 1 312.410 1.343 20 0 DDADMM CCOc1ccc(C[C@H](CNC(=O)[C@H](C)N(C)C)C(=O)[O-])cc1 ZINC000830071369 601137088 /nfs/dbraw/zinc/13/70/88/601137088.db2.gz VBOSXDGFJASUSA-GXTWGEPZSA-N -1 1 322.405 1.395 20 0 DDADMM Cc1nnc(SCC(=O)Nc2cccc(C(=O)[O-])c2O)[nH]1 ZINC000832991719 601185584 /nfs/dbraw/zinc/18/55/84/601185584.db2.gz ZEUKBAOPQBYTMT-UHFFFAOYSA-N -1 1 308.319 1.248 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2cccc(C(=O)[O-])c2O)n1 ZINC000832991719 601185585 /nfs/dbraw/zinc/18/55/85/601185585.db2.gz ZEUKBAOPQBYTMT-UHFFFAOYSA-N -1 1 308.319 1.248 20 0 DDADMM CC(C)n1cc(NC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cn1 ZINC000827374709 601314199 /nfs/dbraw/zinc/31/41/99/601314199.db2.gz KZNSHJYVNRMSGD-LBPRGKRZSA-N -1 1 323.397 1.477 20 0 DDADMM C[C@@H](C(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)[O-])N(C)C ZINC000832803803 601409223 /nfs/dbraw/zinc/40/92/23/601409223.db2.gz ZCKFSEAODXIMJM-IINYFYTJSA-N -1 1 303.362 1.230 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(CCc2nc(-c3ccccc3)no2)C1 ZINC000833174070 601444233 /nfs/dbraw/zinc/44/42/33/601444233.db2.gz APZXUERTJLZJES-OAHLLOKOSA-N -1 1 305.309 1.778 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccccc2[N+](=O)[O-])C[C@@H]1C(=O)[O-] ZINC000315088141 601499900 /nfs/dbraw/zinc/49/99/00/601499900.db2.gz BAABYWWMBUKIAP-UWVGGRQHSA-N -1 1 307.306 1.186 20 0 DDADMM C[C@@H](C(=O)Nc1cc(F)ccc1F)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828422132 601528100 /nfs/dbraw/zinc/52/81/00/601528100.db2.gz HVXCYFITSYMSOW-YWVKMMECSA-N -1 1 312.316 1.944 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccnn2C2CCCC2)C[C@@H]1C(=O)[O-] ZINC000828441297 601528442 /nfs/dbraw/zinc/52/84/42/601528442.db2.gz OTYUGGKZTYTNDL-YPMHNXCESA-N -1 1 320.393 1.589 20 0 DDADMM COc1ccccc1NC(=O)[C@H](C)N1C[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000832622682 601533412 /nfs/dbraw/zinc/53/34/12/601533412.db2.gz FLAXFGUIOLGGJA-SRVKXCTJSA-N -1 1 306.362 1.675 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)NCC1(C(=O)[O-])CCCC1 ZINC000830584870 601599680 /nfs/dbraw/zinc/59/96/80/601599680.db2.gz FIRCTIFHGQHUQX-HNNXBMFYSA-N -1 1 318.417 1.921 20 0 DDADMM CCOC(=O)CN(Cc1ccnc(-c2nn[n-]n2)c1)C(C)(C)C ZINC000825517355 607516817 /nfs/dbraw/zinc/51/68/17/607516817.db2.gz ADQBSTAXFHLPHL-UHFFFAOYSA-N -1 1 318.381 1.425 20 0 DDADMM Cc1nnc(NC(=O)c2cc(C)c(OCC(=O)[O-])c(C)c2)[nH]1 ZINC000833002396 601684811 /nfs/dbraw/zinc/68/48/11/601684811.db2.gz XFRUYFDCCNRERO-UHFFFAOYSA-N -1 1 304.306 1.446 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000832847387 601759643 /nfs/dbraw/zinc/75/96/43/601759643.db2.gz IIYIDNSJZNQNJO-VDTYLAMSSA-N -1 1 305.256 1.530 20 0 DDADMM C[C@H]1CN(CN2C(=O)NC3(CCCCCC3)C2=O)C[C@@H]1C(=O)[O-] ZINC000828449086 601818925 /nfs/dbraw/zinc/81/89/25/601818925.db2.gz IWTUENYMJYCOHS-RYUDHWBXSA-N -1 1 323.393 1.241 20 0 DDADMM COc1ccc(CN(C)C(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)cc1 ZINC000832304341 601869738 /nfs/dbraw/zinc/86/97/38/601869738.db2.gz JTWVCVAENFRDQV-HNNXBMFYSA-N -1 1 322.405 1.743 20 0 DDADMM CCOc1cccc(CNC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)c1 ZINC000830099362 601876027 /nfs/dbraw/zinc/87/60/27/601876027.db2.gz FAICCSJWCLLXLE-HNNXBMFYSA-N -1 1 322.405 1.790 20 0 DDADMM O=C([O-])NC1CCC(C(=O)N2CCN3CCCC[C@H]3C2)CC1 ZINC000740554720 601923673 /nfs/dbraw/zinc/92/36/73/601923673.db2.gz ZCCVNHMTWJRQNB-RUXDESIVSA-N -1 1 309.410 1.510 20 0 DDADMM CCN(Cc1ccccc1)C(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000739443982 601952240 /nfs/dbraw/zinc/95/22/40/601952240.db2.gz MDHZYVKDHSILKJ-HNNXBMFYSA-N -1 1 319.405 1.625 20 0 DDADMM C[C@@H](NC(=O)CN1CC[C@H](CNC(=O)[O-])C1)c1ccc(F)cc1 ZINC000738959540 601957047 /nfs/dbraw/zinc/95/70/47/601957047.db2.gz CTKWTDCXVHOKHF-VXGBXAGGSA-N -1 1 323.368 1.592 20 0 DDADMM C[C@H](C(=O)N[C@H]1CCCC[C@H]1C)N1CC[C@H](CNC(=O)[O-])C1 ZINC000739096911 601960476 /nfs/dbraw/zinc/96/04/76/601960476.db2.gz FMVGICBALGPIQX-SYQHCUMBSA-N -1 1 311.426 1.659 20 0 DDADMM Cc1ccccc1CCNC(=O)CN[C@H](C(=O)[O-])C(C)(C)C ZINC000832979820 602229006 /nfs/dbraw/zinc/22/90/06/602229006.db2.gz SLERVPLIAPKDPD-OAHLLOKOSA-N -1 1 306.406 1.743 20 0 DDADMM CCc1c(NC(=O)[C@H](C)NC(=O)[O-])[nH]nc1-c1ccncc1 ZINC000739538420 602266902 /nfs/dbraw/zinc/26/69/02/602266902.db2.gz DYMZZRYKYLZCOT-QMMMGPOBSA-N -1 1 303.322 1.629 20 0 DDADMM CN(CC1CCN(C(=O)N[C@H]2CCCN(C)C2)CC1)C(=O)[O-] ZINC000739727688 602418110 /nfs/dbraw/zinc/41/81/10/602418110.db2.gz GMQHEMPKTJKTDR-ZDUSSCGKSA-N -1 1 312.414 1.112 20 0 DDADMM O=C([O-])NCC1CCN(CC[S@@](=O)c2ccccc2)CC1 ZINC000740643710 602528790 /nfs/dbraw/zinc/52/87/90/602528790.db2.gz PZDHPEONHJQNHY-OAQYLSRUSA-N -1 1 310.419 1.774 20 0 DDADMM C[C@@H]1C[C@@H](C)CN(C(=O)CN2CC[C@@H](CN(C)C(=O)[O-])C2)C1 ZINC000739079248 602537084 /nfs/dbraw/zinc/53/70/84/602537084.db2.gz YTAUKOVXGGCOIP-MCIONIFRSA-N -1 1 311.426 1.423 20 0 DDADMM C[C@@H]1CCCC[C@H]1NC(=O)CN1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000739096850 602538182 /nfs/dbraw/zinc/53/81/82/602538182.db2.gz FHIAPHCINNAHIJ-HZSPNIEDSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@H]1CN(C(=O)[O-])CCN1CCCOc1ccc2c(c1)OCO2 ZINC000739158291 602740612 /nfs/dbraw/zinc/74/06/12/602740612.db2.gz AFPJRPQHYAUOSO-LBPRGKRZSA-N -1 1 322.361 1.868 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1cc(F)cc2nc[nH]c21)NC(=O)[O-] ZINC000738786093 602772692 /nfs/dbraw/zinc/77/26/92/602772692.db2.gz STQFHVCODPAYCI-LLVKDONJSA-N -1 1 308.313 1.724 20 0 DDADMM CCc1cc(CNC(=O)N2CC[C@@H](NC(=O)[O-])[C@H](C)C2)[nH]n1 ZINC000739535778 602838495 /nfs/dbraw/zinc/83/84/95/602838495.db2.gz GLJHNVIVJSJBFJ-BXKDBHETSA-N -1 1 309.370 1.160 20 0 DDADMM C[C@H](CNC(=O)c1ccc(NC(=O)[O-])cc1[O-])[NH+]1CCCC1 ZINC000738939380 602846497 /nfs/dbraw/zinc/84/64/97/602846497.db2.gz LUOXTYRIIJLLOA-SNVBAGLBSA-N -1 1 307.350 1.696 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@@H]2CC[N@@H+]3CCC[C@@H]3C2)c([O-])c1 ZINC000740698490 602851290 /nfs/dbraw/zinc/85/12/90/602851290.db2.gz MTGGUSQBHGGPJO-VXGBXAGGSA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@@H]2CC[N@H+]3CCC[C@@H]3C2)c([O-])c1 ZINC000740698490 602851295 /nfs/dbraw/zinc/85/12/95/602851295.db2.gz MTGGUSQBHGGPJO-VXGBXAGGSA-N -1 1 319.361 1.839 20 0 DDADMM CN(C[C@@H]1CC[N@H+](C)C1)C(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739727616 602861099 /nfs/dbraw/zinc/86/10/99/602861099.db2.gz YVBWVJVRANIFQK-SNVBAGLBSA-N -1 1 307.350 1.506 20 0 DDADMM CN(C[C@@H]1CC[N@@H+](C)C1)C(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739727616 602861102 /nfs/dbraw/zinc/86/11/02/602861102.db2.gz YVBWVJVRANIFQK-SNVBAGLBSA-N -1 1 307.350 1.506 20 0 DDADMM C[C@@H](CC(=O)NCc1ccc(F)c(CN(C)C)c1)NC(=O)[O-] ZINC000824657678 602943619 /nfs/dbraw/zinc/94/36/19/602943619.db2.gz ZNTHORYTBSZOGP-JTQLQIEISA-N -1 1 311.357 1.550 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)NC[C@@H]1CCCN1C(=O)[O-] ZINC000739194650 602995810 /nfs/dbraw/zinc/99/58/10/602995810.db2.gz BINWFTDPAIXEIN-RDBSUJKOSA-N -1 1 324.425 1.397 20 0 DDADMM C[C@H]1CN(CCCNC(=O)CC(C)(C)NC(=O)[O-])C[C@H](C)O1 ZINC000739183833 603015320 /nfs/dbraw/zinc/01/53/20/603015320.db2.gz UAOOLGDXFUXAEM-RYUDHWBXSA-N -1 1 315.414 1.038 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC000739212220 603221910 /nfs/dbraw/zinc/22/19/10/603221910.db2.gz UDDWEBTXECXSLG-KWQFWETISA-N -1 1 322.365 1.132 20 0 DDADMM C[C@@H](CC(=O)NC[C@@H](c1cccc(F)c1)N(C)C)NC(=O)[O-] ZINC000824629486 603250546 /nfs/dbraw/zinc/25/05/46/603250546.db2.gz PVLSAVSXOQMLQO-GWCFXTLKSA-N -1 1 311.357 1.591 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@H]1CCN(C(=O)[O-])C1)c1ccc(F)cc1 ZINC000739603522 603283266 /nfs/dbraw/zinc/28/32/66/603283266.db2.gz VWNMQYVXAIKACB-JSGCOSHPSA-N -1 1 323.368 1.545 20 0 DDADMM CC(C)(C)OC(=O)NCCN1CCCC[C@H]1CNC(=O)[O-] ZINC000823822942 603453295 /nfs/dbraw/zinc/45/32/95/603453295.db2.gz XFPMAFUKRJTYAA-NSHDSACASA-N -1 1 301.387 1.633 20 0 DDADMM CN(CC(C)(C)CNC(=O)[O-])C(=O)c1ccc2[nH]nnc2c1 ZINC000828151160 603510318 /nfs/dbraw/zinc/51/03/18/603510318.db2.gz UFTYCKPDUKGRQR-UHFFFAOYSA-N -1 1 305.338 1.324 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000828047182 603544538 /nfs/dbraw/zinc/54/45/38/603544538.db2.gz YWYUZBJOOQQZOP-LLVKDONJSA-N -1 1 311.407 1.634 20 0 DDADMM O=C([O-])NCc1ccc(C(=O)N2CCC(c3cnc[nH]3)CC2)o1 ZINC000832679295 603549609 /nfs/dbraw/zinc/54/96/09/603549609.db2.gz XJQPWKKPFVJHKR-UHFFFAOYSA-N -1 1 318.333 1.790 20 0 DDADMM COc1ccc(OC)c([C@H](O)CN2CC[C@H](N(C)C(=O)[O-])C2)c1 ZINC000829494800 603801790 /nfs/dbraw/zinc/80/17/90/603801790.db2.gz WIACWZLDCBHBHZ-SMDDNHRTSA-N -1 1 324.377 1.421 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])CC[N@@H+]1C ZINC000825852589 603823163 /nfs/dbraw/zinc/82/31/63/603823163.db2.gz OUXCDRWCRCPROP-GXSJLCMTSA-N -1 1 307.350 1.695 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])CC[N@H+]1C ZINC000825852589 603823166 /nfs/dbraw/zinc/82/31/66/603823166.db2.gz OUXCDRWCRCPROP-GXSJLCMTSA-N -1 1 307.350 1.695 20 0 DDADMM O=C([O-])N1CC[C@](F)(C(=O)Nc2nc(-c3ccco3)n[nH]2)C1 ZINC000831913917 603832658 /nfs/dbraw/zinc/83/26/58/603832658.db2.gz BOINAPODHGFRNE-GFCCVEGCSA-N -1 1 309.257 1.095 20 0 DDADMM CN(C[C@@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1)C(=O)[O-] ZINC000828176121 603943782 /nfs/dbraw/zinc/94/37/82/603943782.db2.gz OOOOOIQJZUMTQU-NWDGAFQWSA-N -1 1 320.393 1.678 20 0 DDADMM CN(C(=O)[C@H]1CCCc2[nH]ncc21)C1CCN(C(=O)[O-])CC1 ZINC000827747121 603954472 /nfs/dbraw/zinc/95/44/72/603954472.db2.gz XAMUBZBOLBKOMB-NSHDSACASA-N -1 1 306.366 1.430 20 0 DDADMM CN(C)[C@H](CNC(=O)CN(C)C(=O)[O-])c1ccc(Cl)cc1 ZINC000828107812 603971061 /nfs/dbraw/zinc/97/10/61/603971061.db2.gz GIQRZBZUIXESOL-GFCCVEGCSA-N -1 1 313.785 1.669 20 0 DDADMM Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N1CCN2CCCC[C@H]2C1 ZINC000388229357 604295831 /nfs/dbraw/zinc/29/58/31/604295831.db2.gz XYKKLHKOCZIYFJ-LBPRGKRZSA-N -1 1 305.378 1.640 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(CCCCS(C)(=O)=O)C1 ZINC000825264479 604350294 /nfs/dbraw/zinc/35/02/94/604350294.db2.gz KNVXRYLYWBBRKC-VXGBXAGGSA-N -1 1 306.428 1.179 20 0 DDADMM CN(C)[C@@H](CNC(=O)NC1CN(C(=O)[O-])C1)c1cccc(F)c1 ZINC000827994229 604567323 /nfs/dbraw/zinc/56/73/23/604567323.db2.gz IZSHREAGSYEJSJ-ZDUSSCGKSA-N -1 1 324.356 1.090 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)CC3(NC(=O)[O-])CCC3)C2)n[nH]1 ZINC000830737783 604644793 /nfs/dbraw/zinc/64/47/93/604644793.db2.gz MWCJKMNMORTPRJ-LLVKDONJSA-N -1 1 321.381 1.400 20 0 DDADMM CC(C)(O)[C@@H]1CCCN1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000833464224 604653754 /nfs/dbraw/zinc/65/37/54/604653754.db2.gz USCMLEYTCICLKT-ZDUSSCGKSA-N -1 1 306.362 1.559 20 0 DDADMM O=C([O-])[C@H](c1ccc(F)cc1)[N@H+]1CCOC2(CCOCC2)C1 ZINC000833170319 604683007 /nfs/dbraw/zinc/68/30/07/604683007.db2.gz GWHPEOCJXRYVDG-AWEZNQCLSA-N -1 1 309.337 1.833 20 0 DDADMM O=C([O-])[C@H](c1ccc(F)cc1)N1CCOC2(CCOCC2)C1 ZINC000833170319 604683011 /nfs/dbraw/zinc/68/30/11/604683011.db2.gz GWHPEOCJXRYVDG-AWEZNQCLSA-N -1 1 309.337 1.833 20 0 DDADMM CSC[C@H]1CCCN1CC(=O)N[C@@H](CC(C)C)C(=O)[O-] ZINC000832758367 604701654 /nfs/dbraw/zinc/70/16/54/604701654.db2.gz BBYAQSUZRNWYQN-NEPJUHHUSA-N -1 1 302.440 1.429 20 0 DDADMM Cc1[nH]ncc1CNC(=O)C[C@H](C)C1CCN(C(=O)[O-])CC1 ZINC000830677240 604755558 /nfs/dbraw/zinc/75/55/58/604755558.db2.gz DZISEDHCRPCCPR-JTQLQIEISA-N -1 1 308.382 1.751 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CCC[C@@H]1c1cccc(N(C)C)c1 ZINC000826000821 604769096 /nfs/dbraw/zinc/76/90/96/604769096.db2.gz RNDTWKDAIMNXKA-IUODEOHRSA-N -1 1 319.405 1.479 20 0 DDADMM CC(C)(C)C(=O)NCC(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC000833421095 604868977 /nfs/dbraw/zinc/86/89/77/604868977.db2.gz VNWQFNYKDKNBJK-UHFFFAOYSA-N -1 1 318.333 1.362 20 0 DDADMM Cc1cc(C#N)ccc1S(=O)(=O)Nc1cncc(C(=O)[O-])c1 ZINC000319699456 604889387 /nfs/dbraw/zinc/88/93/87/604889387.db2.gz KAWZPCWWXZPUPA-UHFFFAOYSA-N -1 1 317.326 1.761 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1C[C@@H]1c1ccc(F)cc1 ZINC000833767675 604897803 /nfs/dbraw/zinc/89/78/03/604897803.db2.gz LYEUBTSOGQTQLY-MCIONIFRSA-N -1 1 317.320 1.464 20 0 DDADMM C[C@@H](C(=O)NCC(F)(F)F)N1CCC[C@H](C(C)(C)C(=O)[O-])C1 ZINC000833404138 604949866 /nfs/dbraw/zinc/94/98/66/604949866.db2.gz HNHLKBAHIAZCHO-UWVGGRQHSA-N -1 1 324.343 1.876 20 0 DDADMM Cc1noc(C)c1[C@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC000826338859 607733968 /nfs/dbraw/zinc/73/39/68/607733968.db2.gz KCYZCVQOVJHAOJ-SSDOTTSWSA-N -1 1 300.326 1.477 20 0 DDADMM Cc1noc(C)c1[C@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC000826338859 607733969 /nfs/dbraw/zinc/73/39/69/607733969.db2.gz KCYZCVQOVJHAOJ-SSDOTTSWSA-N -1 1 300.326 1.477 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC1(C2CC2)CC1 ZINC000833626842 605056256 /nfs/dbraw/zinc/05/62/56/605056256.db2.gz RQGZSQAXTTXWES-GFCCVEGCSA-N -1 1 309.410 1.367 20 0 DDADMM C[C@@H](CC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C)c1cccnc1 ZINC000833514141 605099777 /nfs/dbraw/zinc/09/97/77/605099777.db2.gz PAFHOAIRWNLHCB-KBPBESRZSA-N -1 1 319.405 1.583 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc(F)c1F ZINC000833627275 605100422 /nfs/dbraw/zinc/10/04/22/605100422.db2.gz WBCFJEOVSNOXQV-SNVBAGLBSA-N -1 1 312.316 1.586 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)C=C(C1CC1)C1CC1 ZINC000833623211 605109420 /nfs/dbraw/zinc/10/94/20/605109420.db2.gz RNNHGWUVVUJKRI-GFCCVEGCSA-N -1 1 306.406 1.740 20 0 DDADMM CC(C)C[C@@H](NC(=O)CN[C@H](C)c1cccc(O)c1)C(=O)[O-] ZINC000833481307 605121573 /nfs/dbraw/zinc/12/15/73/605121573.db2.gz LUWRBSUITRBJSO-BXUZGUMPSA-N -1 1 308.378 1.658 20 0 DDADMM CCN1C[C@H](C)N(C(=O)Nc2cc(C(=O)[O-])n(C)c2)C[C@@H]1C ZINC000833656188 605161690 /nfs/dbraw/zinc/16/16/90/605161690.db2.gz IPCDMAVKLBSENO-QWRGUYRKSA-N -1 1 308.382 1.670 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)Nc2cncc(C(=O)[O-])c2)n[nH]1 ZINC000833673213 605170896 /nfs/dbraw/zinc/17/08/96/605170896.db2.gz IHXSMMDSJQLFIJ-SSDOTTSWSA-N -1 1 304.310 1.343 20 0 DDADMM C[C@H]1C[C@@H](N(C)S(=O)(=O)c2cc(C(=O)[O-])co2)CCN1C ZINC000833595090 605206128 /nfs/dbraw/zinc/20/61/28/605206128.db2.gz BZSTTXSJOFSZKE-ONGXEEELSA-N -1 1 316.379 1.081 20 0 DDADMM CC[C@H]1CN(C(=O)CC(C)(C)CC(=O)[O-])CCN1C[C@H](C)O ZINC000833648918 605272489 /nfs/dbraw/zinc/27/24/89/605272489.db2.gz LZVQWCSTKIONAF-STQMWFEESA-N -1 1 314.426 1.181 20 0 DDADMM O=C([O-])N1CCC[C@H]1CCC(=O)N1CCN2CCCC[C@H]2C1 ZINC000833995589 605438672 /nfs/dbraw/zinc/43/86/72/605438672.db2.gz OJRNXNILRVOISZ-KBPBESRZSA-N -1 1 309.410 1.606 20 0 DDADMM Cc1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)ccc1O ZINC000833742366 605533148 /nfs/dbraw/zinc/53/31/48/605533148.db2.gz KIBDCPPMYCYJDT-LBPRGKRZSA-N -1 1 306.362 1.322 20 0 DDADMM O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)Nc1cccc(Cl)c1O ZINC000834055124 605540057 /nfs/dbraw/zinc/54/00/57/605540057.db2.gz ZEOOBUIUVDHGEK-RCOVLWMOSA-N -1 1 300.698 1.097 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1Cc1ccc(N2CCCC2)nc1 ZINC000834218110 605616261 /nfs/dbraw/zinc/61/62/61/605616261.db2.gz AKGYSDRPNOXENF-AWEZNQCLSA-N -1 1 304.394 1.914 20 0 DDADMM O=C([O-])N1CCC[C@@H]1[C@H]1CCCCN1CC(=O)N1CCCC1 ZINC000834008437 605670718 /nfs/dbraw/zinc/67/07/18/605670718.db2.gz ZHPZPOVLFFETAE-ZIAGYGMSSA-N -1 1 309.410 1.606 20 0 DDADMM O=C([O-])N1CC(CN[C@@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000834015438 605731935 /nfs/dbraw/zinc/73/19/35/605731935.db2.gz IRCHBOKLQWKFNE-CQSZACIVSA-N -1 1 321.352 1.345 20 0 DDADMM COC[C@](C)(CC(=O)OC)NCc1cc(C(=O)[O-])ccc1F ZINC000833720891 605841460 /nfs/dbraw/zinc/84/14/60/605841460.db2.gz HHJDYOCEEWVFRV-HNNXBMFYSA-N -1 1 313.325 1.582 20 0 DDADMM O=C([O-])NC[C@H]1CCC[N@H+](CCC[N-]C(=O)C(F)(F)F)C1 ZINC000834221012 605950506 /nfs/dbraw/zinc/95/05/06/605950506.db2.gz QXRDJHQNBQGBDL-SECBINFHSA-N -1 1 311.304 1.035 20 0 DDADMM O=C([O-])NC[C@H]1CCC[N@@H+](CCC[N-]C(=O)C(F)(F)F)C1 ZINC000834221012 605950507 /nfs/dbraw/zinc/95/05/07/605950507.db2.gz QXRDJHQNBQGBDL-SECBINFHSA-N -1 1 311.304 1.035 20 0 DDADMM O=C([O-])N[C@H](CNC(=O)NCCN1CCC1)c1ccccc1 ZINC000834144686 605953454 /nfs/dbraw/zinc/95/34/54/605953454.db2.gz LWAKQRNBOWWONT-CYBMUJFWSA-N -1 1 306.366 1.000 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CN1CC2(CCCC2)CC1=O ZINC000833829153 605956397 /nfs/dbraw/zinc/95/63/97/605956397.db2.gz FWMZESDNZZFTHZ-CHWSQXEVSA-N -1 1 309.410 1.715 20 0 DDADMM O=C([O-])NC1CCC(C(=O)N[C@H]2CCc3nc[nH]c3C2)CC1 ZINC000834171800 605960029 /nfs/dbraw/zinc/96/00/29/605960029.db2.gz XMAPGDRHBPACKI-ILDUYXDCSA-N -1 1 306.366 1.210 20 0 DDADMM CC(C)N1CC[C@@H](OC(=O)c2sccc2-c2nn[n-]n2)C1=O ZINC000820131354 606130027 /nfs/dbraw/zinc/13/00/27/606130027.db2.gz BTUPNXQRHLUAHR-SECBINFHSA-N -1 1 321.362 1.094 20 0 DDADMM C[C@H]1CCC[C@@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820697887 606157050 /nfs/dbraw/zinc/15/70/50/606157050.db2.gz NPEQYQFELQITSF-DTWKUNHWSA-N -1 1 304.358 1.300 20 0 DDADMM C[C@H]1CCC[C@@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820697887 606157052 /nfs/dbraw/zinc/15/70/52/606157052.db2.gz NPEQYQFELQITSF-DTWKUNHWSA-N -1 1 304.358 1.300 20 0 DDADMM C[C@@H]1CCC[C@@H](CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820698154 606168118 /nfs/dbraw/zinc/16/81/18/606168118.db2.gz QJPFHTWMLWSEME-NXEZZACHSA-N -1 1 318.385 1.548 20 0 DDADMM C[C@@H]1CCC[C@@H](CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820698154 606168120 /nfs/dbraw/zinc/16/81/20/606168120.db2.gz QJPFHTWMLWSEME-NXEZZACHSA-N -1 1 318.385 1.548 20 0 DDADMM CCSCC[C@H](C)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821461935 606170130 /nfs/dbraw/zinc/17/01/30/606170130.db2.gz WEGHINWBRHECSH-QMMMGPOBSA-N -1 1 324.414 1.253 20 0 DDADMM CCSCC[C@H](C)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821461935 606170131 /nfs/dbraw/zinc/17/01/31/606170131.db2.gz WEGHINWBRHECSH-QMMMGPOBSA-N -1 1 324.414 1.253 20 0 DDADMM c1cnc(N2CCSC3(CCCCC3)C2)c(-c2nnn[n-]2)n1 ZINC000823809685 606201451 /nfs/dbraw/zinc/20/14/51/606201451.db2.gz PTERDQFQARDXHR-UHFFFAOYSA-N -1 1 317.422 1.913 20 0 DDADMM c1cnc(N2CCSC3(CCCCC3)C2)c(-c2nn[n-]n2)n1 ZINC000823809685 606201452 /nfs/dbraw/zinc/20/14/52/606201452.db2.gz PTERDQFQARDXHR-UHFFFAOYSA-N -1 1 317.422 1.913 20 0 DDADMM O=C(Cc1ccc(Cl)cc1)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000823082844 606531305 /nfs/dbraw/zinc/53/13/05/606531305.db2.gz SXBXEDJSJPOVMG-UHFFFAOYSA-N -1 1 303.713 1.424 20 0 DDADMM O=C(Cc1ccc(Cl)cc1)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000823082844 606531307 /nfs/dbraw/zinc/53/13/07/606531307.db2.gz SXBXEDJSJPOVMG-UHFFFAOYSA-N -1 1 303.713 1.424 20 0 DDADMM CC(C)n1cnnc1CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820214466 606551751 /nfs/dbraw/zinc/55/17/51/606551751.db2.gz DFMQZGJWHHOSHY-UHFFFAOYSA-N -1 1 318.366 1.031 20 0 DDADMM CC(C)n1cnnc1CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820214466 606551753 /nfs/dbraw/zinc/55/17/53/606551753.db2.gz DFMQZGJWHHOSHY-UHFFFAOYSA-N -1 1 318.366 1.031 20 0 DDADMM Cc1cccc(CN(C)C(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000822303861 606599257 /nfs/dbraw/zinc/59/92/57/606599257.db2.gz MSBLEBMXHNVERN-UHFFFAOYSA-N -1 1 314.374 1.904 20 0 DDADMM Cc1cccc(CN(C)C(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000822303861 606599258 /nfs/dbraw/zinc/59/92/58/606599258.db2.gz MSBLEBMXHNVERN-UHFFFAOYSA-N -1 1 314.374 1.904 20 0 DDADMM CC[C@@H]1CO[C@@H](C)CN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821000571 606723193 /nfs/dbraw/zinc/72/31/93/606723193.db2.gz GQVBLOCPAWSTQP-DTWKUNHWSA-N -1 1 308.773 1.919 20 0 DDADMM CC[C@@H]1CO[C@@H](C)CN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821000571 606723195 /nfs/dbraw/zinc/72/31/95/606723195.db2.gz GQVBLOCPAWSTQP-DTWKUNHWSA-N -1 1 308.773 1.919 20 0 DDADMM COc1ccc(CNc2nccnc2-c2nnn[n-]2)c(F)c1 ZINC000821823694 606947033 /nfs/dbraw/zinc/94/70/33/606947033.db2.gz AIDPDXWGHYKBLS-UHFFFAOYSA-N -1 1 301.285 1.417 20 0 DDADMM COc1ccc(CNc2nccnc2-c2nn[n-]n2)c(F)c1 ZINC000821823694 606947035 /nfs/dbraw/zinc/94/70/35/606947035.db2.gz AIDPDXWGHYKBLS-UHFFFAOYSA-N -1 1 301.285 1.417 20 0 DDADMM C[C@@]1(CNC(=O)c2ccc(-c3nnn[n-]3)s2)CCCS1 ZINC000820619183 606954161 /nfs/dbraw/zinc/95/41/61/606954161.db2.gz YUKZEUQXNIZGGY-LBPRGKRZSA-N -1 1 309.420 1.944 20 0 DDADMM C[C@@]1(CNC(=O)c2ccc(-c3nn[n-]n3)s2)CCCS1 ZINC000820619183 606954163 /nfs/dbraw/zinc/95/41/63/606954163.db2.gz YUKZEUQXNIZGGY-LBPRGKRZSA-N -1 1 309.420 1.944 20 0 DDADMM C[C@H]1CC[C@H](C(=O)Nc2nn(C(C)(C)C)cc2-c2nnn[n-]2)O1 ZINC000820673995 606958541 /nfs/dbraw/zinc/95/85/41/606958541.db2.gz AJMBKBLACXLRDT-WCBMZHEXSA-N -1 1 319.369 1.324 20 0 DDADMM C[C@H]1CC[C@H](C(=O)Nc2nn(C(C)(C)C)cc2-c2nn[n-]n2)O1 ZINC000820673995 606958542 /nfs/dbraw/zinc/95/85/42/606958542.db2.gz AJMBKBLACXLRDT-WCBMZHEXSA-N -1 1 319.369 1.324 20 0 DDADMM C[C@H]1COCCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000825006892 607822692 /nfs/dbraw/zinc/82/26/92/607822692.db2.gz RUKBJGJQOUNMFG-LLVKDONJSA-N -1 1 310.361 1.888 20 0 DDADMM C[C@H]1COCCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000825006892 607822693 /nfs/dbraw/zinc/82/26/93/607822693.db2.gz RUKBJGJQOUNMFG-LLVKDONJSA-N -1 1 310.361 1.888 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CC[C@@H](C3CCOCC3)C2)c1 ZINC000826514623 607851722 /nfs/dbraw/zinc/85/17/22/607851722.db2.gz XMOPPFJJISEFBL-GFCCVEGCSA-N -1 1 300.366 1.515 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CC[C@@H](C3CCOCC3)C2)c1 ZINC000826514623 607851723 /nfs/dbraw/zinc/85/17/23/607851723.db2.gz XMOPPFJJISEFBL-GFCCVEGCSA-N -1 1 300.366 1.515 20 0 DDADMM NC(=O)CCN(Cc1ccccc1)c1cccc(-c2nnn[n-]2)n1 ZINC000826391634 607902324 /nfs/dbraw/zinc/90/23/24/607902324.db2.gz UOWDRULNJLAJMY-UHFFFAOYSA-N -1 1 323.360 1.144 20 0 DDADMM NC(=O)CCN(Cc1ccccc1)c1cccc(-c2nn[n-]n2)n1 ZINC000826391634 607902325 /nfs/dbraw/zinc/90/23/25/607902325.db2.gz UOWDRULNJLAJMY-UHFFFAOYSA-N -1 1 323.360 1.144 20 0 DDADMM CCOC(=O)CN(CC)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825511947 607934299 /nfs/dbraw/zinc/93/42/99/607934299.db2.gz MQWGUOBECMWKJA-UHFFFAOYSA-N -1 1 310.745 1.305 20 0 DDADMM CCOC(=O)CN(CC)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825511947 607934300 /nfs/dbraw/zinc/93/43/00/607934300.db2.gz MQWGUOBECMWKJA-UHFFFAOYSA-N -1 1 310.745 1.305 20 0 DDADMM CCc1nnc([C@@H](C)Nc2ccc(Cl)c(-c3nn[n-]n3)n2)[nH]1 ZINC000825745198 608099691 /nfs/dbraw/zinc/09/96/91/608099691.db2.gz JJNAUWFZJPCWJZ-ZCFIWIBFSA-N -1 1 319.760 1.769 20 0 DDADMM C[C@H]1COCCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000825006004 608191807 /nfs/dbraw/zinc/19/18/07/608191807.db2.gz FMPKJTDNPHIOID-LURJTMIESA-N -1 1 300.775 1.592 20 0 DDADMM C[C@H]1COCCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000825006004 608191808 /nfs/dbraw/zinc/19/18/08/608191808.db2.gz FMPKJTDNPHIOID-LURJTMIESA-N -1 1 300.775 1.592 20 0 DDADMM CCOC(=O)CCCCCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000825506010 608400152 /nfs/dbraw/zinc/40/01/52/608400152.db2.gz BMXNBIGRWLSWML-UHFFFAOYSA-N -1 1 319.369 1.582 20 0 DDADMM CCOC(=O)CCCCCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000825506010 608400153 /nfs/dbraw/zinc/40/01/53/608400153.db2.gz BMXNBIGRWLSWML-UHFFFAOYSA-N -1 1 319.369 1.582 20 0 DDADMM c1ccc2nc(CCNc3ccc(-c4nnn[n-]4)nn3)ccc2c1 ZINC000826524204 608407894 /nfs/dbraw/zinc/40/78/94/608407894.db2.gz MNDSLUFYLOPHCK-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM c1ccc2nc(CCNc3ccc(-c4nn[n-]n4)nn3)ccc2c1 ZINC000826524204 608407895 /nfs/dbraw/zinc/40/78/95/608407895.db2.gz MNDSLUFYLOPHCK-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM Cc1ccc(OCCCNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826292353 608410816 /nfs/dbraw/zinc/41/08/16/608410816.db2.gz RMNLDRFVYZZRGK-UHFFFAOYSA-N -1 1 311.349 1.846 20 0 DDADMM Cc1ccc(OCCCNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826292353 608410817 /nfs/dbraw/zinc/41/08/17/608410817.db2.gz RMNLDRFVYZZRGK-UHFFFAOYSA-N -1 1 311.349 1.846 20 0 DDADMM Cc1csc(CCCCNc2ccc(-c3nnn[n-]3)nn2)n1 ZINC000826320770 608411822 /nfs/dbraw/zinc/41/18/22/608411822.db2.gz DAVUYLIAYRHZSV-UHFFFAOYSA-N -1 1 316.394 1.856 20 0 DDADMM Cc1csc(CCCCNc2ccc(-c3nn[n-]n3)nn2)n1 ZINC000826320770 608411824 /nfs/dbraw/zinc/41/18/24/608411824.db2.gz DAVUYLIAYRHZSV-UHFFFAOYSA-N -1 1 316.394 1.856 20 0 DDADMM C[C@H](Nc1ccc(-c2nnn[n-]2)nn1)[C@@H](O)c1ccc(F)cc1 ZINC000824647674 608416943 /nfs/dbraw/zinc/41/69/43/608416943.db2.gz BJTYWUBDQPDNNX-ISVAXAHUSA-N -1 1 315.312 1.330 20 0 DDADMM C[C@H](Nc1ccc(-c2nn[n-]n2)nn1)[C@@H](O)c1ccc(F)cc1 ZINC000824647674 608416944 /nfs/dbraw/zinc/41/69/44/608416944.db2.gz BJTYWUBDQPDNNX-ISVAXAHUSA-N -1 1 315.312 1.330 20 0 DDADMM c1ccc(O[C@H]2CCCN(c3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826522506 608419109 /nfs/dbraw/zinc/41/91/09/608419109.db2.gz DORJBMJGQCEHFS-ZDUSSCGKSA-N -1 1 323.360 1.705 20 0 DDADMM c1ccc(O[C@H]2CCCN(c3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826522506 608419110 /nfs/dbraw/zinc/41/91/10/608419110.db2.gz DORJBMJGQCEHFS-ZDUSSCGKSA-N -1 1 323.360 1.705 20 0 DDADMM O=C1C[C@@H](c2cccc(Nc3ccc(-c4nnn[n-]4)nn3)c2)CN1 ZINC000826478900 608436237 /nfs/dbraw/zinc/43/62/37/608436237.db2.gz LQSYSBBBJAYFHT-SNVBAGLBSA-N -1 1 322.332 1.004 20 0 DDADMM O=C1C[C@@H](c2cccc(Nc3ccc(-c4nn[n-]n4)nn3)c2)CN1 ZINC000826478900 608436238 /nfs/dbraw/zinc/43/62/38/608436238.db2.gz LQSYSBBBJAYFHT-SNVBAGLBSA-N -1 1 322.332 1.004 20 0 DDADMM CSC1(CNc2nc(-c3nn[n-]n3)ccc2C)CCOCC1 ZINC000826226871 608546102 /nfs/dbraw/zinc/54/61/02/608546102.db2.gz HWLCOJMTLJPOPH-UHFFFAOYSA-N -1 1 320.422 1.894 20 0 DDADMM COC(=O)[C@H]1CCCCCN1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826134578 609295298 /nfs/dbraw/zinc/29/52/98/609295298.db2.gz YUPXUVGJNKSICJ-CYBMUJFWSA-N -1 1 316.365 1.179 20 0 DDADMM COC[C@H](CNc1cc(-c2nnn[n-]2)nc2ccccc21)OC ZINC000826149389 609315006 /nfs/dbraw/zinc/31/50/06/609315006.db2.gz GQAAREFUKVQDAS-JTQLQIEISA-N -1 1 314.349 1.488 20 0 DDADMM COC[C@H](CNc1cc(-c2nn[n-]n2)nc2ccccc21)OC ZINC000826149389 609315007 /nfs/dbraw/zinc/31/50/07/609315007.db2.gz GQAAREFUKVQDAS-JTQLQIEISA-N -1 1 314.349 1.488 20 0 DDADMM CC[C@H]1C[C@@H](CC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCO1 ZINC000825180979 609392370 /nfs/dbraw/zinc/39/23/70/609392370.db2.gz BLUILKITNNUITP-UWVGGRQHSA-N -1 1 319.369 1.134 20 0 DDADMM CC[C@H]1C[C@@H](CC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCO1 ZINC000825180979 609392371 /nfs/dbraw/zinc/39/23/71/609392371.db2.gz BLUILKITNNUITP-UWVGGRQHSA-N -1 1 319.369 1.134 20 0 DDADMM CS[C@H](CNC(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)(C)C ZINC000826226115 609549899 /nfs/dbraw/zinc/54/98/99/609549899.db2.gz SKXIIXLUZAEDEW-LLVKDONJSA-N -1 1 320.422 1.769 20 0 DDADMM CS[C@H](CNC(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)(C)C ZINC000826226115 609549902 /nfs/dbraw/zinc/54/99/02/609549902.db2.gz SKXIIXLUZAEDEW-LLVKDONJSA-N -1 1 320.422 1.769 20 0 DDADMM Cc1nnc(CNc2snc(Cl)c2-c2nnn[n-]2)s1 ZINC000826335449 609577910 /nfs/dbraw/zinc/57/79/10/609577910.db2.gz NOCHPHXSEKUVPD-UHFFFAOYSA-N -1 1 314.787 1.749 20 0 DDADMM Cc1nnc(CNc2snc(Cl)c2-c2nn[n-]n2)s1 ZINC000826335449 609577912 /nfs/dbraw/zinc/57/79/12/609577912.db2.gz NOCHPHXSEKUVPD-UHFFFAOYSA-N -1 1 314.787 1.749 20 0 DDADMM OCC1(CNc2snc(Cl)c2-c2nnn[n-]2)CCC1 ZINC000826508249 609606618 /nfs/dbraw/zinc/60/66/18/609606618.db2.gz JRNXYYPKWVFRFU-UHFFFAOYSA-N -1 1 300.775 1.551 20 0 DDADMM OCC1(CNc2snc(Cl)c2-c2nn[n-]n2)CCC1 ZINC000826508249 609606620 /nfs/dbraw/zinc/60/66/20/609606620.db2.gz JRNXYYPKWVFRFU-UHFFFAOYSA-N -1 1 300.775 1.551 20 0 DDADMM CS(=O)(=O)CC1(CNC(=O)c2c([O-])cccc2Cl)CC1 ZINC000752820983 700390475 /nfs/dbraw/zinc/39/04/75/700390475.db2.gz QMDKLPGJAXYQIT-UHFFFAOYSA-N -1 1 317.794 1.600 20 0 DDADMM CCCNC(=O)CCC(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000744995977 699968309 /nfs/dbraw/zinc/96/83/09/699968309.db2.gz HGULPUDFKUFKOT-UHFFFAOYSA-N -1 1 321.373 1.987 20 0 DDADMM COC(=O)c1ccc(C(=O)OC2C[C@H](OC)C[C@@H](OC)C2)[n-]1 ZINC000797055967 699992030 /nfs/dbraw/zinc/99/20/30/699992030.db2.gz SNZLXLCFCGCUDO-NXEZZACHSA-N -1 1 311.334 1.541 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]([C@@H]2CCOC2)C(F)(F)F)[n-]1 ZINC000797131092 699995478 /nfs/dbraw/zinc/99/54/78/699995478.db2.gz YQMCBTKLSIZEFF-XCBNKYQSSA-N -1 1 321.251 1.926 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)[C@@H](C)SC)co1 ZINC000797210067 699998943 /nfs/dbraw/zinc/99/89/43/699998943.db2.gz MYPRVYQPFHMOMM-HTQZYQBOSA-N -1 1 307.393 1.485 20 0 DDADMM Cc1coc(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC000974055058 695629266 /nfs/dbraw/zinc/62/92/66/695629266.db2.gz ZHHNFSLWHXOZAR-PHIMTYICSA-N -1 1 315.329 1.379 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975252704 695820356 /nfs/dbraw/zinc/82/03/56/695820356.db2.gz HNXWKQIELFHAMQ-JHJVBQTASA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000975438136 695844112 /nfs/dbraw/zinc/84/41/12/695844112.db2.gz QRSFHLZAKIPTRX-JHJVBQTASA-N -1 1 317.389 1.412 20 0 DDADMM O=C(NC[C@@H](O)c1cccc(F)c1)C(=O)c1ccc([O-])cc1 ZINC000798166333 700045742 /nfs/dbraw/zinc/04/57/42/700045742.db2.gz VWSHNOCBXLZXKW-CQSZACIVSA-N -1 1 303.289 1.564 20 0 DDADMM O=C(NC[C@H](O)c1cccc(F)c1)C(=O)c1ccc([O-])cc1 ZINC000798166332 700045775 /nfs/dbraw/zinc/04/57/75/700045775.db2.gz VWSHNOCBXLZXKW-AWEZNQCLSA-N -1 1 303.289 1.564 20 0 DDADMM O=C(CC1CC1)N[C@@H]1CCCC[C@H]1NC(=O)c1ncccc1[O-] ZINC000976142334 695926909 /nfs/dbraw/zinc/92/69/09/695926909.db2.gz DTJPWSZPJYCKTR-CHWSQXEVSA-N -1 1 317.389 1.745 20 0 DDADMM CS(=O)(=O)CCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000798282493 700054595 /nfs/dbraw/zinc/05/45/95/700054595.db2.gz JFEDUUXNWAEBLF-UHFFFAOYSA-N -1 1 311.281 1.185 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1cc(C(=O)[O-])ccc1Cl)N(C)C ZINC000037985781 696134899 /nfs/dbraw/zinc/13/48/99/696134899.db2.gz CXGGBWJGOKAFGA-QMMMGPOBSA-N -1 1 320.798 1.267 20 0 DDADMM Cc1cc2c(c(F)c1)NC(=O)C2=NNc1nc(C)cc(=O)[n-]1 ZINC000046413157 696185931 /nfs/dbraw/zinc/18/59/31/696185931.db2.gz VDEBZODMPVOBHD-UHFFFAOYSA-N -1 1 301.281 1.294 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977600106 696229948 /nfs/dbraw/zinc/22/99/48/696229948.db2.gz APCJDCGMSKDKTM-AAEUAGOBSA-N -1 1 323.368 1.454 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCc2cccc(O)c2)n1 ZINC000066234796 696350324 /nfs/dbraw/zinc/35/03/24/696350324.db2.gz XMLPEEWGHVTLDA-UHFFFAOYSA-N -1 1 303.322 1.060 20 0 DDADMM O=C([N-]Cc1ccc(CN2CCOCC2)cc1)C(F)(F)F ZINC000070286826 696387667 /nfs/dbraw/zinc/38/76/67/696387667.db2.gz XYGZUGKSEQSFPF-UHFFFAOYSA-N -1 1 302.296 1.697 20 0 DDADMM COC(=O)CCCCCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000078765295 696455234 /nfs/dbraw/zinc/45/52/34/696455234.db2.gz UFGWRIDPCZGBKV-UHFFFAOYSA-N -1 1 323.393 1.665 20 0 DDADMM Cc1c(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)ccn1C ZINC000798728229 700090616 /nfs/dbraw/zinc/09/06/16/700090616.db2.gz CFDSTNBURIIUTN-UHFFFAOYSA-N -1 1 300.314 1.447 20 0 DDADMM Cc1cccc2c1CC[C@H]2NC(=O)Cc1sc(N)nc1[O-] ZINC000080064051 696529973 /nfs/dbraw/zinc/52/99/73/696529973.db2.gz AQGUVNFIEUXREF-NEPJUHHUSA-N -1 1 303.387 1.445 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000979431139 696555504 /nfs/dbraw/zinc/55/55/04/696555504.db2.gz VDQWWEWJNOIAAJ-BJDJZHNGSA-N -1 1 303.362 1.068 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2cncn2C)c(=O)[n-]1 ZINC000085987805 696564342 /nfs/dbraw/zinc/56/43/42/696564342.db2.gz NQFKFGYQYBFGEA-UHFFFAOYSA-N -1 1 322.390 1.210 20 0 DDADMM O=C(CNC(=O)c1cncc([O-])c1)OCc1ccccc1Cl ZINC000113444373 696657223 /nfs/dbraw/zinc/65/72/23/696657223.db2.gz SGYJDVNLDIYLDA-UHFFFAOYSA-N -1 1 320.732 1.914 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)CC1CCCC1 ZINC000119249146 696678723 /nfs/dbraw/zinc/67/87/23/696678723.db2.gz PJNQTGQMKNSFET-UHFFFAOYSA-N -1 1 304.350 1.392 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2c(F)cccc2NC2CC2)s1 ZINC000132976291 696821271 /nfs/dbraw/zinc/82/12/71/696821271.db2.gz DKZQBHQZIGQHQD-JTQLQIEISA-N -1 1 322.365 1.685 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)[C@H](C)Oc2ccc(Cl)cc2)n[nH]1 ZINC000153059502 696909014 /nfs/dbraw/zinc/90/90/14/696909014.db2.gz ZYRMOOJVNHLRJG-VIFPVBQESA-N -1 1 322.752 1.600 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000983891224 697311365 /nfs/dbraw/zinc/31/13/65/697311365.db2.gz CGEGMDCBPOIPAU-GFCCVEGCSA-N -1 1 305.378 1.506 20 0 DDADMM Cc1nc(-c2ccc(C(=O)NN3CC(=O)[N-]C3=O)cc2)cs1 ZINC000799559449 700150077 /nfs/dbraw/zinc/15/00/77/700150077.db2.gz AYSUXRCCPCEXIU-UHFFFAOYSA-N -1 1 316.342 1.315 20 0 DDADMM CCc1cc(C(=O)N(CCc2ccccc2)CC(=O)[O-])n[nH]1 ZINC000178134376 697420942 /nfs/dbraw/zinc/42/09/42/697420942.db2.gz PHKNRZWFTYYTKA-UHFFFAOYSA-N -1 1 301.346 1.742 20 0 DDADMM O=C(CNC(=O)c1cccc(F)c1)Nc1nc(Cl)ccc1[O-] ZINC000188348842 697557632 /nfs/dbraw/zinc/55/76/32/697557632.db2.gz UQYLCSHMKRJBNL-UHFFFAOYSA-N -1 1 323.711 1.948 20 0 DDADMM CC1CCC(N2C[C@H](C(=O)[N-]OC3CCOCC3)CC2=O)CC1 ZINC000772704549 697663607 /nfs/dbraw/zinc/66/36/07/697663607.db2.gz DPJJSOQYRLICDG-ROKHWSDSSA-N -1 1 324.421 1.640 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCc1cnc(C)s1 ZINC000772722025 697667725 /nfs/dbraw/zinc/66/77/25/697667725.db2.gz FBGHYYXAZFILCG-UHFFFAOYSA-N -1 1 324.431 1.950 20 0 DDADMM O=C(Nc1ccc(F)cc1C(F)(F)F)NN1CC(=O)[N-]C1=O ZINC000193531393 697671654 /nfs/dbraw/zinc/67/16/54/697671654.db2.gz ODHDXAMTGIDQCH-UHFFFAOYSA-N -1 1 320.202 1.433 20 0 DDADMM O=C(Cc1cccc(Cl)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773157310 697720559 /nfs/dbraw/zinc/72/05/59/697720559.db2.gz CPFXGVDXSILNPK-NSHDSACASA-N -1 1 323.809 1.712 20 0 DDADMM O=C(Nc1ccc2c(c1)OCO2)c1ccc2n[n-]c(=S)n2c1 ZINC000773689851 697794079 /nfs/dbraw/zinc/79/40/79/697794079.db2.gz RHAPECQDRQEFFA-UHFFFAOYSA-N -1 1 314.326 1.999 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](O)c1ccccc1Cl)c1nn[n-]n1 ZINC000773995908 697831976 /nfs/dbraw/zinc/83/19/76/697831976.db2.gz IUOSCYPTMCUGIJ-GZMMTYOYSA-N -1 1 309.757 1.149 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)C[C@H]2CCOC2)o1 ZINC000774713166 697917709 /nfs/dbraw/zinc/91/77/09/697917709.db2.gz DSHLNZZZCBKOPJ-VHSXEESVSA-N -1 1 317.363 1.160 20 0 DDADMM O=C([N-]CCCOC(=O)[C@@H](O)c1ccccc1)C(F)(F)F ZINC000774884442 697937937 /nfs/dbraw/zinc/93/79/37/697937937.db2.gz WZTBLTPJSKBTOS-JTQLQIEISA-N -1 1 305.252 1.332 20 0 DDADMM O=C([N-]CCCOC(=O)c1ccc2c(c1)CCO2)C(F)(F)F ZINC000774915567 697941754 /nfs/dbraw/zinc/94/17/54/697941754.db2.gz WUEPXQHOFKHEGI-UHFFFAOYSA-N -1 1 317.263 1.847 20 0 DDADMM Cc1cccc(N)c1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774959358 697946981 /nfs/dbraw/zinc/94/69/81/697946981.db2.gz HGHUERRMXDPPOK-UHFFFAOYSA-N -1 1 304.268 1.803 20 0 DDADMM CCc1nn(C)cc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000775011152 697953888 /nfs/dbraw/zinc/95/38/88/697953888.db2.gz HHVKCDSSBHOIOE-UHFFFAOYSA-N -1 1 307.272 1.208 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cs1 ZINC000987176121 698018040 /nfs/dbraw/zinc/01/80/40/698018040.db2.gz YRPAIXCBJMKXQH-MWLCHTKSSA-N -1 1 321.406 1.273 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CC[C@@H](C)CC1 ZINC000987375081 698103144 /nfs/dbraw/zinc/10/31/44/698103144.db2.gz GEZDXTCGXKLREQ-LPWJVIDDSA-N -1 1 321.425 1.416 20 0 DDADMM O=C(c1cccc2cn[nH]c21)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776565555 698117740 /nfs/dbraw/zinc/11/77/40/698117740.db2.gz TWTHYRKHFQQARF-JTQLQIEISA-N -1 1 315.362 1.006 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000776566964 698118455 /nfs/dbraw/zinc/11/84/55/698118455.db2.gz YVGFSYAXZNBGFL-BXKDBHETSA-N -1 1 321.381 1.759 20 0 DDADMM O=S(=O)([N-]CC1(CO)CC1)c1c(Cl)ccnc1Cl ZINC000778012983 698237674 /nfs/dbraw/zinc/23/76/74/698237674.db2.gz KVVILACPPPQMFR-UHFFFAOYSA-N -1 1 311.190 1.439 20 0 DDADMM CC[C@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000751466084 700292189 /nfs/dbraw/zinc/29/21/89/700292189.db2.gz CKTXZRHPJWZYAV-RKDXNWHRSA-N -1 1 309.819 1.149 20 0 DDADMM Cc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)c(C)o1 ZINC000988048168 698287810 /nfs/dbraw/zinc/28/78/10/698287810.db2.gz HMTWGWKBAREGKE-LDYMZIIASA-N -1 1 319.365 1.113 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000988190646 698306940 /nfs/dbraw/zinc/30/69/40/698306940.db2.gz YSBIFOBYAQMCBR-JHJVBQTASA-N -1 1 317.389 1.364 20 0 DDADMM Cc1ncc(CN[C@H]2C[C@H](C)N(C(=O)c3n[nH]c(C)c3[O-])C2)o1 ZINC000988633718 698424012 /nfs/dbraw/zinc/42/40/12/698424012.db2.gz MJVWKWRAGJZCKA-KWQFWETISA-N -1 1 319.365 1.113 20 0 DDADMM C[C@H]1C[C@@H](NCc2ccon2)CN1C(=O)c1ncccc1[O-] ZINC000988681957 698435214 /nfs/dbraw/zinc/43/52/14/698435214.db2.gz ACVJQFKUMGVLEA-CMPLNLGQSA-N -1 1 302.334 1.168 20 0 DDADMM C[C@@H]1C[C@@H](NCc2ccon2)CN1C(=O)c1ncccc1[O-] ZINC000988681960 698435240 /nfs/dbraw/zinc/43/52/40/698435240.db2.gz ACVJQFKUMGVLEA-ZYHUDNBSSA-N -1 1 302.334 1.168 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1(C)CCCC1 ZINC000988769594 698460207 /nfs/dbraw/zinc/46/02/07/698460207.db2.gz CBCWMQOFHJKJHH-RYUDHWBXSA-N -1 1 321.425 1.560 20 0 DDADMM Cc1sccc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000988796982 698467697 /nfs/dbraw/zinc/46/76/97/698467697.db2.gz HXXLCCIVMNDIQC-WCBMZHEXSA-N -1 1 321.406 1.273 20 0 DDADMM CC(C)NC(=O)COC(=O)c1ccc(Br)c([O-])c1 ZINC000750553183 700231446 /nfs/dbraw/zinc/23/14/46/700231446.db2.gz YABOQTDCKPOZKN-UHFFFAOYSA-N -1 1 316.151 1.836 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cncc(Br)c1 ZINC000781743547 698646972 /nfs/dbraw/zinc/64/69/72/698646972.db2.gz FNESJKYPYXZLTG-UHFFFAOYSA-N -1 1 318.152 1.643 20 0 DDADMM CC(C)(C)OCC[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000308556616 698662039 /nfs/dbraw/zinc/66/20/39/698662039.db2.gz URNKZFMZCHNSAX-UHFFFAOYSA-N -1 1 320.342 1.827 20 0 DDADMM Cc1oc(C(=O)[O-])cc1CNCc1ccc(S(C)(=O)=O)cc1 ZINC000318156251 698703195 /nfs/dbraw/zinc/70/31/95/698703195.db2.gz IOWJECODAWABCK-UHFFFAOYSA-N -1 1 323.370 1.980 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(Cc2cnn(-c3ccccc3)n2)C1 ZINC000324139552 698743611 /nfs/dbraw/zinc/74/36/11/698743611.db2.gz VEKZIXWXOLILEG-MRXNPFEDSA-N -1 1 316.361 1.190 20 0 DDADMM O=C([N-]C1CN(C(=O)c2ccc(F)c(C(F)F)c2)C1)C(F)F ZINC000990026512 698904069 /nfs/dbraw/zinc/90/40/69/698904069.db2.gz GYIPFNOKMDXKRO-UHFFFAOYSA-N -1 1 322.233 1.969 20 0 DDADMM CC(C)S(=O)(=O)CCN=c1nc(C(F)(F)F)[n-]s1 ZINC000386620670 699078519 /nfs/dbraw/zinc/07/85/19/699078519.db2.gz NVXDQCZWTCUSFP-UHFFFAOYSA-N -1 1 303.331 1.214 20 0 DDADMM Cn1[n-]c(COC(=O)c2c(Cl)ccc(Cl)c2N)nc1=O ZINC000785619699 699086800 /nfs/dbraw/zinc/08/68/00/699086800.db2.gz ZXEYJRRYMAEYGJ-UHFFFAOYSA-N -1 1 317.132 1.354 20 0 DDADMM Cc1cccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)c1 ZINC000990968358 699195307 /nfs/dbraw/zinc/19/53/07/699195307.db2.gz GLLOVEBGFPLORC-UHFFFAOYSA-N -1 1 311.341 1.350 20 0 DDADMM CC(C)(CNC(=O)c1cc(F)ccc1[O-])CS(C)(=O)=O ZINC000723861322 699322380 /nfs/dbraw/zinc/32/23/80/699322380.db2.gz UTQPRUWGIHDGBB-UHFFFAOYSA-N -1 1 303.355 1.332 20 0 DDADMM Cn1cc(-c2n[nH]cc2C(=O)Nc2nc(Cl)ccc2[O-])cn1 ZINC000790051210 699437820 /nfs/dbraw/zinc/43/78/20/699437820.db2.gz NMWOZUKCJVOUEP-UHFFFAOYSA-N -1 1 318.724 1.817 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)Cc1csc(C)n1)C(F)(F)F ZINC000790367274 699455698 /nfs/dbraw/zinc/45/56/98/699455698.db2.gz HSNLUAFWUWUIOD-QMMMGPOBSA-N -1 1 318.342 1.448 20 0 DDADMM CCC(=O)[C@@H](C)OC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000731448268 699528898 /nfs/dbraw/zinc/52/88/98/699528898.db2.gz FSQIMGKNAJQLAO-SNVBAGLBSA-N -1 1 305.334 1.505 20 0 DDADMM CCC(=O)[C@H](C)OC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000731448267 699528976 /nfs/dbraw/zinc/52/89/76/699528976.db2.gz FSQIMGKNAJQLAO-JTQLQIEISA-N -1 1 305.334 1.505 20 0 DDADMM CCc1cccc2c1N(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC000733143306 699580506 /nfs/dbraw/zinc/58/05/06/699580506.db2.gz GLYAJOZZWKZXMV-UHFFFAOYSA-N -1 1 313.357 1.078 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)Cc1ccccc1F)C(F)(F)F ZINC000733320470 699588568 /nfs/dbraw/zinc/58/85/68/699588568.db2.gz OJGVYKZRDMFVAR-JTQLQIEISA-N -1 1 315.288 1.822 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCCCCO ZINC000733471930 699598625 /nfs/dbraw/zinc/59/86/25/699598625.db2.gz ODEFFHVETBPCKS-UHFFFAOYSA-N -1 1 307.350 1.300 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc(-n4cccn4)cc3)ccnc1-2 ZINC000791168076 699614070 /nfs/dbraw/zinc/61/40/70/699614070.db2.gz WEDGAJOPVBBSQR-UHFFFAOYSA-N -1 1 318.340 1.780 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC([C@@H]2CCOC2)C1 ZINC000792607118 699704859 /nfs/dbraw/zinc/70/48/59/699704859.db2.gz AWEZDQFDTLAESS-LLVKDONJSA-N -1 1 305.378 1.723 20 0 DDADMM O=C(c1cc2cc(F)ccc2[nH]1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000738249283 699755810 /nfs/dbraw/zinc/75/58/10/699755810.db2.gz VDQNYXJGDXCCRI-GFCCVEGCSA-N -1 1 316.296 1.034 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2c(F)cccc2F)n[nH]1 ZINC000738382325 699758687 /nfs/dbraw/zinc/75/86/87/699758687.db2.gz FPTRNNCHCSBDAN-UHFFFAOYSA-N -1 1 301.318 1.729 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000739588658 699776451 /nfs/dbraw/zinc/77/64/51/699776451.db2.gz YUGYPYYBPADGSD-JTQLQIEISA-N -1 1 303.322 1.172 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(F)(F)c2nccs2)c[n-]1 ZINC000793963501 699789544 /nfs/dbraw/zinc/78/95/44/699789544.db2.gz JTOQYXNIVWHZTD-UHFFFAOYSA-N -1 1 316.289 1.773 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)NCCC(C)(C)C)[n-]1 ZINC000796364132 699929421 /nfs/dbraw/zinc/92/94/21/699929421.db2.gz BUTXEGWPNWSBHO-UHFFFAOYSA-N -1 1 310.350 1.511 20 0 DDADMM C[C@@H]1CCC[C@@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC000796458567 699934808 /nfs/dbraw/zinc/93/48/08/699934808.db2.gz VBDNVABQIYZHNP-AGIUHOORSA-N -1 1 307.394 1.115 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2cccc(C(=O)OC)c2)[n-]1 ZINC000796732708 699949715 /nfs/dbraw/zinc/94/97/15/699949715.db2.gz PEDREVLTEGXDSN-UHFFFAOYSA-N -1 1 303.270 1.807 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccccc1Oc1ccncc1 ZINC000801132528 700270683 /nfs/dbraw/zinc/27/06/83/700270683.db2.gz LQSUAPYMHZIVFR-UHFFFAOYSA-N -1 1 312.285 1.071 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OC[C@H](C)OC)n2)cc1 ZINC000801363641 700300901 /nfs/dbraw/zinc/30/09/01/700300901.db2.gz ZXJDJXWUZUWXTD-JTQLQIEISA-N -1 1 306.318 1.778 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3snnc3C(C)(C)C)ccnc1-2 ZINC000801701269 700332025 /nfs/dbraw/zinc/33/20/25/700332025.db2.gz GUOXMGPSXDGAMC-UHFFFAOYSA-N -1 1 316.390 1.743 20 0 DDADMM COc1ccc(F)cc1CC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801701887 700332519 /nfs/dbraw/zinc/33/25/19/700332519.db2.gz YJZCYQUJSLYDDD-UHFFFAOYSA-N -1 1 314.320 1.671 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CSCCS2)n1 ZINC000752972568 700399685 /nfs/dbraw/zinc/39/96/85/700399685.db2.gz BXHXCAKHGKMCKD-QMMMGPOBSA-N -1 1 301.393 1.374 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCO[C@@H](C(F)F)C3)cnc2n1 ZINC000802638210 700414920 /nfs/dbraw/zinc/41/49/20/700414920.db2.gz MFYPLVJMKJKPJW-LLVKDONJSA-N -1 1 323.299 1.750 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CCO[C@@H](C(F)F)C1)c2=O ZINC000802638210 700414922 /nfs/dbraw/zinc/41/49/22/700414922.db2.gz MFYPLVJMKJKPJW-LLVKDONJSA-N -1 1 323.299 1.750 20 0 DDADMM C[C@H]1CCN(C(=O)C2(C)COC2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000809249749 701656218 /nfs/dbraw/zinc/65/62/18/701656218.db2.gz GVAKVSNFIBZBLR-GUBZILKMSA-N -1 1 322.327 1.327 20 0 DDADMM CCCC[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1CCC1 ZINC000757032452 700653456 /nfs/dbraw/zinc/65/34/56/700653456.db2.gz KNAJTIBRJLKHSP-CYBMUJFWSA-N -1 1 307.394 1.402 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCc2c[nH]nc2C1 ZINC000758824623 700724634 /nfs/dbraw/zinc/72/46/34/700724634.db2.gz BHXUDCXUAAMUPM-NSHDSACASA-N -1 1 315.377 1.624 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@H]1CCCOC1 ZINC000760761779 700822121 /nfs/dbraw/zinc/82/21/21/700822121.db2.gz IBUIWBFDEHLXOP-LBPRGKRZSA-N -1 1 319.361 1.564 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC2CCC(OC)CC2)o1 ZINC000761283976 700854649 /nfs/dbraw/zinc/85/46/49/700854649.db2.gz MNEIFXUCMYMTGZ-UHFFFAOYSA-N -1 1 317.363 1.302 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000764430659 700970332 /nfs/dbraw/zinc/97/03/32/700970332.db2.gz YMHYHNHPAUZLEO-SECBINFHSA-N -1 1 317.794 1.695 20 0 DDADMM Cn1[n-]c(COC(=O)c2csc(-c3ccoc3)n2)nc1=O ZINC000765473901 701014469 /nfs/dbraw/zinc/01/44/69/701014469.db2.gz PLHSMPFOEAZNGO-UHFFFAOYSA-N -1 1 306.303 1.182 20 0 DDADMM CSc1ccc(O[C@@H](C)C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765480036 701014708 /nfs/dbraw/zinc/01/47/08/701014708.db2.gz OYMXZQWBHULEAX-VIFPVBQESA-N -1 1 323.374 1.341 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2csc(-c3ccoc3)n2)nc1=O ZINC000765479869 701014731 /nfs/dbraw/zinc/01/47/31/701014731.db2.gz NXVUVDAERRNQRX-UHFFFAOYSA-N -1 1 320.330 1.111 20 0 DDADMM Cc1ccc(-c2cncc(C(=O)OCc3nc(=O)n(C)[n-]3)c2)cc1 ZINC000765497769 701016212 /nfs/dbraw/zinc/01/62/12/701016212.db2.gz VLWROWHOIWSZHN-UHFFFAOYSA-N -1 1 324.340 1.836 20 0 DDADMM CC/C(=C\C(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(OC)cc1 ZINC000765504251 701016594 /nfs/dbraw/zinc/01/65/94/701016594.db2.gz YMSRMXUKYZFMFC-PKNBQFBNSA-N -1 1 317.345 1.654 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC[C@@H](C)[S@](C)=O ZINC000766738402 701061530 /nfs/dbraw/zinc/06/15/30/701061530.db2.gz YEUYLWZJZDVBKV-BTKVJGODSA-N -1 1 313.423 1.501 20 0 DDADMM CCCN(C)C(=O)N1CCC(c2nc(C(=O)OCC)n[n-]2)CC1 ZINC000767664924 701127095 /nfs/dbraw/zinc/12/70/95/701127095.db2.gz RCCMIUYLGFAUAS-UHFFFAOYSA-N -1 1 323.397 1.623 20 0 DDADMM CCC[C@@](C)(CO)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000768389005 701173746 /nfs/dbraw/zinc/17/37/46/701173746.db2.gz HYYPMICOAMCASC-INIZCTEOSA-N -1 1 320.393 1.262 20 0 DDADMM CCCCCCn1cc(S(=O)(=O)[N-]CCC)c(=O)[nH]c1=O ZINC000769619655 701250631 /nfs/dbraw/zinc/25/06/31/701250631.db2.gz BRONJDBBHNMOCB-UHFFFAOYSA-N -1 1 317.411 1.218 20 0 DDADMM COc1ccc(NCc2ccn(C)n2)cc1[N-]S(C)(=O)=O ZINC000770514260 701281169 /nfs/dbraw/zinc/28/11/69/701281169.db2.gz NRJGNDBAOJJLNM-UHFFFAOYSA-N -1 1 310.379 1.412 20 0 DDADMM Cc1ccccc1CN(C)C(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805608246 701399588 /nfs/dbraw/zinc/39/95/88/701399588.db2.gz BZIUOYJHRKMYJX-LLVKDONJSA-N -1 1 302.334 1.317 20 0 DDADMM CC(C)(C)C[C@H](O)CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000805731656 701407722 /nfs/dbraw/zinc/40/77/22/701407722.db2.gz RGCXPBYXQFICOC-CYBMUJFWSA-N -1 1 321.373 1.673 20 0 DDADMM O=C(CCc1cccnc1Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000830905909 706600069 /nfs/dbraw/zinc/60/00/69/706600069.db2.gz HFMVQPXLPQFNRS-NSHDSACASA-N -1 1 320.784 1.587 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC2(CCCC2)C1)c1c[nH]nc1Cl ZINC000830904083 706600285 /nfs/dbraw/zinc/60/02/85/706600285.db2.gz ZFCHJKXOOMLPST-VIFPVBQESA-N -1 1 319.814 1.833 20 0 DDADMM C[C@H](Cc1ccncc1)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806483121 701437035 /nfs/dbraw/zinc/43/70/35/701437035.db2.gz WEEZPIWNWKQZFW-LLVKDONJSA-N -1 1 310.361 1.490 20 0 DDADMM CC[C@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCCO1 ZINC000806487245 701437508 /nfs/dbraw/zinc/43/75/08/701437508.db2.gz VXAVCVQXORRKJJ-NSHDSACASA-N -1 1 303.366 1.375 20 0 DDADMM Cc1cccnc1C=CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000807995544 701493798 /nfs/dbraw/zinc/49/37/98/701493798.db2.gz GVSGPQDUOKHXCV-NNNHXZLVSA-N -1 1 314.345 1.898 20 0 DDADMM O=C([C@H](O)c1ccccc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000808023544 701494859 /nfs/dbraw/zinc/49/48/59/701494859.db2.gz OMPJKWPZSGBVIV-GFCCVEGCSA-N -1 1 303.318 1.215 20 0 DDADMM CO[C@H]1CCC[C@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)C1 ZINC000814564971 701721805 /nfs/dbraw/zinc/72/18/05/701721805.db2.gz OFZBVEIJTWKOLX-UWVGGRQHSA-N -1 1 311.300 1.803 20 0 DDADMM C[C@H]1CCC[C@@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000830959849 706611345 /nfs/dbraw/zinc/61/13/45/706611345.db2.gz UUGPGLLTHTZUGX-WDEREUQCSA-N -1 1 305.378 1.697 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C1(F)CCCCC1 ZINC000810667749 701814962 /nfs/dbraw/zinc/81/49/62/701814962.db2.gz GNSUJSBSXRXRGS-UHFFFAOYSA-N -1 1 322.340 1.484 20 0 DDADMM Cc1ccc(N2CCC[C@H](NC(=O)CCc3nn[n-]n3)C2)cc1 ZINC000866118806 706624003 /nfs/dbraw/zinc/62/40/03/706624003.db2.gz QUULRWFCWBRRRF-ZDUSSCGKSA-N -1 1 314.393 1.226 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NCC2CCC2)C1 ZINC000868049714 701913685 /nfs/dbraw/zinc/91/36/85/701913685.db2.gz RSFRFIWRGLHRAX-GFCCVEGCSA-N -1 1 307.316 1.639 20 0 DDADMM Cc1ccoc1CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831117326 706638097 /nfs/dbraw/zinc/63/80/97/706638097.db2.gz OWNGSMDOKFTOKC-UHFFFAOYSA-N -1 1 304.268 1.267 20 0 DDADMM Cc1cnccc1CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831130198 706640188 /nfs/dbraw/zinc/64/01/88/706640188.db2.gz RHDQSDHFWPEHGS-UHFFFAOYSA-N -1 1 315.295 1.069 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H]2CCC(C)(C)C2=O)co1 ZINC000840367840 702086311 /nfs/dbraw/zinc/08/63/11/702086311.db2.gz APYXBOPMNKUXRS-SECBINFHSA-N -1 1 315.347 1.102 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cccc(C2CCC2)c1 ZINC000812661870 702216948 /nfs/dbraw/zinc/21/69/48/702216948.db2.gz SYNXLOVAECMKSD-UHFFFAOYSA-N -1 1 313.357 1.833 20 0 DDADMM CO[C@](C)(C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C1CC1 ZINC000812665788 702217469 /nfs/dbraw/zinc/21/74/69/702217469.db2.gz VMUGPEFLGXLGQA-INIZCTEOSA-N -1 1 305.330 1.301 20 0 DDADMM CON(C)C(=O)C1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000812708891 702228714 /nfs/dbraw/zinc/22/87/14/702228714.db2.gz QLDVXNZWORMFFR-UHFFFAOYSA-N -1 1 310.325 1.403 20 0 DDADMM CCNC(=O)N1c2ccccc2C[C@H]1C[N-]C(=O)C(F)(F)F ZINC000817151662 702290815 /nfs/dbraw/zinc/29/08/15/702290815.db2.gz XDYPPZXOEDSIJH-JTQLQIEISA-N -1 1 315.295 1.826 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(F)(F)c2nccs2)n1 ZINC000841221328 702392932 /nfs/dbraw/zinc/39/29/32/702392932.db2.gz APUBFGYRABDOAE-UHFFFAOYSA-N -1 1 316.289 1.773 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)Cc1ccccc1Cl ZINC000841534150 702484332 /nfs/dbraw/zinc/48/43/32/702484332.db2.gz IITBZLSJFGBCJI-NSHDSACASA-N -1 1 317.794 1.711 20 0 DDADMM C[C@@H](CF)NC(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000814421302 702520872 /nfs/dbraw/zinc/52/08/72/702520872.db2.gz AITLFWQINRMWRE-IUCAKERBSA-N -1 1 313.295 1.445 20 0 DDADMM COCCC[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1F ZINC000866379385 706685225 /nfs/dbraw/zinc/68/52/25/706685225.db2.gz NIVCJQUJKWCOJE-LJQANCHMSA-N -1 1 324.399 1.153 20 0 DDADMM COCCC[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1F ZINC000866379384 706685268 /nfs/dbraw/zinc/68/52/68/706685268.db2.gz NIVCJQUJKWCOJE-IBGZPJMESA-N -1 1 324.399 1.153 20 0 DDADMM C[C@@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1CCC1 ZINC000869537308 702639829 /nfs/dbraw/zinc/63/98/29/702639829.db2.gz NSRIWVQXADFJRM-MRVPVSSYSA-N -1 1 309.284 1.604 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)C1SCCCS1 ZINC000842227591 702684851 /nfs/dbraw/zinc/68/48/51/702684851.db2.gz UKTLQSUJGLWOQB-JTQLQIEISA-N -1 1 321.431 1.602 20 0 DDADMM Cc1sccc1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843013597 702803340 /nfs/dbraw/zinc/80/33/40/702803340.db2.gz GZDJGXFFGNEHDT-UHFFFAOYSA-N -1 1 316.448 1.600 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2CCCC2(C)C)CCC1 ZINC000843013846 702803358 /nfs/dbraw/zinc/80/33/58/702803358.db2.gz ATZYTXFCZKWSQT-GFCCVEGCSA-N -1 1 316.467 1.743 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)CCC1 ZINC000843014140 702803437 /nfs/dbraw/zinc/80/34/37/702803437.db2.gz MFPWECUGOVKATI-AGIUHOORSA-N -1 1 314.451 1.353 20 0 DDADMM C[C@H]1CCCC[C@@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014614 702803489 /nfs/dbraw/zinc/80/34/89/702803489.db2.gz PCZSZNYHOKAGQV-STQMWFEESA-N -1 1 316.467 1.743 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2CCCCS2)CCC1 ZINC000843016519 702803773 /nfs/dbraw/zinc/80/37/73/702803773.db2.gz UAVCAZCTDUCVIV-LLVKDONJSA-N -1 1 320.480 1.202 20 0 DDADMM CC[C@H](SC)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843015802 702803792 /nfs/dbraw/zinc/80/37/92/702803792.db2.gz INHZAKBAPMVZKA-JTQLQIEISA-N -1 1 308.469 1.058 20 0 DDADMM C[C@H]1CC[C@@H](CC(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843017023 702804165 /nfs/dbraw/zinc/80/41/65/702804165.db2.gz YLALJGLEYFWQRB-QWHCGFSZSA-N -1 1 316.467 1.743 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CC1(c2ccccc2)CC1 ZINC000866441472 706700454 /nfs/dbraw/zinc/70/04/54/706700454.db2.gz KLBVSUAISXENPB-LJQANCHMSA-N -1 1 316.448 1.670 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)Cc2ccco2)c1 ZINC000866477175 706708970 /nfs/dbraw/zinc/70/89/70/706708970.db2.gz GJNQSSPDJYACQL-SNVBAGLBSA-N -1 1 311.359 1.903 20 0 DDADMM CCO[N-]C(=O)CN[C@H](C(=O)N(CC)CC)c1ccccc1 ZINC000844633030 703049801 /nfs/dbraw/zinc/04/98/01/703049801.db2.gz XCCOFTMUJNZBID-HNNXBMFYSA-N -1 1 307.394 1.253 20 0 DDADMM CC(C)(CNC(=O)c1ccc([O-])c(F)c1)CS(C)(=O)=O ZINC000831495653 706719569 /nfs/dbraw/zinc/71/95/69/706719569.db2.gz RCFARZIYGJDVBT-UHFFFAOYSA-N -1 1 303.355 1.332 20 0 DDADMM CN(C)c1cc(CN2CC[C@@](C(=O)[O-])(C(F)(F)F)C2)ccn1 ZINC000846277776 703259766 /nfs/dbraw/zinc/25/97/66/703259766.db2.gz LFBMEDKLTCAXLX-CYBMUJFWSA-N -1 1 317.311 1.987 20 0 DDADMM COC[C@H](C)C[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000866605872 706743331 /nfs/dbraw/zinc/74/33/31/706743331.db2.gz QCTSDELBDREVFG-WYRIXSBYSA-N -1 1 320.436 1.260 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC(C)(C)SC)c1 ZINC000866900809 706839441 /nfs/dbraw/zinc/83/94/41/706839441.db2.gz MJWNBEGQHKHDPW-UHFFFAOYSA-N -1 1 305.421 1.821 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCC[Si](C)(C)C)n[n-]1 ZINC000879654496 706750921 /nfs/dbraw/zinc/75/09/21/706750921.db2.gz KSOAQTKNWPDPBZ-UHFFFAOYSA-N -1 1 312.446 1.716 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCC[Si](C)(C)C)n1 ZINC000879654496 706750923 /nfs/dbraw/zinc/75/09/23/706750923.db2.gz KSOAQTKNWPDPBZ-UHFFFAOYSA-N -1 1 312.446 1.716 20 0 DDADMM CCO/C=C\[C@@](O)(CNC(=O)c1cncc([O-])c1)C(F)(F)F ZINC000848177541 703521634 /nfs/dbraw/zinc/52/16/34/703521634.db2.gz KIQZCGQCPMULBB-VSQXVHSFSA-N -1 1 320.267 1.361 20 0 DDADMM CC(C)OCC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341618 703541038 /nfs/dbraw/zinc/54/10/38/703541038.db2.gz MGNCJVJMGUEFJL-NXEZZACHSA-N -1 1 310.316 1.469 20 0 DDADMM CC1(C[N-]S(=O)(=O)Cc2cc(F)ccc2Cl)OCCO1 ZINC000849382655 703641698 /nfs/dbraw/zinc/64/16/98/703641698.db2.gz LIAWUPNVRUHYTR-UHFFFAOYSA-N -1 1 323.773 1.662 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@H]1C(=O)OCC1(C)C)C1CCCC1 ZINC000849398332 703643518 /nfs/dbraw/zinc/64/35/18/703643518.db2.gz RKZLELRXDMAJQA-NEPJUHHUSA-N -1 1 319.423 1.063 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1c(F)cccc1F)C(OC)OC ZINC000849405292 703644089 /nfs/dbraw/zinc/64/40/89/703644089.db2.gz HSWBZWSUUBOBRA-LBPRGKRZSA-N -1 1 323.361 1.782 20 0 DDADMM CNS(=O)(=O)CCCOC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869736482 703839557 /nfs/dbraw/zinc/83/95/57/703839557.db2.gz IGBSUNCVFRQMRJ-UHFFFAOYSA-N -1 1 321.782 1.071 20 0 DDADMM C[C@H](O)C1([N-]S(=O)(=O)c2ccc(Cl)nc2Cl)CC1 ZINC000851831179 703866414 /nfs/dbraw/zinc/86/64/14/703866414.db2.gz OPVYXBIWZYAWPR-LURJTMIESA-N -1 1 311.190 1.580 20 0 DDADMM C[C@H](O)C1([N-]S(=O)(=O)c2ccc(Br)o2)CC1 ZINC000851830945 703866539 /nfs/dbraw/zinc/86/65/39/703866539.db2.gz HTZRQBHKSVTEIP-LURJTMIESA-N -1 1 310.169 1.234 20 0 DDADMM O=C(N1CCN(Cc2ccc([O-])c(Cl)c2)CC1)C1(O)CCC1 ZINC000870162044 703939810 /nfs/dbraw/zinc/93/98/10/703939810.db2.gz HHIZTJRBSWHRAV-UHFFFAOYSA-N -1 1 324.808 1.605 20 0 DDADMM CCc1nnc([C@@H](C)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)[nH]1 ZINC000879767740 706787119 /nfs/dbraw/zinc/78/71/19/706787119.db2.gz OGPQEUOKURFNTL-PELKAZGASA-N -1 1 319.331 1.571 20 0 DDADMM CC[C@@]1(O)CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000870679316 704102438 /nfs/dbraw/zinc/10/24/38/704102438.db2.gz INZPVDGHBBGIQD-CQSZACIVSA-N -1 1 306.391 1.395 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC(C3CCCCC3)CC2)C1=O ZINC000852725321 704107112 /nfs/dbraw/zinc/10/71/12/704107112.db2.gz BMINWKNVXGPXEN-HNNXBMFYSA-N -1 1 308.422 1.964 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])CN(CC(=O)Nc2ccc(Cl)nc2)C1 ZINC000852732623 704108352 /nfs/dbraw/zinc/10/83/52/704108352.db2.gz VFQIRJCVMUQPCE-VHSXEESVSA-N -1 1 311.769 1.716 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C(=O)OC)C2CCCC2)[n-]1 ZINC000870707363 704109386 /nfs/dbraw/zinc/10/93/86/704109386.db2.gz VRAHTSQEIMWMGS-LBPRGKRZSA-N -1 1 309.318 1.690 20 0 DDADMM CO[C@@H]1CC[C@@H](C)N(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000870723498 704113167 /nfs/dbraw/zinc/11/31/67/704113167.db2.gz FHJQIHLIZMPANN-MWLCHTKSSA-N -1 1 306.391 1.658 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2noc(C)n2)c1 ZINC000819990040 704198491 /nfs/dbraw/zinc/19/84/91/704198491.db2.gz ZAHCUDFUGUOKIT-NRFANRHFSA-N -1 1 310.331 1.238 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@H]2CCOC2)c1 ZINC000821260786 704384592 /nfs/dbraw/zinc/38/45/92/704384592.db2.gz XSVYFCYTHSSXRY-XUSGNXJCSA-N -1 1 312.387 1.773 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cn(C)nc2C)c1 ZINC000821352713 704395404 /nfs/dbraw/zinc/39/54/04/704395404.db2.gz MUWSLYIYNAYXQS-JOCHJYFZSA-N -1 1 322.386 1.588 20 0 DDADMM CC(C)(CO)O[N-]C(=O)CCC(=O)c1c(F)cccc1F ZINC000854754723 704448406 /nfs/dbraw/zinc/44/84/06/704448406.db2.gz PZIKXWAZNUULNC-UHFFFAOYSA-N -1 1 301.289 1.746 20 0 DDADMM CON1CCN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CC1 ZINC000854859985 704459403 /nfs/dbraw/zinc/45/94/03/704459403.db2.gz UPIWWXIXNOOBBK-UHFFFAOYSA-N -1 1 308.382 1.107 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2CCCO2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856417889 704528098 /nfs/dbraw/zinc/52/80/98/704528098.db2.gz MDUSFLDSMZHNAQ-KXUCPTDWSA-N -1 1 308.300 1.081 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2cc(C(F)F)ccc2F)C1=O ZINC000857168339 704558222 /nfs/dbraw/zinc/55/82/22/704558222.db2.gz DEIVSCFXEYDKOC-UHFFFAOYSA-N -1 1 301.224 1.302 20 0 DDADMM Cc1cc(F)cc2c(=O)c(C(=O)[N-]O[C@H](CO)C(C)C)c[nH]c12 ZINC000857285383 704574170 /nfs/dbraw/zinc/57/41/70/704574170.db2.gz ASSIMPFUZOBHPQ-CYBMUJFWSA-N -1 1 322.336 1.654 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)Cc1cc2ccccc2c(=O)[nH]1 ZINC000857286391 704574722 /nfs/dbraw/zinc/57/47/22/704574722.db2.gz ZRHJOPNHMFVXNH-CQSZACIVSA-N -1 1 304.346 1.548 20 0 DDADMM CCC[C@@H](NC(=O)c1cn2c(n1)CC[C@H](C)C2)c1nn[n-]n1 ZINC000857936919 704645069 /nfs/dbraw/zinc/64/50/69/704645069.db2.gz SFDSBUGEOWLNKA-VHSXEESVSA-N -1 1 303.370 1.250 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000832455696 706917925 /nfs/dbraw/zinc/91/79/25/706917925.db2.gz OYGSSMRFBAPKSE-SFYZADRCSA-N -1 1 321.786 1.073 20 0 DDADMM COc1cccnc1N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000858526826 704722436 /nfs/dbraw/zinc/72/24/36/704722436.db2.gz KOUCLMFNEDQWRW-UHFFFAOYSA-N -1 1 317.311 1.985 20 0 DDADMM CS(=O)(=O)c1ccc(/C=C/C(=O)[N-]O[C@H]2CCCCO2)o1 ZINC000858987556 704780995 /nfs/dbraw/zinc/78/09/95/704780995.db2.gz SPJULXNHYIFFPX-PZBABLGHSA-N -1 1 315.347 1.271 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2C[C@H]2c2ccsc2)c1Cl ZINC000859342719 704871407 /nfs/dbraw/zinc/87/14/07/704871407.db2.gz KOWIWCOPSFHSJK-DTWKUNHWSA-N -1 1 317.823 1.969 20 0 DDADMM CCn1cc([C@@H](C)[N-]S(=O)(=O)N=S(=O)(CC)CC)cn1 ZINC000867364092 706975657 /nfs/dbraw/zinc/97/56/57/706975657.db2.gz JUBVPPHJDSKAGF-SNVBAGLBSA-N -1 1 322.456 1.306 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)CC(C)C ZINC000867413553 706993583 /nfs/dbraw/zinc/99/35/83/706993583.db2.gz AKXNFNMGZRXBCR-SECBINFHSA-N -1 1 308.350 1.699 20 0 DDADMM Cc1ccc(CO[C@@H](C)C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000859827535 705014250 /nfs/dbraw/zinc/01/42/50/705014250.db2.gz PLUPVCBGXOYJGA-NSHDSACASA-N -1 1 305.334 1.065 20 0 DDADMM Cc1ccc(CO[C@H](C)C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000859827533 705014396 /nfs/dbraw/zinc/01/43/96/705014396.db2.gz PLUPVCBGXOYJGA-LLVKDONJSA-N -1 1 305.334 1.065 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000867515090 707024824 /nfs/dbraw/zinc/02/48/24/707024824.db2.gz IHRMXWGXXSTEDW-WOPDTQHZSA-N -1 1 308.469 1.765 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](O)C12CCC2)c1ccc(Cl)nc1F ZINC000867519517 707026203 /nfs/dbraw/zinc/02/62/03/707026203.db2.gz RFFGVXWOTCJYSY-IUCAKERBSA-N -1 1 320.773 1.456 20 0 DDADMM Cc1ccccc1CO[C@@H](C)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000859876675 705027474 /nfs/dbraw/zinc/02/74/74/705027474.db2.gz MFGZOWUMANRPDS-NSHDSACASA-N -1 1 305.334 1.065 20 0 DDADMM O=S(=O)([N-]CC1=CCCC1)c1nc[nH]c1Br ZINC000867529686 707030073 /nfs/dbraw/zinc/03/00/73/707030073.db2.gz LTYGBNNULZZSFL-UHFFFAOYSA-N -1 1 306.185 1.561 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C(F)=C2CCCC2)n[n-]1 ZINC000880665749 707051967 /nfs/dbraw/zinc/05/19/67/707051967.db2.gz GVDRLITVWPZMJT-QMMMGPOBSA-N -1 1 310.329 1.956 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C(F)=C2CCCC2)[n-]1 ZINC000880665749 707051970 /nfs/dbraw/zinc/05/19/70/707051970.db2.gz GVDRLITVWPZMJT-QMMMGPOBSA-N -1 1 310.329 1.956 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C(F)=C2CCCC2)n1 ZINC000880665749 707051972 /nfs/dbraw/zinc/05/19/72/707051972.db2.gz GVDRLITVWPZMJT-QMMMGPOBSA-N -1 1 310.329 1.956 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](C(C)(C)O)C1 ZINC000874878840 705212298 /nfs/dbraw/zinc/21/22/98/705212298.db2.gz NIXNUPDJGSUDKE-LLVKDONJSA-N -1 1 307.394 1.847 20 0 DDADMM CC1(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCCC1 ZINC000875232127 705323512 /nfs/dbraw/zinc/32/35/12/705323512.db2.gz ZJQAPHSLUPBLQS-UHFFFAOYSA-N -1 1 306.366 1.387 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCCC(F)(F)CC3)ccnc1-2 ZINC000861025636 705352255 /nfs/dbraw/zinc/35/22/55/705352255.db2.gz APIZGNIXSCGUNX-UHFFFAOYSA-N -1 1 309.320 1.995 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCC[C@@H]1CC1(Cl)Cl ZINC000824246477 705409301 /nfs/dbraw/zinc/40/93/01/705409301.db2.gz JKUDCUMFOIPPNV-MRVPVSSYSA-N -1 1 306.197 1.613 20 0 DDADMM CC(C)[C@@]1(C)C[C@@H]1NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875660432 705466643 /nfs/dbraw/zinc/46/66/43/705466643.db2.gz KLZJOWNQZOHXMK-GXFFZTMASA-N -1 1 321.343 1.741 20 0 DDADMM C[C@H](Nc1cccc(-c2nnn[n-]2)n1)[C@@H](O)Cc1ccccc1 ZINC000824663169 705501621 /nfs/dbraw/zinc/50/16/21/705501621.db2.gz WEZQMXRANHIVTP-FZMZJTMJSA-N -1 1 310.361 1.666 20 0 DDADMM C[C@H](Nc1cccc(-c2nn[n-]n2)n1)[C@@H](O)Cc1ccccc1 ZINC000824663169 705501628 /nfs/dbraw/zinc/50/16/28/705501628.db2.gz WEZQMXRANHIVTP-FZMZJTMJSA-N -1 1 310.361 1.666 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1C[C@@H]2C[C@@H](c3ccccc3)[C@@H]2C1 ZINC000825014007 705577280 /nfs/dbraw/zinc/57/72/80/705577280.db2.gz CDLFENFOWQBWGZ-SOUVJXGZSA-N -1 1 311.389 1.785 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000876395098 705707666 /nfs/dbraw/zinc/70/76/66/705707666.db2.gz STMQNFDBQXXLBY-JTQLQIEISA-N -1 1 312.753 1.750 20 0 DDADMM CC1(C)OC[C@H]2C[C@]21NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000862689669 705775509 /nfs/dbraw/zinc/77/55/09/705775509.db2.gz PCZSZZFDHBUMSL-OTYXRUKQSA-N -1 1 304.375 1.315 20 0 DDADMM CO[C@@H]1CCC[C@H]1Sc1nc(C)nc(C)c1-c1nn[n-]n1 ZINC000826145433 705777887 /nfs/dbraw/zinc/77/78/87/705777887.db2.gz GLRXKLMYOSTAKI-NXEZZACHSA-N -1 1 306.395 1.933 20 0 DDADMM Cc1cn2c(n1)CN(c1ccc(Cl)c(-c3nnn[n-]3)n1)CC2 ZINC000826316946 705791101 /nfs/dbraw/zinc/79/11/01/705791101.db2.gz GESXPONQPZJJOB-UHFFFAOYSA-N -1 1 316.756 1.440 20 0 DDADMM Cc1cn2c(n1)CN(c1ccc(Cl)c(-c3nn[n-]n3)n1)CC2 ZINC000826316946 705791104 /nfs/dbraw/zinc/79/11/04/705791104.db2.gz GESXPONQPZJJOB-UHFFFAOYSA-N -1 1 316.756 1.440 20 0 DDADMM Cc1onc(CC(=O)N2CCCCC[C@H]2C)c1-c1nnn[n-]1 ZINC000826344200 705793496 /nfs/dbraw/zinc/79/34/96/705793496.db2.gz ADPDAXVVCRMCIN-SECBINFHSA-N -1 1 304.354 1.497 20 0 DDADMM Cc1onc(CC(=O)N2CCCCC[C@H]2C)c1-c1nn[n-]n1 ZINC000826344200 705793499 /nfs/dbraw/zinc/79/34/99/705793499.db2.gz ADPDAXVVCRMCIN-SECBINFHSA-N -1 1 304.354 1.497 20 0 DDADMM CCOC(=O)C1=CC[C@@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000862880219 705818735 /nfs/dbraw/zinc/81/87/35/705818735.db2.gz MQWMKHCXQXAGIY-GFCCVEGCSA-N -1 1 303.314 1.343 20 0 DDADMM O=C(C1CCC(C2CC2)CC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000826833333 705881176 /nfs/dbraw/zinc/88/11/76/705881176.db2.gz BGVZVSXTTGUMGA-WXRRBKDZSA-N -1 1 305.382 1.316 20 0 DDADMM C[C@@H]1C[N@@H+](CCOc2ccccc2C(=O)[O-])CC[C@@H]1C(=O)[O-] ZINC000863531690 705949541 /nfs/dbraw/zinc/94/95/41/705949541.db2.gz AYFXTPBMFMIVPB-NEPJUHHUSA-N -1 1 307.346 1.806 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)C(C)(C)C ZINC000827331586 705977204 /nfs/dbraw/zinc/97/72/04/705977204.db2.gz DYNZFFMSPWHORR-NWDGAFQWSA-N -1 1 321.439 1.309 20 0 DDADMM CCC1(CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)COC1 ZINC000827902083 706084830 /nfs/dbraw/zinc/08/48/30/706084830.db2.gz RHXXLLWGNOWBPS-ZDUSSCGKSA-N -1 1 301.350 1.222 20 0 DDADMM O=C([O-])C1=CC[C@@H](NC(=O)[C@H]2CCCN2Cc2ccccc2)C1 ZINC000864166670 706087379 /nfs/dbraw/zinc/08/73/79/706087379.db2.gz ZSUBJQJAVRIXST-HZPDHXFCSA-N -1 1 314.385 1.941 20 0 DDADMM C[C@H](NC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000864428099 706165969 /nfs/dbraw/zinc/16/59/69/706165969.db2.gz AKHOBUOOFYPIRM-UFBFGSQYSA-N -1 1 307.316 1.637 20 0 DDADMM O=C(c1cccc2c1CCOC2)N1CCC(c2nn[n-]n2)CC1 ZINC000828417045 706175637 /nfs/dbraw/zinc/17/56/37/706175637.db2.gz ROJUOQSHFNTVER-UHFFFAOYSA-N -1 1 313.361 1.292 20 0 DDADMM O=C([O-])[C@]1(NCc2cccnc2Br)CCSC1 ZINC000864511380 706185180 /nfs/dbraw/zinc/18/51/80/706185180.db2.gz WNNNACIXONXROB-NSHDSACASA-N -1 1 317.208 1.894 20 0 DDADMM C[C@@H]1c2cccn2CCN1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000877923635 706241710 /nfs/dbraw/zinc/24/17/10/706241710.db2.gz TVDODHAFGKUXAY-LLVKDONJSA-N -1 1 300.362 1.633 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC([C@H]2CCC[C@H](C)C2)C1 ZINC000829121410 706290251 /nfs/dbraw/zinc/29/02/51/706290251.db2.gz YRGLYFUGTGOBLU-UWVGGRQHSA-N -1 1 321.377 1.795 20 0 DDADMM CN(C(=O)Cc1ccc([O-])c(Cl)c1)[C@@H]1CCCCNC1=O ZINC000865119744 706355448 /nfs/dbraw/zinc/35/54/48/706355448.db2.gz XLFXIXBEEXKDOY-GFCCVEGCSA-N -1 1 310.781 1.715 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC1(Cl)Cl)c1c[nH]nc1Cl ZINC000829906241 706417547 /nfs/dbraw/zinc/41/75/47/706417547.db2.gz GAPWEOBVTJAYKW-SCSAIBSYSA-N -1 1 304.586 1.535 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(C[C@H]3CCC4(CCOCC4)O3)C2)n[nH]1 ZINC000878621797 706447943 /nfs/dbraw/zinc/44/79/43/706447943.db2.gz ICFWMLVYZLAHLB-QWHCGFSZSA-N -1 1 322.409 1.418 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@@H]1C ZINC000830083180 706451546 /nfs/dbraw/zinc/45/15/46/706451546.db2.gz ZRJZKLWHZQYJOV-PSASIEDQSA-N -1 1 320.374 1.042 20 0 DDADMM CCn1nc(C)c(C(=O)[N-]c2nnc([C@H]3CCCO3)s2)n1 ZINC000830430155 706516094 /nfs/dbraw/zinc/51/60/94/706516094.db2.gz HUBLHHZACDTJRQ-MRVPVSSYSA-N -1 1 308.367 1.562 20 0 DDADMM CC[S@](=O)CC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000830446356 706519057 /nfs/dbraw/zinc/51/90/57/706519057.db2.gz YNFCHULKZZQERG-IBGZPJMESA-N -1 1 319.379 1.619 20 0 DDADMM Cc1ccc([C@H](O)C[N-]S(=O)(=O)c2c[nH]nc2Cl)cc1 ZINC000830610022 706544396 /nfs/dbraw/zinc/54/43/96/706544396.db2.gz SRAYTLOJVHJHFN-SNVBAGLBSA-N -1 1 315.782 1.383 20 0 DDADMM Cc1ccc([C@@H](O)C[N-]S(=O)(=O)c2c[nH]nc2Cl)cc1 ZINC000830610020 706544408 /nfs/dbraw/zinc/54/44/08/706544408.db2.gz SRAYTLOJVHJHFN-JTQLQIEISA-N -1 1 315.782 1.383 20 0 DDADMM COC/C(C)=C\C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830789726 706580005 /nfs/dbraw/zinc/58/00/05/706580005.db2.gz KZNHXSQGYIGKRK-PWWFUAJNSA-N -1 1 308.300 1.249 20 0 DDADMM CC[C@](C)(OC)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830800803 706582348 /nfs/dbraw/zinc/58/23/48/706582348.db2.gz AGFUICQOHQUVKV-NEPJUHHUSA-N -1 1 310.316 1.471 20 0 DDADMM CO[C@@H](C)CCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807648 706583126 /nfs/dbraw/zinc/58/31/26/706583126.db2.gz NZWLQCMBYZYZTC-JOYOIKCWSA-N -1 1 310.316 1.471 20 0 DDADMM CC1(OCC(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000830815588 706585095 /nfs/dbraw/zinc/58/50/95/706585095.db2.gz CDRACTOSKMTCBD-LBPRGKRZSA-N -1 1 322.327 1.615 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCCS2)C1 ZINC000830822502 706587036 /nfs/dbraw/zinc/58/70/36/706587036.db2.gz PVFMHCVAKNEBHL-KWQFWETISA-N -1 1 310.341 1.552 20 0 DDADMM CC(C)COCCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830832800 706589389 /nfs/dbraw/zinc/58/93/89/706589389.db2.gz JFQORZJDHDWIBK-CYBMUJFWSA-N -1 1 324.343 1.719 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2CCOCC2)C1 ZINC000830841956 706590730 /nfs/dbraw/zinc/59/07/30/706590730.db2.gz XKDPWZMXYOQLBF-GFCCVEGCSA-N -1 1 308.300 1.083 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2CSCCS2)sn1 ZINC000866936491 706849633 /nfs/dbraw/zinc/84/96/33/706849633.db2.gz UNHXKZAMICBYCR-QMMMGPOBSA-N -1 1 310.491 1.578 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C(=O)c1ccc2cncn2c1 ZINC000909010133 712915450 /nfs/dbraw/zinc/91/54/50/712915450.db2.gz VYGMBEXDGZPJPP-LBPRGKRZSA-N -1 1 305.359 1.367 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCO[C@H](C)C1 ZINC000867047095 706881460 /nfs/dbraw/zinc/88/14/60/706881460.db2.gz HMMQEPLATKTMOX-GHMZBOCLSA-N -1 1 312.457 1.144 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1(C)C ZINC000867059280 706885976 /nfs/dbraw/zinc/88/59/76/706885976.db2.gz UGBIQOCLPNASMH-OUAUKWLOSA-N -1 1 324.468 1.142 20 0 DDADMM COc1ccc(CN2CCN(C/C=C(\C)C(=O)[O-])CC2)cc1F ZINC000832314271 706889589 /nfs/dbraw/zinc/88/95/89/706889589.db2.gz GYKUGNCROLVOSR-WLRTZDKTSA-N -1 1 322.380 1.983 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCCCS1 ZINC000867088974 706893127 /nfs/dbraw/zinc/89/31/27/706893127.db2.gz CLKSROSDONCXTA-JTQLQIEISA-N -1 1 314.498 1.614 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN2C(=O)OCC[C@@H]2C1 ZINC000867097817 706895360 /nfs/dbraw/zinc/89/53/60/706895360.db2.gz ZCXCXSNIIZEPON-LLVKDONJSA-N -1 1 324.764 1.641 20 0 DDADMM Cc1cnc([C@H](C)C[N-]S(=O)(=O)N=S(C)(C)=O)s1 ZINC000867103378 706897137 /nfs/dbraw/zinc/89/71/37/706897137.db2.gz WMZAZEONULQMIL-SSDOTTSWSA-N -1 1 311.454 1.117 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H](C)c1ccncc1OC ZINC000867156020 706911216 /nfs/dbraw/zinc/91/12/16/706911216.db2.gz JBMSNFXZPBBLBF-ZRNGKTOUSA-N -1 1 321.424 1.103 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC=C(c2ccc(Cl)nc2)CC1 ZINC000867207092 706924512 /nfs/dbraw/zinc/92/45/12/706924512.db2.gz OJNAJCRKUGYFMM-UHFFFAOYSA-N -1 1 318.768 1.497 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@]23OCCC[C@H]2C3(F)F)sn1 ZINC000867516035 707024771 /nfs/dbraw/zinc/02/47/71/707024771.db2.gz SIGQIMXEXMVFTB-SCZZXKLOSA-N -1 1 324.374 1.544 20 0 DDADMM CON(C)CCNC(=O)c1ccc(Br)c([O-])c1 ZINC000880644536 707046640 /nfs/dbraw/zinc/04/66/40/707046640.db2.gz LTLHKOJOUQTMIG-UHFFFAOYSA-N -1 1 303.156 1.378 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2C[C@H](SC)C2)o1 ZINC000867674875 707070439 /nfs/dbraw/zinc/07/04/39/707070439.db2.gz HNXMTWKHLOJIHE-ZKCHVHJHSA-N -1 1 305.377 1.239 20 0 DDADMM CCN(CC(=O)N1CCc2ccccc2[C@H]1C(=O)[O-])C1CC1 ZINC000909034959 712922394 /nfs/dbraw/zinc/92/23/94/712922394.db2.gz LWTYCKUUVXETNT-INIZCTEOSA-N -1 1 302.374 1.681 20 0 DDADMM COc1ccc(CCC(=O)[N-]O[C@H]2CCCN(C)C2=O)cc1 ZINC000871797814 707207528 /nfs/dbraw/zinc/20/75/28/707207528.db2.gz DWDVIDWJURFNKS-AWEZNQCLSA-N -1 1 306.362 1.296 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCC(=O)N(C)CC2CC2)cc1 ZINC000871798222 707208726 /nfs/dbraw/zinc/20/87/26/707208726.db2.gz QOYJRUMDIPHJHP-UHFFFAOYSA-N -1 1 320.389 1.544 20 0 DDADMM CC(C)(Cn1[n-]c2c(c1=O)CCC(C)(C)C2)S(C)(=O)=O ZINC000871958042 707255825 /nfs/dbraw/zinc/25/58/25/707255825.db2.gz SSDLOIXSUDYGOA-JTQLQIEISA-N -1 1 300.424 1.834 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C[C@H]1COCCO1)CC2 ZINC000872166674 707311757 /nfs/dbraw/zinc/31/17/57/707311757.db2.gz MZEOYJYIBFSTSK-NSHDSACASA-N -1 1 307.346 1.167 20 0 DDADMM C[C@@H]1COCC[C@H]1[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872479989 707436797 /nfs/dbraw/zinc/43/67/97/707436797.db2.gz SFGZIQQGLBXHCW-CLFVBBPSSA-N -1 1 312.457 1.142 20 0 DDADMM CCCOc1ccnc(N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000882304384 707576979 /nfs/dbraw/zinc/57/69/79/707576979.db2.gz IBAWTHSMZVNWOL-JTQLQIEISA-N -1 1 305.338 1.738 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2ncccc2[O-])n(C)c1C ZINC000882382323 707615404 /nfs/dbraw/zinc/61/54/04/707615404.db2.gz DNRSIMAGJJICLP-UHFFFAOYSA-N -1 1 303.318 1.151 20 0 DDADMM CC(C)(SCC(=O)[O-])C(=O)NCc1ccc2cncn2c1 ZINC000872873295 707633305 /nfs/dbraw/zinc/63/33/05/707633305.db2.gz ADLMXKCDIVZTKJ-UHFFFAOYSA-N -1 1 307.375 1.547 20 0 DDADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]c1ccc(F)cc1F ZINC000882660751 707736243 /nfs/dbraw/zinc/73/62/43/707736243.db2.gz YKSUDENXIXAXCX-SFHVURJKSA-N -1 1 313.351 1.196 20 0 DDADMM COC[C@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)C1 ZINC000882701747 707753203 /nfs/dbraw/zinc/75/32/03/707753203.db2.gz CBZRFWQRECQIFZ-KNVOCYPGSA-N -1 1 313.301 1.132 20 0 DDADMM CN(CC(=O)NCC1(C(=O)[O-])CCCC1)[C@H]1CCSC1 ZINC000909275078 712980212 /nfs/dbraw/zinc/98/02/12/712980212.db2.gz CCBIDEZOGBVWSQ-NSHDSACASA-N -1 1 300.424 1.185 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC000909309903 712987889 /nfs/dbraw/zinc/98/78/89/712987889.db2.gz QVQSXVSKMYRLCT-CQSZACIVSA-N -1 1 304.281 1.111 20 0 DDADMM O=C([N-]CC1CN(C(=O)NC[C@@H]2C=CCC2)C1)C(F)(F)F ZINC000883845048 708071369 /nfs/dbraw/zinc/07/13/69/708071369.db2.gz YPEDAUIEELAMQY-SECBINFHSA-N -1 1 305.300 1.273 20 0 DDADMM CNC(=O)C(C)(C)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897098471 708230020 /nfs/dbraw/zinc/23/00/20/708230020.db2.gz JHFLEHOVDYXCRP-UHFFFAOYSA-N -1 1 316.357 1.753 20 0 DDADMM CCS[C@@H]1CCC[C@@H]1NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909415405 713012231 /nfs/dbraw/zinc/01/22/31/713012231.db2.gz ONXKRWUUMVCDIQ-RWMBFGLXSA-N -1 1 314.451 1.573 20 0 DDADMM O=C(NC[C@H]1CC[C@@H](C(F)(F)F)O1)C(=O)c1ccc([O-])cc1 ZINC000927572856 713012411 /nfs/dbraw/zinc/01/24/11/713012411.db2.gz NDXMYQWIGIERAZ-MNOVXSKESA-N -1 1 317.263 1.801 20 0 DDADMM O=S(=O)([N-]CCc1ccc2c(c1)OCO2)c1ccns1 ZINC000884896397 708410823 /nfs/dbraw/zinc/41/08/23/708410823.db2.gz ZFCYOFNLKLWHEZ-UHFFFAOYSA-N -1 1 312.372 1.393 20 0 DDADMM Cc1noc(C)c1C[C@H](C)[N-]S(=O)(=O)c1ccns1 ZINC000885021047 708445051 /nfs/dbraw/zinc/44/50/51/708445051.db2.gz SWHLXHYMDKMDFH-ZETCQYMHSA-N -1 1 301.393 1.657 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)Cc1ccccc1)c1ccns1 ZINC000885024154 708445958 /nfs/dbraw/zinc/44/59/58/708445958.db2.gz RQAZHSCGTDQFDE-LBPRGKRZSA-N -1 1 312.416 1.273 20 0 DDADMM CC(C)[C@H]1OCCC[C@@H]1C[N-]S(=O)(=O)c1ccns1 ZINC000885043049 708453625 /nfs/dbraw/zinc/45/36/25/708453625.db2.gz DOGYVNYYZXQLNX-ZYHUDNBSSA-N -1 1 304.437 1.873 20 0 DDADMM CCO[C@@H]1COC[C@H]1NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897932334 708497918 /nfs/dbraw/zinc/49/79/18/708497918.db2.gz HRLXGALGODKNQA-HUUCEWRRSA-N -1 1 317.341 1.785 20 0 DDADMM CN1C[C@H](CCNC(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000927761009 713049059 /nfs/dbraw/zinc/04/90/59/713049059.db2.gz GKSMGAPBSJXGBU-LLVKDONJSA-N -1 1 310.781 1.573 20 0 DDADMM CN1CC[C@@](C)(C(=O)NCCc2c(F)cc([O-])cc2F)C1=O ZINC000886269774 708733389 /nfs/dbraw/zinc/73/33/89/708733389.db2.gz GXOZQTGDLFHHKP-HNNXBMFYSA-N -1 1 312.316 1.198 20 0 DDADMM O=S(=O)([N-]c1[nH]nc2c1COCC2)c1ccc(Cl)nc1 ZINC000886480347 708767489 /nfs/dbraw/zinc/76/74/89/708767489.db2.gz QYRNJSSEMFHSLN-UHFFFAOYSA-N -1 1 314.754 1.332 20 0 DDADMM C[C@@H]1CCN(C(=O)NCCc2c(F)cc([O-])cc2F)[C@H]1CO ZINC000927782440 713054942 /nfs/dbraw/zinc/05/49/42/713054942.db2.gz DLEGVLZQRVOGOB-OTYXRUKQSA-N -1 1 314.332 1.625 20 0 DDADMM C[C@@H]1CCN(C(=O)NCCc2c(F)cc([O-])cc2F)[C@@H]1CO ZINC000927782442 713054984 /nfs/dbraw/zinc/05/49/84/713054984.db2.gz DLEGVLZQRVOGOB-YMTOWFKASA-N -1 1 314.332 1.625 20 0 DDADMM CC(C)C[C@H](CO)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927785328 713055635 /nfs/dbraw/zinc/05/56/35/713055635.db2.gz JIPGKIMJFRRUIU-SNVBAGLBSA-N -1 1 316.348 1.919 20 0 DDADMM C[C@@H](O)[C@H]1CCN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927785031 713055640 /nfs/dbraw/zinc/05/56/40/713055640.db2.gz WNUWGHRWNGMNOA-ZJUUUORDSA-N -1 1 314.332 1.625 20 0 DDADMM C[C@]1(CO)C[C@H](O)CN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000912652727 713061146 /nfs/dbraw/zinc/06/11/46/713061146.db2.gz BZBDYEPDFTWTPO-XXFAHNHDSA-N -1 1 320.320 1.037 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1C[C@]12CCc1ccccc12 ZINC000898757622 708856826 /nfs/dbraw/zinc/85/68/26/708856826.db2.gz RYNSWWWUCGHBAW-PXAZEXFGSA-N -1 1 311.341 1.408 20 0 DDADMM Cc1ccc(CCCC(=O)NCc2nc([O-])cc(=O)[nH]2)s1 ZINC000898757291 708856917 /nfs/dbraw/zinc/85/69/17/708856917.db2.gz QNKJDMZUSRCWOL-UHFFFAOYSA-N -1 1 307.375 1.897 20 0 DDADMM O=C(NCC[C@@H](O)C(F)F)c1ccc2ccc(O)cc2c1[O-] ZINC000899160758 709004806 /nfs/dbraw/zinc/00/48/06/709004806.db2.gz GXYFWQQCRGRPPF-GFCCVEGCSA-N -1 1 311.284 1.997 20 0 DDADMM O=C(N[C@@H]1COCC[C@H]1O)c1ccc2ccc(O)cc2c1[O-] ZINC000899174323 709009735 /nfs/dbraw/zinc/00/97/35/709009735.db2.gz VBTBTJKEXZEOLU-ZIAGYGMSSA-N -1 1 303.314 1.131 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@@H](c3ccnn3C)C2)c([O-])c1 ZINC000887594737 709077723 /nfs/dbraw/zinc/07/77/23/709077723.db2.gz YMTCTUQLJUHERQ-CYBMUJFWSA-N -1 1 302.334 1.043 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H]([C@@H]2CCCO2)C1 ZINC000899626447 709129998 /nfs/dbraw/zinc/12/99/98/709129998.db2.gz HUURKHXARMYGJL-PWSUYJOCSA-N -1 1 318.402 1.659 20 0 DDADMM O=C(Nc1ccnn1[C@@H]1CCOC1)C(=O)c1ccc([O-])cc1 ZINC000888594465 709346759 /nfs/dbraw/zinc/34/67/59/709346759.db2.gz FVVQWSBELHOHPG-LLVKDONJSA-N -1 1 301.302 1.372 20 0 DDADMM C[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)Cn1cccn1 ZINC000900224842 709494990 /nfs/dbraw/zinc/49/49/90/709494990.db2.gz HJLRERQCNOFUQQ-JTQLQIEISA-N -1 1 301.350 1.322 20 0 DDADMM CSCC[C@H](NC(=O)CSC(F)(F)F)c1nn[n-]n1 ZINC000912860001 713109472 /nfs/dbraw/zinc/10/94/72/713109472.db2.gz HFSJFOZWXXTEGP-YFKPBYRVSA-N -1 1 315.346 1.363 20 0 DDADMM CCOc1cccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c1 ZINC000912860795 713109999 /nfs/dbraw/zinc/10/99/99/713109999.db2.gz YQCXROREIFCSRM-LBPRGKRZSA-N -1 1 321.406 1.823 20 0 DDADMM CCO[C@@H]1C[C@](NC(=O)CN(C)C2CCC2)(C(=O)[O-])C1(C)C ZINC000909602572 709536582 /nfs/dbraw/zinc/53/65/82/709536582.db2.gz LWYIBMFAJZJGMA-WBMJQRKESA-N -1 1 312.410 1.245 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1C[C@H]1c1cccnc1)c1nn[n-]n1 ZINC000912863228 713111567 /nfs/dbraw/zinc/11/15/67/713111567.db2.gz PJCWWJDSAWFOSZ-TUAOUCFPSA-N -1 1 318.406 1.309 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)N[C@H](CC(F)(F)F)C(=O)[O-] ZINC000909670585 709568690 /nfs/dbraw/zinc/56/86/90/709568690.db2.gz NAAHRZNUUYZTSZ-SSDOTTSWSA-N -1 1 307.272 1.977 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)CCCCc1c[nH]nn1 ZINC000909677085 709571551 /nfs/dbraw/zinc/57/15/51/709571551.db2.gz DYYPLSLJVOUYQH-JKOKRWQUSA-N -1 1 306.366 1.229 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Cc2ccc(C)o2)[n-]c1=O ZINC000889789879 709637084 /nfs/dbraw/zinc/63/70/84/709637084.db2.gz LFCXASJDPRMYDI-GFCCVEGCSA-N -1 1 317.345 1.998 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C[C@@H]2CCCCO2)[n-]c1=O ZINC000889791517 709638035 /nfs/dbraw/zinc/63/80/35/709638035.db2.gz IEUBHWSQJZBODR-NWDGAFQWSA-N -1 1 321.377 1.814 20 0 DDADMM CN(CC(=O)N1CCC[C@](C)(C(=O)[O-])C1)[C@H]1CCSC1 ZINC000909843941 709648888 /nfs/dbraw/zinc/64/88/88/709648888.db2.gz XVYFYWZSWGJPCB-FZMZJTMJSA-N -1 1 300.424 1.137 20 0 DDADMM CC(C)C[C@@H](C(=O)[O-])N(C)C(=O)CN(C)[C@H]1CCSC1 ZINC000909853635 709653615 /nfs/dbraw/zinc/65/36/15/709653615.db2.gz IMNRIAAPIYHVRT-RYUDHWBXSA-N -1 1 302.440 1.381 20 0 DDADMM O=C(O[C@H]1CCC(=O)NC1=O)c1cc(Cl)cc(Cl)c1[O-] ZINC000909860579 709656978 /nfs/dbraw/zinc/65/69/78/709656978.db2.gz SBVNQLYKWSGEBG-QMMMGPOBSA-N -1 1 318.112 1.661 20 0 DDADMM CCN(CC(=O)N[C@H](C(=O)[O-])[C@H]1CCC[C@H](OC)C1)C1CC1 ZINC000909915770 709687431 /nfs/dbraw/zinc/68/74/31/709687431.db2.gz VQHIJWZRVZXPQW-WHOFXGATSA-N -1 1 312.410 1.245 20 0 DDADMM CC1(C)[C@H](CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)C1(F)F ZINC000909986274 709721097 /nfs/dbraw/zinc/72/10/97/709721097.db2.gz FOGXRTFJTVDZMD-UWVGGRQHSA-N -1 1 304.337 1.191 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCC1CCC(F)(F)CC1 ZINC000890057003 709721989 /nfs/dbraw/zinc/72/19/89/709721989.db2.gz QAPHMSXZJKGWHI-UHFFFAOYSA-N -1 1 301.341 1.854 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc3c2CCCCC3)nc1=O ZINC000910134312 709786161 /nfs/dbraw/zinc/78/61/61/709786161.db2.gz IIVNRFFMQAOLEV-UHFFFAOYSA-N -1 1 301.346 1.734 20 0 DDADMM O=C([O-])[C@H]1CSCCN(C(=O)c2cccc3[nH]cnc32)C1 ZINC000910150237 709792309 /nfs/dbraw/zinc/79/23/09/709792309.db2.gz QLEKAJUNMVGPGA-SECBINFHSA-N -1 1 305.359 1.453 20 0 DDADMM CCc1ccnc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000910171157 709796766 /nfs/dbraw/zinc/79/67/66/709796766.db2.gz UIAZYPNBKBDORK-GFCCVEGCSA-N -1 1 305.378 1.265 20 0 DDADMM Cc1cnc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1C ZINC000910178411 709799240 /nfs/dbraw/zinc/79/92/40/709799240.db2.gz BYEVOJRNNIYMRE-CYBMUJFWSA-N -1 1 305.378 1.319 20 0 DDADMM C[C@@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccc(F)cc1 ZINC000910246404 709837533 /nfs/dbraw/zinc/83/75/33/709837533.db2.gz RBPLKKDDGZMEKI-JSGCOSHPSA-N -1 1 322.380 1.842 20 0 DDADMM Cc1nc(N2CCN([C@@H]3CCC[C@H]3O)CC2)sc1C(=O)[O-] ZINC000900986990 709864438 /nfs/dbraw/zinc/86/44/38/709864438.db2.gz ATMVWWMXQHGTEC-GHMZBOCLSA-N -1 1 311.407 1.185 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2sc(C)nc2C)n1 ZINC000890437896 709864644 /nfs/dbraw/zinc/86/46/44/709864644.db2.gz SQHQRWLBCYZFCU-UHFFFAOYSA-N -1 1 308.363 1.841 20 0 DDADMM C[C@@H]1CN(C[C@@H]2CCCN2c2cccc(C(=O)[O-])n2)C[C@@H](C)O1 ZINC000900991559 709867345 /nfs/dbraw/zinc/86/73/45/709867345.db2.gz XBWNRHHBGMQQCK-MCIONIFRSA-N -1 1 319.405 1.858 20 0 DDADMM O=C([O-])[C@@H]1CC(F)(F)CN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000910433942 709939249 /nfs/dbraw/zinc/93/92/49/709939249.db2.gz XUSYBSQABJQISQ-JTQLQIEISA-N -1 1 322.271 1.406 20 0 DDADMM CCOCCO[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000901180683 709958569 /nfs/dbraw/zinc/95/85/69/709958569.db2.gz YWWSYLAILUJNPF-LBPRGKRZSA-N -1 1 321.377 1.327 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)COC1CC1 ZINC000901319955 710008897 /nfs/dbraw/zinc/00/88/97/710008897.db2.gz NYIODFMKHIFGSP-UHFFFAOYSA-N -1 1 302.327 1.315 20 0 DDADMM COC[C@@](C)(CCO)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901347438 710019001 /nfs/dbraw/zinc/01/90/01/710019001.db2.gz CQHXNKPDJJJKON-MRXNPFEDSA-N -1 1 322.336 1.597 20 0 DDADMM CCN(CC)[C@H](C(=O)NC[C@@H]1C[C@@H]1C(=O)[O-])c1ccccc1 ZINC000910605796 710020313 /nfs/dbraw/zinc/02/03/13/710020313.db2.gz VBHKJEFGSGYBOQ-KKUMJFAQSA-N -1 1 304.390 1.906 20 0 DDADMM O=C([O-])[C@H](NCc1ccc(Cl)nn1)c1ccc2c(c1)CCO2 ZINC000901531019 710067589 /nfs/dbraw/zinc/06/75/89/710067589.db2.gz SBTIQPFTAGOLOZ-CQSZACIVSA-N -1 1 319.748 1.980 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NC1CC(CC(=O)[O-])C1 ZINC000910808295 710083752 /nfs/dbraw/zinc/08/37/52/710083752.db2.gz NKTFHGNUMAZVAY-UHFFFAOYSA-N -1 1 304.390 1.530 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2C[C@H](C(=O)[O-])C[C@H](C)C2)C1 ZINC000901659812 710111824 /nfs/dbraw/zinc/11/18/24/710111824.db2.gz FDIMWJHFBLFWPJ-LYIQGSDWSA-N -1 1 305.378 1.645 20 0 DDADMM COc1cc(CN(CCC(=O)[O-])C[C@H]2CCCO2)sn1 ZINC000901680260 710117161 /nfs/dbraw/zinc/11/71/61/710117161.db2.gz WVTMLAUNGWVAJQ-SNVBAGLBSA-N -1 1 300.380 1.607 20 0 DDADMM CCn1cc(Cl)c([N-]S(=O)(=O)CC(C)(C)C(=O)OC)n1 ZINC000901715860 710130957 /nfs/dbraw/zinc/13/09/57/710130957.db2.gz KYJNNSOKJZZQGI-UHFFFAOYSA-N -1 1 323.802 1.497 20 0 DDADMM CCN(C(=O)[C@@H]1CCCc2n[nH]nc21)c1cccc(C(=O)[O-])c1 ZINC000910968726 710135125 /nfs/dbraw/zinc/13/51/25/710135125.db2.gz XOCTYFKJYJNYNP-GFCCVEGCSA-N -1 1 314.345 1.976 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCCC[C@@H]1CC(=O)[O-] ZINC000901997552 710197307 /nfs/dbraw/zinc/19/73/07/710197307.db2.gz NOFHMEWOUHHEON-UEKVPHQBSA-N -1 1 305.378 1.931 20 0 DDADMM C[C@@H]1CCc2sc(C(=O)[N-]n3ccc(=O)[nH]c3=O)cc2C1 ZINC000913241048 713184259 /nfs/dbraw/zinc/18/42/59/713184259.db2.gz ODEAKRGYSSWZAD-MRVPVSSYSA-N -1 1 305.359 1.519 20 0 DDADMM COc1ccc(C(=O)N(C)c2nn[n-]n2)c(OCC2CC2)c1 ZINC000892954643 710531600 /nfs/dbraw/zinc/53/16/00/710531600.db2.gz OMCUWPZOWLXNAC-UHFFFAOYSA-N -1 1 303.322 1.274 20 0 DDADMM COCc1nc(N2CCC[C@H](CC(=O)OC(C)C)C2)cc(=O)[n-]1 ZINC000893202115 710570262 /nfs/dbraw/zinc/57/02/62/710570262.db2.gz ZJSHZKMSWVXQRT-GFCCVEGCSA-N -1 1 323.393 1.887 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)[N-]S(=O)(=O)C3(Cl)CC3)c2C1 ZINC000893232104 710575840 /nfs/dbraw/zinc/57/58/40/710575840.db2.gz CRVWIGFVBHOBQF-ZETCQYMHSA-N -1 1 317.798 1.323 20 0 DDADMM Cc1cc(NC(=O)C(C)(C)CN2CCOCC2)cc(C(=O)[O-])c1 ZINC000911068460 710620231 /nfs/dbraw/zinc/62/02/31/710620231.db2.gz MBXRXOHYLTUGQC-UHFFFAOYSA-N -1 1 320.389 1.990 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2ccc3c[nH]nc3c2)C1 ZINC000911088421 710628844 /nfs/dbraw/zinc/62/88/44/710628844.db2.gz IQUVWXDNZMXEPS-NSHDSACASA-N -1 1 302.334 1.298 20 0 DDADMM Cc1cc(C(=O)NCCCN2C[C@@H](C)O[C@H](C)C2)oc1C(=O)[O-] ZINC000911151771 710656130 /nfs/dbraw/zinc/65/61/30/710656130.db2.gz ZNVYSUVQXQQAHC-VXGBXAGGSA-N -1 1 324.377 1.515 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)[C@H]1CCCc2[nH]ncc21)C(F)(F)F ZINC000911160222 710662527 /nfs/dbraw/zinc/66/25/27/710662527.db2.gz BXZAMTRPAMMYDT-IMTBSYHQSA-N -1 1 305.256 1.351 20 0 DDADMM C[C@@H](NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1cccc(O)c1 ZINC000911303698 710735297 /nfs/dbraw/zinc/73/52/97/710735297.db2.gz NFIUEPONSMEORH-DGCLKSJQSA-N -1 1 306.362 1.366 20 0 DDADMM C[C@H](C(=O)N1CCN(c2ccc(C(=O)[O-])cc2)C[C@H]1C)N(C)C ZINC000911316943 710739390 /nfs/dbraw/zinc/73/93/90/710739390.db2.gz FDZUKCYVHDUNDH-CHWSQXEVSA-N -1 1 319.405 1.372 20 0 DDADMM CCCCNC(=O)[C@H]1CC[C@@H](C)N(Cc2cc(C(=O)[O-])no2)C1 ZINC000902513401 710776895 /nfs/dbraw/zinc/77/68/95/710776895.db2.gz WLKPGKUKLBDBGZ-NEPJUHHUSA-N -1 1 323.393 1.890 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N(CCC(=O)[O-])Cc1ccncc1 ZINC000911522012 710834846 /nfs/dbraw/zinc/83/48/46/710834846.db2.gz HCJULWHDBVYULM-LBPRGKRZSA-N -1 1 316.361 1.487 20 0 DDADMM COCc1nc(N2CCOc3cc(O)ccc3C2)cc(=O)[n-]1 ZINC000893832971 710849645 /nfs/dbraw/zinc/84/96/45/710849645.db2.gz MHGUUVLGZNFZPH-UHFFFAOYSA-N -1 1 303.318 1.433 20 0 DDADMM COCc1nc(NC[C@@]2(CO)C[C@H]2c2ccccc2)cc(=O)[n-]1 ZINC000893908518 710882920 /nfs/dbraw/zinc/88/29/20/710882920.db2.gz RENLXENKPCMQMU-SUMWQHHRSA-N -1 1 315.373 1.907 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)N[C@H]1CCC[N@H+]2CCSC[C@@H]12 ZINC000902819805 710916184 /nfs/dbraw/zinc/91/61/84/710916184.db2.gz XDOVNIZGPHBSFK-ZIBATOQPSA-N -1 1 312.435 1.183 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000902819805 710916188 /nfs/dbraw/zinc/91/61/88/710916188.db2.gz XDOVNIZGPHBSFK-ZIBATOQPSA-N -1 1 312.435 1.183 20 0 DDADMM Cc1nccc2c([N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C)cccc12 ZINC000903228023 711072939 /nfs/dbraw/zinc/07/29/39/711072939.db2.gz YCTNKNUIZAVPNV-NSHDSACASA-N -1 1 321.402 1.762 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@@H]1[C@H](O)c1ccccc1 ZINC000912104454 711175810 /nfs/dbraw/zinc/17/58/10/711175810.db2.gz RWFGRECQPZWZDQ-CZUORRHYSA-N -1 1 315.377 1.247 20 0 DDADMM COCc1nc(N2CCOC(C3CC3)(C3CC3)C2)cc(=O)[n-]1 ZINC000894594808 711200249 /nfs/dbraw/zinc/20/02/49/711200249.db2.gz GAWABIRGVYDSLN-UHFFFAOYSA-N -1 1 305.378 1.724 20 0 DDADMM O=C(N[C@H]([C@H]1CCCO1)C1(CO)CCC1)c1c([O-])cccc1F ZINC000912218178 711227778 /nfs/dbraw/zinc/22/77/78/711227778.db2.gz QEJVSODMDWGCMH-UKRRQHHQSA-N -1 1 323.364 1.971 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)[N@H+]1CCO[C@@H](C)CC1 ZINC000903633170 711230864 /nfs/dbraw/zinc/23/08/64/711230864.db2.gz NFTPJCGXGDHBTI-STQMWFEESA-N -1 1 320.389 1.500 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCO[C@@H](C)CC1 ZINC000903633170 711230867 /nfs/dbraw/zinc/23/08/67/711230867.db2.gz NFTPJCGXGDHBTI-STQMWFEESA-N -1 1 320.389 1.500 20 0 DDADMM O=C([C@@H]1CCCc2sccc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495018 713237617 /nfs/dbraw/zinc/23/76/17/713237617.db2.gz GAIXAYLVDZWHIG-MNOVXSKESA-N -1 1 319.390 1.281 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1[C@H](CO)CC[C@H]1CO ZINC000912235194 711236304 /nfs/dbraw/zinc/23/63/04/711236304.db2.gz QNBPGPBWBYAOLI-KBPBESRZSA-N -1 1 301.342 1.503 20 0 DDADMM O=C(c1cccc(Cl)c1F)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496374 713238279 /nfs/dbraw/zinc/23/82/79/713238279.db2.gz YTQNNZPTHRXNMZ-VIFPVBQESA-N -1 1 311.704 1.206 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N(C)Cc1ccccc1C ZINC000903711499 711250062 /nfs/dbraw/zinc/25/00/62/711250062.db2.gz AQMCUELXYKNPHA-UHFFFAOYSA-N -1 1 322.405 1.377 20 0 DDADMM O=C([O-])[C@@H]1CSCCN1C[C@H]1CCC[C@H](C(F)(F)F)O1 ZINC000903906926 711325544 /nfs/dbraw/zinc/32/55/44/711325544.db2.gz OELUZAKVVTVTFA-KXUCPTDWSA-N -1 1 313.341 1.988 20 0 DDADMM CCc1nc(CN2CCOc3c(cccc3C(=O)[O-])C2)n[nH]1 ZINC000903922286 711333279 /nfs/dbraw/zinc/33/32/79/711333279.db2.gz XLQUDSCEKZXTMO-UHFFFAOYSA-N -1 1 302.334 1.460 20 0 DDADMM CCCCn1nnnc1CN1[C@H](C)C[C@H](C)[C@@H](C(=O)[O-])[C@H]1C ZINC000903948848 711345389 /nfs/dbraw/zinc/34/53/89/711345389.db2.gz HQZSMECAWGXZIB-FMCLSXCISA-N -1 1 309.414 1.793 20 0 DDADMM CCCCn1nnnc1CN1[C@H](C)C[C@H](C)[C@H](C(=O)[O-])[C@@H]1C ZINC000903948850 711345644 /nfs/dbraw/zinc/34/56/44/711345644.db2.gz HQZSMECAWGXZIB-OPDFLTKYSA-N -1 1 309.414 1.793 20 0 DDADMM COCc1nc(CN[C@H]2C[C@H](NC(=O)[O-])C23CCC3)cs1 ZINC000904241089 711415879 /nfs/dbraw/zinc/41/58/79/711415879.db2.gz OLRSPPXKOQPMLP-QWRGUYRKSA-N -1 1 311.407 1.958 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2cn(C)nc2Cl)n1 ZINC000895243456 711463052 /nfs/dbraw/zinc/46/30/52/711463052.db2.gz YCBZZXGBXPFGOW-ZETCQYMHSA-N -1 1 312.761 1.219 20 0 DDADMM Cc1n[nH]c(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)c1C ZINC000895463849 711518765 /nfs/dbraw/zinc/51/87/65/711518765.db2.gz FZQFLXAWHLRPDK-LBPRGKRZSA-N -1 1 304.316 1.669 20 0 DDADMM O=C(NCCN1CCOCC1)c1ccc2ccc(O)cc2c1[O-] ZINC000895481812 711523813 /nfs/dbraw/zinc/52/38/13/711523813.db2.gz PIEUVUDSRWXIKK-UHFFFAOYSA-N -1 1 316.357 1.313 20 0 DDADMM C[C@@]1([C@@H]2CCCN(c3cc(Cl)[n-]c(=O)n3)C2)COC(=O)N1 ZINC000895529714 711534850 /nfs/dbraw/zinc/53/48/50/711534850.db2.gz AYMPVOWARHGQIS-OQPBUACISA-N -1 1 312.757 1.551 20 0 DDADMM CCCNC(=O)CCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895822392 711616930 /nfs/dbraw/zinc/61/69/30/711616930.db2.gz KIINVTPYWICUOY-UHFFFAOYSA-N -1 1 316.357 1.897 20 0 DDADMM CCCCC1(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000896267082 711699001 /nfs/dbraw/zinc/69/90/01/711699001.db2.gz WGRZJUCYTMSBFD-UHFFFAOYSA-N -1 1 320.393 1.777 20 0 DDADMM CCOC(=O)c1nn(C)cc1C=Cc1ncc(OC)c(=O)[n-]1 ZINC000905076981 711928874 /nfs/dbraw/zinc/92/88/74/711928874.db2.gz PQURDRSWXGKLMI-AATRIKPKSA-N -1 1 304.306 1.271 20 0 DDADMM COC(=O)C[N-]C(=O)C(F)(F)c1ncccc1Br ZINC000905080631 711930035 /nfs/dbraw/zinc/93/00/35/711930035.db2.gz ISQWDIKMNJZRTL-UHFFFAOYSA-N -1 1 323.093 1.225 20 0 DDADMM COc1c(F)ccc(F)c1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744042 713292903 /nfs/dbraw/zinc/29/29/03/713292903.db2.gz XLOBBXRKVMMNGU-UHFFFAOYSA-N -1 1 323.303 1.506 20 0 DDADMM CCC[C@@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccn1 ZINC000913744887 713293261 /nfs/dbraw/zinc/29/32/61/713293261.db2.gz JALNWCUGTKHCBA-CYBMUJFWSA-N -1 1 314.393 1.885 20 0 DDADMM CC(C)(C)C(=O)CCCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913746368 713294267 /nfs/dbraw/zinc/29/42/67/713294267.db2.gz QNFCHKIAPVDFEY-UHFFFAOYSA-N -1 1 307.398 1.691 20 0 DDADMM O=C(C[N-]S(=O)(=O)CC1(F)CC1)OCc1ccccc1 ZINC000913837957 713308674 /nfs/dbraw/zinc/30/86/74/713308674.db2.gz VJHUUZZJLLBQLY-UHFFFAOYSA-N -1 1 301.339 1.151 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c(Cl)cccc1OCC1CC1 ZINC000906546646 712351299 /nfs/dbraw/zinc/35/12/99/712351299.db2.gz VHNIMUGIGMFAMW-UHFFFAOYSA-N -1 1 307.741 1.572 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H](C)[C@@H]1CCCO1 ZINC000906694236 712392290 /nfs/dbraw/zinc/39/22/90/712392290.db2.gz XHTCSBXFYSYDNB-ZANVPECISA-N -1 1 318.377 1.483 20 0 DDADMM CN([C@H]1CCSC1)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906904555 712434348 /nfs/dbraw/zinc/43/43/48/712434348.db2.gz NCNWTKYGFQSUTF-VIFPVBQESA-N -1 1 317.388 1.216 20 0 DDADMM CSCCCN(C)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906964691 712450843 /nfs/dbraw/zinc/45/08/43/712450843.db2.gz VDSTXOUPQFEMAC-UHFFFAOYSA-N -1 1 319.404 1.464 20 0 DDADMM CN(C1CC(C)(C)C1)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000907014155 712464646 /nfs/dbraw/zinc/46/46/46/712464646.db2.gz DOQVMCQNHXTEDA-UHFFFAOYSA-N -1 1 313.375 1.900 20 0 DDADMM CC(C)(C)OC(=O)NOCC(=O)Nc1c([O-])cccc1F ZINC000907139668 712496895 /nfs/dbraw/zinc/49/68/95/712496895.db2.gz LRVDCOHFZOSLII-UHFFFAOYSA-N -1 1 300.286 1.926 20 0 DDADMM O=C(c1cc2occc2s1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907474489 712581900 /nfs/dbraw/zinc/58/19/00/712581900.db2.gz LDCOSWRRVPHJEX-ZETCQYMHSA-N -1 1 321.387 1.938 20 0 DDADMM CCc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)ccc1O ZINC000907479574 712583323 /nfs/dbraw/zinc/58/33/23/712583323.db2.gz FQBQFNJMPCFXKP-LLVKDONJSA-N -1 1 319.390 1.398 20 0 DDADMM O=C(c1sccc1Cl)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479879 712583622 /nfs/dbraw/zinc/58/36/22/712583622.db2.gz NBHLYVRSKYACJU-SSDOTTSWSA-N -1 1 315.811 1.845 20 0 DDADMM Cc1ncccc1C=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481247 712583860 /nfs/dbraw/zinc/58/38/60/712583860.db2.gz UNBCBZINXYTMFK-ZYOFXKKJSA-N -1 1 316.390 1.233 20 0 DDADMM CCOC1CC(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)C1 ZINC000907480712 712583866 /nfs/dbraw/zinc/58/38/66/712583866.db2.gz WPEJBQLUIOABMC-ILDUYXDCSA-N -1 1 311.411 1.021 20 0 DDADMM O=C([C@@H]1C[C@H]1c1cccnc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480756 712583990 /nfs/dbraw/zinc/58/39/90/712583990.db2.gz RBUUIZDAWUWUHE-TUAOUCFPSA-N -1 1 316.390 1.015 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1ccc(F)c(F)c1F ZINC000907766258 712623922 /nfs/dbraw/zinc/62/39/22/712623922.db2.gz JUPQTTHNGDJUBM-SADAWYKXSA-N -1 1 319.304 1.558 20 0 DDADMM CN1CCN(C(=O)[C@@]2(C(=O)[O-])C[C@@H]2c2ccccc2)CC1(C)C ZINC000907847914 712635318 /nfs/dbraw/zinc/63/53/18/712635318.db2.gz QLLKIROTAYBAQJ-RDTXWAMCSA-N -1 1 316.401 1.798 20 0 DDADMM CCCCC[C@@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)C(C)C ZINC000907943635 712652834 /nfs/dbraw/zinc/65/28/34/712652834.db2.gz JLLHXWOQYHMJDI-CYBMUJFWSA-N -1 1 323.441 1.862 20 0 DDADMM C[C@@H]1CCNC(=O)[C@H]1NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000908002831 712663857 /nfs/dbraw/zinc/66/38/57/712663857.db2.gz PVQOWQJDGOAONW-OQPBUACISA-N -1 1 317.320 1.334 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H](CC(=O)[O-])Cc1ccccc1 ZINC000908232711 712715268 /nfs/dbraw/zinc/71/52/68/712715268.db2.gz USHOWPBUPGAMNX-GXTWGEPZSA-N -1 1 315.373 1.791 20 0 DDADMM COCC[C@H](COC)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000908291622 712729740 /nfs/dbraw/zinc/72/97/40/712729740.db2.gz UPAAFMGBFBXZLX-SNVBAGLBSA-N -1 1 322.336 1.861 20 0 DDADMM O=S(=O)([N-]CC[C@H](O)C1CC1)c1cc(F)c(F)cc1F ZINC000908305198 712734468 /nfs/dbraw/zinc/73/44/68/712734468.db2.gz VVAXZYIFSVLKRJ-NSHDSACASA-N -1 1 309.309 1.543 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1C[C@@H](CO)c2ccccc21 ZINC000908402928 712761796 /nfs/dbraw/zinc/76/17/96/712761796.db2.gz LRVKXRZVBGOYAL-NSHDSACASA-N -1 1 311.341 1.796 20 0 DDADMM Cc1nc2cc(NC(=O)CN3CCC[C@@H](C(=O)[O-])C3)ccc2o1 ZINC000908699559 712837670 /nfs/dbraw/zinc/83/76/70/712837670.db2.gz BTRSAEDCNXIEHM-LLVKDONJSA-N -1 1 317.345 1.871 20 0 DDADMM CCOc1ccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000908716605 712840462 /nfs/dbraw/zinc/84/04/62/712840462.db2.gz IGBVZHWIKJPETN-AWEZNQCLSA-N -1 1 320.389 1.498 20 0 DDADMM C[C@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)Oc1ccccc1 ZINC000908738752 712845050 /nfs/dbraw/zinc/84/50/50/712845050.db2.gz HKFVGTNYMHAQMA-KGLIPLIRSA-N -1 1 320.389 1.367 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H](C(=O)[O-])C1CC1)c1ccsc1 ZINC000908815866 712860757 /nfs/dbraw/zinc/86/07/57/712860757.db2.gz ZNQLYQSLVUEYQS-NEPJUHHUSA-N -1 1 311.407 1.513 20 0 DDADMM C[C@@H](CN(Cc1ccccc1)C(=O)CN1CCCC1)C(=O)[O-] ZINC000908837639 712865616 /nfs/dbraw/zinc/86/56/16/712865616.db2.gz WMIAKUKSGCVTDW-AWEZNQCLSA-N -1 1 304.390 1.832 20 0 DDADMM C[C@H](COCC1CCCCC1)NC(=O)CCCc1nn[n-]n1 ZINC000928703621 713468432 /nfs/dbraw/zinc/46/84/32/713468432.db2.gz DRYLGBADKVUEPA-GFCCVEGCSA-N -1 1 309.414 1.624 20 0 DDADMM Cc1nnc([N-]C(=O)c2cc(S(C)(=O)=O)ccc2F)s1 ZINC000918144952 713530705 /nfs/dbraw/zinc/53/07/05/713530705.db2.gz BEGIGZPRHYXVFE-UHFFFAOYSA-N -1 1 315.351 1.641 20 0 DDADMM CC(C)C(=O)[C@@H]([N-]S(=O)(=O)c1cn(C)cn1)c1ccccc1 ZINC000920555476 713679271 /nfs/dbraw/zinc/67/92/71/713679271.db2.gz URSXLIDNIWBXJI-AWEZNQCLSA-N -1 1 321.402 1.665 20 0 DDADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)CC(CC)CC ZINC000921378579 713749279 /nfs/dbraw/zinc/74/92/79/713749279.db2.gz BRNBQVUWZMSZTQ-NSHDSACASA-N -1 1 311.469 1.637 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)CCS1 ZINC000921717048 713852460 /nfs/dbraw/zinc/85/24/60/713852460.db2.gz ZTWSQAUHCYPTQS-JGVFFNPUSA-N -1 1 308.375 1.922 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1ccc(F)cc1F)C(C)C ZINC000921978136 713926895 /nfs/dbraw/zinc/92/68/95/713926895.db2.gz WLRYHFURBARXBL-GFCCVEGCSA-N -1 1 321.345 1.582 20 0 DDADMM C[C@H]1COCC[C@@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922201701 713989278 /nfs/dbraw/zinc/98/92/78/713989278.db2.gz LPKGZOONQJQUDG-XPTSAGLGSA-N -1 1 314.332 1.937 20 0 DDADMM CSCC1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000931131880 714010396 /nfs/dbraw/zinc/01/03/96/714010396.db2.gz OCSDCEWYTCXAME-UHFFFAOYSA-N -1 1 319.434 1.949 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3CCCc4cccnc43)ccnc1-2 ZINC000931131733 714010489 /nfs/dbraw/zinc/01/04/89/714010489.db2.gz MFFLHGHKCNEAFB-CQSZACIVSA-N -1 1 322.372 1.936 20 0 DDADMM COC(=O)[C@@H]1CN(C[C@H](C)C(=O)c2ccc([O-])cc2)C[C@@H](C)O1 ZINC000931179230 714024863 /nfs/dbraw/zinc/02/48/63/714024863.db2.gz DSXDZZBEULKOBH-ZOWXZIJZSA-N -1 1 321.373 1.473 20 0 DDADMM CO[N-]C(=O)CNCc1nc2cc(C(F)(F)F)ccc2n1C ZINC000922828999 714161088 /nfs/dbraw/zinc/16/10/88/714161088.db2.gz DVHVEHAPIHIFAT-UHFFFAOYSA-N -1 1 316.283 1.359 20 0 DDADMM CCn1ccc(CNC(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC000922874415 714173417 /nfs/dbraw/zinc/17/34/17/714173417.db2.gz YSKGKBRIIVQZNX-UHFFFAOYSA-N -1 1 324.331 1.929 20 0 DDADMM C[C@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)[C@@H]1CCCO1 ZINC000923053286 714223601 /nfs/dbraw/zinc/22/36/01/714223601.db2.gz WJLPGAYHADQXSE-AAEUAGOBSA-N -1 1 305.330 1.301 20 0 DDADMM C[C@H](NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C(=O)N(C)C ZINC000932048417 714246239 /nfs/dbraw/zinc/24/62/39/714246239.db2.gz AHFLXZULQKUIHN-JTQLQIEISA-N -1 1 317.349 1.176 20 0 DDADMM C[C@@H]1[C@H](O)CCCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932057691 714248830 /nfs/dbraw/zinc/24/88/30/714248830.db2.gz NGXACYIGJGGPPC-BXUZGUMPSA-N -1 1 316.361 1.955 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CC[C@H](C(=O)[O-])C[C@@H]2C)C1 ZINC000923156613 714256918 /nfs/dbraw/zinc/25/69/18/714256918.db2.gz XFPRVAKIKCGHQQ-MPPDQPJWSA-N -1 1 305.378 1.787 20 0 DDADMM COC[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@H]1CCCOC1 ZINC000924687679 714640339 /nfs/dbraw/zinc/64/03/39/714640339.db2.gz JEYTWTLKXXSUEC-WCQYABFASA-N -1 1 321.377 1.231 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000924904112 714693441 /nfs/dbraw/zinc/69/34/41/714693441.db2.gz ZEBZBBCSIXUOTN-RKDXNWHRSA-N -1 1 313.737 1.593 20 0 DDADMM COc1cnc([C@H]2CCCN2CC(=O)NCC(C)C)[n-]c1=O ZINC000934280570 714785872 /nfs/dbraw/zinc/78/58/72/714785872.db2.gz RBQRYQZQGHHADD-LLVKDONJSA-N -1 1 308.382 1.100 20 0 DDADMM CC[C@@H](C)NC(=O)CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934282142 714786206 /nfs/dbraw/zinc/78/62/06/714786206.db2.gz MMYVFHFKDQMXCW-GHMZBOCLSA-N -1 1 308.382 1.242 20 0 DDADMM O=C([C@H](O)C1CCCCCCC1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000935036637 714961006 /nfs/dbraw/zinc/96/10/06/714961006.db2.gz GFRWZEUFVIZUAA-UONOGXRCSA-N -1 1 321.425 1.627 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(Cl)n1 ZINC000935365638 715038040 /nfs/dbraw/zinc/03/80/40/715038040.db2.gz JULXPSAMBYZSJL-SSDOTTSWSA-N -1 1 310.745 1.132 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(F)cc2ccoc21)c1nn[n-]n1 ZINC000935368785 715039037 /nfs/dbraw/zinc/03/90/37/715039037.db2.gz TZDXMFVYWXKWKC-MRVPVSSYSA-N -1 1 303.297 1.961 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCC[C@@H]2Oc2cnn(C)c2)c([O-])c1 ZINC000926280933 715041549 /nfs/dbraw/zinc/04/15/49/715041549.db2.gz KXQOWMHDPHSDBC-OCCSQVGLSA-N -1 1 316.361 1.559 20 0 DDADMM O=C([C@@H]1C[C@@H]2CCCC[C@H]12)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000935457653 715060515 /nfs/dbraw/zinc/06/05/15/715060515.db2.gz WNJASNDEGIOIQP-NNYUYHANSA-N -1 1 307.423 1.643 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216419 715293722 /nfs/dbraw/zinc/29/37/22/715293722.db2.gz BUERDIDMFPXLSX-GRYCIOLGSA-N -1 1 303.362 1.116 20 0 DDADMM CC(C)C(=O)N[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000937693830 715511579 /nfs/dbraw/zinc/51/15/79/715511579.db2.gz ALAWLKVVSMKRLH-NSHDSACASA-N -1 1 318.377 1.046 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955214015 715677370 /nfs/dbraw/zinc/67/73/70/715677370.db2.gz GFEXEGDKEGFOAS-WDEREUQCSA-N -1 1 303.362 1.116 20 0 DDADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)[C@H]2C[C@@H]2C)CC1 ZINC000957670307 715995485 /nfs/dbraw/zinc/99/54/85/715995485.db2.gz QIFJGIUQQJXVDP-AAEUAGOBSA-N -1 1 321.425 1.227 20 0 DDADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)[C@H]2C[C@H]2C)CC1 ZINC000957670310 715995709 /nfs/dbraw/zinc/99/57/09/715995709.db2.gz QIFJGIUQQJXVDP-YPMHNXCESA-N -1 1 321.425 1.227 20 0 DDADMM O=C([N-][C@@H]1CCN(C(=O)c2cc(F)c(F)cc2F)C1)C(F)F ZINC000939014069 716087197 /nfs/dbraw/zinc/08/71/97/716087197.db2.gz USFATOPLXHAAPH-ZCFIWIBFSA-N -1 1 322.233 1.700 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)[C@@H]2CC=CCC2)C1)c1ncccc1[O-] ZINC000940126238 716637899 /nfs/dbraw/zinc/63/78/99/716637899.db2.gz XBEYZCZEWZHUDY-CHWSQXEVSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832384 716981596 /nfs/dbraw/zinc/98/15/96/716981596.db2.gz FJFKDQCEOVWBED-ZDUSSCGKSA-N -1 1 319.405 1.754 20 0 DDADMM CC1(C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])CC2)CC1 ZINC000964848074 717453089 /nfs/dbraw/zinc/45/30/89/717453089.db2.gz JEIAQFBTEDUKAX-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(CC1CC1)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964849587 717453431 /nfs/dbraw/zinc/45/34/31/717453431.db2.gz OSPLRRUEOPALDD-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC000942759158 717859279 /nfs/dbraw/zinc/85/92/79/717859279.db2.gz USDPPJRQXZLVLK-QWHCGFSZSA-N -1 1 319.405 1.896 20 0 DDADMM CC(C)(C)C(=O)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943209266 718047776 /nfs/dbraw/zinc/04/77/76/718047776.db2.gz LHGZFXWLANUQFB-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943416998 718105050 /nfs/dbraw/zinc/10/50/50/718105050.db2.gz NDNCYQCBASYFLA-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)CC1CC1 ZINC000945291747 718437086 /nfs/dbraw/zinc/43/70/86/718437086.db2.gz ZVYVBINEVOTGDE-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](NC(=O)C1CC1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000945626400 718510232 /nfs/dbraw/zinc/51/02/32/718510232.db2.gz ZBAQJLWUXQPCDA-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C(=O)N[C@H]2CCC[C@@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000946220063 718715394 /nfs/dbraw/zinc/71/53/94/718715394.db2.gz IEMZMLJLLMLIEA-NEPJUHHUSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(N[C@H]1CCCC[C@@H]1CNC(=O)C1CC1)c1ncccc1[O-] ZINC000946379608 718764925 /nfs/dbraw/zinc/76/49/25/718764925.db2.gz JDVJVBIZUFWRRY-OLZOCXBDSA-N -1 1 317.389 1.602 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946532889 718820688 /nfs/dbraw/zinc/82/06/88/718820688.db2.gz HGLDBBJIBYNGFZ-LBPRGKRZSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)C1CCCC1 ZINC000946533098 718821431 /nfs/dbraw/zinc/82/14/31/718821431.db2.gz LYXFHLAFARGPFZ-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](CC)C1 ZINC000948175886 719379836 /nfs/dbraw/zinc/37/98/36/719379836.db2.gz NHOOVNTUKPWXLU-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM CC[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C(C)C ZINC000948391131 719478913 /nfs/dbraw/zinc/47/89/13/719478913.db2.gz ZITHGICECVTYOY-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM Cc1nc(CN[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)oc1C ZINC000968478180 719608857 /nfs/dbraw/zinc/60/88/57/719608857.db2.gz IIRNGEKSPWOGHV-GFCCVEGCSA-N -1 1 316.361 1.396 20 0 DDADMM CC[C@H](F)C(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000949278597 719990989 /nfs/dbraw/zinc/99/09/89/719990989.db2.gz WWKNURBLVBFBJA-LBPRGKRZSA-N -1 1 323.368 1.504 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949538371 720147035 /nfs/dbraw/zinc/14/70/35/720147035.db2.gz JFTDUVLSHKPWAP-GRYCIOLGSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(Cc2ccns2)C1 ZINC000969543507 720181663 /nfs/dbraw/zinc/18/16/63/720181663.db2.gz PJCZEMBETDHKTI-JTQLQIEISA-N -1 1 318.402 1.494 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2cc[nH]c2)C1)c1ncccc1[O-] ZINC000951065314 720905390 /nfs/dbraw/zinc/90/53/90/720905390.db2.gz YAUHQXUAYDSIGA-GFCCVEGCSA-N -1 1 314.345 1.150 20 0 DDADMM CC1(C)CC[C@H]1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000951644018 721112186 /nfs/dbraw/zinc/11/21/86/721112186.db2.gz UXSMDOMSVUSFML-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000951661884 721123389 /nfs/dbraw/zinc/12/33/89/721123389.db2.gz YGEMKLHFZJXXRW-SRVKXCTJSA-N -1 1 303.362 1.164 20 0 DDADMM CCN(C(=O)c1cnc(C2CC2)[nH]c1=O)C1C[NH+](C(C)C)C1 ZINC000952422512 721444548 /nfs/dbraw/zinc/44/45/48/721444548.db2.gz FNFYYYZQSDLCEX-UHFFFAOYSA-N -1 1 304.394 1.614 20 0 DDADMM CCCC1(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001167057108 732366330 /nfs/dbraw/zinc/36/63/30/732366330.db2.gz NAOWNWZPOWKFNN-UHFFFAOYSA-N -1 1 321.425 1.467 20 0 DDADMM CC(=O)c1ccc([N-]S(=O)(=O)c2ccc(N)c(C)c2)cn1 ZINC001210274855 733115847 /nfs/dbraw/zinc/11/58/47/733115847.db2.gz WAEHIJFJTUIZMG-UHFFFAOYSA-N -1 1 305.359 1.976 20 0 DDADMM CC(C)(C)CCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167489892 734468048 /nfs/dbraw/zinc/46/80/48/734468048.db2.gz DRCNZCKKEXKFLN-UHFFFAOYSA-N -1 1 323.441 1.713 20 0 DDADMM C/C(=C/C(C)(C)C)C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000588501217 734691378 /nfs/dbraw/zinc/69/13/78/734691378.db2.gz PFMQNIZDJZTJKF-NTMALXAHSA-N -1 1 318.377 1.804 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1C[C@@H]2CC[C@H]1c1ccccc12 ZINC001121360178 782471037 /nfs/dbraw/zinc/47/10/37/782471037.db2.gz VFYRSJRUZVKJEX-CABZTGNLSA-N -1 1 308.349 1.434 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1C[C@@H]2CC[C@H]1c1ccccc12 ZINC001121360178 782471043 /nfs/dbraw/zinc/47/10/43/782471043.db2.gz VFYRSJRUZVKJEX-CABZTGNLSA-N -1 1 308.349 1.434 20 0 DDADMM Cc1cc(NC[C@H](C)N(C)C(=O)c2ncccc2[O-])ncn1 ZINC001104536555 736203451 /nfs/dbraw/zinc/20/34/51/736203451.db2.gz PSOGROOIZSRFNV-NSHDSACASA-N -1 1 301.350 1.458 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C(=O)OC ZINC000692904010 738985590 /nfs/dbraw/zinc/98/55/90/738985590.db2.gz HQXRZUBGTWPYQO-ZCFIWIBFSA-N -1 1 310.734 1.104 20 0 DDADMM Cc1cc(N(C)CCN(C)C(=O)c2ncccc2[O-])ncn1 ZINC001105376072 739801578 /nfs/dbraw/zinc/80/15/78/739801578.db2.gz BVXXOMPKBQWKJD-UHFFFAOYSA-N -1 1 301.350 1.094 20 0 DDADMM Cc1conc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001088538998 741712205 /nfs/dbraw/zinc/71/22/05/741712205.db2.gz COJUTMYEKTUZBR-NEPJUHHUSA-N -1 1 316.361 1.476 20 0 DDADMM NC(=O)c1ccc([N-]c2nnc(Br)s2)cn1 ZINC001203428196 741826588 /nfs/dbraw/zinc/82/65/88/741826588.db2.gz ONAWGRAMILVBHW-UHFFFAOYSA-N -1 1 300.141 1.538 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001060073339 742421730 /nfs/dbraw/zinc/42/17/30/742421730.db2.gz ZJUGEFHBKUWNLB-FRRDWIJNSA-N -1 1 317.389 1.412 20 0 DDADMM C=C/C(C)=C\CC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076246584 742619122 /nfs/dbraw/zinc/61/91/22/742619122.db2.gz ZQGUIPFCUSNCOU-OTAKNEKHSA-N -1 1 317.389 1.934 20 0 DDADMM CC(C)=C(F)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244274 742623939 /nfs/dbraw/zinc/62/39/39/742623939.db2.gz OSLKUAYNNGYBIC-JTQLQIEISA-N -1 1 309.341 1.675 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001060116850 742687211 /nfs/dbraw/zinc/68/72/11/742687211.db2.gz NNZYQASMBIUNFZ-NWDGAFQWSA-N -1 1 323.368 1.504 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)C[C@H](C)O)c1ccccc1N ZINC001181355520 743163851 /nfs/dbraw/zinc/16/38/51/743163851.db2.gz YPOZROSCOREBKE-QMMMGPOBSA-N -1 1 311.363 1.014 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)C[C@H](C)O)c1ccccc1N ZINC001181355520 743163853 /nfs/dbraw/zinc/16/38/53/743163853.db2.gz YPOZROSCOREBKE-QMMMGPOBSA-N -1 1 311.363 1.014 20 0 DDADMM C[C@H]1C(=O)OC[C@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC001182081568 743474862 /nfs/dbraw/zinc/47/48/62/743474862.db2.gz VDZYQCQMKKRSKG-PHDIDXHHSA-N -1 1 315.123 1.297 20 0 DDADMM CN(C)c1nc(NC(=O)[C@H]2C[C@H]2C(F)(F)F)c(N=O)c(=O)[n-]1 ZINC001182312671 743556720 /nfs/dbraw/zinc/55/67/20/743556720.db2.gz GWLXTCRMUJZJLA-CRCLSJGQSA-N -1 1 319.243 1.783 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2ccc3[nH]ccc3c2)C1 ZINC000908707416 743592816 /nfs/dbraw/zinc/59/28/16/743592816.db2.gz HITKHJJLFHOFAC-LBPRGKRZSA-N -1 1 301.346 1.903 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C(=O)c1ccc(Cl)cc1 ZINC001182895186 743747985 /nfs/dbraw/zinc/74/79/85/743747985.db2.gz ZAKULQGNQKHRQU-UHFFFAOYSA-N -1 1 322.664 1.761 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)CCOCc1ccccc1 ZINC001183089895 743772727 /nfs/dbraw/zinc/77/27/27/743772727.db2.gz SPUWKTRRWFYNIQ-UHFFFAOYSA-N -1 1 318.289 1.831 20 0 DDADMM CC(=O)N1CCCC[C@H]1CC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001184121798 743970101 /nfs/dbraw/zinc/97/01/01/743970101.db2.gz DFQDCGAOCSOZMB-QMMMGPOBSA-N -1 1 323.309 1.015 20 0 DDADMM CSc1nc(NC(=O)C[C@H]2CCCCN2C(C)=O)cc(=O)[n-]1 ZINC001184124998 743971299 /nfs/dbraw/zinc/97/12/99/743971299.db2.gz YDZATBFTZNYGHN-SNVBAGLBSA-N -1 1 324.406 1.634 20 0 DDADMM CCCCC[C@H](C)CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186129131 744348376 /nfs/dbraw/zinc/34/83/76/744348376.db2.gz AUUGWXJEMDPCMF-QWHCGFSZSA-N -1 1 323.441 1.807 20 0 DDADMM O=S(=O)([N-]c1ccc(CO)cc1)c1ccc2nsnc2c1 ZINC001186261285 744367396 /nfs/dbraw/zinc/36/73/96/744367396.db2.gz LOLBEGRIOKYFCJ-UHFFFAOYSA-N -1 1 321.383 1.984 20 0 DDADMM COc1cnccc1[C@@H]([N-]S(=O)(=O)c1cnoc1C)C1CC1 ZINC001187420547 744560357 /nfs/dbraw/zinc/56/03/57/744560357.db2.gz HMKIQKSLWCCKLX-AWEZNQCLSA-N -1 1 323.374 1.816 20 0 DDADMM O=S(=O)([N-]Cc1ccc(-n2cccn2)cc1)c1nccs1 ZINC001187910727 744628785 /nfs/dbraw/zinc/62/87/85/744628785.db2.gz HSINPXHPJDPDCD-UHFFFAOYSA-N -1 1 320.399 1.807 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cccc(-c2ncccn2)c1 ZINC001188397660 744698994 /nfs/dbraw/zinc/69/89/94/744698994.db2.gz PPALEPWTOYDKGK-UHFFFAOYSA-N -1 1 324.300 1.179 20 0 DDADMM COc1ccc(NC(=O)NCC[P@](=O)([O-])O)c(OC)c1 ZINC001188561625 744717314 /nfs/dbraw/zinc/71/73/14/744717314.db2.gz GQOGPYARVYOJDU-UHFFFAOYSA-N -1 1 304.239 1.003 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ccncc1[O-])c1cccnc1 ZINC001188637618 744744145 /nfs/dbraw/zinc/74/41/45/744744145.db2.gz FPTZUEXVWQOBFY-LBPRGKRZSA-N -1 1 301.302 1.216 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)Cc2cccc(F)c2F)CC1 ZINC001189472544 744896575 /nfs/dbraw/zinc/89/65/75/744896575.db2.gz VMWQBDDMUQDZKQ-UHFFFAOYSA-N -1 1 319.329 1.480 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001189518773 744910877 /nfs/dbraw/zinc/91/08/77/744910877.db2.gz CXNQIINQIYBYQU-UHFFFAOYSA-N -1 1 318.211 1.764 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C(C)(C)C)CCCN1C(=O)c1ncccc1[O-] ZINC001015218453 744918296 /nfs/dbraw/zinc/91/82/96/744918296.db2.gz FVOZJGMXMFTFQI-VXGBXAGGSA-N -1 1 319.405 1.943 20 0 DDADMM Cc1nc([N-]S(=O)(=O)Cc2cc(F)ccc2F)cc(=O)[nH]1 ZINC001189649247 744946967 /nfs/dbraw/zinc/94/69/67/744946967.db2.gz NLYNEZHHFUIKDT-UHFFFAOYSA-N -1 1 315.301 1.711 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnccc2C(=O)OC)n1 ZINC001189792485 744983309 /nfs/dbraw/zinc/98/33/09/744983309.db2.gz KSPZMYAHUUHNKF-UHFFFAOYSA-N -1 1 318.289 1.020 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(C2CCC2)C1)c1ncc(F)cc1F ZINC001190204799 745151797 /nfs/dbraw/zinc/15/17/97/745151797.db2.gz BGCDDFITRXVNIZ-JTQLQIEISA-N -1 1 317.361 1.265 20 0 DDADMM CCCN(CCOC)C(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190618763 745260274 /nfs/dbraw/zinc/26/02/74/745260274.db2.gz YCIHMNMNFHKQRK-UHFFFAOYSA-N -1 1 316.361 1.743 20 0 DDADMM CSc1ncc(C(=O)N2CCO[C@H](C(F)(F)F)C2)c(=O)[n-]1 ZINC001191429993 745510257 /nfs/dbraw/zinc/51/02/57/745510257.db2.gz CHQMEXUTOGPXSY-ZETCQYMHSA-N -1 1 323.296 1.307 20 0 DDADMM CSc1ncc(C(=O)Nc2cnn3cc(F)ccc23)c(=O)[n-]1 ZINC001191429822 745510311 /nfs/dbraw/zinc/51/03/11/745510311.db2.gz UPCNMKDOCJDXFM-UHFFFAOYSA-N -1 1 319.321 1.943 20 0 DDADMM C[C@H](CCNc1cnc(F)cn1)NC(=O)c1ncccc1[O-] ZINC001106296291 745562361 /nfs/dbraw/zinc/56/23/61/745562361.db2.gz NAWFUOYNFCFYKB-SECBINFHSA-N -1 1 305.313 1.337 20 0 DDADMM Cc1nc(C(=O)[N-]c2ncnc3c2ncn3[C@H]2CCCO2)no1 ZINC001191990595 745660224 /nfs/dbraw/zinc/66/02/24/745660224.db2.gz TYZLMLPKCQXLTC-MRVPVSSYSA-N -1 1 315.293 1.079 20 0 DDADMM CC(C)NC(=O)[C@@H](NC(=O)c1cc([O-])cc(F)c1F)C(C)C ZINC001192679649 745859348 /nfs/dbraw/zinc/85/93/48/745859348.db2.gz YHMZHZKSKNHUKG-ZDUSSCGKSA-N -1 1 314.332 1.949 20 0 DDADMM C[C@@H]1COCCN1CCNC(=O)c1cc([O-])cc(F)c1F ZINC001192683485 745861714 /nfs/dbraw/zinc/86/17/14/745861714.db2.gz DNHYRKFTQNUABW-SECBINFHSA-N -1 1 300.305 1.121 20 0 DDADMM CSc1nc(NC(=O)c2cc(C)nc(Cl)n2)cc(=O)[n-]1 ZINC001192801915 745897947 /nfs/dbraw/zinc/89/79/47/745897947.db2.gz CZDOJZZFPWULRG-UHFFFAOYSA-N -1 1 311.754 1.908 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc(C)c(O)c1 ZINC001193057548 745975387 /nfs/dbraw/zinc/97/53/87/745975387.db2.gz IYRIEVJBHFZICJ-UHFFFAOYSA-N -1 1 301.364 1.784 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2ccnc(F)c2)c1Cl ZINC001193149578 745997399 /nfs/dbraw/zinc/99/73/99/745997399.db2.gz YMADDOPLQDNQOE-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM Cc1ccc(=O)[nH]c1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001193183500 746021959 /nfs/dbraw/zinc/02/19/59/746021959.db2.gz FTILSORPMGIIQY-UHFFFAOYSA-N -1 1 318.293 1.307 20 0 DDADMM Cc1cnc(Cl)nc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001193232890 746024255 /nfs/dbraw/zinc/02/42/55/746024255.db2.gz QESHZUSNGJVDED-UHFFFAOYSA-N -1 1 306.635 1.828 20 0 DDADMM O=C(N[C@@H]1CCCN(Cc2ccns2)C1)c1ncccc1[O-] ZINC001007187771 752053417 /nfs/dbraw/zinc/05/34/17/752053417.db2.gz GMWOWDOOEUEVJN-LLVKDONJSA-N -1 1 318.402 1.638 20 0 DDADMM COc1ccnc(C(=O)Nc2ccc3c(c2)CCNC3=O)c1[O-] ZINC001193532063 746122550 /nfs/dbraw/zinc/12/25/50/746122550.db2.gz SJZYGAJMAHLEFA-UHFFFAOYSA-N -1 1 313.313 1.334 20 0 DDADMM COc1ccnc(C(=O)Nc2cccc3c2CC(=O)NC3)c1[O-] ZINC001193532359 746122558 /nfs/dbraw/zinc/12/25/58/746122558.db2.gz WKBGGCVAZQQEQO-UHFFFAOYSA-N -1 1 313.313 1.220 20 0 DDADMM CCOC(=O)c1ncc(NC(=O)c2nccc(OC)c2[O-])cn1 ZINC001193525130 746134142 /nfs/dbraw/zinc/13/41/42/746134142.db2.gz VMAZKQJMWOKWIT-UHFFFAOYSA-N -1 1 318.289 1.015 20 0 DDADMM O=C(c1ccc(O)cc1C(F)(F)F)N1CC[N@H+]2CC[C@@H]2C1 ZINC001193746275 746195168 /nfs/dbraw/zinc/19/51/68/746195168.db2.gz SEQMCYUHFFDAOX-SECBINFHSA-N -1 1 300.280 1.941 20 0 DDADMM Nc1cn(C(=O)c2ccc([O-])cc2C(F)(F)F)c(N)nc1=O ZINC001193759309 746198021 /nfs/dbraw/zinc/19/80/21/746198021.db2.gz SJADIDPMOCLTOX-UHFFFAOYSA-N -1 1 314.223 1.063 20 0 DDADMM Cc1nc2nc[nH]c2c(NC(=O)c2ccc(F)c([O-])c2F)n1 ZINC001193770167 746201124 /nfs/dbraw/zinc/20/11/24/746201124.db2.gz OZCMHHOMMNDUDQ-UHFFFAOYSA-N -1 1 305.244 1.897 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2[nH]c(=O)ccc2c1 ZINC001193930032 746224713 /nfs/dbraw/zinc/22/47/13/746224713.db2.gz RRHKFKOJAGVSQZ-UHFFFAOYSA-N -1 1 315.354 1.911 20 0 DDADMM O=c1[nH]cncc1[N-]S(=O)(=O)Cc1noc2ccccc12 ZINC001194059659 746267788 /nfs/dbraw/zinc/26/77/88/746267788.db2.gz WNAZCWXPUPAINJ-UHFFFAOYSA-N -1 1 306.303 1.265 20 0 DDADMM C[C@@]1(O)C[C@@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC001194578373 746413542 /nfs/dbraw/zinc/41/35/42/746413542.db2.gz NESYOADWWKPDHH-GVJMRKKJSA-N -1 1 310.297 1.292 20 0 DDADMM O=C1CCC(S(=O)(=O)[N-]c2cccnc2OC(F)F)CC1 ZINC001194763736 746460500 /nfs/dbraw/zinc/46/05/00/746460500.db2.gz HEWLZIVMYQSSPR-UHFFFAOYSA-N -1 1 320.317 1.936 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cnc(Cl)cc1C(=O)OC ZINC001195458795 746606350 /nfs/dbraw/zinc/60/63/50/746606350.db2.gz VQXFVTKCNRXORR-UHFFFAOYSA-N -1 1 317.754 1.965 20 0 DDADMM NC(=S)c1cccc(NC(=O)c2c[nH]c(=S)[n-]c2=O)c1 ZINC001196022180 746759284 /nfs/dbraw/zinc/75/92/84/746759284.db2.gz YDEDUKMICWYHFK-UHFFFAOYSA-N -1 1 306.372 1.357 20 0 DDADMM O=C(NCc1cc(F)c(F)c(F)c1)c1c[nH]c(=S)[n-]c1=O ZINC001196022992 746759930 /nfs/dbraw/zinc/75/99/30/746759930.db2.gz AJPICQBPKVRSJQ-UHFFFAOYSA-N -1 1 315.276 1.818 20 0 DDADMM O=C(NCC(F)(F)c1ccccn1)c1c[nH]c(=S)[n-]c1=O ZINC001196025135 746760976 /nfs/dbraw/zinc/76/09/76/746760976.db2.gz XJADPKCNNZRPQJ-UHFFFAOYSA-N -1 1 312.301 1.388 20 0 DDADMM O=C(NCCc1cc(F)cc(F)c1)c1c[nH]c(=S)[n-]c1=O ZINC001196026725 746765678 /nfs/dbraw/zinc/76/56/78/746765678.db2.gz DQVVYDVKAIHYQC-UHFFFAOYSA-N -1 1 311.313 1.722 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-][C@@H]1CCCCC1(F)F ZINC001196973701 747012165 /nfs/dbraw/zinc/01/21/65/747012165.db2.gz BTOGOGMOBLBEIR-SNVBAGLBSA-N -1 1 313.366 1.827 20 0 DDADMM COc1ccc(CO)cc1[N-]S(=O)(=O)Cc1cccnc1 ZINC001197465402 747165776 /nfs/dbraw/zinc/16/57/76/747165776.db2.gz VOSRJWZZTVEKDO-UHFFFAOYSA-N -1 1 308.359 1.524 20 0 DDADMM CCCOC(=O)C[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC001197634827 747231938 /nfs/dbraw/zinc/23/19/38/747231938.db2.gz UQBUGEBCTHYRHD-UHFFFAOYSA-N -1 1 307.318 1.337 20 0 DDADMM Cc1cc(CN2CC(CNC(=O)c3ncccc3[O-])C2)sn1 ZINC001031628087 747252226 /nfs/dbraw/zinc/25/22/26/747252226.db2.gz CEGBNKUCSRJROK-UHFFFAOYSA-N -1 1 318.402 1.414 20 0 DDADMM COCCNC(=S)Nc1nc(Br)ccc1[O-] ZINC001197821551 747288760 /nfs/dbraw/zinc/28/87/60/747288760.db2.gz PDWWRCYICSHNBS-UHFFFAOYSA-N -1 1 306.185 1.483 20 0 DDADMM COc1ncc(NS(=O)(=O)c2ccccc2C(=O)[O-])c(C)n1 ZINC001198740331 747586061 /nfs/dbraw/zinc/58/60/61/747586061.db2.gz FGVOJLCKUPNLMV-UHFFFAOYSA-N -1 1 323.330 1.293 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc(OCC)nc2)n1 ZINC001199225110 747749886 /nfs/dbraw/zinc/74/98/86/747749886.db2.gz CDKYDXPDFAJAGQ-UHFFFAOYSA-N -1 1 305.294 1.027 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cncc(OC(F)F)c1 ZINC001199299997 747780800 /nfs/dbraw/zinc/78/08/00/747780800.db2.gz FHXJDIZXRWSRHF-UHFFFAOYSA-N -1 1 322.231 1.307 20 0 DDADMM O=C([N-]c1noc2nccnc12)c1cc(OC(F)F)ccn1 ZINC001199307104 747784068 /nfs/dbraw/zinc/78/40/68/747784068.db2.gz LUMMSGRKHMKJSB-UHFFFAOYSA-N -1 1 307.216 1.867 20 0 DDADMM O=S(=O)([N-]C1CC(O)C1)c1cc(Cl)c(Cl)cc1F ZINC001201243874 748441933 /nfs/dbraw/zinc/44/19/33/748441933.db2.gz BLYMSQMTKVVTQW-UHFFFAOYSA-N -1 1 314.165 1.934 20 0 DDADMM CC(=O)C[N-]S(=O)(=O)c1cc(Cl)c(Cl)cc1F ZINC001201275594 748464955 /nfs/dbraw/zinc/46/49/55/748464955.db2.gz BKAIWPWHJMKBRK-UHFFFAOYSA-N -1 1 300.138 2.000 20 0 DDADMM CC(C)Oc1cncc([N-]S(=O)(=O)c2ccc(N)c(N)c2)c1 ZINC001201547512 748537389 /nfs/dbraw/zinc/53/73/89/748537389.db2.gz NRZRYBIHXWVGQH-UHFFFAOYSA-N -1 1 322.390 1.834 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2sc(Cl)nc2C)nn1C ZINC001201766860 748598502 /nfs/dbraw/zinc/59/85/02/748598502.db2.gz KQKPDCWSPBCXQB-UHFFFAOYSA-N -1 1 320.827 1.625 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C1CN(C(C)(C)C)C1 ZINC001201769575 748600120 /nfs/dbraw/zinc/60/01/20/748600120.db2.gz SHMVFYDNWUVHQN-UHFFFAOYSA-N -1 1 323.871 1.866 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1ccc[nH]1 ZINC001004722886 748677481 /nfs/dbraw/zinc/67/74/81/748677481.db2.gz BMPFDEIHPVHAFL-CMPLNLGQSA-N -1 1 318.381 1.011 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)NC(=O)c1ccccc1 ZINC001202442923 748781901 /nfs/dbraw/zinc/78/19/01/748781901.db2.gz VZZDPSIKWIQPRQ-UHFFFAOYSA-N -1 1 303.234 1.248 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)CC1CCC1 ZINC001004800871 748808531 /nfs/dbraw/zinc/80/85/31/748808531.db2.gz PTNVJTOCYLZRRA-DGCLKSJQSA-N -1 1 321.425 1.560 20 0 DDADMM C[C@H](C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C1CCC1 ZINC000995965241 749304670 /nfs/dbraw/zinc/30/46/70/749304670.db2.gz IIWIFTCKDBMWER-JQWIXIFHSA-N -1 1 321.425 1.273 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])c1cc(C)ncn1 ZINC001095863092 750602184 /nfs/dbraw/zinc/60/21/84/750602184.db2.gz VOGCANXVVCCTKS-UHFFFAOYSA-N -1 1 315.377 1.532 20 0 DDADMM Cc1ccnc(NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001060856593 751020265 /nfs/dbraw/zinc/02/02/65/751020265.db2.gz MHXXAHGGLMTVQY-LBPRGKRZSA-N -1 1 313.361 1.460 20 0 DDADMM Cc1ccc(NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC001060856579 751020304 /nfs/dbraw/zinc/02/03/04/751020304.db2.gz LZTYBQMCCWMRCJ-LBPRGKRZSA-N -1 1 313.361 1.460 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@@H]2CNCc2nccs2)c1[O-] ZINC001034908863 751027539 /nfs/dbraw/zinc/02/75/39/751027539.db2.gz RSWWDPCEQJUCFM-SNVBAGLBSA-N -1 1 321.406 1.275 20 0 DDADMM Cc1cc(NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)ncn1 ZINC001060857066 751028633 /nfs/dbraw/zinc/02/86/33/751028633.db2.gz ZDHSOQSZLHQWTC-GFCCVEGCSA-N -1 1 313.361 1.460 20 0 DDADMM CC(C)CC(=O)N1CCC[C@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036494937 752485396 /nfs/dbraw/zinc/48/53/96/752485396.db2.gz RZWWKXPOKYQQSX-NWDGAFQWSA-N -1 1 309.414 1.273 20 0 DDADMM C[C@H]1CCCN(C(=O)C=C2CCC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036535577 752527054 /nfs/dbraw/zinc/52/70/54/752527054.db2.gz XJDFOZGZKJZFSO-WCQYABFASA-N -1 1 319.409 1.337 20 0 DDADMM CC[C@H]1CCC[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000999149027 752563074 /nfs/dbraw/zinc/56/30/74/752563074.db2.gz BBYSVWYNMLTMMT-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])CC2(C)C)CC1 ZINC001008227469 752618975 /nfs/dbraw/zinc/61/89/75/752618975.db2.gz ANWMOLUJTIPEBQ-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)CC(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008970735 753014731 /nfs/dbraw/zinc/01/47/31/753014731.db2.gz AUBQPAAJUUCZMB-XYYAHUGASA-N -1 1 317.389 1.268 20 0 DDADMM CC(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001009763496 753348210 /nfs/dbraw/zinc/34/82/10/753348210.db2.gz CPMWATPOOFGLDN-RWMBFGLXSA-N -1 1 303.362 1.164 20 0 DDADMM CC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001009763487 753348581 /nfs/dbraw/zinc/34/85/81/753348581.db2.gz CPMWATPOOFGLDN-FRRDWIJNSA-N -1 1 303.362 1.164 20 0 DDADMM CC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001009827177 753394752 /nfs/dbraw/zinc/39/47/52/753394752.db2.gz COEHHEADQUQXKN-XQQFMLRXSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CC2(C)CC2)C1 ZINC001005961394 753424861 /nfs/dbraw/zinc/42/48/61/753424861.db2.gz YXTAFIVFRBHGKD-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)CC1CC1 ZINC001009961283 753504768 /nfs/dbraw/zinc/50/47/68/753504768.db2.gz RYVNTUCWZZTGOK-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001078647981 754268525 /nfs/dbraw/zinc/26/85/25/754268525.db2.gz NXBCRYJPKRFELT-QJPTWQEYSA-N -1 1 305.378 1.458 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036352 754318981 /nfs/dbraw/zinc/31/89/81/754318981.db2.gz JBBBRJRWXXXBPR-LBPRGKRZSA-N -1 1 309.341 1.066 20 0 DDADMM CCC(=O)N1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]1C ZINC001011340894 754448724 /nfs/dbraw/zinc/44/87/24/754448724.db2.gz STCMWOMHJQWRFK-CABZTGNLSA-N -1 1 318.377 1.189 20 0 DDADMM Cc1nccc(NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC001064897004 754937352 /nfs/dbraw/zinc/93/73/52/754937352.db2.gz FNWVTMBKUDLLHC-LBPRGKRZSA-N -1 1 313.361 1.602 20 0 DDADMM CC/C=C(\C)C(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079414502 755299252 /nfs/dbraw/zinc/29/92/52/755299252.db2.gz ZUSRIFMFWHNDDC-KPKJPENVSA-N -1 1 317.389 1.816 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)CC1CC1 ZINC001014894311 756004573 /nfs/dbraw/zinc/00/45/73/756004573.db2.gz OSGXBNWUKWSBLE-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001014896051 756005385 /nfs/dbraw/zinc/00/53/85/756005385.db2.gz VVNVDEXXHNMVNW-VVBALGDRSA-N -1 1 317.389 1.863 20 0 DDADMM CC[C@H](F)CN1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001083059392 757108093 /nfs/dbraw/zinc/10/80/93/757108093.db2.gz BUSXRBCLYRREPE-SGMGOOAPSA-N -1 1 323.368 1.061 20 0 DDADMM CCc1ccc(F)cc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000823523383 758322895 /nfs/dbraw/zinc/32/28/95/758322895.db2.gz WOPXXLZEYKFKMX-UHFFFAOYSA-N -1 1 303.341 1.921 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1[C@H](C)O[C@H](C)[C@H]1C)c1nn[n-]n1 ZINC000824912914 759212522 /nfs/dbraw/zinc/21/25/22/759212522.db2.gz INPPZEZJTQELCT-UVOCVTCTSA-N -1 1 313.427 1.170 20 0 DDADMM CC1(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001085299487 759246325 /nfs/dbraw/zinc/24/63/25/759246325.db2.gz XJJAMJIJBOWIGM-GHMZBOCLSA-N -1 1 307.398 1.218 20 0 DDADMM C[C@H]1CN(C(=O)C(F)=C2CCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054567672 759825126 /nfs/dbraw/zinc/82/51/26/759825126.db2.gz QVHFMOFMIXUHEX-GXSJLCMTSA-N -1 1 323.372 1.244 20 0 DDADMM CC1(C)CCC[C@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001019843049 760289802 /nfs/dbraw/zinc/28/98/02/760289802.db2.gz UFGRFJBDDHYVGC-RYUDHWBXSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1csc(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001038190757 760905866 /nfs/dbraw/zinc/90/58/66/760905866.db2.gz DZKWTKWYOGSEFF-LLVKDONJSA-N -1 1 318.402 1.556 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1c1ncccn1 ZINC001069359664 767990869 /nfs/dbraw/zinc/99/08/69/767990869.db2.gz PDZYONPKEMVGCQ-VXGBXAGGSA-N -1 1 313.361 1.365 20 0 DDADMM Cc1oncc1CN1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001007188399 761692756 /nfs/dbraw/zinc/69/27/56/761692756.db2.gz IUOQQOCMUHAERO-CYBMUJFWSA-N -1 1 316.361 1.478 20 0 DDADMM CC(F)(F)CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541750 762946195 /nfs/dbraw/zinc/94/61/95/762946195.db2.gz OAYZFKSGIARKSP-UHFFFAOYSA-N -1 1 313.304 1.021 20 0 DDADMM CC[C@H](F)CN1C[C@@H]2CCCN(C(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC001050025201 763034512 /nfs/dbraw/zinc/03/45/12/763034512.db2.gz SJDSKQIHXDRFPM-RWMBFGLXSA-N -1 1 324.400 1.708 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1c1cccc(F)n1 ZINC001050205815 763320147 /nfs/dbraw/zinc/32/01/47/763320147.db2.gz BDOGCCQXLOAOBP-MNOVXSKESA-N -1 1 316.336 1.719 20 0 DDADMM C[C@H](NC(=O)CCCF)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532248 763719811 /nfs/dbraw/zinc/71/98/11/763719811.db2.gz XUGYJDIRJQCMSC-RYUDHWBXSA-N -1 1 323.368 1.504 20 0 DDADMM Cc1cc(NC[C@H](NC(=O)c2ncccc2[O-])C2CC2)ncn1 ZINC001109821362 764103920 /nfs/dbraw/zinc/10/39/20/764103920.db2.gz DGJWEPZKIKEFNF-LBPRGKRZSA-N -1 1 313.361 1.506 20 0 DDADMM CCCCN1CC(N(C)C(=O)Cc2cc(=O)n(CC(C)C)[n-]2)C1 ZINC001043243936 764789242 /nfs/dbraw/zinc/78/92/42/764789242.db2.gz ZLWDHVBIZVSPML-UHFFFAOYSA-N -1 1 322.453 1.318 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H](C)Nc1cncc(Cl)n1 ZINC001112983721 765082777 /nfs/dbraw/zinc/08/27/77/765082777.db2.gz PMJYIXUQEZJUQE-RKDXNWHRSA-N -1 1 321.768 1.850 20 0 DDADMM Cc1cc(C)nc(N[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112982920 765099207 /nfs/dbraw/zinc/09/92/07/765099207.db2.gz CWZVVQQNWCHLRA-RYUDHWBXSA-N -1 1 315.377 1.813 20 0 DDADMM C[C@@H]1c2ccc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)cc2NC1=O ZINC001170216385 766174919 /nfs/dbraw/zinc/17/49/19/766174919.db2.gz KJRNACAKQDCJPH-RXMQYKEDSA-N -1 1 312.289 1.515 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(-n4ccnc4)nc3)c2[nH]1 ZINC001170219176 766177850 /nfs/dbraw/zinc/17/78/50/766177850.db2.gz KWNBVWSYPZTPSF-UHFFFAOYSA-N -1 1 310.277 1.040 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nccc(-c3cccnc3)n2)[n-]1 ZINC001170226758 766200385 /nfs/dbraw/zinc/20/03/85/766200385.db2.gz SWSAJOADEJTGNH-UHFFFAOYSA-N -1 1 306.289 1.654 20 0 DDADMM Cc1cc(N[C@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)ncn1 ZINC001069065545 767814935 /nfs/dbraw/zinc/81/49/35/767814935.db2.gz LHMWVGQWDXPTFW-NEPJUHHUSA-N -1 1 313.361 1.601 20 0 DDADMM CCC(CC)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648847 768644020 /nfs/dbraw/zinc/64/40/20/768644020.db2.gz ZORXEXUZQFXYOO-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM CCCCCC[C@H](CC)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001132328315 768757326 /nfs/dbraw/zinc/75/73/26/768757326.db2.gz ITURNSUERDLFIU-LBPRGKRZSA-N -1 1 311.430 1.713 20 0 DDADMM CC(C)C[C@@H](O)C(=O)Nc1nc(Br)ccc1[O-] ZINC001144164943 772426350 /nfs/dbraw/zinc/42/63/50/772426350.db2.gz DGSNUOHJTPFZOS-MRVPVSSYSA-N -1 1 303.156 1.895 20 0 DDADMM CCOc1cc([N-]C(=O)c2cc(OC)no2)cc(C(=O)OC)n1 ZINC001146932862 772978748 /nfs/dbraw/zinc/97/87/48/772978748.db2.gz ZXONBUAOFNUFIV-UHFFFAOYSA-N -1 1 321.289 1.516 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CC(C)(C)C[C@@]2(C)CO)c1[O-] ZINC001147835327 773262062 /nfs/dbraw/zinc/26/20/62/773262062.db2.gz DWYXGINQVKTCKH-INIZCTEOSA-N -1 1 308.378 1.211 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@H](C)CCCC(C)(C)O)c1[O-] ZINC001147843935 773268176 /nfs/dbraw/zinc/26/81/76/773268176.db2.gz JCJQNQARAIFUKR-SNVBAGLBSA-N -1 1 310.394 1.647 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)c1ccc(-n2cccn2)cc1 ZINC001148085306 773357968 /nfs/dbraw/zinc/35/79/68/773357968.db2.gz DGEWLOIQZZGCRP-NSHDSACASA-N -1 1 311.349 1.195 20 0 DDADMM COc1cc(C)ccc1C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001148577467 773536406 /nfs/dbraw/zinc/53/64/06/773536406.db2.gz PPNQAWFEDGGXEY-UHFFFAOYSA-N -1 1 315.289 1.285 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1ccc(-n2cccc2)cc1 ZINC001148687032 773565417 /nfs/dbraw/zinc/56/54/17/773565417.db2.gz NGEIQUADXCVZGY-UHFFFAOYSA-N -1 1 311.301 1.513 20 0 DDADMM O=C(NC1CN(C2COC2)C1)c1cccc(-c2ccccc2)c1[O-] ZINC001148872991 773622399 /nfs/dbraw/zinc/62/23/99/773622399.db2.gz PAHZDGUNTULMNK-UHFFFAOYSA-N -1 1 324.380 1.872 20 0 DDADMM Cc1cnc(C)c(NC(=O)c2cc(S(=O)(=O)[O-])ccc2O)n1 ZINC001148915236 773633115 /nfs/dbraw/zinc/63/31/15/773633115.db2.gz MNEBWRUMWUUVTQ-UHFFFAOYSA-N -1 1 323.330 1.298 20 0 DDADMM C[C@@H]1CC[C@@H]([NH2+]CCP(=O)([O-])[O-])CN1C(=O)OC(C)(C)C ZINC001173058330 776968019 /nfs/dbraw/zinc/96/80/19/776968019.db2.gz QFGCDCCMKISTJR-GHMZBOCLSA-N -1 1 322.342 1.542 20 0 DDADMM CC(=O)NCc1cccc(Nc2cc(=O)[n-]c(N(C)C)n2)c1 ZINC001174366125 777467030 /nfs/dbraw/zinc/46/70/30/777467030.db2.gz BPGIJGYRBZTVQQ-UHFFFAOYSA-N -1 1 301.350 1.628 20 0 DDADMM O=C(N[C@@H]1SC(=O)[N-]C1=O)c1cc2c(Cl)[nH]ccc-2n1 ZINC001174584315 777512178 /nfs/dbraw/zinc/51/21/78/777512178.db2.gz GUZLNHQRXNEYPM-SNVBAGLBSA-N -1 1 310.722 1.255 20 0 DDADMM CS(=O)(=O)Nc1ccc2cc([N-]c3nonc3N)ccc2c1 ZINC001175532774 777826031 /nfs/dbraw/zinc/82/60/31/777826031.db2.gz YGORISNBBGRBKF-UHFFFAOYSA-N -1 1 319.346 1.920 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccc(OCc2ccncc2)cc1 ZINC001176845020 778299734 /nfs/dbraw/zinc/29/97/34/778299734.db2.gz MRABRNDHECVLHS-UHFFFAOYSA-N -1 1 310.317 1.355 20 0 DDADMM COC[C@@H](NC(=O)c1cc(F)c(F)cc1Cl)c1nn[n-]n1 ZINC001177823090 778730508 /nfs/dbraw/zinc/73/05/08/778730508.db2.gz JRURXMAPXRCSNP-SECBINFHSA-N -1 1 317.683 1.249 20 0 DDADMM Cc1[nH]c(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)c(C)c1C=O ZINC001177871483 778749522 /nfs/dbraw/zinc/74/95/22/778749522.db2.gz WHWJPWNGAYMKTN-LLVKDONJSA-N -1 1 316.365 1.052 20 0 DDADMM COCC(C)(C)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692821645 779489908 /nfs/dbraw/zinc/48/99/08/779489908.db2.gz HQSINHLSJVHOMB-UHFFFAOYSA-N -1 1 310.778 1.825 20 0 DDADMM CSc1nc(NC(=O)Cn2nc3ccccc3n2)cc(=O)[n-]1 ZINC001180291162 779707755 /nfs/dbraw/zinc/70/77/55/779707755.db2.gz MNMJQXDGHQIJOY-UHFFFAOYSA-N -1 1 316.346 1.288 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)CSCc1ccc(F)cc1 ZINC001180568972 779838251 /nfs/dbraw/zinc/83/82/51/779838251.db2.gz TYGGGWTZDFLKMK-UHFFFAOYSA-N -1 1 324.337 1.481 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])Nc1ncc(F)cn1 ZINC001115719108 780374261 /nfs/dbraw/zinc/37/42/61/780374261.db2.gz HPDYHXVYIMSCAN-VIFPVBQESA-N -1 1 305.313 1.289 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2nnc(-c3cccc(F)c3)o2)n[n-]1 ZINC001117105745 780658345 /nfs/dbraw/zinc/65/83/45/780658345.db2.gz RJRYNQWFIVQLRH-UHFFFAOYSA-N -1 1 323.309 1.276 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CN(C(=O)c1ccc([O-])cc1Cl)C2 ZINC001269762228 842023370 /nfs/dbraw/zinc/02/33/70/842023370.db2.gz KOVLILFFALKSCP-RFAUZJTJSA-N -1 1 308.765 1.596 20 0 DDADMM Cc1cc2[nH]nc(NC(=O)c3cncc4[nH]ccc43)c2c(=O)n1C ZINC001142821100 861270898 /nfs/dbraw/zinc/27/08/98/861270898.db2.gz BEFDQKMEUMAUME-UHFFFAOYSA-N -1 1 322.328 1.699 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](CO)CCC(C)C)sn1 ZINC001364006277 842936871 /nfs/dbraw/zinc/93/68/71/842936871.db2.gz GBRBRHDZAURYGP-SECBINFHSA-N -1 1 308.425 1.227 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)N3CCn4cnnc4C3)cc2c1 ZINC001154744008 861414490 /nfs/dbraw/zinc/41/44/90/861414490.db2.gz GRORCZLNRBRVQI-UHFFFAOYSA-N -1 1 324.340 1.802 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@@H](C)CC ZINC001409261076 844725514 /nfs/dbraw/zinc/72/55/14/844725514.db2.gz CLGUCDAGTZVODP-NWDGAFQWSA-N -1 1 307.394 1.848 20 0 DDADMM Cc1ncc(CN(C)[C@H](C)CNC(=O)c2ncccc2[O-])cn1 ZINC001409540726 845280419 /nfs/dbraw/zinc/28/04/19/845280419.db2.gz OHUPGCKMGXCFHZ-LLVKDONJSA-N -1 1 315.377 1.136 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2CCO[C@@H](C3CC3)C2)sn1 ZINC001414241292 845799465 /nfs/dbraw/zinc/79/94/65/845799465.db2.gz KVQZXZWFSGZWTG-VHSXEESVSA-N -1 1 318.420 1.388 20 0 DDADMM O=C(C1CCCC1)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272327831 846037000 /nfs/dbraw/zinc/03/70/00/846037000.db2.gz ADTATPYRKQDWTG-NSHDSACASA-N -1 1 307.398 1.029 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2CCO[C@@H](C)C2)sn1 ZINC001365381320 846159446 /nfs/dbraw/zinc/15/94/46/846159446.db2.gz NEWZEVHYAZQEPZ-DTWKUNHWSA-N -1 1 306.409 1.245 20 0 DDADMM CCN(CCC(N)=O)C(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149647283 861779783 /nfs/dbraw/zinc/77/97/83/861779783.db2.gz XJSBULWSCDMVMV-UHFFFAOYSA-N -1 1 302.330 1.589 20 0 DDADMM CCOC(CCNC(=O)c1[n-][nH]c2cc(=O)ccc1-2)OCC ZINC001155269432 861915030 /nfs/dbraw/zinc/91/50/30/861915030.db2.gz FXGDRCNCRFOJET-UHFFFAOYSA-N -1 1 307.350 1.788 20 0 DDADMM O=C(N[C@@H]1CCc2[nH]c(=O)ccc2C1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155269456 861915797 /nfs/dbraw/zinc/91/57/97/861915797.db2.gz HBVWFXICCVVVCG-SNVBAGLBSA-N -1 1 324.340 1.656 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCc3cccc(C)c32)[n-]n1 ZINC001364820581 848922804 /nfs/dbraw/zinc/92/28/04/848922804.db2.gz IEIFQFKXZBOPRQ-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCc3cccc(C)c32)n[n-]1 ZINC001364820581 848922809 /nfs/dbraw/zinc/92/28/09/848922809.db2.gz IEIFQFKXZBOPRQ-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM O=C1N(CC(F)F)CC[C@]12CCC[N@H+]2Cc1ncccc1O ZINC001273327111 849539911 /nfs/dbraw/zinc/53/99/11/849539911.db2.gz OONZJMDFEVWBNK-OAHLLOKOSA-N -1 1 311.332 1.619 20 0 DDADMM C[C@]1(CNC(=O)C2CC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC001411054328 850161329 /nfs/dbraw/zinc/16/13/29/850161329.db2.gz GCXMZSUHLJJRLX-MRXNPFEDSA-N -1 1 303.362 1.166 20 0 DDADMM COc1ncc(Cc2csc(C)n2)cc1[N-]S(C)(=O)=O ZINC001250197867 851037287 /nfs/dbraw/zinc/03/72/87/851037287.db2.gz CGVQXPAPMMRXIS-UHFFFAOYSA-N -1 1 313.404 1.817 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cccc2c1CCCO2 ZINC001155597500 862277546 /nfs/dbraw/zinc/27/75/46/862277546.db2.gz NZHNHSYNWKPGAP-UHFFFAOYSA-N -1 1 302.290 1.047 20 0 DDADMM O=C([O-])c1ccsc1CN1CC2(CN(Cc3cn[nH]c3)C2)C1 ZINC001275157666 852848853 /nfs/dbraw/zinc/84/88/53/852848853.db2.gz QKHZBUKLZAYWMV-UHFFFAOYSA-N -1 1 318.402 1.487 20 0 DDADMM CC(C)(C)NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1ccc(F)c([O-])c1)C2 ZINC001275408234 853079346 /nfs/dbraw/zinc/07/93/46/853079346.db2.gz MNVAXSXSQRWVHH-PIGZYNQJSA-N -1 1 320.364 1.908 20 0 DDADMM CCc1ccc(NC(=O)c2ccc([O-])cn2)cc1S(N)(=O)=O ZINC001411701923 853605218 /nfs/dbraw/zinc/60/52/18/853605218.db2.gz KBOVGWOQKBMOIF-UHFFFAOYSA-N -1 1 321.358 1.249 20 0 DDADMM Cc1nnc(CNC(=O)c2ccc(C(F)(F)F)c([O-])c2)n1C ZINC001411947445 854021835 /nfs/dbraw/zinc/02/18/35/854021835.db2.gz DFDDWGKPRQVQHX-UHFFFAOYSA-N -1 1 314.267 1.778 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2cnncc2[O-])c(OC)c1 ZINC001412031313 854132766 /nfs/dbraw/zinc/13/27/66/854132766.db2.gz DXVIDVGUKDYHEC-UHFFFAOYSA-N -1 1 303.274 1.230 20 0 DDADMM Cc1cc(N2CCC(NC(=O)c3cccc([O-])c3F)CC2)n[nH]1 ZINC001412043979 854145387 /nfs/dbraw/zinc/14/53/87/854145387.db2.gz HCLTVRKJOZRXRU-UHFFFAOYSA-N -1 1 318.352 1.962 20 0 DDADMM CC(C)c1nnsc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001412060966 854171085 /nfs/dbraw/zinc/17/10/85/854171085.db2.gz XBDNIEHYPAPZJX-MRVPVSSYSA-N -1 1 307.383 1.194 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CCC(C)(C)CO3)nc2n1 ZINC001412160591 854277696 /nfs/dbraw/zinc/27/76/96/854277696.db2.gz MTFHWOHCDMAKKP-SNVBAGLBSA-N -1 1 319.365 1.260 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](O)c2cccc(OC)c2)n1 ZINC001412215078 854342150 /nfs/dbraw/zinc/34/21/50/854342150.db2.gz XLRUWURTXDNQDP-LBPRGKRZSA-N -1 1 319.317 1.267 20 0 DDADMM O=C(NC[C@@H]1CNC(=O)C1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001412244279 854370079 /nfs/dbraw/zinc/37/00/79/854370079.db2.gz HJCRCDCTEXSWKZ-ZETCQYMHSA-N -1 1 302.252 1.277 20 0 DDADMM CSc1ncc(C(=O)N[C@H](c2ccccc2)[C@@H](C)O)c(=O)[n-]1 ZINC001412366405 854470855 /nfs/dbraw/zinc/47/08/55/854470855.db2.gz BPXXFFQTFDSINB-SKDRFNHKSA-N -1 1 319.386 1.756 20 0 DDADMM O=C(NCCN1C[C@H]2CCCCN2C1=O)c1ccc(F)c([O-])c1 ZINC001412364942 854477094 /nfs/dbraw/zinc/47/70/94/854477094.db2.gz JAKJVIQOJXSJDN-GFCCVEGCSA-N -1 1 321.352 1.551 20 0 DDADMM C[C@@]1(CO)C[C@@H](O)CN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001412379572 854489736 /nfs/dbraw/zinc/48/97/36/854489736.db2.gz MACVNFFNDARMST-PXAZEXFGSA-N -1 1 317.341 1.209 20 0 DDADMM C[C@]1(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CCOC1 ZINC001412569183 854734603 /nfs/dbraw/zinc/73/46/03/854734603.db2.gz BXIATICODIFUER-AWEZNQCLSA-N -1 1 303.322 1.144 20 0 DDADMM COC[C@H](NC(=O)CCCc1ccc(C)s1)c1nn[n-]n1 ZINC001412609343 854804142 /nfs/dbraw/zinc/80/41/42/854804142.db2.gz OSDFEAQHWRSGFD-NSHDSACASA-N -1 1 309.395 1.396 20 0 DDADMM COC[C@H](NC(=O)c1sc(CC2CC2)nc1C)c1nn[n-]n1 ZINC001412609610 854807319 /nfs/dbraw/zinc/80/73/19/854807319.db2.gz PEXJZOQAIMCNQL-VIFPVBQESA-N -1 1 322.394 1.035 20 0 DDADMM C[C@@H](C(=O)N(C)C[C@H](C)NC(=O)c1cnn[nH]1)c1ccccc1 ZINC001412644952 854870960 /nfs/dbraw/zinc/87/09/60/854870960.db2.gz YDZOBHIIHSQZPW-NWDGAFQWSA-N -1 1 315.377 1.185 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3c[nH]c(=O)c4ccccc34)c1-2 ZINC001151151801 862653255 /nfs/dbraw/zinc/65/32/55/862653255.db2.gz WIBQJJFWCMDLLD-UHFFFAOYSA-N -1 1 320.312 1.541 20 0 DDADMM CCOC(=O)c1cc(NC(=O)C2CCC(NC(C)=O)CC2)n[nH]1 ZINC001412767659 855238951 /nfs/dbraw/zinc/23/89/51/855238951.db2.gz OACTXBXYPKGJDX-UHFFFAOYSA-N -1 1 322.365 1.220 20 0 DDADMM O=C(N[C@H](CO)[C@H]1CCOC1)c1c([O-])cnc2c(F)cccc21 ZINC001412790087 855271139 /nfs/dbraw/zinc/27/11/39/855271139.db2.gz WDAXGTFRWJESTP-JOYOIKCWSA-N -1 1 320.320 1.207 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[S@](=O)[C@H](C)[C@@H]2C)c1 ZINC001156039263 862660488 /nfs/dbraw/zinc/66/04/88/862660488.db2.gz HLPBUHGAWWQUDE-FLCAKIMKSA-N -1 1 309.387 1.495 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NN1C[C@@H]2CCC[C@@H]2C1 ZINC001412825891 855310020 /nfs/dbraw/zinc/31/00/20/855310020.db2.gz MKRRAKPWLRKDNO-TXEJJXNPSA-N -1 1 304.394 1.991 20 0 DDADMM CNC(=O)Nc1cc(NC(=O)CCCc2nn[n-]n2)ccc1F ZINC001412929207 855792922 /nfs/dbraw/zinc/79/29/22/855792922.db2.gz MFHKNNLACIUROV-UHFFFAOYSA-N -1 1 321.316 1.052 20 0 DDADMM CCOC(=O)Cc1cnc(NC(=O)c2cncc([O-])c2)s1 ZINC001413141325 856476057 /nfs/dbraw/zinc/47/60/57/856476057.db2.gz NFANUEKQHISNHE-UHFFFAOYSA-N -1 1 307.331 1.602 20 0 DDADMM CSc1ncc(C(=O)N2CCCC[C@@H]2[C@H](C)CO)c(=O)[n-]1 ZINC001413263734 856585352 /nfs/dbraw/zinc/58/53/52/856585352.db2.gz IYQPUGUBQYSZIZ-MWLCHTKSSA-N -1 1 311.407 1.527 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-][C@H]1c2ccccc2OC[C@@H]1F ZINC001413440991 856818009 /nfs/dbraw/zinc/81/80/09/856818009.db2.gz WJIBZUSSANQLBE-AAEUAGOBSA-N -1 1 312.322 1.566 20 0 DDADMM CCc1nc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c(C)o1 ZINC001413452800 856830494 /nfs/dbraw/zinc/83/04/94/856830494.db2.gz IVVQRXRAXXUAAL-UHFFFAOYSA-N -1 1 318.333 1.044 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1onc2c1CCCC2 ZINC001413502564 856898072 /nfs/dbraw/zinc/89/80/72/856898072.db2.gz JOLNJMZJNYUGFD-UHFFFAOYSA-N -1 1 304.354 1.365 20 0 DDADMM CSc1nc(CNC(=O)Nc2cnc(C)nc2)cc(=O)[n-]1 ZINC001413524385 856931272 /nfs/dbraw/zinc/93/12/72/856931272.db2.gz MHOYUHSUBSWNKV-UHFFFAOYSA-N -1 1 306.351 1.324 20 0 DDADMM COC[C@H](NC(=O)c1cccc(F)c1SC)c1nn[n-]n1 ZINC001413557516 857064838 /nfs/dbraw/zinc/06/48/38/857064838.db2.gz SSQOJLDRMKGHPI-VIFPVBQESA-N -1 1 311.342 1.178 20 0 DDADMM CCC[C@H](O)C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001413716404 858164364 /nfs/dbraw/zinc/16/43/64/858164364.db2.gz WNBBDYRIBCTKHC-JTQLQIEISA-N -1 1 309.366 1.237 20 0 DDADMM COC[C@@H]1CNCCN1C(=O)c1ccc2[n-]c(=S)oc2c1 ZINC001122331205 858757131 /nfs/dbraw/zinc/75/71/31/858757131.db2.gz JWDZUDBBRDEIIX-JTQLQIEISA-N -1 1 307.375 1.177 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CCCF ZINC001381277528 881418622 /nfs/dbraw/zinc/41/86/22/881418622.db2.gz JMAXOGIFPKLGFJ-GFCCVEGCSA-N -1 1 323.368 1.504 20 0 DDADMM Cc1ccccc1-n1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)cn1 ZINC001123868842 859447791 /nfs/dbraw/zinc/44/77/91/859447791.db2.gz JCYVLJXTXXJGPE-LBPRGKRZSA-N -1 1 323.360 1.324 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1ccc(CCO)cc1 ZINC001123984318 859511373 /nfs/dbraw/zinc/51/13/73/859511373.db2.gz UBJCEUWSJHBQBT-UHFFFAOYSA-N -1 1 319.386 1.244 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)cc(Cl)n1 ZINC001123998936 859517574 /nfs/dbraw/zinc/51/75/74/859517574.db2.gz LIBKAEKCIMTLOA-JTQLQIEISA-N -1 1 320.784 1.651 20 0 DDADMM O=C(NCCc1n[n-]c(=S)o1)c1ccc(-c2nnc[nH]2)cc1 ZINC001138524626 860124188 /nfs/dbraw/zinc/12/41/88/860124188.db2.gz GCDBYCDZBJLJEX-UHFFFAOYSA-N -1 1 316.346 1.116 20 0 DDADMM COC(=O)C1CCN(Cc2ccc(OCC(=O)[O-])cc2)CC1 ZINC001138923503 860229838 /nfs/dbraw/zinc/22/98/38/860229838.db2.gz DDXORTOGQNOHQU-UHFFFAOYSA-N -1 1 307.346 1.535 20 0 DDADMM O=C(Cn1nc2ccccn2c1=O)Nc1ccc([O-])c(F)c1F ZINC001140653111 860668475 /nfs/dbraw/zinc/66/84/75/860668475.db2.gz VYGZYFZTEOEGDN-UHFFFAOYSA-N -1 1 320.255 1.119 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H](C)Cc2cnn(C)c2)c1 ZINC001140871980 860720898 /nfs/dbraw/zinc/72/08/98/860720898.db2.gz WBKOLTMYRBWBFS-NSHDSACASA-N -1 1 301.346 1.608 20 0 DDADMM CN(C)C(=O)N[C@@H]1CCCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001140894643 860726434 /nfs/dbraw/zinc/72/64/34/860726434.db2.gz DBIPPGAMWPSNCO-LLVKDONJSA-N -1 1 313.348 1.906 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001140893589 860726926 /nfs/dbraw/zinc/72/69/26/860726926.db2.gz UNQKVGDPIYDXDF-SNVBAGLBSA-N -1 1 305.346 1.679 20 0 DDADMM CCn1c2cc(C(=O)Nc3c(C)[n-][nH]c3=O)ccc2[nH]c1=O ZINC001141577975 860891779 /nfs/dbraw/zinc/89/17/79/860891779.db2.gz ACSGHPNZMUWEQB-UHFFFAOYSA-N -1 1 301.306 1.751 20 0 DDADMM C[C@@]1(O)CCN(C(=O)c2cc(=O)c3cccc(O)c3[n-]2)C[C@@H]1F ZINC001152671707 863485048 /nfs/dbraw/zinc/48/50/48/863485048.db2.gz RVFOPXWOFKGQHJ-XJKSGUPXSA-N -1 1 320.320 1.581 20 0 DDADMM CC(C)(O)CCCNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681023 863489979 /nfs/dbraw/zinc/48/99/79/863489979.db2.gz BLYBYZNHAMDBDI-UHFFFAOYSA-N -1 1 304.346 1.927 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cccc2ncnn21 ZINC001152881234 863583356 /nfs/dbraw/zinc/58/33/56/863583356.db2.gz FWRVTDGGTBQSMM-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM CC1=C(C)C[C@](C)(C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1 ZINC001152935292 863613200 /nfs/dbraw/zinc/61/32/00/863613200.db2.gz NUGPNGKETOBTKT-OAHLLOKOSA-N -1 1 307.398 1.243 20 0 DDADMM O=C(c1c([O-])ccc2ccccc21)N1CC[C@H](O)[C@@H](CO)C1 ZINC001153498412 863916084 /nfs/dbraw/zinc/91/60/84/863916084.db2.gz DQVHVKKLKNYUCM-OCCSQVGLSA-N -1 1 301.342 1.361 20 0 DDADMM Cc1nc2c(cccc2C(=O)NCCCC[P@](=O)([O-])O)[nH]1 ZINC001153614081 863976640 /nfs/dbraw/zinc/97/66/40/863976640.db2.gz SHWHSFLGRANOFY-UHFFFAOYSA-N -1 1 311.278 1.559 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3[nH]cnc3c2)n1 ZINC001153723426 864039119 /nfs/dbraw/zinc/03/91/19/864039119.db2.gz HXIMQKIDCAPDPC-UHFFFAOYSA-N -1 1 300.278 1.110 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2c[n-]c3cccnc3c2=O)on1 ZINC001153854171 864160373 /nfs/dbraw/zinc/16/03/73/864160373.db2.gz OOVHZEYIUAFFSN-VIFPVBQESA-N -1 1 312.329 1.581 20 0 DDADMM O=C(CNC(=O)c1c[n-]c2cccnc2c1=O)Cc1ccccc1 ZINC001153861659 864171582 /nfs/dbraw/zinc/17/15/82/864171582.db2.gz IDBPOVSCQHWBBQ-UHFFFAOYSA-N -1 1 321.336 1.465 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cnc(-c4ccccc4)cn3)c2[nH]1 ZINC001159189639 865156240 /nfs/dbraw/zinc/15/62/40/865156240.db2.gz NLUPXPNLBGWPNR-UHFFFAOYSA-N -1 1 321.300 1.916 20 0 DDADMM COc1ccc2c(c1)ncnc2Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159198399 865162453 /nfs/dbraw/zinc/16/24/53/865162453.db2.gz OKINDZUREFYANC-UHFFFAOYSA-N -1 1 309.289 1.754 20 0 DDADMM COc1nc(Nc2[n-]c(=O)nc3nc[nH]c32)nc2ccccc21 ZINC001159198207 865162472 /nfs/dbraw/zinc/16/24/72/865162472.db2.gz KNWJIZOYPOSXNT-UHFFFAOYSA-N -1 1 309.289 1.754 20 0 DDADMM O=C(CCC1CC1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225468393 881853203 /nfs/dbraw/zinc/85/32/03/881853203.db2.gz ADDAWEFKUAIAAO-VXGBXAGGSA-N -1 1 307.398 1.218 20 0 DDADMM CC(=O)NC(=Cc1ccccc1)C(=O)Nc1c(C)[n-][nH]c1=O ZINC001160088633 865674144 /nfs/dbraw/zinc/67/41/44/865674144.db2.gz JPHHFIWGULYQTH-XYOKQWHBSA-N -1 1 300.318 1.539 20 0 DDADMM CCC[C@@H](NC(=O)CCc1nc2cccnc2[nH]1)c1nn[n-]n1 ZINC001332400283 865814256 /nfs/dbraw/zinc/81/42/56/865814256.db2.gz MPUNWUHOWMRPEQ-SECBINFHSA-N -1 1 314.353 1.061 20 0 DDADMM CCc1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)nn1C ZINC001332680051 866061614 /nfs/dbraw/zinc/06/16/14/866061614.db2.gz PYACXXDBPPWQTJ-CYBMUJFWSA-N -1 1 311.349 1.015 20 0 DDADMM C[C@H]1CCC[C@@H]1NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001332808593 866159117 /nfs/dbraw/zinc/15/91/17/866159117.db2.gz RDICYYIDWIUWCI-CABZTGNLSA-N -1 1 310.781 1.967 20 0 DDADMM O=C(CCc1nc(-c2ccoc2)no1)[N-]OCc1ccccn1 ZINC001161224108 866453956 /nfs/dbraw/zinc/45/39/56/866453956.db2.gz SSTHAAJQKGTTQY-UHFFFAOYSA-N -1 1 314.301 1.905 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cnc(C)cn2)[n-]c1Cl ZINC001361642261 882069780 /nfs/dbraw/zinc/06/97/80/882069780.db2.gz PWJFDIAGPMGJEG-UHFFFAOYSA-N -1 1 309.713 1.591 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)NCC=CC[NH2+]Cc1nccs1 ZINC001321217459 867409886 /nfs/dbraw/zinc/40/98/86/867409886.db2.gz AKHSNGWFNGTZNK-NSCUHMNNSA-N -1 1 319.390 1.023 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2COc3ccccc3C2)c(=O)[n-]1 ZINC001361652327 882093843 /nfs/dbraw/zinc/09/38/43/882093843.db2.gz UABILGICNBZBNF-SNVBAGLBSA-N -1 1 317.370 1.638 20 0 DDADMM C[C@H]1C[C@H]1CNC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001334717758 867790163 /nfs/dbraw/zinc/79/01/63/867790163.db2.gz IDTKVORCDFFIAV-IUCAKERBSA-N -1 1 312.316 1.101 20 0 DDADMM CCC[C@H](C)CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163746694 868675960 /nfs/dbraw/zinc/67/59/60/868675960.db2.gz UPJDIAAQNMLRGY-LBPRGKRZSA-N -1 1 323.441 1.713 20 0 DDADMM CC[C@H](F)C(=O)NCCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001336013820 868680318 /nfs/dbraw/zinc/68/03/18/868680318.db2.gz SJVDPRJZHMOKSV-QWRGUYRKSA-N -1 1 311.357 1.550 20 0 DDADMM COc1c(C)nc(C2CC2)nc1NCC[N-]C(=O)C(F)(F)F ZINC001164244182 869050701 /nfs/dbraw/zinc/05/07/01/869050701.db2.gz ZGASKTJNHZXYJT-UHFFFAOYSA-N -1 1 318.299 1.761 20 0 DDADMM CN(C)C(=O)c1[nH]nc2c1CN(Cc1ccc(C(=O)[O-])cc1)C2 ZINC001277109735 882294184 /nfs/dbraw/zinc/29/41/84/882294184.db2.gz JRSRKRVHBUTGPV-UHFFFAOYSA-N -1 1 314.345 1.326 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)[n-]1 ZINC001361756678 882305496 /nfs/dbraw/zinc/30/54/96/882305496.db2.gz FDDNTCIYORTHEK-UISBYWKRSA-N -1 1 306.318 1.510 20 0 DDADMM CC(C)C(=O)Nc1ccc(CNC(=O)CCc2nn[n-]n2)cc1 ZINC001361792826 882384722 /nfs/dbraw/zinc/38/47/22/882384722.db2.gz MWWXCDHPRQNLMP-UHFFFAOYSA-N -1 1 316.365 1.043 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1[nH]nc2cc(O)ccc21 ZINC001298317933 870466000 /nfs/dbraw/zinc/46/60/00/870466000.db2.gz FRAHJYVYSALBOU-UHFFFAOYSA-N -1 1 317.330 1.614 20 0 DDADMM C[C@H]1CCN(c2nnc(Cc3nnn[n-]3)n2C)[C@H]1c1ccccc1 ZINC001339603635 870575630 /nfs/dbraw/zinc/57/56/30/870575630.db2.gz NQUMNTKAGMOXFT-XHDPSFHLSA-N -1 1 324.392 1.507 20 0 DDADMM C[C@H]1CCN(c2nnc(Cc3nn[n-]n3)n2C)[C@H]1c1ccccc1 ZINC001339603635 870575640 /nfs/dbraw/zinc/57/56/40/870575640.db2.gz NQUMNTKAGMOXFT-XHDPSFHLSA-N -1 1 324.392 1.507 20 0 DDADMM CCc1csc(-n2cc(C(=O)Nc3c(C)[n-][nH]c3=O)cn2)n1 ZINC001298674315 870668137 /nfs/dbraw/zinc/66/81/37/870668137.db2.gz WTHZGQSVSACZFK-UHFFFAOYSA-N -1 1 318.362 1.881 20 0 DDADMM O=C(NCCNC(=O)c1ccc([O-])cc1F)c1ccc(F)cc1 ZINC000162519841 870739140 /nfs/dbraw/zinc/73/91/40/870739140.db2.gz ACLWEZPJJXUKPL-UHFFFAOYSA-N -1 1 320.295 1.830 20 0 DDADMM O=C(Cc1cccc2cccnc21)NCc1nc([O-])cc(=O)[nH]1 ZINC001301573593 871004402 /nfs/dbraw/zinc/00/44/02/871004402.db2.gz DQWHYWOUCDFJRI-UHFFFAOYSA-N -1 1 310.313 1.295 20 0 DDADMM CCC(=CC(=O)N[C@@H](COC)c1nn[n-]n1)c1ccc(C)cc1 ZINC001303580027 871140575 /nfs/dbraw/zinc/14/05/75/871140575.db2.gz NBEMOMRTXNCDMM-TZIYXEQSSA-N -1 1 315.377 1.805 20 0 DDADMM COc1cccc(-n2cc(C(=O)[N-]c3nc(C)no3)nn2)c1 ZINC001306389677 871343958 /nfs/dbraw/zinc/34/39/58/871343958.db2.gz ZPAIYMLVQBWJOV-UHFFFAOYSA-N -1 1 300.278 1.220 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)[C@H]1CCc2ccccc2C1 ZINC001340792498 871352692 /nfs/dbraw/zinc/35/26/92/871352692.db2.gz FGBZWIQVMWBUPT-ZDUSSCGKSA-N -1 1 324.392 1.472 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)[C@H]1CCc2ccccc2C1 ZINC001340792498 871352707 /nfs/dbraw/zinc/35/27/07/871352707.db2.gz FGBZWIQVMWBUPT-ZDUSSCGKSA-N -1 1 324.392 1.472 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N(C)Cc1ccc(C)o1 ZINC001340973660 871447446 /nfs/dbraw/zinc/44/74/46/871447446.db2.gz IWUKDQHMRBQHMJ-UHFFFAOYSA-N -1 1 302.338 1.932 20 0 DDADMM CC1(C)CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC(C)(C)C1 ZINC001341368350 871625694 /nfs/dbraw/zinc/62/56/94/871625694.db2.gz PXDKNVNDVNEZBY-MRXNPFEDSA-N -1 1 312.410 1.104 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)[C@@H]1CCC=CO1 ZINC001361870557 882541377 /nfs/dbraw/zinc/54/13/77/882541377.db2.gz MDDKKPQCXOPJJF-LBPRGKRZSA-N -1 1 301.306 1.410 20 0 DDADMM CN1CC(Oc2nc(=O)[n-]c3c2CN(Cc2ccccc2)C3)C1 ZINC001226618376 882544938 /nfs/dbraw/zinc/54/49/38/882544938.db2.gz ATDGINRQYSOBTJ-UHFFFAOYSA-N -1 1 312.373 1.391 20 0 DDADMM O=C(CN1CC[C@@H](c2ccccc2)NC1=O)Nc1c[n-][nH]c1=O ZINC001413845974 871929087 /nfs/dbraw/zinc/92/90/87/871929087.db2.gz LJHJNJBZQGCEGV-NSHDSACASA-N -1 1 315.333 1.210 20 0 DDADMM COCCCC[N-]S(=O)(=O)c1c(F)cc(F)cc1OC ZINC001318607362 872168131 /nfs/dbraw/zinc/16/81/31/872168131.db2.gz YIUUDNAYKOYYLB-UHFFFAOYSA-N -1 1 309.334 1.678 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)Nc1cccc(C(=O)N(C)c2nn[n-]n2)c1 ZINC001361901954 882606718 /nfs/dbraw/zinc/60/67/18/882606718.db2.gz DRZXZQXAOVBYFD-KCJUWKMLSA-N -1 1 300.322 1.071 20 0 DDADMM O=c1cc(O[C@@H]2CCc3cccnc32)nc(N2CCOCC2)[n-]1 ZINC001226722839 882613788 /nfs/dbraw/zinc/61/37/88/882613788.db2.gz PHSSCQBVFQQUFF-GFCCVEGCSA-N -1 1 314.345 1.480 20 0 DDADMM CN(C(=O)c1ccc(OC(F)F)c(Cl)c1)c1nn[n-]n1 ZINC001361908684 882622237 /nfs/dbraw/zinc/62/22/37/882622237.db2.gz YSRODPBCOOCJPN-UHFFFAOYSA-N -1 1 303.656 1.731 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CCC[C@@H](C)O ZINC001344061787 872849457 /nfs/dbraw/zinc/84/94/57/872849457.db2.gz ULDCUYVNKOAEBP-MRVPVSSYSA-N -1 1 309.334 1.413 20 0 DDADMM CCCC(=O)[C@H](CCC)Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c21 ZINC001226889050 882703983 /nfs/dbraw/zinc/70/39/83/882703983.db2.gz YENWECXWYRJOER-QMMMGPOBSA-N -1 1 322.321 1.453 20 0 DDADMM CC(C)(C)OC(=O)N1CC(O)(CC(=O)[N-]OCCC2CC2)C1 ZINC001345269187 873330075 /nfs/dbraw/zinc/33/00/75/873330075.db2.gz PGGOYGBQCIATSR-UHFFFAOYSA-N -1 1 314.382 1.206 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCCO[C@H](C(C)C)C1 ZINC001347126875 874021570 /nfs/dbraw/zinc/02/15/70/874021570.db2.gz UMPIEIRJXCTENG-JTQLQIEISA-N -1 1 311.407 1.666 20 0 DDADMM COC(CNC(=O)c1cc(F)ccc1[O-])(OC)c1ccccn1 ZINC001347156647 874037723 /nfs/dbraw/zinc/03/77/23/874037723.db2.gz ZNLAXFQSHSBLLM-UHFFFAOYSA-N -1 1 320.320 1.802 20 0 DDADMM O=C(CCNC(=O)c1cccc([O-])c1F)Nc1cccnc1 ZINC001361999000 882791114 /nfs/dbraw/zinc/79/11/14/882791114.db2.gz HPBNMZYBINSNND-UHFFFAOYSA-N -1 1 303.293 1.685 20 0 DDADMM COC[C@H](C)OC[C@@H](C)OC[C@@H](C)Oc1cnc[n-]c1=O ZINC001227049756 882796878 /nfs/dbraw/zinc/79/68/78/882796878.db2.gz XCDFUZVAVRRBSO-QJPTWQEYSA-N -1 1 300.355 1.406 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)cnc1F ZINC001347661912 874234682 /nfs/dbraw/zinc/23/46/82/874234682.db2.gz SRBBDEUSRYPMMT-JTQLQIEISA-N -1 1 304.329 1.137 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCCC2(CCOCC2)C1 ZINC001348452215 874567633 /nfs/dbraw/zinc/56/76/33/874567633.db2.gz XQQYEKNHQBXEQD-UHFFFAOYSA-N -1 1 323.418 1.812 20 0 DDADMM CC[C@H](CNC(=O)C1(C)C(C)(C)C1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001378337279 874712906 /nfs/dbraw/zinc/71/29/06/874712906.db2.gz KSLHXYWFQQYIRO-SNVBAGLBSA-N -1 1 323.441 1.567 20 0 DDADMM CC1=C[C@@H](Oc2[n-]c(=O)ncc2Br)CC(=O)O1 ZINC001227133938 882863820 /nfs/dbraw/zinc/86/38/20/882863820.db2.gz QSXONTIIVNUQRQ-ZCFIWIBFSA-N -1 1 301.096 1.543 20 0 DDADMM COc1ccc(C)c([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001209841426 875142391 /nfs/dbraw/zinc/14/23/91/875142391.db2.gz OCPCWPKFIRZMMV-UHFFFAOYSA-N -1 1 307.375 1.969 20 0 DDADMM CSc1ncc(C(=O)NCc2nncn2C(C)C)c(=O)[n-]1 ZINC001362072877 882968239 /nfs/dbraw/zinc/96/82/39/882968239.db2.gz ONPOYCUMCUOVPG-UHFFFAOYSA-N -1 1 308.367 1.007 20 0 DDADMM CC[C@H](C)C(=O)N1CCC(CCN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001378921399 875915249 /nfs/dbraw/zinc/91/52/49/875915249.db2.gz NMHWLSCFLPXBJS-LBPRGKRZSA-N -1 1 323.441 1.617 20 0 DDADMM COC(=O)/C=C/[C@@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001214071073 876161604 /nfs/dbraw/zinc/16/16/04/876161604.db2.gz ZKONRLQDJCHMQI-GWSCVKMXSA-N -1 1 305.302 1.361 20 0 DDADMM COc1cccc([C@H](C)Oc2nc3[nH]c(=O)[nH]c(=O)c3[n-]2)c1 ZINC001227429343 883008516 /nfs/dbraw/zinc/00/85/16/883008516.db2.gz BALGQLJTGLCALA-ZETCQYMHSA-N -1 1 302.290 1.913 20 0 DDADMM COc1cccc([C@H](C)Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)c1 ZINC001227429343 883008536 /nfs/dbraw/zinc/00/85/36/883008536.db2.gz BALGQLJTGLCALA-ZETCQYMHSA-N -1 1 302.290 1.913 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@H](Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)C1 ZINC001227430603 883009175 /nfs/dbraw/zinc/00/91/75/883009175.db2.gz MPRQZCODJPHNER-YUMQZZPRSA-N -1 1 322.321 1.265 20 0 DDADMM CCOC(=O)[C@@H]1CCCC[C@H]1Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227430153 883010941 /nfs/dbraw/zinc/01/09/41/883010941.db2.gz IYRUIHYUCZMMNQ-HTQZYQBOSA-N -1 1 322.321 1.265 20 0 DDADMM CC1(C)CC(Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)CC(C)(C)N1O ZINC001227434904 883021049 /nfs/dbraw/zinc/02/10/49/883021049.db2.gz PBHSTBRBZOVRJV-UHFFFAOYSA-N -1 1 323.353 1.554 20 0 DDADMM CCC(CC)[C@H](C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001215017805 876540605 /nfs/dbraw/zinc/54/06/05/876540605.db2.gz ONZOEFBNDKFGFG-NTZNESFSSA-N -1 1 323.441 1.519 20 0 DDADMM COc1ccc2nc(N)n(-c3cc(C(=O)[O-])cnc3F)c2c1 ZINC001215119647 876574201 /nfs/dbraw/zinc/57/42/01/876574201.db2.gz DTCJHUJUPQHMJN-UHFFFAOYSA-N -1 1 302.265 1.849 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1ccns1 ZINC001381962251 883067572 /nfs/dbraw/zinc/06/75/72/883067572.db2.gz LKLHVBCMFQDHJZ-SECBINFHSA-N -1 1 320.374 1.134 20 0 DDADMM CC[C@@H](C)CCC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216040836 876847934 /nfs/dbraw/zinc/84/79/34/876847934.db2.gz CWXIHVOYGKSEIT-IJLUTSLNSA-N -1 1 309.414 1.273 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2cc(N)ccc2N)ccc1CO ZINC001216116527 876867390 /nfs/dbraw/zinc/86/73/90/876867390.db2.gz YHHLWEAFMNSPFR-UHFFFAOYSA-N -1 1 323.374 1.153 20 0 DDADMM O=C([O-])C1(C(F)(F)F)CCN(CCOCC(F)F)CC1 ZINC001352776950 876926250 /nfs/dbraw/zinc/92/62/50/876926250.db2.gz FCSUPYFAQCJOML-UHFFFAOYSA-N -1 1 305.243 1.997 20 0 DDADMM O=C(C=Cc1cccs1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001352792938 876934744 /nfs/dbraw/zinc/93/47/44/876934744.db2.gz DOFKVFONHKUWQP-ISALQUGTSA-N -1 1 303.391 1.756 20 0 DDADMM O=C(c1cccc2[nH]ccc21)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001352794087 876936755 /nfs/dbraw/zinc/93/67/55/876936755.db2.gz TZJKVWZAHNJWKJ-LLVKDONJSA-N -1 1 310.361 1.776 20 0 DDADMM C[C@H](c1ccccn1)N1CCC[C@@H](Oc2nc(=O)[n-]c(=O)[nH]2)C1 ZINC001227570737 883088469 /nfs/dbraw/zinc/08/84/69/883088469.db2.gz NVXYETJNTCWCDL-GHMZBOCLSA-N -1 1 317.349 1.282 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2[C@H](C)C(=O)N[C@@H](C)[C@H]2C)c1 ZINC001300180039 877079253 /nfs/dbraw/zinc/07/92/53/877079253.db2.gz PEBROBXYRNWZEZ-HBNTYKKESA-N -1 1 304.346 1.251 20 0 DDADMM Nc1cc(N)cc(C(=O)Nc2nc(Br)ccc2[O-])c1 ZINC001218515212 877522350 /nfs/dbraw/zinc/52/23/50/877522350.db2.gz XUPKPEQKJIAHAE-UHFFFAOYSA-N -1 1 323.150 1.966 20 0 DDADMM CC(C)[C@H](F)C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001395562377 912285277 /nfs/dbraw/zinc/28/52/77/912285277.db2.gz TYMFSLHHXKHDNG-PWSUYJOCSA-N -1 1 311.357 1.358 20 0 DDADMM CC(C)=C(F)C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001287597163 912337001 /nfs/dbraw/zinc/33/70/01/912337001.db2.gz KZGONBWNUHHXJU-SNVBAGLBSA-N -1 1 309.341 1.627 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@]1(C)C=CCC1 ZINC001356881190 879529945 /nfs/dbraw/zinc/52/99/45/879529945.db2.gz VCJVEAKCWNNKMW-YVEFUNNKSA-N -1 1 317.389 1.768 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C1CCCCC1 ZINC001380690157 880199028 /nfs/dbraw/zinc/19/90/28/880199028.db2.gz CEQPGNUFZTVYJM-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(c1ccc(F)cc1)N1CCC(OCc2nn[n-]n2)CC1 ZINC001223027356 880787257 /nfs/dbraw/zinc/78/72/57/880787257.db2.gz OIZCVVVMDDUTOG-UHFFFAOYSA-N -1 1 305.313 1.160 20 0 DDADMM C/C=C(/C)C(=O)NC[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001358818832 880960242 /nfs/dbraw/zinc/96/02/42/880960242.db2.gz QJFSOTMGKLBWHK-NFVXFYCRSA-N -1 1 317.389 1.722 20 0 DDADMM C[C@@H](Cc1ccsc1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001362135329 883124365 /nfs/dbraw/zinc/12/43/65/883124365.db2.gz RDQDWEPNSQSCGT-JTQLQIEISA-N -1 1 319.386 1.326 20 0 DDADMM CO[C@H](C(=O)Nc1nnn[n-]1)c1ccccc1Br ZINC001362140874 883140382 /nfs/dbraw/zinc/14/03/82/883140382.db2.gz PLAOTINVHFFDNA-QMMMGPOBSA-N -1 1 312.127 1.288 20 0 DDADMM CO[C@H](C(=O)Nc1nn[n-]n1)c1ccccc1Br ZINC001362140874 883140388 /nfs/dbraw/zinc/14/03/88/883140388.db2.gz PLAOTINVHFFDNA-QMMMGPOBSA-N -1 1 312.127 1.288 20 0 DDADMM C[C@@H]1OCc2c1nc(=O)[n-]c2OC[C@H]1COc2ccccc2O1 ZINC001227709080 883140958 /nfs/dbraw/zinc/14/09/58/883140958.db2.gz AWBCLXXAEMQNHV-VHSXEESVSA-N -1 1 316.313 1.992 20 0 DDADMM C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1cccc([O-])c1F ZINC001362149744 883156430 /nfs/dbraw/zinc/15/64/30/883156430.db2.gz HTFVTKIUZICAQD-BDAKNGLRSA-N -1 1 303.355 1.425 20 0 DDADMM CC(C)=CC(=O)NC[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001288034705 912685211 /nfs/dbraw/zinc/68/52/11/912685211.db2.gz SVDOUTNPPUBCNA-CHWSQXEVSA-N -1 1 317.389 1.768 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC(Cc2c(F)cccc2F)C1 ZINC001362190793 883255164 /nfs/dbraw/zinc/25/51/64/883255164.db2.gz SZZYDDWLCYUFQO-UHFFFAOYSA-N -1 1 307.304 1.112 20 0 DDADMM COC(=O)c1n[n-]c(OCc2cc(OC(C)C)ncc2F)n1 ZINC001228313773 883440760 /nfs/dbraw/zinc/44/07/60/883440760.db2.gz MVNAPJYHIBASJN-UHFFFAOYSA-N -1 1 310.285 1.492 20 0 DDADMM COC(=O)c1nc(OCc2cc(OC(C)C)ncc2F)n[n-]1 ZINC001228313773 883440773 /nfs/dbraw/zinc/44/07/73/883440773.db2.gz MVNAPJYHIBASJN-UHFFFAOYSA-N -1 1 310.285 1.492 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H](C(=O)OC)c2cccc(F)c2)n1 ZINC001228312994 883441023 /nfs/dbraw/zinc/44/10/23/883441023.db2.gz YIQMEZAVVWJMAF-VIFPVBQESA-N -1 1 309.253 1.024 20 0 DDADMM COC(=O)c1nc(O[C@H](C(=O)OC)c2cccc(F)c2)n[n-]1 ZINC001228312994 883441035 /nfs/dbraw/zinc/44/10/35/883441035.db2.gz YIQMEZAVVWJMAF-VIFPVBQESA-N -1 1 309.253 1.024 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H]2C[C@H](OCc3ccccc3)C2)n1 ZINC001228314000 883441684 /nfs/dbraw/zinc/44/16/84/883441684.db2.gz NJOWCZMCELBBBM-HAQNSBGRSA-N -1 1 303.318 1.718 20 0 DDADMM COC(=O)c1nc(O[C@H]2C[C@H](OCc3ccccc3)C2)n[n-]1 ZINC001228314000 883441689 /nfs/dbraw/zinc/44/16/89/883441689.db2.gz NJOWCZMCELBBBM-HAQNSBGRSA-N -1 1 303.318 1.718 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCC(C)(C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001382126856 883472362 /nfs/dbraw/zinc/47/23/62/883472362.db2.gz QNACBWDRBXEONH-MNOVXSKESA-N -1 1 309.414 1.273 20 0 DDADMM Cc1cc(C2CCN(C(=O)CSc3n[nH]c(=O)[n-]3)CC2)n[nH]1 ZINC001362290590 883491397 /nfs/dbraw/zinc/49/13/97/883491397.db2.gz LUJKWETULLHGOQ-UHFFFAOYSA-N -1 1 322.394 1.040 20 0 DDADMM CSc1ncc(C(=O)NC[C@@]2(C)CCO[C@H]2C2CC2)c(=O)[n-]1 ZINC001362322710 883563101 /nfs/dbraw/zinc/56/31/01/883563101.db2.gz DPIOVEPIKZNYAS-XHDPSFHLSA-N -1 1 323.418 1.839 20 0 DDADMM CCC[C@H](NC(=O)c1cn(CC(F)F)nc1C)c1nn[n-]n1 ZINC001362382929 883686690 /nfs/dbraw/zinc/68/66/90/883686690.db2.gz APYNJGXVHOFKER-VIFPVBQESA-N -1 1 313.312 1.241 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@@H]1O)c1ccc(F)c([O-])c1 ZINC001362385539 883691910 /nfs/dbraw/zinc/69/19/10/883691910.db2.gz NUNZJIDLQAACGJ-IUODEOHRSA-N -1 1 323.364 1.829 20 0 DDADMM CC[C@@H](C)n1nccc1C(=O)NC(CC)(CC)c1nn[n-]n1 ZINC001362422999 883774725 /nfs/dbraw/zinc/77/47/25/883774725.db2.gz JHNMACCWKIDVKV-SNVBAGLBSA-N -1 1 305.386 1.813 20 0 DDADMM CC[C@@H](C)CONC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001229081614 883804851 /nfs/dbraw/zinc/80/48/51/883804851.db2.gz PMOORIODYGZHCQ-SECBINFHSA-N -1 1 310.354 1.032 20 0 DDADMM C[C@H](O)C(=O)Oc1cc([O-])ccc1C(=O)c1ccc(O)cc1 ZINC001229295089 883910511 /nfs/dbraw/zinc/91/05/11/883910511.db2.gz VNTSCRZEORGIAS-VIFPVBQESA-N -1 1 302.282 1.615 20 0 DDADMM CS(=O)(=O)CCc1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001362510185 883972775 /nfs/dbraw/zinc/97/27/75/883972775.db2.gz NBQPJSVLOMBSRR-UHFFFAOYSA-N -1 1 321.358 1.022 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](c2nncn2C)C(C)C)c(=O)[n-]1 ZINC001362523772 884001984 /nfs/dbraw/zinc/00/19/84/884001984.db2.gz ZIQUFDVOCFMPTP-SECBINFHSA-N -1 1 322.394 1.160 20 0 DDADMM COc1cncc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1C ZINC001362537666 884034907 /nfs/dbraw/zinc/03/49/07/884034907.db2.gz GQJBJIQGNRXJSV-UHFFFAOYSA-N -1 1 318.333 1.507 20 0 DDADMM C[C@H](CON)Oc1ccccc1S(=O)(=O)c1ccc([O-])cc1 ZINC001229586219 884062120 /nfs/dbraw/zinc/06/21/20/884062120.db2.gz YSPJPUJINCGBES-LLVKDONJSA-N -1 1 323.370 1.883 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCCc3nn(C)cc32)c(=O)[n-]1 ZINC001362579133 884139029 /nfs/dbraw/zinc/13/90/29/884139029.db2.gz CXMBPOQKGZSRDK-SNVBAGLBSA-N -1 1 319.390 1.445 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H](Oc2nc(=O)[n-]cc2C(=O)OC)C1 ZINC001229871161 884202054 /nfs/dbraw/zinc/20/20/54/884202054.db2.gz RQXXXTLZESPNOH-NXEZZACHSA-N -1 1 324.333 1.470 20 0 DDADMM CCOC(=O)[C@@H]1C2CCC(CC2)N1C(=O)c1ccc([O-])cn1 ZINC001362622130 884246843 /nfs/dbraw/zinc/24/68/43/884246843.db2.gz VODCZEIFUPRWSG-MGULZYLOSA-N -1 1 304.346 1.734 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cnc(C(C)C)[nH]2)n1 ZINC001362660211 884348742 /nfs/dbraw/zinc/34/87/42/884348742.db2.gz LUXOXNZKRNENGL-QMMMGPOBSA-N -1 1 320.353 1.319 20 0 DDADMM O=C(c1cc2c(o1)CCCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362683468 884406630 /nfs/dbraw/zinc/40/66/30/884406630.db2.gz AJDGBOGHCYENKM-NSHDSACASA-N -1 1 301.350 1.691 20 0 DDADMM CCCC[C@H](O)CCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001362702472 884446739 /nfs/dbraw/zinc/44/67/39/884446739.db2.gz FQPPTCWQULFNIC-RYUDHWBXSA-N -1 1 311.382 1.813 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C1C[C@H]2CCCC[C@@H](C1)C2=O ZINC001362727506 884495591 /nfs/dbraw/zinc/49/55/91/884495591.db2.gz VEYRVIOLWQQEEU-ZACCUICWSA-N -1 1 319.361 1.290 20 0 DDADMM CC(C)[C@@H](CNC(=O)CC1CC(C)(C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001374431627 912837540 /nfs/dbraw/zinc/83/75/40/912837540.db2.gz LCVXZSMTXPIQEY-GFCCVEGCSA-N -1 1 323.441 1.567 20 0 DDADMM CC(C)CN(Cc1nn[nH]n1)C(=O)c1cc(F)c([O-])c(F)c1 ZINC001362832461 884748549 /nfs/dbraw/zinc/74/85/49/884748549.db2.gz SSOBZXBANMCQLM-UHFFFAOYSA-N -1 1 311.292 1.482 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCC(=O)[C@H](C)C2)n[n-]1 ZINC001362935871 885026604 /nfs/dbraw/zinc/02/66/04/885026604.db2.gz NPOGHDPFUYOMQS-KXUCPTDWSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCC(=O)[C@H](C)C2)[n-]1 ZINC001362935871 885026616 /nfs/dbraw/zinc/02/66/16/885026616.db2.gz NPOGHDPFUYOMQS-KXUCPTDWSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCC(=O)[C@H](C)C2)n1 ZINC001362935871 885026627 /nfs/dbraw/zinc/02/66/27/885026627.db2.gz NPOGHDPFUYOMQS-KXUCPTDWSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC[C@@H]2CC[C@H](C)O2)n[n-]1 ZINC001362939725 885039070 /nfs/dbraw/zinc/03/90/70/885039070.db2.gz URGUUBHUXZPESK-DCAQKATOSA-N -1 1 324.381 1.506 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC[C@@H]2CC[C@H](C)O2)[n-]1 ZINC001362939725 885039086 /nfs/dbraw/zinc/03/90/86/885039086.db2.gz URGUUBHUXZPESK-DCAQKATOSA-N -1 1 324.381 1.506 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC[C@@H]2CC[C@H](C)O2)n1 ZINC001362939725 885039101 /nfs/dbraw/zinc/03/91/01/885039101.db2.gz URGUUBHUXZPESK-DCAQKATOSA-N -1 1 324.381 1.506 20 0 DDADMM COC(=O)c1c(C)c(C)sc1NC(=O)CCCc1nn[n-]n1 ZINC001362966048 885105440 /nfs/dbraw/zinc/10/54/40/885105440.db2.gz SEDPFROKGPDINI-UHFFFAOYSA-N -1 1 323.378 1.626 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)nn1C(C)C ZINC001362992986 885172162 /nfs/dbraw/zinc/17/21/62/885172162.db2.gz BOSPHBFEACKWIV-LLVKDONJSA-N -1 1 303.370 1.305 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ncccc2F)n[n-]1 ZINC001363044916 885317743 /nfs/dbraw/zinc/31/77/43/885317743.db2.gz AGOWACZXDADEKL-SSDOTTSWSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ncccc2F)[n-]1 ZINC001363044916 885317763 /nfs/dbraw/zinc/31/77/63/885317763.db2.gz AGOWACZXDADEKL-SSDOTTSWSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ncccc2F)n1 ZINC001363044916 885317785 /nfs/dbraw/zinc/31/77/85/885317785.db2.gz AGOWACZXDADEKL-SSDOTTSWSA-N -1 1 307.285 1.007 20 0 DDADMM O=C(c1cnncc1[O-])N1CC[C@H](c2ccccc2)[C@H](CO)C1 ZINC001363054395 885340983 /nfs/dbraw/zinc/34/09/83/885340983.db2.gz JRGNKGPBKOONPY-UONOGXRCSA-N -1 1 313.357 1.420 20 0 DDADMM O=S1(=O)CC[C@@H](Oc2cccnc2-c2ncccc2[O-])C1 ZINC001231200278 885370019 /nfs/dbraw/zinc/37/00/19/885370019.db2.gz LCMRLSHFHBOCCL-SNVBAGLBSA-N -1 1 306.343 1.415 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1C[C@@H]1c1ccc2c(c1)OCCO2 ZINC001363127713 885533958 /nfs/dbraw/zinc/53/39/58/885533958.db2.gz NDBYMDPPEKFBMY-NXEZZACHSA-N -1 1 301.302 1.629 20 0 DDADMM CCC(CC)(NC(=O)c1cnc2n1[C@H](C)CCC2)c1nn[n-]n1 ZINC001363137674 885556893 /nfs/dbraw/zinc/55/68/93/885556893.db2.gz SCUHBWXLBBGVRL-SNVBAGLBSA-N -1 1 317.397 1.739 20 0 DDADMM COC(=O)c1coc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)c1 ZINC001363163952 885618480 /nfs/dbraw/zinc/61/84/80/885618480.db2.gz XMAPAJWXXDFSSW-UHFFFAOYSA-N -1 1 317.301 1.369 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc2c(c1)[C@@H](O)CCCC2 ZINC001363225159 885759346 /nfs/dbraw/zinc/75/93/46/885759346.db2.gz BAUMXWOPVPRUIP-AWEZNQCLSA-N -1 1 315.377 1.921 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC2=C(CCC(=O)N2)C1 ZINC001363242347 885800827 /nfs/dbraw/zinc/80/08/27/885800827.db2.gz PGSSCZDIKDSCEO-UHFFFAOYSA-N -1 1 314.345 1.070 20 0 DDADMM CN(C)C(=O)CN1CCN(Cc2ccc(Cl)c([O-])c2)CC1 ZINC001231738529 885845465 /nfs/dbraw/zinc/84/54/65/885845465.db2.gz QGPNDUFHZYHXOD-UHFFFAOYSA-N -1 1 311.813 1.251 20 0 DDADMM COc1ccc(CN2CC(N(C)[C@H]3CCOC3)C2)cc1C(=O)[O-] ZINC001231754145 885857092 /nfs/dbraw/zinc/85/70/92/885857092.db2.gz UPLVLBUACJUQGB-ZDUSSCGKSA-N -1 1 320.389 1.298 20 0 DDADMM CN(C)C(=O)O[C@H]1CCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001363265850 885860500 /nfs/dbraw/zinc/86/05/00/885860500.db2.gz GFITVUGPRJEGRY-JTQLQIEISA-N -1 1 310.325 1.834 20 0 DDADMM O=C([O-])COc1ccccc1CN1CCC[C@@H](n2ccnn2)C1 ZINC001231793613 885878554 /nfs/dbraw/zinc/87/85/54/885878554.db2.gz FXQNFEXWMBSTRK-CQSZACIVSA-N -1 1 316.361 1.579 20 0 DDADMM CSCC[C@H](NC(=O)c1cnn(C2CCC2)c1)c1nn[n-]n1 ZINC001363278540 885895467 /nfs/dbraw/zinc/89/54/67/885895467.db2.gz BWTJYZHRFHQFKS-NSHDSACASA-N -1 1 321.410 1.345 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CC12CCOCC2 ZINC001363282380 885907447 /nfs/dbraw/zinc/90/74/47/885907447.db2.gz VFVWKOAYDJJTCA-NSHDSACASA-N -1 1 305.378 1.913 20 0 DDADMM CCOC(=O)[C@]1(C)CCC[C@H]1NC(=O)c1ncc(C)cc1[O-] ZINC001363306588 885969615 /nfs/dbraw/zinc/96/96/15/885969615.db2.gz LAGBJUXIXIXJSP-MLGOLLRUSA-N -1 1 306.362 1.947 20 0 DDADMM COc1ccccc1[C@@H]1C[C@H]1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363319685 886011996 /nfs/dbraw/zinc/01/19/96/886011996.db2.gz MMZQMYXBAZWLNA-KGYLQXTDSA-N -1 1 313.361 1.328 20 0 DDADMM CNC(=O)c1ccc(C(=O)Nc2cc([O-])c(F)cc2F)cn1 ZINC001363384425 886191039 /nfs/dbraw/zinc/19/10/39/886191039.db2.gz OKUWDPNXAVAMPT-UHFFFAOYSA-N -1 1 307.256 1.677 20 0 DDADMM COC[C@@H](NC(=O)c1cscc1C(F)F)c1nn[n-]n1 ZINC001363419171 886287375 /nfs/dbraw/zinc/28/73/75/886287375.db2.gz VMQVWSLDAYDCJP-SSDOTTSWSA-N -1 1 303.294 1.316 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)c1O ZINC001363431452 886320485 /nfs/dbraw/zinc/32/04/85/886320485.db2.gz HNRDJSLGQQZAOG-SNVBAGLBSA-N -1 1 317.349 1.009 20 0 DDADMM CNS(=O)(=O)C[C@H]1CCCN1Cc1ccc([O-])c(F)c1F ZINC001363431512 886322091 /nfs/dbraw/zinc/32/20/91/886322091.db2.gz ZAQZXGPCTAIQRZ-SNVBAGLBSA-N -1 1 320.361 1.184 20 0 DDADMM COC[C@@H](NC(=O)c1sc(C(C)C)nc1C)c1nn[n-]n1 ZINC001363436533 886332457 /nfs/dbraw/zinc/33/24/57/886332457.db2.gz OQFACVVMCUMMAB-MRVPVSSYSA-N -1 1 310.383 1.206 20 0 DDADMM Cc1oc(C(C)C)nc1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363436208 886333186 /nfs/dbraw/zinc/33/31/86/886333186.db2.gz QREZIDHXFIUFLY-LLVKDONJSA-N -1 1 318.381 1.714 20 0 DDADMM O=C(N[C@H]([C@H]1CCCO1)C1(CO)CCC1)c1ccc([O-])cn1 ZINC001363462462 886406079 /nfs/dbraw/zinc/40/60/79/886406079.db2.gz VAKJVHJATXIONZ-ZIAGYGMSSA-N -1 1 306.362 1.227 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)n[n-]1 ZINC001363477075 886444975 /nfs/dbraw/zinc/44/49/75/886444975.db2.gz WIZZKTICTXHDQN-HHKYUTTNSA-N -1 1 324.381 1.218 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)[n-]1 ZINC001363477075 886444979 /nfs/dbraw/zinc/44/49/79/886444979.db2.gz WIZZKTICTXHDQN-HHKYUTTNSA-N -1 1 324.381 1.218 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)n1 ZINC001363477075 886444983 /nfs/dbraw/zinc/44/49/83/886444983.db2.gz WIZZKTICTXHDQN-HHKYUTTNSA-N -1 1 324.381 1.218 20 0 DDADMM O=C(CCc1ccc(Cl)cc1)NCc1nc([O-])cc(=O)[nH]1 ZINC001363490737 886475047 /nfs/dbraw/zinc/47/50/47/886475047.db2.gz PMEIYBKGHGTZCT-UHFFFAOYSA-N -1 1 307.737 1.790 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCN(Cc2ccno2)CC1 ZINC001363523659 886547089 /nfs/dbraw/zinc/54/70/89/886547089.db2.gz KAASJBVLGHYSMX-UHFFFAOYSA-N -1 1 305.309 1.477 20 0 DDADMM Cc1noc(Cl)c1CCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363556015 886628272 /nfs/dbraw/zinc/62/82/72/886628272.db2.gz YTEIAYYLCVBXCR-UHFFFAOYSA-N -1 1 310.745 1.278 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2cc(Cl)ncc2[O-])CCC1=O ZINC001233032567 886739432 /nfs/dbraw/zinc/73/94/32/886739432.db2.gz LPBAEGICLYBQNH-SNVBAGLBSA-N -1 1 312.753 1.395 20 0 DDADMM COC(=O)CC[C@@H]1COCCN1Cc1cc(Cl)ncc1[O-] ZINC001233041355 886747095 /nfs/dbraw/zinc/74/70/95/886747095.db2.gz VRFDBGISUKMQQF-LLVKDONJSA-N -1 1 314.769 1.595 20 0 DDADMM CC[C@@H]1CC[C@@H](C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)O1 ZINC001363651650 886884546 /nfs/dbraw/zinc/88/45/46/886884546.db2.gz IXZOUGLWVRMEDB-MNOVXSKESA-N -1 1 309.366 1.155 20 0 DDADMM C[C@@H]1CCCN(c2cc(C(=O)Nc3c[n-][nH]c3=O)ccn2)C1 ZINC001363704426 887025421 /nfs/dbraw/zinc/02/54/21/887025421.db2.gz GAYMKRSHDGACDT-SNVBAGLBSA-N -1 1 301.350 1.999 20 0 DDADMM Cc1ncc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c(C(C)C)n1 ZINC001363726088 887078986 /nfs/dbraw/zinc/07/89/86/887078986.db2.gz UBJFMUZWEWIKJG-JTQLQIEISA-N -1 1 301.354 1.051 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@@H](C)c1cnn(C)c1 ZINC001363805805 887265420 /nfs/dbraw/zinc/26/54/20/887265420.db2.gz QZJFNJQIQZXUAQ-LLVKDONJSA-N -1 1 305.386 1.112 20 0 DDADMM Cc1cn(C)nc1CN1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001363807873 887276665 /nfs/dbraw/zinc/27/66/65/887276665.db2.gz OZNJMDYCQZMWQK-QWRGUYRKSA-N -1 1 318.343 1.760 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H](C(=O)OC)c1ccccc1 ZINC001233756678 887281206 /nfs/dbraw/zinc/28/12/06/887281206.db2.gz QKFRMYUXBNFPBG-NSHDSACASA-N -1 1 318.285 1.262 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H]1CC[C@H](C(=O)OC)CC1 ZINC001233757932 887286230 /nfs/dbraw/zinc/28/62/30/887286230.db2.gz HWGVCTRFKGQCLI-KYZUINATSA-N -1 1 310.306 1.079 20 0 DDADMM CCOC(=O)[C@@H]1CCCC[C@H]1Oc1c(=O)[n-]cnc1C(=O)OC ZINC001233759710 887288681 /nfs/dbraw/zinc/28/86/81/887288681.db2.gz QQBYNLPDDUIYIT-NXEZZACHSA-N -1 1 324.333 1.470 20 0 DDADMM CC(C)(C)n1ncnc1CN1CCC(c2n[n-]c(=O)o2)CC1 ZINC001363880848 887459918 /nfs/dbraw/zinc/45/99/18/887459918.db2.gz WMUGYKKGQLFOPG-UHFFFAOYSA-N -1 1 306.370 1.501 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cc(C)on2)[n-]c1=O ZINC001363883562 887467225 /nfs/dbraw/zinc/46/72/25/887467225.db2.gz GYMSJDFPXLWUPR-JTQLQIEISA-N -1 1 304.306 1.465 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2c(CC)noc2C)n[n-]1 ZINC001363885576 887470626 /nfs/dbraw/zinc/47/06/26/887470626.db2.gz LESZWMQEPSCSAY-ZETCQYMHSA-N -1 1 321.337 1.331 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2c(CC)noc2C)[n-]1 ZINC001363885576 887470633 /nfs/dbraw/zinc/47/06/33/887470633.db2.gz LESZWMQEPSCSAY-ZETCQYMHSA-N -1 1 321.337 1.331 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2c(CC)noc2C)n1 ZINC001363885576 887470643 /nfs/dbraw/zinc/47/06/43/887470643.db2.gz LESZWMQEPSCSAY-ZETCQYMHSA-N -1 1 321.337 1.331 20 0 DDADMM COC(=O)c1cccc2[n-]c(OC(=O)CCC[C@H](C)O)nc21 ZINC001234044865 887587171 /nfs/dbraw/zinc/58/71/71/887587171.db2.gz OHPXWJDLWUNDJP-VIFPVBQESA-N -1 1 306.318 1.806 20 0 DDADMM NC(=O)[C@H](NC(=O)c1ccc([O-])cn1)c1ccc(Cl)cc1 ZINC001363944455 887589490 /nfs/dbraw/zinc/58/94/90/887589490.db2.gz FSBZJTCEPRDYSH-GFCCVEGCSA-N -1 1 305.721 1.397 20 0 DDADMM CCC[C@H](C[N-]S(=O)(=O)c1cc(OC)ns1)OCC ZINC001364003566 887704875 /nfs/dbraw/zinc/70/48/75/887704875.db2.gz PMXSWJXHLXZEQC-SECBINFHSA-N -1 1 308.425 1.635 20 0 DDADMM CS(=O)(=O)Cc1noc([N-]C(=O)c2cscc2Cl)n1 ZINC001364051543 887801834 /nfs/dbraw/zinc/80/18/34/887801834.db2.gz XJLLZULFXIDIJB-UHFFFAOYSA-N -1 1 321.767 1.581 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCCC2CCOCC2)n[n-]1 ZINC001364081613 887870671 /nfs/dbraw/zinc/87/06/71/887870671.db2.gz FYRILCNURGNJRA-UHFFFAOYSA-N -1 1 324.381 1.195 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCCC2CCOCC2)n1 ZINC001364081613 887870680 /nfs/dbraw/zinc/87/06/80/887870680.db2.gz FYRILCNURGNJRA-UHFFFAOYSA-N -1 1 324.381 1.195 20 0 DDADMM COc1ccccc1OC[C@@H](C)Oc1c(C(N)=O)nc[n-]c1=O ZINC001234472173 888003655 /nfs/dbraw/zinc/00/36/55/888003655.db2.gz LZMZXEPIDUDWKC-SECBINFHSA-N -1 1 319.317 1.136 20 0 DDADMM COc1cccc(OC[C@H](C)Oc2c(C(N)=O)nc[n-]c2=O)c1 ZINC001234471521 888005445 /nfs/dbraw/zinc/00/54/45/888005445.db2.gz FTLCLHHLXKJKMC-VIFPVBQESA-N -1 1 319.317 1.136 20 0 DDADMM COc1ccc(C(=O)CCCC(=O)N(C)c2nn[n-]n2)cc1 ZINC001364192068 888118584 /nfs/dbraw/zinc/11/85/84/888118584.db2.gz HFZHLCWWVVTAGH-UHFFFAOYSA-N -1 1 303.322 1.224 20 0 DDADMM COC(=O)c1ccc(NCc2cc(=O)[n-]c(SC)n2)nn1 ZINC001364213102 888156064 /nfs/dbraw/zinc/15/60/64/888156064.db2.gz QTUQAXWMXAAZSF-UHFFFAOYSA-N -1 1 307.335 1.093 20 0 DDADMM CCOC(=O)c1cn(C)cc1NC(=O)c1ccc(O)cc1[O-] ZINC001364319233 888372405 /nfs/dbraw/zinc/37/24/05/888372405.db2.gz LXRPFBKXSFRUNC-UHFFFAOYSA-N -1 1 304.302 1.865 20 0 DDADMM O=C(CC1(Cn2cnnn2)CCCCC1)Nc1c[n-][nH]c1=O ZINC001364511793 888810909 /nfs/dbraw/zinc/81/09/09/888810909.db2.gz IANQURCDQBUBQJ-UHFFFAOYSA-N -1 1 305.342 1.081 20 0 DDADMM COC(=O)c1cnc(NCc2cc(=O)[n-]c(SC)n2)cn1 ZINC001364560506 888927183 /nfs/dbraw/zinc/92/71/83/888927183.db2.gz PTCAHVOVQQMVBV-UHFFFAOYSA-N -1 1 307.335 1.093 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cccc2c1CCOC2 ZINC001364652991 889127973 /nfs/dbraw/zinc/12/79/73/889127973.db2.gz YRGFFMUGVLOTLQ-UHFFFAOYSA-N -1 1 315.377 1.571 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@@H](C)C2)[n-]n1 ZINC001364759459 889356767 /nfs/dbraw/zinc/35/67/67/889356767.db2.gz JJYHNBKEEIYLDV-RKDXNWHRSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@@H](C)C2)n[n-]1 ZINC001364759459 889356783 /nfs/dbraw/zinc/35/67/83/889356783.db2.gz JJYHNBKEEIYLDV-RKDXNWHRSA-N -1 1 301.368 1.053 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1cccn1C ZINC001364766797 889368449 /nfs/dbraw/zinc/36/84/49/889368449.db2.gz DMMCFFGFFCXQFP-JTQLQIEISA-N -1 1 317.349 1.297 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1cccn1C ZINC001364766797 889368460 /nfs/dbraw/zinc/36/84/60/889368460.db2.gz DMMCFFGFFCXQFP-JTQLQIEISA-N -1 1 317.349 1.297 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1cccn1C ZINC001364766797 889368473 /nfs/dbraw/zinc/36/84/73/889368473.db2.gz DMMCFFGFFCXQFP-JTQLQIEISA-N -1 1 317.349 1.297 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2ccc(F)cc2)sn1 ZINC001364775975 889380570 /nfs/dbraw/zinc/38/05/70/889380570.db2.gz ZJBVAKQISSGAHW-UHFFFAOYSA-N -1 1 302.352 1.769 20 0 DDADMM O=S(=O)([N-]Cc1cccc(CO)c1)c1c[nH]nc1Cl ZINC001364814696 889472613 /nfs/dbraw/zinc/47/26/13/889472613.db2.gz VEHAZIUVKYLIDK-UHFFFAOYSA-N -1 1 301.755 1.034 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCC2(C)C)[n-]n1 ZINC001364816135 889474711 /nfs/dbraw/zinc/47/47/11/889474711.db2.gz ULVHDYSWLBUNIS-SECBINFHSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCC2(C)C)n[n-]1 ZINC001364816135 889474720 /nfs/dbraw/zinc/47/47/20/889474720.db2.gz ULVHDYSWLBUNIS-SECBINFHSA-N -1 1 301.368 1.053 20 0 DDADMM O=C(c1coc(C2CC2)n1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364817506 889477180 /nfs/dbraw/zinc/47/71/80/889477180.db2.gz BROPDWICRAQOPU-SECBINFHSA-N -1 1 302.338 1.160 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2cccc(N)c2)ccc1O ZINC001237603339 889746004 /nfs/dbraw/zinc/74/60/04/889746004.db2.gz OGLVZTAUFLRODL-UHFFFAOYSA-N -1 1 322.342 1.562 20 0 DDADMM O=C(N[C@]12CC(=O)N[C@H]1CCCC2)c1cc(Cl)ccc1[O-] ZINC001365159650 890222021 /nfs/dbraw/zinc/22/20/21/890222021.db2.gz QRUNFPWBGOSDMI-SWLSCSKDSA-N -1 1 308.765 1.977 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCOCC(F)(F)F)c1 ZINC001290626590 913482959 /nfs/dbraw/zinc/48/29/59/913482959.db2.gz HOEISLIKNKQSRD-UHFFFAOYSA-N -1 1 305.252 1.822 20 0 DDADMM CC[C@]1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CCNC1=O ZINC001290783011 913515485 /nfs/dbraw/zinc/51/54/85/913515485.db2.gz SLMRIEJTIGYOOM-INIZCTEOSA-N -1 1 314.345 1.637 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2cccc(F)c2)sn1 ZINC001365632256 891212327 /nfs/dbraw/zinc/21/23/27/891212327.db2.gz SKDYUVURDDLFOY-UHFFFAOYSA-N -1 1 316.379 1.812 20 0 DDADMM CC[C@@H]1CCCC[C@H]1NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365634152 891217020 /nfs/dbraw/zinc/21/70/20/891217020.db2.gz VTWYOFIYHNPELL-NXEZZACHSA-N -1 1 315.395 1.443 20 0 DDADMM CC[C@@H]1CCCC[C@H]1NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365634152 891217035 /nfs/dbraw/zinc/21/70/35/891217035.db2.gz VTWYOFIYHNPELL-NXEZZACHSA-N -1 1 315.395 1.443 20 0 DDADMM Cc1ncc(C[N-]S(=O)(=O)c2ccc(Br)o2)o1 ZINC001365713377 891381112 /nfs/dbraw/zinc/38/11/12/891381112.db2.gz IOYFEHHZEUMQAB-UHFFFAOYSA-N -1 1 321.152 1.817 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1cncc(Cl)c1)c1ncon1 ZINC001365741086 891432243 /nfs/dbraw/zinc/43/22/43/891432243.db2.gz BJUYHXVKZVTZTG-UHFFFAOYSA-N -1 1 302.743 1.332 20 0 DDADMM CSc1nc(CNC(=O)Nc2cccc(C)n2)cc(=O)[n-]1 ZINC001365777762 891529027 /nfs/dbraw/zinc/52/90/27/891529027.db2.gz ZLFMBVIQBQINHJ-UHFFFAOYSA-N -1 1 305.363 1.929 20 0 DDADMM CN(CCNC(=O)CCC1CCC1)C(=O)c1ncccc1[O-] ZINC001386839969 891831544 /nfs/dbraw/zinc/83/15/44/891831544.db2.gz WNGVPRNABQCQSZ-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM COc1ncc(-c2ccnc(F)c2C)cc1[N-]S(C)(=O)=O ZINC001244793884 891928348 /nfs/dbraw/zinc/92/83/48/891928348.db2.gz LBSPJHCTMAWOKI-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM COCCCNC(=S)Nc1ncc(Br)cc1[O-] ZINC001245576603 892181511 /nfs/dbraw/zinc/18/15/11/892181511.db2.gz WUYLTPPDCVNDDA-UHFFFAOYSA-N -1 1 320.212 1.873 20 0 DDADMM C[C@@H](CNC(=O)[C@H]1CCCC1(C)C)NC(=O)c1ncccc1[O-] ZINC001387033538 892223831 /nfs/dbraw/zinc/22/38/31/892223831.db2.gz DPAHDGRCMNOMPH-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM COCCc1cc(=O)n(C(=O)c2cc(-c3ccccc3)[nH]n2)[n-]1 ZINC001245939993 892278314 /nfs/dbraw/zinc/27/83/14/892278314.db2.gz QPETWSDKHZJLJJ-UHFFFAOYSA-N -1 1 312.329 1.856 20 0 DDADMM C[C@H](CCNC(=O)c1ccoc1)NC(=O)c1ncccc1[O-] ZINC001387393744 892990500 /nfs/dbraw/zinc/99/05/00/892990500.db2.gz ULRJHYFWSHBDBZ-SNVBAGLBSA-N -1 1 303.318 1.319 20 0 DDADMM C[C@@H](C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001387893578 894105079 /nfs/dbraw/zinc/10/50/79/894105079.db2.gz KUZPBJVOKOFERU-LLVKDONJSA-N -1 1 317.389 1.412 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])Cc1oc(C)nc1C ZINC001366606013 894232717 /nfs/dbraw/zinc/23/27/17/894232717.db2.gz STRDSNZBPSAXNM-UHFFFAOYSA-N -1 1 318.377 1.644 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccccc1)c1ccccn1 ZINC001251159010 894649960 /nfs/dbraw/zinc/64/99/60/894649960.db2.gz QQIYTZHUICBDGO-CYBMUJFWSA-N -1 1 306.343 1.274 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(OC3COC3)nc2)cc1 ZINC001252102258 895022040 /nfs/dbraw/zinc/02/20/40/895022040.db2.gz KKFFXMUSSRGNSB-UHFFFAOYSA-N -1 1 320.370 1.968 20 0 DDADMM CC(C)CCC(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001388492746 895334272 /nfs/dbraw/zinc/33/42/72/895334272.db2.gz NTZBUMOJDXYFBC-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM CS(=O)(=O)[N-][C@@H]1CCN(Cc2ccccc2)CC1(F)F ZINC001252791154 895459704 /nfs/dbraw/zinc/45/97/04/895459704.db2.gz NRZCMBIRJUYFMO-GFCCVEGCSA-N -1 1 304.362 1.445 20 0 DDADMM CCC(CC)CC(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367162000 896040970 /nfs/dbraw/zinc/04/09/70/896040970.db2.gz SKKJRTKYQZUWDB-UHFFFAOYSA-N -1 1 323.441 1.855 20 0 DDADMM O=P([O-])([O-])CC[NH2+][C@H]1Cc2ccc(Br)cc2C1 ZINC001254266788 896327355 /nfs/dbraw/zinc/32/73/55/896327355.db2.gz NKSJZWPDRKYEKZ-NSHDSACASA-N -1 1 320.123 1.684 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NS(=O)(=O)c1ccccc1F ZINC001255424304 896902485 /nfs/dbraw/zinc/90/24/85/896902485.db2.gz PEJBZFZGZCOCAD-UHFFFAOYSA-N -1 1 314.254 1.226 20 0 DDADMM O=c1cnc2cc([N-]S(=O)(=O)c3cccc(F)c3)ccc2[nH]1 ZINC001255661778 897076650 /nfs/dbraw/zinc/07/66/50/897076650.db2.gz POSHBLGMARSGPB-UHFFFAOYSA-N -1 1 319.317 1.863 20 0 DDADMM O=C(CCC1CCC1)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001389593990 897589563 /nfs/dbraw/zinc/58/95/63/897589563.db2.gz JFAHDQNJQWKIHK-GFCCVEGCSA-N -1 1 307.398 1.075 20 0 DDADMM CC[C@@H](C)CC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001389662198 897744874 /nfs/dbraw/zinc/74/48/74/897744874.db2.gz VVKDWXQICCBJKG-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM CCOC(=O)c1ccc(C[C@@H](C)[NH2+]CCP(=O)([O-])[O-])cc1 ZINC001257149031 897745919 /nfs/dbraw/zinc/74/59/19/897745919.db2.gz QLSGYUTUCABDJU-LLVKDONJSA-N -1 1 315.306 1.562 20 0 DDADMM O=S(=O)([N-][C@H]1[C@@H]2COC[C@@H]21)c1cc(Cl)c(Cl)s1 ZINC001257520333 897873698 /nfs/dbraw/zinc/87/36/98/897873698.db2.gz ISFJFFJLJPSUGO-FBMACHJBSA-N -1 1 314.215 1.978 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1cccnc1)c1cc(F)ccc1F ZINC001258951325 898378794 /nfs/dbraw/zinc/37/87/94/898378794.db2.gz YQOPKMDNCLWVJZ-LBPRGKRZSA-N -1 1 314.313 1.372 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)CF)c1ccc(Br)s1 ZINC001259062628 898442873 /nfs/dbraw/zinc/44/28/73/898442873.db2.gz ARLFAYHVOPVZTP-YFKPBYRVSA-N -1 1 318.189 1.119 20 0 DDADMM CCCC[C@H](CO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259081459 898455217 /nfs/dbraw/zinc/45/52/17/898455217.db2.gz WVTIVFQKRWNTDZ-MRVPVSSYSA-N -1 1 311.325 1.933 20 0 DDADMM O=c1cc(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)cc[nH]1 ZINC001259081463 898455580 /nfs/dbraw/zinc/45/55/80/898455580.db2.gz XCRIGIJSZNUYFL-UHFFFAOYSA-N -1 1 318.276 1.683 20 0 DDADMM Cc1cc([N-]S(=O)(=O)C2CC2)n(C(=O)OC(C)(C)C)n1 ZINC001259269790 898558331 /nfs/dbraw/zinc/55/83/31/898558331.db2.gz RGYIXRWKUHLIPY-UHFFFAOYSA-N -1 1 301.368 1.879 20 0 DDADMM COc1ccccc1S(=O)(=O)NCC(=O)c1ccc([O-])cc1 ZINC001259305430 898600851 /nfs/dbraw/zinc/60/08/51/898600851.db2.gz PIZBHJCQSCCVEK-UHFFFAOYSA-N -1 1 321.354 1.562 20 0 DDADMM CCCOC(=O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259462668 898681811 /nfs/dbraw/zinc/68/18/11/898681811.db2.gz UMAYTOVLOKJWEX-UHFFFAOYSA-N -1 1 309.746 1.711 20 0 DDADMM Cc1cccc(CS(=O)(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001259751744 898781199 /nfs/dbraw/zinc/78/11/99/898781199.db2.gz JXOLHJXFVOARJY-UHFFFAOYSA-N -1 1 319.346 1.309 20 0 DDADMM O=S(=O)([N-]Cc1ccccc1N1CCOCC1)C(F)F ZINC001259959941 898982252 /nfs/dbraw/zinc/98/22/52/898982252.db2.gz GZASVGYIDPDNHJ-UHFFFAOYSA-N -1 1 306.334 1.165 20 0 DDADMM COc1ccc2c(c1)OC[C@@H](C[N-]S(=O)(=O)C(F)F)C2 ZINC001259964966 898989430 /nfs/dbraw/zinc/98/94/30/898989430.db2.gz QBGANFSZIAUSLN-MRVPVSSYSA-N -1 1 307.318 1.388 20 0 DDADMM C[C@H](O)c1ccnc(NS(=O)(=O)c2cccc(C(=O)[O-])c2)c1 ZINC001260184784 899079015 /nfs/dbraw/zinc/07/90/15/899079015.db2.gz CEHLUJNLJVIGAD-VIFPVBQESA-N -1 1 322.342 1.634 20 0 DDADMM CN1CCC[C@H]2CN(S(=O)(=O)c3ccc(C(=O)[O-])cc3)C[C@@H]21 ZINC001260226561 899100282 /nfs/dbraw/zinc/10/02/82/899100282.db2.gz LNPHHWKDBIZBSA-JSGCOSHPSA-N -1 1 324.402 1.100 20 0 DDADMM Cc1ncn(C)c1[N-]S(=O)(=O)c1ccc2oc(=O)ccc2c1 ZINC001260447861 899147723 /nfs/dbraw/zinc/14/77/23/899147723.db2.gz XGIKVQNCJHDRQI-UHFFFAOYSA-N -1 1 319.342 1.636 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc(Cl)c(F)c1 ZINC001260591582 899177862 /nfs/dbraw/zinc/17/78/62/899177862.db2.gz ZPOCPSFRHQWHFB-UHFFFAOYSA-N -1 1 301.748 1.223 20 0 DDADMM O=c1[nH]cnc([O-])c1NS(=O)(=O)c1ccc2c(c1)CCCC2 ZINC001260978446 899313001 /nfs/dbraw/zinc/31/30/01/899313001.db2.gz FSAQFSNNFYMXGE-UHFFFAOYSA-N -1 1 321.358 1.567 20 0 DDADMM O=C(NCCCNC(=O)[C@@H]1CC[C@H]2C[C@H]2C1)c1ncccc1[O-] ZINC001293261669 914375845 /nfs/dbraw/zinc/37/58/45/914375845.db2.gz APEKVPCSLAUYGG-XQQFMLRXSA-N -1 1 317.389 1.460 20 0 DDADMM O=C([O-])COCCOCCNCc1ccnc(Cl)c1Cl ZINC001262486972 900193657 /nfs/dbraw/zinc/19/36/57/900193657.db2.gz GKWODOUYFCMTCM-UHFFFAOYSA-N -1 1 323.176 1.596 20 0 DDADMM O=S(=O)([N-]c1ccc(CO)cc1)c1ccc2c(c1)OCCO2 ZINC000387031658 901029156 /nfs/dbraw/zinc/02/91/56/901029156.db2.gz FFEYGADCVKHKGD-UHFFFAOYSA-N -1 1 321.354 1.751 20 0 DDADMM C[C@@H](NC(=O)c1nccs1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369695108 901788330 /nfs/dbraw/zinc/78/83/30/901788330.db2.gz YICGDSDXYSLFRN-DTWKUNHWSA-N -1 1 320.374 1.181 20 0 DDADMM CC(C)[C@H](CNC(=O)C1CC(C)C1)NC(=O)c1ncccc1[O-] ZINC001391571140 902033079 /nfs/dbraw/zinc/03/30/79/902033079.db2.gz ZIJCLTCZULJESU-BPCQOVAHSA-N -1 1 319.405 1.704 20 0 DDADMM CCC(CC)C(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001369824038 902040669 /nfs/dbraw/zinc/04/06/69/902040669.db2.gz DGJZIVULDLZQGB-NSHDSACASA-N -1 1 307.394 1.800 20 0 DDADMM CCC[C@H](CC)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001391872280 902769158 /nfs/dbraw/zinc/76/91/58/902769158.db2.gz QZRDPQVGVVTFFM-NWDGAFQWSA-N -1 1 309.414 1.417 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](C)CNCc2cscn2)c1[O-] ZINC001391889593 902825737 /nfs/dbraw/zinc/82/57/37/902825737.db2.gz BQSWHJVJTNCMSF-MRVPVSSYSA-N -1 1 309.395 1.036 20 0 DDADMM CCCC(=O)N(C)C[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001370428517 903135114 /nfs/dbraw/zinc/13/51/14/903135114.db2.gz ONJIOTZTUOKPED-SNVBAGLBSA-N -1 1 320.393 1.436 20 0 DDADMM Cc1c(C(=O)N(C)c2nn[n-]n2)cnn1-c1cccc(Cl)c1 ZINC001279187062 903191332 /nfs/dbraw/zinc/19/13/32/903191332.db2.gz BNZVQKUCQABIOW-UHFFFAOYSA-N -1 1 317.740 1.624 20 0 DDADMM Cc1coc(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])c1 ZINC001392543982 904414656 /nfs/dbraw/zinc/41/46/56/904414656.db2.gz SUJGBQZZBVUOKZ-SNVBAGLBSA-N -1 1 303.318 1.237 20 0 DDADMM CC(C)=CC(=O)N[C@@H](C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001281438213 904847311 /nfs/dbraw/zinc/84/73/11/904847311.db2.gz QTNYUDSIUOBNPC-RYUDHWBXSA-N -1 1 305.378 1.767 20 0 DDADMM CC[C@H](F)CN(C)C[C@H](C)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001392724425 905064967 /nfs/dbraw/zinc/06/49/67/905064967.db2.gz OBFAAVSUROMXEN-UWVGGRQHSA-N -1 1 324.356 1.727 20 0 DDADMM CC/C=C(\C)C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001282071152 905506619 /nfs/dbraw/zinc/50/66/19/905506619.db2.gz BHJPYGRODBPJAA-BUVFXISZSA-N -1 1 317.389 1.768 20 0 DDADMM Cc1nnc(CNCCC[C@@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001283164377 906776438 /nfs/dbraw/zinc/77/64/38/906776438.db2.gz GIXBJDVTIUYXOM-SNVBAGLBSA-N -1 1 319.365 1.167 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])C1(CCF)CC1 ZINC001393395749 906876482 /nfs/dbraw/zinc/87/64/82/906876482.db2.gz VZYDEGPWYNGRTR-LLVKDONJSA-N -1 1 321.352 1.258 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])C1(CCF)CC1 ZINC001393395759 906876927 /nfs/dbraw/zinc/87/69/27/906876927.db2.gz VZYDEGPWYNGRTR-NSHDSACASA-N -1 1 321.352 1.258 20 0 DDADMM CN(CCCNC(=O)CCC1CCC1)C(=O)c1ncccc1[O-] ZINC001283515650 907510772 /nfs/dbraw/zinc/51/07/72/907510772.db2.gz HVRYQDMBSTXSNG-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM Cc1coc(C)c1C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001372839045 908399052 /nfs/dbraw/zinc/39/90/52/908399052.db2.gz IRLCHNLLIARXMD-JTQLQIEISA-N -1 1 317.345 1.545 20 0 DDADMM C[C@@H](C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C)C1CC1 ZINC001394810703 910624946 /nfs/dbraw/zinc/62/49/46/910624946.db2.gz KVIZFFPGPKPWGL-KOLCDFICSA-N -1 1 309.414 1.177 20 0 DDADMM C[C@H](c1cnccn1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001374065972 911784541 /nfs/dbraw/zinc/78/45/41/911784541.db2.gz PDVQEXPXXHVYIO-GFCCVEGCSA-N -1 1 313.361 1.096 20 0 DDADMM CN(CCN(C)C(=O)C1(CF)CCC1)C(=O)c1ncccc1[O-] ZINC001376263134 918098673 /nfs/dbraw/zinc/09/86/73/918098673.db2.gz ZFWUUIPFDZYGNA-UHFFFAOYSA-N -1 1 323.368 1.457 20 0 DDADMM CCC[C@@H](C)CC(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001377682027 922689137 /nfs/dbraw/zinc/68/91/37/922689137.db2.gz ZHGDGXMNQIBEGW-CHWSQXEVSA-N -1 1 323.441 1.665 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3CC(C)(C)C)nc2n1 ZINC000622870222 365550108 /nfs/dbraw/zinc/55/01/08/365550108.db2.gz UZESWNFVQLIPLG-VHSXEESVSA-N -1 1 303.366 1.737 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC[C@H]3CC)nc2n1 ZINC000622993463 365585450 /nfs/dbraw/zinc/58/54/50/365585450.db2.gz OCQXWBQARGIKPY-MWLCHTKSSA-N -1 1 303.366 1.745 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3C(F)(F)F)nc2n1 ZINC000622993157 365585922 /nfs/dbraw/zinc/58/59/22/365585922.db2.gz DBLTZYORULCXCS-RNFRBKRXSA-N -1 1 315.255 1.117 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)Cc3occc3C)nc2n1 ZINC000622997532 365590091 /nfs/dbraw/zinc/59/00/91/365590091.db2.gz AXTLTOSPZOKGNX-UHFFFAOYSA-N -1 1 315.333 1.453 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCOCC3)nc2n1 ZINC000622996842 365590245 /nfs/dbraw/zinc/59/02/45/365590245.db2.gz CFEBXSUGWIMPLR-SNVBAGLBSA-N -1 1 319.365 1.125 20 0 DDADMM CC(C)(C)c1nnc(S(=O)(=O)Cc2nnc3n2CCCC3)[n-]1 ZINC000278543020 214225507 /nfs/dbraw/zinc/22/55/07/214225507.db2.gz NGIZQZGKZMLFFU-UHFFFAOYSA-N -1 1 324.410 1.004 20 0 DDADMM CC(C)(C)c1n[n-]c(S(=O)(=O)Cc2nnc3n2CCCC3)n1 ZINC000278543020 214225508 /nfs/dbraw/zinc/22/55/08/214225508.db2.gz NGIZQZGKZMLFFU-UHFFFAOYSA-N -1 1 324.410 1.004 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2nnc3n2CCCC3)n[n-]1 ZINC000278543020 214225510 /nfs/dbraw/zinc/22/55/10/214225510.db2.gz NGIZQZGKZMLFFU-UHFFFAOYSA-N -1 1 324.410 1.004 20 0 DDADMM COCCCn1cc(C)c([N-]S(=O)(=O)N2CCC[C@@H]2C)n1 ZINC000451091083 231007109 /nfs/dbraw/zinc/00/71/09/231007109.db2.gz UWIZFCWNPGYCFC-LBPRGKRZSA-N -1 1 316.427 1.369 20 0 DDADMM CS(=O)(=O)NCCCNC(=O)c1ccc2ccccc2c1[O-] ZINC000079000785 185144254 /nfs/dbraw/zinc/14/42/54/185144254.db2.gz GHJYNOKUBKLIPR-UHFFFAOYSA-N -1 1 322.386 1.215 20 0 DDADMM C[C@H]1COCCN1CC[N-]S(=O)(=O)c1sccc1Cl ZINC000451163867 231024334 /nfs/dbraw/zinc/02/43/34/231024334.db2.gz FFBYZJQGUXKXJS-VIFPVBQESA-N -1 1 324.855 1.401 20 0 DDADMM O=S(=O)([N-]Cc1nnc(C2CC2)[nH]1)c1sccc1F ZINC000451680583 231161344 /nfs/dbraw/zinc/16/13/44/231161344.db2.gz SLMUDUNOSKNFQK-UHFFFAOYSA-N -1 1 302.356 1.361 20 0 DDADMM C[C@H](C(=O)N(C)CC(=O)Nc1c([O-])cccc1F)n1cccn1 ZINC000092550471 539176502 /nfs/dbraw/zinc/17/65/02/539176502.db2.gz QTJWJYFYGUOXDV-SNVBAGLBSA-N -1 1 320.324 1.386 20 0 DDADMM C[C@@H](C(=O)N(C)CC(=O)Nc1c([O-])cccc1F)n1cccn1 ZINC000092550469 539176505 /nfs/dbraw/zinc/17/65/05/539176505.db2.gz QTJWJYFYGUOXDV-JTQLQIEISA-N -1 1 320.324 1.386 20 0 DDADMM Cc1ccccc1OCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155467469 539209581 /nfs/dbraw/zinc/20/95/81/539209581.db2.gz AVPIJLHJLLVMJA-GFCCVEGCSA-N -1 1 301.350 1.293 20 0 DDADMM O=C(NCC1(CO)CC1)c1cc(Br)ccc1[O-] ZINC000230210221 539266794 /nfs/dbraw/zinc/26/67/94/539266794.db2.gz XHXQSMDEELYCDK-UHFFFAOYSA-N -1 1 300.152 1.657 20 0 DDADMM Nc1n[nH]c(C2CCN(C(=O)c3cc(F)ccc3[O-])CC2)n1 ZINC000614991901 362108535 /nfs/dbraw/zinc/10/85/35/362108535.db2.gz GAOSZLLXDYETOQ-UHFFFAOYSA-N -1 1 305.313 1.082 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)[C@H]2C[C@@H](C)Cc3cn[nH]c32)s[n-]1 ZINC000623215641 365727191 /nfs/dbraw/zinc/72/71/91/365727191.db2.gz AECWMOQKWQRXPT-XKSSXDPKSA-N -1 1 321.406 1.695 20 0 DDADMM O=C(N[C@H]1Cc2cncn2C1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000623260144 365757933 /nfs/dbraw/zinc/75/79/33/365757933.db2.gz WGWHLTLUEGUUHE-VIFPVBQESA-N -1 1 311.263 1.962 20 0 DDADMM O=C(N[C@H]1Cc2cncn2C1)c1ccc(C(F)(F)F)cc1[O-] ZINC000623262487 365758400 /nfs/dbraw/zinc/75/84/00/365758400.db2.gz DETFGSBPPOHHKX-VIFPVBQESA-N -1 1 311.263 1.962 20 0 DDADMM COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1ccc([O-])c(F)c1 ZINC000615815045 362452578 /nfs/dbraw/zinc/45/25/78/362452578.db2.gz KPBFHFMBELSORO-GYSYKLTISA-N -1 1 307.321 1.945 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2C[C@@]2(C)C(C)C)o1 ZINC000458107354 530028740 /nfs/dbraw/zinc/02/87/40/530028740.db2.gz NGZPGBGNTVHYBJ-GWCFXTLKSA-N -1 1 300.380 1.352 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H](C)c2cnn(C)c2)c1Br ZINC000616006177 362517500 /nfs/dbraw/zinc/51/75/00/362517500.db2.gz HZOGCPSCFYUAAE-LURJTMIESA-N -1 1 312.171 1.378 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc3ccccc3o2)n[n-]1 ZINC000616174015 362573190 /nfs/dbraw/zinc/57/31/90/362573190.db2.gz JDWRCXSOQCUFHV-UHFFFAOYSA-N -1 1 314.301 1.658 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc3ccccc3o2)n1 ZINC000616174015 362573197 /nfs/dbraw/zinc/57/31/97/362573197.db2.gz JDWRCXSOQCUFHV-UHFFFAOYSA-N -1 1 314.301 1.658 20 0 DDADMM Cc1cccc2nc(-c3ccc(S(N)(=O)=O)cn3)[n-]c(=O)c12 ZINC000487505685 539540560 /nfs/dbraw/zinc/54/05/60/539540560.db2.gz QRUZSCAQSPFZKV-UHFFFAOYSA-N -1 1 316.342 1.353 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cc(Br)ccc2[O-])C[C@@H]1O ZINC000400425986 302369347 /nfs/dbraw/zinc/36/93/47/302369347.db2.gz ANJGYNIMXYYSKG-PELKAZGASA-N -1 1 314.179 1.998 20 0 DDADMM Cn1ccnc1[C@@H](NC(=O)c1cc(F)ccc1[O-])C(C)(C)CO ZINC000616864411 362850310 /nfs/dbraw/zinc/85/03/10/362850310.db2.gz CBNQYNJCRDLJEW-CYBMUJFWSA-N -1 1 321.352 1.754 20 0 DDADMM O=C(NC[C@H](CO)[C@H]1CCOC1)c1c(F)ccc([O-])c1F ZINC000564613545 304004015 /nfs/dbraw/zinc/00/40/15/304004015.db2.gz ZYOVYDQDOSCECN-DTWKUNHWSA-N -1 1 301.289 1.045 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H]2C2CCC2)co1 ZINC000130032920 185930616 /nfs/dbraw/zinc/93/06/16/185930616.db2.gz OVYSZYHNFGAFTM-LBPRGKRZSA-N -1 1 312.391 1.592 20 0 DDADMM CC1(C)CN(C(=O)c2cc(F)ccc2[O-])C[C@@]2(CCOC2)O1 ZINC000279959511 215271545 /nfs/dbraw/zinc/27/15/45/215271545.db2.gz DGTQXYKBPJYNET-MRXNPFEDSA-N -1 1 309.337 1.941 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H](C)CCSC)co1 ZINC000152588604 186087583 /nfs/dbraw/zinc/08/75/83/186087583.db2.gz RPPJVUWDHOXGPH-VIFPVBQESA-N -1 1 320.436 1.401 20 0 DDADMM CCC[C@H](NC(=O)c1cc(COC(C)(C)C)on1)c1nn[n-]n1 ZINC000186046717 186235147 /nfs/dbraw/zinc/23/51/47/186235147.db2.gz VETWQTQEZJOQEU-JTQLQIEISA-N -1 1 322.369 1.774 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCc1ccco1 ZINC000172040395 198029421 /nfs/dbraw/zinc/02/94/21/198029421.db2.gz PFSNGOQPOJXWQJ-UHFFFAOYSA-N -1 1 314.345 1.481 20 0 DDADMM CCNC(=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)cc1 ZINC000172366175 198085765 /nfs/dbraw/zinc/08/57/65/198085765.db2.gz FMYYJZLYVUBQEJ-CYBMUJFWSA-N -1 1 312.391 1.357 20 0 DDADMM CC(C)N(C)c1ccc(/C=C/c2cc(=O)n3[n-]cnc3n2)cn1 ZINC000287226431 219393066 /nfs/dbraw/zinc/39/30/66/219393066.db2.gz NRMPDDBLMPOPTH-GQCTYLIASA-N -1 1 310.361 1.828 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2cnn(C)c2)c(=O)[n-]1 ZINC000025307935 352196549 /nfs/dbraw/zinc/19/65/49/352196549.db2.gz GJOUYUOJHYVJGG-UHFFFAOYSA-N -1 1 307.379 1.517 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](C)[C@H]2C)o1 ZINC000172999211 198174443 /nfs/dbraw/zinc/17/44/43/198174443.db2.gz ACIZZTWZEVUQPV-VHSXEESVSA-N -1 1 300.380 1.448 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCOc2ccccc2C)n1 ZINC000057209532 352805709 /nfs/dbraw/zinc/80/57/09/352805709.db2.gz DBQPZFKQBAIHRT-UHFFFAOYSA-N -1 1 317.349 1.499 20 0 DDADMM CCCCN(CCOC)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000068458549 353113445 /nfs/dbraw/zinc/11/34/45/353113445.db2.gz FHRPCIHPCGOEHU-UHFFFAOYSA-N -1 1 318.395 1.076 20 0 DDADMM O=C(NCCCCn1ccnc1)c1nc2ccccc2c(=O)[n-]1 ZINC000079427098 353560728 /nfs/dbraw/zinc/56/07/28/353560728.db2.gz SXXRSVWUJXTBPB-UHFFFAOYSA-N -1 1 311.345 1.330 20 0 DDADMM COc1nc(C)cc(C)c1CN(C)C(=O)CCCc1nn[n-]n1 ZINC000636244734 422744569 /nfs/dbraw/zinc/74/45/69/422744569.db2.gz YRDXMIMXZSODLQ-UHFFFAOYSA-N -1 1 318.381 1.201 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1ccc2[nH]ccc2c1)c1nn[n-]n1 ZINC000124617290 354041231 /nfs/dbraw/zinc/04/12/31/354041231.db2.gz QZYBNBGRIGPARP-LLVKDONJSA-N -1 1 312.377 1.876 20 0 DDADMM C[C@@H](NS(=O)(=O)c1c(F)cc(F)cc1F)[C@@H](C)C(=O)[O-] ZINC000133154176 354107967 /nfs/dbraw/zinc/10/79/67/354107967.db2.gz RVHDCHLFNOJIKW-PHDIDXHHSA-N -1 1 311.281 1.491 20 0 DDADMM CCOC(=O)CCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601299533 358484820 /nfs/dbraw/zinc/48/48/20/358484820.db2.gz NMOHJOXLTJKQHY-UHFFFAOYSA-N -1 1 311.306 1.839 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cccc(F)c1F ZINC000588215369 354909661 /nfs/dbraw/zinc/90/96/61/354909661.db2.gz PJDFPRNVMFOXGZ-ZDUSSCGKSA-N -1 1 315.283 1.997 20 0 DDADMM CCOC(=O)c1csc(CNC(=O)c2cncc([O-])c2)n1 ZINC000346340907 283067557 /nfs/dbraw/zinc/06/75/57/283067557.db2.gz QYFLTRZILGDUPC-UHFFFAOYSA-N -1 1 307.331 1.350 20 0 DDADMM CCc1[nH]c(C(=O)Nc2c(C)[n-][nH]c2=O)c(C)c1C(=O)OC ZINC000590398536 355085072 /nfs/dbraw/zinc/08/50/72/355085072.db2.gz OLINHOUTWBQNBN-UHFFFAOYSA-N -1 1 306.322 1.662 20 0 DDADMM CCOC(=O)c1c(C)[nH]c(C(=O)Nc2c(C)[n-][nH]c2=O)c1C ZINC000590397825 355085116 /nfs/dbraw/zinc/08/51/16/355085116.db2.gz HCXUDTLOCIWPER-UHFFFAOYSA-N -1 1 306.322 1.798 20 0 DDADMM CN(C)S(=O)(=O)c1cccc(NC(=O)c2ccccc2[O-])c1 ZINC000073420906 191315943 /nfs/dbraw/zinc/31/59/43/191315943.db2.gz SFLXLBWXPVJDDE-UHFFFAOYSA-N -1 1 320.370 1.895 20 0 DDADMM NC(=O)c1ccc(CN(C(=O)c2ncccc2[O-])C2CC2)cc1 ZINC000494970714 235109342 /nfs/dbraw/zinc/10/93/42/235109342.db2.gz GQHLZLIZHVWLSB-UHFFFAOYSA-N -1 1 311.341 1.691 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1sccc1Cl)C(=O)OC ZINC000592077474 355486455 /nfs/dbraw/zinc/48/64/55/355486455.db2.gz JRMCRQXEIZLGHV-SSDOTTSWSA-N -1 1 311.812 1.879 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC ZINC000592078430 355487153 /nfs/dbraw/zinc/48/71/53/355487153.db2.gz SSCUBGFDKSDJEQ-MRVPVSSYSA-N -1 1 307.318 1.442 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-]CCC(C)(C)F ZINC000594845679 356335144 /nfs/dbraw/zinc/33/51/44/356335144.db2.gz WEZAAHCIWCKWEK-UHFFFAOYSA-N -1 1 310.372 1.346 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2cnccc2C)cc1C ZINC000595330468 356451773 /nfs/dbraw/zinc/45/17/73/356451773.db2.gz BVJAPKQKILMNIP-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCCCSC)cc1C ZINC000595311271 356443687 /nfs/dbraw/zinc/44/36/87/356443687.db2.gz IICMMVBBIBSAGL-UHFFFAOYSA-N -1 1 321.420 1.796 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCOC2(C)C)c1 ZINC000595392462 356475938 /nfs/dbraw/zinc/47/59/38/356475938.db2.gz NAQQTEZGZKFZTM-JTQLQIEISA-N -1 1 317.363 1.160 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2csc(=NC3CC3)[n-]2)[C@@H]1C ZINC000347191967 283203364 /nfs/dbraw/zinc/20/33/64/283203364.db2.gz ITCQEDIGLUAVHF-PSASIEDQSA-N -1 1 309.391 1.163 20 0 DDADMM CCOC(=O)CCN(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000597226339 357101874 /nfs/dbraw/zinc/10/18/74/357101874.db2.gz NFJLLZHXBHOBCC-UHFFFAOYSA-N -1 1 302.330 1.966 20 0 DDADMM CC(C)(C)OC(=O)C1(C(=O)NCc2nn[n-]n2)CCCCCC1 ZINC000597510012 357202136 /nfs/dbraw/zinc/20/21/36/357202136.db2.gz CKVRYSBWENVLMM-UHFFFAOYSA-N -1 1 323.397 1.498 20 0 DDADMM COC(=O)c1cccc(F)c1[N-]C(=O)c1nnn(C(C)(C)C)n1 ZINC000598835033 357739058 /nfs/dbraw/zinc/73/90/58/357739058.db2.gz KMSUWGRHBBSVMW-UHFFFAOYSA-N -1 1 321.312 1.606 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]C2CC(C)C2)c1F ZINC000599248992 357861976 /nfs/dbraw/zinc/86/19/76/357861976.db2.gz QHMRERLRHHUBKW-UHFFFAOYSA-N -1 1 319.329 1.828 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccccc1CCc1ccccc1 ZINC000599377202 357915320 /nfs/dbraw/zinc/91/53/20/357915320.db2.gz NOQUVBSVJYHPBJ-UHFFFAOYSA-N -1 1 307.357 1.915 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@@H]1CCC1(F)F ZINC000601438939 358553761 /nfs/dbraw/zinc/55/37/61/358553761.db2.gz CXLVWZATNHPBNS-UWVGGRQHSA-N -1 1 311.350 1.293 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)[C@@H]1CCCC[C@@H]1OC ZINC000601439109 358553946 /nfs/dbraw/zinc/55/39/46/358553946.db2.gz GOYCIOYOOXSRHH-RWMBFGLXSA-N -1 1 319.423 1.205 20 0 DDADMM COC(=O)[C@](C)(CCF)[N-]S(=O)(=O)C[C@H]1CCC1(F)F ZINC000601466632 358566588 /nfs/dbraw/zinc/56/65/88/358566588.db2.gz KPSIDYLGQYMFJV-SCZZXKLOSA-N -1 1 317.329 1.242 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCc2ccc(C)cc2)n[n-]1 ZINC000603019262 359361383 /nfs/dbraw/zinc/36/13/83/359361383.db2.gz UGUGCWSSVIXLMA-UHFFFAOYSA-N -1 1 316.361 1.539 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCc2ccc(C)cc2)n1 ZINC000603019262 359361385 /nfs/dbraw/zinc/36/13/85/359361385.db2.gz UGUGCWSSVIXLMA-UHFFFAOYSA-N -1 1 316.361 1.539 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CC2(CSC)CC2)n[n-]1 ZINC000603021295 359363773 /nfs/dbraw/zinc/36/37/73/359363773.db2.gz JWLCZEYGPPJILG-UHFFFAOYSA-N -1 1 312.395 1.131 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CC2(CSC)CC2)n1 ZINC000603021295 359363778 /nfs/dbraw/zinc/36/37/78/359363778.db2.gz JWLCZEYGPPJILG-UHFFFAOYSA-N -1 1 312.395 1.131 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2[C@H]3CCCCCC[C@H]23)n[n-]1 ZINC000603022736 359364746 /nfs/dbraw/zinc/36/47/46/359364746.db2.gz PRUVWMGYXGFGNO-QWRGUYRKSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2[C@H]3CCCCCC[C@H]23)n1 ZINC000603022736 359364751 /nfs/dbraw/zinc/36/47/51/359364751.db2.gz PRUVWMGYXGFGNO-QWRGUYRKSA-N -1 1 320.393 1.814 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2 ZINC000603367307 359596641 /nfs/dbraw/zinc/59/66/41/359596641.db2.gz PHSFTLYXZNKTAC-IRCOFANPSA-N -1 1 319.423 1.204 20 0 DDADMM CS[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccccc1 ZINC000187257771 200085146 /nfs/dbraw/zinc/08/51/46/200085146.db2.gz YOEPNACXEISXSP-PWSUYJOCSA-N -1 1 305.407 1.866 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H]1C[C@H]1c1cc(Cl)cc(Cl)c1 ZINC000607905732 360065331 /nfs/dbraw/zinc/06/53/31/360065331.db2.gz JJCYYGTTWJYKDJ-UWVGGRQHSA-N -1 1 312.160 1.926 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cnn(CC3CC3)c2)cc1 ZINC000608343712 360161075 /nfs/dbraw/zinc/16/10/75/360161075.db2.gz OTZULIOMCVWHFH-UHFFFAOYSA-N -1 1 321.358 1.792 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1cc(=O)n(C)[n-]1)c1ccc(Cl)cc1 ZINC000611798595 360827566 /nfs/dbraw/zinc/82/75/66/360827566.db2.gz DIXWMJJGJBUOPY-ZDUSSCGKSA-N -1 1 322.796 1.812 20 0 DDADMM CCC[C@@H](NC(=O)c1ccnn1C1CCOCC1)c1nn[n-]n1 ZINC000612060354 360905149 /nfs/dbraw/zinc/90/51/49/360905149.db2.gz KCWNHVUMTHFYOG-LLVKDONJSA-N -1 1 319.369 1.019 20 0 DDADMM CCC[C@@H](NC(=O)C(=O)Nc1c(F)cccc1F)c1nn[n-]n1 ZINC000612061784 360906862 /nfs/dbraw/zinc/90/68/62/360906862.db2.gz RJUVTMXYUYMURG-SECBINFHSA-N -1 1 324.291 1.074 20 0 DDADMM CCc1ccc(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)nc1 ZINC000612815427 361153731 /nfs/dbraw/zinc/15/37/31/361153731.db2.gz GVMYELOHCWBTQJ-LBPRGKRZSA-N -1 1 300.366 1.106 20 0 DDADMM CC(C)(CC(F)(F)F)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612814894 361154496 /nfs/dbraw/zinc/15/44/96/361154496.db2.gz FWIORYISVSRECZ-QMMMGPOBSA-N -1 1 305.304 1.884 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cnn(Cc2ccncc2)c1 ZINC000193322611 201029884 /nfs/dbraw/zinc/02/98/84/201029884.db2.gz AWEJVUODXOPYJF-LLVKDONJSA-N -1 1 310.379 1.103 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N(CC(C)C)C2CC2)n1 ZINC000193541389 201072603 /nfs/dbraw/zinc/07/26/03/201072603.db2.gz SPVMCFQAEJXSNK-UHFFFAOYSA-N -1 1 311.407 1.935 20 0 DDADMM CC(C)N1CCN(C(=O)c2ncc3ccccc3c2[O-])CC1=O ZINC000613360622 361392464 /nfs/dbraw/zinc/39/24/64/361392464.db2.gz GKJHWNMDNBZKOW-UHFFFAOYSA-N -1 1 313.357 1.633 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncnc2sccc21)c1nn[n-]n1 ZINC000613471974 361433259 /nfs/dbraw/zinc/43/32/59/361433259.db2.gz IFGZMHKOEFLMOB-ZETCQYMHSA-N -1 1 303.351 1.080 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2ccc(F)cc2)CC1 ZINC000193890462 201140613 /nfs/dbraw/zinc/14/06/13/201140613.db2.gz BWCBBLOJDWKSPW-UHFFFAOYSA-N -1 1 301.321 1.889 20 0 DDADMM CC[C@](C)(NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C1CC1 ZINC000618958048 363820146 /nfs/dbraw/zinc/82/01/46/363820146.db2.gz XTGUAWJIYJTRDK-ZDUSSCGKSA-N -1 1 300.380 1.496 20 0 DDADMM CCc1nc(SCCCS(=O)(=O)NC)[n-]c(=O)c1C ZINC000566021294 304111958 /nfs/dbraw/zinc/11/19/58/304111958.db2.gz SQRSJNFKUSOEBY-UHFFFAOYSA-N -1 1 305.425 1.084 20 0 DDADMM CN(C)C(=O)N(C)[C@@H]1CCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000619979293 364226772 /nfs/dbraw/zinc/22/67/72/364226772.db2.gz KTDVVKIVGKUOOH-LLVKDONJSA-N -1 1 309.341 1.359 20 0 DDADMM CCCCOc1ccc(C(=O)N=c2[nH]c(C)nn2C)c([O-])c1 ZINC000621952793 365139558 /nfs/dbraw/zinc/13/95/58/365139558.db2.gz QUVOQHZDYVHNMX-UHFFFAOYSA-N -1 1 304.350 1.682 20 0 DDADMM CC[C@H](C)OCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091160913 193009347 /nfs/dbraw/zinc/00/93/47/193009347.db2.gz OHAOITVIYJZYIP-VIFPVBQESA-N -1 1 318.370 1.951 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC2(CNC(=O)C2)CC1 ZINC000091409540 193052438 /nfs/dbraw/zinc/05/24/38/193052438.db2.gz JPVNIDHWPHXYNP-UHFFFAOYSA-N -1 1 308.765 1.788 20 0 DDADMM Cn1cnc(CNC(=O)c2ccc(Br)cc2[O-])n1 ZINC000091886848 193122052 /nfs/dbraw/zinc/12/20/52/193122052.db2.gz QILIJQYGWCVGPG-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM C[C@H](OCC1CC1)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622609659 365449754 /nfs/dbraw/zinc/44/97/54/365449754.db2.gz DUSUQTQPKGFUPC-GXFFZTMASA-N -1 1 301.350 1.220 20 0 DDADMM O=S(=O)([N-][C@H]1CO[C@@H](C2CC2)C1)c1ccc(F)c(F)c1F ZINC000625578293 367027468 /nfs/dbraw/zinc/02/74/68/367027468.db2.gz FIDTZLAOCOBOAB-PSASIEDQSA-N -1 1 321.320 1.950 20 0 DDADMM C[C@@H](CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1)C(F)(F)F ZINC000626045591 367296377 /nfs/dbraw/zinc/29/63/77/367296377.db2.gz TZXXUYJXAIQMAF-ZETCQYMHSA-N -1 1 323.344 1.188 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)CCN(C)c1ccccc1 ZINC000349580816 283971943 /nfs/dbraw/zinc/97/19/43/283971943.db2.gz DCYMNPOFOUMCOO-UHFFFAOYSA-N -1 1 324.406 1.152 20 0 DDADMM CCn1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(C)n1 ZINC000626906053 367828988 /nfs/dbraw/zinc/82/89/88/367828988.db2.gz HNXNKHMPOYRDRW-UHFFFAOYSA-N -1 1 305.338 1.320 20 0 DDADMM O=C([O-])C1CCN(CC(=O)Nc2ccc3c(c2)CCC3)CC1 ZINC000261613863 203080502 /nfs/dbraw/zinc/08/05/02/203080502.db2.gz FJNQEJDPMBMJBV-UHFFFAOYSA-N -1 1 302.374 1.910 20 0 DDADMM O=C([O-])[C@@H](CC(F)(F)F)NC(=O)c1n[nH]c2ccccc21 ZINC000261602304 203078697 /nfs/dbraw/zinc/07/86/97/203078697.db2.gz BYKABPLAFAGOLK-MRVPVSSYSA-N -1 1 301.224 1.698 20 0 DDADMM O=C([O-])CSCCNS(=O)(=O)c1c(F)cccc1F ZINC000274966864 212048215 /nfs/dbraw/zinc/04/82/15/212048215.db2.gz IIIATEQFPGYTFO-UHFFFAOYSA-N -1 1 311.331 1.061 20 0 DDADMM COc1ccccc1CN(CCO)C(=O)c1cncc([O-])c1 ZINC000264721040 204101781 /nfs/dbraw/zinc/10/17/81/204101781.db2.gz GATUKAZOEKKIBV-UHFFFAOYSA-N -1 1 302.330 1.431 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCC(C)(C)C2)c1 ZINC000350943908 284285135 /nfs/dbraw/zinc/28/51/35/284285135.db2.gz RQKFFKXZACANRM-JTQLQIEISA-N -1 1 301.364 1.923 20 0 DDADMM CC(C)(C)n1ncnc1CS(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000282562847 217091489 /nfs/dbraw/zinc/09/14/89/217091489.db2.gz JWEJRMUIMSCPLJ-UHFFFAOYSA-N -1 1 310.383 1.003 20 0 DDADMM O=C(NC[C@]1(O)CCOC1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000282617120 217129227 /nfs/dbraw/zinc/12/92/27/217129227.db2.gz IEDUMYXIYNYSLG-OAHLLOKOSA-N -1 1 322.748 1.475 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)N1CCC[C@H]1C)c1cccs1 ZINC000351471897 284328624 /nfs/dbraw/zinc/32/86/24/284328624.db2.gz MUFUZZFUVXNLLN-KOLCDFICSA-N -1 1 318.420 1.281 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CN2CCC(C)CC2)c1 ZINC000282713974 217196464 /nfs/dbraw/zinc/19/64/64/217196464.db2.gz GTCHQWURYSSACB-UHFFFAOYSA-N -1 1 306.362 1.849 20 0 DDADMM CCn1c(C)cc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c1C ZINC000283011318 217385473 /nfs/dbraw/zinc/38/54/73/217385473.db2.gz WKPHQLBUCMURGG-LBPRGKRZSA-N -1 1 317.393 1.556 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCCC2(C)C)o1 ZINC000267523142 206122173 /nfs/dbraw/zinc/12/21/73/206122173.db2.gz MWJGCOZDYAKKAY-JTQLQIEISA-N -1 1 301.364 1.923 20 0 DDADMM Cc1nc[nH]c1CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000267858576 206307060 /nfs/dbraw/zinc/30/70/60/206307060.db2.gz FWYCCKWHQHDXLK-UHFFFAOYSA-N -1 1 303.366 1.750 20 0 DDADMM O=C(C=Cc1cc2ccccc2o1)NCCCc1nc(=O)[n-][nH]1 ZINC000174582954 248370435 /nfs/dbraw/zinc/37/04/35/248370435.db2.gz KSVWDNJKLZVLQF-BQYQJAHWSA-N -1 1 312.329 1.606 20 0 DDADMM CS(=O)(=O)C[C@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000314921563 248844546 /nfs/dbraw/zinc/84/45/46/248844546.db2.gz PNWCGQZTIKQTSX-JTQLQIEISA-N -1 1 315.366 1.428 20 0 DDADMM N=c1nc(N2CCN(C(=O)C[C@@H]3CC[C@H]4C[C@H]43)CC2)s[n-]1 ZINC000333552334 249021561 /nfs/dbraw/zinc/02/15/61/249021561.db2.gz MTHWKKICICVJQT-GARJFASQSA-N -1 1 307.423 1.035 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)c1Br ZINC000333978156 249168994 /nfs/dbraw/zinc/16/89/94/249168994.db2.gz ZPHIWMXDPYPDBW-BHNWBGBOSA-N -1 1 314.183 1.663 20 0 DDADMM COC(=O)COc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000336852347 249257277 /nfs/dbraw/zinc/25/72/77/249257277.db2.gz ORWHSESXCZCVQG-UHFFFAOYSA-N -1 1 302.286 1.591 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]Cc2ccc[nH]2)c(C(F)(F)F)n1 ZINC000338922077 250197043 /nfs/dbraw/zinc/19/70/43/250197043.db2.gz SKGORESKINVJJZ-UHFFFAOYSA-N -1 1 308.285 1.246 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2sccc2F)CCCCC1 ZINC000338876126 250177388 /nfs/dbraw/zinc/17/73/88/250177388.db2.gz ODNUIBJXNLYMLX-UHFFFAOYSA-N -1 1 306.384 1.354 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1sccc1F)[C@H](C)N1CCOCC1 ZINC000338890325 250183457 /nfs/dbraw/zinc/18/34/57/250183457.db2.gz PDLCTRMCMATAFA-ZJUUUORDSA-N -1 1 322.427 1.275 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000285118922 218297891 /nfs/dbraw/zinc/29/78/91/218297891.db2.gz VIVCBMBXRYAQGR-YUTCNCBUSA-N -1 1 309.337 1.533 20 0 DDADMM COc1cncc(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)c1 ZINC000285166948 218317239 /nfs/dbraw/zinc/31/72/39/218317239.db2.gz SWEVUEJRMINQGE-UHFFFAOYSA-N -1 1 309.351 1.277 20 0 DDADMM CC(=O)c1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)cs1 ZINC000340463348 251070844 /nfs/dbraw/zinc/07/08/44/251070844.db2.gz LQQYCPWMOAMCRZ-UHFFFAOYSA-N -1 1 305.363 1.663 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCC[C@@H]1F ZINC000340827635 251230652 /nfs/dbraw/zinc/23/06/52/251230652.db2.gz PKEGWGWDYZXHOT-RYUDHWBXSA-N -1 1 306.341 1.601 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-]c1ccccc1-c1nnc[nH]1 ZINC000340943314 251293908 /nfs/dbraw/zinc/29/39/08/251293908.db2.gz ADRRCEWLPXMMMJ-UHFFFAOYSA-N -1 1 318.362 1.314 20 0 DDADMM CC(C)n1cc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)cn1 ZINC000061683250 184182109 /nfs/dbraw/zinc/18/21/09/184182109.db2.gz DTBQUGAUKHDTFI-UHFFFAOYSA-N -1 1 309.347 1.963 20 0 DDADMM Cc1ccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c(Cl)c1 ZINC000352431953 285046608 /nfs/dbraw/zinc/04/66/08/285046608.db2.gz NXWALUCBAPVJHV-UHFFFAOYSA-N -1 1 303.709 1.632 20 0 DDADMM CC(C)Oc1ccccc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000352465107 285069575 /nfs/dbraw/zinc/06/95/75/285069575.db2.gz DAXQIAJKJBKXPQ-LLVKDONJSA-N -1 1 303.366 1.863 20 0 DDADMM CSc1nc([N-]C(=O)c2cc(Cn3cc(C)cn3)on2)n[nH]1 ZINC000270116688 208177064 /nfs/dbraw/zinc/17/70/64/208177064.db2.gz UPCKGTJSSVGXCS-UHFFFAOYSA-N -1 1 319.350 1.320 20 0 DDADMM CC(C)(NC(=O)CNC(=O)c1ncccc1[O-])c1nccs1 ZINC000352543954 285129626 /nfs/dbraw/zinc/12/96/26/285129626.db2.gz LOPWCWXNIYPXNW-UHFFFAOYSA-N -1 1 320.374 1.025 20 0 DDADMM CCOC1CC2(C1)CCN(C(=O)C(=O)c1ccc([O-])cc1)C2 ZINC000286527894 219037208 /nfs/dbraw/zinc/03/72/08/219037208.db2.gz CBVXGRUJFAWMGY-UHFFFAOYSA-N -1 1 303.358 1.993 20 0 DDADMM Cc1ccc2nc(CNC(=O)C3(C(=O)[O-])CCOCC3)[nH]c2c1 ZINC000567971158 304257059 /nfs/dbraw/zinc/25/70/59/304257059.db2.gz ZBXVVCYJSADRRM-UHFFFAOYSA-N -1 1 317.345 1.369 20 0 DDADMM CO[C@H](CC(C)C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000416193331 533141740 /nfs/dbraw/zinc/14/17/40/533141740.db2.gz RNEDRCGIBNJYEW-GFCCVEGCSA-N -1 1 316.379 1.039 20 0 DDADMM COC(=O)C(C)(C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425145665 533160460 /nfs/dbraw/zinc/16/04/60/533160460.db2.gz ULTKOVUHXSLOCV-UHFFFAOYSA-N -1 1 307.318 1.503 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](CO)Cc2cccnc2)c([O-])c1 ZINC000568205198 304276137 /nfs/dbraw/zinc/27/61/37/304276137.db2.gz HINCQMWPJYEQPC-ZDUSSCGKSA-N -1 1 301.346 1.072 20 0 DDADMM COc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000491858115 533245639 /nfs/dbraw/zinc/24/56/39/533245639.db2.gz FFAKNPUJZQYEPP-TWGQIWQCSA-N -1 1 313.361 1.807 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cc(C)cnc1OC(C)C ZINC000451066617 533442721 /nfs/dbraw/zinc/44/27/21/533442721.db2.gz CNGANVDWVXCYSJ-UHFFFAOYSA-N -1 1 316.379 1.482 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)c1ncn(C)n1 ZINC000444229094 533482119 /nfs/dbraw/zinc/48/21/19/533482119.db2.gz TZSROKNCBDOLRB-ZCFIWIBFSA-N -1 1 320.296 1.272 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCCc2c(F)cc(F)cc21 ZINC000633257292 422828712 /nfs/dbraw/zinc/82/87/12/422828712.db2.gz WTBBNYNTAMWLRR-GFCCVEGCSA-N -1 1 307.304 1.604 20 0 DDADMM Cc1ccc(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)cc1 ZINC000114413396 407570135 /nfs/dbraw/zinc/57/01/35/407570135.db2.gz RPUHNQBGCOXYBQ-UHFFFAOYSA-N -1 1 310.357 1.878 20 0 DDADMM O=S(=O)([N-]CCCCCO)c1nc2ccccc2s1 ZINC000566164002 308047122 /nfs/dbraw/zinc/04/71/22/308047122.db2.gz IEVKOUYJFVSOFI-UHFFFAOYSA-N -1 1 300.405 1.737 20 0 DDADMM CCOC(=O)CC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000006891742 406742865 /nfs/dbraw/zinc/74/28/65/406742865.db2.gz YHTOZYIDCVRMOX-UHFFFAOYSA-N -1 1 311.281 1.335 20 0 DDADMM C[C@H](NC(=O)c1cncc([O-])c1)c1ccc(-n2ccnn2)cc1 ZINC000179037673 306690221 /nfs/dbraw/zinc/69/02/21/306690221.db2.gz KLQSLICRMSTXPG-NSHDSACASA-N -1 1 309.329 1.859 20 0 DDADMM O=C(CNC(=O)c1ccc(Cl)cc1[O-])NCc1ccco1 ZINC000073067872 406887593 /nfs/dbraw/zinc/88/75/93/406887593.db2.gz TZTCHRACPZCAEW-UHFFFAOYSA-N -1 1 308.721 1.685 20 0 DDADMM O=C(NC[C@@H]1Cc2ccccc2O1)c1nc2ccccc2c(=O)[n-]1 ZINC000073891507 406896112 /nfs/dbraw/zinc/89/61/12/406896112.db2.gz BHKUWCFAQZSXDX-LBPRGKRZSA-N -1 1 321.336 1.657 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)c1ccc(F)cc1 ZINC000074162018 406904348 /nfs/dbraw/zinc/90/43/48/406904348.db2.gz WNESUQADHNHFHP-UHFFFAOYSA-N -1 1 314.320 1.709 20 0 DDADMM O=C([O-])C1CCN(CC(=O)NCc2ccc(Cl)cc2)CC1 ZINC000035299792 406970956 /nfs/dbraw/zinc/97/09/56/406970956.db2.gz DNWZSOHMXVORHT-UHFFFAOYSA-N -1 1 310.781 1.753 20 0 DDADMM CC(C)(C)NS(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000077383449 406988147 /nfs/dbraw/zinc/98/81/47/406988147.db2.gz BCKBNSXRMWQHGA-UHFFFAOYSA-N -1 1 324.399 1.274 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc(Br)cc2[O-])C[C@H]1O ZINC000089425933 407134872 /nfs/dbraw/zinc/13/48/72/407134872.db2.gz QFVQDDNGFLTRQE-PRHODGIISA-N -1 1 314.179 1.998 20 0 DDADMM COc1ccc(C[C@H](C)C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000124413888 407357433 /nfs/dbraw/zinc/35/74/33/407357433.db2.gz HDYCJNMJZKXCRG-RYUDHWBXSA-N -1 1 317.393 1.649 20 0 DDADMM C[C@H]1CCCC[N@H+]1CCNC(=O)c1nc2ccccc2c(=O)[nH]1 ZINC000107324118 407372767 /nfs/dbraw/zinc/37/27/67/407372767.db2.gz KPPITDQKOCQBSU-LBPRGKRZSA-N -1 1 314.389 1.527 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCc2ccccc2)o1 ZINC000107697916 407378526 /nfs/dbraw/zinc/37/85/26/407378526.db2.gz DTMHBVXIVSYIQS-UHFFFAOYSA-N -1 1 323.370 1.977 20 0 DDADMM CCc1ccc(C(=O)[O-])cc1S(=O)(=O)N(C)CCN(C)C ZINC000107062597 407369551 /nfs/dbraw/zinc/36/95/51/407369551.db2.gz CAMLYKJUVMUGMQ-UHFFFAOYSA-N -1 1 314.407 1.129 20 0 DDADMM O=C([O-])[C@@]1(C(F)(F)F)CCN(CCOCC(F)(F)F)C1 ZINC000111242575 407402378 /nfs/dbraw/zinc/40/23/78/407402378.db2.gz JVMAZEZTOWXXJQ-MRVPVSSYSA-N -1 1 309.206 1.904 20 0 DDADMM O=C(CNC(=O)c1cncc([O-])c1)Nc1cccc2cccnc21 ZINC000111592487 407412102 /nfs/dbraw/zinc/41/21/02/407412102.db2.gz AKVGTTBIYKSKOB-UHFFFAOYSA-N -1 1 322.324 1.704 20 0 DDADMM CN1C(=S)N=NC1[C@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000111612185 407412698 /nfs/dbraw/zinc/41/26/98/407412698.db2.gz QJIOWKSZJVRRQZ-VIFPVBQESA-N -1 1 319.390 1.224 20 0 DDADMM CN1C(=S)N=NC1[C@@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000111612183 407413063 /nfs/dbraw/zinc/41/30/63/407413063.db2.gz QJIOWKSZJVRRQZ-SECBINFHSA-N -1 1 319.390 1.224 20 0 DDADMM CS(=O)(=O)Cc1nc(-c2ccc([O-])c(C(N)=O)c2)cs1 ZINC000151777540 407495152 /nfs/dbraw/zinc/49/51/52/407495152.db2.gz DNWSFLHIORIOQC-UHFFFAOYSA-N -1 1 312.372 1.159 20 0 DDADMM C[C@@H]1C[C@H](NS(=O)(=O)c2c[nH]cn2)CN1Cc1ccccc1 ZINC000128170863 407502955 /nfs/dbraw/zinc/50/29/55/407502955.db2.gz QSJWTGVVTBTICM-OCCSQVGLSA-N -1 1 320.418 1.351 20 0 DDADMM CCOc1c(F)cccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129507419 407615661 /nfs/dbraw/zinc/61/56/61/407615661.db2.gz LCLGWJKVKVOWSV-SNVBAGLBSA-N -1 1 319.340 1.757 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCO1 ZINC000271332994 407641701 /nfs/dbraw/zinc/64/17/01/407641701.db2.gz ZXUTVXXKVDINLE-JGVFFNPUSA-N -1 1 309.309 1.950 20 0 DDADMM CC(C)[C@]1(C)C[C@@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000186645930 407729797 /nfs/dbraw/zinc/72/97/97/407729797.db2.gz GDOIEMZXRQCBOO-YGRLFVJLSA-N -1 1 312.391 1.660 20 0 DDADMM O=C(Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-])C1CCC1 ZINC000130522209 407695716 /nfs/dbraw/zinc/69/57/16/407695716.db2.gz OJKDEIPDYISTMO-UHFFFAOYSA-N -1 1 310.375 1.572 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(Cl)cc1)S(=O)(=O)c1ncn[n-]1 ZINC000153028215 407737105 /nfs/dbraw/zinc/73/71/05/407737105.db2.gz UAMXNTGWOLNLPX-ZETCQYMHSA-N -1 1 314.754 1.259 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(Cl)cc1)S(=O)(=O)c1nc[n-]n1 ZINC000153028215 407737110 /nfs/dbraw/zinc/73/71/10/407737110.db2.gz UAMXNTGWOLNLPX-ZETCQYMHSA-N -1 1 314.754 1.259 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cnn(C(C)C)c1)c1ccco1 ZINC000267106210 407749117 /nfs/dbraw/zinc/74/91/17/407749117.db2.gz XMTZUQMAHOKSHB-GFCCVEGCSA-N -1 1 313.379 1.723 20 0 DDADMM CCC[C@@H](NCC(=O)Nc1cccc(C(=O)NCC)c1)C(=O)[O-] ZINC000262301800 407791434 /nfs/dbraw/zinc/79/14/34/407791434.db2.gz QIQHYIVNKNXLFQ-CYBMUJFWSA-N -1 1 321.377 1.218 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCS1 ZINC000179525710 407802538 /nfs/dbraw/zinc/80/25/38/407802538.db2.gz ARESKNXWTAFVSN-LBPRGKRZSA-N -1 1 320.418 1.888 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC(C)(C)C2)co1 ZINC000133990603 407881484 /nfs/dbraw/zinc/88/14/84/407881484.db2.gz USYAMRYEBDRFBX-UHFFFAOYSA-N -1 1 300.380 1.450 20 0 DDADMM NC(=O)[C@H]1CCCN1C(=O)c1ccc(Br)c([O-])c1 ZINC000134319959 407893791 /nfs/dbraw/zinc/89/37/91/407893791.db2.gz YSTUQUPOTOEUNG-SECBINFHSA-N -1 1 313.151 1.245 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(C)cc2O)co1 ZINC000153797544 407903436 /nfs/dbraw/zinc/90/34/36/407903436.db2.gz DJUUNXHNQWZBQT-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM CC[C@@]1(C)CCCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000154089032 407968408 /nfs/dbraw/zinc/96/84/08/407968408.db2.gz ICCBNLBMQKAOJT-AWEZNQCLSA-N -1 1 314.407 1.840 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1n[nH]c2ccccc21)[C@@H]1CCCOC1 ZINC000262760832 407920600 /nfs/dbraw/zinc/92/06/00/407920600.db2.gz KRALIVCABFMXAD-SKDRFNHKSA-N -1 1 303.318 1.173 20 0 DDADMM CCOC(=O)c1cc(NC(=O)CCn2cc[nH+]c2C(C)C)[nH]n1 ZINC000181749243 408035427 /nfs/dbraw/zinc/03/54/27/408035427.db2.gz MCZLHIHGDYUEBH-UHFFFAOYSA-N -1 1 319.365 1.935 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000119070115 407986023 /nfs/dbraw/zinc/98/60/23/407986023.db2.gz RKBBOCPUSZFXBB-LLVKDONJSA-N -1 1 306.362 1.981 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@H](C)O1 ZINC000119241455 408021131 /nfs/dbraw/zinc/02/11/31/408021131.db2.gz MYSJHKYWSXTGBF-VHSXEESVSA-N -1 1 304.350 1.313 20 0 DDADMM CCC[C@H](NC(=O)CCNC(=O)C1CCCCC1)c1nn[n-]n1 ZINC000136643411 408115367 /nfs/dbraw/zinc/11/53/67/408115367.db2.gz HKFSGTLWNHWFRU-LBPRGKRZSA-N -1 1 322.413 1.244 20 0 DDADMM CCC[C@H](NC(=O)CCCOc1cccnc1)c1nn[n-]n1 ZINC000136633728 408116023 /nfs/dbraw/zinc/11/60/23/408116023.db2.gz PBSMZSUYTPWMOF-LBPRGKRZSA-N -1 1 304.354 1.411 20 0 DDADMM CC[C@H](Oc1cccc(C)c1)C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000175413524 408123747 /nfs/dbraw/zinc/12/37/47/408123747.db2.gz JOCSLLQTXXZSEN-ZDUSSCGKSA-N -1 1 318.377 1.313 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CCCc3c[nH]nc32)c1Cl ZINC000268784698 408192621 /nfs/dbraw/zinc/19/26/21/408192621.db2.gz JVQXURDCGRHGTB-MRVPVSSYSA-N -1 1 315.786 1.153 20 0 DDADMM Cc1cccc(NC[C@@H]2CCN(c3cnc(C(=O)[O-])cn3)C2)n1 ZINC000263449522 408142915 /nfs/dbraw/zinc/14/29/15/408142915.db2.gz ZXWFQJZATXNVFY-LBPRGKRZSA-N -1 1 313.361 1.817 20 0 DDADMM CCO[C@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000155512661 408174336 /nfs/dbraw/zinc/17/43/36/408174336.db2.gz VIAJWOSZXQHQNV-KGLIPLIRSA-N -1 1 315.377 1.684 20 0 DDADMM Cn1cc(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c2ccccc21 ZINC000155543228 408177770 /nfs/dbraw/zinc/17/77/70/408177770.db2.gz BQSRZTSGOYKLCP-LBPRGKRZSA-N -1 1 324.388 1.640 20 0 DDADMM C[C@H](CC(=O)c1ccc(Cl)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000273555715 408243501 /nfs/dbraw/zinc/24/35/01/408243501.db2.gz IBRYITRIERDCAA-MRVPVSSYSA-N -1 1 323.736 1.132 20 0 DDADMM CCCn1nc(C)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1C ZINC000273582314 408254701 /nfs/dbraw/zinc/25/47/01/408254701.db2.gz PEMFIOKPBZVMIS-VIFPVBQESA-N -1 1 305.386 1.299 20 0 DDADMM O=C(CNC(=O)c1cc(Cl)ccc1[O-])Nc1cccnc1 ZINC000157228336 408294043 /nfs/dbraw/zinc/29/40/43/408294043.db2.gz KYEPFWGXLYZROI-UHFFFAOYSA-N -1 1 305.721 1.809 20 0 DDADMM CCOc1ccc(NC(=O)c2coc(S(=O)(=O)[N-]C)c2)cc1 ZINC000157364752 408301409 /nfs/dbraw/zinc/30/14/09/408301409.db2.gz RZYJQYPXQQHNQS-UHFFFAOYSA-N -1 1 324.358 1.839 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)oc1C ZINC000151413735 408306441 /nfs/dbraw/zinc/30/64/41/408306441.db2.gz ZEQQYRVPNISUTK-UHFFFAOYSA-N -1 1 313.335 1.515 20 0 DDADMM CC[C@@H](CC(=O)NN1CC(=O)[N-]C1=O)c1ccc(OC)cc1 ZINC000269851032 408449319 /nfs/dbraw/zinc/44/93/19/408449319.db2.gz OMNRCPDFPAGDMQ-JTQLQIEISA-N -1 1 305.334 1.162 20 0 DDADMM C[C@H](CN(C)C(=O)CCCOc1ccccc1F)c1nn[n-]n1 ZINC000183412636 408403553 /nfs/dbraw/zinc/40/35/53/408403553.db2.gz MAFQYEWWVLRDRA-LLVKDONJSA-N -1 1 321.356 1.760 20 0 DDADMM C[C@@H](CN(C)C(=O)CCOCc1ccccc1)c1nn[n-]n1 ZINC000183435546 408411076 /nfs/dbraw/zinc/41/10/76/408411076.db2.gz KJOAQTYUTVRGLR-LBPRGKRZSA-N -1 1 303.366 1.369 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)Cc1ccccc1F)c1nn[n-]n1 ZINC000183437071 408411912 /nfs/dbraw/zinc/41/19/12/408411912.db2.gz ODODKZJPLCKWDC-WDEREUQCSA-N -1 1 305.357 1.780 20 0 DDADMM COc1ccccc1CCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183447560 408414019 /nfs/dbraw/zinc/41/40/19/408414019.db2.gz CFFGRVWZBOBLEE-NSHDSACASA-N -1 1 303.366 1.403 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCc3c2cccc3F)o1 ZINC000183835396 408498682 /nfs/dbraw/zinc/49/86/82/408498682.db2.gz XLOAFHDFWRRMKZ-UHFFFAOYSA-N -1 1 324.333 1.530 20 0 DDADMM Cc1c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)[nH]c2c1C(=O)CCC2 ZINC000274766730 408524945 /nfs/dbraw/zinc/52/49/45/408524945.db2.gz KWVQQRVCCUPAOM-QMMMGPOBSA-N -1 1 316.365 1.231 20 0 DDADMM Cc1nc(C(F)(F)F)c(CS(=O)(=O)c2ncn[n-]2)s1 ZINC000183670142 408466799 /nfs/dbraw/zinc/46/67/99/408466799.db2.gz JAHFBWOZAZETAY-UHFFFAOYSA-N -1 1 312.298 1.562 20 0 DDADMM Cc1nc(C(F)(F)F)c(CS(=O)(=O)c2nc[n-]n2)s1 ZINC000183670142 408466803 /nfs/dbraw/zinc/46/68/03/408466803.db2.gz JAHFBWOZAZETAY-UHFFFAOYSA-N -1 1 312.298 1.562 20 0 DDADMM COC(=O)c1c[n-]c(SCCCS(=O)(=O)C(C)C)n1 ZINC000274952012 408574150 /nfs/dbraw/zinc/57/41/50/408574150.db2.gz SXCDJLOIHJLNHQ-UHFFFAOYSA-N -1 1 306.409 1.502 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(-c2ccco2)n[nH]1)c1nn[n-]n1 ZINC000274797628 408535758 /nfs/dbraw/zinc/53/57/58/408535758.db2.gz RNUWSJMVCHGVQB-QMMMGPOBSA-N -1 1 301.310 1.059 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)c1ccccc1 ZINC000265343464 408617148 /nfs/dbraw/zinc/61/71/48/408617148.db2.gz PBLLZEDOWMFRPG-CYBMUJFWSA-N -1 1 324.358 1.317 20 0 DDADMM Cc1cc(S(=O)(=O)N(C)CCN(C)C)cc(C(=O)[O-])c1C ZINC000184902812 408713103 /nfs/dbraw/zinc/71/31/03/408713103.db2.gz KEYPYANKDGXQPA-UHFFFAOYSA-N -1 1 314.407 1.184 20 0 DDADMM CCOC(=O)NCC(=O)Nc1nc(Br)ccc1[O-] ZINC000275928351 408721931 /nfs/dbraw/zinc/72/19/31/408721931.db2.gz VODJBHOKXWJXTM-UHFFFAOYSA-N -1 1 318.127 1.234 20 0 DDADMM O=C(NCCNC(=O)c1c([O-])cccc1F)c1ccc(O)cc1 ZINC000184958306 408724663 /nfs/dbraw/zinc/72/46/63/408724663.db2.gz SGJPTEWDCZUKHU-UHFFFAOYSA-N -1 1 318.304 1.397 20 0 DDADMM CC[C@@H]1[C@H](C)CCN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000185404981 408804753 /nfs/dbraw/zinc/80/47/53/408804753.db2.gz BXFJKHAKGCVXKQ-NXEZZACHSA-N -1 1 300.380 1.448 20 0 DDADMM CCOc1cc(CNC(=O)c2cnn[nH]2)ccc1OC(F)F ZINC000194203542 408770547 /nfs/dbraw/zinc/77/05/47/408770547.db2.gz ALBNSPLSUOAJPR-UHFFFAOYSA-N -1 1 312.276 1.735 20 0 DDADMM Cc1noc(C(C)(C)NC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC000285662992 408838488 /nfs/dbraw/zinc/83/84/88/408838488.db2.gz HTPXDYKAXFPPNI-UHFFFAOYSA-N -1 1 318.362 1.375 20 0 DDADMM O=C(C(=O)N1CCC[C@@H](C2OCCO2)C1)c1ccc([O-])cc1 ZINC000280875422 408851847 /nfs/dbraw/zinc/85/18/47/408851847.db2.gz YHFLBJQMHZBZMA-GFCCVEGCSA-N -1 1 305.330 1.186 20 0 DDADMM C[C@@H]1CN(C(=O)CSc2nc(C3CC3)cc(=O)[n-]2)C[C@@H](C)O1 ZINC000276414144 408852618 /nfs/dbraw/zinc/85/26/18/408852618.db2.gz YKKQNJDJFNXJTE-NXEZZACHSA-N -1 1 323.418 1.788 20 0 DDADMM CCN(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000188448833 163053249 /nfs/dbraw/zinc/05/32/49/163053249.db2.gz IJQOFJWZRYKYHQ-SSDOTTSWSA-N -1 1 324.324 1.249 20 0 DDADMM COc1cccc(CC2(C(=O)[N-]OCC(N)=O)CCCCC2)c1 ZINC000291769121 408919961 /nfs/dbraw/zinc/91/99/61/408919961.db2.gz WGDGABIQRJDSGQ-UHFFFAOYSA-N -1 1 320.389 1.721 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@@H](C)SC)c1 ZINC000292218609 409003828 /nfs/dbraw/zinc/00/38/28/409003828.db2.gz MCFJVMWWEQNBIB-MRVPVSSYSA-N -1 1 318.420 1.380 20 0 DDADMM CCC[C@H](NC(=O)c1[nH]nc2c1cccc2OC)c1nn[n-]n1 ZINC000292020321 408964638 /nfs/dbraw/zinc/96/46/38/408964638.db2.gz VIJOWKHXOKOIMW-VIFPVBQESA-N -1 1 315.337 1.356 20 0 DDADMM COCCOc1ccc(CC(=O)[N-]O[C@@H]2CCCCO2)cc1 ZINC000277584505 408970479 /nfs/dbraw/zinc/97/04/79/408970479.db2.gz ZPUIMSHGETZWQH-MRXNPFEDSA-N -1 1 309.362 1.829 20 0 DDADMM CC(C)N1C(=O)N[C@@H]2CN(C(=O)c3ccc([O-])cc3F)CC[C@@H]21 ZINC000286452907 408987673 /nfs/dbraw/zinc/98/76/73/408987673.db2.gz UNZGNKZWYYSMBS-KGLIPLIRSA-N -1 1 321.352 1.548 20 0 DDADMM CSCC[C@H](C)N(C)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287628778 409066574 /nfs/dbraw/zinc/06/65/74/409066574.db2.gz NXRNHQOORLRPHR-JCROAMGPSA-N -1 1 307.423 1.947 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2ccc(Cl)cc2[O-])C[C@@H](C)O1 ZINC000282381421 409043964 /nfs/dbraw/zinc/04/39/64/409043964.db2.gz CVQXQORMDNTNHQ-PRHODGIISA-N -1 1 313.737 1.448 20 0 DDADMM O=C(C(=O)N1CCCN(C(=O)C2CC2)CC1)c1ccc([O-])cc1 ZINC000287957982 409122290 /nfs/dbraw/zinc/12/22/90/409122290.db2.gz UCJCELKPGZEGAS-UHFFFAOYSA-N -1 1 316.357 1.046 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@](C)(O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000293589120 409143573 /nfs/dbraw/zinc/14/35/73/409143573.db2.gz VAWMYQLFXYCDIF-XPTSAGLGSA-N -1 1 323.784 1.323 20 0 DDADMM COC[C@@H]1CCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000279215532 409171036 /nfs/dbraw/zinc/17/10/36/409171036.db2.gz FFRRQJXUFKGBSK-SHTJFRFBSA-N -1 1 303.366 1.232 20 0 DDADMM CCC1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCOCC1 ZINC000293773196 409178346 /nfs/dbraw/zinc/17/83/46/409178346.db2.gz RBBCXZHYQZIQQC-UHFFFAOYSA-N -1 1 320.418 1.955 20 0 DDADMM O=C(CCc1ccccc1O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000283790174 409217598 /nfs/dbraw/zinc/21/75/98/409217598.db2.gz VZJFKSSJENLUOT-GFCCVEGCSA-N -1 1 316.361 1.142 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCc3occc3C2)o1 ZINC000289174709 409228334 /nfs/dbraw/zinc/22/83/34/409228334.db2.gz JIEQHTWVOKVLSZ-UHFFFAOYSA-N -1 1 324.358 1.369 20 0 DDADMM C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1ccc(Cl)cc1[O-] ZINC000288985199 409203964 /nfs/dbraw/zinc/20/39/64/409203964.db2.gz RNYIHWJZHAWMMH-OIBJUYFYSA-N -1 1 303.767 1.209 20 0 DDADMM CCO[C@H]1C[C@@](CO)(NC(=O)C(=O)c2ccc([O-])cc2)C1(C)C ZINC000295521265 409329028 /nfs/dbraw/zinc/32/90/28/409329028.db2.gz ILAKBJUNEWHYNJ-GUYCJALGSA-N -1 1 321.373 1.257 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H](C)C(C)(F)F ZINC000294265307 409268781 /nfs/dbraw/zinc/26/87/81/409268781.db2.gz LOWPTGSNOXOJJE-SSDOTTSWSA-N -1 1 312.320 1.547 20 0 DDADMM C[N@H+]1CCC[C@]2(CCN(C(=O)C(=O)c3ccc(O)cc3)C2)C1 ZINC000294298821 409274120 /nfs/dbraw/zinc/27/41/20/409274120.db2.gz VRTCQKMTQFQKBE-KRWDZBQOSA-N -1 1 302.374 1.519 20 0 DDADMM CC(C)Cn1cnc(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)c1 ZINC000284953469 409375663 /nfs/dbraw/zinc/37/56/63/409375663.db2.gz BQBSPRHVSKHHRX-UHFFFAOYSA-N -1 1 324.410 1.726 20 0 DDADMM Cn1nc2c(cc1=O)CN(Cc1cccc([O-])c1Cl)CC2 ZINC000295257994 409400696 /nfs/dbraw/zinc/40/06/96/409400696.db2.gz GQHIHSBMVRATIU-UHFFFAOYSA-N -1 1 305.765 1.698 20 0 DDADMM O=c1[n-]nc([C@H]2CCC[N@@H+](CCN3CCc4ccccc43)C2)o1 ZINC000295145185 409342521 /nfs/dbraw/zinc/34/25/21/409342521.db2.gz FIXCWDKCXLOYDD-AWEZNQCLSA-N -1 1 314.389 1.605 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cnn(C2CCCC2)c1C ZINC000290581388 409450687 /nfs/dbraw/zinc/45/06/87/409450687.db2.gz VXRGHLCNARIMSJ-UHFFFAOYSA-N -1 1 315.395 1.611 20 0 DDADMM O=C(N[C@H](Cc1nnc[nH]1)c1ccccc1)c1ncccc1[O-] ZINC000356874314 164134691 /nfs/dbraw/zinc/13/46/91/164134691.db2.gz LYNUOHAYQHTRFC-GFCCVEGCSA-N -1 1 309.329 1.619 20 0 DDADMM O=C(N[C@H](Cc1nc[nH]n1)c1ccccc1)c1ncccc1[O-] ZINC000356874314 164134693 /nfs/dbraw/zinc/13/46/93/164134693.db2.gz LYNUOHAYQHTRFC-GFCCVEGCSA-N -1 1 309.329 1.619 20 0 DDADMM Cc1ccc(O)c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000407994099 164191597 /nfs/dbraw/zinc/19/15/97/164191597.db2.gz AFGSAIOPCYMYQO-SNVBAGLBSA-N -1 1 303.318 1.809 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]2CN2CCOCC2)c([O-])c1 ZINC000408229769 164269424 /nfs/dbraw/zinc/26/94/24/164269424.db2.gz WVVAYQZXTLEMHT-CYBMUJFWSA-N -1 1 305.378 1.032 20 0 DDADMM COc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)c(C)c1OC ZINC000341940919 409523622 /nfs/dbraw/zinc/52/36/22/409523622.db2.gz HRTYHCPOKQSSGD-UHFFFAOYSA-N -1 1 305.338 1.190 20 0 DDADMM COC(=O)CSCCN=c1nc(C(F)(F)F)[n-]s1 ZINC000342024051 409537131 /nfs/dbraw/zinc/53/71/31/409537131.db2.gz QNIGFFNQLFJNCI-UHFFFAOYSA-N -1 1 301.315 1.297 20 0 DDADMM C[C@@H]1CC[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000356766098 409586028 /nfs/dbraw/zinc/58/60/28/409586028.db2.gz WASAZKDSSFRYFV-NXEZZACHSA-N -1 1 314.407 1.885 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC000342348963 409586618 /nfs/dbraw/zinc/58/66/18/409586618.db2.gz QHOGHUYSQBPKGB-UHFFFAOYSA-N -1 1 320.374 1.955 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]Cc1nc2cc(C)ccc2[nH]1 ZINC000342348963 409586625 /nfs/dbraw/zinc/58/66/25/409586625.db2.gz QHOGHUYSQBPKGB-UHFFFAOYSA-N -1 1 320.374 1.955 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]Cc1nc2ccc(C)cc2[nH]1 ZINC000342348963 409586632 /nfs/dbraw/zinc/58/66/32/409586632.db2.gz QHOGHUYSQBPKGB-UHFFFAOYSA-N -1 1 320.374 1.955 20 0 DDADMM CC(=O)c1cccc(NC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000337950160 409592573 /nfs/dbraw/zinc/59/25/73/409592573.db2.gz CSFCZIXAXYWMQA-UHFFFAOYSA-N -1 1 313.313 1.358 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@@H](O)C1)c1cccc(F)c1F ZINC000305196225 409726185 /nfs/dbraw/zinc/72/61/85/409726185.db2.gz ISFHWZFULCAIBI-NXEZZACHSA-N -1 1 305.346 1.794 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3ncncc3C)no2)o1 ZINC000356928185 409728154 /nfs/dbraw/zinc/72/81/54/409728154.db2.gz RTESPGKEQBHHOE-UHFFFAOYSA-N -1 1 321.318 1.003 20 0 DDADMM C/C=C\C[C@@H]1CCCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000629870726 422847988 /nfs/dbraw/zinc/84/79/88/422847988.db2.gz GPYJIQVGQOBQGX-UMBAGQNISA-N -1 1 307.350 1.715 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(Cc2ccccc2Cl)CC1 ZINC000357049152 409821686 /nfs/dbraw/zinc/82/16/86/409821686.db2.gz HFBSSSNMQSLNLF-UHFFFAOYSA-N -1 1 317.780 1.981 20 0 DDADMM COc1cccc(NC(=O)CN2CCC[C@H](CC(=O)[O-])C2)c1 ZINC000315186783 409839453 /nfs/dbraw/zinc/83/94/53/409839453.db2.gz JRMFXVYPPRMGRG-GFCCVEGCSA-N -1 1 306.362 1.820 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(C(F)(F)F)ccc1F ZINC000357076052 409844796 /nfs/dbraw/zinc/84/47/96/409844796.db2.gz LQFIRNIPUXCIBY-UHFFFAOYSA-N -1 1 315.230 1.777 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1[nH]c2ccccc2c1Cl ZINC000357078405 409850741 /nfs/dbraw/zinc/85/07/41/409850741.db2.gz PFDYBPXJSOPJCI-UHFFFAOYSA-N -1 1 302.725 1.754 20 0 DDADMM COc1ccc(NC(=O)NOC(C)C)cc1[N-]S(C)(=O)=O ZINC000297080668 409795423 /nfs/dbraw/zinc/79/54/23/409795423.db2.gz WZBGRPNDFKVYPR-UHFFFAOYSA-N -1 1 317.367 1.528 20 0 DDADMM CC[C@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000338212046 409804120 /nfs/dbraw/zinc/80/41/20/409804120.db2.gz BQJZJTXFJSUWBX-ZANVPECISA-N -1 1 319.379 1.142 20 0 DDADMM CCOC[C@@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000357161218 409914581 /nfs/dbraw/zinc/91/45/81/409914581.db2.gz RNWCREALEJIRAP-LLVKDONJSA-N -1 1 301.346 1.422 20 0 DDADMM COc1ccc(C2([N-]S(=O)(=O)c3c(C)onc3N)CC2)cc1 ZINC000349617913 409884701 /nfs/dbraw/zinc/88/47/01/409884701.db2.gz BADDRWXIFWJGGU-UHFFFAOYSA-N -1 1 323.374 1.372 20 0 DDADMM COc1ccc(C2(NS(=O)(=O)c3c(C)o[n-]c3=N)CC2)cc1 ZINC000349617913 409884708 /nfs/dbraw/zinc/88/47/08/409884708.db2.gz BADDRWXIFWJGGU-UHFFFAOYSA-N -1 1 323.374 1.372 20 0 DDADMM CCc1nnc([C@@H](C)[N-]S(=O)(=O)c2c(F)cccc2F)[nH]1 ZINC000342769072 409892153 /nfs/dbraw/zinc/89/21/53/409892153.db2.gz NHXYPCVZTVQTNJ-SSDOTTSWSA-N -1 1 316.333 1.685 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cccc3[nH]c(=O)[nH]c32)c[nH]1 ZINC000357331079 409977493 /nfs/dbraw/zinc/97/74/93/409977493.db2.gz RKRIWGBPMNWFSK-UHFFFAOYSA-N -1 1 322.302 1.096 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@H](C)c1ccc(Cl)s1 ZINC000297858223 410027361 /nfs/dbraw/zinc/02/73/61/410027361.db2.gz YFLAZZKZJJTUPK-RXMQYKEDSA-N -1 1 313.770 1.621 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cccc3cccnc32)n1 ZINC000354719865 410041598 /nfs/dbraw/zinc/04/15/98/410041598.db2.gz GKIMMGIVDFBADY-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cccc3cccnc32)[n-]1 ZINC000354719865 410041605 /nfs/dbraw/zinc/04/16/05/410041605.db2.gz GKIMMGIVDFBADY-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM CN(Cc1nc2c(s1)CCCC2)C(=O)CCc1nn[n-]n1 ZINC000631609493 422852816 /nfs/dbraw/zinc/85/28/16/422852816.db2.gz WRAINXLXYRPLDW-UHFFFAOYSA-N -1 1 306.395 1.126 20 0 DDADMM CC(C)(NC(=O)C[C@@H]1CCOc2ccccc21)c1nn[n-]n1 ZINC000354772379 410075163 /nfs/dbraw/zinc/07/51/63/410075163.db2.gz LKGMGUAAIMBWQZ-JTQLQIEISA-N -1 1 301.350 1.507 20 0 DDADMM CC(C)(NC(=O)c1[nH]c2ccccc2c1Cl)c1nn[n-]n1 ZINC000354771935 410076143 /nfs/dbraw/zinc/07/61/43/410076143.db2.gz LABDJDYYUGOJOT-UHFFFAOYSA-N -1 1 304.741 2.000 20 0 DDADMM CCc1cccc2c(CC(=O)NC(C)(C)c3nn[n-]n3)c[nH]c21 ZINC000354803327 410098598 /nfs/dbraw/zinc/09/85/98/410098598.db2.gz NAJIPHVUQYAVGP-UHFFFAOYSA-N -1 1 312.377 1.837 20 0 DDADMM COc1nc(C)ccc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332381838 410112109 /nfs/dbraw/zinc/11/21/09/410112109.db2.gz YWAKZIRNJDFAJW-JTQLQIEISA-N -1 1 318.333 1.507 20 0 DDADMM CCc1ccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1Cl ZINC000343113034 410154249 /nfs/dbraw/zinc/15/42/49/410154249.db2.gz MJKBYKYVRMOAFI-UHFFFAOYSA-N -1 1 317.736 1.886 20 0 DDADMM CC[C@H]1OCCC[C@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000332441572 410162262 /nfs/dbraw/zinc/16/22/62/410162262.db2.gz ICFJBIQVULXMBX-VXGBXAGGSA-N -1 1 309.366 1.686 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@H](C)[C@@H](CO)C3)nc2)[n-]c(=O)c1C ZINC000357673355 410178274 /nfs/dbraw/zinc/17/82/74/410178274.db2.gz LAZCEGAADBAQMK-IINYFYTJSA-N -1 1 314.389 1.926 20 0 DDADMM C[C@@H]1CCC[C@@H](CNC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343154957 410192340 /nfs/dbraw/zinc/19/23/40/410192340.db2.gz UVYOEJTUEFJQSM-VXGBXAGGSA-N -1 1 305.378 1.460 20 0 DDADMM COc1cc(NC(=O)N(C)C2CC2)ccc1[N-]S(C)(=O)=O ZINC000355006180 410235922 /nfs/dbraw/zinc/23/59/22/410235922.db2.gz IIQSUGFJFDEXIZ-UHFFFAOYSA-N -1 1 313.379 1.693 20 0 DDADMM CC(C)(C)C[C@H]1CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343384816 410409826 /nfs/dbraw/zinc/40/98/26/410409826.db2.gz ORHMYKQIHAVTRW-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CN1CCC(=O)Nc2cc(C(=O)Nc3ccncc3[O-])ccc21 ZINC000358355094 410455415 /nfs/dbraw/zinc/45/54/15/410455415.db2.gz OWCYRYUVVPZSAW-UHFFFAOYSA-N -1 1 312.329 1.240 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H]2[C@@H]3CC[C@@H](C3)[C@@]2(C)C1 ZINC000333382653 410514292 /nfs/dbraw/zinc/51/42/92/410514292.db2.gz WKPXGZRVHZCXPO-MQPMOYQSSA-N -1 1 319.361 1.405 20 0 DDADMM CCC[N@H+](Cc1nn(C)c(=O)[nH]1)[C@H]1CCc2ccccc2C1 ZINC000347390849 410514327 /nfs/dbraw/zinc/51/43/27/410514327.db2.gz IGUXFRSIJQIVFL-HNNXBMFYSA-N -1 1 300.406 1.878 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC2(C1)CCCCC2 ZINC000343541864 410518710 /nfs/dbraw/zinc/51/87/10/410518710.db2.gz LYSHWDONEFCOIF-UHFFFAOYSA-N -1 1 317.389 1.700 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(Cc1cccnc1)C1CC1 ZINC000352190359 410534354 /nfs/dbraw/zinc/53/43/54/410534354.db2.gz MZEWFPIZUWFCNH-UHFFFAOYSA-N -1 1 316.317 1.096 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)c1nn(-c2cccc(F)c2)cc1[O-] ZINC000339956199 410574574 /nfs/dbraw/zinc/57/45/74/410574574.db2.gz VKFSMZXQGOMVTM-UHFFFAOYSA-N -1 1 316.296 1.107 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCC[C@H](O)C1)c2=O ZINC000299244136 410579260 /nfs/dbraw/zinc/57/92/60/410579260.db2.gz WXBSYXVKGHYJKG-JTQLQIEISA-N -1 1 302.330 1.546 20 0 DDADMM O=C(CCC(=O)N1CCSc2ccccc21)Nc1nnn[n-]1 ZINC000359318623 410599310 /nfs/dbraw/zinc/59/93/10/410599310.db2.gz ZQVPXUBTPUBAIB-UHFFFAOYSA-N -1 1 318.362 1.057 20 0 DDADMM O=C(CCC(=O)N1CCSc2ccccc21)Nc1nn[n-]n1 ZINC000359318623 410599314 /nfs/dbraw/zinc/59/93/14/410599314.db2.gz ZQVPXUBTPUBAIB-UHFFFAOYSA-N -1 1 318.362 1.057 20 0 DDADMM NC(=O)C[C@@H](NC(=O)c1cncc([O-])c1)c1cccc(Cl)c1 ZINC000339915832 410550594 /nfs/dbraw/zinc/55/05/94/410550594.db2.gz XZZDUWJQMNKXQU-CYBMUJFWSA-N -1 1 319.748 1.787 20 0 DDADMM NC(=O)Cc1occc1C(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000352217209 410555731 /nfs/dbraw/zinc/55/57/31/410555731.db2.gz IWNBIKQOVKUSNH-UHFFFAOYSA-N -1 1 316.342 1.433 20 0 DDADMM CC(C)Cc1ncc([N-]S(=O)(=O)C[C@H]2CCCCO2)cn1 ZINC000355882957 410715719 /nfs/dbraw/zinc/71/57/19/410715719.db2.gz SGPONZLLXIUAIE-CYBMUJFWSA-N -1 1 313.423 1.986 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)Cc3ccnn3C)cnc2n1 ZINC000353014337 410734057 /nfs/dbraw/zinc/73/40/57/410734057.db2.gz SEIUZYYXLXQHKS-UHFFFAOYSA-N -1 1 311.345 1.650 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)Cc3ccnn3C)c[n-]c2n1 ZINC000353014337 410734062 /nfs/dbraw/zinc/73/40/62/410734062.db2.gz SEIUZYYXLXQHKS-UHFFFAOYSA-N -1 1 311.345 1.650 20 0 DDADMM Cc1nc(-c2ccc(NCC(=O)N(C)C)nc2)[n-]c(=O)c1C ZINC000301546712 410741466 /nfs/dbraw/zinc/74/14/66/410741466.db2.gz UFDNLZHACURIRX-UHFFFAOYSA-N -1 1 301.350 1.361 20 0 DDADMM CC(C)Cc1nc(=NCC2(S(C)(=O)=O)CCCC2)s[n-]1 ZINC000343938350 410826684 /nfs/dbraw/zinc/82/66/84/410826684.db2.gz HSEJWDPXBOWCDN-UHFFFAOYSA-N -1 1 317.480 1.928 20 0 DDADMM CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)Nc1ccc(F)cc1[O-] ZINC000340329650 410846565 /nfs/dbraw/zinc/84/65/65/410846565.db2.gz PBZUOOAYIFHOIA-QPUJVOFHSA-N -1 1 318.370 1.434 20 0 DDADMM Clc1ccc(OCc2nc(SCc3nn[n-]n3)n[nH]2)cc1 ZINC000353216197 410857599 /nfs/dbraw/zinc/85/75/99/410857599.db2.gz JVNHTLMLCAXZTO-UHFFFAOYSA-N -1 1 323.769 1.843 20 0 DDADMM Cc1cc(Cl)ccc1O[C@@H](C)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359780214 410873232 /nfs/dbraw/zinc/87/32/32/410873232.db2.gz ABZNGROLJFBYIK-VIFPVBQESA-N -1 1 323.784 1.980 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cnccc2C)c1 ZINC000359693794 410817576 /nfs/dbraw/zinc/81/75/76/410817576.db2.gz QDUGIVYDOOIFQB-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM C[C@H](CC(=O)NC1(c2nn[n-]n2)CC1)Cc1cccc(F)c1 ZINC000348279216 410894962 /nfs/dbraw/zinc/89/49/62/410894962.db2.gz PITYNAJPXNVFAN-JTQLQIEISA-N -1 1 303.341 1.713 20 0 DDADMM Cc1nc(-c2ccc(N(C)C3CC(O)C3)nc2)[n-]c(=O)c1C ZINC000353274551 410897141 /nfs/dbraw/zinc/89/71/41/410897141.db2.gz HOUUQIRDEJAVTM-UHFFFAOYSA-N -1 1 300.362 1.821 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cncc(-c2ccccc2)c1 ZINC000348297065 410907196 /nfs/dbraw/zinc/90/71/96/410907196.db2.gz JKTPGYBJGGHYHJ-UHFFFAOYSA-N -1 1 306.329 1.681 20 0 DDADMM C[C@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1(C)C ZINC000353302010 410911244 /nfs/dbraw/zinc/91/12/44/410911244.db2.gz VIPCCQIQHFUVHG-NSHDSACASA-N -1 1 305.378 1.412 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cc(NC(C)=O)ccc1F ZINC000337757905 410985484 /nfs/dbraw/zinc/98/54/84/410985484.db2.gz MZCRIOFIHNHXGO-QMMMGPOBSA-N -1 1 304.343 1.561 20 0 DDADMM CCC[C@@H](C)CS(=O)(=O)[N-][C@@H](CC(F)F)C(=O)OC ZINC000341720104 411053212 /nfs/dbraw/zinc/05/32/12/411053212.db2.gz YIBZUZZVDVYIEH-BDAKNGLRSA-N -1 1 301.355 1.539 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1cccc(F)c1F)Cn1cccn1 ZINC000337815040 411016799 /nfs/dbraw/zinc/01/67/99/411016799.db2.gz QEVMMRPBWSHJPY-SNVBAGLBSA-N -1 1 315.345 1.776 20 0 DDADMM CC(C)[C@H]1CNCCN1C(=O)c1cc(F)c(F)c([O-])c1F ZINC000638489098 422930521 /nfs/dbraw/zinc/93/05/21/422930521.db2.gz LJUUXMPTPHAQRE-SNVBAGLBSA-N -1 1 302.296 1.880 20 0 DDADMM C[C@]1(c2ccccc2)CN(C(=O)CCCc2nn[n-]n2)CCO1 ZINC000630030680 422930636 /nfs/dbraw/zinc/93/06/36/422930636.db2.gz PHEYNJNRAHRGPE-MRXNPFEDSA-N -1 1 315.377 1.297 20 0 DDADMM CCC[C@H](NC(=O)Cc1cnc2ccccc2c1)c1nn[n-]n1 ZINC000131615256 196184553 /nfs/dbraw/zinc/18/45/53/196184553.db2.gz AQHYHMFRSLRFLC-AWEZNQCLSA-N -1 1 310.361 1.948 20 0 DDADMM COC(=O)C12CC([N-]S(=O)(=O)C(Cl)(Cl)Cl)(C1)C2 ZINC001192044035 745681016 /nfs/dbraw/zinc/68/10/16/745681016.db2.gz JETDKSRJHRHKAI-UHFFFAOYSA-N -1 1 322.597 1.329 20 0 DDADMM COc1ccc(OCCCN2CCOC[C@@H]2CC(=O)[O-])cc1 ZINC000652475950 423035129 /nfs/dbraw/zinc/03/51/29/423035129.db2.gz MFTFHQNPBJFRJK-ZDUSSCGKSA-N -1 1 309.362 1.640 20 0 DDADMM COc1ccc([C@H](CO)N(C)C(=O)c2ncccc2[O-])cc1 ZINC000134163119 196366900 /nfs/dbraw/zinc/36/69/00/196366900.db2.gz DWNICNFVTNFISC-ZDUSSCGKSA-N -1 1 302.330 1.601 20 0 DDADMM CC(=O)N1CC[C@H](C[N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192053859 745686078 /nfs/dbraw/zinc/68/60/78/745686078.db2.gz KLGNGIZDEBINQQ-SSDOTTSWSA-N -1 1 323.629 1.102 20 0 DDADMM CC(C)C[C@H](CNc1cc(-n2cccn2)nc(N)n1)CC(=O)[O-] ZINC000652535607 423055768 /nfs/dbraw/zinc/05/57/68/423055768.db2.gz PZUUGQNARLQAPK-NSHDSACASA-N -1 1 318.381 1.624 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(C)nc(C(F)(F)F)n1 ZINC000647816121 423057608 /nfs/dbraw/zinc/05/76/08/423057608.db2.gz XGSOQNIJQUCAMU-UHFFFAOYSA-N -1 1 301.228 1.793 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCCCN1C(=O)c1ccco1 ZINC000647815659 423058908 /nfs/dbraw/zinc/05/89/08/423058908.db2.gz GLXJHRFKILWPCW-SNVBAGLBSA-N -1 1 318.333 1.650 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C(=O)NCCCc1ccccc1 ZINC000647816616 423062055 /nfs/dbraw/zinc/06/20/55/423062055.db2.gz MXKBLFDWBXWGLU-UHFFFAOYSA-N -1 1 302.334 1.111 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3ccc(=O)[nH]c3)no2)o1 ZINC000350790292 306756836 /nfs/dbraw/zinc/75/68/36/306756836.db2.gz APFCGXBWKXPRLT-UHFFFAOYSA-N -1 1 322.302 1.005 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2ncn(C(C)C)n2)c1 ZINC000652727273 423109133 /nfs/dbraw/zinc/10/91/33/423109133.db2.gz JQSVRHCRTLKYQU-UHFFFAOYSA-N -1 1 303.322 1.775 20 0 DDADMM COCCn1cc(CN[C@@H](C(=O)[O-])c2ccccc2F)cn1 ZINC000417552126 225361307 /nfs/dbraw/zinc/36/13/07/225361307.db2.gz BZDMUMQQQIVSHP-CQSZACIVSA-N -1 1 307.325 1.584 20 0 DDADMM CSc1nc(CNC(=O)CC[C@H]2CC[C@@H](C)O2)cc(=O)[n-]1 ZINC000640659281 423119719 /nfs/dbraw/zinc/11/97/19/423119719.db2.gz WEFNZCYCCWGNKQ-MWLCHTKSSA-N -1 1 311.407 1.868 20 0 DDADMM O=C(NC[C@H](O)C[NH+]1CCCCC1)c1ccc(O)c(Cl)c1 ZINC000296582909 226016309 /nfs/dbraw/zinc/01/63/09/226016309.db2.gz RJHLRUKWBKSFRK-LBPRGKRZSA-N -1 1 312.797 1.622 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(C(N)=O)cc2OC(C)C)c1[O-] ZINC000643365261 423125877 /nfs/dbraw/zinc/12/58/77/423125877.db2.gz UXRUESOSEOIZGO-UHFFFAOYSA-N -1 1 318.333 1.562 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H](O)C2CCC2)c(F)c1 ZINC000650313002 423127536 /nfs/dbraw/zinc/12/75/36/423127536.db2.gz HPPXBWQLUQRFIB-LBPRGKRZSA-N -1 1 321.345 1.413 20 0 DDADMM C[C@H](Sc1ccccn1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366734385 418510509 /nfs/dbraw/zinc/51/05/09/418510509.db2.gz CJNMWJMZYLGOJQ-WDEREUQCSA-N -1 1 318.406 1.482 20 0 DDADMM COC(=O)c1csc(S(=O)(=O)[N-]CCC(F)(F)F)c1 ZINC000360844725 418532192 /nfs/dbraw/zinc/53/21/92/418532192.db2.gz GQWBYNASVXDXNT-UHFFFAOYSA-N -1 1 317.310 1.765 20 0 DDADMM O=C(N[C@@H]1CC(=O)N(c2ccccc2F)C1)c1cncc([O-])c1 ZINC000360937784 418550621 /nfs/dbraw/zinc/55/06/21/418550621.db2.gz NSKLOHPRVQAWQU-LLVKDONJSA-N -1 1 315.304 1.462 20 0 DDADMM CCN(C(=O)c1ccc(Cl)cc1[O-])[C@H]1CCS(=O)(=O)C1 ZINC000192906639 222139178 /nfs/dbraw/zinc/13/91/78/222139178.db2.gz WFMNPEFAGLEYEK-JTQLQIEISA-N -1 1 317.794 1.695 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@]2(CC=CCC2)C1 ZINC000368134005 418694111 /nfs/dbraw/zinc/69/41/11/418694111.db2.gz LCVTXCDQSLSUHR-CQSZACIVSA-N -1 1 311.407 1.907 20 0 DDADMM C[C@H]1C[C@H](O)CN1C(=O)c1ccc(Br)cc1[O-] ZINC000384858144 418735608 /nfs/dbraw/zinc/73/56/08/418735608.db2.gz HDGFMNOSLPNDAL-CBAPKCEASA-N -1 1 300.152 1.750 20 0 DDADMM Cc1ccccc1[C@@H](C)CC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000364776186 418810215 /nfs/dbraw/zinc/81/02/15/418810215.db2.gz YVDZJEZSLHKXBE-JSGCOSHPSA-N -1 1 315.377 1.602 20 0 DDADMM O=C(Cc1ccn[nH]1)N1CCC(C(=O)c2ccc(O)cc2)CC1 ZINC000365648197 418887799 /nfs/dbraw/zinc/88/77/99/418887799.db2.gz SFWHEGWSTXWVFI-UHFFFAOYSA-N -1 1 313.357 1.779 20 0 DDADMM O=C(Cc1cc[nH]n1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000365648197 418887800 /nfs/dbraw/zinc/88/78/00/418887800.db2.gz SFWHEGWSTXWVFI-UHFFFAOYSA-N -1 1 313.357 1.779 20 0 DDADMM Cc1cccc(OC[C@@H](O)CNC(=O)c2ncc(C)cc2[O-])c1 ZINC000427139308 419598004 /nfs/dbraw/zinc/59/80/04/419598004.db2.gz FDOIYQFJLGXPJW-ZDUSSCGKSA-N -1 1 316.357 1.574 20 0 DDADMM CNC(=O)[C@H]1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000421945595 419782225 /nfs/dbraw/zinc/78/22/25/419782225.db2.gz HCSDLYSMDXBYTF-QMMMGPOBSA-N -1 1 316.279 1.619 20 0 DDADMM COCc1nocc1C(=O)[N-]c1n[nH]c(-c2ccncc2)n1 ZINC000433094351 229151462 /nfs/dbraw/zinc/15/14/62/229151462.db2.gz ULPDGMHFJACNRB-UHFFFAOYSA-N -1 1 300.278 1.253 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1C[C@@H]1c1ccccc1 ZINC000415387320 420046897 /nfs/dbraw/zinc/04/68/97/420046897.db2.gz YEGMQRYLNVAQLH-OLZOCXBDSA-N -1 1 313.357 1.761 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnn2CC(F)F)n1 ZINC000415654239 420138471 /nfs/dbraw/zinc/13/84/71/420138471.db2.gz YAISNYXMPBDRGR-UHFFFAOYSA-N -1 1 313.264 1.300 20 0 DDADMM CCCOc1cccnc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000430077180 420077614 /nfs/dbraw/zinc/07/76/14/420077614.db2.gz GZVKMYPKILEERT-SNVBAGLBSA-N -1 1 304.354 1.259 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C[C@@H](C)c1ccccc1 ZINC000416142156 420254169 /nfs/dbraw/zinc/25/41/69/420254169.db2.gz BWJOSJLYKTXWRM-SNVBAGLBSA-N -1 1 317.345 1.772 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H](O)C(F)F)cc1F ZINC000435649829 420272769 /nfs/dbraw/zinc/27/27/69/420272769.db2.gz ZPIFSZNHVMGUNZ-QMMMGPOBSA-N -1 1 301.261 1.178 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCC(F)(F)C2)c1 ZINC000435797657 420285357 /nfs/dbraw/zinc/28/53/57/420285357.db2.gz FHFMTBNFWSMMFM-ZETCQYMHSA-N -1 1 320.317 1.414 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cccc3ncnn32)c1 ZINC000436508373 420335763 /nfs/dbraw/zinc/33/57/63/420335763.db2.gz ZIEXYTWSVASOCW-UHFFFAOYSA-N -1 1 312.285 1.474 20 0 DDADMM O=C(NCc1ccc(OCCO)cc1)c1cc(F)ccc1[O-] ZINC000436591853 420341480 /nfs/dbraw/zinc/34/14/80/420341480.db2.gz YNABIZXEJBBFMB-UHFFFAOYSA-N -1 1 305.305 1.832 20 0 DDADMM CCOC(=O)[C@@H](CC)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425295340 420341856 /nfs/dbraw/zinc/34/18/56/420341856.db2.gz FMFNPEAJDRCSLU-UWVGGRQHSA-N -1 1 311.338 1.071 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC(O[C@H]2CCOC2)CC1 ZINC000436605238 420343170 /nfs/dbraw/zinc/34/31/70/420343170.db2.gz BMJRLEKSSNTGAN-ZDUSSCGKSA-N -1 1 309.337 1.941 20 0 DDADMM O=C(c1cnn2ccccc12)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425297149 420343539 /nfs/dbraw/zinc/34/35/39/420343539.db2.gz HTLBJWWBVJEANZ-JTQLQIEISA-N -1 1 313.317 1.443 20 0 DDADMM Nc1ncncc1CNC(=O)c1ccc(Br)cc1[O-] ZINC000436611853 420343554 /nfs/dbraw/zinc/34/35/54/420343554.db2.gz ZAZLLQXHNWZANR-UHFFFAOYSA-N -1 1 323.150 1.287 20 0 DDADMM C[C@H](c1ccccc1)[C@H](NC(=O)c1ccc([O-])cc1F)C(N)=O ZINC000436832911 420374015 /nfs/dbraw/zinc/37/40/15/420374015.db2.gz UDUHCUYHOMWUMZ-BMIGLBTASA-N -1 1 316.332 1.919 20 0 DDADMM O=c1[nH]c2cc(F)c(S(=O)(=O)[N-][C@@H]3CCC[C@@H]3F)cc2o1 ZINC000416485445 420389345 /nfs/dbraw/zinc/38/93/45/420389345.db2.gz AYGBXMZLPHNTDT-POYBYMJQSA-N -1 1 318.301 1.429 20 0 DDADMM C[C@H]1CC[C@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)O1 ZINC000416534247 420409035 /nfs/dbraw/zinc/40/90/35/420409035.db2.gz LBGJBCVIKVCKQN-JGVFFNPUSA-N -1 1 309.309 1.950 20 0 DDADMM CN(C)C(=O)C1([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000416587438 420425630 /nfs/dbraw/zinc/42/56/30/420425630.db2.gz LAKKLHBIYBFWCY-UHFFFAOYSA-N -1 1 322.308 1.003 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CS[C@H](C)C2)o1 ZINC000416602962 420431002 /nfs/dbraw/zinc/43/10/02/420431002.db2.gz XQPGYMVKHSHFFO-BDAKNGLRSA-N -1 1 319.404 1.629 20 0 DDADMM Cc1cc(SCC(=O)Nc2nnn[n-]2)nc2ccccc12 ZINC000439278415 420505159 /nfs/dbraw/zinc/50/51/59/420505159.db2.gz WNUKJEURCLOKRN-UHFFFAOYSA-N -1 1 300.347 1.787 20 0 DDADMM Cc1cc(SCC(=O)Nc2nn[n-]n2)nc2ccccc12 ZINC000439278415 420505160 /nfs/dbraw/zinc/50/51/60/420505160.db2.gz WNUKJEURCLOKRN-UHFFFAOYSA-N -1 1 300.347 1.787 20 0 DDADMM O=C(/C=C\C1CC1)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000492341343 420529327 /nfs/dbraw/zinc/52/93/27/420529327.db2.gz MUWVABHXYKSDHP-BAQGIRSFSA-N -1 1 322.386 1.738 20 0 DDADMM COC(=O)c1cnc(S[C@@H]2C[C@H]3CCCC[C@H]3NC2=O)[n-]1 ZINC000450908133 420557121 /nfs/dbraw/zinc/55/71/21/420557121.db2.gz UHQGIMXQEPZRJS-FXPVBKGRSA-N -1 1 309.391 1.736 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H]2C[C@H]3CCCC[C@H]3NC2=O)n1 ZINC000450908133 420557124 /nfs/dbraw/zinc/55/71/24/420557124.db2.gz UHQGIMXQEPZRJS-FXPVBKGRSA-N -1 1 309.391 1.736 20 0 DDADMM CN(C)C(=O)N[C@@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000456910975 420568155 /nfs/dbraw/zinc/56/81/55/420568155.db2.gz DGXOGZKXNRCZSV-SNVBAGLBSA-N -1 1 309.341 1.407 20 0 DDADMM COc1cc(C(=O)N(C)C(C)(C)C(N)=O)cc(Cl)c1[O-] ZINC000452630439 420633801 /nfs/dbraw/zinc/63/38/01/420633801.db2.gz CMLDKLHLDINOPX-UHFFFAOYSA-N -1 1 300.742 1.390 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cc(Cl)c([O-])c(OC)c1)C(C)C ZINC000442647316 420693364 /nfs/dbraw/zinc/69/33/64/420693364.db2.gz LIYWKNSFQDIYHN-NSHDSACASA-N -1 1 315.753 1.982 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)c2ccc(C)nc2)s1 ZINC000447751919 420797911 /nfs/dbraw/zinc/79/79/11/420797911.db2.gz TZQFPWHTVSZIOH-UHFFFAOYSA-N -1 1 316.433 1.442 20 0 DDADMM O=S(=O)([N-][C@@H](CO)[C@@H]1CCCO1)c1c(F)cccc1Cl ZINC000447936593 420823305 /nfs/dbraw/zinc/82/33/05/420823305.db2.gz QJYBHTWCJIIIQZ-QWRGUYRKSA-N -1 1 323.773 1.297 20 0 DDADMM CCNC(=O)c1ccc(=NCC2(CCOC)CCCC2)[n-]n1 ZINC000471733349 420946976 /nfs/dbraw/zinc/94/69/76/420946976.db2.gz BRUUHADLLYQOHQ-UHFFFAOYSA-N -1 1 306.410 1.657 20 0 DDADMM CCCc1nc(=NC(=O)N2CCN(CC)C[C@@H]2CC)s[n-]1 ZINC000455380471 421004014 /nfs/dbraw/zinc/00/40/14/421004014.db2.gz NWILEMBLDOFGNO-NSHDSACASA-N -1 1 311.455 1.861 20 0 DDADMM CCOC(=O)c1csc(=N[C@H]2CCO[C@]3(CCOC3)C2)[n-]1 ZINC000450415864 421195246 /nfs/dbraw/zinc/19/52/46/421195246.db2.gz FDMLARYRTFIKEX-IINYFYTJSA-N -1 1 312.391 1.492 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)c2ccccc21 ZINC000545416183 421259611 /nfs/dbraw/zinc/25/96/11/421259611.db2.gz FFBVWSVWFCJQSG-CYBMUJFWSA-N -1 1 323.356 1.570 20 0 DDADMM CCN1CCO[C@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)C1 ZINC000562268138 421346478 /nfs/dbraw/zinc/34/64/78/421346478.db2.gz KKMCPSFZNSUEIO-AWEZNQCLSA-N -1 1 316.361 1.442 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)[C@@H]2CCCO2)n[n-]1 ZINC000547739803 421362560 /nfs/dbraw/zinc/36/25/60/421362560.db2.gz YFCQXZSIIMERJQ-QWRGUYRKSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)[C@@H]2CCCO2)[n-]1 ZINC000547739803 421362562 /nfs/dbraw/zinc/36/25/62/421362562.db2.gz YFCQXZSIIMERJQ-QWRGUYRKSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)[C@@H]2CCCO2)n1 ZINC000547739803 421362566 /nfs/dbraw/zinc/36/25/66/421362566.db2.gz YFCQXZSIIMERJQ-QWRGUYRKSA-N -1 1 324.381 1.364 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCC[C@@H](N2CCCC2=O)C1 ZINC000527356702 421380857 /nfs/dbraw/zinc/38/08/57/421380857.db2.gz LRQXSYRWJIXIRU-LLVKDONJSA-N -1 1 313.361 1.185 20 0 DDADMM CN(CC1CC1)S(=O)(=O)[N-]c1cnn(C)c1C(F)(F)F ZINC000548358754 421428469 /nfs/dbraw/zinc/42/84/69/421428469.db2.gz JQIOPWDJXIAYFU-UHFFFAOYSA-N -1 1 312.317 1.437 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000562955203 421430091 /nfs/dbraw/zinc/43/00/91/421430091.db2.gz MPENYXCGBUNSQP-KOLCDFICSA-N -1 1 303.318 1.853 20 0 DDADMM Nc1ncc2c(n1)CCN(C(=O)c1ccc3ccccc3c1[O-])C2 ZINC000562597982 421386267 /nfs/dbraw/zinc/38/62/67/421386267.db2.gz JZRQIXZIQPRBRB-UHFFFAOYSA-N -1 1 320.352 1.946 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3cc(F)ccc3[O-])[C@@H]2C1 ZINC000563488187 421513502 /nfs/dbraw/zinc/51/35/02/421513502.db2.gz JBGTZULKMWZHOU-NILFDRSVSA-N -1 1 320.364 1.908 20 0 DDADMM CCc1ccc(CNC(=O)CN2CC[C@H](C)[C@@H](C(=O)[O-])C2)s1 ZINC000563125905 421459139 /nfs/dbraw/zinc/45/91/39/421459139.db2.gz RAAWCBFLZWFFTF-FZMZJTMJSA-N -1 1 324.446 1.969 20 0 DDADMM O=C(N[C@@H]1CC(=O)N2CCCC[C@H]12)c1cc(Cl)ccc1[O-] ZINC000548895638 421484297 /nfs/dbraw/zinc/48/42/97/421484297.db2.gz PYQMNDHTJFQJQI-VXGBXAGGSA-N -1 1 308.765 1.929 20 0 DDADMM O=C(N=c1cc(-c2cccs2)[n-][nH]1)[C@@H]1CCCS1(=O)=O ZINC000563412998 421504526 /nfs/dbraw/zinc/50/45/26/421504526.db2.gz UOXHNIDLXYFRLJ-JTQLQIEISA-N -1 1 311.388 1.076 20 0 DDADMM COc1cccc([C@H](CNC(=O)c2cncc([O-])c2)OC)c1 ZINC000529574425 421543770 /nfs/dbraw/zinc/54/37/70/421543770.db2.gz MFHRUIXYKRQHCP-HNNXBMFYSA-N -1 1 302.330 1.913 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccnc1C(F)(F)F)c1nc[nH]n1 ZINC000553532771 421619975 /nfs/dbraw/zinc/61/99/75/421619975.db2.gz DLDIIMVBVPQROK-LURJTMIESA-N -1 1 321.284 1.258 20 0 DDADMM CC[C@H](C)N1CCN(C(=O)N=c2[n-]nc(C3CC3)s2)CC1 ZINC000571277939 421702867 /nfs/dbraw/zinc/70/28/67/421702867.db2.gz KQVFETCUTMMMPZ-JTQLQIEISA-N -1 1 309.439 1.785 20 0 DDADMM O=C(C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccc(Cl)cc1 ZINC000540423852 421763542 /nfs/dbraw/zinc/76/35/42/421763542.db2.gz LIJFFOSCHLWBHL-JTQLQIEISA-N -1 1 319.752 1.442 20 0 DDADMM CC(C)c1cnc(NC(=O)c2cc(=O)n3[n-]cnc3n2)s1 ZINC000541836565 421807131 /nfs/dbraw/zinc/80/71/31/421807131.db2.gz WKZMDAXYMLUFPF-UHFFFAOYSA-N -1 1 304.335 1.250 20 0 DDADMM CCc1nc2cc(CNC(=O)c3cnn[nH]3)ccc2n1C1CC1 ZINC000558926696 421827454 /nfs/dbraw/zinc/82/74/54/421827454.db2.gz NRJQVHUKKMNHHM-UHFFFAOYSA-N -1 1 310.361 1.982 20 0 DDADMM CCOC(=O)c1n[n-]c(-c2cccc(CNc3cccnn3)c2)n1 ZINC000557676181 421786057 /nfs/dbraw/zinc/78/60/57/421786057.db2.gz XIMIYMABVCGPJG-UHFFFAOYSA-N -1 1 324.344 2.051 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC2(CC1)CCS(=O)(=O)CC2 ZINC000557754421 421789750 /nfs/dbraw/zinc/78/97/50/421789750.db2.gz CUZMYLFYQRIZMU-UHFFFAOYSA-N -1 1 324.402 1.218 20 0 DDADMM C[C@H]1CCN(CC(=O)NCc2ccccc2F)C[C@@H]1C(=O)[O-] ZINC000581011064 421880629 /nfs/dbraw/zinc/88/06/29/421880629.db2.gz JBNCUFJUMBAQOB-AAEUAGOBSA-N -1 1 308.353 1.485 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@H](CC(=O)[O-])c1cccs1 ZINC000630103171 421890838 /nfs/dbraw/zinc/89/08/38/421890838.db2.gz YEMADIUSSYZDOL-MWLCHTKSSA-N -1 1 307.375 1.982 20 0 DDADMM CCS[C@@H]1CCCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000543695611 421839769 /nfs/dbraw/zinc/83/97/69/421839769.db2.gz PRRXZXRISGRBMI-GXSJLCMTSA-N -1 1 321.406 1.212 20 0 DDADMM CCN1CCN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CC1=O ZINC000544567415 421845937 /nfs/dbraw/zinc/84/59/37/421845937.db2.gz COTSUJGKRMKZHR-UHFFFAOYSA-N -1 1 320.393 1.092 20 0 DDADMM O=C([O-])[C@H]1CCCN(Cc2cnnn2Cc2ccccc2)C1 ZINC000635322041 421908531 /nfs/dbraw/zinc/90/85/31/421908531.db2.gz FEGVTEQKOOOXGK-AWEZNQCLSA-N -1 1 300.362 1.623 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCc2c1cccc2Br ZINC000633458865 421915198 /nfs/dbraw/zinc/91/51/98/421915198.db2.gz HWIQRWXAQOSCAI-UHFFFAOYSA-N -1 1 322.166 1.484 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C)c2cn(C)nc2C)sn1 ZINC000631895872 421919872 /nfs/dbraw/zinc/91/98/72/421919872.db2.gz ZJJDLKJAFFTJPN-VIFPVBQESA-N -1 1 300.409 1.533 20 0 DDADMM CCOCc1nc([C@@H](C)[N-]S(=O)(=O)c2cscn2)no1 ZINC000581248029 421931532 /nfs/dbraw/zinc/93/15/32/421931532.db2.gz JSJKFDLPSHCFLD-SSDOTTSWSA-N -1 1 318.380 1.102 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CCc2cccc(C(=O)[O-])c2C1 ZINC000630200898 421955471 /nfs/dbraw/zinc/95/54/71/421955471.db2.gz QKRIZRKAMUHZRV-NSHDSACASA-N -1 1 313.357 1.871 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2n[nH]c3ccccc32)CC1 ZINC000630226987 421978407 /nfs/dbraw/zinc/97/84/07/421978407.db2.gz YGGWIKMZZJPJPB-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM C[C@H](NC(=O)c1cn[nH]c1-c1ccccn1)c1nnc2n1CCC2 ZINC000573648546 421996138 /nfs/dbraw/zinc/99/61/38/421996138.db2.gz PLIWYZBCNSBQIU-JTQLQIEISA-N -1 1 323.360 1.500 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000633617524 422005800 /nfs/dbraw/zinc/00/58/00/422005800.db2.gz HRKQXHJPYPPFKY-AWEZNQCLSA-N -1 1 301.350 1.869 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCOC[C@H]1c1cc(F)cc(F)c1 ZINC000633632106 422014225 /nfs/dbraw/zinc/01/42/25/422014225.db2.gz HJMDSTCDZKAVNV-LBPRGKRZSA-N -1 1 323.303 1.011 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCc2ccc(F)cc2F)[n-]n1 ZINC000581930461 422069119 /nfs/dbraw/zinc/06/91/19/422069119.db2.gz PJGHJHMBLGZDPP-UHFFFAOYSA-N -1 1 306.316 1.533 20 0 DDADMM COc1cccc(C2([N-]S(=O)(=O)c3conc3C)CC2)c1 ZINC000632098319 422087022 /nfs/dbraw/zinc/08/70/22/422087022.db2.gz ZJZFQJXXQMUOGP-UHFFFAOYSA-N -1 1 308.359 1.959 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(S(=O)(=O)c2cc(O)cc(F)c2)C1 ZINC000630444411 422106336 /nfs/dbraw/zinc/10/63/36/422106336.db2.gz YELUCYROWSJNNU-BDAKNGLRSA-N -1 1 317.338 1.263 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2ncnn2C(C)C)sc1C ZINC000574424758 422106319 /nfs/dbraw/zinc/10/63/19/422106319.db2.gz PGJXBLGOVGUKSN-UHFFFAOYSA-N -1 1 315.424 1.411 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1C[C@]1(F)c1ccccc1 ZINC000582109090 422110491 /nfs/dbraw/zinc/11/04/91/422110491.db2.gz LNJFAWYAONXMBC-LRDDRELGSA-N -1 1 315.352 1.970 20 0 DDADMM O=C([O-])[C@@]1(NCc2cn(-c3ccc(F)cc3)nn2)CCSC1 ZINC000630456748 422114348 /nfs/dbraw/zinc/11/43/48/422114348.db2.gz SAYGRSSSRUQWNK-CQSZACIVSA-N -1 1 322.365 1.456 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H](OC)C(C)C)c1 ZINC000632056054 422056410 /nfs/dbraw/zinc/05/64/10/422056410.db2.gz PJOZXGGFRXCDDO-LBPRGKRZSA-N -1 1 303.380 1.350 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1cccc(N2CC=CC2)c1 ZINC000635591219 422141429 /nfs/dbraw/zinc/14/14/29/422141429.db2.gz CIIMJOYHEQNXDJ-UHFFFAOYSA-N -1 1 312.377 1.215 20 0 DDADMM Cc1ccc(O[C@@H](C)CNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635615650 422167436 /nfs/dbraw/zinc/16/74/36/422167436.db2.gz LIXVVRKTZUOCRW-LBPRGKRZSA-N -1 1 303.366 1.415 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](CCO)c2ccco2)sn1 ZINC000632225895 422178088 /nfs/dbraw/zinc/17/80/88/422178088.db2.gz BZIAXBUOUHTIRY-SECBINFHSA-N -1 1 302.377 1.447 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)C[C@H]1CCC1(F)F)C(F)(F)F ZINC000632155452 422124002 /nfs/dbraw/zinc/12/40/02/422124002.db2.gz ZRAZXQSNFDPBDI-RQJHMYQMSA-N -1 1 311.272 1.264 20 0 DDADMM CC(C)(C)[C@H](CO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632268708 422208175 /nfs/dbraw/zinc/20/81/75/422208175.db2.gz XDICMWRKUHTOOW-ZETCQYMHSA-N -1 1 315.317 1.114 20 0 DDADMM CCNC(=O)C1(C[N-]S(=O)(=O)c2cc(C)ns2)CCC1 ZINC000632273490 422212774 /nfs/dbraw/zinc/21/27/74/422212774.db2.gz QOJRBWIZKOVTJH-UHFFFAOYSA-N -1 1 317.436 1.036 20 0 DDADMM O=S(=O)(C[C@@H]1CCCCO1)c1nc(-c2ccccc2)n[n-]1 ZINC000574995336 422232544 /nfs/dbraw/zinc/23/25/44/422232544.db2.gz NONFNPLGXMWUDY-LBPRGKRZSA-N -1 1 307.375 1.815 20 0 DDADMM O=S(=O)(C[C@@H]1CCCCO1)c1n[n-]c(-c2ccccc2)n1 ZINC000574995336 422232548 /nfs/dbraw/zinc/23/25/48/422232548.db2.gz NONFNPLGXMWUDY-LBPRGKRZSA-N -1 1 307.375 1.815 20 0 DDADMM C[C@@H]1CN(C(=O)CCCc2nn[n-]n2)C[C@H](c2ccsc2)O1 ZINC000635685915 422240335 /nfs/dbraw/zinc/24/03/35/422240335.db2.gz RYWHVJJVZQFTCR-ZYHUDNBSSA-N -1 1 321.406 1.573 20 0 DDADMM CO[C@@H](C(=O)NC1(c2nn[n-]n2)CCCC1)c1ccc(O)cc1 ZINC000575050119 422243946 /nfs/dbraw/zinc/24/39/46/422243946.db2.gz IPUJINSMECLXOS-GFCCVEGCSA-N -1 1 317.349 1.179 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCOC2(CCCCC2)C1 ZINC000635706792 422261997 /nfs/dbraw/zinc/26/19/97/422261997.db2.gz MOXCEFFPVLDBOW-LBPRGKRZSA-N -1 1 307.398 1.521 20 0 DDADMM O=C(NC[C@H]1CCCN1CC(F)F)C(=O)c1ccc([O-])cc1 ZINC000628620365 422263289 /nfs/dbraw/zinc/26/32/89/422263289.db2.gz OIWKWCFHQRTYNO-LLVKDONJSA-N -1 1 312.316 1.421 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](n3cccn3)C2)c1 ZINC000632353178 422270156 /nfs/dbraw/zinc/27/01/56/422270156.db2.gz UQHYQLRFSFFUTJ-LLVKDONJSA-N -1 1 323.374 1.233 20 0 DDADMM COC(C)(C)c1nc(=NC(=O)c2cccc3[nH]ncc32)s[n-]1 ZINC000634153710 422292457 /nfs/dbraw/zinc/29/24/57/422292457.db2.gz UWQZYXPWGYDRAT-UHFFFAOYSA-N -1 1 317.374 1.970 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc2cc(O)ccc21)c1nn[n-]n1 ZINC000632436849 422343289 /nfs/dbraw/zinc/34/32/89/422343289.db2.gz OVEIYFAQMZFDSN-JTQLQIEISA-N -1 1 311.345 1.934 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@@H]1CCCO[C@H]1c1ccccc1 ZINC000630826072 422356366 /nfs/dbraw/zinc/35/63/66/422356366.db2.gz HWNHTYKFFTXSTA-BBRMVZONSA-N -1 1 315.377 1.416 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2C[C@@H](C)O[C@H]2C)c1 ZINC000632456401 422360063 /nfs/dbraw/zinc/36/00/63/422360063.db2.gz CNSYLLYAIPMRJZ-VWYCJHECSA-N -1 1 315.391 1.493 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@]2(C1)OCc1ccccc12 ZINC000635811520 422367738 /nfs/dbraw/zinc/36/77/38/422367738.db2.gz OFHJEIMHNJRADO-MRXNPFEDSA-N -1 1 313.361 1.180 20 0 DDADMM NC(=O)C[C@H]1CCCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630860501 422378155 /nfs/dbraw/zinc/37/81/55/422378155.db2.gz MRQVIGZBOMNDEL-LLVKDONJSA-N -1 1 310.781 1.845 20 0 DDADMM COc1ccccc1[C@@H]1CCCCN1C(=O)CCc1nn[n-]n1 ZINC000630944707 422433308 /nfs/dbraw/zinc/43/33/08/422433308.db2.gz WMZBGSAMRFJBJG-ZDUSSCGKSA-N -1 1 315.377 1.895 20 0 DDADMM CCOc1nc2cc(C(=O)NCC[N@@H+]3CC=CCC3)ccc2[nH]1 ZINC000577856068 422442656 /nfs/dbraw/zinc/44/26/56/422442656.db2.gz LGYRTDIDORQTKX-UHFFFAOYSA-N -1 1 314.389 1.953 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2C(C)(C)C2(F)F)c1 ZINC000632567844 422443631 /nfs/dbraw/zinc/44/36/31/422443631.db2.gz PGDOPWVYRKOOAU-LLVKDONJSA-N -1 1 321.345 1.970 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1C[C@H]1c1ccc(Cl)cc1 ZINC000635887622 422443853 /nfs/dbraw/zinc/44/38/53/422443853.db2.gz PPNUEEUMUZZBES-NWDGAFQWSA-N -1 1 305.769 1.848 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@]3(CC3(F)F)C2)c1 ZINC000632515293 422402931 /nfs/dbraw/zinc/40/29/31/422402931.db2.gz OVVNNDZBOKVUHZ-GFCCVEGCSA-N -1 1 319.329 1.821 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cc(C3CCOCC3)nn2C)c1[O-] ZINC000628930188 422406339 /nfs/dbraw/zinc/40/63/39/422406339.db2.gz RYKUCPWSAPZRCJ-UHFFFAOYSA-N -1 1 305.338 1.304 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@@H]1CC[C@H](C(F)(F)F)NC1=O ZINC000628961090 422422137 /nfs/dbraw/zinc/42/21/37/422422137.db2.gz OPSXBSOMHWPOJJ-IMTBSYHQSA-N -1 1 320.242 1.927 20 0 DDADMM C[C@H]1OCC[C@@H]1S(=O)(=O)Nc1ccc(C(=O)[O-])cc1O ZINC000578135449 422507110 /nfs/dbraw/zinc/50/71/10/422507110.db2.gz FWQWIJFBHBSUTN-HQJQHLMTSA-N -1 1 301.320 1.010 20 0 DDADMM Cc1nnc(-n2[n-]c3c(c2=O)CCN(Cc2ccccc2)C3)n1C ZINC000634634993 422519527 /nfs/dbraw/zinc/51/95/27/422519527.db2.gz QYIIIEZIURPLQI-CQSZACIVSA-N -1 1 324.388 1.348 20 0 DDADMM O=C(C(=O)N1CC[C@H](O)[C@H]2CCCC[C@H]21)c1ccc([O-])cc1 ZINC000634486612 422452830 /nfs/dbraw/zinc/45/28/30/422452830.db2.gz FFTAPZAGJTZHRK-ZNMIVQPWSA-N -1 1 303.358 1.727 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@@H](CCF)C2)c1 ZINC000632599368 422464315 /nfs/dbraw/zinc/46/43/15/422464315.db2.gz QBZRPMWVGBQDGX-NSHDSACASA-N -1 1 319.354 1.150 20 0 DDADMM Cc1nc(SCCN2C(=O)N[C@H](C)C2=O)[n-]c(=O)c1C1CC1 ZINC000631023336 422477328 /nfs/dbraw/zinc/47/73/28/422477328.db2.gz MYFIDLGILVOPGF-MRVPVSSYSA-N -1 1 322.390 1.400 20 0 DDADMM CCC[C@@H](CCO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000632620369 422478346 /nfs/dbraw/zinc/47/83/46/422478346.db2.gz JRLBWQRLURVVMK-QMMMGPOBSA-N -1 1 311.325 1.933 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000635938009 422491486 /nfs/dbraw/zinc/49/14/86/422491486.db2.gz ZRWNAKCTNDTHHL-ZIAGYGMSSA-N -1 1 315.377 1.559 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)[C@H](C)O1 ZINC000632646435 422492143 /nfs/dbraw/zinc/49/21/43/422492143.db2.gz JLERDLMSSHDRNJ-WEDXCCLWSA-N -1 1 324.324 1.945 20 0 DDADMM COc1ccc(-c2[n-]n([C@H]3CCS(=O)(=O)C3)c(=O)c2C)cc1 ZINC000634584728 422494301 /nfs/dbraw/zinc/49/43/01/422494301.db2.gz LJOSGQMXDIDYCW-PWSUYJOCSA-N -1 1 322.386 1.065 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCn2nccc21)c1cccc(F)c1F ZINC000632803855 422592027 /nfs/dbraw/zinc/59/20/27/422592027.db2.gz CCIJEKVHGXDVQX-SNVBAGLBSA-N -1 1 313.329 1.975 20 0 DDADMM C[C@@](O)(CN1CCc2ccc(C(F)(F)F)cc2C1)C(=O)[O-] ZINC000634692423 422552461 /nfs/dbraw/zinc/55/24/61/422552461.db2.gz AXUYEPSVKPMOKY-CYBMUJFWSA-N -1 1 303.280 1.899 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2cnnn2C)c(Cl)c1C ZINC000629301340 422592802 /nfs/dbraw/zinc/59/28/02/422592802.db2.gz GTUBIVXMOFNAEO-UHFFFAOYSA-N -1 1 300.771 1.886 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1cnn(CCOC)c1)C1CC1 ZINC000629286761 422584298 /nfs/dbraw/zinc/58/42/98/422584298.db2.gz CQGXDFQWNUGMKN-ZDUSSCGKSA-N -1 1 317.411 1.086 20 0 DDADMM NC(=O)NCCCCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629374346 422636879 /nfs/dbraw/zinc/63/68/79/422636879.db2.gz VHMWQRJALIXMIP-UHFFFAOYSA-N -1 1 317.349 1.355 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H]1CCc2nncn2C1 ZINC000629380538 422640439 /nfs/dbraw/zinc/64/04/39/422640439.db2.gz RUQGOYRVRQRQLE-NSHDSACASA-N -1 1 324.344 1.371 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1cnn2c1CCCC2)C1CC1 ZINC000634796301 422653434 /nfs/dbraw/zinc/65/34/34/422653434.db2.gz LAKYNPHVIPUBEH-CQSZACIVSA-N -1 1 313.423 1.776 20 0 DDADMM C[C@@H]1CN([C@H]2CCN(c3ccccc3F)C2=O)CC[C@@H]1C(=O)[O-] ZINC000629495202 422700913 /nfs/dbraw/zinc/70/09/13/422700913.db2.gz HDZLUMJTURZFJZ-XUJVJEKNSA-N -1 1 320.364 1.974 20 0 DDADMM O=C(C(=O)N1CCC[C@@H](n2ccnn2)CC1)c1ccc([O-])cc1 ZINC000636195918 422707070 /nfs/dbraw/zinc/70/70/70/422707070.db2.gz RSMRQZHSPSGVOC-CYBMUJFWSA-N -1 1 314.345 1.420 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccccc1)c1ccsc1 ZINC000184252860 263386043 /nfs/dbraw/zinc/38/60/43/263386043.db2.gz XESSTDRQEKCMFP-LBPRGKRZSA-N -1 1 311.384 1.941 20 0 DDADMM Cc1ccc(CC(=O)NCc2nn[n-]n2)c(Br)c1 ZINC000648307687 423309984 /nfs/dbraw/zinc/30/99/84/423309984.db2.gz NHHSBTCKKSRFQM-UHFFFAOYSA-N -1 1 310.155 1.130 20 0 DDADMM NS(=O)(=O)c1ccc(NC(=O)c2ccc([O-])cc2F)cc1 ZINC000232385270 263551472 /nfs/dbraw/zinc/55/14/72/263551472.db2.gz OBQWFARTEJBFJM-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCOC[C@H](O)C1 ZINC000651040691 423365628 /nfs/dbraw/zinc/36/56/28/423365628.db2.gz SXUHHRGVKTZDDI-SECBINFHSA-N -1 1 305.252 1.244 20 0 DDADMM O=C([O-])CCCNC(=O)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000646165505 423374463 /nfs/dbraw/zinc/37/44/63/423374463.db2.gz HZWBFXDCOXPSNE-GFCCVEGCSA-N -1 1 303.322 1.058 20 0 DDADMM CCOc1cncc(=NC(=O)c2cc3c(C)nn(C)c3s2)[n-]1 ZINC000648519974 423381637 /nfs/dbraw/zinc/38/16/37/423381637.db2.gz HURRUJQSZKSTED-UHFFFAOYSA-N -1 1 317.374 1.806 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(C)c(S(C)(=O)=O)c1 ZINC000646411799 423480887 /nfs/dbraw/zinc/48/08/87/423480887.db2.gz SGZTVJTUZXTRNG-UHFFFAOYSA-N -1 1 309.347 1.388 20 0 DDADMM O=C(c1cccc2ccncc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000648837847 423491732 /nfs/dbraw/zinc/49/17/32/423491732.db2.gz HNVDEDLHRLLSJK-LBPRGKRZSA-N -1 1 308.345 1.768 20 0 DDADMM C[C@H]1CCCc2ncc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)n21 ZINC000651561568 423595788 /nfs/dbraw/zinc/59/57/88/423595788.db2.gz QDASZTKVKUJENQ-WDEREUQCSA-N -1 1 315.381 1.313 20 0 DDADMM O=S(=O)([N-]CC[C@]1(O)CCOC1)c1cc(Cl)ccc1F ZINC000651839990 423705695 /nfs/dbraw/zinc/70/56/95/423705695.db2.gz YVMYFNOUKLGPTR-LBPRGKRZSA-N -1 1 323.773 1.299 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccc2c1CNC2=O)C(C)C ZINC000647133005 423770230 /nfs/dbraw/zinc/77/02/30/423770230.db2.gz IPRREVGGSPBFDB-ZDUSSCGKSA-N -1 1 312.391 1.343 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(CC(F)F)c1)C(C)C ZINC000647127378 423764176 /nfs/dbraw/zinc/76/41/76/423764176.db2.gz KZEABTUDTYVIMZ-SNVBAGLBSA-N -1 1 311.354 1.561 20 0 DDADMM COc1ccccc1[C@H](N)CNC(=O)[C@]1(C(=O)[O-])CC=CCC1 ZINC000656854116 423794609 /nfs/dbraw/zinc/79/46/09/423794609.db2.gz SONPIKHTRXCCDX-DYVFJYSZSA-N -1 1 318.373 1.622 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H](N)c2cccc(Cl)c2)C[C@@H]1C(=O)[O-] ZINC000656855060 423798858 /nfs/dbraw/zinc/79/88/58/423798858.db2.gz UJTIAOUYGZCJOT-ICCXJUOJSA-N -1 1 310.781 1.909 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2csc(C(N)=O)c2)CCC1 ZINC000641689273 423866876 /nfs/dbraw/zinc/86/68/76/423866876.db2.gz OXSGJTQVAAMHSI-UHFFFAOYSA-N -1 1 304.393 1.158 20 0 DDADMM CCOC1(CS(=O)(=O)[N-][C@H](C)C(F)F)CCOCC1 ZINC000641705774 423888392 /nfs/dbraw/zinc/88/83/92/423888392.db2.gz OPNDPUPTLXKIPR-SECBINFHSA-N -1 1 301.355 1.145 20 0 DDADMM O=S(=O)([N-]c1c(O)cccc1Cl)c1cnc2n1CCC2 ZINC000641687234 423861376 /nfs/dbraw/zinc/86/13/76/423861376.db2.gz KWTYREJJHWMRMP-UHFFFAOYSA-N -1 1 313.766 1.989 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1c(C)n[nH]c1C)C1CCOCC1 ZINC000647270474 423937184 /nfs/dbraw/zinc/93/71/84/423937184.db2.gz ZVOJZHCYQBNMLH-GFCCVEGCSA-N -1 1 317.411 1.210 20 0 DDADMM CN(Cc1nnc2n1CCC2)C(=O)c1ncc2ccccc2c1[O-] ZINC000665931331 423954543 /nfs/dbraw/zinc/95/45/43/423954543.db2.gz FSLADCMMYXGEHZ-UHFFFAOYSA-N -1 1 323.356 1.750 20 0 DDADMM O=C(COCC(F)(F)F)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644883714 424013113 /nfs/dbraw/zinc/01/31/13/424013113.db2.gz WGIHEQBGCPJTRB-ZETCQYMHSA-N -1 1 309.244 1.060 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1ncccc1Br)OC ZINC000656992536 423966023 /nfs/dbraw/zinc/96/60/23/423966023.db2.gz SYKZUGLCVCZXNY-MRVPVSSYSA-N -1 1 323.212 1.547 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCNC(=O)CC2)c(F)c1 ZINC000644862798 423986944 /nfs/dbraw/zinc/98/69/44/423986944.db2.gz LGSRZSKAWMVJHA-VIFPVBQESA-N -1 1 318.345 1.220 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2cnccc2C)sc1C ZINC000657082138 424085825 /nfs/dbraw/zinc/08/58/25/424085825.db2.gz KLJAIGJPHZRJRQ-UHFFFAOYSA-N -1 1 311.432 1.984 20 0 DDADMM O=S(=O)([N-]CC(F)(F)c1cccc(F)c1)N1CCOCC1 ZINC000657079636 424087050 /nfs/dbraw/zinc/08/70/50/424087050.db2.gz FGPYBPULOKCXRO-UHFFFAOYSA-N -1 1 324.324 1.084 20 0 DDADMM C[C@H]1CN(CCCNC(=O)c2ccc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC000642218982 424131083 /nfs/dbraw/zinc/13/10/83/424131083.db2.gz FZJCUKSUQQGVTA-RYUDHWBXSA-N -1 1 321.377 1.009 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2C[C@H](O)C23CCC3)c(F)c1 ZINC000657185571 424200672 /nfs/dbraw/zinc/20/06/72/424200672.db2.gz FPTWRKPZFJERNO-RYUDHWBXSA-N -1 1 317.357 1.855 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C[C@H](CO)O1 ZINC000649824257 424225593 /nfs/dbraw/zinc/22/55/93/424225593.db2.gz BDKNWLAHLSVRBI-PSASIEDQSA-N -1 1 319.279 1.633 20 0 DDADMM CCN1CC[C@H](Sc2nc(C(F)(F)F)cc(=O)[n-]2)C1=O ZINC000657249337 424247752 /nfs/dbraw/zinc/24/77/52/424247752.db2.gz KZANXQHPXMMYQA-LURJTMIESA-N -1 1 307.297 1.914 20 0 DDADMM CCc1cc(=O)[n-]c(SCCc2nnn(C(C)(C)C)n2)n1 ZINC000657256842 424249827 /nfs/dbraw/zinc/24/98/27/424249827.db2.gz KVFSSZWYDDSFFZ-UHFFFAOYSA-N -1 1 308.411 1.821 20 0 DDADMM COc1ccc2nc(CN3CC[C@H](C(=O)[O-])[C@H](C)C3)[nH]c2n1 ZINC000659801090 424264108 /nfs/dbraw/zinc/26/41/08/424264108.db2.gz JPKGZJRMTFINOF-ZJUUUORDSA-N -1 1 304.350 1.509 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](C)N2C[C@@H](C)O[C@@H](C)C2)c([O-])c1 ZINC000655242704 424334314 /nfs/dbraw/zinc/33/43/14/424334314.db2.gz DCTAOZXTECQIKU-RWMBFGLXSA-N -1 1 307.394 1.323 20 0 DDADMM CS(=O)(=O)CCCNC(=O)N=c1[n-]sc2ccccc21 ZINC000640343281 424362230 /nfs/dbraw/zinc/36/22/30/424362230.db2.gz SXPPMEHXJHWZIW-UHFFFAOYSA-N -1 1 313.404 1.274 20 0 DDADMM CN(C)C(=O)CCCNC(=O)N=c1[n-]sc2ccccc21 ZINC000640342686 424363856 /nfs/dbraw/zinc/36/38/56/424363856.db2.gz SQBAIUJXWPJQIW-UHFFFAOYSA-N -1 1 306.391 1.708 20 0 DDADMM Cc1ccc(C)c(NC(=O)CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c1 ZINC000662220776 424486429 /nfs/dbraw/zinc/48/64/29/424486429.db2.gz WJYVPNUHOGCUAV-CXAGYDPISA-N -1 1 318.373 1.275 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC[C@@H]2Cc2cccc(F)c2)C1=O ZINC000662201699 424466785 /nfs/dbraw/zinc/46/67/85/424466785.db2.gz KNTUGGSOXOGUOC-HUUCEWRRSA-N -1 1 320.364 1.518 20 0 DDADMM C[C@]1(c2ccccc2)CCN([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662207508 424473168 /nfs/dbraw/zinc/47/31/68/424473168.db2.gz YRXOGPPEEGVVKO-PBHICJAKSA-N -1 1 302.374 1.336 20 0 DDADMM CC(C)[C@H](O)[C@@H](Nc1nc2[nH][n-]cc-2c(=O)n1)c1ccccc1 ZINC000664532361 424628651 /nfs/dbraw/zinc/62/86/51/424628651.db2.gz RSUSTUTVTJEMOU-STQMWFEESA-N -1 1 313.361 1.602 20 0 DDADMM CC(C)(C)n1nnc(C[N-]S(=O)(=O)c2cccc(F)c2)n1 ZINC000655637875 424608796 /nfs/dbraw/zinc/60/87/96/424608796.db2.gz NNGMYEZIUXZULE-UHFFFAOYSA-N -1 1 313.358 1.046 20 0 DDADMM CC(C)[C@@H](CNC(=O)NCc1n[nH]c(C(C)(C)C)n1)C(=O)[O-] ZINC000655880924 424691161 /nfs/dbraw/zinc/69/11/61/424691161.db2.gz YXTBQZCYTXJRMG-SECBINFHSA-N -1 1 311.386 1.258 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)c3ncccc3[O-])[nH]c21 ZINC000344495319 272038339 /nfs/dbraw/zinc/03/83/39/272038339.db2.gz YMCFIISWRKVTSV-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1cccc(C(F)F)c1)c1nn[n-]n1 ZINC000344546044 272056057 /nfs/dbraw/zinc/05/60/57/272056057.db2.gz RJQCWGRTGLWANX-SECBINFHSA-N -1 1 309.320 1.942 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)[N-]S(=O)(=O)CCCCF ZINC000344668850 272084552 /nfs/dbraw/zinc/08/45/52/272084552.db2.gz HKLGOLDBUSIGNQ-ZETCQYMHSA-N -1 1 309.281 1.150 20 0 DDADMM CC[C@@H](C)n1[nH]c(=NC(=O)c2ccc(C(=O)OC)[n-]2)cc1C ZINC000345440479 272251867 /nfs/dbraw/zinc/25/18/67/272251867.db2.gz GSYURLNWJVCNFT-SECBINFHSA-N -1 1 304.350 1.951 20 0 DDADMM Cn1[n-]c(CN2CCc3[nH]c4ccc(F)cc4c3C2)nc1=O ZINC000347453037 272697423 /nfs/dbraw/zinc/69/74/23/272697423.db2.gz ACOYRXGDDJKZTG-UHFFFAOYSA-N -1 1 301.325 1.287 20 0 DDADMM Cc1noc([N-]C(=O)c2cn(-c3ccccc3Cl)nn2)n1 ZINC000059013587 279255018 /nfs/dbraw/zinc/25/50/18/279255018.db2.gz WFVBLPDDEZRMRZ-UHFFFAOYSA-N -1 1 304.697 1.864 20 0 DDADMM CCNC(=O)C(C)(C)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000120425400 281118147 /nfs/dbraw/zinc/11/81/47/281118147.db2.gz SLIZREHCHLTONL-UHFFFAOYSA-N -1 1 324.402 1.873 20 0 DDADMM C[C@H]1C[C@H](NS(=O)(=O)c2cc(F)cc(F)c2)C[N@@H+]1C1CC1 ZINC000126277986 281233065 /nfs/dbraw/zinc/23/30/65/281233065.db2.gz ZFTFGHINHNCETJ-CABZTGNLSA-N -1 1 316.373 1.868 20 0 DDADMM CC(=O)N1CC[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000126762018 281251834 /nfs/dbraw/zinc/25/18/34/281251834.db2.gz VMXJBZVHTAKYBW-LBPRGKRZSA-N -1 1 308.359 1.332 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@@H](c1ccccc1)C1CC1 ZINC000130339438 281376745 /nfs/dbraw/zinc/37/67/45/281376745.db2.gz HAYDEKPMKADLBJ-AWEZNQCLSA-N -1 1 300.362 1.341 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccccc2COC)o1 ZINC000130597637 281387081 /nfs/dbraw/zinc/38/70/81/281387081.db2.gz IDIWHXBNEUSORF-UHFFFAOYSA-N -1 1 324.358 1.586 20 0 DDADMM Cn1[n-]cc2/c(=N/C(=O)c3noc4c3CCCCC4)ccnc1-2 ZINC000283034680 294130356 /nfs/dbraw/zinc/13/03/56/294130356.db2.gz GGZRUGKIPMUMIF-XDHOZWIPSA-N -1 1 311.345 1.851 20 0 DDADMM O=C([O-])CC[C@H]1CCCN(C(=O)[C@@H]2Cc3ccccc3CN2)C1 ZINC000322764325 298261016 /nfs/dbraw/zinc/26/10/16/298261016.db2.gz HIMHCAMINJJJMB-CJNGLKHVSA-N -1 1 316.401 1.804 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)CCn1cccn1 ZINC000354298437 298396927 /nfs/dbraw/zinc/39/69/27/298396927.db2.gz CWXZKGCIQMQCAQ-UHFFFAOYSA-N -1 1 317.393 1.757 20 0 DDADMM C[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC(C)(C)O1 ZINC000181852887 300206310 /nfs/dbraw/zinc/20/63/10/300206310.db2.gz XVXDOEICVFHYIM-VIFPVBQESA-N -1 1 304.350 1.313 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](O)C2(CC2)C1 ZINC000368727057 301081729 /nfs/dbraw/zinc/08/17/29/301081729.db2.gz HDVXYKOGBNERMT-JTQLQIEISA-N -1 1 305.378 1.385 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)[C@H]2C[C@@H]3CC[C@H]2C3)CCSC1 ZINC000575776748 304732688 /nfs/dbraw/zinc/73/26/88/304732688.db2.gz VCMMYNQNWWBKQL-MPPDQPJWSA-N -1 1 319.448 1.143 20 0 DDADMM O=C(CCc1nc(-c2ccccn2)no1)[N-]OCC(F)(F)F ZINC000370266005 301304935 /nfs/dbraw/zinc/30/49/35/301304935.db2.gz KZTOCLXUTPXOBL-UHFFFAOYSA-N -1 1 316.239 1.674 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@@H]1c1ccccn1 ZINC000576075057 304749840 /nfs/dbraw/zinc/74/98/40/304749840.db2.gz ANQLIAWPAYHYBH-LLVKDONJSA-N -1 1 308.363 1.316 20 0 DDADMM CN(C)Cc1ccc(C(=O)N=c2[n-]ncn2-c2cccnc2)cc1 ZINC000377161006 302150231 /nfs/dbraw/zinc/15/02/31/302150231.db2.gz IBUVBHLLBXMVTF-UHFFFAOYSA-N -1 1 322.372 1.398 20 0 DDADMM CC[C@@H](C)[C@H](OC)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000356115280 306836080 /nfs/dbraw/zinc/83/60/80/306836080.db2.gz ICQIMABPKFUBFM-PSLIRLAXSA-N -1 1 320.393 1.729 20 0 DDADMM C[C@@H](NC(=O)OC(C)(C)C)[C@H](C)NC(=O)c1cncc([O-])c1 ZINC000497226072 302694306 /nfs/dbraw/zinc/69/43/06/302694306.db2.gz HYFPIWMRBBOBLY-VHSXEESVSA-N -1 1 309.366 1.819 20 0 DDADMM O=C(NCCO)c1ccc(=NCC(C2CCC2)C2CCC2)[n-]n1 ZINC000528073242 303001386 /nfs/dbraw/zinc/00/13/86/303001386.db2.gz CCTNXMAJYUHIFD-UHFFFAOYSA-N -1 1 318.421 1.249 20 0 DDADMM NC(=O)c1csc(=NC[C@@H](n2cccn2)C(F)(F)F)[n-]1 ZINC000528083193 303002481 /nfs/dbraw/zinc/00/24/81/303002481.db2.gz SQCDTYUDJVIHAQ-SSDOTTSWSA-N -1 1 305.285 1.076 20 0 DDADMM C[C@]1(CNC(=O)c2cncc([O-])c2)COc2ccccc2O1 ZINC000529615372 303141767 /nfs/dbraw/zinc/14/17/67/303141767.db2.gz OVQWLEVEEXVCPX-INIZCTEOSA-N -1 1 300.314 1.747 20 0 DDADMM COc1cc(C(=O)NC[C@H](O)[C@@H]2CCCO2)cc(Cl)c1[O-] ZINC000529774246 303154333 /nfs/dbraw/zinc/15/43/33/303154333.db2.gz ZXAJPBWKGITKMY-QWRGUYRKSA-N -1 1 315.753 1.324 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1CC(C)(C)OC1(C)C ZINC000530266329 303189545 /nfs/dbraw/zinc/18/95/45/303189545.db2.gz IABUJBXZJLTBGL-SECBINFHSA-N -1 1 308.382 1.032 20 0 DDADMM O=C(NC1CC(c2ccccc2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000532785311 303296605 /nfs/dbraw/zinc/29/66/05/303296605.db2.gz MVBJZHPIRUQDSK-UHFFFAOYSA-N -1 1 309.329 1.094 20 0 DDADMM Cc1cnc(C(=O)N(C)[C@@H](CC(N)=O)c2ccccc2)c([O-])c1 ZINC000358078220 306928271 /nfs/dbraw/zinc/92/82/71/306928271.db2.gz SKBSNVALLVFCHH-ZDUSSCGKSA-N -1 1 313.357 1.784 20 0 DDADMM Cc1cnc(C(=O)NCCCNC(=O)c2cccs2)c([O-])c1 ZINC000358617792 306945155 /nfs/dbraw/zinc/94/51/55/306945155.db2.gz RFQXFTVILWVRKZ-UHFFFAOYSA-N -1 1 319.386 1.707 20 0 DDADMM CC(C)(C)CC[C@@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000358675897 306947582 /nfs/dbraw/zinc/94/75/82/306947582.db2.gz CWQRWYWOFJZWRQ-NSHDSACASA-N -1 1 317.389 1.840 20 0 DDADMM COc1cncc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)n1 ZINC000361796004 307006669 /nfs/dbraw/zinc/00/66/69/307006669.db2.gz FGMCAUTVFBPHPN-UHFFFAOYSA-N -1 1 311.301 1.833 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCN2CCOC[C@@H]2C1 ZINC000363865132 307037136 /nfs/dbraw/zinc/03/71/36/307037136.db2.gz GAMTWUFYPNJMDD-HNNXBMFYSA-N -1 1 312.369 1.702 20 0 DDADMM O=C(NCc1cnc2n1CCCC2)c1nc2ccccc2c(=O)[n-]1 ZINC000367375636 307092243 /nfs/dbraw/zinc/09/22/43/307092243.db2.gz VPYRVTULLFBHLZ-UHFFFAOYSA-N -1 1 323.356 1.386 20 0 DDADMM FC(F)(F)c1nc(=N[C@@H]2CCO[C@@]3(CCOC3)C2)s[n-]1 ZINC000368545944 307105448 /nfs/dbraw/zinc/10/54/48/307105448.db2.gz OTASSQYXMVPFMD-XCBNKYQSSA-N -1 1 309.313 1.729 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCCO[C@@H]2CCC[C@H]21 ZINC000369435778 307120264 /nfs/dbraw/zinc/12/02/64/307120264.db2.gz SYRNVTPIMMVPAW-ZIAGYGMSSA-N -1 1 313.357 1.707 20 0 DDADMM Cc1cccc(CN2CCCC[C@H]2C(=O)NCCC(=O)[O-])c1 ZINC000370721003 307133779 /nfs/dbraw/zinc/13/37/79/307133779.db2.gz UTDXOCWPAQBPQL-HNNXBMFYSA-N -1 1 304.390 1.940 20 0 DDADMM Cc1noc(C2CCC(NC(=O)c3ncccc3[O-])CC2)n1 ZINC000371913493 307160174 /nfs/dbraw/zinc/16/01/74/307160174.db2.gz SYNJAHIZNGXHFH-UHFFFAOYSA-N -1 1 302.334 1.935 20 0 DDADMM C[C@@H]1c2ccccc2O[C@@H]1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000374743106 307213459 /nfs/dbraw/zinc/21/34/59/307213459.db2.gz GVCSOEWEXIORFY-UFWYWYGMSA-N -1 1 308.341 1.845 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@H]([C@H]4CCOC4)C3)ccnc1-2 ZINC000376593682 307248793 /nfs/dbraw/zinc/24/87/93/307248793.db2.gz WLPGYWLVOYMEIL-MLXUZTMQSA-N -1 1 315.377 1.232 20 0 DDADMM CC(C)CCNC(=O)[C@@H](C)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000377581709 307273393 /nfs/dbraw/zinc/27/33/93/307273393.db2.gz HHTOYTMPYVRRNI-LLVKDONJSA-N -1 1 309.414 1.241 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC[C@H](CN2CCOC2=O)C1 ZINC000497260579 307386474 /nfs/dbraw/zinc/38/64/74/307386474.db2.gz JYBLXISIHZZYBB-JTQLQIEISA-N -1 1 324.764 1.960 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1(C)CCC(F)(F)CC1 ZINC000529804318 307571196 /nfs/dbraw/zinc/57/11/96/307571196.db2.gz OGUKDYWBWJTGHZ-UHFFFAOYSA-N -1 1 317.292 1.594 20 0 DDADMM O=C(N[C@@H](Cc1ccccc1)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000544687263 307718659 /nfs/dbraw/zinc/71/86/59/307718659.db2.gz UZGAEZLYKPXXMZ-ZDUSSCGKSA-N -1 1 323.356 1.169 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cccc3cc[nH]c32)co1 ZINC000548147323 307759004 /nfs/dbraw/zinc/75/90/04/307759004.db2.gz IHBFQODNTOEMKO-UHFFFAOYSA-N -1 1 319.342 1.921 20 0 DDADMM C[C@@H]1CCN(C(=O)c2sccc2CN(C)C)C[C@@H]1C(=O)[O-] ZINC000565481498 308032324 /nfs/dbraw/zinc/03/23/24/308032324.db2.gz WVWLPVWLEBSULB-PWSUYJOCSA-N -1 1 310.419 1.993 20 0 DDADMM CCc1nc(CN=c2[n-]nc(Cc3ccc(F)cc3)s2)n[nH]1 ZINC000569426211 308143764 /nfs/dbraw/zinc/14/37/64/308143764.db2.gz JMODVUSCYILJEX-UHFFFAOYSA-N -1 1 318.381 1.983 20 0 DDADMM C[N@H+](CC(=O)Nc1nn[nH]n1)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC000570661371 308176201 /nfs/dbraw/zinc/17/62/01/308176201.db2.gz GBKUJORKKSDFBP-ZIAGYGMSSA-N -1 1 314.393 1.796 20 0 DDADMM C[N@@H+](CC(=O)Nc1nn[nH]n1)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC000570661371 308176202 /nfs/dbraw/zinc/17/62/02/308176202.db2.gz GBKUJORKKSDFBP-ZIAGYGMSSA-N -1 1 314.393 1.796 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)Nc1cccc(C(=O)OC)c1)C(=O)[O-] ZINC000572648765 308221773 /nfs/dbraw/zinc/22/17/73/308221773.db2.gz QAPSOCSMCBLCDX-HZMBPMFUSA-N -1 1 322.361 1.501 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000573195204 308232958 /nfs/dbraw/zinc/23/29/58/308232958.db2.gz FMWPPUNOEUPZCI-CHIMOYNISA-N -1 1 315.329 1.995 20 0 DDADMM C[C@@](NCc1ncccc1[O-])(C(N)=O)c1cccc(Cl)c1 ZINC000576337185 308307229 /nfs/dbraw/zinc/30/72/29/308307229.db2.gz JQWQGGOLNZEBPY-HNNXBMFYSA-N -1 1 305.765 1.931 20 0 DDADMM CN(C)C(=O)Cn1cc(NC(=O)c2c(F)ccc([O-])c2F)cn1 ZINC000576984823 308354233 /nfs/dbraw/zinc/35/42/33/308354233.db2.gz VYPXBBQHSSMNPO-UHFFFAOYSA-N -1 1 324.287 1.207 20 0 DDADMM CO[C@H](Cc1ccccc1)CS(=O)(=O)[N-]c1cnn(C)c1C ZINC000579106968 308507437 /nfs/dbraw/zinc/50/74/37/308507437.db2.gz VYXXYCIPPODZSA-CQSZACIVSA-N -1 1 323.418 1.728 20 0 DDADMM CCOC(=O)[C@H](NCc1ncccc1[O-])c1cccc(O)c1 ZINC000582208214 325989699 /nfs/dbraw/zinc/98/96/99/325989699.db2.gz MFDVZBKVMFYRQY-OAHLLOKOSA-N -1 1 302.330 1.887 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2(CF)CCC2)c1 ZINC000582906884 337212324 /nfs/dbraw/zinc/21/23/24/337212324.db2.gz NOLZPQOBJCYAPE-UHFFFAOYSA-N -1 1 316.354 1.379 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC000372367446 483932449 /nfs/dbraw/zinc/93/24/49/483932449.db2.gz QLUBSWYKFOLMOD-ZYHUDNBSSA-N -1 1 303.341 1.849 20 0 DDADMM C[C@@H](NC(=O)NCCN1CC=CCC1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000655902314 483995118 /nfs/dbraw/zinc/99/51/18/483995118.db2.gz KAGCBANRGMUOLB-HZSPNIEDSA-N -1 1 323.437 1.683 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccc(F)c1F)[C@H]1CCOC1 ZINC000656619482 484245396 /nfs/dbraw/zinc/24/53/96/484245396.db2.gz OMOXXXZACHCGGO-CABZTGNLSA-N -1 1 321.345 1.758 20 0 DDADMM CCc1noc(C)c1[N-]S(=O)(=O)N1CCC(OC)CC1 ZINC000656626460 484249215 /nfs/dbraw/zinc/24/92/15/484249215.db2.gz QFXXKEMHSRNUIH-UHFFFAOYSA-N -1 1 303.384 1.313 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccc2c(c1)CCNC2=O ZINC000656632306 484250986 /nfs/dbraw/zinc/25/09/86/484250986.db2.gz CCTWVYKKTIKRQT-UHFFFAOYSA-N -1 1 312.391 1.139 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(C[C@@H](C)COC)no2)co1 ZINC000351481363 484490044 /nfs/dbraw/zinc/49/00/44/484490044.db2.gz VRSOLFBVFVTXOJ-MRVPVSSYSA-N -1 1 315.351 1.063 20 0 DDADMM Cc1cc(C2CCN(C(=O)[C@@]3(C(=O)[O-])CC3(C)C)CC2)n[nH]1 ZINC000663052386 484630393 /nfs/dbraw/zinc/63/03/93/484630393.db2.gz SHXKLYFDMNYLCA-MRXNPFEDSA-N -1 1 305.378 1.925 20 0 DDADMM CCC[C@H]1CN(C(=O)[C@H](C(=O)[O-])C2CC2)CCN1CCOC ZINC000663060854 484636468 /nfs/dbraw/zinc/63/64/68/484636468.db2.gz NBNYZNKHPNUZOS-UONOGXRCSA-N -1 1 312.410 1.057 20 0 DDADMM Cc1nnc(N2CCC(C(=O)c3ccc([O-])cc3)CC2)n1C ZINC000669793731 484647762 /nfs/dbraw/zinc/64/77/62/484647762.db2.gz DKHKKHDANMPEHO-UHFFFAOYSA-N -1 1 300.362 1.928 20 0 DDADMM CCc1c([N-]S(=O)(=O)C[C@@H](C)OC)cnn1CC(C)C ZINC000669821376 484653444 /nfs/dbraw/zinc/65/34/44/484653444.db2.gz KWWQEJORNBAXMS-LLVKDONJSA-N -1 1 303.428 1.878 20 0 DDADMM O=C([C@H]1Cc2ccccc2O1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670384432 484802270 /nfs/dbraw/zinc/80/22/70/484802270.db2.gz SOQCRQYAEHKZFV-WCQYABFASA-N -1 1 315.329 1.485 20 0 DDADMM CN(CCN1CCOCC1)C(=O)c1ncc2ccccc2c1[O-] ZINC000668000839 484901527 /nfs/dbraw/zinc/90/15/27/484901527.db2.gz XMGKIMKRVLMKSB-UHFFFAOYSA-N -1 1 315.373 1.345 20 0 DDADMM CCOc1cccc(CCNC(=O)C(=O)c2ccc([O-])cc2)n1 ZINC000673000647 485377431 /nfs/dbraw/zinc/37/74/31/485377431.db2.gz YKNQXIQOOFLLHS-UHFFFAOYSA-N -1 1 314.341 1.728 20 0 DDADMM O=C(CCCCc1cn[nH]n1)N1CCCC[C@@H]1c1n[nH]c(=O)[n-]1 ZINC000673493630 485420818 /nfs/dbraw/zinc/42/08/18/485420818.db2.gz HEAOHLXWIHRTPL-LLVKDONJSA-N -1 1 319.369 1.095 20 0 DDADMM C[C@@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)c1ccncc1 ZINC000674074212 485528795 /nfs/dbraw/zinc/52/87/95/485528795.db2.gz IJFACNFIROHROU-NSHDSACASA-N -1 1 308.341 1.852 20 0 DDADMM COc1cc(C(=O)N2CCNC(=O)C[C@@H]2C)cc(Cl)c1[O-] ZINC000682360504 485564115 /nfs/dbraw/zinc/56/41/15/485564115.db2.gz CJVGIWRWHIWYPF-QMMMGPOBSA-N -1 1 312.753 1.405 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)c2cnccc2C)o1 ZINC000678424525 485576213 /nfs/dbraw/zinc/57/62/13/485576213.db2.gz PWKYXPGKOKSBJO-SNVBAGLBSA-N -1 1 323.374 1.382 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](C)[C@H](C)OC)c(F)c1 ZINC000682419160 485587539 /nfs/dbraw/zinc/58/75/39/485587539.db2.gz XHWLELXGFOIBJK-YUMQZZPRSA-N -1 1 309.334 1.675 20 0 DDADMM COc1ccc(C2(NCc3n[nH]c(=O)[n-]3)CCOCC2)cc1 ZINC000678717021 485664973 /nfs/dbraw/zinc/66/49/73/485664973.db2.gz DQQUMQVKVNIOMV-UHFFFAOYSA-N -1 1 304.350 1.314 20 0 DDADMM Cc1cc(CNC(=O)c2nn(-c3ccc(F)cc3)cc2[O-])n[nH]1 ZINC000674604526 485677049 /nfs/dbraw/zinc/67/70/49/485677049.db2.gz MGVSIFRKPPTRMY-UHFFFAOYSA-N -1 1 315.308 1.679 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cnc3nc(C)ccc3c2[O-])CCO1 ZINC000682689353 485743353 /nfs/dbraw/zinc/74/33/53/485743353.db2.gz ALPTWBDOYPOVKN-LBPRGKRZSA-N -1 1 303.318 1.089 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)CCO1 ZINC000682689353 485743358 /nfs/dbraw/zinc/74/33/58/485743358.db2.gz ALPTWBDOYPOVKN-LBPRGKRZSA-N -1 1 303.318 1.089 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@]2(C)CCCO2)c(F)c1 ZINC000674891750 485804785 /nfs/dbraw/zinc/80/47/85/485804785.db2.gz IYXTUTVZWVUCGN-ZDUSSCGKSA-N -1 1 321.345 1.821 20 0 DDADMM CC[C@@H](C)CCCCC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000680318071 486145938 /nfs/dbraw/zinc/14/59/38/486145938.db2.gz OFASPXGKFIXCGW-SECBINFHSA-N -1 1 302.400 1.753 20 0 DDADMM CC[C@@H](C)CCCCC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000680318071 486145946 /nfs/dbraw/zinc/14/59/46/486145946.db2.gz OFASPXGKFIXCGW-SECBINFHSA-N -1 1 302.400 1.753 20 0 DDADMM Cn1cc(Br)c(=O)c(NC(=O)c2cncc([O-])c2)c1 ZINC000680517778 486211934 /nfs/dbraw/zinc/21/19/34/486211934.db2.gz ZIKYEMVHMVANLX-UHFFFAOYSA-N -1 1 324.134 1.501 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc(F)c(F)cc1F ZINC000676607735 486267540 /nfs/dbraw/zinc/26/75/40/486267540.db2.gz ZWRMZOYAOIDQFA-UHFFFAOYSA-N -1 1 311.267 1.816 20 0 DDADMM Cn1ccnc1CN1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681041486 486328388 /nfs/dbraw/zinc/32/83/88/486328388.db2.gz CWZOESNQFXUGAH-UHFFFAOYSA-N -1 1 318.352 1.223 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1Cc2ccc(F)cc21 ZINC000684567330 486384714 /nfs/dbraw/zinc/38/47/14/486384714.db2.gz MOKQSPJUEABSFP-CABCVRRESA-N -1 1 323.331 1.884 20 0 DDADMM C[C@@H](CC(=O)N(C)C[C@H](C)c1nn[n-]n1)C(=O)c1ccccc1 ZINC000681353833 486411405 /nfs/dbraw/zinc/41/14/05/486411405.db2.gz WACHXSIEFRLHMG-RYUDHWBXSA-N -1 1 315.377 1.671 20 0 DDADMM CCn1cc([C@H]2CSCCN2C(=O)c2ncccc2[O-])cn1 ZINC000684844868 486441866 /nfs/dbraw/zinc/44/18/66/486441866.db2.gz IMXQPZVKWXWWIL-GFCCVEGCSA-N -1 1 318.402 1.934 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCc3cc(C)ncn3)cnc2n1 ZINC000677497101 486483614 /nfs/dbraw/zinc/48/36/14/486483614.db2.gz DVTRDOOFUSKVHK-UHFFFAOYSA-N -1 1 309.329 1.672 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCc1cc(C)ncn1)c2=O ZINC000677497101 486483618 /nfs/dbraw/zinc/48/36/18/486483618.db2.gz DVTRDOOFUSKVHK-UHFFFAOYSA-N -1 1 309.329 1.672 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC2(CCO)CC2)c(F)c1 ZINC000681696149 486494225 /nfs/dbraw/zinc/49/42/25/486494225.db2.gz RFPWCVPRWKNWJI-UHFFFAOYSA-N -1 1 321.345 1.414 20 0 DDADMM C[C@@H]1C[C@H](CCNC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000295013025 533836877 /nfs/dbraw/zinc/83/68/77/533836877.db2.gz TXRCOQPVILMHAU-GHMZBOCLSA-N -1 1 320.418 1.953 20 0 DDADMM C[C@H](CCCO)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330802849 533885666 /nfs/dbraw/zinc/88/56/66/533885666.db2.gz DYZBLKSDWCKCDR-SSDOTTSWSA-N -1 1 312.185 1.481 20 0 DDADMM C[C@@H]1OCC[C@]1(O)C[N-]S(=O)(=O)c1sccc1Cl ZINC000451480053 534210066 /nfs/dbraw/zinc/21/00/66/534210066.db2.gz OFSGCWISOIXEKZ-XVKPBYJWSA-N -1 1 311.812 1.220 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H](NC(C)=O)C(C)(C)C)c1 ZINC000451465151 534232115 /nfs/dbraw/zinc/23/21/15/534232115.db2.gz ZYLIJENLSZRDGD-CYBMUJFWSA-N -1 1 322.361 1.668 20 0 DDADMM Cc1cccc(C(=O)NCCNC(=O)c2cc(F)ccc2[O-])c1 ZINC000436564726 534603563 /nfs/dbraw/zinc/60/35/63/534603563.db2.gz SAHLKBQSZVVRAE-UHFFFAOYSA-N -1 1 316.332 2.000 20 0 DDADMM Cc1cccc2c([O-])c(C(=O)N=c3nc[nH]n3C(C)C)cnc12 ZINC000412922826 534776723 /nfs/dbraw/zinc/77/67/23/534776723.db2.gz JXMUZSYBYHFDKC-UHFFFAOYSA-N -1 1 311.345 1.683 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCCO1 ZINC000156135949 525268088 /nfs/dbraw/zinc/26/80/88/525268088.db2.gz NSLMOAVVESBMAB-GFCCVEGCSA-N -1 1 309.309 1.951 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCCN1CCO)c1sccc1F ZINC000451665313 534867911 /nfs/dbraw/zinc/86/79/11/534867911.db2.gz DQRDOEUOPGAIOD-SNVBAGLBSA-N -1 1 322.427 1.012 20 0 DDADMM CC(C)(C)c1ncc([N-]S(=O)(=O)CCOCC2CC2)cn1 ZINC000424063825 526884208 /nfs/dbraw/zinc/88/42/08/526884208.db2.gz JBPJRZFWCRHBJB-UHFFFAOYSA-N -1 1 313.423 1.942 20 0 DDADMM CC(C)CNC(=O)NC(=O)CSc1nc(C2CC2)cc(=O)[n-]1 ZINC000436240749 528045617 /nfs/dbraw/zinc/04/56/17/528045617.db2.gz JQINSMHJIWXKDS-UHFFFAOYSA-N -1 1 324.406 1.634 20 0 DDADMM CCOC(=O)c1cccc(SCC(=O)Nc2nnn[n-]2)c1 ZINC000413006226 528256319 /nfs/dbraw/zinc/25/63/19/528256319.db2.gz OFWZWHCHSPXMEZ-UHFFFAOYSA-N -1 1 307.335 1.107 20 0 DDADMM CCOC(=O)c1cccc(SCC(=O)Nc2nn[n-]n2)c1 ZINC000413006226 528256323 /nfs/dbraw/zinc/25/63/23/528256323.db2.gz OFWZWHCHSPXMEZ-UHFFFAOYSA-N -1 1 307.335 1.107 20 0 DDADMM CCCCn1c(SCc2nn[n-]n2)nnc1[C@@H](CC)N(C)C ZINC000435202585 529070621 /nfs/dbraw/zinc/07/06/21/529070621.db2.gz LYUOGNIOACTZOH-SNVBAGLBSA-N -1 1 324.458 1.896 20 0 DDADMM CC[C@H](CCO)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330813483 529332421 /nfs/dbraw/zinc/33/24/21/529332421.db2.gz KZHKLTJBDKLTLH-SSDOTTSWSA-N -1 1 312.185 1.481 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C[C@@H](C)C1 ZINC000736223676 598838453 /nfs/dbraw/zinc/83/84/53/598838453.db2.gz JQXMBZJNCHNHIY-IAZYJMLFSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C[C@@H](C)C1 ZINC000736223676 598838454 /nfs/dbraw/zinc/83/84/54/598838454.db2.gz JQXMBZJNCHNHIY-IAZYJMLFSA-N -1 1 300.366 1.816 20 0 DDADMM O[C@@H]1CCC[C@H](CNc2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000823697028 599574821 /nfs/dbraw/zinc/57/48/21/599574821.db2.gz IBWXOTZTDOLWSP-DTWKUNHWSA-N -1 1 308.773 1.878 20 0 DDADMM COc1cc(-c2noc(-c3ccc(-c4nnn[n-]4)nc3)n2)ccn1 ZINC000737033354 599010385 /nfs/dbraw/zinc/01/03/85/599010385.db2.gz XSOUDCKDZHAIAE-UHFFFAOYSA-N -1 1 322.288 1.382 20 0 DDADMM COc1cc(-c2noc(-c3ccc(-c4nn[n-]n4)nc3)n2)ccn1 ZINC000737033354 599010387 /nfs/dbraw/zinc/01/03/87/599010387.db2.gz XSOUDCKDZHAIAE-UHFFFAOYSA-N -1 1 322.288 1.382 20 0 DDADMM Cc1noc2ncc(NC(=O)c3ccc(-c4nnn[n-]4)nc3)cc12 ZINC000737547040 599028223 /nfs/dbraw/zinc/02/82/23/599028223.db2.gz HTSALKLNZMPSMN-UHFFFAOYSA-N -1 1 322.288 1.359 20 0 DDADMM Cc1noc2ncc(NC(=O)c3ccc(-c4nn[n-]n4)nc3)cc12 ZINC000737547040 599028225 /nfs/dbraw/zinc/02/82/25/599028225.db2.gz HTSALKLNZMPSMN-UHFFFAOYSA-N -1 1 322.288 1.359 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CCS1 ZINC000820045949 599210628 /nfs/dbraw/zinc/21/06/28/599210628.db2.gz OXZDUHARVQFZES-GFCCVEGCSA-N -1 1 318.406 1.475 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CCS1 ZINC000820045949 599210630 /nfs/dbraw/zinc/21/06/30/599210630.db2.gz OXZDUHARVQFZES-GFCCVEGCSA-N -1 1 318.406 1.475 20 0 DDADMM COc1ccc(OC)c(NC(=O)[C@H](C)N(C)CCC(=O)[O-])c1 ZINC000818606829 597002520 /nfs/dbraw/zinc/00/25/20/597002520.db2.gz CKVDUOGVRSOLGQ-JTQLQIEISA-N -1 1 310.350 1.437 20 0 DDADMM CC(C)[C@]1(C)NC(=O)N(CN2CCC[C@@H](CC(=O)[O-])C2)C1=O ZINC000817858547 597043853 /nfs/dbraw/zinc/04/38/53/597043853.db2.gz IEQHGSVDCQYHNB-NHYWBVRUSA-N -1 1 311.382 1.097 20 0 DDADMM CC(C)[C@H](NCC(=O)Nc1cccc(C(=O)N(C)C)c1)C(=O)[O-] ZINC000817977533 597108478 /nfs/dbraw/zinc/10/84/78/597108478.db2.gz JWFODXFACUMSPG-AWEZNQCLSA-N -1 1 321.377 1.026 20 0 DDADMM O=C([O-])[C@H]1CCN(CC(=O)N[C@H]2CCOc3ccccc32)C1 ZINC000819151729 597526345 /nfs/dbraw/zinc/52/63/45/597526345.db2.gz SANLYWBWYMZSHP-AAEUAGOBSA-N -1 1 304.346 1.033 20 0 DDADMM CCc1cc2c(C[N@@H+]3CC[C@H](C(=O)[O-])C3)cc(=O)oc2cc1[O-] ZINC000818335767 597535394 /nfs/dbraw/zinc/53/53/94/597535394.db2.gz BTLHQBONOWDMAK-NSHDSACASA-N -1 1 317.341 1.968 20 0 DDADMM CCc1cc2c(C[N@H+]3CC[C@H](C(=O)[O-])C3)cc(=O)oc2cc1[O-] ZINC000818335767 597535395 /nfs/dbraw/zinc/53/53/95/597535395.db2.gz BTLHQBONOWDMAK-NSHDSACASA-N -1 1 317.341 1.968 20 0 DDADMM CC(=O)NCCN(Cc1ccc(F)cc1F)[C@@H](C)C(=O)[O-] ZINC000819780774 597677811 /nfs/dbraw/zinc/67/78/11/597677811.db2.gz LFRQKYRDHUYJLG-VIFPVBQESA-N -1 1 300.305 1.376 20 0 DDADMM O=C([O-])CNC(=O)CSc1nc(C=Cc2ccccc2)n[nH]1 ZINC000821533584 597752960 /nfs/dbraw/zinc/75/29/60/597752960.db2.gz UVBDZBLRTXUUBN-VOTSOKGWSA-N -1 1 318.358 1.268 20 0 DDADMM COc1ccc(OC)c2c1CN(CCCCC(=O)[O-])C[C@@H]2O ZINC000262294005 597769712 /nfs/dbraw/zinc/76/97/12/597769712.db2.gz YFFXUUMZGAUPRS-LBPRGKRZSA-N -1 1 309.362 1.808 20 0 DDADMM O=C([O-])[C@H]1CCN(Cc2cc3c(cc2OC(F)F)OCO3)C1 ZINC000821511582 598129844 /nfs/dbraw/zinc/12/98/44/598129844.db2.gz KIYRRHMUYOHMLF-QMMMGPOBSA-N -1 1 315.272 1.923 20 0 DDADMM CCC[C@@H](CC)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736422824 598270278 /nfs/dbraw/zinc/27/02/78/598270278.db2.gz JNHOUMPXKQOHRC-SECBINFHSA-N -1 1 310.383 1.119 20 0 DDADMM CCC[C@@H](CC)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736422824 598270279 /nfs/dbraw/zinc/27/02/79/598270279.db2.gz JNHOUMPXKQOHRC-SECBINFHSA-N -1 1 310.383 1.119 20 0 DDADMM O=S(=O)(Cc1cccc(-c2nn[nH]n2)c1)c1ccc([O-])cc1 ZINC000738329871 598290660 /nfs/dbraw/zinc/29/06/60/598290660.db2.gz MIPBFCAVYAPDNZ-UHFFFAOYSA-N -1 1 316.342 1.546 20 0 DDADMM CCOc1ccc(Nc2nccnc2-c2nnn[n-]2)cc1OC ZINC000736647651 598337093 /nfs/dbraw/zinc/33/70/93/598337093.db2.gz RPLAQWMJXSZDRP-UHFFFAOYSA-N -1 1 313.321 1.808 20 0 DDADMM CCOc1ccc(Nc2nccnc2-c2nn[n-]n2)cc1OC ZINC000736647651 598337094 /nfs/dbraw/zinc/33/70/94/598337094.db2.gz RPLAQWMJXSZDRP-UHFFFAOYSA-N -1 1 313.321 1.808 20 0 DDADMM CN(Cc1ccccc1Cl)c1nccnc1-c1nnn[n-]1 ZINC000736828448 598338150 /nfs/dbraw/zinc/33/81/50/598338150.db2.gz KFIRCLRRSPOQSB-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM CN(Cc1ccccc1Cl)c1nccnc1-c1nn[n-]n1 ZINC000736828448 598338152 /nfs/dbraw/zinc/33/81/52/598338152.db2.gz KFIRCLRRSPOQSB-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM c1cn(-c2ccccc2)nc1Nc1nccnc1-c1nnn[n-]1 ZINC000738426498 598522248 /nfs/dbraw/zinc/52/22/48/598522248.db2.gz RHBMSFITULLAHD-UHFFFAOYSA-N -1 1 305.305 1.586 20 0 DDADMM c1cn(-c2ccccc2)nc1Nc1nccnc1-c1nn[n-]n1 ZINC000738426498 598522249 /nfs/dbraw/zinc/52/22/49/598522249.db2.gz RHBMSFITULLAHD-UHFFFAOYSA-N -1 1 305.305 1.586 20 0 DDADMM O=C(CNc1ccc(Cl)c(-c2nnn[n-]2)n1)NC1CCCC1 ZINC000737897689 598578156 /nfs/dbraw/zinc/57/81/56/598578156.db2.gz DRQDXECCKROWOV-UHFFFAOYSA-N -1 1 321.772 1.386 20 0 DDADMM O=C(CNc1ccc(Cl)c(-c2nn[n-]n2)n1)NC1CCCC1 ZINC000737897689 598578157 /nfs/dbraw/zinc/57/81/57/598578157.db2.gz DRQDXECCKROWOV-UHFFFAOYSA-N -1 1 321.772 1.386 20 0 DDADMM Cc1cc(Cn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)on1 ZINC000737264617 598719348 /nfs/dbraw/zinc/71/93/48/598719348.db2.gz UKLUETPAMXUINB-UHFFFAOYSA-N -1 1 308.301 1.526 20 0 DDADMM COc1ccccc1CCN(C)c1nccnc1-c1nnn[n-]1 ZINC000737150719 598797539 /nfs/dbraw/zinc/79/75/39/598797539.db2.gz SRBXISNYNSKMPN-UHFFFAOYSA-N -1 1 311.349 1.344 20 0 DDADMM COc1ccccc1CCN(C)c1nccnc1-c1nn[n-]n1 ZINC000737150719 598797540 /nfs/dbraw/zinc/79/75/40/598797540.db2.gz SRBXISNYNSKMPN-UHFFFAOYSA-N -1 1 311.349 1.344 20 0 DDADMM C[C@H]1CC[C@H](C)N(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000820684277 599327270 /nfs/dbraw/zinc/32/72/70/599327270.db2.gz NXOQXGQPOQYPMR-UWVGGRQHSA-N -1 1 322.394 1.071 20 0 DDADMM C[C@H]1CC[C@H](C)N(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000820684277 599327271 /nfs/dbraw/zinc/32/72/71/599327271.db2.gz NXOQXGQPOQYPMR-UWVGGRQHSA-N -1 1 322.394 1.071 20 0 DDADMM O=C(Nc1c([O-])cccc1F)c1ccc(-c2nn[nH]n2)cn1 ZINC000823290464 599330951 /nfs/dbraw/zinc/33/09/51/599330951.db2.gz XTGZTMAAZWWVLD-UHFFFAOYSA-N -1 1 300.253 1.359 20 0 DDADMM CCn1cc(C2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)cn1 ZINC000736721784 599421887 /nfs/dbraw/zinc/42/18/87/599421887.db2.gz KQTMMOHDZQQZCZ-UHFFFAOYSA-N -1 1 324.392 1.862 20 0 DDADMM CCn1cc(C2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)cn1 ZINC000736721784 599421888 /nfs/dbraw/zinc/42/18/88/599421888.db2.gz KQTMMOHDZQQZCZ-UHFFFAOYSA-N -1 1 324.392 1.862 20 0 DDADMM c1ccc(N[C@@H]2CCN(c3cccc(-c4nnn[n-]4)n3)C2)cc1 ZINC000738434302 599426104 /nfs/dbraw/zinc/42/61/04/599426104.db2.gz CPPJQXWTGKELAZ-CYBMUJFWSA-N -1 1 307.361 1.953 20 0 DDADMM c1ccc(N[C@@H]2CCN(c3cccc(-c4nn[n-]n4)n3)C2)cc1 ZINC000738434302 599426105 /nfs/dbraw/zinc/42/61/05/599426105.db2.gz CPPJQXWTGKELAZ-CYBMUJFWSA-N -1 1 307.361 1.953 20 0 DDADMM c1ccc(N2CC[C@@H](Nc3cccc(-c4nnn[n-]4)n3)C2)cc1 ZINC000738435195 599432448 /nfs/dbraw/zinc/43/24/48/599432448.db2.gz IRFTZVLGAUVBFB-GFCCVEGCSA-N -1 1 307.361 1.953 20 0 DDADMM c1ccc(N2CC[C@@H](Nc3cccc(-c4nn[n-]n4)n3)C2)cc1 ZINC000738435195 599432450 /nfs/dbraw/zinc/43/24/50/599432450.db2.gz IRFTZVLGAUVBFB-GFCCVEGCSA-N -1 1 307.361 1.953 20 0 DDADMM O[C@@H]1CCC[C@H](CNc2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000823697028 599574818 /nfs/dbraw/zinc/57/48/18/599574818.db2.gz IBWXOTZTDOLWSP-DTWKUNHWSA-N -1 1 308.773 1.878 20 0 DDADMM CCc1cnc(COC(=O)c2sccc2-c2nn[n-]n2)o1 ZINC000821499172 599659094 /nfs/dbraw/zinc/65/90/94/599659094.db2.gz FFCGBKTZUSVSBR-UHFFFAOYSA-N -1 1 305.319 1.836 20 0 DDADMM CN(C)[C@H](CNC(=O)CCCN(C)C(=O)[O-])c1cccs1 ZINC000737422869 599724717 /nfs/dbraw/zinc/72/47/17/599724717.db2.gz PSNKYPVHSOCVIA-LLVKDONJSA-N -1 1 313.423 1.857 20 0 DDADMM CN(C)[C@H](CNC(=O)C(C)(C)NC(=O)[O-])c1cccc(F)c1 ZINC000737362359 599726184 /nfs/dbraw/zinc/72/61/84/599726184.db2.gz USTJGNBPOFINTR-GFCCVEGCSA-N -1 1 311.357 1.591 20 0 DDADMM CN(C)[C@@H](CNC(=O)CCCNC(=O)[O-])c1ccc(F)cc1 ZINC000737360442 599748408 /nfs/dbraw/zinc/74/84/08/599748408.db2.gz YJABAZFZEQHBIE-ZDUSSCGKSA-N -1 1 311.357 1.592 20 0 DDADMM CNC(=O)CCN(C)[C@@H](C)C(=O)Nc1cc(C(=O)[O-])ccc1C ZINC000737537776 599772949 /nfs/dbraw/zinc/77/29/49/599772949.db2.gz ZDJGQDAJUDVHEG-NSHDSACASA-N -1 1 321.377 1.088 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)c1ccc2ccccc2c1 ZINC000059538725 599775615 /nfs/dbraw/zinc/77/56/15/599775615.db2.gz USISDEAIGVVGEE-HNNXBMFYSA-N -1 1 309.325 1.989 20 0 DDADMM CC(C)(O)CN1CCN(C(=O)Nc2ccc(C(=O)[O-])cc2)CC1 ZINC000321850966 599836663 /nfs/dbraw/zinc/83/66/63/599836663.db2.gz KWBQCNFHOJNDOF-UHFFFAOYSA-N -1 1 321.377 1.305 20 0 DDADMM CC(C)c1ccc(CCNC(=O)CNC(C)(C)C(=O)[O-])cc1 ZINC000736514160 599925769 /nfs/dbraw/zinc/92/57/69/599925769.db2.gz ONRBXCVZYDQEJF-UHFFFAOYSA-N -1 1 306.406 1.922 20 0 DDADMM CN(CC(=O)N1C[C@@H](C(=O)[O-])Oc2ccccc21)CC(C)(C)C ZINC000737384251 599999997 /nfs/dbraw/zinc/99/99/97/599999997.db2.gz BKNSYOGIIVMPGD-AWEZNQCLSA-N -1 1 320.389 1.843 20 0 DDADMM O=C([O-])c1ccc(C(=O)Nc2cnn(-c3ccncc3)c2)nc1 ZINC000740114879 600012608 /nfs/dbraw/zinc/01/26/08/600012608.db2.gz LZZAKIDNSQQYMN-UHFFFAOYSA-N -1 1 309.285 1.613 20 0 DDADMM C[C@@H](O)[C@H]1CCCCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000736678005 600090707 /nfs/dbraw/zinc/09/07/07/600090707.db2.gz SGQAXULJHVRTJS-BXUZGUMPSA-N -1 1 306.362 1.559 20 0 DDADMM Cc1cccc(C[C@@H](CNC(=O)[C@@H]2CCCN2C)C(=O)[O-])c1 ZINC000738704889 600102601 /nfs/dbraw/zinc/10/26/01/600102601.db2.gz WBABPKCQYNXXJH-GJZGRUSLSA-N -1 1 304.390 1.449 20 0 DDADMM O=C([O-])C1CCN(C(=O)CN2CCC[C@@H]2c2ccco2)CC1 ZINC000738545547 600215792 /nfs/dbraw/zinc/21/57/92/600215792.db2.gz VYJDQJALDHODMB-CYBMUJFWSA-N -1 1 306.362 1.740 20 0 DDADMM C[C@H]1CO[C@H](c2ccccc2)CN1CCC(=O)N(C)CC(=O)[O-] ZINC000736821920 600297880 /nfs/dbraw/zinc/29/78/80/600297880.db2.gz OSTUBIDCNNTACP-ZFWWWQNUSA-N -1 1 320.389 1.382 20 0 DDADMM C[C@@H]1CO[C@@H](c2ccccc2)[C@H](C)N1CCC(=O)NCC(=O)[O-] ZINC000736822576 600331710 /nfs/dbraw/zinc/33/17/10/600331710.db2.gz RPBZEONRSDYUMO-IIYDPXPESA-N -1 1 320.389 1.428 20 0 DDADMM C[N@H+]1CCC[C@@H](NC(=O)Nc2ccc(CNC(=O)[O-])cc2)C1 ZINC000737521914 600354472 /nfs/dbraw/zinc/35/44/72/600354472.db2.gz PZZQUXPNVTYKIE-CYBMUJFWSA-N -1 1 306.366 1.670 20 0 DDADMM CCc1cc(CNC(=O)c2ccc(OCC(=O)[O-])cc2)n[nH]1 ZINC000737252990 600497530 /nfs/dbraw/zinc/49/75/30/600497530.db2.gz FNVFKVAHFCPVFL-UHFFFAOYSA-N -1 1 303.318 1.366 20 0 DDADMM O=C([O-])Cc1csc(NC(=O)N2CC[C@H](N3CC=CC3)C2)n1 ZINC000833268965 600811701 /nfs/dbraw/zinc/81/17/01/600811701.db2.gz JIXMFZJJGMEEPK-NSHDSACASA-N -1 1 322.390 1.248 20 0 DDADMM CCC[C@](C)(NCc1csc(CS(C)(=O)=O)n1)C(=O)[O-] ZINC000829201862 600831753 /nfs/dbraw/zinc/83/17/53/600831753.db2.gz GNXDGURTEUNKPI-LBPRGKRZSA-N -1 1 320.436 1.421 20 0 DDADMM C[C@H](Cc1ccccc1)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000827592674 601087774 /nfs/dbraw/zinc/08/77/74/601087774.db2.gz QUBVBPUHEBNWEM-HUUCEWRRSA-N -1 1 318.417 1.873 20 0 DDADMM CCc1nc([C@@H]2CN(Cc3cc(C)c(C(=O)[O-])o3)CCO2)n[nH]1 ZINC000737275373 601105921 /nfs/dbraw/zinc/10/59/21/601105921.db2.gz TUTLLNVCWAQFSZ-NSHDSACASA-N -1 1 320.349 1.540 20 0 DDADMM Cc1nnc([C@@H]2CN([C@H](C(=O)[O-])c3ccc(F)cc3)CCO2)[nH]1 ZINC000738823597 601106061 /nfs/dbraw/zinc/10/60/61/601106061.db2.gz NTGBXIQLUNFQIG-STQMWFEESA-N -1 1 320.324 1.451 20 0 DDADMM CCN1CC[C@@H](NS(=O)(=O)c2ccc(C(=O)[O-])c(C)c2)C1 ZINC000389311461 601110733 /nfs/dbraw/zinc/11/07/33/601110733.db2.gz NLRUIXBHABDPEQ-LLVKDONJSA-N -1 1 312.391 1.066 20 0 DDADMM Cc1noc(C)c1NC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000833023010 601132168 /nfs/dbraw/zinc/13/21/68/601132168.db2.gz OWSBSVZQSSCYFI-VIFPVBQESA-N -1 1 310.354 1.304 20 0 DDADMM FC(F)(F)Cn1ccc(NCc2ccc(-c3nnn[n-]3)o2)n1 ZINC000822718621 607494733 /nfs/dbraw/zinc/49/47/33/607494733.db2.gz NEFAIBAMRTXGEI-UHFFFAOYSA-N -1 1 313.243 1.831 20 0 DDADMM FC(F)(F)Cn1ccc(NCc2ccc(-c3nn[n-]n3)o2)n1 ZINC000822718621 607494735 /nfs/dbraw/zinc/49/47/35/607494735.db2.gz NEFAIBAMRTXGEI-UHFFFAOYSA-N -1 1 313.243 1.831 20 0 DDADMM C[N@@H+](CCNC(=O)c1ccnc(C(=O)[O-])c1)Cc1ccccc1 ZINC000386873212 601244441 /nfs/dbraw/zinc/24/44/41/601244441.db2.gz LBVMPJLWJMBSGL-UHFFFAOYSA-N -1 1 313.357 1.642 20 0 DDADMM CC1(C)CN(CC(=O)N2CCC(C(=O)[O-])CC2)CCS1 ZINC000166997719 601327971 /nfs/dbraw/zinc/32/79/71/601327971.db2.gz FARSAQKZLXRCLP-UHFFFAOYSA-N -1 1 300.424 1.137 20 0 DDADMM CCOC[C@H]1CCN(CC(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC000829990378 601378197 /nfs/dbraw/zinc/37/81/97/601378197.db2.gz XWZDUOHCRUHMKJ-LBPRGKRZSA-N -1 1 306.362 1.682 20 0 DDADMM Cc1ccc(C)c(OC[C@H](O)CN2CC[C@@](F)(C(=O)[O-])C2)c1 ZINC000832926270 601440323 /nfs/dbraw/zinc/44/03/23/601440323.db2.gz KYDYKFCOMYQTBU-CJNGLKHVSA-N -1 1 311.353 1.542 20 0 DDADMM Cc1ccc(OC[C@H](O)CN2CC[C@](F)(C(=O)[O-])C2)c(C)c1 ZINC000832951673 601444247 /nfs/dbraw/zinc/44/42/47/601444247.db2.gz TZOCLYFATZFNCM-CZUORRHYSA-N -1 1 311.353 1.542 20 0 DDADMM CCC1CCC(NCC(=O)NCc2cccnc2)(C(=O)[O-])CC1 ZINC000829101628 601495224 /nfs/dbraw/zinc/49/52/24/601495224.db2.gz SRDNKPIQIQKOCH-UHFFFAOYSA-N -1 1 319.405 1.711 20 0 DDADMM COc1ccc(-c2noc(CN3C[C@H](C(=O)[O-])[C@H](C)C3)n2)cc1 ZINC000320290450 601522488 /nfs/dbraw/zinc/52/24/88/601522488.db2.gz QJUJPNGVTFFYAF-MFKMUULPSA-N -1 1 317.345 1.898 20 0 DDADMM COc1ccc(C)cc1NC(=O)[C@H](C)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000832258344 601528990 /nfs/dbraw/zinc/52/89/90/601528990.db2.gz HQPOPZNXLKTJAE-AGIUHOORSA-N -1 1 320.389 1.983 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N1CCO[C@@H](CC(=O)[O-])C1 ZINC000830624037 601559521 /nfs/dbraw/zinc/55/95/21/601559521.db2.gz PCXDAISXFIQRDU-NSHDSACASA-N -1 1 312.391 1.125 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CCC(c2cccc(F)c2)CC1 ZINC000826000316 601567961 /nfs/dbraw/zinc/56/79/61/601567961.db2.gz NUDHZZDUWIWTTA-LLVKDONJSA-N -1 1 308.353 1.594 20 0 DDADMM Cc1nc([C@@H]2CN(Cc3cc(C(=O)[O-])sc3C)CCO2)n[nH]1 ZINC000832992079 601647196 /nfs/dbraw/zinc/64/71/96/601647196.db2.gz WUCTWADBPPXGNV-NSHDSACASA-N -1 1 322.390 1.755 20 0 DDADMM CNC(=O)CC1CCN(Cc2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000830984187 601649013 /nfs/dbraw/zinc/64/90/13/601649013.db2.gz JJKJTJVQJQWJKA-UHFFFAOYSA-N -1 1 308.353 1.872 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2C[C@H](C(=O)[O-])[C@H](C)C2)o1 ZINC000387692458 601691042 /nfs/dbraw/zinc/69/10/42/601691042.db2.gz HNPDJNZFMANMLG-YPMHNXCESA-N -1 1 308.378 1.914 20 0 DDADMM Cn1cc(NS(=O)(=O)c2ccc(F)c(F)c2)cc1C(=O)[O-] ZINC000833053451 601785445 /nfs/dbraw/zinc/78/54/45/601785445.db2.gz UMVSVVNPAQIZLO-UHFFFAOYSA-N -1 1 316.285 1.802 20 0 DDADMM CC(=O)[C@@H](Cc1ccccc1)NC(=O)CN[C@H](C(=O)[O-])C(C)C ZINC000825813357 601823612 /nfs/dbraw/zinc/82/36/12/601823612.db2.gz KCVVIYYMIXEDBZ-ZBFHGGJFSA-N -1 1 320.389 1.002 20 0 DDADMM O=C([O-])N1CCC[C@H](CNC(=O)Cc2[nH]nc3ccccc32)C1 ZINC000740388329 601865812 /nfs/dbraw/zinc/86/58/12/601865812.db2.gz SFSPYNLJYDSSTR-LLVKDONJSA-N -1 1 316.361 1.612 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C ZINC000832596111 601869535 /nfs/dbraw/zinc/86/95/35/601869535.db2.gz OICLOFNOJGSETF-NHYWBVRUSA-N -1 1 322.405 1.961 20 0 DDADMM COc1cccc(CN(C)C(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)c1 ZINC000832549864 601869800 /nfs/dbraw/zinc/86/98/00/601869800.db2.gz SZCLZQSVIQNLEA-HNNXBMFYSA-N -1 1 322.405 1.743 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN2CC[C@](C)(C(=O)[O-])C2)cc1 ZINC000825902935 601909900 /nfs/dbraw/zinc/90/99/00/601909900.db2.gz KKUWBHVHFPETAK-INIZCTEOSA-N -1 1 319.361 1.380 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN(Cn2nccc2-c2cccnc2)C1 ZINC000740634809 601911442 /nfs/dbraw/zinc/91/14/42/601911442.db2.gz SFMXYNUPGKUYDA-ZDUSSCGKSA-N -1 1 315.377 1.882 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CN2CC[C@@](C)(C(=O)[O-])C2)c1 ZINC000825913585 601913672 /nfs/dbraw/zinc/91/36/72/601913672.db2.gz VBCPGWHGADNJLP-MRXNPFEDSA-N -1 1 319.361 1.380 20 0 DDADMM O=C([O-])c1ccc(CN2CCN([C@H]3CCC[C@@H]3O)CC2)s1 ZINC000833313690 601950983 /nfs/dbraw/zinc/95/09/83/601950983.db2.gz GJZOGCNLQXEPER-STQMWFEESA-N -1 1 310.419 1.477 20 0 DDADMM CC(=O)c1cc(C(=O)[O-])ccc1OC(=O)CCc1nc[nH]n1 ZINC000825942228 601974800 /nfs/dbraw/zinc/97/48/00/601974800.db2.gz NBAWDTGCSJJBLV-UHFFFAOYSA-N -1 1 303.274 1.244 20 0 DDADMM CC(C)N1CCN(C(=O)NCC2CCN(C(=O)[O-])CC2)CC1 ZINC000738854706 602161141 /nfs/dbraw/zinc/16/11/41/602161141.db2.gz NYAMOAKKKXSQSG-UHFFFAOYSA-N -1 1 312.414 1.112 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)N[C@@H]2CCCc3cn[nH]c32)o1 ZINC000833324835 602225088 /nfs/dbraw/zinc/22/50/88/602225088.db2.gz MOLQEGGZDIBORM-MRVPVSSYSA-N -1 1 311.319 1.057 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000736331722 602291156 /nfs/dbraw/zinc/29/11/56/602291156.db2.gz OLMSMOUHOMRIHH-UHFFFAOYSA-N -1 1 303.322 1.134 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000736331722 602291157 /nfs/dbraw/zinc/29/11/57/602291157.db2.gz OLMSMOUHOMRIHH-UHFFFAOYSA-N -1 1 303.322 1.134 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)NC(C)(C)CNC(=O)[O-])o1 ZINC000739410632 602304746 /nfs/dbraw/zinc/30/47/46/602304746.db2.gz KNNTVTLAXTXWNB-UHFFFAOYSA-N -1 1 311.382 1.897 20 0 DDADMM CCN(C)[C@@H](C(=O)NCC[C@H](C)NC(=O)[O-])c1ccccc1 ZINC000739386276 602319153 /nfs/dbraw/zinc/31/91/53/602319153.db2.gz YNHSOLZKPSAGQF-GXTWGEPZSA-N -1 1 307.394 1.842 20 0 DDADMM Cn1cc(NC(=O)N2CCN(C3CCCC3)CC2)cc1C(=O)[O-] ZINC000833048372 602355307 /nfs/dbraw/zinc/35/53/07/602355307.db2.gz HVHBNQRTXULHNP-UHFFFAOYSA-N -1 1 320.393 1.815 20 0 DDADMM C[C@H](CCC(=O)n1ncc(-c2nn[n-]n2)c1N)c1ccccc1 ZINC000824400863 607562432 /nfs/dbraw/zinc/56/24/32/607562432.db2.gz LVXJGMUMPTYCTL-SNVBAGLBSA-N -1 1 311.349 1.870 20 0 DDADMM CN(CC1CCN(Cc2cccc(C(N)=O)c2)CC1)C(=O)[O-] ZINC000739667330 602382747 /nfs/dbraw/zinc/38/27/47/602382747.db2.gz XNRGDNHHVRWTDU-UHFFFAOYSA-N -1 1 305.378 1.607 20 0 DDADMM C[C@](CNC(=O)[O-])(NC(=O)Cc1[nH]nc2ccccc21)C1CC1 ZINC000738926692 602503540 /nfs/dbraw/zinc/50/35/40/602503540.db2.gz RBCSRUNOUQLKKU-MRXNPFEDSA-N -1 1 316.361 1.658 20 0 DDADMM CCCCNS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000825291766 607571544 /nfs/dbraw/zinc/57/15/44/607571544.db2.gz XIJMYORKAUFWJF-UHFFFAOYSA-N -1 1 315.786 1.599 20 0 DDADMM CCCCNS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000825291766 607571546 /nfs/dbraw/zinc/57/15/46/607571546.db2.gz XIJMYORKAUFWJF-UHFFFAOYSA-N -1 1 315.786 1.599 20 0 DDADMM C[C@H](C(=O)N1CCCCCC1)N1CCC(N(C)C(=O)[O-])CC1 ZINC000737568968 602535710 /nfs/dbraw/zinc/53/57/10/602535710.db2.gz YXPPAKMLFXLKLT-CYBMUJFWSA-N -1 1 311.426 1.852 20 0 DDADMM C[C@@H]1C[C@@H](C)CN(C(=O)CN2CC[C@H](CN(C)C(=O)[O-])C2)C1 ZINC000739079249 602536829 /nfs/dbraw/zinc/53/68/29/602536829.db2.gz YTAUKOVXGGCOIP-MGPQQGTHSA-N -1 1 311.426 1.423 20 0 DDADMM C[C@@H]1CCCC[C@]1(CNC(=O)[O-])NCc1nnc2n1CCCC2 ZINC000739104768 602553119 /nfs/dbraw/zinc/55/31/19/602553119.db2.gz WVDJWGIZFWDMOD-MLGOLLRUSA-N -1 1 321.425 1.921 20 0 DDADMM CN(CC(=O)N1CC[N@@H+](Cc2ccccc2)C(C)(C)C1)C(=O)[O-] ZINC000737390110 602577081 /nfs/dbraw/zinc/57/70/81/602577081.db2.gz UBCSBWJBYUCLSY-UHFFFAOYSA-N -1 1 319.405 1.719 20 0 DDADMM CN(CC(=O)N1CCN(Cc2ccccc2)C(C)(C)C1)C(=O)[O-] ZINC000737390110 602577082 /nfs/dbraw/zinc/57/70/82/602577082.db2.gz UBCSBWJBYUCLSY-UHFFFAOYSA-N -1 1 319.405 1.719 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CCC[C@H]([C@@H]2CCCN2C(=O)[O-])C1 ZINC000739730152 602590023 /nfs/dbraw/zinc/59/00/23/602590023.db2.gz YHLJNHRGIQULII-SOUVJXGZSA-N -1 1 323.437 1.852 20 0 DDADMM CN1CCCC[C@H]1C(=O)NCc1ccc(CCNC(=O)[O-])cc1 ZINC000739729648 602590918 /nfs/dbraw/zinc/59/09/18/602590918.db2.gz WUSRYUCVJZAPIL-HNNXBMFYSA-N -1 1 319.405 1.597 20 0 DDADMM O=C([O-])NCCCC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000739956818 602666447 /nfs/dbraw/zinc/66/64/47/602666447.db2.gz MORYGXICDHBJPW-UHFFFAOYSA-N -1 1 321.312 1.275 20 0 DDADMM O=C([O-])NCCCC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000739956818 602666448 /nfs/dbraw/zinc/66/64/48/602666448.db2.gz MORYGXICDHBJPW-UHFFFAOYSA-N -1 1 321.312 1.275 20 0 DDADMM O=C([O-])N1CCC[C@@H](CN2CCN(Cc3ccco3)CC2)C1 ZINC000740510659 602702460 /nfs/dbraw/zinc/70/24/60/602702460.db2.gz ICMZOTICZRICLD-AWEZNQCLSA-N -1 1 307.394 1.787 20 0 DDADMM O=C([O-])NCC1CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000740644056 602736237 /nfs/dbraw/zinc/73/62/37/602736237.db2.gz WUNKNHFEUSQFGF-OAHLLOKOSA-N -1 1 317.389 1.772 20 0 DDADMM CCS(=O)(=O)C[C@H](C)N(C)CC1CCN(C(=O)[O-])CC1 ZINC000739518314 602840619 /nfs/dbraw/zinc/84/06/19/602840619.db2.gz YLBIFABWTFRGOA-NSHDSACASA-N -1 1 306.428 1.131 20 0 DDADMM C[C@H]([C@H](C)N(C)CC1CCN(C(=O)[O-])CC1)S(C)(=O)=O ZINC000738650732 602862812 /nfs/dbraw/zinc/86/28/12/602862812.db2.gz JAISXJDMSSRAQO-WDEREUQCSA-N -1 1 306.428 1.130 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000740032544 602929248 /nfs/dbraw/zinc/92/92/48/602929248.db2.gz UDEUHENXBPDNFD-MFKMUULPSA-N -1 1 323.397 1.338 20 0 DDADMM CN(CCCC(=O)Nc1ccn(-c2ccncc2)n1)C(=O)[O-] ZINC000737431572 603032992 /nfs/dbraw/zinc/03/29/92/603032992.db2.gz UTGVKJKJVMDUOP-UHFFFAOYSA-N -1 1 303.322 1.596 20 0 DDADMM COc1ccccc1[C@H](CNCc1ccc(CO)o1)NC(=O)[O-] ZINC000829599710 603160463 /nfs/dbraw/zinc/16/04/63/603160463.db2.gz WNDPRLITCKVEPN-AWEZNQCLSA-N -1 1 320.345 1.879 20 0 DDADMM CN(C(=O)c1csc(CNC(=O)[O-])n1)[C@H]1CCCN(C)C1 ZINC000739730134 603224713 /nfs/dbraw/zinc/22/47/13/603224713.db2.gz QFDIAZOGCNVFCH-VIFPVBQESA-N -1 1 312.395 1.077 20 0 DDADMM C[C@H](CNC(=O)C[C@H](C)NC(=O)[O-])N1CCc2ccccc2C1 ZINC000824630873 603306532 /nfs/dbraw/zinc/30/65/32/603306532.db2.gz YOATUSNTXJDKOK-QWHCGFSZSA-N -1 1 319.405 1.596 20 0 DDADMM CN(Cc1ccoc1)C[C@H](O)[C@H](Cc1ccccc1)NC(=O)[O-] ZINC000828409881 603400902 /nfs/dbraw/zinc/40/09/02/603400902.db2.gz BRJFBDJBVULOSP-HOTGVXAUSA-N -1 1 318.373 1.951 20 0 DDADMM CC(C)(CNS(=O)(=O)c1c(F)cccc1F)NC(=O)[O-] ZINC000824011694 603470231 /nfs/dbraw/zinc/47/02/31/603470231.db2.gz ZSXAYERKBUWOMV-UHFFFAOYSA-N -1 1 308.306 1.289 20 0 DDADMM CC(=O)c1ccccc1OCCN(C)[C@H]1CCN(C(=O)[O-])C1 ZINC000823640978 603481600 /nfs/dbraw/zinc/48/16/00/603481600.db2.gz NNEMOYXWXVHYKO-ZDUSSCGKSA-N -1 1 306.362 1.952 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@@H](C(=O)Nc2ccn(-c3ccncc3)n2)C1 ZINC000832298649 603617979 /nfs/dbraw/zinc/61/79/79/603617979.db2.gz UZVWMWVXIWZKRA-GHMZBOCLSA-N -1 1 315.333 1.642 20 0 DDADMM O=C([O-])N1CC[C@@H](NC(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000831948266 603635143 /nfs/dbraw/zinc/63/51/43/603635143.db2.gz RNPUDWGZDZSZRL-LLVKDONJSA-N -1 1 307.354 1.051 20 0 DDADMM O=C([O-])N1CCC[C@@H]1[C@@H]1CCCCN1C(=O)Cc1ccn[nH]1 ZINC000831998341 603712719 /nfs/dbraw/zinc/71/27/19/603712719.db2.gz UEFDSWSNZXCPDW-QWHCGFSZSA-N -1 1 306.366 1.476 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1)C(=O)[O-] ZINC000828245487 603945314 /nfs/dbraw/zinc/94/53/14/603945314.db2.gz IAOSUNDDIRZHOA-QWRGUYRKSA-N -1 1 306.366 1.288 20 0 DDADMM Cc1cc(CNC(=O)[C@@H]2CCCCCC[C@H]2NC(=O)[O-])n[nH]1 ZINC000830040848 603986581 /nfs/dbraw/zinc/98/65/81/603986581.db2.gz DZJBBUCKDLWTNF-CHWSQXEVSA-N -1 1 308.382 1.941 20 0 DDADMM CC[N@@H+]1CC[C@@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000827208584 603999564 /nfs/dbraw/zinc/99/95/64/603999564.db2.gz VGNPOEVKXNZULA-JTQLQIEISA-N -1 1 307.350 1.554 20 0 DDADMM CC[N@H+]1CC[C@@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000827208584 603999567 /nfs/dbraw/zinc/99/95/67/603999567.db2.gz VGNPOEVKXNZULA-JTQLQIEISA-N -1 1 307.350 1.554 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)N2CCCC[C@@H]2C)C1 ZINC000825255703 604182315 /nfs/dbraw/zinc/18/23/15/604182315.db2.gz RSBNYILYFUIDQF-MELADBBJSA-N -1 1 311.426 1.756 20 0 DDADMM Cc1ccc(C)c(OC[C@H](O)CN2CC[C@@H](NC(=O)[O-])C2)c1 ZINC000740118162 604372412 /nfs/dbraw/zinc/37/24/12/604372412.db2.gz AEGYPCDMLHIGNQ-ZIAGYGMSSA-N -1 1 308.378 1.385 20 0 DDADMM COCCN1CC[C@@H](NC(=O)Nc2cc(C(=O)[O-])cs2)C1 ZINC000831741968 604503288 /nfs/dbraw/zinc/50/32/88/604503288.db2.gz WIYDJBLYJJJLSZ-SNVBAGLBSA-N -1 1 313.379 1.289 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1C(=O)Nc1ccc2[nH]nnc2c1 ZINC000826116191 604526031 /nfs/dbraw/zinc/52/60/31/604526031.db2.gz PTRQXWRJYFIFDK-WRWORJQWSA-N -1 1 319.321 1.400 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)NC1CN(C(=O)[O-])C1)c1ccco1 ZINC000827036349 604578835 /nfs/dbraw/zinc/57/88/35/604578835.db2.gz SLINEYVVDYCWDT-LBPRGKRZSA-N -1 1 324.381 1.324 20 0 DDADMM O=C([O-])Cn1cc(CCN2CCC[C@H](C(F)(F)F)C2)nn1 ZINC000833270635 604827396 /nfs/dbraw/zinc/82/73/96/604827396.db2.gz TUYCQSKIJFNUJQ-VIFPVBQESA-N -1 1 306.288 1.180 20 0 DDADMM C[C@H](C(=O)Nc1ncccn1)N1CCC[C@H](C(C)(C)C(=O)[O-])C1 ZINC000833412287 604939858 /nfs/dbraw/zinc/93/98/58/604939858.db2.gz SYXIMBPODPIGEY-NEPJUHHUSA-N -1 1 320.393 1.626 20 0 DDADMM O=C([O-])CCOc1ccc(S(=O)(=O)Nc2cn[nH]c2)cc1 ZINC000035764141 604946409 /nfs/dbraw/zinc/94/64/09/604946409.db2.gz HPFNNRQDCDTQGM-UHFFFAOYSA-N -1 1 311.319 1.064 20 0 DDADMM CC(C)C[C@@H](C)N(C)C(=O)CN1CCC([C@H](O)C(=O)[O-])CC1 ZINC000833474368 604980438 /nfs/dbraw/zinc/98/04/38/604980438.db2.gz PRRHPQFQIURFDR-DOMZBBRYSA-N -1 1 314.426 1.037 20 0 DDADMM COC(=O)c1ccc(CN[C@@H](C(=O)[O-])c2cccnc2)cc1 ZINC000831385124 604986219 /nfs/dbraw/zinc/98/62/19/604986219.db2.gz MROJXUWYRYENQH-CQSZACIVSA-N -1 1 300.314 1.784 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1CCc2ccccc21 ZINC000833623731 605115483 /nfs/dbraw/zinc/11/54/83/605115483.db2.gz SHUWGHIWKNDNRZ-BBRMVZONSA-N -1 1 316.401 1.724 20 0 DDADMM O=C([O-])C1(CNC(=O)c2cc(F)cc3nc[nH]c32)CCOCC1 ZINC000191464072 605133171 /nfs/dbraw/zinc/13/31/71/605133171.db2.gz MSLRGIRDSSPNMW-UHFFFAOYSA-N -1 1 321.308 1.313 20 0 DDADMM COC(=O)N1CC(NCc2cccc3c2N(C(=O)[O-])CC3)C1 ZINC000828704615 605186619 /nfs/dbraw/zinc/18/66/19/605186619.db2.gz MGHMIVSLIAUZPT-UHFFFAOYSA-N -1 1 305.334 1.267 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2cn[nH]c21 ZINC000833623056 605204778 /nfs/dbraw/zinc/20/47/78/605204778.db2.gz DHHIJGYKEKQSBH-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2c[nH]nc21 ZINC000833623056 605204783 /nfs/dbraw/zinc/20/47/83/605204783.db2.gz DHHIJGYKEKQSBH-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2cn[nH]c21 ZINC000833623058 605205053 /nfs/dbraw/zinc/20/50/53/605205053.db2.gz DHHIJGYKEKQSBH-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2c[nH]nc21 ZINC000833623058 605205057 /nfs/dbraw/zinc/20/50/57/605205057.db2.gz DHHIJGYKEKQSBH-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)C2CCC(NC(=O)[O-])CC2)n[nH]1 ZINC000830028684 605434751 /nfs/dbraw/zinc/43/47/51/605434751.db2.gz BFGSKQSJNAJVLZ-GCVQQVDUSA-N -1 1 308.382 1.592 20 0 DDADMM O=C([O-])N[C@H](C(=O)N[C@@H]1CCc2nc[nH]c2C1)c1ccccc1 ZINC000832788912 605470694 /nfs/dbraw/zinc/47/06/94/605470694.db2.gz ASLVWYYLDVRIAC-RISCZKNCSA-N -1 1 314.345 1.392 20 0 DDADMM O=C([O-])N1C[C@H](O)C[C@H]1C(=O)Nc1cccc(Cl)c1O ZINC000834055123 605539911 /nfs/dbraw/zinc/53/99/11/605539911.db2.gz ZEOOBUIUVDHGEK-MUWHJKNJSA-N -1 1 300.698 1.097 20 0 DDADMM COc1cc(C)cc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000826177924 607762123 /nfs/dbraw/zinc/76/21/23/607762123.db2.gz AWRAXWSEAWSFRX-UHFFFAOYSA-N -1 1 324.344 1.509 20 0 DDADMM COc1cc(C)cc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000826177924 607762124 /nfs/dbraw/zinc/76/21/24/607762124.db2.gz AWRAXWSEAWSFRX-UHFFFAOYSA-N -1 1 324.344 1.509 20 0 DDADMM O=C([O-])N1CCC[C@H](NS(=O)(=O)c2c(F)cccc2F)C1 ZINC000739927529 605631608 /nfs/dbraw/zinc/63/16/08/605631608.db2.gz PPQHRXFFHYSCFY-QMMMGPOBSA-N -1 1 320.317 1.386 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)[O-])CCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000825801898 605762325 /nfs/dbraw/zinc/76/23/25/605762325.db2.gz QRNBDQMYUFCYGR-GMTAPVOTSA-N -1 1 306.366 1.477 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@@H](C)CN1C(=O)[O-] ZINC000833839031 605777557 /nfs/dbraw/zinc/77/75/57/605777557.db2.gz YFPVWRAVBJJKPP-UWVGGRQHSA-N -1 1 318.333 1.883 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@H](C)CN1C(=O)[O-] ZINC000833839030 605777618 /nfs/dbraw/zinc/77/76/18/605777618.db2.gz YFPVWRAVBJJKPP-NXEZZACHSA-N -1 1 318.333 1.883 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[N@H+](CCC[N-]C(=O)C(F)(F)F)C1 ZINC000834221013 605950566 /nfs/dbraw/zinc/95/05/66/605950566.db2.gz QXRDJHQNBQGBDL-VIFPVBQESA-N -1 1 311.304 1.035 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[N@@H+](CCC[N-]C(=O)C(F)(F)F)C1 ZINC000834221013 605950567 /nfs/dbraw/zinc/95/05/67/605950567.db2.gz QXRDJHQNBQGBDL-VIFPVBQESA-N -1 1 311.304 1.035 20 0 DDADMM O=C([O-])N1CCCN1C(=O)[C@H]1CCC[N@@H+](Cc2cccnc2)C1 ZINC000834109076 605980072 /nfs/dbraw/zinc/98/00/72/605980072.db2.gz GXNJUQCUQVDGDU-AWEZNQCLSA-N -1 1 318.377 1.421 20 0 DDADMM O=C([O-])N1CCCN1C(=O)[C@H]1CCCN(Cc2cccnc2)C1 ZINC000834109076 605980074 /nfs/dbraw/zinc/98/00/74/605980074.db2.gz GXNJUQCUQVDGDU-AWEZNQCLSA-N -1 1 318.377 1.421 20 0 DDADMM O=C([O-])NC[C@H]1CCCC[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000834217738 605998785 /nfs/dbraw/zinc/99/87/85/605998785.db2.gz FFMKJRJXIYXANK-CQSZACIVSA-N -1 1 321.377 1.357 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC000821447062 606104870 /nfs/dbraw/zinc/10/48/70/606104870.db2.gz HJVCYFOTJAOXRV-FQOARCPFSA-N -1 1 321.410 1.148 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC000821447062 606104872 /nfs/dbraw/zinc/10/48/72/606104872.db2.gz HJVCYFOTJAOXRV-FQOARCPFSA-N -1 1 321.410 1.148 20 0 DDADMM Cc1cnc([C@@H](C)NC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000822389172 606119366 /nfs/dbraw/zinc/11/93/66/606119366.db2.gz NWWRMIMJQPSIMS-MRVPVSSYSA-N -1 1 315.362 1.518 20 0 DDADMM Cc1cnc([C@@H](C)NC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000822389172 606119368 /nfs/dbraw/zinc/11/93/68/606119368.db2.gz NWWRMIMJQPSIMS-MRVPVSSYSA-N -1 1 315.362 1.518 20 0 DDADMM O=C(OCC[C@@H]1CCCC1=O)c1ccc(-c2nnn[n-]2)s1 ZINC000823401201 606136876 /nfs/dbraw/zinc/13/68/76/606136876.db2.gz ROEHQKGWZMRCTD-QMMMGPOBSA-N -1 1 306.347 1.844 20 0 DDADMM O=C(OCC[C@@H]1CCCC1=O)c1ccc(-c2nn[n-]n2)s1 ZINC000823401201 606136878 /nfs/dbraw/zinc/13/68/78/606136878.db2.gz ROEHQKGWZMRCTD-QMMMGPOBSA-N -1 1 306.347 1.844 20 0 DDADMM O=C(OCCOCC(F)(F)F)c1ccc(-c2nnn[n-]2)s1 ZINC000823405808 606138555 /nfs/dbraw/zinc/13/85/55/606138555.db2.gz VRPXYJRLIODCRR-UHFFFAOYSA-N -1 1 322.268 1.664 20 0 DDADMM O=C(OCCOCC(F)(F)F)c1ccc(-c2nn[n-]n2)s1 ZINC000823405808 606138557 /nfs/dbraw/zinc/13/85/57/606138557.db2.gz VRPXYJRLIODCRR-UHFFFAOYSA-N -1 1 322.268 1.664 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@H]2C[C@H]2c2ccccc2)n1 ZINC000822614261 606163216 /nfs/dbraw/zinc/16/32/16/606163216.db2.gz KRDMAAMEJMNMKZ-JQWIXIFHSA-N -1 1 324.348 1.278 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@H]2C[C@H]2c2ccccc2)n1 ZINC000822614261 606163218 /nfs/dbraw/zinc/16/32/18/606163218.db2.gz KRDMAAMEJMNMKZ-JQWIXIFHSA-N -1 1 324.348 1.278 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccc2nccn2c1 ZINC000823294764 606296159 /nfs/dbraw/zinc/29/61/59/606296159.db2.gz QQJOMYAWKGXBQH-UHFFFAOYSA-N -1 1 321.300 1.472 20 0 DDADMM O[C@H](CCNc1ccc(Cl)c(-c2nnn[n-]2)n1)C(F)(F)F ZINC000823674832 606468109 /nfs/dbraw/zinc/46/81/09/606468109.db2.gz SBTFPSIPFYXNHU-ZCFIWIBFSA-N -1 1 322.678 1.640 20 0 DDADMM O[C@H](CCNc1ccc(Cl)c(-c2nn[n-]n2)n1)C(F)(F)F ZINC000823674832 606468111 /nfs/dbraw/zinc/46/81/11/606468111.db2.gz SBTFPSIPFYXNHU-ZCFIWIBFSA-N -1 1 322.678 1.640 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nnn[n-]1)c1ccc(C(F)(F)F)cc1 ZINC000823289710 606531728 /nfs/dbraw/zinc/53/17/28/606531728.db2.gz MSZGWWMSKVRAFF-UHFFFAOYSA-N -1 1 323.238 1.861 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nn[n-]n1)c1ccc(C(F)(F)F)cc1 ZINC000823289710 606531729 /nfs/dbraw/zinc/53/17/29/606531729.db2.gz MSZGWWMSKVRAFF-UHFFFAOYSA-N -1 1 323.238 1.861 20 0 DDADMM CN(Cc1cscn1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821616990 606535200 /nfs/dbraw/zinc/53/52/00/606535200.db2.gz RCEVGBTZTINXOM-UHFFFAOYSA-N -1 1 306.376 1.657 20 0 DDADMM CN(Cc1cscn1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821616990 606535203 /nfs/dbraw/zinc/53/52/03/606535203.db2.gz RCEVGBTZTINXOM-UHFFFAOYSA-N -1 1 306.376 1.657 20 0 DDADMM O=C(NCC1(O)CCCCCC1)c1ccc(-c2nnn[n-]2)s1 ZINC000823171442 606567265 /nfs/dbraw/zinc/56/72/65/606567265.db2.gz VLFBUIOPOYKAPG-UHFFFAOYSA-N -1 1 321.406 1.743 20 0 DDADMM O=C(NCC1(O)CCCCCC1)c1ccc(-c2nn[n-]n2)s1 ZINC000823171442 606567267 /nfs/dbraw/zinc/56/72/67/606567267.db2.gz VLFBUIOPOYKAPG-UHFFFAOYSA-N -1 1 321.406 1.743 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC[C@@H]3CCC[C@@H]32)n1 ZINC000822609071 606658599 /nfs/dbraw/zinc/65/85/99/606658599.db2.gz IXKQMPGRQHGPHN-ONGXEEELSA-N -1 1 316.369 1.397 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC[C@@H]3CCC[C@@H]32)n1 ZINC000822609071 606658600 /nfs/dbraw/zinc/65/86/00/606658600.db2.gz IXKQMPGRQHGPHN-ONGXEEELSA-N -1 1 316.369 1.397 20 0 DDADMM Clc1ccc(OCCNc2cccc(-c3nnn[n-]3)n2)nc1 ZINC000822578653 606723365 /nfs/dbraw/zinc/72/33/65/606723365.db2.gz NDJKGVBZTZTBQB-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM Clc1ccc(OCCNc2cccc(-c3nn[n-]n3)n2)nc1 ZINC000822578653 606723367 /nfs/dbraw/zinc/72/33/67/606723367.db2.gz NDJKGVBZTZTBQB-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM O[C@]12CCCC[C@H]1CN(c1cccc(-c3nnn[n-]3)n1)CC2 ZINC000823697464 607034173 /nfs/dbraw/zinc/03/41/73/607034173.db2.gz LKBLIAPIKQWXLQ-NHYWBVRUSA-N -1 1 300.366 1.393 20 0 DDADMM O[C@]12CCCC[C@H]1CN(c1cccc(-c3nn[n-]n3)n1)CC2 ZINC000823697464 607034174 /nfs/dbraw/zinc/03/41/74/607034174.db2.gz LKBLIAPIKQWXLQ-NHYWBVRUSA-N -1 1 300.366 1.393 20 0 DDADMM CN(C)c1cc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)ccn1 ZINC000821588578 607104980 /nfs/dbraw/zinc/10/49/80/607104980.db2.gz ZAQSJKULSPYMRR-UHFFFAOYSA-N -1 1 316.350 1.037 20 0 DDADMM CN(C)c1cc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)ccn1 ZINC000821588578 607104982 /nfs/dbraw/zinc/10/49/82/607104982.db2.gz ZAQSJKULSPYMRR-UHFFFAOYSA-N -1 1 316.350 1.037 20 0 DDADMM CC(C)(C)c1cc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)no1 ZINC000819959145 607109607 /nfs/dbraw/zinc/10/96/07/607109607.db2.gz ZWASZMNHLNKEDP-UHFFFAOYSA-N -1 1 319.350 1.861 20 0 DDADMM CC(C)(C)c1cc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)no1 ZINC000819959145 607109609 /nfs/dbraw/zinc/10/96/09/607109609.db2.gz ZWASZMNHLNKEDP-UHFFFAOYSA-N -1 1 319.350 1.861 20 0 DDADMM CN(C[C@@H]1CCC[C@@H]1O)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825909343 607862889 /nfs/dbraw/zinc/86/28/89/607862889.db2.gz WTHQSYIVDJXYFG-IUCAKERBSA-N -1 1 307.379 1.161 20 0 DDADMM CN(C[C@@H]1CCC[C@@H]1O)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825909343 607862890 /nfs/dbraw/zinc/86/28/90/607862890.db2.gz WTHQSYIVDJXYFG-IUCAKERBSA-N -1 1 307.379 1.161 20 0 DDADMM Cn1ccc(COc2cccc(F)c2-c2nnn[n-]2)cc1=O ZINC000826363378 607864461 /nfs/dbraw/zinc/86/44/61/607864461.db2.gz JWNLWFJMSAHIPU-UHFFFAOYSA-N -1 1 301.281 1.284 20 0 DDADMM Cn1ccc(COc2cccc(F)c2-c2nn[n-]n2)cc1=O ZINC000826363378 607864462 /nfs/dbraw/zinc/86/44/62/607864462.db2.gz JWNLWFJMSAHIPU-UHFFFAOYSA-N -1 1 301.281 1.284 20 0 DDADMM CN(CCC(=O)OC(C)(C)C)c1cccc(-c2nnn[n-]2)n1 ZINC000825914479 607902095 /nfs/dbraw/zinc/90/20/95/607902095.db2.gz HCIXLXVTDATXNM-UHFFFAOYSA-N -1 1 304.354 1.430 20 0 DDADMM CN(CCC(=O)OC(C)(C)C)c1cccc(-c2nn[n-]n2)n1 ZINC000825914479 607902096 /nfs/dbraw/zinc/90/20/96/607902096.db2.gz HCIXLXVTDATXNM-UHFFFAOYSA-N -1 1 304.354 1.430 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)[C@H]2CCCC(C)(C)C2)n1 ZINC000826357237 607927651 /nfs/dbraw/zinc/92/76/51/607927651.db2.gz XCDGARIUEXKWOW-VIFPVBQESA-N -1 1 303.370 1.755 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)[C@H]2CCCC(C)(C)C2)n1 ZINC000826357237 607927652 /nfs/dbraw/zinc/92/76/52/607927652.db2.gz XCDGARIUEXKWOW-VIFPVBQESA-N -1 1 303.370 1.755 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCC(CCO)CC1 ZINC000826472357 607996485 /nfs/dbraw/zinc/99/64/85/607996485.db2.gz IDWKXFLQFQYGJU-UHFFFAOYSA-N -1 1 307.379 1.163 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCC(CCO)CC1 ZINC000826472357 607996486 /nfs/dbraw/zinc/99/64/86/607996486.db2.gz IDWKXFLQFQYGJU-UHFFFAOYSA-N -1 1 307.379 1.163 20 0 DDADMM CCc1noc(C)c1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000825771398 607998436 /nfs/dbraw/zinc/99/84/36/607998436.db2.gz LAXYPBGYHBLWHG-UHFFFAOYSA-N -1 1 314.305 1.683 20 0 DDADMM O=C(Nc1nc2cc[nH]cc-2n1)c1ccc(-c2nnn[n-]2)s1 ZINC000826460023 608030861 /nfs/dbraw/zinc/03/08/61/608030861.db2.gz KCCNKNDHBNZDQZ-UHFFFAOYSA-N -1 1 312.318 1.452 20 0 DDADMM O=C(Nc1nc2cc[nH]cc-2n1)c1ccc(-c2nn[n-]n2)s1 ZINC000826460023 608030862 /nfs/dbraw/zinc/03/08/62/608030862.db2.gz KCCNKNDHBNZDQZ-UHFFFAOYSA-N -1 1 312.318 1.452 20 0 DDADMM Cc1nn(C/C=C/c2ccccc2)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334458 608079628 /nfs/dbraw/zinc/07/96/28/608079628.db2.gz VUSNSUVVOGJLID-RMKNXTFCSA-N -1 1 308.345 1.754 20 0 DDADMM CCn1cnnc1CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000825804765 608176063 /nfs/dbraw/zinc/17/60/63/608176063.db2.gz GGJWCPJSVKOZLF-UHFFFAOYSA-N -1 1 311.762 1.200 20 0 DDADMM CCn1cnnc1CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000825804765 608176065 /nfs/dbraw/zinc/17/60/65/608176065.db2.gz GGJWCPJSVKOZLF-UHFFFAOYSA-N -1 1 311.762 1.200 20 0 DDADMM Clc1nsc(N[C@@H]2CCc3c[nH]nc3C2)c1-c1nn[n-]n1 ZINC000826354215 608191158 /nfs/dbraw/zinc/19/11/58/608191158.db2.gz TYYIMWVGJRCCOG-ZCFIWIBFSA-N -1 1 322.785 1.669 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N(CCCCO)C1CC1 ZINC000826472329 608203197 /nfs/dbraw/zinc/20/31/97/608203197.db2.gz HFQLHWLHNFNYIZ-UHFFFAOYSA-N -1 1 307.379 1.305 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N(CCCCO)C1CC1 ZINC000826472329 608203199 /nfs/dbraw/zinc/20/31/99/608203199.db2.gz HFQLHWLHNFNYIZ-UHFFFAOYSA-N -1 1 307.379 1.305 20 0 DDADMM C[C@H](Cn1ccnc1)Nc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000824465960 608364996 /nfs/dbraw/zinc/36/49/96/608364996.db2.gz SYSYNJUEYGHHBW-SNVBAGLBSA-N -1 1 321.348 1.507 20 0 DDADMM C[C@H](Cn1ccnc1)Nc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000824465960 608364998 /nfs/dbraw/zinc/36/49/98/608364998.db2.gz SYSYNJUEYGHHBW-SNVBAGLBSA-N -1 1 321.348 1.507 20 0 DDADMM C[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)C(=O)Nc1ccccc1 ZINC000824650601 608417851 /nfs/dbraw/zinc/41/78/51/608417851.db2.gz SPXYYXUEVPUUCZ-SECBINFHSA-N -1 1 310.321 1.096 20 0 DDADMM C[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)C(=O)Nc1ccccc1 ZINC000824650601 608417853 /nfs/dbraw/zinc/41/78/53/608417853.db2.gz SPXYYXUEVPUUCZ-SECBINFHSA-N -1 1 310.321 1.096 20 0 DDADMM Fc1cccc(F)c1[C@H]1C[C@@H]1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826377525 608420363 /nfs/dbraw/zinc/42/03/63/608420363.db2.gz VVKDMVUWRXRJRM-CPCISQLKSA-N -1 1 315.287 1.903 20 0 DDADMM Fc1cccc(F)c1[C@H]1C[C@@H]1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826377525 608420365 /nfs/dbraw/zinc/42/03/65/608420365.db2.gz VVKDMVUWRXRJRM-CPCISQLKSA-N -1 1 315.287 1.903 20 0 DDADMM CC(C)(C)[C@H]1OCCC[C@@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000824078050 608420729 /nfs/dbraw/zinc/42/07/29/608420729.db2.gz HIQVCTYKLTYSFZ-MFKMUULPSA-N -1 1 317.397 1.910 20 0 DDADMM CC(C)(C)[C@H]1OCCC[C@@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000824078050 608420730 /nfs/dbraw/zinc/42/07/30/608420730.db2.gz HIQVCTYKLTYSFZ-MFKMUULPSA-N -1 1 317.397 1.910 20 0 DDADMM CC1(C)[C@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@@H]2CCCO[C@@H]21 ZINC000824789751 608423946 /nfs/dbraw/zinc/42/39/46/608423946.db2.gz JLIRQAGQQBESRY-AXTRIDKLSA-N -1 1 301.354 1.272 20 0 DDADMM CC1(C)[C@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@@H]2CCCO[C@@H]21 ZINC000824789751 608423948 /nfs/dbraw/zinc/42/39/48/608423948.db2.gz JLIRQAGQQBESRY-AXTRIDKLSA-N -1 1 301.354 1.272 20 0 DDADMM c1cc2c(s1)CCO[C@@H]2CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826518471 608425228 /nfs/dbraw/zinc/42/52/28/608425228.db2.gz OSOUFFKOJAKJRL-SNVBAGLBSA-N -1 1 315.362 1.444 20 0 DDADMM c1cc2c(s1)CCO[C@@H]2CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826518471 608425230 /nfs/dbraw/zinc/42/52/30/608425230.db2.gz OSOUFFKOJAKJRL-SNVBAGLBSA-N -1 1 315.362 1.444 20 0 DDADMM CCO[C@H]1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C12CCCC2 ZINC000825582605 608426566 /nfs/dbraw/zinc/42/65/66/608426566.db2.gz LWMTVSZXXLJPPE-RYUDHWBXSA-N -1 1 315.381 1.806 20 0 DDADMM CCO[C@H]1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C12CCCC2 ZINC000825582605 608426568 /nfs/dbraw/zinc/42/65/68/608426568.db2.gz LWMTVSZXXLJPPE-RYUDHWBXSA-N -1 1 315.381 1.806 20 0 DDADMM c1cc([C@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCO2)cs1 ZINC000826514899 608432250 /nfs/dbraw/zinc/43/22/50/608432250.db2.gz GWFDELVWGPZRLN-LLVKDONJSA-N -1 1 315.362 1.296 20 0 DDADMM c1cc([C@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCO2)cs1 ZINC000826514899 608432252 /nfs/dbraw/zinc/43/22/52/608432252.db2.gz GWFDELVWGPZRLN-LLVKDONJSA-N -1 1 315.362 1.296 20 0 DDADMM COc1cccc2c1CC[C@@H]2Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826218349 608433137 /nfs/dbraw/zinc/43/31/37/608433137.db2.gz ZMZZCFWGLRJVOJ-NSHDSACASA-N -1 1 309.333 1.765 20 0 DDADMM COc1cccc2c1CC[C@@H]2Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826218349 608433138 /nfs/dbraw/zinc/43/31/38/608433138.db2.gz ZMZZCFWGLRJVOJ-NSHDSACASA-N -1 1 309.333 1.765 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@H]1CCO[C@@]2(CCSC2)C1 ZINC000826514396 608434900 /nfs/dbraw/zinc/43/49/00/608434900.db2.gz QDWBZEOLMBGCTP-ZANVPECISA-N -1 1 319.394 1.123 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@H]1CCO[C@@]2(CCSC2)C1 ZINC000826514396 608434902 /nfs/dbraw/zinc/43/49/02/608434902.db2.gz QDWBZEOLMBGCTP-ZANVPECISA-N -1 1 319.394 1.123 20 0 DDADMM CC(C)OC1CCC(Nc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000824309893 608436995 /nfs/dbraw/zinc/43/69/95/608436995.db2.gz ZBNJMNMZNZEPJH-UHFFFAOYSA-N -1 1 303.370 1.805 20 0 DDADMM CC(C)OC1CCC(Nc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000824309893 608436996 /nfs/dbraw/zinc/43/69/96/608436996.db2.gz ZBNJMNMZNZEPJH-UHFFFAOYSA-N -1 1 303.370 1.805 20 0 DDADMM CCCCN(CCCO)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825285531 608565490 /nfs/dbraw/zinc/56/54/90/608565490.db2.gz ZMSYSBAWORBLDW-UHFFFAOYSA-N -1 1 309.395 1.553 20 0 DDADMM CCCCN(CCCO)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825285531 608565492 /nfs/dbraw/zinc/56/54/92/608565492.db2.gz ZMSYSBAWORBLDW-UHFFFAOYSA-N -1 1 309.395 1.553 20 0 DDADMM Cc1cccn2cc(CNc3nccnc3-c3nnn[n-]3)nc12 ZINC000826308124 608667512 /nfs/dbraw/zinc/66/75/12/608667512.db2.gz KPEKWGZPELWGPS-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM Cc1cccn2cc(CNc3nccnc3-c3nn[n-]n3)nc12 ZINC000826308124 608667514 /nfs/dbraw/zinc/66/75/14/608667514.db2.gz KPEKWGZPELWGPS-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM CC(C)C[C@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)CCO1 ZINC000824243427 609170470 /nfs/dbraw/zinc/17/04/70/609170470.db2.gz FZXKLTWNDGAJOK-MNOVXSKESA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)C[C@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)CCO1 ZINC000824243427 609170472 /nfs/dbraw/zinc/17/04/72/609170472.db2.gz FZXKLTWNDGAJOK-MNOVXSKESA-N -1 1 303.370 1.662 20 0 DDADMM CCOCc1nnc(NCc2ccc(-c3nn[n-]n3)s2)o1 ZINC000825605199 609265751 /nfs/dbraw/zinc/26/57/51/609265751.db2.gz RGKFVGUCIHKDKU-UHFFFAOYSA-N -1 1 307.339 1.460 20 0 DDADMM CC(C)(C)[C@@H](CO)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000824072520 609313909 /nfs/dbraw/zinc/31/39/09/609313909.db2.gz WKSRKFSLNUFIBH-RXMQYKEDSA-N -1 1 302.791 1.796 20 0 DDADMM CC(C)(C)[C@@H](CO)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000824072520 609313911 /nfs/dbraw/zinc/31/39/11/609313911.db2.gz WKSRKFSLNUFIBH-RXMQYKEDSA-N -1 1 302.791 1.796 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1C[C@H]1CCc2ccccc2C1 ZINC000826501156 609379123 /nfs/dbraw/zinc/37/91/23/609379123.db2.gz BZCKSCRGPSCOQF-LBPRGKRZSA-N -1 1 307.357 1.834 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCC(C)(C)C2)c1-c1nnn[n-]1 ZINC000826346612 609471251 /nfs/dbraw/zinc/47/12/51/609471251.db2.gz QLXQFYVIZWEBGF-VIFPVBQESA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCC(C)(C)C2)c1-c1nn[n-]n1 ZINC000826346612 609471254 /nfs/dbraw/zinc/47/12/54/609471254.db2.gz QLXQFYVIZWEBGF-VIFPVBQESA-N -1 1 304.354 1.401 20 0 DDADMM Cc1cc(Nc2ccc(-c3nnn[n-]3)nn2)nn1[C@H](C)C1CC1 ZINC000826271537 609587659 /nfs/dbraw/zinc/58/76/59/609587659.db2.gz WBYGEJSXBCSCDD-SECBINFHSA-N -1 1 311.353 1.876 20 0 DDADMM Cc1cc(Nc2ccc(-c3nn[n-]n3)nn2)nn1[C@H](C)C1CC1 ZINC000826271537 609587662 /nfs/dbraw/zinc/58/76/62/609587662.db2.gz WBYGEJSXBCSCDD-SECBINFHSA-N -1 1 311.353 1.876 20 0 DDADMM COc1ccc2c(c1)CC[C@@H](Nc1ccc(-c3nnn[n-]3)nn1)C2 ZINC000826212648 609590644 /nfs/dbraw/zinc/59/06/44/609590644.db2.gz WRRAJUVAZCDFGT-GFCCVEGCSA-N -1 1 323.360 1.635 20 0 DDADMM COc1ccc2c(c1)CC[C@@H](Nc1ccc(-c3nn[n-]n3)nn1)C2 ZINC000826212648 609590645 /nfs/dbraw/zinc/59/06/45/609590645.db2.gz WRRAJUVAZCDFGT-GFCCVEGCSA-N -1 1 323.360 1.635 20 0 DDADMM CCN(CC)C(=O)CN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900002168 663208517 /nfs/dbraw/zinc/20/85/17/663208517.db2.gz NISWVDABNSFRFY-UHFFFAOYSA-N -1 1 306.366 1.000 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)[C@@H]2CC[C@H](F)C2)C1)c1ncccc1[O-] ZINC000973197292 695443739 /nfs/dbraw/zinc/44/37/39/695443739.db2.gz BBIFREKEWJDRKA-WRWGMCAJSA-N -1 1 321.352 1.302 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C(=O)N[C@H]1CCCc2cn[nH]c21)C1CC1 ZINC000797725373 700021414 /nfs/dbraw/zinc/02/14/14/700021414.db2.gz UCHYSXMGBCSINR-RYUDHWBXSA-N -1 1 306.366 1.824 20 0 DDADMM O=C(NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000974415010 695665343 /nfs/dbraw/zinc/66/53/43/695665343.db2.gz FRLDGZXKNYEBBK-LLVKDONJSA-N -1 1 303.362 1.166 20 0 DDADMM O=C(CC1CCCCCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798148357 700044191 /nfs/dbraw/zinc/04/41/91/700044191.db2.gz YCZAKPVYQMWKJW-UHFFFAOYSA-N -1 1 307.398 1.370 20 0 DDADMM CC1(C(=O)N[C@@H]2CCCC[C@H]2NC(=O)c2ncccc2[O-])CC1 ZINC000976566345 695986901 /nfs/dbraw/zinc/98/69/01/695986901.db2.gz GCXFLCSTMJDWOF-VXGBXAGGSA-N -1 1 317.389 1.745 20 0 DDADMM CC(Nc1cccc2cccnc21)=C1C(=O)[N-]C(=S)NC1=O ZINC000009950502 696038184 /nfs/dbraw/zinc/03/81/84/696038184.db2.gz DVCHLGFHYPOHKN-UHFFFAOYSA-N -1 1 312.354 1.452 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2ccsc2)cc1 ZINC000022537405 696077959 /nfs/dbraw/zinc/07/79/59/696077959.db2.gz IOXZMQXFJPSQKN-UHFFFAOYSA-N -1 1 319.338 1.870 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)CCS(=O)(=O)c1ccc(Cl)cc1 ZINC000044619909 696166992 /nfs/dbraw/zinc/16/69/92/696166992.db2.gz XHWMLUKGIXGJTO-LBPRGKRZSA-N -1 1 319.810 1.909 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)C1CC=CC1)C(=O)c1ncccc1[O-] ZINC000977620952 696237498 /nfs/dbraw/zinc/23/74/98/696237498.db2.gz UFGFPGIAZULHNV-ZDUSSCGKSA-N -1 1 315.373 1.426 20 0 DDADMM O=C(COC(=O)COC1CCCC1)[N-]C(=O)c1ccccc1 ZINC000051731022 696246929 /nfs/dbraw/zinc/24/69/29/696246929.db2.gz HHXBHECPULJPMD-UHFFFAOYSA-N -1 1 305.330 1.445 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)[C@@H](C)Oc2ccc(F)cc2)n[nH]1 ZINC000054146704 696273603 /nfs/dbraw/zinc/27/36/03/696273603.db2.gz XOGDHOXGYZYFPX-SECBINFHSA-N -1 1 306.297 1.086 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN(C)Cc2cscn2)cc1 ZINC000063590788 696329478 /nfs/dbraw/zinc/32/94/78/696329478.db2.gz QUCQICOQYPNFJR-UHFFFAOYSA-N -1 1 319.386 1.540 20 0 DDADMM CCn1c(CNC(=O)COc2cccc(C#N)c2)n[n-]c1=S ZINC000066636170 696354421 /nfs/dbraw/zinc/35/44/21/696354421.db2.gz DDLYPEOGOLWECQ-UHFFFAOYSA-N -1 1 317.374 1.527 20 0 DDADMM CCn1c(CCNC(=O)c2ccc3c(c2)CCO3)n[n-]c1=S ZINC000067077988 696359070 /nfs/dbraw/zinc/35/90/70/696359070.db2.gz WOFQODRHDQXSLW-UHFFFAOYSA-N -1 1 318.402 1.868 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741180 696450419 /nfs/dbraw/zinc/45/04/19/696450419.db2.gz IFRROJBYWUEHEP-LPWJVIDDSA-N -1 1 317.389 1.458 20 0 DDADMM CC[C@H](Cc1ccccc1)NC(=O)Cc1sc(N)nc1[O-] ZINC000079970529 696465820 /nfs/dbraw/zinc/46/58/20/696465820.db2.gz BLEKKRJWGNPRAJ-NEPJUHHUSA-N -1 1 305.403 1.471 20 0 DDADMM Cc1ccc(C[C@H](C)N(C)C(=O)Cc2sc(N)nc2[O-])cc1 ZINC000080397979 696534280 /nfs/dbraw/zinc/53/42/80/696534280.db2.gz KISMAAMRHGJXOF-AAEUAGOBSA-N -1 1 319.430 1.731 20 0 DDADMM COc1ccc(N(C)C(=O)c2c(C)nc(C(C)C)[n-]c2=O)cn1 ZINC000080607414 696536079 /nfs/dbraw/zinc/53/60/79/696536079.db2.gz FQRWEZQYPUCVGP-UHFFFAOYSA-N -1 1 316.361 1.882 20 0 DDADMM Cn1cc(C#N)cc1C(=O)NCc1n[n-]c(=S)n1C1CC1 ZINC000081750150 696547459 /nfs/dbraw/zinc/54/74/59/696547459.db2.gz MRZHSGPOFSRNKS-UHFFFAOYSA-N -1 1 302.363 1.416 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)C#Cc1ccccc1 ZINC000081837800 696548022 /nfs/dbraw/zinc/54/80/22/696548022.db2.gz ROAKBFPQFOBVRX-UHFFFAOYSA-N -1 1 300.387 1.671 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000081828396 696548103 /nfs/dbraw/zinc/54/81/03/696548103.db2.gz LHQGGAPARPDAEE-UHTWSYAYSA-N -1 1 319.405 1.745 20 0 DDADMM O=C(NCCc1nnc2ccccn21)c1cc(F)ccc1[O-] ZINC000081959036 696548775 /nfs/dbraw/zinc/54/87/75/696548775.db2.gz UZJOTCFKJFOFES-UHFFFAOYSA-N -1 1 300.293 1.547 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)N[N-]C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000092547135 696595520 /nfs/dbraw/zinc/59/55/20/696595520.db2.gz JXRIVNCSEGBHOZ-DTWKUNHWSA-N -1 1 320.374 1.324 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)OCC(F)F)o1 ZINC000103653035 696615219 /nfs/dbraw/zinc/61/52/19/696615219.db2.gz JJMXMOARYIAAAQ-UHFFFAOYSA-N -1 1 311.306 1.778 20 0 DDADMM C[C@@H](c1ccc(F)cc1)N(C)C(=O)Cc1sc(N)nc1[O-] ZINC000120839250 696702008 /nfs/dbraw/zinc/70/20/08/696702008.db2.gz NKSRSYMPEQNWIR-KWQFWETISA-N -1 1 309.366 1.692 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@H]2Cc2ccccc2)s1 ZINC000120853406 696702385 /nfs/dbraw/zinc/70/23/85/696702385.db2.gz LJIVKKJSWBENFA-QWHCGFSZSA-N -1 1 317.414 1.567 20 0 DDADMM CN(CC(C)(C)S(C)(=O)=O)C(=O)c1cc(F)ccc1[O-] ZINC000135231565 696846381 /nfs/dbraw/zinc/84/63/81/696846381.db2.gz CYBILRDSUYXXNR-UHFFFAOYSA-N -1 1 303.355 1.427 20 0 DDADMM Cc1n[nH]cc1C(=O)N[N-]C(=O)c1cc(F)c(Cl)cc1F ZINC000153476998 696916220 /nfs/dbraw/zinc/91/62/20/696916220.db2.gz NKEAFYFBOBXEPU-UHFFFAOYSA-N -1 1 314.679 1.725 20 0 DDADMM O=C(CC1CC1)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000981207193 697034577 /nfs/dbraw/zinc/03/45/77/697034577.db2.gz GPLGGIVWKYZXJK-QWHCGFSZSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000982672471 697167448 /nfs/dbraw/zinc/16/74/48/697167448.db2.gz YDMIPKLMDTWMND-LLVKDONJSA-N -1 1 315.329 1.272 20 0 DDADMM CC(C)(C)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983276367 697236992 /nfs/dbraw/zinc/23/69/92/697236992.db2.gz UVYUAKMPZWDHHN-LLVKDONJSA-N -1 1 305.378 1.412 20 0 DDADMM COC(=O)N(C)c1ccc(NC(=O)C2=C([O-])C(C)N=N2)cc1 ZINC000749219454 700151876 /nfs/dbraw/zinc/15/18/76/700151876.db2.gz CRTHYHFZAOYRCL-UHFFFAOYSA-N -1 1 304.306 1.879 20 0 DDADMM COc1cccc(C(C)=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183454359 697488723 /nfs/dbraw/zinc/48/87/23/697488723.db2.gz IEEDVIMEQDGVMX-OBIHZWKSSA-N -1 1 315.377 1.874 20 0 DDADMM CC(=O)c1cc(Cl)cc(CN2CCN(C)C(=O)[C@@H]2C)c1[O-] ZINC000187041161 697540664 /nfs/dbraw/zinc/54/06/64/697540664.db2.gz YYTWWAOUSZXYLN-VIFPVBQESA-N -1 1 310.781 1.911 20 0 DDADMM O=C(NC[C@@H](O)c1ccccc1F)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000187161387 697541946 /nfs/dbraw/zinc/54/19/46/697541946.db2.gz CIYVJLLRWCCRGM-GFCCVEGCSA-N -1 1 321.264 1.298 20 0 DDADMM CC(C)C1(C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC000985637675 697547759 /nfs/dbraw/zinc/54/77/59/697547759.db2.gz RJZCCVWASKNTMM-UHFFFAOYSA-N -1 1 321.425 1.369 20 0 DDADMM N#Cc1ccc(C(=O)NCc2cccnc2-n2ccnc2)cc1[O-] ZINC000188263290 697556083 /nfs/dbraw/zinc/55/60/83/697556083.db2.gz VFOMEDMVKDZCEM-UHFFFAOYSA-N -1 1 319.324 1.775 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC000985689602 697556602 /nfs/dbraw/zinc/55/66/02/697556602.db2.gz SBUWDHFZOFLYHI-NWDGAFQWSA-N -1 1 321.425 1.369 20 0 DDADMM O=C(CCOC[C@H]1CCCO1)Nc1nc(Cl)ccc1[O-] ZINC000188367316 697557783 /nfs/dbraw/zinc/55/77/83/697557783.db2.gz YHWHPSCBZPZBIK-SECBINFHSA-N -1 1 300.742 1.965 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1ccc(=O)[nH]c1 ZINC000189977041 697582757 /nfs/dbraw/zinc/58/27/57/697582757.db2.gz WUHCFOKRFNLBEF-UHFFFAOYSA-N -1 1 310.107 1.903 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(C)c(F)c2)C1 ZINC000772693082 697661809 /nfs/dbraw/zinc/66/18/09/697661809.db2.gz UVLCFYJTSDKDPB-LBPRGKRZSA-N -1 1 324.352 1.571 20 0 DDADMM C[C@@H]1[C@H](NCc2cscn2)CCN1C(=O)c1ncccc1[O-] ZINC000986169686 697716575 /nfs/dbraw/zinc/71/65/75/697716575.db2.gz KMXLNSPALWCMFZ-ZYHUDNBSSA-N -1 1 318.402 1.637 20 0 DDADMM O=C(Cc1ccccc1Cl)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773148509 697719243 /nfs/dbraw/zinc/71/92/43/697719243.db2.gz DZYQCHNEXWKJIM-NSHDSACASA-N -1 1 323.809 1.712 20 0 DDADMM O=C(c1ccc(Cl)s1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773158439 697720534 /nfs/dbraw/zinc/72/05/34/697720534.db2.gz ORZPOZSWYLXTOS-LURJTMIESA-N -1 1 315.811 1.845 20 0 DDADMM CCOc1cccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000773165597 697722601 /nfs/dbraw/zinc/72/26/01/697722601.db2.gz ZGWOKUCQHPGDIC-LBPRGKRZSA-N -1 1 319.390 1.529 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1ccc(OC)c(O)c1)c1nn[n-]n1 ZINC000773263645 697735716 /nfs/dbraw/zinc/73/57/16/697735716.db2.gz QQZRMMVWBDMRCT-JYKYSHPRSA-N -1 1 317.349 1.585 20 0 DDADMM CCOCCONC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000773918866 697822849 /nfs/dbraw/zinc/82/28/49/697822849.db2.gz OJACXQJYUQIQIH-UHFFFAOYSA-N -1 1 315.395 1.229 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)c2cnccc2C)co1 ZINC000773939886 697825249 /nfs/dbraw/zinc/82/52/49/697825249.db2.gz CCHBMWFYFGFYFC-SNVBAGLBSA-N -1 1 324.358 1.809 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(=O)c2ccccc2o1)c1nn[n-]n1 ZINC000773998051 697832104 /nfs/dbraw/zinc/83/21/04/697832104.db2.gz JENSXMAVWFBBDL-VIFPVBQESA-N -1 1 313.317 1.182 20 0 DDADMM O=C(c1ccc[nH]c1=S)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000774274814 697861523 /nfs/dbraw/zinc/86/15/23/697861523.db2.gz SQTSZOGQUQLEAC-UHFFFAOYSA-N -1 1 306.347 1.479 20 0 DDADMM O=C(NC[C@@H]1CCC[C@H](O)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000774431038 697878546 /nfs/dbraw/zinc/87/85/46/697878546.db2.gz JITDPILCBWSCPU-KOLCDFICSA-N -1 1 306.391 1.299 20 0 DDADMM O=C(N[C@@H]1CCCCCN(C(=O)C2CC2)C1)c1ncccc1[O-] ZINC000986814020 697916305 /nfs/dbraw/zinc/91/63/05/697916305.db2.gz BWBVBLWOFQWFKS-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(COc1ccc(F)cc1)OCCC[N-]C(=O)C(F)(F)F ZINC000774879906 697937403 /nfs/dbraw/zinc/93/74/03/697937403.db2.gz FYHHIZHCHLZLMA-UHFFFAOYSA-N -1 1 323.242 1.816 20 0 DDADMM C[C@@H]1CC[C@H](CCC(=O)OCCC[N-]C(=O)C(F)(F)F)O1 ZINC000774956965 697947278 /nfs/dbraw/zinc/94/72/78/697947278.db2.gz BTINARVLGUGNML-NXEZZACHSA-N -1 1 311.300 1.946 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCc2n[nH]c(=O)cc2C1 ZINC000775312755 697980776 /nfs/dbraw/zinc/98/07/76/697980776.db2.gz OIZCCJVWKUAZLU-UHFFFAOYSA-N -1 1 305.721 1.740 20 0 DDADMM O=C(c1cc2c(s1)CCC2)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776565030 698117673 /nfs/dbraw/zinc/11/76/73/698117673.db2.gz QTCOIRPQKGBPMY-VIFPVBQESA-N -1 1 321.431 1.680 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1CC12CCCC2 ZINC000777360196 698182479 /nfs/dbraw/zinc/18/24/79/698182479.db2.gz WVECHEFVPXGALK-GFCCVEGCSA-N -1 1 316.361 1.392 20 0 DDADMM CCC/C(C)=C\C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000777356278 698182545 /nfs/dbraw/zinc/18/25/45/698182545.db2.gz VDYJVTKIIMRNID-NTMALXAHSA-N -1 1 304.350 1.558 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987688106 698198920 /nfs/dbraw/zinc/19/89/20/698198920.db2.gz MIJQXCLRBCNCMS-KKOKHZNYSA-N -1 1 303.362 1.162 20 0 DDADMM CSCC(=O)N1CC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987720545 698210409 /nfs/dbraw/zinc/21/04/09/698210409.db2.gz LSHXHZBLWFYVTM-LLVKDONJSA-N -1 1 323.418 1.071 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@H]1CCCC(=O)N1 ZINC000800245591 700208957 /nfs/dbraw/zinc/20/89/57/700208957.db2.gz GHVKDMZKVHQSOY-GFCCVEGCSA-N -1 1 317.297 1.211 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)N(C)C(C)C)N1CCCCC1 ZINC000778635408 698354574 /nfs/dbraw/zinc/35/45/74/698354574.db2.gz WTLYAWLGSSHQOD-CYBMUJFWSA-N -1 1 319.471 1.198 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@](O)(c3ccc(F)cc3)C2)c([O-])c1 ZINC000779054004 698393159 /nfs/dbraw/zinc/39/31/59/698393159.db2.gz ONAPZMJUSNURBP-QGZVFWFLSA-N -1 1 316.332 1.968 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC(C)(C)C1CC1 ZINC000988802704 698469543 /nfs/dbraw/zinc/46/95/43/698469543.db2.gz JWKHVMYKPDZMHI-CMPLNLGQSA-N -1 1 321.425 1.416 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])C[N@@H+](CC(=O)N(C2CC2)C2CCCC2)C1 ZINC000263372536 698503092 /nfs/dbraw/zinc/50/30/92/698503092.db2.gz VURBDDIWCPEITA-STQMWFEESA-N -1 1 308.422 1.963 20 0 DDADMM O=C([O-])[C@H]1CCCN1C(=O)NCCN1CCc2ccccc2C1 ZINC000780381361 698513662 /nfs/dbraw/zinc/51/36/62/698513662.db2.gz JVIVBYZIVVXXFQ-OAHLLOKOSA-N -1 1 317.389 1.303 20 0 DDADMM CCc1cc(CNC(=O)N[C@@H](C(=O)[O-])c2ccccc2)n[nH]1 ZINC000780571136 698533602 /nfs/dbraw/zinc/53/36/02/698533602.db2.gz RBZDDXAHBUSXDQ-CYBMUJFWSA-N -1 1 302.334 1.597 20 0 DDADMM Cc1nnc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)s1 ZINC000781047902 698585734 /nfs/dbraw/zinc/58/57/34/698585734.db2.gz GEQSYXYHLPHOAM-UHFFFAOYSA-N -1 1 307.379 1.704 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000378700789 699056812 /nfs/dbraw/zinc/05/68/12/699056812.db2.gz NGXPQCMOSWURSV-SNVBAGLBSA-N -1 1 318.326 1.437 20 0 DDADMM O=C(NC1CN(C(=O)[C@H]2CCCC23CC3)C1)c1ncccc1[O-] ZINC000990974589 699197099 /nfs/dbraw/zinc/19/70/99/699197099.db2.gz IDVWCXGUGQAGQR-GFCCVEGCSA-N -1 1 315.373 1.308 20 0 DDADMM Cc1cc(C)cc([C@@H]2CCN(Cc3cn(CC(=O)[O-])nn3)C2)c1 ZINC000515660090 699208107 /nfs/dbraw/zinc/20/81/07/699208107.db2.gz LNNMHZGILKTVKZ-CQSZACIVSA-N -1 1 314.389 1.969 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@@H]2CCSC2)c1Cl ZINC000704846539 699238617 /nfs/dbraw/zinc/23/86/17/699238617.db2.gz CSZFXKFJWNKKCW-MRVPVSSYSA-N -1 1 309.844 1.495 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCc2ccns2)c1Cl ZINC000709895252 699253199 /nfs/dbraw/zinc/25/31/99/699253199.db2.gz BEVDLFHWXKUKGZ-UHFFFAOYSA-N -1 1 306.800 1.051 20 0 DDADMM O=C(COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-])NC1CC1 ZINC000787957000 699260142 /nfs/dbraw/zinc/26/01/42/699260142.db2.gz XQDBGKLIGZJPFO-UHFFFAOYSA-N -1 1 319.292 1.152 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)C2CN(C(C)=O)C2)c1 ZINC000788155150 699285048 /nfs/dbraw/zinc/28/50/48/699285048.db2.gz KNLCFZNSQJWFSJ-UHFFFAOYSA-N -1 1 305.330 1.159 20 0 DDADMM Cn1ccc2cccc(NC(=O)CCn3cc[n-]c(=O)c3=O)c21 ZINC000788265771 699295127 /nfs/dbraw/zinc/29/51/27/699295127.db2.gz HTQGIYYBPRBVIS-UHFFFAOYSA-N -1 1 312.329 1.057 20 0 DDADMM COC(C)(C)[C@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000720095289 699304288 /nfs/dbraw/zinc/30/42/88/699304288.db2.gz AHXBDHXOENZKNS-ZETCQYMHSA-N -1 1 310.778 1.966 20 0 DDADMM O=S(=O)([N-][C@H]1CNOC1)c1cc(Cl)sc1Cl ZINC000722490240 699318145 /nfs/dbraw/zinc/31/81/45/699318145.db2.gz OPPACGRZXWGHAQ-BYPYZUCNSA-N -1 1 303.192 1.237 20 0 DDADMM Cc1n[nH]nc1C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000789982066 699429277 /nfs/dbraw/zinc/42/92/77/699429277.db2.gz FZHNNBIWCSQQHV-UHFFFAOYSA-N -1 1 314.345 1.554 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@H]1CSC(=O)N1 ZINC000790043308 699437064 /nfs/dbraw/zinc/43/70/64/699437064.db2.gz QMTMWCIFRJVOIY-SCSAIBSYSA-N -1 1 318.152 1.313 20 0 DDADMM COC(=O)c1ccc(C)c(OS(=O)(=O)c2c[n-]nc2C)c1 ZINC000732217106 699550865 /nfs/dbraw/zinc/55/08/65/699550865.db2.gz PULHHPTVLWNCQM-UHFFFAOYSA-N -1 1 310.331 1.581 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000732834280 699572765 /nfs/dbraw/zinc/57/27/65/699572765.db2.gz PEXBKRSUTHQBLS-JTQLQIEISA-N -1 1 317.267 1.022 20 0 DDADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1C ZINC000733132956 699579943 /nfs/dbraw/zinc/57/99/43/699579943.db2.gz SEGIAQWMQSPTDT-UHFFFAOYSA-N -1 1 301.346 1.288 20 0 DDADMM Cc1noc(C)c1CCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000791146728 699612745 /nfs/dbraw/zinc/61/27/45/699612745.db2.gz SXKRLVSUBYWKHV-LLVKDONJSA-N -1 1 322.394 1.054 20 0 DDADMM O=C(C[C@@H]1CCc2ccccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000734433458 699650853 /nfs/dbraw/zinc/65/08/53/699650853.db2.gz GGOMTFLNPOGBPO-JSGCOSHPSA-N -1 1 313.361 1.220 20 0 DDADMM COC(=O)[C@H]1CCN(Cn2[n-]c(-c3ccccn3)nc2=S)C1 ZINC000735296232 699689439 /nfs/dbraw/zinc/68/94/39/699689439.db2.gz KZDAUDLHPVXOGL-JTQLQIEISA-N -1 1 319.390 1.455 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1csc(=O)[nH]1 ZINC000792477102 699697050 /nfs/dbraw/zinc/69/70/50/699697050.db2.gz ZKOMIMAULZZKCL-UHFFFAOYSA-N -1 1 316.136 1.964 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](C)NC(=O)OC(C)(C)C)c([O-])c1 ZINC000792520289 699700333 /nfs/dbraw/zinc/70/03/33/699700333.db2.gz GRUSUEXMVZTGNS-SNVBAGLBSA-N -1 1 309.366 1.739 20 0 DDADMM O=C(c1cc2c(s1)CCOC2)N1CCC(c2nn[n-]n2)CC1 ZINC000738247863 699755801 /nfs/dbraw/zinc/75/58/01/699755801.db2.gz KICPMQPLPCHMBB-UHFFFAOYSA-N -1 1 319.390 1.354 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2sccc2C)n1 ZINC000741647680 699846590 /nfs/dbraw/zinc/84/65/90/699846590.db2.gz FFQSQSZGMMXQRM-UHFFFAOYSA-N -1 1 300.365 1.161 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cncc(F)c2)o1 ZINC000795440219 699867826 /nfs/dbraw/zinc/86/78/26/699867826.db2.gz ZROOJTOYOBERHD-UHFFFAOYSA-N -1 1 314.294 1.079 20 0 DDADMM CCC[C@]1(C)CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000742969190 699895217 /nfs/dbraw/zinc/89/52/17/699895217.db2.gz NUTBMBZYDNOUQA-MRXNPFEDSA-N -1 1 307.394 1.356 20 0 DDADMM Cn1nccc1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000743223784 699903628 /nfs/dbraw/zinc/90/36/28/699903628.db2.gz APPWXAKDRJDRNZ-UHFFFAOYSA-N -1 1 300.270 1.589 20 0 DDADMM O=C(Nc1c([O-])cccc1F)[C@@H]1CC[C@@H](C(F)(F)F)NC1=O ZINC000743415499 699911643 /nfs/dbraw/zinc/91/16/43/699911643.db2.gz CXHKOENMPDZUMO-MUWHJKNJSA-N -1 1 320.242 1.927 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H]2CCC(=O)NC2)c1 ZINC000744790346 699960892 /nfs/dbraw/zinc/96/08/92/699960892.db2.gz NRYVWNLQVWPHBM-CYBMUJFWSA-N -1 1 305.330 1.207 20 0 DDADMM Cc1nc(COC(=O)c2nn(-c3ccc(C)cc3)cc2[O-])no1 ZINC000801366944 700301516 /nfs/dbraw/zinc/30/15/16/700301516.db2.gz DJRABZNBSYJVGP-UHFFFAOYSA-N -1 1 314.301 1.935 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3CC(=O)c4ccccc43)ccnc1-2 ZINC000801703476 700333030 /nfs/dbraw/zinc/33/30/30/700333030.db2.gz OHCFSVNPHPPWRB-GFCCVEGCSA-N -1 1 306.325 1.651 20 0 DDADMM CCCCC[C@H]([N-]S(=O)(=O)Cc1cc(C)no1)C(=O)OC ZINC000751988950 700333741 /nfs/dbraw/zinc/33/37/41/700333741.db2.gz NDFMWBNHROIHIH-LBPRGKRZSA-N -1 1 318.395 1.524 20 0 DDADMM [O-]C(=NO[C@@H]1CCCCO1)Nc1cn(Cc2ccccc2)nn1 ZINC000801730579 700335177 /nfs/dbraw/zinc/33/51/77/700335177.db2.gz LMYBVYIKIRHTGA-CQSZACIVSA-N -1 1 317.349 1.906 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1cn(Cc2ccccc2)nn1 ZINC000801730579 700335180 /nfs/dbraw/zinc/33/51/80/700335180.db2.gz LMYBVYIKIRHTGA-CQSZACIVSA-N -1 1 317.349 1.906 20 0 DDADMM O=C(c1ncccc1[O-])N1CC(O)(c2ccc(Cl)cc2)C1 ZINC000802667181 700416332 /nfs/dbraw/zinc/41/63/32/700416332.db2.gz SUAUWYVDOJOSTB-UHFFFAOYSA-N -1 1 304.733 1.784 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]C[C@H]2CC2(Cl)Cl)s1 ZINC000754793732 700528643 /nfs/dbraw/zinc/52/86/43/700528643.db2.gz XBHBHGVYNRZODI-ZCFIWIBFSA-N -1 1 301.220 1.924 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1COC(C)(C)OC1 ZINC000756712548 700637843 /nfs/dbraw/zinc/63/78/43/700637843.db2.gz ROBMUZTYZCUTJK-UHFFFAOYSA-N -1 1 309.366 1.495 20 0 DDADMM Cc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(Cl)c1 ZINC000756834011 700643483 /nfs/dbraw/zinc/64/34/83/700643483.db2.gz YFBLPUNJOUJIBA-LLVKDONJSA-N -1 1 307.741 1.375 20 0 DDADMM O=C(N1CCC[C@H](c2nn[n-]n2)C1)C(F)(F)c1nccs1 ZINC000756875095 700646894 /nfs/dbraw/zinc/64/68/94/700646894.db2.gz IPVCICMCJKEIRN-ZETCQYMHSA-N -1 1 314.321 1.154 20 0 DDADMM Cc1c(O)cccc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000761430024 700860179 /nfs/dbraw/zinc/86/01/79/700860179.db2.gz JLLQXNTZLHRIBC-UHFFFAOYSA-N -1 1 313.309 1.814 20 0 DDADMM Cc1cnc(C(=O)NC[C@](C)(O)c2ccc(F)cc2)c([O-])c1 ZINC000763257051 700931873 /nfs/dbraw/zinc/93/18/73/700931873.db2.gz BBTYEPCLFVPXEG-INIZCTEOSA-N -1 1 304.321 1.872 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Cl)ccc2Cl)nc1=O ZINC000765388833 701009265 /nfs/dbraw/zinc/00/92/65/701009265.db2.gz FMGIEBJNXXHMJX-UHFFFAOYSA-N -1 1 302.117 1.772 20 0 DDADMM Cn1nc(COC(=O)c2sccc2SC(F)F)[n-]c1=O ZINC000765473143 701014267 /nfs/dbraw/zinc/01/42/67/701014267.db2.gz JBNFQKWKCJCLRI-UHFFFAOYSA-N -1 1 321.330 1.842 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(OC(C)(C)C)cc2)nc1=O ZINC000765492568 701016028 /nfs/dbraw/zinc/01/60/28/701016028.db2.gz PEOZWFIMUSZIBA-UHFFFAOYSA-N -1 1 305.334 1.643 20 0 DDADMM CN(C)[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1cccc(Cl)c1 ZINC000765516682 701017441 /nfs/dbraw/zinc/01/74/41/701017441.db2.gz WFHYFKZNCPTYDF-LBPRGKRZSA-N -1 1 324.768 1.108 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCCCCCO ZINC000803320806 701101567 /nfs/dbraw/zinc/10/15/67/701101567.db2.gz ARCMBAQUTWXFCT-UHFFFAOYSA-N -1 1 315.391 1.768 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@H]1CCCCC12OCCO2 ZINC000809827201 701693791 /nfs/dbraw/zinc/69/37/91/701693791.db2.gz GCCPVMFTQXFILX-NSHDSACASA-N -1 1 307.412 1.017 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(OC)c(Cl)n1)c1nn[n-]n1 ZINC000768244791 701165187 /nfs/dbraw/zinc/16/51/87/701165187.db2.gz JCBIAZSNDAJOGO-ZETCQYMHSA-N -1 1 310.745 1.528 20 0 DDADMM CC(C)[C@H]1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000769397873 701243786 /nfs/dbraw/zinc/24/37/86/701243786.db2.gz FMJDQPCKJVRMJP-UONOGXRCSA-N -1 1 321.421 1.648 20 0 DDADMM CSc1nc(C)c(CCC(=O)O[C@H]2CCO[C@@H]2C)c(=O)[n-]1 ZINC000771051522 701307139 /nfs/dbraw/zinc/30/71/39/701307139.db2.gz JWBDBHUUAUDHKE-KOLCDFICSA-N -1 1 312.391 1.866 20 0 DDADMM COc1ccc(NC(=O)[C@H](OC(=O)c2cn[n-]n2)C(C)C)cc1 ZINC000805604281 701397876 /nfs/dbraw/zinc/39/78/76/701397876.db2.gz CBKKFJDTHBMQFI-CYBMUJFWSA-N -1 1 318.333 1.633 20 0 DDADMM O=C(O[C@H]1CCN(c2ccc(Cl)c(F)c2)C1=O)c1cn[n-]n1 ZINC000805605318 701398635 /nfs/dbraw/zinc/39/86/35/701398635.db2.gz ICCLRWSPLUBLIL-NSHDSACASA-N -1 1 324.699 1.560 20 0 DDADMM Cc1ccncc1[C@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806487760 701437474 /nfs/dbraw/zinc/43/74/74/701437474.db2.gz CUZIYSWKFZIVKC-NSHDSACASA-N -1 1 310.361 1.928 20 0 DDADMM CC(C)[C@@]1(C)C[C@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952870 706609832 /nfs/dbraw/zinc/60/98/32/706609832.db2.gz PBRBSCZVHFYSFX-MEDUHNTESA-N -1 1 305.378 1.553 20 0 DDADMM CC(C)CCS(=O)(=O)[N-]C(=O)[C@@]1(F)CCN(C(C)(C)C)C1 ZINC000810578838 701793821 /nfs/dbraw/zinc/79/38/21/701793821.db2.gz SKNYTQMLFWHKQU-CQSZACIVSA-N -1 1 322.446 1.691 20 0 DDADMM CC(C)(C)N1CC[C@](F)(C(=O)[N-]S(=O)(=O)CCCF)C1 ZINC000810603377 701799312 /nfs/dbraw/zinc/79/93/12/701799312.db2.gz VOERVMUJXTUSIU-GFCCVEGCSA-N -1 1 312.382 1.005 20 0 DDADMM CNC(=O)CCOC1CCN(Cc2cc(C(=O)[O-])c(C)o2)CC1 ZINC000830988188 706615891 /nfs/dbraw/zinc/61/58/91/706615891.db2.gz WAAZECYDPKDRRB-UHFFFAOYSA-N -1 1 324.377 1.403 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO1 ZINC000830991472 706616658 /nfs/dbraw/zinc/61/66/58/706616658.db2.gz YTGDNFCTDDTAPP-SCZZXKLOSA-N -1 1 321.830 1.935 20 0 DDADMM CC1=C(Br)CN(C(=O)CCc2nn[n-]n2)CC1 ZINC000867983249 701873044 /nfs/dbraw/zinc/87/30/44/701873044.db2.gz BDOVHFZAPNLCJF-UHFFFAOYSA-N -1 1 300.160 1.034 20 0 DDADMM CCC[C@H](C)N1C[C@H](C(=O)[N-]OCCCC(=O)OC)CC1=O ZINC000811560103 702005922 /nfs/dbraw/zinc/00/59/22/702005922.db2.gz HGAGOUCFLTXWTM-NWDGAFQWSA-N -1 1 314.382 1.025 20 0 DDADMM CCn1ncc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1C1CC1 ZINC000879263136 706634106 /nfs/dbraw/zinc/63/41/06/706634106.db2.gz MBVJENATGDOTKD-LLVKDONJSA-N -1 1 315.381 1.313 20 0 DDADMM CCC[C@H](O)[C@H](CO)[N-]c1nc(-c2cccc(OC)c2)no1 ZINC000840363240 702084434 /nfs/dbraw/zinc/08/44/34/702084434.db2.gz YJDKZKKPCZAIHH-STQMWFEESA-N -1 1 307.350 1.679 20 0 DDADMM O=C(C[C@@H]1CCCCO1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831140169 706642585 /nfs/dbraw/zinc/64/25/85/706642585.db2.gz UBSOELLYJUVLTI-JTQLQIEISA-N -1 1 308.300 1.083 20 0 DDADMM CC(C)(CN1CCOCC1)NC(=O)c1c([O-])cccc1Cl ZINC000840522705 702139854 /nfs/dbraw/zinc/13/98/54/702139854.db2.gz MKJKFQCXFYYSIE-UHFFFAOYSA-N -1 1 312.797 1.886 20 0 DDADMM CCC/C(C)=C\C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000812362166 702169312 /nfs/dbraw/zinc/16/93/12/702169312.db2.gz SVVYZWCZQKEHBV-NTMALXAHSA-N -1 1 312.391 1.985 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@@H](C)C2CC2)c1 ZINC000812363007 702169337 /nfs/dbraw/zinc/16/93/37/702169337.db2.gz QAQXRSWHIKBGRI-SECBINFHSA-N -1 1 312.391 1.675 20 0 DDADMM CCn1ncn([N-]C(=O)c2ccc(Cl)cc2Cl)c1=O ZINC000816738289 702172376 /nfs/dbraw/zinc/17/23/76/702172376.db2.gz XYBIRYKPWOFLQZ-UHFFFAOYSA-N -1 1 301.133 1.755 20 0 DDADMM C[C@@H]1CC[C@H](CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868567331 702202047 /nfs/dbraw/zinc/20/20/47/702202047.db2.gz NATHHXPSICMLAN-MNOVXSKESA-N -1 1 320.393 1.490 20 0 DDADMM O=C(Cc1cncc(O)c1)Nc1nc(Br)ccc1[O-] ZINC000816952065 702235121 /nfs/dbraw/zinc/23/51/21/702235121.db2.gz CBQTVSGSOJTFOA-UHFFFAOYSA-N -1 1 324.134 1.832 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NCCCCF)C1 ZINC000868634839 702236566 /nfs/dbraw/zinc/23/65/66/702236566.db2.gz CQKSMADHGJQSGH-LLVKDONJSA-N -1 1 313.295 1.589 20 0 DDADMM O=C(NCCCCC1CC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869060210 702441848 /nfs/dbraw/zinc/44/18/48/702441848.db2.gz YAMZDEZCVTXTTP-UHFFFAOYSA-N -1 1 320.393 1.634 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1cn(C)c(Cl)n1)CC(F)(F)F ZINC000841563685 702499119 /nfs/dbraw/zinc/49/91/19/702499119.db2.gz UKTOZQUAYKABLT-ZCFIWIBFSA-N -1 1 319.736 1.940 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC3CC4(CSC4)C3)ccnc1-2 ZINC000879416152 706674638 /nfs/dbraw/zinc/67/46/38/706674638.db2.gz OWDKHXFNIVLUCW-UHFFFAOYSA-N -1 1 303.391 1.359 20 0 DDADMM O=C(O[C@H]1CCN(C2CCC2)C1=O)c1c([O-])cc(F)cc1F ZINC000869371682 702585882 /nfs/dbraw/zinc/58/58/82/702585882.db2.gz VKWOUWYGPFYZTM-LBPRGKRZSA-N -1 1 311.284 1.981 20 0 DDADMM CCCCOCCC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866387185 706687493 /nfs/dbraw/zinc/68/74/93/706687493.db2.gz PPDYZCZNDHWNHA-UHFFFAOYSA-N -1 1 308.350 1.845 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]CC12CC3CC(CC(C3)C1)C2 ZINC000866391721 706688108 /nfs/dbraw/zinc/68/81/08/706688108.db2.gz OHEJKHZFUXLXCD-UHFFFAOYSA-N -1 1 320.480 1.765 20 0 DDADMM CC(=O)NCCN(C)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000842634432 702746520 /nfs/dbraw/zinc/74/65/20/702746520.db2.gz DGSCFSFZZNNQFY-UHFFFAOYSA-N -1 1 306.395 1.028 20 0 DDADMM C[C@@H]1CC[C@@H](CC(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843017021 702804427 /nfs/dbraw/zinc/80/44/27/702804427.db2.gz YLALJGLEYFWQRB-CHWSQXEVSA-N -1 1 316.467 1.743 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2C=CCC2)c(C(F)(F)F)n1 ZINC000882557889 707695454 /nfs/dbraw/zinc/69/54/54/707695454.db2.gz JDWZCWINISSXDZ-QMMMGPOBSA-N -1 1 309.313 1.683 20 0 DDADMM O=C(COC(=O)[C@@H]1C[C@H]1C1CCC1)[N-]C(=O)c1ccccc1 ZINC000845225269 703130639 /nfs/dbraw/zinc/13/06/39/703130639.db2.gz TZIXATZPROHHMB-UONOGXRCSA-N -1 1 301.342 1.922 20 0 DDADMM C[C@@H](CC(=O)OCC(=O)[N-]C(=O)c1ccccc1)n1ccnc1 ZINC000845279046 703138288 /nfs/dbraw/zinc/13/82/88/703138288.db2.gz SPAWTYLPICTJGI-LBPRGKRZSA-N -1 1 315.329 1.334 20 0 DDADMM O=S(=O)(C[C@@H]1CCC1(F)F)[N-]Cc1nc(C2CC2)no1 ZINC000866549563 706727423 /nfs/dbraw/zinc/72/74/23/706727423.db2.gz VIANQQBPFBTSBR-QMMMGPOBSA-N -1 1 307.322 1.412 20 0 DDADMM CS(=O)(=O)c1ccc(CN2C[C@@H]3CCC[C@]3(C(=O)[O-])C2)cc1 ZINC000846285808 703261809 /nfs/dbraw/zinc/26/18/09/703261809.db2.gz VHEBEHQRHBSSRO-BBRMVZONSA-N -1 1 323.414 1.777 20 0 DDADMM CC(C)(C)c1cc(CN2C[C@H]3COCC[C@]3(C(=O)[O-])C2)no1 ZINC000846287804 703262312 /nfs/dbraw/zinc/26/23/12/703262312.db2.gz UQIRIQMAKJZIRX-ZBEGNZNMSA-N -1 1 308.378 1.895 20 0 DDADMM Cc1cc(NCCNC(=O)c2c([O-])cccc2Cl)n(C)n1 ZINC000846644675 703306472 /nfs/dbraw/zinc/30/64/72/703306472.db2.gz XWBGNLMBTIDZHF-UHFFFAOYSA-N -1 1 308.769 1.929 20 0 DDADMM Cc1ccncc1C=CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847081637 703375288 /nfs/dbraw/zinc/37/52/88/703375288.db2.gz UNLKBXWHINPABZ-ZFDPJTLLSA-N -1 1 314.345 1.898 20 0 DDADMM CCOC1(C[N-]S(=O)(=O)c2c[nH]nc2Cl)CCCC1 ZINC000831631894 706743276 /nfs/dbraw/zinc/74/32/76/706743276.db2.gz ODZOQTGEOASHHA-UHFFFAOYSA-N -1 1 307.803 1.691 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@H]1CCCOC1 ZINC000848341658 703541033 /nfs/dbraw/zinc/54/10/33/703541033.db2.gz OQLLZQQZLRSCSB-GMTAPVOTSA-N -1 1 322.327 1.471 20 0 DDADMM CCO[C@H](CC)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341760 703541080 /nfs/dbraw/zinc/54/10/80/703541080.db2.gz VDOLAGNILKKSQN-GMTAPVOTSA-N -1 1 324.343 1.860 20 0 DDADMM CC[C@@H](COCC1CC1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000849418159 703645812 /nfs/dbraw/zinc/64/58/12/703645812.db2.gz BNPXIUXSHNUQIY-VIFPVBQESA-N -1 1 307.803 1.547 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)CC(F)F)N1CCCCC1 ZINC000851362109 703795099 /nfs/dbraw/zinc/79/50/99/703795099.db2.gz YDTAVKWIPXPRJY-LLVKDONJSA-N -1 1 312.382 1.208 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)[C@H](C)O1 ZINC000869657294 703826517 /nfs/dbraw/zinc/82/65/17/703826517.db2.gz KGRDYRHXIGEWHT-NTZNESFSSA-N -1 1 305.330 1.300 20 0 DDADMM CC[C@]1(C(=O)OCCc2cc(C(C)=O)ccc2[O-])CCNC1=O ZINC000870048499 703901632 /nfs/dbraw/zinc/90/16/32/703901632.db2.gz GZUQSGBMSVXQHV-KRWDZBQOSA-N -1 1 319.357 1.597 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C ZINC000870279765 703983965 /nfs/dbraw/zinc/98/39/65/703983965.db2.gz ZXUWRKQUWOCVJC-BXGBBSSXSA-N -1 1 316.361 1.104 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879761973 706782997 /nfs/dbraw/zinc/78/29/97/706782997.db2.gz ATGADOWEQAJEKI-OUJBWJOFSA-N -1 1 323.359 1.433 20 0 DDADMM COC(=O)[C@@H](C1CC1)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764897 706784940 /nfs/dbraw/zinc/78/49/40/706784940.db2.gz ZXVOYEPRZAGIKX-BXKDBHETSA-N -1 1 308.300 1.081 20 0 DDADMM CCCNC(=O)[C@@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764497 706785004 /nfs/dbraw/zinc/78/50/04/706785004.db2.gz YBKMVPBSANAUPV-SKDRFNHKSA-N -1 1 309.332 1.044 20 0 DDADMM CC(C)CNC(=O)CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852759493 704117485 /nfs/dbraw/zinc/11/74/85/704117485.db2.gz PUOOUHKEVLKFPW-MNOVXSKESA-N -1 1 323.359 1.148 20 0 DDADMM Cc1nc(C)c(CC(=O)[N-]OCCCC(F)(F)F)c(=O)[nH]1 ZINC000871211074 704243934 /nfs/dbraw/zinc/24/39/34/704243934.db2.gz DSPGFRNLJXCKIC-UHFFFAOYSA-N -1 1 307.272 1.732 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)CC1(OC)CCCC1)C(F)(F)F ZINC000866787172 706800657 /nfs/dbraw/zinc/80/06/57/706800657.db2.gz ZNJYGYNLNNRKMR-VIFPVBQESA-N -1 1 319.345 1.442 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H](C)c1cnccc1C ZINC000867049915 706882345 /nfs/dbraw/zinc/88/23/45/706882345.db2.gz PBPXFRBWMMUPKW-MGNBDDOMSA-N -1 1 305.425 1.403 20 0 DDADMM Cc1ccccc1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000820780630 704329931 /nfs/dbraw/zinc/32/99/31/704329931.db2.gz VREXZENITBRWAT-UHFFFAOYSA-N -1 1 302.421 1.591 20 0 DDADMM COCc1ccccc1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000821150425 704376688 /nfs/dbraw/zinc/37/66/88/704376688.db2.gz FCNIHPWEMATYLZ-UHFFFAOYSA-N -1 1 318.420 1.752 20 0 DDADMM CCn1nc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1C1CC1 ZINC000821568180 704423035 /nfs/dbraw/zinc/42/30/35/704423035.db2.gz KTSYJVKBAFXVPW-UHFFFAOYSA-N -1 1 324.348 1.608 20 0 DDADMM CCn1nc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1C1CC1 ZINC000821568180 704423037 /nfs/dbraw/zinc/42/30/37/704423037.db2.gz KTSYJVKBAFXVPW-UHFFFAOYSA-N -1 1 324.348 1.608 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@@H](CO)NC(=O)c2ccc([O-])c(F)c2)C1 ZINC000855351798 704480329 /nfs/dbraw/zinc/48/03/29/704480329.db2.gz FOZVHFKSHHLBAX-MPKXVKKWSA-N -1 1 311.353 1.827 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H]2CCCC[C@@H]21 ZINC000856501163 704531455 /nfs/dbraw/zinc/53/14/55/704531455.db2.gz LINMSIWWMVXKAS-STQMWFEESA-N -1 1 305.378 1.108 20 0 DDADMM C[C@@H](C(=O)[N-]OC(C)(C)CO)c1ccc(S(C)(=O)=O)cc1 ZINC000857284396 704574278 /nfs/dbraw/zinc/57/42/78/704574278.db2.gz JRNXHDJKWGNSKQ-SNVBAGLBSA-N -1 1 315.391 1.012 20 0 DDADMM Cc1ccnc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1C1CC1 ZINC000857312034 704578801 /nfs/dbraw/zinc/57/88/01/704578801.db2.gz ACKYNFUMPFAHQZ-UHFFFAOYSA-N -1 1 314.345 1.146 20 0 DDADMM CCCc1cc(C(=O)Nc2nc3nc(CC)cc(=O)n3[n-]2)no1 ZINC000857684532 704613447 /nfs/dbraw/zinc/61/34/47/704613447.db2.gz OBKJAGVJGQSUJL-UHFFFAOYSA-N -1 1 316.321 1.173 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C=C3/CC[C@@H](C)C3)nc2n1 ZINC000857684899 704613568 /nfs/dbraw/zinc/61/35/68/704613568.db2.gz SECOJXBANLLVTE-SBMLRHLQSA-N -1 1 301.350 1.665 20 0 DDADMM O=c1nc(N2CC[C@H](C(F)(F)F)[C@H](CO)C2)cc(Cl)[n-]1 ZINC000858544006 704725160 /nfs/dbraw/zinc/72/51/60/704725160.db2.gz PMCSQKLEZAYNSJ-BQBZGAKWSA-N -1 1 311.691 1.833 20 0 DDADMM CS(=O)(=O)CC1CCC(Nc2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858557622 704726933 /nfs/dbraw/zinc/72/69/33/704726933.db2.gz TXUDLLGIRDHOQY-UHFFFAOYSA-N -1 1 319.814 1.851 20 0 DDADMM CCn1c([S-])nnc1CNC(=O)c1c(C)nc2sccn21 ZINC000859201033 704819493 /nfs/dbraw/zinc/81/94/93/704819493.db2.gz VKDHLKLJUJANKX-UHFFFAOYSA-N -1 1 322.419 1.534 20 0 DDADMM Cc1ccccc1C[C@H](C)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000859278924 704846196 /nfs/dbraw/zinc/84/61/96/704846196.db2.gz XEEGJCBXTJBAEV-GXTWGEPZSA-N -1 1 315.377 1.287 20 0 DDADMM CON(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000859414057 704894131 /nfs/dbraw/zinc/89/41/31/704894131.db2.gz UXMNZOJKVDJAKP-SSDOTTSWSA-N -1 1 324.761 1.166 20 0 DDADMM Cc1ccc(CC(=O)Nc2ncc(-c3nnn[n-]3)s2)s1 ZINC000822235137 704896006 /nfs/dbraw/zinc/89/60/06/704896006.db2.gz VCVUFJIAUMKEDD-UHFFFAOYSA-N -1 1 306.376 1.874 20 0 DDADMM Cc1ccc(CC(=O)Nc2ncc(-c3nn[n-]n3)s2)s1 ZINC000822235137 704896013 /nfs/dbraw/zinc/89/60/13/704896013.db2.gz VCVUFJIAUMKEDD-UHFFFAOYSA-N -1 1 306.376 1.874 20 0 DDADMM CCOC(=O)[C@@H](Cc1ccc(F)cc1)OC(=O)c1cn[n-]n1 ZINC000859692459 704976367 /nfs/dbraw/zinc/97/63/67/704976367.db2.gz CWPPWLCHINMREF-GFCCVEGCSA-N -1 1 307.281 1.275 20 0 DDADMM CCO[C@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C1CC1 ZINC000859870159 705025371 /nfs/dbraw/zinc/02/53/71/705025371.db2.gz MUHZFXUVUAWOGU-AWEZNQCLSA-N -1 1 305.330 1.301 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)nc1=O ZINC000859882802 705028615 /nfs/dbraw/zinc/02/86/15/705028615.db2.gz ZYIFWDBQHRRMEU-CHWSQXEVSA-N -1 1 301.346 1.736 20 0 DDADMM Fc1cccc(-c2cnc(Cn3cnc(-c4nn[n-]n4)n3)o2)c1 ZINC000822786026 705038651 /nfs/dbraw/zinc/03/86/51/705038651.db2.gz BLJJEFORNDKPNX-UHFFFAOYSA-N -1 1 312.268 1.301 20 0 DDADMM C[C@H]1CN(C(=O)c2cncc([O-])c2)CC[C@H](C(F)(F)F)O1 ZINC000874562773 705106220 /nfs/dbraw/zinc/10/62/20/705106220.db2.gz MTORUSJELMWPSN-GZMMTYOYSA-N -1 1 304.268 1.969 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2 ZINC000860441116 705188393 /nfs/dbraw/zinc/18/83/93/705188393.db2.gz KPPMYFFOAXKRIX-MCYUEQNJSA-N -1 1 307.277 1.190 20 0 DDADMM CON(C(=O)CCCc1nn[n-]n1)[C@H](C)c1csc(C)n1 ZINC000823302738 705200495 /nfs/dbraw/zinc/20/04/95/705200495.db2.gz QXGFLONLGURFNM-MRVPVSSYSA-N -1 1 310.383 1.439 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1cc(F)cc(F)c1 ZINC000823379828 705226052 /nfs/dbraw/zinc/22/60/52/705226052.db2.gz KGMYESNFLCKERJ-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1cc(F)cc(F)c1 ZINC000823379828 705226058 /nfs/dbraw/zinc/22/60/58/705226058.db2.gz KGMYESNFLCKERJ-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM Cn1nnc2c1C[C@@H](C(=O)NCc1ccc([O-])c(Cl)c1)CC2 ZINC000834821803 707130052 /nfs/dbraw/zinc/13/00/52/707130052.db2.gz HEPBTFONZFQQEL-JTQLQIEISA-N -1 1 320.780 1.595 20 0 DDADMM O=C([N-]S(=O)(=O)C1(C(F)F)CC1)c1cccc2cn[nH]c21 ZINC000861162866 705389480 /nfs/dbraw/zinc/38/94/80/705389480.db2.gz GLKZMATVLSXMNT-UHFFFAOYSA-N -1 1 315.301 1.420 20 0 DDADMM CC1(C)OCC(NC(=O)NCc2ccc([O-])c(Cl)c2)CO1 ZINC000875490118 705406538 /nfs/dbraw/zinc/40/65/38/705406538.db2.gz ZGLJTMLYSBZGOW-UHFFFAOYSA-N -1 1 314.769 1.996 20 0 DDADMM C[C@H]1CCC[C@H]1CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875556977 705428794 /nfs/dbraw/zinc/42/87/94/705428794.db2.gz OKNAQAWSKFYOHC-ONGXEEELSA-N -1 1 321.343 1.743 20 0 DDADMM CC[C@@H]1C[C@H]1CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875639119 705458484 /nfs/dbraw/zinc/45/84/84/705458484.db2.gz AEWRWEYOSNNZBK-ZJUUUORDSA-N -1 1 307.316 1.352 20 0 DDADMM O=C(NCCCC1CCC1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875739354 705494673 /nfs/dbraw/zinc/49/46/73/705494673.db2.gz BVFVPFWEQNNOET-UHFFFAOYSA-N -1 1 321.343 1.887 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)n[n-]1 ZINC000824888245 705552207 /nfs/dbraw/zinc/55/22/07/705552207.db2.gz ZMAWGAXYOPCZET-FIQHERPVSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)[n-]1 ZINC000824888245 705552210 /nfs/dbraw/zinc/55/22/10/705552210.db2.gz ZMAWGAXYOPCZET-FIQHERPVSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)n1 ZINC000824888245 705552213 /nfs/dbraw/zinc/55/22/13/705552213.db2.gz ZMAWGAXYOPCZET-FIQHERPVSA-N -1 1 320.393 1.985 20 0 DDADMM O=C(NC[C@H]1CC1(Cl)Cl)c1ccc2n[n-]c(=S)n2c1 ZINC000825425170 705668929 /nfs/dbraw/zinc/66/89/29/705668929.db2.gz FOFXBHUWNPYQIU-SSDOTTSWSA-N -1 1 317.201 1.942 20 0 DDADMM Cn1cc([C@H](O)CNC(=O)NCc2ccc([O-])c(Cl)c2)cn1 ZINC000876394700 705707986 /nfs/dbraw/zinc/70/79/86/705707986.db2.gz ZXQMIJQEXUYEBS-CYBMUJFWSA-N -1 1 324.768 1.312 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@@H](C)c2ccco2)c1-c1nnn[n-]1 ZINC000826343275 705792867 /nfs/dbraw/zinc/79/28/67/705792867.db2.gz UGCUTZYZQZGICW-QMMMGPOBSA-N -1 1 316.321 1.518 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@@H](C)c2ccco2)c1-c1nn[n-]n1 ZINC000826343275 705792868 /nfs/dbraw/zinc/79/28/68/705792868.db2.gz UGCUTZYZQZGICW-QMMMGPOBSA-N -1 1 316.321 1.518 20 0 DDADMM Cc1onc(CC(=O)N[C@H](C)CCC(C)C)c1-c1nnn[n-]1 ZINC000826345198 705793941 /nfs/dbraw/zinc/79/39/41/705793941.db2.gz FYWSTHJODINDSN-SECBINFHSA-N -1 1 306.370 1.647 20 0 DDADMM Cc1onc(CC(=O)N[C@H](C)CCC(C)C)c1-c1nn[n-]n1 ZINC000826345198 705793943 /nfs/dbraw/zinc/79/39/43/705793943.db2.gz FYWSTHJODINDSN-SECBINFHSA-N -1 1 306.370 1.647 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)c2ccnc(C3CC3)c2)n1 ZINC000826357326 705795116 /nfs/dbraw/zinc/79/51/16/705795116.db2.gz AJBXIXFGXJUUSY-UHFFFAOYSA-N -1 1 310.321 1.125 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)c2ccnc(C3CC3)c2)n1 ZINC000826357326 705795121 /nfs/dbraw/zinc/79/51/21/705795121.db2.gz AJBXIXFGXJUUSY-UHFFFAOYSA-N -1 1 310.321 1.125 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc(F)c2F)c1-c1nnn[n-]1 ZINC000826348910 705795500 /nfs/dbraw/zinc/79/55/00/705795500.db2.gz LNXOARBALUCXSM-UHFFFAOYSA-N -1 1 320.259 1.623 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc(F)c2F)c1-c1nn[n-]n1 ZINC000826348910 705795504 /nfs/dbraw/zinc/79/55/04/705795504.db2.gz LNXOARBALUCXSM-UHFFFAOYSA-N -1 1 320.259 1.623 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C=C(C2CC2)C2CC2)CC1 ZINC000827354723 705984513 /nfs/dbraw/zinc/98/45/13/705984513.db2.gz GVVRANMRVCWLEZ-LBPRGKRZSA-N -1 1 306.406 1.740 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2cncc([O-])c2)CCN1C(=O)OC(C)(C)C ZINC000863721565 705995255 /nfs/dbraw/zinc/99/52/55/705995255.db2.gz SNUIJVQMIMGNTC-MFKMUULPSA-N -1 1 321.377 1.915 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(=O)OC ZINC000827788356 706068176 /nfs/dbraw/zinc/06/81/76/706068176.db2.gz NCYCEVSKXKHJBS-VIFPVBQESA-N -1 1 308.363 1.090 20 0 DDADMM Cc1n[nH]cc1CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000827852656 706077028 /nfs/dbraw/zinc/07/70/28/706077028.db2.gz YVFISFOSLKVDRD-KCJUWKMLSA-N -1 1 304.316 1.607 20 0 DDADMM CCO[N-]C(=O)CNCc1snc(OC)c1Br ZINC000877614583 706159830 /nfs/dbraw/zinc/15/98/30/706159830.db2.gz ZWFJQNBUUNXBAV-UHFFFAOYSA-N -1 1 324.200 1.072 20 0 DDADMM O=C(/C=C\COc1ccccc1)NC1(c2nn[n-]n2)CCCC1 ZINC000828083395 706115125 /nfs/dbraw/zinc/11/51/25/706115125.db2.gz NYMJMLLNFWCSPX-TWGQIWQCSA-N -1 1 313.361 1.720 20 0 DDADMM O=C([N-]Cc1ccc(CN2CCNC2=O)cc1)C(F)(F)F ZINC000864492539 706181110 /nfs/dbraw/zinc/18/11/10/706181110.db2.gz PBKLEVHBXRCACD-UHFFFAOYSA-N -1 1 301.268 1.390 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CC[C@H]1CCCO1 ZINC000872433623 707408753 /nfs/dbraw/zinc/40/87/53/707408753.db2.gz XFNHTCSZNCMSFL-MGNBDDOMSA-N -1 1 312.457 1.286 20 0 DDADMM C[C@@H](O)CNC(=O)c1ccc(I)cc1[O-] ZINC000864814759 706274362 /nfs/dbraw/zinc/27/43/62/706274362.db2.gz NRQZFIBRXMIBLM-ZCFIWIBFSA-N -1 1 321.114 1.107 20 0 DDADMM CS[C@H](C)C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872464210 707426615 /nfs/dbraw/zinc/42/66/15/707426615.db2.gz RLWURMCVNYCVCQ-VPTHRUTESA-N -1 1 302.487 1.469 20 0 DDADMM CCO[C@@H]1COCC[C@@H]1CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000864974865 706316677 /nfs/dbraw/zinc/31/66/77/706316677.db2.gz MAVPFOYQMIVEEO-HTQZYQBOSA-N -1 1 322.287 1.594 20 0 DDADMM CN1CCn2nc(NC(=O)c3c(F)ccc([O-])c3F)cc2C1 ZINC000829417672 706330681 /nfs/dbraw/zinc/33/06/81/706330681.db2.gz MXXVAAXJDRSETH-UHFFFAOYSA-N -1 1 308.288 1.565 20 0 DDADMM CN(C)c1ccccc1N(C)Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878285310 706346739 /nfs/dbraw/zinc/34/67/39/706346739.db2.gz VLABLODIFZLWKY-UHFFFAOYSA-N -1 1 314.389 1.957 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC[C@@H]1C ZINC000829864663 706410208 /nfs/dbraw/zinc/41/02/08/706410208.db2.gz UVNKBSNOXOXEBY-GXSJLCMTSA-N -1 1 306.391 1.515 20 0 DDADMM Cc1cc(N)nc(SCC(=O)CC[N-]C(=O)C(F)(F)F)n1 ZINC000865348402 706410524 /nfs/dbraw/zinc/41/05/24/706410524.db2.gz PHNPMXDIPZGACX-UHFFFAOYSA-N -1 1 322.312 1.097 20 0 DDADMM Cc1cc(C(=O)NC[C@H]2CN(C)CCN2C)ccc1NC(=O)[O-] ZINC000829947133 706423507 /nfs/dbraw/zinc/42/35/07/706423507.db2.gz VXYFXXIQPRHFDZ-ZDUSSCGKSA-N -1 1 320.393 1.061 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(C[C@H]3CC4(CO3)CCOCC4)C2)n[nH]1 ZINC000878622192 706447984 /nfs/dbraw/zinc/44/79/84/706447984.db2.gz MDNCOYXSBLFORU-QWHCGFSZSA-N -1 1 322.409 1.275 20 0 DDADMM CO[C@@H]1COCC[C@@H]1CC(=O)Nc1nc(Cl)ccc1[O-] ZINC000830185213 706471179 /nfs/dbraw/zinc/47/11/79/706471179.db2.gz WWTUMVKDIKHVDG-PSASIEDQSA-N -1 1 300.742 1.821 20 0 DDADMM CCN1CCN(CC(=O)Nc2cc(C(C)=O)cc(F)c2[O-])CC1 ZINC000830324500 706495661 /nfs/dbraw/zinc/49/56/61/706495661.db2.gz SMPVFYLHIAWCKX-UHFFFAOYSA-N -1 1 323.368 1.310 20 0 DDADMM COC/C(C)=C/C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830789728 706579960 /nfs/dbraw/zinc/57/99/60/706579960.db2.gz KZNHXSQGYIGKRK-UVMWJGKXSA-N -1 1 308.300 1.249 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCC(=O)C2)C1 ZINC000830795990 706581367 /nfs/dbraw/zinc/58/13/67/706581367.db2.gz UCLUXPQMJDOWCN-QPUJVOFHSA-N -1 1 306.284 1.025 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CC2CSC2)C1 ZINC000830796011 706581474 /nfs/dbraw/zinc/58/14/74/706581474.db2.gz UPLPERJGHYYDIE-NSHDSACASA-N -1 1 310.341 1.409 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2=CCCCO2)C1 ZINC000830823978 706587397 /nfs/dbraw/zinc/58/73/97/706587397.db2.gz YASDQLBGXKOKKK-GFCCVEGCSA-N -1 1 306.284 1.350 20 0 DDADMM COc1cc(C)cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1C ZINC000866901559 706839504 /nfs/dbraw/zinc/83/95/04/706839504.db2.gz IHVXZYSTKCUSFO-GFCCVEGCSA-N -1 1 315.377 1.845 20 0 DDADMM CCOc1cc(C)ccc1C[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866948577 706853218 /nfs/dbraw/zinc/85/32/18/706853218.db2.gz KIPCFWJFLDYJHP-UHFFFAOYSA-N -1 1 320.436 1.456 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1CCOC2(CCCC2)C1 ZINC000866984364 706864951 /nfs/dbraw/zinc/86/49/51/706864951.db2.gz VOGDBRHUSFKICR-SNVBAGLBSA-N -1 1 310.441 1.040 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H](c1cccnc1)C1CC1 ZINC000867021877 706874661 /nfs/dbraw/zinc/87/46/61/706874661.db2.gz HEOSHNQYWFLRQG-BLVKFPJESA-N -1 1 317.436 1.485 20 0 DDADMM CCC(C)(C)CCS(=O)(=O)[N-][C@@H](CC(F)F)C(=O)OC ZINC000867027061 706875841 /nfs/dbraw/zinc/87/58/41/706875841.db2.gz GRQNKKPTKFDNJS-VIFPVBQESA-N -1 1 315.382 1.929 20 0 DDADMM CC[C@@H](CSC)[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867057766 706885065 /nfs/dbraw/zinc/88/50/65/706885065.db2.gz AOYXOIXTHIJWRQ-VIFPVBQESA-N -1 1 300.471 1.224 20 0 DDADMM C[C@H](CC(F)(F)F)[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867114766 706900819 /nfs/dbraw/zinc/90/08/19/706900819.db2.gz AOCAUBACPNLMFM-SSDOTTSWSA-N -1 1 308.347 1.424 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H]1CCO)c1ccc(Cl)nc1F ZINC000867135245 706907075 /nfs/dbraw/zinc/90/70/75/706907075.db2.gz UMMGAIJOFXMMQY-BDAKNGLRSA-N -1 1 322.789 1.704 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCSC[C@@H]2CC(=O)[O-])C1 ZINC000909011572 712916094 /nfs/dbraw/zinc/91/60/94/712916094.db2.gz SIZPNYBNJRTTFA-ADEWGFFLSA-N -1 1 323.418 1.494 20 0 DDADMM CC[C@@H]1CCC[C@H]1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867331557 706963355 /nfs/dbraw/zinc/96/33/55/706963355.db2.gz NQSKKUBZCJFJKC-NEPJUHHUSA-N -1 1 308.469 1.909 20 0 DDADMM C[C@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)CC(=O)N1 ZINC000909016561 712917108 /nfs/dbraw/zinc/91/71/08/712917108.db2.gz JZMCBVCEBQJGSC-QMMMGPOBSA-N -1 1 303.293 1.040 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc(C(C)=O)c1 ZINC000867467705 707011188 /nfs/dbraw/zinc/01/11/88/707011188.db2.gz OCFRDPMBCDEGTD-IBGZPJMESA-N -1 1 318.420 1.341 20 0 DDADMM O=C([O-])CN(C(=O)c1cc(C(F)F)[nH]n1)C1CCOCC1 ZINC000909024178 712919775 /nfs/dbraw/zinc/91/97/75/712919775.db2.gz OEUPYMYYXYJZBV-UHFFFAOYSA-N -1 1 303.265 1.053 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@H]1c1ccsc1 ZINC000867563003 707040325 /nfs/dbraw/zinc/04/03/25/707040325.db2.gz CWFQJDZDXYAZMZ-GBNMTWHSSA-N -1 1 308.450 1.556 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)n[n-]1 ZINC000880666364 707052202 /nfs/dbraw/zinc/05/22/02/707052202.db2.gz NLSUOLFIMGKUED-FBDQPXRJSA-N -1 1 306.366 1.451 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)[n-]1 ZINC000880666364 707052205 /nfs/dbraw/zinc/05/22/05/707052205.db2.gz NLSUOLFIMGKUED-FBDQPXRJSA-N -1 1 306.366 1.451 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)n1 ZINC000880666364 707052209 /nfs/dbraw/zinc/05/22/09/707052209.db2.gz NLSUOLFIMGKUED-FBDQPXRJSA-N -1 1 306.366 1.451 20 0 DDADMM CC(C)(C)c1cc2n(n1)CCN(C(=O)c1cncc([O-])c1)C2 ZINC000834490947 707069147 /nfs/dbraw/zinc/06/91/47/707069147.db2.gz QJMBEODZEZZHJY-UHFFFAOYSA-N -1 1 300.362 1.937 20 0 DDADMM CC[C@@H](NC(C)=O)C(=O)Nc1nc(Br)ccc1[O-] ZINC000867713611 707082930 /nfs/dbraw/zinc/08/29/30/707082930.db2.gz UEZFUXNGOBPSNF-SSDOTTSWSA-N -1 1 316.155 1.403 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCS[C@@H](C)C2)co1 ZINC000834661918 707099882 /nfs/dbraw/zinc/09/98/82/707099882.db2.gz UXNBVWXERYLMDC-WPRPVWTQSA-N -1 1 318.420 1.202 20 0 DDADMM COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)c1ccc(Cl)cc1[O-] ZINC000871568665 707123674 /nfs/dbraw/zinc/12/36/74/707123674.db2.gz MVQJHLDTNYYKAX-PRHODGIISA-N -1 1 313.737 1.354 20 0 DDADMM CCN(CC)C(=O)CO[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871799047 707208291 /nfs/dbraw/zinc/20/82/91/707208291.db2.gz DFPUCBOGAHOOHG-UHFFFAOYSA-N -1 1 308.378 1.544 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@@H]1CCc2nncn2CC1 ZINC000881459602 707264055 /nfs/dbraw/zinc/26/40/55/707264055.db2.gz DLAUHHLMYRNOIB-LLVKDONJSA-N -1 1 320.780 1.906 20 0 DDADMM CC1(C)[C@@H](CS(=O)(=O)[N-]C[C@H](O)C(F)(F)F)C1(F)F ZINC000881913669 707421695 /nfs/dbraw/zinc/42/16/95/707421695.db2.gz RLORNCZMLWSXJK-RITPCOANSA-N -1 1 311.272 1.120 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-]Cc1cc(CC)no1 ZINC000881930969 707430321 /nfs/dbraw/zinc/43/03/21/707430321.db2.gz IHNFTDCAWSZURY-GFCCVEGCSA-N -1 1 318.395 1.388 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]3CCC[C@@H]3O2)c1Cl ZINC000872558472 707479311 /nfs/dbraw/zinc/47/93/11/707479311.db2.gz NBTMYBVFJNYYRN-GUBZILKMSA-N -1 1 319.814 1.309 20 0 DDADMM CO[C@H]([C@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CC1 ZINC000836571590 707481685 /nfs/dbraw/zinc/48/16/85/707481685.db2.gz BQARYOWITGEMPY-TVQRCGJNSA-N -1 1 303.366 1.277 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cccc2Cl)C[C@H](C)N1CCO ZINC000836870632 707536608 /nfs/dbraw/zinc/53/66/08/707536608.db2.gz ZUBZHYOOUNBWRY-PHIMTYICSA-N -1 1 312.797 1.573 20 0 DDADMM CC1(C)C[C@@](C)([N-]S(=O)(=O)C[C@H]2CCC2(F)F)C(=O)O1 ZINC000882223924 707546750 /nfs/dbraw/zinc/54/67/50/707546750.db2.gz HHWNWDXRIPEFCM-LDYMZIIASA-N -1 1 311.350 1.435 20 0 DDADMM O=S(=O)([N-]CC1(CF)CCOCC1)c1cccnc1F ZINC000882235335 707551918 /nfs/dbraw/zinc/55/19/18/707551918.db2.gz MTDYMDZMNDJJFN-UHFFFAOYSA-N -1 1 306.334 1.265 20 0 DDADMM COCC(=O)Nc1cc(C)cc(C[N-]C(=O)C(F)(F)F)c1 ZINC000836949641 707552936 /nfs/dbraw/zinc/55/29/36/707552936.db2.gz MSCISQXCHGVUGO-UHFFFAOYSA-N -1 1 304.268 1.758 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2ccns2)c1 ZINC000882562238 707699001 /nfs/dbraw/zinc/69/90/01/707699001.db2.gz RFNBJRQSRAGPQW-UHFFFAOYSA-N -1 1 314.388 1.378 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)NC[C@@H]1CCCNC1=O ZINC000873042591 707706222 /nfs/dbraw/zinc/70/62/22/707706222.db2.gz SAFCGHNQNBSWES-JTQLQIEISA-N -1 1 311.769 1.371 20 0 DDADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)CC1CCCC1 ZINC000921378666 713749262 /nfs/dbraw/zinc/74/92/62/713749262.db2.gz FJIXISCJGMVEBF-LLVKDONJSA-N -1 1 309.453 1.391 20 0 DDADMM Cc1cnc(CCCC(=O)OCCC[N-]C(=O)C(F)(F)F)o1 ZINC000838223695 707872090 /nfs/dbraw/zinc/87/20/90/707872090.db2.gz CUMUEAQZLKEPON-UHFFFAOYSA-N -1 1 322.283 1.918 20 0 DDADMM CC[C@@H]1CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCS1 ZINC000909410182 713010961 /nfs/dbraw/zinc/01/09/61/713010961.db2.gz DHGWBDMNYDCAFA-VXGBXAGGSA-N -1 1 300.424 1.137 20 0 DDADMM COc1cnc([C@@H]2CCCN2c2ncnc3[nH]ccc32)[n-]c1=O ZINC000897224804 708261135 /nfs/dbraw/zinc/26/11/35/708261135.db2.gz UUSGJNYCPWPBJR-JTQLQIEISA-N -1 1 312.333 1.804 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCCC(=O)[C@@H]2C)c(=O)[n-]1 ZINC000885030280 708448270 /nfs/dbraw/zinc/44/82/70/708448270.db2.gz GTQCTOULOPMQLE-JTQLQIEISA-N -1 1 323.418 1.725 20 0 DDADMM Cc1nn(C)c(C)c1C[C@H](C)[N-]S(=O)(=O)c1ccns1 ZINC000885032907 708449202 /nfs/dbraw/zinc/44/92/02/708449202.db2.gz HMPJYLITRVDOKF-QMMMGPOBSA-N -1 1 314.436 1.403 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC2(CCOCC2)C1)c1ccns1 ZINC000885065735 708460672 /nfs/dbraw/zinc/46/06/72/708460672.db2.gz RARHYRBLLDFBTB-JTQLQIEISA-N -1 1 318.420 1.150 20 0 DDADMM O=S(=O)([N-]C[C@@H]1COC2(CCCCC2)O1)c1ccns1 ZINC000885086904 708467585 /nfs/dbraw/zinc/46/75/85/708467585.db2.gz HFZIHFKWGJGNQM-SNVBAGLBSA-N -1 1 318.420 1.497 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccns2)[C@]12CCCO2 ZINC000885104039 708473911 /nfs/dbraw/zinc/47/39/11/708473911.db2.gz KWAOPCMDWWJQBU-HOSYDEDBSA-N -1 1 318.420 1.148 20 0 DDADMM O=S(=O)([N-]CCCO[C@@H]1CCCCO1)c1ccns1 ZINC000885158246 708481384 /nfs/dbraw/zinc/48/13/84/708481384.db2.gz ZDGLXZRRPXSPCP-SNVBAGLBSA-N -1 1 306.409 1.355 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)CCC(=O)N[C@@H](C)c1ccccc1 ZINC000897952159 708503654 /nfs/dbraw/zinc/50/36/54/708503654.db2.gz DDYXNFDHWSCUGF-DZGCQCFKSA-N -1 1 322.405 1.709 20 0 DDADMM CCCCOC(=O)C(C)(C)[N-]S(=O)(=O)c1ccns1 ZINC000885279449 708509504 /nfs/dbraw/zinc/50/95/04/708509504.db2.gz FJJHXCKDDRDSTM-UHFFFAOYSA-N -1 1 306.409 1.543 20 0 DDADMM CCN(OC)C(=O)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898090881 708540844 /nfs/dbraw/zinc/54/08/44/708540844.db2.gz UJPYCGJQANMZQH-UHFFFAOYSA-N -1 1 318.329 1.391 20 0 DDADMM CC(C)[C@H](NC(=O)C(=O)c1ccc([O-])cc1)c1cnn(C)c1 ZINC000885423349 708541863 /nfs/dbraw/zinc/54/18/63/708541863.db2.gz YRSLALIMSUMSQX-AWEZNQCLSA-N -1 1 301.346 1.822 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC[C@@H]1OCCc2ccccc21 ZINC000885927081 708664550 /nfs/dbraw/zinc/66/45/50/708664550.db2.gz QXFPWERQUBAAHK-AWEZNQCLSA-N -1 1 315.377 1.343 20 0 DDADMM Cc1noc(CCC(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC000886263075 708731715 /nfs/dbraw/zinc/73/17/15/708731715.db2.gz LFWUZPFTRZSEGI-UHFFFAOYSA-N -1 1 311.288 1.653 20 0 DDADMM Cc1onc(CO)c1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886268285 708733067 /nfs/dbraw/zinc/73/30/67/708733067.db2.gz KPOCPMQHUZNIEH-UHFFFAOYSA-N -1 1 312.272 1.432 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1cc(CN(C)C)ccn1 ZINC000886397491 708753271 /nfs/dbraw/zinc/75/32/71/708753271.db2.gz LAEWMZCQXFVZQO-UHFFFAOYSA-N -1 1 323.422 1.240 20 0 DDADMM CN(CCCCO)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927784232 713055272 /nfs/dbraw/zinc/05/52/72/713055272.db2.gz OSZBPKWVMICXRD-UHFFFAOYSA-N -1 1 302.321 1.627 20 0 DDADMM CN(C)C(=O)N1CCC(C(=O)[N-]Oc2ccc(F)cc2)CC1 ZINC000898572592 708793759 /nfs/dbraw/zinc/79/37/59/708793759.db2.gz AOUIBAICOKYWIA-UHFFFAOYSA-N -1 1 309.341 1.629 20 0 DDADMM Cn1nnc2c1C[C@H](NC(=O)c1cc(Cl)ccc1[O-])CC2 ZINC000886759162 708838890 /nfs/dbraw/zinc/83/88/90/708838890.db2.gz YLIIPOGSAJFSPP-SECBINFHSA-N -1 1 306.753 1.461 20 0 DDADMM O=C(CCCc1ccc(F)c(F)c1)NCc1nc([O-])cc(=O)[nH]1 ZINC000898752932 708855033 /nfs/dbraw/zinc/85/50/33/708855033.db2.gz BXRICXNIDYTSPF-UHFFFAOYSA-N -1 1 323.299 1.805 20 0 DDADMM C[C@H](CC(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccc(F)cc1F ZINC000898756307 708856634 /nfs/dbraw/zinc/85/66/34/708856634.db2.gz HPFNHZJGXMDZSW-MRVPVSSYSA-N -1 1 323.299 1.976 20 0 DDADMM C[C@@]1(CO)C[C@@H](O)CN1C(=O)c1cc2ccccc2cc1[O-] ZINC000912671685 713066091 /nfs/dbraw/zinc/06/60/91/713066091.db2.gz QLQJBLXHMBLYSH-DYVFJYSZSA-N -1 1 301.342 1.503 20 0 DDADMM CCN1CC[C@H]1CNC(=O)[C@@](C)(CC(=O)[O-])c1ccc(F)cc1 ZINC000887316429 709015690 /nfs/dbraw/zinc/01/56/90/709015690.db2.gz PXOZOEDVZMGDQF-YOEHRIQHSA-N -1 1 322.380 1.769 20 0 DDADMM CC(C)OC(=O)C1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000899568597 709114885 /nfs/dbraw/zinc/11/48/85/709114885.db2.gz VSYAQSWEOCDKLO-UHFFFAOYSA-N -1 1 319.357 1.765 20 0 DDADMM COc1cc(Cl)c(F)cc1NC(=O)CCCc1nn[n-]n1 ZINC000888626824 709354193 /nfs/dbraw/zinc/35/41/93/709354193.db2.gz LBULIJYJFSFNMR-UHFFFAOYSA-N -1 1 313.720 1.962 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CC(N2CCCC2=O)C1 ZINC000888763879 709375895 /nfs/dbraw/zinc/37/58/95/709375895.db2.gz RCDTVQMJRXVEOC-UHFFFAOYSA-N -1 1 310.353 1.992 20 0 DDADMM COC(=O)CCCONC(=O)c1cc(=O)c2ccccc2[n-]1 ZINC000888816392 709385092 /nfs/dbraw/zinc/38/50/92/709385092.db2.gz IUWRPUGTQQTETC-UHFFFAOYSA-N -1 1 304.302 1.143 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@H]2CC[C@@]21c1cccnc1 ZINC000888831089 709387508 /nfs/dbraw/zinc/38/75/08/709387508.db2.gz HXFXTDKBLHPVKS-LRDDRELGSA-N -1 1 312.377 1.455 20 0 DDADMM C[C@]1([C@H]2CCCN(C(=O)c3ncccc3[O-])C2)COC(=O)N1 ZINC000928024433 713105734 /nfs/dbraw/zinc/10/57/34/713105734.db2.gz SJSGQUVWCVASLW-ZUZCIYMTSA-N -1 1 305.334 1.138 20 0 DDADMM CSCC[C@H](NC(=O)Cc1ccccc1F)c1nn[n-]n1 ZINC000912859829 713109596 /nfs/dbraw/zinc/10/95/96/713109596.db2.gz FGEZIADYGVKRPH-NSHDSACASA-N -1 1 309.370 1.492 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1CCCC(F)(F)C1)c1nn[n-]n1 ZINC000912862130 713110341 /nfs/dbraw/zinc/11/03/41/713110341.db2.gz JCDAERHBUBPSTB-IUCAKERBSA-N -1 1 319.381 1.936 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C)cc(C)n1)c1nn[n-]n1 ZINC000912862563 713111050 /nfs/dbraw/zinc/11/10/50/713111050.db2.gz QFPYYIGCRTWPDK-JTQLQIEISA-N -1 1 306.395 1.436 20 0 DDADMM CCc1cccnc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912862562 713111185 /nfs/dbraw/zinc/11/11/85/713111185.db2.gz QEFAFGOWIBDFSC-JTQLQIEISA-N -1 1 306.395 1.381 20 0 DDADMM CN(CC(=O)N[C@H](C(=O)[O-])c1cccs1)[C@H]1CCSC1 ZINC000909638454 709552322 /nfs/dbraw/zinc/55/23/22/709552322.db2.gz OCWKFQNSUCGYDU-CABZTGNLSA-N -1 1 314.432 1.427 20 0 DDADMM CN1CCCC[C@H]1C(=O)NC[C@@H](C(=O)[O-])c1ccc(F)cc1 ZINC000909701646 709586446 /nfs/dbraw/zinc/58/64/46/709586446.db2.gz CGEAYNUCSKDDDF-KGLIPLIRSA-N -1 1 308.353 1.594 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](C(=O)[O-])c2ccc(F)cc2)c1C ZINC000909703249 709587922 /nfs/dbraw/zinc/58/79/22/709587922.db2.gz LKIFFKUQLFSOEM-LBPRGKRZSA-N -1 1 305.309 1.764 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N([C@@H](C)C(=O)[O-])C1CC1 ZINC000900462644 709607000 /nfs/dbraw/zinc/60/70/00/709607000.db2.gz NIIYAVLPWMGNJS-FZMZJTMJSA-N -1 1 320.389 1.939 20 0 DDADMM O=C([O-])[C@]1(C(F)(F)F)CCN(C(=O)CCc2cnc[nH]2)C1 ZINC000909766008 709608476 /nfs/dbraw/zinc/60/84/76/709608476.db2.gz XHXUTKQBZKXVKI-NSHDSACASA-N -1 1 305.256 1.208 20 0 DDADMM C[C@@H]1CCN(C(=O)CN(C)[C@@H]2CCSC2)[C@@H](C(=O)[O-])C1 ZINC000909782552 709617803 /nfs/dbraw/zinc/61/78/03/709617803.db2.gz XPHGZSKMGXHMAK-IJLUTSLNSA-N -1 1 300.424 1.135 20 0 DDADMM C[C@@H](NC(=O)CN1CCCC1)c1ccc(OCC(=O)[O-])cc1 ZINC000909790598 709621946 /nfs/dbraw/zinc/62/19/46/709621946.db2.gz RMBFVLVXIVFDJR-GFCCVEGCSA-N -1 1 306.362 1.423 20 0 DDADMM C[C@H]1[C@H](C(=O)[O-])CCN1C(=O)c1cc(-c2ccn(C)c2)[nH]n1 ZINC000909811344 709633387 /nfs/dbraw/zinc/63/33/87/709633387.db2.gz UJXMNPGNYXPOLE-GXSJLCMTSA-N -1 1 302.334 1.350 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H](C)[C@H]2CCCO2)[n-]c1=O ZINC000889786319 709635375 /nfs/dbraw/zinc/63/53/75/709635375.db2.gz LVOSRHZGQXSHST-GRYCIOLGSA-N -1 1 321.377 1.669 20 0 DDADMM COCC(C)(C)CC(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786729 709635512 /nfs/dbraw/zinc/63/55/12/709635512.db2.gz OHJNCFYPSIYCMY-NSHDSACASA-N -1 1 323.393 1.917 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)COC2CCCC2)[n-]c1=O ZINC000889795207 709639662 /nfs/dbraw/zinc/63/96/62/709639662.db2.gz WDRDZISVLGXSIT-GFCCVEGCSA-N -1 1 321.377 1.814 20 0 DDADMM O=C(Nc1ccnn1C1CCOCC1)C(=O)c1ccc([O-])cc1 ZINC000889901877 709671142 /nfs/dbraw/zinc/67/11/42/709671142.db2.gz SXGWQRKGZIKBOF-UHFFFAOYSA-N -1 1 315.329 1.762 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1C[C@@H](C)O[C@@H](C(=O)[O-])C1 ZINC000909897789 709677090 /nfs/dbraw/zinc/67/70/90/709677090.db2.gz YAHLPHWSAMKKMZ-IYSWYEEDSA-N -1 1 321.255 1.051 20 0 DDADMM CCCN1CCC[C@H]1C(=O)N[C@H](C)c1ncc(C(=O)[O-])s1 ZINC000910154890 709794055 /nfs/dbraw/zinc/79/40/55/709794055.db2.gz GEUHQUVASKITEO-ZJUUUORDSA-N -1 1 311.407 1.893 20 0 DDADMM Cc1cnc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c(C)c1 ZINC000910169853 709796210 /nfs/dbraw/zinc/79/62/10/709796210.db2.gz GLXAEWLQWVVIMT-CYBMUJFWSA-N -1 1 305.378 1.319 20 0 DDADMM O=C(NCC1(O)CCOCC1)c1c([O-])cnc2c(F)cccc21 ZINC000900899007 709817265 /nfs/dbraw/zinc/81/72/65/709817265.db2.gz DQCOOZDUVSTFFL-UHFFFAOYSA-N -1 1 320.320 1.351 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC000910220746 709822339 /nfs/dbraw/zinc/82/23/39/709822339.db2.gz IWWOYGIOMNNWTF-INIZCTEOSA-N -1 1 315.329 1.392 20 0 DDADMM COC[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccco1 ZINC000900922179 709829621 /nfs/dbraw/zinc/82/96/21/709829621.db2.gz HEMLJQCDHXTWDU-LLVKDONJSA-N -1 1 303.318 1.770 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC[C@@H]2COc3ccccc32)C1 ZINC000910240556 709834308 /nfs/dbraw/zinc/83/43/08/709834308.db2.gz ARHJWIVCPPYHNH-CHWSQXEVSA-N -1 1 318.373 1.075 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2c[nH]cc3ncnc2-3)[C@@H]2CCC[C@@H]21 ZINC000910246929 709838414 /nfs/dbraw/zinc/83/84/14/709838414.db2.gz PEYXSKBKUHYUBJ-GIPNMCIBSA-N -1 1 314.345 1.673 20 0 DDADMM O=C(NC[C@@H](O)C1CCCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000901066445 709910234 /nfs/dbraw/zinc/91/02/34/709910234.db2.gz HEPILDBITAHVJH-CYBMUJFWSA-N -1 1 305.378 1.731 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1N(C(=O)CCCCc1cn[nH]n1)CC2 ZINC000910398294 709923631 /nfs/dbraw/zinc/92/36/31/709923631.db2.gz MYYQUSPDVXRUBV-DOMZBBRYSA-N -1 1 306.366 1.373 20 0 DDADMM O=C(N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)c1cnc(C2CC2)[n-]c1=O ZINC000901201215 709965603 /nfs/dbraw/zinc/96/56/03/709965603.db2.gz VMJRLOBGQPCJDU-CYZMBNFOSA-N -1 1 315.373 1.747 20 0 DDADMM CC[C@@H](O)[C@H](C)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000901319341 710008641 /nfs/dbraw/zinc/00/86/41/710008641.db2.gz FMSGLZLAGBMPAW-QPUJVOFHSA-N -1 1 318.370 1.543 20 0 DDADMM CN1CCCC[C@H]1C(=O)N(CCc1ccccc1)CC(=O)[O-] ZINC000901426826 710035968 /nfs/dbraw/zinc/03/59/68/710035968.db2.gz SRJMXEIAXGSETO-HNNXBMFYSA-N -1 1 304.390 1.627 20 0 DDADMM CN1CCN(C(=O)Cn2ccc(C(=O)[O-])n2)C2(CCCCC2)C1 ZINC000910687635 710047790 /nfs/dbraw/zinc/04/77/90/710047790.db2.gz OVYDUVXSBFLLQS-UHFFFAOYSA-N -1 1 320.393 1.058 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(Cc1cc3c(cn1)OCCO3)CC2 ZINC000901499105 710057596 /nfs/dbraw/zinc/05/75/96/710057596.db2.gz JRYBLIQMASFHOT-GFCCVEGCSA-N -1 1 304.346 1.540 20 0 DDADMM O=C([O-])c1cn([C@@H]2CCCN(Cc3cccc(O)c3)C2)nn1 ZINC000901527139 710066048 /nfs/dbraw/zinc/06/60/48/710066048.db2.gz WDSDIASBJUXTAJ-GFCCVEGCSA-N -1 1 302.334 1.519 20 0 DDADMM CCN(CC(=O)N(C)[C@H](C(=O)[O-])c1cccc(OC)c1)C1CC1 ZINC000910769542 710069788 /nfs/dbraw/zinc/06/97/88/710069788.db2.gz AKJAULCHQYPOAJ-INIZCTEOSA-N -1 1 320.389 1.764 20 0 DDADMM CC[C@@H](C)C[C@H](NC(=O)C(C)(C)CN1CCOCC1)C(=O)[O-] ZINC000910909697 710115638 /nfs/dbraw/zinc/11/56/38/710115638.db2.gz AMMJPXATYRFNGZ-OLZOCXBDSA-N -1 1 314.426 1.350 20 0 DDADMM CC(C)C[C@H](CNC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C)C(=O)[O-] ZINC000901691391 710119808 /nfs/dbraw/zinc/11/98/08/710119808.db2.gz XOWHPABZOKIPFA-WDMOLILDSA-N -1 1 307.394 1.939 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])C1C[C@@H]2COC[C@H](C1)C2=O ZINC000910982440 710140237 /nfs/dbraw/zinc/14/02/37/710140237.db2.gz OKLVKVOLGPWNKC-CBLAIPOGSA-N -1 1 311.284 1.851 20 0 DDADMM COc1cccc([C@@H](CNC(=O)[C@@H]2CC[C@H]2C(=O)[O-])N(C)C)c1 ZINC000901797668 710149989 /nfs/dbraw/zinc/14/99/89/710149989.db2.gz KLNIIICPZHNYCT-RBSFLKMASA-N -1 1 320.389 1.525 20 0 DDADMM CC[C@](NCc1cnnn1C)(C(=O)[O-])c1ccc(Cl)cc1 ZINC000901872660 710163528 /nfs/dbraw/zinc/16/35/28/710163528.db2.gz LXFFGKWZQJXTFP-CQSZACIVSA-N -1 1 308.769 1.948 20 0 DDADMM CCOC(=O)[C@H](COC)NC(=O)c1cc2ccccc2cc1[O-] ZINC000928298674 713167715 /nfs/dbraw/zinc/16/77/15/713167715.db2.gz KSFZAQSQHQUMSQ-AWEZNQCLSA-N -1 1 317.341 1.853 20 0 DDADMM Cn1nccc1CCC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891618204 710249846 /nfs/dbraw/zinc/24/98/46/710249846.db2.gz NCEFVCILQZEMJV-UHFFFAOYSA-N -1 1 301.350 1.366 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)CC(=O)NC(C)(C)C ZINC000891954690 710337363 /nfs/dbraw/zinc/33/73/63/710337363.db2.gz FXEKLBMAIYLQLD-UHFFFAOYSA-N -1 1 322.409 1.601 20 0 DDADMM COCc1nc(N2CCC3(C[C@H]3C(=O)OC)CC2)cc(=O)[n-]1 ZINC000892531202 710452573 /nfs/dbraw/zinc/45/25/73/710452573.db2.gz LNOHAIRTRXIKFJ-JTQLQIEISA-N -1 1 307.350 1.108 20 0 DDADMM C[C@@H](CS(C)(=O)=O)NCc1ncc(Br)cc1[O-] ZINC000892947022 710530312 /nfs/dbraw/zinc/53/03/12/710530312.db2.gz ALCBFZJWZNXXLE-ZETCQYMHSA-N -1 1 323.212 1.072 20 0 DDADMM Cc1ccc(OCCCC(=O)N(C)c2nn[n-]n2)c(Cl)c1 ZINC000892954642 710531787 /nfs/dbraw/zinc/53/17/87/710531787.db2.gz OLBRYPLXVMPJFX-UHFFFAOYSA-N -1 1 309.757 1.984 20 0 DDADMM O=C([N-]S(=O)(=O)C1(Cl)CC1)c1cc2[nH]cnc2cc1F ZINC000893235228 710576268 /nfs/dbraw/zinc/57/62/68/710576268.db2.gz RSZFKPKJXSKGJW-UHFFFAOYSA-N -1 1 317.729 1.491 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)CC=C(Cl)Cl)N(C)C ZINC000893249464 710579674 /nfs/dbraw/zinc/57/96/74/710579674.db2.gz BKIDXNXYQXXBKU-SECBINFHSA-N -1 1 317.238 1.338 20 0 DDADMM COC1(OC)CC(NC(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000911018155 710596878 /nfs/dbraw/zinc/59/68/78/710596878.db2.gz UGDACRAXARGGAP-UHFFFAOYSA-N -1 1 320.320 1.961 20 0 DDADMM CC(C)(CCN1CCN(C(=O)N2CCCCC2)CC1)C(=O)[O-] ZINC000902087399 710610215 /nfs/dbraw/zinc/61/02/15/710610215.db2.gz CIZNPEARPGOJCY-UHFFFAOYSA-N -1 1 311.426 1.711 20 0 DDADMM CN(C)[C@H](CNC(=O)c1cccc(C(=O)[O-])n1)c1cccs1 ZINC000911103386 710634546 /nfs/dbraw/zinc/63/45/46/710634546.db2.gz ZLNMIEJEMGTNMW-GFCCVEGCSA-N -1 1 319.386 1.874 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N(CCC(=O)[O-])Cc1cccnc1 ZINC000911274207 710721739 /nfs/dbraw/zinc/72/17/39/710721739.db2.gz TWBBNZVWKDFPAT-LBPRGKRZSA-N -1 1 316.361 1.487 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000911574475 710861859 /nfs/dbraw/zinc/86/18/59/710861859.db2.gz UCPNBRLBXAYPNG-AWEZNQCLSA-N -1 1 320.389 1.706 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)OCC1CC1 ZINC000911771684 710976095 /nfs/dbraw/zinc/97/60/95/710976095.db2.gz CCXPSMYZTKOJLH-CYBMUJFWSA-N -1 1 312.410 1.104 20 0 DDADMM Cc1ccc(CCCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)s1 ZINC000913495704 713238478 /nfs/dbraw/zinc/23/84/78/713238478.db2.gz OAFQRQTYDFGHCT-GFCCVEGCSA-N -1 1 321.406 1.492 20 0 DDADMM O=C(CCc1ccc(F)cc1F)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496064 713238524 /nfs/dbraw/zinc/23/85/24/713238524.db2.gz RQSFMZZHKOOQAM-GFCCVEGCSA-N -1 1 323.303 1.011 20 0 DDADMM CCOC(=O)[C@H](F)[C@@H]1CCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000912254108 711248102 /nfs/dbraw/zinc/24/81/02/711248102.db2.gz LHLYIARYRGOICU-ZYHUDNBSSA-N -1 1 310.325 1.459 20 0 DDADMM O=C([O-])[C@H]1c2ccccc2CCN1Cc1[nH]nc2c1COCC2 ZINC000903707164 711249757 /nfs/dbraw/zinc/24/97/57/711249757.db2.gz SARLRLHCCPWWAN-MRXNPFEDSA-N -1 1 313.357 1.666 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)Nc1ccccc1C ZINC000903711525 711250103 /nfs/dbraw/zinc/25/01/03/711250103.db2.gz BIPSXIGWAHLCIB-CYBMUJFWSA-N -1 1 308.378 1.745 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)N(C)Cc1ccccc1 ZINC000903712540 711251115 /nfs/dbraw/zinc/25/11/15/711251115.db2.gz ZNEFQCCKYROOJB-AWEZNQCLSA-N -1 1 322.405 1.457 20 0 DDADMM Cc1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c(C)cc1Cl ZINC000913497906 713239334 /nfs/dbraw/zinc/23/93/34/713239334.db2.gz MJZUJZBIRUJQEN-LBPRGKRZSA-N -1 1 321.768 1.684 20 0 DDADMM CC(C)(C)c1nc(CN2C[C@H]3COCC[C@]3(C(=O)[O-])C2)co1 ZINC000903903637 711324029 /nfs/dbraw/zinc/32/40/29/711324029.db2.gz DNCXPCZDHZOCEF-ZBEGNZNMSA-N -1 1 308.378 1.895 20 0 DDADMM Cc1n[nH]cc1CN[C@@H](CC(=O)[O-])c1ccc2c(c1)OCO2 ZINC000904045498 711369541 /nfs/dbraw/zinc/36/95/41/711369541.db2.gz OXNKSHOXZYDUGZ-LBPRGKRZSA-N -1 1 303.318 1.752 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC(=O)CC12CCC2 ZINC000905160798 711955862 /nfs/dbraw/zinc/95/58/62/711955862.db2.gz PQZTVUBVTFXPLL-UHFFFAOYSA-N -1 1 311.341 1.651 20 0 DDADMM Cc1ccc(O[C@H](C)C(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000913742400 713291917 /nfs/dbraw/zinc/29/19/17/713291917.db2.gz XXZVTULVXFOZKB-GFCCVEGCSA-N -1 1 315.377 1.682 20 0 DDADMM Cc1cc(F)c(C(=O)[O-])cc1S(=O)(=O)N[C@@H](C)CN(C)C ZINC000905208956 711968667 /nfs/dbraw/zinc/96/86/67/711968667.db2.gz WMKCZVXEXYUAKX-VIFPVBQESA-N -1 1 318.370 1.061 20 0 DDADMM Cc1nc(CCCC(=O)N2CCC(c3nn[n-]n3)CC2)cs1 ZINC000913743849 713292595 /nfs/dbraw/zinc/29/25/95/713292595.db2.gz NSWGDSODVUQPDT-UHFFFAOYSA-N -1 1 320.422 1.694 20 0 DDADMM O=C(c1cccc(Cl)c1[O-])N1CCC(c2nn[nH]n2)CC1 ZINC000913744162 713293032 /nfs/dbraw/zinc/29/30/32/713293032.db2.gz CHZABZDMAFMLQL-UHFFFAOYSA-N -1 1 307.741 1.579 20 0 DDADMM CN1CCc2cc(C(=O)N3CCC(c4nn[n-]n4)CC3)ccc21 ZINC000913744284 713293049 /nfs/dbraw/zinc/29/30/49/713293049.db2.gz IESBVXDEEHBBNY-UHFFFAOYSA-N -1 1 312.377 1.212 20 0 DDADMM C[C@@](O)(CC(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccc1 ZINC000913744579 713293210 /nfs/dbraw/zinc/29/32/10/713293210.db2.gz UVNGYOTXEJKENT-MRXNPFEDSA-N -1 1 315.377 1.204 20 0 DDADMM O=C(c1cnc2ccccc2c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745340 713293660 /nfs/dbraw/zinc/29/36/60/713293660.db2.gz ZERJRHBIJZCMPO-UHFFFAOYSA-N -1 1 308.345 1.768 20 0 DDADMM Cc1ccc2nc(C(=O)N3CCC(c4nn[n-]n4)CC3)cn2c1 ZINC000913745828 713294100 /nfs/dbraw/zinc/29/41/00/713294100.db2.gz PMDREAYNOINTJX-UHFFFAOYSA-N -1 1 311.349 1.176 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]4CCCC[C@H]34)nc2n1 ZINC000906058321 712233187 /nfs/dbraw/zinc/23/31/87/712233187.db2.gz OCQKGMIEHHLPNR-ZMLRMANQSA-N -1 1 315.377 1.745 20 0 DDADMM COc1cc([N-]S(=O)(=O)N=S2(=O)CCCC2)ccn1 ZINC000906064764 712235209 /nfs/dbraw/zinc/23/52/09/712235209.db2.gz OSTUOJDIWTUJFH-UHFFFAOYSA-N -1 1 305.381 1.009 20 0 DDADMM O=C([O-])c1cc(N2CCN(CCc3ccccc3)CC2)ncn1 ZINC000906139335 712252402 /nfs/dbraw/zinc/25/24/02/712252402.db2.gz JBGZGZOFTVCVOJ-UHFFFAOYSA-N -1 1 312.373 1.540 20 0 DDADMM CCn1nc(C2CC2)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000907103530 712488159 /nfs/dbraw/zinc/48/81/59/712488159.db2.gz GOSVCVXFDOIHBY-NSHDSACASA-N -1 1 315.381 1.313 20 0 DDADMM O=S(=O)([N-]CC(F)(F)CO)c1cc2ccccc2s1 ZINC000907122729 712493159 /nfs/dbraw/zinc/49/31/59/712493159.db2.gz DZEUWYDAWGVGQO-UHFFFAOYSA-N -1 1 307.343 1.807 20 0 DDADMM Cc1ccc([C@H](C)C(=O)N2CCSC[C@H]2c2nn[n-]n2)o1 ZINC000907477880 712582808 /nfs/dbraw/zinc/58/28/08/712582808.db2.gz VJDUMPFCMCAPJQ-UWVGGRQHSA-N -1 1 307.379 1.521 20 0 DDADMM Cc1nsc(C)c1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479596 712583137 /nfs/dbraw/zinc/58/31/37/712583137.db2.gz GEFLDTPQHMEXEE-MRVPVSSYSA-N -1 1 310.408 1.203 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N2CCSC[C@@H]2c2nn[n-]n2)C[C@@H]1C ZINC000907480255 712583652 /nfs/dbraw/zinc/58/36/52/712583652.db2.gz NXJOXPGREDNYST-NOOOWODRSA-N -1 1 309.439 1.889 20 0 DDADMM CCCc1occc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480583 712583782 /nfs/dbraw/zinc/58/37/82/712583782.db2.gz UPRSTZDERRRBLX-SNVBAGLBSA-N -1 1 307.379 1.676 20 0 DDADMM O=C(C[C@@H]1CCC(F)(F)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480951 712583972 /nfs/dbraw/zinc/58/39/72/712583972.db2.gz YHWQJMJPTFUTPP-DTWKUNHWSA-N -1 1 317.365 1.642 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1c[nH]c2ccccc12 ZINC000907597790 712600554 /nfs/dbraw/zinc/60/05/54/712600554.db2.gz FPWATQPQNWBVMP-GOSISDBHSA-N -1 1 301.393 1.942 20 0 DDADMM CCCN1CCN(C(=O)[C@]2(C(=O)[O-])C[C@@H]2c2ccccc2)CC1 ZINC000907798089 712627983 /nfs/dbraw/zinc/62/79/83/712627983.db2.gz JURSYXZZVDZKRU-QAPCUYQASA-N -1 1 316.401 1.799 20 0 DDADMM CCc1ccc(F)cc1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907943985 712652793 /nfs/dbraw/zinc/65/27/93/712652793.db2.gz OSDQZNHMJHLAOR-UHFFFAOYSA-N -1 1 319.340 1.025 20 0 DDADMM C[S@](=O)C1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCC1 ZINC000907955543 712654751 /nfs/dbraw/zinc/65/47/51/712654751.db2.gz OKGISANRKGXABN-NRFANRHFSA-N -1 1 309.391 1.091 20 0 DDADMM O=C([O-])c1cccc2c1CCN2C(=O)[C@H]1CCCN1C1CC1 ZINC000907985771 712660673 /nfs/dbraw/zinc/66/06/73/712660673.db2.gz WXZKKWRDDBXMME-OAHLLOKOSA-N -1 1 300.358 1.901 20 0 DDADMM COc1cnc([C@H]2CCCN2S(=O)(=O)C2CCC2)[n-]c1=O ZINC000908015295 712666483 /nfs/dbraw/zinc/66/64/83/712666483.db2.gz BRWMLFMIQSSJRO-SNVBAGLBSA-N -1 1 313.379 1.210 20 0 DDADMM O=S(=O)([N-]C1CC(CCO)C1)c1ccc(F)c(F)c1F ZINC000908057073 712673036 /nfs/dbraw/zinc/67/30/36/712673036.db2.gz ZPDJENFBOOYBLD-UHFFFAOYSA-N -1 1 309.309 1.543 20 0 DDADMM O=S(=O)([N-]C[C@]12C[C@H]1COC21CCC1)c1ccns1 ZINC000908122000 712693217 /nfs/dbraw/zinc/69/32/17/712693217.db2.gz KYDJOMRTBXCGDL-GXSJLCMTSA-N -1 1 300.405 1.381 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC12CCCC2)c1ccc(F)nc1F ZINC000908193844 712706938 /nfs/dbraw/zinc/70/69/38/712706938.db2.gz YXKZQVUWVYOVGN-JTQLQIEISA-N -1 1 318.345 1.740 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H]3CC[C@H](CC(=O)[O-])C3)c2C1 ZINC000908379272 712756196 /nfs/dbraw/zinc/75/61/96/712756196.db2.gz RYKRTMAVZIWTLQ-OUAUKWLOSA-N -1 1 305.378 1.908 20 0 DDADMM O=C([O-])c1ccc2c(c1)CCN2C(=O)[C@@H]1CCCN1C1CC1 ZINC000908652562 712829608 /nfs/dbraw/zinc/82/96/08/712829608.db2.gz WECZDGVDILNOIA-HNNXBMFYSA-N -1 1 300.358 1.901 20 0 DDADMM O=C([O-])c1ccc2c(c1)CCN2C(=O)[C@H]1CCCN1C1CC1 ZINC000908652563 712829680 /nfs/dbraw/zinc/82/96/80/712829680.db2.gz WECZDGVDILNOIA-OAHLLOKOSA-N -1 1 300.358 1.901 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CCC(CC(=O)[O-])CC3)n[nH]2)c1 ZINC000908681668 712834406 /nfs/dbraw/zinc/83/44/06/712834406.db2.gz NBDNRWQHPCMGIG-UHFFFAOYSA-N -1 1 316.361 1.742 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000908709805 712839283 /nfs/dbraw/zinc/83/92/83/712839283.db2.gz UJRPAIQWGGCERQ-DZGCQCFKSA-N -1 1 304.390 1.969 20 0 DDADMM CN1CCO[C@H](C(=O)Nc2cccc([O-])c2Br)C1 ZINC000908714004 712840062 /nfs/dbraw/zinc/84/00/62/712840062.db2.gz YQNKGGVZLDMKNS-JTQLQIEISA-N -1 1 315.167 1.424 20 0 DDADMM C[C@@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)Oc1ccccc1 ZINC000908738753 712845033 /nfs/dbraw/zinc/84/50/33/712845033.db2.gz HKFVGTNYMHAQMA-UONOGXRCSA-N -1 1 320.389 1.367 20 0 DDADMM CN(C)[C@H](CNC(=O)NC1(C(=O)[O-])CCC1)c1cccc(F)c1 ZINC000908755383 712848677 /nfs/dbraw/zinc/84/86/77/712848677.db2.gz XYDZVQXLIRQHEN-CYBMUJFWSA-N -1 1 323.368 1.735 20 0 DDADMM COc1cc(CN(CC(=O)[O-])C(=O)[C@@H](C)N(C)C)cc(OC)c1 ZINC000908909297 712884892 /nfs/dbraw/zinc/88/48/92/712884892.db2.gz CJAMUJKHNHSZRY-LLVKDONJSA-N -1 1 324.377 1.067 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]CC2(C(F)(F)F)CC2)s1 ZINC000915581495 713424038 /nfs/dbraw/zinc/42/40/38/713424038.db2.gz SULOVOKXIILKLM-UHFFFAOYSA-N -1 1 316.326 1.773 20 0 DDADMM CC[C@@H](NC(=O)CCCc1nn[n-]n1)c1cc(F)ccc1OC ZINC000928779220 713481879 /nfs/dbraw/zinc/48/18/79/713481879.db2.gz HCXNLEUOTMMPON-GFCCVEGCSA-N -1 1 321.356 1.938 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]CC[C@]2(C)CC2(F)F)s1 ZINC000917395500 713496151 /nfs/dbraw/zinc/49/61/51/713496151.db2.gz OLPPCCSCVJDYEI-SECBINFHSA-N -1 1 312.363 1.865 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)C[C@@H]1CCCCO1 ZINC000918056435 713527372 /nfs/dbraw/zinc/52/73/72/713527372.db2.gz RKKXBXQVRWFGSU-QWHCGFSZSA-N -1 1 321.439 1.407 20 0 DDADMM COCCN(CC(=O)N(C)C)C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000929281660 713582209 /nfs/dbraw/zinc/58/22/09/713582209.db2.gz PDFKSCIXSKGDBE-CYBMUJFWSA-N -1 1 322.405 1.248 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)C1c2ccccc2Oc2ccccc21 ZINC000921246004 713725123 /nfs/dbraw/zinc/72/51/23/713725123.db2.gz RAISUVBRASGUNZ-UHFFFAOYSA-N -1 1 323.308 1.507 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@H]1C1CC1)c1ccc(F)nc1F ZINC000921312411 713729946 /nfs/dbraw/zinc/72/99/46/713729946.db2.gz AXGYOSOVKDIVGV-KCJUWKMLSA-N -1 1 304.318 1.206 20 0 DDADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)CC(CC)CC ZINC000921378578 713749327 /nfs/dbraw/zinc/74/93/27/713749327.db2.gz BRNBQVUWZMSZTQ-LLVKDONJSA-N -1 1 311.469 1.637 20 0 DDADMM O=S(=O)([N-][C@@H](c1ccccn1)C1CC1)c1c[nH]nc1Cl ZINC000921428208 713767745 /nfs/dbraw/zinc/76/77/45/713767745.db2.gz NMKCVMLYWVWYST-LLVKDONJSA-N -1 1 312.782 1.888 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ncc(C)cc1C ZINC000921627997 713825499 /nfs/dbraw/zinc/82/54/99/713825499.db2.gz QYSXGFQNVOUJEM-GOSISDBHSA-N -1 1 305.425 1.151 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C2CC2)[C@H]2CCCOC2)sn1 ZINC000921849051 713884791 /nfs/dbraw/zinc/88/47/91/713884791.db2.gz JEZURPLNRGKDFR-WCQYABFASA-N -1 1 316.448 1.935 20 0 DDADMM O=S(=O)([N-][C@@H]([C@H]1CCOC1)C(F)(F)F)c1cccnc1 ZINC000921863564 713889502 /nfs/dbraw/zinc/88/95/02/713889502.db2.gz XKLGWZGLVHVPOE-WPRPVWTQSA-N -1 1 310.297 1.327 20 0 DDADMM COC[C@@H](C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1)C(C)C ZINC000921900399 713902149 /nfs/dbraw/zinc/90/21/49/713902149.db2.gz KMJWCPWLQPGSQK-SNVBAGLBSA-N -1 1 319.379 1.263 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000921973903 713924909 /nfs/dbraw/zinc/92/49/09/713924909.db2.gz SPRCVBWDINNINA-RQJHMYQMSA-N -1 1 322.745 1.104 20 0 DDADMM CCOC(=O)/C=C\CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000931568435 714130602 /nfs/dbraw/zinc/13/06/02/714130602.db2.gz GMFXUYBGKPOMJH-RXNFCKPNSA-N -1 1 308.300 1.249 20 0 DDADMM COC(=O)/C=C(/C)CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000931569303 714131053 /nfs/dbraw/zinc/13/10/53/714131053.db2.gz VBDYUGBHKXYNHJ-PWWFUAJNSA-N -1 1 308.300 1.249 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C[C@H]1CC=CCC1 ZINC000924252114 714550753 /nfs/dbraw/zinc/55/07/53/714550753.db2.gz UMLLHIFYLKBIQB-JTQLQIEISA-N -1 1 321.295 1.914 20 0 DDADMM CCOC(=O)[C@@]1(F)CN(C(=O)c2ncc(C)cc2[O-])C[C@@H]1CC ZINC000924487658 714593529 /nfs/dbraw/zinc/59/35/29/714593529.db2.gz LSZHLCJFPFRTDH-MEDUHNTESA-N -1 1 324.352 1.849 20 0 DDADMM COC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)c1cc(F)ccc1[O-] ZINC000924869674 714683377 /nfs/dbraw/zinc/68/33/77/714683377.db2.gz OUXKVIJNQVKFRI-JQWIXIFHSA-N -1 1 311.309 1.372 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1ncc2ccccc2c1[O-] ZINC000924911645 714695206 /nfs/dbraw/zinc/69/52/06/714695206.db2.gz AWEWCFARFSNPEC-ZYHUDNBSSA-N -1 1 300.314 1.479 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2cc(C)nc(C)n2)[n-]c1=O ZINC000934270198 714781594 /nfs/dbraw/zinc/78/15/94/714781594.db2.gz OLSALNKJHGVDHS-ZDUSSCGKSA-N -1 1 315.377 1.935 20 0 DDADMM CCc1nnc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)s1 ZINC000934270520 714781886 /nfs/dbraw/zinc/78/18/86/714781886.db2.gz SQFDBPRUQNKCPW-VIFPVBQESA-N -1 1 321.406 1.942 20 0 DDADMM COc1cnc([C@@H]2CCC[N@@H+]2CCCCCNC(C)=O)[nH]c1=O ZINC000934277018 714784715 /nfs/dbraw/zinc/78/47/15/714784715.db2.gz XWWXXQSUYFEHQJ-ZDUSSCGKSA-N -1 1 322.409 1.634 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2ccnc(OC)n2)[n-]c1=O ZINC000934281089 714785810 /nfs/dbraw/zinc/78/58/10/714785810.db2.gz ZXRVPXHGHMJINM-NSHDSACASA-N -1 1 317.349 1.327 20 0 DDADMM COCCN(Cc1ccc(C)c(C)c1)C(=O)CCc1nn[n-]n1 ZINC000925298444 714798532 /nfs/dbraw/zinc/79/85/32/714798532.db2.gz ISTWBCUYESMBGJ-UHFFFAOYSA-N -1 1 317.393 1.424 20 0 DDADMM CC(C)Cc1ncc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000935037349 714961341 /nfs/dbraw/zinc/96/13/41/714961341.db2.gz SJVXWTSMDKZGGS-JTQLQIEISA-N -1 1 320.422 1.875 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1F)c1nn[n-]n1 ZINC000935365515 715037932 /nfs/dbraw/zinc/03/79/32/715037932.db2.gz IRQUHOXEOCOEML-KPXOXKRLSA-N -1 1 321.331 1.844 20 0 DDADMM O=C(C[C@H]1CCCC1(F)F)N1CCSC[C@H]1c1nn[n-]n1 ZINC000935457661 715060323 /nfs/dbraw/zinc/06/03/23/715060323.db2.gz WSRJNELRTMAFGX-BDAKNGLRSA-N -1 1 317.365 1.642 20 0 DDADMM O=C(C[C@@H]1CC[C@H](C2CC2)O1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000935457390 715060475 /nfs/dbraw/zinc/06/04/75/715060475.db2.gz QBQYYZBGCMAKQG-QJPTWQEYSA-N -1 1 323.422 1.164 20 0 DDADMM Cc1cocc1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955022144 715581993 /nfs/dbraw/zinc/58/19/93/715581993.db2.gz MXFIWZZQXLFEOA-UHFFFAOYSA-N -1 1 315.329 1.285 20 0 DDADMM O=C(CC(F)(F)F)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937860458 715616812 /nfs/dbraw/zinc/61/68/12/715616812.db2.gz FPFIWTUWIQFXHS-QMMMGPOBSA-N -1 1 317.267 1.070 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)[C@@H]2CC23CCC3)C1)c1ncccc1[O-] ZINC000937865265 715619820 /nfs/dbraw/zinc/61/98/20/715619820.db2.gz GEIYHMNLRIDVRN-RYUDHWBXSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)c2ccc[nH]2)C1 ZINC000956848901 715635614 /nfs/dbraw/zinc/63/56/14/715635614.db2.gz HINAGKPAHVZUKG-MRXNPFEDSA-N -1 1 314.345 1.150 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)CC2CC2)C1 ZINC000956849328 715636459 /nfs/dbraw/zinc/63/64/59/715636459.db2.gz QIZQDAOZLKSOSL-INIZCTEOSA-N -1 1 303.362 1.308 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CCC1 ZINC000955473479 715804750 /nfs/dbraw/zinc/80/47/50/715804750.db2.gz UWILFGMYQCKHCP-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2cccs2)C1)c1ncccc1[O-] ZINC000940125302 716637323 /nfs/dbraw/zinc/63/73/23/716637323.db2.gz JEIKRHGJPOAKIS-SNVBAGLBSA-N -1 1 317.370 1.493 20 0 DDADMM C[C@H]1CN(C(=O)C2(C)CC2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959494011 717034156 /nfs/dbraw/zinc/03/41/56/717034156.db2.gz JIGCQDZGSWXIST-NWDGAFQWSA-N -1 1 317.389 1.412 20 0 DDADMM CCC(=O)N[C@H]1CC12CCN(C(=O)c1ncccc1[O-])CC2 ZINC000962223945 717361062 /nfs/dbraw/zinc/36/10/62/717361062.db2.gz NQKKCWZNAWSALG-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ccco1 ZINC000966331518 718517846 /nfs/dbraw/zinc/51/78/46/718517846.db2.gz QQRDEBHBEJRFNI-WDEREUQCSA-N -1 1 315.329 1.271 20 0 DDADMM C[C@H]1CN(C(=O)C2(C)CCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966671697 718624280 /nfs/dbraw/zinc/62/42/80/718624280.db2.gz LQSAUVWXAARABS-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1)C1CC1 ZINC000946022116 718644413 /nfs/dbraw/zinc/64/44/13/718644413.db2.gz WTMUHBVXTFMMOL-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000946998580 718976723 /nfs/dbraw/zinc/97/67/23/718976723.db2.gz YYTWUFPIWPAQCD-BXKDBHETSA-N -1 1 318.377 1.046 20 0 DDADMM Cc1noc([C@@H](C)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000968485879 719615734 /nfs/dbraw/zinc/61/57/34/719615734.db2.gz IEZIWEFBFBQXNR-MWLCHTKSSA-N -1 1 317.349 1.044 20 0 DDADMM CC[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886042 719843236 /nfs/dbraw/zinc/84/32/36/719843236.db2.gz BKLKBKYECNJGPK-RWMBFGLXSA-N -1 1 317.389 1.649 20 0 DDADMM O=C(CC1CCCC1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949531994 720143965 /nfs/dbraw/zinc/14/39/65/720143965.db2.gz WLNPVIYTRLTRAY-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(CCC1CCC1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949535144 720145477 /nfs/dbraw/zinc/14/54/77/720145477.db2.gz OKBPMZABSDTYTO-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949539195 720147543 /nfs/dbraw/zinc/14/75/43/720147543.db2.gz ZPXDEYMMNAXJKN-VXGBXAGGSA-N -1 1 305.378 1.410 20 0 DDADMM Cc1cc[nH]c1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000949665090 720250778 /nfs/dbraw/zinc/25/07/78/720250778.db2.gz NUASZRPOCZREFY-LLVKDONJSA-N -1 1 314.345 1.068 20 0 DDADMM O=C(C=C1CCC1)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950392349 720613050 /nfs/dbraw/zinc/61/30/50/720613050.db2.gz RHPWYKGMIXXCQK-ZDUSSCGKSA-N -1 1 315.373 1.618 20 0 DDADMM Cc1conc1CN(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971398040 721277618 /nfs/dbraw/zinc/27/76/18/721277618.db2.gz TZHTWWCEYURZPX-LBPRGKRZSA-N -1 1 316.361 1.430 20 0 DDADMM Cc1n[nH]c(C(=O)NCCNCc2ccc(C)cc2Cl)c1[O-] ZINC001125734409 735447642 /nfs/dbraw/zinc/44/76/42/735447642.db2.gz ONVBWUXKRPYUQM-UHFFFAOYSA-N -1 1 322.796 1.905 20 0 DDADMM Cc1nonc1[N-]C(=O)c1nc(F)ccc1Br ZINC001125764126 735539897 /nfs/dbraw/zinc/53/98/97/735539897.db2.gz JXBIGGZHUDOXRG-UHFFFAOYSA-N -1 1 301.075 1.927 20 0 DDADMM Cc1[nH]nc(C(=O)[N-]NC(=O)c2ccccc2Cl)c1[N+](=O)[O-] ZINC000064334956 732380378 /nfs/dbraw/zinc/38/03/78/732380378.db2.gz ZSJRBBICFLYVFP-UHFFFAOYSA-N -1 1 323.696 1.355 20 0 DDADMM Cc1nocc1CN1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038182688 732890142 /nfs/dbraw/zinc/89/01/42/732890142.db2.gz BLJXWHKCBFRFKM-GFCCVEGCSA-N -1 1 302.334 1.088 20 0 DDADMM Cc1ncc(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])s1 ZINC001038185907 732977266 /nfs/dbraw/zinc/97/72/66/732977266.db2.gz ZHLZTVAWDVADGZ-NSHDSACASA-N -1 1 318.402 1.556 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2CCOC2(C)C)c1Cl ZINC000693129832 733098467 /nfs/dbraw/zinc/09/84/67/733098467.db2.gz QDMAGKXGPLZIRU-MRVPVSSYSA-N -1 1 307.803 1.167 20 0 DDADMM Cc1oncc1CN1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038193738 733198861 /nfs/dbraw/zinc/19/88/61/733198861.db2.gz KWBCOULTHJZSMM-GFCCVEGCSA-N -1 1 302.334 1.088 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCCN2Cc2cccnc2)c1[O-] ZINC001027829537 738705657 /nfs/dbraw/zinc/70/56/57/738705657.db2.gz CXJYGSJGDCCJHM-CYBMUJFWSA-N -1 1 315.377 1.213 20 0 DDADMM CC(C)C(=O)N1C[C@H](CNC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001010023460 738709657 /nfs/dbraw/zinc/70/96/57/738709657.db2.gz JXCBKQIAQVORMX-RYUDHWBXSA-N -1 1 305.378 1.410 20 0 DDADMM Cc1cc(CN2CCC[C@@H]2CNC(=O)c2ncccc2[O-])on1 ZINC001027844787 738729500 /nfs/dbraw/zinc/72/95/00/738729500.db2.gz XXZNFDUOIKDWCZ-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM O=C([C@@H]1CC=CCC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024865202 736136689 /nfs/dbraw/zinc/13/66/89/736136689.db2.gz MWKPEVKOIWUWOM-CHWSQXEVSA-N -1 1 319.409 1.337 20 0 DDADMM O=C(N[C@@H]1C[C@H](NC(=O)C2CC2)C12CCC2)c1ncccc1[O-] ZINC001025852363 736897307 /nfs/dbraw/zinc/89/73/07/736897307.db2.gz SCEUKKMAZNQRIY-QWHCGFSZSA-N -1 1 315.373 1.354 20 0 DDADMM CCC[C@@H]1CCC[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000974284405 737485191 /nfs/dbraw/zinc/48/51/91/737485191.db2.gz VIRHOWYJPIJIJS-FVCCEPFGSA-N -1 1 319.409 1.398 20 0 DDADMM Cc1nnc([C@H](C)NCCNC(=O)c2ncccc2[O-])s1 ZINC001126125329 738345756 /nfs/dbraw/zinc/34/57/56/738345756.db2.gz SEXHROSJWOANCA-QMMMGPOBSA-N -1 1 307.379 1.028 20 0 DDADMM C[C@@H]1C[C@@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)CCO1 ZINC000692911043 739077274 /nfs/dbraw/zinc/07/72/74/739077274.db2.gz SPYKDBQTXCCASU-BDAKNGLRSA-N -1 1 322.789 1.968 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CC[C@@H](C1)N2C/C=C\Cl ZINC001029281706 740518427 /nfs/dbraw/zinc/51/84/27/740518427.db2.gz TWQPHNQBSSQYHN-ZLWJDNKISA-N -1 1 307.781 1.829 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001029990315 741672208 /nfs/dbraw/zinc/67/22/08/741672208.db2.gz JMBRYZDDEGDIGO-WCQYABFASA-N -1 1 321.425 1.227 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC12CC2 ZINC001076247384 742619429 /nfs/dbraw/zinc/61/94/29/742619429.db2.gz LJYMWHMJEINJGL-QWRGUYRKSA-N -1 1 303.362 1.212 20 0 DDADMM CC(=O)N1CCC(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)CC1 ZINC001180654159 742878395 /nfs/dbraw/zinc/87/83/95/742878395.db2.gz UJCXPOIPYHABCI-UHFFFAOYSA-N -1 1 319.287 1.411 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2NC(=O)c3ccccc32)n1 ZINC001182418537 743620503 /nfs/dbraw/zinc/62/05/03/743620503.db2.gz SIOJXTIJQYPDRC-NSHDSACASA-N -1 1 314.301 1.010 20 0 DDADMM Cc1cccc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000998441807 751850470 /nfs/dbraw/zinc/85/04/70/751850470.db2.gz SWAUQEOFDKSZMB-CYBMUJFWSA-N -1 1 315.377 1.213 20 0 DDADMM O=C(NC1CN(CCCc2ccsc2)C1)c1ncccc1[O-] ZINC001030241797 743977309 /nfs/dbraw/zinc/97/73/09/743977309.db2.gz MIQUKVNXYUNTFW-UHFFFAOYSA-N -1 1 317.414 1.896 20 0 DDADMM COC(=O)c1c[n-]c(C(=O)OC)c1NC(=O)C(=O)CC(C)C ZINC001184325883 744021932 /nfs/dbraw/zinc/02/19/32/744021932.db2.gz YSLATODOBPQQLW-UHFFFAOYSA-N -1 1 310.306 1.142 20 0 DDADMM CC(=O)c1c(C)c(C)[nH]c1C(=O)NCCCC[P@](=O)([O-])O ZINC001184762561 744097148 /nfs/dbraw/zinc/09/71/48/744097148.db2.gz WQLXNUZQZWWRMI-UHFFFAOYSA-N -1 1 316.294 1.522 20 0 DDADMM Cc1cccc2ncc([N-]S(=O)(=O)c3cnc(Cl)nc3)n21 ZINC001185008627 744136893 /nfs/dbraw/zinc/13/68/93/744136893.db2.gz RJCGQCPXDVTGCJ-UHFFFAOYSA-N -1 1 323.765 1.887 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)Nc1cncc(CO)c1 ZINC001185878721 744307812 /nfs/dbraw/zinc/30/78/12/744307812.db2.gz BYGCJOQGDSRLCK-UHFFFAOYSA-N -1 1 322.342 1.381 20 0 DDADMM COc1ncnc(OC)c1CNC(=O)c1ccc([O-])c(F)c1 ZINC001186236214 744361737 /nfs/dbraw/zinc/36/17/37/744361737.db2.gz BTRKMCMPQQOULM-UHFFFAOYSA-N -1 1 307.281 1.269 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C23CC(C(=O)OCC)(C2)C3)n1 ZINC001186550859 744419692 /nfs/dbraw/zinc/41/96/92/744419692.db2.gz BVRCMFOOCWWNQH-UHFFFAOYSA-N -1 1 321.333 1.258 20 0 DDADMM O=C(Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12)[C@H]1CCC=N1 ZINC001187365270 744549356 /nfs/dbraw/zinc/54/93/56/744549356.db2.gz LAFYITPAPHCEDM-SNVBAGLBSA-N -1 1 324.300 1.145 20 0 DDADMM COC(=O)Cc1ccc(C[N-]S(=O)(=O)c2cnoc2C)cc1 ZINC001187396049 744555873 /nfs/dbraw/zinc/55/58/73/744555873.db2.gz XOCQOPNKIQPVJZ-UHFFFAOYSA-N -1 1 324.358 1.177 20 0 DDADMM O=C(NCc1cccc2n[nH]cc21)c1n[n-]nc1C(F)(F)F ZINC001187770253 744599135 /nfs/dbraw/zinc/59/91/35/744599135.db2.gz JMXCMZTZAPXBTF-UHFFFAOYSA-N -1 1 310.239 1.630 20 0 DDADMM O=C(Nc1n[nH]c2c1CCCC2)c1n[n-]nc1C(F)(F)F ZINC001187759060 744605769 /nfs/dbraw/zinc/60/57/69/744605769.db2.gz QGDXJLRXXKRQQI-UHFFFAOYSA-N -1 1 300.244 1.678 20 0 DDADMM Cc1ccc2c(n[nH]c2NC(=O)c2n[n-]nc2C(F)(F)F)n1 ZINC001187759023 744606208 /nfs/dbraw/zinc/60/62/08/744606208.db2.gz PBUVBYISFYMWIF-UHFFFAOYSA-N -1 1 311.227 1.656 20 0 DDADMM C[S@@](=O)c1ccccc1C(=O)NCCCC[P@](=O)([O-])O ZINC001187865399 744622499 /nfs/dbraw/zinc/62/24/99/744622499.db2.gz IKEVFAIGGOFLHH-HXUWFJFHSA-N -1 1 319.319 1.112 20 0 DDADMM COc1ccc(CC[N-]S(=O)(=O)c2nccs2)c(F)c1 ZINC001187917940 744626032 /nfs/dbraw/zinc/62/60/32/744626032.db2.gz NHXZFONYTPDUOP-UHFFFAOYSA-N -1 1 316.379 1.812 20 0 DDADMM COC(=O)CCCCCC[N-]S(=O)(=O)c1nccs1 ZINC001187902759 744627409 /nfs/dbraw/zinc/62/74/09/744627409.db2.gz VGMPEMLBGSOJNT-UHFFFAOYSA-N -1 1 306.409 1.545 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1cccc(F)c1)c1nccs1 ZINC001187913530 744629104 /nfs/dbraw/zinc/62/91/04/744629104.db2.gz ZBFYKIATBLIEQA-SNVBAGLBSA-N -1 1 302.352 1.294 20 0 DDADMM O=c1[nH]c2cc(C[N-]S(=O)(=O)c3nccs3)ccc2o1 ZINC001187923134 744635755 /nfs/dbraw/zinc/63/57/55/744635755.db2.gz GLSPDRADGHUCJJ-UHFFFAOYSA-N -1 1 311.344 1.468 20 0 DDADMM CCC1([N-]S(=O)(=O)c2ccc(Cl)nc2Cl)COC1 ZINC001187981373 744642559 /nfs/dbraw/zinc/64/25/59/744642559.db2.gz YUZMQRIDMULVRB-UHFFFAOYSA-N -1 1 311.190 1.846 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C1CCC1)[C@@H](C)OC(C)(C)C ZINC001188154651 744665549 /nfs/dbraw/zinc/66/55/49/744665549.db2.gz GNDBGOVVDRTDFW-KOLCDFICSA-N -1 1 307.412 1.204 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2c[nH]c(C)c2)c1 ZINC001188198065 744671733 /nfs/dbraw/zinc/67/17/33/744671733.db2.gz PCTYVGRLXBRNSR-UHFFFAOYSA-N -1 1 309.347 1.189 20 0 DDADMM O=C(NCC[C@@H]1COc2ccccc2O1)c1ccncc1[O-] ZINC001188644586 744735168 /nfs/dbraw/zinc/73/51/68/744735168.db2.gz JKKRLKZIMPORQL-LLVKDONJSA-N -1 1 300.314 1.747 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC1CC1)c1ccccc1OC ZINC001189001216 744806984 /nfs/dbraw/zinc/80/69/84/744806984.db2.gz MGEQIDKQNFXAIK-CYBMUJFWSA-N -1 1 313.375 1.239 20 0 DDADMM COC(C)(C[N-]S(=O)(=O)Cc1cccc(F)c1F)OC ZINC001189481662 744911636 /nfs/dbraw/zinc/91/16/36/744911636.db2.gz ICDHCAWKBJOMJM-UHFFFAOYSA-N -1 1 309.334 1.393 20 0 DDADMM O=c1cc[nH]cc1[N-]S(=O)(=O)Cc1ccc(F)cc1F ZINC001189789979 744982885 /nfs/dbraw/zinc/98/28/85/744982885.db2.gz ATNKPYIDNPONNM-UHFFFAOYSA-N -1 1 300.286 1.595 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)C1C=CC=CC=C1 ZINC000992865383 745251250 /nfs/dbraw/zinc/25/12/50/745251250.db2.gz ROZXDNWOTQKTCU-UHFFFAOYSA-N -1 1 311.341 1.026 20 0 DDADMM COC(=O)c1c(C)ccc(NS(=O)(=O)c2ncc[n-]2)c1F ZINC001190736237 745313354 /nfs/dbraw/zinc/31/33/54/745313354.db2.gz BPRHLLLBMAGHHC-UHFFFAOYSA-N -1 1 313.310 1.445 20 0 DDADMM O=S(=O)([N-]c1ccc(-n2ccnn2)cc1)c1ccncc1 ZINC001190887844 745367017 /nfs/dbraw/zinc/36/70/17/745367017.db2.gz DHJLTAJJOGHSHW-UHFFFAOYSA-N -1 1 301.331 1.463 20 0 DDADMM O=S(=O)([N-]c1ccc(-n2nccn2)cc1)c1ccncc1 ZINC001190897668 745371452 /nfs/dbraw/zinc/37/14/52/745371452.db2.gz JZSAWFHOXNPTMX-UHFFFAOYSA-N -1 1 301.331 1.463 20 0 DDADMM CN(C)C(=O)Oc1cccc([N-]S(=O)(=O)c2ccncc2)c1 ZINC001190904332 745380778 /nfs/dbraw/zinc/38/07/78/745380778.db2.gz YQADAZUQCRZNHL-UHFFFAOYSA-N -1 1 321.358 1.943 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ccccc2O)CCC[N@@H+]1CC(=O)N(C)C ZINC000993057745 745430981 /nfs/dbraw/zinc/43/09/81/745430981.db2.gz ZZQVMEQZEILPQA-GXTWGEPZSA-N -1 1 319.405 1.063 20 0 DDADMM COc1cc(C(=O)N2C[C@@H](F)C[C@H](CO)C2)cc(Cl)c1[O-] ZINC001191138837 745443025 /nfs/dbraw/zinc/44/30/25/745443025.db2.gz KBVKPBHOGBVYLF-WPRPVWTQSA-N -1 1 317.744 1.847 20 0 DDADMM Cn1[nH]cnc1=NC(=O)c1ccccc1C(=O)c1ccc([O-])cc1 ZINC001191484398 745519757 /nfs/dbraw/zinc/51/97/57/745519757.db2.gz LNYQCDJIQITXTL-UHFFFAOYSA-N -1 1 322.324 1.426 20 0 DDADMM Cc1cc(CNC(=O)c2nc(Br)ccc2[O-])n[nH]1 ZINC001191747998 745600848 /nfs/dbraw/zinc/60/08/48/745600848.db2.gz GLVLPFJDWZOJQM-UHFFFAOYSA-N -1 1 311.139 1.511 20 0 DDADMM O=S(=O)(Cc1ccc(Cl)cc1F)[N-][C@H]1COC[C@@H]1F ZINC001191931118 745647889 /nfs/dbraw/zinc/64/78/89/745647889.db2.gz BTIYDNTULHAQRO-QWRGUYRKSA-N -1 1 311.737 1.635 20 0 DDADMM Cc1[nH][nH]c2ncnc(=NC(=O)c3c(F)ccc([O-])c3F)c1-2 ZINC001192525672 745821087 /nfs/dbraw/zinc/82/10/87/745821087.db2.gz YSQHQNSYUPDZID-UHFFFAOYSA-N -1 1 305.244 1.271 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@@](F)(CO)CC1 ZINC001192527445 745821250 /nfs/dbraw/zinc/82/12/50/745821250.db2.gz XZZJRGOEYUQKEX-AWEZNQCLSA-N -1 1 303.280 1.997 20 0 DDADMM Cc1nn(CC(C)C)c(C)c1C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001193865065 746221084 /nfs/dbraw/zinc/22/10/84/746221084.db2.gz YMUAHXUURWBGDJ-UHFFFAOYSA-N -1 1 320.353 1.192 20 0 DDADMM CNC(=O)Cc1ccccc1[N-]S(=O)(=O)c1ccccc1N ZINC001193929251 746224549 /nfs/dbraw/zinc/22/45/49/746224549.db2.gz LZIFRMILDVENII-UHFFFAOYSA-N -1 1 319.386 1.358 20 0 DDADMM CCOc1c(C(=O)Nc2cc(=O)[n-]c(SC)n2)cnn1C ZINC001194363709 746353993 /nfs/dbraw/zinc/35/39/93/746353993.db2.gz LOKNDBMVMGNREX-UHFFFAOYSA-N -1 1 309.351 1.289 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1cnncc1Cl ZINC001194678902 746435772 /nfs/dbraw/zinc/43/57/72/746435772.db2.gz IGURMPUUAPKERZ-UHFFFAOYSA-N -1 1 300.727 1.334 20 0 DDADMM COC(=O)c1ccncc1[N-]S(=O)(=O)CC[Si](C)(C)C ZINC001195040668 746514993 /nfs/dbraw/zinc/51/49/93/746514993.db2.gz IYPCUIHXIHODTK-UHFFFAOYSA-N -1 1 316.455 1.948 20 0 DDADMM COC(=O)c1ncccc1[N-]S(=O)(=O)CC[Si](C)(C)C ZINC001195040630 746515210 /nfs/dbraw/zinc/51/52/10/746515210.db2.gz IHSWDGNBHJFTJR-UHFFFAOYSA-N -1 1 316.455 1.948 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nc(C)ccc2[O-])cn1C ZINC001195321571 746564534 /nfs/dbraw/zinc/56/45/34/746564534.db2.gz FXFVWNNCEWRAMA-UHFFFAOYSA-N -1 1 304.306 1.258 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2ccc(N3CCOCC3)nc2)n1 ZINC001195317446 746575275 /nfs/dbraw/zinc/57/52/75/746575275.db2.gz ADJRSRYFFPNLIX-UHFFFAOYSA-N -1 1 314.345 1.580 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1cc(Cl)ncc1Cl ZINC001195939307 746730935 /nfs/dbraw/zinc/73/09/35/746730935.db2.gz QXPPZTYNKKFJMR-UHFFFAOYSA-N -1 1 313.162 1.693 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CC(Oc2ccccc2)C1 ZINC001196012709 746755983 /nfs/dbraw/zinc/75/59/83/746755983.db2.gz AACQGQMIDRLZKS-UHFFFAOYSA-N -1 1 303.343 1.374 20 0 DDADMM O=C(Nc1cc(-c2ccccn2)no1)c1c[nH]c(=S)[n-]c1=O ZINC001196013206 746756539 /nfs/dbraw/zinc/75/65/39/746756539.db2.gz AEBNQQMCQUBKJQ-UHFFFAOYSA-N -1 1 315.314 1.773 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc(C4(C)COC4)cc3)c1-2 ZINC001196205801 746797628 /nfs/dbraw/zinc/79/76/28/746797628.db2.gz HOVXBURARCSDIC-UHFFFAOYSA-N -1 1 323.356 1.575 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(-c4ccncc4)on3)c1-2 ZINC001196209451 746798491 /nfs/dbraw/zinc/79/84/91/746798491.db2.gz FDQVOIZKEVBZHZ-UHFFFAOYSA-N -1 1 321.300 1.337 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1ccnc(CO)c1 ZINC001196229097 746804477 /nfs/dbraw/zinc/80/44/77/746804477.db2.gz LBADMLJOWLOFFJ-UHFFFAOYSA-N -1 1 324.134 1.689 20 0 DDADMM Cc1cccc(NC(=S)Nc2c(O)[nH]c(=O)[n-]c2=S)c1C ZINC001196440565 746860599 /nfs/dbraw/zinc/86/05/99/746860599.db2.gz BEDSFGFBFPSXHP-SECBINFHSA-N -1 1 322.415 1.125 20 0 DDADMM COc1ncncc1C(=O)[N-]c1nnc(Br)s1 ZINC001196529054 746888401 /nfs/dbraw/zinc/88/84/01/746888401.db2.gz UBDLYNQINKEOIR-UHFFFAOYSA-N -1 1 316.140 1.352 20 0 DDADMM COc1ccc(F)c(S(=O)(=O)[N-]CC2(F)CCOCC2)c1 ZINC001196785716 746960692 /nfs/dbraw/zinc/96/06/92/746960692.db2.gz YATNPVUKPMTVDT-UHFFFAOYSA-N -1 1 321.345 1.631 20 0 DDADMM COc1ccc(F)c(S(=O)(=O)[N-][C@H]2CCOC[C@H]2F)c1 ZINC001196789371 746962434 /nfs/dbraw/zinc/96/24/34/746962434.db2.gz TYUFFPOKKDEMEL-MNOVXSKESA-N -1 1 307.318 1.240 20 0 DDADMM CCOc1cc(OC)cc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)c1 ZINC001197308802 747126361 /nfs/dbraw/zinc/12/63/61/747126361.db2.gz YQMJEEIFPHHJRT-UHFFFAOYSA-N -1 1 320.305 1.130 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001089546981 747189662 /nfs/dbraw/zinc/18/96/62/747189662.db2.gz XVCPCRWTOJONDI-LLVKDONJSA-N -1 1 323.441 1.663 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc(C4CCC4)n3)c1-2 ZINC001197866653 747304878 /nfs/dbraw/zinc/30/48/78/747304878.db2.gz YBIGFIJQDKRSJY-UHFFFAOYSA-N -1 1 308.345 1.950 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(N(C)C)cn2)n1 ZINC001198124500 747390606 /nfs/dbraw/zinc/39/06/06/747390606.db2.gz YQMZOZHRAIICLL-UHFFFAOYSA-N -1 1 303.322 1.300 20 0 DDADMM O=C(Nc1cc(Cl)nc2ccnn21)c1ccc([O-])c(=O)[nH]1 ZINC001199198055 747737362 /nfs/dbraw/zinc/73/73/62/747737362.db2.gz ZWQFJOAEDMQGET-UHFFFAOYSA-N -1 1 305.681 1.441 20 0 DDADMM O=C([N-]S(=O)(=O)c1cccs1)[C@@H]1CCCN1CC1CC1 ZINC001201951698 748652011 /nfs/dbraw/zinc/65/20/11/748652011.db2.gz WLGDHBPPVYARRI-NSHDSACASA-N -1 1 314.432 1.427 20 0 DDADMM Cc1ccoc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995453469 748769779 /nfs/dbraw/zinc/76/97/79/748769779.db2.gz FDWHEHWIMVKHBS-JTQLQIEISA-N -1 1 319.365 1.052 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)C=C1CCC1 ZINC001004827075 748829239 /nfs/dbraw/zinc/82/92/39/748829239.db2.gz NOFSFHTVMCYLOV-DGCLKSJQSA-N -1 1 319.409 1.480 20 0 DDADMM CC1(C)CN(C(=O)C2(C)CC=CC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996235492 749457699 /nfs/dbraw/zinc/45/76/99/749457699.db2.gz NJHGJZSZLVEALE-NSHDSACASA-N -1 1 319.409 1.193 20 0 DDADMM O=C(NC[C@@H]1CCCCCN1C(=O)C1CC1)c1ncccc1[O-] ZINC001005405366 749982834 /nfs/dbraw/zinc/98/28/34/749982834.db2.gz JQXZAGLSNCXPCT-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H](CNc1cc(Cl)c(=O)[nH]n1)NC(=O)c1ncccc1[O-] ZINC001107692117 750373724 /nfs/dbraw/zinc/37/37/24/750373724.db2.gz VZWXLXCBHQVFSK-SSDOTTSWSA-N -1 1 323.740 1.167 20 0 DDADMM C[C@@H]1C[C@H]([NH2+]CCP(=O)([O-])[O-])CN1C(=O)OC(C)(C)C ZINC001168810827 762044816 /nfs/dbraw/zinc/04/48/16/762044816.db2.gz JDXOCYNXOLEMFZ-ZJUUUORDSA-N -1 1 308.315 1.152 20 0 DDADMM C[C@@H](NC(=O)c1ccc[nH]1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005711076 750872396 /nfs/dbraw/zinc/87/23/96/750872396.db2.gz OXZLWSXUKAHTHP-SNVBAGLBSA-N -1 1 314.345 1.006 20 0 DDADMM O=C(N[C@@H]1CCCN(Cc2nccs2)C1)c1ncccc1[O-] ZINC001007192309 750954847 /nfs/dbraw/zinc/95/48/47/750954847.db2.gz WJPXLTJQPWXLCM-LLVKDONJSA-N -1 1 318.402 1.638 20 0 DDADMM O=C(CC1CCCCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034851453 750967674 /nfs/dbraw/zinc/96/76/74/750967674.db2.gz NXZMJVOBLWKCGP-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001035005539 751116238 /nfs/dbraw/zinc/11/62/38/751116238.db2.gz ZXHVXWFOIGUGRX-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM CC[C@H](C)C(=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035159749 751244057 /nfs/dbraw/zinc/24/40/57/751244057.db2.gz LBIAMBWDJFZCQO-LBPRGKRZSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@H]1CCCN(C(=O)CC(C)(C)C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036514480 752501455 /nfs/dbraw/zinc/50/14/55/752501455.db2.gz VFMUAIHHFBFVNA-NWDGAFQWSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@H]1CCCN(C(=O)CCC2CC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036524775 752519633 /nfs/dbraw/zinc/51/96/33/752519633.db2.gz ROLNSXUCXLCVOU-AAEUAGOBSA-N -1 1 321.425 1.417 20 0 DDADMM CC[C@@H](F)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005955505 753416835 /nfs/dbraw/zinc/41/68/35/753416835.db2.gz GRNJXOMHQKXFGK-GXSJLCMTSA-N -1 1 309.341 1.112 20 0 DDADMM O=C(C[C@@H]1C=CCC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010226719 753696214 /nfs/dbraw/zinc/69/62/14/753696214.db2.gz RQDDJBLEHBVQOY-CYBMUJFWSA-N -1 1 315.373 1.428 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839361 753759833 /nfs/dbraw/zinc/75/98/33/753759833.db2.gz NWFQGUNKBKQJNK-VXGBXAGGSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(N[C@H]1CCN(CC=C(Cl)Cl)C1)c1ncccc1[O-] ZINC001010730720 754127812 /nfs/dbraw/zinc/12/78/12/754127812.db2.gz HDWFXSDHAMCBFH-VIFPVBQESA-N -1 1 316.188 1.910 20 0 DDADMM O=C(N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)C1CCCC1 ZINC001078528529 754160160 /nfs/dbraw/zinc/16/01/60/754160160.db2.gz DZHWUUTYAKYHNX-NWDGAFQWSA-N -1 1 319.409 1.218 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078789115 754402926 /nfs/dbraw/zinc/40/29/26/754402926.db2.gz QWUZBACEAYBUIF-VHSXEESVSA-N -1 1 307.398 1.073 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1=CCCC1 ZINC001012485876 755104725 /nfs/dbraw/zinc/10/47/25/755104725.db2.gz YQDPMRWHIPWGTL-DGCLKSJQSA-N -1 1 315.373 1.617 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C2(C)CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012503822 755111766 /nfs/dbraw/zinc/11/17/66/755111766.db2.gz GXXBDNLDKDGWST-NEPJUHHUSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2cc[nH]c2)CN1C(=O)c1ncccc1[O-] ZINC001012508121 755113956 /nfs/dbraw/zinc/11/39/56/755113956.db2.gz YJXHGVBNUOKSSM-JQWIXIFHSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1Nc1nccnc1F ZINC001067077095 755711355 /nfs/dbraw/zinc/71/13/55/755711355.db2.gz XTBQHKKGZDBGJF-UWVGGRQHSA-N -1 1 317.324 1.289 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015568081 756379807 /nfs/dbraw/zinc/37/98/07/756379807.db2.gz QJKLDQPGIHFQSZ-NEPJUHHUSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1nc(Cl)cc(N[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097765237 757512137 /nfs/dbraw/zinc/51/21/37/757512137.db2.gz POABUXVPAKAKDJ-QMMMGPOBSA-N -1 1 321.768 1.769 20 0 DDADMM CC[C@H](C)C(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400180 757900047 /nfs/dbraw/zinc/90/00/47/757900047.db2.gz JXQLMTJKRAICAK-LBPRGKRZSA-N -1 1 317.389 1.722 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CC1 ZINC001018098209 758546051 /nfs/dbraw/zinc/54/60/51/758546051.db2.gz BZKMYOFVSJIENS-ZYHUDNBSSA-N -1 1 303.362 1.307 20 0 DDADMM CC(=O)N1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CC[C@@H]1C ZINC001018159349 758589563 /nfs/dbraw/zinc/58/95/63/758589563.db2.gz FNXLURABHXCHPL-JOYOIKCWSA-N -1 1 318.377 1.189 20 0 DDADMM CC(=O)N1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CC[C@H]1C ZINC001018159347 758589603 /nfs/dbraw/zinc/58/96/03/758589603.db2.gz FNXLURABHXCHPL-BXKDBHETSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNc1ncccn1 ZINC001065838885 758849513 /nfs/dbraw/zinc/84/95/13/758849513.db2.gz MKWPPVIQNUHQGE-RYUDHWBXSA-N -1 1 313.361 1.397 20 0 DDADMM O=C(C=C1CCCCC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018593241 759008933 /nfs/dbraw/zinc/00/89/33/759008933.db2.gz DAOOFLSBCLERIP-LBPRGKRZSA-N -1 1 305.382 1.091 20 0 DDADMM O=C(CC1CC1)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018674454 759118730 /nfs/dbraw/zinc/11/87/30/759118730.db2.gz DCMUVMMLWFOOKC-UHFFFAOYSA-N -1 1 315.373 1.262 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@@H]1C[C@H]1C1CC1 ZINC001085359264 759292572 /nfs/dbraw/zinc/29/25/72/759292572.db2.gz UTVSXAXUMKBTQD-UMSGYPCISA-N -1 1 319.409 1.073 20 0 DDADMM CCN1Cc2ccc(CNC(=O)c3[nH]nc(C)c3[O-])cc2C1 ZINC001054261757 759365156 /nfs/dbraw/zinc/36/51/56/759365156.db2.gz RYRUNQZRWILNII-UHFFFAOYSA-N -1 1 300.362 1.689 20 0 DDADMM O=C(NC[C@@H]1CCCO1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000828054658 759464584 /nfs/dbraw/zinc/46/45/84/759464584.db2.gz ILVWNGBKSVPNKR-ZDUSSCGKSA-N -1 1 302.334 1.878 20 0 DDADMM CC(C)(C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001019198269 759667993 /nfs/dbraw/zinc/66/79/93/759667993.db2.gz TYRXYPSRAKAKDD-NSHDSACASA-N -1 1 307.398 1.027 20 0 DDADMM CC1(C)CCCC[C@H]1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019848524 760293867 /nfs/dbraw/zinc/29/38/67/760293867.db2.gz MKKASSBTTPBXEQ-RYUDHWBXSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H](c1csnn1)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042646166 764309939 /nfs/dbraw/zinc/30/99/39/764309939.db2.gz MEDCDQGDCJDPJE-VIFPVBQESA-N -1 1 319.390 1.156 20 0 DDADMM Cc1cc(N[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])nc(C)n1 ZINC001112983252 765098880 /nfs/dbraw/zinc/09/88/80/765098880.db2.gz HTKKHFSGKBYBJB-QWRGUYRKSA-N -1 1 315.377 1.813 20 0 DDADMM Cc1nccc(N(C)[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001113574841 765894908 /nfs/dbraw/zinc/89/49/08/765894908.db2.gz HWDUXRGNFRXXCJ-SNVBAGLBSA-N -1 1 301.350 1.140 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045189789 766145586 /nfs/dbraw/zinc/14/55/86/766145586.db2.gz ISXJYBVOJRUWJA-GRYCIOLGSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1nc(Cl)c(C)c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170213385 766172558 /nfs/dbraw/zinc/17/25/58/766172558.db2.gz GJJGACXKGSTCJH-UHFFFAOYSA-N -1 1 307.701 1.520 20 0 DDADMM O=C([C@H]1CC12CCCC2)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045264116 766191821 /nfs/dbraw/zinc/19/18/21/766191821.db2.gz JXDMDVMRDQJXAR-NWDGAFQWSA-N -1 1 319.409 1.171 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001045271189 766195736 /nfs/dbraw/zinc/19/57/36/766195736.db2.gz QSJZLVCMOKYIRJ-AAEUAGOBSA-N -1 1 321.425 1.417 20 0 DDADMM NC(=O)c1cc(Nc2[n-]c(=O)nc3nc[nH]c32)cc2cc[nH]c21 ZINC001170230437 766204977 /nfs/dbraw/zinc/20/49/77/766204977.db2.gz SEGCJIDHIQCJFZ-UHFFFAOYSA-N -1 1 309.289 1.382 20 0 DDADMM O=C(NCC1(Nc2cc(F)ncn2)CC1)c1ncccc1[O-] ZINC001110098084 766244281 /nfs/dbraw/zinc/24/42/81/766244281.db2.gz QMKADJFDUTUYIZ-UHFFFAOYSA-N -1 1 303.297 1.091 20 0 DDADMM Cc1cnc(C)nc1NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001110098187 766245333 /nfs/dbraw/zinc/24/53/33/766245333.db2.gz XLRGRTGUGBHBMW-UHFFFAOYSA-N -1 1 313.361 1.569 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@H]3CC[C@@H](C2)N3C/C=C\Cl)c1[O-] ZINC001045755891 766560092 /nfs/dbraw/zinc/56/00/92/766560092.db2.gz JVSRQYOOYUZKNW-NVJVVVOFSA-N -1 1 324.812 1.855 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1c1ncccn1 ZINC001067527353 766600972 /nfs/dbraw/zinc/60/09/72/766600972.db2.gz ADDALAIZQZLRML-NWDGAFQWSA-N -1 1 313.361 1.365 20 0 DDADMM COc1cc(CCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)ccn1 ZINC001170448097 766871378 /nfs/dbraw/zinc/87/13/78/766871378.db2.gz YYKRLNMBQNJWJX-UHFFFAOYSA-N -1 1 320.374 1.155 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1(CF)CC1 ZINC001071952409 770335867 /nfs/dbraw/zinc/33/58/67/770335867.db2.gz LPUSCTRGLHSHEH-QWRGUYRKSA-N -1 1 321.352 1.114 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CC1(C)CC1 ZINC001071952358 770335936 /nfs/dbraw/zinc/33/59/36/770335936.db2.gz LBSSYNZFOJXMAE-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C=Cc2cnn(C)c2)[n-]c1Cl ZINC001133884710 770396974 /nfs/dbraw/zinc/39/69/74/770396974.db2.gz CHWHISLRYBZECA-PLNGDYQASA-N -1 1 323.740 1.625 20 0 DDADMM CC[C@@H](F)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001096991476 771559492 /nfs/dbraw/zinc/55/94/92/771559492.db2.gz WHQZOYVTTOQNCH-LPWJVIDDSA-N -1 1 307.369 1.870 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Oc2ccc(F)cc2)nc1 ZINC001143974231 772365376 /nfs/dbraw/zinc/36/53/76/772365376.db2.gz FVFMNRFRKDQQSG-UHFFFAOYSA-N -1 1 314.280 1.456 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)CNc1ccc(O)cc1 ZINC001144563217 772562649 /nfs/dbraw/zinc/56/26/49/772562649.db2.gz JLYKEHRSMOKRRG-UHFFFAOYSA-N -1 1 305.250 1.042 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CCc4[nH]cnc4C3)cc2)[n-]1 ZINC001148329658 773438507 /nfs/dbraw/zinc/43/85/07/773438507.db2.gz VRHVPMAPLXYPNF-UHFFFAOYSA-N -1 1 323.356 1.721 20 0 DDADMM CC[C@H](COC)NC(=O)c1ccc(-n2[n-]c(C)cc2=O)cc1 ZINC001148340154 773441662 /nfs/dbraw/zinc/44/16/62/773441662.db2.gz KBPSIYNAJLHBBK-CYBMUJFWSA-N -1 1 303.362 1.954 20 0 DDADMM Cc1ccc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)c(O)c1 ZINC001148491771 773500715 /nfs/dbraw/zinc/50/07/15/773500715.db2.gz AAKFCNXWBMOCRL-UHFFFAOYSA-N -1 1 317.305 1.912 20 0 DDADMM CN(C(=O)c1cc([O-])c(Br)c(O)c1)C1(C)COC1 ZINC001148988986 773666625 /nfs/dbraw/zinc/66/66/25/773666625.db2.gz IWSWENHXBVAZRJ-UHFFFAOYSA-N -1 1 316.151 1.721 20 0 DDADMM C[C@@H]1CCN(C(=O)CC2CC2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087109645 773795525 /nfs/dbraw/zinc/79/55/25/773795525.db2.gz YQNSIGAARWVDIG-PWSUYJOCSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@@H]1CCC1(C)C ZINC001092900556 774310751 /nfs/dbraw/zinc/31/07/51/774310751.db2.gz UJMGYOAMONIENU-UTUOFQBUSA-N -1 1 321.425 1.131 20 0 DDADMM COc1ccnc(N[C@@H](C)CCNC(=O)c2ncccc2[O-])n1 ZINC001099105135 774836005 /nfs/dbraw/zinc/83/60/05/774836005.db2.gz RSSGNVDXDLVZJK-JTQLQIEISA-N -1 1 317.349 1.206 20 0 DDADMM O=C(NCCCNc1ncnc2c1CCC2)c1ncccc1[O-] ZINC001094375590 775819612 /nfs/dbraw/zinc/81/96/12/775819612.db2.gz GNARTMNWEWGSPB-UHFFFAOYSA-N -1 1 313.361 1.298 20 0 DDADMM COc1ccc(Nc2cc(S(C)(=O)=O)ccc2[O-])c(OC)n1 ZINC001175588044 777833468 /nfs/dbraw/zinc/83/34/68/777833468.db2.gz YKMAZJZDSWDZHB-UHFFFAOYSA-N -1 1 324.358 1.952 20 0 DDADMM Cc1cnccc1CCC(=O)NCCCC[P@](=O)([O-])O ZINC001175885847 777942246 /nfs/dbraw/zinc/94/22/46/777942246.db2.gz JMXPUHVKETYQNY-UHFFFAOYSA-N -1 1 300.295 1.397 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc(F)cc2N)cc1O ZINC001176259636 778109884 /nfs/dbraw/zinc/10/98/84/778109884.db2.gz LDGXNKDZJJXGDA-UHFFFAOYSA-N -1 1 312.322 1.923 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccc(C2(C(F)(F)F)CC2)cc1 ZINC001176836526 778268277 /nfs/dbraw/zinc/26/82/77/778268277.db2.gz RTNFGKGTLGBMQT-UHFFFAOYSA-N -1 1 311.267 1.975 20 0 DDADMM CCC(C)(C)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001103385971 778835658 /nfs/dbraw/zinc/83/56/58/778835658.db2.gz USGCUYYOHOVUDI-VXGBXAGGSA-N -1 1 323.441 1.521 20 0 DDADMM CCCC[C@H](CC)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001178171667 778948788 /nfs/dbraw/zinc/94/87/88/778948788.db2.gz SRONQXWTBBAJGF-AVGNSLFASA-N -1 1 323.441 1.806 20 0 DDADMM CCC(CC)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001103906728 779158499 /nfs/dbraw/zinc/15/84/99/779158499.db2.gz HRPVMLZGDYTZDZ-DGCLKSJQSA-N -1 1 323.441 1.521 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cc2ncccn2n1 ZINC001178784762 779169679 /nfs/dbraw/zinc/16/96/79/779169679.db2.gz FGIOTRSWDHVIQW-UHFFFAOYSA-N -1 1 318.283 1.686 20 0 DDADMM CSc1nc(NC(=O)[C@@H]2Cc3ccccc3O2)cc(=O)[n-]1 ZINC001178936855 779221409 /nfs/dbraw/zinc/22/14/09/779221409.db2.gz YZKRINSESOTFES-JTQLQIEISA-N -1 1 303.343 1.846 20 0 DDADMM CN1CCC[C@@H](NC(=O)N(CC(=O)[O-])Cc2ccccc2)C1 ZINC000692428755 779473543 /nfs/dbraw/zinc/47/35/43/779473543.db2.gz NGLUBXBQEKEPIK-CQSZACIVSA-N -1 1 305.378 1.377 20 0 DDADMM COC(=O)[C@H]1CC[C@H]([N-]C(=O)C(F)(F)C(F)(F)Cl)C1 ZINC001179781653 779477079 /nfs/dbraw/zinc/47/70/79/779477079.db2.gz KRJYHAJPKZREMG-WDSKDSINSA-N -1 1 305.655 1.911 20 0 DDADMM CCCN(CCOCCO)Cc1nc(=O)c2sccc2[n-]1 ZINC001116343197 780497883 /nfs/dbraw/zinc/49/78/83/780497883.db2.gz BAGCYNXSAMMSGP-UHFFFAOYSA-N -1 1 311.407 1.618 20 0 DDADMM O=C(Cn1ccc2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC001119663624 781553637 /nfs/dbraw/zinc/55/36/37/781553637.db2.gz SDMJRYICQBXUOP-UHFFFAOYSA-N -1 1 310.361 1.561 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@H]2NCc1cn2ccnc2s1 ZINC001120002354 781716168 /nfs/dbraw/zinc/71/61/68/781716168.db2.gz SSIMPWCIOHXBOI-SNVBAGLBSA-N -1 1 317.374 1.915 20 0 DDADMM CCC[C@@H](C)CC(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001408535102 836436176 /nfs/dbraw/zinc/43/61/76/836436176.db2.gz JXFLBVCGCICKEF-GFCCVEGCSA-N -1 1 307.394 1.802 20 0 DDADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C(F)=C1CCCC1 ZINC001269060572 841162869 /nfs/dbraw/zinc/16/28/69/841162869.db2.gz ILKWNWLKDXXFKL-LLVKDONJSA-N -1 1 323.372 1.292 20 0 DDADMM O=C(Nc1c[nH]nn1)c1nn(-c2cccc(Cl)c2)cc1[O-] ZINC001154600748 861265094 /nfs/dbraw/zinc/26/50/94/861265094.db2.gz LABUQWGTTQWKJX-UHFFFAOYSA-N -1 1 304.697 1.602 20 0 DDADMM CCN(C(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ccc([O-])cn1)C(C)C ZINC001270139314 842329358 /nfs/dbraw/zinc/32/93/58/842329358.db2.gz UQKQMUQOSRXHKQ-YVEFUNNKSA-N -1 1 317.389 1.649 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)N3C[C@@H]4C[C@H]3C(=O)O4)cc2c1 ZINC001154751800 861422681 /nfs/dbraw/zinc/42/26/81/861422681.db2.gz DHUYCWLNOLDTGD-JSGCOSHPSA-N -1 1 313.309 1.694 20 0 DDADMM CC[C@@H](C)CC(=O)N[C@H](CC)CNC(=O)c1ncccc1[O-] ZINC001409261078 844725341 /nfs/dbraw/zinc/72/53/41/844725341.db2.gz CLGUCDAGTZVODP-VXGBXAGGSA-N -1 1 307.394 1.848 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC1(F)F ZINC001409261699 844726460 /nfs/dbraw/zinc/72/64/60/844726460.db2.gz QFHPSUMRDPRQAB-IUCAKERBSA-N -1 1 313.304 1.067 20 0 DDADMM CC(C)(C)OC(=O)N1CC=C[C@H](OCC[P@](=O)([O-])O)C1 ZINC001224602772 844921890 /nfs/dbraw/zinc/92/18/90/844921890.db2.gz TYACLHXZZDVWEI-JTQLQIEISA-N -1 1 307.283 1.356 20 0 DDADMM CC[C@@H](CNC(=O)C1(C)CCC1)NC(=O)c1ncccc1[O-] ZINC001409357254 844972131 /nfs/dbraw/zinc/97/21/31/844972131.db2.gz DNNOIOYUYWIOBW-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM O=C(c1ccc2cccnc2c1[O-])N1CCC(=O)C(F)(F)C1 ZINC001149319859 861544449 /nfs/dbraw/zinc/54/44/49/861544449.db2.gz IFJPLLNIUXVDQG-UHFFFAOYSA-N -1 1 306.268 1.991 20 0 DDADMM O=C(N[C@@H](CO)C(F)(F)F)c1ccc2cccnc2c1[O-] ZINC001149329897 861550808 /nfs/dbraw/zinc/55/08/08/861550808.db2.gz SWTNJCCOJSVPLX-VIFPVBQESA-N -1 1 300.236 1.593 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2ccno2)CCCCCC1 ZINC001414238096 845306919 /nfs/dbraw/zinc/30/69/19/845306919.db2.gz UQFHVJZQVJUKIF-UHFFFAOYSA-N -1 1 316.379 1.360 20 0 DDADMM CN1C(=O)C[C@]2(CCCN(C(=O)c3cc(F)ccc3[O-])C2)C1=O ZINC001272387779 846093133 /nfs/dbraw/zinc/09/31/33/846093133.db2.gz CECHXSAZRHOUPL-INIZCTEOSA-N -1 1 320.320 1.142 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001149501519 861662037 /nfs/dbraw/zinc/66/20/37/861662037.db2.gz VOBAQLNFTXHKSK-ZNFPLGDCSA-N -1 1 321.340 1.824 20 0 DDADMM CC1(C)C(=O)NCCN1C(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149647614 861780088 /nfs/dbraw/zinc/78/00/88/861780088.db2.gz ZNSQEFOWXUQZHQ-UHFFFAOYSA-N -1 1 314.341 1.602 20 0 DDADMM O=C(NCCc1ccc(CO)cc1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273432 861923030 /nfs/dbraw/zinc/92/30/30/861923030.db2.gz NMUJMKNADHEMIW-UHFFFAOYSA-N -1 1 311.341 1.733 20 0 DDADMM CCC[C@@H](C)CC(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001410881273 849906315 /nfs/dbraw/zinc/90/63/15/849906315.db2.gz LETXKMUGNRVFFE-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM Cc1ccc2ccc(C(=O)NC[C@H]3CNC(=O)O3)c([O-])c2n1 ZINC001155661519 862349990 /nfs/dbraw/zinc/34/99/90/862349990.db2.gz YYUHPDSNFAAJLW-JTQLQIEISA-N -1 1 301.302 1.087 20 0 DDADMM COC(=O)[C@H]1C[C@H]1CNC(=O)c1ccc2ccc(C)nc2c1[O-] ZINC001155660036 862350952 /nfs/dbraw/zinc/35/09/52/862350952.db2.gz AHYKMDUSLXQAPB-AAEUAGOBSA-N -1 1 314.341 1.788 20 0 DDADMM Cc1cc2c(c(NCCC(=O)OC(C)(C)C)n1)C(=O)[N-]C2=O ZINC001155682738 862376244 /nfs/dbraw/zinc/37/62/44/862376244.db2.gz KNDHNNMJPMRKLV-UHFFFAOYSA-N -1 1 305.334 1.417 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC001155815897 862471949 /nfs/dbraw/zinc/47/19/49/862471949.db2.gz MEBSEDUFLHFQAS-SCZZXKLOSA-N -1 1 320.374 1.090 20 0 DDADMM Cc1ccc(NC(=O)C(C)C)cc1NC(=O)CCc1nn[n-]n1 ZINC001411643087 853509107 /nfs/dbraw/zinc/50/91/07/853509107.db2.gz GPTGBCUJNGEDHE-UHFFFAOYSA-N -1 1 316.365 1.674 20 0 DDADMM C[C@@H](NC(=O)c1ccc([O-])cn1)c1ccc(NC(N)=O)cc1 ZINC001411643313 853510949 /nfs/dbraw/zinc/51/09/49/853510949.db2.gz GEZWSOVSIYCWBV-SECBINFHSA-N -1 1 300.318 1.769 20 0 DDADMM CCNC(=O)c1ccc(C)c(NC(=O)CCCc2nn[n-]n2)c1 ZINC001411649385 853524106 /nfs/dbraw/zinc/52/41/06/853524106.db2.gz SYOWTTSTEWKNPK-UHFFFAOYSA-N -1 1 316.365 1.219 20 0 DDADMM O=C(NCc1cccc(N2CCOC2=O)c1)c1cnncc1[O-] ZINC001411666148 853547652 /nfs/dbraw/zinc/54/76/52/853547652.db2.gz KBCHTYQHVZCBCU-UHFFFAOYSA-N -1 1 314.301 1.069 20 0 DDADMM COC[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccccc1 ZINC001411939480 854002920 /nfs/dbraw/zinc/00/29/20/854002920.db2.gz XIBPETIPHVEGBK-SNVBAGLBSA-N -1 1 319.317 1.014 20 0 DDADMM CN(C)C(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1cccc([O-])c1F ZINC001275984679 854082463 /nfs/dbraw/zinc/08/24/63/854082463.db2.gz RXCNRDLABGTYEF-MHOHDPQLSA-N -1 1 320.364 1.764 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](C)N2CCCCC2=O)c(=O)[n-]1 ZINC001412031579 854132854 /nfs/dbraw/zinc/13/28/54/854132854.db2.gz FBGPRDXNJODDIY-VIFPVBQESA-N -1 1 324.406 1.035 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3ccc(F)c([O-])c3)[C@H]2C1 ZINC001412201234 854327954 /nfs/dbraw/zinc/32/79/54/854327954.db2.gz GUABWKRYUSBNCT-YDHLFZDLSA-N -1 1 320.364 1.908 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)c1cn([C@@H]2CC[C@H]2O)nn1 ZINC001412214796 854342284 /nfs/dbraw/zinc/34/22/84/854342284.db2.gz VTYCIHNUGOVKQM-GHMZBOCLSA-N -1 1 310.260 1.210 20 0 DDADMM CN(Cc1nnc2n1CCCCC2)C(=O)c1ccc([O-])cn1 ZINC001412379002 854489371 /nfs/dbraw/zinc/48/93/71/854489371.db2.gz BVDGNYRREGKSPO-UHFFFAOYSA-N -1 1 301.350 1.377 20 0 DDADMM CCO[C@@H](CC)C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001412456756 854571612 /nfs/dbraw/zinc/57/16/12/854571612.db2.gz GFBMJVGTRQQTEH-AAEUAGOBSA-N -1 1 323.393 1.179 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)C1(C2CC2)CC1 ZINC001412492660 854620551 /nfs/dbraw/zinc/62/05/51/854620551.db2.gz OMFHXPSYBIRAPF-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM CS[C@H](C)C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001412501494 854631129 /nfs/dbraw/zinc/63/11/29/854631129.db2.gz VVWXFKLSAODNQR-NXEZZACHSA-N -1 1 311.407 1.115 20 0 DDADMM CC(C)COc1ccc(NC(=O)CCCc2nn[n-]n2)cn1 ZINC001412528557 854663252 /nfs/dbraw/zinc/66/32/52/854663252.db2.gz IJVFQGANPKGMHO-UHFFFAOYSA-N -1 1 304.354 1.591 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)C1=Cc2cc(F)ccc2OC1 ZINC001412545153 854695181 /nfs/dbraw/zinc/69/51/81/854695181.db2.gz SGGOUNMYEUSLPS-UHFFFAOYSA-N -1 1 315.308 1.310 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](c2ccco2)C1 ZINC001412578366 854750904 /nfs/dbraw/zinc/75/09/04/854750904.db2.gz YXRNKUKOBKZDNU-QMMMGPOBSA-N -1 1 305.290 1.119 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1coc(C)c1 ZINC001412587999 854772238 /nfs/dbraw/zinc/77/22/38/854772238.db2.gz LNVFSOBHQGBDCF-LLVKDONJSA-N -1 1 318.333 1.860 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1coc(C)c1 ZINC001412587999 854772239 /nfs/dbraw/zinc/77/22/39/854772239.db2.gz LNVFSOBHQGBDCF-LLVKDONJSA-N -1 1 318.333 1.860 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1coc(C)c1 ZINC001412587999 854772240 /nfs/dbraw/zinc/77/22/40/854772240.db2.gz LNVFSOBHQGBDCF-LLVKDONJSA-N -1 1 318.333 1.860 20 0 DDADMM COC[C@H](NC(=O)c1cccc(C2CCC2)c1)c1nn[n-]n1 ZINC001412605506 854796778 /nfs/dbraw/zinc/79/67/78/854796778.db2.gz KFQZCJRIBOPHQC-ZDUSSCGKSA-N -1 1 301.350 1.585 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C[C@@H]2CCC(=O)[C@H]2C)[n-]c1=O ZINC001412659726 854893868 /nfs/dbraw/zinc/89/38/68/854893868.db2.gz WCWDVWUTESSNFL-UWVGGRQHSA-N -1 1 321.377 1.547 20 0 DDADMM COC(=O)CCC1(NC(=O)c2cnncc2[O-])CCCCC1 ZINC001412674807 854923290 /nfs/dbraw/zinc/92/32/90/854923290.db2.gz DKMHUTUJAHCTBR-UHFFFAOYSA-N -1 1 307.350 1.568 20 0 DDADMM O=C(NC[C@]1(CO)C[C@H]1c1ccc(F)cc1)c1ccc([O-])cn1 ZINC001412832076 855494598 /nfs/dbraw/zinc/49/45/98/855494598.db2.gz UOKUWQWAOLLSQI-YOEHRIQHSA-N -1 1 316.332 1.822 20 0 DDADMM CS(=O)(=O)c1cccc(CNC(=O)c2ccc(F)c([O-])c2)c1 ZINC001412941844 855801868 /nfs/dbraw/zinc/80/18/68/855801868.db2.gz RDQZPBPSIUQBTJ-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCS(=O)(=O)[C@H]2CCC[C@@H]21 ZINC001412954038 855811728 /nfs/dbraw/zinc/81/17/28/855811728.db2.gz CNXPGARBNPZDDO-JQWIXIFHSA-N -1 1 313.350 1.323 20 0 DDADMM CSc1ncc(C(=O)NCc2nc(C(F)F)no2)c(=O)[n-]1 ZINC001413145542 856481517 /nfs/dbraw/zinc/48/15/17/856481517.db2.gz QLGWBRYLQBMBHJ-UHFFFAOYSA-N -1 1 317.277 1.155 20 0 DDADMM CC[C@H](CO)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001413197442 856541047 /nfs/dbraw/zinc/54/10/47/856541047.db2.gz WPEGZUIXMWHHFR-AEJSXWLSSA-N -1 1 310.316 1.063 20 0 DDADMM CSc1ncc(C(=O)N2CCC([C@H](C)CO)CC2)c(=O)[n-]1 ZINC001413238869 856575169 /nfs/dbraw/zinc/57/51/69/856575169.db2.gz HMTODDRMRZSWGJ-SECBINFHSA-N -1 1 311.407 1.385 20 0 DDADMM CN(C(=O)[C@@H]1CCC[C@@H](NC(=O)OC(C)(C)C)C1)c1nn[n-]n1 ZINC001413326903 856657136 /nfs/dbraw/zinc/65/71/36/856657136.db2.gz NCJLCHJHCDBDKB-NXEZZACHSA-N -1 1 324.385 1.246 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](c1ccccn1)C1CC1 ZINC001413439061 856812420 /nfs/dbraw/zinc/81/24/20/856812420.db2.gz WAFSPCIUIZMIPQ-LLVKDONJSA-N -1 1 308.363 1.390 20 0 DDADMM CSCc1n[nH]c(CNS(=O)(=O)c2cc(C)oc2C)n1 ZINC001413442902 856815467 /nfs/dbraw/zinc/81/54/67/856815467.db2.gz AFCDTPPBVOMYEZ-UHFFFAOYSA-N -1 1 316.408 1.356 20 0 DDADMM CCC(=O)N(C)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001413476272 856863543 /nfs/dbraw/zinc/86/35/43/856863543.db2.gz MQKHMUUEDFCXMY-UHFFFAOYSA-N -1 1 316.365 1.534 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1C[C@H]1Cc1ccccc1 ZINC001413481503 856870820 /nfs/dbraw/zinc/87/08/20/856870820.db2.gz KPSKRILFZGXXDG-OLZOCXBDSA-N -1 1 321.402 1.722 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc(F)c(F)c1Cl ZINC001260593073 856889875 /nfs/dbraw/zinc/88/98/75/856889875.db2.gz VRQVWWUYGLNGNE-UHFFFAOYSA-N -1 1 319.738 1.362 20 0 DDADMM O=C([O-])[C@@]1(C(=O)N2CCCC3(CCCCC3)C2)CNCCO1 ZINC001121954406 858643752 /nfs/dbraw/zinc/64/37/52/858643752.db2.gz BCXZVSGNFJHHTC-INIZCTEOSA-N -1 1 310.394 1.003 20 0 DDADMM O=C(C=Cc1nccs1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001123829407 859433351 /nfs/dbraw/zinc/43/33/51/859433351.db2.gz MPZPMRLXNGZCHQ-ZBJFTSOASA-N -1 1 306.347 1.651 20 0 DDADMM COc1ccccc1C(C)=CC(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123869879 859449617 /nfs/dbraw/zinc/44/96/17/859449617.db2.gz UXAWMMZRSYZMPP-MMRAYRKESA-N -1 1 313.361 1.628 20 0 DDADMM O=C(c1ccnn1-c1ccccc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123961508 859498723 /nfs/dbraw/zinc/49/87/23/859498723.db2.gz KKRAADPNOUFEEZ-NSHDSACASA-N -1 1 309.333 1.015 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](C)Cc1cccnc1 ZINC001124070663 859559675 /nfs/dbraw/zinc/55/96/75/859559675.db2.gz OCUOQNVGWNPCDU-QMMMGPOBSA-N -1 1 304.375 1.535 20 0 DDADMM C[C@@H]1CCN(C(=O)OC(C)(C)C)C[C@@H]1OCC[P@](=O)([O-])O ZINC001224601447 881462743 /nfs/dbraw/zinc/46/27/43/881462743.db2.gz HAISHBZLTPRPDX-MNOVXSKESA-N -1 1 323.326 1.826 20 0 DDADMM CNC(=O)[C@@H](C)NC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC001137565102 859940203 /nfs/dbraw/zinc/94/02/03/859940203.db2.gz WGPOOQQOJGVNOC-SECBINFHSA-N -1 1 316.313 1.329 20 0 DDADMM CC(C)CCC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001224724423 881512431 /nfs/dbraw/zinc/51/24/31/881512431.db2.gz JITQNJJCKZFXMI-VXGBXAGGSA-N -1 1 309.414 1.464 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3nncc4ccccc43)ccnc1-2 ZINC001361376466 881528144 /nfs/dbraw/zinc/52/81/44/881528144.db2.gz CCLYUMCAKLXPJJ-UHFFFAOYSA-N -1 1 304.313 1.537 20 0 DDADMM CCOC[C@H]1CN(Cc2ccncc2[O-])Cc2ncn(C)c21 ZINC001140274601 860605612 /nfs/dbraw/zinc/60/56/12/860605612.db2.gz JLKRVOHFSUQDSP-CYBMUJFWSA-N -1 1 302.378 1.657 20 0 DDADMM O=C1CN(Cc2ccncc2[O-])CCN1c1ccc(F)cc1 ZINC001140280214 860608624 /nfs/dbraw/zinc/60/86/24/860608624.db2.gz CZIFQFZBNDJNLF-UHFFFAOYSA-N -1 1 301.321 1.775 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[N@@H+](Cc3ccncc3O)C[C@@H]21 ZINC001140282110 860610705 /nfs/dbraw/zinc/61/07/05/860610705.db2.gz MKGVYHKVNOVEQG-STQMWFEESA-N -1 1 305.378 1.838 20 0 DDADMM CC[C@@H](O)Cn1cc(C(=O)Nc2ccc([O-])c(F)c2F)nn1 ZINC001140657085 860668322 /nfs/dbraw/zinc/66/83/22/860668322.db2.gz IGEKPQKDNGTOQD-SSDOTTSWSA-N -1 1 312.276 1.285 20 0 DDADMM O=C(NCc1nc2ccccc2c(=O)[nH]1)C(=O)c1ccc([O-])cc1 ZINC001141679691 860910187 /nfs/dbraw/zinc/91/01/87/860910187.db2.gz XWADSRGMRGUHDC-UHFFFAOYSA-N -1 1 323.308 1.540 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3nc4ccccn4c3F)c1-2 ZINC001154241633 860910801 /nfs/dbraw/zinc/91/08/01/860910801.db2.gz YZILAZMQCKCMQP-UHFFFAOYSA-N -1 1 311.280 1.074 20 0 DDADMM COc1ccc2ncc([O-])c(C(=O)NC3=CCOC3=O)c2c1 ZINC001154516731 861166954 /nfs/dbraw/zinc/16/69/54/861166954.db2.gz HXWWOQPIKNLOIX-UHFFFAOYSA-N -1 1 300.270 1.120 20 0 DDADMM CC[C@H](C)[C@@H](CO)NC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152680012 863488530 /nfs/dbraw/zinc/48/85/30/863488530.db2.gz JGPARZVGVHARED-JOYOIKCWSA-N -1 1 304.346 1.783 20 0 DDADMM CC1(C)[C@@H](O)C[C@@H]1NC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681202 863491102 /nfs/dbraw/zinc/49/11/02/863491102.db2.gz GXDLJIQTMLDOJY-STQMWFEESA-N -1 1 302.330 1.535 20 0 DDADMM O=C(c1oc2ccccc2c1CO)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001153001731 863644744 /nfs/dbraw/zinc/64/47/44/863644744.db2.gz NUTKBSCXNJEDKG-SECBINFHSA-N -1 1 313.317 1.068 20 0 DDADMM CON(C)C1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC001157305328 863728166 /nfs/dbraw/zinc/72/81/66/863728166.db2.gz CXDZFJIZBBOKKN-UHFFFAOYSA-N -1 1 302.330 1.569 20 0 DDADMM COC(=O)[C@@H]1C[C@H](Nc2nc(C)cc3c2C(=O)[N-]C3=O)C1(C)C ZINC001157552962 863917194 /nfs/dbraw/zinc/91/71/94/863917194.db2.gz NUKYGEAWLUJLJX-UWVGGRQHSA-N -1 1 317.345 1.273 20 0 DDADMM CC1(C)CCCC[C@H]1CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001153836959 864144932 /nfs/dbraw/zinc/14/49/32/864144932.db2.gz IEXUUZUWRJGUMW-NSHDSACASA-N -1 1 309.414 1.323 20 0 DDADMM COc1ccc(C)nc1NC(=O)c1cnc2cccnc2c1[O-] ZINC001153851755 864157614 /nfs/dbraw/zinc/15/76/14/864157614.db2.gz PTTQUWVWQOQNRF-UHFFFAOYSA-N -1 1 310.313 1.887 20 0 DDADMM COc1cncc(NC(=O)c2cnc3cccnc3c2[O-])c1C ZINC001153852094 864158083 /nfs/dbraw/zinc/15/80/83/864158083.db2.gz WLPARUIZFAKWKP-UHFFFAOYSA-N -1 1 310.313 1.887 20 0 DDADMM Cn1ncc2cc(NC(=O)c3cnc4cccnc4c3[O-])cnc21 ZINC001153851726 864158320 /nfs/dbraw/zinc/15/83/20/864158320.db2.gz PKXVYPXDWDHXLA-UHFFFAOYSA-N -1 1 320.312 1.457 20 0 DDADMM COC(=O)c1ccn(CC(=O)Nc2ccc([O-])c(F)c2F)n1 ZINC001157811710 864161487 /nfs/dbraw/zinc/16/14/87/864161487.db2.gz OZGWYOFXBCWSLW-UHFFFAOYSA-N -1 1 311.244 1.292 20 0 DDADMM O=C(Nc1cnn2c1OCCC2)c1cnc2cccnc2c1[O-] ZINC001153856429 864163939 /nfs/dbraw/zinc/16/39/39/864163939.db2.gz KCODQEOSHMMMQJ-UHFFFAOYSA-N -1 1 311.301 1.154 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)N1CCCC[C@@H]1C[N@H+](C)CCF ZINC001158385755 864617698 /nfs/dbraw/zinc/61/76/98/864617698.db2.gz PBHJJKQSCHPYTL-GFCCVEGCSA-N -1 1 310.373 1.387 20 0 DDADMM Cc1cc2c(c(NCCC(=O)c3ccccc3)n1)C(=O)[N-]C2=O ZINC001159021190 865048908 /nfs/dbraw/zinc/04/89/08/865048908.db2.gz RBOHDEQCNNHCML-UHFFFAOYSA-N -1 1 309.325 1.959 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nnc(Cl)cc3Cl)c2[nH]1 ZINC001159185705 865151670 /nfs/dbraw/zinc/15/16/70/865151670.db2.gz VGTKYRBADIJFNA-UHFFFAOYSA-N -1 1 314.092 1.556 20 0 DDADMM CSc1nc(C)cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159184771 865152336 /nfs/dbraw/zinc/15/23/36/865152336.db2.gz JDPPQCGFSPKKOD-UHFFFAOYSA-N -1 1 305.323 1.280 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2cncc(N3CCCCC3)n2)[n-]1 ZINC001159197823 865159688 /nfs/dbraw/zinc/15/96/88/865159688.db2.gz ALQOOZBYGTWCLN-UHFFFAOYSA-N -1 1 312.337 1.582 20 0 DDADMM CCN(CC)c1cc(Nc2[n-]c(=O)nc3nc[nH]c32)ncn1 ZINC001159198815 865162408 /nfs/dbraw/zinc/16/24/08/865162408.db2.gz YXJWPRYQVQRXQH-UHFFFAOYSA-N -1 1 300.326 1.438 20 0 DDADMM O=C(NC[C@H](CO)CC1CCOCC1)C(=O)c1ccc([O-])cc1 ZINC001323053911 866300465 /nfs/dbraw/zinc/30/04/65/866300465.db2.gz GJKSCTVQJITLRH-CYBMUJFWSA-N -1 1 321.373 1.116 20 0 DDADMM O=C(COCc1ccncc1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001323086157 866324891 /nfs/dbraw/zinc/32/48/91/866324891.db2.gz BSLREWDOWIRHOC-OAHLLOKOSA-N -1 1 324.344 1.017 20 0 DDADMM COC(=O)c1c(NC(=O)C=C2CC[C@H](C)C2)n[n-]c1OCCO ZINC001161532692 866742325 /nfs/dbraw/zinc/74/23/25/866742325.db2.gz BWZIBNXRGDSNBQ-CUQRQBARSA-N -1 1 323.349 1.252 20 0 DDADMM COC(=O)c1c(NC(=O)/C=C2/CC[C@H](C)C2)[n-]nc1OCCO ZINC001161532692 866742334 /nfs/dbraw/zinc/74/23/34/866742334.db2.gz BWZIBNXRGDSNBQ-CUQRQBARSA-N -1 1 323.349 1.252 20 0 DDADMM O=C(Nc1cccc(CCO)c1)c1ccc2n[n-]c(=S)n2c1 ZINC001161619629 866815401 /nfs/dbraw/zinc/81/54/01/866815401.db2.gz HZCXFRGEEUJNJM-UHFFFAOYSA-N -1 1 314.370 1.805 20 0 DDADMM C[C@@H](CCO)C[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001320583455 866854524 /nfs/dbraw/zinc/85/45/24/866854524.db2.gz BTWPYBRNGQPKGJ-ZETCQYMHSA-N -1 1 313.206 1.685 20 0 DDADMM CCn1ccc(CNC/C=C\CNC(=O)c2ncccc2[O-])n1 ZINC001321028305 867231783 /nfs/dbraw/zinc/23/17/83/867231783.db2.gz LTMMDGNGZYDUPN-ARJAWSKDSA-N -1 1 315.377 1.079 20 0 DDADMM CCOP(=O)(CCCN1CCOC[C@H]1CC(=O)[O-])OCC ZINC001334793525 867834979 /nfs/dbraw/zinc/83/49/79/867834979.db2.gz LFILUKFPIGJLIR-GFCCVEGCSA-N -1 1 323.326 1.818 20 0 DDADMM N[C@H](C(=O)NCCCC(=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC001335464306 868317271 /nfs/dbraw/zinc/31/72/71/868317271.db2.gz HHTWHTGSALBPTO-NSHDSACASA-N -1 1 304.268 1.686 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc2c(c1)oc1ccccc12 ZINC001322743218 868358490 /nfs/dbraw/zinc/35/84/90/868358490.db2.gz KPRXVJXVYJAEHX-UHFFFAOYSA-N -1 1 309.281 1.783 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H]1CCN(C(=O)c2cnncc2[O-])C1 ZINC001361717988 882223772 /nfs/dbraw/zinc/22/37/72/882223772.db2.gz NYUJBKQLZQGFCX-JTQLQIEISA-N -1 1 322.365 1.169 20 0 DDADMM COC(=O)c1cc(NCC[N-]C(=O)C(F)(F)F)ccc1C ZINC001164244097 869050976 /nfs/dbraw/zinc/05/09/76/869050976.db2.gz UHSYDKUUULENGL-UHFFFAOYSA-N -1 1 304.268 1.872 20 0 DDADMM Cn1c2ccccc2cc(NCC[N-]C(=O)C(F)(F)F)c1=O ZINC001164246025 869054611 /nfs/dbraw/zinc/05/46/11/869054611.db2.gz JSZVZLSQRHHNHJ-UHFFFAOYSA-N -1 1 313.279 1.629 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2C[C@H](C)O[C@H]2C)[n-]c1Cl ZINC001361764517 882322900 /nfs/dbraw/zinc/32/29/00/882322900.db2.gz CPQNPDCKFQFENU-BIIVOSGPSA-N -1 1 315.757 1.992 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001363833149 887344722 /nfs/dbraw/zinc/34/47/22/887344722.db2.gz SIENZXPQNGLYQW-HTQZYQBOSA-N -1 1 322.408 1.018 20 0 DDADMM Cc1ncsc1CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001298133941 870303218 /nfs/dbraw/zinc/30/32/18/870303218.db2.gz OAOWRVHDQSCNID-UHFFFAOYSA-N -1 1 319.415 1.755 20 0 DDADMM O=C([N-]N1CCOC1=O)c1cc(-c2c[nH]c3ccccc32)[nH]n1 ZINC001166863277 870327101 /nfs/dbraw/zinc/32/71/01/870327101.db2.gz IQVLVUOKOZRJFJ-UHFFFAOYSA-N -1 1 311.301 1.655 20 0 DDADMM Cn1ccnc1COCC(=O)Nc1n[nH]c2cc(O)ccc21 ZINC001298306707 870457482 /nfs/dbraw/zinc/45/74/82/870457482.db2.gz OZIJHYZXJZXXAB-UHFFFAOYSA-N -1 1 301.306 1.157 20 0 DDADMM C=C/C(C)=C/CC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001299015318 870836980 /nfs/dbraw/zinc/83/69/80/870836980.db2.gz UAUJCDUPYRAGIB-XEVNVYFWSA-N -1 1 315.373 1.640 20 0 DDADMM Cn1c(=O)oc2cc([N-]C(=O)c3nnc(C4CC4)o3)c(F)cc21 ZINC001299123689 870914388 /nfs/dbraw/zinc/91/43/88/870914388.db2.gz LRHRQJPVKMBVAV-UHFFFAOYSA-N -1 1 318.264 1.783 20 0 DDADMM O=C(Nc1ccc([C@@H]2NC(=O)NC2=O)cc1)c1ccccc1[O-] ZINC001301564496 871003222 /nfs/dbraw/zinc/00/32/22/871003222.db2.gz VYIDGQAYQQZFJK-ZDUSSCGKSA-N -1 1 311.297 1.525 20 0 DDADMM CCCc1nc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)co1 ZINC001301649580 871015317 /nfs/dbraw/zinc/01/53/17/871015317.db2.gz MBCJSASKMYOJNN-ZDUSSCGKSA-N -1 1 312.333 1.660 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1c[nH]c2cccc(F)c12 ZINC001303222735 871111196 /nfs/dbraw/zinc/11/11/96/871111196.db2.gz WQPFJETYSBVZOU-UHFFFAOYSA-N -1 1 300.297 1.629 20 0 DDADMM O=C(Cc1cc2ccccc2[nH]c1=O)NC1(c2nn[n-]n2)CCC1 ZINC001303221815 871111239 /nfs/dbraw/zinc/11/12/39/871111239.db2.gz KWNDZLYPJIEWDU-UHFFFAOYSA-N -1 1 324.344 1.192 20 0 DDADMM CCN(CC)c1nnc(-c2noc(=O)[n-]2)n1Cc1ccccc1 ZINC001341097499 871500130 /nfs/dbraw/zinc/50/01/30/871500130.db2.gz MOYFVQFOKPMSCT-UHFFFAOYSA-N -1 1 314.349 1.516 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2CC[C@H]1O2)c1cnc(Cl)cc1Cl ZINC001309966988 871615839 /nfs/dbraw/zinc/61/58/39/871615839.db2.gz JAGBFYBZEKVCNT-NBEYISGCSA-N -1 1 323.201 1.987 20 0 DDADMM CCN(CCCNC(=O)[C@H]1CC=CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001316885389 872214243 /nfs/dbraw/zinc/21/42/43/872214243.db2.gz ZSZCUDUPZREIPD-LBPRGKRZSA-N -1 1 307.398 1.195 20 0 DDADMM CC[C@@H]1OC(=O)C(Oc2cc(=O)[n-]c(N3CCOCC3)n2)=C1C ZINC001226722539 882609531 /nfs/dbraw/zinc/60/95/31/882609531.db2.gz MXHMVMQABJGCQO-JTQLQIEISA-N -1 1 321.333 1.007 20 0 DDADMM COC(=O)COc1ccc(NC(=O)c2n[nH]c(C)c2[O-])cc1 ZINC001361917962 882638616 /nfs/dbraw/zinc/63/86/16/882638616.db2.gz KRONBTGXEPVTGX-UHFFFAOYSA-N -1 1 305.290 1.228 20 0 DDADMM CCc1onc(C)c1[N-]C(=O)c1c(C)oc2ncn(C)c(=O)c21 ZINC001319539558 872679484 /nfs/dbraw/zinc/67/94/84/872679484.db2.gz MEMBGWRDMBXVGZ-UHFFFAOYSA-N -1 1 316.317 1.946 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H]2C[C@@]23CCOC3)[n-]c1Cl ZINC001361961940 882717204 /nfs/dbraw/zinc/71/72/04/882717204.db2.gz IOSIYGVDIYVXEJ-FUXBKTLASA-N -1 1 313.741 1.605 20 0 DDADMM CC(C)(C)/C=C/C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207710640 873495206 /nfs/dbraw/zinc/49/52/06/873495206.db2.gz OSENRCPQIOEFSX-XUIVZRPNSA-N -1 1 307.398 1.193 20 0 DDADMM Cc1cccc(C)c1OC[C@H](C)NC(=O)c1cnncc1[O-] ZINC001361970250 882735019 /nfs/dbraw/zinc/73/50/19/882735019.db2.gz NKFLQJSZBOUELS-LBPRGKRZSA-N -1 1 301.346 1.996 20 0 DDADMM COc1ccc(O[C@@H](C)CNC(=O)CCCc2nn[n-]n2)cc1 ZINC001361988123 882769113 /nfs/dbraw/zinc/76/91/13/882769113.db2.gz JSGLSBIDNMBPRR-NSHDSACASA-N -1 1 319.365 1.115 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1COc2ccccc2C1 ZINC001347336514 874103947 /nfs/dbraw/zinc/10/39/47/874103947.db2.gz VYSSUIJKQMLJRB-SNVBAGLBSA-N -1 1 317.370 1.513 20 0 DDADMM COc1cccc2cc(C(=O)N3CC[C@H](c4nn[n-]n4)C3)oc21 ZINC001347976275 874324023 /nfs/dbraw/zinc/32/40/23/874324023.db2.gz XPBKWPDISDZHER-JTQLQIEISA-N -1 1 313.317 1.584 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3c(C)noc3C)nc2n1 ZINC001348040297 874341944 /nfs/dbraw/zinc/34/19/44/874341944.db2.gz CJTGISIQXXDEQD-UHFFFAOYSA-N -1 1 316.321 1.227 20 0 DDADMM Cc1cccnc1[C@H](C)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001348558955 874633563 /nfs/dbraw/zinc/63/35/63/874633563.db2.gz RDLGDZSLPYWEFU-VIFPVBQESA-N -1 1 304.375 1.974 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC([C@H](C)CO)CC1 ZINC001348758981 874764314 /nfs/dbraw/zinc/76/43/14/874764314.db2.gz WAXBXAYBAATNRY-MRVPVSSYSA-N -1 1 311.407 1.260 20 0 DDADMM CCCC1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001209554182 874962929 /nfs/dbraw/zinc/96/29/29/874962929.db2.gz YOZFCKFGXATSOD-NSHDSACASA-N -1 1 307.398 1.171 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)s1 ZINC001349214449 875039439 /nfs/dbraw/zinc/03/94/39/875039439.db2.gz UPIKRYQMPXLHOZ-ZWNMCFTASA-N -1 1 318.406 1.459 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(O)c(N)c2)cc(C)n1 ZINC001210781179 875526302 /nfs/dbraw/zinc/52/63/02/875526302.db2.gz NTJFJCJVEUHXTJ-UHFFFAOYSA-N -1 1 309.347 1.487 20 0 DDADMM O=C(Cn1ccc2ccc(F)cc21)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001350225068 875587098 /nfs/dbraw/zinc/58/70/98/875587098.db2.gz SZHSHJIJWJJLQN-LLVKDONJSA-N -1 1 314.324 1.310 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc(N)c(N)c2)c(C)n1 ZINC001211044159 875638208 /nfs/dbraw/zinc/63/82/08/875638208.db2.gz KBEQVOZFCYFSGG-UHFFFAOYSA-N -1 1 308.363 1.364 20 0 DDADMM O=C(c1cc(Cl)ncc1F)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001350427624 875681967 /nfs/dbraw/zinc/68/19/67/875681967.db2.gz BBQYQSDAGXGWFK-MRVPVSSYSA-N -1 1 324.747 1.482 20 0 DDADMM O=C(c1cc2ccccc2[nH]1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001350427999 875683633 /nfs/dbraw/zinc/68/36/33/875683633.db2.gz FJFHKKVOUFYQDI-NSHDSACASA-N -1 1 310.361 1.776 20 0 DDADMM Cc1ccc([C@H]2CCCN2c2nnc(-c3nnn[n-]3)n2C)cc1 ZINC001350430097 875683781 /nfs/dbraw/zinc/68/37/81/875683781.db2.gz CXUDNEMWLSTHAV-GFCCVEGCSA-N -1 1 310.365 1.645 20 0 DDADMM Cc1ccc([C@H]2CCCN2c2nnc(-c3nn[n-]n3)n2C)cc1 ZINC001350430097 875683784 /nfs/dbraw/zinc/68/37/84/875683784.db2.gz CXUDNEMWLSTHAV-GFCCVEGCSA-N -1 1 310.365 1.645 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)NCc1ccc([O-])c(Cl)c1)C(N)=O ZINC001413918624 875721024 /nfs/dbraw/zinc/72/10/24/875721024.db2.gz SIXJZWZHEUJTDR-UFBFGSQYSA-N -1 1 313.785 1.745 20 0 DDADMM COC(=O)[N-]C(=S)N(c1cncn1C)c1ccccc1N ZINC001211253976 875728205 /nfs/dbraw/zinc/72/82/05/875728205.db2.gz PMMWAIGPXKFYHJ-UHFFFAOYSA-N -1 1 305.363 1.781 20 0 DDADMM O=C([O-])COCCNCc1cccc(F)c1OC(F)(F)F ZINC001351743548 876412488 /nfs/dbraw/zinc/41/24/88/876412488.db2.gz WZIUMXMXKQQVMF-UHFFFAOYSA-N -1 1 311.231 1.915 20 0 DDADMM CCC[C@@H](OC)C(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001351797312 876436277 /nfs/dbraw/zinc/43/62/77/876436277.db2.gz VWCGKQZMWVAWEQ-CYBMUJFWSA-N -1 1 323.393 1.181 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)/C=C\C1CC1 ZINC001352122300 876606319 /nfs/dbraw/zinc/60/63/19/876606319.db2.gz POPKXAOIHMANHX-HJWRWDBZSA-N -1 1 317.389 1.722 20 0 DDADMM COCC(C)(C)CCCNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001352199304 876644345 /nfs/dbraw/zinc/64/43/45/876644345.db2.gz SEAJLAOWOMEYAV-UHFFFAOYSA-N -1 1 313.423 1.962 20 0 DDADMM COC[C@H](CC(C)(C)C)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001352412836 876734218 /nfs/dbraw/zinc/73/42/18/876734218.db2.gz OYSMECLLTNGVTF-VIFPVBQESA-N -1 1 313.423 1.960 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc([S@](C)=O)cc2)c(=O)[n-]1 ZINC001362278877 883468988 /nfs/dbraw/zinc/46/89/88/883468988.db2.gz NTNSRTCJIBEXTE-NRFANRHFSA-N -1 1 323.399 1.894 20 0 DDADMM COc1cc(C(N)=O)ccc1Nc1cc(=O)[n-]c(SC)n1 ZINC001215632569 876769644 /nfs/dbraw/zinc/76/96/44/876769644.db2.gz DKZLEUSASCZSEJ-UHFFFAOYSA-N -1 1 306.347 1.755 20 0 DDADMM CCC(CC)CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216094662 876861402 /nfs/dbraw/zinc/86/14/02/876861402.db2.gz GSFLOWPXYFWPGW-ZYHUDNBSSA-N -1 1 309.414 1.273 20 0 DDADMM COc1ncc(Nc2ncc(O)cc2C)cc1[N-]S(C)(=O)=O ZINC001216152255 876878970 /nfs/dbraw/zinc/87/89/70/876878970.db2.gz RDRJIOSKTUUXNI-UHFFFAOYSA-N -1 1 324.362 1.614 20 0 DDADMM COc1ncc(Nc2ccc(CO)cc2)cc1[N-]S(C)(=O)=O ZINC001216155453 876880631 /nfs/dbraw/zinc/88/06/31/876880631.db2.gz ISDAZWJNDNXRFW-UHFFFAOYSA-N -1 1 323.374 1.698 20 0 DDADMM O=C(c1cccnc1Cl)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001352792679 876934924 /nfs/dbraw/zinc/93/49/24/876934924.db2.gz ASPZSRUCRSURRN-VIFPVBQESA-N -1 1 306.757 1.343 20 0 DDADMM CC[C@H](CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001216468296 876951590 /nfs/dbraw/zinc/95/15/90/876951590.db2.gz CELOQDWEUFKWNK-JHJVBQTASA-N -1 1 323.441 1.519 20 0 DDADMM C[C@@H](CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001216557036 876977227 /nfs/dbraw/zinc/97/72/27/876977227.db2.gz QVUORMFHJBWEFT-GRYCIOLGSA-N -1 1 323.441 1.519 20 0 DDADMM CCC(=CC(=O)NC[C@@H](NC(=O)c1cnn[nH]1)C1CC1)CC ZINC001353087998 877110600 /nfs/dbraw/zinc/11/06/00/877110600.db2.gz RMLGHXHCXMASMG-GFCCVEGCSA-N -1 1 305.382 1.176 20 0 DDADMM COC(=O)c1cccc2[n-]c(O[C@H]3CCNCC3(F)F)nc21 ZINC001218011120 877369035 /nfs/dbraw/zinc/36/90/35/877369035.db2.gz FOOGUMVPFHUNDK-JTQLQIEISA-N -1 1 311.288 1.726 20 0 DDADMM Cc1ccc(C)c(C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001379586818 877453075 /nfs/dbraw/zinc/45/30/75/877453075.db2.gz IWIOLLNSPXZRMD-NSHDSACASA-N -1 1 303.366 1.035 20 0 DDADMM O=C(NC1C(=O)N=CN=C1[O-])[C@@H]1CCC[C@H](c2ccccc2)N1 ZINC001218702458 877673821 /nfs/dbraw/zinc/67/38/21/877673821.db2.gz QGVSQCBFIZFLLH-NEPJUHHUSA-N -1 1 314.345 1.710 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cnc([C@@H](C)[NH3+])s2)c[nH]1 ZINC001218765605 877719182 /nfs/dbraw/zinc/71/91/82/877719182.db2.gz XILHHWIPJCTRMR-ZCFIWIBFSA-N -1 1 309.351 1.315 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)C1(CCF)CC1 ZINC001395563422 912288036 /nfs/dbraw/zinc/28/80/36/912288036.db2.gz XYTPGOCEPBFSCE-LLVKDONJSA-N -1 1 323.368 1.504 20 0 DDADMM Nc1nc2cc(NC(=O)c3c[nH]c(=S)[n-]c3=O)ccc2s1 ZINC001219250322 878058319 /nfs/dbraw/zinc/05/83/19/878058319.db2.gz ULTNWAJYMWHMMF-UHFFFAOYSA-N -1 1 319.371 1.915 20 0 DDADMM CSc1ncc([O-])c(C(=O)N[C@@H]2CNCc3ccsc32)n1 ZINC001219828748 878538037 /nfs/dbraw/zinc/53/80/37/878538037.db2.gz DUGYICWYWLMPCK-MRVPVSSYSA-N -1 1 322.415 1.540 20 0 DDADMM CC/C=C(/C)C(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001356839520 879460320 /nfs/dbraw/zinc/46/03/20/879460320.db2.gz YGXOTSSGMXHMTQ-CGGDQNISSA-N -1 1 315.373 1.569 20 0 DDADMM Cc1cnc(C(=O)NCc2ncc(Br)cn2)c([O-])c1 ZINC001365137008 890177249 /nfs/dbraw/zinc/17/72/49/890177249.db2.gz BHUBWKXMDJEWSU-UHFFFAOYSA-N -1 1 323.150 1.578 20 0 DDADMM C[C@@H](CNC(=O)CCC(F)F)N(C)C(=O)c1ncccc1[O-] ZINC001380823002 880468651 /nfs/dbraw/zinc/46/86/51/880468651.db2.gz SDXHHVRIQJIGCD-VIFPVBQESA-N -1 1 315.320 1.409 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCn2c(nnc2C2CCCC2)C1 ZINC001362193341 883260080 /nfs/dbraw/zinc/26/00/80/883260080.db2.gz RIOMNUKZMXIBDR-UHFFFAOYSA-N -1 1 313.361 1.692 20 0 DDADMM CC(C)c1nc([C@H]2CCCCN2C(=O)CCc2nn[n-]n2)no1 ZINC001362197491 883270997 /nfs/dbraw/zinc/27/09/97/883270997.db2.gz FTHPHHNLPRBYEG-SNVBAGLBSA-N -1 1 319.369 1.393 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](O)c2ccccc2C)c(=O)[n-]1 ZINC001362228062 883355392 /nfs/dbraw/zinc/35/53/92/883355392.db2.gz NWAPGKOCDNUKOO-LBPRGKRZSA-N -1 1 319.386 1.676 20 0 DDADMM O=C(NC[C@H](O)COc1ccc(F)cc1)c1ccc([O-])cc1F ZINC001362257132 883423230 /nfs/dbraw/zinc/42/32/30/883423230.db2.gz ZCMRYHUFUSRZOJ-LBPRGKRZSA-N -1 1 323.295 1.840 20 0 DDADMM CCOC(=O)CC[C@H](C)NC(=O)c1cnc(SC)[n-]c1=O ZINC001362258712 883426773 /nfs/dbraw/zinc/42/67/73/883426773.db2.gz BEZQACZLEHOUOA-QMMMGPOBSA-N -1 1 313.379 1.366 20 0 DDADMM O=C(CNC(=O)c1cnncc1[O-])OCc1ccc(Cl)cc1 ZINC001362283337 883478182 /nfs/dbraw/zinc/47/81/82/883478182.db2.gz YVMYDVPCYUGOGA-UHFFFAOYSA-N -1 1 321.720 1.309 20 0 DDADMM CCOC(C)(C)C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001362299378 883512594 /nfs/dbraw/zinc/51/25/94/883512594.db2.gz RJUWAGBDHHRIOO-UHFFFAOYSA-N -1 1 305.338 1.532 20 0 DDADMM Cc1nc([C@@H]2COCCN2C(=O)c2cccc([O-])c2F)no1 ZINC001362338397 883599896 /nfs/dbraw/zinc/59/98/96/883599896.db2.gz UBPIWJUHWZSNGR-JTQLQIEISA-N -1 1 307.281 1.436 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1cccnn1 ZINC001362349278 883621030 /nfs/dbraw/zinc/62/10/30/883621030.db2.gz AQEGSKPGIHWPHT-UHFFFAOYSA-N -1 1 310.310 1.240 20 0 DDADMM O=C(Nn1cc(Br)cn1)c1ccc(F)c([O-])c1 ZINC001362353742 883631727 /nfs/dbraw/zinc/63/17/27/883631727.db2.gz KDWSLNBYLYAFLJ-UHFFFAOYSA-N -1 1 300.087 1.874 20 0 DDADMM CCOC(=O)[C@]1(C(C)C)CCN(C(=O)c2ccc([O-])cn2)C1 ZINC001362388280 883698029 /nfs/dbraw/zinc/69/80/29/883698029.db2.gz XEOXTPAQWNHCPL-MRXNPFEDSA-N -1 1 306.362 1.839 20 0 DDADMM CC(C)[C@@H](C)C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382262618 883705693 /nfs/dbraw/zinc/70/56/93/883705693.db2.gz UWJOBPZRSZSIBW-NWDGAFQWSA-N -1 1 307.394 1.656 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]1Cc1ccccc1 ZINC001362430134 883792679 /nfs/dbraw/zinc/79/26/79/883792679.db2.gz GVLLFZVWAODUMA-NSHDSACASA-N -1 1 315.329 1.354 20 0 DDADMM CCC(CC)(NC(=O)C1SCCCS1)c1nn[n-]n1 ZINC001362494052 883934544 /nfs/dbraw/zinc/93/45/44/883934544.db2.gz FXUQUXSMFZFSMD-UHFFFAOYSA-N -1 1 301.441 1.527 20 0 DDADMM CCC(CC)(NC(=O)CO[C@H]1CCC[C@@H](C)C1)c1nn[n-]n1 ZINC001362496256 883939534 /nfs/dbraw/zinc/93/95/34/883939534.db2.gz LIKUCNQBFCKHOC-NEPJUHHUSA-N -1 1 309.414 1.927 20 0 DDADMM CC[C@@H](NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O)C(=O)NC ZINC001362569091 884115102 /nfs/dbraw/zinc/11/51/02/884115102.db2.gz MHHRLVFYMGVFMN-SECBINFHSA-N -1 1 308.382 1.043 20 0 DDADMM COC(=O)[C@@H](Oc1nc(C)[n-]c(=O)c1OC)c1ccccc1 ZINC001230022921 884284633 /nfs/dbraw/zinc/28/46/33/884284633.db2.gz XYIXFFGFIXDAFE-NSHDSACASA-N -1 1 304.302 1.792 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](OC)C2CCCC2)n[n-]1 ZINC001362658373 884342322 /nfs/dbraw/zinc/34/23/22/884342322.db2.gz GOSHYMICZWKTQI-ONGXEEELSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](OC)C2CCCC2)[n-]1 ZINC001362658373 884342341 /nfs/dbraw/zinc/34/23/41/884342341.db2.gz GOSHYMICZWKTQI-ONGXEEELSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](OC)C2CCCC2)n1 ZINC001362658373 884342358 /nfs/dbraw/zinc/34/23/58/884342358.db2.gz GOSHYMICZWKTQI-ONGXEEELSA-N -1 1 324.381 1.364 20 0 DDADMM COC(=O)c1c[n-]c(O[C@@H]2CCCn3c2cnc3C(=O)OC)n1 ZINC001230281887 884409282 /nfs/dbraw/zinc/40/92/82/884409282.db2.gz KEXZPNJUXNOULC-SNVBAGLBSA-N -1 1 320.305 1.093 20 0 DDADMM C[C@]1(C(N)=O)CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC001362714379 884470035 /nfs/dbraw/zinc/47/00/35/884470035.db2.gz ASKXXEHVOSBAFR-KRWDZBQOSA-N -1 1 314.341 1.589 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2ccc([O-])c(F)c2)ccn1 ZINC001362715720 884472762 /nfs/dbraw/zinc/47/27/62/884472762.db2.gz XGQNXPRZSFBADA-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](CCCO)C1 ZINC001362752881 884554543 /nfs/dbraw/zinc/55/45/43/884554543.db2.gz YSDYDYFXQMOQSM-GFCCVEGCSA-N -1 1 307.394 1.849 20 0 DDADMM C[C@@H](NC(=O)CCC1CC1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382745866 884615024 /nfs/dbraw/zinc/61/50/24/884615024.db2.gz JOONZKMRLFDAQI-MNOVXSKESA-N -1 1 305.378 1.600 20 0 DDADMM CCC[C@@H]1C[C@H](C(=O)NC(CC)(CC)c2nn[n-]n2)CCO1 ZINC001362782075 884625425 /nfs/dbraw/zinc/62/54/25/884625425.db2.gz BABYHFGKYPTRJN-VXGBXAGGSA-N -1 1 309.414 1.927 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cnc(C2CC2)s1 ZINC001362830964 884746561 /nfs/dbraw/zinc/74/65/61/884746561.db2.gz NHPQHANRUGSXOQ-UHFFFAOYSA-N -1 1 306.395 1.832 20 0 DDADMM COCCC(=O)N(C)CC(C)(C)CNC(=O)c1ncccc1[O-] ZINC001382819040 884746448 /nfs/dbraw/zinc/74/64/48/884746448.db2.gz AGEMXMGDDGAKRL-UHFFFAOYSA-N -1 1 323.393 1.038 20 0 DDADMM CCOc1ccccc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001362832317 884749461 /nfs/dbraw/zinc/74/94/61/884749461.db2.gz SCTOZCJSEKZWHC-UHFFFAOYSA-N -1 1 303.366 1.897 20 0 DDADMM CS(=O)(=O)c1cccc(CNC(=O)c2ncccc2[O-])c1 ZINC001362926040 885004084 /nfs/dbraw/zinc/00/40/84/885004084.db2.gz WVPDFGHYSSFFDP-UHFFFAOYSA-N -1 1 306.343 1.121 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cccc(OC)c2)n[n-]1 ZINC001362939930 885038483 /nfs/dbraw/zinc/03/84/83/885038483.db2.gz VRBNHIHOGUYDGC-VIFPVBQESA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cccc(OC)c2)[n-]1 ZINC001362939930 885038492 /nfs/dbraw/zinc/03/84/92/885038492.db2.gz VRBNHIHOGUYDGC-VIFPVBQESA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cccc(OC)c2)n1 ZINC001362939930 885038501 /nfs/dbraw/zinc/03/85/01/885038501.db2.gz VRBNHIHOGUYDGC-VIFPVBQESA-N -1 1 318.333 1.481 20 0 DDADMM CCCc1cc(C(=O)Nc2nc(C(=O)OCC)c[n-]2)cc(=O)[nH]1 ZINC001362979069 885132332 /nfs/dbraw/zinc/13/23/32/885132332.db2.gz SFWNNFVVFGASKE-UHFFFAOYSA-N -1 1 318.333 1.892 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@]2(C)CCCCO2)n[n-]1 ZINC001363046759 885320295 /nfs/dbraw/zinc/32/02/95/885320295.db2.gz PIXXSJKVFRXZBS-LKFCYVNXSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@]2(C)CCCCO2)[n-]1 ZINC001363046759 885320300 /nfs/dbraw/zinc/32/03/00/885320300.db2.gz PIXXSJKVFRXZBS-LKFCYVNXSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@]2(C)CCCCO2)n1 ZINC001363046759 885320316 /nfs/dbraw/zinc/32/03/16/885320316.db2.gz PIXXSJKVFRXZBS-LKFCYVNXSA-N -1 1 310.354 1.118 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCN(c2nccs2)CC1 ZINC001363097991 885456014 /nfs/dbraw/zinc/45/60/14/885456014.db2.gz DATKGIYDCOWWNC-UHFFFAOYSA-N -1 1 307.350 1.950 20 0 DDADMM COc1cnc([C@H]2CCCN2c2cc(Cl)n[nH]c2=O)[n-]c1=O ZINC001363166445 885625097 /nfs/dbraw/zinc/62/50/97/885625097.db2.gz GOWRLFHKRWZWBC-SSDOTTSWSA-N -1 1 323.740 1.681 20 0 DDADMM CCC1(CNC(=O)c2cnc(SC)[n-]c2=O)CCOCC1 ZINC001363179815 885654050 /nfs/dbraw/zinc/65/40/50/885654050.db2.gz KEYWJLYGUHJMRS-UHFFFAOYSA-N -1 1 311.407 1.841 20 0 DDADMM NC(=O)c1cc2c([nH]1)CN(Cc1ccc([O-])c(F)c1F)CC2 ZINC001277543284 885769373 /nfs/dbraw/zinc/76/93/73/885769373.db2.gz MTRAGZNIDUHTMZ-UHFFFAOYSA-N -1 1 307.300 1.656 20 0 DDADMM COC[C@H]1CN(Cc2ccc(Cl)c([O-])c2)Cc2nnn(C)c21 ZINC001231729740 885837673 /nfs/dbraw/zinc/83/76/73/885837673.db2.gz BIJCRJNVWANNEH-LLVKDONJSA-N -1 1 322.796 1.920 20 0 DDADMM COC(=O)[C@@H](C)c1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001363307736 885975946 /nfs/dbraw/zinc/97/59/46/885975946.db2.gz ACRHASSPYGQSRF-VIFPVBQESA-N -1 1 301.302 1.711 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(OC)CCCCC2)n[n-]1 ZINC001363472276 886431807 /nfs/dbraw/zinc/43/18/07/886431807.db2.gz CITZVFWOFRUDLP-SNVBAGLBSA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(OC)CCCCC2)[n-]1 ZINC001363472276 886431816 /nfs/dbraw/zinc/43/18/16/886431816.db2.gz CITZVFWOFRUDLP-SNVBAGLBSA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(OC)CCCCC2)n1 ZINC001363472276 886431823 /nfs/dbraw/zinc/43/18/23/886431823.db2.gz CITZVFWOFRUDLP-SNVBAGLBSA-N -1 1 324.381 1.508 20 0 DDADMM O=C(CSCc1ccc(F)cc1)NCc1nc([O-])cc(=O)[nH]1 ZINC001363491785 886479799 /nfs/dbraw/zinc/47/97/99/886479799.db2.gz VGBGGHQHGGBKLU-UHFFFAOYSA-N -1 1 323.349 1.577 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)[C@@H]1CC12CC2 ZINC001383796107 886537642 /nfs/dbraw/zinc/53/76/42/886537642.db2.gz MXVVMQVYIFRXFA-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCCN(C(=O)c2cnncc2[O-])C1 ZINC001363524815 886551066 /nfs/dbraw/zinc/55/10/66/886551066.db2.gz MRGCOEUKRBMDMQ-JTQLQIEISA-N -1 1 322.365 1.312 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCCn3nccc32)c(=O)[n-]1 ZINC001363532884 886569248 /nfs/dbraw/zinc/56/92/48/886569248.db2.gz CMVCUKXASTXOQT-SECBINFHSA-N -1 1 305.363 1.366 20 0 DDADMM COC[C@H]1c2nnn(C)c2CCN1Cc1cccc([O-])c1Cl ZINC001232787009 886585214 /nfs/dbraw/zinc/58/52/14/886585214.db2.gz HIMSCMJOVFHWGO-LBPRGKRZSA-N -1 1 322.796 1.920 20 0 DDADMM COC(=O)C[C@H]1CN(Cc2cc(Cl)ncc2[O-])CCO1 ZINC001233034058 886741296 /nfs/dbraw/zinc/74/12/96/886741296.db2.gz FMPSKGHYZMOTGL-JTQLQIEISA-N -1 1 300.742 1.205 20 0 DDADMM CCOC(=O)[C@H]1C(=O)CCCN1Cc1cc(Cl)ncc1[O-] ZINC001233034141 886744339 /nfs/dbraw/zinc/74/43/39/886744339.db2.gz GFNJNEGYHVYSAZ-CYBMUJFWSA-N -1 1 312.753 1.537 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)Nc2ncccc2C)n1 ZINC001363750428 887137265 /nfs/dbraw/zinc/13/72/65/887137265.db2.gz BUKIIMFXVYUYCX-UHFFFAOYSA-N -1 1 306.347 1.631 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2ccc(F)c([O-])c2)CCS1(=O)=O ZINC001363798842 887248974 /nfs/dbraw/zinc/24/89/74/887248974.db2.gz ZKRLUYJMCBFUGZ-SNVBAGLBSA-N -1 1 315.366 1.617 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H](C(C)=O)c1ccccc1 ZINC001233760019 887287313 /nfs/dbraw/zinc/28/73/13/887287313.db2.gz UJSPHJFWDXZVQR-GFCCVEGCSA-N -1 1 302.286 1.678 20 0 DDADMM CC1(C)Cc2ccc(C(=O)NC3(c4nn[n-]n4)CCC3)cc2O1 ZINC001363822088 887317374 /nfs/dbraw/zinc/31/73/74/887317374.db2.gz QKVOTZWPACSRQN-UHFFFAOYSA-N -1 1 313.361 1.722 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cccc(C)n2)n[n-]1 ZINC001363882092 887461878 /nfs/dbraw/zinc/46/18/78/887461878.db2.gz CSWDEQQEYNOPMS-SECBINFHSA-N -1 1 303.322 1.176 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cccc(C)n2)[n-]1 ZINC001363882092 887461890 /nfs/dbraw/zinc/46/18/90/887461890.db2.gz CSWDEQQEYNOPMS-SECBINFHSA-N -1 1 303.322 1.176 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cccc(C)n2)n1 ZINC001363882092 887461898 /nfs/dbraw/zinc/46/18/98/887461898.db2.gz CSWDEQQEYNOPMS-SECBINFHSA-N -1 1 303.322 1.176 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H]2CCCC[C@@H]2O)n[n-]1 ZINC001363883930 887465927 /nfs/dbraw/zinc/46/59/27/887465927.db2.gz GQHCXDIQIBLTGA-AXFHLTTASA-N -1 1 324.381 1.100 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H]2CCCC[C@@H]2O)[n-]1 ZINC001363883930 887465938 /nfs/dbraw/zinc/46/59/38/887465938.db2.gz GQHCXDIQIBLTGA-AXFHLTTASA-N -1 1 324.381 1.100 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H]2CCCC[C@@H]2O)n1 ZINC001363883930 887465945 /nfs/dbraw/zinc/46/59/45/887465945.db2.gz GQHCXDIQIBLTGA-AXFHLTTASA-N -1 1 324.381 1.100 20 0 DDADMM CN(C[C@H](O)c1cccnc1)C(=O)c1c(F)ccc([O-])c1F ZINC001363885741 887471190 /nfs/dbraw/zinc/47/11/90/887471190.db2.gz LNWYOBFESNUXFS-LBPRGKRZSA-N -1 1 308.284 1.871 20 0 DDADMM CC[C@H]1CCC[C@@H]1CNS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001363977130 887652636 /nfs/dbraw/zinc/65/26/36/887652636.db2.gz JAEGIYVKSVENCP-VHSXEESVSA-N -1 1 315.395 1.301 20 0 DDADMM CC[C@H]1CCC[C@@H]1CNS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001363977130 887652645 /nfs/dbraw/zinc/65/26/45/887652645.db2.gz JAEGIYVKSVENCP-VHSXEESVSA-N -1 1 315.395 1.301 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H]1CCOC1(C)C ZINC001364026633 887749709 /nfs/dbraw/zinc/74/97/09/887749709.db2.gz DESCZCOJVDUDLE-MRVPVSSYSA-N -1 1 306.409 1.245 20 0 DDADMM COC(=O)C[C@@](C)(NC(=O)c1ccc([O-])cn1)c1cccnc1 ZINC001364038417 887772806 /nfs/dbraw/zinc/77/28/06/887772806.db2.gz VTUUBLJBHSXSIF-MRXNPFEDSA-N -1 1 315.329 1.391 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC(C)(C)c2ncc[nH]2)c1 ZINC001364071146 887849050 /nfs/dbraw/zinc/84/90/50/887849050.db2.gz JYRVLOGTBTYZED-UHFFFAOYSA-N -1 1 311.363 1.338 20 0 DDADMM COc1ccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c(O)c1 ZINC001364085608 887879860 /nfs/dbraw/zinc/87/98/60/887879860.db2.gz GDQBXSHXMWBRMR-JTQLQIEISA-N -1 1 323.378 1.138 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@@H](C)COCc2ccccc2)c(=O)[n-]1 ZINC001234469126 888001200 /nfs/dbraw/zinc/00/12/00/888001200.db2.gz DDYKOLUFRRAMCO-JTQLQIEISA-N -1 1 317.345 1.574 20 0 DDADMM COc1cccc([C@H](C)Oc2c(C(N)=O)nc(C)[n-]c2=O)c1 ZINC001234470010 888001899 /nfs/dbraw/zinc/00/18/99/888001899.db2.gz MQAYQARQBJBPBB-QMMMGPOBSA-N -1 1 303.318 1.738 20 0 DDADMM Cc1cccc(OC[C@H](C)Oc2c(C(N)=O)nc[n-]c2=O)c1 ZINC001234469566 888002167 /nfs/dbraw/zinc/00/21/67/888002167.db2.gz ADGRRIGIUUVACF-JTQLQIEISA-N -1 1 303.318 1.436 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@H]2COCc3ccccc32)c(=O)[n-]1 ZINC001234470833 888004557 /nfs/dbraw/zinc/00/45/57/888004557.db2.gz YJLGDOIDRNMGRC-NSHDSACASA-N -1 1 301.302 1.240 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@@H]2COCc3ccccc32)c(=O)[n-]1 ZINC001234470831 888004713 /nfs/dbraw/zinc/00/47/13/888004713.db2.gz YJLGDOIDRNMGRC-LLVKDONJSA-N -1 1 301.302 1.240 20 0 DDADMM CC(C)(C)OC(=O)N[C@H](C(=O)Nc1c[n-][nH]c1=O)C1CCC1 ZINC001364141788 888013636 /nfs/dbraw/zinc/01/36/36/888013636.db2.gz JXAYYNUOURZRTO-JTQLQIEISA-N -1 1 310.354 1.747 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@@H](C)C2CCOCC2)c(=O)[n-]1 ZINC001234560572 888098640 /nfs/dbraw/zinc/09/86/40/888098640.db2.gz GEZWDWDGBGOISO-VIFPVBQESA-N -1 1 309.366 1.598 20 0 DDADMM CN(Cc1ccco1)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364328803 888393020 /nfs/dbraw/zinc/39/30/20/888393020.db2.gz JBYDOFCCZNTFRF-UHFFFAOYSA-N -1 1 318.333 1.190 20 0 DDADMM COC(=O)c1c(NC(=O)[C@H]2CCCC23CC3)n[n-]c1OCCO ZINC001364512115 888810928 /nfs/dbraw/zinc/81/09/28/888810928.db2.gz ZQOGIVZJPMAPLI-SECBINFHSA-N -1 1 323.349 1.086 20 0 DDADMM COC(=O)c1c(NC(=O)[C@H]2CCCC23CC3)[n-]nc1OCCO ZINC001364512115 888810935 /nfs/dbraw/zinc/81/09/35/888810935.db2.gz ZQOGIVZJPMAPLI-SECBINFHSA-N -1 1 323.349 1.086 20 0 DDADMM CC(C)Cc1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)cc(=O)[nH]1 ZINC001364569293 888948068 /nfs/dbraw/zinc/94/80/68/888948068.db2.gz SRQLQEQBHUBIJC-JTQLQIEISA-N -1 1 316.365 1.129 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1ccc(O)c(Cl)c1 ZINC001364649708 889120821 /nfs/dbraw/zinc/12/08/21/889120821.db2.gz CMXYCLIWUQTCSL-UHFFFAOYSA-N -1 1 323.784 1.786 20 0 DDADMM CC(C)Oc1ccccc1CC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001364663990 889152020 /nfs/dbraw/zinc/15/20/20/889152020.db2.gz RQUIVAMWFYXDCD-UHFFFAOYSA-N -1 1 315.377 1.725 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NCc2cn(C3CCC3)nn2)n[n-]1 ZINC001364721354 889270778 /nfs/dbraw/zinc/27/07/78/889270778.db2.gz AVTMUQBWRXGPTC-VIFPVBQESA-N -1 1 319.369 1.149 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2cn(C3CCC3)nn2)n1 ZINC001364721354 889270791 /nfs/dbraw/zinc/27/07/91/889270791.db2.gz AVTMUQBWRXGPTC-VIFPVBQESA-N -1 1 319.369 1.149 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCC[C@H]2C)[n-]n1 ZINC001364750484 889334125 /nfs/dbraw/zinc/33/41/25/889334125.db2.gz NNCJLVQTKHHYFI-RKDXNWHRSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCC[C@H]2C)n[n-]1 ZINC001364750484 889334137 /nfs/dbraw/zinc/33/41/37/889334137.db2.gz NNCJLVQTKHHYFI-RKDXNWHRSA-N -1 1 301.368 1.053 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)C2CCOCC2)sn1 ZINC001364824287 889487505 /nfs/dbraw/zinc/48/75/05/889487505.db2.gz SAEZKHRVKXWUJP-MRVPVSSYSA-N -1 1 306.409 1.245 20 0 DDADMM C[C@H]1[C@H](C)S(=O)(=O)CCN1Cc1ccc([O-])c(F)c1F ZINC001364924621 889678086 /nfs/dbraw/zinc/67/80/86/889678086.db2.gz BOIWUAWRFHXNAA-IUCAKERBSA-N -1 1 305.346 1.678 20 0 DDADMM O=S(=O)(Cc1ccno1)NCCc1c(F)cc([O-])cc1F ZINC001364975889 889795702 /nfs/dbraw/zinc/79/57/02/889795702.db2.gz KRPGODGULVTYKK-UHFFFAOYSA-N -1 1 318.301 1.321 20 0 DDADMM CC[C@H](C)CCC(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001385932849 890016966 /nfs/dbraw/zinc/01/69/66/890016966.db2.gz OWPXPWWLOQZRDZ-AVGNSLFASA-N -1 1 319.405 1.991 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2c[nH]c(C)n2)[n-]c1=O ZINC001365147793 890195946 /nfs/dbraw/zinc/19/59/46/890195946.db2.gz RIUDLWBSUSFOLE-SNVBAGLBSA-N -1 1 303.322 1.200 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(OC)cc2C)n[n-]1 ZINC001365196449 890318551 /nfs/dbraw/zinc/31/85/51/890318551.db2.gz WADXJZDOYQUXAD-UHFFFAOYSA-N -1 1 318.333 1.228 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(OC)cc2C)n1 ZINC001365196449 890318568 /nfs/dbraw/zinc/31/85/68/890318568.db2.gz WADXJZDOYQUXAD-UHFFFAOYSA-N -1 1 318.333 1.228 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnn(CC(C)(C)O)c3)cc2[n-]1 ZINC001240190343 890848259 /nfs/dbraw/zinc/84/82/59/890848259.db2.gz IDAHKEZSVUAGPG-UHFFFAOYSA-N -1 1 314.345 1.984 20 0 DDADMM COC(=O)c1nc2cc(-c3cnn(CC(C)(C)O)c3)ccc2[n-]1 ZINC001240190343 890848271 /nfs/dbraw/zinc/84/82/71/890848271.db2.gz IDAHKEZSVUAGPG-UHFFFAOYSA-N -1 1 314.345 1.984 20 0 DDADMM COC(=O)c1ccc(-c2ccc(-c3nnn[n-]3)nc2)cc1OC ZINC001240446573 890931338 /nfs/dbraw/zinc/93/13/38/890931338.db2.gz ZPHFWYDUPCQPBI-UHFFFAOYSA-N -1 1 311.301 1.724 20 0 DDADMM COC(=O)c1ccc(-c2ccc(-c3nn[n-]n3)nc2)cc1OC ZINC001240446573 890931354 /nfs/dbraw/zinc/93/13/54/890931354.db2.gz ZPHFWYDUPCQPBI-UHFFFAOYSA-N -1 1 311.301 1.724 20 0 DDADMM CS(=O)(=O)c1c[nH]c2ncnc(-c3cc(F)ccc3[O-])c21 ZINC001240693222 891019757 /nfs/dbraw/zinc/01/97/57/891019757.db2.gz HVWLGRINNYSTRC-UHFFFAOYSA-N -1 1 307.306 1.825 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)Cc2nocc2C)CCCC1 ZINC001365635781 891220110 /nfs/dbraw/zinc/22/01/10/891220110.db2.gz AXCDDYAQHGWLLU-UHFFFAOYSA-N -1 1 316.379 1.278 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1C[C@H](OC)C1(C)C ZINC001365679592 891303149 /nfs/dbraw/zinc/30/31/49/891303149.db2.gz HMYOCMAHUBJJAH-BDAKNGLRSA-N -1 1 306.409 1.244 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC23CCC(CC2)C3)[n-]n1 ZINC001365696757 891351547 /nfs/dbraw/zinc/35/15/47/891351547.db2.gz CVWDAGDCYHNXSY-UHFFFAOYSA-N -1 1 313.379 1.055 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC23CCC(CC2)C3)n[n-]1 ZINC001365696757 891351560 /nfs/dbraw/zinc/35/15/60/891351560.db2.gz CVWDAGDCYHNXSY-UHFFFAOYSA-N -1 1 313.379 1.055 20 0 DDADMM CN(CCNC(=O)CC1CC1)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001386775969 891679182 /nfs/dbraw/zinc/67/91/82/891679182.db2.gz AIGREELNAFRPKX-UHFFFAOYSA-N -1 1 318.377 1.048 20 0 DDADMM COc1ncc(-c2ccnc3[nH]cnc32)cc1[N-]S(C)(=O)=O ZINC001244794646 891930375 /nfs/dbraw/zinc/93/03/75/891930375.db2.gz SXUPAVXUDACJLJ-UHFFFAOYSA-N -1 1 319.346 1.400 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnc(F)c(OC)c3)nc2[n-]1 ZINC001245078738 891990159 /nfs/dbraw/zinc/99/01/59/891990159.db2.gz KIMMCFSMCYKGTG-UHFFFAOYSA-N -1 1 302.265 1.954 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001387227583 892658207 /nfs/dbraw/zinc/65/82/07/892658207.db2.gz UNXHSJMXHNZCLR-CHWSQXEVSA-N -1 1 319.405 1.658 20 0 DDADMM COc1ccc(-c2noc(Cc3nnn[n-]3)n2)c(Cl)c1OC ZINC001247142076 893121433 /nfs/dbraw/zinc/12/14/33/893121433.db2.gz GLEIZZIIUABEGU-UHFFFAOYSA-N -1 1 322.712 1.511 20 0 DDADMM COc1ccc(-c2noc(Cc3nn[n-]n3)n2)c(Cl)c1OC ZINC001247142076 893121441 /nfs/dbraw/zinc/12/14/41/893121441.db2.gz GLEIZZIIUABEGU-UHFFFAOYSA-N -1 1 322.712 1.511 20 0 DDADMM O=C1CCc2c1c(-c1noc(Cc3nnn[n-]3)n1)ccc2F ZINC001247139592 893122315 /nfs/dbraw/zinc/12/23/15/893122315.db2.gz IVQVKCNRPNYKPV-UHFFFAOYSA-N -1 1 300.253 1.109 20 0 DDADMM O=C1CCc2c1c(-c1noc(Cc3nn[n-]n3)n1)ccc2F ZINC001247139592 893122323 /nfs/dbraw/zinc/12/23/23/893122323.db2.gz IVQVKCNRPNYKPV-UHFFFAOYSA-N -1 1 300.253 1.109 20 0 DDADMM C[C@H](CCNC(=O)[C@@H](C)C1CCC1)NC(=O)c1ncccc1[O-] ZINC001387512184 893258010 /nfs/dbraw/zinc/25/80/10/893258010.db2.gz YDDUALWLPNVKRM-NEPJUHHUSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)COCCC(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC000345487314 894026410 /nfs/dbraw/zinc/02/64/10/894026410.db2.gz YLCXBIFDLJWZTL-UHFFFAOYSA-N -1 1 319.365 1.780 20 0 DDADMM Cc1cc(CC(=O)Nc2ccc(OCc3nn[n-]n3)cc2)no1 ZINC000346961375 894413371 /nfs/dbraw/zinc/41/33/71/894413371.db2.gz VYCJNFQNPQPHBC-UHFFFAOYSA-N -1 1 314.305 1.256 20 0 DDADMM CN(C)S(=O)(=O)[N-]c1ncccc1OCc1ccccc1 ZINC001251043746 894604070 /nfs/dbraw/zinc/60/40/70/894604070.db2.gz KCIPPSZXQSIJEK-UHFFFAOYSA-N -1 1 307.375 1.879 20 0 DDADMM CNC(=O)Cc1cccc([N-]S(=O)(=O)c2ccccc2)c1 ZINC001251146324 894646638 /nfs/dbraw/zinc/64/66/38/894646638.db2.gz PNXUWHOZFFTUDJ-UHFFFAOYSA-N -1 1 304.371 1.776 20 0 DDADMM CCCCOC[C@H](O)CNc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001252505201 895226390 /nfs/dbraw/zinc/22/63/90/895226390.db2.gz ZRRYBXMHLRSFCB-SECBINFHSA-N -1 1 313.358 1.236 20 0 DDADMM CSc1nc(NC[C@@H](O)COCc2ccco2)cc(=O)[n-]1 ZINC001252631576 895325953 /nfs/dbraw/zinc/32/59/53/895325953.db2.gz XOGFRPVEWOFFKC-SECBINFHSA-N -1 1 311.363 1.487 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C1CCC(C)(C)CC1 ZINC001367415495 896713372 /nfs/dbraw/zinc/71/33/72/896713372.db2.gz ONDPKROZGRJOKS-NSHDSACASA-N -1 1 323.441 1.663 20 0 DDADMM Cc1ccc([N-]S(C)(=O)=O)c(-c2nnc([C@H]3CCOC3)o2)c1 ZINC001255622658 897060443 /nfs/dbraw/zinc/06/04/43/897060443.db2.gz GHLNKZHXOWYYIF-JTQLQIEISA-N -1 1 323.374 1.920 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cccc(F)c1)c1ccncc1 ZINC001255687494 897091206 /nfs/dbraw/zinc/09/12/06/897091206.db2.gz ATNBLVUKRFASDR-ZDUSSCGKSA-N -1 1 324.333 1.413 20 0 DDADMM CCC(CC)C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001389623212 897660758 /nfs/dbraw/zinc/66/07/58/897660758.db2.gz RLCCUCAGOVNDCK-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM CCc1coc(C[N-]S(=O)(=O)c2ccc(F)c(F)c2)n1 ZINC001259084156 898458596 /nfs/dbraw/zinc/45/85/96/898458596.db2.gz QGHZJLADTKPFNF-UHFFFAOYSA-N -1 1 302.302 1.994 20 0 DDADMM COC(=O)[C@H]1C[C@@H]1C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259462037 898681772 /nfs/dbraw/zinc/68/17/72/898681772.db2.gz KTZASJBRXYRYNY-APPZFPTMSA-N -1 1 321.757 1.567 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC001259462663 898682452 /nfs/dbraw/zinc/68/24/52/898682452.db2.gz UHCMWVIIOQBLMB-JTQLQIEISA-N -1 1 322.789 1.802 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC[C@H]1F)c1cccc(Cl)c1F ZINC001259471760 898685183 /nfs/dbraw/zinc/68/51/83/898685183.db2.gz QXQWBJIULNXNFO-RKDXNWHRSA-N -1 1 311.737 1.884 20 0 DDADMM O=c1[nH]cc([N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)c(=O)[nH]1 ZINC001259495901 898700215 /nfs/dbraw/zinc/70/02/15/898700215.db2.gz WSNSDWMZEIFZBN-UHFFFAOYSA-N -1 1 321.236 1.106 20 0 DDADMM COC(=O)c1csc(C)c1[N-]S(=O)(=O)C1CCOCC1 ZINC001259908358 898918558 /nfs/dbraw/zinc/91/85/58/898918558.db2.gz JSLHFQKIJNDKTO-UHFFFAOYSA-N -1 1 319.404 1.764 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1sccc1C)C(F)(F)F ZINC001260071790 899035698 /nfs/dbraw/zinc/03/56/98/899035698.db2.gz PKLDDYPQMKMJNN-LURJTMIESA-N -1 1 317.310 1.439 20 0 DDADMM C[C@@]1(CNC(=O)C2CCC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC001390282810 899074985 /nfs/dbraw/zinc/07/49/85/899074985.db2.gz YLSYBBBNFGHFHA-KRWDZBQOSA-N -1 1 317.389 1.556 20 0 DDADMM CCc1coc(C[N-]S(=O)(=O)c2ccc(OC)c(F)c2)n1 ZINC001260656350 899204455 /nfs/dbraw/zinc/20/44/55/899204455.db2.gz KPSATVFPOYKWHX-UHFFFAOYSA-N -1 1 314.338 1.863 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1conc1C ZINC001390372813 899278455 /nfs/dbraw/zinc/27/84/55/899278455.db2.gz AEARHUPVMAISMS-SNVBAGLBSA-N -1 1 318.333 1.022 20 0 DDADMM O=C(c1cc2cccc(F)c2o1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001263886212 900799422 /nfs/dbraw/zinc/79/94/22/900799422.db2.gz BSMSBGMEXASHLC-VIFPVBQESA-N -1 1 301.281 1.715 20 0 DDADMM CCN(CCCNC(=O)[C@@H]1CCC(C)(C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001265132855 901607224 /nfs/dbraw/zinc/60/72/24/901607224.db2.gz UGFLRKOONNLHKL-GFCCVEGCSA-N -1 1 323.441 1.665 20 0 DDADMM CC1(C(=O)NCC2CCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC001396850093 914594906 /nfs/dbraw/zinc/59/49/06/914594906.db2.gz QEAFGOOMNMMMDD-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM CCCc1[nH]ccc1C(=O)NC[C@@H](CC)NCc1n[nH]c(=O)[n-]1 ZINC001391721628 902445710 /nfs/dbraw/zinc/44/57/10/902445710.db2.gz IGPJJKLHPWNVNR-SNVBAGLBSA-N -1 1 320.397 1.089 20 0 DDADMM O=C(C=C1CCC1)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001266130818 902994779 /nfs/dbraw/zinc/99/47/79/902994779.db2.gz DETFPLUNNPXNBW-UHFFFAOYSA-N -1 1 305.382 1.091 20 0 DDADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccccc1 ZINC001392151276 903401914 /nfs/dbraw/zinc/40/19/14/903401914.db2.gz QDWLOEKUUYVIGO-CYBMUJFWSA-N -1 1 317.393 1.445 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](NCc2nccs2)C(C)C)c1[O-] ZINC001392216518 903561851 /nfs/dbraw/zinc/56/18/51/903561851.db2.gz OKHOCHNQNXURFN-JTQLQIEISA-N -1 1 323.422 1.424 20 0 DDADMM CC(C)=CC(=O)NC[C@H](C1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001280953926 904238806 /nfs/dbraw/zinc/23/88/06/904238806.db2.gz SEHXPLXATOEBDQ-CYBMUJFWSA-N -1 1 317.389 1.720 20 0 DDADMM CCN(C(=O)C(F)F)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001371341997 904743318 /nfs/dbraw/zinc/74/33/18/904743318.db2.gz JBXVMUYAGIPHAP-MRVPVSSYSA-N -1 1 301.293 1.019 20 0 DDADMM Cc1sccc1C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001392775545 905219226 /nfs/dbraw/zinc/21/92/26/905219226.db2.gz VKPBJGMKIPZNBW-LLVKDONJSA-N -1 1 321.406 1.178 20 0 DDADMM CCc1oc(C(=O)NCCNC(=O)c2ncccc2[O-])cc1C ZINC001282981355 906393956 /nfs/dbraw/zinc/39/39/56/906393956.db2.gz JHKQEPUFVQUARF-UHFFFAOYSA-N -1 1 317.345 1.411 20 0 DDADMM CC(C)[C@H](NC(=O)NCCc1c(F)cc([O-])cc1F)C(N)=O ZINC001372731625 908082075 /nfs/dbraw/zinc/08/20/75/908082075.db2.gz RYFNNDJBARYCPP-LBPRGKRZSA-N -1 1 315.320 1.022 20 0 DDADMM Cc1ccc(C(=O)N[C@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)cc1F ZINC001393946449 908346508 /nfs/dbraw/zinc/34/65/08/908346508.db2.gz AEMWPXTVSNVRMX-NXEZZACHSA-N -1 1 321.356 1.254 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001394427320 909602233 /nfs/dbraw/zinc/60/22/33/909602233.db2.gz JNSOZEGTZIEKAL-BZNIZROVSA-N -1 1 323.368 1.504 20 0 DDADMM C=C/C(C)=C\CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001284962749 909834146 /nfs/dbraw/zinc/83/41/46/909834146.db2.gz XYEMCMIDTGCXGW-SDQBBNPISA-N -1 1 315.373 1.498 20 0 DDADMM CC[C@@H](CNC(=O)[C@H]1CCCC2(CC2)C1)NCc1n[nH]c(=O)[n-]1 ZINC001373753813 910869431 /nfs/dbraw/zinc/86/94/31/910869431.db2.gz NMKKORXQKNMSMC-RYUDHWBXSA-N -1 1 321.425 1.465 20 0 DDADMM C[C@@H](CNC(=O)/C=C/c1ccc[nH]1)NC(=O)c1ncccc1[O-] ZINC001285722683 911099320 /nfs/dbraw/zinc/09/93/20/911099320.db2.gz HEXAQSYDYFXLBQ-MLRMMBSGSA-N -1 1 314.345 1.063 20 0 DDADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1)c1cccs1 ZINC001373833293 911140541 /nfs/dbraw/zinc/14/05/41/911140541.db2.gz DZTWDHLCABVOSF-UHFFFAOYSA-N -1 1 321.406 1.404 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)CCCF ZINC001294434277 915139491 /nfs/dbraw/zinc/13/94/91/915139491.db2.gz ZDVWRKUFPRPCSC-UHFFFAOYSA-N -1 1 311.357 1.505 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@@H]1CC=CCC1 ZINC001295468527 915823327 /nfs/dbraw/zinc/82/33/27/915823327.db2.gz CASNSOIFSIFVTG-CHWSQXEVSA-N -1 1 317.389 1.768 20 0 DDADMM C[C@H](CNC(=O)/C=C/c1ccc[nH]1)NC(=O)c1ncccc1[O-] ZINC001295469073 915824604 /nfs/dbraw/zinc/82/46/04/915824604.db2.gz HEXAQSYDYFXLBQ-XUIVZRPNSA-N -1 1 314.345 1.063 20 0 DDADMM Cc1ccc(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])s1 ZINC001397367532 915831180 /nfs/dbraw/zinc/83/11/80/915831180.db2.gz SMCLDRPIIIZSLF-VIFPVBQESA-N -1 1 319.386 1.705 20 0 DDADMM CCCC[C@H](NC(=O)c1cc(C)cc(C=O)c1[O-])C(=O)OC ZINC001296046919 916206481 /nfs/dbraw/zinc/20/64/81/916206481.db2.gz PXGWQZBKXHYELY-ZDUSSCGKSA-N -1 1 307.346 1.975 20 0 DDADMM CCC(C)(CC)C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001376885598 919671309 /nfs/dbraw/zinc/67/13/09/919671309.db2.gz YWOBZKJEINTUJS-LLVKDONJSA-N -1 1 307.394 1.848 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])Cc1ccccc1F ZINC001377141592 920450210 /nfs/dbraw/zinc/45/02/10/920450210.db2.gz RLHUHBQVBIGCQI-UHFFFAOYSA-N -1 1 303.337 1.788 20 0 DDADMM CC(C)CCCC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001377820011 923136882 /nfs/dbraw/zinc/13/68/82/923136882.db2.gz OPFCERIJSJZTNT-CYBMUJFWSA-N -1 1 323.441 1.015 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CC[C@H]3CCCCO3)nc2n1 ZINC000622871147 365551512 /nfs/dbraw/zinc/55/15/12/365551512.db2.gz RTSKDVWJRWIOEJ-SNVBAGLBSA-N -1 1 305.338 1.014 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ccoc3C3CC3)nc2n1 ZINC000622993104 365585937 /nfs/dbraw/zinc/58/59/37/365585937.db2.gz BEBBHVWSBRHPJF-UHFFFAOYSA-N -1 1 313.317 1.703 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@@H](CC)O3)nc2n1 ZINC000622996978 365590370 /nfs/dbraw/zinc/59/03/70/365590370.db2.gz HQMNJWMMTSYCPM-MNOVXSKESA-N -1 1 319.365 1.266 20 0 DDADMM CCN(CC(=O)N(C)C)C(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000614457863 361866425 /nfs/dbraw/zinc/86/64/25/361866425.db2.gz NEMOESSYUQHNBP-UHFFFAOYSA-N -1 1 316.361 1.194 20 0 DDADMM CCN(CC(=O)N(C)C)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000614457863 361866426 /nfs/dbraw/zinc/86/64/26/361866426.db2.gz NEMOESSYUQHNBP-UHFFFAOYSA-N -1 1 316.361 1.194 20 0 DDADMM Cc1ccncc1CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474914 361875885 /nfs/dbraw/zinc/87/58/85/361875885.db2.gz FNJFTKQQZOSCIR-UHFFFAOYSA-N -1 1 302.334 1.427 20 0 DDADMM CS(=O)(=O)[C@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000614490479 361884785 /nfs/dbraw/zinc/88/47/85/361884785.db2.gz YEKGPRPXHPFESW-NSHDSACASA-N -1 1 320.370 1.200 20 0 DDADMM CCCc1cc(=O)[n-]c(SC[C@@H]2CCS(=O)(=O)C2)n1 ZINC000278643058 214296843 /nfs/dbraw/zinc/29/68/43/214296843.db2.gz IZIXWDOLYORSCU-VIFPVBQESA-N -1 1 302.421 1.662 20 0 DDADMM O=C(Nc1cccc(-c2n[nH]c(=O)[n-]2)c1)[C@@H]1CCc2[nH]cnc2C1 ZINC000614562544 361920966 /nfs/dbraw/zinc/92/09/66/361920966.db2.gz SAFCCLOKQQCNHO-SNVBAGLBSA-N -1 1 324.344 1.644 20 0 DDADMM NC(=O)[C@H]1CCC[C@H]([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000451219755 231036204 /nfs/dbraw/zinc/03/62/04/231036204.db2.gz QDATVMPOZUBVIY-YUMQZZPRSA-N -1 1 322.839 1.724 20 0 DDADMM CC(=O)Nc1ccc(C[N-]S(=O)(=O)c2c(C)noc2C)cn1 ZINC000278706224 214340090 /nfs/dbraw/zinc/34/00/90/214340090.db2.gz KFXUEBAGHPMLDM-UHFFFAOYSA-N -1 1 324.362 1.123 20 0 DDADMM C[C@H]1OCC[C@@]1(O)C[N-]S(=O)(=O)c1sccc1Cl ZINC000451480050 231108414 /nfs/dbraw/zinc/10/84/14/231108414.db2.gz OFSGCWISOIXEKZ-GMSGAONNSA-N -1 1 311.812 1.220 20 0 DDADMM CN(C[C@@H](O)C1CC1)C(=O)c1ccc(Br)cc1[O-] ZINC000089880306 539173406 /nfs/dbraw/zinc/17/34/06/539173406.db2.gz ULDMUEZOLCWJSX-GFCCVEGCSA-N -1 1 314.179 1.998 20 0 DDADMM CCn1ccnc1C[N-]S(=O)(=O)c1c(F)cccc1F ZINC000451760871 529579482 /nfs/dbraw/zinc/57/94/82/529579482.db2.gz UPTFGEOXWZGAGA-UHFFFAOYSA-N -1 1 301.318 1.660 20 0 DDADMM CN1CC[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])CC1=O ZINC000194189215 539262273 /nfs/dbraw/zinc/26/22/73/539262273.db2.gz LBEXIDPHBNFCAX-SECBINFHSA-N -1 1 316.279 1.762 20 0 DDADMM C[C@H](CN(C)C(=O)c1coc(Br)c1)c1nn[n-]n1 ZINC000273501440 539278905 /nfs/dbraw/zinc/27/89/05/539278905.db2.gz MEIIBJFAAQUMQB-ZCFIWIBFSA-N -1 1 314.143 1.431 20 0 DDADMM O=C([O-])CCCCN1CCN(Cc2cn3ccccc3n2)CC1 ZINC000181580603 199322552 /nfs/dbraw/zinc/32/25/52/199322552.db2.gz NIKKCZMAJCMIOW-UHFFFAOYSA-N -1 1 316.405 1.707 20 0 DDADMM COC[C@@H](C)NC(=O)Cc1noc(-c2ccc([O-])cc2F)n1 ZINC000278179953 214007678 /nfs/dbraw/zinc/00/76/78/214007678.db2.gz XBPNKOFGJQKWFJ-MRVPVSSYSA-N -1 1 309.297 1.275 20 0 DDADMM Cc1nc(CCNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)cs1 ZINC000279270988 282326732 /nfs/dbraw/zinc/32/67/32/282326732.db2.gz JLXGSJIZXJXZGO-UNOMPAQXSA-N -1 1 316.390 1.471 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCC1(C2CCC2)CCC1 ZINC000457143046 232001865 /nfs/dbraw/zinc/00/18/65/232001865.db2.gz AZBJXJSEUZKEHY-UHFFFAOYSA-N -1 1 317.389 1.604 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ccc([O-])cc1F)c1ccncc1 ZINC000615836220 362459255 /nfs/dbraw/zinc/45/92/55/362459255.db2.gz MNMXYJUYJACEPA-AWEZNQCLSA-N -1 1 318.304 1.961 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C)c(F)c2)n[n-]1 ZINC000615919951 362486368 /nfs/dbraw/zinc/48/63/68/362486368.db2.gz KJLFUXGXFKEOFM-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C)c(F)c2)n1 ZINC000615919951 362486375 /nfs/dbraw/zinc/48/63/75/362486375.db2.gz KJLFUXGXFKEOFM-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CC[C@@H](C)[C@@H](OC)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616009156 362519521 /nfs/dbraw/zinc/51/95/21/362519521.db2.gz QFBJLNHVPCIAQM-HZGVNTEJSA-N -1 1 304.188 1.902 20 0 DDADMM CO[C@@H]1CC[C@@H](C(=O)N=c2[nH][n-]c(C)c2Br)C1 ZINC000616011708 362520159 /nfs/dbraw/zinc/52/01/59/362520159.db2.gz RPFJWXPKDAHDOE-HTQZYQBOSA-N -1 1 302.172 1.656 20 0 DDADMM CCO[C@@H]1C[C@H]1C(=O)N1CCC(c2n[n-]c(=S)n2C)CC1 ZINC000068427831 190766674 /nfs/dbraw/zinc/76/66/74/190766674.db2.gz KANQTERPOLXNAQ-GHMZBOCLSA-N -1 1 310.423 1.609 20 0 DDADMM CS(=O)(=O)c1ccc(-c2nc3cccnc3c(=O)[n-]2)cc1 ZINC000491574159 539544407 /nfs/dbraw/zinc/54/44/07/539544407.db2.gz VOEQWUPLPAQELM-UHFFFAOYSA-N -1 1 301.327 1.389 20 0 DDADMM Cn1cc([C@H]2OCC[C@@H]2C(=O)Nc2nc(Cl)ccc2[O-])cn1 ZINC000279680534 215058207 /nfs/dbraw/zinc/05/82/07/215058207.db2.gz HMOLPHPNFFACDG-JOYOIKCWSA-N -1 1 322.752 1.890 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCc1ccc(O)cc1)c2=O ZINC000358391509 299140275 /nfs/dbraw/zinc/14/02/75/299140275.db2.gz YZNMFTLUUCJKML-UHFFFAOYSA-N -1 1 312.329 1.352 20 0 DDADMM CCC[C@H](NC(=O)c1cnc(OCC2CC2)cn1)c1nn[n-]n1 ZINC000286959217 219245575 /nfs/dbraw/zinc/24/55/75/219245575.db2.gz BYIAWXZTMAOHQH-JTQLQIEISA-N -1 1 317.353 1.050 20 0 DDADMM Cc1cc([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)ccc1F ZINC000353844393 290854923 /nfs/dbraw/zinc/85/49/23/290854923.db2.gz WTRNKJXPNDWEPE-SECBINFHSA-N -1 1 315.308 1.356 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)[C@@H]1CCCO1 ZINC000080559346 192167025 /nfs/dbraw/zinc/16/70/25/192167025.db2.gz LMELLCRJKARLAF-ONGXEEELSA-N -1 1 307.394 1.673 20 0 DDADMM CO[C@@H](CNC(=O)c1ncc2ccccc2c1[O-])[C@@H]1CCOC1 ZINC000617154821 362979037 /nfs/dbraw/zinc/97/90/37/362979037.db2.gz JJVULBTVMHSUBD-OCCSQVGLSA-N -1 1 316.357 1.722 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2cccc(OC)c2)cc1 ZINC000015755929 352157563 /nfs/dbraw/zinc/15/75/63/352157563.db2.gz GIKZIRVJRLVYCM-UHFFFAOYSA-N -1 1 320.370 1.856 20 0 DDADMM CN(C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1)C1CCC1 ZINC000331791271 234126424 /nfs/dbraw/zinc/12/64/24/234126424.db2.gz PWJIJRFXOZOQKZ-UHFFFAOYSA-N -1 1 314.407 1.981 20 0 DDADMM Cc1ccncc1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000067027313 353031427 /nfs/dbraw/zinc/03/14/27/353031427.db2.gz HZHJIRCWSJGTJC-UHFFFAOYSA-N -1 1 311.345 1.524 20 0 DDADMM COCCOc1cccc(C(=O)NCc2n[n-]c(=S)n2C)c1 ZINC000066625574 353008380 /nfs/dbraw/zinc/00/83/80/353008380.db2.gz MPHSKEJUVNATJL-UHFFFAOYSA-N -1 1 322.390 1.433 20 0 DDADMM COc1ccccc1CN(CCO)C(=O)c1ncc(C)cc1[O-] ZINC000358555874 299197456 /nfs/dbraw/zinc/19/74/56/299197456.db2.gz JXNCJTDZLHEZRS-UHFFFAOYSA-N -1 1 316.357 1.739 20 0 DDADMM COC(=O)c1ccc(Cl)c(S(=O)(=O)[N-]CC(F)F)c1 ZINC000173810590 198255061 /nfs/dbraw/zinc/25/50/61/198255061.db2.gz RMNFNUGPPOGLBV-UHFFFAOYSA-N -1 1 313.709 1.670 20 0 DDADMM O=C(C=Cc1cnn(-c2ccccc2)c1)NC1(c2nn[n-]n2)CC1 ZINC000492034688 234366061 /nfs/dbraw/zinc/36/60/61/234366061.db2.gz UXMMKNNPUOMXAS-VOTSOKGWSA-N -1 1 321.344 1.204 20 0 DDADMM CCc1noc(C)c1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000345046986 282906808 /nfs/dbraw/zinc/90/68/08/282906808.db2.gz PMHSPDISZDLOCE-UHFFFAOYSA-N -1 1 304.306 1.015 20 0 DDADMM C[C@@H]1CN(CCNC(=O)c2ccc(Cl)cc2[O-])[C@H](C)CO1 ZINC000617738604 363276415 /nfs/dbraw/zinc/27/64/15/363276415.db2.gz QZVLDWAVFGKCCN-GHMZBOCLSA-N -1 1 312.797 1.885 20 0 DDADMM Cn1c(CCNC(=O)c2ncccc2[O-])nc2cc(F)ccc21 ZINC000126674378 354056897 /nfs/dbraw/zinc/05/68/97/354056897.db2.gz QYUVTRAWQNHRIT-UHFFFAOYSA-N -1 1 314.320 1.786 20 0 DDADMM O=C([O-])[C@@]1(C(F)(F)F)CCN(CCn2cc(Cl)cn2)C1 ZINC000312877225 354478781 /nfs/dbraw/zinc/47/87/81/354478781.db2.gz KPGMZEPBSUFQIF-SNVBAGLBSA-N -1 1 311.691 1.876 20 0 DDADMM CC(C)=C[C@H]1[C@H](C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)C1(C)C ZINC000588006253 354892617 /nfs/dbraw/zinc/89/26/17/354892617.db2.gz ZLVQRNQRBRSJKX-CMPLNLGQSA-N -1 1 315.377 1.903 20 0 DDADMM C[C@@]1(O)C[C@H](NC(=O)c2cc(Br)ccc2[O-])C1 ZINC000332472048 235006926 /nfs/dbraw/zinc/00/69/26/235006926.db2.gz SHBCNKFYYYQEAU-LYWQIFSTSA-N -1 1 300.152 1.798 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nn3c(c2C)OCCC3)n1 ZINC000593901632 356047538 /nfs/dbraw/zinc/04/75/38/356047538.db2.gz QAFQMVXHYCHSIF-UHFFFAOYSA-N -1 1 319.321 1.126 20 0 DDADMM COc1cc(C(=O)N2C[C@@H]3C[C@H]2C[S@]3=O)cc(Cl)c1[O-] ZINC000593866173 356028827 /nfs/dbraw/zinc/02/88/27/356028827.db2.gz CWJVWQOPGDBLDX-MMXNHZJYSA-N -1 1 315.778 1.400 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)C1CC1 ZINC000595337562 356453973 /nfs/dbraw/zinc/45/39/73/356453973.db2.gz YFYQEERMKZSROB-JTQLQIEISA-N -1 1 301.364 1.842 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@H]2NC(=O)CC[C@@H]2C1 ZINC000618205477 363509025 /nfs/dbraw/zinc/50/90/25/363509025.db2.gz RSVCAQCVUWXLTO-PSASIEDQSA-N -1 1 310.300 1.411 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2c([O-])cccc2F)CS1(=O)=O ZINC000618325829 363588650 /nfs/dbraw/zinc/58/86/50/363588650.db2.gz NPUPWPMDKVGPLP-BDAKNGLRSA-N -1 1 301.339 1.227 20 0 DDADMM C[C@@H](Oc1cccc(Cl)c1Cl)C(=O)NCc1nn[n-]n1 ZINC000599334131 357898001 /nfs/dbraw/zinc/89/80/01/357898001.db2.gz GGIZSOWUVPFDTD-ZCFIWIBFSA-N -1 1 316.148 1.590 20 0 DDADMM Cc1nc(-c2ccc(F)cc2)sc1C(=O)NCc1nn[n-]n1 ZINC000599333982 357898007 /nfs/dbraw/zinc/89/80/07/357898007.db2.gz CBNFDOZPGMFWJU-UHFFFAOYSA-N -1 1 318.337 1.701 20 0 DDADMM C[C@H](Oc1cccc(C(C)(C)C)c1)C(=O)NCc1nn[n-]n1 ZINC000599334084 357898218 /nfs/dbraw/zinc/89/82/18/357898218.db2.gz CPDGKMUZKVFCLC-JTQLQIEISA-N -1 1 303.366 1.581 20 0 DDADMM CCC(F)(F)C[N-]S(=O)(=O)c1ccsc1C(=O)OC ZINC000599431389 357932479 /nfs/dbraw/zinc/93/24/79/357932479.db2.gz HDCNIUBGRGAFSA-UHFFFAOYSA-N -1 1 313.347 1.858 20 0 DDADMM Cc1cc(C)cc(CCC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1 ZINC000347974300 283312357 /nfs/dbraw/zinc/31/23/57/283312357.db2.gz VSUNFNTWYDMYIR-UHFFFAOYSA-N -1 1 322.390 1.396 20 0 DDADMM Cc1cc(C)cc(CCC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1 ZINC000347974300 283312358 /nfs/dbraw/zinc/31/23/58/283312358.db2.gz VSUNFNTWYDMYIR-UHFFFAOYSA-N -1 1 322.390 1.396 20 0 DDADMM COC(=O)[C@@]1(OC)CCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000600289631 358183547 /nfs/dbraw/zinc/18/35/47/358183547.db2.gz MOUWXHUCZWKWPX-CQSZACIVSA-N -1 1 313.737 1.450 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H]1C[C@H]1c1ccc(Cl)cc1Cl ZINC000600505684 358243069 /nfs/dbraw/zinc/24/30/69/358243069.db2.gz QVRSPKSIQWUXDE-IUCAKERBSA-N -1 1 312.160 1.926 20 0 DDADMM C[C@@H]1[C@@H](C)[S@@](=O)CCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601205151 358446408 /nfs/dbraw/zinc/44/64/08/358446408.db2.gz XZOFWDLTAPKWLL-ZLMDCXFVSA-N -1 1 315.822 1.956 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601429671 358549345 /nfs/dbraw/zinc/54/93/45/358549345.db2.gz LFALQFMVFCFITM-ZJUUUORDSA-N -1 1 318.420 1.462 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)CC1(OC)CCCC1 ZINC000601439924 358554521 /nfs/dbraw/zinc/55/45/21/358554521.db2.gz OKBGZGPTVWPBRR-LBPRGKRZSA-N -1 1 319.423 1.207 20 0 DDADMM C[S@](=O)C1(CNC(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000601557191 358600002 /nfs/dbraw/zinc/60/00/02/358600002.db2.gz XPZYUZBAEGTMMR-IBGZPJMESA-N -1 1 301.795 1.615 20 0 DDADMM C[C@H](NCc1cc(C(=O)[O-])nn1C)c1ccc([S@](C)=O)cc1 ZINC000602066946 358799289 /nfs/dbraw/zinc/79/92/89/358799289.db2.gz QLICGCBEDPSVLX-MGUXCZDKSA-N -1 1 321.402 1.707 20 0 DDADMM CC(C)(C)OC(=O)NCC(=O)Nc1nc(Cl)ccc1[O-] ZINC000276474664 212905418 /nfs/dbraw/zinc/90/54/18/212905418.db2.gz DHDNYPPMTDZHGT-UHFFFAOYSA-N -1 1 301.730 1.904 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCc2ccc(C)s2)n[n-]1 ZINC000603015822 359358058 /nfs/dbraw/zinc/35/80/58/359358058.db2.gz LGZBAEQKPNAVOI-UHFFFAOYSA-N -1 1 322.390 1.600 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCc2ccc(C)s2)n1 ZINC000603015822 359358060 /nfs/dbraw/zinc/35/80/60/359358060.db2.gz LGZBAEQKPNAVOI-UHFFFAOYSA-N -1 1 322.390 1.600 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](C)C2CCCC2)n[n-]1 ZINC000603154346 359441587 /nfs/dbraw/zinc/44/15/87/359441587.db2.gz LMFLEUDLXVTEFH-VHSXEESVSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](C)C2CCCC2)[n-]1 ZINC000603154346 359441593 /nfs/dbraw/zinc/44/15/93/359441593.db2.gz LMFLEUDLXVTEFH-VHSXEESVSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](C)C2CCCC2)n1 ZINC000603154346 359441598 /nfs/dbraw/zinc/44/15/98/359441598.db2.gz LMFLEUDLXVTEFH-VHSXEESVSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](C)C(F)(F)F)n[n-]1 ZINC000603157818 359443927 /nfs/dbraw/zinc/44/39/27/359443927.db2.gz WJNZWVHNJAPNPP-WDSKDSINSA-N -1 1 308.260 1.357 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](C)C(F)(F)F)[n-]1 ZINC000603157818 359443928 /nfs/dbraw/zinc/44/39/28/359443928.db2.gz WJNZWVHNJAPNPP-WDSKDSINSA-N -1 1 308.260 1.357 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](C)C(F)(F)F)n1 ZINC000603157818 359443929 /nfs/dbraw/zinc/44/39/29/359443929.db2.gz WJNZWVHNJAPNPP-WDSKDSINSA-N -1 1 308.260 1.357 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cccc(C)c2F)n[n-]1 ZINC000603156745 359444742 /nfs/dbraw/zinc/44/47/42/359444742.db2.gz UPJBNGVTPMHVFQ-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cccc(C)c2F)[n-]1 ZINC000603156745 359444746 /nfs/dbraw/zinc/44/47/46/359444746.db2.gz UPJBNGVTPMHVFQ-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cccc(C)c2F)n1 ZINC000603156745 359444749 /nfs/dbraw/zinc/44/47/49/359444749.db2.gz UPJBNGVTPMHVFQ-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H]2CC[C@@H](C)C2)n[n-]1 ZINC000603157237 359444825 /nfs/dbraw/zinc/44/48/25/359444825.db2.gz VDXCXOGFISYWSS-OUAUKWLOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H]2CC[C@@H](C)C2)[n-]1 ZINC000603157237 359444830 /nfs/dbraw/zinc/44/48/30/359444830.db2.gz VDXCXOGFISYWSS-OUAUKWLOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H]2CC[C@@H](C)C2)n1 ZINC000603157237 359444832 /nfs/dbraw/zinc/44/48/32/359444832.db2.gz VDXCXOGFISYWSS-OUAUKWLOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccccc2F)n[n-]1 ZINC000603166641 359451000 /nfs/dbraw/zinc/45/10/00/359451000.db2.gz QLJPUTCAYCLBHP-MRVPVSSYSA-N -1 1 306.297 1.612 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccccc2F)[n-]1 ZINC000603166641 359451006 /nfs/dbraw/zinc/45/10/06/359451006.db2.gz QLJPUTCAYCLBHP-MRVPVSSYSA-N -1 1 306.297 1.612 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccccc2F)n1 ZINC000603166641 359451011 /nfs/dbraw/zinc/45/10/11/359451011.db2.gz QLJPUTCAYCLBHP-MRVPVSSYSA-N -1 1 306.297 1.612 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)C2(COC)CC2)cn1 ZINC000603421277 359630780 /nfs/dbraw/zinc/63/07/80/359630780.db2.gz ZEEBVQJIZPBVDS-UHFFFAOYSA-N -1 1 314.363 1.179 20 0 DDADMM [O-]C(=NO[C@@H]1CCCCO1)Nc1ccn(Cc2ccncc2)n1 ZINC000187253244 200084434 /nfs/dbraw/zinc/08/44/34/200084434.db2.gz KKFPABYPTXJCNW-CQSZACIVSA-N -1 1 317.349 1.906 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1ccn(Cc2ccncc2)n1 ZINC000187253244 200084436 /nfs/dbraw/zinc/08/44/36/200084436.db2.gz KKFPABYPTXJCNW-CQSZACIVSA-N -1 1 317.349 1.906 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](c1ccccc1)C1CC1 ZINC000281077992 216064190 /nfs/dbraw/zinc/06/41/90/216064190.db2.gz BJOWRRDTIYULJW-GFCCVEGCSA-N -1 1 307.375 1.995 20 0 DDADMM O=C(Cc1ccc2c(c1)CCC2)NC1(c2nn[n-]n2)CCCC1 ZINC000605381389 359848123 /nfs/dbraw/zinc/84/81/23/359848123.db2.gz KXOGLPXDOCUFPN-UHFFFAOYSA-N -1 1 311.389 1.817 20 0 DDADMM O=C(CCc1nc(-c2nc[nH]n2)no1)Nc1c([O-])cccc1F ZINC000605437118 359857747 /nfs/dbraw/zinc/85/77/47/359857747.db2.gz OQLQCQBVWPDLEM-UHFFFAOYSA-N -1 1 318.268 1.271 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@@]1(C)CC1(F)F ZINC000618636554 363697781 /nfs/dbraw/zinc/69/77/81/363697781.db2.gz UKCCTQKUWWQNFE-NSHDSACASA-N -1 1 303.265 1.062 20 0 DDADMM CSc1n[nH]c(NC(=O)[C@@H]2COc3cc(F)ccc3C2)n1 ZINC000624924342 366624402 /nfs/dbraw/zinc/62/44/02/366624402.db2.gz LBERCSPFEMWJNH-QMMMGPOBSA-N -1 1 308.338 1.856 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)Cc2ccon2)c(=O)[n-]1 ZINC000606881302 359971627 /nfs/dbraw/zinc/97/16/27/359971627.db2.gz STCDWSWNZMPMFP-UHFFFAOYSA-N -1 1 322.390 1.792 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC2(C1)CCOCC2 ZINC000608490495 360191962 /nfs/dbraw/zinc/19/19/62/360191962.db2.gz BCRJQNWVIHFJHN-UHFFFAOYSA-N -1 1 313.357 1.566 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cccc(C[S@@](C)=O)c2C)c1[O-] ZINC000610987201 360591076 /nfs/dbraw/zinc/59/10/76/360591076.db2.gz YPIMCQRUBWMFKN-OAQYLSRUSA-N -1 1 307.375 1.863 20 0 DDADMM NC(=O)C[C@H]1CCCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000611813070 360832198 /nfs/dbraw/zinc/83/21/98/360832198.db2.gz MDKCCWCUAFSMBB-LLVKDONJSA-N -1 1 313.357 1.668 20 0 DDADMM COCCn1nc(C)c(NC(=O)C(=O)c2ccc([O-])cc2)c1C ZINC000281379106 216261186 /nfs/dbraw/zinc/26/11/86/216261186.db2.gz XBTACHRDLJFXEH-UHFFFAOYSA-N -1 1 317.345 1.673 20 0 DDADMM CSc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(C)o1 ZINC000612817919 361155436 /nfs/dbraw/zinc/15/54/36/361155436.db2.gz SJKFXQBCRYJFAX-VIFPVBQESA-N -1 1 307.379 1.843 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc(-c2ncn(C)n2)cc1 ZINC000193340617 201034161 /nfs/dbraw/zinc/03/41/61/201034161.db2.gz ZGCSKKZOSCEPNW-JTQLQIEISA-N -1 1 310.379 1.259 20 0 DDADMM COc1ccc([C@@H]2C[C@H]2C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000613474728 361433895 /nfs/dbraw/zinc/43/38/95/361433895.db2.gz JHQXDGGQDIQJOC-LEWSCRJBSA-N -1 1 315.377 1.574 20 0 DDADMM CCc1ccc(O)c(C(=O)N2CC[N@@H+](C[C@@H](C)O)C[C@@H]2C)c1 ZINC000194020235 201163740 /nfs/dbraw/zinc/16/37/40/201163740.db2.gz ZJRPNVYKMFXNPJ-QWHCGFSZSA-N -1 1 306.406 1.482 20 0 DDADMM CCn1cnc2c(c1=O)CCN(C(=O)c1cc(F)ccc1[O-])C2 ZINC000194337137 201205949 /nfs/dbraw/zinc/20/59/49/201205949.db2.gz SSTKGNNUINBAGX-UHFFFAOYSA-N -1 1 317.320 1.306 20 0 DDADMM CO[C@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CCO1 ZINC000613808737 361580554 /nfs/dbraw/zinc/58/05/54/361580554.db2.gz AAVYFZPZAWINDQ-LLVKDONJSA-N -1 1 305.252 1.856 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1csc(C)n1)C(C)C ZINC000619168921 363922181 /nfs/dbraw/zinc/92/21/81/363922181.db2.gz CHBYVWLFVBAZGU-JTQLQIEISA-N -1 1 306.409 1.069 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@H]1CC[C@H](c2ccccc2)O1 ZINC000633116807 422766540 /nfs/dbraw/zinc/76/65/40/422766540.db2.gz BRGBELSJFRGPCJ-CHWSQXEVSA-N -1 1 301.350 1.169 20 0 DDADMM C[C@@H](Cc1ccco1)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000621709266 364994531 /nfs/dbraw/zinc/99/45/31/364994531.db2.gz PXBMZBIEURRNGG-FZMZJTMJSA-N -1 1 311.345 1.877 20 0 DDADMM C[C@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCCO1 ZINC000621709235 364994907 /nfs/dbraw/zinc/99/49/07/364994907.db2.gz PCHXBWSWWXGNSP-KYOSRNDESA-N -1 1 315.377 1.611 20 0 DDADMM Cc1ccc2nc([C@H]3CCCN3C(=O)c3n[nH]c(=O)[n-]3)[nH]c2c1 ZINC000622402571 365394915 /nfs/dbraw/zinc/39/49/15/365394915.db2.gz ACAZBVGLXFGUOU-LLVKDONJSA-N -1 1 312.333 1.672 20 0 DDADMM CC(C)(CCS(C)(=O)=O)NC(=O)c1cc(F)ccc1[O-] ZINC000091983322 193135723 /nfs/dbraw/zinc/13/57/23/193135723.db2.gz NHFKSLGAYAILDJ-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC[C@H](O)[C@@H](CO)C1 ZINC000622715499 365504357 /nfs/dbraw/zinc/50/43/57/365504357.db2.gz DZHSXVUGCSKHBW-KCJUWKMLSA-N -1 1 319.279 1.226 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)CCc1ccccc1Cl ZINC000625492412 366962464 /nfs/dbraw/zinc/96/24/64/366962464.db2.gz QSQHZGYEGQIVON-LBPRGKRZSA-N -1 1 317.794 1.160 20 0 DDADMM CO[C@H]1C[C@@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000625669161 367081207 /nfs/dbraw/zinc/08/12/07/367081207.db2.gz TXVFLXWFSORESS-OCAPTIKFSA-N -1 1 309.309 1.807 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCC[C@@H](C(C)C)C1 ZINC000349717089 284028340 /nfs/dbraw/zinc/02/83/40/284028340.db2.gz CFYKBALUPJANAY-GHMZBOCLSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCC[C@@H](C(C)C)C1 ZINC000349717089 284028344 /nfs/dbraw/zinc/02/83/44/284028344.db2.gz CFYKBALUPJANAY-GHMZBOCLSA-N -1 1 301.412 1.889 20 0 DDADMM COc1cc(C)nc(CN(CCC(=O)[O-])C[C@H]2CCCO2)c1 ZINC000566357108 304148485 /nfs/dbraw/zinc/14/84/85/304148485.db2.gz GHQMWKYHWQOLEE-CQSZACIVSA-N -1 1 308.378 1.854 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)c2ccc([O-])cc2)nc1 ZINC000350225897 284171340 /nfs/dbraw/zinc/17/13/40/284171340.db2.gz YVTVADVJDUGNAL-UHFFFAOYSA-N -1 1 307.327 1.548 20 0 DDADMM COC(=O)[C@@H](C)CNC(=O)c1ccc(Br)cc1[O-] ZINC000094090303 193344781 /nfs/dbraw/zinc/34/47/81/193344781.db2.gz GDCUHFCTNPEFQY-ZETCQYMHSA-N -1 1 316.151 1.694 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1(C)C ZINC000271743077 209174878 /nfs/dbraw/zinc/17/48/78/209174878.db2.gz AIBGDXJKTACYPK-IWSPIJDZSA-N -1 1 321.830 1.411 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)c2ccnn2C)c(F)c1 ZINC000351104548 284302014 /nfs/dbraw/zinc/30/20/14/284302014.db2.gz CFFJUFWUTAMARR-UHFFFAOYSA-N -1 1 313.310 1.147 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@H]1[C@H]1CCCC1=O ZINC000332013692 296181165 /nfs/dbraw/zinc/18/11/65/296181165.db2.gz XUTWAFVBCPRHSD-YPMHNXCESA-N -1 1 307.321 1.742 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1cc(F)ccc1F)OCCO ZINC000288358458 220106632 /nfs/dbraw/zinc/10/66/32/220106632.db2.gz JOHSNZMKESYCFI-UHFFFAOYSA-N -1 1 309.334 1.031 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC(C)(C)C2)co1 ZINC000351535049 284364769 /nfs/dbraw/zinc/36/47/69/284364769.db2.gz SOVQMLPVTPKBTJ-SNVBAGLBSA-N -1 1 300.380 1.496 20 0 DDADMM O=C(N[C@H]1CCC[C@@H]1OC(F)F)c1nc2ccccc2c(=O)[n-]1 ZINC000337039410 249325353 /nfs/dbraw/zinc/32/53/53/249325353.db2.gz LLNWAOPEPQDIOT-QWRGUYRKSA-N -1 1 323.299 1.813 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](C)Oc1ccc(C)cc1 ZINC000337169328 249373447 /nfs/dbraw/zinc/37/34/47/249373447.db2.gz ZUXGAVXZECCNCH-LLVKDONJSA-N -1 1 316.361 1.214 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C[C@@H]1O ZINC000284485615 218047949 /nfs/dbraw/zinc/04/79/49/218047949.db2.gz ZHQPYLSBOHVHMO-MNOVXSKESA-N -1 1 307.774 1.917 20 0 DDADMM Cn1[n-]c(CN2CC[C@](F)(c3cccc(Cl)c3)C2)nc1=O ZINC000338371689 249933393 /nfs/dbraw/zinc/93/33/93/249933393.db2.gz QYCWHPAARMPIBJ-CQSZACIVSA-N -1 1 310.760 1.833 20 0 DDADMM CO[C@@H]1C[C@@H](c2nnc(C)[nH]2)N(C(=O)c2ccc([O-])cc2F)C1 ZINC000284600093 218095411 /nfs/dbraw/zinc/09/54/11/218095411.db2.gz MWDHAPBPJSBOKG-MFKMUULPSA-N -1 1 320.324 1.560 20 0 DDADMM Cn1cc([C@@H](CO)NCc2cc(=O)oc3cc([O-])ccc23)cn1 ZINC000273949369 211205026 /nfs/dbraw/zinc/20/50/26/211205026.db2.gz DTXPXROUYKRXGL-CQSZACIVSA-N -1 1 315.329 1.055 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1sccc1F)C(=O)OC ZINC000338855475 250164991 /nfs/dbraw/zinc/16/49/91/250164991.db2.gz QUFYKFURENOYRQ-CBAPKCEASA-N -1 1 309.384 1.753 20 0 DDADMM Cn1c(Cl)cnc1CS(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000105723869 194122049 /nfs/dbraw/zinc/12/20/49/194122049.db2.gz UPWKAHZCBATYJP-UHFFFAOYSA-N -1 1 301.759 1.043 20 0 DDADMM COCCN1CCC[C@H]1C[N-]S(=O)(=O)c1sccc1F ZINC000338887263 250181358 /nfs/dbraw/zinc/18/13/58/250181358.db2.gz PVVFQCVOZYIBPE-JTQLQIEISA-N -1 1 322.427 1.276 20 0 DDADMM CCC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2sccc2F)C1 ZINC000338936705 250206897 /nfs/dbraw/zinc/20/68/97/250206897.db2.gz OPDAEGFPWHXPKL-MRVPVSSYSA-N -1 1 306.384 1.176 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ccc(C)c(C)c2)n1 ZINC000285039834 218267500 /nfs/dbraw/zinc/26/75/00/218267500.db2.gz GPEVIFKYUHVZHK-UHFFFAOYSA-N -1 1 308.359 1.787 20 0 DDADMM Cc1ccc(NC(=O)CO)cc1[N-]S(=O)(=O)c1ccccc1 ZINC000339432666 250476962 /nfs/dbraw/zinc/47/69/62/250476962.db2.gz GYLKRJYFABPCID-UHFFFAOYSA-N -1 1 320.370 1.727 20 0 DDADMM O=C(Nc1ccn(CCc2ccncc2)n1)c1cncc([O-])c1 ZINC000109961429 194301182 /nfs/dbraw/zinc/30/11/82/194301182.db2.gz NOBWDAQPBYDAER-UHFFFAOYSA-N -1 1 309.329 1.874 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H](C)c1ccccn1 ZINC000352178318 284843891 /nfs/dbraw/zinc/84/38/91/284843891.db2.gz LTMRPRWYGWBMID-MRVPVSSYSA-N -1 1 304.306 1.125 20 0 DDADMM CS(=O)(=O)CC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000340743190 251187992 /nfs/dbraw/zinc/18/79/92/251187992.db2.gz LPMCJMJQSVMZRD-NSHDSACASA-N -1 1 312.391 1.217 20 0 DDADMM O=C(N[C@H]1CC(=O)N(C2CCCCC2)C1)c1cncc([O-])c1 ZINC000269074574 207220736 /nfs/dbraw/zinc/22/07/36/207220736.db2.gz UQYLFZOVGXZXKP-LBPRGKRZSA-N -1 1 303.362 1.451 20 0 DDADMM COc1cc(NCCNC(=O)c2cncc([O-])c2)cc(OC)c1 ZINC000269812680 207876253 /nfs/dbraw/zinc/87/62/53/207876253.db2.gz CXBUMRHXQMBXFF-UHFFFAOYSA-N -1 1 317.345 1.646 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]CCSC(F)(F)F)s1 ZINC000270010881 208064309 /nfs/dbraw/zinc/06/43/09/208064309.db2.gz ULJBWXPJFWVCKV-UHFFFAOYSA-N -1 1 306.356 1.983 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCCC[C@@H]2OC)c1 ZINC000270016797 208069680 /nfs/dbraw/zinc/06/96/80/208069680.db2.gz NJBCCEOMLDQOMD-QWRGUYRKSA-N -1 1 317.363 1.302 20 0 DDADMM CN1CCCN(C(=O)c2nn(-c3ccccc3F)cc2[O-])CC1 ZINC000270257033 208322756 /nfs/dbraw/zinc/32/27/56/208322756.db2.gz WMEHXXPOKUZFAB-UHFFFAOYSA-N -1 1 318.352 1.495 20 0 DDADMM CCC[C@@]1(CO)CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000288662889 220331996 /nfs/dbraw/zinc/33/19/96/220331996.db2.gz APAYLWRFUCZKOR-YZYPPFLQSA-N -1 1 317.393 1.358 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@@H](Oc2ccc(Cl)cc2)C1 ZINC000633212155 422808144 /nfs/dbraw/zinc/80/81/44/422808144.db2.gz JTAFCFMDJZWONO-GFCCVEGCSA-N -1 1 321.768 1.466 20 0 DDADMM COCCCNC(=O)[C@H](C)Sc1nc(C(F)F)cc(=O)[n-]1 ZINC000289504964 221025543 /nfs/dbraw/zinc/02/55/43/221025543.db2.gz LAZPJLXPCSVQSJ-ZETCQYMHSA-N -1 1 321.349 1.753 20 0 DDADMM CC(C)OCc1nc([C@@H](C)[N-]S(=O)(=O)c2ccco2)no1 ZINC000289587316 221086932 /nfs/dbraw/zinc/08/69/32/221086932.db2.gz QQSZBSPDJZTJLQ-SECBINFHSA-N -1 1 315.351 1.627 20 0 DDADMM O=C(C=Cc1ccsc1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000289719685 221178852 /nfs/dbraw/zinc/17/88/52/221178852.db2.gz SAIVXROEAJMEID-UFFNRZRYSA-N -1 1 304.375 1.579 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cc(O)cc(Br)c1 ZINC000451108506 533342723 /nfs/dbraw/zinc/34/27/23/533342723.db2.gz WGBVZPKBULCIPT-ZETCQYMHSA-N -1 1 324.196 1.931 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1(Cc2ccccc2)CC1 ZINC000416211002 533446106 /nfs/dbraw/zinc/44/61/06/533446106.db2.gz UJJXEWBYNFAVNB-UHFFFAOYSA-N -1 1 315.329 1.402 20 0 DDADMM C[C@H](NC(=O)[C@@](C)(N)c1ccc(Br)cc1)C(=O)[O-] ZINC000568768785 304311571 /nfs/dbraw/zinc/31/15/71/304311571.db2.gz HMGBVKRUPXMESO-MADCSZMMSA-N -1 1 315.167 1.212 20 0 DDADMM O=C(Nc1cccc(OC(F)F)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000353657366 285854483 /nfs/dbraw/zinc/85/44/83/285854483.db2.gz JQUOYZTZVUCZPO-UHFFFAOYSA-N -1 1 321.243 1.271 20 0 DDADMM O=C(N[C@H]1CCCn2nccc21)c1nc2ccccc2c(=O)[n-]1 ZINC000631551145 422828879 /nfs/dbraw/zinc/82/88/79/422828879.db2.gz VTXJNHZUNAOHRK-LBPRGKRZSA-N -1 1 309.329 1.385 20 0 DDADMM Fc1ccccc1CN=c1ccc(N2CC3(C2)CCOC3)n[n-]1 ZINC000570426124 304410594 /nfs/dbraw/zinc/41/05/94/304410594.db2.gz XQLRQVPGWKFPPV-UHFFFAOYSA-N -1 1 314.364 1.876 20 0 DDADMM CC(C)c1ccccc1OCC(=O)Nc1nn[nH]c1C(N)=O ZINC000579808262 422834652 /nfs/dbraw/zinc/83/46/52/422834652.db2.gz PPGPOTYMQNSSJP-UHFFFAOYSA-N -1 1 303.322 1.045 20 0 DDADMM Cc1nn(C)c(C)c1NS(=O)(=O)c1ccc(C)c(C(=O)[O-])c1 ZINC000013091784 406857764 /nfs/dbraw/zinc/85/77/64/406857764.db2.gz HPLCARABZKPMFQ-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CC=CCC1 ZINC000074161909 406904326 /nfs/dbraw/zinc/90/43/26/406904326.db2.gz MIRNTABRIUZWQC-LBPRGKRZSA-N -1 1 300.362 1.612 20 0 DDADMM CCS(=O)(=O)CCN(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000089934103 407159569 /nfs/dbraw/zinc/15/95/69/407159569.db2.gz CQGAQPHBNKHXGA-UHFFFAOYSA-N -1 1 305.783 1.552 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2cccnc2)c(=O)[n-]1 ZINC000054566276 407186741 /nfs/dbraw/zinc/18/67/41/407186741.db2.gz CJZGDRXDMFHXAJ-UHFFFAOYSA-N -1 1 319.386 1.871 20 0 DDADMM O=C(COC(c1ccccc1)c1ccccc1)Nc1nnn[n-]1 ZINC000102894847 407328632 /nfs/dbraw/zinc/32/86/32/407328632.db2.gz BZIQFKPXBSCKIP-UHFFFAOYSA-N -1 1 309.329 1.944 20 0 DDADMM O=C(COC(c1ccccc1)c1ccccc1)Nc1nn[n-]n1 ZINC000102894847 407328634 /nfs/dbraw/zinc/32/86/34/407328634.db2.gz BZIQFKPXBSCKIP-UHFFFAOYSA-N -1 1 309.329 1.944 20 0 DDADMM O=C(NCc1c(F)cccc1Cl)c1cc(=O)n2nc[n-]c2n1 ZINC000103568255 407341687 /nfs/dbraw/zinc/34/16/87/407341687.db2.gz HMGAGAQQHVIQFE-UHFFFAOYSA-N -1 1 321.699 1.140 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC1CCCCC1 ZINC000103937107 407344574 /nfs/dbraw/zinc/34/45/74/407344574.db2.gz VZIWOPCVXLXGRJ-UHFFFAOYSA-N -1 1 302.378 1.631 20 0 DDADMM C[C@H]1CCC[N@@H+](CCNC(=O)c2nc3ccccc3c(=O)[nH]2)C1 ZINC000107324125 407372843 /nfs/dbraw/zinc/37/28/43/407372843.db2.gz NFYVZTZHSRUONI-LBPRGKRZSA-N -1 1 314.389 1.385 20 0 DDADMM CCCCc1nc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)no1 ZINC000127136477 407428330 /nfs/dbraw/zinc/42/83/30/407428330.db2.gz MNYLBOYMSZNRDH-UHFFFAOYSA-N -1 1 311.367 1.382 20 0 DDADMM CC(C)CCNC(=O)[C@H](C)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127186714 407430417 /nfs/dbraw/zinc/43/04/17/407430417.db2.gz IFIJPUAZNLHCJK-VIFPVBQESA-N -1 1 314.411 1.007 20 0 DDADMM O=C(CCC[N-]S(=O)(=O)c1cccc(F)c1F)NC1CC1 ZINC000170663194 407472365 /nfs/dbraw/zinc/47/23/65/407472365.db2.gz DVPWRDBUOMRHMV-UHFFFAOYSA-N -1 1 318.345 1.302 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2cccs2)c1 ZINC000151492711 407446186 /nfs/dbraw/zinc/44/61/86/407446186.db2.gz NCFXOWCSDJVJFC-UHFFFAOYSA-N -1 1 315.372 1.649 20 0 DDADMM CC(C)[C@H](NC(=O)c1c([O-])cccc1F)C(=O)N1CCOCC1 ZINC000128810796 407573093 /nfs/dbraw/zinc/57/30/93/407573093.db2.gz IPCPBMQIWPFWEE-AWEZNQCLSA-N -1 1 324.352 1.145 20 0 DDADMM O=C(Cc1cccc(Cl)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129307155 407602409 /nfs/dbraw/zinc/60/24/09/407602409.db2.gz CIUIWAJMWWNPDD-LLVKDONJSA-N -1 1 305.769 1.802 20 0 DDADMM CN1C(=O)C[C@@H](NC(=O)c2cnn[nH]2)[C@@H]1c1ccc(Cl)cc1 ZINC000130037121 407646530 /nfs/dbraw/zinc/64/65/30/407646530.db2.gz RHJOLPBCBJQFPK-MFKMUULPSA-N -1 1 319.752 1.160 20 0 DDADMM Cc1noc(Cl)c1CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000130461355 407693927 /nfs/dbraw/zinc/69/39/27/407693927.db2.gz FVXYMWPLGRNCQT-UHFFFAOYSA-N -1 1 309.635 1.955 20 0 DDADMM CCN(C(=O)c1ccc([O-])cc1F)[C@H]1CCS(=O)(=O)C1 ZINC000272077818 407766297 /nfs/dbraw/zinc/76/62/97/407766297.db2.gz BDWJKMUSAFQZJH-VIFPVBQESA-N -1 1 301.339 1.181 20 0 DDADMM CC(C)NC(=O)[C@H]1CCC[C@H](NC(=O)c2cncc([O-])c2)C1 ZINC000116453928 407757885 /nfs/dbraw/zinc/75/78/85/407757885.db2.gz JWHNVYPWKYSBBQ-AAEUAGOBSA-N -1 1 305.378 1.600 20 0 DDADMM CCCCN(C(=O)c1ncccc1[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000153639138 407867544 /nfs/dbraw/zinc/86/75/44/407867544.db2.gz SHUNPXLGGOONJS-LLVKDONJSA-N -1 1 312.391 1.217 20 0 DDADMM CCc1onc(C)c1C(=O)[N-]c1nnc(CCC(=O)OC)s1 ZINC000134434348 407901335 /nfs/dbraw/zinc/90/13/35/407901335.db2.gz RLXXRYPUFCMMTA-UHFFFAOYSA-N -1 1 324.362 1.755 20 0 DDADMM COc1cc(C(=O)N[C@H](C(N)=O)C(C)(C)C)cc(Cl)c1[O-] ZINC000135077224 407970296 /nfs/dbraw/zinc/97/02/96/407970296.db2.gz PZXYJXFZXBDASF-LLVKDONJSA-N -1 1 314.769 1.684 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)S[C@@H](C)C2)co1 ZINC000153888521 407927609 /nfs/dbraw/zinc/92/76/09/407927609.db2.gz XVSQFJPTHLJQFE-IUCAKERBSA-N -1 1 318.420 1.154 20 0 DDADMM O=C(NCCc1ccn(-c2ccc(F)cc2)n1)c1cnn[nH]1 ZINC000174337157 407934554 /nfs/dbraw/zinc/93/45/54/407934554.db2.gz WKGWHWAYYUDOFP-UHFFFAOYSA-N -1 1 300.297 1.102 20 0 DDADMM COC[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1ccco1 ZINC000119308974 408034697 /nfs/dbraw/zinc/03/46/97/408034697.db2.gz FGGOZNOHWRPICO-NSHDSACASA-N -1 1 316.317 1.384 20 0 DDADMM CCOC(=O)c1cc(NC(=O)Cc2noc3ccccc23)n[nH]1 ZINC000181752816 408034970 /nfs/dbraw/zinc/03/49/70/408034970.db2.gz ZXFGLZWRVZTYKR-UHFFFAOYSA-N -1 1 314.301 1.909 20 0 DDADMM CC[C@@]([N-]S(=O)(=O)c1cc(F)ccc1F)(C(N)=O)C(C)C ZINC000181529337 408007195 /nfs/dbraw/zinc/00/71/95/408007195.db2.gz YYNVMLBYXGGIAE-ZDUSSCGKSA-N -1 1 320.361 1.533 20 0 DDADMM COCC[N@H+](C)CCNS(=O)(=O)c1ccc(Cl)cc1F ZINC000155532890 408177680 /nfs/dbraw/zinc/17/76/80/408177680.db2.gz XJKZGBBWCSZJHS-UHFFFAOYSA-N -1 1 324.805 1.336 20 0 DDADMM CN(CCC(=O)[O-])[C@H]1CCN(c2ccc(F)c(Cl)c2)C1=O ZINC000263583176 408183808 /nfs/dbraw/zinc/18/38/08/408183808.db2.gz ZOOHYVOVHYAUBB-LBPRGKRZSA-N -1 1 314.744 1.991 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccnc1N1CCCC1)c1nn[n-]n1 ZINC000273419289 408190695 /nfs/dbraw/zinc/19/06/95/408190695.db2.gz GJPKPBHANLNOSX-NSHDSACASA-N -1 1 315.381 1.071 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C2CCC(C)CC2)c1 ZINC000133941288 162069867 /nfs/dbraw/zinc/06/98/67/162069867.db2.gz IHWJIIOFETUONZ-UHFFFAOYSA-N -1 1 301.364 1.923 20 0 DDADMM O=C([N-]C1CCN(C(=O)c2cccc(O)c2)CC1)C(F)(F)F ZINC000169853120 162183770 /nfs/dbraw/zinc/18/37/70/162183770.db2.gz OUIUYFMFDLLMEZ-UHFFFAOYSA-N -1 1 316.279 1.675 20 0 DDADMM C[C@H](C[S@@](C)=O)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000183208763 408355837 /nfs/dbraw/zinc/35/58/37/408355837.db2.gz WTKJKZQMIVSIND-FWCARGHBSA-N -1 1 315.338 1.149 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1SCCc2ccccc21)c1nn[n-]n1 ZINC000183399874 408398009 /nfs/dbraw/zinc/39/80/09/408398009.db2.gz FWHKTMAMIAJTJU-ZWNOBZJWSA-N -1 1 317.418 1.792 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc(-n2cccc2)c1)c1nn[n-]n1 ZINC000183417799 408405136 /nfs/dbraw/zinc/40/51/36/408405136.db2.gz CPDCFUVDXREQDT-GFCCVEGCSA-N -1 1 310.361 1.866 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2[n-]c(=S)oc2c1)c1nn[nH]n1 ZINC000183414242 408405874 /nfs/dbraw/zinc/40/58/74/408405874.db2.gz DQAAETJEFXCKNQ-SSDOTTSWSA-N -1 1 318.362 1.505 20 0 DDADMM COc1ccccc1CCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183447580 408412628 /nfs/dbraw/zinc/41/26/28/408412628.db2.gz CFFGRVWZBOBLEE-LLVKDONJSA-N -1 1 303.366 1.403 20 0 DDADMM Cc1cc(OCC(=O)N(C)C[C@H](C)c2nn[n-]n2)ccc1Cl ZINC000183439570 408413678 /nfs/dbraw/zinc/41/36/78/408413678.db2.gz KBWQLBRSBJOSKY-JTQLQIEISA-N -1 1 323.784 1.802 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)Oc1ccc(C)cc1)c1nn[n-]n1 ZINC000176778026 408440227 /nfs/dbraw/zinc/44/02/27/408440227.db2.gz AFWFIUDFVYTGJG-WCQYABFASA-N -1 1 303.366 1.933 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(OCC)c(OC)c1)c1nn[n-]n1 ZINC000176782161 408443501 /nfs/dbraw/zinc/44/35/01/408443501.db2.gz ACMMVIBORGAHDB-LLVKDONJSA-N -1 1 319.365 1.878 20 0 DDADMM CCn1cc(OC(=O)CCc2c(C)nc(SC)[n-]c2=O)cn1 ZINC000191986813 408518269 /nfs/dbraw/zinc/51/82/69/408518269.db2.gz PVZZUWYBZVPXFQ-UHFFFAOYSA-N -1 1 322.390 1.555 20 0 DDADMM CCC[C@H](NC(=O)c1cccc([C@H]2CCOC2)c1)c1nn[n-]n1 ZINC000270635536 408612963 /nfs/dbraw/zinc/61/29/63/408612963.db2.gz JJKGTATWXCYQTG-KBPBESRZSA-N -1 1 315.377 1.975 20 0 DDADMM Cc1cc(N2CCC(NC(=O)c3ncccc3[O-])CC2)n[nH]1 ZINC000275892785 408711843 /nfs/dbraw/zinc/71/18/43/408711843.db2.gz CFWSREIYVHGJFD-UHFFFAOYSA-N -1 1 301.350 1.218 20 0 DDADMM CC[N@H+]1CCC[C@@H]1C[N-]S(=O)(=O)c1c(F)cc(F)cc1F ZINC000193804723 408744295 /nfs/dbraw/zinc/74/42/95/408744295.db2.gz OPAGBZFXVTYWDE-SNVBAGLBSA-N -1 1 322.352 1.867 20 0 DDADMM COc1ccccc1N1CC[C@H](N2CCC[C@H](C(=O)[O-])C2)C1=O ZINC000164498784 408799215 /nfs/dbraw/zinc/79/92/15/408799215.db2.gz XLEOIGYPVPWESD-JSGCOSHPSA-N -1 1 318.373 1.597 20 0 DDADMM Cc1ccc(N2CC[C@H](N3CCC[C@H](C(=O)[O-])C3)C2=O)cc1 ZINC000164543243 408800956 /nfs/dbraw/zinc/80/09/56/408800956.db2.gz MNQQABOPRHAIMO-ZFWWWQNUSA-N -1 1 302.374 1.897 20 0 DDADMM O=C(CN[C@@H](CCO)c1ccco1)[N-]OCc1ccccc1 ZINC000185066108 408749588 /nfs/dbraw/zinc/74/95/88/408749588.db2.gz UHHWWKKISZUPTI-AWEZNQCLSA-N -1 1 304.346 1.541 20 0 DDADMM C[C@@H]1C[C@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)CN1C1CC1 ZINC000185102539 408756629 /nfs/dbraw/zinc/75/66/29/408756629.db2.gz QHCXFICGOAJPQC-PWSUYJOCSA-N -1 1 324.402 1.288 20 0 DDADMM O=C(CSc1nc2[nH]ncc2c(=O)[nH]1)NCC1CCCCC1 ZINC000194344629 408778735 /nfs/dbraw/zinc/77/87/35/408778735.db2.gz YKTWQAWNCRNVQS-UHFFFAOYSA-N -1 1 321.406 1.435 20 0 DDADMM O=C(Nc1ccccc1N1CCCCC1)NN1CC(=O)[N-]C1=O ZINC000276528472 408876498 /nfs/dbraw/zinc/87/64/98/408876498.db2.gz MLVDDNVKQRJHOA-UHFFFAOYSA-N -1 1 317.349 1.265 20 0 DDADMM C[C@@H](NC(=O)c1c(F)ccc([O-])c1F)C(=O)NC(C)(C)C ZINC000280841767 408844681 /nfs/dbraw/zinc/84/46/81/408844681.db2.gz VBLWALQJXSSZOD-SSDOTTSWSA-N -1 1 300.305 1.703 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CSC2(C)C)o1 ZINC000276404324 408850033 /nfs/dbraw/zinc/85/00/33/408850033.db2.gz ZPEDIRPCUKRDAM-MRVPVSSYSA-N -1 1 305.377 1.239 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@H](N2CCCC2=O)C1 ZINC000276799902 408899746 /nfs/dbraw/zinc/89/97/46/408899746.db2.gz RZWRPFIZXSFPPR-NSHDSACASA-N -1 1 308.765 1.883 20 0 DDADMM CC1(C)CN(c2nc3[nH]ncc3c(=O)[nH]2)C[C@H](C(F)(F)F)O1 ZINC000291784723 408922184 /nfs/dbraw/zinc/92/21/84/408922184.db2.gz IHHOQWFZMIZIPD-SSDOTTSWSA-N -1 1 317.271 1.605 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CC=CCC2)c1 ZINC000291879840 408938753 /nfs/dbraw/zinc/93/87/53/408938753.db2.gz HCMGUXIHDYUMIX-JTQLQIEISA-N -1 1 310.375 1.595 20 0 DDADMM CN(Cc1cscn1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000194251563 163279171 /nfs/dbraw/zinc/27/91/71/163279171.db2.gz KGWRPZAGKRWTLP-UHFFFAOYSA-N -1 1 300.343 1.652 20 0 DDADMM CO[C@H](C)CN(C)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000286205408 408941565 /nfs/dbraw/zinc/94/15/65/408941565.db2.gz AYTYQEMEGKWBHZ-SECBINFHSA-N -1 1 313.423 1.638 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@H](C)SC)c1 ZINC000292218614 409003351 /nfs/dbraw/zinc/00/33/51/409003351.db2.gz MCFJVMWWEQNBIB-QMMMGPOBSA-N -1 1 318.420 1.380 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@@H]3CC3(C)C)CC2)n1 ZINC000277595736 408973938 /nfs/dbraw/zinc/97/39/38/408973938.db2.gz DRWLVPUTLKTOJG-NSHDSACASA-N -1 1 320.393 1.734 20 0 DDADMM CSCCCCCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287584742 409060422 /nfs/dbraw/zinc/06/04/22/409060422.db2.gz LNKMBGCEUFVPEP-PDGQHHTCSA-N -1 1 307.423 1.997 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1)c1cccc(F)c1 ZINC000283039334 409074845 /nfs/dbraw/zinc/07/48/45/409074845.db2.gz ZFZQIUQNOYZWNS-ZYHUDNBSSA-N -1 1 318.352 1.747 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@@H]3CSCCS3)ccnc1-2 ZINC000287708634 409079369 /nfs/dbraw/zinc/07/93/69/409079369.db2.gz RWLSLEAINNRAON-IYKHAPDZSA-N -1 1 323.447 1.312 20 0 DDADMM CCN(C[C@@H]1CCCO1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287766600 409089681 /nfs/dbraw/zinc/08/96/81/409089681.db2.gz VXKWEHYXPMIMIY-AFSRSGBESA-N -1 1 303.366 1.375 20 0 DDADMM C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1cc(Cl)ccc1[O-] ZINC000288843576 409187908 /nfs/dbraw/zinc/18/79/08/409187908.db2.gz DPVUSNXYIRYQGQ-OIBJUYFYSA-N -1 1 303.767 1.209 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1cccc(F)c1Br ZINC000293601295 409146401 /nfs/dbraw/zinc/14/64/01/409146401.db2.gz XYINORHSVHOYAO-UHFFFAOYSA-N -1 1 316.130 1.648 20 0 DDADMM CN(C[C@H]1CCC[C@H]1O)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283590731 409181736 /nfs/dbraw/zinc/18/17/36/409181736.db2.gz XQBURIMAARIFQJ-MWLCHTKSSA-N -1 1 306.391 1.251 20 0 DDADMM Cc1n[nH]cc1CCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283765298 409212372 /nfs/dbraw/zinc/21/23/72/409212372.db2.gz QNNHKCZMISCOCU-UHFFFAOYSA-N -1 1 316.390 1.412 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(NCC2CC2)nc1)c1nn[n-]n1 ZINC000283793629 409218135 /nfs/dbraw/zinc/21/81/35/409218135.db2.gz PMESAPVOXJZZGF-SNVBAGLBSA-N -1 1 315.381 1.292 20 0 DDADMM C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)OC1CCCC1 ZINC000289337159 409250317 /nfs/dbraw/zinc/25/03/17/409250317.db2.gz YXMDQZQOBSZYLS-JTQLQIEISA-N -1 1 305.330 1.565 20 0 DDADMM COC[C@H](NC(=O)c1c(F)ccc([O-])c1F)[C@@H]1CCCO1 ZINC000289375415 409257710 /nfs/dbraw/zinc/25/77/10/409257710.db2.gz BFLLJFHFXGAZAF-ONGXEEELSA-N -1 1 301.289 1.594 20 0 DDADMM C[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@]2(CCOC2)O1 ZINC000289387490 409260293 /nfs/dbraw/zinc/26/02/93/409260293.db2.gz ONVXHVNLHVXHOD-VFZGTOFNSA-N -1 1 313.300 1.690 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]Cc2ccccc2)c(C(F)(F)F)n1 ZINC000293893454 409202283 /nfs/dbraw/zinc/20/22/83/409202283.db2.gz BZLFUQGIOHELCG-UHFFFAOYSA-N -1 1 319.308 1.917 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)CC(C)(C)CO ZINC000295796758 409370568 /nfs/dbraw/zinc/37/05/68/409370568.db2.gz FISDLUYZPUWMJH-UHFFFAOYSA-N -1 1 309.410 1.879 20 0 DDADMM COC[C@@]1(C(=O)OC)CCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000295534491 409336117 /nfs/dbraw/zinc/33/61/17/409336117.db2.gz KAIMFKUZXDVUGA-OAHLLOKOSA-N -1 1 311.309 1.183 20 0 DDADMM O=C(NCCn1cc(Br)cn1)c1cncc([O-])c1 ZINC000311056125 164006738 /nfs/dbraw/zinc/00/67/38/164006738.db2.gz VXONNVDJQFGMQR-UHFFFAOYSA-N -1 1 311.139 1.176 20 0 DDADMM Cc1cnc(C)c(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000290575015 409449000 /nfs/dbraw/zinc/44/90/00/409449000.db2.gz BLORVFNOKDYZQG-LLVKDONJSA-N -1 1 316.327 1.988 20 0 DDADMM C[C@H](CN(C)C)NS(=O)(=O)c1ccc(C(=O)[O-])cc1Cl ZINC000312729200 164009005 /nfs/dbraw/zinc/00/90/05/164009005.db2.gz IXNFEKYIECESIE-MRVPVSSYSA-N -1 1 320.798 1.267 20 0 DDADMM Cc1onc(-c2cccnc2)c1C(=O)[N-]N1CCCNC1=O ZINC000285497984 409465502 /nfs/dbraw/zinc/46/55/02/409465502.db2.gz ANASHUYMZDFDHZ-UHFFFAOYSA-N -1 1 301.306 1.105 20 0 DDADMM COC(=O)COc1ccc(NC(=O)c2cncc([O-])c2)c(C)c1 ZINC000346234156 164095667 /nfs/dbraw/zinc/09/56/67/164095667.db2.gz JBTSXQJWRRDZCP-UHFFFAOYSA-N -1 1 316.313 1.900 20 0 DDADMM O=C(Nc1cccc(C(F)F)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000352425492 164119398 /nfs/dbraw/zinc/11/93/98/164119398.db2.gz ZEXFKUZCPOOIRO-UHFFFAOYSA-N -1 1 305.244 1.608 20 0 DDADMM C[C@]1(O)CCOC[C@H]1[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000408064883 164214772 /nfs/dbraw/zinc/21/47/72/164214772.db2.gz MBVHXFPJAYDJHA-OZVIIMIRSA-N -1 1 306.362 1.179 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@]2(C(F)(F)F)CCOC2)c(C)o1 ZINC000408067278 164216314 /nfs/dbraw/zinc/21/63/14/164216314.db2.gz JXTRYSKWMHTJSG-SNVBAGLBSA-N -1 1 313.297 1.896 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CCCOC2)o1 ZINC000408069711 164217069 /nfs/dbraw/zinc/21/70/69/164217069.db2.gz GCAPBPCQAQCIGC-UHFFFAOYSA-N -1 1 316.379 1.176 20 0 DDADMM O=S1CCC([N-]S(=O)(=O)c2cccc(F)c2F)CC1 ZINC000408090395 164224737 /nfs/dbraw/zinc/22/47/37/164224737.db2.gz RBKZVHXNNFERHV-UHFFFAOYSA-N -1 1 309.359 1.154 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1C(=O)N=c1nc(C(C)(C)C)[n-]s1 ZINC000408206588 164260236 /nfs/dbraw/zinc/26/02/36/164260236.db2.gz OZJAYCJTXZSTME-VHSXEESVSA-N -1 1 311.455 1.814 20 0 DDADMM Cc1cnc(C(=O)N2CCC(c3nncn3C)CC2)c([O-])c1 ZINC000408229771 164269124 /nfs/dbraw/zinc/26/91/24/164269124.db2.gz WWXMUCSNBSBADI-UHFFFAOYSA-N -1 1 301.350 1.244 20 0 DDADMM C[C@@H](CCO)CC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000296311505 409499258 /nfs/dbraw/zinc/49/92/58/409499258.db2.gz SPKXCQPZZVJXLY-MRVPVSSYSA-N -1 1 311.325 1.791 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)N=c3[n-]nc4ccccn43)[nH][nH]2)o1 ZINC000344999364 409591336 /nfs/dbraw/zinc/59/13/36/409591336.db2.gz KUBCKZKBUYWIEI-UHFFFAOYSA-N -1 1 323.316 1.509 20 0 DDADMM C[C@@H](c1cccs1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337949420 409591709 /nfs/dbraw/zinc/59/17/09/409591709.db2.gz KUBOMHLDYNLLRE-JTQLQIEISA-N -1 1 319.386 1.798 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CC(C)C)C2CC2)o1 ZINC000331527137 409603661 /nfs/dbraw/zinc/60/36/61/409603661.db2.gz RVTXNXVRRYHMHP-UHFFFAOYSA-N -1 1 300.380 1.448 20 0 DDADMM CCOCc1n[n-]c(=NCc2cn(-c3ccncc3)nc2C)o1 ZINC000342499930 409678355 /nfs/dbraw/zinc/67/83/55/409678355.db2.gz PFIADPAOOGJVSC-UHFFFAOYSA-N -1 1 314.349 1.529 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2cc(C)nc(C)n2)c1Br ZINC000349198551 409745294 /nfs/dbraw/zinc/74/52/94/409745294.db2.gz KOIFXACMIJNKTE-UHFFFAOYSA-N -1 1 324.182 1.816 20 0 DDADMM CC(C)(CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1)C1CC1 ZINC000342603472 409754890 /nfs/dbraw/zinc/75/48/90/409754890.db2.gz WNSOZMQAFDYLGD-UHFFFAOYSA-N -1 1 309.439 1.426 20 0 DDADMM O=C([N-]c1n[nH]c(C2CCC2)n1)c1csc(-c2nc[nH]n2)n1 ZINC000354329501 409771222 /nfs/dbraw/zinc/77/12/22/409771222.db2.gz YFDHUJYZMVKCES-UHFFFAOYSA-N -1 1 316.350 1.566 20 0 DDADMM Cc1ccc2c(c1)[C@H](NC(=O)c1cc(=O)n3[n-]cnc3n1)[C@@H](C)C2 ZINC000354339816 409778112 /nfs/dbraw/zinc/77/81/12/409778112.db2.gz HWOLHNWRTLCTOM-ZUZCIYMTSA-N -1 1 323.356 1.389 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1nc(-c2ccccc2)cs1 ZINC000338224113 409816086 /nfs/dbraw/zinc/81/60/86/409816086.db2.gz CTPSRMJKRUNYCH-UHFFFAOYSA-N -1 1 312.358 1.742 20 0 DDADMM O=C(COc1cccc(F)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332216018 409986016 /nfs/dbraw/zinc/98/60/16/409986016.db2.gz UMHBBDVTPSMWDT-SNVBAGLBSA-N -1 1 321.308 1.699 20 0 DDADMM CN(C)C(=O)[C@H]1CC[C@@H](CN=c2nc(C(F)(F)F)[n-]s2)O1 ZINC000342930560 410007471 /nfs/dbraw/zinc/00/74/71/410007471.db2.gz HXSTWFZOHPFOHU-NKWVEPMBSA-N -1 1 324.328 1.027 20 0 DDADMM O=C(CSc1n[nH]c(=O)[n-]1)Nc1nc2ccc(F)cc2[nH]1 ZINC000357392243 410012161 /nfs/dbraw/zinc/01/21/61/410012161.db2.gz NDFUANXPEPGBNT-UHFFFAOYSA-N -1 1 308.298 1.257 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2ccc(N(C)C)nc2)[nH]n1 ZINC000357395558 410013635 /nfs/dbraw/zinc/01/36/35/410013635.db2.gz XGOSBNMIKODTBJ-UHFFFAOYSA-N -1 1 309.395 1.624 20 0 DDADMM O=c1ccn(Cc2nc(-c3ccc([O-])cc3Cl)no2)c(=O)[nH]1 ZINC000350820767 410000521 /nfs/dbraw/zinc/00/05/21/410000521.db2.gz XOJWVCAEKNJQAT-UHFFFAOYSA-N -1 1 320.692 1.406 20 0 DDADMM Cc1nc(CC(C)C)sc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354768053 410073551 /nfs/dbraw/zinc/07/35/51/410073551.db2.gz YCKVWOGHKVEZQT-UHFFFAOYSA-N -1 1 308.411 1.828 20 0 DDADMM CC(C)(NC(=O)[C@H]1C[C@@]12CCCc1ccccc12)c1nn[n-]n1 ZINC000354772372 410075850 /nfs/dbraw/zinc/07/58/50/410075850.db2.gz LJGPBCFIILQQHT-CXAGYDPISA-N -1 1 311.389 1.845 20 0 DDADMM CC(C)c1noc2ncc(C(=O)NC(C)(C)c3nn[n-]n3)cc21 ZINC000354809131 410101679 /nfs/dbraw/zinc/10/16/79/410101679.db2.gz XQXIUWQHWKMVJM-UHFFFAOYSA-N -1 1 315.337 1.524 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)Cc2c(F)cccc2F)n1 ZINC000347033317 410321063 /nfs/dbraw/zinc/32/10/63/410321063.db2.gz WGWGAFSENHWSET-SSDOTTSWSA-N -1 1 317.317 1.837 20 0 DDADMM COc1cc2c([O-])c(C(=O)N=c3ccn(C)[nH]3)cnc2cc1F ZINC000358002637 410338709 /nfs/dbraw/zinc/33/87/09/410338709.db2.gz HWMLBKXVKNJHFT-UHFFFAOYSA-N -1 1 316.292 1.084 20 0 DDADMM CC(=O)c1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(F)c1 ZINC000358004546 410340248 /nfs/dbraw/zinc/34/02/48/410340248.db2.gz WWCVDWOPPVQVDY-QMMMGPOBSA-N -1 1 305.313 1.417 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C[C@H](C)O1 ZINC000351860377 410297210 /nfs/dbraw/zinc/29/72/10/410297210.db2.gz QBLZWPXLWZJBTB-PEGIJTEDSA-N -1 1 309.366 1.685 20 0 DDADMM Cn1[nH]c(CSc2n[nH]c(CCC3CCCC3)n2)nc1=O ZINC000329446680 410302087 /nfs/dbraw/zinc/30/20/87/410302087.db2.gz NZBXYGWQXISSBT-UHFFFAOYSA-N -1 1 308.411 1.642 20 0 DDADMM CC1CCC([N@H+](Cc2nc(=O)n(C)[n-]2)C[C@H]2CCCO2)CC1 ZINC000329639847 410394806 /nfs/dbraw/zinc/39/48/06/410394806.db2.gz ZEOYUTIBBFBNGZ-JXQTWKCFSA-N -1 1 308.426 1.668 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2(c3nc[nH]n3)CCC2)sc1C ZINC000352079129 410462463 /nfs/dbraw/zinc/46/24/63/410462463.db2.gz GMPNJGAQJZDMHD-UHFFFAOYSA-N -1 1 313.408 1.236 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cccnc1OC(C)C ZINC000298850513 410423001 /nfs/dbraw/zinc/42/30/01/410423001.db2.gz LEKSYEYDQNAYQM-UHFFFAOYSA-N -1 1 302.352 1.174 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)c1cccc(OC(F)(F)F)c1 ZINC000347395337 410521883 /nfs/dbraw/zinc/52/18/83/410521883.db2.gz RSCTYSDAPNXXFF-UHFFFAOYSA-N -1 1 302.256 1.643 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2nnc(C(C)C)o2)[n-]1 ZINC000352163344 410519769 /nfs/dbraw/zinc/51/97/69/410519769.db2.gz AJYSBQCUQRYJCJ-UHFFFAOYSA-N -1 1 321.337 1.664 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2nnc(C(C)C)o2)n1 ZINC000352163344 410519771 /nfs/dbraw/zinc/51/97/71/410519771.db2.gz AJYSBQCUQRYJCJ-UHFFFAOYSA-N -1 1 321.337 1.664 20 0 DDADMM CO[C@]1(C)CCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000352183694 410530426 /nfs/dbraw/zinc/53/04/26/410530426.db2.gz HEVRRGKMGDETLL-OAHLLOKOSA-N -1 1 304.350 1.315 20 0 DDADMM CCOCCCN(C[C@@H](C)C(=O)OC)C(=O)c1cncc([O-])c1 ZINC000339950258 410573266 /nfs/dbraw/zinc/57/32/66/410573266.db2.gz GMUOVVWMRNYPRA-GFCCVEGCSA-N -1 1 324.377 1.465 20 0 DDADMM C[C@H]1CC(CCN2Cc3n[nH]c(=O)n3C[C@@H]2C(=O)[O-])C[C@H](C)C1 ZINC000333618841 410587847 /nfs/dbraw/zinc/58/78/47/410587847.db2.gz TWXHYVQHRPUUQR-NQBHXWOUSA-N -1 1 322.409 1.715 20 0 DDADMM CC(C)[C@@H](C)NC(=O)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000330386965 410660456 /nfs/dbraw/zinc/66/04/56/410660456.db2.gz AUZATTVRWWWMDG-GFCCVEGCSA-N -1 1 319.405 1.800 20 0 DDADMM CCC[C@@](C)(NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(=O)OC ZINC000355623631 410614813 /nfs/dbraw/zinc/61/48/13/410614813.db2.gz DENFXJKBDPGTRW-OAHLLOKOSA-N -1 1 320.349 1.136 20 0 DDADMM C[C@]1(CN=c2ccc(C(=O)NC3CCCC3)n[n-]2)CCOC1 ZINC000330347181 410637520 /nfs/dbraw/zinc/63/75/20/410637520.db2.gz HLGHNSFLLAHKJS-MRXNPFEDSA-N -1 1 304.394 1.409 20 0 DDADMM CCC[C@@H](C)CS(=O)(=O)[N-][C@]1(C(=O)OC)CCSC1 ZINC000340070913 410655321 /nfs/dbraw/zinc/65/53/21/410655321.db2.gz NZULQOARZBRJMW-ZYHUDNBSSA-N -1 1 309.453 1.391 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@@]1(O)CCCC1(C)C)c2=O ZINC000355715870 410656507 /nfs/dbraw/zinc/65/65/07/410656507.db2.gz PYZYYNIQWNJBOK-INIZCTEOSA-N -1 1 318.377 1.345 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(-c2ccccn2)no1)c1nn[n-]n1 ZINC000343765018 410681544 /nfs/dbraw/zinc/68/15/44/410681544.db2.gz MXKNOIUAXWCIIP-SNVBAGLBSA-N -1 1 313.321 1.521 20 0 DDADMM C[C@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352943069 410689462 /nfs/dbraw/zinc/68/94/62/410689462.db2.gz PUTFEOKTWODDST-GMSGAONNSA-N -1 1 324.196 1.888 20 0 DDADMM Cc1ccc(C[C@@H](C)C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1 ZINC000353044666 410756410 /nfs/dbraw/zinc/75/64/10/410756410.db2.gz MOQREUZNNZWRQC-SNVBAGLBSA-N -1 1 322.390 1.334 20 0 DDADMM Cc1ccc(C[C@@H](C)C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cc1 ZINC000353044666 410756413 /nfs/dbraw/zinc/75/64/13/410756413.db2.gz MOQREUZNNZWRQC-SNVBAGLBSA-N -1 1 322.390 1.334 20 0 DDADMM O=C(N[C@@H](CO)Cc1ccc(O)cc1)c1c(F)ccc([O-])c1F ZINC000343878688 410772849 /nfs/dbraw/zinc/77/28/49/410772849.db2.gz VXGWPNPUSGDVAS-SNVBAGLBSA-N -1 1 323.295 1.709 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1nc2ccccc2cc1Cl ZINC000356052682 410797813 /nfs/dbraw/zinc/79/78/13/410797813.db2.gz MILKSZJXJHQJGU-UHFFFAOYSA-N -1 1 314.736 1.820 20 0 DDADMM CSc1nc([N-]C(=O)c2cc(-c3cccnc3)on2)n[nH]1 ZINC000340265247 410806452 /nfs/dbraw/zinc/80/64/52/410806452.db2.gz FHBJSPHKJJEMHP-UHFFFAOYSA-N -1 1 302.319 1.829 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)c3ccccc3Cl)ccnc1-2 ZINC000340365147 410846492 /nfs/dbraw/zinc/84/64/92/410846492.db2.gz HVVCHMWUQXJISO-UHFFFAOYSA-N -1 1 322.777 1.796 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(C)o1)[C@@H](O)C(F)(F)F ZINC000330647961 410848272 /nfs/dbraw/zinc/84/82/72/410848272.db2.gz IHXJJMDJXBNGJX-VXNVDRBHSA-N -1 1 301.286 1.568 20 0 DDADMM CC(C)(NC(=O)CCCOc1cccc(F)c1)c1nn[n-]n1 ZINC000359784993 410880786 /nfs/dbraw/zinc/88/07/86/410880786.db2.gz JAEIVAAYAUQEQD-UHFFFAOYSA-N -1 1 307.329 1.549 20 0 DDADMM Cn1c(SCc2nn[n-]n2)nnc1-c1ccc(Cl)cc1 ZINC000353162086 410817930 /nfs/dbraw/zinc/81/79/30/410817930.db2.gz HTMFZLBUYBEUSC-UHFFFAOYSA-N -1 1 307.770 1.941 20 0 DDADMM C[C@@H](Oc1ccccc1Cl)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348292971 410903494 /nfs/dbraw/zinc/90/34/94/410903494.db2.gz LYZJQKHANPSHGW-MRVPVSSYSA-N -1 1 307.741 1.426 20 0 DDADMM Cc1cc(S(=O)(=O)Nc2cnn(C)c2)cc(C(=O)[O-])c1C ZINC000348452462 410970902 /nfs/dbraw/zinc/97/09/02/410970902.db2.gz HSBANGKFODHXAJ-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM COc1cc2c(cc1F)CC[C@@H]2Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000337811346 411012218 /nfs/dbraw/zinc/01/22/18/411012218.db2.gz MNPYIFJICVGLRR-NSHDSACASA-N -1 1 315.308 1.679 20 0 DDADMM COc1cc(C(=O)N2C[C@@H](OC)[C@H](OC)C2)cc(Cl)c1[O-] ZINC000331227800 411030612 /nfs/dbraw/zinc/03/06/12/411030612.db2.gz DVDIWAXVZOKGGR-VXGBXAGGSA-N -1 1 315.753 1.540 20 0 DDADMM O=C(N[C@@H]1CCN(C2CCC2)C1=O)c1cc(Cl)ccc1[O-] ZINC000629928760 422883140 /nfs/dbraw/zinc/88/31/40/422883140.db2.gz DOTLKUCOTYUDHH-GFCCVEGCSA-N -1 1 308.765 1.929 20 0 DDADMM Cc1cnc(SCc2nnnn2CC(F)(F)F)[n-]c1=O ZINC000580216744 422906125 /nfs/dbraw/zinc/90/61/25/422906125.db2.gz GHWHQLPMROJWCY-UHFFFAOYSA-N -1 1 306.273 1.332 20 0 DDADMM C[C@@H]1CN(C(=O)CCc2nn[n-]n2)C[C@@H](c2ccsc2)O1 ZINC000631789721 422923142 /nfs/dbraw/zinc/92/31/42/422923142.db2.gz WHUXFKLTLVQMQV-KOLCDFICSA-N -1 1 307.379 1.182 20 0 DDADMM Cc1cccc2c1O[C@H](C(=O)N1CCC[C@@H](c3nn[n-]n3)C1)C2 ZINC000580397026 422925390 /nfs/dbraw/zinc/92/53/90/422925390.db2.gz XIXNOXDUMCDZPK-OLZOCXBDSA-N -1 1 313.361 1.218 20 0 DDADMM CO[C@H]1C[C@@H](C(=O)[O-])N(Cc2cccc(-n3cccn3)c2)C1 ZINC000580456564 422934937 /nfs/dbraw/zinc/93/49/37/422934937.db2.gz IDBKZMBOEYQHCL-GJZGRUSLSA-N -1 1 301.346 1.546 20 0 DDADMM CCC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC000133188000 196319102 /nfs/dbraw/zinc/31/91/02/196319102.db2.gz ACRQZXRXZBWUDA-SNVBAGLBSA-N -1 1 318.345 1.254 20 0 DDADMM Nc1n[nH]c(C2CCN(C(=O)c3c(F)ccc([O-])c3F)CC2)n1 ZINC000647732914 423018262 /nfs/dbraw/zinc/01/82/62/423018262.db2.gz FJQRMGMJVLNHQW-UHFFFAOYSA-N -1 1 323.303 1.221 20 0 DDADMM CN(C)c1noc(CN2CC[C@](C(=O)[O-])(c3ccccc3)C2)n1 ZINC000652500406 423046053 /nfs/dbraw/zinc/04/60/53/423046053.db2.gz QJTCPMURSPOGGE-MRXNPFEDSA-N -1 1 316.361 1.364 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1ccc(F)c(C)c1 ZINC000416226445 224842234 /nfs/dbraw/zinc/84/22/34/224842234.db2.gz VFCASSINAJYSFD-UHFFFAOYSA-N -1 1 321.308 1.616 20 0 DDADMM Cc1nn(C)cc1CN[C@]1(C(=O)[O-])CCc2c1cccc2F ZINC000417560043 225364416 /nfs/dbraw/zinc/36/44/16/225364416.db2.gz BAYMMOWMMCVOFF-MRXNPFEDSA-N -1 1 303.337 1.884 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2CCC(F)(F)C2)cc(=O)[n-]1 ZINC000640656231 423118170 /nfs/dbraw/zinc/11/81/70/423118170.db2.gz VXQZZNNUFMXFLO-SSDOTTSWSA-N -1 1 303.334 1.956 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)c1 ZINC000643352521 423120561 /nfs/dbraw/zinc/12/05/61/423120561.db2.gz CFOJRFPAELPKQW-AXFHLTTASA-N -1 1 324.402 1.675 20 0 DDADMM Cc1noc(CNC(=O)c2ccc(Br)c([O-])c2)n1 ZINC000137611924 221611908 /nfs/dbraw/zinc/61/19/08/221611908.db2.gz AFONYPPPEBPRKU-UHFFFAOYSA-N -1 1 312.123 1.776 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@@]2(CCOC2)O1 ZINC000373694590 418448093 /nfs/dbraw/zinc/44/80/93/418448093.db2.gz HIAABBOFQHPICD-MLGOLLRUSA-N -1 1 309.337 1.941 20 0 DDADMM CN(Cc1cccs1)C(=O)CN1CCC(C)(C(=O)[O-])CC1 ZINC000062844315 418485716 /nfs/dbraw/zinc/48/57/16/418485716.db2.gz ZQKAXNQHRITEQN-UHFFFAOYSA-N -1 1 310.419 1.893 20 0 DDADMM COCC1(C(=O)[O-])CCN(C(=O)c2cc(C3CC3)[nH]n2)CC1 ZINC000366880864 418531293 /nfs/dbraw/zinc/53/12/93/418531293.db2.gz QONIWXXAFRCOTJ-UHFFFAOYSA-N -1 1 307.350 1.241 20 0 DDADMM CCO[C@H]1C[C@](CO)(NC(=O)c2cc(F)ccc2[O-])C1(C)C ZINC000188801682 222026916 /nfs/dbraw/zinc/02/69/16/222026916.db2.gz NAVOLCUUNHKDEL-XJKSGUPXSA-N -1 1 311.353 1.827 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)N2CCCC2)C1)c1cncc([O-])c1 ZINC000286557824 418573882 /nfs/dbraw/zinc/57/38/82/418573882.db2.gz AEXQZLFHCUDKPO-CYBMUJFWSA-N -1 1 318.377 1.197 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-]c2ccccn2)cc1 ZINC000194568949 222179504 /nfs/dbraw/zinc/17/95/04/222179504.db2.gz OLGKEOWSLUJIAM-UHFFFAOYSA-N -1 1 306.343 1.810 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1ccc(OC)nc1)c1ccco1 ZINC000195328743 222198890 /nfs/dbraw/zinc/19/88/90/222198890.db2.gz ZBXDFKSQGKVLQZ-LLVKDONJSA-N -1 1 312.347 1.349 20 0 DDADMM COc1cc(C(C)=O)ccc1SCC(=O)Nc1nnn[n-]1 ZINC000342851513 418630258 /nfs/dbraw/zinc/63/02/58/418630258.db2.gz IHAFKDLPSZUWBU-UHFFFAOYSA-N -1 1 307.335 1.142 20 0 DDADMM COc1cc(C(C)=O)ccc1SCC(=O)Nc1nn[n-]n1 ZINC000342851513 418630262 /nfs/dbraw/zinc/63/02/62/418630262.db2.gz IHAFKDLPSZUWBU-UHFFFAOYSA-N -1 1 307.335 1.142 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cccc(-n3cccn3)c2)n[n-]1 ZINC000368309124 418718475 /nfs/dbraw/zinc/71/84/75/418718475.db2.gz BXERQWYQCZVKJF-UHFFFAOYSA-N -1 1 317.374 1.527 20 0 DDADMM O=C(Nc1ccc2[n-]c(=S)oc2c1)[C@@H]1C[C@@H]1c1nc[nH]n1 ZINC000364675782 418799495 /nfs/dbraw/zinc/79/94/95/418799495.db2.gz MINYGRUMTVVGGT-JGVFFNPUSA-N -1 1 301.331 1.977 20 0 DDADMM Cc1nc(C)n(C[C@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC000372412388 418867003 /nfs/dbraw/zinc/86/70/03/418867003.db2.gz OWWCXIPGDNBWSU-GFCCVEGCSA-N -1 1 301.350 1.300 20 0 DDADMM CC(C)Cn1cc(C(=O)N=c2ccc([O-])n[nH]2)c(C(F)F)n1 ZINC000410717687 418841837 /nfs/dbraw/zinc/84/18/37/418841837.db2.gz KFNMGBCDMHBQCS-UHFFFAOYSA-N -1 1 311.292 1.647 20 0 DDADMM CC(C)Cn1cc(C(=O)N=c2ccc(O)n[n-]2)c(C(F)F)n1 ZINC000410717687 418841839 /nfs/dbraw/zinc/84/18/39/418841839.db2.gz KFNMGBCDMHBQCS-UHFFFAOYSA-N -1 1 311.292 1.647 20 0 DDADMM COc1ccccc1C[C@@H](C)CC(=O)Nc1nn[nH]c1C(N)=O ZINC000410857479 418852062 /nfs/dbraw/zinc/85/20/62/418852062.db2.gz ILMLMKHTVOHWFP-SECBINFHSA-N -1 1 317.349 1.120 20 0 DDADMM C[C@@H]1CCC[C@]1(O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294326084 287504609 /nfs/dbraw/zinc/50/46/09/287504609.db2.gz PNVKAVTVDASTCF-OTYXRUKQSA-N -1 1 306.391 1.299 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](CO)[C@H]2CCCO2)c(F)c1 ZINC000425185174 228384889 /nfs/dbraw/zinc/38/48/89/228384889.db2.gz GNQVIOPVPOIYEY-VXGBXAGGSA-N -1 1 321.345 1.091 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@](C)(O)C(C)C)c(F)c1 ZINC000425193212 228386823 /nfs/dbraw/zinc/38/68/23/228386823.db2.gz GEJZPAUVUUVINQ-CYBMUJFWSA-N -1 1 307.362 1.959 20 0 DDADMM CN(CC(=O)[O-])C(=O)c1ccc(CN2CCSCC2)cc1 ZINC000427772839 419732400 /nfs/dbraw/zinc/73/24/00/419732400.db2.gz GZUIVQJPSZPBTI-UHFFFAOYSA-N -1 1 308.403 1.392 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C)c2noc(COC(C)C)n2)c([O-])c1 ZINC000428158596 419825453 /nfs/dbraw/zinc/82/54/53/419825453.db2.gz RIDCWDCFKUGJRG-JTQLQIEISA-N -1 1 320.349 1.895 20 0 DDADMM O=c1nc(NCCCNc2ccccc2F)nc2[nH][n-]cc1-2 ZINC000428202957 419828626 /nfs/dbraw/zinc/82/86/26/419828626.db2.gz FMNRACJTHXNBHB-UHFFFAOYSA-N -1 1 302.313 1.485 20 0 DDADMM COc1ccc2c(c1)OC[C@@H](NC(=O)c1ncc(C)cc1[O-])C2 ZINC000428029091 419793134 /nfs/dbraw/zinc/79/31/34/419793134.db2.gz CVJJMQLPTCSICQ-LBPRGKRZSA-N -1 1 314.341 1.838 20 0 DDADMM Cc1nc(C(C)(C)[N-]S(=O)(=O)c2cc(F)ccc2F)no1 ZINC000299638372 229288914 /nfs/dbraw/zinc/28/89/14/229288914.db2.gz LKPYQEBSCVZIKV-UHFFFAOYSA-N -1 1 317.317 1.870 20 0 DDADMM C[C@H]1CNCCN1C(=O)N=c1[n-]nc(/C=C\c2ccco2)s1 ZINC000415384610 420044929 /nfs/dbraw/zinc/04/49/29/420044929.db2.gz UYMANTMDMKCYAP-LWTINBJPSA-N -1 1 319.390 1.549 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCC[C@H](C(N)=O)C2)c1 ZINC000436501819 420334302 /nfs/dbraw/zinc/33/43/02/420334302.db2.gz ATMPGTICPBCJPD-VHSXEESVSA-N -1 1 320.345 1.409 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C2CCN(C(C)=O)CC2)c1 ZINC000436503682 420335599 /nfs/dbraw/zinc/33/55/99/420335599.db2.gz IMVGSLPWCSAHBR-UHFFFAOYSA-N -1 1 320.345 1.376 20 0 DDADMM CC[C@@H](C(=O)OC)N1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000436535338 420337182 /nfs/dbraw/zinc/33/71/82/420337182.db2.gz ZLZQMHDXFHPABE-ZDUSSCGKSA-N -1 1 324.352 1.241 20 0 DDADMM O=C(c1ccc2nc[nH]c2n1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425293516 420341299 /nfs/dbraw/zinc/34/12/99/420341299.db2.gz BWXAHRSSEBWSCD-QMMMGPOBSA-N -1 1 314.305 1.066 20 0 DDADMM CC(C)c1n[nH]cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425306907 420345614 /nfs/dbraw/zinc/34/56/14/420345614.db2.gz STYZQDMNXSPSRX-SECBINFHSA-N -1 1 305.338 1.642 20 0 DDADMM O=C(c1nc2c(s1)CCC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425310010 420345953 /nfs/dbraw/zinc/34/59/53/420345953.db2.gz WZGGQDCGJSDPOL-MRVPVSSYSA-N -1 1 320.374 1.740 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccncc2)n1)C(=O)c1ccc([O-])cc1 ZINC000436980566 420388616 /nfs/dbraw/zinc/38/86/16/420388616.db2.gz OBWQUBWZEQKXBJ-UHFFFAOYSA-N -1 1 309.285 1.394 20 0 DDADMM NC(=O)CC1(C[N-]S(=O)(=O)c2c(F)cccc2Cl)CC1 ZINC000420707175 420364739 /nfs/dbraw/zinc/36/47/39/420364739.db2.gz SHOAIJHJYZMOKF-UHFFFAOYSA-N -1 1 320.773 1.413 20 0 DDADMM CCO[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000416524119 420405289 /nfs/dbraw/zinc/40/52/89/420405289.db2.gz FWWYTCPTMYDKMI-OCAPTIKFSA-N -1 1 309.309 1.950 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC1CCC(C(F)F)CC1 ZINC000416563591 420418562 /nfs/dbraw/zinc/41/85/62/420418562.db2.gz PTBQYISRKYJECA-UHFFFAOYSA-N -1 1 309.338 1.498 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1CCC(C(F)F)CC1 ZINC000416563591 420418565 /nfs/dbraw/zinc/41/85/65/420418565.db2.gz PTBQYISRKYJECA-UHFFFAOYSA-N -1 1 309.338 1.498 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CS[C@@H](C)C2)c1 ZINC000416579624 420423336 /nfs/dbraw/zinc/42/33/36/420423336.db2.gz CTIJZZFDJQELKY-CBAPKCEASA-N -1 1 305.377 1.239 20 0 DDADMM Cc1cnc(C(=O)N[C@H](c2cnn(C)c2)C(F)(F)F)c([O-])c1 ZINC000447263789 230354575 /nfs/dbraw/zinc/35/45/75/230354575.db2.gz JUEYWZSGTLLRGS-LLVKDONJSA-N -1 1 314.267 1.863 20 0 DDADMM COCC(COC)Nc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000450794533 420532658 /nfs/dbraw/zinc/53/26/58/420532658.db2.gz RMKQJDAOUHJYDK-UHFFFAOYSA-N -1 1 318.377 1.934 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)C=Cc1ccncn1 ZINC000492509974 420579077 /nfs/dbraw/zinc/57/90/77/420579077.db2.gz VTSSSILRAVENFC-PLNGDYQASA-N -1 1 301.306 1.337 20 0 DDADMM COc1cncc(/C=C/C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000492856802 420687395 /nfs/dbraw/zinc/68/73/95/420687395.db2.gz JCIMBSYDWPIMRD-NZHODUKLSA-N -1 1 309.329 1.397 20 0 DDADMM COc1cc(C(=O)N2CC[S@@](=O)[C@H](C)C2)cc(Cl)c1[O-] ZINC000442673064 420698106 /nfs/dbraw/zinc/69/81/06/420698106.db2.gz SAFJVYGOFPCOIW-ZPWHCFADSA-N -1 1 317.794 1.647 20 0 DDADMM CC[C@H](O)CCC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000443244031 420750521 /nfs/dbraw/zinc/75/05/21/420750521.db2.gz DORRAIRWFDOROZ-QMMMGPOBSA-N -1 1 311.325 1.933 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](C)C[C@@H](C)O)o1 ZINC000443240160 420750638 /nfs/dbraw/zinc/75/06/38/420750638.db2.gz JXDWOMHZURBCQP-NXEZZACHSA-N -1 1 319.379 1.142 20 0 DDADMM CCc1n[n-]c(=NCc2nc3c(s2)CN(C(C)C)CC3)o1 ZINC000453052154 420707817 /nfs/dbraw/zinc/70/78/17/420707817.db2.gz OLGZVQZKMXDEHK-UHFFFAOYSA-N -1 1 307.423 1.889 20 0 DDADMM O=C(NCCc1nc(=O)o[n-]1)c1c(O)cc(Cl)cc1Cl ZINC000447636510 420789220 /nfs/dbraw/zinc/78/92/20/420789220.db2.gz JIHCRGGAPSBYTA-UHFFFAOYSA-N -1 1 318.116 1.348 20 0 DDADMM O=C(C=Cc1ccc(F)c(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000493803236 420944777 /nfs/dbraw/zinc/94/47/77/420944777.db2.gz NTIJUMGMFVATJG-MALLOTDXSA-N -1 1 319.315 1.897 20 0 DDADMM CC(C)(C)C(=O)Nc1ccc(C(=O)Nc2ccncc2[O-])cn1 ZINC000449851489 421097136 /nfs/dbraw/zinc/09/71/36/421097136.db2.gz QYZCZSWVZQTBQE-UHFFFAOYSA-N -1 1 314.345 1.841 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@@H](O)C1CCC1 ZINC000450604488 421216050 /nfs/dbraw/zinc/21/60/50/421216050.db2.gz WVJXXIYZJBAVGE-LBPRGKRZSA-N -1 1 316.354 1.297 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCC[C@@H]1C1CC1 ZINC000561082246 421305275 /nfs/dbraw/zinc/30/52/75/421305275.db2.gz HIVYNJIBJAYUDT-VHSXEESVSA-N -1 1 307.350 1.453 20 0 DDADMM CC(=O)N[C@H](C)C1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000525873277 421308379 /nfs/dbraw/zinc/30/83/79/421308379.db2.gz AQGZFMCJISSRKW-SNVBAGLBSA-N -1 1 315.377 1.335 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@H](OCc3ccccn3)C2)c([O-])c1 ZINC000545887389 421266899 /nfs/dbraw/zinc/26/68/99/421266899.db2.gz YXBGVIXZGBRVTK-AWEZNQCLSA-N -1 1 313.357 1.922 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2ccccc2[O-])c2ccccc2O1 ZINC000560593417 421283703 /nfs/dbraw/zinc/28/37/03/421283703.db2.gz JXJIINMAALTZKE-OAHLLOKOSA-N -1 1 313.309 1.973 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2nc(C)n(C)c2c1)c1nn[n-]n1 ZINC000526020697 421323669 /nfs/dbraw/zinc/32/36/69/421323669.db2.gz BBUFOHZXPSDSBD-GFCCVEGCSA-N -1 1 313.365 1.666 20 0 DDADMM O=C(N[C@H]1CCCC[C@H]1N1CCOCC1)c1c([O-])cccc1F ZINC000547481875 421336414 /nfs/dbraw/zinc/33/64/14/421336414.db2.gz MOIYYGPMQKWHAC-UONOGXRCSA-N -1 1 322.380 1.905 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(OC[C@H]2CCOC2)CC1 ZINC000547655168 421351858 /nfs/dbraw/zinc/35/18/58/421351858.db2.gz BJRAGSLIQHKCMO-LBPRGKRZSA-N -1 1 306.362 1.445 20 0 DDADMM Cc1cc(C)n([C@H](C)CC(=O)N(C)C[C@H](C)c2nn[n-]n2)n1 ZINC000548137492 421406066 /nfs/dbraw/zinc/40/60/66/421406066.db2.gz PJTOTEHVOOZZSZ-JOYOIKCWSA-N -1 1 305.386 1.226 20 0 DDADMM COc1cc(-c2noc(CN3CC[C@@H](C(=O)[O-])C3)n2)ccc1C ZINC000562964546 421431900 /nfs/dbraw/zinc/43/19/00/421431900.db2.gz CYBVAEXPUQHRCG-GFCCVEGCSA-N -1 1 317.345 1.960 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1ccc2c(c1)CCCO2)c1nn[n-]n1 ZINC000563189146 421468683 /nfs/dbraw/zinc/46/86/83/421468683.db2.gz DGFYGBAIIXAOHH-NSHDSACASA-N -1 1 315.377 1.329 20 0 DDADMM O=C(C[C@H](O)Cc1ccccc1)NC1(c2nn[n-]n2)CCCC1 ZINC000517013741 421587573 /nfs/dbraw/zinc/58/75/73/421587573.db2.gz UWHNGGNKKWWLII-CYBMUJFWSA-N -1 1 315.377 1.079 20 0 DDADMM CO[C@H](C)c1nc(=NC[C@H]2CCCCS2(=O)=O)s[n-]1 ZINC000554687259 421658685 /nfs/dbraw/zinc/65/86/85/421658685.db2.gz CPHBJUNARSFBRF-RKDXNWHRSA-N -1 1 305.425 1.047 20 0 DDADMM CO[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000571161787 421692569 /nfs/dbraw/zinc/69/25/69/421692569.db2.gz VOPSPGSTRKWECJ-NXEZZACHSA-N -1 1 309.746 1.171 20 0 DDADMM CC(=O)[C@@H](C)CCCCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000537124030 421728200 /nfs/dbraw/zinc/72/82/00/421728200.db2.gz VYXFBEWNTWSDGC-AAEUAGOBSA-N -1 1 307.398 1.691 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2ccc(F)cc2F)s1 ZINC000542798929 421830145 /nfs/dbraw/zinc/83/01/45/421830145.db2.gz MFNHIJVISZRILO-UHFFFAOYSA-N -1 1 305.331 1.603 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@H]1CCN(c2ccccc2)C1 ZINC000635283016 421883191 /nfs/dbraw/zinc/88/31/91/421883191.db2.gz SYTQMRYVAWPFOB-CYBMUJFWSA-N -1 1 314.393 1.165 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NCCc1ccc(C(=O)[O-])cc1 ZINC000630098077 421886307 /nfs/dbraw/zinc/88/63/07/421886307.db2.gz PHJQJHFTRGEMBF-NSHDSACASA-N -1 1 301.346 1.645 20 0 DDADMM CCOCc1ccc(CNCc2cc(C(=O)[O-])nn2C)cc1 ZINC000635304985 421895347 /nfs/dbraw/zinc/89/53/47/421895347.db2.gz KIROBKKRQPEIFP-UHFFFAOYSA-N -1 1 303.362 1.945 20 0 DDADMM CC[C@@H]1C[C@H](CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCO1 ZINC000543816748 421841936 /nfs/dbraw/zinc/84/19/36/421841936.db2.gz ZFPIQPDTVFOYJM-JHJVBQTASA-N -1 1 307.398 1.501 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)[C@H]1COc2cc(C)c(C)cc21 ZINC000580841652 421848870 /nfs/dbraw/zinc/84/88/70/421848870.db2.gz JYHVZFDOXFXOGH-NSHDSACASA-N -1 1 315.329 1.928 20 0 DDADMM CC(C)COC[C@H](O)CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631811175 421848965 /nfs/dbraw/zinc/84/89/65/421848965.db2.gz SOYGYDPSGMKEPV-GFCCVEGCSA-N -1 1 315.797 1.738 20 0 DDADMM CN1CCC[C@H](NC(=O)CCc2nn[n-]n2)c2ccccc21 ZINC000633343914 421855547 /nfs/dbraw/zinc/85/55/47/421855547.db2.gz RFWFMGJZXKLCAR-LBPRGKRZSA-N -1 1 300.366 1.220 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CNCc1cccc2c1CCOC2 ZINC000635320085 421905901 /nfs/dbraw/zinc/90/59/01/421905901.db2.gz PKVNPFUSRBCEER-UHFFFAOYSA-N -1 1 301.346 1.481 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CC[N@@H+](CCCS(=O)(=O)C(C)C)C1 ZINC000573127353 421926672 /nfs/dbraw/zinc/92/66/72/421926672.db2.gz YRGWTDVBWYEFPV-CQSZACIVSA-N -1 1 305.440 1.632 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCN1CCCc2ccccc21 ZINC000635349956 421928005 /nfs/dbraw/zinc/92/80/05/421928005.db2.gz DQBYAJIILAIHMY-UHFFFAOYSA-N -1 1 314.393 1.091 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@@H]1CCCN1C1CC1)c1ccc(F)cc1 ZINC000630176289 421937770 /nfs/dbraw/zinc/93/77/70/421937770.db2.gz AJHVRZBDLZFXEO-CABCVRRESA-N -1 1 320.364 1.737 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cc(F)cc(F)c2)CC1 ZINC000630221707 421972509 /nfs/dbraw/zinc/97/25/09/421972509.db2.gz BKUIQOWHVBAMNO-JTQLQIEISA-N -1 1 312.316 1.586 20 0 DDADMM O=C([O-])[C@@]1(CNC(=O)Cc2[nH]nc3ccccc32)CCCOC1 ZINC000630334804 422026318 /nfs/dbraw/zinc/02/63/18/422026318.db2.gz CZIIGKPYXBVFRA-MRXNPFEDSA-N -1 1 317.345 1.103 20 0 DDADMM CC[C@H](O)CCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632027747 422033839 /nfs/dbraw/zinc/03/38/39/422033839.db2.gz MHPSZGLQVBPFPP-ZETCQYMHSA-N -1 1 315.317 1.258 20 0 DDADMM O=C(NCCc1nc(=O)o[n-]1)c1cccc(C(F)(F)F)c1O ZINC000581617276 422015616 /nfs/dbraw/zinc/01/56/16/422015616.db2.gz NPIBMEKMKYLETF-UHFFFAOYSA-N -1 1 317.223 1.060 20 0 DDADMM O=c1nc(NC[C@H]2CC[C@@H](c3ccccc3)O2)nc2[nH][n-]cc1-2 ZINC000574330624 422093917 /nfs/dbraw/zinc/09/39/17/422093917.db2.gz YFTHAXVNNXFRET-YPMHNXCESA-N -1 1 311.345 1.764 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])CN(S(=O)(=O)c2cc(O)cc(F)c2)C1 ZINC000630444412 422107300 /nfs/dbraw/zinc/10/73/00/422107300.db2.gz YELUCYROWSJNNU-DTWKUNHWSA-N -1 1 317.338 1.263 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C(C)(C)c1ccccc1C ZINC000633693089 422055547 /nfs/dbraw/zinc/05/55/47/422055547.db2.gz WMROSUWFHMRBCE-UHFFFAOYSA-N -1 1 314.389 1.724 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCC(=O)c2cccn21)c1nn[n-]n1 ZINC000574596557 422141538 /nfs/dbraw/zinc/14/15/38/422141538.db2.gz VVDIQKMUPGCOPP-ONGXEEELSA-N -1 1 302.338 1.176 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCCOCC2)c1 ZINC000632184504 422144460 /nfs/dbraw/zinc/14/44/60/422144460.db2.gz HXUPNUSXEPGWTI-SNVBAGLBSA-N -1 1 301.364 1.248 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCCOCC2)c1 ZINC000632184501 422146174 /nfs/dbraw/zinc/14/61/74/422146174.db2.gz HXUPNUSXEPGWTI-JTQLQIEISA-N -1 1 301.364 1.248 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](C)O[C@@H](C)[C@H]2C)c1 ZINC000632258830 422199236 /nfs/dbraw/zinc/19/92/36/422199236.db2.gz VTJNMJRYLRYCDH-AXFHLTTASA-N -1 1 315.391 1.587 20 0 DDADMM CO[C@H](CC[N-]S(=O)(=O)c1conc1C)C(F)(F)F ZINC000632262732 422204387 /nfs/dbraw/zinc/20/43/87/422204387.db2.gz CIRICJFGUFZVOX-MRVPVSSYSA-N -1 1 302.274 1.229 20 0 DDADMM CCO[C@H]1C[C@H](NC(=O)CCCc2nn[n-]n2)C1(CC)CC ZINC000635651665 422205564 /nfs/dbraw/zinc/20/55/64/422205564.db2.gz FSQSZDARENKLKF-RYUDHWBXSA-N -1 1 309.414 1.622 20 0 DDADMM O=C(c1cc2c([nH]1)CCOC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000583930839 422219472 /nfs/dbraw/zinc/21/94/72/422219472.db2.gz XDXRWAPXARKYSD-VIFPVBQESA-N -1 1 318.333 1.196 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@](C)(O)CC2)c1 ZINC000632292625 422225858 /nfs/dbraw/zinc/22/58/58/422225858.db2.gz UCZGRAAUZQFTGR-CQSZACIVSA-N -1 1 315.391 1.326 20 0 DDADMM Cn1c(CNC(=O)Cc2ccc([O-])c(Cl)c2)nnc1C1CC1 ZINC000632316538 422244998 /nfs/dbraw/zinc/24/49/98/422244998.db2.gz GTBSJXXFTKGEIH-UHFFFAOYSA-N -1 1 320.780 1.910 20 0 DDADMM COc1cccc([C@H](N)C(=O)N2CC[C@H](C)[C@@H](C(=O)[O-])C2)c1 ZINC000630573190 422189802 /nfs/dbraw/zinc/18/98/02/422189802.db2.gz FJLQKHPDCOSFPI-BPNCWPANSA-N -1 1 306.362 1.264 20 0 DDADMM COc1cc(NC(=O)CCc2c(C)nc(SC)[n-]c2=O)[nH]n1 ZINC000628624094 422264703 /nfs/dbraw/zinc/26/47/03/422264703.db2.gz NCVGOLPMLXANGG-UHFFFAOYSA-N -1 1 323.378 1.516 20 0 DDADMM CN1C[C@H]2CCN(S(=O)(=O)c3cccc(Cl)c3[O-])[C@H]2C1 ZINC000632359507 422273143 /nfs/dbraw/zinc/27/31/43/422273143.db2.gz CKUXPVZOHALANC-KOLCDFICSA-N -1 1 316.810 1.370 20 0 DDADMM CN1C[C@@H]2CCN(S(=O)(=O)c3cccc(Cl)c3[O-])[C@@H]2C1 ZINC000632359505 422273666 /nfs/dbraw/zinc/27/36/66/422273666.db2.gz CKUXPVZOHALANC-GXSJLCMTSA-N -1 1 316.810 1.370 20 0 DDADMM Cc1cc(N(C)C)cc(C)c1NC(=O)CCCc1nn[n-]n1 ZINC000635726859 422284829 /nfs/dbraw/zinc/28/48/29/422284829.db2.gz GCYMIWDKKVEHSM-UHFFFAOYSA-N -1 1 302.382 1.844 20 0 DDADMM O=S(=O)([N-]CC1=CCOCC1)c1c[nH]nc1C(F)(F)F ZINC000632389991 422298754 /nfs/dbraw/zinc/29/87/54/422298754.db2.gz MWGLUMSVPJKTQP-UHFFFAOYSA-N -1 1 311.285 1.054 20 0 DDADMM Cc1cc(C[C@H](C)[N-]S(=O)(=O)c2cccc(F)c2F)[nH]n1 ZINC000632394501 422305548 /nfs/dbraw/zinc/30/55/48/422305548.db2.gz RKRVIMYVCQXMJO-VIFPVBQESA-N -1 1 315.345 1.906 20 0 DDADMM C[N@H+]1C[C@@H]2CCCN(C(=O)N=c3ncn(C(C)(C)C)[n-]3)[C@@H]2C1 ZINC000575863870 422339090 /nfs/dbraw/zinc/33/90/90/422339090.db2.gz LIYDQNBAXSJOSU-NWDGAFQWSA-N -1 1 306.414 1.013 20 0 DDADMM Cc1cn2cc(CC(=O)N(C)C[C@H](C)c3nn[n-]n3)nc2s1 ZINC000632437361 422343986 /nfs/dbraw/zinc/34/39/86/422343986.db2.gz YCSBRUYDISHTQK-QMMMGPOBSA-N -1 1 319.394 1.022 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(N2CCCCC2)cn1 ZINC000630804468 422344095 /nfs/dbraw/zinc/34/40/95/422344095.db2.gz HDAOFTYHKNCAMM-UHFFFAOYSA-N -1 1 301.354 1.156 20 0 DDADMM C[C@@H]1CCn2cc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)nc2C1 ZINC000630803232 422345146 /nfs/dbraw/zinc/34/51/46/422345146.db2.gz PPVXIWOTQURDHJ-ZCFIWIBFSA-N -1 1 314.271 1.855 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCCC(F)(F)[C@H]2O)sn1 ZINC000632458977 422361390 /nfs/dbraw/zinc/36/13/90/422361390.db2.gz BLMZULOQTRTPMZ-APPZFPTMSA-N -1 1 312.363 1.279 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCSC[C@@H]1c1nccs1 ZINC000635812842 422367686 /nfs/dbraw/zinc/36/76/86/422367686.db2.gz VIZIPSQAHLGPFL-SECBINFHSA-N -1 1 324.435 1.296 20 0 DDADMM CCOc1ccc(CN(C(=O)CCc2nn[n-]n2)C(C)C)cc1 ZINC000630850604 422372742 /nfs/dbraw/zinc/37/27/42/422372742.db2.gz TYCTZIULOKBUKU-UHFFFAOYSA-N -1 1 317.393 1.968 20 0 DDADMM CCC[N@@H+]1CC[C@H](NS(=O)(=O)c2cc(O)cc(F)c2)C1 ZINC000632567527 422443688 /nfs/dbraw/zinc/44/36/88/422443688.db2.gz HLLMHTGFAMAZSU-NSHDSACASA-N -1 1 302.371 1.294 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](c2ccccc2)[C@H](C)O)sn1 ZINC000632576216 422448105 /nfs/dbraw/zinc/44/81/05/422448105.db2.gz LXYLMOYIDJKHJQ-GXFFZTMASA-N -1 1 312.416 1.852 20 0 DDADMM C[C@H](O)C[C@@H]1COCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632491855 422388768 /nfs/dbraw/zinc/38/87/68/422388768.db2.gz QXYLYYRHGJSLSV-CMPLNLGQSA-N -1 1 313.781 1.586 20 0 DDADMM C[C@H]1SCC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632504831 422397380 /nfs/dbraw/zinc/39/73/80/422397380.db2.gz NDMFYPCLVHBAHY-PHDIDXHHSA-N -1 1 315.342 1.601 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H](c2ccccn2)C1 ZINC000635960531 422516951 /nfs/dbraw/zinc/51/69/51/422516951.db2.gz SNNWMEGEDSNSII-LBPRGKRZSA-N -1 1 300.366 1.324 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@H]2CCCOC2)c1 ZINC000632589280 422456796 /nfs/dbraw/zinc/45/67/96/422456796.db2.gz JYQFPSPIKHQHPJ-LLVKDONJSA-N -1 1 315.391 1.496 20 0 DDADMM CCC[C@H](NC(=O)c1cnn2c1C[C@H](C)CC2)c1nn[n-]n1 ZINC000577954482 422463508 /nfs/dbraw/zinc/46/35/08/422463508.db2.gz HTIHRDFLLQOPFG-KOLCDFICSA-N -1 1 303.370 1.250 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N=c1nc(C2CCOCC2)[n-]s1 ZINC000629105133 422479461 /nfs/dbraw/zinc/47/94/61/422479461.db2.gz NJFFRNCVHVUJIN-VIFPVBQESA-N -1 1 321.406 1.394 20 0 DDADMM C[C@H](Cn1ccc2ccccc21)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000578021064 422480116 /nfs/dbraw/zinc/48/01/16/422480116.db2.gz BNAHDHYUKVNBME-LLVKDONJSA-N -1 1 310.361 1.596 20 0 DDADMM O=C([O-])[C@@H]1CSCCN(C(=O)c2[nH]nc3ccccc32)C1 ZINC000621605939 422535437 /nfs/dbraw/zinc/53/54/37/422535437.db2.gz MVPHBVWEGMUNEL-VIFPVBQESA-N -1 1 305.359 1.453 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@H]1O)c1ncccc1C(F)(F)F ZINC000632794976 422586168 /nfs/dbraw/zinc/58/61/68/422586168.db2.gz VHQGJQSRBYVSTP-VHSXEESVSA-N -1 1 324.324 1.682 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC2(CC1)C(F)(F)C2(F)F ZINC000636121678 422658482 /nfs/dbraw/zinc/65/84/82/422658482.db2.gz XGRSSTYRQFCIAK-UHFFFAOYSA-N -1 1 321.278 1.415 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1ccccc1NC(C)=O ZINC000629313638 422601722 /nfs/dbraw/zinc/60/17/22/422601722.db2.gz CROCHVWDPXEQKV-UHFFFAOYSA-N -1 1 316.379 1.050 20 0 DDADMM C[C@@H](CS(C)(=O)=O)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629375463 422637968 /nfs/dbraw/zinc/63/79/68/422637968.db2.gz GAPOMWCHBDCJDO-JTQLQIEISA-N -1 1 323.374 1.197 20 0 DDADMM Cc1nn(C)c(C)c1[N-]S(=O)(=O)CCOCC1CCC1 ZINC000634785723 422647651 /nfs/dbraw/zinc/64/76/51/422647651.db2.gz XCGOQRZAXDKKSK-UHFFFAOYSA-N -1 1 301.412 1.595 20 0 DDADMM COc1ncc(C)cc1[N-]S(=O)(=O)CCOCC1CCC1 ZINC000634789499 422649895 /nfs/dbraw/zinc/64/98/95/422649895.db2.gz QWLBVJFUSFMUSK-UHFFFAOYSA-N -1 1 314.407 1.957 20 0 DDADMM C[C@H](CO)C1CCN(C(=O)c2csc(=NC3CC3)[n-]2)CC1 ZINC000652857233 423177630 /nfs/dbraw/zinc/17/76/30/423177630.db2.gz VRMXNTFJCYDFMU-SNVBAGLBSA-N -1 1 309.435 1.620 20 0 DDADMM CSc1cccnc1[N-]C(=O)c1cn(CC2(C)COC2)nn1 ZINC000652878178 423184095 /nfs/dbraw/zinc/18/40/95/423184095.db2.gz VCXDMAAISIMJCG-UHFFFAOYSA-N -1 1 319.390 1.684 20 0 DDADMM COc1cccc(C=CC(=O)Nc2nnn[n-]2)c1OC(F)F ZINC000172526777 263346251 /nfs/dbraw/zinc/34/62/51/263346251.db2.gz QBQIUWNDMKHPKL-WAYWQWQTSA-N -1 1 311.248 1.462 20 0 DDADMM COc1cccc(C=CC(=O)Nc2nn[n-]n2)c1OC(F)F ZINC000172526777 263346255 /nfs/dbraw/zinc/34/62/55/263346255.db2.gz QBQIUWNDMKHPKL-WAYWQWQTSA-N -1 1 311.248 1.462 20 0 DDADMM CC[C@@H](C)N[C@@H](CNC(=O)N=c1ncn(C)[n-]1)c1ccco1 ZINC000653291714 423424107 /nfs/dbraw/zinc/42/41/07/423424107.db2.gz AEBZJFUOCSUFLL-MNOVXSKESA-N -1 1 306.370 1.081 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccccc1OCC(=O)N(C)C ZINC000646410779 423479608 /nfs/dbraw/zinc/47/96/08/423479608.db2.gz XCKQNNTZDFUGJZ-UHFFFAOYSA-N -1 1 318.333 1.143 20 0 DDADMM O=C(C(=O)N1C[C@@H](CO)Cc2ccccc21)c1ccc([O-])cc1 ZINC000646533062 423524814 /nfs/dbraw/zinc/52/48/14/423524814.db2.gz RLWGVNDCWPMKJN-LBPRGKRZSA-N -1 1 311.337 1.773 20 0 DDADMM CN(Cc1nncn1C)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000644203083 423543857 /nfs/dbraw/zinc/54/38/57/423543857.db2.gz XAOLDTYKRLWDHW-UHFFFAOYSA-N -1 1 314.267 1.812 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1C[C@H]1c1ccc(C(F)(F)F)cc1 ZINC000648931424 423549678 /nfs/dbraw/zinc/54/96/78/423549678.db2.gz QKXMGIQRWPBDQO-VHSXEESVSA-N -1 1 311.267 1.638 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)[C@H](C)O1 ZINC000639572288 423583600 /nfs/dbraw/zinc/58/36/00/423583600.db2.gz YBYWGDYBXILGLH-RSLMWUCJSA-N -1 1 301.350 1.219 20 0 DDADMM CC1(C)[C@@H](CS(=O)(=O)[N-]C[C@@H](O)C(F)(F)F)C1(F)F ZINC000641456107 423649606 /nfs/dbraw/zinc/64/96/06/423649606.db2.gz RLORNCZMLWSXJK-PHDIDXHHSA-N -1 1 311.272 1.120 20 0 DDADMM Cc1nc(=NC(=O)[C@H]2CCN(Cc3ccccc3)C2)s[n-]1 ZINC000646875749 423652305 /nfs/dbraw/zinc/65/23/05/423652305.db2.gz QQVPHZHTXGABTE-ZDUSSCGKSA-N -1 1 302.403 1.729 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2CC[C@@H]1O2)c1ncccc1C(F)(F)F ZINC000651829794 423700243 /nfs/dbraw/zinc/70/02/43/423700243.db2.gz NGHLZDKVPKOFMU-QNSHHTMESA-N -1 1 322.308 1.699 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC2(CC2(F)F)CC1 ZINC000651859306 423716274 /nfs/dbraw/zinc/71/62/74/423716274.db2.gz DFXBBUQERILBST-UHFFFAOYSA-N -1 1 307.322 1.205 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)CC[C@@H]1CCc2ccccc21 ZINC000641632822 423778684 /nfs/dbraw/zinc/77/86/84/423778684.db2.gz QJTDTBWEKPUBFC-DZGCQCFKSA-N -1 1 323.414 1.384 20 0 DDADMM C[C@H](CO)[C@H](C)[N-]S(=O)(=O)c1ncccc1Br ZINC000656913546 423865395 /nfs/dbraw/zinc/86/53/95/423865395.db2.gz GRGGLBLSSLHKQP-SFYZADRCSA-N -1 1 323.212 1.139 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)C1CN(C(=O)c2ccccc2)C1)C(F)F ZINC000641702502 423882133 /nfs/dbraw/zinc/88/21/33/423882133.db2.gz RIXVCALLIVGYSW-SECBINFHSA-N -1 1 318.345 1.084 20 0 DDADMM C[C@@H]1CN(C(C)(C)CNc2cncc(C(=O)[O-])n2)C[C@@H](C)O1 ZINC000649384147 423834218 /nfs/dbraw/zinc/83/42/18/423834218.db2.gz NLVARMCDHPQGHI-GHMZBOCLSA-N -1 1 308.382 1.475 20 0 DDADMM COC[C@H](C)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656885190 423836923 /nfs/dbraw/zinc/83/69/23/423836923.db2.gz IRQJLXOWDYSHTP-MRVPVSSYSA-N -1 1 323.212 1.405 20 0 DDADMM CC[C@H]1CN2CCCC[C@@H]2CN1C(=O)c1ccc(C(=O)[O-])cn1 ZINC000649462196 423926452 /nfs/dbraw/zinc/92/64/52/423926452.db2.gz JJOUXWGZYOBQKR-UONOGXRCSA-N -1 1 317.389 1.869 20 0 DDADMM CC1(C(=O)[O-])CCN([C@@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000647373704 424005155 /nfs/dbraw/zinc/00/51/55/424005155.db2.gz MZPNFZOZPKTDMM-CQSZACIVSA-N -1 1 302.374 1.979 20 0 DDADMM Cc1nc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)sc1C ZINC000644884368 424013031 /nfs/dbraw/zinc/01/30/31/424013031.db2.gz QSUQRQMRKOEGPE-VIFPVBQESA-N -1 1 308.363 1.868 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]1O)c1ncccc1Br ZINC000657009605 423986332 /nfs/dbraw/zinc/98/63/32/423986332.db2.gz AVRMCIKJTKXAGZ-RKDXNWHRSA-N -1 1 321.196 1.036 20 0 DDADMM CCO[C@H]1C[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000657106480 424113984 /nfs/dbraw/zinc/11/39/84/424113984.db2.gz MVPXWBARUSUWJY-ZKCHVHJHSA-N -1 1 309.309 1.950 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2ccc(N)cc2F)o1 ZINC000659926769 424376606 /nfs/dbraw/zinc/37/66/06/424376606.db2.gz NPPWIUVKMRQCCD-LURJTMIESA-N -1 1 300.315 1.139 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CC(F)(F)C[C@@H]1CO ZINC000640339693 424358345 /nfs/dbraw/zinc/35/83/45/424358345.db2.gz VOKWIJHZUGVPME-MRVPVSSYSA-N -1 1 313.329 1.952 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CC[C@H](O)C(F)(F)C1 ZINC000640338474 424359674 /nfs/dbraw/zinc/35/96/74/424359674.db2.gz YBRGYJVCGDYYFP-JTQLQIEISA-N -1 1 313.329 1.952 20 0 DDADMM CN(CCN1CCCC1=O)C(=O)N=c1[n-]sc2ccccc21 ZINC000640340873 424365095 /nfs/dbraw/zinc/36/50/95/424365095.db2.gz AYROIAVHZGAPKE-UHFFFAOYSA-N -1 1 318.402 1.804 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@@H](O)[C@H]1CCCO1 ZINC000640343817 424367599 /nfs/dbraw/zinc/36/75/99/424367599.db2.gz SYHYQHNRDZCKPL-GHMZBOCLSA-N -1 1 307.375 1.380 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC[C@H](Nc3ccccc3)C2)C1=O ZINC000662212138 424480199 /nfs/dbraw/zinc/48/01/99/424480199.db2.gz VEGYLEZXBMARCZ-LSDHHAIUSA-N -1 1 317.389 1.248 20 0 DDADMM CC(C)N(C)C(=O)[C@H](C)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000662223499 424487660 /nfs/dbraw/zinc/48/76/60/424487660.db2.gz OLSJDBHTWJOVCX-SWLSCSKDSA-N -1 1 304.390 1.926 20 0 DDADMM CCOc1ccc(N2CCN(CCCCC(=O)[O-])CC2)nn1 ZINC000662231673 424494550 /nfs/dbraw/zinc/49/45/50/424494550.db2.gz QXJGHQPEZNRRRX-UHFFFAOYSA-N -1 1 308.382 1.252 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)NC[C@@H](C)C(=O)[O-])c1ccco1 ZINC000655866546 424686400 /nfs/dbraw/zinc/68/64/00/424686400.db2.gz YWXMPWSLJBRFSN-NEPJUHHUSA-N -1 1 311.382 1.682 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)NC[C@H](C)C(=O)[O-])N2CCCC2)o1 ZINC000655867808 424686648 /nfs/dbraw/zinc/68/66/48/424686648.db2.gz ZYCHBTNGLYMAIE-WCQYABFASA-N -1 1 323.393 1.745 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)NC[C@@H](C(=O)[O-])C1CCC1 ZINC000665317745 424781905 /nfs/dbraw/zinc/78/19/05/424781905.db2.gz GSEJEFJXFSWSNG-UONOGXRCSA-N -1 1 311.426 1.613 20 0 DDADMM O=C(NC[C@H](CO)Cc1cccnc1)c1ccc([O-])c(F)c1 ZINC000665456051 424805878 /nfs/dbraw/zinc/80/58/78/424805878.db2.gz KAPSACUAEQOJPG-GFCCVEGCSA-N -1 1 304.321 1.507 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H]1CCSC1 ZINC000344639000 272076434 /nfs/dbraw/zinc/07/64/34/272076434.db2.gz GUIMPVODOXHXCE-LLVKDONJSA-N -1 1 320.418 1.464 20 0 DDADMM CSc1n[nH]c(NC(=O)[C@H]2CN(c3ccccn3)C[C@H]2C)n1 ZINC000344641417 272077535 /nfs/dbraw/zinc/07/75/35/272077535.db2.gz SAXYEJGEMKFAKO-ZJUUUORDSA-N -1 1 318.406 1.633 20 0 DDADMM CC(C)[C@H](CO)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000344827725 272126073 /nfs/dbraw/zinc/12/60/73/272126073.db2.gz AIAMAIUPTIANER-QMMMGPOBSA-N -1 1 311.325 1.647 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CC3CCC2CC3)c1 ZINC000344965903 272146851 /nfs/dbraw/zinc/14/68/51/272146851.db2.gz HHPAFUOVQPLYSU-CBINBANVSA-N -1 1 324.402 1.804 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1C[C@@H]1C(F)F ZINC000345807639 272339577 /nfs/dbraw/zinc/33/95/77/272339577.db2.gz NUUDGBDWXQWJKO-QWRGUYRKSA-N -1 1 324.331 1.754 20 0 DDADMM NS(=O)(=O)c1ccc(-c2nc3ccccc3c(=O)[n-]2)nc1 ZINC000487504147 279663416 /nfs/dbraw/zinc/66/34/16/279663416.db2.gz RVKHCCRMLQFFAC-UHFFFAOYSA-N -1 1 302.315 1.045 20 0 DDADMM C[C@@H](CN1CCOCC1)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084936689 281014185 /nfs/dbraw/zinc/01/41/85/281014185.db2.gz IAERPHGAVFUUHI-LBPRGKRZSA-N -1 1 324.402 1.432 20 0 DDADMM CN1C[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)CCC1=O ZINC000084938512 281014641 /nfs/dbraw/zinc/01/46/41/281014641.db2.gz YMGXYXKQIWIISM-NSHDSACASA-N -1 1 308.359 1.332 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1COc2ccc(F)cc2C1)c1nn[n-]n1 ZINC000121855582 281145830 /nfs/dbraw/zinc/14/58/30/281145830.db2.gz FETZICXATMVSNC-ZYHUDNBSSA-N -1 1 319.340 1.548 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@@H]1O)c1nc2ccccc2s1 ZINC000573086857 304576717 /nfs/dbraw/zinc/57/67/17/304576717.db2.gz SCCSIQNDOOKOKP-ONGXEEELSA-N -1 1 312.416 1.878 20 0 DDADMM COc1cc(NC(=O)N[C@@H]2C[C@H]2C)ccc1[N-]S(C)(=O)=O ZINC000355007021 298598105 /nfs/dbraw/zinc/59/81/05/298598105.db2.gz PRDUOPYIQDDRQF-LDYMZIIASA-N -1 1 313.379 1.597 20 0 DDADMM C[C@H]1CC[C@@H]([N-]S(=O)(=O)c2cn(C)nc2C(F)(F)F)C1 ZINC000338966411 300042442 /nfs/dbraw/zinc/04/24/42/300042442.db2.gz VOYMVDNJAHOABG-JGVFFNPUSA-N -1 1 311.329 1.906 20 0 DDADMM COc1ccc(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1F ZINC000362781788 300139836 /nfs/dbraw/zinc/13/98/36/300139836.db2.gz POOWJWRRHBRKAA-NSHDSACASA-N -1 1 319.340 1.296 20 0 DDADMM CC[C@@H](CC1CCCC1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363407858 300263301 /nfs/dbraw/zinc/26/33/01/300263301.db2.gz HGGIBMNQTKWHLY-STQMWFEESA-N -1 1 307.398 1.706 20 0 DDADMM O=C([C@@H]1CC[C@@H]2CCCC[C@H]2C1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363443838 300268450 /nfs/dbraw/zinc/26/84/50/300268450.db2.gz ZGGVHXBJIVTXAW-FQUUOJAGSA-N -1 1 319.409 1.706 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1cccc(F)c1F)C(=O)OC ZINC000574986040 304703015 /nfs/dbraw/zinc/70/30/15/304703015.db2.gz QBGNFHODBJKAAE-SNVBAGLBSA-N -1 1 307.318 1.336 20 0 DDADMM CN1CCC[C@@]2(CCN(C(=O)c3ccc([O-])cc3F)C2)C1=O ZINC000369883714 301234497 /nfs/dbraw/zinc/23/44/97/301234497.db2.gz LQNLJKGSFJDFCS-INIZCTEOSA-N -1 1 306.337 1.616 20 0 DDADMM O=C(c1ncccc1[O-])N1CCNC(=O)[C@H]1c1cccs1 ZINC000369963083 301247700 /nfs/dbraw/zinc/24/77/00/301247700.db2.gz BWOKTFSMJNCKRS-GFCCVEGCSA-N -1 1 303.343 1.162 20 0 DDADMM O=C(CN1CCC2(CCOC2=O)CC1)[N-]OCc1ccccc1 ZINC000376506869 302086783 /nfs/dbraw/zinc/08/67/83/302086783.db2.gz SIIAGCKPHPVFDA-UHFFFAOYSA-N -1 1 318.373 1.264 20 0 DDADMM Cc1cnc(SCCNC(=O)OCC(F)(F)F)[n-]c1=O ZINC000496181109 302533196 /nfs/dbraw/zinc/53/31/96/302533196.db2.gz LDQXOVDLKOSLJE-UHFFFAOYSA-N -1 1 311.285 1.871 20 0 DDADMM COCCO[N-]C(=O)[C@@H](C(C)C)[C@H](C)NC(=O)OC(C)(C)C ZINC000497213789 302691656 /nfs/dbraw/zinc/69/16/56/302691656.db2.gz JQXFYIXIKUJVQK-RYUDHWBXSA-N -1 1 318.414 1.866 20 0 DDADMM COC(=O)c1scc(C)c1S(=O)(=O)[N-]CC(C)(F)F ZINC000516587704 302793289 /nfs/dbraw/zinc/79/32/89/302793289.db2.gz XLLZYGQIAPQWDM-UHFFFAOYSA-N -1 1 313.347 1.777 20 0 DDADMM CCN(CC)S(=O)(=O)[N-]c1ccn(Cc2ccccc2)n1 ZINC000522129079 302884447 /nfs/dbraw/zinc/88/44/47/302884447.db2.gz DGNVIFBUDJSNCV-UHFFFAOYSA-N -1 1 308.407 1.930 20 0 DDADMM CCC[C@H](NC(=O)c1ncc2c(F)cccn21)c1nn[n-]n1 ZINC000528798346 303065090 /nfs/dbraw/zinc/06/50/90/303065090.db2.gz LFYSBYMBZNJDNV-VIFPVBQESA-N -1 1 303.301 1.258 20 0 DDADMM CO[C@]1(C(=O)[O-])CC[N@H+]([C@H](C)c2nc(-c3ccccc3)no2)C1 ZINC000530168546 303178792 /nfs/dbraw/zinc/17/87/92/303178792.db2.gz DJSISSZECMWFAO-BDJLRTHQSA-N -1 1 317.345 1.973 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN([C@H](C)c2nc(-c3ccccc3)no2)C1 ZINC000530168546 303178793 /nfs/dbraw/zinc/17/87/93/303178793.db2.gz DJSISSZECMWFAO-BDJLRTHQSA-N -1 1 317.345 1.973 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(Cc2cc3c(c(Cl)c2)OCO3)C1 ZINC000530171258 303179337 /nfs/dbraw/zinc/17/93/37/303179337.db2.gz QEHQBSJTXHLHMM-AWEZNQCLSA-N -1 1 313.737 1.744 20 0 DDADMM Cc1nc(CC2CC2)oc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000530510976 303200652 /nfs/dbraw/zinc/20/06/52/303200652.db2.gz COBFTZFZRYYXTH-QMMMGPOBSA-N -1 1 304.354 1.324 20 0 DDADMM O=C(Nc1ccncc1[O-])c1cn(-c2ccc(Cl)cc2)nn1 ZINC000530883267 303218374 /nfs/dbraw/zinc/21/83/74/303218374.db2.gz FMMSKVFPBJMLHS-UHFFFAOYSA-N -1 1 315.720 1.696 20 0 DDADMM CN1CCC[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1=O ZINC000531009796 303225093 /nfs/dbraw/zinc/22/50/93/303225093.db2.gz LFNLTVCLWLALIJ-NSHDSACASA-N -1 1 308.359 1.332 20 0 DDADMM COC(C)(C)CN(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000531578878 303250476 /nfs/dbraw/zinc/25/04/76/303250476.db2.gz RLZXNEIYZHTNGE-UHFFFAOYSA-N -1 1 320.393 1.478 20 0 DDADMM O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)c1ccc2[nH]nnc2c1 ZINC000531746809 303254290 /nfs/dbraw/zinc/25/42/90/303254290.db2.gz AVMQRVKRWFXQKP-NSHDSACASA-N -1 1 318.333 1.054 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1C ZINC000533113631 303309786 /nfs/dbraw/zinc/30/97/86/303309786.db2.gz PYQUGZJYHDOOIX-NSHDSACASA-N -1 1 316.365 1.367 20 0 DDADMM Cc1ccc(F)c(S(=O)(=O)Nc2cnn(C)c2C(=O)[O-])c1 ZINC000537639508 303377445 /nfs/dbraw/zinc/37/74/45/303377445.db2.gz QMQXCDKTEKSJJT-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM CCn1nc(C(C)C)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000539325204 303394939 /nfs/dbraw/zinc/39/49/39/303394939.db2.gz TWHUVAXUANABFJ-LLVKDONJSA-N -1 1 317.397 1.559 20 0 DDADMM CC(C)(C)[C@H]1CCCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000543701299 303461696 /nfs/dbraw/zinc/46/16/96/303461696.db2.gz KFIYSJVEIGKMRM-QWRGUYRKSA-N -1 1 317.393 1.752 20 0 DDADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CCC(C)(C)CC1 ZINC000546853811 303521262 /nfs/dbraw/zinc/52/12/62/303521262.db2.gz XTISYOYIFAZKAK-UHFFFAOYSA-N -1 1 303.366 1.458 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1N1CCOCC1)c1c([O-])cccc1F ZINC000547481876 303535094 /nfs/dbraw/zinc/53/50/94/303535094.db2.gz MOIYYGPMQKWHAC-ZIAGYGMSSA-N -1 1 322.380 1.905 20 0 DDADMM CC[C@H](NC(=O)c1ccc(Br)c([O-])c1)C(N)=O ZINC000357849711 306920613 /nfs/dbraw/zinc/92/06/13/306920613.db2.gz PMPPERCRTABBHS-QMMMGPOBSA-N -1 1 301.140 1.148 20 0 DDADMM O=C(Nc1ccn(-c2ccncc2)n1)c1cc(F)c([O-])c(F)c1 ZINC000436523709 303709244 /nfs/dbraw/zinc/70/92/44/303709244.db2.gz CMZRGKAXEGZMEQ-UHFFFAOYSA-N -1 1 316.267 1.925 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCOCC2CCC2)sc1C ZINC000555238696 303713984 /nfs/dbraw/zinc/71/39/84/303713984.db2.gz IVQCSWKTDUEAKP-UHFFFAOYSA-N -1 1 304.437 1.855 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCO[C@@H](c3ccnn3C)C2)c([O-])c1 ZINC000556678507 303747656 /nfs/dbraw/zinc/74/76/56/303747656.db2.gz NYOYPMYGYZKWMP-BXUZGUMPSA-N -1 1 316.361 1.479 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2cnn(C)c2)c(-c2nnc[nH]2)c1 ZINC000362667504 307024301 /nfs/dbraw/zinc/02/43/01/307024301.db2.gz POKINECZYQARKW-UHFFFAOYSA-N -1 1 318.362 1.314 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1OCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000373316257 307184450 /nfs/dbraw/zinc/18/44/50/307184450.db2.gz DQDLZTMFUIHKMG-MCIONIFRSA-N -1 1 321.425 1.891 20 0 DDADMM Cn1[n-]cc2/c(=N/C(=O)N3CCCC34CCOCC4)ccnc1-2 ZINC000376671835 307252850 /nfs/dbraw/zinc/25/28/50/307252850.db2.gz JXUKZJDKKWIGNA-CPNJWEJPSA-N -1 1 315.377 1.519 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000378505574 307290443 /nfs/dbraw/zinc/29/04/43/307290443.db2.gz DDUHVDIPURQJLW-TZIYXEQSSA-N -1 1 313.361 1.512 20 0 DDADMM O=c1ccccn1CC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000526961973 307496037 /nfs/dbraw/zinc/49/60/37/307496037.db2.gz FLEOUMCRGGGXGB-UHFFFAOYSA-N -1 1 318.354 1.573 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)CF)c(F)c1 ZINC000530539009 307600000 /nfs/dbraw/zinc/60/00/00/307600000.db2.gz OIQNDWPDYLWKGB-UHFFFAOYSA-N -1 1 307.318 1.639 20 0 DDADMM C[C@H](O)[C@H]1CCN(c2ccc(=NCc3ccccc3F)[n-]n2)C1 ZINC000563612222 307978140 /nfs/dbraw/zinc/97/81/40/307978140.db2.gz JCYJXYFBRGVXPY-JSGCOSHPSA-N -1 1 316.380 1.857 20 0 DDADMM CCN(CCCS(=O)(=O)c1ccc(C)cc1)CCC(=O)[O-] ZINC000565106095 308020675 /nfs/dbraw/zinc/02/06/75/308020675.db2.gz IYCQTJRANHTHNC-UHFFFAOYSA-N -1 1 313.419 1.955 20 0 DDADMM CNC(=O)CCCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000570216385 308162116 /nfs/dbraw/zinc/16/21/16/308162116.db2.gz OIDNKUBVVRHQCZ-UHFFFAOYSA-N -1 1 302.334 1.637 20 0 DDADMM CO[C@@H]1CCCN([C@@H](C)C(=O)NCc2ccc(C(=O)[O-])cc2)C1 ZINC000571337330 308195030 /nfs/dbraw/zinc/19/50/30/308195030.db2.gz PJIPEMHBMIYIIF-SWLSCSKDSA-N -1 1 320.389 1.500 20 0 DDADMM N=c1nc(N2CCN([C@H]3C[C@H]3c3cccc(F)c3)CC2)s[n-]1 ZINC000572098480 308210561 /nfs/dbraw/zinc/21/05/61/308210561.db2.gz BLORVWQPOKSIBU-STQMWFEESA-N -1 1 319.409 1.768 20 0 DDADMM CN(C)C(=O)CCCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000577222973 308372342 /nfs/dbraw/zinc/37/23/42/308372342.db2.gz UTHHWRAVAFPCAN-UHFFFAOYSA-N -1 1 316.361 1.979 20 0 DDADMM COc1ncc(CN2CCc3ccccc3[C@H]2C(=O)[O-])c(C)n1 ZINC000577380578 308383819 /nfs/dbraw/zinc/38/38/19/308383819.db2.gz DLTDSZALQKKVAJ-HNNXBMFYSA-N -1 1 313.357 1.978 20 0 DDADMM C[C@H](c1nnnn1-c1ccccc1)N1C[C@@H](C)[C@@](C)(C(=O)[O-])C1 ZINC000577500107 308393148 /nfs/dbraw/zinc/39/31/48/308393148.db2.gz PGDKYKHYILCYSJ-HSMVNMDESA-N -1 1 315.377 1.766 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(S(N)(=O)=O)cc2)c([O-])c1 ZINC000386884364 325762785 /nfs/dbraw/zinc/76/27/85/325762785.db2.gz DFQVRJGJUOAPOI-UHFFFAOYSA-N -1 1 322.342 1.301 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])[C@H](C)NS(=O)(=O)c1c(F)cccc1F ZINC000399449681 337312563 /nfs/dbraw/zinc/31/25/63/337312563.db2.gz MTEXTQFQPMNZEX-GZMMTYOYSA-N -1 1 321.345 1.988 20 0 DDADMM Cc1ccc(C)n1[C@@H](C)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000372372340 483934108 /nfs/dbraw/zinc/93/41/08/483934108.db2.gz FJKUMAHXNDEYHA-QWHCGFSZSA-N -1 1 302.382 1.585 20 0 DDADMM Cc1nc([C@@H](C)NC(=O)NC[C@H](CC(=O)[O-])CC(C)C)n[nH]1 ZINC000392428171 483985644 /nfs/dbraw/zinc/98/56/44/483985644.db2.gz MGKFXDTUIUSQBW-KOLCDFICSA-N -1 1 311.386 1.610 20 0 DDADMM O=C(c1c[nH]nc1C1CC1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425301969 484115198 /nfs/dbraw/zinc/11/51/98/484115198.db2.gz MUJQIOXTCQJFKD-SECBINFHSA-N -1 1 303.322 1.396 20 0 DDADMM COc1cccc([C@@H](CNC(=O)C(C)(C)C(=O)[O-])N(C)C)c1 ZINC000317693561 484391226 /nfs/dbraw/zinc/39/12/26/484391226.db2.gz ITHYDNBMJJZAGG-CYBMUJFWSA-N -1 1 308.378 1.525 20 0 DDADMM O=C([O-])[C@H](C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1)C1CC1 ZINC000663042178 484620327 /nfs/dbraw/zinc/62/03/27/484620327.db2.gz ULPZUYCWEFBJDH-RYUDHWBXSA-N -1 1 318.377 1.499 20 0 DDADMM O=C(CSc1ccncc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405420 484808712 /nfs/dbraw/zinc/80/87/12/484808712.db2.gz XGTPBGKCBFDOSY-UHFFFAOYSA-N -1 1 320.374 1.669 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@]1(C)c1ccccc1 ZINC000667959943 484874618 /nfs/dbraw/zinc/87/46/18/484874618.db2.gz RSZKDCPTAPQBAC-QFYYESIMSA-N -1 1 315.329 1.356 20 0 DDADMM O=C(NC[C@H]1CCS(=O)(=O)C1)c1ncc2ccccc2c1[O-] ZINC000666007915 485043970 /nfs/dbraw/zinc/04/39/70/485043970.db2.gz CCIVOLOCMKCHSQ-SNVBAGLBSA-N -1 1 320.370 1.105 20 0 DDADMM CC(C)NC(=O)CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000666041320 485058874 /nfs/dbraw/zinc/05/88/74/485058874.db2.gz YTZXFIBWYMSDLH-UHFFFAOYSA-N -1 1 301.346 1.585 20 0 DDADMM CCN(CC(=O)N(C)C)C(=O)c1c[nH]c2ccc(C)cc2c1=O ZINC000672163986 485245612 /nfs/dbraw/zinc/24/56/12/485245612.db2.gz BLPILFZNYJQRPT-UHFFFAOYSA-N -1 1 315.373 1.387 20 0 DDADMM COCCN1CCN(C(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000668669173 485270415 /nfs/dbraw/zinc/27/04/15/485270415.db2.gz NIXPZLMQRQXNDC-UHFFFAOYSA-N -1 1 315.373 1.345 20 0 DDADMM CCO[C@H]1C[C@](CO)(NC(=O)c2ccc([O-])c(F)c2)C1(C)C ZINC000682027342 485465527 /nfs/dbraw/zinc/46/55/27/485465527.db2.gz LCOKORLNDQWLCK-XJKSGUPXSA-N -1 1 311.353 1.827 20 0 DDADMM O=C(NCCC1CS(=O)(=O)C1)c1ccc(Cl)cc1[O-] ZINC000682560873 485677506 /nfs/dbraw/zinc/67/75/06/485677506.db2.gz JDZFYSSGRMFZCB-UHFFFAOYSA-N -1 1 303.767 1.210 20 0 DDADMM CC(C)(C)c1ccc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)cc1 ZINC000679202212 485806653 /nfs/dbraw/zinc/80/66/53/485806653.db2.gz AYVYGPBEXILWPV-UHFFFAOYSA-N -1 1 322.390 1.607 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc([O-])c(F)c2)CCS1(=O)=O ZINC000683261508 485924953 /nfs/dbraw/zinc/92/49/53/485924953.db2.gz WVWWDPDLROIKGB-SECBINFHSA-N -1 1 301.339 1.181 20 0 DDADMM COCCN(CC(=O)[O-])C(=O)c1cn[nH]c1-c1ccccc1F ZINC000676209371 486144831 /nfs/dbraw/zinc/14/48/31/486144831.db2.gz MUGFOUCSMFYUON-UHFFFAOYSA-N -1 1 321.308 1.389 20 0 DDADMM CC1(C)CC[C@@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000683893143 486149025 /nfs/dbraw/zinc/14/90/25/486149025.db2.gz WVJPBDNTOMHUCK-RYUDHWBXSA-N -1 1 301.350 1.363 20 0 DDADMM CCC[C@H](NC(=O)C(=O)c1ccccc1OC)c1nn[n-]n1 ZINC000680637387 486250612 /nfs/dbraw/zinc/25/06/12/486250612.db2.gz HEAKWGWEIWMRMB-JTQLQIEISA-N -1 1 303.322 1.049 20 0 DDADMM CS(=O)(=O)CC1(CNC(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681052453 486332850 /nfs/dbraw/zinc/33/28/50/486332850.db2.gz YIOSDGRRNVXYHQ-UHFFFAOYSA-N -1 1 301.339 1.086 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@](C)(O)C(C)C)c(F)c1 ZINC000676859931 486348128 /nfs/dbraw/zinc/34/81/28/486348128.db2.gz YFFROKOUVBZPMH-ZDUSSCGKSA-N -1 1 323.361 1.659 20 0 DDADMM O=C(NC[C@@H]1CCCCS1(=O)=O)c1ccc([O-])c(F)c1 ZINC000681070503 486337448 /nfs/dbraw/zinc/33/74/48/486337448.db2.gz UCRSDEBKRKRILA-JTQLQIEISA-N -1 1 301.339 1.228 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H](O)C(C)C)c(F)c1 ZINC000676858840 486348343 /nfs/dbraw/zinc/34/83/43/486348343.db2.gz HDPNLTUKAYFSCU-LLVKDONJSA-N -1 1 309.334 1.269 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1Cc2ccc(F)cc21 ZINC000684444966 486356135 /nfs/dbraw/zinc/35/61/35/486356135.db2.gz JPBKVKYRDBADGW-LBPRGKRZSA-N -1 1 301.325 1.564 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Cl)cc1C(F)(F)F ZINC000681189993 486367636 /nfs/dbraw/zinc/36/76/36/486367636.db2.gz PWSRENSAAWBTHD-UHFFFAOYSA-N -1 1 305.647 1.802 20 0 DDADMM O=C(CCCc1ccccn1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677049443 486386990 /nfs/dbraw/zinc/38/69/90/486386990.db2.gz PVQMKQJSHFHHSZ-GFCCVEGCSA-N -1 1 300.366 1.324 20 0 DDADMM C[C@H](CNC(=O)c1cc2ccccc2cc1[O-])S(C)(=O)=O ZINC000677212151 486418790 /nfs/dbraw/zinc/41/87/90/486418790.db2.gz JFDNGLSXAARQBC-SNVBAGLBSA-N -1 1 307.371 1.708 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2cnn(-c3ccncc3)c2)s1 ZINC000677233246 486421381 /nfs/dbraw/zinc/42/13/81/486421381.db2.gz FYWZUBWPXBUGSY-UHFFFAOYSA-N -1 1 321.387 1.833 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2C[C@@H](O)C2(C)C)c(F)c1 ZINC000425178993 533819220 /nfs/dbraw/zinc/81/92/20/533819220.db2.gz STQCNEGGQRDARA-WDEREUQCSA-N -1 1 305.346 1.711 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)CCOCC2CC2)cc1 ZINC000424060931 534227875 /nfs/dbraw/zinc/22/78/75/534227875.db2.gz DNYMEBCQSVNPCH-UHFFFAOYSA-N -1 1 313.375 1.642 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C2(C(=O)C3CC3)CC2)c1 ZINC000451455906 534230626 /nfs/dbraw/zinc/23/06/26/534230626.db2.gz KKMPNYGJMLSDBH-UHFFFAOYSA-N -1 1 303.314 1.877 20 0 DDADMM COc1ccccc1[C@@H]1CN(C(=O)c2ncccc2[O-])CCN1 ZINC000374347181 518170645 /nfs/dbraw/zinc/17/06/45/518170645.db2.gz UOHPDVBLUZFYGJ-ZDUSSCGKSA-N -1 1 313.357 1.583 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCCN(c3ncccn3)C2)c([O-])c1 ZINC000331897191 534531152 /nfs/dbraw/zinc/53/11/52/534531152.db2.gz RUSDQWSRUCRPDO-GFCCVEGCSA-N -1 1 313.361 1.284 20 0 DDADMM CC(C)(C)OC(=O)[C@@]1(C)CCN(C(=O)c2cncc([O-])c2)C1 ZINC000331316517 526515067 /nfs/dbraw/zinc/51/50/67/526515067.db2.gz DBSCBDQGGKACMA-INIZCTEOSA-N -1 1 306.362 1.981 20 0 DDADMM CCNC(=O)[C@@H](C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425146560 528195321 /nfs/dbraw/zinc/19/53/21/528195321.db2.gz XEXWOWLDOJXSEK-MRVPVSSYSA-N -1 1 306.334 1.076 20 0 DDADMM CC(C)c1nc(CN2CCN(c3nc(=N)[n-]s3)CC2)cs1 ZINC000331909506 528280423 /nfs/dbraw/zinc/28/04/23/528280423.db2.gz KDMHNWUWOMXUQS-UHFFFAOYSA-N -1 1 324.479 1.853 20 0 DDADMM CCCn1ncc([N-]S(=O)(=O)CCC(=O)OC)c1C1CC1 ZINC000451092145 528885015 /nfs/dbraw/zinc/88/50/15/528885015.db2.gz LTTBVMPBQPGRBQ-UHFFFAOYSA-N -1 1 315.395 1.475 20 0 DDADMM CC[C@H]1CCC[C@@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331982108 529224086 /nfs/dbraw/zinc/22/40/86/529224086.db2.gz WOFNNUPNJDTCIQ-QWRGUYRKSA-N -1 1 309.439 1.426 20 0 DDADMM CC[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CCO1 ZINC000420717880 529329095 /nfs/dbraw/zinc/32/90/95/529329095.db2.gz DPKRAOFHUROSCJ-ZJUUUORDSA-N -1 1 317.363 1.302 20 0 DDADMM CC1(C)CN(c2c3ccccc3nnc2-c2nnn[n-]2)CCO1 ZINC000736213548 598957510 /nfs/dbraw/zinc/95/75/10/598957510.db2.gz KBGFVNCMUKHXNX-UHFFFAOYSA-N -1 1 311.349 1.425 20 0 DDADMM CC1(C)CN(c2c3ccccc3nnc2-c2nn[n-]n2)CCO1 ZINC000736213548 598957512 /nfs/dbraw/zinc/95/75/12/598957512.db2.gz KBGFVNCMUKHXNX-UHFFFAOYSA-N -1 1 311.349 1.425 20 0 DDADMM CC[C@H](C)[C@@H](O)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736331898 598969605 /nfs/dbraw/zinc/96/96/05/598969605.db2.gz QWEPYIGMQCTUCM-CABZTGNLSA-N -1 1 313.365 1.629 20 0 DDADMM CC[C@H](C)[C@@H](O)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736331898 598969606 /nfs/dbraw/zinc/96/96/06/598969606.db2.gz QWEPYIGMQCTUCM-CABZTGNLSA-N -1 1 313.365 1.629 20 0 DDADMM CCOc1ccccc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736655646 598980514 /nfs/dbraw/zinc/98/05/14/598980514.db2.gz WDVROSOAXVXQDT-UHFFFAOYSA-N -1 1 310.317 1.913 20 0 DDADMM CCOc1ccccc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736655646 598980516 /nfs/dbraw/zinc/98/05/16/598980516.db2.gz WDVROSOAXVXQDT-UHFFFAOYSA-N -1 1 310.317 1.913 20 0 DDADMM CN(Cc1cccc(F)c1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736828972 598981997 /nfs/dbraw/zinc/98/19/97/598981997.db2.gz TYGHBUWRJYYYIA-UHFFFAOYSA-N -1 1 312.308 1.673 20 0 DDADMM CN(Cc1cccc(F)c1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736828972 598981999 /nfs/dbraw/zinc/98/19/99/598981999.db2.gz TYGHBUWRJYYYIA-UHFFFAOYSA-N -1 1 312.308 1.673 20 0 DDADMM O=C(Oc1c(F)cccc1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738251373 598984411 /nfs/dbraw/zinc/98/44/11/598984411.db2.gz NBVJJBRIUUURPV-UHFFFAOYSA-N -1 1 303.228 1.759 20 0 DDADMM O=C(Oc1c(F)cccc1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738251373 598984412 /nfs/dbraw/zinc/98/44/12/598984412.db2.gz NBVJJBRIUUURPV-UHFFFAOYSA-N -1 1 303.228 1.759 20 0 DDADMM CCC1CCC(NC(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736397038 598987716 /nfs/dbraw/zinc/98/77/16/598987716.db2.gz QPWHLSOMFKLOTJ-UHFFFAOYSA-N -1 1 300.366 1.960 20 0 DDADMM CCC1CCC(NC(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736397038 598987718 /nfs/dbraw/zinc/98/77/18/598987718.db2.gz QPWHLSOMFKLOTJ-UHFFFAOYSA-N -1 1 300.366 1.960 20 0 DDADMM Cc1ccc(CN(C)C(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000737339779 599001805 /nfs/dbraw/zinc/00/18/05/599001805.db2.gz BDAKYFZRFIFECP-UHFFFAOYSA-N -1 1 314.374 1.904 20 0 DDADMM Cc1ccc(CN(C)C(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000737339779 599001807 /nfs/dbraw/zinc/00/18/07/599001807.db2.gz BDAKYFZRFIFECP-UHFFFAOYSA-N -1 1 314.374 1.904 20 0 DDADMM Cn1cccc1[C@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737611107 599019983 /nfs/dbraw/zinc/01/99/83/599019983.db2.gz DCYQEUDZDVPCFB-CQSZACIVSA-N -1 1 323.360 1.578 20 0 DDADMM Cn1cccc1[C@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737611107 599019986 /nfs/dbraw/zinc/01/99/86/599019986.db2.gz DCYQEUDZDVPCFB-CQSZACIVSA-N -1 1 323.360 1.578 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC[C@@H]1c1cccnc1 ZINC000738258200 599020144 /nfs/dbraw/zinc/02/01/44/599020144.db2.gz HTZKDTFMQZKAON-CQSZACIVSA-N -1 1 321.344 1.634 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@@H]1c1cccnc1 ZINC000738258200 599020145 /nfs/dbraw/zinc/02/01/45/599020145.db2.gz HTZKDTFMQZKAON-CQSZACIVSA-N -1 1 321.344 1.634 20 0 DDADMM O=c1c(-c2nn[n-]n2)cn(CC2CCOCC2)c2ccccc12 ZINC000738372540 599247256 /nfs/dbraw/zinc/24/72/56/599247256.db2.gz RVZUSERGHILQGD-UHFFFAOYSA-N -1 1 311.345 1.608 20 0 DDADMM CN(CC(=O)NCC(=O)[O-])C[C@@H]1CCCO[C@H]1c1ccccc1 ZINC000820562912 597773083 /nfs/dbraw/zinc/77/30/83/597773083.db2.gz ZAHHNKLCJNGVCD-YOEHRIQHSA-N -1 1 320.389 1.287 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2ccccc2CC(=O)[O-])c1 ZINC000821140832 598164707 /nfs/dbraw/zinc/16/47/07/598164707.db2.gz GLSDCYDHMCHIAV-UHFFFAOYSA-N -1 1 321.358 1.400 20 0 DDADMM C[C@@H](CC(=O)[O-])Nc1cccc(CN2CCC[C@@H]2C(N)=O)c1 ZINC000820088737 598217775 /nfs/dbraw/zinc/21/77/75/598217775.db2.gz DHWIUEDWCVWWKI-SMDDNHRTSA-N -1 1 305.378 1.411 20 0 DDADMM CCc1nc(CN(C)c2nccnc2-c2nnn[n-]2)cs1 ZINC000736704464 598348595 /nfs/dbraw/zinc/34/85/95/598348595.db2.gz OFZOSRWPGGZDQE-UHFFFAOYSA-N -1 1 302.367 1.312 20 0 DDADMM CCc1nc(CN(C)c2nccnc2-c2nn[n-]n2)cs1 ZINC000736704464 598348596 /nfs/dbraw/zinc/34/85/96/598348596.db2.gz OFZOSRWPGGZDQE-UHFFFAOYSA-N -1 1 302.367 1.312 20 0 DDADMM c1cnn(-c2ccccc2CNc2nccnc2-c2nnn[n-]2)c1 ZINC000738424675 598351350 /nfs/dbraw/zinc/35/13/50/598351350.db2.gz IGJOZSJXFNKCHS-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1cnn(-c2ccccc2CNc2nccnc2-c2nn[n-]n2)c1 ZINC000738424675 598351351 /nfs/dbraw/zinc/35/13/51/598351351.db2.gz IGJOZSJXFNKCHS-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM CC(C)[C@@](C)(O)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000820016474 599684939 /nfs/dbraw/zinc/68/49/39/599684939.db2.gz MNQACWVBYJBQGY-JTQLQIEISA-N -1 1 302.791 1.796 20 0 DDADMM CC(C)[C@@](C)(O)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000820016474 599684940 /nfs/dbraw/zinc/68/49/40/599684940.db2.gz MNQACWVBYJBQGY-JTQLQIEISA-N -1 1 302.791 1.796 20 0 DDADMM Clc1nsc(N2CC(n3cccn3)C2)c1-c1nnn[n-]1 ZINC000737582823 598545587 /nfs/dbraw/zinc/54/55/87/598545587.db2.gz FZHZBYQUCHARNT-UHFFFAOYSA-N -1 1 308.758 1.234 20 0 DDADMM Clc1nsc(N2CC(n3cccn3)C2)c1-c1nn[n-]n1 ZINC000737582823 598545589 /nfs/dbraw/zinc/54/55/89/598545589.db2.gz FZHZBYQUCHARNT-UHFFFAOYSA-N -1 1 308.758 1.234 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1cc(-c2ccccc2)no1 ZINC000738370520 598677763 /nfs/dbraw/zinc/67/77/63/598677763.db2.gz FEVRHJQOWHSAPX-UHFFFAOYSA-N -1 1 320.312 1.732 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)c2ccc(F)c(-c3nn[n-]n3)c2)C1 ZINC000736277445 598692962 /nfs/dbraw/zinc/69/29/62/598692962.db2.gz WGKAFRKVYMTEJF-QMMMGPOBSA-N -1 1 311.342 1.036 20 0 DDADMM Cc1cnc(Cn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)cn1 ZINC000737445495 598719173 /nfs/dbraw/zinc/71/91/73/598719173.db2.gz ISMOMDWEJXPLOR-UHFFFAOYSA-N -1 1 319.328 1.328 20 0 DDADMM CC1(C)CCCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736211145 598796548 /nfs/dbraw/zinc/79/65/48/598796548.db2.gz LNIHJUCMYOTGAA-UHFFFAOYSA-N -1 1 322.394 1.072 20 0 DDADMM CC1(C)CCCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736211145 598796549 /nfs/dbraw/zinc/79/65/49/598796549.db2.gz LNIHJUCMYOTGAA-UHFFFAOYSA-N -1 1 322.394 1.072 20 0 DDADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)c1ccc(F)c(Cl)c1 ZINC000737988990 599369203 /nfs/dbraw/zinc/36/92/03/599369203.db2.gz RQUAEDHWHFPLEQ-UHFFFAOYSA-N -1 1 307.676 1.134 20 0 DDADMM O=C1[C@@H](Nc2cccc(-c3nnn[n-]3)n2)CCN1c1ccccc1 ZINC000738296052 599434926 /nfs/dbraw/zinc/43/49/26/599434926.db2.gz JONKTQJRUJQTOD-ZDUSSCGKSA-N -1 1 321.344 1.479 20 0 DDADMM O=C1[C@@H](Nc2cccc(-c3nn[n-]n3)n2)CCN1c1ccccc1 ZINC000738296052 599434929 /nfs/dbraw/zinc/43/49/29/599434929.db2.gz JONKTQJRUJQTOD-ZDUSSCGKSA-N -1 1 321.344 1.479 20 0 DDADMM CNC(=O)[C@@H](Nc1snc(Cl)c1-c1nnn[n-]1)C(C)C ZINC000821631760 607383803 /nfs/dbraw/zinc/38/38/03/607383803.db2.gz RULQBTVGBBGDTJ-LURJTMIESA-N -1 1 315.790 1.159 20 0 DDADMM CNC(=O)[C@@H](Nc1snc(Cl)c1-c1nn[n-]n1)C(C)C ZINC000821631760 607383805 /nfs/dbraw/zinc/38/38/05/607383805.db2.gz RULQBTVGBBGDTJ-LURJTMIESA-N -1 1 315.790 1.159 20 0 DDADMM CCc1noc([C@H](C)OC(=O)c2sccc2-c2nn[n-]n2)n1 ZINC000821553885 599658858 /nfs/dbraw/zinc/65/88/58/599658858.db2.gz LMPPQMOIQMZWOR-LURJTMIESA-N -1 1 320.334 1.792 20 0 DDADMM C[C@H]1CCN(CC(=O)N2C[C@H](C(=O)[O-])Oc3ccccc32)C1 ZINC000736797177 599752841 /nfs/dbraw/zinc/75/28/41/599752841.db2.gz IVSCLEZPFOKIET-SMDDNHRTSA-N -1 1 304.346 1.207 20 0 DDADMM Cc1oc(CN2CCC(N3CCCC3=O)CC2)cc1C(=O)[O-] ZINC000738978779 599797295 /nfs/dbraw/zinc/79/72/95/599797295.db2.gz JYXBEPBOWAKLOG-UHFFFAOYSA-N -1 1 306.362 1.873 20 0 DDADMM C[C@@H](CN(CC(=O)N(C)C1CC1)Cc1ccccc1)C(=O)[O-] ZINC000736577026 599887619 /nfs/dbraw/zinc/88/76/19/599887619.db2.gz XUVYJAFVAYJUAK-ZDUSSCGKSA-N -1 1 304.390 1.830 20 0 DDADMM CN(CC(=O)N1C[C@H](C(=O)[O-])Oc2ccccc21)CC(C)(C)C ZINC000737384253 600000063 /nfs/dbraw/zinc/00/00/63/600000063.db2.gz BKNSYOGIIVMPGD-CQSZACIVSA-N -1 1 320.389 1.843 20 0 DDADMM O=C([O-])CCNC(=O)CNC(c1ccccc1)c1ccccc1 ZINC000739734117 600011314 /nfs/dbraw/zinc/01/13/14/600011314.db2.gz INIVQZNYXCZWMA-UHFFFAOYSA-N -1 1 312.369 1.957 20 0 DDADMM CCC[C@](C)(NCC(=O)NC(=O)NCc1ccccc1)C(=O)[O-] ZINC000736964801 600156637 /nfs/dbraw/zinc/15/66/37/600156637.db2.gz PKSIXBKOSWTUTK-INIZCTEOSA-N -1 1 321.377 1.245 20 0 DDADMM C[C@@H]1CN(CCC(=O)NCC(=O)[O-])C[C@@H](c2ccccc2)O1 ZINC000736813153 600160317 /nfs/dbraw/zinc/16/03/17/600160317.db2.gz ZAKGXTMWUCPPCC-OCCSQVGLSA-N -1 1 306.362 1.039 20 0 DDADMM O=C([O-])CCN(Cc1ccc(F)c(F)c1)C(=O)c1ccn[nH]1 ZINC000739720394 600205434 /nfs/dbraw/zinc/20/54/34/600205434.db2.gz WVVQMBXIKKKTIF-UHFFFAOYSA-N -1 1 309.272 1.805 20 0 DDADMM C[C@@H]1CN(c2ccc(C(=O)[O-])cc2)CC[N@H+]1CCCC(=O)[O-] ZINC000736826319 600227771 /nfs/dbraw/zinc/22/77/71/600227771.db2.gz VSHCSTPFCHRDNH-GFCCVEGCSA-N -1 1 306.362 1.760 20 0 DDADMM O=C([O-])c1ccc(OS(=O)(=O)c2c[nH]cn2)c(Cl)c1 ZINC000740167899 600265102 /nfs/dbraw/zinc/26/51/02/600265102.db2.gz LHXPOIKENWFOPA-UHFFFAOYSA-N -1 1 302.695 1.529 20 0 DDADMM O=C([O-])CCNC(=O)CN1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000739735615 600335631 /nfs/dbraw/zinc/33/56/31/600335631.db2.gz ZZEMUEQZHHUNKW-GFCCVEGCSA-N -1 1 310.781 1.720 20 0 DDADMM O=C([O-])[C@H](c1ccccc1)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000738523373 600404967 /nfs/dbraw/zinc/40/49/67/600404967.db2.gz BEBWNISYNSESEN-JYJNAYRXSA-N -1 1 304.390 1.343 20 0 DDADMM C[C@@H](CN(C)CCOc1cccc(S(C)(=O)=O)c1)C(=O)[O-] ZINC000736576968 600432416 /nfs/dbraw/zinc/43/24/16/600432416.db2.gz URELETPDFRWBEC-NSHDSACASA-N -1 1 315.391 1.121 20 0 DDADMM COc1ccc(CN(C)C(=O)CNC(C)(C)C(=O)[O-])cc1OC ZINC000737994552 600459842 /nfs/dbraw/zinc/45/98/42/600459842.db2.gz IFZXKMTXFDAOGW-UHFFFAOYSA-N -1 1 324.377 1.115 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2cnccc2C(=O)[O-])cc1C ZINC000316290223 600513910 /nfs/dbraw/zinc/51/39/10/600513910.db2.gz UYOJNAUJSLFDSV-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM CC(C)(CO)N1CCN([C@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000736323332 600554475 /nfs/dbraw/zinc/55/44/75/600554475.db2.gz BBHHNUAQRMTYRZ-AWEZNQCLSA-N -1 1 310.369 1.340 20 0 DDADMM O=C([O-])[C@H]1CCC[C@@H](C(=O)N2CCN(C3CCCC3)CC2)C1 ZINC000315640589 600654633 /nfs/dbraw/zinc/65/46/33/600654633.db2.gz ZOKDTCVSFLCEDB-KGLIPLIRSA-N -1 1 308.422 1.964 20 0 DDADMM C[C@@H]1C[C@@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)CCN1C ZINC000157603259 600766768 /nfs/dbraw/zinc/76/67/68/600766768.db2.gz SIIFSXOSURZCQW-PWSUYJOCSA-N -1 1 312.391 1.146 20 0 DDADMM C[C@H](O)CN1CCN(c2nc(Cl)c(C(=O)[O-])s2)C[C@@H]1C ZINC000827803202 600813016 /nfs/dbraw/zinc/81/30/16/600813016.db2.gz KQFCUIFPLJOIGW-YUMQZZPRSA-N -1 1 319.814 1.386 20 0 DDADMM Cc1nnc(SCCC(=O)N[C@@H](C(=O)[O-])c2ccccc2)[nH]1 ZINC000833022187 600837830 /nfs/dbraw/zinc/83/78/30/600837830.db2.gz XTOBERQMQFTEQG-GFCCVEGCSA-N -1 1 320.374 1.537 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H](C(=O)N2CC[C@@H](CN3CCOCC3)C2)C1 ZINC000833186024 600971762 /nfs/dbraw/zinc/97/17/62/600971762.db2.gz PZQGFXWTVVYWFZ-SOUVJXGZSA-N -1 1 324.421 1.058 20 0 DDADMM CCc1nc(NC(=O)CN[C@@](C)(C(=O)[O-])C2CC2)sc1C ZINC000830318402 601038734 /nfs/dbraw/zinc/03/87/34/601038734.db2.gz OOAXVXWBLYYKJQ-CQSZACIVSA-N -1 1 311.407 1.795 20 0 DDADMM CC(C)N(CCC(=O)[O-])CC(=O)NCC(=O)c1ccccc1 ZINC000826946970 601055617 /nfs/dbraw/zinc/05/56/17/601055617.db2.gz MFBCOLYDERSQGC-UHFFFAOYSA-N -1 1 306.362 1.171 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2ccsc2)CC1 ZINC000827354752 601104417 /nfs/dbraw/zinc/10/44/17/601104417.db2.gz HNDSSPMEOBDBJI-DLGQBQFBSA-N -1 1 308.403 1.769 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2csc3cncn32)CC1 ZINC000827380659 601105681 /nfs/dbraw/zinc/10/56/81/601105681.db2.gz SYCLOMLAHQHAEF-JTQLQIEISA-N -1 1 322.390 1.017 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)Nc2ccc(F)cc2)CC1 ZINC000827368700 601123320 /nfs/dbraw/zinc/12/33/20/601123320.db2.gz HUFJIJABLDQYDB-LLVKDONJSA-N -1 1 309.341 1.838 20 0 DDADMM Cc1cccc(C[C@H](CNC(=O)CCc2nc[nH]n2)C(=O)[O-])c1 ZINC000832969716 601137223 /nfs/dbraw/zinc/13/72/23/601137223.db2.gz ODZNJKMZBCNYEL-CYBMUJFWSA-N -1 1 316.361 1.105 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=S)NC2CCCCC2)CC1 ZINC000827379288 601337561 /nfs/dbraw/zinc/33/75/61/601337561.db2.gz FMRUDTNRCNYRCM-LBPRGKRZSA-N -1 1 313.467 1.674 20 0 DDADMM CSc1ccc([C@@H](CC(=O)[O-])NC(=O)[C@H](C)N(C)C)cc1 ZINC000832777716 601448934 /nfs/dbraw/zinc/44/89/34/601448934.db2.gz YYKWCVJQBLAKEF-GXFFZTMASA-N -1 1 310.419 1.991 20 0 DDADMM COc1ccc(OC)c(NC(=O)CN2C[C@H](C)[C@H](C(=O)[O-])C2)c1 ZINC000832436053 601511467 /nfs/dbraw/zinc/51/14/67/601511467.db2.gz PXZRIQXJXRNRTA-CMPLNLGQSA-N -1 1 322.361 1.295 20 0 DDADMM CN(C)Cc1ccsc1C(=O)NCCSCCC(=O)[O-] ZINC000830623548 601558224 /nfs/dbraw/zinc/55/82/24/601558224.db2.gz CETWHFPNYXYOGQ-UHFFFAOYSA-N -1 1 316.448 1.747 20 0 DDADMM COC[C@@H]1CCCN1CC(=O)NCc1ccc(NC(=O)[O-])cc1 ZINC000831666383 601568456 /nfs/dbraw/zinc/56/84/56/601568456.db2.gz LIPVMDCVMZNOLY-AWEZNQCLSA-N -1 1 321.377 1.504 20 0 DDADMM C[C@@H](C(=O)N1CCO[C@H](CC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000825989829 601740000 /nfs/dbraw/zinc/74/00/00/601740000.db2.gz GXVXFHYOGQPJKC-DZGCQCFKSA-N -1 1 320.389 1.209 20 0 DDADMM C[C@@H]1CC[C@@H](C)N1CC(=O)N[C@@H](C(=O)[O-])c1ccccc1F ZINC000828213893 601747311 /nfs/dbraw/zinc/74/73/11/601747311.db2.gz FNUJGGMNNVOMTE-UEKVPHQBSA-N -1 1 308.353 1.940 20 0 DDADMM CC(C)OCCN1CCN(Cc2ccsc2C(=O)[O-])CC1 ZINC000827030215 601837432 /nfs/dbraw/zinc/83/74/32/601837432.db2.gz AIVYEICBGWKJDH-UHFFFAOYSA-N -1 1 312.435 1.989 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000739532380 601952481 /nfs/dbraw/zinc/95/24/81/601952481.db2.gz JLTBSWBEJMTBNO-JTQLQIEISA-N -1 1 303.322 1.134 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000739532380 601952484 /nfs/dbraw/zinc/95/24/84/601952484.db2.gz JLTBSWBEJMTBNO-JTQLQIEISA-N -1 1 303.322 1.134 20 0 DDADMM Cc1csc2nc(CN3CC[C@H](CNC(=O)[O-])C3)cc(=O)n12 ZINC000740205606 601958489 /nfs/dbraw/zinc/95/84/89/601958489.db2.gz CWXSJGPHJAQDDM-SNVBAGLBSA-N -1 1 322.390 1.154 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738628529 601961228 /nfs/dbraw/zinc/96/12/28/601961228.db2.gz KFTNOPAISQVGGA-OCCSQVGLSA-N -1 1 305.378 1.281 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000739012537 602017933 /nfs/dbraw/zinc/01/79/33/602017933.db2.gz UZZSBLMQKPJURX-TVQRCGJNSA-N -1 1 317.349 1.609 20 0 DDADMM O=C([O-])N1CCc2nc(NC(=O)c3ncccc3O)sc2C1 ZINC000740477624 602026229 /nfs/dbraw/zinc/02/62/29/602026229.db2.gz VWLRRZOJSZKHCE-UHFFFAOYSA-N -1 1 320.330 1.532 20 0 DDADMM O=C([O-])c1ccoc1CN1CCC(N2CCCCC2=O)CC1 ZINC000833335285 602029636 /nfs/dbraw/zinc/02/96/36/602029636.db2.gz YZZYWBGHMZDDMJ-UHFFFAOYSA-N -1 1 306.362 1.955 20 0 DDADMM O=C([O-])Nc1ccc(CNC(=O)CN2C[C@@H]3CCC[C@H]32)cc1 ZINC000833286937 602208426 /nfs/dbraw/zinc/20/84/26/602208426.db2.gz ZCLGEYCPZIPKNW-GXTWGEPZSA-N -1 1 303.362 1.877 20 0 DDADMM O=C([O-])Nc1ccc(CNC(=O)CN2C[C@H]3CCC[C@@H]32)cc1 ZINC000833286939 602208498 /nfs/dbraw/zinc/20/84/98/602208498.db2.gz ZCLGEYCPZIPKNW-OCCSQVGLSA-N -1 1 303.362 1.877 20 0 DDADMM CCOc1cccc(CNC(=O)CN[C@H](C(=O)[O-])C(C)(C)C)c1 ZINC000830099363 602228351 /nfs/dbraw/zinc/22/83/51/602228351.db2.gz FAICCSJWCLLXLE-OAHLLOKOSA-N -1 1 322.405 1.790 20 0 DDADMM O=C([O-])N1CCC(CN2CCN(CC(F)(F)F)CC2)CC1 ZINC000740503923 602257407 /nfs/dbraw/zinc/25/74/07/602257407.db2.gz QEQNAWLEJGHADP-UHFFFAOYSA-N -1 1 309.332 1.556 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCCN1CC(=O)N1CCC(C)CC1 ZINC000739113414 602266932 /nfs/dbraw/zinc/26/69/32/602266932.db2.gz PFWPWGSDJKYBDY-UONOGXRCSA-N -1 1 311.426 1.756 20 0 DDADMM CC(C)N(CCCNC(=O)N1CCN(C(C)C)CC1)C(=O)[O-] ZINC000738853471 602424423 /nfs/dbraw/zinc/42/44/23/602424423.db2.gz IDJRKCOJIDHSKS-UHFFFAOYSA-N -1 1 314.430 1.501 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N[C@@H]2CCN(C(=O)[O-])C2)o1 ZINC000739410275 602478491 /nfs/dbraw/zinc/47/84/91/602478491.db2.gz HBQYCXRKTWWSNF-LLVKDONJSA-N -1 1 309.366 1.604 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000739384967 602535945 /nfs/dbraw/zinc/53/59/45/602535945.db2.gz NUAAAQPYJVOCQT-KBPBESRZSA-N -1 1 305.378 1.548 20 0 DDADMM CC(C)CN(C(=O)CN1CC[C@H](CN(C)C(=O)[O-])C1)C1CC1 ZINC000738822506 602542849 /nfs/dbraw/zinc/54/28/49/602542849.db2.gz XHLBJMHVSOGBHY-CYBMUJFWSA-N -1 1 311.426 1.565 20 0 DDADMM CC(C)C[C@H](C)N(C)C(=O)CN1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738804189 602543129 /nfs/dbraw/zinc/54/31/29/602543129.db2.gz JAGJDMRCMVIWOH-KBPBESRZSA-N -1 1 313.442 1.811 20 0 DDADMM CCCN(C(=O)N[C@H]1CCCN(C)C1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739352609 602622609 /nfs/dbraw/zinc/62/26/09/602622609.db2.gz XBPJUZNMDGJUQW-STQMWFEESA-N -1 1 312.414 1.255 20 0 DDADMM O=C([O-])N1CCC[C@@H](CNC(=O)N2CCN3CCCC[C@H]3C2)C1 ZINC000740517998 602628939 /nfs/dbraw/zinc/62/89/39/602628939.db2.gz RUDMLGWODAMTHN-KBPBESRZSA-N -1 1 324.425 1.256 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(C(=O)N[C@H]2CCCN(C)C2)CC1 ZINC000739009510 602705075 /nfs/dbraw/zinc/70/50/75/602705075.db2.gz UPVGVKNPMDFUNN-AAEUAGOBSA-N -1 1 312.414 1.158 20 0 DDADMM Cc1cnn(C[C@H]2CN(C[C@@H]3CCCN(C(=O)[O-])C3)CCO2)c1 ZINC000740201206 602706759 /nfs/dbraw/zinc/70/67/59/602706759.db2.gz QUIVQVVWLRCJOL-LSDHHAIUSA-N -1 1 322.409 1.282 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCN(C(=O)[O-])C[C@H]2C)c1 ZINC000740054544 602730002 /nfs/dbraw/zinc/73/00/02/602730002.db2.gz AMGHZGCLWVNGCC-SNVBAGLBSA-N -1 1 302.334 1.696 20 0 DDADMM Cc1ccc(OC[C@H](O)CN2C[C@@H](C)N(C(=O)[O-])[C@H](C)C2)cc1 ZINC000740147516 602831411 /nfs/dbraw/zinc/83/14/11/602831411.db2.gz NQNIVEBVQGJWAF-RBSFLKMASA-N -1 1 322.405 1.807 20 0 DDADMM C[C@H]1CCC[N@H+](CCNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739110878 602848855 /nfs/dbraw/zinc/84/88/55/602848855.db2.gz OVPXPWJSHMDNJC-NSHDSACASA-N -1 1 321.377 1.944 20 0 DDADMM C[C@H]1CCC[N@@H+](CCNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739110878 602848859 /nfs/dbraw/zinc/84/88/59/602848859.db2.gz OVPXPWJSHMDNJC-NSHDSACASA-N -1 1 321.377 1.944 20 0 DDADMM CN(CC[NH+]1CCCC1)C(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739683125 602860444 /nfs/dbraw/zinc/86/04/44/602860444.db2.gz XSIADCYQSDQPKE-UHFFFAOYSA-N -1 1 307.350 1.650 20 0 DDADMM C[C@@H](C(=O)NCc1nnc[nH]1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823704758 602903225 /nfs/dbraw/zinc/90/32/25/602903225.db2.gz TVICRWWBKNJNGV-SKDRFNHKSA-N -1 1 303.322 1.066 20 0 DDADMM CC(C)(CC(=O)NCCN1CCc2ccccc2C1)NC(=O)[O-] ZINC000738730818 602948629 /nfs/dbraw/zinc/94/86/29/602948629.db2.gz KELUDSVXFIIILM-UHFFFAOYSA-N -1 1 319.405 1.597 20 0 DDADMM O=C([O-])N1CCCC[C@@H](NC(=O)N2CCN3CCCC[C@@H]3C2)C1 ZINC000740520705 602975009 /nfs/dbraw/zinc/97/50/09/602975009.db2.gz TXXNERMXOYCVRJ-ZIAGYGMSSA-N -1 1 324.425 1.399 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)O[C@@H]1CCN(Cc2ccccc2)C1 ZINC000736331895 602976814 /nfs/dbraw/zinc/97/68/14/602976814.db2.gz AKZWWFPMYVMQGG-CYBMUJFWSA-N -1 1 306.362 1.850 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](NC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000737568832 603169898 /nfs/dbraw/zinc/16/98/98/603169898.db2.gz YBPITRWORJTMKI-ZFWWWQNUSA-N -1 1 319.405 1.766 20 0 DDADMM CC(C)CN1CCN(C(=O)[C@@H]2CCC[C@@H](NC(=O)[O-])C2)CC1 ZINC000738818596 603232381 /nfs/dbraw/zinc/23/23/81/603232381.db2.gz BODPZYZPICKXED-ZIAGYGMSSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@@H](CNC(=O)[O-])N(C)C[C@@H]1CN(c2ccccc2)C(=O)O1 ZINC000738942685 603343309 /nfs/dbraw/zinc/34/33/09/603343309.db2.gz WUYJJROUDXTGSB-WCQYABFASA-N -1 1 307.350 1.600 20 0 DDADMM CCN(CC(=O)NC[C@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000739390685 603374809 /nfs/dbraw/zinc/37/48/09/603374809.db2.gz ANTMMDCMJRJMSY-CYBMUJFWSA-N -1 1 323.393 1.923 20 0 DDADMM O=C([O-])N1CCC[C@H]1CC(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000831345630 603391000 /nfs/dbraw/zinc/39/10/00/603391000.db2.gz KPUXUMDSDGISBR-VIFPVBQESA-N -1 1 316.321 1.338 20 0 DDADMM Cc1nn(C)c(Oc2ccccc2)c1CNCCNC(=O)[O-] ZINC000830862549 603574693 /nfs/dbraw/zinc/57/46/93/603574693.db2.gz ZGCRFJJQSWDAIF-UHFFFAOYSA-N -1 1 304.350 1.878 20 0 DDADMM CC[C@@H]1CCCN(CN2C[C@H]3CN(C(=O)[O-])CCN3C2=O)C1 ZINC000826675451 603596296 /nfs/dbraw/zinc/59/62/96/603596296.db2.gz KWDCJJSYMCDRPM-CHWSQXEVSA-N -1 1 310.398 1.166 20 0 DDADMM CC(C)[C@H](CNC(=O)N1CCC(c2cnc[nH]2)CC1)NC(=O)[O-] ZINC000824118298 603636137 /nfs/dbraw/zinc/63/61/37/603636137.db2.gz UXEIEQKPPLMKAB-LBPRGKRZSA-N -1 1 323.397 1.591 20 0 DDADMM CC(C)[C@@H](CNC(=O)N1CCC(c2cnc[nH]2)CC1)NC(=O)[O-] ZINC000824118297 603636189 /nfs/dbraw/zinc/63/61/89/603636189.db2.gz UXEIEQKPPLMKAB-GFCCVEGCSA-N -1 1 323.397 1.591 20 0 DDADMM C[C@H](C(=O)N(C)C1CCCCC1)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823654617 603818406 /nfs/dbraw/zinc/81/84/06/603818406.db2.gz LAZRQDGPRRXVEX-TZMCWYRMSA-N -1 1 311.426 1.850 20 0 DDADMM O=C([O-])N1CC(N2CCC(C(=O)Nc3ccccc3)CC2)C1 ZINC000831797350 603919767 /nfs/dbraw/zinc/91/97/67/603919767.db2.gz IDQSNLDNWXGTRZ-UHFFFAOYSA-N -1 1 303.362 1.699 20 0 DDADMM C[C@]1(C(=O)Nc2ccn(-c3ccncc3)n2)CCN(C(=O)[O-])C1 ZINC000825685294 603941793 /nfs/dbraw/zinc/94/17/93/603941793.db2.gz WIYWAZZUNMAXCZ-HNNXBMFYSA-N -1 1 315.333 1.596 20 0 DDADMM C[C@@H](NC(=O)CC1C[C@H]2CC[C@@H](C1)N2C(=O)[O-])c1nnc[nH]1 ZINC000825100993 603941839 /nfs/dbraw/zinc/94/18/39/603941839.db2.gz LMZWQBPKXXJXDE-UBJLHIIHSA-N -1 1 307.354 1.293 20 0 DDADMM O=C([O-])N1CCCC[C@H]1CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000831635232 603942046 /nfs/dbraw/zinc/94/20/46/603942046.db2.gz QGGNQWSIHRHBIR-QWRGUYRKSA-N -1 1 306.366 1.478 20 0 DDADMM CC(C)N(C(=O)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-])C(C)C ZINC000824324682 603976954 /nfs/dbraw/zinc/97/69/54/603976954.db2.gz ZCBQCFARWWMDEM-KGLIPLIRSA-N -1 1 311.426 1.849 20 0 DDADMM C[C@H](CN(C)C(=O)[O-])C(=O)NC[C@@H](c1cccs1)N(C)C ZINC000824853760 604062029 /nfs/dbraw/zinc/06/20/29/604062029.db2.gz CVOBNSZCBHDPOJ-MNOVXSKESA-N -1 1 313.423 1.713 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@@H]2CCC[C@H](NC(=O)[O-])C2)c1 ZINC000828047002 604066330 /nfs/dbraw/zinc/06/63/30/604066330.db2.gz VHKWYKIKZLZQFO-OLZOCXBDSA-N -1 1 320.393 1.908 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)N(C)Cc2ccco2)C1 ZINC000825251771 604176425 /nfs/dbraw/zinc/17/64/25/604176425.db2.gz AVLUYOUAUCIHEP-QWHCGFSZSA-N -1 1 323.393 1.606 20 0 DDADMM C[C@H](OC(=O)c1sccc1-c1nn[n-]n1)C1CCOCC1 ZINC000824729414 608186538 /nfs/dbraw/zinc/18/65/38/608186538.db2.gz JYVGXHAITGDGJC-QMMMGPOBSA-N -1 1 308.363 1.900 20 0 DDADMM C[C@@H](N[C@H](CNC(=O)[O-])C1CC1)c1cn(-c2ccccc2)nn1 ZINC000825473559 604361024 /nfs/dbraw/zinc/36/10/24/604361024.db2.gz RXCGIPMPXFQEOP-BXUZGUMPSA-N -1 1 315.377 1.964 20 0 DDADMM CCOc1ccccc1NC(=O)CCN1CC[C@@](F)(C(=O)[O-])C1 ZINC000830109490 604481063 /nfs/dbraw/zinc/48/10/63/604481063.db2.gz CHYLOWDQLZVSAY-INIZCTEOSA-N -1 1 324.352 1.913 20 0 DDADMM CC(=O)N1CCCN(Cc2ccc(O[C@H](C)C(=O)[O-])cc2)CC1 ZINC000833392605 604522241 /nfs/dbraw/zinc/52/22/41/604522241.db2.gz BWYOKGKDOJLOEZ-CYBMUJFWSA-N -1 1 320.389 1.593 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)CC(C)(C)NC(=O)[O-])C2)n[nH]1 ZINC000830734923 604646223 /nfs/dbraw/zinc/64/62/23/604646223.db2.gz OKTRTNDHHSGRMR-SNVBAGLBSA-N -1 1 309.370 1.255 20 0 DDADMM O=C([O-])[C@@H](c1ccc(F)cc1)[N@H+]1CCOC2(CCOCC2)C1 ZINC000833170328 604683421 /nfs/dbraw/zinc/68/34/21/604683421.db2.gz GWHPEOCJXRYVDG-CQSZACIVSA-N -1 1 309.337 1.833 20 0 DDADMM O=C([O-])[C@@H](c1ccc(F)cc1)N1CCOC2(CCOCC2)C1 ZINC000833170328 604683425 /nfs/dbraw/zinc/68/34/25/604683425.db2.gz GWHPEOCJXRYVDG-CQSZACIVSA-N -1 1 309.337 1.833 20 0 DDADMM O=C([O-])N1CC(NC(=O)[C@H]2CCCN2Cc2ccccc2)C1 ZINC000740403611 604755702 /nfs/dbraw/zinc/75/57/02/604755702.db2.gz MONNPWMFUSFGNW-CQSZACIVSA-N -1 1 303.362 1.129 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)CCc2ccccc2C(=O)[O-])CCN1C ZINC000833584759 604837911 /nfs/dbraw/zinc/83/79/11/604837911.db2.gz VDOVTOJNBZICDC-OLZOCXBDSA-N -1 1 304.390 1.868 20 0 DDADMM CC(C)(C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1ccc(F)cc1 ZINC000833411385 604895879 /nfs/dbraw/zinc/89/58/79/604895879.db2.gz DYVPSYXHCXTMNE-ZDUSSCGKSA-N -1 1 319.336 1.639 20 0 DDADMM C[C@@H]1CCC[C@H](OCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C1 ZINC000833611593 604900699 /nfs/dbraw/zinc/90/06/99/604900699.db2.gz YJZQCTCWQQSYDJ-WXHSDQCUSA-N -1 1 309.366 1.117 20 0 DDADMM COC(=O)[C@@H](c1cccc(C(=O)[O-])c1)N1CCN(C)[C@@H](C)C1 ZINC000833701576 604932076 /nfs/dbraw/zinc/93/20/76/604932076.db2.gz KVMZFEZIDDCJEX-SMDDNHRTSA-N -1 1 306.362 1.235 20 0 DDADMM COc1ccccc1N1CC[C@H](N2CCC[C@H]2CC(=O)[O-])C1=O ZINC000833736724 604966351 /nfs/dbraw/zinc/96/63/51/604966351.db2.gz VPCWDNULGPJQCP-JSGCOSHPSA-N -1 1 318.373 1.740 20 0 DDADMM CN(C)C(=O)c1cccc(CN[C@H](C(=O)[O-])c2cccnc2)c1 ZINC000830575166 604991174 /nfs/dbraw/zinc/99/11/74/604991174.db2.gz LFNABXWFGJQVOM-HNNXBMFYSA-N -1 1 313.357 1.699 20 0 DDADMM COC(=O)c1cccc(CN[C@@H](C(=O)[O-])c2cccnc2)c1 ZINC000831453357 605007129 /nfs/dbraw/zinc/00/71/29/605007129.db2.gz PPERWHWQQBAKPD-CQSZACIVSA-N -1 1 300.314 1.784 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)c2cccc(C(=O)[O-])n2)n[nH]1 ZINC000833512320 605023542 /nfs/dbraw/zinc/02/35/42/605023542.db2.gz LLQUDEZFQFQNJD-QMMMGPOBSA-N -1 1 303.322 1.512 20 0 DDADMM C[C@H](C(=O)NC[C@@H]1CCCO1)N(C)Cc1ccc(C(=O)[O-])cc1 ZINC000833403879 605047291 /nfs/dbraw/zinc/04/72/91/605047291.db2.gz DZBRIEGUNOROFH-DOMZBBRYSA-N -1 1 320.389 1.500 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCC(F)(F)F ZINC000833626406 605052837 /nfs/dbraw/zinc/05/28/37/605052837.db2.gz QSUXUNUBXVXMEF-VIFPVBQESA-N -1 1 311.304 1.129 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)c2cccc(OCC(=O)[O-])c2)C[C@@H]1C ZINC000833657121 605133784 /nfs/dbraw/zinc/13/37/84/605133784.db2.gz LFYSYNNASPVADJ-QWHCGFSZSA-N -1 1 320.389 1.705 20 0 DDADMM CCN1C[C@H](C)N(CC(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C[C@H]1C ZINC000833658045 605144768 /nfs/dbraw/zinc/14/47/68/605144768.db2.gz QFRNCERNMIUHRC-MNOVXSKESA-N -1 1 321.421 1.927 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc(Cl)n1 ZINC000833627231 605159625 /nfs/dbraw/zinc/15/96/25/605159625.db2.gz VDVCUBQPWSMESH-SNVBAGLBSA-N -1 1 311.769 1.356 20 0 DDADMM O=C([O-])N[C@H]1CCN(C[C@H](O)COc2ccccc2Cl)C1 ZINC000740595257 605161381 /nfs/dbraw/zinc/16/13/81/605161381.db2.gz SROLIFJOKWHCQD-QWRGUYRKSA-N -1 1 314.769 1.422 20 0 DDADMM CCN1C[C@H](C)N(C(=O)Nc2cncc(C(=O)[O-])c2)C[C@@H]1C ZINC000833655629 605170066 /nfs/dbraw/zinc/17/00/66/605170066.db2.gz FQWMIVPGGXXSES-QWRGUYRKSA-N -1 1 306.366 1.726 20 0 DDADMM O=C([O-])N1CCCN1C(=O)CC1(N2CCOCC2)CCCC1 ZINC000834110938 605317697 /nfs/dbraw/zinc/31/76/97/605317697.db2.gz YLCBIQFBWMMRRF-UHFFFAOYSA-N -1 1 311.382 1.149 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCC(C3OCCO3)CC2)cn1 ZINC000834259309 605588433 /nfs/dbraw/zinc/58/84/33/605588433.db2.gz WYVDLSWTRYNSPH-UHFFFAOYSA-N -1 1 307.350 1.756 20 0 DDADMM O=C([O-])N1CCC(N(CN2C[C@@H](C3CC3)CC2=O)C2CC2)CC1 ZINC000834081634 605725492 /nfs/dbraw/zinc/72/54/92/605725492.db2.gz HCDLWSILSUDHMM-ZDUSSCGKSA-N -1 1 321.421 1.809 20 0 DDADMM O=C([O-])N1CCN(CCN2CCOC2=O)C2(CCCCC2)C1 ZINC000834113241 605765533 /nfs/dbraw/zinc/76/55/33/605765533.db2.gz STBSXRASDRVOOF-UHFFFAOYSA-N -1 1 311.382 1.437 20 0 DDADMM CC[C@H]1C[C@H](C)CN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820966668 606169422 /nfs/dbraw/zinc/16/94/22/606169422.db2.gz GLTHLNKFTOJZGH-IUCAKERBSA-N -1 1 304.358 1.253 20 0 DDADMM CC[C@H]1C[C@H](C)CN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820966668 606169423 /nfs/dbraw/zinc/16/94/23/606169423.db2.gz GLTHLNKFTOJZGH-IUCAKERBSA-N -1 1 304.358 1.253 20 0 DDADMM CC(C)[C@H](CNc1nccnc1-c1nnn[n-]1)c1cccnc1 ZINC000820020092 606229029 /nfs/dbraw/zinc/22/90/29/606229029.db2.gz AZDBRBZLVHZZNF-LBPRGKRZSA-N -1 1 310.365 1.903 20 0 DDADMM CC(C)[C@H](CNc1nccnc1-c1nn[n-]n1)c1cccnc1 ZINC000820020092 606229030 /nfs/dbraw/zinc/22/90/30/606229030.db2.gz AZDBRBZLVHZZNF-LBPRGKRZSA-N -1 1 310.365 1.903 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cnn2ccccc12 ZINC000823294511 606293407 /nfs/dbraw/zinc/29/34/07/606293407.db2.gz DXPPHMYBNPBAQY-UHFFFAOYSA-N -1 1 321.300 1.472 20 0 DDADMM CC(C)[C@H]1OCCC[C@H]1CNc1nccnc1-c1nnn[n-]1 ZINC000820050849 606427117 /nfs/dbraw/zinc/42/71/17/606427117.db2.gz HDTZSFSCIVTIEU-CMPLNLGQSA-N -1 1 303.370 1.520 20 0 DDADMM CC(C)[C@H]1OCCC[C@H]1CNc1nccnc1-c1nn[n-]n1 ZINC000820050849 606427119 /nfs/dbraw/zinc/42/71/19/606427119.db2.gz HDTZSFSCIVTIEU-CMPLNLGQSA-N -1 1 303.370 1.520 20 0 DDADMM Cc1cccc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000822303704 606527752 /nfs/dbraw/zinc/52/77/52/606527752.db2.gz GGHNZOUCEYZVTE-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM Cc1cccc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000822303704 606527754 /nfs/dbraw/zinc/52/77/54/606527754.db2.gz GGHNZOUCEYZVTE-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC(C)(C)O1 ZINC000820742423 606535939 /nfs/dbraw/zinc/53/59/39/606535939.db2.gz JTOUONUIRXJTBO-MRVPVSSYSA-N -1 1 307.379 1.568 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC(C)(C)O1 ZINC000820742423 606535940 /nfs/dbraw/zinc/53/59/40/606535940.db2.gz JTOUONUIRXJTBO-MRVPVSSYSA-N -1 1 307.379 1.568 20 0 DDADMM COc1ccc(C)nc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821782718 606581485 /nfs/dbraw/zinc/58/14/85/606581485.db2.gz LOBGCIBPUCVZHB-UHFFFAOYSA-N -1 1 316.346 1.893 20 0 DDADMM COc1ccc(C)nc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821782718 606581487 /nfs/dbraw/zinc/58/14/87/606581487.db2.gz LOBGCIBPUCVZHB-UHFFFAOYSA-N -1 1 316.346 1.893 20 0 DDADMM C[C@H](OCC1CC1)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000820518615 606647999 /nfs/dbraw/zinc/64/79/99/606647999.db2.gz HPKZHCUJXYTFFD-VIFPVBQESA-N -1 1 303.322 1.120 20 0 DDADMM C[C@H](OCC1CC1)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000820518615 606648000 /nfs/dbraw/zinc/64/80/00/606648000.db2.gz HPKZHCUJXYTFFD-VIFPVBQESA-N -1 1 303.322 1.120 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cccc(F)c1F ZINC000823436104 606648407 /nfs/dbraw/zinc/64/84/07/606648407.db2.gz GVICLNMZGXFTNL-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cccc(F)c1F ZINC000823436104 606648408 /nfs/dbraw/zinc/64/84/08/606648408.db2.gz GVICLNMZGXFTNL-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM CC(C)C[C@@H](C)OCCC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820056320 606683962 /nfs/dbraw/zinc/68/39/62/606683962.db2.gz OZFGEIIVNORZPJ-SNVBAGLBSA-N -1 1 321.385 1.380 20 0 DDADMM CC(C)C[C@@H](C)OCCC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820056320 606683963 /nfs/dbraw/zinc/68/39/63/606683963.db2.gz OZFGEIIVNORZPJ-SNVBAGLBSA-N -1 1 321.385 1.380 20 0 DDADMM c1ccc(N[C@@H]2CCCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000823787717 606725691 /nfs/dbraw/zinc/72/56/91/606725691.db2.gz PRALPFBBBPMTRT-CYBMUJFWSA-N -1 1 322.376 1.738 20 0 DDADMM c1ccc(N[C@@H]2CCCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000823787717 606725693 /nfs/dbraw/zinc/72/56/93/606725693.db2.gz PRALPFBBBPMTRT-CYBMUJFWSA-N -1 1 322.376 1.738 20 0 DDADMM CCC(=O)N1CC[C@@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000820838642 606791632 /nfs/dbraw/zinc/79/16/32/606791632.db2.gz YRXUWOGNOLLIKH-MRVPVSSYSA-N -1 1 321.772 1.338 20 0 DDADMM CCC(=O)N1CC[C@@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000820838642 606791634 /nfs/dbraw/zinc/79/16/34/606791634.db2.gz YRXUWOGNOLLIKH-MRVPVSSYSA-N -1 1 321.772 1.338 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1cc(F)ccc1F ZINC000823380381 607025174 /nfs/dbraw/zinc/02/51/74/607025174.db2.gz WQTJWOLFGXVKHJ-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1cc(F)ccc1F ZINC000823380381 607025176 /nfs/dbraw/zinc/02/51/76/607025176.db2.gz WQTJWOLFGXVKHJ-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM CC(C)CO[C@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000820097411 607053820 /nfs/dbraw/zinc/05/38/20/607053820.db2.gz OCSNOXYFRTYKJG-LBPRGKRZSA-N -1 1 316.365 1.149 20 0 DDADMM CC(C)CO[C@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000820097411 607053818 /nfs/dbraw/zinc/05/38/18/607053818.db2.gz OCSNOXYFRTYKJG-LBPRGKRZSA-N -1 1 316.365 1.149 20 0 DDADMM Fc1cccc(OCCOC[C@H]2CCCO2)c1-c1nnn[n-]1 ZINC000826378179 607864707 /nfs/dbraw/zinc/86/47/07/607864707.db2.gz YVVLDBMLIDSVRO-SNVBAGLBSA-N -1 1 308.313 1.580 20 0 DDADMM Fc1cccc(OCCOC[C@H]2CCCO2)c1-c1nn[n-]n1 ZINC000826378179 607864708 /nfs/dbraw/zinc/86/47/08/607864708.db2.gz YVVLDBMLIDSVRO-SNVBAGLBSA-N -1 1 308.313 1.580 20 0 DDADMM Fc1cccc(Oc2cc(-n3ccnc3)ncn2)c1-c1nnn[n-]1 ZINC000826377789 607867229 /nfs/dbraw/zinc/86/72/29/607867229.db2.gz GFHCYYQYESSFNS-UHFFFAOYSA-N -1 1 324.279 1.774 20 0 DDADMM Fc1cccc(Oc2cc(-n3ccnc3)ncn2)c1-c1nn[n-]n1 ZINC000826377789 607867230 /nfs/dbraw/zinc/86/72/30/607867230.db2.gz GFHCYYQYESSFNS-UHFFFAOYSA-N -1 1 324.279 1.774 20 0 DDADMM CC(C)CS(=O)(=O)Oc1cccc(F)c1-c1nnn[n-]1 ZINC000824276092 607867511 /nfs/dbraw/zinc/86/75/11/607867511.db2.gz ZXBCRNHPTJYGTJ-UHFFFAOYSA-N -1 1 300.315 1.370 20 0 DDADMM CC(C)CS(=O)(=O)Oc1cccc(F)c1-c1nn[n-]n1 ZINC000824276092 607867512 /nfs/dbraw/zinc/86/75/12/607867512.db2.gz ZXBCRNHPTJYGTJ-UHFFFAOYSA-N -1 1 300.315 1.370 20 0 DDADMM Cc1c(O)ccc2c(Cn3ccnc3-c3nn[n-]n3)cc(=O)oc12 ZINC000826243149 608012955 /nfs/dbraw/zinc/01/29/55/608012955.db2.gz WQBWEALTOFMMAC-UHFFFAOYSA-N -1 1 324.300 1.232 20 0 DDADMM CCc1ccc2c(Cn3ccnc3-c3nnn[n-]3)cc(=O)oc2c1 ZINC000825716812 608148485 /nfs/dbraw/zinc/14/84/85/608148485.db2.gz BLVKUPRRTSDHTC-UHFFFAOYSA-N -1 1 322.328 1.780 20 0 DDADMM CCc1ccc2c(Cn3ccnc3-c3nn[n-]n3)cc(=O)oc2c1 ZINC000825716812 608148486 /nfs/dbraw/zinc/14/84/86/608148486.db2.gz BLVKUPRRTSDHTC-UHFFFAOYSA-N -1 1 322.328 1.780 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824885620 608166277 /nfs/dbraw/zinc/16/62/77/608166277.db2.gz TWDZCVJRAIROTO-DTWKUNHWSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824885620 608166278 /nfs/dbraw/zinc/16/62/78/608166278.db2.gz TWDZCVJRAIROTO-DTWKUNHWSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@]1(O)CCCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000824844304 608305029 /nfs/dbraw/zinc/30/50/29/608305029.db2.gz VIXRVVKKWXOPBV-HNNXBMFYSA-N -1 1 311.349 1.161 20 0 DDADMM C[C@]1(O)CCCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000824844304 608305031 /nfs/dbraw/zinc/30/50/31/608305031.db2.gz VIXRVVKKWXOPBV-HNNXBMFYSA-N -1 1 311.349 1.161 20 0 DDADMM C[C@@H]1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C[C@H]1C ZINC000824948796 608306154 /nfs/dbraw/zinc/30/61/54/608306154.db2.gz VZGWCLSBNKXCNG-RKDXNWHRSA-N -1 1 304.358 1.110 20 0 DDADMM C[C@@H]1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C[C@H]1C ZINC000824948796 608306155 /nfs/dbraw/zinc/30/61/55/608306155.db2.gz VZGWCLSBNKXCNG-RKDXNWHRSA-N -1 1 304.358 1.110 20 0 DDADMM Cn1ccnc1CNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000826364086 608377900 /nfs/dbraw/zinc/37/79/00/608377900.db2.gz KNYSUCPFMQVHGP-UHFFFAOYSA-N -1 1 306.333 1.761 20 0 DDADMM c1ccc(N2CCCC2)c(CNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826522212 608404652 /nfs/dbraw/zinc/40/46/52/608404652.db2.gz QUNSIQQTEGSTOM-UHFFFAOYSA-N -1 1 322.376 1.869 20 0 DDADMM c1ccc(N2CCCC2)c(CNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826522212 608404654 /nfs/dbraw/zinc/40/46/54/608404654.db2.gz QUNSIQQTEGSTOM-UHFFFAOYSA-N -1 1 322.376 1.869 20 0 DDADMM C[C@@H](c1ccccc1)[C@@H](CO)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824771524 608416785 /nfs/dbraw/zinc/41/67/85/608416785.db2.gz QTESOYSCOZYSDF-GXFFZTMASA-N -1 1 311.349 1.233 20 0 DDADMM C[C@@H](c1ccccc1)[C@@H](CO)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824771524 608416787 /nfs/dbraw/zinc/41/67/87/608416787.db2.gz QTESOYSCOZYSDF-GXFFZTMASA-N -1 1 311.349 1.233 20 0 DDADMM C[S@](=O)Cc1cccc(Nc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826224910 608420440 /nfs/dbraw/zinc/42/04/40/608420440.db2.gz OUCKMFKCVYFTIU-QFIPXVFZSA-N -1 1 315.362 1.279 20 0 DDADMM C[S@](=O)Cc1cccc(Nc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826224910 608420441 /nfs/dbraw/zinc/42/04/41/608420441.db2.gz OUCKMFKCVYFTIU-QFIPXVFZSA-N -1 1 315.362 1.279 20 0 DDADMM C[C@H]1CO[C@@H](c2ccccc2)CN1c1ccc(-c2nnn[n-]2)nn1 ZINC000825007413 608429023 /nfs/dbraw/zinc/42/90/23/608429023.db2.gz YXLWDOKOSDXXDE-SMDDNHRTSA-N -1 1 323.360 1.623 20 0 DDADMM C[C@H]1CO[C@@H](c2ccccc2)CN1c1ccc(-c2nn[n-]n2)nn1 ZINC000825007413 608429024 /nfs/dbraw/zinc/42/90/24/608429024.db2.gz YXLWDOKOSDXXDE-SMDDNHRTSA-N -1 1 323.360 1.623 20 0 DDADMM c1cc(N2CCS[C@H]3CCCC[C@H]32)nnc1-c1nnn[n-]1 ZINC000826517360 608431091 /nfs/dbraw/zinc/43/10/91/608431091.db2.gz ZPKXHWBXFYTSQE-MNOVXSKESA-N -1 1 303.395 1.521 20 0 DDADMM c1cc(N2CCS[C@H]3CCCC[C@H]32)nnc1-c1nn[n-]n1 ZINC000826517360 608431092 /nfs/dbraw/zinc/43/10/92/608431092.db2.gz ZPKXHWBXFYTSQE-MNOVXSKESA-N -1 1 303.395 1.521 20 0 DDADMM COCCC1(CNc2ccc(-c3nnn[n-]3)nn2)CCCC1 ZINC000826155334 608433191 /nfs/dbraw/zinc/43/31/91/608433191.db2.gz MMVGAKIXOIAQBG-UHFFFAOYSA-N -1 1 303.370 1.666 20 0 DDADMM COCCC1(CNc2ccc(-c3nn[n-]n3)nn2)CCCC1 ZINC000826155334 608433192 /nfs/dbraw/zinc/43/31/92/608433192.db2.gz MMVGAKIXOIAQBG-UHFFFAOYSA-N -1 1 303.370 1.666 20 0 DDADMM O=C1NCCN(Cc2ccc(-c3nnn[n-]3)o2)[C@@H]1c1ccccc1 ZINC000826482364 608655094 /nfs/dbraw/zinc/65/50/94/608655094.db2.gz BUERNRMCOSNGQB-CQSZACIVSA-N -1 1 324.344 1.133 20 0 DDADMM O=C1NCCN(Cc2ccc(-c3nn[n-]n3)o2)[C@@H]1c1ccccc1 ZINC000826482364 608655096 /nfs/dbraw/zinc/65/50/96/608655096.db2.gz BUERNRMCOSNGQB-CQSZACIVSA-N -1 1 324.344 1.133 20 0 DDADMM O=c1cc(CNc2c3ccccc3nnc2-c2nnn[n-]2)cc[nH]1 ZINC000826510711 608668262 /nfs/dbraw/zinc/66/82/62/608668262.db2.gz GYZJFMKFDWYFKG-UHFFFAOYSA-N -1 1 320.316 1.523 20 0 DDADMM O=c1cc(CNc2c3ccccc3nnc2-c2nn[n-]n2)cc[nH]1 ZINC000826510711 608668264 /nfs/dbraw/zinc/66/82/64/608668264.db2.gz GYZJFMKFDWYFKG-UHFFFAOYSA-N -1 1 320.316 1.523 20 0 DDADMM C[C@]1(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCCOC1 ZINC000824784007 609296463 /nfs/dbraw/zinc/29/64/63/609296463.db2.gz MIXKLCPNCIUSKD-AWEZNQCLSA-N -1 1 303.322 1.328 20 0 DDADMM CC[C@H]1C[C@H](CC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCO1 ZINC000825180982 609392894 /nfs/dbraw/zinc/39/28/94/609392894.db2.gz BLUILKITNNUITP-ZJUUUORDSA-N -1 1 319.369 1.134 20 0 DDADMM CC[C@H]1C[C@H](CC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCO1 ZINC000825180982 609392895 /nfs/dbraw/zinc/39/28/95/609392895.db2.gz BLUILKITNNUITP-ZJUUUORDSA-N -1 1 319.369 1.134 20 0 DDADMM C[C@@H](O)[C@@H]1CCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000824690527 609569249 /nfs/dbraw/zinc/56/92/49/609569249.db2.gz SUDHDUWZDRUHGM-PHDIDXHHSA-N -1 1 300.775 1.184 20 0 DDADMM C[C@@H](O)[C@@H]1CCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000824690527 609569251 /nfs/dbraw/zinc/56/92/51/609569251.db2.gz SUDHDUWZDRUHGM-PHDIDXHHSA-N -1 1 300.775 1.184 20 0 DDADMM OCC1(CNc2c3ccccc3nnc2-c2nnn[n-]2)CCC1 ZINC000826508230 609606253 /nfs/dbraw/zinc/60/62/53/609606253.db2.gz IPHPOOPCPUKVBP-UHFFFAOYSA-N -1 1 311.349 1.384 20 0 DDADMM OCC1(CNc2c3ccccc3nnc2-c2nn[n-]n2)CCC1 ZINC000826508230 609606254 /nfs/dbraw/zinc/60/62/54/609606254.db2.gz IPHPOOPCPUKVBP-UHFFFAOYSA-N -1 1 311.349 1.384 20 0 DDADMM C[S@@](=O)CCNC(=O)c1ccc(Br)cc1[O-] ZINC000122808596 696725509 /nfs/dbraw/zinc/72/55/09/696725509.db2.gz FACVIAICSZBKFP-INIZCTEOSA-N -1 1 306.181 1.263 20 0 DDADMM CC1(C(=O)N2CC[C@@H]3CN(C(=O)c4ncccc4[O-])[C@@H]3C2)CC1 ZINC000971902071 695119706 /nfs/dbraw/zinc/11/97/06/695119706.db2.gz GLJMOJREEQVIRH-VXGBXAGGSA-N -1 1 315.373 1.260 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)C2CCCCC2)C1)c1ncccc1[O-] ZINC000972910375 695360743 /nfs/dbraw/zinc/36/07/43/695360743.db2.gz GUWYKSOHNGIJAW-JOCQHMNTSA-N -1 1 317.389 1.745 20 0 DDADMM CC(C)(C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000973199767 695444311 /nfs/dbraw/zinc/44/43/11/695444311.db2.gz SLPHWHUBVYYWQG-HAQNSBGRSA-N -1 1 317.389 1.600 20 0 DDADMM CCC(=O)N1CCC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC000973684369 695511277 /nfs/dbraw/zinc/51/12/77/695511277.db2.gz RZGAGMIVZZUTJQ-QWHCGFSZSA-N -1 1 317.389 1.650 20 0 DDADMM CC(Nc1ccnn1C1CCCC1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009950267 696037825 /nfs/dbraw/zinc/03/78/25/696037825.db2.gz XBULGMIZNSNCRO-UHFFFAOYSA-N -1 1 319.390 1.215 20 0 DDADMM O=C(COC(=O)c1ccsc1)N[N-]C(=O)c1cccs1 ZINC000022537442 696078196 /nfs/dbraw/zinc/07/81/96/696078196.db2.gz IURUCISSHQZRRY-UHFFFAOYSA-N -1 1 310.356 1.428 20 0 DDADMM COCCn1cc(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)cn1 ZINC000747207034 700060052 /nfs/dbraw/zinc/06/00/52/700060052.db2.gz DUWIYEQNQWNCLD-UHFFFAOYSA-N -1 1 319.365 1.709 20 0 DDADMM Cc1ccc(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)o1 ZINC000030443532 696114352 /nfs/dbraw/zinc/11/43/52/696114352.db2.gz OWXXBYZCCDIMOY-UHFFFAOYSA-N -1 1 303.771 1.496 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2c(C)noc2C)o1 ZINC000042263990 696147295 /nfs/dbraw/zinc/14/72/95/696147295.db2.gz KUWUIRRQLLXHEA-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCC[C@H](C(N)=O)C1 ZINC000747400342 700068073 /nfs/dbraw/zinc/06/80/73/700068073.db2.gz ZCADGGUIESFZFL-QWRGUYRKSA-N -1 1 320.393 1.388 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977616856 696235935 /nfs/dbraw/zinc/23/59/35/696235935.db2.gz IYYSENOBYYWAII-NWDGAFQWSA-N -1 1 305.378 1.506 20 0 DDADMM COc1cc[n-]c(=NNC(=O)C2=NO[C@H](c3ccccc3)C2)n1 ZINC000053463531 696266679 /nfs/dbraw/zinc/26/66/79/696266679.db2.gz GAFNBXMISSFRQG-LBPRGKRZSA-N -1 1 313.317 1.446 20 0 DDADMM CCC(N[C@H](C)c1ccccc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000054501953 696276639 /nfs/dbraw/zinc/27/66/39/696276639.db2.gz FYXOBWXNBXRDQB-SECBINFHSA-N -1 1 303.387 1.532 20 0 DDADMM CC(C)(C)c1ccc(CCCC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000067105526 696359241 /nfs/dbraw/zinc/35/92/41/696359241.db2.gz FUDJOQXSPRZGMM-UHFFFAOYSA-N -1 1 317.389 1.890 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000079956103 696465412 /nfs/dbraw/zinc/46/54/12/696465412.db2.gz WOZHVWITOCENIH-CMPLNLGQSA-N -1 1 305.403 1.595 20 0 DDADMM CC[C@@](C)(NC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000080117117 696530661 /nfs/dbraw/zinc/53/06/61/696530661.db2.gz QDZGNHAZRUVTEG-XHDPSFHLSA-N -1 1 305.403 1.775 20 0 DDADMM CC(C)(CNC(=O)Cc1sc(N)nc1[O-])c1cccc(F)c1 ZINC000080365076 696533402 /nfs/dbraw/zinc/53/34/02/696533402.db2.gz KNEHDQBKVZQFGD-NSHDSACASA-N -1 1 323.393 1.566 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC2(c3ccccc3F)CC2)s1 ZINC000080378871 696533717 /nfs/dbraw/zinc/53/37/17/696533717.db2.gz WAAVZSKTVNWFHJ-SNVBAGLBSA-N -1 1 307.350 1.278 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)CC(=O)OC(C)(C)C ZINC000081626500 696546583 /nfs/dbraw/zinc/54/65/83/696546583.db2.gz ZILFWUDXYSXBCR-UHFFFAOYSA-N -1 1 323.393 1.615 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)N[N-]C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000092208705 696593116 /nfs/dbraw/zinc/59/31/16/696593116.db2.gz IZSQXBRKXSBVJV-JQWIXIFHSA-N -1 1 314.345 1.263 20 0 DDADMM CCc1nn(C)cc1C(=O)NCCn1c(CC)n[n-]c1=S ZINC000092476759 696595223 /nfs/dbraw/zinc/59/52/23/696595223.db2.gz XWDDXSWMVVHCEP-UHFFFAOYSA-N -1 1 308.411 1.229 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)CCSC)o1 ZINC000748061850 700097519 /nfs/dbraw/zinc/09/75/19/700097519.db2.gz BTZMVUGAPIDUIQ-VIFPVBQESA-N -1 1 321.420 1.876 20 0 DDADMM Cc1csc(CNC(=O)c2nnc3ccccc3c2O)n1 ZINC000109938120 696638558 /nfs/dbraw/zinc/63/85/58/696638558.db2.gz SLJVZRJUIOANBQ-UHFFFAOYSA-N -1 1 300.343 1.618 20 0 DDADMM Cc1cnccc1[N-]S(=O)(=O)c1cccc2c1COC2=O ZINC000112496572 696651485 /nfs/dbraw/zinc/65/14/85/696651485.db2.gz VAHQYMYMPNHWCB-UHFFFAOYSA-N -1 1 304.327 1.861 20 0 DDADMM Cc1cc(C(=O)OCCc2c(C)nc3[n-]cnn3c2=O)oc1C ZINC000130908821 696802602 /nfs/dbraw/zinc/80/26/02/696802602.db2.gz VOYBGKSNLXTKAB-UHFFFAOYSA-N -1 1 316.317 1.335 20 0 DDADMM Cc1ccc(C(=O)[N-]NC(=O)NCc2ccco2)c(Cl)n1 ZINC000138895288 696862132 /nfs/dbraw/zinc/86/21/32/696862132.db2.gz GLSLVBSFBDJHHS-UHFFFAOYSA-N -1 1 308.725 1.781 20 0 DDADMM O=C(N[C@@H]1CC[C@H](CNC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000980770846 696883154 /nfs/dbraw/zinc/88/31/54/696883154.db2.gz NNPYMUIHAPQUSD-WCQYABFASA-N -1 1 317.389 1.602 20 0 DDADMM Cn1cc(C(=O)Nc2nc(Br)ccc2[O-])ccc1=O ZINC000156359049 696961977 /nfs/dbraw/zinc/96/19/77/696961977.db2.gz RMCGGQJWHVYMCA-UHFFFAOYSA-N -1 1 324.134 1.501 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CCSC ZINC000158555846 696991755 /nfs/dbraw/zinc/99/17/55/696991755.db2.gz KSZHFDVBCGOGAO-MRVPVSSYSA-N -1 1 321.424 1.006 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)c2ccoc2)C1)c1ncccc1[O-] ZINC000982442788 697129450 /nfs/dbraw/zinc/12/94/50/697129450.db2.gz BUSLVTYKMNRTRJ-NSHDSACASA-N -1 1 315.329 1.272 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2cccc(SC)c2)C1 ZINC000748769410 700133708 /nfs/dbraw/zinc/13/37/08/700133708.db2.gz NLIXHWWULGPYMV-NSHDSACASA-N -1 1 324.402 1.456 20 0 DDADMM COCCCNC(=O)CN(C)C(=O)c1c([O-])cccc1Cl ZINC000748843196 700138189 /nfs/dbraw/zinc/13/81/89/700138189.db2.gz CJCBLMBHYUGLTM-UHFFFAOYSA-N -1 1 314.769 1.270 20 0 DDADMM CC1(O)CCN(Cn2[n-]c(-c3ccccn3)nc2=S)CC1 ZINC000177183205 697410570 /nfs/dbraw/zinc/41/05/70/697410570.db2.gz MSKJIRBBVHLIBH-UHFFFAOYSA-N -1 1 305.407 1.807 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1CC1 ZINC000984800966 697430797 /nfs/dbraw/zinc/43/07/97/697430797.db2.gz HKWHUEFUJZGBKA-QWHCGFSZSA-N -1 1 317.389 1.697 20 0 DDADMM O=C(Nc1nc2ccc(C(F)(F)F)cc2[nH]1)c1cc(=O)[nH][n-]1 ZINC000749297757 700155188 /nfs/dbraw/zinc/15/51/88/700155188.db2.gz MNRGPIPFWIGOJY-UHFFFAOYSA-N -1 1 311.223 1.396 20 0 DDADMM Cc1ccccc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000985904189 697599308 /nfs/dbraw/zinc/59/93/08/697599308.db2.gz SNVHKHIUJIAILA-WCQYABFASA-N -1 1 315.377 1.212 20 0 DDADMM NC(=O)CCn1ccc(NCc2c([O-])ccc(Cl)c2F)n1 ZINC000191341790 697605173 /nfs/dbraw/zinc/60/51/73/697605173.db2.gz KGAFNAAPTBAYJT-UHFFFAOYSA-N -1 1 312.732 1.869 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC1([S@](C)=O)CC1 ZINC000799775279 700166074 /nfs/dbraw/zinc/16/60/74/700166074.db2.gz PAWFSGXKXLNKQA-NRFANRHFSA-N -1 1 311.407 1.255 20 0 DDADMM O=C(c1cc(F)ccc1F)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773150776 697719337 /nfs/dbraw/zinc/71/93/37/697719337.db2.gz KFUKNPCFGYZABL-JTQLQIEISA-N -1 1 311.317 1.408 20 0 DDADMM O=C(c1cc2ccccc2o1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773156477 697720519 /nfs/dbraw/zinc/72/05/19/697720519.db2.gz BMLNGTYWWDBJKQ-SNVBAGLBSA-N -1 1 315.358 1.876 20 0 DDADMM O=C(CCCn1cccc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773160067 697721562 /nfs/dbraw/zinc/72/15/62/697721562.db2.gz GMURXMVZHWFQAH-NSHDSACASA-N -1 1 306.395 1.098 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1=CCCCCC1 ZINC000986187022 697725743 /nfs/dbraw/zinc/72/57/43/697725743.db2.gz JNQBRGFZAKKLSP-AAEUAGOBSA-N -1 1 319.409 1.480 20 0 DDADMM CCCN(CC)c1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000195487957 697745106 /nfs/dbraw/zinc/74/51/06/697745106.db2.gz CBKHLAMMBDYHJA-UHFFFAOYSA-N -1 1 304.350 1.120 20 0 DDADMM Cc1nc(CCNC(=O)c2ccc3n[n-]c(=S)n3c2)cs1 ZINC000773750601 697800366 /nfs/dbraw/zinc/80/03/66/697800366.db2.gz VRHGKSHMFNFCPL-UHFFFAOYSA-N -1 1 319.415 1.755 20 0 DDADMM C[C@@H](O)C[C@H]1CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774069288 697839988 /nfs/dbraw/zinc/83/99/88/697839988.db2.gz OVGBRNTVGHKFCY-MWLCHTKSSA-N -1 1 306.391 1.394 20 0 DDADMM Cc1cc(C)n([C@@H](C)C(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000774927066 697942845 /nfs/dbraw/zinc/94/28/45/697942845.db2.gz SLEWDUBNJQCMSY-JTQLQIEISA-N -1 1 321.299 1.673 20 0 DDADMM CN(C[C@H]1CCN(C(=O)C2CCC2)C1)C(=O)c1ncccc1[O-] ZINC000987649394 698182400 /nfs/dbraw/zinc/18/24/00/698182400.db2.gz USPDTCOPNOUSJT-GFCCVEGCSA-N -1 1 317.389 1.508 20 0 DDADMM CC1(C)CC(NC(=O)CCn2cc[n-]c(=O)c2=O)CC(C)(C)C1 ZINC000777418030 698188585 /nfs/dbraw/zinc/18/85/85/698188585.db2.gz DVYBWTKKDOXHPH-UHFFFAOYSA-N -1 1 321.421 1.648 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987670534 698191981 /nfs/dbraw/zinc/19/19/81/698191981.db2.gz FKMWJRYSIWHARQ-JUTKWBCOSA-N -1 1 303.362 1.473 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(C)(F)F ZINC000987670584 698192113 /nfs/dbraw/zinc/19/21/13/698192113.db2.gz GIPYSBNXPVFZJH-BDAKNGLRSA-N -1 1 313.304 1.162 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cc[nH]c1 ZINC000987686709 698198094 /nfs/dbraw/zinc/19/80/94/698198094.db2.gz CBHRTSFTAUTDOK-PWSUYJOCSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@@H](CCCO)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000778020923 698237913 /nfs/dbraw/zinc/23/79/13/698237913.db2.gz WZGKWNWJJVXWLB-ZETCQYMHSA-N -1 1 313.206 1.828 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OC[C@H]1CCCCO1 ZINC000778105822 698241792 /nfs/dbraw/zinc/24/17/92/698241792.db2.gz OMLSWPYOPOTZCQ-SECBINFHSA-N -1 1 319.404 1.846 20 0 DDADMM COC(=O)[C@H](C)OC(=O)c1ccc(Br)c([O-])c1 ZINC000778827505 698373389 /nfs/dbraw/zinc/37/33/89/698373389.db2.gz QGQQGXJLXYPORW-LURJTMIESA-N -1 1 303.108 1.873 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CC(C)(C)C1 ZINC000988778500 698462477 /nfs/dbraw/zinc/46/24/77/698462477.db2.gz QFHQUIQSFMWNLG-KOLCDFICSA-N -1 1 307.398 1.026 20 0 DDADMM CCOC(=O)Oc1ccc(C(=O)Nc2ccncc2[O-])cc1 ZINC000800525659 700230705 /nfs/dbraw/zinc/23/07/05/700230705.db2.gz PAFMHFIZOUVBEK-UHFFFAOYSA-N -1 1 302.286 1.997 20 0 DDADMM COc1cccc([C@@H](CNC(=O)CCCC(=O)[O-])N(C)C)c1 ZINC000314269575 698688230 /nfs/dbraw/zinc/68/82/30/698688230.db2.gz OBWDWPYJRNPIOD-CQSZACIVSA-N -1 1 308.378 1.669 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)C(=O)[C@H](N)Cc1c[nH]c2ccccc12 ZINC000318763459 698707315 /nfs/dbraw/zinc/70/73/15/698707315.db2.gz LLETYKPKFCIFMR-TZMCWYRMSA-N -1 1 303.362 1.359 20 0 DDADMM O=C(COC(=O)Cc1cncs1)[N-]C(=O)c1ccccc1 ZINC000782358299 698714274 /nfs/dbraw/zinc/71/42/74/698714274.db2.gz OLVGOHXAIPDBCM-UHFFFAOYSA-N -1 1 304.327 1.185 20 0 DDADMM O=S(=O)([N-]N=c1ccc(C(F)(F)F)c[nH]1)c1ccco1 ZINC000783525985 698847254 /nfs/dbraw/zinc/84/72/54/698847254.db2.gz DLZBULIACQCDBZ-UHFFFAOYSA-N -1 1 307.253 1.999 20 0 DDADMM Cc1nnsc1C[N-]S(=O)(=O)c1cccnc1Cl ZINC000384188782 699071292 /nfs/dbraw/zinc/07/12/92/699071292.db2.gz ZPAKWHUJYJHMPR-UHFFFAOYSA-N -1 1 304.784 1.373 20 0 DDADMM C[C@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)CC(C)(C)C ZINC000785425125 699076082 /nfs/dbraw/zinc/07/60/82/699076082.db2.gz HDXMLYABZYTHBX-GFCCVEGCSA-N -1 1 309.410 1.505 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-])C(C)(C)O ZINC000394005930 699105341 /nfs/dbraw/zinc/10/53/41/699105341.db2.gz DRFNGBUZMLUYMH-ZETCQYMHSA-N -1 1 306.315 1.172 20 0 DDADMM Cc1cc(S(=O)(=O)NCC(F)(F)C(=O)[O-])sc1Cl ZINC000394149907 699105862 /nfs/dbraw/zinc/10/58/62/699105862.db2.gz SDJLTQZSAJCILD-UHFFFAOYSA-N -1 1 319.738 1.708 20 0 DDADMM CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000786351656 699142080 /nfs/dbraw/zinc/14/20/80/699142080.db2.gz MZQZPNKPXFVCPQ-UTUOFQBUSA-N -1 1 311.382 1.526 20 0 DDADMM O=C(NC1CN(C(=O)c2ccccc2F)C1)c1ncccc1[O-] ZINC000990969095 699195487 /nfs/dbraw/zinc/19/54/87/699195487.db2.gz JYYQSIRQBMPVQD-UHFFFAOYSA-N -1 1 315.304 1.181 20 0 DDADMM C[C@@H]1CC[C@@H](CC(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990974062 699196683 /nfs/dbraw/zinc/19/66/83/699196683.db2.gz FGLONFPACIUFOY-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM COC(=O)C(C)(C)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703037685 699234986 /nfs/dbraw/zinc/23/49/86/699234986.db2.gz BMNGSHYGNFZSFB-UHFFFAOYSA-N -1 1 324.761 1.352 20 0 DDADMM CCC[C@@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)OCC ZINC000705332777 699240342 /nfs/dbraw/zinc/24/03/42/699240342.db2.gz VCOMULOAQXDGLR-VIFPVBQESA-N -1 1 309.819 1.557 20 0 DDADMM CC(C)(O)CONc1nc(=O)c2cnn(-c3ccccc3)c2[n-]1 ZINC000787887318 699251639 /nfs/dbraw/zinc/25/16/39/699251639.db2.gz BGWJOYGBLIXOLU-UHFFFAOYSA-N -1 1 315.333 1.636 20 0 DDADMM COC(=O)CCN(CC(=O)[O-])Cc1ccc(F)cc1Cl ZINC000715710240 699276238 /nfs/dbraw/zinc/27/62/38/699276238.db2.gz OSWNXRYHKNUPER-UHFFFAOYSA-N -1 1 303.717 1.929 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)CCC(F)(F)F ZINC000790225642 699448173 /nfs/dbraw/zinc/44/81/73/699448173.db2.gz PNYMFJWQQMTMDG-VIFPVBQESA-N -1 1 317.329 1.590 20 0 DDADMM Cc1nc(C(C)C)sc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732092305 699546025 /nfs/dbraw/zinc/54/60/25/699546025.db2.gz XIINQTKYEDAABF-SECBINFHSA-N -1 1 322.394 1.302 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cccc(C(=O)N(C)C)c1 ZINC000732217117 699550837 /nfs/dbraw/zinc/55/08/37/699550837.db2.gz AIGUWPPZZRHICD-UHFFFAOYSA-N -1 1 309.347 1.188 20 0 DDADMM O=C(NCc1nc(Cc2ccccc2)no1)c1cncc([O-])c1 ZINC000732280349 699553500 /nfs/dbraw/zinc/55/35/00/699553500.db2.gz XQMDJKJMHPVNHF-UHFFFAOYSA-N -1 1 310.313 1.691 20 0 DDADMM CC[C@@](C)(NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000733151831 699581019 /nfs/dbraw/zinc/58/10/19/699581019.db2.gz FJUBSESZQVRRMJ-QGZVFWFLSA-N -1 1 315.373 1.368 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H](O)c3ccsc3)ccnc1-2 ZINC000791091035 699611037 /nfs/dbraw/zinc/61/10/37/699611037.db2.gz WMURVCCJGOGVJS-GFCCVEGCSA-N -1 1 317.374 1.258 20 0 DDADMM CCc1nc(CCNc2ccc(Cl)c(-c3nnn[n-]3)n2)no1 ZINC000736699202 699730587 /nfs/dbraw/zinc/73/05/87/699730587.db2.gz KJZIZJIUSCCUOQ-UHFFFAOYSA-N -1 1 320.744 1.515 20 0 DDADMM CCc1nc(CCNc2ccc(Cl)c(-c3nn[n-]n3)n2)no1 ZINC000736699202 699730588 /nfs/dbraw/zinc/73/05/88/699730588.db2.gz KJZIZJIUSCCUOQ-UHFFFAOYSA-N -1 1 320.744 1.515 20 0 DDADMM O=C(CCCc1ccccn1)N1CCC(c2nn[n-]n2)CC1 ZINC000737835193 699745940 /nfs/dbraw/zinc/74/59/40/699745940.db2.gz FQHFPGJMVHIMMT-UHFFFAOYSA-N -1 1 300.366 1.324 20 0 DDADMM COC(=O)c1oc(CN2CC[C@H](NC(=O)[O-])[C@@H](C)C2)cc1C ZINC000739791432 699779537 /nfs/dbraw/zinc/77/95/37/699779537.db2.gz HPKCCANPNGSQJQ-JQWIXIFHSA-N -1 1 310.350 1.853 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@H]1C1CCCCC1 ZINC000741731269 699849776 /nfs/dbraw/zinc/84/97/76/699849776.db2.gz ONDVCDDURBTQEY-STQMWFEESA-N -1 1 305.378 1.012 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)CC[C@@H](O)c2ccccc2)n[n-]1 ZINC000795463722 699870093 /nfs/dbraw/zinc/87/00/93/699870093.db2.gz PGOVMWFLVBRETN-GFCCVEGCSA-N -1 1 323.418 2.000 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ccc(CF)cc2)n1 ZINC000795466905 699870439 /nfs/dbraw/zinc/87/04/39/699870439.db2.gz GFLFMALGFCERFE-UHFFFAOYSA-N -1 1 312.322 1.640 20 0 DDADMM O=C(c1cccc(F)c1Cl)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000742650575 699885031 /nfs/dbraw/zinc/88/50/31/699885031.db2.gz UTVGVKWWFDKCOA-SECBINFHSA-N -1 1 311.704 1.206 20 0 DDADMM O=C(COC(=O)C[C@@H]1CCCOC1)[N-]C(=O)c1ccccc1 ZINC000795909590 699900935 /nfs/dbraw/zinc/90/09/35/699900935.db2.gz IZKDWXYVOBGOHJ-LBPRGKRZSA-N -1 1 305.330 1.303 20 0 DDADMM O=C([C@@H]1CC[C@@H](C(F)(F)F)C1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000796263991 699922241 /nfs/dbraw/zinc/92/22/41/699922241.db2.gz IRNNOHWGIATDNH-HLTSFMKQSA-N -1 1 319.287 1.078 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N(C(C)C)C(C)C)[n-]1 ZINC000796345266 699928115 /nfs/dbraw/zinc/92/81/15/699928115.db2.gz NWWFVCPZEWZEGY-UHFFFAOYSA-N -1 1 310.350 1.604 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)c2cc(C)[nH]c2C)[n-]1 ZINC000796362301 699929169 /nfs/dbraw/zinc/92/91/69/699929169.db2.gz NTWCCSOFTGMUMO-UHFFFAOYSA-N -1 1 304.302 1.786 20 0 DDADMM COC(=O)[C@H](C)OC(=O)c1nn(-c2ccc(Cl)cc2)cc1[O-] ZINC000801372919 700301529 /nfs/dbraw/zinc/30/15/29/700301529.db2.gz NUVTZPZJQZOIAL-QMMMGPOBSA-N -1 1 324.720 1.950 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2CC2(C)C)cc1 ZINC000751798804 700316305 /nfs/dbraw/zinc/31/63/05/700316305.db2.gz WVJZKAMDWIQYRP-GFCCVEGCSA-N -1 1 305.330 1.541 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cnn(CC(F)(F)F)c3)ccnc1-2 ZINC000801702940 700332285 /nfs/dbraw/zinc/33/22/85/700332285.db2.gz YJKWETDYQCXMET-UHFFFAOYSA-N -1 1 324.266 1.353 20 0 DDADMM COC(=O)Cc1cccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000801701679 700332706 /nfs/dbraw/zinc/33/27/06/700332706.db2.gz TZHSIWVUCPJXHB-UHFFFAOYSA-N -1 1 324.340 1.310 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc(OC(F)F)cn3)ccnc1-2 ZINC000801704172 700333172 /nfs/dbraw/zinc/33/31/72/700333172.db2.gz DJHTUILIZLIZJH-UHFFFAOYSA-N -1 1 319.271 1.591 20 0 DDADMM CCCC[C@H](CCC)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000753775193 700456536 /nfs/dbraw/zinc/45/65/36/700456536.db2.gz IIURMXFVJFWZPL-ZDUSSCGKSA-N -1 1 309.410 1.649 20 0 DDADMM Cn1[n-]c(CN2CCC([C@H](O)c3ccccc3)CC2)nc1=O ZINC000753999962 700475332 /nfs/dbraw/zinc/47/53/32/700475332.db2.gz SSZJSEOSHOGPTN-OAHLLOKOSA-N -1 1 302.378 1.054 20 0 DDADMM O=C(/C=C\SCc1ccco1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000754239075 700491468 /nfs/dbraw/zinc/49/14/68/700491468.db2.gz VSQOKEGKTKVUQH-KGHNQEBZSA-N -1 1 321.362 1.140 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2c(C)noc2C)co1 ZINC000756401179 700619828 /nfs/dbraw/zinc/61/98/28/700619828.db2.gz IUWVOVSCOGMRQS-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM NC(=O)[C@@H]([N-]C(=O)C(F)(F)c1nccs1)c1ccccc1 ZINC000756412787 700620367 /nfs/dbraw/zinc/62/03/67/700620367.db2.gz MTCGCNLMPNRJRL-VIFPVBQESA-N -1 1 311.313 1.578 20 0 DDADMM O=C(COC(=O)c1cncc(Cl)n1)[N-]C(=O)c1ccccc1 ZINC000758638344 700717282 /nfs/dbraw/zinc/71/72/82/700717282.db2.gz DFYHEWAOKHOZHZ-UHFFFAOYSA-N -1 1 319.704 1.243 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC3COC(C)(C)OC3)cnc2n1 ZINC000758731117 700720816 /nfs/dbraw/zinc/72/08/16/700720816.db2.gz OPDQKKTTXQGOFV-UHFFFAOYSA-N -1 1 317.345 1.525 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC1COC(C)(C)OC1)c2=O ZINC000758731117 700720817 /nfs/dbraw/zinc/72/08/17/700720817.db2.gz OPDQKKTTXQGOFV-UHFFFAOYSA-N -1 1 317.345 1.525 20 0 DDADMM O=C(CC1(O)CCCCC1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000760139647 700793105 /nfs/dbraw/zinc/79/31/05/700793105.db2.gz WDZLCJXTXDRBLF-AWEZNQCLSA-N -1 1 315.377 1.491 20 0 DDADMM CCO[C@H](CC(=O)OCC(=O)[N-]C(=O)c1ccccc1)C(C)C ZINC000761414835 700859596 /nfs/dbraw/zinc/85/95/96/700859596.db2.gz YPMFRMISOZJYHY-CQSZACIVSA-N -1 1 321.373 1.937 20 0 DDADMM CCc1nnc([C@@H](C)NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)[nH]1 ZINC000765233659 701004035 /nfs/dbraw/zinc/00/40/35/701004035.db2.gz BPIDEYJXHAVFJZ-SECBINFHSA-N -1 1 318.381 1.786 20 0 DDADMM COc1ccc(S[C@@H](C)C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765458162 701013677 /nfs/dbraw/zinc/01/36/77/701013677.db2.gz BFZGQLSTYCCVCJ-VIFPVBQESA-N -1 1 323.374 1.341 20 0 DDADMM CC(C)[C@H](CC(=O)OCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000765468918 701014342 /nfs/dbraw/zinc/01/43/42/701014342.db2.gz VNXFCPPBGAAONQ-ZDUSSCGKSA-N -1 1 303.362 1.982 20 0 DDADMM C[C@H](CCOc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765486124 701015519 /nfs/dbraw/zinc/01/55/19/701015519.db2.gz DLMQBRAUFDMYKV-LLVKDONJSA-N -1 1 305.334 1.257 20 0 DDADMM C/C(=C/c1cccc(Cl)c1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765498384 701016474 /nfs/dbraw/zinc/01/64/74/701016474.db2.gz VZDUICREPUQCIG-TWGQIWQCSA-N -1 1 307.737 1.909 20 0 DDADMM CC[C@H](C)Oc1cc(C(=O)OCc2nc(=O)n(C)[n-]2)ccn1 ZINC000765504409 701016686 /nfs/dbraw/zinc/01/66/86/701016686.db2.gz WJPZBGZAYNIKNQ-VIFPVBQESA-N -1 1 306.322 1.038 20 0 DDADMM C/C(=C\c1ccccc1Cl)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765504365 701016710 /nfs/dbraw/zinc/01/67/10/701016710.db2.gz ZZBBRBNDAUYMKC-VQHVLOKHSA-N -1 1 307.737 1.909 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(OC3CCCC3)nc2)nc1=O ZINC000765516728 701017513 /nfs/dbraw/zinc/01/75/13/701017513.db2.gz WQZNLPBDIYTIJR-UHFFFAOYSA-N -1 1 318.333 1.182 20 0 DDADMM COC(=O)c1cnoc1COC(=O)c1c([O-])cc(F)cc1F ZINC000803321282 701101380 /nfs/dbraw/zinc/10/13/80/701101380.db2.gz IKXAROHJULJTAO-UHFFFAOYSA-N -1 1 313.212 1.802 20 0 DDADMM CC(C)[C@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccccc1 ZINC000805605871 701398693 /nfs/dbraw/zinc/39/86/93/701398693.db2.gz QWYYZZBRFNVYCB-AWEZNQCLSA-N -1 1 302.334 1.475 20 0 DDADMM O=C(OCc1ccn(-c2c(F)cccc2F)n1)c1cn[n-]n1 ZINC000805606100 701399155 /nfs/dbraw/zinc/39/91/55/701399155.db2.gz SLWZNNDXUFFJKJ-UHFFFAOYSA-N -1 1 305.244 1.626 20 0 DDADMM C[C@@H]1CCc2ccccc2N1C(=O)COC(=O)c1cn[n-]n1 ZINC000805606453 701399163 /nfs/dbraw/zinc/39/91/63/701399163.db2.gz XLZLUANAVINNFF-SNVBAGLBSA-N -1 1 300.318 1.329 20 0 DDADMM CC(C)Oc1cccc(CNC(=O)COC(=O)c2cn[n-]n2)c1 ZINC000805606748 701399204 /nfs/dbraw/zinc/39/92/04/701399204.db2.gz MIHDAPPHDPIHLX-UHFFFAOYSA-N -1 1 318.333 1.065 20 0 DDADMM Cc1cccc([C@@H](O)C[N-]S(=O)(=O)c2c[nH]nc2Cl)c1 ZINC000830896384 706599145 /nfs/dbraw/zinc/59/91/45/706599145.db2.gz DKQRHXFRAJSASF-JTQLQIEISA-N -1 1 315.782 1.383 20 0 DDADMM CCOC(=O)CN1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000805785019 701409449 /nfs/dbraw/zinc/40/94/49/701409449.db2.gz BRGDXYIHTHEGBQ-QXEWZRGKSA-N -1 1 310.316 1.327 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCCSC2)o1 ZINC000806051589 701423193 /nfs/dbraw/zinc/42/31/93/701423193.db2.gz VANVLUJWKDFIQM-VIFPVBQESA-N -1 1 319.404 1.488 20 0 DDADMM O=C(/C=C/COc1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000830905965 706600837 /nfs/dbraw/zinc/60/08/37/706600837.db2.gz IWSKVACCTFFJFQ-NEXMIYJRSA-N -1 1 313.361 1.541 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3CCC(C)(C)O3)ccnc1-2 ZINC000806493336 701437975 /nfs/dbraw/zinc/43/79/75/701437975.db2.gz UNIYPASTGSINIS-JTQLQIEISA-N -1 1 303.366 1.421 20 0 DDADMM Cc1cscc1NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807019330 701458512 /nfs/dbraw/zinc/45/85/12/701458512.db2.gz XURWIKLPFQHIOE-UHFFFAOYSA-N -1 1 315.358 1.289 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2CCC23CCOCC3)sn1 ZINC000867775716 701742250 /nfs/dbraw/zinc/74/22/50/701742250.db2.gz HKDWOXFLKNBNED-LLVKDONJSA-N -1 1 316.448 1.937 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCCC2CC2)o1 ZINC000867783135 701747815 /nfs/dbraw/zinc/74/78/15/701747815.db2.gz CVEKPXLIABFXMF-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952355 706609571 /nfs/dbraw/zinc/60/95/71/706609571.db2.gz HDODAROQJXARCC-BXKDBHETSA-N -1 1 321.377 1.075 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-]Cc1nn(C)cc1C(F)F ZINC000867794152 701753424 /nfs/dbraw/zinc/75/34/24/701753424.db2.gz NMUXMKUUVOMEAU-UHFFFAOYSA-N -1 1 306.294 1.133 20 0 DDADMM NS(=O)(=O)CCCCCCC(=O)Nc1c([O-])cccc1F ZINC000839683184 701782679 /nfs/dbraw/zinc/78/26/79/701782679.db2.gz LILIMWKUOCGCRD-UHFFFAOYSA-N -1 1 318.370 1.709 20 0 DDADMM COC(=O)[C@H](CC1CC1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000839814767 701836063 /nfs/dbraw/zinc/83/60/63/701836063.db2.gz RZXKYJDZLNQYMF-JTQLQIEISA-N -1 1 320.374 1.090 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(OC(F)F)c(F)c2)nc1=O ZINC000815486720 701881998 /nfs/dbraw/zinc/88/19/98/701881998.db2.gz OLOPJICDCRJZFS-UHFFFAOYSA-N -1 1 317.223 1.206 20 0 DDADMM O=C(COC(=O)[C@H]1CCCSC1)[N-]C(=O)c1ccccc1 ZINC000811411631 701982463 /nfs/dbraw/zinc/98/24/63/701982463.db2.gz JGQHSAAKHQCDKA-LBPRGKRZSA-N -1 1 307.371 1.629 20 0 DDADMM CC[C@@H](C)C[C@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868318968 702064110 /nfs/dbraw/zinc/06/41/10/702064110.db2.gz KFPMVECGVZJJEP-MNOVXSKESA-N -1 1 322.409 1.879 20 0 DDADMM O=C(/C=C\c1cccs1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831117955 706637913 /nfs/dbraw/zinc/63/79/13/706637913.db2.gz YAQLRVXYFPQWRW-ARJAWSKDSA-N -1 1 318.320 1.898 20 0 DDADMM Cc1ccncc1CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831117995 706637999 /nfs/dbraw/zinc/63/79/99/706637999.db2.gz YUYJPQHHBORQFX-UHFFFAOYSA-N -1 1 315.295 1.069 20 0 DDADMM O=C(O[C@H]1CNOC1)c1cc(Cl)cc(Br)c1[O-] ZINC000816572360 702115758 /nfs/dbraw/zinc/11/57/58/702115758.db2.gz MHAFULHQHXLDSH-LURJTMIESA-N -1 1 322.542 1.868 20 0 DDADMM COC(OC)[C@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000816615261 702126494 /nfs/dbraw/zinc/12/64/94/702126494.db2.gz RLFORORORIEQCH-VIFPVBQESA-N -1 1 305.334 1.381 20 0 DDADMM COC(OC)[C@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000816615261 702126497 /nfs/dbraw/zinc/12/64/97/702126497.db2.gz RLFORORORIEQCH-VIFPVBQESA-N -1 1 305.334 1.381 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)c2ccncn2)C1)C(F)(F)F ZINC000812274755 702133234 /nfs/dbraw/zinc/13/32/34/702133234.db2.gz KUIFLRZLWILVRY-SECBINFHSA-N -1 1 316.283 1.007 20 0 DDADMM CC(C)n1ccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000831145216 706644121 /nfs/dbraw/zinc/64/41/21/706644121.db2.gz RXGOJNWLDDSJTM-UHFFFAOYSA-N -1 1 318.299 1.215 20 0 DDADMM Cn1ncc(CCCNC(=O)Cc2ccc([O-])c(Cl)c2)n1 ZINC000868567850 702202276 /nfs/dbraw/zinc/20/22/76/702202276.db2.gz YSRCXYMZEXNERZ-UHFFFAOYSA-N -1 1 308.769 1.466 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-]CC1(C)OCCCO1 ZINC000817139305 702285089 /nfs/dbraw/zinc/28/50/89/702285089.db2.gz SSXZMNHICKVRII-GFCCVEGCSA-N -1 1 309.428 1.120 20 0 DDADMM CC(C)(C)n1cnc(-n2c([O-])c(CC(F)(F)F)[nH]c2=O)n1 ZINC000813362446 702338965 /nfs/dbraw/zinc/33/89/65/702338965.db2.gz BYGNFTAFANFJNT-ZCFIWIBFSA-N -1 1 305.260 1.410 20 0 DDADMM CC(C)[C@H](O)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000813637797 702388263 /nfs/dbraw/zinc/38/82/63/702388263.db2.gz VTSJHRRFVLHZGU-NAKRPEOUSA-N -1 1 324.343 1.307 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCC[C@H]2n2cccn2)[n-]1 ZINC000817758104 702469702 /nfs/dbraw/zinc/46/97/02/702469702.db2.gz VEPVLNGTGUEJDC-CHWSQXEVSA-N -1 1 303.318 1.948 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)/C=C/c1ccccc1F ZINC000841534886 702485180 /nfs/dbraw/zinc/48/51/80/702485180.db2.gz NIJNFKKAWWIMIB-GUOLPTJISA-N -1 1 313.350 1.667 20 0 DDADMM CC[C@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CCCOC1 ZINC000879414456 706673707 /nfs/dbraw/zinc/67/37/07/706673707.db2.gz KXYPKWFWWUCIAS-YPMHNXCESA-N -1 1 317.393 1.669 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1cn(C)c(Cl)n1)CC(F)(F)F ZINC000841563684 702499165 /nfs/dbraw/zinc/49/91/65/702499165.db2.gz UKTOZQUAYKABLT-LURJTMIESA-N -1 1 319.736 1.940 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@@H]1CN(C2CC2)C(=O)O1 ZINC000869212616 702512851 /nfs/dbraw/zinc/51/28/51/702512851.db2.gz GLYSHGZRMIOYOE-LLVKDONJSA-N -1 1 324.764 1.688 20 0 DDADMM Cc1ccccc1C(C)(C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000818331141 702636685 /nfs/dbraw/zinc/63/66/85/702636685.db2.gz HHDWLWZHVUYWHB-UHFFFAOYSA-N -1 1 315.373 1.287 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@H]1C[C@@H](O)c1cccs1 ZINC000866402060 706690062 /nfs/dbraw/zinc/69/00/62/706690062.db2.gz GDUDRIKASSPMRO-WDEREUQCSA-N -1 1 321.406 1.309 20 0 DDADMM NCC(F)(F)C[N-]S(=O)(=O)/C=C/c1cccc(Cl)c1 ZINC000842769774 702771160 /nfs/dbraw/zinc/77/11/60/702771160.db2.gz VPALYMAOSQFIIX-SNAWJCMRSA-N -1 1 310.753 1.824 20 0 DDADMM Cc1ccc(OCC[N-]S(=O)(=O)N=S(C)(C)=O)c(C)c1 ZINC000866425405 706696140 /nfs/dbraw/zinc/69/61/40/706696140.db2.gz SVUXEWBYQRWOKI-UHFFFAOYSA-N -1 1 320.436 1.244 20 0 DDADMM CC[C@@H]1CN(C(=O)COCC(=O)[O-])CC[N@H+]1Cc1ccccc1 ZINC000843330641 702860776 /nfs/dbraw/zinc/86/07/76/702860776.db2.gz RQAAEXRQEIUOMD-OAHLLOKOSA-N -1 1 320.389 1.211 20 0 DDADMM CC[C@@H]1CN(C(=O)COCC(=O)[O-])CCN1Cc1ccccc1 ZINC000843330641 702860778 /nfs/dbraw/zinc/86/07/78/702860778.db2.gz RQAAEXRQEIUOMD-OAHLLOKOSA-N -1 1 320.389 1.211 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H](C1CCC1)C1CCCC1 ZINC000843993528 702950217 /nfs/dbraw/zinc/95/02/17/702950217.db2.gz OJKOTACBOGUBEC-HNNXBMFYSA-N -1 1 319.405 1.402 20 0 DDADMM CCO[N-]C(=O)CNCc1c(C)nn(-c2ccccc2)c1Cl ZINC000846089981 703236354 /nfs/dbraw/zinc/23/63/54/703236354.db2.gz BBWOHAMDKVMCRL-UHFFFAOYSA-N -1 1 322.796 1.991 20 0 DDADMM CCO[N-]C(=O)CNCc1csc(-c2ccc(OC)cc2)n1 ZINC000846091268 703236685 /nfs/dbraw/zinc/23/66/85/703236685.db2.gz PKKZBLIMPKGNDV-UHFFFAOYSA-N -1 1 321.402 1.976 20 0 DDADMM CSc1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)ccc1C ZINC000846622159 703304730 /nfs/dbraw/zinc/30/47/30/703304730.db2.gz PCQNRBHVOSPOPC-UHFFFAOYSA-N -1 1 319.386 1.596 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCc2c1cccc2F ZINC000866590840 706738567 /nfs/dbraw/zinc/73/85/67/706738567.db2.gz WLPPGEQRMMAANE-BUXKBTBVSA-N -1 1 320.411 1.765 20 0 DDADMM Cc1ccncc1C=CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847081627 703375443 /nfs/dbraw/zinc/37/54/43/703375443.db2.gz UNLKBXWHINPABZ-DSYXLKISSA-N -1 1 314.345 1.898 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H]1CC1CCCC1 ZINC000848355819 703542491 /nfs/dbraw/zinc/54/24/91/703542491.db2.gz PBVLCDOLDIDBIZ-AWEZNQCLSA-N -1 1 319.405 1.498 20 0 DDADMM C[C@@H]1CCN(C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)C1 ZINC000848507135 703559183 /nfs/dbraw/zinc/55/91/83/703559183.db2.gz IARFLGRYDKIQAF-GMTAPVOTSA-N -1 1 321.343 1.980 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cnsn2)c1 ZINC000848767889 703586326 /nfs/dbraw/zinc/58/63/26/703586326.db2.gz RLUGVXLEXICTTP-HXUWFJFHSA-N -1 1 312.372 1.398 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC/C=C/C(F)(F)F)co1 ZINC000851286898 703790147 /nfs/dbraw/zinc/79/01/47/703790147.db2.gz GVMGUVCEVOHNPB-NSCUHMNNSA-N -1 1 313.253 1.463 20 0 DDADMM O=C([O-])[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CC1CC=CC1 ZINC000852733606 704109320 /nfs/dbraw/zinc/10/93/20/704109320.db2.gz SUEDHUKOAAUDKB-WDEREUQCSA-N -1 1 320.311 1.549 20 0 DDADMM CNC(=O)NC[C@H]1CCCCN1C(=O)c1cc(F)ccc1[O-] ZINC000870759520 704123220 /nfs/dbraw/zinc/12/32/20/704123220.db2.gz DOPRFXOUTWAWKG-LLVKDONJSA-N -1 1 309.341 1.455 20 0 DDADMM CNC(=O)NC[C@H]1CCCCN1C(=O)c1ccc([O-])cc1F ZINC000870759518 704123246 /nfs/dbraw/zinc/12/32/46/704123246.db2.gz DNKSDUHGBWGZKV-SNVBAGLBSA-N -1 1 309.341 1.455 20 0 DDADMM CC(C)c1ocnc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000819971144 704194743 /nfs/dbraw/zinc/19/47/43/704194743.db2.gz YJCZRUSZYPBYRE-VIFPVBQESA-N -1 1 306.322 1.906 20 0 DDADMM C[C@@H]1CCCC[C@H]1OCC[N-]S(=O)(=O)N=S(C)(C)=O ZINC000820786903 704331012 /nfs/dbraw/zinc/33/10/12/704331012.db2.gz ZVOCVSLDQVBARV-GHMZBOCLSA-N -1 1 312.457 1.144 20 0 DDADMM CCC(C)(C)NC(=O)COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820847337 704337972 /nfs/dbraw/zinc/33/79/72/704337972.db2.gz ATFAZFAUEXUKBM-UHFFFAOYSA-N -1 1 323.378 1.390 20 0 DDADMM CCC(C)(C)NC(=O)COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820847337 704337975 /nfs/dbraw/zinc/33/79/75/704337975.db2.gz ATFAZFAUEXUKBM-UHFFFAOYSA-N -1 1 323.378 1.390 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H]2CC[C@@H](OC)C2)c1 ZINC000821005891 704358469 /nfs/dbraw/zinc/35/84/69/704358469.db2.gz JJEQQSSNJUOJQI-SQHYZVFZSA-N -1 1 312.387 1.914 20 0 DDADMM CC(=O)Nc1cccc([N-]S(=O)(=O)N=S(C)(C)=O)c1 ZINC000821116386 704373197 /nfs/dbraw/zinc/37/31/97/704373197.db2.gz MIURCJYLYZVFRD-UHFFFAOYSA-N -1 1 305.381 1.029 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C1(c2ccccc2F)CC1 ZINC000866813641 706811506 /nfs/dbraw/zinc/81/15/06/706811506.db2.gz XHJKHVLDOMZGFZ-IBGZPJMESA-N -1 1 320.411 1.767 20 0 DDADMM CC(=O)N1C[C@H](C(=O)Nc2c(C)[n-][nH]c2=O)Oc2ccccc21 ZINC000854635918 704430717 /nfs/dbraw/zinc/43/07/17/704430717.db2.gz ZGTOOIYACLHIMX-GFCCVEGCSA-N -1 1 316.317 1.176 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1C[C@H](NC(=O)OC(C)(C)C)C1 ZINC000854635098 704430878 /nfs/dbraw/zinc/43/08/78/704430878.db2.gz LJOPGRFBABLYIO-KYZUINATSA-N -1 1 310.354 1.666 20 0 DDADMM O=C(NCC[S@@](=O)CC(F)(F)F)C(=O)c1ccc([O-])cc1 ZINC000855267575 704476139 /nfs/dbraw/zinc/47/61/39/704476139.db2.gz CJZNWEMXCRDSNK-OAQYLSRUSA-N -1 1 323.292 1.002 20 0 DDADMM CS[C@@H](C)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418630 704528114 /nfs/dbraw/zinc/52/81/14/704528114.db2.gz HOFTVHGJAMQXIG-VGMNWLOBSA-N -1 1 312.357 1.653 20 0 DDADMM CCO[C@H](CC)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418565 704528140 /nfs/dbraw/zinc/52/81/40/704528140.db2.gz FXMWMTFQIQTXNH-OUAUKWLOSA-N -1 1 324.343 1.717 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)Cc1cc2ccccc2[nH]c1=O ZINC000857287301 704574560 /nfs/dbraw/zinc/57/45/60/704574560.db2.gz NTABQVIWNGVIFV-CQSZACIVSA-N -1 1 304.346 1.548 20 0 DDADMM Cn1nccc1[C@H](O)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000857365137 704584806 /nfs/dbraw/zinc/58/48/06/704584806.db2.gz JNZGNUYSOUVOJV-OAHLLOKOSA-N -1 1 316.361 1.107 20 0 DDADMM CCOC(=O)N[C@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858351330 704698848 /nfs/dbraw/zinc/69/88/48/704698848.db2.gz OZQKPDWBXYFBQX-QMMMGPOBSA-N -1 1 300.746 1.551 20 0 DDADMM O=c1nc(NC[C@@H]2COC3(CCOCC3)O2)cc(Cl)[n-]1 ZINC000858468020 704715280 /nfs/dbraw/zinc/71/52/80/704715280.db2.gz BOEUKHPAHJANHU-MRVPVSSYSA-N -1 1 301.730 1.170 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1ccc(Cl)cn1 ZINC000867239497 706934771 /nfs/dbraw/zinc/93/47/71/706934771.db2.gz QTYJYEFDRJNUGP-ZETCQYMHSA-N -1 1 311.816 1.358 20 0 DDADMM O=C1N(CCNc2cc(Cl)[n-]c(=O)n2)C[C@@H]2CCCCN12 ZINC000858632820 704737720 /nfs/dbraw/zinc/73/77/20/704737720.db2.gz HUQMVITXOFSVMM-VIFPVBQESA-N -1 1 311.773 1.538 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc2c(c1)[C@H](C)CC(=O)O2 ZINC000859243634 704831982 /nfs/dbraw/zinc/83/19/82/704831982.db2.gz ZPZMGUREXQJLBI-MRVPVSSYSA-N -1 1 322.342 1.898 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(N2CCCC2)c(F)c1 ZINC000874103157 704943530 /nfs/dbraw/zinc/94/35/30/704943530.db2.gz CKCSSXVWJDYSTP-UHFFFAOYSA-N -1 1 318.356 1.900 20 0 DDADMM CCn1nc(CN2CC[C@](OC)(C(=O)[O-])C2)c2ccccc21 ZINC000859820206 705013115 /nfs/dbraw/zinc/01/31/15/705013115.db2.gz REVIWPCKGRMLQO-MRXNPFEDSA-N -1 1 303.362 1.732 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)c1ccco1 ZINC000874387696 705032924 /nfs/dbraw/zinc/03/29/24/705032924.db2.gz FIXHYKICQVHJOX-XQQFMLRXSA-N -1 1 323.393 1.825 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000860144888 705112170 /nfs/dbraw/zinc/11/21/70/705112170.db2.gz YLFIMYLDZDZOFT-OHTBPHCPSA-N -1 1 319.357 1.546 20 0 DDADMM CCO[C@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C(C)C ZINC000860371523 705167971 /nfs/dbraw/zinc/16/79/71/705167971.db2.gz QPSQANATNQSJJS-AWEZNQCLSA-N -1 1 307.346 1.547 20 0 DDADMM CCOC1CC2(C[C@H]2NC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000874804217 705176027 /nfs/dbraw/zinc/17/60/27/705176027.db2.gz HBDXIWMNYGNECH-LOIXOFCESA-N -1 1 318.402 1.705 20 0 DDADMM O=C([O-])C[C@@]1(NC(=O)c2[nH]nc3c2CCCC3)CCCOC1 ZINC000823344662 705213681 /nfs/dbraw/zinc/21/36/81/705213681.db2.gz HTQGCXDNKKCDCZ-HNNXBMFYSA-N -1 1 307.350 1.042 20 0 DDADMM COc1ccc2c(c1)CC[C@@H]2C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000860786233 705282155 /nfs/dbraw/zinc/28/21/55/705282155.db2.gz ZNRPLLKYCPPDOG-HZMBPMFUSA-N -1 1 315.377 1.500 20 0 DDADMM CCO[C@H]1COCC[C@@H]1CC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000875632181 705457001 /nfs/dbraw/zinc/45/70/01/705457001.db2.gz AMKPGLSHKQPSEM-RISCZKNCSA-N -1 1 318.377 1.112 20 0 DDADMM Cn1nc(C2CCOCC2)cc1NC(=O)[N-]O[C@H]1CCCCO1 ZINC000861516832 705490478 /nfs/dbraw/zinc/49/04/78/705490478.db2.gz SUKLYFWPHZOFIA-AWEZNQCLSA-N -1 1 324.381 1.894 20 0 DDADMM C[C@@H]1C[C@@H](CCNC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000824875966 705549371 /nfs/dbraw/zinc/54/93/71/705549371.db2.gz PWTXYRJDAHSJPY-MNOVXSKESA-N -1 1 316.365 1.197 20 0 DDADMM C[C@@H]1C[C@@H](CCNC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000824875966 705549377 /nfs/dbraw/zinc/54/93/77/705549377.db2.gz PWTXYRJDAHSJPY-MNOVXSKESA-N -1 1 316.365 1.197 20 0 DDADMM C[C@H](NC(=O)NCc1ccc([O-])c(Cl)c1)C(=O)NCCF ZINC000876396639 705708537 /nfs/dbraw/zinc/70/85/37/705708537.db2.gz LFKJHEVCFRTXMS-QMMMGPOBSA-N -1 1 317.748 1.319 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(C)cc(C)n2)c1-c1nnn[n-]1 ZINC000826265731 705785840 /nfs/dbraw/zinc/78/58/40/705785840.db2.gz LDBKBRNTNXPUIX-UHFFFAOYSA-N -1 1 313.321 1.356 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(C)cc(C)n2)c1-c1nn[n-]n1 ZINC000826265731 705785843 /nfs/dbraw/zinc/78/58/43/705785843.db2.gz LDBKBRNTNXPUIX-UHFFFAOYSA-N -1 1 313.321 1.356 20 0 DDADMM Cc1cc(Nc2ccc(-c3nnn[n-]3)nn2)ccc1OCCO ZINC000826271140 705786519 /nfs/dbraw/zinc/78/65/19/705786519.db2.gz FIZFLYTUXDENAQ-UHFFFAOYSA-N -1 1 313.321 1.080 20 0 DDADMM Cc1cc(Nc2ccc(-c3nn[n-]n3)nn2)ccc1OCCO ZINC000826271140 705786524 /nfs/dbraw/zinc/78/65/24/705786524.db2.gz FIZFLYTUXDENAQ-UHFFFAOYSA-N -1 1 313.321 1.080 20 0 DDADMM O=C(OCc1ccc(CO)cc1)c1ccc(-c2nnn[n-]2)s1 ZINC000826465328 705802905 /nfs/dbraw/zinc/80/29/05/705802905.db2.gz IEDDSFNPYDLRAA-UHFFFAOYSA-N -1 1 316.342 1.778 20 0 DDADMM O=C(OCc1ccc(CO)cc1)c1ccc(-c2nn[n-]n2)s1 ZINC000826465328 705802908 /nfs/dbraw/zinc/80/29/08/705802908.db2.gz IEDDSFNPYDLRAA-UHFFFAOYSA-N -1 1 316.342 1.778 20 0 DDADMM c1ccc(OC[C@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826522508 705808209 /nfs/dbraw/zinc/80/82/09/705808209.db2.gz DQCCOMXVPQNMOV-LBPRGKRZSA-N -1 1 323.360 1.562 20 0 DDADMM c1ccc(OC[C@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826522508 705808211 /nfs/dbraw/zinc/80/82/11/705808211.db2.gz DQCCOMXVPQNMOV-LBPRGKRZSA-N -1 1 323.360 1.562 20 0 DDADMM CC(C)c1cccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000826833809 705881056 /nfs/dbraw/zinc/88/10/56/705881056.db2.gz IHYYNAXDXREXAF-ZDUSSCGKSA-N -1 1 301.350 1.537 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CO[C@H](C2CC2)C1 ZINC000863285115 705889505 /nfs/dbraw/zinc/88/95/05/705889505.db2.gz GAPDCPSHGIKAOQ-NEPJUHHUSA-N -1 1 305.378 1.911 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]CC(=O)OC1CCCCC1)C1CC1 ZINC000827315678 705973796 /nfs/dbraw/zinc/97/37/96/705973796.db2.gz UEAOBATTYMAUIC-ZDUSSCGKSA-N -1 1 319.423 1.207 20 0 DDADMM CC[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H]1CCCO1 ZINC000827353566 705983926 /nfs/dbraw/zinc/98/39/26/705983926.db2.gz FXQKAUQYBVQSOW-QWRGUYRKSA-N -1 1 306.391 1.705 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2(C)CC(F)(F)C2)o1 ZINC000881523082 707285595 /nfs/dbraw/zinc/28/55/95/707285595.db2.gz ZEIDDBQCIKGXEX-UHFFFAOYSA-N -1 1 322.333 1.353 20 0 DDADMM C[C@H]1[C@@H](Cc2ccccc2)CC[N@H+]1Cn1cc(C(=O)[O-])nn1 ZINC000828150251 706128271 /nfs/dbraw/zinc/12/82/71/706128271.db2.gz PIGIEGHIXGJACM-GXTWGEPZSA-N -1 1 300.362 1.887 20 0 DDADMM C[C@H]1[C@@H](Cc2ccccc2)CCN1Cn1cc(C(=O)[O-])nn1 ZINC000828150251 706128275 /nfs/dbraw/zinc/12/82/75/706128275.db2.gz PIGIEGHIXGJACM-GXTWGEPZSA-N -1 1 300.362 1.887 20 0 DDADMM C[C@H]1CN(c2nc(CC[N-]C(=O)C(F)(F)F)cs2)CCO1 ZINC000864369490 706148555 /nfs/dbraw/zinc/14/85/55/706148555.db2.gz UMRBDSXSSZAIKA-QMMMGPOBSA-N -1 1 323.340 1.589 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCOCC2(CCC2)C1 ZINC000828456221 706183329 /nfs/dbraw/zinc/18/33/29/706183329.db2.gz YAFOHXAUSYMEQI-UHFFFAOYSA-N -1 1 318.402 1.661 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1ccc(OC)nc1 ZINC000872332406 707384649 /nfs/dbraw/zinc/38/46/49/707384649.db2.gz JFXMACLUIGSOIJ-UHFFFAOYSA-N -1 1 307.397 1.255 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC000828689987 706217970 /nfs/dbraw/zinc/21/79/70/706217970.db2.gz WZNQJBQQFAPLHP-ZSBIGDGJSA-N -1 1 317.389 1.697 20 0 DDADMM O=C(C(=O)N1CCc2[nH]cnc2C12CCC2)c1ccc([O-])cc1 ZINC000829071095 706283940 /nfs/dbraw/zinc/28/39/40/706283940.db2.gz UNYHEVSAVBXYLE-UHFFFAOYSA-N -1 1 311.341 1.762 20 0 DDADMM CC(=O)c1ccc(OCC(=O)CC[N-]C(=O)C(F)(F)F)cc1 ZINC000865341033 706409399 /nfs/dbraw/zinc/40/93/99/706409399.db2.gz GODCRCJEKSLPHV-UHFFFAOYSA-N -1 1 317.263 1.906 20 0 DDADMM Cc1noc(C)c1NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000878515291 706413362 /nfs/dbraw/zinc/41/33/62/706413362.db2.gz AJUXJZXNWZWLTG-UHFFFAOYSA-N -1 1 320.271 1.434 20 0 DDADMM O=c1[n-]c(CN2CCCC[C@@H]2c2cc[nH]n2)nc2c1COCC2 ZINC000878601839 706441071 /nfs/dbraw/zinc/44/10/71/706441071.db2.gz OHJYDMGXDBZNRO-CQSZACIVSA-N -1 1 315.377 1.705 20 0 DDADMM Cc1cc(F)ccc1[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000830374533 706505461 /nfs/dbraw/zinc/50/54/61/706505461.db2.gz GQLCSYORWJPWIU-NSHDSACASA-N -1 1 319.336 1.252 20 0 DDADMM CCO[C@H](C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C(C)C ZINC000830790114 706580129 /nfs/dbraw/zinc/58/01/29/706580129.db2.gz NVMFRUGNQLCXJO-GWCFXTLKSA-N -1 1 324.343 1.717 20 0 DDADMM CCCCC[C@@H](O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830794725 706581053 /nfs/dbraw/zinc/58/10/53/706581053.db2.gz ANOTZDLGMJSORZ-MFKMUULPSA-N -1 1 324.343 1.597 20 0 DDADMM CCC(=O)C1(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000830796268 706581412 /nfs/dbraw/zinc/58/14/12/706581412.db2.gz ZBHBXWFFWPEXBL-GFCCVEGCSA-N -1 1 320.311 1.415 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCCSC2)C1 ZINC000830802672 706582601 /nfs/dbraw/zinc/58/26/01/706582601.db2.gz ZZVAEVFRKAEPEI-CABZTGNLSA-N -1 1 324.368 1.799 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@]2(C)CCCOC2)C1 ZINC000830807229 706583061 /nfs/dbraw/zinc/58/30/61/706583061.db2.gz FQCWJQCPLOUYJE-CHWSQXEVSA-N -1 1 322.327 1.473 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2CCC(=O)CC2)C1 ZINC000830823775 706587511 /nfs/dbraw/zinc/58/75/11/706587511.db2.gz WKDUXMWPZYLCDN-CYBMUJFWSA-N -1 1 320.311 1.415 20 0 DDADMM CC(C)SCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830832589 706589342 /nfs/dbraw/zinc/58/93/42/706589342.db2.gz GQVLJXOAFQZLQC-NSHDSACASA-N -1 1 312.357 1.798 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-][C@@H]1CCSC1)c1ccccc1 ZINC000866885333 706835029 /nfs/dbraw/zinc/83/50/29/706835029.db2.gz FQNGPSHBESXUAE-MGNBDDOMSA-N -1 1 320.461 1.483 20 0 DDADMM O=C(CC(F)(F)C(F)(F)F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000866901734 706840186 /nfs/dbraw/zinc/84/01/86/706840186.db2.gz NEFFXTVCMBSAKS-LURJTMIESA-N -1 1 313.230 1.493 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CC1(CO)CCCCC1 ZINC000866934731 706849107 /nfs/dbraw/zinc/84/91/07/706849107.db2.gz PEILMLIJHMLDQP-UHFFFAOYSA-N -1 1 324.468 1.025 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)C(C)(C)C ZINC000866937369 706849935 /nfs/dbraw/zinc/84/99/35/706849935.db2.gz FJNGVTBWJXFFSG-SNVBAGLBSA-N -1 1 320.436 1.708 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCC1CC2(C1)OCCO2 ZINC000832164210 706857721 /nfs/dbraw/zinc/85/77/21/706857721.db2.gz CINLJUXCMZOLAI-UHFFFAOYSA-N -1 1 311.765 1.857 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCOCC[C@@H]2C)c1 ZINC000867058015 706885010 /nfs/dbraw/zinc/88/50/10/706885010.db2.gz JOGFYSWMRUXFEE-JTQLQIEISA-N -1 1 301.364 1.200 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)N=S1(=O)CCCC1)c1nccs1 ZINC000867100442 706896270 /nfs/dbraw/zinc/89/62/70/706896270.db2.gz KBKMTASPNZQIGZ-SECBINFHSA-N -1 1 323.465 1.343 20 0 DDADMM Cc1cnc(C(=O)NC[C@H]2CCC3(CCOCC3)O2)c([O-])c1 ZINC000832397240 706904123 /nfs/dbraw/zinc/90/41/23/706904123.db2.gz UBFIDXXMVADPSG-GFCCVEGCSA-N -1 1 306.362 1.554 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)[C@H](C(=O)[O-])C1 ZINC000909021278 712918702 /nfs/dbraw/zinc/91/87/02/712918702.db2.gz WCFRXYDXHZMCFC-BREBYQMCSA-N -1 1 306.366 1.162 20 0 DDADMM O=C([O-])CN(C(=O)c1n[nH]cc1C(F)(F)F)C1CCOCC1 ZINC000909022565 712919333 /nfs/dbraw/zinc/91/93/33/712919333.db2.gz PXWDZILELMOSGQ-UHFFFAOYSA-N -1 1 321.255 1.134 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC1CSC1 ZINC000880736758 707063263 /nfs/dbraw/zinc/06/32/63/707063263.db2.gz RXPKHMOCXZCUDK-UHFFFAOYSA-N -1 1 306.391 1.216 20 0 DDADMM COC(=O)[C@@H]1O[C@@H](C(=O)Nc2nc(Cl)ccc2[O-])C[C@H]1C ZINC000867712742 707082915 /nfs/dbraw/zinc/08/29/15/707082915.db2.gz GYOVILPODWEIKU-GTNGPMTGSA-N -1 1 314.725 1.346 20 0 DDADMM COC(=O)[C@]12C[C@H]1C[C@H](NC(=O)c1c(F)ccc([O-])c1F)C2 ZINC000871556555 707117020 /nfs/dbraw/zinc/11/70/20/707117020.db2.gz ZQGGDBYZRZPIEQ-KUTMEBCESA-N -1 1 311.284 1.742 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCC(=O)N2CCCC2)cc1 ZINC000871798024 707207772 /nfs/dbraw/zinc/20/77/72/707207772.db2.gz MTPWXXUDUSIKIV-UHFFFAOYSA-N -1 1 306.362 1.298 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCC(=O)N(C)C2CC2)cc1 ZINC000871797718 707207784 /nfs/dbraw/zinc/20/77/84/707207784.db2.gz AJLSVSHOIYGSGX-UHFFFAOYSA-N -1 1 306.362 1.296 20 0 DDADMM Cc1[n-]n(-c2nc3c(cnn3C(C)(C)C)c(=O)[nH]2)c(=O)c1F ZINC000871952205 707253369 /nfs/dbraw/zinc/25/33/69/707253369.db2.gz WQICAYUCHVZNTP-MRVPVSSYSA-N -1 1 306.301 1.348 20 0 DDADMM Cc1cncc(C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1 ZINC000872465561 707427882 /nfs/dbraw/zinc/42/78/82/707427882.db2.gz OWFIFFUGDCBJDI-IBGZPJMESA-N -1 1 319.452 1.621 20 0 DDADMM O=C([O-])[C@H](CC(F)F)NC(=O)NCc1ccc2cncn2c1 ZINC000909172129 712953458 /nfs/dbraw/zinc/95/34/58/712953458.db2.gz QMFMQOMUAGIBRS-JTQLQIEISA-N -1 1 312.276 1.242 20 0 DDADMM COCC1([N-]S(=O)(=O)N=[S@@](C)(=O)C2CCCCC2)CC1 ZINC000881995600 707458820 /nfs/dbraw/zinc/45/88/20/707458820.db2.gz WVHBMBTVFVLCBK-IBGZPJMESA-N -1 1 324.468 1.430 20 0 DDADMM CC1CCN(CC(=O)NC[C@H](Cc2ccccc2)C(=O)[O-])CC1 ZINC000909178730 712955673 /nfs/dbraw/zinc/95/56/73/712955673.db2.gz BVIRZTKATKJGGB-INIZCTEOSA-N -1 1 318.417 1.778 20 0 DDADMM CCN(CC(=O)NC[C@H](Cc1ccccc1)C(=O)[O-])C1CC1 ZINC000909179456 712955923 /nfs/dbraw/zinc/95/59/23/712955923.db2.gz NFJKBGCZDNWCDK-AWEZNQCLSA-N -1 1 304.390 1.530 20 0 DDADMM CC(C)(CO)O[N-]C(=O)Cc1ccccc1O[C@H]1CCOC1 ZINC000836805696 707520029 /nfs/dbraw/zinc/52/00/29/707520029.db2.gz HHABAZWDBDKDOH-ZDUSSCGKSA-N -1 1 309.362 1.216 20 0 DDADMM C[C@@H](O)CN(CC(F)F)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000837010331 707564348 /nfs/dbraw/zinc/56/43/48/707564348.db2.gz ZAVDXZSXBSLMOC-SSDOTTSWSA-N -1 1 316.333 1.106 20 0 DDADMM Cc1cncnc1N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000882303946 707576832 /nfs/dbraw/zinc/57/68/32/707576832.db2.gz DPRQOPUFEYUCPT-UHFFFAOYSA-N -1 1 302.300 1.680 20 0 DDADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]c1ccc(F)cc1F ZINC000882660749 707736168 /nfs/dbraw/zinc/73/61/68/707736168.db2.gz YKSUDENXIXAXCX-GOSISDBHSA-N -1 1 313.351 1.196 20 0 DDADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]c1cccc(F)c1F ZINC000882685047 707748443 /nfs/dbraw/zinc/74/84/43/707748443.db2.gz XZPKUWKQYJRPGB-SFHVURJKSA-N -1 1 313.351 1.196 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)CCC2CCCC2)n1 ZINC000882708681 707755943 /nfs/dbraw/zinc/75/59/43/707755943.db2.gz NDBTWHCQPCKHSK-UHFFFAOYSA-N -1 1 314.411 1.620 20 0 DDADMM Cc1cccc([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)c1F ZINC000882712209 707757946 /nfs/dbraw/zinc/75/79/46/707757946.db2.gz IKPUROSSCKDBEC-SFHVURJKSA-N -1 1 309.388 1.365 20 0 DDADMM C[C@@H](O)COC(=O)c1ccc(C(=O)OCc2ccccc2)[n-]1 ZINC000838084192 707836160 /nfs/dbraw/zinc/83/61/60/707836160.db2.gz ASNZQYULVUYCMK-LLVKDONJSA-N -1 1 303.314 1.909 20 0 DDADMM COC(=O)CCN(CC(=O)[O-])Cc1cc(F)c(F)cc1F ZINC000883519850 708020452 /nfs/dbraw/zinc/02/04/52/708020452.db2.gz DWHYMYWCDFRXRA-UHFFFAOYSA-N -1 1 305.252 1.554 20 0 DDADMM COCc1nc(N[C@H]2C[C@@](CO)(c3ccccc3)C2)cc(=O)[n-]1 ZINC000896698325 708123588 /nfs/dbraw/zinc/12/35/88/708123588.db2.gz XWOCVMODZBFJQA-XFHMXUHZSA-N -1 1 315.373 1.833 20 0 DDADMM O=C([O-])CCC1CCN(C(=O)CCCCc2cn[nH]n2)CC1 ZINC000909440311 713018780 /nfs/dbraw/zinc/01/87/80/713018780.db2.gz REXKJWNRWGZULN-UHFFFAOYSA-N -1 1 308.382 1.621 20 0 DDADMM C[C@@H](CN(C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21)C1CC1)C(=O)[O-] ZINC000909453374 713022277 /nfs/dbraw/zinc/02/22/77/713022277.db2.gz FQIOZCIGUAJQJV-GBIKHYSHSA-N -1 1 305.378 1.787 20 0 DDADMM C[S@](=O)C1(CNC(=O)c2ccc3ccc(O)cc3c2[O-])CC1 ZINC000897663588 708410787 /nfs/dbraw/zinc/41/07/87/708410787.db2.gz GATSDDDPZVMXNZ-QFIPXVFZSA-N -1 1 319.382 1.892 20 0 DDADMM CCN(CCOCCO)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897670714 708413887 /nfs/dbraw/zinc/41/38/87/708413887.db2.gz HKNDOLRELZAZGF-UHFFFAOYSA-N -1 1 319.357 1.722 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC([C@@H]2COC(=O)N2)CC1 ZINC000884909839 708416666 /nfs/dbraw/zinc/41/66/66/708416666.db2.gz IMEBJMINXUVCTH-LBPRGKRZSA-N -1 1 308.309 1.492 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@H](C)[C@H]1C ZINC000912528611 713030666 /nfs/dbraw/zinc/03/06/66/713030666.db2.gz YWHXSJOLNPKMHX-IQJOONFLSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1sccc1C ZINC000912535079 713031978 /nfs/dbraw/zinc/03/19/78/713031978.db2.gz PBGBTEHNLVNGNP-UHFFFAOYSA-N -1 1 315.376 1.045 20 0 DDADMM COC(=O)c1oc(C[N-]S(=O)(=O)c2ccns2)cc1C ZINC000885335201 708521902 /nfs/dbraw/zinc/52/19/02/708521902.db2.gz KVNSEIPYCGUUDB-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CC[C@@H](C)NC(=O)CC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000885376352 708529845 /nfs/dbraw/zinc/52/98/45/708529845.db2.gz DBZUIFWWAOLKJJ-SECBINFHSA-N -1 1 320.361 1.548 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000885620933 708586219 /nfs/dbraw/zinc/58/62/19/708586219.db2.gz QINSNBITIRSFPR-LURQLKTLSA-N -1 1 323.340 1.999 20 0 DDADMM O=C([N-]CC1CN(C(=O)N[C@@H]2C[C@H]3CCC[C@H]32)C1)C(F)(F)F ZINC000898257502 708588242 /nfs/dbraw/zinc/58/82/42/708588242.db2.gz WWAZQJMEVOIWPF-GMTAPVOTSA-N -1 1 319.327 1.495 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)[C@@H]1CCc2nncn2C1 ZINC000885678305 708595849 /nfs/dbraw/zinc/59/58/49/708595849.db2.gz MQLPGMAXJNPQGE-SSDOTTSWSA-N -1 1 310.716 1.977 20 0 DDADMM CO[C@@]1(C(=O)NCCc2c(F)cc([O-])cc2F)CCSC1 ZINC000886271876 708734003 /nfs/dbraw/zinc/73/40/03/708734003.db2.gz ZCXLMTSXSFNSKJ-AWEZNQCLSA-N -1 1 317.357 1.851 20 0 DDADMM CC(C)[C@@H](CO)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782361 713054347 /nfs/dbraw/zinc/05/43/47/713054347.db2.gz MECXSQJPJNFVSD-CYBMUJFWSA-N -1 1 302.321 1.529 20 0 DDADMM COC(=O)[C@@H]1C[C@H](NC(=O)c2ccc(Cl)cc2[O-])[C@H]2C[C@H]21 ZINC000886416278 708757346 /nfs/dbraw/zinc/75/73/46/708757346.db2.gz AOFOFELWTUOTPO-KXNHARMFSA-N -1 1 309.749 1.973 20 0 DDADMM CCOCCN(C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927785338 713055717 /nfs/dbraw/zinc/05/57/17/713055717.db2.gz KFCIRPOWDAGUOP-UHFFFAOYSA-N -1 1 302.321 1.891 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC000898753882 708855231 /nfs/dbraw/zinc/85/52/31/708855231.db2.gz SJHHVHVDCAEITJ-WDEREUQCSA-N -1 1 303.293 1.447 20 0 DDADMM C[C@@]1(C(=O)NCc2nc([O-])cc(=O)[nH]2)CCCc2ccccc21 ZINC000898753859 708855478 /nfs/dbraw/zinc/85/54/78/708855478.db2.gz RXCJWILFUIJHHX-QGZVFWFLSA-N -1 1 313.357 1.798 20 0 DDADMM COC[C@H]1COCCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000886866867 708871640 /nfs/dbraw/zinc/87/16/40/708871640.db2.gz RIMNZLZEACJZHX-JTQLQIEISA-N -1 1 319.279 1.898 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H](C1CC1)[C@@H]1CCCOC1 ZINC000921849437 713885331 /nfs/dbraw/zinc/88/53/31/713885331.db2.gz KOEQKXMWTIYORS-CLUVUEOHSA-N -1 1 324.468 1.144 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOc2ccccc2[C@H]1CO ZINC000887112783 708958609 /nfs/dbraw/zinc/95/86/09/708958609.db2.gz HKKHSWPEFQJQIN-GFCCVEGCSA-N -1 1 300.314 1.355 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc([O-])c(F)c2)CCS1(=O)=O ZINC000887176734 708981263 /nfs/dbraw/zinc/98/12/63/708981263.db2.gz HIGWSYSWZKSFQR-SCZZXKLOSA-N -1 1 301.339 1.227 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc(Cl)cc2[O-])CCS1(=O)=O ZINC000887190656 708986316 /nfs/dbraw/zinc/98/63/16/708986316.db2.gz NWIXGGLDGYWLKR-SCZZXKLOSA-N -1 1 317.794 1.741 20 0 DDADMM CN(C[C@H]1CCN1C(=O)OC(C)(C)C)C(=O)c1cncc([O-])c1 ZINC000887294159 709010007 /nfs/dbraw/zinc/01/00/07/709010007.db2.gz TYGNQXQSZAXLCI-GFCCVEGCSA-N -1 1 321.377 1.869 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCCn2nncc2C1 ZINC000899334475 709062488 /nfs/dbraw/zinc/06/24/88/709062488.db2.gz DEKWANHAMJISMP-UHFFFAOYSA-N -1 1 306.753 1.612 20 0 DDADMM Cn1nccc1[C@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CCO1 ZINC000887605893 709080846 /nfs/dbraw/zinc/08/08/46/709080846.db2.gz NKDLKBHYVKGKCE-CQSZACIVSA-N -1 1 321.764 1.993 20 0 DDADMM O=C(NCC1(C2(O)CCC2)CCC1)C(=O)c1ccc([O-])cc1 ZINC000899681551 709146736 /nfs/dbraw/zinc/14/67/36/709146736.db2.gz CVFYNWGVNBECTD-UHFFFAOYSA-N -1 1 303.358 1.776 20 0 DDADMM NC(=O)[C@]12CCC[C@H]1CN(C(=O)Cc1ccc([O-])c(Cl)c1)C2 ZINC000887941010 709174238 /nfs/dbraw/zinc/17/42/38/709174238.db2.gz ACILOAMAWNVXSG-ZBEGNZNMSA-N -1 1 322.792 1.702 20 0 DDADMM CC(C)N(CC(N)=O)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000899959667 709250309 /nfs/dbraw/zinc/25/03/09/709250309.db2.gz ZJZUFYMOKUAEMN-UHFFFAOYSA-N -1 1 305.309 1.415 20 0 DDADMM CCN(CCC(=O)NC)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000888274819 709262532 /nfs/dbraw/zinc/26/25/32/709262532.db2.gz SCORJLXDVBQOBR-UHFFFAOYSA-N -1 1 316.357 1.849 20 0 DDADMM Cn1ncc(CNCc2ccc3c(c2)CCCO3)c1C(=O)[O-] ZINC000900047882 709275821 /nfs/dbraw/zinc/27/58/21/709275821.db2.gz YEXCFVYMQKYZCU-UHFFFAOYSA-N -1 1 301.346 1.733 20 0 DDADMM COC(=O)[C@H]1C[C@@H]2C[C@H](NC(=O)c3c([O-])cccc3F)[C@@H]2C1 ZINC000888469101 709319522 /nfs/dbraw/zinc/31/95/22/709319522.db2.gz SBFRCWCQPOSZJO-KLBPJQLPSA-N -1 1 307.321 1.849 20 0 DDADMM C[C@@]1(NC(=O)c2ccc(C(F)(F)F)cc2[O-])CCNC1=O ZINC000889034752 709437315 /nfs/dbraw/zinc/43/73/15/709437315.db2.gz XNZDCXGJEYLZFR-GFCCVEGCSA-N -1 1 302.252 1.419 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(Cc2ccoc2)C2CC2)o1 ZINC000889097463 709447346 /nfs/dbraw/zinc/44/73/46/709447346.db2.gz KGNBTUVZVQWTSW-UHFFFAOYSA-N -1 1 324.358 1.586 20 0 DDADMM CC(C)(C(=O)[O-])[C@H]1CCCN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000909485842 709484317 /nfs/dbraw/zinc/48/43/17/709484317.db2.gz CVZZRNJKYHDLCP-JTQLQIEISA-N -1 1 316.361 1.921 20 0 DDADMM C[C@]1(NC(=O)Cc2n[nH]c3c2CCCC3)CCCC[C@@H]1C(=O)[O-] ZINC000909532465 709504028 /nfs/dbraw/zinc/50/40/28/709504028.db2.gz YCTGLZCYBTXXIQ-PXAZEXFGSA-N -1 1 319.405 1.981 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1C[C@H]1c1ccsc1)c1nn[n-]n1 ZINC000912858056 713109215 /nfs/dbraw/zinc/10/92/15/713109215.db2.gz CLQPTJBWYZPOLL-AXFHLTTASA-N -1 1 323.447 1.975 20 0 DDADMM CCS[C@H](C(=O)N[C@@H](CCSC)c1nn[n-]n1)C(C)C ZINC000912859727 713109513 /nfs/dbraw/zinc/10/95/13/713109513.db2.gz ABPSIZRLXCLCBP-UWVGGRQHSA-N -1 1 317.484 1.888 20 0 DDADMM CCCCO[C@@H](C)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912860758 713109944 /nfs/dbraw/zinc/10/99/44/713109944.db2.gz XMXUATHJCHIANN-UWVGGRQHSA-N -1 1 301.416 1.315 20 0 DDADMM CCc1ccnc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c1 ZINC000912862726 713110867 /nfs/dbraw/zinc/11/08/67/713110867.db2.gz WSJQIBPZJSCICQ-JTQLQIEISA-N -1 1 306.395 1.381 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1CCCCC1(F)F)c1nn[n-]n1 ZINC000912863221 713111456 /nfs/dbraw/zinc/11/14/56/713111456.db2.gz PDKBHYSWZCIYFO-IUCAKERBSA-N -1 1 319.381 1.936 20 0 DDADMM Cc1nnc(C[N-]C(=O)C(F)(F)c2c(F)cccc2F)[nH]1 ZINC000889704059 709589242 /nfs/dbraw/zinc/58/92/42/709589242.db2.gz DDVNFQFQCUHFFJ-UHFFFAOYSA-N -1 1 302.231 1.800 20 0 DDADMM COc1ccc([C@H](NC(=O)CN2CCCC2)C(=O)[O-])cc1F ZINC000909799790 709627683 /nfs/dbraw/zinc/62/76/83/709627683.db2.gz GMDNYPONHPVIFS-AWEZNQCLSA-N -1 1 310.325 1.172 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ncccc2F)[n-]c1=O ZINC000889785458 709634705 /nfs/dbraw/zinc/63/47/05/709634705.db2.gz HAFMCTYXCBRPML-JTQLQIEISA-N -1 1 318.308 1.702 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cncc(F)c2)[n-]c1=O ZINC000889791896 709638116 /nfs/dbraw/zinc/63/81/16/709638116.db2.gz OWAOLTRMMNFGIG-LLVKDONJSA-N -1 1 318.308 1.702 20 0 DDADMM CCN(CC(=O)N[C@H](C)[C@H](Cc1ccccc1)C(=O)[O-])C1CC1 ZINC000909823029 709640386 /nfs/dbraw/zinc/64/03/86/709640386.db2.gz NJSJBSQJAKQAKJ-CJNGLKHVSA-N -1 1 318.417 1.919 20 0 DDADMM CC1(C)C(=O)NCCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000900557851 709650609 /nfs/dbraw/zinc/65/06/09/709650609.db2.gz SWASEFUKRJOWSX-UHFFFAOYSA-N -1 1 314.341 1.602 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)Cc2n[nH]c3c2CCCC3)s1 ZINC000909849119 709651053 /nfs/dbraw/zinc/65/10/53/709651053.db2.gz ZPFQRCXWWDEJLV-UHFFFAOYSA-N -1 1 319.386 1.907 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2C[C@@]2(F)c2ccccc2)C1 ZINC000909851133 709651808 /nfs/dbraw/zinc/65/18/08/709651808.db2.gz MGHWOJNJXWUHNX-RVSPLBMKSA-N -1 1 320.364 1.537 20 0 DDADMM Cc1cc(C)c(CC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)s1 ZINC000900623694 709682677 /nfs/dbraw/zinc/68/26/77/709682677.db2.gz CADAQXFPTWFYKF-SNVBAGLBSA-N -1 1 307.379 1.021 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@H](NC(=O)CN(C)C2CCC2)C(=O)[O-])C1 ZINC000909910755 709683870 /nfs/dbraw/zinc/68/38/70/709683870.db2.gz BNNQNDORGBAERB-KYOSRNDESA-N -1 1 312.410 1.245 20 0 DDADMM CO[C@H]1CCCN(NC(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000900664409 709701237 /nfs/dbraw/zinc/70/12/37/709701237.db2.gz SFZCFIVVBOHONR-NSHDSACASA-N -1 1 316.361 1.399 20 0 DDADMM CO[C@H]1CCCN(NC(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000900664409 709701240 /nfs/dbraw/zinc/70/12/40/709701240.db2.gz SFZCFIVVBOHONR-NSHDSACASA-N -1 1 316.361 1.399 20 0 DDADMM O=C(NCC[C@H](NC1CC1)C(F)(F)F)c1cncc([O-])c1 ZINC000900824802 709779512 /nfs/dbraw/zinc/77/95/12/709779512.db2.gz URSVIDIPOYAZPG-NSHDSACASA-N -1 1 303.284 1.590 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)nc1C ZINC000910171641 709796759 /nfs/dbraw/zinc/79/67/59/709796759.db2.gz ZXMUOJZNPPGAMY-GFCCVEGCSA-N -1 1 305.378 1.319 20 0 DDADMM COC[C@@](C)(O)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900898902 709817525 /nfs/dbraw/zinc/81/75/25/709817525.db2.gz NNHRBAFAIYRLLB-HNNXBMFYSA-N -1 1 308.309 1.207 20 0 DDADMM CCN(C)[C@H](C(=O)N1C[C@@H](C)O[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000910228027 709826548 /nfs/dbraw/zinc/82/65/48/709826548.db2.gz LGWAPCRAYPLXMZ-SNPRPXQTSA-N -1 1 320.389 1.380 20 0 DDADMM CO[C@H]1CN(C(=O)c2cc(C(F)F)[nH]n2)[C@](C)(C(=O)[O-])C1 ZINC000910263577 709848191 /nfs/dbraw/zinc/84/81/91/709848191.db2.gz LVRYOLAQCINDTC-INWYIAFRSA-N -1 1 303.265 1.052 20 0 DDADMM CCC[C@H](NC(=O)C[C@H]1CCC2(CCC2)CO1)c1nn[n-]n1 ZINC000900984807 709862949 /nfs/dbraw/zinc/86/29/49/709862949.db2.gz SDIHFCFPVBPLGB-NEPJUHHUSA-N -1 1 307.398 1.897 20 0 DDADMM C[C@H]1COCCN1C1CCN(c2ccc(C(=O)[O-])cn2)CC1 ZINC000910383755 709917419 /nfs/dbraw/zinc/91/74/19/709917419.db2.gz LKLOXIHNFDNVKS-LBPRGKRZSA-N -1 1 305.378 1.469 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@H]2CCCc3[nH]ncc32)C[C@@]1(F)C(=O)[O-] ZINC000910548086 709994478 /nfs/dbraw/zinc/99/44/78/709994478.db2.gz AUEKEVSIDBRJGJ-FTGAXOIBSA-N -1 1 309.341 1.491 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@@H]2CCCc3[nH]ncc32)C[C@@]1(F)C(=O)[O-] ZINC000910548082 709994638 /nfs/dbraw/zinc/99/46/38/709994638.db2.gz AUEKEVSIDBRJGJ-FCHSOHFDSA-N -1 1 309.341 1.491 20 0 DDADMM O=C(NC1(CCO)CCCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000901358490 710023400 /nfs/dbraw/zinc/02/34/00/710023400.db2.gz WPUGCOGDVXQNJR-UHFFFAOYSA-N -1 1 305.378 1.875 20 0 DDADMM Cc1cc(NC(=O)C(C)(C)CN2CCOCC2)ccc1C(=O)[O-] ZINC000901477104 710050288 /nfs/dbraw/zinc/05/02/88/710050288.db2.gz LWOGUCAFSIJYED-UHFFFAOYSA-N -1 1 320.389 1.990 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(Cc2cn(-c3ccc(F)cc3)nn2)C1 ZINC000901513193 710063203 /nfs/dbraw/zinc/06/32/03/710063203.db2.gz PCCDQLOWARGSJH-HNNXBMFYSA-N -1 1 304.325 1.703 20 0 DDADMM COc1cc(CN2CC[C@](OC)(C(=O)[O-])C2)cc2c1OCCO2 ZINC000901543555 710071000 /nfs/dbraw/zinc/07/10/00/710071000.db2.gz VKNKTAMLSJQKBM-MRXNPFEDSA-N -1 1 323.345 1.142 20 0 DDADMM O=C(CSC1COC1)[N-]C(=O)c1ccc(OC(F)F)cc1 ZINC000901645224 710106697 /nfs/dbraw/zinc/10/66/97/710106697.db2.gz YCSLSOOYEHJTOW-UHFFFAOYSA-N -1 1 317.313 1.676 20 0 DDADMM CCCCOCCSc1nc([O-])cc(=O)n1CCOC ZINC000901657445 710110772 /nfs/dbraw/zinc/11/07/72/710110772.db2.gz QXXZMQPAJBPSAR-UHFFFAOYSA-N -1 1 302.396 1.504 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]Nc1c(F)cccc1Cl ZINC000901660293 710112138 /nfs/dbraw/zinc/11/21/38/710112138.db2.gz AHDSQAMZPQVKLX-UHFFFAOYSA-N -1 1 315.779 1.368 20 0 DDADMM O=C([O-])CCN(Cc1cc2c(cn1)OCCO2)C[C@@H]1CCCO1 ZINC000901678298 710116961 /nfs/dbraw/zinc/11/69/61/710116961.db2.gz OZGRSJJTAXXMDZ-ZDUSSCGKSA-N -1 1 322.361 1.309 20 0 DDADMM C[C@@](NCc1ccoc1)(C(=O)[O-])c1ccc(S(C)(=O)=O)cc1 ZINC000901866917 710162734 /nfs/dbraw/zinc/16/27/34/710162734.db2.gz CRJRCPDNESUWDW-HNNXBMFYSA-N -1 1 323.370 1.773 20 0 DDADMM O=C([O-])CC[C@H]1C[C@H](C(=O)N2CCC(c3cnc[nH]3)CC2)CO1 ZINC000901953666 710183926 /nfs/dbraw/zinc/18/39/26/710183926.db2.gz AUQNQIZPAMYTLE-STQMWFEESA-N -1 1 321.377 1.386 20 0 DDADMM CC[C@@H](C)N(CC(=O)[O-])Cc1cnc(N2CCOCC2)s1 ZINC000901987888 710193940 /nfs/dbraw/zinc/19/39/40/710193940.db2.gz KHLNPHBYWCYYMO-LLVKDONJSA-N -1 1 313.423 1.665 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCCC[C@H]2CC(=O)[O-])C1 ZINC000901999007 710197738 /nfs/dbraw/zinc/19/77/38/710197738.db2.gz VXRYGAUZEKEYOM-KGYLQXTDSA-N -1 1 305.378 1.931 20 0 DDADMM NC(=O)[C@@H](F)C1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000913106237 713164245 /nfs/dbraw/zinc/16/42/45/713164245.db2.gz OXVXEVFEMHFFCO-NSHDSACASA-N -1 1 316.279 1.346 20 0 DDADMM COCc1nc(NCc2ccc(C(=O)N(C)C)cc2)cc(=O)[n-]1 ZINC000891678020 710264054 /nfs/dbraw/zinc/26/40/54/710264054.db2.gz WUEADTAUQVUZPL-UHFFFAOYSA-N -1 1 316.361 1.643 20 0 DDADMM COCc1nc(NCCOc2ccc3c(c2)OCO3)cc(=O)[n-]1 ZINC000891860349 710311473 /nfs/dbraw/zinc/31/14/73/710311473.db2.gz FGBCPVZIFWPHGX-UHFFFAOYSA-N -1 1 319.317 1.548 20 0 DDADMM COCCCOC1CCN(c2cc(=O)[n-]c(COC)n2)CC1 ZINC000892664985 710478366 /nfs/dbraw/zinc/47/83/66/710478366.db2.gz GKBIZVXQQMHXBA-UHFFFAOYSA-N -1 1 311.382 1.351 20 0 DDADMM COCc1nc(NC[C@H](C(=O)OC)c2ccccc2)cc(=O)[n-]1 ZINC000892686879 710481789 /nfs/dbraw/zinc/48/17/89/710481789.db2.gz FAJXGIZLRVKFRE-LBPRGKRZSA-N -1 1 317.345 1.697 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@H]1C[C@H]2C=C[C@@H]1C2 ZINC000902150544 710636032 /nfs/dbraw/zinc/63/60/32/710636032.db2.gz XRJWMFNNRXNJPF-QJPTWQEYSA-N -1 1 308.403 1.900 20 0 DDADMM Cc1cc(C(=O)NCCC[N@H+]2C[C@@H](C)O[C@@H](C)C2)oc1C(=O)[O-] ZINC000911151770 710656111 /nfs/dbraw/zinc/65/61/11/710656111.db2.gz ZNVYSUVQXQQAHC-TXEJJXNPSA-N -1 1 324.377 1.515 20 0 DDADMM Cc1cc(C(=O)NCCCN2C[C@@H](C)O[C@@H](C)C2)oc1C(=O)[O-] ZINC000911151770 710656115 /nfs/dbraw/zinc/65/61/15/710656115.db2.gz ZNVYSUVQXQQAHC-TXEJJXNPSA-N -1 1 324.377 1.515 20 0 DDADMM CN(C)[C@H](CNC(=O)C12CC(C(=O)[O-])(C1)C2)c1ccsc1 ZINC000911193329 710678171 /nfs/dbraw/zinc/67/81/71/710678171.db2.gz MGCIPWPIEWLCFX-VCANKDNSSA-N -1 1 308.403 1.722 20 0 DDADMM CC(C)(CNCc1cn(CC(=O)[O-])nn1)c1cccc(F)c1 ZINC000902288644 710698349 /nfs/dbraw/zinc/69/83/49/710698349.db2.gz XQIKZZYBUHLKPE-UHFFFAOYSA-N -1 1 306.341 1.569 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC000902324122 710717310 /nfs/dbraw/zinc/71/73/10/710717310.db2.gz ZZEPAHWVSGRVBK-ZXIHIIQKSA-N -1 1 321.377 1.025 20 0 DDADMM COCC(C)(C)N1CCN(Cc2cccc(C(=O)[O-])n2)CC1 ZINC000902327279 710718083 /nfs/dbraw/zinc/71/80/83/710718083.db2.gz SSODNKIVYVWASG-UHFFFAOYSA-N -1 1 307.394 1.322 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2cc(C)cc(C(=O)[O-])c2)C1 ZINC000911352385 710757679 /nfs/dbraw/zinc/75/76/79/710757679.db2.gz KVTQMCIOXCFXEC-OAHLLOKOSA-N -1 1 320.389 1.534 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)CCc1ccccc1C(=O)[O-] ZINC000911375173 710768792 /nfs/dbraw/zinc/76/87/92/710768792.db2.gz ABVQPOCQPJEZBK-AWEZNQCLSA-N -1 1 304.390 1.870 20 0 DDADMM O=C([O-])C12CCC(C(=O)N[C@H]3CCc4[nH]cnc4C3)(CC1)C2 ZINC000911392474 710776314 /nfs/dbraw/zinc/77/63/14/710776314.db2.gz SDMRJAMKNRCMMF-CXPKIZLGSA-N -1 1 303.362 1.418 20 0 DDADMM C[N@H+]1CCN(C(=O)c2ccc(OCC(=O)[O-])cc2)C(C)(C)C1 ZINC000911395150 710777449 /nfs/dbraw/zinc/77/74/49/710777449.db2.gz MTEYDFFYAUFNKH-UHFFFAOYSA-N -1 1 306.362 1.316 20 0 DDADMM CN1CCN(C(=O)c2ccc(OCC(=O)[O-])cc2)C(C)(C)C1 ZINC000911395150 710777453 /nfs/dbraw/zinc/77/74/53/710777453.db2.gz MTEYDFFYAUFNKH-UHFFFAOYSA-N -1 1 306.362 1.316 20 0 DDADMM O=C([O-])c1ccc(CCC(=O)NCCN2CCCOCC2)cc1 ZINC000911505493 710827395 /nfs/dbraw/zinc/82/73/95/710827395.db2.gz TYBNBCRZNQQNEP-UHFFFAOYSA-N -1 1 320.389 1.156 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC[C@@H]2CC[C@@H]3C[C@@H]32)co1 ZINC000893799942 710834530 /nfs/dbraw/zinc/83/45/30/710834530.db2.gz UMOLVCCUATVJKO-HOSYDEDBSA-N -1 1 313.375 1.781 20 0 DDADMM CCc1cnccc1[C@@H](C)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911604671 710877196 /nfs/dbraw/zinc/87/71/96/710877196.db2.gz ABBNFIAYXPDQGM-OCCSQVGLSA-N -1 1 319.405 1.618 20 0 DDADMM CN(C(=O)CN1CCC[C@H](C(=O)[O-])C1)[C@H](CO)CC(C)(C)C ZINC000911754320 710966528 /nfs/dbraw/zinc/96/65/28/710966528.db2.gz IBGVACGQGQODEW-STQMWFEESA-N -1 1 314.426 1.039 20 0 DDADMM CC(C)c1n[nH]c(CC2CCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)n1 ZINC000911778392 710981057 /nfs/dbraw/zinc/98/10/57/710981057.db2.gz XKBNLGGWILZEBR-NEPJUHHUSA-N -1 1 320.393 1.430 20 0 DDADMM CC(C)c1nnc(CC2CCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)[nH]1 ZINC000911778392 710981061 /nfs/dbraw/zinc/98/10/61/710981061.db2.gz XKBNLGGWILZEBR-NEPJUHHUSA-N -1 1 320.393 1.430 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC[C@@H](Cc3nccs3)C2)C1=O ZINC000903418352 711119819 /nfs/dbraw/zinc/11/98/19/711119819.db2.gz MJONXJLPPLKGFI-RYUDHWBXSA-N -1 1 323.418 1.083 20 0 DDADMM CCc1ccc(F)cc1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494333 713237530 /nfs/dbraw/zinc/23/75/30/713237530.db2.gz WWEBCERXGHFNGD-GFCCVEGCSA-N -1 1 305.313 1.115 20 0 DDADMM O=C(Cc1ccc(Cl)s1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913497267 713238862 /nfs/dbraw/zinc/23/88/62/713238862.db2.gz JQEGUHQZYHGSHI-MRVPVSSYSA-N -1 1 313.770 1.057 20 0 DDADMM Cc1nsc(N2CCN(CCC3(C(=O)[O-])CCC3)CC2)n1 ZINC000903698062 711247644 /nfs/dbraw/zinc/24/76/44/711247644.db2.gz QWDPFKRAJPUIHU-UHFFFAOYSA-N -1 1 310.423 1.614 20 0 DDADMM CC(C)c1cccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c1 ZINC000913498837 713239131 /nfs/dbraw/zinc/23/91/31/713239131.db2.gz KADQDXPXDHMWHU-ZDUSSCGKSA-N -1 1 301.350 1.537 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C(=O)N(C)C)c1ccccc1 ZINC000903711617 711250138 /nfs/dbraw/zinc/25/01/38/711250138.db2.gz DYWMOHIFAFQMBO-HNNXBMFYSA-N -1 1 308.378 1.239 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1c(C)cc(C)[nH]c1=O ZINC000912269345 711252775 /nfs/dbraw/zinc/25/27/75/711252775.db2.gz OVTXSQZMVNDINY-UHFFFAOYSA-N -1 1 310.350 1.336 20 0 DDADMM CC(C)NC(=O)[C@H](C)NCc1ncc(Br)cc1[O-] ZINC000894933686 711326567 /nfs/dbraw/zinc/32/65/67/711326567.db2.gz LACGNOCCVYRHKF-QMMMGPOBSA-N -1 1 316.199 1.552 20 0 DDADMM COc1ccc(CN[C@H](C(=O)[O-])c2cnn(C)c2C)c(OC)c1 ZINC000904048421 711370070 /nfs/dbraw/zinc/37/00/70/711370070.db2.gz QZUILPWGLHYXBC-HNNXBMFYSA-N -1 1 319.361 1.661 20 0 DDADMM O=C(NCC1(C2(O)CCOCC2)CC1)c1c([O-])cccc1F ZINC000928675258 713259464 /nfs/dbraw/zinc/25/94/64/713259464.db2.gz SWKUZUHTSYZZIV-UHFFFAOYSA-N -1 1 309.337 1.583 20 0 DDADMM O=C(N[C@H]1CCCNC1=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895973096 711658622 /nfs/dbraw/zinc/65/86/22/711658622.db2.gz AMSYNIVMIYGIKX-ZDUSSCGKSA-N -1 1 300.314 1.259 20 0 DDADMM C[C@H](NC(=O)c1cccc(Cl)c1[O-])[C@H]1CN(C)CCN1C ZINC000896549378 711747769 /nfs/dbraw/zinc/74/77/69/711747769.db2.gz NUYASLCMPWSURR-GXFFZTMASA-N -1 1 311.813 1.410 20 0 DDADMM Cn1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c(C2CCCC2)n1 ZINC000904936094 711904931 /nfs/dbraw/zinc/90/49/31/711904931.db2.gz HIVCALBGXNROEE-UHFFFAOYSA-N -1 1 324.388 1.985 20 0 DDADMM C[C@@H](Cc1cccc(F)c1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743007 713292016 /nfs/dbraw/zinc/29/20/16/713292016.db2.gz ANKMZGWLAUCHFM-NSHDSACASA-N -1 1 317.368 1.924 20 0 DDADMM CC(C)Oc1cccc(C(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913743764 713292400 /nfs/dbraw/zinc/29/24/00/713292400.db2.gz KGCGODKILUFWAC-UHFFFAOYSA-N -1 1 316.365 1.402 20 0 DDADMM O=C(C[C@@H]1CSCCS1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743612 713292486 /nfs/dbraw/zinc/29/24/86/713292486.db2.gz CROPBEOSHSGFME-SNVBAGLBSA-N -1 1 313.452 1.144 20 0 DDADMM O=C(CCCOc1cccnc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743955 713292516 /nfs/dbraw/zinc/29/25/16/713292516.db2.gz SZGGEAZAHZXZTC-UHFFFAOYSA-N -1 1 316.365 1.160 20 0 DDADMM CC[C@H](C)CC[C@@H](O)CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744718 713293191 /nfs/dbraw/zinc/29/31/91/713293191.db2.gz AVXJXOFAEIYVFK-WCQYABFASA-N -1 1 309.414 1.483 20 0 DDADMM CO[C@@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1ccc(F)cc1 ZINC000913745484 713293597 /nfs/dbraw/zinc/29/35/97/713293597.db2.gz HBBKRMKCPATMOP-CYBMUJFWSA-N -1 1 319.340 1.433 20 0 DDADMM C[C@]1(C(=O)NCc2ccc([O-])c(Cl)c2)CCCS1(=O)=O ZINC000913801083 713302802 /nfs/dbraw/zinc/30/28/02/713302802.db2.gz IDVCKKNGRBQQFK-CYBMUJFWSA-N -1 1 317.794 1.629 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1cnc(Cl)c(C)c1 ZINC000906060653 712234063 /nfs/dbraw/zinc/23/40/63/712234063.db2.gz KIIPABJWMVQASJ-KRWDZBQOSA-N -1 1 311.816 1.818 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)CC2(F)CCC2)CCCCC1 ZINC000913883288 713314283 /nfs/dbraw/zinc/31/42/83/713314283.db2.gz RNQREDAFBZKWJD-UHFFFAOYSA-N -1 1 307.387 1.674 20 0 DDADMM COC(=O)[C@H]1CCCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907273006 712529965 /nfs/dbraw/zinc/52/99/65/712529965.db2.gz DUQSMRJQFNUJPB-GFCCVEGCSA-N -1 1 319.361 1.617 20 0 DDADMM CCc1cc(CNC(=O)[C@@]2(C(=O)[O-])C[C@@H]2c2ccccc2)n[nH]1 ZINC000907566991 712596176 /nfs/dbraw/zinc/59/61/76/712596176.db2.gz BJCAPXBTPMHRAO-RHSMWYFYSA-N -1 1 313.357 1.847 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CC(O)C2)c1cc(Cl)ccc1F ZINC000907785183 712626122 /nfs/dbraw/zinc/62/61/22/712626122.db2.gz KZHSOYBLOOVGSV-HBWJCNCUSA-N -1 1 305.758 1.671 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)Cc2cccnc2)c1 ZINC000907981242 712659635 /nfs/dbraw/zinc/65/96/35/712659635.db2.gz HEXRTHJZHWBURS-LLVKDONJSA-N -1 1 322.386 1.705 20 0 DDADMM CC1(C)C[C@H](NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCS1 ZINC000907985332 712660553 /nfs/dbraw/zinc/66/05/53/712660553.db2.gz ZIRNALCCHNJJRM-VXGBXAGGSA-N -1 1 314.451 1.573 20 0 DDADMM COc1cnc([C@H]2CCCN2S(=O)(=O)C2(C)CC2)[n-]c1=O ZINC000908016519 712666666 /nfs/dbraw/zinc/66/66/66/712666666.db2.gz NBQFUSJOTFBOFP-SECBINFHSA-N -1 1 313.379 1.210 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(CC(F)(F)F)C1CC1 ZINC000908270411 712725253 /nfs/dbraw/zinc/72/52/53/712725253.db2.gz FGUPTGCZUDAFCX-UHFFFAOYSA-N -1 1 307.228 1.063 20 0 DDADMM O=C([O-])[C@H]1CCCN(C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC000908596592 712817563 /nfs/dbraw/zinc/81/75/63/712817563.db2.gz CDDLBWZSCMPVQL-JTQLQIEISA-N -1 1 300.318 1.409 20 0 DDADMM COCOCCCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000908615104 712823090 /nfs/dbraw/zinc/82/30/90/712823090.db2.gz STJUGJMLBAHAQP-UHFFFAOYSA-N -1 1 308.309 1.820 20 0 DDADMM CC(C)(C)OC(=O)C1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000908675007 712833632 /nfs/dbraw/zinc/83/36/32/712833632.db2.gz KYTQHBJZAZRXMR-UHFFFAOYSA-N -1 1 319.361 1.473 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@@H](C(=O)[O-])C1CC1)c1cccs1 ZINC000908747343 712846816 /nfs/dbraw/zinc/84/68/16/712846816.db2.gz QPJFNUVXWRFCDT-ZYHUDNBSSA-N -1 1 311.407 1.513 20 0 DDADMM C[C@H](Cc1cccs1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908783775 712854026 /nfs/dbraw/zinc/85/40/26/712854026.db2.gz YJMXATKYHWAAJN-NEPJUHHUSA-N -1 1 310.419 1.592 20 0 DDADMM COC/C(C)=C/COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000908921455 712887583 /nfs/dbraw/zinc/88/75/83/712887583.db2.gz MJCHLSAVEXHULK-RUDMXATFSA-N -1 1 319.404 1.869 20 0 DDADMM CC(C)Oc1cc(Cl)ccc1CNC(=O)CCc1nn[n-]n1 ZINC000914400926 713390158 /nfs/dbraw/zinc/39/01/58/713390158.db2.gz XNIHNLPBOLAEQJ-UHFFFAOYSA-N -1 1 323.784 1.889 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCOCCC(C)C)o1 ZINC000917974774 713521802 /nfs/dbraw/zinc/52/18/02/713521802.db2.gz QADVITFERHYUSJ-UHFFFAOYSA-N -1 1 319.379 1.407 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000929065165 713542396 /nfs/dbraw/zinc/54/23/96/713542396.db2.gz TUZCVVVIOXOZCC-NEPJUHHUSA-N -1 1 315.439 1.071 20 0 DDADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000921378184 713748783 /nfs/dbraw/zinc/74/87/83/713748783.db2.gz QDVHKQDTOQJUFC-MRVPVSSYSA-N -1 1 324.449 1.025 20 0 DDADMM CCOC(=O)[C@@H](C[C@H](C)CC)[N-]S(=O)(=O)Cc1ccon1 ZINC000921382193 713750070 /nfs/dbraw/zinc/75/00/70/713750070.db2.gz ZCYGKQVNBBJTEE-ZYHUDNBSSA-N -1 1 318.395 1.462 20 0 DDADMM COC(=O)[C@@H]1C[C@H](F)CN1C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000930289337 713794546 /nfs/dbraw/zinc/79/45/46/713794546.db2.gz WOKHEHLNTFHMSH-JKOKRWQUSA-N -1 1 309.337 1.796 20 0 DDADMM COC[C@H](C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1)C(C)C ZINC000921900398 713902065 /nfs/dbraw/zinc/90/20/65/713902065.db2.gz KMJWCPWLQPGSQK-JTQLQIEISA-N -1 1 319.379 1.263 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(C)C ZINC000921974515 713925288 /nfs/dbraw/zinc/92/52/88/713925288.db2.gz IGZPQOBTSUJPSU-LLVKDONJSA-N -1 1 321.345 1.749 20 0 DDADMM CCOC(=O)/C=C/CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000931075874 713997293 /nfs/dbraw/zinc/99/72/93/713997293.db2.gz JNMCUVDNMQQBQL-ZJRFNNFUSA-N -1 1 322.327 1.495 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3CC[C@H](C4CC4)O3)ccnc1-2 ZINC000931131355 714010437 /nfs/dbraw/zinc/01/04/37/714010437.db2.gz FSGDUZSRGJBJRB-BXUZGUMPSA-N -1 1 315.377 1.421 20 0 DDADMM CO[N-]C(=O)CNCc1c(Cl)nc2cc(Cl)ccn21 ZINC000922826934 714160160 /nfs/dbraw/zinc/16/01/60/714160160.db2.gz CEZUXBCLZBUTIU-UHFFFAOYSA-N -1 1 303.149 1.408 20 0 DDADMM COC(=O)[C@H]1CSCCN1C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000931758630 714178516 /nfs/dbraw/zinc/17/85/16/714178516.db2.gz NNYXYCICIFSNRO-SMDDNHRTSA-N -1 1 323.414 1.801 20 0 DDADMM CC[S@@](=O)CCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932043951 714244923 /nfs/dbraw/zinc/24/49/23/714244923.db2.gz YQTDLEGQDWCVCM-JOCHJYFZSA-N -1 1 322.390 1.468 20 0 DDADMM CC[C@@H](NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H](C)O ZINC000932060144 714249241 /nfs/dbraw/zinc/24/92/41/714249241.db2.gz ZBIIIOBVOHYBOH-ZWNOBZJWSA-N -1 1 304.350 1.859 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@H]1C[C@H](CO)C1 ZINC000932061009 714249634 /nfs/dbraw/zinc/24/96/34/714249634.db2.gz AZMPDRHNYFXHDS-UMSPYCQHSA-N -1 1 302.334 1.470 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@H]1C[C@H](C(=O)[O-])[C@H]2C[C@H]21 ZINC000923191819 714266559 /nfs/dbraw/zinc/26/65/59/714266559.db2.gz DCDKXBISIQIMEU-XUWVNRHRSA-N -1 1 316.401 1.386 20 0 DDADMM CC[C@]1(C(=O)[O-])CCCN([C@@H](C(N)=O)c2ccc(F)cc2)C1 ZINC000923467277 714365744 /nfs/dbraw/zinc/36/57/44/714365744.db2.gz QWSSKTQBTQMGNH-CJNGLKHVSA-N -1 1 308.353 1.929 20 0 DDADMM COc1cncc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)c1 ZINC000934280131 714785702 /nfs/dbraw/zinc/78/57/02/714785702.db2.gz SEASSFKMISHNNE-ZDUSSCGKSA-N -1 1 316.361 1.932 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1ccnn1[C@H]1CCCOC1 ZINC000934683297 714880441 /nfs/dbraw/zinc/88/04/41/714880441.db2.gz KCBRVBQBRMHVNH-AAEUAGOBSA-N -1 1 310.354 1.814 20 0 DDADMM C[C@H](CO[N-]C(=O)[C@H](C)[C@@H]1CCCO1)NC(=O)OC(C)(C)C ZINC000935159835 714991058 /nfs/dbraw/zinc/99/10/58/714991058.db2.gz KDIMTVFGLSPVHB-UTUOFQBUSA-N -1 1 316.398 1.763 20 0 DDADMM C[C@H](CN(C)C(=O)[C@]1(C)CCOc2ccccc21)c1nn[n-]n1 ZINC000935367363 715038680 /nfs/dbraw/zinc/03/86/80/715038680.db2.gz KYXKTBGBDKYTFZ-BDJLRTHQSA-N -1 1 315.377 1.502 20 0 DDADMM O=C(C[C@@H]1CCCC1(F)F)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000935457662 715060594 /nfs/dbraw/zinc/06/05/94/715060594.db2.gz WSRJNELRTMAFGX-DTWKUNHWSA-N -1 1 317.365 1.642 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@]2(C)C=CCC2)c1 ZINC000936051808 715146298 /nfs/dbraw/zinc/14/62/98/715146298.db2.gz AWUINBOZJAJBFM-CQSZACIVSA-N -1 1 310.375 1.595 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2CCCC2)C1 ZINC000936334831 715182339 /nfs/dbraw/zinc/18/23/39/715182339.db2.gz ANRZWDCQABGPDM-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1occc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937654121 715480772 /nfs/dbraw/zinc/48/07/72/715480772.db2.gz JEQIDZQRRAGJKA-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CCC1 ZINC000955473477 715804661 /nfs/dbraw/zinc/80/46/61/715804661.db2.gz UWILFGMYQCKHCP-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC000955656946 715894906 /nfs/dbraw/zinc/89/49/06/715894906.db2.gz ZBBWNUPSECAVHF-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)CC(C)C)CC1 ZINC000957667237 715994695 /nfs/dbraw/zinc/99/46/95/715994695.db2.gz SJYNFRCVVHIGMN-UHFFFAOYSA-N -1 1 323.441 1.617 20 0 DDADMM O=C([N-][C@@H]1CCN(C(=O)c2c(F)cc(F)cc2F)C1)C(F)F ZINC000939014075 716087109 /nfs/dbraw/zinc/08/71/09/716087109.db2.gz VIRWDWTYNLAWHQ-SSDOTTSWSA-N -1 1 322.233 1.700 20 0 DDADMM C[C@@]1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000940130289 716641259 /nfs/dbraw/zinc/64/12/59/716641259.db2.gz KKNDCUXSSULOCV-SJKOYZFVSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C(F)F)C1 ZINC000958986177 716796397 /nfs/dbraw/zinc/79/63/97/716796397.db2.gz AFYIAOFVTOJZOL-IUCAKERBSA-N -1 1 313.304 1.019 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000943793328 718190292 /nfs/dbraw/zinc/19/02/92/718190292.db2.gz KJOFNZGIEDEUIW-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM CC(F)(F)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943816791 718199760 /nfs/dbraw/zinc/19/97/60/718199760.db2.gz WDUOEISUNXMGTF-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM C[C@H]1CN(CCF)CC[C@@H]1NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000944135257 718259651 /nfs/dbraw/zinc/25/96/51/718259651.db2.gz JJLAEXHWYDGKAT-UWVGGRQHSA-N -1 1 322.340 1.338 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)[C@@H]1CC12CC2)c1ncccc1[O-] ZINC000944327508 718288968 /nfs/dbraw/zinc/28/89/68/718288968.db2.gz WMCPEWNIWPBFMH-NEPJUHHUSA-N -1 1 315.373 1.308 20 0 DDADMM CCC(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2CC1 ZINC000967293512 718826373 /nfs/dbraw/zinc/82/63/73/718826373.db2.gz JJYXEPMUEPXPSX-BETUJISGSA-N -1 1 317.389 1.508 20 0 DDADMM CC(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2CC1 ZINC000967293526 718826649 /nfs/dbraw/zinc/82/66/49/718826649.db2.gz LNDHBWVSGZIYKV-BETUJISGSA-N -1 1 303.362 1.118 20 0 DDADMM C[C@@H]1CN(C(=O)CC(C)(C)C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000947550862 719208332 /nfs/dbraw/zinc/20/83/32/719208332.db2.gz WKIPOWNGPMVSHM-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1[nH]ccc1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000949316539 720012362 /nfs/dbraw/zinc/01/23/62/720012362.db2.gz XZLGPVZUMIXAQM-LLVKDONJSA-N -1 1 314.345 1.068 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)C1CCCC1)c1ncccc1[O-] ZINC000949535727 720145538 /nfs/dbraw/zinc/14/55/38/720145538.db2.gz YZJGLLWPCONSEB-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949538372 720146911 /nfs/dbraw/zinc/14/69/11/720146911.db2.gz JFTDUVLSHKPWAP-IJLUTSLNSA-N -1 1 303.362 1.164 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2cnc(C)o2)C1 ZINC000949952394 720428231 /nfs/dbraw/zinc/42/82/31/720428231.db2.gz OLNCTMKPZYFCGZ-UHFFFAOYSA-N -1 1 316.361 1.430 20 0 DDADMM CCC(CC)(CC)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970349544 720724173 /nfs/dbraw/zinc/72/41/73/720724173.db2.gz APPTVDFXTRLJNN-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000951644688 721113193 /nfs/dbraw/zinc/11/31/93/721113193.db2.gz PRYFSQHMDCHCFL-GHMZBOCLSA-N -1 1 309.341 1.256 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000952094800 721309613 /nfs/dbraw/zinc/30/96/13/721309613.db2.gz GOEYEWAXFYSVCG-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000952094798 721309763 /nfs/dbraw/zinc/30/97/63/721309763.db2.gz GOEYEWAXFYSVCG-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM CC(=O)N1CCCC[C@@H]1[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000952317011 721405323 /nfs/dbraw/zinc/40/53/23/721405323.db2.gz YPBWOVIPMXDNTA-UONOGXRCSA-N -1 1 317.389 1.650 20 0 DDADMM C/C=C(\C)C(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC000953887807 721674353 /nfs/dbraw/zinc/67/43/53/721674353.db2.gz DNHLKWYWSGIDOA-UUILKARUSA-N -1 1 317.389 1.816 20 0 DDADMM CC(C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)=C1CCC1 ZINC000954124031 721723706 /nfs/dbraw/zinc/72/37/06/721723706.db2.gz IXQQFPCLYNRPEU-UHFFFAOYSA-N -1 1 315.373 1.570 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954125405 721724107 /nfs/dbraw/zinc/72/41/07/721724107.db2.gz NKICXNSYSMTMAA-MNOVXSKESA-N -1 1 303.362 1.116 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2Cc2ccccc2C)c1[O-] ZINC001038166278 732317682 /nfs/dbraw/zinc/31/76/82/732317682.db2.gz YOHHMHCKCPLTOH-AWEZNQCLSA-N -1 1 314.389 1.736 20 0 DDADMM Cc1nnc([C@H](C)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038184152 732911103 /nfs/dbraw/zinc/91/11/03/732911103.db2.gz QGZIKZFJGQUOPN-GXSJLCMTSA-N -1 1 317.349 1.044 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3ccccc3)C2)c1[O-] ZINC001010630993 732933033 /nfs/dbraw/zinc/93/30/33/732933033.db2.gz PZBNXEVCNIVYEB-CYBMUJFWSA-N -1 1 300.362 1.428 20 0 DDADMM C[C@@H](C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001010908856 733495266 /nfs/dbraw/zinc/49/52/66/733495266.db2.gz HHIBIFNJZKCYGD-LLVKDONJSA-N -1 1 303.362 1.118 20 0 DDADMM CN(Cc1c(F)cccc1Cl)c1nnc(-c2nnn[n-]2)n1C ZINC001121360372 782472341 /nfs/dbraw/zinc/47/23/41/782472341.db2.gz XZXGSFOWSLPMIH-UHFFFAOYSA-N -1 1 322.735 1.424 20 0 DDADMM CN(Cc1c(F)cccc1Cl)c1nnc(-c2nn[n-]n2)n1C ZINC001121360372 782472343 /nfs/dbraw/zinc/47/23/43/782472343.db2.gz XZXGSFOWSLPMIH-UHFFFAOYSA-N -1 1 322.735 1.424 20 0 DDADMM COCOc1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1 ZINC001211645594 736461140 /nfs/dbraw/zinc/46/11/40/736461140.db2.gz GNZKVDIVSUNZGK-UHFFFAOYSA-N -1 1 323.374 1.635 20 0 DDADMM CO[C@@H]([C@@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CC1 ZINC000692894604 738964787 /nfs/dbraw/zinc/96/47/87/738964787.db2.gz QODJDKLCFBWAOZ-HQJQHLMTSA-N -1 1 322.789 1.966 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)[C@@H]1CCOC1 ZINC000692897592 738966651 /nfs/dbraw/zinc/96/66/51/738966651.db2.gz ZZYXRXQZCLKVDA-HTQZYQBOSA-N -1 1 308.762 1.577 20 0 DDADMM Cc1cc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C)no1 ZINC001088536112 741708405 /nfs/dbraw/zinc/70/84/05/741708405.db2.gz FDVICRNUNMRCKC-YPMHNXCESA-N -1 1 316.361 1.476 20 0 DDADMM C[C@@H]1C[C@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)CCO1 ZINC000692911055 739077188 /nfs/dbraw/zinc/07/71/88/739077188.db2.gz SPYKDBQTXCCASU-RKDXNWHRSA-N -1 1 322.789 1.968 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2C[C@H]2CC(C)(C)CO2)c1[O-] ZINC001038164306 739248756 /nfs/dbraw/zinc/24/87/56/739248756.db2.gz IPDOYBLPHBEVMC-VXGBXAGGSA-N -1 1 322.409 1.043 20 0 DDADMM Cc1ncoc1C[N@@H+]1CC[C@H](CNC(=O)c2ncccc2O)C1 ZINC001028499636 739591580 /nfs/dbraw/zinc/59/15/80/739591580.db2.gz JOWJGADNEYHOLI-GFCCVEGCSA-N -1 1 316.361 1.336 20 0 DDADMM CC1CC(C(=O)N2CCC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001017099519 751500203 /nfs/dbraw/zinc/50/02/03/751500203.db2.gz ZOIAWADZYUGPQO-CPCZMJQVSA-N -1 1 321.425 1.227 20 0 DDADMM C[C@@H](CCNC(=O)CC(F)(F)F)NC(=O)c1ncccc1[O-] ZINC001075826924 740869737 /nfs/dbraw/zinc/86/97/37/740869737.db2.gz XFFGMASGCKWFJQ-QMMMGPOBSA-N -1 1 319.283 1.364 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059433210 740922585 /nfs/dbraw/zinc/92/25/85/740922585.db2.gz APXPOWDIHPCBIV-RYHWZFLVSA-N -1 1 317.389 1.722 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029833867 741372574 /nfs/dbraw/zinc/37/25/74/741372574.db2.gz OAEHTMNVUKDEMK-YNEHKIRRSA-N -1 1 321.425 1.227 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC12CC2 ZINC001076247385 742619620 /nfs/dbraw/zinc/61/96/20/742619620.db2.gz LJYMWHMJEINJGL-WDEREUQCSA-N -1 1 303.362 1.212 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1ccco1 ZINC001076244672 742624108 /nfs/dbraw/zinc/62/41/08/742624108.db2.gz YIZACTFBVCRBMF-JTQLQIEISA-N -1 1 303.318 1.319 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)C[C@@H](C)O)c1ccccc1N ZINC001181355517 743163899 /nfs/dbraw/zinc/16/38/99/743163899.db2.gz YPOZROSCOREBKE-MRVPVSSYSA-N -1 1 311.363 1.014 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)C[C@@H](C)O)c1ccccc1N ZINC001181355517 743163901 /nfs/dbraw/zinc/16/39/01/743163901.db2.gz YPOZROSCOREBKE-MRVPVSSYSA-N -1 1 311.363 1.014 20 0 DDADMM CSc1nc(NC(=O)C(=O)Cc2ccccc2)cc(=O)[n-]1 ZINC001181375996 743170917 /nfs/dbraw/zinc/17/09/17/743170917.db2.gz VGGWPDFYXRXRMI-UHFFFAOYSA-N -1 1 303.343 1.654 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)[C@H]1CCO1)c1ccccc1N ZINC001181590189 743256459 /nfs/dbraw/zinc/25/64/59/743256459.db2.gz VVIYUQVXZWLGGL-SNVBAGLBSA-N -1 1 309.347 1.032 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)[C@H]1CCO1)c1ccccc1N ZINC001181590189 743256461 /nfs/dbraw/zinc/25/64/61/743256461.db2.gz VVIYUQVXZWLGGL-SNVBAGLBSA-N -1 1 309.347 1.032 20 0 DDADMM O=C(CCOCc1ccccc1)NCCCC[P@@](=O)([O-])O ZINC001183095159 743779082 /nfs/dbraw/zinc/77/90/82/743779082.db2.gz OYZJIJXFXRPKHQ-UHFFFAOYSA-N -1 1 315.306 1.667 20 0 DDADMM O=C(NC1CN(CC[C@@H]2CCCCO2)C1)c1ncccc1[O-] ZINC001030242549 743978086 /nfs/dbraw/zinc/97/80/86/743978086.db2.gz VFEOKHXYVWOCQR-ZDUSSCGKSA-N -1 1 305.378 1.160 20 0 DDADMM CCC[C@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001186019187 744327090 /nfs/dbraw/zinc/32/70/90/744327090.db2.gz NCYPRYOWOBROIN-NEPJUHHUSA-N -1 1 309.414 1.273 20 0 DDADMM CCNC(=O)CO[C@@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC001186218312 744365997 /nfs/dbraw/zinc/36/59/97/744365997.db2.gz DTDVYJUNTWEGMK-GFCCVEGCSA-N -1 1 324.352 1.289 20 0 DDADMM CCOC(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1ccc([O-])cc1F ZINC001186348898 744380965 /nfs/dbraw/zinc/38/09/65/744380965.db2.gz VEQAYCJDHMWMLY-ZDUSSCGKSA-N -1 1 321.308 1.159 20 0 DDADMM O=S(=O)([N-]Cc1cccc(-n2cncn2)c1)c1ccns1 ZINC001187173267 744518475 /nfs/dbraw/zinc/51/84/75/744518475.db2.gz NLMLFSSQPWRXFS-UHFFFAOYSA-N -1 1 321.387 1.202 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cc(OC)cc(C(=O)OC)c1 ZINC001187287998 744534822 /nfs/dbraw/zinc/53/48/22/744534822.db2.gz VOQRSJBLKIRQSY-UHFFFAOYSA-N -1 1 317.363 1.260 20 0 DDADMM COc1ccc(OC)c(C[N-]S(=O)(=O)c2cnoc2C)c1 ZINC001187324374 744540076 /nfs/dbraw/zinc/54/00/76/744540076.db2.gz LWMGGANYSDBZMR-UHFFFAOYSA-N -1 1 312.347 1.479 20 0 DDADMM O=C(NCC1CC(NC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000992050441 744561339 /nfs/dbraw/zinc/56/13/39/744561339.db2.gz WGCIGRMMDAKRBQ-UHFFFAOYSA-N -1 1 303.362 1.212 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]c1ccc(CO)cc1Cl ZINC001187383279 744561695 /nfs/dbraw/zinc/56/16/95/744561695.db2.gz OPMKKHAGBDHBIT-UHFFFAOYSA-N -1 1 302.739 1.930 20 0 DDADMM CCOc1cccc(CNC(=O)c2n[n-]nc2C(F)(F)F)n1 ZINC001187774000 744600781 /nfs/dbraw/zinc/60/07/81/744600781.db2.gz OLOZGFUJPHREMQ-UHFFFAOYSA-N -1 1 315.255 1.547 20 0 DDADMM NC(=O)Cc1cccc(NC(=O)c2ncc(C(F)(F)F)[n-]2)c1 ZINC001188288521 744679006 /nfs/dbraw/zinc/67/90/06/744679006.db2.gz BOOVYRUPWLAHBO-UHFFFAOYSA-N -1 1 312.251 1.709 20 0 DDADMM NC(=O)Cc1cccc(NC(=O)c2nc(C(F)(F)F)c[n-]2)c1 ZINC001188288521 744679008 /nfs/dbraw/zinc/67/90/08/744679008.db2.gz BOOVYRUPWLAHBO-UHFFFAOYSA-N -1 1 312.251 1.709 20 0 DDADMM Cc1n[nH]c(C)c1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001188586189 744732402 /nfs/dbraw/zinc/73/24/02/744732402.db2.gz DOWWZRNDXCPNCH-UHFFFAOYSA-N -1 1 305.298 1.238 20 0 DDADMM O=C(NC1CC(CNC(=O)[C@@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000992441825 744877435 /nfs/dbraw/zinc/87/74/35/744877435.db2.gz BHODMFUGERVRAR-MCIGGMRASA-N -1 1 315.373 1.212 20 0 DDADMM COc1ncc([N-]S(=O)(=O)Cc2cccc(F)c2F)cn1 ZINC001189417077 744892033 /nfs/dbraw/zinc/89/20/33/744892033.db2.gz KYKNJNRXPSGDFD-UHFFFAOYSA-N -1 1 315.301 1.705 20 0 DDADMM CSc1nc(NC(=O)c2cc(C(F)(F)F)n[nH]2)cc(=O)[n-]1 ZINC001189519756 744911033 /nfs/dbraw/zinc/91/10/33/744911033.db2.gz NBQKXAKGFVBFPD-UHFFFAOYSA-N -1 1 319.268 1.898 20 0 DDADMM COc1nonc1[N-]c1ccc(C(=O)N2CCC(=O)CC2)cc1 ZINC001189827174 744994882 /nfs/dbraw/zinc/99/48/82/744994882.db2.gz VJMYTPSJGIOCMR-UHFFFAOYSA-N -1 1 316.317 1.627 20 0 DDADMM CCc1nc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)cs1 ZINC001190033759 745084757 /nfs/dbraw/zinc/08/47/57/745084757.db2.gz OZNWNXMNRRRTAT-UHFFFAOYSA-N -1 1 322.350 1.917 20 0 DDADMM Nc1nonc1[N-]C(=O)c1ccc(Br)nc1Cl ZINC001190051810 745091095 /nfs/dbraw/zinc/09/10/95/745091095.db2.gz OKENTNCJVLOBDI-UHFFFAOYSA-N -1 1 318.518 1.715 20 0 DDADMM C[NH+]1CCC(CNS(=O)(=O)c2cc(F)cc(F)c2F)CC1 ZINC001190403141 745201263 /nfs/dbraw/zinc/20/12/63/745201263.db2.gz HNHMIPQUFIAPBM-UHFFFAOYSA-N -1 1 322.352 1.724 20 0 DDADMM O=C(c1cnc(-c2ccccn2)[n-]c1=O)N1CCCC(=O)CC1 ZINC001190619146 745260711 /nfs/dbraw/zinc/26/07/11/745260711.db2.gz YBIXJKGDNWNSET-UHFFFAOYSA-N -1 1 312.329 1.439 20 0 DDADMM C[C@@H]1C[C@H](O)CCN1C(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190620141 745261732 /nfs/dbraw/zinc/26/17/32/745261732.db2.gz NHRGLKAFMRRWBT-GHMZBOCLSA-N -1 1 314.345 1.230 20 0 DDADMM CC(C)(O)[C@H](F)CNC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190637611 745282642 /nfs/dbraw/zinc/28/26/42/745282642.db2.gz KDBRRCPJMDJEQV-LLVKDONJSA-N -1 1 320.324 1.083 20 0 DDADMM O=S(=O)([N-]c1cc(Br)ncc1F)c1ncc[nH]1 ZINC001190690134 745298231 /nfs/dbraw/zinc/29/82/31/745298231.db2.gz GUPCTQZPGXHXPE-UHFFFAOYSA-N -1 1 321.131 1.507 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H]3O[C@@H](C)C[C@H]3C2)c(=O)[n-]1 ZINC001191427830 745509289 /nfs/dbraw/zinc/50/92/89/745509289.db2.gz NVANCGXQKUOEGS-ATZCPNFKSA-N -1 1 309.391 1.544 20 0 DDADMM COC(=O)C1(NC(=O)c2cnc(SC)[n-]c2=O)CCCC1 ZINC001191438208 745512888 /nfs/dbraw/zinc/51/28/88/745512888.db2.gz UUSVZWRKQXCDAU-UHFFFAOYSA-N -1 1 311.363 1.120 20 0 DDADMM COc1ccc(C(F)(F)F)c(C(=O)NCc2nn[n-]n2)c1 ZINC001191818007 745606788 /nfs/dbraw/zinc/60/67/88/745606788.db2.gz XMKPKABRMKHJEM-UHFFFAOYSA-N -1 1 301.228 1.157 20 0 DDADMM O=S(=O)([N-]Cc1cncc(F)c1)C(Cl)(Cl)Cl ZINC001192042948 745680717 /nfs/dbraw/zinc/68/07/17/745680717.db2.gz NDTWKEIXBBQHEX-UHFFFAOYSA-N -1 1 307.561 1.968 20 0 DDADMM Cc1noc([N-]C(=O)c2nc(C(F)(F)F)cs2)c1C(N)=O ZINC001192446316 745792396 /nfs/dbraw/zinc/79/23/96/745792396.db2.gz DTWZDADZHLVHBW-UHFFFAOYSA-N -1 1 320.252 1.810 20 0 DDADMM CSc1nc(NC(=O)c2c(C)cc(=O)oc2C)cc(=O)[n-]1 ZINC001192513324 745802763 /nfs/dbraw/zinc/80/27/63/745802763.db2.gz OSEYAZITGGZPMU-UHFFFAOYSA-N -1 1 307.331 1.726 20 0 DDADMM COC(=O)[C@]1(F)CCN(C(=O)c2cc([O-])cc(F)c2F)C1 ZINC001192661137 745847638 /nfs/dbraw/zinc/84/76/38/745847638.db2.gz KMWGEBQWRUVPBP-ZDUSSCGKSA-N -1 1 303.236 1.398 20 0 DDADMM O=C(NCC1(c2cnccn2)CC1)c1cc([O-])cc(F)c1F ZINC001192683146 745862189 /nfs/dbraw/zinc/86/21/89/745862189.db2.gz AAAWHIIUSRFTGQ-UHFFFAOYSA-N -1 1 305.284 1.922 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1c(Cl)cccc1CO ZINC001193265485 746037674 /nfs/dbraw/zinc/03/76/74/746037674.db2.gz WNQGMICVDCKUKW-SNVBAGLBSA-N -1 1 305.783 1.753 20 0 DDADMM COc1ccnc(C(=O)Nc2nc(Cl)cc3nc[nH]c32)c1[O-] ZINC001193524773 746133404 /nfs/dbraw/zinc/13/34/04/746133404.db2.gz LJFUQIGWVMXPCJ-UHFFFAOYSA-N -1 1 319.708 1.973 20 0 DDADMM CCOC(Cc1c(=O)[n-]c(=S)[nH]c1NC(=O)OC)OCC ZINC001193882179 746214435 /nfs/dbraw/zinc/21/44/35/746214435.db2.gz CFHZKOVDHGTSBF-UHFFFAOYSA-N -1 1 317.367 1.591 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cc(CO)ccn1 ZINC001193917667 746236351 /nfs/dbraw/zinc/23/63/51/746236351.db2.gz DVXYEDIRMFTORJ-UHFFFAOYSA-N -1 1 310.313 1.718 20 0 DDADMM Cc1noc([N-]c2ccccc2S(=O)(=O)N(C)C)c1C(N)=O ZINC001194135453 746286237 /nfs/dbraw/zinc/28/62/37/746286237.db2.gz BYDQOZFXTQFKHY-UHFFFAOYSA-N -1 1 324.362 1.076 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cccc3c(=O)[nH]ccc23)[n-]n1 ZINC001194288864 746344508 /nfs/dbraw/zinc/34/45/08/746344508.db2.gz PFAAXTJRHGJKEA-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccc(OC(C)=O)cc2)[n-]n1 ZINC001194289034 746345277 /nfs/dbraw/zinc/34/52/77/746345277.db2.gz TVOTVQWJTOFDNV-UHFFFAOYSA-N -1 1 303.274 1.374 20 0 DDADMM O=C1CCC(S(=O)(=O)[N-]c2ncc(Cl)cc2O)CC1 ZINC001194758904 746458956 /nfs/dbraw/zinc/45/89/56/746458956.db2.gz CDUHODZGSWZBQN-UHFFFAOYSA-N -1 1 304.755 1.694 20 0 DDADMM Cc1[nH][nH]c2ncnc(=NC(=O)c3c[n-]c(C(F)(F)F)n3)c1-2 ZINC001194771070 746462322 /nfs/dbraw/zinc/46/23/22/746462322.db2.gz ZYBJCLPWEYTPLN-UHFFFAOYSA-N -1 1 311.227 1.029 20 0 DDADMM Cc1nnc2ccc([N-]S(=O)(=O)c3ccc(O)cc3)cn12 ZINC001195767674 746691918 /nfs/dbraw/zinc/69/19/18/746691918.db2.gz RXSUKYPWTCLCSD-UHFFFAOYSA-N -1 1 304.331 1.544 20 0 DDADMM COC(=O)c1c[n-]c(C(=O)OC)c1NC(=O)c1nc(C)co1 ZINC001195751226 746699734 /nfs/dbraw/zinc/69/97/34/746699734.db2.gz CTXYBCQJTIFYHW-UHFFFAOYSA-N -1 1 307.262 1.137 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC(c2ccccn2)CC1 ZINC001196015015 746757334 /nfs/dbraw/zinc/75/73/34/746757334.db2.gz YBBNWTPNXRLALU-UHFFFAOYSA-N -1 1 316.386 1.886 20 0 DDADMM O=C([N-]c1nc2c(s1)C(=O)CCC2)c1snnc1CO ZINC001196352521 746842024 /nfs/dbraw/zinc/84/20/24/746842024.db2.gz XWINRTUYAQYJSY-UHFFFAOYSA-N -1 1 310.360 1.258 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1cccnc1)c1ccccc1 ZINC001197487287 747174133 /nfs/dbraw/zinc/17/41/33/747174133.db2.gz WNGZPLJEFRUAAX-AWEZNQCLSA-N -1 1 320.370 1.415 20 0 DDADMM COCCOc1ncccc1C(=O)[N-]c1noc2nccnc12 ZINC001197625885 747210167 /nfs/dbraw/zinc/21/01/67/747210167.db2.gz ABPFWNWLPHVQQE-UHFFFAOYSA-N -1 1 315.289 1.290 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)Nc1cccnc1CO ZINC001198746895 747588697 /nfs/dbraw/zinc/58/86/97/747588697.db2.gz PAGJTFOZPCZLJG-UHFFFAOYSA-N -1 1 308.315 1.073 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)N[C@H]1CCN(C2CCC2)C1 ZINC001198807451 747618092 /nfs/dbraw/zinc/61/80/92/747618092.db2.gz JFILPOCQCPTODY-NSHDSACASA-N -1 1 324.402 1.290 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1nccn1CC1CC1 ZINC001199449868 747844388 /nfs/dbraw/zinc/84/43/88/747844388.db2.gz HXYJLKALSQCWTE-UHFFFAOYSA-N -1 1 300.244 1.682 20 0 DDADMM CSc1nc(NC(=O)c2cc(CCO)ccn2)cc(=O)[n-]1 ZINC001199754444 747980807 /nfs/dbraw/zinc/98/08/07/747980807.db2.gz BEKLIOOAVUJNRU-UHFFFAOYSA-N -1 1 306.347 1.086 20 0 DDADMM CC(=O)N[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC[C@@H]1C ZINC001004294755 748267843 /nfs/dbraw/zinc/26/78/43/748267843.db2.gz WPXKNPXJNRKRJY-ZANVPECISA-N -1 1 318.377 1.046 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)CC1CC1 ZINC001004667062 748634853 /nfs/dbraw/zinc/63/48/53/748634853.db2.gz GYKBYZDRTGMVMO-PWSUYJOCSA-N -1 1 307.398 1.170 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)CC1CC1 ZINC001004667064 748635233 /nfs/dbraw/zinc/63/52/33/748635233.db2.gz GYKBYZDRTGMVMO-ZYHUDNBSSA-N -1 1 307.398 1.170 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cc(F)cc(F)c1CO ZINC001201916496 748641437 /nfs/dbraw/zinc/64/14/37/748641437.db2.gz WJOIVZMWJHMACG-UHFFFAOYSA-N -1 1 323.317 1.152 20 0 DDADMM C[C@H]1CCN(C(=O)CC2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004755675 748739030 /nfs/dbraw/zinc/73/90/30/748739030.db2.gz XAEJCLITMNXKRN-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cc(N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)ncn1 ZINC001061585924 748887432 /nfs/dbraw/zinc/88/74/32/748887432.db2.gz HBQIKVXARQZLMC-GFCCVEGCSA-N -1 1 313.361 1.142 20 0 DDADMM Cc1ccnc(N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001061586279 748889065 /nfs/dbraw/zinc/88/90/65/748889065.db2.gz QHOWROAPYIDRDK-LBPRGKRZSA-N -1 1 313.361 1.142 20 0 DDADMM CC(C)C(C)(C)C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995864485 749263660 /nfs/dbraw/zinc/26/36/60/749263660.db2.gz PSGNOAMTULZZPG-LLVKDONJSA-N -1 1 323.441 1.519 20 0 DDADMM CC(C)C(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107285997 749736980 /nfs/dbraw/zinc/73/69/80/749736980.db2.gz XLBYUBJDFZKBPK-IAQYHMDHSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066704553 749771559 /nfs/dbraw/zinc/77/15/59/749771559.db2.gz PPIWSMCUAWDSIW-NEPJUHHUSA-N -1 1 305.378 1.364 20 0 DDADMM C[C@@H]1C[C@H](C[N-]S(=O)(=O)c2ncn(C)c2Cl)[C@@H](C)O1 ZINC000686390242 750365869 /nfs/dbraw/zinc/36/58/69/750365869.db2.gz LAFKCJIBUXUVPQ-IWSPIJDZSA-N -1 1 307.803 1.165 20 0 DDADMM CCCC[N@H+]1CCO[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890680 750569952 /nfs/dbraw/zinc/56/99/52/750569952.db2.gz SYRWRNQRAWRLCK-INIZCTEOSA-N -1 1 307.394 1.408 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cccnc1N1CCOCC1 ZINC001212354127 751076920 /nfs/dbraw/zinc/07/69/20/751076920.db2.gz DDZYIRDKCVKUON-UHFFFAOYSA-N -1 1 320.378 1.028 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](NCc2cscn2)C1 ZINC000999002111 752443302 /nfs/dbraw/zinc/44/33/02/752443302.db2.gz QMBUHJRPUNHPIU-LLVKDONJSA-N -1 1 318.402 1.638 20 0 DDADMM CC(C)(F)C(=O)N1C[C@@H](C(F)(F)F)[C@H]([N-]C(=O)C(F)F)C1 ZINC001008536194 752788948 /nfs/dbraw/zinc/78/89/48/752788948.db2.gz HLJQRZIULVYYFW-PHDIDXHHSA-N -1 1 320.233 1.505 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1 ZINC001000784435 762199076 /nfs/dbraw/zinc/19/90/76/762199076.db2.gz YWYLNDDUOAGKLZ-ZDUSSCGKSA-N -1 1 315.377 1.213 20 0 DDADMM C=C/C(C)=C\CC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001077868024 753317987 /nfs/dbraw/zinc/31/79/87/753317987.db2.gz HPEJJKCAZRIUNX-OTAKNEKHSA-N -1 1 317.389 1.934 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)CC1CC1 ZINC001009961273 753505086 /nfs/dbraw/zinc/50/50/86/753505086.db2.gz RYVNTUCWZZTGOK-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036023 754319071 /nfs/dbraw/zinc/31/90/71/754319071.db2.gz KNAHVLXYUSEIIE-CHWSQXEVSA-N -1 1 317.389 1.508 20 0 DDADMM CC1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CCC1 ZINC001011036848 754319363 /nfs/dbraw/zinc/31/93/63/754319363.db2.gz VZXKFRFEEUBAGO-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064381838 754657931 /nfs/dbraw/zinc/65/79/31/754657931.db2.gz OIALFCRLXFXZIH-NEPJUHHUSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1(C)CC1 ZINC001011903750 754762812 /nfs/dbraw/zinc/76/28/12/754762812.db2.gz RTOXZKIBDIEPCV-NWDGAFQWSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012513574 755117365 /nfs/dbraw/zinc/11/73/65/755117365.db2.gz VLTPRQXQGFRBMS-JQWIXIFHSA-N -1 1 303.362 1.307 20 0 DDADMM CCN(C(=O)CCC1CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079520334 755418254 /nfs/dbraw/zinc/41/82/54/755418254.db2.gz CJMBJCCJARCWFS-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CCN(C(=O)C(C)(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080514431 755954105 /nfs/dbraw/zinc/95/41/05/755954105.db2.gz VNBFIAWCYVQYCH-UHFFFAOYSA-N -1 1 305.378 1.506 20 0 DDADMM C[C@@H]1CN(C(=O)C[C@@H]2CC=CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082688226 756887297 /nfs/dbraw/zinc/88/72/97/756887297.db2.gz NYBNTRKKNJIAKP-JHJVBQTASA-N -1 1 319.409 1.193 20 0 DDADMM CCC(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC001053511411 758517013 /nfs/dbraw/zinc/51/70/13/758517013.db2.gz LGJNSUNSBQUOHD-CLLJXQQHSA-N -1 1 317.389 1.697 20 0 DDADMM COc1ncccc1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000824852616 759211440 /nfs/dbraw/zinc/21/14/40/759211440.db2.gz YWYAHJBKMNTNDT-UHFFFAOYSA-N -1 1 300.318 1.717 20 0 DDADMM C[C@@H]1CN(C(=O)C=C2CCCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054454734 759668013 /nfs/dbraw/zinc/66/80/13/759668013.db2.gz YUICZAPJHIKFFY-DGCLKSJQSA-N -1 1 319.409 1.337 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCC[C@H]1CO ZINC000828711042 759747167 /nfs/dbraw/zinc/74/71/67/759747167.db2.gz MRWNUSNZQYWUQD-ZDUSSCGKSA-N -1 1 302.334 1.567 20 0 DDADMM CC[C@@H](F)C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019284596 759763149 /nfs/dbraw/zinc/76/31/49/759763149.db2.gz RWMTZDOXXUYANA-LLVKDONJSA-N -1 1 321.352 1.210 20 0 DDADMM CC1(C)CC[C@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001019347486 759833238 /nfs/dbraw/zinc/83/32/38/759833238.db2.gz GGHJAIVDEUCOMQ-QWRGUYRKSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@H]1CN(C(=O)C2=CCCCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054600635 759872623 /nfs/dbraw/zinc/87/26/23/759872623.db2.gz QNVADSLULPERCR-WCQYABFASA-N -1 1 319.409 1.337 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001067254645 761056823 /nfs/dbraw/zinc/05/68/23/761056823.db2.gz HSTAWOVKFZPADI-QWHCGFSZSA-N -1 1 319.405 1.754 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1c1ncc(F)cn1 ZINC001050206686 763320811 /nfs/dbraw/zinc/32/08/11/763320811.db2.gz WTEREITXKAIHTJ-KOLCDFICSA-N -1 1 317.324 1.114 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)c1cncc(Cl)n1 ZINC001113574909 765896615 /nfs/dbraw/zinc/89/66/15/765896615.db2.gz JASCIDDGWFGUSD-VIFPVBQESA-N -1 1 321.768 1.485 20 0 DDADMM CCOc1cnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(Cl)c1 ZINC001170216501 766175188 /nfs/dbraw/zinc/17/51/88/766175188.db2.gz FUEBHDGTMHCZAY-UHFFFAOYSA-N -1 1 322.712 1.906 20 0 DDADMM CCOc1cc(C)c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cn1 ZINC001170217471 766176289 /nfs/dbraw/zinc/17/62/89/766176289.db2.gz IILJBUYHDMJXSN-UHFFFAOYSA-N -1 1 302.294 1.561 20 0 DDADMM CC(=O)Nc1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)cc1F ZINC001170226987 766201489 /nfs/dbraw/zinc/20/14/89/766201489.db2.gz GGWQLFVLCVRWNX-UHFFFAOYSA-N -1 1 302.269 1.900 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccc(=O)n(-c3ccccn3)c2)[n-]1 ZINC001170229663 766203569 /nfs/dbraw/zinc/20/35/69/766203569.db2.gz VXLHRFRTGCRCRX-UHFFFAOYSA-N -1 1 321.300 1.348 20 0 DDADMM COC(=O)c1c(F)cncc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170229343 766203678 /nfs/dbraw/zinc/20/36/78/766203678.db2.gz SKGDGXPGWPRAKH-UHFFFAOYSA-N -1 1 304.241 1.123 20 0 DDADMM O=C(N[C@H]1CCCN(c2ncc(F)cn2)C1)c1ncccc1[O-] ZINC001058147478 766273075 /nfs/dbraw/zinc/27/30/75/766273075.db2.gz KGPSRPFTHXTVDL-NSHDSACASA-N -1 1 317.324 1.115 20 0 DDADMM CCC[C@@H](O)C[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001116462561 780510962 /nfs/dbraw/zinc/51/09/62/780510962.db2.gz ZODWBCHFIGHXST-SSDOTTSWSA-N -1 1 313.206 1.828 20 0 DDADMM CC[C@H](F)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648703 768643188 /nfs/dbraw/zinc/64/31/88/768643188.db2.gz UBNFXIQBUTUJGL-MNOVXSKESA-N -1 1 309.341 1.256 20 0 DDADMM CC/C(C)=C\C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648383 768643495 /nfs/dbraw/zinc/64/34/95/768643495.db2.gz HOCIMRCGSOHPIR-KXXVWKPMSA-N -1 1 317.389 1.864 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1(CF)CC1 ZINC001070648642 768643757 /nfs/dbraw/zinc/64/37/57/768643757.db2.gz ROMRVIDCESHFKE-LLVKDONJSA-N -1 1 321.352 1.258 20 0 DDADMM Cc1ccccc1[C@@H](C)CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134727798 771143346 /nfs/dbraw/zinc/14/33/46/771143346.db2.gz WJINCROLBLZBCW-LBPRGKRZSA-N -1 1 317.393 1.218 20 0 DDADMM O=C(Nc1cccc(-n2cnnn2)c1)C(=O)c1ccc([O-])cc1 ZINC001135775697 771703532 /nfs/dbraw/zinc/70/35/32/771703532.db2.gz ILRBUWRKTAUIPV-UHFFFAOYSA-N -1 1 309.285 1.189 20 0 DDADMM CN(C)c1nc(NC(=O)CC2CCC(O)CC2)c(N=O)c(=O)[n-]1 ZINC001144342088 772486232 /nfs/dbraw/zinc/48/62/32/772486232.db2.gz ULTASNGFLGJLOE-UHFFFAOYSA-N -1 1 323.353 1.526 20 0 DDADMM Cc1ccccc1[C@@H](O)C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001144522696 772556726 /nfs/dbraw/zinc/55/67/26/772556726.db2.gz NEPVXGLQCLNCJW-SECBINFHSA-N -1 1 304.262 1.266 20 0 DDADMM COC[C@H]1CN(Cc2c(F)cc([O-])cc2F)Cc2nnn(C)c21 ZINC001144545036 772559486 /nfs/dbraw/zinc/55/94/86/772559486.db2.gz PLVBYFRNIIWPFD-SECBINFHSA-N -1 1 324.331 1.545 20 0 DDADMM COC(=O)C[C@@H]1CCCN(C(=O)c2c(CO)cnc(C)c2[O-])C1 ZINC001147837766 773264294 /nfs/dbraw/zinc/26/42/94/773264294.db2.gz CZFWYJYPGFBLHX-NSHDSACASA-N -1 1 322.361 1.003 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2ccccc2N(C)C)c1[O-] ZINC001147841722 773265233 /nfs/dbraw/zinc/26/52/33/773265233.db2.gz UVULMAGTRFTHGX-UHFFFAOYSA-N -1 1 301.346 1.906 20 0 DDADMM CS(=O)(=O)c1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1 ZINC001173943725 777377869 /nfs/dbraw/zinc/37/78/69/777377869.db2.gz MEBVITRDIBMDJI-UHFFFAOYSA-N -1 1 312.376 1.200 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)C[C@H]1C=CCC1 ZINC001101714693 777427344 /nfs/dbraw/zinc/42/73/44/777427344.db2.gz LTTVQTOLDCDYKV-FRRDWIJNSA-N -1 1 319.409 1.051 20 0 DDADMM COc1cc(C(=O)[O-])ccc1Nc1cc(=O)nc(N(C)C)[nH]1 ZINC001174673573 777545160 /nfs/dbraw/zinc/54/51/60/777545160.db2.gz IVXUBLYDSPASJL-UHFFFAOYSA-N -1 1 304.306 1.699 20 0 DDADMM CN(C)c1nc(Nc2ccc(N3CCOCC3)cc2)cc(=O)[n-]1 ZINC001174706723 777562817 /nfs/dbraw/zinc/56/28/17/777562817.db2.gz HJSXRTSRTFROQP-UHFFFAOYSA-N -1 1 315.377 1.828 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3c(c2)OCO3)c1 ZINC001174869287 777619069 /nfs/dbraw/zinc/61/90/69/777619069.db2.gz RHRDMIKCPYDVOF-UHFFFAOYSA-N -1 1 307.331 1.381 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccn4c3nc(C)cc4C)c1-2 ZINC001175105165 777678445 /nfs/dbraw/zinc/67/84/45/777678445.db2.gz SOTZYVTZZQHLMF-UHFFFAOYSA-N -1 1 321.344 1.552 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccc(N2CCCC2)nc1 ZINC001175348194 777744504 /nfs/dbraw/zinc/74/45/04/777744504.db2.gz BBRSDZWZJMRKKF-UHFFFAOYSA-N -1 1 304.379 1.792 20 0 DDADMM CN1CCN(c2cccnc2Nc2cncc(C(=O)[O-])c2)CC1 ZINC001175841048 777923566 /nfs/dbraw/zinc/92/35/66/777923566.db2.gz LZGNYMPSIHJKPA-UHFFFAOYSA-N -1 1 313.361 1.670 20 0 DDADMM CCCCCCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102543823 778197168 /nfs/dbraw/zinc/19/71/68/778197168.db2.gz OPWWIGZIVKZCGD-CHWSQXEVSA-N -1 1 323.441 1.665 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cncc(OCc2ccccc2)c1 ZINC001176837703 778269137 /nfs/dbraw/zinc/26/91/37/778269137.db2.gz BSRIFACCZOKVOI-UHFFFAOYSA-N -1 1 310.317 1.355 20 0 DDADMM COc1cc(C(F)(F)F)ccc1NC(=O)Cc1nn[n-]n1 ZINC001176842649 778271913 /nfs/dbraw/zinc/27/19/13/778271913.db2.gz OSKGZRDKNHSJNB-UHFFFAOYSA-N -1 1 301.228 1.408 20 0 DDADMM COC[C@H](NC(=O)c1cc(C)cc(C=O)c1[O-])[C@H]1CCCOC1 ZINC001178047438 778833333 /nfs/dbraw/zinc/83/33/33/778833333.db2.gz KHMNHTHWQHVXFF-WFASDCNBSA-N -1 1 321.373 1.685 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)[C@@H](c1ccccn1)C1CC1 ZINC001178245082 778950045 /nfs/dbraw/zinc/95/00/45/778950045.db2.gz ZWNWSVSLMQFOKK-CYBMUJFWSA-N -1 1 312.306 1.649 20 0 DDADMM C[C@@H]1CN(C(=O)C2=CCCC2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104067066 779287157 /nfs/dbraw/zinc/28/71/57/779287157.db2.gz JUEZLOYLQWKBSN-DGCLKSJQSA-N -1 1 319.409 1.195 20 0 DDADMM CN1CCC[C@H](NC(=O)N(CC(=O)[O-])Cc2ccccc2)C1 ZINC000692428751 779473337 /nfs/dbraw/zinc/47/33/37/779473337.db2.gz NGLUBXBQEKEPIK-AWEZNQCLSA-N -1 1 305.378 1.377 20 0 DDADMM COCCCCC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692823112 779489847 /nfs/dbraw/zinc/48/98/47/779489847.db2.gz KAJJEIMUQUPPDN-UHFFFAOYSA-N -1 1 310.778 1.969 20 0 DDADMM Nc1cn[n-]c(=NNC(=S)NC[C@@H]2CCCCO2)c1Cl ZINC001116388276 780504218 /nfs/dbraw/zinc/50/42/18/780504218.db2.gz FDDVWFYPHXXLMG-ZETCQYMHSA-N -1 1 316.818 1.072 20 0 DDADMM Cc1cc(C(=O)OCc2nc(=O)n(C)[n-]2)c2ccc(F)cc2n1 ZINC001116629160 780534645 /nfs/dbraw/zinc/53/46/45/780534645.db2.gz JZGVYZHPHRCAAT-UHFFFAOYSA-N -1 1 316.292 1.461 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCOC1)c1cnc(Cl)cc1Cl ZINC001117497962 780813988 /nfs/dbraw/zinc/81/39/88/780813988.db2.gz YIHCHDCPEDBXDB-SSDOTTSWSA-N -1 1 311.190 1.846 20 0 DDADMM CN(C)C(=O)OCCOC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC001117680094 780879361 /nfs/dbraw/zinc/87/93/61/780879361.db2.gz QYWNMBPHPVIZTP-UHFFFAOYSA-N -1 1 319.317 1.433 20 0 DDADMM CC(C)C[C@]1(C)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001117770983 780897235 /nfs/dbraw/zinc/89/72/35/780897235.db2.gz VABYYHJTAXTVDM-INIZCTEOSA-N -1 1 307.394 1.354 20 0 DDADMM CN(C)CCO[N-]C(=O)C1(c2cccc(Cl)c2)CC(=O)C1 ZINC001118763279 781235417 /nfs/dbraw/zinc/23/54/17/781235417.db2.gz CVTZAKLCDPMSKG-UHFFFAOYSA-N -1 1 310.781 1.550 20 0 DDADMM O=C([O-])[C@]1(N2CCN(C(=O)/C=C/C3CCCC3)CC2)CCOC1 ZINC001118916020 781271543 /nfs/dbraw/zinc/27/15/43/781271543.db2.gz XXZBKDDJPYIKPO-RTRPANQVSA-N -1 1 322.405 1.121 20 0 DDADMM C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)c1cc(Cl)ccc1[O-] ZINC001362150140 840993536 /nfs/dbraw/zinc/99/35/36/840993536.db2.gz UXLOMSXTMLAIDI-RKDXNWHRSA-N -1 1 319.810 1.939 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)CCC(C)=O)c1ccccc1N ZINC001142751285 861251976 /nfs/dbraw/zinc/25/19/76/861251976.db2.gz PFWVWEQKUZQTCR-UHFFFAOYSA-N -1 1 323.374 1.612 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)CCC(C)=O)c1ccccc1N ZINC001142751285 861251994 /nfs/dbraw/zinc/25/19/94/861251994.db2.gz PFWVWEQKUZQTCR-UHFFFAOYSA-N -1 1 323.374 1.612 20 0 DDADMM Cc1cc2[nH]nc(NC(=O)c3csc(C=O)c3)c2c(=O)n1C ZINC001142821596 861271343 /nfs/dbraw/zinc/27/13/43/861271343.db2.gz MAFBLJMMFQPKRE-UHFFFAOYSA-N -1 1 316.342 1.696 20 0 DDADMM CCCCCC(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001270207025 842385272 /nfs/dbraw/zinc/38/52/72/842385272.db2.gz BBMGXYNKAYPBJD-UHFFFAOYSA-N -1 1 323.441 1.159 20 0 DDADMM C[C@@](CNC(=O)c1ncccc1[O-])(NC(=O)C1CCC1)C1CC1 ZINC001409479349 845154667 /nfs/dbraw/zinc/15/46/67/845154667.db2.gz FPVAKGVOVPFYIV-KRWDZBQOSA-N -1 1 317.389 1.602 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2ccc3cccnc3c2[O-])C[C@@H]1C ZINC001149323603 861544658 /nfs/dbraw/zinc/54/46/58/861544658.db2.gz YOZLOCHYGHRWFB-GWCFXTLKSA-N -1 1 314.341 1.822 20 0 DDADMM O=C(c1ccc2cccnc2c1[O-])N1C[C@H](F)C[C@H](CO)C1 ZINC001149320565 861545741 /nfs/dbraw/zinc/54/57/41/861545741.db2.gz ZTJIIYJEIODXHZ-CMPLNLGQSA-N -1 1 304.321 1.733 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc4c3ncn4C)c1-2 ZINC001154991051 861627873 /nfs/dbraw/zinc/62/78/73/861627873.db2.gz ARCZWCNCYMHBKV-UHFFFAOYSA-N -1 1 307.317 1.174 20 0 DDADMM COC[C@H](NC(=O)C=C(C)c1ccc(OC)cc1)c1nn[n-]n1 ZINC001155070450 861700512 /nfs/dbraw/zinc/70/05/12/861700512.db2.gz WMFLYTOMFOHHOO-FROQITRMSA-N -1 1 317.349 1.116 20 0 DDADMM COC[C@@H](NC(=O)c1cc2c(cccc2F)s1)c1nn[n-]n1 ZINC001155068080 861700758 /nfs/dbraw/zinc/70/07/58/861700758.db2.gz FABCMFQCLUEEMD-SECBINFHSA-N -1 1 321.337 1.671 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3c(c2)ncn3C)n1 ZINC001128846873 847998138 /nfs/dbraw/zinc/99/81/38/847998138.db2.gz IPJJQTGMROCVIV-UHFFFAOYSA-N -1 1 313.317 1.725 20 0 DDADMM CC[C@@H](F)CN1CCCC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001034169089 848064992 /nfs/dbraw/zinc/06/49/92/848064992.db2.gz JFIMXXVFDVFPRE-VXGBXAGGSA-N -1 1 312.389 1.756 20 0 DDADMM CN(C(=O)c1[n-][nH]c2cc(=O)ccc1-2)[C@H]1CCC2(C1)OCCO2 ZINC001155266371 861912237 /nfs/dbraw/zinc/91/22/37/861912237.db2.gz DPOGTPJNZYOJFU-JTQLQIEISA-N -1 1 317.345 1.636 20 0 DDADMM CCOC(=O)C(F)(F)CNC(=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155269478 861914609 /nfs/dbraw/zinc/91/46/09/861914609.db2.gz HVWHXYZTNFEZJM-UHFFFAOYSA-N -1 1 313.260 1.197 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)c1c[nH]c2c1cccc2O ZINC001155305822 861949722 /nfs/dbraw/zinc/94/97/22/861949722.db2.gz RPRMCQNXRAQUIQ-UHFFFAOYSA-N -1 1 312.262 1.561 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H](C)C1CCC1 ZINC001410225927 848927979 /nfs/dbraw/zinc/92/79/79/848927979.db2.gz UIQYVUXAOCWEBW-GHMZBOCLSA-N -1 1 305.378 1.458 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H](C)C1CCC1 ZINC001410225991 848928019 /nfs/dbraw/zinc/92/80/19/848928019.db2.gz UIQYVUXAOCWEBW-MNOVXSKESA-N -1 1 305.378 1.458 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3c4cc[nH]c4ccc3F)c1-2 ZINC001155424685 862071880 /nfs/dbraw/zinc/07/18/80/862071880.db2.gz BEPFGWRTWSLLGY-UHFFFAOYSA-N -1 1 310.292 1.908 20 0 DDADMM Cc1ccc2ccc(C(=O)Nc3oncc3C(N)=O)c([O-])c2n1 ZINC001155653536 862344712 /nfs/dbraw/zinc/34/47/12/862344712.db2.gz LDBBVYMGSNQZFF-UHFFFAOYSA-N -1 1 312.285 1.588 20 0 DDADMM [O-]c1c(F)ccc(CN2CC3(CCN3Cc3cn[nH]c3)C2)c1F ZINC001275609809 853430833 /nfs/dbraw/zinc/43/08/33/853430833.db2.gz CXDGRGLNHDAFKI-UHFFFAOYSA-N -1 1 320.343 1.854 20 0 DDADMM CCN(C(=O)C12CC(NC(=O)c3cncc([O-])c3)(C1)C2)C(C)C ZINC001275622753 853448960 /nfs/dbraw/zinc/44/89/60/853448960.db2.gz DRARLNUVACDOGE-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM CCOC(=O)N1CCCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC001411706555 853609733 /nfs/dbraw/zinc/60/97/33/853609733.db2.gz MBQZJIRBQPQSKL-UHFFFAOYSA-N -1 1 310.325 1.836 20 0 DDADMM CCc1nc([C@H]2CCCCN2C(=O)c2cnncc2[O-])no1 ZINC001411798893 853753841 /nfs/dbraw/zinc/75/38/41/853753841.db2.gz JAMOGKHOOYCFIN-SNVBAGLBSA-N -1 1 303.322 1.495 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC[C@@H]2C[C@@]21C(=O)N1CC=CC1 ZINC001275984693 854081999 /nfs/dbraw/zinc/08/19/99/854081999.db2.gz SHNMKLYEJJUBAQ-DIFFPNOSSA-N -1 1 316.332 1.534 20 0 DDADMM CCc1c(NC(=O)CCCc2nn[n-]n2)cnn1CCC(C)C ZINC001412024972 854122451 /nfs/dbraw/zinc/12/24/51/854122451.db2.gz GVFDRLMKBVCVAX-UHFFFAOYSA-N -1 1 319.413 1.966 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2ncoc2C2CC2)s1 ZINC001412025332 854123144 /nfs/dbraw/zinc/12/31/44/854123144.db2.gz WIFIOMLYZLXXHY-UHFFFAOYSA-N -1 1 308.319 1.833 20 0 DDADMM CSc1ncc(C(=O)N2C[C@@H](O)Cc3ccccc32)c(=O)[n-]1 ZINC001412081796 854202025 /nfs/dbraw/zinc/20/20/25/854202025.db2.gz ZQVHEPUVAAZYBJ-JTQLQIEISA-N -1 1 317.370 1.468 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@]3(CC3(F)F)C2)c(=O)[n-]1 ZINC001412304075 854424374 /nfs/dbraw/zinc/42/43/74/854424374.db2.gz HWECNLWBLCENQL-NSHDSACASA-N -1 1 301.318 1.775 20 0 DDADMM CC[C@](O)(CC(=O)NC1(c2nn[n-]n2)CCC1)c1ccccc1 ZINC001412543240 854689739 /nfs/dbraw/zinc/68/97/39/854689739.db2.gz OJQWKEIJYWBULG-INIZCTEOSA-N -1 1 315.377 1.383 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC001412564252 854728100 /nfs/dbraw/zinc/72/81/00/854728100.db2.gz ZCVIWHPKHMQFKG-NXEZZACHSA-N -1 1 313.350 1.038 20 0 DDADMM CCC(CC)(CC(=O)OC)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001412609614 854807269 /nfs/dbraw/zinc/80/72/69/854807269.db2.gz KBPSBSGAVGBQNJ-UHFFFAOYSA-N -1 1 321.377 1.911 20 0 DDADMM COC[C@H](NC(=O)[C@H]1CCCc2sccc21)c1nn[n-]n1 ZINC001412615760 854818413 /nfs/dbraw/zinc/81/84/13/854818413.db2.gz XSEBAKNKFWXNTD-UWVGGRQHSA-N -1 1 307.379 1.185 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc(OCC(C)C)nc1 ZINC001328020687 862639206 /nfs/dbraw/zinc/63/92/06/862639206.db2.gz MQGULDDKSXOYAQ-UHFFFAOYSA-N -1 1 311.363 1.916 20 0 DDADMM CSc1nc(NC(=O)c2cc3n[nH]nc3cc2F)cc(=O)[n-]1 ZINC001156071847 862701549 /nfs/dbraw/zinc/70/15/49/862701549.db2.gz AXNBAWRIMZPKEJ-UHFFFAOYSA-N -1 1 320.309 1.567 20 0 DDADMM NC(=O)[C@H](NC(=O)c1c([O-])cccc1Cl)c1ccccn1 ZINC001413001081 855901935 /nfs/dbraw/zinc/90/19/35/855901935.db2.gz JDNSNWWSJCYQPH-GFCCVEGCSA-N -1 1 305.721 1.397 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](c1ccccn1)C1CC1 ZINC001413439082 856811755 /nfs/dbraw/zinc/81/17/55/856811755.db2.gz WAFSPCIUIZMIPQ-NSHDSACASA-N -1 1 308.363 1.390 20 0 DDADMM CC(C)c1nnsc1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001413558276 857064732 /nfs/dbraw/zinc/06/47/32/857064732.db2.gz HWTDVQHGVSWSIY-VIFPVBQESA-N -1 1 321.410 1.270 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ocnc2C)[n-]c1=O ZINC001413760000 858494512 /nfs/dbraw/zinc/49/45/12/858494512.db2.gz APAFOWUMTHDJNH-VIFPVBQESA-N -1 1 304.306 1.465 20 0 DDADMM CCCN(C)c1nnc(-c2nnn[n-]2)n1CCc1ccccc1 ZINC001122102928 858686269 /nfs/dbraw/zinc/68/62/69/858686269.db2.gz CJKUBAAKIBNIRX-UHFFFAOYSA-N -1 1 312.381 1.547 20 0 DDADMM CCCN(C)c1nnc(-c2nn[n-]n2)n1CCc1ccccc1 ZINC001122102928 858686275 /nfs/dbraw/zinc/68/62/75/858686275.db2.gz CJKUBAAKIBNIRX-UHFFFAOYSA-N -1 1 312.381 1.547 20 0 DDADMM COC[C@H]1CNCCN1C(=O)c1ccc2[n-]c(=S)oc2c1 ZINC001122331207 858757164 /nfs/dbraw/zinc/75/71/64/858757164.db2.gz JWDZUDBBRDEIIX-SNVBAGLBSA-N -1 1 307.375 1.177 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1ccc([C@H](C)O)cc1 ZINC001123732177 859383568 /nfs/dbraw/zinc/38/35/68/859383568.db2.gz RXSBWPVUDVFLIN-VIFPVBQESA-N -1 1 319.386 1.763 20 0 DDADMM C[C@@H]1CN2CCN1C[C@H]2C(=O)Nc1cc(Cl)c([O-])cc1F ZINC001123778903 859409831 /nfs/dbraw/zinc/40/98/31/859409831.db2.gz BVAOSJPUKJHIHU-PELKAZGASA-N -1 1 313.760 1.512 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CCCF ZINC001381277531 881419607 /nfs/dbraw/zinc/41/96/07/881419607.db2.gz JMAXOGIFPKLGFJ-LBPRGKRZSA-N -1 1 323.368 1.504 20 0 DDADMM O=C(CCc1c[nH]c2ccccc12)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123869829 859447997 /nfs/dbraw/zinc/44/79/97/859447997.db2.gz SPUDUQOVYSPUHJ-LBPRGKRZSA-N -1 1 310.361 1.630 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@H](C(C)(C)O)C1 ZINC001123881043 859453880 /nfs/dbraw/zinc/45/38/80/859453880.db2.gz LLDKTCTVEVPYPP-VIFPVBQESA-N -1 1 311.407 1.402 20 0 DDADMM Cc1ccnc(N2CCC(OCC[P@](=O)([O-])O)CC2)c1 ZINC001224601342 881462971 /nfs/dbraw/zinc/46/29/71/881462971.db2.gz GVPICZLPSMOMJD-UHFFFAOYSA-N -1 1 300.295 1.553 20 0 DDADMM [O-]c1c(F)cc(CN2CCN(c3ccncn3)CC2)cc1F ZINC001140990779 860753679 /nfs/dbraw/zinc/75/36/79/860753679.db2.gz QUTMFTVGMXXTQK-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM COc1ccc2c(=O)[nH]n(C(=O)C(=O)c3ccc([O-])cc3)c2c1 ZINC001141624255 860900315 /nfs/dbraw/zinc/90/03/15/860900315.db2.gz LLKBULGRLIUNNL-UHFFFAOYSA-N -1 1 312.281 1.979 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc4cnsc43)c1-2 ZINC001156660914 863240653 /nfs/dbraw/zinc/24/06/53/863240653.db2.gz NERGMHCDFWMCCT-UHFFFAOYSA-N -1 1 310.342 1.897 20 0 DDADMM C[C@@H]1CN(C)[C@@H](C)CN1C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152670815 863482671 /nfs/dbraw/zinc/48/26/71/863482671.db2.gz GBLOCXLRHBWKNZ-WDEREUQCSA-N -1 1 315.373 1.811 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)c2cccc(O)c2[n-]1)c1cnccn1 ZINC001152681302 863490326 /nfs/dbraw/zinc/49/03/26/863490326.db2.gz KVZMTIDHWJEMCR-SECBINFHSA-N -1 1 310.313 1.927 20 0 DDADMM O=C(c1c([O-])ccc2ccccc21)N1CC[C@H](O)[C@H](CO)C1 ZINC001153498410 863914770 /nfs/dbraw/zinc/91/47/70/863914770.db2.gz DQVHVKKLKNYUCM-JSGCOSHPSA-N -1 1 301.342 1.361 20 0 DDADMM CNc1cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)cc(Cl)n1 ZINC001153810698 864123393 /nfs/dbraw/zinc/12/33/93/864123393.db2.gz CDOZBWXVFACRRM-UHFFFAOYSA-N -1 1 323.788 1.588 20 0 DDADMM CN1CCN(C(=O)c2cc(=O)c3cc(Cl)ccc3[n-]2)CC1=O ZINC001153900311 864205353 /nfs/dbraw/zinc/20/53/53/864205353.db2.gz XVAWEMXSFKEHJU-UHFFFAOYSA-N -1 1 319.748 1.096 20 0 DDADMM CC(C)(O)CO[N-]C(=O)[C@H]1CCCCN1Cc1cccnc1 ZINC001331349573 865025840 /nfs/dbraw/zinc/02/58/40/865025840.db2.gz CNEQHSWVKINVKV-CQSZACIVSA-N -1 1 307.394 1.255 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cccnc3C(F)F)ccnc1-2 ZINC001361532484 881841038 /nfs/dbraw/zinc/84/10/38/881841038.db2.gz OLIKIAAAXVLCQC-UHFFFAOYSA-N -1 1 303.272 1.927 20 0 DDADMM Cc1noc([N-]C(=O)c2nnn(-c3ccc(F)c(F)c3)c2C)n1 ZINC001160593545 865962611 /nfs/dbraw/zinc/96/26/11/865962611.db2.gz YFEQIJFZZAJGFZ-UHFFFAOYSA-N -1 1 320.259 1.798 20 0 DDADMM CN(C(=O)c1ccc(/C=C\C(=O)[O-])o1)[C@@H]1CN2CCC1CC2 ZINC001332654288 866027904 /nfs/dbraw/zinc/02/79/04/866027904.db2.gz UXEPNHCQQPOSNS-HSBHDXMMSA-N -1 1 304.346 1.544 20 0 DDADMM COc1cccc2ccc(Nc3c(O)[nH]c(=O)[n-]c3=S)nc21 ZINC001160850237 866149717 /nfs/dbraw/zinc/14/97/17/866149717.db2.gz VWEJRIIVGWXMEI-LLVKDONJSA-N -1 1 316.342 1.191 20 0 DDADMM CSc1ccnc(Cl)c1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160854881 866151064 /nfs/dbraw/zinc/15/10/64/866151064.db2.gz ZTWSTGTVGNIWDG-ZCFIWIBFSA-N -1 1 316.795 1.404 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC001333383567 866693061 /nfs/dbraw/zinc/69/30/61/866693061.db2.gz NTORJOIYXMPSIR-GXTWGEPZSA-N -1 1 312.410 1.055 20 0 DDADMM C[C@H](CCO)C[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001320583453 866854258 /nfs/dbraw/zinc/85/42/58/866854258.db2.gz BTWPYBRNGQPKGJ-SSDOTTSWSA-N -1 1 313.206 1.685 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1C[C@@](C)(C(=O)OC)C1 ZINC001225900906 882100770 /nfs/dbraw/zinc/10/07/70/882100770.db2.gz JSQCZJBVVOUZJJ-XZWHAEGMSA-N -1 1 310.306 1.079 20 0 DDADMM CCCNC(=O)c1ccc(NC(=O)c2n[nH]c(C)c2[O-])cc1 ZINC001361666041 882115741 /nfs/dbraw/zinc/11/57/41/882115741.db2.gz FVSVPPQKFLKNAC-UHFFFAOYSA-N -1 1 302.334 1.816 20 0 DDADMM COc1ccc(C(=O)NCc2nn[n-]n2)cc1-c1ccccc1 ZINC001321718723 867753825 /nfs/dbraw/zinc/75/38/25/867753825.db2.gz PCJAYXMTESGFJC-UHFFFAOYSA-N -1 1 309.329 1.805 20 0 DDADMM O=C(Nc1nc(CN2CCOCC2)cs1)c1ccc([O-])cn1 ZINC001361667101 882118239 /nfs/dbraw/zinc/11/82/39/882118239.db2.gz TXELMTYQRXNJMQ-UHFFFAOYSA-N -1 1 320.374 1.328 20 0 DDADMM CCC(C)=CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001226086797 882196650 /nfs/dbraw/zinc/19/66/50/882196650.db2.gz HYSIABISYJBQBA-NRCZCXPTSA-N -1 1 307.398 1.384 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CC[C@H](C4CC4)O3)nc2n1 ZINC001361706384 882197543 /nfs/dbraw/zinc/19/75/43/882197543.db2.gz ZBNYGDFPGVUBLO-WDEREUQCSA-N -1 1 317.349 1.012 20 0 DDADMM CN(CC(=O)NCCC1=CCCCC1)C(=O)c1cnncc1[O-] ZINC001336122591 868743617 /nfs/dbraw/zinc/74/36/17/868743617.db2.gz ATQILXLVRXVZHE-UHFFFAOYSA-N -1 1 318.377 1.261 20 0 DDADMM C=C/C=C/CCNC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001336169764 868769820 /nfs/dbraw/zinc/76/98/20/868769820.db2.gz UYSCMCHMXQGZKV-ONEGZZNKSA-N -1 1 324.327 1.578 20 0 DDADMM CC1(C)CCc2onc(C(=O)[N-]c3nc4cnccn4n3)c2C1 ZINC001338088853 869792583 /nfs/dbraw/zinc/79/25/83/869792583.db2.gz ASOVQCMLWPPWSZ-UHFFFAOYSA-N -1 1 312.333 1.880 20 0 DDADMM COc1cc(NC(=O)c2cnncc2[O-])cc(-n2cccn2)c1 ZINC001297454201 870055001 /nfs/dbraw/zinc/05/50/01/870055001.db2.gz MASRYENZFICYLU-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM CCC(CC)(NC(=O)C=Cc1ccc(O)cc1)c1nn[n-]n1 ZINC001297487019 870073803 /nfs/dbraw/zinc/07/38/03/870073803.db2.gz DXENPYHJMJQBMK-JXMROGBWSA-N -1 1 301.350 1.750 20 0 DDADMM CN(C(=O)c1ccc(Br)cc1Cl)c1nn[n-]n1 ZINC001361800777 882399795 /nfs/dbraw/zinc/39/97/95/882399795.db2.gz KUTAUBNISTUEES-UHFFFAOYSA-N -1 1 316.546 1.892 20 0 DDADMM CCCCC(=O)NCC1=CCN(C(=O)c2ncccc2[O-])CC1 ZINC001339372135 870468104 /nfs/dbraw/zinc/46/81/04/870468104.db2.gz XAXDMIXIFHRISZ-UHFFFAOYSA-N -1 1 317.389 1.866 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@](C)(c2ccccc2)C1 ZINC001339543972 870548430 /nfs/dbraw/zinc/54/84/30/870548430.db2.gz PGDJUBMWKUICAF-INIZCTEOSA-N -1 1 324.392 1.553 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@](C)(c2ccccc2)C1 ZINC001339543972 870548442 /nfs/dbraw/zinc/54/84/42/870548442.db2.gz PGDJUBMWKUICAF-INIZCTEOSA-N -1 1 324.392 1.553 20 0 DDADMM CC[C@H](C)CC(=O)NCCC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001317421499 870798413 /nfs/dbraw/zinc/79/84/13/870798413.db2.gz OAYDSURAUAXLFF-STQMWFEESA-N -1 1 323.441 1.807 20 0 DDADMM C/C=C(\C)C(=O)NCCC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001317428494 870816746 /nfs/dbraw/zinc/81/67/46/870816746.db2.gz XRQSPLIROCSWCL-JPOQUURFSA-N -1 1 307.398 1.337 20 0 DDADMM Cc1cccc(CO[N-]C(=O)[C@H]2C[C@H]2NC(=O)OC(C)(C)C)n1 ZINC001301633663 871011383 /nfs/dbraw/zinc/01/13/83/871011383.db2.gz AKKMXKRVLRSFGN-QWHCGFSZSA-N -1 1 321.377 1.851 20 0 DDADMM CCC(=CC(=O)N[C@@H](COC)c1nn[n-]n1)c1ccc(C)cc1 ZINC001303580028 871139823 /nfs/dbraw/zinc/13/98/23/871139823.db2.gz NBEMOMRTXNCDMM-UQTJOTSZSA-N -1 1 315.377 1.805 20 0 DDADMM O=C(c1ccc2cnccc2n1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001303596964 871145480 /nfs/dbraw/zinc/14/54/80/871145480.db2.gz TVWJRIZFLYJUEW-LLVKDONJSA-N -1 1 323.360 1.238 20 0 DDADMM COC(=O)c1c(F)ccc(-c2ccc(-c3nnn[n-]3)nc2)c1F ZINC001204731204 871212730 /nfs/dbraw/zinc/21/27/30/871212730.db2.gz KDUUJNGHOLBPSF-UHFFFAOYSA-N -1 1 317.255 1.994 20 0 DDADMM COC(=O)c1c(F)ccc(-c2ccc(-c3nn[n-]n3)nc2)c1F ZINC001204731204 871212745 /nfs/dbraw/zinc/21/27/45/871212745.db2.gz KDUUJNGHOLBPSF-UHFFFAOYSA-N -1 1 317.255 1.994 20 0 DDADMM Cc1ccc([C@H](CNC(=O)C(=O)[N-]OCC(C)C)N(C)C)cc1 ZINC001340818771 871368965 /nfs/dbraw/zinc/36/89/65/871368965.db2.gz ZUIZQMDSHYLVMS-HNNXBMFYSA-N -1 1 321.421 1.418 20 0 DDADMM CCn1nccc1Nc1cc(S(=O)(=O)C(N)=O)ccc1[O-] ZINC001205331134 871496875 /nfs/dbraw/zinc/49/68/75/871496875.db2.gz PVZQKXSFHNJROA-UHFFFAOYSA-N -1 1 310.335 1.619 20 0 DDADMM COC(=O)c1cccc(NC(=O)c2cnc(SC)[n-]c2=O)c1 ZINC001361858602 882514627 /nfs/dbraw/zinc/51/46/27/882514627.db2.gz QLOILYSCYWIYQY-UHFFFAOYSA-N -1 1 319.342 1.943 20 0 DDADMM CCOC(=O)[C@@H]1[C@H]2CC[C@H](C2)N1C(=O)c1ncc(C)cc1[O-] ZINC001413839531 871549068 /nfs/dbraw/zinc/54/90/68/871549068.db2.gz WZTBLSVDMVVHGC-WDMOLILDSA-N -1 1 304.346 1.652 20 0 DDADMM O=C(NCc1ccncn1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001311063801 871672494 /nfs/dbraw/zinc/67/24/94/871672494.db2.gz SYNWLSNMBMNHIN-UHFFFAOYSA-N -1 1 310.317 1.690 20 0 DDADMM O=C(NCc1ccc(Br)s1)c1cnncc1[O-] ZINC001361878252 882558708 /nfs/dbraw/zinc/55/87/08/882558708.db2.gz BBBPTKPOZNMLLG-UHFFFAOYSA-N -1 1 314.164 1.936 20 0 DDADMM O=C([O-])c1cc(-c2ncccc2C(=O)N2CCNCC2)cs1 ZINC001206256923 872164064 /nfs/dbraw/zinc/16/40/64/872164064.db2.gz NAVONZMJZKZBEE-UHFFFAOYSA-N -1 1 317.370 1.554 20 0 DDADMM CCCN(C(=O)c1ccc(F)c([O-])c1)[C@H]1CCS(=O)(=O)C1 ZINC001361891656 882587569 /nfs/dbraw/zinc/58/75/69/882587569.db2.gz YHGXDDFFGFPJSN-NSHDSACASA-N -1 1 315.366 1.571 20 0 DDADMM CCOCCN(CC)c1nnc(-c2nc(Cl)n[n-]2)n1CC ZINC001342593020 872283910 /nfs/dbraw/zinc/28/39/10/872283910.db2.gz XNHVHABOZKUOJG-UHFFFAOYSA-N -1 1 313.793 1.599 20 0 DDADMM C[C@@H]1CCCN1c1nnc(-c2nnn[n-]2)n1Cc1cccs1 ZINC001343486145 872643887 /nfs/dbraw/zinc/64/38/87/872643887.db2.gz DGNRGTFDPDEAQK-SECBINFHSA-N -1 1 316.394 1.557 20 0 DDADMM C[C@@H]1CCCN1c1nnc(-c2nn[n-]n2)n1Cc1cccs1 ZINC001343486145 872643898 /nfs/dbraw/zinc/64/38/98/872643898.db2.gz DGNRGTFDPDEAQK-SECBINFHSA-N -1 1 316.394 1.557 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2csc(=O)[nH]2)[n-]c1Cl ZINC001361934450 882668830 /nfs/dbraw/zinc/66/88/30/882668830.db2.gz SZURAELOJSWGJC-UHFFFAOYSA-N -1 1 316.726 1.654 20 0 DDADMM CCOC(=O)c1n[n-]c(CNCc2nc3cc(F)ccc3o2)n1 ZINC001207391668 873227808 /nfs/dbraw/zinc/22/78/08/873227808.db2.gz IOPGWLFGCFZPJT-UHFFFAOYSA-N -1 1 319.296 1.552 20 0 DDADMM C[C@@H](COC(C)(C)C)Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c21 ZINC001226888874 882704549 /nfs/dbraw/zinc/70/45/49/882704549.db2.gz WOWPTWVPFVHQRM-LURJTMIESA-N -1 1 310.310 1.119 20 0 DDADMM CCOC(=O)CN(C(=O)c1cnc(SC)[n-]c1=O)C1CC1 ZINC001361973355 882740796 /nfs/dbraw/zinc/74/07/96/882740796.db2.gz NQFPEWSDKMANKW-UHFFFAOYSA-N -1 1 311.363 1.072 20 0 DDADMM Cc1nocc1CN(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001378037253 873956706 /nfs/dbraw/zinc/95/67/06/873956706.db2.gz KANQLOLHMOQWDU-JTQLQIEISA-N -1 1 304.350 1.334 20 0 DDADMM Cc1cccnc1CCCNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001347149795 874033448 /nfs/dbraw/zinc/03/34/48/874033448.db2.gz VFYVNSPUJDIQTN-UHFFFAOYSA-N -1 1 318.402 1.845 20 0 DDADMM CCCCCCO[C@@H](C)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001347660995 874231603 /nfs/dbraw/zinc/23/16/03/874231603.db2.gz NVVWAKNZTCPCQI-KBPBESRZSA-N -1 1 323.441 1.966 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](c1ccccc1)[C@@H](C)O ZINC001347842692 874288636 /nfs/dbraw/zinc/28/86/36/874288636.db2.gz YOOMVOXBWBBMGA-SKDRFNHKSA-N -1 1 319.386 1.631 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C=Cc2nccs2)[n-]c1=O ZINC001347883819 874298767 /nfs/dbraw/zinc/29/87/67/874298767.db2.gz JIZGHJUTIVPYFA-SNAWJCMRSA-N -1 1 320.374 1.712 20 0 DDADMM CC1(CCC(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCCC2)CC1 ZINC001378367559 874760392 /nfs/dbraw/zinc/76/03/92/874760392.db2.gz JMYQCPZACOIZJI-UHFFFAOYSA-N -1 1 321.425 1.609 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CSC[C@@H]1C(=O)N1CCCC1 ZINC001362036003 882886024 /nfs/dbraw/zinc/88/60/24/882886024.db2.gz LWZXBFWTQLJBLF-GFCCVEGCSA-N -1 1 324.377 1.669 20 0 DDADMM COCC(=O)c1ccc([O-])cc1O[C@@H](C)CN1CCOCC1 ZINC001227169027 882890511 /nfs/dbraw/zinc/89/05/11/882890511.db2.gz OWBINMISWIZGQF-LBPRGKRZSA-N -1 1 309.362 1.321 20 0 DDADMM C[C@@H]1CCCN(C(=O)CCNC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC001349669925 875295822 /nfs/dbraw/zinc/29/58/22/875295822.db2.gz XFBXZPGSVFYTAW-GFCCVEGCSA-N -1 1 318.373 1.340 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C1(C)CCCCCC1 ZINC001378779020 875616951 /nfs/dbraw/zinc/61/69/51/875616951.db2.gz VXHCQIWXXGEAPK-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM COc1nccc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)c1OC ZINC001211086741 875652772 /nfs/dbraw/zinc/65/27/72/875652772.db2.gz MTZSZQBLDMFUEM-UHFFFAOYSA-N -1 1 320.309 1.802 20 0 DDADMM Cc1ccccc1[C@@H]1C[C@H](C)N(c2nnc(-c3nnn[n-]3)n2C)C1 ZINC001350430060 875684143 /nfs/dbraw/zinc/68/41/43/875684143.db2.gz CAHDMYIRDONMKU-NWDGAFQWSA-N -1 1 324.392 1.686 20 0 DDADMM Cc1ccccc1[C@@H]1C[C@H](C)N(c2nnc(-c3nn[n-]n3)n2C)C1 ZINC001350430060 875684148 /nfs/dbraw/zinc/68/41/48/875684148.db2.gz CAHDMYIRDONMKU-NWDGAFQWSA-N -1 1 324.392 1.686 20 0 DDADMM CON(C)C(=O)c1cccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c1 ZINC001211392255 875789011 /nfs/dbraw/zinc/78/90/11/875789011.db2.gz GHUQJPRSMHPLDC-UHFFFAOYSA-N -1 1 321.362 1.430 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001381935271 882993010 /nfs/dbraw/zinc/99/30/10/882993010.db2.gz TWIXUAOUAUZSJF-QWRGUYRKSA-N -1 1 305.378 1.410 20 0 DDADMM Cc1occc1C(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001378987866 876083426 /nfs/dbraw/zinc/08/34/26/876083426.db2.gz XIAIEESSGCRJOU-LBPRGKRZSA-N -1 1 319.365 1.052 20 0 DDADMM CO[C@@H](C)CCC(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001351407569 876235240 /nfs/dbraw/zinc/23/52/40/876235240.db2.gz UIKXZPGNONLEMM-LBPRGKRZSA-N -1 1 323.393 1.181 20 0 DDADMM COc1ccnc(-c2noc(-c3ccc([O-])c(=O)[nH]3)n2)c1F ZINC001214538241 876383916 /nfs/dbraw/zinc/38/39/16/876383916.db2.gz QQJIJMCDTFROGU-UHFFFAOYSA-N -1 1 304.237 1.753 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc([S@@](C)=O)cc2)c(=O)[n-]1 ZINC001362278893 883468627 /nfs/dbraw/zinc/46/86/27/883468627.db2.gz NTNSRTCJIBEXTE-OAQYLSRUSA-N -1 1 323.399 1.894 20 0 DDADMM O=C(c1cc(-n2cccc2)ccn1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001352584046 876817685 /nfs/dbraw/zinc/81/76/85/876817685.db2.gz HXBGXGJCKKLJJL-LLVKDONJSA-N -1 1 309.333 1.015 20 0 DDADMM CC[C@H](C)CCC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216040837 876848859 /nfs/dbraw/zinc/84/88/59/876848859.db2.gz CWXIHVOYGKSEIT-QJPTWQEYSA-N -1 1 309.414 1.273 20 0 DDADMM O=C([N-]CC1CN(C(=O)NC/C=C/C2CC2)C1)C(F)(F)F ZINC001352717096 876881323 /nfs/dbraw/zinc/88/13/23/876881323.db2.gz BSGKGUKKHWEXMS-OWOJBTEDSA-N -1 1 305.300 1.273 20 0 DDADMM O=C(C=Cc1cccc(F)c1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001352793079 876933989 /nfs/dbraw/zinc/93/39/89/876933989.db2.gz FXBMLJKHRJNJPD-FWWRYZNZSA-N -1 1 315.352 1.833 20 0 DDADMM CC/C(C)=C\C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001352816108 876952410 /nfs/dbraw/zinc/95/24/10/876952410.db2.gz STXUSVALKNILJE-MMRAYRKESA-N -1 1 303.362 1.474 20 0 DDADMM O=c1nc(O[C@H](c2ccccc2)C2CCOCC2)[n-]c(=O)[nH]1 ZINC001227571431 883089072 /nfs/dbraw/zinc/08/90/72/883089072.db2.gz UJILSRPHQCMYSD-GFCCVEGCSA-N -1 1 303.318 1.830 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)CN(C)Cc2cnccc2C)c1[O-] ZINC001379640440 877613035 /nfs/dbraw/zinc/61/30/35/877613035.db2.gz HXMUTKUJCOTIRF-NSHDSACASA-N -1 1 317.393 1.377 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)Nc1cccc(N)c1 ZINC001218828388 877755271 /nfs/dbraw/zinc/75/52/71/877755271.db2.gz OKUOJNSKTFGYNF-UHFFFAOYSA-N -1 1 311.388 1.954 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C(=O)C(C)(C)CC)n[n-]1 ZINC001300604184 877964968 /nfs/dbraw/zinc/96/49/68/877964968.db2.gz XPOSPTVHQRHEML-MRVPVSSYSA-N -1 1 310.354 1.164 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C(=O)C(C)(C)CC)[n-]1 ZINC001300604184 877964974 /nfs/dbraw/zinc/96/49/74/877964974.db2.gz XPOSPTVHQRHEML-MRVPVSSYSA-N -1 1 310.354 1.164 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C(=O)C(C)(C)CC)n1 ZINC001300604184 877964984 /nfs/dbraw/zinc/96/49/84/877964984.db2.gz XPOSPTVHQRHEML-MRVPVSSYSA-N -1 1 310.354 1.164 20 0 DDADMM COC(=O)CC(C)(C)C[N-]S(=O)(=O)c1csnc1OC ZINC001364964726 889773243 /nfs/dbraw/zinc/77/32/43/889773243.db2.gz DPZAHWGPZNUYNK-UHFFFAOYSA-N -1 1 322.408 1.019 20 0 DDADMM COC(=O)CCCN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000315077119 878932280 /nfs/dbraw/zinc/93/22/80/878932280.db2.gz IBILPDKLJXQNGU-UHFFFAOYSA-N -1 1 309.366 1.639 20 0 DDADMM Nc1cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)ccc1Cl ZINC001220471064 879043256 /nfs/dbraw/zinc/04/32/56/879043256.db2.gz BPMLTTDDVRWVLD-UHFFFAOYSA-N -1 1 304.697 1.546 20 0 DDADMM Cc1nc(CN2CCN(C(=O)c3ncccc3[O-])CC2)co1 ZINC001374283953 912433781 /nfs/dbraw/zinc/43/37/81/912433781.db2.gz RUIYQAZSBKKQAF-UHFFFAOYSA-N -1 1 302.334 1.042 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)c2ccc(F)cc2N)c1 ZINC000316607838 879427490 /nfs/dbraw/zinc/42/74/90/879427490.db2.gz JOZPYHCIKZJOHF-UHFFFAOYSA-N -1 1 324.333 1.995 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CCC1CC1 ZINC001416211385 881005122 /nfs/dbraw/zinc/00/51/22/881005122.db2.gz VKWNYAGMHYPUDA-GFCCVEGCSA-N -1 1 305.378 1.602 20 0 DDADMM CCC(=O)N[C@H](C)CN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001381991772 883133794 /nfs/dbraw/zinc/13/37/94/883133794.db2.gz UYJXEYAJFSPJIJ-SECBINFHSA-N -1 1 306.366 1.046 20 0 DDADMM CO[C@@H](C(=O)Nc1nn[n-]n1)c1ccccc1Br ZINC001362140870 883140213 /nfs/dbraw/zinc/14/02/13/883140213.db2.gz PLAOTINVHFFDNA-MRVPVSSYSA-N -1 1 312.127 1.288 20 0 DDADMM CO[C@@H](C(=O)Nc1nnn[n-]1)c1ccccc1Br ZINC001362140870 883140204 /nfs/dbraw/zinc/14/02/04/883140204.db2.gz PLAOTINVHFFDNA-MRVPVSSYSA-N -1 1 312.127 1.288 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)c1nc(C2CCCCC2)no1 ZINC001362171958 883207808 /nfs/dbraw/zinc/20/78/08/883207808.db2.gz JGPGGHPTCKBPEX-SECBINFHSA-N -1 1 319.369 1.440 20 0 DDADMM CC(C)=CC(=O)NC[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001288034709 912684089 /nfs/dbraw/zinc/68/40/89/912684089.db2.gz SVDOUTNPPUBCNA-QWHCGFSZSA-N -1 1 317.389 1.768 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc([O-])cn2)[C@@H](CC)CN1C(C)=O ZINC001362196114 883267179 /nfs/dbraw/zinc/26/71/79/883267179.db2.gz ARCOLNFPPGUYLS-OLZOCXBDSA-N -1 1 305.378 1.649 20 0 DDADMM CCC[C@H](NC(=O)CCCc1nc(C)cs1)c1nn[n-]n1 ZINC001362212311 883310698 /nfs/dbraw/zinc/31/06/98/883310698.db2.gz VWKLDLGQTZOXGD-JTQLQIEISA-N -1 1 308.411 1.945 20 0 DDADMM CSc1nc(OC2CCN(c3ncccn3)CC2)cc(=O)[n-]1 ZINC001228090089 883328494 /nfs/dbraw/zinc/32/84/94/883328494.db2.gz IHVHVKGLGVJQCJ-UHFFFAOYSA-N -1 1 319.390 1.742 20 0 DDADMM Cc1nnc(NC(=O)c2cc(Br)c(F)cc2[O-])[nH]1 ZINC001362225014 883344592 /nfs/dbraw/zinc/34/45/92/883344592.db2.gz XWAZSDYRBVKXGQ-UHFFFAOYSA-N -1 1 315.102 1.973 20 0 DDADMM O=C(NC[C@@H](O)COc1ccc(F)cc1)c1ccc([O-])cc1F ZINC001362257129 883422416 /nfs/dbraw/zinc/42/24/16/883422416.db2.gz ZCMRYHUFUSRZOJ-GFCCVEGCSA-N -1 1 323.295 1.840 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H](C)C(=O)OCc2ccccc2)n1 ZINC001228310723 883438209 /nfs/dbraw/zinc/43/82/09/883438209.db2.gz HELIJEKESHQLRY-VIFPVBQESA-N -1 1 305.290 1.102 20 0 DDADMM COC(=O)c1nc(O[C@@H](C)C(=O)OCc2ccccc2)n[n-]1 ZINC001228310723 883438211 /nfs/dbraw/zinc/43/82/11/883438211.db2.gz HELIJEKESHQLRY-VIFPVBQESA-N -1 1 305.290 1.102 20 0 DDADMM CC(C)(CO)[C@H](CNC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC001362267846 883444339 /nfs/dbraw/zinc/44/43/39/883444339.db2.gz KMJYNLVTONWPBG-CYBMUJFWSA-N -1 1 317.393 1.051 20 0 DDADMM O=C(N[C@@H](CO)Cc1ccncc1)c1c(F)ccc([O-])c1F ZINC001362361618 883645040 /nfs/dbraw/zinc/64/50/40/883645040.db2.gz ZPTSAEILKATPLA-SNVBAGLBSA-N -1 1 308.284 1.399 20 0 DDADMM COCC1(C)CCN(C(=O)c2cnc(SC)[n-]c2=O)CC1 ZINC001362384227 883689726 /nfs/dbraw/zinc/68/97/26/883689726.db2.gz RSTFIYRGDODBOK-UHFFFAOYSA-N -1 1 311.407 1.793 20 0 DDADMM CCC[C@H](NC(=O)Cc1nc(C2CC2)cs1)c1nn[n-]n1 ZINC001362394345 883710145 /nfs/dbraw/zinc/71/01/45/883710145.db2.gz QAJGBMOXUGISPK-VIFPVBQESA-N -1 1 306.395 1.734 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc([O-])cn1)c1ccccc1F ZINC001362462577 883868859 /nfs/dbraw/zinc/86/88/59/883868859.db2.gz IRTSSSHAFXQHGU-CYBMUJFWSA-N -1 1 304.277 1.570 20 0 DDADMM CCC(CC)(NC(=O)c1ccn(C2CCCC2)n1)c1nn[n-]n1 ZINC001362495463 883937802 /nfs/dbraw/zinc/93/78/02/883937802.db2.gz IVOMLXZIIAUYDF-UHFFFAOYSA-N -1 1 317.397 1.957 20 0 DDADMM C[C@H]1CCc2[nH]nc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)c2C1 ZINC001362562059 884099957 /nfs/dbraw/zinc/09/99/57/884099957.db2.gz KQGBKALPGGHLRT-VHSXEESVSA-N -1 1 315.381 1.067 20 0 DDADMM O=C(N[C@H]1CCOC2(CCOCC2)C1)c1cccc([O-])c1F ZINC001362574822 884125354 /nfs/dbraw/zinc/12/53/54/884125354.db2.gz MYLOAMBPZQXBPR-NSHDSACASA-N -1 1 309.337 1.989 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cccs1 ZINC001382513042 884163635 /nfs/dbraw/zinc/16/36/35/884163635.db2.gz YOEAXHAHZWPGJG-SNVBAGLBSA-N -1 1 323.422 1.506 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H](Oc2nc(=O)[n-]cc2C(=O)OC)CC1 ZINC001229869861 884202325 /nfs/dbraw/zinc/20/23/25/884202325.db2.gz AXNKYINJWMCADO-MGCOHNPYSA-N -1 1 324.333 1.470 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C[C@@H]1OC ZINC001362623426 884248378 /nfs/dbraw/zinc/24/83/78/884248378.db2.gz RXNJCXSQXWWGNQ-TXEJJXNPSA-N -1 1 319.279 1.897 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CC[C@]2(C1)C(=O)Nc1ccccc12 ZINC001362662657 884354759 /nfs/dbraw/zinc/35/47/59/884354759.db2.gz OSOZQFKYKZLBKO-QGZVFWFLSA-N -1 1 309.325 1.523 20 0 DDADMM CSc1ncc(C(=O)N2C[C@@H]3C[C@H](O)CC[C@@H]3C2)c(=O)[n-]1 ZINC001362673844 884382228 /nfs/dbraw/zinc/38/22/28/884382228.db2.gz XPWUZUXXOAROBB-KXUCPTDWSA-N -1 1 309.391 1.137 20 0 DDADMM O=C(c1ncc(Cl)cn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001362704223 884449932 /nfs/dbraw/zinc/44/99/32/884449932.db2.gz MKFURUGJGCWNND-SSDOTTSWSA-N -1 1 309.713 1.238 20 0 DDADMM C[C@@H]1CC(=O)N(C)c2ccc(NC(=O)CCc3nn[n-]n3)cc21 ZINC001362754349 884559855 /nfs/dbraw/zinc/55/98/55/884559855.db2.gz RTDGBZGLITZSPN-SECBINFHSA-N -1 1 314.349 1.241 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)c2ccc(C)nc2NC)n1 ZINC001362822188 884720356 /nfs/dbraw/zinc/72/03/56/884720356.db2.gz UUSQULXUDUWLGU-UHFFFAOYSA-N -1 1 303.322 1.584 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(C)nc2NC)n1 ZINC001362822188 884720374 /nfs/dbraw/zinc/72/03/74/884720374.db2.gz UUSQULXUDUWLGU-UHFFFAOYSA-N -1 1 303.322 1.584 20 0 DDADMM CCCC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001382842579 884789867 /nfs/dbraw/zinc/78/98/67/884789867.db2.gz MPUXAWZZCVSNQJ-VXGBXAGGSA-N -1 1 305.378 1.697 20 0 DDADMM C[C@H](Oc1ccccc1F)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001362850052 884793361 /nfs/dbraw/zinc/79/33/61/884793361.db2.gz LCBOXEUQWLFMAU-VIFPVBQESA-N -1 1 305.313 1.302 20 0 DDADMM COC(=O)[C@@H](F)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001362891787 884899336 /nfs/dbraw/zinc/89/93/36/884899336.db2.gz FMAHIZPVFGKTFR-LBPRGKRZSA-N -1 1 307.277 1.492 20 0 DDADMM COC[C@H](NC(=O)CC[C@H]1CCc2ccccc21)c1nn[n-]n1 ZINC001362897852 884918599 /nfs/dbraw/zinc/91/85/99/884918599.db2.gz GVJWOZUXALZVSE-OCCSQVGLSA-N -1 1 315.377 1.514 20 0 DDADMM CC[C@@H]1CO[C@@H](CC)CN1C(=O)c1cnc(SC)[n-]c1=O ZINC001362921697 884991488 /nfs/dbraw/zinc/99/14/88/884991488.db2.gz QHMIARQFJLAHBC-ZJUUUORDSA-N -1 1 311.407 1.934 20 0 DDADMM COC(=O)c1ccccc1CCNC(=O)c1cncc([O-])c1 ZINC001362989459 885161982 /nfs/dbraw/zinc/16/19/82/885161982.db2.gz VLNBSGJXXZRJER-UHFFFAOYSA-N -1 1 300.314 1.546 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccc(F)cc2)C1=O)c1ccc([O-])cn1 ZINC001363054610 885344586 /nfs/dbraw/zinc/34/45/86/885344586.db2.gz DPUBRXWGDMKDGP-CQSZACIVSA-N -1 1 315.304 1.462 20 0 DDADMM COC(=O)[C@@H](CNC(=O)CCCc1nn[n-]n1)CC1CCCC1 ZINC001363054361 885345303 /nfs/dbraw/zinc/34/53/03/885345303.db2.gz XZIYYPRHLIKMTK-GFCCVEGCSA-N -1 1 323.397 1.008 20 0 DDADMM C[C@@H](CN1CCOCC1)Oc1cccnc1-c1ncccc1[O-] ZINC001231199507 885371962 /nfs/dbraw/zinc/37/19/62/885371962.db2.gz DGJAQJVDJVYLQG-ZDUSSCGKSA-N -1 1 315.373 1.949 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1c2c[nH]nc2CC[C@H]1C ZINC001363277979 885893004 /nfs/dbraw/zinc/89/30/04/885893004.db2.gz WXDUEYAOFWYLDP-QMTHXVAHSA-N -1 1 317.397 1.274 20 0 DDADMM O=C(CCSc1ccccc1)NCc1nc([O-])cc(=O)[nH]1 ZINC001363329283 886037787 /nfs/dbraw/zinc/03/77/87/886037787.db2.gz UAXCJDUPEPHLPO-UHFFFAOYSA-N -1 1 305.359 1.686 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)C1=CCCC1 ZINC001383587327 886086221 /nfs/dbraw/zinc/08/62/21/886086221.db2.gz VTCXHHQHHBLOOE-NEPJUHHUSA-N -1 1 317.389 1.911 20 0 DDADMM Cn1cc(NC(=O)CCc2nn[n-]n2)c(C2CCCCC2)n1 ZINC001363374902 886167213 /nfs/dbraw/zinc/16/72/13/886167213.db2.gz ODTMSDVNIZVNKS-UHFFFAOYSA-N -1 1 303.370 1.552 20 0 DDADMM C[C@@H](c1ccccc1)[C@H](NC(=O)c1ccc(F)c([O-])c1)C(N)=O ZINC001363374953 886168164 /nfs/dbraw/zinc/16/81/64/886168164.db2.gz PCRMNCFCRWYNFE-BONVTDFDSA-N -1 1 316.332 1.919 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc(OC(F)F)nc1 ZINC001363376501 886172659 /nfs/dbraw/zinc/17/26/59/886172659.db2.gz UOGSBLVDTBKVSE-UHFFFAOYSA-N -1 1 310.264 1.005 20 0 DDADMM CC(C)[C@H]1C[C@@H](CC(=O)NC2(c3nn[n-]n3)CCC2)CCO1 ZINC001363376596 886173384 /nfs/dbraw/zinc/17/33/84/886173384.db2.gz YIXQEXSAOPVQAB-NWDGAFQWSA-N -1 1 307.398 1.536 20 0 DDADMM Cc1ccc(C)c(C(=O)CNC(=O)CCCc2nn[n-]n2)c1 ZINC001363407457 886250823 /nfs/dbraw/zinc/25/08/23/886250823.db2.gz LJMJNGYUPGIIBB-UHFFFAOYSA-N -1 1 301.350 1.138 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1CCC[C@H]2C[C@H]21 ZINC001363478585 886447795 /nfs/dbraw/zinc/44/77/95/886447795.db2.gz JVXLSWUPKCZKFX-SDDRHHMPSA-N -1 1 303.362 1.069 20 0 DDADMM CCc1cccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c1O ZINC001363489891 886474096 /nfs/dbraw/zinc/47/40/96/886474096.db2.gz GWLBETWVIZEAHN-NSHDSACASA-N -1 1 321.406 1.692 20 0 DDADMM O=C(N[C@@H]1CCO[C@H]1c1ccc(F)c(F)c1)c1cnncc1[O-] ZINC001363516151 886531635 /nfs/dbraw/zinc/53/16/35/886531635.db2.gz UMKMXFITKFJABG-OCCSQVGLSA-N -1 1 321.283 1.720 20 0 DDADMM O=C(N[C@@H]1Cc2cnccc2NC1=O)c1ccc([O-])c(F)c1 ZINC001363543969 886594870 /nfs/dbraw/zinc/59/48/70/886594870.db2.gz DTKPGHDKOYUDFT-GFCCVEGCSA-N -1 1 301.277 1.220 20 0 DDADMM COC(=O)C1(O)CCN(Cc2ccc(F)c([O-])c2F)CC1 ZINC001232940995 886685783 /nfs/dbraw/zinc/68/57/83/886685783.db2.gz RAGXAAXEAPSYAT-UHFFFAOYSA-N -1 1 301.289 1.170 20 0 DDADMM CN(Cc1cc(Cl)ncc1[O-])C1CCS(=O)(=O)CC1 ZINC001233039138 886747947 /nfs/dbraw/zinc/74/79/47/886747947.db2.gz NOGPDHUZHFDIPS-UHFFFAOYSA-N -1 1 304.799 1.450 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc(F)c([O-])c2)CCS1(=O)=O ZINC001363609745 886757332 /nfs/dbraw/zinc/75/73/32/886757332.db2.gz KRHZGQLBLPUSQO-WCBMZHEXSA-N -1 1 301.339 1.227 20 0 DDADMM CCS(=O)(=O)c1ccccc1CC(=O)Nc1c[n-][nH]c1=O ZINC001363710940 887043431 /nfs/dbraw/zinc/04/34/31/887043431.db2.gz TUDRMTQQPJTXGM-UHFFFAOYSA-N -1 1 309.347 1.090 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1ccc(OC)nc1 ZINC001363761287 887159608 /nfs/dbraw/zinc/15/96/08/887159608.db2.gz SMXMIZXROAKBEW-UHFFFAOYSA-N -1 1 315.376 1.034 20 0 DDADMM CC(C)n1cnc(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)n1 ZINC001363770361 887178563 /nfs/dbraw/zinc/17/85/63/887178563.db2.gz NCHZHTZASUTMAC-UHFFFAOYSA-N -1 1 320.353 1.790 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)Cc2cccc(O)c2)c1 ZINC001363788003 887217937 /nfs/dbraw/zinc/21/79/37/887217937.db2.gz UVJZORNKGZWANF-UHFFFAOYSA-N -1 1 323.370 1.927 20 0 DDADMM C[C@H](NC(=O)CCCc1nn[n-]n1)c1cnn(CC2CCC2)c1 ZINC001363915175 887531997 /nfs/dbraw/zinc/53/19/97/887531997.db2.gz LCEIEZDVQGDNLM-NSHDSACASA-N -1 1 317.397 1.396 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2CCC[C@@H]2OC)sn1 ZINC001363963180 887623794 /nfs/dbraw/zinc/62/37/94/887623794.db2.gz GAQPXBDPEVVSFY-IUCAKERBSA-N -1 1 306.409 1.245 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H]3C[C@@H]3C2)sn1 ZINC001364025800 887749285 /nfs/dbraw/zinc/74/92/85/887749285.db2.gz BDKMOYNODUVPSF-BBBLOLIVSA-N -1 1 302.421 1.866 20 0 DDADMM COC[C@@H](NC(=O)c1cc(C)c(Cl)cc1C)c1nn[n-]n1 ZINC001364031023 887757705 /nfs/dbraw/zinc/75/77/05/887757705.db2.gz MPJSUNQDXULISA-LLVKDONJSA-N -1 1 309.757 1.587 20 0 DDADMM CCC[C@@H](C)CCNS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364030638 887758372 /nfs/dbraw/zinc/75/83/72/887758372.db2.gz XVBOOAQZYGIWRC-SECBINFHSA-N -1 1 303.384 1.301 20 0 DDADMM CCC[C@@H](C)CCNS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364030638 887758384 /nfs/dbraw/zinc/75/83/84/887758384.db2.gz XVBOOAQZYGIWRC-SECBINFHSA-N -1 1 303.384 1.301 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2sccc2C(F)F)[n-]1 ZINC001364047959 887793897 /nfs/dbraw/zinc/79/38/97/887793897.db2.gz JWSVFVWSUFCSKT-UHFFFAOYSA-N -1 1 322.318 1.460 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2sccc2C(F)F)n[n-]1 ZINC001364047959 887793909 /nfs/dbraw/zinc/79/39/09/887793909.db2.gz JWSVFVWSUFCSKT-UHFFFAOYSA-N -1 1 322.318 1.460 20 0 DDADMM NC(=O)c1csc(NC(=O)Cc2ccc([O-])c(Cl)c2)n1 ZINC001364051591 887801597 /nfs/dbraw/zinc/80/15/97/887801597.db2.gz XZMDHYCINXVUCF-UHFFFAOYSA-N -1 1 311.750 1.782 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@@H]2CCO[C@H](CC)C2)n[n-]1 ZINC001364064314 887836334 /nfs/dbraw/zinc/83/63/34/887836334.db2.gz QFUUBJVJFKLTNX-GHMZBOCLSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H]2CCO[C@H](CC)C2)n1 ZINC001364064314 887836344 /nfs/dbraw/zinc/83/63/44/887836344.db2.gz QFUUBJVJFKLTNX-GHMZBOCLSA-N -1 1 324.381 1.193 20 0 DDADMM CSc1nc(CNC(=O)c2cncc(Cl)n2)cc(=O)[n-]1 ZINC001364101208 887920987 /nfs/dbraw/zinc/92/09/87/887920987.db2.gz GRPBYUPNFXRNRM-UHFFFAOYSA-N -1 1 311.754 1.278 20 0 DDADMM COc1ccc([C@H](C)Oc2c(C(N)=O)nc(C)[n-]c2=O)cn1 ZINC001234470032 888002670 /nfs/dbraw/zinc/00/26/70/888002670.db2.gz MYEHDUBFZXCPIN-ZETCQYMHSA-N -1 1 304.306 1.133 20 0 DDADMM Cc1ccccc1OC[C@H](C)Oc1c(C(N)=O)nc[n-]c1=O ZINC001234472410 888003746 /nfs/dbraw/zinc/00/37/46/888003746.db2.gz PISVQWXJNLTOQO-JTQLQIEISA-N -1 1 303.318 1.436 20 0 DDADMM Cc1ccccc1OC[C@H](C)Oc1c(C(N)=O)nc(C)[n-]c1=O ZINC001234478267 888014089 /nfs/dbraw/zinc/01/40/89/888014089.db2.gz QPSXHDLSNBYSFD-JTQLQIEISA-N -1 1 317.345 1.744 20 0 DDADMM CCCOC(=O)[C@@H](C)Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O ZINC001234561370 888096541 /nfs/dbraw/zinc/09/65/41/888096541.db2.gz LVFGABDLGNOHSL-MRVPVSSYSA-N -1 1 311.338 1.125 20 0 DDADMM CC[C@@H](CC(=O)OC)Oc1[n-]c(=O)c(F)cc1C(=O)OC ZINC001234608174 888137103 /nfs/dbraw/zinc/13/71/03/888137103.db2.gz JQLUTIYHAWUTJX-ZETCQYMHSA-N -1 1 301.270 1.433 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cc(F)ccc2O)[n-]c1=O ZINC001364236873 888193473 /nfs/dbraw/zinc/19/34/73/888193473.db2.gz VGXKGTWGGOOSQF-UHFFFAOYSA-N -1 1 321.308 1.701 20 0 DDADMM CSc1ncc(C(=O)NC[C@H]2CC[C@@H](C3CC3)O2)c(=O)[n-]1 ZINC001364362139 888459166 /nfs/dbraw/zinc/45/91/66/888459166.db2.gz BVTZGIURPLPXPX-KOLCDFICSA-N -1 1 309.391 1.592 20 0 DDADMM COc1cc(C(=O)N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)on1 ZINC001364417360 888590023 /nfs/dbraw/zinc/59/00/23/888590023.db2.gz UPNZLZHRMQNQFR-MRVPVSSYSA-N -1 1 320.305 1.165 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1nn2c(c1Br)CCC2 ZINC001364512206 888810439 /nfs/dbraw/zinc/81/04/39/888810439.db2.gz MJQPUSHWHJKJIB-UHFFFAOYSA-N -1 1 312.127 1.273 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1OCCc2ccccc21 ZINC001364650424 889119730 /nfs/dbraw/zinc/11/97/30/889119730.db2.gz HDZIWYZMTUGPRZ-OAHLLOKOSA-N -1 1 315.377 1.498 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cccnc1C1CC1 ZINC001364652195 889125000 /nfs/dbraw/zinc/12/50/00/889125000.db2.gz ROYCGOXGZGXZFP-UHFFFAOYSA-N -1 1 300.366 1.771 20 0 DDADMM Cc1cc(C)cc(OCCC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001364661729 889147369 /nfs/dbraw/zinc/14/73/69/889147369.db2.gz GUDWSDBQBNNXGR-UHFFFAOYSA-N -1 1 315.377 1.781 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1ncc(C)o1 ZINC001364700991 889230100 /nfs/dbraw/zinc/23/01/00/889230100.db2.gz PMCZHUDNDKIONN-UHFFFAOYSA-N -1 1 309.347 1.997 20 0 DDADMM CC[C@@H](C)CC(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001396191904 913336870 /nfs/dbraw/zinc/33/68/70/913336870.db2.gz ZVOCQZBCNHLPOG-PNESKVBLSA-N -1 1 319.405 1.943 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC3(CCCC3)C2)[n-]n1 ZINC001364791518 889425664 /nfs/dbraw/zinc/42/56/64/889425664.db2.gz WFIMQRAVVIQJBJ-UHFFFAOYSA-N -1 1 313.379 1.151 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC3(CCCC3)C2)n[n-]1 ZINC001364791518 889425667 /nfs/dbraw/zinc/42/56/67/889425667.db2.gz WFIMQRAVVIQJBJ-UHFFFAOYSA-N -1 1 313.379 1.151 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)c1cccs1 ZINC001364848704 889542951 /nfs/dbraw/zinc/54/29/51/889542951.db2.gz DOFRGZQQXQSHTM-ZETCQYMHSA-N -1 1 315.376 1.297 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)CC2CCC2)[n-]n1 ZINC001364898317 889631042 /nfs/dbraw/zinc/63/10/42/889631042.db2.gz UGXJLOYRUUISCR-QMMMGPOBSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)CC2CCC2)n[n-]1 ZINC001364898317 889631050 /nfs/dbraw/zinc/63/10/50/889631050.db2.gz UGXJLOYRUUISCR-QMMMGPOBSA-N -1 1 301.368 1.053 20 0 DDADMM Cc1cccc(CC(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)c1 ZINC001364972975 889791455 /nfs/dbraw/zinc/79/14/55/889791455.db2.gz IVHUPRQEQGLASB-UHFFFAOYSA-N -1 1 315.373 1.748 20 0 DDADMM CCn1ccc(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)n1 ZINC001364987896 889827025 /nfs/dbraw/zinc/82/70/25/889827025.db2.gz FRBPWDRCMJCIGZ-GFCCVEGCSA-N -1 1 304.316 1.546 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C(C)(C)[C@H]2CCCCO2)n[n-]1 ZINC001365195595 890316007 /nfs/dbraw/zinc/31/60/07/890316007.db2.gz QJJAYLQAFLMJFN-SNVBAGLBSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C(C)(C)[C@H]2CCCCO2)n1 ZINC001365195595 890316016 /nfs/dbraw/zinc/31/60/16/890316016.db2.gz QJJAYLQAFLMJFN-SNVBAGLBSA-N -1 1 324.381 1.193 20 0 DDADMM COCCC(C)(C)C[N-]S(=O)(=O)c1csnc1OC ZINC001365376965 890691061 /nfs/dbraw/zinc/69/10/61/890691061.db2.gz CIHCGKGNWAINDP-UHFFFAOYSA-N -1 1 308.425 1.493 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1nc[nH]c1Br)C1(C)CC1 ZINC001365467793 890850137 /nfs/dbraw/zinc/85/01/37/890850137.db2.gz YXAMBCOXQQSZNO-LURJTMIESA-N -1 1 308.201 1.639 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnn([C@@H]4CCOC4)c3)nc2[n-]1 ZINC001240640062 891009812 /nfs/dbraw/zinc/00/98/12/891009812.db2.gz KNNJTPYIXNOVPT-SNVBAGLBSA-N -1 1 313.317 1.569 20 0 DDADMM CC(=O)[N-]S(=O)(=O)c1ccc(-c2ccc3[nH]cnc3c2)nc1 ZINC001241692181 891245590 /nfs/dbraw/zinc/24/55/90/891245590.db2.gz GPHQSVIRWIPPLE-UHFFFAOYSA-N -1 1 316.342 1.450 20 0 DDADMM Cc1nc(-c2ccccc2)oc1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC000737463634 913550306 /nfs/dbraw/zinc/55/03/06/913550306.db2.gz XPYMJXQWTBWDIZ-UHFFFAOYSA-N -1 1 324.344 1.972 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1CC2(CCC2)CO1 ZINC001365738342 891427906 /nfs/dbraw/zinc/42/79/06/891427906.db2.gz MRFFQBNELZXSHC-VIFPVBQESA-N -1 1 318.420 1.389 20 0 DDADMM COc1ncc(-c2cc(C)cnc2OC)cc1[N-]S(C)(=O)=O ZINC001244794890 891931249 /nfs/dbraw/zinc/93/12/49/891931249.db2.gz YITPPBRKFXYCHT-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM NS(=O)(=O)c1ccc(-n2[n-]c(CC3CCC3)cc2=O)cc1 ZINC001245937883 892276651 /nfs/dbraw/zinc/27/66/51/892276651.db2.gz UVVQCLINHPNDLF-UHFFFAOYSA-N -1 1 307.375 1.568 20 0 DDADMM C[C@H](C(=O)NCCN(C)C(=O)c1ncccc1[O-])C1CCCC1 ZINC001387122935 892433043 /nfs/dbraw/zinc/43/30/43/892433043.db2.gz KJOLDPYNDIFDHX-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM CCN(CCNC(=O)CC(C)(C)C)C(=O)c1ncccc1[O-] ZINC001387150821 892495690 /nfs/dbraw/zinc/49/56/90/892495690.db2.gz MRMBUQPEPVMXMD-UHFFFAOYSA-N -1 1 307.394 1.802 20 0 DDADMM CCCN(CCNC(=O)[C@@H](C)CC)C(=O)c1ncccc1[O-] ZINC001387409998 893034529 /nfs/dbraw/zinc/03/45/29/893034529.db2.gz UIPPECKGKYXPMJ-LBPRGKRZSA-N -1 1 307.394 1.802 20 0 DDADMM Cc1ccoc1CC(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001387697471 893663989 /nfs/dbraw/zinc/66/39/89/893663989.db2.gz WMPFWMACPHLDEE-UHFFFAOYSA-N -1 1 317.345 1.120 20 0 DDADMM O=c1[nH]cnc([O-])c1NS(=O)(=O)c1cccc2cccnc21 ZINC001250969510 894528344 /nfs/dbraw/zinc/52/83/44/894528344.db2.gz HLMZXYATXSTQTA-UHFFFAOYSA-N -1 1 318.314 1.237 20 0 DDADMM CCCC1(C(=O)NC[C@@H](CC)NC(=O)c2ncccc2[O-])CC1 ZINC001388182676 894735872 /nfs/dbraw/zinc/73/58/72/894735872.db2.gz ZKQFEBSJSHEFQR-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CCCC1 ZINC001388202816 894778914 /nfs/dbraw/zinc/77/89/14/894778914.db2.gz MVHVKRFVXIORFD-VXGBXAGGSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(NC1(CNC(=O)C2CCC2)CCCC1)c1ncccc1[O-] ZINC001388213695 894799495 /nfs/dbraw/zinc/79/94/95/894799495.db2.gz HRPGRLVNCDYHES-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM COCc1cnc(-n2c([O-])c(CC(F)(F)F)[nH]c2=O)s1 ZINC000348586051 894889522 /nfs/dbraw/zinc/88/95/22/894889522.db2.gz BRWJAHSZXDSCBZ-LURJTMIESA-N -1 1 309.269 1.667 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)CNC(=O)C3)cc1 ZINC001252198493 895035972 /nfs/dbraw/zinc/03/59/72/895035972.db2.gz NEQBAOMHXHTNIO-UHFFFAOYSA-N -1 1 316.382 1.968 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1C[C@H]1C ZINC001388576571 895475804 /nfs/dbraw/zinc/47/58/04/895475804.db2.gz YCOFGYCLKIWZSB-VXGBXAGGSA-N -1 1 305.378 1.412 20 0 DDADMM CN(C)c1nc(NC[C@H](O)c2ccc(F)cc2)c(N=O)c(=O)[n-]1 ZINC001252838624 895487693 /nfs/dbraw/zinc/48/76/93/895487693.db2.gz NGSYKOXUZUGULS-JTQLQIEISA-N -1 1 321.312 1.931 20 0 DDADMM Cc1ccc(C)c(S(=O)(=O)[N-]c2ccnc(C(N)=O)c2)c1 ZINC001254718222 896579972 /nfs/dbraw/zinc/57/99/72/896579972.db2.gz RNNTVDBFHKSWEX-UHFFFAOYSA-N -1 1 305.359 1.020 20 0 DDADMM CC(C)[C@@H](CNC(=O)C1C(C)(C)C1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001367460489 896818961 /nfs/dbraw/zinc/81/89/61/896818961.db2.gz KXZQPKQPEVHYJK-SNVBAGLBSA-N -1 1 323.441 1.423 20 0 DDADMM CC[C@@H](F)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001396613112 914146534 /nfs/dbraw/zinc/14/65/34/914146534.db2.gz MTHMKJKAZXYADF-MXWKQRLJSA-N -1 1 309.341 1.255 20 0 DDADMM O=S(=O)([N-]C1(C(F)(F)F)COC1)c1ccccc1Cl ZINC001256379434 897407666 /nfs/dbraw/zinc/40/76/66/897407666.db2.gz FVHYBOHVOOXXOB-UHFFFAOYSA-N -1 1 315.700 1.950 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@H]1CC[C@@H](F)CC1 ZINC001258448607 898192668 /nfs/dbraw/zinc/19/26/68/898192668.db2.gz AVHSKYBBBDAEAL-DTORHVGOSA-N -1 1 309.794 1.941 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]C1CC(O)(C(F)(F)F)C1 ZINC001258732445 898306011 /nfs/dbraw/zinc/30/60/11/898306011.db2.gz WRHUMWYYSGGOCN-UHFFFAOYSA-N -1 1 314.285 1.026 20 0 DDADMM O=C1CCC[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CN1 ZINC001259081830 898456162 /nfs/dbraw/zinc/45/61/62/898456162.db2.gz SGKUXNXBUFGSEF-ZETCQYMHSA-N -1 1 322.308 1.051 20 0 DDADMM CC(=O)NCCCC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259460961 898679847 /nfs/dbraw/zinc/67/98/47/898679847.db2.gz ABPTZXKJDSIFJF-UHFFFAOYSA-N -1 1 322.789 1.674 20 0 DDADMM O=c1nc2nc[nH]c2c(NS(=O)(=O)CC2CCCCC2)[n-]1 ZINC001259622046 898749960 /nfs/dbraw/zinc/74/99/60/898749960.db2.gz NLDMUTWYEKHEBU-UHFFFAOYSA-N -1 1 311.367 1.381 20 0 DDADMM O=S(=O)([N-]CC1(c2ccncc2)CCOCC1)C(F)F ZINC001259964188 898986052 /nfs/dbraw/zinc/98/60/52/898986052.db2.gz GKEGWVYTQPJBTC-UHFFFAOYSA-N -1 1 306.334 1.272 20 0 DDADMM O=C1NCCC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260204958 899088442 /nfs/dbraw/zinc/08/84/42/899088442.db2.gz STEFHBGCHBCIEO-VIFPVBQESA-N -1 1 324.736 1.175 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc(F)c2cnccc12 ZINC001260592273 899180393 /nfs/dbraw/zinc/18/03/93/899180393.db2.gz FDIZUPVKPMCLJX-UHFFFAOYSA-N -1 1 318.351 1.118 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cc2ocnc2cc1Cl ZINC001260592492 899180628 /nfs/dbraw/zinc/18/06/28/899180628.db2.gz IESWXGWXEFTANC-UHFFFAOYSA-N -1 1 324.767 1.225 20 0 DDADMM O=S(=O)([N-]c1cc(Br)cnc1F)c1cn[nH]c1 ZINC001260953935 899292943 /nfs/dbraw/zinc/29/29/43/899292943.db2.gz ACILAQKIFMTLDC-UHFFFAOYSA-N -1 1 321.131 1.507 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N[C@H]1C=CCCC1 ZINC001262242202 900045406 /nfs/dbraw/zinc/04/54/06/900045406.db2.gz VTGVFRHHDRXWSI-JTQLQIEISA-N -1 1 324.327 1.554 20 0 DDADMM C[C@@H](c1ccccc1F)N(C)c1nnc(-c2nnn[n-]2)n1C ZINC001262852229 900395879 /nfs/dbraw/zinc/39/58/79/900395879.db2.gz JVNUAJYYVIEKGF-QMMMGPOBSA-N -1 1 302.317 1.332 20 0 DDADMM C[C@@H](c1ccccc1F)N(C)c1nnc(-c2nn[n-]n2)n1C ZINC001262852229 900395884 /nfs/dbraw/zinc/39/58/84/900395884.db2.gz JVNUAJYYVIEKGF-QMMMGPOBSA-N -1 1 302.317 1.332 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@@]1(C)c1ccccc1 ZINC001262852446 900395978 /nfs/dbraw/zinc/39/59/78/900395978.db2.gz RSEWCPQMBOOCFM-HNNXBMFYSA-N -1 1 310.365 1.511 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@@]1(C)c1ccccc1 ZINC001262852446 900395989 /nfs/dbraw/zinc/39/59/89/900395989.db2.gz RSEWCPQMBOOCFM-HNNXBMFYSA-N -1 1 310.365 1.511 20 0 DDADMM COc1ccccc1NC(=O)NCC[N-]C(=O)C(F)(F)F ZINC000586442573 900635706 /nfs/dbraw/zinc/63/57/06/900635706.db2.gz RWKQHLIEHPULFY-UHFFFAOYSA-N -1 1 305.256 1.495 20 0 DDADMM O=C(c1ccccc1-n1cccn1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001263886768 900799512 /nfs/dbraw/zinc/79/95/12/900799512.db2.gz WDQXQFIRFWEQHO-NSHDSACASA-N -1 1 309.333 1.015 20 0 DDADMM CC(C)(C)N1CCN(c2ncc(C(=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000387611770 901036393 /nfs/dbraw/zinc/03/63/93/901036393.db2.gz YMZFKKKJSSRROU-UHFFFAOYSA-N -1 1 308.338 1.609 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)CCCF)C(=O)c1ncccc1[O-] ZINC001371593391 905288239 /nfs/dbraw/zinc/28/82/39/905288239.db2.gz PCAVMHOGVBQSAK-NSHDSACASA-N -1 1 309.341 1.210 20 0 DDADMM C[C@@H](CNC(=O)CCCF)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001392819102 905349785 /nfs/dbraw/zinc/34/97/85/905349785.db2.gz YFYWNRBFVDIKPS-VIFPVBQESA-N -1 1 324.356 1.044 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C12CCC(CC1)C2 ZINC001372836465 908390004 /nfs/dbraw/zinc/39/00/04/908390004.db2.gz QXZOPJODSLFXHT-DLGFLZQMSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001394191440 909045046 /nfs/dbraw/zinc/04/50/46/909045046.db2.gz YWRVTXFMIGXBNW-QWRGUYRKSA-N -1 1 307.398 1.075 20 0 DDADMM CC(C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C)=C1CCC1 ZINC001284586162 909217948 /nfs/dbraw/zinc/21/79/48/909217948.db2.gz KJCKCHCINUXNAD-LBPRGKRZSA-N -1 1 321.425 1.631 20 0 DDADMM CN(CCNC(=O)[C@@H]1CC[C@H]2C[C@H]2C1)C(=O)c1ncccc1[O-] ZINC001373522603 910080586 /nfs/dbraw/zinc/08/05/86/910080586.db2.gz RJFBQBHWGLFGRL-XQQFMLRXSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@H](CNC(=O)/C=C/c1ccco1)NC(=O)c1ncccc1[O-] ZINC001285634984 910931716 /nfs/dbraw/zinc/93/17/16/910931716.db2.gz HOWUFHRFFWAWBP-XUIVZRPNSA-N -1 1 315.329 1.328 20 0 DDADMM CCN(CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O)C(C)=O ZINC001373901033 911309399 /nfs/dbraw/zinc/30/93/99/911309399.db2.gz RVLIFSLFBPSUSD-UHFFFAOYSA-N -1 1 308.382 1.212 20 0 DDADMM CCN(CCNC(=O)[C@@H]1CCC1(C)C)C(=O)c1ncccc1[O-] ZINC001373916680 911351743 /nfs/dbraw/zinc/35/17/43/911351743.db2.gz DJCHGKHOTSHXFB-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C=C(\C)c3ccco3)nc2n1 ZINC001287286184 912159785 /nfs/dbraw/zinc/15/97/85/912159785.db2.gz ZGURNXYWNUGSQR-VQHVLOKHSA-N -1 1 313.317 1.615 20 0 DDADMM CCN(CCNC(=O)/C=C(\C)C1CC1)C(=O)c1ncccc1[O-] ZINC001296273920 916308937 /nfs/dbraw/zinc/30/89/37/916308937.db2.gz IQMTWSWBOWEADQ-VAWYXSNFSA-N -1 1 317.389 1.722 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](NCc2conc2C)C2CC2)c1[O-] ZINC001376204891 917954891 /nfs/dbraw/zinc/95/48/91/917954891.db2.gz ATESLQUIFKFXGY-GFCCVEGCSA-N -1 1 319.365 1.018 20 0 DDADMM CCc1ccsc1C(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001376890224 919688485 /nfs/dbraw/zinc/68/84/85/919688485.db2.gz MIODSOLSNBVPKH-MRVPVSSYSA-N -1 1 309.395 1.042 20 0 DDADMM Cc1ccc(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])cc1 ZINC001377545209 922289672 /nfs/dbraw/zinc/28/96/72/922289672.db2.gz MDVWUDGVTBGPJH-LBPRGKRZSA-N -1 1 313.357 1.644 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3(c4ccccc4C)CC3)nc2n1 ZINC000622871214 365551984 /nfs/dbraw/zinc/55/19/84/365551984.db2.gz UIONBUZYHVJPBN-UHFFFAOYSA-N -1 1 323.356 1.705 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3occ4c3CCC4)nc2n1 ZINC000622993545 365587468 /nfs/dbraw/zinc/58/74/68/365587468.db2.gz SATNPKIEOOGCEI-UHFFFAOYSA-N -1 1 313.317 1.314 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cccc(C)c3C)nc2n1 ZINC000622995916 365588685 /nfs/dbraw/zinc/58/86/85/365588685.db2.gz UTIASZAAMHKPKE-UHFFFAOYSA-N -1 1 311.345 1.849 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)[C@@H](C)CC)nc2n1 ZINC000622996921 365589918 /nfs/dbraw/zinc/58/99/18/365589918.db2.gz FQXKUDWUDMADPB-VHSXEESVSA-N -1 1 305.382 1.991 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C(C)(C)C(F)F)nc2n1 ZINC000622997883 365591413 /nfs/dbraw/zinc/59/14/13/365591413.db2.gz PLXFKNDZHFJKRK-UHFFFAOYSA-N -1 1 313.308 1.600 20 0 DDADMM COC(=O)[C@]1(C(F)(F)F)CCN(C(=O)c2ncccc2[O-])C1 ZINC000286543658 219046926 /nfs/dbraw/zinc/04/69/26/219046926.db2.gz ZBDFVDKCRHUPGG-LBPRGKRZSA-N -1 1 318.251 1.355 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H](C)c2ccco2)co1 ZINC000163035161 197608793 /nfs/dbraw/zinc/60/87/93/197608793.db2.gz ZTIQXMPXYPSAFD-SECBINFHSA-N -1 1 312.347 1.614 20 0 DDADMM CO[C@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)C1CCCC1 ZINC000614391122 361834106 /nfs/dbraw/zinc/83/41/06/361834106.db2.gz OJOGUJLYEAACPK-RYUDHWBXSA-N -1 1 309.366 1.686 20 0 DDADMM CC(C)[C@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@H](O)C(F)(F)F ZINC000614474536 361876615 /nfs/dbraw/zinc/87/66/15/361876615.db2.gz RGFPSCHRJHHRCC-JQWIXIFHSA-N -1 1 319.279 1.639 20 0 DDADMM Cn1ncc(C2CC2)c1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614475359 361876772 /nfs/dbraw/zinc/87/67/72/361876772.db2.gz NEZXEXKGGQXHHJ-UHFFFAOYSA-N -1 1 317.349 1.406 20 0 DDADMM CC(C)(O)CO[N-]C(=O)[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC000278734483 214363822 /nfs/dbraw/zinc/36/38/22/214363822.db2.gz UPVOFJITKQKUEI-AWEZNQCLSA-N -1 1 310.369 1.609 20 0 DDADMM CO[C@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1sccc1F ZINC000451791477 231192869 /nfs/dbraw/zinc/19/28/69/231192869.db2.gz QFTOBLARRIHDKJ-SCZZXKLOSA-N -1 1 309.384 1.217 20 0 DDADMM CCOc1cc(C(=O)N[C@H]2CC[S@@](=O)C2)cc(Cl)c1[O-] ZINC000358110946 299080113 /nfs/dbraw/zinc/08/01/13/299080113.db2.gz SZFHGVANXDLPDJ-GWNMQOMSSA-N -1 1 317.794 1.695 20 0 DDADMM CC[C@@H](CCO)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330813485 529470838 /nfs/dbraw/zinc/47/08/38/529470838.db2.gz KZHKLTJBDKLTLH-ZETCQYMHSA-N -1 1 312.185 1.481 20 0 DDADMM CC[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000451824754 529456139 /nfs/dbraw/zinc/45/61/39/529456139.db2.gz MXTCMGCYYXFOOD-SFYZADRCSA-N -1 1 311.329 1.763 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2CCOC[C@@H]2C)c1Br ZINC000444289200 529459988 /nfs/dbraw/zinc/45/99/88/529459988.db2.gz MUYHALDQPOSRJT-JGVFFNPUSA-N -1 1 316.199 1.768 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@@H](C(=O)OC)c1ccccc1)OC ZINC000420657321 529524376 /nfs/dbraw/zinc/52/43/76/529524376.db2.gz ASKLZCVEDNSKBU-QWHCGFSZSA-N -1 1 315.391 1.245 20 0 DDADMM CCC[C@H](NC(=O)c1cc(F)cc2[nH]cnc21)c1nn[n-]n1 ZINC000136669293 539195384 /nfs/dbraw/zinc/19/53/84/539195384.db2.gz CMPGSPWSKKJLPT-VIFPVBQESA-N -1 1 303.301 1.486 20 0 DDADMM O=C(N[C@@H]1CCN(C2CCCCC2)C1=O)c1ncccc1[O-] ZINC000151053733 539197307 /nfs/dbraw/zinc/19/73/07/539197307.db2.gz WSXKXPQLXRXHSW-GFCCVEGCSA-N -1 1 303.362 1.451 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H](c2ccccc2)[C@@](F)(CO)C1 ZINC000452499286 231388401 /nfs/dbraw/zinc/38/84/01/231388401.db2.gz LBWZYYJNFJRLFD-GUYCJALGSA-N -1 1 316.332 1.727 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc2cc(F)ccc2o1)C(N)=O ZINC000451567771 529644812 /nfs/dbraw/zinc/64/48/12/529644812.db2.gz OUFFSPQIZBPEIH-VIFPVBQESA-N -1 1 300.311 1.114 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C=Cc1ccccc1 ZINC000255013477 282225440 /nfs/dbraw/zinc/22/54/40/282225440.db2.gz PXXPIIBLRAQMDM-HJWRWDBZSA-N -1 1 322.368 1.969 20 0 DDADMM COc1cc(C=CC(=O)Nc2nnn[n-]2)ccc1OC(C)C ZINC000255076072 282230818 /nfs/dbraw/zinc/23/08/18/282230818.db2.gz UWBPUPOGCPGEAQ-ALCCZGGFSA-N -1 1 303.322 1.647 20 0 DDADMM COc1cc(C=CC(=O)Nc2nn[n-]n2)ccc1OC(C)C ZINC000255076072 282230820 /nfs/dbraw/zinc/23/08/20/282230820.db2.gz UWBPUPOGCPGEAQ-ALCCZGGFSA-N -1 1 303.322 1.647 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(c2ccc(F)cn2)CC1 ZINC000636231178 422734840 /nfs/dbraw/zinc/73/48/40/422734840.db2.gz AYTMODLYEBPOIY-UHFFFAOYSA-N -1 1 304.329 1.073 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2[C@@H]2CCC[N@H+]2C)c([O-])c1 ZINC000615271300 362226584 /nfs/dbraw/zinc/22/65/84/362226584.db2.gz VVUWQXVEZZPYHA-QWHCGFSZSA-N -1 1 305.378 1.031 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC(=O)[C@@H](C)C1 ZINC000330262267 231763818 /nfs/dbraw/zinc/76/38/18/231763818.db2.gz UTMQLMVOILEVEN-VIFPVBQESA-N -1 1 305.378 1.839 20 0 DDADMM CN1CC[C@@H]([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)C1=O ZINC000451217093 529796150 /nfs/dbraw/zinc/79/61/50/529796150.db2.gz KMYIFWYGKQHZCZ-SNVBAGLBSA-N -1 1 312.322 1.081 20 0 DDADMM CCn1nc(-c2nc(-c3ccc([O-])cc3F)no2)ccc1=O ZINC000350811518 539416889 /nfs/dbraw/zinc/41/68/89/539416889.db2.gz HXSPDJGTOBBLBH-UHFFFAOYSA-N -1 1 302.265 1.825 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)C2(CF)CCOCC2)c1Br ZINC000616002674 362516489 /nfs/dbraw/zinc/51/64/89/362516489.db2.gz JMKUWIHHLXCKOE-UHFFFAOYSA-N -1 1 320.162 1.607 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1CC[C@@H](C(F)(F)F)C1 ZINC000357682249 539477941 /nfs/dbraw/zinc/47/79/41/539477941.db2.gz AHOQAZDAXWCVON-JGVFFNPUSA-N -1 1 318.299 1.417 20 0 DDADMM CC(C)[C@H](O)C1(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000358342155 299125602 /nfs/dbraw/zinc/12/56/02/299125602.db2.gz SNOXTSIYLFPFIL-ZDUSSCGKSA-N -1 1 318.377 1.201 20 0 DDADMM Cc1nn(C)c(C)c1CCC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279627614 215016983 /nfs/dbraw/zinc/01/69/83/215016983.db2.gz IFNQCCZKTJTNAX-RGEXLXHISA-N -1 1 312.377 1.263 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@]1(C)CCCOC1 ZINC000358374685 299134603 /nfs/dbraw/zinc/13/46/03/299134603.db2.gz TZKRXCJFJINWDC-INIZCTEOSA-N -1 1 318.377 1.485 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H]3CCc4[nH]cnc4C3)[nH][n-]2)o1 ZINC000616823791 362832368 /nfs/dbraw/zinc/83/23/68/362832368.db2.gz YPSJBGBLPKZWGL-JTQLQIEISA-N -1 1 311.345 1.867 20 0 DDADMM Cc1ncc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)s1 ZINC000623479842 365889164 /nfs/dbraw/zinc/88/91/64/365889164.db2.gz WBRPKYHXGVRIDA-NSHDSACASA-N -1 1 300.347 1.484 20 0 DDADMM CCO[C@@H](C)c1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)s1 ZINC000358403052 299143456 /nfs/dbraw/zinc/14/34/56/299143456.db2.gz AJADLISFONVKAT-BDAKNGLRSA-N -1 1 324.410 1.629 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](C(C)C)C2)o1 ZINC000353825239 290848529 /nfs/dbraw/zinc/84/85/29/290848529.db2.gz COKXZFXHUPWVHB-NSHDSACASA-N -1 1 314.407 1.696 20 0 DDADMM CC[C@@]1(CO)CCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000286995664 219260759 /nfs/dbraw/zinc/26/07/59/219260759.db2.gz BXMVQJLFLWXMPN-YZYPPFLQSA-N -1 1 317.393 1.358 20 0 DDADMM Cn1nc(C(C)(C)C)cc1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279924282 215241098 /nfs/dbraw/zinc/24/10/98/215241098.db2.gz WEJVQJIRKHBHAK-ODLFYWEKSA-N -1 1 312.377 1.625 20 0 DDADMM CCCCN(CCCO)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287067105 219294617 /nfs/dbraw/zinc/29/46/17/219294617.db2.gz HCNZBAMJHRFUMR-AQTBWJFISA-N -1 1 305.382 1.358 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCSCC2)C1)c1cncc([O-])c1 ZINC000269588190 186392542 /nfs/dbraw/zinc/39/25/42/186392542.db2.gz MDBARXXXQXWRPT-GFCCVEGCSA-N -1 1 308.403 1.962 20 0 DDADMM CCCCn1c(S[C@@H](C(=O)NC(N)=O)C(C)C)n[nH]c1=O ZINC000022615681 352178240 /nfs/dbraw/zinc/17/82/40/352178240.db2.gz ROGUZMQFDDTTHE-MRVPVSSYSA-N -1 1 315.399 1.095 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCc3ccccc32)o1 ZINC000048129544 352527123 /nfs/dbraw/zinc/52/71/23/352527123.db2.gz UXXBJFUQTBBKHK-GFCCVEGCSA-N -1 1 320.370 1.605 20 0 DDADMM Cc1nsc(C)c1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000331872436 234219937 /nfs/dbraw/zinc/21/99/37/234219937.db2.gz TZYOLDDGDVCZCO-SECBINFHSA-N -1 1 308.363 1.868 20 0 DDADMM CCO[C@H](C)C(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000065514163 352953315 /nfs/dbraw/zinc/95/33/15/352953315.db2.gz ILCDLMGALVTSHN-SECBINFHSA-N -1 1 316.379 1.430 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(C(C)C)[nH]n2)o1 ZINC000067860546 353071354 /nfs/dbraw/zinc/07/13/54/353071354.db2.gz BQKDXGZVWIYAMH-UHFFFAOYSA-N -1 1 312.351 1.287 20 0 DDADMM Cc1nc([C@H]2CCCN2C(=O)C(=O)c2ccc([O-])cc2)no1 ZINC000331919262 234275901 /nfs/dbraw/zinc/27/59/01/234275901.db2.gz BMBMBSKFIADSLV-GFCCVEGCSA-N -1 1 301.302 1.630 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]3CCC[C@@H]32)o1 ZINC000174364929 198325184 /nfs/dbraw/zinc/32/51/84/198325184.db2.gz BTHHXAQYGBOUJB-QWRGUYRKSA-N -1 1 312.391 1.592 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C)C(=O)NCc2ccco2)c([O-])c1 ZINC000358598817 299204013 /nfs/dbraw/zinc/20/40/13/299204013.db2.gz PNLRDKOZCKQFPC-JTQLQIEISA-N -1 1 303.318 1.123 20 0 DDADMM C[C@H](CNC(=O)c1c([O-])cc(F)cc1F)N1CCN(C)CC1 ZINC000077615522 353478375 /nfs/dbraw/zinc/47/83/75/353478375.db2.gz KDFKKYHGVAJWRA-SNVBAGLBSA-N -1 1 313.348 1.036 20 0 DDADMM CCN(CC)C(=O)N1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000080002268 353586678 /nfs/dbraw/zinc/58/66/78/353586678.db2.gz BIOYNFVMPQIZCW-UHFFFAOYSA-N -1 1 323.368 1.751 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC[C@H](O)C(C)C)cnc2n1 ZINC000081796067 353693128 /nfs/dbraw/zinc/69/31/28/353693128.db2.gz GWODJCCNLRMROM-ZDUSSCGKSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC[C@H](O)C(C)C)c2=O ZINC000081796067 353693130 /nfs/dbraw/zinc/69/31/30/353693130.db2.gz GWODJCCNLRMROM-ZDUSSCGKSA-N -1 1 303.362 1.781 20 0 DDADMM CCc1nc(S(=O)(=O)[C@H](C)C(=O)N(C)c2ccccc2)n[n-]1 ZINC000086170384 353738596 /nfs/dbraw/zinc/73/85/96/353738596.db2.gz CWBKHRYTRUVXCN-SNVBAGLBSA-N -1 1 322.390 1.192 20 0 DDADMM CC(C)Oc1cccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)n1 ZINC000124164566 354037222 /nfs/dbraw/zinc/03/72/22/354037222.db2.gz NDPIZLKCLXQNBF-SNVBAGLBSA-N -1 1 304.354 1.258 20 0 DDADMM NC(=O)c1csc(C(=O)[N-]c2nnc(C(F)F)s2)c1 ZINC000143435503 354150031 /nfs/dbraw/zinc/15/00/31/354150031.db2.gz PYXHDYOIJWOXON-UHFFFAOYSA-N -1 1 304.303 1.888 20 0 DDADMM CSCc1cc(F)ccc1CNC(=O)CCc1nn[n-]n1 ZINC000601302079 358486650 /nfs/dbraw/zinc/48/66/50/358486650.db2.gz FMIHMJGNIJSBNI-UHFFFAOYSA-N -1 1 309.370 1.451 20 0 DDADMM Cc1c(C(=O)[O-])sc2ncnc(N3CCN(C)C[C@H]3C)c12 ZINC000300556831 354458441 /nfs/dbraw/zinc/45/84/41/354458441.db2.gz ZZROEIIUEBSWQT-MRVPVSSYSA-N -1 1 306.391 1.838 20 0 DDADMM [O-]c1ccc(-c2noc(-c3cn([C@H]4CCOC4)nn3)n2)cc1F ZINC000350585141 354605848 /nfs/dbraw/zinc/60/58/48/354605848.db2.gz ALLYFCUZVUNRLQ-VIFPVBQESA-N -1 1 317.280 1.801 20 0 DDADMM Cc1c2ccccc2nc(N2CCN(CCO)CC2)c1C(=O)[O-] ZINC000565037345 304038759 /nfs/dbraw/zinc/03/87/59/304038759.db2.gz NLUMUPYIKDVHLJ-UHFFFAOYSA-N -1 1 315.373 1.356 20 0 DDADMM C[C@@H]1COC2(CCC2)CN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000617945269 363402005 /nfs/dbraw/zinc/40/20/05/363402005.db2.gz NKWDAZCCOVDGGD-SNVBAGLBSA-N -1 1 307.419 1.923 20 0 DDADMM CCOC(=O)c1nnc(NC(=O)C(=O)c2ccc([O-])cc2)s1 ZINC000588677193 354927105 /nfs/dbraw/zinc/92/71/05/354927105.db2.gz LREQKTULZWSTGQ-UHFFFAOYSA-N -1 1 321.314 1.242 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cnc(C2CC2)nc1 ZINC000588844408 354941736 /nfs/dbraw/zinc/94/17/36/354941736.db2.gz HSHKJJBLGNGPDM-UHFFFAOYSA-N -1 1 313.379 1.295 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)C(=O)c1ccc(Cl)cc1 ZINC000346353616 283072598 /nfs/dbraw/zinc/07/25/98/283072598.db2.gz RNOJKLLGRWHIOU-UHFFFAOYSA-N -1 1 319.752 1.622 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(Cl)c(N2CCCC2=O)c1 ZINC000590501044 355107057 /nfs/dbraw/zinc/10/70/57/355107057.db2.gz LXIUCAAPUIMDNR-UHFFFAOYSA-N -1 1 306.713 1.232 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(Cl)c(N2CCCC2=O)c1 ZINC000590501044 355107058 /nfs/dbraw/zinc/10/70/58/355107058.db2.gz LXIUCAAPUIMDNR-UHFFFAOYSA-N -1 1 306.713 1.232 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CC(=O)N(CC(C)C)C2)n1 ZINC000591303204 355285024 /nfs/dbraw/zinc/28/50/24/355285024.db2.gz MLYQQRRYWCAEMH-SNVBAGLBSA-N -1 1 322.365 1.029 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2CCC(F)CC2)o1 ZINC000592106055 355500566 /nfs/dbraw/zinc/50/05/66/355500566.db2.gz OABWNRZEVFEVSO-UHFFFAOYSA-N -1 1 319.354 1.873 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@H](C(=O)OC(C)C)C(C)C)C(C)C ZINC000592054967 355478860 /nfs/dbraw/zinc/47/88/60/355478860.db2.gz HYQXMCKNEXRCIU-STQMWFEESA-N -1 1 323.455 1.553 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@H](CC(C)(C)C)C(=O)OC)OC ZINC000592075340 355484932 /nfs/dbraw/zinc/48/49/32/355484932.db2.gz WFZKUMPLSCCHLU-WDEREUQCSA-N -1 1 309.428 1.309 20 0 DDADMM COC(=O)c1cncc([N-]S(=O)(=O)C[C@H](OC)C(C)C)c1 ZINC000592382934 355571871 /nfs/dbraw/zinc/57/18/71/355571871.db2.gz VXCWURXFSUGWJL-LBPRGKRZSA-N -1 1 316.379 1.281 20 0 DDADMM CSCCO[N-]C(=O)C(=O)Nc1cc2cn[nH]c2cc1C ZINC000280340454 215553512 /nfs/dbraw/zinc/55/35/12/215553512.db2.gz DPWSJFCLVIKEST-UHFFFAOYSA-N -1 1 308.363 1.221 20 0 DDADMM CCCCNC(=O)NC(=O)CSc1nc(C)c(C)c(=O)[n-]1 ZINC000346719366 283147160 /nfs/dbraw/zinc/14/71/60/283147160.db2.gz YCDIQNXSGLUMML-UHFFFAOYSA-N -1 1 312.395 1.517 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2cc(C)on2)cc1C ZINC000595305887 356441141 /nfs/dbraw/zinc/44/11/41/356441141.db2.gz JZLJEEWUVCHDAF-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM COCCN(CC(=O)[O-])C(=O)c1cc(-c2ccc(F)cc2)[nH]n1 ZINC000237065547 202141111 /nfs/dbraw/zinc/14/11/11/202141111.db2.gz XAHCMDNQVPNBHX-UHFFFAOYSA-N -1 1 321.308 1.389 20 0 DDADMM CCOC(=O)c1csc(=N[C@@H]2CCc3nc(C)ncc3C2)[n-]1 ZINC000596110841 356776152 /nfs/dbraw/zinc/77/61/52/356776152.db2.gz VALRHOQHDWWGCU-LLVKDONJSA-N -1 1 318.402 1.810 20 0 DDADMM COC(=O)C1CCN(C(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000596642101 356938908 /nfs/dbraw/zinc/93/89/08/356938908.db2.gz FOGDLXVQKKJFSP-UHFFFAOYSA-N -1 1 314.341 1.966 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)Cc2cccc(C)c2)nc1 ZINC000358787937 299255091 /nfs/dbraw/zinc/25/50/91/299255091.db2.gz UAULCOMXJJZDHV-UHFFFAOYSA-N -1 1 319.386 1.692 20 0 DDADMM COC(=O)C(C)(C)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000597374066 357153877 /nfs/dbraw/zinc/15/38/77/357153877.db2.gz OYWPWYDLNYKXAV-UHFFFAOYSA-N -1 1 302.330 1.869 20 0 DDADMM CCOC(=O)C12CC(C1)CN2C(=O)c1cc(F)cc2nn[nH]c21 ZINC000598443031 357581989 /nfs/dbraw/zinc/58/19/89/357581989.db2.gz UIWOXTTXSRLYBP-UHFFFAOYSA-N -1 1 318.308 1.265 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]CC[C@H]2CC2(F)F)oc1C ZINC000598621563 357657394 /nfs/dbraw/zinc/65/73/94/357657394.db2.gz IUOZKLPTNQSKGD-QMMMGPOBSA-N -1 1 323.317 1.698 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cccc(OC)c1C ZINC000598823604 357736365 /nfs/dbraw/zinc/73/63/65/357736365.db2.gz HPOONAOQDAKUFG-UHFFFAOYSA-N -1 1 317.345 1.588 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-][C@H](CC(C)C)C(=O)OC)C1CC1 ZINC000599209029 357851069 /nfs/dbraw/zinc/85/10/69/357851069.db2.gz FYDQMEXYAFBVME-OLZOCXBDSA-N -1 1 321.439 1.309 20 0 DDADMM Cc1ccc(C(C)C)cc1O[C@@H](C)C(=O)NCc1nn[n-]n1 ZINC000599334015 357897941 /nfs/dbraw/zinc/89/79/41/357897941.db2.gz FIQKSKYFJNUGCQ-NSHDSACASA-N -1 1 303.366 1.715 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CC2CCCCC2)c1 ZINC000179538988 199052475 /nfs/dbraw/zinc/05/24/75/199052475.db2.gz GFLOINBLVJGEGW-UHFFFAOYSA-N -1 1 312.391 1.949 20 0 DDADMM C[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[O-])C(=O)N(C)C ZINC000180398253 199153462 /nfs/dbraw/zinc/15/34/62/199153462.db2.gz VTPZIBFOWMNUFL-ZETCQYMHSA-N -1 1 304.268 1.618 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1cncc([O-])c1)C1CCCCC1 ZINC000600410601 358213574 /nfs/dbraw/zinc/21/35/74/358213574.db2.gz KODNFXIGNBHUSX-CQSZACIVSA-N -1 1 306.362 1.887 20 0 DDADMM CN(c1ccccc1)c1ccc(C(=O)NCc2nn[n-]n2)cn1 ZINC000600506279 358243778 /nfs/dbraw/zinc/24/37/78/358243778.db2.gz URFXBNNTGJOYQA-UHFFFAOYSA-N -1 1 309.333 1.293 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccc(C)c(C(=O)OC)c2)c1=O ZINC000601948910 358748488 /nfs/dbraw/zinc/74/84/88/358748488.db2.gz FWDHYNVPLTXJOI-SNVBAGLBSA-N -1 1 304.302 1.293 20 0 DDADMM CCOC(=O)c1ncc(CN2C[C@H](C(=O)[O-])C[C@H](C)C2)s1 ZINC000602020129 358779328 /nfs/dbraw/zinc/77/93/28/358779328.db2.gz IQZNFOYVXKKEDM-VHSXEESVSA-N -1 1 312.391 1.862 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccsc1COC ZINC000602299166 358919832 /nfs/dbraw/zinc/91/98/32/358919832.db2.gz GBWUIOFMESYAJQ-UHFFFAOYSA-N -1 1 323.374 1.479 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCCC[C@H]2CC)n[n-]1 ZINC000603020162 359361831 /nfs/dbraw/zinc/36/18/31/359361831.db2.gz YFSBNYLDOBOPOY-GHMZBOCLSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCCC[C@H]2CC)n1 ZINC000603020162 359361836 /nfs/dbraw/zinc/36/18/36/359361836.db2.gz YFSBNYLDOBOPOY-GHMZBOCLSA-N -1 1 308.382 1.814 20 0 DDADMM Cn1cc(Cl)cc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000618487364 363648824 /nfs/dbraw/zinc/64/88/24/363648824.db2.gz AIZORXOVUAGZJC-LBPRGKRZSA-N -1 1 316.752 1.711 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C(C)(C)CSC)n[n-]1 ZINC000603152178 359439107 /nfs/dbraw/zinc/43/91/07/359439107.db2.gz ACHBAMWVDSMAJT-QMMMGPOBSA-N -1 1 314.411 1.548 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C(C)(C)CSC)[n-]1 ZINC000603152178 359439109 /nfs/dbraw/zinc/43/91/09/359439109.db2.gz ACHBAMWVDSMAJT-QMMMGPOBSA-N -1 1 314.411 1.548 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C(C)(C)CSC)n1 ZINC000603152178 359439111 /nfs/dbraw/zinc/43/91/11/359439111.db2.gz ACHBAMWVDSMAJT-QMMMGPOBSA-N -1 1 314.411 1.548 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2)C(=O)OC ZINC000603365844 359595675 /nfs/dbraw/zinc/59/56/75/359595675.db2.gz HZYUQDKRGQPNER-YYHQMBLXSA-N -1 1 319.423 1.204 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)OCc1ccccc1)c1nn[n-]n1 ZINC000187673786 200142812 /nfs/dbraw/zinc/14/28/12/200142812.db2.gz TWOADXPXRHWETJ-AAEUAGOBSA-N -1 1 303.366 1.762 20 0 DDADMM O=C(NCCCO[C@@H]1CCOC1)c1c(F)ccc([O-])c1F ZINC000281079734 216065673 /nfs/dbraw/zinc/06/56/73/216065673.db2.gz UKIFCLANKSETSC-SECBINFHSA-N -1 1 301.289 1.596 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H]2CCN(CC(F)(F)F)C2=O)n1 ZINC000188107321 200195019 /nfs/dbraw/zinc/19/50/19/200195019.db2.gz BUPHRGONHUSGFG-MRVPVSSYSA-N -1 1 321.324 1.588 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ncoc1-c1ccccc1Cl ZINC000607905975 360064624 /nfs/dbraw/zinc/06/46/24/360064624.db2.gz OGELMAVGTXAYSX-UHFFFAOYSA-N -1 1 304.697 1.438 20 0 DDADMM CCC1(CC)NC(=O)N(CC(=O)Nc2c([O-])cccc2F)C1=O ZINC000608366558 360167101 /nfs/dbraw/zinc/16/71/01/360167101.db2.gz MPHGNRXGMMZUCI-UHFFFAOYSA-N -1 1 323.324 1.580 20 0 DDADMM C[C@@H](Oc1ccc(-c2ccccc2)cc1)C(=O)NCc1nn[n-]n1 ZINC000609489016 360314642 /nfs/dbraw/zinc/31/46/42/360314642.db2.gz ALWDCJGYROTLQI-GFCCVEGCSA-N -1 1 323.356 1.950 20 0 DDADMM COC(=O)c1cnc(C(=O)[N-]c2cnccc2SC)cn1 ZINC000611535464 360752354 /nfs/dbraw/zinc/75/23/54/360752354.db2.gz DIPUSTAFBPMPOY-UHFFFAOYSA-N -1 1 304.331 1.632 20 0 DDADMM C[C@H]1Cc2cc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)ccc2O1 ZINC000612814830 361154701 /nfs/dbraw/zinc/15/47/01/361154701.db2.gz FDYQCAXJXXEFPP-JQWIXIFHSA-N -1 1 313.361 1.543 20 0 DDADMM CCN1C[C@H](C)N(C(=O)c2ccc3oc(=O)nc-3[n-]2)C[C@H]1C ZINC000613148653 361292816 /nfs/dbraw/zinc/29/28/16/361292816.db2.gz MXVFCFTYXIXRFG-ZJUUUORDSA-N -1 1 304.350 1.483 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1COC[C@H]1c1ccccc1)c1nn[n-]n1 ZINC000613473652 361434245 /nfs/dbraw/zinc/43/42/45/361434245.db2.gz BETNVYBUPPGKTO-XBFCOCLRSA-N -1 1 315.377 1.192 20 0 DDADMM COC(=O)CC1(NC(=O)c2ccc([O-])c(F)c2)CCOCC1 ZINC000613512197 361449942 /nfs/dbraw/zinc/44/99/42/361449942.db2.gz UTKILJYBSFWKFM-UHFFFAOYSA-N -1 1 311.309 1.373 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@@H](CO)O1 ZINC000613859610 361607270 /nfs/dbraw/zinc/60/72/70/361607270.db2.gz ZOBFHRMMICYJOS-PWSUYJOCSA-N -1 1 302.330 1.162 20 0 DDADMM O=C([N-][C@H]1CCN(c2ccc(F)c(Cl)c2)C1=O)C(F)F ZINC000194840549 201299790 /nfs/dbraw/zinc/29/97/90/201299790.db2.gz KRYAIEJCQPXYEW-VIFPVBQESA-N -1 1 306.671 1.966 20 0 DDADMM CCCc1nc(CS(=O)(=O)c2nnc(C(C)(C)C)[n-]2)no1 ZINC000195175359 201352684 /nfs/dbraw/zinc/35/26/84/201352684.db2.gz VRXHNWJVJIVPIO-UHFFFAOYSA-N -1 1 313.383 1.412 20 0 DDADMM CCCc1nc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)no1 ZINC000195175359 201352687 /nfs/dbraw/zinc/35/26/87/201352687.db2.gz VRXHNWJVJIVPIO-UHFFFAOYSA-N -1 1 313.383 1.412 20 0 DDADMM CCCc1nc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)no1 ZINC000195175359 201352689 /nfs/dbraw/zinc/35/26/89/201352689.db2.gz VRXHNWJVJIVPIO-UHFFFAOYSA-N -1 1 313.383 1.412 20 0 DDADMM Cn1cc(C[C@@H]2CCC[C@H]2NC(=O)c2cncc([O-])c2)cn1 ZINC000618932163 363809542 /nfs/dbraw/zinc/80/95/42/363809542.db2.gz VAVMQWHJHAKMPS-SWLSCSKDSA-N -1 1 300.362 1.662 20 0 DDADMM O=C(NCC(O)(C1CC1)C1CC1)c1csc(=NC2CC2)[n-]1 ZINC000619030388 363858445 /nfs/dbraw/zinc/85/84/45/363858445.db2.gz KEANKVUIISLQGG-UHFFFAOYSA-N -1 1 307.419 1.420 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CC[C@@H]1c1ccccc1 ZINC000619216083 363936456 /nfs/dbraw/zinc/93/64/56/363936456.db2.gz ZBWXNZRAIYOQEN-GHMZBOCLSA-N -1 1 315.329 1.572 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)C[C@@H](C)OC)ccc1Cl ZINC000619744165 364138145 /nfs/dbraw/zinc/13/81/45/364138145.db2.gz BNUSRNXDLQEWPI-MRVPVSSYSA-N -1 1 321.782 1.903 20 0 DDADMM COC(=O)CCCc1nnc([N-]C(=O)c2coc(C)n2)s1 ZINC000619787827 364151628 /nfs/dbraw/zinc/15/16/28/364151628.db2.gz CGILMTYQYRMCJO-UHFFFAOYSA-N -1 1 310.335 1.583 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000619964581 364215428 /nfs/dbraw/zinc/21/54/28/364215428.db2.gz OACBKPGBRIWQHU-CYBMUJFWSA-N -1 1 314.426 1.350 20 0 DDADMM O=C(NCCN1C[C@H]2CCCCN2C1=O)c1cc(F)ccc1[O-] ZINC000620103968 364285887 /nfs/dbraw/zinc/28/58/87/364285887.db2.gz VCJWAKZJMDCKCN-GFCCVEGCSA-N -1 1 321.352 1.551 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc(SC)oc1C ZINC000621990993 365174201 /nfs/dbraw/zinc/17/42/01/365174201.db2.gz CABRVUORMAQZOK-UHFFFAOYSA-N -1 1 308.363 1.375 20 0 DDADMM Cn1ccnc1[C@H]1OCC[C@@H]1NC(=O)c1ccc([O-])cc1F ZINC000275340492 212278697 /nfs/dbraw/zinc/27/86/97/212278697.db2.gz LEINKFSTTVVSPL-STQMWFEESA-N -1 1 305.309 1.525 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)c1nccn1C)c2=O ZINC000622182084 365317353 /nfs/dbraw/zinc/31/73/53/365317353.db2.gz UEOVJZFEYTWRBF-JTQLQIEISA-N -1 1 311.345 1.868 20 0 DDADMM CCC(F)(F)C[N-]S(=O)(=O)c1cc2oc(=O)[nH]c2cc1F ZINC000625454468 366937742 /nfs/dbraw/zinc/93/77/42/366937742.db2.gz CDOKBFXEOPMCMT-UHFFFAOYSA-N -1 1 324.280 1.584 20 0 DDADMM O=c1nc(CN2C[C@@H]3CCC[C@]3(CO)C2)[n-]c2ccsc21 ZINC000626014225 367276387 /nfs/dbraw/zinc/27/63/87/367276387.db2.gz VVRHEBKMJQRCOI-ZUZCIYMTSA-N -1 1 305.403 1.991 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3CC(C)C3)c(=O)c2c(OC)c1 ZINC000626670154 367670078 /nfs/dbraw/zinc/67/00/78/367670078.db2.gz QBVSEJKLEOLDNW-UHFFFAOYSA-N -1 1 302.330 1.637 20 0 DDADMM CC(C)c1nc(CNC(=O)N2CC[C@H](C(=O)[O-])[C@H](C)C2)n[nH]1 ZINC000626763167 367729696 /nfs/dbraw/zinc/72/96/96/367729696.db2.gz JCZYQQIGIWZNNE-ZJUUUORDSA-N -1 1 309.370 1.180 20 0 DDADMM CC(C)c1nc(CNC(=O)N2CC[C@H](C(=O)[O-])[C@@H](C)C2)n[nH]1 ZINC000626763165 367729744 /nfs/dbraw/zinc/72/97/44/367729744.db2.gz JCZYQQIGIWZNNE-UWVGGRQHSA-N -1 1 309.370 1.180 20 0 DDADMM CS(=O)(=O)c1ccc(F)c([N-]S(=O)(=O)c2ccoc2)c1 ZINC000350013491 284131817 /nfs/dbraw/zinc/13/18/17/284131817.db2.gz GCCOTIUPSLCEKF-UHFFFAOYSA-N -1 1 319.335 1.623 20 0 DDADMM Cc1cccc(N2CCN(C(=O)CN(C)CCC(=O)[O-])CC2)c1 ZINC000262672243 203376244 /nfs/dbraw/zinc/37/62/44/203376244.db2.gz SDNWDULJHUYNBP-UHFFFAOYSA-N -1 1 319.405 1.050 20 0 DDADMM COc1cc(OC)c(CNC(=O)c2ncccc2[O-])c(OC)c1 ZINC000263697587 203518551 /nfs/dbraw/zinc/51/85/51/203518551.db2.gz RKPLOVUYEGHWBS-UHFFFAOYSA-N -1 1 318.329 1.743 20 0 DDADMM CC(C)COC(=O)N1CCCN(C(=O)c2cncc([O-])c2)CC1 ZINC000264619863 204034028 /nfs/dbraw/zinc/03/40/28/204034028.db2.gz BHTKEVLSJCKYEL-UHFFFAOYSA-N -1 1 321.377 1.728 20 0 DDADMM CN1C(=S)N=NC1[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000264914019 204263747 /nfs/dbraw/zinc/26/37/47/204263747.db2.gz IGQWQGKNNPGJCQ-VIFPVBQESA-N -1 1 319.390 1.224 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCCN(C)C(=O)OC)c1 ZINC000282529970 217065450 /nfs/dbraw/zinc/06/54/50/217065450.db2.gz BVVAULCSLYGDGB-UHFFFAOYSA-N -1 1 324.333 1.596 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@@H](C)[C@@H](O)C3)nc2)[n-]c(=O)c1C ZINC000351502761 284350239 /nfs/dbraw/zinc/35/02/39/284350239.db2.gz NVQKSGHVLIOETR-RNCFNFMXSA-N -1 1 300.362 1.678 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CN2CCCCC2=O)c1 ZINC000282820477 217273052 /nfs/dbraw/zinc/27/30/52/217273052.db2.gz POTHFBUDWWUHFJ-UHFFFAOYSA-N -1 1 306.318 1.130 20 0 DDADMM CC(C)CN1CCN(C(=O)c2s[n-]c(=O)c2Cl)CC1 ZINC000282936370 217336964 /nfs/dbraw/zinc/33/69/64/217336964.db2.gz JWPXUCLVFNRFIJ-UHFFFAOYSA-N -1 1 303.815 1.916 20 0 DDADMM CCCc1nc(=NCc2nc(C3CCOCC3)n[nH]2)s[n-]1 ZINC000631460289 422776750 /nfs/dbraw/zinc/77/67/50/422776750.db2.gz PJMXFOCLWXUXMA-UHFFFAOYSA-N -1 1 308.411 1.537 20 0 DDADMM CCCc1nc(=NCc2n[nH]c(C3CCOCC3)n2)s[n-]1 ZINC000631460289 422776754 /nfs/dbraw/zinc/77/67/54/422776754.db2.gz PJMXFOCLWXUXMA-UHFFFAOYSA-N -1 1 308.411 1.537 20 0 DDADMM CC(C)Cc1nc(=NCc2nc(C3CCOCC3)n[nH]2)s[n-]1 ZINC000631459232 422777406 /nfs/dbraw/zinc/77/74/06/422777406.db2.gz CRZOXDSTYXBWOP-UHFFFAOYSA-N -1 1 322.438 1.783 20 0 DDADMM CC(C)Cc1nc(=NCc2n[nH]c(C3CCOCC3)n2)s[n-]1 ZINC000631459232 422777411 /nfs/dbraw/zinc/77/74/11/422777411.db2.gz CRZOXDSTYXBWOP-UHFFFAOYSA-N -1 1 322.438 1.783 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@H]1C[C@@H]1c1ccccc1Cl ZINC000174580416 248370458 /nfs/dbraw/zinc/37/04/58/248370458.db2.gz BQXIDPHUYQAFRK-MNOVXSKESA-N -1 1 320.780 1.604 20 0 DDADMM CC(C)[C@@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000284454811 218034170 /nfs/dbraw/zinc/03/41/70/218034170.db2.gz VZPAAZBGKDMDJJ-MFKMUULPSA-N -1 1 323.418 1.463 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1ccsc1)c1sccc1F ZINC000338955785 250216552 /nfs/dbraw/zinc/21/65/52/250216552.db2.gz QYBYEMIFLATXIM-SECBINFHSA-N -1 1 307.393 1.961 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])c1ncc(Br)cn1 ZINC000352084388 284767630 /nfs/dbraw/zinc/76/76/30/284767630.db2.gz UQFQKWKVAZJJLL-ZETCQYMHSA-N -1 1 323.150 1.831 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2[C@H](C)C2(F)F)c1 ZINC000359681693 299513322 /nfs/dbraw/zinc/51/33/22/299513322.db2.gz LJESTQMCXRUEPS-WKEGUHRASA-N -1 1 320.317 1.140 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC[C@H](F)C2)o1 ZINC000567473148 304222155 /nfs/dbraw/zinc/22/21/55/304222155.db2.gz AJUMIRIDVTYVTI-IUCAKERBSA-N -1 1 304.343 1.198 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@@H]2C2CC2)c1 ZINC000359689411 299515678 /nfs/dbraw/zinc/51/56/78/299515678.db2.gz DCGWFILDIBIUKA-GHMZBOCLSA-N -1 1 310.375 1.285 20 0 DDADMM Cc1nc([C@H]2CCOC2)sc1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000633191287 422797434 /nfs/dbraw/zinc/79/74/34/422797434.db2.gz QRYDBMVVGKCNBG-QMMMGPOBSA-N -1 1 308.363 1.945 20 0 DDADMM O=C([N-]CCCCN1C(=O)c2ccccc2C1=O)C(F)(F)F ZINC000111000415 194380271 /nfs/dbraw/zinc/38/02/71/194380271.db2.gz OIBBRAZKMSVMPU-UHFFFAOYSA-N -1 1 314.263 1.741 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc(O)ccc1Cl ZINC000340462731 251068740 /nfs/dbraw/zinc/06/87/40/251068740.db2.gz LEXVLVQKYCFUPX-UHFFFAOYSA-N -1 1 307.741 1.758 20 0 DDADMM NC(=O)N1CCC[C@@H](CN=c2nc(C(F)(F)F)[n-]s2)C1 ZINC000340916377 251274679 /nfs/dbraw/zinc/27/46/79/251274679.db2.gz PENYDMAFEAMCSU-LURJTMIESA-N -1 1 309.317 1.181 20 0 DDADMM Cc1nc2[nH]ccc2c(Oc2ccc([N-]S(C)(=O)=O)nc2)n1 ZINC000340926112 251281197 /nfs/dbraw/zinc/28/11/97/251281197.db2.gz OPHRXJBRBQKKMX-UHFFFAOYSA-N -1 1 319.346 1.825 20 0 DDADMM COCCCn1ccc([N-]S(=O)(=O)N2CCC(C)CC2)n1 ZINC000340955066 251300457 /nfs/dbraw/zinc/30/04/57/251300457.db2.gz MHQWHSHNQXTGCU-UHFFFAOYSA-N -1 1 316.427 1.308 20 0 DDADMM O=S(=O)([N-][C@H](CO)[C@H]1CCCO1)c1cc(Cl)ccc1F ZINC000341075056 251367509 /nfs/dbraw/zinc/36/75/09/251367509.db2.gz GNLDNKUKLZVFPT-GHMZBOCLSA-N -1 1 323.773 1.297 20 0 DDADMM CS(=O)(=O)N1CCC(C(=O)Nc2ccc(F)cc2[O-])CC1 ZINC000269090817 207233798 /nfs/dbraw/zinc/23/37/98/207233798.db2.gz YYWLPHLEWZUDOE-UHFFFAOYSA-N -1 1 316.354 1.141 20 0 DDADMM CCC[C@H]1CCCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000567654080 304232423 /nfs/dbraw/zinc/23/24/23/304232423.db2.gz TXCFRBWDHAXVLI-QWRGUYRKSA-N -1 1 303.366 1.506 20 0 DDADMM COC(C)(C)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000567769659 304241540 /nfs/dbraw/zinc/24/15/40/304241540.db2.gz KXPAYFYGOLXIIQ-UHFFFAOYSA-N -1 1 300.405 2.000 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1nc2ccccc2s1)C1CC1 ZINC000567770627 304241869 /nfs/dbraw/zinc/24/18/69/304241869.db2.gz BUEMJOFDYZQCPW-CYBMUJFWSA-N -1 1 312.416 1.736 20 0 DDADMM CO[C@H](C)c1cccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000352421131 285039140 /nfs/dbraw/zinc/03/91/40/285039140.db2.gz ATGYMYQRDQCMSX-SECBINFHSA-N -1 1 313.317 1.377 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2sccc2C)c1 ZINC000270032630 208087150 /nfs/dbraw/zinc/08/71/50/208087150.db2.gz QPBONOUASFYCHV-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@H](C)CCSC)o1 ZINC000120727896 195225667 /nfs/dbraw/zinc/22/56/67/195225667.db2.gz CSDUPDCEOPXGLQ-SECBINFHSA-N -1 1 320.436 1.401 20 0 DDADMM NC(=O)[C@@H]1CC[C@H]1C(=O)N=c1cc(-c2ccc(Cl)s2)[n-][nH]1 ZINC000568203591 304275576 /nfs/dbraw/zinc/27/55/76/304275576.db2.gz NVQWHUDFGTZGQS-RNFRBKRXSA-N -1 1 324.793 1.664 20 0 DDADMM Cc1nc2n(n1)C[C@H](NC(=O)c1c(F)ccc([O-])c1F)CC2 ZINC000289782306 221223121 /nfs/dbraw/zinc/22/31/21/221223121.db2.gz RLJHBKYEIXQKQP-MRVPVSSYSA-N -1 1 308.288 1.315 20 0 DDADMM CC(C)(C)C(=O)NCCNC(=O)c1c(F)ccc([O-])c1F ZINC000289829025 221253621 /nfs/dbraw/zinc/25/36/21/221253621.db2.gz UJJUYGNWTUKZJC-UHFFFAOYSA-N -1 1 300.305 1.563 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(OC)c(Cl)c(OC)c1 ZINC000414386214 224330962 /nfs/dbraw/zinc/33/09/62/224330962.db2.gz GZPRKEZVHZCNTI-UHFFFAOYSA-N -1 1 309.771 1.745 20 0 DDADMM CCOC1CC(O)(CNC(=O)c2c(F)ccc([O-])c2F)C1 ZINC000290002932 221373240 /nfs/dbraw/zinc/37/32/40/221373240.db2.gz DBOVBQMSSNJJSA-UHFFFAOYSA-N -1 1 301.289 1.330 20 0 DDADMM Cc1ccc([C@@H]2CCCN2C(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000352834441 285328644 /nfs/dbraw/zinc/32/86/44/285328644.db2.gz IHKMSAKAOQHZOU-AWEZNQCLSA-N -1 1 323.356 1.703 20 0 DDADMM Cc1ccc(F)cc1CN[C@H]1CCN([C@H](C(=O)[O-])C(C)C)C1=O ZINC000414661527 224369298 /nfs/dbraw/zinc/36/92/98/224369298.db2.gz QITMJLJQPWHBJH-GJZGRUSLSA-N -1 1 322.380 1.934 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCc3occc32)o1 ZINC000121017202 195280655 /nfs/dbraw/zinc/28/06/55/195280655.db2.gz KILDCEWAVUYMST-SNVBAGLBSA-N -1 1 324.358 1.588 20 0 DDADMM Cn1cc(CNC(=O)c2ncccc2[O-])c(C(F)(F)F)n1 ZINC000121029687 195282310 /nfs/dbraw/zinc/28/23/10/195282310.db2.gz LTQIQZGKEOGWTB-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC1(CCO)CCC1 ZINC000121706769 195331896 /nfs/dbraw/zinc/33/18/96/195331896.db2.gz OAJSKJRXBZURES-UHFFFAOYSA-N -1 1 321.421 1.658 20 0 DDADMM COc1cc(C(=O)N[C@H]2CCc3ncnn3C2)cc(Cl)c1[O-] ZINC000332650400 533554040 /nfs/dbraw/zinc/55/40/40/533554040.db2.gz IFIFFTPZBBSPTB-VIFPVBQESA-N -1 1 322.752 1.391 20 0 DDADMM Cc1cc(CN2CCN(C(=O)c3ncc(C)cc3[O-])CC2)on1 ZINC000330885036 533616205 /nfs/dbraw/zinc/61/62/05/533616205.db2.gz QCSACGNDWBOIOA-UHFFFAOYSA-N -1 1 316.361 1.350 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H](C)CO[C@H]2CCOC2)sc1C ZINC000433783533 533647619 /nfs/dbraw/zinc/64/76/19/533647619.db2.gz PIFOHBUFVQIGBS-SCZZXKLOSA-N -1 1 300.380 1.266 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)[C@@H]1C(=O)[O-] ZINC000424249480 533668498 /nfs/dbraw/zinc/66/84/98/533668498.db2.gz IUHZYNNRLZNDBD-MFKMUULPSA-N -1 1 314.345 1.797 20 0 DDADMM COCCN1CCCN(Cc2cccc([O-])c2Cl)CC1=O ZINC000353140983 285549298 /nfs/dbraw/zinc/54/92/98/285549298.db2.gz CCXAKJXVBCQSHJ-UHFFFAOYSA-N -1 1 312.797 1.726 20 0 DDADMM Cc1nc(CCNC(=O)c2nc3ccccc3c(=O)[n-]2)cs1 ZINC000353472267 285754957 /nfs/dbraw/zinc/75/49/57/285754957.db2.gz BIHXTUBJSHHXJE-UHFFFAOYSA-N -1 1 314.370 1.661 20 0 DDADMM O=C(Nc1cc(F)c(F)c(F)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000353729342 285893486 /nfs/dbraw/zinc/89/34/86/285893486.db2.gz RUQVUCCGPLWPRV-UHFFFAOYSA-N -1 1 309.207 1.087 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2c(F)cc(C)cc2F)CC1 ZINC000569249702 304343556 /nfs/dbraw/zinc/34/35/56/304343556.db2.gz JZZOTNLJKVDUPZ-UHFFFAOYSA-N -1 1 319.329 1.647 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)c1ccsc1 ZINC000074162319 406904318 /nfs/dbraw/zinc/90/43/18/406904318.db2.gz NHLVZKNDRFOVIX-UHFFFAOYSA-N -1 1 302.359 1.631 20 0 DDADMM CCCn1nccc1NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000031768778 406942351 /nfs/dbraw/zinc/94/23/51/406942351.db2.gz KUEZJSYKJDRXHO-UHFFFAOYSA-N -1 1 312.351 1.047 20 0 DDADMM COCCOCCNC(=O)c1ccc(Br)cc1[O-] ZINC000044728038 407030101 /nfs/dbraw/zinc/03/01/01/407030101.db2.gz DCHISRWVXMJMIG-UHFFFAOYSA-N -1 1 318.167 1.548 20 0 DDADMM CC(=O)Nc1nc(CS(=O)(=O)c2ccc([O-])cc2)cs1 ZINC000049488882 407122007 /nfs/dbraw/zinc/12/20/07/407122007.db2.gz PDXMCUSOFOHSCX-UHFFFAOYSA-N -1 1 312.372 1.781 20 0 DDADMM O=C(NCc1cccnc1-n1cncn1)c1cc(F)ccc1[O-] ZINC000080002979 407068486 /nfs/dbraw/zinc/06/84/86/407068486.db2.gz UWWOOBYISHCBEW-UHFFFAOYSA-N -1 1 313.292 1.437 20 0 DDADMM C[C@@H](CN1CCOCC1)NC(=O)c1cc2ccccc2cc1[O-] ZINC000047151036 407070948 /nfs/dbraw/zinc/07/09/48/407070948.db2.gz NDLBZEBSUAEMOA-ZDUSSCGKSA-N -1 1 314.385 1.996 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@H]1O)c1ccc(F)c(F)c1F ZINC000052005689 407146219 /nfs/dbraw/zinc/14/62/19/407146219.db2.gz JIWUPTBOQRPJOQ-RKDXNWHRSA-N -1 1 309.309 1.686 20 0 DDADMM C[C@H](C(=O)[O-])N1CCN(C(=O)Cc2cccc(Cl)c2)CC1 ZINC000052944326 407160600 /nfs/dbraw/zinc/16/06/00/407160600.db2.gz SSMUFZBWORCEHY-LLVKDONJSA-N -1 1 310.781 1.500 20 0 DDADMM Cc1cc(C)c(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)[nH]1 ZINC000123189948 407321805 /nfs/dbraw/zinc/32/18/05/407321805.db2.gz KIBIMBTXWDBXSX-UHFFFAOYSA-N -1 1 313.361 1.514 20 0 DDADMM O=C(CCNC(=O)c1ccc2ccccc2c1)Nc1nnn[n-]1 ZINC000102895003 407328389 /nfs/dbraw/zinc/32/83/89/407328389.db2.gz SCIBTHGJAZOXLO-UHFFFAOYSA-N -1 1 310.317 1.112 20 0 DDADMM O=C(CCNC(=O)c1ccc2ccccc2c1)Nc1nn[n-]n1 ZINC000102895003 407328391 /nfs/dbraw/zinc/32/83/91/407328391.db2.gz SCIBTHGJAZOXLO-UHFFFAOYSA-N -1 1 310.317 1.112 20 0 DDADMM CC[C@H](CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000103521252 407342043 /nfs/dbraw/zinc/34/20/43/407342043.db2.gz LZTGWWYHGDPVTQ-SNVBAGLBSA-N -1 1 322.390 1.731 20 0 DDADMM CC[C@H](CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000103521252 407342045 /nfs/dbraw/zinc/34/20/45/407342045.db2.gz LZTGWWYHGDPVTQ-SNVBAGLBSA-N -1 1 322.390 1.731 20 0 DDADMM CC(C)(CCS(C)(=O)=O)NC(=O)c1c([O-])cccc1F ZINC000127004783 407426099 /nfs/dbraw/zinc/42/60/99/407426099.db2.gz CYJSMNGGCAQIFI-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM C[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CCOCC1 ZINC000127967257 407486189 /nfs/dbraw/zinc/48/61/89/407486189.db2.gz CJWJUJGXXIYWQY-JTQLQIEISA-N -1 1 301.346 1.468 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2(c3ccc(F)cc3)CC2)n1 ZINC000113421633 407503943 /nfs/dbraw/zinc/50/39/43/407503943.db2.gz IXGBQWZOXPVESK-UHFFFAOYSA-N -1 1 324.337 1.018 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C2(c3ccc(F)cc3)CC2)[n-]1 ZINC000113421633 407503948 /nfs/dbraw/zinc/50/39/48/407503948.db2.gz IXGBQWZOXPVESK-UHFFFAOYSA-N -1 1 324.337 1.018 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCC(O)CC1 ZINC000218209102 407516069 /nfs/dbraw/zinc/51/60/69/407516069.db2.gz HFCSJSYYMWLZLO-UHFFFAOYSA-N -1 1 300.152 1.752 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3CCCC[C@H]3O)cnc2n1 ZINC000186417343 407660268 /nfs/dbraw/zinc/66/02/68/407660268.db2.gz ZDLDWZFNPRNQNE-CHWSQXEVSA-N -1 1 301.346 1.677 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1CCCC[C@H]1O)c2=O ZINC000186417343 407660273 /nfs/dbraw/zinc/66/02/73/407660273.db2.gz ZDLDWZFNPRNQNE-CHWSQXEVSA-N -1 1 301.346 1.677 20 0 DDADMM CC[C@@H]1CCCCCN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000152931854 407719774 /nfs/dbraw/zinc/71/97/74/407719774.db2.gz NTXWJNNABXATNV-GFCCVEGCSA-N -1 1 314.407 1.983 20 0 DDADMM COCCOc1ncccc1C[N-]C(=O)C(F)(F)C(F)F ZINC000267191698 407784468 /nfs/dbraw/zinc/78/44/68/407784468.db2.gz KUQWEUWTLSPDNY-UHFFFAOYSA-N -1 1 310.247 1.623 20 0 DDADMM C[C@H](C[S@@](C)=O)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000133369613 407834908 /nfs/dbraw/zinc/83/49/08/407834908.db2.gz RNSPONZMRMWQTM-GJEGPGMTSA-N -1 1 313.803 1.524 20 0 DDADMM COc1cc(C(=O)NCCC(=O)N(C)C)cc(Cl)c1[O-] ZINC000153599867 407857278 /nfs/dbraw/zinc/85/72/78/407857278.db2.gz BPLJNCJCBXCYLT-UHFFFAOYSA-N -1 1 300.742 1.262 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ccc(F)cc2)c1 ZINC000133867206 407876475 /nfs/dbraw/zinc/87/64/75/407876475.db2.gz OYHFOCXOZNLMNX-UHFFFAOYSA-N -1 1 313.306 1.684 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC2CCSCC2)c1Cl ZINC000134601992 407912785 /nfs/dbraw/zinc/91/27/85/407912785.db2.gz AAPJDRVTZFBXCM-UHFFFAOYSA-N -1 1 309.844 1.495 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC(N2CCNC2=O)CC1 ZINC000272276594 407847854 /nfs/dbraw/zinc/84/78/54/407847854.db2.gz SQNQAUJRLAPFKT-UHFFFAOYSA-N -1 1 307.325 1.161 20 0 DDADMM Cc1ccc(N2CCC(NC(=O)c3ncccc3[O-])CC2)nc1 ZINC000174442488 407947435 /nfs/dbraw/zinc/94/74/35/407947435.db2.gz SNFMRDHGWNNYAP-UHFFFAOYSA-N -1 1 312.373 1.889 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2cc(C(=O)OC)co2)CCC1 ZINC000153992084 407948797 /nfs/dbraw/zinc/94/87/97/407948797.db2.gz RDHBJXFCCBWSCX-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1ccc([O-])cc1F)N1CCOCC1 ZINC000181093265 407956392 /nfs/dbraw/zinc/95/63/92/407956392.db2.gz ZBDATJRLNUGGHP-OAHLLOKOSA-N -1 1 310.369 1.618 20 0 DDADMM CN(C)C1CN(C(=O)c2nn(-c3ccccc3F)cc2[O-])C1 ZINC000135041122 407969550 /nfs/dbraw/zinc/96/95/50/407969550.db2.gz ZPUHQGYKWKXADN-UHFFFAOYSA-N -1 1 304.325 1.103 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(C(=O)c2cccc3c[nH]nc32)C1 ZINC000262922016 407970923 /nfs/dbraw/zinc/97/09/23/407970923.db2.gz OCWGLIUOCUBHMS-MRXNPFEDSA-N -1 1 317.345 1.516 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCc2ccsc2)co1 ZINC000118990980 407971789 /nfs/dbraw/zinc/97/17/89/407971789.db2.gz OBFGFFPIEJMGBN-UHFFFAOYSA-N -1 1 314.388 1.222 20 0 DDADMM COc1cc(C(=O)Nc2nn[n-]n2)sc1Br ZINC000135109438 407973581 /nfs/dbraw/zinc/97/35/81/407973581.db2.gz OPCLYTSVMQRQLM-UHFFFAOYSA-N -1 1 304.129 1.285 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(C)(C)SC)co1 ZINC000154443454 408036017 /nfs/dbraw/zinc/03/60/17/408036017.db2.gz WUKJNARSDDHSAV-UHFFFAOYSA-N -1 1 306.409 1.059 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC[C@@H](C)[C@@H]2C)co1 ZINC000245706475 408049414 /nfs/dbraw/zinc/04/94/14/408049414.db2.gz MKLBEPYRMYDFNR-JFGNBEQYSA-N -1 1 314.407 1.742 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2c(F)cccc2Cl)[n-]1 ZINC000268503915 408073902 /nfs/dbraw/zinc/07/39/02/408073902.db2.gz TZSKDQBAVKZQPP-UHFFFAOYSA-N -1 1 319.745 1.718 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2c(F)cccc2Cl)n[n-]1 ZINC000268503915 408073911 /nfs/dbraw/zinc/07/39/11/408073911.db2.gz TZSKDQBAVKZQPP-UHFFFAOYSA-N -1 1 319.745 1.718 20 0 DDADMM CCC[C@H](NC(=O)Cc1cc(OC)ccc1OC)c1nn[n-]n1 ZINC000136637788 408116613 /nfs/dbraw/zinc/11/66/13/408116613.db2.gz QIKMOOPFTDFVFN-LBPRGKRZSA-N -1 1 319.365 1.417 20 0 DDADMM O=S(=O)(Cc1ccn(C2CCCC2)n1)c1n[n-]c(C2CC2)n1 ZINC000268609642 408118623 /nfs/dbraw/zinc/11/86/23/408118623.db2.gz REZBGFAPFDTKPK-UHFFFAOYSA-N -1 1 321.406 1.968 20 0 DDADMM CC(C)OCCN1CCN(Cc2cccc(C(=O)[O-])c2)CC1 ZINC000263484442 408151900 /nfs/dbraw/zinc/15/19/00/408151900.db2.gz OXHPBYVUXRJVOE-UHFFFAOYSA-N -1 1 306.406 1.927 20 0 DDADMM CS(=O)(=O)c1ccc(C[N-]C(=O)C(F)(F)C(F)F)cc1 ZINC000175983419 408256119 /nfs/dbraw/zinc/25/61/19/408256119.db2.gz ROSXIBKVVCTQDO-UHFFFAOYSA-N -1 1 313.272 1.607 20 0 DDADMM O=S(=O)(C[C@H]1CCCCO1)[N-]c1ccc(-c2nn[nH]n2)cc1 ZINC000150853200 408212641 /nfs/dbraw/zinc/21/26/41/408212641.db2.gz FWVYOGUTLNGLIM-GFCCVEGCSA-N -1 1 323.378 1.178 20 0 DDADMM COc1ccc(OC)c([C@@H](O)CNC(=O)c2ncccc2[O-])c1 ZINC000263703597 408214149 /nfs/dbraw/zinc/21/41/49/408214149.db2.gz SUYHJUYGHAFLJE-ZDUSSCGKSA-N -1 1 318.329 1.268 20 0 DDADMM CC(C)[C@@H](Cc1ccccc1)C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000176255986 408325387 /nfs/dbraw/zinc/32/53/87/408325387.db2.gz XMRMNHPWGYJMLD-CQSZACIVSA-N -1 1 316.405 1.662 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@@H]1SCCc2ccccc21 ZINC000176261675 408327388 /nfs/dbraw/zinc/32/73/88/408327388.db2.gz AOOWFUFGMCGGCJ-CYBMUJFWSA-N -1 1 318.402 1.177 20 0 DDADMM COC1(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CCC1 ZINC000183027106 408309198 /nfs/dbraw/zinc/30/91/98/408309198.db2.gz BKHWHHDMCKNKEQ-UHFFFAOYSA-N -1 1 307.394 1.675 20 0 DDADMM CCOC[C@H]1CCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000132714139 162044084 /nfs/dbraw/zinc/04/40/84/162044084.db2.gz LKXNAQHJTSWBPS-NSHDSACASA-N -1 1 318.377 1.563 20 0 DDADMM CCC[C@@H](NC(=O)COc1cc(C)ccc1F)c1nn[n-]n1 ZINC000133225618 162054193 /nfs/dbraw/zinc/05/41/93/162054193.db2.gz TYOAXFXJQKCABY-LLVKDONJSA-N -1 1 307.329 1.684 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCC[C@H](O)C1 ZINC000176428497 408361899 /nfs/dbraw/zinc/36/18/99/408361899.db2.gz QPTAIZUPDAENQI-RYUDHWBXSA-N -1 1 321.421 1.657 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)NC(=O)c1cc(F)ccc1[O-] ZINC000274314169 408362278 /nfs/dbraw/zinc/36/22/78/408362278.db2.gz VJGPNNDGXDDPNC-MRVPVSSYSA-N -1 1 309.215 1.755 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(OCC2CC2)cc1)c1nn[n-]n1 ZINC000183428967 408408130 /nfs/dbraw/zinc/40/81/30/408408130.db2.gz VEKOIEROCLUWCB-NSHDSACASA-N -1 1 315.377 1.864 20 0 DDADMM CC[C@@H](C)[C@H](O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000269740483 408412178 /nfs/dbraw/zinc/41/21/78/408412178.db2.gz DVSINDIOMUDVOM-RDDDGLTNSA-N -1 1 311.325 1.789 20 0 DDADMM CCC[C@H](NC(=O)C[C@H](C)NC(=O)C1CCCC1)c1nn[n-]n1 ZINC000176762455 408434634 /nfs/dbraw/zinc/43/46/34/408434634.db2.gz OCFHSYUJEMWBOQ-JQWIXIFHSA-N -1 1 322.413 1.242 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC[C@H](C)[C@@H]2C)c(=O)[n-]1 ZINC000177096295 408517294 /nfs/dbraw/zinc/51/72/94/408517294.db2.gz LYNBPOQYZVPBQQ-ONGXEEELSA-N -1 1 309.435 1.990 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H](CCO)c2ccco2)c1Cl ZINC000184267977 408580155 /nfs/dbraw/zinc/58/01/55/408580155.db2.gz BWZVZVPVONHOKT-MRVPVSSYSA-N -1 1 319.770 1.069 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1c(F)cccc1OC(F)F ZINC000631581177 422839472 /nfs/dbraw/zinc/83/94/72/422839472.db2.gz UNIUSMRQJJMUHS-UHFFFAOYSA-N -1 1 315.255 1.189 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)cc1C ZINC000265360306 408622792 /nfs/dbraw/zinc/62/27/92/408622792.db2.gz BDKIKVLBENXIGP-LBPRGKRZSA-N -1 1 313.375 1.702 20 0 DDADMM CCn1cc([C@H]2OCC[C@@H]2NC(=O)c2cncc([O-])c2)cn1 ZINC000275850527 408695879 /nfs/dbraw/zinc/69/58/79/408695879.db2.gz WWNBCLSYIGZSCT-UONOGXRCSA-N -1 1 302.334 1.264 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC[C@H](C)C2)co1 ZINC000162894083 408744551 /nfs/dbraw/zinc/74/45/51/408744551.db2.gz AYMHGEAMBFNTQJ-GXSJLCMTSA-N -1 1 300.380 1.496 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)NCc1cccc(OC)c1)C(=O)[O-] ZINC000185047489 408745373 /nfs/dbraw/zinc/74/53/73/408745373.db2.gz LDSFWTADGKPMTO-FZMZJTMJSA-N -1 1 308.378 1.543 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2OCCC[C@@H]12)c1ccc(F)c(F)c1F ZINC000249897931 408772656 /nfs/dbraw/zinc/77/26/56/408772656.db2.gz ROVIWVDWKNBLEV-SFGNSQDASA-N -1 1 321.320 1.950 20 0 DDADMM C[C@]1(NC(=O)c2cc(Br)ccc2[O-])CCOC1=O ZINC000291514292 408878586 /nfs/dbraw/zinc/87/85/86/408878586.db2.gz XCLJLSUWXCULDS-LBPRGKRZSA-N -1 1 314.135 1.590 20 0 DDADMM CC(C)(C)c1ccc([C@H](O)CCC(=O)[N-]OCC(N)=O)cc1 ZINC000281319430 408880688 /nfs/dbraw/zinc/88/06/88/408880688.db2.gz SQXDZFNDNWMDSE-CYBMUJFWSA-N -1 1 308.378 1.331 20 0 DDADMM COc1ccnc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000290802008 408835874 /nfs/dbraw/zinc/83/58/74/408835874.db2.gz YTESIJBRGZMDPG-VIFPVBQESA-N -1 1 318.299 1.380 20 0 DDADMM CC(C)(CNC(=O)c1c(F)ccc([O-])c1F)N1CCOCC1 ZINC000280843675 408845792 /nfs/dbraw/zinc/84/57/92/408845792.db2.gz VRIGCFOZIJCURY-UHFFFAOYSA-N -1 1 314.332 1.511 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccncc1C(F)(F)F)c1nn[n-]n1 ZINC000285977643 408901303 /nfs/dbraw/zinc/90/13/03/408901303.db2.gz FJOPGGYASQPVNP-ZETCQYMHSA-N -1 1 314.271 1.489 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000286022675 408909658 /nfs/dbraw/zinc/90/96/58/408909658.db2.gz JDNHGQYWHUNDKW-RKDXNWHRSA-N -1 1 322.390 1.336 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](CO)CCOC)cc(Cl)c1[O-] ZINC000281809461 408933917 /nfs/dbraw/zinc/93/39/17/408933917.db2.gz WTAIPIMXWOULIG-SNVBAGLBSA-N -1 1 317.769 1.572 20 0 DDADMM COCC[C@H](NC(=O)c1ccc2ccccc2c1[O-])C(=O)OC ZINC000292110402 408982085 /nfs/dbraw/zinc/98/20/85/408982085.db2.gz CXHMSRKJPJFPBL-AWEZNQCLSA-N -1 1 317.341 1.853 20 0 DDADMM COC(=O)C(C)(C)CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000286514417 408990085 /nfs/dbraw/zinc/99/00/85/408990085.db2.gz RYOUJHMZARYJFL-UHFFFAOYSA-N -1 1 322.390 1.337 20 0 DDADMM CS(=O)(=O)CCCc1nc(-c2ccc([O-])c(F)c2)no1 ZINC000278118585 409068105 /nfs/dbraw/zinc/06/81/05/409068105.db2.gz JFVAXUYGVJCRBF-UHFFFAOYSA-N -1 1 300.311 1.559 20 0 DDADMM CCC(CC)(CCO)NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287254809 409008975 /nfs/dbraw/zinc/00/89/75/409008975.db2.gz RXMHSRRQVMSTIJ-PDGQHHTCSA-N -1 1 305.382 1.405 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@H]3CCC(F)(F)C3)ccnc1-2 ZINC000287289041 409013820 /nfs/dbraw/zinc/01/38/20/409013820.db2.gz UNOKQRMBSCBYIR-SIPLXZFMSA-N -1 1 309.320 1.899 20 0 DDADMM COCCCO[N-]C(=O)[C@@H](c1ccccc1)N1CCC(O)CC1 ZINC000287818105 409098556 /nfs/dbraw/zinc/09/85/56/409098556.db2.gz MTGUYWZIIQRXGP-MRXNPFEDSA-N -1 1 322.405 1.269 20 0 DDADMM O=C(NC[C@H]1CCCS(=O)(=O)C1)c1cc(Cl)ccc1[O-] ZINC000288043279 409136759 /nfs/dbraw/zinc/13/67/59/409136759.db2.gz DRWGIIBQKZTOQU-SECBINFHSA-N -1 1 317.794 1.600 20 0 DDADMM CN(C)C(=O)Cn1ccc(NCc2cccc([O-])c2Cl)n1 ZINC000293650830 409154376 /nfs/dbraw/zinc/15/43/76/409154376.db2.gz SJVRAAPGXWIXME-UHFFFAOYSA-N -1 1 308.769 1.942 20 0 DDADMM CC[C@H](C)CONC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000293707116 409164698 /nfs/dbraw/zinc/16/46/98/409164698.db2.gz LNINKGQTWIZPBM-VIFPVBQESA-N -1 1 306.366 1.276 20 0 DDADMM CCN1CCCC[C@@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[n-]2)c1 ZINC000289036459 409210871 /nfs/dbraw/zinc/21/08/71/409210871.db2.gz LQDFYCKIKWHDPO-CYBMUJFWSA-N -1 1 315.377 1.578 20 0 DDADMM O=C1NCCCC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000283795075 409218500 /nfs/dbraw/zinc/21/85/00/409218500.db2.gz GESPKWVDGZJMKB-JTQLQIEISA-N -1 1 320.773 1.426 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000289321259 409248701 /nfs/dbraw/zinc/24/87/01/409248701.db2.gz KWBFPCWGINGBOT-APPZFPTMSA-N -1 1 312.272 1.241 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(F)(F)F)cc1F ZINC000284033824 409261240 /nfs/dbraw/zinc/26/12/40/409261240.db2.gz KKMXOEWLACQYBI-UHFFFAOYSA-N -1 1 315.244 1.453 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@](C)(O)CCc1ccccc1)c1nn[n-]n1 ZINC000283722173 409203079 /nfs/dbraw/zinc/20/30/79/409203079.db2.gz DJLCJANKQOSMNR-BLLLJJGKSA-N -1 1 317.393 1.145 20 0 DDADMM CN(C)c1nc2sc(C(=O)[N-]c3ncn(C)n3)cc2s1 ZINC000294427639 409290677 /nfs/dbraw/zinc/29/06/77/409290677.db2.gz GBUJKHAYUFOAOM-UHFFFAOYSA-N -1 1 308.392 1.805 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCCN(C(=O)C2CC2)CC1 ZINC000290122162 409305433 /nfs/dbraw/zinc/30/54/33/409305433.db2.gz IXGKPOFLGCWULU-UHFFFAOYSA-N -1 1 324.327 1.755 20 0 DDADMM COC(=O)c1ccc(CN2CCC[C@H](c3n[n-]c(=O)o3)C2)s1 ZINC000284278678 409310748 /nfs/dbraw/zinc/31/07/48/409310748.db2.gz NGXIPKSLNPPOEN-VIFPVBQESA-N -1 1 323.374 1.591 20 0 DDADMM O=c1cc(C2CC2)nc(SCc2nnc3n2CCOC3)[n-]1 ZINC000280494742 409328116 /nfs/dbraw/zinc/32/81/16/409328116.db2.gz BYVFYEKBRVGTRB-UHFFFAOYSA-N -1 1 305.363 1.474 20 0 DDADMM CCNC(=O)[C@@H](NC(=O)c1c(F)ccc([O-])c1F)C(C)C ZINC000294285111 409272347 /nfs/dbraw/zinc/27/23/47/409272347.db2.gz JHFCFLMNDSFTIJ-LBPRGKRZSA-N -1 1 300.305 1.561 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN[C@H](c2ccncc2)C1 ZINC000374376490 164172365 /nfs/dbraw/zinc/17/23/65/164172365.db2.gz FAEWVIKAELHWGY-AWEZNQCLSA-N -1 1 301.321 1.713 20 0 DDADMM CC[C@@H]1C[C@@H](C)CN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000408250176 164275753 /nfs/dbraw/zinc/27/57/53/164275753.db2.gz IYZHSNJCWWOORC-NXEZZACHSA-N -1 1 300.380 1.448 20 0 DDADMM O=C(NC[C@@H](O)COCC1CC1)c1c(F)ccc([O-])c1F ZINC000348855770 409554920 /nfs/dbraw/zinc/55/49/20/409554920.db2.gz ZVURQLZHKIMWED-SECBINFHSA-N -1 1 301.289 1.188 20 0 DDADMM Cc1cc(NC(=O)CSc2nc(C3CC3)cc(=O)[n-]2)n(C)n1 ZINC000188129744 306720289 /nfs/dbraw/zinc/72/02/89/306720289.db2.gz ZQPZFOPFSWWKSY-UHFFFAOYSA-N -1 1 319.390 1.832 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1c(F)cccc1F ZINC000295494489 409505342 /nfs/dbraw/zinc/50/53/42/409505342.db2.gz FXZKAGXHNYNGBS-UHFFFAOYSA-N -1 1 301.289 1.897 20 0 DDADMM Cc1nc2ccccc2n1CCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000356815794 409634402 /nfs/dbraw/zinc/63/44/02/409634402.db2.gz NAELKOGSZUTICV-UHFFFAOYSA-N -1 1 313.365 1.299 20 0 DDADMM COc1cccc(NC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000337943957 409587592 /nfs/dbraw/zinc/58/75/92/409587592.db2.gz FIXIEQULOIIVMG-UHFFFAOYSA-N -1 1 301.302 1.164 20 0 DDADMM Cn1cc(C(=O)N=c2cc(-c3ccc(Cl)s3)[n-][nH]2)nn1 ZINC000349098413 409692251 /nfs/dbraw/zinc/69/22/51/409692251.db2.gz SVKNNZPROFABEH-UHFFFAOYSA-N -1 1 308.754 1.594 20 0 DDADMM C[C@H]1C(=O)CC[C@@H]1CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000331600647 409678991 /nfs/dbraw/zinc/67/89/91/409678991.db2.gz AUDJMEPBJSFPAP-MWLCHTKSSA-N -1 1 307.350 1.487 20 0 DDADMM Cc1nn(C)c(C)c1CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000331686703 409766859 /nfs/dbraw/zinc/76/68/59/409766859.db2.gz VMLCXQABGMVDMT-UHFFFAOYSA-N -1 1 319.365 1.074 20 0 DDADMM O=C(NCc1ccn(Cc2ccccc2)n1)c1cncc([O-])c1 ZINC000357000154 409779435 /nfs/dbraw/zinc/77/94/35/409779435.db2.gz LHTLHKSGHQUIRK-UHFFFAOYSA-N -1 1 308.341 1.962 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC[C@H]1CCC[C@@H](C)C1 ZINC000349631593 409892287 /nfs/dbraw/zinc/89/22/87/409892287.db2.gz XFMOOPFMTKZCEX-MWLCHTKSSA-N -1 1 301.412 1.890 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@H]1CCC[C@@H](C)C1 ZINC000349631593 409892294 /nfs/dbraw/zinc/89/22/94/409892294.db2.gz XFMOOPFMTKZCEX-MWLCHTKSSA-N -1 1 301.412 1.890 20 0 DDADMM COc1ncc(-c2noc(-c3ccc([O-])cc3F)n2)c(N)n1 ZINC000350574256 409966983 /nfs/dbraw/zinc/96/69/83/409966983.db2.gz HFDBNEPUQMOIIZ-UHFFFAOYSA-N -1 1 303.253 1.459 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H](C)c1cccc(O)c1 ZINC000357412081 410024790 /nfs/dbraw/zinc/02/47/90/410024790.db2.gz KCZRIAHEWBOWSE-MRVPVSSYSA-N -1 1 319.317 1.435 20 0 DDADMM CC(C)c1nc([C@@H]2COCCN2C(=O)c2cncc([O-])c2)no1 ZINC000354667648 410005726 /nfs/dbraw/zinc/00/57/26/410005726.db2.gz UIZVKSNODVYHBY-LBPRGKRZSA-N -1 1 318.333 1.507 20 0 DDADMM CC(C)(C)[C@@H](O)C[C@H](CO)N=c1nc(C(F)(F)F)[n-]s1 ZINC000343059098 410109551 /nfs/dbraw/zinc/10/95/51/410109551.db2.gz GJEUOIZMLQSYEI-RQJHMYQMSA-N -1 1 313.345 1.549 20 0 DDADMM Cn1ncc2c1nc(Sc1nnc(C3CC3)s1)[n-]c2=O ZINC000329131069 410119869 /nfs/dbraw/zinc/11/98/69/410119869.db2.gz XPJXEUMHKGULHL-UHFFFAOYSA-N -1 1 306.376 1.949 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@]3(C)CCOC3)cnc2n1 ZINC000354915484 410171040 /nfs/dbraw/zinc/17/10/40/410171040.db2.gz FMWHNGZMZFZPFB-INIZCTEOSA-N -1 1 301.346 1.800 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@]1(C)CCOC1)c2=O ZINC000354915484 410171046 /nfs/dbraw/zinc/17/10/46/410171046.db2.gz FMWHNGZMZFZPFB-INIZCTEOSA-N -1 1 301.346 1.800 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@H](C)[C@H](CO)C3)nc2)[n-]c(=O)c1C ZINC000357673352 410178379 /nfs/dbraw/zinc/17/83/79/410178379.db2.gz LAZCEGAADBAQMK-HZMBPMFUSA-N -1 1 314.389 1.926 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2nncn2-c2ccccc2)no1 ZINC000339513521 410246045 /nfs/dbraw/zinc/24/60/45/410246045.db2.gz KOQVDUFVJYWRQX-UHFFFAOYSA-N -1 1 313.273 1.294 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)N=c1ccc(C2CC2)n[n-]1 ZINC000329388318 410273016 /nfs/dbraw/zinc/27/30/16/410273016.db2.gz RHDOGMWDXJXVMB-AWEZNQCLSA-N -1 1 303.410 1.580 20 0 DDADMM COC(=O)[C@@H](C)CN(C)C(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000357834079 410280804 /nfs/dbraw/zinc/28/08/04/410280804.db2.gz YRXXSLVWCBVZHL-VIFPVBQESA-N -1 1 317.345 1.525 20 0 DDADMM COC(=O)[C@@H](C)CN(C)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000357834079 410280820 /nfs/dbraw/zinc/28/08/20/410280820.db2.gz YRXXSLVWCBVZHL-VIFPVBQESA-N -1 1 317.345 1.525 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2)cn1 ZINC000343261643 410288319 /nfs/dbraw/zinc/28/83/19/410288319.db2.gz RSXRQXWHAMZDCJ-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM Cc1ccsc1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000343323484 410354729 /nfs/dbraw/zinc/35/47/29/410354729.db2.gz OYYRMQWGMWIHNJ-UHFFFAOYSA-N -1 1 305.359 1.203 20 0 DDADMM Cc1nnc([C@@H]2CCCN(C(=O)c3ncc(C)cc3[O-])C2)[nH]1 ZINC000329604715 410382147 /nfs/dbraw/zinc/38/21/47/410382147.db2.gz LWFHMDQDKVKGHN-LLVKDONJSA-N -1 1 301.350 1.542 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(C(F)(F)F)CC1 ZINC000352061672 410451410 /nfs/dbraw/zinc/45/14/10/410451410.db2.gz ZAFYKJRPWXYCCB-UHFFFAOYSA-N -1 1 321.255 1.311 20 0 DDADMM C[C@H](NC(=O)c1cncc([O-])c1)c1ncc(Br)cn1 ZINC000352068186 410452754 /nfs/dbraw/zinc/45/27/54/410452754.db2.gz GZMDKWSFIULAPI-ZETCQYMHSA-N -1 1 323.150 1.831 20 0 DDADMM COc1ccc(C(=O)Nc2ccncc2[O-])cc1-c1ccn(C)n1 ZINC000355342997 410455598 /nfs/dbraw/zinc/45/55/98/410455598.db2.gz MOVRSYSKKFHZQN-UHFFFAOYSA-N -1 1 324.340 1.871 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCCC[C@@H]2O)o1 ZINC000358635373 410503970 /nfs/dbraw/zinc/50/39/70/410503970.db2.gz GEYMKQQSMZTXIF-ZJUUUORDSA-N -1 1 317.363 1.038 20 0 DDADMM CC(C)N(CC(=O)Nc1ccc(F)cc1)Cc1nc(=O)n(C)[n-]1 ZINC000347462860 410563399 /nfs/dbraw/zinc/56/33/99/410563399.db2.gz JYXMGJZWMBOSSH-UHFFFAOYSA-N -1 1 321.356 1.097 20 0 DDADMM O=C(NCc1cnc[nH]1)c1nn(-c2cccc(F)c2)cc1[O-] ZINC000339954703 410576407 /nfs/dbraw/zinc/57/64/07/410576407.db2.gz HYBMDHFMTLBGIG-UHFFFAOYSA-N -1 1 301.281 1.370 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)c2ncn(C)n2)c1 ZINC000355687549 410643182 /nfs/dbraw/zinc/64/31/82/410643182.db2.gz FWMNARHIMXXSIU-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM COc1ccc(C[N-]S(=O)(=O)c2c(C)noc2C)c(F)c1 ZINC000343834857 410734058 /nfs/dbraw/zinc/73/40/58/410734058.db2.gz DVMRNLNJYZLHLC-UHFFFAOYSA-N -1 1 314.338 1.918 20 0 DDADMM COc1cccc2c(C(=O)N=c3nc(SC)[n-]s3)n[nH]c21 ZINC000347936381 410800182 /nfs/dbraw/zinc/80/01/82/410800182.db2.gz QYQOTEJYSIBFEQ-UHFFFAOYSA-N -1 1 321.387 1.819 20 0 DDADMM c1ccc(CN=c2[n-]nc(SCc3nn[nH]n3)s2)cc1 ZINC000353214280 410858633 /nfs/dbraw/zinc/85/86/33/410858633.db2.gz FXLBDRDKCHOMCN-UHFFFAOYSA-N -1 1 305.392 1.378 20 0 DDADMM C[C@H](CCOc1ccccc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348278224 410895197 /nfs/dbraw/zinc/89/51/97/410895197.db2.gz NLUMJFIHFBSRSZ-LLVKDONJSA-N -1 1 301.350 1.410 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cc(CN(C)C)ccn2)cn1 ZINC000337781488 410996873 /nfs/dbraw/zinc/99/68/73/410996873.db2.gz UATOCRPBUHIDLH-UHFFFAOYSA-N -1 1 306.391 1.647 20 0 DDADMM CO[C@@H](C)CNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000331262442 411047248 /nfs/dbraw/zinc/04/72/48/411047248.db2.gz DIVZQGGNHWHNFJ-VIFPVBQESA-N -1 1 318.395 1.121 20 0 DDADMM COc1ccc(C(=O)CCCCC(=O)Nc2nnn[n-]2)cc1 ZINC000353493660 411027481 /nfs/dbraw/zinc/02/74/81/411027481.db2.gz XLOLDALDDVEORN-UHFFFAOYSA-N -1 1 303.322 1.590 20 0 DDADMM COc1ccc(C(=O)CCCCC(=O)Nc2nn[n-]n2)cc1 ZINC000353493660 411027488 /nfs/dbraw/zinc/02/74/88/411027488.db2.gz XLOLDALDDVEORN-UHFFFAOYSA-N -1 1 303.322 1.590 20 0 DDADMM CC(C)OCCCN(C)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000353678616 411108007 /nfs/dbraw/zinc/10/80/07/411108007.db2.gz NXTAOTLASQQBRQ-UHFFFAOYSA-N -1 1 303.362 1.810 20 0 DDADMM CN(C)C(=O)c1ccc(Oc2nc3c(cnn3C)c(=O)[n-]2)cc1 ZINC000356498716 298807551 /nfs/dbraw/zinc/80/75/51/298807551.db2.gz ARFVPLRIQUGSKW-UHFFFAOYSA-N -1 1 313.317 1.563 20 0 DDADMM CN1CCC([N-]S(=O)(=O)c2onc(C3CC3)c2Cl)CC1 ZINC000631677173 422876281 /nfs/dbraw/zinc/87/62/81/422876281.db2.gz RKPUWRBNOHOSGD-UHFFFAOYSA-N -1 1 319.814 1.578 20 0 DDADMM CO[N-]C(=O)[C@@H]1CC(=O)N(C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000129574943 196059029 /nfs/dbraw/zinc/05/90/29/196059029.db2.gz CEBNIPZJNMUCRW-PELKAZGASA-N -1 1 300.717 1.676 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)Cc2cscn2)c1 ZINC000631797131 422925115 /nfs/dbraw/zinc/92/51/15/422925115.db2.gz NGFJFWIKMUMFIA-UHFFFAOYSA-N -1 1 314.388 1.678 20 0 DDADMM CC(C)OC(=O)CCN(C)Cc1nc(=O)c2sccc2[n-]1 ZINC000131684814 196191248 /nfs/dbraw/zinc/19/12/48/196191248.db2.gz NNTHLLRHDVGFBN-UHFFFAOYSA-N -1 1 309.391 1.758 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)C2(CO)CC2)c(=O)[n-]1 ZINC000647701861 423005235 /nfs/dbraw/zinc/00/52/35/423005235.db2.gz OVNYMROAAIFPAN-UHFFFAOYSA-N -1 1 311.407 1.128 20 0 DDADMM COc1cncc(/C=C\CCN2CCOC[C@@H]2CC(=O)[O-])c1 ZINC000652475296 423035090 /nfs/dbraw/zinc/03/50/90/423035090.db2.gz HZLGVXQDFHRTGK-XBPVQUQDSA-N -1 1 306.362 1.669 20 0 DDADMM O=C(Nc1[nH]nc2cc(O)ccc21)c1c(F)ccc([O-])c1F ZINC000647801652 423054233 /nfs/dbraw/zinc/05/42/33/423054233.db2.gz OREIURHHLQHRRG-UHFFFAOYSA-N -1 1 305.240 1.927 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@H](NC(N)=O)c1cccs1 ZINC000647815892 423059142 /nfs/dbraw/zinc/05/91/42/423059142.db2.gz PTEUSLPZDLAVRB-ZETCQYMHSA-N -1 1 309.351 1.223 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@@H](NC(N)=O)c1ccccc1C ZINC000647816604 423061221 /nfs/dbraw/zinc/06/12/21/423061221.db2.gz MRPVONYLCFXTFP-LLVKDONJSA-N -1 1 317.349 1.470 20 0 DDADMM CC(C)[C@H]1C[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000271638016 209083598 /nfs/dbraw/zinc/08/35/98/209083598.db2.gz GBIWCOPJELALJR-VHSXEESVSA-N -1 1 321.830 1.555 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2ncccc2C)sc1C ZINC000650174554 423071858 /nfs/dbraw/zinc/07/18/58/423071858.db2.gz CMALXJWUCLRMDJ-UHFFFAOYSA-N -1 1 311.432 1.984 20 0 DDADMM CC[C@H](COC)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645554041 423079231 /nfs/dbraw/zinc/07/92/31/423079231.db2.gz REIIKSKQVSUJDY-MRVPVSSYSA-N -1 1 312.313 1.804 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)[N-]c2cc(OC(F)F)n(C)n2)C1 ZINC000488356734 416557947 /nfs/dbraw/zinc/55/79/47/416557947.db2.gz NJLBJLVHQQHPPC-SSDOTTSWSA-N -1 1 310.326 1.020 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C(C)C)C1(CO)CCC1 ZINC000490078482 416567759 /nfs/dbraw/zinc/56/77/59/416567759.db2.gz HKSGTNPWNTUCBK-NSHDSACASA-N -1 1 317.411 1.031 20 0 DDADMM C[C@H]1OCC[C@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645632600 423117032 /nfs/dbraw/zinc/11/70/32/423117032.db2.gz LOLGZKZEUDJIRE-VXNVDRBHSA-N -1 1 310.297 1.556 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000366652432 418500200 /nfs/dbraw/zinc/50/02/00/418500200.db2.gz JPVXNKQZZLNHRB-QWHCGFSZSA-N -1 1 315.377 1.682 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC[C@H]3OCCC[C@H]3C1)c2=O ZINC000374284403 418513614 /nfs/dbraw/zinc/51/36/14/418513614.db2.gz TWYKZQRDGYSUOT-GXFFZTMASA-N -1 1 316.361 1.315 20 0 DDADMM CCO[C@@H]1C[C@](CO)(NC(=O)c2cc(F)ccc2[O-])C1(C)C ZINC000188801659 222028021 /nfs/dbraw/zinc/02/80/21/222028021.db2.gz NAVOLCUUNHKDEL-CZUORRHYSA-N -1 1 311.353 1.827 20 0 DDADMM Cn1ccc(CNC(=O)c2ccc(Br)cc2[O-])n1 ZINC000212355037 418558923 /nfs/dbraw/zinc/55/89/23/418558923.db2.gz GTXNTSFYMOWHPL-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM CCn1c(C)cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1C ZINC000367109481 418560915 /nfs/dbraw/zinc/56/09/15/418560915.db2.gz JASNXXXYJNKJQQ-LBPRGKRZSA-N -1 1 302.382 1.658 20 0 DDADMM CCOc1cc(-c2nc(CC(=O)NC)no2)cc(Cl)c1[O-] ZINC000191175590 222098731 /nfs/dbraw/zinc/09/87/31/222098731.db2.gz RBBXMZKKVNWTGF-UHFFFAOYSA-N -1 1 311.725 1.783 20 0 DDADMM O=C1CCc2cc(Cl)c([N-]S(=O)(=O)c3cn[nH]c3)cc21 ZINC000191228528 222099726 /nfs/dbraw/zinc/09/97/26/222099726.db2.gz RVNAXPWGNJTMKV-UHFFFAOYSA-N -1 1 311.750 1.993 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc(C(=O)N(C)C)cc1 ZINC000193113923 222144244 /nfs/dbraw/zinc/14/42/44/222144244.db2.gz JLZIHZJPFHVXLP-SNVBAGLBSA-N -1 1 300.380 1.165 20 0 DDADMM O=C(N[C@@H]1CN(c2ccc(F)cc2)C1=O)c1ccc([O-])cc1F ZINC000375093222 418603296 /nfs/dbraw/zinc/60/32/96/418603296.db2.gz AZBILLDUILJUHA-CQSZACIVSA-N -1 1 318.279 1.816 20 0 DDADMM COc1ccccc1N1C[C@H](C(=O)[N-]OC/C=C/Cl)CC1=O ZINC000291434809 222388277 /nfs/dbraw/zinc/38/82/77/222388277.db2.gz APPXGUFJDVIYJP-TZOMUSMUSA-N -1 1 324.764 1.848 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-]c1cn(C)nc1C(F)(F)F ZINC000361575325 418683552 /nfs/dbraw/zinc/68/35/52/418683552.db2.gz CFOYLSRPQRDYRM-UHFFFAOYSA-N -1 1 314.333 1.828 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1Cc2ccccc2C2(CC2)C1 ZINC000368135067 418693922 /nfs/dbraw/zinc/69/39/22/418693922.db2.gz OGWGBXXQATXMKP-UHFFFAOYSA-N -1 1 319.386 1.632 20 0 DDADMM Cc1nc(C2CCCC2)sc1C(=O)Nc1nn[nH]c1C(N)=O ZINC000410861235 418852650 /nfs/dbraw/zinc/85/26/50/418852650.db2.gz RVSLRNAGVGMYEX-UHFFFAOYSA-N -1 1 320.378 1.578 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2ccccc2-c2nnc[nH]2)cn1 ZINC000372858316 418910319 /nfs/dbraw/zinc/91/03/19/418910319.db2.gz OLWHAFTXIRSDMC-UHFFFAOYSA-N -1 1 318.362 1.489 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCCn2ccnn2)c(F)c1 ZINC000425166720 228377381 /nfs/dbraw/zinc/37/73/81/228377381.db2.gz FKKXTALRVURUFX-UHFFFAOYSA-N -1 1 316.333 1.233 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC(C)(C)OCCO)c(F)c1 ZINC000425244359 228398101 /nfs/dbraw/zinc/39/81/01/228398101.db2.gz ZLDDGUSKHAGFPF-UHFFFAOYSA-N -1 1 323.361 1.339 20 0 DDADMM Cc1cnc(C(=O)NC[C@@]2(CO)C[C@H]2c2ccccc2)c([O-])c1 ZINC000426736469 419543190 /nfs/dbraw/zinc/54/31/90/419543190.db2.gz JFMYFJAJIKKOTH-KBXCAEBGSA-N -1 1 312.369 1.992 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](CO)Cc2ccc(F)cc2)c([O-])c1 ZINC000427139110 419599501 /nfs/dbraw/zinc/59/95/01/419599501.db2.gz CCCOLNAHEIAZPG-ZDUSSCGKSA-N -1 1 318.348 1.816 20 0 DDADMM CC[C@H]1CN(C(=O)c2ncccc2[O-])[C@@H](CC)CN1C(C)=O ZINC000427242607 419625419 /nfs/dbraw/zinc/62/54/19/419625419.db2.gz KSJXJZAWZZUYHR-STQMWFEESA-N -1 1 305.378 1.649 20 0 DDADMM COc1ncc(S(=O)(=O)[N-][C@@H](C)C(F)(F)F)cc1Cl ZINC000645717246 423152952 /nfs/dbraw/zinc/15/29/52/423152952.db2.gz MYUCUQGUJUGRPL-YFKPBYRVSA-N -1 1 318.704 1.973 20 0 DDADMM COc1ncc(S(=O)(=O)[N-][C@H](C)C(F)(F)F)cc1Cl ZINC000645717240 423153951 /nfs/dbraw/zinc/15/39/51/423153951.db2.gz MYUCUQGUJUGRPL-RXMQYKEDSA-N -1 1 318.704 1.973 20 0 DDADMM CC(=O)c1ccc(C(=O)N[C@@H]2C[N@@H+](C3CC3)C[C@H]2C)cc1O ZINC000412182820 419710777 /nfs/dbraw/zinc/71/07/77/419710777.db2.gz KKZXLJWRAYNYKV-MEBBXXQBSA-N -1 1 302.374 1.807 20 0 DDADMM Cc1ccccc1NC(=O)CS(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000299262675 229014371 /nfs/dbraw/zinc/01/43/71/229014371.db2.gz UKOUQNVANDTUAD-UHFFFAOYSA-N -1 1 320.374 1.403 20 0 DDADMM C[C@H](CN=c1[n-]c(C(N)=O)cs1)N1CCc2ccccc21 ZINC000432313729 229094512 /nfs/dbraw/zinc/09/45/12/229094512.db2.gz LSPYLLWPQVYYAL-SNVBAGLBSA-N -1 1 302.403 1.527 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@H](c2ccccc2)C1 ZINC000431613052 229046189 /nfs/dbraw/zinc/04/61/89/229046189.db2.gz CIPGNSUQSCYRER-ZDUSSCGKSA-N -1 1 321.402 1.964 20 0 DDADMM O=C(NN1CCCCC1=O)c1cc(Br)ccc1[O-] ZINC000299591509 229238334 /nfs/dbraw/zinc/23/83/34/229238334.db2.gz DBOIFKMXGXWUCB-UHFFFAOYSA-N -1 1 313.151 1.812 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(Cl)cnn1C)C(F)(F)F ZINC000429889214 420056089 /nfs/dbraw/zinc/05/60/89/420056089.db2.gz ULLKHSHCKQXOMT-LURJTMIESA-N -1 1 305.709 1.693 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn(C(C)(C)C)nn2)n1 ZINC000415653384 420138459 /nfs/dbraw/zinc/13/84/59/420138459.db2.gz WZAKYNIUZWZHCP-UHFFFAOYSA-N -1 1 306.326 1.185 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N[C@@H]1CCOc2ccccc21)C(=O)[O-] ZINC000420270802 420174930 /nfs/dbraw/zinc/17/49/30/420174930.db2.gz JNBACDAGZCAZJS-GHJWDPDVSA-N -1 1 320.389 1.715 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCc2ccccc2C1 ZINC000416128485 420250984 /nfs/dbraw/zinc/25/09/84/420250984.db2.gz USJCTXTVJLFMEH-LLVKDONJSA-N -1 1 315.329 1.184 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H]2CCCC[C@H]21 ZINC000416131793 420252152 /nfs/dbraw/zinc/25/21/52/420252152.db2.gz ZXULOQICRFXGSB-VHSXEESVSA-N -1 1 307.350 1.691 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)F)c1cc(F)c(F)c(F)c1 ZINC000435626523 420268201 /nfs/dbraw/zinc/26/82/01/420268201.db2.gz JTANZDVKAMCTFH-ZETCQYMHSA-N -1 1 305.224 1.008 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1(C)CCC(C)CC1 ZINC000416219093 420283787 /nfs/dbraw/zinc/28/37/87/420283787.db2.gz SZSLRFAWNGHDTI-UHFFFAOYSA-N -1 1 309.366 1.843 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2ccc(C)cc2O)CC1 ZINC000436479369 420330866 /nfs/dbraw/zinc/33/08/66/420330866.db2.gz QRGOBESWRDTRSF-UHFFFAOYSA-N -1 1 313.375 1.928 20 0 DDADMM Cc1cnc(CCCC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)o1 ZINC000425298249 420344110 /nfs/dbraw/zinc/34/41/10/420344110.db2.gz JGIVFVWXVCXWPB-NSHDSACASA-N -1 1 320.349 1.801 20 0 DDADMM CC(=O)Nc1ccc(CNC(=O)C(=O)c2ccc([O-])cc2)cn1 ZINC000436625214 420346095 /nfs/dbraw/zinc/34/60/95/420346095.db2.gz DRZVIIRIMBXOMW-UHFFFAOYSA-N -1 1 313.313 1.245 20 0 DDADMM C[C@@H](NC(=O)c1cc(Cl)ccc1[O-])C1(S(C)(=O)=O)CC1 ZINC000436646755 420348247 /nfs/dbraw/zinc/34/82/47/420348247.db2.gz JCBFCVRWNMNIOR-MRVPVSSYSA-N -1 1 317.794 1.741 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cc(C)cc(=O)[nH]2)c1 ZINC000436719260 420361293 /nfs/dbraw/zinc/36/12/93/420361293.db2.gz CPEWJHNIRLAOED-UHFFFAOYSA-N -1 1 302.286 1.840 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1cc(C)ccc1F ZINC000416246592 420294160 /nfs/dbraw/zinc/29/41/60/420294160.db2.gz MSGMTHWRZJKFAD-UHFFFAOYSA-N -1 1 321.308 1.316 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCCC(C)(C)C1 ZINC000416248799 420295917 /nfs/dbraw/zinc/29/59/17/420295917.db2.gz UKQOYXYTKSCKDG-VIFPVBQESA-N -1 1 309.366 1.843 20 0 DDADMM O=C(CNC(=O)c1cc(F)ccc1[O-])N1Cc2ccccc2C1 ZINC000436895498 420381197 /nfs/dbraw/zinc/38/11/97/420381197.db2.gz XBZIJJCBCNCEFI-UHFFFAOYSA-N -1 1 314.316 1.804 20 0 DDADMM COC[C@@H](NC(=O)c1ccc2ccccc2c1[O-])C(=O)OC ZINC000436906162 420381713 /nfs/dbraw/zinc/38/17/13/420381713.db2.gz PTQONCZDLLIBMK-CYBMUJFWSA-N -1 1 303.314 1.463 20 0 DDADMM O=C(NCc1ccc(OCCO)cc1)C(=O)c1ccc([O-])cc1 ZINC000436959710 420387469 /nfs/dbraw/zinc/38/74/69/420387469.db2.gz FAPFEVNXMCPKGZ-UHFFFAOYSA-N -1 1 315.325 1.262 20 0 DDADMM COc1nc(C)cc(C)c1CNC(=O)C(=O)c1ccc([O-])cc1 ZINC000436975302 420388692 /nfs/dbraw/zinc/38/86/92/420388692.db2.gz JGTAQEGYOYXUHB-UHFFFAOYSA-N -1 1 314.341 1.912 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCC(=O)N1 ZINC000416502516 420398845 /nfs/dbraw/zinc/39/88/45/420398845.db2.gz QGYPOXSAQALATP-GFCCVEGCSA-N -1 1 322.308 1.051 20 0 DDADMM O=C(N[C@@H](CO)[C@H]1CCCO1)c1ccc2ccccc2c1[O-] ZINC000436804998 420367291 /nfs/dbraw/zinc/36/72/91/420367291.db2.gz CKEWUOBVHKHTEK-LSDHHAIUSA-N -1 1 301.342 1.815 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCC2(O)CCC2)c1 ZINC000420716472 420367813 /nfs/dbraw/zinc/36/78/13/420367813.db2.gz KFDQOLGPXUVDQH-UHFFFAOYSA-N -1 1 317.363 1.040 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2ccc(C)cc2)cn1C ZINC000439568873 420525377 /nfs/dbraw/zinc/52/53/77/420525377.db2.gz ZEGCLDWGKDONPP-UHFFFAOYSA-N -1 1 308.359 1.921 20 0 DDADMM O=C([O-])c1cnc(C(=O)NCc2nc3ccc(F)cc3[nH]2)cn1 ZINC000439949442 420546616 /nfs/dbraw/zinc/54/66/16/420546616.db2.gz WZAHOOCUQXHGOE-UHFFFAOYSA-N -1 1 315.264 1.120 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](O)Cc2cccc(F)c2)c([O-])c1 ZINC000452655812 420638889 /nfs/dbraw/zinc/63/88/89/420638889.db2.gz GKQWKSAZSNGIPS-CYBMUJFWSA-N -1 1 304.321 1.568 20 0 DDADMM COC(=O)Nc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000492846963 420686527 /nfs/dbraw/zinc/68/65/27/420686527.db2.gz TVPAPRLVHSFQQS-UHFFFAOYSA-N -1 1 304.310 1.043 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cc(CC(C)C)n[nH]2)cn1 ZINC000442616890 420689499 /nfs/dbraw/zinc/68/94/99/420689499.db2.gz HFXUEFNVRIWRSU-UHFFFAOYSA-N -1 1 310.379 1.813 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCCN(C(=O)OCC)C1)c1nn[n-]n1 ZINC000492885607 420699376 /nfs/dbraw/zinc/69/93/76/420699376.db2.gz XPFXADKSAIVSEE-QWRGUYRKSA-N -1 1 324.385 1.026 20 0 DDADMM C[C@@H](O)CCS(=O)(=O)c1nc(Cc2cccs2)n[n-]1 ZINC000453029318 420704567 /nfs/dbraw/zinc/70/45/67/420704567.db2.gz PQZLFXSQDPNTGJ-MRVPVSSYSA-N -1 1 301.393 1.002 20 0 DDADMM C[C@@H](O)CCS(=O)(=O)c1nnc(Cc2cccs2)[n-]1 ZINC000453029318 420704570 /nfs/dbraw/zinc/70/45/70/420704570.db2.gz PQZLFXSQDPNTGJ-MRVPVSSYSA-N -1 1 301.393 1.002 20 0 DDADMM C[C@@H](O)CCS(=O)(=O)c1n[n-]c(Cc2cccs2)n1 ZINC000453029318 420704573 /nfs/dbraw/zinc/70/45/73/420704573.db2.gz PQZLFXSQDPNTGJ-MRVPVSSYSA-N -1 1 301.393 1.002 20 0 DDADMM CC(C)COC[C@@H]([N-]S(=O)(=O)C1COC1)c1ccco1 ZINC000493108518 420758982 /nfs/dbraw/zinc/75/89/82/420758982.db2.gz WPJZRMBPCATRHX-GFCCVEGCSA-N -1 1 303.380 1.312 20 0 DDADMM COc1cc(C(=O)N[C@H]2C(=O)NCC[C@H]2C)cc(Cl)c1[O-] ZINC000443041134 420737659 /nfs/dbraw/zinc/73/76/59/420737659.db2.gz XBTBOVIKNVTUJX-RDDDGLTNSA-N -1 1 312.753 1.309 20 0 DDADMM CO[C@@H]([C@@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000454331306 420839137 /nfs/dbraw/zinc/83/91/37/420839137.db2.gz JDCVAWVDDSAXKK-RNCFNFMXSA-N -1 1 301.346 1.466 20 0 DDADMM CCCOc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000493677776 420912900 /nfs/dbraw/zinc/91/29/00/420912900.db2.gz UWGDPIHJDORJEU-YVMONPNESA-N -1 1 313.361 1.807 20 0 DDADMM CNC(=O)c1ccc(=NC[C@H]2SCCc3ccccc32)[n-]n1 ZINC000487666553 421044271 /nfs/dbraw/zinc/04/42/71/421044271.db2.gz LGDQFCQVXJRTSE-CQSZACIVSA-N -1 1 314.414 1.701 20 0 DDADMM Cc1ccc([C@H](C)CN=c2ccc(C(=O)NCCO)n[n-]2)cc1 ZINC000488408787 421088709 /nfs/dbraw/zinc/08/87/09/421088709.db2.gz HYSIMZOBHNYMGM-CYBMUJFWSA-N -1 1 314.389 1.145 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C[C@@H]1C ZINC000449833717 421092825 /nfs/dbraw/zinc/09/28/25/421092825.db2.gz IEUSCXKHJDJGPP-HRDYMLBCSA-N -1 1 300.384 1.219 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C[C@@H]1C ZINC000449833717 421092828 /nfs/dbraw/zinc/09/28/28/421092828.db2.gz IEUSCXKHJDJGPP-HRDYMLBCSA-N -1 1 300.384 1.219 20 0 DDADMM COc1cc(C(=O)N2CC[C@](O)(C(F)F)C2)cc(Cl)c1[O-] ZINC000456110903 421103261 /nfs/dbraw/zinc/10/32/61/421103261.db2.gz KUDVRPUWTVZUNL-CYBMUJFWSA-N -1 1 321.707 1.896 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)OC)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000495980334 421110478 /nfs/dbraw/zinc/11/04/78/421110478.db2.gz IXUZNIMAHGLQOE-CABZTGNLSA-N -1 1 319.365 1.051 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C3CC3)[C@H]2C2CC2)o1 ZINC000456312283 421141413 /nfs/dbraw/zinc/14/14/13/421141413.db2.gz VWIKEYXHNDVCPO-BXUZGUMPSA-N -1 1 324.402 1.448 20 0 DDADMM CC[C@H](C(=O)[N-]OC[C@@H](C)NC(=O)OC(C)(C)C)[C@H](C)O ZINC000496193647 421148676 /nfs/dbraw/zinc/14/86/76/421148676.db2.gz CPNZVFSUYNBAMI-VWYCJHECSA-N -1 1 304.387 1.354 20 0 DDADMM COCC1(NC(=O)c2csc(=NC3CC3)[n-]2)CCOCC1 ZINC000562278377 421347368 /nfs/dbraw/zinc/34/73/68/421347368.db2.gz ZRCNWEAYHBVGTJ-UHFFFAOYSA-N -1 1 311.407 1.065 20 0 DDADMM CC(C)(C)OC(=O)Nc1cnc(C(=O)[N-]c2nncs2)cn1 ZINC000497151856 421373039 /nfs/dbraw/zinc/37/30/39/421373039.db2.gz XFUVRLUCALZAPP-UHFFFAOYSA-N -1 1 322.350 1.927 20 0 DDADMM CCCc1nc(=NC(=O)N2CC[C@@H](c3nc[nH]n3)C2)s[n-]1 ZINC000563030536 421443534 /nfs/dbraw/zinc/44/35/34/421443534.db2.gz SXLUPFCUNWWASO-MRVPVSSYSA-N -1 1 307.383 1.052 20 0 DDADMM Cc1ccccc1-c1nc(=NCC2(S(C)(=O)=O)CC2)s[n-]1 ZINC000527992773 421462208 /nfs/dbraw/zinc/46/22/08/421462208.db2.gz JPWVBLAYFZDSIQ-UHFFFAOYSA-N -1 1 323.443 1.925 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2CC(C)(C)C2)c1 ZINC000548702360 421465077 /nfs/dbraw/zinc/46/50/77/421465077.db2.gz LZGQHRKPGJBNDL-UHFFFAOYSA-N -1 1 301.364 1.781 20 0 DDADMM COc1ccccc1[C@@H](CNc1ccc(C(=O)[O-])nn1)N(C)C ZINC000563205060 421471590 /nfs/dbraw/zinc/47/15/90/421471590.db2.gz DGSGPUXFTHRKFF-CYBMUJFWSA-N -1 1 316.361 1.320 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCO[C@@H](CC(C)C)C1 ZINC000563949439 421559693 /nfs/dbraw/zinc/55/96/93/421559693.db2.gz XXEJWBUVULJIRF-QWRGUYRKSA-N -1 1 317.411 1.268 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCO[C@@H](CC(C)C)C1 ZINC000563949439 421559695 /nfs/dbraw/zinc/55/96/95/421559695.db2.gz XXEJWBUVULJIRF-QWRGUYRKSA-N -1 1 317.411 1.268 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@](C)(O)C1CCCCC1 ZINC000564343127 421581446 /nfs/dbraw/zinc/58/14/46/421581446.db2.gz OSFGKFSBMYXXPP-CYBMUJFWSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@](C)(O)C1CCCCC1 ZINC000564343127 421581447 /nfs/dbraw/zinc/58/14/47/421581447.db2.gz OSFGKFSBMYXXPP-CYBMUJFWSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)ccn1C(C)C ZINC000551735772 421539663 /nfs/dbraw/zinc/53/96/63/421539663.db2.gz FYRJAICKACMBDU-GFCCVEGCSA-N -1 1 302.382 1.910 20 0 DDADMM CN(Cc1noc(C2CC2)n1)C(=O)c1csc(=NC2CC2)[n-]1 ZINC000517708749 421624180 /nfs/dbraw/zinc/62/41/80/421624180.db2.gz NHEMWFZIYNAQCP-UHFFFAOYSA-N -1 1 319.390 1.672 20 0 DDADMM O=C([O-])c1ccc2c(c1)CN(CCOC[C@@H]1CCCO1)CC2 ZINC000517948727 421634393 /nfs/dbraw/zinc/63/43/93/421634393.db2.gz JHTHRODCGSTQPJ-INIZCTEOSA-N -1 1 305.374 1.939 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)CCc1ccncc1 ZINC000531785359 421645315 /nfs/dbraw/zinc/64/53/15/421645315.db2.gz FSAOIXBXXSXQKR-UHFFFAOYSA-N -1 1 318.402 1.922 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](O)Cc1ccccc1Cl)c1nn[n-]n1 ZINC000517210505 421599502 /nfs/dbraw/zinc/59/95/02/421599502.db2.gz LHVDWAMICGLJCF-JOYOIKCWSA-N -1 1 323.784 1.019 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](O)Cc1ccccc1Cl)c1nn[n-]n1 ZINC000517210503 421600081 /nfs/dbraw/zinc/60/00/81/421600081.db2.gz LHVDWAMICGLJCF-BXKDBHETSA-N -1 1 323.784 1.019 20 0 DDADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]2)[C@H](C)CN1Cc1ccccc1 ZINC000534313200 421688996 /nfs/dbraw/zinc/68/89/96/421688996.db2.gz FFQAQDZGLHYYEZ-VXGBXAGGSA-N -1 1 315.377 1.245 20 0 DDADMM O=C(c1ccc(NC2CC2)nc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000537123354 421728116 /nfs/dbraw/zinc/72/81/16/421728116.db2.gz PHOKLBFAIBPBTD-LLVKDONJSA-N -1 1 313.365 1.189 20 0 DDADMM O=C([C@H]1CCc2cccc(O)c21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000519815614 421731231 /nfs/dbraw/zinc/73/12/31/421731231.db2.gz TZOQAXBHJYGPBY-RYUDHWBXSA-N -1 1 313.361 1.341 20 0 DDADMM O=C(c1cc(F)cc2nc[nH]c21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538226919 421737849 /nfs/dbraw/zinc/73/78/49/421737849.db2.gz UTFBPAFEOSWNEZ-MRVPVSSYSA-N -1 1 315.312 1.235 20 0 DDADMM O=C(c1cncc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538223283 421738188 /nfs/dbraw/zinc/73/81/88/421738188.db2.gz IUMUFYYEJLNPLT-GFCCVEGCSA-N -1 1 308.345 1.768 20 0 DDADMM Cc1cc(CC(=O)Nc2cc(-c3n[nH]c(=O)[n-]3)ccc2C)n[nH]1 ZINC000571863206 421748141 /nfs/dbraw/zinc/74/81/41/421748141.db2.gz FHVBLCJHZXAJBF-UHFFFAOYSA-N -1 1 312.333 1.698 20 0 DDADMM CCOC(=O)C1(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCCC1 ZINC000572002519 421758780 /nfs/dbraw/zinc/75/87/80/421758780.db2.gz FALNFNZJWFKAPE-LLVKDONJSA-N -1 1 321.381 1.029 20 0 DDADMM CC[C@H](C)n1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1C ZINC000520475637 421752939 /nfs/dbraw/zinc/75/29/39/421752939.db2.gz IGKHQKOAVWUIBM-UWVGGRQHSA-N -1 1 305.386 1.551 20 0 DDADMM CC[C@H](C)n1nc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1C ZINC000539790974 421753037 /nfs/dbraw/zinc/75/30/37/421753037.db2.gz NHVORDSGQIZDEO-JQWIXIFHSA-N -1 1 317.397 1.695 20 0 DDADMM CCc1cccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1O ZINC000539858201 421755135 /nfs/dbraw/zinc/75/51/35/421755135.db2.gz APUKFKDXGXLINK-UHFFFAOYSA-N -1 1 301.350 1.667 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@@H]2CN1Cc1ncn(-c2ccccc2)n1 ZINC000572653625 421809068 /nfs/dbraw/zinc/80/90/68/421809068.db2.gz OVEZYTZIMKVJLP-INWMFGNUSA-N -1 1 312.373 1.952 20 0 DDADMM CN(C[C@H]1COc2ccccc2O1)[C@H](C(=O)[O-])c1cccnc1 ZINC000520823777 421764646 /nfs/dbraw/zinc/76/46/46/421764646.db2.gz MXYNYUSICHYWAG-BBRMVZONSA-N -1 1 314.341 1.979 20 0 DDADMM O=C(N[C@@H]1C[C@H]1c1cccc(Br)c1)c1cnn[nH]1 ZINC000521682563 421796843 /nfs/dbraw/zinc/79/68/43/421796843.db2.gz BYNQZAPBDRGSFG-VHSXEESVSA-N -1 1 307.151 1.853 20 0 DDADMM COCCOCCCC[N-]S(=O)(=O)c1cc(C)ns1 ZINC000631866815 421902772 /nfs/dbraw/zinc/90/27/72/421902772.db2.gz ZILVCIGRNHSOIN-UHFFFAOYSA-N -1 1 308.425 1.173 20 0 DDADMM COC(=O)c1ccccc1N(C)C(=O)CN(C)C[C@@H](C)C(=O)[O-] ZINC000572885632 421880348 /nfs/dbraw/zinc/88/03/48/421880348.db2.gz ICZJFQKXSXIXTN-LLVKDONJSA-N -1 1 322.361 1.089 20 0 DDADMM Cn1[n-]c(CSc2nnc(Cc3cccs3)o2)nc1=O ZINC000543710334 421839679 /nfs/dbraw/zinc/83/96/79/421839679.db2.gz ZNRYVQWAPPMGOP-UHFFFAOYSA-N -1 1 309.376 1.436 20 0 DDADMM COc1ccc([C@H](NC(=O)[C@H](C)Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000630143418 421917041 /nfs/dbraw/zinc/91/70/41/421917041.db2.gz FOLRQAVKHPPCBX-YGRLFVJLSA-N -1 1 317.345 1.539 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@]2(O)CCCC2(C)C)sc1C ZINC000573110331 421920838 /nfs/dbraw/zinc/92/08/38/421920838.db2.gz ZHNFBMCTLUOSCW-ZDUSSCGKSA-N -1 1 318.464 1.979 20 0 DDADMM O=C(NCC1(CF)CCOCC1)c1csc(=NC2CC2)[n-]1 ZINC000573438899 421967675 /nfs/dbraw/zinc/96/76/75/421967675.db2.gz OUTHETUOZXZFAO-UHFFFAOYSA-N -1 1 313.398 1.635 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CC(C)(C)C(F)(F)F)CC1 ZINC000630219159 421968506 /nfs/dbraw/zinc/96/85/06/421968506.db2.gz HENHTJOVAGQDOX-JTQLQIEISA-N -1 1 324.343 1.972 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2C[C@H]2C2CCCC2)CC1 ZINC000630221172 421970484 /nfs/dbraw/zinc/97/04/84/421970484.db2.gz VDOBLVBLMAGCSU-SNPRPXQTSA-N -1 1 308.422 1.820 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)CC1 ZINC000630221179 421970570 /nfs/dbraw/zinc/97/05/70/421970570.db2.gz VEQGDOXVDSFEBB-APIJFGDWSA-N -1 1 306.406 1.596 20 0 DDADMM O=C([O-])[C@@H]1CSCCN(C(=O)c2cccc3n[nH]cc32)C1 ZINC000630220191 421970818 /nfs/dbraw/zinc/97/08/18/421970818.db2.gz RIVTVJOEOVKCCC-VIFPVBQESA-N -1 1 305.359 1.453 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1C[C@@H]2CCCC[C@]2(C(=O)[O-])C1 ZINC000630219590 421971329 /nfs/dbraw/zinc/97/13/29/421971329.db2.gz MODCYXFKNJEDAL-MKBNYLNASA-N -1 1 305.378 1.692 20 0 DDADMM CC[C@@H](O)CCCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632028325 422033339 /nfs/dbraw/zinc/03/33/39/422033339.db2.gz YTCIUIMNUUVROH-SNVBAGLBSA-N -1 1 303.380 1.230 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)C(C)C ZINC000632030253 422035321 /nfs/dbraw/zinc/03/53/21/422035321.db2.gz TYWIKZKJZSRPQJ-ZETCQYMHSA-N -1 1 315.317 1.378 20 0 DDADMM CC(C)c1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)on1 ZINC000630226383 421976377 /nfs/dbraw/zinc/97/63/77/421976377.db2.gz OSLABWFUPUHEJR-UHFFFAOYSA-N -1 1 309.366 1.419 20 0 DDADMM O=C(N[C@@H]1CCCCC[C@H]1O)c1nc2ccccc2c(=O)[n-]1 ZINC000581540754 421996227 /nfs/dbraw/zinc/99/62/27/421996227.db2.gz IESLBHVPCOQMHG-CHWSQXEVSA-N -1 1 301.346 1.347 20 0 DDADMM CCOc1cc(Cl)ccc1CNC(=O)CCc1nn[n-]n1 ZINC000632066808 422063761 /nfs/dbraw/zinc/06/37/61/422063761.db2.gz QGZCBZSIHRYYNE-UHFFFAOYSA-N -1 1 309.757 1.501 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCc2nc[nH]c(=O)c2C1 ZINC000632051304 422050899 /nfs/dbraw/zinc/05/08/99/422050899.db2.gz IXRRAIHFGGKUAZ-UHFFFAOYSA-N -1 1 319.748 1.669 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC000574643006 422151136 /nfs/dbraw/zinc/15/11/36/422151136.db2.gz LCLWJWHXHLWSMW-UHFFFAOYSA-N -1 1 305.302 1.339 20 0 DDADMM Cc1csc([C@H](NC(=O)CCCc2nn[n-]n2)C2CC2)n1 ZINC000635606615 422156636 /nfs/dbraw/zinc/15/66/36/422156636.db2.gz FSCZGLMIPDCYID-GFCCVEGCSA-N -1 1 306.395 1.555 20 0 DDADMM Cc1ccc([C@@H]2COCCN2C(=O)CCCc2nn[n-]n2)o1 ZINC000635579236 422131550 /nfs/dbraw/zinc/13/15/50/422131550.db2.gz ZIHJOXCUILCCCE-NSHDSACASA-N -1 1 305.338 1.024 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1oc(CC(C)C)nc1C ZINC000574888247 422205990 /nfs/dbraw/zinc/20/59/90/422205990.db2.gz RGEIMIHBUAPCNF-UHFFFAOYSA-N -1 1 306.322 1.944 20 0 DDADMM COC(=O)c1[n-]cnc1NC(=O)c1oc(CC(C)C)nc1C ZINC000574888247 422205995 /nfs/dbraw/zinc/20/59/95/422205995.db2.gz RGEIMIHBUAPCNF-UHFFFAOYSA-N -1 1 306.322 1.944 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@@H]1SCCc2ccccc21 ZINC000635653085 422209206 /nfs/dbraw/zinc/20/92/06/422209206.db2.gz OSSMZDIRDSKMDG-ZDUSSCGKSA-N -1 1 317.418 1.669 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCc3cc(O)ccc3C2)sn1 ZINC000632281064 422219113 /nfs/dbraw/zinc/21/91/13/422219113.db2.gz QYFLDKWYPCTPKC-LBPRGKRZSA-N -1 1 324.427 1.993 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccco1)c1c(F)ccc([O-])c1F ZINC000583961814 422226756 /nfs/dbraw/zinc/22/67/56/422226756.db2.gz RZXZSIDLBCCUOQ-VIFPVBQESA-N -1 1 311.284 1.844 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(CCC(F)(F)F)CC1CC1 ZINC000635675677 422230305 /nfs/dbraw/zinc/23/03/05/422230305.db2.gz WDGJQBDPAGDLDA-UHFFFAOYSA-N -1 1 305.304 1.713 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccccc1OC(F)(F)F ZINC000630633736 422235842 /nfs/dbraw/zinc/23/58/42/422235842.db2.gz PSEWOSKAWWAASI-UHFFFAOYSA-N -1 1 301.228 1.670 20 0 DDADMM C[C@H]1CCNC(=O)[C@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632305226 422238265 /nfs/dbraw/zinc/23/82/65/422238265.db2.gz OSUOHDRJJLWYQG-RCOVLWMOSA-N -1 1 308.306 1.020 20 0 DDADMM Cc1cccc([C@@H]2CCCN2C(=O)CCCc2nn[n-]n2)n1 ZINC000635699833 422253364 /nfs/dbraw/zinc/25/33/64/422253364.db2.gz LNKRNZLPZCZPEO-ZDUSSCGKSA-N -1 1 300.366 1.590 20 0 DDADMM C[C@H]1CC[C@H](C(N)=O)CN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630770175 422328300 /nfs/dbraw/zinc/32/83/00/422328300.db2.gz RRKGFESGAOSJKI-ONGXEEELSA-N -1 1 310.781 1.701 20 0 DDADMM CC[C@H]1CCc2nc(NC(=O)CCc3nn[n-]n3)sc2C1 ZINC000630782384 422331272 /nfs/dbraw/zinc/33/12/72/422331272.db2.gz GZNAQXNNCVVLCU-QMMMGPOBSA-N -1 1 306.395 1.742 20 0 DDADMM NC(=O)C1(NC(=O)Cc2ccc([O-])c(Cl)c2)CCCCC1 ZINC000630788244 422335852 /nfs/dbraw/zinc/33/58/52/422335852.db2.gz HDBGYWCIHCHHRA-UHFFFAOYSA-N -1 1 310.781 1.893 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2CCOC(C)(C)C2)sn1 ZINC000632432425 422338040 /nfs/dbraw/zinc/33/80/40/422338040.db2.gz OXRATEXKJXJNOH-JTQLQIEISA-N -1 1 304.437 1.935 20 0 DDADMM CCCN(C(=O)CCc1nn[n-]n1)[C@@H]1CCc2ccccc2C1 ZINC000630816648 422351948 /nfs/dbraw/zinc/35/19/48/422351948.db2.gz DOHNZUXHCVSKAI-OAHLLOKOSA-N -1 1 313.405 1.928 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C2(C(C)=O)CC2)c(F)c1 ZINC000632448485 422352993 /nfs/dbraw/zinc/35/29/93/422352993.db2.gz QGIJLSPQWDXBEF-UHFFFAOYSA-N -1 1 305.302 1.373 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2C[C@@H](C)O[C@@H]2C)c1 ZINC000632456400 422358849 /nfs/dbraw/zinc/35/88/49/422358849.db2.gz CNSYLLYAIPMRJZ-MXWKQRLJSA-N -1 1 315.391 1.493 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CS1 ZINC000632456192 422359945 /nfs/dbraw/zinc/35/99/45/422359945.db2.gz WZAPZKQZEQYWRC-WDSKDSINSA-N -1 1 315.342 1.601 20 0 DDADMM CCCCOc1cccc(CNC(=O)CCc2nn[n-]n2)c1 ZINC000630757679 422318872 /nfs/dbraw/zinc/31/88/72/422318872.db2.gz SDNPCVJHLJTXOW-UHFFFAOYSA-N -1 1 303.366 1.628 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1cc(C)ns1)C1CCCC1 ZINC000632570750 422445130 /nfs/dbraw/zinc/44/51/30/422445130.db2.gz WPNXVHTVGWVVDC-LLVKDONJSA-N -1 1 304.437 1.935 20 0 DDADMM COc1c(C)cc(CN(C)C(=O)CCc2nn[n-]n2)cc1C ZINC000630885825 422396343 /nfs/dbraw/zinc/39/63/43/422396343.db2.gz IZQDPMUBOOQHKY-UHFFFAOYSA-N -1 1 303.366 1.416 20 0 DDADMM COc1ccc2c(c1)CCCN(C(=O)CCc1nn[n-]n1)C2 ZINC000630921281 422416341 /nfs/dbraw/zinc/41/63/41/422416341.db2.gz VZVNBRICTMLNEE-UHFFFAOYSA-N -1 1 301.350 1.116 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCO[C@@H](c2ccccc2)C1 ZINC000630934433 422426241 /nfs/dbraw/zinc/42/62/41/422426241.db2.gz NGVZUKDNXZCQAO-CYBMUJFWSA-N -1 1 301.350 1.123 20 0 DDADMM CC[C@@]1(O)CCCN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000632666710 422504579 /nfs/dbraw/zinc/50/45/79/422504579.db2.gz CDXJKVPUTIIFRB-CQSZACIVSA-N -1 1 315.391 1.326 20 0 DDADMM CC(C)(Cn1[n-]c2c(c1=O)CCCCCC2)S(C)(=O)=O ZINC000634621107 422510116 /nfs/dbraw/zinc/51/01/16/422510116.db2.gz ZZTBTWYMTJUQOE-NSHDSACASA-N -1 1 300.424 1.978 20 0 DDADMM CC(C)(CO)Cn1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634627101 422514233 /nfs/dbraw/zinc/51/42/33/422514233.db2.gz VPLMSIVXQZEUCA-HNNXBMFYSA-N -1 1 315.417 1.725 20 0 DDADMM CCC[C@@H](NC(=O)c1cnn2c1C[C@@H](C)CC2)c1nn[n-]n1 ZINC000577954481 422464229 /nfs/dbraw/zinc/46/42/29/422464229.db2.gz HTIHRDFLLQOPFG-GXSJLCMTSA-N -1 1 303.370 1.250 20 0 DDADMM Cn1cc(CCSc2nc(C(F)(F)F)cc(=O)[n-]2)nn1 ZINC000629155089 422498818 /nfs/dbraw/zinc/49/88/18/422498818.db2.gz MEIDGYUSOLUJDS-UHFFFAOYSA-N -1 1 305.285 1.664 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCOC[C@@H]1c1ccc(F)cc1 ZINC000635995039 422548236 /nfs/dbraw/zinc/54/82/36/422548236.db2.gz OQLVJXPQWYVJSG-CYBMUJFWSA-N -1 1 319.340 1.262 20 0 DDADMM C[C@@H]1CN(Cc2nncn2-c2ccccc2)C[C@@]1(C)C(=O)[O-] ZINC000634693736 422556333 /nfs/dbraw/zinc/55/63/33/422556333.db2.gz VWMFOESBXJWMEW-MLGOLLRUSA-N -1 1 300.362 1.810 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1c(F)cccc1CO)C1CC1 ZINC000629292856 422585750 /nfs/dbraw/zinc/58/57/50/422585750.db2.gz ZYXWGHWINFIRBC-CYBMUJFWSA-N -1 1 317.382 1.875 20 0 DDADMM COC[C@@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)C[C@@H](C)O1 ZINC000632690128 422523797 /nfs/dbraw/zinc/52/37/97/422523797.db2.gz MCLQNSBVUNUGNO-PWSUYJOCSA-N -1 1 313.781 1.850 20 0 DDADMM CCOc1ccc([C@@H]2C[C@H]2NC(=O)CCc2nn[n-]n2)cc1 ZINC000632851279 422625732 /nfs/dbraw/zinc/62/57/32/422625732.db2.gz FSYKKDTUCUKFOB-QWHCGFSZSA-N -1 1 301.350 1.203 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H]1CCCS(=O)(=O)C1 ZINC000631241472 422626934 /nfs/dbraw/zinc/62/69/34/422626934.db2.gz YDOOIRFHLYBZRX-SNVBAGLBSA-N -1 1 317.794 1.282 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2cccnc2c1 ZINC000631354836 422708160 /nfs/dbraw/zinc/70/81/60/422708160.db2.gz ZSDJJHUPDWMJED-UHFFFAOYSA-N -1 1 308.345 1.947 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCC1(O)CCOCC1 ZINC000633016800 422712034 /nfs/dbraw/zinc/71/20/34/422712034.db2.gz OMCOTUJHNCCDQT-UHFFFAOYSA-N -1 1 313.781 1.636 20 0 DDADMM C[C@@H]1CN(c2ccc(C(=O)Nc3nnn[n-]3)cc2)C[C@H](C)O1 ZINC000631359057 422712852 /nfs/dbraw/zinc/71/28/52/422712852.db2.gz DZIJLDCIRPHRCA-AOOOYVTPSA-N -1 1 302.338 1.066 20 0 DDADMM C[C@@H]1CN(c2ccc(C(=O)Nc3nn[n-]n3)cc2)C[C@H](C)O1 ZINC000631359057 422712857 /nfs/dbraw/zinc/71/28/57/422712857.db2.gz DZIJLDCIRPHRCA-AOOOYVTPSA-N -1 1 302.338 1.066 20 0 DDADMM Cc1nsc(NC2CC2)c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000645979626 423274114 /nfs/dbraw/zinc/27/41/14/423274114.db2.gz ACEHYIICBAXNKW-ZETCQYMHSA-N -1 1 321.410 1.415 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H]1CC[C@@H]1c1ccc(Cl)cc1F ZINC000648307626 423311170 /nfs/dbraw/zinc/31/11/70/423311170.db2.gz FZZWSWLTHNBNGN-SCZZXKLOSA-N -1 1 309.732 1.802 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3csc(C(C)C)n3)nc2n1 ZINC000643909149 423401444 /nfs/dbraw/zinc/40/14/44/423401444.db2.gz HXPDGFROMCIZRI-UHFFFAOYSA-N -1 1 318.362 1.558 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c(F)cc(C(F)(F)F)cc1F ZINC000643982652 423430770 /nfs/dbraw/zinc/43/07/70/423430770.db2.gz JCAIGMIUJFQQER-UHFFFAOYSA-N -1 1 307.182 1.427 20 0 DDADMM CC(=O)c1oc2cc(C)ccc2c1CC(=O)NCc1nn[n-]n1 ZINC000643982707 423430995 /nfs/dbraw/zinc/43/09/95/423430995.db2.gz PUQBVMFCLGGEED-UHFFFAOYSA-N -1 1 313.317 1.316 20 0 DDADMM Cn1cc(C(=O)CSc2nc(C(F)F)cc(=O)[n-]2)nn1 ZINC000651198027 423437297 /nfs/dbraw/zinc/43/72/97/423437297.db2.gz KCKDKIGZLCXETE-UHFFFAOYSA-N -1 1 301.278 1.223 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CNC(=O)c1ccc(F)cc1F ZINC000646409311 423478407 /nfs/dbraw/zinc/47/84/07/423478407.db2.gz FVOBIZLGNHZOIW-UHFFFAOYSA-N -1 1 310.260 1.070 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cc2n(n1)CCCC2 ZINC000648894531 423526731 /nfs/dbraw/zinc/52/67/31/423526731.db2.gz XEWUPAZZVWWULH-AWEZNQCLSA-N -1 1 323.360 1.252 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(-c2ccccn2)nc1)c1nn[n-]n1 ZINC000651462041 423551785 /nfs/dbraw/zinc/55/17/85/423551785.db2.gz OXSXDVFLDXRELR-AWEZNQCLSA-N -1 1 323.360 1.928 20 0 DDADMM CCC[C@H](C)NC(=O)[C@H](C)N1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639823935 423757953 /nfs/dbraw/zinc/75/79/53/423757953.db2.gz FJPJEJCLFZRIJT-SRVKXCTJSA-N -1 1 309.414 1.355 20 0 DDADMM CNC(=O)c1cccc(CN2CCC[C@H](c3n[n-]c(=N)o3)C2)c1 ZINC000639819733 423754764 /nfs/dbraw/zinc/75/47/64/423754764.db2.gz CFIGDWHVNOKQBM-ZDUSSCGKSA-N -1 1 315.377 1.221 20 0 DDADMM CC(C)CCNC(=O)[C@@H](C)N1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639826207 423764041 /nfs/dbraw/zinc/76/40/41/423764041.db2.gz RVOUCUVJZAJSQX-NEPJUHHUSA-N -1 1 309.414 1.212 20 0 DDADMM FC(F)(F)c1nc(=NCCCOc2ncccn2)s[n-]1 ZINC000641652626 423817628 /nfs/dbraw/zinc/81/76/28/423817628.db2.gz CVQJOSAHNUYMKF-UHFFFAOYSA-N -1 1 305.285 1.650 20 0 DDADMM CN(Cc1cnc[nH]1)C(=O)NC[C@@](C)(C(=O)[O-])c1ccccc1 ZINC000659411212 423814161 /nfs/dbraw/zinc/81/41/61/423814161.db2.gz LMDFADBLQKNJOR-MRXNPFEDSA-N -1 1 316.361 1.594 20 0 DDADMM C[C@H]([N-]S(=O)(=O)C1CN(C(=O)c2ccccc2)C1)C(F)F ZINC000641702503 423884025 /nfs/dbraw/zinc/88/40/25/423884025.db2.gz RIXVCALLIVGYSW-VIFPVBQESA-N -1 1 318.345 1.084 20 0 DDADMM Cc1cccc(NC[C@H]2CCN(c3cncc(C(=O)[O-])n3)C2)n1 ZINC000649388138 423837824 /nfs/dbraw/zinc/83/78/24/423837824.db2.gz NNRQAASOAUGESG-GFCCVEGCSA-N -1 1 313.361 1.817 20 0 DDADMM CN(C)C(=O)N[C@@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000654414999 423854264 /nfs/dbraw/zinc/85/42/64/423854264.db2.gz OVNKZCWMJXRBPI-LLVKDONJSA-N -1 1 309.341 1.407 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccc(-c3ncon3)cc2)CC1 ZINC000641682061 423857016 /nfs/dbraw/zinc/85/70/16/423857016.db2.gz BGFDUUGYVVUPJG-UHFFFAOYSA-N -1 1 309.347 1.657 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1nc(C(F)(F)F)c[nH]1)C(C)C ZINC000647275503 423939158 /nfs/dbraw/zinc/93/91/58/423939158.db2.gz CIKXLMGKSVGVTB-ZETCQYMHSA-N -1 1 315.317 1.841 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1[C@@H]1CCCN(CC(F)(F)F)C1=O ZINC000647367428 424002295 /nfs/dbraw/zinc/00/22/95/424002295.db2.gz ZWGGDJIJORZOHM-OUAUKWLOSA-N -1 1 322.327 1.725 20 0 DDADMM CO[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1ncccc1Br ZINC000656990761 423963928 /nfs/dbraw/zinc/96/39/28/423963928.db2.gz BEHBEWZCEUAUIW-SFYZADRCSA-N -1 1 323.212 1.546 20 0 DDADMM O=S(=O)([N-]C/C=C/CO)c1sccc1Br ZINC000657082610 424086249 /nfs/dbraw/zinc/08/62/49/424086249.db2.gz AEIQYRPAMFWEFW-OWOJBTEDSA-N -1 1 312.210 1.337 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1cc(F)ccc1F)[C@H]1CCOC1 ZINC000657047076 424037960 /nfs/dbraw/zinc/03/79/60/424037960.db2.gz OTXVSTJKMGJYAK-CABZTGNLSA-N -1 1 321.345 1.295 20 0 DDADMM COC[C@H](CC[N-]S(=O)(=O)c1cc(F)ccc1F)OC ZINC000657051058 424040807 /nfs/dbraw/zinc/04/08/07/424040807.db2.gz FABQTXFWYDRYBF-JTQLQIEISA-N -1 1 309.334 1.295 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCC1)c1cc(Cl)ccc1F ZINC000657056645 424052072 /nfs/dbraw/zinc/05/20/72/424052072.db2.gz ZJYOEHYDAIOYMM-NSHDSACASA-N -1 1 307.774 1.918 20 0 DDADMM Cn1nnc2c1CC[C@@H](NC(=O)c1c(F)ccc([O-])c1F)C2 ZINC000655303511 424381681 /nfs/dbraw/zinc/38/16/81/424381681.db2.gz SEDCSRYPURBBGF-SSDOTTSWSA-N -1 1 308.288 1.086 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CCN2CCOC[C@@H]2C1 ZINC000640338730 424360293 /nfs/dbraw/zinc/36/02/93/424360293.db2.gz GLMZUHYWCMECHW-NSHDSACASA-N -1 1 318.402 1.267 20 0 DDADMM CC(=O)N1CCN(C(=O)N=c2[n-]sc3ccccc32)[C@@H](C)C1 ZINC000640340837 424364219 /nfs/dbraw/zinc/36/42/19/424364219.db2.gz AAICUPHSDRRJNL-JTQLQIEISA-N -1 1 318.402 1.803 20 0 DDADMM CC(C)[C@H]1C(=O)NCCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640340611 424364980 /nfs/dbraw/zinc/36/49/80/424364980.db2.gz LJJXMBKVGZPDOD-LBPRGKRZSA-N -1 1 318.402 1.707 20 0 DDADMM CCCCc1noc([C@@H](C)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)n1 ZINC000662219576 424488288 /nfs/dbraw/zinc/48/82/88/424488288.db2.gz CZWHCZSPWVWYOK-UEKVPHQBSA-N -1 1 309.366 1.506 20 0 DDADMM CO[C@@H]1COC[C@@H]1NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000655495955 424515696 /nfs/dbraw/zinc/51/56/96/424515696.db2.gz QKWFLTRGHXSNEQ-GXSJLCMTSA-N -1 1 305.252 1.555 20 0 DDADMM O=C([O-])CN1CC[C@@H](N(CCc2cccc(F)c2)C2CC2)C1=O ZINC000662204802 424470675 /nfs/dbraw/zinc/47/06/75/424470675.db2.gz JAXIDSRDUKLPRL-OAHLLOKOSA-N -1 1 320.364 1.518 20 0 DDADMM COCn1nc(C)c(S(=O)(=O)[N-][C@@H](C)C(F)(F)F)c1C ZINC000341897144 271237834 /nfs/dbraw/zinc/23/78/34/271237834.db2.gz KAXCKSJXSXLYGB-QMMMGPOBSA-N -1 1 315.317 1.333 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1cccc(C(F)F)c1)c1nn[n-]n1 ZINC000344546046 272056224 /nfs/dbraw/zinc/05/62/24/272056224.db2.gz RJQCWGRTGLWANX-VIFPVBQESA-N -1 1 309.320 1.942 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000345511021 272269718 /nfs/dbraw/zinc/26/97/18/272269718.db2.gz UMJQEDDEOPCCTQ-PSASIEDQSA-N -1 1 318.345 1.158 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H]1CCc2ccccc21 ZINC000431505563 277365261 /nfs/dbraw/zinc/36/52/61/277365261.db2.gz CETSHOOZZIFOOJ-LLVKDONJSA-N -1 1 315.329 1.656 20 0 DDADMM C[C@@H](C(=O)N1CCSc2ccccc21)N1CC[C@H](C(=O)[O-])C1 ZINC000430664171 278195396 /nfs/dbraw/zinc/19/53/96/278195396.db2.gz VFYDEJWGOANPDF-RYUDHWBXSA-N -1 1 320.414 1.920 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Cl)cc2[O-])C[C@H](C)N1CCO ZINC000456233675 288030312 /nfs/dbraw/zinc/03/03/12/288030312.db2.gz YUWQOCHZDBIPFY-PHIMTYICSA-N -1 1 312.797 1.573 20 0 DDADMM C[C@H]1CCC[C@@]1(O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454275031 278349927 /nfs/dbraw/zinc/34/99/27/278349927.db2.gz VEGZCNRFNLOBNL-MGPLVRAMSA-N -1 1 301.346 1.204 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)[C@H]1CC2CCC1CC2)N(C)C ZINC000408499035 280177011 /nfs/dbraw/zinc/17/70/11/280177011.db2.gz UQBFWASJTZRQEC-CLRIEMFWSA-N -1 1 316.467 1.597 20 0 DDADMM COCCOCCC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084936134 281014272 /nfs/dbraw/zinc/01/42/72/281014272.db2.gz PJHVZPUZVYKRNN-UHFFFAOYSA-N -1 1 313.375 1.764 20 0 DDADMM O=C(CSc1ccc(O)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129376320 281341945 /nfs/dbraw/zinc/34/19/45/281341945.db2.gz FFOSNJTUMSBUNT-SNVBAGLBSA-N -1 1 319.390 1.404 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H](c2ccccc2)C2CC2)n1 ZINC000130186511 281370278 /nfs/dbraw/zinc/37/02/78/281370278.db2.gz QNLLPRYTBFJATH-LLVKDONJSA-N -1 1 320.374 1.341 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@H](c2ccccc2)C2CC2)[n-]1 ZINC000130186511 281370279 /nfs/dbraw/zinc/37/02/79/281370279.db2.gz QNLLPRYTBFJATH-LLVKDONJSA-N -1 1 320.374 1.341 20 0 DDADMM CC(C)n1ncc(C(=O)NCCCc2nc(=O)[n-][nH]2)c1C1CC1 ZINC000130339854 281376753 /nfs/dbraw/zinc/37/67/53/281376753.db2.gz NKNQLNINUCHZFC-UHFFFAOYSA-N -1 1 318.381 1.115 20 0 DDADMM CN(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@H](CO)C(C)(C)C ZINC000153084977 281774977 /nfs/dbraw/zinc/77/49/77/281774977.db2.gz NSSKUJQHAKBSIF-GFCCVEGCSA-N -1 1 303.362 1.402 20 0 DDADMM O=C(c1ccc(F)cc1[O-])N1CCC[C@@H](CN2CCOCC2)C1 ZINC000123778239 304522754 /nfs/dbraw/zinc/52/27/54/304522754.db2.gz NYDRWPCAKBYXRP-ZDUSSCGKSA-N -1 1 322.380 1.716 20 0 DDADMM CCC[C@H](NC(=O)[C@H](CO)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000354008684 298337608 /nfs/dbraw/zinc/33/76/08/298337608.db2.gz FBXFJWRVYJDECL-NEPJUHHUSA-N -1 1 323.784 1.587 20 0 DDADMM Cn1ncc2c1nc(Oc1ccc(-c3nnco3)cc1)[n-]c2=O ZINC000356460383 298796678 /nfs/dbraw/zinc/79/66/78/298796678.db2.gz AMZCQSGGEGDRSZ-UHFFFAOYSA-N -1 1 310.273 1.911 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCC[C@@H](C)F ZINC000356671208 298845881 /nfs/dbraw/zinc/84/58/81/298845881.db2.gz LQPPHQOCXWMCHV-SECBINFHSA-N -1 1 308.357 1.849 20 0 DDADMM O=C([N-]c1nnc(C(F)(F)F)s1)c1cnc2n[nH]nc2c1 ZINC000357114920 298966827 /nfs/dbraw/zinc/96/68/27/298966827.db2.gz GDESAIOGSFJODZ-UHFFFAOYSA-N -1 1 315.240 1.476 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCC(=O)C2CC2)c(=O)[n-]1 ZINC000357115064 298966880 /nfs/dbraw/zinc/96/68/80/298966880.db2.gz HQXFOOIQBOFVHE-UHFFFAOYSA-N -1 1 309.391 1.240 20 0 DDADMM CCc1nc2ccc(Cl)cn2c1C(=O)N=c1ccc(O)n[n-]1 ZINC000362170886 300021155 /nfs/dbraw/zinc/02/11/55/300021155.db2.gz HRTGRLOBVWYJQK-UHFFFAOYSA-N -1 1 317.736 1.720 20 0 DDADMM CCc1nc2ccc(Cl)cn2c1C(=O)N=c1ccc([O-])n[nH]1 ZINC000362170886 300021157 /nfs/dbraw/zinc/02/11/57/300021157.db2.gz HRTGRLOBVWYJQK-UHFFFAOYSA-N -1 1 317.736 1.720 20 0 DDADMM CCC1(CC)CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000362452271 300067140 /nfs/dbraw/zinc/06/71/40/300067140.db2.gz GQUVCRMTSWTUJO-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM C[C@H](COc1cccc(F)c1)Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000362569762 300099195 /nfs/dbraw/zinc/09/91/95/300099195.db2.gz ORMVOEFWHLHNLX-MRVPVSSYSA-N -1 1 303.297 1.451 20 0 DDADMM CNC(=O)[C@@H]1Cc2ccccc2CN1C(=O)c1ncccc1[O-] ZINC000363206006 300231326 /nfs/dbraw/zinc/23/13/26/300231326.db2.gz AAVCRAWOVHZIGW-ZDUSSCGKSA-N -1 1 311.341 1.100 20 0 DDADMM Cc1nc(=NC[C@@H]2CCCN(C)[C@@H]2c2cnn(C)c2)s[n-]1 ZINC000369697831 301214516 /nfs/dbraw/zinc/21/45/16/301214516.db2.gz TYJHUVUDWOESOS-AAEUAGOBSA-N -1 1 306.439 1.497 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000370271688 301306229 /nfs/dbraw/zinc/30/62/29/301306229.db2.gz WVLKDGGACRVRTN-MRVPVSSYSA-N -1 1 320.242 1.789 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H]2CCN(c3cnn(C)c3)C2)sc1C ZINC000370489926 301350196 /nfs/dbraw/zinc/35/01/96/301350196.db2.gz DJRPLPKCJYKDHG-JTQLQIEISA-N -1 1 321.406 1.084 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1cc(-c2cccnc2)on1 ZINC000370659620 301377462 /nfs/dbraw/zinc/37/74/62/301377462.db2.gz ZNORICSIWDDLJU-ZDUSSCGKSA-N -1 1 304.306 1.738 20 0 DDADMM Cc1n[nH]cc1-c1nnc(NC(=O)c2cncc([O-])c2)s1 ZINC000372591914 301592709 /nfs/dbraw/zinc/59/27/09/301592709.db2.gz HWUPFLWKRFJQTM-UHFFFAOYSA-N -1 1 302.319 1.590 20 0 DDADMM C[C@@]1(NC(=O)c2nc3ccccc3c(=O)[n-]2)CCO[C@H]1C1CC1 ZINC000374633713 301828603 /nfs/dbraw/zinc/82/86/03/301828603.db2.gz ZDLDPHNOBKOECU-SUMWQHHRSA-N -1 1 313.357 1.611 20 0 DDADMM CC(C)[C@@H](O)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000376061056 302033301 /nfs/dbraw/zinc/03/33/01/302033301.db2.gz CYFCLVWPOGXERW-OAHLLOKOSA-N -1 1 305.374 1.830 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)N[C@@H]2CCc3nc[nH]c3C2)s1 ZINC000377724201 302220054 /nfs/dbraw/zinc/22/00/54/302220054.db2.gz PMXZMBPAMVTARV-SECBINFHSA-N -1 1 320.422 1.705 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@@H]1c1ccc(O)cc1 ZINC000377887825 302247108 /nfs/dbraw/zinc/24/71/08/302247108.db2.gz GZRZYYXRGNIBNO-GFCCVEGCSA-N -1 1 323.374 1.627 20 0 DDADMM CN(C)[C@@]1(C(=O)N2CC[C@@H](C(=O)[O-])C2)CCc2ccccc21 ZINC000378180906 302286692 /nfs/dbraw/zinc/28/66/92/302286692.db2.gz CBMPSKACTQVPPT-DYVFJYSZSA-N -1 1 302.374 1.323 20 0 DDADMM O=S(=O)([N-][C@H]1CCO[C@@H]1C1CC1)c1ccc(F)c(F)c1F ZINC000378324165 302312129 /nfs/dbraw/zinc/31/21/29/302312129.db2.gz RWWVJJNWWMEQEF-TVQRCGJNSA-N -1 1 321.320 1.950 20 0 DDADMM C[C@@H]1[C@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)[C@H]1c1ccccc1 ZINC000437390203 302388933 /nfs/dbraw/zinc/38/89/33/302388933.db2.gz VSNJBRULNRNYTD-GDPRMGEGSA-N -1 1 320.374 1.196 20 0 DDADMM C[C@@H]1[C@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)[C@H]1c1ccccc1 ZINC000437390203 302388935 /nfs/dbraw/zinc/38/89/35/302388935.db2.gz VSNJBRULNRNYTD-GDPRMGEGSA-N -1 1 320.374 1.196 20 0 DDADMM COc1ccc2c(c1)ncc(C(=O)N=c1nc(C)[n-]s1)c2O ZINC000440294895 302389765 /nfs/dbraw/zinc/38/97/65/302389765.db2.gz PYBLWZDBNALMKQ-UHFFFAOYSA-N -1 1 316.342 1.371 20 0 DDADMM CC(C)C1CCC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000517007489 302804246 /nfs/dbraw/zinc/80/42/46/302804246.db2.gz OOTBGTLXUVKQTJ-UHFFFAOYSA-N -1 1 317.393 1.704 20 0 DDADMM CN(C)C(=O)C(C)(C)[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC000519430603 302838502 /nfs/dbraw/zinc/83/85/02/302838502.db2.gz WTVNNWOAWMYIMS-UHFFFAOYSA-N -1 1 322.789 1.624 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(C)CCC(F)(F)CC1)c1nn[n-]n1 ZINC000529022791 303081690 /nfs/dbraw/zinc/08/16/90/303081690.db2.gz UJMJBOQKMNJUEK-VIFPVBQESA-N -1 1 301.341 1.977 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)c3nccnc3N)[nH][n-]2)s1 ZINC000357366407 306879022 /nfs/dbraw/zinc/87/90/22/306879022.db2.gz LUWXJVQKNAGSFC-UHFFFAOYSA-N -1 1 300.347 1.493 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N(CC(=O)[O-])C2CCOCC2)c1 ZINC000532005279 303269576 /nfs/dbraw/zinc/26/95/76/303269576.db2.gz PRSONUMLRGFKCZ-UHFFFAOYSA-N -1 1 317.345 1.577 20 0 DDADMM O=S(=O)(Cc1ccc(F)cc1F)[N-]c1nnc2ccccn21 ZINC000540077053 303403619 /nfs/dbraw/zinc/40/36/19/303403619.db2.gz KKXJIDGLPVPRPL-UHFFFAOYSA-N -1 1 324.312 1.949 20 0 DDADMM O=C(N[C@H]1CCCC[C@@H]1N1CCOCC1)c1c([O-])cccc1F ZINC000547481873 303535101 /nfs/dbraw/zinc/53/51/01/303535101.db2.gz MOIYYGPMQKWHAC-KBPBESRZSA-N -1 1 322.380 1.905 20 0 DDADMM O=C(NCCC[N-]S(=O)(=O)c1sccc1F)C1CCC1 ZINC000338922731 303768699 /nfs/dbraw/zinc/76/86/99/303768699.db2.gz AZZPYZYAYQHQKY-UHFFFAOYSA-N -1 1 320.411 1.472 20 0 DDADMM COC[C@@](C)(O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000560023095 303798369 /nfs/dbraw/zinc/79/83/69/303798369.db2.gz AVHNZZKRQWUEMW-NSHDSACASA-N -1 1 311.762 1.155 20 0 DDADMM CC(C)(C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000364530489 307047241 /nfs/dbraw/zinc/04/72/41/307047241.db2.gz YTTKHRCRPPUIMZ-GFCCVEGCSA-N -1 1 301.350 1.077 20 0 DDADMM Cc1nc(Cl)ccc1CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000367051734 307083447 /nfs/dbraw/zinc/08/34/47/307083447.db2.gz CJSNRZNYMOECSK-NSHDSACASA-N -1 1 320.784 1.505 20 0 DDADMM CS[C@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000369557853 307124102 /nfs/dbraw/zinc/12/41/02/307124102.db2.gz CVTSCFFOUMPJCW-NEPJUHHUSA-N -1 1 319.390 1.204 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)C[C@@H]3CCCCC(=O)N3)n2)o1 ZINC000373829801 307196187 /nfs/dbraw/zinc/19/61/87/307196187.db2.gz ADANEQNIHFUXMW-JTQLQIEISA-N -1 1 317.349 1.761 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@@H](Nc2ncccn2)C1 ZINC000515387247 307404085 /nfs/dbraw/zinc/40/40/85/307404085.db2.gz ZMEQJOHEDUDYLP-SECBINFHSA-N -1 1 320.299 1.787 20 0 DDADMM CCN(C[C@H]1CCCO1)C(=O)c1c(C)[n-]c(=O)nc1SC ZINC000531534618 307618559 /nfs/dbraw/zinc/61/85/59/307618559.db2.gz VKFMLRZBHLTCON-SNVBAGLBSA-N -1 1 311.407 1.854 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H](C)Cn1cccn1 ZINC000531785678 307623477 /nfs/dbraw/zinc/62/34/77/307623477.db2.gz UCRKPBNIBYLNTB-SECBINFHSA-N -1 1 321.406 1.475 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)[C@H](C)CC ZINC000564912046 308011282 /nfs/dbraw/zinc/01/12/82/308011282.db2.gz CLPZTWCZMCHCJV-KGYLQXTDSA-N -1 1 321.439 1.309 20 0 DDADMM O=C([O-])c1cnc(N2CCN(CCc3ccccc3)CC2)nc1 ZINC000565424129 308030891 /nfs/dbraw/zinc/03/08/91/308030891.db2.gz YTGVQKWXCSYYCG-UHFFFAOYSA-N -1 1 312.373 1.540 20 0 DDADMM CN(CCNC(=O)[C@@H]1[C@H](C(=O)[O-])C1(C)C)Cc1ccc(F)cc1 ZINC000566224932 308049934 /nfs/dbraw/zinc/04/99/34/308049934.db2.gz LUJFQHSLCABDLU-UONOGXRCSA-N -1 1 322.380 1.731 20 0 DDADMM Cc1nnc(CC[N-]S(=O)(=O)c2nc(C)c(C)s2)s1 ZINC000567282739 308076168 /nfs/dbraw/zinc/07/61/68/308076168.db2.gz JGMSGLLOLRGFAY-UHFFFAOYSA-N -1 1 318.449 1.441 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]2CCCC[C@H]2N1Cc1ncnn1CC(F)F ZINC000567478853 308081719 /nfs/dbraw/zinc/08/17/19/308081719.db2.gz CMFQGLVBZZGFLN-MXWKQRLJSA-N -1 1 314.336 1.761 20 0 DDADMM O=C(CCc1cccnc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000567717883 308092334 /nfs/dbraw/zinc/09/23/34/308092334.db2.gz VYSFMTLNZWNDBR-GFCCVEGCSA-N -1 1 302.334 1.509 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1CO[C@@H](C)C1 ZINC000567960903 308102746 /nfs/dbraw/zinc/10/27/46/308102746.db2.gz DHCUGRADNRSUBU-JOYOIKCWSA-N -1 1 318.377 1.278 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1CO[C@H](C)C1 ZINC000567960901 308102864 /nfs/dbraw/zinc/10/28/64/308102864.db2.gz DHCUGRADNRSUBU-BXKDBHETSA-N -1 1 318.377 1.278 20 0 DDADMM CCSc1n[n-]c(=NC(=O)NCCN2CC=CCC2)s1 ZINC000569306237 308139996 /nfs/dbraw/zinc/13/99/96/308139996.db2.gz HROWRTRKSFOWOG-UHFFFAOYSA-N -1 1 313.452 1.455 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCC[N@@H+]([C@@H](C)c2nc(C)cc(=O)[n-]2)C1 ZINC000570639182 308174981 /nfs/dbraw/zinc/17/49/81/308174981.db2.gz WCNZMAREONWBBS-XHDPSFHLSA-N -1 1 309.366 1.365 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCC[N@H+]([C@@H](C)c2nc(C)cc(=O)[n-]2)C1 ZINC000570639182 308174982 /nfs/dbraw/zinc/17/49/82/308174982.db2.gz WCNZMAREONWBBS-XHDPSFHLSA-N -1 1 309.366 1.365 20 0 DDADMM O=C(NCc1cc2n(n1)CCCO2)c1c(F)ccc([O-])c1F ZINC000577146709 308366088 /nfs/dbraw/zinc/36/60/88/308366088.db2.gz KBYXTQMSVKJIQJ-UHFFFAOYSA-N -1 1 309.272 1.579 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C)O[C@]3(CCO[C@H](C)C3)C2)c([O-])c1 ZINC000584388829 337245643 /nfs/dbraw/zinc/24/56/43/337245643.db2.gz ODABLSHXLQXGOK-IGCXYCKISA-N -1 1 320.389 1.894 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1Cc1cc(=O)[nH]c(C2CC2)n1 ZINC000583209298 337272660 /nfs/dbraw/zinc/27/26/60/337272660.db2.gz LADVCXGHNBSOLB-LLVKDONJSA-N -1 1 309.391 1.452 20 0 DDADMM C[C@H](NC(=O)N(C)Cc1nnc[nH]1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000655899516 483991194 /nfs/dbraw/zinc/99/11/94/483991194.db2.gz GMDHIGYSIPAHDM-LPEHRKFASA-N -1 1 309.370 1.082 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1C[C@@H]1C1CCCCC1 ZINC000343528898 484455993 /nfs/dbraw/zinc/45/59/93/484455993.db2.gz AOMATQKFQDNEGV-CHWSQXEVSA-N -1 1 317.389 1.602 20 0 DDADMM O=C([O-])C[C@H](CNC(=O)Cc1[nH]nc2ccccc21)C1CC1 ZINC000659209606 484688228 /nfs/dbraw/zinc/68/82/28/484688228.db2.gz JTCPALIJZOLCDW-LLVKDONJSA-N -1 1 301.346 1.723 20 0 DDADMM CC1CCN(CC(=O)N[C@@](C)(C(=O)[O-])c2ccccc2)CC1 ZINC000663140725 484699495 /nfs/dbraw/zinc/69/94/95/484699495.db2.gz GNLQERSHFMVSCQ-QGZVFWFLSA-N -1 1 304.390 1.835 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC000665490445 484885980 /nfs/dbraw/zinc/88/59/80/484885980.db2.gz BTGBFQSBMOARGY-SECBINFHSA-N -1 1 304.354 1.206 20 0 DDADMM O=c1[n-]nc([C@@H]2CCCN(c3nccn(C4CC4)c3=O)C2)o1 ZINC000670818179 484902596 /nfs/dbraw/zinc/90/25/96/484902596.db2.gz ZGBABQCPZIZSTR-SECBINFHSA-N -1 1 303.322 1.051 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@@H](C)S1(=O)=O ZINC000672515386 485309713 /nfs/dbraw/zinc/30/97/13/485309713.db2.gz ADTZQFKWEXNMKB-KOLCDFICSA-N -1 1 315.366 1.569 20 0 DDADMM CNC(=O)Cc1ccc(NC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000672728640 485340157 /nfs/dbraw/zinc/34/01/57/485340157.db2.gz DPYBKNJBKFHMEV-UHFFFAOYSA-N -1 1 312.325 1.502 20 0 DDADMM Cc1ccc(CCC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)o1 ZINC000673755897 485449108 /nfs/dbraw/zinc/44/91/08/485449108.db2.gz ARUPTVUDZVPWOD-OAHLLOKOSA-N -1 1 311.345 1.940 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(c2nccc(CO)n2)CC1 ZINC000682466635 485608767 /nfs/dbraw/zinc/60/87/67/485608767.db2.gz VZBAORHAPRTKDC-UHFFFAOYSA-N -1 1 313.357 1.774 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCCc2n[nH]cc21 ZINC000675143676 485863603 /nfs/dbraw/zinc/86/36/03/485863603.db2.gz GVDKNTGWHIYGNK-RISCZKNCSA-N -1 1 323.360 1.249 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@]2(C)CCO[C@@H]2C)c(F)c1 ZINC000680105401 486095391 /nfs/dbraw/zinc/09/53/91/486095391.db2.gz SBUKMTQEFHGWCM-AMIZOPFISA-N -1 1 321.345 1.819 20 0 DDADMM CCCc1c(C(=O)NCc2nn[n-]n2)cnn1C1CCCC1 ZINC000680195620 486109693 /nfs/dbraw/zinc/10/96/93/486109693.db2.gz CENOECDLHGZFRH-UHFFFAOYSA-N -1 1 303.370 1.394 20 0 DDADMM COc1ccccc1[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC000680373289 486167342 /nfs/dbraw/zinc/16/73/42/486167342.db2.gz AHQKKGLPEASGKQ-ZDUSSCGKSA-N -1 1 302.378 1.844 20 0 DDADMM O=C(NCCS(=O)(=O)c1ccccc1)c1ccc([O-])c(F)c1 ZINC000681022843 486324533 /nfs/dbraw/zinc/32/45/33/486324533.db2.gz UCLWDFTVFCGLOX-UHFFFAOYSA-N -1 1 323.345 1.735 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(c2cccnn2)CC1 ZINC000681037748 486327799 /nfs/dbraw/zinc/32/77/99/486327799.db2.gz KVBYDGQNOKVQSU-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCc3c[nH]nc3C1)c2=O ZINC000676830419 486337852 /nfs/dbraw/zinc/33/78/52/486337852.db2.gz CZRAACOYCOFZKC-UHFFFAOYSA-N -1 1 324.340 1.458 20 0 DDADMM CC[C@H]1CN(C(=O)C2CC2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000681738448 486506867 /nfs/dbraw/zinc/50/68/67/486506867.db2.gz LKMUFNDUVPNAKW-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@]3(C2)C(=O)Nc2ccccc23)c([O-])c1 ZINC000681752376 486513314 /nfs/dbraw/zinc/51/33/14/486513314.db2.gz DYOLWJMZOKWAPT-SFHVURJKSA-N -1 1 323.352 1.832 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC(OCCCO)CC1 ZINC000685447417 486540262 /nfs/dbraw/zinc/54/02/62/486540262.db2.gz NANOOOQIJXLAMB-UHFFFAOYSA-N -1 1 315.316 1.674 20 0 DDADMM COC(=O)N(C)CC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000490807939 533865271 /nfs/dbraw/zinc/86/52/71/533865271.db2.gz FULUMGDRPHGCBD-UHFFFAOYSA-N -1 1 304.306 1.419 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2c(C(N)=O)[nH]nc2C(C)C)cn1 ZINC000451113690 534211593 /nfs/dbraw/zinc/21/15/93/534211593.db2.gz JBWCSLPOGLDGOC-UHFFFAOYSA-N -1 1 323.378 1.136 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)c3ccn(C)n3)cnc2n1 ZINC000412412793 534399519 /nfs/dbraw/zinc/39/95/19/534399519.db2.gz DSQFSRPMGQRZHT-JTQLQIEISA-N -1 1 311.345 1.868 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)c1ccn(C)n1)c2=O ZINC000412412793 534399526 /nfs/dbraw/zinc/39/95/26/534399526.db2.gz DSQFSRPMGQRZHT-JTQLQIEISA-N -1 1 311.345 1.868 20 0 DDADMM COC(=O)c1sccc1S(=O)(=O)[N-][C@H](C)C(C)(F)F ZINC000451070707 534418908 /nfs/dbraw/zinc/41/89/08/534418908.db2.gz JGEJLDRSFIGJJJ-ZCFIWIBFSA-N -1 1 313.347 1.857 20 0 DDADMM CC(=O)N1CC[C@@H](CN(C)C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000330876766 526645730 /nfs/dbraw/zinc/64/57/30/526645730.db2.gz XAZVFVZRXFJUTR-JTQLQIEISA-N -1 1 312.316 1.611 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)CCc2cscn2)n[n-]1 ZINC000295936288 526848736 /nfs/dbraw/zinc/84/87/36/526848736.db2.gz NWQTXPXOFWZDQC-UHFFFAOYSA-N -1 1 300.409 1.575 20 0 DDADMM O=C(Cc1c[nH]c2ncccc12)Nc1n[n-]c(C(F)(F)F)n1 ZINC000156716872 527207432 /nfs/dbraw/zinc/20/74/32/527207432.db2.gz WOKCWUYTZCCEPU-UHFFFAOYSA-N -1 1 310.239 1.881 20 0 DDADMM CCN(CC)CCS(=O)(=O)N[C@H](CCC(C)(C)C)C(=O)[O-] ZINC000417440212 528159724 /nfs/dbraw/zinc/15/97/24/528159724.db2.gz NSCZSVSHYTVPEL-GFCCVEGCSA-N -1 1 322.471 1.527 20 0 DDADMM CCO[C@H]1C[C@](O)(CNC(=O)c2ncc(C)cc2[O-])C1(C)C ZINC000332468299 528165333 /nfs/dbraw/zinc/16/53/33/528165333.db2.gz MJXUWGNZEUHJNZ-LRDDRELGSA-N -1 1 308.378 1.392 20 0 DDADMM CCC[C@H](NC(=O)CCOc1cccc(OC)c1)c1nn[n-]n1 ZINC000294885944 528175561 /nfs/dbraw/zinc/17/55/61/528175561.db2.gz IMBRSRRLLWZNBH-ZDUSSCGKSA-N -1 1 319.365 1.635 20 0 DDADMM CCNC(=O)c1ccc(=NCCO[C@@H]2CCCC[C@H]2C)[n-]n1 ZINC000413062179 528228403 /nfs/dbraw/zinc/22/84/03/528228403.db2.gz QVHXTIAHKACKHC-TZMCWYRMSA-N -1 1 306.410 1.655 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](C)CCCO)o1 ZINC000451687326 528242965 /nfs/dbraw/zinc/24/29/65/528242965.db2.gz SHCLUTXLIGLGBU-SNVBAGLBSA-N -1 1 319.379 1.143 20 0 DDADMM CCOC[C@H](O)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451241155 529008274 /nfs/dbraw/zinc/00/82/74/529008274.db2.gz IXCWPLUAYVMTPV-LLVKDONJSA-N -1 1 317.338 1.248 20 0 DDADMM CC[C@H]1CCC[C@@H]1CNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000452537034 529226739 /nfs/dbraw/zinc/22/67/39/529226739.db2.gz ZKWVQFJPDLIYAC-WDEREUQCSA-N -1 1 314.407 1.744 20 0 DDADMM Cc1cc(-c2nn[nH]n2)ccc1S(=O)(=O)[N-]c1ccccc1 ZINC000737224970 598946140 /nfs/dbraw/zinc/94/61/40/598946140.db2.gz JTVBCFFTBSABAY-UHFFFAOYSA-N -1 1 315.358 1.976 20 0 DDADMM C[C@@](O)(CNc1c2ccccc2nnc1-c1nnn[n-]1)C1CC1 ZINC000736140719 598969515 /nfs/dbraw/zinc/96/95/15/598969515.db2.gz AMQKPXCZFPVDKN-OAHLLOKOSA-N -1 1 311.349 1.383 20 0 DDADMM C[C@@](O)(CNc1c2ccccc2nnc1-c1nn[n-]n1)C1CC1 ZINC000736140719 598969516 /nfs/dbraw/zinc/96/95/16/598969516.db2.gz AMQKPXCZFPVDKN-OAHLLOKOSA-N -1 1 311.349 1.383 20 0 DDADMM Cc1nc2ccc(NC(=O)c3ccc(-c4nnn[n-]4)nc3)cc2o1 ZINC000737487818 598988546 /nfs/dbraw/zinc/98/85/46/598988546.db2.gz JRRFIIBCUPPMOX-UHFFFAOYSA-N -1 1 321.300 1.964 20 0 DDADMM Cc1nc2ccc(NC(=O)c3ccc(-c4nn[n-]n4)nc3)cc2o1 ZINC000737487818 598988547 /nfs/dbraw/zinc/98/85/47/598988547.db2.gz JRRFIIBCUPPMOX-UHFFFAOYSA-N -1 1 321.300 1.964 20 0 DDADMM C[C@H](c1ccccn1)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736201770 598998488 /nfs/dbraw/zinc/99/84/88/598998488.db2.gz HTNCVIAICGTNQX-SNVBAGLBSA-N -1 1 309.333 1.490 20 0 DDADMM C[C@H](c1ccccn1)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736201770 598998490 /nfs/dbraw/zinc/99/84/90/598998490.db2.gz HTNCVIAICGTNQX-SNVBAGLBSA-N -1 1 309.333 1.490 20 0 DDADMM O=C(N[C@@H]1CCCc2occc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738024189 599006448 /nfs/dbraw/zinc/00/64/48/599006448.db2.gz JKPRKHRBWIZWBB-LLVKDONJSA-N -1 1 310.317 1.662 20 0 DDADMM O=C(N[C@@H]1CCCc2occc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738024189 599006450 /nfs/dbraw/zinc/00/64/50/599006450.db2.gz JKPRKHRBWIZWBB-LLVKDONJSA-N -1 1 310.317 1.662 20 0 DDADMM O=C(NC[C@H]1CCc2ccccc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738051561 599006532 /nfs/dbraw/zinc/00/65/32/599006532.db2.gz OROMZPDVODSCPQ-GFCCVEGCSA-N -1 1 320.356 1.722 20 0 DDADMM O=C(NC[C@H]1CCc2ccccc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738051561 599006534 /nfs/dbraw/zinc/00/65/34/599006534.db2.gz OROMZPDVODSCPQ-GFCCVEGCSA-N -1 1 320.356 1.722 20 0 DDADMM O=C(NCc1ccc(Cl)o1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738102759 599023456 /nfs/dbraw/zinc/02/34/56/599023456.db2.gz CFQIPNFXERVMQK-UHFFFAOYSA-N -1 1 304.697 1.438 20 0 DDADMM O=C(NCc1ccc(Cl)o1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738102759 599023457 /nfs/dbraw/zinc/02/34/57/599023457.db2.gz CFQIPNFXERVMQK-UHFFFAOYSA-N -1 1 304.697 1.438 20 0 DDADMM C[S@](=O)Cc1cccc(Nc2nccnc2-c2nnn[n-]2)c1 ZINC000737173937 599063571 /nfs/dbraw/zinc/06/35/71/599063571.db2.gz QSIOHYCXKDAYSD-QFIPXVFZSA-N -1 1 315.362 1.279 20 0 DDADMM C[S@](=O)Cc1cccc(Nc2nccnc2-c2nn[n-]n2)c1 ZINC000737173937 599063574 /nfs/dbraw/zinc/06/35/74/599063574.db2.gz QSIOHYCXKDAYSD-QFIPXVFZSA-N -1 1 315.362 1.279 20 0 DDADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)Oc1ccc(F)cc1 ZINC000820280633 599069215 /nfs/dbraw/zinc/06/92/15/599069215.db2.gz KZAUKBUWGVYTDI-VIFPVBQESA-N -1 1 315.312 1.675 20 0 DDADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)Oc1ccc(F)cc1 ZINC000820280633 599069217 /nfs/dbraw/zinc/06/92/17/599069217.db2.gz KZAUKBUWGVYTDI-VIFPVBQESA-N -1 1 315.312 1.675 20 0 DDADMM CCc1cnc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000821499987 599196141 /nfs/dbraw/zinc/19/61/41/599196141.db2.gz ZKKIOYXJZJEGDF-UHFFFAOYSA-N -1 1 301.335 1.533 20 0 DDADMM CCc1cnc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000821499987 599196144 /nfs/dbraw/zinc/19/61/44/599196144.db2.gz ZKKIOYXJZJEGDF-UHFFFAOYSA-N -1 1 301.335 1.533 20 0 DDADMM CN(CCC(=O)[O-])C(=O)[C@@H](c1ccccc1)N1CCC(O)CC1 ZINC000737988922 597013982 /nfs/dbraw/zinc/01/39/82/597013982.db2.gz JSKUQJLKELUXBU-MRXNPFEDSA-N -1 1 320.389 1.118 20 0 DDADMM O=C([O-])CSCC(=O)O[C@H]1CCN(Cc2ccccc2)C1 ZINC000740487919 597109099 /nfs/dbraw/zinc/10/90/99/597109099.db2.gz YCHQMEPVBAIBSV-ZDUSSCGKSA-N -1 1 309.387 1.622 20 0 DDADMM CCN1CCN(c2ccccc2NC(=O)NCCC(=O)[O-])CC1 ZINC000818207846 597168087 /nfs/dbraw/zinc/16/80/87/597168087.db2.gz PSTHEJDMQWOBCW-UHFFFAOYSA-N -1 1 320.393 1.425 20 0 DDADMM O=C(CCCc1ccccn1)N=c1cc[nH]cc1-c1nn[n-]n1 ZINC000737834892 599255752 /nfs/dbraw/zinc/25/57/52/599255752.db2.gz AFDPOARXCZIGKH-UHFFFAOYSA-N -1 1 309.333 1.040 20 0 DDADMM CN(C)[C@H](CNC(=O)NCCC(=O)[O-])c1ccc(Cl)cc1 ZINC000818375151 597427683 /nfs/dbraw/zinc/42/76/83/597427683.db2.gz VXNCXTUQICSLGR-GFCCVEGCSA-N -1 1 313.785 1.717 20 0 DDADMM Cc1nn(C)c2nc(Cl)c(CN3CC[C@H](C(=O)[O-])C3)cc12 ZINC000819029921 597527992 /nfs/dbraw/zinc/52/79/92/597527992.db2.gz MPRUNPVXMVWPIZ-VIFPVBQESA-N -1 1 308.769 1.837 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)CSCC(=O)[O-])[nH]c21 ZINC000820689571 598053802 /nfs/dbraw/zinc/05/38/02/598053802.db2.gz UMASDPDZQRZXLR-UHFFFAOYSA-N -1 1 323.330 1.106 20 0 DDADMM COCCN(CC(=O)[O-])Cc1ccc(-n2cncn2)c(C)c1 ZINC000820723504 598118049 /nfs/dbraw/zinc/11/80/49/598118049.db2.gz MDXWZURTQVTUJD-UHFFFAOYSA-N -1 1 304.350 1.109 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])c1ccc(-c2nn[nH]n2)cc1 ZINC000736128669 598285123 /nfs/dbraw/zinc/28/51/23/598285123.db2.gz ZAPAUJKOGANXRF-VIFPVBQESA-N -1 1 310.317 1.458 20 0 DDADMM COc1ccc(C)cc1CCNc1nccnc1-c1nnn[n-]1 ZINC000737077651 598339237 /nfs/dbraw/zinc/33/92/37/598339237.db2.gz RXRAFHUKAPBOFV-UHFFFAOYSA-N -1 1 311.349 1.628 20 0 DDADMM COc1ccc(C)cc1CCNc1nccnc1-c1nn[n-]n1 ZINC000737077651 598339239 /nfs/dbraw/zinc/33/92/39/598339239.db2.gz RXRAFHUKAPBOFV-UHFFFAOYSA-N -1 1 311.349 1.628 20 0 DDADMM Cc1cccc(OCCCNc2nccnc2-c2nnn[n-]2)c1 ZINC000737411007 598339389 /nfs/dbraw/zinc/33/93/89/598339389.db2.gz IDOQPVRQULQQLZ-UHFFFAOYSA-N -1 1 311.349 1.846 20 0 DDADMM Cc1cccc(OCCCNc2nccnc2-c2nn[n-]n2)c1 ZINC000737411007 598339390 /nfs/dbraw/zinc/33/93/90/598339390.db2.gz IDOQPVRQULQQLZ-UHFFFAOYSA-N -1 1 311.349 1.846 20 0 DDADMM COc1ccccc1C1(CNc2nccnc2-c2nnn[n-]2)CC1 ZINC000737148693 598351596 /nfs/dbraw/zinc/35/15/96/598351596.db2.gz UIOUDILIUHKLDU-UHFFFAOYSA-N -1 1 323.360 1.809 20 0 DDADMM COc1ccccc1C1(CNc2nccnc2-c2nn[n-]n2)CC1 ZINC000737148693 598351598 /nfs/dbraw/zinc/35/15/98/598351598.db2.gz UIOUDILIUHKLDU-UHFFFAOYSA-N -1 1 323.360 1.809 20 0 DDADMM CC(C)c1noc(CCCNc2cnc(-c3nnn[n-]3)cn2)n1 ZINC000735953251 598386426 /nfs/dbraw/zinc/38/64/26/598386426.db2.gz QWJWJFVKQNIAPC-UHFFFAOYSA-N -1 1 315.341 1.208 20 0 DDADMM CC(C)c1noc(CCCNc2cnc(-c3nn[n-]n3)cn2)n1 ZINC000735953251 598386428 /nfs/dbraw/zinc/38/64/28/598386428.db2.gz QWJWJFVKQNIAPC-UHFFFAOYSA-N -1 1 315.341 1.208 20 0 DDADMM CCN(C)C(=O)c1cc(C2CC2)nc(SC)c1-c1nn[n-]n1 ZINC000736509718 598788310 /nfs/dbraw/zinc/78/83/10/598788310.db2.gz JRIYBPJMAGZNCM-UHFFFAOYSA-N -1 1 318.406 1.953 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@H]1C[C@]12CCc1ccccc12 ZINC000822903736 599319100 /nfs/dbraw/zinc/31/91/00/599319100.db2.gz ODHWNHZWALHFNT-WBMJQRKESA-N -1 1 321.344 1.190 20 0 DDADMM COc1ccccc1CCN(C)c1cccc(-c2nnn[n-]2)n1 ZINC000737151174 599429459 /nfs/dbraw/zinc/42/94/59/599429459.db2.gz YNXKXZYVAZODJG-UHFFFAOYSA-N -1 1 310.361 1.949 20 0 DDADMM COc1ccccc1CCN(C)c1cccc(-c2nn[n-]n2)n1 ZINC000737151174 599429462 /nfs/dbraw/zinc/42/94/62/599429462.db2.gz YNXKXZYVAZODJG-UHFFFAOYSA-N -1 1 310.361 1.949 20 0 DDADMM O=C1C[C@@H](c2cccc(NCc3ccc(-c4nnn[n-]4)o3)c2)CN1 ZINC000823521168 607391579 /nfs/dbraw/zinc/39/15/79/607391579.db2.gz RIKVUIHFEKHYPI-LLVKDONJSA-N -1 1 324.344 1.675 20 0 DDADMM O=C1C[C@@H](c2cccc(NCc3ccc(-c4nn[n-]n4)o3)c2)CN1 ZINC000823521168 607391581 /nfs/dbraw/zinc/39/15/81/607391581.db2.gz RIKVUIHFEKHYPI-LLVKDONJSA-N -1 1 324.344 1.675 20 0 DDADMM NC(=O)CCN(Cc1cc(C(=O)[O-])co1)Cc1ccccc1 ZINC000739158230 599846547 /nfs/dbraw/zinc/84/65/47/599846547.db2.gz WUMADMAOWADVCT-UHFFFAOYSA-N -1 1 302.330 1.855 20 0 DDADMM C[C@H](CN(CN1C(=O)[C@@H]2CC=CC[C@H]2C1=O)C1CC1)C(=O)[O-] ZINC000736578582 599934636 /nfs/dbraw/zinc/93/46/36/599934636.db2.gz NHOAEEXUFNTPED-RAIGVLPGSA-N -1 1 306.362 1.080 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C1 ZINC000737814673 599954673 /nfs/dbraw/zinc/95/46/73/599954673.db2.gz XWNVRCIEQHCEQZ-LBPRGKRZSA-N -1 1 323.393 1.170 20 0 DDADMM CC(C)N1CCN(C(=O)CCc2ccc(C(=O)[O-])cc2)CC1 ZINC000736474196 600061872 /nfs/dbraw/zinc/06/18/72/600061872.db2.gz KNMMRIFAEPMVBD-UHFFFAOYSA-N -1 1 304.390 1.870 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1)N1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000736215553 600310888 /nfs/dbraw/zinc/31/08/88/600310888.db2.gz PNMAAVXXDRXZQW-UKRRQHHQSA-N -1 1 316.401 1.878 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H](O)CN1C[C@H](O)c1ccc(C(F)(F)F)cc1 ZINC000740057361 600312792 /nfs/dbraw/zinc/31/27/92/600312792.db2.gz XMBADPWVQQGYJI-WOPDTQHZSA-N -1 1 319.279 1.259 20 0 DDADMM Cc1cccc(C[C@@H](CNC(=O)[C@@H]2CCCCN2C)C(=O)[O-])c1 ZINC000738702554 600363176 /nfs/dbraw/zinc/36/31/76/600363176.db2.gz JWCANSRNRWBKTI-HOTGVXAUSA-N -1 1 318.417 1.839 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](Cc2c([O-])nnn2-c2ccccc2)C1 ZINC000739582569 600422302 /nfs/dbraw/zinc/42/23/02/600422302.db2.gz FZXALGVQOVSQJK-LLVKDONJSA-N -1 1 302.334 1.270 20 0 DDADMM CC[C@@H](O)CN1CCN([C@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000736925702 600557653 /nfs/dbraw/zinc/55/76/53/600557653.db2.gz CEWWHDXVAUNFDP-CABCVRRESA-N -1 1 310.369 1.340 20 0 DDADMM COC(=O)c1ccc2c(cnn2CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000737706834 600715936 /nfs/dbraw/zinc/71/59/36/600715936.db2.gz BOQDFOVDNZSSPC-LBPRGKRZSA-N -1 1 317.345 1.577 20 0 DDADMM C[C@H]1C[C@H](NS(=O)(=O)c2csc(C(=O)[O-])c2)CCN1C ZINC000157598676 600766185 /nfs/dbraw/zinc/76/61/85/600766185.db2.gz UHMJQRMZTIDAFC-DTWKUNHWSA-N -1 1 318.420 1.207 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCC2(C1)CCOCC2)C(=O)[O-] ZINC000828717796 600861524 /nfs/dbraw/zinc/86/15/24/600861524.db2.gz KMKXYEGHUMIISR-JSGCOSHPSA-N -1 1 312.410 1.104 20 0 DDADMM CC[C@H](O)[C@@H]1CCCCN1CC(=O)N[C@@H](CC(C)C)C(=O)[O-] ZINC000736925788 600977471 /nfs/dbraw/zinc/97/74/71/600977471.db2.gz WYYFESAKCCOCHK-IHRRRGAJSA-N -1 1 314.426 1.227 20 0 DDADMM CCN(Cc1ccccc1)C(=O)CN[C@](C)(C(=O)[O-])C1CC1 ZINC000829721982 601034526 /nfs/dbraw/zinc/03/45/26/601034526.db2.gz CMCDQXGCBZAJJW-KRWDZBQOSA-N -1 1 304.390 1.878 20 0 DDADMM Cc1ccc([C@H](C)C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)o1 ZINC000832923851 601105370 /nfs/dbraw/zinc/10/53/70/601105370.db2.gz LBWIDBPWDRXAAZ-AAEUAGOBSA-N -1 1 308.378 1.699 20 0 DDADMM CCN1CC[C@H](NS(=O)(=O)c2cc(C(=O)[O-])ccc2C)C1 ZINC000389263055 601110422 /nfs/dbraw/zinc/11/04/22/601110422.db2.gz CXVDSRORYXCVPM-LBPRGKRZSA-N -1 1 312.391 1.066 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@H]2CCC[C@H](C(=O)[O-])C2)c1 ZINC000830624061 601141463 /nfs/dbraw/zinc/14/14/63/601141463.db2.gz QOBHVGUCRRXEQD-STQMWFEESA-N -1 1 305.378 1.973 20 0 DDADMM CC1(OCC(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCC1 ZINC000820629241 607498876 /nfs/dbraw/zinc/49/88/76/607498876.db2.gz VNWZCVPQYQCJJO-UHFFFAOYSA-N -1 1 303.322 1.264 20 0 DDADMM CC1(OCC(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCC1 ZINC000820629241 607498877 /nfs/dbraw/zinc/49/88/77/607498877.db2.gz VNWZCVPQYQCJJO-UHFFFAOYSA-N -1 1 303.322 1.264 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H](C(=O)N2CCC(c3nc[nH]n3)CC2)C1 ZINC000833185125 601295497 /nfs/dbraw/zinc/29/54/97/601295497.db2.gz KBQVMPBYLFQANZ-VXGBXAGGSA-N -1 1 306.366 1.402 20 0 DDADMM CCOC[C@H]1CCN(CC(=O)Nc2ccccc2C(=O)[O-])C1 ZINC000829990355 601378346 /nfs/dbraw/zinc/37/83/46/601378346.db2.gz XCGMTXGMZBGVOH-LBPRGKRZSA-N -1 1 306.362 1.682 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(CCCOc2ccc3c(c2)OCO3)C1 ZINC000833174281 601444112 /nfs/dbraw/zinc/44/41/12/601444112.db2.gz CZHFVPOESOSLJZ-OAHLLOKOSA-N -1 1 311.309 1.683 20 0 DDADMM Cc1cccc(C)c1OC[C@@H](O)CN1CC[C@](F)(C(=O)[O-])C1 ZINC000832966415 601446126 /nfs/dbraw/zinc/44/61/26/601446126.db2.gz WEWGBVFZKQXNAZ-XJKSGUPXSA-N -1 1 311.353 1.542 20 0 DDADMM C[C@@H]1CN([C@@H](C(=O)NC2CC2)c2ccc(F)cc2)C[C@@H]1C(=O)[O-] ZINC000828413168 601523740 /nfs/dbraw/zinc/52/37/40/601523740.db2.gz QHANYYHXJGGQSG-WKPIXPDZSA-N -1 1 320.364 1.798 20 0 DDADMM Cc1cc(NC(=O)CN2C[C@H](C)[C@H](C(=O)[O-])C2)n(C(C)(C)C)n1 ZINC000832892712 601535851 /nfs/dbraw/zinc/53/58/51/601535851.db2.gz KGXFWGNCTZMSBF-CMPLNLGQSA-N -1 1 322.409 1.538 20 0 DDADMM Cc1cc(NC(=O)CN2C[C@H](C)[C@@H](C(=O)[O-])C2)n(C(C)(C)C)n1 ZINC000832892713 601536242 /nfs/dbraw/zinc/53/62/42/601536242.db2.gz KGXFWGNCTZMSBF-JQWIXIFHSA-N -1 1 322.409 1.538 20 0 DDADMM NC(=O)c1ccc(CN[C@@H](C(=O)[O-])c2ccccc2F)cn1 ZINC000833085059 601560646 /nfs/dbraw/zinc/56/06/46/601560646.db2.gz FXWUZXATHHXDRL-CYBMUJFWSA-N -1 1 303.293 1.235 20 0 DDADMM CC(C)N(CCO)CC(=O)NCc1ccc(NC(=O)[O-])cc1 ZINC000826951895 601597444 /nfs/dbraw/zinc/59/74/44/601597444.db2.gz TXGUUFBZNNLIIG-UHFFFAOYSA-N -1 1 309.366 1.095 20 0 DDADMM C[C@@H](C(=O)N1CCCC[C@@H]1C(=O)[O-])N(C)Cc1ccccc1 ZINC000262633057 601630119 /nfs/dbraw/zinc/63/01/19/601630119.db2.gz FTUQEPPFPNIRSC-DZGCQCFKSA-N -1 1 304.390 1.973 20 0 DDADMM COCCN1CCN(Cc2ccc(C(=O)[O-])c(F)c2)C[C@@H]1C ZINC000831745532 601630969 /nfs/dbraw/zinc/63/09/69/601630969.db2.gz RQHKOBLXSQUPOQ-LBPRGKRZSA-N -1 1 310.369 1.676 20 0 DDADMM Cc1cc(CC(=O)Nc2ccc(OCC(=O)[O-])cc2C)n[nH]1 ZINC000832884070 601670068 /nfs/dbraw/zinc/67/00/68/601670068.db2.gz USIJWQADQHLUSI-UHFFFAOYSA-N -1 1 303.318 1.671 20 0 DDADMM Cc1cc(CC(=O)Nc2nnc(CCCC(=O)[O-])s2)n[nH]1 ZINC000832882747 601685946 /nfs/dbraw/zinc/68/59/46/601685946.db2.gz BGYQTDZXIYZXCW-UHFFFAOYSA-N -1 1 309.351 1.158 20 0 DDADMM COC[C@](C)(NC(=O)[C@H](C)N(C)Cc1ccccc1)C(=O)[O-] ZINC000831597023 601693531 /nfs/dbraw/zinc/69/35/31/601693531.db2.gz NZYUVSBDHPGZHG-LRDDRELGSA-N -1 1 308.378 1.113 20 0 DDADMM COC(=O)c1cc(NC(=O)[C@H](C(C)C)N(C)C)cc(C(=O)[O-])c1 ZINC000737662766 601821185 /nfs/dbraw/zinc/82/11/85/601821185.db2.gz YGYOMIDQKLHJQO-ZDUSSCGKSA-N -1 1 322.361 1.696 20 0 DDADMM CCc1cc(CNC(=O)NCc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000820474330 601881274 /nfs/dbraw/zinc/88/12/74/601881274.db2.gz NYNSHVWFPVKYEJ-UHFFFAOYSA-N -1 1 302.334 1.670 20 0 DDADMM CNC(=O)c1cccc(CN2CCSC[C@H]2CC(=O)[O-])c1 ZINC000831015822 601890652 /nfs/dbraw/zinc/89/06/52/601890652.db2.gz JDJPVDYQKUFCOR-CYBMUJFWSA-N -1 1 308.403 1.438 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCCN(C(=O)Cc2n[nH]c3ccccc32)C1 ZINC000739585541 601895635 /nfs/dbraw/zinc/89/56/35/601895635.db2.gz UHJXALYDJGAQGE-NSHDSACASA-N -1 1 316.361 1.706 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000739584781 601897936 /nfs/dbraw/zinc/89/79/36/601897936.db2.gz RGPDCHGSZCFWMK-LLVKDONJSA-N -1 1 318.333 1.884 20 0 DDADMM O=C([O-])N[C@@H](C(=O)N1CCN2CCCC[C@@H]2C1)c1ccccc1 ZINC000740684024 601923177 /nfs/dbraw/zinc/92/31/77/601923177.db2.gz ARGPIKWEIXIXAD-HUUCEWRRSA-N -1 1 317.389 1.692 20 0 DDADMM Cn1cc(-c2nc(CN3CC[C@](C)(C(=O)[O-])C3)cs2)cn1 ZINC000833041811 601932305 /nfs/dbraw/zinc/93/23/05/601932305.db2.gz WSRKHQVHZYKZTE-AWEZNQCLSA-N -1 1 306.391 1.840 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCCN1Cc1cccc(C(N)=O)c1 ZINC000738999862 602262421 /nfs/dbraw/zinc/26/24/21/602262421.db2.gz IMGKQWIIOCYBJM-BXUZGUMPSA-N -1 1 305.378 1.796 20 0 DDADMM CCN1CCCC[C@@H]1C(=O)N[C@H](C)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000739456785 602469622 /nfs/dbraw/zinc/46/96/22/602469622.db2.gz SIUSBHFHHBLQEV-MGPQQGTHSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@](CNC(=O)[O-])(NC(=O)c1[nH]nc2c1CCCC2)C1CC1 ZINC000738928557 602505229 /nfs/dbraw/zinc/50/52/29/602505229.db2.gz WXJSQLIZHRNQRT-OAHLLOKOSA-N -1 1 306.366 1.455 20 0 DDADMM CC(C)C[C@@H](C)N(C)C(=O)CN1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738804192 602543160 /nfs/dbraw/zinc/54/31/60/602543160.db2.gz JAGJDMRCMVIWOH-KGLIPLIRSA-N -1 1 313.442 1.811 20 0 DDADMM O=S(=O)(Oc1ccccc1)c1ccc(F)cc1-c1nnn[n-]1 ZINC000826494307 607572700 /nfs/dbraw/zinc/57/27/00/607572700.db2.gz WTYKFAXAJULYFQ-UHFFFAOYSA-N -1 1 320.305 1.774 20 0 DDADMM O=S(=O)(Oc1ccccc1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826494307 607572701 /nfs/dbraw/zinc/57/27/01/607572701.db2.gz WTYKFAXAJULYFQ-UHFFFAOYSA-N -1 1 320.305 1.774 20 0 DDADMM Cc1ccc2c([n-]cc(C(=O)N[C@H]3CCN(C(=O)[O-])C3)c2=O)[nH+]1 ZINC000740156342 602594014 /nfs/dbraw/zinc/59/40/14/602594014.db2.gz VHLXRLAFPUSNLM-VIFPVBQESA-N -1 1 316.317 1.126 20 0 DDADMM O=C(CN1CCC[C@H]([C@H]2CCCN2C(=O)[O-])C1)NCC1CCC1 ZINC000740368797 602679216 /nfs/dbraw/zinc/67/92/16/602679216.db2.gz MARZTYWXDSFLPI-LSDHHAIUSA-N -1 1 323.437 1.757 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)NCC[C@@H]1CCN(C(=O)[O-])C1 ZINC000740030009 602690785 /nfs/dbraw/zinc/69/07/85/602690785.db2.gz HMCHXDZDSAFYJZ-GFCCVEGCSA-N -1 1 323.397 1.340 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN(Cn2nccc2-c2ccncc2)C1 ZINC000740628862 602694166 /nfs/dbraw/zinc/69/41/66/602694166.db2.gz FFVLCYDSUQNLPX-ZDUSSCGKSA-N -1 1 315.377 1.882 20 0 DDADMM CCN1CCC[C@H](NC(=O)c2csc(CNC(=O)[O-])n2)C1 ZINC000739453161 602712627 /nfs/dbraw/zinc/71/26/27/602712627.db2.gz DCMYXLMDWXQVMW-VIFPVBQESA-N -1 1 312.395 1.125 20 0 DDADMM Cc1cc(CNC(=O)[C@@H]2C[C@@H]3CCCC[C@H]3N2C(=O)[O-])n[nH]1 ZINC000740074263 602857235 /nfs/dbraw/zinc/85/72/35/602857235.db2.gz WGCXLYCNABPLHX-UHTWSYAYSA-N -1 1 306.366 1.645 20 0 DDADMM CCc1cc(CNC(=O)N2CCC(CNC(=O)[O-])CC2)n[nH]1 ZINC000739536197 602885967 /nfs/dbraw/zinc/88/59/67/602885967.db2.gz OILBNQLCJAKNDR-UHFFFAOYSA-N -1 1 309.370 1.161 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@H]1NC(=O)c1[nH]nc2c1CCCC2 ZINC000740629398 602974401 /nfs/dbraw/zinc/97/44/01/602974401.db2.gz QLGHSRRNFJNBGW-MWLCHTKSSA-N -1 1 306.366 1.455 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@@H]1CCC[C@H]1NC(=O)[O-] ZINC000739199057 603003698 /nfs/dbraw/zinc/00/36/98/603003698.db2.gz OALIOZIYWCDIFX-AAVRWANBSA-N -1 1 309.410 1.508 20 0 DDADMM Cc1ccc(N)c(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000826289951 607601652 /nfs/dbraw/zinc/60/16/52/607601652.db2.gz SWYHDIHZPJSLLI-UHFFFAOYSA-N -1 1 310.317 1.509 20 0 DDADMM Cc1ccc(N)c(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000826289951 607601654 /nfs/dbraw/zinc/60/16/54/607601654.db2.gz SWYHDIHZPJSLLI-UHFFFAOYSA-N -1 1 310.317 1.509 20 0 DDADMM C[C@H](CC(=O)NC[C@H](c1ccco1)N1CCCC1)NC(=O)[O-] ZINC000824627992 603232539 /nfs/dbraw/zinc/23/25/39/603232539.db2.gz BWYLFYXYTNCAQW-VXGBXAGGSA-N -1 1 309.366 1.579 20 0 DDADMM C[C@@H](C(=O)N1CCC(C)CC1)N1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000739112906 603414787 /nfs/dbraw/zinc/41/47/87/603414787.db2.gz KXRKBWZEYHNXQD-RDBSUJKOSA-N -1 1 311.426 1.611 20 0 DDADMM O=C([O-])N[C@H]1CC[C@H](C(=O)Nc2nc(-c3ccccn3)n[nH]2)C1 ZINC000832293981 603484884 /nfs/dbraw/zinc/48/48/84/603484884.db2.gz AARXPOZAGXRWAX-IUCAKERBSA-N -1 1 316.321 1.242 20 0 DDADMM CC(C)N1CC[C@H](N2CCC([C@@H]3CCCN3C(=O)[O-])CC2)C1=O ZINC000824356869 603537137 /nfs/dbraw/zinc/53/71/37/603537137.db2.gz KFTHTSLMUHJIIA-GJZGRUSLSA-N -1 1 323.437 1.850 20 0 DDADMM C[C@H](C1CC1)N(C(=O)CN1CCC[C@H]1CN(C)C(=O)[O-])C1CC1 ZINC000824545237 603579550 /nfs/dbraw/zinc/57/95/50/603579550.db2.gz VSRQPAVQHPCBMT-DOMZBBRYSA-N -1 1 323.437 1.850 20 0 DDADMM O=C([O-])N1CCC[C@@H]1[C@H]1CCCN(CN2C(=O)CC23CCC3)C1 ZINC000832004025 603600830 /nfs/dbraw/zinc/60/08/30/603600830.db2.gz CIBWDJPJFCFXCG-UONOGXRCSA-N -1 1 321.421 1.953 20 0 DDADMM C[C@@H]1CCCN(CC(=O)N2CCCC[C@@H]2C)[C@@H]1CNC(=O)[O-] ZINC000825919496 603726250 /nfs/dbraw/zinc/72/62/50/603726250.db2.gz NVIWMUOTIOUMDB-HZSPNIEDSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@@H](NC(=O)[C@@H](NC(=O)[O-])c1cccc(Cl)c1)c1nnc[nH]1 ZINC000825077373 603798747 /nfs/dbraw/zinc/79/87/47/603798747.db2.gz YLRVXQMHZIJGDH-XCBNKYQSSA-N -1 1 323.740 1.644 20 0 DDADMM CC(C)[N@H+]1C[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])[C@@H](C)C1 ZINC000826042573 603804155 /nfs/dbraw/zinc/80/41/55/603804155.db2.gz QCHPCGUYOMMHJN-GWCFXTLKSA-N -1 1 321.377 1.941 20 0 DDADMM CC(C)[N@@H+]1C[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])[C@@H](C)C1 ZINC000826042573 603804157 /nfs/dbraw/zinc/80/41/57/603804157.db2.gz QCHPCGUYOMMHJN-GWCFXTLKSA-N -1 1 321.377 1.941 20 0 DDADMM C[C@@H](C(=O)NCC(C)(C)NC(=O)[O-])N(C)Cc1ccccc1 ZINC000823688095 603869650 /nfs/dbraw/zinc/86/96/50/603869650.db2.gz ZJPSFFKCGVSCMU-LBPRGKRZSA-N -1 1 307.394 1.669 20 0 DDADMM C[C@H](N(C)CN1C[C@@H]2CN(C(=O)[O-])CCN2C1=O)C1(C)CC1 ZINC000825057947 603875403 /nfs/dbraw/zinc/87/54/03/603875403.db2.gz YXAUJYBNERQWDD-RYUDHWBXSA-N -1 1 310.398 1.164 20 0 DDADMM O=C([O-])NC[C@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000832529341 603942081 /nfs/dbraw/zinc/94/20/81/603942081.db2.gz YEPULIYJCHTOAJ-GHMZBOCLSA-N -1 1 306.366 1.336 20 0 DDADMM O=C([O-])NC1CCC(NC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000832312656 603951286 /nfs/dbraw/zinc/95/12/86/603951286.db2.gz JEYPHPUDTCPIJP-VQXHTEKXSA-N -1 1 306.366 1.525 20 0 DDADMM CCc1oc(C(=O)OC)cc1CN1CCC[C@H]1CNC(=O)[O-] ZINC000827681557 603968501 /nfs/dbraw/zinc/96/85/01/603968501.db2.gz ORRUYFXBBWJELN-NSHDSACASA-N -1 1 310.350 1.861 20 0 DDADMM Cc1cc(CNC(=O)[C@H]2CCCCCC[C@@H]2NC(=O)[O-])n[nH]1 ZINC000830040853 603986901 /nfs/dbraw/zinc/98/69/01/603986901.db2.gz DZJBBUCKDLWTNF-STQMWFEESA-N -1 1 308.382 1.941 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@@]1(C)CCN(C(=O)[O-])C1 ZINC000826087658 604054617 /nfs/dbraw/zinc/05/46/17/604054617.db2.gz QDRWUDBDKHBCAZ-IOASZLSFSA-N -1 1 309.410 1.462 20 0 DDADMM CN(CCNC(=O)CC1CN(C(=O)[O-])C1)Cc1ccc(F)cc1 ZINC000828320939 604066342 /nfs/dbraw/zinc/06/63/42/604066342.db2.gz ALTXAQOUQYJLIT-UHFFFAOYSA-N -1 1 323.368 1.374 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)NCCC(C)(C)C)C1 ZINC000825254590 604225446 /nfs/dbraw/zinc/22/54/46/604225446.db2.gz MZBHDKAHGROFPB-CHWSQXEVSA-N -1 1 313.442 1.907 20 0 DDADMM Cc1nc(C)n([C@@H]2CCCN(Cc3c[nH]c(C(=O)[O-])c3)C2)n1 ZINC000833755532 604638307 /nfs/dbraw/zinc/63/83/07/604638307.db2.gz QKVBZDXHBBUNIQ-CYBMUJFWSA-N -1 1 303.366 1.758 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)CCOC(C)(C)C ZINC000825977935 604679932 /nfs/dbraw/zinc/67/99/32/604679932.db2.gz SKEGJATZGXNMGG-LBPRGKRZSA-N -1 1 314.426 1.445 20 0 DDADMM O=C([O-])[C@H](O)C1CCN(Cc2ccc(N3CCCC3)nc2)CC1 ZINC000833770931 604763353 /nfs/dbraw/zinc/76/33/53/604763353.db2.gz RHGWQEJILBIILC-MRXNPFEDSA-N -1 1 319.405 1.339 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)[C@@H]3C[C@@H]3C(=O)[O-])[nH]c21 ZINC000833716364 604869846 /nfs/dbraw/zinc/86/98/46/604869846.db2.gz CVHGUUSQILPZSP-SFYZADRCSA-N -1 1 303.274 1.009 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)[C@@H]3C[C@H]3C(=O)[O-])[nH]c21 ZINC000833716362 604869928 /nfs/dbraw/zinc/86/99/28/604869928.db2.gz CVHGUUSQILPZSP-HTQZYQBOSA-N -1 1 303.274 1.009 20 0 DDADMM CC(C)C[C@@]1(C)CC(=O)N(CN2CCC[C@@H]2CC(=O)[O-])C1=O ZINC000826826502 604978183 /nfs/dbraw/zinc/97/81/83/604978183.db2.gz LEYXMUUUIUROFC-WBMJQRKESA-N -1 1 310.394 1.694 20 0 DDADMM O=C([O-])c1ccccc1CCC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000833764114 605057297 /nfs/dbraw/zinc/05/72/97/605057297.db2.gz XAVRKMXKKMUSTB-GFCCVEGCSA-N -1 1 313.357 1.714 20 0 DDADMM COc1cc([C@@H](C)NC2CN(C(=O)[O-])C2)cc(OC)c1OC ZINC000829086832 605098055 /nfs/dbraw/zinc/09/80/55/605098055.db2.gz JPODWSDHHORZJN-SECBINFHSA-N -1 1 310.350 1.725 20 0 DDADMM COc1cc([C@H](C)NC2CN(C(=O)[O-])C2)cc(OC)c1OC ZINC000829086915 605098285 /nfs/dbraw/zinc/09/82/85/605098285.db2.gz JPODWSDHHORZJN-VIFPVBQESA-N -1 1 310.350 1.725 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@H]1CC=CCC1 ZINC000833627365 605136976 /nfs/dbraw/zinc/13/69/76/605136976.db2.gz WXDOAKOELQGKAR-KGLIPLIRSA-N -1 1 309.410 1.533 20 0 DDADMM C[C@@H]1C[C@H](c2ccccc2)CN1CN1C[C@@H](C(=O)[O-])CC1=O ZINC000833599367 605172876 /nfs/dbraw/zinc/17/28/76/605172876.db2.gz WLZZYATWATUCCW-SNPRPXQTSA-N -1 1 302.374 1.755 20 0 DDADMM C[C@H]1CN(C(=O)c2ccnc(Cl)c2)CCN1CCC(=O)[O-] ZINC000833620627 605251560 /nfs/dbraw/zinc/25/15/60/605251560.db2.gz HGSNWIHDZBZKHZ-JTQLQIEISA-N -1 1 311.769 1.356 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCC[C@@H](NC(=O)C(C)(C)C)C2)O1 ZINC000833584276 605335839 /nfs/dbraw/zinc/33/58/39/605335839.db2.gz NHAXKPSXGFOSTL-OLZOCXBDSA-N -1 1 324.421 1.761 20 0 DDADMM CC(C)OCCCC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833503533 605367037 /nfs/dbraw/zinc/36/70/37/605367037.db2.gz FCHJZAWWJSMBMS-ZDUSSCGKSA-N -1 1 300.399 1.199 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)c1cccc2c[nH]nc21 ZINC000825838794 605458114 /nfs/dbraw/zinc/45/81/14/605458114.db2.gz SPABZGQOUREKKL-KOLCDFICSA-N -1 1 302.334 1.824 20 0 DDADMM CCOC(=O)[C@@H]1CCCN(Cc2ccc(NC(=O)[O-])nc2)C1 ZINC000827297542 605482674 /nfs/dbraw/zinc/48/26/74/605482674.db2.gz KJWBWKYGEJAPAT-GFCCVEGCSA-N -1 1 307.350 1.947 20 0 DDADMM C[C@H](Oc1ccc(CN(C)CCNC(=O)N(C)C)cc1)C(=O)[O-] ZINC000833537090 605520975 /nfs/dbraw/zinc/52/09/75/605520975.db2.gz HSECTVOYNXZZJG-LBPRGKRZSA-N -1 1 323.393 1.242 20 0 DDADMM CCN(CCC1CCN(C(=O)[O-])CC1)[C@@H](C)CS(C)(=O)=O ZINC000833857629 605598403 /nfs/dbraw/zinc/59/84/03/605598403.db2.gz PHZZBIYWXIVNOF-LBPRGKRZSA-N -1 1 320.455 1.522 20 0 DDADMM Cc1nn2c(CN3C[C@@H](CNC(=O)[O-])C[C@H]3C)c(C)nc2s1 ZINC000833922023 605685292 /nfs/dbraw/zinc/68/52/92/605685292.db2.gz XOKZCJHAESAPEQ-LDYMZIIASA-N -1 1 323.422 1.886 20 0 DDADMM O=C([O-])N1CCC2(CCN(C(=O)c3cc(C4CC4)[nH]n3)C2)CC1 ZINC000834090690 605873970 /nfs/dbraw/zinc/87/39/70/605873970.db2.gz SMCNBDHIRXIOEO-UHFFFAOYSA-N -1 1 318.377 1.893 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])CN1Cc1cc(=O)n2ccsc2n1 ZINC000833834752 605910880 /nfs/dbraw/zinc/91/08/80/605910880.db2.gz QTHLMBAVECMOLY-UWVGGRQHSA-N -1 1 322.390 1.234 20 0 DDADMM O=C([O-])NCCCCCCC(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000834241013 605966071 /nfs/dbraw/zinc/96/60/71/605966071.db2.gz PHWJQBLODZPYRZ-NSHDSACASA-N -1 1 324.385 1.124 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)cc1 ZINC000834258278 605991765 /nfs/dbraw/zinc/99/17/65/605991765.db2.gz NUOHIEAWCPJBOJ-JTQLQIEISA-N -1 1 316.321 1.309 20 0 DDADMM Cc1nc(CCCC(=O)n2ncc(-c3nn[n-]n3)c2N)cs1 ZINC000822441347 606128772 /nfs/dbraw/zinc/12/87/72/606128772.db2.gz WFZPLVWRGKWSDU-UHFFFAOYSA-N -1 1 318.366 1.073 20 0 DDADMM Cc1noc([C@@H](C)OC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000822520226 606138175 /nfs/dbraw/zinc/13/81/75/606138175.db2.gz UEEBQZQQSHOPOQ-RXMQYKEDSA-N -1 1 306.307 1.538 20 0 DDADMM Cc1noc([C@@H](C)OC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000822520226 606138173 /nfs/dbraw/zinc/13/81/73/606138173.db2.gz UEEBQZQQSHOPOQ-RXMQYKEDSA-N -1 1 306.307 1.538 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820876019 606139106 /nfs/dbraw/zinc/13/91/06/606139106.db2.gz KHAAYXWJXWABGQ-SFYZADRCSA-N -1 1 323.378 1.388 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820876019 606139107 /nfs/dbraw/zinc/13/91/07/606139107.db2.gz KHAAYXWJXWABGQ-SFYZADRCSA-N -1 1 323.378 1.388 20 0 DDADMM C[C@@H]1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)c2ccccc21 ZINC000820744693 606157062 /nfs/dbraw/zinc/15/70/62/606157062.db2.gz ZZHUNRHKZIPDPB-SECBINFHSA-N -1 1 324.348 1.756 20 0 DDADMM C[C@@H]1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)c2ccccc21 ZINC000820744693 606157064 /nfs/dbraw/zinc/15/70/64/606157064.db2.gz ZZHUNRHKZIPDPB-SECBINFHSA-N -1 1 324.348 1.756 20 0 DDADMM COc1csc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c1 ZINC000821911130 606293057 /nfs/dbraw/zinc/29/30/57/606293057.db2.gz NMNBFNMSOSAMES-UHFFFAOYSA-N -1 1 317.330 1.895 20 0 DDADMM Cc1cc(C)n(CC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])n1 ZINC000822197751 606295389 /nfs/dbraw/zinc/29/53/89/606295389.db2.gz NSDYPVUHBGKPQX-UHFFFAOYSA-N -1 1 313.321 1.024 20 0 DDADMM C[C@H]1CC[C@@H](C(N)=O)CN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820683970 606457044 /nfs/dbraw/zinc/45/70/44/606457044.db2.gz KHKFLKCVSQNBAB-JGVFFNPUSA-N -1 1 321.772 1.005 20 0 DDADMM C[C@H]1CC[C@@H](C(N)=O)CN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820683970 606457045 /nfs/dbraw/zinc/45/70/45/606457045.db2.gz KHKFLKCVSQNBAB-JGVFFNPUSA-N -1 1 321.772 1.005 20 0 DDADMM CN(C[C@@H]1CCCO1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000821596850 606464502 /nfs/dbraw/zinc/46/45/02/606464502.db2.gz WVDQDJDAWQVMSR-LURJTMIESA-N -1 1 300.775 1.592 20 0 DDADMM CN(C[C@@H]1CCCO1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000821596850 606464504 /nfs/dbraw/zinc/46/45/04/606464504.db2.gz WVDQDJDAWQVMSR-LURJTMIESA-N -1 1 300.775 1.592 20 0 DDADMM CC[C@H]1CO[C@@H](C)CN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821000842 606491574 /nfs/dbraw/zinc/49/15/74/606491574.db2.gz KCOUKNZBMVUNBN-IUCAKERBSA-N -1 1 307.379 1.568 20 0 DDADMM CC[C@H]1CO[C@@H](C)CN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821000842 606491575 /nfs/dbraw/zinc/49/15/75/606491575.db2.gz KCOUKNZBMVUNBN-IUCAKERBSA-N -1 1 307.379 1.568 20 0 DDADMM CCOc1ncccc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821437001 606508222 /nfs/dbraw/zinc/50/82/22/606508222.db2.gz IYLQRBLSABUPHP-UHFFFAOYSA-N -1 1 316.346 1.974 20 0 DDADMM CCOc1ncccc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821437001 606508224 /nfs/dbraw/zinc/50/82/24/606508224.db2.gz IYLQRBLSABUPHP-UHFFFAOYSA-N -1 1 316.346 1.974 20 0 DDADMM O=C(NCCCOCC1CC1)c1ccc(-c2nnn[n-]2)s1 ZINC000823191878 606521009 /nfs/dbraw/zinc/52/10/09/606521009.db2.gz RAXMFCKGBNSVQN-UHFFFAOYSA-N -1 1 307.379 1.475 20 0 DDADMM O=C(NCCCOCC1CC1)c1ccc(-c2nn[n-]n2)s1 ZINC000823191878 606521011 /nfs/dbraw/zinc/52/10/11/606521011.db2.gz RAXMFCKGBNSVQN-UHFFFAOYSA-N -1 1 307.379 1.475 20 0 DDADMM C[C@@]1(CNc2c3ccccc3nnc2-c2nnn[n-]2)CCCO1 ZINC000820623030 606724212 /nfs/dbraw/zinc/72/42/12/606724212.db2.gz CECAOVKUGGTCME-HNNXBMFYSA-N -1 1 311.349 1.791 20 0 DDADMM C[C@@]1(CNc2c3ccccc3nnc2-c2nn[n-]n2)CCCO1 ZINC000820623030 606724213 /nfs/dbraw/zinc/72/42/13/606724213.db2.gz CECAOVKUGGTCME-HNNXBMFYSA-N -1 1 311.349 1.791 20 0 DDADMM CCc1nc2n(n1)CCC[C@H]2Nc1cccc(-c2nnn[n-]2)n1 ZINC000821518170 606729080 /nfs/dbraw/zinc/72/90/80/606729080.db2.gz QAOFCKMECFQPEA-SNVBAGLBSA-N -1 1 311.353 1.363 20 0 DDADMM CCc1nc2n(n1)CCC[C@H]2Nc1cccc(-c2nn[n-]n2)n1 ZINC000821518170 606729082 /nfs/dbraw/zinc/72/90/82/606729082.db2.gz QAOFCKMECFQPEA-SNVBAGLBSA-N -1 1 311.353 1.363 20 0 DDADMM COCCC1(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)CCC1 ZINC000821686529 606741031 /nfs/dbraw/zinc/74/10/31/606741031.db2.gz UQNCLUSVSFVZCN-UHFFFAOYSA-N -1 1 316.365 1.198 20 0 DDADMM COCCC1(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)CCC1 ZINC000821686529 606741033 /nfs/dbraw/zinc/74/10/33/606741033.db2.gz UQNCLUSVSFVZCN-UHFFFAOYSA-N -1 1 316.365 1.198 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)c1C ZINC000822418901 606750698 /nfs/dbraw/zinc/75/06/98/606750698.db2.gz IXUGNQRHZUXTBD-UHFFFAOYSA-N -1 1 319.350 1.007 20 0 DDADMM CCC(CC)(CCO)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820887888 606824808 /nfs/dbraw/zinc/82/48/08/606824808.db2.gz WNZOQOLJIDOYBM-UHFFFAOYSA-N -1 1 323.422 1.847 20 0 DDADMM CCC(CC)(CCO)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820887888 606824810 /nfs/dbraw/zinc/82/48/10/606824810.db2.gz WNZOQOLJIDOYBM-UHFFFAOYSA-N -1 1 323.422 1.847 20 0 DDADMM CC1(C)CCCC[C@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820596417 606873827 /nfs/dbraw/zinc/87/38/27/606873827.db2.gz PLOOSRHHCTVNQU-GFCCVEGCSA-N -1 1 300.366 1.960 20 0 DDADMM CC1(C)CCCC[C@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820596417 606873828 /nfs/dbraw/zinc/87/38/28/606873828.db2.gz PLOOSRHHCTVNQU-GFCCVEGCSA-N -1 1 300.366 1.960 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC3(CCC3)C2)n1 ZINC000822608261 606956348 /nfs/dbraw/zinc/95/63/48/606956348.db2.gz BZHDGEGCCHQCAV-UHFFFAOYSA-N -1 1 302.342 1.008 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC3(CCC3)C2)n1 ZINC000822608261 606956349 /nfs/dbraw/zinc/95/63/49/606956349.db2.gz BZHDGEGCCHQCAV-UHFFFAOYSA-N -1 1 302.342 1.008 20 0 DDADMM Cc1cc(Cl)ccc1OC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000822202160 607157647 /nfs/dbraw/zinc/15/76/47/607157647.db2.gz NNQWIFTXOYQUES-UHFFFAOYSA-N -1 1 319.712 1.026 20 0 DDADMM CS(=O)(=O)c1ccc(Oc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000826224148 607894759 /nfs/dbraw/zinc/89/47/59/607894759.db2.gz QSYKIEATYIKCDA-UHFFFAOYSA-N -1 1 317.330 1.458 20 0 DDADMM CS(=O)(=O)c1ccc(Oc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000826224148 607894760 /nfs/dbraw/zinc/89/47/60/607894760.db2.gz QSYKIEATYIKCDA-UHFFFAOYSA-N -1 1 317.330 1.458 20 0 DDADMM OC[C@H](Nc1cccc(-c2nnn[n-]2)n1)c1ccc(F)cc1 ZINC000826508368 607900226 /nfs/dbraw/zinc/90/02/26/607900226.db2.gz NSOXDRMWENVSGX-LBPRGKRZSA-N -1 1 300.297 1.546 20 0 DDADMM OC[C@H](Nc1cccc(-c2nn[n-]n2)n1)c1ccc(F)cc1 ZINC000826508368 607900227 /nfs/dbraw/zinc/90/02/27/607900227.db2.gz NSOXDRMWENVSGX-LBPRGKRZSA-N -1 1 300.297 1.546 20 0 DDADMM Cc1cccc(-c2noc(Cn3ccnc3-c3nnn[n-]3)n2)c1 ZINC000826295516 608013801 /nfs/dbraw/zinc/01/38/01/608013801.db2.gz KKNYKSYQOZWFMC-UHFFFAOYSA-N -1 1 308.305 1.470 20 0 DDADMM Cc1cccc(-c2noc(Cn3ccnc3-c3nn[n-]n3)n2)c1 ZINC000826295516 608013802 /nfs/dbraw/zinc/01/38/02/608013802.db2.gz KKNYKSYQOZWFMC-UHFFFAOYSA-N -1 1 308.305 1.470 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCCC[C@H]1CCO ZINC000826472555 608054705 /nfs/dbraw/zinc/05/47/05/608054705.db2.gz OEVOPHIXQOVUKT-VIFPVBQESA-N -1 1 307.379 1.305 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCCC[C@H]1CCO ZINC000826472555 608054704 /nfs/dbraw/zinc/05/47/04/608054704.db2.gz OEVOPHIXQOVUKT-VIFPVBQESA-N -1 1 307.379 1.305 20 0 DDADMM CC[C@H]1CO[C@@H](C)CN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000825218819 608188711 /nfs/dbraw/zinc/18/87/11/608188711.db2.gz FRSUEBVDFSNUPB-BQBZGAKWSA-N -1 1 314.802 1.980 20 0 DDADMM CC[C@H]1CO[C@@H](C)CN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000825218819 608188712 /nfs/dbraw/zinc/18/87/12/608188712.db2.gz FRSUEBVDFSNUPB-BQBZGAKWSA-N -1 1 314.802 1.980 20 0 DDADMM CC[C@H]1CC[C@H](C)N1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000825195036 608265704 /nfs/dbraw/zinc/26/57/04/608265704.db2.gz UXIGLLUGLQDYDZ-IUCAKERBSA-N -1 1 304.358 1.395 20 0 DDADMM CC[C@H]1CC[C@H](C)N1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000825195036 608265706 /nfs/dbraw/zinc/26/57/06/608265706.db2.gz UXIGLLUGLQDYDZ-IUCAKERBSA-N -1 1 304.358 1.395 20 0 DDADMM FC(F)(F)COCCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826367795 608395177 /nfs/dbraw/zinc/39/51/77/608395177.db2.gz OLFRCRDSUFVJLC-UHFFFAOYSA-N -1 1 303.248 1.038 20 0 DDADMM FC(F)(F)COCCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826367795 608395179 /nfs/dbraw/zinc/39/51/79/608395179.db2.gz OLFRCRDSUFVJLC-UHFFFAOYSA-N -1 1 303.248 1.038 20 0 DDADMM CN(Cc1nc2ccccc2[nH]1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825991718 608395471 /nfs/dbraw/zinc/39/54/71/608395471.db2.gz IJGBZIGFENRXEY-UHFFFAOYSA-N -1 1 307.321 1.169 20 0 DDADMM CN(Cc1nc2ccccc2[nH]1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825991718 608395473 /nfs/dbraw/zinc/39/54/73/608395473.db2.gz IJGBZIGFENRXEY-UHFFFAOYSA-N -1 1 307.321 1.169 20 0 DDADMM C[C@](O)(CNc1ccc(-c2nnn[n-]2)nn1)c1cccs1 ZINC000824678835 608420612 /nfs/dbraw/zinc/42/06/12/608420612.db2.gz PJXROKQEVNHEOM-LBPRGKRZSA-N -1 1 303.351 1.038 20 0 DDADMM C[C@](O)(CNc1ccc(-c2nn[n-]n2)nn1)c1cccs1 ZINC000824678835 608420614 /nfs/dbraw/zinc/42/06/14/608420614.db2.gz PJXROKQEVNHEOM-LBPRGKRZSA-N -1 1 303.351 1.038 20 0 DDADMM c1ccc2oc(CCNc3ccc(-c4nnn[n-]4)nn3)nc2c1 ZINC000826524099 608427280 /nfs/dbraw/zinc/42/72/80/608427280.db2.gz JGEWSSQJAVFKJE-UHFFFAOYSA-N -1 1 308.305 1.453 20 0 DDADMM c1ccc2oc(CCNc3ccc(-c4nn[n-]n4)nn3)nc2c1 ZINC000826524099 608427282 /nfs/dbraw/zinc/42/72/82/608427282.db2.gz JGEWSSQJAVFKJE-UHFFFAOYSA-N -1 1 308.305 1.453 20 0 DDADMM C[C@@]1(c2ccccc2)CN(c2ccc(-c3nnn[n-]3)nn2)CCO1 ZINC000824844668 608432823 /nfs/dbraw/zinc/43/28/23/608432823.db2.gz ZUEQGRPQMZGSDZ-INIZCTEOSA-N -1 1 323.360 1.409 20 0 DDADMM C[C@@]1(c2ccccc2)CN(c2ccc(-c3nn[n-]n3)nn2)CCO1 ZINC000824844668 608432824 /nfs/dbraw/zinc/43/28/24/608432824.db2.gz ZUEQGRPQMZGSDZ-INIZCTEOSA-N -1 1 323.360 1.409 20 0 DDADMM CC[C@H](C)CS(=O)(=O)Oc1cccc(F)c1-c1nnn[n-]1 ZINC000825067301 608440257 /nfs/dbraw/zinc/44/02/57/608440257.db2.gz JEMUCWLLSVZFEI-QMMMGPOBSA-N -1 1 314.342 1.761 20 0 DDADMM CC[C@H](C)CS(=O)(=O)Oc1cccc(F)c1-c1nn[n-]n1 ZINC000825067301 608440258 /nfs/dbraw/zinc/44/02/58/608440258.db2.gz JEMUCWLLSVZFEI-QMMMGPOBSA-N -1 1 314.342 1.761 20 0 DDADMM CCOC(=O)CN(Cc1ccc(-c2nnn[n-]2)o1)C(C)(C)C ZINC000825518013 608540698 /nfs/dbraw/zinc/54/06/98/608540698.db2.gz RSSYQLLFOMQKKF-UHFFFAOYSA-N -1 1 307.354 1.623 20 0 DDADMM CCOC(=O)CN(Cc1ccc(-c2nn[n-]n2)o1)C(C)(C)C ZINC000825518013 608540700 /nfs/dbraw/zinc/54/07/00/608540700.db2.gz RSSYQLLFOMQKKF-UHFFFAOYSA-N -1 1 307.354 1.623 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NCCCOC[C@@H]1CCOC1 ZINC000826280406 608544458 /nfs/dbraw/zinc/54/44/58/608544458.db2.gz AOLWZBYSUZJVEM-LBPRGKRZSA-N -1 1 318.381 1.425 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(NC[C@H]2COC3(CCCCC3)O2)n1 ZINC000826524681 608586174 /nfs/dbraw/zinc/58/61/74/608586174.db2.gz FIAWTEGILIAZGA-JTQLQIEISA-N -1 1 317.353 1.144 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(NC[C@H]2COC3(CCCCC3)O2)n1 ZINC000826524681 608586176 /nfs/dbraw/zinc/58/61/76/608586176.db2.gz FIAWTEGILIAZGA-JTQLQIEISA-N -1 1 317.353 1.144 20 0 DDADMM CC(C)N(C(=O)Cn1ccnc1-c1nnn[n-]1)C1CCCC1 ZINC000824284665 608891532 /nfs/dbraw/zinc/89/15/32/608891532.db2.gz LSGKVQFIJXZOGN-UHFFFAOYSA-N -1 1 303.370 1.243 20 0 DDADMM CC(C)N(C(=O)Cn1ccnc1-c1nn[n-]n1)C1CCCC1 ZINC000824284665 608891536 /nfs/dbraw/zinc/89/15/36/608891536.db2.gz LSGKVQFIJXZOGN-UHFFFAOYSA-N -1 1 303.370 1.243 20 0 DDADMM Cc1nn(Cc2ccc3c(c2)COC3)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334952 609307782 /nfs/dbraw/zinc/30/77/82/609307782.db2.gz QRLIXZJOZHUWOH-UHFFFAOYSA-N -1 1 324.344 1.119 20 0 DDADMM CCCn1cc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])cn1 ZINC000825356741 609417291 /nfs/dbraw/zinc/41/72/91/609417291.db2.gz HHHBIJPLKQOZSK-UHFFFAOYSA-N -1 1 313.321 1.431 20 0 DDADMM Cc1nc2c(s1)[C@H](Nc1ccc(-c3nnn[n-]3)nn1)CCC2 ZINC000826327988 609565219 /nfs/dbraw/zinc/56/52/19/609565219.db2.gz BOQBOUPKLJAVDS-SECBINFHSA-N -1 1 314.378 1.911 20 0 DDADMM Cc1nc2c(s1)[C@H](Nc1ccc(-c3nn[n-]n3)nn1)CCC2 ZINC000826327988 609565220 /nfs/dbraw/zinc/56/52/20/609565220.db2.gz BOQBOUPKLJAVDS-SECBINFHSA-N -1 1 314.378 1.911 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)COC[C@H]2CCCO2)c1 ZINC000745028827 699968955 /nfs/dbraw/zinc/96/89/55/699968955.db2.gz HEOKASYJOKPXPR-OAHLLOKOSA-N -1 1 322.357 1.876 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2CCOC3(CCOCC3)C2)[n-]1 ZINC000797082496 699992854 /nfs/dbraw/zinc/99/28/54/699992854.db2.gz ANCDYCDHDNOMDA-NSHDSACASA-N -1 1 323.345 1.686 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)C2(C3CC3)CC2)C1)c1ncccc1[O-] ZINC000973198504 695444023 /nfs/dbraw/zinc/44/40/23/695444023.db2.gz XACQRMAGMMRRAZ-HAQNSBGRSA-N -1 1 315.373 1.354 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2CC3CCC2CC3)C1)c1cnn[nH]1 ZINC000974225294 695648623 /nfs/dbraw/zinc/64/86/23/695648623.db2.gz LCSCXHBGFKWSIT-WJFHSMSDSA-N -1 1 317.393 1.008 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cccc(OCC)n1)c1nn[n-]n1 ZINC000797914863 700031554 /nfs/dbraw/zinc/03/15/54/700031554.db2.gz BAAWVAQLSYNHJV-LLVKDONJSA-N -1 1 304.354 1.194 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976394285 695970565 /nfs/dbraw/zinc/97/05/65/695970565.db2.gz NIFRALYMXVZKCP-SSKLVLDBSA-N -1 1 315.373 1.020 20 0 DDADMM C[C@@H]1Oc2ccccc2O[C@@H]1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000798234258 700051705 /nfs/dbraw/zinc/05/17/05/700051705.db2.gz MLIHNQKISLFOMF-BONVTDFDSA-N -1 1 324.340 1.509 20 0 DDADMM O=C(NC[C@]1(O)CCc2ccccc21)C(=O)c1ccc([O-])cc1 ZINC000798175909 700046781 /nfs/dbraw/zinc/04/67/81/700046781.db2.gz NDYKVKKZXCOWLX-GOSISDBHSA-N -1 1 311.337 1.525 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000977129130 696056712 /nfs/dbraw/zinc/05/67/12/696056712.db2.gz RQNSTHJZXKSSFM-QHUBEEEXSA-N -1 1 315.373 1.020 20 0 DDADMM CNC(=O)c1ccc(OS(=O)(=O)c2cnc(C(C)C)[n-]2)cc1 ZINC000747243164 700061975 /nfs/dbraw/zinc/06/19/75/700061975.db2.gz QGWMIOQDKKAEFH-UHFFFAOYSA-N -1 1 323.374 1.660 20 0 DDADMM CNC(=O)c1ccc(OS(=O)(=O)c2c[n-]c(C(C)C)n2)cc1 ZINC000747243164 700061977 /nfs/dbraw/zinc/06/19/77/700061977.db2.gz QGWMIOQDKKAEFH-UHFFFAOYSA-N -1 1 323.374 1.660 20 0 DDADMM CCCS(=O)(=O)c1ccccc1C(=O)[N-]NC(=O)C(C)C ZINC000032673827 696125482 /nfs/dbraw/zinc/12/54/82/696125482.db2.gz CYQFTZYMGUUYDN-UHFFFAOYSA-N -1 1 312.391 1.287 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2n[nH]c(C3CC3)c2C)o1 ZINC000747331776 700064418 /nfs/dbraw/zinc/06/44/18/700064418.db2.gz QJECTHVTPKQQOS-UHFFFAOYSA-N -1 1 324.362 1.349 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)c1cnn(C)c1 ZINC000747404388 700068006 /nfs/dbraw/zinc/06/80/06/700068006.db2.gz DEXLIPHBVWALLV-VIFPVBQESA-N -1 1 303.366 1.839 20 0 DDADMM CC(Nc1cccc([N+](=O)[O-])c1C)=C1C(=O)[N-]C(=S)NC1=O ZINC000054500796 696276697 /nfs/dbraw/zinc/27/66/97/696276697.db2.gz JEXANITYYQMAKK-UHFFFAOYSA-N -1 1 320.330 1.120 20 0 DDADMM Cc1ccnc(NC(=O)c2cc(NS(C)(=O)=O)ccc2[O-])c1 ZINC000055128346 696282034 /nfs/dbraw/zinc/28/20/34/696282034.db2.gz KYLOXFFUFUKRDO-UHFFFAOYSA-N -1 1 321.358 1.719 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OC[C@@H]1CCCO1 ZINC000062294979 696322869 /nfs/dbraw/zinc/32/28/69/696322869.db2.gz ZKPMNXAXJLLMRN-NSHDSACASA-N -1 1 313.375 1.784 20 0 DDADMM Cc1[nH]nc(C(=O)[N-]NC(=O)c2ccc(Cl)cc2)c1[N+](=O)[O-] ZINC000064334412 696338621 /nfs/dbraw/zinc/33/86/21/696338621.db2.gz DKQRUQXBZBTOFH-UHFFFAOYSA-N -1 1 323.696 1.355 20 0 DDADMM C[C@@H](OC[C@@H]1CCCCO1)C(=O)NCc1n[n-]c(=S)n1C ZINC000066626062 696354108 /nfs/dbraw/zinc/35/41/08/696354108.db2.gz RXCYSGSHQDDGRH-ZJUUUORDSA-N -1 1 314.411 1.068 20 0 DDADMM CCn1c(CNC(=O)[C@H](C)OC[C@@H]2CCCO2)n[n-]c1=S ZINC000066635965 696354380 /nfs/dbraw/zinc/35/43/80/696354380.db2.gz PVLWZOPXHFRVKI-UWVGGRQHSA-N -1 1 314.411 1.161 20 0 DDADMM Cc1c2cccc(F)c2oc1C(=O)[N-]NC(=O)[C@@H]1COCCO1 ZINC000073985676 696409764 /nfs/dbraw/zinc/40/97/64/696409764.db2.gz BYQHIXLZJDXWJC-NSHDSACASA-N -1 1 322.292 1.057 20 0 DDADMM CCCC[C@H](COC)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000076299222 696428223 /nfs/dbraw/zinc/42/82/23/696428223.db2.gz QEBYSIOESZRPFR-SECBINFHSA-N -1 1 309.819 1.557 20 0 DDADMM Cc1cnn(CC(=O)N[N-]C(=O)c2cc(-c3ccccc3)[nH]n2)c1 ZINC000077612479 696441765 /nfs/dbraw/zinc/44/17/65/696441765.db2.gz LLAXUNKQNNVXBK-UHFFFAOYSA-N -1 1 324.344 1.043 20 0 DDADMM CNC(=O)N[N-]C(=O)c1cc(NC(=O)C(C)(C)C)ccc1F ZINC000079727725 696463228 /nfs/dbraw/zinc/46/32/28/696463228.db2.gz MCLLPXBHXGDOHD-UHFFFAOYSA-N -1 1 310.329 1.384 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000079956107 696465284 /nfs/dbraw/zinc/46/52/84/696465284.db2.gz WOZHVWITOCENIH-JQWIXIFHSA-N -1 1 305.403 1.595 20 0 DDADMM Cc1cccc2c1CC[C@@H]2NC(=O)Cc1sc(N)nc1[O-] ZINC000080064049 696529932 /nfs/dbraw/zinc/52/99/32/696529932.db2.gz AQGUVNFIEUXREF-RYUDHWBXSA-N -1 1 303.387 1.445 20 0 DDADMM Cc1ccccc1[C@H](NC(=O)Cc1sc(N)nc1[O-])C1CC1 ZINC000080235436 696531655 /nfs/dbraw/zinc/53/16/55/696531655.db2.gz SRIXZKMBCVHBOM-GXTWGEPZSA-N -1 1 317.414 1.909 20 0 DDADMM CC1(NC(=O)Cc2sc(N)nc2[O-])Cc2ccccc2C1 ZINC000092613672 696595921 /nfs/dbraw/zinc/59/59/21/696595921.db2.gz JBMFTHRZZODIIN-LLVKDONJSA-N -1 1 303.387 1.007 20 0 DDADMM CCCC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C1 ZINC000979603785 696608552 /nfs/dbraw/zinc/60/85/52/696608552.db2.gz IYDMPLWLWPOOEB-AVGNSLFASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979671312 696623509 /nfs/dbraw/zinc/62/35/09/696623509.db2.gz IFRROJBYWUEHEP-FVCCEPFGSA-N -1 1 317.389 1.458 20 0 DDADMM O=C(NCCCOCC1CC1)c1nnc2ccccc2c1O ZINC000109936332 696638573 /nfs/dbraw/zinc/63/85/73/696638573.db2.gz NOLWGJQWWFIKRU-UHFFFAOYSA-N -1 1 301.346 1.470 20 0 DDADMM O=C(NCCOc1cccnc1)c1nc2ccccc2c(=O)[n-]1 ZINC000114512674 696661030 /nfs/dbraw/zinc/66/10/30/696661030.db2.gz QMJXHAZJZCPGMN-UHFFFAOYSA-N -1 1 310.313 1.127 20 0 DDADMM CC(C)COC(=O)[C@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000120099619 696690100 /nfs/dbraw/zinc/69/01/00/696690100.db2.gz KCIPVWGRZBGYMG-VIFPVBQESA-N -1 1 321.345 1.831 20 0 DDADMM CCCc1n[n-]c(=S)n1CC(=O)NCCCCn1ccnc1 ZINC000145957672 696872655 /nfs/dbraw/zinc/87/26/55/696872655.db2.gz FLPMUNOVSDRQCM-UHFFFAOYSA-N -1 1 322.438 1.686 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC[C@H](SC)C2)co1 ZINC000153871761 696923183 /nfs/dbraw/zinc/92/31/83/696923183.db2.gz AAUZLOCWMBXZDJ-ZJUUUORDSA-N -1 1 318.420 1.202 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(Cc2nccs2)CC1 ZINC000981026884 696983547 /nfs/dbraw/zinc/98/35/47/696983547.db2.gz PBXNCHCXOGOWQO-UHFFFAOYSA-N -1 1 318.402 1.592 20 0 DDADMM CC1(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)CCC1 ZINC000983085036 697209077 /nfs/dbraw/zinc/20/90/77/697209077.db2.gz DGVDWUHGJOHPAV-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983144995 697218258 /nfs/dbraw/zinc/21/82/58/697218258.db2.gz ZTPQGGCUITUIMU-AAEUAGOBSA-N -1 1 323.368 1.360 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)Cc2ccccc2)co1 ZINC000166034836 697340889 /nfs/dbraw/zinc/34/08/89/697340889.db2.gz ZNSWPVKASXJOIR-NSHDSACASA-N -1 1 323.370 1.976 20 0 DDADMM C=CC(=O)NCCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000182034729 697469746 /nfs/dbraw/zinc/46/97/46/697469746.db2.gz AUIJDYOWIFEWPJ-UHFFFAOYSA-N -1 1 302.252 1.443 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(C(=O)C3CC3)C[C@H]2C1 ZINC000985994766 697624892 /nfs/dbraw/zinc/62/48/92/697624892.db2.gz NLPRVVDELOVKMQ-OLZOCXBDSA-N -1 1 315.373 1.118 20 0 DDADMM CN1CC[C@@H](C(=O)OCc2cc(=O)oc3cc([O-])ccc23)C1=O ZINC000772530205 697645553 /nfs/dbraw/zinc/64/55/53/697645553.db2.gz IUAIANBXIFXTPB-GFCCVEGCSA-N -1 1 317.297 1.020 20 0 DDADMM CCOc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1 ZINC000773152869 697719144 /nfs/dbraw/zinc/71/91/44/697719144.db2.gz NVAZXSONNCCPTR-GFCCVEGCSA-N -1 1 319.390 1.529 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1F ZINC000773148398 697719285 /nfs/dbraw/zinc/71/92/85/697719285.db2.gz DMSXCJBJUHTTCT-LLVKDONJSA-N -1 1 307.354 1.578 20 0 DDADMM CCCc1ncc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)s1 ZINC000773165732 697723370 /nfs/dbraw/zinc/72/33/70/697723370.db2.gz ZZINGTOMBIQDFL-QMMMGPOBSA-N -1 1 324.435 1.539 20 0 DDADMM O=C(Nc1ccc2n[nH]nc2c1)c1ccc2n[n-]c(=S)n2c1 ZINC000774427723 697878073 /nfs/dbraw/zinc/87/80/73/697878073.db2.gz BJPDOCAUYQQJBN-UHFFFAOYSA-N -1 1 311.330 1.542 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@@H]1CCCN1Cc1ccccn1 ZINC000775245679 697975668 /nfs/dbraw/zinc/97/56/68/697975668.db2.gz UURZXARBRFOGAU-HNNXBMFYSA-N -1 1 305.378 1.273 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)/C=C\C1CC1 ZINC000987670664 698192098 /nfs/dbraw/zinc/19/20/98/698192098.db2.gz HISCMFRDMSUEOY-YXZYKRAASA-N -1 1 315.373 1.473 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1CC1 ZINC000987673855 698193542 /nfs/dbraw/zinc/19/35/42/698193542.db2.gz XRFOCGWGAKNGRS-PWSUYJOCSA-N -1 1 303.362 1.307 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H]2CCCC(=O)N2)c1 ZINC000800244481 700208577 /nfs/dbraw/zinc/20/85/77/700208577.db2.gz FAUNNQXGMBZCMA-CYBMUJFWSA-N -1 1 305.330 1.349 20 0 DDADMM Cc1cscc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000988511815 698394215 /nfs/dbraw/zinc/39/42/15/698394215.db2.gz JWQRPACPPHWHJD-VHSXEESVSA-N -1 1 321.406 1.273 20 0 DDADMM C[C@H]1C[C@H](NCc2cnsn2)CN1C(=O)c1ncccc1[O-] ZINC000988684267 698436381 /nfs/dbraw/zinc/43/63/81/698436381.db2.gz LASCEUNGKXSMKO-UWVGGRQHSA-N -1 1 319.390 1.032 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1cccc(Cl)c1F)C(N)=O ZINC000750614991 700235294 /nfs/dbraw/zinc/23/52/94/700235294.db2.gz CHTLJFZJJIZIEZ-NSHDSACASA-N -1 1 308.762 1.411 20 0 DDADMM O=C(C[C@H]1C=CCC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000990189432 698988589 /nfs/dbraw/zinc/98/85/89/698988589.db2.gz NNABPMGDGVUTIV-NSHDSACASA-N -1 1 301.346 1.084 20 0 DDADMM O=C([N-]CCOCCOC(=O)C12CCC(CC1)C2)C(F)(F)F ZINC000784924063 699047867 /nfs/dbraw/zinc/04/78/67/699047867.db2.gz DCUJIROQUKJBHV-UHFFFAOYSA-N -1 1 323.311 1.805 20 0 DDADMM Cc1oc(C)c(S(=O)(=O)Nc2cnc(C)nc2)c1C(=O)[O-] ZINC000384207123 699071192 /nfs/dbraw/zinc/07/11/92/699071192.db2.gz NOJKSRKDAXJOQU-UHFFFAOYSA-N -1 1 311.319 1.494 20 0 DDADMM CO[C@@H]([C@@H](C)[N-]S(=O)(=O)c1ncn(C)c1Cl)C1CC1 ZINC000388217646 699084220 /nfs/dbraw/zinc/08/42/20/699084220.db2.gz HBLMWYIPJLXTKN-APPZFPTMSA-N -1 1 307.803 1.165 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cnc(Cl)c(F)c2)on1 ZINC000389061454 699087401 /nfs/dbraw/zinc/08/74/01/699087401.db2.gz JBAOIRIQAMQDFQ-UHFFFAOYSA-N -1 1 305.718 1.649 20 0 DDADMM CCC1([N-]S(=O)(=O)c2ncn(C)c2Cl)CCOCC1 ZINC000389367823 699088900 /nfs/dbraw/zinc/08/89/00/699088900.db2.gz YRAHVOZMCCBHPV-UHFFFAOYSA-N -1 1 307.803 1.311 20 0 DDADMM C[C@H](NS(=O)(=O)c1cc(C(=O)[O-])ccc1F)C(F)(F)F ZINC000389362248 699088952 /nfs/dbraw/zinc/08/89/52/699088952.db2.gz SSFMVKJORHPACN-YFKPBYRVSA-N -1 1 315.244 1.753 20 0 DDADMM Cn1ncc(CNC(=O)c2ccc(Br)cc2[O-])n1 ZINC000389963423 699091893 /nfs/dbraw/zinc/09/18/93/699091893.db2.gz WNKFNMAXYCCXFH-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM Cc1cc(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)no1 ZINC000785960843 699113508 /nfs/dbraw/zinc/11/35/08/699113508.db2.gz KLSQVLMBTAKNRB-UHFFFAOYSA-N -1 1 302.286 1.025 20 0 DDADMM C[C@]1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC=CCC1 ZINC000990971130 699195969 /nfs/dbraw/zinc/19/59/69/699195969.db2.gz GXWCLXZSFHJRDT-KRWDZBQOSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@@H]1CC[C@H](CC(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990973962 699196715 /nfs/dbraw/zinc/19/67/15/699196715.db2.gz FGLONFPACIUFOY-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC1CN(C(=O)c2ccc(F)s2)C1)c1ncccc1[O-] ZINC000990974738 699197180 /nfs/dbraw/zinc/19/71/80/699197180.db2.gz KMDPSEXMWYLWGC-UHFFFAOYSA-N -1 1 321.333 1.242 20 0 DDADMM C[C@H]1CSC[C@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703133454 699235193 /nfs/dbraw/zinc/23/51/93/699235193.db2.gz YEQDGAJDKZUOAV-NKWVEPMBSA-N -1 1 310.803 1.904 20 0 DDADMM O=C(NCCOC(F)(F)C(F)(F)F)c1ncccc1[O-] ZINC000720337167 699304813 /nfs/dbraw/zinc/30/48/13/699304813.db2.gz AQBFLCVOOSLYKP-UHFFFAOYSA-N -1 1 300.183 1.689 20 0 DDADMM Cc1ccc(O)c(C[NH2+]Cc2cn(C)nc2Br)n1 ZINC000720648767 699306955 /nfs/dbraw/zinc/30/69/55/699306955.db2.gz SPSDUBRPPGSKBC-UHFFFAOYSA-N -1 1 311.183 1.881 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C[C@@H]1CC[C@@H](C(F)(F)F)O1 ZINC000721469638 699314235 /nfs/dbraw/zinc/31/42/35/699314235.db2.gz WNLLEEKCUKMPSA-UTLUCORTSA-N -1 1 313.341 1.988 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cccc2ncccc21 ZINC000726866112 699386183 /nfs/dbraw/zinc/38/61/83/699386183.db2.gz MXTVRBASIFGQDV-UHFFFAOYSA-N -1 1 310.313 1.114 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1ccc2ccccc2c1 ZINC000727849792 699428112 /nfs/dbraw/zinc/42/81/12/699428112.db2.gz MVLNBNCYUHYVKB-UHFFFAOYSA-N -1 1 323.352 1.396 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1cccn2c(=O)[nH]nc12 ZINC000790047542 699437410 /nfs/dbraw/zinc/43/74/10/699437410.db2.gz KTKAURICUWYTKM-UHFFFAOYSA-N -1 1 305.681 1.441 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccnc1SC(F)(F)F ZINC000731872996 699539320 /nfs/dbraw/zinc/53/93/20/699539320.db2.gz KBYIAFVBRHRQRJ-UHFFFAOYSA-N -1 1 304.257 1.137 20 0 DDADMM CCOc1ccc(OS(=O)(=O)c2c[n-]nc2C)c(C=O)c1 ZINC000732216329 699550730 /nfs/dbraw/zinc/55/07/30/699550730.db2.gz UKHQLQYNCRCUBP-UHFFFAOYSA-N -1 1 310.331 1.697 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cc(Cl)ccc1C(N)=O ZINC000732218696 699550954 /nfs/dbraw/zinc/55/09/54/699550954.db2.gz KQUOYSUOXNSFMI-UHFFFAOYSA-N -1 1 315.738 1.238 20 0 DDADMM CC(C)(C)[C@H](O)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000732719300 699567839 /nfs/dbraw/zinc/56/78/39/699567839.db2.gz XMQLXXVGYJMMRC-CQSZACIVSA-N -1 1 306.314 1.949 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@H](N2CCCCC2=O)C1 ZINC000732835719 699572740 /nfs/dbraw/zinc/57/27/40/699572740.db2.gz VGYMUTWSNRWDMC-ZDUSSCGKSA-N -1 1 303.362 1.404 20 0 DDADMM CC(C)N(Cc1cccs1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733140193 699580500 /nfs/dbraw/zinc/58/05/00/699580500.db2.gz JWSHUGJUUYERBT-UHFFFAOYSA-N -1 1 321.402 1.425 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCc1cccnc1 ZINC000733453131 699596768 /nfs/dbraw/zinc/59/67/68/699596768.db2.gz PIDABSAOVHYLOE-UHFFFAOYSA-N -1 1 312.372 1.872 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@@H]3C(C)(C)O)ccnc1-2 ZINC000791088203 699610951 /nfs/dbraw/zinc/61/09/51/699610951.db2.gz GUYKGRFFYAWYBB-GFCCVEGCSA-N -1 1 303.366 1.109 20 0 DDADMM Cc1cccc(C)c1OCC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791169229 699613972 /nfs/dbraw/zinc/61/39/72/699613972.db2.gz VBZFHWMLXMGWFL-UHFFFAOYSA-N -1 1 310.357 1.976 20 0 DDADMM CCOC(=O)[C@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CCO1 ZINC000741292942 699828857 /nfs/dbraw/zinc/82/88/57/699828857.db2.gz QDQHAWDEBLQKCA-GFCCVEGCSA-N -1 1 313.737 1.450 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCC[C@@H](C)O ZINC000795491413 699871664 /nfs/dbraw/zinc/87/16/64/699871664.db2.gz PFEWIDRGVVQRPC-SECBINFHSA-N -1 1 307.350 1.298 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CC[C@@H](SC)C2)o1 ZINC000742329431 699874026 /nfs/dbraw/zinc/87/40/26/699874026.db2.gz OWBMXJPAEJSTMN-RKDXNWHRSA-N -1 1 319.404 1.629 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCCS(=O)(=O)C(C)C)[n-]1 ZINC000796352286 699928805 /nfs/dbraw/zinc/92/88/05/699928805.db2.gz VAEZSMQADPHQMT-UHFFFAOYSA-N -1 1 317.363 1.171 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1CSCCS1 ZINC000751063539 700267719 /nfs/dbraw/zinc/26/77/19/700267719.db2.gz NKGCVGZERNZSGT-MNOVXSKESA-N -1 1 321.431 1.254 20 0 DDADMM O=C(OCCN1CCOC1=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801202484 700277377 /nfs/dbraw/zinc/27/73/77/700277377.db2.gz DQPSFMMVVZDPBH-UHFFFAOYSA-N -1 1 317.301 1.187 20 0 DDADMM CCNC(=O)COC(=O)c1nn(-c2ccc(Cl)cc2)cc1[O-] ZINC000801375114 700301892 /nfs/dbraw/zinc/30/18/92/700301892.db2.gz XQXCIEKPPGMWHG-UHFFFAOYSA-N -1 1 323.736 1.524 20 0 DDADMM CCOC(=O)COC(=O)c1nn(-c2ccc(F)cc2C)cc1[O-] ZINC000801428171 700308161 /nfs/dbraw/zinc/30/81/61/700308161.db2.gz UCMQRRJOMKURSS-UHFFFAOYSA-N -1 1 322.292 1.745 20 0 DDADMM CC(C)(C)C[C@H](O)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000751766064 700314136 /nfs/dbraw/zinc/31/41/36/700314136.db2.gz RKVUFUMCOGMMEM-LBPRGKRZSA-N -1 1 307.346 1.283 20 0 DDADMM Cc1cccn2cc(CC(=O)N=c3ccnc4n(C)[n-]cc3-4)nc12 ZINC000801700132 700331946 /nfs/dbraw/zinc/33/19/46/700331946.db2.gz IMMCCHDPGUCYMF-UHFFFAOYSA-N -1 1 320.356 1.479 20 0 DDADMM O=C(CCOCC(F)F)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000802487879 700406031 /nfs/dbraw/zinc/40/60/31/700406031.db2.gz AQMSXMGUWCUYLO-LBPRGKRZSA-N -1 1 311.292 1.077 20 0 DDADMM Cn1[n-]c(CN2CCC([C@@H](O)c3ccccc3)CC2)nc1=O ZINC000753999959 700475281 /nfs/dbraw/zinc/47/52/81/700475281.db2.gz SSZJSEOSHOGPTN-HNNXBMFYSA-N -1 1 302.378 1.054 20 0 DDADMM CC[C@@H](C)C(=O)COC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000756405424 700619881 /nfs/dbraw/zinc/61/98/81/700619881.db2.gz QNSQSKTZAKHQEH-SECBINFHSA-N -1 1 319.361 1.753 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCCC(C)=O)o1 ZINC000762856554 700911160 /nfs/dbraw/zinc/91/11/60/700911160.db2.gz RDVYLZYMUFKDDC-UHFFFAOYSA-N -1 1 303.336 1.104 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H]1CCC(F)(F)C1 ZINC000763376603 700935932 /nfs/dbraw/zinc/93/59/32/700935932.db2.gz ZGEKTUQFAZWALT-SSDOTTSWSA-N -1 1 313.757 1.848 20 0 DDADMM CCOC(=O)[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000809689942 701680641 /nfs/dbraw/zinc/68/06/41/701680641.db2.gz FJEWBFXQSPCQFH-SNVBAGLBSA-N -1 1 315.272 1.075 20 0 DDADMM CCOc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1OCC ZINC000765396152 701009720 /nfs/dbraw/zinc/00/97/20/701009720.db2.gz NRQNWIKNEQBNEL-UHFFFAOYSA-N -1 1 321.333 1.263 20 0 DDADMM CCc1ccc(C(C)(C)C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765493703 701015887 /nfs/dbraw/zinc/01/58/87/701015887.db2.gz XWZUJVJTKDUZOY-UHFFFAOYSA-N -1 1 303.362 1.692 20 0 DDADMM CCN(CC)C(=O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000766477431 701053039 /nfs/dbraw/zinc/05/30/39/701053039.db2.gz JSHIWQWCFWBTEV-UHFFFAOYSA-N -1 1 322.789 1.626 20 0 DDADMM Cn1nccc1C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000767085194 701082127 /nfs/dbraw/zinc/08/21/27/701082127.db2.gz VUKMOFLCTKJODA-UHFFFAOYSA-N -1 1 321.189 1.600 20 0 DDADMM CN(C)C(=O)c1cc(F)ccc1NC(=O)c1cncc([O-])c1 ZINC000803112471 701083057 /nfs/dbraw/zinc/08/30/57/701083057.db2.gz FIEGDWXCAVTSRG-UHFFFAOYSA-N -1 1 303.293 1.880 20 0 DDADMM O=C(C[C@H]1COC(=O)C1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000767600100 701120810 /nfs/dbraw/zinc/12/08/10/701120810.db2.gz MVKFGTPPDBOLTK-VIFPVBQESA-N -1 1 318.281 1.495 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCC1(O)CCOCC1 ZINC000804330624 701178820 /nfs/dbraw/zinc/17/88/20/701178820.db2.gz ALZBRUFLBQQQDR-UHFFFAOYSA-N -1 1 323.393 1.275 20 0 DDADMM C[C@@]1(NC(=O)c2c([O-])cccc2Cl)CCS(=O)(=O)C1 ZINC000769649604 701251170 /nfs/dbraw/zinc/25/11/70/701251170.db2.gz ZAVWCWBDKNBUOU-GFCCVEGCSA-N -1 1 303.767 1.353 20 0 DDADMM O=C(Nc1cccc(-c2nccs2)c1)NN1CC(=O)[N-]C1=O ZINC000770259110 701272994 /nfs/dbraw/zinc/27/29/94/701272994.db2.gz YGXDJFFCQCFWPT-UHFFFAOYSA-N -1 1 317.330 1.398 20 0 DDADMM CC1(C)C(=O)Nc2ccc(C(=O)COC(=O)c3cn[n-]n3)cc21 ZINC000805605302 701398477 /nfs/dbraw/zinc/39/84/77/701398477.db2.gz JZDJCWQDIZFQCN-UHFFFAOYSA-N -1 1 314.301 1.074 20 0 DDADMM C[C@H]1CCc2ccccc2N1C(=O)COC(=O)c1cn[n-]n1 ZINC000805606451 701398989 /nfs/dbraw/zinc/39/89/89/701398989.db2.gz XLZLUANAVINNFF-JTQLQIEISA-N -1 1 300.318 1.329 20 0 DDADMM Cc1ccccc1C[C@@H](C)NC(=O)COC(=O)c1cn[n-]n1 ZINC000805608173 701399542 /nfs/dbraw/zinc/39/95/42/701399542.db2.gz ZONYDKGHERGYNS-LLVKDONJSA-N -1 1 302.334 1.017 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)c1ccccc1Br ZINC000805609772 701399948 /nfs/dbraw/zinc/39/99/48/701399948.db2.gz ULNBTJOCLNPJAU-ZETCQYMHSA-N -1 1 324.134 1.995 20 0 DDADMM COc1ccc(-c2noc(COC(=O)c3cn[n-]n3)n2)cc1 ZINC000805609273 701399955 /nfs/dbraw/zinc/39/99/55/701399955.db2.gz PTHJXZJGPSVNPB-UHFFFAOYSA-N -1 1 301.262 1.220 20 0 DDADMM O=C(c1conc1C(F)(F)F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000830905891 706600270 /nfs/dbraw/zinc/60/02/70/706600270.db2.gz GTNXILDTZCVRQF-ZCFIWIBFSA-N -1 1 316.243 1.226 20 0 DDADMM CCc1cnc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)o1 ZINC000806483126 701436985 /nfs/dbraw/zinc/43/69/85/701436985.db2.gz WOVYNCBXNLVKIB-UHFFFAOYSA-N -1 1 300.322 1.214 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2occ3c2CCOC3)s1 ZINC000807243154 701470983 /nfs/dbraw/zinc/47/09/83/701470983.db2.gz NZRJPPLDSDHTTC-UHFFFAOYSA-N -1 1 323.330 1.633 20 0 DDADMM C[C@@H]1CCC(=CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000830959312 706611338 /nfs/dbraw/zinc/61/13/38/706611338.db2.gz MRUHXJURTBPBHF-UEUZTHOGSA-N -1 1 303.362 1.618 20 0 DDADMM CC1(C)CC[C@@H](O)[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000866075856 706611819 /nfs/dbraw/zinc/61/18/19/706611819.db2.gz YFLSDKMMSYXDQX-CHWSQXEVSA-N -1 1 315.373 1.593 20 0 DDADMM C[C@H](O)[C@@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)CCO1 ZINC000839671531 701776912 /nfs/dbraw/zinc/77/69/12/701776912.db2.gz LPQNSFGUKXORTB-BONVTDFDSA-N -1 1 305.330 1.080 20 0 DDADMM CCc1ncc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)s1 ZINC000830966399 706612627 /nfs/dbraw/zinc/61/26/27/706612627.db2.gz VQSDSUZIBISHDX-UHFFFAOYSA-N -1 1 320.374 1.204 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)c2ccnn2C)sc1C ZINC000867855570 701795763 /nfs/dbraw/zinc/79/57/63/701795763.db2.gz KRSNZDSWGIAATK-MRVPVSSYSA-N -1 1 300.409 1.533 20 0 DDADMM CC(C)(C)N1CC[C@@](F)(C(=O)[N-]S(=O)(=O)C2(C)CC2)C1 ZINC000810878305 701867562 /nfs/dbraw/zinc/86/75/62/701867562.db2.gz IDXQKEDLNCYISZ-ZDUSSCGKSA-N -1 1 306.403 1.197 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCCCCF)o1 ZINC000810908023 701873119 /nfs/dbraw/zinc/87/31/19/701873119.db2.gz FTNUOEKSCBNIGP-UHFFFAOYSA-N -1 1 307.343 1.874 20 0 DDADMM Cc1cncc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000811856875 702072503 /nfs/dbraw/zinc/07/25/03/702072503.db2.gz VQRHNDAKDXONPS-JTQLQIEISA-N -1 1 302.300 1.680 20 0 DDADMM O=C(/C=C/C1CCCC1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831120746 706638428 /nfs/dbraw/zinc/63/84/28/706638428.db2.gz DIDHNEZASKZFLG-AATRIKPKSA-N -1 1 304.312 1.870 20 0 DDADMM O=C([N-]CC1CN(C(=O)[C@H]2C[C@H]2C2CCCC2)C1)C(F)(F)F ZINC000831120622 706638459 /nfs/dbraw/zinc/63/84/59/706638459.db2.gz BQYQLYMATSFPPW-RYUDHWBXSA-N -1 1 318.339 1.950 20 0 DDADMM O=C([N-]CC1CN(C(=O)c2occ3c2CCC3)C1)C(F)(F)F ZINC000831124662 706639112 /nfs/dbraw/zinc/63/91/12/706639112.db2.gz ANMRYEFFHWCNIO-UHFFFAOYSA-N -1 1 316.279 1.519 20 0 DDADMM CC1=C(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)SCCO1 ZINC000831125271 706639368 /nfs/dbraw/zinc/63/93/68/706639368.db2.gz JITPKAMCJHAVLO-UHFFFAOYSA-N -1 1 324.324 1.118 20 0 DDADMM O=C(Cc1ccc(F)cn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000816498464 702097415 /nfs/dbraw/zinc/09/74/15/702097415.db2.gz WCGPNYFLSJAWMO-VIFPVBQESA-N -1 1 306.297 1.258 20 0 DDADMM CC[C@H]1C[C@@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)CCO1 ZINC000831135384 706641700 /nfs/dbraw/zinc/64/17/00/706641700.db2.gz WWCPUFMLKYHWFF-QWRGUYRKSA-N -1 1 322.327 1.329 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831148919 706644281 /nfs/dbraw/zinc/64/42/81/706644281.db2.gz CFDFVZCYFCBIGW-VIFPVBQESA-N -1 1 310.316 1.184 20 0 DDADMM O=C(COC1CCCCC1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831153910 706645391 /nfs/dbraw/zinc/64/53/91/706645391.db2.gz FPMBAAPLWBKGKM-UHFFFAOYSA-N -1 1 322.327 1.473 20 0 DDADMM CC[C@](C)(CO)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000840580318 702161331 /nfs/dbraw/zinc/16/13/31/702161331.db2.gz HHEZCUYBXVSVHC-MRXNPFEDSA-N -1 1 306.314 1.950 20 0 DDADMM C[C@H](C(=O)[N-]OC/C=C\Cl)c1ccc(S(C)(=O)=O)cc1 ZINC000812409809 702177796 /nfs/dbraw/zinc/17/77/96/702177796.db2.gz YUWKCRUIZKFYDZ-GJQXAKHRSA-N -1 1 317.794 1.994 20 0 DDADMM CON(C[C@@H]1CCC[C@H](C)C1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000812425767 702180140 /nfs/dbraw/zinc/18/01/40/702180140.db2.gz SONPQFPWFPPBGA-QWHCGFSZSA-N -1 1 323.393 1.143 20 0 DDADMM CS(=O)(=O)c1cc(C(=O)[N-]c2nncs2)c(Cl)cn1 ZINC000816840619 702201830 /nfs/dbraw/zinc/20/18/30/702201830.db2.gz VSEANIIIQVOFAU-UHFFFAOYSA-N -1 1 318.767 1.242 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000812801285 702241747 /nfs/dbraw/zinc/24/17/47/702241747.db2.gz GPDRLACVKWRBQC-HNNXBMFYSA-N -1 1 320.389 1.508 20 0 DDADMM CC[C@H](C)CO[N-]C(=O)[C@@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000816977275 702252853 /nfs/dbraw/zinc/25/28/53/702252853.db2.gz WEMCVNLVKKWCPW-WDEREUQCSA-N -1 1 318.377 1.775 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2C=CCC2)C1 ZINC000868767936 702310706 /nfs/dbraw/zinc/31/07/06/702310706.db2.gz KKDBKNPKZMXAMG-SKDRFNHKSA-N -1 1 305.300 1.558 20 0 DDADMM CCc1nc(C)cc(N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000866294129 706667026 /nfs/dbraw/zinc/66/70/26/706667026.db2.gz LTONWOLIRFCTTI-UHFFFAOYSA-N -1 1 302.300 1.462 20 0 DDADMM O=C(N[C@@H]1CCc2nnnn2CC1)c1c([O-])cccc1Cl ZINC000817703580 702456094 /nfs/dbraw/zinc/45/60/94/702456094.db2.gz UMBPNKZIFOYQSZ-MRVPVSSYSA-N -1 1 307.741 1.167 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCC2CC(F)(F)C2)c1Cl ZINC000841528585 702481976 /nfs/dbraw/zinc/48/19/76/702481976.db2.gz XTIVQXALWCIXAN-UHFFFAOYSA-N -1 1 313.757 1.787 20 0 DDADMM O=C1OCC[C@H]1N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000879446901 706681805 /nfs/dbraw/zinc/68/18/05/706681805.db2.gz VMBRKYWZBNVTPF-CYBMUJFWSA-N -1 1 310.781 1.479 20 0 DDADMM C[C@H]1CC[C@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869467083 702616237 /nfs/dbraw/zinc/61/62/37/702616237.db2.gz HBIWWTHAWXUDOZ-IUCAKERBSA-N -1 1 309.284 1.604 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000869550091 702643085 /nfs/dbraw/zinc/64/30/85/702643085.db2.gz MXOUJRHOUCIYIV-JMJZKYOTSA-N -1 1 321.295 1.604 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(c2ccc(F)cc2)CC1 ZINC000866429670 706697101 /nfs/dbraw/zinc/69/71/01/706697101.db2.gz OREWOQPXLQBCON-UHFFFAOYSA-N -1 1 303.341 1.678 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@]2(C)C[C@H]3C[C@H]3C2)CCC1 ZINC000843014633 702803331 /nfs/dbraw/zinc/80/33/31/702803331.db2.gz PNXYRQSUYPDZBW-DABQJJPHSA-N -1 1 314.451 1.353 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CC2(C)CCCC2)CCC1 ZINC000843013700 702803484 /nfs/dbraw/zinc/80/34/84/702803484.db2.gz JLCVOOBEXCWWEN-UHFFFAOYSA-N -1 1 316.467 1.887 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C[C@@H]2CC[C@H]3C[C@H]32)CCC1 ZINC000843014491 702803533 /nfs/dbraw/zinc/80/35/33/702803533.db2.gz MFPWECUGOVKATI-RWMBFGLXSA-N -1 1 314.451 1.353 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2Cc3ccccc32)CCC1 ZINC000843018891 702804392 /nfs/dbraw/zinc/80/43/92/702804392.db2.gz WTFTVEOTESYSAC-AWEZNQCLSA-N -1 1 322.430 1.257 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1cccc(F)c1 ZINC000866479645 706709403 /nfs/dbraw/zinc/70/94/03/706709403.db2.gz WXAZXBCCXAPCLF-UHFFFAOYSA-N -1 1 308.400 1.668 20 0 DDADMM CCCO[N-]C(=O)[C@H](C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000844085852 702969809 /nfs/dbraw/zinc/96/98/09/702969809.db2.gz TXJVGFAXPHBEMG-LLVKDONJSA-N -1 1 314.407 1.498 20 0 DDADMM C[C@@H]1C[C@H]1C[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000866494744 706713325 /nfs/dbraw/zinc/71/33/25/706713325.db2.gz RVPLFLVIKCDULE-DDBGAENHSA-N -1 1 302.421 1.634 20 0 DDADMM O=[S@@]1C[C@@H]2C[C@H]1CN2Cn1[n-]c(-c2ccccn2)nc1=S ZINC000844745629 703067962 /nfs/dbraw/zinc/06/79/62/703067962.db2.gz BXBLNAXFKMSIRV-SWHJWPDWSA-N -1 1 321.431 1.165 20 0 DDADMM O=C(C=Cc1cncc(O)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847081771 703375220 /nfs/dbraw/zinc/37/52/20/703375220.db2.gz VKFFHGHYCIJNGU-BYCRGOAPSA-N -1 1 316.317 1.295 20 0 DDADMM O=C(c1cnc(Cl)c(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000847603820 703442347 /nfs/dbraw/zinc/44/23/47/703442347.db2.gz FLQYROYZGOPDTH-SSDOTTSWSA-N -1 1 310.720 1.407 20 0 DDADMM CC(=O)c1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)ccc1C ZINC000848319618 703538863 /nfs/dbraw/zinc/53/88/63/703538863.db2.gz YYNNNRXXRBXQPA-UHFFFAOYSA-N -1 1 315.329 1.076 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)Cc2cc(F)ccc2Cl)C(=O)O1 ZINC000849393667 703642790 /nfs/dbraw/zinc/64/27/90/703642790.db2.gz BXWUZLPJPPVGJI-WRWORJQWSA-N -1 1 321.757 1.603 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(OC)cc1F ZINC000866955482 706855200 /nfs/dbraw/zinc/85/52/00/706855200.db2.gz VCAYQPBAKQXGCP-LJQANCHMSA-N -1 1 324.399 1.286 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]C[C@]12OCCC[C@H]1C2(F)F ZINC000849459189 703650676 /nfs/dbraw/zinc/65/06/76/703650676.db2.gz YMFLXYGOXRLXEQ-KOLCDFICSA-N -1 1 322.333 1.384 20 0 DDADMM CCCC[C@@](C)(F)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000851104676 703780629 /nfs/dbraw/zinc/78/06/29/703780629.db2.gz MAIDZRYDFGEWEL-CYBMUJFWSA-N -1 1 322.446 1.835 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCc2cccnc2)cc1C ZINC000851754640 703845327 /nfs/dbraw/zinc/84/53/27/703845327.db2.gz HCOAPQDBIMGINQ-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](C)CCSC)cc1C ZINC000851765805 703848811 /nfs/dbraw/zinc/84/88/11/703848811.db2.gz YQVLOVIVYVOJIO-VIFPVBQESA-N -1 1 321.420 1.795 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCc2ccncc2)cc1C ZINC000851772831 703851949 /nfs/dbraw/zinc/85/19/49/703851949.db2.gz FLNAPKWAHJVWQC-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CC[C@H](C)C2)cc1C ZINC000851786269 703855180 /nfs/dbraw/zinc/85/51/80/703855180.db2.gz SZITXAXCXRGFDF-WCBMZHEXSA-N -1 1 301.364 1.842 20 0 DDADMM C[C@@H]1C[C@H]1NC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852050754 703912566 /nfs/dbraw/zinc/91/25/66/703912566.db2.gz GCZTVRANJOKUIJ-KYXWUPHJSA-N -1 1 307.316 1.493 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1ccccn1 ZINC000866735236 706780189 /nfs/dbraw/zinc/78/01/89/706780189.db2.gz SGPVFOLQWFXRKV-LLVKDONJSA-N -1 1 305.425 1.485 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC2(CCNC2=O)CC1 ZINC000831804809 706784132 /nfs/dbraw/zinc/78/41/32/706784132.db2.gz LIQFXNNHZGEGSM-UHFFFAOYSA-N -1 1 308.765 1.788 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cc(C)nc(Cl)c2)n[n-]1 ZINC000853018926 704185041 /nfs/dbraw/zinc/18/50/41/704185041.db2.gz YBOJVFUJQUKECE-UHFFFAOYSA-N -1 1 300.771 1.698 20 0 DDADMM CC(C)c1ocnc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000819971143 704194619 /nfs/dbraw/zinc/19/46/19/704194619.db2.gz YJCZRUSZYPBYRE-SECBINFHSA-N -1 1 306.322 1.906 20 0 DDADMM Cc1cc(C)nc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000819969891 704194737 /nfs/dbraw/zinc/19/47/37/704194737.db2.gz ZUZVTSHUHSREDK-LLVKDONJSA-N -1 1 302.334 1.807 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cnc(C)cn2)c1 ZINC000820007210 704201466 /nfs/dbraw/zinc/20/14/66/704201466.db2.gz RFCLAPWTCGOJMJ-QFIPXVFZSA-N -1 1 320.370 1.645 20 0 DDADMM COCC1(COC(=O)c2ccc(C(=O)OC)[n-]2)CCOCC1 ZINC000853167308 704207384 /nfs/dbraw/zinc/20/73/84/704207384.db2.gz YUGBHIUBPLTZCN-UHFFFAOYSA-N -1 1 311.334 1.401 20 0 DDADMM C[S@@](=O)CCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000866798309 706805104 /nfs/dbraw/zinc/80/51/04/706805104.db2.gz WNWZQMCAITYESW-QGZVFWFLSA-N -1 1 301.336 1.264 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2noc(C)n2)c1 ZINC000820864570 704340194 /nfs/dbraw/zinc/34/01/94/704340194.db2.gz AVBCFESUEDLNGU-CLTRCRFRSA-N -1 1 324.358 1.799 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@](O)(C2CC2)C1 ZINC000855696510 704498058 /nfs/dbraw/zinc/49/80/58/704498058.db2.gz ANAGEILUVLILKE-OAHLLOKOSA-N -1 1 318.402 1.395 20 0 DDADMM CCCC[C@@](C)(F)C(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1 ZINC000857684522 704613295 /nfs/dbraw/zinc/61/32/95/704613295.db2.gz NSFMSHBKIIKPDJ-CQSZACIVSA-N -1 1 309.345 1.837 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)ccc1N ZINC000832054483 706833829 /nfs/dbraw/zinc/83/38/29/706833829.db2.gz NORGKSKSRNXNQB-LLVKDONJSA-N -1 1 302.334 1.686 20 0 DDADMM CC(C)(C)c1nnc(Sc2cc(Cl)nc(=O)[n-]2)n1N ZINC000858447476 704712360 /nfs/dbraw/zinc/71/23/60/704712360.db2.gz UVZKJPVJGOVITN-UHFFFAOYSA-N -1 1 300.775 1.590 20 0 DDADMM COc1cccc(CC(=O)Nc2ncc(-c3nnn[n-]3)s2)c1 ZINC000821862925 704829464 /nfs/dbraw/zinc/82/94/64/704829464.db2.gz GNMLTFOZNJQOLX-UHFFFAOYSA-N -1 1 316.346 1.513 20 0 DDADMM COc1cccc(CC(=O)Nc2ncc(-c3nn[n-]n3)s2)c1 ZINC000821862925 704829471 /nfs/dbraw/zinc/82/94/71/704829471.db2.gz GNMLTFOZNJQOLX-UHFFFAOYSA-N -1 1 316.346 1.513 20 0 DDADMM Cc1ccc(NC2CC2)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000821893208 704836905 /nfs/dbraw/zinc/83/69/05/704836905.db2.gz DVTZPPHZTQNWOL-NSHDSACASA-N -1 1 314.393 1.958 20 0 DDADMM COCOCCC[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000859386016 704887249 /nfs/dbraw/zinc/88/72/49/704887249.db2.gz ZCLRXGJMIUGIOE-UHFFFAOYSA-N -1 1 309.334 1.562 20 0 DDADMM C[C@@H]1CC[C@H](C[N-]S(=O)(=O)c2nc[nH]c2Br)O1 ZINC000867379444 706980981 /nfs/dbraw/zinc/98/09/81/706980981.db2.gz OLKJWTBXNCLJOD-RNFRBKRXSA-N -1 1 324.200 1.018 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCC[C@H]2C=CCC2)co1 ZINC000834331174 707031737 /nfs/dbraw/zinc/03/17/37/707031737.db2.gz RSTLULPJSIPQOS-NSHDSACASA-N -1 1 312.391 1.664 20 0 DDADMM Cc1ccc(OC[C@H](C)NC(=O)CCCc2nn[n-]n2)cc1 ZINC000874237963 704978236 /nfs/dbraw/zinc/97/82/36/704978236.db2.gz BTBZHTNYYNBTTL-LBPRGKRZSA-N -1 1 303.366 1.415 20 0 DDADMM COc1ccc2nc(CN3CC[C@](OC)(C(=O)[O-])C3)sc2c1 ZINC000859820426 705013203 /nfs/dbraw/zinc/01/32/03/705013203.db2.gz TYGULNVZEYYCBL-OAHLLOKOSA-N -1 1 322.386 1.980 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@H]2CCSC2)c1 ZINC000867573814 707044016 /nfs/dbraw/zinc/04/40/16/707044016.db2.gz OCUUAGMBBCYVGO-JTQLQIEISA-N -1 1 317.432 1.822 20 0 DDADMM O=C([N-]CC1CN(C(=O)NC[C@H]2CC=CCC2)C1)C(F)(F)F ZINC000875160771 705300345 /nfs/dbraw/zinc/30/03/45/705300345.db2.gz DVDOKAWLSKNXSW-JTQLQIEISA-N -1 1 319.327 1.663 20 0 DDADMM CON(C)C(=O)C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000823745615 705307373 /nfs/dbraw/zinc/30/73/73/705307373.db2.gz FEQUGIQONMMEDP-UHFFFAOYSA-N -1 1 308.231 1.193 20 0 DDADMM NC(=O)N1CCC[C@@H](C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000834833977 707133867 /nfs/dbraw/zinc/13/38/67/707133867.db2.gz RSVAGDCFCLUZJV-SNVBAGLBSA-N -1 1 311.769 1.453 20 0 DDADMM CCO[C@H]1COCC[C@H]1CC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000875632178 705457069 /nfs/dbraw/zinc/45/70/69/705457069.db2.gz AMKPGLSHKQPSEM-FZMZJTMJSA-N -1 1 318.377 1.112 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)c2cccnn2)C1)C(F)(F)F ZINC000861772728 705564666 /nfs/dbraw/zinc/56/46/66/705564666.db2.gz ZCVQUGFCQXTAHY-VIFPVBQESA-N -1 1 316.283 1.007 20 0 DDADMM CCC[C@@H]1CCCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825255279 705634323 /nfs/dbraw/zinc/63/43/23/705634323.db2.gz WPZFJTZWBSHOQR-LLVKDONJSA-N -1 1 318.381 1.744 20 0 DDADMM CCC[C@@H]1CCCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825255279 705634326 /nfs/dbraw/zinc/63/43/26/705634326.db2.gz WPZFJTZWBSHOQR-LLVKDONJSA-N -1 1 318.381 1.744 20 0 DDADMM COc1cc2[n-]cc(C(=O)N[C@H]3C=CCC3)c(=O)c2c(OC)c1 ZINC000862173232 705668913 /nfs/dbraw/zinc/66/89/13/705668913.db2.gz XJDVUUIIBTYMRK-JTQLQIEISA-N -1 1 314.341 1.994 20 0 DDADMM C[C@H]1C[C@H](C)CN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000876300247 705682883 /nfs/dbraw/zinc/68/28/83/705682883.db2.gz OGCZRJVQPVAKKF-QWRGUYRKSA-N -1 1 320.393 1.442 20 0 DDADMM COCC[C@@H](Cc1ccco1)Nc1nccnc1-c1nnn[n-]1 ZINC000826154038 705778805 /nfs/dbraw/zinc/77/88/05/705778805.db2.gz GSGOSEXDJIXTNJ-JTQLQIEISA-N -1 1 315.337 1.309 20 0 DDADMM COCC[C@@H](Cc1ccco1)Nc1nccnc1-c1nn[n-]n1 ZINC000826154038 705778810 /nfs/dbraw/zinc/77/88/10/705778810.db2.gz GSGOSEXDJIXTNJ-JTQLQIEISA-N -1 1 315.337 1.309 20 0 DDADMM Cc1cc(Br)c(F)c(C(=O)[N-]N2CCOC2=O)c1 ZINC000862705380 705780936 /nfs/dbraw/zinc/78/09/36/705780936.db2.gz AEGQQQHIKNTQNV-UHFFFAOYSA-N -1 1 317.114 1.994 20 0 DDADMM COc1ccc(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)cc1 ZINC000826209852 705782405 /nfs/dbraw/zinc/78/24/05/705782405.db2.gz UGFRORAMBYKTMO-UHFFFAOYSA-N -1 1 314.305 1.353 20 0 DDADMM COc1ccc(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)cc1 ZINC000826209852 705782410 /nfs/dbraw/zinc/78/24/10/705782410.db2.gz UGFRORAMBYKTMO-UHFFFAOYSA-N -1 1 314.305 1.353 20 0 DDADMM Cc1ccc(CN(CCO)c2cccc(-c3nnn[n-]3)n2)cc1 ZINC000826288076 705787545 /nfs/dbraw/zinc/78/75/45/705787545.db2.gz PWIHMUMFTLPLBV-UHFFFAOYSA-N -1 1 310.361 1.569 20 0 DDADMM Cc1ccc(CN(CCO)c2cccc(-c3nn[n-]n3)n2)cc1 ZINC000826288076 705787547 /nfs/dbraw/zinc/78/75/47/705787547.db2.gz PWIHMUMFTLPLBV-UHFFFAOYSA-N -1 1 310.361 1.569 20 0 DDADMM Cc1nc(C)c(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)s1 ZINC000826324303 705790361 /nfs/dbraw/zinc/79/03/61/705790361.db2.gz LTAWHGRYLOEHPT-UHFFFAOYSA-N -1 1 319.350 1.418 20 0 DDADMM Cc1nc(C)c(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)s1 ZINC000826324303 705790363 /nfs/dbraw/zinc/79/03/63/705790363.db2.gz LTAWHGRYLOEHPT-UHFFFAOYSA-N -1 1 319.350 1.418 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000863002667 705848204 /nfs/dbraw/zinc/84/82/04/705848204.db2.gz OMEVOAIXTARAOX-SREGZZRCSA-N -1 1 306.391 1.560 20 0 DDADMM NCC(F)(F)C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000876809011 705885835 /nfs/dbraw/zinc/88/58/35/705885835.db2.gz OZEHRTJGXWJPSF-UHFFFAOYSA-N -1 1 300.202 1.767 20 0 DDADMM CCN(CC1CCN([C@H]2CCN(C(C)C)C2=O)CC1)C(=O)[O-] ZINC000827089650 705926826 /nfs/dbraw/zinc/92/68/26/705926826.db2.gz OWKRINXIPOWAMK-AWEZNQCLSA-N -1 1 311.426 1.708 20 0 DDADMM CCO[C@H](CSc1nc([O-])cc(=O)n1CCOC)C1CC1 ZINC000863454203 705933857 /nfs/dbraw/zinc/93/38/57/705933857.db2.gz SQNKWHRFBGQKHT-LLVKDONJSA-N -1 1 314.407 1.503 20 0 DDADMM CN(C)C(=O)N(C)[C@H]1CCN(Cc2ccc(C(=O)[O-])s2)C1 ZINC000864049350 706051186 /nfs/dbraw/zinc/05/11/86/706051186.db2.gz GBZICFJOMVUFOB-JTQLQIEISA-N -1 1 311.407 1.634 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC2CC(F)(F)C2)co1 ZINC000835489397 707262489 /nfs/dbraw/zinc/26/24/89/707262489.db2.gz ZIJJHGWUJATRFN-UHFFFAOYSA-N -1 1 309.290 1.390 20 0 DDADMM O=c1[n-]c(CNc2ncnc3ccccc32)nc2c1COCC2 ZINC000864355937 706146367 /nfs/dbraw/zinc/14/63/67/706146367.db2.gz KMFDIJICVNZSEN-UHFFFAOYSA-N -1 1 309.329 1.810 20 0 DDADMM CC[C@H](CO)[N-]S(=O)(=O)N=[S@](C)(=O)C1CCCCC1 ZINC000881912276 707420534 /nfs/dbraw/zinc/42/05/34/707420534.db2.gz HFHBGYCKIPGXMH-MLCYQJTMSA-N -1 1 312.457 1.022 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cccn(C2CC2)c1=O ZINC000864974171 706316235 /nfs/dbraw/zinc/31/62/35/706316235.db2.gz ATNJOKNKYOJHLB-UHFFFAOYSA-N -1 1 313.239 1.572 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCCNc1ncccn1 ZINC000865047714 706334781 /nfs/dbraw/zinc/33/47/81/706334781.db2.gz BBGVAMYALNCEIN-UHFFFAOYSA-N -1 1 320.780 1.997 20 0 DDADMM COCCO[N-]C(=O)C1(CC(=O)OC(C)(C)C)CCOCC1 ZINC000829678380 706376736 /nfs/dbraw/zinc/37/67/36/706376736.db2.gz HIIZSGYIENTEPG-UHFFFAOYSA-N -1 1 317.382 1.209 20 0 DDADMM CCn1ccnc1C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872493146 707444477 /nfs/dbraw/zinc/44/44/77/707444477.db2.gz QCROCVQIQUQWBE-IBGZPJMESA-N -1 1 322.456 1.134 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@H]1c1ccco1 ZINC000872497245 707447325 /nfs/dbraw/zinc/44/73/25/707447325.db2.gz HGYMNNXKXFWNQM-QVMDTKBZSA-N -1 1 320.436 1.866 20 0 DDADMM O=C(Cc1ccccc1N1CCCC1=O)[N-]O[C@H]1CCCCO1 ZINC000878576582 706431130 /nfs/dbraw/zinc/43/11/30/706431130.db2.gz MMFIFDGPHPUPRV-KRWDZBQOSA-N -1 1 318.373 1.930 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2)C(C)(C)C ZINC000881981677 707453385 /nfs/dbraw/zinc/45/33/85/707453385.db2.gz JCSHXTIWSLCNHL-WHOHXGKFSA-N -1 1 319.423 1.204 20 0 DDADMM CC(C)(C)c1csc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)n1 ZINC000865595831 706472774 /nfs/dbraw/zinc/47/27/74/706472774.db2.gz GOJZIQOHVJMCMO-MRVPVSSYSA-N -1 1 322.394 1.167 20 0 DDADMM C[C@@]12COC[C@@H]1C[N@@H+](Cc1nnc(-c3ccccc3O)o1)C2 ZINC000878949601 706541494 /nfs/dbraw/zinc/54/14/94/706541494.db2.gz NMSAZZFZHLVNTE-MEDUHNTESA-N -1 1 301.346 1.911 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)[C@@H]2CCO[C@H]21 ZINC000830663410 706556673 /nfs/dbraw/zinc/55/66/73/706556673.db2.gz FMLNJLDZUSSTOB-NBEYISGCSA-N -1 1 305.787 1.155 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCC=CO2)C1 ZINC000830816011 706585167 /nfs/dbraw/zinc/58/51/67/706585167.db2.gz IPDGJADJBQZOOZ-JOYOIKCWSA-N -1 1 306.284 1.349 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](O)c2cccc(F)c2)sn1 ZINC000866837241 706820298 /nfs/dbraw/zinc/82/02/98/706820298.db2.gz BNXIGLYCFNKCMA-LLVKDONJSA-N -1 1 316.379 1.603 20 0 DDADMM Cc1ccc(N)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000832054835 706833503 /nfs/dbraw/zinc/83/35/03/706833503.db2.gz SKVQSNHRAYVPJS-JTQLQIEISA-N -1 1 302.334 1.686 20 0 DDADMM CCO[C@@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)C1CCCC1 ZINC000866901226 706839696 /nfs/dbraw/zinc/83/96/96/706839696.db2.gz ASABYAAECKLSBG-QWHCGFSZSA-N -1 1 307.398 1.501 20 0 DDADMM CCOCCCN(C[C@@H](C)C(=O)[O-])C(=O)CN1CCCC1 ZINC000908980641 712907907 /nfs/dbraw/zinc/90/79/07/712907907.db2.gz QQPADTGWSOTJSD-CYBMUJFWSA-N -1 1 300.399 1.058 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](O)c2cccc(C)c2)sn1 ZINC000866981368 706863347 /nfs/dbraw/zinc/86/33/47/706863347.db2.gz GOXNOPSGGPSDHM-LBPRGKRZSA-N -1 1 312.416 1.772 20 0 DDADMM O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)c1cc(C(F)F)[nH]n1 ZINC000908990860 712910554 /nfs/dbraw/zinc/91/05/54/712910554.db2.gz YCYWAVFNVBYURW-QMMMGPOBSA-N -1 1 317.292 1.443 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2ccc(F)nc2F)s1 ZINC000867105000 706897648 /nfs/dbraw/zinc/89/76/48/706897648.db2.gz IXFTUGABVINABR-RXMQYKEDSA-N -1 1 320.346 1.559 20 0 DDADMM CC(C)(C)c1cc(CS(=O)(=O)[N-]CC2OCCCO2)no1 ZINC000867161396 706913014 /nfs/dbraw/zinc/91/30/14/706913014.db2.gz YWEJHQCKVMNYST-UHFFFAOYSA-N -1 1 318.395 1.155 20 0 DDADMM O=C(OCC[N-]S(=O)(=O)c1ccc(C(F)F)o1)C1CC1 ZINC000867380029 706981504 /nfs/dbraw/zinc/98/15/04/706981504.db2.gz AALZHOPMWLCVFM-UHFFFAOYSA-N -1 1 309.290 1.449 20 0 DDADMM COCc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1F ZINC000867381743 706982302 /nfs/dbraw/zinc/98/23/02/706982302.db2.gz QFVNHFFSLCTXET-VIFPVBQESA-N -1 1 307.329 1.361 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@@H]1C[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000880853415 707086036 /nfs/dbraw/zinc/08/60/36/707086036.db2.gz DDVNJTOSEMFEGP-OWUUHHOZSA-N -1 1 313.350 1.826 20 0 DDADMM O=C(NC1C[C@H]2CC[C@@H](C1)S2(=O)=O)c1c([O-])cccc1F ZINC000834824730 707131535 /nfs/dbraw/zinc/13/15/35/707131535.db2.gz VVBZYQNPWUGYOV-PBINXNQUSA-N -1 1 313.350 1.369 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCc1cccnc1 ZINC000872432730 707407718 /nfs/dbraw/zinc/40/77/18/707407718.db2.gz DTXSWABNSQPVHU-LJQANCHMSA-N -1 1 319.452 1.355 20 0 DDADMM CC(C)[C@@H](CCO)[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872458232 707422307 /nfs/dbraw/zinc/42/23/07/707422307.db2.gz WFKFPVUZCAJEAL-MLCYQJTMSA-N -1 1 314.473 1.124 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3C[C@@H](C4CC4)[C@@H]3C3CC3)ccnc1-2 ZINC000836577084 707483622 /nfs/dbraw/zinc/48/36/22/707483622.db2.gz ZODHWYDBNLXMCV-ZFWWWQNUSA-N -1 1 311.389 1.994 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CCC2)c1nc[nH]c1Br ZINC000872649514 707531712 /nfs/dbraw/zinc/53/17/12/707531712.db2.gz CBNTVBJEGIAMBE-ZCFIWIBFSA-N -1 1 306.185 1.393 20 0 DDADMM Cn1cnc(CCNC(=O)NCc2ccc([O-])c(Cl)c2)c1 ZINC000873037931 707703419 /nfs/dbraw/zinc/70/34/19/707703419.db2.gz DYYOSNLRUGCYGE-UHFFFAOYSA-N -1 1 308.769 1.821 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@@H]1CCCC1(F)F ZINC000882592377 707710213 /nfs/dbraw/zinc/71/02/13/707710213.db2.gz DEMUZKNLPXVVDO-QMMMGPOBSA-N -1 1 309.338 1.669 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2C[C@H]3CCCC[C@@H]3NC2=O)[n-]1 ZINC000837740291 707734413 /nfs/dbraw/zinc/73/44/13/707734413.db2.gz MESVSQKBYMACJP-GBIKHYSHSA-N -1 1 320.345 1.405 20 0 DDADMM Cn1cc(Cl)c([N-]S(=O)(=O)CCOCC(F)(F)F)n1 ZINC000882797454 707800807 /nfs/dbraw/zinc/80/08/07/707800807.db2.gz VJINRRKFLCQVJK-UHFFFAOYSA-N -1 1 321.708 1.394 20 0 DDADMM CC[C@H](OC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C(C)=O ZINC000873353484 707822089 /nfs/dbraw/zinc/82/20/89/707822089.db2.gz DJZZAMRGRVZGKH-LBPRGKRZSA-N -1 1 305.334 1.505 20 0 DDADMM O=C(c1cccnc1C(F)F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000897162047 708241876 /nfs/dbraw/zinc/24/18/76/708241876.db2.gz SVSLUNUKDMNHJW-MRVPVSSYSA-N -1 1 308.292 1.552 20 0 DDADMM O=C(N[C@@H](CO)CC(F)F)c1ccc2ccc(O)cc2c1[O-] ZINC000897569050 708378250 /nfs/dbraw/zinc/37/82/50/708378250.db2.gz DRMLVOSMAGARBS-SECBINFHSA-N -1 1 311.284 1.997 20 0 DDADMM COc1ccc([C@H](O)C[N-]S(=O)(=O)c2ccns2)cc1 ZINC000885023924 708445802 /nfs/dbraw/zinc/44/58/02/708445802.db2.gz MBIPIWXFNUYYSD-LLVKDONJSA-N -1 1 314.388 1.164 20 0 DDADMM O=S(=O)([N-]CCCSc1ccccn1)c1ccns1 ZINC000885271898 708507262 /nfs/dbraw/zinc/50/72/62/708507262.db2.gz AZPNKSBHFBIFSY-UHFFFAOYSA-N -1 1 315.445 1.999 20 0 DDADMM COC(=O)c1cc(C)c(F)c(S(=O)(=O)[N-][C@@H](C)CF)c1 ZINC000885408430 708537706 /nfs/dbraw/zinc/53/77/06/708537706.db2.gz DEKUUVONSIOFPG-QMMMGPOBSA-N -1 1 307.318 1.557 20 0 DDADMM CCc1nc(S(=O)(=O)[N-]C(CF)CF)cn1CC1CC1 ZINC000885414069 708539064 /nfs/dbraw/zinc/53/90/64/708539064.db2.gz RNIGYBXAIHXZHB-UHFFFAOYSA-N -1 1 307.366 1.441 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)[C@H](O)C1CCOCC1 ZINC000885676454 708595400 /nfs/dbraw/zinc/59/54/00/708595400.db2.gz OHLIXCDHVHIRBX-GFCCVEGCSA-N -1 1 303.717 1.911 20 0 DDADMM CC(C)(C(=O)Nc1cc(F)c([O-])cc1Cl)S(C)(=O)=O ZINC000885676753 708595447 /nfs/dbraw/zinc/59/54/47/708595447.db2.gz UHDBSCYWZMKEGC-UHFFFAOYSA-N -1 1 309.746 1.946 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000885776207 708625619 /nfs/dbraw/zinc/62/56/19/708625619.db2.gz KTZASJBRXYRYNY-VXNVDRBHSA-N -1 1 321.757 1.567 20 0 DDADMM O=C(NCCN=S1(=O)CCCC1)c1c(F)ccc([O-])c1F ZINC000898430812 708637438 /nfs/dbraw/zinc/63/74/38/708637438.db2.gz SZUWXVNEDLAQRM-UHFFFAOYSA-N -1 1 318.345 1.662 20 0 DDADMM O=S(=O)([N-]c1cc(C2CCOCC2)n[nH]1)c1ccns1 ZINC000886397751 708753342 /nfs/dbraw/zinc/75/33/42/708753342.db2.gz XYZPCCSVVRSEPU-UHFFFAOYSA-N -1 1 314.392 1.561 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H]1CCC[C@H]1O ZINC000927783775 713055268 /nfs/dbraw/zinc/05/52/68/713055268.db2.gz CTGYVIGPNNBVHS-CHWSQXEVSA-N -1 1 300.305 1.426 20 0 DDADMM C[C@H](CC(=O)N(C)c1nn[n-]n1)C(=O)c1ccc(Cl)cc1 ZINC000912617838 713052308 /nfs/dbraw/zinc/05/23/08/713052308.db2.gz KHQFSMGNSXMDLK-MRVPVSSYSA-N -1 1 307.741 1.725 20 0 DDADMM CN(C[C@@H]1COCCO1)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898722567 708840808 /nfs/dbraw/zinc/84/08/08/708840808.db2.gz ZXEFEXVXQPLWBR-CYBMUJFWSA-N -1 1 317.341 1.738 20 0 DDADMM Cc1cc(C)c(CC(=O)NCc2nc([O-])cc(=O)[nH]2)c(C)c1 ZINC000898752880 708854938 /nfs/dbraw/zinc/85/49/38/708854938.db2.gz AUELGEPJXSGZBZ-UHFFFAOYSA-N -1 1 301.346 1.672 20 0 DDADMM Cc1ccccc1C[C@@H](C)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898754336 708855527 /nfs/dbraw/zinc/85/55/27/708855527.db2.gz GQKHYXYUWULBNK-LLVKDONJSA-N -1 1 301.346 1.691 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)c1cccc(N2CCCC2)c1 ZINC000898754043 708855642 /nfs/dbraw/zinc/85/56/42/708855642.db2.gz DQRIPARAYQYRLU-UHFFFAOYSA-N -1 1 314.345 1.418 20 0 DDADMM Cc1cccc(CO[N-]C(=O)Cc2cnn3c2CCCC3)n1 ZINC000898928661 708926099 /nfs/dbraw/zinc/92/60/99/708926099.db2.gz JHMQMYRHURHJTI-UHFFFAOYSA-N -1 1 300.362 1.713 20 0 DDADMM CSCc1noc(CCC(=O)[N-]OCc2cccc(C)n2)n1 ZINC000898935171 708928396 /nfs/dbraw/zinc/92/83/96/708928396.db2.gz UJAYBYJRVGRTKL-UHFFFAOYSA-N -1 1 322.390 1.817 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-]C1CSC1)c1ccccc1 ZINC000921870345 713891538 /nfs/dbraw/zinc/89/15/38/713891538.db2.gz LHRATRGXCAIZBP-KRWDZBQOSA-N -1 1 306.434 1.093 20 0 DDADMM Cn1ccc(-c2nc(CC[N-]C(=O)C(F)(F)F)cs2)n1 ZINC000887278757 709005224 /nfs/dbraw/zinc/00/52/24/709005224.db2.gz MFJYQURCHGIKDA-UHFFFAOYSA-N -1 1 304.297 1.765 20 0 DDADMM COc1ccc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)cn1 ZINC000899246579 709032726 /nfs/dbraw/zinc/03/27/26/709032726.db2.gz WDLVQSIXMKOXAW-UHFFFAOYSA-N -1 1 316.361 1.948 20 0 DDADMM CCN(CCC(=O)NC)C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000888265878 709258692 /nfs/dbraw/zinc/25/86/92/709258692.db2.gz DUAWRIWPOKSIRW-UHFFFAOYSA-N -1 1 322.409 1.386 20 0 DDADMM COc1cncc(CN[C@@H]2CCCn3nc(C(=O)[O-])cc32)c1C ZINC000900073605 709281986 /nfs/dbraw/zinc/28/19/86/709281986.db2.gz KHKVZWRXXCSDML-GFCCVEGCSA-N -1 1 316.361 1.918 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@@H]2NCc1ccnn1CC1CC1 ZINC000900074615 709282026 /nfs/dbraw/zinc/28/20/26/709282026.db2.gz WOIXWXJNYOXILC-ZDUSSCGKSA-N -1 1 315.377 1.813 20 0 DDADMM Cc1ccc2cccc(C(=O)[N-]N3C(=O)[C@H](C)N(C)C3=O)c2n1 ZINC000912823297 713101137 /nfs/dbraw/zinc/10/11/37/713101137.db2.gz GGNOJVWLOMJCIU-JTQLQIEISA-N -1 1 312.329 1.471 20 0 DDADMM CC(C)(C(=O)[O-])[C@H]1CCCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC000909489773 709486607 /nfs/dbraw/zinc/48/66/07/709486607.db2.gz FRUXFZCXZQBMAQ-NEPJUHHUSA-N -1 1 319.405 1.864 20 0 DDADMM CC(C)[C@H](C(=O)[N-]OCc1ccccn1)N1C[C@@H](C)O[C@H](C)C1 ZINC000909527223 709501869 /nfs/dbraw/zinc/50/18/69/709501869.db2.gz FRZRHZUUGYQWGC-IIAWOOMASA-N -1 1 321.421 1.763 20 0 DDADMM CC1(NC(=O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)Cc2ccccc2C1 ZINC000909548568 709511294 /nfs/dbraw/zinc/51/12/94/709511294.db2.gz FBOBSODSAJLOGT-OAHLLOKOSA-N -1 1 316.401 1.457 20 0 DDADMM CC1(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)Cc2ccccc2C1 ZINC000909548568 709511295 /nfs/dbraw/zinc/51/12/95/709511295.db2.gz FBOBSODSAJLOGT-OAHLLOKOSA-N -1 1 316.401 1.457 20 0 DDADMM CON(Cc1ccccc1)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909559810 709515772 /nfs/dbraw/zinc/51/57/72/709515772.db2.gz LEUDPQRFMYKTEM-AWEZNQCLSA-N -1 1 306.362 1.373 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000909698063 709584316 /nfs/dbraw/zinc/58/43/16/709584316.db2.gz JLOXAGYVHGPDAI-BZNIZROVSA-N -1 1 305.378 1.789 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCc2ccc(F)c(F)c2)C1 ZINC000909722581 709595924 /nfs/dbraw/zinc/59/59/24/709595924.db2.gz ZZYQXPKVFNBTGX-LLVKDONJSA-N -1 1 312.316 1.378 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000909734679 709600554 /nfs/dbraw/zinc/60/05/54/709600554.db2.gz WCSGDPORNYYTGT-LBPRGKRZSA-N -1 1 300.318 1.551 20 0 DDADMM C[C@H](C(=O)[O-])N(Cc1ccccc1)C(=O)CCc1cnc[nH]1 ZINC000909748252 709603886 /nfs/dbraw/zinc/60/38/86/709603886.db2.gz GDFMJMLYXXFCTR-GFCCVEGCSA-N -1 1 301.346 1.844 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(-c3ccn(C)c3)[nH]n2)[C@H](C(=O)[O-])C1 ZINC000909780046 709616730 /nfs/dbraw/zinc/61/67/30/709616730.db2.gz IVQVBOVEJLOTDF-HZMBPMFUSA-N -1 1 316.361 1.741 20 0 DDADMM C[C@@H](NC(=O)CN(C)C1CCC1)c1ccc(OCC(=O)[O-])cc1 ZINC000909791917 709622616 /nfs/dbraw/zinc/62/26/16/709622616.db2.gz WPEXDUCSGSXBGJ-GFCCVEGCSA-N -1 1 320.389 1.812 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](C(=O)[O-])c2ccc(F)c(C)c2)[nH]n1 ZINC000909798271 709626486 /nfs/dbraw/zinc/62/64/86/709626486.db2.gz SXZMOYUSVDVDCB-CQSZACIVSA-N -1 1 305.309 1.650 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)COCC2CC2)[n-]c1=O ZINC000889795468 709639508 /nfs/dbraw/zinc/63/95/08/709639508.db2.gz YEWFSHOHOVDNIH-LLVKDONJSA-N -1 1 307.350 1.281 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)c2csc(C(=O)[O-])n2)CC1 ZINC000909917108 709687865 /nfs/dbraw/zinc/68/78/65/709687865.db2.gz XTPVLKVVYLNAMT-UHFFFAOYSA-N -1 1 311.407 1.788 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC[C@H]1CCC2(CCCC2)O1 ZINC000909918128 709688489 /nfs/dbraw/zinc/68/84/89/709688489.db2.gz MRGYITDXAAGVBM-ZIAGYGMSSA-N -1 1 324.421 1.391 20 0 DDADMM CC(C)(C)c1ccc(C(F)(F)C(=O)NCc2nn[n-]n2)cc1 ZINC000900659664 709699532 /nfs/dbraw/zinc/69/95/32/709699532.db2.gz QQDNSLMKFXADTN-UHFFFAOYSA-N -1 1 309.320 1.905 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CC[C@H](C(=O)[O-])[C@H]2CCCC[C@@H]21 ZINC000909955575 709706947 /nfs/dbraw/zinc/70/69/47/709706947.db2.gz AEBNEPHJTNEOAU-QPSCCSFWSA-N -1 1 308.422 1.963 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cccc3[nH]c(C)nc32)[C@@H](C(=O)[O-])C1 ZINC000909983157 709718208 /nfs/dbraw/zinc/71/82/08/709718208.db2.gz RDEXODJTUNCJHP-GXFFZTMASA-N -1 1 317.345 1.576 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(C(F)(F)F)[nH]n2)CC[C@@H]1C(=O)[O-] ZINC000909989264 709722844 /nfs/dbraw/zinc/72/28/44/709722844.db2.gz FCKNGMFPWXNLDU-RQJHMYQMSA-N -1 1 305.256 1.611 20 0 DDADMM CC(C)(C)n1nnc(CC(=O)Nc2cc([O-])c(F)cc2F)n1 ZINC000909988696 709723074 /nfs/dbraw/zinc/72/30/74/709723074.db2.gz WXFOIKWVIKWJRW-UHFFFAOYSA-N -1 1 311.292 1.593 20 0 DDADMM CN1CCC[C@H]1C(=O)N1[C@H](C(=O)[O-])CC[C@H]1c1ccccc1 ZINC000910097852 709771499 /nfs/dbraw/zinc/77/14/99/709771499.db2.gz BLMSBJRQMHSMHL-KKUMJFAQSA-N -1 1 302.374 1.898 20 0 DDADMM Cc1ccncc1/C=C/C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910174935 709797877 /nfs/dbraw/zinc/79/78/77/709797877.db2.gz IOBVNDCMOMTMLO-XGACYXMMSA-N -1 1 317.389 1.411 20 0 DDADMM O=C([O-])[C@@H]1c2ccoc2CCN1C(=O)c1cc(C(F)F)[nH]n1 ZINC000910203752 709812853 /nfs/dbraw/zinc/81/28/53/709812853.db2.gz ADKDZWITUXPVCE-JTQLQIEISA-N -1 1 311.244 1.765 20 0 DDADMM CCOC(=O)[C@@H]1[C@@H]2CCN(C(=O)c3cc(F)ccc3[O-])C[C@@H]21 ZINC000928118631 713134888 /nfs/dbraw/zinc/13/48/88/713134888.db2.gz ICFJNYRNKVXWNM-SCDSUCTJSA-N -1 1 307.321 1.803 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(-n3nccn3)nc2)c([O-])c1 ZINC000913003087 713142565 /nfs/dbraw/zinc/14/25/65/713142565.db2.gz LFPLNJJCXWNNKP-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC[C@@H](O)[C@H](F)C1 ZINC000890685249 709951859 /nfs/dbraw/zinc/95/18/59/709951859.db2.gz BVBBEPPWBQSZOY-NXEZZACHSA-N -1 1 307.243 1.956 20 0 DDADMM CCCc1cc(NC(=O)c2cnc(C3CC3)[n-]c2=O)n(C)n1 ZINC000901313922 710006459 /nfs/dbraw/zinc/00/64/59/710006459.db2.gz GQSZKOBIVFXYBK-UHFFFAOYSA-N -1 1 301.350 1.998 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC(C)(C)CSC)co1 ZINC000890874871 710017660 /nfs/dbraw/zinc/01/76/60/710017660.db2.gz LDWKEGUQHYXIOQ-UHFFFAOYSA-N -1 1 306.409 1.059 20 0 DDADMM O=C(N[C@@H](CO)[C@@H](O)C1CCCCC1)c1ccc([O-])c(F)c1 ZINC000913050057 713154887 /nfs/dbraw/zinc/15/48/87/713154887.db2.gz VYIDCOKMAXOPAY-ZFWWWQNUSA-N -1 1 311.353 1.563 20 0 DDADMM COC[C@H](NCc1cc(OC)ccc1Br)C(=O)[O-] ZINC000901479708 710051246 /nfs/dbraw/zinc/05/12/46/710051246.db2.gz ZCULENZZMZMWMF-NSHDSACASA-N -1 1 318.167 1.647 20 0 DDADMM CC(C)Oc1ccc([N-]S(=O)(=O)N=S(C)(C)=O)cn1 ZINC000901607695 710092969 /nfs/dbraw/zinc/09/29/69/710092969.db2.gz VVSUPQJZIOMEJP-UHFFFAOYSA-N -1 1 307.397 1.253 20 0 DDADMM C[C@@H]1CCCN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)[C@@H]1C(=O)[O-] ZINC000901695307 710121392 /nfs/dbraw/zinc/12/13/92/710121392.db2.gz SWQDPUZVNOVVRA-FTUHBYGFSA-N -1 1 305.378 1.787 20 0 DDADMM CCC[C@@H]1CN(C(=O)[C@@H]2CC[C@H]2C(=O)[O-])CCN1CCOC ZINC000901711991 710129415 /nfs/dbraw/zinc/12/94/15/710129415.db2.gz SRVUZFGMKJNQRL-MGPQQGTHSA-N -1 1 312.410 1.057 20 0 DDADMM CC(C)COc1ccc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])cc1 ZINC000901743391 710138424 /nfs/dbraw/zinc/13/84/24/710138424.db2.gz IIPLXHLONJIBLP-STQMWFEESA-N -1 1 322.405 1.789 20 0 DDADMM C[C@](NCc1ccoc1)(C(=O)[O-])c1ccc(S(C)(=O)=O)cc1 ZINC000901866919 710162721 /nfs/dbraw/zinc/16/27/21/710162721.db2.gz CRJRCPDNESUWDW-OAHLLOKOSA-N -1 1 323.370 1.773 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(Cc2ccc(OC(F)F)c(Cl)c2)C1 ZINC000901903660 710169834 /nfs/dbraw/zinc/16/98/34/710169834.db2.gz SWKLZWRQJDNRCT-CYBMUJFWSA-N -1 1 321.707 1.963 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1Cc1ccc2oc(=O)oc2c1 ZINC000901982000 710192030 /nfs/dbraw/zinc/19/20/30/710192030.db2.gz MDNIAWUWJQZVIK-JTQLQIEISA-N -1 1 309.343 1.778 20 0 DDADMM COCc1nc(NCCc2ccc3c(c2)OCCO3)cc(=O)[n-]1 ZINC000891470314 710210460 /nfs/dbraw/zinc/21/04/60/710210460.db2.gz LTIGSALSBRUGOQ-UHFFFAOYSA-N -1 1 317.345 1.754 20 0 DDADMM C[C@H](Cc1ccc(Br)cc1)C(=O)N(C)c1nn[n-]n1 ZINC000892954663 710531594 /nfs/dbraw/zinc/53/15/94/710531594.db2.gz PHLBYXAASUBSDJ-MRVPVSSYSA-N -1 1 324.182 1.804 20 0 DDADMM Cc1cc(NC(=O)[C@@H](C)CN2CCOCC2)cc(C(=O)[O-])c1 ZINC000911070725 710620792 /nfs/dbraw/zinc/62/07/92/710620792.db2.gz KJRXVQKPOMHKFB-LBPRGKRZSA-N -1 1 306.362 1.600 20 0 DDADMM O=C(NC1CC(CO)(CO)C1)c1cc2ccccc2cc1[O-] ZINC000913312384 713196631 /nfs/dbraw/zinc/19/66/31/713196631.db2.gz KPRJOBPTGIHZRO-UHFFFAOYSA-N -1 1 301.342 1.409 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@@H]1C[C@H]2C=C[C@@H]1C2 ZINC000902150865 710635926 /nfs/dbraw/zinc/63/59/26/710635926.db2.gz XRJWMFNNRXNJPF-TUAOUCFPSA-N -1 1 308.403 1.900 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)Nc1ccccc1N1CCN(C)CC1 ZINC000911107608 710637122 /nfs/dbraw/zinc/63/71/22/710637122.db2.gz AYNAPWYPLAQKNL-GFCCVEGCSA-N -1 1 305.378 1.488 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)N[C@H](CC(=O)[O-])C(F)(F)F ZINC000911160861 710662350 /nfs/dbraw/zinc/66/23/50/710662350.db2.gz JHDOYMRCJDNDOG-SSDOTTSWSA-N -1 1 307.272 1.977 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCN(CC3CC3)CC2)c(F)c1 ZINC000911221347 710693450 /nfs/dbraw/zinc/69/34/50/710693450.db2.gz YRXOBWHRCJWLHF-UHFFFAOYSA-N -1 1 306.337 1.692 20 0 DDADMM CC(C)(C)CCN1CCN(C(=O)c2cc(C(=O)[O-])on2)CC1 ZINC000911244781 710707079 /nfs/dbraw/zinc/70/70/79/710707079.db2.gz BCUUVGZLQVCUOV-UHFFFAOYSA-N -1 1 309.366 1.567 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)NCCCNc2ccccc2)C1 ZINC000911301580 710733976 /nfs/dbraw/zinc/73/39/76/710733976.db2.gz OFQARVXLVVSRAB-AWEZNQCLSA-N -1 1 319.405 1.401 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCCNc2ccccc2)C1 ZINC000911301580 710733978 /nfs/dbraw/zinc/73/39/78/710733978.db2.gz OFQARVXLVVSRAB-AWEZNQCLSA-N -1 1 319.405 1.401 20 0 DDADMM C[C@@H]1CN(c2ccc(C(=O)[O-])cc2)CCN1C(=O)c1ccn[nH]1 ZINC000911319342 710740053 /nfs/dbraw/zinc/74/00/53/710740053.db2.gz UTSLJCSOFBBTJJ-LLVKDONJSA-N -1 1 314.345 1.459 20 0 DDADMM CCO[C@@H]1C[C@@H](NCc2cccc(C(=O)[O-])n2)[C@]12CCCO2 ZINC000902440700 710755181 /nfs/dbraw/zinc/75/51/81/710755181.db2.gz VWNLLXHHAKEATA-IIAWOOMASA-N -1 1 306.362 1.596 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2cccc(CC(=O)[O-])c2)n[nH]1 ZINC000911392001 710776198 /nfs/dbraw/zinc/77/61/98/710776198.db2.gz YVUFSVDUGQJFAN-SNVBAGLBSA-N -1 1 301.346 1.706 20 0 DDADMM COCc1nc(N(C)Cc2cnc(C(C)C)nc2)cc(=O)[n-]1 ZINC000893685465 710776955 /nfs/dbraw/zinc/77/69/55/710776955.db2.gz NYRWKOOZQAVBRX-UHFFFAOYSA-N -1 1 303.366 1.878 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)[C@@H]1CC[C@H](CCC(=O)[O-])O1 ZINC000911410042 710786394 /nfs/dbraw/zinc/78/63/94/710786394.db2.gz SJLHRRLVNUBEGJ-RDBSUJKOSA-N -1 1 312.410 1.197 20 0 DDADMM O=C([O-])c1ccc(C(=O)NC[C@@H]2CCCCN2CCO)s1 ZINC000911555342 710851038 /nfs/dbraw/zinc/85/10/38/710851038.db2.gz MYQOILSCZRPJNX-JTQLQIEISA-N -1 1 312.391 1.023 20 0 DDADMM CCCNC(=S)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000911609481 710878702 /nfs/dbraw/zinc/87/87/02/710878702.db2.gz MJUUCNDFNLARMC-UHFFFAOYSA-N -1 1 317.436 1.763 20 0 DDADMM COCc1nc(N[C@@H]2COc3ccccc3[C@H]2O)cc(=O)[n-]1 ZINC000893897530 710878263 /nfs/dbraw/zinc/87/82/63/710878263.db2.gz LQSVAFVLBJROFW-MEBBXXQBSA-N -1 1 303.318 1.235 20 0 DDADMM O=C(NC[C@@H]1CC2(CCC2)CO1)c1nc2ccccc2c(=O)[n-]1 ZINC000902757309 710890948 /nfs/dbraw/zinc/89/09/48/710890948.db2.gz QEQKWNMDCBEBOT-NSHDSACASA-N -1 1 313.357 1.612 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCCCC[C@@H]1CO ZINC000911951973 711087431 /nfs/dbraw/zinc/08/74/31/711087431.db2.gz WZKCGMWMWXIEMN-LLVKDONJSA-N -1 1 306.391 1.395 20 0 DDADMM C[C@H](CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000913495437 713238033 /nfs/dbraw/zinc/23/80/33/713238033.db2.gz XZDYRDYOZQAONB-ZWNOBZJWSA-N -1 1 319.340 1.433 20 0 DDADMM CSc1cc(C)c(C(=O)N2CCOC[C@H]2c2nn[n-]n2)o1 ZINC000913499436 713239720 /nfs/dbraw/zinc/23/97/20/713239720.db2.gz PTCOAIBFOKOLGV-QMMMGPOBSA-N -1 1 309.351 1.037 20 0 DDADMM CC(C)(C)c1cccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c1 ZINC000913500243 713239758 /nfs/dbraw/zinc/23/97/58/713239758.db2.gz UUBJYWPFUIQHMK-ZDUSSCGKSA-N -1 1 315.377 1.711 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C1(CO)CC1 ZINC000912399526 711312005 /nfs/dbraw/zinc/31/20/05/711312005.db2.gz XEGNRVQYWRWZMC-SECBINFHSA-N -1 1 304.321 1.970 20 0 DDADMM Cc1nn(C)cc1CN1CCOc2ccccc2[C@H]1C(=O)[O-] ZINC000903930731 711337875 /nfs/dbraw/zinc/33/78/75/711337875.db2.gz GMFNJNZHZKPEML-HNNXBMFYSA-N -1 1 301.346 1.749 20 0 DDADMM O=C([O-])Cc1ccccc1CN1CCOC[C@H]1C[C@H]1CCOC1 ZINC000903945179 711344587 /nfs/dbraw/zinc/34/45/87/711344587.db2.gz RGAGYJFAFGMKMT-RHSMWYFYSA-N -1 1 319.401 1.941 20 0 DDADMM C[C@@H](CSc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000912475320 711345194 /nfs/dbraw/zinc/34/51/94/711345194.db2.gz VJHKKCBNTXFEOA-JTQLQIEISA-N -1 1 319.386 1.932 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(CC(F)(F)F)C1 ZINC000912488750 711350059 /nfs/dbraw/zinc/35/00/59/711350059.db2.gz KZLFVADGEDCCLU-SNVBAGLBSA-N -1 1 317.311 1.886 20 0 DDADMM COCc1nc(NC[C@@H]2CC3(CO2)CCOCC3)cc(=O)[n-]1 ZINC000895011708 711367655 /nfs/dbraw/zinc/36/76/55/711367655.db2.gz FKXNIXLNOLXFQE-NSHDSACASA-N -1 1 309.366 1.326 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC(O)(c2ccccc2)C1 ZINC000913540340 713250308 /nfs/dbraw/zinc/25/03/08/713250308.db2.gz SXKIQSHAVIECFL-UHFFFAOYSA-N -1 1 311.341 1.403 20 0 DDADMM COCc1nc(N2CCC[C@H](CCC(=O)OC)C2)cc(=O)[n-]1 ZINC000895079055 711399536 /nfs/dbraw/zinc/39/95/36/711399536.db2.gz CTQRFOJLTGRHEJ-LLVKDONJSA-N -1 1 309.366 1.498 20 0 DDADMM COCc1nc(CN[C@@H]2C[C@H](NC(=O)[O-])C23CCC3)cs1 ZINC000904241088 711416142 /nfs/dbraw/zinc/41/61/42/711416142.db2.gz OLRSPPXKOQPMLP-MNOVXSKESA-N -1 1 311.407 1.958 20 0 DDADMM COCc1nc(N2CCC(c3ccn(C)n3)CC2)cc(=O)[n-]1 ZINC000895639666 711566457 /nfs/dbraw/zinc/56/64/57/711566457.db2.gz CHGMTNHVZRKLQM-UHFFFAOYSA-N -1 1 303.366 1.446 20 0 DDADMM O=c1nc(NCC[C@@H]2CC[C@H](C(F)(F)F)O2)nc2[nH][n-]cc1-2 ZINC000896013045 711664272 /nfs/dbraw/zinc/66/42/72/711664272.db2.gz WAWYOHQYXPYOQC-POYBYMJQSA-N -1 1 317.271 1.344 20 0 DDADMM [O-]c1cc(Br)cnc1CN1C[C@@H]2[C@H](O)CC[C@@H]2C1 ZINC000896046116 711668296 /nfs/dbraw/zinc/66/82/96/711668296.db2.gz OZSVMSZMRYZISI-UBHAPETDSA-N -1 1 313.195 1.752 20 0 DDADMM O=C(NCC[C@@H](O)C(F)F)c1c([O-])cnc2c(F)cccc21 ZINC000913650060 713275335 /nfs/dbraw/zinc/27/53/35/713275335.db2.gz FXEVRJQLOIBGSR-SECBINFHSA-N -1 1 314.263 1.825 20 0 DDADMM COc1ccc(C)cc1CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742243 713291660 /nfs/dbraw/zinc/29/16/60/713291660.db2.gz PTYIXHNWNFEFGO-UHFFFAOYSA-N -1 1 315.377 1.465 20 0 DDADMM O=C(c1ccc2c(c1)CCOC2)N1CCC(c2nn[n-]n2)CC1 ZINC000913745778 713293566 /nfs/dbraw/zinc/29/35/66/713293566.db2.gz NYDKDCYELJROAF-UHFFFAOYSA-N -1 1 313.361 1.292 20 0 DDADMM O=C(c1ccc2ccncc2c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745534 713293699 /nfs/dbraw/zinc/29/36/99/713293699.db2.gz IUPQTEBTZPWMHV-UHFFFAOYSA-N -1 1 308.345 1.768 20 0 DDADMM O=C(CC[C@H]1CC1(Cl)Cl)N1CCC(c2nn[n-]n2)CC1 ZINC000913745563 713293708 /nfs/dbraw/zinc/29/37/08/713293708.db2.gz JXXAWXWNGUCZCF-VIFPVBQESA-N -1 1 318.208 1.880 20 0 DDADMM CSc1ccc(O)c(C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913745567 713293757 /nfs/dbraw/zinc/29/37/57/713293757.db2.gz KBZGBPTUYOQLNJ-UHFFFAOYSA-N -1 1 319.390 1.647 20 0 DDADMM O=C([O-])c1cc(CNC[C@H]2CCC[C@@H](C(F)(F)F)O2)on1 ZINC000905731217 712133730 /nfs/dbraw/zinc/13/37/30/712133730.db2.gz SAXLRHQVYSQYMV-XCBNKYQSSA-N -1 1 308.256 1.962 20 0 DDADMM Cn1c(Cl)ncc1CN[C@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000905794480 712147908 /nfs/dbraw/zinc/14/79/08/712147908.db2.gz JPDARVQTAIIZCZ-VIFPVBQESA-N -1 1 309.757 1.593 20 0 DDADMM CC(C)(C)n1cc(-c2c[n-]c3nc(S(C)(=O)=O)nc-3c2)cn1 ZINC000906186453 712261738 /nfs/dbraw/zinc/26/17/38/712261738.db2.gz BVHJBFYZZAPKNX-UHFFFAOYSA-N -1 1 319.390 1.980 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@H]1CCC2(CCC2)CO1)c1nn[n-]n1 ZINC000907350623 712550058 /nfs/dbraw/zinc/55/00/58/712550058.db2.gz SXMAFJIWKGQBNQ-NWDGAFQWSA-N -1 1 307.398 1.501 20 0 DDADMM C[C@H](CN(C)C(=O)[C@]1(C)OCCc2ccccc21)c1nn[n-]n1 ZINC000907350193 712550070 /nfs/dbraw/zinc/55/00/70/712550070.db2.gz OACPLVAIFUUDPL-BDJLRTHQSA-N -1 1 315.377 1.250 20 0 DDADMM C[C@@]1(NC(=O)c2cnc(C3CC3)[n-]c2=O)CCO[C@H]1C1CC1 ZINC000907351330 712550290 /nfs/dbraw/zinc/55/02/90/712550290.db2.gz PURLWBCNDDDMNR-BLLLJJGKSA-N -1 1 303.362 1.747 20 0 DDADMM CC(=O)[C@H]1CC[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000907435148 712571475 /nfs/dbraw/zinc/57/14/75/712571475.db2.gz CVASXRDIUXIWLX-MGCOHNPYSA-N -1 1 319.814 1.500 20 0 DDADMM O=C(/C=C\C1CCCCC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907472899 712581111 /nfs/dbraw/zinc/58/11/11/712581111.db2.gz DYUIXRDRZUJKBF-DGMVEKRQSA-N -1 1 307.423 1.953 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccccc1F ZINC000907475062 712581839 /nfs/dbraw/zinc/58/18/39/712581839.db2.gz NKMKWULWSWHWKF-JOYOIKCWSA-N -1 1 321.381 1.759 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c(F)c1 ZINC000907477861 712582696 /nfs/dbraw/zinc/58/26/96/712582696.db2.gz VFUKVNWRXNVORE-LLVKDONJSA-N -1 1 307.354 1.578 20 0 DDADMM CC1(C)CC=C(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)CC1 ZINC000907480261 712583583 /nfs/dbraw/zinc/58/35/83/712583583.db2.gz OEKCLLIMPDNMKN-LLVKDONJSA-N -1 1 307.423 1.953 20 0 DDADMM O=C([C@H](F)c1ccccc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479881 712583643 /nfs/dbraw/zinc/58/36/43/712583643.db2.gz NCCSXNQQLOTECD-GHMZBOCLSA-N -1 1 307.354 1.527 20 0 DDADMM O=C(c1ccn2ccccc12)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481634 712584189 /nfs/dbraw/zinc/58/41/89/712584189.db2.gz XHPBVUUXDOVOFG-LBPRGKRZSA-N -1 1 314.374 1.383 20 0 DDADMM C[C@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C[C@@H](CO)O1 ZINC000907648242 712608389 /nfs/dbraw/zinc/60/83/89/712608389.db2.gz BEAGFZDQYDSVRO-UWVGGRQHSA-N -1 1 320.320 1.301 20 0 DDADMM CCCN1CCN(C(=O)[C@@]2(C(=O)[O-])C[C@H]2c2ccccc2)CC1 ZINC000907798086 712627946 /nfs/dbraw/zinc/62/79/46/712627946.db2.gz JURSYXZZVDZKRU-MAUKXSAKSA-N -1 1 316.401 1.799 20 0 DDADMM C[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@H](O)c1ccccc1 ZINC000908236090 712716505 /nfs/dbraw/zinc/71/65/05/712716505.db2.gz LFEYSAXBLHOMGK-HZMBPMFUSA-N -1 1 313.357 1.912 20 0 DDADMM CCOC(=O)[C@H]1CCCN(CC(=O)[N-]OC[C@@H](C)CC)C1 ZINC000908351148 712749524 /nfs/dbraw/zinc/74/95/24/712749524.db2.gz DOYLVBNVTBSSCM-STQMWFEESA-N -1 1 300.399 1.355 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2COC[C@H]2C2CC2)c1 ZINC000908411089 712764780 /nfs/dbraw/zinc/76/47/80/712764780.db2.gz YDYOTRXINQTKAD-RYUDHWBXSA-N -1 1 313.375 1.104 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C/C=C/Cl)c1Br ZINC000908452516 712777454 /nfs/dbraw/zinc/77/74/54/712777454.db2.gz KDWZDSHAJBTOII-NSCUHMNNSA-N -1 1 314.592 1.213 20 0 DDADMM CC(=O)N(C)CC(=O)Nc1cccc([O-])c1Br ZINC000908714199 712839991 /nfs/dbraw/zinc/83/99/91/712839991.db2.gz FBNZNNBYRGLXJC-UHFFFAOYSA-N -1 1 301.140 1.572 20 0 DDADMM CS[C@@H]1CCC[C@@H](NC(=O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000908812014 712859510 /nfs/dbraw/zinc/85/95/10/712859510.db2.gz DJMLCDMXBWGPJZ-JHJVBQTASA-N -1 1 314.451 1.573 20 0 DDADMM CS[C@@H]1CCC[C@@H](NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000908812014 712859512 /nfs/dbraw/zinc/85/95/12/712859512.db2.gz DJMLCDMXBWGPJZ-JHJVBQTASA-N -1 1 314.451 1.573 20 0 DDADMM O=C([O-])CCN(CC1CC1)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000908882843 712878459 /nfs/dbraw/zinc/87/84/59/712878459.db2.gz MDTWTDRNUALVEQ-UHFFFAOYSA-N -1 1 305.256 1.755 20 0 DDADMM COC(=O)/C(C)=C\C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000921214391 713721905 /nfs/dbraw/zinc/72/19/05/713721905.db2.gz VQLSYBVNKFLFQH-ALCCZGGFSA-N -1 1 322.745 1.272 20 0 DDADMM COC(=O)/C(C)=C\C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000921236212 713724654 /nfs/dbraw/zinc/72/46/54/713724654.db2.gz DZIVLDCXOHVZCM-ALCCZGGFSA-N -1 1 323.292 1.502 20 0 DDADMM C[C@@H]1COC[C@@H]1[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000921497366 713789510 /nfs/dbraw/zinc/78/95/10/713789510.db2.gz MRBSRBRRUPRJIE-SVRRBLITSA-N -1 1 311.190 1.702 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)/C=C/Cl)o1 ZINC000921507188 713792703 /nfs/dbraw/zinc/79/27/03/713792703.db2.gz ZIGMUYYEBDISED-VOTSOKGWSA-N -1 1 307.755 1.876 20 0 DDADMM O=S(=O)([N-]CC1CC2(C1)OCCO2)c1cc2ccccc2o1 ZINC000921662380 713834507 /nfs/dbraw/zinc/83/45/07/713834507.db2.gz WFAQYBPRGBVWEK-UHFFFAOYSA-N -1 1 323.370 1.864 20 0 DDADMM CCC[C@@H](O)CCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000921685579 713842835 /nfs/dbraw/zinc/84/28/35/713842835.db2.gz WBAZAJWCEYWJMI-SNVBAGLBSA-N -1 1 303.380 1.230 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCS[C@@H](C)C2)c1 ZINC000921713973 713851787 /nfs/dbraw/zinc/85/17/87/713851787.db2.gz OUNKBTNQMTWZNI-UWVGGRQHSA-N -1 1 317.432 1.963 20 0 DDADMM O=S(=O)([N-][C@]12C[C@H]1COC21CCC1)c1cccc(F)c1F ZINC000922104198 713962488 /nfs/dbraw/zinc/96/24/88/713962488.db2.gz APBGKTVFHVMDIQ-LKFCYVNXSA-N -1 1 315.341 1.955 20 0 DDADMM C[C@H]1CN(C(=O)c2ccco2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966086863 717940984 /nfs/dbraw/zinc/94/09/84/717940984.db2.gz NBWVQPIVBNUXSD-QWRGUYRKSA-N -1 1 315.329 1.271 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCCOC1CC1 ZINC000922400004 714043065 /nfs/dbraw/zinc/04/30/65/714043065.db2.gz VLUYIVWHPSWBEP-UHFFFAOYSA-N -1 1 319.361 1.707 20 0 DDADMM CC[C@](C)(O)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932041392 714244381 /nfs/dbraw/zinc/24/43/81/714244381.db2.gz BVOKJPWZUFTXEO-HNNXBMFYSA-N -1 1 304.350 1.860 20 0 DDADMM CC1(C)[C@H](O)C[C@H]1NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932048524 714246189 /nfs/dbraw/zinc/24/61/89/714246189.db2.gz LROLENGQUWZPIU-CHWSQXEVSA-N -1 1 316.361 1.859 20 0 DDADMM Cn1[n-]c(CN[C@H]2CC3(CCC3)Oc3ccccc32)nc1=O ZINC000932216204 714286163 /nfs/dbraw/zinc/28/61/63/714286163.db2.gz NYQSZABTNQPMNH-LBPRGKRZSA-N -1 1 300.362 1.645 20 0 DDADMM O=C([O-])[C@@H]1C[N@@H+](CC(=O)Nc2ccc(Cl)nc2)C[C@H]1C1CC1 ZINC000923531106 714392358 /nfs/dbraw/zinc/39/23/58/714392358.db2.gz OANLAQFJXIBJQJ-NWDGAFQWSA-N -1 1 323.780 1.716 20 0 DDADMM O=C([O-])[C@@H]1CN(CC(=O)Nc2ccc(Cl)nc2)C[C@H]1C1CC1 ZINC000923531106 714392359 /nfs/dbraw/zinc/39/23/59/714392359.db2.gz OANLAQFJXIBJQJ-NWDGAFQWSA-N -1 1 323.780 1.716 20 0 DDADMM O=c1[n-]c(CN2CC[C@@H](O)c3ccccc32)nc2c1COCC2 ZINC000934070200 714735430 /nfs/dbraw/zinc/73/54/30/714735430.db2.gz GJGYAWCFLDKIPJ-OAHLLOKOSA-N -1 1 313.357 1.699 20 0 DDADMM COc1cnc([C@@H]2CCCN2CCO[C@@H]2CC2(F)F)[n-]c1=O ZINC000934270865 714781787 /nfs/dbraw/zinc/78/17/87/714781787.db2.gz WKEXBRRTAJOHEJ-GXSJLCMTSA-N -1 1 315.320 1.752 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2cc(C)nnc2C)[n-]c1=O ZINC000934271499 714782766 /nfs/dbraw/zinc/78/27/66/714782766.db2.gz GDSJUVBLEOQYCK-ZDUSSCGKSA-N -1 1 315.377 1.935 20 0 DDADMM O=C1[C@@H]2CSCN2C(=O)N1CCc1c(F)cc([O-])cc1F ZINC000925462368 714864638 /nfs/dbraw/zinc/86/46/38/714864638.db2.gz JWSXGKCUOGIOBX-NSHDSACASA-N -1 1 314.313 1.550 20 0 DDADMM CC[C@H](O)[C@H](C)C(=O)[N-]OC[C@H](C)NC(=O)OC(C)(C)C ZINC000935160894 714991397 /nfs/dbraw/zinc/99/13/97/714991397.db2.gz MTAYMZTWBFKAEK-DCAQKATOSA-N -1 1 304.387 1.354 20 0 DDADMM O=C(c1cc2cccn2cn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000935795108 715128926 /nfs/dbraw/zinc/12/89/26/715128926.db2.gz VJWYLMOYURFYOE-SNVBAGLBSA-N -1 1 313.317 1.443 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1C[C@H]2C[C@H]2C1 ZINC000938478592 715865490 /nfs/dbraw/zinc/86/54/90/715865490.db2.gz LPVAJCVDRSRCCE-LOWDOPEQSA-N -1 1 315.373 1.164 20 0 DDADMM CCC(=O)N(C)[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000938528545 715890198 /nfs/dbraw/zinc/89/01/98/715890198.db2.gz LBPNULHKMGXFPB-NSHDSACASA-N -1 1 318.377 1.143 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960101236 716416724 /nfs/dbraw/zinc/41/67/24/716416724.db2.gz LYIRQUQHINWIGJ-NWDGAFQWSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(N[C@@H]1Cc2ccccc2[C@H]1NCCF)c1ncccc1[O-] ZINC000960257393 716469900 /nfs/dbraw/zinc/46/99/00/716469900.db2.gz RCFNLPXOTPWEMH-UKRRQHHQSA-N -1 1 315.348 1.742 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1=CCCC1 ZINC000939995589 716557918 /nfs/dbraw/zinc/55/79/18/716557918.db2.gz VLSMRDUZSSNSQK-GFCCVEGCSA-N -1 1 301.346 1.228 20 0 DDADMM CCC1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000940139278 716647308 /nfs/dbraw/zinc/64/73/08/716647308.db2.gz ZCPCTGAANDJIBO-LLVKDONJSA-N -1 1 303.362 1.308 20 0 DDADMM CCCC(=O)N1CCC[C@H]([C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC000961099018 716804542 /nfs/dbraw/zinc/80/45/42/716804542.db2.gz OACXWOHQUGRYOR-QWHCGFSZSA-N -1 1 321.425 1.371 20 0 DDADMM CC(C)C(=O)N1CC[C@@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959064812 716852002 /nfs/dbraw/zinc/85/20/02/716852002.db2.gz JBSMIADZEVMIRJ-NWDGAFQWSA-N -1 1 305.378 1.410 20 0 DDADMM CCCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964847342 717452003 /nfs/dbraw/zinc/45/20/03/717452003.db2.gz DWDMHQYTNLNXEL-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942357538 717634249 /nfs/dbraw/zinc/63/42/49/717634249.db2.gz NNOBHHRKVUGOFR-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C(C)(C)F)C1 ZINC000942758481 717858618 /nfs/dbraw/zinc/85/86/18/717858618.db2.gz NBMCDNBJSRCNRM-LLVKDONJSA-N -1 1 323.368 1.598 20 0 DDADMM C[C@H]1CN(C(=O)CC2CC2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945104104 718397051 /nfs/dbraw/zinc/39/70/51/718397051.db2.gz OEYMIFRUWUBCOB-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CCCC(=O)N1CCC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000945564267 718494129 /nfs/dbraw/zinc/49/41/29/718494129.db2.gz AEPGMKSOESZETF-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CCC(CC)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000947618096 719228301 /nfs/dbraw/zinc/22/83/01/719228301.db2.gz HIGOWKGUEVCHSM-DGCLKSJQSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000948186962 719386168 /nfs/dbraw/zinc/38/61/68/719386168.db2.gz RZPMROKAKPXECB-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cnc(CN[C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)s1 ZINC000968432273 719582333 /nfs/dbraw/zinc/58/23/33/719582333.db2.gz DJRKFJZYHWKBLR-SNVBAGLBSA-N -1 1 321.406 1.193 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])c1cccs1 ZINC000948814442 719725463 /nfs/dbraw/zinc/72/54/63/719725463.db2.gz KUVPSEBTXJGZGY-SNVBAGLBSA-N -1 1 317.370 1.493 20 0 DDADMM O=C(C[C@@H]1C=CCC1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949530938 720143042 /nfs/dbraw/zinc/14/30/42/720143042.db2.gz JSDGSHXWMGIBQR-OLZOCXBDSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(CC1CCC1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949535228 720145326 /nfs/dbraw/zinc/14/53/26/720145326.db2.gz QBLRRXCOGFCYKZ-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM Cc1nocc1CN1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000969542548 720181364 /nfs/dbraw/zinc/18/13/64/720181364.db2.gz FSBKNEJZLLAILB-JTQLQIEISA-N -1 1 316.361 1.334 20 0 DDADMM Cc1cc[nH]c1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000949665091 720250569 /nfs/dbraw/zinc/25/05/69/720250569.db2.gz NUASZRPOCZREFY-NSHDSACASA-N -1 1 314.345 1.068 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2ccon2)C1 ZINC000949948695 720426642 /nfs/dbraw/zinc/42/66/42/720426642.db2.gz VHLMUZAPDKKKCJ-UHFFFAOYSA-N -1 1 302.334 1.122 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950344273 720587395 /nfs/dbraw/zinc/58/73/95/720587395.db2.gz NECWHKRBGXAENW-JPOQUURFSA-N -1 1 303.362 1.474 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542402 720676192 /nfs/dbraw/zinc/67/61/92/720676192.db2.gz AWHMUXVREDELSH-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2CCN(C(=O)C3CC3)C[C@H]21 ZINC000953226924 721569132 /nfs/dbraw/zinc/56/91/32/721569132.db2.gz RGZGACODQTYIPM-DGCLKSJQSA-N -1 1 315.373 1.260 20 0 DDADMM CCCC(=O)N1C[C@H](CNC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001009936646 738619881 /nfs/dbraw/zinc/61/98/81/738619881.db2.gz LUPIPRAOWNLXOP-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1nnc([C@@H](C)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038184159 732911217 /nfs/dbraw/zinc/91/12/17/732911217.db2.gz QGZIKZFJGQUOPN-MWLCHTKSSA-N -1 1 317.349 1.044 20 0 DDADMM Cc1cnc(CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001010728631 733186001 /nfs/dbraw/zinc/18/60/01/733186001.db2.gz XEVUAMVPOSDIHP-NSHDSACASA-N -1 1 302.334 1.088 20 0 DDADMM C[C@@H](c1ncccn1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038195879 733220798 /nfs/dbraw/zinc/22/07/98/733220798.db2.gz XOWOGMDNLLPKOG-RYUDHWBXSA-N -1 1 313.361 1.143 20 0 DDADMM CCN(CC)C(=O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692896718 738964826 /nfs/dbraw/zinc/96/48/26/738964826.db2.gz XIMBYZKULIUBPZ-UHFFFAOYSA-N -1 1 323.777 1.021 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C(C)C ZINC000692904504 739026349 /nfs/dbraw/zinc/02/63/49/739026349.db2.gz MVBDTJNAALFKAL-SECBINFHSA-N -1 1 324.761 1.350 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001017088670 751459772 /nfs/dbraw/zinc/45/97/72/751459772.db2.gz UCTHIRWTISDKLY-RYUDHWBXSA-N -1 1 321.425 1.227 20 0 DDADMM O=C(c1cc[nH]c1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088320032 741382577 /nfs/dbraw/zinc/38/25/77/741382577.db2.gz UXKVKZIBUSRNSA-LBPRGKRZSA-N -1 1 318.381 1.013 20 0 DDADMM O=C(N[C@H]1C[C@@H](Nc2nccnc2F)C1)c1ncccc1[O-] ZINC001059613642 741414930 /nfs/dbraw/zinc/41/49/30/741414930.db2.gz MAHJGMINLSEIEV-DTORHVGOSA-N -1 1 303.297 1.089 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(CCC(F)(F)F)[C@@H]2C)c1[O-] ZINC001088526036 741699287 /nfs/dbraw/zinc/69/92/87/741699287.db2.gz YIRTXLRQRQODDD-BDAKNGLRSA-N -1 1 320.315 1.569 20 0 DDADMM C[C@@H](CCNC(=O)C1=CCCC1)NC(=O)c1ncccc1[O-] ZINC001076140652 742562173 /nfs/dbraw/zinc/56/21/73/742562173.db2.gz ZQAIAEPJTMTWNY-NSHDSACASA-N -1 1 303.362 1.522 20 0 DDADMM C=C/C(C)=C/CC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076246585 742619676 /nfs/dbraw/zinc/61/96/76/742619676.db2.gz ZQGUIPFCUSNCOU-WHGQRRHOSA-N -1 1 317.389 1.934 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1cccs1 ZINC001076244502 742624008 /nfs/dbraw/zinc/62/40/08/742624008.db2.gz VJSWPDHJYVPNCS-JTQLQIEISA-N -1 1 319.386 1.787 20 0 DDADMM CC(C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-])=C1CCC1 ZINC001076244915 742624531 /nfs/dbraw/zinc/62/45/31/742624531.db2.gz FXOGMKLCTDKMAN-NSHDSACASA-N -1 1 317.389 1.912 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)C[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001002413271 743119137 /nfs/dbraw/zinc/11/91/37/743119137.db2.gz SUWKBHHDEITEJA-FRRDWIJNSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(CC1CCCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998432515 751835935 /nfs/dbraw/zinc/83/59/35/751835935.db2.gz VFJTYIURHIJBEM-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM C[C@@H](CCNC(=O)CC1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001077446215 743734130 /nfs/dbraw/zinc/73/41/30/743734130.db2.gz XXMWXQCXPBOXRG-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM CSc1nc(NC(=O)[C@H](O)c2ccc(C)cc2)cc(=O)[n-]1 ZINC001183644197 743870571 /nfs/dbraw/zinc/87/05/71/743870571.db2.gz HEPRSDSTKJUURQ-GFCCVEGCSA-N -1 1 305.359 1.885 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cc(C(C)=O)ccn1 ZINC001184827332 744109598 /nfs/dbraw/zinc/10/95/98/744109598.db2.gz AUPJJMIPEWZSNT-UHFFFAOYSA-N -1 1 303.274 1.620 20 0 DDADMM O=S(=O)([N-]c1ncncc1Cl)c1ccc2n[nH]cc2c1 ZINC001185946012 744311550 /nfs/dbraw/zinc/31/15/50/744311550.db2.gz KNWBYGXWQLQONE-UHFFFAOYSA-N -1 1 309.738 1.807 20 0 DDADMM O=C(N[C@@H]1C(=O)NCc2ccccc21)c1ccc([O-])c(F)c1 ZINC001186233383 744361011 /nfs/dbraw/zinc/36/10/11/744361011.db2.gz KCKNCQHCMYJMES-AWEZNQCLSA-N -1 1 300.289 1.632 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)C(F)(F)c1ccccn1 ZINC000154695382 744364639 /nfs/dbraw/zinc/36/46/39/744364639.db2.gz KSYOQDLRSFWESE-UHFFFAOYSA-N -1 1 307.182 1.949 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)C1=NCCC1)c1ccccc1N ZINC001187368584 744550716 /nfs/dbraw/zinc/55/07/16/744550716.db2.gz DGXUZSVAIPHJHA-JTQLQIEISA-N -1 1 320.374 1.476 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)C1=NCCC1)c1ccccc1N ZINC001187368584 744550719 /nfs/dbraw/zinc/55/07/19/744550719.db2.gz DGXUZSVAIPHJHA-JTQLQIEISA-N -1 1 320.374 1.476 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]c1cccn(C(F)F)c1=O ZINC001187401599 744556786 /nfs/dbraw/zinc/55/67/86/744556786.db2.gz VBFOOCBHSFOURQ-UHFFFAOYSA-N -1 1 305.262 1.341 20 0 DDADMM O=C(NC1CC(CNC(=O)c2ncccc2[O-])C1)c1ccc[nH]1 ZINC000992050433 744561286 /nfs/dbraw/zinc/56/12/86/744561286.db2.gz WEIWFFBUGSWLNJ-UHFFFAOYSA-N -1 1 314.345 1.054 20 0 DDADMM Cn1ncc2cc(NC(=O)c3ncc(C(F)(F)F)[n-]3)cnc21 ZINC001188278738 744685936 /nfs/dbraw/zinc/68/59/36/744685936.db2.gz VFCOOVYMZMDGAF-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM Cn1ncc2cc(NC(=O)c3nc(C(F)(F)F)c[n-]3)cnc21 ZINC001188278738 744685937 /nfs/dbraw/zinc/68/59/37/744685937.db2.gz VFCOOVYMZMDGAF-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(F)nc(F)c3F)c1-2 ZINC001189166343 744833598 /nfs/dbraw/zinc/83/35/98/744833598.db2.gz IGNKCRHCOLPGDH-UHFFFAOYSA-N -1 1 308.223 1.099 20 0 DDADMM O=S(=O)(CCCF)[N-]c1ncc(Br)cc1O ZINC001189865291 745005439 /nfs/dbraw/zinc/00/54/39/745005439.db2.gz LVROQOGEYVQVAF-UHFFFAOYSA-N -1 1 313.148 1.651 20 0 DDADMM CO[C@@H](C)C1CN(C(=O)c2cnc(-c3ccccc3)[n-]c2=O)C1 ZINC001190269770 745169256 /nfs/dbraw/zinc/16/92/56/745169256.db2.gz HXBWLFOKPRVGSW-NSHDSACASA-N -1 1 313.357 1.956 20 0 DDADMM O=C(c1cnc(-c2ccccn2)[n-]c1=O)N1CCC2(COC2)C1 ZINC001190618506 745260133 /nfs/dbraw/zinc/26/01/33/745260133.db2.gz FZLSAGBULUJBFU-UHFFFAOYSA-N -1 1 312.329 1.107 20 0 DDADMM COCc1[nH]nc2c1CN(C(=O)c1ccc(=O)[nH]c1Cl)C2 ZINC001190907825 745381639 /nfs/dbraw/zinc/38/16/39/745381639.db2.gz GTJDLUXDEHXHBW-UHFFFAOYSA-N -1 1 308.725 1.466 20 0 DDADMM O=C(NCCCc1ccccc1)NCC[N-]C(=O)C(F)(F)F ZINC001191219948 745458703 /nfs/dbraw/zinc/45/87/03/745458703.db2.gz SHYZUUMSPBCQED-UHFFFAOYSA-N -1 1 317.311 1.597 20 0 DDADMM CSc1ncc(C(=O)Nc2cc(Cl)[nH]c(=O)c2)c(=O)[n-]1 ZINC001191429825 745510133 /nfs/dbraw/zinc/51/01/33/745510133.db2.gz URJUKQRCNPWMDP-UHFFFAOYSA-N -1 1 312.738 1.910 20 0 DDADMM COc1ccsc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001191750760 745601696 /nfs/dbraw/zinc/60/16/96/745601696.db2.gz MQXWFYSVEAKVJY-UHFFFAOYSA-N -1 1 323.334 1.969 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cnc(-c2ccccc2)[nH]1 ZINC001192201386 745716894 /nfs/dbraw/zinc/71/68/94/745716894.db2.gz HYONABLSLKYXGS-UHFFFAOYSA-N -1 1 312.289 1.112 20 0 DDADMM CCOC(=O)/C=C(/NC(=O)c1c(F)ccc([O-])c1F)OCC ZINC001192556532 745815367 /nfs/dbraw/zinc/81/53/67/745815367.db2.gz YGRSWTUGUHYKPQ-YFHOEESVSA-N -1 1 315.272 1.841 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc2c(c1)COC2 ZINC001193011831 745959723 /nfs/dbraw/zinc/95/97/23/745959723.db2.gz BSDGDXJMNZZADA-UHFFFAOYSA-N -1 1 313.375 1.800 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]/C(C)=C\C(=O)OC(C)C ZINC001193072818 745967840 /nfs/dbraw/zinc/96/78/40/745967840.db2.gz BNDRGEAIOUHIJW-NTMALXAHSA-N -1 1 321.395 1.103 20 0 DDADMM O=C(NCc1ccc2[nH]nnc2c1)c1ccc(F)c([O-])c1F ZINC001193793844 746193918 /nfs/dbraw/zinc/19/39/18/746193918.db2.gz UCRVSDQBFPYZKK-UHFFFAOYSA-N -1 1 304.256 1.872 20 0 DDADMM CNC(=O)Cc1ccc([N-]S(=O)(=O)c2ccccc2N)cc1 ZINC001193923680 746238460 /nfs/dbraw/zinc/23/84/60/746238460.db2.gz FSASZWSAOASLSR-UHFFFAOYSA-N -1 1 319.386 1.358 20 0 DDADMM Cc1ccc2c(c1[N-]S(=O)(=O)c1ccccc1N)CNC2=O ZINC001193924812 746239073 /nfs/dbraw/zinc/23/90/73/746239073.db2.gz NJQQLNFYEOWLLM-UHFFFAOYSA-N -1 1 317.370 1.621 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cccc(-n3nccn3)c2)[n-]n1 ZINC001194289064 746344380 /nfs/dbraw/zinc/34/43/80/746344380.db2.gz VCUZLTHFWAQIJI-UHFFFAOYSA-N -1 1 312.289 1.029 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2cnc(C3CC3)[nH]c2=O)CCC[N@@H+]1CCF ZINC000993937089 746380818 /nfs/dbraw/zinc/38/08/18/746380818.db2.gz RSFOGYOJDKZNBV-MFKMUULPSA-N -1 1 322.384 1.612 20 0 DDADMM COC(=O)c1ncccc1[N-]S(=O)(=O)C1CCC(=O)CC1 ZINC001194758063 746458336 /nfs/dbraw/zinc/45/83/36/746458336.db2.gz PHYUOMSHLCVPTE-UHFFFAOYSA-N -1 1 312.347 1.122 20 0 DDADMM Nc1ncc(Cl)nc1NC(=O)c1c[n-]c(C(F)(F)F)n1 ZINC001194770309 746462098 /nfs/dbraw/zinc/46/20/98/746462098.db2.gz FIJKAXXMHOTLHQ-UHFFFAOYSA-N -1 1 306.635 1.706 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CCC[C@](O)(CF)CC1 ZINC001195300170 746570669 /nfs/dbraw/zinc/57/06/69/746570669.db2.gz XSJGGHVTBNEYPU-CYBMUJFWSA-N -1 1 302.733 1.767 20 0 DDADMM O=C(N[C@@H]1NC(=O)c2ccccc21)c1cc([O-])cnc1Cl ZINC001195313017 746574657 /nfs/dbraw/zinc/57/46/57/746574657.db2.gz OBBAQWXNLSVJCA-LBPRGKRZSA-N -1 1 303.705 1.613 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(F)c(CO)c(F)c3)c1-2 ZINC001195608241 746666231 /nfs/dbraw/zinc/66/62/31/746666231.db2.gz QIABJHBGMHJPEL-UHFFFAOYSA-N -1 1 319.271 1.058 20 0 DDADMM CC(C)Nc1nc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cs1 ZINC001195689845 746672668 /nfs/dbraw/zinc/67/26/68/746672668.db2.gz GVGOOYDZDUDKLU-UHFFFAOYSA-N -1 1 319.350 1.588 20 0 DDADMM C[C@](CO)(NC(=O)c1c[nH]c(=S)[n-]c1=O)c1ccccc1 ZINC001196026976 746765976 /nfs/dbraw/zinc/76/59/76/746765976.db2.gz ORESNEGKMOZFLS-CQSZACIVSA-N -1 1 305.359 1.108 20 0 DDADMM COc1cc(O)ccc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001197156545 747089512 /nfs/dbraw/zinc/08/95/12/747089512.db2.gz SLQLNRZPCMYOPD-UHFFFAOYSA-N -1 1 302.212 1.790 20 0 DDADMM COc1ccc([N-]S(=O)(=O)Cc2ccccn2)c(OC)n1 ZINC001197783290 747262978 /nfs/dbraw/zinc/26/29/78/747262978.db2.gz SEZHKYVCJRQFCS-UHFFFAOYSA-N -1 1 309.347 1.436 20 0 DDADMM Cc1c([N-]S(=O)(=O)Cc2ccccn2)cnn1[C@H]1CCOC1 ZINC001197789829 747266172 /nfs/dbraw/zinc/26/61/72/747266172.db2.gz GBCPFSVHTDZSQM-ZDUSSCGKSA-N -1 1 322.390 1.490 20 0 DDADMM COc1cc([N-]C(=O)c2cncc(OCC(F)(F)F)n2)no1 ZINC001197994012 747343969 /nfs/dbraw/zinc/34/39/69/747343969.db2.gz VWOKPZZWKGISAE-UHFFFAOYSA-N -1 1 318.211 1.667 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2n[nH]c3c2CC[C@@H]3C)n1 ZINC001198426324 747489670 /nfs/dbraw/zinc/48/96/70/747489670.db2.gz WVRKRMQLJRSPBP-ZETCQYMHSA-N -1 1 303.322 1.612 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2c(O)cc(F)cc2F)n1C ZINC001198391876 747492508 /nfs/dbraw/zinc/49/25/08/747492508.db2.gz HMAZXCADFISYDG-UHFFFAOYSA-N -1 1 303.290 1.513 20 0 DDADMM C=CS(=O)(=O)[N-]c1cc(N2C[C@@H](C)O[C@H](C)C2)nc(C)n1 ZINC001198570296 747530457 /nfs/dbraw/zinc/53/04/57/747530457.db2.gz ZILQJNWQQFESEW-NXEZZACHSA-N -1 1 312.395 1.284 20 0 DDADMM O=C(NC[C@@H]1CCCN1c1cc(F)ncn1)c1ncccc1[O-] ZINC001061343517 747676891 /nfs/dbraw/zinc/67/68/91/747676891.db2.gz KPXJISXIRXSQMD-JTQLQIEISA-N -1 1 317.324 1.115 20 0 DDADMM CC(C)n1ccnc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001199411802 747842011 /nfs/dbraw/zinc/84/20/11/747842011.db2.gz DRYCITGSBDEFLE-UHFFFAOYSA-N -1 1 319.325 1.676 20 0 DDADMM CC(C)NC(=S)Nc1cc(S(=O)(=O)N(C)C)ccc1[O-] ZINC001200789874 748346890 /nfs/dbraw/zinc/34/68/90/748346890.db2.gz UDZBFETWUOUJHI-UHFFFAOYSA-N -1 1 317.436 1.337 20 0 DDADMM CCc1ccccc1NC(=S)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001201153940 748422200 /nfs/dbraw/zinc/42/22/00/748422200.db2.gz KQENYQFIRKFNOU-VIFPVBQESA-N -1 1 322.415 1.071 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1C[C@@]12CCCOC2 ZINC001201768937 748599870 /nfs/dbraw/zinc/59/98/70/748599870.db2.gz JQNQDUYPUKADDS-GZMMTYOYSA-N -1 1 322.839 1.952 20 0 DDADMM CC1(C)CN(C(=O)C2CCCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995376908 748629926 /nfs/dbraw/zinc/62/99/26/748629926.db2.gz AIFGLRAAIGJTLU-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1cccc(NC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1C ZINC001202712113 749510201 /nfs/dbraw/zinc/51/02/01/749510201.db2.gz FNXJPWLAKHDYAV-UHFFFAOYSA-N -1 1 314.305 1.977 20 0 DDADMM Cc1nc(-c2noc(-c3cn[n-]n3)n2)ccc1Br ZINC001212799973 749523160 /nfs/dbraw/zinc/52/31/60/749523160.db2.gz HLWJUFXJZRHGNJ-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM COc1ncc(-c2noc(-c3cn[n-]n3)n2)cc1Br ZINC001212800148 749524329 /nfs/dbraw/zinc/52/43/29/749524329.db2.gz RUVRHSHSZZFLBF-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM COc1ccnc(N[C@@H](C)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001115719559 750903208 /nfs/dbraw/zinc/90/32/08/750903208.db2.gz TWZNCQQDULHZCG-JTQLQIEISA-N -1 1 317.349 1.158 20 0 DDADMM C[C@@H]1CCCN(C(=O)/C=C\C2CC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036527322 752521755 /nfs/dbraw/zinc/52/17/55/752521755.db2.gz BYMDBHGRHGIHOW-YXZYKRAASA-N -1 1 319.409 1.193 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2ccc[nH]2)C1 ZINC001005949522 753409042 /nfs/dbraw/zinc/40/90/42/753409042.db2.gz GWPXSEDSKRJCRL-SNVBAGLBSA-N -1 1 314.345 1.006 20 0 DDADMM CC(=O)N1C[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1C ZINC001009897993 753443548 /nfs/dbraw/zinc/44/35/48/753443548.db2.gz MXPWAEBCXBVXJF-GXSJLCMTSA-N -1 1 318.377 1.046 20 0 DDADMM CC(C)C(=O)N1CC2(C1)CCCN(C(=O)c1ncccc1[O-])C2 ZINC001010535765 754002863 /nfs/dbraw/zinc/00/28/63/754002863.db2.gz LHYLPOLNJAOEJD-UHFFFAOYSA-N -1 1 317.389 1.508 20 0 DDADMM O=C(N[C@@H]1CCN(C/C(Cl)=C\Cl)C1)c1ncccc1[O-] ZINC001010733667 754131461 /nfs/dbraw/zinc/13/14/61/754131461.db2.gz QZUDJIXISUGBAY-OLKPEBQYSA-N -1 1 316.188 1.910 20 0 DDADMM Cc1nsc(N[C@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)n1 ZINC001040102352 762384044 /nfs/dbraw/zinc/38/40/44/762384044.db2.gz ATHLWVULALBTSB-WPRPVWTQSA-N -1 1 319.390 1.662 20 0 DDADMM O=C(C1CCC=CCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000959317 762413672 /nfs/dbraw/zinc/41/36/72/762413672.db2.gz KLUKSLQAUPERSD-ZDUSSCGKSA-N -1 1 319.409 1.337 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001014892205 756003527 /nfs/dbraw/zinc/00/35/27/756003527.db2.gz GSFARANNWNFJQK-VXGBXAGGSA-N -1 1 305.378 1.553 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C1CC1 ZINC001014896897 756005213 /nfs/dbraw/zinc/00/52/13/756005213.db2.gz YNFXWOODIYNAFC-PWSUYJOCSA-N -1 1 303.362 1.307 20 0 DDADMM CN(C(=O)C1(C)CC1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016607333 757198471 /nfs/dbraw/zinc/19/84/71/757198471.db2.gz OKRMVDDYVZGGPF-UHFFFAOYSA-N -1 1 303.362 1.307 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(C/C=C/Cl)C[C@H]21 ZINC001084299185 757501581 /nfs/dbraw/zinc/50/15/81/757501581.db2.gz HYSVEVOSOCQBLY-JAVOVTSZSA-N -1 1 307.781 1.686 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(Cc3ccon3)C[C@H]21 ZINC001084299266 757501932 /nfs/dbraw/zinc/50/19/32/757501932.db2.gz MCOHDBWDNQSCDQ-DGCLKSJQSA-N -1 1 314.345 1.122 20 0 DDADMM C/C=C/[C@@H](O)C(=O)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000822521867 758214807 /nfs/dbraw/zinc/21/48/07/758214807.db2.gz BKPRVIGQUAKHLQ-XYBNCVKDSA-N -1 1 324.808 1.627 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)C2CC2)CN1C(=O)c1ncccc1[O-] ZINC001017893513 758354529 /nfs/dbraw/zinc/35/45/29/758354529.db2.gz XPWOTDCVNOUKKA-CMPLNLGQSA-N -1 1 303.362 1.307 20 0 DDADMM Cc1nsc(N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)n1 ZINC001065245236 758415247 /nfs/dbraw/zinc/41/52/47/758415247.db2.gz NUHWXGOWGSNUEZ-AWEZNQCLSA-N -1 1 319.390 1.346 20 0 DDADMM CC(C)C(=O)N1CC[C@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001018511269 758928296 /nfs/dbraw/zinc/92/82/96/758928296.db2.gz AULCXBMLVSEJFO-QWHCGFSZSA-N -1 1 319.405 1.658 20 0 DDADMM CC(C)C(=O)N1CC[C@@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001018511266 758928410 /nfs/dbraw/zinc/92/84/10/758928410.db2.gz AULCXBMLVSEJFO-CHWSQXEVSA-N -1 1 319.405 1.658 20 0 DDADMM Cc1cnc(CN[C@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC001018750174 759220309 /nfs/dbraw/zinc/22/03/09/759220309.db2.gz GTWPUPWXNNKGFT-NSHDSACASA-N -1 1 302.334 1.088 20 0 DDADMM CC1(C(=O)N2CCC3(CN(C(=O)c4ncccc4[O-])C3)C2)CC1 ZINC001018962055 759454339 /nfs/dbraw/zinc/45/43/39/759454339.db2.gz DBGMQIPBYNCGMS-UHFFFAOYSA-N -1 1 315.373 1.262 20 0 DDADMM CN(C[C@@H]1CCN1Cc1ccns1)C(=O)c1ncccc1[O-] ZINC001085561475 759697783 /nfs/dbraw/zinc/69/77/83/759697783.db2.gz MRPYGWYWXHKQBY-NSHDSACASA-N -1 1 318.402 1.590 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1cccs1 ZINC001019371816 759864753 /nfs/dbraw/zinc/86/47/53/759864753.db2.gz NBIHIYQQLJXTFE-UWVGGRQHSA-N -1 1 321.406 1.066 20 0 DDADMM C[C@@H]1CN(C(=O)C2CC3(CCC3)C2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054991999 760269126 /nfs/dbraw/zinc/26/91/26/760269126.db2.gz MSIOVHZAWZPYOQ-PWSUYJOCSA-N -1 1 319.409 1.027 20 0 DDADMM Cc1c[nH]c(NC(=O)Cc2c(C)nc(-c3ccncc3)[n-]c2=O)n1 ZINC001137348508 760505630 /nfs/dbraw/zinc/50/56/30/760505630.db2.gz ZPXGUMVYZLCSMD-UHFFFAOYSA-N -1 1 324.344 1.353 20 0 DDADMM C[C@H](F)CCN1CC[C@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001038923361 761548659 /nfs/dbraw/zinc/54/86/59/761548659.db2.gz RYVUTMHZBWFNPM-UWVGGRQHSA-N -1 1 322.340 1.481 20 0 DDADMM O=C(CC1CCC1)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047044035 768209136 /nfs/dbraw/zinc/20/91/36/768209136.db2.gz DODWTCIDYCVQHI-XQQFMLRXSA-N -1 1 319.409 1.170 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1Nc1cc(F)ncn1 ZINC001043148454 764714096 /nfs/dbraw/zinc/71/40/96/764714096.db2.gz UGQJNDVPAPGKFZ-NXEZZACHSA-N -1 1 317.324 1.289 20 0 DDADMM CSc1nc(CNC(=O)c2ccc(F)c(C=O)c2)cc(=O)[n-]1 ZINC001143619927 764750667 /nfs/dbraw/zinc/75/06/67/764750667.db2.gz HOPGPEMNPVENPH-UHFFFAOYSA-N -1 1 321.333 1.786 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1nccnc1F ZINC001112983184 765099617 /nfs/dbraw/zinc/09/96/17/765099617.db2.gz GXJXSLWEFWEDKA-IUCAKERBSA-N -1 1 305.313 1.335 20 0 DDADMM CCCCC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001052019839 765391346 /nfs/dbraw/zinc/39/13/46/765391346.db2.gz AICSFZDLNZQVOL-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM CCCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052421077 765808177 /nfs/dbraw/zinc/80/81/77/765808177.db2.gz IKBWSAPJCRTIRE-VXGBXAGGSA-N -1 1 305.378 1.412 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)CC1CC1 ZINC001052420130 765808199 /nfs/dbraw/zinc/80/81/99/765808199.db2.gz CHGLSWAJMKAAKC-WCQYABFASA-N -1 1 317.389 1.412 20 0 DDADMM O=C(CCC1CCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044983997 765996926 /nfs/dbraw/zinc/99/69/26/765996926.db2.gz VFNHJUMLJWQPLB-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001045138570 766108466 /nfs/dbraw/zinc/10/84/66/766108466.db2.gz ZXHVXWFOIGUGRX-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM COc1csc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c1 ZINC001129408571 766871337 /nfs/dbraw/zinc/87/13/37/766871337.db2.gz CKTGFLDGUBNWCG-UHFFFAOYSA-N -1 1 305.319 1.048 20 0 DDADMM CCC(C)(C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648284 768643155 /nfs/dbraw/zinc/64/31/55/768643155.db2.gz DHEVEDRCDRBICV-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CCC[C@@H](C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648757 768643692 /nfs/dbraw/zinc/64/36/92/768643692.db2.gz WHCVRCZKZSGTIO-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CC/C=C(/C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952553 770337408 /nfs/dbraw/zinc/33/74/08/770337408.db2.gz NUHBLYSXMJKCDH-RLLAQXBXSA-N -1 1 317.389 1.720 20 0 DDADMM O=C(CN(C(=O)c1ccccc1)c1ccccc1)Nc1nnn[n-]1 ZINC001136946960 772220347 /nfs/dbraw/zinc/22/03/47/772220347.db2.gz RQIWQXZGRVEUFQ-UHFFFAOYSA-N -1 1 322.328 1.485 20 0 DDADMM O=C(CN(C(=O)c1ccccc1)c1ccccc1)Nc1nn[n-]n1 ZINC001136946960 772220350 /nfs/dbraw/zinc/22/03/50/772220350.db2.gz RQIWQXZGRVEUFQ-UHFFFAOYSA-N -1 1 322.328 1.485 20 0 DDADMM CC(C)(Br)C(=O)NCCCC[P@](=O)([O-])O ZINC001144560077 772565262 /nfs/dbraw/zinc/56/52/62/772565262.db2.gz SLUAPVXBRYVOCC-UHFFFAOYSA-N -1 1 302.105 1.234 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2ccc3ncncc3c2)c1[O-] ZINC001147843028 773266395 /nfs/dbraw/zinc/26/63/95/773266395.db2.gz PIAIILFJWKIOCM-UHFFFAOYSA-N -1 1 310.313 1.783 20 0 DDADMM CC1(C)C(=O)NC[C@H]1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001148805512 773605839 /nfs/dbraw/zinc/60/58/39/773605839.db2.gz AJUQMRIBPBQEJB-SNVBAGLBSA-N -1 1 316.279 1.665 20 0 DDADMM COCC1CN(C(=O)c2cc([O-])c(Br)c(O)c2)C1 ZINC001148981568 773663795 /nfs/dbraw/zinc/66/37/95/773663795.db2.gz LIMQHMYVSVUNOK-UHFFFAOYSA-N -1 1 316.151 1.579 20 0 DDADMM O=C(NCC1CC(=O)C1)c1cc([O-])c(Br)c(O)c1 ZINC001148989950 773666604 /nfs/dbraw/zinc/66/66/04/773666604.db2.gz MGVJNMJJKBNHIQ-UHFFFAOYSA-N -1 1 314.135 1.569 20 0 DDADMM CSCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001074797964 774146005 /nfs/dbraw/zinc/14/60/05/774146005.db2.gz XBUYPYZUGFJZEF-GHMZBOCLSA-N -1 1 323.418 1.260 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])Nc1nccnc1F ZINC001099104869 774834553 /nfs/dbraw/zinc/83/45/53/774834553.db2.gz KPNOZHRSUGZRCO-SECBINFHSA-N -1 1 305.313 1.337 20 0 DDADMM Cc1cc(C)n2nc(C(=O)Nc3cccc(F)c3[O-])nc2n1 ZINC001171632526 776355809 /nfs/dbraw/zinc/35/58/09/776355809.db2.gz FHJLKCYECSTVKO-UHFFFAOYSA-N -1 1 301.281 1.838 20 0 DDADMM COC(=O)c1cc(Nc2c(N)[nH]c(=S)[n-]c2=O)ccc1C ZINC001212624760 776385446 /nfs/dbraw/zinc/38/54/46/776385446.db2.gz DZBBWKCPSAIUFB-UHFFFAOYSA-N -1 1 306.347 1.892 20 0 DDADMM C[C@@H]1CC[C@H]([NH2+]CCP(=O)([O-])[O-])CN1C(=O)OC(C)(C)C ZINC001173058338 776967629 /nfs/dbraw/zinc/96/76/29/776967629.db2.gz QFGCDCCMKISTJR-MNOVXSKESA-N -1 1 322.342 1.542 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(NC3Cc4cc(F)c(F)cc4C3)c2[nH]1 ZINC001173476605 777200380 /nfs/dbraw/zinc/20/03/80/777200380.db2.gz CURXQQNAUMXISX-UHFFFAOYSA-N -1 1 319.271 1.573 20 0 DDADMM CN(CCNc1cc(Cl)c(=O)[nH]n1)C(=O)c1ncccc1[O-] ZINC001101561178 777250657 /nfs/dbraw/zinc/25/06/57/777250657.db2.gz NCCLDWIKCQDRJV-UHFFFAOYSA-N -1 1 323.740 1.120 20 0 DDADMM Cc1nnc([N-]c2ccc(S(=O)(=O)N3CCOCC3)cc2)o1 ZINC001174245644 777438096 /nfs/dbraw/zinc/43/80/96/777438096.db2.gz QEWMPUKKCGGKJT-UHFFFAOYSA-N -1 1 324.362 1.143 20 0 DDADMM Cc1nn(C)cc1Nc1cc(S(=O)(=O)C(N)=O)ccc1[O-] ZINC001174338666 777460896 /nfs/dbraw/zinc/46/08/96/777460896.db2.gz MPZMFZPTEDWZML-UHFFFAOYSA-N -1 1 310.335 1.444 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CCC1CCC1 ZINC001101860909 777617228 /nfs/dbraw/zinc/61/72/28/777617228.db2.gz ZCTKNDUXYOKQKY-DGCLKSJQSA-N -1 1 321.425 1.275 20 0 DDADMM Cc1nc(N)ncc1[N-]c1nonc1-c1cn2ccncc2n1 ZINC001175667224 777869738 /nfs/dbraw/zinc/86/97/38/777869738.db2.gz XYCRZIJUOSVACC-UHFFFAOYSA-N -1 1 309.293 1.204 20 0 DDADMM CO[C@@H]1COCC[C@H]1N(C)C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001176083197 778017186 /nfs/dbraw/zinc/01/71/86/778017186.db2.gz CZYOGCRTGWEHHG-ZIAGYGMSSA-N -1 1 307.346 1.389 20 0 DDADMM CC(CNC(=O)Cc1nn[n-]n1)(c1ccccc1)c1ccccc1 ZINC001176844560 778258841 /nfs/dbraw/zinc/25/88/41/778258841.db2.gz BXRGUTCDQIORSQ-UHFFFAOYSA-N -1 1 321.384 1.865 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1nc(Cl)c(Cl)nc1Cl ZINC001176839883 778270764 /nfs/dbraw/zinc/27/07/64/778270764.db2.gz GTLGIJFOYWKYKQ-UHFFFAOYSA-N -1 1 308.516 1.131 20 0 DDADMM CCC(CC)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102681174 778304667 /nfs/dbraw/zinc/30/46/67/778304667.db2.gz BNWHZDYRJCELFX-DGCLKSJQSA-N -1 1 323.441 1.521 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CN(C)c2ccccn2)n1 ZINC001177409113 778584812 /nfs/dbraw/zinc/58/48/12/778584812.db2.gz QQUVCOJAWQVWLW-UHFFFAOYSA-N -1 1 303.322 1.056 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)CCCCc1cccs1 ZINC001177484995 778617010 /nfs/dbraw/zinc/61/70/10/778617010.db2.gz RPTSVZPVVWPOGW-UHFFFAOYSA-N -1 1 308.363 1.883 20 0 DDADMM CC(C)CCC(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001103861800 779106210 /nfs/dbraw/zinc/10/62/10/779106210.db2.gz NKUQSLYSZOZQCQ-CHWSQXEVSA-N -1 1 323.441 1.521 20 0 DDADMM Cc1ccnc(NC[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001103917575 779169792 /nfs/dbraw/zinc/16/97/92/779169792.db2.gz ROXXQWXHJUCUJD-JTQLQIEISA-N -1 1 301.350 1.364 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNc1cc(F)ncn1 ZINC001103917614 779170169 /nfs/dbraw/zinc/17/01/69/779170169.db2.gz SFBVSOSSMQDYHF-VIFPVBQESA-N -1 1 305.313 1.194 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C\C2CC2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104037094 779261601 /nfs/dbraw/zinc/26/16/01/779261601.db2.gz MTRXFSUECPQQTE-FTGSRHIXSA-N -1 1 319.409 1.051 20 0 DDADMM CC(C)CCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179589948 779421060 /nfs/dbraw/zinc/42/10/60/779421060.db2.gz GYQAFTNJQQWXOY-RYUDHWBXSA-N -1 1 309.414 1.416 20 0 DDADMM COC(=O)[C@H]1CC[C@@H]([N-]C(=O)C(F)(F)C(F)(F)Cl)C1 ZINC001179781650 779477319 /nfs/dbraw/zinc/47/73/19/779477319.db2.gz KRJYHAJPKZREMG-NTSWFWBYSA-N -1 1 305.655 1.911 20 0 DDADMM CCOCC(C)(C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692880167 779491243 /nfs/dbraw/zinc/49/12/43/779491243.db2.gz UYQVERFURKHWQN-UHFFFAOYSA-N -1 1 310.778 1.968 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc2ccc(C(F)(F)F)cc2[nH]1 ZINC001116446808 780510202 /nfs/dbraw/zinc/51/02/02/780510202.db2.gz JGGJVSXAQMCGOI-UHFFFAOYSA-N -1 1 310.239 1.630 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001119241500 781376284 /nfs/dbraw/zinc/37/62/84/781376284.db2.gz GUAAXAJWGLDQSQ-XWIASGKRSA-N -1 1 324.764 1.098 20 0 DDADMM CC/C(C)=C/C(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001267730385 838769583 /nfs/dbraw/zinc/76/95/83/838769583.db2.gz UTXZLGAKARRPTC-FMIVXFBMSA-N -1 1 321.425 1.537 20 0 DDADMM CS[C@@H](C)C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001408813761 838915883 /nfs/dbraw/zinc/91/58/83/838915883.db2.gz NKFBEWLSARFSOM-WDEREUQCSA-N -1 1 323.418 1.260 20 0 DDADMM CCCCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC001268843050 840892558 /nfs/dbraw/zinc/89/25/58/840892558.db2.gz RSZNUHCZCUMGFU-CHWSQXEVSA-N -1 1 317.389 1.793 20 0 DDADMM O=C([N-]c1n[nH]c(Cc2cccc(F)c2)n1)c1cc(CO)on1 ZINC001142812416 861269722 /nfs/dbraw/zinc/26/97/22/861269722.db2.gz OXSHMZYDLMRLJG-UHFFFAOYSA-N -1 1 317.280 1.267 20 0 DDADMM O=C(c1ccc([O-])cn1)N1C[C@H]2CC[C@@H](C1)N2Cc1ccc[nH]1 ZINC001269895021 842137303 /nfs/dbraw/zinc/13/73/03/842137303.db2.gz GYLGFZHXYZZZFT-OKILXGFUSA-N -1 1 312.373 1.604 20 0 DDADMM C[S@@](=N)(=O)N1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001363947818 842887016 /nfs/dbraw/zinc/88/70/16/842887016.db2.gz UNDRPILAQHFZGB-FQEVSTJZSA-N -1 1 305.350 1.380 20 0 DDADMM CN1c2ccccc2[C@]2(CCN(C(=O)c3cncc([O-])c3)C2)C1=O ZINC001271386620 843549968 /nfs/dbraw/zinc/54/99/68/843549968.db2.gz OEJQZJQNOYNUPY-GOSISDBHSA-N -1 1 323.352 1.548 20 0 DDADMM CN1C[C@@]2(CCN(C(=O)c3c([O-])cccc3Cl)C2)OCC1=O ZINC001271646904 843752599 /nfs/dbraw/zinc/75/25/99/843752599.db2.gz BTJJIPURKPXFGZ-OAHLLOKOSA-N -1 1 324.764 1.119 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCCC1CCOCC1 ZINC001326575853 861455657 /nfs/dbraw/zinc/45/56/57/861455657.db2.gz GBMMWGDHIUSCSR-UHFFFAOYSA-N -1 1 301.383 1.973 20 0 DDADMM CNC(=O)[C@H]1CC12CCN(C(=O)c1ccc(F)c([O-])c1)CC2 ZINC001272227321 844781435 /nfs/dbraw/zinc/78/14/35/844781435.db2.gz MQAVPQWAMODXLC-LLVKDONJSA-N -1 1 306.337 1.520 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](OCC[P@](=O)([O-])O)C(F)(F)F ZINC001224606267 844922513 /nfs/dbraw/zinc/92/25/13/844922513.db2.gz NNJNINQWBIABCV-BQBZGAKWSA-N -1 1 308.189 1.311 20 0 DDADMM CSc1nc(NC(=O)c2[nH]nc3ncccc32)cc(=O)[n-]1 ZINC001149393439 861582568 /nfs/dbraw/zinc/58/25/68/861582568.db2.gz JWQVNNIVHOBORB-UHFFFAOYSA-N -1 1 302.319 1.428 20 0 DDADMM CN1CC[C@@]2(CCN(C(=O)c3cc([O-])cnc3Cl)C2)C1=O ZINC001272387201 846092820 /nfs/dbraw/zinc/09/28/20/846092820.db2.gz YMEXFWBHWYDBGA-AWEZNQCLSA-N -1 1 309.753 1.135 20 0 DDADMM COC(=O)CCCCCCNc1nc(C)cc2c1C(=O)[N-]C2=O ZINC001155008522 861643488 /nfs/dbraw/zinc/64/34/88/861643488.db2.gz QLVAPWRLCNNHBI-UHFFFAOYSA-N -1 1 319.361 1.809 20 0 DDADMM Cc1cc(C)n2ncc(C(=O)[N-]c3noc4nccnc34)c2n1 ZINC001149574520 861721272 /nfs/dbraw/zinc/72/12/72/861721272.db2.gz GKKCXALNJNXPIT-UHFFFAOYSA-N -1 1 309.289 1.530 20 0 DDADMM Cc1cc(CN2C[C@@H]3C[C@H]2CN3C(=O)c2ncccc2[O-])no1 ZINC001032370530 847241377 /nfs/dbraw/zinc/24/13/77/847241377.db2.gz ZXMVKNBQOUMULR-STQMWFEESA-N -1 1 314.345 1.183 20 0 DDADMM O=C(C[C@H]1C=CCCC1)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001273105422 847915994 /nfs/dbraw/zinc/91/59/94/847915994.db2.gz ANRCUIMIMLPEFP-LBPRGKRZSA-N -1 1 319.409 1.385 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3cc(C)ccn32)n1 ZINC001155334346 861970630 /nfs/dbraw/zinc/97/06/30/861970630.db2.gz BOYCRYQVDIVUHA-UHFFFAOYSA-N -1 1 313.317 1.795 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2CCCOC2)[n-]c1Cl ZINC001433560731 849284283 /nfs/dbraw/zinc/28/42/83/849284283.db2.gz PZJNPCOHBAHFIK-SSDOTTSWSA-N -1 1 301.730 1.605 20 0 DDADMM C[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H](C)NC(=O)C1CC1 ZINC001411336922 850855557 /nfs/dbraw/zinc/85/55/57/850855557.db2.gz BUZZUFIVZRVSKE-RKDXNWHRSA-N -1 1 318.377 1.093 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@]12CCN(C(=O)c1cc([O-])cnc1Cl)C2 ZINC001274970570 852720075 /nfs/dbraw/zinc/72/00/75/852720075.db2.gz SSYCUNRTCXGDDH-NHYWBVRUSA-N -1 1 323.780 1.558 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CC(F)(F)F ZINC001411529488 853306903 /nfs/dbraw/zinc/30/69/03/853306903.db2.gz GQKLOHKHSHWIIA-MRVPVSSYSA-N -1 1 319.283 1.316 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ccc([O-])cn1)c1cccs1 ZINC001411619286 853468485 /nfs/dbraw/zinc/46/84/85/853468485.db2.gz OODNQZBOHATZGN-LLVKDONJSA-N -1 1 306.343 1.883 20 0 DDADMM CCC[C@@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccn1 ZINC001411785240 853732833 /nfs/dbraw/zinc/73/28/33/853732833.db2.gz ZOAVENYZJCJFJS-DGCLKSJQSA-N -1 1 316.365 1.079 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(C(C)C)on2)co1 ZINC001411844912 853822460 /nfs/dbraw/zinc/82/24/60/853822460.db2.gz JDUYHXMSCUNUHU-UHFFFAOYSA-N -1 1 313.335 1.551 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CC[C@H]1C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001411987034 854075740 /nfs/dbraw/zinc/07/57/40/854075740.db2.gz AOBKNYQLRLBEML-HBNTYKKESA-N -1 1 323.397 1.130 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3ccc(Cl)cn3)ccnc1-2 ZINC001412084302 854206670 /nfs/dbraw/zinc/20/66/70/854206670.db2.gz RVUVZNALMOPMFU-UHFFFAOYSA-N -1 1 301.737 1.571 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)C(C)(C)n2cnc(C)c2)n1 ZINC001412207537 854331231 /nfs/dbraw/zinc/33/12/31/854331231.db2.gz JAEWVAUEDYRTRF-UHFFFAOYSA-N -1 1 305.338 1.465 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)c1cccnc1OCCO ZINC001412204737 854332526 /nfs/dbraw/zinc/33/25/26/854332526.db2.gz BREYKIYSZYQGDK-UHFFFAOYSA-N -1 1 310.256 1.689 20 0 DDADMM CC(C)CC(=O)N1CCC(NC(=O)c2ccc([O-])cn2)CC1 ZINC001412454841 854569314 /nfs/dbraw/zinc/56/93/14/854569314.db2.gz KNHQFZGPHWPWFF-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1nc(CCCC(=O)N(Cc2nn[n-]n2)CC(C)C)cs1 ZINC001412525771 854658816 /nfs/dbraw/zinc/65/88/16/854658816.db2.gz FVQSIYXHMCBTLD-UHFFFAOYSA-N -1 1 322.438 1.972 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CN(C(=O)c1cccc([O-])c1Cl)C2 ZINC001276049374 854667794 /nfs/dbraw/zinc/66/77/94/854667794.db2.gz ADEVKNARCGZLCA-JTQLQIEISA-N -1 1 308.765 1.596 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2C[C@@H]3CCC[C@@H]3O2)c(=O)[n-]1 ZINC001412557896 854723240 /nfs/dbraw/zinc/72/32/40/854723240.db2.gz JQJJFBUOLVIAFP-QXEWZRGKSA-N -1 1 309.391 1.592 20 0 DDADMM COC[C@@H](NC(=O)c1sc(CC2CC2)nc1C)c1nn[n-]n1 ZINC001412609595 854807152 /nfs/dbraw/zinc/80/71/52/854807152.db2.gz PEXJZOQAIMCNQL-SECBINFHSA-N -1 1 322.394 1.035 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](O)c2cccc(C)c2)c(=O)[n-]1 ZINC001412677196 854924987 /nfs/dbraw/zinc/92/49/87/854924987.db2.gz SUMBRIRHCXUNTO-LBPRGKRZSA-N -1 1 319.386 1.676 20 0 DDADMM Cn1cc(Br)c(=O)c(NC(=O)c2ccc([O-])cn2)c1 ZINC001412732614 855042987 /nfs/dbraw/zinc/04/29/87/855042987.db2.gz AOFGPWZJZVMPTQ-UHFFFAOYSA-N -1 1 324.134 1.501 20 0 DDADMM Cc1cnc(C(=O)NC2CC(NCC(F)(F)F)C2)c([O-])c1 ZINC001412739200 855054999 /nfs/dbraw/zinc/05/49/99/855054999.db2.gz MYZYKLTZJBTKGB-UHFFFAOYSA-N -1 1 303.284 1.508 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Cc2cnc(C)o2)[n-]c1=O ZINC001412776072 855257665 /nfs/dbraw/zinc/25/76/65/855257665.db2.gz RZUDOHIPOYIYOL-LLVKDONJSA-N -1 1 318.333 1.393 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1CCC[C@H](C(=O)OC)C1)c1nn[n-]n1 ZINC001412935708 855799929 /nfs/dbraw/zinc/79/99/29/855799929.db2.gz MJXZJZFFMVUTRF-QWRGUYRKSA-N -1 1 323.397 1.311 20 0 DDADMM NC(=O)c1cccc(CN(C(=O)c2ccc([O-])cn2)C2CC2)c1 ZINC001412982575 855872829 /nfs/dbraw/zinc/87/28/29/855872829.db2.gz SNYVWLXGOUKHHG-UHFFFAOYSA-N -1 1 311.341 1.691 20 0 DDADMM CSc1ncc(C(=O)N(C)[C@@H]2CC(C)(C)OC2=O)c(=O)[n-]1 ZINC001413020199 855957543 /nfs/dbraw/zinc/95/75/43/855957543.db2.gz JISFMVBVGLUOQH-MRVPVSSYSA-N -1 1 311.363 1.070 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCCF ZINC001413084259 856387674 /nfs/dbraw/zinc/38/76/74/856387674.db2.gz HPAPFPXICWDZDY-GHMZBOCLSA-N -1 1 309.341 1.256 20 0 DDADMM CC1(C)CS(=O)(=O)CCN1Cc1ccc([O-])c(F)c1F ZINC001413217861 856558014 /nfs/dbraw/zinc/55/80/14/856558014.db2.gz VNUSSFVQMIEXEC-UHFFFAOYSA-N -1 1 305.346 1.679 20 0 DDADMM Cc1ccc(CO[C@@H](C)C(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC001413340589 856688436 /nfs/dbraw/zinc/68/84/36/856688436.db2.gz HGQQZDKMNHQQFT-NSHDSACASA-N -1 1 317.345 1.418 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1cnn(C2CCCC2)c1 ZINC001413433540 856804837 /nfs/dbraw/zinc/80/48/37/856804837.db2.gz XFWAWRWNCOQURQ-UHFFFAOYSA-N -1 1 311.367 1.168 20 0 DDADMM Cc1noc([C@@H]([N-]S(=O)(=O)c2c(C)n[nH]c2C)C(C)C)n1 ZINC001413494378 856889514 /nfs/dbraw/zinc/88/95/14/856889514.db2.gz PLRSEIGALPFZGT-JTQLQIEISA-N -1 1 313.383 1.394 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cnc(NC2CC2)s1 ZINC001413504037 856899128 /nfs/dbraw/zinc/89/91/28/856899128.db2.gz OKWZRFMZHARSSW-UHFFFAOYSA-N -1 1 321.410 1.529 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1COc2ccc(F)cc2C1 ZINC001413504458 856901299 /nfs/dbraw/zinc/90/12/99/856901299.db2.gz AJKFGWLEGFSYSP-JTQLQIEISA-N -1 1 317.324 1.086 20 0 DDADMM CCCC[C@H](C)C(=O)N(C)C1CC(NC(=O)c2cnn[nH]2)C1 ZINC001413564822 857077271 /nfs/dbraw/zinc/07/72/71/857077271.db2.gz VLVVTCBIBWVFIK-UNXYVOJBSA-N -1 1 307.398 1.350 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CCCCN1Cc1cccnc1 ZINC001328680183 863146040 /nfs/dbraw/zinc/14/60/40/863146040.db2.gz QCSRFYGHLHQUEQ-OAHLLOKOSA-N -1 1 307.394 1.520 20 0 DDADMM CSCC(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072969117 857947610 /nfs/dbraw/zinc/94/76/10/857947610.db2.gz NSDDGNCJEAJZQT-UHFFFAOYSA-N -1 1 323.418 1.261 20 0 DDADMM Fc1ccnc(-c2noc(-c3nc(Br)n[n-]3)n2)c1 ZINC001213002283 863002803 /nfs/dbraw/zinc/00/28/03/863002803.db2.gz XRGGTZVDTRGFOW-UHFFFAOYSA-N -1 1 311.074 1.818 20 0 DDADMM Fc1ccnc(-c2noc(-c3n[n-]c(Br)n3)n2)c1 ZINC001213002283 863002823 /nfs/dbraw/zinc/00/28/23/863002823.db2.gz XRGGTZVDTRGFOW-UHFFFAOYSA-N -1 1 311.074 1.818 20 0 DDADMM CCn1c(-c2nc(Cl)n[n-]2)nnc1N(C)Cc1cnn(C)c1 ZINC001121770809 858593610 /nfs/dbraw/zinc/59/36/10/858593610.db2.gz UWONTVHZLAIUKJ-UHFFFAOYSA-N -1 1 321.776 1.106 20 0 DDADMM O=C(c1ccnc(-n2cccc2)c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123962014 859499139 /nfs/dbraw/zinc/49/91/39/859499139.db2.gz PUCCVRJKLQXFAN-LBPRGKRZSA-N -1 1 309.333 1.015 20 0 DDADMM CC(=O)N1CCC2(CN(Cc3ccc(OCC(=O)[O-])cc3)C2)C1 ZINC001138925074 860228715 /nfs/dbraw/zinc/22/87/15/860228715.db2.gz ILPPWVAYVQORPN-UHFFFAOYSA-N -1 1 318.373 1.204 20 0 DDADMM Cc1onc(OC(F)F)c1[N-]C(=O)c1cc2n(n1)CCO2 ZINC001361378202 881529071 /nfs/dbraw/zinc/52/90/71/881529071.db2.gz OYUMORQYCZJOMV-UHFFFAOYSA-N -1 1 300.221 1.426 20 0 DDADMM Cc1[nH]c(CN2CC(N(C)[C@H]3CCOC3)C2)c(C)c1C(=O)[O-] ZINC001140497087 860644932 /nfs/dbraw/zinc/64/49/32/860644932.db2.gz DNMROCFLHARYJZ-LBPRGKRZSA-N -1 1 307.394 1.235 20 0 DDADMM CCOC(=O)[C@@H]1C(=O)CCCN1Cc1ccc([O-])c(F)c1F ZINC001140892946 860726864 /nfs/dbraw/zinc/72/68/64/860726864.db2.gz DJLPSJZDUKRVNX-AWEZNQCLSA-N -1 1 313.300 1.767 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(F)cc3nc[nH]c32)n1 ZINC001141838621 860955233 /nfs/dbraw/zinc/95/52/33/860955233.db2.gz YLEZOCDXWQJEIM-UHFFFAOYSA-N -1 1 317.280 1.854 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H](c1nc[nH]n1)c1ccccc1 ZINC001141939993 860975267 /nfs/dbraw/zinc/97/52/67/860975267.db2.gz PJENPBVCRBNTKK-AWEZNQCLSA-N -1 1 309.329 1.767 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H](c1nnc[nH]1)c1ccccc1 ZINC001141939993 860975300 /nfs/dbraw/zinc/97/53/00/860975300.db2.gz PJENPBVCRBNTKK-AWEZNQCLSA-N -1 1 309.329 1.767 20 0 DDADMM CN(C(=O)c1cc(=O)n(C)[n-]1)[C@H](c1nnc[nH]1)c1ccccc1 ZINC001141940176 860976051 /nfs/dbraw/zinc/97/60/51/860976051.db2.gz SZCHLWXOWGEXLY-ZDUSSCGKSA-N -1 1 312.333 1.105 20 0 DDADMM CC(C)N1CC(NC(=O)c2cc(=O)c3cccc(O)c3[n-]2)C1 ZINC001152680785 863490769 /nfs/dbraw/zinc/49/07/69/863490769.db2.gz VAXPQSHWKSTHJW-UHFFFAOYSA-N -1 1 301.346 1.468 20 0 DDADMM Cc1ccnnc1NC1([P@](=O)([O-])O)Cc2ccccc2C1 ZINC001157157201 863619888 /nfs/dbraw/zinc/61/98/88/863619888.db2.gz UODWEPXXZVLUET-UHFFFAOYSA-N -1 1 305.274 1.870 20 0 DDADMM CCN(Cc1ncc(C)o1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152975514 863630322 /nfs/dbraw/zinc/63/03/22/863630322.db2.gz UBIAOEMJPVPTNB-LLVKDONJSA-N -1 1 318.377 1.724 20 0 DDADMM O=C(c1cc2ccccc2[nH]c1=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001153001028 863645050 /nfs/dbraw/zinc/64/50/50/863645050.db2.gz IJMWIYSADYYRDG-JTQLQIEISA-N -1 1 310.317 1.083 20 0 DDADMM CSc1nc(NC(=O)c2cnc3[nH]cnc3c2)cc(=O)[n-]1 ZINC001153724352 864039569 /nfs/dbraw/zinc/03/95/69/864039569.db2.gz WABRVYNKHPFGAL-UHFFFAOYSA-N -1 1 302.319 1.428 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc2cc(F)ccc2n1 ZINC001153898747 864205327 /nfs/dbraw/zinc/20/53/27/864205327.db2.gz IJIHGMDPOBKKLU-UHFFFAOYSA-N -1 1 324.275 1.998 20 0 DDADMM C[C@@H](NC(=O)c1ccc(Cl)cc1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001381442670 881786432 /nfs/dbraw/zinc/78/64/32/881786432.db2.gz RXSVNCKOHKCFSL-DTWKUNHWSA-N -1 1 323.784 1.460 20 0 DDADMM CSCC[C@H](NC(=O)CC(C)(C)CC(C)=O)c1nn[n-]n1 ZINC001363278971 885895336 /nfs/dbraw/zinc/89/53/36/885895336.db2.gz GRWSREDNJOIJBX-JTQLQIEISA-N -1 1 313.427 1.506 20 0 DDADMM Cc1cc(C(=O)N[C@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)sc1C ZINC001381449663 881810786 /nfs/dbraw/zinc/81/07/86/881810786.db2.gz MKJHQLOHHDPWKG-DTWKUNHWSA-N -1 1 323.422 1.485 20 0 DDADMM COC(=O)c1oc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1C ZINC001361527796 881833850 /nfs/dbraw/zinc/83/38/50/881833850.db2.gz FPMUXPCTBAGYMT-UHFFFAOYSA-N -1 1 314.301 1.282 20 0 DDADMM Cc1nc2sccc2c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159185117 865152121 /nfs/dbraw/zinc/15/21/21/865152121.db2.gz OHHHFRARNZVTNU-UHFFFAOYSA-N -1 1 315.318 1.772 20 0 DDADMM Cc1nc2ccc(F)cc2c(Nc2c(O)[nH]c(=O)[n-]c2=S)n1 ZINC001160849516 866148387 /nfs/dbraw/zinc/14/83/87/866148387.db2.gz QKSVWEYFVWQJFT-VIFPVBQESA-N -1 1 319.321 1.025 20 0 DDADMM O=C(NC[C@@H](CO)CC1CCOCC1)C(=O)c1ccc([O-])cc1 ZINC001323053912 866302581 /nfs/dbraw/zinc/30/25/81/866302581.db2.gz GJKSCTVQJITLRH-ZDUSSCGKSA-N -1 1 321.373 1.116 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H](Oc2cc([O-])cc(O)c2C(C)=O)CO1 ZINC001225679649 881970724 /nfs/dbraw/zinc/97/07/24/881970724.db2.gz QUUMIEUEEVAKHH-AAEUAGOBSA-N -1 1 324.329 1.790 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CCCCCO ZINC001323362814 866564318 /nfs/dbraw/zinc/56/43/18/866564318.db2.gz VDJVEQRPCWGPDX-UHFFFAOYSA-N -1 1 309.334 1.414 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1nn(-c2ccc(F)cc2)ccc1=O ZINC001161384097 866611729 /nfs/dbraw/zinc/61/17/29/866611729.db2.gz FGNNMLRALKEAIU-UHFFFAOYSA-N -1 1 315.264 1.053 20 0 DDADMM CC(C)=CC(=O)NCc1ccc(C(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001161384385 866612673 /nfs/dbraw/zinc/61/26/73/866612673.db2.gz MPTOBAQTGAXSEU-UHFFFAOYSA-N -1 1 314.345 1.950 20 0 DDADMM Cc1c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)ccc2c[nH+]cn21 ZINC001320492027 866774470 /nfs/dbraw/zinc/77/44/70/866774470.db2.gz MBEAHGIALFQLJM-LLVKDONJSA-N -1 1 311.349 1.176 20 0 DDADMM O=C(NCCC1CCOCC1)c1ccc2n[n-]c(=S)n2c1 ZINC001324399996 867257900 /nfs/dbraw/zinc/25/79/00/867257900.db2.gz NNGYBMAXMPCUEB-UHFFFAOYSA-N -1 1 306.391 1.565 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H]2OCCC[C@@H]2C1 ZINC001324475144 867300388 /nfs/dbraw/zinc/30/03/88/867300388.db2.gz LUAMYYMGCLJQKI-ZYHUDNBSSA-N -1 1 318.402 1.659 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)c1c[nH]c(=O)c2ccccc12 ZINC001334409937 867547923 /nfs/dbraw/zinc/54/79/23/867547923.db2.gz VGFIZKHLCQTEEQ-UHFFFAOYSA-N -1 1 318.329 1.944 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1CCC[C@@H]1C(=O)OCC ZINC001225898786 882099200 /nfs/dbraw/zinc/09/92/00/882099200.db2.gz DQBCRZTXFAHVOA-ONGXEEELSA-N -1 1 324.333 1.470 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)F)c1ccc(NC2(C(N)=O)CC2)cc1 ZINC001162554792 867638922 /nfs/dbraw/zinc/63/89/22/867638922.db2.gz HPRSLDDPKRTVPL-QMMMGPOBSA-N -1 1 315.295 1.856 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cnc(Cl)cc1Cl)c1nc[nH]n1 ZINC001325000860 867688931 /nfs/dbraw/zinc/68/89/31/867688931.db2.gz KHJUOPJOMLAGOY-RXMQYKEDSA-N -1 1 322.177 1.546 20 0 DDADMM CCOCC[C@@H](NCc1cn(Cc2ccccc2)nn1)C(=O)[O-] ZINC001335191670 868124627 /nfs/dbraw/zinc/12/46/27/868124627.db2.gz GFTVTFKZKWPFIN-OAHLLOKOSA-N -1 1 318.377 1.296 20 0 DDADMM O=C([O-])c1cc(Cl)cnc1NCc1noc2c1CNCC2 ZINC001163130039 868136726 /nfs/dbraw/zinc/13/67/26/868136726.db2.gz TTWRQXFYBLQSRH-UHFFFAOYSA-N -1 1 308.725 1.679 20 0 DDADMM CCC[C@@H](NC(=O)c1csc(NC2CC2)n1)c1nn[n-]n1 ZINC001362211231 883309227 /nfs/dbraw/zinc/30/92/27/883309227.db2.gz NATYBZJCYNKRDF-MRVPVSSYSA-N -1 1 307.383 1.502 20 0 DDADMM O=C([O-])c1cc(Cl)cnc1NCCNC(=O)C(F)(F)F ZINC001164243733 869050572 /nfs/dbraw/zinc/05/05/72/869050572.db2.gz CGAYIYIRRXNWFS-UHFFFAOYSA-N -1 1 311.647 1.524 20 0 DDADMM Cc1nccn1-c1cncc(NCC[N-]C(=O)C(F)(F)F)n1 ZINC001164244702 869052309 /nfs/dbraw/zinc/05/23/09/869052309.db2.gz LBCSFTMZESLCHU-UHFFFAOYSA-N -1 1 314.271 1.061 20 0 DDADMM O=S(=O)([N-][C@]12C[C@H]1COC2)c1cnc(Cl)cc1Cl ZINC001337556708 869539664 /nfs/dbraw/zinc/53/96/64/869539664.db2.gz VEFWHWSILSLHAC-WKEGUHRASA-N -1 1 309.174 1.456 20 0 DDADMM C/C=C(\C)C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C(C)C ZINC001297961995 870218215 /nfs/dbraw/zinc/21/82/15/870218215.db2.gz QIARHRPLXKLFBG-JVOXIWMLSA-N -1 1 305.378 1.624 20 0 DDADMM CCC(C)(C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166940573 870400905 /nfs/dbraw/zinc/40/09/05/870400905.db2.gz LGHKMOZQDIBIKL-UHFFFAOYSA-N -1 1 309.414 1.323 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@@H](Cc2ccccc2)C1 ZINC001339543296 870548091 /nfs/dbraw/zinc/54/80/91/870548091.db2.gz IZXKKRSYSVBHMK-ZDUSSCGKSA-N -1 1 324.392 1.454 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@@H](Cc2ccccc2)C1 ZINC001339543296 870548099 /nfs/dbraw/zinc/54/80/99/870548099.db2.gz IZXKKRSYSVBHMK-ZDUSSCGKSA-N -1 1 324.392 1.454 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cc(-c2cccc(F)c2F)[nH]n1 ZINC001298966886 870788231 /nfs/dbraw/zinc/78/82/31/870788231.db2.gz PHLSPEUHWVQXBQ-UHFFFAOYSA-N -1 1 319.275 1.439 20 0 DDADMM Cc1cc(=O)oc2cc([O-])cc(O[C@H](C)CN3CCOCC3)c12 ZINC001226488266 882460802 /nfs/dbraw/zinc/46/08/02/882460802.db2.gz GITFNBBVRDMPOS-GFCCVEGCSA-N -1 1 319.357 1.907 20 0 DDADMM O=C(Nc1cnn2ccccc12)c1ccc2n[n-]c(=S)n2c1 ZINC001301645549 871013142 /nfs/dbraw/zinc/01/31/42/871013142.db2.gz XVUCFZXGGDIKJC-UHFFFAOYSA-N -1 1 310.342 1.918 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1c2nc[nH]c2ccc1F ZINC001302503816 871072168 /nfs/dbraw/zinc/07/21/68/871072168.db2.gz MYQMUQFFKNOQDB-UHFFFAOYSA-N -1 1 303.282 1.454 20 0 DDADMM CN(c1nnc(-c2nnn[n-]2)n1CCc1ccccc1)C1CCC1 ZINC001341500919 871686577 /nfs/dbraw/zinc/68/65/77/871686577.db2.gz FJKJXNFTCQIGRT-UHFFFAOYSA-N -1 1 324.392 1.690 20 0 DDADMM CN(c1nnc(-c2nn[n-]n2)n1CCc1ccccc1)C1CCC1 ZINC001341500919 871686589 /nfs/dbraw/zinc/68/65/89/871686589.db2.gz FJKJXNFTCQIGRT-UHFFFAOYSA-N -1 1 324.392 1.690 20 0 DDADMM CN(CC(F)F)C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001342117290 872016367 /nfs/dbraw/zinc/01/63/67/872016367.db2.gz KSUJDTLCLVTVCN-UHFFFAOYSA-N -1 1 322.258 1.053 20 0 DDADMM Cc1cc(CN(C)CCCNC(=O)c2ncccc2[O-])no1 ZINC001316816401 872104272 /nfs/dbraw/zinc/10/42/72/872104272.db2.gz GCOYJMNVYIKMQM-UHFFFAOYSA-N -1 1 304.350 1.336 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1nc(CC(N)=O)cs1 ZINC001361895191 882595166 /nfs/dbraw/zinc/59/51/66/882595166.db2.gz VZTNQRNFNFOADP-UHFFFAOYSA-N -1 1 307.331 1.137 20 0 DDADMM CC1=C(C)CN(c2nnc(Cc3nnn[n-]3)n2CC(C)C)CC1 ZINC001342908391 872433657 /nfs/dbraw/zinc/43/36/57/872433657.db2.gz GNCNVWBXPSNTEI-UHFFFAOYSA-N -1 1 316.413 1.585 20 0 DDADMM CC1=C(C)CN(c2nnc(Cc3nn[n-]n3)n2CC(C)C)CC1 ZINC001342908391 872433663 /nfs/dbraw/zinc/43/36/63/872433663.db2.gz GNCNVWBXPSNTEI-UHFFFAOYSA-N -1 1 316.413 1.585 20 0 DDADMM O=C(OCc1nn[n-]n1)c1cc(C2CC2)nn1-c1ccccc1 ZINC001343941407 872816484 /nfs/dbraw/zinc/81/64/84/872816484.db2.gz SKPGGJXZBLDRNC-UHFFFAOYSA-N -1 1 310.317 1.620 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CCCCN1Cc1ccncc1 ZINC001346165319 873631525 /nfs/dbraw/zinc/63/15/25/873631525.db2.gz NKNOQBDTCDSLPT-OAHLLOKOSA-N -1 1 307.394 1.520 20 0 DDADMM COCCCOc1ccccc1NC(=O)c1cnncc1O ZINC001346749923 873878877 /nfs/dbraw/zinc/87/88/77/873878877.db2.gz NWABLXRADAHHTC-UHFFFAOYSA-N -1 1 303.318 1.850 20 0 DDADMM COCCCOc1ccccc1NC(=O)c1cnncc1[O-] ZINC001346749923 873878892 /nfs/dbraw/zinc/87/88/92/873878892.db2.gz NWABLXRADAHHTC-UHFFFAOYSA-N -1 1 303.318 1.850 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CC(Oc3cnc[n-]c3=O)C2)C1 ZINC001227048498 882793063 /nfs/dbraw/zinc/79/30/63/882793063.db2.gz DZXNSGHTKUTQRH-UHFFFAOYSA-N -1 1 307.350 1.961 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(C1)C[C@H](Oc1cnc[n-]c1=O)CO2 ZINC001227049230 882793662 /nfs/dbraw/zinc/79/36/62/882793662.db2.gz SKSPRBUZKHJILM-JTQLQIEISA-N -1 1 323.349 1.339 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](Oc2cnc[n-]c2=O)CC1=O ZINC001227049069 882794638 /nfs/dbraw/zinc/79/46/38/882794638.db2.gz PUDJPRILZZQAQC-VIFPVBQESA-N -1 1 309.322 1.487 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCCc2ccsc2)C1 ZINC001347612019 874212646 /nfs/dbraw/zinc/21/26/46/874212646.db2.gz SGFWFFUHUPWPIE-ZDUSSCGKSA-N -1 1 310.419 1.594 20 0 DDADMM COCc1cccc(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c1 ZINC001348528799 874616695 /nfs/dbraw/zinc/61/66/95/874616695.db2.gz MJSQJRKMJCLEKZ-UHFFFAOYSA-N -1 1 319.386 1.856 20 0 DDADMM Cc1ncc(Nc2cc(S(=O)(=O)C(N)=O)ccc2[O-])cc1N ZINC001210294478 875317271 /nfs/dbraw/zinc/31/72/71/875317271.db2.gz YYORQWPQISWIAT-UHFFFAOYSA-N -1 1 322.346 1.688 20 0 DDADMM CC(C)CC(C)(C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210569238 875440284 /nfs/dbraw/zinc/44/02/84/875440284.db2.gz UYWSFEODMPOWIE-GFCCVEGCSA-N -1 1 323.441 1.663 20 0 DDADMM O=C(NCCCNC(=O)[C@@H]1C[C@H]1C1CC1)c1ncccc1[O-] ZINC001349940490 875467083 /nfs/dbraw/zinc/46/70/83/875467083.db2.gz PARGZYFCJJMSTH-NWDGAFQWSA-N -1 1 303.362 1.069 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001378804995 875670265 /nfs/dbraw/zinc/67/02/65/875670265.db2.gz CNPYTUXNAWTJMS-GMTAPVOTSA-N -1 1 309.414 1.129 20 0 DDADMM Nc1cccc(S(=O)(=O)[N-]c2ccc3nc(N)ncc3c2)c1 ZINC001213112714 875832848 /nfs/dbraw/zinc/83/28/48/875832848.db2.gz XYOBEWROJAFWNN-UHFFFAOYSA-N -1 1 315.358 1.595 20 0 DDADMM O=C(NCc1c[nH]nn1)c1cc(Br)c(F)cc1[O-] ZINC001362074965 882971870 /nfs/dbraw/zinc/97/18/70/882971870.db2.gz NYPCRYFADBYULW-UHFFFAOYSA-N -1 1 315.102 1.342 20 0 DDADMM COc1cc(Nc2cc(=O)nc(N(C)C)[nH]2)cc(C(=O)[O-])c1 ZINC001213586562 875987413 /nfs/dbraw/zinc/98/74/13/875987413.db2.gz AYFCBEAQXMWLFK-UHFFFAOYSA-N -1 1 304.306 1.699 20 0 DDADMM Cc1ncoc1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001213960044 876112175 /nfs/dbraw/zinc/11/21/75/876112175.db2.gz MLCDEEQECTVARV-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM CC[C@@H](C)OCC(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001351392249 876227421 /nfs/dbraw/zinc/22/74/21/876227421.db2.gz MBHZSPDMDIBSJM-GFCCVEGCSA-N -1 1 323.393 1.181 20 0 DDADMM Cc1nc(CN[C@H](C)CN(C)C(=O)c2ncccc2[O-])c(C)o1 ZINC001379103197 876409193 /nfs/dbraw/zinc/40/91/93/876409193.db2.gz UQTNKWNEPWLOFD-SNVBAGLBSA-N -1 1 318.377 1.642 20 0 DDADMM CC(C)(C)C(=O)NC[C@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001362100804 883036945 /nfs/dbraw/zinc/03/69/45/883036945.db2.gz HNIITFYPDBQMKN-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1ccco1 ZINC001381953096 883042499 /nfs/dbraw/zinc/04/24/99/883042499.db2.gz XNNAGUMNROGPII-SNVBAGLBSA-N -1 1 303.318 1.271 20 0 DDADMM Cc1ccc(CNC(=O)CCCc2nn[n-]n2)c(OC(C)C)c1 ZINC001362103678 883045486 /nfs/dbraw/zinc/04/54/86/883045486.db2.gz CKZXHEAXWKIFET-UHFFFAOYSA-N -1 1 317.393 1.934 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)C1CC2(CC2)C1 ZINC001381964061 883074528 /nfs/dbraw/zinc/07/45/28/883074528.db2.gz STPBQICPUFYCFF-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cccc(CS(=O)(=O)CCC(=O)Nc2c[n-][nH]c2=O)c1 ZINC001413850058 876893952 /nfs/dbraw/zinc/89/39/52/876893952.db2.gz XAIKUHIUSBMVII-UHFFFAOYSA-N -1 1 323.374 1.367 20 0 DDADMM CCOC(=O)C[C@H](Oc1nc(=O)[nH]c(=O)[n-]1)c1ccccc1 ZINC001227566604 883085837 /nfs/dbraw/zinc/08/58/37/883085837.db2.gz ITXLYCISHMUOFP-JTQLQIEISA-N -1 1 305.290 1.356 20 0 DDADMM CC(=O)Nc1cccc2c1CCCN2C(=O)CCc1nn[n-]n1 ZINC001362128101 883108730 /nfs/dbraw/zinc/10/87/30/883108730.db2.gz MBSOBDDAECZVHX-UHFFFAOYSA-N -1 1 314.349 1.070 20 0 DDADMM CN(C)c1nc(NC(=O)c2ccccc2N)c(N=O)c(=O)[n-]1 ZINC001218663690 877650701 /nfs/dbraw/zinc/65/07/01/877650701.db2.gz SAKQJGQQLVOSDF-UHFFFAOYSA-N -1 1 302.294 1.481 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(CC=C(Cl)Cl)CC1 ZINC001472951306 878430339 /nfs/dbraw/zinc/43/03/39/878430339.db2.gz OUUGYJDIZQCMNQ-UHFFFAOYSA-N -1 1 316.188 1.864 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@]1(C)C=CCC1 ZINC001356881189 879529851 /nfs/dbraw/zinc/52/98/51/879529851.db2.gz VCJVEAKCWNNKMW-SJKOYZFVSA-N -1 1 317.389 1.768 20 0 DDADMM C[C@H](CNC(=O)C1=CCCC1)N(C)C(=O)c1ncccc1[O-] ZINC001380666384 880149458 /nfs/dbraw/zinc/14/94/58/880149458.db2.gz CICQRXSIDGFMSS-LLVKDONJSA-N -1 1 303.362 1.474 20 0 DDADMM O=C(CNC(=O)c1ccc([O-])cn1)OCc1ccccc1Cl ZINC001362146788 883150545 /nfs/dbraw/zinc/15/05/45/883150545.db2.gz LMXYQKDRNQWPPN-UHFFFAOYSA-N -1 1 320.732 1.914 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1CC(C)(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001382064216 883321815 /nfs/dbraw/zinc/32/18/15/883321815.db2.gz DBBPVEIORBMCAH-GRYCIOLGSA-N -1 1 323.441 1.519 20 0 DDADMM CCN(C(=O)c1cccc([O-])c1F)[C@H](C)CS(C)(=O)=O ZINC001362284934 883480277 /nfs/dbraw/zinc/48/02/77/883480277.db2.gz PMVFPXVBBGDGRY-SECBINFHSA-N -1 1 303.355 1.427 20 0 DDADMM COCCc1cc(NC(=O)c2cnc(SC)[n-]c2=O)n(C)n1 ZINC001362285834 883482131 /nfs/dbraw/zinc/48/21/31/883482131.db2.gz ZAWKSVACMHKZFU-UHFFFAOYSA-N -1 1 323.378 1.079 20 0 DDADMM CCO[C@@H]1[C@@H](C)[C@@H]1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001362296965 883506886 /nfs/dbraw/zinc/50/68/86/883506886.db2.gz LLXDPUSOCCMQTK-QCZZGDTMSA-N -1 1 317.349 1.388 20 0 DDADMM CCc1cc(C(=O)NC(CC)(CC)c2nn[n-]n2)ccc1O ZINC001362430595 883792579 /nfs/dbraw/zinc/79/25/79/883792579.db2.gz YTMWWSYBANGRNJ-UHFFFAOYSA-N -1 1 303.366 1.913 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@H]1O)c1ccc([O-])cn1 ZINC001362435698 883803882 /nfs/dbraw/zinc/80/38/82/883803882.db2.gz JENBISKRKNZJHS-RISCZKNCSA-N -1 1 306.362 1.085 20 0 DDADMM O=C(NC1CC1)N[C@H]1CCN(C(=O)c2cccc([O-])c2F)C1 ZINC001362445789 883827986 /nfs/dbraw/zinc/82/79/86/883827986.db2.gz PGPRUYIZECLHPY-JTQLQIEISA-N -1 1 307.325 1.207 20 0 DDADMM COc1cc2ccc(=O)oc2c(OC[C@@H]2COCCO2)c1[O-] ZINC001229215965 883875799 /nfs/dbraw/zinc/87/57/99/883875799.db2.gz NJUNRPWNUOBRSR-JTQLQIEISA-N -1 1 308.286 1.301 20 0 DDADMM COC(=O)[C@H](C)Cc1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001362467357 883877091 /nfs/dbraw/zinc/87/70/91/883877091.db2.gz SOQKNANEQNBFGG-SNVBAGLBSA-N -1 1 315.329 1.786 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)Nn2ccnn2)c([O-])c1 ZINC001362475562 883895023 /nfs/dbraw/zinc/89/50/23/883895023.db2.gz PTDYUAVLSWBVIK-UHFFFAOYSA-N -1 1 319.321 1.715 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](O)c2ccc(Cl)cc2)n1 ZINC001362535833 884033382 /nfs/dbraw/zinc/03/33/82/884033382.db2.gz SUFCKOPRBVHVKZ-LLVKDONJSA-N -1 1 323.736 1.912 20 0 DDADMM COc1ccc(Cl)cc1[C@H](O)CNC(=O)c1ccc([O-])cn1 ZINC001362550432 884069446 /nfs/dbraw/zinc/06/94/46/884069446.db2.gz IMKBXUUHVUNCHB-CYBMUJFWSA-N -1 1 322.748 1.913 20 0 DDADMM Cc1coc(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C(C)(C)C)c1 ZINC001382521367 884176013 /nfs/dbraw/zinc/17/60/13/884176013.db2.gz LYUWEMQQPVYLET-LLVKDONJSA-N -1 1 321.381 1.346 20 0 DDADMM CCCc1nc(C(=O)NCc2cc(=O)[n-]c(SC)n2)co1 ZINC001362612551 884218578 /nfs/dbraw/zinc/21/85/78/884218578.db2.gz RBWIGTULVDQZHH-UHFFFAOYSA-N -1 1 308.363 1.775 20 0 DDADMM Cc1noc(Cl)c1CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362705801 884455061 /nfs/dbraw/zinc/45/50/61/884455061.db2.gz BAKVJAKWDDFGIF-QMMMGPOBSA-N -1 1 310.745 1.098 20 0 DDADMM O=C(NCc1cnc(N2CCOCC2)s1)c1ccc([O-])cn1 ZINC001362770951 884598173 /nfs/dbraw/zinc/59/81/73/884598173.db2.gz CTBCXRSUCIQPMH-UHFFFAOYSA-N -1 1 320.374 1.010 20 0 DDADMM Cc1cc[nH]c1C(=O)N[C@@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382775244 884672786 /nfs/dbraw/zinc/67/27/86/884672786.db2.gz ZUORECJTNCMKRT-QWRGUYRKSA-N -1 1 316.361 1.361 20 0 DDADMM CC(=O)NCC[C@@H]1CCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001362799608 884672728 /nfs/dbraw/zinc/67/27/28/884672728.db2.gz OQKWWRXBPGXWFX-LBPRGKRZSA-N -1 1 308.353 1.910 20 0 DDADMM CCC[C@@H](O)[C@@H](CO)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001362831574 884746724 /nfs/dbraw/zinc/74/67/24/884746724.db2.gz LRHUBJSLXFHLAG-HUUCEWRRSA-N -1 1 319.357 1.503 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H](O)C1CCCCCCC1 ZINC001362835574 884760229 /nfs/dbraw/zinc/76/02/29/884760229.db2.gz MMXNEVSUJGSXDB-GFCCVEGCSA-N -1 1 307.398 1.417 20 0 DDADMM COc1nscc1[N-]C(=O)c1ncccc1S(C)(=O)=O ZINC001362837968 884765504 /nfs/dbraw/zinc/76/55/04/884765504.db2.gz XRIMMSKRPHLOFC-UHFFFAOYSA-N -1 1 313.360 1.203 20 0 DDADMM COC[C@@H](NC(=O)C[C@H]1CCc2ccccc21)c1nn[n-]n1 ZINC001362910832 884960707 /nfs/dbraw/zinc/96/07/07/884960707.db2.gz SGVPMPBSOXFFFB-DGCLKSJQSA-N -1 1 301.350 1.124 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001362932308 885018480 /nfs/dbraw/zinc/01/84/80/885018480.db2.gz XDEVEGOCJQPMLN-GHMZBOCLSA-N -1 1 321.377 1.772 20 0 DDADMM CC(=O)CCCC(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001382955367 885029368 /nfs/dbraw/zinc/02/93/68/885029368.db2.gz MTXYTTLSJIESTP-LLVKDONJSA-N -1 1 321.377 1.123 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(O)c(C)c2)n[n-]1 ZINC001362936585 885029433 /nfs/dbraw/zinc/02/94/33/885029433.db2.gz ONXCRMAVYAYXAQ-SECBINFHSA-N -1 1 318.333 1.486 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(O)c(C)c2)n1 ZINC001362936585 885029442 /nfs/dbraw/zinc/02/94/42/885029442.db2.gz ONXCRMAVYAYXAQ-SECBINFHSA-N -1 1 318.333 1.486 20 0 DDADMM CC(C)(C)NC(=O)N1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001362956425 885081943 /nfs/dbraw/zinc/08/19/43/885081943.db2.gz JDSVRBYYMDPFGJ-UHFFFAOYSA-N -1 1 323.368 1.797 20 0 DDADMM O=C(NC[C@H]1CCCN1CC(F)F)c1cccc([O-])c1F ZINC001362961082 885090629 /nfs/dbraw/zinc/09/06/29/885090629.db2.gz BGEAPUFKKDOQGN-SECBINFHSA-N -1 1 302.296 1.991 20 0 DDADMM CSc1nc(CNC(=O)c2c[nH]nc2C(C)(C)C)cc(=O)[n-]1 ZINC001362963695 885100258 /nfs/dbraw/zinc/10/02/58/885100258.db2.gz IKJWUVVQOMNDOG-UHFFFAOYSA-N -1 1 321.406 1.855 20 0 DDADMM O=C([C@@H]1CC12CCSCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362996162 885182670 /nfs/dbraw/zinc/18/26/70/885182670.db2.gz ZRRBORHCZPBHPN-MNOVXSKESA-N -1 1 307.423 1.439 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@]1(c2ccccc2)CCCO1 ZINC001363040057 885298665 /nfs/dbraw/zinc/29/86/65/885298665.db2.gz SCJZTBKQGQYKLT-MRXNPFEDSA-N -1 1 315.329 1.210 20 0 DDADMM Cc1nc(CNC(=O)c2cccc(Br)c2[O-])n[nH]1 ZINC001363045430 885316642 /nfs/dbraw/zinc/31/66/42/885316642.db2.gz TURIEFYIQYZZPP-UHFFFAOYSA-N -1 1 311.139 1.511 20 0 DDADMM CSc1nc(CNC(=O)c2ccc(C)[nH]c2=O)cc(=O)[n-]1 ZINC001363140367 885564603 /nfs/dbraw/zinc/56/46/03/885564603.db2.gz JYVPUCNSSWVDLT-UHFFFAOYSA-N -1 1 306.347 1.243 20 0 DDADMM CCO[C@H]1C[C@@H]1C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001363158120 885605233 /nfs/dbraw/zinc/60/52/33/885605233.db2.gz VHDROZQUVPTBOO-NAKRPEOUSA-N -1 1 322.327 1.469 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(O)ccc2C)o1 ZINC001363160671 885612140 /nfs/dbraw/zinc/61/21/40/885612140.db2.gz ZBKXCAKHHXPAHT-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM CC(C)OCc1noc(CN2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC001363236250 885786861 /nfs/dbraw/zinc/78/68/61/885786861.db2.gz UKCHGXONVIJJRT-UHFFFAOYSA-N -1 1 323.353 1.463 20 0 DDADMM O=C([O-])COc1ccccc1CN1CCC[C@]2(CNC(=O)O2)C1 ZINC001231796434 885882260 /nfs/dbraw/zinc/88/22/60/885882260.db2.gz JCLMNGXMDHTRQV-INIZCTEOSA-N -1 1 320.345 1.224 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(O)ccc1C)c1nn[n-]n1 ZINC001363278374 885894054 /nfs/dbraw/zinc/89/40/54/885894054.db2.gz ATKKXKGVURRWRA-NSHDSACASA-N -1 1 307.379 1.438 20 0 DDADMM C[C@H](CN(C)C(=O)CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001363319567 886011867 /nfs/dbraw/zinc/01/18/67/886011867.db2.gz BWKOXHGAPIJVJH-LLVKDONJSA-N -1 1 307.394 1.658 20 0 DDADMM O=C([N-][C@H]1Cc2cccnc2NC1=O)C(F)(F)c1nccs1 ZINC001363358042 886121579 /nfs/dbraw/zinc/12/15/79/886121579.db2.gz DUBURVCCFKNLRY-QMMMGPOBSA-N -1 1 324.312 1.310 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@H](NC(N)=O)c1ccccc1 ZINC001363376805 886173363 /nfs/dbraw/zinc/17/33/63/886173363.db2.gz VVISFQAZTMUEFJ-JTQLQIEISA-N -1 1 303.322 1.162 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CSC1CC1 ZINC001363401962 886236900 /nfs/dbraw/zinc/23/69/00/886236900.db2.gz VMOBHUZFQCXJJY-SNVBAGLBSA-N -1 1 324.406 1.541 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CSC1CC1 ZINC001363401962 886236919 /nfs/dbraw/zinc/23/69/19/886236919.db2.gz VMOBHUZFQCXJJY-SNVBAGLBSA-N -1 1 324.406 1.541 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CSC1CC1 ZINC001363401962 886236935 /nfs/dbraw/zinc/23/69/35/886236935.db2.gz VMOBHUZFQCXJJY-SNVBAGLBSA-N -1 1 324.406 1.541 20 0 DDADMM COC[C@H](NC(=O)c1ccc(C2CCC2)cc1)c1nn[n-]n1 ZINC001363418174 886283594 /nfs/dbraw/zinc/28/35/94/886283594.db2.gz SHICFTSGXSUXGX-ZDUSSCGKSA-N -1 1 301.350 1.585 20 0 DDADMM O=C(c1cnc(C2CC2)o1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363437345 886335151 /nfs/dbraw/zinc/33/51/51/886335151.db2.gz VQOPMPMEAYDVFC-VIFPVBQESA-N -1 1 302.338 1.160 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)CN(C)C(=O)c2ncccc2[O-])co1 ZINC001383704174 886362145 /nfs/dbraw/zinc/36/21/45/886362145.db2.gz QEXIIGITZDQUEH-JTQLQIEISA-N -1 1 317.345 1.579 20 0 DDADMM C[C@@H]1CCN(C(=O)OC(C)(C)C)[C@@H](C(=O)N(C)c2nn[n-]n2)C1 ZINC001363491861 886479409 /nfs/dbraw/zinc/47/94/09/886479409.db2.gz VRKFBLAPCBTUHV-NXEZZACHSA-N -1 1 324.385 1.198 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1COc2ccccc21 ZINC001363540939 886588561 /nfs/dbraw/zinc/58/85/61/886588561.db2.gz BGOWVLSQQCUDLC-LBPRGKRZSA-N -1 1 301.350 1.361 20 0 DDADMM COc1ccc(CC(=O)N(Cc2nn[n-]n2)CC(C)C)cc1O ZINC001363549153 886610751 /nfs/dbraw/zinc/61/07/51/886610751.db2.gz XCNIJNPPLRONRH-UHFFFAOYSA-N -1 1 319.365 1.141 20 0 DDADMM COC[C@H](NC(=O)CCCc1ccc(Cl)cc1)c1nn[n-]n1 ZINC001363582389 886690105 /nfs/dbraw/zinc/69/01/05/886690105.db2.gz AELOSSBNUHSTJK-LBPRGKRZSA-N -1 1 323.784 1.680 20 0 DDADMM CN(C)C(=O)N[C@@H]1CCCN(Cc2cc([O-])c(F)c(F)c2)C1 ZINC001232973526 886701145 /nfs/dbraw/zinc/70/11/45/886701145.db2.gz DEHCWTQWUHDRQK-LLVKDONJSA-N -1 1 313.348 1.906 20 0 DDADMM CC[C@@H](C(=O)N[C@@H](COC)c1nn[n-]n1)c1ccc(OC)cc1 ZINC001363593328 886718199 /nfs/dbraw/zinc/71/81/99/886718199.db2.gz WJJTYJQIXFFWSP-OLZOCXBDSA-N -1 1 319.365 1.206 20 0 DDADMM CSc1ncc(C(=O)N2CCC(C(C)(C)O)CC2)c(=O)[n-]1 ZINC001363628653 886811939 /nfs/dbraw/zinc/81/19/39/886811939.db2.gz MZPGSPXXFUCLOC-UHFFFAOYSA-N -1 1 311.407 1.527 20 0 DDADMM CCc1c[nH]c(=O)c(C(=O)NCc2cc(=O)[n-]c(SC)n2)c1 ZINC001363631791 886820385 /nfs/dbraw/zinc/82/03/85/886820385.db2.gz MHJCPZOOOOIMDM-UHFFFAOYSA-N -1 1 320.374 1.497 20 0 DDADMM CC[C@@](C)(NC(=O)c1c([O-])cnc2c(F)cccc21)C(N)=O ZINC001363728447 887082975 /nfs/dbraw/zinc/08/29/75/887082975.db2.gz IVFNMMWWOQUHAB-OAHLLOKOSA-N -1 1 305.309 1.463 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2ccnn2C2CCC2)n1 ZINC001363773353 887185476 /nfs/dbraw/zinc/18/54/76/887185476.db2.gz URIAKSRZGVAWRA-QMMMGPOBSA-N -1 1 311.367 1.339 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)sn1 ZINC001363777137 887192401 /nfs/dbraw/zinc/19/24/01/887192401.db2.gz CHQJMTKNUGWCFQ-XROYCOCOSA-N -1 1 318.420 1.244 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCC(=O)c1ccccc1 ZINC001363807114 887273704 /nfs/dbraw/zinc/27/37/04/887273704.db2.gz SQLYQGUJIHKSFE-UHFFFAOYSA-N -1 1 315.377 1.847 20 0 DDADMM Cc1cccc(OCCC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001363823376 887319919 /nfs/dbraw/zinc/31/99/19/887319919.db2.gz VXKIPFKNIZKNRR-UHFFFAOYSA-N -1 1 301.350 1.473 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2nnc(C(C)(C)C)[nH]2)sn1 ZINC001363935703 887572256 /nfs/dbraw/zinc/57/22/56/887572256.db2.gz KVKIAMLXLYPMKT-UHFFFAOYSA-N -1 1 315.424 1.346 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)C(C2CC2)C2CC2)[n-]n1 ZINC001363936791 887573975 /nfs/dbraw/zinc/57/39/75/887573975.db2.gz UAKXUPVGIFEUBY-UHFFFAOYSA-N -1 1 313.379 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)C(C2CC2)C2CC2)n[n-]1 ZINC001363936791 887573986 /nfs/dbraw/zinc/57/39/86/887573986.db2.gz UAKXUPVGIFEUBY-UHFFFAOYSA-N -1 1 313.379 1.005 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H]2CCC[C@H]2OC)sn1 ZINC001363963185 887623781 /nfs/dbraw/zinc/62/37/81/887623781.db2.gz GAQPXBDPEVVSFY-RKDXNWHRSA-N -1 1 306.409 1.245 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H]1CCC[C@@H]1OC ZINC001363978512 887655160 /nfs/dbraw/zinc/65/51/60/887655160.db2.gz ZDKILAWMJZEGQP-BDAKNGLRSA-N -1 1 306.409 1.245 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2[C@@H](C)[C@H](C)O[C@H]2C)sn1 ZINC001364028487 887753229 /nfs/dbraw/zinc/75/32/29/887753229.db2.gz RAQNJWNISPYFTR-UGYAYLCHSA-N -1 1 306.409 1.242 20 0 DDADMM CC(=O)NCCC1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001384650275 887834666 /nfs/dbraw/zinc/83/46/66/887834666.db2.gz UFGOXHWSQCQXIJ-UHFFFAOYSA-N -1 1 318.377 1.096 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2coc(C3CCC3)n2)n[n-]1 ZINC001364080828 887871354 /nfs/dbraw/zinc/87/13/54/887871354.db2.gz BGJWPVRAGYXKCX-UHFFFAOYSA-N -1 1 319.321 1.167 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2coc(C3CCC3)n2)n1 ZINC001364080828 887871372 /nfs/dbraw/zinc/87/13/72/887871372.db2.gz BGJWPVRAGYXKCX-UHFFFAOYSA-N -1 1 319.321 1.167 20 0 DDADMM CC(C)(C)C(=O)NCCC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001384679282 887878494 /nfs/dbraw/zinc/87/84/94/887878494.db2.gz OWOHOWILDQZSQY-UHFFFAOYSA-N -1 1 319.405 1.850 20 0 DDADMM C[C@@H]1Oc2ccccc2N(CCC(=O)Nc2c[n-][nH]c2=O)C1=O ZINC001364098513 887914308 /nfs/dbraw/zinc/91/43/08/887914308.db2.gz WSWBZXMMZGJRDE-VIFPVBQESA-N -1 1 316.317 1.258 20 0 DDADMM Cc1ccc(C(=O)Nc2c[n-][nH]c2=O)cc1N1CCOCC1 ZINC001364130411 887989650 /nfs/dbraw/zinc/98/96/50/887989650.db2.gz AWCUTIOSHFVKOG-UHFFFAOYSA-N -1 1 302.334 1.513 20 0 DDADMM COc1ccc([C@H](C)Oc2c(C(N)=O)nc[n-]c2=O)cc1OC ZINC001234471503 888004897 /nfs/dbraw/zinc/00/48/97/888004897.db2.gz FIMIBXSEFFMWPT-QMMMGPOBSA-N -1 1 319.317 1.438 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H](C)c1cn(C)nc1C ZINC001234557218 888091535 /nfs/dbraw/zinc/09/15/35/888091535.db2.gz GVQGKJNODMCYIM-QMMMGPOBSA-N -1 1 306.322 1.459 20 0 DDADMM Cc1cccc(CN(C)C(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC001364337479 888412608 /nfs/dbraw/zinc/41/26/08/888412608.db2.gz UDKVFZMVFBMEDO-UHFFFAOYSA-N -1 1 310.361 1.709 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(C[C@H](O)CC3(O)CCCCC3)C2)n[nH]1 ZINC001364415248 888584590 /nfs/dbraw/zinc/58/45/90/888584590.db2.gz MFCMZICHIFOPHZ-QWHCGFSZSA-N -1 1 324.425 1.136 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1C[C@H](NC(=O)c2cncc([O-])c2)C1 ZINC001364428933 888617430 /nfs/dbraw/zinc/61/74/30/888617430.db2.gz JBVWIBLJFIYTPO-UMSPYCQHSA-N -1 1 321.377 1.820 20 0 DDADMM CC(C)(C)n1cncc1[C@@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001364562068 888929472 /nfs/dbraw/zinc/92/94/72/888929472.db2.gz JHIDDVYUGKSWCC-LLVKDONJSA-N -1 1 304.398 1.841 20 0 DDADMM Cc1ccc(F)c(-c2cc(N3CCC[C@H]3C(N)=O)ncn2)c1[O-] ZINC001236390745 889223572 /nfs/dbraw/zinc/22/35/72/889223572.db2.gz ILWIODPRYBRFRM-LBPRGKRZSA-N -1 1 316.336 1.751 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1ccc(F)cn1 ZINC001364795903 889432859 /nfs/dbraw/zinc/43/28/59/889432859.db2.gz LWMLJLJFGGHZAG-UHFFFAOYSA-N -1 1 303.340 1.164 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1csnc1OC ZINC001364807634 889458641 /nfs/dbraw/zinc/45/86/41/889458641.db2.gz VTOZAYKYWYCMIC-HTQZYQBOSA-N -1 1 322.408 1.018 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H]1CCOC[C@@H]1C ZINC001364841970 889524625 /nfs/dbraw/zinc/52/46/25/889524625.db2.gz VWOYSLNMTOWNFW-DTWKUNHWSA-N -1 1 306.409 1.103 20 0 DDADMM Cc1conc1CS(=O)(=O)[N-]Cc1nnc(C2CC2)s1 ZINC001364869557 889579496 /nfs/dbraw/zinc/57/94/96/889579496.db2.gz BIBGYEDSIRCONY-UHFFFAOYSA-N -1 1 314.392 1.332 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1[C@@H](C)[C@H](C)O[C@H]1C ZINC001364901686 889636563 /nfs/dbraw/zinc/63/65/63/889636563.db2.gz SJTPFLZRTLDQEF-GHCJXIJMSA-N -1 1 306.409 1.242 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@H]1CC(C)(C)CO1 ZINC001364960493 889765956 /nfs/dbraw/zinc/76/59/56/889765956.db2.gz PWDCWQKJURCFIU-VIFPVBQESA-N -1 1 303.384 1.049 20 0 DDADMM Cn1nccc1[C@@H]1CN(Cc2ccc([O-])c(F)c2F)CCO1 ZINC001365012329 889891316 /nfs/dbraw/zinc/89/13/16/889891316.db2.gz TXPWDPNKTWQBCA-ZDUSSCGKSA-N -1 1 309.316 1.977 20 0 DDADMM COc1ccoc1CN1CCC([N-]C(=O)C(F)(F)F)CC1 ZINC001237874931 889918557 /nfs/dbraw/zinc/91/85/57/889918557.db2.gz QLHGKGVPZKADMZ-UHFFFAOYSA-N -1 1 306.284 1.931 20 0 DDADMM CCn1ncc(C)c1NC(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001365070605 890035941 /nfs/dbraw/zinc/03/59/41/890035941.db2.gz ZZSRPOCQMNSAGH-VIFPVBQESA-N -1 1 324.414 1.340 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C(C)C)C(C)(C)O ZINC001365381128 890673493 /nfs/dbraw/zinc/67/34/93/890673493.db2.gz KKOMDMCAQLQREI-VIFPVBQESA-N -1 1 308.425 1.226 20 0 DDADMM COc1cc(OC)c(-c2ccc(-c3nnn[n-]3)nc2)c(OC)c1 ZINC001239649237 890700792 /nfs/dbraw/zinc/70/07/92/890700792.db2.gz QGVRMQVQTUSHCQ-UHFFFAOYSA-N -1 1 313.317 1.955 20 0 DDADMM COc1cc(OC)c(-c2ccc(-c3nn[n-]n3)nc2)c(OC)c1 ZINC001239649237 890700804 /nfs/dbraw/zinc/70/08/04/890700804.db2.gz QGVRMQVQTUSHCQ-UHFFFAOYSA-N -1 1 313.317 1.955 20 0 DDADMM O=C([O-])c1ccc(-c2ccc(S(=O)(=O)C3CNC3)cc2)cn1 ZINC001242338272 891395732 /nfs/dbraw/zinc/39/57/32/891395732.db2.gz SEUNYQJBVKZLDU-UHFFFAOYSA-N -1 1 318.354 1.192 20 0 DDADMM C[C@H](CCNC(=O)CC(F)(F)F)NC(=O)c1ncccc1[O-] ZINC001386651542 891403646 /nfs/dbraw/zinc/40/36/46/891403646.db2.gz XFFGMASGCKWFJQ-MRVPVSSYSA-N -1 1 319.283 1.364 20 0 DDADMM CCOC(=O)c1cnc([S@@](C)=O)nc1-c1ccc(F)c([O-])c1 ZINC001243046690 891589706 /nfs/dbraw/zinc/58/97/06/891589706.db2.gz FLOHDEQNQPJUDK-JOCHJYFZSA-N -1 1 324.333 1.902 20 0 DDADMM O=C(Nc1cccc(-c2nccs2)c1)c1nnc([O-])[nH]c1=O ZINC001291787849 913577547 /nfs/dbraw/zinc/57/75/47/913577547.db2.gz DOWCGWISDIJZNZ-UHFFFAOYSA-N -1 1 315.314 1.659 20 0 DDADMM CN(C)C(=O)c1cc(-c2ccc(-c3nnn[n-]3)nc2)ccc1F ZINC001243289092 891634560 /nfs/dbraw/zinc/63/45/60/891634560.db2.gz CKELDKUWYRQKCU-UHFFFAOYSA-N -1 1 312.308 1.770 20 0 DDADMM CN(C)C(=O)c1cc(-c2ccc(-c3nn[n-]n3)nc2)ccc1F ZINC001243289092 891634574 /nfs/dbraw/zinc/63/45/74/891634574.db2.gz CKELDKUWYRQKCU-UHFFFAOYSA-N -1 1 312.308 1.770 20 0 DDADMM COc1cnc(C)c(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c1 ZINC001244792889 891928058 /nfs/dbraw/zinc/92/80/58/891928058.db2.gz CYPPUNKLRMAMOG-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM COC(=O)c1nc2cc(C3=CCS(=O)(=O)CC3)ccc2[n-]1 ZINC001245209380 892031535 /nfs/dbraw/zinc/03/15/35/892031535.db2.gz VORRXNZPBYTKEG-UHFFFAOYSA-N -1 1 306.343 1.551 20 0 DDADMM COC(=O)c1nc2ccc(C3=CCS(=O)(=O)CC3)cc2[n-]1 ZINC001245209380 892031553 /nfs/dbraw/zinc/03/15/53/892031553.db2.gz VORRXNZPBYTKEG-UHFFFAOYSA-N -1 1 306.343 1.551 20 0 DDADMM CC(C)(C)OC(=O)NCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001292277755 913631699 /nfs/dbraw/zinc/63/16/99/913631699.db2.gz DXFOOXNSYXZEJG-UHFFFAOYSA-N -1 1 322.365 1.314 20 0 DDADMM CNC(=S)n1[n-]c(Cc2cc(F)c(F)cc2F)cc1=O ZINC001245930948 892275085 /nfs/dbraw/zinc/27/50/85/892275085.db2.gz PSMGBCDLDAVGNE-UHFFFAOYSA-N -1 1 301.293 1.949 20 0 DDADMM CC(C)(C)CC(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001387222284 892647771 /nfs/dbraw/zinc/64/77/71/892647771.db2.gz KZIROZAJBSSAHK-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@H](CCNC(=O)[C@H]1CC1(F)F)NC(=O)c1ncccc1[O-] ZINC001387457440 893145645 /nfs/dbraw/zinc/14/56/45/893145645.db2.gz VSIMHZZKQHJYFK-RKDXNWHRSA-N -1 1 313.304 1.067 20 0 DDADMM CSCCCNC(=S)Nc1[nH]c(=S)[n-]c(=O)c1N=O ZINC001247796297 893375537 /nfs/dbraw/zinc/37/55/37/893375537.db2.gz DEORBIOWSIEILK-UHFFFAOYSA-N -1 1 319.437 1.908 20 0 DDADMM O=C([O-])c1c(F)ccc(CN2CC[C@@]3(CCNC3=O)C2)c1F ZINC001249764544 894122199 /nfs/dbraw/zinc/12/21/99/894122199.db2.gz SMNCPSYPKDDHGX-HNNXBMFYSA-N -1 1 310.300 1.375 20 0 DDADMM Cc1cc(C(=O)NCCNC(=O)c2ncccc2[O-])oc1C ZINC001292640003 913884253 /nfs/dbraw/zinc/88/42/53/913884253.db2.gz BAWSRHRJLRJOCW-UHFFFAOYSA-N -1 1 303.318 1.157 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(C)cc2)cc(C(N)=O)c1 ZINC001252204738 895036614 /nfs/dbraw/zinc/03/66/14/895036614.db2.gz CQPKKMBKZMDZQO-UHFFFAOYSA-N -1 1 320.370 1.903 20 0 DDADMM CC(C)[C@@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001396522576 913979660 /nfs/dbraw/zinc/97/96/60/913979660.db2.gz RRBGSOSNVUMIMU-UPJWGTAASA-N -1 1 319.405 1.704 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2cc(C)ccc2C)ccn1 ZINC001254702201 896578441 /nfs/dbraw/zinc/57/84/41/896578441.db2.gz DOCJFYVNZMJMAT-UHFFFAOYSA-N -1 1 320.370 1.708 20 0 DDADMM C[C@H](c1ncccn1)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001367510206 896979522 /nfs/dbraw/zinc/97/95/22/896979522.db2.gz AKCHJOBECWCZHN-GFCCVEGCSA-N -1 1 315.377 1.342 20 0 DDADMM Brc1n[n-]c(-c2nnc(C3CCOCC3)o2)n1 ZINC001255704869 897093646 /nfs/dbraw/zinc/09/36/46/897093646.db2.gz IAFONLSLWQIKND-UHFFFAOYSA-N -1 1 300.116 1.511 20 0 DDADMM Brc1nc(-c2nnc(C3CCOCC3)o2)n[n-]1 ZINC001255704869 897093659 /nfs/dbraw/zinc/09/36/59/897093659.db2.gz IAFONLSLWQIKND-UHFFFAOYSA-N -1 1 300.116 1.511 20 0 DDADMM Nc1c2c(=O)nccc-2[n-]n1C1CCN(Cc2ccncc2)CC1 ZINC001256586966 897492398 /nfs/dbraw/zinc/49/23/98/897492398.db2.gz VXLJGPWFAXOGLG-UHFFFAOYSA-N -1 1 324.388 1.491 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1cccn(C(F)F)c1=O ZINC001258754013 898311463 /nfs/dbraw/zinc/31/14/63/898311463.db2.gz HWFNXRBFTWLOGP-UHFFFAOYSA-N -1 1 319.289 1.649 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1cccnc1)c1cc(F)ccc1F ZINC001258949066 898373052 /nfs/dbraw/zinc/37/30/52/898373052.db2.gz JPTDUPYNKCUNRO-GFCCVEGCSA-N -1 1 314.313 1.372 20 0 DDADMM O=S(=O)([N-]CCOc1ccccn1)c1cc(F)ccc1F ZINC001258949080 898375212 /nfs/dbraw/zinc/37/52/12/898375212.db2.gz KPTBPFCNXAJSAJ-UHFFFAOYSA-N -1 1 314.313 1.717 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1ccccn1)c1cc(F)ccc1F ZINC001258950000 898379017 /nfs/dbraw/zinc/37/90/17/898379017.db2.gz GDQAVQTXBASQQS-GFCCVEGCSA-N -1 1 314.313 1.372 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(C)c(OC(F)F)c1 ZINC001259026475 898425094 /nfs/dbraw/zinc/42/50/94/898425094.db2.gz XFJJZARIZVDVBV-UHFFFAOYSA-N -1 1 309.290 1.511 20 0 DDADMM CCCC[C@H](CO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259043432 898438117 /nfs/dbraw/zinc/43/81/17/898438117.db2.gz YOEJTGZOVJUMBU-MRVPVSSYSA-N -1 1 311.325 1.933 20 0 DDADMM CC(C)C[C@@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(N)=O ZINC001259081848 898455066 /nfs/dbraw/zinc/45/50/66/898455066.db2.gz TXZJTXPNIHCTAX-MRVPVSSYSA-N -1 1 324.324 1.282 20 0 DDADMM O=c1[nH]cccc1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259082022 898456068 /nfs/dbraw/zinc/45/60/68/898456068.db2.gz FTKOBMSAKRQPKM-UHFFFAOYSA-N -1 1 318.276 1.683 20 0 DDADMM CCC(=O)NCCN(Cc1n[nH]c(=O)[n-]1)C1CCCCCC1 ZINC001368050065 898581655 /nfs/dbraw/zinc/58/16/55/898581655.db2.gz AEKOLJBISRAEMT-UHFFFAOYSA-N -1 1 309.414 1.561 20 0 DDADMM CN(C)c1nc(NS(=O)(=O)C2CCCC2)c(N=O)c(=O)[n-]1 ZINC001259794337 898808284 /nfs/dbraw/zinc/80/82/84/898808284.db2.gz UUFIMFIUZIHGCD-UHFFFAOYSA-N -1 1 315.355 1.330 20 0 DDADMM COc1cc(C)c([N-]S(=O)(=O)c2ccc3c(c2)OCO3)cn1 ZINC001259844212 898861702 /nfs/dbraw/zinc/86/17/02/898861702.db2.gz FAHVDDQTWJSDIK-UHFFFAOYSA-N -1 1 322.342 1.928 20 0 DDADMM O=S(=O)([N-]c1ccc(CO)cc1)c1ccc2c(c1)OCO2 ZINC001259849477 898866716 /nfs/dbraw/zinc/86/67/16/898866716.db2.gz UJONQFCWHHNQHY-UHFFFAOYSA-N -1 1 307.327 1.708 20 0 DDADMM COc1ccc(CS(=O)(=O)[N-]c2ncccc2CO)cc1 ZINC001259892957 898903520 /nfs/dbraw/zinc/90/35/20/898903520.db2.gz QVMFFQIHXNKTMD-UHFFFAOYSA-N -1 1 308.359 1.524 20 0 DDADMM O=S(=O)([N-]c1ccccc1C1=NCCO1)C1CCOCC1 ZINC001259916994 898927222 /nfs/dbraw/zinc/92/72/22/898927222.db2.gz RGZONHORCOJWQV-UHFFFAOYSA-N -1 1 310.375 1.384 20 0 DDADMM Cc1ncc(Br)cc1C[N-]S(=O)(=O)C(F)F ZINC001259962238 898984236 /nfs/dbraw/zinc/98/42/36/898984236.db2.gz GEEFAPPVIHIASQ-UHFFFAOYSA-N -1 1 315.139 1.795 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H]([N-]S(=O)(=O)C(F)F)C1 ZINC001259960780 898983863 /nfs/dbraw/zinc/98/38/63/898983863.db2.gz LLCBHKQLRBIZCN-SSDOTTSWSA-N -1 1 300.327 1.138 20 0 DDADMM O=S(=O)([N-]Cc1cn(Cc2ccc(F)cc2)cn1)C(F)F ZINC001259964534 898988581 /nfs/dbraw/zinc/98/85/81/898988581.db2.gz WBLHBXHFLSLAGQ-UHFFFAOYSA-N -1 1 319.308 1.713 20 0 DDADMM O=S(=O)([N-]CCn1ccnc1)c1cc(Cl)c(F)cc1F ZINC001260195842 899086119 /nfs/dbraw/zinc/08/61/19/899086119.db2.gz KQIRVJKZUKUOCC-UHFFFAOYSA-N -1 1 321.736 1.793 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)CCN1 ZINC001260206588 899089288 /nfs/dbraw/zinc/08/92/88/899089288.db2.gz UFNTUHGTILTVOI-LURJTMIESA-N -1 1 324.736 1.175 20 0 DDADMM O=S(=O)([N-]Cc1ncon1)c1cc(F)c(F)cc1Cl ZINC001260277426 899107199 /nfs/dbraw/zinc/10/71/99/899107199.db2.gz IWZQXKGHETYCDV-UHFFFAOYSA-N -1 1 309.681 1.480 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CC1)c1cc(F)c(F)cc1Cl ZINC001260289349 899111663 /nfs/dbraw/zinc/11/16/63/899111663.db2.gz UMBGKEAXXMOSDC-JTQLQIEISA-N -1 1 311.737 1.667 20 0 DDADMM Cc1ccnc(F)c1[N-]S(=O)(=O)c1cccc2nonc21 ZINC001260435789 899137882 /nfs/dbraw/zinc/13/78/82/899137882.db2.gz UFVUTDPVROFOEE-UHFFFAOYSA-N -1 1 308.294 1.866 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc2ncsc2c1 ZINC001260582854 899173783 /nfs/dbraw/zinc/17/37/83/899173783.db2.gz FWSDSDSUCOUNBU-UHFFFAOYSA-N -1 1 306.390 1.040 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-]c1cc(CO)ccc1Cl ZINC001261030082 899331298 /nfs/dbraw/zinc/33/12/98/899331298.db2.gz JGCSMPCSDRHRNL-UHFFFAOYSA-N -1 1 315.782 1.973 20 0 DDADMM Nc1nc2nc(CNC3(c4ccccc4)CCC3)cc(=O)n2[n-]1 ZINC001261762039 899751269 /nfs/dbraw/zinc/75/12/69/899751269.db2.gz DNIKTRZCSPWRNA-UHFFFAOYSA-N -1 1 310.361 1.169 20 0 DDADMM C/C(=C/C(=O)N1CCN([C@]2(C(=O)[O-])CCOC2)CC1)C(C)(C)C ZINC001261843488 899784988 /nfs/dbraw/zinc/78/49/88/899784988.db2.gz IVJCKMSMQOKHEE-QAAVPBIRSA-N -1 1 324.421 1.367 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC001262158984 899992965 /nfs/dbraw/zinc/99/29/65/899992965.db2.gz FBUBPZBDDORMTK-ZDUSSCGKSA-N -1 1 312.410 1.057 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)Cc1cccc(C(=O)[O-])c1 ZINC001263633135 900656888 /nfs/dbraw/zinc/65/68/88/900656888.db2.gz IHOJWUSYLZEXAW-UHFFFAOYSA-N -1 1 301.346 1.708 20 0 DDADMM Cc1ccc(OCCCC(=O)N2CC[C@H](c3nn[n-]n3)C2)cc1 ZINC001263886815 900800704 /nfs/dbraw/zinc/80/07/04/900800704.db2.gz XPTWJABTBCVDNA-ZDUSSCGKSA-N -1 1 315.377 1.683 20 0 DDADMM CCC(C)(C)CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001369391008 901169142 /nfs/dbraw/zinc/16/91/42/901169142.db2.gz XUGULMZHZFFCIY-GHMZBOCLSA-N -1 1 309.414 1.416 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CCC1CCC1 ZINC001369538700 901484556 /nfs/dbraw/zinc/48/45/56/901484556.db2.gz NGPGJHRCADDJPV-GFCCVEGCSA-N -1 1 323.441 1.711 20 0 DDADMM CCN(CCCNC(=O)[C@H](C)C(F)(F)F)Cc1n[nH]c(=O)[n-]1 ZINC001266069786 902879995 /nfs/dbraw/zinc/87/99/95/902879995.db2.gz AROBCNXPWWXJOF-QMMMGPOBSA-N -1 1 323.319 1.037 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001370524721 903352429 /nfs/dbraw/zinc/35/24/29/903352429.db2.gz NRYIWEJVAVTTHK-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnn(-c2ccccc2)c1C(F)F ZINC001293901171 914756376 /nfs/dbraw/zinc/75/63/76/914756376.db2.gz TVSPNYVOHHRQRP-UHFFFAOYSA-N -1 1 305.248 1.575 20 0 DDADMM C[C@@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)c1ccco1 ZINC001371248300 904566403 /nfs/dbraw/zinc/56/64/03/904566403.db2.gz NLLLPBGYOQPLFC-QWRGUYRKSA-N -1 1 317.345 1.707 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)c1cncs1 ZINC001294037778 914872855 /nfs/dbraw/zinc/87/28/55/914872855.db2.gz ZTNZJYAXAVHABH-UHFFFAOYSA-N -1 1 320.374 1.136 20 0 DDADMM CC(C(=O)NCCCNC(=O)c1ncccc1[O-])=C1CCC1 ZINC001283232709 906953563 /nfs/dbraw/zinc/95/35/63/906953563.db2.gz JJAMIBMYZAFZAU-UHFFFAOYSA-N -1 1 303.362 1.524 20 0 DDADMM CCC[C@H](CC)C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001372550334 907605981 /nfs/dbraw/zinc/60/59/81/907605981.db2.gz GXYVRQJPGRNMGZ-STQMWFEESA-N -1 1 323.441 1.015 20 0 DDADMM CCC[C@@H](CC)C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001372550285 907606528 /nfs/dbraw/zinc/60/65/28/907606528.db2.gz GXYVRQJPGRNMGZ-OLZOCXBDSA-N -1 1 323.441 1.015 20 0 DDADMM CCC(C)(C)C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001394709107 910363252 /nfs/dbraw/zinc/36/32/52/910363252.db2.gz LBYHSPIBHVLATA-NEPJUHHUSA-N -1 1 323.441 1.014 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NC[C@H](O)COCC2CC2)c1 ZINC001294793556 915369365 /nfs/dbraw/zinc/36/93/65/915369365.db2.gz HQKDTKNHIHAJHB-ZDUSSCGKSA-N -1 1 307.346 1.030 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCc3n[nH]c(=O)cc3C2)c1 ZINC001296698820 916541654 /nfs/dbraw/zinc/54/16/54/916541654.db2.gz FMVRGFHZXGOSQJ-UHFFFAOYSA-N -1 1 313.313 1.207 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C(C)(C)C3CCCC3)nc2n1 ZINC000622870838 365550530 /nfs/dbraw/zinc/55/05/30/365550530.db2.gz IRVOPRHPPKUZLZ-UHFFFAOYSA-N -1 1 303.366 1.881 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@H](C)[C@@H](C)C3)nc2n1 ZINC000622993133 365585656 /nfs/dbraw/zinc/58/56/56/365585656.db2.gz CDXDCQYDQDCSHG-GARJFASQSA-N -1 1 317.393 1.991 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C(C)(C)C(C)(C)C)nc2n1 ZINC000622993692 365586559 /nfs/dbraw/zinc/58/65/59/365586559.db2.gz XFLRRYNAJYTAQY-UHFFFAOYSA-N -1 1 305.382 1.991 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3(C)CCCCC3)nc2n1 ZINC000622993622 365587175 /nfs/dbraw/zinc/58/71/75/365587175.db2.gz VESUZTDDQGJMKQ-UHFFFAOYSA-N -1 1 303.366 1.889 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3csnc3C)nc2n1 ZINC000622997207 365590377 /nfs/dbraw/zinc/59/03/77/365590377.db2.gz PDVAPGXDCNAYHH-UHFFFAOYSA-N -1 1 318.362 1.387 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCCS3)nc2n1 ZINC000622996827 365590473 /nfs/dbraw/zinc/59/04/73/365590473.db2.gz BNLCOQXJYAYHGC-SNVBAGLBSA-N -1 1 321.406 1.594 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C)C[C@@H]2C)o1 ZINC000077025284 185071205 /nfs/dbraw/zinc/07/12/05/185071205.db2.gz BMAPSXQWGYMXFI-ZJUUUORDSA-N -1 1 300.380 1.448 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000614258398 361774451 /nfs/dbraw/zinc/77/44/51/361774451.db2.gz BPLGUCIKUMYCSC-UHFFFAOYSA-N -1 1 320.370 1.247 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000286548547 219049183 /nfs/dbraw/zinc/04/91/83/219049183.db2.gz ZBKFRYSSEXGSQT-YUELXQCFSA-N -1 1 309.337 1.533 20 0 DDADMM O=C([C@H](O)C1CCCCC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474651 361876297 /nfs/dbraw/zinc/87/62/97/361876297.db2.gz WQNUAHVDKIDFOX-GFCCVEGCSA-N -1 1 309.366 1.422 20 0 DDADMM COC[C@](C)(O)CC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000451659703 231154800 /nfs/dbraw/zinc/15/48/00/231154800.db2.gz OXOWCYITTYYFCM-GFCCVEGCSA-N -1 1 309.334 1.031 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)N=c1[nH][n-]c(C)c1C(=O)NC ZINC000451434820 529455536 /nfs/dbraw/zinc/45/55/36/529455536.db2.gz QGEYAXCVTMDFIH-ZETCQYMHSA-N -1 1 306.288 1.417 20 0 DDADMM Cc1cc(C(=O)Nc2c([O-])cccc2F)ccc1S(N)(=O)=O ZINC000092551652 539176508 /nfs/dbraw/zinc/17/65/08/539176508.db2.gz JVZYDKAPWUREPS-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2Cc3ccccc32)co1 ZINC000120792074 539180152 /nfs/dbraw/zinc/18/01/52/539180152.db2.gz UGPDJIXFZSWZCS-NSHDSACASA-N -1 1 320.370 1.257 20 0 DDADMM O=C([C@H]1Cc2cc(F)ccc2O1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129316062 539184324 /nfs/dbraw/zinc/18/43/24/539184324.db2.gz BHLXYEUILLGKTD-NOZJJQNGSA-N -1 1 317.324 1.049 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2ccc(CO)cc2)n[n-]1 ZINC000195169149 539263454 /nfs/dbraw/zinc/26/34/54/539263454.db2.gz XCMPVXNWHVGYRE-UHFFFAOYSA-N -1 1 309.391 1.568 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCCN(CC(F)(F)F)C2)c([O-])c1 ZINC000614931518 362084783 /nfs/dbraw/zinc/08/47/83/362084783.db2.gz WOIONNYJBJFFOX-SNVBAGLBSA-N -1 1 317.311 1.852 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCC2(C)C)c1 ZINC000615053997 362129293 /nfs/dbraw/zinc/12/92/93/362129293.db2.gz LYOWBJBGCBFTTB-SNVBAGLBSA-N -1 1 312.391 1.675 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cccc(CN2CCOCC2)c1 ZINC000615228485 362204212 /nfs/dbraw/zinc/20/42/12/362204212.db2.gz XDWHKIMYYDUJMS-UHFFFAOYSA-N -1 1 316.361 1.508 20 0 DDADMM CN(C[C@H]1CCS(=O)(=O)C1)C(=O)c1c(F)ccc([O-])c1F ZINC000330879632 529972136 /nfs/dbraw/zinc/97/21/36/529972136.db2.gz YSQQVNJOVZHSGV-MRVPVSSYSA-N -1 1 319.329 1.177 20 0 DDADMM CO[C@@H]1COCC[C@@H]1CNC(=O)c1ncc2ccccc2c1[O-] ZINC000615739004 362420062 /nfs/dbraw/zinc/42/00/62/362420062.db2.gz LTURTKMKGOEYOL-TZMCWYRMSA-N -1 1 316.357 1.722 20 0 DDADMM COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1ncc(C)cc1[O-] ZINC000615816423 362453065 /nfs/dbraw/zinc/45/30/65/362453065.db2.gz MVLXNDIPJILOEE-GYSYKLTISA-N -1 1 304.346 1.509 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ncc(C)cc1[O-])c1ccncc1 ZINC000615835442 362458821 /nfs/dbraw/zinc/45/88/21/362458821.db2.gz BKCBZNJYHZGPIW-GFCCVEGCSA-N -1 1 315.329 1.525 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2(CCOC)CCCC2)n[n-]1 ZINC000615920329 362488471 /nfs/dbraw/zinc/48/84/71/362488471.db2.gz WMBWVCVPRXLUFK-UHFFFAOYSA-N -1 1 324.381 1.195 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2(CCOC)CCCC2)n1 ZINC000615920329 362488481 /nfs/dbraw/zinc/48/84/81/362488481.db2.gz WMBWVCVPRXLUFK-UHFFFAOYSA-N -1 1 324.381 1.195 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C3CC3)[C@@H]2C2CC2)co1 ZINC000456310664 530025138 /nfs/dbraw/zinc/02/51/38/530025138.db2.gz VHKCPBNLHQTXMZ-JSGCOSHPSA-N -1 1 324.402 1.448 20 0 DDADMM CCc1noc2ncc(S(=O)(=O)[N-]CC(F)(F)F)cc12 ZINC000330854633 232115274 /nfs/dbraw/zinc/11/52/74/232115274.db2.gz SABVTMZJODIMIS-UHFFFAOYSA-N -1 1 309.269 1.626 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cc3n(n2)CCCC3)c1Br ZINC000616011731 362520533 /nfs/dbraw/zinc/52/05/33/362520533.db2.gz RXRQPLGOFQQXBB-UHFFFAOYSA-N -1 1 324.182 1.688 20 0 DDADMM O=C(N[C@H]1CCc2nnnn2CC1)c1ncc2ccccc2c1[O-] ZINC000616390818 362672764 /nfs/dbraw/zinc/67/27/64/362672764.db2.gz JBWGMLSGLZJZGN-NSHDSACASA-N -1 1 324.344 1.062 20 0 DDADMM CC[C@H](C(=O)Nc1nc(Br)ccc1[O-])C(=O)OC ZINC000279624670 215014670 /nfs/dbraw/zinc/01/46/70/215014670.db2.gz BGMLTTWFXPGPLB-ZCFIWIBFSA-N -1 1 317.139 1.687 20 0 DDADMM CCC(=O)N[C@H](C)C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000623492516 365897329 /nfs/dbraw/zinc/89/73/29/365897329.db2.gz OZXKELZUBBSNFI-SECBINFHSA-N -1 1 306.391 1.722 20 0 DDADMM CCC(CC)[C@@H](CNC(=O)CCC(=O)[O-])N1CCOCC1 ZINC000564451437 303998598 /nfs/dbraw/zinc/99/85/98/303998598.db2.gz MFOJSIZLVKCNEZ-CYBMUJFWSA-N -1 1 300.399 1.104 20 0 DDADMM O=C(Nc1cccc(-c2n[nH]c(=O)[n-]2)c1)[C@H]1CCCc2[nH]ncc21 ZINC000288696408 304005682 /nfs/dbraw/zinc/00/56/82/304005682.db2.gz BARQGABFELIFPY-NSHDSACASA-N -1 1 324.344 1.547 20 0 DDADMM CN(Cc1nc[nH]n1)C(=O)c1ccc(Br)cc1[O-] ZINC000080523550 192165154 /nfs/dbraw/zinc/16/51/54/192165154.db2.gz UILXDCRJEWUQRM-UHFFFAOYSA-N -1 1 311.139 1.545 20 0 DDADMM Cn1cnc(S(=O)(=O)Nc2ccc(C(=O)[O-])cc2Cl)c1 ZINC000071392871 190966090 /nfs/dbraw/zinc/96/60/90/190966090.db2.gz BKXJDXXCLFUCIZ-UHFFFAOYSA-N -1 1 315.738 1.573 20 0 DDADMM Cc1cnn(C)c1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000617280164 363034541 /nfs/dbraw/zinc/03/45/41/363034541.db2.gz GOXXBGAZJNFBEU-UHFFFAOYSA-N -1 1 314.349 1.275 20 0 DDADMM COC[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C1CCOCC1 ZINC000617366442 363075630 /nfs/dbraw/zinc/07/56/30/363075630.db2.gz MOQPWRJNEMOYBR-CQSZACIVSA-N -1 1 307.346 1.133 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H]2CC=CCC2)c(=O)[n-]1 ZINC000172488433 198104841 /nfs/dbraw/zinc/10/48/41/198104841.db2.gz MSTIOIUBWDAZLO-NSHDSACASA-N -1 1 307.419 1.958 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2cccc(F)c2)cc1 ZINC000015755950 352157013 /nfs/dbraw/zinc/15/70/13/352157013.db2.gz SEEPBFHWDBENEG-UHFFFAOYSA-N -1 1 308.334 1.986 20 0 DDADMM CC(C)(C)c1ccc(O)c(NC=C2C(=O)[N-]C(=S)NC2=O)c1 ZINC000028975727 352234459 /nfs/dbraw/zinc/23/44/59/352234459.db2.gz WXVQFXABQXNEOP-UHFFFAOYSA-N -1 1 319.386 1.516 20 0 DDADMM COc1cc(NC(=O)[C@@H]2CCCO2)ccc1[N-]S(C)(=O)=O ZINC000029966817 352248361 /nfs/dbraw/zinc/24/83/61/352248361.db2.gz AWXYQOYWIGHPKP-NSHDSACASA-N -1 1 314.363 1.184 20 0 DDADMM C[C@@H]1C[C@@H]1CNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000032392689 352278983 /nfs/dbraw/zinc/27/89/83/352278983.db2.gz LMWDTRLHHNSVGE-NXEZZACHSA-N -1 1 314.407 1.742 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000042061553 352329238 /nfs/dbraw/zinc/32/92/38/352329238.db2.gz FMPVFKXCZMEOJS-OAHLLOKOSA-N -1 1 303.358 1.993 20 0 DDADMM O=S(=O)([N-]CCNc1cnccn1)c1cc(F)ccc1F ZINC000042158923 352331660 /nfs/dbraw/zinc/33/16/60/352331660.db2.gz MFLKBNOFTVFPGE-UHFFFAOYSA-N -1 1 314.317 1.145 20 0 DDADMM COCc1ccc(CNC(=O)NN=c2nc(OC)cc[n-]2)cc1 ZINC000046155134 352439925 /nfs/dbraw/zinc/43/99/25/352439925.db2.gz FNKIZCONRPPXLL-UHFFFAOYSA-N -1 1 317.349 1.458 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@H](C)c2ccccc2OC)n1 ZINC000049778727 352558115 /nfs/dbraw/zinc/55/81/15/352558115.db2.gz RYEWHGVLMQLKMQ-SNVBAGLBSA-N -1 1 317.349 1.881 20 0 DDADMM COCc1nc2n(n1)CCC[C@@H]2NC(=O)c1ncc(C)cc1[O-] ZINC000331862287 234206170 /nfs/dbraw/zinc/20/61/70/234206170.db2.gz XXUVBUDKPUSECG-JTQLQIEISA-N -1 1 317.349 1.098 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2ccnc(C)n2)c(=O)[n-]1 ZINC000344923202 282871715 /nfs/dbraw/zinc/87/17/15/282871715.db2.gz IEHKNTMJTQRZBR-UHFFFAOYSA-N -1 1 319.390 1.882 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)o1 ZINC000491945610 234340872 /nfs/dbraw/zinc/34/08/72/234340872.db2.gz OPKXLZBCKDYLTM-IWUOWKGWSA-N -1 1 301.350 1.981 20 0 DDADMM Cc1ncc(C=CC(=O)NCCn2c(C)n[n-]c2=S)s1 ZINC000073942454 353279925 /nfs/dbraw/zinc/27/99/25/353279925.db2.gz ZUMMCOVFWGPDEH-ONEGZZNKSA-N -1 1 309.420 1.844 20 0 DDADMM CC1(C)CCC(CNC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000345050065 282908985 /nfs/dbraw/zinc/90/89/85/282908985.db2.gz KPYMLDQLKLWBEV-UHFFFAOYSA-N -1 1 319.405 1.850 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cccc2c1OCO2 ZINC000077280014 353461691 /nfs/dbraw/zinc/46/16/91/353461691.db2.gz IVAXCDOTDMXYPD-UHFFFAOYSA-N -1 1 300.196 1.805 20 0 DDADMM CCN(Cc1ccccc1)C(=O)[C@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000086169702 353738579 /nfs/dbraw/zinc/73/85/79/353738579.db2.gz VAGVZLJPSIAJRL-NSHDSACASA-N -1 1 322.390 1.016 20 0 DDADMM CCN(Cc1ccccc1)C(=O)[C@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000086169702 353738581 /nfs/dbraw/zinc/73/85/81/353738581.db2.gz VAGVZLJPSIAJRL-NSHDSACASA-N -1 1 322.390 1.016 20 0 DDADMM CCc1nn(C)cc1NC(=O)NCc1n[n-]c(=S)n1C1CC1 ZINC000091199786 353816772 /nfs/dbraw/zinc/81/67/72/353816772.db2.gz KDIMXFOJMIKUTD-UHFFFAOYSA-N -1 1 321.410 1.893 20 0 DDADMM CCCCN(CCCO)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000091631097 353832860 /nfs/dbraw/zinc/83/28/60/353832860.db2.gz VVCINJKHWBIJAH-UHFFFAOYSA-N -1 1 303.362 1.960 20 0 DDADMM O=C(Cc1cnc2ccccc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000132782981 354106767 /nfs/dbraw/zinc/10/67/67/354106767.db2.gz JOJWNARTPJOFMD-AWEZNQCLSA-N -1 1 322.372 1.697 20 0 DDADMM COC(=O)c1ccc(CN2CCC[C@@H](c3n[n-]c(=O)o3)C2)o1 ZINC000283905295 354429199 /nfs/dbraw/zinc/42/91/99/354429199.db2.gz FUDUBIBVSBGPKA-SECBINFHSA-N -1 1 307.306 1.122 20 0 DDADMM C[C@H](CN(C)C(=O)C(C)(C)COCC(F)F)c1nn[n-]n1 ZINC000346243628 283040919 /nfs/dbraw/zinc/04/09/19/283040919.db2.gz ULCHGJRYICRVMC-MRVPVSSYSA-N -1 1 305.329 1.070 20 0 DDADMM COC[C@](C)(NC(=O)Cc1ccc([O-])c(Cl)c1)C(=O)OC ZINC000601306763 358488988 /nfs/dbraw/zinc/48/89/88/358488988.db2.gz QPZLKFJKNIJSLZ-AWEZNQCLSA-N -1 1 315.753 1.282 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CCCOC2)c(F)c1 ZINC000588683117 354928430 /nfs/dbraw/zinc/92/84/30/354928430.db2.gz TZLHGSZIWUPUIR-VIFPVBQESA-N -1 1 321.345 1.678 20 0 DDADMM COC(=O)c1cc(C(C)(C)NC(=O)c2ccc([O-])c(F)c2)no1 ZINC000589958677 355035411 /nfs/dbraw/zinc/03/54/11/355035411.db2.gz CFSKIDJXFKRATJ-UHFFFAOYSA-N -1 1 322.292 1.971 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(F)F)c(Cl)c1 ZINC000074969881 191398866 /nfs/dbraw/zinc/39/88/66/191398866.db2.gz KLQCQOLBGMXUKW-UHFFFAOYSA-N -1 1 313.709 1.670 20 0 DDADMM COC(=O)[C@H]1C[C@@H](OC)CCN1C(=O)c1cc(F)ccc1[O-] ZINC000371523847 307152631 /nfs/dbraw/zinc/15/26/31/307152631.db2.gz DVGVOMFQVJIPDX-CMPLNLGQSA-N -1 1 311.309 1.324 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C2CCSCC2)o1 ZINC000358886898 291069133 /nfs/dbraw/zinc/06/91/33/291069133.db2.gz ZKMWWAWLKQXMAH-UHFFFAOYSA-N -1 1 319.404 1.630 20 0 DDADMM Cc1nc(=NC(=O)c2ccc(C(=O)OC(C)(C)C)cn2)[n-][nH]1 ZINC000592762519 355679788 /nfs/dbraw/zinc/67/97/88/355679788.db2.gz TYEBETBTAXWIPX-UHFFFAOYSA-N -1 1 303.322 1.138 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccn(C)c1C1CCC1)c1nn[n-]n1 ZINC000346549749 283122327 /nfs/dbraw/zinc/12/23/27/283122327.db2.gz MLESDRGCVNNEAS-SNVBAGLBSA-N -1 1 302.382 1.681 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)Cc1ccccc1F ZINC000078496371 191873373 /nfs/dbraw/zinc/87/33/73/191873373.db2.gz PNRCNMUDUUONRO-ZDUSSCGKSA-N -1 1 317.382 1.833 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000593633408 355949371 /nfs/dbraw/zinc/94/93/71/355949371.db2.gz OXGGDXWZDXGDDG-QMMMGPOBSA-N -1 1 311.281 1.144 20 0 DDADMM Cc1ccc(-c2n[nH]c(=O)[n-]2)cc1NC(=O)CN1CC[C@H](C)C1 ZINC000565202162 304051889 /nfs/dbraw/zinc/05/18/89/304051889.db2.gz URNKPPPXHVBPAN-JTQLQIEISA-N -1 1 315.377 1.766 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCOC[C@H]2C)cc1C ZINC000595325563 356450064 /nfs/dbraw/zinc/45/00/64/356450064.db2.gz JNCAEHHNBVQKSE-NXEZZACHSA-N -1 1 317.363 1.078 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCS[C@@H]2C)cc1C ZINC000595349078 356459264 /nfs/dbraw/zinc/45/92/64/356459264.db2.gz XRSJRPVUDZNWOT-BDAKNGLRSA-N -1 1 319.404 1.547 20 0 DDADMM COC(=O)c1ccc(C[N-]S(=O)(=O)c2cc(C)ns2)o1 ZINC000601346764 358509380 /nfs/dbraw/zinc/50/93/80/358509380.db2.gz XWLOSTKLMBHFOE-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM C[C@@H](C[S@](C)=O)N(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000597494121 357195504 /nfs/dbraw/zinc/19/55/04/357195504.db2.gz GZVCYWOBOJNBIO-CWKPULSASA-N -1 1 306.387 1.779 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2cc(OC)no2)[n-]1 ZINC000598729400 357706834 /nfs/dbraw/zinc/70/68/34/357706834.db2.gz SCFAYPOCLQZCCO-UHFFFAOYSA-N -1 1 308.294 1.154 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2cc(OC)no2)n1 ZINC000598729400 357706835 /nfs/dbraw/zinc/70/68/35/357706835.db2.gz SCFAYPOCLQZCCO-UHFFFAOYSA-N -1 1 308.294 1.154 20 0 DDADMM CCOC(=O)c1csc(=NC[C@H]2CCCN2CC(F)F)[n-]1 ZINC000599133221 357825537 /nfs/dbraw/zinc/82/55/37/357825537.db2.gz FQHTXRJFSRJHOJ-SECBINFHSA-N -1 1 319.377 1.883 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H]2CCCC[C@@H]2C)co1 ZINC000179269626 199007593 /nfs/dbraw/zinc/00/75/93/199007593.db2.gz ADSULIZHKKZGIW-CMPLNLGQSA-N -1 1 314.407 1.838 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]C2CCC2)c1F ZINC000599323696 357893805 /nfs/dbraw/zinc/89/38/05/357893805.db2.gz ICALJYCUPIBCJE-UHFFFAOYSA-N -1 1 305.302 1.582 20 0 DDADMM COc1cc(-c2ccccc2)sc1C(=O)NCc1nn[n-]n1 ZINC000599334100 357897851 /nfs/dbraw/zinc/89/78/51/357897851.db2.gz DGXCASDFPXUTQK-UHFFFAOYSA-N -1 1 315.358 1.867 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C2[C@@H]3CCCC[C@H]23)c1 ZINC000179539436 199052024 /nfs/dbraw/zinc/05/20/24/199052024.db2.gz WKFCYQIJXATFPU-HWYHXSKPSA-N -1 1 310.375 1.414 20 0 DDADMM C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)c1cc(Cl)ccc1[O-] ZINC000287345884 291129475 /nfs/dbraw/zinc/12/94/75/291129475.db2.gz NFIJILHWKAXNQM-AAEUAGOBSA-N -1 1 324.808 1.981 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1csnn1 ZINC000358896010 299273171 /nfs/dbraw/zinc/27/31/71/299273171.db2.gz LYOVWBPPDSHDNT-UHFFFAOYSA-N -1 1 316.339 1.301 20 0 DDADMM CC[C@H](C)n1ncc2c1nc(C)cc2C(=O)NCc1nn[n-]n1 ZINC000600504378 358242847 /nfs/dbraw/zinc/24/28/47/358242847.db2.gz IFVCZOWDYMIJMU-VIFPVBQESA-N -1 1 314.353 1.154 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2cnc(C(=O)OC)cn2)n1 ZINC000600537192 358250512 /nfs/dbraw/zinc/25/05/12/358250512.db2.gz YRBSFOAZCZEVBU-UHFFFAOYSA-N -1 1 306.347 1.614 20 0 DDADMM Cc1cn2c(n1)C[C@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)CC2 ZINC000624527314 366430271 /nfs/dbraw/zinc/43/02/71/366430271.db2.gz WOKAPBBIORMQMW-SSDOTTSWSA-N -1 1 314.271 1.530 20 0 DDADMM COC(=O)[C@@H]1O[C@@H](C(=O)Nc2ccc([O-])c(F)c2F)C[C@H]1C ZINC000601627917 358629185 /nfs/dbraw/zinc/62/91/85/358629185.db2.gz XQHLMDXKMWJKJQ-AKGNYGMASA-N -1 1 315.272 1.576 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccc(F)c(C(=O)OC)c2)c1=O ZINC000601951666 358751139 /nfs/dbraw/zinc/75/11/39/358751139.db2.gz WZDQYXCCRJOWKT-MRVPVSSYSA-N -1 1 308.265 1.124 20 0 DDADMM CCOC(=O)CC[C@@H]1CCCN(Cc2cc(C(=O)[O-])nn2C)C1 ZINC000602065316 358798644 /nfs/dbraw/zinc/79/86/44/358798644.db2.gz IYTANGSTPAAWKU-LBPRGKRZSA-N -1 1 323.393 1.674 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2c(F)cccc2F)n[n-]1 ZINC000603013471 359357343 /nfs/dbraw/zinc/35/73/43/359357343.db2.gz BHYFBPJOHKAXIX-UHFFFAOYSA-N -1 1 324.287 1.119 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2c(F)cccc2F)n1 ZINC000603013471 359357346 /nfs/dbraw/zinc/35/73/46/359357346.db2.gz BHYFBPJOHKAXIX-UHFFFAOYSA-N -1 1 324.287 1.119 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](SCC)C(C)C)n[n-]1 ZINC000603015611 359358364 /nfs/dbraw/zinc/35/83/64/359358364.db2.gz IXUVREOYFLMKFG-JTQLQIEISA-N -1 1 314.411 1.375 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](SCC)C(C)C)n1 ZINC000603015611 359358366 /nfs/dbraw/zinc/35/83/66/359358366.db2.gz IXUVREOYFLMKFG-JTQLQIEISA-N -1 1 314.411 1.375 20 0 DDADMM C[C@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CC[S@](=O)C1 ZINC000603051792 359378172 /nfs/dbraw/zinc/37/81/72/359378172.db2.gz LUDYDWZQXFKQHW-CWKPULSASA-N -1 1 323.443 1.951 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@@H](C)C(F)(F)F)n[n-]1 ZINC000603152922 359439361 /nfs/dbraw/zinc/43/93/61/359439361.db2.gz GNOHTDIHARGYHS-RNFRBKRXSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@@H](C)C(F)(F)F)[n-]1 ZINC000603152922 359439364 /nfs/dbraw/zinc/43/93/64/359439364.db2.gz GNOHTDIHARGYHS-RNFRBKRXSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@@H](C)C(F)(F)F)n1 ZINC000603152922 359439368 /nfs/dbraw/zinc/43/93/68/359439368.db2.gz GNOHTDIHARGYHS-RNFRBKRXSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2CC[C@H](C)CC2)n[n-]1 ZINC000603153733 359441051 /nfs/dbraw/zinc/44/10/51/359441051.db2.gz JPCPUDZSLCLQHZ-GMTAPVOTSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2CC[C@H](C)CC2)[n-]1 ZINC000603153733 359441053 /nfs/dbraw/zinc/44/10/53/359441053.db2.gz JPCPUDZSLCLQHZ-GMTAPVOTSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2CC[C@H](C)CC2)n1 ZINC000603153733 359441055 /nfs/dbraw/zinc/44/10/55/359441055.db2.gz JPCPUDZSLCLQHZ-GMTAPVOTSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CC(C)(C)C2CC2)n[n-]1 ZINC000603156678 359443182 /nfs/dbraw/zinc/44/31/82/359443182.db2.gz SJMNXVMVQYLUIE-SECBINFHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CC(C)(C)C2CC2)[n-]1 ZINC000603156678 359443188 /nfs/dbraw/zinc/44/31/88/359443188.db2.gz SJMNXVMVQYLUIE-SECBINFHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CC(C)(C)C2CC2)n1 ZINC000603156678 359443193 /nfs/dbraw/zinc/44/31/93/359443193.db2.gz SJMNXVMVQYLUIE-SECBINFHSA-N -1 1 308.382 1.985 20 0 DDADMM Cc1cc(CNC(=O)N=c2[n-]nc(C(F)(F)F)s2)n[nH]1 ZINC000603561198 359659536 /nfs/dbraw/zinc/65/95/36/359659536.db2.gz WYSXYLYLNFEFTR-UHFFFAOYSA-N -1 1 306.273 1.332 20 0 DDADMM C[C@@H]1CN(C(=O)c2nn(-c3ccccc3F)cc2[O-])CCN1 ZINC000188473137 200253205 /nfs/dbraw/zinc/25/32/05/200253205.db2.gz KBFDYLFRKLOWHG-SNVBAGLBSA-N -1 1 304.325 1.151 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1cnn(-c2ccccn2)c1 ZINC000606828095 359963220 /nfs/dbraw/zinc/96/32/20/359963220.db2.gz YNSRATDLQBWWFQ-LBPRGKRZSA-N -1 1 308.363 1.188 20 0 DDADMM CC(C)n1cc(S(=O)(=O)[N-]c2ccc3nccn3c2)cn1 ZINC000608258236 360150809 /nfs/dbraw/zinc/15/08/09/360150809.db2.gz XNADBJUUXFAMHM-UHFFFAOYSA-N -1 1 305.363 1.913 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](C)c2ccncc2)o1 ZINC000610518414 360458354 /nfs/dbraw/zinc/45/83/54/360458354.db2.gz LTGJGEKLCRSWAE-JTQLQIEISA-N -1 1 324.358 1.543 20 0 DDADMM COC(=O)[C@H]1CCCCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000611581078 360767585 /nfs/dbraw/zinc/76/75/85/360767585.db2.gz RANQJCCZHPZENN-GFCCVEGCSA-N -1 1 323.418 1.697 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC1(c2cccc(Cl)c2)CC1 ZINC000631432216 422761613 /nfs/dbraw/zinc/76/16/13/422761613.db2.gz MLIRMFOJDJYJMY-UHFFFAOYSA-N -1 1 305.769 1.634 20 0 DDADMM C[C@@H](CO[C@H]1CCOC1)NC(=O)c1ncc2ccccc2c1[O-] ZINC000612117018 360924982 /nfs/dbraw/zinc/92/49/82/360924982.db2.gz TWPRGEROCZWACC-AAEUAGOBSA-N -1 1 316.357 1.864 20 0 DDADMM Cc1cc(F)cc(C)c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612814348 361154782 /nfs/dbraw/zinc/15/47/82/361154782.db2.gz BOUHHRJARYNIDN-LLVKDONJSA-N -1 1 303.341 1.975 20 0 DDADMM COc1ccc(N[C@@H](C)c2ccnn2C)cc1[N-]S(C)(=O)=O ZINC000193140276 200997971 /nfs/dbraw/zinc/99/79/71/200997971.db2.gz VVGLSEAEBNNZPB-JTQLQIEISA-N -1 1 324.406 1.973 20 0 DDADMM O=C(N=c1nc(C2CCC2)[nH][n-]1)c1ncnc2ccsc21 ZINC000613495437 361442159 /nfs/dbraw/zinc/44/21/59/361442159.db2.gz IGKPEALBOVKJEB-UHFFFAOYSA-N -1 1 300.347 1.751 20 0 DDADMM COC(=O)[C@H]1CC12CCN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC000613510049 361448187 /nfs/dbraw/zinc/44/81/87/361448187.db2.gz DOAVXCONBIGUGI-LLVKDONJSA-N -1 1 307.321 1.947 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C(C)C)C2CC2)co1 ZINC000620042284 364254237 /nfs/dbraw/zinc/25/42/37/364254237.db2.gz JQEXLSQJFCBCHR-GFCCVEGCSA-N -1 1 300.380 1.352 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)nc1 ZINC000566084661 304114222 /nfs/dbraw/zinc/11/42/22/304114222.db2.gz GBUCKMLPFUEZBM-LLVKDONJSA-N -1 1 314.393 1.912 20 0 DDADMM Cc1cnc(C(=O)NCC2(O)CCC(F)(F)CC2)c([O-])c1 ZINC000620321392 364372369 /nfs/dbraw/zinc/37/23/69/364372369.db2.gz YRMAYIHMRRCLOY-UHFFFAOYSA-N -1 1 300.305 1.766 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](C)C1CCCCC1 ZINC000620368732 364390254 /nfs/dbraw/zinc/39/02/54/364390254.db2.gz QFCNAHDSCBMHMB-SECBINFHSA-N -1 1 309.366 1.843 20 0 DDADMM C[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@](C)(C(F)F)O1 ZINC000621121949 364694081 /nfs/dbraw/zinc/69/40/81/364694081.db2.gz UHXUOIFAZSEFRI-PSLIRLAXSA-N -1 1 313.300 1.846 20 0 DDADMM C[C@H](Cc1ccco1)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000621709267 364994456 /nfs/dbraw/zinc/99/44/56/364994456.db2.gz PXBMZBIEURRNGG-RISCZKNCSA-N -1 1 311.345 1.877 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CC(C(=O)[O-])C1)c1cccc(F)c1 ZINC000621828693 365046006 /nfs/dbraw/zinc/04/60/06/365046006.db2.gz OZOHCDDWAJLFFO-CYBMUJFWSA-N -1 1 309.341 1.154 20 0 DDADMM Cc1n[nH]cc1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091161275 193009138 /nfs/dbraw/zinc/00/91/38/193009138.db2.gz CFNRPKFCUMOEFX-UHFFFAOYSA-N -1 1 312.326 1.481 20 0 DDADMM O=C(CCc1ccccn1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622609879 365449934 /nfs/dbraw/zinc/44/99/34/365449934.db2.gz KAIRKNYGNFPDSF-OAHLLOKOSA-N -1 1 308.345 1.433 20 0 DDADMM CCO[C@@H](C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C)C(C)C ZINC000625604892 367044425 /nfs/dbraw/zinc/04/44/25/367044425.db2.gz BRHSNWWLALWIDF-OAHLLOKOSA-N -1 1 320.393 1.729 20 0 DDADMM O=S(=O)([N-][C@@H]1CO[C@@H](C2CC2)C1)c1cccc(F)c1F ZINC000625581556 367030507 /nfs/dbraw/zinc/03/05/07/367030507.db2.gz NPQMHGFIFCLVRL-GXSJLCMTSA-N -1 1 303.330 1.811 20 0 DDADMM CCC[C@H](NC(=O)c1ccn(C2CCOCC2)n1)c1nn[n-]n1 ZINC000626024196 367280693 /nfs/dbraw/zinc/28/06/93/367280693.db2.gz DSCVDRILOLWOKK-NSHDSACASA-N -1 1 319.369 1.019 20 0 DDADMM COc1cc2[n-]cc(C(=O)N[C@H]3CCOC3)c(=O)c2c(OC)c1 ZINC000626245171 367437833 /nfs/dbraw/zinc/43/78/33/367437833.db2.gz BBSKSCAMPDFBOW-VIFPVBQESA-N -1 1 318.329 1.064 20 0 DDADMM CO[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccc(F)cc1 ZINC000626443747 367548171 /nfs/dbraw/zinc/54/81/71/367548171.db2.gz SOMRKORUMJXEJI-CABZTGNLSA-N -1 1 307.329 1.288 20 0 DDADMM O=C(N=c1nc(C2CCC2)[nH][n-]1)c1ccn(C2CCOCC2)n1 ZINC000626451256 367552306 /nfs/dbraw/zinc/55/23/06/367552306.db2.gz YBXQOFGYJISBKJ-UHFFFAOYSA-N -1 1 316.365 1.294 20 0 DDADMM Cc1c(C(=O)[O-])sc2nc(C)nc(NCCc3nc[nH]n3)c12 ZINC000566290913 304139563 /nfs/dbraw/zinc/13/95/63/304139563.db2.gz LEUXQIYELKJTCW-UHFFFAOYSA-N -1 1 318.362 1.779 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(F)ccc2O)o1 ZINC000174878831 306686163 /nfs/dbraw/zinc/68/61/63/306686163.db2.gz CKZUWDNGNURUFH-UHFFFAOYSA-N -1 1 314.294 1.285 20 0 DDADMM O=C([O-])[C@@H]1CN([C@H]2CCN(C3CCC3)C2=O)Cc2ccccc21 ZINC000635017437 422771510 /nfs/dbraw/zinc/77/15/10/422771510.db2.gz IPZXGANRXIWMQN-CVEARBPZSA-N -1 1 314.385 1.824 20 0 DDADMM O=S(=O)([N-]c1cc(-n2cnnn2)ccc1F)c1ccoc1 ZINC000350020232 284133800 /nfs/dbraw/zinc/13/38/00/284133800.db2.gz VWRNIZUIAZGFIC-UHFFFAOYSA-N -1 1 309.282 1.195 20 0 DDADMM CCN(CC(=O)NCC(=O)[O-])Cc1ccc2ccccc2c1 ZINC000262222549 203234809 /nfs/dbraw/zinc/23/48/09/203234809.db2.gz LNSHIUOEGNXIJH-UHFFFAOYSA-N -1 1 300.358 1.863 20 0 DDADMM CCOCCO[C@H]1CCN(CC(=O)[N-]OCc2ccccc2)C1 ZINC000093204281 193216431 /nfs/dbraw/zinc/21/64/31/193216431.db2.gz IDUPJLAPVALDGJ-INIZCTEOSA-N -1 1 322.405 1.362 20 0 DDADMM CS(=O)(=O)c1ccc(NC(=O)c2cc(F)ccc2[O-])cn1 ZINC000093971172 193332598 /nfs/dbraw/zinc/33/25/98/193332598.db2.gz NIJCESTYEGNHJM-UHFFFAOYSA-N -1 1 310.306 1.582 20 0 DDADMM CC(C)C[C@@H](CNS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000094702984 193392684 /nfs/dbraw/zinc/39/26/84/193392684.db2.gz GQYQYQFELRYIBE-VIFPVBQESA-N -1 1 321.345 1.990 20 0 DDADMM Cc1cccc(F)c1S(=O)(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000359400964 299433990 /nfs/dbraw/zinc/43/39/90/299433990.db2.gz BQIQJTCURMXVJB-UHFFFAOYSA-N -1 1 320.349 1.590 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccc2nsnc21)C(F)(F)F ZINC000288352749 220102134 /nfs/dbraw/zinc/10/21/34/220102134.db2.gz IXUNAJCIYXPXMH-YFKPBYRVSA-N -1 1 311.310 1.920 20 0 DDADMM CCSCC[C@@H](C)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000266345170 205281214 /nfs/dbraw/zinc/28/12/14/205281214.db2.gz NWYMVMODBGEXTP-SECBINFHSA-N -1 1 321.420 1.876 20 0 DDADMM O=C(NC[C@H]1CCCC[C@@H]1O)c1ccc2n[n-]c(=S)n2c1 ZINC000283242366 217516256 /nfs/dbraw/zinc/51/62/56/217516256.db2.gz ZRLKQWDMOAYFGG-KOLCDFICSA-N -1 1 306.391 1.299 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2cccc(C)c2)c1 ZINC000152486100 248296721 /nfs/dbraw/zinc/29/67/21/248296721.db2.gz COMMRRQNAFFKEG-UHFFFAOYSA-N -1 1 323.370 1.896 20 0 DDADMM C[C@H]1C[C@H](CCN2Cc3n[nH]c(=O)n3C[C@H]2C(=O)[O-])C[C@@H](C)C1 ZINC000333618835 249044935 /nfs/dbraw/zinc/04/49/35/249044935.db2.gz TWXHYVQHRPUUQR-LOWDOPEQSA-N -1 1 322.409 1.715 20 0 DDADMM CN1Cc2c(cccc2[N-]S(=O)(=O)C[C@H]2CCCCO2)C1=O ZINC000333896819 249142045 /nfs/dbraw/zinc/14/20/45/249142045.db2.gz AVKAKMFHJSIWKG-LLVKDONJSA-N -1 1 324.402 1.583 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cccc(-n2cccc2)c1 ZINC000337137512 249361468 /nfs/dbraw/zinc/36/14/68/249361468.db2.gz PSVIONOJTKZYFS-UHFFFAOYSA-N -1 1 323.356 1.543 20 0 DDADMM C[C@@H](C[C@@H]1CCCO1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000338716924 250103541 /nfs/dbraw/zinc/10/35/41/250103541.db2.gz MOCNDZQUHPOTEQ-QWRGUYRKSA-N -1 1 301.346 1.611 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2cn(C)nc2C(F)(F)F)CC1 ZINC000338924653 250198656 /nfs/dbraw/zinc/19/86/56/250198656.db2.gz JSTSNPITTMVWPJ-UHFFFAOYSA-N -1 1 311.329 1.907 20 0 DDADMM CCO[C@@H]1COCC[C@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338952974 250215706 /nfs/dbraw/zinc/21/57/06/250215706.db2.gz RYQFGOYOYRTWEY-NXEZZACHSA-N -1 1 309.384 1.360 20 0 DDADMM COc1ccccc1CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339186595 250333763 /nfs/dbraw/zinc/33/37/63/250333763.db2.gz WSZVQDLKHZVCMK-UHFFFAOYSA-N -1 1 317.345 1.733 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2c(C)cccc2C)n1 ZINC000285093861 218288094 /nfs/dbraw/zinc/28/80/94/218288094.db2.gz LJUGKCIABOOOTM-UHFFFAOYSA-N -1 1 308.359 1.787 20 0 DDADMM CC(C)Cc1cccc([C@@H](C)C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000285183385 218323324 /nfs/dbraw/zinc/32/33/24/218323324.db2.gz ROBOATCOSXBNRZ-LLVKDONJSA-N -1 1 303.362 1.572 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCOC[C@@H]2C)o1 ZINC000285219808 218338055 /nfs/dbraw/zinc/33/80/55/218338055.db2.gz ALIJHSGQRWNPEI-UWVGGRQHSA-N -1 1 317.363 1.017 20 0 DDADMM CCc1ccc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000340368835 251030301 /nfs/dbraw/zinc/03/03/01/251030301.db2.gz FEWCPNNNJJJNIN-UHFFFAOYSA-N -1 1 322.415 1.767 20 0 DDADMM C[C@@H]1CO[C@@H](C)CN1S(=O)(=O)Nc1cccc(Cl)c1[O-] ZINC000340983705 251317961 /nfs/dbraw/zinc/31/79/61/251317961.db2.gz RGQLGJDOFUEVDO-BDAKNGLRSA-N -1 1 320.798 1.812 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCc2c1cccc2Cl ZINC000352414230 285034708 /nfs/dbraw/zinc/03/47/08/285034708.db2.gz FQGUQZQBUSATJG-UHFFFAOYSA-N -1 1 315.720 1.274 20 0 DDADMM CCCCN(C(=O)CNC(=O)c1ncccc1[O-])[C@H](C)CC ZINC000352423654 285040735 /nfs/dbraw/zinc/04/07/35/285040735.db2.gz YSLGAUBTMKADIX-GFCCVEGCSA-N -1 1 307.394 1.944 20 0 DDADMM CC(C)CCN(CCC(C)C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352448138 285057171 /nfs/dbraw/zinc/05/71/71/285057171.db2.gz QMRZAAQKWSMPKH-UHFFFAOYSA-N -1 1 319.409 1.952 20 0 DDADMM CCC[C@@H](CCO)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000270013423 208067514 /nfs/dbraw/zinc/06/75/14/208067514.db2.gz MNFGRWMIUSOOTD-JTQLQIEISA-N -1 1 319.379 1.143 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(CC)CCC1 ZINC000158957962 197313859 /nfs/dbraw/zinc/31/38/59/197313859.db2.gz ANTSNVASOZRVOW-UHFFFAOYSA-N -1 1 301.368 1.197 20 0 DDADMM C[C@H](O)[C@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CCO1 ZINC000412925758 224106402 /nfs/dbraw/zinc/10/64/02/224106402.db2.gz OYJKQHKGBPGQFK-QPUJVOFHSA-N -1 1 319.279 1.633 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(CC(C)(C)C)OC)co1 ZINC000286461567 219002580 /nfs/dbraw/zinc/00/25/80/219002580.db2.gz KEYDJUBAKDJPAA-UHFFFAOYSA-N -1 1 304.368 1.237 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C(=O)OC(C)(C)C ZINC000157044755 197158494 /nfs/dbraw/zinc/15/84/94/197158494.db2.gz SMSPQJJOUNUHOH-ZETCQYMHSA-N -1 1 323.802 1.082 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)N2CC[C@H](N3CCCC3)C2)c1 ZINC000170812004 304264942 /nfs/dbraw/zinc/26/49/42/304264942.db2.gz ZIQKPGRDBYBADT-ZDUSSCGKSA-N -1 1 324.402 1.244 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)CCCC2CCCCC2)n1 ZINC000352792374 285304010 /nfs/dbraw/zinc/30/40/10/285304010.db2.gz KNHILBIXLDBLPQ-UHFFFAOYSA-N -1 1 314.411 1.897 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)CCCC2CCCCC2)[n-]1 ZINC000352792374 285304011 /nfs/dbraw/zinc/30/40/11/285304011.db2.gz KNHILBIXLDBLPQ-UHFFFAOYSA-N -1 1 314.411 1.897 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCCOc1ccccc1 ZINC000289581499 221082982 /nfs/dbraw/zinc/08/29/82/221082982.db2.gz VCJFVNQDLQLOQO-UHFFFAOYSA-N -1 1 311.363 1.313 20 0 DDADMM COc1cc(C(=O)N[C@H]2CCCn3ncnc32)cc(Cl)c1[O-] ZINC000331776872 533554250 /nfs/dbraw/zinc/55/42/50/533554250.db2.gz FIQBNSFLFMNBGE-JTQLQIEISA-N -1 1 322.752 1.911 20 0 DDADMM COc1cc2c(cc1Cl)CN(C(=O)CCc1nn[n-]n1)CC2 ZINC000633214311 422810714 /nfs/dbraw/zinc/81/07/14/422810714.db2.gz IGVXHENVSWCAMM-UHFFFAOYSA-N -1 1 321.768 1.379 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCOC[C@@H]1CC(F)F ZINC000353330551 285666326 /nfs/dbraw/zinc/66/63/26/285666326.db2.gz LQDXRAUGOBPDFY-VIFPVBQESA-N -1 1 323.299 1.419 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1C ZINC000353396883 285706484 /nfs/dbraw/zinc/70/64/84/285706484.db2.gz HVJZVWWADAHXSC-LLVKDONJSA-N -1 1 311.345 1.525 20 0 DDADMM CCOC(=O)C1CCC(CC(=O)NC2(c3nn[n-]n3)CC2)CC1 ZINC000579713934 422820923 /nfs/dbraw/zinc/82/09/23/422820923.db2.gz LYYLSNWJSSAQPB-UHFFFAOYSA-N -1 1 321.381 1.065 20 0 DDADMM Cc1noc([C@@H](C)N(C)C(=O)c2nc3ccccc3c(=O)[n-]2)n1 ZINC000569623402 304370107 /nfs/dbraw/zinc/37/01/07/304370107.db2.gz MRNYZVQWVXXHMI-MRVPVSSYSA-N -1 1 313.317 1.448 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@](C)(O)C3)cnc2n1 ZINC000153816182 292304674 /nfs/dbraw/zinc/30/46/74/292304674.db2.gz ZUJOREOMHSNITP-INIZCTEOSA-N -1 1 301.346 1.631 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@](C)(O)C3)c[n-]c2n1 ZINC000153816182 292304676 /nfs/dbraw/zinc/30/46/76/292304676.db2.gz ZUJOREOMHSNITP-INIZCTEOSA-N -1 1 301.346 1.631 20 0 DDADMM CC(C)C[C@@H](NC(=O)[C@H](N)Cc1c[nH]c2ccccc12)C(=O)[O-] ZINC000001865983 406680292 /nfs/dbraw/zinc/68/02/92/406680292.db2.gz LYMVXFSTACVOLP-UKRRQHHQSA-N -1 1 317.389 1.653 20 0 endogenous,in-man DDADMM O=C(NCCCCN1CCOCC1)c1ccc([O-])c(Cl)c1 ZINC000077217953 406981034 /nfs/dbraw/zinc/98/10/34/406981034.db2.gz SXYYDDHHDTXXFD-UHFFFAOYSA-N -1 1 312.797 1.888 20 0 DDADMM O=C(CSc1nnnn1C[C@H]1CCCO1)c1ccc([O-])cc1 ZINC000030347205 406931218 /nfs/dbraw/zinc/93/12/18/406931218.db2.gz OHPPYLHWBAVCBW-GFCCVEGCSA-N -1 1 320.374 1.533 20 0 DDADMM O=C([O-])c1cc(NS(=O)(=O)c2cncc(F)c2)ccc1F ZINC000044260695 407020579 /nfs/dbraw/zinc/02/05/79/407020579.db2.gz UVJHNWKQTMYQAD-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCC(CO)CC1 ZINC000044489799 407024602 /nfs/dbraw/zinc/02/46/02/407024602.db2.gz JTCUEPYUISEIAW-UHFFFAOYSA-N -1 1 314.179 1.999 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC[C@H]1C ZINC000037490235 406989751 /nfs/dbraw/zinc/98/97/51/406989751.db2.gz ZZSSIXRUCLVPNU-MWLCHTKSSA-N -1 1 315.395 1.443 20 0 DDADMM Cn1c(CCNC(=O)Cc2c(F)cccc2F)n[n-]c1=S ZINC000087301946 407119848 /nfs/dbraw/zinc/11/98/48/407119848.db2.gz GFMAZAGFRHUHKN-UHFFFAOYSA-N -1 1 312.345 1.657 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H]1CNS(=O)(=O)c1c(F)cccc1F ZINC000089426030 407134877 /nfs/dbraw/zinc/13/48/77/407134877.db2.gz CEDMSZMPNWKYKX-RKDXNWHRSA-N -1 1 319.329 1.744 20 0 DDADMM Cc1cc(C(=O)NCCc2n[n-]c(=S)n2C2CC2)n(C)n1 ZINC000067078462 407267170 /nfs/dbraw/zinc/26/71/70/407267170.db2.gz DGDVTYQNSYMCOY-UHFFFAOYSA-N -1 1 306.395 1.290 20 0 DDADMM CCC[C@H](NC(=O)Cc1cccc(C(=O)OC)c1)c1nn[n-]n1 ZINC000122729872 407309028 /nfs/dbraw/zinc/30/90/28/407309028.db2.gz SYKGYXTVSUEIQR-LBPRGKRZSA-N -1 1 317.349 1.186 20 0 DDADMM Cc1cc(C(=O)[O-])cc(S(=O)(=O)N(C)CCN(C)C)c1C ZINC000107062564 407369066 /nfs/dbraw/zinc/36/90/66/407369066.db2.gz LIVQSBGVSHZKSO-UHFFFAOYSA-N -1 1 314.407 1.184 20 0 DDADMM CCOc1cc(C(=O)N(C)C)ccc1[N-]S(=O)(=O)C1CC1 ZINC000185619409 407464730 /nfs/dbraw/zinc/46/47/30/407464730.db2.gz KTKPASQRSHBICJ-UHFFFAOYSA-N -1 1 312.391 1.691 20 0 DDADMM COC[C@@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000113362539 407497483 /nfs/dbraw/zinc/49/74/83/407497483.db2.gz NTHBLGRETLNBER-LLVKDONJSA-N -1 1 301.346 1.422 20 0 DDADMM O=C1NC(=O)c2cc(S(=O)(=O)[N-]c3ccc(F)cc3)ccc21 ZINC000226860553 407627095 /nfs/dbraw/zinc/62/70/95/407627095.db2.gz CFGKCPFRPYQPPC-UHFFFAOYSA-N -1 1 320.301 1.510 20 0 DDADMM COc1ccc2c(c1)CCCN2C(=O)CN1CC[C@H](C(=O)[O-])C1 ZINC000178893352 407643342 /nfs/dbraw/zinc/64/33/42/407643342.db2.gz BXDIEBUSBKJWPN-ZDUSSCGKSA-N -1 1 318.373 1.381 20 0 DDADMM CCC(CC)[C@H](O)CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000230241961 407685670 /nfs/dbraw/zinc/68/56/70/407685670.db2.gz OODMXXJFHBXWMO-SNVBAGLBSA-N -1 1 313.423 1.327 20 0 DDADMM CC[C@H](C)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000130510244 407695028 /nfs/dbraw/zinc/69/50/28/407695028.db2.gz HYAYDPBBHADCDY-VIFPVBQESA-N -1 1 312.391 1.818 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(F)c(Br)c1 ZINC000231295570 407695890 /nfs/dbraw/zinc/69/58/90/407695890.db2.gz JVSAPVJXRPTSET-UHFFFAOYSA-N -1 1 300.091 1.031 20 0 DDADMM O=C(Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-])c1ccco1 ZINC000130514556 407697286 /nfs/dbraw/zinc/69/72/86/407697286.db2.gz FYZCBOMNLYXWRX-UHFFFAOYSA-N -1 1 322.342 1.678 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@H]([NH+](C)C)C1 ZINC000267008766 407712633 /nfs/dbraw/zinc/71/26/33/407712633.db2.gz MHTHMVOBJARIMP-NSHDSACASA-N -1 1 306.410 1.564 20 0 DDADMM CC(C)[C@]1(C)C[C@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000186645973 407730481 /nfs/dbraw/zinc/73/04/81/407730481.db2.gz GDOIEMZXRQCBOO-HZMBPMFUSA-N -1 1 312.391 1.660 20 0 DDADMM O=C(CN(CCO)Cc1ccccc1)[N-]OCc1ccccc1 ZINC000153169558 407769914 /nfs/dbraw/zinc/76/99/14/407769914.db2.gz DLWSKMHRVGXKTI-UHFFFAOYSA-N -1 1 314.385 1.729 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)Cc1cnccn1 ZINC000267192535 407785036 /nfs/dbraw/zinc/78/50/36/407785036.db2.gz XBJWYEMQKFHTJZ-UHFFFAOYSA-N -1 1 315.377 1.850 20 0 DDADMM COc1ccc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)cc1 ZINC000179704953 407825899 /nfs/dbraw/zinc/82/58/99/407825899.db2.gz BEIVUAQRZCRAMT-UHFFFAOYSA-N -1 1 312.329 1.613 20 0 DDADMM C[C@@H](C(=O)Nc1ccc2c(c1)OCCO2)N1CC[C@@H](C(=O)[O-])C1 ZINC000262685405 407901518 /nfs/dbraw/zinc/90/15/18/407901518.db2.gz XSGZWHICOQAWEU-WDEREUQCSA-N -1 1 320.345 1.191 20 0 DDADMM CCOc1ccc(S(=O)(=O)[N-]c2ccc3[nH]nnc3c2)cn1 ZINC000181125441 407958810 /nfs/dbraw/zinc/95/88/10/407958810.db2.gz UPEOURNPHGCXAO-UHFFFAOYSA-N -1 1 319.346 1.552 20 0 DDADMM CCC[C@@H](NC(=O)CC1(CC(=O)OC)CCCC1)c1nn[n-]n1 ZINC000188279017 407928808 /nfs/dbraw/zinc/92/88/08/407928808.db2.gz VFPZAAUDFABICB-LLVKDONJSA-N -1 1 323.397 1.671 20 0 DDADMM CCCCn1nc(C)c(C=CC(=O)Nc2nnn[n-]2)c1Cl ZINC000118685747 407935296 /nfs/dbraw/zinc/93/52/96/407935296.db2.gz ZETQVIFEVCPFFS-AATRIKPKSA-N -1 1 309.761 1.810 20 0 DDADMM CCCCn1nc(C)c(C=CC(=O)Nc2nn[n-]n2)c1Cl ZINC000118685747 407935301 /nfs/dbraw/zinc/93/53/01/407935301.db2.gz ZETQVIFEVCPFFS-AATRIKPKSA-N -1 1 309.761 1.810 20 0 DDADMM CC(C)c1c(C(=O)Nc2nnn[n-]2)cnn1-c1ccc(F)cc1 ZINC000118686090 407935832 /nfs/dbraw/zinc/93/58/32/407935832.db2.gz SNZACNIKTZFUIX-UHFFFAOYSA-N -1 1 315.312 1.900 20 0 DDADMM CC(C)c1c(C(=O)Nc2nn[n-]n2)cnn1-c1ccc(F)cc1 ZINC000118686090 407935837 /nfs/dbraw/zinc/93/58/37/407935837.db2.gz SNZACNIKTZFUIX-UHFFFAOYSA-N -1 1 315.312 1.900 20 0 DDADMM Cc1cccc(S(=O)(=O)N[C@H]2C[N@@H+](C3CC3)C[C@H]2C)c1F ZINC000273077139 408047900 /nfs/dbraw/zinc/04/79/00/408047900.db2.gz GOXRTCXOZHBLDZ-YPMHNXCESA-N -1 1 312.410 1.895 20 0 DDADMM CC1(C)[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)[C@H]2CCO[C@@H]21 ZINC000154156100 407985485 /nfs/dbraw/zinc/98/54/85/407985485.db2.gz PKHLUDLCDPLNCT-RTXFEEFZSA-N -1 1 313.357 1.466 20 0 DDADMM Cc1csc([C@@H]2COCCN2C(=O)c2ncccc2[O-])n1 ZINC000189021328 407993992 /nfs/dbraw/zinc/99/39/92/407993992.db2.gz STLRUSJMBROZAZ-JTQLQIEISA-N -1 1 305.359 1.766 20 0 DDADMM C[C@H](CCO)CNC(=O)c1cc(Br)ccc1[O-] ZINC000154264871 408005758 /nfs/dbraw/zinc/00/57/58/408005758.db2.gz GRXKHRSMMHJLTF-MRVPVSSYSA-N -1 1 302.168 1.903 20 0 DDADMM CCC[C@H]1CN(C(=O)c2cccc(O)c2)CCN1CCOC ZINC000273029174 408022914 /nfs/dbraw/zinc/02/29/14/408022914.db2.gz SNQYLLYCSRYOCH-HNNXBMFYSA-N -1 1 306.406 1.965 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000136669441 408118945 /nfs/dbraw/zinc/11/89/45/408118945.db2.gz OUQDSYWNCKCXRF-JTQLQIEISA-N -1 1 303.322 1.129 20 0 DDADMM CC[C@H](O)CCNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000268655774 408140507 /nfs/dbraw/zinc/14/05/07/408140507.db2.gz CFYQULITBYDUKB-JTQLQIEISA-N -1 1 313.423 1.422 20 0 DDADMM CC(C)Cc1nc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cs1 ZINC000155459463 408167557 /nfs/dbraw/zinc/16/75/57/408167557.db2.gz JBLPJSISGYLHKF-SNVBAGLBSA-N -1 1 320.422 1.875 20 0 DDADMM Cc1nc(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(C)s1 ZINC000155495921 408174446 /nfs/dbraw/zinc/17/44/46/408174446.db2.gz AHHWWGVIHOEEIA-SNVBAGLBSA-N -1 1 306.395 1.222 20 0 DDADMM Cc1ccc(Cl)cc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000156415983 408254620 /nfs/dbraw/zinc/25/46/20/408254620.db2.gz WYRZYWUNFKZSJN-UHFFFAOYSA-N -1 1 314.754 1.422 20 0 DDADMM Cc1ccc(Cl)cc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000156415983 408254627 /nfs/dbraw/zinc/25/46/27/408254627.db2.gz WYRZYWUNFKZSJN-UHFFFAOYSA-N -1 1 314.754 1.422 20 0 DDADMM CCC[C@H](NC(=O)c1cc(OC)c(OC)cc1F)c1nn[n-]n1 ZINC000150898503 408221577 /nfs/dbraw/zinc/22/15/77/408221577.db2.gz COALRPAEAXWMLA-JTQLQIEISA-N -1 1 323.328 1.627 20 0 DDADMM CN1CC[C@H](CNC(=O)C(=O)[O-])[C@@H]1c1ccc(Cl)c(F)c1 ZINC000274178464 408317236 /nfs/dbraw/zinc/31/72/36/408317236.db2.gz OHGOSAXVNLBYHG-SKDRFNHKSA-N -1 1 314.744 1.673 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1Cc2ccccc2C1 ZINC000176243608 408322493 /nfs/dbraw/zinc/32/24/93/408322493.db2.gz HZZQJLJBJHIOFT-UHFFFAOYSA-N -1 1 301.371 1.956 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1C[C@H](O)C1(C)C ZINC000190530951 408270907 /nfs/dbraw/zinc/27/09/07/408270907.db2.gz ZKDKNEFKKJCPTL-UWVGGRQHSA-N -1 1 307.394 1.265 20 0 DDADMM O=C(N[C@@H]1CCN(c2ncccc2Cl)C1)c1ncccc1[O-] ZINC000137825134 162127709 /nfs/dbraw/zinc/12/77/09/162127709.db2.gz RDAOJDRSJVNTAL-SNVBAGLBSA-N -1 1 318.764 1.844 20 0 DDADMM Cc1cc(C)c(S(=O)(=O)N[C@H](C)CN(C)C)cc1C(=O)[O-] ZINC000168644730 162181247 /nfs/dbraw/zinc/18/12/47/162181247.db2.gz FHQUTORODYLWQL-LLVKDONJSA-N -1 1 314.407 1.230 20 0 DDADMM O=C(N[C@@H]1Cc2ccccc2[C@H]1O)c1nc2ccccc2c(=O)[n-]1 ZINC000170993344 162201498 /nfs/dbraw/zinc/20/14/98/162201498.db2.gz AMHINQJYRZIKSG-HUUCEWRRSA-N -1 1 321.336 1.311 20 0 DDADMM CC(C)C[C@H](CO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000269658416 408379802 /nfs/dbraw/zinc/37/98/02/408379802.db2.gz AQFGFLCQWADZDC-MRVPVSSYSA-N -1 1 311.325 1.789 20 0 DDADMM C[C@H](CN(C)C(=O)CCOCc1ccccc1)c1nn[n-]n1 ZINC000183435558 408410682 /nfs/dbraw/zinc/41/06/82/408410682.db2.gz KJOAQTYUTVRGLR-GFCCVEGCSA-N -1 1 303.366 1.369 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1c[nH]c2ccccc12)c1nn[n-]n1 ZINC000183439782 408413103 /nfs/dbraw/zinc/41/31/03/408413103.db2.gz BTFNOWPMHHMRHI-LLVKDONJSA-N -1 1 312.377 1.876 20 0 DDADMM COc1cc(OC)cc(N2CC[C@H]([N-]C(=O)C(F)(F)F)C2)c1 ZINC000176718751 408423032 /nfs/dbraw/zinc/42/30/32/408423032.db2.gz HQJDDLRQBCAKKH-VIFPVBQESA-N -1 1 318.295 1.961 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCC(C)(C)CO)o1 ZINC000269791892 408430077 /nfs/dbraw/zinc/43/00/77/408430077.db2.gz QVPZFRMXCIJMLX-UHFFFAOYSA-N -1 1 319.379 1.143 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CC[C@H](C)CC2)o1 ZINC000264450752 408495398 /nfs/dbraw/zinc/49/53/98/408495398.db2.gz DTCQPHIPCRLEAY-MGCOHNPYSA-N -1 1 301.364 1.923 20 0 DDADMM C[C@@H](CN(C)C(=O)CCSCc1ccccn1)c1nn[n-]n1 ZINC000274786157 408531848 /nfs/dbraw/zinc/53/18/48/408531848.db2.gz OWYCAEUUVRZRSW-NSHDSACASA-N -1 1 320.422 1.480 20 0 DDADMM Cc1n[nH]c(C(F)F)c1[N-]S(=O)(=O)c1c(C)nn(C)c1C ZINC000274756915 408519586 /nfs/dbraw/zinc/51/95/86/408519586.db2.gz PQPOFRDDUSOHCF-UHFFFAOYSA-N -1 1 319.337 1.807 20 0 DDADMM CC[C@](C)(CO)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000270415832 408526185 /nfs/dbraw/zinc/52/61/85/408526185.db2.gz PGHMKOHRUGRHAQ-CQSZACIVSA-N -1 1 313.423 1.422 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)Oc1ccc(F)cc1)c1nn[n-]n1 ZINC000274800789 408535430 /nfs/dbraw/zinc/53/54/30/408535430.db2.gz SNRASBXMLKOBDG-NXEZZACHSA-N -1 1 307.329 1.368 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc([C@@H]2CCOC2)c1)c1nn[n-]n1 ZINC000270635551 408611725 /nfs/dbraw/zinc/61/17/25/408611725.db2.gz JJKGTATWXCYQTG-ZIAGYGMSSA-N -1 1 315.377 1.975 20 0 DDADMM CCO[C@@H]1C[C@](NC(=O)c2ncccc2[O-])(C(=O)OC)C1(C)C ZINC000275653232 408634486 /nfs/dbraw/zinc/63/44/86/408634486.db2.gz QHDQRXBNMYUIKU-BZNIZROVSA-N -1 1 322.361 1.264 20 0 DDADMM CCO[C@H]1C[C@@](CO)(NC(=O)c2c([O-])cccc2F)C1(C)C ZINC000275672261 408643032 /nfs/dbraw/zinc/64/30/32/408643032.db2.gz RTQRRCCBFOQATL-LRDDRELGSA-N -1 1 311.353 1.827 20 0 DDADMM O=C(NCc1ccn2ccnc2c1)c1nc2ccccc2c(=O)[n-]1 ZINC000177784501 408711576 /nfs/dbraw/zinc/71/15/76/408711576.db2.gz MKHIZSIANBWBJK-UHFFFAOYSA-N -1 1 319.324 1.501 20 0 DDADMM COC(=O)CCCCCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000193587080 408732205 /nfs/dbraw/zinc/73/22/05/408732205.db2.gz KXNUULITAQEMMK-UHFFFAOYSA-N -1 1 317.345 1.386 20 0 DDADMM C[C@@H](CN(C)C(=O)c1noc2c1CCCCC2)c1nn[n-]n1 ZINC000275973224 408735726 /nfs/dbraw/zinc/73/57/26/408735726.db2.gz NSDBJPRPGKSFTE-VIFPVBQESA-N -1 1 304.354 1.332 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000184757125 408683282 /nfs/dbraw/zinc/68/32/82/408683282.db2.gz NAVFTFDFSNNBJM-CQSZACIVSA-N -1 1 306.362 1.119 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)[C@H](O)C(F)(F)F ZINC000270807338 408690320 /nfs/dbraw/zinc/69/03/20/408690320.db2.gz LBSHXEKBKGXRRR-IUCAKERBSA-N -1 1 316.301 1.104 20 0 DDADMM O=C(N[C@]1(CO)CCOC1)c1ccc(Br)cc1[O-] ZINC000185241002 408785325 /nfs/dbraw/zinc/78/53/25/408785325.db2.gz AUIREOFZJFQLPP-LBPRGKRZSA-N -1 1 316.151 1.036 20 0 DDADMM COCCC(C)(C)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000185044651 408744813 /nfs/dbraw/zinc/74/48/13/408744813.db2.gz OEBNMNMOJDDGOA-UHFFFAOYSA-N -1 1 309.819 1.415 20 0 DDADMM CC[C@]1(C)CN(C(=O)c2c(C)[n-]c(=O)nc2SC)CCO1 ZINC000276033550 408755251 /nfs/dbraw/zinc/75/52/51/408755251.db2.gz UVUUKZKGSBPZQQ-CQSZACIVSA-N -1 1 311.407 1.854 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)C2CCCCC2)C1)c1cncc([O-])c1 ZINC000178040735 408764621 /nfs/dbraw/zinc/76/46/21/408764621.db2.gz XYOVHZSMRUJMJG-CQSZACIVSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1cc(C(=O)[O-])cc(S(=O)(=O)N[C@@H](C)CN(C)C)c1C ZINC000168647270 408818997 /nfs/dbraw/zinc/81/89/97/408818997.db2.gz HCZVSLUALPVZAS-JTQLQIEISA-N -1 1 314.407 1.230 20 0 DDADMM O=C(C(=O)N1CCS[C@H]2COCC[C@H]21)c1ccc([O-])cc1 ZINC000281091555 408872282 /nfs/dbraw/zinc/87/22/82/408872282.db2.gz GYCSGOSOKNJIRZ-OLZOCXBDSA-N -1 1 307.371 1.308 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000193196250 163250168 /nfs/dbraw/zinc/25/01/68/163250168.db2.gz SJILEWSDNLDJJJ-SSDOTTSWSA-N -1 1 321.724 1.155 20 0 DDADMM Cc1nc(C(=O)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)c[nH]1 ZINC000281845897 408940633 /nfs/dbraw/zinc/94/06/33/408940633.db2.gz XSPSGPKDPJSPSK-UHFFFAOYSA-N -1 1 318.299 1.249 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)F)c1cc(F)ccc1Cl ZINC000228622398 163343240 /nfs/dbraw/zinc/34/32/40/163343240.db2.gz MHXNMLCYZSVQHN-SSDOTTSWSA-N -1 1 303.689 1.383 20 0 DDADMM CC[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@H](C)[S@@]1=O ZINC000286329824 408964302 /nfs/dbraw/zinc/96/43/02/408964302.db2.gz BHROINQHVHOUGW-SXKUGOIWSA-N -1 1 309.387 1.333 20 0 DDADMM C[C@@H]1OCC[C@]12CN(C(=O)c1cc(F)cc3nn[nH]c31)CCO2 ZINC000282058161 408982483 /nfs/dbraw/zinc/98/24/83/408982483.db2.gz MESZNFNZUFSTSZ-VFZGTOFNSA-N -1 1 320.324 1.117 20 0 DDADMM CN(CCOCCO)C(=O)c1cc(Br)ccc1[O-] ZINC000282240490 409017298 /nfs/dbraw/zinc/01/72/98/409017298.db2.gz VAAGPOGMAVMTCH-UHFFFAOYSA-N -1 1 318.167 1.236 20 0 DDADMM CCCNC(=O)OC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000287320402 409019102 /nfs/dbraw/zinc/01/91/02/409019102.db2.gz WZINPJPVBPXKSH-LBPRGKRZSA-N -1 1 321.377 1.918 20 0 DDADMM CCCCCC(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000292304663 409020850 /nfs/dbraw/zinc/02/08/50/409020850.db2.gz SPFLNJZBENOQHY-UHFFFAOYSA-N -1 1 300.380 1.819 20 0 DDADMM CNC(=O)OC[C@H]1CCCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000292513916 409034104 /nfs/dbraw/zinc/03/41/04/409034104.db2.gz FCPNMXYQNGEIPW-GFCCVEGCSA-N -1 1 320.345 1.312 20 0 DDADMM CNC(=O)OC[C@@H]1CCCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000292513925 409034386 /nfs/dbraw/zinc/03/43/86/409034386.db2.gz FCPNMXYQNGEIPW-LBPRGKRZSA-N -1 1 320.345 1.312 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCC3(CCO)CCCC3)ccnc1-2 ZINC000287525794 409051003 /nfs/dbraw/zinc/05/10/03/409051003.db2.gz IKQDLVIWZSEFGH-MOSHPQCFSA-N -1 1 317.393 1.406 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2CCC3(CC3)CC2)co1 ZINC000278085381 409062833 /nfs/dbraw/zinc/06/28/33/409062833.db2.gz YBNQEXVUCXLGOJ-UHFFFAOYSA-N -1 1 312.391 1.640 20 0 DDADMM C[C@@H](O)[C@H](NC(=O)C1CC1)c1nc(-c2ccc([O-])cc2F)no1 ZINC000278107437 409066205 /nfs/dbraw/zinc/06/62/05/409066205.db2.gz ILNFPSJJMKWPBO-KRTXAFLBSA-N -1 1 321.308 1.529 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCC3(O)CCCCC3)ccnc1-2 ZINC000287731700 409083520 /nfs/dbraw/zinc/08/35/20/409083520.db2.gz TWEFPGKNSAFTBR-UNOMPAQXSA-N -1 1 303.366 1.159 20 0 DDADMM CCC[C@H](CCO)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287798562 409095214 /nfs/dbraw/zinc/09/52/14/409095214.db2.gz XROLYHDZDHESSX-ZNCULLJESA-N -1 1 305.382 1.262 20 0 DDADMM Cc1ccc([C@H](O)CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)o1 ZINC000287823401 409100523 /nfs/dbraw/zinc/10/05/23/409100523.db2.gz ZBOJJJXCRYWLMK-ZDFPAGSVSA-N -1 1 315.333 1.098 20 0 DDADMM CCSCCCNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000283248640 409121002 /nfs/dbraw/zinc/12/10/02/409121002.db2.gz JUEDFOKCAADRES-UHFFFAOYSA-N -1 1 306.409 1.061 20 0 DDADMM CCc1nc(C2(NC(=O)c3cncc([O-])c3)CCCC2)no1 ZINC000279320229 409192003 /nfs/dbraw/zinc/19/20/03/409192003.db2.gz BQOCPXRVBCWTLG-UHFFFAOYSA-N -1 1 302.334 1.932 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C(C2CC2)C2CC2)c(C(F)(F)F)n1 ZINC000294123803 409244098 /nfs/dbraw/zinc/24/40/98/409244098.db2.gz PTGNGVLQVDOCNV-UHFFFAOYSA-N -1 1 323.340 1.906 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccccc2F)C1)c1cncc([O-])c1 ZINC000293886610 409200646 /nfs/dbraw/zinc/20/06/46/409200646.db2.gz GBKZWQGEIGTSGZ-GFCCVEGCSA-N -1 1 301.321 1.935 20 0 DDADMM COC(=O)[C@H](c1ccsc1)N1CCC(c2nc(=O)[n-][nH]2)CC1 ZINC000289464649 409278273 /nfs/dbraw/zinc/27/82/73/409278273.db2.gz QJRFHGDOSIVFKV-NSHDSACASA-N -1 1 322.390 1.253 20 0 DDADMM C[C@H](C[S@@](C)=O)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284177134 409291186 /nfs/dbraw/zinc/29/11/86/409291186.db2.gz GLMOMFUENJVGCF-IWEMQMMOSA-N -1 1 313.803 1.524 20 0 DDADMM COCCc1nc(CSc2nc(C3CC3)cc(=O)[n-]2)no1 ZINC000289409542 409266096 /nfs/dbraw/zinc/26/60/96/409266096.db2.gz URLOVSPYXMMVMK-UHFFFAOYSA-N -1 1 308.363 1.924 20 0 DDADMM Cc1nc2ccc(C(=O)N(C)C[C@H](C)c3nn[n-]n3)cc2o1 ZINC000280218367 409272063 /nfs/dbraw/zinc/27/20/63/409272063.db2.gz GOOQMXKULGQVMT-QMMMGPOBSA-N -1 1 300.322 1.525 20 0 DDADMM CC(C)CO[C@@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000295234229 409388690 /nfs/dbraw/zinc/38/86/90/409388690.db2.gz ZNCDAKNPDODUDO-GFCCVEGCSA-N -1 1 320.418 1.905 20 0 DDADMM CC(=O)NC[C@H]1CCCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000629857885 422841578 /nfs/dbraw/zinc/84/15/78/422841578.db2.gz HIKHFUKZCVSCEE-CYBMUJFWSA-N -1 1 304.346 1.092 20 0 DDADMM CC[C@H](C)NC(=O)CCNC(=O)c1c(F)ccc([O-])c1F ZINC000280662886 409398489 /nfs/dbraw/zinc/39/84/89/409398489.db2.gz ATZGIEHUXNOFKB-QMMMGPOBSA-N -1 1 300.305 1.705 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ccc(C(C)(C)C)cc1O ZINC000357094949 164138081 /nfs/dbraw/zinc/13/80/81/164138081.db2.gz ZJZPZUFIECFZPJ-UHFFFAOYSA-N -1 1 315.391 1.995 20 0 DDADMM C[C@]1(O)CCOC[C@H]1[C@H]1CCCN1C(=O)c1cncc([O-])c1 ZINC000408064581 164214666 /nfs/dbraw/zinc/21/46/66/164214666.db2.gz LDPQWPRIYMXIRH-LZWOXQAQSA-N -1 1 306.362 1.179 20 0 DDADMM O=C([C@H]1CCC(F)(F)C1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000408068255 164215650 /nfs/dbraw/zinc/21/56/50/164215650.db2.gz SEHHNYMUKQZTJL-IUCAKERBSA-N -1 1 301.293 1.917 20 0 DDADMM Cc1n[nH]c(C(=O)[N-]S(=O)(=O)[C@H]2CC3CCC2CC3)c1C ZINC000408497614 164350792 /nfs/dbraw/zinc/35/07/92/164350792.db2.gz RUAYJRHBRFAKCH-MCIGGMRASA-N -1 1 311.407 1.665 20 0 DDADMM CCCCCN(C(=O)CNC(=O)c1ncccc1[O-])C(C)C ZINC000337938713 409583456 /nfs/dbraw/zinc/58/34/56/409583456.db2.gz IWPVCVRWHZSKLD-UHFFFAOYSA-N -1 1 307.394 1.944 20 0 DDADMM Cc1cc(CNC(=O)CNC(=O)c2ncccc2[O-])ccc1F ZINC000337937517 409584259 /nfs/dbraw/zinc/58/42/59/409584259.db2.gz FOECDTYTFHZFGN-UHFFFAOYSA-N -1 1 317.320 1.281 20 0 DDADMM CC(C)CCC[C@H](C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337941737 409588498 /nfs/dbraw/zinc/58/84/98/409588498.db2.gz MFQUFZCTDWDHKV-LBPRGKRZSA-N -1 1 307.394 1.848 20 0 DDADMM CCC[C@H](NC(=O)c1[nH]c2ccccc2c1OC)c1nn[n-]n1 ZINC000354012350 409600361 /nfs/dbraw/zinc/60/03/61/409600361.db2.gz ZCCDHVUVRYGEGZ-NSHDSACASA-N -1 1 314.349 1.961 20 0 DDADMM COCCOc1ccccc1CNC(=O)c1ncccc1[O-] ZINC000338046256 409674716 /nfs/dbraw/zinc/67/47/16/409674716.db2.gz BGAYZFNSPOWAKY-UHFFFAOYSA-N -1 1 302.330 1.742 20 0 DDADMM CN(C)S(=O)(=O)[N-]c1cc2ccc(Br)cn2n1 ZINC000342571317 409730693 /nfs/dbraw/zinc/73/06/93/409730693.db2.gz CVCIETRWDDEUPR-UHFFFAOYSA-N -1 1 319.184 1.315 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2(OC)CCCC2)c1 ZINC000297134696 409821327 /nfs/dbraw/zinc/82/13/27/409821327.db2.gz QKKFZLTTWGYSLB-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1sc(CC)nc1C ZINC000342655595 409791755 /nfs/dbraw/zinc/79/17/55/409791755.db2.gz RFEFLCLMNXSMPS-UHFFFAOYSA-N -1 1 322.390 1.598 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1ccc(Cl)cc1F ZINC000349554524 409868770 /nfs/dbraw/zinc/86/87/70/409868770.db2.gz LGHOSTUTCKWNDS-UHFFFAOYSA-N -1 1 319.745 1.667 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc(Cl)cc1F ZINC000349554524 409868776 /nfs/dbraw/zinc/86/87/76/409868776.db2.gz LGHOSTUTCKWNDS-UHFFFAOYSA-N -1 1 319.745 1.667 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C[C@@H](C)c1nccs1 ZINC000357427554 410034788 /nfs/dbraw/zinc/03/47/88/410034788.db2.gz TUVOKSKZSSXPQS-SSDOTTSWSA-N -1 1 324.362 1.229 20 0 DDADMM COc1ccc(O)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332282541 410037084 /nfs/dbraw/zinc/03/70/84/410037084.db2.gz FDJUVPKKSLASFA-VIFPVBQESA-N -1 1 319.317 1.509 20 0 DDADMM Cc1ccc(Cl)cc1C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297783994 409998630 /nfs/dbraw/zinc/99/86/30/409998630.db2.gz QUWXOPRWYCBWMK-UHFFFAOYSA-N -1 1 319.752 1.911 20 0 DDADMM CC(C)(NC(=O)c1ccc(Br)cn1)c1nn[n-]n1 ZINC000354747376 410056954 /nfs/dbraw/zinc/05/69/54/410056954.db2.gz ANPHZMWMPFNTRA-UHFFFAOYSA-N -1 1 311.143 1.022 20 0 DDADMM CC(C)[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1cccnc1 ZINC000579912179 422852956 /nfs/dbraw/zinc/85/29/56/422852956.db2.gz URXXRFPEQSITJA-DGCLKSJQSA-N -1 1 302.382 1.596 20 0 DDADMM CC(C)(NC(=O)[C@H]1C[C@H]1c1cccc(F)c1F)c1nn[n-]n1 ZINC000354775707 410080391 /nfs/dbraw/zinc/08/03/91/410080391.db2.gz QFUNUUKBWNRQAV-IUCAKERBSA-N -1 1 307.304 1.633 20 0 DDADMM COc1cccc(F)c1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332348102 410086547 /nfs/dbraw/zinc/08/65/47/410086547.db2.gz QELGJFUOJOSIEM-SECBINFHSA-N -1 1 321.308 1.943 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCO[C@@H](c3cncn3C)C2)c([O-])c1 ZINC000332378357 410110836 /nfs/dbraw/zinc/11/08/36/410110836.db2.gz AGCPOZWNDRUZIS-SMDDNHRTSA-N -1 1 316.361 1.479 20 0 DDADMM O=C(N[C@@H](CO)CC(F)(F)F)c1csc(=NC2CC2)[n-]1 ZINC000351706500 410166091 /nfs/dbraw/zinc/16/60/91/410166091.db2.gz QOBXJBIOGZQHRC-SSDOTTSWSA-N -1 1 309.313 1.182 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)CCN1C ZINC000332456488 410173687 /nfs/dbraw/zinc/17/36/87/410173687.db2.gz NQWQMHCPIHZIFI-GHMZBOCLSA-N -1 1 316.361 1.195 20 0 DDADMM CCOc1cc(C(=O)N[C@H](C)C(=O)NC)cc(Cl)c1[O-] ZINC000346823399 410177944 /nfs/dbraw/zinc/17/79/44/410177944.db2.gz BVGVCVNJPBNPHG-SSDOTTSWSA-N -1 1 300.742 1.309 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCc3cccc(F)c32)co1 ZINC000339514381 410245736 /nfs/dbraw/zinc/24/57/36/410245736.db2.gz ZSEBZZDCWYAOHC-UHFFFAOYSA-N -1 1 324.333 1.530 20 0 DDADMM CCO[C@@H](C)C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000298474349 410247267 /nfs/dbraw/zinc/24/72/67/410247267.db2.gz ANCNZHYHVKNFPP-VIFPVBQESA-N -1 1 316.379 1.430 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC3CCC2CC3)co1 ZINC000339517947 410247718 /nfs/dbraw/zinc/24/77/18/410247718.db2.gz LKOIHOMTVANJCQ-RTYFJBAXSA-N -1 1 312.391 1.496 20 0 DDADMM CCN(Cc1ccncc1)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000298427976 410221152 /nfs/dbraw/zinc/22/11/52/410221152.db2.gz NOGXNZQUWLCSKT-UHFFFAOYSA-N -1 1 323.374 1.245 20 0 DDADMM CCC(O)(CC)CCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000351915702 410336699 /nfs/dbraw/zinc/33/66/99/410336699.db2.gz RGKUJMRGHDBGAT-UHFFFAOYSA-N -1 1 306.366 1.345 20 0 DDADMM C[C@@H](c1cccc(F)c1)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000329581887 410369159 /nfs/dbraw/zinc/36/91/59/410369159.db2.gz LIZZMWDQVAHDGO-LBPRGKRZSA-N -1 1 319.384 1.126 20 0 DDADMM CCC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C1 ZINC000333334081 410487183 /nfs/dbraw/zinc/48/71/83/410487183.db2.gz ZCPGFQNMBBBYIK-SNVBAGLBSA-N -1 1 317.436 1.049 20 0 DDADMM COc1cc(C)c(/C=C\c2cc(=O)n3[n-]cnc3n2)cc1OC ZINC000352029541 410426267 /nfs/dbraw/zinc/42/62/67/410426267.db2.gz ZZWFYWVBESQQQS-PLNGDYQASA-N -1 1 312.329 1.914 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)c1cc(C)oc1C ZINC000352146407 410510683 /nfs/dbraw/zinc/51/06/83/410510683.db2.gz FGTGIJLKYBXZFK-SSDOTTSWSA-N -1 1 307.306 1.597 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COc2cccc(C)c2)n1 ZINC000352164224 410519027 /nfs/dbraw/zinc/51/90/27/410519027.db2.gz OAZJWEXEPMSPMV-UHFFFAOYSA-N -1 1 303.318 1.912 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H]1c1ccccc1 ZINC000352190970 410534265 /nfs/dbraw/zinc/53/42/65/410534265.db2.gz RAFJGLRGNTVCHM-LLVKDONJSA-N -1 1 315.329 1.874 20 0 DDADMM CC(C)[C@H](Cc1ccc(F)cc1)[N@H+](C)Cc1nc(=O)n(C)[n-]1 ZINC000347490420 410580968 /nfs/dbraw/zinc/58/09/68/410580968.db2.gz VFCYPIXELFNVAO-AWEZNQCLSA-N -1 1 306.385 1.947 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@@H]1CCCO1 ZINC000333424808 410540287 /nfs/dbraw/zinc/54/02/87/410540287.db2.gz JBHFBRWQISGGPJ-QWRGUYRKSA-N -1 1 320.436 1.232 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1cncc([O-])c1)c1ccc(C)cc1 ZINC000339936971 410561434 /nfs/dbraw/zinc/56/14/34/410561434.db2.gz FZORCEKPHMJIBE-OAHLLOKOSA-N -1 1 314.341 1.782 20 0 DDADMM CC[C@H]1CCCC[C@@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000359374128 410627864 /nfs/dbraw/zinc/62/78/64/410627864.db2.gz WHZCRMKUBKSFLK-IUCAKERBSA-N -1 1 300.384 1.363 20 0 DDADMM CC[C@H]1CCCC[C@@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000359374128 410627868 /nfs/dbraw/zinc/62/78/68/410627868.db2.gz WHZCRMKUBKSFLK-IUCAKERBSA-N -1 1 300.384 1.363 20 0 DDADMM CC[C@]([N-]S(=O)(=O)CCOC)(C(=O)OC)c1ccccc1 ZINC000352338556 410631489 /nfs/dbraw/zinc/63/14/89/410631489.db2.gz SWYUKPSJQJQQJE-CQSZACIVSA-N -1 1 315.391 1.031 20 0 DDADMM Cc1ccc(-c2[nH]nc([N-]S(=O)(=O)c3ccn(C)n3)c2C)o1 ZINC000340147729 410707233 /nfs/dbraw/zinc/70/72/33/410707233.db2.gz LMGUAEGOXZLTRQ-UHFFFAOYSA-N -1 1 321.362 1.821 20 0 DDADMM Cc1ccc(-c2[nH]nc([N-]S(=O)(=O)c3cn(C)cn3)c2C)o1 ZINC000340151383 410709622 /nfs/dbraw/zinc/70/96/22/410709622.db2.gz KMXKZOYLQWXPFM-UHFFFAOYSA-N -1 1 321.362 1.821 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)c1c(C)nn(C)c1C)c1nn[n-]n1 ZINC000343770057 410684237 /nfs/dbraw/zinc/68/42/37/410684237.db2.gz WCALQXMEADILBE-KCJUWKMLSA-N -1 1 305.386 1.311 20 0 DDADMM Cc1cc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)ccc1F ZINC000340370972 410846733 /nfs/dbraw/zinc/84/67/33/410846733.db2.gz WAJIVKKWUAFLCO-UHFFFAOYSA-N -1 1 320.349 1.590 20 0 DDADMM C[C@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000343987964 410867589 /nfs/dbraw/zinc/86/75/89/410867589.db2.gz YFJRJHYHJZGIRI-JMCQJSRRSA-N -1 1 309.309 1.950 20 0 DDADMM CC(C)(NC(=O)Cc1c[nH]c2cc(Cl)ccc12)c1nn[n-]n1 ZINC000359781112 410875301 /nfs/dbraw/zinc/87/53/01/410875301.db2.gz DAOKDZZQXOKWRU-UHFFFAOYSA-N -1 1 318.768 1.928 20 0 DDADMM O=C(NC[C@@H](CO)C1CC1)c1ccc(Br)c([O-])c1 ZINC000359826450 410902429 /nfs/dbraw/zinc/90/24/29/410902429.db2.gz ZMSGMMNJXHZGLE-JTQLQIEISA-N -1 1 314.179 1.903 20 0 DDADMM O=C(CCC(=O)c1ccc(F)c(F)c1)NC1(c2nn[n-]n2)CC1 ZINC000348278832 410892600 /nfs/dbraw/zinc/89/26/00/410892600.db2.gz VDBNSNXGJXWFIQ-UHFFFAOYSA-N -1 1 321.287 1.246 20 0 DDADMM Cc1cc2cc(CNC(=O)c3cc(=O)n4[n-]cnc4n3)ccc2[nH]1 ZINC000344024301 410901217 /nfs/dbraw/zinc/90/12/17/410901217.db2.gz PVUCEJNIHGSBNO-UHFFFAOYSA-N -1 1 322.328 1.137 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@H]1c1cc(F)ccc1F ZINC000348297023 410904521 /nfs/dbraw/zinc/90/45/21/410904521.db2.gz IYTBASYROCFFNK-WCBMZHEXSA-N -1 1 305.288 1.387 20 0 DDADMM CO[C@@H](C)c1nsc(=NC[C@@H](C)CN2CCOCC2)[n-]1 ZINC000337785057 411000043 /nfs/dbraw/zinc/00/00/43/411000043.db2.gz AVMNTZORWXTMMX-MNOVXSKESA-N -1 1 300.428 1.048 20 0 DDADMM CO[N-]C(=O)[C@H]1CC(=O)N(C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000129575377 196058439 /nfs/dbraw/zinc/05/84/39/196058439.db2.gz CEBNIPZJNMUCRW-UFBFGSQYSA-N -1 1 300.717 1.676 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCCC[C@@H]1[C@H]1CCCCC1=O ZINC000631718149 422892849 /nfs/dbraw/zinc/89/28/49/422892849.db2.gz IEHAFSKLZQDYKL-CHWSQXEVSA-N -1 1 319.409 1.663 20 0 DDADMM C[C@@H](CN1CCOCC1)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631752339 422905770 /nfs/dbraw/zinc/90/57/70/422905770.db2.gz HMPVHNZIAAMMTB-VIFPVBQESA-N -1 1 324.349 1.216 20 0 DDADMM CC(C)n1cc(S(=O)(=O)[N-]c2ccc3n[nH]nc3c2)cn1 ZINC000130788156 196110050 /nfs/dbraw/zinc/11/00/50/196110050.db2.gz IBHHBWWXRCIXKJ-UHFFFAOYSA-N -1 1 306.351 1.536 20 0 DDADMM CC(C)n1cc(S(=O)(=O)[N-]c2ccc3nn[nH]c3c2)cn1 ZINC000130788156 196110052 /nfs/dbraw/zinc/11/00/52/196110052.db2.gz IBHHBWWXRCIXKJ-UHFFFAOYSA-N -1 1 306.351 1.536 20 0 DDADMM CC1(C)[C@@H](NC(=O)c2cc(Cl)ccc2[O-])CS1(=O)=O ZINC000640463372 422974179 /nfs/dbraw/zinc/97/41/79/422974179.db2.gz YSEIKCNHWLDDBW-JTQLQIEISA-N -1 1 303.767 1.351 20 0 DDADMM CN(CCCc1ccsc1)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000652472286 423032949 /nfs/dbraw/zinc/03/29/49/423032949.db2.gz DOWRROAPUFZGQY-UHFFFAOYSA-N -1 1 324.446 1.936 20 0 DDADMM O=C([O-])C[N@@H+](Cc1nnnn1CC(F)(F)F)C1CCCCC1 ZINC000652482270 423036511 /nfs/dbraw/zinc/03/65/11/423036511.db2.gz VFNJYPOXJGILKJ-UHFFFAOYSA-N -1 1 321.303 1.455 20 0 DDADMM O=C([O-])CN(Cc1nnnn1CC(F)(F)F)C1CCCCC1 ZINC000652482270 423036516 /nfs/dbraw/zinc/03/65/16/423036516.db2.gz VFNJYPOXJGILKJ-UHFFFAOYSA-N -1 1 321.303 1.455 20 0 DDADMM CC[C@H](CCO)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000647903327 423107508 /nfs/dbraw/zinc/10/75/08/423107508.db2.gz XEXVMJWKRAEHFB-SSDOTTSWSA-N -1 1 315.317 1.115 20 0 DDADMM Cc1noc(COCc2nc(-c3ccc([O-])cc3F)no2)n1 ZINC000350810525 306757410 /nfs/dbraw/zinc/75/74/10/306757410.db2.gz FOLQGVIUIDLAQV-UHFFFAOYSA-N -1 1 306.253 1.990 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2COc3ccccc32)cc(=O)[n-]1 ZINC000640658585 423118183 /nfs/dbraw/zinc/11/81/83/423118183.db2.gz PSSWSZTXRCUCFQ-NSHDSACASA-N -1 1 317.370 1.697 20 0 DDADMM CSc1nc(CNC(=O)CC[C@H]2CCCCO2)cc(=O)[n-]1 ZINC000640658880 423117669 /nfs/dbraw/zinc/11/76/69/423117669.db2.gz SBJZANMKKZLYNN-LLVKDONJSA-N -1 1 311.407 1.870 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@](O)(C2CC2)C1 ZINC000373344164 418422347 /nfs/dbraw/zinc/42/23/47/418422347.db2.gz MEXSJJGIOZALBY-QGZVFWFLSA-N -1 1 319.405 1.775 20 0 DDADMM CN1CCC[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1=O ZINC000360460299 418440053 /nfs/dbraw/zinc/44/00/53/418440053.db2.gz OJXMZGBAADGYKQ-JTQLQIEISA-N -1 1 322.308 1.003 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@@H]3C[C@@H]3c3cnn(C)c3)n2)o1 ZINC000366269539 418451343 /nfs/dbraw/zinc/45/13/43/418451343.db2.gz XHROJBYCUSWMNA-GHMZBOCLSA-N -1 1 312.333 1.849 20 0 DDADMM COCc1nc(=N[C@H]2CC[C@@H](C(=O)N3CCCC3)C2)s[n-]1 ZINC000373751409 418457038 /nfs/dbraw/zinc/45/70/38/418457038.db2.gz LCOOMNGQFWFBCG-MNOVXSKESA-N -1 1 310.423 1.309 20 0 DDADMM C[C@H]1C[C@H](C)CN(C(=O)CN2CCC(c3n[nH]c(=O)[n-]3)CC2)C1 ZINC000366714686 418508182 /nfs/dbraw/zinc/50/81/82/418508182.db2.gz VHDYFGGWZFDTRE-RYUDHWBXSA-N -1 1 321.425 1.194 20 0 DDADMM CC[C@@]1(CO)CCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000189177819 222038153 /nfs/dbraw/zinc/03/81/53/222038153.db2.gz OGLOUDFKTYFNIR-HNNXBMFYSA-N -1 1 304.350 1.051 20 0 DDADMM CCN(CC)C(=O)[C@H](C)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000315828565 418598012 /nfs/dbraw/zinc/59/80/12/418598012.db2.gz FDHZHQWIQWRVKS-SWLSCSKDSA-N -1 1 304.390 1.927 20 0 DDADMM CCOc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000361191802 418602693 /nfs/dbraw/zinc/60/26/93/418602693.db2.gz QQWFRYAHHDFNFR-LBPRGKRZSA-N -1 1 301.350 1.618 20 0 DDADMM O=C(c1s[n-]c(=O)c1Cl)N1CCCC[C@H]1c1nnc[nH]1 ZINC000375092934 418603283 /nfs/dbraw/zinc/60/32/83/418603283.db2.gz FSAYMXQLHJQPAD-LURJTMIESA-N -1 1 313.770 1.988 20 0 DDADMM CCN(C[C@@H](C)OC)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000291314534 222306476 /nfs/dbraw/zinc/30/64/76/222306476.db2.gz RWLCBXQFASOGTD-SNVBAGLBSA-N -1 1 320.393 1.065 20 0 DDADMM O=C(Nc1nncn1-c1cccnc1)c1c(F)ccc([O-])c1F ZINC000368228726 418707116 /nfs/dbraw/zinc/70/71/16/418707116.db2.gz ZIHOLFZKBLKZPW-UHFFFAOYSA-N -1 1 317.255 1.898 20 0 DDADMM O=C(NC[C@@H]1CN(C2CC2)CCO1)c1c(F)ccc([O-])c1F ZINC000368051654 418680868 /nfs/dbraw/zinc/68/08/68/418680868.db2.gz OUSBZHUAOHQZSY-SNVBAGLBSA-N -1 1 312.316 1.263 20 0 DDADMM O=C(N[C@H]1CN(c2ccccc2)C1=O)c1c(F)ccc([O-])c1F ZINC000368134397 418694115 /nfs/dbraw/zinc/69/41/15/418694115.db2.gz MBKPSHYBKDCWPQ-NSHDSACASA-N -1 1 318.279 1.816 20 0 DDADMM CCCOc1ccc(OCC(=O)N=c2ccc(O)n[n-]2)cc1 ZINC000361952476 418730230 /nfs/dbraw/zinc/73/02/30/418730230.db2.gz QKBYBPZHARKYRG-UHFFFAOYSA-N -1 1 303.318 1.410 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)[C@@H]1Cc2ccccc2CN1 ZINC000397111772 418761612 /nfs/dbraw/zinc/76/16/12/418761612.db2.gz SAAHWTFDTHEKHK-UGFHNGPFSA-N -1 1 302.374 1.413 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1CCn2c(cnc2C2CCCCC2)C1 ZINC000364876307 418820032 /nfs/dbraw/zinc/82/00/32/418820032.db2.gz AWTFRZUHSXERHJ-LLVKDONJSA-N -1 1 315.381 1.645 20 0 DDADMM COC(=O)c1c[n-]c(SCc2nnc3n2CCCCC3)n1 ZINC000365317756 418851918 /nfs/dbraw/zinc/85/19/18/418851918.db2.gz NJOMUBDQYLYEKQ-UHFFFAOYSA-N -1 1 307.379 1.807 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CCCOC2)c(F)c1 ZINC000425159107 228375873 /nfs/dbraw/zinc/37/58/73/228375873.db2.gz RWPSCZPHIHGEOI-SNVBAGLBSA-N -1 1 305.346 1.978 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)[C@@H]2CCOC2)c(F)c1 ZINC000425212909 228391410 /nfs/dbraw/zinc/39/14/10/228391410.db2.gz ZEDQQIHSKZIMHH-NXEZZACHSA-N -1 1 305.346 1.977 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](OC)c1ccc(O)cc1)c1nn[n-]n1 ZINC000426636106 419520329 /nfs/dbraw/zinc/52/03/29/419520329.db2.gz YRBVUYKXCZPDQS-NEPJUHHUSA-N -1 1 305.338 1.250 20 0 DDADMM CC[C@@H](CS(=O)(=O)Nc1cccc(C(=O)[O-])c1O)OC ZINC000421154371 419508261 /nfs/dbraw/zinc/50/82/61/419508261.db2.gz MQQHGBUYZPDUFC-QMMMGPOBSA-N -1 1 303.336 1.257 20 0 DDADMM Cc1cnc(C(=O)NCCN2C(=O)Cc3ccccc32)c([O-])c1 ZINC000427107514 419595708 /nfs/dbraw/zinc/59/57/08/419595708.db2.gz MDZAJQNDLIMXGX-UHFFFAOYSA-N -1 1 311.341 1.415 20 0 DDADMM Cc1cnc(C(=O)N2CCS(=O)(=O)[C@@H]3CCCC[C@H]32)c([O-])c1 ZINC000427133832 419601227 /nfs/dbraw/zinc/60/12/27/419601227.db2.gz SEFDSHQRACTIFQ-DGCLKSJQSA-N -1 1 324.402 1.277 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncc(C)cc1[O-])c1ccc(O)cc1 ZINC000427809313 419738542 /nfs/dbraw/zinc/73/85/42/419738542.db2.gz ULUYEJIGKOXPAM-ZDUSSCGKSA-N -1 1 316.313 1.445 20 0 DDADMM CC(C)n1cc(C(C)(C)NC(=O)C(=O)c2ccc([O-])cc2)nn1 ZINC000422068248 419829105 /nfs/dbraw/zinc/82/91/05/419829105.db2.gz BAHCTCUWRHKGQD-UHFFFAOYSA-N -1 1 316.361 1.799 20 0 DDADMM COc1cccc(C(F)(F)CN=c2[n-]c(C(N)=O)cs2)n1 ZINC000432177738 229085338 /nfs/dbraw/zinc/08/53/38/229085338.db2.gz KLTKRYFQIDPYLB-UHFFFAOYSA-N -1 1 314.317 1.271 20 0 DDADMM Cc1ccc(-c2cc(C(=O)NC3(c4nn[n-]n4)CC3)[nH]n2)cc1C ZINC000430326856 420107063 /nfs/dbraw/zinc/10/70/63/420107063.db2.gz ZEWGXRWZEYVGQC-UHFFFAOYSA-N -1 1 323.360 1.626 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc(C3CC3)nc2)n1 ZINC000415653357 420137515 /nfs/dbraw/zinc/13/75/15/420137515.db2.gz WQAYAUXLZMPJKR-UHFFFAOYSA-N -1 1 301.306 1.506 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)CCc1ccc(C)o1 ZINC000416154258 420261124 /nfs/dbraw/zinc/26/11/24/420261124.db2.gz ITYOSHFTDPZCQM-MRVPVSSYSA-N -1 1 321.333 1.549 20 0 DDADMM C[C@H](Oc1ccccn1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425292689 420341315 /nfs/dbraw/zinc/34/13/15/420341315.db2.gz AQWRKQDTEQZVAV-WDEREUQCSA-N -1 1 318.333 1.344 20 0 DDADMM CCOCCOC[C@H](O)CNC(=O)c1cc(Cl)ccc1[O-] ZINC000436610325 420344762 /nfs/dbraw/zinc/34/47/62/420344762.db2.gz SQHKQDKAVVFHIS-LLVKDONJSA-N -1 1 317.769 1.189 20 0 DDADMM COc1cccc(F)c1C(=O)N1CCC(C2N=NC(=O)O2)CC1 ZINC000425315405 420347027 /nfs/dbraw/zinc/34/70/27/420347027.db2.gz BOQXOLURUXDWPJ-UHFFFAOYSA-N -1 1 321.308 1.943 20 0 DDADMM COc1cccc(F)c1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425315405 420347031 /nfs/dbraw/zinc/34/70/31/420347031.db2.gz BOQXOLURUXDWPJ-UHFFFAOYSA-N -1 1 321.308 1.943 20 0 DDADMM Cc1nn(C)c(C)c1C[C@@H](C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436694904 420356045 /nfs/dbraw/zinc/35/60/45/420356045.db2.gz CAGYKFPWKAQAGC-SNVBAGLBSA-N -1 1 315.373 1.673 20 0 DDADMM CC(=O)NCc1ccc(NC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000436888831 420378930 /nfs/dbraw/zinc/37/89/30/420378930.db2.gz ULWHFROXNKQVJR-UHFFFAOYSA-N -1 1 312.325 1.850 20 0 DDADMM NC(=O)COc1cccc(NC(=O)c2ccc(O)cc2[O-])c1 ZINC000436873255 420380425 /nfs/dbraw/zinc/38/04/25/420380425.db2.gz MEPSVSCCQWZYTC-UHFFFAOYSA-N -1 1 302.286 1.214 20 0 DDADMM COC[C@H](NC(=O)c1ccc2ccccc2c1[O-])C(=O)OC ZINC000436906167 420381205 /nfs/dbraw/zinc/38/12/05/420381205.db2.gz PTQONCZDLLIBMK-ZDUSSCGKSA-N -1 1 303.314 1.463 20 0 DDADMM CCOC(=O)[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@@H](C)CC ZINC000436924659 420384952 /nfs/dbraw/zinc/38/49/52/420384952.db2.gz DJGFHYXSTYEJJF-GWCFXTLKSA-N -1 1 307.346 1.669 20 0 DDADMM O=C(N[C@H](CO)c1c(F)cccc1F)C(=O)c1ccc([O-])cc1 ZINC000436998594 420391889 /nfs/dbraw/zinc/39/18/89/420391889.db2.gz KWSXHVBTLMFONU-CYBMUJFWSA-N -1 1 321.279 1.703 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2cc(Cl)ccc2F)CCC(=O)N1 ZINC000416504122 420398626 /nfs/dbraw/zinc/39/86/26/420398626.db2.gz ZBOMZJYBPUWKLC-GFCCVEGCSA-N -1 1 320.773 1.426 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2ccc(C(=O)[O-])c(O)c2)c1 ZINC000436785787 420366167 /nfs/dbraw/zinc/36/61/67/420366167.db2.gz GJQKMHSXQLPEHQ-UHFFFAOYSA-N -1 1 323.330 1.177 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(S(C)(=O)=O)nc2)c([O-])c1 ZINC000436791565 420367733 /nfs/dbraw/zinc/36/77/33/420367733.db2.gz KJBCUMPXADYBOZ-UHFFFAOYSA-N -1 1 322.342 1.452 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2c[nH]c(C(=O)OC)c2)c1C ZINC000438248824 420447422 /nfs/dbraw/zinc/44/74/22/420447422.db2.gz HJSPMIVBVPFXIT-UHFFFAOYSA-N -1 1 312.351 1.196 20 0 DDADMM CCCCS(=O)(=O)NCC(=O)Nc1ccc([O-])c(F)c1F ZINC000456790186 420544628 /nfs/dbraw/zinc/54/46/28/420544628.db2.gz VYCRFFAPEDOZES-UHFFFAOYSA-N -1 1 322.333 1.328 20 0 DDADMM COC(=O)[C@@H](C)CN(C)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442649322 420693959 /nfs/dbraw/zinc/69/39/59/420693959.db2.gz LZDVSPSESUYRPI-QMMMGPOBSA-N -1 1 315.753 1.935 20 0 DDADMM COc1cc(C(=O)NC[C@H](O)[C@H]2CCOC2)cc(Cl)c1[O-] ZINC000442673008 420697688 /nfs/dbraw/zinc/69/76/88/420697688.db2.gz QDGRMNZPVBPESS-KWQFWETISA-N -1 1 315.753 1.181 20 0 DDADMM Cc1cc(N2CCN(c3nc(=N)[n-]s3)CC2)nc(C2CC2)n1 ZINC000442729675 420704239 /nfs/dbraw/zinc/70/42/39/420704239.db2.gz OHVIGVBDJQDJQA-UHFFFAOYSA-N -1 1 317.422 1.253 20 0 DDADMM C[C@@H]1C[C@H]1c1ccc(C=CC(=O)Nc2nn[nH]c2C(N)=O)o1 ZINC000493680756 420914060 /nfs/dbraw/zinc/91/40/60/420914060.db2.gz GPEOKAXZNGUAIW-VFRZGLIOSA-N -1 1 301.306 1.272 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cncc(CC)c1 ZINC000448345325 420850200 /nfs/dbraw/zinc/85/02/00/420850200.db2.gz JKJFIZBROSNVJH-UHFFFAOYSA-N -1 1 302.334 1.228 20 0 DDADMM CCc1nc(=NC(=O)c2ccn(-c3ccc(Cl)cc3)n2)[n-][nH]1 ZINC000479162634 420974757 /nfs/dbraw/zinc/97/47/57/420974757.db2.gz KCTHDLJZIYXEOA-UHFFFAOYSA-N -1 1 316.752 1.880 20 0 DDADMM Cc1cc(C=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccc1F ZINC000493748401 420931295 /nfs/dbraw/zinc/93/12/95/420931295.db2.gz CGZULGNUPMTRTH-OKPNEXGHSA-N -1 1 303.341 1.923 20 0 DDADMM CC(C)(C)OC(=O)N1CCO[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000495873809 421079848 /nfs/dbraw/zinc/07/98/48/421079848.db2.gz HQANBBITXLQNBB-QMMMGPOBSA-N -1 1 312.288 1.301 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C3CC3)[C@H]2C2CC2)co1 ZINC000456310666 421139929 /nfs/dbraw/zinc/13/99/29/421139929.db2.gz VHKCPBNLHQTXMZ-TZMCWYRMSA-N -1 1 324.402 1.448 20 0 DDADMM CCOC(=O)c1csc(=NC[C@H](CO)c2cccnc2)[n-]1 ZINC000450530144 421207134 /nfs/dbraw/zinc/20/71/34/421207134.db2.gz XOGJWGFRVGFINN-LLVKDONJSA-N -1 1 307.375 1.325 20 0 DDADMM C[C@H]1C[C@H](c2ccccc2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546798731 421310594 /nfs/dbraw/zinc/31/05/94/421310594.db2.gz APGSNXZJFFLFSN-AAEUAGOBSA-N -1 1 323.356 1.436 20 0 DDADMM Cc1cc(C)n([C@H](C)CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000560347371 421251364 /nfs/dbraw/zinc/25/13/64/421251364.db2.gz HNJAMTFZSVQYOK-CHWSQXEVSA-N -1 1 317.397 1.370 20 0 DDADMM C[C@H](OCc1ccccc1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000546010929 421279950 /nfs/dbraw/zinc/27/99/50/421279950.db2.gz XHAXQZHYDPBMGT-LBPRGKRZSA-N -1 1 315.377 1.691 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@]1(C)CCc2ccccc2C1)c1nn[n-]n1 ZINC000547810776 421371280 /nfs/dbraw/zinc/37/12/80/421371280.db2.gz NPUXSEDNUBAKFL-PXAZEXFGSA-N -1 1 313.405 1.957 20 0 DDADMM Cc1cn2cc(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)sc2n1 ZINC000547811164 421371503 /nfs/dbraw/zinc/37/15/03/421371503.db2.gz UXSVQMZQCDCELA-SSDOTTSWSA-N -1 1 305.367 1.093 20 0 DDADMM COCCN(C)S(=O)(=O)[N-]c1cc(-c2cccs2)[nH]n1 ZINC000562477730 421371730 /nfs/dbraw/zinc/37/17/30/421371730.db2.gz URUFLGTWZJBYAH-UHFFFAOYSA-N -1 1 316.408 1.373 20 0 DDADMM O=S(=O)(CCF)c1nnc(COc2ccc(Cl)cc2)[n-]1 ZINC000562496599 421373784 /nfs/dbraw/zinc/37/37/84/421373784.db2.gz YNALHRHOGYSKIG-UHFFFAOYSA-N -1 1 319.745 1.780 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc2c(c1)NCC2)c1nn[n-]n1 ZINC000562524823 421377403 /nfs/dbraw/zinc/37/74/03/421377403.db2.gz NJEVKFVTXOKYIS-GFCCVEGCSA-N -1 1 300.366 1.368 20 0 DDADMM O=C(c1nc[n-]c1C(=O)N1CCCc2n[nH]cc21)c1ccccc1 ZINC000505363207 421416510 /nfs/dbraw/zinc/41/65/10/421416510.db2.gz YUKROPKDRRMEHD-UHFFFAOYSA-N -1 1 321.340 1.957 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccnc1NC(C)=O)C1CC1 ZINC000563136541 421462200 /nfs/dbraw/zinc/46/22/00/421462200.db2.gz VWMFUTLJAVIGAK-LBPRGKRZSA-N -1 1 313.379 1.207 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@H]1c1ccccc1F ZINC000515617781 421510017 /nfs/dbraw/zinc/51/00/17/421510017.db2.gz QBYUYPGAXWCKJS-WCBMZHEXSA-N -1 1 319.292 1.321 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)N=c1[n-]nc(C2CC2)s1 ZINC000563879149 421551217 /nfs/dbraw/zinc/55/12/17/421551217.db2.gz CPZFLFDCIYRBAQ-NSHDSACASA-N -1 1 309.439 1.641 20 0 DDADMM O=C(Cc1ccccc1)N1CCN(Cc2ncccc2[O-])CC1 ZINC000564294133 421577815 /nfs/dbraw/zinc/57/78/15/421577815.db2.gz PIBHKNNDGWQHDX-UHFFFAOYSA-N -1 1 311.385 1.674 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC2=CCOCC2)c(F)c1 ZINC000516279183 421538988 /nfs/dbraw/zinc/53/89/88/421538988.db2.gz UQSZSEPJFYMLAY-UHFFFAOYSA-N -1 1 303.330 1.898 20 0 DDADMM COc1cccc([C@@H](CNC(=O)c2cncc([O-])c2)OC)c1 ZINC000529574427 421543643 /nfs/dbraw/zinc/54/36/43/421543643.db2.gz MFHRUIXYKRQHCP-OAHLLOKOSA-N -1 1 302.330 1.913 20 0 DDADMM CC(C)OC1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000530676524 421613094 /nfs/dbraw/zinc/61/30/94/421613094.db2.gz KAXVHSJNHZDBSE-UHFFFAOYSA-N -1 1 315.373 1.953 20 0 DDADMM O=C(CN1CCCCC1=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000518021537 421637636 /nfs/dbraw/zinc/63/76/36/421637636.db2.gz ZNPLURHTFKBANV-UHFFFAOYSA-N -1 1 314.345 1.733 20 0 DDADMM CCn1cc(CN(C)C(=O)c2csc(=NC3CC3)[n-]2)cn1 ZINC000532861364 421667568 /nfs/dbraw/zinc/66/75/68/421667568.db2.gz KWXREUSFCKMUGR-UHFFFAOYSA-N -1 1 305.407 1.628 20 0 DDADMM Cc1cc(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)oc1C ZINC000532622565 421662113 /nfs/dbraw/zinc/66/21/13/421662113.db2.gz LQOVBBXNPUYNIA-UHFFFAOYSA-N -1 1 307.379 1.123 20 0 DDADMM Cc1cc(F)c(CC(=O)NC2(c3nn[n-]n3)CCCC2)cc1F ZINC000519522042 421696493 /nfs/dbraw/zinc/69/64/93/421696493.db2.gz UXGTWXPBNZTXPU-UHFFFAOYSA-N -1 1 321.331 1.915 20 0 DDADMM Cc1cncc(CCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000556681390 421721226 /nfs/dbraw/zinc/72/12/26/421721226.db2.gz NCXHCRJIDGTPGU-CYBMUJFWSA-N -1 1 300.366 1.242 20 0 DDADMM CC1(C)[C@H](NC(=O)C(=O)c2ccc([O-])cc2)[C@H]2CCCO[C@@H]21 ZINC000519755417 421723786 /nfs/dbraw/zinc/72/37/86/421723786.db2.gz PKAUGLCXAOFOPU-YUELXQCFSA-N -1 1 303.358 1.895 20 0 DDADMM O=C(c1cc(-c2ccoc2)n[nH]1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000537122460 421727429 /nfs/dbraw/zinc/72/74/29/421727429.db2.gz XRNAZGZYFYWRLC-VIFPVBQESA-N -1 1 313.321 1.203 20 0 DDADMM CC[C@H](C)[C@@H](C)N(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000541842035 421807561 /nfs/dbraw/zinc/80/75/61/421807561.db2.gz IQNCNHUWJUOYIC-VHSXEESVSA-N -1 1 303.366 1.457 20 0 DDADMM Cn1nncc1CN1CCC(Cc2ccccc2C(=O)[O-])CC1 ZINC000572258036 421773825 /nfs/dbraw/zinc/77/38/25/421773825.db2.gz HWDKLMUWTXIDDK-UHFFFAOYSA-N -1 1 314.389 1.968 20 0 DDADMM CO[C@@H]1C[C@H](C(=O)[O-])N(Cc2ccc(OC(F)F)cc2)C1 ZINC000580955686 421871296 /nfs/dbraw/zinc/87/12/96/421871296.db2.gz MRFPBMLWMJYOPN-VXGBXAGGSA-N -1 1 301.289 1.962 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1CCC(C2CCOCC2)CC1 ZINC000635302011 421891817 /nfs/dbraw/zinc/89/18/17/421891817.db2.gz CXPAXIPNFMEHHT-UHFFFAOYSA-N -1 1 307.394 1.757 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCS[C@H](C)C2)c1 ZINC000631899716 421925374 /nfs/dbraw/zinc/92/53/74/421925374.db2.gz WBYUJXQELZVLNO-SECBINFHSA-N -1 1 303.405 1.527 20 0 DDADMM COc1ccc(F)cc1[C@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635353973 421931013 /nfs/dbraw/zinc/93/10/13/421931013.db2.gz ZFCUONXXHIEPTP-VIFPVBQESA-N -1 1 307.329 1.548 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccccc2F)CC1 ZINC000630221782 421974247 /nfs/dbraw/zinc/97/42/47/421974247.db2.gz BZKWSQCPZQLYLM-CYBMUJFWSA-N -1 1 322.380 1.766 20 0 DDADMM CCO[C@H](C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)C(C)C ZINC000630221269 421970736 /nfs/dbraw/zinc/97/07/36/421970736.db2.gz WTQCTWKWPQKFHG-OCCSQVGLSA-N -1 1 300.399 1.055 20 0 DDADMM C[C@H](CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)[C@@H]1CCCO1 ZINC000630220561 421971448 /nfs/dbraw/zinc/97/14/48/421971448.db2.gz PHAPJORIZFQJBH-MCIONIFRSA-N -1 1 312.410 1.199 20 0 DDADMM CN(Cc1ccc(OCC(=O)[O-])cc1)Cc1ccnc(N)c1 ZINC000581672314 422025016 /nfs/dbraw/zinc/02/50/16/422025016.db2.gz CSHNFBLINFHSMQ-UHFFFAOYSA-N -1 1 301.346 1.590 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630226887 421978900 /nfs/dbraw/zinc/97/89/00/421978900.db2.gz STZNXHAXESNKQI-JTQLQIEISA-N -1 1 323.393 1.402 20 0 DDADMM CC[C@H](C)[C@@H](O)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632012156 422019469 /nfs/dbraw/zinc/01/94/69/422019469.db2.gz MFQYAYMUQRNHOL-CABZTGNLSA-N -1 1 303.380 1.086 20 0 DDADMM CC(C)[C@H]1CN(C(=O)NC[C@@H](C(=O)[O-])C2CCC2)CCN1C ZINC000635521525 422071535 /nfs/dbraw/zinc/07/15/35/422071535.db2.gz TUWNDUGVICZVEG-ZIAGYGMSSA-N -1 1 311.426 1.469 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@H](C)[C@@H]2C)c1 ZINC000632111651 422096547 /nfs/dbraw/zinc/09/65/47/422096547.db2.gz ZWUXYKUALPOBGN-VHSXEESVSA-N -1 1 301.364 1.199 20 0 DDADMM CC(C)Oc1cccc(CN(C)C(=O)CCc2nn[n-]n2)c1 ZINC000632114339 422098761 /nfs/dbraw/zinc/09/87/61/422098761.db2.gz GZAZBDRFBMCOGY-UHFFFAOYSA-N -1 1 303.366 1.578 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](c1ccccc1)C(C)C ZINC000633691743 422053225 /nfs/dbraw/zinc/05/32/25/422053225.db2.gz KBCYVRTWVCNTJH-CYBMUJFWSA-N -1 1 314.389 1.878 20 0 DDADMM CCSc1cccnc1C(=O)N=c1[nH][n-]c(C)c1C(=O)NC ZINC000633691380 422053653 /nfs/dbraw/zinc/05/36/53/422053653.db2.gz OYAQQCHOCXOJIC-UHFFFAOYSA-N -1 1 319.390 1.259 20 0 DDADMM CC(C)OCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632207104 422165070 /nfs/dbraw/zinc/16/50/70/422165070.db2.gz DMPGCKYXDPHNFE-UHFFFAOYSA-N -1 1 301.290 1.132 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCOC[C@@H]1c1ncon1 ZINC000632213989 422169124 /nfs/dbraw/zinc/16/91/24/422169124.db2.gz PESSMFOVCQHITJ-LLVKDONJSA-N -1 1 323.736 1.571 20 0 DDADMM Cc1ccccc1C[C@H](C)N(C)Cc1cn(CC(=O)[O-])nn1 ZINC000583504834 422175656 /nfs/dbraw/zinc/17/56/56/422175656.db2.gz HIJGBNIZPOHUDB-ZDUSSCGKSA-N -1 1 302.378 1.734 20 0 DDADMM COc1cccc([C@@H](N)C(=O)N2CCC[C@H](CC(=O)[O-])C2)c1 ZINC000630570639 422186050 /nfs/dbraw/zinc/18/60/50/422186050.db2.gz CMRHMSOSJJLFPR-IAQYHMDHSA-N -1 1 306.362 1.408 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)CCCc2nn[n-]n2)C1(CC)CC ZINC000635651664 422206152 /nfs/dbraw/zinc/20/61/52/422206152.db2.gz FSQSZDARENKLKF-NWDGAFQWSA-N -1 1 309.414 1.622 20 0 DDADMM CC(C)(C)[C@@H](CO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632268706 422208847 /nfs/dbraw/zinc/20/88/47/422208847.db2.gz XDICMWRKUHTOOW-SSDOTTSWSA-N -1 1 315.317 1.114 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCCOc2c(F)cccc21 ZINC000632327873 422249906 /nfs/dbraw/zinc/24/99/06/422249906.db2.gz JLBSDWBLRBHBNK-NSHDSACASA-N -1 1 305.313 1.302 20 0 DDADMM C[C@@H]1CSC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632332184 422252863 /nfs/dbraw/zinc/25/28/63/422252863.db2.gz YXEZSGZYYRRXKZ-PHDIDXHHSA-N -1 1 315.342 1.458 20 0 DDADMM CC[C@@H]1CCc2nc(NC(=O)CCc3nn[n-]n3)sc2C1 ZINC000630782383 422332329 /nfs/dbraw/zinc/33/23/29/422332329.db2.gz GZNAQXNNCVVLCU-MRVPVSSYSA-N -1 1 306.395 1.742 20 0 DDADMM CC(=O)NCC1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000630819313 422354780 /nfs/dbraw/zinc/35/47/80/422354780.db2.gz QUQLXQFYQQQIFO-UHFFFAOYSA-N -1 1 324.808 1.963 20 0 DDADMM CC(C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632459694 422360977 /nfs/dbraw/zinc/36/09/77/422360977.db2.gz KZCHQVCGVQODOM-ZETCQYMHSA-N -1 1 310.322 1.409 20 0 DDADMM O=C1CC[C@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)CCN1 ZINC000632457620 422361751 /nfs/dbraw/zinc/36/17/51/422361751.db2.gz KLKULFORTGTELS-ZETCQYMHSA-N -1 1 308.306 1.164 20 0 DDADMM O=S(=O)(Cc1cccc(F)c1)[N-]Cc1nc(C(F)F)no1 ZINC000632481283 422381988 /nfs/dbraw/zinc/38/19/88/422381988.db2.gz MYRLNDNGQBCOOQ-UHFFFAOYSA-N -1 1 321.280 1.766 20 0 DDADMM CC(C)C[C@@H](CO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632554930 422433174 /nfs/dbraw/zinc/43/31/74/422433174.db2.gz QXCGXHWWZNAHIX-ZETCQYMHSA-N -1 1 315.317 1.114 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H]3COC[C@H]3C2)c1 ZINC000632569367 422443585 /nfs/dbraw/zinc/44/35/85/422443585.db2.gz HGSOHADLMUHPSU-GHMZBOCLSA-N -1 1 313.375 1.058 20 0 DDADMM Cn1nccc1[C@@H]1CCCCN1C(=O)CCCc1nn[n-]n1 ZINC000635891617 422446562 /nfs/dbraw/zinc/44/65/62/422446562.db2.gz MYUHFPPQJFYJGB-LBPRGKRZSA-N -1 1 303.370 1.010 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C2CC2)C2CCOCC2)sn1 ZINC000632509887 422400846 /nfs/dbraw/zinc/40/08/46/422400846.db2.gz RFFQGLXEEATPPD-CYBMUJFWSA-N -1 1 316.448 1.935 20 0 DDADMM CCN1C[C@@H](C[N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1=O ZINC000632510650 422401148 /nfs/dbraw/zinc/40/11/48/422401148.db2.gz LPYKOWAELBACDV-MRVPVSSYSA-N -1 1 322.333 1.364 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC(C)(F)CC1 ZINC000634358702 422407114 /nfs/dbraw/zinc/40/71/14/422407114.db2.gz VAIKIFVKEIRYOM-UHFFFAOYSA-N -1 1 320.368 1.945 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)c2cscn2)c1 ZINC000632551027 422430449 /nfs/dbraw/zinc/43/04/49/422430449.db2.gz OJVDNDIKPNUZPB-QMMMGPOBSA-N -1 1 314.388 1.897 20 0 DDADMM CC1=C(c2ccco2)CN(C(=O)CCCc2nn[n-]n2)CC1 ZINC000635901695 422455329 /nfs/dbraw/zinc/45/53/29/422455329.db2.gz GUYUBGGVTQIOQP-UHFFFAOYSA-N -1 1 301.350 1.821 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2C[C@@H](CO)C2)c(F)c1 ZINC000632620972 422479703 /nfs/dbraw/zinc/47/97/03/422479703.db2.gz GPTKXYDUTQFXOI-OCAPTIKFSA-N -1 1 307.318 1.023 20 0 DDADMM COc1ccccc1OCCN1C[C@H](OC)C[C@]1(C)C(=O)[O-] ZINC000634693851 422554409 /nfs/dbraw/zinc/55/44/09/422554409.db2.gz JFRTYCFHAXTGTH-MLGOLLRUSA-N -1 1 309.362 1.638 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(C)c1)c1ccc(F)cc1 ZINC000629291326 422585723 /nfs/dbraw/zinc/58/57/23/422585723.db2.gz PVNRUFWDIQONLO-CYBMUJFWSA-N -1 1 313.354 1.689 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC1(Sc2ccccc2)CC1 ZINC000631202090 422598983 /nfs/dbraw/zinc/59/89/83/422598983.db2.gz DPYDIYMJEGSEJG-UHFFFAOYSA-N -1 1 303.391 1.573 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@H](Oc2ccccc2)C1 ZINC000636072287 422616654 /nfs/dbraw/zinc/61/66/54/422616654.db2.gz MIOLEXYBERFRMT-ZDUSSCGKSA-N -1 1 301.350 1.202 20 0 DDADMM C[C@@H](C(=O)NCc1cccs1)N1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000629501201 422702895 /nfs/dbraw/zinc/70/28/95/422702895.db2.gz LEGQTDSCUJOATR-MDZLAQPJSA-N -1 1 310.419 1.795 20 0 DDADMM Cc1ccsc1CNC(=O)CN1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC000629499731 422704074 /nfs/dbraw/zinc/70/40/74/422704074.db2.gz FGILTTPHAYZDIT-NWDGAFQWSA-N -1 1 310.419 1.715 20 0 DDADMM C[C@H](O[C@@H](C)C(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000634860008 422687658 /nfs/dbraw/zinc/68/76/58/422687658.db2.gz GMQZQMWBUQDWTQ-STQMWFEESA-N -1 1 320.389 1.039 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC(Cn2cnnc2)CC1 ZINC000652848907 423174050 /nfs/dbraw/zinc/17/40/50/423174050.db2.gz JITRWRFCFYNNEU-UHFFFAOYSA-N -1 1 304.325 1.675 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CO1 ZINC000645860293 423217035 /nfs/dbraw/zinc/21/70/35/423217035.db2.gz WBHLZWYEYSCBAW-HTQZYQBOSA-N -1 1 310.297 1.556 20 0 DDADMM CCN(CC)[C@H](CNC(=O)NCCCC(=O)[O-])c1ccco1 ZINC000646145347 423367221 /nfs/dbraw/zinc/36/72/21/423367221.db2.gz HYZXLTIWVDKNQM-GFCCVEGCSA-N -1 1 311.382 1.827 20 0 DDADMM COc1ccc(CN(C)[C@@H]2CCCCN(CC(=O)[O-])C2=O)cc1 ZINC000643871882 423387975 /nfs/dbraw/zinc/38/79/75/423387975.db2.gz LGBXZQDEOLVMQU-OAHLLOKOSA-N -1 1 320.389 1.593 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000653319525 423439470 /nfs/dbraw/zinc/43/94/70/423439470.db2.gz RVNVIYFBDYOVBT-ZDUSSCGKSA-N -1 1 314.345 1.941 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CN1C(=O)CSc2ccccc21 ZINC000646410269 423480042 /nfs/dbraw/zinc/48/00/42/423480042.db2.gz AULIJBFXRKLEQJ-UHFFFAOYSA-N -1 1 318.358 1.501 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)C[C@@]1(C)CC1(F)F)C(F)(F)F ZINC000641401126 423611663 /nfs/dbraw/zinc/61/16/63/423611663.db2.gz XGPRFFVJFSXPEH-RNFRBKRXSA-N -1 1 311.272 1.264 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)C[C@H](OC)C(C)C)cc1 ZINC000647123125 423763797 /nfs/dbraw/zinc/76/37/97/423763797.db2.gz WWIWDNVMOFNNGA-ZDUSSCGKSA-N -1 1 314.407 1.459 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)cc1F)C(C)C ZINC000647128098 423767257 /nfs/dbraw/zinc/76/72/57/423767257.db2.gz PLWIUAYGZTUYCR-LBPRGKRZSA-N -1 1 318.370 1.337 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)cc1F)C(C)C ZINC000647128097 423767637 /nfs/dbraw/zinc/76/76/37/423767637.db2.gz PLWIUAYGZTUYCR-GFCCVEGCSA-N -1 1 318.370 1.337 20 0 DDADMM O=C(Cn1cncn1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000656858176 423807284 /nfs/dbraw/zinc/80/72/84/423807284.db2.gz ZFCJRPQINMUOTA-UHFFFAOYSA-N -1 1 314.345 1.105 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2Cc3ccccc3N(C)C2)sn1 ZINC000641633817 423780163 /nfs/dbraw/zinc/78/01/63/423780163.db2.gz YCHGMOADSACSRO-LBPRGKRZSA-N -1 1 323.443 1.791 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-]c1csc(C(N)=O)c1 ZINC000641690121 423870868 /nfs/dbraw/zinc/87/08/68/423870868.db2.gz VGRPMKWNKKYRGJ-JTQLQIEISA-N -1 1 320.436 1.650 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnccc1-n1cccn1)C(C)C ZINC000647266110 423927539 /nfs/dbraw/zinc/92/75/39/423927539.db2.gz OURAJYDFSSYRAD-AWEZNQCLSA-N -1 1 324.406 1.680 20 0 DDADMM Cc1[nH]c(=O)ccc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644886826 424015404 /nfs/dbraw/zinc/01/54/04/424015404.db2.gz DVUCFCHJKMZRMX-UHFFFAOYSA-N -1 1 304.306 1.204 20 0 DDADMM O=C(Nc1ccc2c(c1)NC(=O)CO2)c1ccc(O)cc1[O-] ZINC000641881371 424068564 /nfs/dbraw/zinc/06/85/64/424068564.db2.gz QFPQCWWKYYUMCF-UHFFFAOYSA-N -1 1 300.270 1.681 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1nc(C)c(C)s1)[C@@H]1CCOC1 ZINC000657047979 424036153 /nfs/dbraw/zinc/03/61/53/424036153.db2.gz XPFBREUUYRSLDG-MNOVXSKESA-N -1 1 320.436 1.090 20 0 DDADMM C[C@H]1CN(C(=O)N=c2[n-]sc3ccccc32)C[C@@H](CO)O1 ZINC000640338709 424358798 /nfs/dbraw/zinc/35/87/98/424358798.db2.gz GIAMXEQCGSYGDA-UWVGGRQHSA-N -1 1 307.375 1.332 20 0 DDADMM CC(=O)N[C@@H]1CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640338422 424361311 /nfs/dbraw/zinc/36/13/11/424361311.db2.gz WUNLFBKYUYFGBO-SNVBAGLBSA-N -1 1 304.375 1.461 20 0 DDADMM CC(C)O[C@]1(CS(=O)(=O)[N-]C(C)(C)C(F)F)CCOC1 ZINC000659957572 424402374 /nfs/dbraw/zinc/40/23/74/424402374.db2.gz JOFPYSLUYNQMLL-GFCCVEGCSA-N -1 1 315.382 1.534 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2cnc3onc(C)c3c2)on1 ZINC000660010011 424451519 /nfs/dbraw/zinc/45/15/19/424451519.db2.gz BQAKPLFJZZYRFY-UHFFFAOYSA-N -1 1 324.318 1.006 20 0 DDADMM COCCN(C)S(=O)(=O)[N-]CC(F)(F)c1ccccc1C ZINC000660055555 424490934 /nfs/dbraw/zinc/49/09/34/424490934.db2.gz GLSDNSGSPVOXAF-UHFFFAOYSA-N -1 1 322.377 1.499 20 0 DDADMM C[C@@H](CNC(=O)[C@H]1CCCCN1Cc1ccccc1)C(=O)[O-] ZINC000655562589 424570453 /nfs/dbraw/zinc/57/04/53/424570453.db2.gz YLNXPBWJYRSCHF-DZGCQCFKSA-N -1 1 304.390 1.878 20 0 DDADMM O=S(=O)([N-][C@@H](Cc1ccccc1)c1ncco1)C1COC1 ZINC000655677338 424629290 /nfs/dbraw/zinc/62/92/90/424629290.db2.gz KVVFPYVPSXGIQM-ZDUSSCGKSA-N -1 1 308.359 1.277 20 0 DDADMM Cn1[n-]c(C(=O)NC[C@H](c2ccco2)N2CCCCC2)cc1=O ZINC000665314543 424780556 /nfs/dbraw/zinc/78/05/56/424780556.db2.gz KBRBYSOSTWHXTI-CYBMUJFWSA-N -1 1 318.377 1.676 20 0 DDADMM COC(=O)[C@@H]1C[C@H](O)CN1C(=O)c1ccc2ccccc2c1[O-] ZINC000322082412 271029741 /nfs/dbraw/zinc/02/97/41/271029741.db2.gz YHOFYEFKAUETCC-FZMZJTMJSA-N -1 1 315.325 1.294 20 0 DDADMM COC(=O)c1cnc(=NC[C@H](C)Oc2ccccc2F)[n-]c1 ZINC000342293543 271368454 /nfs/dbraw/zinc/36/84/54/271368454.db2.gz LOIANEDCEIOMCK-JTQLQIEISA-N -1 1 305.309 1.704 20 0 DDADMM CCC1CCC(N(C)C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343171640 271670703 /nfs/dbraw/zinc/67/07/03/271670703.db2.gz FFZKMEKTBOFWKL-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM CS(=O)(=O)NCc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000344689550 272090891 /nfs/dbraw/zinc/09/08/91/272090891.db2.gz PKUOQKDWDWMDHF-UHFFFAOYSA-N -1 1 321.358 1.089 20 0 DDADMM CC(=O)NCCN(Cc1ccc(F)cc1Cl)[C@H](C)C(=O)[O-] ZINC000263447718 278112282 /nfs/dbraw/zinc/11/22/82/278112282.db2.gz YKYQKHXHXWANSQ-SECBINFHSA-N -1 1 316.760 1.890 20 0 DDADMM C[C@@H](C(=O)N1CCSc2ccccc21)N1CC[C@@H](C(=O)[O-])C1 ZINC000430664170 278195188 /nfs/dbraw/zinc/19/51/88/278195188.db2.gz VFYDEJWGOANPDF-NWDGAFQWSA-N -1 1 320.414 1.920 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)[C@H]1CCCC[C@H]1C(=O)OC)C(F)F ZINC000451627203 288055213 /nfs/dbraw/zinc/05/52/13/288055213.db2.gz XKWPNDBRTCUHOH-UTLUCORTSA-N -1 1 313.366 1.681 20 0 DDADMM CN(C)C(=O)c1cccc(CCNC(=O)c2cncc([O-])c2)c1 ZINC000176505844 282009200 /nfs/dbraw/zinc/00/92/00/282009200.db2.gz LVEUHKGJDJDEAF-UHFFFAOYSA-N -1 1 313.357 1.462 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCSC(F)(F)F)nc1Cl ZINC000269286284 294270996 /nfs/dbraw/zinc/27/09/96/294270996.db2.gz MIVZHKPQQQMHJT-UHFFFAOYSA-N -1 1 323.749 1.605 20 0 DDADMM CO[C@]1(C)C[C@H](N(C)S(=O)(=O)c2c(C)o[n-]c2=N)C1(C)C ZINC000573847201 304618884 /nfs/dbraw/zinc/61/88/84/304618884.db2.gz MUXMNXUGPMDHQQ-TVQRCGJNSA-N -1 1 317.411 1.220 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@@]2(CNC(=O)O2)C1 ZINC000363922321 300326262 /nfs/dbraw/zinc/32/62/62/300326262.db2.gz IBDISSKGQMRDLG-CQSZACIVSA-N -1 1 310.737 1.760 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000368500316 301041909 /nfs/dbraw/zinc/04/19/09/301041909.db2.gz BHLVWEXVANNHHF-AZTOOPQRSA-N -1 1 309.732 1.854 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H]2CC(=O)N([C@@H]3C[C@H]3C)C2)sc1C ZINC000372966100 301638743 /nfs/dbraw/zinc/63/87/43/301638743.db2.gz FSLSAFOSDLRDDK-SZEHBUNVSA-N -1 1 309.391 1.081 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@]1(CO)Cc1ccccc1 ZINC000376007219 302023425 /nfs/dbraw/zinc/02/34/25/302023425.db2.gz HKQMKEXHGQQUEX-GOSISDBHSA-N -1 1 312.369 1.997 20 0 DDADMM CC(C)[C@@]1(CO)CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000378017869 302264434 /nfs/dbraw/zinc/26/44/34/302264434.db2.gz SVVSMXZIRKTTBP-INIZCTEOSA-N -1 1 318.377 1.155 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@H](CN2CCOC2=O)C1 ZINC000495873413 302480311 /nfs/dbraw/zinc/48/03/11/302480311.db2.gz ZHSYQRGCQUBIKE-JTQLQIEISA-N -1 1 324.764 1.960 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)NC2(CO)CC2)c([O-])c1 ZINC000496278684 302550437 /nfs/dbraw/zinc/55/04/37/302550437.db2.gz VZCVTZYICVUNIF-UHFFFAOYSA-N -1 1 322.361 1.994 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H]2NC(=O)O[C@@H]2C)c1 ZINC000496463494 302578553 /nfs/dbraw/zinc/57/85/53/302578553.db2.gz XFTZFANCGSILRD-NOZJJQNGSA-N -1 1 307.302 1.177 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1Cc2c1cccc2Cl)c1nn[n-]n1 ZINC000529019752 303081284 /nfs/dbraw/zinc/08/12/84/303081284.db2.gz IVYIRUGTRFLQHV-KWQFWETISA-N -1 1 305.769 1.755 20 0 DDADMM Cc1nc(CC2CC2)oc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000530510975 303200805 /nfs/dbraw/zinc/20/08/05/303200805.db2.gz COBFTZFZRYYXTH-MRVPVSSYSA-N -1 1 304.354 1.324 20 0 DDADMM CCC[C@H](NC(=O)COc1cccc(CC)c1)c1nn[n-]n1 ZINC000531570310 303249848 /nfs/dbraw/zinc/24/98/48/303249848.db2.gz MOMLEAIRVOUQHX-ZDUSSCGKSA-N -1 1 303.366 1.799 20 0 DDADMM O=C([O-])C1(NCC(=O)NCc2ccc(Cl)cc2)CCCC1 ZINC000533202645 303313528 /nfs/dbraw/zinc/31/35/28/303313528.db2.gz HIPVNKGASKYENY-UHFFFAOYSA-N -1 1 310.781 1.943 20 0 DDADMM Cc1ccc(C(C)(C)C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000370863482 307138756 /nfs/dbraw/zinc/13/87/56/307138756.db2.gz WEQIRPSZTWRCEH-CYBMUJFWSA-N -1 1 315.377 1.386 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(S(=O)(=O)C2CC2)CC1 ZINC000371018336 307141012 /nfs/dbraw/zinc/14/10/12/307141012.db2.gz XHKRPIJYDCFHIP-UHFFFAOYSA-N -1 1 309.387 1.779 20 0 DDADMM CCCO[C@H]1CCCN(S(=O)(=O)c2c(C)o[n-]c2=N)CC1 ZINC000377852875 307277377 /nfs/dbraw/zinc/27/73/77/307277377.db2.gz UUTLSZGSGIHQGQ-NSHDSACASA-N -1 1 317.411 1.365 20 0 DDADMM CCOCCS(=O)(=O)[N-][C@@H](C(C)=O)c1ccccc1F ZINC000416649606 307299730 /nfs/dbraw/zinc/29/97/30/307299730.db2.gz PUKDNMPYEBGPFH-ZDUSSCGKSA-N -1 1 303.355 1.412 20 0 DDADMM C[C@H](CNC(=O)OC(C)(C)C)C(=O)[N-]O[C@H]1CCCCO1 ZINC000495678829 307314054 /nfs/dbraw/zinc/31/40/54/307314054.db2.gz CZJMHXJIHJYILG-MNOVXSKESA-N -1 1 302.371 1.722 20 0 DDADMM CC(C)(C)[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000544747242 307719229 /nfs/dbraw/zinc/71/92/29/307719229.db2.gz BGGVCQQGBDZLDN-NSHDSACASA-N -1 1 317.393 1.706 20 0 DDADMM Cc1cnc(C(=O)NCCC2CCS(=O)(=O)CC2)c([O-])c1 ZINC000548636642 307781331 /nfs/dbraw/zinc/78/13/31/307781331.db2.gz CDDKKBPEUCDZPR-UHFFFAOYSA-N -1 1 312.391 1.040 20 0 DDADMM CC(C)(C)[C@@H](CO)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000566169454 308048083 /nfs/dbraw/zinc/04/80/83/308048083.db2.gz JEUINILSKQQITD-LLVKDONJSA-N -1 1 314.432 1.982 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000573195206 308232779 /nfs/dbraw/zinc/23/27/79/308232779.db2.gz FMWPPUNOEUPZCI-PXWWUCIGSA-N -1 1 315.329 1.995 20 0 DDADMM Cn1ccnc1[C@@H]1C[C@@H](NC(=O)c2cc(F)ccc2[O-])CCO1 ZINC000574134173 308262347 /nfs/dbraw/zinc/26/23/47/308262347.db2.gz RODXQOPFDZVFLI-FZMZJTMJSA-N -1 1 319.336 1.915 20 0 DDADMM O=S(=O)(CC[C@@H]1CCCO1)c1nc(-c2ccccc2)n[n-]1 ZINC000576933906 308350059 /nfs/dbraw/zinc/35/00/59/308350059.db2.gz UURBSCAHHIMJSG-LBPRGKRZSA-N -1 1 307.375 1.815 20 0 DDADMM O=S(=O)(CC[C@@H]1CCCO1)c1n[n-]c(-c2ccccc2)n1 ZINC000576933906 308350061 /nfs/dbraw/zinc/35/00/61/308350061.db2.gz UURBSCAHHIMJSG-LBPRGKRZSA-N -1 1 307.375 1.815 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2noc3c2CCCCC3)n1 ZINC000581729056 325906974 /nfs/dbraw/zinc/90/69/74/325906974.db2.gz HSVSRQKCOAZPAX-UHFFFAOYSA-N -1 1 322.346 1.834 20 0 DDADMM CC[C@@H]1C[C@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)CCO1 ZINC000583987826 331517293 /nfs/dbraw/zinc/51/72/93/331517293.db2.gz YCKJZILGJGONER-GHMZBOCLSA-N -1 1 304.437 1.996 20 0 DDADMM O=C(NC1CCN(C(=O)C2CC2)CC1)c1c(F)ccc([O-])c1F ZINC000584436821 337325106 /nfs/dbraw/zinc/32/51/06/337325106.db2.gz DJJISXVLWFQTNZ-UHFFFAOYSA-N -1 1 324.327 1.801 20 0 DDADMM C[C@H](CNC(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1)C(=O)[O-] ZINC000655883724 483976454 /nfs/dbraw/zinc/97/64/54/483976454.db2.gz FVQCUHGFYAYPOQ-MWLCHTKSSA-N -1 1 321.381 1.292 20 0 DDADMM O=C(NCc1ccccc1OCCO)C(=O)c1ccc([O-])cc1 ZINC000436939401 484129939 /nfs/dbraw/zinc/12/99/39/484129939.db2.gz NUKKBGATIVWGOL-UHFFFAOYSA-N -1 1 315.325 1.262 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccc(C)c1F)[C@H]1CCOC1 ZINC000656626617 484248955 /nfs/dbraw/zinc/24/89/55/484248955.db2.gz MZBFWQPWAHHEGR-WCQYABFASA-N -1 1 317.382 1.927 20 0 DDADMM CN1CCc2c([N-]S(=O)(=O)C[C@@H]3CCCO3)cccc2C1=O ZINC000656635763 484253968 /nfs/dbraw/zinc/25/39/68/484253968.db2.gz GCTZAWBDSFJMLK-NSHDSACASA-N -1 1 324.402 1.235 20 0 DDADMM Cc1ccc(S(=O)(=O)CCCN2CC3CC2(C(=O)[O-])C3)cc1 ZINC000656759878 484317949 /nfs/dbraw/zinc/31/79/49/484317949.db2.gz JNQGSKVJTWNKAL-UHFFFAOYSA-N -1 1 323.414 1.708 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)N1CCN(CC2CCCCC2)CC1 ZINC000663108199 484672868 /nfs/dbraw/zinc/67/28/68/484672868.db2.gz PKJZIIZEBQKOKT-INIZCTEOSA-N -1 1 312.410 1.201 20 0 DDADMM O=C([O-])[C@H]1CCCN1C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000663271606 484778330 /nfs/dbraw/zinc/77/83/30/484778330.db2.gz OYDZGTGUUJHDFZ-HUUCEWRRSA-N -1 1 317.389 1.520 20 0 DDADMM O=C(c1cc(C2CC2)on1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405367 484808698 /nfs/dbraw/zinc/80/86/98/484808698.db2.gz NSKCDVRGCLEJTB-UHFFFAOYSA-N -1 1 304.306 1.660 20 0 DDADMM CCN(CC)C(=O)CN(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000665510769 484895723 /nfs/dbraw/zinc/89/57/23/484895723.db2.gz ZNLPWBRPOVNQRP-UHFFFAOYSA-N -1 1 315.373 1.881 20 0 DDADMM C[C@H](O)[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CCO1 ZINC000666063642 485066731 /nfs/dbraw/zinc/06/67/31/485066731.db2.gz QWKJYEQSBJWTFZ-UFBFGSQYSA-N -1 1 319.279 1.633 20 0 DDADMM CN(C)Cc1cc(CNC(=O)c2cc(=O)n(C)[n-]2)ccc1F ZINC000668799927 485325993 /nfs/dbraw/zinc/32/59/93/485325993.db2.gz ZJZACDNPMPAEDU-UHFFFAOYSA-N -1 1 306.341 1.256 20 0 DDADMM NC(=O)C1(CNC(=O)c2ncc3ccccc3c2[O-])CCCC1 ZINC000668819601 485332063 /nfs/dbraw/zinc/33/20/63/485332063.db2.gz PFWAEBMPSNQEQW-UHFFFAOYSA-N -1 1 313.357 1.716 20 0 DDADMM O=C(NC1CCN(CC(F)F)CC1)C(=O)c1ccc([O-])cc1 ZINC000672818593 485354725 /nfs/dbraw/zinc/35/47/25/485354725.db2.gz NUFYGNMHHWIDQO-UHFFFAOYSA-N -1 1 312.316 1.421 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1cc(=O)n(C)[n-]1)c1ccc(F)cc1 ZINC000666828432 485362321 /nfs/dbraw/zinc/36/23/21/485362321.db2.gz SKMOLYXLQRLWBO-ZDUSSCGKSA-N -1 1 306.341 1.298 20 0 DDADMM CCc1cccc(C(=O)N2CC[NH+]([C@@H]3CCC[C@@H]3O)CC2)c1O ZINC000674361320 485613787 /nfs/dbraw/zinc/61/37/87/485613787.db2.gz JBHULNLIHOVGNX-CVEARBPZSA-N -1 1 318.417 1.626 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CC=CCC1 ZINC000679326143 485851896 /nfs/dbraw/zinc/85/18/96/485851896.db2.gz GGMXXYCICWXVDH-UHFFFAOYSA-N -1 1 310.357 1.471 20 0 DDADMM CN(Cc1ccc(F)cc1)C(=O)CNC1(C(=O)[O-])CCCC1 ZINC000676230965 486153542 /nfs/dbraw/zinc/15/35/42/486153542.db2.gz ZSKHHHWFOWBXAA-UHFFFAOYSA-N -1 1 308.353 1.771 20 0 DDADMM C[C@@H](CC(=O)c1ccc(Cl)cc1)C(=O)NCc1nn[n-]n1 ZINC000680581418 486231555 /nfs/dbraw/zinc/23/15/55/486231555.db2.gz YBMRZHBBUWVGLO-QMMMGPOBSA-N -1 1 307.741 1.378 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](C)[C@H](C)CO)c(F)c1 ZINC000676860279 486348639 /nfs/dbraw/zinc/34/86/39/486348639.db2.gz WXGPVCYYIGACFF-SFYZADRCSA-N -1 1 309.334 1.269 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(CC2CC2)C2CCC2)co1 ZINC000684530917 486377784 /nfs/dbraw/zinc/37/77/84/486377784.db2.gz AZPGCPOAPIJHCA-UHFFFAOYSA-N -1 1 312.391 1.592 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCC(F)(F)C1 ZINC000684566614 486384479 /nfs/dbraw/zinc/38/44/79/486384479.db2.gz HTDLIHSIFHVVQQ-QWRGUYRKSA-N -1 1 307.304 1.841 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1Cc2ccc(F)cc21 ZINC000684567335 486384639 /nfs/dbraw/zinc/38/46/39/486384639.db2.gz MOKQSPJUEABSFP-HUUCEWRRSA-N -1 1 323.331 1.884 20 0 DDADMM CCN1CCN(C(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)C[C@H]1C ZINC000677216501 486418991 /nfs/dbraw/zinc/41/89/91/486418991.db2.gz JLKSBBZSFJEOCY-LLVKDONJSA-N -1 1 316.361 1.196 20 0 DDADMM COCCO[C@H]1COCC[C@H]1NC(=O)c1ccc([O-])c(F)c1 ZINC000681629453 486476100 /nfs/dbraw/zinc/47/61/00/486476100.db2.gz ZBYJYVVFQXOEJC-OCCSQVGLSA-N -1 1 313.325 1.082 20 0 DDADMM Cc1cnc(C(=O)N2CCc3ccccc3[C@@H]2C(N)=O)c([O-])c1 ZINC000681726861 486502351 /nfs/dbraw/zinc/50/23/51/486502351.db2.gz JYONVNQBJSVOKZ-OAHLLOKOSA-N -1 1 311.341 1.321 20 0 DDADMM C[C@H](N=c1ccc(N2CCO[C@H](CO)C2)n[n-]1)c1ccccc1 ZINC000425239740 534189852 /nfs/dbraw/zinc/18/98/52/534189852.db2.gz XITMKCPQPDBBTG-ZFWWWQNUSA-N -1 1 314.389 1.269 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C=Cc1cnccn1 ZINC000491837717 534646787 /nfs/dbraw/zinc/64/67/87/534646787.db2.gz ITGGMGKDETZBRR-ONEGZZNKSA-N -1 1 324.344 1.171 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H]1CO)c1cc(Cl)ccc1F ZINC000452031923 534870044 /nfs/dbraw/zinc/87/00/44/534870044.db2.gz UZOJCWCWXBQYTQ-KWQFWETISA-N -1 1 307.774 1.918 20 0 DDADMM CC(=O)Nc1ccc(C)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000444290171 526948728 /nfs/dbraw/zinc/94/87/28/526948728.db2.gz HGGNIYMDFAWSOA-JTQLQIEISA-N -1 1 316.365 1.342 20 0 DDADMM CC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CCOC1)c1ccccc1F ZINC000416642141 527149629 /nfs/dbraw/zinc/14/96/29/527149629.db2.gz FPFLVPIUAHYBAU-RISCZKNCSA-N -1 1 315.366 1.412 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1ccc(OC)cc1)c1nn[n-]n1 ZINC000492126126 528166931 /nfs/dbraw/zinc/16/69/31/528166931.db2.gz WDYQFPFLYBXEBU-SZNGSJQDSA-N -1 1 301.350 1.879 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H]2CCCO[C@@H]2C(C)(C)C)[n-]n1 ZINC000413158763 528230367 /nfs/dbraw/zinc/23/03/67/528230367.db2.gz SEHGJTSJCPOMMM-WFASDCNBSA-N -1 1 320.437 1.901 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H]2CCCO[C@H]2C(C)(C)C)[n-]n1 ZINC000413158761 528230630 /nfs/dbraw/zinc/23/06/30/528230630.db2.gz SEHGJTSJCPOMMM-SWLSCSKDSA-N -1 1 320.437 1.901 20 0 DDADMM CCOc1ccc(CN[C@@H](C(=O)[O-])c2ccnn2C)cc1OC ZINC000424628348 528459462 /nfs/dbraw/zinc/45/94/62/528459462.db2.gz WPKGODZYXDBCLJ-OAHLLOKOSA-N -1 1 319.361 1.743 20 0 DDADMM CC(C)[C@@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000297492624 528765703 /nfs/dbraw/zinc/76/57/03/528765703.db2.gz MOOKTHWYROJAQS-RYUDHWBXSA-N -1 1 320.418 1.951 20 0 DDADMM CCCCn1c(SCc2nn[n-]n2)nnc1[C@H](CC)N(C)C ZINC000435202584 529070645 /nfs/dbraw/zinc/07/06/45/529070645.db2.gz LYUOGNIOACTZOH-JTQLQIEISA-N -1 1 324.458 1.896 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)[C@@H](O)C(C)C ZINC000416611370 529196139 /nfs/dbraw/zinc/19/61/39/529196139.db2.gz BYABAUFNUIMUGZ-SKDRFNHKSA-N -1 1 319.379 1.140 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NCC[C@H]1CCCO1 ZINC000738436868 598935372 /nfs/dbraw/zinc/93/53/72/598935372.db2.gz KHFUXOAIZCKJSK-SNVBAGLBSA-N -1 1 311.349 1.791 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NCC[C@H]1CCCO1 ZINC000738436868 598935374 /nfs/dbraw/zinc/93/53/74/598935374.db2.gz KHFUXOAIZCKJSK-SNVBAGLBSA-N -1 1 311.349 1.791 20 0 DDADMM CN(CCn1cccn1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736815479 598969191 /nfs/dbraw/zinc/96/91/91/598969191.db2.gz SFSCGLUATASEMX-UHFFFAOYSA-N -1 1 321.348 1.143 20 0 DDADMM CN(CCn1cccn1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736815479 598969192 /nfs/dbraw/zinc/96/91/92/598969192.db2.gz SFSCGLUATASEMX-UHFFFAOYSA-N -1 1 321.348 1.143 20 0 DDADMM COc1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737092595 598979095 /nfs/dbraw/zinc/97/90/95/598979095.db2.gz NWBTVVFLANHRPT-UHFFFAOYSA-N -1 1 310.317 1.200 20 0 DDADMM COc1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737092595 598979097 /nfs/dbraw/zinc/97/90/97/598979097.db2.gz NWBTVVFLANHRPT-UHFFFAOYSA-N -1 1 310.317 1.200 20 0 DDADMM COc1ccc(CCNC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737089634 598979211 /nfs/dbraw/zinc/97/92/11/598979211.db2.gz SPZTYAYJDFNODG-UHFFFAOYSA-N -1 1 324.344 1.243 20 0 DDADMM COc1ccc(CCNC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737089634 598979213 /nfs/dbraw/zinc/97/92/13/598979213.db2.gz SPZTYAYJDFNODG-UHFFFAOYSA-N -1 1 324.344 1.243 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1cccs1 ZINC000736118797 598983076 /nfs/dbraw/zinc/98/30/76/598983076.db2.gz KPUXSHXUATYHTC-QMMMGPOBSA-N -1 1 300.347 1.814 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1cccs1 ZINC000736118797 598983078 /nfs/dbraw/zinc/98/30/78/598983078.db2.gz KPUXSHXUATYHTC-QMMMGPOBSA-N -1 1 300.347 1.814 20 0 DDADMM CCN(C(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccc(OC)cc1 ZINC000736504904 598988096 /nfs/dbraw/zinc/98/80/96/598988096.db2.gz DPNAQOWUOJRXAF-UHFFFAOYSA-N -1 1 324.344 1.937 20 0 DDADMM CCN(C(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccc(OC)cc1 ZINC000736504904 598988098 /nfs/dbraw/zinc/98/80/98/598988098.db2.gz DPNAQOWUOJRXAF-UHFFFAOYSA-N -1 1 324.344 1.937 20 0 DDADMM O=C(Nc1nnc(C(F)F)s1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738206323 598998452 /nfs/dbraw/zinc/99/84/52/598998452.db2.gz CCXOMRKRZUHGMD-UHFFFAOYSA-N -1 1 324.276 1.303 20 0 DDADMM O=C(Nc1nnc(C(F)F)s1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738206323 598998454 /nfs/dbraw/zinc/99/84/54/598998454.db2.gz CCXOMRKRZUHGMD-UHFFFAOYSA-N -1 1 324.276 1.303 20 0 DDADMM Cc1cc2c[nH]nc2cc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737285426 599028646 /nfs/dbraw/zinc/02/86/46/599028646.db2.gz RNOAFZXRUDMEMZ-UHFFFAOYSA-N -1 1 320.316 1.699 20 0 DDADMM Cc1cc2c[nH]nc2cc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737285426 599028648 /nfs/dbraw/zinc/02/86/48/599028648.db2.gz RNOAFZXRUDMEMZ-UHFFFAOYSA-N -1 1 320.316 1.699 20 0 DDADMM CN(Cc1cccc(O)c1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736827418 599106353 /nfs/dbraw/zinc/10/63/53/599106353.db2.gz CSJLLGJDWPMFFV-UHFFFAOYSA-N -1 1 310.317 1.240 20 0 DDADMM CN(Cc1cccc(O)c1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736827418 599106355 /nfs/dbraw/zinc/10/63/55/599106355.db2.gz CSJLLGJDWPMFFV-UHFFFAOYSA-N -1 1 310.317 1.240 20 0 DDADMM O=C1N[C@H](c2ccc(-c3nnn[n-]3)o2)Nc2cc(F)ccc21 ZINC000823540407 599117902 /nfs/dbraw/zinc/11/79/02/599117902.db2.gz CQHKVGJRKLJYES-LLVKDONJSA-N -1 1 300.253 1.453 20 0 DDADMM O=C1N[C@H](c2ccc(-c3nn[n-]n3)o2)Nc2cc(F)ccc21 ZINC000823540407 599117904 /nfs/dbraw/zinc/11/79/04/599117904.db2.gz CQHKVGJRKLJYES-LLVKDONJSA-N -1 1 300.253 1.453 20 0 DDADMM Cc1nc(C)c(Cn2nc(C)c(C)c(-c3nn[n-]n3)c2=O)s1 ZINC000737474865 599162298 /nfs/dbraw/zinc/16/22/98/599162298.db2.gz WSCPMWKTRORLCZ-UHFFFAOYSA-N -1 1 317.378 1.162 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)NC[C@@H](c1ccsc1)N(C)C ZINC000736369077 599842354 /nfs/dbraw/zinc/84/23/54/599842354.db2.gz PTDHYNRUBYMGLE-NWDGAFQWSA-N -1 1 313.423 1.759 20 0 DDADMM CS[C@@H]1CCC[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000737170144 599226337 /nfs/dbraw/zinc/22/63/37/599226337.db2.gz GWWBHZNOJZTZTG-WDEREUQCSA-N -1 1 318.406 1.666 20 0 DDADMM CS[C@@H]1CCC[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000737170144 599226339 /nfs/dbraw/zinc/22/63/39/599226339.db2.gz GWWBHZNOJZTZTG-WDEREUQCSA-N -1 1 318.406 1.666 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000818373817 597093832 /nfs/dbraw/zinc/09/38/32/597093832.db2.gz BZWDLORMFQSINR-CVEARBPZSA-N -1 1 318.417 1.873 20 0 DDADMM CCCNC(=O)CNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000821113699 607303059 /nfs/dbraw/zinc/30/30/59/607303059.db2.gz DFHGGGLOVQWDTG-UHFFFAOYSA-N -1 1 311.349 1.353 20 0 DDADMM CCCNC(=O)CNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821113699 607303060 /nfs/dbraw/zinc/30/30/60/607303060.db2.gz DFHGGGLOVQWDTG-UHFFFAOYSA-N -1 1 311.349 1.353 20 0 DDADMM CC(=O)NCCN(Cc1cnc2ccc(C)cn12)[C@H](C)C(=O)[O-] ZINC000819780445 597705831 /nfs/dbraw/zinc/70/58/31/597705831.db2.gz HUCGPUCPSSGFAV-GFCCVEGCSA-N -1 1 318.377 1.054 20 0 DDADMM COc1cccc([C@@H]2C[C@@H](C)CN2CC(=O)NCC(=O)[O-])c1 ZINC000820865342 597814257 /nfs/dbraw/zinc/81/42/57/597814257.db2.gz IOYJGPBRTMYILK-RISCZKNCSA-N -1 1 306.362 1.279 20 0 DDADMM CCN(C1CCCC1)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736510938 598265641 /nfs/dbraw/zinc/26/56/41/598265641.db2.gz AECYLHOQLUVQAU-UHFFFAOYSA-N -1 1 322.394 1.215 20 0 DDADMM CCN(C1CCCC1)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736510938 598265643 /nfs/dbraw/zinc/26/56/43/598265643.db2.gz AECYLHOQLUVQAU-UHFFFAOYSA-N -1 1 322.394 1.215 20 0 DDADMM COCCOc1cccc(Nc2nccnc2-c2nnn[n-]2)c1 ZINC000737015424 598345590 /nfs/dbraw/zinc/34/55/90/598345590.db2.gz OVIZIHODKHVXTD-UHFFFAOYSA-N -1 1 313.321 1.426 20 0 DDADMM COCCOc1cccc(Nc2nccnc2-c2nn[n-]n2)c1 ZINC000737015424 598345592 /nfs/dbraw/zinc/34/55/92/598345592.db2.gz OVIZIHODKHVXTD-UHFFFAOYSA-N -1 1 313.321 1.426 20 0 DDADMM C[C@@H]1c2ccccc2OCCN1c1nccnc1-c1nnn[n-]1 ZINC000736304115 598351717 /nfs/dbraw/zinc/35/17/17/598351717.db2.gz OIQQTOANOWINQO-SNVBAGLBSA-N -1 1 309.333 1.617 20 0 DDADMM C[C@@H]1c2ccccc2OCCN1c1nccnc1-c1nn[n-]n1 ZINC000736304115 598351719 /nfs/dbraw/zinc/35/17/19/598351719.db2.gz OIQQTOANOWINQO-SNVBAGLBSA-N -1 1 309.333 1.617 20 0 DDADMM CN(CCc1ccccn1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736806359 598570531 /nfs/dbraw/zinc/57/05/31/598570531.db2.gz QIVHVAZDRRPYMH-UHFFFAOYSA-N -1 1 315.768 1.989 20 0 DDADMM CN(CCc1ccccn1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736806359 598570533 /nfs/dbraw/zinc/57/05/33/598570533.db2.gz QIVHVAZDRRPYMH-UHFFFAOYSA-N -1 1 315.768 1.989 20 0 DDADMM CCc1nn(C[C@@H]2CCCCO2)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736705494 598642248 /nfs/dbraw/zinc/64/22/48/598642248.db2.gz JKUFYIJDFPVQSK-JTQLQIEISA-N -1 1 318.381 1.117 20 0 DDADMM c1cnn(CCNc2cc(-c3nnn[n-]3)nc3ccccc32)c1 ZINC000823797226 599491865 /nfs/dbraw/zinc/49/18/65/599491865.db2.gz NJDLRMYTUYGFQD-UHFFFAOYSA-N -1 1 306.333 1.724 20 0 DDADMM c1cnn(CCNc2cc(-c3nn[n-]n3)nc3ccccc32)c1 ZINC000823797226 599491867 /nfs/dbraw/zinc/49/18/67/599491867.db2.gz NJDLRMYTUYGFQD-UHFFFAOYSA-N -1 1 306.333 1.724 20 0 DDADMM CN(c1cc(-c2nnn[n-]2)nc2ccccc21)[C@H]1CCN(C)C1=O ZINC000821621749 599685424 /nfs/dbraw/zinc/68/54/24/599685424.db2.gz FARUNPVZDCFEIJ-ZDUSSCGKSA-N -1 1 323.360 1.082 20 0 DDADMM CN(c1cc(-c2nn[n-]n2)nc2ccccc21)[C@H]1CCN(C)C1=O ZINC000821621749 599685425 /nfs/dbraw/zinc/68/54/25/599685425.db2.gz FARUNPVZDCFEIJ-ZDUSSCGKSA-N -1 1 323.360 1.082 20 0 DDADMM Cc1c(Cl)c(C(=O)[O-])nn1CN(C)C[C@H]1CCCOC1 ZINC000738290639 599762983 /nfs/dbraw/zinc/76/29/83/599762983.db2.gz UATCJUTWMHOBQL-SNVBAGLBSA-N -1 1 301.774 1.859 20 0 DDADMM Cc1ccccc1[C@@H]1CC(=O)N(CN2CC[C@@H](C(=O)[O-])C2)C1 ZINC000738760181 599854086 /nfs/dbraw/zinc/85/40/86/599854086.db2.gz DOJODCLEZMUTEN-ZIAGYGMSSA-N -1 1 302.374 1.675 20 0 DDADMM Cc1oc(CN2CCCN(c3cccnn3)CC2)cc1C(=O)[O-] ZINC000738977192 599914951 /nfs/dbraw/zinc/91/49/51/599914951.db2.gz ABVOOPAKZWCWAI-UHFFFAOYSA-N -1 1 316.361 1.789 20 0 DDADMM C[C@H](c1ccc(F)c(F)c1)N(C)C(=O)CNC(C)(C)C(=O)[O-] ZINC000736719533 599923480 /nfs/dbraw/zinc/92/34/80/599923480.db2.gz WLJGRCXKAVHYFZ-SECBINFHSA-N -1 1 314.332 1.937 20 0 DDADMM O=C([O-])C1CCC(NC(=O)N2CCN(CC3CC3)CC2)CC1 ZINC000315304970 600029461 /nfs/dbraw/zinc/02/94/61/600029461.db2.gz JIQDKKDRQXXBBJ-UHFFFAOYSA-N -1 1 309.410 1.367 20 0 DDADMM O=C([O-])CNC(=O)CCN(Cc1ccco1)Cc1ccccc1 ZINC000739808174 600159012 /nfs/dbraw/zinc/15/90/12/600159012.db2.gz YZXQVBDUAOGDJJ-UHFFFAOYSA-N -1 1 316.357 1.873 20 0 DDADMM C[C@H](C(=O)Nc1cc(F)ccc1F)N(C)C[C@H](C)C(=O)[O-] ZINC000736574410 600365890 /nfs/dbraw/zinc/36/58/90/600365890.db2.gz CTGGLXBYTGEDQR-DTWKUNHWSA-N -1 1 300.305 1.944 20 0 DDADMM C[C@@H](CN(Cc1ccccc1)C(=O)[C@@H]1CCCN1C)C(=O)[O-] ZINC000736582398 600377373 /nfs/dbraw/zinc/37/73/73/600377373.db2.gz GZXBCJKAGYYRNZ-ZFWWWQNUSA-N -1 1 304.390 1.830 20 0 DDADMM CC[C@@](C)(NCC(=O)Nc1cc(C(=O)OC)ccc1C)C(=O)[O-] ZINC000736863251 600562782 /nfs/dbraw/zinc/56/27/82/600562782.db2.gz KJZUDEZPSPEEPG-MRXNPFEDSA-N -1 1 322.361 1.563 20 0 DDADMM COC[C@H]1CCCN(CC(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC000737751078 600613767 /nfs/dbraw/zinc/61/37/67/600613767.db2.gz CICFQVSBPUVFBO-LBPRGKRZSA-N -1 1 306.362 1.682 20 0 DDADMM CCCN(Cn1cnc(C(=O)[O-])n1)[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC000737021582 600706139 /nfs/dbraw/zinc/70/61/39/600706139.db2.gz XRTSTECKJHUGDM-UTUOFQBUSA-N -1 1 308.382 1.459 20 0 DDADMM C[C@@H]1C[C@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)CCN1C ZINC000157599434 600765975 /nfs/dbraw/zinc/76/59/75/600765975.db2.gz JISJLQCUOJUOQQ-ZYHUDNBSSA-N -1 1 312.391 1.146 20 0 DDADMM C[C@@H]1CCN(CCNS(=O)(=O)c2ccc(C(=O)[O-])s2)C1 ZINC000828289895 600792774 /nfs/dbraw/zinc/79/27/74/600792774.db2.gz PIBYRCQPPSLNEB-SECBINFHSA-N -1 1 318.420 1.066 20 0 DDADMM C[C@H](NC(=O)c1ccccc1S[C@@H](C)C(=O)[O-])c1nnc[nH]1 ZINC000827916476 600833642 /nfs/dbraw/zinc/83/36/42/600833642.db2.gz TVMFCHHRFSPYOP-IUCAKERBSA-N -1 1 320.374 1.861 20 0 DDADMM O=C([O-])c1cccc(OCCN2CC[C@](O)(C(F)(F)F)C2)c1 ZINC000318989113 601026992 /nfs/dbraw/zinc/02/69/92/601026992.db2.gz HBIQLXCWQGUJCC-CYBMUJFWSA-N -1 1 319.279 1.763 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2ccccc2F)CC1 ZINC000827356138 601099132 /nfs/dbraw/zinc/09/91/32/601099132.db2.gz PLNMLBYBTYPLKJ-KTRBRXNASA-N -1 1 320.364 1.846 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc3[nH]cnc3c2)CC1 ZINC000827378915 601122033 /nfs/dbraw/zinc/12/20/33/601122033.db2.gz CNMSLPRCYDBLJX-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM CC(C)Oc1ccc(C(=O)[O-])cc1NC(=O)CCc1nc[nH]n1 ZINC000827042637 601136828 /nfs/dbraw/zinc/13/68/28/601136828.db2.gz UVJAENJPFVEWHQ-UHFFFAOYSA-N -1 1 318.333 1.861 20 0 DDADMM Cc1cccc(NS(=O)(=O)c2ccc(O)c(C(=O)[O-])c2)c1O ZINC000832972745 601241654 /nfs/dbraw/zinc/24/16/54/601241654.db2.gz HSRXTJPDKZLOKY-UHFFFAOYSA-N -1 1 323.326 1.905 20 0 DDADMM Cc1[nH]c(C(=O)[C@@H](C)N(C)C[C@@H]2CCOC2)c(C)c1C(=O)[O-] ZINC000832843719 601384687 /nfs/dbraw/zinc/38/46/87/601384687.db2.gz ZVLUIPNPVLKDQT-NEPJUHHUSA-N -1 1 308.378 1.869 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C[C@@H](O)COCc2cccs2)C1 ZINC000833176126 601448184 /nfs/dbraw/zinc/44/81/84/601448184.db2.gz WSBSDVGTTNZTFO-MFKMUULPSA-N -1 1 303.355 1.124 20 0 DDADMM CC(C)CN(CC(F)(F)F)C(=O)CN1C[C@H](C)[C@H](C(=O)[O-])C1 ZINC000826871104 601525098 /nfs/dbraw/zinc/52/50/98/601525098.db2.gz MFSSZKXVTSBJHP-WDEREUQCSA-N -1 1 324.343 1.686 20 0 DDADMM Cc1sc(C(=O)[O-])cc1CN1CCC[C@H]1C(=O)NC1CC1 ZINC000833032493 601618219 /nfs/dbraw/zinc/61/82/19/601618219.db2.gz HTEOKGVFGFBKKD-LBPRGKRZSA-N -1 1 308.403 1.998 20 0 DDADMM COCC(=O)NC1CCN(Cc2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000831540883 601620148 /nfs/dbraw/zinc/62/01/48/601620148.db2.gz HNMUMFWZIDPKTD-UHFFFAOYSA-N -1 1 324.352 1.251 20 0 DDADMM CC(C)(CNC(=O)NC[C@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000826579952 601665128 /nfs/dbraw/zinc/66/51/28/601665128.db2.gz CNXUKCWVXXPQPB-GFCCVEGCSA-N -1 1 323.393 1.827 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN([C@@H]2CC(=O)N(c3ccccc3)C2=O)C1 ZINC000316693868 601849993 /nfs/dbraw/zinc/84/99/93/601849993.db2.gz OTHNZGZXOACBEG-MLGOLLRUSA-N -1 1 302.330 1.115 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@H](C)NC(=O)[O-])N2CCCC2)o1 ZINC000740111820 601888495 /nfs/dbraw/zinc/88/84/95/601888495.db2.gz AAITUKDIHYOTGR-NWDGAFQWSA-N -1 1 309.366 1.497 20 0 DDADMM Cc1ccc(CNC(=O)[C@H](C)N2CC[C@H](CNC(=O)[O-])C2)cc1 ZINC000740127708 601950747 /nfs/dbraw/zinc/95/07/47/601950747.db2.gz ZYGFGCIZYONXLL-DZGCQCFKSA-N -1 1 319.405 1.589 20 0 DDADMM CN(Cc1ccc(F)cc1)C(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000739710486 602043997 /nfs/dbraw/zinc/04/39/97/602043997.db2.gz UXQGFMVBANFIAS-ZDUSSCGKSA-N -1 1 323.368 1.374 20 0 DDADMM O=C([O-])c1nscc1NS(=O)(=O)CCc1ccccc1 ZINC000833346345 602155937 /nfs/dbraw/zinc/15/59/37/602155937.db2.gz IGXOVVLQTYNGPP-UHFFFAOYSA-N -1 1 312.372 1.826 20 0 DDADMM CN(CC(=O)Nc1cccc(CN2CCSCC2)c1)C(=O)[O-] ZINC000739628098 602168468 /nfs/dbraw/zinc/16/84/68/602168468.db2.gz UJQKTMDQDXSGRE-UHFFFAOYSA-N -1 1 323.418 1.784 20 0 DDADMM NC(=O)c1cc(NCc2ccnc(-c3nnn[n-]3)c2)ccc1F ZINC000826392945 607549518 /nfs/dbraw/zinc/54/95/18/607549518.db2.gz UUTQVLXIRMDDQM-UHFFFAOYSA-N -1 1 313.296 1.112 20 0 DDADMM NC(=O)c1cc(NCc2ccnc(-c3nn[n-]n3)c2)ccc1F ZINC000826392945 607549520 /nfs/dbraw/zinc/54/95/20/607549520.db2.gz UUTQVLXIRMDDQM-UHFFFAOYSA-N -1 1 313.296 1.112 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)N[C@@H]2CCCc3cn[nH]c32)c1 ZINC000833334158 602225098 /nfs/dbraw/zinc/22/50/98/602225098.db2.gz LSYPWIHWVWOMBU-GFCCVEGCSA-N -1 1 321.358 1.464 20 0 DDADMM CS(=O)(=O)CCCN1CCCC[C@H]1[C@H]1CCCN1C(=O)[O-] ZINC000739982978 602315145 /nfs/dbraw/zinc/31/51/45/602315145.db2.gz MPQRPINOTAOOIU-QWHCGFSZSA-N -1 1 318.439 1.418 20 0 DDADMM CC(C)n1ncc2cc(CN3CC[C@@H](NC(=O)[O-])C3)cnc21 ZINC000738887350 602441686 /nfs/dbraw/zinc/44/16/86/602441686.db2.gz GOIIPNIGARAREW-CYBMUJFWSA-N -1 1 303.366 1.854 20 0 DDADMM CC(C)C[C@@H](CNC(=O)[O-])NC(=O)c1ccc2[nH]nnc2c1 ZINC000738806649 602531711 /nfs/dbraw/zinc/53/17/11/602531711.db2.gz JCJNWLPWHQGXRP-JTQLQIEISA-N -1 1 305.338 1.370 20 0 DDADMM CCN(C(=O)CN1CC[C@@H](CN(C)C(=O)[O-])C1)c1ccccc1 ZINC000739381357 602536422 /nfs/dbraw/zinc/53/64/22/602536422.db2.gz PITUIBPSOHWJSF-AWEZNQCLSA-N -1 1 319.405 1.971 20 0 DDADMM CN(C[C@@H]1CCN(CC(=O)NCCC2=CCCCC2)C1)C(=O)[O-] ZINC000739662033 602538837 /nfs/dbraw/zinc/53/88/37/602538837.db2.gz WISPOFXMBGMRFK-HNNXBMFYSA-N -1 1 323.437 1.925 20 0 DDADMM CCCN(CC(=O)N1CCC(C)CC1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739351375 602546142 /nfs/dbraw/zinc/54/61/42/602546142.db2.gz PDYYOQWXEKLGLP-CQSZACIVSA-N -1 1 311.426 1.709 20 0 DDADMM CCN(CC)[C@@H](C(=O)NCCN(C)C(=O)[O-])c1ccccc1 ZINC000739406674 602572972 /nfs/dbraw/zinc/57/29/72/602572972.db2.gz LCTRMCYCPMINDH-CQSZACIVSA-N -1 1 307.394 1.796 20 0 DDADMM C[C@H](C1CC1)N(C(=O)CN1CC[C@@H](NC(=O)[O-])[C@H](C)C1)C1CC1 ZINC000739171589 602789025 /nfs/dbraw/zinc/78/90/25/602789025.db2.gz IUKZFXLIVHQWKG-LALPHHSUSA-N -1 1 323.437 1.754 20 0 DDADMM Cc1ccccc1OC[C@H](O)CN1C[C@@H](C)N(C(=O)[O-])[C@@H](C)C1 ZINC000740193196 602835265 /nfs/dbraw/zinc/83/52/65/602835265.db2.gz GPJKPZCDABIYOI-QLFBSQMISA-N -1 1 322.405 1.807 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)NC[C@@H]2CC[N@@H+](C3CC3)C2)c([O-])c1 ZINC000740697930 602847836 /nfs/dbraw/zinc/84/78/36/602847836.db2.gz GSOCCHRYUVKQML-JTQLQIEISA-N -1 1 319.361 1.696 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)NC[C@@H]2CC[N@H+](C3CC3)C2)c([O-])c1 ZINC000740697930 602847839 /nfs/dbraw/zinc/84/78/39/602847839.db2.gz GSOCCHRYUVKQML-JTQLQIEISA-N -1 1 319.361 1.696 20 0 DDADMM C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C[C@@H]1CCCN(C(=O)[O-])C1 ZINC000738650663 602862544 /nfs/dbraw/zinc/86/25/44/602862544.db2.gz GZXWXDWVEJSMAX-UTUOFQBUSA-N -1 1 306.428 1.130 20 0 DDADMM C[C@H](CS(C)(=O)=O)N(C[C@@H]1CCCN(C(=O)[O-])C1)C1CC1 ZINC000738949297 602862911 /nfs/dbraw/zinc/86/29/11/602862911.db2.gz KCFRAPXOLOHQBY-VXGBXAGGSA-N -1 1 318.439 1.274 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](NC(=O)[O-])c2ccccc2)c1C ZINC000740031492 603016123 /nfs/dbraw/zinc/01/61/23/603016123.db2.gz LQOLBIKTXUELDU-LBPRGKRZSA-N -1 1 302.334 1.765 20 0 DDADMM O=C([O-])N[C@H](CNC(=O)c1[nH]nc2c1CCC2)c1ccccc1 ZINC000740534193 603029208 /nfs/dbraw/zinc/02/92/08/603029208.db2.gz JROLQYUELJYFLB-CYBMUJFWSA-N -1 1 314.345 1.637 20 0 DDADMM O=C([O-])NC1CCC(NC(=O)N2CCN(CC3CC3)CC2)CC1 ZINC000740555001 603154528 /nfs/dbraw/zinc/15/45/28/603154528.db2.gz QFMPPSNPRLMMPW-UHFFFAOYSA-N -1 1 324.425 1.302 20 0 DDADMM O=Cc1cccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000826484299 607614671 /nfs/dbraw/zinc/61/46/71/607614671.db2.gz XHNFEXCAUWKRME-UHFFFAOYSA-N -1 1 309.285 1.431 20 0 DDADMM O=Cc1cccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000826484299 607614673 /nfs/dbraw/zinc/61/46/73/607614673.db2.gz XHNFEXCAUWKRME-UHFFFAOYSA-N -1 1 309.285 1.431 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000828001967 603510547 /nfs/dbraw/zinc/51/05/47/603510547.db2.gz YFINDZRZNVSBGQ-GJZGRUSLSA-N -1 1 319.405 1.370 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N(C)[C@H]1CCN(C(=O)[O-])C1 ZINC000828046505 603544945 /nfs/dbraw/zinc/54/49/45/603544945.db2.gz NBUQRXVDRCPAEL-NSHDSACASA-N -1 1 311.407 1.634 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CC[C@H](N(C)C(=O)[O-])C1)c1ccccc1 ZINC000826988622 603554764 /nfs/dbraw/zinc/55/47/64/603554764.db2.gz LUPLVXGZHNFRKA-LSDHHAIUSA-N -1 1 319.405 1.890 20 0 DDADMM O=C([O-])N[C@H]1CC[C@H](C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000832297176 603555619 /nfs/dbraw/zinc/55/56/19/603555619.db2.gz NMDQPJQSSLNGOG-QWRGUYRKSA-N -1 1 315.333 1.642 20 0 DDADMM C[C@@H]1C[C@@H]1N1C[C@@H](NCc2ccc(NC(=O)[O-])nc2)CC1=O ZINC000825861680 603559236 /nfs/dbraw/zinc/55/92/36/603559236.db2.gz WUQNZPKDGPEBSF-USWWRNFRSA-N -1 1 304.350 1.270 20 0 DDADMM C[C@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1CNCc1cn2ccccc2n1 ZINC000826126675 603564503 /nfs/dbraw/zinc/56/45/03/603564503.db2.gz PODOPNNWAHLMHW-DGCLKSJQSA-N -1 1 318.377 1.927 20 0 DDADMM CC(C)CCN(CN1CC2(CN(C(=O)[O-])C2)CC1=O)C1CC1 ZINC000824239327 603581958 /nfs/dbraw/zinc/58/19/58/603581958.db2.gz TXFBGDIQOKPQPM-UHFFFAOYSA-N -1 1 309.410 1.667 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000825193744 603938641 /nfs/dbraw/zinc/93/86/41/603938641.db2.gz KWUQNJAGWSERJT-MISXGVKJSA-N -1 1 320.393 1.867 20 0 DDADMM O=C([O-])NC1CCC(NC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000832312655 603951691 /nfs/dbraw/zinc/95/16/91/603951691.db2.gz JEYPHPUDTCPIJP-ILDUYXDCSA-N -1 1 306.366 1.525 20 0 DDADMM C[C@@H](C(=O)N1CCCCCC1)N1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000823669453 603985360 /nfs/dbraw/zinc/98/53/60/603985360.db2.gz NGWJUDBTFVAFIP-ZNMIVQPWSA-N -1 1 323.437 1.994 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CN2C(=O)C(C)(C)C2(C)C)C1 ZINC000825262913 604026404 /nfs/dbraw/zinc/02/64/04/604026404.db2.gz CXHRRZHOGPZBFI-RYUDHWBXSA-N -1 1 311.426 1.959 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@@H]1NC(=O)N1CCN(CC2CC2)CC1 ZINC000832468766 604184617 /nfs/dbraw/zinc/18/46/17/604184617.db2.gz UKTKKLFLAKJNBK-KGLIPLIRSA-N -1 1 324.425 1.160 20 0 DDADMM COC1CCN(CCNC(=O)c2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000831536631 604303773 /nfs/dbraw/zinc/30/37/73/604303773.db2.gz HCSRCERUJGFRPP-UHFFFAOYSA-N -1 1 324.352 1.365 20 0 DDADMM C[N@@H+](CCCn1ccnc1)CC(=O)Nc1ccsc1C(=O)[O-] ZINC000830781206 604379813 /nfs/dbraw/zinc/37/98/13/604379813.db2.gz OXYMOPJZFFARBL-UHFFFAOYSA-N -1 1 322.390 1.603 20 0 DDADMM CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)c1[nH]nc2ccccc21 ZINC000827015811 604449202 /nfs/dbraw/zinc/44/92/02/604449202.db2.gz IKXRERRFCHPGSB-GFCCVEGCSA-N -1 1 305.334 1.513 20 0 DDADMM CC(C)N(CCC(=O)[O-])C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000833496542 604475011 /nfs/dbraw/zinc/47/50/11/604475011.db2.gz AWXUIZZKPCFXLX-UHFFFAOYSA-N -1 1 302.334 1.797 20 0 DDADMM O=C([O-])N1CCC(CN[C@H](CO)c2c(F)cccc2F)CC1 ZINC000831878353 604514126 /nfs/dbraw/zinc/51/41/26/604514126.db2.gz HACGLIABBGIPKT-CYBMUJFWSA-N -1 1 314.332 1.978 20 0 DDADMM CN(CC(=O)N(C)c1ccccc1C(=O)[O-])C[C@H]1CCC[C@@H]1O ZINC000833690731 604521209 /nfs/dbraw/zinc/52/12/09/604521209.db2.gz BVZPTUAPKUDORJ-DOMZBBRYSA-N -1 1 320.389 1.440 20 0 DDADMM Cn1cc([C@]2(C)CN(CC3CCN(C(=O)[O-])CC3)CCO2)cn1 ZINC000830992772 604637509 /nfs/dbraw/zinc/63/75/09/604637509.db2.gz AHEBCCYZKYOCPO-INIZCTEOSA-N -1 1 322.409 1.358 20 0 DDADMM CC(C)(NC(=O)c1cccc(Br)c1O)C(=O)[O-] ZINC000833461141 604704894 /nfs/dbraw/zinc/70/48/94/604704894.db2.gz OUGYKCREABDHOW-UHFFFAOYSA-N -1 1 302.124 1.748 20 0 DDADMM C[C@H](C(=O)N1[C@@H](C)CCC[C@@H]1C)N1CCC(O)(C(=O)[O-])CC1 ZINC000833402357 604726421 /nfs/dbraw/zinc/72/64/21/604726421.db2.gz JYXDKWCALNMXQQ-RWMBFGLXSA-N -1 1 312.410 1.076 20 0 DDADMM O=C([O-])c1ccc(CCC(=O)N[C@H]2CCc3nc[nH]c3C2)cc1 ZINC000833103000 604767954 /nfs/dbraw/zinc/76/79/54/604767954.db2.gz ZSZVYKYNVIPZRE-ZDUSSCGKSA-N -1 1 313.357 1.714 20 0 DDADMM CC(=CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1ccc(F)cc1 ZINC000833381611 604899497 /nfs/dbraw/zinc/89/94/97/604899497.db2.gz HXWNOQTYWMSJNC-XYYIANASSA-N -1 1 317.320 1.764 20 0 DDADMM CN(CC(=O)NCc1ccc(NC(=O)[O-])cc1)C[C@@H](O)C1CC1 ZINC000833691765 604916927 /nfs/dbraw/zinc/91/69/27/604916927.db2.gz HSJNEPLMTYZWLZ-CQSZACIVSA-N -1 1 321.377 1.095 20 0 DDADMM CC(C)C[C@H](C)N(C)C(=O)CN1CCC([C@H](O)C(=O)[O-])CC1 ZINC000833474373 604980276 /nfs/dbraw/zinc/98/02/76/604980276.db2.gz PRRHPQFQIURFDR-WFASDCNBSA-N -1 1 314.426 1.037 20 0 DDADMM COC(=O)C[C@H]1CCCN1Cn1ncc2cc(C(=O)[O-])ccc21 ZINC000831143451 604982188 /nfs/dbraw/zinc/98/21/88/604982188.db2.gz BUSPIVQLOMKFTJ-CYBMUJFWSA-N -1 1 317.345 1.720 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)Nc2cc(C(=O)[O-])n(C)c2)n[nH]1 ZINC000833673369 605021314 /nfs/dbraw/zinc/02/13/14/605021314.db2.gz KFFPXDUJNUVIKC-SSDOTTSWSA-N -1 1 306.326 1.287 20 0 DDADMM CC(C)CCSCC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833483981 605110257 /nfs/dbraw/zinc/11/02/57/605110257.db2.gz GMJQXUGHGYDGIT-ZDUSSCGKSA-N -1 1 316.467 1.773 20 0 DDADMM Cn1ccnc1[C@H](O)C1CCN(Cc2occc2C(=O)[O-])CC1 ZINC000833760747 605180345 /nfs/dbraw/zinc/18/03/45/605180345.db2.gz UECXPIXXFIBJOH-CQSZACIVSA-N -1 1 319.361 1.657 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@@H](C(=O)Nc2ncc(C(F)(F)F)[nH]2)C1 ZINC000832297225 605293689 /nfs/dbraw/zinc/29/36/89/605293689.db2.gz NZPZQENIKMGKMF-PHDIDXHHSA-N -1 1 306.244 1.803 20 0 DDADMM C[C@]1(NC(=O)[O-])CCCC[C@@H]1C(=O)N[C@H]1CCc2[nH]cnc2C1 ZINC000833824615 605323603 /nfs/dbraw/zinc/32/36/03/605323603.db2.gz GGKFRNGNSAPCJQ-USBNGQNGSA-N -1 1 320.393 1.600 20 0 DDADMM O=C([O-])NC1(CN[C@H](C(=O)NC2CC2)c2ccccc2)CCC1 ZINC000834155667 605339459 /nfs/dbraw/zinc/33/94/59/605339459.db2.gz VLBRGJDTOJMQMM-AWEZNQCLSA-N -1 1 317.389 1.786 20 0 DDADMM CC(C)(C)OC(=O)CCCCN1CCO[C@@H](CC(=O)[O-])C1 ZINC000833434314 605375581 /nfs/dbraw/zinc/37/55/81/605375581.db2.gz VCSKBEVYSXMGTR-LBPRGKRZSA-N -1 1 301.383 1.674 20 0 DDADMM O=C([O-])N1CC[C@@H](C(=O)Nc2ccccc2-c2nnc[nH]2)C1 ZINC000834055953 605380363 /nfs/dbraw/zinc/38/03/63/605380363.db2.gz HPLFUEURRSJICY-SECBINFHSA-N -1 1 301.306 1.410 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN(C)C[C@H]1CCCC[C@H]1O)C(=O)[O-] ZINC000833640542 605393980 /nfs/dbraw/zinc/39/39/80/605393980.db2.gz ZPAFTHDVEAMPAW-JLNYLFASSA-N -1 1 314.426 1.085 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)C2(CNC(=O)[O-])CCCC2)n[nH]1 ZINC000830030032 605434473 /nfs/dbraw/zinc/43/44/73/605434473.db2.gz LSDNARUURKYVMJ-SNVBAGLBSA-N -1 1 308.382 1.593 20 0 DDADMM C[C@@H]1CN(C)[C@@H](C)CN1C(=O)c1ccc(CNC(=O)[O-])cc1 ZINC000833837847 605531395 /nfs/dbraw/zinc/53/13/95/605531395.db2.gz UJRYSZQFCUWUNT-NWDGAFQWSA-N -1 1 305.378 1.619 20 0 DDADMM O=C([O-])NCCN1CCC(NC(=O)Nc2ccc(F)cc2)CC1 ZINC000834239569 605671704 /nfs/dbraw/zinc/67/17/04/605671704.db2.gz NNSKBGSRBQCDQB-UHFFFAOYSA-N -1 1 324.356 1.679 20 0 DDADMM O=C([O-])N1CC[C@@H](C(=O)N[C@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000834016685 605691637 /nfs/dbraw/zinc/69/16/37/605691637.db2.gz WYUSMUQNBMHNQA-NEPJUHHUSA-N -1 1 315.333 1.010 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CN2C[C@H](C3CC3)CC2=O)C1 ZINC000833811720 605724109 /nfs/dbraw/zinc/72/41/09/605724109.db2.gz DSBJWRICVWRMLZ-IACUBPJLSA-N -1 1 309.410 1.571 20 0 DDADMM O=C([O-])N[C@@H]1C[C@H](NC[C@@H](O)C(F)(F)F)c2ccccc21 ZINC000834157834 605794360 /nfs/dbraw/zinc/79/43/60/605794360.db2.gz INROIHCQIURLCH-HBNTYKKESA-N -1 1 304.268 1.953 20 0 DDADMM O=C([O-])NCC1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000834224383 605848710 /nfs/dbraw/zinc/84/87/10/605848710.db2.gz JCPCMLRSWTWGCB-UHFFFAOYSA-N -1 1 303.322 1.078 20 0 DDADMM O=C([O-])Nc1cccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000834262557 605966194 /nfs/dbraw/zinc/96/61/94/605966194.db2.gz MIZVTZUNJQYSAM-SNVBAGLBSA-N -1 1 316.321 1.309 20 0 DDADMM O=C([O-])Nc1cccc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)c1 ZINC000834262557 605966196 /nfs/dbraw/zinc/96/61/96/605966196.db2.gz MIZVTZUNJQYSAM-SNVBAGLBSA-N -1 1 316.321 1.309 20 0 DDADMM CCOC(=O)[C@@H](C)COC(=O)c1sccc1-c1nn[n-]n1 ZINC000821315883 606135749 /nfs/dbraw/zinc/13/57/49/606135749.db2.gz YONFGKIPXKZUON-ZETCQYMHSA-N -1 1 310.335 1.284 20 0 DDADMM CC(C)(C)c1cnc(CNc2nccnc2-c2nnn[n-]2)o1 ZINC000819965819 606138000 /nfs/dbraw/zinc/13/80/00/606138000.db2.gz OHFZHSWVSZJQGR-UHFFFAOYSA-N -1 1 300.326 1.554 20 0 DDADMM CC(C)(C)c1cnc(CNc2nccnc2-c2nn[n-]n2)o1 ZINC000819965819 606138002 /nfs/dbraw/zinc/13/80/02/606138002.db2.gz OHFZHSWVSZJQGR-UHFFFAOYSA-N -1 1 300.326 1.554 20 0 DDADMM CC[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000820981241 606163791 /nfs/dbraw/zinc/16/37/91/606163791.db2.gz PTELFRLSGVOOJB-SNVBAGLBSA-N -1 1 318.385 1.644 20 0 DDADMM CC[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000820981241 606163793 /nfs/dbraw/zinc/16/37/93/606163793.db2.gz PTELFRLSGVOOJB-SNVBAGLBSA-N -1 1 318.385 1.644 20 0 DDADMM Cn1cc(-c2nn[nH]n2)cc1C(=O)Nc1c([O-])cccc1F ZINC000822645721 606292372 /nfs/dbraw/zinc/29/23/72/606292372.db2.gz LVRCPWSDXMLZBN-UHFFFAOYSA-N -1 1 302.269 1.302 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cccc(Cl)n1 ZINC000823294904 606294858 /nfs/dbraw/zinc/29/48/58/606294858.db2.gz XEJBNKGAXQREQL-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CCS1 ZINC000820601291 606295131 /nfs/dbraw/zinc/29/51/31/606295131.db2.gz HUJYYHUAJOULFY-UHFFFAOYSA-N -1 1 304.379 1.229 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CCS1 ZINC000820601291 606295132 /nfs/dbraw/zinc/29/51/32/606295132.db2.gz HUJYYHUAJOULFY-UHFFFAOYSA-N -1 1 304.379 1.229 20 0 DDADMM COCCOC[C@H](C)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821692154 606468429 /nfs/dbraw/zinc/46/84/29/606468429.db2.gz POLAENJLLGMQQK-QMMMGPOBSA-N -1 1 312.761 1.379 20 0 DDADMM COCCOC[C@H](C)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821692154 606468431 /nfs/dbraw/zinc/46/84/31/606468431.db2.gz POLAENJLLGMQQK-QMMMGPOBSA-N -1 1 312.761 1.379 20 0 DDADMM CO[C@H](C)c1nc(CNCc2ccc(-c3nnn[n-]3)o2)cs1 ZINC000821675291 606475066 /nfs/dbraw/zinc/47/50/66/606475066.db2.gz HZVLAEUBRYSVIM-MRVPVSSYSA-N -1 1 320.378 1.913 20 0 DDADMM CO[C@H](C)c1nc(CNCc2ccc(-c3nn[n-]n3)o2)cs1 ZINC000821675291 606475068 /nfs/dbraw/zinc/47/50/68/606475068.db2.gz HZVLAEUBRYSVIM-MRVPVSSYSA-N -1 1 320.378 1.913 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)OC ZINC000820893524 606576166 /nfs/dbraw/zinc/57/61/66/606576166.db2.gz PWCRCVLNUNIEFS-UHFFFAOYSA-N -1 1 323.378 1.390 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)OC ZINC000820893524 606576168 /nfs/dbraw/zinc/57/61/68/606576168.db2.gz PWCRCVLNUNIEFS-UHFFFAOYSA-N -1 1 323.378 1.390 20 0 DDADMM CCC[C@@H](CCO)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821021946 606598474 /nfs/dbraw/zinc/59/84/74/606598474.db2.gz QDXMZGOXLFVGJZ-VIFPVBQESA-N -1 1 309.395 1.457 20 0 DDADMM CCC[C@@H](CCO)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821021946 606598476 /nfs/dbraw/zinc/59/84/76/606598476.db2.gz QDXMZGOXLFVGJZ-VIFPVBQESA-N -1 1 309.395 1.457 20 0 DDADMM O=C(CCOCC1CC1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822974579 606649183 /nfs/dbraw/zinc/64/91/83/606649183.db2.gz SHQFRBWLAOFQKX-UHFFFAOYSA-N -1 1 303.322 1.122 20 0 DDADMM O=C(CCOCC1CC1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822974579 606649184 /nfs/dbraw/zinc/64/91/84/606649184.db2.gz SHQFRBWLAOFQKX-UHFFFAOYSA-N -1 1 303.322 1.122 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)Nc1ccccc1Cl ZINC000823123200 606655334 /nfs/dbraw/zinc/65/53/34/606655334.db2.gz RRNDTNJUTUOIJI-UHFFFAOYSA-N -1 1 303.713 1.355 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)Nc1ccccc1Cl ZINC000823123200 606655335 /nfs/dbraw/zinc/65/53/35/606655335.db2.gz RRNDTNJUTUOIJI-UHFFFAOYSA-N -1 1 303.713 1.355 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)[C@H]2C[C@H]2Cc2ccccc2)n1 ZINC000822605122 606684429 /nfs/dbraw/zinc/68/44/29/606684429.db2.gz ZSNREDXNYUXYCX-NEPJUHHUSA-N -1 1 323.360 1.418 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)[C@H]2C[C@H]2Cc2ccccc2)n1 ZINC000822605122 606684430 /nfs/dbraw/zinc/68/44/30/606684430.db2.gz ZSNREDXNYUXYCX-NEPJUHHUSA-N -1 1 323.360 1.418 20 0 DDADMM O=C(NCc1ccc(F)cn1)c1ccc(-c2nnn[n-]2)s1 ZINC000823254147 606940843 /nfs/dbraw/zinc/94/08/43/606940843.db2.gz PYLNIIJFZRBSJB-UHFFFAOYSA-N -1 1 304.310 1.392 20 0 DDADMM O=C(NCc1ccc(F)cn1)c1ccc(-c2nn[n-]n2)s1 ZINC000823254147 606940844 /nfs/dbraw/zinc/94/08/44/606940844.db2.gz PYLNIIJFZRBSJB-UHFFFAOYSA-N -1 1 304.310 1.392 20 0 DDADMM C[C@@H](C[C@@H](O)c1ccccc1)Nc1nccnc1-c1nnn[n-]1 ZINC000820233871 606946089 /nfs/dbraw/zinc/94/60/89/606946089.db2.gz MBGHRIPRDKQVLC-CMPLNLGQSA-N -1 1 311.349 1.581 20 0 DDADMM C[C@@H](C[C@@H](O)c1ccccc1)Nc1nccnc1-c1nn[n-]n1 ZINC000820233871 606946090 /nfs/dbraw/zinc/94/60/90/606946090.db2.gz MBGHRIPRDKQVLC-CMPLNLGQSA-N -1 1 311.349 1.581 20 0 DDADMM CC(=O)c1c[nH]c(C(=O)Nc2ncc(-c3nnn[n-]3)s2)c1 ZINC000819835273 607104268 /nfs/dbraw/zinc/10/42/68/607104268.db2.gz UQUYXCBSDDTENQ-UHFFFAOYSA-N -1 1 303.307 1.106 20 0 DDADMM CC(=O)c1c[nH]c(C(=O)Nc2ncc(-c3nn[n-]n3)s2)c1 ZINC000819835273 607104270 /nfs/dbraw/zinc/10/42/70/607104270.db2.gz UQUYXCBSDDTENQ-UHFFFAOYSA-N -1 1 303.307 1.106 20 0 DDADMM CN(C)c1ccc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)cc1 ZINC000821589611 607104669 /nfs/dbraw/zinc/10/46/69/607104669.db2.gz VUBDJUSOXLNJBC-UHFFFAOYSA-N -1 1 315.362 1.642 20 0 DDADMM CN(C)c1ccc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)cc1 ZINC000821589611 607104670 /nfs/dbraw/zinc/10/46/70/607104670.db2.gz VUBDJUSOXLNJBC-UHFFFAOYSA-N -1 1 315.362 1.642 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822519629 607104812 /nfs/dbraw/zinc/10/48/12/607104812.db2.gz JWWRQYLGXSXAKM-YFKPBYRVSA-N -1 1 319.350 1.670 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822519629 607104814 /nfs/dbraw/zinc/10/48/14/607104814.db2.gz JWWRQYLGXSXAKM-YFKPBYRVSA-N -1 1 319.350 1.670 20 0 DDADMM C[C@@H](C[C@H]1CCOC1)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820231653 607128519 /nfs/dbraw/zinc/12/85/19/607128519.db2.gz CTJWMBHNLYUHNT-DTWKUNHWSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@@H](C[C@H]1CCOC1)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820231653 607128521 /nfs/dbraw/zinc/12/85/21/607128521.db2.gz CTJWMBHNLYUHNT-DTWKUNHWSA-N -1 1 307.379 1.473 20 0 DDADMM CN(C)c1ncncc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821592797 607239813 /nfs/dbraw/zinc/23/98/13/607239813.db2.gz VYPCFBYWOMNRGP-UHFFFAOYSA-N -1 1 316.350 1.037 20 0 DDADMM CN(C)c1ncncc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821592797 607239814 /nfs/dbraw/zinc/23/98/14/607239814.db2.gz VYPCFBYWOMNRGP-UHFFFAOYSA-N -1 1 316.350 1.037 20 0 DDADMM CC1(NS(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)CCC1 ZINC000824838103 607852614 /nfs/dbraw/zinc/85/26/14/607852614.db2.gz MJJURRVQXHRAAI-UHFFFAOYSA-N -1 1 311.342 1.227 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccc[nH]c1=S ZINC000826451241 607999122 /nfs/dbraw/zinc/99/91/22/607999122.db2.gz XCPDTGDNIOLHOA-UHFFFAOYSA-N -1 1 314.330 1.508 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC(C)(C)C1 ZINC000824974783 608139675 /nfs/dbraw/zinc/13/96/75/608139675.db2.gz FYRUMNFRSGDDSA-JTQLQIEISA-N -1 1 300.366 1.770 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC(C)(C)C1 ZINC000824974783 608139676 /nfs/dbraw/zinc/13/96/76/608139676.db2.gz FYRUMNFRSGDDSA-JTQLQIEISA-N -1 1 300.366 1.770 20 0 DDADMM OCCCc1cn(-c2snc(Cl)c2-c2nnn[n-]2)cn1 ZINC000826509883 608183003 /nfs/dbraw/zinc/18/30/03/608183003.db2.gz OOKIUXACPQUKCE-UHFFFAOYSA-N -1 1 311.758 1.087 20 0 DDADMM OCCCc1cn(-c2snc(Cl)c2-c2nn[n-]n2)cn1 ZINC000826509883 608183006 /nfs/dbraw/zinc/18/30/06/608183006.db2.gz OOKIUXACPQUKCE-UHFFFAOYSA-N -1 1 311.758 1.087 20 0 DDADMM c1ccc([C@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@H]2CCOC2)cc1 ZINC000826520677 608238543 /nfs/dbraw/zinc/23/85/43/608238543.db2.gz PIJYSGFDPPTSKN-WFASDCNBSA-N -1 1 323.360 1.846 20 0 DDADMM c1ccc([C@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@H]2CCOC2)cc1 ZINC000826520677 608238545 /nfs/dbraw/zinc/23/85/45/608238545.db2.gz PIJYSGFDPPTSKN-WFASDCNBSA-N -1 1 323.360 1.846 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC[C@H](C[C@@H]3CCOC3)C2)c1 ZINC000826514615 608296850 /nfs/dbraw/zinc/29/68/50/608296850.db2.gz XJMOWTAEQOLDHB-OLZOCXBDSA-N -1 1 314.393 1.905 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC[C@H](C[C@@H]3CCOC3)C2)c1 ZINC000826514615 608296851 /nfs/dbraw/zinc/29/68/51/608296851.db2.gz XJMOWTAEQOLDHB-OLZOCXBDSA-N -1 1 314.393 1.905 20 0 DDADMM CCc1cnccc1[C@@H](C)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825733348 608330988 /nfs/dbraw/zinc/33/09/88/608330988.db2.gz CXBQOIIIIKJBME-SNVBAGLBSA-N -1 1 323.360 1.710 20 0 DDADMM CCc1cnccc1[C@@H](C)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825733348 608330990 /nfs/dbraw/zinc/33/09/90/608330990.db2.gz CXBQOIIIIKJBME-SNVBAGLBSA-N -1 1 323.360 1.710 20 0 DDADMM COc1ccc([C@@H](C)Nc2ccc(-c3nnn[n-]3)nn2)cc1F ZINC000826189293 608391675 /nfs/dbraw/zinc/39/16/75/608391675.db2.gz SFUNERIWGXEICS-MRVPVSSYSA-N -1 1 315.312 1.978 20 0 DDADMM COc1ccc([C@@H](C)Nc2ccc(-c3nn[n-]n3)nn2)cc1F ZINC000826189293 608391677 /nfs/dbraw/zinc/39/16/77/608391677.db2.gz SFUNERIWGXEICS-MRVPVSSYSA-N -1 1 315.312 1.978 20 0 DDADMM CC(C)COC1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000824266822 608401310 /nfs/dbraw/zinc/40/13/10/608401310.db2.gz RAJYCVOHJFONEC-UHFFFAOYSA-N -1 1 303.370 1.298 20 0 DDADMM CC(C)COC1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000824266822 608401312 /nfs/dbraw/zinc/40/13/12/608401312.db2.gz RAJYCVOHJFONEC-UHFFFAOYSA-N -1 1 303.370 1.298 20 0 DDADMM CCN(C)c1ccccc1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000825395673 608415761 /nfs/dbraw/zinc/41/57/61/608415761.db2.gz UJRBYESUPGKQCJ-UHFFFAOYSA-N -1 1 310.365 1.725 20 0 DDADMM CCN(C)c1ccccc1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000825395673 608415762 /nfs/dbraw/zinc/41/57/62/608415762.db2.gz UJRBYESUPGKQCJ-UHFFFAOYSA-N -1 1 310.365 1.725 20 0 DDADMM c1ccc(N2CC[C@H](Nc3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826521985 608416085 /nfs/dbraw/zinc/41/60/85/608416085.db2.gz GSRAAARUKINAQN-NSHDSACASA-N -1 1 308.349 1.348 20 0 DDADMM c1ccc(N2CC[C@H](Nc3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826521985 608416086 /nfs/dbraw/zinc/41/60/86/608416086.db2.gz GSRAAARUKINAQN-NSHDSACASA-N -1 1 308.349 1.348 20 0 DDADMM Cc1cc([C@](C)(O)CNc2ccc(-c3nnn[n-]3)nn2)c(C)o1 ZINC000826261587 608423549 /nfs/dbraw/zinc/42/35/49/608423549.db2.gz KTCYJYIDNIJPGM-CQSZACIVSA-N -1 1 315.337 1.186 20 0 DDADMM Cc1cc([C@](C)(O)CNc2ccc(-c3nn[n-]n3)nn2)c(C)o1 ZINC000826261587 608423552 /nfs/dbraw/zinc/42/35/52/608423552.db2.gz KTCYJYIDNIJPGM-CQSZACIVSA-N -1 1 315.337 1.186 20 0 DDADMM C[C@@H](C[C@H](O)c1ccco1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824391106 608430985 /nfs/dbraw/zinc/43/09/85/608430985.db2.gz HGUFIRMJPVZYKE-WPRPVWTQSA-N -1 1 301.310 1.174 20 0 DDADMM C[C@@H](C[C@H](O)c1ccco1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824391106 608430986 /nfs/dbraw/zinc/43/09/86/608430986.db2.gz HGUFIRMJPVZYKE-WPRPVWTQSA-N -1 1 301.310 1.174 20 0 DDADMM NC(=O)[C@@H]1CCCCC[C@H]1Nc1cccc(-c2nnn[n-]2)n1 ZINC000826390098 608434238 /nfs/dbraw/zinc/43/42/38/608434238.db2.gz QAYJZZBPTDFUMG-NXEZZACHSA-N -1 1 301.354 1.108 20 0 DDADMM NC(=O)[C@@H]1CCCCC[C@H]1Nc1cccc(-c2nn[n-]n2)n1 ZINC000826390098 608434239 /nfs/dbraw/zinc/43/42/39/608434239.db2.gz QAYJZZBPTDFUMG-NXEZZACHSA-N -1 1 301.354 1.108 20 0 DDADMM CNC(=O)c1ccc(CNc2nc(-c3nn[n-]n3)ccc2C)cc1 ZINC000826068655 608542214 /nfs/dbraw/zinc/54/22/14/608542214.db2.gz VEWZDZINPIZGSA-UHFFFAOYSA-N -1 1 323.360 1.542 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1N[C@H]1c2ccccc2C[C@H]1O ZINC000826280945 608543407 /nfs/dbraw/zinc/54/34/07/608543407.db2.gz WYLSDFFQPTXXDO-KGLIPLIRSA-N -1 1 308.345 1.640 20 0 DDADMM CC(C)N1C(=O)CN(Cc2ccc(-c3nnn[n-]3)o2)CC1(C)C ZINC000824297098 608711529 /nfs/dbraw/zinc/71/15/29/608711529.db2.gz QADKAZFBBGIXEM-UHFFFAOYSA-N -1 1 318.381 1.291 20 0 DDADMM CC(C)N1C(=O)CN(Cc2ccc(-c3nn[n-]n3)o2)CC1(C)C ZINC000824297098 608711530 /nfs/dbraw/zinc/71/15/30/608711530.db2.gz QADKAZFBBGIXEM-UHFFFAOYSA-N -1 1 318.381 1.291 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCC[C@@H]1CCCO ZINC000826472286 609260551 /nfs/dbraw/zinc/26/05/51/609260551.db2.gz FWKKOBFOHDWEAV-SECBINFHSA-N -1 1 307.379 1.305 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCC[C@@H]1CCCO ZINC000826472286 609260553 /nfs/dbraw/zinc/26/05/53/609260553.db2.gz FWKKOBFOHDWEAV-SECBINFHSA-N -1 1 307.379 1.305 20 0 DDADMM CC(C)(C(=O)OCc1ccnc(-c2nnn[n-]2)c1)c1cccnc1 ZINC000824064249 609293559 /nfs/dbraw/zinc/29/35/59/609293559.db2.gz DRELMQOUXXAHGJ-UHFFFAOYSA-N -1 1 324.344 1.678 20 0 DDADMM CC(C)(C(=O)OCc1ccnc(-c2nn[n-]n2)c1)c1cccnc1 ZINC000824064249 609293561 /nfs/dbraw/zinc/29/35/61/609293561.db2.gz DRELMQOUXXAHGJ-UHFFFAOYSA-N -1 1 324.344 1.678 20 0 DDADMM CC(C)[C@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)CCO1 ZINC000824223035 609319941 /nfs/dbraw/zinc/31/99/41/609319941.db2.gz GDXVVKRZJSDVSX-SSDOTTSWSA-N -1 1 314.802 1.838 20 0 DDADMM CC(C)[C@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)CCO1 ZINC000824223035 609319942 /nfs/dbraw/zinc/31/99/42/609319942.db2.gz GDXVVKRZJSDVSX-SSDOTTSWSA-N -1 1 314.802 1.838 20 0 DDADMM CN(C[C@@H]1CCCC[C@@H]1O)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825908131 609323990 /nfs/dbraw/zinc/32/39/90/609323990.db2.gz FLBNTYNZQBAIGO-ONGXEEELSA-N -1 1 322.800 1.902 20 0 DDADMM CN(C[C@@H]1CCCC[C@@H]1O)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825908131 609323992 /nfs/dbraw/zinc/32/39/92/609323992.db2.gz FLBNTYNZQBAIGO-ONGXEEELSA-N -1 1 322.800 1.902 20 0 DDADMM COCCC[C@H](C)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826155376 609393540 /nfs/dbraw/zinc/39/35/40/609393540.db2.gz NYHUEQISUSSESW-VIFPVBQESA-N -1 1 305.338 1.574 20 0 DDADMM CC[C@H]1CC[C@@H](C)N1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825194748 609469521 /nfs/dbraw/zinc/46/95/21/609469521.db2.gz SNYGWRSVIUEHNZ-SCZZXKLOSA-N -1 1 304.354 1.495 20 0 DDADMM CC[C@H]1CC[C@@H](C)N1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825194748 609469523 /nfs/dbraw/zinc/46/95/23/609469523.db2.gz SNYGWRSVIUEHNZ-SCZZXKLOSA-N -1 1 304.354 1.495 20 0 DDADMM C[C@@H](CC(N)=O)C(=O)Nc1cccc([O-])c1Br ZINC000908708321 712839004 /nfs/dbraw/zinc/83/90/04/712839004.db2.gz SKFPUWJXJPAMFQ-LURJTMIESA-N -1 1 301.140 1.605 20 0 DDADMM CCc1cc(=O)oc2cc(OS(=O)(=O)c3c[n-]cn3)ccc12 ZINC000121524179 696711640 /nfs/dbraw/zinc/71/16/40/696711640.db2.gz GYFJDMPRHGBOSL-UHFFFAOYSA-N -1 1 320.326 1.846 20 0 DDADMM Cc1ccc(C(=O)N(C)C)cc1OS(=O)(=O)c1c[n-]cn1 ZINC000121676528 696714512 /nfs/dbraw/zinc/71/45/12/696714512.db2.gz NVJCEWPSQCUHIA-UHFFFAOYSA-N -1 1 309.347 1.188 20 0 DDADMM O=C(COC(=O)[C@@H]1Cc2ccccc21)[N-]C(=O)c1ccccc1 ZINC000745373496 699980154 /nfs/dbraw/zinc/98/01/54/699980154.db2.gz UKQSTPSMWLONDA-OAHLLOKOSA-N -1 1 309.321 1.826 20 0 DDADMM C[C@@H]1CC[C@H](CCC(=O)OCC(=O)[N-]C(=O)c2ccccc2)O1 ZINC000745702951 699990413 /nfs/dbraw/zinc/99/04/13/699990413.db2.gz GHOZBBLFNNIIIV-TZMCWYRMSA-N -1 1 319.357 1.834 20 0 DDADMM O=C(CCCc1ccccc1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798145418 700044093 /nfs/dbraw/zinc/04/40/93/700044093.db2.gz LBPYJWQBTIGNFY-UHFFFAOYSA-N -1 1 315.377 1.033 20 0 DDADMM O=C(COC(=O)c1cccc2c[nH]nc21)[N-]C(=O)c1ccccc1 ZINC000746950501 700046948 /nfs/dbraw/zinc/04/69/48/700046948.db2.gz KOXIJPZZMKWLJW-UHFFFAOYSA-N -1 1 323.308 1.676 20 0 DDADMM CN(C)c1cccc(C(=O)N[N-]C(=O)c2cccnc2Cl)c1 ZINC000022629806 696078522 /nfs/dbraw/zinc/07/85/22/696078522.db2.gz ZYJMWOPPNFHUHW-UHFFFAOYSA-N -1 1 318.764 1.876 20 0 DDADMM CS[C@H](C)C(=O)N[N-]C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000033225791 696126989 /nfs/dbraw/zinc/12/69/89/696126989.db2.gz BGRJYYAOCUVXEX-SECBINFHSA-N -1 1 304.375 1.589 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc(-n2cccn2)nc1 ZINC000043997246 696157626 /nfs/dbraw/zinc/15/76/26/696157626.db2.gz DSWBOAPTLVEDIF-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM O=C([N-]n1cnc2sc3c(c2c1=O)CCCC3)c1cc[nH]n1 ZINC000044871846 696168575 /nfs/dbraw/zinc/16/85/75/696168575.db2.gz YRLCBOJVXLIDFN-UHFFFAOYSA-N -1 1 315.358 1.444 20 0 DDADMM CC1CC(C(=O)N(C)C[C@@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000977544339 696207228 /nfs/dbraw/zinc/20/72/28/696207228.db2.gz NBLKGDLJIHJHEB-BPCQOVAHSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1c(C=C([O-])NC2=NCCS2)nnn1-c1ccc(C)cc1 ZINC000050028223 696228399 /nfs/dbraw/zinc/22/83/99/696228399.db2.gz KPXLFMDFMUDNQT-UHFFFAOYSA-N -1 1 315.402 1.646 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977618704 696236722 /nfs/dbraw/zinc/23/67/22/696236722.db2.gz NVSIVJUOGXFFCH-MCWZUMHDSA-N -1 1 303.362 1.426 20 0 DDADMM CCC(NCc1ccc(Cl)cc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000054501986 696276428 /nfs/dbraw/zinc/27/64/28/696276428.db2.gz BXSRRQLPDNNQPP-UHFFFAOYSA-N -1 1 323.805 1.625 20 0 DDADMM COc1ccc2c(c1)CCC[C@@H]2CC(=O)NN1CC(=O)[N-]C1=O ZINC000057137786 696294528 /nfs/dbraw/zinc/29/45/28/696294528.db2.gz CHRWLVNZZBAEPD-LLVKDONJSA-N -1 1 317.345 1.088 20 0 DDADMM CC[C@@H](NC=C1C(=O)[N-]C(=S)NC1=O)c1ccc(OC)cc1 ZINC000060865647 696314384 /nfs/dbraw/zinc/31/43/84/696314384.db2.gz BVFCHJNNJXWTJH-GFCCVEGCSA-N -1 1 319.386 1.151 20 0 DDADMM CCc1noc(C)c1C(=O)NCCn1c(CC)n[n-]c1=S ZINC000073997658 696409818 /nfs/dbraw/zinc/40/98/18/696409818.db2.gz JSVPRXUXEQNPLE-UHFFFAOYSA-N -1 1 309.395 1.792 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCC2(c3ccccc3)CCC2)s1 ZINC000079396080 696460365 /nfs/dbraw/zinc/46/03/65/696460365.db2.gz DMNPGBAYRRHEIU-LBPRGKRZSA-N -1 1 317.414 1.571 20 0 DDADMM C=CCN(Cc1ccccc1F)C(=O)Cc1sc(N)nc1[O-] ZINC000079688864 696462576 /nfs/dbraw/zinc/46/25/76/696462576.db2.gz IVXQTQFUFAMRCT-GFCCVEGCSA-N -1 1 321.377 1.687 20 0 DDADMM Cc1ccccc1C1(CNC(=O)Cc2sc(N)nc2[O-])CC1 ZINC000079923667 696464963 /nfs/dbraw/zinc/46/49/63/696464963.db2.gz ODCQEYMFURRXQI-GFCCVEGCSA-N -1 1 317.414 1.490 20 0 DDADMM CC(C)n1ccc(CC(=O)NCc2n[n-]c(=S)n2C2CC2)n1 ZINC000080061425 696529946 /nfs/dbraw/zinc/52/99/46/696529946.db2.gz ZNFYOHBLBIELTC-UHFFFAOYSA-N -1 1 320.422 1.912 20 0 DDADMM CC[C@@H](Cc1ccccc1)N(C)C(=O)Cc1sc(N)nc1[O-] ZINC000080494489 696534884 /nfs/dbraw/zinc/53/48/84/696534884.db2.gz NTVFUIBJAMZTCJ-QWHCGFSZSA-N -1 1 319.430 1.813 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000080609465 696536027 /nfs/dbraw/zinc/53/60/27/696536027.db2.gz NFPCNZPNMXZYQR-KOLCDFICSA-N -1 1 322.409 1.235 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@@H]2c2ccc(Cl)cc2)s1 ZINC000080661515 696536341 /nfs/dbraw/zinc/53/63/41/696536341.db2.gz HCSFEGNZWUXKPP-MNOVXSKESA-N -1 1 323.805 1.960 20 0 DDADMM CCCn1cc(NC(=O)NCCn2c(C)n[n-]c2=S)cn1 ZINC000084333315 696557109 /nfs/dbraw/zinc/55/71/09/696557109.db2.gz OSLRKQUWRDRABN-UHFFFAOYSA-N -1 1 309.399 1.677 20 0 DDADMM CC(C)(C)[S@@](=O)CCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000118751059 696674473 /nfs/dbraw/zinc/67/44/73/696674473.db2.gz SSBBMUMADBISPF-JOCHJYFZSA-N -1 1 321.402 1.200 20 0 DDADMM CC(C)C1CCC(CNC(=O)Cc2sc(N)nc2[O-])CC1 ZINC000124125947 696739511 /nfs/dbraw/zinc/73/95/11/696739511.db2.gz ALHHSMZRGPWAMM-MCIGGMRASA-N -1 1 311.451 1.912 20 0 DDADMM C[C@@H](NC(=O)c1cnn[nH]1)c1ccc(N2CCOC2=O)cc1 ZINC000142398276 696868405 /nfs/dbraw/zinc/86/84/05/696868405.db2.gz JFERCQALGVUTCC-SECBINFHSA-N -1 1 301.306 1.252 20 0 DDADMM C[C@H](Cc1ccc(F)cc1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155600110 696950802 /nfs/dbraw/zinc/95/08/02/696950802.db2.gz BDKFPSOBSVLYNV-YPMHNXCESA-N -1 1 317.368 1.924 20 0 DDADMM Cc1ccc(N(C)C(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)cc1 ZINC000155602496 696951015 /nfs/dbraw/zinc/95/10/15/696951015.db2.gz RKTAAHAEMMVEGB-UHFFFAOYSA-N -1 1 324.340 1.917 20 0 DDADMM Cc1ccc(N(C)C(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[n-]2)cc1 ZINC000155602496 696951017 /nfs/dbraw/zinc/95/10/17/696951017.db2.gz RKTAAHAEMMVEGB-UHFFFAOYSA-N -1 1 324.340 1.917 20 0 DDADMM CC(F)(F)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982348690 697108309 /nfs/dbraw/zinc/10/83/09/697108309.db2.gz MSKMDWOGZKSXFI-SECBINFHSA-N -1 1 313.304 1.021 20 0 DDADMM CC1CC(C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000982670464 697167357 /nfs/dbraw/zinc/16/73/57/697167357.db2.gz KKVSEUFJENUJDK-OTTFEQOBSA-N -1 1 317.389 1.412 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983339927 697243411 /nfs/dbraw/zinc/24/34/11/697243411.db2.gz BMRPUKDMBJOMSI-JHJVBQTASA-N -1 1 317.389 1.412 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)[C@@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000983364670 697246417 /nfs/dbraw/zinc/24/64/17/697246417.db2.gz OLBHJYRACXDTLK-RYUDHWBXSA-N -1 1 315.373 1.166 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC000171949946 697363642 /nfs/dbraw/zinc/36/36/42/697363642.db2.gz KMIHDZFQJSXKDN-UHFFFAOYSA-N -1 1 313.162 1.230 20 0 DDADMM COC(=O)c1cc(C[N-]C(=O)C(F)(F)C(F)F)ccc1OC ZINC000175899463 697399211 /nfs/dbraw/zinc/39/92/11/697399211.db2.gz UKSGTRURUSPNHG-UHFFFAOYSA-N -1 1 323.242 1.998 20 0 DDADMM CC(Cl)(Cl)C(=O)[N-][C@@H]1CCN(CC(F)(F)F)C1=O ZINC000180663867 697450971 /nfs/dbraw/zinc/45/09/71/697450971.db2.gz WQFWFCKAIZXERL-RXMQYKEDSA-N -1 1 307.099 1.460 20 0 DDADMM CC(C)(C)OC(=O)N1CC(NC(=O)c2c([O-])cccc2F)C1 ZINC000186554718 697534987 /nfs/dbraw/zinc/53/49/87/697534987.db2.gz WMUXZBOZZNUFFW-UHFFFAOYSA-N -1 1 310.325 1.880 20 0 DDADMM O=C(CCCCc1cn[nH]n1)[N-]S(=O)(=O)C1CCCCC1 ZINC000192563452 697632929 /nfs/dbraw/zinc/63/29/29/697632929.db2.gz AZMUENSCINIKSV-UHFFFAOYSA-N -1 1 314.411 1.296 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cn2c(n1)CCCC2 ZINC000799833436 700171256 /nfs/dbraw/zinc/17/12/56/700171256.db2.gz TZBYPBDLHFIERG-AWEZNQCLSA-N -1 1 323.360 1.252 20 0 DDADMM C[C@@H]1CN(c2ccc(C[N-]C(=O)C(F)(F)F)cn2)C[C@@H](C)O1 ZINC000194668446 697718476 /nfs/dbraw/zinc/71/84/76/697718476.db2.gz CSWTVROOPQMCRZ-NXEZZACHSA-N -1 1 317.311 1.874 20 0 DDADMM O=C(CCc1ccc(F)cc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773158422 697720448 /nfs/dbraw/zinc/72/04/48/697720448.db2.gz OIVDBDNOHYWJGU-GFCCVEGCSA-N -1 1 321.381 1.588 20 0 DDADMM CCc1oc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1C ZINC000773160112 697721656 /nfs/dbraw/zinc/72/16/56/697721656.db2.gz HQXAPHDHQOKTJJ-SECBINFHSA-N -1 1 307.379 1.594 20 0 DDADMM Cc1cccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1C ZINC000773160941 697722255 /nfs/dbraw/zinc/72/22/55/697722255.db2.gz XOGNVJMFPJISRM-LBPRGKRZSA-N -1 1 303.391 1.747 20 0 DDADMM O=C(N[C@H](CO)C[C@@H](O)c1ccccc1)c1c([O-])cccc1F ZINC000773491421 697767868 /nfs/dbraw/zinc/76/78/68/697767868.db2.gz SHBHYEMCUCKPTD-SWLSCSKDSA-N -1 1 319.332 1.746 20 0 DDADMM Cn1ncc2c1nc(COC(=O)c1ccc([O-])cc1F)[nH]c2=O ZINC000773577216 697783032 /nfs/dbraw/zinc/78/30/32/697783032.db2.gz UCGZOUILMAJWHY-UHFFFAOYSA-N -1 1 318.264 1.271 20 0 DDADMM C[C@H](OC(=O)c1ccc([O-])cc1F)C(=O)NCC(F)(F)F ZINC000773570141 697783063 /nfs/dbraw/zinc/78/30/63/697783063.db2.gz YTALCDWWBKISOX-LURJTMIESA-N -1 1 309.215 1.755 20 0 DDADMM O=C([N-]CCCOC(=O)c1cccc(CO)c1)C(F)(F)F ZINC000774938093 697944686 /nfs/dbraw/zinc/94/46/86/697944686.db2.gz QZQYTYBNGNIXDH-UHFFFAOYSA-N -1 1 305.252 1.404 20 0 DDADMM COc1ccsc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774947802 697945939 /nfs/dbraw/zinc/94/59/39/697945939.db2.gz QRANKFRPSDSDBO-UHFFFAOYSA-N -1 1 311.281 1.982 20 0 DDADMM C[C@H](Cc1ccccc1)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776563980 698117201 /nfs/dbraw/zinc/11/72/01/698117201.db2.gz LLBBFCCMWSMART-DGCLKSJQSA-N -1 1 317.418 1.695 20 0 DDADMM CCC(C)(C)CC(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000777359303 698182576 /nfs/dbraw/zinc/18/25/76/698182576.db2.gz OMRYFQKVIRONPT-UHFFFAOYSA-N -1 1 306.366 1.638 20 0 DDADMM C[C@@H]1C[C@@H](C)[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)O1 ZINC000800305650 700214713 /nfs/dbraw/zinc/21/47/13/700214713.db2.gz KBKCTSGACNFCBH-GYSYKLTISA-N -1 1 305.330 1.300 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)[C@H]1CCCOC1 ZINC000778711822 698362091 /nfs/dbraw/zinc/36/20/91/698362091.db2.gz VWIZMMGHJZVZRH-ZDUSSCGKSA-N -1 1 320.455 1.124 20 0 DDADMM CC(C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C)=C1CCCC1 ZINC000988550363 698403368 /nfs/dbraw/zinc/40/33/68/698403368.db2.gz CRGYNLJEFDVABD-ZWNOBZJWSA-N -1 1 319.409 1.480 20 0 DDADMM CN(CC(=O)[N-]OC1CCCCC1)c1ncnc2[nH]cnc21 ZINC000779322629 698413605 /nfs/dbraw/zinc/41/36/05/698413605.db2.gz TXGBBMGACXWNTB-UHFFFAOYSA-N -1 1 304.354 1.170 20 0 DDADMM O=C([N-]CCOCCOC(=O)[C@@H]1CC=CCC1)C(F)(F)F ZINC000779456849 698425057 /nfs/dbraw/zinc/42/50/57/698425057.db2.gz QLUFDZKAVYFEQO-SNVBAGLBSA-N -1 1 309.284 1.581 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000263460240 698508252 /nfs/dbraw/zinc/50/82/52/698508252.db2.gz VNUARKJSMHLDHJ-QPUJVOFHSA-N -1 1 324.299 1.013 20 0 DDADMM CCC[C@@H](NC(=O)NC[C@@H](c1cccs1)N(C)C)C(=O)[O-] ZINC000780340790 698508372 /nfs/dbraw/zinc/50/83/72/698508372.db2.gz AWUSNFIPHUPFST-MNOVXSKESA-N -1 1 313.423 1.903 20 0 DDADMM CC[C@@](C)(NC(=O)c1cc(Br)ccc1[O-])C(N)=O ZINC000306080285 698659726 /nfs/dbraw/zinc/65/97/26/698659726.db2.gz CLEXLCUESQJQLT-GFCCVEGCSA-N -1 1 315.167 1.539 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(C)(C)C)c(Br)n1C ZINC000310393170 698670441 /nfs/dbraw/zinc/67/04/41/698670441.db2.gz DYBPTYSSFLKRLG-UHFFFAOYSA-N -1 1 324.244 1.815 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@H]1C ZINC000321177010 698726161 /nfs/dbraw/zinc/72/61/61/698726161.db2.gz PZGVTMCYJKNKEH-MWLCHTKSSA-N -1 1 321.377 1.495 20 0 DDADMM O=C(COC(=O)[C@H]1CCCCS1)[N-]C(=O)c1ccccc1 ZINC000783228309 698821891 /nfs/dbraw/zinc/82/18/91/698821891.db2.gz JFWBUUQQUHFXBI-GFCCVEGCSA-N -1 1 307.371 1.772 20 0 DDADMM COc1ccc2oc(C(=O)[N-]N3CCCNC3=O)c(C)c2c1 ZINC000783601262 698856873 /nfs/dbraw/zinc/85/68/73/698856873.db2.gz OXGPZCHSVDTKOP-UHFFFAOYSA-N -1 1 303.318 1.810 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1ccccc1F ZINC000990089322 698947986 /nfs/dbraw/zinc/94/79/86/698947986.db2.gz OERXBEFCKMKPEB-UHFFFAOYSA-N -1 1 315.304 1.181 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C(C)(C)C(C)C ZINC000784550001 699026531 /nfs/dbraw/zinc/02/65/31/699026531.db2.gz WSIJZQBUGXMDQJ-UHFFFAOYSA-N -1 1 306.366 1.494 20 0 DDADMM COC[C@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@H](C)O1 ZINC000784670803 699033718 /nfs/dbraw/zinc/03/37/18/699033718.db2.gz CYXUYUVZASCKGZ-CMPLNLGQSA-N -1 1 323.393 1.490 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC[C@H]2CCCCO2)co1 ZINC000784959786 699049888 /nfs/dbraw/zinc/04/98/88/699049888.db2.gz RQMBRCQHPZWDMO-LLVKDONJSA-N -1 1 317.363 1.304 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(Cl)nc2sccn21)C(F)F ZINC000390195171 699092703 /nfs/dbraw/zinc/09/27/03/699092703.db2.gz PQCJJQLQCWKFGA-SCSAIBSYSA-N -1 1 315.754 1.981 20 0 DDADMM Cc1cc(C)c(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)o1 ZINC000990726230 699143041 /nfs/dbraw/zinc/14/30/41/699143041.db2.gz MWWQLAXWIWXJRH-UHFFFAOYSA-N -1 1 315.329 1.251 20 0 DDADMM CN(C)c1nc(C(=O)OCC(=O)CCC2CCCC2)cc(=O)[n-]1 ZINC000786493924 699150757 /nfs/dbraw/zinc/15/07/57/699150757.db2.gz ORZQIWJMSBTCEC-UHFFFAOYSA-N -1 1 321.377 1.945 20 0 DDADMM O=C(NC1CN(C(=O)[C@@H]2CC=CCC2)C1)c1ncccc1[O-] ZINC000990970000 699195651 /nfs/dbraw/zinc/19/56/51/699195651.db2.gz RGOJGZVMZKDWFS-LLVKDONJSA-N -1 1 301.346 1.084 20 0 DDADMM CC[C@@H](C)c1ccccc1N1C[C@H](C(=O)[N-]OCCO)CC1=O ZINC000787292243 699203231 /nfs/dbraw/zinc/20/32/31/699203231.db2.gz KFFRNNWBFNFKDV-CHWSQXEVSA-N -1 1 320.389 1.593 20 0 DDADMM O=S(=O)([N-]C1CSC1)c1sccc1Br ZINC000705353123 699240969 /nfs/dbraw/zinc/24/09/69/699240969.db2.gz QQZOLIKZAVBNDI-UHFFFAOYSA-N -1 1 314.251 1.904 20 0 DDADMM CC(C)(C)S(=O)(=O)CCCN1CCCC[C@H]1CC(=O)[O-] ZINC000718726118 699294839 /nfs/dbraw/zinc/29/48/39/699294839.db2.gz AGTNKKVRCATLQM-LBPRGKRZSA-N -1 1 305.440 1.919 20 0 DDADMM CN(Cc1cnn(-c2ccccc2)n1)C(=O)c1ncccc1[O-] ZINC000725885650 699338051 /nfs/dbraw/zinc/33/80/51/699338051.db2.gz LABWITIJUGVKIE-UHFFFAOYSA-N -1 1 309.329 1.640 20 0 DDADMM Cc1nc2cc(NC(=O)CCn3cc[n-]c(=O)c3=O)ccc2o1 ZINC000726865881 699386385 /nfs/dbraw/zinc/38/63/85/699386385.db2.gz JJXPYMHICFSQLJ-UHFFFAOYSA-N -1 1 314.301 1.015 20 0 DDADMM O=C(Nc1ccc(N2CCCCC2)cc1)NN1CC(=O)[N-]C1=O ZINC000789833791 699413479 /nfs/dbraw/zinc/41/34/79/699413479.db2.gz LEAPKJPZRPKFDT-UHFFFAOYSA-N -1 1 317.349 1.265 20 0 DDADMM CS(=O)(=O)c1ccc(C(=O)Nc2nc(Cl)ccc2[O-])o1 ZINC000790047247 699437349 /nfs/dbraw/zinc/43/73/49/699437349.db2.gz HZNYYJNZNCWAGZ-UHFFFAOYSA-N -1 1 316.722 1.689 20 0 DDADMM Cc1nc(C)c(CC(=O)Nc2nc(Cl)ccc2[O-])c(=O)[nH]1 ZINC000790056442 699438499 /nfs/dbraw/zinc/43/84/99/699438499.db2.gz LMGSBCHCKOCJHZ-UHFFFAOYSA-N -1 1 308.725 1.734 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2cc(Cl)ccc2F)CCCC1 ZINC000790209164 699447831 /nfs/dbraw/zinc/44/78/31/699447831.db2.gz LXJXUHGCPVTAMW-UHFFFAOYSA-N -1 1 320.773 1.556 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C(C)(C)C ZINC000790222598 699448017 /nfs/dbraw/zinc/44/80/17/699448017.db2.gz CVIYDGWNXGUITP-MRVPVSSYSA-N -1 1 319.345 1.836 20 0 DDADMM Cc1ccc2c(c1)[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC000730287569 699507112 /nfs/dbraw/zinc/50/71/12/699507112.db2.gz UZYRANODUBKCFU-AWEZNQCLSA-N -1 1 313.357 1.039 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCC(=O)C(C)C ZINC000730846786 699517385 /nfs/dbraw/zinc/51/73/85/699517385.db2.gz DAMLHPQXUXHTEG-UHFFFAOYSA-N -1 1 319.361 1.753 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@@H]1CCOC1 ZINC000731447803 699528942 /nfs/dbraw/zinc/52/89/42/699528942.db2.gz LSCOGORJNIQINJ-LLVKDONJSA-N -1 1 305.334 1.174 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCC(=O)C1CC1 ZINC000731719049 699534902 /nfs/dbraw/zinc/53/49/02/699534902.db2.gz HYVFSPHXGQCYNA-UHFFFAOYSA-N -1 1 317.345 1.507 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1C ZINC000791166056 699613892 /nfs/dbraw/zinc/61/38/92/699613892.db2.gz UORCBGFRZCYVKU-UHFFFAOYSA-N -1 1 311.345 1.742 20 0 DDADMM C[C@H](OC(=O)c1c([O-])cc(F)cc1F)c1nnnn1C1CC1 ZINC000791350763 699625888 /nfs/dbraw/zinc/62/58/88/699625888.db2.gz BQJKFLCQUPIBSL-LURJTMIESA-N -1 1 310.260 1.910 20 0 DDADMM COc1cc(NC(=O)c2cncc([O-])c2)c(C(N)=O)cc1OC ZINC000791349402 699625957 /nfs/dbraw/zinc/62/59/57/699625957.db2.gz YJXLCZBAGNBGIS-UHFFFAOYSA-N -1 1 317.301 1.156 20 0 DDADMM COc1cncc(C(=O)OCCc2cc(C(C)=O)ccc2[O-])n1 ZINC000791688039 699648130 /nfs/dbraw/zinc/64/81/30/699648130.db2.gz AWGDYISLRQEWEW-UHFFFAOYSA-N -1 1 316.313 1.793 20 0 DDADMM O=C(Nc1nc(-c2cc3ccccc3o2)n[nH]1)c1cc(=O)[nH][n-]1 ZINC000792483876 699697419 /nfs/dbraw/zinc/69/74/19/699697419.db2.gz ZWBOHYBCOYJQGI-UHFFFAOYSA-N -1 1 310.273 1.032 20 0 DDADMM C[C@@H](CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccc1F ZINC000735976216 699715363 /nfs/dbraw/zinc/71/53/63/699715363.db2.gz PBBCMCMMPHWTKZ-GXFFZTMASA-N -1 1 319.340 1.433 20 0 DDADMM Cc1ccc(NCCNC(=O)c2nc3ccccc3c(=O)[n-]2)nc1 ZINC000736371161 699724618 /nfs/dbraw/zinc/72/46/18/699724618.db2.gz UBYWXDCWOISOOA-UHFFFAOYSA-N -1 1 323.356 1.468 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]C(=O)c1ccc2cncn2c1 ZINC000793111993 699733428 /nfs/dbraw/zinc/73/34/28/699733428.db2.gz WGDQYGSHLGQRLC-UHFFFAOYSA-N -1 1 315.354 1.761 20 0 DDADMM O=C(N1CCC(c2nn[n-]n2)CC1)C1(c2cccc(F)c2)CC1 ZINC000737991245 699749575 /nfs/dbraw/zinc/74/95/75/699749575.db2.gz CVZQAESLINXRSH-UHFFFAOYSA-N -1 1 315.352 1.777 20 0 DDADMM O=C1C[C@H](Nc2cccc(-c3nnn[n-]3)n2)CN1c1ccccc1 ZINC000738301693 699756655 /nfs/dbraw/zinc/75/66/55/699756655.db2.gz ROPJWQULYFWHQF-NSHDSACASA-N -1 1 321.344 1.479 20 0 DDADMM O=C1C[C@H](Nc2cccc(-c3nn[n-]n3)n2)CN1c1ccccc1 ZINC000738301693 699756656 /nfs/dbraw/zinc/75/66/56/699756656.db2.gz ROPJWQULYFWHQF-NSHDSACASA-N -1 1 321.344 1.479 20 0 DDADMM C[C@@H](C(=O)N1CCCc2ccccc21)N(C)CCCNC(=O)[O-] ZINC000738619591 699763889 /nfs/dbraw/zinc/76/38/89/699763889.db2.gz MIEYPNZRYHYWCT-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM CCOC(=O)[C@@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CCO1 ZINC000741288909 699828609 /nfs/dbraw/zinc/82/86/09/699828609.db2.gz BFHXICWPDIMYFC-LBPRGKRZSA-N -1 1 313.737 1.450 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)c2ncccn2)CC1 ZINC000743295818 699905965 /nfs/dbraw/zinc/90/59/65/699905965.db2.gz RPCBAGILGVTHAK-UHFFFAOYSA-N -1 1 311.341 1.917 20 0 DDADMM Cn1cc([C@H](O)CNC(=O)c2cc3ccccc3cc2[O-])cn1 ZINC000743648520 699922116 /nfs/dbraw/zinc/92/21/16/699922116.db2.gz MYSIZBZAUIMPRK-MRXNPFEDSA-N -1 1 311.341 1.742 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cn(C)nc2C(F)F)[n-]1 ZINC000796346045 699928243 /nfs/dbraw/zinc/92/82/43/699928243.db2.gz PNLQIKLTEFIZQU-UHFFFAOYSA-N -1 1 313.260 1.829 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N(C)C2CCCCC2)[n-]1 ZINC000796366465 699929430 /nfs/dbraw/zinc/92/94/30/699929430.db2.gz QUIAHKSSTYDQAK-UHFFFAOYSA-N -1 1 322.361 1.749 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cnn(Cc2ccccc2)c1Cl ZINC000796381981 699930514 /nfs/dbraw/zinc/93/05/14/699930514.db2.gz YABZFFDVFWUPKE-UHFFFAOYSA-N -1 1 317.740 1.028 20 0 DDADMM O=C(COC(=O)c1ncccc1Cl)[N-]C(=O)c1ccccc1 ZINC000796589380 699941710 /nfs/dbraw/zinc/94/17/10/699941710.db2.gz LFISSSYNVCZEOF-UHFFFAOYSA-N -1 1 318.716 1.848 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H]2CC(=O)N(C)C2)c1 ZINC000744696078 699958298 /nfs/dbraw/zinc/95/82/98/699958298.db2.gz VKZMVHPUALNWNQ-CYBMUJFWSA-N -1 1 305.330 1.159 20 0 DDADMM COC[C@H](C)OC(=O)c1nn(-c2ccc(OC)cc2)cc1[O-] ZINC000801365845 700300830 /nfs/dbraw/zinc/30/08/30/700300830.db2.gz XCRWFBNWKBBZAF-JTQLQIEISA-N -1 1 306.318 1.778 20 0 DDADMM CC(=O)Nc1ccc(C)c(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000801704990 700333156 /nfs/dbraw/zinc/33/31/56/700333156.db2.gz YAGWVOXGCMXUBP-UHFFFAOYSA-N -1 1 323.356 1.861 20 0 DDADMM CCN1CC[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1=O ZINC000801713086 700333804 /nfs/dbraw/zinc/33/38/04/700333804.db2.gz CDLWSARULAGQTM-NSHDSACASA-N -1 1 308.359 1.332 20 0 DDADMM CCCCC[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OC ZINC000751990342 700334015 /nfs/dbraw/zinc/33/40/15/700334015.db2.gz YAIWVHZJXPBBDD-GFCCVEGCSA-N -1 1 318.395 1.524 20 0 DDADMM COCC(C)(C)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000756383351 700618719 /nfs/dbraw/zinc/61/87/19/700618719.db2.gz OAYGNVKCOLVZDH-UHFFFAOYSA-N -1 1 323.345 1.167 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Cl)nc(Cl)c2)nc1=O ZINC000765475313 701014640 /nfs/dbraw/zinc/01/46/40/701014640.db2.gz WKZKVHDVDCVNHR-UHFFFAOYSA-N -1 1 303.105 1.167 20 0 DDADMM Cn1[n-]c(COC(=O)c2coc(-c3ccccc3)n2)nc1=O ZINC000765481956 701015259 /nfs/dbraw/zinc/01/52/59/701015259.db2.gz XXPLEADMISNJFR-UHFFFAOYSA-N -1 1 300.274 1.120 20 0 DDADMM O=C(CCc1nc(-c2ccoc2)no1)[N-]OCC(F)(F)F ZINC000766763817 701062207 /nfs/dbraw/zinc/06/22/07/701062207.db2.gz CKFATLDZYKBPBV-UHFFFAOYSA-N -1 1 305.212 1.872 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)OCCCCF ZINC000803334579 701102703 /nfs/dbraw/zinc/10/27/03/701102703.db2.gz VJVRHPPKKLRYDT-UHFFFAOYSA-N -1 1 320.324 1.783 20 0 DDADMM CC(C)n1nccc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000767467361 701109102 /nfs/dbraw/zinc/10/91/02/701109102.db2.gz VSVLWIYNPUHLRE-UHFFFAOYSA-N -1 1 315.329 1.577 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NC(C1CCC1)C1CCC1 ZINC000804729774 701210273 /nfs/dbraw/zinc/21/02/73/701210273.db2.gz QWAJYTQWXIBEPN-UHFFFAOYSA-N -1 1 305.378 1.012 20 0 DDADMM O=C(NCC(F)(F)Br)C(=O)c1ccc([O-])cc1 ZINC000805244052 701374060 /nfs/dbraw/zinc/37/40/60/701374060.db2.gz BGAWIGLBFSFNGK-UHFFFAOYSA-N -1 1 308.078 1.679 20 0 DDADMM O=C(OCc1noc(-c2ccc(F)cc2F)n1)c1cn[n-]n1 ZINC000805603051 701397289 /nfs/dbraw/zinc/39/72/89/701397289.db2.gz DEHPSCAHFAUKTD-UHFFFAOYSA-N -1 1 307.216 1.490 20 0 DDADMM Cc1c(Cl)cccc1NC(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805603374 701397624 /nfs/dbraw/zinc/39/76/24/701397624.db2.gz ILLFJVMLBCVXGB-QMMMGPOBSA-N -1 1 308.725 1.951 20 0 DDADMM C[C@@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccccc1Cl ZINC000805604618 701398036 /nfs/dbraw/zinc/39/80/36/701398036.db2.gz DBCNOSNQHAALCF-MRVPVSSYSA-N -1 1 308.725 1.492 20 0 DDADMM CC(=O)c1cccc(NC(=O)[C@H](C)OC(=O)c2cn[n-]n2)c1 ZINC000805605269 701398716 /nfs/dbraw/zinc/39/87/16/701398716.db2.gz XLRFLBQHINSWQA-VIFPVBQESA-N -1 1 302.290 1.191 20 0 DDADMM COc1cc(-c2noc(COC(=O)c3cn[n-]n3)n2)ccc1C ZINC000805608451 701399971 /nfs/dbraw/zinc/39/99/71/701399971.db2.gz DXMBALXTQNJWQQ-UHFFFAOYSA-N -1 1 315.289 1.529 20 0 DDADMM C[C@@H](Cc1ccncc1)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806483122 701436925 /nfs/dbraw/zinc/43/69/25/701436925.db2.gz WEEZPIWNWKQZFW-NSHDSACASA-N -1 1 310.361 1.490 20 0 DDADMM Cc1csc([C@H](C)NC(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC000806480991 701436968 /nfs/dbraw/zinc/43/69/68/701436968.db2.gz FKEPAGSWEKOXIK-VIFPVBQESA-N -1 1 316.390 1.989 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCc3ccccc3O)ccnc1-2 ZINC000806485677 701437281 /nfs/dbraw/zinc/43/72/81/701437281.db2.gz ZDCDHTDKZVIQIH-UHFFFAOYSA-N -1 1 311.345 1.412 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3CCC[C@H](O)C3)ccnc1-2 ZINC000806488239 701437574 /nfs/dbraw/zinc/43/75/74/701437574.db2.gz KBQQIQYQBAQCNR-MNOVXSKESA-N -1 1 303.366 1.014 20 0 DDADMM O=C(NCCc1ccc(Cl)nc1)C(=O)c1ccc([O-])cc1 ZINC000807068574 701462615 /nfs/dbraw/zinc/46/26/15/701462615.db2.gz LRKHMZVUISLDQW-UHFFFAOYSA-N -1 1 304.733 1.982 20 0 DDADMM O=C(C(=O)N1CC(O)(c2ccc(F)cc2)C1)c1ccc([O-])cc1 ZINC000807434023 701476649 /nfs/dbraw/zinc/47/66/49/701476649.db2.gz TWTWABFYOILZBL-UHFFFAOYSA-N -1 1 315.300 1.444 20 0 DDADMM CN(Cc1nncn1C)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000808215128 701508407 /nfs/dbraw/zinc/50/84/07/701508407.db2.gz IALURTJSOYJFSL-UHFFFAOYSA-N -1 1 316.394 1.221 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2nn(C)cc2C(F)F)sn1 ZINC000867793362 701752413 /nfs/dbraw/zinc/75/24/13/701752413.db2.gz GDHIZQBOABAAGR-UHFFFAOYSA-N -1 1 322.362 1.601 20 0 DDADMM CC(C)[C@H](CCc1ccccc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000815033554 701786526 /nfs/dbraw/zinc/78/65/26/701786526.db2.gz LETKTNDUJQFVLS-ZDUSSCGKSA-N -1 1 303.362 1.474 20 0 DDADMM CNC(=O)CCOC1CCN(Cc2cc(C(=O)[O-])co2)CC1 ZINC000830987027 706615886 /nfs/dbraw/zinc/61/58/86/706615886.db2.gz DCXWISAVTPSPRF-UHFFFAOYSA-N -1 1 310.350 1.095 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC2(COC)CCC2)co1 ZINC000811059665 701905215 /nfs/dbraw/zinc/90/52/15/701905215.db2.gz DKVNJAQCRUQUDC-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM CCC(CC)N1C[C@@H](C(=O)[N-]OCCCC(=O)OC)CC1=O ZINC000811559600 702004843 /nfs/dbraw/zinc/00/48/43/702004843.db2.gz GVLRPWULZVHONS-NSHDSACASA-N -1 1 314.382 1.025 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H](OC)C(C)C)o1 ZINC000816355139 702070546 /nfs/dbraw/zinc/07/05/46/702070546.db2.gz AQYIDXKOWRKHPF-JTQLQIEISA-N -1 1 305.352 1.016 20 0 DDADMM CCOC1CC(CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000831129781 706640414 /nfs/dbraw/zinc/64/04/14/706640414.db2.gz IKTAGBNVSFMCJS-UHFFFAOYSA-N -1 1 322.327 1.329 20 0 DDADMM O=C([O-])[C@H]1C[C@@H](NC(=O)[C@H]2CCCN2Cc2ccccc2)C1 ZINC000868443247 702130693 /nfs/dbraw/zinc/13/06/93/702130693.db2.gz DKNRCDCYTXZFLU-QLFBSQMISA-N -1 1 302.374 1.630 20 0 DDADMM COc1ccsc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831144016 706643547 /nfs/dbraw/zinc/64/35/47/706643547.db2.gz BMKUTCIVYQKOBQ-UHFFFAOYSA-N -1 1 322.308 1.507 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cccc2Cl)C[C@@H](C)S1(=O)=O ZINC000812373557 702171557 /nfs/dbraw/zinc/17/15/57/702171557.db2.gz VQRYGMAGDOCWLJ-RKDXNWHRSA-N -1 1 317.794 1.693 20 0 DDADMM O=C([N-]CC1CN(C(=O)c2cccc(O)c2)C1)C(F)(F)F ZINC000831162122 706646831 /nfs/dbraw/zinc/64/68/31/706646831.db2.gz BTLJUEOEEDMQMB-UHFFFAOYSA-N -1 1 302.252 1.143 20 0 DDADMM CC(C)OCCO[N-]C(=O)C[C@@H]1Oc2ccccc2NC1=O ZINC000812805179 702243858 /nfs/dbraw/zinc/24/38/58/702243858.db2.gz ZGTJQXDOZHJKRH-ZDUSSCGKSA-N -1 1 308.334 1.249 20 0 DDADMM CSc1cncc(NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC000866289556 706665884 /nfs/dbraw/zinc/66/58/84/706665884.db2.gz GRCVRTQLMNFMQP-UHFFFAOYSA-N -1 1 305.363 1.379 20 0 DDADMM Cn1nncc1CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000813795743 702418120 /nfs/dbraw/zinc/41/81/20/702418120.db2.gz SFKHULJCPZACIC-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1Cc2ccccc2[C@@H](C)C1 ZINC000841376586 702428733 /nfs/dbraw/zinc/42/87/33/702428733.db2.gz UWDBGLZPWOYGDR-VIFPVBQESA-N -1 1 315.329 1.656 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]Cc2ccncc2)c1C(F)(F)F ZINC000866376795 706684845 /nfs/dbraw/zinc/68/48/45/706684845.db2.gz BZHSSWYKFWMCAB-UHFFFAOYSA-N -1 1 320.296 1.312 20 0 DDADMM CC[C@H](OC(=O)c1cn[n-]n1)C(=O)N(C)c1ccc(C)cc1 ZINC000869516958 702632685 /nfs/dbraw/zinc/63/26/85/702632685.db2.gz BMYGIKOLPIQWNN-ZDUSSCGKSA-N -1 1 302.334 1.712 20 0 DDADMM CC(C)(C)CC(=O)[N-]OCC(=O)Nc1ccc2c(c1)OCCO2 ZINC000842059694 702665183 /nfs/dbraw/zinc/66/51/83/702665183.db2.gz BOTFJTKZANPMKM-UHFFFAOYSA-N -1 1 322.361 1.880 20 0 DDADMM O=C([O-])CCCC(=O)N[C@@H](Cc1nc[nH]n1)c1ccccc1 ZINC000843331347 702861199 /nfs/dbraw/zinc/86/11/99/702861199.db2.gz OECSDEHYVWEJFF-LBPRGKRZSA-N -1 1 302.334 1.460 20 0 DDADMM O=C(OC[C@@H]1CCC(=O)N1)c1ccc(Br)c([O-])c1 ZINC000845136018 703115092 /nfs/dbraw/zinc/11/50/92/703115092.db2.gz BGFZUEKUNQFBPQ-QMMMGPOBSA-N -1 1 314.135 1.590 20 0 DDADMM O=S(=O)(C[C@H]1CCC1(F)F)[N-]Cc1nc(C2CC2)no1 ZINC000866549562 706727243 /nfs/dbraw/zinc/72/72/43/706727243.db2.gz VIANQQBPFBTSBR-MRVPVSSYSA-N -1 1 307.322 1.412 20 0 DDADMM CCN(C(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H]1CCCOC1 ZINC000846444931 703277337 /nfs/dbraw/zinc/27/73/37/703277337.db2.gz HUTAFSORMUQISJ-LLVKDONJSA-N -1 1 306.391 1.659 20 0 DDADMM C[C@H](CCO)N(C)C(=O)c1ccc(Br)c([O-])c1 ZINC000846602316 703302251 /nfs/dbraw/zinc/30/22/51/703302251.db2.gz RFWSYUIVPYEZBW-MRVPVSSYSA-N -1 1 302.168 1.998 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)c2c(C)n[nH]c2C)n1 ZINC000846651728 703308687 /nfs/dbraw/zinc/30/86/87/703308687.db2.gz YZKGFZNVENHIJL-SSDOTTSWSA-N -1 1 305.338 1.669 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)C(=O)c1n[nH]c(C)c1Br ZINC000846713363 703323061 /nfs/dbraw/zinc/32/30/61/703323061.db2.gz FCIFSTQILYFFNA-LURJTMIESA-N -1 1 304.144 1.416 20 0 DDADMM CC(C)([N-]C(=O)C(F)(F)F)C(=O)OCc1cc(C2CC2)[nH]n1 ZINC000848011593 703494459 /nfs/dbraw/zinc/49/44/59/703494459.db2.gz PMEOJCUVPFHBNK-UHFFFAOYSA-N -1 1 319.283 1.787 20 0 DDADMM CCn1c(C)nnc1N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848466620 703553310 /nfs/dbraw/zinc/55/33/10/703553310.db2.gz RSYLXCWGRQSMTP-PSASIEDQSA-N -1 1 319.331 1.642 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnnn1C)C1CCCCC1 ZINC000849336398 703636708 /nfs/dbraw/zinc/63/67/08/703636708.db2.gz AIXKEZCRYYNTOL-LLVKDONJSA-N -1 1 302.400 1.152 20 0 DDADMM CON(C)CC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000849408942 703644944 /nfs/dbraw/zinc/64/49/44/703644944.db2.gz IKTYIPWFHRRJKR-UHFFFAOYSA-N -1 1 302.327 1.343 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCC[C@H](C)O ZINC000849718512 703671486 /nfs/dbraw/zinc/67/14/86/703671486.db2.gz UAVVLCQVULVWFU-JTQLQIEISA-N -1 1 301.364 1.376 20 0 DDADMM CCc1nc(SC[C@@H]2CC(C(=O)OC)=NO2)[n-]c(=O)c1C ZINC000849921933 703689580 /nfs/dbraw/zinc/68/95/80/703689580.db2.gz RNIKTKOPCZWYPQ-QMMMGPOBSA-N -1 1 311.363 1.463 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN2C(=O)OC[C@H]2C1 ZINC000866683086 706763714 /nfs/dbraw/zinc/76/37/14/706763714.db2.gz OQEANAOISJQBMO-SNVBAGLBSA-N -1 1 310.737 1.251 20 0 DDADMM O=C([N-]S(=O)(=O)CC(F)F)c1[nH]nc2c1CCCCC2 ZINC000851362517 703795326 /nfs/dbraw/zinc/79/53/26/703795326.db2.gz DQTLGGCMARSNRA-UHFFFAOYSA-N -1 1 307.322 1.003 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC[C@H]2CCSC2)o1 ZINC000869608419 703819273 /nfs/dbraw/zinc/81/92/73/703819273.db2.gz YGIDLRZXVNGPFS-VIFPVBQESA-N -1 1 318.420 1.061 20 0 DDADMM CC1CCC(O)(C[N-]S(=O)(=O)c2c[nH]nc2Cl)CC1 ZINC000851893915 703879774 /nfs/dbraw/zinc/87/97/74/703879774.db2.gz MXIMTXDXCAIZIL-UHFFFAOYSA-N -1 1 307.803 1.283 20 0 DDADMM CCCC[C@H](C(=O)OC)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879766123 706786530 /nfs/dbraw/zinc/78/65/30/706786530.db2.gz VGTVRCTUWSFYHY-MFKMUULPSA-N -1 1 324.343 1.861 20 0 DDADMM C[C@@H]1CCN(Cc2cccnc2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852757935 704117037 /nfs/dbraw/zinc/11/70/37/704117037.db2.gz RBLGGUVCJJCQSD-PWSUYJOCSA-N -1 1 301.312 1.971 20 0 DDADMM CCC(C)(C)CCS(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C ZINC000870813165 704136007 /nfs/dbraw/zinc/13/60/07/704136007.db2.gz KHXSMPOPEPSQEO-GFCCVEGCSA-N -1 1 306.472 1.845 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCc2ccccc21 ZINC000866767083 706794194 /nfs/dbraw/zinc/79/41/94/706794194.db2.gz IXXWCMYRSNPOHO-HXPMCKFVSA-N -1 1 316.448 1.669 20 0 DDADMM CCOC(=O)Cn1cnc([N-]C(=O)c2scnc2Cl)n1 ZINC000819738470 704166726 /nfs/dbraw/zinc/16/67/26/704166726.db2.gz JMHKXVOPJISBCF-UHFFFAOYSA-N -1 1 315.742 1.203 20 0 DDADMM O=C(c1ccc2cccnn21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000819969958 704194596 /nfs/dbraw/zinc/19/45/96/704194596.db2.gz IUGGDWBJVHQUFC-JTQLQIEISA-N -1 1 313.317 1.443 20 0 DDADMM Cn1nc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1Cl ZINC000820073419 704216330 /nfs/dbraw/zinc/21/63/30/704216330.db2.gz FKGODULUHMTOEQ-UHFFFAOYSA-N -1 1 311.729 1.182 20 0 DDADMM CSc1nc(C)c(CCC(=O)O[C@@H](C)c2nc[nH]n2)c(=O)[n-]1 ZINC000853216804 704218025 /nfs/dbraw/zinc/21/80/25/704218025.db2.gz OBDXNOQBLFJADT-QMMMGPOBSA-N -1 1 323.378 1.568 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCNC(=O)OCC(F)(F)F ZINC000820402537 704272845 /nfs/dbraw/zinc/27/28/45/704272845.db2.gz LNNLVLPKUSPUPY-UHFFFAOYSA-N -1 1 310.232 1.041 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CCc1ccccc1F ZINC000820779314 704329826 /nfs/dbraw/zinc/32/98/26/704329826.db2.gz DGFAURWSMXXEPZ-UHFFFAOYSA-N -1 1 320.411 1.464 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCCOC(F)F ZINC000853893640 704331678 /nfs/dbraw/zinc/33/16/78/704331678.db2.gz CGDCSETWFBXIGZ-UHFFFAOYSA-N -1 1 323.317 1.844 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCO[C@@H](C(C)C)C1 ZINC000867053152 706883096 /nfs/dbraw/zinc/88/30/96/706883096.db2.gz ZYRJAHUITZDFMH-PISHQANHSA-N -1 1 312.457 1.142 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC2(CC1)OCCO2 ZINC000820904216 704344151 /nfs/dbraw/zinc/34/41/51/704344151.db2.gz FTKPOUMIWVZMHE-UHFFFAOYSA-N -1 1 314.341 1.920 20 0 DDADMM C[C@@H]1CCN(C(=O)NOCC2CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000854091556 704355485 /nfs/dbraw/zinc/35/54/85/704355485.db2.gz HPTXNTUJTFKSQH-SCZZXKLOSA-N -1 1 323.315 1.427 20 0 DDADMM CCC(=O)N1CC[C@H](C(=O)n2[n-]c(=O)c3ccc(OC)cc32)C1 ZINC000854505794 704409644 /nfs/dbraw/zinc/40/96/44/704409644.db2.gz JJIMSBOTKDDVMA-JTQLQIEISA-N -1 1 317.345 1.649 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H](C)c2ccccn2)o1 ZINC000821495096 704417311 /nfs/dbraw/zinc/41/73/11/704417311.db2.gz ONSPMTTVGVWGOA-JTQLQIEISA-N -1 1 324.358 1.543 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000854634352 704430349 /nfs/dbraw/zinc/43/03/49/704430349.db2.gz AOFIDVYYDDWNNC-VIFPVBQESA-N -1 1 318.308 1.554 20 0 DDADMM CCN(C)[C@H](C(=O)[N-]OCCN1CCCC1=O)c1ccccc1 ZINC000856038741 704510868 /nfs/dbraw/zinc/51/08/68/704510868.db2.gz HSEKVYIBRFJDPA-INIZCTEOSA-N -1 1 319.405 1.350 20 0 DDADMM C[C@@H]1CCN(C(=O)C(=O)C(C)(C)C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418806 704528160 /nfs/dbraw/zinc/52/81/60/704528160.db2.gz NQMOTVFIEXRODQ-BDAKNGLRSA-N -1 1 322.327 1.517 20 0 DDADMM CCO[C@H](C)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856419298 704528290 /nfs/dbraw/zinc/52/82/90/704528290.db2.gz KJKNBRXIUBYGIW-BBBLOLIVSA-N -1 1 310.316 1.327 20 0 DDADMM O=S(=O)([N-]CC[C@@H]1CC1(Cl)Cl)c1c[nH]nc1Cl ZINC000856753810 704537171 /nfs/dbraw/zinc/53/71/71/704537171.db2.gz YEFZQFHQIGXKOR-RXMQYKEDSA-N -1 1 318.613 1.925 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](CCO)c2cccs2)sn1 ZINC000866860392 706828148 /nfs/dbraw/zinc/82/81/48/706828148.db2.gz SOJOKRKRGHTIAN-SECBINFHSA-N -1 1 318.445 1.915 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)COC3CCCCC3)nc2n1 ZINC000857683303 704613326 /nfs/dbraw/zinc/61/33/26/704613326.db2.gz AFBIMVKKKLYVAB-UHFFFAOYSA-N -1 1 319.365 1.268 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)CC)C12CCC2 ZINC000866869690 706830429 /nfs/dbraw/zinc/83/04/29/706830429.db2.gz MFURABGBQWPUHC-ZDCWMAILSA-N -1 1 324.468 1.286 20 0 DDADMM O=c1nc(N2CCN(Cc3cccnc3)CC2)cc(Cl)[n-]1 ZINC000858332149 704696235 /nfs/dbraw/zinc/69/62/35/704696235.db2.gz PAVKDKGMFONFIL-UHFFFAOYSA-N -1 1 305.769 1.553 20 0 DDADMM CS(=O)(=O)CC1(CCNc2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858621538 704735921 /nfs/dbraw/zinc/73/59/21/704735921.db2.gz URTYAGJLLVDROR-UHFFFAOYSA-N -1 1 305.787 1.462 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)c1ccc(C)c(F)c1F ZINC000859187040 704815036 /nfs/dbraw/zinc/81/50/36/704815036.db2.gz GHZFRVFTUIZBEK-ZETCQYMHSA-N -1 1 311.281 1.063 20 0 DDADMM CCN(C)C(=O)C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000822094432 704875240 /nfs/dbraw/zinc/87/52/40/704875240.db2.gz GAGNZDMGEZGABM-UHFFFAOYSA-N -1 1 306.259 1.651 20 0 DDADMM O=S(=O)([N-]C[C@H]1COCO1)c1cc(Cl)sc1Cl ZINC000867398836 706987466 /nfs/dbraw/zinc/98/74/66/706987466.db2.gz IIZDZOHODWGRKO-YFKPBYRVSA-N -1 1 318.203 1.706 20 0 DDADMM CC[C@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867449452 707004962 /nfs/dbraw/zinc/00/49/62/707004962.db2.gz VDOHYCPUXISRLR-IUCAKERBSA-N -1 1 308.350 1.435 20 0 DDADMM O=S(=O)([N-][C@H]1COC2(CCC2)C1)c1ccc(F)nc1F ZINC000867513088 707023874 /nfs/dbraw/zinc/02/38/74/707023874.db2.gz WJCYGCADQUTNEY-MRVPVSSYSA-N -1 1 304.318 1.350 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-]C[C@]12OCCC[C@H]1C2(F)F ZINC000867515902 707024956 /nfs/dbraw/zinc/02/49/56/707024956.db2.gz PXIMXZXBULDBOB-ZJUUUORDSA-N -1 1 308.306 1.076 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)C1CCCC1 ZINC000867529337 707030310 /nfs/dbraw/zinc/03/03/10/707030310.db2.gz KMBJSQMWEVEBJQ-SNVBAGLBSA-N -1 1 320.361 1.843 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)c1ccsc1 ZINC000874387106 705031840 /nfs/dbraw/zinc/03/18/40/705031840.db2.gz MSHUPQRTBBNZNZ-GRYCIOLGSA-N -1 1 311.407 1.513 20 0 DDADMM O=C(CCc1ccsc1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822982610 705101418 /nfs/dbraw/zinc/10/14/18/705101418.db2.gz FCRFRDCGPQTSCH-UHFFFAOYSA-N -1 1 306.376 1.956 20 0 DDADMM O=C(CCc1ccsc1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822982610 705101421 /nfs/dbraw/zinc/10/14/21/705101421.db2.gz FCRFRDCGPQTSCH-UHFFFAOYSA-N -1 1 306.376 1.956 20 0 DDADMM CC(C)c1nocc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000860227881 705134790 /nfs/dbraw/zinc/13/47/90/705134790.db2.gz LFMZNTLEOSNPMX-UHFFFAOYSA-N -1 1 316.313 1.911 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](F)c2ccccc2)n[n-]1 ZINC000880665325 707051844 /nfs/dbraw/zinc/05/18/44/707051844.db2.gz CEIWUDKDRHINPQ-GXSJLCMTSA-N -1 1 320.324 1.869 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](F)c2ccccc2)[n-]1 ZINC000880665325 707051848 /nfs/dbraw/zinc/05/18/48/707051848.db2.gz CEIWUDKDRHINPQ-GXSJLCMTSA-N -1 1 320.324 1.869 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](F)c2ccccc2)n1 ZINC000880665325 707051849 /nfs/dbraw/zinc/05/18/49/707051849.db2.gz CEIWUDKDRHINPQ-GXSJLCMTSA-N -1 1 320.324 1.869 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2cccs2)n[n-]1 ZINC000880667996 707052875 /nfs/dbraw/zinc/05/28/75/707052875.db2.gz OWODWXGEXUSIMI-MRVPVSSYSA-N -1 1 308.363 1.463 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2cccs2)[n-]1 ZINC000880667996 707052878 /nfs/dbraw/zinc/05/28/78/707052878.db2.gz OWODWXGEXUSIMI-MRVPVSSYSA-N -1 1 308.363 1.463 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2cccs2)n1 ZINC000880667996 707052880 /nfs/dbraw/zinc/05/28/80/707052880.db2.gz OWODWXGEXUSIMI-MRVPVSSYSA-N -1 1 308.363 1.463 20 0 DDADMM Cc1nc([N-]C(=O)c2csc(Br)n2)nn1C ZINC000823112710 705143920 /nfs/dbraw/zinc/14/39/20/705143920.db2.gz QXBRRUFXDVRHRZ-UHFFFAOYSA-N -1 1 302.157 1.595 20 0 DDADMM COCCOc1cc2c(cc1C=C1SC(=O)[N-]C1=O)OCO2 ZINC000874927915 705230235 /nfs/dbraw/zinc/23/02/35/705230235.db2.gz MPYCRBZDXQCYSF-XGICHPGQSA-N -1 1 323.326 1.764 20 0 DDADMM CC1(C)C[C@@H]1CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875276789 705338220 /nfs/dbraw/zinc/33/82/20/705338220.db2.gz UXBYDPBAWYXIAG-SECBINFHSA-N -1 1 307.316 1.352 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000861025329 705352650 /nfs/dbraw/zinc/35/26/50/705352650.db2.gz HIAZIVRNXABVDC-XHSYRHOGSA-N -1 1 303.366 1.275 20 0 DDADMM CC[C@@H](C)CCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875728387 705490737 /nfs/dbraw/zinc/49/07/37/705490737.db2.gz VKUDYGKHJDMJKM-SECBINFHSA-N -1 1 309.332 1.743 20 0 DDADMM CCCN(C(=O)c1ccc(-c2nnc[nH]2)cc1)[C@@H](C)C(=O)[O-] ZINC000911715396 710946374 /nfs/dbraw/zinc/94/63/74/710946374.db2.gz WXTIYDREBKGLBV-JTQLQIEISA-N -1 1 302.334 1.797 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cnc(C2(C)OCCO2)s1 ZINC000861821741 705577845 /nfs/dbraw/zinc/57/78/45/705577845.db2.gz XYQVLTMHXUTJKB-UHFFFAOYSA-N -1 1 310.335 1.352 20 0 DDADMM CCCc1cc(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)n[nH]1 ZINC000825348258 705652064 /nfs/dbraw/zinc/65/20/64/705652064.db2.gz FEAWZASGFYYWIN-UHFFFAOYSA-N -1 1 316.325 1.020 20 0 DDADMM CCCc1cc(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)n[nH]1 ZINC000825348258 705652067 /nfs/dbraw/zinc/65/20/67/705652067.db2.gz FEAWZASGFYYWIN-UHFFFAOYSA-N -1 1 316.325 1.020 20 0 DDADMM CC(C)n1cnc([N-]C(=O)c2cnc(C3(C)OCCO3)s2)n1 ZINC000862120871 705658003 /nfs/dbraw/zinc/65/80/03/705658003.db2.gz ATSDXLRNGJYAII-UHFFFAOYSA-N -1 1 323.378 1.787 20 0 DDADMM CCN(CC1CCC1)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876300224 705682911 /nfs/dbraw/zinc/68/29/11/705682911.db2.gz NKKHVDLXSYLRRN-UHFFFAOYSA-N -1 1 320.393 1.587 20 0 DDADMM C[C@]1(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC=CCC1 ZINC000876301025 705683478 /nfs/dbraw/zinc/68/34/78/705683478.db2.gz POVHLMSXBMDKGQ-INIZCTEOSA-N -1 1 318.377 1.553 20 0 DDADMM COC(=O)CCCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000825575615 705695651 /nfs/dbraw/zinc/69/56/51/705695651.db2.gz QXUYEYUDDMRMKY-UHFFFAOYSA-N -1 1 308.363 1.091 20 0 DDADMM CCN(CCNC(=O)OC(C)(C)C)C(=O)c1ncccc1[O-] ZINC000862707560 705782192 /nfs/dbraw/zinc/78/21/92/705782192.db2.gz BQIARCVCFXDDQX-UHFFFAOYSA-N -1 1 309.366 1.774 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H]3CCCC[C@@H]3C2)c1-c1nnn[n-]1 ZINC000826343612 705792669 /nfs/dbraw/zinc/79/26/69/705792669.db2.gz HVDHWOHIKJRDGJ-PHIMTYICSA-N -1 1 316.365 1.354 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H]3CCCC[C@@H]3C2)c1-c1nn[n-]n1 ZINC000826343612 705792673 /nfs/dbraw/zinc/79/26/73/705792673.db2.gz HVDHWOHIKJRDGJ-PHIMTYICSA-N -1 1 316.365 1.354 20 0 DDADMM CC[C@@H]1CN2CCCC[C@H]2CN1C(=O)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000826692087 705847649 /nfs/dbraw/zinc/84/76/49/705847649.db2.gz ZVRMEMAHYWIYGC-KFWWJZLASA-N -1 1 323.437 1.852 20 0 DDADMM CC(C)c1cccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000826833808 705880928 /nfs/dbraw/zinc/88/09/28/705880928.db2.gz IHYYNAXDXREXAF-CYBMUJFWSA-N -1 1 301.350 1.537 20 0 DDADMM O=S(=O)(CCOCC1CCC1)[N-]Cc1nc(C2CC2)no1 ZINC000827019277 705913374 /nfs/dbraw/zinc/91/33/74/705913374.db2.gz MLMIZYSOYGMBOJ-UHFFFAOYSA-N -1 1 315.395 1.183 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cncc([O-])c1)NC(=O)OC(C)(C)C ZINC000863688339 705987154 /nfs/dbraw/zinc/98/71/54/705987154.db2.gz PTXCYDZONSDXFQ-JTQLQIEISA-N -1 1 309.366 1.772 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@H]1CCCCC12OCCO2)C(C)C ZINC000827369928 705987190 /nfs/dbraw/zinc/98/71/90/705987190.db2.gz SCQZVLKKZRCPTF-OLZOCXBDSA-N -1 1 321.439 1.263 20 0 DDADMM CCOC(=O)Cn1nc(C)cc1NC(=O)c1ccc([O-])c(F)c1 ZINC000863947706 706043591 /nfs/dbraw/zinc/04/35/91/706043591.db2.gz YDYJNPODYLELPW-UHFFFAOYSA-N -1 1 321.308 1.852 20 0 DDADMM CCCC[C@@](C)(F)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000864159542 706084771 /nfs/dbraw/zinc/08/47/71/706084771.db2.gz WLKNERJLNNBNJR-OAHLLOKOSA-N -1 1 302.390 1.912 20 0 DDADMM CC1(C)CC[C@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)OC1 ZINC000881511879 707282569 /nfs/dbraw/zinc/28/25/69/707282569.db2.gz MCZDTMUPMGVVDD-LLVKDONJSA-N -1 1 320.418 1.953 20 0 DDADMM COC[C@@H](C)[N-]S(=O)(=O)N=[S@](C)(=O)C1CCCCC1 ZINC000881735746 707343756 /nfs/dbraw/zinc/34/37/56/707343756.db2.gz QHDAQCAAPCYQJB-MLCYQJTMSA-N -1 1 312.457 1.286 20 0 DDADMM CCC(C)(C)CCS(=O)(=O)NN=c1nc(OC)cc(C)[n-]1 ZINC000872357057 707389208 /nfs/dbraw/zinc/38/92/08/707389208.db2.gz OQZUAQQRHLPDJW-UHFFFAOYSA-N -1 1 316.427 1.866 20 0 DDADMM O=C(c1ccc(I)cc1[O-])N1CCC1 ZINC000864608388 706211330 /nfs/dbraw/zinc/21/13/30/706211330.db2.gz NAKAXEIWAKLOJO-UHFFFAOYSA-N -1 1 303.099 1.843 20 0 DDADMM CC(C)OCCC[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872430407 707406525 /nfs/dbraw/zinc/40/65/25/707406525.db2.gz DZBPWWYJHRIKOV-GOSISDBHSA-N -1 1 314.473 1.532 20 0 DDADMM C[C@H]1CCC[C@@H](C2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000829132384 706291744 /nfs/dbraw/zinc/29/17/44/706291744.db2.gz YGRBDNYEAFJDNC-QWHCGFSZSA-N -1 1 319.405 1.211 20 0 DDADMM CO[C@@]1(C)C[C@@H]([N@@H+](C)Cc2nc3c(c(=O)[nH]2)COCC3)C1(C)C ZINC000878153770 706307729 /nfs/dbraw/zinc/30/77/29/706307729.db2.gz QQYJDMWOXHTVKV-DYVFJYSZSA-N -1 1 321.421 1.890 20 0 DDADMM O=c1[n-]c(CN(CCCO)c2ccccc2)nc2c1COCC2 ZINC000878181168 706317731 /nfs/dbraw/zinc/31/77/31/706317731.db2.gz NCFACXYKGQWWKW-UHFFFAOYSA-N -1 1 315.373 1.644 20 0 DDADMM O=c1[n-]nc([C@H]2CCCN(Cc3cccc4c3OCCO4)C2)o1 ZINC000829426360 706331709 /nfs/dbraw/zinc/33/17/09/706331709.db2.gz LLNHUKKMWBTGFB-LBPRGKRZSA-N -1 1 317.345 1.926 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C2OCCO2)cc1)c1nn[n-]n1 ZINC000829801485 706398948 /nfs/dbraw/zinc/39/89/48/706398948.db2.gz QIXVNJGUQSVCNB-LBPRGKRZSA-N -1 1 317.349 1.516 20 0 DDADMM Cc1nc(SC[C@@H](C)S(C)(=O)=O)[n-]c(=O)c1C1CC1 ZINC000865373292 706416092 /nfs/dbraw/zinc/41/60/92/706416092.db2.gz NOEQHFMXGQQARB-SSDOTTSWSA-N -1 1 302.421 1.893 20 0 DDADMM O=S(=O)([N-][C@H]1COc2ccccc2C1)c1c[nH]nc1Cl ZINC000829979375 706430029 /nfs/dbraw/zinc/43/00/29/706430029.db2.gz BQVHNHDGXTXOMX-SECBINFHSA-N -1 1 313.766 1.345 20 0 DDADMM O=c1[n-]c(CN2CCCC[C@H]2c2cc[nH]n2)nc2c1COCC2 ZINC000878601838 706441108 /nfs/dbraw/zinc/44/11/08/706441108.db2.gz OHJYDMGXDBZNRO-AWEZNQCLSA-N -1 1 315.377 1.705 20 0 DDADMM C[C@@]12COC[C@H]1C[N@@H+](Cc1nnc(-c3ccccc3O)o1)C2 ZINC000878949597 706541478 /nfs/dbraw/zinc/54/14/78/706541478.db2.gz NMSAZZFZHLVNTE-BDJLRTHQSA-N -1 1 301.346 1.911 20 0 DDADMM O=C(Cc1cccnc1Cl)NC1(c2nn[n-]n2)CCCC1 ZINC000865934823 706569162 /nfs/dbraw/zinc/56/91/62/706569162.db2.gz ACLFJJHKHROSIX-UHFFFAOYSA-N -1 1 306.757 1.376 20 0 DDADMM CCC[C@@H](OC)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830795749 706581453 /nfs/dbraw/zinc/58/14/53/706581453.db2.gz OOUBCCZGOSNFJU-SKDRFNHKSA-N -1 1 310.316 1.471 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2C[C@@H]2C2CC2)C1 ZINC000830795481 706581543 /nfs/dbraw/zinc/58/15/43/706581543.db2.gz LEKROXPUZUXDER-NRUUGDAUSA-N -1 1 304.312 1.702 20 0 DDADMM CO[C@@H](C)CCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807647 706583003 /nfs/dbraw/zinc/58/30/03/706583003.db2.gz NZWLQCMBYZYZTC-CABZTGNLSA-N -1 1 310.316 1.471 20 0 DDADMM COC[C@H](C)CC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830815373 706584684 /nfs/dbraw/zinc/58/46/84/706584684.db2.gz AAXBFBAPIDGUQN-BXKDBHETSA-N -1 1 310.316 1.329 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)N=S(C)(C)=O)Sc1ccccc1 ZINC000866885698 706834703 /nfs/dbraw/zinc/83/47/03/706834703.db2.gz KKJFRMWGSRHJBH-JTQLQIEISA-N -1 1 322.477 1.729 20 0 DDADMM CCC(CC)(C[N-]S(=O)(=O)N=S(C)(C)=O)SC ZINC000866890367 706836278 /nfs/dbraw/zinc/83/62/78/706836278.db2.gz XRXFMSHPEAPUTL-UHFFFAOYSA-N -1 1 302.487 1.470 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1cccnc1Cl)c1nn[n-]n1 ZINC000832126454 706848280 /nfs/dbraw/zinc/84/82/80/706848280.db2.gz NGNJIHFSAVCFJQ-VIFPVBQESA-N -1 1 308.773 1.443 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@]1(C)CCCS1 ZINC000866955086 706855487 /nfs/dbraw/zinc/85/54/87/706855487.db2.gz OMDGUPCZXGYADG-ABKXIKBNSA-N -1 1 300.471 1.224 20 0 DDADMM CCC(C)(C)CCS(=O)(=O)[N-][C@H](CC(F)F)C(=O)OC ZINC000867027060 706875865 /nfs/dbraw/zinc/87/58/65/706875865.db2.gz GRQNKKPTKFDNJS-SECBINFHSA-N -1 1 315.382 1.929 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cc(F)cc(OC)c1 ZINC000867045029 706881291 /nfs/dbraw/zinc/88/12/91/706881291.db2.gz OLYNXKSYYBORCU-IBGZPJMESA-N -1 1 324.399 1.286 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCCS1)c1ccc(F)nc1F ZINC000867089630 706892813 /nfs/dbraw/zinc/89/28/13/706892813.db2.gz JMPQCBLLILARNB-QMMMGPOBSA-N -1 1 308.375 1.924 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C(=O)OC ZINC000867108026 706898182 /nfs/dbraw/zinc/89/81/82/706898182.db2.gz XFCJQJFXUSVZLM-QMMMGPOBSA-N -1 1 322.333 1.370 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@@H](C2CC2)C1)c1ccc(F)nc1F ZINC000867110857 706899171 /nfs/dbraw/zinc/89/91/71/706899171.db2.gz ABJURSWQORAACL-NXEZZACHSA-N -1 1 318.345 1.596 20 0 DDADMM CN(C(=O)CCCCc1c[nH]nn1)[C@H](C(=O)[O-])c1ccccc1 ZINC000909002468 712913382 /nfs/dbraw/zinc/91/33/82/712913382.db2.gz SGXNPMPRQIKEET-HNNXBMFYSA-N -1 1 316.361 1.802 20 0 DDADMM CCOC(CC[N-]S(=O)(=O)c1cc(C)ns1)OCC ZINC000867166856 706914144 /nfs/dbraw/zinc/91/41/44/706914144.db2.gz YZUGUAFBIORFII-UHFFFAOYSA-N -1 1 308.425 1.519 20 0 DDADMM CC(C)(O)[C@@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000832458552 706918266 /nfs/dbraw/zinc/91/82/66/706918266.db2.gz AMICJZQTDBLRJA-LLVKDONJSA-N -1 1 320.418 1.641 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CCOC[C@@H]1C ZINC000867264211 706941749 /nfs/dbraw/zinc/94/17/49/706941749.db2.gz ZKPBYZSMJXFCRZ-WDEREUQCSA-N -1 1 312.457 1.001 20 0 DDADMM CN(C(=O)N[C@H]1CCc2nc[nH]c2C1)C1(C(=O)[O-])CCCCC1 ZINC000909021170 712918666 /nfs/dbraw/zinc/91/86/66/712918666.db2.gz UBSXLHXLGFOGAM-NSHDSACASA-N -1 1 320.393 1.696 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc(C)c1OC ZINC000867485653 707015685 /nfs/dbraw/zinc/01/56/85/707015685.db2.gz WSMQDECBMUATHL-LJQANCHMSA-N -1 1 320.436 1.456 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1ccccc1F ZINC000867485241 707015766 /nfs/dbraw/zinc/01/57/66/707015766.db2.gz HZEJURJLFFXCDI-LLVKDONJSA-N -1 1 308.400 1.839 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2nc[nH]c2Br)[C@@H](C)O1 ZINC000867609349 707052913 /nfs/dbraw/zinc/05/29/13/707052913.db2.gz QGOFBWXXDQUYLP-QYNIQEEDSA-N -1 1 324.200 1.016 20 0 DDADMM C[C@@H](C(=O)[N-]OCC(C)(C)O)c1ccc(S(C)(=O)=O)cc1 ZINC000834621745 707094885 /nfs/dbraw/zinc/09/48/85/707094885.db2.gz JEQQYZUTMNTMAN-SNVBAGLBSA-N -1 1 315.391 1.012 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000909094943 712935979 /nfs/dbraw/zinc/93/59/79/712935979.db2.gz HVNFSZCJWWPARY-LLVKDONJSA-N -1 1 311.357 1.372 20 0 DDADMM CCOC(=O)[C@@H]1NC(=O)N(Cc2ccc([O-])c(Cl)c2)C1=O ZINC000871911869 707242674 /nfs/dbraw/zinc/24/26/74/707242674.db2.gz NFQKTKDNCBYVST-SNVBAGLBSA-N -1 1 312.709 1.029 20 0 DDADMM CCOC[C@H]1C(=O)N(Cc2ccc([O-])c(Cl)c2)C(=O)N1C ZINC000871912620 707242714 /nfs/dbraw/zinc/24/27/14/707242714.db2.gz WLORNOBOVWOFBU-NSHDSACASA-N -1 1 312.753 1.845 20 0 DDADMM CCC[C@H](COC(=O)c1coc(S(=O)(=O)[N-]C)c1)OC ZINC000835540663 707275400 /nfs/dbraw/zinc/27/54/00/707275400.db2.gz IJRTWJPPBVWDTQ-SNVBAGLBSA-N -1 1 305.352 1.160 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cc(C)cc(S(C)(=O)=O)c1 ZINC000872414442 707402395 /nfs/dbraw/zinc/40/23/95/707402395.db2.gz RRHVPBRPAQDPDB-SNVBAGLBSA-N -1 1 321.420 1.175 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CC12CCOCC2 ZINC000872496223 707446747 /nfs/dbraw/zinc/44/67/47/707446747.db2.gz SFXNUQHROHZKKZ-APBUJDDRSA-N -1 1 324.468 1.286 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1[nH]nc2c1CCC2)Cc1ccccc1 ZINC000909184562 712957216 /nfs/dbraw/zinc/95/72/16/712957216.db2.gz GEVFUXWUXDFAHP-GFCCVEGCSA-N -1 1 313.357 1.572 20 0 DDADMM CCc1nc(SCN(C)C(=O)OCCOC)[n-]c(=O)c1C ZINC000882178161 707527905 /nfs/dbraw/zinc/52/79/05/707527905.db2.gz ZWLXCKZKSVOBIF-UHFFFAOYSA-N -1 1 315.395 1.817 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@H]1CCCN1Cc1ccc(F)cc1 ZINC000836892849 707540378 /nfs/dbraw/zinc/54/03/78/707540378.db2.gz SNHKBPNLZJMILM-HZPDHXFCSA-N -1 1 324.396 1.855 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000836904847 707548365 /nfs/dbraw/zinc/54/83/65/707548365.db2.gz HAWPFKJCTWTWNB-LSDHHAIUSA-N -1 1 320.389 1.100 20 0 DDADMM C[C@H](O)C1([N-]S(=O)(=O)N=[S@](C)(=O)C2CCCCC2)CC1 ZINC000882415074 707629164 /nfs/dbraw/zinc/62/91/64/707629164.db2.gz ZANVVBVWYLXVRF-APBUJDDRSA-N -1 1 324.468 1.165 20 0 DDADMM C[C@H](Cn1cncn1)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872877702 707635240 /nfs/dbraw/zinc/63/52/40/707635240.db2.gz YRWYIKQMMOAFEL-SECBINFHSA-N -1 1 309.757 1.525 20 0 DDADMM CC1(C)CC[C@@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)OC1 ZINC000882563770 707699367 /nfs/dbraw/zinc/69/93/67/707699367.db2.gz AMOKJITVWHQKMS-VIFPVBQESA-N -1 1 320.361 1.843 20 0 DDADMM CN(C)C1(C(=O)NCc2ccc(OCCCC(=O)[O-])cc2)CC1 ZINC000909267470 712978577 /nfs/dbraw/zinc/97/85/77/712978577.db2.gz QATWAZAWGQYQNB-UHFFFAOYSA-N -1 1 320.389 1.641 20 0 DDADMM O=C(NC1CCC2(COC2)CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000882769621 707786186 /nfs/dbraw/zinc/78/61/86/707786186.db2.gz FIMFVWCPFWXTQO-UHFFFAOYSA-N -1 1 318.402 1.707 20 0 DDADMM COc1cccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1N ZINC000873489260 707872942 /nfs/dbraw/zinc/87/29/42/707872942.db2.gz DDAJBEFVNQIHBL-UHFFFAOYSA-N -1 1 320.267 1.503 20 0 DDADMM CC(C)C[C@@H](C(N)=O)N(C)C(=O)c1c(F)ccc([O-])c1F ZINC000882982566 707883715 /nfs/dbraw/zinc/88/37/15/707883715.db2.gz OHINFLQZJVDOKY-VIFPVBQESA-N -1 1 300.305 1.642 20 0 DDADMM COCC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCCC1 ZINC000838438935 707920187 /nfs/dbraw/zinc/92/01/87/707920187.db2.gz BTRLYNLKTVMNTM-UHFFFAOYSA-N -1 1 319.357 1.693 20 0 DDADMM O=C([N-]CC1CCN(C(=O)NOCC2CC2)CC1)C(F)(F)F ZINC000838609684 707952665 /nfs/dbraw/zinc/95/26/65/707952665.db2.gz KQVWZSIMGPNOSG-UHFFFAOYSA-N -1 1 323.315 1.428 20 0 DDADMM C[C@@H]1CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)C[C@@H](C)S1 ZINC000909406783 713009911 /nfs/dbraw/zinc/00/99/11/713009911.db2.gz RUABTLRHSAFHRF-IJLUTSLNSA-N -1 1 300.424 1.135 20 0 DDADMM C[C@H](c1ccccc1)[C@H](CO)[N-]S(=O)(=O)c1ccns1 ZINC000885028110 708447601 /nfs/dbraw/zinc/44/76/01/708447601.db2.gz UMIGSABIHRGMJB-PWSUYJOCSA-N -1 1 312.416 1.586 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)c1ccns1 ZINC000885040951 708453158 /nfs/dbraw/zinc/45/31/58/708453158.db2.gz OXPRLAUMUPOAMJ-SECBINFHSA-N -1 1 304.393 1.153 20 0 DDADMM CCCCC[C@H]([N-]S(=O)(=O)c1ccns1)C(=O)OC ZINC000885047647 708454807 /nfs/dbraw/zinc/45/48/07/708454807.db2.gz XTEQHFWYOXMCAV-VIFPVBQESA-N -1 1 306.409 1.543 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ccns2)CCO[C@@H]1C1CC1 ZINC000885108848 708474654 /nfs/dbraw/zinc/47/46/54/708474654.db2.gz AOTKNQCGDIGKFI-NEPJUHHUSA-N -1 1 302.421 1.627 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)c1ccns1)C1(CO)CCC1 ZINC000885200941 708492926 /nfs/dbraw/zinc/49/29/26/708492926.db2.gz MKVIXLZKKJJMIR-LLVKDONJSA-N -1 1 304.437 1.609 20 0 DDADMM CO[C@@](C)(C[N-]S(=O)(=O)c1ccns1)c1ccccc1 ZINC000885217544 708496556 /nfs/dbraw/zinc/49/65/56/708496556.db2.gz JMHKMKFDKRGFLO-ZDUSSCGKSA-N -1 1 312.416 1.983 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)c1ccc(F)cc1)c1ccns1 ZINC000885243289 708501197 /nfs/dbraw/zinc/50/11/97/708501197.db2.gz BHMMDVSGRVTKQX-JTQLQIEISA-N -1 1 316.379 1.337 20 0 DDADMM CO[C@@H]1COC[C@@H]1NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897964126 708505965 /nfs/dbraw/zinc/50/59/65/708505965.db2.gz FHJCIWFFZGIOAC-UONOGXRCSA-N -1 1 303.314 1.395 20 0 DDADMM COC(=O)C[C@@H](C[N-]S(=O)(=O)c1ccns1)C1CC1 ZINC000885304051 708515467 /nfs/dbraw/zinc/51/54/67/708515467.db2.gz LWULCUWEXQVGBG-VIFPVBQESA-N -1 1 304.393 1.011 20 0 DDADMM O=S(=O)([N-]CC1(O)CCC(F)(F)CC1)c1ccns1 ZINC000885307146 708516191 /nfs/dbraw/zinc/51/61/91/708516191.db2.gz BLSYCDJVXJFARG-UHFFFAOYSA-N -1 1 312.363 1.362 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC=C(Cl)C1 ZINC000898192820 708570268 /nfs/dbraw/zinc/57/02/68/708570268.db2.gz AVPZHNFYBBDREI-UHFFFAOYSA-N -1 1 320.780 1.949 20 0 DDADMM CC1(C)CO[C@H](CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)C1 ZINC000885628295 708587233 /nfs/dbraw/zinc/58/72/33/708587233.db2.gz YTBIWFIELMPLII-CYBMUJFWSA-N -1 1 319.357 1.691 20 0 DDADMM O=C(NCCN=S1(=O)CCCC1)c1ccc([O-])cc1F ZINC000898427722 708636826 /nfs/dbraw/zinc/63/68/26/708636826.db2.gz NRBGIQUVDSWXLI-UHFFFAOYSA-N -1 1 300.355 1.523 20 0 DDADMM COC(=O)C[C@@H](C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266733 708732328 /nfs/dbraw/zinc/73/23/28/708732328.db2.gz WZSPCCYZSKWWNG-MRVPVSSYSA-N -1 1 301.289 1.528 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCOC[C@H]1CC1CC1 ZINC000886469802 708765652 /nfs/dbraw/zinc/76/56/52/708765652.db2.gz RKIYFWNFJFXEIO-GFCCVEGCSA-N -1 1 318.402 1.659 20 0 DDADMM CC1(C)[C@@H](O)C[C@@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782748 713054977 /nfs/dbraw/zinc/05/49/77/713054977.db2.gz JIEPIVZXWHPDIC-STQMWFEESA-N -1 1 314.332 1.672 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H](CO)CC1CC1 ZINC000927782793 713054990 /nfs/dbraw/zinc/05/49/90/713054990.db2.gz CJTYNHLIXLTQSB-SNVBAGLBSA-N -1 1 314.332 1.673 20 0 DDADMM CC(C)[C@@H](CO)ONC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927783664 713055137 /nfs/dbraw/zinc/05/51/37/713055137.db2.gz BPABNWPBDDEIQA-CYBMUJFWSA-N -1 1 318.320 1.461 20 0 DDADMM CN(C(=O)[C@@](C)(O)c1cccc(C(F)(F)F)c1)c1nn[n-]n1 ZINC000912617769 713052616 /nfs/dbraw/zinc/05/26/16/713052616.db2.gz JKJKOYTZUXVIRW-NSHDSACASA-N -1 1 315.255 1.089 20 0 DDADMM C[C@@H]1CS(=O)(=O)CCCN1C(=O)c1ccc([O-])cc1F ZINC000886820305 708853013 /nfs/dbraw/zinc/85/30/13/708853013.db2.gz GQRDPNFUSHHEHG-SECBINFHSA-N -1 1 301.339 1.181 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C[C@@H]2CCC3(CCC3)O2)CC1 ZINC000887326798 709018315 /nfs/dbraw/zinc/01/83/15/709018315.db2.gz NEJAFHATMFDOTP-KGLIPLIRSA-N -1 1 324.421 1.486 20 0 DDADMM O=C(N[C@@H](CO)C[C@@H]1CCCO1)c1c(F)ccc([O-])c1F ZINC000899281952 709043306 /nfs/dbraw/zinc/04/33/06/709043306.db2.gz GKESGHSYXSPHRA-BDAKNGLRSA-N -1 1 301.289 1.330 20 0 DDADMM O=C(NC[C@@H]1CC[C@H](C2CC2)O1)c1cnc(C2CC2)[n-]c1=O ZINC000887471228 709051042 /nfs/dbraw/zinc/05/10/42/709051042.db2.gz HAVCKLGRNDAUQK-WCQYABFASA-N -1 1 303.362 1.747 20 0 DDADMM Cn1nccc1-n1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000899364342 709067797 /nfs/dbraw/zinc/06/77/97/709067797.db2.gz SCHWWXWYQKMWNN-AWEZNQCLSA-N -1 1 309.373 1.645 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)C[C@H](C(=O)[O-])c1ccc(C)cc1 ZINC000899835907 709210683 /nfs/dbraw/zinc/21/06/83/709210683.db2.gz QFYBQYYMRIDDQI-CABCVRRESA-N -1 1 304.390 1.764 20 0 DDADMM O=C(N[C@H](CCO)C1CCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000889121755 709450525 /nfs/dbraw/zinc/45/05/25/709450525.db2.gz OVEZMAPZTSYOOT-CYBMUJFWSA-N -1 1 305.378 1.731 20 0 DDADMM CCN(CC(=O)N(CCc1ccccc1)CC(=O)[O-])C1CC1 ZINC000909514609 709497577 /nfs/dbraw/zinc/49/75/77/709497577.db2.gz DTQPJNXAZMHSNJ-UHFFFAOYSA-N -1 1 304.390 1.627 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2cnc3ccccc3c2)C1 ZINC000909540735 709508103 /nfs/dbraw/zinc/50/81/03/709508103.db2.gz FIGNYXPSRQRNGL-CYBMUJFWSA-N -1 1 313.357 1.970 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(C)nc1C)c1nn[n-]n1 ZINC000912860822 713110209 /nfs/dbraw/zinc/11/02/09/713110209.db2.gz ZRSYLDWVCBAPGK-NSHDSACASA-N -1 1 306.395 1.436 20 0 DDADMM CSCC[C@H](NC(=O)c1ccoc1Cl)c1nn[n-]n1 ZINC000912862026 713110416 /nfs/dbraw/zinc/11/04/16/713110416.db2.gz HMTMEIQXUKWHMC-ZETCQYMHSA-N -1 1 301.759 1.670 20 0 DDADMM CSCC[C@H](NC(=O)CCc1cncc(C)c1)c1nn[n-]n1 ZINC000912862818 713110864 /nfs/dbraw/zinc/11/08/64/713110864.db2.gz DGIMIEIUASLFSQ-LBPRGKRZSA-N -1 1 320.422 1.446 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1CCN1C1CCCC1)c1nnn[n-]1 ZINC000912863447 713111246 /nfs/dbraw/zinc/11/12/46/713111246.db2.gz YNYDSOPJOBWEHI-NWDGAFQWSA-N -1 1 324.454 1.127 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC000909700943 709586231 /nfs/dbraw/zinc/58/62/31/709586231.db2.gz QFYITECHVOIENS-ZDUSSCGKSA-N -1 1 301.293 1.920 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)CCc1cnc[nH]1)c1ccc(F)cc1 ZINC000909705782 709588790 /nfs/dbraw/zinc/58/87/90/709588790.db2.gz YYGZTINRTCTDRO-ZDUSSCGKSA-N -1 1 305.309 1.466 20 0 DDADMM CC[C@@H](CSC)N(C)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909726786 709597667 /nfs/dbraw/zinc/59/76/67/709597667.db2.gz ZWUNDEXRXJCXHE-NEPJUHHUSA-N -1 1 302.440 1.383 20 0 DDADMM CC1CCN(CC(=O)N(CC(=O)[O-])Cc2ccc(F)cc2)CC1 ZINC000909734784 709600493 /nfs/dbraw/zinc/60/04/93/709600493.db2.gz MKFAIKFRPJAIGC-UHFFFAOYSA-N -1 1 322.380 1.971 20 0 DDADMM C[C@H]1[C@@H](C(=O)[O-])CCN1C(=O)c1cc(-c2ccn(C)c2)[nH]n1 ZINC000909811347 709633414 /nfs/dbraw/zinc/63/34/14/709633414.db2.gz UJXMNPGNYXPOLE-ONGXEEELSA-N -1 1 302.334 1.350 20 0 DDADMM COC/C(C)=C\C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786038 709635638 /nfs/dbraw/zinc/63/56/38/709635638.db2.gz JTQIFPDMPAWBJX-BRNRAETOSA-N -1 1 307.350 1.447 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H]2CCCCO2)[n-]c1=O ZINC000889790655 709637649 /nfs/dbraw/zinc/63/76/49/709637649.db2.gz UAHMHPVNWWVLPM-MNOVXSKESA-N -1 1 307.350 1.423 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccccc2O)[n-]c1=O ZINC000889793856 709638640 /nfs/dbraw/zinc/63/86/40/709638640.db2.gz DBCUGGLVAWIUHU-NSHDSACASA-N -1 1 315.329 1.874 20 0 DDADMM C[C@H]1CSCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000889924072 709677044 /nfs/dbraw/zinc/67/70/44/709677044.db2.gz MSGIGUGKPHQXEF-VIFPVBQESA-N -1 1 308.432 1.986 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)[C@H]2CCc3nc[nH]c3C2)CC12CCC2 ZINC000909936104 709694591 /nfs/dbraw/zinc/69/45/91/709694591.db2.gz QVTKPFZYMQXYQV-MGPLVRAMSA-N -1 1 321.352 1.320 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)c2cccc3nn[nH]c32)CC12CCC2 ZINC000909940624 709697390 /nfs/dbraw/zinc/69/73/90/709697390.db2.gz LGDZDBFKMROCMM-HNNXBMFYSA-N -1 1 318.308 1.377 20 0 DDADMM C[C@H]1CC[C@@](NC(=O)c2ccc(CN(C)C)nc2)(C(=O)[O-])CC1 ZINC000909952273 709705613 /nfs/dbraw/zinc/70/56/13/709705613.db2.gz HJGSHEGQQKJUBX-QASKLWRZSA-N -1 1 319.405 1.907 20 0 DDADMM CCC[C@H](C(=O)[O-])n1ccc(NC(=O)[C@H](C(C)C)N(C)C)n1 ZINC000909953549 709706043 /nfs/dbraw/zinc/70/60/43/709706043.db2.gz JRQSESWDOQWTOH-YPMHNXCESA-N -1 1 310.398 1.834 20 0 DDADMM CCOC[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000900707326 709720897 /nfs/dbraw/zinc/72/08/97/709720897.db2.gz BXXJPJNPFCMTSZ-LLVKDONJSA-N -1 1 305.378 1.948 20 0 DDADMM CN1CCC[C@H]1C(=O)N1C[C@@H](C2CCCCC2)C[C@H]1C(=O)[O-] ZINC000910091576 709768525 /nfs/dbraw/zinc/76/85/25/709768525.db2.gz XVRVBLNDZMXSNR-KKUMJFAQSA-N -1 1 308.422 1.963 20 0 DDADMM CN1CCC[C@H]1C(=O)N1C[C@@H](C2CCCCC2)C[C@@H]1C(=O)[O-] ZINC000910091579 709768715 /nfs/dbraw/zinc/76/87/15/709768715.db2.gz XVRVBLNDZMXSNR-SOUVJXGZSA-N -1 1 308.422 1.963 20 0 DDADMM O=C([O-])[C@@H]1CSCCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC000910148922 709791995 /nfs/dbraw/zinc/79/19/95/709791995.db2.gz YZQJXXOSCGQFEC-LURJTMIESA-N -1 1 323.296 1.318 20 0 DDADMM O=C([O-])C[C@@H]1CN(C(=O)CCc2c[nH]nn2)c2ccccc21 ZINC000910362296 709909050 /nfs/dbraw/zinc/90/90/50/709909050.db2.gz HVYLLFNRKZJPKE-SNVBAGLBSA-N -1 1 300.318 1.342 20 0 DDADMM O=C([O-])C[C@@H]1CN(C(=O)CCc2cnn[nH]2)c2ccccc21 ZINC000910362296 709909053 /nfs/dbraw/zinc/90/90/53/709909053.db2.gz HVYLLFNRKZJPKE-SNVBAGLBSA-N -1 1 300.318 1.342 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C(=O)[C@H](C)N(C)C)CC2 ZINC000910383167 709917061 /nfs/dbraw/zinc/91/70/61/709917061.db2.gz PHTCUSHMPGEGOU-JTQLQIEISA-N -1 1 306.362 1.228 20 0 DDADMM CC1(C)CC[C@@H](CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)OC1 ZINC000910409597 709927070 /nfs/dbraw/zinc/92/70/70/709927070.db2.gz VBDJRYVKEYCWPP-OLZOCXBDSA-N -1 1 312.410 1.104 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CCc2cc(C(=O)[O-])ccc2C1 ZINC000910503137 709975347 /nfs/dbraw/zinc/97/53/47/709975347.db2.gz YAZWBXVYSLDXFX-HNNXBMFYSA-N -1 1 302.374 1.754 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N2CCOC(C)(C)C2)n1 ZINC000901537872 710068747 /nfs/dbraw/zinc/06/87/47/710068747.db2.gz REWMVBWTNNXWLH-UHFFFAOYSA-N -1 1 311.407 1.474 20 0 DDADMM COCCn1cc(CN(C)Cc2ccc(C(=O)[O-])cc2)cn1 ZINC000901592873 710087297 /nfs/dbraw/zinc/08/72/97/710087297.db2.gz RWXLXYYQSFDXHX-UHFFFAOYSA-N -1 1 303.362 1.860 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NCC(C)(C)CC(=O)[O-] ZINC000910828918 710088656 /nfs/dbraw/zinc/08/86/56/710088656.db2.gz GKYZKDYCJXZUMI-UHFFFAOYSA-N -1 1 306.406 1.778 20 0 DDADMM CO[C@H](C(=O)[O-])C(=O)N1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000901607355 710093380 /nfs/dbraw/zinc/09/33/80/710093380.db2.gz QLVPZQNQXWDAPR-OLZOCXBDSA-N -1 1 317.345 1.634 20 0 DDADMM COCC1(CN(C)CC(=O)N2CCC[C@H](C(=O)[O-])C2)CCC1 ZINC000901622372 710099009 /nfs/dbraw/zinc/09/90/09/710099009.db2.gz BUWCCPWHVPMSKM-ZDUSSCGKSA-N -1 1 312.410 1.058 20 0 DDADMM CC[C@](C)(CN(C)CC(=O)N1CCC[C@H](C(=O)[O-])C1)OC ZINC000901623397 710099768 /nfs/dbraw/zinc/09/97/68/710099768.db2.gz HFXRNCXFJBHHKM-SWLSCSKDSA-N -1 1 300.399 1.057 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)C[C@H]1C(=O)[O-] ZINC000901696811 710122350 /nfs/dbraw/zinc/12/23/50/710122350.db2.gz AAXJSCJQKXSSTE-FPQZTECRSA-N -1 1 305.378 1.645 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCc1nccn1-c1ccccc1 ZINC000901793961 710149118 /nfs/dbraw/zinc/14/91/18/710149118.db2.gz AZWYNOOZICUHGF-INIZCTEOSA-N -1 1 303.362 1.842 20 0 DDADMM O=C([O-])Cn1cc(CN[C@@H]2Cc3ccc(Cl)cc3C2)nn1 ZINC000901804271 710151764 /nfs/dbraw/zinc/15/17/64/710151764.db2.gz TYSMVOXLJXXQPP-GFCCVEGCSA-N -1 1 306.753 1.273 20 0 DDADMM COc1ccc([C@H](NCc2ccnn2C)C(=O)[O-])cc1Cl ZINC000901847357 710159396 /nfs/dbraw/zinc/15/93/96/710159396.db2.gz GMQBQHJDRZPBEU-ZDUSSCGKSA-N -1 1 309.753 1.998 20 0 DDADMM Cc1ccccc1-n1cc(CN2CC[C@](O)(C(=O)[O-])C2)cn1 ZINC000901904343 710169882 /nfs/dbraw/zinc/16/98/82/710169882.db2.gz WOUNSUMIRFPMNA-MRXNPFEDSA-N -1 1 301.346 1.202 20 0 DDADMM NC(=O)[C@H](F)C1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000913115240 713166891 /nfs/dbraw/zinc/16/68/91/713166891.db2.gz OUZFPZWLMCCULQ-GFCCVEGCSA-N -1 1 314.744 1.721 20 0 DDADMM O=C(N=S1(=O)CCOCC1)c1cc(-n2ccnc2)ccc1[O-] ZINC000891372906 710176973 /nfs/dbraw/zinc/17/69/73/710176973.db2.gz BXIGVRXIOFJZBE-UHFFFAOYSA-N -1 1 321.358 1.216 20 0 DDADMM O=C([O-])c1ccc(/C=C/C(=O)N2CCN(CC3CC3)CC2)o1 ZINC000901958978 710185124 /nfs/dbraw/zinc/18/51/24/710185124.db2.gz RAUXQVAKXMSNII-GQCTYLIASA-N -1 1 304.346 1.545 20 0 DDADMM C[C@H](CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)c1cnn(C)c1 ZINC000891614041 710248834 /nfs/dbraw/zinc/24/88/34/710248834.db2.gz KWZRRJHDHGRZGD-SNVBAGLBSA-N -1 1 315.377 1.927 20 0 DDADMM CC(=O)N1CCCC[C@@H]1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891623525 710251317 /nfs/dbraw/zinc/25/13/17/710251317.db2.gz JZBYICQDZWANTO-CYBMUJFWSA-N -1 1 318.377 1.401 20 0 DDADMM COCc1nc(NC[C@@H](O)COc2ccccc2)cc(=O)[n-]1 ZINC000891794682 710297064 /nfs/dbraw/zinc/29/70/64/710297064.db2.gz YZUVZBBQGGFMOV-LLVKDONJSA-N -1 1 305.334 1.180 20 0 DDADMM C[C@@H](Sc1nc2ccccc2s1)C(=O)N(C)c1nn[n-]n1 ZINC000892954290 710531353 /nfs/dbraw/zinc/53/13/53/710531353.db2.gz HVUGPDPUECYCKS-SSDOTTSWSA-N -1 1 320.403 1.953 20 0 DDADMM COc1ccc(C2(C(=O)N(C)c3nn[n-]n3)CCC2)cc1OC ZINC000892954593 710531798 /nfs/dbraw/zinc/53/17/98/710531798.db2.gz MSXNOUHOHKMKQZ-UHFFFAOYSA-N -1 1 317.349 1.302 20 0 DDADMM COCc1nc(NCC2CN(C(=O)OC(C)(C)C)C2)cc(=O)[n-]1 ZINC000893042812 710546215 /nfs/dbraw/zinc/54/62/15/710546215.db2.gz RVSMMWXUDMSYHL-UHFFFAOYSA-N -1 1 324.381 1.607 20 0 DDADMM COCc1nc(N2CCC(c3nccc(C)n3)CC2)cc(=O)[n-]1 ZINC000893159680 710564478 /nfs/dbraw/zinc/56/44/78/710564478.db2.gz RTUGLNZLEZKZDQ-UHFFFAOYSA-N -1 1 315.377 1.811 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1CCOc2c(cccc2C(=O)[O-])C1 ZINC000911028106 710601664 /nfs/dbraw/zinc/60/16/64/710601664.db2.gz JMNNYDRVJWOPGV-CYBMUJFWSA-N -1 1 304.346 1.200 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCc2ccoc2)o1 ZINC000893336454 710604197 /nfs/dbraw/zinc/60/41/97/710604197.db2.gz HLKAZDACRPIMMQ-UHFFFAOYSA-N -1 1 313.331 1.570 20 0 DDADMM C[C@H](NCc1csc(C(=O)[O-])c1)C(=O)NCc1ccco1 ZINC000902192956 710658463 /nfs/dbraw/zinc/65/84/63/710658463.db2.gz VDQFVZRXZJCYTG-VIFPVBQESA-N -1 1 308.359 1.834 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)c1cccc2nc[nH]c21)C(F)(F)F ZINC000911156336 710660255 /nfs/dbraw/zinc/66/02/55/710660255.db2.gz GDCCGRQSGWTMCL-MRVPVSSYSA-N -1 1 301.224 1.698 20 0 DDADMM O=C([O-])c1coc(CNCCNC(=O)Cc2cccc(F)c2)c1 ZINC000902201965 710662659 /nfs/dbraw/zinc/66/26/59/710662659.db2.gz CSNGKJOPELWKKG-UHFFFAOYSA-N -1 1 320.320 1.565 20 0 DDADMM O=C([O-])c1cncc(C(=O)N2CCN(C3CCCC3)CC2)c1 ZINC000911165867 710664905 /nfs/dbraw/zinc/66/49/05/710664905.db2.gz BYKXYHXEUMSYDV-UHFFFAOYSA-N -1 1 303.362 1.480 20 0 DDADMM C[C@]1(CNCc2cc(C(=O)[O-])no2)COc2ccccc2O1 ZINC000902264590 710686175 /nfs/dbraw/zinc/68/61/75/710686175.db2.gz ZTFPOQRXYBQSRZ-HNNXBMFYSA-N -1 1 304.302 1.693 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)/C=C\c1cc(C(=O)[O-])co1 ZINC000911571172 710859951 /nfs/dbraw/zinc/85/99/51/710859951.db2.gz JOODJJUGTOUOQF-SZZPACECSA-N -1 1 306.362 1.934 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN[C@@H](c2cn[nH]c2)C1 ZINC000913439588 713216345 /nfs/dbraw/zinc/21/63/45/713216345.db2.gz GRISNYQKAIWRBP-CYBMUJFWSA-N -1 1 320.780 1.484 20 0 DDADMM COCc1ccc([C@@H]2COCCN2C(=O)c2ncccc2[O-])o1 ZINC000928524056 713217114 /nfs/dbraw/zinc/21/71/14/713217114.db2.gz UPKSOVUPVGESRX-LBPRGKRZSA-N -1 1 318.329 1.740 20 0 DDADMM C[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1)C(F)F ZINC000894052321 710944054 /nfs/dbraw/zinc/94/40/54/710944054.db2.gz AFXXGADNOTZSJD-SSDOTTSWSA-N -1 1 324.349 1.740 20 0 DDADMM Cn1nccc1[C@H]1CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000902925290 710960905 /nfs/dbraw/zinc/96/09/05/710960905.db2.gz AYDOZYTUMNLTNE-CQSZACIVSA-N -1 1 323.356 1.634 20 0 DDADMM C[C@@H]1[C@H](C)NC(=O)[C@H](C)N1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000911778243 710981026 /nfs/dbraw/zinc/98/10/26/710981026.db2.gz LHOLFAFKOSIIMJ-AEJSXWLSSA-N -1 1 310.781 1.712 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1ccnc2cccnc12 ZINC000903138718 711045913 /nfs/dbraw/zinc/04/59/13/711045913.db2.gz PUBRYKSASFXBQH-UHFFFAOYSA-N -1 1 307.375 1.220 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1nc(C(F)F)n[nH]1)C1CCCC1 ZINC000903149636 711048382 /nfs/dbraw/zinc/04/83/82/711048382.db2.gz BWTRNCNCZJOPOP-MRVPVSSYSA-N -1 1 324.353 1.689 20 0 DDADMM CCc1ccncc1[N-]S(=O)(=O)N=S(=O)(CC)CC ZINC000903169044 711054234 /nfs/dbraw/zinc/05/42/34/711054234.db2.gz XFCVESBXOMEXIN-UHFFFAOYSA-N -1 1 305.425 1.809 20 0 DDADMM COCc1nc(N(C)C[C@]2(O)CCc3ccccc32)cc(=O)[n-]1 ZINC000894344257 711078218 /nfs/dbraw/zinc/07/82/18/711078218.db2.gz CQHUCIIHAXZXLH-QGZVFWFLSA-N -1 1 315.373 1.599 20 0 DDADMM O=C([O-])c1cnn2c1[nH]c(CN1CCC[C@H](CF)C1)cc2=O ZINC000903607879 711222029 /nfs/dbraw/zinc/22/20/29/711222029.db2.gz NZKNFCQWNPYAJG-SECBINFHSA-N -1 1 308.313 1.315 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1c(C)n[nH]c1C(F)F ZINC000903615986 711225390 /nfs/dbraw/zinc/22/53/90/711225390.db2.gz UFUDMZAADMQKRN-UHFFFAOYSA-N -1 1 318.305 1.860 20 0 DDADMM O=C(c1cc2occc2s1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494998 713237951 /nfs/dbraw/zinc/23/79/51/713237951.db2.gz FRDVCRNKNPTYLD-ZETCQYMHSA-N -1 1 305.319 1.221 20 0 DDADMM Cc1ccc(Cl)cc1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496317 713238463 /nfs/dbraw/zinc/23/84/63/713238463.db2.gz XSCOPLGEKKSBQL-LLVKDONJSA-N -1 1 307.741 1.375 20 0 DDADMM CC[C@@H](C)c1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000913496419 713238561 /nfs/dbraw/zinc/23/85/61/713238561.db2.gz ZRVBEFLRWAHIAZ-RISCZKNCSA-N -1 1 315.377 1.927 20 0 DDADMM O=C([O-])c1ccc(CNCC(=O)NCCc2ccccc2)s1 ZINC000903676793 711243146 /nfs/dbraw/zinc/24/31/46/711243146.db2.gz FXBCUYGNQBXIFQ-UHFFFAOYSA-N -1 1 318.398 1.895 20 0 DDADMM Cc1csc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c1Cl ZINC000913497536 713238682 /nfs/dbraw/zinc/23/86/82/713238682.db2.gz LIFVDRNLGCJUIE-ZETCQYMHSA-N -1 1 313.770 1.437 20 0 DDADMM CCOC(=O)[C@@H](F)[C@@H]1CCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000912254106 711247838 /nfs/dbraw/zinc/24/78/38/711247838.db2.gz LHLYIARYRGOICU-PWSUYJOCSA-N -1 1 310.325 1.459 20 0 DDADMM Cc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c(Cl)c1C ZINC000913499585 713239746 /nfs/dbraw/zinc/23/97/46/713239746.db2.gz WMFMQUMDOONEHE-LLVKDONJSA-N -1 1 321.768 1.684 20 0 DDADMM O=C(C1CC2(C1)CCCCC2)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913500318 713239768 /nfs/dbraw/zinc/23/97/68/713239768.db2.gz VYGPQHWNNZNDGU-LBPRGKRZSA-N -1 1 305.382 1.460 20 0 DDADMM O=C([O-])c1cccc2c1OCCN(C[C@@H]1C[C@H]3COC[C@H]3O1)C2 ZINC000903926685 711335847 /nfs/dbraw/zinc/33/58/47/711335847.db2.gz FETDYXJGDITESD-KCQAQPDRSA-N -1 1 319.357 1.383 20 0 DDADMM CN(C)Cc1csc(CN[C@H]2C[C@@H](NC(=O)[O-])C23CCC3)n1 ZINC000904258323 711419929 /nfs/dbraw/zinc/41/99/29/711419929.db2.gz LMPNHIZHMANCEF-NWDGAFQWSA-N -1 1 324.450 1.873 20 0 DDADMM CC[C@H](CN1CCOCC1)NC(=O)c1c(F)ccc([O-])c1F ZINC000928651471 713251825 /nfs/dbraw/zinc/25/18/25/713251825.db2.gz PONGZEOZENCAAP-SNVBAGLBSA-N -1 1 314.332 1.511 20 0 DDADMM COCc1nc(NCCc2nc3c(s2)CCC3)cc(=O)[n-]1 ZINC000896063399 711670843 /nfs/dbraw/zinc/67/08/43/711670843.db2.gz DHWVEILPSWMPBM-UHFFFAOYSA-N -1 1 306.391 1.928 20 0 DDADMM O=c1nc(NCC[C@@H]2OCCc3ccccc32)nc2[nH][n-]cc1-2 ZINC000896536144 711743367 /nfs/dbraw/zinc/74/33/67/711743367.db2.gz PTKVZCFFXFLCFI-ZDUSSCGKSA-N -1 1 311.345 1.548 20 0 DDADMM COc1cc(CC(=O)N2CCC(c3nn[n-]n3)CC2)ccc1C ZINC000913742455 713291846 /nfs/dbraw/zinc/29/18/46/713291846.db2.gz DIOQEGGYYZUCRV-UHFFFAOYSA-N -1 1 315.377 1.465 20 0 DDADMM O=C(Cc1ccc(F)cc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913743809 713292355 /nfs/dbraw/zinc/29/23/55/713292355.db2.gz MJFPLUPUZPXAHE-UHFFFAOYSA-N -1 1 307.304 1.427 20 0 DDADMM CC(C)(CC(=O)N1CCC(c2nn[n-]n2)CC1)C(F)(F)F ZINC000913744931 713293297 /nfs/dbraw/zinc/29/32/97/713293297.db2.gz KVDSTOXLFLFSFY-UHFFFAOYSA-N -1 1 305.304 1.884 20 0 DDADMM CC(C)C[C@@H](C(=O)N1CCC(c2nn[n-]n2)CC1)n1ccnc1 ZINC000913746025 713293972 /nfs/dbraw/zinc/29/39/72/713293972.db2.gz UBHHIXIIYUEBFV-ZDUSSCGKSA-N -1 1 317.397 1.390 20 0 DDADMM CCS(=O)(=O)CCN[C@@H](C(=O)[O-])c1ccc(F)c(C)c1 ZINC000905385988 712023873 /nfs/dbraw/zinc/02/38/73/712023873.db2.gz PQUOVBZNRREZTF-GFCCVEGCSA-N -1 1 303.355 1.284 20 0 DDADMM CC[C@H](O)[C@@H](C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000913780412 713299541 /nfs/dbraw/zinc/29/95/41/713299541.db2.gz VGPCDNDNXZFQFW-PTRXPTGYSA-N -1 1 310.316 1.063 20 0 DDADMM Cc1ccc(CS(=O)(=O)NCC(F)(F)C(=O)[O-])cc1C ZINC000906000347 712216690 /nfs/dbraw/zinc/21/66/90/712216690.db2.gz UUOQNAYDGWRFRH-UHFFFAOYSA-N -1 1 307.318 1.443 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@]3(C)C=CCC3)nc2n1 ZINC000906057806 712232465 /nfs/dbraw/zinc/23/24/65/712232465.db2.gz JIZIWNHGFGMYLU-OAHLLOKOSA-N -1 1 301.350 1.665 20 0 DDADMM Cc1cncc([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1 ZINC000906058870 712233246 /nfs/dbraw/zinc/23/32/46/712233246.db2.gz YCRJMPSCBWMVOV-GOSISDBHSA-N -1 1 305.425 1.943 20 0 DDADMM C[C@]1(F)CCCN(S(=O)(=O)c2cc(C(=O)[O-])ccc2O)C1 ZINC000906995063 712458986 /nfs/dbraw/zinc/45/89/86/712458986.db2.gz IOYFEBPQAUEGQF-ZDUSSCGKSA-N -1 1 317.338 1.603 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(C)n1 ZINC000907103839 712488120 /nfs/dbraw/zinc/48/81/20/712488120.db2.gz IJIDVTNULXITNE-LBPRGKRZSA-N -1 1 300.366 1.540 20 0 DDADMM CC(C)CCSCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477197 712582566 /nfs/dbraw/zinc/58/25/66/712582566.db2.gz SIIYMZZTDPPXMR-SNVBAGLBSA-N -1 1 315.468 1.596 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477612 712582699 /nfs/dbraw/zinc/58/26/99/712582699.db2.gz GAJXAAZQWAIECA-HPOULIHZSA-N -1 1 322.419 1.295 20 0 DDADMM CS[C@@H](C)CCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907478187 712583048 /nfs/dbraw/zinc/58/30/48/712583048.db2.gz HLGSQLCROFPHGU-IUCAKERBSA-N -1 1 301.441 1.348 20 0 DDADMM CC[C@H](CC(F)F)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480308 712583538 /nfs/dbraw/zinc/58/35/38/712583538.db2.gz OGMWFSTXRMXYKE-HTQZYQBOSA-N -1 1 305.354 1.498 20 0 DDADMM CC1(/C=C/C(=O)N2CCSC[C@H]2c2nn[n-]n2)CCOCC1 ZINC000907480668 712583800 /nfs/dbraw/zinc/58/38/00/712583800.db2.gz PZZQEFORDJLPHX-VUDBWIFFSA-N -1 1 323.422 1.189 20 0 DDADMM Cc1cnccc1C=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481285 712583881 /nfs/dbraw/zinc/58/38/81/712583881.db2.gz VAGREHLQZRLZHE-QAVQXKDTSA-N -1 1 316.390 1.233 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]1C ZINC000907653283 712609156 /nfs/dbraw/zinc/60/91/56/712609156.db2.gz MRXONXMLUFIUHW-WPRPVWTQSA-N -1 1 305.334 1.083 20 0 DDADMM CC[C@H](O)[C@@H](C)C(=O)Nc1nc(Br)ccc1[O-] ZINC000907667746 712611788 /nfs/dbraw/zinc/61/17/88/712611788.db2.gz UJLYASBZKRGBIC-RQJHMYQMSA-N -1 1 303.156 1.895 20 0 DDADMM O=C(N[C@]1(CCO)CCOC1)c1c([O-])cnc2c(F)cccc21 ZINC000907787956 712626590 /nfs/dbraw/zinc/62/65/90/712626590.db2.gz WXZGAQOECMMHKG-MRXNPFEDSA-N -1 1 320.320 1.351 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](CCO)C2CCCC2)sn1 ZINC000907824766 712631431 /nfs/dbraw/zinc/63/14/31/712631431.db2.gz WIPIASPRNLPDFE-LLVKDONJSA-N -1 1 304.437 1.671 20 0 DDADMM CCN1CCN(C(=O)[C@]2(C(=O)[O-])C[C@@H]2c2ccccc2)[C@H](C)C1 ZINC000907827335 712631985 /nfs/dbraw/zinc/63/19/85/712631985.db2.gz ZGSILUQHNWKEPW-SIIHOXLZSA-N -1 1 316.401 1.798 20 0 DDADMM O=C([O-])[C@H]1C[C@@H]2C[C@H](NC(=O)[C@H]3CCc4nc[nH]c4C3)[C@@H]2C1 ZINC000907956371 712654901 /nfs/dbraw/zinc/65/49/01/712654901.db2.gz BCLAJOXIVWIFFJ-UGCHFJIKSA-N -1 1 303.362 1.130 20 0 DDADMM O=C([O-])c1cccc2c1CCN2C(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000907981559 712659630 /nfs/dbraw/zinc/65/96/30/712659630.db2.gz AXCYEKRVNDSFIC-LLVKDONJSA-N -1 1 312.329 1.512 20 0 DDADMM O=C([O-])C[C@@H](Cc1ccccc1)NC(=O)CCc1cnc[nH]1 ZINC000908234100 712715576 /nfs/dbraw/zinc/71/55/76/712715576.db2.gz FIMMJFOKIUHKFJ-CQSZACIVSA-N -1 1 301.346 1.545 20 0 DDADMM CC1(C)CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)[C@@H]2CCC[C@@H]21 ZINC000908351163 712749482 /nfs/dbraw/zinc/74/94/82/712749482.db2.gz CIRBAQFWMXMPDH-HZSPNIEDSA-N -1 1 308.422 1.820 20 0 DDADMM CC(C)N(C)S(=O)(=O)[N-]CC(F)(F)C1(O)CCCCC1 ZINC000908499863 712790589 /nfs/dbraw/zinc/79/05/89/712790589.db2.gz YYGNFSBNMLCFHS-UHFFFAOYSA-N -1 1 314.398 1.492 20 0 DDADMM COc1ccc([C@@H](CC(=O)[O-])NC(=O)CN2CCCC2)cc1 ZINC000908593216 712816555 /nfs/dbraw/zinc/81/65/55/712816555.db2.gz CFXBFKOVOOWKPT-CQSZACIVSA-N -1 1 306.362 1.423 20 0 DDADMM C[C@H](CCc1ccccc1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908693809 712836510 /nfs/dbraw/zinc/83/65/10/712836510.db2.gz JNGIQRVXSODCFL-GDBMZVCRSA-N -1 1 318.417 1.921 20 0 DDADMM Cc1nc2ccc(NC(=O)CN3CCC[C@H](C(=O)[O-])C3)cc2o1 ZINC000908709897 712839273 /nfs/dbraw/zinc/83/92/73/712839273.db2.gz MOCZGNUZPFMRDF-NSHDSACASA-N -1 1 317.345 1.871 20 0 DDADMM CO[C@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccccc1 ZINC000908750859 712847711 /nfs/dbraw/zinc/84/77/11/712847711.db2.gz DUIJWNNOTYXIPS-HUUCEWRRSA-N -1 1 320.389 1.287 20 0 DDADMM C[C@@H](Cc1cccs1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908783776 712854040 /nfs/dbraw/zinc/85/40/40/712854040.db2.gz YJMXATKYHWAAJN-NWDGAFQWSA-N -1 1 310.419 1.592 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CC2CC1(C(=O)[O-])C2)c1ccsc1 ZINC000908815852 712860623 /nfs/dbraw/zinc/86/06/23/712860623.db2.gz ZFBPUJADILRQCI-WBFHCKIUSA-N -1 1 323.418 1.609 20 0 DDADMM CCc1ccccc1CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908821785 712862216 /nfs/dbraw/zinc/86/22/16/712862216.db2.gz QRRVZHMNSQRLIE-OAHLLOKOSA-N -1 1 304.390 1.662 20 0 DDADMM C[C@@H](CN(Cc1ccccc1)C(=O)CCc1c[nH]nn1)C(=O)[O-] ZINC000908839269 712865815 /nfs/dbraw/zinc/86/58/15/712865815.db2.gz CQRWQWXWRSLNCB-LBPRGKRZSA-N -1 1 316.361 1.487 20 0 DDADMM C[C@@H](CN(Cc1ccccc1)C(=O)CCc1cnn[nH]1)C(=O)[O-] ZINC000908839269 712865817 /nfs/dbraw/zinc/86/58/17/712865817.db2.gz CQRWQWXWRSLNCB-LBPRGKRZSA-N -1 1 316.361 1.487 20 0 DDADMM C[C@@H]1CCN(C(=O)O[C@@H]2CCOC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000917754394 713512661 /nfs/dbraw/zinc/51/26/61/713512661.db2.gz MUUXNESYSSTBGV-BBBLOLIVSA-N -1 1 324.299 1.301 20 0 DDADMM C[C@@H](CN1CCS(=O)(=O)[C@@H](C)C1)C(=O)c1ccc([O-])cc1 ZINC000929679392 713667130 /nfs/dbraw/zinc/66/71/30/713667130.db2.gz HGSYPBASGOYTGI-RYUDHWBXSA-N -1 1 311.403 1.330 20 0 DDADMM COC(=O)/C(C)=C\C[N-]S(=O)(=O)c1sccc1Cl ZINC000921220888 713723033 /nfs/dbraw/zinc/72/30/33/713723033.db2.gz MNTISKSUCXNNEV-CLTKARDFSA-N -1 1 309.796 1.799 20 0 DDADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)CCC(C)(C)C ZINC000921379320 713749372 /nfs/dbraw/zinc/74/93/72/713749372.db2.gz WEBVBHYONFCESN-SNVBAGLBSA-N -1 1 311.469 1.637 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)C(C)(C)OC)c1 ZINC000921424722 713766523 /nfs/dbraw/zinc/76/65/23/713766523.db2.gz PDEZTDJIDZACGI-SECBINFHSA-N -1 1 303.380 1.493 20 0 DDADMM COCCN1CCN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CC1=O ZINC000930162786 713770362 /nfs/dbraw/zinc/77/03/62/713770362.db2.gz NDVIVJBDJZMWQE-CYBMUJFWSA-N -1 1 320.389 1.002 20 0 DDADMM CCN1CCC[C@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C1=O ZINC000921491733 713786456 /nfs/dbraw/zinc/78/64/56/713786456.db2.gz TZONVGLRRPODBT-JTQLQIEISA-N -1 1 317.436 1.049 20 0 DDADMM C[C@H]1COC[C@H]1[N-]S(=O)(=O)c1ncccc1Br ZINC000921499599 713790608 /nfs/dbraw/zinc/79/06/08/713790608.db2.gz CJSBVPDOFFPWKR-IONNQARKSA-N -1 1 321.196 1.157 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)CCS1 ZINC000921717049 713852627 /nfs/dbraw/zinc/85/26/27/713852627.db2.gz ZTWSQAUHCYPTQS-SFYZADRCSA-N -1 1 308.375 1.922 20 0 DDADMM C[C@@H](CN1C[C@@H](CO)OC(C)(C)C1)C(=O)c1ccc([O-])cc1 ZINC000930749239 713910425 /nfs/dbraw/zinc/91/04/25/713910425.db2.gz OQOHFJSUJCBMGQ-WFASDCNBSA-N -1 1 307.390 1.683 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cc2ccccc2o1)C(C)C ZINC000921978368 713926926 /nfs/dbraw/zinc/92/69/26/713926926.db2.gz IEJXPXZKEDJTAZ-CYBMUJFWSA-N -1 1 311.359 1.909 20 0 DDADMM CO[N-]C(=O)CNCc1cc(Br)cnc1Cl ZINC000922828184 714160530 /nfs/dbraw/zinc/16/05/30/714160530.db2.gz STBZONCQULXGML-UHFFFAOYSA-N -1 1 308.563 1.265 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCC[C@@H](O)C1 ZINC000932039898 714243874 /nfs/dbraw/zinc/24/38/74/714243874.db2.gz ZLYPGQFCMYJBHL-CYBMUJFWSA-N -1 1 302.334 1.567 20 0 DDADMM O=C(NC[C@@H]1COCCO1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932041031 714244367 /nfs/dbraw/zinc/24/43/67/714244367.db2.gz YILBFBVRASJWGQ-CYBMUJFWSA-N -1 1 318.333 1.115 20 0 DDADMM C[C@H]1C(=O)N(C)CN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932058057 714248788 /nfs/dbraw/zinc/24/87/88/714248788.db2.gz DKOLWSXSQDMZSG-JTQLQIEISA-N -1 1 315.333 1.230 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](NC(=O)c2[nH]nc3c2CCCCC3)[C@H]2C[C@H]21 ZINC000923193825 714267883 /nfs/dbraw/zinc/26/78/83/714267883.db2.gz IGKFTGZAUISDTJ-XZUYRWCXSA-N -1 1 303.362 1.518 20 0 DDADMM COC(=O)/C(C)=C\CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000923983251 714500220 /nfs/dbraw/zinc/50/02/20/714500220.db2.gz YBZKGKUXWQJINX-UITAMQMPSA-N -1 1 312.753 1.964 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2cnn(CCF)c2)[n-]c1=O ZINC000934276712 714784858 /nfs/dbraw/zinc/78/48/58/714784858.db2.gz VHPLWAJGACYXOW-LBPRGKRZSA-N -1 1 321.356 1.694 20 0 DDADMM CCc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc(Cl)n1 ZINC000935365413 715037971 /nfs/dbraw/zinc/03/79/71/715037971.db2.gz HFUMYOKLDWWFTA-MRVPVSSYSA-N -1 1 308.773 1.686 20 0 DDADMM Cc1cc(C)n(CCCC(=O)N(C)C[C@H](C)c2nn[n-]n2)n1 ZINC000935369257 715039015 /nfs/dbraw/zinc/03/90/15/715039015.db2.gz VZSCLRFPJWHGBO-JTQLQIEISA-N -1 1 305.386 1.055 20 0 DDADMM Cc1cc(Cl)nc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000935457386 715060305 /nfs/dbraw/zinc/06/03/05/715060305.db2.gz PZDFNQBQJUOANV-SECBINFHSA-N -1 1 324.797 1.487 20 0 DDADMM O=C(c1ccnn1C1CCC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000935457722 715060613 /nfs/dbraw/zinc/06/06/13/715060613.db2.gz XXADFYLJLSJFCF-LLVKDONJSA-N -1 1 319.394 1.052 20 0 DDADMM O=C([N-]OCCC(F)(F)F)[C@@H]1C[C@@]12C(=O)Nc1ccccc12 ZINC000935502680 715072702 /nfs/dbraw/zinc/07/27/02/715072702.db2.gz XTUNJMIDHWHWIT-ZANVPECISA-N -1 1 314.263 1.897 20 0 DDADMM O=C([O-])C1(NS(=O)(=O)c2occc2Br)CCC1 ZINC000926476675 715074020 /nfs/dbraw/zinc/07/40/20/715074020.db2.gz CYZSQRADCARHDH-UHFFFAOYSA-N -1 1 324.152 1.328 20 0 DDADMM Cc1ccccc1[C@@H](C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000935785565 715128361 /nfs/dbraw/zinc/12/83/61/715128361.db2.gz URLZDTLTEJKKBA-GFCCVEGCSA-N -1 1 315.377 1.122 20 0 DDADMM O=C(c1cccc2c1OCC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000935794051 715128809 /nfs/dbraw/zinc/12/88/09/715128809.db2.gz MMQFQIGDGYXMPU-LLVKDONJSA-N -1 1 315.329 1.730 20 0 DDADMM CN(C(=O)C(C)(C)C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937219848 715294487 /nfs/dbraw/zinc/29/44/87/715294487.db2.gz JQWGRSOZOGAIMI-LLVKDONJSA-N -1 1 305.378 1.506 20 0 DDADMM CC[C@H](C)C(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937244276 715304245 /nfs/dbraw/zinc/30/42/45/715304245.db2.gz TUNSBUDIGUQAHE-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM O=C(C[C@H]1C=CCC1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937860090 715616174 /nfs/dbraw/zinc/61/61/74/715616174.db2.gz CFTMVXBTPIHFDL-STQMWFEESA-N -1 1 315.373 1.474 20 0 DDADMM CC(F)(F)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849094 715635741 /nfs/dbraw/zinc/63/57/41/715635741.db2.gz KXGZPVZZGIVEHQ-CYBMUJFWSA-N -1 1 313.304 1.163 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)C=C2CCC2)C1 ZINC000956849617 715636537 /nfs/dbraw/zinc/63/65/37/715636537.db2.gz VPSFEWDROYSIFM-QGZVFWFLSA-N -1 1 315.373 1.618 20 0 DDADMM CC(C)(C)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956850140 715637162 /nfs/dbraw/zinc/63/71/62/715637162.db2.gz GHHFDCIJVBMFFF-INIZCTEOSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C(C)(C)F)CCN1C(=O)c1ncccc1[O-] ZINC000955652183 715893399 /nfs/dbraw/zinc/89/33/99/715893399.db2.gz PQGJEFUQODXXOD-QWRGUYRKSA-N -1 1 323.368 1.645 20 0 DDADMM CC(=O)N[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@H](C)C1 ZINC000955683233 715903727 /nfs/dbraw/zinc/90/37/27/715903727.db2.gz AAUXCMAJRMLHED-SKDRFNHKSA-N -1 1 318.377 1.189 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)c2cc[nH]c2)C1 ZINC000938658340 715943063 /nfs/dbraw/zinc/94/30/63/715943063.db2.gz OPEXRWROZJHMOR-GFCCVEGCSA-N -1 1 314.345 1.102 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939089122 716126120 /nfs/dbraw/zinc/12/61/20/716126120.db2.gz HCNBWNPPGUNLQJ-WDEREUQCSA-N -1 1 309.341 1.208 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2CCC2)C1 ZINC000959000652 716812147 /nfs/dbraw/zinc/81/21/47/716812147.db2.gz OCZYBSVQZHQZAA-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)N[C@H](C)[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000959159563 716885765 /nfs/dbraw/zinc/88/57/65/716885765.db2.gz BHOJSQHBFADVLB-BXKDBHETSA-N -1 1 318.377 1.046 20 0 DDADMM Cc1nc(CNCC2CC(NC(=O)c3[nH]nc(C)c3[O-])C2)co1 ZINC000961726256 717075287 /nfs/dbraw/zinc/07/52/87/717075287.db2.gz WBUBEIZZLMVSMJ-UHFFFAOYSA-N -1 1 319.365 1.018 20 0 DDADMM C[C@H]1CN(C(=O)CC2CC2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945104102 718397261 /nfs/dbraw/zinc/39/72/61/718397261.db2.gz OEYMIFRUWUBCOB-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)CC1CC1 ZINC000945291748 718436981 /nfs/dbraw/zinc/43/69/81/718436981.db2.gz ZVYVBINEVOTGDE-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC[C@@H]1CCC[C@@H]1NC(=O)C1CCC1)c1ncccc1[O-] ZINC000946082109 718669631 /nfs/dbraw/zinc/66/96/31/718669631.db2.gz RGWDPGJENVNRHB-STQMWFEESA-N -1 1 317.389 1.602 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H](F)C(C)C)C1 ZINC000967908351 719083215 /nfs/dbraw/zinc/08/32/15/719083215.db2.gz PXUPKHMRDRIBMW-CYBMUJFWSA-N -1 1 323.368 1.454 20 0 DDADMM O=C(NC1CCN(C(=O)[C@H]2CC23CC3)CC1)c1ncccc1[O-] ZINC000948563316 719550807 /nfs/dbraw/zinc/55/08/07/719550807.db2.gz XLRZCJWTNIXNDK-GFCCVEGCSA-N -1 1 315.373 1.308 20 0 DDADMM CC(C)(C)C[C@@H]1C[C@H]1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968732040 719761659 /nfs/dbraw/zinc/76/16/59/719761659.db2.gz BTXWMQTYBXPZKH-QJPTWQEYSA-N -1 1 321.425 1.273 20 0 DDADMM O=C(C[C@H]1C=CCC1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949530940 720143255 /nfs/dbraw/zinc/14/32/55/720143255.db2.gz JSDGSHXWMGIBQR-STQMWFEESA-N -1 1 315.373 1.474 20 0 DDADMM Cc1cc(CN2CC([C@H](C)NC(=O)c3ncccc3[O-])C2)no1 ZINC000969546696 720184141 /nfs/dbraw/zinc/18/41/41/720184141.db2.gz PRYDMPVUYJAIIG-NSHDSACASA-N -1 1 316.361 1.334 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])[C@@H]1CC12CCC2 ZINC000949975670 720435768 /nfs/dbraw/zinc/43/57/68/720435768.db2.gz APYIELZUTBMFQC-NEPJUHHUSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@@H](C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000950539044 720674606 /nfs/dbraw/zinc/67/46/06/720674606.db2.gz FUSDPBFWPTVBHR-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(C)C1CC(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000970841090 720954330 /nfs/dbraw/zinc/95/43/30/720954330.db2.gz GPKQRGLTYJSHKL-HTAVTVPLSA-N -1 1 321.425 1.273 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])[C@]12C[C@H]1CCC2 ZINC000951481674 721047464 /nfs/dbraw/zinc/04/74/64/721047464.db2.gz NEZLQDUNAPAKTG-PSTGCABASA-N -1 1 315.373 1.308 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2C[C@H]2C2CC2)C1 ZINC000954127642 721724990 /nfs/dbraw/zinc/72/49/90/721724990.db2.gz CGWPZNUVWSVOBC-QWHCGFSZSA-N -1 1 315.373 1.116 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001020477951 732414509 /nfs/dbraw/zinc/41/45/09/732414509.db2.gz RTWNKSPDMOTIHW-DCAQKATOSA-N -1 1 309.341 1.255 20 0 DDADMM O=C(N[C@H]1CCN(Cc2cscn2)C1)c1ncccc1[O-] ZINC001010725888 733182972 /nfs/dbraw/zinc/18/29/72/733182972.db2.gz JFRXEPCZFUSWKG-JTQLQIEISA-N -1 1 304.375 1.248 20 0 DDADMM Cc1nc([C@@H](C)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])no1 ZINC001038195243 733214209 /nfs/dbraw/zinc/21/42/09/733214209.db2.gz UAYVQVKZUCCMEA-MWLCHTKSSA-N -1 1 317.349 1.044 20 0 DDADMM CSCC[C@H](NC(=O)c1cc2ncccc2o1)c1nn[n-]n1 ZINC001167448321 733551594 /nfs/dbraw/zinc/55/15/94/733551594.db2.gz CNPJZSYEAQIYOE-QMMMGPOBSA-N -1 1 318.362 1.565 20 0 DDADMM CCOC(=O)C1(C(=O)Nc2n[n-]c(C(F)(F)F)n2)CCCC1 ZINC001121236742 782420081 /nfs/dbraw/zinc/42/00/81/782420081.db2.gz BJQDDYPQZKICAX-UHFFFAOYSA-N -1 1 320.271 1.886 20 0 DDADMM Cc1cc(NS(=O)(=O)c2cncc(N)c2)cc(C(=O)[O-])c1 ZINC001211542643 734732211 /nfs/dbraw/zinc/73/22/11/734732211.db2.gz MMEPXTDEOLZZPL-UHFFFAOYSA-N -1 1 307.331 1.471 20 0 DDADMM CC[C@@]1(C)C[C@@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167577807 734754432 /nfs/dbraw/zinc/75/44/32/734754432.db2.gz HNIFOUDNWKYRAX-ABAIWWIYSA-N -1 1 321.425 1.323 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H]3C[C@H]32)c1Cl ZINC000694324523 736135011 /nfs/dbraw/zinc/13/50/11/736135011.db2.gz OTAFDKSYUGNHNB-LPEHRKFASA-N -1 1 303.815 1.788 20 0 DDADMM CCC(CC)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024877806 736143407 /nfs/dbraw/zinc/14/34/07/736143407.db2.gz LRNUBIWUNCZWJN-GFCCVEGCSA-N -1 1 309.414 1.417 20 0 DDADMM C[C@@H](C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001024914909 736165736 /nfs/dbraw/zinc/16/57/36/736165736.db2.gz MVKSKYLUSSHOIO-ZYHUDNBSSA-N -1 1 307.398 1.027 20 0 DDADMM CSCCC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075534614 736889105 /nfs/dbraw/zinc/88/91/05/736889105.db2.gz TZBJTYVNBUGGPG-JTQLQIEISA-N -1 1 311.407 1.165 20 0 DDADMM CC/C=C/CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](OC)C1 ZINC001212122316 742423508 /nfs/dbraw/zinc/42/35/08/742423508.db2.gz HZDNFFRGNCSWGT-FBVTZJDUSA-N -1 1 322.409 1.209 20 0 DDADMM CCC[C@H](CCO)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692905678 739045413 /nfs/dbraw/zinc/04/54/13/739045413.db2.gz ULWTUKSICOLVJT-SECBINFHSA-N -1 1 324.805 1.951 20 0 DDADMM CC(C)(F)C(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514662 741088089 /nfs/dbraw/zinc/08/80/89/741088089.db2.gz HVSOWCULNXITJB-NSHDSACASA-N -1 1 323.368 1.504 20 0 DDADMM C/C(=C\C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001029664423 741201496 /nfs/dbraw/zinc/20/14/96/741201496.db2.gz TYWQJFROAJWSPY-VNKGSWCUSA-N -1 1 319.409 1.147 20 0 DDADMM CC(C)=CC(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088253089 741223064 /nfs/dbraw/zinc/22/30/64/741223064.db2.gz IJWCZSZCRVGCGK-GFCCVEGCSA-N -1 1 307.398 1.337 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001029769771 741285002 /nfs/dbraw/zinc/28/50/02/741285002.db2.gz PRVNREQZHWXJJV-NEPJUHHUSA-N -1 1 323.441 1.473 20 0 DDADMM C/C=C(/C)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088291431 741337675 /nfs/dbraw/zinc/33/76/75/741337675.db2.gz HBWICZFVBBZIDL-CTPBAPBTSA-N -1 1 307.398 1.337 20 0 DDADMM CC1CC(C(=O)N2CCC(CN(C)Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001029953673 741641947 /nfs/dbraw/zinc/64/19/47/741641947.db2.gz FDZWTKFNRSQCBO-UHFFFAOYSA-N -1 1 321.425 1.227 20 0 DDADMM CC/C(C)=C\C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076387985 742702026 /nfs/dbraw/zinc/70/20/26/742702026.db2.gz CKSQTTIHMIOLTH-WEHUKYGOSA-N -1 1 305.378 1.768 20 0 DDADMM CC(=O)N(C)C[C@@H]1CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001002509879 743205262 /nfs/dbraw/zinc/20/52/62/743205262.db2.gz QUFWASNHMJXIEW-LBPRGKRZSA-N -1 1 318.377 1.143 20 0 DDADMM O=C(NN1C[C@@H]2CCC[C@H]2C1)c1ccc2n[n-]c(=S)n2c1 ZINC001181811804 743359122 /nfs/dbraw/zinc/35/91/22/743359122.db2.gz FUQRNSUIJBMOKB-UWVGGRQHSA-N -1 1 303.391 1.395 20 0 DDADMM CSc1nc(NC(=O)[C@@H](CO)c2ccccc2)cc(=O)[n-]1 ZINC001181925049 743395119 /nfs/dbraw/zinc/39/51/19/743395119.db2.gz UPKHYQUHLWJPOZ-JTQLQIEISA-N -1 1 305.359 1.619 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2cc3ccncc3s2)n[n-]1 ZINC001182509152 743650840 /nfs/dbraw/zinc/65/08/40/743650840.db2.gz FQBKYNXZEHIFAQ-UHFFFAOYSA-N -1 1 323.359 1.070 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cc3ccncc3s2)[n-]1 ZINC001182509152 743650843 /nfs/dbraw/zinc/65/08/43/743650843.db2.gz FQBKYNXZEHIFAQ-UHFFFAOYSA-N -1 1 323.359 1.070 20 0 DDADMM COc1cc(Br)c([O-])c(NC(=O)[C@H]2C[C@H](O)C2)c1 ZINC001182642363 743689534 /nfs/dbraw/zinc/68/95/34/743689534.db2.gz BZUXWPZXARGQKX-LJGSYFOKSA-N -1 1 316.151 1.873 20 0 DDADMM C[C@@](O)(C(=O)Nc1n[n-]c(C(F)(F)F)n1)c1ccccc1 ZINC001182924247 743745305 /nfs/dbraw/zinc/74/53/05/743745305.db2.gz LQWNPSHXBGVBFS-NSHDSACASA-N -1 1 300.240 1.670 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])[C@@H]1CNC(=O)c2ccccc21 ZINC001183054996 743773495 /nfs/dbraw/zinc/77/34/95/743773495.db2.gz YGIUOXBAGDAHBY-GFCCVEGCSA-N -1 1 300.289 1.997 20 0 DDADMM C[C@@H]([N-]C(=O)C(F)(F)OCC(F)(F)F)c1cnn(C)c1 ZINC001183194274 743794330 /nfs/dbraw/zinc/79/43/30/743794330.db2.gz FBNDNJCSQDPMDW-ZCFIWIBFSA-N -1 1 301.215 1.769 20 0 DDADMM O=C(CCCn1c(=O)oc2ccccc21)Nc1c[n-][nH]c1=O ZINC001183563057 743856940 /nfs/dbraw/zinc/85/69/40/743856940.db2.gz QBRRLIUZKZZQEI-UHFFFAOYSA-N -1 1 302.290 1.442 20 0 DDADMM CCCC[C@@](C)(F)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001183800413 743912788 /nfs/dbraw/zinc/91/27/88/743912788.db2.gz PGMVICFDEPLTIY-QMTHXVAHSA-N -1 1 313.377 1.119 20 0 DDADMM O=C(NC1CN(C[C@H]2CCC(F)(F)C2)C1)c1ncccc1[O-] ZINC001030241712 743977369 /nfs/dbraw/zinc/97/73/69/743977369.db2.gz JZIFFASFBYIXJH-JTQLQIEISA-N -1 1 311.332 1.637 20 0 DDADMM O=C(NC1CN(Cc2coc(C3CC3)n2)C1)c1ncccc1[O-] ZINC001030242147 743978033 /nfs/dbraw/zinc/97/80/33/743978033.db2.gz QGLXBWNMKNJHNH-UHFFFAOYSA-N -1 1 314.345 1.267 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cncc(CO)c2)cc1C(=O)[O-] ZINC001184667943 744080774 /nfs/dbraw/zinc/08/07/74/744080774.db2.gz OAFFYSFOLMDBTA-UHFFFAOYSA-N -1 1 322.342 1.381 20 0 DDADMM O=C(CCNC(=O)c1ccc([O-])c(F)c1)Nc1nccs1 ZINC001186236162 744361771 /nfs/dbraw/zinc/36/17/71/744361771.db2.gz AHZUFORFONVDIJ-UHFFFAOYSA-N -1 1 309.322 1.746 20 0 DDADMM COC[C@@H]1CN(C(=O)c2ccc([O-])c(F)c2)Cc2cn(C)nc21 ZINC001186218167 744366091 /nfs/dbraw/zinc/36/60/91/744366091.db2.gz GFJKXWNSSNJSJX-LBPRGKRZSA-N -1 1 319.336 1.651 20 0 DDADMM C[C@@H]1CN(C2CN(C(=O)c3ccc([O-])cc3F)C2)C[C@@H](C)O1 ZINC001186327515 744375234 /nfs/dbraw/zinc/37/52/34/744375234.db2.gz PLLOLKFGKWGFHC-GHMZBOCLSA-N -1 1 308.353 1.465 20 0 DDADMM O=C(N[C@@H]1C(=O)NCc2ccccc21)c1ccc([O-])cc1F ZINC001186339533 744389429 /nfs/dbraw/zinc/38/94/29/744389429.db2.gz IXYIWAZHUIAQPF-AWEZNQCLSA-N -1 1 300.289 1.632 20 0 DDADMM COc1cccc(CC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1C ZINC001186465672 744404768 /nfs/dbraw/zinc/40/47/68/744404768.db2.gz DGKRSKDBTKAEBO-UHFFFAOYSA-N -1 1 318.289 1.784 20 0 DDADMM CN(C)c1nc(NC(=O)[C@H](O)C2CCCCC2)c(N=O)c(=O)[n-]1 ZINC001186991879 744486568 /nfs/dbraw/zinc/48/65/68/744486568.db2.gz PFEIFAMNVWOFLK-SNVBAGLBSA-N -1 1 323.353 1.526 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2cnoc2C)ccc1F ZINC001187412198 744558499 /nfs/dbraw/zinc/55/84/99/744558499.db2.gz UNISDZGSVQHGCP-UHFFFAOYSA-N -1 1 300.311 1.609 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992052110 744562389 /nfs/dbraw/zinc/56/23/89/744562389.db2.gz HMFQRUJKPNXJOC-HBIQZDMRSA-N -1 1 303.362 1.068 20 0 DDADMM CC1(C)C[C@@H](CNC(=O)c2n[n-]nc2C(F)(F)F)CCO1 ZINC001187774782 744600766 /nfs/dbraw/zinc/60/07/66/744600766.db2.gz XISQLTOSEQMOAD-ZETCQYMHSA-N -1 1 306.288 1.759 20 0 DDADMM O=C1OCC=C1[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC001187989120 744644068 /nfs/dbraw/zinc/64/40/68/744644068.db2.gz YNVNYVGIDHOROS-UHFFFAOYSA-N -1 1 309.130 1.107 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1ccc(-c2cncnc2)cc1 ZINC001188515403 744710382 /nfs/dbraw/zinc/71/03/82/744710382.db2.gz IIGKXHGSMSMYGV-UHFFFAOYSA-N -1 1 324.300 1.179 20 0 DDADMM O=C(NCc1n[nH]c(=O)[n-]1)c1ccc(O)c(C(F)(F)F)c1 ZINC001188588257 744732696 /nfs/dbraw/zinc/73/26/96/744732696.db2.gz KZWLEDMWNFUFQF-UHFFFAOYSA-N -1 1 302.212 1.165 20 0 DDADMM COC(=O)c1c2[nH]ncc2ccc1NC(=O)c1ccncc1[O-] ZINC001188636040 744743656 /nfs/dbraw/zinc/74/36/56/744743656.db2.gz DFUAQDHVIPVIPH-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM O=C(Nc1noc2nccnc12)c1cc(-c2ccccn2)n[nH]1 ZINC001189464764 744903741 /nfs/dbraw/zinc/90/37/41/744903741.db2.gz XICBEIMPYOJFRY-UHFFFAOYSA-N -1 1 307.273 1.655 20 0 DDADMM O=C([N-]c1noc2nccnc12)c1cc(-c2ccccn2)[nH]n1 ZINC001189464764 744903744 /nfs/dbraw/zinc/90/37/44/744903744.db2.gz XICBEIMPYOJFRY-UHFFFAOYSA-N -1 1 307.273 1.655 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2cc(F)ccc2F)CCC1 ZINC001189698291 744956100 /nfs/dbraw/zinc/95/61/00/744956100.db2.gz FYVKZFZEJXHJNK-UHFFFAOYSA-N -1 1 319.329 1.480 20 0 DDADMM COC(=O)[C@@H](NC(=O)Nc1cc(F)c([O-])cc1F)C(C)C ZINC001190245703 745160146 /nfs/dbraw/zinc/16/01/46/745160146.db2.gz WFNVYPOCCSQSAV-NSHDSACASA-N -1 1 302.277 1.990 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc(SC)nc2C)n1 ZINC001190505016 745232030 /nfs/dbraw/zinc/23/20/30/745232030.db2.gz GPLOTSRYVDGKRD-UHFFFAOYSA-N -1 1 321.362 1.659 20 0 DDADMM O=S(=O)(Nc1nc(F)ccc1Br)c1ncc[n-]1 ZINC001190690919 745298293 /nfs/dbraw/zinc/29/82/93/745298293.db2.gz RDWJNFFAZKOHDR-UHFFFAOYSA-N -1 1 321.131 1.507 20 0 DDADMM Cc1cc(C(=O)N(C)C)ccc1[N-]S(=O)(=O)c1ccncc1 ZINC001190897001 745370728 /nfs/dbraw/zinc/37/07/28/745370728.db2.gz ZYTAFHVZKCZBNB-UHFFFAOYSA-N -1 1 319.386 1.893 20 0 DDADMM CSc1ncc(C(=O)N(C)Cc2cc(C)nn2C)c(=O)[n-]1 ZINC001191431447 745511415 /nfs/dbraw/zinc/51/14/15/745511415.db2.gz WYBOSAKUMUNYRA-UHFFFAOYSA-N -1 1 307.379 1.218 20 0 DDADMM NC(=O)C1(NC(=O)c2ccccc2C(=O)c2ccc([O-])cc2)CC1 ZINC001191510493 745530937 /nfs/dbraw/zinc/53/09/37/745530937.db2.gz NZTPLOIJBLMKPB-UHFFFAOYSA-N -1 1 324.336 1.371 20 0 DDADMM O=S(=O)([N-]Cc1ccc(CO)cc1)C(Cl)(Cl)Cl ZINC001192043788 745681084 /nfs/dbraw/zinc/68/10/84/745681084.db2.gz DUSMNHUKUJWSKY-UHFFFAOYSA-N -1 1 318.609 1.926 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cccc(-c2ccccn2)n1 ZINC001192105276 745692206 /nfs/dbraw/zinc/69/22/06/745692206.db2.gz QIELMQFRVQFBMP-UHFFFAOYSA-N -1 1 324.300 1.179 20 0 DDADMM COc1cc(C(=O)[N-]c2noc3nccnc23)cc(OC)n1 ZINC001192408561 745788265 /nfs/dbraw/zinc/78/82/65/745788265.db2.gz MZNDCMFVUMJQMX-UHFFFAOYSA-N -1 1 301.262 1.282 20 0 DDADMM O=C(NCCN1CCC(F)(F)C1)c1c(F)ccc([O-])c1F ZINC001192556506 745815471 /nfs/dbraw/zinc/81/54/71/745815471.db2.gz WXPPMKNQJVNGTG-UHFFFAOYSA-N -1 1 306.259 1.741 20 0 DDADMM Cn1ncc2c1ncnc2NC(=O)c1c(F)ccc([O-])c1F ZINC001192525662 745820736 /nfs/dbraw/zinc/82/07/36/745820736.db2.gz YQFBDMYKRREYLG-UHFFFAOYSA-N -1 1 305.244 1.599 20 0 DDADMM Nc1nonc1[N-]C(=O)c1ccc(Cl)nc1OC(F)(F)F ZINC001192856019 745917473 /nfs/dbraw/zinc/91/74/73/745917473.db2.gz UAFFJFOOSPVEBX-UHFFFAOYSA-N -1 1 323.618 1.851 20 0 DDADMM CN1CC2(C1)CN(C(=O)c1cc(Cl)nc(Cl)c1[O-])C2 ZINC001193091575 745987155 /nfs/dbraw/zinc/98/71/55/745987155.db2.gz WBTXJMQPOAMELA-UHFFFAOYSA-N -1 1 302.161 1.482 20 0 DDADMM COC(=O)c1csc(C)c1[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC001193207397 746014126 /nfs/dbraw/zinc/01/41/26/746014126.db2.gz LITSCRULJDRYHD-SECBINFHSA-N -1 1 319.404 1.764 20 0 DDADMM CCc1cnn2cc([N-]S(=O)(=O)C[C@@H]3CCCO3)cnc12 ZINC001193212899 746015408 /nfs/dbraw/zinc/01/54/08/746015408.db2.gz HCYMYZCOLNJMEA-LBPRGKRZSA-N -1 1 310.379 1.212 20 0 DDADMM Cc1c([N-]S(=O)(=O)C[C@H]2CCCO2)cnn1[C@H]1CCOC1 ZINC001193217475 746018099 /nfs/dbraw/zinc/01/80/99/746018099.db2.gz BJBKIFCWLNABGW-NWDGAFQWSA-N -1 1 315.395 1.074 20 0 DDADMM Cc1ccc2c(c1)[C@H]([N-]S(=O)(=O)C[C@H]1CCCO1)C(=O)N2 ZINC001193280177 746044941 /nfs/dbraw/zinc/04/49/41/746044941.db2.gz OHHIWMMWINPEKW-MFKMUULPSA-N -1 1 310.375 1.087 20 0 DDADMM O=S(=O)([N-]Cc1cnoc1)c1ncccc1C(F)(F)F ZINC001194578778 746413634 /nfs/dbraw/zinc/41/36/34/746413634.db2.gz SZMCSCATMFXLOS-UHFFFAOYSA-N -1 1 307.253 1.567 20 0 DDADMM O=C(Nc1cc(=O)[nH]c(=O)n1C1CC1)c1cc([O-])cnc1Cl ZINC001195312910 746574154 /nfs/dbraw/zinc/57/41/54/746574154.db2.gz MOXNQPRCWRCFIW-UHFFFAOYSA-N -1 1 322.708 1.290 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C1CC(Cl)(Cl)C1 ZINC001195447543 746603316 /nfs/dbraw/zinc/60/33/16/746603316.db2.gz KBMVGAGAWJLYDK-UHFFFAOYSA-N -1 1 321.251 1.419 20 0 DDADMM Cc1coc(C(=O)[N-]c2ncnc3c2ncn3[C@@H]2CCCO2)n1 ZINC001195752096 746699478 /nfs/dbraw/zinc/69/94/78/746699478.db2.gz OUDNKHKVNRSFMG-VIFPVBQESA-N -1 1 314.305 1.684 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC(=O)[C@@H]2CCCC[C@@H]21 ZINC001196009261 746754561 /nfs/dbraw/zinc/75/45/61/746754561.db2.gz XEDSNWFGQFDSNV-SCZZXKLOSA-N -1 1 307.375 1.445 20 0 DDADMM COc1ccccc1CCN(C)C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196014657 746756633 /nfs/dbraw/zinc/75/66/33/746756633.db2.gz WDUNXWLIDQPIRH-UHFFFAOYSA-N -1 1 319.386 1.794 20 0 DDADMM CCN1C[C@@H]2C[C@H]1CN2C(=S)Nc1ccc(C(=O)[O-])cc1 ZINC001197107614 747068316 /nfs/dbraw/zinc/06/83/16/747068316.db2.gz JQODRNAKHLJWGB-STQMWFEESA-N -1 1 305.403 1.860 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1cccnc1)C(C)(C)C ZINC001197471729 747167962 /nfs/dbraw/zinc/16/79/62/747167962.db2.gz HHDJZAPRZAMNKT-LLVKDONJSA-N -1 1 300.380 1.089 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccc3occc3c2)ccn1 ZINC001198827146 747609538 /nfs/dbraw/zinc/60/95/38/747609538.db2.gz TYSWFORHRGLVGE-UHFFFAOYSA-N -1 1 317.326 1.149 20 0 DDADMM Cc1cc(N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])ncn1 ZINC001061343755 747677781 /nfs/dbraw/zinc/67/77/81/747677781.db2.gz LSSIGEMCSXEJRN-GFCCVEGCSA-N -1 1 313.361 1.284 20 0 DDADMM O=c1oc2cc([O-])ccc2cc1NC(=S)NC[C@H]1CCCO1 ZINC001200014745 748082720 /nfs/dbraw/zinc/08/27/20/748082720.db2.gz QSHDPDJJGWYGBJ-LLVKDONJSA-N -1 1 320.370 1.964 20 0 DDADMM CCOC(=O)CCNC(=S)Nc1cc([O-])c(F)cc1F ZINC001200169105 748164586 /nfs/dbraw/zinc/16/45/86/748164586.db2.gz UMKJMUVSBYSUSB-UHFFFAOYSA-N -1 1 304.318 1.910 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]Cc1ccnnc1 ZINC001201769098 748599820 /nfs/dbraw/zinc/59/98/20/748599820.db2.gz QAVJTWYRHMRSSC-UHFFFAOYSA-N -1 1 304.784 1.373 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)CC(C)(C)C ZINC001004747903 748713952 /nfs/dbraw/zinc/71/39/52/748713952.db2.gz UUWPGLLPLJRKER-NEPJUHHUSA-N -1 1 323.441 1.806 20 0 DDADMM CC(=O)N1CC[C@H](C)[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001004862538 748876098 /nfs/dbraw/zinc/87/60/98/748876098.db2.gz XGCVMMVSFQLIMP-ZANVPECISA-N -1 1 318.377 1.046 20 0 DDADMM CCCC[C@@H](CNc1nc(CC)ns1)NC(=O)c1cnn[nH]1 ZINC001114328264 749061087 /nfs/dbraw/zinc/06/10/87/749061087.db2.gz AISMGDUSNDVVCI-VIFPVBQESA-N -1 1 323.426 1.619 20 0 DDADMM Cc1ccc(F)c(CN(C)c2nnc(-c3noc(=O)[n-]3)n2C)c1 ZINC001121544846 782558607 /nfs/dbraw/zinc/55/86/07/782558607.db2.gz IAIZLDUVKIOZNA-UHFFFAOYSA-N -1 1 318.312 1.242 20 0 DDADMM Cc1nccc(N(C)[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001056900533 761993953 /nfs/dbraw/zinc/99/39/53/761993953.db2.gz IFSGOOWIHZXMSV-LBPRGKRZSA-N -1 1 313.361 1.237 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])c1ncncc1Cl ZINC001095541232 750025699 /nfs/dbraw/zinc/02/56/99/750025699.db2.gz MNGDVEIWHUHYDQ-UHFFFAOYSA-N -1 1 321.768 1.487 20 0 DDADMM Cc1cc(C)nc(NC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001107689960 750384233 /nfs/dbraw/zinc/38/42/33/750384233.db2.gz DGUDWFGKENCKDU-LLVKDONJSA-N -1 1 301.350 1.424 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)s1 ZINC001034844216 750959395 /nfs/dbraw/zinc/95/93/95/750959395.db2.gz RSJPASDCIWJDSW-SNVBAGLBSA-N -1 1 321.406 1.275 20 0 DDADMM O=C([C@H]1CC12CCCC2)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035094544 751192940 /nfs/dbraw/zinc/19/29/40/751192940.db2.gz JXDMDVMRDQJXAR-VXGBXAGGSA-N -1 1 319.409 1.171 20 0 DDADMM CC[C@]1(C)C[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998866690 752310019 /nfs/dbraw/zinc/31/00/19/752310019.db2.gz TYUCXJCJWFHBSX-BDJLRTHQSA-N -1 1 303.362 1.164 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CC1CC1 ZINC001007802369 752363355 /nfs/dbraw/zinc/36/33/55/752363355.db2.gz ZPDXARJKEDIEJD-ZDUSSCGKSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](NCc2csnn2)C1 ZINC000998992841 752429615 /nfs/dbraw/zinc/42/96/15/752429615.db2.gz ALVWUTLXOHXCSG-SNVBAGLBSA-N -1 1 319.390 1.033 20 0 DDADMM C[C@@H]1CCCN(C(=O)/C=C/C2CC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036527321 752521595 /nfs/dbraw/zinc/52/15/95/752521595.db2.gz BYMDBHGRHGIHOW-VKYBYORPSA-N -1 1 319.409 1.193 20 0 DDADMM CC1(C(=O)NC[C@H]2CCCCN2C(=O)c2ncccc2[O-])CC1 ZINC001062149215 752792933 /nfs/dbraw/zinc/79/29/33/752792933.db2.gz FLWQMEMQIFGDHW-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999960912 753277393 /nfs/dbraw/zinc/27/73/93/753277393.db2.gz SQDFXJRKOWOXHH-QWRGUYRKSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C(C)(C)C)C1 ZINC001005953218 753415013 /nfs/dbraw/zinc/41/50/13/753415013.db2.gz SHOYPGYVCCZSAE-SNVBAGLBSA-N -1 1 305.378 1.410 20 0 DDADMM CC[C@H](F)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005955506 753416683 /nfs/dbraw/zinc/41/66/83/753416683.db2.gz GRNJXOMHQKXFGK-KOLCDFICSA-N -1 1 309.341 1.112 20 0 DDADMM O=C(C=C1CCC1)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062787701 753711790 /nfs/dbraw/zinc/71/17/90/753711790.db2.gz AMBNJEVXYLIJNV-UHFFFAOYSA-N -1 1 315.373 1.666 20 0 DDADMM Cc1nsc(N2CC[C@@H](N(C)C(=O)c3ncccc3[O-])C2)n1 ZINC001062923502 753849900 /nfs/dbraw/zinc/84/99/00/753849900.db2.gz UOPKYEAJWIOVBJ-SNVBAGLBSA-N -1 1 319.390 1.298 20 0 DDADMM CCn1ccnc1CN1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010715631 754117386 /nfs/dbraw/zinc/11/73/86/754117386.db2.gz ABWFFJGECSRKRA-LBPRGKRZSA-N -1 1 315.377 1.008 20 0 DDADMM Cc1nc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)oc1C ZINC001010719293 754121744 /nfs/dbraw/zinc/12/17/44/754121744.db2.gz JRTBOYKVWXATRT-GFCCVEGCSA-N -1 1 316.361 1.396 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ccc[nH]2)CCN1C(=O)c1ncccc1[O-] ZINC001011671177 754669216 /nfs/dbraw/zinc/66/92/16/754669216.db2.gz FJVCCOZWTAHWRG-GHMZBOCLSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C2CC=CC2)CCN1C(=O)c1ncccc1[O-] ZINC001011671860 754669284 /nfs/dbraw/zinc/66/92/84/754669284.db2.gz KJAQUOVPDIGSNA-DGCLKSJQSA-N -1 1 315.373 1.473 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1CCC1 ZINC001012433040 755068972 /nfs/dbraw/zinc/06/89/72/755068972.db2.gz QIQJPKNPIRKRBZ-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C=C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012504695 755112523 /nfs/dbraw/zinc/11/25/23/755112523.db2.gz CCWFVYBTTMZQNQ-DGCLKSJQSA-N -1 1 315.373 1.617 20 0 DDADMM CC(=O)N1CCC[C@@H]([C@H]2CCCN2C(=O)c2ncccc2[O-])C1 ZINC001013890987 755531289 /nfs/dbraw/zinc/53/12/89/755531289.db2.gz LOMMWBCWHFPIAL-ZIAGYGMSSA-N -1 1 317.389 1.650 20 0 DDADMM CCC(=O)N1CC[C@]2(NC(=O)c3ncccc3[O-])CCC[C@H]12 ZINC001014261457 755665640 /nfs/dbraw/zinc/66/56/40/755665640.db2.gz WNDHFTJEULMRCS-BLLLJJGKSA-N -1 1 303.362 1.451 20 0 DDADMM CCN(C(=O)C[C@H]1C[C@H]1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080453634 755919685 /nfs/dbraw/zinc/91/96/85/755919685.db2.gz QUXAIUSPYUUHQR-VXGBXAGGSA-N -1 1 317.389 1.506 20 0 DDADMM CCC(=O)N1C[C@@H](c2ccccc2)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001081072220 756206524 /nfs/dbraw/zinc/20/65/24/756206524.db2.gz LIQBOYCMXNCEAQ-QWHCGFSZSA-N -1 1 315.377 1.005 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)c2ccco2)C1 ZINC001017034763 757579555 /nfs/dbraw/zinc/57/95/55/757579555.db2.gz ZEYOHQQZETZWKX-NSHDSACASA-N -1 1 319.365 1.088 20 0 DDADMM COc1ccc(-n2cc(NCc3ncccc3[O-])ncc2=O)cc1 ZINC001169731990 762639133 /nfs/dbraw/zinc/63/91/33/762639133.db2.gz JYTIVVQCSKSDSX-UHFFFAOYSA-N -1 1 324.340 1.954 20 0 DDADMM CC(C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001018099277 758545461 /nfs/dbraw/zinc/54/54/61/758545461.db2.gz LGCCCOCPAXZIIO-NWDGAFQWSA-N -1 1 305.378 1.553 20 0 DDADMM CC1(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCCCC1 ZINC001019126309 759609391 /nfs/dbraw/zinc/60/93/91/759609391.db2.gz VLTZRPPZDCZZEQ-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM C[C@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)C1CCCC1 ZINC001000219335 761580972 /nfs/dbraw/zinc/58/09/72/761580972.db2.gz YDBGOJXHWKHWMI-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)C1CCCC1 ZINC001047008041 768189163 /nfs/dbraw/zinc/18/91/63/768189163.db2.gz XCBUXFZOAVTTNM-UHTWSYAYSA-N -1 1 319.409 1.170 20 0 DDADMM CSc1ncc(F)c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170212869 766172365 /nfs/dbraw/zinc/17/23/65/766172365.db2.gz FFAHGKQBFARYRA-UHFFFAOYSA-N -1 1 309.286 1.110 20 0 DDADMM Cc1c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cnc2nccnc12 ZINC001170216315 766175208 /nfs/dbraw/zinc/17/52/08/766175208.db2.gz ITZVOUHMNZJYQO-UHFFFAOYSA-N -1 1 310.277 1.106 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3Cc4ccc(F)cc43)nc2n1 ZINC001129408622 766871761 /nfs/dbraw/zinc/87/17/61/766871761.db2.gz FQKLOFGVRDTLQH-LLVKDONJSA-N -1 1 313.292 1.144 20 0 DDADMM CN(C(=O)c1cc(-n2cccc2)ccc1Cl)c1nn[n-]n1 ZINC001130972447 767824991 /nfs/dbraw/zinc/82/49/91/767824991.db2.gz YABIBEWRSHRAHB-UHFFFAOYSA-N -1 1 302.725 1.920 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCC[C@@H]2c2nncn2C)c1 ZINC001137988231 770058241 /nfs/dbraw/zinc/05/82/41/770058241.db2.gz HFZTWLQFGJLJJD-CYBMUJFWSA-N -1 1 314.345 1.619 20 0 DDADMM Cc1nc2ccnn2cc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001134128863 770671986 /nfs/dbraw/zinc/67/19/86/770671986.db2.gz XRKGXOFXDLFBBE-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM COCn1ccc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)n1 ZINC001136190773 771913582 /nfs/dbraw/zinc/91/35/82/771913582.db2.gz PEURZDSOLSWSRW-UHFFFAOYSA-N -1 1 313.317 1.835 20 0 DDADMM O=C(NCCn1ccnn1)c1c([O-])cnc2c(F)cccc21 ZINC001143635922 772282528 /nfs/dbraw/zinc/28/25/28/772282528.db2.gz QVABPBALACOCQP-UHFFFAOYSA-N -1 1 301.281 1.101 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC(=O)[C@H]3CCCC[C@H]32)c1[O-] ZINC001147834378 773261077 /nfs/dbraw/zinc/26/10/77/773261077.db2.gz RJZCHDGLQGGDOC-QWHCGFSZSA-N -1 1 318.373 1.562 20 0 DDADMM Cc1ncc(CO)c(C(=O)NC[C@H]2CCOC(C)(C)C2)c1[O-] ZINC001147849003 773269789 /nfs/dbraw/zinc/26/97/89/773269789.db2.gz WXSGVCLQANHBFV-NSHDSACASA-N -1 1 308.378 1.523 20 0 DDADMM Cc1cccc2ncnc(NCCNC(=O)c3ncccc3[O-])c12 ZINC001093562827 774890232 /nfs/dbraw/zinc/89/02/32/774890232.db2.gz IYKCMEOYNCUYQV-UHFFFAOYSA-N -1 1 323.356 1.881 20 0 DDADMM CCc1cc(C)nc(NCCNC(=O)c2ncccc2[O-])n1 ZINC001093563133 774890929 /nfs/dbraw/zinc/89/09/29/774890929.db2.gz WAZPURDQIJNCRV-UHFFFAOYSA-N -1 1 301.350 1.290 20 0 DDADMM O=C(NCCCNc1ncccn1)c1cnc(C2CC2)[n-]c1=O ZINC001094821148 776327137 /nfs/dbraw/zinc/32/71/37/776327137.db2.gz UYISYFPDZAUYSY-UHFFFAOYSA-N -1 1 314.349 1.082 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])c1nccc(C)n1 ZINC001101125835 776927645 /nfs/dbraw/zinc/92/76/45/776927645.db2.gz QKXKZJMRZHJLCW-UHFFFAOYSA-N -1 1 315.377 1.532 20 0 DDADMM COc1ncccc1Nc1cc(S(=O)(=O)C(N)=O)ccc1[O-] ZINC001174043203 777404088 /nfs/dbraw/zinc/40/40/88/777404088.db2.gz KPQCSHVFCCURIM-UHFFFAOYSA-N -1 1 323.330 1.806 20 0 DDADMM Cn1cc2ccc([N-]S(=O)(=O)c3ccc(O)c(N)c3)cc2n1 ZINC001175615178 777859551 /nfs/dbraw/zinc/85/95/51/777859551.db2.gz KBKZBDRUTFZEPJ-UHFFFAOYSA-N -1 1 318.358 1.662 20 0 DDADMM COc1cncc(CCC(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC001175775990 777906741 /nfs/dbraw/zinc/90/67/41/777906741.db2.gz JGSWWZSPNYYBPF-UHFFFAOYSA-N -1 1 315.255 1.798 20 0 DDADMM O=C(Cc1nn[n-]n1)NCCc1c[nH]c2cccc(Cl)c12 ZINC001176847105 778301259 /nfs/dbraw/zinc/30/12/59/778301259.db2.gz IFJBZUQQCMDGCS-UHFFFAOYSA-N -1 1 304.741 1.236 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)c1ccn2nnnc2c1 ZINC001176993098 778378637 /nfs/dbraw/zinc/37/86/37/778378637.db2.gz AUHYBOGJZGPLOP-UHFFFAOYSA-N -1 1 303.709 1.413 20 0 DDADMM CCc1nsc(N[C@H](CC)CNC(=O)c2ncccc2[O-])n1 ZINC001103069666 778618149 /nfs/dbraw/zinc/61/81/49/778618149.db2.gz ZZCAYXOFPJKANM-SECBINFHSA-N -1 1 321.406 1.822 20 0 DDADMM CCCCC(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001103892999 779140557 /nfs/dbraw/zinc/14/05/57/779140557.db2.gz FCQWVQZGZHQVMX-VXGBXAGGSA-N -1 1 309.414 1.275 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNc1ncc(F)cn1 ZINC001103917455 779169342 /nfs/dbraw/zinc/16/93/42/779169342.db2.gz NSUNNZGEEJQBRP-SECBINFHSA-N -1 1 305.313 1.194 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNc1cnc(F)cn1 ZINC001103917550 779170091 /nfs/dbraw/zinc/17/00/91/779170091.db2.gz QUATVEBBHHENRC-VIFPVBQESA-N -1 1 305.313 1.194 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)[C@@H]1CCC(=O)c2ccccc21 ZINC001178918420 779227574 /nfs/dbraw/zinc/22/75/74/779227574.db2.gz NUGSKIKJCFQONG-SECBINFHSA-N -1 1 314.301 1.169 20 0 DDADMM CC(C)CCCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179744162 779477159 /nfs/dbraw/zinc/47/71/59/779477159.db2.gz FPNIUAMXQBQXOF-STQMWFEESA-N -1 1 323.441 1.806 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]([N-]C(=O)C(F)(F)C(F)(F)Cl)C1 ZINC001179781651 779477503 /nfs/dbraw/zinc/47/75/03/779477503.db2.gz KRJYHAJPKZREMG-PHDIDXHHSA-N -1 1 305.655 1.911 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@](C)(O)C(F)(F)F)[n-]1 ZINC001179804370 779492456 /nfs/dbraw/zinc/49/24/56/779492456.db2.gz NBYTZDNAYVYICK-JTQLQIEISA-N -1 1 309.244 1.228 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@](C)(O)C(F)(F)F)n1 ZINC001179804370 779492465 /nfs/dbraw/zinc/49/24/65/779492465.db2.gz NBYTZDNAYVYICK-JTQLQIEISA-N -1 1 309.244 1.228 20 0 DDADMM O=C(Cc1cc(F)c(F)c(F)c1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001179959310 779579755 /nfs/dbraw/zinc/57/97/55/779579755.db2.gz LJZOJXQIJMZRBX-UHFFFAOYSA-N -1 1 323.234 1.657 20 0 DDADMM CN(C)c1nc(NC(=O)C[C@H]2CCCCO2)c(N=O)c(=O)[n-]1 ZINC001180412574 779771001 /nfs/dbraw/zinc/77/10/01/779771001.db2.gz ZKGCBYLDMLWCDA-MRVPVSSYSA-N -1 1 309.326 1.544 20 0 DDADMM CN(CCNC(=O)CCC(F)(F)F)C(=O)c1ncccc1[O-] ZINC001408543008 836779567 /nfs/dbraw/zinc/77/95/67/836779567.db2.gz JBDVEWANRJCBDA-UHFFFAOYSA-N -1 1 319.283 1.318 20 0 DDADMM [O-]c1ccc(CN2CCC[C@@H](n3cc(CO)nn3)C2)c(F)c1F ZINC001414165838 838163598 /nfs/dbraw/zinc/16/35/98/838163598.db2.gz XHHXFMIKBAPKQI-GFCCVEGCSA-N -1 1 324.331 1.591 20 0 DDADMM CC[C@@H](CNC(=O)C=C1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001267797454 838995686 /nfs/dbraw/zinc/99/56/86/838995686.db2.gz ITBKHGBLJKFPQB-LBPRGKRZSA-N -1 1 307.398 1.385 20 0 DDADMM CCCC[C@@H](C)C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409137959 844415529 /nfs/dbraw/zinc/41/55/29/844415529.db2.gz JYGPYDFINKLNFR-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)C1CCC=CCC1 ZINC001268497502 840307035 /nfs/dbraw/zinc/30/70/35/840307035.db2.gz CTGFFOLRIYBEMD-UHFFFAOYSA-N -1 1 307.398 1.195 20 0 DDADMM CC(C)(C)/C=C\C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001269532392 841731656 /nfs/dbraw/zinc/73/16/56/841731656.db2.gz GRJLXQFQPYJFCT-TWGQIWQCSA-N -1 1 321.425 1.631 20 0 DDADMM CN(C)C(=O)C12CC(NC(=O)c3ccc([O-])cc3Cl)(C1)C2 ZINC001269762702 842020032 /nfs/dbraw/zinc/02/00/32/842020032.db2.gz ZZROYOYSQWTGFB-UHFFFAOYSA-N -1 1 308.765 1.786 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c2ccccn2nc1-c1ccccc1 ZINC001154696882 861371749 /nfs/dbraw/zinc/37/17/49/861371749.db2.gz BBRINKIDAITOOI-UHFFFAOYSA-N -1 1 319.328 1.444 20 0 DDADMM CN1C[C@@]2(CC1=O)CCCCN2C(=O)c1ccc(F)c([O-])c1 ZINC001272227159 844780921 /nfs/dbraw/zinc/78/09/21/844780921.db2.gz MBDCSEPRZWOCKC-INIZCTEOSA-N -1 1 306.337 1.758 20 0 DDADMM CNC(=O)[C@H]1CC12CCN(C(=O)c1c(F)ccc([O-])c1F)CC2 ZINC001272246724 844882490 /nfs/dbraw/zinc/88/24/90/844882490.db2.gz SMRMTFYJYQRUAC-SECBINFHSA-N -1 1 324.327 1.659 20 0 DDADMM CN1C[C@]2(CC1=O)CCCCN2C(=O)c1cc([O-])cc(F)c1F ZINC001272250020 844896530 /nfs/dbraw/zinc/89/65/30/844896530.db2.gz QCHBIPRDINZQMY-MRXNPFEDSA-N -1 1 324.327 1.897 20 0 DDADMM CO[C@@H]1COCC[C@H]1NC(=O)c1ccc2cccnc2c1[O-] ZINC001149326512 861547760 /nfs/dbraw/zinc/54/77/60/861547760.db2.gz ITSVLCGPIYTJQF-CHWSQXEVSA-N -1 1 302.330 1.474 20 0 DDADMM CC(C)[C@H](C)C(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001409676940 845552339 /nfs/dbraw/zinc/55/23/39/845552339.db2.gz PJNQXLFCSUZREG-NEPJUHHUSA-N -1 1 307.394 1.561 20 0 DDADMM CN1CC[C@]2(CCN(C(=O)c3cc([O-])cnc3Cl)C2)C1=O ZINC001272387202 846092553 /nfs/dbraw/zinc/09/25/53/846092553.db2.gz YMEXFWBHWYDBGA-CQSZACIVSA-N -1 1 309.753 1.135 20 0 DDADMM CCC(=O)N[C@@H](C)CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001077700307 847148122 /nfs/dbraw/zinc/14/81/22/847148122.db2.gz ADZARFXWRQEGTG-JTQLQIEISA-N -1 1 322.409 1.649 20 0 DDADMM C[C@H](CNC(=O)Cc1ccsc1)NC(=O)c1ncccc1[O-] ZINC001410189902 848875069 /nfs/dbraw/zinc/87/50/69/848875069.db2.gz SPOCPJUGVYRJHV-SNVBAGLBSA-N -1 1 319.386 1.326 20 0 DDADMM C[C@@H](CN(C)C(=O)C1CCCCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001410413489 849218262 /nfs/dbraw/zinc/21/82/62/849218262.db2.gz IPXCMJHNXHTIMZ-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)CC1 ZINC001410468138 849366335 /nfs/dbraw/zinc/36/63/35/849366335.db2.gz CCWCADVIUNBSRA-AVGNSLFASA-N -1 1 323.441 1.663 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc2[nH]ccc2c(F)c1 ZINC001155406303 862051807 /nfs/dbraw/zinc/05/18/07/862051807.db2.gz IANZVSYAAZPHLS-UHFFFAOYSA-N -1 1 312.264 1.931 20 0 DDADMM Cc1cnc(S(C)(=O)=O)nc1-c1c(F)cc([O-])cc1F ZINC001241120338 849846026 /nfs/dbraw/zinc/84/60/26/849846026.db2.gz PKRJQHFXGDLBOW-UHFFFAOYSA-N -1 1 300.286 1.839 20 0 DDADMM CCC[C@@](C)(CC)C(=O)N1CC[C@@](C)(NC(=O)c2cnn[nH]2)C1 ZINC001411009340 850100427 /nfs/dbraw/zinc/10/04/27/850100427.db2.gz UERHUARAKVKQQA-HZPDHXFCSA-N -1 1 321.425 1.742 20 0 DDADMM CCC(C)(C)C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001411304465 850635019 /nfs/dbraw/zinc/63/50/19/850635019.db2.gz AFYAZLMNCRRXFV-UHFFFAOYSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(CC[N-]S(=O)(=O)c1nccs1)NC1CCCCC1 ZINC001187912154 851086389 /nfs/dbraw/zinc/08/63/89/851086389.db2.gz CHPNATBSPVFFTG-UHFFFAOYSA-N -1 1 317.436 1.260 20 0 DDADMM O=C1N(C2COC2)CC[C@]12CCCN2Cc1ccc([S-])cc1 ZINC001274112226 851939735 /nfs/dbraw/zinc/93/97/35/851939735.db2.gz FQDNRMSQFCUCRI-QGZVFWFLSA-N -1 1 318.442 1.941 20 0 DDADMM CCN(CCC(N)=O)C(=O)c1ccc2ccc(C)nc2c1[O-] ZINC001155653012 862344335 /nfs/dbraw/zinc/34/43/35/862344335.db2.gz QDCRJRCNZWSYLP-UHFFFAOYSA-N -1 1 301.346 1.586 20 0 DDADMM Cc1ccc2ccc(C(=O)NC3(CCO)COC3)c([O-])c2n1 ZINC001155661009 862352520 /nfs/dbraw/zinc/35/25/20/862352520.db2.gz XYIWSHZKILPKOU-UHFFFAOYSA-N -1 1 302.330 1.130 20 0 DDADMM NC(=O)[C@@H]1CCC[C@]12CCN(C(=O)c1cc([O-])cnc1Cl)C2 ZINC001274970571 852720446 /nfs/dbraw/zinc/72/04/46/852720446.db2.gz SSYCUNRTCXGDDH-XHDPSFHLSA-N -1 1 323.780 1.558 20 0 DDADMM COc1cc(Br)cc(C(=O)N(C)c2nn[n-]n2)c1 ZINC001411481968 853195664 /nfs/dbraw/zinc/19/56/64/853195664.db2.gz UTICRBLROSMBKS-UHFFFAOYSA-N -1 1 312.127 1.247 20 0 DDADMM CCc1nocc1C(=O)Nc1[n-]c(C(=O)OC)cc1C(C)=O ZINC001411534799 853321119 /nfs/dbraw/zinc/32/11/19/853321119.db2.gz GEVWOVSEAHOYJF-UHFFFAOYSA-N -1 1 305.290 1.807 20 0 DDADMM NC(=O)[C@H]1CCC[C@]12CCN(C(=O)c1c(F)ccc([O-])c1F)C2 ZINC001275611780 853431171 /nfs/dbraw/zinc/43/11/71/853431171.db2.gz JROHUCCGCBJTTM-JDNHERCYSA-N -1 1 324.327 1.788 20 0 DDADMM Cc1c(NC(=O)CNC(=O)c2ncccc2[O-])n[nH]c1C1CC1 ZINC001411749075 853676253 /nfs/dbraw/zinc/67/62/53/853676253.db2.gz MOGJIPOQYBDOIZ-UHFFFAOYSA-N -1 1 315.333 1.065 20 0 DDADMM CO[C@H](CNC(=O)c1cnc(SC)[n-]c1=O)C(F)(F)F ZINC001411776162 853717109 /nfs/dbraw/zinc/71/71/09/853717109.db2.gz GMLHVIXSBRAGFW-ZCFIWIBFSA-N -1 1 311.285 1.211 20 0 DDADMM O=C(c1ccc([O-])cn1)N(C[C@@H]1CCCO1)[C@H]1CCSC1 ZINC001411783003 853727017 /nfs/dbraw/zinc/72/70/17/853727017.db2.gz YFUYUVRLMRMVQZ-AAEUAGOBSA-N -1 1 308.403 1.914 20 0 DDADMM O=C(NC[C@H](O)COc1ccc(F)c(F)c1)c1ccc([O-])cn1 ZINC001411890448 853933425 /nfs/dbraw/zinc/93/34/25/853933425.db2.gz WAMWAVCFSWIZDI-JTQLQIEISA-N -1 1 324.283 1.235 20 0 DDADMM COC[C@@H](CCO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001411908657 853960585 /nfs/dbraw/zinc/96/05/85/853960585.db2.gz MKXDEWKKKDKEBZ-SECBINFHSA-N -1 1 307.268 1.538 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC2(CCN2Cc2ccc[nH]2)C1 ZINC001275988775 854096276 /nfs/dbraw/zinc/09/62/76/854096276.db2.gz HDTWOCXXEZJZHO-UHFFFAOYSA-N -1 1 315.348 1.960 20 0 DDADMM CC[C@H](C)C(=O)NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001412055418 854160151 /nfs/dbraw/zinc/16/01/51/854160151.db2.gz CCOPNIUVZNSRGF-ZDEQEGDKSA-N -1 1 317.389 1.268 20 0 DDADMM O=C(c1ncc(Cl)cn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001412113373 854225258 /nfs/dbraw/zinc/22/52/58/854225258.db2.gz YVKQXZHCAMRHCL-UHFFFAOYSA-N -1 1 309.713 1.238 20 0 DDADMM CN(C)C(=O)NC1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001412155507 854272181 /nfs/dbraw/zinc/27/21/81/854272181.db2.gz IIJCGEUNSPIPQJ-UHFFFAOYSA-N -1 1 309.341 1.407 20 0 DDADMM O=C(NC[C@H]1CS(=O)(=O)c2ccccc21)c1ccc([O-])cn1 ZINC001412166754 854283357 /nfs/dbraw/zinc/28/33/57/854283357.db2.gz NXTYKRUQXYFDNF-JTQLQIEISA-N -1 1 318.354 1.088 20 0 DDADMM CCN1C(=O)N=NC1C1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001412293560 854415502 /nfs/dbraw/zinc/41/55/02/854415502.db2.gz VLTUMBLOZWIPCD-UHFFFAOYSA-N -1 1 317.349 1.124 20 0 DDADMM O=C(CCN1CC[C@H](F)C1)Nc1cccc(-c2nc(=O)o[n-]2)c1 ZINC001412492558 854620354 /nfs/dbraw/zinc/62/03/54/854620354.db2.gz QYXWRPHYXDDXMG-NSHDSACASA-N -1 1 320.324 1.402 20 0 DDADMM CCOC(=O)Nc1ccc(C(=O)NCc2nn[n-]n2)c(Cl)c1 ZINC001412499166 854628833 /nfs/dbraw/zinc/62/88/33/854628833.db2.gz FLHUHNNWNSWCFO-UHFFFAOYSA-N -1 1 324.728 1.352 20 0 DDADMM O=C(NC[C@H]1CCN(CC(F)F)C1)c1ccc([O-])c(F)c1 ZINC001412501178 854631138 /nfs/dbraw/zinc/63/11/38/854631138.db2.gz JXIMCMKMMXJWNX-SECBINFHSA-N -1 1 302.296 1.848 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccnc(C2CC2)n1 ZINC001412526046 854658910 /nfs/dbraw/zinc/65/89/10/854658910.db2.gz GYASJVIWAONDLD-UHFFFAOYSA-N -1 1 301.354 1.166 20 0 DDADMM COC(=O)c1cnc(C(=O)NCc2ccc([O-])c(Cl)c2)cn1 ZINC001412557103 854719868 /nfs/dbraw/zinc/71/98/68/854719868.db2.gz SJXNBDDCRDAVCK-UHFFFAOYSA-N -1 1 321.720 1.552 20 0 DDADMM COC(=O)c1cc(C(=O)NCc2ccc([O-])c(Cl)c2)n(C)n1 ZINC001412556926 854720030 /nfs/dbraw/zinc/72/00/30/854720030.db2.gz PXCAMQZWFUOFSA-UHFFFAOYSA-N -1 1 323.736 1.496 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1C(C)C ZINC001412584505 854755479 /nfs/dbraw/zinc/75/54/79/854755479.db2.gz HXJKRTANFQURTM-TUAOUCFPSA-N -1 1 320.393 1.937 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1C(C)C ZINC001412584505 854755481 /nfs/dbraw/zinc/75/54/81/854755481.db2.gz HXJKRTANFQURTM-TUAOUCFPSA-N -1 1 320.393 1.937 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1C(C)C ZINC001412584505 854755486 /nfs/dbraw/zinc/75/54/86/854755486.db2.gz HXJKRTANFQURTM-TUAOUCFPSA-N -1 1 320.393 1.937 20 0 DDADMM CSc1nc(NC(=O)c2cc3c([nH]c2=O)CCC3)cc(=O)[n-]1 ZINC001151056317 862601261 /nfs/dbraw/zinc/60/12/61/862601261.db2.gz WOGDYZWMACIELA-UHFFFAOYSA-N -1 1 318.358 1.746 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2c([nH]c1=O)CCC2 ZINC001151055938 862602624 /nfs/dbraw/zinc/60/26/24/862602624.db2.gz QYPYGNMOYWALLT-UHFFFAOYSA-N -1 1 317.261 1.127 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)CCC2(O)CCC2)[n-]c1=O ZINC001412657832 854891972 /nfs/dbraw/zinc/89/19/72/854891972.db2.gz ILICCZPSCRFEKZ-UHFFFAOYSA-N -1 1 309.366 1.237 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C[C@@H]2CCCC[C@H]2O)[n-]c1=O ZINC001412657856 854891996 /nfs/dbraw/zinc/89/19/96/854891996.db2.gz JPBRPHWCLNOZKM-WDEREUQCSA-N -1 1 323.393 1.483 20 0 DDADMM COc1cnc(C2(NC(=O)c3coc(C)n3)CCCC2)[n-]c1=O ZINC001412698894 854980011 /nfs/dbraw/zinc/98/00/11/854980011.db2.gz FKVUFXPKJXNQDL-UHFFFAOYSA-N -1 1 318.333 1.687 20 0 DDADMM O=C([O-])COCCOCCNCc1ccc(Br)o1 ZINC001336117561 868740020 /nfs/dbraw/zinc/74/00/20/868740020.db2.gz PDLGXQWDXSDKKK-UHFFFAOYSA-N -1 1 322.155 1.250 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@@H]1Cc1ccc(O)cc1 ZINC001412781066 855255252 /nfs/dbraw/zinc/25/52/52/855255252.db2.gz UGGCSYOXXSMEPA-CYBMUJFWSA-N -1 1 315.377 1.462 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[S@](=O)[C@@H](C)[C@@H]2C)c1 ZINC001156039264 862660836 /nfs/dbraw/zinc/66/08/36/862660836.db2.gz HLPBUHGAWWQUDE-HJTOQOCKSA-N -1 1 309.387 1.495 20 0 DDADMM CCO[C@H]1C[C@](NC(=O)c2ccc([O-])cn2)(C(=O)OC)C1(C)C ZINC001412854065 855726254 /nfs/dbraw/zinc/72/62/54/855726254.db2.gz VFILMRDAFXYOAN-LRDDRELGSA-N -1 1 322.361 1.264 20 0 DDADMM CCC(CC)(NC(=O)CC(C)(C)CC(=O)OC)c1nn[n-]n1 ZINC001412931485 855795886 /nfs/dbraw/zinc/79/58/86/855795886.db2.gz DAHZPYPSTDCKLE-UHFFFAOYSA-N -1 1 311.386 1.311 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2CC(C)(C)OC2(C)C)sn1 ZINC001413318781 856636499 /nfs/dbraw/zinc/63/64/99/856636499.db2.gz HIWRYGABPCCJEQ-QMMMGPOBSA-N -1 1 320.436 1.776 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1CCS[C@H](C)C1 ZINC001413455843 856834281 /nfs/dbraw/zinc/83/42/81/856834281.db2.gz BTFQOMQSKMOCJG-SFYZADRCSA-N -1 1 308.450 1.714 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccn(C(C)(C)C)n1 ZINC001413502714 856897810 /nfs/dbraw/zinc/89/78/10/856897810.db2.gz KUIUEWLBBJMIPZ-UHFFFAOYSA-N -1 1 305.386 1.450 20 0 DDADMM COC[C@H](NC(=O)COc1cccc(C(C)C)c1)c1nn[n-]n1 ZINC001413559635 857067784 /nfs/dbraw/zinc/06/77/84/857067784.db2.gz ZWGKASSVAUCYPB-ZDUSSCGKSA-N -1 1 319.365 1.206 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCSC(C)(C)C1 ZINC001413667403 857307234 /nfs/dbraw/zinc/30/72/34/857307234.db2.gz DOQYDZCKJABVJR-SSDOTTSWSA-N -1 1 313.379 1.300 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(-c2nncs2)cc1 ZINC001156329134 862940504 /nfs/dbraw/zinc/94/05/04/862940504.db2.gz AKSNYCQMAOFOIU-UHFFFAOYSA-N -1 1 301.335 1.290 20 0 DDADMM C/C=C(/C)C(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072969275 857948678 /nfs/dbraw/zinc/94/86/78/857948678.db2.gz TZYUPJAZPULEHF-QCDXTXTGSA-N -1 1 317.389 1.864 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N(C)CCc1cccs1 ZINC001121968736 858649088 /nfs/dbraw/zinc/64/90/88/858649088.db2.gz GKSOLTDTWPTCIP-UHFFFAOYSA-N -1 1 320.378 1.382 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCOC[C@H](C2CCC2)C1 ZINC001123888542 859457318 /nfs/dbraw/zinc/45/73/18/859457318.db2.gz RDOFIWSXCKGSCF-LLVKDONJSA-N -1 1 323.418 1.668 20 0 DDADMM Cc1nnc([N-]C(=O)c2nn(-c3cccnc3)nc2C)s1 ZINC001124401311 859704595 /nfs/dbraw/zinc/70/45/95/859704595.db2.gz ORVAHIHJLLOBPG-UHFFFAOYSA-N -1 1 301.335 1.383 20 0 DDADMM CCOC(=O)c1c2c(nn1C)CN(Cc1ncccc1[O-])C2 ZINC001138396858 860094635 /nfs/dbraw/zinc/09/46/35/860094635.db2.gz HHHPUJPOXUATBV-UHFFFAOYSA-N -1 1 302.334 1.213 20 0 DDADMM O=C([O-])c1ccccc1CN1CCN(c2ncc(O)cn2)CC1 ZINC001140502775 860647801 /nfs/dbraw/zinc/64/78/01/860647801.db2.gz DUTSFSALBUATKG-UHFFFAOYSA-N -1 1 314.345 1.203 20 0 DDADMM Cc1cnc(N2CCN(Cc3ccccc3C(=O)[O-])CC2)nc1 ZINC001140503857 860648090 /nfs/dbraw/zinc/64/80/90/860648090.db2.gz AFBQCAGYDSCKSQ-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc(O)c(F)c1F ZINC001140657098 860668174 /nfs/dbraw/zinc/66/81/74/860668174.db2.gz IZDGERPCNYAOMD-UHFFFAOYSA-N -1 1 323.255 1.140 20 0 DDADMM O=C(CNC(=O)c1ncccc1O)Nc1ccc([O-])c(F)c1F ZINC001140657098 860668177 /nfs/dbraw/zinc/66/81/77/860668177.db2.gz IZDGERPCNYAOMD-UHFFFAOYSA-N -1 1 323.255 1.140 20 0 DDADMM Cc1c(NC(=O)c2ccc([O-])cn2)nnn1Cc1ccncc1 ZINC001141388506 860837613 /nfs/dbraw/zinc/83/76/13/860837613.db2.gz FPZSJWYLECGSSU-UHFFFAOYSA-N -1 1 310.317 1.383 20 0 DDADMM O=C(c1ccc2nonc2c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001325883427 860920719 /nfs/dbraw/zinc/92/07/19/860920719.db2.gz GAIGWFGBBIBQSK-UHFFFAOYSA-N -1 1 315.289 1.331 20 0 DDADMM Cc1ccc2ncc(O)c(C(=O)Nc3cn[nH]c3C(N)=O)c2c1 ZINC001154528030 861178325 /nfs/dbraw/zinc/17/83/25/861178325.db2.gz NEKWVSFUHNTTKG-UHFFFAOYSA-N -1 1 311.301 1.323 20 0 DDADMM Cc1ccc2ncc([O-])c(C(=O)Nc3c[nH]nc3C(N)=O)c2c1 ZINC001154528030 861178328 /nfs/dbraw/zinc/17/83/28/861178328.db2.gz NEKWVSFUHNTTKG-UHFFFAOYSA-N -1 1 311.301 1.323 20 0 DDADMM CSc1nc(NC(=O)c2cccc3c2CNC3=O)cc(=O)[n-]1 ZINC001156547613 863137783 /nfs/dbraw/zinc/13/77/83/863137783.db2.gz AJRWMIYGBOKINJ-UHFFFAOYSA-N -1 1 316.342 1.400 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2CC=CCC2)C1 ZINC001330264091 864198936 /nfs/dbraw/zinc/19/89/36/864198936.db2.gz HBQLDBVYLMRFGU-ZWNOBZJWSA-N -1 1 319.327 1.948 20 0 DDADMM CCCCO[C@H](C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001330288667 864215852 /nfs/dbraw/zinc/21/58/52/864215852.db2.gz SSMWGXXVMVLXCJ-SNVBAGLBSA-N -1 1 309.366 1.076 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC001331194394 864935968 /nfs/dbraw/zinc/93/59/68/864935968.db2.gz BZIABIKJWYVEEY-ZETCQYMHSA-N -1 1 316.321 1.073 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc4c(c3)CCOC4)ccnc1-2 ZINC001361528356 881833282 /nfs/dbraw/zinc/83/32/82/881833282.db2.gz SWFPPOWAEFASAK-UHFFFAOYSA-N -1 1 308.341 1.667 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nc(Cl)nc4[nH]ccc43)c2[nH]1 ZINC001159185366 865152491 /nfs/dbraw/zinc/15/24/91/865152491.db2.gz VCDSWHRKXSGYIM-UHFFFAOYSA-N -1 1 318.684 1.384 20 0 DDADMM COC(=O)c1cc(Cl)nc(Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001159192598 865158559 /nfs/dbraw/zinc/15/85/59/865158559.db2.gz UZAIFUICCFKGOC-UHFFFAOYSA-N -1 1 320.696 1.637 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc(Cl)nc3nc[nH]c32)[n-]1 ZINC001159192479 865158667 /nfs/dbraw/zinc/15/86/67/865158667.db2.gz PVRHDDWRXCRWGY-UHFFFAOYSA-N -1 1 303.673 1.122 20 0 DDADMM CC[C@@H]1OCC[C@H]1C(=O)Nc1[n-]c(C(=O)OC)cc1C(C)=O ZINC001361623573 882030340 /nfs/dbraw/zinc/03/03/40/882030340.db2.gz MIVSILAQSMMAQV-SKDRFNHKSA-N -1 1 308.334 1.758 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1CC[C@H](C(=O)OCC)C1 ZINC001225901748 882102460 /nfs/dbraw/zinc/10/24/60/882102460.db2.gz RSJJPZGUGJCKMK-UWVGGRQHSA-N -1 1 324.333 1.470 20 0 DDADMM Cc1cc2c(c(NCc3cccc4c3cnn4C)n1)C(=O)[N-]C2=O ZINC001162592258 867666369 /nfs/dbraw/zinc/66/63/69/867666369.db2.gz AEWZCRONAZDFQH-UHFFFAOYSA-N -1 1 321.340 1.772 20 0 DDADMM CS(=O)(=O)CC1(CNCc2ccc(/C=C/C(=O)[O-])o2)CC1 ZINC001334805260 867838846 /nfs/dbraw/zinc/83/88/46/867838846.db2.gz CZPCWDJBYMZLJY-SNAWJCMRSA-N -1 1 313.375 1.292 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nnc(-c3ccccc3)o2)co1 ZINC001322026420 867944450 /nfs/dbraw/zinc/94/44/50/867944450.db2.gz VJUWFWMESGUSHF-UHFFFAOYSA-N -1 1 305.315 1.905 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(Cc2cscn2)CC1 ZINC001361681088 882149074 /nfs/dbraw/zinc/14/90/74/882149074.db2.gz WJGSFRJUCTZCQO-UHFFFAOYSA-N -1 1 304.375 1.202 20 0 DDADMM C[C@H]1CCN(C(=O)C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC001335171646 868111181 /nfs/dbraw/zinc/11/11/81/868111181.db2.gz FUSOUAGLDJHGDN-VIFPVBQESA-N -1 1 312.316 1.198 20 0 DDADMM CCCCC1(C(=O)NC[C@@H](C)N(C)Cc2nc(=O)n(C)[n-]2)CC1 ZINC001381561663 882168747 /nfs/dbraw/zinc/16/87/47/882168747.db2.gz BGBMBGVRTXTXPR-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM CSc1nc(CNC(=O)c2cnn3ccccc23)cc(=O)[n-]1 ZINC001163577504 868543460 /nfs/dbraw/zinc/54/34/60/868543460.db2.gz KLNKYSMCSNJVCU-UHFFFAOYSA-N -1 1 315.358 1.482 20 0 DDADMM CCCNC(=O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001361718068 882223205 /nfs/dbraw/zinc/22/32/05/882223205.db2.gz YUFRRDUNUDHOCC-UHFFFAOYSA-N -1 1 304.268 1.667 20 0 DDADMM Cn1c(Cl)cnc1CNCCc1ncc(C(=O)[O-])s1 ZINC001336310529 868860736 /nfs/dbraw/zinc/86/07/36/868860736.db2.gz ZGVAROYEWLJGIW-UHFFFAOYSA-N -1 1 300.771 1.561 20 0 DDADMM O=C(COC(=O)c1ccc2nncn2c1)c1ccc([O-])cc1O ZINC001338249481 869884455 /nfs/dbraw/zinc/88/44/55/869884455.db2.gz YQWKQTVZWNIMBS-UHFFFAOYSA-N -1 1 313.269 1.180 20 0 DDADMM CO[C@@H](CNC(=O)c1cc(C)cc(C=O)c1[O-])[C@@H]1CCOC1 ZINC001166210273 869903090 /nfs/dbraw/zinc/90/30/90/869903090.db2.gz UVMQLZQAWRBXEH-RISCZKNCSA-N -1 1 307.346 1.294 20 0 DDADMM CC[C@@H](C)CC(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001298356870 870498619 /nfs/dbraw/zinc/49/86/19/870498619.db2.gz NYHCADYATDCKCM-ZYOFXKKJSA-N -1 1 305.378 1.626 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CCC[C@@H]1c1ccsc1 ZINC001340070905 870876007 /nfs/dbraw/zinc/87/60/07/870876007.db2.gz XTNIBFQGSVXBIB-SECBINFHSA-N -1 1 318.362 1.561 20 0 DDADMM COC(=O)c1ccc(O)c(C(=O)N2CC[C@H]3[C@@H]2CC[N@@H+]3C)c1 ZINC001276437086 870904359 /nfs/dbraw/zinc/90/43/59/870904359.db2.gz ZHOACISYFNPNFS-STQMWFEESA-N -1 1 304.346 1.097 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2cnc3ccnn3c2)c1 ZINC001305281124 871278327 /nfs/dbraw/zinc/27/83/27/871278327.db2.gz XZKLFGTZERTRPC-UHFFFAOYSA-N -1 1 311.301 1.646 20 0 DDADMM CC(C)CC1(CNC(=O)[C@]2(C(=O)[O-])CNCCO2)CCCC1 ZINC001340748584 871327063 /nfs/dbraw/zinc/32/70/63/871327063.db2.gz RKMIAJYQEQZRGE-INIZCTEOSA-N -1 1 312.410 1.152 20 0 DDADMM CO[C@H](C)C(=O)Nc1cccc(NC(=O)c2n[nH]c(C)c2[O-])c1 ZINC001361852501 882502108 /nfs/dbraw/zinc/50/21/08/882502108.db2.gz DJNLBUPJMKMFBE-SECBINFHSA-N -1 1 318.333 1.649 20 0 DDADMM CC1CCN(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)CC1 ZINC001340801238 871362440 /nfs/dbraw/zinc/36/24/40/871362440.db2.gz FFTZOSRRJDUFHS-UHFFFAOYSA-N -1 1 324.392 1.743 20 0 DDADMM CC1CCN(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)CC1 ZINC001340801238 871362456 /nfs/dbraw/zinc/36/24/56/871362456.db2.gz FFTZOSRRJDUFHS-UHFFFAOYSA-N -1 1 324.392 1.743 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)c1cc(C)cc(C)c1 ZINC001340922594 871427230 /nfs/dbraw/zinc/42/72/30/871427230.db2.gz JFEQIKHYFIMYDB-UHFFFAOYSA-N -1 1 312.381 1.787 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)c1cc(C)cc(C)c1 ZINC001340922594 871427241 /nfs/dbraw/zinc/42/72/41/871427241.db2.gz JFEQIKHYFIMYDB-UHFFFAOYSA-N -1 1 312.381 1.787 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2cnn([C@H]3CCOC3)c2)c1 ZINC001205205722 871428301 /nfs/dbraw/zinc/42/83/01/871428301.db2.gz SRKAVIAEXNOPRC-NSHDSACASA-N -1 1 323.374 1.697 20 0 DDADMM CCN(Cc1cnn(C)c1)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001341250615 871576994 /nfs/dbraw/zinc/57/69/94/871576994.db2.gz YSETVCQEGSTDLW-UHFFFAOYSA-N -1 1 321.776 1.014 20 0 DDADMM CCc1nc(C)ncc1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001361870191 882541328 /nfs/dbraw/zinc/54/13/28/882541328.db2.gz DQNALUSYWNJBJF-UHFFFAOYSA-N -1 1 313.361 1.974 20 0 DDADMM Cc1cc(CN2CCN(C(=O)c3cccc([O-])c3F)CC2)on1 ZINC001361879334 882560399 /nfs/dbraw/zinc/56/03/99/882560399.db2.gz XNAYQGGAPBJONA-UHFFFAOYSA-N -1 1 319.336 1.786 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CC2CCC(OC)CC2)n[n-]1 ZINC001413845873 871928295 /nfs/dbraw/zinc/92/82/95/871928295.db2.gz FPTMRDLTLLTZFN-UHFFFAOYSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CC2CCC(OC)CC2)n1 ZINC001413845873 871928307 /nfs/dbraw/zinc/92/83/07/871928307.db2.gz FPTMRDLTLLTZFN-UHFFFAOYSA-N -1 1 324.381 1.193 20 0 DDADMM Cc1cc(CN(C)CCCNC(=O)c2ncccc2[O-])nn1C ZINC001316818479 872105301 /nfs/dbraw/zinc/10/53/01/872105301.db2.gz GABKRTIZVIIIBF-UHFFFAOYSA-N -1 1 317.393 1.081 20 0 DDADMM C[C@H]1CN(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)C[C@@H]1C ZINC001342284307 872126720 /nfs/dbraw/zinc/12/67/20/872126720.db2.gz HCASQDGHLXBAAN-RYUDHWBXSA-N -1 1 324.392 1.599 20 0 DDADMM C[C@H]1CN(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)C[C@@H]1C ZINC001342284307 872126726 /nfs/dbraw/zinc/12/67/26/872126726.db2.gz HCASQDGHLXBAAN-RYUDHWBXSA-N -1 1 324.392 1.599 20 0 DDADMM CN(C(=O)[C@@H]1CCCC[C@H]1NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001361903590 882610904 /nfs/dbraw/zinc/61/09/04/882610904.db2.gz ISYMXRSIDRVUHN-NXEZZACHSA-N -1 1 324.385 1.246 20 0 DDADMM CSc1ccc([O-])c(C(=O)Nc2nc(C(N)=O)cs2)c1 ZINC001413847616 872506903 /nfs/dbraw/zinc/50/69/03/872506903.db2.gz LDSYFWMADOGIAM-UHFFFAOYSA-N -1 1 309.372 1.922 20 0 DDADMM CCCCCC(C)(C)CNC(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC001343323424 872581688 /nfs/dbraw/zinc/58/16/88/872581688.db2.gz QIQVUECFANJVCN-HNNXBMFYSA-N -1 1 300.399 1.152 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N1C[C@H](C)c2ccccc21 ZINC001343595613 872682098 /nfs/dbraw/zinc/68/20/98/872682098.db2.gz ZYIOSOOLARTYOY-VIFPVBQESA-N -1 1 312.333 1.897 20 0 DDADMM O=C([O-])[C@@]1(C(=O)Nc2ccc(C3CC3)cc2F)CNCCO1 ZINC001344461400 873012843 /nfs/dbraw/zinc/01/28/43/873012843.db2.gz IOKVWXYYSUPIGW-HNNXBMFYSA-N -1 1 308.309 1.085 20 0 DDADMM Cc1cc(C(N)=O)ccc1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001361962729 882718508 /nfs/dbraw/zinc/71/85/08/882718508.db2.gz WUUZLHQOVYIYJO-UHFFFAOYSA-N -1 1 312.329 1.719 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1c2ccccc2C[C@@H]1O ZINC001346451550 873759287 /nfs/dbraw/zinc/75/92/87/873759287.db2.gz RBYQNEMVQGWSAN-JQWIXIFHSA-N -1 1 317.370 1.167 20 0 DDADMM CCN(C)C(=O)[C@@H]1CSCN1C(=O)c1ccc(F)c([O-])c1 ZINC001361998081 882790295 /nfs/dbraw/zinc/79/02/95/882790295.db2.gz YLXALRALJFLIQA-NSHDSACASA-N -1 1 312.366 1.525 20 0 DDADMM COC(=O)C[C@@H]1CSCCN1C(=O)c1ccc(F)c([O-])c1 ZINC001362003998 882800771 /nfs/dbraw/zinc/80/07/71/882800771.db2.gz QMSZSJQJAVNKEL-SNVBAGLBSA-N -1 1 313.350 1.652 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001347661729 874232597 /nfs/dbraw/zinc/23/25/97/874232597.db2.gz SDWGGTQSBMEQJW-KTRBRXNASA-N -1 1 313.361 1.400 20 0 DDADMM CC[C@@H](CNC(=O)C1CC(C(C)(C)C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001378348739 874731940 /nfs/dbraw/zinc/73/19/40/874731940.db2.gz WETXWAYXROIVJA-MCIGGMRASA-N -1 1 323.441 1.567 20 0 DDADMM Cc1nsc(N2CCCN(C(=O)c3ccc([O-])cn3)CC2)n1 ZINC001362040376 882894902 /nfs/dbraw/zinc/89/49/02/882894902.db2.gz GHSBLJPUBSCQLJ-UHFFFAOYSA-N -1 1 319.390 1.300 20 0 DDADMM CO[C@]1(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)CCSC1 ZINC001349383618 875145030 /nfs/dbraw/zinc/14/50/30/875145030.db2.gz NAUQVSWHXONJGT-LBPRGKRZSA-N -1 1 315.420 1.031 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)C(F)(F)C(F)F)[n-]1 ZINC001349792263 875372559 /nfs/dbraw/zinc/37/25/59/875372559.db2.gz UYZMMKZUOWJEJW-UHFFFAOYSA-N -1 1 310.203 1.843 20 0 DDADMM CC[C@H](CC(C)C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210561366 875438471 /nfs/dbraw/zinc/43/84/71/875438471.db2.gz YAQJSXFWUPHMFP-OLZOCXBDSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@@H](O)c1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1 ZINC001210650375 875471028 /nfs/dbraw/zinc/47/10/28/875471028.db2.gz DETXFYVEQNITSV-SECBINFHSA-N -1 1 307.375 1.705 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3cccc(OC)n3)no2)[n-]n1 ZINC001213463740 875946043 /nfs/dbraw/zinc/94/60/43/875946043.db2.gz OANXAUMZWMMYEM-UHFFFAOYSA-N -1 1 301.262 1.317 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ccc(OC)nc3)no2)[n-]n1 ZINC001213463915 875946838 /nfs/dbraw/zinc/94/68/38/875946838.db2.gz RNKZUOMFQIGXNP-UHFFFAOYSA-N -1 1 301.262 1.317 20 0 DDADMM Cc1[nH][nH]c(=O)c1CCNC(=O)c1ncc2ccccc2c1[O-] ZINC001362078231 882980668 /nfs/dbraw/zinc/98/06/68/882980668.db2.gz MAVBJMOTYADLRM-NSHDSACASA-N -1 1 312.329 1.182 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1ncccc1[O-])NCc1nncs1 ZINC001378968387 876029705 /nfs/dbraw/zinc/02/97/05/876029705.db2.gz VJDKFZYHWWGKKN-SNVBAGLBSA-N -1 1 321.406 1.183 20 0 DDADMM CC(C)[C@H](F)C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001379042360 876207507 /nfs/dbraw/zinc/20/75/07/876207507.db2.gz PNJQCHXDTCTQSE-NSHDSACASA-N -1 1 309.341 1.160 20 0 DDADMM CC(C)[C@H](C)C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001381959425 883059148 /nfs/dbraw/zinc/05/91/48/883059148.db2.gz GUGZCDGXTNLUBD-RYUDHWBXSA-N -1 1 307.394 1.656 20 0 DDADMM c1ncc([N-]c2nonc2C2CC2)cc1CN1CCOCC1 ZINC001216604230 876994119 /nfs/dbraw/zinc/99/41/19/876994119.db2.gz QNFBGLSBOVLMIP-UHFFFAOYSA-N -1 1 301.350 1.918 20 0 DDADMM CCCCOC[C@@H](C)OC[C@H](C)Oc1nc(=O)[nH]c(=O)[n-]1 ZINC001227570871 883088822 /nfs/dbraw/zinc/08/88/22/883088822.db2.gz OLVUGJGLIQOGRC-ZJUUUORDSA-N -1 1 301.343 1.272 20 0 DDADMM Cc1ccc(N2CCC[C@H](Oc3nc(=O)[nH]c(=O)[n-]3)C2)nc1 ZINC001227571559 883088892 /nfs/dbraw/zinc/08/88/92/883088892.db2.gz WUCNIKOOWSVTGG-JTQLQIEISA-N -1 1 303.322 1.034 20 0 DDADMM COC(=O)c1nc(-c2ccccc2)[n-]c(=O)c1O[C@H]1C[C@@H](O)C1 ZINC001218423035 877480891 /nfs/dbraw/zinc/48/08/91/877480891.db2.gz BZUXVYVRBGSVBQ-PHIMTYICSA-N -1 1 316.313 1.538 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CCC=CCC1 ZINC001354347094 877908153 /nfs/dbraw/zinc/90/81/53/877908153.db2.gz ZAEKZBCSQWTKGH-GFCCVEGCSA-N -1 1 317.389 1.768 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CCC(=O)Nn1cccn1 ZINC001300791934 878398194 /nfs/dbraw/zinc/39/81/94/878398194.db2.gz VAGWBRUTFVXKLX-UHFFFAOYSA-N -1 1 324.344 1.452 20 0 DDADMM Cc1cccc(OC[C@@H](O)CN(Cc2n[nH]c(=O)[n-]2)C(C)C)c1 ZINC001413965112 878485633 /nfs/dbraw/zinc/48/56/33/878485633.db2.gz PPEBDRVATGATNR-ZDUSSCGKSA-N -1 1 320.393 1.469 20 0 DDADMM CC(=O)c1c(C)cc(NC(=O)NN2CC(=O)[N-]C2=O)cc1C ZINC001219968396 878621551 /nfs/dbraw/zinc/62/15/51/878621551.db2.gz GXFMDPULGKMVPA-UHFFFAOYSA-N -1 1 304.306 1.094 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]C[C@@H](O)C1CCC1 ZINC000316122010 879287446 /nfs/dbraw/zinc/28/74/46/879287446.db2.gz UKCHHQRWDTUKNZ-SNVBAGLBSA-N -1 1 318.326 1.173 20 0 DDADMM CCCCCC(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001356798741 879377665 /nfs/dbraw/zinc/37/76/65/879377665.db2.gz QMBMZRXUABJCNW-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM CC(C)c1cccc(N(C)C(=O)[C@]2(C(=O)[O-])CNCCO2)c1 ZINC001357213583 879862383 /nfs/dbraw/zinc/86/23/83/879862383.db2.gz GGMHNARHTRWPRC-INIZCTEOSA-N -1 1 306.362 1.216 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N(C)c1ccc(C)cc1 ZINC001357559036 880047755 /nfs/dbraw/zinc/04/77/55/880047755.db2.gz AWKAJMSBGAEZKW-UHFFFAOYSA-N -1 1 300.322 1.718 20 0 DDADMM C[C@H](CNC(=O)[C@]1(C)C=CCC1)NC(=O)c1ncccc1[O-] ZINC001358129223 880342606 /nfs/dbraw/zinc/34/26/06/880342606.db2.gz KNDKZILCNKSPHE-BDJLRTHQSA-N -1 1 303.362 1.378 20 0 DDADMM CC[C@H](C)CC(=O)N[C@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001381178117 881202947 /nfs/dbraw/zinc/20/29/47/881202947.db2.gz NYJDFXUUWBLSBU-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM CCOC(=O)[C@@H](Oc1[n-]c(=O)nc2c1COC2)c1ccccc1 ZINC001227691312 883133583 /nfs/dbraw/zinc/13/35/83/883133583.db2.gz SXDSQGIFAHAWSZ-ZDUSSCGKSA-N -1 1 316.313 1.896 20 0 DDADMM CCOc1ccc(NC(=O)CCCc2nn[n-]n2)cc1CO ZINC001362149528 883157288 /nfs/dbraw/zinc/15/72/88/883157288.db2.gz BXYLZKDRCFZARJ-UHFFFAOYSA-N -1 1 305.338 1.052 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)[C@@H]1CCCOC1 ZINC001362163065 883186032 /nfs/dbraw/zinc/18/60/32/883186032.db2.gz ZRMXRERRHQOGQB-SNVBAGLBSA-N -1 1 303.322 1.144 20 0 DDADMM COC(=O)CN(C(=O)c1ccc(F)c([O-])c1)C1CCOCC1 ZINC001362187308 883248079 /nfs/dbraw/zinc/24/80/79/883248079.db2.gz MRFQDAUSIZRCMU-UHFFFAOYSA-N -1 1 311.309 1.326 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1nnc(CC(F)(F)F)s1 ZINC001362193818 883261461 /nfs/dbraw/zinc/26/14/61/883261461.db2.gz UDFFKUNZMWQZOI-UHFFFAOYSA-N -1 1 321.288 1.117 20 0 DDADMM CCc1cnc(CN[C@@H](C)CN(C)C(=O)c2ncccc2[O-])o1 ZINC001382061162 883305896 /nfs/dbraw/zinc/30/58/96/883305896.db2.gz DABVVJNERNMUPR-NSHDSACASA-N -1 1 318.377 1.588 20 0 DDADMM O=C(NN1CCc2ccccc2C1=O)c1cccc([O-])c1F ZINC001362210142 883305954 /nfs/dbraw/zinc/30/59/54/883305954.db2.gz KMLKAABTRGIWBH-UHFFFAOYSA-N -1 1 300.289 1.875 20 0 DDADMM CC(C)n1cc2c(n1)[C@@H](NC(=O)CCc1nn[n-]n1)CCC2 ZINC001362271179 883452784 /nfs/dbraw/zinc/45/27/84/883452784.db2.gz SPPLAISQJVZEFH-NSHDSACASA-N -1 1 303.370 1.104 20 0 DDADMM O=c1cc(O)nc(O[C@H]2COCCN(Cc3ccccc3)C2)[n-]1 ZINC001228388870 883477359 /nfs/dbraw/zinc/47/73/59/883477359.db2.gz OFOIBEPTKPDSOB-CYBMUJFWSA-N -1 1 317.345 1.168 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2cnc(SC)[n-]c2=O)C12CCC2 ZINC001362311398 883537989 /nfs/dbraw/zinc/53/79/89/883537989.db2.gz RKHLYABIDSGMQC-WDEREUQCSA-N -1 1 323.418 1.982 20 0 DDADMM CSc1ncc(C(=O)NC[C@@](C)(O)c2ccccc2)c(=O)[n-]1 ZINC001362312719 883541514 /nfs/dbraw/zinc/54/15/14/883541514.db2.gz SGJZRQLIZYTDKY-OAHLLOKOSA-N -1 1 319.386 1.542 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@H](Oc3cnnc(=S)[n-]3)[C@H]2C1 ZINC001228641570 883588759 /nfs/dbraw/zinc/58/87/59/883588759.db2.gz GEMKXTRNPQXVKU-GUBZILKMSA-N -1 1 324.406 1.795 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2c([O-])cccc2F)cn1 ZINC001362378330 883676798 /nfs/dbraw/zinc/67/67/98/883676798.db2.gz LBULHCQLCGNBAI-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCn2ccnc21 ZINC001362478119 883900660 /nfs/dbraw/zinc/90/06/60/883900660.db2.gz WFDQOBYOBBVKEI-JTQLQIEISA-N -1 1 301.350 1.685 20 0 DDADMM CC1(C)CN=C(N2CCN(C(=O)c3ccc([O-])cn3)CC2)S1 ZINC001362488139 883923169 /nfs/dbraw/zinc/92/31/69/883923169.db2.gz PZTXETUWZVZXRN-UHFFFAOYSA-N -1 1 320.418 1.426 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1Cc2ccccc2O1)c1nn[n-]n1 ZINC001362496427 883942189 /nfs/dbraw/zinc/94/21/89/883942189.db2.gz MEWOVAJCWHIPSU-GFCCVEGCSA-N -1 1 301.350 1.335 20 0 DDADMM O=c1ncnc(O[C@@H]2CCCN(Cc3ccccc3F)C2)[n-]1 ZINC001229610781 884073675 /nfs/dbraw/zinc/07/36/75/884073675.db2.gz WCSRVSANEGLYHR-GFCCVEGCSA-N -1 1 304.325 1.760 20 0 DDADMM Cc1cocc1C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001382520032 884176972 /nfs/dbraw/zinc/17/69/72/884176972.db2.gz JXPAOBZZBSNHDS-LLVKDONJSA-N -1 1 321.381 1.346 20 0 DDADMM CNC(=O)Cn1nc(NC(=O)c2cccc([O-])c2F)cc1C ZINC001362602789 884195195 /nfs/dbraw/zinc/19/51/95/884195195.db2.gz BSTXLQXZXWXNLC-UHFFFAOYSA-N -1 1 306.297 1.035 20 0 DDADMM Cc1nc([C@H]2COCCN2C(=O)c2cc(Cl)ccc2[O-])no1 ZINC001362647185 884312772 /nfs/dbraw/zinc/31/27/72/884312772.db2.gz FJGDWZHDRGVAOX-LLVKDONJSA-N -1 1 323.736 1.951 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(Cl)no1)c1nn[n-]n1 ZINC001362681698 884405215 /nfs/dbraw/zinc/40/52/15/884405215.db2.gz MXZXUENLBIPGIN-YFKPBYRVSA-N -1 1 302.747 1.065 20 0 DDADMM O=C(c1s[n-]c(=O)c1Cl)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC001362687321 884413463 /nfs/dbraw/zinc/41/34/63/884413463.db2.gz KJCGFQYZPFYZRB-RXMQYKEDSA-N -1 1 314.758 1.035 20 0 DDADMM CSCC[C@H](NC(=O)CC1(O)CCCCC1)c1nn[n-]n1 ZINC001362689634 884420515 /nfs/dbraw/zinc/42/05/15/884420515.db2.gz QQEQMDYJAHKETO-JTQLQIEISA-N -1 1 313.427 1.195 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2ncc(C)cc2[O-])c(F)c1 ZINC001362702896 884446834 /nfs/dbraw/zinc/44/68/34/884446834.db2.gz FZXWWONOEVWINC-UHFFFAOYSA-N -1 1 318.304 1.951 20 0 DDADMM COC(=O)c1sc(NC(=O)CCCc2nn[n-]n2)cc1C ZINC001362711828 884466212 /nfs/dbraw/zinc/46/62/12/884466212.db2.gz FIHWZMDGCGHHBZ-UHFFFAOYSA-N -1 1 309.351 1.318 20 0 DDADMM COc1ccc(F)cc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001362832088 884749157 /nfs/dbraw/zinc/74/91/57/884749157.db2.gz PZCCPSLMRHKYQN-UHFFFAOYSA-N -1 1 307.329 1.646 20 0 DDADMM COc1ccc(Cl)cc1[C@@H](O)CNC(=O)c1cncc([O-])c1 ZINC001362914452 884972478 /nfs/dbraw/zinc/97/24/78/884972478.db2.gz SAGQSKVBLGTEGQ-ZDUSSCGKSA-N -1 1 322.748 1.913 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cccc(O)c2)[n-]c1=O ZINC001362968089 885110548 /nfs/dbraw/zinc/11/05/48/885110548.db2.gz CDRWZRRHNLPIEM-UHFFFAOYSA-N -1 1 303.318 1.562 20 0 DDADMM COc1ccc2c(c1)[C@@H](C(=O)NCc1nc([O-])cc(=O)[nH]1)CC2 ZINC001363039007 885296370 /nfs/dbraw/zinc/29/63/70/885296370.db2.gz PRNRZTZESIGMJF-NSHDSACASA-N -1 1 315.329 1.243 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@]1(c2ccccc2)CCCO1 ZINC001363040055 885297941 /nfs/dbraw/zinc/29/79/41/885297941.db2.gz SCJZTBKQGQYKLT-INIZCTEOSA-N -1 1 315.329 1.210 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC001363099379 885461182 /nfs/dbraw/zinc/46/11/82/885461182.db2.gz JVHJTXSYFHXQHR-VIFPVBQESA-N -1 1 321.362 1.153 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@@H](C)[C@H]2C(F)(F)F)c([O-])c1 ZINC001363101919 885467768 /nfs/dbraw/zinc/46/77/68/885467768.db2.gz PDXCEUMWFROJGO-KWQFWETISA-N -1 1 304.268 1.887 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCCC[C@H]2C(=O)OC)n1 ZINC001363209966 885720664 /nfs/dbraw/zinc/72/06/64/885720664.db2.gz DUEHLVBFQRJSMS-VHSXEESVSA-N -1 1 323.349 1.504 20 0 DDADMM COc1ccc([C@@H](CO)CNC(=O)c2ccc([O-])cn2)cc1 ZINC001363247383 885814271 /nfs/dbraw/zinc/81/42/71/885814271.db2.gz IMHYRSNUMKKDOU-GFCCVEGCSA-N -1 1 302.330 1.302 20 0 DDADMM CN(Cc1cc(Cl)c([O-])c(Br)c1)C[C@H](O)CO ZINC001231744175 885849168 /nfs/dbraw/zinc/84/91/68/885849168.db2.gz LKFDQJXLWITHQW-QMMMGPOBSA-N -1 1 324.602 1.593 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@@H]1CC[C@H](C2CC2)O1 ZINC001363276751 885889030 /nfs/dbraw/zinc/88/90/30/885889030.db2.gz OWFRQGJRBHSACA-QWHCGFSZSA-N -1 1 307.398 1.532 20 0 DDADMM C[C@@H](c1ccccc1)[C@@](C)(O)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363331994 886047209 /nfs/dbraw/zinc/04/72/09/886047209.db2.gz ZABPEXKXBCPQKD-MGPLVRAMSA-N -1 1 317.345 1.059 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](c1ccccn1)C(C)C ZINC001363352085 886106204 /nfs/dbraw/zinc/10/62/04/886106204.db2.gz PDGHOOIQUVQNFB-SNVBAGLBSA-N -1 1 318.333 1.419 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CNC(=O)c1ccccc1Cl ZINC001363375925 886171284 /nfs/dbraw/zinc/17/12/84/886171284.db2.gz FYMOCAMCLYSAOE-UHFFFAOYSA-N -1 1 308.725 1.446 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@@H](C)[C@@H](O)CC ZINC001363399508 886225827 /nfs/dbraw/zinc/22/58/27/886225827.db2.gz LBCXKOPIYNNVDI-DCAQKATOSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@@H](C)[C@@H](O)CC ZINC001363399508 886225847 /nfs/dbraw/zinc/22/58/47/886225847.db2.gz LBCXKOPIYNNVDI-DCAQKATOSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@@H](C)[C@@H](O)CC ZINC001363399508 886225866 /nfs/dbraw/zinc/22/58/66/886225866.db2.gz LBCXKOPIYNNVDI-DCAQKATOSA-N -1 1 324.381 1.052 20 0 DDADMM C[C@@H]1OC[C@]2(CC[C@H](CNC(=O)c3ccc([O-])cn3)O2)[C@H]1C ZINC001363534154 886572241 /nfs/dbraw/zinc/57/22/41/886572241.db2.gz LYYFZTOIEBRXNI-GKSGUAPZSA-N -1 1 306.362 1.490 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H](C)N(C)CC(F)(F)F ZINC001363541076 886588367 /nfs/dbraw/zinc/58/83/67/886588367.db2.gz BWVRTPWVEFDBHM-VIFPVBQESA-N -1 1 322.335 1.067 20 0 DDADMM CCO[C@H](C(=O)NC1(c2nn[n-]n2)CCC1)c1ccccc1 ZINC001363545745 886601864 /nfs/dbraw/zinc/60/18/64/886601864.db2.gz BKEAEQUONOMSFF-LBPRGKRZSA-N -1 1 301.350 1.473 20 0 DDADMM C[C@@]1(c2cccc(NC(=O)c3cncc([O-])c3)c2)COC(=O)N1 ZINC001363549675 886612042 /nfs/dbraw/zinc/61/20/42/886612042.db2.gz XEBQXBXLRVNNHQ-INIZCTEOSA-N -1 1 313.313 1.995 20 0 DDADMM CC(C)(O)C[NH+]1CCN(Cc2cc(O)c(F)c(F)c2)CC1 ZINC001232974302 886705300 /nfs/dbraw/zinc/70/53/00/886705300.db2.gz KNMRAQNTCPEXIV-UHFFFAOYSA-N -1 1 300.349 1.559 20 0 DDADMM COc1ncnc2c1CCN(Cc1cc(Cl)ncc1[O-])C2 ZINC001233034600 886743386 /nfs/dbraw/zinc/74/33/86/886743386.db2.gz MYMRVQIXYROSKG-UHFFFAOYSA-N -1 1 306.753 1.798 20 0 DDADMM CSc1ncc(C(=O)N[C@H](c2ncon2)C2CC2)c(=O)[n-]1 ZINC001363607801 886754786 /nfs/dbraw/zinc/75/47/86/886754786.db2.gz QIIUNOVBOPLMGI-QMMMGPOBSA-N -1 1 307.335 1.168 20 0 DDADMM CC(C)NC(=O)[C@@H]1C[C@@H]2[C@@H](CC[N@H+]2Cc2cccc(O)c2F)O1 ZINC001233052262 886759648 /nfs/dbraw/zinc/75/96/48/886759648.db2.gz JREAZGOKVTUMNJ-YUELXQCFSA-N -1 1 322.380 1.788 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@H](C)c2cnn(C)c2)[n-]c1=O ZINC001363614357 886769637 /nfs/dbraw/zinc/76/96/37/886769637.db2.gz HJXNISSHQHLYGP-SECBINFHSA-N -1 1 319.365 1.079 20 0 DDADMM CSc1ncc(C(=O)NC2(c3ccccc3)COC2)c(=O)[n-]1 ZINC001363650540 886886397 /nfs/dbraw/zinc/88/63/97/886886397.db2.gz MEEFRDZVOZRGBD-UHFFFAOYSA-N -1 1 317.370 1.560 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1nc(-c2ccccc2)no1)C1CCC1 ZINC001363781673 887203815 /nfs/dbraw/zinc/20/38/15/887203815.db2.gz CHFKRKOEEAGDNG-LBPRGKRZSA-N -1 1 323.374 1.242 20 0 DDADMM COC(=O)c1cccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001363809122 887280130 /nfs/dbraw/zinc/28/01/30/887280130.db2.gz UXQLTYMPXWPHSC-UHFFFAOYSA-N -1 1 317.349 1.285 20 0 DDADMM COC(=O)c1cc([O-])c(Br)c(OC2COC2)c1 ZINC001233902408 887421060 /nfs/dbraw/zinc/42/10/60/887421060.db2.gz BFVLDWDCKCJWDX-UHFFFAOYSA-N -1 1 303.108 1.719 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2CCC(C)(C)O2)n[n-]1 ZINC001363881782 887461355 /nfs/dbraw/zinc/46/13/55/887461355.db2.gz BBLOJANBAXRDFJ-BDAKNGLRSA-N -1 1 310.354 1.116 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2CCC(C)(C)O2)[n-]1 ZINC001363881782 887461362 /nfs/dbraw/zinc/46/13/62/887461362.db2.gz BBLOJANBAXRDFJ-BDAKNGLRSA-N -1 1 310.354 1.116 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2CCC(C)(C)O2)n1 ZINC001363881782 887461372 /nfs/dbraw/zinc/46/13/72/887461372.db2.gz BBLOJANBAXRDFJ-BDAKNGLRSA-N -1 1 310.354 1.116 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(C)c(O)c2)n[n-]1 ZINC001363882315 887464100 /nfs/dbraw/zinc/46/41/00/887464100.db2.gz DEXLSTNCSFPVJE-SECBINFHSA-N -1 1 318.333 1.486 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(C)c(O)c2)[n-]1 ZINC001363882315 887464109 /nfs/dbraw/zinc/46/41/09/887464109.db2.gz DEXLSTNCSFPVJE-SECBINFHSA-N -1 1 318.333 1.486 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(C)c(O)c2)n1 ZINC001363882315 887464114 /nfs/dbraw/zinc/46/41/14/887464114.db2.gz DEXLSTNCSFPVJE-SECBINFHSA-N -1 1 318.333 1.486 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC1(CF)CCOCC1 ZINC001364015761 887728153 /nfs/dbraw/zinc/72/81/53/887728153.db2.gz ZJNXCELJJKLUEF-UHFFFAOYSA-N -1 1 324.399 1.196 20 0 DDADMM COC[C@H](NC(=O)CSc1ccc(C)cc1C)c1nn[n-]n1 ZINC001364032241 887760186 /nfs/dbraw/zinc/76/01/86/887760186.db2.gz SAXTZRQKUOPZBP-NSHDSACASA-N -1 1 321.406 1.413 20 0 DDADMM COC[C@H](NC(=O)CCc1ccc(SC)cc1)c1nn[n-]n1 ZINC001364037315 887770230 /nfs/dbraw/zinc/77/02/30/887770230.db2.gz ULOABMAWZLAZPG-LBPRGKRZSA-N -1 1 321.406 1.358 20 0 DDADMM CC(C)c1nnc(NC(=O)CCC(=O)Nc2c[n-][nH]c2=O)s1 ZINC001364095889 887906923 /nfs/dbraw/zinc/90/69/23/887906923.db2.gz UYRHVJYGQQTTTE-UHFFFAOYSA-N -1 1 324.366 1.448 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C)C2(C)CC2)cc1C ZINC001364132864 887993289 /nfs/dbraw/zinc/99/32/89/887993289.db2.gz QDEQTIRMOPJIDN-SECBINFHSA-N -1 1 301.364 1.842 20 0 DDADMM COc1cccc(OC[C@@H](C)Oc2c(C(N)=O)nc[n-]c2=O)c1 ZINC001234471520 888003954 /nfs/dbraw/zinc/00/39/54/888003954.db2.gz FTLCLHHLXKJKMC-SECBINFHSA-N -1 1 319.317 1.136 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H](C)COC(C)(C)C)c(=O)[n-]1 ZINC001234563598 888102238 /nfs/dbraw/zinc/10/22/38/888102238.db2.gz ZKGVTLYYNFPHDG-SECBINFHSA-N -1 1 311.382 1.987 20 0 DDADMM CN(C(=O)[C@@H](O)c1cc(Cl)cc(Cl)c1)c1nn[n-]n1 ZINC001364203800 888139810 /nfs/dbraw/zinc/13/98/10/888139810.db2.gz WEOICWVIWZVHJW-QMMMGPOBSA-N -1 1 302.121 1.203 20 0 DDADMM CCOC(=O)c1[n-]cnc1CNc1nccn(C(F)F)c1=O ZINC001364246141 888212789 /nfs/dbraw/zinc/21/27/89/888212789.db2.gz GGHLTXWUJPEZTA-UHFFFAOYSA-N -1 1 313.264 1.150 20 0 DDADMM COc1cn(C)nc1[C@@H](C)NC(=O)c1cnc(SC)[n-]c1=O ZINC001364293259 888315654 /nfs/dbraw/zinc/31/56/54/888315654.db2.gz GLFQUZGAXVVRJI-SSDOTTSWSA-N -1 1 323.378 1.137 20 0 DDADMM COc1cnc(C2(NC(=O)c3ncccn3)CCCC2)[n-]c1=O ZINC001364322228 888378107 /nfs/dbraw/zinc/37/81/07/888378107.db2.gz RWKVXUANYRISSE-UHFFFAOYSA-N -1 1 315.333 1.180 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(OCCO)nc2)c([O-])c1 ZINC001364361351 888456893 /nfs/dbraw/zinc/45/68/93/888456893.db2.gz SRBVFJBUCKELBQ-UHFFFAOYSA-N -1 1 304.302 1.419 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NCc2nnc(CC)s2)n[n-]1 ZINC001364695355 889215702 /nfs/dbraw/zinc/21/57/02/889215702.db2.gz LQUQPWDAJWZKMX-SSDOTTSWSA-N -1 1 310.383 1.246 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2nnc(CC)s2)n1 ZINC001364695355 889215716 /nfs/dbraw/zinc/21/57/16/889215716.db2.gz LQUQPWDAJWZKMX-SSDOTTSWSA-N -1 1 310.383 1.246 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@@H]1CCCS1 ZINC001364767757 889371089 /nfs/dbraw/zinc/37/10/89/889371089.db2.gz HVJMVHGZDLQKMI-ZJUUUORDSA-N -1 1 324.406 1.541 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@@H]1CCCS1 ZINC001364767757 889371098 /nfs/dbraw/zinc/37/10/98/889371098.db2.gz HVJMVHGZDLQKMI-ZJUUUORDSA-N -1 1 324.406 1.541 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@@H]1CCCS1 ZINC001364767757 889371104 /nfs/dbraw/zinc/37/11/04/889371104.db2.gz HVJMVHGZDLQKMI-ZJUUUORDSA-N -1 1 324.406 1.541 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2ccccc2CO)sn1 ZINC001364784869 889409833 /nfs/dbraw/zinc/40/98/33/889409833.db2.gz KEMBWWXMHUKRJT-UHFFFAOYSA-N -1 1 314.388 1.123 20 0 DDADMM CCC1([N-]S(=O)(=O)c2cc(OC)ns2)CCOCC1 ZINC001364829332 889497233 /nfs/dbraw/zinc/49/72/33/889497233.db2.gz JCSBKDSDJRATEX-UHFFFAOYSA-N -1 1 306.409 1.389 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CCC(C)(C)C2)[n-]n1 ZINC001364855776 889555404 /nfs/dbraw/zinc/55/54/04/889555404.db2.gz FZVQSIIOKNAGHH-SECBINFHSA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CCC(C)(C)C2)n[n-]1 ZINC001364855776 889555416 /nfs/dbraw/zinc/55/54/16/889555416.db2.gz FZVQSIIOKNAGHH-SECBINFHSA-N -1 1 315.395 1.396 20 0 DDADMM CCc1c(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)ccn1C ZINC001364974600 889795960 /nfs/dbraw/zinc/79/59/60/889795960.db2.gz QKFQIBYLGNCPCB-UHFFFAOYSA-N -1 1 318.377 1.412 20 0 DDADMM CSCC[C@H](NC(=O)Nc1ccnn1C(C)C)c1nn[n-]n1 ZINC001364999510 889858178 /nfs/dbraw/zinc/85/81/78/889858178.db2.gz HSCPTBZXVNBWIY-VIFPVBQESA-N -1 1 324.414 1.593 20 0 DDADMM CC(C)c1cncc(NC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC001365063035 890018938 /nfs/dbraw/zinc/01/89/38/890018938.db2.gz HWBVXTUZNXGXSM-UHFFFAOYSA-N -1 1 314.345 1.674 20 0 DDADMM Cc1noc(CNC(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC001365325630 890591776 /nfs/dbraw/zinc/59/17/76/890591776.db2.gz LWTDGPCOMYENBG-UHFFFAOYSA-N -1 1 312.276 1.404 20 0 DDADMM [O-]c1cc(-c2nc3nc[nH]c3c(N3CCOCC3)n2)ccc1F ZINC001243046144 891591105 /nfs/dbraw/zinc/59/11/05/891591105.db2.gz BKCKQSMVOHDLLP-UHFFFAOYSA-N -1 1 315.308 1.701 20 0 DDADMM CCCC(C)(C)C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001386852739 891862292 /nfs/dbraw/zinc/86/22/92/891862292.db2.gz QJGSKWAIMITNGP-UHFFFAOYSA-N -1 1 307.394 1.802 20 0 DDADMM CN1CCN(c2nccc(-c3cc(C(=O)[O-])cnc3F)n2)CC1 ZINC001244758970 891910531 /nfs/dbraw/zinc/91/05/31/891910531.db2.gz IMSADWDKSWVETN-UHFFFAOYSA-N -1 1 317.324 1.128 20 0 DDADMM COc1ncc(-c2ncc(F)cc2C)cc1[N-]S(C)(=O)=O ZINC001244789367 891920075 /nfs/dbraw/zinc/92/00/75/891920075.db2.gz JDGCDGMAFCTWTB-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM COc1cccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)n1 ZINC001244790008 891923556 /nfs/dbraw/zinc/92/35/56/891923556.db2.gz NSVUSTUQICLUAC-UHFFFAOYSA-N -1 1 309.347 1.532 20 0 DDADMM O=C(NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1)C1CCCCC1 ZINC001366019914 892226927 /nfs/dbraw/zinc/22/69/27/892226927.db2.gz LZKJBGZXRTYTRX-GFCCVEGCSA-N -1 1 307.398 1.075 20 0 DDADMM CCN(CCNC(=O)[C@H]1CC1(C)C)C(=O)c1ncccc1[O-] ZINC001387178821 892556122 /nfs/dbraw/zinc/55/61/22/892556122.db2.gz NUEHXBMWSWGGSL-LLVKDONJSA-N -1 1 305.378 1.412 20 0 DDADMM Cc1nc(CN[C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])sc1C ZINC001366267149 892955836 /nfs/dbraw/zinc/95/58/36/892955836.db2.gz IBPJXDMBIDKACY-SSDOTTSWSA-N -1 1 323.422 1.405 20 0 DDADMM CC(C)[C@@H](F)C(=O)N[C@H](C)CCNC(=O)c1ncccc1[O-] ZINC001387440749 893108027 /nfs/dbraw/zinc/10/80/27/893108027.db2.gz KSDGAAWYGKXNSU-ZYHUDNBSSA-N -1 1 311.357 1.406 20 0 DDADMM CSCCCNC(=S)Nc1cc(=O)[n-]c(N(C)C)n1 ZINC001247797545 893376141 /nfs/dbraw/zinc/37/61/41/893376141.db2.gz MHIIDXVULNBDSR-UHFFFAOYSA-N -1 1 301.441 1.288 20 0 DDADMM O=S(=O)([N-]c1ccc(OC2COC2)nc1)c1ccc(F)cc1 ZINC001251611420 894748912 /nfs/dbraw/zinc/74/89/12/894748912.db2.gz LFHUIFURKIFMFV-UHFFFAOYSA-N -1 1 324.333 1.799 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001252101885 895020139 /nfs/dbraw/zinc/02/01/39/895020139.db2.gz CXOOYHCZJCJJDN-UHFFFAOYSA-N -1 1 310.291 1.395 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(NC[C@H](O)c3ccc(F)c(F)c3)c2[nH]1 ZINC001253379680 895804226 /nfs/dbraw/zinc/80/42/26/895804226.db2.gz DIRBLDVAIUOTHT-QMMMGPOBSA-N -1 1 323.259 1.139 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(F)c(Br)cc1F ZINC001292873045 914060318 /nfs/dbraw/zinc/06/03/18/914060318.db2.gz NZTJPCWRMOLFSV-UHFFFAOYSA-N -1 1 318.081 1.170 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1C(C)(C)C1(C)C ZINC001389155621 896563961 /nfs/dbraw/zinc/56/39/61/896563961.db2.gz CKZAFOPKWNWVJE-SNVBAGLBSA-N -1 1 319.405 1.704 20 0 DDADMM COc1cccc(C[C@@H](C)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001256152778 897297951 /nfs/dbraw/zinc/29/79/51/897297951.db2.gz ZJEQONQWADIVRZ-MRVPVSSYSA-N -1 1 315.333 1.767 20 0 DDADMM CC[C@H](C)CCC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001389635295 897688493 /nfs/dbraw/zinc/68/84/93/897688493.db2.gz CUUSVCHJHNPJDR-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1cnc(CN(C)C[C@@H](C)NC(=O)c2ncccc2[O-])s1 ZINC001367794291 897820727 /nfs/dbraw/zinc/82/07/27/897820727.db2.gz LXVKDYMWNOXNRW-SNVBAGLBSA-N -1 1 320.418 1.802 20 0 DDADMM C[C@H](CN(C)Cc1nccs1)NC(=O)c1ncccc1[O-] ZINC001367794326 897821281 /nfs/dbraw/zinc/82/12/81/897821281.db2.gz MFOFYHMXPYENPZ-SNVBAGLBSA-N -1 1 306.391 1.494 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-][C@H]1CCc2cc(C)ncc21 ZINC001258790308 898321705 /nfs/dbraw/zinc/32/17/05/898321705.db2.gz BIDONPKSLMARLL-ZDUSSCGKSA-N -1 1 307.375 1.961 20 0 DDADMM Cc1oncc1C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259034226 898433523 /nfs/dbraw/zinc/43/35/23/898433523.db2.gz HUCIKHMZDYHPKS-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM CC1(C)C[C@@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)O1 ZINC001259043390 898437170 /nfs/dbraw/zinc/43/71/70/898437170.db2.gz XAPWIVJGCXBXSN-ZETCQYMHSA-N -1 1 309.309 1.950 20 0 DDADMM CC(=O)NCCCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259082975 898458572 /nfs/dbraw/zinc/45/85/72/898458572.db2.gz ZCQROCWFBXHVAQ-UHFFFAOYSA-N -1 1 324.324 1.299 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccccn1)c1cccc(F)c1 ZINC001259292339 898589699 /nfs/dbraw/zinc/58/96/99/898589699.db2.gz XEQRTAIAUKRNGC-ZDUSSCGKSA-N -1 1 324.333 1.413 20 0 DDADMM CN(C)c1nc(NS(=O)(=O)C2CCCCC2)cc(=O)[n-]1 ZINC001259809598 898820610 /nfs/dbraw/zinc/82/06/10/898820610.db2.gz VIWGLVCZPCFLQN-UHFFFAOYSA-N -1 1 300.384 1.323 20 0 DDADMM CCCCCCOC(=O)C[N-]S(=O)(=O)CCC(F)(F)F ZINC001259875761 898887243 /nfs/dbraw/zinc/88/72/43/898887243.db2.gz IPAFREPCKFRFDJ-UHFFFAOYSA-N -1 1 319.345 1.982 20 0 DDADMM Cc1ccsc1S(=O)(=O)Nc1cc(=O)[n-]c(N(C)C)n1 ZINC001260063222 899029632 /nfs/dbraw/zinc/02/96/32/899029632.db2.gz USSGNUFUTIFGHT-UHFFFAOYSA-N -1 1 314.392 1.419 20 0 DDADMM Cc1ccsc1S(=O)(=O)NCC(=O)c1ccc([O-])cc1 ZINC001260072934 899036049 /nfs/dbraw/zinc/03/60/49/899036049.db2.gz YERCUAHYXYMCOJ-UHFFFAOYSA-N -1 1 311.384 1.923 20 0 DDADMM C[N@H+]1CCC[C@@H]2CN(S(=O)(=O)c3cccc(C(=O)[O-])c3)C[C@H]21 ZINC001260187784 899080937 /nfs/dbraw/zinc/08/09/37/899080937.db2.gz ADSCJVGVHLITHS-TZMCWYRMSA-N -1 1 324.402 1.100 20 0 DDADMM CN1CCC[C@@H]2CN(S(=O)(=O)c3cccc(C(=O)[O-])c3)C[C@H]21 ZINC001260187784 899080951 /nfs/dbraw/zinc/08/09/51/899080951.db2.gz ADSCJVGVHLITHS-TZMCWYRMSA-N -1 1 324.402 1.100 20 0 DDADMM CN1CCC[C@](C)(NS(=O)(=O)c2cccc(C(=O)[O-])c2)C1 ZINC001260194474 899083345 /nfs/dbraw/zinc/08/33/45/899083345.db2.gz MPVQCCXQPRKMGD-AWEZNQCLSA-N -1 1 312.391 1.147 20 0 DDADMM COC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260206491 899089553 /nfs/dbraw/zinc/08/95/53/899089553.db2.gz QLHYYUBRUUMUGY-YFKPBYRVSA-N -1 1 313.709 1.458 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]1O)c1cc(F)c(F)cc1Cl ZINC001260287551 899112126 /nfs/dbraw/zinc/11/21/26/899112126.db2.gz DPMFKVVNTBRNEH-ZJUUUORDSA-N -1 1 311.737 1.810 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])[C@H](C)c1cnccn1 ZINC001390904504 900479447 /nfs/dbraw/zinc/47/94/47/900479447.db2.gz QFSBYCKMAQPFAO-GFCCVEGCSA-N -1 1 315.377 1.390 20 0 DDADMM C[C@H]1CCN(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)[C@H]1C ZINC001263164381 900521830 /nfs/dbraw/zinc/52/18/30/900521830.db2.gz UFFUZOHGBXSSJC-RYUDHWBXSA-N -1 1 324.392 1.741 20 0 DDADMM C[C@H]1CCN(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)[C@H]1C ZINC001263164381 900521843 /nfs/dbraw/zinc/52/18/43/900521843.db2.gz UFFUZOHGBXSSJC-RYUDHWBXSA-N -1 1 324.392 1.741 20 0 DDADMM CC1(/C=C/C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)CCOCC1 ZINC001263911759 900815058 /nfs/dbraw/zinc/81/50/58/900815058.db2.gz RGZDKYXMBUKLSC-IHVVCDCBSA-N -1 1 319.409 1.354 20 0 DDADMM CCCC[C@H](C)C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369442549 901302986 /nfs/dbraw/zinc/30/29/86/901302986.db2.gz IRZBEKGRPMGCSU-NWDGAFQWSA-N -1 1 323.441 1.663 20 0 DDADMM CC(C)[C@@H](CNC(=O)C1=CCCC1)NC(=O)c1ncccc1[O-] ZINC001391570835 902034865 /nfs/dbraw/zinc/03/48/65/902034865.db2.gz XMRTZDCSSQBDTC-CYBMUJFWSA-N -1 1 317.389 1.768 20 0 DDADMM CC[C@H](CNC(=O)c1cc(C)ccc1F)NCc1n[nH]c(=O)[n-]1 ZINC001391723757 902453000 /nfs/dbraw/zinc/45/30/00/902453000.db2.gz HVBVINLLVKLRLE-SNVBAGLBSA-N -1 1 321.356 1.256 20 0 DDADMM CC1(CCC(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)CC1 ZINC001370254648 902795992 /nfs/dbraw/zinc/79/59/92/902795992.db2.gz IFQRJUDSEZYEHQ-NSHDSACASA-N -1 1 307.398 1.075 20 0 DDADMM CCC[C@H](C)C(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001370288047 902862726 /nfs/dbraw/zinc/86/27/26/902862726.db2.gz GJVHBTGYCRSYQM-QWHCGFSZSA-N -1 1 323.441 1.617 20 0 DDADMM Cc1csc(C(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001392055533 903186438 /nfs/dbraw/zinc/18/64/38/903186438.db2.gz NKKPTEHIQWLCBH-VIFPVBQESA-N -1 1 309.395 1.131 20 0 DDADMM CCc1cnc(CN(C)C[C@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001375034196 914723076 /nfs/dbraw/zinc/72/30/76/914723076.db2.gz WDKCROIFRMCUQC-NSHDSACASA-N -1 1 318.377 1.588 20 0 DDADMM CC/C=C(/C)C(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001280986935 904281734 /nfs/dbraw/zinc/28/17/34/904281734.db2.gz XKJKIPXYSRXLFL-SEVUFMINSA-N -1 1 305.378 1.720 20 0 DDADMM CC(=O)CCCC(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001293953914 914800430 /nfs/dbraw/zinc/80/04/30/914800430.db2.gz PKCCLBDNOXVNFC-UHFFFAOYSA-N -1 1 321.377 1.125 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)CCC1(C)CC1 ZINC001294037316 914872925 /nfs/dbraw/zinc/87/29/25/914872925.db2.gz ONIBPRABHKROPZ-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM Cc1n[nH]c(C(=O)NCCN(C)Cc2ccc(C)c(F)c2)c1[O-] ZINC001371954016 906091991 /nfs/dbraw/zinc/09/19/91/906091991.db2.gz NLSHXRCRDMMUTM-UHFFFAOYSA-N -1 1 320.368 1.733 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)cc1F ZINC001393946461 908348239 /nfs/dbraw/zinc/34/82/39/908348239.db2.gz AEMWPXTVSNVRMX-UWVGGRQHSA-N -1 1 321.356 1.254 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1(C)CCCCC1 ZINC001393964297 908399014 /nfs/dbraw/zinc/39/90/14/908399014.db2.gz CDFWGDSWZKIFLW-MNOVXSKESA-N -1 1 309.414 1.464 20 0 DDADMM CCC[C@H](CC)C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001394088317 908759218 /nfs/dbraw/zinc/75/92/18/908759218.db2.gz FJKMSYFEYPRTCD-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM C[C@@H](CNC(=O)[C@H](C)C(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001373102788 908965761 /nfs/dbraw/zinc/96/57/61/908965761.db2.gz IVYJKGBZYNLSBX-IUCAKERBSA-N -1 1 315.320 1.313 20 0 DDADMM C[C@H](CN(C)C(=O)C(C)(C)C(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001394545664 909957671 /nfs/dbraw/zinc/95/76/71/909957671.db2.gz WGEDEWNQVKPQAW-SSDOTTSWSA-N -1 1 323.319 1.035 20 0 DDADMM Cc1cc(C(=O)N[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])on1 ZINC001394934392 910945591 /nfs/dbraw/zinc/94/55/91/910945591.db2.gz LBLCLEOUJJZXTB-NXEZZACHSA-N -1 1 318.333 1.020 20 0 DDADMM CC(C)[C@H](C)C(=O)N[C@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001395313653 911779615 /nfs/dbraw/zinc/77/96/15/911779615.db2.gz UHFVTNZLCXVSBO-NWDGAFQWSA-N -1 1 319.405 1.656 20 0 DDADMM CC/C(C)=C\C(=O)N[C@@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001286193698 911808794 /nfs/dbraw/zinc/80/87/94/911808794.db2.gz ZABORZVJQJBYSX-KGTBHZDVSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H](CN(C)C(=O)CC(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001395530091 912190022 /nfs/dbraw/zinc/19/00/22/912190022.db2.gz LXVDQVFXZBLBQC-LLVKDONJSA-N -1 1 307.394 1.800 20 0 DDADMM CC[C@@H](F)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCCC1 ZINC001375304894 915537831 /nfs/dbraw/zinc/53/78/31/915537831.db2.gz NVYZFNQRVNOJEM-LLVKDONJSA-N -1 1 323.368 1.694 20 0 DDADMM CN(C)C(=O)NCCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001295514152 915863588 /nfs/dbraw/zinc/86/35/88/915863588.db2.gz VOEKOFGBBCHYDB-UHFFFAOYSA-N -1 1 317.345 1.252 20 0 DDADMM CC/C(C)=C\C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001296420234 916401593 /nfs/dbraw/zinc/40/15/93/916401593.db2.gz WAICTPDISNFJGO-KXXVWKPMSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@H]1CCC[C@H](C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)C1 ZINC001376776096 919339593 /nfs/dbraw/zinc/33/95/93/919339593.db2.gz YBPIXULOGNLEFJ-RYUDHWBXSA-N -1 1 321.425 1.465 20 0 DDADMM CCCC(=O)NC[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001376960176 919909177 /nfs/dbraw/zinc/90/91/77/919909177.db2.gz HUGMLTPGSBRHIX-SECBINFHSA-N -1 1 306.366 1.094 20 0 DDADMM CC1=C(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])CCCO1 ZINC001377543903 922284709 /nfs/dbraw/zinc/28/47/09/922284709.db2.gz FBJBGSMWVBRQCI-JTQLQIEISA-N -1 1 319.361 1.106 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C12CCC(CC1)C2 ZINC001377885955 923329967 /nfs/dbraw/zinc/32/99/67/923329967.db2.gz NWIQBMFYELMGAY-HXBIFKHQSA-N -1 1 307.398 1.073 20 0 DDADMM CC(C)CCN1CC(NC(=O)C(C)(C)[N-]C(=O)C(F)(F)F)C1 ZINC001377946611 923486385 /nfs/dbraw/zinc/48/63/85/923486385.db2.gz OFJGYVHCPSFBNQ-UHFFFAOYSA-N -1 1 323.359 1.290 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CCc4ccccc43)nc2n1 ZINC000622870776 365550251 /nfs/dbraw/zinc/55/02/51/365550251.db2.gz GRBVBOHWPWOAPI-LBPRGKRZSA-N -1 1 323.356 1.785 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc(C4CC4)cc3)nc2n1 ZINC000622870351 365550390 /nfs/dbraw/zinc/55/03/90/365550390.db2.gz ZECMMVLGLWBNOZ-UHFFFAOYSA-N -1 1 309.329 1.278 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cccc(C(C)C)n3)nc2n1 ZINC000622871036 365550508 /nfs/dbraw/zinc/55/05/08/365550508.db2.gz PETYGCBXTLUCPE-UHFFFAOYSA-N -1 1 312.333 1.497 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCO[C@@H](C)CC(C)C)nc2n1 ZINC000622870794 365550614 /nfs/dbraw/zinc/55/06/14/365550614.db2.gz HDQTWFLNEOIHPL-NSHDSACASA-N -1 1 321.381 1.506 20 0 DDADMM CC[C@H]1CCCC[C@@H]1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000622870091 365550840 /nfs/dbraw/zinc/55/08/40/365550840.db2.gz QWCRDVVHVYPRDQ-QWRGUYRKSA-N -1 1 303.366 1.881 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@H](C)c3ccncc3)nc2n1 ZINC000622871319 365551741 /nfs/dbraw/zinc/55/17/41/365551741.db2.gz YDVZBQYVRGHFPZ-VIFPVBQESA-N -1 1 312.333 1.253 20 0 DDADMM CCC(CC)(CNC(=O)N[C@@H]1CCc2[nH]cnc2C1)C(=O)[O-] ZINC000424393540 282105691 /nfs/dbraw/zinc/10/56/91/282105691.db2.gz ARJAUDKVNMTBJW-SNVBAGLBSA-N -1 1 308.382 1.457 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCO[C@H](C)C3)nc2n1 ZINC000622997143 365589555 /nfs/dbraw/zinc/58/95/55/365589555.db2.gz MUQBBWQKXJIMGG-NXEZZACHSA-N -1 1 319.365 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@]3(C)C(C)C)nc2n1 ZINC000622997090 365589747 /nfs/dbraw/zinc/58/97/47/365589747.db2.gz LCGBERNZXQLWJY-MEDUHNTESA-N -1 1 317.393 1.991 20 0 DDADMM C[C@H](Oc1cc(Cl)cc(Cl)c1)C(=O)Nc1nnn[n-]1 ZINC000076964299 185062086 /nfs/dbraw/zinc/06/20/86/185062086.db2.gz APKRVIFOPNUVIE-YFKPBYRVSA-N -1 1 302.121 1.913 20 0 DDADMM C[C@H](Oc1cc(Cl)cc(Cl)c1)C(=O)Nc1nn[n-]n1 ZINC000076964299 185062088 /nfs/dbraw/zinc/06/20/88/185062088.db2.gz APKRVIFOPNUVIE-YFKPBYRVSA-N -1 1 302.121 1.913 20 0 DDADMM CCOC(=O)CCC(=O)CSc1nc(C(=O)OC)c[n-]1 ZINC000278562741 214238554 /nfs/dbraw/zinc/23/85/54/214238554.db2.gz CQMVQXHOFQRVNG-UHFFFAOYSA-N -1 1 300.336 1.201 20 0 DDADMM CO[C@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)C1CCCC1 ZINC000614391120 361833760 /nfs/dbraw/zinc/83/37/60/361833760.db2.gz OJOGUJLYEAACPK-NEPJUHHUSA-N -1 1 309.366 1.686 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)[C@H]1CCCO1 ZINC000614392677 361835104 /nfs/dbraw/zinc/83/51/04/361835104.db2.gz ZTMKEWXQFBKTDH-GRYCIOLGSA-N -1 1 309.366 1.686 20 0 DDADMM Cc1cc(C)n([C@H](C)C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000614474034 361875243 /nfs/dbraw/zinc/87/52/43/361875243.db2.gz NIVMWCSVAXRRSE-LLVKDONJSA-N -1 1 319.365 1.556 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC[C@H]1CCc2ccccc21 ZINC000451641453 231148189 /nfs/dbraw/zinc/14/81/89/231148189.db2.gz NKVWNDBBWWUWQJ-GFCCVEGCSA-N -1 1 321.402 1.794 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@H]1CCc2ccccc21 ZINC000451641453 231148191 /nfs/dbraw/zinc/14/81/91/231148191.db2.gz NKVWNDBBWWUWQJ-GFCCVEGCSA-N -1 1 321.402 1.794 20 0 DDADMM O=C([C@@H]1Cc2cc(F)ccc2O1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129315872 539184154 /nfs/dbraw/zinc/18/41/54/539184154.db2.gz BHLXYEUILLGKTD-ZANVPECISA-N -1 1 317.324 1.049 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cnc(C3CC3)nc2)co1 ZINC000130295071 539186081 /nfs/dbraw/zinc/18/60/81/539186081.db2.gz FDZVVRUAGGUQJQ-UHFFFAOYSA-N -1 1 322.346 1.107 20 0 DDADMM Cn1cc(CC[N-]S(=O)(=O)c2c(F)cccc2Cl)cn1 ZINC000131277918 539187686 /nfs/dbraw/zinc/18/76/86/539187686.db2.gz PNSXSWLMIIYKPX-UHFFFAOYSA-N -1 1 317.773 1.734 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H](C)C1CCC1 ZINC000131691972 539188275 /nfs/dbraw/zinc/18/82/75/539188275.db2.gz HYDSAIMLZAPKRA-VIFPVBQESA-N -1 1 302.378 1.487 20 0 DDADMM CC[C@@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000443317648 529535965 /nfs/dbraw/zinc/53/59/65/529535965.db2.gz HKJNZZUTJISNOE-ZJUUUORDSA-N -1 1 319.379 1.142 20 0 DDADMM CC(C)(C)c1nnc(S(=O)(=O)Cc2noc3c2CCCC3)[n-]1 ZINC000195173478 539263442 /nfs/dbraw/zinc/26/34/42/539263442.db2.gz IMYXSZQQIVEGOC-UHFFFAOYSA-N -1 1 324.406 1.943 20 0 DDADMM CC(C)(C)c1n[n-]c(S(=O)(=O)Cc2noc3c2CCCC3)n1 ZINC000195173478 539263444 /nfs/dbraw/zinc/26/34/44/539263444.db2.gz IMYXSZQQIVEGOC-UHFFFAOYSA-N -1 1 324.406 1.943 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2noc3c2CCCC3)n[n-]1 ZINC000195173478 539263446 /nfs/dbraw/zinc/26/34/46/539263446.db2.gz IMYXSZQQIVEGOC-UHFFFAOYSA-N -1 1 324.406 1.943 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1cnc2ccccc2n1)c1nn[n-]n1 ZINC000254988603 282223266 /nfs/dbraw/zinc/22/32/66/282223266.db2.gz BMPRNJNIKAHUAO-CKXPSTMWSA-N -1 1 323.360 1.814 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@@H](N)Cc1c[nH]c2ccccc12)C(=O)[O-] ZINC000320900810 539297276 /nfs/dbraw/zinc/29/72/76/539297276.db2.gz DRNUORNJWNTSAN-KGLIPLIRSA-N -1 1 317.389 1.511 20 0 DDADMM O=C([O-])c1cc(NC(=O)[C@@H]2Cc3ccccc3CN2)ccc1O ZINC000322045993 539297543 /nfs/dbraw/zinc/29/75/43/539297543.db2.gz ZWYDHEWIPZSMDK-AWEZNQCLSA-N -1 1 312.325 1.743 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2CCC2)c(Br)n1C ZINC000286609915 219081428 /nfs/dbraw/zinc/08/14/28/219081428.db2.gz LWUTVJJDXBYEGF-UHFFFAOYSA-N -1 1 322.228 1.569 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(O[C@@H]2CCOC2)cc1 ZINC000615221185 362200989 /nfs/dbraw/zinc/20/09/89/362200989.db2.gz JLZFJRZSPVZRBU-GFCCVEGCSA-N -1 1 303.318 1.844 20 0 DDADMM COc1ccc2c(c1)OC[C@H]2CC(=O)Nc1c(C)[n-][nH]c1=O ZINC000615221980 362202773 /nfs/dbraw/zinc/20/27/73/362202773.db2.gz ODPRIDDDTSFUAX-SECBINFHSA-N -1 1 303.318 1.937 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2nccs2)sc1C ZINC000333156059 539317477 /nfs/dbraw/zinc/31/74/77/539317477.db2.gz MNQRLELOVWBCRU-UHFFFAOYSA-N -1 1 303.434 1.737 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2nccn2C(F)F)sc1C ZINC000333209659 539318093 /nfs/dbraw/zinc/31/80/93/539318093.db2.gz BGWJSOVTNXSFGZ-UHFFFAOYSA-N -1 1 322.362 1.830 20 0 DDADMM O=C(N[C@@H]1Cc2cncn2C1)c1ccc(C(F)(F)F)cc1[O-] ZINC000623262486 365758879 /nfs/dbraw/zinc/75/88/79/365758879.db2.gz DETFGSBPPOHHKX-SECBINFHSA-N -1 1 311.263 1.962 20 0 DDADMM C[C@@H]1C[C@@H](c2ccccc2)N(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000343612950 539358373 /nfs/dbraw/zinc/35/83/73/539358373.db2.gz BBWNOXHKXQPTLH-RISCZKNCSA-N -1 1 323.356 1.641 20 0 DDADMM O=c1cc(/C=C/c2cnn(CC(F)(F)F)c2)nc2nc[n-]n21 ZINC000352039983 539425959 /nfs/dbraw/zinc/42/59/59/539425959.db2.gz LSTVIZNQJANFDW-OWOJBTEDSA-N -1 1 310.239 1.347 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCn3ccnc3C2)c1Br ZINC000616006889 362517611 /nfs/dbraw/zinc/51/76/11/362517611.db2.gz MFGOLMFXDJRJLN-MRVPVSSYSA-N -1 1 324.182 1.300 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2O[C@@H](C)C[C@H]2C)c1Br ZINC000616009645 362519313 /nfs/dbraw/zinc/51/93/13/362519313.db2.gz YDUZLFJSXXFEQA-QIOHGKGESA-N -1 1 302.172 1.655 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cnn3c2CCC3)c1Br ZINC000616010782 362520150 /nfs/dbraw/zinc/52/01/50/362520150.db2.gz FPPIRDHAIBVRAI-UHFFFAOYSA-N -1 1 310.155 1.298 20 0 DDADMM CCC[C@H](O)[C@H](CO)NC(=O)c1ncc2ccccc2c1[O-] ZINC000616311496 362629634 /nfs/dbraw/zinc/62/96/34/362629634.db2.gz TWIRGHPOZDDPOB-STQMWFEESA-N -1 1 304.346 1.192 20 0 DDADMM CCS(=O)(=O)CCCNC(=O)c1ncc2ccccc2c1[O-] ZINC000616314548 362631099 /nfs/dbraw/zinc/63/10/99/362631099.db2.gz RIYGDDUPRKIFCF-UHFFFAOYSA-N -1 1 322.386 1.495 20 0 DDADMM COCCCOc1ccc(C(=O)Nc2ccncc2[O-])cc1 ZINC000358343621 299125976 /nfs/dbraw/zinc/12/59/76/299125976.db2.gz VSZNLLFRHYREIM-UHFFFAOYSA-N -1 1 302.330 1.877 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC1(C(F)(F)F)CCC1)c2=O ZINC000358366595 299132104 /nfs/dbraw/zinc/13/21/04/299132104.db2.gz IEHJSUJLWOFAAA-UHFFFAOYSA-N -1 1 314.267 1.889 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCC1Cc3ccccc3C1)c2=O ZINC000358374690 299134766 /nfs/dbraw/zinc/13/47/66/299134766.db2.gz UAFBQTFHCDWVMQ-UHFFFAOYSA-N -1 1 322.368 1.819 20 0 DDADMM C[C@@H](CN(C)C(=O)COC/C=C\c1ccccc1)c1nn[n-]n1 ZINC000358387713 299139476 /nfs/dbraw/zinc/13/94/76/299139476.db2.gz ZARSLMVPPJRVLR-XURPKSDJSA-N -1 1 315.377 1.492 20 0 DDADMM CCNC(=O)CCC(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000616811170 362829730 /nfs/dbraw/zinc/82/97/30/362829730.db2.gz LFZLOCAJVIXRTR-UHFFFAOYSA-N -1 1 306.391 1.723 20 0 DDADMM CSC1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000601220434 358452950 /nfs/dbraw/zinc/45/29/50/358452950.db2.gz QAXNCZNCFRWLNL-UHFFFAOYSA-N -1 1 303.387 1.891 20 0 DDADMM O=C(NCCN1CC=CCC1)c1cc(F)c(F)c([O-])c1F ZINC000279769431 215131030 /nfs/dbraw/zinc/13/10/30/215131030.db2.gz BJLBCQGVUMIVJC-UHFFFAOYSA-N -1 1 300.280 1.801 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N(CCCO)Cc1ccccn1 ZINC000279926223 215242370 /nfs/dbraw/zinc/24/23/70/215242370.db2.gz FDNYGOKLPSNJLB-UHFFFAOYSA-N -1 1 304.321 1.951 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H]1C[C@H](C)O ZINC000155855488 186118111 /nfs/dbraw/zinc/11/81/11/186118111.db2.gz YWVXSKDVJIEGPV-CMPLNLGQSA-N -1 1 321.421 1.751 20 0 DDADMM Cn1c(NC(=O)c2csc(=NC3CC3)[n-]2)nnc1C1CC1 ZINC000617285486 363037526 /nfs/dbraw/zinc/03/75/26/363037526.db2.gz JSZCPFZEDKDIEQ-UHFFFAOYSA-N -1 1 304.379 1.398 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1cnn(-c2ccccc2)c1 ZINC000172367533 198086392 /nfs/dbraw/zinc/08/63/92/198086392.db2.gz XHXZHLCVKODUSF-CQSZACIVSA-N -1 1 307.375 1.793 20 0 DDADMM C[C@H]1CCC[C@@H](CCN=c2ccc(C(=O)NCCO)n[n-]2)C1 ZINC000488383014 233873693 /nfs/dbraw/zinc/87/36/93/233873693.db2.gz DFVMADUUHVUELD-STQMWFEESA-N -1 1 306.410 1.249 20 0 DDADMM CCOc1ccc(C=CC(=O)Nc2nnn[n-]2)cc1OCC ZINC000072678409 191212742 /nfs/dbraw/zinc/21/27/42/191212742.db2.gz UFLZSLATMHBKKX-SOFGYWHQSA-N -1 1 303.322 1.649 20 0 DDADMM CCOc1ccc(C=CC(=O)Nc2nn[n-]n2)cc1OCC ZINC000072678409 191212745 /nfs/dbraw/zinc/21/27/45/191212745.db2.gz UFLZSLATMHBKKX-SOFGYWHQSA-N -1 1 303.322 1.649 20 0 DDADMM CC(C)c1ccc(C(=O)Nc2cnn(CC(N)=O)c2)c([O-])c1 ZINC000053414530 352654678 /nfs/dbraw/zinc/65/46/78/352654678.db2.gz PZLFDSFZJYDDDV-UHFFFAOYSA-N -1 1 302.334 1.450 20 0 DDADMM COc1cc(NC(=O)c2cc[nH]n2)ccc1[N-]S(C)(=O)=O ZINC000052609661 352620583 /nfs/dbraw/zinc/62/05/83/352620583.db2.gz UZMIWTOUMKQWKM-UHFFFAOYSA-N -1 1 310.335 1.042 20 0 DDADMM CCCOc1cccc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)c1 ZINC000064348107 352934385 /nfs/dbraw/zinc/93/43/85/352934385.db2.gz KMGKRABJNXFYCN-UHFFFAOYSA-N -1 1 324.362 1.249 20 0 DDADMM CCCOc1cccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1 ZINC000064348107 352934388 /nfs/dbraw/zinc/93/43/88/352934388.db2.gz KMGKRABJNXFYCN-UHFFFAOYSA-N -1 1 324.362 1.249 20 0 DDADMM O=S(=O)([N-]CC1(CCO)CC1)c1ccc(Br)o1 ZINC000331984478 234352913 /nfs/dbraw/zinc/35/29/13/234352913.db2.gz YAKJSSNSQSHJHI-UHFFFAOYSA-N -1 1 324.196 1.483 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)C(=O)Nc1ccc(C)cc1 ZINC000073941848 353280302 /nfs/dbraw/zinc/28/03/02/353280302.db2.gz PCVJHEPIVZHFMP-UHFFFAOYSA-N -1 1 319.390 1.312 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1c[nH]c2ccccc12 ZINC000075490888 353365029 /nfs/dbraw/zinc/36/50/29/353365029.db2.gz PRYYWZMTPVHKNJ-UHFFFAOYSA-N -1 1 320.374 1.568 20 0 DDADMM Cc1ccc(CCN(Cc2n[nH]c(=O)[n-]2)C[C@@H]2CCCO2)cc1 ZINC000091587603 353831057 /nfs/dbraw/zinc/83/10/57/353831057.db2.gz AGZACTPPIVGTJJ-HNNXBMFYSA-N -1 1 316.405 1.630 20 0 DDADMM C[C@@H]([N-]C(=O)C(F)(F)C(F)F)C(=O)NCc1ccccc1 ZINC000176145765 198551346 /nfs/dbraw/zinc/55/13/46/198551346.db2.gz YPFBTKIGAZYZKR-MRVPVSSYSA-N -1 1 306.259 1.708 20 0 DDADMM CC(C)n1nccc1[C@H]1OCC[C@H]1NC(=O)c1cncc([O-])c1 ZINC000346191766 283017061 /nfs/dbraw/zinc/01/70/61/283017061.db2.gz PCUDQNNTDZQBJP-HIFRSBDPSA-N -1 1 316.361 1.825 20 0 DDADMM O=C([O-])c1cnc2ccccc2c1N1CCC[C@@](O)(CO)C1 ZINC000313673775 354481271 /nfs/dbraw/zinc/48/12/71/354481271.db2.gz ITPKDNZMPIPVLY-INIZCTEOSA-N -1 1 302.330 1.257 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)c1ccco1 ZINC000584837023 354779779 /nfs/dbraw/zinc/77/97/79/354779779.db2.gz SVEUZTPDMJQSCG-AAEUAGOBSA-N -1 1 303.380 1.312 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ncc(C)cc2[O-])C[C@H](C)S1(=O)=O ZINC000346280748 283050253 /nfs/dbraw/zinc/05/02/53/283050253.db2.gz FJDBLSPGRHSLTH-WDEREUQCSA-N -1 1 312.391 1.133 20 0 DDADMM O=C(CC1CCCCC1)NCCNC(=O)c1ncccc1[O-] ZINC000586759140 354858685 /nfs/dbraw/zinc/85/86/85/354858685.db2.gz JVDQATDTWNJAMI-UHFFFAOYSA-N -1 1 305.378 1.604 20 0 DDADMM Cc1cccc(CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000588697824 354930705 /nfs/dbraw/zinc/93/07/05/354930705.db2.gz WPAYNFBXXCCSQK-INIZCTEOSA-N -1 1 307.357 1.956 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cnn(CC2CC2)c1 ZINC000588845207 354941940 /nfs/dbraw/zinc/94/19/40/354941940.db2.gz YPJIBJLXOZJLDH-UHFFFAOYSA-N -1 1 315.395 1.234 20 0 DDADMM C[C@H](CO)N(CC1CCC1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000494331319 235021206 /nfs/dbraw/zinc/02/12/06/235021206.db2.gz ODKGBFMKVREXLX-LLVKDONJSA-N -1 1 315.373 1.546 20 0 DDADMM COc1ccc(NC(=O)c2ccn(C)n2)cc1[N-]S(C)(=O)=O ZINC000589450579 354991113 /nfs/dbraw/zinc/99/11/13/354991113.db2.gz WEPDSJNQOZDBJC-UHFFFAOYSA-N -1 1 324.362 1.053 20 0 DDADMM C[C@H](O)[C@@H]1CN(C(=O)c2cc3ccccc3cc2[O-])CCO1 ZINC000332538143 235071529 /nfs/dbraw/zinc/07/15/29/235071529.db2.gz WFOLODASNBCTJN-ZBEGNZNMSA-N -1 1 301.342 1.767 20 0 DDADMM COC(=O)[C@H]1CCCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000590624444 355132767 /nfs/dbraw/zinc/13/27/67/355132767.db2.gz RHQHYGRGWMRAAK-LLVKDONJSA-N -1 1 309.391 1.307 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCCCSC)o1 ZINC000358878080 291066279 /nfs/dbraw/zinc/06/62/79/291066279.db2.gz NPDPNZZNELACEE-UHFFFAOYSA-N -1 1 321.420 1.878 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@H](C(=O)OC(C)C)C(C)C)C(C)C ZINC000592054966 355478813 /nfs/dbraw/zinc/47/88/13/355478813.db2.gz HYQXMCKNEXRCIU-OLZOCXBDSA-N -1 1 323.455 1.553 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)C(=O)OC ZINC000592068057 355481747 /nfs/dbraw/zinc/48/17/47/355481747.db2.gz HFEQYOZOPFEFON-DRZSPHRISA-N -1 1 321.439 1.309 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnn2CC(C)C)n1 ZINC000592630660 355648618 /nfs/dbraw/zinc/64/86/18/355648618.db2.gz RNFRWOLUMSMRDD-UHFFFAOYSA-N -1 1 305.338 1.691 20 0 DDADMM COC(=O)[C@H]1C[C@@H](O)CN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000592911030 355737465 /nfs/dbraw/zinc/73/74/65/355737465.db2.gz MKQVHNLOTNDBMS-WCBMZHEXSA-N -1 1 313.737 1.042 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)C[C@@H]1CCCC1(F)F ZINC000593634062 355949041 /nfs/dbraw/zinc/94/90/41/355949041.db2.gz JNDQNDHLBZPNDL-DTWKUNHWSA-N -1 1 317.329 1.242 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)c2cnco2)n[n-]1 ZINC000593894804 356046019 /nfs/dbraw/zinc/04/60/19/356046019.db2.gz FRUZVPKFGNIYBV-SECBINFHSA-N -1 1 321.337 1.487 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)c2cnco2)[n-]1 ZINC000593894804 356046022 /nfs/dbraw/zinc/04/60/22/356046022.db2.gz FRUZVPKFGNIYBV-SECBINFHSA-N -1 1 321.337 1.487 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)c2cnco2)n1 ZINC000593894804 356046025 /nfs/dbraw/zinc/04/60/25/356046025.db2.gz FRUZVPKFGNIYBV-SECBINFHSA-N -1 1 321.337 1.487 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccc(C(F)F)nc1 ZINC000594002091 356084194 /nfs/dbraw/zinc/08/41/94/356084194.db2.gz FYUPMIFCXQNGLA-UHFFFAOYSA-N -1 1 324.287 1.604 20 0 DDADMM CC[C@@H](c1ccccc1OC)N(C)C(=O)CCc1nn[n-]n1 ZINC000633086191 422750671 /nfs/dbraw/zinc/75/06/71/422750671.db2.gz LAEHEGJPYYFRFF-LBPRGKRZSA-N -1 1 303.366 1.751 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C)c2cccnc2)cc1C ZINC000595299809 356439123 /nfs/dbraw/zinc/43/91/23/356439123.db2.gz DRFFQMYYPWOACF-SNVBAGLBSA-N -1 1 324.358 1.809 20 0 DDADMM COC[C@@](C)(NC(=O)c1ncc2ccccc2c1[O-])C(=O)OC ZINC000597374886 357153760 /nfs/dbraw/zinc/15/37/60/357153760.db2.gz TYEUPSXNVOBCLP-MRXNPFEDSA-N -1 1 318.329 1.248 20 0 DDADMM CCCCSCCC(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1 ZINC000598891979 357751779 /nfs/dbraw/zinc/75/17/79/357751779.db2.gz AEZKCQHKYQDTRG-UHFFFAOYSA-N -1 1 323.422 1.842 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCc2ccccn2)c1 ZINC000179265708 199007327 /nfs/dbraw/zinc/00/73/27/199007327.db2.gz WCCQNZBJOXHURO-UHFFFAOYSA-N -1 1 324.358 1.372 20 0 DDADMM CC1(C[N-]S(=O)(=O)C2=Cc3ccccc3OC2)OCCO1 ZINC000276751183 213084369 /nfs/dbraw/zinc/08/43/69/213084369.db2.gz SYYRLVHXXIXOKN-UHFFFAOYSA-N -1 1 311.359 1.102 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(F)cc2[O-])CCN1C[C@@H](C)O ZINC000179643912 199069096 /nfs/dbraw/zinc/06/90/96/199069096.db2.gz XASJIDGFLKFWDQ-YPMHNXCESA-N -1 1 310.369 1.449 20 0 DDADMM CCOC(=O)[C@H]1c2ccoc2CCN1C(=O)c1ncccc1[O-] ZINC000600238997 358165817 /nfs/dbraw/zinc/16/58/17/358165817.db2.gz BOQMCBHDHDLOPV-CQSZACIVSA-N -1 1 316.313 1.683 20 0 DDADMM CSC[C@](C)(O)CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601209349 358449047 /nfs/dbraw/zinc/44/90/47/358449047.db2.gz AYSKHOSDXAVOFL-CYBMUJFWSA-N -1 1 303.811 1.818 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601454723 358560807 /nfs/dbraw/zinc/56/08/07/358560807.db2.gz NIKKMGXZDFKPAC-ZCFIWIBFSA-N -1 1 315.269 1.397 20 0 DDADMM CCOC(=O)CC[C@@H](C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601380997 358526439 /nfs/dbraw/zinc/52/64/39/358526439.db2.gz UXDWSMGJAMJHHN-MRVPVSSYSA-N -1 1 306.409 1.462 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C(C)(C)C2CCCC2)n[n-]1 ZINC000603018178 359359679 /nfs/dbraw/zinc/35/96/79/359359679.db2.gz PQDZVNJWWLPZDA-UHFFFAOYSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C(C)(C)C2CCCC2)n1 ZINC000603018178 359359685 /nfs/dbraw/zinc/35/96/85/359359685.db2.gz PQDZVNJWWLPZDA-UHFFFAOYSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCCC[C@@H]2CC)n[n-]1 ZINC000603020164 359362700 /nfs/dbraw/zinc/36/27/00/359362700.db2.gz YFSBNYLDOBOPOY-QWRGUYRKSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCCC[C@@H]2CC)n1 ZINC000603020164 359362704 /nfs/dbraw/zinc/36/27/04/359362704.db2.gz YFSBNYLDOBOPOY-QWRGUYRKSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@]2(C)CC=CCC2)n[n-]1 ZINC000603155558 359441898 /nfs/dbraw/zinc/44/18/98/359441898.db2.gz NWNPZOUQMMANRS-BMIGLBTASA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@]2(C)CC=CCC2)[n-]1 ZINC000603155558 359441903 /nfs/dbraw/zinc/44/19/03/359441903.db2.gz NWNPZOUQMMANRS-BMIGLBTASA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@]2(C)CC=CCC2)n1 ZINC000603155558 359441907 /nfs/dbraw/zinc/44/19/07/359441907.db2.gz NWNPZOUQMMANRS-BMIGLBTASA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cccc(F)c2C)n[n-]1 ZINC000603155601 359442107 /nfs/dbraw/zinc/44/21/07/359442107.db2.gz OOGMJLPXSFZQNM-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cccc(F)c2C)[n-]1 ZINC000603155601 359442110 /nfs/dbraw/zinc/44/21/10/359442110.db2.gz OOGMJLPXSFZQNM-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cccc(F)c2C)n1 ZINC000603155601 359442112 /nfs/dbraw/zinc/44/21/12/359442112.db2.gz OOGMJLPXSFZQNM-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1c([N-]S(=O)(=O)C2(COC)CC2)ccn1C ZINC000603419111 359628620 /nfs/dbraw/zinc/62/86/20/359628620.db2.gz XNTKIIRNJHEYTL-UHFFFAOYSA-N -1 1 316.379 1.123 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)OCc1ccccc1)c1nn[n-]n1 ZINC000187259600 200085374 /nfs/dbraw/zinc/08/53/74/200085374.db2.gz KXEQPOPJESKIIU-NEPJUHHUSA-N -1 1 303.366 1.367 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2[nH]c(=O)oc2c1 ZINC000605530997 359867234 /nfs/dbraw/zinc/86/72/34/359867234.db2.gz HKJWRVDIOYDKCH-UHFFFAOYSA-N -1 1 314.305 1.246 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cccc2ncccc21 ZINC000605532197 359868132 /nfs/dbraw/zinc/86/81/32/359868132.db2.gz RFDAQHKPNSKRRC-UHFFFAOYSA-N -1 1 308.345 1.947 20 0 DDADMM O=C([N-]c1cnoc1)c1cn(-c2ccc(C(F)(F)F)cn2)nn1 ZINC000624918965 366622257 /nfs/dbraw/zinc/62/22/57/366622257.db2.gz MJEAJLYBUNQLIV-UHFFFAOYSA-N -1 1 324.222 1.921 20 0 DDADMM CC1(C)NC(=O)N(CCCC(=O)Nc2c([O-])cccc2F)C1=O ZINC000608366121 360167602 /nfs/dbraw/zinc/16/76/02/360167602.db2.gz NWQIVWKGEIDEKZ-UHFFFAOYSA-N -1 1 323.324 1.580 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)CCc1cccn1C ZINC000281265522 216196052 /nfs/dbraw/zinc/19/60/52/216196052.db2.gz VXWTXJMVGCVTGY-VIFPVBQESA-N -1 1 312.395 1.203 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1CCC1)c1ccccc1F ZINC000611312614 360697272 /nfs/dbraw/zinc/69/72/72/360697272.db2.gz FHWHJNQLTJZRNW-ZDUSSCGKSA-N -1 1 315.366 1.759 20 0 DDADMM CCC(=O)N1CC[C@H](NC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000612437110 361014818 /nfs/dbraw/zinc/01/48/18/361014818.db2.gz NKZMKPKUFVIQKU-LBPRGKRZSA-N -1 1 313.357 1.681 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1C ZINC000612814409 361153699 /nfs/dbraw/zinc/15/36/99/361153699.db2.gz CPEGHQFDQVSIRA-LLVKDONJSA-N -1 1 301.350 1.537 20 0 DDADMM Cc1ccn2cnc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)c2c1 ZINC000612818371 361155368 /nfs/dbraw/zinc/15/53/68/361155368.db2.gz UWCFNZMWZIFSAX-LLVKDONJSA-N -1 1 311.349 1.176 20 0 DDADMM COCc1csc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000612816679 361156143 /nfs/dbraw/zinc/15/61/43/361156143.db2.gz MQZNQKSWRIWNOY-JTQLQIEISA-N -1 1 307.379 1.427 20 0 DDADMM O=C(NC1CCN(c2nccs2)CC1)c1cncc([O-])c1 ZINC000281432884 216295883 /nfs/dbraw/zinc/29/58/83/216295883.db2.gz PKCVWRKLXGICEB-UHFFFAOYSA-N -1 1 304.375 1.643 20 0 DDADMM COC(=O)CCN1CCN(C(=O)c2ccc([O-])c(F)c2)[C@@H](C)C1 ZINC000613524015 361455643 /nfs/dbraw/zinc/45/56/43/361455643.db2.gz OAKDRNBUAFAHPM-NSHDSACASA-N -1 1 324.352 1.241 20 0 DDADMM CC(C)C[C@H](CNC(=O)c1ncccc1[O-])N1CCOCC1 ZINC000194654498 201270145 /nfs/dbraw/zinc/27/01/45/201270145.db2.gz LSHOPOHJNOUEJX-CYBMUJFWSA-N -1 1 307.394 1.264 20 0 DDADMM O=C(NCCc1nccs1)c1nc2ccccc2c(=O)[n-]1 ZINC000195269440 201368968 /nfs/dbraw/zinc/36/89/68/201368968.db2.gz KDWRYCMYWMBRLY-UHFFFAOYSA-N -1 1 300.343 1.352 20 0 DDADMM O=S(=O)(CC[C@H]1CCCO1)c1nc(Cc2ccccc2)n[n-]1 ZINC000195282682 201372419 /nfs/dbraw/zinc/37/24/19/201372419.db2.gz UCMQLZUDPFAREU-CYBMUJFWSA-N -1 1 321.402 1.738 20 0 DDADMM O=S(=O)(CC[C@H]1CCCO1)c1n[n-]c(Cc2ccccc2)n1 ZINC000195282682 201372421 /nfs/dbraw/zinc/37/24/21/201372421.db2.gz UCMQLZUDPFAREU-CYBMUJFWSA-N -1 1 321.402 1.738 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2C(C)(C)C2(F)F)o1 ZINC000619398415 363994232 /nfs/dbraw/zinc/99/42/32/363994232.db2.gz VVVNGLWOSBIIBR-QMMMGPOBSA-N -1 1 322.333 1.209 20 0 DDADMM O=c1[nH]nc(CN=c2nc(-c3ccccc3)[n-]s2)n1C1CC1 ZINC000631441427 422767190 /nfs/dbraw/zinc/76/71/90/422767190.db2.gz PNXCCBAAABWVKB-UHFFFAOYSA-N -1 1 314.374 1.871 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)C[C@@H]1CCCO1 ZINC000091161160 193008669 /nfs/dbraw/zinc/00/86/69/193008669.db2.gz CJDSHEXZDLFTAB-JTQLQIEISA-N -1 1 316.354 1.705 20 0 DDADMM Cc1cccc([C@@H]2C(=O)NCCN2C(=O)c2cncc([O-])c2)c1 ZINC000622753635 365521875 /nfs/dbraw/zinc/52/18/75/365521875.db2.gz CSVRKFJIJWKSTA-OAHLLOKOSA-N -1 1 311.341 1.409 20 0 DDADMM C[C@@H]1CN(C(=O)N[C@H]2CCc3nc[nH]c3C2)CC[C@H]1C(=O)[O-] ZINC000626764613 367732417 /nfs/dbraw/zinc/73/24/17/367732417.db2.gz RQKSQHDGZUVWKM-OUAUKWLOSA-N -1 1 306.366 1.019 20 0 DDADMM CC[C@@](C)(O)CNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000359252075 299377804 /nfs/dbraw/zinc/37/78/04/299377804.db2.gz OFTALLHLAAURKM-CQSZACIVSA-N -1 1 313.423 1.422 20 0 DDADMM C[C@@H]1CCn2ncc(C(=O)NC3(c4nn[n-]n4)CCCC3)c2C1 ZINC000566268020 304135850 /nfs/dbraw/zinc/13/58/50/304135850.db2.gz JRILIAMZZXDEHY-SNVBAGLBSA-N -1 1 315.381 1.178 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCc1ccc2[nH]ccc2c1 ZINC000349660509 284003520 /nfs/dbraw/zinc/00/35/20/284003520.db2.gz CDGOKIOVJBOACV-UHFFFAOYSA-N -1 1 320.374 1.398 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1ccc2[nH]ccc2c1 ZINC000349660509 284003523 /nfs/dbraw/zinc/00/35/23/284003523.db2.gz CDGOKIOVJBOACV-UHFFFAOYSA-N -1 1 320.374 1.398 20 0 DDADMM O=C(NCCn1cnnc1C1CC1)c1csc(=NC2CC2)[n-]1 ZINC000349779024 284049817 /nfs/dbraw/zinc/04/98/17/284049817.db2.gz SHBMZRLBDGJKMA-UHFFFAOYSA-N -1 1 318.406 1.038 20 0 DDADMM C[C@@H](CNC(=O)c1csc(=NC2CC2)[n-]1)Cn1cccn1 ZINC000349843037 284074399 /nfs/dbraw/zinc/07/43/99/284074399.db2.gz ZLSIYJUSWXBRII-JTQLQIEISA-N -1 1 305.407 1.402 20 0 DDADMM O=C(Nc1nnn[n-]1)c1c[nH]c2ncc(Br)cc12 ZINC000349856189 284080190 /nfs/dbraw/zinc/08/01/90/284080190.db2.gz ZHXOPETURUFUGM-UHFFFAOYSA-N -1 1 308.099 1.091 20 0 DDADMM O=C(Nc1nn[n-]n1)c1c[nH]c2ncc(Br)cc12 ZINC000349856189 284080195 /nfs/dbraw/zinc/08/01/95/284080195.db2.gz ZHXOPETURUFUGM-UHFFFAOYSA-N -1 1 308.099 1.091 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCN2CCCS2(=O)=O)n1 ZINC000330191000 284106660 /nfs/dbraw/zinc/10/66/60/284106660.db2.gz WQAKQXSBBHXYAH-UHFFFAOYSA-N -1 1 317.436 1.262 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)Nc1ccc(N2CCCCC2)nc1 ZINC000262669115 203373318 /nfs/dbraw/zinc/37/33/18/203373318.db2.gz QWJTYWCJJUDVHM-UHFFFAOYSA-N -1 1 320.393 1.417 20 0 DDADMM CCCN(C(=O)c1cc(F)ccc1[O-])[C@H]1CCS(=O)(=O)C1 ZINC000093920062 193328282 /nfs/dbraw/zinc/32/82/82/193328282.db2.gz LYEVOBIYZHWSBT-NSHDSACASA-N -1 1 315.366 1.571 20 0 DDADMM CC[C@H]1C[C@@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CC(=O)N1 ZINC000350551245 284215266 /nfs/dbraw/zinc/21/52/66/284215266.db2.gz DPISBVVGSFZHQR-ZJUUUORDSA-N -1 1 318.402 1.803 20 0 DDADMM CN1C(=S)N=NC1C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000264687820 204079700 /nfs/dbraw/zinc/07/97/00/204079700.db2.gz SAHMLGVGHWQZNL-UHFFFAOYSA-N -1 1 319.390 1.224 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2CCSCC2)o1 ZINC000264948668 204293997 /nfs/dbraw/zinc/29/39/97/204293997.db2.gz JQCRDBBLBXGMSF-UHFFFAOYSA-N -1 1 319.404 1.488 20 0 DDADMM O=S(=O)([N-][C@@]1(C(F)(F)F)CCOC1)c1ccsc1 ZINC000351168394 284306479 /nfs/dbraw/zinc/30/64/79/284306479.db2.gz MYUCUKUCNABHCP-QMMMGPOBSA-N -1 1 301.311 1.748 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@@H](C)[C@H](O)C3)nc2)[n-]c(=O)c1C ZINC000351502760 284350248 /nfs/dbraw/zinc/35/02/48/284350248.db2.gz NVQKSGHVLIOETR-NOZJJQNGSA-N -1 1 300.362 1.678 20 0 DDADMM O=C(Cc1ccc(F)cc1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282804234 217262871 /nfs/dbraw/zinc/26/28/71/217262871.db2.gz AQWNRHUGNLSLQB-LLVKDONJSA-N -1 1 304.325 1.186 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1)c1cccs1 ZINC000282811879 217266904 /nfs/dbraw/zinc/26/69/04/217266904.db2.gz BIKKKPSSXHRVIH-UWVGGRQHSA-N -1 1 306.391 1.669 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)ccc1F ZINC000282864172 217285121 /nfs/dbraw/zinc/28/51/21/217285121.db2.gz HVOGTIPRFVOPQA-NSHDSACASA-N -1 1 304.325 1.565 20 0 DDADMM C[C@@]1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCNC1=O ZINC000636282827 422775851 /nfs/dbraw/zinc/77/58/51/422775851.db2.gz SHHVAWHALMLWTQ-OAHLLOKOSA-N -1 1 300.318 1.043 20 0 DDADMM Cc1nc(C(C)(C)NC(=O)c2ccc3n[n-]c(=S)n3c2)no1 ZINC000282969015 217358938 /nfs/dbraw/zinc/35/89/38/217358938.db2.gz GFBBTAJKLGWRLA-UHFFFAOYSA-N -1 1 318.362 1.375 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)[nH]n1 ZINC000282989194 217373507 /nfs/dbraw/zinc/37/35/07/217373507.db2.gz UPSHJMJTBOUQSO-VIFPVBQESA-N -1 1 318.381 1.138 20 0 DDADMM Cc1ccc2c(c1)CC[C@H](C(=O)/N=c1/ccnc3n(C)[n-]cc1-3)O2 ZINC000283006241 217383786 /nfs/dbraw/zinc/38/37/86/217383786.db2.gz DEGVSBSMBVZFJB-QAIWHXNASA-N -1 1 322.368 1.983 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)OCc1ccccc1)c1nn[n-]n1 ZINC000267713633 206236744 /nfs/dbraw/zinc/23/67/44/206236744.db2.gz TWOADXPXRHWETJ-YPMHNXCESA-N -1 1 303.366 1.762 20 0 DDADMM CC1(C)CN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]2CCC[C@H]21 ZINC000567099607 304197498 /nfs/dbraw/zinc/19/74/98/304197498.db2.gz NHXVSLNXAKCTAE-MWLCHTKSSA-N -1 1 301.350 1.068 20 0 DDADMM COc1cc(C(=O)NC[C@@]2(O)CCO[C@@H]2C)cc(Cl)c1[O-] ZINC000334100862 249215687 /nfs/dbraw/zinc/21/56/87/249215687.db2.gz DGNANRJACGDUQT-CLAHSXSESA-N -1 1 315.753 1.324 20 0 DDADMM O=C(NC[C@@H]1CCCC[N@H+]1C1CC1)c1cc(=O)[nH]c(C2CC2)n1 ZINC000567247286 304207198 /nfs/dbraw/zinc/20/71/98/304207198.db2.gz CDZBEQVVUIUFEU-ZDUSSCGKSA-N -1 1 316.405 1.806 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)c2cnnn2C)c1 ZINC000337044720 249327881 /nfs/dbraw/zinc/32/78/81/249327881.db2.gz LYOHUGAJNYUPOT-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM O=C(NC[C@H]1CCS(=O)(=O)C1)c1cc(Cl)ccc1[O-] ZINC000045075572 183480420 /nfs/dbraw/zinc/48/04/20/183480420.db2.gz RMAOZCIWMYJKOT-MRVPVSSYSA-N -1 1 303.767 1.210 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCc1ccccc1 ZINC000103937113 193965853 /nfs/dbraw/zinc/96/58/53/193965853.db2.gz OAVHXQNGHQZCBH-UHFFFAOYSA-N -1 1 324.384 1.541 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCN(c2cccc(F)c2)CC1 ZINC000636305564 422795009 /nfs/dbraw/zinc/79/50/09/422795009.db2.gz PVLMHGAFUHFUMK-UHFFFAOYSA-N -1 1 318.356 1.010 20 0 DDADMM CC(C)CCn1ccc(=NC(=O)c2cc(=O)[n-]c(N(C)C)n2)[nH]1 ZINC000338821861 250151236 /nfs/dbraw/zinc/15/12/36/250151236.db2.gz IXNWHGIXRUHJAJ-UHFFFAOYSA-N -1 1 318.381 1.165 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)[C@H](C)C(=O)N(C)C)c2cccnc12 ZINC000284870425 218214787 /nfs/dbraw/zinc/21/47/87/218214787.db2.gz IYILCEMYPAJYCD-LLVKDONJSA-N -1 1 321.402 1.762 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCN(C3CC3)C2)sc1C ZINC000339040847 250264154 /nfs/dbraw/zinc/26/41/54/250264154.db2.gz FBXHZBARKITJQI-SNVBAGLBSA-N -1 1 301.437 1.275 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@H]2CCC[C@H]2F)oc1C ZINC000339119537 250303359 /nfs/dbraw/zinc/30/33/59/250303359.db2.gz LIIIGNJJNQDOCO-ZJUUUORDSA-N -1 1 305.327 1.544 20 0 DDADMM COc1cccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000339184825 250334002 /nfs/dbraw/zinc/33/40/02/250334002.db2.gz MUJWTPAJGDHAQL-UHFFFAOYSA-N -1 1 303.318 1.804 20 0 DDADMM C[C@H](NC(=O)C(C)(C)C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339192230 250335348 /nfs/dbraw/zinc/33/53/48/250335348.db2.gz BHJLEJNVSZYNEM-VIFPVBQESA-N -1 1 324.381 1.032 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000285118915 218297256 /nfs/dbraw/zinc/29/72/56/218297256.db2.gz VIVCBMBXRYAQGR-IACUBPJLSA-N -1 1 309.337 1.533 20 0 DDADMM CS(=O)(=O)Cc1ccc(C(=O)Nc2ccncc2[O-])cc1 ZINC000109888974 194295445 /nfs/dbraw/zinc/29/54/45/194295445.db2.gz OLZCYMHIYQJMFR-UHFFFAOYSA-N -1 1 306.343 1.584 20 0 DDADMM O=C(Cn1ncc2ccccc2c1=O)Nc1ccc(F)cc1[O-] ZINC000340331006 251012439 /nfs/dbraw/zinc/01/24/39/251012439.db2.gz ODVZRELSQMCPJY-UHFFFAOYSA-N -1 1 313.288 1.880 20 0 DDADMM CN(C)C(=O)C1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000055169884 183968717 /nfs/dbraw/zinc/96/87/17/183968717.db2.gz ROFCDXHUNWKXGG-UHFFFAOYSA-N -1 1 310.781 1.986 20 0 DDADMM O=C(NC[C@H]1COC2(CCOCC2)O1)c1cc(F)ccc1[O-] ZINC000271956309 209338907 /nfs/dbraw/zinc/33/89/07/209338907.db2.gz DIEODGHXJUHPQK-NSHDSACASA-N -1 1 311.309 1.183 20 0 DDADMM C[C@H]1CN(S(=O)(=O)Nc2cccc(Cl)c2[O-])[C@@H](C)CO1 ZINC000340983707 251318254 /nfs/dbraw/zinc/31/82/54/251318254.db2.gz RGQLGJDOFUEVDO-IUCAKERBSA-N -1 1 320.798 1.812 20 0 DDADMM CC[C@@]1(O)CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000631501926 422801301 /nfs/dbraw/zinc/80/13/01/422801301.db2.gz HWBDBSZMZMKGFD-MRXNPFEDSA-N -1 1 301.346 1.300 20 0 DDADMM C[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1csnn1 ZINC000412796527 224082941 /nfs/dbraw/zinc/08/29/41/224082941.db2.gz RIDIYWMJKSODPF-LURJTMIESA-N -1 1 304.335 1.016 20 0 DDADMM O=C(Nc1ccc(Cl)c(Cl)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000352432200 285046601 /nfs/dbraw/zinc/04/66/01/285046601.db2.gz OZGOSZXRROAYBZ-UHFFFAOYSA-N -1 1 324.127 1.977 20 0 DDADMM Cc1cc(Cl)ccc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352437229 285050395 /nfs/dbraw/zinc/05/03/95/285050395.db2.gz BQIRDPKHCKYZPQ-UHFFFAOYSA-N -1 1 303.709 1.632 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)[C@@H]3CCOC3)cnc2n1 ZINC000119918905 195062492 /nfs/dbraw/zinc/06/24/92/195062492.db2.gz UQZWMQZWWPIJJR-GHMZBOCLSA-N -1 1 301.346 1.799 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)[C@@H]1CCOC1)c2=O ZINC000119918905 195062495 /nfs/dbraw/zinc/06/24/95/195062495.db2.gz UQZWMQZWWPIJJR-GHMZBOCLSA-N -1 1 301.346 1.799 20 0 DDADMM CCc1n[nH]c(=O)c(CNC(=O)c2cc(F)ccc2[O-])c1CC ZINC000159042193 197321913 /nfs/dbraw/zinc/32/19/13/197321913.db2.gz ZECRURNQCWXOKV-UHFFFAOYSA-N -1 1 319.336 1.669 20 0 DDADMM O=C([O-])CN(Cc1ccccc1)C[C@@H](O)COCc1ccco1 ZINC000568065881 304266255 /nfs/dbraw/zinc/26/62/55/304266255.db2.gz JVDBIJPVSYPRDS-OAHLLOKOSA-N -1 1 319.357 1.744 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc3ccccn3n2)o1 ZINC000568120790 304268512 /nfs/dbraw/zinc/26/85/12/304268512.db2.gz FLDKWGFVWYVRHB-UHFFFAOYSA-N -1 1 320.330 1.088 20 0 DDADMM COC[C@](C)(NCc1ccc(-c2cnn(C)c2)s1)C(=O)[O-] ZINC000417588154 533192325 /nfs/dbraw/zinc/19/23/25/533192325.db2.gz OKKNXVJLTLNXIH-AWEZNQCLSA-N -1 1 309.391 1.728 20 0 DDADMM CN(Cc1ccc(Cl)s1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352728217 285258660 /nfs/dbraw/zinc/25/86/60/285258660.db2.gz UXZPWTSLYMQAQV-UHFFFAOYSA-N -1 1 323.765 1.405 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)Cc2ccc(Cl)cc2)n1 ZINC000352749789 285274501 /nfs/dbraw/zinc/27/45/01/285274501.db2.gz SRXAMTUYUMYTFA-UHFFFAOYSA-N -1 1 314.754 1.043 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)Cc2ccc(Cl)cc2)[n-]1 ZINC000352749789 285274502 /nfs/dbraw/zinc/27/45/02/285274502.db2.gz SRXAMTUYUMYTFA-UHFFFAOYSA-N -1 1 314.754 1.043 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC000120714595 195221942 /nfs/dbraw/zinc/22/19/42/195221942.db2.gz NSWUUVUKAQBPRB-WDEREUQCSA-N -1 1 322.365 1.770 20 0 DDADMM COC(=O)CCCC[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425159994 533377595 /nfs/dbraw/zinc/37/75/95/533377595.db2.gz UPXOOXCIWQJJRE-UHFFFAOYSA-N -1 1 321.345 1.895 20 0 DDADMM COC(=O)c1[n-]c(=NC[C@H](O)COCC(C)C)sc1C ZINC000434080275 533646042 /nfs/dbraw/zinc/64/60/42/533646042.db2.gz WWNMQMYKOGLPNB-JTQLQIEISA-N -1 1 302.396 1.105 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H](C)CO[C@@H]2CCOC2)sc1C ZINC000433783532 533647606 /nfs/dbraw/zinc/64/76/06/533647606.db2.gz PIFOHBUFVQIGBS-PSASIEDQSA-N -1 1 300.380 1.266 20 0 DDADMM C[C@@H](CNC(=O)CCc1nn[n-]n1)Oc1cccc(Cl)c1 ZINC000631531246 422816162 /nfs/dbraw/zinc/81/61/62/422816162.db2.gz GYKRZCQZBNTSBR-VIFPVBQESA-N -1 1 309.757 1.369 20 0 DDADMM O=C([O-])Cn1cc(CN2CCC[C@H](Cc3ccccc3)C2)nn1 ZINC000579720968 422821465 /nfs/dbraw/zinc/82/14/65/422821465.db2.gz UNYSBBWFOTVDPB-OAHLLOKOSA-N -1 1 314.389 1.817 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)CC(C)(C)OC)[C@H](C)CC ZINC000569171960 304339659 /nfs/dbraw/zinc/33/96/59/304339659.db2.gz FFTUMYOSPHVDAS-GHMZBOCLSA-N -1 1 309.428 1.309 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2ccnnc2C)c(=O)[n-]1 ZINC000569741681 304376502 /nfs/dbraw/zinc/37/65/02/304376502.db2.gz QYQABFGRVBWFFA-UHFFFAOYSA-N -1 1 319.390 1.882 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)C[C@@H](OC)C2CC2)c1 ZINC000569926693 304384482 /nfs/dbraw/zinc/38/44/82/304384482.db2.gz QBFLRTSMBWOLDR-CYBMUJFWSA-N -1 1 313.375 1.640 20 0 DDADMM CCC[C@H](NC(=O)c1cccc2c1O[C@@H](C)C2)c1nn[n-]n1 ZINC000579796020 422830860 /nfs/dbraw/zinc/83/08/60/422830860.db2.gz GKXBHNUULFKULJ-CABZTGNLSA-N -1 1 301.350 1.794 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2CCC(C)CC2)o1 ZINC000055491991 407203756 /nfs/dbraw/zinc/20/37/56/407203756.db2.gz SBFMUODHSZALCV-UHFFFAOYSA-N -1 1 314.407 1.744 20 0 DDADMM CCCOc1ccc(CC(=O)Nc2nnn[n-]2)cc1OCCC ZINC000123202003 407322290 /nfs/dbraw/zinc/32/22/90/407322290.db2.gz ZZADIZXIHFUZLP-UHFFFAOYSA-N -1 1 319.365 1.959 20 0 DDADMM CCCOc1ccc(CC(=O)Nc2nn[n-]n2)cc1OCCC ZINC000123202003 407322292 /nfs/dbraw/zinc/32/22/92/407322292.db2.gz ZZADIZXIHFUZLP-UHFFFAOYSA-N -1 1 319.365 1.959 20 0 DDADMM O=C(NCCc1n[n-]c(=S)n1C1CC1)c1cc(C2CC2)n[nH]1 ZINC000067078485 407267551 /nfs/dbraw/zinc/26/75/51/407267551.db2.gz KDBRNBFEEPSWKI-UHFFFAOYSA-N -1 1 318.406 1.849 20 0 DDADMM CCc1c(C(=O)Nc2nnn[n-]2)cnn1-c1ccc(Cl)cc1 ZINC000185759468 407495185 /nfs/dbraw/zinc/49/51/85/407495185.db2.gz NYOFXQGMRRYWSG-UHFFFAOYSA-N -1 1 317.740 1.854 20 0 DDADMM CCc1c(C(=O)Nc2nn[n-]n2)cnn1-c1ccc(Cl)cc1 ZINC000185759468 407495189 /nfs/dbraw/zinc/49/51/89/407495189.db2.gz NYOFXQGMRRYWSG-UHFFFAOYSA-N -1 1 317.740 1.854 20 0 DDADMM COc1ccc(OC)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000129371310 407604434 /nfs/dbraw/zinc/60/44/34/407604434.db2.gz ZTUOINMDTTULTI-JTQLQIEISA-N -1 1 317.349 1.237 20 0 DDADMM CSc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)ccn1 ZINC000129413915 407609798 /nfs/dbraw/zinc/60/97/98/407609798.db2.gz FIOCGZDRMOMWCW-SNVBAGLBSA-N -1 1 304.379 1.336 20 0 DDADMM Cc1cccc(OC[C@H](O)CNC(=O)c2ncccc2[O-])c1 ZINC000171273031 407645443 /nfs/dbraw/zinc/64/54/43/407645443.db2.gz FGTIOBNUOWVFLQ-GFCCVEGCSA-N -1 1 302.330 1.265 20 0 DDADMM Cc1nc2n(n1)C[C@@H](NC(=O)c1cc(Cl)ccc1[O-])CC2 ZINC000171328574 407660762 /nfs/dbraw/zinc/66/07/62/407660762.db2.gz JBWINGDXVLZQFA-JTQLQIEISA-N -1 1 306.753 1.690 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)(F)F)c1cc(F)ccc1Cl ZINC000228545498 407647703 /nfs/dbraw/zinc/64/77/03/407647703.db2.gz DREJRWGDJYLKCE-QMMMGPOBSA-N -1 1 321.679 1.681 20 0 DDADMM O=C(N[C@@H]1CCCN(c2cccnn2)C1)c1c([O-])cccc1F ZINC000186581826 407709743 /nfs/dbraw/zinc/70/97/43/407709743.db2.gz WIHDSULTVZOIRV-LLVKDONJSA-N -1 1 316.336 1.720 20 0 DDADMM NC(=O)c1ccc(OCCC(=O)[N-]O[C@H]2CCCCO2)cc1 ZINC000267131472 407760999 /nfs/dbraw/zinc/76/09/99/407760999.db2.gz HTCHFMQWCBBNKI-AWEZNQCLSA-N -1 1 308.334 1.129 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NOC1CCCC1 ZINC000272062168 407761738 /nfs/dbraw/zinc/76/17/38/407761738.db2.gz COACNLQOYQAQMX-UHFFFAOYSA-N -1 1 304.350 1.172 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2ccsc2)co1 ZINC000179298542 407768173 /nfs/dbraw/zinc/76/81/73/407768173.db2.gz YTTVTAJTKSJKLX-UHFFFAOYSA-N -1 1 300.361 1.179 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]C(C)(C)C(C)(C)O ZINC000272085846 407770225 /nfs/dbraw/zinc/77/02/25/407770225.db2.gz UBODZMNUTAIOKW-UHFFFAOYSA-N -1 1 309.819 1.210 20 0 DDADMM O=C([N-][C@H]1CCN(Cc2ccccc2)C1=O)C(F)(F)C(F)F ZINC000267207661 407790953 /nfs/dbraw/zinc/79/09/53/407790953.db2.gz QMHFKOLHCRCEOC-JTQLQIEISA-N -1 1 318.270 1.804 20 0 DDADMM CC[C@@H](O)CC[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272154963 407794821 /nfs/dbraw/zinc/79/48/21/407794821.db2.gz DEJJBQWVZRYVOD-SSDOTTSWSA-N -1 1 313.206 1.828 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)N(CCN(C)C)CC(=O)[O-])cc1 ZINC000237116976 407796538 /nfs/dbraw/zinc/79/65/38/407796538.db2.gz PFVIBUXJHSZMQT-UHFFFAOYSA-N -1 1 320.389 1.433 20 0 DDADMM CC[N@@H+]1CCC[C@@H](NS(=O)(=O)c2cn(CC(C)C)cn2)C1 ZINC000174985908 408020032 /nfs/dbraw/zinc/02/00/32/408020032.db2.gz XZIJSERAGNKFLA-CYBMUJFWSA-N -1 1 314.455 1.302 20 0 DDADMM COc1cc(C(=O)Nc2nc(SCCO)n[nH]2)ccc1C ZINC000268576990 408103317 /nfs/dbraw/zinc/10/33/17/408103317.db2.gz NAUDVAOGEGQHAI-UHFFFAOYSA-N -1 1 308.363 1.458 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@H]1CCCCO1)c1ccco1 ZINC000175353678 408112566 /nfs/dbraw/zinc/11/25/66/408112566.db2.gz LWKZLQGZNCSLPR-NEPJUHHUSA-N -1 1 303.380 1.456 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)Cc1ccon1)C(=O)OC(C)(C)C ZINC000268596298 408113805 /nfs/dbraw/zinc/11/38/05/408113805.db2.gz IPLDGVAGAAKPPT-LLVKDONJSA-N -1 1 318.395 1.460 20 0 DDADMM CC[C@H](C)[C@@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000182445447 408162866 /nfs/dbraw/zinc/16/28/66/408162866.db2.gz GTNRKWTZFJZTOW-VHSXEESVSA-N -1 1 304.394 1.938 20 0 DDADMM O=C([N-]Cc1cccnc1-n1ccnc1)C(F)(F)C(F)F ZINC000175912624 408238612 /nfs/dbraw/zinc/23/86/12/408238612.db2.gz KZBCRIJCGIROLG-UHFFFAOYSA-N -1 1 302.231 1.784 20 0 DDADMM CC(C)COC[C@@H]([N-]S(=O)(=O)c1c[nH]cn1)c1ccco1 ZINC000121863325 408246140 /nfs/dbraw/zinc/24/61/40/408246140.db2.gz DGZYXVSMQJRWRB-LLVKDONJSA-N -1 1 313.379 1.695 20 0 DDADMM CC(C)[C@@H](CO)NC(=O)c1cc(Br)ccc1[O-] ZINC000182617516 408205803 /nfs/dbraw/zinc/20/58/03/408205803.db2.gz OTHZJFKHVCTXDX-SNVBAGLBSA-N -1 1 302.168 1.901 20 0 DDADMM COCCC1(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CC1 ZINC000156956269 408283873 /nfs/dbraw/zinc/28/38/73/408283873.db2.gz UCOLZWFYVWDQMY-UHFFFAOYSA-N -1 1 321.421 1.922 20 0 DDADMM CC(C)[C@H](NC(=O)c1ccc(Br)c([O-])c1)C(N)=O ZINC000133423228 162057429 /nfs/dbraw/zinc/05/74/29/162057429.db2.gz TYPBQJRFUXIFGR-JTQLQIEISA-N -1 1 315.167 1.394 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)NC(=O)c1ccc([O-])cc1F ZINC000274293872 408356279 /nfs/dbraw/zinc/35/62/79/408356279.db2.gz NTESKOWSSDBNGB-SECBINFHSA-N -1 1 309.215 1.755 20 0 DDADMM CN1C(=S)N=NC1CCNC(=O)c1cc(Cl)ccc1[O-] ZINC000264124471 408373245 /nfs/dbraw/zinc/37/32/45/408373245.db2.gz LLQZWMBMOGLPAN-UHFFFAOYSA-N -1 1 312.782 1.435 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@@H]1c1c(F)cccc1F)c1nn[n-]n1 ZINC000183421983 408408481 /nfs/dbraw/zinc/40/84/81/408408481.db2.gz WTUZKVKGFBXORV-KXUCPTDWSA-N -1 1 321.331 1.844 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)Sc1ccccn1)c1nn[n-]n1 ZINC000183423286 408409037 /nfs/dbraw/zinc/40/90/37/408409037.db2.gz ZKJUBSDVOGYSCD-NXEZZACHSA-N -1 1 306.395 1.337 20 0 DDADMM C[C@@H](O)C[C@@H](C)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000269748848 408414848 /nfs/dbraw/zinc/41/48/48/408414848.db2.gz GZAUIHDMBIJSQX-HTQZYQBOSA-N -1 1 311.325 1.789 20 0 DDADMM CCC[C@@H](NC(=O)CCCNC(=O)C(C)(C)C)c1nn[n-]n1 ZINC000176755072 408434480 /nfs/dbraw/zinc/43/44/80/408434480.db2.gz CKNUQCNNHRNUHL-SNVBAGLBSA-N -1 1 310.402 1.100 20 0 DDADMM CCC[C@H](NC(=O)c1[nH]c(C)c(C(C)=O)c1C)c1nn[n-]n1 ZINC000176769734 408437247 /nfs/dbraw/zinc/43/72/47/408437247.db2.gz XEZYYXPLCOFMKR-JTQLQIEISA-N -1 1 304.354 1.618 20 0 DDADMM CCC[C@@H](NC(=O)c1oc2c(c1C)C(=O)CCC2)c1nn[n-]n1 ZINC000176767270 408438533 /nfs/dbraw/zinc/43/85/33/408438533.db2.gz VPHYPRQHZSVHGQ-SECBINFHSA-N -1 1 317.349 1.891 20 0 DDADMM CCC[C@@H](NC(=O)CCn1cnc2ccccc21)c1nn[n-]n1 ZINC000176771891 408441665 /nfs/dbraw/zinc/44/16/65/408441665.db2.gz YZSLJGTVAQYPHY-GFCCVEGCSA-N -1 1 313.365 1.597 20 0 DDADMM Cc1nc(Cl)ccc1CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000274729328 408511245 /nfs/dbraw/zinc/51/12/45/408511245.db2.gz CCDUYEWCOAHKGR-QMMMGPOBSA-N -1 1 308.773 1.361 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc(Cl)cc2[O-])CCS1(=O)=O ZINC000192319147 408572670 /nfs/dbraw/zinc/57/26/70/408572670.db2.gz ABJDXGGHKHEYDH-VIFPVBQESA-N -1 1 317.794 1.695 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]C[C@@](C)(O)C(F)(F)F)s1 ZINC000270569159 408584245 /nfs/dbraw/zinc/58/42/45/408584245.db2.gz SSSSIGRSECKGCA-SSDOTTSWSA-N -1 1 304.315 1.043 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2nccs2)o1 ZINC000177346545 408586637 /nfs/dbraw/zinc/58/66/37/408586637.db2.gz PIXHBXFSGMFJRT-UHFFFAOYSA-N -1 1 316.360 1.391 20 0 DDADMM CCO[C@@H]1C[C@@](NC(=O)c2ncccc2[O-])(C(=O)OC)C1(C)C ZINC000275653226 408634155 /nfs/dbraw/zinc/63/41/55/408634155.db2.gz QHDQRXBNMYUIKU-BDJLRTHQSA-N -1 1 322.361 1.264 20 0 DDADMM CC[C@H]1CCC[C@H]1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000162570991 408714060 /nfs/dbraw/zinc/71/40/60/408714060.db2.gz DFBQTYZKGOMLLN-GXTWGEPZSA-N -1 1 316.405 1.877 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(C(=O)OC)c(F)cc1F ZINC000265630300 408719376 /nfs/dbraw/zinc/71/93/76/408719376.db2.gz MRKWKMFWCIFKPY-UHFFFAOYSA-N -1 1 309.290 1.140 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)NCCOc1cccc(C)c1)C(=O)[O-] ZINC000185034359 408741873 /nfs/dbraw/zinc/74/18/73/408741873.db2.gz DKTGZNKSYDKQBC-UKRRQHHQSA-N -1 1 322.405 1.721 20 0 DDADMM CN(CC(C)(C)CN1CCOCC1)C(=O)c1ncccc1[O-] ZINC000162879175 408741885 /nfs/dbraw/zinc/74/18/85/408741885.db2.gz XWERMTGIYPYCPT-UHFFFAOYSA-N -1 1 307.394 1.218 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)NC[C@@]3(O)CCSC3)c[nH]c12 ZINC000164452222 408798311 /nfs/dbraw/zinc/79/83/11/408798311.db2.gz JUMYCMUITZHNJF-INIZCTEOSA-N -1 1 318.398 1.847 20 0 DDADMM COC(=O)c1coc(C(=O)[N-]c2nc3ccc(F)cn3n2)c1 ZINC000185060880 408747052 /nfs/dbraw/zinc/74/70/52/408747052.db2.gz BMHXPNXFIYPNGQ-UHFFFAOYSA-N -1 1 304.237 1.500 20 0 DDADMM CCS[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000290840492 408842640 /nfs/dbraw/zinc/84/26/40/408842640.db2.gz VLYYMDZSQCFWJJ-RKDXNWHRSA-N -1 1 305.425 1.518 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CCC(F)(F)C2)co1 ZINC000280845579 408844999 /nfs/dbraw/zinc/84/49/99/408844999.db2.gz WRTIIJUOWXOADJ-QMMMGPOBSA-N -1 1 322.333 1.353 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)NCc2ccccc2)n1 ZINC000187597526 163022774 /nfs/dbraw/zinc/02/27/74/163022774.db2.gz JBSPFYUUDAYSDW-UHFFFAOYSA-N -1 1 305.359 1.605 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2=CCCCC2)c1 ZINC000291854289 408933991 /nfs/dbraw/zinc/93/39/91/408933991.db2.gz DTWJLCWEWTUUBU-UHFFFAOYSA-N -1 1 310.375 1.739 20 0 DDADMM CC(C)[C@@H](CO)NC(=O)c1ccc(Br)c([O-])c1 ZINC000227052243 163338192 /nfs/dbraw/zinc/33/81/92/163338192.db2.gz CBQXGZLJHSIEQJ-SNVBAGLBSA-N -1 1 302.168 1.901 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)Cc2occc2C)co1 ZINC000292121878 408985133 /nfs/dbraw/zinc/98/51/33/408985133.db2.gz GPOUOEOQBCTVHC-UHFFFAOYSA-N -1 1 312.347 1.361 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC=CC[C@H]2C)c1 ZINC000292228792 409006253 /nfs/dbraw/zinc/00/62/53/409006253.db2.gz MRVUJQAZOVUKDE-ZYHUDNBSSA-N -1 1 324.402 1.841 20 0 DDADMM COC(=O)c1ccc(F)c([N-]S(=O)(=O)C[C@@H](C)OC)c1 ZINC000282224189 409014627 /nfs/dbraw/zinc/01/46/27/409014627.db2.gz OGSBFWQAKKCADK-MRVPVSSYSA-N -1 1 305.327 1.389 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@@H](O)C3CCCCC3)ccnc1-2 ZINC000287554554 409054263 /nfs/dbraw/zinc/05/42/63/409054263.db2.gz JXBDPOBROCEJJB-KELUXRKGSA-N -1 1 317.393 1.405 20 0 DDADMM CN(C)C(=O)OC[C@@H]1CCCCN1C(=O)c1cncc([O-])c1 ZINC000287668187 409073180 /nfs/dbraw/zinc/07/31/80/409073180.db2.gz IVMYKSUMFGPWEZ-LBPRGKRZSA-N -1 1 307.350 1.480 20 0 DDADMM C[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(=O)NC(C)(C)C ZINC000283126367 409093514 /nfs/dbraw/zinc/09/35/14/409093514.db2.gz UERAUZFLYWIDPV-QMMMGPOBSA-N -1 1 321.406 1.051 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@]1(O)CCO[C@H]1C ZINC000279040459 409137815 /nfs/dbraw/zinc/13/78/15/409137815.db2.gz AAZRRKUEBDRFEP-QFYYESIMSA-N -1 1 323.393 1.058 20 0 DDADMM CC(F)(F)C(=O)[N-][C@@H]1CCN(c2c(F)cccc2F)C1=O ZINC000289261572 409239153 /nfs/dbraw/zinc/23/91/53/409239153.db2.gz QMYONWJIYFPGMQ-SECBINFHSA-N -1 1 304.243 1.842 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(Cl)sc1Cl)C(N)=O ZINC000279851575 409252676 /nfs/dbraw/zinc/25/26/76/409252676.db2.gz DJPVYMQPIQYUCD-GSVOUGTGSA-N -1 1 303.192 1.207 20 0 DDADMM CCOC(COCCNC(=O)c1c([O-])cccc1F)OCC ZINC000280137710 409257925 /nfs/dbraw/zinc/25/79/25/409257925.db2.gz PWLNMJGXIVHXAL-UHFFFAOYSA-N -1 1 315.341 1.677 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@@H]1O)c1ncccc1[O-] ZINC000283717511 409202568 /nfs/dbraw/zinc/20/25/68/409202568.db2.gz UUOYBGZRFGKTJS-BXUZGUMPSA-N -1 1 306.362 1.085 20 0 DDADMM CCCNC(=O)C1(NC(=O)c2cncc([O-])c2)CCCCC1 ZINC000284105286 409276033 /nfs/dbraw/zinc/27/60/33/409276033.db2.gz YIEHLPFZLDWRLT-UHFFFAOYSA-N -1 1 305.378 1.746 20 0 DDADMM Cc1cccnc1C(F)(F)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000280254465 409279410 /nfs/dbraw/zinc/27/94/10/409279410.db2.gz NITDKZAVHCOCRU-SECBINFHSA-N -1 1 310.308 1.257 20 0 DDADMM CC(=O)Nc1ccc(O)c(C(=O)NCC[N@@H+]2CC=CCC2)c1 ZINC000280335566 409294849 /nfs/dbraw/zinc/29/48/49/409294849.db2.gz VSRMKLJELBKMQX-UHFFFAOYSA-N -1 1 303.362 1.342 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+]2C[C@H]3CCCC[C@@]3(C(=O)OC)C2)n1 ZINC000284326070 409321183 /nfs/dbraw/zinc/32/11/83/409321183.db2.gz BHFKOUGLHDFLSI-SJKOYZFVSA-N -1 1 319.405 1.910 20 0 DDADMM Nc1ncccc1C[N@H+]1CCC[C@@H](CNC(=O)C(F)(F)F)C1 ZINC000295158197 409348767 /nfs/dbraw/zinc/34/87/67/409348767.db2.gz CWRYNASFSGYFRS-JTQLQIEISA-N -1 1 316.327 1.554 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)c2ccco2)C1)C(F)(F)F ZINC000290298429 409353670 /nfs/dbraw/zinc/35/36/70/409353670.db2.gz FXKIJUZLSIGNBC-VIFPVBQESA-N -1 1 304.268 1.810 20 0 DDADMM CO[C@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1(C)C ZINC000296148769 409445994 /nfs/dbraw/zinc/44/59/94/409445994.db2.gz RJHQGZGWTSEPNJ-NSHDSACASA-N -1 1 320.418 1.905 20 0 DDADMM COC1(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)CCCCC1 ZINC000408199695 164258544 /nfs/dbraw/zinc/25/85/44/164258544.db2.gz VVBAWIZLKJCCKP-NSHDSACASA-N -1 1 309.366 1.831 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H]2CN2CCOCC2)c([O-])c1 ZINC000408229770 164269628 /nfs/dbraw/zinc/26/96/28/164269628.db2.gz WVVAYQZXTLEMHT-ZDUSSCGKSA-N -1 1 305.378 1.032 20 0 DDADMM CN(C)C(=O)O[C@@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000295440531 409482254 /nfs/dbraw/zinc/48/22/54/409482254.db2.gz LJQIMEAQAZNOGC-MRVPVSSYSA-N -1 1 314.288 1.583 20 0 DDADMM COC(=O)[C@H](Cc1ccccc1)[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC000342086197 409557819 /nfs/dbraw/zinc/55/78/19/409557819.db2.gz SXLOGPXOAXAVDU-ZYHUDNBSSA-N -1 1 304.350 1.020 20 0 DDADMM Cc1ccccc1C1=CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000353925339 409567095 /nfs/dbraw/zinc/56/70/95/409567095.db2.gz XRSIZEIGJXXPJS-UHFFFAOYSA-N -1 1 321.340 1.265 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H](C)Oc1ccccc1 ZINC000290763369 409511396 /nfs/dbraw/zinc/51/13/96/409511396.db2.gz NSKJMLUIBBVXMH-SECBINFHSA-N -1 1 311.363 1.311 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2csc(Cl)c2)n1 ZINC000348812426 409525197 /nfs/dbraw/zinc/52/51/97/409525197.db2.gz RSBSIOWKYUEVDG-UHFFFAOYSA-N -1 1 306.756 1.175 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2csc(Cl)c2)[n-]1 ZINC000348812426 409525208 /nfs/dbraw/zinc/52/52/08/409525208.db2.gz RSBSIOWKYUEVDG-UHFFFAOYSA-N -1 1 306.756 1.175 20 0 DDADMM CCS[C@H]1CCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337918374 409569480 /nfs/dbraw/zinc/56/94/80/409569480.db2.gz WNXJVVDYCCZPGM-PWSUYJOCSA-N -1 1 323.418 1.307 20 0 DDADMM Cc1ccc(CNC(=O)CNC(=O)c2ncccc2[O-])s1 ZINC000337944370 409589269 /nfs/dbraw/zinc/58/92/69/409589269.db2.gz BHJCNQIDCFVZLS-UHFFFAOYSA-N -1 1 305.359 1.203 20 0 DDADMM C[C@@]1(CO)CCC[C@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000305121348 409690396 /nfs/dbraw/zinc/69/03/96/409690396.db2.gz MQUSTAGOIHWNDX-OLZOCXBDSA-N -1 1 323.336 1.933 20 0 DDADMM C[C@@H]1CCN(C)CCN1C(=O)c1cc(F)c(F)c([O-])c1F ZINC000349189492 409740724 /nfs/dbraw/zinc/74/07/24/409740724.db2.gz LOGORVXWTUCCGX-MRVPVSSYSA-N -1 1 302.296 1.976 20 0 DDADMM O=S(=O)([N-]C1CCC(O)CC1)c1cc(F)c(F)cc1F ZINC000305178823 409718050 /nfs/dbraw/zinc/71/80/50/409718050.db2.gz MTMRTSASROXSDH-UHFFFAOYSA-N -1 1 309.309 1.686 20 0 DDADMM CC[C@@H](C)c1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)on1 ZINC000357079546 409852180 /nfs/dbraw/zinc/85/21/80/409852180.db2.gz SYOZJEZJNABSIA-SECBINFHSA-N -1 1 304.354 1.901 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)N=c2nc3cc(Cl)ccn3[n-]2)CCN1C ZINC000332126301 409906732 /nfs/dbraw/zinc/90/67/32/409906732.db2.gz DDDPNLSZTHOTMC-NXEZZACHSA-N -1 1 322.800 1.361 20 0 DDADMM CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)Nc1nn[nH]c1C(N)=O ZINC000297673039 409951189 /nfs/dbraw/zinc/95/11/89/409951189.db2.gz GMENXXIFHGLLAQ-AEJSXWLSSA-N -1 1 309.370 1.216 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2cc3[nH]ncc3c(C)c2)cn1C ZINC000346475519 409977673 /nfs/dbraw/zinc/97/76/73/409977673.db2.gz HYMGVESARZIFFZ-UHFFFAOYSA-N -1 1 305.363 1.714 20 0 DDADMM O=C1CCN(Cc2nc(-c3ccc([O-])cc3Cl)no2)C(=O)N1 ZINC000350817258 410001260 /nfs/dbraw/zinc/00/12/60/410001260.db2.gz RXSLLRQHIGTHFF-UHFFFAOYSA-N -1 1 322.708 1.538 20 0 DDADMM CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)Nc1nn[nH]c1C(=O)NC ZINC000297795608 410001447 /nfs/dbraw/zinc/00/14/47/410001447.db2.gz RVEXAJDOWNDASX-MXWKQRLJSA-N -1 1 323.397 1.477 20 0 DDADMM CCCCc1ccc(CC(=O)Nc2nn[nH]c2C(=O)NC)cc1 ZINC000297795426 410001976 /nfs/dbraw/zinc/00/19/76/410001976.db2.gz RTJDJZLUWWLKDX-UHFFFAOYSA-N -1 1 315.377 1.688 20 0 DDADMM COCc1ccccc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332317804 410063697 /nfs/dbraw/zinc/06/36/97/410063697.db2.gz KXEKLRKIQNUWKG-LLVKDONJSA-N -1 1 317.345 1.941 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cncc([O-])c1)c1ccc(O)cc1 ZINC000354760544 410067375 /nfs/dbraw/zinc/06/73/75/410067375.db2.gz JKQQZBAQZOGHRC-CYBMUJFWSA-N -1 1 302.286 1.137 20 0 DDADMM CC(C)(NC(=O)[C@H]1Cc2cc(Cl)ccc2O1)c1nn[n-]n1 ZINC000354805543 410099301 /nfs/dbraw/zinc/09/93/01/410099301.db2.gz RDYMOCWHIRPCRP-SNVBAGLBSA-N -1 1 307.741 1.208 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C[C@H](C)OC)C(C)C)co1 ZINC000339357998 410124765 /nfs/dbraw/zinc/12/47/65/410124765.db2.gz KPKFYHPVFFQPJM-JTQLQIEISA-N -1 1 318.395 1.073 20 0 DDADMM C[C@@]1(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CC=CCC1 ZINC000332465802 410179707 /nfs/dbraw/zinc/17/97/07/410179707.db2.gz RZPJJKTYLCBZLG-CQSZACIVSA-N -1 1 307.423 1.346 20 0 DDADMM C[C@H]1CCC[C@H](CNC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343154956 410192491 /nfs/dbraw/zinc/19/24/91/410192491.db2.gz UVYOEJTUEFJQSM-RYUDHWBXSA-N -1 1 305.378 1.460 20 0 DDADMM Cc1ccc(CN(C)C(=O)CNC(=O)c2ncccc2[O-])s1 ZINC000343245055 410269963 /nfs/dbraw/zinc/26/99/63/410269963.db2.gz KZNFDJULYRPBPE-UHFFFAOYSA-N -1 1 319.386 1.546 20 0 DDADMM CCc1ccnc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000351852786 410290196 /nfs/dbraw/zinc/29/01/96/410290196.db2.gz LQJBRIUMZHSCOW-UHFFFAOYSA-N -1 1 302.334 1.752 20 0 DDADMM CCCOc1ccc2c(c1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000329592205 410374929 /nfs/dbraw/zinc/37/49/29/410374929.db2.gz OBNNKMXUIMCXBT-UHFFFAOYSA-N -1 1 302.378 1.456 20 0 DDADMM C[C@H]1CCCCN1C(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000333178671 410404480 /nfs/dbraw/zinc/40/44/80/410404480.db2.gz RLVWPPYSCKKJKO-NSHDSACASA-N -1 1 307.398 1.091 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C)O[C@@]3(CCO[C@H]3C)C2)c([O-])c1 ZINC000329848608 410460261 /nfs/dbraw/zinc/46/02/61/410460261.db2.gz NJRNGEHGCAMMFC-MKBNYLNASA-N -1 1 306.362 1.504 20 0 DDADMM O=C(CCNC(=O)c1ccc2[nH]nnc2c1)NC1CCCCC1 ZINC000298988922 410475158 /nfs/dbraw/zinc/47/51/58/410475158.db2.gz QJAVQCAUWICRPJ-UHFFFAOYSA-N -1 1 315.377 1.527 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c(O)c1C ZINC000299039251 410492159 /nfs/dbraw/zinc/49/21/59/410492159.db2.gz SCAOPQBXXAZWBU-LLVKDONJSA-N -1 1 316.361 1.440 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC2(CNC(=O)C2)CC1 ZINC000330241460 410597167 /nfs/dbraw/zinc/59/71/67/410597167.db2.gz WEUYWGNILDOATN-UHFFFAOYSA-N -1 1 320.418 1.722 20 0 DDADMM CO[C@@H](CCNC(=O)c1csc(=NC2CC2)[n-]1)C(F)(F)F ZINC000347450169 410554770 /nfs/dbraw/zinc/55/47/70/410554770.db2.gz ZTPSPWZGDWSHPD-VIFPVBQESA-N -1 1 323.340 1.837 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@@H](C(=O)N2CCCC2)C1 ZINC000339935536 410562162 /nfs/dbraw/zinc/56/21/62/410562162.db2.gz BHWILEBPPVMHAU-GFCCVEGCSA-N -1 1 303.362 1.262 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)Oc1ccc(OC)cc1)c1nn[n-]n1 ZINC000343758690 410675267 /nfs/dbraw/zinc/67/52/67/410675267.db2.gz ZQRDOFKEZHEPFY-MFKMUULPSA-N -1 1 319.365 1.633 20 0 DDADMM c1coc(Cn2c(SCc3nn[n-]n3)nnc2C2CC2)c1 ZINC000353217404 410860395 /nfs/dbraw/zinc/86/03/95/410860395.db2.gz LWFFFRIIKMSTHY-UHFFFAOYSA-N -1 1 303.351 1.602 20 0 DDADMM O=C(CNC(=O)c1c(F)ccc([O-])c1F)Nc1cccnc1 ZINC000348253984 410877471 /nfs/dbraw/zinc/87/74/71/410877471.db2.gz DNMVEIMFPOKMPS-UHFFFAOYSA-N -1 1 307.256 1.434 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CC2)cccc1-c1ccccn1 ZINC000348280835 410893212 /nfs/dbraw/zinc/89/32/12/410893212.db2.gz ICYOCSFGXVAVSW-UHFFFAOYSA-N -1 1 320.356 1.989 20 0 DDADMM Fc1ccc(CN=c2[n-]nc(SCc3nn[nH]n3)s2)cc1 ZINC000353277183 410896882 /nfs/dbraw/zinc/89/68/82/410896882.db2.gz WRSAGLSUXOQTRP-UHFFFAOYSA-N -1 1 323.382 1.517 20 0 DDADMM NC(=O)[C@H](Cc1ccc(Cl)cc1)NC(=O)c1ncccc1[O-] ZINC000344028463 410906312 /nfs/dbraw/zinc/90/63/12/410906312.db2.gz VWOAHUFPOIQKDK-NSHDSACASA-N -1 1 319.748 1.267 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@]2(C)CCO[C@H]2C)o1 ZINC000344047169 410917926 /nfs/dbraw/zinc/91/79/26/410917926.db2.gz KKAYOZBGDLQJMC-ZANVPECISA-N -1 1 317.363 1.302 20 0 DDADMM O=S(=O)([N-]CCn1ccnc1)c1ccc(Br)o1 ZINC000353409510 410969340 /nfs/dbraw/zinc/96/93/40/410969340.db2.gz FKWGBLUJBHSDHK-UHFFFAOYSA-N -1 1 320.168 1.217 20 0 DDADMM CCCCNC(=O)[C@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000331143915 410977218 /nfs/dbraw/zinc/97/72/18/410977218.db2.gz GOLTUOJSQAFRHR-ZDUSSCGKSA-N -1 1 319.405 1.864 20 0 DDADMM C[C@@H](Oc1cnc2ccccc2c1)C(=O)N=c1ccc(=O)[n-][nH]1 ZINC000348515428 410991592 /nfs/dbraw/zinc/99/15/92/410991592.db2.gz YJPIJLBILPJZCY-SNVBAGLBSA-N -1 1 310.313 1.558 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2ccc(OC(F)F)cc2F)cn1 ZINC000337791319 411001933 /nfs/dbraw/zinc/00/19/33/411001933.db2.gz BCMVHKPHBWCMTF-UHFFFAOYSA-N -1 1 321.280 1.961 20 0 DDADMM COCc1ncsc1C(=O)[N-]c1nc2ccc(F)cn2n1 ZINC000341371454 411027490 /nfs/dbraw/zinc/02/74/90/411027490.db2.gz ODSJATUTMIVNPG-UHFFFAOYSA-N -1 1 307.310 1.724 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC(=O)N[C@H](C)C2)c1 ZINC000331241085 411036073 /nfs/dbraw/zinc/03/60/73/411036073.db2.gz PSWJPRPYODOSSK-SCZZXKLOSA-N -1 1 306.318 1.032 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCCN2C(=O)C(C)C)n1 ZINC000331250716 411040298 /nfs/dbraw/zinc/04/02/98/411040298.db2.gz ZVBDIERJZBGSGI-NSHDSACASA-N -1 1 322.365 1.172 20 0 DDADMM COc1ccc(S(=O)(=O)N[C@@H]2CC[N@H+](C3CC3)C2)c(F)c1 ZINC000360259554 411115927 /nfs/dbraw/zinc/11/59/27/411115927.db2.gz XGDZEJLOEFCLBB-SNVBAGLBSA-N -1 1 314.382 1.349 20 0 DDADMM CCN(Cc1ccc2c(c1)OCO2)C(=O)CCCc1nn[n-]n1 ZINC000635184595 422866837 /nfs/dbraw/zinc/86/68/37/422866837.db2.gz AFZHHLDTFWTJFQ-UHFFFAOYSA-N -1 1 317.349 1.300 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1ccccc1Br ZINC000635228097 422888465 /nfs/dbraw/zinc/88/84/65/422888465.db2.gz YUGBMEOQWRAUSG-UHFFFAOYSA-N -1 1 324.182 1.601 20 0 DDADMM O=C(c1cnc2n1CCCC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000580186959 422898515 /nfs/dbraw/zinc/89/85/15/422898515.db2.gz IMQQIDJXJLDHAX-UHFFFAOYSA-N -1 1 317.349 1.328 20 0 DDADMM CN(CCNS(=O)(=O)c1cccc(Cl)c1[O-])C1CC1 ZINC000631796318 422925534 /nfs/dbraw/zinc/92/55/34/422925534.db2.gz UYQRPXLMRMQCPT-UHFFFAOYSA-N -1 1 304.799 1.418 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCCCO2)c1 ZINC000631799113 422927200 /nfs/dbraw/zinc/92/72/00/422927200.db2.gz JDAFNXIFJBYTNI-NSHDSACASA-N -1 1 301.364 1.248 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)[C@@H]1CCCO1 ZINC000645461141 423041400 /nfs/dbraw/zinc/04/14/00/423041400.db2.gz NCMORXUARAKTHN-WPRPVWTQSA-N -1 1 324.324 1.946 20 0 DDADMM C[C@H](c1nnnn1C1CC1)N1CCC[C@@H](C(C)(C)C(=O)[O-])C1 ZINC000652498424 423043268 /nfs/dbraw/zinc/04/32/68/423043268.db2.gz HQGAPUNWINIPIE-GHMZBOCLSA-N -1 1 307.398 1.892 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H](O)C1)c1ncccc1C(F)(F)F ZINC000645477297 423050763 /nfs/dbraw/zinc/05/07/63/423050763.db2.gz CZDOQEIVXBNWKI-IUCAKERBSA-N -1 1 324.324 1.682 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CC1)c1ncccc1C(F)(F)F ZINC000645556258 423081232 /nfs/dbraw/zinc/08/12/32/423081232.db2.gz JSGHLCUACUOWJK-VIFPVBQESA-N -1 1 310.297 1.150 20 0 DDADMM CC(=O)c1ccsc1[N-]S(=O)(=O)N1CCCOCC1 ZINC000650203260 423085347 /nfs/dbraw/zinc/08/53/47/423085347.db2.gz VZOQHEFXIVKZRS-UHFFFAOYSA-N -1 1 304.393 1.330 20 0 DDADMM O=S(=O)([N-]Cc1cccs1)c1c[nH]nc1C(F)(F)F ZINC000647890562 423101349 /nfs/dbraw/zinc/10/13/49/423101349.db2.gz UKGRPRIIMRMGAV-UHFFFAOYSA-N -1 1 311.310 1.969 20 0 DDADMM C[C@@H](NC(=O)c1csc(=NC2CC2)[n-]1)c1nccc(N)n1 ZINC000615718073 416895232 /nfs/dbraw/zinc/89/52/32/416895232.db2.gz TUMITGBPWNHPPB-SSDOTTSWSA-N -1 1 304.379 1.003 20 0 DDADMM CSc1nc(CNC(=O)c2cnn(CC(C)C)c2)cc(=O)[n-]1 ZINC000640655467 423116741 /nfs/dbraw/zinc/11/67/41/423116741.db2.gz DHXAWRWIKYQQCM-UHFFFAOYSA-N -1 1 321.406 1.687 20 0 DDADMM O=C(NCc1ncc2c(n1)CCOC2)c1cc(F)ccc1[O-] ZINC000652753557 423117575 /nfs/dbraw/zinc/11/75/75/423117575.db2.gz IMPPDHXIULHRDG-UHFFFAOYSA-N -1 1 303.293 1.324 20 0 DDADMM COc1cc(C)cnc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640656633 423119169 /nfs/dbraw/zinc/11/91/69/423119169.db2.gz RSGRBWINSJGDGX-UHFFFAOYSA-N -1 1 320.374 1.546 20 0 DDADMM COC[C@@H]1C[C@@H](O)CN1C(=O)c1ccc2ccccc2c1[O-] ZINC000652779829 423129791 /nfs/dbraw/zinc/12/97/91/423129791.db2.gz VNURRDABNNQXDN-QWHCGFSZSA-N -1 1 301.342 1.767 20 0 DDADMM O=C(CCNC(=O)c1cc(F)ccc1[O-])NCc1cccnc1 ZINC000175204694 221804203 /nfs/dbraw/zinc/80/42/03/221804203.db2.gz ALGDTRXQXYKPGO-UHFFFAOYSA-N -1 1 317.320 1.363 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)Nc2ccccn2)n1 ZINC000059942090 418484622 /nfs/dbraw/zinc/48/46/22/418484622.db2.gz KJZVRKIKZCWXGE-UHFFFAOYSA-N -1 1 304.375 1.848 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000366852970 418526909 /nfs/dbraw/zinc/52/69/09/418526909.db2.gz QSPWNBRQLMEIFR-UKRRQHHQSA-N -1 1 310.353 1.896 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C[C@@H]2CCCCNC2=O)c1 ZINC000374477161 418533858 /nfs/dbraw/zinc/53/38/58/418533858.db2.gz ZWXAAFHQUSDEJG-JTQLQIEISA-N -1 1 320.345 1.424 20 0 DDADMM CCO[C@@H]1C[C@@](CO)(NC(=O)c2cc(F)ccc2[O-])C1(C)C ZINC000188801618 222027777 /nfs/dbraw/zinc/02/77/77/222027777.db2.gz NAVOLCUUNHKDEL-CJNGLKHVSA-N -1 1 311.353 1.827 20 0 DDADMM CO[C@@H](C)c1nc(=NC[C@H]2COC3(CCOCC3)O2)s[n-]1 ZINC000367173040 418568270 /nfs/dbraw/zinc/56/82/70/418568270.db2.gz OTTKGOHHCJFXOS-UWVGGRQHSA-N -1 1 315.395 1.001 20 0 DDADMM CC(C)(NC(=O)COc1ccc2c(c1)CCCC2)c1nn[n-]n1 ZINC000361078568 418578915 /nfs/dbraw/zinc/57/89/15/418578915.db2.gz BJQNXNUDWZZIBB-UHFFFAOYSA-N -1 1 315.377 1.509 20 0 DDADMM CCOC(=O)[C@@H](CC(C)C)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000367359786 418590740 /nfs/dbraw/zinc/59/07/40/418590740.db2.gz BRDCDNGOXMHUMU-GFCCVEGCSA-N -1 1 310.398 1.668 20 0 DDADMM O=C(Cc1ccc(F)c(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000361217765 418608048 /nfs/dbraw/zinc/60/80/48/418608048.db2.gz PCMUCZOZGOBVNZ-SNVBAGLBSA-N -1 1 307.304 1.427 20 0 DDADMM C[C@@H]1CCC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC000361259419 418612905 /nfs/dbraw/zinc/61/29/05/418612905.db2.gz ASKNJEDPOVPHER-GMTAPVOTSA-N -1 1 303.366 1.220 20 0 DDADMM CC[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@@H](CC)CO1 ZINC000650362449 423140671 /nfs/dbraw/zinc/14/06/71/423140671.db2.gz GAEKAHRPHXBCPG-NWDGAFQWSA-N -1 1 315.373 1.953 20 0 DDADMM Cc1ccccc1C[C@H](C)NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000361506287 418664937 /nfs/dbraw/zinc/66/49/37/418664937.db2.gz DNPCQUNWODZIRE-GOEBONIOSA-N -1 1 318.417 1.839 20 0 DDADMM O=S(=O)(NC[C@@H]1CC[N@@H+](Cc2ccccc2)C1)c1ncc[nH]1 ZINC000390788285 418754984 /nfs/dbraw/zinc/75/49/84/418754984.db2.gz DDMBDIKVMGHFPJ-AWEZNQCLSA-N -1 1 320.418 1.210 20 0 DDADMM O=C(CCc1ncc(-c2ccc(F)cc2)o1)Nc1nnn[n-]1 ZINC000371535139 418797867 /nfs/dbraw/zinc/79/78/67/418797867.db2.gz LFDUNEBWEZASNW-UHFFFAOYSA-N -1 1 302.269 1.565 20 0 DDADMM O=C(CCc1ncc(-c2ccc(F)cc2)o1)Nc1nn[n-]n1 ZINC000371535139 418797868 /nfs/dbraw/zinc/79/78/68/418797868.db2.gz LFDUNEBWEZASNW-UHFFFAOYSA-N -1 1 302.269 1.565 20 0 DDADMM C[C@H](CO)N1CCCN(C(=O)c2cc(F)c([O-])c(F)c2)CC1 ZINC000364935872 418825203 /nfs/dbraw/zinc/82/52/03/418825203.db2.gz NGBBWBUQMDGIJA-SNVBAGLBSA-N -1 1 314.332 1.199 20 0 DDADMM CC(C)CCOc1ccc(C(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000410854767 418852076 /nfs/dbraw/zinc/85/20/76/418852076.db2.gz AAMBRXIARMUNLD-UHFFFAOYSA-N -1 1 317.349 1.581 20 0 DDADMM Cn1[n-]c(CSc2nnnn2-c2ccc(Cl)cc2)nc1=O ZINC000354322518 306772048 /nfs/dbraw/zinc/77/20/48/306772048.db2.gz SNTSLPUFTNVANL-UHFFFAOYSA-N -1 1 323.769 1.030 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)C(=O)N(C)C)c(F)c1 ZINC000425178146 228381837 /nfs/dbraw/zinc/38/18/37/228381837.db2.gz QZOXOUGVIBWLCG-QMMMGPOBSA-N -1 1 306.334 1.028 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCOC[C@@H]2C)c(F)c1 ZINC000425185490 228384364 /nfs/dbraw/zinc/38/43/64/228384364.db2.gz GYNBBMLJKWKRHS-JOYOIKCWSA-N -1 1 305.346 1.977 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC2(O)CCCC2)c(F)c1 ZINC000425202007 228388716 /nfs/dbraw/zinc/38/87/16/228388716.db2.gz LYCCHGOLGXVWQD-UHFFFAOYSA-N -1 1 305.346 1.857 20 0 DDADMM CCn1ncc(C(=O)NC2(c3nn[n-]n3)CC2)c1-c1ccccc1 ZINC000427897734 419764949 /nfs/dbraw/zinc/76/49/49/419764949.db2.gz UBTGFAFHDNOFCP-UHFFFAOYSA-N -1 1 323.360 1.502 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](c1cccs1)C1CC1 ZINC000416137530 420254247 /nfs/dbraw/zinc/25/42/47/420254247.db2.gz NBZLUGNDMUXJHO-VIFPVBQESA-N -1 1 321.358 1.839 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C[C@H](C)c1ccccc1 ZINC000416142155 420255343 /nfs/dbraw/zinc/25/53/43/420255343.db2.gz BWJOSJLYKTXWRM-JTQLQIEISA-N -1 1 317.345 1.772 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCC(C)(C)C[C@H]1C ZINC000416169052 420267133 /nfs/dbraw/zinc/26/71/33/420267133.db2.gz BSYNBDSHLBEKEK-BDAKNGLRSA-N -1 1 309.366 1.841 20 0 DDADMM Cc1cnc(C(=O)NCC(C)(C)C2(O)CCOCC2)c([O-])c1 ZINC000416319357 420331383 /nfs/dbraw/zinc/33/13/83/420331383.db2.gz MVLSKARXSUCWPG-UHFFFAOYSA-N -1 1 308.378 1.393 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cnc(C)nc2C)c1 ZINC000436509073 420335129 /nfs/dbraw/zinc/33/51/29/420335129.db2.gz RBZIAOFEXDDTLI-UHFFFAOYSA-N -1 1 301.302 1.838 20 0 DDADMM Cn1cc(C(C)(C)C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000425312284 420345445 /nfs/dbraw/zinc/34/54/45/420345445.db2.gz ZFUOOKZZFSTPIZ-JTQLQIEISA-N -1 1 319.365 1.193 20 0 DDADMM NC(=O)C(=O)Nc1cccc(NC(=O)c2cc(F)ccc2[O-])c1 ZINC000436657515 420349650 /nfs/dbraw/zinc/34/96/50/420349650.db2.gz RDIULXUBTWBYDP-UHFFFAOYSA-N -1 1 317.276 1.207 20 0 DDADMM O=C(CCc1cncs1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425328675 420353216 /nfs/dbraw/zinc/35/32/16/420353216.db2.gz YCGXDLVZQOGAKR-UHFFFAOYSA-N -1 1 308.363 1.571 20 0 DDADMM COC(=O)[C@H](NC(=O)C(=O)c1ccc([O-])cc1)c1ccccc1 ZINC000436692677 420356420 /nfs/dbraw/zinc/35/64/20/420356420.db2.gz ZFDVCPKOPLUECE-CQSZACIVSA-N -1 1 313.309 1.605 20 0 DDADMM COC(=O)C1(C(=O)N=c2cc(-c3cc(C)ccc3F)[n-][nH]2)CC1 ZINC000416252069 420295855 /nfs/dbraw/zinc/29/58/55/420295855.db2.gz NDFFFUHUMMDZIP-UHFFFAOYSA-N -1 1 317.320 1.838 20 0 DDADMM Nc1ncncc1CNC(=O)c1cc(Br)ccc1[O-] ZINC000436808180 420370076 /nfs/dbraw/zinc/37/00/76/420370076.db2.gz NMEPUCNXPOINGB-UHFFFAOYSA-N -1 1 323.150 1.287 20 0 DDADMM O=C(NC[C@@H]1CCCCS1(=O)=O)c1ccc([O-])cc1F ZINC000436827599 420371666 /nfs/dbraw/zinc/37/16/66/420371666.db2.gz UJRQQZURBKVALA-JTQLQIEISA-N -1 1 301.339 1.228 20 0 DDADMM CN(CCCNC(=O)C1CCC1)C(=O)c1c([O-])cccc1F ZINC000436866155 420376264 /nfs/dbraw/zinc/37/62/64/420376264.db2.gz VXQTUZWOBORGKO-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM CCN(C[C@H](C)O)C(=O)c1ccc(Br)cc1[O-] ZINC000436863897 420377278 /nfs/dbraw/zinc/37/72/78/420377278.db2.gz KOYHWFQWTCLSMD-QMMMGPOBSA-N -1 1 302.168 1.998 20 0 DDADMM CCN1CCN(C(=O)c2cc(F)ccc2[O-])C[C@H]1c1ncc[nH]1 ZINC000436999147 420390826 /nfs/dbraw/zinc/39/08/26/420390826.db2.gz LJKOOHQSCVYNDJ-ZDUSSCGKSA-N -1 1 318.352 1.773 20 0 DDADMM O=C(Nc1ccc(-n2cncn2)nc1)C(=O)c1ccc([O-])cc1 ZINC000437001756 420392077 /nfs/dbraw/zinc/39/20/77/420392077.db2.gz DQOSZLMPSRCTBO-UHFFFAOYSA-N -1 1 309.285 1.189 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCO[C@H]2CC)o1 ZINC000416557336 420416974 /nfs/dbraw/zinc/41/69/74/420416974.db2.gz KIDJYMGJNMLQIH-ZJUUUORDSA-N -1 1 317.363 1.302 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H]2CCO[C@H](C(C)C)C2)[n-]n1 ZINC000450736914 420517241 /nfs/dbraw/zinc/51/72/41/420517241.db2.gz KBTONYAILNZYMW-JSGCOSHPSA-N -1 1 306.410 1.511 20 0 DDADMM COc1cccc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000492323975 420523177 /nfs/dbraw/zinc/52/31/77/420523177.db2.gz PFMDABDBOUWUND-WSROAFLRSA-N -1 1 313.361 1.628 20 0 DDADMM CC[C@H](C[C@H](C)CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000440762962 420605481 /nfs/dbraw/zinc/60/54/81/420605481.db2.gz RSFIZHQORYFWBM-WDEREUQCSA-N -1 1 303.362 1.450 20 0 DDADMM CC(C)(C)[C@@H](NC(N)=O)C(=O)Nc1ccc([O-])c(F)c1F ZINC000456791643 420544788 /nfs/dbraw/zinc/54/47/88/420544788.db2.gz YZCLCENMIWTPRB-JTQLQIEISA-N -1 1 301.293 1.692 20 0 DDADMM CCNC(=O)[C@H](C)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451007530 420579019 /nfs/dbraw/zinc/57/90/19/420579019.db2.gz KDAQCSNDYVHJTO-QMMMGPOBSA-N -1 1 314.338 1.375 20 0 DDADMM CC(C)c1[nH]nc(C(N)=O)c1[N-]S(=O)(=O)CCC(C)(C)C ZINC000451113866 420592097 /nfs/dbraw/zinc/59/20/97/420592097.db2.gz JXEJPQKANMECTP-UHFFFAOYSA-N -1 1 316.427 1.810 20 0 DDADMM CC(C)c1n[nH]c(C(N)=O)c1[N-]S(=O)(=O)CCC(C)(C)C ZINC000451113866 420592100 /nfs/dbraw/zinc/59/21/00/420592100.db2.gz JXEJPQKANMECTP-UHFFFAOYSA-N -1 1 316.427 1.810 20 0 DDADMM COc1ccc(OC)c(C=CC(=O)N=c2ccc(=O)[n-][nH]2)c1 ZINC000492684970 420628590 /nfs/dbraw/zinc/62/85/90/420628590.db2.gz WGXRGJTUDVOLPF-CLTKARDFSA-N -1 1 301.302 1.273 20 0 DDADMM COc1ccc(OC)c(C=CC(=O)N=c2ccc([O-])n[nH]2)c1 ZINC000492684970 420628596 /nfs/dbraw/zinc/62/85/96/420628596.db2.gz WGXRGJTUDVOLPF-CLTKARDFSA-N -1 1 301.302 1.273 20 0 DDADMM COCC[C@](C)(O)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442670648 420698827 /nfs/dbraw/zinc/69/88/27/420698827.db2.gz FVBRNLNNVWUIIU-AWEZNQCLSA-N -1 1 317.769 1.572 20 0 DDADMM O=C(C=Cc1cc(F)c(F)c(F)c1)NC1(c2nn[n-]n2)CC1 ZINC000492986800 420727925 /nfs/dbraw/zinc/72/79/25/420727925.db2.gz RDWHCCDWBRCJKX-UPHRSURJSA-N -1 1 309.251 1.436 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)/C=C/COCC(F)(F)F)ccnc1-2 ZINC000493190400 420782429 /nfs/dbraw/zinc/78/24/29/420782429.db2.gz AXPWMBXYFYFKLP-OFMUITAVSA-N -1 1 314.267 1.415 20 0 DDADMM COc1cncc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000493402046 420839379 /nfs/dbraw/zinc/83/93/79/420839379.db2.gz OZZFOXGSLDVKMG-QMAVJUDZSA-N -1 1 314.349 1.023 20 0 DDADMM O=C(C=Cc1ccc2ccccc2n1)NC1(c2nn[n-]n2)CC1 ZINC000493491028 420865313 /nfs/dbraw/zinc/86/53/13/420865313.db2.gz REBFXICJABABDC-FPLPWBNLSA-N -1 1 306.329 1.567 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)N[C@H]2C[C@@H](O)C2)c([O-])c1 ZINC000495980372 421110509 /nfs/dbraw/zinc/11/05/09/421110509.db2.gz JBCFSXUXAMKKCV-PHIMTYICSA-N -1 1 322.361 1.992 20 0 DDADMM CC[C@@H]1CN(C(=O)Cc2ccc(O)c(F)c2)CC[N@H+]1C[C@@H](C)O ZINC000450026773 421129982 /nfs/dbraw/zinc/12/99/82/421129982.db2.gz NAMWXURTMVWMFX-TZMCWYRMSA-N -1 1 324.396 1.377 20 0 DDADMM Cc1ccc(OCC(=O)NC2(c3nn[n-]n3)CCCC2)c(C)c1 ZINC000522855784 421227369 /nfs/dbraw/zinc/22/73/69/421227369.db2.gz VDTPISIMWVYVHD-UHFFFAOYSA-N -1 1 315.377 1.781 20 0 DDADMM C[C@H]1CCC[C@]1(C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000450553761 421209511 /nfs/dbraw/zinc/20/95/11/421209511.db2.gz CJJXVUFLAXFXQT-XPTSAGLGSA-N -1 1 312.391 1.804 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CC[C@@H](c2nc(C3CC3)no2)C1 ZINC000560412225 421261741 /nfs/dbraw/zinc/26/17/41/421261741.db2.gz OXXNXSJPRCSPMX-SNVBAGLBSA-N -1 1 324.344 1.848 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)s1 ZINC000560452381 421266676 /nfs/dbraw/zinc/26/66/76/421266676.db2.gz KOENSVWPZYDJDU-UHFFFAOYSA-N -1 1 313.408 1.892 20 0 DDADMM CC[C@H]1Cc2ccccc2CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000545955382 421274828 /nfs/dbraw/zinc/27/48/28/421274828.db2.gz JTQLRWKQDKDICN-ZDUSSCGKSA-N -1 1 323.356 1.395 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCC2(CO)CCCC2)[n-]n1 ZINC000546025484 421281953 /nfs/dbraw/zinc/28/19/53/421281953.db2.gz PSUXPQNFJNMZHY-UHFFFAOYSA-N -1 1 318.421 1.536 20 0 DDADMM CCCN1CCC[C@@H]1C(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC000526016525 421323871 /nfs/dbraw/zinc/32/38/71/421323871.db2.gz QCTOUPCNRPAIPR-CYBMUJFWSA-N -1 1 316.365 1.181 20 0 DDADMM CC(C)(C)CCS(=O)(=O)N[C@H](CN1CCCCC1)C(=O)[O-] ZINC000547560669 421343848 /nfs/dbraw/zinc/34/38/48/421343848.db2.gz CAGUCCWPMMIXTM-GFCCVEGCSA-N -1 1 320.455 1.281 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)C)N(C)C(=O)c1csc(=NC2CC2)[n-]1 ZINC000548254152 421415061 /nfs/dbraw/zinc/41/50/61/421415061.db2.gz BSMCYKILRWRYGN-VIFPVBQESA-N -1 1 324.450 1.515 20 0 DDADMM CCCCN(CCO)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000550474696 421520322 /nfs/dbraw/zinc/52/03/22/421520322.db2.gz LYEMYMIQOAGGLV-UHFFFAOYSA-N -1 1 320.393 1.215 20 0 DDADMM Cc1sc(=NCc2nccc(C(F)F)n2)[nH]c1C(=O)[O-] ZINC000514864520 421462804 /nfs/dbraw/zinc/46/28/04/421462804.db2.gz FVYMNFJTRIRWDD-UHFFFAOYSA-N -1 1 300.290 1.911 20 0 DDADMM COCc1nc(C(=O)Nc2cc(C(=O)OC)ccc2[O-])co1 ZINC000548886764 421482929 /nfs/dbraw/zinc/48/29/29/421482929.db2.gz IIRLOQNUDASQBJ-UHFFFAOYSA-N -1 1 306.274 1.566 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cnc3ccccc3c2)[n-]n1C ZINC000548978516 421487837 /nfs/dbraw/zinc/48/78/37/421487837.db2.gz RPBOYNHJXPZBSO-UHFFFAOYSA-N -1 1 318.358 1.200 20 0 DDADMM CC1(C)CC[N@@H+](CC(=O)Nc2ccc(-n3nn[n-]c3=O)cc2)C1 ZINC000563385215 421499326 /nfs/dbraw/zinc/49/93/26/421499326.db2.gz AENUTQSAMNQOAR-UHFFFAOYSA-N -1 1 316.365 1.038 20 0 DDADMM CC1(C)CCN(CC(=O)Nc2ccc(-n3nn[n-]c3=O)cc2)C1 ZINC000563385215 421499329 /nfs/dbraw/zinc/49/93/29/421499329.db2.gz AENUTQSAMNQOAR-UHFFFAOYSA-N -1 1 316.365 1.038 20 0 DDADMM Cc1cc(F)cc(-n2cc(C(=O)Nc3ccncc3[O-])nn2)c1 ZINC000516963881 421582725 /nfs/dbraw/zinc/58/27/25/421582725.db2.gz KVLMNCANNZJVHV-UHFFFAOYSA-N -1 1 313.292 1.490 20 0 DDADMM Cc1cc(CNC(=O)c2csc(=NC3CC3)[n-]2)nc(C)n1 ZINC000552435610 421587732 /nfs/dbraw/zinc/58/77/32/421587732.db2.gz PRAMCABEGJXGRN-UHFFFAOYSA-N -1 1 303.391 1.476 20 0 DDADMM CCc1ncnc(CC)c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000551733242 421539873 /nfs/dbraw/zinc/53/98/73/421539873.db2.gz NRVPVWRFKRDMSG-SNVBAGLBSA-N -1 1 315.381 1.134 20 0 DDADMM COc1cccc([C@H](CNC(=O)c2ncccc2[O-])OC)c1 ZINC000529571239 421544309 /nfs/dbraw/zinc/54/43/09/421544309.db2.gz ALVZCQDTGJNRQE-AWEZNQCLSA-N -1 1 302.330 1.913 20 0 DDADMM O=C([C@@H]1CCC[N@@H+]1Cc1ncccc1O)N1CCSCC1 ZINC000517262499 421606420 /nfs/dbraw/zinc/60/64/20/421606420.db2.gz QWUUAHORHHUHBE-ZDUSSCGKSA-N -1 1 307.419 1.327 20 0 DDADMM COC(=O)[C@@H](Cc1ccncc1)NC(=O)c1ccc([O-])cc1F ZINC000570435412 421645692 /nfs/dbraw/zinc/64/56/92/421645692.db2.gz OEVFGLRFYRDTRP-CQSZACIVSA-N -1 1 318.304 1.440 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cn2cccc(C)c2n1)c1nn[n-]n1 ZINC000532334580 421656176 /nfs/dbraw/zinc/65/61/76/421656176.db2.gz VOCJDCLUWCMNDN-GFCCVEGCSA-N -1 1 313.365 1.356 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2noc(C3CC3)n2)sc1C ZINC000534996989 421695729 /nfs/dbraw/zinc/69/57/29/421695729.db2.gz BTHXUSDPRMQZAH-UHFFFAOYSA-N -1 1 314.392 1.499 20 0 DDADMM COC[C@](C)(O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000538527143 421740391 /nfs/dbraw/zinc/74/03/91/421740391.db2.gz TUYPDYMPIRVCHB-GFCCVEGCSA-N -1 1 307.268 1.538 20 0 DDADMM CO[C@@H]1CCC[C@@H]1C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000540322112 421762054 /nfs/dbraw/zinc/76/20/54/421762054.db2.gz HADOLGKDLPULCC-GHMZBOCLSA-N -1 1 304.437 1.853 20 0 DDADMM Cn1ccc(CS(=O)(=O)c2nc(-c3ccccc3F)n[n-]2)n1 ZINC000521347048 421782338 /nfs/dbraw/zinc/78/23/38/421782338.db2.gz AGPMRRVPRPNHAX-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM Cn1ccc(CS(=O)(=O)c2n[n-]c(-c3ccccc3F)n2)n1 ZINC000521347048 421782341 /nfs/dbraw/zinc/78/23/41/421782341.db2.gz AGPMRRVPRPNHAX-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM Cc1ccc(CNC(=O)c2c(F)ccc([O-])c2F)c(=O)n1C ZINC000572445118 421788299 /nfs/dbraw/zinc/78/82/99/421788299.db2.gz UHASKFWIVKSVNJ-UHFFFAOYSA-N -1 1 308.284 1.608 20 0 DDADMM CN(C(=O)[C@@H]1CCCN1C1CC1)c1ccc(OCC(=O)[O-])cc1 ZINC000630189175 421947739 /nfs/dbraw/zinc/94/77/39/421947739.db2.gz JNTUPQJUFFNBJW-HNNXBMFYSA-N -1 1 318.373 1.740 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(N2CCCCC2)cn1 ZINC000635408933 421964381 /nfs/dbraw/zinc/96/43/81/421964381.db2.gz VDNICTLTQQTCMX-UHFFFAOYSA-N -1 1 315.381 1.546 20 0 DDADMM CC[C@H](C)[C@@H](OC)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630221288 421970558 /nfs/dbraw/zinc/97/05/58/421970558.db2.gz XCIFHVPZESRJQZ-OUCADQQQSA-N -1 1 300.399 1.055 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)nc1 ZINC000630221216 421971364 /nfs/dbraw/zinc/97/13/64/421971364.db2.gz VUVXGWNNKCPFDU-ZDUSSCGKSA-N -1 1 319.405 1.826 20 0 DDADMM CO[C@@H]1C[C@H](CC(=O)[O-])N(Cc2ccn(-c3ccccc3)n2)C1 ZINC000581672520 422026006 /nfs/dbraw/zinc/02/60/06/422026006.db2.gz OQTOCUQGCRYUAU-HZPDHXFCSA-N -1 1 315.373 1.936 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)[C@@H]2CCOC2)c1 ZINC000632030871 422035428 /nfs/dbraw/zinc/03/54/28/422035428.db2.gz ANZZMFKKKZBPIV-VHSXEESVSA-N -1 1 301.364 1.104 20 0 DDADMM COC[C@@H](NS(=O)(=O)c1cc(OC)ccc1[O-])C(C)C ZINC000632029582 422035757 /nfs/dbraw/zinc/03/57/57/422035757.db2.gz JXZVXUXUIPYBDU-LLVKDONJSA-N -1 1 303.380 1.350 20 0 DDADMM COc1ccccc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630225994 421976133 /nfs/dbraw/zinc/97/61/33/421976133.db2.gz WPPHBRXPUAOLJB-GFCCVEGCSA-N -1 1 306.362 1.316 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2noc3c2CCCC3)CC1 ZINC000630224198 421976802 /nfs/dbraw/zinc/97/68/02/421976802.db2.gz NYDXWOLMROWDNY-UHFFFAOYSA-N -1 1 321.377 1.174 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)C[C@@H]2CCOC2)c1 ZINC000632098981 422086103 /nfs/dbraw/zinc/08/61/03/422086103.db2.gz DHUDPRCHADPHIW-MNOVXSKESA-N -1 1 315.391 1.494 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C(C)(C)c1cccs1 ZINC000633693194 422056306 /nfs/dbraw/zinc/05/63/06/422056306.db2.gz XQBAQRAJJQLVBK-UHFFFAOYSA-N -1 1 306.391 1.477 20 0 DDADMM C[C@H]1CCN(CCNS(=O)(=O)c2cccc(Cl)c2[O-])C1 ZINC000632057076 422058474 /nfs/dbraw/zinc/05/84/74/422058474.db2.gz SDRPMFFJFAPXEX-JTQLQIEISA-N -1 1 318.826 1.666 20 0 DDADMM Cc1ccc(C2CC2)c(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1 ZINC000574631497 422147120 /nfs/dbraw/zinc/14/71/20/422147120.db2.gz FCVJGFCKUNFIIP-UHFFFAOYSA-N -1 1 320.374 1.646 20 0 DDADMM Cc1ccc(C2CC2)c(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1 ZINC000574631497 422147122 /nfs/dbraw/zinc/14/71/22/422147122.db2.gz FCVJGFCKUNFIIP-UHFFFAOYSA-N -1 1 320.374 1.646 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCOC[C@H]1c1ncon1 ZINC000632213990 422169414 /nfs/dbraw/zinc/16/94/14/422169414.db2.gz PESSMFOVCQHITJ-NSHDSACASA-N -1 1 323.736 1.571 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@H]1O)c1c[nH]nc1C(F)(F)F ZINC000632234743 422185106 /nfs/dbraw/zinc/18/51/06/422185106.db2.gz GJXJYNLJPOZDNF-RNFRBKRXSA-N -1 1 313.301 1.010 20 0 DDADMM CN(CC(C)(CO)CO)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632147345 422119490 /nfs/dbraw/zinc/11/94/90/422119490.db2.gz MVDQNFZVMUQMMT-UHFFFAOYSA-N -1 1 301.770 1.037 20 0 DDADMM CN1CCN(C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)C2(CCCCC2)C1 ZINC000574574376 422137018 /nfs/dbraw/zinc/13/70/18/422137018.db2.gz QFSADEHDBXOSPQ-QWHCGFSZSA-N -1 1 308.422 1.820 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@H]1C[C@H]1c1ccccc1Cl ZINC000574903291 422211151 /nfs/dbraw/zinc/21/11/51/422211151.db2.gz JMTGZUJIWKNFBX-IUCAKERBSA-N -1 1 319.752 1.560 20 0 DDADMM CC(C)(NC(=O)CCCc1nn[n-]n1)c1ccc(F)c(F)c1 ZINC000635659141 422214310 /nfs/dbraw/zinc/21/43/10/422214310.db2.gz SNLSWLYITYHJFT-UHFFFAOYSA-N -1 1 309.320 1.852 20 0 DDADMM COc1ccc([C@H](NC(=O)CCc2nn[n-]n2)C(C)C)cc1 ZINC000630629706 422231484 /nfs/dbraw/zinc/23/14/84/422231484.db2.gz MBJYEAHUMXPJGM-OAHLLOKOSA-N -1 1 303.366 1.654 20 0 DDADMM CCC1(CC)[C@H](N(C)C(=O)CCCc2nn[n-]n2)C[C@@H]1OC ZINC000635702901 422256411 /nfs/dbraw/zinc/25/64/11/422256411.db2.gz FXBFJWWCNRGTEC-NEPJUHHUSA-N -1 1 309.414 1.575 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc(C[C@@H](C)CC)no1 ZINC000632248043 422191951 /nfs/dbraw/zinc/19/19/51/422191951.db2.gz IARWUHMKFUUQOU-JTQLQIEISA-N -1 1 305.400 1.114 20 0 DDADMM CCC[C@@H](C)C(=O)NCC[N-]S(=O)(=O)c1cc(C)ns1 ZINC000632364101 422277143 /nfs/dbraw/zinc/27/71/43/422277143.db2.gz IBQMJIPECQJXOQ-SECBINFHSA-N -1 1 319.452 1.282 20 0 DDADMM CC(C)(CO)CC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632390063 422298153 /nfs/dbraw/zinc/29/81/53/422298153.db2.gz OZZJLHPKNPIAJF-UHFFFAOYSA-N -1 1 315.317 1.115 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](O)C1)c1c(Cl)cc(F)cc1Cl ZINC000632431059 422337610 /nfs/dbraw/zinc/33/76/10/422337610.db2.gz PTDJDWRLOLLCPG-KNVOCYPGSA-N -1 1 314.165 1.934 20 0 DDADMM Cc1c2cc(F)ccc2oc1CNC(=O)CCc1nn[n-]n1 ZINC000630830386 422359777 /nfs/dbraw/zinc/35/97/77/422359777.db2.gz RHZMUPWZHDMCIO-UHFFFAOYSA-N -1 1 303.297 1.642 20 0 DDADMM COc1ccccc1C(C)(C)CNC(=O)CCc1nn[n-]n1 ZINC000630848909 422372330 /nfs/dbraw/zinc/37/23/30/422372330.db2.gz MNXRVZVBUSODNV-UHFFFAOYSA-N -1 1 303.366 1.235 20 0 DDADMM COC1([C@@H](C)[N-]S(=O)(=O)c2cc(C)ns2)CCOCC1 ZINC000632476338 422375872 /nfs/dbraw/zinc/37/58/72/422375872.db2.gz ZRXLNXCVSUMCGL-SNVBAGLBSA-N -1 1 320.436 1.314 20 0 DDADMM CCOc1cccc([C@@H]2C[C@H]2NC(=O)CCCc2nn[n-]n2)c1 ZINC000635888854 422444491 /nfs/dbraw/zinc/44/44/91/422444491.db2.gz SNNHTIAJIIGWPM-UONOGXRCSA-N -1 1 315.377 1.593 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)c2nccn2C)c1 ZINC000632572738 422446631 /nfs/dbraw/zinc/44/66/31/422446631.db2.gz FRPWYCWTYUBORT-SECBINFHSA-N -1 1 311.363 1.174 20 0 DDADMM Cn1cnc(CCC[N-]S(=O)(=O)c2ccc(C(F)F)o2)n1 ZINC000632498341 422392644 /nfs/dbraw/zinc/39/26/44/422392644.db2.gz IWJYSZIMMNCPBL-UHFFFAOYSA-N -1 1 320.321 1.257 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2(O)CCC(C)CC2)sn1 ZINC000632579330 422450684 /nfs/dbraw/zinc/45/06/84/422450684.db2.gz QQJWOXAOCCAUCE-UHFFFAOYSA-N -1 1 304.437 1.671 20 0 DDADMM CCCN(C(=O)CCc1nn[n-]n1)[C@@H]1CCCc2cccnc21 ZINC000632544656 422426231 /nfs/dbraw/zinc/42/62/31/422426231.db2.gz KWHAAJMHBUHVCY-CYBMUJFWSA-N -1 1 314.393 1.844 20 0 DDADMM O=C([O-])Cc1ccc(-n2[nH]c(C[C@H]3CCCO3)cc2=O)cc1 ZINC000634633041 422516956 /nfs/dbraw/zinc/51/69/56/422516956.db2.gz IEAPCFXXMZTWKG-CQSZACIVSA-N -1 1 302.330 1.976 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)c1 ZINC000632598765 422464407 /nfs/dbraw/zinc/46/44/07/422464407.db2.gz ZUILIJQCDVMQEJ-QSDJMHMYSA-N -1 1 315.391 1.491 20 0 DDADMM COC(=O)[C@H]1CC[C@H](C(=O)NC2(c3nn[n-]n3)CCCC2)CC1 ZINC000578283797 422536756 /nfs/dbraw/zinc/53/67/56/422536756.db2.gz GXGROCHNBQOUSH-XYPYZODXSA-N -1 1 321.381 1.065 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@H](NC(=O)CCCc2nn[n-]n2)C1(C)C ZINC000636029623 422579329 /nfs/dbraw/zinc/57/93/29/422579329.db2.gz NEBPWHGXROKMBU-WDEREUQCSA-N -1 1 309.414 1.621 20 0 DDADMM O=S(=O)([N-]c1cnc[nH]1)c1cccc(Br)c1 ZINC000578838561 422655788 /nfs/dbraw/zinc/65/57/88/422655788.db2.gz GKQSOJVGTWFPOL-UHFFFAOYSA-N -1 1 302.153 1.973 20 0 DDADMM CCc1nc(NC(=O)c2nn(-c3ccccc3F)cc2[O-])n[nH]1 ZINC000182269227 263374361 /nfs/dbraw/zinc/37/43/61/263374361.db2.gz CMMWRYJWKNBQHB-UHFFFAOYSA-N -1 1 316.296 1.650 20 0 DDADMM CCc1n[nH]c(NC(=O)c2nn(-c3ccccc3F)cc2[O-])n1 ZINC000182269227 263374363 /nfs/dbraw/zinc/37/43/63/263374363.db2.gz CMMWRYJWKNBQHB-UHFFFAOYSA-N -1 1 316.296 1.650 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000650777760 423257010 /nfs/dbraw/zinc/25/70/10/423257010.db2.gz QSBXRAGIHFUTNX-RXMQYKEDSA-N -1 1 303.244 1.671 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)N2CCC(C)(C(=O)[O-])CC2)n[nH]1 ZINC000646161515 423371732 /nfs/dbraw/zinc/37/17/32/423371732.db2.gz HTSMWQJOLSNXOE-UHFFFAOYSA-N -1 1 323.397 1.499 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000653319565 423438479 /nfs/dbraw/zinc/43/84/79/423438479.db2.gz SSILOLYPVGIXJE-ZDUSSCGKSA-N -1 1 314.345 1.941 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C)n1ccc2ccccc2c1=O ZINC000646409782 423478494 /nfs/dbraw/zinc/47/84/94/423478494.db2.gz XXUZNCHSLRRURU-SNVBAGLBSA-N -1 1 312.329 1.938 20 0 DDADMM CCOc1ccc(C(=O)NCC(=O)Nc2c(C)[n-][nH]c2=O)cc1 ZINC000646411420 423480104 /nfs/dbraw/zinc/48/01/04/423480104.db2.gz FWBMRMJHNAOTFS-UHFFFAOYSA-N -1 1 318.333 1.191 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1CCOc2ccc(Br)cc21 ZINC000651353560 423503950 /nfs/dbraw/zinc/50/39/50/423503950.db2.gz LKOHSXMOZAWFHH-SSDOTTSWSA-N -1 1 324.138 1.467 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1CCOc2ccc(Br)cc21 ZINC000651353560 423503956 /nfs/dbraw/zinc/50/39/56/423503956.db2.gz LKOHSXMOZAWFHH-SSDOTTSWSA-N -1 1 324.138 1.467 20 0 DDADMM CS(=O)(=O)C[C@H]1CCCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000653847589 423628742 /nfs/dbraw/zinc/62/87/42/423628742.db2.gz XEOFHGVKSQZEOO-LLVKDONJSA-N -1 1 315.366 1.571 20 0 DDADMM C[C@@H](CN(C)C(=O)COC[C@@H](C)c1ccccc1)c1nn[n-]n1 ZINC000651721238 423656897 /nfs/dbraw/zinc/65/68/97/423656897.db2.gz YTGXCHAUIPVCHZ-QWHCGFSZSA-N -1 1 317.393 1.582 20 0 DDADMM O=C(NC[C@H]1CC[C@@H](CO)O1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000647083161 423741619 /nfs/dbraw/zinc/74/16/19/423741619.db2.gz MKHSYNBJTJHPOE-ZJUUUORDSA-N -1 1 319.279 1.681 20 0 DDADMM CCCCCNC(=O)[C@@H](C)N1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639823601 423760745 /nfs/dbraw/zinc/76/07/45/423760745.db2.gz CJIYANNRJTVLJM-NEPJUHHUSA-N -1 1 309.414 1.356 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1cc(F)c(F)c(F)c1F ZINC000641925465 424074313 /nfs/dbraw/zinc/07/43/13/424074313.db2.gz QSGSOHPEDBFNRE-UHFFFAOYSA-N -1 1 317.227 1.995 20 0 DDADMM O=C([O-])c1ccc(N[C@H]2CCCC[C@@H]2N2CCOCC2)nc1 ZINC000647452429 424032508 /nfs/dbraw/zinc/03/25/08/424032508.db2.gz ZLLOXDWDRVOTLK-KBPBESRZSA-N -1 1 305.378 1.835 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1sccc1Cl)NC1CCCC1 ZINC000649709084 424147488 /nfs/dbraw/zinc/14/74/88/424147488.db2.gz HWSPVQYLDDXJJV-UHFFFAOYSA-N -1 1 322.839 1.739 20 0 DDADMM C[C@H](O)C1CN(C(=O)N=c2cc(-c3ccccc3F)o[n-]2)C1 ZINC000640104360 424175342 /nfs/dbraw/zinc/17/53/42/424175342.db2.gz ZPFLDSJRVBYCQP-VIFPVBQESA-N -1 1 305.309 1.747 20 0 DDADMM CCN1CC[C@@H](Sc2nc(C(F)(F)F)cc(=O)[n-]2)C1=O ZINC000657249338 424247468 /nfs/dbraw/zinc/24/74/68/424247468.db2.gz KZANXQHPXMMYQA-ZCFIWIBFSA-N -1 1 307.297 1.914 20 0 DDADMM C[C@H](C(=O)[O-])N(Cc1cc(-c2cnn(C)c2)no1)C1CCC1 ZINC000659795232 424262622 /nfs/dbraw/zinc/26/26/22/424262622.db2.gz VKMNFQZNCQLIAB-SNVBAGLBSA-N -1 1 304.350 1.903 20 0 DDADMM CCC(=O)N1CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640338398 424360971 /nfs/dbraw/zinc/36/09/71/424360971.db2.gz WFBQGTGXXBAGPQ-UHFFFAOYSA-N -1 1 304.375 1.762 20 0 DDADMM CC(C)(C)n1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000655398428 424446347 /nfs/dbraw/zinc/44/63/47/424446347.db2.gz YSAKSPZZLRNFRT-SNVBAGLBSA-N -1 1 319.365 1.747 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(CC(=O)Nc1ccc(Cl)cc1)C2 ZINC000662220751 424487146 /nfs/dbraw/zinc/48/71/46/424487146.db2.gz VGYKVWRNVLZOPU-MEBBXXQBSA-N -1 1 324.764 1.312 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CC3CC2(c2ccccc2)C3)C1=O ZINC000662232141 424494101 /nfs/dbraw/zinc/49/41/01/424494101.db2.gz WHWIGSWQNUYKJF-TVIZTDCDSA-N -1 1 300.358 1.293 20 0 DDADMM CCN([C@@H](C)c1cccc(OC)c1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662204065 424471324 /nfs/dbraw/zinc/47/13/24/424471324.db2.gz SXUZXTHDGBVXHI-WFASDCNBSA-N -1 1 320.389 1.764 20 0 DDADMM COCCN([C@@H](C)c1ccccc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662204524 424471903 /nfs/dbraw/zinc/47/19/03/424471903.db2.gz UYWYSMAATABVOW-DZGCQCFKSA-N -1 1 320.389 1.382 20 0 DDADMM C[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@@]1(C)CO ZINC000664448083 424592928 /nfs/dbraw/zinc/59/29/28/424592928.db2.gz HQAGZROJZTUJIK-QFYYESIMSA-N -1 1 301.346 1.014 20 0 DDADMM C[C@@H]1CCC[C@@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)O1 ZINC000664378207 424566681 /nfs/dbraw/zinc/56/66/81/424566681.db2.gz FKOPSIQTLAHTBO-MNOVXSKESA-N -1 1 301.346 1.611 20 0 DDADMM C[C@@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])C[C@@H](C)C1 ZINC000344729948 272103011 /nfs/dbraw/zinc/10/30/11/272103011.db2.gz KTMSWWRWNXTGNG-NEPJUHHUSA-N -1 1 305.378 1.412 20 0 DDADMM O=C(CCc1cc(F)ccc1F)NC1(c2nn[n-]n2)CCCC1 ZINC000345487928 272263199 /nfs/dbraw/zinc/26/31/99/272263199.db2.gz SQURFIKYEBUVAG-UHFFFAOYSA-N -1 1 321.331 1.996 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)CCC2CC2)o1 ZINC000345950182 272373025 /nfs/dbraw/zinc/37/30/25/272373025.db2.gz PLLCQVIGMDVBNI-SECBINFHSA-N -1 1 301.364 1.923 20 0 DDADMM CCN(Cc1cnn(C)c1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000068368869 280933766 /nfs/dbraw/zinc/93/37/66/280933766.db2.gz HXNUCFZVGUUMJB-UHFFFAOYSA-N -1 1 311.345 1.731 20 0 DDADMM Cc1cccc(CCC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1 ZINC000101664411 281046236 /nfs/dbraw/zinc/04/62/36/281046236.db2.gz GKEFPUIAEOCAQN-UHFFFAOYSA-N -1 1 308.363 1.088 20 0 DDADMM Cc1cccc(CCC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1 ZINC000101664411 281046237 /nfs/dbraw/zinc/04/62/37/281046237.db2.gz GKEFPUIAEOCAQN-UHFFFAOYSA-N -1 1 308.363 1.088 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(C)ccc2O)co1 ZINC000130734739 281392247 /nfs/dbraw/zinc/39/22/47/281392247.db2.gz LSBIDIVFPQZMBC-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1ccc([O-])cc1F ZINC000287588641 288525005 /nfs/dbraw/zinc/52/50/05/288525005.db2.gz XWCIWUMNYCVXKR-VXGBXAGGSA-N -1 1 308.353 1.467 20 0 DDADMM C[C@H](C(=O)N1CCSc2ccccc21)N1CC[C@@H](C(=O)[O-])C1 ZINC000430664172 289297045 /nfs/dbraw/zinc/29/70/45/289297045.db2.gz VFYDEJWGOANPDF-VXGBXAGGSA-N -1 1 320.414 1.920 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)C(C)(C)C3CCOCC3)ccnc1-2 ZINC000283231159 294130639 /nfs/dbraw/zinc/13/06/39/294130639.db2.gz PIWXVIUURKQGOS-UYRXBGFRSA-N -1 1 302.378 1.733 20 0 DDADMM NC(=O)[C@@H]1CCCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180361391 295108804 /nfs/dbraw/zinc/10/88/04/295108804.db2.gz UAPGQJXWFMKUCI-VIFPVBQESA-N -1 1 302.252 1.501 20 0 DDADMM O=C([O-])CC[C@@H]1CCCN(C(=O)[C@@H]2Cc3ccccc3CN2)C1 ZINC000322764322 298261067 /nfs/dbraw/zinc/26/10/67/298261067.db2.gz HIMHCAMINJJJMB-BBRMVZONSA-N -1 1 316.401 1.804 20 0 DDADMM NC(=O)c1cc(C(=O)[N-]c2nnc(CC(F)(F)F)s2)co1 ZINC000353982937 298328857 /nfs/dbraw/zinc/32/88/57/298328857.db2.gz IZESHOFWWMFUKI-UHFFFAOYSA-N -1 1 320.252 1.587 20 0 DDADMM CCC(CC)n1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000363150818 300221062 /nfs/dbraw/zinc/22/10/62/300221062.db2.gz WOQZCXJZGJIKEC-NSHDSACASA-N -1 1 317.397 1.777 20 0 DDADMM O=C(N[C@H]1COc2ccccc2[C@H]1O)c1cc(F)ccc1[O-] ZINC000365613796 300545032 /nfs/dbraw/zinc/54/50/32/300545032.db2.gz CUELPTGSDPGHGH-SWLSCSKDSA-N -1 1 303.289 1.756 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@@H]1O)c1cc(F)ccc1[O-] ZINC000367238815 300817529 /nfs/dbraw/zinc/81/75/29/300817529.db2.gz QSHCXMBVCDQQNZ-XHDPSFHLSA-N -1 1 323.364 1.829 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCn2nccc21 ZINC000368597156 301062001 /nfs/dbraw/zinc/06/20/01/301062001.db2.gz HWXDVPLBRISBRV-UHFFFAOYSA-N -1 1 301.350 1.645 20 0 DDADMM C[C@H](O)c1cn(C2CN(C(=O)c3cc(Cl)ccc3[O-])C2)nn1 ZINC000369440896 301186486 /nfs/dbraw/zinc/18/64/86/301186486.db2.gz RSYZJCKDFJLCNA-QMMMGPOBSA-N -1 1 322.752 1.388 20 0 DDADMM Cc1nc(=NCCN2CCN(C)C[C@H]2c2ccccc2)s[n-]1 ZINC000369672691 301209665 /nfs/dbraw/zinc/20/96/65/301209665.db2.gz RLNLRMOWAYTURK-HNNXBMFYSA-N -1 1 317.462 1.669 20 0 DDADMM O=C(c1ncccc1[O-])N1CCNC(=O)[C@@H]1c1cccs1 ZINC000369963084 301247780 /nfs/dbraw/zinc/24/77/80/301247780.db2.gz BWOKTFSMJNCKRS-LBPRGKRZSA-N -1 1 303.343 1.162 20 0 DDADMM O=C(NC1CC(O)(Cc2cccc(F)c2)C1)c1cncc([O-])c1 ZINC000372411811 301566310 /nfs/dbraw/zinc/56/63/10/301566310.db2.gz JVKTYECIXRJODA-UHFFFAOYSA-N -1 1 316.332 1.792 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCOCC12CCC2 ZINC000375092484 301892650 /nfs/dbraw/zinc/89/26/50/301892650.db2.gz ZJCKENLPNZPPLY-UHFFFAOYSA-N -1 1 304.375 1.413 20 0 DDADMM Cc1cnc(C(=O)NCc2cccc(NC(N)=O)c2)c([O-])c1 ZINC000426614615 302335224 /nfs/dbraw/zinc/33/52/24/302335224.db2.gz DYHYKUYKRBBNBH-UHFFFAOYSA-N -1 1 300.318 1.516 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCCOC(C)(C)C1)c2=O ZINC000378581131 302338827 /nfs/dbraw/zinc/33/88/27/302338827.db2.gz KICVELRVYBYQLJ-UHFFFAOYSA-N -1 1 304.350 1.315 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H]2NC(=O)O[C@@H]2C)c1 ZINC000496463495 302578772 /nfs/dbraw/zinc/57/87/72/302578772.db2.gz XFTZFANCGSILRD-RNCFNFMXSA-N -1 1 307.302 1.177 20 0 DDADMM O=C(NCC1CC1)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000520410809 302862404 /nfs/dbraw/zinc/86/24/04/302862404.db2.gz HKEITGDVXJMXSB-UHFFFAOYSA-N -1 1 300.318 1.247 20 0 DDADMM COc1cc(C(=O)NC[C@@H](C)S(C)(=O)=O)cc(Cl)c1[O-] ZINC000525952023 302922166 /nfs/dbraw/zinc/92/21/66/302922166.db2.gz WMIHTNUVSDEKPB-SSDOTTSWSA-N -1 1 321.782 1.217 20 0 DDADMM CC(C)[C@@H]1CNCCN1C(=O)N=c1[n-]nc(C(F)(F)F)s1 ZINC000528665871 303054266 /nfs/dbraw/zinc/05/42/66/303054266.db2.gz GSYAJCCXGQZWFR-ZETCQYMHSA-N -1 1 323.344 1.441 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2[C@H](C)[C@@H]2C2CC2)c1 ZINC000529407447 303125591 /nfs/dbraw/zinc/12/55/91/303125591.db2.gz KBWKAQSQEJQCKC-GFWSLJDESA-N -1 1 324.402 1.531 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H](C)c1cccc(O)c1 ZINC000357412087 306882600 /nfs/dbraw/zinc/88/26/00/306882600.db2.gz KCZRIAHEWBOWSE-QMMMGPOBSA-N -1 1 319.317 1.435 20 0 DDADMM CC1(Cn2cc(C(=O)Nc3cccc(F)c3[O-])nn2)COC1 ZINC000530004537 303169892 /nfs/dbraw/zinc/16/98/92/303169892.db2.gz DGVZWMVAULFBTB-UHFFFAOYSA-N -1 1 306.297 1.412 20 0 DDADMM O=C([C@H]1CC(=O)c2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000530507301 303200485 /nfs/dbraw/zinc/20/04/85/303200485.db2.gz SAGVIUYCWZCXEP-MFKMUULPSA-N -1 1 311.345 1.276 20 0 DDADMM O=C(CCSc1ccccn1)NC1(c2nn[n-]n2)CCCC1 ZINC000530791091 303212580 /nfs/dbraw/zinc/21/25/80/303212580.db2.gz YDZIPIMDYZTHNO-UHFFFAOYSA-N -1 1 318.406 1.663 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCCC[C@@H]2C(N)=O)sc1C ZINC000532496266 303288036 /nfs/dbraw/zinc/28/80/36/303288036.db2.gz HRJDHDOIFKCTPG-VHSXEESVSA-N -1 1 317.436 1.082 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CC(=O)NC(=O)Nc2ccccc2)C1 ZINC000533203016 303313672 /nfs/dbraw/zinc/31/36/72/303313672.db2.gz DRQNHBGIFUFKRN-GFCCVEGCSA-N -1 1 319.361 1.521 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCO[C@@H]2C2CC2)sc1C ZINC000534817769 303336787 /nfs/dbraw/zinc/33/67/87/303336787.db2.gz BSUXZHRAFVQVGD-WDEREUQCSA-N -1 1 302.421 1.606 20 0 DDADMM Cc1ncc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)c(N)n1 ZINC000535697729 303348066 /nfs/dbraw/zinc/34/80/66/303348066.db2.gz CLYBCKRMNMRSHV-UHFFFAOYSA-N -1 1 310.317 1.546 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2C[C@H](C)O[C@@H](C)C2)sc1C ZINC000535764929 303351558 /nfs/dbraw/zinc/35/15/58/303351558.db2.gz DLODFOPVVPDUMZ-YUMQZZPRSA-N -1 1 304.437 1.994 20 0 DDADMM CC(=O)c1ccc(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000539327331 303395058 /nfs/dbraw/zinc/39/50/58/303395058.db2.gz XOGAPDPIVXZVHN-AWEZNQCLSA-N -1 1 313.361 1.351 20 0 DDADMM CC(C)(C)c1nc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cs1 ZINC000539324930 303395109 /nfs/dbraw/zinc/39/51/09/303395109.db2.gz IIVZGJILKRTVGK-VIFPVBQESA-N -1 1 320.422 1.974 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@@H](Cc2ccccc2)C1 ZINC000544684160 303476066 /nfs/dbraw/zinc/47/60/66/303476066.db2.gz HCUMDCIDBHBCMN-ZDUSSCGKSA-N -1 1 323.356 1.122 20 0 DDADMM CCC[C@@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363396891 307027243 /nfs/dbraw/zinc/02/72/43/307027243.db2.gz ZQYIDBRAHSGDLJ-KGLIPLIRSA-N -1 1 315.377 1.684 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1c(F)cccc1F ZINC000367052042 307083607 /nfs/dbraw/zinc/08/36/07/307083607.db2.gz HBPNDUCDJZYKHN-UWVGGRQHSA-N -1 1 321.331 1.988 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@]2(C1)CCCOC2 ZINC000367645525 307100274 /nfs/dbraw/zinc/10/02/74/307100274.db2.gz ZNZLKZFGHOXWHS-HNNXBMFYSA-N -1 1 318.402 1.661 20 0 DDADMM Cc1nc([C@@H]2COCCN2C(=O)c2ccc([O-])cc2F)no1 ZINC000369434804 307119983 /nfs/dbraw/zinc/11/99/83/307119983.db2.gz FCNPGCGSBHMEFK-LBPRGKRZSA-N -1 1 307.281 1.436 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1C[C@H](c2ccccc2)[C@@H]1C ZINC000377861100 307277684 /nfs/dbraw/zinc/27/76/84/307277684.db2.gz PUYCYZWKOGQWSD-TVYUQYBPSA-N -1 1 321.402 1.866 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@H](c2ccccc2)[C@@H]1C ZINC000377861100 307277685 /nfs/dbraw/zinc/27/76/85/307277685.db2.gz PUYCYZWKOGQWSD-TVYUQYBPSA-N -1 1 321.402 1.866 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@H](n3cncn3)C2)cc1F ZINC000566507773 308056207 /nfs/dbraw/zinc/05/62/07/308056207.db2.gz AYFLAABPKPKETR-LBPRGKRZSA-N -1 1 304.325 1.953 20 0 DDADMM CC(C)Cn1nccc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000567938606 308100842 /nfs/dbraw/zinc/10/08/42/308100842.db2.gz BLLQELCPJXXACH-UHFFFAOYSA-N -1 1 303.370 1.252 20 0 DDADMM C[C@@H]1CCN(CC(=O)Nc2ccc(F)c(F)c2)C[C@@H]1C(=O)[O-] ZINC000570450636 308169613 /nfs/dbraw/zinc/16/96/13/308169613.db2.gz NNSXWYJAJDVBEC-KOLCDFICSA-N -1 1 312.316 1.946 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H](N)C(F)(F)F)[nH][n-]2)s1 ZINC000570713727 308178193 /nfs/dbraw/zinc/17/81/93/308178193.db2.gz WHCNORRBJQSEQS-SECBINFHSA-N -1 1 304.297 1.697 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)c(C)c1)C1CC1 ZINC000573206810 308234009 /nfs/dbraw/zinc/23/40/09/308234009.db2.gz OPYLKPAMNWWTGK-CYBMUJFWSA-N -1 1 312.391 1.261 20 0 DDADMM O=C(c1ccccc1O)N1CCN(C[C@@H]2CCCC[C@H]2O)CC1 ZINC000573905639 308254423 /nfs/dbraw/zinc/25/44/23/308254423.db2.gz PPZXNXCYALJIRS-GOEBONIOSA-N -1 1 318.417 1.701 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)N=c1nc2cc(Cl)ccn2[n-]1 ZINC000576678709 308331308 /nfs/dbraw/zinc/33/13/08/308331308.db2.gz KJOWWLRZTTVSCQ-LLVKDONJSA-N -1 1 322.800 1.363 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)C[C@H]1CCCO1)C(=O)OC ZINC000576818944 308341784 /nfs/dbraw/zinc/34/17/84/308341784.db2.gz FJMCGQNYQPXYOO-QJPTWQEYSA-N -1 1 307.412 1.063 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000577180791 308368489 /nfs/dbraw/zinc/36/84/89/308368489.db2.gz IZTYZUKPXFYMBZ-BBRMVZONSA-N -1 1 318.417 1.906 20 0 DDADMM CCOC[C@H](O)CN(CCC(=O)[O-])Cc1cccc(F)c1F ZINC000582306550 326009637 /nfs/dbraw/zinc/00/96/37/326009637.db2.gz TXPLFYPRNUBUIP-GFCCVEGCSA-N -1 1 317.332 1.639 20 0 DDADMM C[C@H](NC(=O)c1cn[nH]c1-c1ccccn1)C(=O)N1CCCC1 ZINC000583175291 337254468 /nfs/dbraw/zinc/25/44/68/337254468.db2.gz QBJBMEBZEBPMRX-NSHDSACASA-N -1 1 313.361 1.212 20 0 DDADMM CC(F)(F)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cn1 ZINC000583303283 337312071 /nfs/dbraw/zinc/31/20/71/337312071.db2.gz CQCKEDDKPKRVNR-UHFFFAOYSA-N -1 1 322.319 1.906 20 0 DDADMM O=C(c1cncc([O-])c1)N(C[C@@H]1CCCO1)[C@H]1CCSC1 ZINC000111775639 484029367 /nfs/dbraw/zinc/02/93/67/484029367.db2.gz DMJIIWPDFQSLJV-JSGCOSHPSA-N -1 1 308.403 1.914 20 0 DDADMM COCCOc1ccc([N-]S(=O)(=O)c2cccn2C)cc1 ZINC000414367526 484058663 /nfs/dbraw/zinc/05/86/63/484058663.db2.gz FJIXJJUSWRRQPY-UHFFFAOYSA-N -1 1 310.375 1.851 20 0 DDADMM CN1CCc2ccc(NC(=O)C(=O)c3ccc([O-])cc3)cc2C1=O ZINC000436954029 484131615 /nfs/dbraw/zinc/13/16/15/484131615.db2.gz DDDFXUWTBFIACG-UHFFFAOYSA-N -1 1 324.336 1.842 20 0 DDADMM Cc1ccc(C[C@H]2CCCN(CC(=O)NCC(=O)[O-])C2)cc1 ZINC000557860216 484323737 /nfs/dbraw/zinc/32/37/37/484323737.db2.gz OJESCHKDYIMQQF-OAHLLOKOSA-N -1 1 304.390 1.450 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@H](C(=O)[O-])C3CCCC3)C2)n[nH]1 ZINC000663042113 484620106 /nfs/dbraw/zinc/62/01/06/484620106.db2.gz SJEWGVKIIVYKDP-CHWSQXEVSA-N -1 1 320.393 1.710 20 0 DDADMM O=C([O-])[C@@H](C(=O)NCCc1nnc(-c2ccccc2)[nH]1)C1CC1 ZINC000663047657 484626078 /nfs/dbraw/zinc/62/60/78/484626078.db2.gz FGECPLWPHVBYBP-CYBMUJFWSA-N -1 1 314.345 1.241 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000663117637 484681765 /nfs/dbraw/zinc/68/17/65/484681765.db2.gz RBHDZROGTMCQTP-QGZVFWFLSA-N -1 1 324.421 1.058 20 0 DDADMM CC1(C)C[C@@]1(C(=O)[O-])C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000663117635 484682329 /nfs/dbraw/zinc/68/23/29/484682329.db2.gz RBHDZROGTMCQTP-KRWDZBQOSA-N -1 1 324.421 1.058 20 0 DDADMM C[C@@H]1CC[C@H](C)N1CC(=O)Nc1cnn(C(C)(C)C(=O)[O-])c1 ZINC000663128991 484691123 /nfs/dbraw/zinc/69/11/23/484691123.db2.gz UUCUKPFEIVUVKG-PHIMTYICSA-N -1 1 308.382 1.514 20 0 DDADMM CCN1CC[C@@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C1=O ZINC000669984998 484706375 /nfs/dbraw/zinc/70/63/75/484706375.db2.gz WFAVMIWSPLVTAF-SNVBAGLBSA-N -1 1 320.773 1.378 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000663270897 484776762 /nfs/dbraw/zinc/77/67/62/484776762.db2.gz GKJBFDKXRJTLKK-OCCSQVGLSA-N -1 1 305.378 1.375 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)C[C@@H](CC)OC)nc1C ZINC000663301655 484793021 /nfs/dbraw/zinc/79/30/21/484793021.db2.gz NDXVTRLUDYHKJO-LLVKDONJSA-N -1 1 302.396 1.955 20 0 DDADMM O=C(c1n[nH]c2ccccc21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670383711 484800452 /nfs/dbraw/zinc/80/04/52/484800452.db2.gz ILNKTUDLUJOAOY-VIFPVBQESA-N -1 1 313.317 1.671 20 0 DDADMM C[C@@H](CNC(=O)c1cc(=O)n(C)[n-]1)N1CCc2ccccc2C1 ZINC000666168374 485110427 /nfs/dbraw/zinc/11/04/27/485110427.db2.gz VZBBZIQPFKVUNE-LBPRGKRZSA-N -1 1 314.389 1.302 20 0 DDADMM O=C(Nc1ccncc1[O-])c1cccc(Nc2ncccn2)c1 ZINC000673934076 485472885 /nfs/dbraw/zinc/47/28/85/485472885.db2.gz HAYSAKMSPRWRBO-UHFFFAOYSA-N -1 1 307.313 1.995 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCn2cccc2)c(F)c1 ZINC000674083538 485531972 /nfs/dbraw/zinc/53/19/72/485531972.db2.gz CVAQTDMLDOFROY-UHFFFAOYSA-N -1 1 316.329 1.753 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(c2c(Cl)cccc2Cl)CC1 ZINC000675225684 485889376 /nfs/dbraw/zinc/88/93/76/485889376.db2.gz FBYVEVZVYIBAML-UHFFFAOYSA-N -1 1 312.160 1.855 20 0 DDADMM CCNC(=O)CC(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000679638368 485950184 /nfs/dbraw/zinc/95/01/84/485950184.db2.gz SUYZXHMMELIMAD-UHFFFAOYSA-N -1 1 318.373 1.340 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2ccno2)c(F)c1 ZINC000680510085 486209468 /nfs/dbraw/zinc/20/94/68/486209468.db2.gz GZGMJZDNCPOICR-UHFFFAOYSA-N -1 1 304.274 1.440 20 0 DDADMM CO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C1(C)C ZINC000676416617 486221458 /nfs/dbraw/zinc/22/14/58/486221458.db2.gz UUZNNKRLMSDRDW-NXEZZACHSA-N -1 1 304.437 1.852 20 0 DDADMM Cn1ccc(NC(=O)CNC(=O)c2cc3ccccc3cc2[O-])n1 ZINC000684171762 486271798 /nfs/dbraw/zinc/27/17/98/486271798.db2.gz OVWGVNASQJKFTH-UHFFFAOYSA-N -1 1 324.340 1.647 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCN(Cc3ccccc3)CC2)c1[O-] ZINC000676629974 486271961 /nfs/dbraw/zinc/27/19/61/486271961.db2.gz LOTVGVYZUXEJJK-UHFFFAOYSA-N -1 1 314.389 1.772 20 0 DDADMM O=C(NCCNC(=O)c1ccc([O-])c(F)c1)c1cccs1 ZINC000681019204 486324656 /nfs/dbraw/zinc/32/46/56/486324656.db2.gz LTVJXSYLJDFDRN-UHFFFAOYSA-N -1 1 308.334 1.753 20 0 DDADMM O=C(N[C@@H]1CCN(CC(F)(F)F)C1)c1ccc([O-])c(F)c1 ZINC000681037179 486327832 /nfs/dbraw/zinc/32/78/32/486327832.db2.gz XYYNRCBVSCBBNG-SECBINFHSA-N -1 1 306.259 1.898 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H](C)C[C@H](C)O)c(F)c1 ZINC000676859769 486348648 /nfs/dbraw/zinc/34/86/48/486348648.db2.gz QWHJTSFDRPZBIX-BDAKNGLRSA-N -1 1 323.361 1.659 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H]1CCCC1=O ZINC000684485190 486367325 /nfs/dbraw/zinc/36/73/25/486367325.db2.gz LTXVHTIYNDMDOX-LLVKDONJSA-N -1 1 316.361 1.080 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N(CCCO)Cc1ccccn1 ZINC000684528333 486377350 /nfs/dbraw/zinc/37/73/50/486377350.db2.gz NUXDOHAAZKUDNQ-UHFFFAOYSA-N -1 1 304.321 1.951 20 0 DDADMM COCCO[C@@H]1COCC[C@H]1NC(=O)c1ccc([O-])c(F)c1 ZINC000681629454 486476196 /nfs/dbraw/zinc/47/61/96/486476196.db2.gz ZBYJYVVFQXOEJC-TZMCWYRMSA-N -1 1 313.325 1.082 20 0 DDADMM CC[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCCO1 ZINC000685004974 486486514 /nfs/dbraw/zinc/48/65/14/486486514.db2.gz ALLVQIWUJIKZEY-LLVKDONJSA-N -1 1 301.346 1.564 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC(Oc2ccncc2)C1 ZINC000685431352 486536917 /nfs/dbraw/zinc/53/69/17/486536917.db2.gz GIIFGSDNPXJXIT-UHFFFAOYSA-N -1 1 306.268 1.969 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2nc(C)c(C)s2)s1 ZINC000685608358 486574005 /nfs/dbraw/zinc/57/40/05/486574005.db2.gz ZCAGVHVXFHTXKD-UHFFFAOYSA-N -1 1 318.449 1.652 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H]1CCNC(=O)C1 ZINC000629376644 490576802 /nfs/dbraw/zinc/57/68/02/490576802.db2.gz YVUKEIVKEVRQSI-JTQLQIEISA-N -1 1 300.318 1.043 20 0 DDADMM COC(=O)NCCCC(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000491878672 534105848 /nfs/dbraw/zinc/10/58/48/534105848.db2.gz SFAIIWFUACOLBD-UHFFFAOYSA-N -1 1 323.345 1.817 20 0 DDADMM C[C@H](Cc1cnn(C)c1)[N-]S(=O)(=O)c1sccc1F ZINC000451968429 534163097 /nfs/dbraw/zinc/16/30/97/534163097.db2.gz PKQSNHHPFDRHIF-MRVPVSSYSA-N -1 1 303.384 1.530 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H](C)Cc2cnn(C)c2)c1 ZINC000457778917 534231877 /nfs/dbraw/zinc/23/18/77/534231877.db2.gz PYYTZDVEAQZXJF-SNVBAGLBSA-N -1 1 317.345 1.730 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCCS2)o1 ZINC000330934384 534239567 /nfs/dbraw/zinc/23/95/67/534239567.db2.gz IIVXWGZPSNYHIB-MRVPVSSYSA-N -1 1 305.377 1.240 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H]([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000451219752 534428938 /nfs/dbraw/zinc/42/89/38/534428938.db2.gz QDATVMPOZUBVIY-HTQZYQBOSA-N -1 1 322.839 1.724 20 0 DDADMM Cc1cnc(C(=O)N2CSC[C@H]2C(=O)NCC(C)C)c([O-])c1 ZINC000330867339 534525805 /nfs/dbraw/zinc/52/58/05/534525805.db2.gz CZYLIMMENNEGBC-NSHDSACASA-N -1 1 323.418 1.383 20 0 DDADMM CC(=O)OCCCS(=O)(=O)[N-][C@@H](c1ccccc1)C(F)F ZINC000293006702 527112590 /nfs/dbraw/zinc/11/25/90/527112590.db2.gz OGURBROOTVHOCZ-LBPRGKRZSA-N -1 1 321.345 1.865 20 0 DDADMM CC(=O)c1c[nH]c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000331880319 527177989 /nfs/dbraw/zinc/17/79/89/527177989.db2.gz UYNBCRCPZPJKQA-VIFPVBQESA-N -1 1 304.306 1.326 20 0 DDADMM CC(C)(CO)[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000414402572 527215817 /nfs/dbraw/zinc/21/58/17/527215817.db2.gz QBTJAYNMLSLNPV-ZDUSSCGKSA-N -1 1 315.373 1.450 20 0 DDADMM CCOC(=O)[C@@H]1CN(C(=O)c2ccc([O-])cc2F)CC12COC2 ZINC000452260993 527881702 /nfs/dbraw/zinc/88/17/02/527881702.db2.gz KYMYXTTVAOKPQN-LBPRGKRZSA-N -1 1 323.320 1.183 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H]1C[C@H]1C(C)C ZINC000451920460 528133100 /nfs/dbraw/zinc/13/31/00/528133100.db2.gz WMUASWVCFOGFST-UWVGGRQHSA-N -1 1 315.395 1.157 20 0 DDADMM CCC[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCCC1 ZINC000458327151 528176466 /nfs/dbraw/zinc/17/64/66/528176466.db2.gz AAUWHJADNKSYBN-ZDUSSCGKSA-N -1 1 319.405 1.992 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1Oc2ccccc2O[C@H]1C)c1nn[n-]n1 ZINC000298160861 528197972 /nfs/dbraw/zinc/19/79/72/528197972.db2.gz DOZAOJYXUGDKDO-OUJBWJOFSA-N -1 1 317.349 1.386 20 0 DDADMM CC(C)C[C@H](C)OCCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000446307233 528484153 /nfs/dbraw/zinc/48/41/53/528484153.db2.gz GFBRYJVPYDISRS-LBPRGKRZSA-N -1 1 309.414 1.927 20 0 DDADMM CCC[C@]1(CO)CCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000444534641 528514155 /nfs/dbraw/zinc/51/41/55/528514155.db2.gz IWDAPVHXUDKTHB-MRXNPFEDSA-N -1 1 318.377 1.441 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc(O)c(OC)c1)c1nn[n-]n1 ZINC000294873673 528974903 /nfs/dbraw/zinc/97/49/03/528974903.db2.gz HUEYDJJPLPEHCR-SNVBAGLBSA-N -1 1 305.338 1.114 20 0 DDADMM CCC[C@@H](NC(=O)c1c[nH]c(C(F)(F)F)c1)c1nn[n-]n1 ZINC000295038065 528990398 /nfs/dbraw/zinc/99/03/98/528990398.db2.gz RTLAHJRJXCASGC-SSDOTTSWSA-N -1 1 302.260 1.818 20 0 DDADMM CCO[C@@H](C)c1noc(CN(C)C(=O)c2ncc(C)cc2[O-])n1 ZINC000427225325 529107430 /nfs/dbraw/zinc/10/74/30/529107430.db2.gz VPAIURRYKDVCJR-JTQLQIEISA-N -1 1 320.349 1.848 20 0 DDADMM CCc1cc([N-]C(=O)c2ccc(S(=O)(=O)N(C)C)o2)no1 ZINC000606892137 546311421 /nfs/dbraw/zinc/31/14/21/546311421.db2.gz UMGNIDKACWKVMT-UHFFFAOYSA-N -1 1 313.335 1.333 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nnn[n-]2)c1C(=O)OCCF ZINC000737546280 598823457 /nfs/dbraw/zinc/82/34/57/598823457.db2.gz DDSZWRXGMMQZIU-UHFFFAOYSA-N -1 1 305.269 1.685 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nn[n-]n2)c1C(=O)OCCF ZINC000737546280 598823458 /nfs/dbraw/zinc/82/34/58/598823458.db2.gz DDSZWRXGMMQZIU-UHFFFAOYSA-N -1 1 305.269 1.685 20 0 DDADMM CC(C)(C)OCCn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000735639659 598823989 /nfs/dbraw/zinc/82/39/89/598823989.db2.gz LUGNDFWNIUWIJS-UHFFFAOYSA-N -1 1 313.361 1.997 20 0 DDADMM Cc1ccc(NC(=O)Cn2cnnc2-c2nn[n-]n2)c(Cl)c1 ZINC000737356448 598875462 /nfs/dbraw/zinc/87/54/62/598875462.db2.gz GXYXTXADUVSUCP-UHFFFAOYSA-N -1 1 318.728 1.059 20 0 DDADMM Cn1ccnc1Sc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000737617831 598955129 /nfs/dbraw/zinc/95/51/29/598955129.db2.gz COKOXBJIIAZIEV-UHFFFAOYSA-N -1 1 310.346 1.695 20 0 DDADMM COC[C@H]1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000736970708 598971349 /nfs/dbraw/zinc/97/13/49/598971349.db2.gz DWUAIIGIWBSPLV-JTQLQIEISA-N -1 1 311.349 1.283 20 0 DDADMM COC[C@H]1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000736970708 598971350 /nfs/dbraw/zinc/97/13/50/598971350.db2.gz DWUAIIGIWBSPLV-JTQLQIEISA-N -1 1 311.349 1.283 20 0 DDADMM O[C@@H](CNc1nccnc1-c1nnn[n-]1)c1ccccc1Cl ZINC000738384919 598974362 /nfs/dbraw/zinc/97/43/62/598974362.db2.gz GHWKHWAKNIDFSL-JTQLQIEISA-N -1 1 317.740 1.456 20 0 DDADMM O[C@@H](CNc1nccnc1-c1nn[n-]n1)c1ccccc1Cl ZINC000738384919 598974364 /nfs/dbraw/zinc/97/43/64/598974364.db2.gz GHWKHWAKNIDFSL-JTQLQIEISA-N -1 1 317.740 1.456 20 0 DDADMM O=C(Nc1nc2c(s1)CCC2)c1ccc(-c2nnn[n-]2)nc1 ZINC000738213522 598985736 /nfs/dbraw/zinc/98/57/36/598985736.db2.gz XNOQBLNANZXUHD-UHFFFAOYSA-N -1 1 313.346 1.459 20 0 DDADMM O=C(Nc1nc2c(s1)CCC2)c1ccc(-c2nn[n-]n2)nc1 ZINC000738213522 598985737 /nfs/dbraw/zinc/98/57/37/598985737.db2.gz XNOQBLNANZXUHD-UHFFFAOYSA-N -1 1 313.346 1.459 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)Cc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000262642322 597477542 /nfs/dbraw/zinc/47/75/42/597477542.db2.gz JEHXVGLMHLXJTK-QMMMGPOBSA-N -1 1 307.318 1.588 20 0 DDADMM COc1ccc(-c2nnc(CN3CC[C@@H](C(=O)[O-])C3)o2)cc1 ZINC000818570793 597526687 /nfs/dbraw/zinc/52/66/87/597526687.db2.gz YWBNNBIPSFMOTM-LLVKDONJSA-N -1 1 303.318 1.652 20 0 DDADMM O=C([O-])[C@H]1CCN(CN2C[C@@H](c3ccccc3F)CC2=O)C1 ZINC000821511891 597759470 /nfs/dbraw/zinc/75/94/70/597759470.db2.gz VHHLIUXNBXBLAV-RYUDHWBXSA-N -1 1 306.337 1.506 20 0 DDADMM C[C@H](NCC(=O)NCC(=O)[O-])c1cccc(Br)c1 ZINC000820142057 597806314 /nfs/dbraw/zinc/80/63/14/597806314.db2.gz NAYKNMCQMYTAFU-QMMMGPOBSA-N -1 1 315.167 1.301 20 0 DDADMM Cc1ccc(N(C)[C@H]2CCCN(CC(=O)NCC(=O)[O-])C2)cc1 ZINC000821083360 597814390 /nfs/dbraw/zinc/81/43/90/597814390.db2.gz AVDDQJCNEYBYJZ-HNNXBMFYSA-N -1 1 319.405 1.096 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)NC[C@@H]1C[N@H+]2CCC[C@@H]2CO1 ZINC000321663146 598178109 /nfs/dbraw/zinc/17/81/09/598178109.db2.gz REMXODPYOPRMDH-VXGBXAGGSA-N -1 1 319.361 1.370 20 0 DDADMM Cn1cc(N2CC[C@@H](NCc3cccc(C(=O)[O-])c3)C2=O)cn1 ZINC000821298243 598192864 /nfs/dbraw/zinc/19/28/64/598192864.db2.gz OOCRPGVLBDQFPX-CQSZACIVSA-N -1 1 314.345 1.013 20 0 DDADMM CC(C)N1C[C@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)CC1=O ZINC000735873863 598669207 /nfs/dbraw/zinc/66/92/07/598669207.db2.gz AJIRMYCOGQGUSH-MRVPVSSYSA-N -1 1 321.772 1.336 20 0 DDADMM CC(C)N1C[C@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)CC1=O ZINC000735873863 598669208 /nfs/dbraw/zinc/66/92/08/598669208.db2.gz AJIRMYCOGQGUSH-MRVPVSSYSA-N -1 1 321.772 1.336 20 0 DDADMM CC(=O)Cn1cc(-c2nn[n-]n2)c(=O)c2cc(Cl)ccc21 ZINC000735458285 598720643 /nfs/dbraw/zinc/72/06/43/598720643.db2.gz KAHDRNOJYPMNKX-UHFFFAOYSA-N -1 1 303.709 1.424 20 0 DDADMM C[C@@H]1[C@H](C)SCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736305052 599301277 /nfs/dbraw/zinc/30/12/77/599301277.db2.gz WUSUEUIIFTWRFD-BDAKNGLRSA-N -1 1 304.379 1.228 20 0 DDADMM C[C@@H]1[C@H](C)SCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736305052 599301279 /nfs/dbraw/zinc/30/12/79/599301279.db2.gz WUSUEUIIFTWRFD-BDAKNGLRSA-N -1 1 304.379 1.228 20 0 DDADMM O[C@@H]1CCC[C@H]1CNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000738388821 599324960 /nfs/dbraw/zinc/32/49/60/599324960.db2.gz GYYMSGMYOJWURY-ZUZCIYMTSA-N -1 1 310.361 1.988 20 0 DDADMM O[C@@H]1CCC[C@H]1CNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000738388821 599324961 /nfs/dbraw/zinc/32/49/61/599324961.db2.gz GYYMSGMYOJWURY-ZUZCIYMTSA-N -1 1 310.361 1.988 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])c1cccc(-c2nn[nH]n2)c1 ZINC000820403097 599553081 /nfs/dbraw/zinc/55/30/81/599553081.db2.gz HMUZCNKWNHILMW-VIFPVBQESA-N -1 1 310.317 1.458 20 0 DDADMM CN1CCN(c2ccccc2NC(=O)CCCNC(=O)[O-])CC1 ZINC000737528724 599710678 /nfs/dbraw/zinc/71/06/78/599710678.db2.gz CITFPYQKRVLFRD-UHFFFAOYSA-N -1 1 320.393 1.425 20 0 DDADMM CN1CCN(c2ccc(NC(=O)CCCNC(=O)[O-])cc2)CC1 ZINC000737529502 599710783 /nfs/dbraw/zinc/71/07/83/599710783.db2.gz KLIQQNSGMVLCQL-UHFFFAOYSA-N -1 1 320.393 1.425 20 0 DDADMM CNC(=O)CCN(C)[C@H](C)C(=O)Nc1cc(C(=O)[O-])ccc1C ZINC000737537773 599772586 /nfs/dbraw/zinc/77/25/86/599772586.db2.gz ZDJGQDAJUDVHEG-LLVKDONJSA-N -1 1 321.377 1.088 20 0 DDADMM CN(C(=O)CN1CCC[C@H](CCO)C1)c1ccccc1C(=O)[O-] ZINC000737324559 599814594 /nfs/dbraw/zinc/81/45/94/599814594.db2.gz SFAGSAXPZFQMFM-CYBMUJFWSA-N -1 1 320.389 1.442 20 0 DDADMM O=C([O-])CCCCCCNC(=O)N1CCN2CCCC[C@H]2C1 ZINC000317075249 599831233 /nfs/dbraw/zinc/83/12/33/599831233.db2.gz VUWGIXAQBPNROG-AWEZNQCLSA-N -1 1 311.426 1.901 20 0 DDADMM CS[C@H]1CCCCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000821955779 607405718 /nfs/dbraw/zinc/40/57/18/607405718.db2.gz BHHSEDRMPBMOGG-NSHDSACASA-N -1 1 318.406 1.619 20 0 DDADMM CS[C@H]1CCCCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000821955779 607405719 /nfs/dbraw/zinc/40/57/19/607405719.db2.gz BHHSEDRMPBMOGG-NSHDSACASA-N -1 1 318.406 1.619 20 0 DDADMM CN(OCc1ccccc1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826003994 607431572 /nfs/dbraw/zinc/43/15/72/607431572.db2.gz DEBSFGNTGWVPIE-UHFFFAOYSA-N -1 1 310.317 1.466 20 0 DDADMM CN(OCc1ccccc1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826003994 607431573 /nfs/dbraw/zinc/43/15/73/607431573.db2.gz DEBSFGNTGWVPIE-UHFFFAOYSA-N -1 1 310.317 1.466 20 0 DDADMM CN(CC(=O)[O-])C(=O)CCN(Cc1ccco1)C[C@@H]1CCCO1 ZINC000737402112 600292857 /nfs/dbraw/zinc/29/28/57/600292857.db2.gz CNINSPXXGZKAAA-AWEZNQCLSA-N -1 1 324.377 1.194 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(CC(=O)NCc1ccccc1)CC2 ZINC000405433250 600310854 /nfs/dbraw/zinc/31/08/54/600310854.db2.gz CJQUYXIELNSSPW-AWEZNQCLSA-N -1 1 302.374 1.490 20 0 DDADMM O=C([O-])CCSCCNC(=O)c1ccc(O)c(Cl)c1 ZINC000739752802 600318974 /nfs/dbraw/zinc/31/89/74/600318974.db2.gz XGCCLMKWYRCJMT-UHFFFAOYSA-N -1 1 303.767 1.983 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@@H]2CCCCN2C)C(=O)[O-])cc1 ZINC000738554147 600364348 /nfs/dbraw/zinc/36/43/48/600364348.db2.gz IYFXDGLUEDJFFU-CABCVRRESA-N -1 1 304.390 1.764 20 0 DDADMM COC(=O)CCN(CC(=O)Nc1cccc(C(=O)[O-])c1)C(C)C ZINC000737599163 600395643 /nfs/dbraw/zinc/39/56/43/600395643.db2.gz QOCVCPFFWSMYIT-UHFFFAOYSA-N -1 1 322.361 1.597 20 0 DDADMM C[C@H](O)CN1CCN([C@@H](C(=O)[O-])c2cccc(Cl)c2)CC1 ZINC000736675088 600407768 /nfs/dbraw/zinc/40/77/68/600407768.db2.gz BHJDGGTXKPQRCN-SMDDNHRTSA-N -1 1 312.797 1.464 20 0 DDADMM CC[C@@]1(C(C)C)NC(=O)N(CN(CCC(=O)[O-])C(C)C)C1=O ZINC000736929607 600417728 /nfs/dbraw/zinc/41/77/28/600417728.db2.gz NFBPOXHUWKDLKK-HNNXBMFYSA-N -1 1 313.398 1.486 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)CN1CCN(C2CCC2)CC1 ZINC000738463949 600508321 /nfs/dbraw/zinc/50/83/21/600508321.db2.gz CCUJAXKOHKMDQH-UHFFFAOYSA-N -1 1 317.389 1.493 20 0 DDADMM CC[C@H](O)CN1CCN([C@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000736925705 600557978 /nfs/dbraw/zinc/55/79/78/600557978.db2.gz CEWWHDXVAUNFDP-GJZGRUSLSA-N -1 1 310.369 1.340 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000262699310 600586513 /nfs/dbraw/zinc/58/65/13/600586513.db2.gz YLCCIZXQDNTJKT-UKRRQHHQSA-N -1 1 304.390 1.830 20 0 DDADMM COC[C@@H]1CCCN(CC(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC000737751075 600613611 /nfs/dbraw/zinc/61/36/11/600613611.db2.gz CICFQVSBPUVFBO-GFCCVEGCSA-N -1 1 306.362 1.682 20 0 DDADMM CCN(CCC(=O)[O-])CC(=O)Nc1cc(C)nn1C(C)(C)C ZINC000737097299 600639678 /nfs/dbraw/zinc/63/96/78/600639678.db2.gz OHOFINKORBTOJG-UHFFFAOYSA-N -1 1 310.398 1.682 20 0 DDADMM CN(CCN1CCCC1)S(=O)(=O)c1csc(C(=O)[O-])c1 ZINC000113251176 600738982 /nfs/dbraw/zinc/73/89/82/600738982.db2.gz CRGLVOKPYSLALA-UHFFFAOYSA-N -1 1 318.420 1.163 20 0 DDADMM C[C@H]1C[C@@H](NS(=O)(=O)c2ccc(C(=O)[O-])s2)CCN1C ZINC000157601039 600765922 /nfs/dbraw/zinc/76/59/22/600765922.db2.gz BFOXPQKFOJPHLZ-IUCAKERBSA-N -1 1 318.420 1.207 20 0 DDADMM C[C@](NCC(=O)NCCCc1ccccc1)(C(=O)[O-])C1CC1 ZINC000827727640 601030753 /nfs/dbraw/zinc/03/07/53/601030753.db2.gz KLDDPAKKDGTTFO-QGZVFWFLSA-N -1 1 304.390 1.578 20 0 DDADMM O=C([O-])CCN(CC(=O)Nc1nccs1)C1CCOCC1 ZINC000833232082 601050486 /nfs/dbraw/zinc/05/04/86/601050486.db2.gz NBPYKZFDTNTDPP-UHFFFAOYSA-N -1 1 313.379 1.037 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NCc1ccc(C(=O)[O-])o1 ZINC000832847830 601083809 /nfs/dbraw/zinc/08/38/09/601083809.db2.gz YEBBFCWHABTMCE-UHFFFAOYSA-N -1 1 317.223 1.958 20 0 DDADMM CCCc1nc([C@H]2CN(Cc3occc3C(=O)[O-])CCO2)n[nH]1 ZINC000737051795 601105776 /nfs/dbraw/zinc/10/57/76/601105776.db2.gz ZNUTUHUVAIJOPV-GFCCVEGCSA-N -1 1 320.349 1.622 20 0 DDADMM CCCc1n[nH]c([C@H]2CN(Cc3occc3C(=O)[O-])CCO2)n1 ZINC000737051795 601105779 /nfs/dbraw/zinc/10/57/79/601105779.db2.gz ZNUTUHUVAIJOPV-GFCCVEGCSA-N -1 1 320.349 1.622 20 0 DDADMM CC(C)(C(=O)[O-])c1ccc(NC(=O)CCc2nc[nH]n2)cc1 ZINC000826113296 601137723 /nfs/dbraw/zinc/13/77/23/601137723.db2.gz HTOPRAQKEWPOAP-UHFFFAOYSA-N -1 1 302.334 1.738 20 0 DDADMM O=C([O-])c1cn(CC(=O)OCCc2c[nH]nn2)c2ccccc12 ZINC000833121880 601143373 /nfs/dbraw/zinc/14/33/73/601143373.db2.gz OGEVWMWLUKWIET-UHFFFAOYSA-N -1 1 314.301 1.244 20 0 DDADMM O=C([O-])c1cn(CC(=O)OCCc2cnn[nH]2)c2ccccc12 ZINC000833121880 601143375 /nfs/dbraw/zinc/14/33/75/601143375.db2.gz OGEVWMWLUKWIET-UHFFFAOYSA-N -1 1 314.301 1.244 20 0 DDADMM Cc1[nH]c(C(=O)CN2CCN3CCCC[C@H]3C2)c(C)c1C(=O)[O-] ZINC000832844067 601170897 /nfs/dbraw/zinc/17/08/97/601170897.db2.gz LSJXAWPFJNXGAZ-ZDUSSCGKSA-N -1 1 319.405 1.683 20 0 DDADMM CCC[C@@](C)(NC(=O)CSc1n[nH]c(=S)s1)C(=O)[O-] ZINC000829193948 601198099 /nfs/dbraw/zinc/19/80/99/601198099.db2.gz OXZGAHVDYFIHBZ-SNVBAGLBSA-N -1 1 321.449 1.679 20 0 DDADMM OCCCN(Cc1ccnc(-c2nnn[n-]2)c1)CC(F)(F)F ZINC000826509976 607500565 /nfs/dbraw/zinc/50/05/65/607500565.db2.gz SMHAJNWKVYOMIL-UHFFFAOYSA-N -1 1 316.287 1.008 20 0 DDADMM OCCCN(Cc1ccnc(-c2nn[n-]n2)c1)CC(F)(F)F ZINC000826509976 607500566 /nfs/dbraw/zinc/50/05/66/607500566.db2.gz SMHAJNWKVYOMIL-UHFFFAOYSA-N -1 1 316.287 1.008 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCN(C[C@@H]2CCCO2)CC1 ZINC000830374296 601411403 /nfs/dbraw/zinc/41/14/03/601411403.db2.gz AHHHNBHISVGWTB-AWEZNQCLSA-N -1 1 322.405 1.837 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C[C@H](O)COc2ccc(Cl)cc2)C1 ZINC000833174251 601444142 /nfs/dbraw/zinc/44/41/42/601444142.db2.gz CSIJIKPBEXDABK-FZMZJTMJSA-N -1 1 317.744 1.578 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCc1nnc(-c2ccccc2)n1C ZINC000831585354 601488675 /nfs/dbraw/zinc/48/86/75/601488675.db2.gz MIUCQQCPKKVGGD-INIZCTEOSA-N -1 1 318.377 1.452 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCC(=O)Nc1c(F)cccc1F ZINC000831579247 601490776 /nfs/dbraw/zinc/49/07/76/601490776.db2.gz YIPDARKRUMZJHL-AWEZNQCLSA-N -1 1 316.304 1.373 20 0 DDADMM Cc1c(F)cccc1NC(=O)CCN1CCO[C@@H](CC(=O)[O-])C1 ZINC000832854719 601573282 /nfs/dbraw/zinc/57/32/82/601573282.db2.gz QEMCAZISRFZTCO-LBPRGKRZSA-N -1 1 324.352 1.638 20 0 DDADMM CCOCCN1CCN(Cc2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000830012002 601630543 /nfs/dbraw/zinc/63/05/43/601630543.db2.gz SHCICBADSIGLTR-UHFFFAOYSA-N -1 1 310.369 1.678 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCC[C@H]2COCC[C@H]21)C(=O)[O-] ZINC000828715039 601661867 /nfs/dbraw/zinc/66/18/67/601661867.db2.gz CIQQTSYIJJPLEP-XPCVCDNBSA-N -1 1 312.410 1.103 20 0 DDADMM OCCN(CC1CC1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000826510067 607522204 /nfs/dbraw/zinc/52/22/04/607522204.db2.gz WTMXRJHZILJQNK-UHFFFAOYSA-N -1 1 300.775 1.185 20 0 DDADMM OCCN(CC1CC1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000826510067 607522205 /nfs/dbraw/zinc/52/22/05/607522205.db2.gz WTMXRJHZILJQNK-UHFFFAOYSA-N -1 1 300.775 1.185 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC000736366625 601885204 /nfs/dbraw/zinc/88/52/04/601885204.db2.gz WDZDXGSGKNYHSQ-ZDUSSCGKSA-N -1 1 313.442 1.859 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C[C@H](O)c1ccc(F)cc1F ZINC000833221765 601892097 /nfs/dbraw/zinc/89/20/97/601892097.db2.gz PFDBYFKLOJPZDJ-MFKMUULPSA-N -1 1 317.357 1.890 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1C[C@H](O)c1ccc(F)c(F)c1 ZINC000833220973 601894748 /nfs/dbraw/zinc/89/47/48/601894748.db2.gz GDFNQLRNIHOHIT-GWCFXTLKSA-N -1 1 317.357 1.890 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(C(=O)[C@@H]1CCCc3[nH]ncc31)CC2 ZINC000833179209 602019778 /nfs/dbraw/zinc/01/97/78/602019778.db2.gz KZISELSWJOHEFG-ZYHUDNBSSA-N -1 1 303.362 1.543 20 0 DDADMM CNC(=O)c1cc(C)ccc1NCc1ccnc(-c2nnn[n-]2)c1 ZINC000826067125 607551474 /nfs/dbraw/zinc/55/14/74/607551474.db2.gz CMCWNHHKERDVQW-UHFFFAOYSA-N -1 1 323.360 1.542 20 0 DDADMM CNC(=O)c1cc(C)ccc1NCc1ccnc(-c2nn[n-]n2)c1 ZINC000826067125 607551477 /nfs/dbraw/zinc/55/14/77/607551477.db2.gz CMCWNHHKERDVQW-UHFFFAOYSA-N -1 1 323.360 1.542 20 0 DDADMM CN(CCNC(=O)[C@@H]1CCCN1Cc1ccccc1)C(=O)[O-] ZINC000739689409 602302133 /nfs/dbraw/zinc/30/21/33/602302133.db2.gz STDUKOMRUXFEEY-AWEZNQCLSA-N -1 1 305.378 1.377 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN([C@H](C(N)=O)c2ccccc2)CC1 ZINC000739013387 602446718 /nfs/dbraw/zinc/44/67/18/602446718.db2.gz ABDNNKMRHHKCBG-FZMZJTMJSA-N -1 1 305.378 1.581 20 0 DDADMM CC(C)(C)NS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000824094440 607571491 /nfs/dbraw/zinc/57/14/91/607571491.db2.gz VDNMCRQQRNQZBZ-UHFFFAOYSA-N -1 1 315.786 1.597 20 0 DDADMM CC(C)(C)NS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000824094440 607571492 /nfs/dbraw/zinc/57/14/92/607571492.db2.gz VDNMCRQQRNQZBZ-UHFFFAOYSA-N -1 1 315.786 1.597 20 0 DDADMM CN(C[C@H]1CCN(CC(=O)N(C)c2ccccc2)C1)C(=O)[O-] ZINC000739658042 602541276 /nfs/dbraw/zinc/54/12/76/602541276.db2.gz CNEJUXQANSVQJO-CYBMUJFWSA-N -1 1 305.378 1.581 20 0 DDADMM O=C([O-])N1CC[C@H](NS(=O)(=O)c2c(F)cc(F)cc2F)C1 ZINC000740505795 602569338 /nfs/dbraw/zinc/56/93/38/602569338.db2.gz DMUBDJHAFAKXNO-ZETCQYMHSA-N -1 1 324.280 1.135 20 0 DDADMM CN(C)Cc1cc(CNC(=O)C(C)(C)NC(=O)[O-])ccc1F ZINC000737368346 602761528 /nfs/dbraw/zinc/76/15/28/602761528.db2.gz MUUBXKJRGRQGDL-UHFFFAOYSA-N -1 1 311.357 1.550 20 0 DDADMM C[NH+](C)[C@@H]1CCCN(C(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739604210 602858874 /nfs/dbraw/zinc/85/88/74/602858874.db2.gz PFWCQEIJTWNPHV-LLVKDONJSA-N -1 1 307.350 1.648 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@@H]1C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000740570742 603001509 /nfs/dbraw/zinc/00/15/09/603001509.db2.gz NLOISPZYNXCUGF-RYUDHWBXSA-N -1 1 315.333 1.642 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1C(=O)c1nnc2ccccc2c1O ZINC000832568411 603119303 /nfs/dbraw/zinc/11/93/03/603119303.db2.gz RLHSRVJTCZSBDK-SECBINFHSA-N -1 1 316.317 1.208 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1C(=O)Cc1n[nH]c2ccccc12 ZINC000832545174 603135731 /nfs/dbraw/zinc/13/57/31/603135731.db2.gz DUYIZURINQIMRG-SNVBAGLBSA-N -1 1 302.334 1.364 20 0 DDADMM C[C@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC000739212224 603221983 /nfs/dbraw/zinc/22/19/83/603221983.db2.gz UDDWEBTXECXSLG-LDYMZIIASA-N -1 1 322.365 1.132 20 0 DDADMM C[C@@H](C(=O)N(C)CCCNC(=O)[O-])N1CCc2ccccc2C1 ZINC000738603022 603238592 /nfs/dbraw/zinc/23/85/92/603238592.db2.gz OPGRNDYCCGHNRL-ZDUSSCGKSA-N -1 1 319.405 1.549 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CC[C@@H](NC(=O)[O-])[C@@H](C)C2)c1 ZINC000740054974 603346034 /nfs/dbraw/zinc/34/60/34/603346034.db2.gz WVAZRWOBAOXQSH-GXFFZTMASA-N -1 1 316.361 1.990 20 0 DDADMM COc1ccc(NC(=O)CCN2CCN(C(=O)[O-])[C@H](C)C2)cc1 ZINC000739921302 603356295 /nfs/dbraw/zinc/35/62/95/603356295.db2.gz QIMDGLOKRBSZAS-GFCCVEGCSA-N -1 1 321.377 1.708 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc3n[nH]cc32)CC[C@H]1NC(=O)[O-] ZINC000739165875 603371140 /nfs/dbraw/zinc/37/11/40/603371140.db2.gz IVTDIIQVEDTRNC-BXKDBHETSA-N -1 1 302.334 1.681 20 0 DDADMM C[C@@H](O)[C@@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000824679778 607628782 /nfs/dbraw/zinc/62/87/82/607628782.db2.gz VUVASQMPMNXJIT-RKDXNWHRSA-N -1 1 307.379 1.161 20 0 DDADMM C[C@@H](O)[C@@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000824679778 607628783 /nfs/dbraw/zinc/62/87/83/607628783.db2.gz VUVASQMPMNXJIT-RKDXNWHRSA-N -1 1 307.379 1.161 20 0 DDADMM CNC(=O)c1cccc(CNCc2ccc(NC(=O)[O-])nc2)c1 ZINC000828565817 603535858 /nfs/dbraw/zinc/53/58/58/603535858.db2.gz BOGCDHFETQXZLK-UHFFFAOYSA-N -1 1 314.345 1.821 20 0 DDADMM CN(CCCC(=O)NCCc1nc2ccc(F)cc2[nH]1)C(=O)[O-] ZINC000828284987 603551960 /nfs/dbraw/zinc/55/19/60/603551960.db2.gz JESFNZOSVMQVQX-UHFFFAOYSA-N -1 1 322.340 1.751 20 0 DDADMM O=C([O-])NC1(C(=O)N2CCC(c3cnc[nH]3)CC2)CCCC1 ZINC000832151307 603560670 /nfs/dbraw/zinc/56/06/70/603560670.db2.gz ITNMEKPAQHCEIL-UHFFFAOYSA-N -1 1 306.366 1.696 20 0 DDADMM COc1cccc(NC(=O)CCN2CCN(C(=O)[O-])C[C@@H]2C)c1 ZINC000829570298 603561360 /nfs/dbraw/zinc/56/13/60/603561360.db2.gz JBAVVLDGOOWSDJ-LBPRGKRZSA-N -1 1 321.377 1.708 20 0 DDADMM COC(=O)c1ccc(CN2CC[C@H](CNC(=O)[O-])C2)cc1F ZINC000828771410 603604465 /nfs/dbraw/zinc/60/44/65/603604465.db2.gz BBFQJNMJCZDWEG-LLVKDONJSA-N -1 1 310.325 1.702 20 0 DDADMM C[C@H](C(=O)N(C)Cc1nnc[nH]1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000823655783 603644683 /nfs/dbraw/zinc/64/46/83/603644683.db2.gz VXDZQCPBKMVVOR-GWCFXTLKSA-N -1 1 317.349 1.408 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2cc(C3CC3)[nH]n2)[C@@H]1CNC(=O)[O-] ZINC000825912870 603689584 /nfs/dbraw/zinc/68/95/84/603689584.db2.gz NIUALFZIGCGLNS-NOZJJQNGSA-N -1 1 306.366 1.795 20 0 DDADMM CCN(C)[C@@H](C(=O)N(C)[C@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000826988294 603709675 /nfs/dbraw/zinc/70/96/75/603709675.db2.gz CCSMEFQLKJBYAF-LSDHHAIUSA-N -1 1 319.405 1.890 20 0 DDADMM C[C@@H]1CCCN(Cc2cccc(C(N)=O)c2)[C@H]1CNC(=O)[O-] ZINC000825924918 603723392 /nfs/dbraw/zinc/72/33/92/603723392.db2.gz LSWSNVJLNRPSJN-RISCZKNCSA-N -1 1 305.378 1.654 20 0 DDADMM CC(C)(CC(=O)NCc1nc2ccc(F)cc2[nH]1)NC(=O)[O-] ZINC000823882187 603736178 /nfs/dbraw/zinc/73/61/78/603736178.db2.gz QCSCNYGGVNTYMI-UHFFFAOYSA-N -1 1 308.313 1.755 20 0 DDADMM Cc1nnc(SCC(=O)Nc2ccc(NC(=O)[O-])nc2)[nH]1 ZINC000830678066 603741718 /nfs/dbraw/zinc/74/17/18/603741718.db2.gz MGJBRLXUHQKAOC-UHFFFAOYSA-N -1 1 308.323 1.329 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2ccc(NC(=O)[O-])nc2)n1 ZINC000830678066 603741721 /nfs/dbraw/zinc/74/17/21/603741721.db2.gz MGJBRLXUHQKAOC-UHFFFAOYSA-N -1 1 308.323 1.329 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H]3CCCN3C(=O)[O-])[nH]c2c1 ZINC000830432367 603763926 /nfs/dbraw/zinc/76/39/26/603763926.db2.gz DZOVWQAVNIDEDC-LBPRGKRZSA-N -1 1 302.334 1.630 20 0 DDADMM C[C@H](CC(=O)NCCc1nc2ccc(F)cc2[nH]1)NC(=O)[O-] ZINC000824656656 603937527 /nfs/dbraw/zinc/93/75/27/603937527.db2.gz UORDVTPKLAMWPD-MRVPVSSYSA-N -1 1 308.313 1.407 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(NC(=O)[O-])cc1)c1nn[nH]n1 ZINC000826763354 604002727 /nfs/dbraw/zinc/00/27/27/604002727.db2.gz BJDPMMSYOUOTND-SNVBAGLBSA-N -1 1 304.310 1.561 20 0 DDADMM CC[C@H]1CCCCN1C(=O)CN1CCC[C@@H]1CN(C)C(=O)[O-] ZINC000826667801 604100999 /nfs/dbraw/zinc/10/09/99/604100999.db2.gz LUDYAHPEKSZPMB-UONOGXRCSA-N -1 1 311.426 1.852 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)[O-] ZINC000828426659 604127834 /nfs/dbraw/zinc/12/78/34/604127834.db2.gz MTUVOLGMARKBBP-VWYCJHECSA-N -1 1 307.354 1.074 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@@H]1NC(=O)N1CCN(C2CCC2)CC1 ZINC000832469936 604184848 /nfs/dbraw/zinc/18/48/48/604184848.db2.gz ZGJKTPWYZDLGAY-OCCSQVGLSA-N -1 1 324.425 1.302 20 0 DDADMM C[C@H](CCNC(=O)c1cn[nH]c1-c1ccccc1F)NC(=O)[O-] ZINC000824804021 604187247 /nfs/dbraw/zinc/18/72/47/604187247.db2.gz VZJBQIDPGAIWPH-SECBINFHSA-N -1 1 320.324 1.992 20 0 DDADMM O=C([O-])NCCCNC(=O)c1cn[nH]c1-c1ccccc1F ZINC000832616911 604205869 /nfs/dbraw/zinc/20/58/69/604205869.db2.gz MDWNJDRGWUQNDR-UHFFFAOYSA-N -1 1 306.297 1.603 20 0 DDADMM CC(C)(C)OC(=O)NCCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000823822844 604369404 /nfs/dbraw/zinc/36/94/04/604369404.db2.gz SMTZDALPOIIBLS-NEPJUHHUSA-N -1 1 313.398 1.728 20 0 DDADMM COc1cccc([C@H](CNC(=O)C2(NC(=O)[O-])CC2)N(C)C)c1 ZINC000829543504 604422699 /nfs/dbraw/zinc/42/26/99/604422699.db2.gz LNTVFSXPJGGADW-ZDUSSCGKSA-N -1 1 321.377 1.214 20 0 DDADMM Cc1n[nH]c(CCNC(=O)C[C@H](C)[C@H]2CCCN(C(=O)[O-])C2)n1 ZINC000830741537 604581865 /nfs/dbraw/zinc/58/18/65/604581865.db2.gz JVKXXEILIXMFSL-JQWIXIFHSA-N -1 1 323.397 1.188 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCC[C@@H]1CSC)C(=O)[O-] ZINC000828719903 604677591 /nfs/dbraw/zinc/67/75/91/604677591.db2.gz SSRNWJDNNKEFSW-LOWVWBTDSA-N -1 1 302.440 1.429 20 0 DDADMM COc1ccc(NS(=O)(=O)c2ccc(C(=O)[O-])nc2)c(C)n1 ZINC000833733142 604702830 /nfs/dbraw/zinc/70/28/30/604702830.db2.gz JDUGGIIFJBNEFU-UHFFFAOYSA-N -1 1 323.330 1.293 20 0 DDADMM C[C@@H](CN(CC(=O)Nc1nc2c(s1)CCC2)C1CC1)C(=O)[O-] ZINC000827449192 604739047 /nfs/dbraw/zinc/73/90/47/604739047.db2.gz IPUIXGKHANEART-VIFPVBQESA-N -1 1 323.418 1.755 20 0 DDADMM O=C([O-])N1CCO[C@H](CC(=O)Nc2ccc3nc[nH]c3c2)C1 ZINC000831395779 604781923 /nfs/dbraw/zinc/78/19/23/604781923.db2.gz VPLCHPNVANSCBT-SNVBAGLBSA-N -1 1 304.306 1.270 20 0 DDADMM CC(C)[C@H](C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1ccccc1 ZINC000833466389 604896461 /nfs/dbraw/zinc/89/64/61/604896461.db2.gz YDVGKDXZTIXXAX-GJZGRUSLSA-N -1 1 315.373 1.961 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)CCSCC(=O)[O-])n[nH]1 ZINC000833513019 605023391 /nfs/dbraw/zinc/02/33/91/605023391.db2.gz YRBIPBZZKUJAME-MRVPVSSYSA-N -1 1 300.384 1.313 20 0 DDADMM CC(C)N(C)C(=O)C1CCN(Cc2ccc(C(=O)[O-])[nH]2)CC1 ZINC000833495481 605103386 /nfs/dbraw/zinc/10/33/86/605103386.db2.gz CYTVWLDRORQXGL-UHFFFAOYSA-N -1 1 307.394 1.792 20 0 DDADMM CCN(CC)C(=O)CSc1cccc(F)c1-c1nn[n-]n1 ZINC000825407060 607737344 /nfs/dbraw/zinc/73/73/44/607737344.db2.gz XLSURQSEMAGTEM-UHFFFAOYSA-N -1 1 309.370 1.966 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc(N(C)C)c1 ZINC000833624689 605158202 /nfs/dbraw/zinc/15/82/02/605158202.db2.gz JXFGFCVOLGOCAP-CYBMUJFWSA-N -1 1 319.405 1.374 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc(Cl)c2)CCN1CCC(=O)[O-] ZINC000833619300 605254362 /nfs/dbraw/zinc/25/43/62/605254362.db2.gz CBESRGSIKWOGFY-LLVKDONJSA-N -1 1 310.781 1.961 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NC[C@@H]2CCCN2C(=O)[O-])n[nH]1 ZINC000830036251 605292139 /nfs/dbraw/zinc/29/21/39/605292139.db2.gz MCPLSGNFWDNKLM-CABZTGNLSA-N -1 1 309.370 1.091 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N[C@@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000830036096 605293202 /nfs/dbraw/zinc/29/32/02/605293202.db2.gz JJSRLOYCNQPZTO-GXSJLCMTSA-N -1 1 309.370 1.091 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCN(C(=O)[O-])[C@@H](C)C2)n[nH]1 ZINC000830031771 605301412 /nfs/dbraw/zinc/30/14/12/605301412.db2.gz YIIPZMSHJYQVRW-ONGXEEELSA-N -1 1 309.370 1.043 20 0 DDADMM Cc1n[nH]c(CCNC(=O)c2ccc(NC(=O)[O-])c(C)c2)n1 ZINC000830746830 605309432 /nfs/dbraw/zinc/30/94/32/605309432.db2.gz SXXVCOUGHDYVDR-UHFFFAOYSA-N -1 1 303.322 1.484 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1CCCn1c(=O)[nH]c2ccccc21 ZINC000834218944 605341002 /nfs/dbraw/zinc/34/10/02/605341002.db2.gz DWTRHDZFHZAYQW-GFCCVEGCSA-N -1 1 318.377 1.864 20 0 DDADMM CCCN(Cc1nnc2n1CCCC2)C1CCN(C(=O)[O-])CC1 ZINC000826916774 605484139 /nfs/dbraw/zinc/48/41/39/605484139.db2.gz RIVZSLDBYHJANM-UHFFFAOYSA-N -1 1 321.425 1.969 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCC(Cn3ccnn3)CC2)cn1 ZINC000834260085 605688249 /nfs/dbraw/zinc/68/82/49/605688249.db2.gz KYXQPGDGUSJCON-UHFFFAOYSA-N -1 1 316.365 1.675 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC[C@H](CNC(=O)[O-])C2)n[nH]1 ZINC000830031279 605699381 /nfs/dbraw/zinc/69/93/81/605699381.db2.gz UQFMHBMKTBNVKH-ZYHUDNBSSA-N -1 1 323.397 1.338 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC[C@@H](N(C)C(=O)[O-])C2)n[nH]1 ZINC000830029755 605699539 /nfs/dbraw/zinc/69/95/39/605699539.db2.gz IXKYJYQTWVCMAJ-ZWNOBZJWSA-N -1 1 323.397 1.433 20 0 DDADMM O=C([O-])Nc1cccc(CC(=O)N2CCN(CC3CC3)CC2)c1 ZINC000834265666 605761589 /nfs/dbraw/zinc/76/15/89/605761589.db2.gz PKWNQLGDXTYWKY-UHFFFAOYSA-N -1 1 317.389 1.873 20 0 DDADMM O=C([O-])N1CC[C@@H](NCC(=O)Nc2ccc(F)c(F)c2F)C1 ZINC000831492874 605831437 /nfs/dbraw/zinc/83/14/37/605831437.db2.gz JXHVSHWFVTVZHR-SSDOTTSWSA-N -1 1 317.267 1.384 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NC1CCCCCC1 ZINC000833830557 605880437 /nfs/dbraw/zinc/88/04/37/605880437.db2.gz KKUPZTVFFBIQHD-QWHCGFSZSA-N -1 1 311.426 1.803 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1C[C@H](O)c1c(F)cccc1F ZINC000833835456 605908819 /nfs/dbraw/zinc/90/88/19/605908819.db2.gz ZJHDVHQAPHRGRV-NRUUGDAUSA-N -1 1 314.332 1.976 20 0 DDADMM O=C([O-])NCCC(=O)Nc1ccccc1CN1CCC(O)CC1 ZINC000834228367 605997367 /nfs/dbraw/zinc/99/73/67/605997367.db2.gz JBINHEDGOGAXHN-UHFFFAOYSA-N -1 1 321.377 1.240 20 0 DDADMM O=C([O-])NC[C@@H]1CCCC[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000834217735 605998752 /nfs/dbraw/zinc/99/87/52/605998752.db2.gz FFMKJRJXIYXANK-AWEZNQCLSA-N -1 1 321.377 1.357 20 0 DDADMM C[C@]1(NC(=O)[O-])CCCC[C@@H]1C(=O)NO[C@H]1CCCCO1 ZINC000833829792 606030175 /nfs/dbraw/zinc/03/01/75/606030175.db2.gz KXCKLFNYPBFQDE-SUNKGSAMSA-N -1 1 300.355 1.777 20 0 DDADMM CCc1noc([C@@H](C)OC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000821553783 606139688 /nfs/dbraw/zinc/13/96/88/606139688.db2.gz JZCJHMHTFDEZAB-ZCFIWIBFSA-N -1 1 320.334 1.792 20 0 DDADMM CCc1noc([C@@H](C)OC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000821553783 606139690 /nfs/dbraw/zinc/13/96/90/606139690.db2.gz JZCJHMHTFDEZAB-ZCFIWIBFSA-N -1 1 320.334 1.792 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCc2ccsc2)n1 ZINC000822620538 606158356 /nfs/dbraw/zinc/15/83/56/606158356.db2.gz VRZIQJFSTSRPMZ-UHFFFAOYSA-N -1 1 318.366 1.026 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCc2ccsc2)n1 ZINC000822620538 606158358 /nfs/dbraw/zinc/15/83/58/606158358.db2.gz VRZIQJFSTSRPMZ-UHFFFAOYSA-N -1 1 318.366 1.026 20 0 DDADMM CSCC[C@@H](C)N(C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821961125 606163815 /nfs/dbraw/zinc/16/38/15/606163815.db2.gz GVXPQBIFVQOTHF-MRVPVSSYSA-N -1 1 324.414 1.206 20 0 DDADMM CSCC[C@@H](C)N(C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821961125 606163816 /nfs/dbraw/zinc/16/38/16/606163816.db2.gz GVXPQBIFVQOTHF-MRVPVSSYSA-N -1 1 324.414 1.206 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@@H]1CSCCS1 ZINC000823294712 606197875 /nfs/dbraw/zinc/19/78/75/606197875.db2.gz OENCNFUGZWLDCF-JTQLQIEISA-N -1 1 323.403 1.359 20 0 DDADMM O=C1N[C@H](c2ccc(-c3nn[n-]n3)s2)Nc2cc(F)ccc21 ZINC000823541096 606394319 /nfs/dbraw/zinc/39/43/19/606394319.db2.gz MNOJFBVSRGSBPA-LLVKDONJSA-N -1 1 316.321 1.921 20 0 DDADMM O[C@H](CNc1cccc(-c2nnn[n-]2)n1)c1ccccc1F ZINC000823689310 606450727 /nfs/dbraw/zinc/45/07/27/606450727.db2.gz DIMVWNZIRUAPCQ-GFCCVEGCSA-N -1 1 300.297 1.546 20 0 DDADMM O[C@H](CNc1cccc(-c2nn[n-]n2)n1)c1ccccc1F ZINC000823689310 606450728 /nfs/dbraw/zinc/45/07/28/606450728.db2.gz DIMVWNZIRUAPCQ-GFCCVEGCSA-N -1 1 300.297 1.546 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820875745 606462192 /nfs/dbraw/zinc/46/21/92/606462192.db2.gz DUVHOXWWVQPRNE-SFYZADRCSA-N -1 1 323.788 1.630 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820875745 606462194 /nfs/dbraw/zinc/46/21/94/606462194.db2.gz DUVHOXWWVQPRNE-SFYZADRCSA-N -1 1 323.788 1.630 20 0 DDADMM CCc1ccc(OCC(=O)Nc2n[nH]cc2-c2nnn[n-]2)cc1 ZINC000821486781 606530828 /nfs/dbraw/zinc/53/08/28/606530828.db2.gz LKTXDRAULPAKBS-UHFFFAOYSA-N -1 1 313.321 1.170 20 0 DDADMM CCc1ccc(OCC(=O)Nc2n[nH]cc2-c2nn[n-]n2)cc1 ZINC000821486781 606530830 /nfs/dbraw/zinc/53/08/30/606530830.db2.gz LKTXDRAULPAKBS-UHFFFAOYSA-N -1 1 313.321 1.170 20 0 DDADMM CS[C@H]1CC[C@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821951339 606576305 /nfs/dbraw/zinc/57/63/05/606576305.db2.gz WZPSEYSVJWFHMT-YUMQZZPRSA-N -1 1 309.420 1.942 20 0 DDADMM CS[C@H]1CC[C@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821951339 606576307 /nfs/dbraw/zinc/57/63/07/606576307.db2.gz WZPSEYSVJWFHMT-YUMQZZPRSA-N -1 1 309.420 1.942 20 0 DDADMM O=C([N-]c1nc(C2CC2)no1)c1cc(-c2nn[nH]n2)cs1 ZINC000823380494 606584834 /nfs/dbraw/zinc/58/48/34/606584834.db2.gz ZAGBPYPVACVWJR-UHFFFAOYSA-N -1 1 303.307 1.441 20 0 DDADMM CC1(O)CCC(NC(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000820623633 606586619 /nfs/dbraw/zinc/58/66/19/606586619.db2.gz VAXHDLYZFYMOGJ-UHFFFAOYSA-N -1 1 307.379 1.352 20 0 DDADMM CC1(O)CCC(NC(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000820623633 606586620 /nfs/dbraw/zinc/58/66/20/606586620.db2.gz VAXHDLYZFYMOGJ-UHFFFAOYSA-N -1 1 307.379 1.352 20 0 DDADMM O=C(Cc1ccc(F)cc1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000823082784 606650539 /nfs/dbraw/zinc/65/05/39/606650539.db2.gz PPIBRTAESBFYKS-UHFFFAOYSA-N -1 1 313.292 1.687 20 0 DDADMM O=C(Cc1ccc(F)cc1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000823082784 606650540 /nfs/dbraw/zinc/65/05/40/606650540.db2.gz PPIBRTAESBFYKS-UHFFFAOYSA-N -1 1 313.292 1.687 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)[C@H]1Cc2ccccc2O1 ZINC000823436367 606650965 /nfs/dbraw/zinc/65/09/65/606650965.db2.gz RQXNZNZVHZIYLJ-CQSZACIVSA-N -1 1 323.312 1.309 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)[C@H]1Cc2ccccc2O1 ZINC000823436367 606650966 /nfs/dbraw/zinc/65/09/66/606650966.db2.gz RQXNZNZVHZIYLJ-CQSZACIVSA-N -1 1 323.312 1.309 20 0 DDADMM C[C@@]1(CNc2snc(Cl)c2-c2nnn[n-]2)CCCO1 ZINC000820623824 606724043 /nfs/dbraw/zinc/72/40/43/606724043.db2.gz ZUNAGOYIMIOVKC-JTQLQIEISA-N -1 1 300.775 1.958 20 0 DDADMM C[C@@]1(CNc2snc(Cl)c2-c2nn[n-]n2)CCCO1 ZINC000820623824 606724045 /nfs/dbraw/zinc/72/40/45/606724045.db2.gz ZUNAGOYIMIOVKC-JTQLQIEISA-N -1 1 300.775 1.958 20 0 DDADMM Fc1ccc([C@@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000822766448 606727909 /nfs/dbraw/zinc/72/79/09/606727909.db2.gz JJLMYBHUVBFCKH-LLVKDONJSA-N -1 1 311.324 1.790 20 0 DDADMM Fc1ccc([C@@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000822766448 606727911 /nfs/dbraw/zinc/72/79/11/606727911.db2.gz JJLMYBHUVBFCKH-LLVKDONJSA-N -1 1 311.324 1.790 20 0 DDADMM Fc1ccccc1-n1cc(Nc2nccnc2-c2nnn[n-]2)cn1 ZINC000822792241 606734209 /nfs/dbraw/zinc/73/42/09/606734209.db2.gz SILVAQKROJPZFU-UHFFFAOYSA-N -1 1 323.295 1.725 20 0 DDADMM Fc1ccccc1-n1cc(Nc2nccnc2-c2nn[n-]n2)cn1 ZINC000822792241 606734210 /nfs/dbraw/zinc/73/42/10/606734210.db2.gz SILVAQKROJPZFU-UHFFFAOYSA-N -1 1 323.295 1.725 20 0 DDADMM CC(C)OC(=O)[C@H](C)N(C)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820136814 607137018 /nfs/dbraw/zinc/13/70/18/607137018.db2.gz CGFRARCIHIGSFW-QMMMGPOBSA-N -1 1 323.378 1.340 20 0 DDADMM CC(C)OC(=O)[C@H](C)N(C)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820136814 607137019 /nfs/dbraw/zinc/13/70/19/607137019.db2.gz CGFRARCIHIGSFW-QMMMGPOBSA-N -1 1 323.378 1.340 20 0 DDADMM CN(C[C@@H]1CCC[C@H]1O)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825909429 607851929 /nfs/dbraw/zinc/85/19/29/607851929.db2.gz YINLAPFWNOEGSN-WCBMZHEXSA-N -1 1 308.773 1.512 20 0 DDADMM CN(C[C@@H]1CCC[C@H]1O)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825909429 607851930 /nfs/dbraw/zinc/85/19/30/607851930.db2.gz YINLAPFWNOEGSN-WCBMZHEXSA-N -1 1 308.773 1.512 20 0 DDADMM Cn1c(CNc2cccc(-c3nnn[n-]3)n2)nc2cc(F)ccc21 ZINC000826355437 607900203 /nfs/dbraw/zinc/90/02/03/607900203.db2.gz ZOCDBVIJGVUXLA-UHFFFAOYSA-N -1 1 324.323 1.900 20 0 DDADMM Cn1c(CNc2cccc(-c3nn[n-]n3)n2)nc2cc(F)ccc21 ZINC000826355437 607900204 /nfs/dbraw/zinc/90/02/04/607900204.db2.gz ZOCDBVIJGVUXLA-UHFFFAOYSA-N -1 1 324.323 1.900 20 0 DDADMM CS[C@@H](C)CC(=O)Nc1nn(C(C)(C)C)cc1-c1nnn[n-]1 ZINC000826224463 607963399 /nfs/dbraw/zinc/96/33/99/607963399.db2.gz FAEMHRTWDQEZDI-QMMMGPOBSA-N -1 1 323.426 1.898 20 0 DDADMM CS[C@@H](C)CC(=O)Nc1nn(C(C)(C)C)cc1-c1nn[n-]n1 ZINC000826224463 607963400 /nfs/dbraw/zinc/96/34/00/607963400.db2.gz FAEMHRTWDQEZDI-QMMMGPOBSA-N -1 1 323.426 1.898 20 0 DDADMM Clc1ccc(CCNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826351784 607988007 /nfs/dbraw/zinc/98/80/07/607988007.db2.gz AIKZTXNLXZFUSY-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM Clc1ccc(CCNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826351784 607988008 /nfs/dbraw/zinc/98/80/08/607988008.db2.gz AIKZTXNLXZFUSY-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM Oc1cccc([C@@H]2CN(c3cccc(-c4nnn[n-]4)n3)CCO2)c1 ZINC000826511351 608016144 /nfs/dbraw/zinc/01/61/44/608016144.db2.gz LYYLPGQMIDGNOR-AWEZNQCLSA-N -1 1 324.344 1.545 20 0 DDADMM Oc1cccc([C@@H]2CN(c3cccc(-c4nn[n-]n4)n3)CCO2)c1 ZINC000826511351 608016145 /nfs/dbraw/zinc/01/61/45/608016145.db2.gz LYYLPGQMIDGNOR-AWEZNQCLSA-N -1 1 324.344 1.545 20 0 DDADMM CC(=O)c1ccc(CNc2nccnc2-c2nnn[n-]2)nc1C ZINC000824024534 608076779 /nfs/dbraw/zinc/07/67/79/608076779.db2.gz IWZIALPRGBMPLD-UHFFFAOYSA-N -1 1 310.321 1.175 20 0 DDADMM CC(=O)c1ccc(CNc2nccnc2-c2nn[n-]n2)nc1C ZINC000824024534 608076780 /nfs/dbraw/zinc/07/67/80/608076780.db2.gz IWZIALPRGBMPLD-UHFFFAOYSA-N -1 1 310.321 1.175 20 0 DDADMM CCCC[C@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)OC ZINC000825268636 608103924 /nfs/dbraw/zinc/10/39/24/608103924.db2.gz WYPOKEODPTYWIJ-NSHDSACASA-N -1 1 319.321 1.150 20 0 DDADMM CCCC[C@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)OC ZINC000825268636 608103925 /nfs/dbraw/zinc/10/39/25/608103925.db2.gz WYPOKEODPTYWIJ-NSHDSACASA-N -1 1 319.321 1.150 20 0 DDADMM Cc1ccc(NC(=O)Cn2ccnc2-c2nnn[n-]2)cc1F ZINC000826291167 608148774 /nfs/dbraw/zinc/14/87/74/608148774.db2.gz SJIGSGDGDWWOKV-UHFFFAOYSA-N -1 1 301.285 1.149 20 0 DDADMM Cc1ccc(NC(=O)Cn2ccnc2-c2nn[n-]n2)cc1F ZINC000826291167 608148776 /nfs/dbraw/zinc/14/87/76/608148776.db2.gz SJIGSGDGDWWOKV-UHFFFAOYSA-N -1 1 301.285 1.149 20 0 DDADMM CCOC(=O)[C@H](C)N(C)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825486468 608190492 /nfs/dbraw/zinc/19/04/92/608190492.db2.gz TUWVMAMNXWXBSS-YFKPBYRVSA-N -1 1 316.774 1.364 20 0 DDADMM CCOC(=O)[C@H](C)N(C)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825486468 608190493 /nfs/dbraw/zinc/19/04/93/608190493.db2.gz TUWVMAMNXWXBSS-YFKPBYRVSA-N -1 1 316.774 1.364 20 0 DDADMM Cc1cc(=O)oc2cc(Oc3ccc(-c4nnn[n-]4)nn3)ccc12 ZINC000826256455 608388929 /nfs/dbraw/zinc/38/89/29/608388929.db2.gz KHVVJAQCPFROQD-UHFFFAOYSA-N -1 1 322.284 1.864 20 0 DDADMM Cc1cc(=O)oc2cc(Oc3ccc(-c4nn[n-]n4)nn3)ccc12 ZINC000826256455 608388930 /nfs/dbraw/zinc/38/89/30/608388930.db2.gz KHVVJAQCPFROQD-UHFFFAOYSA-N -1 1 322.284 1.864 20 0 DDADMM c1cc(N2CCCCC2)ncc1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826517375 608395887 /nfs/dbraw/zinc/39/58/87/608395887.db2.gz ZYBUQUUJFNWLRB-UHFFFAOYSA-N -1 1 323.364 1.786 20 0 DDADMM c1cc(N2CCCCC2)ncc1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826517375 608395889 /nfs/dbraw/zinc/39/58/89/608395889.db2.gz ZYBUQUUJFNWLRB-UHFFFAOYSA-N -1 1 323.364 1.786 20 0 DDADMM CC(C)Oc1ccc(CNc2ccc(-c3nnn[n-]3)nn2)cn1 ZINC000824323784 608399190 /nfs/dbraw/zinc/39/91/90/608399190.db2.gz BFRCEDDEXBOSTK-UHFFFAOYSA-N -1 1 312.337 1.451 20 0 DDADMM CC(C)Oc1ccc(CNc2ccc(-c3nn[n-]n3)nn2)cn1 ZINC000824323784 608399192 /nfs/dbraw/zinc/39/91/92/608399192.db2.gz BFRCEDDEXBOSTK-UHFFFAOYSA-N -1 1 312.337 1.451 20 0 DDADMM O[C@H](CSc1ccc(-c2nnn[n-]2)nn1)c1ccccc1 ZINC000826505555 608400440 /nfs/dbraw/zinc/40/04/40/608400440.db2.gz YVDZSPQUMYOKGX-LLVKDONJSA-N -1 1 300.347 1.482 20 0 DDADMM O[C@H](CSc1ccc(-c2nn[n-]n2)nn1)c1ccccc1 ZINC000826505555 608400442 /nfs/dbraw/zinc/40/04/42/608400442.db2.gz YVDZSPQUMYOKGX-LLVKDONJSA-N -1 1 300.347 1.482 20 0 DDADMM Fc1ccccc1[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000826377762 608416354 /nfs/dbraw/zinc/41/63/54/608416354.db2.gz FHSPTGGUPCPYOG-SNVBAGLBSA-N -1 1 311.324 1.790 20 0 DDADMM Fc1ccccc1[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000826377762 608416355 /nfs/dbraw/zinc/41/63/55/608416355.db2.gz FHSPTGGUPCPYOG-SNVBAGLBSA-N -1 1 311.324 1.790 20 0 DDADMM COc1ccccc1CSc1ccc(-c2nnn[n-]2)nn1 ZINC000826220690 608421462 /nfs/dbraw/zinc/42/14/62/608421462.db2.gz WNNRMTGPAUUFQE-UHFFFAOYSA-N -1 1 300.347 1.958 20 0 DDADMM COc1ccccc1CSc1ccc(-c2nn[n-]n2)nn1 ZINC000826220690 608421463 /nfs/dbraw/zinc/42/14/63/608421463.db2.gz WNNRMTGPAUUFQE-UHFFFAOYSA-N -1 1 300.347 1.958 20 0 DDADMM CCn1ncc2c1CCC[C@H]2Nc1ccc(-c2nnn[n-]2)nn1 ZINC000825807454 608427545 /nfs/dbraw/zinc/42/75/45/608427545.db2.gz QZPKVMZVHNBOQH-SNVBAGLBSA-N -1 1 311.353 1.363 20 0 DDADMM CCn1ncc2c1CCC[C@H]2Nc1ccc(-c2nn[n-]n2)nn1 ZINC000825807454 608427546 /nfs/dbraw/zinc/42/75/46/608427546.db2.gz QZPKVMZVHNBOQH-SNVBAGLBSA-N -1 1 311.353 1.363 20 0 DDADMM Fc1ccc(F)c2c1CCN(c1ccc(-c3nnn[n-]3)nn1)C2 ZINC000826375182 608430991 /nfs/dbraw/zinc/43/09/91/608430991.db2.gz CIHCNMDZMVUMIF-UHFFFAOYSA-N -1 1 315.287 1.498 20 0 DDADMM Fc1ccc(F)c2c1CCN(c1ccc(-c3nn[n-]n3)nn1)C2 ZINC000826375182 608430993 /nfs/dbraw/zinc/43/09/93/608430993.db2.gz CIHCNMDZMVUMIF-UHFFFAOYSA-N -1 1 315.287 1.498 20 0 DDADMM C[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)[C@@H](O)Cc1ccccc1 ZINC000824649870 608435343 /nfs/dbraw/zinc/43/53/43/608435343.db2.gz NWBWVJWTORGITP-MFKMUULPSA-N -1 1 311.349 1.061 20 0 DDADMM C[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)[C@@H](O)Cc1ccccc1 ZINC000824649870 608435345 /nfs/dbraw/zinc/43/53/45/608435345.db2.gz NWBWVJWTORGITP-MFKMUULPSA-N -1 1 311.349 1.061 20 0 DDADMM Cc1noc(C)c1[C@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826338691 608435889 /nfs/dbraw/zinc/43/58/89/608435889.db2.gz DXSGAWOTAAIQPI-SSDOTTSWSA-N -1 1 300.326 1.477 20 0 DDADMM Cc1noc(C)c1[C@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826338691 608435890 /nfs/dbraw/zinc/43/58/90/608435890.db2.gz DXSGAWOTAAIQPI-SSDOTTSWSA-N -1 1 300.326 1.477 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@@H]1[C@@H]2CCO[C@H]2C12CCCC2 ZINC000826514350 608438281 /nfs/dbraw/zinc/43/82/81/608438281.db2.gz ORNWVPKQAPXLMQ-ZWKOPEQDSA-N -1 1 313.365 1.416 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@@H]1[C@@H]2CCO[C@H]2C12CCCC2 ZINC000826514350 608438283 /nfs/dbraw/zinc/43/82/83/608438283.db2.gz ORNWVPKQAPXLMQ-ZWKOPEQDSA-N -1 1 313.365 1.416 20 0 DDADMM Cn1cnc(CNc2cc(-c3nnn[n-]3)nc3ccccc32)n1 ZINC000826364903 608554068 /nfs/dbraw/zinc/55/40/68/608554068.db2.gz QMDUPRUGMQZLMU-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM Cn1cnc(CNc2cc(-c3nn[n-]n3)nc3ccccc32)n1 ZINC000826364903 608554070 /nfs/dbraw/zinc/55/40/70/608554070.db2.gz QMDUPRUGMQZLMU-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM FC(F)(F)[C@@H]1CN(Cc2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000826367893 608717344 /nfs/dbraw/zinc/71/73/44/608717344.db2.gz SDSGLHDRZSCUOO-VIFPVBQESA-N -1 1 319.312 1.691 20 0 DDADMM CC(C)OC(=O)c1ccc(Cn2ccnc2-c2nnn[n-]2)cc1 ZINC000824309705 608893908 /nfs/dbraw/zinc/89/39/08/608893908.db2.gz WASYRFFWIVTUKR-UHFFFAOYSA-N -1 1 312.333 1.677 20 0 DDADMM CC(C)OC(=O)c1ccc(Cn2ccnc2-c2nn[n-]n2)cc1 ZINC000824309705 608893911 /nfs/dbraw/zinc/89/39/11/608893911.db2.gz WASYRFFWIVTUKR-UHFFFAOYSA-N -1 1 312.333 1.677 20 0 DDADMM C[C@@H]1C[C@H](COC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824883856 609387969 /nfs/dbraw/zinc/38/79/69/609387969.db2.gz JMJZYVCEAGKKGL-RKDXNWHRSA-N -1 1 308.363 1.900 20 0 DDADMM C[C@@H]1C[C@H](COC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824883856 609387972 /nfs/dbraw/zinc/38/79/72/609387972.db2.gz JMJZYVCEAGKKGL-RKDXNWHRSA-N -1 1 308.363 1.900 20 0 DDADMM CCCCCN(CCCOC)c1ccc(-c2nnn[n-]2)nn1 ZINC000825276240 609577116 /nfs/dbraw/zinc/57/71/16/609577116.db2.gz SNXFAOPYNQCDMP-UHFFFAOYSA-N -1 1 305.386 1.690 20 0 DDADMM CCCCCN(CCCOC)c1ccc(-c2nn[n-]n2)nn1 ZINC000825276240 609577118 /nfs/dbraw/zinc/57/71/18/609577118.db2.gz SNXFAOPYNQCDMP-UHFFFAOYSA-N -1 1 305.386 1.690 20 0 DDADMM Cc1nn(C)c2ncc(NCc3ccc(-c4nnn[n-]4)o3)cc12 ZINC000826334385 609596415 /nfs/dbraw/zinc/59/64/15/609596415.db2.gz SJMYKAMEUZPAJR-UHFFFAOYSA-N -1 1 310.321 1.662 20 0 DDADMM Cc1nn(C)c2ncc(NCc3ccc(-c4nn[n-]n4)o3)cc12 ZINC000826334385 609596418 /nfs/dbraw/zinc/59/64/18/609596418.db2.gz SJMYKAMEUZPAJR-UHFFFAOYSA-N -1 1 310.321 1.662 20 0 DDADMM O=C(COC(=O)CCC(F)(F)F)[N-]C(=O)c1ccccc1 ZINC000745518781 699983952 /nfs/dbraw/zinc/98/39/52/699983952.db2.gz NYXCSQMKURGMAQ-UHFFFAOYSA-N -1 1 303.236 1.829 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nnc3ccccc3n2)[n-]1 ZINC000797218569 699999596 /nfs/dbraw/zinc/99/95/96/699999596.db2.gz GUNATCSMQARFKU-UHFFFAOYSA-N -1 1 312.285 1.497 20 0 DDADMM CC(C)C(=O)N[C@H]1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000973893891 695583638 /nfs/dbraw/zinc/58/36/38/695583638.db2.gz REDACTKAOKWLFP-PHIMTYICSA-N -1 1 318.377 1.093 20 0 DDADMM CC(C)(F)C(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975229881 695817521 /nfs/dbraw/zinc/81/75/21/695817521.db2.gz ZPAANNLMDCCELF-LLVKDONJSA-N -1 1 323.368 1.504 20 0 DDADMM CC1(C(=O)N2CCC[C@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000975261408 695821550 /nfs/dbraw/zinc/82/15/50/695821550.db2.gz VGNLVFANALOYRG-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM C[C@H](CC(=O)N1CCC(O)(c2nn[n-]n2)CC1)C1CCCCC1 ZINC000798148580 700044206 /nfs/dbraw/zinc/04/42/06/700044206.db2.gz IOVHMIYIBYJCSZ-GFCCVEGCSA-N -1 1 321.425 1.616 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCC(=O)NC(C)C ZINC000747210286 700060264 /nfs/dbraw/zinc/06/02/64/700060264.db2.gz XHOUUPJVQPIKSY-UHFFFAOYSA-N -1 1 308.382 1.259 20 0 DDADMM Cc1cc(OCC(=O)NN2CC(=O)[N-]C2=O)ccc1C(C)C ZINC000029018042 696108248 /nfs/dbraw/zinc/10/82/48/696108248.db2.gz YJURRYRLLLEWHT-UHFFFAOYSA-N -1 1 305.334 1.080 20 0 DDADMM O=S(=O)([N-]CCOC1CCC1)c1ccc(Br)o1 ZINC000759052229 700735407 /nfs/dbraw/zinc/73/54/07/700735407.db2.gz RZKCNAXQMBKASA-UHFFFAOYSA-N -1 1 324.196 1.890 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC1(C(N)=O)CCCC1 ZINC000747397785 700067918 /nfs/dbraw/zinc/06/79/18/700067918.db2.gz XSGZTPSSMISURG-UHFFFAOYSA-N -1 1 320.393 1.390 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1scnc1Cl ZINC000747473235 700072090 /nfs/dbraw/zinc/07/20/90/700072090.db2.gz AXMFMLPDHKELMH-QMMMGPOBSA-N -1 1 320.765 1.829 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-])C1CC1 ZINC000977622266 696237918 /nfs/dbraw/zinc/23/79/18/696237918.db2.gz WDNWJCBDUDJTTQ-WCQYABFASA-N -1 1 317.389 1.506 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCCn1cccn1 ZINC000062294143 696322912 /nfs/dbraw/zinc/32/29/12/696322912.db2.gz AOCWHTZLEDAJQY-UHFFFAOYSA-N -1 1 323.374 1.502 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=S)NCCc2ccccn2)n1 ZINC000747654993 700080132 /nfs/dbraw/zinc/08/01/32/700080132.db2.gz UTULPLXUJLIVAO-UHFFFAOYSA-N -1 1 318.406 1.222 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)CCOc1cccc(F)c1 ZINC000073942292 696409432 /nfs/dbraw/zinc/40/94/32/696409432.db2.gz BIFMHMWMEWXHLN-UHFFFAOYSA-N -1 1 324.381 1.974 20 0 DDADMM CC(C)c1ccc(CCNC(=O)Cc2sc(N)nc2[O-])cc1 ZINC000079295865 696459395 /nfs/dbraw/zinc/45/93/95/696459395.db2.gz IISZUVKHYDPRSE-CYBMUJFWSA-N -1 1 319.430 1.816 20 0 DDADMM CCC[C@H](Cc1ccccc1)NC(=O)Cc1sc(N)nc1[O-] ZINC000079971209 696465618 /nfs/dbraw/zinc/46/56/18/696465618.db2.gz BEAZTWXWHFODNO-CHWSQXEVSA-N -1 1 319.430 1.861 20 0 DDADMM CCn1cnc(S(=O)(=O)[N-]c2ccccc2CN(C)C)c1 ZINC000747860142 700090222 /nfs/dbraw/zinc/09/02/22/700090222.db2.gz MIRUDKQXNNRAFD-UHFFFAOYSA-N -1 1 308.407 1.765 20 0 DDADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)C1=CCCC1 ZINC000979626015 696613414 /nfs/dbraw/zinc/61/34/14/696613414.db2.gz RLTUTDHHNSSCPP-AULYBMBSSA-N -1 1 315.373 1.522 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)c(C)c1 ZINC000798811906 700098769 /nfs/dbraw/zinc/09/87/69/700098769.db2.gz MSKKHZSEUVZWRW-CQSZACIVSA-N -1 1 312.435 1.695 20 0 DDADMM O=C(NC1CCC(NC(=O)C(F)F)CC1)c1ncccc1[O-] ZINC000979961507 696667790 /nfs/dbraw/zinc/66/77/90/696667790.db2.gz IBYZCGMAMCCRGQ-UHFFFAOYSA-N -1 1 313.304 1.210 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)CCCC(F)(F)F)N(C)C ZINC000798845671 700101437 /nfs/dbraw/zinc/10/14/37/700101437.db2.gz OEAGSMSGEIWFJU-SECBINFHSA-N -1 1 318.361 1.361 20 0 DDADMM CC1(C(=O)NC2CCC(NC(=O)c3ncccc3[O-])CC2)CC1 ZINC000980146870 696692176 /nfs/dbraw/zinc/69/21/76/696692176.db2.gz REHFIJSYMKRHJO-UHFFFAOYSA-N -1 1 317.389 1.745 20 0 DDADMM CC[C@@H](CNC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000120831249 696702149 /nfs/dbraw/zinc/70/21/49/696702149.db2.gz LTYCEBFUPSOPIA-CMPLNLGQSA-N -1 1 305.403 1.643 20 0 DDADMM Cc1cc2cc(NC(=O)Cc3sc(N)nc3[O-])ccc2[nH]1 ZINC000120892536 696703115 /nfs/dbraw/zinc/70/31/15/696703115.db2.gz DTNLOJGOTUZMHO-LLVKDONJSA-N -1 1 302.359 1.762 20 0 DDADMM COc1ccccc1CO[N-]C(=O)CSc1nnc(C)[nH]1 ZINC000799096442 700117893 /nfs/dbraw/zinc/11/78/93/700117893.db2.gz MJIODGPOSUZAQH-UHFFFAOYSA-N -1 1 308.363 1.462 20 0 DDADMM COc1ccccc1CO[N-]C(=O)CSc1nc(C)n[nH]1 ZINC000799096442 700117895 /nfs/dbraw/zinc/11/78/95/700117895.db2.gz MJIODGPOSUZAQH-UHFFFAOYSA-N -1 1 308.363 1.462 20 0 DDADMM Cc1nc(Cl)ccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155591865 696950344 /nfs/dbraw/zinc/95/03/44/696950344.db2.gz YOKNLDZUPYNOMC-VIFPVBQESA-N -1 1 306.757 1.576 20 0 DDADMM C[C@@H](Cc1ccc(F)cc1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155600225 696950946 /nfs/dbraw/zinc/95/09/46/696950946.db2.gz BDKFPSOBSVLYNV-WCQYABFASA-N -1 1 317.368 1.924 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982465620 697134257 /nfs/dbraw/zinc/13/42/57/697134257.db2.gz JGFBOEQDFDOPQK-NWDGAFQWSA-N -1 1 305.378 1.412 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983340881 697243487 /nfs/dbraw/zinc/24/34/87/697243487.db2.gz DFQCECAJRFLMDL-STQMWFEESA-N -1 1 319.405 1.658 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CC=CC[C@@H]2C)o1 ZINC000163754751 697334296 /nfs/dbraw/zinc/33/42/96/697334296.db2.gz NYCMIBJHAUPZIE-QWRGUYRKSA-N -1 1 313.375 1.947 20 0 DDADMM CN(C(=O)C1(C)CC1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984122374 697343054 /nfs/dbraw/zinc/34/30/54/697343054.db2.gz SJJATTFNJZICRB-GFCCVEGCSA-N -1 1 317.389 1.650 20 0 DDADMM CC[N@H+]1CCC[C@@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC000171865643 697363061 /nfs/dbraw/zinc/36/30/61/697363061.db2.gz IJBKDNPREYOJIE-LLVKDONJSA-N -1 1 300.362 1.137 20 0 DDADMM CC1(CNC(=O)c2ccc(Br)c([O-])c2)OCCO1 ZINC000179204585 697434841 /nfs/dbraw/zinc/43/48/41/697434841.db2.gz MVHTZOSRMSZQIG-UHFFFAOYSA-N -1 1 316.151 1.648 20 0 DDADMM C[C@@H](Cc1ccncc1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000749301116 700155622 /nfs/dbraw/zinc/15/56/22/700155622.db2.gz BODLEGVSWKVQEA-VIFPVBQESA-N -1 1 314.798 1.378 20 0 DDADMM Cn1nccc1CC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000186415192 697532948 /nfs/dbraw/zinc/53/29/48/697532948.db2.gz UPRLRPNBUPTKKY-UHFFFAOYSA-N -1 1 319.308 1.358 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]C[C@H]1CC[C@H](O)C1 ZINC000188337705 697557259 /nfs/dbraw/zinc/55/72/59/697557259.db2.gz SCQCLHPXFVZOGG-IUCAKERBSA-N -1 1 318.326 1.173 20 0 DDADMM C[C@@H](CC(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC000985806135 697577144 /nfs/dbraw/zinc/57/71/44/697577144.db2.gz JQRFQVQPIKFHAE-NSHDSACASA-N -1 1 321.425 1.369 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ccc(C)cn2)o1 ZINC000772263776 697616164 /nfs/dbraw/zinc/61/61/64/697616164.db2.gz RQDVRKYGBXHDOL-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM NC(=O)CO[N-]C(=O)CCc1nc2cc(Cl)ccc2s1 ZINC000772933458 697694806 /nfs/dbraw/zinc/69/48/06/697694806.db2.gz RPOMEIVJAITHTM-UHFFFAOYSA-N -1 1 313.766 1.415 20 0 DDADMM Cc1conc1CN[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC000986167900 697714895 /nfs/dbraw/zinc/71/48/95/697714895.db2.gz DMMKFIHVSGPJHD-RYUDHWBXSA-N -1 1 316.361 1.476 20 0 DDADMM CC(C)(C)SCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773158454 697720626 /nfs/dbraw/zinc/72/06/26/697720626.db2.gz PFEVXCIBZZNOTC-QMMMGPOBSA-N -1 1 301.441 1.348 20 0 DDADMM COc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)ccc1C ZINC000773165023 697722899 /nfs/dbraw/zinc/72/28/99/697722899.db2.gz YHKSZQOQURGQFT-NSHDSACASA-N -1 1 319.390 1.447 20 0 DDADMM O=C(COC(=O)c1ccc([O-])cc1F)N1CCOC[C@H]1C1CC1 ZINC000773576871 697783116 /nfs/dbraw/zinc/78/31/16/697783116.db2.gz DLQOWBSTYFHIKC-AWEZNQCLSA-N -1 1 323.320 1.326 20 0 DDADMM Cc1nc(CC(=O)OCCC[N-]C(=O)C(F)(F)F)c(C)s1 ZINC000774975383 697949317 /nfs/dbraw/zinc/94/93/17/697949317.db2.gz XXXIQACRXHVLRC-UHFFFAOYSA-N -1 1 324.324 1.914 20 0 DDADMM O=C([N-]CCCOC(=O)c1cnn2c1CCCC2)C(F)(F)F ZINC000774977136 697950178 /nfs/dbraw/zinc/95/01/78/697950178.db2.gz GKBDAOHYFPNFQV-UHFFFAOYSA-N -1 1 319.283 1.445 20 0 DDADMM CCc1ccnc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1 ZINC000775094864 697962789 /nfs/dbraw/zinc/96/27/89/697962789.db2.gz VZWZGDLFQLUIRK-UHFFFAOYSA-N -1 1 312.325 1.757 20 0 DDADMM O=C(C[C@@H]1Oc2ccccc2NC1=O)[N-]OC1CCOCC1 ZINC000775240861 697974270 /nfs/dbraw/zinc/97/42/70/697974270.db2.gz LDXUERYBHTZBCM-ZDUSSCGKSA-N -1 1 306.318 1.003 20 0 DDADMM O=C(C=Cc1ccccc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776562070 698117025 /nfs/dbraw/zinc/11/70/25/698117025.db2.gz AMUZNMNHQDRGEV-ZHRWSRJISA-N -1 1 301.375 1.530 20 0 DDADMM C[C@@H](Cc1ccccc1)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776563979 698117353 /nfs/dbraw/zinc/11/73/53/698117353.db2.gz LLBBFCCMWSMART-AAEUAGOBSA-N -1 1 317.418 1.695 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1CCCC1(C)C ZINC000777359890 698182198 /nfs/dbraw/zinc/18/21/98/698182198.db2.gz NMFYNGRKVNIGHM-GFCCVEGCSA-N -1 1 318.377 1.638 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987670535 698192120 /nfs/dbraw/zinc/19/21/20/698192120.db2.gz FKMWJRYSIWHARQ-KAZJJLNOSA-N -1 1 303.362 1.473 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987671977 698193070 /nfs/dbraw/zinc/19/30/70/698193070.db2.gz MWNDWVODJSDNDG-UTUOFQBUSA-N -1 1 305.378 1.553 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987772899 698225392 /nfs/dbraw/zinc/22/53/92/698225392.db2.gz BLQLWNNURPJDPW-QWHCGFSZSA-N -1 1 319.405 1.754 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2CC[C@@H](C)[C@@H](F)C2)cc1 ZINC000778230031 698251614 /nfs/dbraw/zinc/25/16/14/698251614.db2.gz BAZOQAMLTQXFEY-RISCZKNCSA-N -1 1 308.353 1.632 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](C)CNC(=O)OC(C)(C)C)c([O-])c1 ZINC000779019890 698391001 /nfs/dbraw/zinc/39/10/01/698391001.db2.gz ULCIXTDCDUUJJF-NSHDSACASA-N -1 1 323.393 1.986 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc([C@H](C)O)c(C)n2)c([O-])c1 ZINC000779045781 698392626 /nfs/dbraw/zinc/39/26/26/698392626.db2.gz OTIGGARYCOGJJP-NSHDSACASA-N -1 1 301.346 1.782 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@](O)(c3ccc(F)cc3)C2)c([O-])c1 ZINC000779054003 698393327 /nfs/dbraw/zinc/39/33/27/698393327.db2.gz ONAPZMJUSNURBP-KRWDZBQOSA-N -1 1 316.332 1.968 20 0 DDADMM Cc1cscc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000988511812 698394567 /nfs/dbraw/zinc/39/45/67/698394567.db2.gz JWQRPACPPHWHJD-NXEZZACHSA-N -1 1 321.406 1.273 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1C(C)(C)C1(C)C ZINC000988554779 698404557 /nfs/dbraw/zinc/40/45/57/698404557.db2.gz QKMSCWNZQJWHCU-ZJUUUORDSA-N -1 1 321.425 1.272 20 0 DDADMM Cc1sccc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000988796980 698467681 /nfs/dbraw/zinc/46/76/81/698467681.db2.gz HXXLCCIVMNDIQC-PSASIEDQSA-N -1 1 321.406 1.273 20 0 DDADMM CCOCCCN(C[C@H](C)C(=O)[O-])C(=O)c1cc(CC)[nH]n1 ZINC000262467413 698470996 /nfs/dbraw/zinc/47/09/96/698470996.db2.gz ZDMWIXZHKWCPEY-NSHDSACASA-N -1 1 311.382 1.562 20 0 DDADMM O=C([O-])[C@@H]1CCCN1C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000780344485 698509125 /nfs/dbraw/zinc/50/91/25/698509125.db2.gz KRXQFBOJYHQVKS-STQMWFEESA-N -1 1 321.377 1.675 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N(CC)CC ZINC000780486082 698523566 /nfs/dbraw/zinc/52/35/66/698523566.db2.gz PCGKIYJEYDUBNQ-LBPRGKRZSA-N -1 1 311.382 1.779 20 0 DDADMM O=C([N-][C@H]1CCOC1=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000272504467 698528653 /nfs/dbraw/zinc/52/86/53/698528653.db2.gz JJLNCWNQZCJZAS-VIFPVBQESA-N -1 1 300.217 1.118 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)C1CC1)c1ccc(F)c(F)c1F ZINC000305213497 698641643 /nfs/dbraw/zinc/64/16/43/698641643.db2.gz QRRRJDZTDAAVTO-VIFPVBQESA-N -1 1 309.309 1.543 20 0 DDADMM NC(=O)N1CCc2ccc([N-]S(=O)(=O)c3ccccc3)cc21 ZINC000782534128 698735059 /nfs/dbraw/zinc/73/50/59/698735059.db2.gz MFNMAGPDDOMADU-UHFFFAOYSA-N -1 1 317.370 1.929 20 0 DDADMM COC(=O)CCCOC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000783148828 698811449 /nfs/dbraw/zinc/81/14/49/698811449.db2.gz IWJICTUZZODKOR-UHFFFAOYSA-N -1 1 321.333 1.091 20 0 DDADMM [O-]c1cc2c(cc1-c1nc(COC[C@H]3CCOC3)no1)OCO2 ZINC000351394260 698878301 /nfs/dbraw/zinc/87/83/01/698878301.db2.gz CNNSXKTVXSXUOO-VIFPVBQESA-N -1 1 320.301 1.724 20 0 DDADMM Cc1ccn(C)c1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000784292158 698926508 /nfs/dbraw/zinc/92/65/08/698926508.db2.gz ZOAZUPAXJYXWEE-UHFFFAOYSA-N -1 1 300.314 1.447 20 0 DDADMM CC1(C)CC[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)O1 ZINC000785241033 699062276 /nfs/dbraw/zinc/06/22/76/699062276.db2.gz XZYVISHHSRPFOA-LBPRGKRZSA-N -1 1 305.330 1.444 20 0 DDADMM Cc1nnsc1C[N-]S(=O)(=O)c1cnc(Cl)c(F)c1 ZINC000384126060 699071322 /nfs/dbraw/zinc/07/13/22/699071322.db2.gz KBLLYOILTHBBSP-UHFFFAOYSA-N -1 1 322.774 1.513 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCCC1(O)CC1 ZINC000785666270 699089815 /nfs/dbraw/zinc/08/98/15/699089815.db2.gz IVCCMXFZTOCIKD-UHFFFAOYSA-N -1 1 305.377 1.191 20 0 DDADMM Cc1cc(S(=O)(=O)NCC2=CCN(C)CC2)ccc1C(=O)[O-] ZINC000392264225 699100220 /nfs/dbraw/zinc/10/02/20/699100220.db2.gz VBPRYZLZTVHBOZ-UHFFFAOYSA-N -1 1 324.402 1.233 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)C(F)(F)C1(O)CCCC1 ZINC000786198952 699133643 /nfs/dbraw/zinc/13/36/43/699133643.db2.gz XMUOLGAOFNDJJH-UHFFFAOYSA-N -1 1 315.324 1.026 20 0 DDADMM CC[C@H](O[C@H]1CCC[C@H](C)C1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000786351651 699141989 /nfs/dbraw/zinc/14/19/89/699141989.db2.gz MZQZPNKPXFVCPQ-SRVKXCTJSA-N -1 1 311.382 1.526 20 0 DDADMM Cc1cc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)oc1C ZINC000990839998 699170901 /nfs/dbraw/zinc/17/09/01/699170901.db2.gz ZFISFMGDGGRJAJ-UHFFFAOYSA-N -1 1 315.329 1.251 20 0 DDADMM O=C(NC1CN(C(=O)[C@H]2CCC[C@@H](F)C2)C1)c1ncccc1[O-] ZINC000990967878 699195340 /nfs/dbraw/zinc/19/53/40/699195340.db2.gz DCKFQNDNIAZEKC-WDEREUQCSA-N -1 1 321.352 1.256 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990975985 699197460 /nfs/dbraw/zinc/19/74/60/699197460.db2.gz VFTUDLQGGFXHEJ-LLVKDONJSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H](CC(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000990979018 699197911 /nfs/dbraw/zinc/19/79/11/699197911.db2.gz ROBLJZPXOQLLDS-SNVBAGLBSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990978334 699197985 /nfs/dbraw/zinc/19/79/85/699197985.db2.gz NFPQSZQAZMKNOM-GHMZBOCLSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000701207975 699226209 /nfs/dbraw/zinc/22/62/09/699226209.db2.gz IZLKBUYJZVRTCD-UVMAFCGOSA-N -1 1 322.789 1.964 20 0 DDADMM Cc1ccc(-c2cc(C(=O)O[C@@H](C)c3nnnn3C)[nH]n2)o1 ZINC000787649381 699227681 /nfs/dbraw/zinc/22/76/81/699227681.db2.gz CFHFBFOPJDKCNC-QMMMGPOBSA-N -1 1 302.294 1.420 20 0 DDADMM CC[C@H](C[C@H](C)O)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000716793917 699284967 /nfs/dbraw/zinc/28/49/67/699284967.db2.gz RHLVXGUHANPASZ-JGVFFNPUSA-N -1 1 310.778 1.702 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1CCCS(=O)(=O)C(C)(C)C ZINC000718737221 699294847 /nfs/dbraw/zinc/29/48/47/699294847.db2.gz YRGYXEMFAPDZAV-NEPJUHHUSA-N -1 1 305.440 1.775 20 0 DDADMM O=S(=O)(Cc1c(F)cccc1F)[N-]CC1OCCCO1 ZINC000788417010 699312604 /nfs/dbraw/zinc/31/26/04/699312604.db2.gz PBIONSSUIUHZLC-UHFFFAOYSA-N -1 1 307.318 1.147 20 0 DDADMM C[C@H]1CC[C@@H](COC(=O)c2sccc2[N-]S(C)(=O)=O)O1 ZINC000789031794 699357014 /nfs/dbraw/zinc/35/70/14/699357014.db2.gz NDMCSHDWHJJRKB-IUCAKERBSA-N -1 1 319.404 1.844 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc2ncsc2c1 ZINC000727850004 699428010 /nfs/dbraw/zinc/42/80/10/699428010.db2.gz QCLYGKVSJSXXLB-UHFFFAOYSA-N -1 1 316.342 1.175 20 0 DDADMM CCCNC(=O)[C@H](C)OC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000729425197 699478597 /nfs/dbraw/zinc/47/85/97/699478597.db2.gz VUDBYXZXORPGEN-NSHDSACASA-N -1 1 317.345 1.649 20 0 DDADMM O=C(CCSc1ccccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000731880718 699539613 /nfs/dbraw/zinc/53/96/13/699539613.db2.gz XEGKFTKRSIDBKW-GFCCVEGCSA-N -1 1 319.390 1.282 20 0 DDADMM Cc1ccc(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)cc1C ZINC000733135483 699580139 /nfs/dbraw/zinc/58/01/39/699580139.db2.gz CITYZLHBNHGJTH-UHFFFAOYSA-N -1 1 301.346 1.207 20 0 DDADMM COC[C@H](C)OC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000733705621 699612646 /nfs/dbraw/zinc/61/26/46/699612646.db2.gz FWWSNBCAHWQUJF-QMMMGPOBSA-N -1 1 300.380 1.723 20 0 DDADMM Cc1noc(C)c1CCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000791146729 699612913 /nfs/dbraw/zinc/61/29/13/699612913.db2.gz SXKRLVSUBYWKHV-NSHDSACASA-N -1 1 322.394 1.054 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CCC(C)(C)O2)co1 ZINC000792032168 699671115 /nfs/dbraw/zinc/67/11/15/699671115.db2.gz VCUGSEPWGHCPSG-JTQLQIEISA-N -1 1 317.363 1.302 20 0 DDADMM O=C(OC[C@@H]1CCCS(=O)(=O)C1)c1ccc([O-])cc1F ZINC000792087968 699674614 /nfs/dbraw/zinc/67/46/14/699674614.db2.gz ABCVORCDECUQOG-VIFPVBQESA-N -1 1 302.323 1.513 20 0 DDADMM COc1cc(C=O)cc(OC)c1OS(=O)(=O)c1c[n-]cn1 ZINC000736630153 699728570 /nfs/dbraw/zinc/72/85/70/699728570.db2.gz XJNINDBVPQIWTF-UHFFFAOYSA-N -1 1 312.303 1.007 20 0 DDADMM COc1ccccc1NCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000737151523 699737016 /nfs/dbraw/zinc/73/70/16/699737016.db2.gz FOVCRWSUFBTYLD-UHFFFAOYSA-N -1 1 316.365 1.026 20 0 DDADMM O=C(CC1OCCO1)Nc1nc(Br)ccc1[O-] ZINC000793942667 699788419 /nfs/dbraw/zinc/78/84/19/699788419.db2.gz SHMKJXJDVTVSSZ-UHFFFAOYSA-N -1 1 303.112 1.251 20 0 DDADMM CSCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000794181838 699796253 /nfs/dbraw/zinc/79/62/53/699796253.db2.gz XIBLLNNVTNUORJ-JTQLQIEISA-N -1 1 312.366 1.589 20 0 DDADMM CCC[C@H](Nc1nc2[nH][n-]cc-2c(=O)n1)[C@@H](O)c1ccccc1 ZINC000795210901 699852088 /nfs/dbraw/zinc/85/20/88/699852088.db2.gz ZIDGCVVZEDOILW-STQMWFEESA-N -1 1 313.361 1.746 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccccc1-c1ccnn1C ZINC000795253850 699853784 /nfs/dbraw/zinc/85/37/84/699853784.db2.gz ZZKOWXGMBGDCRB-UHFFFAOYSA-N -1 1 318.358 1.886 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]CCC(F)(F)F ZINC000742347568 699874815 /nfs/dbraw/zinc/87/48/15/699874815.db2.gz RPDSHAQUOWDIJW-UHFFFAOYSA-N -1 1 305.709 1.613 20 0 DDADMM Cc1nc(COC(=O)c2nn(-c3ccccc3F)cc2[O-])n[nH]1 ZINC000795584857 699877095 /nfs/dbraw/zinc/87/70/95/699877095.db2.gz QUSVCMSSZANXCD-UHFFFAOYSA-N -1 1 317.280 1.501 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2ncnn2C(C)(C)C)[n-]1 ZINC000796345769 699927846 /nfs/dbraw/zinc/92/78/46/699927846.db2.gz NAGYXDMYMFIVNE-UHFFFAOYSA-N -1 1 306.322 1.505 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)Nc2ccccc2)[n-]1 ZINC000796345893 699928453 /nfs/dbraw/zinc/92/84/53/699928453.db2.gz RAJNDJODNNCRRX-JTQLQIEISA-N -1 1 316.313 1.985 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H](C)S(C)(=O)=O)c1 ZINC000744790989 699961016 /nfs/dbraw/zinc/96/10/16/699961016.db2.gz PZTCDBJFYDVVQQ-JTQLQIEISA-N -1 1 314.359 1.114 20 0 DDADMM CN1CCC[C@@H](OC(=O)c2nn(-c3ccccc3)cc2[O-])C1=O ZINC000801202605 700277331 /nfs/dbraw/zinc/27/73/31/700277331.db2.gz HOMQDWGUTZOOJM-CYBMUJFWSA-N -1 1 315.329 1.356 20 0 DDADMM CCOC(=O)[C@@H](C)OC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801203448 700277428 /nfs/dbraw/zinc/27/74/28/700277428.db2.gz RSSAWUQOVKXGOG-SNVBAGLBSA-N -1 1 304.302 1.686 20 0 DDADMM CC[C@@H](C[C@H](C)CO)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000751466082 700292282 /nfs/dbraw/zinc/29/22/82/700292282.db2.gz CKTXZRHPJWZYAV-IUCAKERBSA-N -1 1 309.819 1.149 20 0 DDADMM CN(C(=O)COC(=O)c1ccc([O-])cc1F)c1nccs1 ZINC000801300465 700292414 /nfs/dbraw/zinc/29/24/14/700292414.db2.gz JEZORHQLWUQZEO-UHFFFAOYSA-N -1 1 310.306 1.808 20 0 DDADMM O=C(C[C@H]1CSCCS1)NC1(c2nn[n-]n2)CCCC1 ZINC000751977489 700332598 /nfs/dbraw/zinc/33/25/98/700332598.db2.gz GNCRBXOCEMRDGF-VIFPVBQESA-N -1 1 313.452 1.324 20 0 DDADMM O=C(NC[C@@H]1CCCCS1(=O)=O)c1c([O-])cccc1Cl ZINC000752837104 700391340 /nfs/dbraw/zinc/39/13/40/700391340.db2.gz BPQKIEPXHWIUNM-VIFPVBQESA-N -1 1 317.794 1.743 20 0 DDADMM O=C(C[C@@H]1CCCCO1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000755700817 700578129 /nfs/dbraw/zinc/57/81/29/700578129.db2.gz QQDJBMLYUHRQSY-GXTWGEPZSA-N -1 1 301.350 1.365 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1cc(C(N)=O)n(C)c1 ZINC000756966044 700650579 /nfs/dbraw/zinc/65/05/79/700650579.db2.gz UZQJGCLDGZYIAX-UHFFFAOYSA-N -1 1 317.349 1.304 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@]2(CCOC2)C1 ZINC000757013832 700652617 /nfs/dbraw/zinc/65/26/17/700652617.db2.gz WRVJXLXZQBEBML-MRXNPFEDSA-N -1 1 305.378 1.867 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=S)NC[C@H]2CCCCO2)n1 ZINC000758238629 700693214 /nfs/dbraw/zinc/69/32/14/700693214.db2.gz HTYHFZOVPPUMRU-SNVBAGLBSA-N -1 1 311.411 1.154 20 0 DDADMM COc1ccccc1N1C[C@@H](C(=O)[N-]OCC(F)F)CC1=O ZINC000759331028 700746763 /nfs/dbraw/zinc/74/67/63/700746763.db2.gz LVGFYCPKNXBUMW-VIFPVBQESA-N -1 1 314.288 1.361 20 0 DDADMM CCOc1nc(C(F)(F)F)ccc1C(=O)[N-]N1CCCC1=O ZINC000760495534 700811464 /nfs/dbraw/zinc/81/14/64/700811464.db2.gz GOQCOOMJYYPOFV-UHFFFAOYSA-N -1 1 317.267 1.766 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@H](O)c1cccnc1 ZINC000763225190 700929754 /nfs/dbraw/zinc/92/97/54/700929754.db2.gz PODSJJZMJXCDFQ-OAHLLOKOSA-N -1 1 310.313 1.849 20 0 DDADMM Cc1cccc(OCCCCC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765456787 701013612 /nfs/dbraw/zinc/01/36/12/701013612.db2.gz BRLKJOSVTNUQMT-UHFFFAOYSA-N -1 1 319.361 1.709 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2C[C@@H]2c2cccc(Cl)c2)nc1=O ZINC000765463226 701013813 /nfs/dbraw/zinc/01/38/13/701013813.db2.gz SQVQLCBKVLYRAG-GHMZBOCLSA-N -1 1 307.737 1.609 20 0 DDADMM CC[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(OC)cc1 ZINC000765502875 701016291 /nfs/dbraw/zinc/01/62/91/701016291.db2.gz LDGVAZQZEDCWGS-LBPRGKRZSA-N -1 1 305.334 1.354 20 0 DDADMM COc1ccc(C[C@@H](C)C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765498831 701016416 /nfs/dbraw/zinc/01/64/16/701016416.db2.gz XXJRWWWUIDSHQD-SNVBAGLBSA-N -1 1 305.334 1.039 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2ccn(C)n2)c(F)c1 ZINC000765733362 701025802 /nfs/dbraw/zinc/02/58/02/701025802.db2.gz BEXAPJJVMBTHDE-UHFFFAOYSA-N -1 1 317.317 1.185 20 0 DDADMM O=C1CC[C@@H]2CN(Cc3cc(=O)oc4cc([O-])ccc34)CCN12 ZINC000766272668 701044708 /nfs/dbraw/zinc/04/47/08/701044708.db2.gz QRXZIHXNWYZQIQ-GFCCVEGCSA-N -1 1 314.341 1.305 20 0 DDADMM COCCC(=O)CSc1nc([O-])cc(=O)n1C1CCCC1 ZINC000767053171 701080608 /nfs/dbraw/zinc/08/06/08/701080608.db2.gz SICDLNAZJAUKHS-UHFFFAOYSA-N -1 1 312.391 1.762 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCCc2sccc21 ZINC000767117377 701084765 /nfs/dbraw/zinc/08/47/65/701084765.db2.gz HNDZOZCVHULRDP-NSHDSACASA-N -1 1 319.386 1.182 20 0 DDADMM C[C@@H](Oc1cccc2ccccc21)C(=O)NN1CC(=O)[N-]C1=O ZINC000767632322 701124238 /nfs/dbraw/zinc/12/42/38/701124238.db2.gz YKGKKTLCHXPCIL-SNVBAGLBSA-N -1 1 313.313 1.190 20 0 DDADMM C[C@@H]1CN=C(N2CCN(C(=O)c3ccc([O-])c(F)c3)CC2)S1 ZINC000768189377 701162536 /nfs/dbraw/zinc/16/25/36/701162536.db2.gz YRLVVSACMBYVAZ-SNVBAGLBSA-N -1 1 323.393 1.780 20 0 DDADMM Cc1nc(-c2ccc(NCc3cnn(C)n3)nc2)[n-]c(=O)c1C ZINC000804849585 701216274 /nfs/dbraw/zinc/21/62/74/701216274.db2.gz QIOQDTUHLAGHEB-UHFFFAOYSA-N -1 1 311.349 1.602 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2cccnc2Cl)o1 ZINC000769909569 701260956 /nfs/dbraw/zinc/26/09/56/701260956.db2.gz VQKXJOBVDYNVHE-LURJTMIESA-N -1 1 302.743 1.466 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2nncn2C)c(=O)[n-]1 ZINC000770848652 701297626 /nfs/dbraw/zinc/29/76/26/701297626.db2.gz OLFVHEPTLMQKCL-UHFFFAOYSA-N -1 1 323.378 1.017 20 0 DDADMM C/C=C\C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccc1[O-] ZINC000770856013 701297886 /nfs/dbraw/zinc/29/78/86/701297886.db2.gz UTGHSNWBUWVRNP-DJWKRKHSSA-N -1 1 310.375 1.691 20 0 DDADMM O=C(CN1CCSC[C@H]1CCO)[N-]OCc1ccccc1 ZINC000772200896 701345717 /nfs/dbraw/zinc/34/57/17/701345717.db2.gz QTPUNKZFKBHTKN-CQSZACIVSA-N -1 1 310.419 1.034 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC1(F)F)c1cccc2nonc21 ZINC000805143423 701369251 /nfs/dbraw/zinc/36/92/51/701369251.db2.gz KAOAAHVBCZCHSJ-SECBINFHSA-N -1 1 303.290 1.689 20 0 DDADMM CCCOc1ccc(CNC(=O)COC(=O)c2cn[n-]n2)cc1 ZINC000805604454 701397883 /nfs/dbraw/zinc/39/78/83/701397883.db2.gz JRGPXVAKEGPMKE-UHFFFAOYSA-N -1 1 318.333 1.067 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3CCC[C@H](O)C3)ccnc1-2 ZINC000806488240 701437549 /nfs/dbraw/zinc/43/75/49/701437549.db2.gz KBQQIQYQBAQCNR-QWRGUYRKSA-N -1 1 303.366 1.014 20 0 DDADMM CC(C)c1cc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)on1 ZINC000806493612 701438130 /nfs/dbraw/zinc/43/81/30/701438130.db2.gz ZTAYPCMGILFKPF-UHFFFAOYSA-N -1 1 314.349 1.775 20 0 DDADMM CCc1noc(CN2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000810071409 701722727 /nfs/dbraw/zinc/72/27/27/701722727.db2.gz DHJJLDKSGOZQPJ-SECBINFHSA-N -1 1 320.315 1.523 20 0 DDADMM O=C(Nc1ccc(-c2ccccn2)cc1)NN1CC(=O)[N-]C1=O ZINC000810333400 701755500 /nfs/dbraw/zinc/75/55/00/701755500.db2.gz ZFALZJRTYOUSGE-UHFFFAOYSA-N -1 1 311.301 1.337 20 0 DDADMM CC/C=C(\F)C(=O)N1CCC(c2nc(C(=O)OCC)n[n-]2)CC1 ZINC000867844229 701789929 /nfs/dbraw/zinc/78/99/29/701789929.db2.gz VPGAJUYREBLPIW-WZUFQYTHSA-N -1 1 324.356 1.951 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2C[C@H]2C2CCOCC2)c1Cl ZINC000867882481 701809523 /nfs/dbraw/zinc/80/95/23/701809523.db2.gz XPRKCKJYDULVDH-VHSXEESVSA-N -1 1 319.814 1.167 20 0 DDADMM CC(C)C[C@H](C)OC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000867896817 701815761 /nfs/dbraw/zinc/81/57/61/701815761.db2.gz XPBGWLTWEZFGTB-JTQLQIEISA-N -1 1 309.366 1.916 20 0 DDADMM CC[C@H](C[C@@H](C)O)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000867903191 701818412 /nfs/dbraw/zinc/81/84/12/701818412.db2.gz BMRIEZRXUJIFMJ-RNFRBKRXSA-N -1 1 315.317 1.256 20 0 DDADMM O=C([N-]OCC1CC1)[C@@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC000839777998 701823300 /nfs/dbraw/zinc/82/33/00/701823300.db2.gz BZBGYNMMDMHSCT-CQSZACIVSA-N -1 1 320.364 1.675 20 0 DDADMM CC(C)(C)[C@@H]1CCC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000839889150 701856354 /nfs/dbraw/zinc/85/63/54/701856354.db2.gz CPCLWOTWIFBXMJ-VXGBXAGGSA-N -1 1 307.394 1.258 20 0 DDADMM C[C@@H]1CCC[C@@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868220362 702007330 /nfs/dbraw/zinc/00/73/30/702007330.db2.gz DEPVQKHVYLDYAI-KOLCDFICSA-N -1 1 306.366 1.243 20 0 DDADMM C[C@@H](CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C(C)(C)C ZINC000868262181 702027582 /nfs/dbraw/zinc/02/75/82/702027582.db2.gz ZGWMCNWFBXUUFI-JTQLQIEISA-N -1 1 322.409 1.736 20 0 DDADMM CC1(C)C(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1(C)C ZINC000868334142 702068939 /nfs/dbraw/zinc/06/89/39/702068939.db2.gz STTHRBAKPOPRFK-UHFFFAOYSA-N -1 1 320.393 1.489 20 0 DDADMM CCOC(CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)OCC ZINC000816498409 702097245 /nfs/dbraw/zinc/09/72/45/702097245.db2.gz UDMPCNSRMIWERE-SNVBAGLBSA-N -1 1 313.354 1.270 20 0 DDADMM CCCC1(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000868402131 702109321 /nfs/dbraw/zinc/10/93/21/702109321.db2.gz YZKMEOPKFBZJBL-UHFFFAOYSA-N -1 1 320.393 1.634 20 0 DDADMM CC(C)OCCO[N-]C(=O)c1cc[nH]c(=O)c1Br ZINC000812805294 702243388 /nfs/dbraw/zinc/24/33/88/702243388.db2.gz JJBUFGQOQNGUGN-UHFFFAOYSA-N -1 1 319.155 1.636 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000812806262 702244392 /nfs/dbraw/zinc/24/43/92/702244392.db2.gz VNGKJVWFSOBYPJ-CYBMUJFWSA-N -1 1 312.410 1.640 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC1CC2(CCC2)C1 ZINC000868749754 702300785 /nfs/dbraw/zinc/30/07/85/702300785.db2.gz RYPMCLWSEXKQFF-UHFFFAOYSA-N -1 1 318.377 1.387 20 0 DDADMM O=C(Cc1ccon1)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000813283407 702318215 /nfs/dbraw/zinc/31/82/15/702318215.db2.gz QYLYZEQINARGNT-SECBINFHSA-N -1 1 319.283 1.134 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)[N-]OCC3CC3)CC2=O)cc1F ZINC000817339348 702345589 /nfs/dbraw/zinc/34/55/89/702345589.db2.gz FLYRGBNYVZRXEV-GFCCVEGCSA-N -1 1 306.337 1.945 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCCN(C)C(=O)OC)[n-]1 ZINC000841221730 702393110 /nfs/dbraw/zinc/39/31/10/702393110.db2.gz JAJXLBMUNOUCAY-UHFFFAOYSA-N -1 1 312.326 1.003 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCCN(C)C(=O)OC)n1 ZINC000841221730 702393113 /nfs/dbraw/zinc/39/31/13/702393113.db2.gz JAJXLBMUNOUCAY-UHFFFAOYSA-N -1 1 312.326 1.003 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2c(C)cccc2C1 ZINC000841389947 702432639 /nfs/dbraw/zinc/43/26/39/702432639.db2.gz MLZSWZJGMIOCCY-UHFFFAOYSA-N -1 1 315.329 1.403 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3C[C@@H]4CCC[C@H]4O3)ccnc1-2 ZINC000879415959 706674144 /nfs/dbraw/zinc/67/41/44/706674144.db2.gz JKDCNGSQINITRP-COPLHBTASA-N -1 1 315.377 1.421 20 0 DDADMM C[C@@H]1CCC[C@@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869414086 702599593 /nfs/dbraw/zinc/59/95/93/702599593.db2.gz YPBGFLAPDKCZJD-NXEZZACHSA-N -1 1 323.311 1.994 20 0 DDADMM COc1ncc(CCNC(=O)Cc2ccc([O-])c(Cl)c2)cn1 ZINC000869484064 702621962 /nfs/dbraw/zinc/62/19/62/702621962.db2.gz YZTWXBFXXXTXSK-UHFFFAOYSA-N -1 1 321.764 1.746 20 0 DDADMM CSC1(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)CC1 ZINC000869484730 702622051 /nfs/dbraw/zinc/62/20/51/702622051.db2.gz YNSBFCWIPDSSNM-UHFFFAOYSA-N -1 1 313.297 1.063 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1cccc(OC)c1 ZINC000866390336 706688020 /nfs/dbraw/zinc/68/80/20/706688020.db2.gz MYXSWWOWIKWEOT-UHFFFAOYSA-N -1 1 320.436 1.537 20 0 DDADMM CC[C@@H](COC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C)OC ZINC000842069720 702666812 /nfs/dbraw/zinc/66/68/12/702666812.db2.gz AFROGRIWXPLBGV-LBPRGKRZSA-N -1 1 321.377 1.953 20 0 DDADMM CC[C@H](C(=O)Nc1nc(Br)ccc1[O-])[C@@H](C)O ZINC000842243463 702688573 /nfs/dbraw/zinc/68/85/73/702688573.db2.gz ZAEPHNFPVITHGV-RQJHMYQMSA-N -1 1 303.156 1.895 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H](C)CC(F)F)co1 ZINC000842439657 702721345 /nfs/dbraw/zinc/72/13/45/702721345.db2.gz RQFFRWCRKSFDOP-ZETCQYMHSA-N -1 1 311.306 1.636 20 0 DDADMM NCC(F)(F)C[N-]S(=O)(=O)/C=C\c1ccccc1Cl ZINC000842768193 702770449 /nfs/dbraw/zinc/77/04/49/702770449.db2.gz PNADDXLQHSKPSA-WAYWQWQTSA-N -1 1 310.753 1.824 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C[C@@H]2C=CCC2)CCC1 ZINC000843018211 702804382 /nfs/dbraw/zinc/80/43/82/702804382.db2.gz PIZXOHGHANSZDP-GFCCVEGCSA-N -1 1 300.424 1.273 20 0 DDADMM CC(C)(C)CC[C@@H](NC(=O)c1[nH]nc2c1CNCC2)C(=O)[O-] ZINC000844935828 703087156 /nfs/dbraw/zinc/08/71/56/703087156.db2.gz LZBLZYJXFOELTR-LLVKDONJSA-N -1 1 308.382 1.065 20 0 DDADMM CC(C)n1cnc(NC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC000846349146 703268546 /nfs/dbraw/zinc/26/85/46/703268546.db2.gz QVUWEDPSRXYCKB-UHFFFAOYSA-N -1 1 303.351 1.443 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@@H](C)CO1 ZINC000846435750 703275931 /nfs/dbraw/zinc/27/59/31/703275931.db2.gz ZJGPVTNAIWMADE-ONGXEEELSA-N -1 1 306.391 1.658 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)[C@H]2CCC(=O)O2)CC1 ZINC000866596789 706740784 /nfs/dbraw/zinc/74/07/84/706740784.db2.gz JYGXEAULCQYOBV-CQSZACIVSA-N -1 1 317.341 1.519 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(F)c(F)c1 ZINC000866598952 706741154 /nfs/dbraw/zinc/74/11/54/706741154.db2.gz WBDBKACRCIDEOG-GOSISDBHSA-N -1 1 312.363 1.417 20 0 DDADMM CC[C@@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CCCCO1 ZINC000847178032 703384324 /nfs/dbraw/zinc/38/43/24/703384324.db2.gz NJTBYJFVQGEJMQ-OCCSQVGLSA-N -1 1 317.393 1.811 20 0 DDADMM Cn1ncc(CCC[N-]S(=O)(=O)c2sccc2Cl)n1 ZINC000831627161 706742047 /nfs/dbraw/zinc/74/20/47/706742047.db2.gz FCOLKYJUPOSJLR-UHFFFAOYSA-N -1 1 320.827 1.441 20 0 DDADMM CCCCOC(=O)C(C)(C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000848327707 703539403 /nfs/dbraw/zinc/53/94/03/703539403.db2.gz QYXPGDUADNZZIF-UHFFFAOYSA-N -1 1 307.346 1.813 20 0 DDADMM CCCCOC(=O)C(C)(C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000848504146 703558527 /nfs/dbraw/zinc/55/85/27/703558527.db2.gz CDAVTPAIISSXRI-UHFFFAOYSA-N -1 1 323.802 1.463 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@H]1C[C@H](C)OC1=O)C1CCCCC1 ZINC000849394653 703643049 /nfs/dbraw/zinc/64/30/49/703643049.db2.gz XTQWOQDZUHSCSO-WCFLWFBJSA-N -1 1 319.423 1.205 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(OC)OC ZINC000849407365 703644751 /nfs/dbraw/zinc/64/47/51/703644751.db2.gz JPWTXYUJXLERMW-NSHDSACASA-N -1 1 323.361 1.949 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Br)o1)C1(CO)CC1 ZINC000849434014 703647527 /nfs/dbraw/zinc/64/75/27/703647527.db2.gz SCNVRWLNHLAUGZ-ZETCQYMHSA-N -1 1 324.196 1.481 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H]2COC(C)(C)C2)c1 ZINC000849810799 703680358 /nfs/dbraw/zinc/68/03/58/703680358.db2.gz CNZVHLVNWNFXCP-QKVFXAPYSA-N -1 1 312.387 1.914 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2ccc(C)nc2Cl)on1 ZINC000851812885 703862562 /nfs/dbraw/zinc/86/25/62/703862562.db2.gz MYCNWOJOCHATBG-UHFFFAOYSA-N -1 1 317.754 1.519 20 0 DDADMM CCCN(C)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000851882758 703877572 /nfs/dbraw/zinc/87/75/72/703877572.db2.gz FWMNCUHZDDLQTF-ZJUUUORDSA-N -1 1 309.332 1.837 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccc(C(F)F)o1)OCC1CC1 ZINC000866707393 706771282 /nfs/dbraw/zinc/77/12/82/706771282.db2.gz NLIIYYUIMXLNOP-UHFFFAOYSA-N -1 1 309.290 1.449 20 0 DDADMM O=C(c1c[nH]cn1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870161776 703939291 /nfs/dbraw/zinc/93/92/91/703939291.db2.gz WSDOVLHKNPCFRP-UHFFFAOYSA-N -1 1 320.780 1.727 20 0 DDADMM C[C@H](C(=O)OC(C)(C)C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879762967 706784093 /nfs/dbraw/zinc/78/40/93/706784093.db2.gz BDJSUMHUPNEVBA-NOZJJQNGSA-N -1 1 324.343 1.860 20 0 DDADMM CCn1nc(C)c(C(=O)[N-]c2nc(C(C)(C)OC)ns2)n1 ZINC000870622194 704089026 /nfs/dbraw/zinc/08/90/26/704089026.db2.gz NFQOSOQZEVXMGJ-UHFFFAOYSA-N -1 1 310.383 1.592 20 0 DDADMM Cc1cc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)n(C)n1 ZINC000852756522 704115837 /nfs/dbraw/zinc/11/58/37/704115837.db2.gz LZZUPVWCBCJITJ-SKDRFNHKSA-N -1 1 318.343 1.617 20 0 DDADMM Brc1ccc(Oc2nccnc2-c2nn[n-]n2)cn1 ZINC000819688557 704158966 /nfs/dbraw/zinc/15/89/66/704158966.db2.gz JRRGFDTUDSGFMX-UHFFFAOYSA-N -1 1 320.110 1.607 20 0 DDADMM O=C(c1ccc(Cl)nn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000819966680 704193667 /nfs/dbraw/zinc/19/36/67/704193667.db2.gz BUTJXHWLGKAWFB-ZETCQYMHSA-N -1 1 309.713 1.238 20 0 DDADMM O=C(c1cc2occc2[nH]1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000819970838 704194671 /nfs/dbraw/zinc/19/46/71/704194671.db2.gz UQVGPNGARSOVSW-QMMMGPOBSA-N -1 1 302.290 1.869 20 0 DDADMM CN1C(=O)[C@H]2CN(C(=O)c3ccc4ccccc4c3[O-])C[C@H]2C1=O ZINC000871524525 704308026 /nfs/dbraw/zinc/30/80/26/704308026.db2.gz FAPDYDATBZMCLW-OKILXGFUSA-N -1 1 324.336 1.232 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2noc(C)n2)c1 ZINC000820864572 704340184 /nfs/dbraw/zinc/34/01/84/704340184.db2.gz AVBCFESUEDLNGU-PVBMHHQDSA-N -1 1 324.358 1.799 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H]2CC[C@@H](OC)C2)c1 ZINC000821005813 704358292 /nfs/dbraw/zinc/35/82/92/704358292.db2.gz JJEQQSSNJUOJQI-RRMDADRESA-N -1 1 312.387 1.914 20 0 DDADMM C[C@@H]1CO[C@@H](C)CN1CCNC(=O)c1c([O-])cccc1Cl ZINC000855412349 704483900 /nfs/dbraw/zinc/48/39/00/704483900.db2.gz TUSHFIRTJPTEPQ-MNOVXSKESA-N -1 1 312.797 1.885 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC[C@@H]2NC(=O)CC[C@H]2C1 ZINC000855682575 704497232 /nfs/dbraw/zinc/49/72/32/704497232.db2.gz ZLYWUKGGKWUAHL-ONGXEEELSA-N -1 1 308.765 1.786 20 0 DDADMM C[C@@H]1CCN(CCS(=O)(=O)C2CCCCC2)C[C@@H]1C(=O)[O-] ZINC000857084020 704550090 /nfs/dbraw/zinc/55/00/90/704550090.db2.gz LUZRQTQHZLSDDH-OCCSQVGLSA-N -1 1 317.451 1.777 20 0 DDADMM CN(Cc1n[n-]c(=O)o1)C(=O)c1cccc(C(F)(F)F)c1O ZINC000857504623 704596683 /nfs/dbraw/zinc/59/66/83/704596683.db2.gz ZVAMWFDYPMGDTN-UHFFFAOYSA-N -1 1 317.223 1.772 20 0 DDADMM Cc1nc(N)sc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000832053779 706833083 /nfs/dbraw/zinc/83/30/83/706833083.db2.gz CTXQEAIDWRIUBN-UHFFFAOYSA-N -1 1 309.351 1.142 20 0 DDADMM CC(C)CNC(=O)C1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858337159 704696690 /nfs/dbraw/zinc/69/66/90/704696690.db2.gz DHIRVEMVUUVHOL-UHFFFAOYSA-N -1 1 312.801 1.824 20 0 DDADMM COc1cccnc1N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000858550034 704725990 /nfs/dbraw/zinc/72/59/90/704725990.db2.gz HLMAYZVSLIPLQM-SNVBAGLBSA-N -1 1 317.311 1.985 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCCC1)c1ccc(F)nc1F ZINC000867284067 706947760 /nfs/dbraw/zinc/94/77/60/706947760.db2.gz DWFCDKIRGSNWNA-SECBINFHSA-N -1 1 306.334 1.189 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@H]2CCCOC2)c1Cl ZINC000859253466 704836050 /nfs/dbraw/zinc/83/60/50/704836050.db2.gz WLYBTGOHWXNGAQ-SECBINFHSA-N -1 1 307.803 1.169 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(F)c2ncccc2c1)c1nn[n-]n1 ZINC000821895650 704837255 /nfs/dbraw/zinc/83/72/55/704837255.db2.gz WROUITAAWOLTAC-SECBINFHSA-N -1 1 314.324 1.763 20 0 DDADMM CCc1noc(COCC(=O)Nc2ccc([O-])c(F)c2F)n1 ZINC000821980588 704853358 /nfs/dbraw/zinc/85/33/58/704853358.db2.gz QDRVQQGRJMRDHI-UHFFFAOYSA-N -1 1 313.260 1.771 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(O)(c2ccccc2)CC1 ZINC000821997316 704856357 /nfs/dbraw/zinc/85/63/57/704856357.db2.gz OHQJAOKXFTUXAU-UHFFFAOYSA-N -1 1 315.377 1.033 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CCOCC2)c1ccc(F)nc1F ZINC000867355644 706972756 /nfs/dbraw/zinc/97/27/56/706972756.db2.gz ZFXUABNBOVLRBF-SECBINFHSA-N -1 1 304.318 1.207 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1C[C@@H](C)n2ccnc21 ZINC000867357547 706973568 /nfs/dbraw/zinc/97/35/68/706973568.db2.gz MTLDIJVABBTACT-ZJUUUORDSA-N -1 1 320.440 1.231 20 0 DDADMM O=C(C[C@@H]1CCCS1(=O)=O)Nc1nc(Cl)ccc1[O-] ZINC000822352832 704925822 /nfs/dbraw/zinc/92/58/22/704925822.db2.gz CPQVFSQZZBDXHB-ZETCQYMHSA-N -1 1 304.755 1.346 20 0 DDADMM CC(C)[C@@]1(C)C[C@@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867386181 706983708 /nfs/dbraw/zinc/98/37/08/706983708.db2.gz RNSYIZHZSXWOTJ-OIBJUYFYSA-N -1 1 322.228 1.885 20 0 DDADMM Cn1cnc2cc(NC(=O)c3ccc(-c4nnn[n-]4)nc3)ccc21 ZINC000822699351 705011551 /nfs/dbraw/zinc/01/15/51/705011551.db2.gz XLAZGCSIYHYFHG-UHFFFAOYSA-N -1 1 320.316 1.401 20 0 DDADMM Cn1cnc2cc(NC(=O)c3ccc(-c4nn[n-]n4)nc3)ccc21 ZINC000822699351 705011557 /nfs/dbraw/zinc/01/15/57/705011557.db2.gz XLAZGCSIYHYFHG-UHFFFAOYSA-N -1 1 320.316 1.401 20 0 DDADMM COC(=O)c1coc(C[N-]S(=O)(=O)c2cc(C)ns2)c1 ZINC000867664836 707067379 /nfs/dbraw/zinc/06/73/79/707067379.db2.gz IYHBPGUJYRPAJM-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM Cc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(C(C)C)n1 ZINC000823190010 705167137 /nfs/dbraw/zinc/16/71/37/705167137.db2.gz BJKSNAYNCPKVCJ-LBPRGKRZSA-N -1 1 316.365 1.240 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@@H]1CCc2nnnn2CC1 ZINC000834822045 707130086 /nfs/dbraw/zinc/13/00/86/707130086.db2.gz JJOLKJYCBXIGEX-SNVBAGLBSA-N -1 1 321.768 1.301 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@H]1CCc2nncn2C1 ZINC000834822449 707130200 /nfs/dbraw/zinc/13/02/00/707130200.db2.gz OEBRZOYNLXBNIH-JTQLQIEISA-N -1 1 306.753 1.516 20 0 DDADMM O=C(NC1CC(F)(F)C1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875533906 705421598 /nfs/dbraw/zinc/42/15/98/705421598.db2.gz WGTIJUZFJJKPJE-UHFFFAOYSA-N -1 1 315.242 1.104 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3CC(C)(C)OC3(C)C)ccnc1-2 ZINC000875633280 705456876 /nfs/dbraw/zinc/45/68/76/705456876.db2.gz LZPVHYRSUKIBBT-NSHDSACASA-N -1 1 302.378 1.874 20 0 DDADMM COC(=O)[C@H]1C[C@H](NC(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000875755733 705501707 /nfs/dbraw/zinc/50/17/07/705501707.db2.gz VXPGCEMUYNOCCX-MGCOHNPYSA-N -1 1 312.753 1.796 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@H]2C)n[n-]1 ZINC000824888203 705552395 /nfs/dbraw/zinc/55/23/95/705552395.db2.gz XUYRZJFLXLKKHT-WTPMCQDGSA-N -1 1 320.393 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@H]2C)[n-]1 ZINC000824888203 705552398 /nfs/dbraw/zinc/55/23/98/705552398.db2.gz XUYRZJFLXLKKHT-WTPMCQDGSA-N -1 1 320.393 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@@H]3C[C@@H]3C[C@H]2C)n1 ZINC000824888203 705552401 /nfs/dbraw/zinc/55/24/01/705552401.db2.gz XUYRZJFLXLKKHT-WTPMCQDGSA-N -1 1 320.393 1.841 20 0 DDADMM COc1cc2[n-]cc(C(=O)NOC(C)C)c(=O)c2c(OC)c1 ZINC000861878832 705593355 /nfs/dbraw/zinc/59/33/55/705593355.db2.gz AMYWZLHZSJMSIB-UHFFFAOYSA-N -1 1 306.318 1.615 20 0 DDADMM O=C(NC[C@@H]1COCO1)c1cc(Br)ccc1[O-] ZINC000861964672 705615172 /nfs/dbraw/zinc/61/51/72/705615172.db2.gz JNBKWGNOUNAARC-MRVPVSSYSA-N -1 1 302.124 1.257 20 0 DDADMM CCCN(CC1CC1)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825308094 705642928 /nfs/dbraw/zinc/64/29/28/705642928.db2.gz UYDBFDRCLSOQML-UHFFFAOYSA-N -1 1 304.354 1.354 20 0 DDADMM CCCN(CC1CC1)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825308094 705642931 /nfs/dbraw/zinc/64/29/31/705642931.db2.gz UYDBFDRCLSOQML-UHFFFAOYSA-N -1 1 304.354 1.354 20 0 DDADMM CC1CCC(NC(=O)CN(C)CC(C)(C)CNC(=O)[O-])CC1 ZINC000825880283 705740383 /nfs/dbraw/zinc/74/03/83/705740383.db2.gz KLOUTMPVNQHJCO-UHFFFAOYSA-N -1 1 313.442 1.907 20 0 DDADMM COC(=O)C1=CC[C@@H](NC(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC000862663575 705768556 /nfs/dbraw/zinc/76/85/56/705768556.db2.gz GSBVICONSGWWFI-SECBINFHSA-N -1 1 323.374 1.204 20 0 DDADMM Cc1cccc2nc(CNc3ccc(-c4nnn[n-]4)nn3)cn21 ZINC000826304949 705788505 /nfs/dbraw/zinc/78/85/05/705788505.db2.gz FNWYWBVBMAXVID-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM Cc1cccc2nc(CNc3ccc(-c4nn[n-]n4)nn3)cn21 ZINC000826304949 705788506 /nfs/dbraw/zinc/78/85/06/705788506.db2.gz FNWYWBVBMAXVID-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CC3CCC2CC3)c1-c1nnn[n-]1 ZINC000826346498 705794834 /nfs/dbraw/zinc/79/48/34/705794834.db2.gz NWHDVDKVNBTLLT-ILDUYXDCSA-N -1 1 316.365 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CC3CCC2CC3)c1-c1nn[n-]n1 ZINC000826346498 705794836 /nfs/dbraw/zinc/79/48/36/705794836.db2.gz NWHDVDKVNBTLLT-ILDUYXDCSA-N -1 1 316.365 1.401 20 0 DDADMM O=C(Nc1cccc2c1OCO2)c1ccc(-c2nnn[n-]2)nc1 ZINC000826457396 705801991 /nfs/dbraw/zinc/80/19/91/705801991.db2.gz ZNQKVAIGENNZHA-UHFFFAOYSA-N -1 1 310.273 1.243 20 0 DDADMM O=C(Nc1cccc2c1OCO2)c1ccc(-c2nn[n-]n2)nc1 ZINC000826457396 705801993 /nfs/dbraw/zinc/80/19/93/705801993.db2.gz ZNQKVAIGENNZHA-UHFFFAOYSA-N -1 1 310.273 1.243 20 0 DDADMM CSCC[C@H](NC(=O)c1cccc2c1OCC2)c1nn[n-]n1 ZINC000826928348 705892853 /nfs/dbraw/zinc/89/28/53/705892853.db2.gz NDVAQSXRXFAAAD-NSHDSACASA-N -1 1 319.390 1.359 20 0 DDADMM C[C@H]1CN(CCS(=O)(=O)C2CCCCC2)CC[C@H]1C(=O)[O-] ZINC000863531751 705949665 /nfs/dbraw/zinc/94/96/65/705949665.db2.gz CNTGCMGENBYXJX-GXTWGEPZSA-N -1 1 317.451 1.777 20 0 DDADMM C[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C2(CCC2)CO1 ZINC000864059533 706055436 /nfs/dbraw/zinc/05/54/36/706055436.db2.gz LCNNIVIBCQUFCE-SNVBAGLBSA-N -1 1 303.362 1.843 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(C)(C)[C@@H]1C(=O)Nc1nnn[n-]1 ZINC000828074897 706114007 /nfs/dbraw/zinc/11/40/07/706114007.db2.gz YWUYVFDPEYRCAG-QMMMGPOBSA-N -1 1 310.358 1.174 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(C)(C)[C@@H]1C(=O)Nc1nn[n-]n1 ZINC000828074897 706114010 /nfs/dbraw/zinc/11/40/10/706114010.db2.gz YWUYVFDPEYRCAG-QMMMGPOBSA-N -1 1 310.358 1.174 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cccnc1F)C(C)(C)C ZINC000881903407 707416851 /nfs/dbraw/zinc/41/68/51/707416851.db2.gz NUSOBIREKCWOSN-SECBINFHSA-N -1 1 304.343 1.087 20 0 DDADMM CO[C@]12CCC[C@@]1(C(=O)Nc1n[n-]c(C(F)(F)F)n1)CCO2 ZINC000878094384 706291138 /nfs/dbraw/zinc/29/11/38/706291138.db2.gz SXXVAXKNSZATLS-QWRGUYRKSA-N -1 1 320.271 1.695 20 0 DDADMM Cc1nc(SC(F)(F)F)sc1CC(=O)[N-]OCCO ZINC000829758734 706391313 /nfs/dbraw/zinc/39/13/13/706391313.db2.gz PFZIJPLKFVIMLD-UHFFFAOYSA-N -1 1 316.326 1.646 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC[C@H]1C ZINC000829864665 706410186 /nfs/dbraw/zinc/41/01/86/706410186.db2.gz UVNKBSNOXOXEBY-MWLCHTKSSA-N -1 1 306.391 1.515 20 0 DDADMM Cc1cc(=O)[n-]c(C[NH2+][C@@]2(CNC(=O)[O-])CCCC[C@@H]2C)n1 ZINC000830128870 706460822 /nfs/dbraw/zinc/46/08/22/706460822.db2.gz IOZIFOGKBFUESV-ZUZCIYMTSA-N -1 1 308.382 1.797 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cccnc1F)[C@H](O)C(F)(F)F ZINC000882029464 707472161 /nfs/dbraw/zinc/47/21/61/707472161.db2.gz IJGHTBRUEBARDW-XPUUQOCRSA-N -1 1 316.276 1.201 20 0 DDADMM CCn1nc(C)c(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1C ZINC000830441004 706518143 /nfs/dbraw/zinc/51/81/43/706518143.db2.gz OFLGLPQIAGIAAZ-NSHDSACASA-N -1 1 322.409 1.141 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC[C@@H]1CC[C@@H](C(F)(F)F)O1 ZINC000830442720 706518539 /nfs/dbraw/zinc/51/85/39/706518539.db2.gz KNCFNQOKSAVMIU-IUCAKERBSA-N -1 1 321.303 1.139 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)Nc1ccsc1 ZINC000878911690 706530786 /nfs/dbraw/zinc/53/07/86/706530786.db2.gz QPPWPCYIQHOMBK-UHFFFAOYSA-N -1 1 306.347 1.638 20 0 DDADMM O=S(=O)([N-]CCOCc1ccccc1)c1c[nH]nc1Cl ZINC000830767859 706576149 /nfs/dbraw/zinc/57/61/49/706576149.db2.gz KXRBRBDCFGZFOK-UHFFFAOYSA-N -1 1 315.782 1.558 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CCO1 ZINC000830807062 706582953 /nfs/dbraw/zinc/58/29/53/706582953.db2.gz BHOUYVYULCEVHW-GIPNMCIBSA-N -1 1 322.327 1.471 20 0 DDADMM CCCCC[C@H]([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OC ZINC000866929884 706847717 /nfs/dbraw/zinc/84/77/17/706847717.db2.gz CUJIMKXUHWCPBH-JTQLQIEISA-N -1 1 320.436 1.852 20 0 DDADMM CS[C@H](C)C[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867000683 706869119 /nfs/dbraw/zinc/86/91/19/706869119.db2.gz LYKVLROUOGTVQD-ZCFIWIBFSA-N -1 1 317.358 1.469 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC[C@@H]1CCCCO1 ZINC000867072977 706889993 /nfs/dbraw/zinc/88/99/93/706889993.db2.gz NXPKTDLCJIRLNP-NSHDSACASA-N -1 1 312.457 1.288 20 0 DDADMM C[C@H]1C[C@H](CC[N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867092375 706893862 /nfs/dbraw/zinc/89/38/62/706893862.db2.gz KPKMOZHSAXKPGH-VHSXEESVSA-N -1 1 320.361 1.843 20 0 DDADMM CC(=O)C1([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)CC1 ZINC000867394743 706986017 /nfs/dbraw/zinc/98/60/17/706986017.db2.gz GNJYMUQYGFIFNR-UHFFFAOYSA-N -1 1 309.174 1.788 20 0 DDADMM CC1(C)[C@H](N2CCOCC2)C[C@@H]1NC(=O)c1ccc([O-])cc1F ZINC000880497306 706995928 /nfs/dbraw/zinc/99/59/28/706995928.db2.gz YTIACRKRRUTWKU-LSDHHAIUSA-N -1 1 322.380 1.760 20 0 DDADMM CCn1nc(C)c(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)n1 ZINC000867428111 706998691 /nfs/dbraw/zinc/99/86/91/706998691.db2.gz GFKZCNZCQZBULL-UHFFFAOYSA-N -1 1 320.321 1.616 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Br)o2)[C@@H](C)O1 ZINC000867609396 707052842 /nfs/dbraw/zinc/05/28/42/707052842.db2.gz RDVRSLYVKIHZKD-BWZBUEFSSA-N -1 1 324.196 1.886 20 0 DDADMM Cc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1N1CCCC1 ZINC000881002457 707105658 /nfs/dbraw/zinc/10/56/58/707105658.db2.gz CPCQGDJQJCLQLF-UHFFFAOYSA-N -1 1 317.349 1.184 20 0 DDADMM N[C@@H](C(=O)N[C@H]1C[C@H](C(=O)[O-])C1)c1cccc(C(F)(F)F)c1 ZINC000871671198 707163087 /nfs/dbraw/zinc/16/30/87/707163087.db2.gz ITLXQUYYQXNLOI-FBIMIBRVSA-N -1 1 316.279 1.685 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCC(=O)NCC(C)(C)C)cc1 ZINC000871798386 707208386 /nfs/dbraw/zinc/20/83/86/707208386.db2.gz IOGCXUUJDNNATN-UHFFFAOYSA-N -1 1 322.405 1.838 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@@H](OC)C2CCOCC2)[n-]1 ZINC000835564371 707279562 /nfs/dbraw/zinc/27/95/62/707279562.db2.gz NMQIZTIUZHLOQZ-CYBMUJFWSA-N -1 1 311.334 1.400 20 0 DDADMM CC[C@H](C)[C@H](COC(=O)c1coc(S(=O)(=O)[N-]C)c1)OC ZINC000835627551 707298846 /nfs/dbraw/zinc/29/88/46/707298846.db2.gz NGDSDFGQNXOVHI-ONGXEEELSA-N -1 1 319.379 1.406 20 0 DDADMM CC[C@H](OC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C(F)(F)F ZINC000835652560 707302114 /nfs/dbraw/zinc/30/21/14/707302114.db2.gz DNKKUXYSOYVRCF-ZETCQYMHSA-N -1 1 315.269 1.685 20 0 DDADMM C[C@@H]1CN(CC(=O)N2CCC(C(=O)[O-])CC2)Cc2ccccc21 ZINC000872166458 707311874 /nfs/dbraw/zinc/31/18/74/707311874.db2.gz JFPPWIZSPAGPNY-CYBMUJFWSA-N -1 1 316.401 1.929 20 0 DDADMM COC(=O)[C@@H]1[C@H](CNC(=O)c2cc(F)ccc2[O-])C1(F)F ZINC000881822263 707380409 /nfs/dbraw/zinc/38/04/09/707380409.db2.gz FMQVJPPCSWNTJS-WPRPVWTQSA-N -1 1 303.236 1.315 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCC(C)(F)F ZINC000872491074 707442863 /nfs/dbraw/zinc/44/28/63/707442863.db2.gz ITGSIWUPJZZAEY-KRWDZBQOSA-N -1 1 306.400 1.762 20 0 DDADMM CCc1cc(=O)[n-]c(SCN(C)C(=O)OCCOC)n1 ZINC000882155244 707519829 /nfs/dbraw/zinc/51/98/29/707519829.db2.gz DYPAEFRBAWOCND-UHFFFAOYSA-N -1 1 301.368 1.509 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CCC2)c1nc[nH]c1Br ZINC000872649513 707531627 /nfs/dbraw/zinc/53/16/27/707531627.db2.gz CBNTVBJEGIAMBE-LURJTMIESA-N -1 1 306.185 1.393 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000836907108 707549341 /nfs/dbraw/zinc/54/93/41/707549341.db2.gz QBZBDAKOVGPUBO-FZMZJTMJSA-N -1 1 324.352 1.243 20 0 DDADMM Cc1cc(C[N-]C(=O)C(F)(F)F)cc(NC(=O)C(C)(C)O)c1 ZINC000836952575 707553780 /nfs/dbraw/zinc/55/37/80/707553780.db2.gz HYTPFAZBXAAWFN-UHFFFAOYSA-N -1 1 318.295 1.883 20 0 DDADMM CC(C)OC1(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)CCC1 ZINC000872736410 707578499 /nfs/dbraw/zinc/57/84/99/707578499.db2.gz RLSBVCZIYDMYAZ-CYBMUJFWSA-N -1 1 312.410 1.342 20 0 DDADMM C[C@]1(CS(=O)(=O)[N-][C@H]2CCCC23OCCO3)CC1(F)F ZINC000882412597 707628178 /nfs/dbraw/zinc/62/81/78/707628178.db2.gz OERNRBFTJMNCEL-VHSXEESVSA-N -1 1 311.350 1.247 20 0 DDADMM CN1C[C@H](NC(=O)NCc2ccc([O-])c(Cl)c2)CCC1=O ZINC000872904516 707647194 /nfs/dbraw/zinc/64/71/94/707647194.db2.gz JQIZZVDFZNNFFC-SNVBAGLBSA-N -1 1 311.769 1.466 20 0 DDADMM Cc1cccc(C[C@H](CNC(=O)Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000909245862 712972602 /nfs/dbraw/zinc/97/26/02/712972602.db2.gz IAJQYXXCIBIKFY-CYBMUJFWSA-N -1 1 301.346 1.320 20 0 DDADMM Cc1cccc(C[C@H](CNC(=O)CN2CCCC2)C(=O)[O-])c1 ZINC000909247136 712972806 /nfs/dbraw/zinc/97/28/06/712972806.db2.gz QVDLEVYPOFLWNY-OAHLLOKOSA-N -1 1 304.390 1.450 20 0 DDADMM CCC[C@@H](OC)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000837801596 707748556 /nfs/dbraw/zinc/74/85/56/707748556.db2.gz WMRPBYABFLNJAN-CYBMUJFWSA-N -1 1 323.345 1.310 20 0 DDADMM O=C([N-]CC1CN(c2cc(C3CC3)ncn2)C1)C(F)(F)F ZINC000882708040 707755852 /nfs/dbraw/zinc/75/58/52/707755852.db2.gz MZNNUJPLPPHRQM-UHFFFAOYSA-N -1 1 300.284 1.469 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC(F)(F)C(F)(F)C1 ZINC000837820686 707756375 /nfs/dbraw/zinc/75/63/75/707756375.db2.gz QOQFTBAEJZJIGD-UHFFFAOYSA-N -1 1 320.271 1.744 20 0 DDADMM Cn1cnnc1S(=O)(=O)[N-][C@H]1c2ccccc2CC[C@H]1F ZINC000882808448 707805000 /nfs/dbraw/zinc/80/50/00/707805000.db2.gz RMLNVJUSLLLDKX-NEPJUHHUSA-N -1 1 310.354 1.119 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NCCc1ccc(OCC(=O)[O-])cc1 ZINC000909300200 712985742 /nfs/dbraw/zinc/98/57/42/712985742.db2.gz ZWGFDIKXAOZYFW-OAHLLOKOSA-N -1 1 320.389 1.293 20 0 DDADMM COc1ccc([C@@H](NC(=O)[C@@H]2CCCCN2C)C(=O)[O-])cc1 ZINC000909328887 712992797 /nfs/dbraw/zinc/99/27/97/712992797.db2.gz MTFQLLLYDUERIB-UONOGXRCSA-N -1 1 306.362 1.421 20 0 DDADMM COc1ccc([C@@H](NC(=O)[C@@H]2CCC[N@H+]2C(C)C)C(=O)[O-])cc1 ZINC000909329661 712993077 /nfs/dbraw/zinc/99/30/77/712993077.db2.gz WCIWAAHLOCOLJE-LSDHHAIUSA-N -1 1 320.389 1.810 20 0 DDADMM CC(C)C[C@@H](C(N)=O)N(C)CCOc1ccccc1C(=O)[O-] ZINC000883414770 707995784 /nfs/dbraw/zinc/99/57/84/707995784.db2.gz FVKCKZGXPOQCEA-ZDUSSCGKSA-N -1 1 308.378 1.595 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@H]2CCCC[C@@H]2C(=O)OC)[n-]1 ZINC000909340152 712995717 /nfs/dbraw/zinc/99/57/17/712995717.db2.gz QWHZOHOPRILKAC-MNOVXSKESA-N -1 1 323.345 1.938 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC[C@H](O)C1CC1 ZINC000896755135 708143062 /nfs/dbraw/zinc/14/30/62/708143062.db2.gz PYVYVRUGKCZRBB-AWEZNQCLSA-N -1 1 314.332 1.673 20 0 DDADMM COC[C@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000909426537 713015197 /nfs/dbraw/zinc/01/51/97/713015197.db2.gz HTQFYJVPTBFBOV-NSHDSACASA-N -1 1 305.378 1.948 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@H]2C[C@@]23CCOC3)c1 ZINC000884555920 708328332 /nfs/dbraw/zinc/32/83/32/708328332.db2.gz DBWBZDSKJWTBQT-DTJNWYTMSA-N -1 1 324.398 1.773 20 0 DDADMM C[C@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C(=O)NCCF ZINC000897670677 708413926 /nfs/dbraw/zinc/41/39/26/708413926.db2.gz GMLVARZDSIIMAQ-VIFPVBQESA-N -1 1 320.320 1.455 20 0 DDADMM O=C(NCC(O)(C1CC1)C1CC1)c1cnc(C2CC2)[n-]c1=O ZINC000912520343 713029381 /nfs/dbraw/zinc/02/93/81/713029381.db2.gz FIRLQMMASCMOLT-UHFFFAOYSA-N -1 1 303.362 1.341 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(c2ccccn2)C1)c1ccns1 ZINC000885074346 708463337 /nfs/dbraw/zinc/46/33/37/708463337.db2.gz NGLIYZSXZHRSMQ-JTQLQIEISA-N -1 1 310.404 1.095 20 0 DDADMM C[C@@](O)(CC[N-]S(=O)(=O)c1ccns1)c1ccccc1 ZINC000885121136 708476122 /nfs/dbraw/zinc/47/61/22/708476122.db2.gz LTVCXRRUAKPEHM-CYBMUJFWSA-N -1 1 312.416 1.719 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC(c2ccc(Cl)cc2)C1 ZINC000897911976 708490552 /nfs/dbraw/zinc/49/05/52/708490552.db2.gz RXKRNPZNCHZWKW-UHFFFAOYSA-N -1 1 305.769 1.802 20 0 DDADMM CC(C)(C)N1C[C@H](C[N-]S(=O)(=O)c2ccns2)CC1=O ZINC000885308044 708516507 /nfs/dbraw/zinc/51/65/07/708516507.db2.gz JZFLGPCZHDFLIW-VIFPVBQESA-N -1 1 317.436 1.068 20 0 DDADMM O=C(N[C@H](C1CC1)[C@@H]1CCCOC1)C(=O)c1ccc([O-])cc1 ZINC000885484271 708556705 /nfs/dbraw/zinc/55/67/05/708556705.db2.gz RPXOCLCUXRNUBN-UKRRQHHQSA-N -1 1 303.358 1.896 20 0 DDADMM O=C(N[C@@H](C1CC1)[C@@H]1CCCOC1)c1cnc(C2CC2)[n-]c1=O ZINC000885494989 708559294 /nfs/dbraw/zinc/55/92/94/708559294.db2.gz VOTBDCCKECUJAX-OCCSQVGLSA-N -1 1 317.389 1.995 20 0 DDADMM C[C@H]1CO[C@H](C(=O)OCc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000885567436 708577795 /nfs/dbraw/zinc/57/77/95/708577795.db2.gz RIXFVSARDNMKEK-OTYXRUKQSA-N -1 1 304.298 1.967 20 0 DDADMM COC(=O)[C@H](CCF)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898272218 708594492 /nfs/dbraw/zinc/59/44/92/708594492.db2.gz BGOCUVBAYUGIIS-ZDUSSCGKSA-N -1 1 321.304 1.882 20 0 DDADMM CN(C)C(=O)CCCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264683 708731983 /nfs/dbraw/zinc/73/19/83/708731983.db2.gz HVSJXYOTZJYXSQ-UHFFFAOYSA-N -1 1 314.332 1.588 20 0 DDADMM Cn1cc(CCC(=O)NCCc2c(F)cc([O-])cc2F)cn1 ZINC000886264851 708732083 /nfs/dbraw/zinc/73/20/83/708732083.db2.gz NVSVJBZQGPMGSH-UHFFFAOYSA-N -1 1 309.316 1.695 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1CCNC(=O)CC1 ZINC000886268469 708732803 /nfs/dbraw/zinc/73/28/03/708732803.db2.gz QDNCREJEWFGMNT-VIFPVBQESA-N -1 1 312.316 1.245 20 0 DDADMM C[C@@]1(O)CCN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927782499 713054857 /nfs/dbraw/zinc/05/48/57/713054857.db2.gz GYCPVIWFJFCIAM-CQSZACIVSA-N -1 1 300.305 1.379 20 0 DDADMM C[C@@H](Cc1ccccc1F)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898757290 708856848 /nfs/dbraw/zinc/85/68/48/708856848.db2.gz QNHXASRXKGCISD-VIFPVBQESA-N -1 1 305.309 1.522 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1C[C@]12CCc1ccccc12 ZINC000898757623 708856928 /nfs/dbraw/zinc/85/69/28/708856928.db2.gz RYNSWWWUCGHBAW-SJCJKPOMSA-N -1 1 311.341 1.408 20 0 DDADMM C[C@@H]1CO[C@@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000899183979 709013326 /nfs/dbraw/zinc/01/33/26/709013326.db2.gz IDBAMSIURUYASO-SBMIAAHKSA-N -1 1 308.300 1.081 20 0 DDADMM C[C@@]1(CNC(=O)CCCc2nn[n-]n2)CCOC2(CCC2)C1 ZINC000899493103 709098608 /nfs/dbraw/zinc/09/86/08/709098608.db2.gz YGVRIPPTAMJBSW-CQSZACIVSA-N -1 1 307.398 1.378 20 0 DDADMM COC[C@H](C)NC(=S)NCCc1c(F)cc([O-])cc1F ZINC000899610051 709125587 /nfs/dbraw/zinc/12/55/87/709125587.db2.gz SASKTORQIUFJND-QMMMGPOBSA-N -1 1 304.362 1.712 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000887918099 709161961 /nfs/dbraw/zinc/16/19/61/709161961.db2.gz FMGKWCQETPHVCK-DTORHVGOSA-N -1 1 315.778 1.162 20 0 DDADMM CCOc1cncc(CN[C@@H]2CCCn3nc(C(=O)[O-])cc32)c1 ZINC000900074081 709281817 /nfs/dbraw/zinc/28/18/17/709281817.db2.gz PEVOTFHIICATDP-CYBMUJFWSA-N -1 1 316.361 2.000 20 0 DDADMM CCCn1nccc1CN[C@@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000900074788 709281887 /nfs/dbraw/zinc/28/18/87/709281887.db2.gz YCBGRPRWOHQSCM-GFCCVEGCSA-N -1 1 303.366 1.813 20 0 DDADMM O=C([O-])CC[C@H]1CCCN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000909482000 709482908 /nfs/dbraw/zinc/48/29/08/709482908.db2.gz JPEIACGOGCUTKH-SNVBAGLBSA-N -1 1 302.334 1.675 20 0 DDADMM CSCC[C@H](NC(=O)COc1ccccc1C)c1nn[n-]n1 ZINC000912860049 713109605 /nfs/dbraw/zinc/10/96/05/713109605.db2.gz LCNKGTIUYDANLZ-NSHDSACASA-N -1 1 321.406 1.498 20 0 DDADMM CSCC[C@H](NC(=O)c1scnc1Cl)c1nn[n-]n1 ZINC000912860817 713110039 /nfs/dbraw/zinc/11/00/39/713110039.db2.gz ZMTHYXOKPNBQBQ-YFKPBYRVSA-N -1 1 318.815 1.534 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](C)c1cccs1)c1nn[n-]n1 ZINC000912861406 713110599 /nfs/dbraw/zinc/11/05/99/713110599.db2.gz JPUFDRBINSGVKW-IUCAKERBSA-N -1 1 311.436 1.975 20 0 DDADMM CCO[C@@H](CCNC(=O)CN1CCC[C@H](C(=O)[O-])C1)C(C)C ZINC000909728889 709598063 /nfs/dbraw/zinc/59/80/63/709598063.db2.gz GKYSMIFYCBMFAF-KBPBESRZSA-N -1 1 314.426 1.350 20 0 DDADMM C[C@@H](C(=O)[O-])N(Cc1ccccc1)C(=O)CCc1cnc[nH]1 ZINC000909748253 709603804 /nfs/dbraw/zinc/60/38/04/709603804.db2.gz GDFMJMLYXXFCTR-LBPRGKRZSA-N -1 1 301.346 1.844 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CSC2CC2)[n-]c1=O ZINC000889787873 709635956 /nfs/dbraw/zinc/63/59/56/709635956.db2.gz RGUGGTCUXHOWIO-SNVBAGLBSA-N -1 1 309.391 1.750 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2c(C)ccn2C)[n-]c1=O ZINC000889789349 709636623 /nfs/dbraw/zinc/63/66/23/709636623.db2.gz DCSOMOKMGBDYRM-LLVKDONJSA-N -1 1 316.361 1.815 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2CO[C@H](C)C2)[n-]c1=O ZINC000889789423 709637001 /nfs/dbraw/zinc/63/70/01/709637001.db2.gz FGBSZTCBGPOGPT-MXWKQRLJSA-N -1 1 307.350 1.279 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C[C@H](C)SC)[n-]c1=O ZINC000889790805 709637434 /nfs/dbraw/zinc/63/74/34/709637434.db2.gz VAPSGTGQICBRBD-UWVGGRQHSA-N -1 1 311.407 1.996 20 0 DDADMM CN(CC(=O)N[C@@H](Cc1cccc(Cl)c1)C(=O)[O-])C1CCC1 ZINC000909816690 709637415 /nfs/dbraw/zinc/63/74/15/709637415.db2.gz SUJSFXGTWHZUHK-AWEZNQCLSA-N -1 1 324.808 1.936 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C[C@@H]2CCCCO2)[n-]c1=O ZINC000889791518 709638098 /nfs/dbraw/zinc/63/80/98/709638098.db2.gz IEUBHWSQJZBODR-RYUDHWBXSA-N -1 1 321.377 1.814 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccccc2O)[n-]c1=O ZINC000889793855 709638671 /nfs/dbraw/zinc/63/86/71/709638671.db2.gz DBCUGGLVAWIUHU-LLVKDONJSA-N -1 1 315.329 1.874 20 0 DDADMM C[C@H](NC(=O)CCc1cnc[nH]1)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909823898 709641392 /nfs/dbraw/zinc/64/13/92/709641392.db2.gz QBTZQIUXPUHIMS-SWLSCSKDSA-N -1 1 315.373 1.791 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC(Oc2ccccc2)C1 ZINC000900543120 709644675 /nfs/dbraw/zinc/64/46/75/709644675.db2.gz SKRWREHOJNBMOM-UHFFFAOYSA-N -1 1 311.341 1.963 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2C[C@]2(F)c2ccccc2)C1 ZINC000909851132 709651891 /nfs/dbraw/zinc/65/18/91/709651891.db2.gz MGHWOJNJXWUHNX-QEORTHHSSA-N -1 1 320.364 1.537 20 0 DDADMM CN(CC(=O)NC[C@H](CC1CC1)C(=O)[O-])[C@@H]1CCSC1 ZINC000909881974 709667769 /nfs/dbraw/zinc/66/77/69/709667769.db2.gz RRHIQTMIKVEODM-NWDGAFQWSA-N -1 1 300.424 1.041 20 0 DDADMM CC[C@H](NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)[C@H]1CCCCO1 ZINC000909919570 709689057 /nfs/dbraw/zinc/68/90/57/709689057.db2.gz JLHHBGNMPBLOKS-HZSPNIEDSA-N -1 1 312.410 1.247 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)[C@@H]2CCCc3[nH]ncc32)c(F)c1 ZINC000909987323 709721733 /nfs/dbraw/zinc/72/17/33/709721733.db2.gz PYMAVSYCOZRRTA-LLVKDONJSA-N -1 1 317.320 1.983 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CC2CCN(OCC)CC2)[n-]1 ZINC000909989227 709722787 /nfs/dbraw/zinc/72/27/87/709722787.db2.gz ANNSINKVYCXZSF-UHFFFAOYSA-N -1 1 324.381 1.579 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CC2CCN(OCC)CC2)n1 ZINC000909989227 709722791 /nfs/dbraw/zinc/72/27/91/709722791.db2.gz ANNSINKVYCXZSF-UHFFFAOYSA-N -1 1 324.381 1.579 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)N[C@H](C(=O)[O-])C1CCCCC1 ZINC000910089475 709767482 /nfs/dbraw/zinc/76/74/82/709767482.db2.gz DBYHPWFOHOHPRU-JSGCOSHPSA-N -1 1 312.410 1.104 20 0 DDADMM CN1CCC[C@H]1C(=O)N1CCC[C@@]1(Cc1ccccc1)C(=O)[O-] ZINC000910103121 709773122 /nfs/dbraw/zinc/77/31/22/709773122.db2.gz PSNGZDWWGPYAAV-MAUKXSAKSA-N -1 1 316.401 1.769 20 0 DDADMM O=C([O-])[C@H](F)C1CN(C(=O)c2cc(-c3cccs3)[nH]n2)C1 ZINC000910130440 709784265 /nfs/dbraw/zinc/78/42/65/709784265.db2.gz QUMFTGLRWGJRRX-LLVKDONJSA-N -1 1 309.322 1.633 20 0 DDADMM C/C=C\C[C@@H]1CCCN(C(=O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)C1 ZINC000910254594 709842042 /nfs/dbraw/zinc/84/20/42/709842042.db2.gz IYBHVLJLFSGUJQ-VIOGHGGCSA-N -1 1 308.422 1.988 20 0 DDADMM CN(CC(=O)N1CC[C@]2(C(=O)[O-])CCC[C@H]12)[C@@H]1CCSC1 ZINC000910398353 709923605 /nfs/dbraw/zinc/92/36/05/709923605.db2.gz PBHUHDZEZHUWCL-TYNCELHUSA-N -1 1 312.435 1.280 20 0 DDADMM CN1Cc2c(cccc2NC(=O)c2cnc(C3CC3)[n-]c2=O)C1=O ZINC000910421718 709932855 /nfs/dbraw/zinc/93/28/55/709932855.db2.gz PSXSKRVOSHZANF-UHFFFAOYSA-N -1 1 324.340 1.898 20 0 DDADMM CO[C@@](C)([C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC000910423135 709934002 /nfs/dbraw/zinc/93/40/02/709934002.db2.gz BNULLDTZKFDGMN-ABKXIKBNSA-N -1 1 305.378 1.993 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CC[C@@H](O)[C@@H](F)C1 ZINC000890693166 709956521 /nfs/dbraw/zinc/95/65/21/709956521.db2.gz UKVWWNYASRYJJU-VHSXEESVSA-N -1 1 318.142 1.700 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC[C@H](O)[C@@H](F)C1 ZINC000890704580 709964313 /nfs/dbraw/zinc/96/43/13/709964313.db2.gz INRUZBVAKCSGSN-ONGXEEELSA-N -1 1 318.142 1.700 20 0 DDADMM O=C(N[C@@H](CO)C1CCCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000901299200 710001835 /nfs/dbraw/zinc/00/18/35/710001835.db2.gz NYJSJEPIRJYZJD-ZDUSSCGKSA-N -1 1 305.378 1.731 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@H](Cc1cc2ccccc2o1)C(=O)[O-] ZINC000910581254 710009194 /nfs/dbraw/zinc/00/91/94/710009194.db2.gz PKTYBMVWTBDZGI-ZIAGYGMSSA-N -1 1 316.357 1.639 20 0 DDADMM CCOc1ccc(CCN(C)C(=O)CCCc2nn[n-]n2)cc1 ZINC000890963248 710044736 /nfs/dbraw/zinc/04/47/36/710044736.db2.gz IRRORXTZIGFSRB-UHFFFAOYSA-N -1 1 317.393 1.622 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000901454947 710045189 /nfs/dbraw/zinc/04/51/89/710045189.db2.gz WTQDMERXSAZBQZ-ZDUSSCGKSA-N -1 1 312.410 1.058 20 0 DDADMM COc1cccc([C@H](C(=O)[O-])N(C)C(=O)c2cc(C)[nH]n2)c1 ZINC000910771606 710070267 /nfs/dbraw/zinc/07/02/67/710070267.db2.gz LDRDALNCCDKHFB-CYBMUJFWSA-N -1 1 303.318 1.625 20 0 DDADMM CC(C)C[C@H](CNC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C)C(=O)[O-] ZINC000901691389 710119333 /nfs/dbraw/zinc/11/93/33/710119333.db2.gz XOWHPABZOKIPFA-JTNHKYCSSA-N -1 1 307.394 1.939 20 0 DDADMM COCCn1cc(CN[C@@H](C(=O)[O-])c2ccc(F)c(C)c2)cn1 ZINC000901845330 710159359 /nfs/dbraw/zinc/15/93/59/710159359.db2.gz YRTLDBNLSILHCO-OAHLLOKOSA-N -1 1 321.352 1.893 20 0 DDADMM O=C([O-])[C@]1(O)CCN(Cc2c(Cl)cccc2N2CCCC2)C1 ZINC000901902404 710168903 /nfs/dbraw/zinc/16/89/03/710168903.db2.gz DZAPDKCDXXFQQG-INIZCTEOSA-N -1 1 324.808 1.962 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1cc2n(n1)CCCC2 ZINC000891616152 710249286 /nfs/dbraw/zinc/24/92/86/710249286.db2.gz ZAQMEKINENYTPG-UHFFFAOYSA-N -1 1 313.361 1.846 20 0 DDADMM Cn1cc(CCC(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cn1 ZINC000891622144 710250948 /nfs/dbraw/zinc/25/09/48/710250948.db2.gz CMVSAVSJWNYHLM-UHFFFAOYSA-N -1 1 301.350 1.366 20 0 DDADMM COCc1nc(N[C@H]2CCC[C@@H](C(=O)N(C)C)C2)cc(=O)[n-]1 ZINC000892542655 710454933 /nfs/dbraw/zinc/45/49/33/710454933.db2.gz RXYOBBGFSVZLIJ-MNOVXSKESA-N -1 1 308.382 1.388 20 0 DDADMM CC(C)c1cc(C(=O)N(C)c2nn[n-]n2)nn1-c1ccccc1 ZINC000892955167 710531624 /nfs/dbraw/zinc/53/16/24/710531624.db2.gz XDGOETZTNPWTFN-UHFFFAOYSA-N -1 1 311.349 1.785 20 0 DDADMM COCc1nc(N[C@H](C)C2CCN(C(=O)OC)CC2)cc(=O)[n-]1 ZINC000893255005 710580699 /nfs/dbraw/zinc/58/06/99/710580699.db2.gz IJMRFEUAZVEGCR-SNVBAGLBSA-N -1 1 324.381 1.607 20 0 DDADMM CCNC(=O)[C@@H](C)NCc1ncc(Br)cc1[O-] ZINC000893319015 710598522 /nfs/dbraw/zinc/59/85/22/710598522.db2.gz DRTUGCWMJDAPAD-SSDOTTSWSA-N -1 1 302.172 1.164 20 0 DDADMM CN(C)C1(C(=O)N2CCOc3c(cccc3C(=O)[O-])C2)CC1 ZINC000911029903 710602356 /nfs/dbraw/zinc/60/23/56/710602356.db2.gz WAUWCNJDPCMRKW-UHFFFAOYSA-N -1 1 304.346 1.200 20 0 DDADMM O=C([O-])Cn1cc(CNCCCSc2ccccc2)nn1 ZINC000902127051 710624884 /nfs/dbraw/zinc/62/48/84/710624884.db2.gz KTFYNDQRXZYFDF-UHFFFAOYSA-N -1 1 306.391 1.635 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@@H](CC(=O)[O-])C(F)(F)F)c2C1 ZINC000911166308 710664911 /nfs/dbraw/zinc/66/49/11/710664911.db2.gz DVKNAMCALJVIRO-RCOVLWMOSA-N -1 1 319.283 1.670 20 0 DDADMM CC(C)[C@H]1CN(C(=O)[C@H]2CO[C@@H](CCC(=O)[O-])C2)CCN1C ZINC000902491580 710769465 /nfs/dbraw/zinc/76/94/65/710769465.db2.gz MOWFGHNXNSMYOC-HZSPNIEDSA-N -1 1 312.410 1.055 20 0 DDADMM C[C@@H]1CN(C(=O)CCc2ccc(C(=O)[O-])cc2)[C@H](C)CN1C ZINC000911441232 710800374 /nfs/dbraw/zinc/80/03/74/710800374.db2.gz PHZYCNOSADZBFF-CHWSQXEVSA-N -1 1 304.390 1.868 20 0 DDADMM COCC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCCC1 ZINC000913437957 713215769 /nfs/dbraw/zinc/21/57/69/713215769.db2.gz PRNHVUSQQADTFB-CYBMUJFWSA-N -1 1 315.377 1.612 20 0 DDADMM COCc1nc(NCc2cccnc2N2CCCC2)cc(=O)[n-]1 ZINC000894494085 711148310 /nfs/dbraw/zinc/14/83/10/711148310.db2.gz BFVSCDPJWZXPQJ-UHFFFAOYSA-N -1 1 315.377 1.936 20 0 DDADMM Cc1ccccc1[C@H](C)CC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494301 713237261 /nfs/dbraw/zinc/23/72/61/713237261.db2.gz DPXNUZJAJFSVRN-OCCSQVGLSA-N -1 1 315.377 1.602 20 0 DDADMM Cc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1Cl ZINC000913495305 713237957 /nfs/dbraw/zinc/23/79/57/713237957.db2.gz UWUQLNFSXIGNBJ-LLVKDONJSA-N -1 1 307.741 1.375 20 0 DDADMM CSc1nc(CNC(=O)C(C)(C)c2ccccn2)cc(=O)[n-]1 ZINC000912251861 711247022 /nfs/dbraw/zinc/24/70/22/711247022.db2.gz UWDDFCIXKIYTCR-UHFFFAOYSA-N -1 1 318.402 1.893 20 0 DDADMM CN(C)C(=O)c1ccc(CN[C@H](C(=O)[O-])c2ccc(F)cc2)[nH]1 ZINC000903709097 711249283 /nfs/dbraw/zinc/24/92/83/711249283.db2.gz BILGFZYPIFMQAM-AWEZNQCLSA-N -1 1 319.336 1.771 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=Cc2ccccc2)n[n-]1 ZINC000912364746 711293293 /nfs/dbraw/zinc/29/32/93/711293293.db2.gz ZLMNLSKSLWTDJP-USKTWTLRSA-N -1 1 314.345 1.872 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=Cc2ccccc2)[n-]1 ZINC000912364746 711293295 /nfs/dbraw/zinc/29/32/95/711293295.db2.gz ZLMNLSKSLWTDJP-USKTWTLRSA-N -1 1 314.345 1.872 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C=Cc2ccccc2)n1 ZINC000912364746 711293297 /nfs/dbraw/zinc/29/32/97/711293297.db2.gz ZLMNLSKSLWTDJP-USKTWTLRSA-N -1 1 314.345 1.872 20 0 DDADMM CO[C@@H]1CN(CCOC2CCSCC2)[C@](C)(C(=O)[O-])C1 ZINC000903911286 711327933 /nfs/dbraw/zinc/32/79/33/711327933.db2.gz AYEMNTQCBPXJFG-JSGCOSHPSA-N -1 1 303.424 1.463 20 0 DDADMM Cc1c([C@H](NCc2cccc3c2OCCO3)C(=O)[O-])cnn1C ZINC000904047949 711369841 /nfs/dbraw/zinc/36/98/41/711369841.db2.gz KXXQYSFHYNVXOZ-AWEZNQCLSA-N -1 1 317.345 1.415 20 0 DDADMM O=C([O-])N[C@H]1C[C@H](NCc2nccn2C(F)F)C12CCC2 ZINC000904213196 711411722 /nfs/dbraw/zinc/41/17/22/711411722.db2.gz GPRQJUBKAVXTFS-IUCAKERBSA-N -1 1 300.309 1.947 20 0 DDADMM O=c1nc(NCc2nc3c(s2)CCCC3)nc2[nH][n-]cc1-2 ZINC000896041942 711667473 /nfs/dbraw/zinc/66/74/73/711667473.db2.gz UHWKUENKJYVSIX-UHFFFAOYSA-N -1 1 302.363 1.379 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cc(F)cc1F)[C@H]1CN(C)CCN1C ZINC000896567068 711754274 /nfs/dbraw/zinc/75/42/74/711754274.db2.gz YYCPWGTWGINRIW-BXKDBHETSA-N -1 1 313.348 1.035 20 0 DDADMM CN(C)c1ccnc(CNC(=O)[C@@H]2CC=CC[C@@H]2C(=O)[O-])c1 ZINC000905129837 711945283 /nfs/dbraw/zinc/94/52/83/711945283.db2.gz XHUXCJUMAOQVFV-KGLIPLIRSA-N -1 1 303.362 1.431 20 0 DDADMM CN1CC[C@H]1CNC(=O)[C@@](C)(CC(=O)[O-])c1ccc(F)cc1 ZINC000905130414 711945660 /nfs/dbraw/zinc/94/56/60/711945660.db2.gz DUPZUCILKRLXRI-BBRMVZONSA-N -1 1 308.353 1.378 20 0 DDADMM O=C(Cc1cc2ccccc2o1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743271 713291946 /nfs/dbraw/zinc/29/19/46/713291946.db2.gz NMFOHPVFJJESDL-UHFFFAOYSA-N -1 1 311.345 1.895 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1S(=O)(=O)c1cc(O)cc(F)c1 ZINC000905186970 711962677 /nfs/dbraw/zinc/96/26/77/711962677.db2.gz HMSRMWJODUGZAI-JTQLQIEISA-N -1 1 317.338 1.549 20 0 DDADMM O=C(CSCc1ccccn1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743235 713292155 /nfs/dbraw/zinc/29/21/55/713292155.db2.gz LYYPNHGLWLERLP-UHFFFAOYSA-N -1 1 318.406 1.234 20 0 DDADMM Cc1nc(Cl)ccc1CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744046 713292757 /nfs/dbraw/zinc/29/27/57/713292757.db2.gz XWANPDIHVMTCSG-UHFFFAOYSA-N -1 1 320.784 1.505 20 0 DDADMM O=C(c1cccc2ccncc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913746072 713293819 /nfs/dbraw/zinc/29/38/19/713293819.db2.gz IOHXHHKJPZBJGK-UHFFFAOYSA-N -1 1 308.345 1.768 20 0 DDADMM Cc1ncsc1CCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913746320 713293944 /nfs/dbraw/zinc/29/39/44/713293944.db2.gz OCIUWUZCBYNYRW-UHFFFAOYSA-N -1 1 306.395 1.303 20 0 DDADMM CNc1cc(Cl)ccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913746154 713294031 /nfs/dbraw/zinc/29/40/31/713294031.db2.gz MBCHYBSJXXWPLL-UHFFFAOYSA-N -1 1 320.784 1.915 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CCCC(F)(F)C2)c1 ZINC000907029096 712468912 /nfs/dbraw/zinc/46/89/12/712468912.db2.gz YEJALNSDVMRJBZ-UHFFFAOYSA-N -1 1 321.301 1.510 20 0 DDADMM Cc1ccc([C@H](C)C(=O)N2CCSC[C@@H]2c2nn[n-]n2)o1 ZINC000907477882 712582651 /nfs/dbraw/zinc/58/26/51/712582651.db2.gz VJDUMPFCMCAPJQ-VHSXEESVSA-N -1 1 307.379 1.521 20 0 DDADMM Cc1ccc(O)cc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480233 712583553 /nfs/dbraw/zinc/58/35/53/712583553.db2.gz NNEQCIMWKDAFQD-LLVKDONJSA-N -1 1 305.363 1.144 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)nc1C ZINC000907480206 712583658 /nfs/dbraw/zinc/58/36/58/712583658.db2.gz MVGXWFMIOFAOQW-NSHDSACASA-N -1 1 304.379 1.142 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3cccc(F)c3C)CC2)n1 ZINC000907863801 712637779 /nfs/dbraw/zinc/63/77/79/712637779.db2.gz FETUJHGNYKTNFO-UHFFFAOYSA-N -1 1 311.338 1.793 20 0 DDADMM C[C@H](NC(=O)c1ccc2cncn2c1)C1(C(=O)[O-])CCOCC1 ZINC000907921116 712648629 /nfs/dbraw/zinc/64/86/29/712648629.db2.gz XZVMWKYZQXIOBF-NSHDSACASA-N -1 1 317.345 1.334 20 0 DDADMM CC[C@@H](C)CCCCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907943632 712652831 /nfs/dbraw/zinc/65/28/31/712652831.db2.gz JJGOBSOZQPPIOH-GFCCVEGCSA-N -1 1 309.414 1.616 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@@]2(C1)CCCOC2 ZINC000908082644 712681462 /nfs/dbraw/zinc/68/14/62/712681462.db2.gz BLVDVVOCGPSFIU-MRXNPFEDSA-N -1 1 303.362 1.702 20 0 DDADMM CCN(CC(C)=O)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000908391106 712759089 /nfs/dbraw/zinc/75/90/89/712759089.db2.gz AGKYKMUDCVXZDG-UHFFFAOYSA-N -1 1 304.350 1.032 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)[C@@]1(O)CCSC1 ZINC000908708791 712839009 /nfs/dbraw/zinc/83/90/09/712839009.db2.gz AYFZTYXDZCIYCI-LLVKDONJSA-N -1 1 318.192 1.961 20 0 DDADMM NC(=O)[C@H]1CC[C@@H]1C(=O)Nc1cccc([O-])c1Br ZINC000908707994 712839031 /nfs/dbraw/zinc/83/90/31/712839031.db2.gz MLTXYJGJHZZAEY-BQBZGAKWSA-N -1 1 313.151 1.605 20 0 DDADMM COCC(COC)OC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000908735201 712843943 /nfs/dbraw/zinc/84/39/43/712843943.db2.gz SWMBWKWMRVLKLW-UHFFFAOYSA-N -1 1 306.318 1.396 20 0 DDADMM CC[C@](C)(NC(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000908808740 712858624 /nfs/dbraw/zinc/85/86/24/712858624.db2.gz JTFHRYNMUCJVQK-KRWDZBQOSA-N -1 1 319.405 1.597 20 0 DDADMM O=C(C[N-]S(=O)(=O)CC1(F)CCC1)OC1CCCCC1 ZINC000914220895 713361610 /nfs/dbraw/zinc/36/16/10/713361610.db2.gz ROEIYRDSOGZFQI-UHFFFAOYSA-N -1 1 307.387 1.674 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)N[C@@H](C)C2CC2)[n-]c1=O ZINC000929920629 713718653 /nfs/dbraw/zinc/71/86/53/713718653.db2.gz GQLCSUDMFYGOEX-GXSJLCMTSA-N -1 1 306.366 1.836 20 0 DDADMM C[C@H]1COCC[C@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922201699 713989552 /nfs/dbraw/zinc/98/95/52/713989552.db2.gz LPKGZOONQJQUDG-LKFCYVNXSA-N -1 1 314.332 1.937 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC[C@H]2CCNC(=O)[C@@H]21 ZINC000922426944 714049507 /nfs/dbraw/zinc/04/95/07/714049507.db2.gz DFPJGSNOIQLMGC-XHDPSFHLSA-N -1 1 322.792 1.715 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](c1cccc(Cl)c1)C(F)F ZINC000922504755 714073169 /nfs/dbraw/zinc/07/31/69/714073169.db2.gz VBCUYMZFUAMKAU-NSHDSACASA-N -1 1 315.711 1.908 20 0 DDADMM C[C@@]1(CO)CCCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932047085 714245816 /nfs/dbraw/zinc/24/58/16/714245816.db2.gz USRKDOHTGNPRFB-INIZCTEOSA-N -1 1 316.361 1.957 20 0 DDADMM CC(C)C[C@@H]1CN(C)CCN1C(=O)CCSCC(=O)[O-] ZINC000923214238 714274157 /nfs/dbraw/zinc/27/41/57/714274157.db2.gz PIYBVEKLMSTWCL-GFCCVEGCSA-N -1 1 302.440 1.383 20 0 DDADMM O=C(c1ccc(F)c2occc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000932284124 714300400 /nfs/dbraw/zinc/30/04/00/714300400.db2.gz WALBULMVLKXIPO-LLVKDONJSA-N -1 1 317.280 1.299 20 0 DDADMM Cc1cc(CCC(=O)OCC(=O)[N-]C(=O)c2ccccc2)no1 ZINC000923884026 714484483 /nfs/dbraw/zinc/48/44/83/714484483.db2.gz FBZUKAFUJNQNCB-UHFFFAOYSA-N -1 1 316.313 1.415 20 0 DDADMM O=C(C[C@H]1CC[C@H](C2CC2)O1)OCCC[N-]C(=O)C(F)(F)F ZINC000924353401 714568416 /nfs/dbraw/zinc/56/84/16/714568416.db2.gz RWRYPFLWQUPPBL-GHMZBOCLSA-N -1 1 323.311 1.946 20 0 DDADMM CO[C@@H]1C[C@H]1C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000934705825 714884260 /nfs/dbraw/zinc/88/42/60/714884260.db2.gz YYGIYZLBJYLDAZ-HUUCEWRRSA-N -1 1 303.358 1.848 20 0 DDADMM COC(=O)[C@@H](C)[N-]C(=O)C(F)(F)c1cnc(Cl)c(C)c1 ZINC000934742071 714892934 /nfs/dbraw/zinc/89/29/34/714892934.db2.gz XQNVSOIWESTYAG-SSDOTTSWSA-N -1 1 306.696 1.813 20 0 DDADMM CC1=CC[N@H+](CCNC(=O)Cc2cc(=O)n(CC(C)C)[nH]2)CC1 ZINC000935398744 715046350 /nfs/dbraw/zinc/04/63/50/715046350.db2.gz XGXFCYDXJPWTAU-UHFFFAOYSA-N -1 1 320.437 1.143 20 0 DDADMM O=C([N-]OC1CCOCC1)c1cc(=O)[nH]cc1Br ZINC000935456842 715060013 /nfs/dbraw/zinc/06/00/13/715060013.db2.gz QWBUADLHEQKMIT-UHFFFAOYSA-N -1 1 317.139 1.390 20 0 DDADMM O=C(NC[C@@H]1CCCCN1C(=O)C1CC1)c1ncccc1[O-] ZINC000937149354 715284685 /nfs/dbraw/zinc/28/46/85/715284685.db2.gz LLSHMBCNGWXIMO-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM CN(C(=O)C1CCC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937221151 715295369 /nfs/dbraw/zinc/29/53/69/715295369.db2.gz YXVPBAFDCVPNKV-LBPRGKRZSA-N -1 1 303.362 1.260 20 0 DDADMM CCCC(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956343891 715412708 /nfs/dbraw/zinc/41/27/08/715412708.db2.gz QNDQSTHNNODCLF-UHFFFAOYSA-N -1 1 305.378 1.698 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)[C@]23C[C@H]2CCC3)C1)c1ncccc1[O-] ZINC000937860342 715616339 /nfs/dbraw/zinc/61/63/39/715616339.db2.gz GQYWAPUIAYNJLD-BWACUDIHSA-N -1 1 315.373 1.308 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)C2(F)CCCC2)C1)c1ncccc1[O-] ZINC000937865031 715619850 /nfs/dbraw/zinc/61/98/50/715619850.db2.gz DDYBOASGFJFIOP-NSHDSACASA-N -1 1 321.352 1.400 20 0 DDADMM CC(C)CC(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955867052 715984547 /nfs/dbraw/zinc/98/45/47/715984547.db2.gz VOMFPYUWVJHCDN-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(c1cccs1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959861398 716324265 /nfs/dbraw/zinc/32/42/65/716324265.db2.gz GCLNJAZOEPJCLF-JTQLQIEISA-N -1 1 321.406 1.356 20 0 DDADMM C/C(=C\C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC000959900574 716343782 /nfs/dbraw/zinc/34/37/82/716343782.db2.gz ZRFNSFDRPGJTEY-YKWSONSWSA-N -1 1 319.409 1.337 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)C2(F)CCCC2)C1)c1ncccc1[O-] ZINC000940134167 716643779 /nfs/dbraw/zinc/64/37/79/716643779.db2.gz DDYBOASGFJFIOP-LLVKDONJSA-N -1 1 321.352 1.400 20 0 DDADMM O=C(N[C@@H]1[C@H]2CN(Cc3nccs3)C[C@H]21)c1ncccc1[O-] ZINC000958638862 716680645 /nfs/dbraw/zinc/68/06/45/716680645.db2.gz SZMAWLPSLBQUMF-IWIIMEHWSA-N -1 1 316.386 1.104 20 0 DDADMM O=C(N[C@@H]1[C@H]2CN(Cc3ccns3)C[C@H]21)c1ncccc1[O-] ZINC000958647463 716685689 /nfs/dbraw/zinc/68/56/89/716685689.db2.gz OBYVPPWUTGWPOT-PJXYFTJBSA-N -1 1 316.386 1.104 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964851928 717454221 /nfs/dbraw/zinc/45/42/21/717454221.db2.gz ZKYFHLVVJPSPLK-RPHDBTCBSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000965068867 717525342 /nfs/dbraw/zinc/52/53/42/717525342.db2.gz BOEUBYXOQKZJDB-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C(C)(C)F)C1 ZINC000942758482 717858184 /nfs/dbraw/zinc/85/81/84/717858184.db2.gz NBMCDNBJSRCNRM-NSHDSACASA-N -1 1 323.368 1.598 20 0 DDADMM CCCC(=O)N1CCC2(C[C@@H]2NC(=O)c2ncccc2[O-])CC1 ZINC000963686521 717879698 /nfs/dbraw/zinc/87/96/98/717879698.db2.gz ZDIGTKUUBAZVSX-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM CC[C@H](C)C(=O)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943205133 718046604 /nfs/dbraw/zinc/04/66/04/718046604.db2.gz AVJIIDSGUGIKFA-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)(C)C(=O)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943209265 718047466 /nfs/dbraw/zinc/04/74/66/718047466.db2.gz LHGZFXWLANUQFB-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)C1CCCC1)c1ncccc1[O-] ZINC000943808715 718196812 /nfs/dbraw/zinc/19/68/12/718196812.db2.gz ATNOLROZFFYAGX-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)F)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945104507 718397162 /nfs/dbraw/zinc/39/71/62/718397162.db2.gz UVRZZKDGRIXOJU-WDEREUQCSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C(C)(C)C ZINC000945278742 718435186 /nfs/dbraw/zinc/43/51/86/718435186.db2.gz JDNYGMRGQRRQNN-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C=C1CCC1 ZINC000966348989 718522721 /nfs/dbraw/zinc/52/27/21/718522721.db2.gz NLLVHFQQDYKTBA-YPMHNXCESA-N -1 1 315.373 1.474 20 0 DDADMM O=C(NC[C@@H]1CCC[C@H]1NC(=O)C(F)F)c1ncccc1[O-] ZINC000946076082 718666755 /nfs/dbraw/zinc/66/67/55/718666755.db2.gz CXHMPGWUWXVYFU-DTWKUNHWSA-N -1 1 313.304 1.067 20 0 DDADMM CCC(=O)N[C@H]1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946328086 718746702 /nfs/dbraw/zinc/74/67/02/718746702.db2.gz CLXVDCJNCMBRMX-NEPJUHHUSA-N -1 1 305.378 1.602 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2C[C@@H]2C)C1 ZINC000967902518 719080444 /nfs/dbraw/zinc/08/04/44/719080444.db2.gz ZDDQYGAZIXGHED-CMPLNLGQSA-N -1 1 303.362 1.116 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C=C1CCC1 ZINC000948618991 719592130 /nfs/dbraw/zinc/59/21/30/719592130.db2.gz NLLVHFQQDYKTBA-DGCLKSJQSA-N -1 1 315.373 1.474 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000949278596 719991086 /nfs/dbraw/zinc/99/10/86/719991086.db2.gz WWKNURBLVBFBJA-GFCCVEGCSA-N -1 1 323.368 1.504 20 0 DDADMM O=C(C1CCCCCCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969290490 720042501 /nfs/dbraw/zinc/04/25/01/720042501.db2.gz SLNZFLXSRYKNRQ-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM CN(C(=O)C[C@H]1C=CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953683494 721641733 /nfs/dbraw/zinc/64/17/33/721641733.db2.gz QRJQDZASZJUCKZ-LBPRGKRZSA-N -1 1 315.373 1.426 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C(C)(C)F ZINC001009925601 738603379 /nfs/dbraw/zinc/60/33/79/738603379.db2.gz WVCVNMDQJQVVPF-GHMZBOCLSA-N -1 1 323.368 1.502 20 0 DDADMM CN(Cc1ccccc1Cl)c1nnc(-c2nnn[n-]2)n1C ZINC001121267599 782432269 /nfs/dbraw/zinc/43/22/69/782432269.db2.gz CEIBTTOIWSSQOQ-UHFFFAOYSA-N -1 1 304.745 1.285 20 0 DDADMM CN(Cc1ccccc1Cl)c1nnc(-c2nn[n-]n2)n1C ZINC001121267599 782432270 /nfs/dbraw/zinc/43/22/70/782432270.db2.gz CEIBTTOIWSSQOQ-UHFFFAOYSA-N -1 1 304.745 1.285 20 0 DDADMM CC1(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001025034343 736242959 /nfs/dbraw/zinc/24/29/59/736242959.db2.gz SVWORAUPTMREOW-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM C[C@@H](NCCNC(=O)c1ncccc1[O-])c1nc(C2CC2)no1 ZINC001126111007 738305646 /nfs/dbraw/zinc/30/56/46/738305646.db2.gz VISKMGWEHIWSQT-SECBINFHSA-N -1 1 317.349 1.128 20 0 DDADMM CCC[C@@H](CCO)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692905682 739045338 /nfs/dbraw/zinc/04/53/38/739045338.db2.gz ULWTUKSICOLVJT-VIFPVBQESA-N -1 1 324.805 1.951 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2CCc2cccs2)c1[O-] ZINC001038164044 739247077 /nfs/dbraw/zinc/24/70/77/739247077.db2.gz DXWRXKZUBDVISR-NSHDSACASA-N -1 1 320.418 1.532 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cccc(N)c2)c(CO)c1 ZINC001211745458 739336483 /nfs/dbraw/zinc/33/64/83/739336483.db2.gz ZJJGSSHZJBOTJT-UHFFFAOYSA-N -1 1 308.359 1.571 20 0 DDADMM CC(C)=CC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001012235057 740443411 /nfs/dbraw/zinc/44/34/11/740443411.db2.gz MECIVODSZVQXAJ-VXGBXAGGSA-N -1 1 303.362 1.473 20 0 DDADMM CN(C)C(=O)CCC(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001143041819 751574064 /nfs/dbraw/zinc/57/40/64/751574064.db2.gz FJAGLHRNKTXTTM-UHFFFAOYSA-N -1 1 302.334 1.385 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)CCC2CCC2)C1 ZINC001029695143 741234453 /nfs/dbraw/zinc/23/44/53/741234453.db2.gz OLXFMGPEPIWXLE-ZDUSSCGKSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001029769864 741284939 /nfs/dbraw/zinc/28/49/39/741284939.db2.gz PRVNREQZHWXJJV-RYUDHWBXSA-N -1 1 323.441 1.473 20 0 DDADMM CSCC[C@@H](Nc1ccc([P@@](=O)([O-])O)cc1)C(C)(C)O ZINC001168216600 741826906 /nfs/dbraw/zinc/82/69/06/741826906.db2.gz CQSLDROQODZHHE-GFCCVEGCSA-N -1 1 319.363 1.794 20 0 DDADMM CSCC[C@@H](Nc1ccc([P@](=O)([O-])O)cc1)C(C)(C)O ZINC001168216600 741826910 /nfs/dbraw/zinc/82/69/10/741826910.db2.gz CQSLDROQODZHHE-GFCCVEGCSA-N -1 1 319.363 1.794 20 0 DDADMM C[C@H](CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001168354798 742227564 /nfs/dbraw/zinc/22/75/64/742227564.db2.gz NUSJRPVAFURIDN-LLVKDONJSA-N -1 1 321.425 1.323 20 0 DDADMM CO[C@H](C)CCC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076229631 742611806 /nfs/dbraw/zinc/61/18/06/742611806.db2.gz QCCDKPNFJNESQT-NWDGAFQWSA-N -1 1 323.393 1.227 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC1(C)C ZINC001076245360 742624712 /nfs/dbraw/zinc/62/47/12/742624712.db2.gz YTSCEOVHUXBWPL-QWRGUYRKSA-N -1 1 305.378 1.458 20 0 DDADMM CC[C@@H](C)CC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076289467 742645431 /nfs/dbraw/zinc/64/54/31/742645431.db2.gz LJDLEOOVYJNPAF-NEPJUHHUSA-N -1 1 307.394 1.848 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)[C@H]1CC12CCCCC2 ZINC001181911753 743388847 /nfs/dbraw/zinc/38/88/47/743388847.db2.gz QQMSOPLPYCTKGV-SSDOTTSWSA-N -1 1 303.322 1.625 20 0 DDADMM CC[C@H](F)C(=O)N1CCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002826872 743482699 /nfs/dbraw/zinc/48/26/99/743482699.db2.gz WHYANKATYXNUSA-RYUDHWBXSA-N -1 1 323.368 1.598 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1C[C@@H]1c1ccccc1C(F)(F)F ZINC001182367794 743593616 /nfs/dbraw/zinc/59/36/16/743593616.db2.gz DQDNHBNRUAVKDO-RKDXNWHRSA-N -1 1 311.267 1.638 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)C1(c2ccc(O)cc2)CC1 ZINC001183343686 743827730 /nfs/dbraw/zinc/82/77/30/743827730.db2.gz WFBDMSYHCYGWTA-UHFFFAOYSA-N -1 1 313.290 1.498 20 0 DDADMM CC(C)OC(=O)CC(=O)Nc1nc(Br)ccc1[O-] ZINC001184440523 744036917 /nfs/dbraw/zinc/03/69/17/744036917.db2.gz DVAZJCRKQPJWOO-UHFFFAOYSA-N -1 1 317.139 1.830 20 0 DDADMM CCOC(=O)c1cc(NC(=O)Cc2cccc(N(C)C)c2)n[nH]1 ZINC001186058857 744338351 /nfs/dbraw/zinc/33/83/51/744338351.db2.gz XHGJMHDPWOUBFK-UHFFFAOYSA-N -1 1 316.361 1.834 20 0 DDADMM CCCCC[C@@H](C)CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186129130 744348335 /nfs/dbraw/zinc/34/83/35/744348335.db2.gz AUUGWXJEMDPCMF-CHWSQXEVSA-N -1 1 323.441 1.807 20 0 DDADMM [NH3+]Cc1ccc2c(n1)N(C(=O)c1ccc([O-])c(F)c1)CCC2 ZINC001186233182 744360900 /nfs/dbraw/zinc/36/09/00/744360900.db2.gz FEUVAYDKOYXLDC-UHFFFAOYSA-N -1 1 301.321 1.978 20 0 DDADMM COC[C@@H](C)Oc1cc(NC(=O)c2ccc([O-])c(F)c2)[nH]n1 ZINC001186208354 744363916 /nfs/dbraw/zinc/36/39/16/744363916.db2.gz BIHOBPPBLCQJTM-MRVPVSSYSA-N -1 1 309.297 1.920 20 0 DDADMM O=S(=O)([N-][C@@H]1CC1(F)F)c1c(Cl)nc2sccn21 ZINC001187148157 744509957 /nfs/dbraw/zinc/50/99/57/744509957.db2.gz CWKOBGSWCGKCNQ-SCSAIBSYSA-N -1 1 313.738 1.735 20 0 DDADMM O=C(NCCC1CCC(O)CC1)c1n[n-]nc1C(F)(F)F ZINC001187773775 744600267 /nfs/dbraw/zinc/60/02/67/744600267.db2.gz LXZFGNDQRINDEM-UHFFFAOYSA-N -1 1 306.288 1.495 20 0 DDADMM COC(=O)c1scnc1[N-]C(=O)c1n[nH]nc1C(F)(F)F ZINC001187758887 744606244 /nfs/dbraw/zinc/60/62/44/744606244.db2.gz MKSBRKYJIVAJRP-UHFFFAOYSA-N -1 1 321.240 1.319 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)c2nccs2)CCCC1 ZINC001187847190 744617632 /nfs/dbraw/zinc/61/76/32/744617632.db2.gz ZESFIQOHDWHWMI-UHFFFAOYSA-N -1 1 304.393 1.297 20 0 DDADMM O=S(=O)([N-]CCOc1ccc(F)cc1)c1nccs1 ZINC001187908968 744628010 /nfs/dbraw/zinc/62/80/10/744628010.db2.gz QEAWXLCDDLQIJD-UHFFFAOYSA-N -1 1 302.352 1.640 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(Cc2cccnc2)C1)c1nccs1 ZINC001187918877 744635241 /nfs/dbraw/zinc/63/52/41/744635241.db2.gz PXMLKSQIOJMZSN-LBPRGKRZSA-N -1 1 324.431 1.091 20 0 DDADMM O=S(=O)([N-]Cc1ccnnc1)c1ccc(Cl)nc1Cl ZINC001187979565 744642359 /nfs/dbraw/zinc/64/23/59/744642359.db2.gz DRSPCWWQWKBZJZ-UHFFFAOYSA-N -1 1 319.173 1.657 20 0 DDADMM CSc1nc(NC(=O)c2ccnc(N(C)C)n2)cc(=O)[n-]1 ZINC001187996449 744645290 /nfs/dbraw/zinc/64/52/90/744645290.db2.gz HAOOWQWBNKSLTA-UHFFFAOYSA-N -1 1 306.351 1.012 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc2n[nH]cc2c1F ZINC001151844441 745128851 /nfs/dbraw/zinc/12/88/51/745128851.db2.gz LWBGSJVZKGSJPI-UHFFFAOYSA-N -1 1 315.241 1.390 20 0 DDADMM Cc1ncc(NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)nc1C ZINC001190618921 745260426 /nfs/dbraw/zinc/26/04/26/745260426.db2.gz OJBYFBGPULDCAI-UHFFFAOYSA-N -1 1 322.328 1.903 20 0 DDADMM O=C(Nc1c[nH]ccc1=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190618888 745260570 /nfs/dbraw/zinc/26/05/70/745260570.db2.gz MPSNVOYBKPSZRB-UHFFFAOYSA-N -1 1 309.285 1.185 20 0 DDADMM COC(=O)Cc1ccccc1[N-]S(=O)(=O)c1ccncc1 ZINC001190887849 745367594 /nfs/dbraw/zinc/36/75/94/745367594.db2.gz DJIKQDCWPFBDIQ-UHFFFAOYSA-N -1 1 306.343 1.598 20 0 DDADMM O=c1ccc2cc([N-]S(=O)(=O)c3ccncc3)ccc2[nH]1 ZINC001190893910 745370190 /nfs/dbraw/zinc/37/01/90/745370190.db2.gz USYDTXJCGOGZOB-UHFFFAOYSA-N -1 1 301.327 1.724 20 0 DDADMM O=C1Cc2c(cccc2[N-]S(=O)(=O)c2ccncc2)CN1 ZINC001190904339 745380957 /nfs/dbraw/zinc/38/09/57/745380957.db2.gz ZCMVXSYFUFGEEE-UHFFFAOYSA-N -1 1 303.343 1.055 20 0 DDADMM CSc1nccc(NC(=O)c2cnc(SC)[n-]c2=O)n1 ZINC001191420302 745506438 /nfs/dbraw/zinc/50/64/38/745506438.db2.gz LOGFTPDOVNESEN-UHFFFAOYSA-N -1 1 309.376 1.668 20 0 DDADMM C[C@H](CCNc1nccnc1F)NC(=O)c1ncccc1[O-] ZINC001106296740 745565057 /nfs/dbraw/zinc/56/50/57/745565057.db2.gz ZCOYZCQUUOGRKY-SECBINFHSA-N -1 1 305.313 1.337 20 0 DDADMM CCOC(=O)[C@H](C)C[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192055390 745686311 /nfs/dbraw/zinc/68/63/11/745686311.db2.gz UNXJDJJVUPGLRH-RXMQYKEDSA-N -1 1 312.602 1.433 20 0 DDADMM Nc1c2c(=O)nccc-2[n-]n1C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC001192221657 745730187 /nfs/dbraw/zinc/73/01/87/745730187.db2.gz MHQCRPPEHFZCLX-UHFFFAOYSA-N -1 1 320.312 1.337 20 0 DDADMM O=C(N[C@H]1C(=O)NCc2ccccc21)c1cc([O-])cc(F)c1F ZINC001192679161 745859831 /nfs/dbraw/zinc/85/98/31/745859831.db2.gz QQKRUTOKUKWYQF-CQSZACIVSA-N -1 1 318.279 1.771 20 0 DDADMM CCc1nsc(NCCN(CC)C(=O)c2ncccc2[O-])n1 ZINC001106726983 745970925 /nfs/dbraw/zinc/97/09/25/745970925.db2.gz DQNNRFHURNDSTM-UHFFFAOYSA-N -1 1 321.406 1.775 20 0 DDADMM CCc1cc2ncc([N-]S(=O)(=O)C[C@@H]3CCCO3)cn2n1 ZINC001193213027 746015845 /nfs/dbraw/zinc/01/58/45/746015845.db2.gz INTYJDOERHTWSL-LBPRGKRZSA-N -1 1 310.379 1.212 20 0 DDADMM COc1ccc2nccc([N-]S(=O)(=O)CC3CCC3)c2n1 ZINC001193480169 746116441 /nfs/dbraw/zinc/11/64/41/746116441.db2.gz WXVOGCJPMJHBFK-UHFFFAOYSA-N -1 1 307.375 1.602 20 0 DDADMM COCCOc1cc(NC(=O)c2nccc(OC)c2[O-])ccn1 ZINC001193524896 746133756 /nfs/dbraw/zinc/13/37/56/746133756.db2.gz OUFQOKYFXMJYNU-UHFFFAOYSA-N -1 1 319.317 1.468 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cccc3nnc(C)cc32)[n-]n1 ZINC001194289080 746345231 /nfs/dbraw/zinc/34/52/31/746345231.db2.gz WDEIJKVEGSYJKI-UHFFFAOYSA-N -1 1 311.301 1.700 20 0 DDADMM O=C(Nc1ncccc1CCO)c1c[n-]c(C(F)(F)F)n1 ZINC001194773763 746462794 /nfs/dbraw/zinc/46/27/94/746462794.db2.gz WBEKZEPQPXRKNZ-UHFFFAOYSA-N -1 1 300.240 1.611 20 0 DDADMM C[C@H]1COC[C@@H]1NC(=O)c1cc(Br)ccc1[O-] ZINC000699901111 746523108 /nfs/dbraw/zinc/52/31/08/746523108.db2.gz GWUDKHHCKWUPGD-XVKPBYJWSA-N -1 1 300.152 1.919 20 0 DDADMM CC[C@@H](C)OC(=O)Nc1ccc(S(=O)(=O)N(C)C)cc1[O-] ZINC001195121488 746532603 /nfs/dbraw/zinc/53/26/03/746532603.db2.gz FWQHRQRDICAPNO-SECBINFHSA-N -1 1 316.379 1.990 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cnsc2)c(F)cc1F ZINC001195201666 746549008 /nfs/dbraw/zinc/54/90/08/746549008.db2.gz HBMRMMSKPDDIJQ-UHFFFAOYSA-N -1 1 320.298 1.920 20 0 DDADMM COC(=O)c1cncc(CNC(=O)c2cc([O-])cnc2Cl)c1 ZINC001195314465 746574203 /nfs/dbraw/zinc/57/42/03/746574203.db2.gz FOQMRCKPZWOKDL-UHFFFAOYSA-N -1 1 321.720 1.552 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccnnc2Cl)cn1 ZINC001195684306 746672122 /nfs/dbraw/zinc/67/21/22/746672122.db2.gz FPOUNWSFESOLTN-UHFFFAOYSA-N -1 1 300.727 1.334 20 0 DDADMM CC(=O)Nc1cc(NC(=O)c2c[nH]c(=S)[n-]c2=O)ccn1 ZINC001196013749 746756916 /nfs/dbraw/zinc/75/69/16/746756916.db2.gz GGWQPFBIDRMCGK-UHFFFAOYSA-N -1 1 305.319 1.077 20 0 DDADMM CCOC(=O)C1=C(NC(=O)c2c[nH]c(=S)[n-]c2=O)CCCC1 ZINC001196022996 746759883 /nfs/dbraw/zinc/75/98/83/746759883.db2.gz APATZXFKHPRHRR-UHFFFAOYSA-N -1 1 323.374 1.592 20 0 DDADMM O=C(NCc1cncn1C1CCC1)c1c[nH]c(=S)[n-]c1=O ZINC001196027146 746765789 /nfs/dbraw/zinc/76/57/89/746765789.db2.gz RYIXYSIVMQCXOM-UHFFFAOYSA-N -1 1 305.363 1.322 20 0 DDADMM O=C(C[C@@H]1C=CCCC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000994542604 746794278 /nfs/dbraw/zinc/79/42/78/746794278.db2.gz RVOOYOKKBKMOQJ-GFCCVEGCSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)(C)c1nc(C(=O)[N-]c2nc(Cl)nc3[nH]cnc32)no1 ZINC001196981652 747023334 /nfs/dbraw/zinc/02/33/34/747023334.db2.gz SYUGFROQUIHPCS-UHFFFAOYSA-N -1 1 321.728 1.939 20 0 DDADMM O=C([O-])c1ccc(NC(=S)NCCN2CCC[C@@H](O)C2)cc1 ZINC001197136900 747063166 /nfs/dbraw/zinc/06/31/66/747063166.db2.gz KHBQGEZAPSNORH-CYBMUJFWSA-N -1 1 323.418 1.128 20 0 DDADMM CC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3C(=O)c2ncccc2[O-])CC1 ZINC001003309877 747117547 /nfs/dbraw/zinc/11/75/47/747117547.db2.gz GLMRPZPEMJJLPR-UTUOFQBUSA-N -1 1 315.373 1.449 20 0 DDADMM O=C1COc2cccc([N-]S(=O)(=O)Cc3cccnc3)c2N1 ZINC001197456932 747178572 /nfs/dbraw/zinc/17/85/72/747178572.db2.gz BFMXJZPNKBURSF-UHFFFAOYSA-N -1 1 319.342 1.354 20 0 DDADMM O=S(=O)(Cc1c(F)cccc1F)[N-]c1ccn2cnnc2c1 ZINC001197566455 747202622 /nfs/dbraw/zinc/20/26/22/747202622.db2.gz JCYZUBNZVDTZOW-UHFFFAOYSA-N -1 1 324.312 1.949 20 0 DDADMM CCOc1cncc(C(=O)Nc2cc(=O)[n-]c(SC)n2)n1 ZINC001197976966 747329928 /nfs/dbraw/zinc/32/99/28/747329928.db2.gz YKZXVTVOCTZXQV-UHFFFAOYSA-N -1 1 307.335 1.345 20 0 DDADMM C[C@H]1CCc2c(C(=O)NCCCC[P@](=O)([O-])O)n[nH]c21 ZINC001198465088 747502032 /nfs/dbraw/zinc/50/20/32/747502032.db2.gz XYLWHKDGMBFNGB-QMMMGPOBSA-N -1 1 301.283 1.147 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CC[N@@H+](C[C@H]2CCCCO2)C1 ZINC001033030787 747995011 /nfs/dbraw/zinc/99/50/11/747995011.db2.gz ABSJRCZUUHDYMK-UONOGXRCSA-N -1 1 319.405 1.503 20 0 DDADMM Cc1conc1CN1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001033035937 748001154 /nfs/dbraw/zinc/00/11/54/748001154.db2.gz KOOBRMBTDLPIBM-GFCCVEGCSA-N -1 1 316.361 1.430 20 0 DDADMM Cc1cccc(Cl)c1C(=O)N1CC(NC(=O)c2cnn[nH]2)C1 ZINC000995177370 748333418 /nfs/dbraw/zinc/33/34/18/748333418.db2.gz MFFQKJMJRXPKJV-UHFFFAOYSA-N -1 1 319.752 1.021 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]CC(=O)C(C)(C)C ZINC001201769384 748599793 /nfs/dbraw/zinc/59/97/93/748599793.db2.gz VOTDSBIRAJNGMR-UHFFFAOYSA-N -1 1 310.828 1.998 20 0 DDADMM CC(C)CC(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202362064 748761575 /nfs/dbraw/zinc/76/15/75/748761575.db2.gz OVALLIVSCWNMQP-GHMZBOCLSA-N -1 1 307.398 1.073 20 0 DDADMM Cc1cc(N(C)[C@H]2CCN(C(=O)c3ncccc3[O-])C2)ncn1 ZINC001056900797 761995308 /nfs/dbraw/zinc/99/53/08/761995308.db2.gz OORGWPNETSNMIV-LBPRGKRZSA-N -1 1 313.361 1.237 20 0 DDADMM CC(C)c1nc(NCC[N-]C(=O)C(F)(F)F)nc(N(C)C)n1 ZINC001156203300 762004568 /nfs/dbraw/zinc/00/45/68/762004568.db2.gz NIRVASRHXFSONI-UHFFFAOYSA-N -1 1 320.319 1.151 20 0 DDADMM O=C(C1CCC1)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035148167 751231806 /nfs/dbraw/zinc/23/18/06/751231806.db2.gz YLIZUZSYDDIDII-UHFFFAOYSA-N -1 1 319.409 1.125 20 0 DDADMM CC[C@@]1(C)C[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998866691 752310804 /nfs/dbraw/zinc/31/08/04/752310804.db2.gz TYUCXJCJWFHBSX-BZNIZROVSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008064353 752528769 /nfs/dbraw/zinc/52/87/69/752528769.db2.gz OJZKRVDVHYMRDY-GMXVVIOVSA-N -1 1 317.389 1.410 20 0 DDADMM CC(C)CCC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062485223 753054336 /nfs/dbraw/zinc/05/43/36/753054336.db2.gz UJPMQUKYXQZBPR-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@H](NC(=O)[C@H]1CC1(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005942800 753396971 /nfs/dbraw/zinc/39/69/71/753396971.db2.gz ZLYYQKMIWNMBFH-CMPLNLGQSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@@H](NC(=O)[C@H]1CC1(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005942812 753397364 /nfs/dbraw/zinc/39/73/64/753397364.db2.gz ZLYYQKMIWNMBFH-ZYHUDNBSSA-N -1 1 317.389 1.410 20 0 DDADMM Cc1noc([C@H](C)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001010725326 754124909 /nfs/dbraw/zinc/12/49/09/754124909.db2.gz HJLSGOBIPVTUDQ-GXSJLCMTSA-N -1 1 317.349 1.044 20 0 DDADMM Cc1cnc([C@H](C)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001010735869 754131925 /nfs/dbraw/zinc/13/19/25/754131925.db2.gz ZFHBADQNJALOHL-RYUDHWBXSA-N -1 1 316.361 1.649 20 0 DDADMM O=C(C=C1CCC1)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078593341 754205606 /nfs/dbraw/zinc/20/56/06/754205606.db2.gz BNGURPIONCWKEG-VXGBXAGGSA-N -1 1 317.393 1.138 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036039 754319388 /nfs/dbraw/zinc/31/93/88/754319388.db2.gz XDBMGZJORDCEDL-NWDGAFQWSA-N -1 1 303.362 1.118 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCC1CC1 ZINC001012403401 755050021 /nfs/dbraw/zinc/05/00/21/755050021.db2.gz IDJUAIMKKHPYHB-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1=CCCC1 ZINC001012485878 755104683 /nfs/dbraw/zinc/10/46/83/755104683.db2.gz YQDPMRWHIPWGTL-YPMHNXCESA-N -1 1 315.373 1.617 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C(C)(C)F)CN1C(=O)c1ncccc1[O-] ZINC001012505992 755113010 /nfs/dbraw/zinc/11/30/10/755113010.db2.gz LVMKLJKNVKPIOM-ZJUUUORDSA-N -1 1 309.341 1.255 20 0 DDADMM CC(C)CC(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082023113 756586771 /nfs/dbraw/zinc/58/67/71/756586771.db2.gz ZIZCAQWXKCICLF-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2CC23CCCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082842224 756934045 /nfs/dbraw/zinc/93/40/45/756934045.db2.gz BVNHUAVFFBDJPD-IJLUTSLNSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@H](C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCCC1 ZINC001082852720 756937674 /nfs/dbraw/zinc/93/76/74/756937674.db2.gz QKOARUVCJPWBBC-NTZNESFSSA-N -1 1 321.425 1.273 20 0 DDADMM CC(C)=C(F)C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001067172549 757361392 /nfs/dbraw/zinc/36/13/92/757361392.db2.gz LFZPOMAQCHMJIN-UHFFFAOYSA-N -1 1 323.368 1.971 20 0 DDADMM COc1nc(C)cc(N[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097764871 757510002 /nfs/dbraw/zinc/51/00/02/757510002.db2.gz MEPJWSSKJOGSSL-JTQLQIEISA-N -1 1 317.349 1.125 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)CC2CCC2)C1 ZINC001017091206 757629385 /nfs/dbraw/zinc/62/93/85/757629385.db2.gz KRDPZLNHZXKWHV-ZDUSSCGKSA-N -1 1 321.425 1.371 20 0 DDADMM CC(C)(C)C(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400606 757899704 /nfs/dbraw/zinc/89/97/04/757899704.db2.gz QEGPKCHJIDVXRF-UHFFFAOYSA-N -1 1 317.389 1.722 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)C2CC2)CN1C(=O)c1ncccc1[O-] ZINC001017893516 758354372 /nfs/dbraw/zinc/35/43/72/758354372.db2.gz XPWOTDCVNOUKKA-ZYHUDNBSSA-N -1 1 303.362 1.307 20 0 DDADMM CC1(C)CC(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)C1 ZINC001085188580 758361063 /nfs/dbraw/zinc/36/10/63/758361063.db2.gz KCMZQJBOEMODLH-VXGBXAGGSA-N -1 1 321.425 1.464 20 0 DDADMM CC(C)=CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])CC[C@H]1C ZINC001018099455 758545963 /nfs/dbraw/zinc/54/59/63/758545963.db2.gz LTIYKROVVXXHPB-OLZOCXBDSA-N -1 1 317.389 1.863 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)C(C)(C)C)CN1C(=O)c1ncccc1[O-] ZINC001018411148 758800207 /nfs/dbraw/zinc/80/02/07/758800207.db2.gz YBOPMFUSKNKWNX-RYUDHWBXSA-N -1 1 319.405 1.943 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@]3(CCN(CCF)C3)C2)c1[O-] ZINC001054116918 759184145 /nfs/dbraw/zinc/18/41/45/759184145.db2.gz CXNVJKZMPBFFBS-OAHLLOKOSA-N -1 1 310.373 1.321 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]([NH2+]Cc2ccc(F)cn2)C1 ZINC001018751117 759221507 /nfs/dbraw/zinc/22/15/07/759221507.db2.gz SXMHZPFWDPKBHT-ZDUSSCGKSA-N -1 1 316.336 1.326 20 0 DDADMM C[C@@H]1CN(C(=O)C2=CCCCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054600631 759872223 /nfs/dbraw/zinc/87/22/23/759872223.db2.gz QNVADSLULPERCR-DGCLKSJQSA-N -1 1 319.409 1.337 20 0 DDADMM CCc1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1 ZINC001019728620 760209938 /nfs/dbraw/zinc/20/99/38/760209938.db2.gz RZJLQUMROOXLKO-ZDUSSCGKSA-N -1 1 315.377 1.077 20 0 DDADMM O=C(CCCF)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066400011 761001946 /nfs/dbraw/zinc/00/19/46/761001946.db2.gz HUOVZZMFYHMJAV-GFCCVEGCSA-N -1 1 323.368 1.648 20 0 DDADMM CN(CCCN(C)C(=O)C1(C)CC1)C(=O)c1ncccc1[O-] ZINC001067254642 761057726 /nfs/dbraw/zinc/05/77/26/761057726.db2.gz HQNXMQTVOLXPCS-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM O=C(N[C@@H]1CC[C@@H](CNc2ncccn2)C1)c1ncccc1[O-] ZINC001069461145 768028506 /nfs/dbraw/zinc/02/85/06/768028506.db2.gz JMELUGYKJZVPTE-VXGBXAGGSA-N -1 1 313.361 1.588 20 0 DDADMM CC(C)C(=O)N1CCCC[C@H]1[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039190357 761815034 /nfs/dbraw/zinc/81/50/34/761815034.db2.gz LLANTHCNIXSZJN-OLZOCXBDSA-N -1 1 321.425 1.369 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1c1cc(F)ncn1 ZINC001050205840 763319268 /nfs/dbraw/zinc/31/92/68/763319268.db2.gz BMMPWHRUOPCJAE-ZJUUUORDSA-N -1 1 317.324 1.114 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](Nc2nccnc2F)C1 ZINC001057623672 764157691 /nfs/dbraw/zinc/15/76/91/764157691.db2.gz NOCVXPUMGBELNX-JTQLQIEISA-N -1 1 317.324 1.433 20 0 DDADMM Cc1ncc(C)c(N[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112984728 765084796 /nfs/dbraw/zinc/08/47/96/765084796.db2.gz YDLLHIQXUHKBGW-QWRGUYRKSA-N -1 1 315.377 1.813 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1ncc(Cl)cn1 ZINC001112983348 765098994 /nfs/dbraw/zinc/09/89/94/765098994.db2.gz JRWIBQZGJQIUIK-IUCAKERBSA-N -1 1 321.768 1.850 20 0 DDADMM Cc1ccnc(NC2CC(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001051911078 765270979 /nfs/dbraw/zinc/27/09/79/765270979.db2.gz WRJYVAOOIKRPLW-UHFFFAOYSA-N -1 1 313.361 1.506 20 0 DDADMM CCCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1[O-])C2 ZINC001047223215 768327155 /nfs/dbraw/zinc/32/71/55/768327155.db2.gz ULWRRYWEZAYYGS-XQQFMLRXSA-N -1 1 317.389 1.697 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)o1 ZINC001044983532 765996288 /nfs/dbraw/zinc/99/62/88/765996288.db2.gz NEJJLNALROKBGX-NSHDSACASA-N -1 1 319.365 1.115 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncc(-c4cccnc4)cn3)c2[nH]1 ZINC001170216743 766175556 /nfs/dbraw/zinc/17/55/56/766175556.db2.gz NSZNSWQZWYRWMC-UHFFFAOYSA-N -1 1 322.288 1.311 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1c1ncccn1 ZINC001067527354 766600913 /nfs/dbraw/zinc/60/09/13/766600913.db2.gz ADDALAIZQZLRML-RYUDHWBXSA-N -1 1 313.361 1.365 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648325 768643597 /nfs/dbraw/zinc/64/35/97/768643597.db2.gz FNBCTZOKTMJMKO-NDZKXSSTSA-N -1 1 303.362 1.474 20 0 DDADMM CC/C=C(/C)C(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071734079 769971012 /nfs/dbraw/zinc/97/10/12/769971012.db2.gz QMAOMCVLLODRAV-BLJGWETHSA-N -1 1 317.389 1.720 20 0 DDADMM CC(C)=CCC[C@H](C)CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134189230 770752849 /nfs/dbraw/zinc/75/28/49/770752849.db2.gz CCCHBICXYPPDFN-LBPRGKRZSA-N -1 1 309.414 1.489 20 0 DDADMM O=C(NC[C@H](Nc1cnc(F)cn1)C1CC1)c1ncccc1[O-] ZINC001096641286 771365458 /nfs/dbraw/zinc/36/54/58/771365458.db2.gz YREQDHCGBYFOOT-JTQLQIEISA-N -1 1 317.324 1.337 20 0 DDADMM COc1ccccc1Oc1ccc(C(=O)Nc2nn[n-]n2)nc1 ZINC001136947058 772220485 /nfs/dbraw/zinc/22/04/85/772220485.db2.gz VQMHBIRDKQOPFI-UHFFFAOYSA-N -1 1 312.289 1.648 20 0 DDADMM O=C1COCC2(CCN(Cc3cc(F)c([O-])cc3F)CC2)N1 ZINC001144648173 772587343 /nfs/dbraw/zinc/58/73/43/772587343.db2.gz PEBIHJMPLBMNNO-UHFFFAOYSA-N -1 1 312.316 1.151 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cc(=O)[nH]c(Cl)c2)c1[O-] ZINC001147833161 773260072 /nfs/dbraw/zinc/26/00/72/773260072.db2.gz FCQDTWMQJZDWGV-UHFFFAOYSA-N -1 1 309.709 1.594 20 0 DDADMM CCN(CC)c1ccc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001148271546 773428768 /nfs/dbraw/zinc/42/87/68/773428768.db2.gz DZQXNKVDVFGHAF-UHFFFAOYSA-N -1 1 317.349 1.569 20 0 DDADMM CCOC(=O)CCNC(=O)c1ccc(-n2[n-]c(C)cc2=O)cc1 ZINC001148335754 773440472 /nfs/dbraw/zinc/44/04/72/773440472.db2.gz IQHWRNIKFVGECI-UHFFFAOYSA-N -1 1 317.345 1.482 20 0 DDADMM O=C(Nc1ncnc2n[nH]nc21)c1ccc(C(F)(F)F)cc1[O-] ZINC001148790883 773589657 /nfs/dbraw/zinc/58/96/57/773589657.db2.gz WXBBLNPPFHUDDE-UHFFFAOYSA-N -1 1 324.222 1.725 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2cccc(C(N)=O)c2)c1 ZINC001174059623 777398203 /nfs/dbraw/zinc/39/82/03/777398203.db2.gz RZOUNNGMDMHJIT-UHFFFAOYSA-N -1 1 306.343 1.638 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(N)c(C)c2)cnc1OC ZINC001174548019 777516415 /nfs/dbraw/zinc/51/64/15/777516415.db2.gz FRBIIRCHEIPYMQ-UHFFFAOYSA-N -1 1 323.374 1.790 20 0 DDADMM COc1cc(NS(=O)(=O)c2cncc(N)c2)ccc1C(=O)[O-] ZINC001174683875 777551601 /nfs/dbraw/zinc/55/16/01/777551601.db2.gz SZCBIZJFSRDWNE-UHFFFAOYSA-N -1 1 323.330 1.171 20 0 DDADMM CCNC(=O)c1ccc(Nc2cc(=O)[n-]c(N(C)C)n2)cc1 ZINC001175627548 777849712 /nfs/dbraw/zinc/84/97/12/777849712.db2.gz GDWRVTYEGWYKCZ-UHFFFAOYSA-N -1 1 301.350 1.742 20 0 DDADMM CN(C)c1nc(Nc2ccc(C(=O)NC3CC3)cc2)cc(=O)[n-]1 ZINC001175604236 777857702 /nfs/dbraw/zinc/85/77/02/777857702.db2.gz JTXAGYLEJYVNOF-UHFFFAOYSA-N -1 1 313.361 1.884 20 0 DDADMM CN(C)C(=O)c1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c(F)c1 ZINC001176202107 778077458 /nfs/dbraw/zinc/07/74/58/778077458.db2.gz LSNWVIUPCFLTLD-UHFFFAOYSA-N -1 1 323.353 1.638 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2cnc3nonc3c2)c1 ZINC001176695140 778215038 /nfs/dbraw/zinc/21/50/38/778215038.db2.gz GBLFIIIZIQREKI-UHFFFAOYSA-N -1 1 306.303 1.471 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)[C@H]1CC1(C)C ZINC001102872694 778465481 /nfs/dbraw/zinc/46/54/81/778465481.db2.gz RFRQYCLJEGCHNH-IJLUTSLNSA-N -1 1 321.425 1.131 20 0 DDADMM O=C([O-])c1cc(-c2ccc(S(=O)(=O)C3CNC3)cc2)cs1 ZINC001206298604 778530341 /nfs/dbraw/zinc/53/03/41/778530341.db2.gz IZQPVLUJRHHBPO-UHFFFAOYSA-N -1 1 323.395 1.859 20 0 DDADMM O=C(COc1ccccn1)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001177632244 778679237 /nfs/dbraw/zinc/67/92/37/778679237.db2.gz UZJMFPLESZTTOB-UHFFFAOYSA-N -1 1 310.313 1.990 20 0 DDADMM C[C@@H]1CN(C(=O)CC(C)(C)C)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001103889312 779136089 /nfs/dbraw/zinc/13/60/89/779136089.db2.gz SVNYBYZJEFFBQW-VXGBXAGGSA-N -1 1 323.441 1.521 20 0 DDADMM Cc1cc(NC[C@@H](C)CNC(=O)c2ncccc2[O-])ncn1 ZINC001103917278 779168908 /nfs/dbraw/zinc/16/89/08/779168908.db2.gz IMUMDTFGCVMOBL-SNVBAGLBSA-N -1 1 301.350 1.364 20 0 DDADMM Cc1nsc(NC[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001103917451 779169002 /nfs/dbraw/zinc/16/90/02/779169002.db2.gz NPJHPSPIRFYEQG-MRVPVSSYSA-N -1 1 307.379 1.425 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)Cc1ccc2c(c1)CCCC2 ZINC001180394606 779745059 /nfs/dbraw/zinc/74/50/59/779745059.db2.gz MBUJYOVMLZEKEE-UHFFFAOYSA-N -1 1 314.345 1.530 20 0 DDADMM CC(C)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[N-]OC(C)(C)C ZINC001117641130 780868656 /nfs/dbraw/zinc/86/86/56/780868656.db2.gz KXADVHKVSLWTII-LBPRGKRZSA-N -1 1 310.398 1.329 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N1C2CCC1CC2 ZINC001119934706 781686730 /nfs/dbraw/zinc/68/67/30/781686730.db2.gz QNNCYQXGUIUHES-UHFFFAOYSA-N -1 1 324.327 1.483 20 0 DDADMM CO[C@H](C)[C@H](NCc1cccnc1Br)C(=O)[O-] ZINC001120002396 781716107 /nfs/dbraw/zinc/71/61/07/781716107.db2.gz UWQDYDBWAQBQNH-APPZFPTMSA-N -1 1 303.156 1.422 20 0 DDADMM CC[C@@H](CC(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001266973456 837134536 /nfs/dbraw/zinc/13/45/36/837134536.db2.gz ZYSBFGLDXNRYHS-NSHDSACASA-N -1 1 311.430 1.521 20 0 DDADMM Cc1cnc([C@@H](C)NCCCN(C)C(=O)c2n[nH]c(C)c2[O-])o1 ZINC001268786926 840800834 /nfs/dbraw/zinc/80/08/34/840800834.db2.gz YFOXUBPUARZRRC-LLVKDONJSA-N -1 1 321.381 1.533 20 0 DDADMM CN1c2ccccc2[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC001268845181 840894510 /nfs/dbraw/zinc/89/45/10/840894510.db2.gz GOOSBROYIOHMJF-GOSISDBHSA-N -1 1 323.352 1.548 20 0 DDADMM CCCCN1CC[C@]2(CCN(C(=O)c3ccc([O-])cn3)C2)C1=O ZINC001269354282 841557917 /nfs/dbraw/zinc/55/79/17/841557917.db2.gz FNPSFDOHOAQSLX-QGZVFWFLSA-N -1 1 317.389 1.652 20 0 DDADMM CN(C)C(=O)C12CC(NC(=O)Cc3ccc([O-])c(Cl)c3)(C1)C2 ZINC001269508732 841712060 /nfs/dbraw/zinc/71/20/60/841712060.db2.gz DXQGOBDGNKKTIZ-UHFFFAOYSA-N -1 1 322.792 1.715 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CSC[C@@H]2C(=O)N(C)C)c1 ZINC001142828075 861276802 /nfs/dbraw/zinc/27/68/02/861276802.db2.gz XYPNXQXHXODMRC-GFCCVEGCSA-N -1 1 322.386 1.116 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@@]12CCN(C(=O)c1ccc([O-])cn1)C2 ZINC001270139690 842326924 /nfs/dbraw/zinc/32/69/24/842326924.db2.gz YWRKICXKEXOOOW-DYVFJYSZSA-N -1 1 317.389 1.508 20 0 DDADMM CC(C)NC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC001270257618 842429538 /nfs/dbraw/zinc/42/95/38/842429538.db2.gz CKNWTVJXXMOEMQ-TXEJJXNPSA-N -1 1 318.377 1.194 20 0 DDADMM CC[C@@H]1CCC[C@H]1C[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001414271768 842710640 /nfs/dbraw/zinc/71/06/40/842710640.db2.gz NINXTAOTAIGKLR-ZJUUUORDSA-N -1 1 315.395 1.301 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1CCN1C(C)(C)C ZINC001364011221 842941506 /nfs/dbraw/zinc/94/15/06/842941506.db2.gz KVLODJXGZDBYCQ-VIFPVBQESA-N -1 1 319.452 1.303 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C/C=C\c2cccnc2)c1 ZINC001414301553 843602492 /nfs/dbraw/zinc/60/24/92/843602492.db2.gz LYAVOUCZZMHORK-HYXAFXHYSA-N -1 1 322.342 1.453 20 0 DDADMM CC(=O)N[C@@H]1CCCN(C(=O)c2ccc3cccnc3c2[O-])C1 ZINC001149322176 861545147 /nfs/dbraw/zinc/54/51/47/861545147.db2.gz HTEKNZCAITZAKW-CYBMUJFWSA-N -1 1 313.357 1.681 20 0 DDADMM CCOC(=O)CC(=N)NC(=O)c1ccc2cccnc2c1[O-] ZINC001149326437 861548724 /nfs/dbraw/zinc/54/87/24/861548724.db2.gz HOWVMROIVDCQGA-UHFFFAOYSA-N -1 1 301.302 1.601 20 0 DDADMM Cc1nc([C@@H](C)N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])no1 ZINC001409538667 845271285 /nfs/dbraw/zinc/27/12/85/845271285.db2.gz LSWDQHBMFBSONF-VHSXEESVSA-N -1 1 319.365 1.290 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)co1 ZINC001272408407 846108995 /nfs/dbraw/zinc/10/89/95/846108995.db2.gz CNIZKBRFHPTGCD-LLVKDONJSA-N -1 1 319.365 1.054 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-]Cc1cc(Br)no1 ZINC001365398303 890730860 /nfs/dbraw/zinc/73/08/60/890730860.db2.gz LEVZEHVYQYHGCO-UHFFFAOYSA-N -1 1 322.140 1.045 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC[C@@H]1CCO[C@@H](C)C1 ZINC001434562147 848691077 /nfs/dbraw/zinc/69/10/77/848691077.db2.gz IPVHOHJBTCAKKG-VHSXEESVSA-N -1 1 320.436 1.635 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)CC2(C)C)[n-]n1 ZINC001434608807 849438425 /nfs/dbraw/zinc/43/84/25/849438425.db2.gz ULZGIDDEFBKTLP-QMMMGPOBSA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)CC2(C)C)n[n-]1 ZINC001434608807 849438429 /nfs/dbraw/zinc/43/84/29/849438429.db2.gz ULZGIDDEFBKTLP-QMMMGPOBSA-N -1 1 301.368 1.005 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C/C=C/C2CC2)c(C(F)(F)F)n1 ZINC001324214695 849911296 /nfs/dbraw/zinc/91/12/96/849911296.db2.gz WFUODCKPXULHMU-NSCUHMNNSA-N -1 1 309.313 1.683 20 0 DDADMM Cc1ccc(Br)c(-c2noc(Cc3nnn[n-]3)n2)c1 ZINC001247142176 850802969 /nfs/dbraw/zinc/80/29/69/850802969.db2.gz JQIOTSSGMPPDDR-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM Cc1ccc(Br)c(-c2noc(Cc3nn[n-]n3)n2)c1 ZINC001247142176 850802976 /nfs/dbraw/zinc/80/29/76/850802976.db2.gz JQIOTSSGMPPDDR-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM CSc1nc(NC(=O)c2ccn3ncnc3c2)cc(=O)[n-]1 ZINC001155578934 862248046 /nfs/dbraw/zinc/24/80/46/862248046.db2.gz KQUVAHANGAVYFT-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM COC(CN(C)C(=O)c1ccc2ccc(C)nc2c1[O-])OC ZINC001155653024 862343777 /nfs/dbraw/zinc/34/37/77/862343777.db2.gz QPQBCUUYZUOBKU-UHFFFAOYSA-N -1 1 304.346 1.940 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC[C@]3(CCC[C@H]3C(N)=O)C2)n1 ZINC001274974815 852723942 /nfs/dbraw/zinc/72/39/42/852723942.db2.gz PABSAMWVZGDSLW-MEDUHNTESA-N -1 1 303.362 1.213 20 0 DDADMM CCN1CC2(C1)CN(C(=O)c1c(F)c(F)c([O-])c(F)c1F)C2 ZINC001275085435 852796517 /nfs/dbraw/zinc/79/65/17/852796517.db2.gz VIPDQLUJKBCGBE-UHFFFAOYSA-N -1 1 318.270 1.726 20 0 DDADMM C[C@H](CNC(=O)c1ccco1)N(C)C(=O)c1ncccc1[O-] ZINC001411477360 853187389 /nfs/dbraw/zinc/18/73/89/853187389.db2.gz GSQQUHGBNXBWHJ-SNVBAGLBSA-N -1 1 303.318 1.271 20 0 DDADMM NC(=O)c1cc2c([nH]1)CN(C(=O)c1c(F)ccc([O-])c1F)CC2 ZINC001275613483 853434636 /nfs/dbraw/zinc/43/46/36/853434636.db2.gz YQTWFPOTPYIWPK-UHFFFAOYSA-N -1 1 321.283 1.296 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]1c1cccn1C ZINC001411616888 853466536 /nfs/dbraw/zinc/46/65/36/853466536.db2.gz JIIYKSPOQVBECS-JTQLQIEISA-N -1 1 318.333 1.212 20 0 DDADMM C[C@H](NC(=O)c1ccc([O-])cn1)c1nnc2n1CCCCC2 ZINC001411621655 853474687 /nfs/dbraw/zinc/47/46/87/853474687.db2.gz KTTNROKWZUSDDG-JTQLQIEISA-N -1 1 301.350 1.596 20 0 DDADMM C[C@@H](C(=O)N(C)CCNC(=O)c1ncccc1[O-])C(C)(F)F ZINC001415477391 853477890 /nfs/dbraw/zinc/47/78/90/853477890.db2.gz NQMXLYCSRAWQKL-VIFPVBQESA-N -1 1 315.320 1.267 20 0 DDADMM C[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)c1nnc2n1CCC2 ZINC001411668514 853550615 /nfs/dbraw/zinc/55/06/15/853550615.db2.gz WWHLZJQDCSQTRM-MRVPVSSYSA-N -1 1 314.349 1.088 20 0 DDADMM CSc1ncc(C(=O)N(C)Cc2cnc(C)s2)c(=O)[n-]1 ZINC001411681039 853566079 /nfs/dbraw/zinc/56/60/79/853566079.db2.gz GIEGBFCKJJUICR-UHFFFAOYSA-N -1 1 310.404 1.941 20 0 DDADMM Cc1ccc2nc(C(=O)Nc3[nH]c(=O)[n-]c(=O)c3N=O)cn2c1 ZINC001150877183 862509788 /nfs/dbraw/zinc/50/97/88/862509788.db2.gz HAMMGYNGASJHAY-UHFFFAOYSA-N -1 1 314.261 1.494 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn3cc(C)ccc3n2)n1 ZINC001150877163 862510120 /nfs/dbraw/zinc/51/01/20/862510120.db2.gz FWENJXGYIGOAPN-UHFFFAOYSA-N -1 1 313.317 1.795 20 0 DDADMM CN(C(=O)COc1ccc(Cl)cc1Cl)c1nn[n-]n1 ZINC001412224921 854357351 /nfs/dbraw/zinc/35/73/51/854357351.db2.gz DSROWMGVZMKIGA-UHFFFAOYSA-N -1 1 302.121 1.548 20 0 DDADMM Nc1nnc([C@H]2CCCN(C(=O)c3ccc([O-])cc3F)C2)o1 ZINC001412379867 854491840 /nfs/dbraw/zinc/49/18/40/854491840.db2.gz RPJHQWCBWKQPIG-QMMMGPOBSA-N -1 1 306.297 1.516 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cnn([C@@H]2CCCCO2)c1 ZINC001412380631 854492458 /nfs/dbraw/zinc/49/24/58/854492458.db2.gz CHSBMQIUIBDIBG-ZDUSSCGKSA-N -1 1 305.342 1.057 20 0 DDADMM O=C(c1c(Cl)n[nH]c1C1CC1)N1CCC(c2nn[n-]n2)CC1 ZINC001412490860 854618741 /nfs/dbraw/zinc/61/87/41/854618741.db2.gz OPWPGJIZXJWLNX-UHFFFAOYSA-N -1 1 321.772 1.473 20 0 DDADMM COC1(c2nccn2C)CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001412543711 854693080 /nfs/dbraw/zinc/69/30/80/854693080.db2.gz PPMCQBBSCZJXMQ-UHFFFAOYSA-N -1 1 316.361 1.299 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CCC2)c(C)n1CC1CC1 ZINC001412547148 854702014 /nfs/dbraw/zinc/70/20/14/854702014.db2.gz WQFROKZPDSQSFG-UHFFFAOYSA-N -1 1 314.393 1.837 20 0 DDADMM COC[C@@H](NC(=O)C[C@@H]1CCc2ccccc2C1)c1nn[n-]n1 ZINC001412599353 854790581 /nfs/dbraw/zinc/79/05/81/854790581.db2.gz WNPICSCCWQPAAI-BXUZGUMPSA-N -1 1 315.377 1.199 20 0 DDADMM COC[C@@H](NC(=O)CCOc1ccc(C)cc1C)c1nn[n-]n1 ZINC001412606055 854801922 /nfs/dbraw/zinc/80/19/22/854801922.db2.gz LUEBCXSVSLXKAN-GFCCVEGCSA-N -1 1 319.365 1.089 20 0 DDADMM CC(C)c1nnc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)s1 ZINC001412609454 854803904 /nfs/dbraw/zinc/80/39/04/854803904.db2.gz KPAMNWOEZIXDTF-VIFPVBQESA-N -1 1 321.410 1.270 20 0 DDADMM Cc1cc(C)c(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)[nH]1 ZINC001412703502 854985251 /nfs/dbraw/zinc/98/52/51/854985251.db2.gz RXOOOHWXTULOBU-UHFFFAOYSA-N -1 1 304.350 1.456 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC[C@H](Cn2ccnn2)C1 ZINC001412728554 855035833 /nfs/dbraw/zinc/03/58/33/855035833.db2.gz WIBJVAQARRGZCK-NSHDSACASA-N -1 1 304.325 1.675 20 0 DDADMM O=C(Cn1nc(C(F)F)cc1C(F)F)Nc1c[n-][nH]c1=O ZINC001412853861 855725822 /nfs/dbraw/zinc/72/58/22/855725822.db2.gz JEQBFAUWCCZBRQ-UHFFFAOYSA-N -1 1 307.207 1.826 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(C3CC3)n[nH]2)[n-]1 ZINC001413266670 856587415 /nfs/dbraw/zinc/58/74/15/856587415.db2.gz BZDOVSSCZBUTPD-SSDOTTSWSA-N -1 1 318.337 1.073 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(C3CC3)n[nH]2)n[n-]1 ZINC001413266670 856587421 /nfs/dbraw/zinc/58/74/21/856587421.db2.gz BZDOVSSCZBUTPD-SSDOTTSWSA-N -1 1 318.337 1.073 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(C3CC3)n[nH]2)n1 ZINC001413266670 856587425 /nfs/dbraw/zinc/58/74/25/856587425.db2.gz BZDOVSSCZBUTPD-SSDOTTSWSA-N -1 1 318.337 1.073 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2csc(C)n2)n[n-]1 ZINC001413269721 856595878 /nfs/dbraw/zinc/59/58/78/856595878.db2.gz JJUXOJQORFUJSY-SSDOTTSWSA-N -1 1 323.378 1.166 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2csc(C)n2)[n-]1 ZINC001413269721 856595882 /nfs/dbraw/zinc/59/58/82/856595882.db2.gz JJUXOJQORFUJSY-SSDOTTSWSA-N -1 1 323.378 1.166 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2csc(C)n2)n1 ZINC001413269721 856595884 /nfs/dbraw/zinc/59/58/84/856595884.db2.gz JJUXOJQORFUJSY-SSDOTTSWSA-N -1 1 323.378 1.166 20 0 DDADMM C[C@@H]1CCc2nnc(NCC[N-]C(=O)C(F)(F)F)cc2C1 ZINC001156206482 862819751 /nfs/dbraw/zinc/81/97/51/862819751.db2.gz ZHOMBXFQNUTCQH-MRVPVSSYSA-N -1 1 302.300 1.692 20 0 DDADMM CC1N=NC(C(=O)Nc2ccccc2[C@H]2CCC(=O)N2)=C1[O-] ZINC001413330749 856684723 /nfs/dbraw/zinc/68/47/23/856684723.db2.gz MOLLDHFPGPXBDI-LLVKDONJSA-N -1 1 300.318 1.627 20 0 DDADMM CC[C@@H](NC(=O)CCc1nn[n-]n1)c1cccc(OC)c1OC ZINC001413428836 856797535 /nfs/dbraw/zinc/79/75/35/856797535.db2.gz SRXLCOQQAMTLCV-LLVKDONJSA-N -1 1 319.365 1.417 20 0 DDADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)C[C@@H](C)C1CC1 ZINC001413439002 856812087 /nfs/dbraw/zinc/81/20/87/856812087.db2.gz RTMWLSWSMPVDEG-KOLCDFICSA-N -1 1 309.453 1.247 20 0 DDADMM CC[C@@H](OC1CCCC1)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001413501734 856896385 /nfs/dbraw/zinc/89/63/85/856896385.db2.gz GIPKYGCACSVGPA-CYBMUJFWSA-N -1 1 309.414 1.922 20 0 DDADMM CC[C@H](C)n1nc(C(=O)N(Cc2nn[n-]n2)CC(C)C)cc1C ZINC001413502455 856897368 /nfs/dbraw/zinc/89/73/68/856897368.db2.gz IEDDNUCRGJDGNT-NSHDSACASA-N -1 1 319.413 1.974 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC[C@@H](c2ncon2)C1 ZINC001413551470 857049653 /nfs/dbraw/zinc/04/96/53/857049653.db2.gz GBSWWQSJPXDFKM-MRVPVSSYSA-N -1 1 321.362 1.225 20 0 DDADMM COC[C@H](NC(=O)c1cc(Cl)sc1Cl)c1nn[n-]n1 ZINC001413555803 857056012 /nfs/dbraw/zinc/05/60/12/857056012.db2.gz PVKZBJJJHROHHK-YFKPBYRVSA-N -1 1 322.177 1.686 20 0 DDADMM COC[C@H](NC(=O)Cc1cccc(C(F)F)c1)c1nn[n-]n1 ZINC001413558280 857064962 /nfs/dbraw/zinc/06/49/62/857064962.db2.gz VSXZDLNCGBALDN-JTQLQIEISA-N -1 1 311.292 1.184 20 0 DDADMM CCCN(C(=O)c1cnc(SC)[n-]c1=O)[C@@H](C)C(=O)OC ZINC001413669843 857309609 /nfs/dbraw/zinc/30/96/09/857309609.db2.gz RJLYAZFBVXMBLW-QMMMGPOBSA-N -1 1 313.379 1.318 20 0 DDADMM CC1(NC(=O)CCCF)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072969168 857948614 /nfs/dbraw/zinc/94/86/14/857948614.db2.gz PHURLZFHHKGWQJ-UHFFFAOYSA-N -1 1 323.368 1.648 20 0 DDADMM O=C(NC1C[C@H]2CC[C@@H](C1)S2(=O)=O)c1cccc([O-])c1F ZINC001413731052 858209275 /nfs/dbraw/zinc/20/92/75/858209275.db2.gz KHGDEYSQSGKUGC-PBINXNQUSA-N -1 1 313.350 1.369 20 0 DDADMM COC(=O)c1sccc1[N-]C(=O)c1nc(OC)cc(OC)n1 ZINC001361292018 881399225 /nfs/dbraw/zinc/39/92/25/881399225.db2.gz XVBGIKDFRPGORP-UHFFFAOYSA-N -1 1 323.330 1.594 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](CO)Cc1ccccc1 ZINC001123786719 859414443 /nfs/dbraw/zinc/41/44/43/859414443.db2.gz SXTNNWFYZCLHTM-NSHDSACASA-N -1 1 319.386 1.113 20 0 DDADMM O=C(/C=C/Sc1ccccc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123869013 859447730 /nfs/dbraw/zinc/44/77/30/859447730.db2.gz KLALDVMEKHHUPM-MXMFLMJRSA-N -1 1 301.375 1.822 20 0 DDADMM O=C(C=Cc1ccc(Cl)nc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123962778 859499319 /nfs/dbraw/zinc/49/93/19/859499319.db2.gz WMASBVTUHYPWKU-YWNRKNDBSA-N -1 1 304.741 1.277 20 0 DDADMM O=C(C=Cc1ccc(Cl)nc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123962776 859499331 /nfs/dbraw/zinc/49/93/31/859499331.db2.gz WMASBVTUHYPWKU-XCRNYIDWSA-N -1 1 304.741 1.277 20 0 DDADMM CC(=O)C[C@@H]1COCCN1C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001138178117 860034964 /nfs/dbraw/zinc/03/49/64/860034964.db2.gz SZDPRSDXTCNLIV-CYBMUJFWSA-N -1 1 305.330 1.333 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2cc(C)cc(C=O)c2[O-])[C@H]1OC ZINC001138618408 860146694 /nfs/dbraw/zinc/14/66/94/860146694.db2.gz CGVCWXNQEMGSAJ-GZBFAFLISA-N -1 1 307.346 1.435 20 0 DDADMM c1cnc([C@H]2CCC[N@H+]2Cc2ccc(-c3nn[nH]n3)cc2)nc1 ZINC001139096106 860282193 /nfs/dbraw/zinc/28/21/93/860282193.db2.gz HEFPBCTVWRIASA-CQSZACIVSA-N -1 1 307.361 1.994 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(Cc2ccncc2[O-])CC1=O ZINC001140276353 860607273 /nfs/dbraw/zinc/60/72/73/860607273.db2.gz AFFCQWSDNCALNU-UHFFFAOYSA-N -1 1 307.350 1.367 20 0 DDADMM Cc1[nH]c(CN2CCC(NC(=O)C3CC3)CC2)c(C)c1C(=O)[O-] ZINC001140496250 860644999 /nfs/dbraw/zinc/64/49/99/860644999.db2.gz XMFWCHPXRSXVEV-UHFFFAOYSA-N -1 1 319.405 1.820 20 0 DDADMM COC(=O)C1(O)CCN(Cc2cc(F)c([O-])c(F)c2)CC1 ZINC001140992059 860753924 /nfs/dbraw/zinc/75/39/24/860753924.db2.gz LCDIMDGAWDTSOT-UHFFFAOYSA-N -1 1 301.289 1.170 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nc3ccccn3c2F)n1 ZINC001154241663 860909349 /nfs/dbraw/zinc/90/93/49/860909349.db2.gz ZZUHFYKQYKXXFI-UHFFFAOYSA-N -1 1 317.280 1.626 20 0 DDADMM CN(C)c1nc(NC(=O)C=Cc2ccccn2)c(N=O)c(=O)[n-]1 ZINC001142290514 861106905 /nfs/dbraw/zinc/10/69/05/861106905.db2.gz HIBBBBQVTIKNNZ-VOTSOKGWSA-N -1 1 314.305 1.693 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc4c(c3)COC(=O)N4)ccnc1-2 ZINC001361430075 881637853 /nfs/dbraw/zinc/63/78/53/881637853.db2.gz KZFPQDIZZSCUGN-UHFFFAOYSA-N -1 1 323.312 1.656 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cn2ccnc2s1 ZINC001156817916 863364626 /nfs/dbraw/zinc/36/46/26/863364626.db2.gz LZFAUYPPFGTVSO-UHFFFAOYSA-N -1 1 303.280 1.084 20 0 DDADMM CCN(Cc1coc(C)n1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152975732 863629619 /nfs/dbraw/zinc/62/96/19/863629619.db2.gz ZUUXWOQGQMMBSD-LLVKDONJSA-N -1 1 318.377 1.724 20 0 DDADMM CCCC[C@H](NC(=O)c1c(C)[nH]c(=O)[n-]c1=S)C(=O)OC ZINC001153645700 863997354 /nfs/dbraw/zinc/99/73/54/863997354.db2.gz RFPPYTUUVLPVSM-QMMMGPOBSA-N -1 1 313.379 1.241 20 0 DDADMM Nc1cccc2c1N(C(=O)c1cnc3cccnc3c1[O-])CC2 ZINC001153860268 864168796 /nfs/dbraw/zinc/16/87/96/864168796.db2.gz KWWHMRKHXCPHMU-UHFFFAOYSA-N -1 1 306.325 1.708 20 0 DDADMM [O-]c1cccnc1CNC(F)(F)COc1ccc(Cl)nn1 ZINC001158774698 864900051 /nfs/dbraw/zinc/90/00/51/864900051.db2.gz UQBQKPXMKJBMSN-UHFFFAOYSA-N -1 1 316.695 1.992 20 0 DDADMM Cc1cn2nc(NCc3nc4c(c(=O)[n-]3)COCC4)sc2n1 ZINC001331906835 865425818 /nfs/dbraw/zinc/42/58/18/865425818.db2.gz GKEGABHZEKUTBD-UHFFFAOYSA-N -1 1 318.362 1.280 20 0 DDADMM Cc1n[nH]c(C(=O)NCCC2=CCN(C/C=C/Cl)CC2)c1[O-] ZINC001159820019 865545011 /nfs/dbraw/zinc/54/50/11/865545011.db2.gz ZBBNQMMLFYCYKL-QHHAFSJGSA-N -1 1 324.812 1.928 20 0 DDADMM Cc1cc(C(F)(F)[N-]c2nccnc2CN)nc(Cl)n1 ZINC001160246618 865745674 /nfs/dbraw/zinc/74/56/74/865745674.db2.gz WQTLXWDRPOOMHF-UHFFFAOYSA-N -1 1 300.700 1.849 20 0 DDADMM CCC=C(C)C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001332768514 866126981 /nfs/dbraw/zinc/12/69/81/866126981.db2.gz FXROHYQJTASEPR-BRNRAETOSA-N -1 1 309.414 1.487 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)c1ccc(-n2cnnn2)cn1 ZINC001160917409 866186233 /nfs/dbraw/zinc/18/62/33/866186233.db2.gz TVRCBGKRVQENSO-UHFFFAOYSA-N -1 1 318.243 1.293 20 0 DDADMM CO[C@H](C(=O)[O-])C(=O)Nc1cccc(CN2CCSCC2)c1 ZINC001333137938 866453301 /nfs/dbraw/zinc/45/33/01/866453301.db2.gz QGWOUUKTHMYVFQ-ZDUSSCGKSA-N -1 1 324.402 1.274 20 0 DDADMM COC(=O)[C@@H](C)c1ccc(NCC[N-]C(=O)C(F)(F)F)nc1 ZINC001164244008 869051182 /nfs/dbraw/zinc/05/11/82/869051182.db2.gz PRNZUCBKXPEJSX-QMMMGPOBSA-N -1 1 319.283 1.449 20 0 DDADMM COc1cnc(=S)[n-]c1OC1CCN(c2ncccn2)CC1 ZINC001226242742 882302306 /nfs/dbraw/zinc/30/23/06/882302306.db2.gz SGMXLBXXFZTLCC-UHFFFAOYSA-N -1 1 319.390 1.612 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@](O)(CC(=O)[N-]OC2CCC2)C1 ZINC001337893631 869672950 /nfs/dbraw/zinc/67/29/50/869672950.db2.gz QELIHWLPFZESOQ-OAHLLOKOSA-N -1 1 314.382 1.349 20 0 DDADMM Cc1cccc(C)c1[C@@H]1CCCN1c1nnc(-c2nnn[n-]2)n1C ZINC001339543280 870548308 /nfs/dbraw/zinc/54/83/08/870548308.db2.gz IOFPDTIYBGPAKV-LBPRGKRZSA-N -1 1 324.392 1.954 20 0 DDADMM Cc1cccc(C)c1[C@@H]1CCCN1c1nnc(-c2nn[n-]n2)n1C ZINC001339543280 870548317 /nfs/dbraw/zinc/54/83/17/870548317.db2.gz IOFPDTIYBGPAKV-LBPRGKRZSA-N -1 1 324.392 1.954 20 0 DDADMM CC(C)(C)[C@H](NC(=O)c1cccc2nc[nH]c21)c1nc(=O)o[n-]1 ZINC001299828078 870940710 /nfs/dbraw/zinc/94/07/10/870940710.db2.gz UZEOXYFICWBVGM-LLVKDONJSA-N -1 1 315.333 1.756 20 0 DDADMM CN(CC(=O)Nc1cccc(F)c1[O-])c1ncnc2nc[nH]c21 ZINC001302485772 871071692 /nfs/dbraw/zinc/07/16/92/871071692.db2.gz LOXLNYCXDMJPAQ-UHFFFAOYSA-N -1 1 316.296 1.273 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](C)Cn2cccn2)c(=O)[n-]1 ZINC001361847648 882491471 /nfs/dbraw/zinc/49/14/71/882491471.db2.gz RIQYTLLMVRUIKH-VIFPVBQESA-N -1 1 307.379 1.167 20 0 DDADMM O=C([C@@H]1CC12CC2)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318838543 872296166 /nfs/dbraw/zinc/29/61/66/872296166.db2.gz UFZIXFTUAVMSOK-NEPJUHHUSA-N -1 1 319.409 1.029 20 0 DDADMM CC1(C)CC[C@@H](COc2cc(=O)[n-]c(N3CCOCC3)n2)O1 ZINC001226723281 882613887 /nfs/dbraw/zinc/61/38/87/882613887.db2.gz VYBCKNDXPWCBAP-NSHDSACASA-N -1 1 309.366 1.355 20 0 DDADMM COC(C)(C)C[C@@H](C)Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226728028 882616389 /nfs/dbraw/zinc/61/63/89/882616389.db2.gz LSZZIZKURJYNRQ-LLVKDONJSA-N -1 1 311.382 1.601 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(Oc2ccncc2)C1 ZINC001345881836 873546913 /nfs/dbraw/zinc/54/69/13/873546913.db2.gz IUCUCJQUJPZAMA-UHFFFAOYSA-N -1 1 318.358 1.078 20 0 DDADMM CC(C)(NC(=O)C(=O)c1ccc([O-])cc1)[C@H](O)C(F)(F)F ZINC001347268346 874077273 /nfs/dbraw/zinc/07/72/73/874077273.db2.gz NMYKDGFCGUVYSJ-NSHDSACASA-N -1 1 305.252 1.393 20 0 DDADMM Cc1nc2ccccc2cc1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347475750 874156918 /nfs/dbraw/zinc/15/69/18/874156918.db2.gz YSEYYCZQZNSFIQ-GFCCVEGCSA-N -1 1 308.345 1.686 20 0 DDADMM O=c1nnc(Br)c(OC2CCN(CCF)CC2)[n-]1 ZINC001227063429 882803347 /nfs/dbraw/zinc/80/33/47/882803347.db2.gz DXPYAWDDMYFDFI-UHFFFAOYSA-N -1 1 321.150 1.152 20 0 DDADMM CC[C@@H](CNC(=O)c1csc(C)c1C)NCc1n[nH]c(=O)[n-]1 ZINC001378256120 874561294 /nfs/dbraw/zinc/56/12/94/874561294.db2.gz WYEMRSONJZFLDJ-JTQLQIEISA-N -1 1 323.422 1.487 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)c1cc(C(=O)OC(C)(C)C)n[n-]1 ZINC001362022307 882849346 /nfs/dbraw/zinc/84/93/46/882849346.db2.gz BAEZSGFEXCJYHW-UHFFFAOYSA-N -1 1 319.365 1.968 20 0 DDADMM CCCC(C)(C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209385668 874853906 /nfs/dbraw/zinc/85/39/06/874853906.db2.gz GIEYQKRBLQVAIX-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2ncccc2F)c1 ZINC001209813420 875136777 /nfs/dbraw/zinc/13/67/77/875136777.db2.gz OOOGTYPHNJALRP-UHFFFAOYSA-N -1 1 311.294 1.936 20 0 DDADMM CN(CCCOC(C)(C)C)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001349601150 875257034 /nfs/dbraw/zinc/25/70/34/875257034.db2.gz CNCAJQQAQHMSAU-UHFFFAOYSA-N -1 1 314.426 1.447 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)OCc1ccncc1)c1nn[n-]n1 ZINC001362051340 882919027 /nfs/dbraw/zinc/91/90/27/882919027.db2.gz KQXMPXGTHZNKCC-CMPLNLGQSA-N -1 1 304.354 1.157 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C1CCCCCC1 ZINC001378738498 875515385 /nfs/dbraw/zinc/51/53/85/875515385.db2.gz AFCANWOBPLWFTE-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM COc1ccc([C@H]2CNCCN2C(=O)CCCCC(=O)[O-])cc1 ZINC001350101108 875535578 /nfs/dbraw/zinc/53/55/78/875535578.db2.gz YEOTZYSOWIQVFT-OAHLLOKOSA-N -1 1 320.389 1.813 20 0 DDADMM CSCCCN(C)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001350424858 875681987 /nfs/dbraw/zinc/68/19/87/875681987.db2.gz RUCFIUMPOWYVIZ-UHFFFAOYSA-N -1 1 301.807 1.443 20 0 DDADMM Cn1c(-c2nc(Cl)n[n-]2)nnc1N1CCc2ccsc2C1 ZINC001350423330 875682377 /nfs/dbraw/zinc/68/23/77/875682377.db2.gz JNNHLGWFQWGNCY-UHFFFAOYSA-N -1 1 321.797 1.878 20 0 DDADMM O=C(c1ccnc(Cl)c1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001350429797 875683838 /nfs/dbraw/zinc/68/38/38/875683838.db2.gz UTVZJFIDQDDKSD-VIFPVBQESA-N -1 1 306.757 1.343 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CCCCC1(C)C ZINC001378862308 875793527 /nfs/dbraw/zinc/79/35/27/875793527.db2.gz FBUPNGXNHLSTSF-RYUDHWBXSA-N -1 1 323.441 1.663 20 0 DDADMM C/C=C(/C)C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001350672603 875824288 /nfs/dbraw/zinc/82/42/88/875824288.db2.gz LVEGCDZVHGBWJZ-CSXHZRMWSA-N -1 1 303.362 1.426 20 0 DDADMM C[C@H](c1cccs1)N(C)c1nnc(Cc2nnn[n-]2)n1C ZINC001350687369 875836699 /nfs/dbraw/zinc/83/66/99/875836699.db2.gz FOPYBBKOARWVHI-MRVPVSSYSA-N -1 1 304.383 1.178 20 0 DDADMM C[C@H](c1cccs1)N(C)c1nnc(Cc2nn[n-]n2)n1C ZINC001350687369 875836709 /nfs/dbraw/zinc/83/67/09/875836709.db2.gz FOPYBBKOARWVHI-MRVPVSSYSA-N -1 1 304.383 1.178 20 0 DDADMM CC(C)[C@H](CNC(=O)C(C1CC1)C1CC1)NCc1n[nH]c(=O)[n-]1 ZINC001378998633 876108805 /nfs/dbraw/zinc/10/88/05/876108805.db2.gz NUPRTMQWICLNBX-LBPRGKRZSA-N -1 1 321.425 1.177 20 0 DDADMM O=c1[n-]c(CNC(=S)Nc2ccsc2)nc2c1COCC2 ZINC001351770333 876424366 /nfs/dbraw/zinc/42/43/66/876424366.db2.gz JUSBCEGRIFGDTO-UHFFFAOYSA-N -1 1 322.415 1.803 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1cncc(Br)c1 ZINC000304161896 876495342 /nfs/dbraw/zinc/49/53/42/876495342.db2.gz LUFBKVCNOKLDRM-JTQLQIEISA-N -1 1 321.196 1.765 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)[C@@H]1CCSC1 ZINC001362102345 883043581 /nfs/dbraw/zinc/04/35/81/883043581.db2.gz HVOUXPQTOKMYQC-SECBINFHSA-N -1 1 305.363 1.470 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1ccc(F)cc1)c1ccns1 ZINC001364852095 889549933 /nfs/dbraw/zinc/54/99/33/889549933.db2.gz PSDROYBTBBICSN-SNVBAGLBSA-N -1 1 302.352 1.294 20 0 DDADMM COc1ncc(Nc2cnn(C)c2C)cc1[N-]S(C)(=O)=O ZINC001216151630 876878141 /nfs/dbraw/zinc/87/81/41/876878141.db2.gz FAUZEGSHBHUUPU-UHFFFAOYSA-N -1 1 311.367 1.247 20 0 DDADMM COc1ncc(Nc2cncc(F)c2)cc1[N-]S(C)(=O)=O ZINC001216152036 876878174 /nfs/dbraw/zinc/87/81/74/876878174.db2.gz MUMVZRPJNHDJGQ-UHFFFAOYSA-N -1 1 312.326 1.739 20 0 DDADMM COc1ncc(Nc2ncccc2N)cc1[N-]S(C)(=O)=O ZINC001216151597 876878278 /nfs/dbraw/zinc/87/82/78/876878278.db2.gz DLLUADLKTVKZBO-UHFFFAOYSA-N -1 1 309.351 1.183 20 0 DDADMM CCn1cnc(Nc2cnc(OC)c([N-]S(C)(=O)=O)c2)c1 ZINC001216153917 876880804 /nfs/dbraw/zinc/88/08/04/876880804.db2.gz LMMGVNCQDYMOMT-UHFFFAOYSA-N -1 1 311.367 1.422 20 0 DDADMM CC(C)C[C@@H](C)CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216339384 876922286 /nfs/dbraw/zinc/92/22/86/876922286.db2.gz AVCMZDXXCLKESP-JHJVBQTASA-N -1 1 323.441 1.519 20 0 DDADMM COc1ccc(OC(C)C)c(COc2nc(=O)[nH]c(=O)[n-]2)c1 ZINC001227571340 883087723 /nfs/dbraw/zinc/08/77/23/883087723.db2.gz RYKSPYMHHFPSQP-UHFFFAOYSA-N -1 1 307.306 1.658 20 0 DDADMM O=C(N[C@H]1CNc2ccccc2C1)c1c[nH]c(=S)[n-]c1=O ZINC001220605119 879133086 /nfs/dbraw/zinc/13/30/86/879133086.db2.gz OUXZABSRBFZGID-SECBINFHSA-N -1 1 302.359 1.238 20 0 DDADMM CC1(CC(=O)NCC2CC(NC(=O)c3ncccc3[O-])C2)CC1 ZINC001380281693 879226002 /nfs/dbraw/zinc/22/60/02/879226002.db2.gz MSOYJKNLBKXRAW-UHFFFAOYSA-N -1 1 317.389 1.602 20 0 DDADMM CSCCC(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001380285094 879233423 /nfs/dbraw/zinc/23/34/23/879233423.db2.gz XCYCRIXKSGQQNL-UHFFFAOYSA-N -1 1 323.418 1.165 20 0 DDADMM Cc1occc1C(=O)N[C@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001380380011 879509604 /nfs/dbraw/zinc/50/96/04/879509604.db2.gz RLVKETZNYKPGHY-ZJUUUORDSA-N -1 1 317.345 1.625 20 0 DDADMM CCC1(C(=O)N[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])CCC1 ZINC001380433174 879643825 /nfs/dbraw/zinc/64/38/25/879643825.db2.gz IKNGFKCVCVTTAU-NEPJUHHUSA-N -1 1 319.405 1.991 20 0 DDADMM CC(C)=CC(=O)N[C@@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001358421979 880425495 /nfs/dbraw/zinc/42/54/95/880425495.db2.gz CYQBSMKQWBGVPD-JTQLQIEISA-N -1 1 318.377 1.260 20 0 DDADMM C[C@H]1CCN(C(=O)OC(C)(C)C)CC[C@H]1OCc1nn[n-]n1 ZINC001223029236 880790846 /nfs/dbraw/zinc/79/08/46/880790846.db2.gz IGKPGBJHRRVNHU-WDEREUQCSA-N -1 1 311.386 1.752 20 0 DDADMM C[C@]1(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CCCCO1 ZINC001362165169 883190602 /nfs/dbraw/zinc/19/06/02/883190602.db2.gz MIBWWJGUNCZMRE-OAHLLOKOSA-N -1 1 317.349 1.676 20 0 DDADMM CC(C)=C(C)CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228019794 883290884 /nfs/dbraw/zinc/29/08/84/883290884.db2.gz VSLUPIXVXVTJOA-CHWSQXEVSA-N -1 1 321.425 1.774 20 0 DDADMM C[C@@H](CSC(F)(F)F)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362208191 883302317 /nfs/dbraw/zinc/30/23/17/883302317.db2.gz METZUFDPDXXJBD-YUMQZZPRSA-N -1 1 323.344 1.795 20 0 DDADMM CN(C(=O)c1s[n-]c(=O)c1Cl)[C@H]1CN2CCC1CC2 ZINC001362238162 883379571 /nfs/dbraw/zinc/37/95/71/883379571.db2.gz RDISFRFLPQUWEN-QMMMGPOBSA-N -1 1 301.799 1.668 20 0 DDADMM COC(=O)[C@H](COC1CCC1)N(C)C(=O)c1ncc(C)cc1[O-] ZINC001362290377 883491478 /nfs/dbraw/zinc/49/14/78/883491478.db2.gz YAQQCLOVNUOFKH-LBPRGKRZSA-N -1 1 322.361 1.278 20 0 DDADMM CC(C)C[C@H](C)CC(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001382148157 883517176 /nfs/dbraw/zinc/51/71/76/883517176.db2.gz JVBBPXPQIKAYHA-NWDGAFQWSA-N -1 1 311.430 1.519 20 0 DDADMM CSc1ncc(C(=O)NC[C@]2(C)CCO[C@@H]2C2CC2)c(=O)[n-]1 ZINC001362322704 883563143 /nfs/dbraw/zinc/56/31/43/883563143.db2.gz DPIOVEPIKZNYAS-ABAIWWIYSA-N -1 1 323.418 1.839 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])cn2)CCN1C(=O)OC(C)(C)C ZINC001362342394 883607569 /nfs/dbraw/zinc/60/75/69/883607569.db2.gz UEXAZLZCGHZGSG-LLVKDONJSA-N -1 1 321.377 1.869 20 0 DDADMM CCC(CC)(NC(=O)c1cccc(C(=O)OC)c1)c1nn[n-]n1 ZINC001362427955 883785660 /nfs/dbraw/zinc/78/56/60/883785660.db2.gz USIBFVXZWMOYAA-UHFFFAOYSA-N -1 1 317.349 1.432 20 0 DDADMM COc1cc2ccc(=O)oc2c(OC[C@@H]2CN(C)CCO2)c1[O-] ZINC001229214046 883876384 /nfs/dbraw/zinc/87/63/84/883876384.db2.gz AEBMTRDARGDDEH-NSHDSACASA-N -1 1 321.329 1.217 20 0 DDADMM COc1cc2ccc(=O)oc2c(O[C@H]2CO[C@H]3OCC[C@@H]23)c1[O-] ZINC001229216852 883878516 /nfs/dbraw/zinc/87/85/16/883878516.db2.gz VYNDDCJNNOXKON-KJRLAJNESA-N -1 1 320.297 1.647 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(O)c(OC)c1)c1nn[n-]n1 ZINC001362499622 883947890 /nfs/dbraw/zinc/94/78/90/883947890.db2.gz XTLZVCOBDIPRTL-UHFFFAOYSA-N -1 1 305.338 1.359 20 0 DDADMM CSc1ncc(C(=O)NCC=Cc2cccnc2)c(=O)[n-]1 ZINC001362504177 883959983 /nfs/dbraw/zinc/95/99/83/883959983.db2.gz PAMUOYCFUIOHIY-HYXAFXHYSA-N -1 1 302.359 1.742 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)N2CCCC2)C1)c1ccc([O-])cn1 ZINC001362529878 884017353 /nfs/dbraw/zinc/01/73/53/884017353.db2.gz YSCPQGJOXDGMMT-GFCCVEGCSA-N -1 1 318.377 1.197 20 0 DDADMM O=C(Nc1nc2n(n1)CCCC2)c1cnc(C2CC2)[n-]c1=O ZINC001362548471 884065709 /nfs/dbraw/zinc/06/57/09/884065709.db2.gz AZADSSNCGBXFLP-UHFFFAOYSA-N -1 1 300.322 1.240 20 0 DDADMM O=C(N[C@@H](CO)[C@H]1CCOC1)c1ncc2ccccc2c1[O-] ZINC001362585376 884152837 /nfs/dbraw/zinc/15/28/37/884152837.db2.gz MOEAKGNPMZXSDP-AAEUAGOBSA-N -1 1 302.330 1.068 20 0 DDADMM Cn1cccc(C(=O)Nc2cc(C(=O)OC(C)(C)C)[n-]n2)c1=O ZINC001362595526 884177367 /nfs/dbraw/zinc/17/73/67/884177367.db2.gz RVXPALKMWLOMLX-UHFFFAOYSA-N -1 1 318.333 1.316 20 0 DDADMM CCCc1n[nH]cc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001362611859 884219251 /nfs/dbraw/zinc/21/92/51/884219251.db2.gz PCHWPJBGWYVDFT-UHFFFAOYSA-N -1 1 307.379 1.510 20 0 DDADMM COCc1cc(NC(=O)c2ccc([O-])cn2)cc(C(=O)OC)c1 ZINC001362633255 884278600 /nfs/dbraw/zinc/27/86/00/884278600.db2.gz DBGGIUJNIGSFDM-UHFFFAOYSA-N -1 1 316.313 1.973 20 0 DDADMM COc1c(=O)[n-]c(C)nc1OC[C@H]1COc2ccccc2O1 ZINC001230022169 884284150 /nfs/dbraw/zinc/28/41/50/884284150.db2.gz RTWFOCQPZAYIFG-SNVBAGLBSA-N -1 1 304.302 1.718 20 0 DDADMM CC(=O)N[C@](C)(C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)C(C)C ZINC001362655368 884335639 /nfs/dbraw/zinc/33/56/39/884335639.db2.gz ONWPJQYWJOZRDT-HNNXBMFYSA-N -1 1 324.381 1.032 20 0 DDADMM Cc1nn(C)cc1NC(=O)c1cc(C(=O)OC(C)(C)C)n[n-]1 ZINC001362657149 884340555 /nfs/dbraw/zinc/34/05/55/884340555.db2.gz BFOKZTRBKULXTH-UHFFFAOYSA-N -1 1 305.338 1.659 20 0 DDADMM CC(C)CCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001382645562 884396582 /nfs/dbraw/zinc/39/65/82/884396582.db2.gz DYCZJHIXYINSAI-STQMWFEESA-N -1 1 319.405 1.943 20 0 DDADMM CCc1nc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c(C)o1 ZINC001362683090 884407462 /nfs/dbraw/zinc/40/74/62/884407462.db2.gz SHNCTJBATYBFRR-QMMMGPOBSA-N -1 1 310.383 1.283 20 0 DDADMM O=C(Cc1cc(F)ccc1O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001362702255 884443708 /nfs/dbraw/zinc/44/37/08/884443708.db2.gz DEBHQOZUPZBNHS-SECBINFHSA-N -1 1 321.308 1.569 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1Cc2ccc(Cl)cc21 ZINC001362727719 884495402 /nfs/dbraw/zinc/49/54/02/884495402.db2.gz WBULNGJFQGFQAY-SNVBAGLBSA-N -1 1 305.721 1.497 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@]1(C)Cc2ccc(C)cc2C(=O)O1 ZINC001362794099 884655576 /nfs/dbraw/zinc/65/55/76/884655576.db2.gz KNMFFRPQQIWJBJ-INIZCTEOSA-N -1 1 315.329 1.842 20 0 DDADMM Cc1c(C(=O)N(Cc2nn[n-]n2)CC(C)C)cnn1C(C)C ZINC001362831264 884746979 /nfs/dbraw/zinc/74/69/79/884746979.db2.gz OVEXCAQYBIYRJI-UHFFFAOYSA-N -1 1 305.386 1.584 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc2c(c1)OC(F)(F)O2 ZINC001362835725 884759326 /nfs/dbraw/zinc/75/93/26/884759326.db2.gz OEPNCGHUGPHHEP-UHFFFAOYSA-N -1 1 323.259 1.330 20 0 DDADMM O=C(CCc1ccc(Cl)cc1)NC1(c2nn[n-]n2)CCC1 ZINC001362850159 884793532 /nfs/dbraw/zinc/79/35/32/884793532.db2.gz MOHACMXMPWOEPI-UHFFFAOYSA-N -1 1 305.769 1.981 20 0 DDADMM CSc1nc(CNC(=O)c2ccc(CO)c(F)c2)cc(=O)[n-]1 ZINC001362853609 884801728 /nfs/dbraw/zinc/80/17/28/884801728.db2.gz NRQRILMRVXWSQZ-UHFFFAOYSA-N -1 1 323.349 1.466 20 0 DDADMM CCC(CC)(NC(=O)CCCC1CCOCC1)c1nn[n-]n1 ZINC001362858898 884816934 /nfs/dbraw/zinc/81/69/34/884816934.db2.gz SIRSRAMXEGBNGW-UHFFFAOYSA-N -1 1 309.414 1.928 20 0 DDADMM CC(C)C(=O)NC[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001382871863 884839521 /nfs/dbraw/zinc/83/95/21/884839521.db2.gz UGDULXQEWZORTH-VXGBXAGGSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1noc(C(C)C)c1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001362903873 884940312 /nfs/dbraw/zinc/94/03/12/884940312.db2.gz HOVMSTYPSUMWRH-NSHDSACASA-N -1 1 318.381 1.714 20 0 DDADMM CCCC[C@H](O)CCC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001362904964 884944008 /nfs/dbraw/zinc/94/40/08/884944008.db2.gz KPSSRNZLYRSNCQ-STQMWFEESA-N -1 1 309.414 1.312 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1c([O-])cccc1Cl)c1ccnn1C ZINC001362931970 885018580 /nfs/dbraw/zinc/01/85/80/885018580.db2.gz MAYXGTHQJFSRLT-LBPRGKRZSA-N -1 1 323.736 1.423 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H](C)c2ccco2)n[n-]1 ZINC001363045277 885318053 /nfs/dbraw/zinc/31/80/53/885318053.db2.gz GCSHELQFYMZKHP-ZJUUUORDSA-N -1 1 320.349 1.945 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H](C)c2ccco2)[n-]1 ZINC001363045277 885318074 /nfs/dbraw/zinc/31/80/74/885318074.db2.gz GCSHELQFYMZKHP-ZJUUUORDSA-N -1 1 320.349 1.945 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H](C)c2ccco2)n1 ZINC001363045277 885318093 /nfs/dbraw/zinc/31/80/93/885318093.db2.gz GCSHELQFYMZKHP-ZJUUUORDSA-N -1 1 320.349 1.945 20 0 DDADMM CC(C)N(CCN(C)C(=O)[C@@H]1C[C@H]1C)C(=O)c1ncccc1[O-] ZINC001383157390 885326346 /nfs/dbraw/zinc/32/63/46/885326346.db2.gz OUEZVHFANBZCKD-CHWSQXEVSA-N -1 1 319.405 1.752 20 0 DDADMM CC(=O)CC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC001363052872 885339245 /nfs/dbraw/zinc/33/92/45/885339245.db2.gz RUOFQJFKBPGRSL-ZDUSSCGKSA-N -1 1 313.361 1.555 20 0 DDADMM CCCC[C@@H](CNC(=O)[C@H](C)OC)NC(=O)c1ncccc1[O-] ZINC001383223734 885434975 /nfs/dbraw/zinc/43/49/75/885434975.db2.gz MZHPDSSIDNFGNN-RYUDHWBXSA-N -1 1 323.393 1.227 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2CCCc3n[nH]cc32)cc(=O)[n-]1 ZINC001363143186 885568622 /nfs/dbraw/zinc/56/86/22/885568622.db2.gz SAUBSTMVZYAQAQ-VIFPVBQESA-N -1 1 319.390 1.364 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H](C)[C@@H]2CCCO2)n[n-]1 ZINC001363229276 885767832 /nfs/dbraw/zinc/76/78/32/885767832.db2.gz AVPYPOTXVSZNLR-DCAQKATOSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H](C)[C@@H]2CCCO2)[n-]1 ZINC001363229276 885767845 /nfs/dbraw/zinc/76/78/45/885767845.db2.gz AVPYPOTXVSZNLR-DCAQKATOSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H](C)[C@@H]2CCCO2)n1 ZINC001363229276 885767859 /nfs/dbraw/zinc/76/78/59/885767859.db2.gz AVPYPOTXVSZNLR-DCAQKATOSA-N -1 1 324.381 1.364 20 0 DDADMM CCCN(Cc1nc2c(c(=O)[n-]1)COCC2)[C@@H](C)C(=O)OCC ZINC001363234161 885782914 /nfs/dbraw/zinc/78/29/14/885782914.db2.gz OZMPMQKGSDIOGC-NSHDSACASA-N -1 1 323.393 1.419 20 0 DDADMM COc1ccc(CN2CCCN(C(C)=O)CC2)cc1C(=O)[O-] ZINC001231749649 885854550 /nfs/dbraw/zinc/85/45/50/885854550.db2.gz NJHDXKMLMDVKEC-UHFFFAOYSA-N -1 1 306.362 1.448 20 0 DDADMM CC(=O)c1csc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001363276817 885888633 /nfs/dbraw/zinc/88/86/33/885888633.db2.gz PXHQWMVSMVAONS-UHFFFAOYSA-N -1 1 307.379 1.762 20 0 DDADMM CC1(C)CCc2onc(CNC(=O)CCCc3nn[n-]n3)c2C1 ZINC001363308479 885975573 /nfs/dbraw/zinc/97/55/73/885975573.db2.gz COALCASHMHIDLC-UHFFFAOYSA-N -1 1 318.381 1.342 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H](C)[C@@H](O)CC ZINC001363399514 886229400 /nfs/dbraw/zinc/22/94/00/886229400.db2.gz LBCXKOPIYNNVDI-VWYCJHECSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H](C)[C@@H](O)CC ZINC001363399514 886229414 /nfs/dbraw/zinc/22/94/14/886229414.db2.gz LBCXKOPIYNNVDI-VWYCJHECSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H](C)[C@@H](O)CC ZINC001363399514 886229430 /nfs/dbraw/zinc/22/94/30/886229430.db2.gz LBCXKOPIYNNVDI-VWYCJHECSA-N -1 1 324.381 1.052 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnncc1[O-])NC(=O)OC(C)(C)C ZINC001363413324 886269402 /nfs/dbraw/zinc/26/94/02/886269402.db2.gz OPBHVLVCLFIQPM-SECBINFHSA-N -1 1 310.354 1.167 20 0 DDADMM Cc1cc(C2(C(=O)N3CCC[C@H](Cc4nn[n-]n4)C3)CC2)on1 ZINC001363429867 886319555 /nfs/dbraw/zinc/31/95/55/886319555.db2.gz FEIJKVOBPDNAEJ-LLVKDONJSA-N -1 1 316.365 1.009 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cnn(CC(C)C)c1 ZINC001363543462 886596379 /nfs/dbraw/zinc/59/63/79/886596379.db2.gz JEEQMZOMAKXDPC-UHFFFAOYSA-N -1 1 305.386 1.351 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1C[C@H]1c1ccsc1 ZINC001363568580 886657533 /nfs/dbraw/zinc/65/75/33/886657533.db2.gz AGZPQEBZWSBHSU-WDEREUQCSA-N -1 1 317.370 1.251 20 0 DDADMM Cc1nc([C@H]2COCCN2Cc2ccc([O-])c(F)c2F)no1 ZINC001363579425 886682884 /nfs/dbraw/zinc/68/28/84/886682884.db2.gz KCDYLUFZGVEDPY-SNVBAGLBSA-N -1 1 311.288 1.935 20 0 DDADMM CC1(C)CN(C2CN(Cc3ccc(F)c([O-])c3F)C2)CCO1 ZINC001232937363 886683353 /nfs/dbraw/zinc/68/33/53/886683353.db2.gz MFMGOIPMQXVVAL-UHFFFAOYSA-N -1 1 312.360 1.965 20 0 DDADMM COC(=O)C[C@H]1CN(Cc2cc([O-])c(F)c(F)c2)CCO1 ZINC001232971910 886702282 /nfs/dbraw/zinc/70/22/82/886702282.db2.gz CCKRLBQOUDNYPI-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2cc(Cl)ncc2[O-])CCO1 ZINC001233035030 886744159 /nfs/dbraw/zinc/74/41/59/886744159.db2.gz WFDVMZNULDWTED-NSHDSACASA-N -1 1 300.742 1.205 20 0 DDADMM COC[C@H]1CN(Cc2cc(Cl)ncc2[O-])Cc2nnn(C)c21 ZINC001233036261 886744947 /nfs/dbraw/zinc/74/49/47/886744947.db2.gz MGSIXIDRIVKXAM-SNVBAGLBSA-N -1 1 323.784 1.315 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cnn(C3CCC3)c2)o1 ZINC001363694661 886997518 /nfs/dbraw/zinc/99/75/18/886997518.db2.gz HESZPAVZDNAJNJ-UHFFFAOYSA-N -1 1 324.362 1.362 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCC(=O)OC(C)(C)C)sn1 ZINC001363835997 887352138 /nfs/dbraw/zinc/35/21/38/887352138.db2.gz KASZLRFRSSRDRQ-UHFFFAOYSA-N -1 1 322.408 1.162 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2coc(C3CC3)n2)n[n-]1 ZINC001363886726 887474253 /nfs/dbraw/zinc/47/42/53/887474253.db2.gz OUVYFJPQRHINBC-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2coc(C3CC3)n2)[n-]1 ZINC001363886726 887474256 /nfs/dbraw/zinc/47/42/56/887474256.db2.gz OUVYFJPQRHINBC-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2coc(C3CC3)n2)n1 ZINC001363886726 887474265 /nfs/dbraw/zinc/47/42/65/887474265.db2.gz OUVYFJPQRHINBC-ZETCQYMHSA-N -1 1 319.321 1.338 20 0 DDADMM C[C@H]([C@@H](C)S(C)(=O)=O)N(C)Cc1ccc([O-])c(F)c1F ZINC001363894671 887490256 /nfs/dbraw/zinc/49/02/56/887490256.db2.gz FYHYXQMEMYFKJD-RKDXNWHRSA-N -1 1 307.362 1.924 20 0 DDADMM CC(C)(C)/C=C\C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001277824279 887536027 /nfs/dbraw/zinc/53/60/27/887536027.db2.gz WDXGIFXCLSHWQO-JMEBYUIHSA-N -1 1 307.398 1.193 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H](F)C(C)C ZINC001363964714 887626305 /nfs/dbraw/zinc/62/63/05/887626305.db2.gz MMPMYOCPUHMJPS-VHSXEESVSA-N -1 1 312.345 1.639 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H](F)C(C)C ZINC001363964714 887626307 /nfs/dbraw/zinc/62/63/07/887626307.db2.gz MMPMYOCPUHMJPS-VHSXEESVSA-N -1 1 312.345 1.639 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H](F)C(C)C ZINC001363964714 887626318 /nfs/dbraw/zinc/62/63/18/887626318.db2.gz MMPMYOCPUHMJPS-VHSXEESVSA-N -1 1 312.345 1.639 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1scnc1C ZINC001363968109 887632842 /nfs/dbraw/zinc/63/28/42/887632842.db2.gz JETIYEKEURPVRJ-UHFFFAOYSA-N -1 1 305.406 1.395 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@]1(O)CCCC1(C)C ZINC001363987914 887674868 /nfs/dbraw/zinc/67/48/68/887674868.db2.gz WPSFUXHMACBGLA-LBPRGKRZSA-N -1 1 320.436 1.371 20 0 DDADMM COC[C@@H](NC(=O)c1csc2c1CC[C@H](C)C2)c1nn[n-]n1 ZINC001364020272 887736369 /nfs/dbraw/zinc/73/63/69/887736369.db2.gz CZCLRJVIMWGQQA-GZMMTYOYSA-N -1 1 321.406 1.504 20 0 DDADMM Cc1nc(C)c(NC(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC001364103975 887926957 /nfs/dbraw/zinc/92/69/57/887926957.db2.gz ZNNQSGYETOPYGW-UHFFFAOYSA-N -1 1 307.383 1.685 20 0 DDADMM CCC[C@@H](CC(=O)OCC)Oc1c(C(N)=O)nc(C)[n-]c1=O ZINC001234469940 888001024 /nfs/dbraw/zinc/00/10/24/888001024.db2.gz LXPVZKIZKSOFCF-VIFPVBQESA-N -1 1 311.338 1.090 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@@H]2CCc3cc(F)ccc32)c(=O)[n-]1 ZINC001234479344 888012826 /nfs/dbraw/zinc/01/28/26/888012826.db2.gz YNMUASLKDQEMME-LLVKDONJSA-N -1 1 303.293 1.795 20 0 DDADMM COc1ncc(CCNC(=O)c2ccc(Cl)cc2[O-])cn1 ZINC001364312682 888359957 /nfs/dbraw/zinc/35/99/57/888359957.db2.gz YCELLQNEZYFMEC-UHFFFAOYSA-N -1 1 307.737 1.817 20 0 DDADMM NC(=O)CC1CC(NC(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC001364343664 888423934 /nfs/dbraw/zinc/42/39/34/888423934.db2.gz ZWJZIDWANYYFCD-UHFFFAOYSA-N -1 1 311.769 1.499 20 0 DDADMM CN1CCN(c2cc(-c3ccc(/C=C/C(=O)[O-])cc3)ncn2)CC1 ZINC001235150650 888440524 /nfs/dbraw/zinc/44/05/24/888440524.db2.gz ZUZXHCUAHAUGDV-QPJJXVBHSA-N -1 1 324.384 1.993 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCO[C@H](C(C)C)C2)n[n-]1 ZINC001364503096 888786769 /nfs/dbraw/zinc/78/67/69/888786769.db2.gz DBUSOHAXANTSSE-MNOVXSKESA-N -1 1 324.381 1.049 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCO[C@H](C(C)C)C2)n1 ZINC001364503096 888786781 /nfs/dbraw/zinc/78/67/81/888786781.db2.gz DBUSOHAXANTSSE-MNOVXSKESA-N -1 1 324.381 1.049 20 0 DDADMM CCC[C@@H](C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385308257 888848534 /nfs/dbraw/zinc/84/85/34/888848534.db2.gz YOEMKDBHCODRGA-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1noc([C@H](NCc2nc3c(c(=O)[n-]2)COCC3)C(C)C)n1 ZINC001364551629 888907522 /nfs/dbraw/zinc/90/75/22/888907522.db2.gz ODBMGWRGLMBCCK-CYBMUJFWSA-N -1 1 319.365 1.433 20 0 DDADMM CCOC(=O)c1ccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)cc1 ZINC001364569313 888947116 /nfs/dbraw/zinc/94/71/16/888947116.db2.gz SWRYTZOKYWQTDN-LBPRGKRZSA-N -1 1 315.333 1.006 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc(C2CC2)n(C)n1 ZINC001364651427 889123055 /nfs/dbraw/zinc/12/30/55/889123055.db2.gz NIQFQGVRWFAWLE-UHFFFAOYSA-N -1 1 303.370 1.109 20 0 DDADMM CCC[C@@H]1C[C@@H](C(=O)N(Cc2nn[n-]n2)CC(C)C)CCO1 ZINC001364651001 889123131 /nfs/dbraw/zinc/12/31/31/889123131.db2.gz JZGNXBDAMONASG-QWHCGFSZSA-N -1 1 309.414 1.780 20 0 DDADMM O=C(CCC(F)F)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001385629497 889460578 /nfs/dbraw/zinc/46/05/78/889460578.db2.gz YBUOYPJXYAXXDN-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM Cc1conc1CS(=O)(=O)[N-][C@H](C(=O)OC(C)C)C(C)C ZINC001364850850 889546867 /nfs/dbraw/zinc/54/68/67/889546867.db2.gz UHECIPXFOFLYPJ-LBPRGKRZSA-N -1 1 318.395 1.379 20 0 DDADMM COc1cnc(NC(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC001365049180 889979772 /nfs/dbraw/zinc/97/97/72/889979772.db2.gz AFJLRMDRRKCXOA-UHFFFAOYSA-N -1 1 309.355 1.076 20 0 DDADMM COC[C@@]1(C)CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC001365051880 889989347 /nfs/dbraw/zinc/98/93/47/889989347.db2.gz SGOBBCHDDQVNAM-HNNXBMFYSA-N -1 1 303.366 1.232 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1CCN([C@@H]2CCOC2)CC1 ZINC001238135858 890058942 /nfs/dbraw/zinc/05/89/42/890058942.db2.gz XNCJJWBWYHVRKZ-MRXNPFEDSA-N -1 1 304.390 1.600 20 0 DDADMM CCc1ncc(NC(=O)N[C@@H](CCSC)c2nn[n-]n2)cn1 ZINC001365164858 890233916 /nfs/dbraw/zinc/23/39/16/890233916.db2.gz BLQAFLRDLKSSOP-VIFPVBQESA-N -1 1 322.398 1.168 20 0 DDADMM C[C@H](C(=O)Nc1c[n-][nH]c1=O)c1ccc(S(C)(=O)=O)cc1 ZINC001365213841 890364828 /nfs/dbraw/zinc/36/48/28/890364828.db2.gz VFPYMUWSMIGKLJ-QMMMGPOBSA-N -1 1 309.347 1.261 20 0 DDADMM Cc1cc(-c2ccnc(N3CCN(C)CC3)c2)cnc1C(=O)[O-] ZINC001238821888 890365859 /nfs/dbraw/zinc/36/58/59/890365859.db2.gz GUPUDEFHNKYAQQ-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H](C)C(C)(F)F ZINC001386244391 890634438 /nfs/dbraw/zinc/63/44/38/890634438.db2.gz VPIHDJWKEAHSEA-BDAKNGLRSA-N -1 1 315.320 1.313 20 0 DDADMM COC[C@@H](O)CCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001365515687 890962987 /nfs/dbraw/zinc/96/29/87/890962987.db2.gz UNXVTOLFPFJNAP-ZDUSSCGKSA-N -1 1 320.349 1.097 20 0 DDADMM CCOC(=O)c1cnc([S@](C)=O)nc1-c1ccc([O-])c(F)c1 ZINC001240782815 891049966 /nfs/dbraw/zinc/04/99/66/891049966.db2.gz KLYRBXVGFURIQZ-QFIPXVFZSA-N -1 1 324.333 1.902 20 0 DDADMM C[C@@H](NS(=O)(=O)c1cccc(Cl)c1[O-])c1nnc[nH]1 ZINC001365697179 891353577 /nfs/dbraw/zinc/35/35/77/891353577.db2.gz JDQFGSFTGITEFT-ZCFIWIBFSA-N -1 1 302.743 1.203 20 0 DDADMM Cn1cccc1C(=O)N1CCN(CCCCCC(=O)[O-])CC1 ZINC000738407913 913551220 /nfs/dbraw/zinc/55/12/20/913551220.db2.gz PCJGTHYMEYQXLY-UHFFFAOYSA-N -1 1 307.394 1.428 20 0 DDADMM Cc1ccc(-c2ccnc(N3CCN(C)CC3)n2)cc1C(=O)[O-] ZINC001243196677 891620230 /nfs/dbraw/zinc/62/02/30/891620230.db2.gz ZPMCBPHHEUJTDK-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM COc1ncc(-c2cc(N)c(F)cn2)cc1[N-]S(C)(=O)=O ZINC001244792313 891928103 /nfs/dbraw/zinc/92/81/03/891928103.db2.gz JKEOIVUJMJBRFQ-UHFFFAOYSA-N -1 1 312.326 1.245 20 0 DDADMM COCNC(=O)c1cccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)c1 ZINC001245120494 892005207 /nfs/dbraw/zinc/00/52/07/892005207.db2.gz WISHSMOZQBYCCC-UHFFFAOYSA-N -1 1 324.336 1.500 20 0 DDADMM Cn1ccc(-c2ccc(-c3ccc(-c4nnn[n-]4)nc3)cn2)n1 ZINC001245204752 892025446 /nfs/dbraw/zinc/02/54/46/892025446.db2.gz MASSDHALHOSUQH-UHFFFAOYSA-N -1 1 304.317 1.724 20 0 DDADMM Cn1ccc(-c2ccc(-c3ccc(-c4nn[n-]n4)nc3)cn2)n1 ZINC001245204752 892025465 /nfs/dbraw/zinc/02/54/65/892025465.db2.gz MASSDHALHOSUQH-UHFFFAOYSA-N -1 1 304.317 1.724 20 0 DDADMM Cc1c(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)cnn1C ZINC000345456560 894022863 /nfs/dbraw/zinc/02/28/63/894022863.db2.gz DLXRVCNUKYXTQF-UHFFFAOYSA-N -1 1 313.321 1.073 20 0 DDADMM Cc1ccc(N)cc1S(=O)(=O)[N-]c1cnc2c(cnn2C)c1 ZINC001249663193 894085618 /nfs/dbraw/zinc/08/56/18/894085618.db2.gz HTMOJHBRGGAKKD-UHFFFAOYSA-N -1 1 317.374 1.660 20 0 DDADMM CC[C@@H](CNC(=O)COC(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001388056674 894468989 /nfs/dbraw/zinc/46/89/89/894468989.db2.gz XGBSVQGPKWNVBD-NSHDSACASA-N -1 1 323.393 1.227 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1ccccc1)c1cccnc1 ZINC001251173619 894656441 /nfs/dbraw/zinc/65/64/41/894656441.db2.gz KPOXSKOVMDTCNJ-CQSZACIVSA-N -1 1 320.370 1.664 20 0 DDADMM Cc1cc(C)n2nc(C(=O)[N-]c3n[nH]c(C(C)C)n3)nc2n1 ZINC001251471554 894711813 /nfs/dbraw/zinc/71/18/13/894711813.db2.gz GHQOKGFFXXJPFU-UHFFFAOYSA-N -1 1 300.326 1.235 20 0 DDADMM O=S(=O)([N-]c1ncc(Br)cc1O)C(F)(F)F ZINC001252274858 895050230 /nfs/dbraw/zinc/05/02/30/895050230.db2.gz YFMCEFPPVKLVQZ-UHFFFAOYSA-N -1 1 321.074 1.811 20 0 DDADMM CC(C)S(=O)(=O)[N-][C@@H](COC(C)(C)C)C(=O)OC(C)(C)C ZINC001252415045 895129855 /nfs/dbraw/zinc/12/98/55/895129855.db2.gz PLYCDHJXMJTXIO-NSHDSACASA-N -1 1 323.455 1.840 20 0 DDADMM CC(C)[C@@H](O)CNc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001253771888 896082381 /nfs/dbraw/zinc/08/23/81/896082381.db2.gz UMWXOPMNPYTMKY-LBPRGKRZSA-N -1 1 315.333 1.402 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1ccoc1C1CC1 ZINC001367382605 896618017 /nfs/dbraw/zinc/61/80/17/896618017.db2.gz JXAIKQSOMPZQAK-VIFPVBQESA-N -1 1 319.365 1.231 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cccc(F)c1)c1ccncc1 ZINC001255687493 897091886 /nfs/dbraw/zinc/09/18/86/897091886.db2.gz ATNBLVUKRFASDR-CYBMUJFWSA-N -1 1 324.333 1.413 20 0 DDADMM CC(C)CCC(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001389588166 897576043 /nfs/dbraw/zinc/57/60/43/897576043.db2.gz AUHYXUCYOJPZKB-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)NCC(=O)c1ccc([O-])cc1 ZINC001258804894 898326840 /nfs/dbraw/zinc/32/68/40/898326840.db2.gz JYUZAUOEJVLBRF-UHFFFAOYSA-N -1 1 310.331 1.158 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)c2cc(F)ccc2F)c(=O)[nH]1 ZINC001258943235 898371921 /nfs/dbraw/zinc/37/19/21/898371921.db2.gz VKQKQKVSKLAJKT-UHFFFAOYSA-N -1 1 301.274 1.570 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1cc(-c2ccccc2)nn1C ZINC001259018021 898417517 /nfs/dbraw/zinc/41/75/17/898417517.db2.gz PJOWWULIWFECSG-UHFFFAOYSA-N -1 1 309.347 1.002 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@H](O)CC1)c1cc(F)c(F)cc1F ZINC001259044838 898440991 /nfs/dbraw/zinc/44/09/91/898440991.db2.gz DKAMPDZENRRRKA-KYZUINATSA-N -1 1 323.336 1.933 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@H](O)CC1)c1ccc(F)c(F)c1F ZINC001259083779 898458830 /nfs/dbraw/zinc/45/88/30/898458830.db2.gz VCHJISVFURPHMV-KYZUINATSA-N -1 1 323.336 1.933 20 0 DDADMM CN(C(=O)CC(F)(F)F)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001390044881 898557755 /nfs/dbraw/zinc/55/77/55/898557755.db2.gz WMHXTEICXRMAKG-UHFFFAOYSA-N -1 1 317.267 1.022 20 0 DDADMM COc1ccccc1C1([N-]S(=O)(=O)c2ccccn2)COC1 ZINC001259292599 898589283 /nfs/dbraw/zinc/58/92/83/898589283.db2.gz XWTHKBBAIPZHPB-UHFFFAOYSA-N -1 1 320.370 1.294 20 0 DDADMM CSCC[C@H](NC(N)=O)C(=O)Nc1cc(F)cc(F)c1[O-] ZINC001299586161 898671092 /nfs/dbraw/zinc/67/10/92/898671092.db2.gz IQLSVPNETDUPRD-QMMMGPOBSA-N -1 1 319.333 1.399 20 0 DDADMM O=c1cc[nH]cc1[N-]S(=O)(=O)c1cc(F)c(F)c(F)c1 ZINC001259496497 898699635 /nfs/dbraw/zinc/69/96/35/898699635.db2.gz LOQGJIUUBCDKJL-UHFFFAOYSA-N -1 1 304.249 1.593 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cc(CO)ccc2Cl)cn1 ZINC001259838434 898853737 /nfs/dbraw/zinc/85/37/37/898853737.db2.gz KSCCMMCTPVRIAV-UHFFFAOYSA-N -1 1 315.782 1.850 20 0 DDADMM CSc1nc([N-]S(=O)(=O)CCC(F)(F)F)cc(=O)[nH]1 ZINC001259865715 898877902 /nfs/dbraw/zinc/87/79/02/898877902.db2.gz FHEFBQGRDQYVAO-UHFFFAOYSA-N -1 1 317.314 1.598 20 0 DDADMM O=S(=O)([N-]c1ncc(Br)cc1O)C(F)F ZINC001259949670 898970398 /nfs/dbraw/zinc/97/03/98/898970398.db2.gz CZBNCKWRTJMLPE-UHFFFAOYSA-N -1 1 303.084 1.514 20 0 DDADMM CN1CCN(c2ccc(F)cc2[N-]S(=O)(=O)C(F)F)CC1 ZINC001259956398 898977617 /nfs/dbraw/zinc/97/76/17/898977617.db2.gz JNYCTZLFUGESPZ-UHFFFAOYSA-N -1 1 323.340 1.542 20 0 DDADMM O=S(=O)([N-]Cc1ccc(OC2CCOCC2)nc1)C(F)F ZINC001259960593 898983746 /nfs/dbraw/zinc/98/37/46/898983746.db2.gz YRMPREVHIWEMJZ-UHFFFAOYSA-N -1 1 322.333 1.281 20 0 DDADMM COC(=O)C[C@@H]([N-]S(=O)(=O)C(F)F)c1ccc(O)cc1 ZINC001259962905 898985653 /nfs/dbraw/zinc/98/56/53/898985653.db2.gz WAPGVSXHEDEZGY-SECBINFHSA-N -1 1 309.290 1.138 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1cnc(C)nc1Cl ZINC001260105558 899054439 /nfs/dbraw/zinc/05/44/39/899054439.db2.gz HAUIVMMLRKNZOI-UHFFFAOYSA-N -1 1 315.786 1.590 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cc(F)cc1F)c1cncnc1 ZINC001260355917 899126880 /nfs/dbraw/zinc/12/68/80/899126880.db2.gz XTFNYGFDBLSREX-SSDOTTSWSA-N -1 1 317.292 1.933 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1c(F)ccc(Cl)c1F ZINC001260591627 899167350 /nfs/dbraw/zinc/16/73/50/899167350.db2.gz CUNPHUUIUZIIOT-UHFFFAOYSA-N -1 1 319.738 1.362 20 0 DDADMM Cc1nc2ccccc2cc1[N-]S(=O)(=O)CS(C)(=O)=O ZINC001260583467 899175433 /nfs/dbraw/zinc/17/54/33/899175433.db2.gz DEWJIBLCMRDDLI-UHFFFAOYSA-N -1 1 314.388 1.287 20 0 DDADMM O=S(=O)([N-][C@@H](c1ccccn1)C(F)(F)F)c1cn[nH]c1 ZINC001260963819 899304481 /nfs/dbraw/zinc/30/44/81/899304481.db2.gz CARTUKIRBQRPAD-VIFPVBQESA-N -1 1 306.269 1.387 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-]c1cc(O)c(F)cc1F ZINC001261029311 899329739 /nfs/dbraw/zinc/32/97/39/899329739.db2.gz JFWIIILEGNUNIX-UHFFFAOYSA-N -1 1 303.290 1.811 20 0 DDADMM Cc1n[nH]c(C(=O)NCC[C@H](C)NCc2cscn2)c1[O-] ZINC001390659891 899889701 /nfs/dbraw/zinc/88/97/01/899889701.db2.gz CPHBXGRYQSIOEG-QMMMGPOBSA-N -1 1 309.395 1.178 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N[C@@H]1C=CCCC1 ZINC001262242203 900044878 /nfs/dbraw/zinc/04/48/78/900044878.db2.gz VTGVFRHHDRXWSI-SNVBAGLBSA-N -1 1 324.327 1.554 20 0 DDADMM CC(C)N(Cc1cccs1)c1nnc(Cc2nnn[n-]2)n1C ZINC001262871745 900403044 /nfs/dbraw/zinc/40/30/44/900403044.db2.gz ZFMWTJUWSAIMSE-UHFFFAOYSA-N -1 1 318.410 1.396 20 0 DDADMM CC(C)N(Cc1cccs1)c1nnc(Cc2nn[n-]n2)n1C ZINC001262871745 900403048 /nfs/dbraw/zinc/40/30/48/900403048.db2.gz ZFMWTJUWSAIMSE-UHFFFAOYSA-N -1 1 318.410 1.396 20 0 DDADMM COCC(=O)N[C@@H]1CCCN(Cc2ccc(C(=O)[O-])cc2)C1 ZINC000586060259 900617449 /nfs/dbraw/zinc/61/74/49/900617449.db2.gz PKKMUASOLDQOEW-CQSZACIVSA-N -1 1 306.362 1.112 20 0 DDADMM C=C/C(C)=C\CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001293557462 914548527 /nfs/dbraw/zinc/54/85/27/914548527.db2.gz QPEGDDDTHZONQM-MVXISAMASA-N -1 1 315.373 1.640 20 0 DDADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccccc1 ZINC001392151281 903402456 /nfs/dbraw/zinc/40/24/56/903402456.db2.gz QDWLOEKUUYVIGO-ZDUSSCGKSA-N -1 1 317.393 1.445 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1C=CC=CC=C1 ZINC001392375923 904009522 /nfs/dbraw/zinc/00/95/22/904009522.db2.gz KYNPJZVQCJJILJ-GFCCVEGCSA-N -1 1 313.357 1.320 20 0 DDADMM CCCCC(=O)NC[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001370938539 904021434 /nfs/dbraw/zinc/02/14/34/904021434.db2.gz DSMSNCGMEOCCJT-OLZOCXBDSA-N -1 1 319.405 1.992 20 0 DDADMM CC[C@H](C)CCC(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001370959167 904057852 /nfs/dbraw/zinc/05/78/52/904057852.db2.gz ZSHYGIIMHDDEJC-STQMWFEESA-N -1 1 323.441 1.807 20 0 DDADMM CO[C@H](C)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001371117269 904284812 /nfs/dbraw/zinc/28/48/12/904284812.db2.gz ZBAAUFPUENIJHQ-ZYHUDNBSSA-N -1 1 323.393 1.083 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)c1ccsn1 ZINC001294036775 914870941 /nfs/dbraw/zinc/87/09/41/914870941.db2.gz CDXRXPDBERMMFI-UHFFFAOYSA-N -1 1 320.374 1.136 20 0 DDADMM CS[C@@H](C)CC(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001392773866 905214991 /nfs/dbraw/zinc/21/49/91/905214991.db2.gz MIXLSNHNWNCGMP-UWVGGRQHSA-N -1 1 311.407 1.163 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)CC1CC1 ZINC001371567354 905224492 /nfs/dbraw/zinc/22/44/92/905224492.db2.gz LKXRMGLGGPYDAU-LBPRGKRZSA-N -1 1 303.362 1.260 20 0 DDADMM CC1(C)CCCC[C@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282982784 906396122 /nfs/dbraw/zinc/39/61/22/906396122.db2.gz AQRMUHMARLQHFP-LBPRGKRZSA-N -1 1 319.405 1.850 20 0 DDADMM CC(C)[C@H](C)C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372441982 907314592 /nfs/dbraw/zinc/31/45/92/907314592.db2.gz ZARICXOSSLCDSS-AAEUAGOBSA-N -1 1 319.405 1.704 20 0 DDADMM O=C(NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)C1CC2(CC2)C1 ZINC001373229106 909249627 /nfs/dbraw/zinc/24/96/27/909249627.db2.gz VUSGMFUHGOBMLB-LLVKDONJSA-N -1 1 319.409 1.029 20 0 DDADMM CC[C@H](C)OCC(=O)N[C@H](C)CCNC(=O)c1ncccc1[O-] ZINC001373262484 909340665 /nfs/dbraw/zinc/34/06/65/909340665.db2.gz WFYXMFQJIIQNJT-NEPJUHHUSA-N -1 1 323.393 1.227 20 0 DDADMM C[C@H](CN(C)C(=O)C12CCC(CC1)C2)NCc1n[nH]c(=O)[n-]1 ZINC001394495471 909812179 /nfs/dbraw/zinc/81/21/79/909812179.db2.gz NWVBLNYFLIIVIV-RWWNRMGGSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C=C1CCCCC1 ZINC001285628464 910913882 /nfs/dbraw/zinc/91/38/82/910913882.db2.gz SDAMZKRHSXIHHH-GFCCVEGCSA-N -1 1 317.389 1.912 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001395062494 911268157 /nfs/dbraw/zinc/26/81/57/911268157.db2.gz DESCCSRDMISNBF-CIQGVGRVSA-N -1 1 317.389 1.410 20 0 DDADMM CCCCCC(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001286007272 911552853 /nfs/dbraw/zinc/55/28/53/911552853.db2.gz HGRVGYGRUCHVKZ-UHFFFAOYSA-N -1 1 305.378 1.650 20 0 DDADMM CN(CCCNC(=O)c1cc[nH]c1)C(=O)c1ncccc1[O-] ZINC001294125963 914930977 /nfs/dbraw/zinc/93/09/77/914930977.db2.gz RIQSWHOSVXVMSN-UHFFFAOYSA-N -1 1 302.334 1.007 20 0 DDADMM CC[C@@H](C)CC(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001397010656 914953324 /nfs/dbraw/zinc/95/33/24/914953324.db2.gz MZMKLDSPHNBBRT-DGCLKSJQSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NN2CCc3ccccc3C2=O)c1 ZINC001295485555 915846084 /nfs/dbraw/zinc/84/60/84/915846084.db2.gz LJHOFDZUYLNMEI-UHFFFAOYSA-N -1 1 324.336 1.856 20 0 DDADMM C[C@@H](CNC(=O)[C@@H](C)c1ccco1)NC(=O)c1ncccc1[O-] ZINC001377546713 922292312 /nfs/dbraw/zinc/29/23/12/922292312.db2.gz TWUPDZQXAFSYMX-QWRGUYRKSA-N -1 1 317.345 1.418 20 0 DDADMM Cc1cc(CN[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])no1 ZINC001377794262 923064710 /nfs/dbraw/zinc/06/47/10/923064710.db2.gz YWDLCERHBUDAIS-GHMZBOCLSA-N -1 1 304.350 1.380 20 0 DDADMM C[C@@H](NC(=O)C1(C2CCC2)CCC1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001377814602 923118964 /nfs/dbraw/zinc/11/89/64/923118964.db2.gz FIJJZPGSARWBNV-WDEREUQCSA-N -1 1 321.425 1.464 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCCC3CCOCC3)nc2n1 ZINC000622870336 365549984 /nfs/dbraw/zinc/54/99/84/365549984.db2.gz YQTAHPSBQSOYQF-UHFFFAOYSA-N -1 1 319.365 1.261 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@H](C)CC(C)C)nc2n1 ZINC000622994860 365588985 /nfs/dbraw/zinc/58/89/85/365588985.db2.gz FPALEAHMSMAPOI-SNVBAGLBSA-N -1 1 305.382 1.991 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)c3ccco3)nc2n1 ZINC000622994715 365589067 /nfs/dbraw/zinc/58/90/67/365589067.db2.gz ZLLLHXSYMFUCPQ-MRVPVSSYSA-N -1 1 301.306 1.315 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCCC[C@H]1O ZINC000081826967 192329644 /nfs/dbraw/zinc/32/96/44/192329644.db2.gz GYKBFCXXXGVCLL-VXGBXAGGSA-N -1 1 321.421 1.657 20 0 DDADMM O=C(c1ccnn1C(F)F)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614475755 361876656 /nfs/dbraw/zinc/87/66/56/361876656.db2.gz SWICGAITCUFRLS-UHFFFAOYSA-N -1 1 313.264 1.387 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@@H](C(=O)OC(C)(C)C)C2)c([O-])c1 ZINC000614628773 361953333 /nfs/dbraw/zinc/95/33/33/361953333.db2.gz CYUBYDAXJSNCOP-GFCCVEGCSA-N -1 1 322.361 1.278 20 0 DDADMM COC(=O)c1c[n-]c(SCc2nccc(OC)c2OC)n1 ZINC000278745770 214371389 /nfs/dbraw/zinc/37/13/89/214371389.db2.gz VZHPJLAQIBXYHS-UHFFFAOYSA-N -1 1 309.347 1.901 20 0 DDADMM O=C(N[C@@]1(CCO)CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000278235661 214038279 /nfs/dbraw/zinc/03/82/79/214038279.db2.gz YXQSNCAKFOFNQZ-ZDUSSCGKSA-N -1 1 319.279 1.682 20 0 DDADMM Cc1cc(S(N)(=O)=O)cc(NC(=O)c2ccccc2[O-])c1C ZINC000154088607 290661003 /nfs/dbraw/zinc/66/10/03/290661003.db2.gz ZLQCSCSAATWNMR-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM CC(C)(C)c1nc(=NC(=O)N2CCN3CCCC[C@@H]3C2)s[n-]1 ZINC000329349301 539303592 /nfs/dbraw/zinc/30/35/92/539303592.db2.gz WJLKOJODBXOTHF-LLVKDONJSA-N -1 1 323.466 1.960 20 0 DDADMM CN(C(=O)c1ncc2ccccc2c1[O-])[C@H]1CCC(=O)N(C)C1 ZINC000615219089 362201042 /nfs/dbraw/zinc/20/10/42/362201042.db2.gz POLMMTYWENXSCR-LBPRGKRZSA-N -1 1 313.357 1.633 20 0 DDADMM COc1cc(CCC(=O)Nc2c(C)[n-][nH]c2=O)cc(OC)c1O ZINC000615226519 362203429 /nfs/dbraw/zinc/20/34/29/362203429.db2.gz KZSJVGDEWMWIQF-UHFFFAOYSA-N -1 1 321.333 1.718 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2csc([C@@H](C)OC)n2)n[n-]1 ZINC000444399713 529744335 /nfs/dbraw/zinc/74/43/35/529744335.db2.gz AZHBGYIHIOEOBX-SSDOTTSWSA-N -1 1 316.408 1.505 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)NC[C@@H](CC(C)C)C(=O)[O-])n[nH]1 ZINC000424427417 529744792 /nfs/dbraw/zinc/74/47/92/529744792.db2.gz VFIUFBFIGPQTMS-NXEZZACHSA-N -1 1 311.386 1.474 20 0 DDADMM CNC(=O)[C@@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(C)C ZINC000425167035 529898379 /nfs/dbraw/zinc/89/83/79/529898379.db2.gz JISBVQZBQJOWGS-NSHDSACASA-N -1 1 320.361 1.322 20 0 DDADMM CNC(=O)[C@@H](CO)[N-]c1nc2cc(Cl)cc(Cl)c2o1 ZINC000432828265 529895647 /nfs/dbraw/zinc/89/56/47/529895647.db2.gz HWLDQTDFVLXXPW-MRVPVSSYSA-N -1 1 304.133 1.653 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(OC)cc2F)n[n-]1 ZINC000615918760 362486468 /nfs/dbraw/zinc/48/64/68/362486468.db2.gz ZSVAIYAINCBDPP-UHFFFAOYSA-N -1 1 322.296 1.059 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(OC)cc2F)n1 ZINC000615918760 362486474 /nfs/dbraw/zinc/48/64/74/362486474.db2.gz ZSVAIYAINCBDPP-UHFFFAOYSA-N -1 1 322.296 1.059 20 0 DDADMM CCO[C@@H]1C[C@](NC(=O)c2cncc([O-])c2)(C(=O)OC)C1(C)C ZINC000286749462 219145133 /nfs/dbraw/zinc/14/51/33/219145133.db2.gz JQAGKAARUXHEBY-WBMJQRKESA-N -1 1 322.361 1.264 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCC(C)(C)O2)c1Br ZINC000616009058 362517255 /nfs/dbraw/zinc/51/72/55/362517255.db2.gz NCSINOWTBWMMEZ-ZETCQYMHSA-N -1 1 302.172 1.799 20 0 DDADMM Cc1nnsc1C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616012492 362520090 /nfs/dbraw/zinc/52/00/90/362520090.db2.gz LVXWJKCWYOJUMY-UHFFFAOYSA-N -1 1 302.157 1.315 20 0 DDADMM C[C@@H]1CN(CCO)CCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000616161507 362568382 /nfs/dbraw/zinc/56/83/82/362568382.db2.gz DBQNSJFVDDBNFR-GFCCVEGCSA-N -1 1 315.373 1.079 20 0 DDADMM CC(C)(C)[C@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000358315877 299118358 /nfs/dbraw/zinc/11/83/58/299118358.db2.gz YPELHEUXFZCGOB-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM O=C1NCCC[C@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000330919492 232163880 /nfs/dbraw/zinc/16/38/80/232163880.db2.gz OFDCCWDHFZODCV-SECBINFHSA-N -1 1 306.746 1.036 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC(C)(C)C(F)(F)F)o1 ZINC000616203670 362585714 /nfs/dbraw/zinc/58/57/14/362585714.db2.gz AMDLGOWNGUEWGH-UHFFFAOYSA-N -1 1 314.285 1.258 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)Cc1cc[nH]n1 ZINC000358919621 539484113 /nfs/dbraw/zinc/48/41/13/539484113.db2.gz NPBBAXVRDCPOMZ-UHFFFAOYSA-N -1 1 312.326 1.102 20 0 DDADMM Cn1nc([C@@H]2CCCOC2)cc1NC(=O)c1ccc(O)cc1[O-] ZINC000279570349 214973963 /nfs/dbraw/zinc/97/39/63/214973963.db2.gz LOTOWDULASJDJI-SNVBAGLBSA-N -1 1 317.345 1.978 20 0 DDADMM COc1ccc([C@@H](CO)CNC(=O)c2ncccc2[O-])cc1 ZINC000458200241 232278554 /nfs/dbraw/zinc/27/85/54/232278554.db2.gz ADOZMDWFOPEHFJ-GFCCVEGCSA-N -1 1 302.330 1.302 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C1(C)C ZINC000358340930 299125215 /nfs/dbraw/zinc/12/52/15/299125215.db2.gz QPLIPQXZCQUTRX-AQUOVQTQSA-N -1 1 318.377 1.463 20 0 DDADMM Cc1cccc(CN(C)C(=O)c2c[n-]c3c(cnn3C)c2=O)n1 ZINC000358376196 299135613 /nfs/dbraw/zinc/13/56/13/299135613.db2.gz VUUQVSRLRFPSHP-UHFFFAOYSA-N -1 1 311.345 1.650 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1F ZINC000353841911 290853605 /nfs/dbraw/zinc/85/36/05/290853605.db2.gz MFOHGWAKNOIQLR-VIFPVBQESA-N -1 1 315.308 1.356 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)c1ccccc1F)NCC(F)F ZINC000280036200 215328665 /nfs/dbraw/zinc/32/86/65/215328665.db2.gz QDOWUDSLWBSBIZ-UHFFFAOYSA-N -1 1 310.222 1.415 20 0 DDADMM COC[C@@H](CCNC(=O)c1cc(Cl)c([O-])c(OC)c1)OC ZINC000617231420 363002466 /nfs/dbraw/zinc/00/24/66/363002466.db2.gz LYAFZQHDVDAFOW-SNVBAGLBSA-N -1 1 317.769 1.836 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC[C@H](Cn2ccnn2)C1 ZINC000617266653 363027862 /nfs/dbraw/zinc/02/78/62/363027862.db2.gz ZVMZNJXAFYIARQ-JTQLQIEISA-N -1 1 306.753 1.799 20 0 DDADMM C[C@]1(C2CC2)CN(C(=O)c2csc(=NC3CC3)[n-]2)CCO1 ZINC000617393569 363086574 /nfs/dbraw/zinc/08/65/74/363086574.db2.gz RXKNXIFPXJKDIE-OAHLLOKOSA-N -1 1 307.419 1.780 20 0 DDADMM CCC(CC)NC(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000047328332 352490344 /nfs/dbraw/zinc/49/03/44/352490344.db2.gz MLPYVONGXMRCBD-UHFFFAOYSA-N -1 1 314.389 1.988 20 0 DDADMM O=C(NCCc1n[n-]c(=S)n1C1CC1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000072805059 191232718 /nfs/dbraw/zinc/23/27/18/191232718.db2.gz XFGZUFQCDZINIW-MXWKQRLJSA-N -1 1 308.407 1.502 20 0 DDADMM COc1cc(C(=O)N[C@H](C)[C@@H](CO)SC)cc(Cl)c1[O-] ZINC000358544998 299193937 /nfs/dbraw/zinc/19/39/37/299193937.db2.gz ZGNAULIMMLOTKW-RDDDGLTNSA-N -1 1 319.810 1.896 20 0 DDADMM CCSCC[C@@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000173272570 198204464 /nfs/dbraw/zinc/20/44/64/198204464.db2.gz URZBRFYWEGCDMC-SECBINFHSA-N -1 1 320.436 1.449 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2cccc(-n3cnnn3)c2)cc1 ZINC000067660104 353060713 /nfs/dbraw/zinc/06/07/13/353060713.db2.gz FEWSOZBKDUCXGV-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM CC[C@H](OC)C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000068181191 353094062 /nfs/dbraw/zinc/09/40/62/353094062.db2.gz QRINTEKVXYCFNF-NSHDSACASA-N -1 1 316.379 1.430 20 0 DDADMM CCOCCCNC(=O)[C@H](C)S(=O)(=O)c1ccc([O-])cc1 ZINC000069163639 353149369 /nfs/dbraw/zinc/14/93/69/353149369.db2.gz UCLITPWCSYDZCM-NSHDSACASA-N -1 1 315.391 1.097 20 0 DDADMM COc1ccccc1O[C@H](C)CNC(=O)c1ncccc1[O-] ZINC000174571180 198354499 /nfs/dbraw/zinc/35/44/99/198354499.db2.gz DALPFKVXQMTVAZ-LLVKDONJSA-N -1 1 302.330 1.993 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)S[C@@H](C)C2)o1 ZINC000080951281 353638465 /nfs/dbraw/zinc/63/84/65/353638465.db2.gz KHYDSQCXATUAKC-IUCAKERBSA-N -1 1 318.420 1.154 20 0 DDADMM COC(=O)CCN(CC(C)C)C(=O)C(=O)c1ccc([O-])cc1 ZINC000280890768 291016345 /nfs/dbraw/zinc/01/63/45/291016345.db2.gz GSTSIQSZMBYHGT-UHFFFAOYSA-N -1 1 307.346 1.623 20 0 DDADMM CC(C)CNC(=O)NC(=O)CN1CCC(CCC(=O)[O-])CC1 ZINC000315974016 354499028 /nfs/dbraw/zinc/49/90/28/354499028.db2.gz VRACQXQLSWYMGQ-UHFFFAOYSA-N -1 1 313.398 1.045 20 0 DDADMM C[C@H]1C[N@H+]2CCCC[C@@H]2CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC000317273686 354509587 /nfs/dbraw/zinc/50/95/87/354509587.db2.gz SUQBJACESWVVGX-WCQYABFASA-N -1 1 303.362 1.479 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC000317273686 354509590 /nfs/dbraw/zinc/50/95/90/354509590.db2.gz SUQBJACESWVVGX-WCQYABFASA-N -1 1 303.362 1.479 20 0 DDADMM O=C(Cc1ccc(O)cc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332408593 234921953 /nfs/dbraw/zinc/92/19/53/234921953.db2.gz ISJFKMSISKUETO-LLVKDONJSA-N -1 1 303.318 1.430 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3occc3C)nc2n1 ZINC000588744430 354932684 /nfs/dbraw/zinc/93/26/84/354932684.db2.gz IOCGPOMZIJKVCO-UHFFFAOYSA-N -1 1 301.306 1.524 20 0 DDADMM COC(=O)c1cc(C)c(C)c(S(=O)(=O)[N-]c2cnc[nH]2)c1 ZINC000589593853 355003189 /nfs/dbraw/zinc/00/31/89/355003189.db2.gz WOXGDDJPXUMGOO-UHFFFAOYSA-N -1 1 309.347 1.614 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCCC(=O)NC(C)C)[n-]1 ZINC000590355301 355076081 /nfs/dbraw/zinc/07/60/81/355076081.db2.gz NROGEMWUKBSBAZ-UHFFFAOYSA-N -1 1 310.354 1.220 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCCC(=O)NC(C)C)n1 ZINC000590355301 355076084 /nfs/dbraw/zinc/07/60/84/355076084.db2.gz NROGEMWUKBSBAZ-UHFFFAOYSA-N -1 1 310.354 1.220 20 0 DDADMM COC(=O)c1n[nH]c2c1CN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC000590875363 355203144 /nfs/dbraw/zinc/20/31/44/355203144.db2.gz GEWMKQVQGJPVKW-UHFFFAOYSA-N -1 1 319.292 1.240 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CC(=O)N(CC(C)C)C2)n1 ZINC000591303203 355284782 /nfs/dbraw/zinc/28/47/82/355284782.db2.gz MLYQQRRYWCAEMH-JTQLQIEISA-N -1 1 322.365 1.029 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@@H]2CCCN(C)C2)c1 ZINC000591761413 355379527 /nfs/dbraw/zinc/37/95/27/355379527.db2.gz IZAMXUJGDFYOBR-XUSGNXJCSA-N -1 1 310.419 1.013 20 0 DDADMM CCOC(=O)C[C@@H](CN=c1ccc(C(N)=O)n[n-]1)CC(C)C ZINC000593494504 355902261 /nfs/dbraw/zinc/90/22/61/355902261.db2.gz PEPDVJKQIPZBLE-NSHDSACASA-N -1 1 308.382 1.025 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)Cc1cccc(F)c1 ZINC000593631617 355948155 /nfs/dbraw/zinc/94/81/55/355948155.db2.gz CWIMQWDFWYCSKN-NSHDSACASA-N -1 1 307.318 1.146 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)C2(C(=O)OC)CC2)n1 ZINC000594442771 356217603 /nfs/dbraw/zinc/21/76/03/356217603.db2.gz UQLIXMISXALBFK-UHFFFAOYSA-N -1 1 310.375 1.749 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CC)C2CC2)o1 ZINC000594858928 356340694 /nfs/dbraw/zinc/34/06/94/356340694.db2.gz ZVYIOZKRJBRSRH-JTQLQIEISA-N -1 1 301.364 1.923 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](CO)C(C)(C)C)cc1C ZINC000595323214 356448935 /nfs/dbraw/zinc/44/89/35/356448935.db2.gz XCNGMCSAEVCZMG-SECBINFHSA-N -1 1 319.379 1.060 20 0 DDADMM CC[C@](C)(C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)OC ZINC000595330822 356452223 /nfs/dbraw/zinc/45/22/23/356452223.db2.gz FPFAQFCFVCSHNA-CYBMUJFWSA-N -1 1 319.379 1.468 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](C)CC(C)(C)O)cc1C ZINC000595333033 356452302 /nfs/dbraw/zinc/45/23/02/356452302.db2.gz IRTIGTIVCVKODP-VIFPVBQESA-N -1 1 319.379 1.202 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC2(C)CCC2)cc1C ZINC000595314447 356445898 /nfs/dbraw/zinc/44/58/98/356445898.db2.gz ZESBODODVLFEKW-UHFFFAOYSA-N -1 1 301.364 1.843 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCC[C@H]2C)cc1C ZINC000595319091 356447249 /nfs/dbraw/zinc/44/72/49/356447249.db2.gz WRZGNIMFADPVAC-PSASIEDQSA-N -1 1 301.364 1.842 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C(C)C)C2CC2)c1 ZINC000595364681 356466202 /nfs/dbraw/zinc/46/62/02/356466202.db2.gz RKNZXNXDWPJVTD-LBPRGKRZSA-N -1 1 301.364 1.779 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC[C@@H](C)C2)o1 ZINC000184403818 199712353 /nfs/dbraw/zinc/71/23/53/199712353.db2.gz USRBMXOWIVMYIP-SNVBAGLBSA-N -1 1 300.380 1.450 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H]2CCC[C@@H]([S@@](C)=O)C2)s[n-]1 ZINC000596372692 356866624 /nfs/dbraw/zinc/86/66/24/356866624.db2.gz MOYBYPYDPQMPGK-QHQIHAMASA-N -1 1 303.453 1.769 20 0 DDADMM CC(C)(C)OC(=O)COc1cccc(C(=O)Nc2nnn[n-]2)c1 ZINC000597264688 357113870 /nfs/dbraw/zinc/11/38/70/357113870.db2.gz ZSYMKCAZQLXNRO-UHFFFAOYSA-N -1 1 319.321 1.173 20 0 DDADMM CC(C)(C)OC(=O)COc1cccc(C(=O)Nc2nn[n-]n2)c1 ZINC000597264688 357113872 /nfs/dbraw/zinc/11/38/72/357113872.db2.gz ZSYMKCAZQLXNRO-UHFFFAOYSA-N -1 1 319.321 1.173 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCC1(CSC)CC1 ZINC000597987938 357406999 /nfs/dbraw/zinc/40/69/99/357406999.db2.gz FNXOSVIULQQXGK-UHFFFAOYSA-N -1 1 313.379 1.160 20 0 DDADMM CCOC(=O)CC1(NC(=O)c2ncc(C)cc2[O-])CCOCC1 ZINC000598491178 357604817 /nfs/dbraw/zinc/60/48/17/357604817.db2.gz WFJKNNUYZTZNOK-UHFFFAOYSA-N -1 1 322.361 1.328 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC[C@H](C)SC)nc2n1 ZINC000598892781 357751925 /nfs/dbraw/zinc/75/19/25/357751925.db2.gz KDXRITVPXYRBMR-QMMMGPOBSA-N -1 1 309.395 1.450 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(COc2ccc(F)cc2)o1 ZINC000599334088 357898130 /nfs/dbraw/zinc/89/81/30/357898130.db2.gz CTHUKFXLNIWZEL-UHFFFAOYSA-N -1 1 317.280 1.441 20 0 DDADMM CCOC(=O)C1(C(=O)Nc2cccc(F)c2[O-])CCOCC1 ZINC000600445979 358223311 /nfs/dbraw/zinc/22/33/11/358223311.db2.gz QVNTVBPHSSUESG-UHFFFAOYSA-N -1 1 311.309 1.830 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(-c2cccc(Cl)c2)no1 ZINC000600506523 358243130 /nfs/dbraw/zinc/24/31/30/358243130.db2.gz ZDHPEMYXCLPEAZ-UHFFFAOYSA-N -1 1 304.697 1.438 20 0 DDADMM COC(=O)[C@H](C[N-]S(=O)(=O)c1cc(C)ns1)CC(C)C ZINC000601357485 358514406 /nfs/dbraw/zinc/51/44/06/358514406.db2.gz SOGFEQGDIUCTLS-JTQLQIEISA-N -1 1 320.436 1.565 20 0 DDADMM COC(=O)C[C@H](C[N-]S(=O)(=O)c1cc(C)ns1)C1CC1 ZINC000601466579 358566528 /nfs/dbraw/zinc/56/65/28/358566528.db2.gz JIQOMUSTRHIIOO-SNVBAGLBSA-N -1 1 318.420 1.319 20 0 DDADMM CCOC(=O)c1cnc(-n2[n-]c(C)c(C3CC3)c2=O)nc1C ZINC000601941159 358745764 /nfs/dbraw/zinc/74/57/64/358745764.db2.gz UOZNRAUTZVMZSB-GFCCVEGCSA-N -1 1 302.334 1.710 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccc(C(=O)OC)c(Cl)c2)c1=O ZINC000601949080 358749635 /nfs/dbraw/zinc/74/96/35/358749635.db2.gz PWSNHFUDWUPOLA-MRVPVSSYSA-N -1 1 324.720 1.638 20 0 DDADMM COc1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000618487120 363649514 /nfs/dbraw/zinc/64/95/14/363649514.db2.gz KKEHFYIJLWJZNH-AWEZNQCLSA-N -1 1 309.329 1.728 20 0 DDADMM COc1ccccc1CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000618488156 363650251 /nfs/dbraw/zinc/65/02/51/363650251.db2.gz MGDCCPPXJJOQAT-INIZCTEOSA-N -1 1 323.356 1.657 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CSC(C)C)n[n-]1 ZINC000603164401 359448818 /nfs/dbraw/zinc/44/88/18/359448818.db2.gz JLHVERZUXBFURI-QMMMGPOBSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CSC(C)C)[n-]1 ZINC000603164401 359448824 /nfs/dbraw/zinc/44/88/24/359448824.db2.gz JLHVERZUXBFURI-QMMMGPOBSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CSC(C)C)n1 ZINC000603164401 359448829 /nfs/dbraw/zinc/44/88/29/359448829.db2.gz JLHVERZUXBFURI-QMMMGPOBSA-N -1 1 300.384 1.300 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1C(C)(C)C1(F)F)C1CC1 ZINC000603292797 359546895 /nfs/dbraw/zinc/54/68/95/359546895.db2.gz ACXVVIZSVWQHRH-RKDXNWHRSA-N -1 1 311.350 1.149 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCCC[C@@H]1O ZINC000187390872 200105788 /nfs/dbraw/zinc/10/57/88/200105788.db2.gz AMFSRBVFJOKGQM-QWRGUYRKSA-N -1 1 307.394 1.409 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC[C@H]2CCCO2)o1 ZINC000610488061 360448859 /nfs/dbraw/zinc/44/88/59/360448859.db2.gz NDKOFCVKINOACG-SNVBAGLBSA-N -1 1 317.363 1.304 20 0 DDADMM CC[C@@](NC(=O)c1ncc2ccccc2c1[O-])(C(N)=O)C(C)C ZINC000613396655 361404392 /nfs/dbraw/zinc/40/43/92/361404392.db2.gz VGVIYUIKTPQMMA-KRWDZBQOSA-N -1 1 315.373 1.960 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc(-c2cnco2)c1)c1nn[n-]n1 ZINC000613476761 361435502 /nfs/dbraw/zinc/43/55/02/361435502.db2.gz ZYTPCFRYDLIWEY-JTQLQIEISA-N -1 1 312.333 1.730 20 0 DDADMM C[C@@H](O)C[C@H]1COCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000613558109 361466818 /nfs/dbraw/zinc/46/68/18/361466818.db2.gz NXVAMTMNGFEESU-YPMHNXCESA-N -1 1 316.357 1.552 20 0 DDADMM COC(=O)[C@@H](COC(C)C)N(C)C(=O)c1ccc([O-])c(F)c1 ZINC000613516502 361451720 /nfs/dbraw/zinc/45/17/20/361451720.db2.gz DHNYGLUYTLAWOW-GFCCVEGCSA-N -1 1 313.325 1.570 20 0 DDADMM C[C@@H](CNC(=O)c1ncc2ccccc2c1[O-])c1nncn1C ZINC000613840443 361596857 /nfs/dbraw/zinc/59/68/57/361596857.db2.gz QGCRBOKSKUNUNA-JTQLQIEISA-N -1 1 311.345 1.602 20 0 DDADMM CCOC(=O)CC(=O)Nc1nc(Br)ccc1[O-] ZINC000194575060 201254885 /nfs/dbraw/zinc/25/48/85/201254885.db2.gz UQZNGVXMBWGLAE-UHFFFAOYSA-N -1 1 303.112 1.441 20 0 DDADMM C[C@@H](CN(C)C(=O)CSCc1ccc(F)cc1)c1nn[n-]n1 ZINC000614040819 361672821 /nfs/dbraw/zinc/67/28/21/361672821.db2.gz JMVYISOPLXVOEZ-JTQLQIEISA-N -1 1 323.397 1.834 20 0 DDADMM COC(=O)[C@@H]([N-]C(=O)C(F)F)c1ccc(OC)c(Cl)c1 ZINC000194872700 201304221 /nfs/dbraw/zinc/30/42/21/201304221.db2.gz SXOUGLHXVPTHLN-VIFPVBQESA-N -1 1 307.680 1.944 20 0 DDADMM O=C(CCc1ccncc1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622609795 365450372 /nfs/dbraw/zinc/45/03/72/365450372.db2.gz IKDXGYBWZYLJOS-OAHLLOKOSA-N -1 1 308.345 1.433 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccc2c(c1)COC2 ZINC000622610052 365451005 /nfs/dbraw/zinc/45/10/05/365451005.db2.gz OFBSENRHGUADSG-HNNXBMFYSA-N -1 1 321.340 1.749 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H]2C[C@@H](O)C2)c(F)c1 ZINC000625568418 367020162 /nfs/dbraw/zinc/02/01/62/367020162.db2.gz WWGFHBVYTVILEP-OCAPTIKFSA-N -1 1 307.318 1.023 20 0 DDADMM COc1cc(NC(=O)N[C@H](C)CF)ccc1[N-]S(C)(=O)=O ZINC000349350655 283906730 /nfs/dbraw/zinc/90/67/30/283906730.db2.gz UROUTKHKLSLZPA-MRVPVSSYSA-N -1 1 319.358 1.546 20 0 DDADMM C[C@@H]1C[C@@H]1N1C[C@H](N=c2nc(C(F)(F)F)[n-]s2)CC1=O ZINC000376454543 307244526 /nfs/dbraw/zinc/24/45/26/307244526.db2.gz LOMILWAHJVJUEB-QYNIQEEDSA-N -1 1 306.313 1.400 20 0 DDADMM O=C([O-])CNC(=O)CN(Cc1cccc(F)c1)C1CCCC1 ZINC000262149143 203211787 /nfs/dbraw/zinc/21/17/87/203211787.db2.gz AINGRFVIDSOVEI-UHFFFAOYSA-N -1 1 308.353 1.771 20 0 DDADMM O=C([O-])C1CCC(NC(=O)Cc2[nH]nc3ccccc32)CC1 ZINC000262667833 203373994 /nfs/dbraw/zinc/37/39/94/203373994.db2.gz POXVADKAWZFECW-UHFFFAOYSA-N -1 1 301.346 1.865 20 0 DDADMM O=C([O-])[C@@H](C1CC1)N1CCN(C(=O)c2c(F)cccc2F)CC1 ZINC000635017742 422772305 /nfs/dbraw/zinc/77/23/05/422772305.db2.gz WWBQOXOTHACDHV-CQSZACIVSA-N -1 1 324.327 1.586 20 0 DDADMM CCOCCCC[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000264620928 204034321 /nfs/dbraw/zinc/03/43/21/204034321.db2.gz RRMAIVZKTXPFEX-UHFFFAOYSA-N -1 1 305.352 1.161 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C(=O)N2CCC[C@H](C)C2)c1 ZINC000282683640 217173914 /nfs/dbraw/zinc/17/39/14/217173914.db2.gz DEGDQOWSVXWKQD-JTQLQIEISA-N -1 1 320.345 1.376 20 0 DDADMM Cc1ccc(F)c(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282923180 217327751 /nfs/dbraw/zinc/32/77/51/217327751.db2.gz OAJIODKLAIOTIQ-SNVBAGLBSA-N -1 1 304.325 1.565 20 0 DDADMM CCc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(C)nn1 ZINC000566674931 304175017 /nfs/dbraw/zinc/17/50/17/304175017.db2.gz VVDUOJCEMKOSTN-UHFFFAOYSA-N -1 1 317.349 1.456 20 0 DDADMM O=C(c1ccc(Cl)cc1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000283018539 217390006 /nfs/dbraw/zinc/39/00/06/217390006.db2.gz XDBMOWLLTRJNOX-JTQLQIEISA-N -1 1 306.753 1.771 20 0 DDADMM CC(C)c1n[n-]c(=NCc2nc(C3CCOCC3)n[nH]2)s1 ZINC000631459175 422777073 /nfs/dbraw/zinc/77/70/73/422777073.db2.gz AEKBOVNQDZLOJA-UHFFFAOYSA-N -1 1 308.411 1.708 20 0 DDADMM CC(C)c1n[n-]c(=NCc2n[nH]c(C3CCOCC3)n2)s1 ZINC000631459175 422777077 /nfs/dbraw/zinc/77/70/77/422777077.db2.gz AEKBOVNQDZLOJA-UHFFFAOYSA-N -1 1 308.411 1.708 20 0 DDADMM Cc1ccc(NS(=O)(=O)c2cc(C(=O)[O-])n(C)c2)cc1F ZINC000022160710 182312022 /nfs/dbraw/zinc/31/20/22/182312022.db2.gz IKDUIDNCQXURSD-UHFFFAOYSA-N -1 1 312.322 1.972 20 0 DDADMM Cc1cccc(NC2CCN(C(=O)CCc3nn[n-]n3)CC2)c1 ZINC000631460407 422779047 /nfs/dbraw/zinc/77/90/47/422779047.db2.gz LMXCLCVGGUCPMK-UHFFFAOYSA-N -1 1 314.393 1.544 20 0 DDADMM O=C(CCc1cccc(F)c1F)NCCCc1nc(=O)[n-][nH]1 ZINC000174578388 248369587 /nfs/dbraw/zinc/36/95/87/248369587.db2.gz GEJFMMNUEWOISU-UHFFFAOYSA-N -1 1 310.304 1.058 20 0 DDADMM O=C1CCc2cc(F)c([N-]S(=O)(=O)c3cn[nH]c3)cc2N1 ZINC000040827747 183138215 /nfs/dbraw/zinc/13/82/15/183138215.db2.gz VRGJEGPKXONIEC-UHFFFAOYSA-N -1 1 310.310 1.234 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000274106576 211360812 /nfs/dbraw/zinc/36/08/12/211360812.db2.gz PXUNCUPYQYDSNU-JTQLQIEISA-N -1 1 301.339 1.181 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)Cc1ccccc1 ZINC000103937074 193965870 /nfs/dbraw/zinc/96/58/70/193965870.db2.gz CSGAHRISDBIUBS-UHFFFAOYSA-N -1 1 324.384 1.841 20 0 DDADMM CCOc1cc(C(=O)NC[C@@H](C)C(=O)OC)cc(Cl)c1[O-] ZINC000273939696 211191794 /nfs/dbraw/zinc/19/17/94/211191794.db2.gz JVFGMZXGTCLJIT-MRVPVSSYSA-N -1 1 315.753 1.983 20 0 DDADMM CCC[C@](C)([N-]S(=O)(=O)c1sccc1F)C(=O)OC ZINC000338858392 250166346 /nfs/dbraw/zinc/16/63/46/250166346.db2.gz QUOOZUSARIFYRZ-NSHDSACASA-N -1 1 309.384 1.897 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2cc(C)ccn2)o1 ZINC000339047380 250268167 /nfs/dbraw/zinc/26/81/67/250268167.db2.gz ZIHNKBIGSDNXEH-UHFFFAOYSA-N -1 1 324.358 1.638 20 0 DDADMM O=S(=O)([N-]CC(F)(F)F)c1cnn(-c2ccccc2F)c1 ZINC000340375345 251036148 /nfs/dbraw/zinc/03/61/48/251036148.db2.gz WAOHGEOPWIQORV-UHFFFAOYSA-N -1 1 323.271 1.852 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCC[C@H]2CO)c(=O)[n-]1 ZINC000340551065 251110581 /nfs/dbraw/zinc/11/05/81/251110581.db2.gz ZEYXNYVCTZRYCT-JTQLQIEISA-N -1 1 311.407 1.128 20 0 DDADMM O=C([O-])[C@]1(NCc2ccc(F)cc2Br)CCOC1 ZINC000340676801 251161804 /nfs/dbraw/zinc/16/18/04/251161804.db2.gz ICXYGXXTRUFZBK-LBPRGKRZSA-N -1 1 318.142 1.922 20 0 DDADMM CS(=O)(=O)CC1(CC(=O)Nc2ccc(F)cc2[O-])CC1 ZINC000269085481 207230027 /nfs/dbraw/zinc/23/00/27/207230027.db2.gz XLTZTJCWGZRGON-UHFFFAOYSA-N -1 1 301.339 1.685 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)N(C)CCC(=O)[O-])cc1OC ZINC000062453814 184236655 /nfs/dbraw/zinc/23/66/55/184236655.db2.gz DOVMNRQLOKSLRE-JTQLQIEISA-N -1 1 310.350 1.437 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H](CO)C1 ZINC000269125427 207260639 /nfs/dbraw/zinc/26/06/39/207260639.db2.gz LNEJPYOEFDROBI-NSHDSACASA-N -1 1 307.394 1.633 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC1CCCC1 ZINC000069451524 184515680 /nfs/dbraw/zinc/51/56/80/184515680.db2.gz GUWWASZIAMGERO-UHFFFAOYSA-N -1 1 302.378 1.488 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCSCC(C)C)o1 ZINC000270064022 208118933 /nfs/dbraw/zinc/11/89/33/208118933.db2.gz BPHFGGYUKOMCNE-UHFFFAOYSA-N -1 1 320.436 1.307 20 0 DDADMM Cc1cc(CC[N-]S(=O)(=O)c2cc(F)c(F)cc2F)on1 ZINC000352500939 285094598 /nfs/dbraw/zinc/09/45/98/285094598.db2.gz RBONTTHAYSMROR-UHFFFAOYSA-N -1 1 320.292 1.921 20 0 DDADMM COC(=O)[C@@H]1C[C@H](O)CN1C(=O)c1cc2ccccc2cc1[O-] ZINC000457229951 533063955 /nfs/dbraw/zinc/06/39/55/533063955.db2.gz IQTJUYCXFYLVDI-JSGCOSHPSA-N -1 1 315.325 1.294 20 0 DDADMM Cc1c(C(=O)N=c2cc(-c3cccc(F)c3F)[n-][nH]2)nnn1C ZINC000352537739 285124395 /nfs/dbraw/zinc/12/43/95/285124395.db2.gz ZLDCSEXPGWAILG-UHFFFAOYSA-N -1 1 318.287 1.466 20 0 DDADMM CC(C)[C@@H]1CCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352544526 285130202 /nfs/dbraw/zinc/13/02/02/285130202.db2.gz RIDACVQVGVXTIB-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM CO[C@H](C)c1nsc(N=C([O-])[C@@H](C)C(=O)OC(C)(C)C)n1 ZINC000414266963 533119981 /nfs/dbraw/zinc/11/99/81/533119981.db2.gz SLZKTZYCXSCTCQ-HTQZYQBOSA-N -1 1 315.395 1.584 20 0 DDADMM CCCCSCCC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000352725748 285256913 /nfs/dbraw/zinc/25/69/13/285256913.db2.gz LSEBWWATWUWHGE-UHFFFAOYSA-N -1 1 306.413 1.070 20 0 DDADMM CCCCSCCC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000352725748 285256916 /nfs/dbraw/zinc/25/69/16/285256916.db2.gz LSEBWWATWUWHGE-UHFFFAOYSA-N -1 1 306.413 1.070 20 0 DDADMM CCC(CC)(CC)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000153392340 196875616 /nfs/dbraw/zinc/87/56/16/196875616.db2.gz ILVVGZUMWURGAQ-UHFFFAOYSA-N -1 1 302.396 1.886 20 0 DDADMM COCC[C@H](c1ccccc1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000352780428 285296515 /nfs/dbraw/zinc/29/65/15/285296515.db2.gz UCGREUCVVJTHJK-KGLIPLIRSA-N -1 1 303.362 1.000 20 0 DDADMM COC(=O)[C@H]1Cc2ccccc2CN1C(=O)c1ncccc1[O-] ZINC000153669442 291950557 /nfs/dbraw/zinc/95/05/57/291950557.db2.gz KFMJHSUFGIEXQO-CYBMUJFWSA-N -1 1 312.325 1.527 20 0 DDADMM Cc1[nH]n(-c2cccc(-c3ccnn3C)c2)c(=O)c1CC(=O)[O-] ZINC000568266576 304282339 /nfs/dbraw/zinc/28/23/39/304282339.db2.gz ZUMNJDAMGVCVFH-ZDUSSCGKSA-N -1 1 312.329 1.901 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1sccc1Cl)C(=O)NC(C)(C)C ZINC000451119222 533489870 /nfs/dbraw/zinc/48/98/70/533489870.db2.gz ZRMUBMWAVHLTNL-SSDOTTSWSA-N -1 1 324.855 1.983 20 0 DDADMM O=C(c1cc(-n2cccc2)ccn1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000569838663 304381656 /nfs/dbraw/zinc/38/16/56/304381656.db2.gz WGYGUMNAHGDDCM-GFCCVEGCSA-N -1 1 323.360 1.405 20 0 DDADMM NC(=O)NC1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000631552810 422827906 /nfs/dbraw/zinc/82/79/06/422827906.db2.gz RFHOLJGICZWULX-UHFFFAOYSA-N -1 1 311.769 1.247 20 0 DDADMM C[C@]1(c2ccccc2)C[C@@H]1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000570159135 304399090 /nfs/dbraw/zinc/39/90/90/304399090.db2.gz UNYKIENQJKBZBA-DXCKQFNASA-N -1 1 311.389 1.884 20 0 DDADMM O=C(/N=c1\nc(-c2ccccn2)[nH][n-]1)c1ccc2nccn2c1 ZINC000068304704 406630736 /nfs/dbraw/zinc/63/07/36/406630736.db2.gz DUGGRKODWIBEBU-UHFFFAOYSA-N -1 1 305.301 1.189 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C=Cc1cccnc1 ZINC000074162376 406903783 /nfs/dbraw/zinc/90/37/83/406903783.db2.gz LPMYPMRUJPSZTO-AATRIKPKSA-N -1 1 323.356 1.364 20 0 DDADMM COC(=O)[C@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000044490033 407024400 /nfs/dbraw/zinc/02/44/00/407024400.db2.gz SDSFUFYMQXAHSE-LURJTMIESA-N -1 1 302.124 1.446 20 0 DDADMM C[C@H](CS(C)(=O)=O)NC(=O)c1ccc2ccccc2c1[O-] ZINC000078637067 407038066 /nfs/dbraw/zinc/03/80/66/407038066.db2.gz VCTLJNAHADDZRT-SNVBAGLBSA-N -1 1 307.371 1.708 20 0 DDADMM O=S(=O)(Cc1ccc(OCC(F)(F)F)cc1)c1ncn[n-]1 ZINC000086169737 407108517 /nfs/dbraw/zinc/10/85/17/407108517.db2.gz SYSLQCDCYLQROI-UHFFFAOYSA-N -1 1 321.280 1.720 20 0 DDADMM O=S(=O)(Cc1ccc(OCC(F)(F)F)cc1)c1nc[n-]n1 ZINC000086169737 407108519 /nfs/dbraw/zinc/10/85/19/407108519.db2.gz SYSLQCDCYLQROI-UHFFFAOYSA-N -1 1 321.280 1.720 20 0 DDADMM CC(C)c1cccc(NC(=O)CS(=O)(=O)c2ncn[n-]2)c1 ZINC000086169903 407109320 /nfs/dbraw/zinc/10/93/20/407109320.db2.gz HQSHXSVFPQBMHC-UHFFFAOYSA-N -1 1 308.363 1.341 20 0 DDADMM CC(C)c1cccc(NC(=O)CS(=O)(=O)c2nc[n-]n2)c1 ZINC000086169903 407109325 /nfs/dbraw/zinc/10/93/25/407109325.db2.gz HQSHXSVFPQBMHC-UHFFFAOYSA-N -1 1 308.363 1.341 20 0 DDADMM COc1ccccc1N1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000082314732 407080864 /nfs/dbraw/zinc/08/08/64/407080864.db2.gz MNIJMCWGJFUEQK-UHFFFAOYSA-N -1 1 313.357 1.758 20 0 DDADMM Cc1noc(C)c1CC(=O)NCc1n[n-]c(=S)n1C(C)C ZINC000066649993 407255995 /nfs/dbraw/zinc/25/59/95/407255995.db2.gz CIWZBUYQZUSQKY-UHFFFAOYSA-N -1 1 309.395 1.985 20 0 DDADMM COc1ccccc1OCc1ccc(C(=O)Nc2nn[n-]n2)o1 ZINC000102895107 407328397 /nfs/dbraw/zinc/32/83/97/407328397.db2.gz MHBHRBRXWRSMFI-UHFFFAOYSA-N -1 1 315.289 1.633 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1cccnc1 ZINC000097091790 407279363 /nfs/dbraw/zinc/27/93/63/407279363.db2.gz KHYGDXIQPMDZFM-UHFFFAOYSA-N -1 1 300.362 1.701 20 0 DDADMM O=C(c1ccc(F)cc1[O-])N1CCN(C2CCOCC2)CC1 ZINC000124016426 407345126 /nfs/dbraw/zinc/34/51/26/407345126.db2.gz KWJQNJCSJBRSRT-UHFFFAOYSA-N -1 1 308.353 1.468 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCCC[C@H]2C)o1 ZINC000107697999 407378312 /nfs/dbraw/zinc/37/83/12/407378312.db2.gz HIIGSPQRACZTKR-NXEZZACHSA-N -1 1 301.364 1.923 20 0 DDADMM O=C(NCCNC(=O)c1cncc([O-])c1)c1ccc(F)cc1 ZINC000111578832 407411938 /nfs/dbraw/zinc/41/19/38/407411938.db2.gz XOMOXQLZXSLWEG-UHFFFAOYSA-N -1 1 303.293 1.086 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1ccc(-c2ncn(C)n2)cc1 ZINC000185636595 407467816 /nfs/dbraw/zinc/46/78/16/407467816.db2.gz BCDCFPREWHLIQQ-UHFFFAOYSA-N -1 1 324.406 1.649 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1cccc(S(C)(=O)=O)c1 ZINC000266715769 407610759 /nfs/dbraw/zinc/61/07/59/407610759.db2.gz GIKLCRZHBGFYER-UHFFFAOYSA-N -1 1 321.420 1.257 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H]2C2CCC2)co1 ZINC000130032727 407647313 /nfs/dbraw/zinc/64/73/13/407647313.db2.gz OVYSZYHNFGAFTM-GFCCVEGCSA-N -1 1 312.391 1.592 20 0 DDADMM Cc1nc2n(n1)C[C@@H](NC(=O)c1ccc(Cl)cc1[O-])CC2 ZINC000171331247 407660864 /nfs/dbraw/zinc/66/08/64/407660864.db2.gz ZPLUFVFBOWYEHW-JTQLQIEISA-N -1 1 306.753 1.690 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(C)c(O)c2C)co1 ZINC000179028179 407696769 /nfs/dbraw/zinc/69/67/69/407696769.db2.gz IJUXMZPLNQQMKY-UHFFFAOYSA-N -1 1 324.358 1.762 20 0 DDADMM O=C(NC[C@]1(O)CCOC1)c1ccc(Br)cc1[O-] ZINC000179085096 407718812 /nfs/dbraw/zinc/71/88/12/407718812.db2.gz SZODCKUNLWHEEO-GFCCVEGCSA-N -1 1 316.151 1.036 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2C[C@@H]3CCC[C@H]3C2)c(=O)[n-]1 ZINC000152964470 407726232 /nfs/dbraw/zinc/72/62/32/407726232.db2.gz SEKGLLPAAJATTB-RYUDHWBXSA-N -1 1 321.446 1.991 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H]2CCCOC2)c(=O)[n-]1 ZINC000171689100 407740599 /nfs/dbraw/zinc/74/05/99/407740599.db2.gz RVVGUMYHASLIPQ-JTQLQIEISA-N -1 1 311.407 1.028 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCc1ccc(F)cc1)c2=O ZINC000179386074 407783324 /nfs/dbraw/zinc/78/33/24/407783324.db2.gz QDQPABJMZLMTGZ-UHFFFAOYSA-N -1 1 314.320 1.786 20 0 DDADMM Cc1ccc(CN(C)C(=O)c2c[n-]c3c(cnn3C)c2=O)o1 ZINC000179389195 407783518 /nfs/dbraw/zinc/78/35/18/407783518.db2.gz XOEJJKDOVAHXNA-UHFFFAOYSA-N -1 1 300.318 1.848 20 0 DDADMM Cc1oc2ncn(C)c(=O)c2c1C(=O)[N-]c1nc2ccccn2n1 ZINC000133022159 407815874 /nfs/dbraw/zinc/81/58/74/407815874.db2.gz JWWDVESQEMVJSR-UHFFFAOYSA-N -1 1 324.300 1.130 20 0 DDADMM O=C([O-])[C@]12CN(C(=O)c3[nH]nc4ccccc43)C[C@H]1COCC2 ZINC000262413716 407827615 /nfs/dbraw/zinc/82/76/15/407827615.db2.gz PNZKGBJYAJKHOS-MGPLVRAMSA-N -1 1 315.329 1.126 20 0 DDADMM O=C([O-])C1CCN(CC(=O)NC2(c3ccccc3)CCC2)CC1 ZINC000262685337 407900684 /nfs/dbraw/zinc/90/06/84/407900684.db2.gz XOPHBJGYKMRKEW-UHFFFAOYSA-N -1 1 316.401 1.979 20 0 DDADMM CC(C)CC[C@](C)(O)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119301900 408034733 /nfs/dbraw/zinc/03/47/33/408034733.db2.gz DPVHOGYZYUPBCW-INIZCTEOSA-N -1 1 320.393 1.591 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1cc(C(F)(F)F)ccc1F ZINC000273063775 408042085 /nfs/dbraw/zinc/04/20/85/408042085.db2.gz JHVDYRURINPETJ-UHFFFAOYSA-N -1 1 305.231 1.905 20 0 DDADMM C[C@@H](c1cnn(C)c1)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119489823 408080667 /nfs/dbraw/zinc/08/06/67/408080667.db2.gz BRLJNRJLIXGEIV-VIFPVBQESA-N -1 1 314.349 1.241 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CCC[C@H]3OCC[C@H]32)c1Cl ZINC000268523667 408084154 /nfs/dbraw/zinc/08/41/54/408084154.db2.gz VJKOYXUBNYSWAT-IVZWLZJFSA-N -1 1 319.814 1.309 20 0 DDADMM Cc1ccc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)cn1 ZINC000154958206 408108305 /nfs/dbraw/zinc/10/83/05/408108305.db2.gz WERZPFNUUROXSX-UHFFFAOYSA-N -1 1 311.301 1.288 20 0 DDADMM CCC[C@H](NC(=O)c1csc([C@@H]2CCCO2)n1)c1nn[n-]n1 ZINC000155476372 408169538 /nfs/dbraw/zinc/16/95/38/408169538.db2.gz PAHGEYPPBJFKDT-WPRPVWTQSA-N -1 1 322.394 1.779 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H]3[C@H](C(=O)[O-])C3(C)C)C2)n[nH]1 ZINC000263564698 408175309 /nfs/dbraw/zinc/17/53/09/408175309.db2.gz VAQNPZORJPKDLE-OUAUKWLOSA-N -1 1 306.366 1.176 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2CCCc3c[nH]nc32)c1Cl ZINC000268784700 408191117 /nfs/dbraw/zinc/19/11/17/408191117.db2.gz JVQXURDCGRHGTB-QMMMGPOBSA-N -1 1 315.786 1.153 20 0 DDADMM C[C@@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1c(F)cccc1F ZINC000125679847 161882714 /nfs/dbraw/zinc/88/27/14/161882714.db2.gz JJEMHDZVCSIWSH-MRVPVSSYSA-N -1 1 310.304 1.229 20 0 DDADMM NC(=O)[C@H]1CCC[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000150816605 408204598 /nfs/dbraw/zinc/20/45/98/408204598.db2.gz IZCWPIDPLXMNGD-RYUDHWBXSA-N -1 1 322.386 1.755 20 0 DDADMM NC(=O)[C@@H]1CCC[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000150816654 408205818 /nfs/dbraw/zinc/20/58/18/408205818.db2.gz IZCWPIDPLXMNGD-NEPJUHHUSA-N -1 1 322.386 1.755 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@@H]1CCn2ccnc2C1 ZINC000155986086 408232406 /nfs/dbraw/zinc/23/24/06/408232406.db2.gz UIZVMMDMPGKKLN-ZCFIWIBFSA-N -1 1 300.244 1.221 20 0 DDADMM Cc1noc(C)c1CCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132683140 162042602 /nfs/dbraw/zinc/04/26/02/162042602.db2.gz IDBYPABCNFWXKA-UHFFFAOYSA-N -1 1 315.333 1.251 20 0 DDADMM Cn1ncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1C1CC1 ZINC000264005767 408342958 /nfs/dbraw/zinc/34/29/58/408342958.db2.gz IVSNKPZIJDBUQK-UHFFFAOYSA-N -1 1 300.244 1.687 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(c2ncc(F)cn2)CC1 ZINC000274287237 408353367 /nfs/dbraw/zinc/35/33/67/408353367.db2.gz ZYYXGMOCEPAZKI-UHFFFAOYSA-N -1 1 320.299 1.423 20 0 DDADMM COCCn1cc(S(=O)(=O)[N-]c2ccccc2Cl)cn1 ZINC000269663790 408382485 /nfs/dbraw/zinc/38/24/85/408382485.db2.gz XAIUVOAAAXMAKO-UHFFFAOYSA-N -1 1 315.782 1.984 20 0 DDADMM COc1ccc(Cl)cc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183398633 408399277 /nfs/dbraw/zinc/39/92/77/408399277.db2.gz NRQDLTQJWAMUDZ-QMMMGPOBSA-N -1 1 309.757 1.737 20 0 DDADMM CC[C@H](Oc1ccccc1F)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183407883 408401993 /nfs/dbraw/zinc/40/19/93/408401993.db2.gz SCHLFDGUXJNMMG-JQWIXIFHSA-N -1 1 321.356 1.758 20 0 DDADMM COc1ccccc1C(C)=CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183429532 408411232 /nfs/dbraw/zinc/41/12/32/408411232.db2.gz GBRLLCFGKIZNQL-LMMOQWNQSA-N -1 1 315.377 1.874 20 0 DDADMM CCC[C@@H](NC(=O)c1ccn(-c2ccccc2)n1)c1nn[n-]n1 ZINC000176749726 408431359 /nfs/dbraw/zinc/43/13/59/408431359.db2.gz HINZMSCNKNFWAS-GFCCVEGCSA-N -1 1 311.349 1.657 20 0 DDADMM CCC[C@H](NC(=O)c1ccn(-c2ccccc2)n1)c1nn[n-]n1 ZINC000176749709 408432189 /nfs/dbraw/zinc/43/21/89/408432189.db2.gz HINZMSCNKNFWAS-LBPRGKRZSA-N -1 1 311.349 1.657 20 0 DDADMM CCn1cnnc1C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000264421778 408483976 /nfs/dbraw/zinc/48/39/76/408483976.db2.gz TXJCVYMZKIKHPA-UHFFFAOYSA-N -1 1 320.296 1.194 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(F)cc2nccnc21)c1nn[n-]n1 ZINC000274784405 408530445 /nfs/dbraw/zinc/53/04/45/408530445.db2.gz OMISZICZPLACCR-MRVPVSSYSA-N -1 1 315.312 1.158 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC(C)(CO)CC3)cnc2n1 ZINC000184156939 408558331 /nfs/dbraw/zinc/55/83/31/408558331.db2.gz NLBFMPGYNUTMBD-UHFFFAOYSA-N -1 1 315.373 1.878 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC(C)(CO)CC3)c[n-]c2n1 ZINC000184156939 408558335 /nfs/dbraw/zinc/55/83/35/408558335.db2.gz NLBFMPGYNUTMBD-UHFFFAOYSA-N -1 1 315.373 1.878 20 0 DDADMM COC(=O)c1c[n-]c(SCCC(=O)Nc2cc(C)on2)n1 ZINC000274935953 408567458 /nfs/dbraw/zinc/56/74/58/408567458.db2.gz PWVYDPHKHCSVDO-UHFFFAOYSA-N -1 1 310.335 1.614 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(C(C)C)C(C)C)co1 ZINC000192605851 408615538 /nfs/dbraw/zinc/61/55/38/408615538.db2.gz RWZBRNQORNFKEV-UHFFFAOYSA-N -1 1 316.423 1.846 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cc(=O)[nH]c(C2CC2)c1 ZINC000184860621 408707554 /nfs/dbraw/zinc/70/75/54/408707554.db2.gz GYABNHNBCXVIRS-UHFFFAOYSA-N -1 1 313.239 1.642 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2OCCC[C@@H]12)c1cc(F)c(F)cc1F ZINC000249777351 408765003 /nfs/dbraw/zinc/76/50/03/408765003.db2.gz KOJQXMNRNLUPMP-BPTDKIDVSA-N -1 1 321.320 1.950 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2ccncc2C)c1 ZINC000167687741 408817858 /nfs/dbraw/zinc/81/78/58/408817858.db2.gz MXJAQDRZPZFKQN-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM O=C(c1cc(F)cc2nn[nH]c21)N1CCO[C@@]2(CCCOC2)C1 ZINC000286247215 408948162 /nfs/dbraw/zinc/94/81/62/408948162.db2.gz GTYFZZRKFIVCQE-HNNXBMFYSA-N -1 1 320.324 1.119 20 0 DDADMM CCC[C@H](CCO)CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000227108179 163338207 /nfs/dbraw/zinc/33/82/07/163338207.db2.gz MQHVWEAOGZIAJM-SNVBAGLBSA-N -1 1 313.423 1.329 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@@H]([C@H](O)C(F)(F)F)C1 ZINC000277523566 408957611 /nfs/dbraw/zinc/95/76/11/408957611.db2.gz ODYMTULJICHXDR-KCJUWKMLSA-N -1 1 304.268 1.563 20 0 DDADMM CCC(CC)N(CC)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000292012236 408963594 /nfs/dbraw/zinc/96/35/94/408963594.db2.gz BQUDPNBRPBKJNK-UHFFFAOYSA-N -1 1 302.396 1.838 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C3CCCC3)CC2)n1 ZINC000277706194 408996102 /nfs/dbraw/zinc/99/61/02/408996102.db2.gz MKIMANLZNBQPDU-UHFFFAOYSA-N -1 1 320.393 1.878 20 0 DDADMM COCCN1C[C@@H](c2nc(-c3ccc([O-])cc3F)no2)CC1=O ZINC000277898852 409032081 /nfs/dbraw/zinc/03/20/81/409032081.db2.gz DLDGBNOBAPTPSS-VIFPVBQESA-N -1 1 321.308 1.544 20 0 DDADMM CCOCCCNC(=O)Cc1noc(-c2ccc([O-])cc2F)n1 ZINC000277911246 409034327 /nfs/dbraw/zinc/03/43/27/409034327.db2.gz PHHDVJXSIVVBJN-UHFFFAOYSA-N -1 1 323.324 1.667 20 0 DDADMM COCC1(CNC(=O)c2c(C)[n-]c(=O)nc2SC)CCC1 ZINC000282357834 409040209 /nfs/dbraw/zinc/04/02/09/409040209.db2.gz XEZFFMQSOQIMRW-UHFFFAOYSA-N -1 1 311.407 1.759 20 0 DDADMM CC(C)N(CCOCCO)Cc1nc(=O)c2sccc2[n-]1 ZINC000283635783 409188115 /nfs/dbraw/zinc/18/81/15/409188115.db2.gz BZUSSUUHQBPAIV-UHFFFAOYSA-N -1 1 311.407 1.616 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cn(C)nc2C(F)(F)F)on1 ZINC000293671321 409158416 /nfs/dbraw/zinc/15/84/16/409158416.db2.gz ZNGPANGSKJPDIE-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM O=C(C(=O)N1CC[C@@]2(O)CCCC[C@@H]2C1)c1ccc([O-])cc1 ZINC000283824636 409223604 /nfs/dbraw/zinc/22/36/04/409223604.db2.gz YYVUNHIKQGHQBO-DYVFJYSZSA-N -1 1 303.358 1.729 20 0 DDADMM O=C(C(=O)N1CCC([C@H]2CCCO2)CC1)c1ccc([O-])cc1 ZINC000289275978 409241465 /nfs/dbraw/zinc/24/14/65/409241465.db2.gz YVFDLMSGXPVDRQ-OAHLLOKOSA-N -1 1 303.358 1.993 20 0 DDADMM COC(=O)c1cc(OC)ccc1[N-]S(=O)(=O)CCCCF ZINC000294102897 409241515 /nfs/dbraw/zinc/24/15/15/409241515.db2.gz CEKOGAIJMADJAD-UHFFFAOYSA-N -1 1 319.354 1.973 20 0 DDADMM COC[C@H]1CCN1C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000294193940 409257757 /nfs/dbraw/zinc/25/77/57/409257757.db2.gz CGKMAPLNRGCSAV-SNVBAGLBSA-N -1 1 311.407 1.392 20 0 DDADMM COc1ccc([C@H](C)[N-]S(=O)(=O)c2c(C)onc2N)cc1 ZINC000289386296 409260006 /nfs/dbraw/zinc/26/00/06/409260006.db2.gz OJEYACLYFMIZTL-QMMMGPOBSA-N -1 1 311.363 1.613 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@]1(O)CCC[C@@H]1C ZINC000294301466 409275123 /nfs/dbraw/zinc/27/51/23/409275123.db2.gz OHWQEPXDIUKHTR-RTHLEPHNSA-N -1 1 311.407 1.493 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C(=O)[C@H]2CCCN2Cc2ccccc2)C1 ZINC000262256659 163794973 /nfs/dbraw/zinc/79/49/73/163794973.db2.gz YISLNFUAOAJHGE-RHSMWYFYSA-N -1 1 320.364 1.676 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@H](OCCO)C1 ZINC000290052985 409292602 /nfs/dbraw/zinc/29/26/02/409292602.db2.gz DUJOGQSSFNINJG-VIFPVBQESA-N -1 1 301.289 1.284 20 0 DDADMM O=C(N[C@@H]1CCCc2c[nH]nc21)c1ccc2n[n-]c(=S)n2c1 ZINC000295004567 409307493 /nfs/dbraw/zinc/30/74/93/409307493.db2.gz QHAKQLGANNHFDR-SNVBAGLBSA-N -1 1 314.374 1.549 20 0 DDADMM CC[C@H](CNS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1[O-] ZINC000295519036 409328358 /nfs/dbraw/zinc/32/83/58/409328358.db2.gz DNLQTJGOYBEFNT-SECBINFHSA-N -1 1 320.798 1.103 20 0 DDADMM C[C@H](O)C[N-]S(=O)(=O)c1c(Cl)cc(F)cc1Cl ZINC000280647432 409392631 /nfs/dbraw/zinc/39/26/31/409392631.db2.gz VOZVVYBNESGEFW-YFKPBYRVSA-N -1 1 302.154 1.792 20 0 DDADMM O=c1nc([C@H]2CCCCN2CCc2ccc3c(c2)CCO3)[nH][nH]1 ZINC000295148491 409345476 /nfs/dbraw/zinc/34/54/76/409345476.db2.gz DYTWFUUKUNLOJL-CQSZACIVSA-N -1 1 314.389 1.803 20 0 DDADMM CC[C@H](C)[C@@H](C[N-]S(=O)(=O)c1cc(C(=O)OC)co1)OC ZINC000295167389 409353766 /nfs/dbraw/zinc/35/37/66/409353766.db2.gz AAWDACXPUWWTEW-GXSJLCMTSA-N -1 1 319.379 1.406 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3CCO[C@@H](C)C3)cnc2n1 ZINC000408080900 164221639 /nfs/dbraw/zinc/22/16/39/164221639.db2.gz LNSBJINDNFHCCA-WDEREUQCSA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1CCO[C@@H](C)C1)c2=O ZINC000408080900 164221640 /nfs/dbraw/zinc/22/16/40/164221640.db2.gz LNSBJINDNFHCCA-WDEREUQCSA-N -1 1 301.346 1.941 20 0 DDADMM Cc1nccc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000290672646 409482570 /nfs/dbraw/zinc/48/25/70/409482570.db2.gz KQBQPMCHTHXODJ-JTQLQIEISA-N -1 1 302.300 1.680 20 0 DDADMM O=C(N[C@@H]1CCO[C@@]2(CCOC2)C1)c1c(F)ccc([O-])c1F ZINC000408202214 164259546 /nfs/dbraw/zinc/25/95/46/164259546.db2.gz IEMDFFFJVKZJFW-PSLIRLAXSA-N -1 1 313.300 1.738 20 0 DDADMM Cc1nsc(N2CCN(C(=O)c3ncc(C)cc3[O-])CC2)n1 ZINC000408227569 164268530 /nfs/dbraw/zinc/26/85/30/164268530.db2.gz CKCHIHUTGUQLTR-UHFFFAOYSA-N -1 1 319.390 1.218 20 0 DDADMM CC[C@@H]1C[C@H](C)CN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000408250178 164275615 /nfs/dbraw/zinc/27/56/15/164275615.db2.gz IYZHSNJCWWOORC-VHSXEESVSA-N -1 1 300.380 1.448 20 0 DDADMM O=C([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1C2)c1cccc2cn[nH]c21 ZINC000408502438 164353239 /nfs/dbraw/zinc/35/32/39/164353239.db2.gz SOLNLTCMZXRLDX-NRUUGDAUSA-N -1 1 319.386 1.811 20 0 DDADMM CS[C@@H]1CCC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000337919178 409568056 /nfs/dbraw/zinc/56/80/56/409568056.db2.gz XIMIUGOHIFDQJK-WDEREUQCSA-N -1 1 323.418 1.307 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](c3ccnc(N)n3)C2)c([O-])c1 ZINC000331458519 409528545 /nfs/dbraw/zinc/52/85/45/409528545.db2.gz ASGTZQRKGUNVQX-LLVKDONJSA-N -1 1 313.361 1.318 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H]2CCC[C@H](C(=O)N(C)C)C2)s[n-]1 ZINC000337879902 409533031 /nfs/dbraw/zinc/53/30/31/409533031.db2.gz TXDVBGZMZGMJGI-OUAUKWLOSA-N -1 1 312.439 1.726 20 0 DDADMM O=C(CCc1cscn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000331548595 409626777 /nfs/dbraw/zinc/62/67/77/409626777.db2.gz YROQSADVCRDMEP-VIFPVBQESA-N -1 1 308.363 1.571 20 0 DDADMM C[C@@H](c1cccnc1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337944757 409588382 /nfs/dbraw/zinc/58/83/82/409588382.db2.gz CLOYJHYSPOROBL-NSHDSACASA-N -1 1 314.345 1.132 20 0 DDADMM C[C@@H](c1ccncc1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337950259 409591866 /nfs/dbraw/zinc/59/18/66/409591866.db2.gz QHUSWNNTPYNWTM-NSHDSACASA-N -1 1 314.345 1.132 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H]2CCCC[C@@H]21 ZINC000337947512 409593956 /nfs/dbraw/zinc/59/39/56/409593956.db2.gz NDPKKOJZEDKHAW-STQMWFEESA-N -1 1 317.389 1.698 20 0 DDADMM CCn1cc([C@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)cn1 ZINC000296890512 409693222 /nfs/dbraw/zinc/69/32/22/409693222.db2.gz IHVHCVIPLPVFJY-VIFPVBQESA-N -1 1 316.390 1.725 20 0 DDADMM COCc1cccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000345549018 409691326 /nfs/dbraw/zinc/69/13/26/409691326.db2.gz NJAZOCQTDVNIBS-UHFFFAOYSA-N -1 1 301.350 1.545 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@]12CCOc1ccccc12 ZINC000357050964 409821151 /nfs/dbraw/zinc/82/11/51/409821151.db2.gz IXNSDIIHLQLGQU-NHYWBVRUSA-N -1 1 311.345 1.045 20 0 DDADMM CCOC(=O)Cc1csc(NC(=O)c2ccc(O)cc2[O-])n1 ZINC000314217023 409823979 /nfs/dbraw/zinc/82/39/79/409823979.db2.gz CSWZKFULRJXKJY-UHFFFAOYSA-N -1 1 322.342 1.912 20 0 DDADMM Cn1cc(Nc2cccc(C(=O)NC3(c4nn[n-]n4)CC3)c2)cn1 ZINC000357052955 409824253 /nfs/dbraw/zinc/82/42/53/409824253.db2.gz JUPSOYYKOWSROB-UHFFFAOYSA-N -1 1 324.348 1.096 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)N1CC[C@@H](C)C1)c1cccs1 ZINC000349447825 409839014 /nfs/dbraw/zinc/83/90/14/409839014.db2.gz NDWAAMMFLGBCQC-MWLCHTKSSA-N -1 1 318.420 1.138 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc([C@H]2C[C@@H]2C(=O)NC2(c3nn[n-]n3)CC2)o1 ZINC000357074765 409847550 /nfs/dbraw/zinc/84/75/50/409847550.db2.gz STAVOVMTYIZCQJ-RCWTZXSCSA-N -1 1 313.361 1.825 20 0 DDADMM COCc1n[n-]c(=NCCCN2C[C@@H](C)O[C@@H](C)C2)s1 ZINC000357023470 409802477 /nfs/dbraw/zinc/80/24/77/409802477.db2.gz CSFOCKHEQOKRJS-PHIMTYICSA-N -1 1 300.428 1.018 20 0 DDADMM C[C@H](c1ccccc1)N1C[C@H](C(=O)[N-]OC(C)(C)CO)CC1=O ZINC000297223970 409860991 /nfs/dbraw/zinc/86/09/91/409860991.db2.gz NZZPWFYRFSCZHR-TZMCWYRMSA-N -1 1 320.389 1.415 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)Cc1ccc2c(c1)CCCC2 ZINC000297858384 410027909 /nfs/dbraw/zinc/02/79/09/410027909.db2.gz YHAFWQBAQGNVCV-UHFFFAOYSA-N -1 1 313.361 1.224 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCC(=O)CC2)c(=O)[n-]1 ZINC000328979892 410034834 /nfs/dbraw/zinc/03/48/34/410034834.db2.gz RFDNDCOOWLGPDW-UHFFFAOYSA-N -1 1 309.391 1.337 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2CCN(C)[C@@H](C(C)C)C2)[n-]n1 ZINC000346502289 409996101 /nfs/dbraw/zinc/99/61/01/409996101.db2.gz FNAXXUWCFOWFMR-CYBMUJFWSA-N -1 1 321.425 1.490 20 0 DDADMM Cc1ccn(-c2ccc(C(=O)NC(C)(C)c3nn[n-]n3)cc2)n1 ZINC000354781933 410083172 /nfs/dbraw/zinc/08/31/72/410083172.db2.gz IACSYQRCVTXZQF-UHFFFAOYSA-N -1 1 311.349 1.359 20 0 DDADMM O=C(N[C@H](CO)c1cccc(F)c1)c1csc(=NC2CC2)[n-]1 ZINC000351677857 410149323 /nfs/dbraw/zinc/14/93/23/410149323.db2.gz YQXHAZBEVYUBJM-GFCCVEGCSA-N -1 1 321.377 1.742 20 0 DDADMM CC(C)c1nnc([C@@H]2CN(C(=O)c3ncccc3[O-])CCO2)o1 ZINC000332367097 410100553 /nfs/dbraw/zinc/10/05/53/410100553.db2.gz VYPNMVJFUVJGQU-NSHDSACASA-N -1 1 318.333 1.507 20 0 DDADMM O=C(Cc1cccc(F)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332407303 410131495 /nfs/dbraw/zinc/13/14/95/410131495.db2.gz PMTVATVCAORXGS-LLVKDONJSA-N -1 1 305.309 1.863 20 0 DDADMM COCCC[C@@H](C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000351648875 410132614 /nfs/dbraw/zinc/13/26/14/410132614.db2.gz IHJIIVQVUZAMSM-SECBINFHSA-N -1 1 316.379 1.041 20 0 DDADMM CC(C)c1nc(=NCCCN2C(=O)NC3(CCCC3)C2=O)o[n-]1 ZINC000346872878 410212546 /nfs/dbraw/zinc/21/25/46/410212546.db2.gz SBNPMGFCYBOZKK-UHFFFAOYSA-N -1 1 321.381 1.282 20 0 DDADMM O=C1NC(=O)N2CC[N@@H+](Cc3ccn(C4CCCC4)n3)C[C@@H]12 ZINC000329226895 410177812 /nfs/dbraw/zinc/17/78/12/410177812.db2.gz ASWQGNOHJQJDND-ZDUSSCGKSA-N -1 1 303.366 1.575 20 0 DDADMM O=C(c1cc(Cl)ccn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339435384 410184146 /nfs/dbraw/zinc/18/41/46/410184146.db2.gz FODRGCQDJFEEKE-UHFFFAOYSA-N -1 1 308.725 1.843 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@]4(C)[C@H](C3)C4(F)F)ccnc1-2 ZINC000298381620 410203307 /nfs/dbraw/zinc/20/33/07/410203307.db2.gz JAGGDJCBVWFNBE-LGUJYEAASA-N -1 1 321.331 1.851 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@@]3(O)CCCC3(C)C)ccnc1-2 ZINC000298558464 410290681 /nfs/dbraw/zinc/29/06/81/410290681.db2.gz ZWTLJUXNEOHOAG-DEFALTHMSA-N -1 1 317.393 1.405 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccc(F)c(F)c1)c1cncc([O-])c1 ZINC000355104902 410318614 /nfs/dbraw/zinc/31/86/14/410318614.db2.gz LIEUQGQZEXXCSJ-NSHDSACASA-N -1 1 322.311 1.646 20 0 DDADMM COCCC(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000339675959 410375790 /nfs/dbraw/zinc/37/57/90/410375790.db2.gz GJCPQJJDXNVBOT-UHFFFAOYSA-N -1 1 302.352 1.042 20 0 DDADMM CC(=O)[C@@H]1C[C@H](CC(=O)N(C)C[C@H](C)c2nn[n-]n2)C1(C)C ZINC000358408611 410465309 /nfs/dbraw/zinc/46/53/09/410465309.db2.gz HUYUDMRBCSBQOY-WCQGTBRESA-N -1 1 307.398 1.403 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC/C=C/c1ccccc1 ZINC000343454462 410465839 /nfs/dbraw/zinc/46/58/39/410465839.db2.gz AKMXHPPHVBIEAY-XBXARRHUSA-N -1 1 311.341 1.347 20 0 DDADMM O=C(N[C@H]1C=C[C@@H](CO)C1)c1ccc(Br)c([O-])c1 ZINC000343455690 410466872 /nfs/dbraw/zinc/46/68/72/410466872.db2.gz XGTAPOQRRRHOAF-SCZZXKLOSA-N -1 1 312.163 1.822 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1C2CC3CC(C2)CC1C3 ZINC000333240716 410437808 /nfs/dbraw/zinc/43/78/08/410437808.db2.gz ZUZIWPAGQKCFBG-UHFFFAOYSA-N -1 1 319.361 1.451 20 0 DDADMM C[C@@H](NC(=O)c1cncc([O-])c1)c1ccc2c(c1)NC(=O)CO2 ZINC000339848404 410498450 /nfs/dbraw/zinc/49/84/50/410498450.db2.gz SNSDSBCBCUIOEB-SECBINFHSA-N -1 1 313.313 1.609 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc2c(c1)COC2 ZINC000352137370 410504826 /nfs/dbraw/zinc/50/48/26/410504826.db2.gz MNOOIEGXBFWIHC-UHFFFAOYSA-N -1 1 313.313 1.186 20 0 DDADMM CS[C@@H]1CN(Cc2nc(=O)c3sccc3[n-]2)C[C@@H]1N(C)C ZINC000299574978 410597157 /nfs/dbraw/zinc/59/71/57/410597157.db2.gz HKVMXTBZOBXAQM-WDEREUQCSA-N -1 1 324.475 1.874 20 0 DDADMM COc1cncc(S(=O)(=O)[N-]c2cc(CN(C)C)ccn2)c1 ZINC000337235492 410667036 /nfs/dbraw/zinc/66/70/36/410667036.db2.gz ATQWWLDFRJUDFW-UHFFFAOYSA-N -1 1 322.390 1.348 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H](C)n1nc(C)cc1C)c1nn[n-]n1 ZINC000343739044 410658377 /nfs/dbraw/zinc/65/83/77/410658377.db2.gz YHPYFFWQDUNZRR-VXGBXAGGSA-N -1 1 305.386 1.622 20 0 DDADMM CC(C)[C@H](CO)CN=c1ccc(C(=O)NC2CCCC2)n[n-]1 ZINC000343797379 410707731 /nfs/dbraw/zinc/70/77/31/410707731.db2.gz UMVCRUITAGTNHJ-LBPRGKRZSA-N -1 1 306.410 1.247 20 0 DDADMM COc1cncc(S(=O)(=O)[N-][C@@H](C(C)C)C(F)(F)F)c1 ZINC000337321167 410713614 /nfs/dbraw/zinc/71/36/14/410713614.db2.gz ZKNHFIGTDPLSDH-JTQLQIEISA-N -1 1 312.313 1.955 20 0 DDADMM N=c1nc(N2CCN(c3nc(C4CC4)ns3)CC2)s[n-]1 ZINC000356043495 410790842 /nfs/dbraw/zinc/79/08/42/410790842.db2.gz LBFVKICKUUTXPD-UHFFFAOYSA-N -1 1 309.424 1.006 20 0 DDADMM Cc1noc(=NC(=O)c2cc(Br)cc3[nH]cnc32)[n-]1 ZINC000337454229 410800071 /nfs/dbraw/zinc/80/00/71/410800071.db2.gz OLXDFQJDPAEYNG-UHFFFAOYSA-N -1 1 322.122 1.691 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1ccc(C)cc1F)C(C)C ZINC000359685293 410816400 /nfs/dbraw/zinc/81/64/00/410816400.db2.gz JWKQPWRQDQDOQA-CYBMUJFWSA-N -1 1 317.382 1.751 20 0 DDADMM Cc1cc(NCCCc2nc(=O)[n-][nH]2)ncc1Br ZINC000301820332 410805014 /nfs/dbraw/zinc/80/50/14/410805014.db2.gz BAGBLQIGOHYNBC-UHFFFAOYSA-N -1 1 312.171 1.609 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@@H]1CC[C@H]1C ZINC000343983459 410865565 /nfs/dbraw/zinc/86/55/65/410865565.db2.gz LFAMAJOCNRVAAH-QMTHXVAHSA-N -1 1 312.373 1.598 20 0 DDADMM CC(C)(NC(=O)CCSc1ccc(F)cc1)c1nn[n-]n1 ZINC000359783420 410875527 /nfs/dbraw/zinc/87/55/27/410875527.db2.gz HRONBQCWCQMIGE-UHFFFAOYSA-N -1 1 309.370 1.873 20 0 DDADMM CC(C)(NC(=O)CCOc1ccc(Cl)cc1)c1nn[n-]n1 ZINC000359786102 410879370 /nfs/dbraw/zinc/87/93/70/410879370.db2.gz KCHXXLOYTLJRJS-UHFFFAOYSA-N -1 1 309.757 1.674 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)N2CCN(C)[C@H](C)[C@@H]2C)s1 ZINC000330691780 410881689 /nfs/dbraw/zinc/88/16/89/410881689.db2.gz BIEOFASJPLTSSG-MNOVXSKESA-N -1 1 311.455 1.859 20 0 DDADMM C[C@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000344001910 410884071 /nfs/dbraw/zinc/88/40/71/410884071.db2.gz BWPMLLLKICSTON-KRTXAFLBSA-N -1 1 309.309 1.950 20 0 DDADMM CC(C)(NC(=O)c1cccc(NC(=O)C2CC2)c1)c1nn[n-]n1 ZINC000359797635 410888387 /nfs/dbraw/zinc/88/83/87/410888387.db2.gz YHXJKEAEPKQOOC-UHFFFAOYSA-N -1 1 314.349 1.213 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(c2ccc(F)cc2F)CCC1 ZINC000348280429 410894744 /nfs/dbraw/zinc/89/47/44/410894744.db2.gz ZBSMETDHFPVGRR-UHFFFAOYSA-N -1 1 319.315 1.705 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)COc3cnn(C)c3)[nH][n-]2)s1 ZINC000337649219 410919502 /nfs/dbraw/zinc/91/95/02/410919502.db2.gz JYDRGMDQALVJHN-UHFFFAOYSA-N -1 1 317.374 1.620 20 0 DDADMM Cc1c(NS(=O)(=O)c2cc(C)c(C)c(C(=O)[O-])c2)cnn1C ZINC000348427454 410962196 /nfs/dbraw/zinc/96/21/96/410962196.db2.gz ZTJKRJZKIJQMQG-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM C[C@H]1[C@@H](C(=O)NC(C)(C)c2nn[n-]n2)CCN1c1ccccc1 ZINC000356322146 410965037 /nfs/dbraw/zinc/96/50/37/410965037.db2.gz OECLZIMFQLIQED-AAEUAGOBSA-N -1 1 314.393 1.466 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1n[nH]c2c1CCCCC2 ZINC000356467888 411045693 /nfs/dbraw/zinc/04/56/93/411045693.db2.gz PUVJSQQHNJLXKK-UHFFFAOYSA-N -1 1 315.381 1.391 20 0 DDADMM CCc1nc(C(C)(C)[N-]S(=O)(=O)c2cncc(F)c2)no1 ZINC000331298703 411065264 /nfs/dbraw/zinc/06/52/64/411065264.db2.gz ZTLYPKVOVIXAHO-UHFFFAOYSA-N -1 1 314.342 1.380 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)F)c1cccnc1C(F)(F)F ZINC000344204637 411026907 /nfs/dbraw/zinc/02/69/07/411026907.db2.gz BGCGXGHJLYLINC-YFKPBYRVSA-N -1 1 320.239 1.005 20 0 DDADMM CC(C)(NC(=O)c1ccnc(OC2CCC2)c1)c1nn[n-]n1 ZINC000341371374 411028971 /nfs/dbraw/zinc/02/89/71/411028971.db2.gz FSLFWBGGSHOXRX-UHFFFAOYSA-N -1 1 302.338 1.191 20 0 DDADMM COC[C@](C)([N-]S(=O)(=O)CC1(C)CCCCC1)C(=O)OC ZINC000356495741 298806784 /nfs/dbraw/zinc/80/67/84/298806784.db2.gz FTCZMHDJSRZWGD-AWEZNQCLSA-N -1 1 321.439 1.454 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@]1(C)CCCc2ccccc21 ZINC000580379401 422923951 /nfs/dbraw/zinc/92/39/51/422923951.db2.gz YPNQHCQOEBPADN-OAHLLOKOSA-N -1 1 321.402 1.926 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@]1(C)CCCc2ccccc21 ZINC000580379401 422923954 /nfs/dbraw/zinc/92/39/54/422923954.db2.gz YPNQHCQOEBPADN-OAHLLOKOSA-N -1 1 321.402 1.926 20 0 DDADMM C[C@@H](c1nnnn1C1CC1)N1CCC[C@H](C(C)(C)C(=O)[O-])C1 ZINC000652498426 423044213 /nfs/dbraw/zinc/04/42/13/423044213.db2.gz HQGAPUNWINIPIE-QWRGUYRKSA-N -1 1 307.398 1.892 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(Cc2nnc3n2CCCCC3)C1 ZINC000652504413 423048226 /nfs/dbraw/zinc/04/82/26/423048226.db2.gz ZWRIREPVXNYBCW-MRXNPFEDSA-N -1 1 306.410 1.937 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CSc1nnc2ccccn21 ZINC000647815593 423058082 /nfs/dbraw/zinc/05/80/82/423058082.db2.gz CZEWNULVRBROGC-UHFFFAOYSA-N -1 1 304.335 1.197 20 0 DDADMM COCCn1cc(CN[C@H](C(=O)[O-])c2ccc(F)cc2)cn1 ZINC000417577629 225369432 /nfs/dbraw/zinc/36/94/32/225369432.db2.gz XBTQWSZBQCHNCP-AWEZNQCLSA-N -1 1 307.325 1.584 20 0 DDADMM CSc1nc(CNC(=O)CCCn2cccc2)cc(=O)[n-]1 ZINC000640655177 423116636 /nfs/dbraw/zinc/11/66/36/423116636.db2.gz BLGMBTOPOKNLCG-UHFFFAOYSA-N -1 1 306.391 1.802 20 0 DDADMM CSc1nc(CNC(=O)c2cn(C)nc2C2CC2)cc(=O)[n-]1 ZINC000640655988 423115736 /nfs/dbraw/zinc/11/57/36/423115736.db2.gz UIIOHFQSMRDFCN-UHFFFAOYSA-N -1 1 319.390 1.445 20 0 DDADMM CSc1nc(CNC(=O)Cn2cc(C3CC3)cn2)cc(=O)[n-]1 ZINC000640655062 423116380 /nfs/dbraw/zinc/11/63/80/423116380.db2.gz JNOWVXUYJRMMQT-UHFFFAOYSA-N -1 1 319.390 1.294 20 0 DDADMM C[C@H](C[C@H](C)O)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645647644 423125232 /nfs/dbraw/zinc/12/52/32/423125232.db2.gz ZAGRSBXTTMOABI-SFYZADRCSA-N -1 1 312.313 1.538 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCCC(F)(F)C1 ZINC000650318349 423129781 /nfs/dbraw/zinc/12/97/81/423129781.db2.gz QNBVPXPOPIWDOH-UHFFFAOYSA-N -1 1 324.331 1.852 20 0 DDADMM O=C(c1cc(F)c([O-])c(F)c1)N1CCC[C@H](c2nc[nH]n2)C1 ZINC000373408480 418425670 /nfs/dbraw/zinc/42/56/70/418425670.db2.gz ORDMYVAMTIJHTL-QMMMGPOBSA-N -1 1 308.288 1.808 20 0 DDADMM O=C(NC[C@@H](CO)c1ccccc1Cl)c1cncc([O-])c1 ZINC000360457459 418440499 /nfs/dbraw/zinc/44/04/99/418440499.db2.gz AFJHZGBHCNAELT-NSHDSACASA-N -1 1 306.749 1.947 20 0 DDADMM CCOc1ncccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366732497 418510479 /nfs/dbraw/zinc/51/04/79/418510479.db2.gz OXFFBKYBBMSJPP-JTQLQIEISA-N -1 1 302.338 1.013 20 0 DDADMM COc1ccnc(NC2CCN(Cc3n[nH]c(=O)s3)CC2)n1 ZINC000367061761 418554841 /nfs/dbraw/zinc/55/48/41/418554841.db2.gz IHHKOMUWPJBZFV-UHFFFAOYSA-N -1 1 322.394 1.119 20 0 DDADMM CCN(CC(N)=O)C(=O)c1ccc(Br)c([O-])c1 ZINC000191813634 222110407 /nfs/dbraw/zinc/11/04/07/222110407.db2.gz IYGNGMIDDHQMRW-UHFFFAOYSA-N -1 1 301.140 1.102 20 0 DDADMM CC(C)OC[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000368141749 418695273 /nfs/dbraw/zinc/69/52/73/418695273.db2.gz GASVQAXNLSOSIJ-SNVBAGLBSA-N -1 1 315.316 1.936 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cccc2cccnc21 ZINC000362044539 418744018 /nfs/dbraw/zinc/74/40/18/418744018.db2.gz KLEJYRHONRCWME-UHFFFAOYSA-N -1 1 324.340 1.819 20 0 DDADMM Cc1ccccc1[C@@H]1C[C@@H]1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000365447267 418861688 /nfs/dbraw/zinc/86/16/88/418861688.db2.gz KBNIVVWPNHDLNB-MELADBBJSA-N -1 1 313.361 1.212 20 0 DDADMM O=C([O-])c1cccc(NS(=O)(=O)CCOCC2CC2)c1O ZINC000424061463 228224042 /nfs/dbraw/zinc/22/40/42/228224042.db2.gz FNWUXNIKXPODFI-UHFFFAOYSA-N -1 1 315.347 1.259 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC2(CCO)CC2)c(F)c1 ZINC000425177715 228382087 /nfs/dbraw/zinc/38/20/87/228382087.db2.gz QEVQFKGGKOBHNE-UHFFFAOYSA-N -1 1 305.346 1.714 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)[C@H]2CCOC2)c(F)c1 ZINC000425212910 228391438 /nfs/dbraw/zinc/39/14/38/228391438.db2.gz ZEDQQIHSKZIMHH-UWVGGRQHSA-N -1 1 305.346 1.977 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CC[C@@H](CO)O2)c(F)c1 ZINC000425241167 228397164 /nfs/dbraw/zinc/39/71/64/228397164.db2.gz UUXHLNCHJNNXHI-ZJUUUORDSA-N -1 1 321.345 1.091 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cnccc1-n1cccn1)OC ZINC000421222775 419527461 /nfs/dbraw/zinc/52/74/61/419527461.db2.gz DBHLVPLPJXUKQI-LLVKDONJSA-N -1 1 310.379 1.434 20 0 DDADMM CCc1ncc([N-]S(=O)(=O)C[C@H](CC(C)C)OC)cn1 ZINC000421149867 419502763 /nfs/dbraw/zinc/50/27/63/419502763.db2.gz ICIDUFGPNJSAOB-LBPRGKRZSA-N -1 1 301.412 1.842 20 0 DDADMM Cc1c(S(=O)(=O)[N-][C@](C)(C2CC2)C(F)(F)F)cnn1C ZINC000427782472 419733103 /nfs/dbraw/zinc/73/31/03/419733103.db2.gz VFKTUYRJRHVFSN-SNVBAGLBSA-N -1 1 311.329 1.738 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cccc(O)c2)c1 ZINC000427907679 419767716 /nfs/dbraw/zinc/76/77/16/419767716.db2.gz VPXLSHXGKCCARH-UHFFFAOYSA-N -1 1 322.342 1.258 20 0 DDADMM C[C@@]1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CCCNC1=O ZINC000427945666 419771927 /nfs/dbraw/zinc/77/19/27/419771927.db2.gz KRMSCWHRQDSURY-MRXNPFEDSA-N -1 1 314.345 1.637 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)Cc2ccccc2Cl)n1 ZINC000428060151 419803195 /nfs/dbraw/zinc/80/31/95/419803195.db2.gz SJOIWPWPMWQCRT-UHFFFAOYSA-N -1 1 301.755 1.651 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1ccncn1 ZINC000428025531 419790910 /nfs/dbraw/zinc/79/09/10/419790910.db2.gz SPLRCMJHEOLTLY-UHFFFAOYSA-N -1 1 310.310 1.240 20 0 DDADMM Cc1cnc(C(=O)NC2(C(=O)Nc3ccccc3)CC2)c([O-])c1 ZINC000428787513 419933857 /nfs/dbraw/zinc/93/38/57/419933857.db2.gz UNYGKEPSPXTJRY-UHFFFAOYSA-N -1 1 311.341 1.997 20 0 DDADMM Cc1c2cc(F)ccc2oc1S(=O)(=O)[N-]C[C@H](O)C(F)F ZINC000435634520 229404590 /nfs/dbraw/zinc/40/45/90/229404590.db2.gz CYGWLVDWFHJLFI-VIFPVBQESA-N -1 1 323.292 1.785 20 0 DDADMM CC[C@H](C(=O)Nc1nc2cccc(C(=O)OC)c2[nH]1)[C@H](C)O ZINC000419943184 420068229 /nfs/dbraw/zinc/06/82/29/420068229.db2.gz HZHREELBBCFTCT-IUCAKERBSA-N -1 1 305.334 1.695 20 0 DDADMM CCCc1c(C(=O)Nc2nnn[n-]2)cnn1-c1ccc(C)cc1 ZINC000429796830 420048891 /nfs/dbraw/zinc/04/88/91/420048891.db2.gz QWTPVNMUWSBQLX-UHFFFAOYSA-N -1 1 311.349 1.899 20 0 DDADMM CCCc1c(C(=O)Nc2nn[n-]n2)cnn1-c1ccc(C)cc1 ZINC000429796830 420048895 /nfs/dbraw/zinc/04/88/95/420048895.db2.gz QWTPVNMUWSBQLX-UHFFFAOYSA-N -1 1 311.349 1.899 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(C)nc2OC)n1 ZINC000415652786 420135948 /nfs/dbraw/zinc/13/59/48/420135948.db2.gz WACBRFXOJSISPN-UHFFFAOYSA-N -1 1 304.306 1.551 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1ccccc1C ZINC000416121586 420248806 /nfs/dbraw/zinc/24/88/06/420248806.db2.gz GZDIPKDNBMPAGT-UHFFFAOYSA-N -1 1 303.318 1.477 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C)[C@@H]2CCCC[C@@H]21 ZINC000416206188 420279393 /nfs/dbraw/zinc/27/93/93/420279393.db2.gz TXXDEVLBNJMEKL-GUBZILKMSA-N -1 1 307.350 1.547 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc([S@@](C)=O)cc1)c1nn[n-]n1 ZINC000436160885 420314299 /nfs/dbraw/zinc/31/42/99/420314299.db2.gz AKULPJXYHWPHSW-VERVWZFWSA-N -1 1 321.406 1.137 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cc(OC)n(C)n2)c1 ZINC000436506639 420334860 /nfs/dbraw/zinc/33/48/60/420334860.db2.gz TXQSLAXNLDKBGU-UHFFFAOYSA-N -1 1 305.290 1.173 20 0 DDADMM CC[C@@H](NC(=O)c1cc(Cl)c([O-])c(OC)c1)C(=O)OC ZINC000436534269 420337119 /nfs/dbraw/zinc/33/71/19/420337119.db2.gz OLJYDVWGRCIZOZ-SECBINFHSA-N -1 1 301.726 1.736 20 0 DDADMM CC(=O)c1cccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000425311187 420346767 /nfs/dbraw/zinc/34/67/67/420346767.db2.gz XWMYSCDJCZBFDN-CYBMUJFWSA-N -1 1 315.329 1.998 20 0 DDADMM Cn1nccc1[C@@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000436638407 420347026 /nfs/dbraw/zinc/34/70/26/420347026.db2.gz DLXKHBAGHARHPP-CYBMUJFWSA-N -1 1 313.357 1.715 20 0 DDADMM O=C(Cn1cc(Cl)cn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425318113 420347765 /nfs/dbraw/zinc/34/77/65/420347765.db2.gz HRYNVZLIQDWVES-UHFFFAOYSA-N -1 1 311.729 1.031 20 0 DDADMM O=C(NCc1ncnn1-c1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000436635863 420347892 /nfs/dbraw/zinc/34/78/92/420347892.db2.gz OUYMCJRBYOSKKU-UHFFFAOYSA-N -1 1 322.324 1.472 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@@H](CC(C)C)C(=O)OC(C)C)OC ZINC000420680121 420353553 /nfs/dbraw/zinc/35/35/53/420353553.db2.gz PXVFKSUVANPMBS-STQMWFEESA-N -1 1 323.455 1.697 20 0 DDADMM O=C(Nc1cccc(Cn2cncn2)c1)c1ccc(O)cc1[O-] ZINC000436715759 420358044 /nfs/dbraw/zinc/35/80/44/420358044.db2.gz MFPKLHDLHRFYOR-UHFFFAOYSA-N -1 1 310.313 1.990 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@]1(C)CC(C)C ZINC000416249356 420296057 /nfs/dbraw/zinc/29/60/57/420296057.db2.gz XHLVWAUQEBHLGL-HNNXBMFYSA-N -1 1 309.366 1.937 20 0 DDADMM CNC(=O)c1ccc(CNC(=O)c2ccc([O-])cc2F)cc1 ZINC000436862158 420376391 /nfs/dbraw/zinc/37/63/91/420376391.db2.gz NBESGUIFNFQYFO-UHFFFAOYSA-N -1 1 302.305 1.821 20 0 DDADMM O=C(c1cc(I)ccc1[O-])N1CC(F)C1 ZINC000436849288 420377665 /nfs/dbraw/zinc/37/76/65/420377665.db2.gz ZCKRRCMIPNPLQL-UHFFFAOYSA-N -1 1 321.089 1.791 20 0 DDADMM C[C@@H](c1nc(-c2cccs2)no1)S(=O)(=O)c1nnc[n-]1 ZINC000444407425 230095645 /nfs/dbraw/zinc/09/56/45/230095645.db2.gz NHEVZXXZNJPRRE-LURJTMIESA-N -1 1 311.348 1.451 20 0 DDADMM C[C@@H](c1nc(-c2cccs2)no1)S(=O)(=O)c1ncn[n-]1 ZINC000444407425 230095648 /nfs/dbraw/zinc/09/56/48/230095648.db2.gz NHEVZXXZNJPRRE-LURJTMIESA-N -1 1 311.348 1.451 20 0 DDADMM C[C@@H](c1nc(-c2cccs2)no1)S(=O)(=O)c1nc[n-]n1 ZINC000444407425 230095652 /nfs/dbraw/zinc/09/56/52/230095652.db2.gz NHEVZXXZNJPRRE-LURJTMIESA-N -1 1 311.348 1.451 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H]2CCCC[C@H]2F)oc1C ZINC000416587338 420426325 /nfs/dbraw/zinc/42/63/25/420426325.db2.gz HIJARMPEMWJKAT-GHMZBOCLSA-N -1 1 319.354 1.934 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)[C@H](C)O ZINC000645746460 423166844 /nfs/dbraw/zinc/16/68/44/423166844.db2.gz LWXMCDRPDZUMTH-CBAPKCEASA-N -1 1 312.313 1.538 20 0 DDADMM CC[C@@H]1CCCC[C@H]1CN=c1[n-]cc(S(N)(=O)=O)s1 ZINC000450626558 420500065 /nfs/dbraw/zinc/50/00/65/420500065.db2.gz SBZGZXQVNVEJGE-ZJUUUORDSA-N -1 1 303.453 1.841 20 0 DDADMM CCOC(=O)C[C@@H](NC(=O)c1ncccc1[O-])c1ccncc1 ZINC000456877161 420558608 /nfs/dbraw/zinc/55/86/08/420558608.db2.gz BDOLBXVOVDTLLT-GFCCVEGCSA-N -1 1 315.329 1.607 20 0 DDADMM COc1cccc(CCNC(=O)c2nc3ccccc3c(=O)[n-]2)n1 ZINC000440922629 420618210 /nfs/dbraw/zinc/61/82/10/420618210.db2.gz ZABBGFXBFSRRTQ-UHFFFAOYSA-N -1 1 324.340 1.299 20 0 DDADMM Cc1ncsc1C=CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000492716622 420639581 /nfs/dbraw/zinc/63/95/81/420639581.db2.gz OANWARMSDKHQLB-PLNGDYQASA-N -1 1 304.379 1.564 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(Oc2ccccc2F)CC1 ZINC000442754905 420706937 /nfs/dbraw/zinc/70/69/37/420706937.db2.gz WZCGYWPYFFGJDW-UHFFFAOYSA-N -1 1 303.297 1.056 20 0 DDADMM Cc1ccc2nc(CNS(=O)(=O)[N-]CC(F)(F)F)[nH]c2c1 ZINC000443015452 420735940 /nfs/dbraw/zinc/73/59/40/420735940.db2.gz SFPLJWPGJNMROE-UHFFFAOYSA-N -1 1 322.312 1.358 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)Cc2cc(Cl)ccc2F)n1 ZINC000447873563 420807915 /nfs/dbraw/zinc/80/79/15/420807915.db2.gz RYEJFXUJDAEBSK-UHFFFAOYSA-N -1 1 319.745 1.790 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC000493376001 420833275 /nfs/dbraw/zinc/83/32/75/420833275.db2.gz BGPFXZIDMRDNLC-IUCAKERBSA-N -1 1 312.374 1.070 20 0 DDADMM CCOC(=O)[C@H]1C[N@H+](Cc2cc(O)cc(F)c2)CC12COC2 ZINC000449361835 420997587 /nfs/dbraw/zinc/99/75/87/420997587.db2.gz JVKANZQRPGQHDT-CQSZACIVSA-N -1 1 309.337 1.543 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCC[C@@H]1C(=O)[N-]OC(C)(C)CO ZINC000495980108 421111215 /nfs/dbraw/zinc/11/12/15/421111215.db2.gz IGTDSPWKLKWSHF-WDEREUQCSA-N -1 1 316.398 1.499 20 0 DDADMM COc1ccc(Cn2ccnc2SCc2nn[n-]n2)cc1 ZINC000488755606 421111724 /nfs/dbraw/zinc/11/17/24/421111724.db2.gz XUOSLYKTMNGKDB-UHFFFAOYSA-N -1 1 302.363 1.745 20 0 DDADMM COC(=O)c1n[nH]c2c1CN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC000456362738 421149768 /nfs/dbraw/zinc/14/97/68/421149768.db2.gz FBQLOGSLBUBWGC-UHFFFAOYSA-N -1 1 319.292 1.240 20 0 DDADMM O=C(NCC(F)F)[C@@H]1CCCCN1C(=O)c1cncc([O-])c1 ZINC000456463001 421170817 /nfs/dbraw/zinc/17/08/17/421170817.db2.gz KUGFHUANDBCZDU-NSHDSACASA-N -1 1 313.304 1.163 20 0 DDADMM CC(=O)NCC[C@@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000489936772 421180895 /nfs/dbraw/zinc/18/08/95/421180895.db2.gz MQGLVNOUIFIJDP-ZDUSSCGKSA-N -1 1 305.378 1.474 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H]2CSc3ccccc32)[n-]n1 ZINC000450562078 421210372 /nfs/dbraw/zinc/21/03/72/421210372.db2.gz MOBIGKHVZIJYDM-LLVKDONJSA-N -1 1 314.414 1.950 20 0 DDADMM CC(C)(C)n1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)cn1 ZINC000522854134 421227178 /nfs/dbraw/zinc/22/71/78/421227178.db2.gz VKQDUCRQEYIXAC-UHFFFAOYSA-N -1 1 303.370 1.351 20 0 DDADMM C[C@H]1[C@@H](c2ccccc2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546855993 421312563 /nfs/dbraw/zinc/31/25/63/421312563.db2.gz QTDWEPPTKQYHPX-AAEUAGOBSA-N -1 1 323.356 1.436 20 0 DDADMM COc1ncc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1Cl ZINC000560605879 421284007 /nfs/dbraw/zinc/28/40/07/421284007.db2.gz OFKLOWOEQPCGBQ-QMMMGPOBSA-N -1 1 322.756 1.277 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1ccc(C#N)nc1)C(F)(F)F ZINC000547495962 421338193 /nfs/dbraw/zinc/33/81/93/421338193.db2.gz YGBCPIMLGZXLKA-JTQLQIEISA-N -1 1 307.297 1.963 20 0 DDADMM O=C(NCC1(O)Cc2ccccc2C1)c1c([O-])cccc1F ZINC000548125831 421404410 /nfs/dbraw/zinc/40/44/10/421404410.db2.gz XHBHFDKBIQWFRE-UHFFFAOYSA-N -1 1 301.317 1.791 20 0 DDADMM C[C@@H]1C(=O)CC[C@@H](C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1(C)C ZINC000548378158 421430372 /nfs/dbraw/zinc/43/03/72/421430372.db2.gz NXLTVPDTQATLRK-UTUOFQBUSA-N -1 1 319.409 1.547 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)[C@H]2CCOC2)n[n-]1 ZINC000548385629 421431551 /nfs/dbraw/zinc/43/15/51/421431551.db2.gz KBEAUFVACVKQIY-WDEREUQCSA-N -1 1 324.381 1.221 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)[C@H]2CCOC2)[n-]1 ZINC000548385629 421431554 /nfs/dbraw/zinc/43/15/54/421431554.db2.gz KBEAUFVACVKQIY-WDEREUQCSA-N -1 1 324.381 1.221 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)[C@H]2CCOC2)n1 ZINC000548385629 421431555 /nfs/dbraw/zinc/43/15/55/421431555.db2.gz KBEAUFVACVKQIY-WDEREUQCSA-N -1 1 324.381 1.221 20 0 DDADMM COc1ccccc1OCCNC(=O)c1ncc(C)cc1[O-] ZINC000548631008 421455621 /nfs/dbraw/zinc/45/56/21/421455621.db2.gz IDMYDZCYGNABGX-UHFFFAOYSA-N -1 1 302.330 1.913 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCn2c(nnc2C2CCCC2)C1 ZINC000550318854 421517951 /nfs/dbraw/zinc/51/79/51/421517951.db2.gz OTFWDHOCCBJNMI-UHFFFAOYSA-N -1 1 313.361 1.692 20 0 DDADMM CCCN(CC(=O)[O-])c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000516021401 421523944 /nfs/dbraw/zinc/52/39/44/421523944.db2.gz NWWMKWSRMJNBRV-UHFFFAOYSA-N -1 1 303.244 1.673 20 0 DDADMM CO[C@@H](CS(=O)(=O)Nc1cccc(C(=O)[O-])c1O)C1CC1 ZINC000563135793 421461799 /nfs/dbraw/zinc/46/17/99/421461799.db2.gz NFNGGTVJEWRGRG-NSHDSACASA-N -1 1 315.347 1.257 20 0 DDADMM Cc1c(Cl)cncc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000515428895 421502366 /nfs/dbraw/zinc/50/23/66/421502366.db2.gz LZOYAJFEBHGADK-UHFFFAOYSA-N -1 1 306.757 1.756 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCC[C@H](F)C2)o1 ZINC000516566582 421553127 /nfs/dbraw/zinc/55/31/27/421553127.db2.gz GVDJVQUATNLVFB-DTWKUNHWSA-N -1 1 305.327 1.625 20 0 DDADMM COc1ncc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1Cl ZINC000552185711 421579960 /nfs/dbraw/zinc/57/99/60/421579960.db2.gz OGSHPJYBCQQWJF-UHFFFAOYSA-N -1 1 322.756 1.456 20 0 DDADMM O=C(c1c[nH]c(-c2ccccc2)n1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000551735805 421540036 /nfs/dbraw/zinc/54/00/36/421540036.db2.gz MVOJCKXCUUUSQQ-GFCCVEGCSA-N -1 1 323.360 1.610 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2ccc(C)o2)o1 ZINC000517409874 421613068 /nfs/dbraw/zinc/61/30/68/421613068.db2.gz FNTXRWQOCNDXTF-UHFFFAOYSA-N -1 1 313.331 1.489 20 0 DDADMM COC(=O)c1cc(OC)c(S(=O)(=O)[N-]CCCF)s1 ZINC000532260622 421653366 /nfs/dbraw/zinc/65/33/66/421653366.db2.gz RMHYGKMJWLYJJE-UHFFFAOYSA-N -1 1 311.356 1.181 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2cc3ccccc3o2)CCC(=O)N1 ZINC000518495429 421656493 /nfs/dbraw/zinc/65/64/93/421656493.db2.gz BTAWJNZNHXHHIA-CQSZACIVSA-N -1 1 308.359 1.380 20 0 DDADMM CC(C)OC[C@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)CCO1 ZINC000519452949 421695143 /nfs/dbraw/zinc/69/51/43/421695143.db2.gz PMWIRBOUKMVLAF-CQSZACIVSA-N -1 1 307.346 1.227 20 0 DDADMM COc1ccc2c(c1)OC[C@@H]2CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000535598262 421707494 /nfs/dbraw/zinc/70/74/94/421707494.db2.gz LOLQYYWERMZOJM-VIFPVBQESA-N -1 1 317.349 1.126 20 0 DDADMM COCc1cccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000533572861 421679050 /nfs/dbraw/zinc/67/90/50/421679050.db2.gz RLJDNIYRPWSRFD-CYBMUJFWSA-N -1 1 301.350 1.366 20 0 DDADMM CC[C@H](OC1CCCCC1)C(=O)Nc1nn[nH]c1C(=O)NC ZINC000556806631 421739511 /nfs/dbraw/zinc/73/95/11/421739511.db2.gz KXYBHIHEDFIRBJ-JTQLQIEISA-N -1 1 309.370 1.231 20 0 DDADMM O=C(c1c[nH]nc1-c1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538226034 421737662 /nfs/dbraw/zinc/73/76/62/421737662.db2.gz KQXWVVGAPLSBOC-GFCCVEGCSA-N -1 1 323.360 1.610 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(Br)c2c1OCCC2 ZINC000572192786 421768470 /nfs/dbraw/zinc/76/84/70/421768470.db2.gz AOKICJSVGYIXIK-UHFFFAOYSA-N -1 1 324.138 1.540 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(Br)c2c1OCCC2 ZINC000572192786 421768472 /nfs/dbraw/zinc/76/84/72/421768472.db2.gz AOKICJSVGYIXIK-UHFFFAOYSA-N -1 1 324.138 1.540 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC(CCO)CC1 ZINC000521595665 421792659 /nfs/dbraw/zinc/79/26/59/421792659.db2.gz ONGPUSQUIOTEGQ-UHFFFAOYSA-N -1 1 301.346 1.158 20 0 DDADMM CC(C)(C)OC(=O)C[N-]S(=O)(=O)Cc1cccc(F)c1F ZINC000572516144 421796635 /nfs/dbraw/zinc/79/66/35/421796635.db2.gz ITRHKCSEJYPKGE-UHFFFAOYSA-N -1 1 321.345 1.726 20 0 DDADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C[N@@H+]1Cc1ccccc1 ZINC000541580287 421796835 /nfs/dbraw/zinc/79/68/35/421796835.db2.gz BNOJTSFFZZXTDZ-KGLIPLIRSA-N -1 1 315.421 1.203 20 0 DDADMM Cc1ccc(CNCCOCC(=O)[O-])c(Br)c1 ZINC000635316956 421903046 /nfs/dbraw/zinc/90/30/46/421903046.db2.gz POSJYKIOCZXLKH-UHFFFAOYSA-N -1 1 302.168 1.948 20 0 DDADMM C[C@H]1CCN(CC(=O)NCc2ccccc2F)C[C@H]1C(=O)[O-] ZINC000581011066 421883113 /nfs/dbraw/zinc/88/31/13/421883113.db2.gz JBNCUFJUMBAQOB-WCQYABFASA-N -1 1 308.353 1.485 20 0 DDADMM O=C([O-])[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCCN1C1CC1 ZINC000630097151 421886818 /nfs/dbraw/zinc/88/68/18/421886818.db2.gz VSBWPVRVOIETLF-GJZGRUSLSA-N -1 1 302.374 1.425 20 0 DDADMM CC(C)N1C[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1=O ZINC000631879442 421908070 /nfs/dbraw/zinc/90/80/70/421908070.db2.gz XSLGKNKVTRBJHS-QMMMGPOBSA-N -1 1 322.333 1.505 20 0 DDADMM C[C@@H](CC1CCCCC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000581408972 421965665 /nfs/dbraw/zinc/96/56/65/421965665.db2.gz GKZYGVJZEIQTIC-JTQLQIEISA-N -1 1 303.366 1.506 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H](c1ccccc1)C(F)(F)F ZINC000635408500 421965635 /nfs/dbraw/zinc/96/56/35/421965635.db2.gz MAFZMCAZJJXFCH-LBPRGKRZSA-N -1 1 313.283 1.942 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2[C@H]3CCCO[C@H]3C2(C)C)sn1 ZINC000632032537 422038172 /nfs/dbraw/zinc/03/81/72/422038172.db2.gz UXVGKAUPNAMTSU-YUSALJHKSA-N -1 1 316.448 1.933 20 0 DDADMM CC(C)CCO[C@H]1CC[C@H](NC(=O)CCc2nn[n-]n2)CC1 ZINC000633682197 422045961 /nfs/dbraw/zinc/04/59/61/422045961.db2.gz JQDUBRCDSQRCKK-JOCQHMNTSA-N -1 1 309.414 1.622 20 0 DDADMM CC(C)COCCC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630225846 421975658 /nfs/dbraw/zinc/97/56/58/421975658.db2.gz XSFSJRUQGHMLAV-CYBMUJFWSA-N -1 1 300.399 1.057 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cccc(Cl)c2)CC1 ZINC000630224935 421976707 /nfs/dbraw/zinc/97/67/07/421976707.db2.gz RFWMTXBXUPGTHE-LLVKDONJSA-N -1 1 310.781 1.961 20 0 DDADMM COc1ccc(O)c(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000630226949 421979306 /nfs/dbraw/zinc/97/93/06/421979306.db2.gz XVZFPKIRVLSMBM-NSHDSACASA-N -1 1 322.361 1.022 20 0 DDADMM Cc1ncc(C(=O)N2CCC(c3ncc(C(=O)[O-])s3)CC2)[nH]1 ZINC000630245475 421984912 /nfs/dbraw/zinc/98/49/12/421984912.db2.gz SUIVTYUDJJRPBB-UHFFFAOYSA-N -1 1 320.374 1.893 20 0 DDADMM O=C(NCCCn1cncn1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000633599782 421989964 /nfs/dbraw/zinc/98/99/64/421989964.db2.gz MRQLZPYVWYGDQC-UHFFFAOYSA-N -1 1 314.267 1.823 20 0 DDADMM Cc1ccc(C)n1C1CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC000635455825 422003110 /nfs/dbraw/zinc/00/31/10/422003110.db2.gz IZYPAPNQWSNRDZ-UHFFFAOYSA-N -1 1 316.409 1.804 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](O)C2CCCCC2)sn1 ZINC000632002848 422013980 /nfs/dbraw/zinc/01/39/80/422013980.db2.gz HRNJIEWCEABFSW-LLVKDONJSA-N -1 1 304.437 1.671 20 0 DDADMM COc1cccc([C@]2(C)CCN(C(=O)CCc3nn[n-]n3)C2)c1 ZINC000633631619 422014980 /nfs/dbraw/zinc/01/49/80/422014980.db2.gz CWAYTHQKTGCBCG-MRXNPFEDSA-N -1 1 315.377 1.331 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@@](C)(C(=O)[O-])C1 ZINC000630314227 422018247 /nfs/dbraw/zinc/01/82/47/422018247.db2.gz UUHVQOSHGVNENP-ZUZCIYMTSA-N -1 1 319.317 1.374 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1CC12CC2 ZINC000581663155 422022155 /nfs/dbraw/zinc/02/21/55/422022155.db2.gz VTTQSSZBEGVXBP-GFCCVEGCSA-N -1 1 300.362 1.653 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCCc2ccc(Cl)cc21 ZINC000633703536 422061393 /nfs/dbraw/zinc/06/13/93/422061393.db2.gz PLHCKKWSSZQARD-LBPRGKRZSA-N -1 1 305.769 1.980 20 0 DDADMM CN1CCC[C@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1=O ZINC000632066026 422063524 /nfs/dbraw/zinc/06/35/24/422063524.db2.gz QJNDUZZROWVBIR-ZETCQYMHSA-N -1 1 308.306 1.116 20 0 DDADMM CCN1CCN(S(=O)(=O)c2cc(OC)ccc2[O-])C[C@H]1C ZINC000632140614 422116430 /nfs/dbraw/zinc/11/64/30/422116430.db2.gz JOIBOSIOYORFMU-LLVKDONJSA-N -1 1 314.407 1.116 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1S(=O)(=O)c1cc(O)cc(F)c1 ZINC000630444375 422106174 /nfs/dbraw/zinc/10/61/74/422106174.db2.gz XUOOZYBEWIPFRA-RKDXNWHRSA-N -1 1 317.338 1.405 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCO[C@]3(CCOC3)C2)sn1 ZINC000632174119 422138659 /nfs/dbraw/zinc/13/86/59/422138659.db2.gz RVPRPROVEXNAHK-ZYHUDNBSSA-N -1 1 318.420 1.068 20 0 DDADMM CC(C)(C)[C@@H]1OCCC[C@@H]1CNC(=O)CCCc1nn[n-]n1 ZINC000635597305 422149101 /nfs/dbraw/zinc/14/91/01/422149101.db2.gz QUJSNZGJPVHVOC-BXUZGUMPSA-N -1 1 309.414 1.480 20 0 DDADMM C[C@@H](CNC(=O)CCCc1nn[n-]n1)Oc1ccccc1Cl ZINC000635616005 422165917 /nfs/dbraw/zinc/16/59/17/422165917.db2.gz OKPPAVLBIBBRCC-JTQLQIEISA-N -1 1 323.784 1.760 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)C[C@@H]2CCC[C@@H]2O)c1 ZINC000632143734 422118704 /nfs/dbraw/zinc/11/87/04/422118704.db2.gz YZPTZPHIJMJFIC-JQWIXIFHSA-N -1 1 315.391 1.182 20 0 DDADMM Cc1cccnc1[C@H](NC(=O)CCCc1nn[n-]n1)C(C)C ZINC000635570054 422124080 /nfs/dbraw/zinc/12/40/80/422124080.db2.gz ZFWOHFJSYPIJMX-CQSZACIVSA-N -1 1 302.382 1.739 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@H](Cc2ccc(F)cc2)C1 ZINC000635583099 422133845 /nfs/dbraw/zinc/13/38/45/422133845.db2.gz FRUUIPZAEXYYPC-CYBMUJFWSA-N -1 1 317.368 1.753 20 0 DDADMM Cc1ccc2c(c1)N(C(=O)CCCc1nn[n-]n1)C[C@H](C)O2 ZINC000635646189 422200793 /nfs/dbraw/zinc/20/07/93/422200793.db2.gz LJMMBMNXDISCJM-NSHDSACASA-N -1 1 301.350 1.645 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)F)c1ccc(C(F)F)o1 ZINC000632276920 422214244 /nfs/dbraw/zinc/21/42/44/422214244.db2.gz BFKKXTMHZQGBRJ-RXMQYKEDSA-N -1 1 305.249 1.512 20 0 DDADMM O=C(NCC[C@H](O)C(F)F)c1ccc(Br)c([O-])c1 ZINC000634003761 422214576 /nfs/dbraw/zinc/21/45/76/422214576.db2.gz VSVYGSQIWZNDJA-QMMMGPOBSA-N -1 1 324.121 1.901 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCO[C@H](c2ccsc2)C1 ZINC000635682844 422237374 /nfs/dbraw/zinc/23/73/74/422237374.db2.gz SEHMGVCGUXYMQP-NSHDSACASA-N -1 1 307.379 1.184 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCOc1ccccc1Cl ZINC000635727595 422287743 /nfs/dbraw/zinc/28/77/43/422287743.db2.gz LEOWEWXAOVKJMG-UHFFFAOYSA-N -1 1 309.757 1.371 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(C(F)(F)F)cnn1C)C(F)F ZINC000632379623 422291376 /nfs/dbraw/zinc/29/13/76/422291376.db2.gz SVGZONDPXZCPKZ-ZCFIWIBFSA-N -1 1 321.271 1.761 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H](CO)C1CCOCC1 ZINC000632387850 422297193 /nfs/dbraw/zinc/29/71/93/422297193.db2.gz NOOCKTLVYNIBGI-ZDUSSCGKSA-N -1 1 313.781 1.492 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@H](Cc2ccncc2)C1 ZINC000635789355 422344100 /nfs/dbraw/zinc/34/41/00/422344100.db2.gz JJLXXCDGPYDOPW-ZDUSSCGKSA-N -1 1 300.366 1.009 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(Nc2ccccc2)CC1)c1nn[n-]n1 ZINC000635794730 422353096 /nfs/dbraw/zinc/35/30/96/422353096.db2.gz CSDDNQXUDALUEV-NSHDSACASA-N -1 1 300.366 1.406 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1COc2cc(F)cc(F)c21 ZINC000635795185 422353283 /nfs/dbraw/zinc/35/32/83/422353283.db2.gz QDZJBMRVJGJWTP-SECBINFHSA-N -1 1 309.276 1.051 20 0 DDADMM COCC[C@@H](C)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632453970 422357965 /nfs/dbraw/zinc/35/79/65/422357965.db2.gz OKCIOLZBUYRQNS-SNVBAGLBSA-N -1 1 303.380 1.352 20 0 DDADMM CC(C)(CNC(=O)CCc1nn[n-]n1)c1cccc(Cl)c1 ZINC000630857635 422377282 /nfs/dbraw/zinc/37/72/82/422377282.db2.gz YNGXYRXHMIQRMB-UHFFFAOYSA-N -1 1 307.785 1.880 20 0 DDADMM NC(=O)C[C@@H]1CCCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630860502 422378557 /nfs/dbraw/zinc/37/85/57/422378557.db2.gz MRQVIGZBOMNDEL-NSHDSACASA-N -1 1 310.781 1.845 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)c1ccc2ncsc2c1 ZINC000632560151 422438206 /nfs/dbraw/zinc/43/82/06/422438206.db2.gz RJIUYLPTOFRCRW-MRVPVSSYSA-N -1 1 302.363 1.619 20 0 DDADMM CCCN1CC[C@@H](NS(=O)(=O)c2cccc(Cl)c2[O-])C1 ZINC000632567957 422442980 /nfs/dbraw/zinc/44/29/80/422442980.db2.gz SERHTFJHJKZWIV-SNVBAGLBSA-N -1 1 318.826 1.808 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCc1nncn1C1CC1 ZINC000630941430 422430226 /nfs/dbraw/zinc/43/02/26/422430226.db2.gz GJHSIOIQOTYWQQ-UHFFFAOYSA-N -1 1 306.753 1.831 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)Cc2cn[nH]c2)c(=O)[n-]1 ZINC000603813360 422454861 /nfs/dbraw/zinc/45/48/61/422454861.db2.gz OXZDLIKBGPVZJQ-UHFFFAOYSA-N -1 1 321.406 1.527 20 0 DDADMM CCC[C@H](CCO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632619425 422478692 /nfs/dbraw/zinc/47/86/92/422478692.db2.gz KMPLFZQXHYQHEO-SSDOTTSWSA-N -1 1 315.317 1.258 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)[C@@H](C)O1 ZINC000632648812 422493871 /nfs/dbraw/zinc/49/38/71/422493871.db2.gz VATYWIIBOROEEL-AMXGMDGSSA-N -1 1 309.309 1.948 20 0 DDADMM COc1cc(C(=O)N(C)[C@H]2C[C@@H](C(N)=O)C2)cc(Cl)c1[O-] ZINC000629214677 422534008 /nfs/dbraw/zinc/53/40/08/422534008.db2.gz NOTWUHHPFFBGIM-OTSSQURYSA-N -1 1 312.753 1.390 20 0 DDADMM O=S(=O)(Cc1c(F)cccc1Cl)[N-][C@@H]1COCC[C@H]1O ZINC000632707499 422535510 /nfs/dbraw/zinc/53/55/10/422535510.db2.gz QEAWQURCISMVFJ-VXGBXAGGSA-N -1 1 323.773 1.048 20 0 DDADMM CC(=O)c1cc(F)ccc1N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000631157756 422569537 /nfs/dbraw/zinc/56/95/37/422569537.db2.gz PUKFTMNRBLNGRX-UHFFFAOYSA-N -1 1 321.381 1.619 20 0 DDADMM COc1cc(NCCCNC(=O)c2ccc([O-])cc2F)ncn1 ZINC000636031343 422582430 /nfs/dbraw/zinc/58/24/30/422582430.db2.gz RIIHRABQOYOFMX-UHFFFAOYSA-N -1 1 320.324 1.562 20 0 DDADMM COc1cc2c(cc1F)CC[C@H]2NC(=O)CCc1nn[n-]n1 ZINC000632919794 422661682 /nfs/dbraw/zinc/66/16/82/422661682.db2.gz ZLYDLEJWTZVIPF-LLVKDONJSA-N -1 1 305.313 1.084 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CC[C@H](c2ccc(F)cc2)C1 ZINC000632807393 422593887 /nfs/dbraw/zinc/59/38/87/422593887.db2.gz QVLYOFSEFNBZNA-AAEUAGOBSA-N -1 1 303.341 1.724 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CC[C@H](c2cccc(F)c2)C1 ZINC000632818700 422602248 /nfs/dbraw/zinc/60/22/48/422602248.db2.gz VFCRCWYHDTUTQK-AAEUAGOBSA-N -1 1 303.341 1.724 20 0 DDADMM O=C(c1cnn(C(F)F)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000632843641 422620197 /nfs/dbraw/zinc/62/01/97/422620197.db2.gz VOCQXFSBGGVRJU-ZETCQYMHSA-N -1 1 313.264 1.387 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000632846300 422623732 /nfs/dbraw/zinc/62/37/32/422623732.db2.gz JNDHNBSJXBFMJJ-ZYHUDNBSSA-N -1 1 308.765 1.325 20 0 DDADMM CCC(=O)N[C@@H](C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629374947 422637095 /nfs/dbraw/zinc/63/70/95/422637095.db2.gz XHEAASHMOWOYTK-JTQLQIEISA-N -1 1 302.334 1.431 20 0 DDADMM Cc1cccc(-c2nc(=NCC3(S(C)(=O)=O)CC3)s[n-]2)c1 ZINC000631256932 422638139 /nfs/dbraw/zinc/63/81/39/422638139.db2.gz TYKNYHGTIYPXCZ-UHFFFAOYSA-N -1 1 323.443 1.925 20 0 DDADMM CNC(=O)C1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCC1 ZINC000629376894 422638659 /nfs/dbraw/zinc/63/86/59/422638659.db2.gz CKTPPBVZMWUGQM-UHFFFAOYSA-N -1 1 314.345 1.433 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1C[C@@H]2COC[C@@H]2C1 ZINC000634778704 422640311 /nfs/dbraw/zinc/64/03/11/422640311.db2.gz LJBXJJMKOXLORY-DTORHVGOSA-N -1 1 312.163 1.873 20 0 DDADMM CC(C)O[C@]1(CS(=O)(=O)[N-]c2ccc[nH]c2=O)CCOC1 ZINC000634788299 422647009 /nfs/dbraw/zinc/64/70/09/422647009.db2.gz MQVMSRZRSWLQAN-CYBMUJFWSA-N -1 1 316.379 1.113 20 0 DDADMM Cc1ccc(OC2CCN(C(=O)CCc3nn[n-]n3)CC2)cc1 ZINC000631339692 422697703 /nfs/dbraw/zinc/69/77/03/422697703.db2.gz FYFDJVMDGUZLOY-UHFFFAOYSA-N -1 1 315.377 1.511 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1C[C@H](C(=O)[O-])CC[C@H]1C ZINC000629491030 422699080 /nfs/dbraw/zinc/69/90/80/422699080.db2.gz NBQDVNUEWAUHSI-GHMZBOCLSA-N -1 1 324.343 1.972 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H]1CCc2n[nH]cc2C1 ZINC000631294804 422666610 /nfs/dbraw/zinc/66/66/10/422666610.db2.gz ODLIMSSJWKTQRD-LLVKDONJSA-N -1 1 305.765 1.985 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H](C[C@@H]1CCCO1)C(F)(F)F ZINC000636139378 422670731 /nfs/dbraw/zinc/67/07/31/422670731.db2.gz GMKXKGCLDGCMSV-IUCAKERBSA-N -1 1 321.303 1.139 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCO[C@@H](CCF)C1 ZINC000629460991 422682724 /nfs/dbraw/zinc/68/27/24/422682724.db2.gz BNYLPRNTWVJUIA-JTQLQIEISA-N -1 1 305.309 1.124 20 0 DDADMM CCOC(=O)c1nn(C)cc1CN1CC[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000578987543 422682901 /nfs/dbraw/zinc/68/29/01/422682901.db2.gz FFPKVTCZRAYQTB-JQWIXIFHSA-N -1 1 309.366 1.139 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@]3(CC3(F)F)C2)o1 ZINC000653002475 423255053 /nfs/dbraw/zinc/25/50/53/423255053.db2.gz CCDOMAOTFMPXIQ-NSHDSACASA-N -1 1 320.317 1.059 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@H](C)[C@H](C(=O)[O-])C2)n[nH]1 ZINC000646152841 423369063 /nfs/dbraw/zinc/36/90/63/423369063.db2.gz DBVFFVZLGBSWOQ-OUJBWJOFSA-N -1 1 308.382 1.401 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)[C@]2(C(=O)[O-])CC=CCC2)C[C@@H](C)O1 ZINC000653307723 423434260 /nfs/dbraw/zinc/43/42/60/423434260.db2.gz VEUBYODMGHWWJC-CPUCHLNUSA-N -1 1 324.421 1.413 20 0 DDADMM C[C@@H](c1ccccc1)[C@@H](NC(=O)c1ccc([O-])c(F)c1)C(N)=O ZINC000646549674 423528302 /nfs/dbraw/zinc/52/83/02/423528302.db2.gz AWUHKXZIFPVTPC-ZUZCIYMTSA-N -1 1 316.332 1.919 20 0 DDADMM O=C(NC1COCCOC1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000646801407 423612960 /nfs/dbraw/zinc/61/29/60/423612960.db2.gz XTXOFYSNVPHFMN-UHFFFAOYSA-N -1 1 322.748 1.739 20 0 DDADMM O=C1COc2ccc(S(=O)(=O)[N-]c3cccc(O)c3)cc2N1 ZINC000644368358 423622749 /nfs/dbraw/zinc/62/27/49/423622749.db2.gz RWOUFRFSYYYRJB-UHFFFAOYSA-N -1 1 320.326 1.524 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc2c1OCCCO2)c1nn[n-]n1 ZINC000651720561 423656672 /nfs/dbraw/zinc/65/66/72/423656672.db2.gz CWDYAOXDKHCZOJ-SNVBAGLBSA-N -1 1 317.349 1.237 20 0 DDADMM CC(=O)Nc1ccc(CN[C@@H](C(=O)[O-])c2ccnn2C)cc1 ZINC000644598222 423718810 /nfs/dbraw/zinc/71/88/10/423718810.db2.gz QZVTXLYNTJMJOS-CQSZACIVSA-N -1 1 302.334 1.294 20 0 DDADMM CN(C)C(=O)N[C@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000654415000 423858363 /nfs/dbraw/zinc/85/83/63/423858363.db2.gz OVNKZCWMJXRBPI-NSHDSACASA-N -1 1 309.341 1.407 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ncccc1F)C1CCOCC1 ZINC000647271480 423938249 /nfs/dbraw/zinc/93/82/49/423938249.db2.gz MLVQKMVUOPGRQV-GFCCVEGCSA-N -1 1 318.370 1.404 20 0 DDADMM C[C@H](C(=O)N1C[C@@H](C)[C@H](C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000647250831 423909779 /nfs/dbraw/zinc/90/97/79/423909779.db2.gz ZCYUXYGUDBTGNX-XJKCOSOUSA-N -1 1 316.401 1.612 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)C2(CO)CC2)c(F)c1 ZINC000657102658 424109918 /nfs/dbraw/zinc/10/99/18/424109918.db2.gz BYUIDDDALGXULR-SECBINFHSA-N -1 1 305.346 1.713 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@@H](O)[C@@H]1CCCO1 ZINC000640343818 424367275 /nfs/dbraw/zinc/36/72/75/424367275.db2.gz SYHYQHNRDZCKPL-MNOVXSKESA-N -1 1 307.375 1.380 20 0 DDADMM CC(C)CCOC1CCN([C@H]2CCN(CC(=O)[O-])C2=O)CC1 ZINC000662201426 424466474 /nfs/dbraw/zinc/46/64/74/424466474.db2.gz IVPLOCOMZWZDIC-AWEZNQCLSA-N -1 1 312.410 1.199 20 0 DDADMM C[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@]1(C)CO ZINC000664448080 424592790 /nfs/dbraw/zinc/59/27/90/424592790.db2.gz HQAGZROJZTUJIK-MGPLVRAMSA-N -1 1 301.346 1.014 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC1(c2ccccc2)CC1 ZINC000343562424 271805307 /nfs/dbraw/zinc/80/53/07/271805307.db2.gz FYRAOYLPKXHOLM-UHFFFAOYSA-N -1 1 311.341 1.323 20 0 DDADMM CCOC(=O)C[C@H](C)N(C)C(=O)c1csc(=NC2CC2)[n-]1 ZINC000345276486 272206791 /nfs/dbraw/zinc/20/67/91/272206791.db2.gz CEGDVKTWECYEQR-VIFPVBQESA-N -1 1 311.407 1.553 20 0 DDADMM Cc1cccc(C)c1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000347724797 272745791 /nfs/dbraw/zinc/74/57/91/272745791.db2.gz UKZUSGJLKPMDOT-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM O=C(NCCNC(=O)c1c(F)ccc([O-])c1F)c1cccnc1 ZINC000347901278 272779783 /nfs/dbraw/zinc/77/97/83/272779783.db2.gz DSRSBQLRDYHXJU-UHFFFAOYSA-N -1 1 321.283 1.225 20 0 DDADMM CC[C@@H]1CCC[C@@H](CN2Cc3n[nH]c(=O)n3C[C@H]2C(=O)[O-])C1 ZINC000333580709 280286210 /nfs/dbraw/zinc/28/62/10/280286210.db2.gz NUSIUZIJBJZHJK-UTUOFQBUSA-N -1 1 308.382 1.469 20 0 DDADMM COC[C@H](O)CCNC(=O)c1ccc(Br)c([O-])c1 ZINC000130896184 281397858 /nfs/dbraw/zinc/39/78/58/281397858.db2.gz BBJYDDTYPWQRIM-SECBINFHSA-N -1 1 318.167 1.282 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@H](O)C1(C)C ZINC000190530934 281845004 /nfs/dbraw/zinc/84/50/04/281845004.db2.gz ZKDKNEFKKJCPTL-ZJUUUORDSA-N -1 1 307.394 1.265 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1C[C@H]1c1cccc(OC)c1)c1nn[n-]n1 ZINC000246596212 282000934 /nfs/dbraw/zinc/00/09/34/282000934.db2.gz XCHSEOWSJZUPQJ-IHRRRGAJSA-N -1 1 315.377 1.969 20 0 DDADMM CCC[C@](C)(CC)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000356385002 298776797 /nfs/dbraw/zinc/77/67/97/298776797.db2.gz GMKYQQHYARZGJZ-AWEZNQCLSA-N -1 1 311.455 1.816 20 0 DDADMM COc1cc(Cl)ccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000362782426 300139829 /nfs/dbraw/zinc/13/98/29/300139829.db2.gz SQQHFDGYAVHLSB-SECBINFHSA-N -1 1 321.768 1.882 20 0 DDADMM CN(C)c1noc([C@H]2CCCN2C(=O)c2ccc([O-])cc2F)n1 ZINC000370209042 301295061 /nfs/dbraw/zinc/29/50/61/301295061.db2.gz CVDGGWFGPZZLMM-GFCCVEGCSA-N -1 1 320.324 1.958 20 0 DDADMM CC(C)C[C@H](C)OCCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000376794719 302104231 /nfs/dbraw/zinc/10/42/31/302104231.db2.gz QWTOYXJMPDEUEC-STQMWFEESA-N -1 1 309.414 1.747 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3cncc(C)c3)no2)co1 ZINC000355846350 306815197 /nfs/dbraw/zinc/81/51/97/306815197.db2.gz RDWRAJRPOFLCPS-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM Cn1cc(N2CC[C@H](NC(=O)c3c(F)ccc([O-])c3F)C2)cn1 ZINC000377714987 302218075 /nfs/dbraw/zinc/21/80/75/302218075.db2.gz IZGQPVAILWTQNG-VIFPVBQESA-N -1 1 322.315 1.413 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@H]1c1ccc(C)cc1 ZINC000520816577 302867706 /nfs/dbraw/zinc/86/77/06/302867706.db2.gz DABSRKXXJKPMRN-WDEREUQCSA-N -1 1 315.329 1.491 20 0 DDADMM O=C(NC[C@H](O)[C@@H]1CCCO1)c1cc2ccccc2cc1[O-] ZINC000529760624 303150983 /nfs/dbraw/zinc/15/09/83/303150983.db2.gz DKRMQNKQBHWDTR-HOTGVXAUSA-N -1 1 301.342 1.815 20 0 DDADMM Cn1cc(N2C[C@@H](C(=O)Nc3cccc(F)c3[O-])CC2=O)cn1 ZINC000530005701 303170188 /nfs/dbraw/zinc/17/01/88/303170188.db2.gz LRHWDJLNWFOGQH-VIFPVBQESA-N -1 1 318.308 1.256 20 0 DDADMM O=C(C(=O)N1CC[C@H]2CC[C@@H](C1)[S@]2=O)c1ccc([O-])cc1 ZINC000530062176 303171676 /nfs/dbraw/zinc/17/16/76/303171676.db2.gz ACDFSMWWUGFNTQ-BHVCSQLQSA-N -1 1 307.371 1.087 20 0 DDADMM COc1cccc([C@H]2CN(CC(=O)NCC(=O)[O-])CC2(C)C)c1 ZINC000530204991 303183214 /nfs/dbraw/zinc/18/32/14/303183214.db2.gz AMJWSGXAWRTWHB-CQSZACIVSA-N -1 1 320.389 1.321 20 0 DDADMM O=C(Nc1nc(-c2ccco2)n[n-]1)c1cccn2c(=O)[nH]nc12 ZINC000357444594 306885493 /nfs/dbraw/zinc/88/54/93/306885493.db2.gz PSUYBMLFNFWHFT-UHFFFAOYSA-N -1 1 311.261 1.065 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc2nccc(C)c2c1 ZINC000357650128 306902725 /nfs/dbraw/zinc/90/27/25/306902725.db2.gz DXBNORWTPHOBRD-UHFFFAOYSA-N -1 1 323.356 1.609 20 0 DDADMM COc1cc(C(=O)N2C[C@@H]3COC[C@H](C2)O3)cc(Cl)c1[O-] ZINC000549136925 303606714 /nfs/dbraw/zinc/60/67/14/303606714.db2.gz VTMUEPBIJYWPLS-AOOOYVTPSA-N -1 1 313.737 1.294 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]C2(CF)CCC2)s1 ZINC000563055552 303918260 /nfs/dbraw/zinc/91/82/60/303918260.db2.gz RHDOTZQDQNUIOJ-UHFFFAOYSA-N -1 1 307.372 1.272 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccnc1-n1cccn1)C1CC1 ZINC000563134497 303925651 /nfs/dbraw/zinc/92/56/51/303925651.db2.gz DSAOJRUYRYJYON-ZDUSSCGKSA-N -1 1 322.390 1.434 20 0 DDADMM O=c1cc(C2CC2)nc(SCCN2CCCS2(=O)=O)[n-]1 ZINC000333117942 304787708 /nfs/dbraw/zinc/78/77/08/304787708.db2.gz ZFBAJTLKYIIGRT-UHFFFAOYSA-N -1 1 315.420 1.187 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)NCC[C@@H](O)C(C)C)c[nH]c12 ZINC000361599768 307000276 /nfs/dbraw/zinc/00/02/76/307000276.db2.gz DUBFBEUGSMVJFW-CQSZACIVSA-N -1 1 302.374 1.973 20 0 DDADMM CCC[C@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363396892 307027246 /nfs/dbraw/zinc/02/72/46/307027246.db2.gz ZQYIDBRAHSGDLJ-UONOGXRCSA-N -1 1 315.377 1.684 20 0 DDADMM O=C(Nc1cnn(C[C@H]2CCCO2)c1)c1ccc(O)cc1[O-] ZINC000365127781 307059978 /nfs/dbraw/zinc/05/99/78/307059978.db2.gz LKQIVDZDFLFOCW-GFCCVEGCSA-N -1 1 303.318 1.726 20 0 DDADMM COC(=O)c1coc(C(=O)[N-]c2n[nH]c(-c3ccncc3)n2)c1 ZINC000366594518 307077371 /nfs/dbraw/zinc/07/73/71/307077371.db2.gz SWMQWJZGOIYAAO-UHFFFAOYSA-N -1 1 313.273 1.499 20 0 DDADMM C[C@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)CC[S@](=O)C1 ZINC000369338574 307119124 /nfs/dbraw/zinc/11/91/24/307119124.db2.gz JGXZVNSLEWPGOW-SAHAZLINSA-N -1 1 321.398 1.699 20 0 DDADMM O=C(c1cc2c(cccc2F)[nH]1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370862318 307138524 /nfs/dbraw/zinc/13/85/24/307138524.db2.gz PTRPNUHZGCKCND-GFCCVEGCSA-N -1 1 316.296 1.034 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC[C@@]3(C1)CCCOC3)c2=O ZINC000377143754 307264384 /nfs/dbraw/zinc/26/43/84/307264384.db2.gz YYGAAODNOLALQH-MRXNPFEDSA-N -1 1 316.361 1.317 20 0 DDADMM Cn1nnc2c1C[C@H](C(=O)Nc1ccc([O-])c(Cl)c1)CC2 ZINC000378001045 307280662 /nfs/dbraw/zinc/28/06/62/307280662.db2.gz PYACFCKIHZNFCL-MRVPVSSYSA-N -1 1 306.753 1.918 20 0 DDADMM Cn1ccnc1[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000560522348 307914773 /nfs/dbraw/zinc/91/47/73/307914773.db2.gz DBDJUUUDVSHYDF-ZDUSSCGKSA-N -1 1 323.356 1.538 20 0 DDADMM CCC[C@@H]1CCCC[C@H]1NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000562776759 307944800 /nfs/dbraw/zinc/94/48/00/307944800.db2.gz OFYRESRNQWJBDB-NXEZZACHSA-N -1 1 309.366 1.985 20 0 DDADMM Cc1noc(C)c1C(C)(C)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000567175675 308074516 /nfs/dbraw/zinc/07/45/16/308074516.db2.gz CYBWCHFABOKIIL-NSHDSACASA-N -1 1 318.381 1.488 20 0 DDADMM O=C(N=c1nc(C2CCC2)[nH][n-]1)c1cc(CN2CCCC2)on1 ZINC000568578428 308122737 /nfs/dbraw/zinc/12/27/37/308122737.db2.gz UYEXPOXEKVFTTK-UHFFFAOYSA-N -1 1 316.365 1.330 20 0 DDADMM Cn1[n-]c(CN2CCC(c3ncccc3Cl)CC2)nc1=O ZINC000569425542 308143609 /nfs/dbraw/zinc/14/36/09/308143609.db2.gz PQAZMKVBJHRWPO-UHFFFAOYSA-N -1 1 307.785 1.536 20 0 DDADMM Cc1cnc(C(=O)NCCc2cn3c(n2)SCC3)c([O-])c1 ZINC000574012304 308258743 /nfs/dbraw/zinc/25/87/43/308258743.db2.gz LSDUQZTYQDKFDV-UHFFFAOYSA-N -1 1 304.375 1.370 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](CO)CC2CCCC2)sc1C ZINC000574139045 308262881 /nfs/dbraw/zinc/26/28/81/308262881.db2.gz TUAWSUCEQQVOOK-GFCCVEGCSA-N -1 1 318.464 1.979 20 0 DDADMM Cc1ccc(NS(C)(=O)=O)c(NC(=O)CC(F)(F)C[NH3+])c1 ZINC000574334848 308268345 /nfs/dbraw/zinc/26/83/45/308268345.db2.gz BDJLKUBJCDOUPI-UHFFFAOYSA-N -1 1 321.349 1.289 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)[C@H](C)O1 ZINC000574738733 308270887 /nfs/dbraw/zinc/27/08/87/308270887.db2.gz ALUOCATVUREOTI-OUAUKWLOSA-N -1 1 301.346 1.466 20 0 DDADMM C[C@@H]1[C@H](C(=O)[O-])CCN1c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000577182499 308368799 /nfs/dbraw/zinc/36/87/99/308368799.db2.gz MZGYHWLWUGHFLF-PHDIDXHHSA-N -1 1 315.255 1.671 20 0 DDADMM CCCc1nc(=NC(=O)NC[C@H]2NC(C)(C)O[C@H]2C)s[n-]1 ZINC000580169543 308584284 /nfs/dbraw/zinc/58/42/84/308584284.db2.gz HEZLNOIBOLMQHH-DTWKUNHWSA-N -1 1 313.427 1.147 20 0 DDADMM CCOC(=O)[C@@H](NCc1ncccc1[O-])c1cccc(O)c1 ZINC000582208213 325989639 /nfs/dbraw/zinc/98/96/39/325989639.db2.gz MFDVZBKVMFYRQY-HNNXBMFYSA-N -1 1 302.330 1.887 20 0 DDADMM O=C(Cc1cncc(O)c1)N1CC[NH+](Cc2ccccc2)CC1 ZINC000583280025 337302789 /nfs/dbraw/zinc/30/27/89/337302789.db2.gz ZVBYWGNVAZCIMA-UHFFFAOYSA-N -1 1 311.385 1.674 20 0 DDADMM CN1Cc2c(cccc2[N-]S(=O)(=O)C[C@H]2CCCO2)C1=O ZINC000584577101 337367218 /nfs/dbraw/zinc/36/72/18/337367218.db2.gz IVLGCFQFQVLYFF-SNVBAGLBSA-N -1 1 310.375 1.193 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1nc2ccccc2s1)[C@H](C)O ZINC000584587761 337369885 /nfs/dbraw/zinc/36/98/85/337369885.db2.gz BDBULMGIDBBYOJ-IUCAKERBSA-N -1 1 300.405 1.734 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2ccc3c(c2)C(=O)CC3)c1C ZINC000656184185 484003469 /nfs/dbraw/zinc/00/34/69/484003469.db2.gz JFCPPJBRKNGRJA-UHFFFAOYSA-N -1 1 305.359 1.956 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@@H]2CCCC(F)(F)[C@H]2O)s1 ZINC000416622688 484087744 /nfs/dbraw/zinc/08/77/44/484087744.db2.gz KPBZSIKKKIAYGA-APPZFPTMSA-N -1 1 312.363 1.279 20 0 DDADMM COC(=O)c1cc(NC(=O)C(=O)c2ccc([O-])cc2)cn1C ZINC000436942320 484129397 /nfs/dbraw/zinc/12/93/97/484129397.db2.gz VFISHAAHRQDDSB-UHFFFAOYSA-N -1 1 302.286 1.339 20 0 DDADMM COC(=O)C[C@H](C)CC(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000436982948 484131683 /nfs/dbraw/zinc/13/16/83/484131683.db2.gz WACAKAPZVFRJEC-SECBINFHSA-N -1 1 309.318 1.707 20 0 DDADMM COc1ccc([C@@H](NCc2cnn3c2CCC3)C(=O)[O-])cc1F ZINC000656572362 484215202 /nfs/dbraw/zinc/21/52/02/484215202.db2.gz IDBJRAPWMBMBLK-OAHLLOKOSA-N -1 1 319.336 1.893 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(C)cc1F)[C@H]1CCOC1 ZINC000656614810 484244832 /nfs/dbraw/zinc/24/48/32/484244832.db2.gz POXIIANUOCYLKM-SMDDNHRTSA-N -1 1 317.382 1.927 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccc(C)c1F)[C@@H]1CCOC1 ZINC000656626619 484249345 /nfs/dbraw/zinc/24/93/45/484249345.db2.gz MZBFWQPWAHHEGR-YPMHNXCESA-N -1 1 317.382 1.927 20 0 DDADMM CN1CCc2cc([N-]S(=O)(=O)C[C@H]3CCCO3)ccc2C1=O ZINC000656634587 484252388 /nfs/dbraw/zinc/25/23/88/484252388.db2.gz FVQSUJCUOVNHHJ-CYBMUJFWSA-N -1 1 324.402 1.235 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1c(C)cccc1F)[C@H]1CCOC1 ZINC000656732656 484306839 /nfs/dbraw/zinc/30/68/39/484306839.db2.gz WRKYMNAXOCAJSD-AAEUAGOBSA-N -1 1 317.382 1.927 20 0 DDADMM O=C([O-])[C@@H](C(=O)N1CCN(C2CCOCC2)CC1)C1CCCC1 ZINC000663103112 484668391 /nfs/dbraw/zinc/66/83/91/484668391.db2.gz WHEWKGXRAYLSSU-OAHLLOKOSA-N -1 1 324.421 1.201 20 0 DDADMM NC(=O)C1CCC(NC(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000668231861 485042533 /nfs/dbraw/zinc/04/25/33/485042533.db2.gz PSSDTWMTCKCTOJ-UHFFFAOYSA-N -1 1 313.357 1.714 20 0 DDADMM CNC(=O)[C@H]1CCCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000666253056 485145044 /nfs/dbraw/zinc/14/50/44/485145044.db2.gz CHSDYUPNVQACJZ-CYBMUJFWSA-N -1 1 313.357 1.681 20 0 DDADMM C[C@H](NC(=O)c1ccc([O-])c(F)c1)C1(S(C)(=O)=O)CC1 ZINC000672233920 485264341 /nfs/dbraw/zinc/26/43/41/485264341.db2.gz YPISXVJNKFPZHP-QMMMGPOBSA-N -1 1 301.339 1.227 20 0 DDADMM COCCn1cc(C(=O)Nc2c([O-])cccc2F)c(=O)cc1C ZINC000672901413 485365243 /nfs/dbraw/zinc/36/52/43/485365243.db2.gz UGROAQFMJKMBBN-UHFFFAOYSA-N -1 1 320.320 1.900 20 0 DDADMM Cc1nc([C@@H]2CCCN2C(=O)c2ccc3[nH][n-]c(=O)c3c2)no1 ZINC000669296712 485424758 /nfs/dbraw/zinc/42/47/58/485424758.db2.gz XECJEJDKUPGXCX-LBPRGKRZSA-N -1 1 313.317 1.937 20 0 DDADMM Cc1ccc(CCC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)o1 ZINC000673755896 485448922 /nfs/dbraw/zinc/44/89/22/485448922.db2.gz ARUPTVUDZVPWOD-HNNXBMFYSA-N -1 1 311.345 1.940 20 0 DDADMM CO[C@@]1(C(F)(F)F)CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000682052140 485472298 /nfs/dbraw/zinc/47/22/98/485472298.db2.gz MKMVCRXSRDTCER-LBPRGKRZSA-N -1 1 304.268 1.971 20 0 DDADMM COc1cc(C(=O)NCCCn2cncn2)cc(Cl)c1[O-] ZINC000682358842 485564922 /nfs/dbraw/zinc/56/49/22/485564922.db2.gz OPGLOMFPDVRYOI-UHFFFAOYSA-N -1 1 310.741 1.466 20 0 DDADMM CC[C@@H]1CN2CCCC[C@H]2CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC000674351009 485611011 /nfs/dbraw/zinc/61/10/11/485611011.db2.gz ARWFEBHPLXITSW-KGLIPLIRSA-N -1 1 317.389 1.869 20 0 DDADMM O=C(CCCc1cccc(Br)c1)Nc1nnn[n-]1 ZINC000675467484 485947932 /nfs/dbraw/zinc/94/79/32/485947932.db2.gz MJWUROHNPLPMRJ-UHFFFAOYSA-N -1 1 310.155 1.924 20 0 DDADMM O=C(CCCc1cccc(Br)c1)Nc1nn[n-]n1 ZINC000675467484 485947938 /nfs/dbraw/zinc/94/79/38/485947938.db2.gz MJWUROHNPLPMRJ-UHFFFAOYSA-N -1 1 310.155 1.924 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCC[C@H](C)O)c(F)c1 ZINC000683950242 486179275 /nfs/dbraw/zinc/17/92/75/486179275.db2.gz NUGDISNBUHGSOI-QMMMGPOBSA-N -1 1 309.334 1.413 20 0 DDADMM CCNC(=O)COc1ccc(NC(=O)c2n[nH]c(C)c2[O-])cc1 ZINC000676631439 486273450 /nfs/dbraw/zinc/27/34/50/486273450.db2.gz UPMKZOMDTMFCKR-UHFFFAOYSA-N -1 1 318.333 1.191 20 0 DDADMM CC1N=NC(C(=O)Nc2ccccc2N2CCCC2=O)=C1[O-] ZINC000676689394 486289322 /nfs/dbraw/zinc/28/93/22/486289322.db2.gz WNXOXQYGNSLMCB-UHFFFAOYSA-N -1 1 300.318 1.803 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)c2ccc([O-])c(F)c2)CCS1(=O)=O ZINC000681047787 486330979 /nfs/dbraw/zinc/33/09/79/486330979.db2.gz HTDBGNICILVFLE-DTWKUNHWSA-N -1 1 301.339 1.179 20 0 DDADMM NC(=O)c1[nH]nc2ccc(NC(=O)c3ccc([O-])c(F)c3)cc21 ZINC000681060580 486334234 /nfs/dbraw/zinc/33/42/34/486334234.db2.gz YROMZPFHBZJDGJ-UHFFFAOYSA-N -1 1 314.276 1.759 20 0 DDADMM C[C@H](CN(C)C(=O)CNc1ccccc1Cl)c1nn[n-]n1 ZINC000681352695 486410773 /nfs/dbraw/zinc/41/07/73/486410773.db2.gz CYBRXVATDLHSEZ-SECBINFHSA-N -1 1 308.773 1.527 20 0 DDADMM CNC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000677318168 486439437 /nfs/dbraw/zinc/43/94/37/486439437.db2.gz UWBCJIPIIXPZON-JTQLQIEISA-N -1 1 319.452 1.199 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2cc(F)ccc2F)CCOCC1 ZINC000119404133 490603074 /nfs/dbraw/zinc/60/30/74/490603074.db2.gz QWYCOVDBISALTA-UHFFFAOYSA-N -1 1 321.345 1.439 20 0 DDADMM O=C(Cn1ccccc1=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000339121961 490737683 /nfs/dbraw/zinc/73/76/83/490737683.db2.gz BGSQPQHADZSOMS-UHFFFAOYSA-N -1 1 310.313 1.583 20 0 DDADMM C[C@H](NC(=O)c1ccc(NC(=O)OC(C)(C)C)cc1[O-])C(N)=O ZINC000495326526 533756161 /nfs/dbraw/zinc/75/61/61/533756161.db2.gz MKCMQOOGJRQMET-QMMMGPOBSA-N -1 1 323.349 1.343 20 0 DDADMM CSC[C@@](C)(O)C[N-]S(=O)(=O)c1sccc1Cl ZINC000451234461 533762577 /nfs/dbraw/zinc/76/25/77/533762577.db2.gz KYPGMIOVWPJLQA-VIFPVBQESA-N -1 1 315.869 1.794 20 0 DDADMM COC(=O)c1cc(CNCc2ccc(C(=O)[O-])c(C)n2)cn1C ZINC000417565896 533821963 /nfs/dbraw/zinc/82/19/63/533821963.db2.gz MDLIVUIQSUYABO-UHFFFAOYSA-N -1 1 317.345 1.503 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1cc(F)ccc1F)c1nncn1C ZINC000439197261 534072135 /nfs/dbraw/zinc/07/21/35/534072135.db2.gz IYSUGMUAWZHECX-QMMMGPOBSA-N -1 1 316.333 1.175 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(=O)N2CCCCC2)sc1C ZINC000435211618 534515396 /nfs/dbraw/zinc/51/53/96/534515396.db2.gz SSIYXIWCOUVBLX-UHFFFAOYSA-N -1 1 317.436 1.051 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C\c2ccc[nH]2)c1 ZINC000491990040 534703692 /nfs/dbraw/zinc/70/36/92/534703692.db2.gz LLRTZCZVFIULOJ-UTCJRWHESA-N -1 1 307.331 1.020 20 0 DDADMM N=c1nc(N2CCN(C(=O)c3[nH]ccc3C3CC3)CC2)s[n-]1 ZINC000457630440 534841037 /nfs/dbraw/zinc/84/10/37/534841037.db2.gz MGRIQOKCKLNFRG-UHFFFAOYSA-N -1 1 318.406 1.119 20 0 DDADMM CC(C)(C)OC(=O)CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295829268 526358133 /nfs/dbraw/zinc/35/81/33/526358133.db2.gz MJVUYLPCXGVQOB-UHFFFAOYSA-N -1 1 322.390 1.480 20 0 DDADMM CC(C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000416615846 527709957 /nfs/dbraw/zinc/70/99/57/527709957.db2.gz BDMSBBMITIQNPP-ZETCQYMHSA-N -1 1 324.324 1.295 20 0 DDADMM CCO[C@@H]1C[C@@](O)(CNC(=O)c2ncc(C)cc2[O-])C1(C)C ZINC000332468300 527889305 /nfs/dbraw/zinc/88/93/05/527889305.db2.gz MJXUWGNZEUHJNZ-MLGOLLRUSA-N -1 1 308.378 1.392 20 0 DDADMM CCO[C@@H]1C[C@]([N-]S(=O)(=O)CC2CC2)(C(=O)OC)C1(C)C ZINC000444274838 527984597 /nfs/dbraw/zinc/98/45/97/527984597.db2.gz FMIDISLZBADAQQ-RISCZKNCSA-N -1 1 319.423 1.063 20 0 DDADMM CC(C)C[C@@H](CNC(=O)c1ccc(-c2nnc[nH]2)cc1)C(=O)[O-] ZINC000424254009 528326386 /nfs/dbraw/zinc/32/63/86/528326386.db2.gz SMIZDUFXMQSMGY-ZDUSSCGKSA-N -1 1 316.361 1.948 20 0 DDADMM CCOC1(C[N-]S(=O)(=O)c2c(C)onc2N)CCCC1 ZINC000292869186 528473238 /nfs/dbraw/zinc/47/32/38/528473238.db2.gz SGVJGVHSEZHETA-UHFFFAOYSA-N -1 1 303.384 1.193 20 0 DDADMM CCC(CC)(CNC(=O)NCc1n[nH]c(C2CC2)n1)C(=O)[O-] ZINC000424396312 528678061 /nfs/dbraw/zinc/67/80/61/528678061.db2.gz GNMJJCOQOCTRHS-UHFFFAOYSA-N -1 1 309.370 1.372 20 0 DDADMM CCOc1ccccc1C=CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000491911783 528704153 /nfs/dbraw/zinc/70/41/53/528704153.db2.gz DTKPQOSSGNEEEL-MDZDMXLPSA-N -1 1 301.350 1.663 20 0 DDADMM CCN(Cc1occc1C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000412789045 528900766 /nfs/dbraw/zinc/90/07/66/528900766.db2.gz JGAPEXGKHNCOJF-UHFFFAOYSA-N -1 1 317.345 1.467 20 0 DDADMM CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)[C@H](C)c1cccnc1 ZINC000433356972 529104188 /nfs/dbraw/zinc/10/41/88/529104188.db2.gz VXYRVXVFPAYDJW-SECBINFHSA-N -1 1 318.333 1.515 20 0 DDADMM CC[C@H](C)c1n[nH]c([C@@H](C)N=c2nc(CCOC)[n-]s2)n1 ZINC000444833296 529275991 /nfs/dbraw/zinc/27/59/91/529275991.db2.gz BLEMKSHAUHXPDO-DTWKUNHWSA-N -1 1 310.427 1.954 20 0 DDADMM CC[C@H](C)c1nc([C@@H](C)N=c2nc(CCOC)[n-]s2)n[nH]1 ZINC000444833296 529275995 /nfs/dbraw/zinc/27/59/95/529275995.db2.gz BLEMKSHAUHXPDO-DTWKUNHWSA-N -1 1 310.427 1.954 20 0 DDADMM CC[C@@H](C)N(CC(=O)[O-])C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000455819100 529346401 /nfs/dbraw/zinc/34/64/01/529346401.db2.gz ZMJXHVKOGSSYPJ-SNVBAGLBSA-N -1 1 302.334 1.797 20 0 DDADMM CC(C)(NS(C)(=O)=O)C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000129521655 535253226 /nfs/dbraw/zinc/25/32/26/535253226.db2.gz USRJJJAMOVZYPB-UHFFFAOYSA-N -1 1 306.771 1.312 20 0 DDADMM CCC[C@@H](NC(=O)Cc1c(OC)cccc1OC)c1nn[n-]n1 ZINC000136740991 535354650 /nfs/dbraw/zinc/35/46/50/535354650.db2.gz ACOUJTXPPFKDJL-LLVKDONJSA-N -1 1 319.365 1.417 20 0 DDADMM CCOC(=O)CSc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736598729 598934526 /nfs/dbraw/zinc/93/45/26/598934526.db2.gz GVDHDNKOUCDCSD-UHFFFAOYSA-N -1 1 316.346 1.465 20 0 DDADMM c1ccc(CNc2c3ccccc3nnc2-c2nnn[n-]2)nc1 ZINC000738432248 598955011 /nfs/dbraw/zinc/95/50/11/598955011.db2.gz FVVDCHAMVKEQQK-UHFFFAOYSA-N -1 1 304.317 1.817 20 0 DDADMM c1ccc(CNc2c3ccccc3nnc2-c2nn[n-]n2)nc1 ZINC000738432248 598955012 /nfs/dbraw/zinc/95/50/12/598955012.db2.gz FVVDCHAMVKEQQK-UHFFFAOYSA-N -1 1 304.317 1.817 20 0 DDADMM O=C(NC12CC3CC(CC(C3)C1)C2)c1ccc(-c2nnn[n-]2)nc1 ZINC000738019503 598978669 /nfs/dbraw/zinc/97/86/69/598978669.db2.gz KOQFKVZTOLEASB-UHFFFAOYSA-N -1 1 324.388 1.960 20 0 DDADMM O=C(NC12CC3CC(CC(C3)C1)C2)c1ccc(-c2nn[n-]n2)nc1 ZINC000738019503 598978672 /nfs/dbraw/zinc/97/86/72/598978672.db2.gz KOQFKVZTOLEASB-UHFFFAOYSA-N -1 1 324.388 1.960 20 0 DDADMM CO[C@@H]1CCC[C@@H](OC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736962837 598979404 /nfs/dbraw/zinc/97/94/04/598979404.db2.gz RMSUKIURSLKUAX-GHMZBOCLSA-N -1 1 303.322 1.376 20 0 DDADMM CO[C@@H]1CCC[C@@H](OC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736962837 598979406 /nfs/dbraw/zinc/97/94/06/598979406.db2.gz RMSUKIURSLKUAX-GHMZBOCLSA-N -1 1 303.322 1.376 20 0 DDADMM CC(=O)c1cccc(OC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000735497504 598981180 /nfs/dbraw/zinc/98/11/80/598981180.db2.gz BTMLOALDYOJYNN-UHFFFAOYSA-N -1 1 309.285 1.684 20 0 DDADMM CC(=O)c1cccc(OC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000735497504 598981181 /nfs/dbraw/zinc/98/11/81/598981181.db2.gz BTMLOALDYOJYNN-UHFFFAOYSA-N -1 1 309.285 1.684 20 0 DDADMM O=C(OCCc1cccnc1)c1sccc1-c1nn[n-]n1 ZINC000738220720 599027240 /nfs/dbraw/zinc/02/72/40/599027240.db2.gz BUDMQSYRSSVULC-UHFFFAOYSA-N -1 1 301.331 1.723 20 0 DDADMM Cc1nn(C)c(C)c1C[C@H](C)Nc1cccc(-c2nnn[n-]2)n1 ZINC000822491856 599046656 /nfs/dbraw/zinc/04/66/56/599046656.db2.gz HIYDDXNUOAYJRX-VIFPVBQESA-N -1 1 312.381 1.655 20 0 DDADMM Cc1nn(C)c(C)c1C[C@H](C)Nc1cccc(-c2nn[n-]n2)n1 ZINC000822491856 599046659 /nfs/dbraw/zinc/04/66/59/599046659.db2.gz HIYDDXNUOAYJRX-VIFPVBQESA-N -1 1 312.381 1.655 20 0 DDADMM CC[S@](=O)CCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000736666236 599204806 /nfs/dbraw/zinc/20/48/06/599204806.db2.gz QQZWWFBZOIOUGN-SFHVURJKSA-N -1 1 306.804 1.157 20 0 DDADMM CC[S@](=O)CCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000736666236 599204808 /nfs/dbraw/zinc/20/48/08/599204808.db2.gz QQZWWFBZOIOUGN-SFHVURJKSA-N -1 1 306.804 1.157 20 0 DDADMM CC[C@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736400725 599224577 /nfs/dbraw/zinc/22/45/77/599224577.db2.gz BLQIWISSIFXAPA-NSHDSACASA-N -1 1 300.366 1.914 20 0 DDADMM CC[C@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736400725 599224578 /nfs/dbraw/zinc/22/45/78/599224578.db2.gz BLQIWISSIFXAPA-NSHDSACASA-N -1 1 300.366 1.914 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)Nc1ccnn1Cc1cccs1 ZINC000262643247 596997359 /nfs/dbraw/zinc/99/73/59/596997359.db2.gz JMAHBOPURDZFJP-UHFFFAOYSA-N -1 1 322.390 1.338 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CN2C[C@@H](c3ccccn3)CC2=O)C1 ZINC000819379854 597048495 /nfs/dbraw/zinc/04/84/95/597048495.db2.gz DBMKGNNDOVCMPJ-KGLIPLIRSA-N -1 1 317.389 1.542 20 0 DDADMM O=C([O-])C1(NCC(=O)NCC(=O)c2cccs2)CCCC1 ZINC000740375692 597079816 /nfs/dbraw/zinc/07/98/16/597079816.db2.gz HGCVBOKZVLHPNB-UHFFFAOYSA-N -1 1 310.375 1.034 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CC[C@@H](C(=O)[O-])C1)c1ccc(F)cc1 ZINC000818374919 597509535 /nfs/dbraw/zinc/50/95/35/597509535.db2.gz UKDHSHUYNUVLHK-OCCSQVGLSA-N -1 1 323.368 1.545 20 0 DDADMM Cc1ccc(N(C)[C@@H]2CCCN(CC(=O)NCC(=O)[O-])C2)cc1 ZINC000821083361 597814491 /nfs/dbraw/zinc/81/44/91/597814491.db2.gz AVDDQJCNEYBYJZ-OAHLLOKOSA-N -1 1 319.405 1.096 20 0 DDADMM CC[C@@H](CO)N1CCN(C(=O)Nc2ccccc2C(=O)[O-])CC1 ZINC000820278500 598176183 /nfs/dbraw/zinc/17/61/83/598176183.db2.gz QXVSOCYUSUXYOS-LBPRGKRZSA-N -1 1 321.377 1.305 20 0 DDADMM Cc1nn(C)c(N(C)C)c1CNCc1cccc(C(=O)[O-])c1 ZINC000821209211 598194265 /nfs/dbraw/zinc/19/42/65/598194265.db2.gz QJDMLMLXEKTSMD-UHFFFAOYSA-N -1 1 302.378 1.783 20 0 DDADMM C[C@H](CC(=O)[O-])Nc1cccc(CN2CCC[C@H]2C(N)=O)c1 ZINC000820088736 598217850 /nfs/dbraw/zinc/21/78/50/598217850.db2.gz DHWIUEDWCVWWKI-RISCZKNCSA-N -1 1 305.378 1.411 20 0 DDADMM CCc1ccc([C@@H](C)C(=O)n2ncc(-c3nn[n-]n3)c2N)cc1 ZINC000736685106 598529319 /nfs/dbraw/zinc/52/93/19/598529319.db2.gz FNRHXSGEFBQUGV-SECBINFHSA-N -1 1 311.349 1.652 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1cccc2cccnc21 ZINC000738371866 598676801 /nfs/dbraw/zinc/67/68/01/598676801.db2.gz LAFXGZICDKDITI-UHFFFAOYSA-N -1 1 304.313 1.625 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(=O)c2cc(Br)ccc21 ZINC000737593768 598721429 /nfs/dbraw/zinc/72/14/29/598721429.db2.gz SHEOWHVAGXRANG-UHFFFAOYSA-N -1 1 306.123 1.481 20 0 DDADMM C[C@@H]1COc2ccccc2N1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820814462 599634512 /nfs/dbraw/zinc/63/45/12/599634512.db2.gz WCPHQAQVJGTTCZ-SNVBAGLBSA-N -1 1 322.328 1.689 20 0 DDADMM C[C@@H]1COc2ccccc2N1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820814462 599634513 /nfs/dbraw/zinc/63/45/13/599634513.db2.gz WCPHQAQVJGTTCZ-SNVBAGLBSA-N -1 1 322.328 1.689 20 0 DDADMM CN(CC(=O)Nc1cccc(C(=O)[O-])c1)C[C@@H]1CCCOC1 ZINC000737397492 599763988 /nfs/dbraw/zinc/76/39/88/599763988.db2.gz UNDKWVNNNZCCMU-LBPRGKRZSA-N -1 1 306.362 1.682 20 0 DDADMM COCCCn1c(=O)[nH]nc1SCc1cc(C(=O)[O-])co1 ZINC000737761220 599804111 /nfs/dbraw/zinc/80/41/11/599804111.db2.gz CLAVNMJHDHSPBR-UHFFFAOYSA-N -1 1 313.335 1.604 20 0 DDADMM Cc1ccccc1[C@H]1CC(=O)N(CN2CC[C@H](C(=O)[O-])C2)C1 ZINC000738760177 599853524 /nfs/dbraw/zinc/85/35/24/599853524.db2.gz DOJODCLEZMUTEN-KBPBESRZSA-N -1 1 302.374 1.675 20 0 DDADMM Cc1c(C(=O)[O-])cccc1S(=O)(=O)NCCN(C)C1CC1 ZINC000113457865 599935483 /nfs/dbraw/zinc/93/54/83/599935483.db2.gz FTTIBPLYLOCBNV-UHFFFAOYSA-N -1 1 312.391 1.066 20 0 DDADMM CCN1CCN(c2ccccc2NC(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC000737122944 600061555 /nfs/dbraw/zinc/06/15/55/600061555.db2.gz NSCYMONRKOYAOG-STQMWFEESA-N -1 1 317.389 1.488 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCC[C@H](N2CCNC2=O)C1 ZINC000740079328 600090095 /nfs/dbraw/zinc/09/00/95/600090095.db2.gz PNYVMDDQUSSBSZ-ZDUSSCGKSA-N -1 1 321.352 1.514 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000323313622 600106923 /nfs/dbraw/zinc/10/69/23/600106923.db2.gz GQPBKOAADYFIGO-LSDHHAIUSA-N -1 1 302.374 1.442 20 0 DDADMM O=C([O-])[C@H]1C[C@@H]1C(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000739538336 600112680 /nfs/dbraw/zinc/11/26/80/600112680.db2.gz HTVMXYKLVBZMRR-GJZGRUSLSA-N -1 1 320.364 1.627 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(Cc1cnn(-c3ccccc3)n1)CC2 ZINC000405362460 600290257 /nfs/dbraw/zinc/29/02/57/600290257.db2.gz FSJXFNBVBMXEOX-OAHLLOKOSA-N -1 1 312.373 1.954 20 0 DDADMM Cc1cccc(CNC(=O)CN2CCC(CC(=O)[O-])CC2)c1 ZINC000738307627 600292691 /nfs/dbraw/zinc/29/26/91/600292691.db2.gz HQGHRNDCLUCUGP-UHFFFAOYSA-N -1 1 304.390 1.798 20 0 DDADMM CN(CC(=O)[O-])C(=O)CCN1CCCC[C@@H]1c1nccs1 ZINC000737402577 600296016 /nfs/dbraw/zinc/29/60/16/600296016.db2.gz LIVWYTHMLYUYKF-LLVKDONJSA-N -1 1 311.407 1.603 20 0 DDADMM C[C@@H](CN(C)CC(=O)Nc1ccc(OC(F)F)cc1)C(=O)[O-] ZINC000736573902 600376257 /nfs/dbraw/zinc/37/62/57/600376257.db2.gz YTOWOHGCVXUQQF-VIFPVBQESA-N -1 1 316.304 1.879 20 0 DDADMM CCO[C@H]1CCCN([C@@H](C)C(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC000737165789 600434990 /nfs/dbraw/zinc/43/49/90/600434990.db2.gz VGHZUNLSDPNNSS-JSGCOSHPSA-N -1 1 312.410 1.199 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN[C@](C)(CO)c1ccccc1)C(=O)[O-] ZINC000736882970 600459236 /nfs/dbraw/zinc/45/92/36/600459236.db2.gz IZGKNSCWEKBNOF-XGWLTEMNSA-N -1 1 322.405 1.099 20 0 DDADMM Cc1cc(CNC(=O)N2Cc3ccc(C(=O)[O-])cc3C2)n[nH]1 ZINC000738385476 600460609 /nfs/dbraw/zinc/46/06/09/600460609.db2.gz PPUDWHQHKPFYBE-UHFFFAOYSA-N -1 1 300.318 1.642 20 0 DDADMM CCOCCCN(C[C@H](C)C(=O)[O-])C(=O)[C@H]1CCCN1C ZINC000737168028 600500106 /nfs/dbraw/zinc/50/01/06/600500106.db2.gz KVBQNZCINJJBGP-QWHCGFSZSA-N -1 1 300.399 1.057 20 0 DDADMM COC[C@@H]1CCN([C@H](C)C(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C1 ZINC000737751260 600573814 /nfs/dbraw/zinc/57/38/14/600573814.db2.gz IAGKXOGBWGLBTN-VXGBXAGGSA-N -1 1 308.378 1.869 20 0 DDADMM CC[C@H]1CCCCN1C(=O)CN(CCOC)CCC(=O)[O-] ZINC000736942690 600640145 /nfs/dbraw/zinc/64/01/45/600640145.db2.gz XGUMEQGJDPYBDW-ZDUSSCGKSA-N -1 1 300.399 1.201 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CCN3CCCC[C@H]3C2)cc1 ZINC000833108768 600827239 /nfs/dbraw/zinc/82/72/39/600827239.db2.gz XPQGFRMYPMUYBJ-HNNXBMFYSA-N -1 1 317.389 1.493 20 0 DDADMM Cn1nc2c(cc1=O)CN([C@@H](C(=O)[O-])c1ccccc1F)CC2 ZINC000833060703 600827373 /nfs/dbraw/zinc/82/73/73/600827373.db2.gz VNOWEBRJKDVXNS-OAHLLOKOSA-N -1 1 317.320 1.103 20 0 DDADMM O=C([O-])C1(NC(=O)CN2CCC[C@@H]2CCCO)CCCCC1 ZINC000739284808 600955581 /nfs/dbraw/zinc/95/55/81/600955581.db2.gz UFLZCBAQUPFHQG-CYBMUJFWSA-N -1 1 312.410 1.127 20 0 DDADMM CCN(C/C=C(\C)C(=O)[O-])CC(=O)NCc1ccc(F)cc1 ZINC000829692649 601049205 /nfs/dbraw/zinc/04/92/05/601049205.db2.gz MQHZLHGNPRKSOM-XYOKQWHBSA-N -1 1 308.353 1.795 20 0 DDADMM O=C([O-])Cn1ccc(NC(=O)N2CCN(C3CCCC3)CC2)n1 ZINC000833275119 601061266 /nfs/dbraw/zinc/06/12/66/601061266.db2.gz GZDCAHAWCPENDA-UHFFFAOYSA-N -1 1 321.381 1.060 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)CN[C@](C)(C(=O)[O-])C1CC1 ZINC000832596646 601173922 /nfs/dbraw/zinc/17/39/22/601173922.db2.gz REVMCUJICZGFNF-GTNSWQLSSA-N -1 1 320.389 1.715 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=S)NC2CCCCC2)CC1 ZINC000827379287 601337552 /nfs/dbraw/zinc/33/75/52/601337552.db2.gz FMRUDTNRCNYRCM-GFCCVEGCSA-N -1 1 313.467 1.674 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)NCC1(C(=O)[O-])CCCC1 ZINC000321766616 601422384 /nfs/dbraw/zinc/42/23/84/601422384.db2.gz OSRXMCIFQZIBEQ-KBPBESRZSA-N -1 1 323.437 1.900 20 0 DDADMM CC[C@](C)(CC(=O)[O-])NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000237126406 601456461 /nfs/dbraw/zinc/45/64/61/601456461.db2.gz RROCUTBSLURYIF-CQSZACIVSA-N -1 1 320.305 1.844 20 0 DDADMM C[C@H](C(=O)Nc1ccc(Cl)cn1)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828425369 601507644 /nfs/dbraw/zinc/50/76/44/601507644.db2.gz ZKZQUIWQPLGRIE-KKZNHRDASA-N -1 1 311.769 1.715 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000315592954 601521387 /nfs/dbraw/zinc/52/13/87/601521387.db2.gz OMWJJSOHNMAYHR-VXGBXAGGSA-N -1 1 306.362 1.595 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C[C@@H]1C(=O)[O-] ZINC000315074915 601532602 /nfs/dbraw/zinc/53/26/02/601532602.db2.gz XOPZFXGHVSDHSO-CABZTGNLSA-N -1 1 321.764 1.803 20 0 DDADMM COC(=O)CN(Cc1ccnc(-c2nn[n-]n2)c1)C1CCCC1 ZINC000826138077 607514764 /nfs/dbraw/zinc/51/47/64/607514764.db2.gz CXSOISARJRYRCT-UHFFFAOYSA-N -1 1 316.365 1.179 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000833165353 601728472 /nfs/dbraw/zinc/72/84/72/601728472.db2.gz HLPYPRUPGJUNED-CQSZACIVSA-N -1 1 319.296 1.298 20 0 DDADMM C[C@@H]1CC[C@H](C)N1CC(=O)N[C@@H](C(=O)[O-])c1ccccc1F ZINC000828213889 601747459 /nfs/dbraw/zinc/74/74/59/601747459.db2.gz FNUJGGMNNVOMTE-JRPNMDOOSA-N -1 1 308.353 1.940 20 0 DDADMM C[C@H]1CN(C(=O)CSc2n[nH]c(=S)s2)C[C@@H]1C(=O)[O-] ZINC000828340521 601759449 /nfs/dbraw/zinc/75/94/49/601759449.db2.gz IZRKCBIGGVAPNE-WDSKDSINSA-N -1 1 319.433 1.098 20 0 DDADMM COC[C@]1(C)CC(=O)N(CN2CCC(CCC(=O)[O-])CC2)C1 ZINC000831660284 601798482 /nfs/dbraw/zinc/79/84/82/601798482.db2.gz BPMCICXXPUEWTE-MRXNPFEDSA-N -1 1 312.410 1.406 20 0 DDADMM Cc1ccc(NC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c(C)n1 ZINC000832945569 601799475 /nfs/dbraw/zinc/79/94/75/601799475.db2.gz GKISZBRNXKPAIS-LBPRGKRZSA-N -1 1 320.393 1.711 20 0 DDADMM O=[S@](Cc1ccnc(-c2nnn[n-]2)c1)c1ncccc1Cl ZINC000826495926 607528289 /nfs/dbraw/zinc/52/82/89/607528289.db2.gz GKNGREBMYBNKKM-OAQYLSRUSA-N -1 1 320.765 1.618 20 0 DDADMM O=[S@](Cc1ccnc(-c2nn[n-]n2)c1)c1ncccc1Cl ZINC000826495926 607528290 /nfs/dbraw/zinc/52/82/90/607528290.db2.gz GKNGREBMYBNKKM-OAQYLSRUSA-N -1 1 320.765 1.618 20 0 DDADMM CC(C)[C@H](NCC(=O)NC(=O)N[C@H]1CCCC[C@H]1C)C(=O)[O-] ZINC000818097686 601824807 /nfs/dbraw/zinc/82/48/07/601824807.db2.gz ZTRZUPQYOZMCOH-MDZLAQPJSA-N -1 1 313.398 1.090 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000739530919 601877082 /nfs/dbraw/zinc/87/70/82/601877082.db2.gz PHWBCNXTTMDNTE-QWHCGFSZSA-N -1 1 311.357 1.591 20 0 DDADMM CC(C)(C)NC(=O)CN1CCCC[C@H]1[C@H]1CCCN1C(=O)[O-] ZINC000738702804 601928311 /nfs/dbraw/zinc/92/83/11/601928311.db2.gz ZXDFZBHCAJJWTL-QWHCGFSZSA-N -1 1 311.426 1.898 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1CC[C@@](C)(C(=O)[O-])C1 ZINC000826940096 601933627 /nfs/dbraw/zinc/93/36/27/601933627.db2.gz GTLZYGFIUWQAQO-GFCCVEGCSA-N -1 1 310.316 1.582 20 0 DDADMM O=C([O-])NC[C@H]1CCN(C[C@H](O)c2ccc(F)cc2F)C1 ZINC000740642513 601940326 /nfs/dbraw/zinc/94/03/26/601940326.db2.gz KAIRPIYSNCGVPC-RNCFNFMXSA-N -1 1 300.305 1.588 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)N2CCC[C@H]3CCCC[C@@H]32)C1 ZINC000740644028 601956137 /nfs/dbraw/zinc/95/61/37/601956137.db2.gz WLUHPELPXSEZTA-ZNMIVQPWSA-N -1 1 323.437 1.757 20 0 DDADMM Cc1ccc(CNC(=O)CN2CC[C@@H](CNC(=O)[O-])C2)cc1 ZINC000740127242 601962317 /nfs/dbraw/zinc/96/23/17/601962317.db2.gz VKYNYWWCTCMOOI-AWEZNQCLSA-N -1 1 305.378 1.201 20 0 DDADMM C[C@H](C(=O)N1CCCCCC1)N1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738618920 601974458 /nfs/dbraw/zinc/97/44/58/601974458.db2.gz VAQJGMJAUWSZCB-KGLIPLIRSA-N -1 1 311.426 1.852 20 0 DDADMM NC(=O)[C@H](NCC1CCN(C(=O)[O-])CC1)c1ccc(F)cc1 ZINC000740322388 602046774 /nfs/dbraw/zinc/04/67/74/602046774.db2.gz CNCFZCMZGQRATJ-CYBMUJFWSA-N -1 1 309.341 1.332 20 0 DDADMM CC(C)C[C@@H]1CCC(=O)N(CN2C[C@@H](C)[C@H](C(=O)[O-])C2)C1=O ZINC000826832347 602083925 /nfs/dbraw/zinc/08/39/25/602083925.db2.gz QAEQHNNVIGHUMV-FRRDWIJNSA-N -1 1 310.394 1.408 20 0 DDADMM CCc1c(NC(=O)[C@@H](C)NC(=O)[O-])[nH]nc1-c1ccncc1 ZINC000739538418 602266564 /nfs/dbraw/zinc/26/65/64/602266564.db2.gz DYMZZRYKYLZCOT-MRVPVSSYSA-N -1 1 303.322 1.629 20 0 DDADMM CC(C)C[C@H](C)N(C)C(=O)CN1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738804194 602542719 /nfs/dbraw/zinc/54/27/19/602542719.db2.gz JAGJDMRCMVIWOH-UONOGXRCSA-N -1 1 313.442 1.811 20 0 DDADMM CCC[C@H](C)NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825232267 607573347 /nfs/dbraw/zinc/57/33/47/607573347.db2.gz FSHYQNBGQPHGPW-QMMMGPOBSA-N -1 1 313.358 1.473 20 0 DDADMM CCC[C@H](C)NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825232267 607573349 /nfs/dbraw/zinc/57/33/49/607573349.db2.gz FSHYQNBGQPHGPW-QMMMGPOBSA-N -1 1 313.358 1.473 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CNC(=O)Cc1[nH]nc2ccccc21 ZINC000740386740 602576504 /nfs/dbraw/zinc/57/65/04/602576504.db2.gz DUWPZEVHKKXGRC-SNVBAGLBSA-N -1 1 302.334 1.364 20 0 DDADMM CN(Cc1ccco1)C(=O)CN1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000739660991 602577769 /nfs/dbraw/zinc/57/77/69/602577769.db2.gz RTOJTNLFGCSJDN-LBPRGKRZSA-N -1 1 309.366 1.170 20 0 DDADMM O=C([O-])N(CCNC(=O)N1CCN2CCCC[C@@H]2C1)C1CC1 ZINC000740436700 602631943 /nfs/dbraw/zinc/63/19/43/602631943.db2.gz ILEAEGMGGVSYRA-CYBMUJFWSA-N -1 1 310.398 1.009 20 0 DDADMM CCOC(=O)CN(CC(C)C)C[C@@H]1CCCN(C(=O)[O-])C1 ZINC000739475145 602703750 /nfs/dbraw/zinc/70/37/50/602703750.db2.gz JRFMBEFATWDYCP-ZDUSSCGKSA-N -1 1 300.399 1.898 20 0 DDADMM Cc1ccccc1CNC(=O)CN1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000740185076 602759410 /nfs/dbraw/zinc/75/94/10/602759410.db2.gz SRWCZQLEIIITFC-UKRRQHHQSA-N -1 1 319.405 1.589 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NCc1ccc(F)c(CN(C)C)c1 ZINC000739527754 602761575 /nfs/dbraw/zinc/76/15/75/602761575.db2.gz HJHRLBXMKFREDA-ZDUSSCGKSA-N -1 1 311.357 1.550 20 0 DDADMM Cc1cccc(CNC(=O)CN2CC[C@@H](NC(=O)[O-])[C@@H](C)C2)c1 ZINC000740169325 602784480 /nfs/dbraw/zinc/78/44/80/602784480.db2.gz HPVFKEPYBKKZGP-DZGCQCFKSA-N -1 1 319.405 1.589 20 0 DDADMM C[C@@H]1CN(CC(=O)N2[C@H](C)CCC[C@H]2C)CC[C@H]1NC(=O)[O-] ZINC000739170727 602787035 /nfs/dbraw/zinc/78/70/35/602787035.db2.gz HHMBOQFOPKPBLZ-AAVRWANBSA-N -1 1 311.426 1.754 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCN(c3ccccc3F)CC2)C1 ZINC000740503132 602976211 /nfs/dbraw/zinc/97/62/11/602976211.db2.gz KZQSFZYJHVBHDA-CYBMUJFWSA-N -1 1 307.369 1.948 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)NCCN(C(=O)[O-])C1CC1 ZINC000739199839 602994394 /nfs/dbraw/zinc/99/43/94/602994394.db2.gz SNFNWKLIPUUFPQ-TZMCWYRMSA-N -1 1 324.425 1.397 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N[C@H]1CCC[C@@H]1CNC(=O)[O-] ZINC000829834927 603004567 /nfs/dbraw/zinc/00/45/67/603004567.db2.gz XHEMOWDHBXKINU-OLZOCXBDSA-N -1 1 323.397 1.386 20 0 DDADMM CCN1CCCC[C@H]1C(=O)NC1C[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000739458371 603011432 /nfs/dbraw/zinc/01/14/32/603011432.db2.gz XGPDNELXJPOAMY-GSPSYOTPSA-N -1 1 309.410 1.650 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccc2ncoc2c1 ZINC000826467357 607606222 /nfs/dbraw/zinc/60/62/22/607606222.db2.gz SJCZKWGFEMSINN-UHFFFAOYSA-N -1 1 322.284 1.760 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc2ncoc2c1 ZINC000826467357 607606224 /nfs/dbraw/zinc/60/62/24/607606224.db2.gz SJCZKWGFEMSINN-UHFFFAOYSA-N -1 1 322.284 1.760 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](NC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000737568826 603169960 /nfs/dbraw/zinc/16/99/60/603169960.db2.gz YBPITRWORJTMKI-DZGCQCFKSA-N -1 1 319.405 1.766 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@@H](Cc1ccccc1)N(C)C)NC(=O)[O-] ZINC000738776123 603403621 /nfs/dbraw/zinc/40/36/21/603403621.db2.gz UHVBMXHNUMRCKC-LSDHHAIUSA-N -1 1 321.421 1.568 20 0 DDADMM COc1ccc(OC)c([C@H](O)CN(C)[C@H]2CCN(C(=O)[O-])C2)c1 ZINC000829493863 603484582 /nfs/dbraw/zinc/48/45/82/603484582.db2.gz FDFUYBATXUTLSR-SMDDNHRTSA-N -1 1 324.377 1.421 20 0 DDADMM Cc1ccc2nc(CN(C)[C@@H]3CCN(C(=O)[O-])C3)cc(=O)n2c1 ZINC000830453672 603538936 /nfs/dbraw/zinc/53/89/36/603538936.db2.gz NBBRNXSDLIZPLD-CYBMUJFWSA-N -1 1 316.361 1.187 20 0 DDADMM C[C@H](NC(=O)CC[C@H](NC(=O)[O-])c1ccccc1)c1nnc[nH]1 ZINC000825114002 603939202 /nfs/dbraw/zinc/93/92/02/603939202.db2.gz BFMWHUBRXRYMSQ-JQWIXIFHSA-N -1 1 317.349 1.771 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NC[C@H](NC(=O)[O-])C(C)C ZINC000829833427 603941094 /nfs/dbraw/zinc/94/10/94/603941094.db2.gz JYRVCQWPVPWUHT-ZETCQYMHSA-N -1 1 322.287 1.759 20 0 DDADMM C[C@H](NC(=O)[O-])C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000825176967 603968867 /nfs/dbraw/zinc/96/88/67/603968867.db2.gz DLOMCAFXZBHJIB-CABZTGNLSA-N -1 1 313.785 1.715 20 0 DDADMM COC(=O)/C(C)=C\CN1CCC([C@H]2CCCN2C(=O)[O-])CC1 ZINC000828599512 604091708 /nfs/dbraw/zinc/09/17/08/604091708.db2.gz AKYGEYPGJVBLNS-WTKLMOFPSA-N -1 1 310.394 1.960 20 0 DDADMM CNC(=O)c1cccc(CN2CCC[C@H]2CN(C)C(=O)[O-])c1 ZINC000828566986 604106329 /nfs/dbraw/zinc/10/63/29/604106329.db2.gz OLIMMWHCGDDVJD-AWEZNQCLSA-N -1 1 305.378 1.620 20 0 DDADMM COC(=O)CN(CC1CCN(C(=O)[O-])CC1)C1CCOCC1 ZINC000828682687 604112028 /nfs/dbraw/zinc/11/20/28/604112028.db2.gz AVPLVFUFAXWILM-UHFFFAOYSA-N -1 1 314.382 1.030 20 0 DDADMM COc1cc(NC(=O)NCc2c[nH]nn2)ccc1NC(=O)[O-] ZINC000829167550 604135433 /nfs/dbraw/zinc/13/54/33/604135433.db2.gz NGPHWODCYPQWPO-UHFFFAOYSA-N -1 1 306.282 1.225 20 0 DDADMM C[C@@H](CC(=O)N(C)Cc1nnc[nH]1)[C@H]1CCCN(C(=O)[O-])C1 ZINC000824558333 604358890 /nfs/dbraw/zinc/35/88/90/604358890.db2.gz OHKYDJZZTDHJTO-QWRGUYRKSA-N -1 1 309.370 1.179 20 0 DDADMM O=C([O-])N[C@@H]1CCN(CCc2nc3ccccc3c(=O)[nH]2)C1 ZINC000740596839 604429531 /nfs/dbraw/zinc/42/95/31/604429531.db2.gz QFVLZJNKYRANHW-SNVBAGLBSA-N -1 1 302.334 1.220 20 0 DDADMM CN(C)C[C@@H]1CCCN1S(=O)(=O)c1csc(C(=O)[O-])c1 ZINC000113251101 604489121 /nfs/dbraw/zinc/48/91/21/604489121.db2.gz KDQPMSNGSHCCDG-VIFPVBQESA-N -1 1 318.420 1.161 20 0 DDADMM CCc1[nH]c(C(=O)N2C[C@@H](C)N(C)C[C@@H]2C)c(C)c1C(=O)[O-] ZINC000833666607 604580167 /nfs/dbraw/zinc/58/01/67/604580167.db2.gz AVBZETDZYJYPTN-ZJUUUORDSA-N -1 1 307.394 1.748 20 0 DDADMM Cc1n[nH]c(CCNC(=O)C[C@H](C)[C@@H]2CCCN(C(=O)[O-])C2)n1 ZINC000830741536 604582198 /nfs/dbraw/zinc/58/21/98/604582198.db2.gz JVKXXEILIXMFSL-CMPLNLGQSA-N -1 1 323.397 1.188 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@]3(C)CCN(C(=O)[O-])C3)C2)n[nH]1 ZINC000830733987 604644288 /nfs/dbraw/zinc/64/42/88/604644288.db2.gz JUTNNOFREGNAJR-IAQYHMDHSA-N -1 1 321.381 1.209 20 0 DDADMM CC(=O)c1ccc(S(=O)(=O)Nc2cncc(C(=O)[O-])c2)cc1 ZINC000316452712 604889598 /nfs/dbraw/zinc/88/95/98/604889598.db2.gz SYLJMAOYBYYVQX-UHFFFAOYSA-N -1 1 320.326 1.783 20 0 DDADMM C[C@H](NCCNC(=O)[O-])c1ccc(-n2cncn2)cc1Cl ZINC000825495494 604911360 /nfs/dbraw/zinc/91/13/60/604911360.db2.gz GCAVYZTWSUKGIK-VIFPVBQESA-N -1 1 309.757 1.839 20 0 DDADMM CC(C)C[C@@H](NC(=O)CN1CCC2(CCOC2)CC1)C(=O)[O-] ZINC000833478286 604931055 /nfs/dbraw/zinc/93/10/55/604931055.db2.gz OYIGMDDKKJQKIL-CYBMUJFWSA-N -1 1 312.410 1.104 20 0 DDADMM COC(=O)[C@H](c1cccc(C(=O)[O-])c1)N1CCN(C)[C@@H](C)C1 ZINC000833701574 604932400 /nfs/dbraw/zinc/93/24/00/604932400.db2.gz KVMZFEZIDDCJEX-FZMZJTMJSA-N -1 1 306.362 1.235 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)c2ccc(C(=O)[O-])cn2)n[nH]1 ZINC000833512163 605023285 /nfs/dbraw/zinc/02/32/85/605023285.db2.gz JWISVINDWVDXKY-MRVPVSSYSA-N -1 1 303.322 1.512 20 0 DDADMM C[C@H]1C[C@@H](NS(=O)(=O)c2ccccc2C(=O)[O-])CCN1C ZINC000833599675 605040402 /nfs/dbraw/zinc/04/04/02/605040402.db2.gz ZITKPXCRCOKPSU-QWRGUYRKSA-N -1 1 312.391 1.146 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)C1 ZINC000833611693 605045790 /nfs/dbraw/zinc/04/57/90/605045790.db2.gz ZKANJRFBOPSZNH-MGPQQGTHSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N(C)C[C@H](O)C1CC1 ZINC000833405939 605046295 /nfs/dbraw/zinc/04/62/95/605046295.db2.gz ZHSBRRPBPKCROS-NHYWBVRUSA-N -1 1 320.389 1.092 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN[C@@H](C)c1cccc(OC)c1)C(=O)[O-] ZINC000833638907 605067326 /nfs/dbraw/zinc/06/73/26/605067326.db2.gz LICBPLYJOHFTKR-MKBNYLNASA-N -1 1 322.405 1.961 20 0 DDADMM COc1ccccc1N1CC[C@@H](N[C@@H](C(=O)[O-])C2CC2)C1=O ZINC000833736376 605069525 /nfs/dbraw/zinc/06/95/25/605069525.db2.gz HSSCLYNUWSVZCJ-BXUZGUMPSA-N -1 1 304.346 1.253 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2c(c1)CCO2 ZINC000833623795 605109865 /nfs/dbraw/zinc/10/98/65/605109865.db2.gz GIRHDKDNRSJXJT-GFCCVEGCSA-N -1 1 318.373 1.243 20 0 DDADMM CCN1C[C@H](C)N(C(=O)NC2CCC(C(=O)[O-])CC2)C[C@H]1C ZINC000820347935 605141202 /nfs/dbraw/zinc/14/12/02/605141202.db2.gz APAKKBHWEDGCLO-KBHBFKLGSA-N -1 1 311.426 1.754 20 0 DDADMM CC(C)(NCC(=O)N1CCC(C(=O)[O-])CC1)c1cccc(F)c1 ZINC000833461145 605142493 /nfs/dbraw/zinc/14/24/93/605142493.db2.gz PBVNSNOHZAUXAH-UHFFFAOYSA-N -1 1 322.380 1.974 20 0 DDADMM COc1cc2c(c(OC)c1OC)CCN(CCNC(=O)[O-])CC2 ZINC000829224337 605187354 /nfs/dbraw/zinc/18/73/54/605187354.db2.gz HYAJAKXNMCBSPV-UHFFFAOYSA-N -1 1 324.377 1.381 20 0 DDADMM COC(=O)c1cc(CN2CCCOC[C@@H]2C)cc(C(=O)[O-])c1 ZINC000833712977 605208300 /nfs/dbraw/zinc/20/83/00/605208300.db2.gz POEYEDBMEKRRBJ-NSHDSACASA-N -1 1 307.346 1.782 20 0 DDADMM CC(C)S(=O)(=O)CCN(CCc1ccccc1)CC(=O)[O-] ZINC000833507739 605247057 /nfs/dbraw/zinc/24/70/57/605247057.db2.gz FQIWRNQDAZEKSP-UHFFFAOYSA-N -1 1 313.419 1.439 20 0 DDADMM CCc1cnccc1[C@H](C)NCC(=O)N[C@H](C(=O)[O-])[C@@H](C)CC ZINC000833674252 605270747 /nfs/dbraw/zinc/27/07/47/605270747.db2.gz PUMUDGWSOGZXIV-MKBNYLNASA-N -1 1 321.421 1.910 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC[C@H](NC(=O)[O-])C2)n[nH]1 ZINC000830031721 605301776 /nfs/dbraw/zinc/30/17/76/605301776.db2.gz XZZCYJOOEUXIGS-KOLCDFICSA-N -1 1 309.370 1.091 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H](F)Cc1ccccc1 ZINC000833618486 605302415 /nfs/dbraw/zinc/30/24/15/605302415.db2.gz BLEKCVWCPJQTMH-DZGCQCFKSA-N -1 1 322.380 1.575 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000825838917 605354823 /nfs/dbraw/zinc/35/48/23/605354823.db2.gz TXQSGIXOQZPNJP-WPRPVWTQSA-N -1 1 303.322 1.219 20 0 DDADMM O=C([O-])N1CCN(CCNCc2nc(Cl)cs2)CC1 ZINC000834113166 605486641 /nfs/dbraw/zinc/48/66/41/605486641.db2.gz QNJCQXOASMYMJI-UHFFFAOYSA-N -1 1 304.803 1.182 20 0 DDADMM CC(C)[C@@H](C(=O)Nc1cccc(OCCNC(=O)[O-])c1)N(C)C ZINC000738761666 605560629 /nfs/dbraw/zinc/56/06/29/605560629.db2.gz BUAZCOHCFSVJOM-AWEZNQCLSA-N -1 1 323.393 1.858 20 0 DDADMM O=C([O-])NC[C@H]1CCN(Cc2cnc(N3CCCC3)nc2)C1 ZINC000834225107 605576128 /nfs/dbraw/zinc/57/61/28/605576128.db2.gz GATRJKFELIEWCF-GFCCVEGCSA-N -1 1 305.382 1.166 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CN(C[C@@H]2CCOc3ccccc32)CCO1 ZINC000833816417 605674996 /nfs/dbraw/zinc/67/49/96/605674996.db2.gz CKBUXJMZVOKREP-XEZPLFJOSA-N -1 1 320.389 1.910 20 0 DDADMM O=C([O-])N[C@@H]1C[C@H](NCC(=O)NCC2CC2)c2ccccc21 ZINC000834161163 605811145 /nfs/dbraw/zinc/81/11/45/605811145.db2.gz YMPXUCGVGSTVQK-UONOGXRCSA-N -1 1 303.362 1.556 20 0 DDADMM O=C([O-])N[C@H]1C[C@H](NCC(=O)NCC2CC2)c2ccccc21 ZINC000834161159 605811350 /nfs/dbraw/zinc/81/13/50/605811350.db2.gz YMPXUCGVGSTVQK-KBPBESRZSA-N -1 1 303.362 1.556 20 0 DDADMM O=C([O-])N[C@@H]1C[C@@H](NCC(=O)NCC2CC2)c2ccccc21 ZINC000834161165 605811467 /nfs/dbraw/zinc/81/14/67/605811467.db2.gz YMPXUCGVGSTVQK-ZIAGYGMSSA-N -1 1 303.362 1.556 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CCCC[C@H]1CNC(=O)[O-])C2 ZINC000833915218 605853800 /nfs/dbraw/zinc/85/38/00/605853800.db2.gz DTDMITIFICMGFT-NEPJUHHUSA-N -1 1 320.393 1.472 20 0 DDADMM O=C([O-])N[C@@H](C(=O)NCCN1CCC1)c1cccc(Cl)c1 ZINC000834121421 605932352 /nfs/dbraw/zinc/93/23/52/605932352.db2.gz LGQHOZPXXAXLIU-GFCCVEGCSA-N -1 1 311.769 1.471 20 0 DDADMM Cc1nc(NC(=O)[O-])sc1C(=O)N(C)[C@H]1CCCN(C)C1 ZINC000833913661 605946387 /nfs/dbraw/zinc/94/63/87/605946387.db2.gz IGDVZXBGHNUSCD-VIFPVBQESA-N -1 1 312.395 1.708 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820697889 606156806 /nfs/dbraw/zinc/15/68/06/606156806.db2.gz NPEQYQFELQITSF-RKDXNWHRSA-N -1 1 304.358 1.300 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820697889 606156808 /nfs/dbraw/zinc/15/68/08/606156808.db2.gz NPEQYQFELQITSF-RKDXNWHRSA-N -1 1 304.358 1.300 20 0 DDADMM O=C(CC[C@H]1CCCCO1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822950642 606293373 /nfs/dbraw/zinc/29/33/73/606293373.db2.gz WBVYWMUZEBCENV-LLVKDONJSA-N -1 1 317.349 1.860 20 0 DDADMM CN1C(=O)C2(CCCC2)N[C@H]1c1ccc(-c2nn[n-]n2)s1 ZINC000821619108 606394286 /nfs/dbraw/zinc/39/42/86/606394286.db2.gz JYTORQLPJOQUKC-LLVKDONJSA-N -1 1 304.379 1.301 20 0 DDADMM NC(=O)c1ccc(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)o1 ZINC000822885049 606422938 /nfs/dbraw/zinc/42/29/38/606422938.db2.gz GQGNUBNASCXFHR-UHFFFAOYSA-N -1 1 319.712 1.219 20 0 DDADMM NC(=O)c1ccc(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)o1 ZINC000822885049 606422940 /nfs/dbraw/zinc/42/29/40/606422940.db2.gz GQGNUBNASCXFHR-UHFFFAOYSA-N -1 1 319.712 1.219 20 0 DDADMM CCOc1cccc(NC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000821431071 606580940 /nfs/dbraw/zinc/58/09/40/606580940.db2.gz KYQYIORBQVORIY-UHFFFAOYSA-N -1 1 316.346 1.974 20 0 DDADMM CCOc1cccc(NC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000821431071 606580941 /nfs/dbraw/zinc/58/09/41/606580941.db2.gz KYQYIORBQVORIY-UHFFFAOYSA-N -1 1 316.346 1.974 20 0 DDADMM CC(C)CC[C@H](C)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820073907 606596617 /nfs/dbraw/zinc/59/66/17/606596617.db2.gz WSQBRXWOKMUXLJ-VIFPVBQESA-N -1 1 306.374 1.546 20 0 DDADMM CC(C)CC[C@H](C)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820073907 606596618 /nfs/dbraw/zinc/59/66/18/606596618.db2.gz WSQBRXWOKMUXLJ-VIFPVBQESA-N -1 1 306.374 1.546 20 0 DDADMM CCCCSCC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000821077771 606647040 /nfs/dbraw/zinc/64/70/40/606647040.db2.gz SFLIVXRQONKRJK-UHFFFAOYSA-N -1 1 307.379 1.838 20 0 DDADMM CCCCSCC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000821077771 606647041 /nfs/dbraw/zinc/64/70/41/606647041.db2.gz SFLIVXRQONKRJK-UHFFFAOYSA-N -1 1 307.379 1.838 20 0 DDADMM CCC(=O)N1CC[C@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000820838643 606791696 /nfs/dbraw/zinc/79/16/96/606791696.db2.gz YRXUWOGNOLLIKH-QMMMGPOBSA-N -1 1 321.772 1.338 20 0 DDADMM CCC(=O)N1CC[C@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000820838643 606791698 /nfs/dbraw/zinc/79/16/98/606791698.db2.gz YRXUWOGNOLLIKH-QMMMGPOBSA-N -1 1 321.772 1.338 20 0 DDADMM c1cc([C@@H]2CN(c3nccnc3-c3nnn[n-]3)CCO2)cs1 ZINC000823809966 606949828 /nfs/dbraw/zinc/94/98/28/606949828.db2.gz TZLBPADOTAXBAU-JTQLQIEISA-N -1 1 315.362 1.296 20 0 DDADMM c1cc([C@@H]2CN(c3nccnc3-c3nn[n-]n3)CCO2)cs1 ZINC000823809966 606949829 /nfs/dbraw/zinc/94/98/29/606949829.db2.gz TZLBPADOTAXBAU-JTQLQIEISA-N -1 1 315.362 1.296 20 0 DDADMM C[C@@H](O)C[C@@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000824699714 607779419 /nfs/dbraw/zinc/77/94/19/607779419.db2.gz UTMGKVFDZPYZSB-BDAKNGLRSA-N -1 1 307.379 1.304 20 0 DDADMM C[C@@H](O)C[C@@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000824699714 607779420 /nfs/dbraw/zinc/77/94/20/607779420.db2.gz UTMGKVFDZPYZSB-BDAKNGLRSA-N -1 1 307.379 1.304 20 0 DDADMM c1cn(-c2ccc(CNc3ccc(-c4nn[n-]n4)nn3)cc2)cn1 ZINC000826524730 607990776 /nfs/dbraw/zinc/99/07/76/607990776.db2.gz HRLCORNLHWHYKZ-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM O=C(CCc1cccnc1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826409317 607993383 /nfs/dbraw/zinc/99/33/83/607993383.db2.gz RIBFJLCMHJLIDH-UHFFFAOYSA-N -1 1 310.317 1.333 20 0 DDADMM O=C(CCc1cccnc1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826409317 607993384 /nfs/dbraw/zinc/99/33/84/607993384.db2.gz RIBFJLCMHJLIDH-UHFFFAOYSA-N -1 1 310.317 1.333 20 0 DDADMM CC[C@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)C[C@@H]1O ZINC000825221110 608018261 /nfs/dbraw/zinc/01/82/61/608018261.db2.gz YATSZGIPAPVIFN-WDSKDSINSA-N -1 1 300.775 1.184 20 0 DDADMM CC[C@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)C[C@@H]1O ZINC000825221110 608018260 /nfs/dbraw/zinc/01/82/60/608018260.db2.gz YATSZGIPAPVIFN-WDSKDSINSA-N -1 1 300.775 1.184 20 0 DDADMM CCOC(=O)C[C@H](C)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825498928 608027149 /nfs/dbraw/zinc/02/71/49/608027149.db2.gz DYEHTACDRJULDR-QMMMGPOBSA-N -1 1 323.378 1.247 20 0 DDADMM CCOC(=O)C[C@H](C)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825498928 608027150 /nfs/dbraw/zinc/02/71/50/608027150.db2.gz DYEHTACDRJULDR-QMMMGPOBSA-N -1 1 323.378 1.247 20 0 DDADMM C[C@H]1OCC[C@@H]1C(=O)Nc1nn(C(C)(C)C)cc1-c1nnn[n-]1 ZINC000825020527 608159897 /nfs/dbraw/zinc/15/98/97/608159897.db2.gz KTTIEAQGHIEBNV-BDAKNGLRSA-N -1 1 319.369 1.182 20 0 DDADMM C[C@H]1OCC[C@@H]1C(=O)Nc1nn(C(C)(C)C)cc1-c1nn[n-]n1 ZINC000825020527 608159899 /nfs/dbraw/zinc/15/98/99/608159899.db2.gz KTTIEAQGHIEBNV-BDAKNGLRSA-N -1 1 319.369 1.182 20 0 DDADMM c1cc(NC[C@@H]2COC3(CCCC3)O2)nc(-c2nnn[n-]2)c1 ZINC000826516878 608295401 /nfs/dbraw/zinc/29/54/01/608295401.db2.gz FZJNRKPJOBWMFP-SNVBAGLBSA-N -1 1 302.338 1.359 20 0 DDADMM c1cc(NC[C@@H]2COC3(CCCC3)O2)nc(-c2nn[n-]n2)c1 ZINC000826516878 608295403 /nfs/dbraw/zinc/29/54/03/608295403.db2.gz FZJNRKPJOBWMFP-SNVBAGLBSA-N -1 1 302.338 1.359 20 0 DDADMM CC(C)(C)c1nc(Sc2ccc(-c3nnn[n-]3)nn2)n[nH]1 ZINC000824142501 608392944 /nfs/dbraw/zinc/39/29/44/608392944.db2.gz VKVYSFWLMZAVLG-UHFFFAOYSA-N -1 1 303.355 1.224 20 0 DDADMM CC(C)(C)c1nc(Sc2ccc(-c3nn[n-]n3)nn2)n[nH]1 ZINC000824142501 608392945 /nfs/dbraw/zinc/39/29/45/608392945.db2.gz VKVYSFWLMZAVLG-UHFFFAOYSA-N -1 1 303.355 1.224 20 0 DDADMM CCO[C@@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C12CCCC2 ZINC000825582606 608426798 /nfs/dbraw/zinc/42/67/98/608426798.db2.gz LWMTVSZXXLJPPE-VXGBXAGGSA-N -1 1 315.381 1.806 20 0 DDADMM CCO[C@@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C12CCCC2 ZINC000825582606 608426800 /nfs/dbraw/zinc/42/68/00/608426800.db2.gz LWMTVSZXXLJPPE-VXGBXAGGSA-N -1 1 315.381 1.806 20 0 DDADMM Fc1ccccc1-n1cc(Nc2ccc(-c3nnn[n-]3)nn2)cn1 ZINC000826377852 608432318 /nfs/dbraw/zinc/43/23/18/608432318.db2.gz JGLPSTNKNJTJAL-UHFFFAOYSA-N -1 1 323.295 1.725 20 0 DDADMM Fc1ccccc1-n1cc(Nc2ccc(-c3nn[n-]n3)nn2)cn1 ZINC000826377852 608432319 /nfs/dbraw/zinc/43/23/19/608432319.db2.gz JGLPSTNKNJTJAL-UHFFFAOYSA-N -1 1 323.295 1.725 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@@H]1CC[C@@H]2SCCS[C@@H]12 ZINC000826514291 608439679 /nfs/dbraw/zinc/43/96/79/608439679.db2.gz NAQGRYQDDYBQKU-HDBBIHSSSA-N -1 1 321.435 1.448 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@@H]1CC[C@@H]2SCCS[C@@H]12 ZINC000826514291 608439681 /nfs/dbraw/zinc/43/96/81/608439681.db2.gz NAQGRYQDDYBQKU-HDBBIHSSSA-N -1 1 321.435 1.448 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NCCCOC1CCOCC1 ZINC000826280966 608546232 /nfs/dbraw/zinc/54/62/32/608546232.db2.gz XWVFOZUVCYFXML-UHFFFAOYSA-N -1 1 318.381 1.568 20 0 DDADMM CC1(NC(=O)c2ccc(-c3nnn[n-]3)nc2)Cc2ccccc2C1 ZINC000824833315 608638185 /nfs/dbraw/zinc/63/81/85/608638185.db2.gz ZATOYPAWHLHJAW-UHFFFAOYSA-N -1 1 320.356 1.549 20 0 DDADMM CC1(NC(=O)c2ccc(-c3nn[n-]n3)nc2)Cc2ccccc2C1 ZINC000824833315 608638188 /nfs/dbraw/zinc/63/81/88/608638188.db2.gz ZATOYPAWHLHJAW-UHFFFAOYSA-N -1 1 320.356 1.549 20 0 DDADMM Cc1ccccc1Cc1nc(Cn2ccnc2-c2nnn[n-]2)no1 ZINC000826307410 608888250 /nfs/dbraw/zinc/88/82/50/608888250.db2.gz HGPKLDAFFHNZAK-UHFFFAOYSA-N -1 1 322.332 1.394 20 0 DDADMM Cc1ccccc1Cc1nc(Cn2ccnc2-c2nn[n-]n2)no1 ZINC000826307410 608888254 /nfs/dbraw/zinc/88/82/54/608888254.db2.gz HGPKLDAFFHNZAK-UHFFFAOYSA-N -1 1 322.332 1.394 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)c1ccc(F)c(Cl)c1 ZINC000826424785 608890777 /nfs/dbraw/zinc/89/07/77/608890777.db2.gz CSFGJEFCVDSNFQ-UHFFFAOYSA-N -1 1 306.688 1.739 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)c1ccc(F)c(Cl)c1 ZINC000826424785 608890779 /nfs/dbraw/zinc/89/07/79/608890779.db2.gz CSFGJEFCVDSNFQ-UHFFFAOYSA-N -1 1 306.688 1.739 20 0 DDADMM CCCOc1ccc(OCCn2ccnc2-c2nnn[n-]2)cc1 ZINC000825341949 608891717 /nfs/dbraw/zinc/89/17/17/608891717.db2.gz NCXUCEWXHUJZSY-UHFFFAOYSA-N -1 1 314.349 1.931 20 0 DDADMM CCCOc1ccc(OCCn2ccnc2-c2nn[n-]n2)cc1 ZINC000825341949 608891718 /nfs/dbraw/zinc/89/17/18/608891718.db2.gz NCXUCEWXHUJZSY-UHFFFAOYSA-N -1 1 314.349 1.931 20 0 DDADMM CCCCCC[C@@H](C)NC(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000825271072 608891720 /nfs/dbraw/zinc/89/17/20/608891720.db2.gz GIMZZWIXPXGVJX-LLVKDONJSA-N -1 1 305.386 1.538 20 0 DDADMM CCCCCC[C@@H](C)NC(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000825271072 608891721 /nfs/dbraw/zinc/89/17/21/608891721.db2.gz GIMZZWIXPXGVJX-LLVKDONJSA-N -1 1 305.386 1.538 20 0 DDADMM CC(C)C[C@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)CCO1 ZINC000824243428 609170361 /nfs/dbraw/zinc/17/03/61/609170361.db2.gz FZXKLTWNDGAJOK-QWRGUYRKSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)C[C@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)CCO1 ZINC000824243428 609170363 /nfs/dbraw/zinc/17/03/63/609170363.db2.gz FZXKLTWNDGAJOK-QWRGUYRKSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)C[C@H]1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)CCO1 ZINC000824243631 609171281 /nfs/dbraw/zinc/17/12/81/609171281.db2.gz KTQXYMFVCAUVBY-MNOVXSKESA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)C[C@H]1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)CCO1 ZINC000824243631 609171283 /nfs/dbraw/zinc/17/12/83/609171283.db2.gz KTQXYMFVCAUVBY-MNOVXSKESA-N -1 1 303.370 1.662 20 0 DDADMM CN(C[C@@H]1CCCC[C@@H]1O)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825909225 609403092 /nfs/dbraw/zinc/40/30/92/609403092.db2.gz UMZXHZAOWFMKRP-UWVGGRQHSA-N -1 1 321.406 1.551 20 0 DDADMM CN(C[C@@H]1CCCC[C@@H]1O)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825909225 609403095 /nfs/dbraw/zinc/40/30/95/609403095.db2.gz UMZXHZAOWFMKRP-UWVGGRQHSA-N -1 1 321.406 1.551 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@H](C)[C@@H](C)C2)c1-c1nnn[n-]1 ZINC000826343992 609468994 /nfs/dbraw/zinc/46/89/94/609468994.db2.gz UFQUDRYVGXBXPN-IUCAKERBSA-N -1 1 304.354 1.210 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@H](C)[C@@H](C)C2)c1-c1nn[n-]n1 ZINC000826343992 609468995 /nfs/dbraw/zinc/46/89/95/609468995.db2.gz UFQUDRYVGXBXPN-IUCAKERBSA-N -1 1 304.354 1.210 20 0 DDADMM C[C@@H]1CC[C@H](C(F)(F)F)CN1c1ccc(-c2nnn[n-]2)nn1 ZINC000824906677 609576229 /nfs/dbraw/zinc/57/62/29/609576229.db2.gz CRHARULRJYDMBL-SFYZADRCSA-N -1 1 313.287 1.824 20 0 DDADMM C[C@@H]1CC[C@H](C(F)(F)F)CN1c1ccc(-c2nn[n-]n2)nn1 ZINC000824906677 609576231 /nfs/dbraw/zinc/57/62/31/609576231.db2.gz CRHARULRJYDMBL-SFYZADRCSA-N -1 1 313.287 1.824 20 0 DDADMM Cc1nnc(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)s1 ZINC000826335204 609577761 /nfs/dbraw/zinc/57/77/61/609577761.db2.gz CRZFQRQFPIHRMO-UHFFFAOYSA-N -1 1 308.758 1.687 20 0 DDADMM Cc1nnc(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)s1 ZINC000826335204 609577764 /nfs/dbraw/zinc/57/77/64/609577764.db2.gz CRZFQRQFPIHRMO-UHFFFAOYSA-N -1 1 308.758 1.687 20 0 DDADMM C[C@H]1C[C@H](C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C[C@@H](C)C1 ZINC000824875513 609590691 /nfs/dbraw/zinc/59/06/91/609590691.db2.gz MOJZUTNZHHEXGO-MYJAWHEDSA-N -1 1 303.370 1.611 20 0 DDADMM C[C@H]1C[C@H](C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C[C@@H](C)C1 ZINC000824875513 609590692 /nfs/dbraw/zinc/59/06/92/609590692.db2.gz MOJZUTNZHHEXGO-MYJAWHEDSA-N -1 1 303.370 1.611 20 0 DDADMM COc1ncc(C(=O)OCCc2cc(C(C)=O)ccc2[O-])cn1 ZINC000745038729 699969281 /nfs/dbraw/zinc/96/92/81/699969281.db2.gz GOMOHECCPXOROW-UHFFFAOYSA-N -1 1 316.313 1.793 20 0 DDADMM C[C@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C(F)(F)F ZINC000745616558 699987064 /nfs/dbraw/zinc/98/70/64/699987064.db2.gz LLFFZOOGCCEIDH-MRVPVSSYSA-N -1 1 303.236 1.685 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973197284 695443836 /nfs/dbraw/zinc/44/38/36/695443836.db2.gz AZSQWLMFFJCQSV-CYDGBPFRSA-N -1 1 317.389 1.456 20 0 DDADMM CC1(OCC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC000746292854 700016640 /nfs/dbraw/zinc/01/66/40/700016640.db2.gz FGGJQZIJZZKLCV-CYBMUJFWSA-N -1 1 301.350 1.365 20 0 DDADMM CC1(C)CN(Cc2ccon2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000974481146 695678128 /nfs/dbraw/zinc/67/81/28/695678128.db2.gz ATCRHHXYIZEPLP-CYBMUJFWSA-N -1 1 316.361 1.416 20 0 DDADMM CSc1ccccc1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798146033 700044179 /nfs/dbraw/zinc/04/41/79/700044179.db2.gz VERDGSMXDMEQPZ-UHFFFAOYSA-N -1 1 319.390 1.045 20 0 DDADMM CC(C)[C@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396584 695970733 /nfs/dbraw/zinc/97/07/33/695970733.db2.gz FGTDRGPLJJMWKF-CIQGVGRVSA-N -1 1 317.389 1.266 20 0 DDADMM O=C(Cn1cnc2ccccc21)N[N-]C(=O)c1cccs1 ZINC000007138046 696022471 /nfs/dbraw/zinc/02/24/71/696022471.db2.gz ODVSILBTBSEJRT-UHFFFAOYSA-N -1 1 300.343 1.559 20 0 DDADMM Cc1cc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)cc(C)c1F ZINC000798270458 700053976 /nfs/dbraw/zinc/05/39/76/700053976.db2.gz QRDAIHAYLLDLCQ-UHFFFAOYSA-N -1 1 319.340 1.079 20 0 DDADMM CCn1ccnc1SCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000011889916 696048116 /nfs/dbraw/zinc/04/81/16/696048116.db2.gz SJCOHRACVFBENH-UHFFFAOYSA-N -1 1 319.386 1.960 20 0 DDADMM COc1cc[nH]c(=N[N-]S(=O)(=O)c2ccc(F)cc2F)n1 ZINC000031721306 696122530 /nfs/dbraw/zinc/12/25/30/696122530.db2.gz QTJORLZITVWLRG-UHFFFAOYSA-N -1 1 316.289 1.069 20 0 DDADMM Cc1c2cccc(F)c2oc1C(=O)[N-]NC(=O)[C@H]1CCCO1 ZINC000032259222 696123443 /nfs/dbraw/zinc/12/34/43/696123443.db2.gz MKSGUMDVPVYQOK-LLVKDONJSA-N -1 1 306.293 1.820 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCc2ccccc2Cl)C1 ZINC000035296710 696128593 /nfs/dbraw/zinc/12/85/93/696128593.db2.gz CAKWMQFQPUWVIZ-LBPRGKRZSA-N -1 1 310.781 1.753 20 0 DDADMM C/C=C/C=C/C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000039587035 696137885 /nfs/dbraw/zinc/13/78/85/696137885.db2.gz LJANYSDPJNJPSO-VNKDHWASSA-N -1 1 303.314 1.627 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ccccc2C#N)o1 ZINC000042263924 696147213 /nfs/dbraw/zinc/14/72/13/696147213.db2.gz YUTWMZJVHFOLJG-UHFFFAOYSA-N -1 1 320.326 1.416 20 0 DDADMM O=C(NCCN1C(=O)CSC1=O)c1cc(Cl)ccc1[O-] ZINC000047693045 696200817 /nfs/dbraw/zinc/20/08/17/696200817.db2.gz VYYYHSCTKJYXTB-UHFFFAOYSA-N -1 1 314.750 1.471 20 0 DDADMM O=C(COC(=O)CC[C@@H]1CCCO1)[N-]C(=O)c1ccccc1 ZINC000047802041 696204127 /nfs/dbraw/zinc/20/41/27/696204127.db2.gz OCXORAZSNRIHMV-ZDUSSCGKSA-N -1 1 305.330 1.445 20 0 DDADMM CN(C[C@H]1CCN1C(=O)/C=C/C1CC1)C(=O)c1ncccc1[O-] ZINC000977600128 696230047 /nfs/dbraw/zinc/23/00/47/696230047.db2.gz APUDSJMDWXRTOK-KTRBRXNASA-N -1 1 315.373 1.426 20 0 DDADMM Cc1cnn(CCC(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1 ZINC000052392326 696256570 /nfs/dbraw/zinc/25/65/70/696256570.db2.gz QLYPWQBESQPTPV-UHFFFAOYSA-N -1 1 315.329 1.081 20 0 DDADMM COC(=O)N1CCN(Cc2cc(=O)oc3cc([O-])ccc23)CC1 ZINC000053372673 696265959 /nfs/dbraw/zinc/26/59/59/696265959.db2.gz KWQYFZDHDLDCMZ-UHFFFAOYSA-N -1 1 318.329 1.383 20 0 DDADMM CCn1c(CNC(=O)c2cnn3ccc(C)nc23)n[n-]c1=S ZINC000066636294 696354354 /nfs/dbraw/zinc/35/43/54/696354354.db2.gz YYPYDNYNAFYESI-UHFFFAOYSA-N -1 1 317.378 1.242 20 0 DDADMM Cn1c(CCNC(=O)c2ccc3cc[nH]c3c2)n[n-]c1=S ZINC000067051410 696358614 /nfs/dbraw/zinc/35/86/14/696358614.db2.gz RQQBXQMLTUJBFJ-UHFFFAOYSA-N -1 1 301.375 1.931 20 0 DDADMM CCn1nc(C)c(CNC(=O)NN=c2nc(OC)cc[n-]2)c1C ZINC000067467803 696361665 /nfs/dbraw/zinc/36/16/65/696361665.db2.gz BSDFVCYBXAKHAW-UHFFFAOYSA-N -1 1 319.369 1.145 20 0 DDADMM CCCc1cc(C(=O)[N-]NC(=O)c2ccc(CC)cc2)n[nH]1 ZINC000078868715 696455953 /nfs/dbraw/zinc/45/59/53/696455953.db2.gz WTIZQXQNUVVCFH-UHFFFAOYSA-N -1 1 300.362 1.999 20 0 DDADMM Cc1cccc([C@@H](C)CNC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080439643 696534485 /nfs/dbraw/zinc/53/44/85/696534485.db2.gz CEIZIBXPMBPLCP-CMPLNLGQSA-N -1 1 305.403 1.562 20 0 DDADMM CCC(CC)c1cc(CNC(=O)Cc2sc(N)nc2[O-])on1 ZINC000080495295 696535011 /nfs/dbraw/zinc/53/50/11/696535011.db2.gz AGBZUYGUIIWYTK-LLVKDONJSA-N -1 1 324.406 1.541 20 0 DDADMM Cc1cc([O-])c(C(=O)C=Cc2ccc(-n3cncn3)cc2)c(=O)o1 ZINC000123827114 696735499 /nfs/dbraw/zinc/73/54/99/696735499.db2.gz RZRGITSOQGXABD-RRGWEWPQSA-N -1 1 323.308 1.496 20 0 DDADMM C[C@@H](Sc1nc2ccccc2s1)C(=O)Nc1nnn[n-]1 ZINC000130616844 696799783 /nfs/dbraw/zinc/79/97/83/696799783.db2.gz NCNYWFUOBQCISL-ZCFIWIBFSA-N -1 1 306.376 1.929 20 0 DDADMM C[C@@H](Sc1nc2ccccc2s1)C(=O)Nc1nn[n-]n1 ZINC000130616844 696799784 /nfs/dbraw/zinc/79/97/84/696799784.db2.gz NCNYWFUOBQCISL-ZCFIWIBFSA-N -1 1 306.376 1.929 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H]2CCCc3sccc32)s1 ZINC000137363436 696858165 /nfs/dbraw/zinc/85/81/65/696858165.db2.gz KEMLEUYFKYGWFR-PSASIEDQSA-N -1 1 309.416 1.589 20 0 DDADMM O=C([N-]NC(=O)c1ccoc1)c1ccncc1Br ZINC000151112856 696880904 /nfs/dbraw/zinc/88/09/04/696880904.db2.gz QKBHNDVAZWEMTF-UHFFFAOYSA-N -1 1 310.107 1.512 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=O)[C@@H](C)COc2ccccc2)n1 ZINC000151520369 696886725 /nfs/dbraw/zinc/88/67/25/696886725.db2.gz GBOXFGGQOJFUSR-NSHDSACASA-N -1 1 316.361 1.952 20 0 DDADMM CCc1nnc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)o1 ZINC000799171412 700126107 /nfs/dbraw/zinc/12/61/07/700126107.db2.gz JABMYFRAXCJEJF-UHFFFAOYSA-N -1 1 320.315 1.523 20 0 DDADMM CC(C)(F)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982334840 697103395 /nfs/dbraw/zinc/10/33/95/697103395.db2.gz AFLNXSYUSFVQSQ-SNVBAGLBSA-N -1 1 309.341 1.114 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CC12CC2 ZINC000982673272 697167628 /nfs/dbraw/zinc/16/76/28/697167628.db2.gz ODMOIMNEJDUZTI-VXGBXAGGSA-N -1 1 315.373 1.166 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983123311 697214529 /nfs/dbraw/zinc/21/45/29/697214529.db2.gz SEMZNUQGYVXTSO-TUAOUCFPSA-N -1 1 303.362 1.022 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H]2CCCC2(C)C)o1 ZINC000799468936 700142920 /nfs/dbraw/zinc/14/29/20/700142920.db2.gz DPPUTHFGTSYXHD-SNVBAGLBSA-N -1 1 301.364 1.923 20 0 DDADMM CCCCC[C@@H]([N-]S(=O)(=O)CC1(C#N)CC1)C(=O)OC ZINC000165900936 697340490 /nfs/dbraw/zinc/34/04/90/697340490.db2.gz VHSZNVTYUYSVIU-LLVKDONJSA-N -1 1 302.396 1.331 20 0 DDADMM CN(C(=O)C1(C)CC1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984122377 697343130 /nfs/dbraw/zinc/34/31/30/697343130.db2.gz SJJATTFNJZICRB-LBPRGKRZSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCCc3ccnn3C)c[n-]c2[nH+]1 ZINC000184158502 697499611 /nfs/dbraw/zinc/49/96/11/697499611.db2.gz SXIYIWBDPRNHTK-UHFFFAOYSA-N -1 1 311.345 1.350 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCc1ccnn1C)c2=O ZINC000184158502 697499613 /nfs/dbraw/zinc/49/96/13/697499613.db2.gz SXIYIWBDPRNHTK-UHFFFAOYSA-N -1 1 311.345 1.350 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C2(C)CC=CC2)CC1 ZINC000985626029 697545432 /nfs/dbraw/zinc/54/54/32/697545432.db2.gz WFUNZOPQIPXLCP-UHFFFAOYSA-N -1 1 319.409 1.289 20 0 DDADMM N#Cc1ccc(C(=O)NCc2ccnc(-n3ccnc3)c2)cc1[O-] ZINC000188344443 697557829 /nfs/dbraw/zinc/55/78/29/697557829.db2.gz SBGOGOOINBOLRD-UHFFFAOYSA-N -1 1 319.324 1.775 20 0 DDADMM CCCCS(=O)(=O)[N-]C(=O)[C@H](C(C)C)N1CCCCC1 ZINC000188642844 697561984 /nfs/dbraw/zinc/56/19/84/697561984.db2.gz RFQGTZWFFSNXTI-ZDUSSCGKSA-N -1 1 304.456 1.743 20 0 DDADMM O=C(Cc1cccc(Cl)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773157309 697720647 /nfs/dbraw/zinc/72/06/47/697720647.db2.gz CPFXGVDXSILNPK-LLVKDONJSA-N -1 1 323.809 1.712 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000773493138 697767962 /nfs/dbraw/zinc/76/79/62/697767962.db2.gz RGLQNAHOLZAIJE-OWOJBTEDSA-N -1 1 318.308 1.729 20 0 DDADMM O=C(NCCCn1ccnc1)c1ccc2n[n-]c(=S)n2c1 ZINC000773689864 697794156 /nfs/dbraw/zinc/79/41/56/697794156.db2.gz HAIBLUKXPORTBM-UHFFFAOYSA-N -1 1 302.363 1.035 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC2(C)CCOCC2)co1 ZINC000774818797 697931597 /nfs/dbraw/zinc/93/15/97/697931597.db2.gz RKQUVSBQGYOKOK-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)c1cccc(Cl)c1[O-] ZINC000776230932 698085662 /nfs/dbraw/zinc/08/56/62/698085662.db2.gz CMLMEUTXYPWXHC-UHFFFAOYSA-N -1 1 314.754 1.500 20 0 DDADMM O=C([N-]OC1CCCCC1)[C@@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000779318720 698413042 /nfs/dbraw/zinc/41/30/42/698413042.db2.gz YBYJNIJZKWATPK-SECBINFHSA-N -1 1 308.300 1.778 20 0 DDADMM Cc1ncc(CN[C@@H]2C[C@@H](C)N(C(=O)c3n[nH]c(C)c3[O-])C2)o1 ZINC000988633720 698424117 /nfs/dbraw/zinc/42/41/17/698424117.db2.gz MJVWKWRAGJZCKA-LDYMZIIASA-N -1 1 319.365 1.113 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])C[N@@H+](CC(=O)NCc2ccc(Cl)cc2)C1 ZINC000263327426 698499961 /nfs/dbraw/zinc/49/99/61/698499961.db2.gz KUQPDYOINVFNMQ-AAEUAGOBSA-N -1 1 324.808 1.999 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CCC[C@@H]1C(=O)[O-])c1cccs1 ZINC000780341201 698508285 /nfs/dbraw/zinc/50/82/85/698508285.db2.gz JZIHNRUXMXFXHU-GHMZBOCLSA-N -1 1 311.407 1.609 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000780343019 698508733 /nfs/dbraw/zinc/50/87/33/698508733.db2.gz CTJIYVOVKFGYEY-ZDUSSCGKSA-N -1 1 323.393 1.923 20 0 DDADMM C[C@H](NC(=O)NC[C@@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000780343937 698509149 /nfs/dbraw/zinc/50/91/49/698509149.db2.gz NBDMFXKCEVKOJM-RYUDHWBXSA-N -1 1 309.366 1.579 20 0 DDADMM CN(C)[C@@H](CNC(=O)N(CC(=O)[O-])C1CC1)c1ccsc1 ZINC000780385760 698514283 /nfs/dbraw/zinc/51/42/83/698514283.db2.gz RCVUOYMPVAUFEX-LBPRGKRZSA-N -1 1 311.407 1.609 20 0 DDADMM CC(C)COC(=O)[C@H](C)NC(=O)c1cc([N+](=O)[O-])c[n-]c1=O ZINC000270997956 698526855 /nfs/dbraw/zinc/52/68/55/698526855.db2.gz XTGHCKYMVQOLCH-QMMMGPOBSA-N -1 1 311.294 1.013 20 0 DDADMM COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)c1c([O-])cccc1Cl ZINC000781451659 698621172 /nfs/dbraw/zinc/62/11/72/698621172.db2.gz OEQUIQLYYQUIOC-JQWIXIFHSA-N -1 1 313.737 1.184 20 0 DDADMM Cc1cc2cc[nH]c2cc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000781549177 698629778 /nfs/dbraw/zinc/62/97/78/698629778.db2.gz PWTXBNSBYFUNBS-UHFFFAOYSA-N -1 1 312.329 1.355 20 0 DDADMM COc1ccc(C(=O)[N-]N2CCCC2=O)nc1Br ZINC000782123402 698685574 /nfs/dbraw/zinc/68/55/74/698685574.db2.gz IQENGVUYJAJSPU-UHFFFAOYSA-N -1 1 314.139 1.120 20 0 DDADMM O=C(OCc1nnc2n1CCCC2)c1c([O-])cc(F)cc1F ZINC000783263967 698827441 /nfs/dbraw/zinc/82/74/41/698827441.db2.gz JFBWLCWJTPOAFJ-UHFFFAOYSA-N -1 1 309.272 1.955 20 0 DDADMM COc1cc(C(=O)NC2COC(C)(C)OC2)cc(Cl)c1[O-] ZINC000785419263 699075461 /nfs/dbraw/zinc/07/54/61/699075461.db2.gz PICQNAOHKZAKSA-UHFFFAOYSA-N -1 1 315.753 1.936 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@]1(O)CCC[C@@H]1C ZINC000392929231 699101508 /nfs/dbraw/zinc/10/15/08/699101508.db2.gz JJMIKVDTZSSCGE-MGPLVRAMSA-N -1 1 307.394 1.895 20 0 DDADMM COC(=O)C[C@@H]([N-]C(=O)C(F)(F)C(F)F)c1ccncc1 ZINC000786675449 699161926 /nfs/dbraw/zinc/16/19/26/699161926.db2.gz CTQDTNQRMLDLPA-MRVPVSSYSA-N -1 1 308.231 1.702 20 0 DDADMM C[C@@H]1CCCC[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969182 699195581 /nfs/dbraw/zinc/19/55/81/699195581.db2.gz BFUWIKDLSJBJNR-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)c(C)o1 ZINC000990970768 699195806 /nfs/dbraw/zinc/19/58/06/699195806.db2.gz ZBTWKDYHPUDWEH-UHFFFAOYSA-N -1 1 315.329 1.251 20 0 DDADMM CON(C)C(=O)CCN(C)Cc1nc(=O)c2sccc2[n-]1 ZINC000787730932 699235954 /nfs/dbraw/zinc/23/59/54/699235954.db2.gz PDIKBKINOQDJPL-UHFFFAOYSA-N -1 1 310.379 1.239 20 0 DDADMM CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2cc(Cl)ccc2[O-])C1 ZINC000714249005 699270199 /nfs/dbraw/zinc/27/01/99/699270199.db2.gz STYAEGOKUKNTBZ-KYZUINATSA-N -1 1 303.767 1.351 20 0 DDADMM O=S(=O)(Cc1ccccc1F)[N-][C@@H]1CCCC12OCCO2 ZINC000715965793 699279240 /nfs/dbraw/zinc/27/92/40/699279240.db2.gz KNVBVLXAWNZKTL-CYBMUJFWSA-N -1 1 315.366 1.541 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCC1)c1ccc(Cl)nc1F ZINC000717678296 699290280 /nfs/dbraw/zinc/29/02/80/699290280.db2.gz ZYAONEXANCOQDA-QMMMGPOBSA-N -1 1 308.762 1.313 20 0 DDADMM Cc1nccn1-c1ncccc1CNC(=O)c1ncccc1[O-] ZINC000725890573 699338620 /nfs/dbraw/zinc/33/86/20/699338620.db2.gz YBARWECSPFCSTI-UHFFFAOYSA-N -1 1 309.329 1.606 20 0 DDADMM C[C@H]1CC[C@H](COC(=O)c2sccc2[N-]S(C)(=O)=O)O1 ZINC000789031793 699357251 /nfs/dbraw/zinc/35/72/51/699357251.db2.gz NDMCSHDWHJJRKB-DTWKUNHWSA-N -1 1 319.404 1.844 20 0 DDADMM CCC(CC)c1nnc(NC(=O)NN2CC(=O)[N-]C2=O)s1 ZINC000789837595 699413878 /nfs/dbraw/zinc/41/38/78/699413878.db2.gz VMTASMZOHDWPAY-UHFFFAOYSA-N -1 1 312.355 1.030 20 0 DDADMM Cn1c(Cl)cnc1C[N-]S(=O)(=O)c1c(F)cccc1F ZINC000727758240 699424922 /nfs/dbraw/zinc/42/49/22/699424922.db2.gz XLGRJVZOVDOREJ-UHFFFAOYSA-N -1 1 321.736 1.830 20 0 DDADMM C[NH+](C)C1(C(=O)Nc2nc(Br)ccc2[O-])CCC1 ZINC000790044090 699437077 /nfs/dbraw/zinc/43/70/77/699437077.db2.gz YDJCANRWZHJEJD-UHFFFAOYSA-N -1 1 314.183 1.973 20 0 DDADMM C[C@H](CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000731855485 699538146 /nfs/dbraw/zinc/53/81/46/699538146.db2.gz PCAJULWAGUDHLI-MFKMUULPSA-N -1 1 319.340 1.433 20 0 DDADMM CC(C)c1ccsc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732092063 699546068 /nfs/dbraw/zinc/54/60/68/699546068.db2.gz VPKQRQHGSUNBRH-SNVBAGLBSA-N -1 1 307.379 1.598 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc(NC(=O)C2CC2)cc1 ZINC000732215379 699550599 /nfs/dbraw/zinc/55/05/99/699550599.db2.gz AYTCOQBYDYDOAI-UHFFFAOYSA-N -1 1 321.358 1.834 20 0 DDADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CCCC[C@H]1C ZINC000733145770 699580816 /nfs/dbraw/zinc/58/08/16/699580816.db2.gz JUKMVIVSXWXTPH-OLZOCXBDSA-N -1 1 307.394 1.354 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H](C)C(C)C)cc1 ZINC000733576561 699605792 /nfs/dbraw/zinc/60/57/92/699605792.db2.gz OHOTWAQQQQCPDW-LLVKDONJSA-N -1 1 307.346 1.787 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H](O)c3ccsc3)ccnc1-2 ZINC000791091036 699610928 /nfs/dbraw/zinc/61/09/28/699610928.db2.gz WMURVCCJGOGVJS-LBPRGKRZSA-N -1 1 317.374 1.258 20 0 DDADMM C[C@@H](Oc1ccccc1F)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791165079 699613589 /nfs/dbraw/zinc/61/35/89/699613589.db2.gz AORMXUIMUNCNSU-SNVBAGLBSA-N -1 1 314.320 1.887 20 0 DDADMM CCc1ccccc1OCC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791166031 699613627 /nfs/dbraw/zinc/61/36/27/699613627.db2.gz JUFXIKJSJJNRPD-UHFFFAOYSA-N -1 1 310.357 1.922 20 0 DDADMM COC(=O)c1cc([N-]C(=O)c2nonc2C)cc(C(=O)OC)c1 ZINC000733875506 699620006 /nfs/dbraw/zinc/62/00/06/699620006.db2.gz XDWDTSFMYVNFFX-UHFFFAOYSA-N -1 1 319.273 1.204 20 0 DDADMM CC(C)[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000734135866 699639122 /nfs/dbraw/zinc/63/91/22/699639122.db2.gz KPBORPPYCSDNCV-CYBMUJFWSA-N -1 1 307.394 1.211 20 0 DDADMM CCC[C@@H](NC(=O)C1=NO[C@H](c2ccccc2)C1)c1nn[n-]n1 ZINC000736426599 699725499 /nfs/dbraw/zinc/72/54/99/699725499.db2.gz QYANLMWFKFZOKY-YPMHNXCESA-N -1 1 314.349 1.675 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(-n2cccn2)nc1)c1nn[n-]n1 ZINC000736431331 699725792 /nfs/dbraw/zinc/72/57/92/699725792.db2.gz UBVQWKIJQZRIDK-LLVKDONJSA-N -1 1 312.337 1.052 20 0 DDADMM CN(C)c1cccc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000736781413 699731806 /nfs/dbraw/zinc/73/18/06/699731806.db2.gz ZRJODMPTHFDROP-UHFFFAOYSA-N -1 1 324.344 1.685 20 0 DDADMM CN(C)c1cccc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000736781413 699731809 /nfs/dbraw/zinc/73/18/09/699731809.db2.gz ZRJODMPTHFDROP-UHFFFAOYSA-N -1 1 324.344 1.685 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1cccnc1Cl)C(F)(F)F ZINC000738376191 699758063 /nfs/dbraw/zinc/75/80/63/699758063.db2.gz HMAKDUHVMZGCOO-SSDOTTSWSA-N -1 1 318.704 1.591 20 0 DDADMM CSCCO[N-]C(=O)[C@@H](C)c1ccc(S(C)(=O)=O)cc1 ZINC000794188508 699796584 /nfs/dbraw/zinc/79/65/84/699796584.db2.gz QWMBKEANQSGMKN-JTQLQIEISA-N -1 1 317.432 1.604 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]c2cc(C(C)(C)C)n[nH]2)c1Cl ZINC000743046689 699898167 /nfs/dbraw/zinc/89/81/67/699898167.db2.gz KRNQWQXBAPUITA-UHFFFAOYSA-N -1 1 317.802 1.895 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CC2(C(F)(F)F)CC2)nc1Cl ZINC000796138191 699915097 /nfs/dbraw/zinc/91/50/97/699915097.db2.gz BLZZZBDPTODETO-UHFFFAOYSA-N -1 1 317.720 1.694 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2csc(NC(C)=O)n2)[n-]1 ZINC000796365558 699929556 /nfs/dbraw/zinc/92/95/56/699929556.db2.gz LCJWPPHMRLREAY-UHFFFAOYSA-N -1 1 323.330 1.573 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nc3cccnc3[nH]2)[n-]1 ZINC000796829408 699954626 /nfs/dbraw/zinc/95/46/26/699954626.db2.gz VAUWXGSAOGMKSR-UHFFFAOYSA-N -1 1 300.274 1.430 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)O[C@H]3CCOC3=O)n2)cc1 ZINC000801364409 700300756 /nfs/dbraw/zinc/30/07/56/700300756.db2.gz SPNWXGXPSRVRAJ-LBPRGKRZSA-N -1 1 318.285 1.059 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cccc4nccnc43)ccnc1-2 ZINC000801702631 700332631 /nfs/dbraw/zinc/33/26/31/700332631.db2.gz PIYABOCCUDQIPV-UHFFFAOYSA-N -1 1 304.313 1.537 20 0 DDADMM CC[S@@](=O)CC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000754027644 700477082 /nfs/dbraw/zinc/47/70/82/700477082.db2.gz FKFKLRDYORNTKY-GOSISDBHSA-N -1 1 301.389 1.480 20 0 DDADMM CC[C@@H](C)C(=O)COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000756379035 700618468 /nfs/dbraw/zinc/61/84/68/700618468.db2.gz IAIMLZAJLHDOFD-MRVPVSSYSA-N -1 1 319.404 1.892 20 0 DDADMM Cc1c(NS(=O)(=O)c2ccc(F)c(C(=O)[O-])c2)cnn1C ZINC000756804518 700641476 /nfs/dbraw/zinc/64/14/76/700641476.db2.gz QHEVTRQJWSSUQF-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM NC(=O)C1([N-]C(=O)C(F)(F)c2c(F)cccc2F)CCC1 ZINC000757146540 700659332 /nfs/dbraw/zinc/65/93/32/700659332.db2.gz PXOOJRAEHCVLNW-UHFFFAOYSA-N -1 1 304.243 1.581 20 0 DDADMM C[C@H](C(=O)[N-]OCC(F)F)c1ccc(S(C)(=O)=O)cc1 ZINC000759332381 700746697 /nfs/dbraw/zinc/74/66/97/700746697.db2.gz ZWMQPEMXZDFAAJ-QMMMGPOBSA-N -1 1 307.318 1.507 20 0 DDADMM CSCc1ccccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000760130367 700793184 /nfs/dbraw/zinc/79/31/84/700793184.db2.gz GYJJLIZYTAMICG-UHFFFAOYSA-N -1 1 319.386 1.428 20 0 DDADMM Cc1n[nH]c(CCNC(=O)c2ccc3[n-]c(=S)oc3c2)n1 ZINC000760164784 700794469 /nfs/dbraw/zinc/79/44/69/700794469.db2.gz LWTSLSXFFYZLLN-UHFFFAOYSA-N -1 1 303.347 1.516 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1ccnn1C ZINC000762717334 700904152 /nfs/dbraw/zinc/90/41/52/700904152.db2.gz UVNRKMYJLVKYNA-UHFFFAOYSA-N -1 1 317.393 1.494 20 0 DDADMM Cc1cnc(C(=O)NCCN(C)C(=O)OC(C)(C)C)c([O-])c1 ZINC000763249392 700931463 /nfs/dbraw/zinc/93/14/63/700931463.db2.gz AHEXKNRIDLNKGT-UHFFFAOYSA-N -1 1 309.366 1.692 20 0 DDADMM COc1ccc(OCCCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765386142 701009312 /nfs/dbraw/zinc/00/93/12/701009312.db2.gz LTNKYWPCSAFJAX-UHFFFAOYSA-N -1 1 321.333 1.019 20 0 DDADMM Cn1[n-]c(COC(=O)/C=C/c2nc3ccccc3s2)nc1=O ZINC000765407161 701010052 /nfs/dbraw/zinc/01/00/52/701010052.db2.gz FCPYFDDZKMSVPZ-VOTSOKGWSA-N -1 1 316.342 1.475 20 0 DDADMM C[C@H](SCc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765447644 701013259 /nfs/dbraw/zinc/01/32/59/701013259.db2.gz NUHAMEWCSQAWKE-JTQLQIEISA-N -1 1 307.375 1.474 20 0 DDADMM Cc1ccc2c(CC(=O)OCc3nc(=O)n(C)[n-]3)c[nH]c2c1 ZINC000765461946 701013758 /nfs/dbraw/zinc/01/37/58/701013758.db2.gz DEWSLGBBDGOKRK-UHFFFAOYSA-N -1 1 300.318 1.184 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)nc1=O ZINC000765463232 701013875 /nfs/dbraw/zinc/01/38/75/701013875.db2.gz SQVQLCBKVLYRAG-WDEREUQCSA-N -1 1 307.737 1.609 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2C[C@@H]2c2cccc(F)c2F)nc1=O ZINC000765483807 701014960 /nfs/dbraw/zinc/01/49/60/701014960.db2.gz YUYBRBPSDZAUCS-BDAKNGLRSA-N -1 1 309.272 1.234 20 0 DDADMM C[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(Cl)s1 ZINC000765491969 701015707 /nfs/dbraw/zinc/01/57/07/701015707.db2.gz IFOUPHJNIJVJQX-LURJTMIESA-N -1 1 301.755 1.670 20 0 DDADMM COc1cccc([C@@H](C)CC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765494479 701016022 /nfs/dbraw/zinc/01/60/22/701016022.db2.gz FLRMZPDLSOWRMA-JTQLQIEISA-N -1 1 305.334 1.354 20 0 DDADMM CCC(CC)n1nc(C)cc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765504019 701016739 /nfs/dbraw/zinc/01/67/39/701016739.db2.gz RRJPVAHQTMCABZ-UHFFFAOYSA-N -1 1 307.354 1.331 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)OC[C@@H](C)CO ZINC000766700877 701059954 /nfs/dbraw/zinc/05/99/54/701059954.db2.gz GBXMYJJDGPLUBV-NSHDSACASA-N -1 1 315.391 1.624 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCc1cn[nH]c1 ZINC000803338017 701103179 /nfs/dbraw/zinc/10/31/79/701103179.db2.gz KIMSEVLGGKFUTB-UHFFFAOYSA-N -1 1 301.306 1.061 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)Cc2ccco2)cc1 ZINC000767512254 701112363 /nfs/dbraw/zinc/11/23/63/701112363.db2.gz PUZIZFPVMXQKRK-UHFFFAOYSA-N -1 1 317.297 1.331 20 0 DDADMM CC(C)N1C(=S)N=NC1CCNC(=O)c1ccc([O-])c(F)c1 ZINC000768044120 701154200 /nfs/dbraw/zinc/15/42/00/701154200.db2.gz PHXCZXRSFOBEEJ-UHFFFAOYSA-N -1 1 324.381 1.965 20 0 DDADMM COC[C@@](C)(CO)[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000768449517 701178059 /nfs/dbraw/zinc/17/80/59/701178059.db2.gz DNHZZYXBZFDYRM-GFCCVEGCSA-N -1 1 309.259 1.570 20 0 DDADMM COCc1cnc(NC(=O)c2ccc3n[n-]c(=S)n3c2)s1 ZINC000804304322 701178065 /nfs/dbraw/zinc/17/80/65/701178065.db2.gz IMEUMKIOSQVARK-UHFFFAOYSA-N -1 1 321.387 1.873 20 0 DDADMM COC(=O)c1ccc(OS(=O)(=O)c2c[n-]cn2)cc1Cl ZINC000768913067 701215699 /nfs/dbraw/zinc/21/56/99/701215699.db2.gz OQUOAOMIEUNPKR-UHFFFAOYSA-N -1 1 316.722 1.617 20 0 DDADMM CCN(C(=O)c1c([O-])cccc1Cl)[C@H](C)CS(C)(=O)=O ZINC000769840032 701258739 /nfs/dbraw/zinc/25/87/39/701258739.db2.gz SGKBGRQZULPUIJ-SECBINFHSA-N -1 1 319.810 1.941 20 0 DDADMM COC(=O)C[C@]1(NC(=O)c2cc(F)ccc2[O-])CCCOC1 ZINC000771657855 701327248 /nfs/dbraw/zinc/32/72/48/701327248.db2.gz PNFIAXWVMOVVJD-OAHLLOKOSA-N -1 1 311.309 1.373 20 0 DDADMM CCc1ccc(CN(C)C(=O)COC(=O)c2cn[n-]n2)cc1 ZINC000805603368 701397642 /nfs/dbraw/zinc/39/76/42/701397642.db2.gz IEXKMTXYHUDHSV-UHFFFAOYSA-N -1 1 302.334 1.183 20 0 DDADMM CCc1c(C(=O)COC(=O)c2cn[n-]n2)[nH]c(C)c1C(C)=O ZINC000805603361 701397649 /nfs/dbraw/zinc/39/76/49/701397649.db2.gz IBLLPTRFVZHXLZ-UHFFFAOYSA-N -1 1 304.306 1.246 20 0 DDADMM COc1ccc(C(=O)[C@H](C)OC(=O)c2cn[n-]n2)cc1OC ZINC000805604847 701397806 /nfs/dbraw/zinc/39/78/06/701397806.db2.gz AWAGDYAXBMVGEE-QMMMGPOBSA-N -1 1 305.290 1.250 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)[C@H](C)OC(=O)c2cn[n-]n2)c1C ZINC000805605344 701398642 /nfs/dbraw/zinc/39/86/42/701398642.db2.gz KPQSKVBKWFAREH-VIFPVBQESA-N -1 1 304.306 1.380 20 0 DDADMM Cc1ccccc1C[C@H](C)NC(=O)COC(=O)c1cn[n-]n1 ZINC000805608174 701399572 /nfs/dbraw/zinc/39/95/72/701399572.db2.gz ZONYDKGHERGYNS-NSHDSACASA-N -1 1 302.334 1.017 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3cccnc3F)ccnc1-2 ZINC000806490447 701437805 /nfs/dbraw/zinc/43/78/05/701437805.db2.gz UQXCDSNDUHAIPY-UHFFFAOYSA-N -1 1 300.297 1.198 20 0 DDADMM Cn1c(Cl)ncc1S(=O)(=O)[N-][C@@H](C1CC1)C(F)(F)F ZINC000808327698 701513908 /nfs/dbraw/zinc/51/39/08/701513908.db2.gz FMJQDRCBRSADRP-ZETCQYMHSA-N -1 1 317.720 1.693 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(C[C@@H](O)c3cccc(F)c3)C2)n[nH]1 ZINC000808777907 701536037 /nfs/dbraw/zinc/53/60/37/701536037.db2.gz ZHNVVVNMUUTUHY-DGCLKSJQSA-N -1 1 306.341 1.562 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(C[C@H](O)c3cccc(F)c3)C2)n[nH]1 ZINC000808777906 701536078 /nfs/dbraw/zinc/53/60/78/701536078.db2.gz ZHNVVVNMUUTUHY-AAEUAGOBSA-N -1 1 306.341 1.562 20 0 DDADMM Cc1noc([C@H](C)N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000810068281 701720618 /nfs/dbraw/zinc/72/06/18/701720618.db2.gz LYSPHGCKEJPNTB-WPRPVWTQSA-N -1 1 320.315 1.830 20 0 DDADMM Cc1nc([C@@H](C)N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)no1 ZINC000810071020 701721007 /nfs/dbraw/zinc/72/10/07/701721007.db2.gz ZSKMVCJOOQIKDH-PSASIEDQSA-N -1 1 320.315 1.830 20 0 DDADMM COc1ccccc1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830970750 706613733 /nfs/dbraw/zinc/61/37/33/706613733.db2.gz ZCABRCBAINKGDE-UHFFFAOYSA-N -1 1 315.329 1.194 20 0 DDADMM CCOC(=O)[C@]1(CNc2cc(Cl)[n-]c(=O)n2)CCCOC1 ZINC000866095713 706616248 /nfs/dbraw/zinc/61/62/48/706616248.db2.gz NELQARFXDRLESG-ZDUSSCGKSA-N -1 1 315.757 1.607 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2ccsc2COC)C1=O ZINC000867919829 701829783 /nfs/dbraw/zinc/82/97/83/701829783.db2.gz RWUNSTVMFRBAMO-CYBMUJFWSA-N -1 1 311.363 1.260 20 0 DDADMM COc1cccc(C(=O)COC(=O)c2ccc([O-])cc2F)n1 ZINC000811170055 701928188 /nfs/dbraw/zinc/92/81/88/701928188.db2.gz JZXUGWSKQLPBAI-UHFFFAOYSA-N -1 1 305.261 1.975 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2cc3n(n2)CCO3)c1 ZINC000811350304 701967531 /nfs/dbraw/zinc/96/75/31/701967531.db2.gz KJLIIULWWFMNLX-UHFFFAOYSA-N -1 1 316.313 1.583 20 0 DDADMM CC1(C)C[C@H]1CNC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868244162 702017746 /nfs/dbraw/zinc/01/77/46/702017746.db2.gz SYVWJEBUMDWDSI-TVQRCGJNSA-N -1 1 321.343 1.885 20 0 DDADMM CCC1(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000868345887 702075060 /nfs/dbraw/zinc/07/50/60/702075060.db2.gz SRJMKZFHMCQDDC-UHFFFAOYSA-N -1 1 306.366 1.244 20 0 DDADMM CC(C)C1(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000868347720 702075680 /nfs/dbraw/zinc/07/56/80/702075680.db2.gz IIFRZBCIARUNTH-UHFFFAOYSA-N -1 1 320.393 1.490 20 0 DDADMM Nc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc(Cl)n1 ZINC000816498289 702097572 /nfs/dbraw/zinc/09/75/72/702097572.db2.gz OQAISXJPSPZPMK-ZETCQYMHSA-N -1 1 323.740 1.426 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)[N-]Oc1ccccc1 ZINC000816683901 702149316 /nfs/dbraw/zinc/14/93/16/702149316.db2.gz DOUHEAKBVKCBEW-JTQLQIEISA-N -1 1 304.268 1.800 20 0 DDADMM C=C1c2ccccc2C(=O)N1[C@H](C)C(=O)Nc1c(C)[n-][nH]c1=O ZINC000816740664 702173825 /nfs/dbraw/zinc/17/38/25/702173825.db2.gz KICKBXXBMNBTSH-SNVBAGLBSA-N -1 1 312.329 1.877 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000812402019 702176098 /nfs/dbraw/zinc/17/60/98/702176098.db2.gz PRWFBMNEWIOFBF-OZNLJZBPSA-N -1 1 312.728 1.979 20 0 DDADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)[N-]OC/C=C\Cl ZINC000812408790 702177779 /nfs/dbraw/zinc/17/77/79/702177779.db2.gz MEXSSLOBOZBXKY-WQAKUFEDSA-N -1 1 322.752 1.482 20 0 DDADMM CCC(C)(C)[C@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868764932 702310050 /nfs/dbraw/zinc/31/00/50/702310050.db2.gz FMASMIBRBPDLQN-JTQLQIEISA-N -1 1 322.409 1.879 20 0 DDADMM O=C(NCCCC1(O)CCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000817648165 702435259 /nfs/dbraw/zinc/43/52/59/702435259.db2.gz PKWUCEMQFCGMSO-UHFFFAOYSA-N -1 1 306.391 1.443 20 0 DDADMM COCC(C)(C)CCNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000879415872 706673923 /nfs/dbraw/zinc/67/39/23/706673923.db2.gz GXUAVQAEAOTJOG-UHFFFAOYSA-N -1 1 305.382 1.526 20 0 DDADMM CC[C@@]1(O)CCCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000879415971 706673963 /nfs/dbraw/zinc/67/39/63/706673963.db2.gz JTUUYIYAVWDDAX-OAHLLOKOSA-N -1 1 303.366 1.111 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)c1ccccc1 ZINC000869319118 702566948 /nfs/dbraw/zinc/56/69/48/702566948.db2.gz ZYPLMMLQNXNKQR-UHFFFAOYSA-N -1 1 303.236 1.481 20 0 DDADMM C[C@H]1CC[C@@H](CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869435499 702606219 /nfs/dbraw/zinc/60/62/19/702606219.db2.gz OIXYFUHDSFAKGD-VHSXEESVSA-N -1 1 323.311 1.994 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C1CC2(CCC2)C1 ZINC000869544911 702641152 /nfs/dbraw/zinc/64/11/52/702641152.db2.gz OIIOXRNNNLXDPS-UHFFFAOYSA-N -1 1 321.295 1.748 20 0 DDADMM CC[C@@H](COC(=O)c1sccc1[N-]S(C)(=O)=O)OC ZINC000842066755 702666602 /nfs/dbraw/zinc/66/66/02/702666602.db2.gz NXDWPLKOQHMSOX-QMMMGPOBSA-N -1 1 307.393 1.701 20 0 DDADMM C[C@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C(C)(F)F ZINC000843015383 702803723 /nfs/dbraw/zinc/80/37/23/702803723.db2.gz UFTVDAPVGZEQJK-SECBINFHSA-N -1 1 312.382 1.208 20 0 DDADMM O=C([O-])CCC[NH2+]N1CCC(c2ccccc2C(=O)[O-])CC1 ZINC000843263347 702851421 /nfs/dbraw/zinc/85/14/21/702851421.db2.gz AHRWEQVUHDRASV-UHFFFAOYSA-N -1 1 306.362 1.934 20 0 DDADMM Cc1ccc2nc([C@H]3CCCN3C(=O)COCC(=O)[O-])[nH]c2c1 ZINC000843328255 702860386 /nfs/dbraw/zinc/86/03/86/702860386.db2.gz AWBARGNSZUYMGU-CYBMUJFWSA-N -1 1 317.345 1.636 20 0 DDADMM CCON(C(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1)C(C)C ZINC000844074166 702968108 /nfs/dbraw/zinc/96/81/08/702968108.db2.gz CUCIRDTVKLMEMA-UHFFFAOYSA-N -1 1 306.322 1.547 20 0 DDADMM CC(C)[C@H]1N(C(=O)CCn2cc[n-]c(=O)c2=O)CC12CC=CC2 ZINC000844100320 702971537 /nfs/dbraw/zinc/97/15/37/702971537.db2.gz VEVDBVINUPVEHI-CQSZACIVSA-N -1 1 317.389 1.130 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCC[C@@H]1C1CCC1 ZINC000844123296 702975226 /nfs/dbraw/zinc/97/52/26/702975226.db2.gz BXRJEMIFOZCZNZ-CYBMUJFWSA-N -1 1 305.378 1.108 20 0 DDADMM CC[C@@H]1COC(C)(C)CN1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000844650224 703051300 /nfs/dbraw/zinc/05/13/00/703051300.db2.gz IQIFKRJABUUNEM-LLVKDONJSA-N -1 1 317.393 1.763 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CSCc2cnn(C)c2)cc1 ZINC000845337593 703145263 /nfs/dbraw/zinc/14/52/63/703145263.db2.gz YJLIIOWFRYJJBY-UHFFFAOYSA-N -1 1 319.386 1.619 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(F)c(F)c1 ZINC000866598953 706741184 /nfs/dbraw/zinc/74/11/84/706741184.db2.gz WBDBKACRCIDEOG-SFHVURJKSA-N -1 1 312.363 1.417 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCCS1 ZINC000866955287 706855191 /nfs/dbraw/zinc/85/51/91/706855191.db2.gz RUDHADSNAAXKQZ-LLVKDONJSA-N -1 1 308.375 1.924 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@@H]1C(=O)OCC1(C)C)C1CCCC1 ZINC000849398333 703643417 /nfs/dbraw/zinc/64/34/17/703643417.db2.gz RKZLELRXDMAJQA-NWDGAFQWSA-N -1 1 319.423 1.063 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@H](C)C(C)=O)c1 ZINC000849812198 703680694 /nfs/dbraw/zinc/68/06/94/703680694.db2.gz UKUJNUFBSZEYIG-MQJDWESPSA-N -1 1 312.387 1.961 20 0 DDADMM CCOc1cncc(COC(=O)c2ccc(C(=O)OC)[n-]2)c1 ZINC000851529830 703807936 /nfs/dbraw/zinc/80/79/36/703807936.db2.gz KRNHCSKBGFDIPQ-UHFFFAOYSA-N -1 1 304.302 1.952 20 0 DDADMM O=C(OCCC[N-]C(=O)C(F)(F)F)[C@@H]1C[C@H]1C1CCOCC1 ZINC000869797810 703854384 /nfs/dbraw/zinc/85/43/84/703854384.db2.gz GTXSGDKZNLXMRW-WDEREUQCSA-N -1 1 323.311 1.661 20 0 DDADMM CC(C)CNC(=O)[C@@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764077 706784360 /nfs/dbraw/zinc/78/43/60/706784360.db2.gz SINNVVXLQFJGNK-MFKMUULPSA-N -1 1 323.359 1.290 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CC1(C2CC2)CCC1 ZINC000867000381 706868633 /nfs/dbraw/zinc/86/86/33/706868633.db2.gz ILEWFZNREYPZIR-UHFFFAOYSA-N -1 1 306.453 1.663 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2ccc(F)nc2F)cs1 ZINC000866752310 706787322 /nfs/dbraw/zinc/78/73/22/706787322.db2.gz LPBJOWGUWGZBMO-UHFFFAOYSA-N -1 1 319.358 1.857 20 0 DDADMM O=C([O-])[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CC1CC=CC1 ZINC000852733604 704109123 /nfs/dbraw/zinc/10/91/23/704109123.db2.gz SUEDHUKOAAUDKB-MNOVXSKESA-N -1 1 320.311 1.549 20 0 DDADMM C[C@@H]1CCN(Cc2cncn2C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852757749 704117072 /nfs/dbraw/zinc/11/70/72/704117072.db2.gz JYRRIGQSGAJOAT-KOLCDFICSA-N -1 1 304.316 1.309 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)C[C@@]1(C)CC1(Cl)Cl ZINC000866762883 706792605 /nfs/dbraw/zinc/79/26/05/706792605.db2.gz FDWVZWWAHOFCTD-MRVPVSSYSA-N -1 1 304.195 1.053 20 0 DDADMM CC[S@@](=O)CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000866765034 706793440 /nfs/dbraw/zinc/79/34/40/706793440.db2.gz ZZIVTSVXIYWHJK-QGZVFWFLSA-N -1 1 301.336 1.264 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1CCC2(CC2)CC1 ZINC000871016261 704200050 /nfs/dbraw/zinc/20/00/50/704200050.db2.gz ODTUVNLWSANEAW-UHFFFAOYSA-N -1 1 305.378 1.013 20 0 DDADMM CO[C@H](COC(=O)c1sccc1[N-]S(C)(=O)=O)C1CC1 ZINC000820275373 704251309 /nfs/dbraw/zinc/25/13/09/704251309.db2.gz MJOCENOQRXVLRW-SNVBAGLBSA-N -1 1 319.404 1.701 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cc(C)ncn2)c1 ZINC000820363547 704266981 /nfs/dbraw/zinc/26/69/81/704266981.db2.gz UNSGWDQAGGSYTF-QFIPXVFZSA-N -1 1 320.370 1.645 20 0 DDADMM Cc1ccc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)nn1 ZINC000853637232 704291032 /nfs/dbraw/zinc/29/10/32/704291032.db2.gz OLUCRVHEOKOOTH-SNVBAGLBSA-N -1 1 302.300 1.680 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCCc3nc(C)ncc32)sn1 ZINC000866800167 706805954 /nfs/dbraw/zinc/80/59/54/706805954.db2.gz ZJVKXESQWVXBCS-LBPRGKRZSA-N -1 1 324.431 1.906 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]CCc1cc2ccccc2o1 ZINC000820791858 704331520 /nfs/dbraw/zinc/33/15/20/704331520.db2.gz FYGFVUDDVPJNEN-UHFFFAOYSA-N -1 1 316.404 1.537 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)o1 ZINC000820793601 704332000 /nfs/dbraw/zinc/33/20/00/704332000.db2.gz BNVAKRWATZOTPY-UHFFFAOYSA-N -1 1 310.257 1.203 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cn(C)nc2C)c1 ZINC000821352714 704395628 /nfs/dbraw/zinc/39/56/28/704395628.db2.gz MUWSLYIYNAYXQS-QFIPXVFZSA-N -1 1 322.386 1.588 20 0 DDADMM CC1(C)COC(=O)[C@@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000854429376 704395661 /nfs/dbraw/zinc/39/56/61/704395661.db2.gz DOVWQRMTHBUUPI-ZDUSSCGKSA-N -1 1 300.314 1.622 20 0 DDADMM CCN1CCO[C@@H](C(=O)n2[n-]c(=O)c3ccc(OC)cc32)C1 ZINC000854505616 704409626 /nfs/dbraw/zinc/40/96/26/704409626.db2.gz CFNZROAWYZZMSQ-CYBMUJFWSA-N -1 1 305.334 1.111 20 0 DDADMM COC(=O)CC1(NC(=O)c2ccc(-c3nnn[n-]3)s2)CCC1 ZINC000821659217 704429640 /nfs/dbraw/zinc/42/96/40/704429640.db2.gz VYASFIABVJRQLC-UHFFFAOYSA-N -1 1 321.362 1.144 20 0 DDADMM COC(=O)CC1(NC(=O)c2ccc(-c3nn[n-]n3)s2)CCC1 ZINC000821659217 704429642 /nfs/dbraw/zinc/42/96/42/704429642.db2.gz VYASFIABVJRQLC-UHFFFAOYSA-N -1 1 321.362 1.144 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCCC12CCCCC2 ZINC000855203491 704472803 /nfs/dbraw/zinc/47/28/03/704472803.db2.gz NHVVVXQRZIQOPO-CYBMUJFWSA-N -1 1 319.405 1.546 20 0 DDADMM C[C@@H](CO)[C@@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000855466319 704486670 /nfs/dbraw/zinc/48/66/70/704486670.db2.gz KSKDASQPUDHORB-JQWIXIFHSA-N -1 1 320.418 1.641 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC(O)(C1CC1)C1CC1 ZINC000855912857 704506287 /nfs/dbraw/zinc/50/62/87/704506287.db2.gz NSABSMNKJFBGBY-UHFFFAOYSA-N -1 1 319.405 1.895 20 0 DDADMM C[C@H](CN(C)C(=O)OC(C)(C)C)NC(=O)c1cncc([O-])c1 ZINC000856376019 704525241 /nfs/dbraw/zinc/52/52/41/704525241.db2.gz HOAXJWUNKLPYJM-SNVBAGLBSA-N -1 1 309.366 1.772 20 0 DDADMM CC(C)[C@@](C)(O)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417235 704527969 /nfs/dbraw/zinc/52/79/69/704527969.db2.gz MJDLFQNLWTXGNX-GBIKHYSHSA-N -1 1 324.343 1.309 20 0 DDADMM CC[C@H](C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1)[C@@H](C)O ZINC000857340427 704581256 /nfs/dbraw/zinc/58/12/56/704581256.db2.gz UMYPZNZISSAQEM-ZNSHCXBVSA-N -1 1 324.343 1.309 20 0 DDADMM CC(C)CC[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866860903 706828602 /nfs/dbraw/zinc/82/86/02/706828602.db2.gz YZBNBJRGZQJILT-CYBMUJFWSA-N -1 1 322.377 1.825 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@@H]3C(F)F)nc2n1 ZINC000857685114 704613630 /nfs/dbraw/zinc/61/36/30/704613630.db2.gz SVTIHQKRANPTOI-YUMQZZPRSA-N -1 1 311.292 1.210 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cnc1F ZINC000857717968 704616813 /nfs/dbraw/zinc/61/68/13/704616813.db2.gz GTEQIKXHJJQNLQ-SECBINFHSA-N -1 1 306.297 1.638 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)N=S(=O)(CC)CC)c1ccncc1 ZINC000866865186 706829592 /nfs/dbraw/zinc/82/95/92/706829592.db2.gz URARVTSKOUDLDZ-GFCCVEGCSA-N -1 1 319.452 1.875 20 0 DDADMM COCCCOC1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858422323 704709254 /nfs/dbraw/zinc/70/92/54/704709254.db2.gz SPNCNBLYOADRHS-UHFFFAOYSA-N -1 1 301.774 1.858 20 0 DDADMM O=c1nc(N2CCC3(CCC3)S(=O)(=O)CC2)cc(Cl)[n-]1 ZINC000858571181 704728610 /nfs/dbraw/zinc/72/86/10/704728610.db2.gz ZGPDCEYEEITFRT-UHFFFAOYSA-N -1 1 317.798 1.383 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]C[C@@H](OC)C(F)(F)F)C1CC1 ZINC000858982000 704779773 /nfs/dbraw/zinc/77/97/73/704779773.db2.gz PXGOSZDDYLVSPL-NXEZZACHSA-N -1 1 319.345 1.298 20 0 DDADMM Cn1cc(C[N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)nn1 ZINC000821837532 704822470 /nfs/dbraw/zinc/82/24/70/704822470.db2.gz JYFVVACOHGPOIZ-UHFFFAOYSA-N -1 1 302.231 1.502 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2COC3(CCC3)C2)c1 ZINC000867512661 707024070 /nfs/dbraw/zinc/02/40/70/707024070.db2.gz LASRSGRVNGFXNQ-JTQLQIEISA-N -1 1 313.375 1.391 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)N=S2(=O)CCCC2)C[C@H]2C[C@H]2C1 ZINC000867564477 707041016 /nfs/dbraw/zinc/04/10/16/707041016.db2.gz CFUKZXUPUKNBCI-GDNZZTSVSA-N -1 1 306.453 1.519 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC[C@@]3(C)CC3(F)F)ccnc1-2 ZINC000823057272 705126492 /nfs/dbraw/zinc/12/64/92/705126492.db2.gz AJBGNDOREOQNGY-ZDUSSCGKSA-N -1 1 309.320 1.899 20 0 DDADMM COC[C@@]1(C)CN(Cc2cc(=O)oc3cc([O-])ccc23)CCO1 ZINC000860199978 705128719 /nfs/dbraw/zinc/12/87/19/705128719.db2.gz REKMTFWWGCCFIQ-QGZVFWFLSA-N -1 1 319.357 1.736 20 0 DDADMM COCC(COC)[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000823373960 705224969 /nfs/dbraw/zinc/22/49/69/705224969.db2.gz MNOQGZDKWUSILB-UHFFFAOYSA-N -1 1 309.259 1.834 20 0 DDADMM COC(=O)[C@H](C)Oc1ccc(C=C2SC(=O)[N-]C2=O)cc1 ZINC000874929300 705230820 /nfs/dbraw/zinc/23/08/20/705230820.db2.gz YKZGHRZIAXHYMP-LBTPYSACSA-N -1 1 307.327 1.951 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCc2ccoc2)C1)C(F)(F)F ZINC000875149058 705297195 /nfs/dbraw/zinc/29/71/95/705297195.db2.gz KXLAYLGPUUSTRR-UHFFFAOYSA-N -1 1 305.256 1.100 20 0 DDADMM COCCCn1cc(C(=O)Nc2nc(Cl)ccc2[O-])cn1 ZINC000861251381 705408833 /nfs/dbraw/zinc/40/88/33/705408833.db2.gz LLEJXJNGVSSGRM-UHFFFAOYSA-N -1 1 310.741 1.926 20 0 DDADMM CCCC(C)(C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876300182 705682949 /nfs/dbraw/zinc/68/29/49/705682949.db2.gz LZQBNKJVZUCWHW-UHFFFAOYSA-N -1 1 308.382 1.633 20 0 DDADMM CN(C(=O)c1ccc(N2CCCCCC2)cc1)c1nn[n-]n1 ZINC000825530058 705688135 /nfs/dbraw/zinc/68/81/35/705688135.db2.gz BGBVLISKWFNCCC-UHFFFAOYSA-N -1 1 300.366 1.857 20 0 DDADMM CN(Cc1nncn1C)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876397194 705708216 /nfs/dbraw/zinc/70/82/16/705708216.db2.gz XRJRMXGTOCVQAT-UHFFFAOYSA-N -1 1 309.757 1.516 20 0 DDADMM CO[C@H]1CCC[C@H]1Sc1nc(C)nc(C)c1-c1nn[n-]n1 ZINC000826145435 705777958 /nfs/dbraw/zinc/77/79/58/705777958.db2.gz GLRXKLMYOSTAKI-VHSXEESVSA-N -1 1 306.395 1.933 20 0 DDADMM CS[C@@H]1CC[C@H](NC(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000826226696 705783396 /nfs/dbraw/zinc/78/33/96/705783396.db2.gz DZXBABHFLUBQHW-DTWKUNHWSA-N -1 1 322.394 1.106 20 0 DDADMM CS[C@@H]1CC[C@H](NC(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000826226696 705783397 /nfs/dbraw/zinc/78/33/97/705783397.db2.gz DZXBABHFLUBQHW-DTWKUNHWSA-N -1 1 322.394 1.106 20 0 DDADMM Cc1cnc2c(c1)N(C(=O)c1ccc(-c3nnn[n-]3)nc1)CCO2 ZINC000826319275 705790775 /nfs/dbraw/zinc/79/07/75/705790775.db2.gz WBNMZNUNVWCLBY-UHFFFAOYSA-N -1 1 323.316 1.004 20 0 DDADMM Cc1cnc2c(c1)N(C(=O)c1ccc(-c3nn[n-]n3)nc1)CCO2 ZINC000826319275 705790777 /nfs/dbraw/zinc/79/07/77/705790777.db2.gz WBNMZNUNVWCLBY-UHFFFAOYSA-N -1 1 323.316 1.004 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@@H]2c2ccccc2)c1-c1nnn[n-]1 ZINC000826343616 705792523 /nfs/dbraw/zinc/79/25/23/705792523.db2.gz IDJQVIZBOMAJBF-CYBMUJFWSA-N -1 1 324.344 1.679 20 0 DDADMM Cc1onc(CC(=O)N2CC[C@@H]2c2ccccc2)c1-c1nn[n-]n1 ZINC000826343616 705792527 /nfs/dbraw/zinc/79/25/27/705792527.db2.gz IDJQVIZBOMAJBF-CYBMUJFWSA-N -1 1 324.344 1.679 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(O)cc2)c1-c1nnn[n-]1 ZINC000826348231 705794883 /nfs/dbraw/zinc/79/48/83/705794883.db2.gz BYXDILCPLSCTBW-UHFFFAOYSA-N -1 1 300.278 1.050 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(O)cc2)c1-c1nn[n-]n1 ZINC000826348231 705794885 /nfs/dbraw/zinc/79/48/85/705794885.db2.gz BYXDILCPLSCTBW-UHFFFAOYSA-N -1 1 300.278 1.050 20 0 DDADMM CCOC(=O)C[C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000826938208 705895364 /nfs/dbraw/zinc/89/53/64/705895364.db2.gz SXBIEIHQPTXAMI-QMMMGPOBSA-N -1 1 308.363 1.090 20 0 DDADMM C[C@@H]1C[N@@H+](CCOc2ccccc2C(=O)[O-])CC[C@H]1C(=O)[O-] ZINC000863531693 705949765 /nfs/dbraw/zinc/94/97/65/705949765.db2.gz AYFXTPBMFMIVPB-VXGBXAGGSA-N -1 1 307.346 1.806 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C2CCSCC2)CC1 ZINC000827356125 705984576 /nfs/dbraw/zinc/98/45/76/705984576.db2.gz PGHXDJGBKOIIMP-NSHDSACASA-N -1 1 300.424 1.137 20 0 DDADMM C/C(=C\C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1ccco1 ZINC000864160150 706084615 /nfs/dbraw/zinc/08/46/15/706084615.db2.gz SGEXAGYKJHPPGF-XSNHNAGMSA-N -1 1 306.362 1.690 20 0 DDADMM C/C(=C\c1ccccn1)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000864159966 706084665 /nfs/dbraw/zinc/08/46/65/706084665.db2.gz PKOLDRCURUZSOS-YPDDLIOESA-N -1 1 317.389 1.492 20 0 DDADMM Cc1ccc(CC(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1Cl ZINC000827962126 706094427 /nfs/dbraw/zinc/09/44/27/706094427.db2.gz YPTPKMTXJHUQTB-LBPRGKRZSA-N -1 1 321.768 1.304 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)sc1C ZINC000835583257 707286661 /nfs/dbraw/zinc/28/66/61/707286661.db2.gz JOMGCGZJBVPUGC-JTQLQIEISA-N -1 1 319.452 1.151 20 0 DDADMM Cn1cc(-c2nc(CC[N-]C(=O)C(F)(F)F)cs2)nn1 ZINC000864368076 706148177 /nfs/dbraw/zinc/14/81/77/706148177.db2.gz DHAMATKBHWHWTE-UHFFFAOYSA-N -1 1 305.285 1.160 20 0 DDADMM CN(CCC(=O)[O-])C(=O)[C@@H](N)c1cccc(Br)c1 ZINC000864607344 706210756 /nfs/dbraw/zinc/21/07/56/706210756.db2.gz OUDGLCHNHFZMLF-NSHDSACASA-N -1 1 315.167 1.382 20 0 DDADMM CCOCc1ccccc1CNCc1cn(C)nc1C(=O)[O-] ZINC000864783664 706262228 /nfs/dbraw/zinc/26/22/28/706262228.db2.gz WLQKULATFVIZRL-UHFFFAOYSA-N -1 1 303.362 1.945 20 0 DDADMM C[C@H](NCc1cn(C)nc1C(=O)[O-])c1ccc([S@](C)=O)cc1 ZINC000864784460 706262624 /nfs/dbraw/zinc/26/26/24/706262624.db2.gz JIKNJIYKOAMCKZ-MGUXCZDKSA-N -1 1 321.402 1.707 20 0 DDADMM CC[C@H]1CN2CCCC[C@@H]2CN1C(=O)c1cccc(C(=O)[O-])n1 ZINC000829159995 706295647 /nfs/dbraw/zinc/29/56/47/706295647.db2.gz BJBJCDRYMWOMFB-QWHCGFSZSA-N -1 1 317.389 1.869 20 0 DDADMM CCO[C@H]1C[C@H]([N@H+](C)Cc2nc3c(c(=O)[nH]2)COCC3)C1(C)C ZINC000878124861 706300870 /nfs/dbraw/zinc/30/08/70/706300870.db2.gz IZMARUJYIIHVHH-KBPBESRZSA-N -1 1 321.421 1.890 20 0 DDADMM CCn1nc(C)c(C(=O)CSc2nc(C3CC3)cc(=O)[n-]2)n1 ZINC000829468544 706339761 /nfs/dbraw/zinc/33/97/61/706339761.db2.gz JPXJLALNPLJVFS-UHFFFAOYSA-N -1 1 319.390 1.954 20 0 DDADMM Cc1ccc(OCC[N-]S(=O)(=O)c2c[nH]nc2Cl)cc1 ZINC000829692414 706378238 /nfs/dbraw/zinc/37/82/38/706378238.db2.gz LHZIMRDNEYBESB-UHFFFAOYSA-N -1 1 315.782 1.729 20 0 DDADMM CCC[C@H](NC(=O)C1CCC(C(=O)N(C)C)CC1)c1nn[n-]n1 ZINC000829800907 706398513 /nfs/dbraw/zinc/39/85/13/706398513.db2.gz LHKPOAZSKIBHMO-MCIGGMRASA-N -1 1 322.413 1.052 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)c1cccnc1F ZINC000881962902 707446736 /nfs/dbraw/zinc/44/67/36/707446736.db2.gz OLZAAWFOEOSRHG-JTQLQIEISA-N -1 1 316.354 1.231 20 0 DDADMM C[C@@H](COCC(F)(F)F)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830227173 706480518 /nfs/dbraw/zinc/48/05/18/706480518.db2.gz BDZCMDUTYXGQQW-YFKPBYRVSA-N -1 1 321.708 1.309 20 0 DDADMM CC[C@@H]1CC[C@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)O1 ZINC000830816368 706585713 /nfs/dbraw/zinc/58/57/13/706585713.db2.gz OUCODLVWTIXIRH-GIPNMCIBSA-N -1 1 322.327 1.614 20 0 DDADMM O=S(=O)([N-]CC1(O)CCCCCC1)c1ccc(F)nc1F ZINC000866839714 706821799 /nfs/dbraw/zinc/82/17/99/706821799.db2.gz JODTVACQNBGPDJ-UHFFFAOYSA-N -1 1 320.361 1.723 20 0 DDADMM O=C(N[C@H]([C@H](CO)C1CC1)C1CC1)c1cnc(C2CC2)[n-]c1=O ZINC000908985938 712909162 /nfs/dbraw/zinc/90/91/62/712909162.db2.gz LRLXWDIXRNRVBM-KGLIPLIRSA-N -1 1 317.389 1.587 20 0 DDADMM CC[C@@](C)(CC(=O)OC)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866913728 706843308 /nfs/dbraw/zinc/84/33/08/706843308.db2.gz NKOCWIZEVZBNPT-LBPRGKRZSA-N -1 1 322.333 1.370 20 0 DDADMM C[C@@H](CN(C)C(=O)CCC[C@@H](O)c1ccccc1)c1nn[n-]n1 ZINC000832126371 706848183 /nfs/dbraw/zinc/84/81/83/706848183.db2.gz MKEILALNWZFAQJ-GXTWGEPZSA-N -1 1 317.393 1.666 20 0 DDADMM O=C1CCCCN(Cc2nc(-c3ccc([O-])cc3F)no2)C1=O ZINC000866974559 706861325 /nfs/dbraw/zinc/86/13/25/706861325.db2.gz QXQWTHRFXGWGKI-UHFFFAOYSA-N -1 1 319.292 1.663 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccccn1)c1ccc(C(F)F)o1 ZINC000867054832 706883521 /nfs/dbraw/zinc/88/35/21/706883521.db2.gz DPKCGMOGGFURRH-VIFPVBQESA-N -1 1 318.301 1.624 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@H]1CCCCS1 ZINC000867090285 706893379 /nfs/dbraw/zinc/89/33/79/706893379.db2.gz UIHKQAHLOPARMB-SNVBAGLBSA-N -1 1 312.482 1.368 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867095778 706894585 /nfs/dbraw/zinc/89/45/85/706894585.db2.gz FDJJSHUSANDVHB-YUMQZZPRSA-N -1 1 322.333 1.226 20 0 DDADMM O=C([O-])CN(C(=O)c1c[nH]cc2ncnc1-2)C1CCCCC1 ZINC000909001571 712912980 /nfs/dbraw/zinc/91/29/80/712912980.db2.gz BSTVTAYZHAOUNX-UHFFFAOYSA-N -1 1 302.334 1.817 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@]1(C)CCO[C@@H]1C1CC1 ZINC000867117724 706901551 /nfs/dbraw/zinc/90/15/51/706901551.db2.gz HRZGWCYMZZZLMT-UFYHVXEKSA-N -1 1 324.468 1.144 20 0 DDADMM CC(C)(O)[C@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000832458554 706918207 /nfs/dbraw/zinc/91/82/07/706918207.db2.gz AMICJZQTDBLRJA-NSHDSACASA-N -1 1 320.418 1.641 20 0 DDADMM CC1(C)C(C[N-]S(=O)(=O)N=S2(=O)CCCC2)C1(C)C ZINC000867218553 706928235 /nfs/dbraw/zinc/92/82/35/706928235.db2.gz WBNIACWHBOHDPX-UHFFFAOYSA-N -1 1 308.469 1.765 20 0 DDADMM Cc1nocc1C[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867338042 706965749 /nfs/dbraw/zinc/96/57/49/706965749.db2.gz UQZZNYZSILJNDW-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)C[C@@H]1CCC(F)(F)C1 ZINC000867380416 706981486 /nfs/dbraw/zinc/98/14/86/706981486.db2.gz HXMGHLNFXVFLCE-BDAKNGLRSA-N -1 1 311.350 1.293 20 0 DDADMM CC(C)O[C@H]1C[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1 ZINC000867382219 706982549 /nfs/dbraw/zinc/98/25/49/706982549.db2.gz MLFLTTMRQXATDJ-PHIMTYICSA-N -1 1 310.441 1.039 20 0 DDADMM NC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)c1c([O-])cccc1Cl ZINC000832832237 706994423 /nfs/dbraw/zinc/99/44/23/706994423.db2.gz WLQLLGKIPKCRIN-RVBZMBCESA-N -1 1 308.765 1.772 20 0 DDADMM CC[C@@H]1C[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)CCO1 ZINC000867421376 706996717 /nfs/dbraw/zinc/99/67/17/706996717.db2.gz MUGPGIHZGXIUPW-WDEREUQCSA-N -1 1 310.441 1.040 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)[C@H](C)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000833014459 706999683 /nfs/dbraw/zinc/99/96/83/706999683.db2.gz XCTGIHKOSWHBGL-JFUSQASVSA-N -1 1 308.382 1.016 20 0 DDADMM O=CN1CCC[C@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000834298531 707024782 /nfs/dbraw/zinc/02/47/82/707024782.db2.gz RGAMYESFNZDYCZ-ZETCQYMHSA-N -1 1 314.139 1.109 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2C[C@H](SC)C2)cc1C ZINC000867673972 707070027 /nfs/dbraw/zinc/07/00/27/707070027.db2.gz ZEHSJGPJPRNHRM-KYZUINATSA-N -1 1 319.404 1.547 20 0 DDADMM CCCN1C[C@@H](C(=O)NCc2ccc([O-])c(Cl)c2)CC1=O ZINC000834823168 707130957 /nfs/dbraw/zinc/13/09/57/707130957.db2.gz UYROOVCPLLNICP-NSHDSACASA-N -1 1 310.781 1.920 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCc2nccc(C)n2)cc1 ZINC000871798468 707208312 /nfs/dbraw/zinc/20/83/12/707208312.db2.gz XTXHJHBWRKGZQE-UHFFFAOYSA-N -1 1 301.346 1.974 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)c3ccnn3C)cnc2n1 ZINC000881443057 707259773 /nfs/dbraw/zinc/25/97/73/707259773.db2.gz UQQWCMLLRZJUMQ-SNVBAGLBSA-N -1 1 311.345 1.868 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)c1ccnn1C)c2=O ZINC000881443057 707259777 /nfs/dbraw/zinc/25/97/77/707259777.db2.gz UQQWCMLLRZJUMQ-SNVBAGLBSA-N -1 1 311.345 1.868 20 0 DDADMM CCC[C@@H](COC(=O)c1coc(S(=O)(=O)[N-]C)c1)OC ZINC000835540662 707275250 /nfs/dbraw/zinc/27/52/50/707275250.db2.gz IJRTWJPPBVWDTQ-JTQLQIEISA-N -1 1 305.352 1.160 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)N(C)Cc1ccc(C(=O)[O-])cc1 ZINC000909137824 712943707 /nfs/dbraw/zinc/94/37/07/712943707.db2.gz XMQMIZHIFPYCLM-ZDUSSCGKSA-N -1 1 320.389 1.312 20 0 DDADMM COC(=O)[C@@H]1[C@H](CNC(=O)c2ccc(Cl)cc2[O-])C1(F)F ZINC000881819039 707378862 /nfs/dbraw/zinc/37/88/62/707378862.db2.gz BMRRNSMLVDZVIZ-WPRPVWTQSA-N -1 1 319.691 1.830 20 0 DDADMM C[C@@H]1CSC[C@H]1[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872486913 707439984 /nfs/dbraw/zinc/43/99/84/707439984.db2.gz IVOXAOWOXKXJGI-MHIIWPAESA-N -1 1 314.498 1.469 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1C(C)C ZINC000836887926 707538618 /nfs/dbraw/zinc/53/86/18/707538618.db2.gz HWOWGQPSBSQUDV-GXTWGEPZSA-N -1 1 307.394 1.066 20 0 DDADMM O=C(N[C@@H]1C[C@H]2CCCCN2C1=O)c1c([O-])cccc1Cl ZINC000837254343 707605271 /nfs/dbraw/zinc/60/52/71/707605271.db2.gz PGUNOPMQKHYGJH-MWLCHTKSSA-N -1 1 308.765 1.929 20 0 DDADMM COCC[C@@H](COC)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873018470 707696067 /nfs/dbraw/zinc/69/60/67/707696067.db2.gz VHPOZCCRUONESQ-NSHDSACASA-N -1 1 316.785 1.896 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)cc1F ZINC000882660588 707736341 /nfs/dbraw/zinc/73/63/41/707736341.db2.gz RWEZOAJLTYGZTF-GOSISDBHSA-N -1 1 309.388 1.365 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C2(c3ncccn3)CCC2)sn1 ZINC000882682293 707747388 /nfs/dbraw/zinc/74/73/88/707747388.db2.gz KTWVLJXJTKPLPC-UHFFFAOYSA-N -1 1 310.404 1.599 20 0 DDADMM O=S(=O)([N-]C1(c2ncccn2)CCC1)c1cccnc1F ZINC000882682728 707747429 /nfs/dbraw/zinc/74/74/29/707747429.db2.gz SMMPUQAVIGBDCV-UHFFFAOYSA-N -1 1 308.338 1.368 20 0 DDADMM COC[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc(C)c(C(=O)OC)o2)C1 ZINC000882703293 707754308 /nfs/dbraw/zinc/75/43/08/707754308.db2.gz YJDSPAHUUCDJLI-AOOOYVTPSA-N -1 1 317.363 1.078 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2CCO[C@H](CC(=O)[O-])C2)[nH]1 ZINC000909266094 712978195 /nfs/dbraw/zinc/97/81/95/712978195.db2.gz ROVYNGHPZUOFOA-SNVBAGLBSA-N -1 1 303.318 1.187 20 0 DDADMM CCN1CC[C@H]1C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000882764036 707779627 /nfs/dbraw/zinc/77/96/27/707779627.db2.gz HXUMHSOTHVNJDH-VIFPVBQESA-N -1 1 324.233 1.761 20 0 DDADMM Cn1cc(Cl)c([N-]S(=O)(=O)CCOCC2CCC2)n1 ZINC000882796637 707800515 /nfs/dbraw/zinc/80/05/15/707800515.db2.gz LJZHEAOBECBHDO-UHFFFAOYSA-N -1 1 307.803 1.632 20 0 DDADMM Cn1cc(Cl)c([N-]S(=O)(=O)c2ccc3c(c2)CCO3)n1 ZINC000882797212 707800547 /nfs/dbraw/zinc/80/05/47/707800547.db2.gz BFWKBKGMPDHIFZ-UHFFFAOYSA-N -1 1 313.766 1.809 20 0 DDADMM O=C([O-])CCCOc1ccc(NC(=O)CN2CCCC2)cc1 ZINC000909333352 712993998 /nfs/dbraw/zinc/99/39/98/712993998.db2.gz ZXOPHNPLTKABRS-UHFFFAOYSA-N -1 1 306.362 1.965 20 0 DDADMM CCCCC[C@H](NC(=O)c1ccc(CN(C)C)nc1)C(=O)[O-] ZINC000909347900 712997014 /nfs/dbraw/zinc/99/70/14/712997014.db2.gz PXLSVHFVNCHQDT-AWEZNQCLSA-N -1 1 307.394 1.907 20 0 DDADMM CC(C)N1CCC[C@H]1C(=O)N[C@H](C(=O)[O-])c1ccccc1F ZINC000909359075 712999916 /nfs/dbraw/zinc/99/99/16/712999916.db2.gz FZOUQKBEMHPDJA-KBPBESRZSA-N -1 1 308.353 1.940 20 0 DDADMM COc1ccc2c(c1)CCN2C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909406223 713010097 /nfs/dbraw/zinc/01/00/97/713010097.db2.gz BGXIHHCXOSLXAP-ZDUSSCGKSA-N -1 1 318.373 1.381 20 0 DDADMM CC(C)(NC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccc(F)cc1 ZINC000909407305 713010312 /nfs/dbraw/zinc/01/03/12/713010312.db2.gz NXUOWFZFWVZSOP-LBPRGKRZSA-N -1 1 322.380 1.974 20 0 DDADMM CNC(=O)CCCN(C)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897181362 708246217 /nfs/dbraw/zinc/24/62/17/708246217.db2.gz ZQVUCTZFTJFHFP-UHFFFAOYSA-N -1 1 316.357 1.849 20 0 DDADMM O=C(NC[C@@H]1CC[C@@H](C(F)(F)F)O1)C(=O)c1ccc([O-])cc1 ZINC000927572858 713012334 /nfs/dbraw/zinc/01/23/34/713012334.db2.gz NDXMYQWIGIERAZ-QWRGUYRKSA-N -1 1 317.263 1.801 20 0 DDADMM O=C([O-])c1ccc2c(c1)CN(C(=O)[C@@H]1C[C@H]1c1cnc[nH]1)CC2 ZINC000909453449 713022057 /nfs/dbraw/zinc/02/20/57/713022057.db2.gz AHHVUQXRMZIEBY-ZIAGYGMSSA-N -1 1 311.341 1.796 20 0 DDADMM CCCCC[C@@H]([N-]S(=O)(=O)c1ccns1)C(=O)OC ZINC000885047645 708454852 /nfs/dbraw/zinc/45/48/52/708454852.db2.gz XTEQHFWYOXMCAV-SECBINFHSA-N -1 1 306.409 1.543 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC[C@@H]1C ZINC000912527249 713030808 /nfs/dbraw/zinc/03/08/08/713030808.db2.gz BTYVETXBCQNDKF-WCBMZHEXSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1cc(C)cc(C)c1 ZINC000912527681 713030881 /nfs/dbraw/zinc/03/08/81/713030881.db2.gz GLZVHXUGTOQPMW-UHFFFAOYSA-N -1 1 310.331 1.581 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@@H](C)C1 ZINC000912529270 713031168 /nfs/dbraw/zinc/03/11/68/713031168.db2.gz CGONQTAPOVXBIX-RKDXNWHRSA-N -1 1 301.368 1.053 20 0 DDADMM O=S(=O)([N-][C@@H](CO)Cc1ccc(F)cc1)c1ccns1 ZINC000885081145 708465756 /nfs/dbraw/zinc/46/57/56/708465756.db2.gz IPIYQYUUPRWQOG-LLVKDONJSA-N -1 1 316.379 1.164 20 0 DDADMM COCC[C@H]([N-]S(=O)(=O)c1ccns1)c1ccco1 ZINC000885093796 708469575 /nfs/dbraw/zinc/46/95/75/708469575.db2.gz GGPINTJGZFRHAW-VIFPVBQESA-N -1 1 302.377 1.792 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(C)(C)c1ccccc1 ZINC000912533140 713031779 /nfs/dbraw/zinc/03/17/79/713031779.db2.gz BOTOUIOBSXEZGP-UHFFFAOYSA-N -1 1 323.374 1.410 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccns2)[C@]12CCCO2 ZINC000885104030 708473453 /nfs/dbraw/zinc/47/34/53/708473453.db2.gz KWAOPCMDWWJQBU-CKYFFXLPSA-N -1 1 318.420 1.148 20 0 DDADMM Cc1ccc([C@@H](O)[C@H](C)[N-]S(=O)(=O)c2ccns2)cc1 ZINC000885186219 708488389 /nfs/dbraw/zinc/48/83/89/708488389.db2.gz VMOYIPCVEVWYRX-GWCFXTLKSA-N -1 1 312.416 1.852 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1ccns1)Cc1ccccc1 ZINC000885214878 708495961 /nfs/dbraw/zinc/49/59/61/708495961.db2.gz XSNSPDKUGLRRAS-LBPRGKRZSA-N -1 1 312.416 1.679 20 0 DDADMM O=S(=O)([N-][C@H]([C@H](CO)C1CC1)C1CC1)c1ccns1 ZINC000885217230 708496770 /nfs/dbraw/zinc/49/67/70/708496770.db2.gz CYDJIQROWPATTH-PWSUYJOCSA-N -1 1 302.421 1.219 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000885381959 708531177 /nfs/dbraw/zinc/53/11/77/708531177.db2.gz DQUNTDAHFBNMLC-DTWKUNHWSA-N -1 1 320.361 1.546 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)c1 ZINC000885620505 708585885 /nfs/dbraw/zinc/58/58/85/708585885.db2.gz KVIRYXRMMOQJHY-XPXLGCRWSA-N -1 1 313.375 1.637 20 0 DDADMM CN1CC[C@](C)(C(=O)Nc2cc(F)c([O-])cc2Cl)C1=O ZINC000885679738 708596231 /nfs/dbraw/zinc/59/62/31/708596231.db2.gz GDYKPEATWQRNSL-CYBMUJFWSA-N -1 1 300.717 1.992 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCO[C@H]1CCOC1 ZINC000885729878 708610523 /nfs/dbraw/zinc/61/05/23/708610523.db2.gz VKRNEFVXDMTFSD-NSHDSACASA-N -1 1 323.393 1.323 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)[C@@H]1CCCOC1 ZINC000885750505 708617147 /nfs/dbraw/zinc/61/71/47/708617147.db2.gz OURLNCQAJRTSGV-GHMZBOCLSA-N -1 1 320.436 1.171 20 0 DDADMM O=C(CC1OCCCO1)NCCc1c(F)cc([O-])cc1F ZINC000886266171 708732433 /nfs/dbraw/zinc/73/24/33/708732433.db2.gz BPUROINFBMXGHE-UHFFFAOYSA-N -1 1 301.289 1.482 20 0 DDADMM CN1C(=O)CCC[C@@H]1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886271245 708733599 /nfs/dbraw/zinc/73/35/99/708733599.db2.gz BRPMJYCGNCYHRZ-CYBMUJFWSA-N -1 1 312.316 1.340 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCC[C@H](O)C1 ZINC000927779196 713053820 /nfs/dbraw/zinc/05/38/20/713053820.db2.gz VAYHWIUEVAKJSS-VIFPVBQESA-N -1 1 300.305 1.379 20 0 DDADMM Cc1cc(Br)c(CC(=O)N(C)c2nn[n-]n2)s1 ZINC000912617578 713052710 /nfs/dbraw/zinc/05/27/10/713052710.db2.gz GWMJLJHSVGPXOG-UHFFFAOYSA-N -1 1 316.184 1.538 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CC[C@H](C(F)(F)F)C1 ZINC000898755348 708856080 /nfs/dbraw/zinc/85/60/80/708856080.db2.gz PKWUONBURZFEJY-RQJHMYQMSA-N -1 1 305.256 1.483 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)C[C@@]1(C(=O)[O-])CCc2ccccc21 ZINC000887316118 709015776 /nfs/dbraw/zinc/01/57/76/709015776.db2.gz KRKLSQNNSADMNP-KDOFPFPSSA-N -1 1 316.401 1.556 20 0 DDADMM C[C@]1(CNC(=O)CCCc2nn[n-]n2)OCCc2ccccc21 ZINC000899225091 709026730 /nfs/dbraw/zinc/02/67/30/709026730.db2.gz ZMGZYXOTOQXBPM-MRXNPFEDSA-N -1 1 315.377 1.127 20 0 DDADMM CN(CCC(=O)[O-])C(=O)[C@@H](N)c1ccc(Br)cc1 ZINC000887395808 709035477 /nfs/dbraw/zinc/03/54/77/709035477.db2.gz XCGZUQDHAFGNAM-NSHDSACASA-N -1 1 315.167 1.382 20 0 DDADMM C[C@@H]1C[C@@]2(CC[C@@H](CNC(=O)C(=O)c3ccc([O-])cc3)O2)CO1 ZINC000899475162 709092065 /nfs/dbraw/zinc/09/20/65/709092065.db2.gz BMZIVUZHUJCYEC-WHCBVINPSA-N -1 1 319.357 1.418 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC[C@](CO)(C(F)(F)F)C1 ZINC000899609100 709125050 /nfs/dbraw/zinc/12/50/50/709125050.db2.gz PFZZWPYEWGFQAR-LBPRGKRZSA-N -1 1 307.243 1.918 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@@H]([C@@H]4CCCO4)C3)ccnc1-2 ZINC000888055641 709202312 /nfs/dbraw/zinc/20/23/12/709202312.db2.gz CCFWMMSFWJUOHV-RISCZKNCSA-N -1 1 315.377 1.375 20 0 DDADMM CC1(CNC(=O)c2ncccc2[O-])CCC2(CC1)OCCO2 ZINC000888287908 709265278 /nfs/dbraw/zinc/26/52/78/709265278.db2.gz UDMKIENUNWSLGN-UHFFFAOYSA-N -1 1 306.362 1.840 20 0 DDADMM COC(=O)c1ccc(CN[C@H](C(=O)[O-])c2ccnn2C)cc1C ZINC000900040313 709274440 /nfs/dbraw/zinc/27/44/40/709274440.db2.gz HJNKEYLZVJYCMJ-AWEZNQCLSA-N -1 1 317.345 1.431 20 0 DDADMM C[C@H]1Cc2cc(CN[C@@H](C(=O)[O-])c3ccnn3C)ccc2O1 ZINC000900040202 709274523 /nfs/dbraw/zinc/27/45/23/709274523.db2.gz FIQJADQSFZUWMQ-ZUZCIYMTSA-N -1 1 301.346 1.659 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2ccc([O-])c(F)c2)CCS1(=O)=O ZINC000888385261 709295130 /nfs/dbraw/zinc/29/51/30/709295130.db2.gz RERIFWMNZIUKKP-SNVBAGLBSA-N -1 1 315.366 1.617 20 0 DDADMM CC(=O)N1CC[C@@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000912829894 713102333 /nfs/dbraw/zinc/10/23/33/713102333.db2.gz XQJZNEGUBBKEJN-SNVBAGLBSA-N -1 1 317.320 1.430 20 0 DDADMM CCC(=O)N1CCc2ccc(NC(=O)C3=C([O-])C(C)N=N3)cc21 ZINC000888684549 709361623 /nfs/dbraw/zinc/36/16/23/709361623.db2.gz XIQBKCMLEGOWEB-UHFFFAOYSA-N -1 1 314.345 1.975 20 0 DDADMM CSCC[C@H](NC(=O)[C@]12CCC[C@H]1OCC2)c1nn[n-]n1 ZINC000912858546 713109051 /nfs/dbraw/zinc/10/90/51/713109051.db2.gz UGPBNUJMCKKEHB-CWSCBRNRSA-N -1 1 311.411 1.069 20 0 DDADMM COCc1cccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c1 ZINC000912859887 713109569 /nfs/dbraw/zinc/10/95/69/713109569.db2.gz HVTHZWSXXFZMOJ-LBPRGKRZSA-N -1 1 321.406 1.570 20 0 DDADMM CCCCSCC(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912860772 713109887 /nfs/dbraw/zinc/10/98/87/713109887.db2.gz XYKCHPXKZNXWQG-VIFPVBQESA-N -1 1 303.457 1.643 20 0 DDADMM CSCC[C@H](NC(=O)CSc1ccncc1)c1nn[n-]n1 ZINC000912860450 713109917 /nfs/dbraw/zinc/10/99/17/713109917.db2.gz UHTPTDOKYIJDDJ-JTQLQIEISA-N -1 1 324.435 1.297 20 0 DDADMM CSCC[C@H](NC(=O)C1(c2ccccc2)CC1)c1nn[n-]n1 ZINC000912860667 713110010 /nfs/dbraw/zinc/11/00/10/713110010.db2.gz ZXUZARGLGKAEPZ-LBPRGKRZSA-N -1 1 317.418 1.842 20 0 DDADMM CC(=O)c1cn(C2CN(C(=O)c3ccc(Cl)cc3[O-])C2)nn1 ZINC000889537171 709537069 /nfs/dbraw/zinc/53/70/69/709537069.db2.gz RVHLLBGKOWHCLM-UHFFFAOYSA-N -1 1 320.736 1.537 20 0 DDADMM CCOC(=O)CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC000900357630 709552885 /nfs/dbraw/zinc/55/28/85/709552885.db2.gz YMQBKOHGOZPHHW-UHFFFAOYSA-N -1 1 305.334 1.227 20 0 DDADMM CC1CCN(CC(=O)N(C)[C@@H](Cc2ccccc2)C(=O)[O-])CC1 ZINC000909640411 709554167 /nfs/dbraw/zinc/55/41/67/709554167.db2.gz MVHNPEIUSRFUQU-INIZCTEOSA-N -1 1 318.417 1.873 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCC[C@H](OCC3CC3)C2)C1 ZINC000909727846 709597506 /nfs/dbraw/zinc/59/75/06/709597506.db2.gz HVSKCIVJGJJHDF-GJZGRUSLSA-N -1 1 324.421 1.201 20 0 DDADMM CCO[C@H](CCNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)C(C)C ZINC000909728895 709598388 /nfs/dbraw/zinc/59/83/88/709598388.db2.gz GKYSMIFYCBMFAF-ZIAGYGMSSA-N -1 1 314.426 1.350 20 0 DDADMM CCC(CC)(CNC(=O)c1ccc2n1CCN[C@@H]2C)C(=O)[O-] ZINC000900462430 709606652 /nfs/dbraw/zinc/60/66/52/709606652.db2.gz HQDUXMPRFAEXOO-LLVKDONJSA-N -1 1 307.394 1.773 20 0 DDADMM O=C([O-])[C@]1(C(F)(F)F)CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC000909768087 709609742 /nfs/dbraw/zinc/60/97/42/709609742.db2.gz ONZDPYYOBMZHPE-RWYTXXIDSA-N -1 1 317.267 1.379 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H]2CC(C)=NO2)[n-]c1=O ZINC000889785483 709634999 /nfs/dbraw/zinc/63/49/99/709634999.db2.gz GDIVFPAOUNPSTJ-ZJUUUORDSA-N -1 1 306.322 1.019 20 0 DDADMM O=C(NC1CC(CCO)C1)c1c([O-])cnc2c(F)cccc21 ZINC000889987317 709698065 /nfs/dbraw/zinc/69/80/65/709698065.db2.gz AHIMSDQLSQRYRT-UHFFFAOYSA-N -1 1 304.321 1.970 20 0 DDADMM O=C([O-])C[C@@H](CNC(=O)Cc1n[nH]c2c1CCCC2)C1CC1 ZINC000909968182 709712343 /nfs/dbraw/zinc/71/23/43/709712343.db2.gz HZXLMPLWVHQAID-NSHDSACASA-N -1 1 305.378 1.448 20 0 DDADMM COC(=O)[C@@H]1O[C@@H](C(=O)Nc2cc([O-])c(F)cc2F)C[C@H]1C ZINC000909973415 709714431 /nfs/dbraw/zinc/71/44/31/709714431.db2.gz ZHCYNQHEBUWLLZ-JPWUCKPDSA-N -1 1 315.272 1.576 20 0 DDADMM C[C@H]1CCN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)CCS1 ZINC000910015512 709736309 /nfs/dbraw/zinc/73/63/09/709736309.db2.gz LAXRSZUMVKKNIX-RYUDHWBXSA-N -1 1 300.424 1.137 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1ccc(O)c(Cl)c1)C1CCOCC1 ZINC000910016265 709737004 /nfs/dbraw/zinc/73/70/04/709737004.db2.gz STUXJWYVYNJRQS-LBPRGKRZSA-N -1 1 313.737 1.655 20 0 DDADMM CC1(C(=O)[O-])CN(C(=O)c2cc(-c3ccc(F)cc3)[nH]n2)C1 ZINC000910057349 709758366 /nfs/dbraw/zinc/75/83/66/709758366.db2.gz UUQVTQFAJYIYIU-UHFFFAOYSA-N -1 1 303.293 1.763 20 0 DDADMM CC(C)N1CCC[C@H]1C(=O)N[C@@H](C)c1ncc(C(=O)[O-])s1 ZINC000910150038 709792183 /nfs/dbraw/zinc/79/21/83/709792183.db2.gz LTDWMZLUWSBEDE-UWVGGRQHSA-N -1 1 311.407 1.891 20 0 DDADMM Cc1cccnc1/C=C/C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910175614 709798108 /nfs/dbraw/zinc/79/81/08/709798108.db2.gz PXOSIJRGRCNCIF-VBROQKIQSA-N -1 1 317.389 1.411 20 0 DDADMM C[C@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccc(F)cc1 ZINC000910246407 709837553 /nfs/dbraw/zinc/83/75/53/709837553.db2.gz RBPLKKDDGZMEKI-TZMCWYRMSA-N -1 1 322.380 1.842 20 0 DDADMM Cc1nc(NCCCN2C[C@@H](C)O[C@@H](C)C2)ncc1C(=O)[O-] ZINC000910276180 709859057 /nfs/dbraw/zinc/85/90/57/709859057.db2.gz ABVNLUAAJINTPB-PHIMTYICSA-N -1 1 308.382 1.394 20 0 DDADMM Cc1nc(N2CC[C@@H](CN3CCOCC3)C2)ccc1C(=O)[O-] ZINC000910299498 709875632 /nfs/dbraw/zinc/87/56/32/709875632.db2.gz MNKZMHPXMHNTCM-ZDUSSCGKSA-N -1 1 305.378 1.247 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@@H](C1CCC1)[C@@H]1CCCO1 ZINC000910313421 709884442 /nfs/dbraw/zinc/88/44/42/709884442.db2.gz WYTWUQFGJWVTKO-DZKIICNBSA-N -1 1 324.421 1.247 20 0 DDADMM CC[C@@H]1c2ccccc2CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000890609463 709927402 /nfs/dbraw/zinc/92/74/02/709927402.db2.gz CJMLMOWDIRYHDE-CQSZACIVSA-N -1 1 313.357 1.420 20 0 DDADMM CN(CC(=O)N[C@@H]1C[C@H](C(=O)[O-])c2ccccc21)C1CCC1 ZINC000910473091 709959549 /nfs/dbraw/zinc/95/95/49/709959549.db2.gz FQLPBFDMAHOXHV-LSDHHAIUSA-N -1 1 302.374 1.900 20 0 DDADMM O=C(CNC(=O)c1cnc(C2CC2)[n-]c1=O)c1cccs1 ZINC000901243787 709982336 /nfs/dbraw/zinc/98/23/36/709982336.db2.gz OOZSOOAWQTWWGZ-UHFFFAOYSA-N -1 1 303.343 1.734 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000901454911 710045472 /nfs/dbraw/zinc/04/54/72/710045472.db2.gz WEAWAZBYZODPJX-UEKVPHQBSA-N -1 1 305.378 1.789 20 0 DDADMM O=C([O-])[C@]1(F)CCN(Cc2ccc(N3CCOCC3)cc2)C1 ZINC000901518166 710063639 /nfs/dbraw/zinc/06/36/39/710063639.db2.gz VRVBKJKGAMFRKP-INIZCTEOSA-N -1 1 308.353 1.522 20 0 DDADMM Cn1c(Cl)ncc1CN[C@@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC000901529990 710066881 /nfs/dbraw/zinc/06/68/81/710066881.db2.gz MXJWKJLURAVDJS-CYBMUJFWSA-N -1 1 321.764 1.924 20 0 DDADMM O=C(N[C@H](CO)[C@@H](O)C1CCCCC1)c1c([O-])cccc1F ZINC000913049341 713154376 /nfs/dbraw/zinc/15/43/76/713154376.db2.gz QXULCYCFGSDURS-DOMZBBRYSA-N -1 1 311.353 1.563 20 0 DDADMM COCCn1c(=O)cc([O-])nc1S[C@H](C)C(=O)C(C)(C)C ZINC000901654533 710109629 /nfs/dbraw/zinc/10/96/29/710109629.db2.gz QBSJYRKAQWCAOD-SECBINFHSA-N -1 1 314.407 1.691 20 0 DDADMM CCn1cc(Cl)c([N-]S(=O)(=O)C[C@H]2CCCCO2)n1 ZINC000901715108 710130477 /nfs/dbraw/zinc/13/04/77/710130477.db2.gz XBTQCXVQGHMNKN-SECBINFHSA-N -1 1 307.803 1.867 20 0 DDADMM C[C@H](NCc1csc(C(F)(F)F)c1)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901745766 710139535 /nfs/dbraw/zinc/13/95/35/710139535.db2.gz PLWFFBOIQRESCH-BQBZGAKWSA-N -1 1 324.324 1.834 20 0 DDADMM CCCOc1c(CN2CC[C@](O)(C(=O)[O-])C2)cccc1OCC ZINC000901901370 710169131 /nfs/dbraw/zinc/16/91/31/710169131.db2.gz IURCTTSALHJMIR-QGZVFWFLSA-N -1 1 323.389 1.896 20 0 DDADMM COCc1nc(NCc2ccc(OC)c(OC)c2)cc(=O)[n-]1 ZINC000891429065 710199116 /nfs/dbraw/zinc/19/91/16/710199116.db2.gz JFSAPFURGAGRHL-UHFFFAOYSA-N -1 1 305.334 1.958 20 0 DDADMM COCc1nc(N2CCC(O[C@H]3CCOC3)CC2)cc(=O)[n-]1 ZINC000892807830 710504513 /nfs/dbraw/zinc/50/45/13/710504513.db2.gz XIBFKWXPXVNGKO-LBPRGKRZSA-N -1 1 309.366 1.103 20 0 DDADMM COCc1nc(N[C@@H]2COc3cc(OC)ccc3C2)cc(=O)[n-]1 ZINC000893067896 710549921 /nfs/dbraw/zinc/54/99/21/710549921.db2.gz ICFYHXJPORADLB-NSHDSACASA-N -1 1 317.345 1.753 20 0 DDADMM COC1(OC)CC(NC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000911020416 710597890 /nfs/dbraw/zinc/59/78/90/710597890.db2.gz CYNARQFATMMMMV-UHFFFAOYSA-N -1 1 302.330 1.822 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NCC1(C(=O)[O-])CCCC1 ZINC000902063444 710600927 /nfs/dbraw/zinc/60/09/27/710600927.db2.gz XZYIETOTAYWPRU-UHFFFAOYSA-N -1 1 318.417 1.922 20 0 DDADMM Cc1ccc(O[C@H](C)CNCc2cn(CC(=O)[O-])nn2)cc1 ZINC000902124544 710623545 /nfs/dbraw/zinc/62/35/45/710623545.db2.gz GAUYNOLTOLRGRS-GFCCVEGCSA-N -1 1 304.350 1.228 20 0 DDADMM Cn1cc(C[C@H](CO)NC(=O)Cc2ccc([O-])c(Cl)c2)cn1 ZINC000911103029 710634723 /nfs/dbraw/zinc/63/47/23/710634723.db2.gz MRLKLPNZVOZAQZ-GFCCVEGCSA-N -1 1 323.780 1.041 20 0 DDADMM O=C([O-])C[C@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)C(F)(F)F ZINC000911160225 710662555 /nfs/dbraw/zinc/66/25/55/710662555.db2.gz BXZAMTRPAMMYDT-MUWHJKNJSA-N -1 1 305.256 1.351 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)Nc1ccc(CN2CCN(C)CC2)cc1 ZINC000911174975 710669644 /nfs/dbraw/zinc/66/96/44/710669644.db2.gz CJTSSURBDLSKHZ-HNNXBMFYSA-N -1 1 319.405 1.483 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000911304609 710735693 /nfs/dbraw/zinc/73/56/93/710735693.db2.gz WIFVMMBBQKSWDD-DOMZBBRYSA-N -1 1 304.390 1.660 20 0 DDADMM C[C@]1(CNCc2cc(C(=O)[O-])no2)OCCc2ccccc21 ZINC000902496615 710771242 /nfs/dbraw/zinc/77/12/42/710771242.db2.gz IXLGPFFHOPUVCJ-MRXNPFEDSA-N -1 1 302.330 1.951 20 0 DDADMM CC1(C)[C@@H](NC(=O)c2ncc3ccccc3c2[O-])CS1(=O)=O ZINC000911454089 710805702 /nfs/dbraw/zinc/80/57/02/710805702.db2.gz CHJBDHJRCSJOPH-NSHDSACASA-N -1 1 320.370 1.246 20 0 DDADMM CO[C@@H](C(=O)[O-])C(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000902627210 710825607 /nfs/dbraw/zinc/82/56/07/710825607.db2.gz JFHBDQLFOVQTBN-ZWNOBZJWSA-N -1 1 309.366 1.339 20 0 DDADMM CN1CCN(c2ccc(CNC(=O)C(C)(C)C(=O)[O-])cc2)CC1 ZINC000902693421 710865419 /nfs/dbraw/zinc/86/54/19/710865419.db2.gz VZSCQZZUVCRVBW-UHFFFAOYSA-N -1 1 319.405 1.165 20 0 DDADMM COCc1nc(NC[C@@]2(CO)C[C@@H]2c2ccccc2)cc(=O)[n-]1 ZINC000893908515 710882801 /nfs/dbraw/zinc/88/28/01/710882801.db2.gz RENLXENKPCMQMU-CXAGYDPISA-N -1 1 315.373 1.907 20 0 DDADMM O=C([O-])[C@@H]1CO[C@H](CCC(=O)NCc2ccc3cncn3c2)C1 ZINC000911646230 710903501 /nfs/dbraw/zinc/90/35/01/710903501.db2.gz TZBNGHGAURHXQJ-GXTWGEPZSA-N -1 1 317.345 1.220 20 0 DDADMM O=S1(=O)C=C([O-])c2sccc2N1CCN1CCCCC1 ZINC000902801312 710905101 /nfs/dbraw/zinc/90/51/01/710905101.db2.gz KAAHRBXLUYKNKS-UHFFFAOYSA-N -1 1 314.432 1.567 20 0 DDADMM CCC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCOCC1 ZINC000913438990 713216044 /nfs/dbraw/zinc/21/60/44/713216044.db2.gz VKMDTJJKENHCLH-ZDUSSCGKSA-N -1 1 315.377 1.612 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)cc1C(=O)[O-] ZINC000911772625 710976615 /nfs/dbraw/zinc/97/66/15/710976615.db2.gz RUJAGICPDHODQE-LLVKDONJSA-N -1 1 300.318 1.441 20 0 DDADMM CC(C)(CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC000913494272 713237146 /nfs/dbraw/zinc/23/71/46/713237146.db2.gz DAGMEBFKXBEDEI-CYBMUJFWSA-N -1 1 315.377 1.468 20 0 DDADMM O=C([O-])c1ccc2c(c1)CCN(CCOC[C@@H]1CCCO1)C2 ZINC000903619076 711226304 /nfs/dbraw/zinc/22/63/04/711226304.db2.gz QLKVNVKFBKLYPW-INIZCTEOSA-N -1 1 305.374 1.939 20 0 DDADMM O=C(Cc1cccc(C(F)F)c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496863 713238869 /nfs/dbraw/zinc/23/88/69/713238869.db2.gz FPMCLMGVGFBCDC-LLVKDONJSA-N -1 1 323.303 1.280 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)Nc1cccc(F)c1 ZINC000903711834 711250187 /nfs/dbraw/zinc/25/01/87/711250187.db2.gz MAVIKFLHDAAYSG-LLVKDONJSA-N -1 1 312.341 1.576 20 0 DDADMM O=C(CC[C@@H]1CC1(Cl)Cl)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913498583 713239359 /nfs/dbraw/zinc/23/93/59/713239359.db2.gz IOVIJDBSBGVFCR-HTQZYQBOSA-N -1 1 320.180 1.074 20 0 DDADMM Cc1c[nH]nc1CN[C@@H](CC(=O)[O-])c1ccc2c(c1)OCO2 ZINC000904049570 711370590 /nfs/dbraw/zinc/37/05/90/711370590.db2.gz SRQJMYTUKMVZIM-NSHDSACASA-N -1 1 303.318 1.752 20 0 DDADMM O=C([O-])N[C@H]1C[C@H](NCc2nnc3n2CCCCC3)C12CCC2 ZINC000904206094 711408825 /nfs/dbraw/zinc/40/88/25/711408825.db2.gz ZJUDSPYGBGEVFP-RYUDHWBXSA-N -1 1 319.409 1.673 20 0 DDADMM Cc1ccc(-n2[n-]c(C(=O)NC34CCN(CC3)C4)cc2=O)cc1 ZINC000913630863 713272539 /nfs/dbraw/zinc/27/25/39/713272539.db2.gz LIKJSIJYAOMSCO-UHFFFAOYSA-N -1 1 312.373 1.052 20 0 DDADMM CC(C)(C)NS(=O)(=O)C1(C[N-]C(=O)C(F)(F)F)CCC1 ZINC000905009018 711909729 /nfs/dbraw/zinc/90/97/29/711909729.db2.gz MKHGYKCRHALMIE-UHFFFAOYSA-N -1 1 316.345 1.306 20 0 DDADMM O=C(C=Cc1ccccc1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913742209 713291795 /nfs/dbraw/zinc/29/17/95/713291795.db2.gz NMVSGSLBFVITQA-WAYWQWQTSA-N -1 1 301.325 1.758 20 0 DDADMM CCC(=O)c1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000913743624 713292448 /nfs/dbraw/zinc/29/24/48/713292448.db2.gz DJRHUHVLWDAZBA-UHFFFAOYSA-N -1 1 313.361 1.812 20 0 DDADMM O=C(COc1cc(F)cc(F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744850 713293233 /nfs/dbraw/zinc/29/32/33/713293233.db2.gz HMLDDWWFBPQAHE-UHFFFAOYSA-N -1 1 323.303 1.263 20 0 DDADMM O=C(C=CC=C(Cl)Cl)N1CCC(c2nn[n-]n2)CC1 ZINC000913745382 713293560 /nfs/dbraw/zinc/29/35/60/713293560.db2.gz BIBKDPRWIOCKTG-HNQUOIGGSA-N -1 1 302.165 1.781 20 0 DDADMM CSc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(C)o1 ZINC000913745596 713293612 /nfs/dbraw/zinc/29/36/12/713293612.db2.gz KXXUWZWZASEZBK-UHFFFAOYSA-N -1 1 307.379 1.843 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H](C)[C@@H]1CCCO1 ZINC000906694234 712392221 /nfs/dbraw/zinc/39/22/21/712392221.db2.gz XHTCSBXFYSYDNB-RNCFNFMXSA-N -1 1 318.377 1.483 20 0 DDADMM CC(C)[C@H]1CN(S(=O)(=O)c2cccc(C(=O)[O-])c2)CCN1 ZINC000907057404 712476745 /nfs/dbraw/zinc/47/67/45/712476745.db2.gz UUKHKTDNWJWTNF-CYBMUJFWSA-N -1 1 312.391 1.003 20 0 DDADMM O=C(N[C@H]1CN(c2ccccc2)C1=O)c1cnc(C2CC2)[n-]c1=O ZINC000907288769 712534585 /nfs/dbraw/zinc/53/45/85/712534585.db2.gz WCPLWIQLBZPBER-ZDUSSCGKSA-N -1 1 324.340 1.205 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCSC[C@H]2c2nn[n-]n2)s1 ZINC000907472139 712580607 /nfs/dbraw/zinc/58/06/07/712580607.db2.gz MHKFXAUWQASCJO-ZMWSMAPVSA-N -1 1 322.419 1.295 20 0 DDADMM O=C(c1scnc1Cl)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477103 712582477 /nfs/dbraw/zinc/58/24/77/712582477.db2.gz QDJXOAUEZMPYCX-YFKPBYRVSA-N -1 1 316.799 1.240 20 0 DDADMM CCc1cncc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000907479679 712583269 /nfs/dbraw/zinc/58/32/69/712583269.db2.gz LULZRRLOIPXPIC-LLVKDONJSA-N -1 1 304.379 1.087 20 0 DDADMM O=C(c1csc(Cl)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481064 712583830 /nfs/dbraw/zinc/58/38/30/712583830.db2.gz YKJZTILWJFYMAJ-SSDOTTSWSA-N -1 1 315.811 1.845 20 0 DDADMM Cc1ncccc1C=CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481245 712583894 /nfs/dbraw/zinc/58/38/94/712583894.db2.gz UNBCBZINXYTMFK-RXNFCKPNSA-N -1 1 316.390 1.233 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@H]3CCC[C@@H]32)o1 ZINC000907971857 712658291 /nfs/dbraw/zinc/65/82/91/712658291.db2.gz XIPPKXBZOXNVGS-OUAUKWLOSA-N -1 1 313.375 1.923 20 0 DDADMM CC1(C)CCC[C@@H](C[N-]S(=O)(=O)c2c[nH]nc2Cl)O1 ZINC000908099229 712687069 /nfs/dbraw/zinc/68/70/69/712687069.db2.gz KGELKOABBQATBJ-QMMMGPOBSA-N -1 1 307.803 1.689 20 0 DDADMM C/C=C/[C@@H](O)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000908207159 712710430 /nfs/dbraw/zinc/71/04/30/712710430.db2.gz ZSNQZNNNEHRXEN-WTUDTPTNSA-N -1 1 322.327 1.227 20 0 DDADMM COCC[C@@H](COC)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000908291621 712729826 /nfs/dbraw/zinc/72/98/26/712729826.db2.gz UPAAFMGBFBXZLX-JTQLQIEISA-N -1 1 322.336 1.861 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@H](O)C12CCCC2 ZINC000908400408 712760950 /nfs/dbraw/zinc/76/09/50/712760950.db2.gz HHJTZBHNPHRKEE-LBPRGKRZSA-N -1 1 303.362 1.579 20 0 DDADMM COCC1(CCNC(=O)c2cnc(C3CC3)[n-]c2=O)CCC1 ZINC000908418343 712766915 /nfs/dbraw/zinc/76/69/15/712766915.db2.gz OPYXBNOQXPCSAG-UHFFFAOYSA-N -1 1 305.378 1.996 20 0 DDADMM CN(C)C[C@H](NC(=O)Nc1ccc2c(c1)C(=O)CCC2)C(=O)[O-] ZINC000908622950 712825021 /nfs/dbraw/zinc/82/50/21/712825021.db2.gz WKINUQWGDIBKAB-ZDUSSCGKSA-N -1 1 319.361 1.342 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)[C@]1(O)CCSC1 ZINC000908708792 712839128 /nfs/dbraw/zinc/83/91/28/712839128.db2.gz AYFZTYXDZCIYCI-NSHDSACASA-N -1 1 318.192 1.961 20 0 DDADMM Cc1ccc(CN(C)C(=O)CN2CCC[C@@H](C(=O)[O-])C2)s1 ZINC000908779097 712853101 /nfs/dbraw/zinc/85/31/01/712853101.db2.gz PVUSCMPACASMED-GFCCVEGCSA-N -1 1 310.419 1.812 20 0 DDADMM CN(C)C(=O)NC1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000908796932 712856473 /nfs/dbraw/zinc/85/64/73/712856473.db2.gz AOEBUPPFIWGUDF-UHFFFAOYSA-N -1 1 309.341 1.407 20 0 DDADMM COc1cccc([C@H](CC(=O)[O-])NC(=O)C[N@@H+](C)C2CCC2)c1 ZINC000908857150 712870578 /nfs/dbraw/zinc/87/05/78/712870578.db2.gz VRCOQFLWPYEAPC-HNNXBMFYSA-N -1 1 320.389 1.812 20 0 DDADMM COc1cccc([C@H](CC(=O)[O-])NC(=O)CN(C)C2CCC2)c1 ZINC000908857150 712870579 /nfs/dbraw/zinc/87/05/79/712870579.db2.gz VRCOQFLWPYEAPC-HNNXBMFYSA-N -1 1 320.389 1.812 20 0 DDADMM CCN(CC(=O)[O-])C(=O)[C@H]1CCCCN1Cc1ccccc1 ZINC000908951471 712895035 /nfs/dbraw/zinc/89/50/35/712895035.db2.gz RYSWLNPHPRQJBL-OAHLLOKOSA-N -1 1 304.390 1.974 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@H]1CCCOC1 ZINC000914292967 713371695 /nfs/dbraw/zinc/37/16/95/713371695.db2.gz DKKDTWHOBJIQEE-SNVBAGLBSA-N -1 1 314.332 1.939 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccoc1)c1noc(C(F)(F)F)n1 ZINC000916398649 713453107 /nfs/dbraw/zinc/45/31/07/713453107.db2.gz DCZCKALJOZVTSO-RXMQYKEDSA-N -1 1 311.241 1.721 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@H]1CCCCC1(OC)OC)C1CC1 ZINC000918060317 713527168 /nfs/dbraw/zinc/52/71/68/713527168.db2.gz BMFIQTQPNQJYTF-STQMWFEESA-N -1 1 321.439 1.263 20 0 DDADMM C[C@H](CN(C)[C@H](C)CS(C)(=O)=O)C(=O)c1ccc([O-])cc1 ZINC000929627608 713654336 /nfs/dbraw/zinc/65/43/36/713654336.db2.gz ZUCRFEFGGJSUHW-VXGBXAGGSA-N -1 1 313.419 1.576 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)N[C@H](C)C2CC2)[n-]c1=O ZINC000929920633 713718582 /nfs/dbraw/zinc/71/85/82/713718582.db2.gz GQLCSUDMFYGOEX-MWLCHTKSSA-N -1 1 306.366 1.836 20 0 DDADMM O=C(COC(=O)c1cccc(CO)c1)[N-]C(=O)c1ccccc1 ZINC000921229872 713723542 /nfs/dbraw/zinc/72/35/42/713723542.db2.gz OPRSMEJOXFEZGQ-UHFFFAOYSA-N -1 1 313.309 1.292 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)CCS1 ZINC000921717764 713852581 /nfs/dbraw/zinc/85/25/81/713852581.db2.gz MXQXPPCJXIVWAW-SFYZADRCSA-N -1 1 309.844 1.636 20 0 DDADMM CCOCCOCC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000921887091 713897374 /nfs/dbraw/zinc/89/73/74/713897374.db2.gz IVHMAMGXMKLSJW-UHFFFAOYSA-N -1 1 313.375 1.764 20 0 DDADMM Cn1ccc(CCNC(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC000921969883 713923810 /nfs/dbraw/zinc/92/38/10/713923810.db2.gz WEPNEPNMWKCHFG-UHFFFAOYSA-N -1 1 324.331 1.488 20 0 DDADMM CO[N-]C(=O)CNCc1cc(Cl)ccc1Br ZINC000922830024 714161552 /nfs/dbraw/zinc/16/15/52/714161552.db2.gz MTTSPMRUIUIZMN-UHFFFAOYSA-N -1 1 307.575 1.870 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)NCCc2c(F)cc([O-])cc2F)CO1 ZINC000923050594 714223007 /nfs/dbraw/zinc/22/30/07/714223007.db2.gz ZTDOBHRZSMPLMY-BDAKNGLRSA-N -1 1 300.305 1.690 20 0 DDADMM C[C@](CO)(NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1CC1 ZINC000932044154 714245384 /nfs/dbraw/zinc/24/53/84/714245384.db2.gz DFNUWEDUTWCTLR-MRXNPFEDSA-N -1 1 316.361 1.860 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCO[C@@H](CF)C1 ZINC000932055085 714247979 /nfs/dbraw/zinc/24/79/79/714247979.db2.gz UQKCRYHMMXAGAG-ZDUSSCGKSA-N -1 1 320.324 1.780 20 0 DDADMM COCC(COC)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932057361 714248691 /nfs/dbraw/zinc/24/86/91/714248691.db2.gz KQZAAVXDVQGSCM-UHFFFAOYSA-N -1 1 320.349 1.361 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC[C@H]2/C=C\c2ccccc2)C1=O ZINC000923272378 714292721 /nfs/dbraw/zinc/29/27/21/714292721.db2.gz HSCQUZJNKMHYJD-ALLYREJPSA-N -1 1 314.385 1.850 20 0 DDADMM CC[C@]1(C(=O)[O-])CCCN([C@@H](C)C(=O)Nc2cc(C)no2)C1 ZINC000923467546 714365752 /nfs/dbraw/zinc/36/57/52/714365752.db2.gz DGMUDGAYVCQLJW-NHYWBVRUSA-N -1 1 309.366 1.887 20 0 DDADMM COC(=O)[C@@H](C[C@H]1CCCO1)NC(=O)c1cc(F)ccc1[O-] ZINC000924869679 714683513 /nfs/dbraw/zinc/68/35/13/714683513.db2.gz OUXKVIJNQVKFRI-ZYHUDNBSSA-N -1 1 311.309 1.372 20 0 DDADMM COc1cnc([C@@H]2CCCN2C[C@H](O)CC(F)(F)F)[n-]c1=O ZINC000934274256 714783579 /nfs/dbraw/zinc/78/35/79/714783579.db2.gz SFFJJKLJUGWSJD-BDAKNGLRSA-N -1 1 321.299 1.641 20 0 DDADMM CCOC(CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1)OCC ZINC000934280786 714785813 /nfs/dbraw/zinc/78/58/13/714785813.db2.gz XXFRPWIOATUBJD-LLVKDONJSA-N -1 1 311.382 1.727 20 0 DDADMM CCc1noc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)n1 ZINC000934280897 714785818 /nfs/dbraw/zinc/78/58/18/714785818.db2.gz VAFPPVKCUNGMLH-VIFPVBQESA-N -1 1 305.338 1.473 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2scnc2c1)c1nn[n-]n1 ZINC000935365067 715037778 /nfs/dbraw/zinc/03/77/78/715037778.db2.gz BDLFTUOBYXJYRH-MRVPVSSYSA-N -1 1 302.363 1.685 20 0 DDADMM Cc1ccc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1Cl ZINC000935366129 715038410 /nfs/dbraw/zinc/03/84/10/715038410.db2.gz DVFROVPGTBAGMN-JTQLQIEISA-N -1 1 307.785 1.966 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956347400 715413729 /nfs/dbraw/zinc/41/37/29/715413729.db2.gz GJJZVXHTJCFLRP-LLVKDONJSA-N -1 1 323.368 1.646 20 0 DDADMM CC(C)(F)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956848638 715635625 /nfs/dbraw/zinc/63/56/25/715635625.db2.gz CVKCMRQCUQJOOJ-HNNXBMFYSA-N -1 1 309.341 1.256 20 0 DDADMM O=C(C1CCCCC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959855828 716321256 /nfs/dbraw/zinc/32/12/56/716321256.db2.gz KSOCBXFUMSMCFL-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960101238 716416495 /nfs/dbraw/zinc/41/64/95/716416495.db2.gz LYIRQUQHINWIGJ-RYUDHWBXSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1[nH]ccc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940131309 716642448 /nfs/dbraw/zinc/64/24/48/716642448.db2.gz ALTXMXNEGZVXIC-LLVKDONJSA-N -1 1 314.345 1.068 20 0 DDADMM C[C@@H]1CN(C(=O)CC2CC2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959316414 716954210 /nfs/dbraw/zinc/95/42/10/716954210.db2.gz AGGMVNWTBWLUSF-YPMHNXCESA-N -1 1 317.389 1.412 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)C1CC1 ZINC000959444192 717018887 /nfs/dbraw/zinc/01/88/87/717018887.db2.gz HDLPMLBJKCMJBO-CMPLNLGQSA-N -1 1 303.362 1.022 20 0 DDADMM CCCC(=O)N1CC[C@@H]2[C@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962946687 717636011 /nfs/dbraw/zinc/63/60/11/717636011.db2.gz REXHWFHHDAFBMK-CHWSQXEVSA-N -1 1 317.389 1.793 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2CCC2)C1 ZINC000942758670 717858215 /nfs/dbraw/zinc/85/82/15/717858215.db2.gz PQGLTBDGNFDZON-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000943793329 718190143 /nfs/dbraw/zinc/19/01/43/718190143.db2.gz KJOFNZGIEDEUIW-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943844566 718206124 /nfs/dbraw/zinc/20/61/24/718206124.db2.gz SIVURZWKECRRAN-GFCCVEGCSA-N -1 1 303.362 1.474 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943943852 718228847 /nfs/dbraw/zinc/22/88/47/718228847.db2.gz KKIINILVXGKWSB-NDZKXSSTSA-N -1 1 303.362 1.474 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000945137862 718407096 /nfs/dbraw/zinc/40/70/96/718407096.db2.gz HTRWBZJKOABHGT-QWHCGFSZSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1cc[nH]c1 ZINC000966325341 718515669 /nfs/dbraw/zinc/51/56/69/718515669.db2.gz DRWASTWTWGIHFN-JQWIXIFHSA-N -1 1 314.345 1.006 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1(C)CC1 ZINC000966325304 718515747 /nfs/dbraw/zinc/51/57/47/718515747.db2.gz CYKAHELHRGYMJN-QWRGUYRKSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946128012 718686882 /nfs/dbraw/zinc/68/68/82/718686882.db2.gz BBBIEXQEEHFZIC-VXGBXAGGSA-N -1 1 305.378 1.458 20 0 DDADMM CC1CC(C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)C1 ZINC000946325230 718745913 /nfs/dbraw/zinc/74/59/13/718745913.db2.gz IIDYGEQRKZKYHG-UHFFFAOYSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946538448 718823467 /nfs/dbraw/zinc/82/34/67/718823467.db2.gz CPAVNVUXSZLHFF-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H](C)C2CC2)C1 ZINC000967900537 719079302 /nfs/dbraw/zinc/07/93/02/719079302.db2.gz MZJMNBOLEIBTDD-NSHDSACASA-N -1 1 317.389 1.506 20 0 DDADMM Cc1oncc1C[NH+]1CC([C@@H](C)NC(=O)c2ccccc2O)C1 ZINC000969326408 720060391 /nfs/dbraw/zinc/06/03/91/720060391.db2.gz HACLCZDABBSGMW-LLVKDONJSA-N -1 1 315.373 1.939 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])o1 ZINC000949531314 720143022 /nfs/dbraw/zinc/14/30/22/720143022.db2.gz PJVHTSSIHVSSBA-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM Cc1cocc1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000951597072 721090930 /nfs/dbraw/zinc/09/09/30/721090930.db2.gz FSAYOKGNEDSUCN-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM CC(C)C(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000953328618 721594387 /nfs/dbraw/zinc/59/43/87/721594387.db2.gz RMGYKPHFWDIHRQ-CHWSQXEVSA-N -1 1 317.389 1.506 20 0 DDADMM CCC(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000953334505 721595090 /nfs/dbraw/zinc/59/50/90/721595090.db2.gz YBPOSXGIOHSNCG-NWDGAFQWSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCCCN1C(=O)C1CC1 ZINC000953518154 721619805 /nfs/dbraw/zinc/61/98/05/721619805.db2.gz ZITXKIMSSIEMDC-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM CN(C(=O)C1CC=CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953931483 721680272 /nfs/dbraw/zinc/68/02/72/721680272.db2.gz QMBVGEROZSMEBP-UHFFFAOYSA-N -1 1 301.346 1.036 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC000954124008 721723529 /nfs/dbraw/zinc/72/35/29/721723529.db2.gz HSRONPDYTVLEFV-IMRBUKKESA-N -1 1 315.373 1.116 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2CC3(CC3)C2)C1 ZINC000954127875 721724980 /nfs/dbraw/zinc/72/49/80/721724980.db2.gz JVZONUQVAALDIV-UHFFFAOYSA-N -1 1 315.373 1.260 20 0 DDADMM C[C@@H](O)C[C@H](C)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692850884 738534863 /nfs/dbraw/zinc/53/48/63/738534863.db2.gz ZEFMHHDVIGVMRZ-JGVFFNPUSA-N -1 1 310.778 1.559 20 0 DDADMM Cc1ncc(CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)s1 ZINC001010715745 733173128 /nfs/dbraw/zinc/17/31/28/733173128.db2.gz AIRTUUYUSVAKJJ-NSHDSACASA-N -1 1 318.402 1.556 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@H]1O)c1ccc(Cl)nc1F ZINC000692877995 738734144 /nfs/dbraw/zinc/73/41/44/738734144.db2.gz CGAJWZKGZULRJK-JGVFFNPUSA-N -1 1 308.762 1.456 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)[C@H](C)O1 ZINC000692878381 738735542 /nfs/dbraw/zinc/73/55/42/738735542.db2.gz PQFNVVDBULQUBV-GJMOJQLCSA-N -1 1 308.762 1.718 20 0 DDADMM Cc1cc[nH]c1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035919 734378389 /nfs/dbraw/zinc/37/83/89/734378389.db2.gz VEZKLYCKERYUOO-UHFFFAOYSA-N -1 1 314.345 1.022 20 0 DDADMM CC(=O)N1CCC(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)CC1 ZINC000891626555 734435300 /nfs/dbraw/zinc/43/53/00/734435300.db2.gz CHYXSDASPVAZGZ-UHFFFAOYSA-N -1 1 318.377 1.258 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@@H]3CCCN(CCF)[C@H]3C2(C)C)c1[O-] ZINC001087282053 735926932 /nfs/dbraw/zinc/92/69/32/735926932.db2.gz QSUNYYLXDCHUKS-ZLKJLUDKSA-N -1 1 324.400 1.612 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CCC[C@@H]3C[C@@H]32)c1Cl ZINC000694324525 736134838 /nfs/dbraw/zinc/13/48/38/736134838.db2.gz OTAFDKSYUGNHNB-UTLUCORTSA-N -1 1 303.815 1.788 20 0 DDADMM C[C@H](CNc1cccc(F)n1)N(C)C(=O)c1ncccc1[O-] ZINC001104536926 736203920 /nfs/dbraw/zinc/20/39/20/736203920.db2.gz XSYHOCDOBWYYGO-SNVBAGLBSA-N -1 1 304.325 1.894 20 0 DDADMM C[C@H](C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001024998518 736222021 /nfs/dbraw/zinc/22/20/21/736222021.db2.gz XNMZOUIEKVJUNN-WCQYABFASA-N -1 1 321.425 1.417 20 0 DDADMM CCC(C)(CC)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025164649 736334070 /nfs/dbraw/zinc/33/40/70/736334070.db2.gz BMSUBKUXDHVSAB-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM C[C@H](NCCNC(=O)c1ncccc1[O-])c1nc(C2CC2)no1 ZINC001126111008 738305239 /nfs/dbraw/zinc/30/52/39/738305239.db2.gz VISKMGWEHIWSQT-VIFPVBQESA-N -1 1 317.349 1.128 20 0 DDADMM CCc1onc(C)c1C[NH2+]CCNC(=O)c1ncccc1[O-] ZINC001126124631 738343572 /nfs/dbraw/zinc/34/35/72/738343572.db2.gz DPHZEYREPHZSBR-UHFFFAOYSA-N -1 1 304.350 1.166 20 0 DDADMM CC(C)(C)C[C@H](O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692904218 738986129 /nfs/dbraw/zinc/98/61/29/738986129.db2.gz JNSLWDGJQVOPSL-QMMMGPOBSA-N -1 1 324.805 1.950 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C(C)(C)C)CN1C(=O)c1ncccc1[O-] ZINC001012512898 741010378 /nfs/dbraw/zinc/01/03/78/741010378.db2.gz SUTNAQPZSMRCFQ-QWRGUYRKSA-N -1 1 305.378 1.553 20 0 DDADMM CC[C@H](C)C(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514578 741088414 /nfs/dbraw/zinc/08/84/14/741088414.db2.gz GJSDQYBKFVLNIU-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM C/C=C(\C)C(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059515341 741088882 /nfs/dbraw/zinc/08/88/82/741088882.db2.gz XCQMAUIKIFYRRG-ACDNVWSCSA-N -1 1 317.389 1.722 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029703033 741238936 /nfs/dbraw/zinc/23/89/36/741238936.db2.gz RJWZEBCRYIEFTK-JTQLQIEISA-N -1 1 311.361 1.054 20 0 DDADMM O=C(N[C@H]1C[C@@H](Nc2cc(F)ncn2)C1)c1ncccc1[O-] ZINC001059614412 741415726 /nfs/dbraw/zinc/41/57/26/741415726.db2.gz YUZBYNMBQUMMSW-DTORHVGOSA-N -1 1 303.297 1.089 20 0 DDADMM CCO[C@@H](CC)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076243881 742623162 /nfs/dbraw/zinc/62/31/62/742623162.db2.gz CJWWUNKMWOLXSO-AAEUAGOBSA-N -1 1 323.393 1.227 20 0 DDADMM O=C(CC1CC1)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002188238 742935207 /nfs/dbraw/zinc/93/52/07/742935207.db2.gz GPIBHQNJDFFOSH-UPJWGTAASA-N -1 1 315.373 1.449 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C(=O)CCc3ccccc3)c1-2 ZINC001180962440 743000075 /nfs/dbraw/zinc/00/00/75/743000075.db2.gz JEJUFKUDKWGLCD-UHFFFAOYSA-N -1 1 309.329 1.175 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)C1(c2ccccc2)COC1 ZINC001181555562 743241595 /nfs/dbraw/zinc/24/15/95/743241595.db2.gz PWVKDGVOCHWBAL-UHFFFAOYSA-N -1 1 312.251 1.730 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)[C@@H]1CCc2ccccc21 ZINC001181761952 743332171 /nfs/dbraw/zinc/33/21/71/743332171.db2.gz ARCYFCJSCAKZOM-SECBINFHSA-N -1 1 311.301 1.384 20 0 DDADMM C[C@H]1C(=O)OC[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001182091133 743489662 /nfs/dbraw/zinc/48/96/62/743489662.db2.gz WYHUDAHUELTJLV-BXKDBHETSA-N -1 1 301.302 1.326 20 0 DDADMM CS(=O)(=O)c1ccccc1-c1noc(-c2ncccc2[O-])n1 ZINC001212480782 743593293 /nfs/dbraw/zinc/59/32/93/743593293.db2.gz IJFLEKYFBRLJSN-UHFFFAOYSA-N -1 1 317.326 1.908 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cnc3ccccn23)n1 ZINC001182835635 743735519 /nfs/dbraw/zinc/73/55/19/743735519.db2.gz UIPIRCFHAYFQLH-UHFFFAOYSA-N -1 1 313.317 1.415 20 0 DDADMM O=C(NC1CN(C[C@@H](O)C2CCCCC2)C1)c1ncccc1[O-] ZINC001030241763 743977437 /nfs/dbraw/zinc/97/74/37/743977437.db2.gz LKXBQNLUQHENRO-OAHLLOKOSA-N -1 1 319.405 1.142 20 0 DDADMM O=S(=O)([N-]c1cc(F)nc(F)c1F)c1cnc(Cl)nc1 ZINC001185004934 744135931 /nfs/dbraw/zinc/13/59/31/744135931.db2.gz ZNLJTDVLMPPKCU-UHFFFAOYSA-N -1 1 324.671 1.743 20 0 DDADMM COC(=O)C(NC(=O)Nc1ccc(F)c(F)c1F)=C(C)[O-] ZINC001185350022 744204140 /nfs/dbraw/zinc/20/41/40/744204140.db2.gz YTNDBDVAWKNOPV-JTQLQIEISA-N -1 1 304.224 1.356 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2cc(C(=O)[O-])ccc2C)c(C)n1 ZINC001185877645 744306984 /nfs/dbraw/zinc/30/69/84/744306984.db2.gz RLUYZCUDOQZQQD-UHFFFAOYSA-N -1 1 321.358 1.901 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)NCCc1ccc(F)cc1 ZINC001186295058 744376736 /nfs/dbraw/zinc/37/67/36/744376736.db2.gz FHOBWKZUUAKTNC-UHFFFAOYSA-N -1 1 318.285 1.625 20 0 DDADMM Cn1cc2c(n1)[C@H](CNC(=O)c1ccc([O-])cc1F)OCC2 ZINC001186337647 744388931 /nfs/dbraw/zinc/38/89/31/744388931.db2.gz OVBGGNCEPFDVKD-ZDUSSCGKSA-N -1 1 305.309 1.309 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cccc2c1CN(C)C(=O)N2 ZINC001187296310 744543524 /nfs/dbraw/zinc/54/35/24/744543524.db2.gz YGEWOOUINOUTOY-UHFFFAOYSA-N -1 1 313.379 1.442 20 0 DDADMM O=C(NC1CC(CNC(=O)c2ncccc2[O-])C1)c1ccoc1 ZINC000992047459 744560008 /nfs/dbraw/zinc/56/00/08/744560008.db2.gz BEKHOJPNYKAATD-UHFFFAOYSA-N -1 1 315.329 1.319 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2c[nH]cn2)n(-c2ccncc2)n1 ZINC001187738029 744602188 /nfs/dbraw/zinc/60/21/88/744602188.db2.gz ICFZXDYUQCYVOP-UHFFFAOYSA-N -1 1 304.335 1.100 20 0 DDADMM CC(C)Cn1cnc(NC(=O)c2n[n-]nc2C(F)(F)F)c1 ZINC001187758869 744606141 /nfs/dbraw/zinc/60/61/41/744606141.db2.gz LVZIXOLPTHLUQE-UHFFFAOYSA-N -1 1 302.260 1.928 20 0 DDADMM COC(=O)c1c([N-]S(=O)(=O)C2CCC2)cncc1OC ZINC001188111065 744661413 /nfs/dbraw/zinc/66/14/13/744661413.db2.gz WKHFTKQHNZFFKC-UHFFFAOYSA-N -1 1 300.336 1.171 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006922446 751941385 /nfs/dbraw/zinc/94/13/85/751941385.db2.gz GLTOISDPWVQPDD-NQBHXWOUSA-N -1 1 317.389 1.410 20 0 DDADMM CC(C)(C)OC(=O)N[C@@]1(C)C[C@@H](NC(=O)c2ccncc2[O-])C1 ZINC001188644384 744735260 /nfs/dbraw/zinc/73/52/60/744735260.db2.gz CSVIPGLWGAYMHX-RSVKCKOMSA-N -1 1 321.377 1.963 20 0 DDADMM O=[P@]([O-])(O)CCNS(=O)(=O)c1ccc(C2CC2)cc1 ZINC001190515358 745236090 /nfs/dbraw/zinc/23/60/90/745236090.db2.gz FMGQKLSHSYMQBE-UHFFFAOYSA-N -1 1 305.292 1.020 20 0 DDADMM O=C(NC1CN(C/C=C\Cl)C1)c1cnc(C2CC2)[n-]c1=O ZINC001031062931 745280134 /nfs/dbraw/zinc/28/01/34/745280134.db2.gz HYUAEXFXRDRJJK-RJRFIUFISA-N -1 1 308.769 1.226 20 0 DDADMM Cc1[nH+]ccn1-c1ccc([N-]S(=O)(=O)c2ncc[n-]2)cc1 ZINC001190722638 745305800 /nfs/dbraw/zinc/30/58/00/745305800.db2.gz YFECKZZZIGLWKB-UHFFFAOYSA-N -1 1 303.347 1.705 20 0 DDADMM COc1cc(C(=O)Nc2c[nH]nc2C(N)=O)cc(Cl)c1[O-] ZINC001191129388 745432426 /nfs/dbraw/zinc/43/24/26/745432426.db2.gz MVBMWGLIVMDCHX-UHFFFAOYSA-N -1 1 310.697 1.129 20 0 DDADMM Cc1nc2[nH]cnc2c([N-]C(=O)c2cc(Br)no2)n1 ZINC001191329256 745485688 /nfs/dbraw/zinc/48/56/88/745485688.db2.gz CUMCZUYXTCWBKS-UHFFFAOYSA-N -1 1 323.110 1.664 20 0 DDADMM CSc1ncc(C(=O)N2CC3(C2)CC(F)(F)C3)c(=O)[n-]1 ZINC001191419816 745506701 /nfs/dbraw/zinc/50/67/01/745506701.db2.gz DRHVVVXLEMPWIB-UHFFFAOYSA-N -1 1 301.318 1.775 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@](C)(CO)CC2)c(=O)[n-]1 ZINC001191428103 745509228 /nfs/dbraw/zinc/50/92/28/745509228.db2.gz AAQQUFTURLSGLS-AWEZNQCLSA-N -1 1 311.407 1.529 20 0 DDADMM CCCCOC(=O)[C@H](C)NC(=O)c1cnc(SC)[n-]c1=O ZINC001191438353 745512786 /nfs/dbraw/zinc/51/27/86/745512786.db2.gz YAHIIFSRNRJGGR-QMMMGPOBSA-N -1 1 313.379 1.366 20 0 DDADMM COCCn1cncc1CNC(=O)c1c(F)ccc([O-])c1F ZINC001192552613 745814428 /nfs/dbraw/zinc/81/44/28/745814428.db2.gz PQULZFKMQRIDJL-UHFFFAOYSA-N -1 1 311.288 1.443 20 0 DDADMM COC(=O)Cn1cc(NC(=O)c2cc([O-])cc(F)c2F)cn1 ZINC001192651796 745846557 /nfs/dbraw/zinc/84/65/57/745846557.db2.gz NDXQNFFAYJKCRH-UHFFFAOYSA-N -1 1 311.244 1.292 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cc([O-])cc(F)c1F)C(F)(F)F ZINC001192679121 745859280 /nfs/dbraw/zinc/85/92/80/745859280.db2.gz PZVYZHFPOLXKJM-MRVPVSSYSA-N -1 1 313.178 1.504 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cc(Cl)[nH]c(=O)c1 ZINC001193024010 745962918 /nfs/dbraw/zinc/96/29/18/745962918.db2.gz CQZRBAVISPUGQD-UHFFFAOYSA-N -1 1 322.770 1.524 20 0 DDADMM CCN(CCNc1nccnc1F)C(=O)c1ncccc1[O-] ZINC001106727308 745971546 /nfs/dbraw/zinc/97/15/46/745971546.db2.gz NFUAIMJPKULTOU-UHFFFAOYSA-N -1 1 305.313 1.291 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1ccc(F)c([O-])c1F ZINC001193770057 746200997 /nfs/dbraw/zinc/20/09/97/746200997.db2.gz LTTBJFHTRQEWCO-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)CNC2=O ZINC001193923666 746238466 /nfs/dbraw/zinc/23/84/66/746238466.db2.gz DSHWETHFEWDLQI-UHFFFAOYSA-N -1 1 303.343 1.313 20 0 DDADMM CN1Cc2ccc([N-]S(=O)(=O)c3ccccc3N)cc2C1=O ZINC001193924944 746239289 /nfs/dbraw/zinc/23/92/89/746239289.db2.gz ZATQGDDBHRMWML-UHFFFAOYSA-N -1 1 317.370 1.655 20 0 DDADMM Cc1cccc(C)c1S(=O)(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001194610092 746410280 /nfs/dbraw/zinc/41/02/80/746410280.db2.gz YYTDFAZYJGPDMK-UHFFFAOYSA-N -1 1 324.318 1.703 20 0 DDADMM O=S(=O)([N-]CCc1cnoc1)c1ncccc1C(F)(F)F ZINC001194595261 746416578 /nfs/dbraw/zinc/41/65/78/746416578.db2.gz IGAWKSZVIOGIDY-UHFFFAOYSA-N -1 1 321.280 1.609 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc(Cl)[nH]c1=O ZINC001195142591 746537642 /nfs/dbraw/zinc/53/76/42/746537642.db2.gz LLJPAQSJRFZIGM-UHFFFAOYSA-N -1 1 306.669 1.065 20 0 DDADMM COc1nccc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1Cl ZINC001195199530 746548188 /nfs/dbraw/zinc/54/81/88/746548188.db2.gz NYOKCFOOQFHWRP-UHFFFAOYSA-N -1 1 320.696 1.368 20 0 DDADMM COC(=O)[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1cc([O-])cnc1Cl)C2 ZINC001195305396 746572038 /nfs/dbraw/zinc/57/20/38/746572038.db2.gz JDYUGYNPWVLUKV-AEJSXWLSSA-N -1 1 324.764 1.854 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccccc1-c1nnn(CC)n1 ZINC001195494654 746625606 /nfs/dbraw/zinc/62/56/06/746625606.db2.gz ORPOVWHNROOGBF-UHFFFAOYSA-N -1 1 320.378 1.803 20 0 DDADMM O=C(Nc1cnc(Cl)nc1Cl)c1c[nH]c(=S)[n-]c1=O ZINC001196009087 746755157 /nfs/dbraw/zinc/75/51/57/746755157.db2.gz UQGHERNMYCWGNN-UHFFFAOYSA-N -1 1 318.145 1.820 20 0 DDADMM O=C([N-]c1cc(F)cc2cccnc21)c1snnc1CO ZINC001196357833 746843157 /nfs/dbraw/zinc/84/31/57/746843157.db2.gz DVXSGHAWBOTCJH-UHFFFAOYSA-N -1 1 304.306 1.970 20 0 DDADMM COC(=O)CNC(=S)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001197202157 747101428 /nfs/dbraw/zinc/10/14/28/747101428.db2.gz KPTMLINTEWCKJS-UHFFFAOYSA-N -1 1 306.347 1.037 20 0 DDADMM COc1cnc(Cl)c([N-]S(=O)(=O)Cc2ccccn2)n1 ZINC001197789879 747265674 /nfs/dbraw/zinc/26/56/74/747265674.db2.gz IEFRDWGMUDFHFH-UHFFFAOYSA-N -1 1 314.754 1.476 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2n[nH]c3c2CC[C@H]3C)n1 ZINC001198426323 747489691 /nfs/dbraw/zinc/48/96/91/747489691.db2.gz WVRKRMQLJRSPBP-SSDOTTSWSA-N -1 1 303.322 1.612 20 0 DDADMM C=CS(=O)(=O)[N-]c1cnn(-c2ccccc2)c(=O)c1Cl ZINC001198627194 747555328 /nfs/dbraw/zinc/55/53/28/747555328.db2.gz CRCGFMRKURMUOV-UHFFFAOYSA-N -1 1 311.750 1.771 20 0 DDADMM C=CS(=O)(=O)[N-]c1ccc(C(=O)OCC)c2c1OCCO2 ZINC001198620223 747566723 /nfs/dbraw/zinc/56/67/23/747566723.db2.gz JWJQNEGBGTYRNE-UHFFFAOYSA-N -1 1 313.331 1.520 20 0 DDADMM COc1nc(Cl)ccc1S(=O)(=O)[N-]c1c(C)ncn1C ZINC001198660933 747571346 /nfs/dbraw/zinc/57/13/46/747571346.db2.gz WYMGJGJWCUMTOK-UHFFFAOYSA-N -1 1 316.770 1.586 20 0 DDADMM Cc1cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)n(C(C)C)n1 ZINC001199226250 747750030 /nfs/dbraw/zinc/75/00/30/747750030.db2.gz YZTMYJJDNCQJDG-UHFFFAOYSA-N -1 1 301.310 1.397 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)C1(F)CCCC1 ZINC000994990697 747992023 /nfs/dbraw/zinc/99/20/23/747992023.db2.gz DENMBMYROULRTP-UHFFFAOYSA-N -1 1 307.325 1.010 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(Cc2ccns2)C1 ZINC001033035806 748000967 /nfs/dbraw/zinc/00/09/67/748000967.db2.gz JPXPRFKGMZGRSR-NSHDSACASA-N -1 1 318.402 1.590 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1ccoc1 ZINC001004728434 748685606 /nfs/dbraw/zinc/68/56/06/748685606.db2.gz TWSDVUCRWLOSMI-ZYHUDNBSSA-N -1 1 319.365 1.276 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1cc[nH]c1 ZINC001004867155 748876742 /nfs/dbraw/zinc/87/67/42/748876742.db2.gz DISRTURYGWYTKN-CMPLNLGQSA-N -1 1 318.381 1.011 20 0 DDADMM O=C(NC/C=C\CNc1ncc(F)cn1)c1ncccc1[O-] ZINC001107139818 749351986 /nfs/dbraw/zinc/35/19/86/749351986.db2.gz SIKMJOXNDMZZCI-UPHRSURJSA-N -1 1 303.297 1.114 20 0 DDADMM O=C(NC/C=C/CNc1ncc(F)cn1)c1ncccc1[O-] ZINC001107139817 749352261 /nfs/dbraw/zinc/35/22/61/749352261.db2.gz SIKMJOXNDMZZCI-OWOJBTEDSA-N -1 1 303.297 1.114 20 0 DDADMM CON(C)C(=O)c1cccc(-c2noc(-c3cn[n-]n3)n2)c1 ZINC001212799328 749512587 /nfs/dbraw/zinc/51/25/87/749512587.db2.gz SXHDKAAOZNBIDB-UHFFFAOYSA-N -1 1 300.278 1.155 20 0 DDADMM COc1ccc(N)cc1S(=O)(=O)[N-]c1cccc(O)c1F ZINC001212551215 750777705 /nfs/dbraw/zinc/77/77/05/750777705.db2.gz GKRRTLDQLYEVLU-UHFFFAOYSA-N -1 1 312.322 1.923 20 0 DDADMM CC[C@@H](F)C(=O)N1C[C@@H](C(F)(F)F)[C@H]([N-]C(=O)C(F)F)C1 ZINC001008802538 752925421 /nfs/dbraw/zinc/92/54/21/752925421.db2.gz GJUQRJOIGBNQQD-FSDSQADBSA-N -1 1 320.233 1.505 20 0 DDADMM CC(C)=CC(=O)N1C[C@H](CNC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001009986017 753523130 /nfs/dbraw/zinc/52/31/30/753523130.db2.gz QDTLCTUIGALUJF-STQMWFEESA-N -1 1 317.389 1.720 20 0 DDADMM O=C(NCC1(NC(=O)[C@H]2CC23CC3)CCC1)c1ncccc1[O-] ZINC001062839500 753760059 /nfs/dbraw/zinc/76/00/59/753760059.db2.gz SRNFSFQJDRRXKP-LLVKDONJSA-N -1 1 315.373 1.356 20 0 DDADMM C[C@@H](c1cnccn1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010731721 754128974 /nfs/dbraw/zinc/12/89/74/754128974.db2.gz KXGSCRQEPXJEFH-RYUDHWBXSA-N -1 1 313.361 1.143 20 0 DDADMM C[C@@H](CCNC(=O)[C@@H]1CC12CC2)NC(=O)c1ncccc1[O-] ZINC001078730602 754327087 /nfs/dbraw/zinc/32/70/87/754327087.db2.gz YAVGNHQWNOZSDA-QWRGUYRKSA-N -1 1 303.362 1.212 20 0 DDADMM CC[C@H](F)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064000499 754438805 /nfs/dbraw/zinc/43/88/05/754438805.db2.gz CCJDPRNWGDGCNZ-JTQLQIEISA-N -1 1 309.341 1.304 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)C(C)(C)F)C(=O)c1ncccc1[O-] ZINC001002040204 754665542 /nfs/dbraw/zinc/66/55/42/754665542.db2.gz QDQOSEQVGSTELJ-LLVKDONJSA-N -1 1 323.368 1.598 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC(C)(C)C ZINC001012300461 754985424 /nfs/dbraw/zinc/98/54/24/754985424.db2.gz CDLLYALEOPNGOY-NWDGAFQWSA-N -1 1 319.405 1.943 20 0 DDADMM CCC(=O)N1CC[C@@]2(NC(=O)c3ncccc3[O-])CCC[C@H]12 ZINC001014261459 755665355 /nfs/dbraw/zinc/66/53/55/755665355.db2.gz WNDHFTJEULMRCS-LRDDRELGSA-N -1 1 303.362 1.451 20 0 DDADMM CCN(C(=O)[C@H](C)C(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080603391 755995659 /nfs/dbraw/zinc/99/56/59/755995659.db2.gz NPEXRDDPVZKUPP-GFCCVEGCSA-N -1 1 319.405 1.752 20 0 DDADMM CC(C)=CC(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082022992 756586985 /nfs/dbraw/zinc/58/69/85/756586985.db2.gz VYBVSISKWMOOAZ-ZDUSSCGKSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@@H](C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCCC1 ZINC001082852719 756937425 /nfs/dbraw/zinc/93/74/25/756937425.db2.gz QKOARUVCJPWBBC-NQBHXWOUSA-N -1 1 321.425 1.273 20 0 DDADMM Cc1cc(N[C@@H](C)CNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001097764853 757510128 /nfs/dbraw/zinc/51/01/28/757510128.db2.gz LYLFXJOGOUIGSY-JTQLQIEISA-N -1 1 301.350 1.424 20 0 DDADMM CC(=O)NC1(C2CCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC001017114100 757646716 /nfs/dbraw/zinc/64/67/16/757646716.db2.gz RYRUTSVSAICCSK-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM CCC(C)(C)C(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017195698 757707360 /nfs/dbraw/zinc/70/73/60/757707360.db2.gz YBTQKMXHRDUEQQ-GFCCVEGCSA-N -1 1 323.441 1.617 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066032275 759014975 /nfs/dbraw/zinc/01/49/75/759014975.db2.gz OKOODGNFTJFZAZ-ACDNVWSCSA-N -1 1 317.389 1.864 20 0 DDADMM C/C=C(\C)C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018921070 759409455 /nfs/dbraw/zinc/40/94/55/759409455.db2.gz VFHACVLYYIMACH-KGVSQERTSA-N -1 1 315.373 1.428 20 0 DDADMM CC1(C)CCCC[C@H]1CC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000827968056 759431312 /nfs/dbraw/zinc/43/13/12/759431312.db2.gz UNRZUNCEBSQSDQ-NWDGAFQWSA-N -1 1 307.398 1.706 20 0 DDADMM CCCc1occc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019649320 760135138 /nfs/dbraw/zinc/13/51/38/760135138.db2.gz ZBEBYKNHMGWDCI-JTQLQIEISA-N -1 1 319.365 1.060 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C)C1CC1 ZINC001020155260 760631060 /nfs/dbraw/zinc/63/10/60/760631060.db2.gz BBTHYXAXWIAWLH-GVXVVHGQSA-N -1 1 317.389 1.553 20 0 DDADMM Cc1cccnc1CN1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038192945 760907182 /nfs/dbraw/zinc/90/71/82/760907182.db2.gz GAKUVYDOPRWTTE-ZDUSSCGKSA-N -1 1 312.373 1.495 20 0 DDADMM O=C(NC[C@H]1CCN1C/C(Cl)=C/Cl)c1ncccc1[O-] ZINC001038195962 760910289 /nfs/dbraw/zinc/91/02/89/760910289.db2.gz ZSUYNKGSRUAZEI-ABRRARGCSA-N -1 1 316.188 1.910 20 0 DDADMM O=C(NC[C@H]1CCN1C/C(Cl)=C\Cl)c1ncccc1[O-] ZINC001038195987 760910435 /nfs/dbraw/zinc/91/04/35/760910435.db2.gz ZSUYNKGSRUAZEI-OLKPEBQYSA-N -1 1 316.188 1.910 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001067254646 761057246 /nfs/dbraw/zinc/05/72/46/761057246.db2.gz HSTAWOVKFZPADI-STQMWFEESA-N -1 1 319.405 1.754 20 0 DDADMM CCc1ccoc1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000107123 761513426 /nfs/dbraw/zinc/51/34/26/761513426.db2.gz WRLATSFDVFSSQG-LLVKDONJSA-N -1 1 319.365 1.060 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001000215384 761577252 /nfs/dbraw/zinc/57/72/52/761577252.db2.gz LPFGXNPJRYTGQR-WOPDTQHZSA-N -1 1 317.389 1.695 20 0 DDADMM C[C@H]1CN(c2ncccn2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC001067393187 763913968 /nfs/dbraw/zinc/91/39/68/763913968.db2.gz JDHYSVGBBLUVKZ-NWDGAFQWSA-N -1 1 313.361 1.222 20 0 DDADMM Cc1nccc(N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)n1 ZINC001043148231 764714250 /nfs/dbraw/zinc/71/42/50/764714250.db2.gz HHFRLQSNDNGONA-ZYHUDNBSSA-N -1 1 313.361 1.458 20 0 DDADMM CC1(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)CCC1 ZINC001047125328 768263361 /nfs/dbraw/zinc/26/33/61/768263361.db2.gz BEUCCJAVMMIULC-TUAOUCFPSA-N -1 1 319.409 1.170 20 0 DDADMM Cc1nccc(N[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983839 765083189 /nfs/dbraw/zinc/08/31/89/765083189.db2.gz QSYAZDIABLKTEO-UWVGGRQHSA-N -1 1 301.350 1.505 20 0 DDADMM C[C@@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1nc(C2CCC2)ns1 ZINC001113356562 765605816 /nfs/dbraw/zinc/60/58/16/765605816.db2.gz JBJVGXSJRIOFQU-SFYZADRCSA-N -1 1 321.410 1.543 20 0 DDADMM CC(C)C(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052422250 765809579 /nfs/dbraw/zinc/80/95/79/765809579.db2.gz QORCUVASKUUDAB-RYUDHWBXSA-N -1 1 305.378 1.268 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]1CNCc1ccon1 ZINC001045007192 766023298 /nfs/dbraw/zinc/02/32/98/766023298.db2.gz NVGSWZPJKHRLIH-LBPRGKRZSA-N -1 1 302.334 1.170 20 0 DDADMM COC(=O)c1cc(Cl)nnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170224470 766197820 /nfs/dbraw/zinc/19/78/20/766197820.db2.gz YURJDYJJVPBFNG-UHFFFAOYSA-N -1 1 321.684 1.032 20 0 DDADMM C[Si](C)(C)c1ccnc(Nc2[n-]c(=O)nc3nc[nH]c32)c1F ZINC001170227445 766200991 /nfs/dbraw/zinc/20/09/91/766200991.db2.gz NFLXAHCFRGNJIZ-UHFFFAOYSA-N -1 1 318.388 1.881 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1Nc1ncccn1)c1ncccc1[O-] ZINC001045438798 766307756 /nfs/dbraw/zinc/30/77/56/766307756.db2.gz LLMRVZAGZBQYCO-VXGBXAGGSA-N -1 1 313.361 1.730 20 0 DDADMM Cn1ncc2c1nc(Oc1ccc3c(=O)cc[nH]c3c1)[n-]c2=O ZINC001116457032 780511327 /nfs/dbraw/zinc/51/13/27/780511327.db2.gz FPFBMOXPEPWXBM-UHFFFAOYSA-N -1 1 309.285 1.703 20 0 DDADMM Cc1ccnc2nc(C(=O)[N-]c3ccnc(Cl)c3F)nn21 ZINC001129739424 766985954 /nfs/dbraw/zinc/98/59/54/766985954.db2.gz HFXZLPJUEMTDTA-UHFFFAOYSA-N -1 1 306.688 1.873 20 0 DDADMM C[C@H]1C[C@H](Nc2cc(F)ncn2)CN1C(=O)c1ncccc1[O-] ZINC001069065189 767814102 /nfs/dbraw/zinc/81/41/02/767814102.db2.gz HUCMPAGIQKQULU-UWVGGRQHSA-N -1 1 317.324 1.431 20 0 DDADMM CC[C@H](C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648503 768643769 /nfs/dbraw/zinc/64/37/69/768643769.db2.gz MKQSYWZBRUGCQP-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM COc1cc(Cl)cnc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001159185949 771038424 /nfs/dbraw/zinc/03/84/24/771038424.db2.gz KNZRJRCIZHHWOW-UHFFFAOYSA-N -1 1 308.685 1.516 20 0 DDADMM CC(=O)N[C@H](C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1)C(C)C ZINC001144357306 772490196 /nfs/dbraw/zinc/49/01/96/772490196.db2.gz HIERGQVSLBEHGG-HNNXBMFYSA-N -1 1 316.361 1.677 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2c(F)cc([O-])cc2F)CCO1 ZINC001144555116 772564048 /nfs/dbraw/zinc/56/40/48/772564048.db2.gz WAQZVXQJQYZTQP-ZDUSSCGKSA-N -1 1 301.289 1.434 20 0 DDADMM COC[C@H]1Cn2nccc2CN(Cc2sccc2C(=O)[O-])C1 ZINC001144670801 772590990 /nfs/dbraw/zinc/59/09/90/772590990.db2.gz QSIYZEVQMCTQSY-LLVKDONJSA-N -1 1 321.402 1.921 20 0 DDADMM CCOC(=O)c1cc(NC(=O)Cc2ccc(OC)c(O)c2)n[nH]1 ZINC001144786024 772622189 /nfs/dbraw/zinc/62/21/89/772622189.db2.gz VJDHVLJABXXUEX-UHFFFAOYSA-N -1 1 319.317 1.482 20 0 DDADMM O=C(CCc1cn[nH]c1)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001147001283 772998150 /nfs/dbraw/zinc/99/81/50/772998150.db2.gz YSRONEKLLKRNAX-UHFFFAOYSA-N -1 1 313.321 1.073 20 0 DDADMM Cc1nc(C)c(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)s1 ZINC001147236055 773088255 /nfs/dbraw/zinc/08/82/55/773088255.db2.gz ZCVZSYYVKXZYDI-UHFFFAOYSA-N -1 1 322.350 1.972 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N[C@H]3C[C@@](C)(O)C3)cc2)[n-]1 ZINC001148337350 773440825 /nfs/dbraw/zinc/44/08/25/773440825.db2.gz XZNURDJUTPCEDD-DRQUAOQDSA-N -1 1 301.346 1.442 20 0 DDADMM Cc1nccc(N[C@@H](C)CCNC(=O)c2ncccc2[O-])n1 ZINC001099104885 774835166 /nfs/dbraw/zinc/83/51/66/774835166.db2.gz KYCRQTXOOHOXEO-JTQLQIEISA-N -1 1 301.350 1.506 20 0 DDADMM COC(=O)c1cc(F)c(OC)nc1[N-]c1nccnc1CN ZINC001171440409 775790754 /nfs/dbraw/zinc/79/07/54/775790754.db2.gz DCJDJBUATGQDEA-UHFFFAOYSA-N -1 1 307.285 1.008 20 0 DDADMM O=C(N[N-]C(=O)c1cc(-c2cccc(Cl)c2)[nH]n1)NC1CC1 ZINC000064429392 776619267 /nfs/dbraw/zinc/61/92/67/776619267.db2.gz JMPZKIYYHHOUHE-UHFFFAOYSA-N -1 1 319.752 1.837 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2ccccc2C(N)=O)c1 ZINC001173984091 777383514 /nfs/dbraw/zinc/38/35/14/777383514.db2.gz PGNWPHVDZIHMCZ-UHFFFAOYSA-N -1 1 306.343 1.638 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2cc(F)ccn2)[n-]1 ZINC001175414292 777780425 /nfs/dbraw/zinc/78/04/25/777780425.db2.gz OLIFQGLYVQMIFF-UHFFFAOYSA-N -1 1 306.297 1.692 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2cc(F)ccn2)n1 ZINC001175414292 777780432 /nfs/dbraw/zinc/78/04/32/777780432.db2.gz OLIFQGLYVQMIFF-UHFFFAOYSA-N -1 1 306.297 1.692 20 0 DDADMM COc1ccc(C(C)=CC(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001176724279 778221778 /nfs/dbraw/zinc/22/17/78/778221778.db2.gz BHEFSSKODVFPMW-KHPPLWFESA-N -1 1 313.361 1.807 20 0 DDADMM CSc1nc(NC(=O)[C@H]2CCc3nccn3C2)cc(=O)[n-]1 ZINC001178101201 778869613 /nfs/dbraw/zinc/86/96/13/778869613.db2.gz SGOLJNWEYVLEEY-QMMMGPOBSA-N -1 1 305.363 1.302 20 0 DDADMM CC(C)[C@H](C)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001103490179 778897548 /nfs/dbraw/zinc/89/75/48/778897548.db2.gz ZHLZDGGBVXZLGF-FRRDWIJNSA-N -1 1 323.441 1.377 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C(C)(C)Cc3ccncc3)c1-2 ZINC001178246957 778950903 /nfs/dbraw/zinc/95/09/03/778950903.db2.gz KLTLNRJTCIXPGE-UHFFFAOYSA-N -1 1 310.361 1.637 20 0 DDADMM C=C/C(C)=C/CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179351398 779340462 /nfs/dbraw/zinc/34/04/62/779340462.db2.gz PHFADVODSNXGBH-AMYKANGRSA-N -1 1 305.382 1.112 20 0 DDADMM CCC(CC)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179791540 779488370 /nfs/dbraw/zinc/48/83/70/779488370.db2.gz SQDATUZNYXPOGB-JQWIXIFHSA-N -1 1 309.414 1.416 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2cnc3c(cnn3C)c2)cc1O ZINC001116658875 780540285 /nfs/dbraw/zinc/54/02/85/780540285.db2.gz STLMKGIDMJMSSH-UHFFFAOYSA-N -1 1 318.358 1.783 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2C=CCCC2)C1 ZINC001118976931 781289686 /nfs/dbraw/zinc/28/96/86/781289686.db2.gz UNUYYQJLLKXOHF-ZWNOBZJWSA-N -1 1 319.327 1.948 20 0 DDADMM CN(C(=O)c1coc(/C=C\C(=O)[O-])c1)[C@H]1CN2CCC1CC2 ZINC001119539643 781501196 /nfs/dbraw/zinc/50/11/96/781501196.db2.gz VIKGSEKNEREBQJ-SFAKSCPVSA-N -1 1 304.346 1.544 20 0 DDADMM CCn1cc(CNCCCc2nc(C)c(C(=O)[O-])s2)nn1 ZINC001120000462 781715860 /nfs/dbraw/zinc/71/58/60/781715860.db2.gz UJLRYNXEBHMYPY-UHFFFAOYSA-N -1 1 309.395 1.484 20 0 DDADMM CC(C)N(CCCNC(=O)[C@@H]1CC12CC2)Cc1n[nH]c(=O)[n-]1 ZINC001267510448 838215841 /nfs/dbraw/zinc/21/58/41/838215841.db2.gz QIZJHOSEHZWYOK-NSHDSACASA-N -1 1 307.398 1.027 20 0 DDADMM CC[C@H](C)CC(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409137087 844412742 /nfs/dbraw/zinc/41/27/42/844412742.db2.gz KFNILKRCQYWGJA-NSHDSACASA-N -1 1 305.378 1.412 20 0 DDADMM CC[C@@H]1CCC[C@@H]1C(=O)N(CC)CCNCc1n[nH]c(=O)[n-]1 ZINC001268477300 840266116 /nfs/dbraw/zinc/26/61/16/840266116.db2.gz PCBDOJBKRSTFFU-NEPJUHHUSA-N -1 1 309.414 1.275 20 0 DDADMM CC[C@H](F)C(=O)N(CC)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001409213097 844520743 /nfs/dbraw/zinc/52/07/43/844520743.db2.gz VZLGOMFGXWYTJW-RYUDHWBXSA-N -1 1 323.368 1.598 20 0 DDADMM CN1CC(C(=O)Nc2ccc3ccc(S(=O)(=O)[O-])cc3c2)C1 ZINC001142599130 861192310 /nfs/dbraw/zinc/19/23/10/861192310.db2.gz OOMSOXVNCYHNBJ-UHFFFAOYSA-N -1 1 320.370 1.587 20 0 DDADMM NC(=O)[C@@H]1COCCC12CN(C(=O)c1cc(Cl)ccc1[O-])C2 ZINC001269574223 841782391 /nfs/dbraw/zinc/78/23/91/841782391.db2.gz OECFAZIBGZMFME-NSHDSACASA-N -1 1 324.764 1.010 20 0 DDADMM NC(=O)[C@@H]1CC12CN(C(=O)c1ccc3sccc3c1[O-])C2 ZINC001269990530 842208804 /nfs/dbraw/zinc/20/88/04/842208804.db2.gz QXJPORITMSACJO-JTQLQIEISA-N -1 1 302.355 1.554 20 0 DDADMM CC(C)=CC(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001270376716 842527386 /nfs/dbraw/zinc/52/73/86/842527386.db2.gz BBPCGSVPYZITTL-GFCCVEGCSA-N -1 1 307.398 1.241 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@@H](C)S1 ZINC001326479789 861399140 /nfs/dbraw/zinc/39/91/40/861399140.db2.gz AAPSUPOHKJXSOQ-RKDXNWHRSA-N -1 1 308.432 1.984 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](OCC[P@](=O)([O-])O)CC1=O ZINC001224605330 844921988 /nfs/dbraw/zinc/92/19/88/844921988.db2.gz HRUVYNDRWDWMEL-VIFPVBQESA-N -1 1 323.282 1.107 20 0 DDADMM CC[C@H](C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCCC1 ZINC001409452153 845121493 /nfs/dbraw/zinc/12/14/93/845121493.db2.gz LOIIMRJUXUVHME-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cc(Br)co3)ccnc1-2 ZINC001361430915 845703055 /nfs/dbraw/zinc/70/30/55/845703055.db2.gz YEXZGOZHAPDZJH-UHFFFAOYSA-N -1 1 321.134 1.950 20 0 DDADMM Cc1cnc(CC[N-]S(=O)(=O)c2cc(F)ccc2F)cn1 ZINC001365712253 846447810 /nfs/dbraw/zinc/44/78/10/846447810.db2.gz YHDHJFAOUBKPIQ-UHFFFAOYSA-N -1 1 313.329 1.584 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CCN(CCF)CC1 ZINC001149646566 861779608 /nfs/dbraw/zinc/77/96/08/861779608.db2.gz OMKHDVYGUVUQKC-UHFFFAOYSA-N -1 1 318.348 1.978 20 0 DDADMM O=C(NCc1ccc2c(c1)OCO2)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155262740 861908134 /nfs/dbraw/zinc/90/81/34/861908134.db2.gz KAWKIODUNWNYEJ-UHFFFAOYSA-N -1 1 311.297 1.927 20 0 DDADMM O=C(NC[C@H](O)c1ccc(O)cc1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155269534 861915156 /nfs/dbraw/zinc/91/51/56/861915156.db2.gz JHJKTQNBOUWFEV-AWEZNQCLSA-N -1 1 313.313 1.438 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCOC[C@@H]1c1cccnc1 ZINC001155267114 861915351 /nfs/dbraw/zinc/91/53/51/861915351.db2.gz VAFUKEYUWLBPBL-OAHLLOKOSA-N -1 1 324.340 1.877 20 0 DDADMM O=S(=O)([N-]Cc1ccc2c(c1)OCO2)c1c[nH]nc1Cl ZINC001434540662 848558292 /nfs/dbraw/zinc/55/82/92/848558292.db2.gz AAPRLXOEXDVFJF-UHFFFAOYSA-N -1 1 315.738 1.270 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2cnccn2)c(F)c1 ZINC001434662574 849520375 /nfs/dbraw/zinc/52/03/75/849520375.db2.gz XJVBYUCWCKWOJH-UHFFFAOYSA-N -1 1 315.301 1.242 20 0 DDADMM CCC[C@@H](C)CC(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001410710941 849675712 /nfs/dbraw/zinc/67/57/12/849675712.db2.gz QGFORSZOIMYXFL-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM C[S@@](=O)CCCNC(=S)Nc1cc(F)c(F)cc1[O-] ZINC001246541246 850774943 /nfs/dbraw/zinc/77/49/43/850774943.db2.gz HKTXYNKPCUVUHR-LJQANCHMSA-N -1 1 308.375 1.725 20 0 DDADMM CC(C)(C)n1cnc([N-]C(=O)c2coc3c2C(=O)NCCC3)n1 ZINC001411328277 850837129 /nfs/dbraw/zinc/83/71/29/850837129.db2.gz KVIAVJOYJXVHJD-UHFFFAOYSA-N -1 1 317.349 1.554 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@@H]3C=CS(=O)(=O)C3)c([O-])c2n1 ZINC001155659806 862351875 /nfs/dbraw/zinc/35/18/75/862351875.db2.gz LJPUVXZKIJRGAC-LLVKDONJSA-N -1 1 318.354 1.289 20 0 DDADMM Cc1ccc2ccc(C(=O)NCCc3cnn(C)c3)c([O-])c2n1 ZINC001155659984 862351995 /nfs/dbraw/zinc/35/19/95/862351995.db2.gz OPASPOZWBDLPES-UHFFFAOYSA-N -1 1 310.357 1.955 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2C[C@H]3C[C@@]3(C(=O)NC(C)(C)C)C2)n1 ZINC001274973161 852722363 /nfs/dbraw/zinc/72/23/63/852722363.db2.gz LTJVNYCBIGICTL-PIGZYNQJSA-N -1 1 317.389 1.472 20 0 DDADMM NC(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1c([O-])cccc1Cl ZINC001275466312 853164855 /nfs/dbraw/zinc/16/48/55/853164855.db2.gz BSPVZIPHPXRQBN-AOOGTALZSA-N -1 1 308.765 1.675 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@]12CCN(C(=O)c1cncc([O-])c1)C2 ZINC001275624457 853447956 /nfs/dbraw/zinc/44/79/56/853447956.db2.gz ZLYMDQXZTGSXJE-RHSMWYFYSA-N -1 1 317.389 1.508 20 0 DDADMM O=C(NCc1c[nH]nn1)c1cc(Br)cc(F)c1[O-] ZINC001411877252 853880406 /nfs/dbraw/zinc/88/04/06/853880406.db2.gz ABXTUXQEMPDTNF-UHFFFAOYSA-N -1 1 315.102 1.342 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC2(CN(Cc3cn[nH]c3)C2)C1 ZINC001275918003 853943294 /nfs/dbraw/zinc/94/32/94/853943294.db2.gz OYGWDIDJXIXDQF-UHFFFAOYSA-N -1 1 316.336 1.212 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](c1cccs1)C1(CO)CCC1 ZINC001412287023 854411533 /nfs/dbraw/zinc/41/15/33/854411533.db2.gz GRLRCCBLUNLFEP-CYBMUJFWSA-N -1 1 321.406 1.214 20 0 DDADMM CCOC(=O)c1nc(NC(=O)COCC2CC2)[n-]c1Cl ZINC001412302952 854423721 /nfs/dbraw/zinc/42/37/21/854423721.db2.gz PIWQCMVIGJWLIW-UHFFFAOYSA-N -1 1 301.730 1.605 20 0 DDADMM CCOC(=O)Nc1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001412415928 854524047 /nfs/dbraw/zinc/52/40/47/854524047.db2.gz CYLVVAFEKZUFBI-UHFFFAOYSA-N -1 1 318.337 1.730 20 0 DDADMM Cc1c[nH]c(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)n1 ZINC001412422702 854527740 /nfs/dbraw/zinc/52/77/40/854527740.db2.gz ZFWKSDCQOZRJTM-UHFFFAOYSA-N -1 1 303.366 1.750 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]1c1cc(C)no1 ZINC001412424033 854532074 /nfs/dbraw/zinc/53/20/74/854532074.db2.gz QUBOZJRJVGFPSH-QMMMGPOBSA-N -1 1 320.305 1.170 20 0 DDADMM CCCc1cc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)no1 ZINC001412486746 854612471 /nfs/dbraw/zinc/61/24/71/854612471.db2.gz LICFQAHIRNOPOJ-UHFFFAOYSA-N -1 1 318.333 1.125 20 0 DDADMM Cc1cc(NC(=O)c2ccc([O-])cn2)cc(S(C)(=O)=O)c1 ZINC001412488562 854612677 /nfs/dbraw/zinc/61/26/77/854612677.db2.gz HNLSSYVVZVFNKM-UHFFFAOYSA-N -1 1 306.343 1.751 20 0 DDADMM Cc1ccc(NC(=O)CCc2nn[n-]n2)cc1C(=O)NC(C)C ZINC001412545016 854694019 /nfs/dbraw/zinc/69/40/19/854694019.db2.gz UPZCVFFYJFZTRE-UHFFFAOYSA-N -1 1 316.365 1.218 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@@H](NC(=O)c2ccc([O-])cc2F)C1 ZINC001412576658 854746025 /nfs/dbraw/zinc/74/60/25/854746025.db2.gz LCOVBYYXAMLFQL-KOLCDFICSA-N -1 1 315.366 1.617 20 0 DDADMM CC(C)n1ccnc1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001412613843 854813957 /nfs/dbraw/zinc/81/39/57/854813957.db2.gz RZVRIMFSNKFIRZ-LLVKDONJSA-N -1 1 303.370 1.072 20 0 DDADMM Cc1ncsc1CCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001412738693 855050146 /nfs/dbraw/zinc/05/01/46/855050146.db2.gz XSYISQNPRMPXIC-JTQLQIEISA-N -1 1 306.395 1.303 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc2ccccc2[nH]c1=O ZINC001151239005 862699933 /nfs/dbraw/zinc/69/99/33/862699933.db2.gz VXMGLRJWTZRZBW-UHFFFAOYSA-N -1 1 324.273 1.628 20 0 DDADMM CN(C(=O)C1CC1)c1ccccc1C(=O)Nc1c[n-][nH]c1=O ZINC001412843093 855718430 /nfs/dbraw/zinc/71/84/30/855718430.db2.gz BCIICZRBXKGHPJ-UHFFFAOYSA-N -1 1 300.318 1.740 20 0 DDADMM CS(=O)(=O)[C@H]1CCCCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001412894984 855759192 /nfs/dbraw/zinc/75/91/92/855759192.db2.gz JHBYIXGHZHUKKC-NSHDSACASA-N -1 1 315.366 1.571 20 0 DDADMM COCc1nc(C)cc(NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC001412907129 855774801 /nfs/dbraw/zinc/77/48/01/855774801.db2.gz VVCDXPVOWURMAY-UHFFFAOYSA-N -1 1 317.349 1.112 20 0 DDADMM COc1cccc([C@@H](CNC(=O)c2ccc([O-])cn2)OC)c1 ZINC001413311661 856632053 /nfs/dbraw/zinc/63/20/53/856632053.db2.gz KXKOCYVGYVBHIW-OAHLLOKOSA-N -1 1 302.330 1.913 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)c1cc(OC)ns1)C(=O)OC ZINC001413325835 856663019 /nfs/dbraw/zinc/66/30/19/856663019.db2.gz LLZNZBCSRLAWQY-QMMMGPOBSA-N -1 1 322.408 1.162 20 0 DDADMM O=C(NCc1cc2c([nH]c1=O)CCCC2)c1cnncc1[O-] ZINC001413429854 856799782 /nfs/dbraw/zinc/79/97/82/856799782.db2.gz OGPIYNRYIHPCTP-UHFFFAOYSA-N -1 1 300.318 1.092 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@@H](O)c1cncs1 ZINC001413554656 857058317 /nfs/dbraw/zinc/05/83/17/857058317.db2.gz CMYCYQFMDPTGAF-LLVKDONJSA-N -1 1 312.778 1.894 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Cn2nc(C)cc2C)[n-]c1=O ZINC001413619366 857244272 /nfs/dbraw/zinc/24/42/72/857244272.db2.gz AQDKZQNNSTWWGJ-UHFFFAOYSA-N -1 1 319.365 1.056 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Oc1ccc2c(c1)CC(=O)N2 ZINC001156353667 862961865 /nfs/dbraw/zinc/96/18/65/862961865.db2.gz FUNSJHYTOGGUQT-UHFFFAOYSA-N -1 1 317.326 1.493 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N(C)Cc1ccns1 ZINC001121735532 858586000 /nfs/dbraw/zinc/58/60/00/858586000.db2.gz YJVBFUWMMKZGFE-UHFFFAOYSA-N -1 1 305.367 1.487 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)CCCc1ccccc1 ZINC001121768271 858591512 /nfs/dbraw/zinc/59/15/12/858591512.db2.gz GJCBJPKBEQVFRC-UHFFFAOYSA-N -1 1 312.381 1.547 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)CCCc1ccccc1 ZINC001121768271 858591520 /nfs/dbraw/zinc/59/15/20/858591520.db2.gz GJCBJPKBEQVFRC-UHFFFAOYSA-N -1 1 312.381 1.547 20 0 DDADMM CC(C)c1ccc(N(C)C(=O)[C@]2(C(=O)[O-])CNCCO2)cc1 ZINC001121968646 858648395 /nfs/dbraw/zinc/64/83/95/858648395.db2.gz XLCHPRHYDYRDFB-INIZCTEOSA-N -1 1 306.362 1.216 20 0 DDADMM CN(c1nnc(Cc2nnn[n-]2)n1Cc1ccccc1)C1CCC1 ZINC001122128976 858692285 /nfs/dbraw/zinc/69/22/85/858692285.db2.gz IUGXOXDISSTAME-UHFFFAOYSA-N -1 1 324.392 1.419 20 0 DDADMM CN(c1nnc(Cc2nn[n-]n2)n1Cc1ccccc1)C1CCC1 ZINC001122128976 858692288 /nfs/dbraw/zinc/69/22/88/858692288.db2.gz IUGXOXDISSTAME-UHFFFAOYSA-N -1 1 324.392 1.419 20 0 DDADMM Cc1cccc2[nH]cc(CC(=O)N3CC[C@@H](c4nn[n-]n4)C3)c21 ZINC001123962791 859499538 /nfs/dbraw/zinc/49/95/38/859499538.db2.gz WRTNRBUAQVZKIL-LLVKDONJSA-N -1 1 310.361 1.548 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC(=O)c1cccs1 ZINC001137580298 859943085 /nfs/dbraw/zinc/94/30/85/859943085.db2.gz BYVLBDNVFIHKGT-UHFFFAOYSA-N -1 1 309.372 1.454 20 0 DDADMM Cc1ccc(NC(=O)c2ccc3n[n-]c(=S)n3c2)c(=O)[nH]1 ZINC001138105141 860008130 /nfs/dbraw/zinc/00/81/30/860008130.db2.gz QAMFWGQPFHRVGA-UHFFFAOYSA-N -1 1 301.331 1.679 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CN(Cc2ncccc2[O-])C[C@@H]1F ZINC001138391481 860088886 /nfs/dbraw/zinc/08/88/86/860088886.db2.gz NBHIWRAUUJOOBN-QWRGUYRKSA-N -1 1 311.357 1.834 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1COCCN1Cc1ncccc1[O-] ZINC001138394001 860092108 /nfs/dbraw/zinc/09/21/08/860092108.db2.gz BVBFWCYNQCIVER-LBPRGKRZSA-N -1 1 323.393 1.513 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc3c(=O)cc[nH]c3c2)c([O-])c1 ZINC001139466613 860412467 /nfs/dbraw/zinc/41/24/67/860412467.db2.gz IJWWSYMLOJVGKT-UHFFFAOYSA-N -1 1 309.325 1.867 20 0 DDADMM CCOC(=O)c1cc2n(n1)CCCN(Cc1ccncc1[O-])C2 ZINC001140274280 860605253 /nfs/dbraw/zinc/60/52/53/860605253.db2.gz YZAYBNHHWQQFMM-UHFFFAOYSA-N -1 1 316.361 1.566 20 0 DDADMM Cc1nccn1-c1ncccc1CNC(=O)c1cnncc1O ZINC001142056901 861005653 /nfs/dbraw/zinc/00/56/53/861005653.db2.gz HVYBNOHFIQWCIF-UHFFFAOYSA-N -1 1 310.317 1.001 20 0 DDADMM CSc1nc(NC(=O)c2nnc3cc[nH]cc2-3)cc(=O)[n-]1 ZINC001152095201 863155726 /nfs/dbraw/zinc/15/57/26/863155726.db2.gz TYGSJHHBEPYHNT-UHFFFAOYSA-N -1 1 302.319 1.428 20 0 DDADMM O=C(NC[C@@H](O)c1ccco1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152670026 863482811 /nfs/dbraw/zinc/48/28/11/863482811.db2.gz RBPKAHHSENIZIU-CYBMUJFWSA-N -1 1 314.297 1.702 20 0 DDADMM C[C@@H]1COCC[C@@H]1NC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681784 863489999 /nfs/dbraw/zinc/48/99/99/863489999.db2.gz QEHYXTADTJMJKK-KOLCDFICSA-N -1 1 302.330 1.801 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)c2cccc(O)c2[n-]1)c1cnn(C)c1 ZINC001152681548 863490460 /nfs/dbraw/zinc/49/04/60/863490460.db2.gz OKURMHPWLXHZGV-SECBINFHSA-N -1 1 312.329 1.871 20 0 DDADMM Nc1cccc(NC2(P(=O)([O-])[O-])Cc3ccccc3C2)[nH+]1 ZINC001157157206 863619729 /nfs/dbraw/zinc/61/97/29/863619729.db2.gz VBDMELRAUUZZHV-UHFFFAOYSA-N -1 1 305.274 1.749 20 0 DDADMM COc1cncc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)n1 ZINC001157157194 863619834 /nfs/dbraw/zinc/61/98/34/863619834.db2.gz UHXNEDSSKVYFPN-UHFFFAOYSA-N -1 1 321.273 1.570 20 0 DDADMM CC(=CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001225120474 881689459 /nfs/dbraw/zinc/68/94/59/881689459.db2.gz ABQHUUAVSMZWQO-AXCOZDIOSA-N -1 1 319.409 1.384 20 0 DDADMM COC(=O)[C@@]1(NC(=O)c2cc(C)cc(C=O)c2[O-])CCSC1 ZINC001153584336 863960172 /nfs/dbraw/zinc/96/01/72/863960172.db2.gz KRVYHLMNEXXQGL-OAHLLOKOSA-N -1 1 323.370 1.292 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2cnc3cccnc3c2[O-])cn1 ZINC001153853973 864161635 /nfs/dbraw/zinc/16/16/35/864161635.db2.gz GAYXCTBDNCWDFH-UHFFFAOYSA-N -1 1 324.296 1.357 20 0 DDADMM CCCn1cc(CNC(=O)c2cc(C)cc(C=O)c2[O-])nn1 ZINC001153948170 864252807 /nfs/dbraw/zinc/25/28/07/864252807.db2.gz NZGZTEVMMMXEQS-UHFFFAOYSA-N -1 1 302.334 1.445 20 0 DDADMM Cc1ccc(C)c(C(=O)N[C@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)c1 ZINC001381453060 881819337 /nfs/dbraw/zinc/81/93/37/881819337.db2.gz NENLSMMRYAFNHQ-NWDGAFQWSA-N -1 1 317.393 1.424 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ccn(CC)n2)[n-]c1Cl ZINC001361528260 881834903 /nfs/dbraw/zinc/83/49/03/881834903.db2.gz BRDWGHPAPVMIGW-UHFFFAOYSA-N -1 1 311.729 1.709 20 0 DDADMM COC(=O)c1cc(C)nc(Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001159192588 865157810 /nfs/dbraw/zinc/15/78/10/865157810.db2.gz ULOKJYWMCAQKNI-UHFFFAOYSA-N -1 1 300.278 1.292 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ncnc3cc(Cl)cnc32)[n-]1 ZINC001159198547 865162345 /nfs/dbraw/zinc/16/23/45/865162345.db2.gz QGLWABOZFXBQFN-UHFFFAOYSA-N -1 1 314.696 1.794 20 0 DDADMM O=c1nc2nc[nH]c2c(N[C@@H](F)Oc2cncc(Cl)n2)[n-]1 ZINC001159205042 865166456 /nfs/dbraw/zinc/16/64/56/865166456.db2.gz SEMNLCWRHHXORZ-SECBINFHSA-N -1 1 311.664 1.246 20 0 DDADMM O=C([N-]c1n[nH]c2[nH]c(=O)ccc12)c1ocnc1C(F)(F)F ZINC001160152921 865702290 /nfs/dbraw/zinc/70/22/90/865702290.db2.gz TYESHNGZPKKMQH-UHFFFAOYSA-N -1 1 313.195 1.923 20 0 DDADMM NCc1nccnc1[N-]C(F)(F)COc1ccc(Cl)nn1 ZINC001160250800 865747488 /nfs/dbraw/zinc/74/74/88/865747488.db2.gz QWFCNPDXPQULOR-UHFFFAOYSA-N -1 1 316.699 1.462 20 0 DDADMM CN(C(=O)Cc1ccccc1C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001361564954 881906095 /nfs/dbraw/zinc/90/60/95/881906095.db2.gz BMXPPFXIPRMBLV-UHFFFAOYSA-N -1 1 317.349 1.361 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CCC[C@@H](C)CO ZINC001319747105 866265424 /nfs/dbraw/zinc/26/54/24/866265424.db2.gz PUNNMUZYLSAQQP-SECBINFHSA-N -1 1 323.361 1.660 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1CCN(c2cccc(Cl)c2)C1=O ZINC001161384020 866612138 /nfs/dbraw/zinc/61/21/38/866612138.db2.gz BFVTULGTCOFTFE-JTQLQIEISA-N -1 1 320.736 1.760 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1ccc(N(C)C)cc1 ZINC001323814674 866862862 /nfs/dbraw/zinc/86/28/62/866862862.db2.gz ZTMUWLYMEIFIAJ-UHFFFAOYSA-N -1 1 324.406 1.152 20 0 DDADMM O=C([O-])[C@@H](F)CNCc1cccc(I)c1 ZINC001334087705 867286595 /nfs/dbraw/zinc/28/65/95/867286595.db2.gz KVLOZWWDENGBBF-VIFPVBQESA-N -1 1 323.105 1.804 20 0 DDADMM CC(C)c1ccc(C2(C(=O)NN3CC(=O)[N-]C3=O)CCC2)cc1 ZINC001324684919 867452202 /nfs/dbraw/zinc/45/22/02/867452202.db2.gz BFNFHHJPBPTKQY-UHFFFAOYSA-N -1 1 315.373 1.815 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccc(OC2CCCC2)nc1 ZINC001361659937 882105231 /nfs/dbraw/zinc/10/52/31/882105231.db2.gz NIVGJJVYDSJFMC-UHFFFAOYSA-N -1 1 316.365 1.165 20 0 DDADMM C[C@H]1C[C@@H]1CNC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001334717756 867790203 /nfs/dbraw/zinc/79/02/03/867790203.db2.gz IDTKVORCDFFIAV-DTWKUNHWSA-N -1 1 312.316 1.101 20 0 DDADMM CCc1cc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)n[nH]1 ZINC001162743490 867793630 /nfs/dbraw/zinc/79/36/30/867793630.db2.gz KAUKSOGEJYBWLM-UHFFFAOYSA-N -1 1 314.305 1.282 20 0 DDADMM COC[C@@H]1CC[C@H]([C@@H]2COCCN2CCCCCC(=O)[O-])O1 ZINC001334761971 867818576 /nfs/dbraw/zinc/81/85/76/867818576.db2.gz BWLIZDCRQAGLHS-SOUVJXGZSA-N -1 1 315.410 1.526 20 0 DDADMM CCOCC[C@@H](NCc1cn(-c2ccccc2C)nn1)C(=O)[O-] ZINC001334853613 867868340 /nfs/dbraw/zinc/86/83/40/867868340.db2.gz RTJDTXZUEOMAJO-CQSZACIVSA-N -1 1 318.377 1.545 20 0 DDADMM CCOC(=O)c1nc2cc(-n3cc(F)c(=O)nc3N)ccc2[n-]1 ZINC001163011499 867994886 /nfs/dbraw/zinc/99/48/86/867994886.db2.gz QVAYJJGHZOWXDM-UHFFFAOYSA-N -1 1 317.280 1.249 20 0 DDADMM CCOC(=O)c1nc2ccc(-n3cc(F)c(=O)nc3N)cc2[n-]1 ZINC001163011499 867994896 /nfs/dbraw/zinc/99/48/96/867994896.db2.gz QVAYJJGHZOWXDM-UHFFFAOYSA-N -1 1 317.280 1.249 20 0 DDADMM Cc1occc1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163361668 868396875 /nfs/dbraw/zinc/39/68/75/868396875.db2.gz ZGJNCAVZTYKQKZ-UHFFFAOYSA-N -1 1 319.365 1.102 20 0 DDADMM CCC(=O)NCc1cccc(NCC[N-]C(=O)C(F)(F)F)c1 ZINC001164244095 869051037 /nfs/dbraw/zinc/05/10/37/869051037.db2.gz UGYZNWGGUJZLPG-UHFFFAOYSA-N -1 1 317.311 1.803 20 0 DDADMM CCOC(=O)N1CCC[C@@H](Oc2[n-]c(=S)ncc2OC)C1 ZINC001226243266 882301702 /nfs/dbraw/zinc/30/17/02/882301702.db2.gz ZWJGWXIAIJMRKJ-SECBINFHSA-N -1 1 313.379 1.774 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ncc(OC)cn2)[n-]1 ZINC001361756563 882306125 /nfs/dbraw/zinc/30/61/25/882306125.db2.gz BFFFVYICGOBAQI-UHFFFAOYSA-N -1 1 318.289 1.055 20 0 DDADMM CC(C)=C(F)C(=O)N[C@@H]1C[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001338070891 869782743 /nfs/dbraw/zinc/78/27/43/869782743.db2.gz MUBARWFSPMYRGO-WDEREUQCSA-N -1 1 321.352 1.770 20 0 DDADMM CC(C)=C(F)C(=O)N[C@H]1C[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001338070889 869782827 /nfs/dbraw/zinc/78/28/27/869782827.db2.gz MUBARWFSPMYRGO-QWRGUYRKSA-N -1 1 321.352 1.770 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(C=O)oc2C)n[n-]1 ZINC001166125810 869847906 /nfs/dbraw/zinc/84/79/06/869847906.db2.gz DEVGOYLVBIDRAF-ZETCQYMHSA-N -1 1 320.305 1.186 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(C=O)oc2C)[n-]1 ZINC001166125810 869847917 /nfs/dbraw/zinc/84/79/17/869847917.db2.gz DEVGOYLVBIDRAF-ZETCQYMHSA-N -1 1 320.305 1.186 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(C=O)oc2C)n1 ZINC001166125810 869847925 /nfs/dbraw/zinc/84/79/25/869847925.db2.gz DEVGOYLVBIDRAF-ZETCQYMHSA-N -1 1 320.305 1.186 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CC[C@](F)(c2ccccc2)C1 ZINC001338722426 870133795 /nfs/dbraw/zinc/13/37/95/870133795.db2.gz YBPPTJHAQFROTD-CQSZACIVSA-N -1 1 314.328 1.070 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CC[C@](F)(c2ccccc2)C1 ZINC001338722426 870133805 /nfs/dbraw/zinc/13/38/05/870133805.db2.gz YBPPTJHAQFROTD-CQSZACIVSA-N -1 1 314.328 1.070 20 0 DDADMM CC[C@@H](C)C(=O)NCC1=CCN(C(=O)c2ncccc2[O-])CC1 ZINC001339371199 870464552 /nfs/dbraw/zinc/46/45/52/870464552.db2.gz OKJHVHBERCQRFH-GFCCVEGCSA-N -1 1 317.389 1.722 20 0 DDADMM COC(=O)c1nc[n-]c1NC(=O)c1cccn(C(C)(C)C)c1=O ZINC001361826936 882447878 /nfs/dbraw/zinc/44/78/78/882447878.db2.gz UQQPXXWXXBEHEX-UHFFFAOYSA-N -1 1 318.333 1.365 20 0 DDADMM CC(C)(C)[C@@H](NC(=O)c1cccc2nc[nH]c21)c1nc(=O)o[n-]1 ZINC001299828079 870940587 /nfs/dbraw/zinc/94/05/87/870940587.db2.gz UZEOXYFICWBVGM-NSHDSACASA-N -1 1 315.333 1.756 20 0 DDADMM CCCc1nc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)co1 ZINC001301649578 871016397 /nfs/dbraw/zinc/01/63/97/871016397.db2.gz MBCJSASKMYOJNN-CYBMUJFWSA-N -1 1 312.333 1.660 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ocnc1-c1ccccc1 ZINC001303221358 871111053 /nfs/dbraw/zinc/11/10/53/871111053.db2.gz GMWJFMPOIWKCPP-UHFFFAOYSA-N -1 1 310.317 1.664 20 0 DDADMM COC[C@H](NC(=O)c1cc2cc(C)ccc2[nH]1)c1nn[n-]n1 ZINC001303578724 871139934 /nfs/dbraw/zinc/13/99/34/871139934.db2.gz QMPVSSJZKYSXJY-LBPRGKRZSA-N -1 1 300.322 1.107 20 0 DDADMM O=C(NCc1cnc2n1CCOC2)c1ncc2ccccc2c1[O-] ZINC001304793308 871240885 /nfs/dbraw/zinc/24/08/85/871240885.db2.gz PEVOHDJNBNRHEU-UHFFFAOYSA-N -1 1 324.340 1.597 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC=C(C(C)(C)C)CC1 ZINC001340919463 871428803 /nfs/dbraw/zinc/42/88/03/871428803.db2.gz OXKBZTJVURPKLF-UHFFFAOYSA-N -1 1 302.386 1.661 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC=C(C(C)(C)C)CC1 ZINC001340919463 871428822 /nfs/dbraw/zinc/42/88/22/871428822.db2.gz OXKBZTJVURPKLF-UHFFFAOYSA-N -1 1 302.386 1.661 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cc(Cl)cc(C=O)c1 ZINC001309947480 871611348 /nfs/dbraw/zinc/61/13/48/871611348.db2.gz GKXGSSXZQZCNAD-UHFFFAOYSA-N -1 1 300.723 1.952 20 0 DDADMM CC1N=NC(C(=O)Nc2ccc(N3CCNC3=O)cc2)=C1[O-] ZINC001361881563 882564929 /nfs/dbraw/zinc/56/49/29/882564929.db2.gz ZEWJHDADIPJOHF-UHFFFAOYSA-N -1 1 301.306 1.206 20 0 DDADMM Cc1cc(C(=O)NC(C)C)ccc1NC(=O)c1cnncc1[O-] ZINC001361893366 882589734 /nfs/dbraw/zinc/58/97/34/882589734.db2.gz LXMCSXDRFGOLIC-UHFFFAOYSA-N -1 1 314.345 1.881 20 0 DDADMM Cc1c([C@H](C)Oc2cc(=O)[n-]c(N3CCOCC3)n2)cnn1C ZINC001226720999 882610038 /nfs/dbraw/zinc/61/00/38/882610038.db2.gz BDKOSEVUHCXGGJ-NSHDSACASA-N -1 1 319.365 1.201 20 0 DDADMM CC1(C)C[C@H](Oc2cc(=O)[n-]c(N3CCOCC3)n2)CCO1 ZINC001226728875 882615633 /nfs/dbraw/zinc/61/56/33/882615633.db2.gz WCEBCGFXXZZCKZ-LLVKDONJSA-N -1 1 309.366 1.355 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@@]1(F)CCOC1 ZINC001346228708 873657687 /nfs/dbraw/zinc/65/76/87/873657687.db2.gz HOPOKLSSLKJSQU-OAHLLOKOSA-N -1 1 308.261 1.670 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)c1cccc(CC(=O)[O-])c1 ZINC001346336657 873706122 /nfs/dbraw/zinc/70/61/22/873706122.db2.gz GMNREIKXTCNRKP-UHFFFAOYSA-N -1 1 301.346 1.708 20 0 DDADMM O=C(c1[nH]ccc1-c1ccccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001347813788 874280598 /nfs/dbraw/zinc/28/05/98/874280598.db2.gz SIWYGJYTJSFQRT-CYBMUJFWSA-N -1 1 324.344 1.409 20 0 DDADMM CC(=CC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1)c1ccco1 ZINC001348164585 874393476 /nfs/dbraw/zinc/39/34/76/874393476.db2.gz GDMBNHOPEOCKGW-KGTBHZDVSA-N -1 1 301.350 1.677 20 0 DDADMM C[C@H](Cn1cncn1)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC001209376034 874850863 /nfs/dbraw/zinc/85/08/63/874850863.db2.gz HDINVSZEXVPLQP-SECBINFHSA-N -1 1 324.337 1.530 20 0 DDADMM CCOC(=O)c1nonc1[N-]c1ccc2c(c1)CCNC2=O ZINC001210159116 875250456 /nfs/dbraw/zinc/25/04/56/875250456.db2.gz LYNRYHHJGOWEMY-UHFFFAOYSA-N -1 1 302.290 1.276 20 0 DDADMM CCN(CCc1cccs1)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001362053290 882921376 /nfs/dbraw/zinc/92/13/76/882921376.db2.gz ZGRYJPGKVNSTGR-UHFFFAOYSA-N -1 1 323.374 1.663 20 0 DDADMM COc1ccc([C@@H]2CNCCN2C(=O)CCCCC(=O)[O-])cc1 ZINC001350101107 875536411 /nfs/dbraw/zinc/53/64/11/875536411.db2.gz YEOTZYSOWIQVFT-HNNXBMFYSA-N -1 1 320.389 1.813 20 0 DDADMM O=C(c1ccc2cc[nH]c2c1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001350429321 875684430 /nfs/dbraw/zinc/68/44/30/875684430.db2.gz OLRROTDWZOAIJO-LLVKDONJSA-N -1 1 310.361 1.776 20 0 DDADMM CN(C)c1nc(Nc2cnc3nccnc3c2)c(N=O)c(=O)[n-]1 ZINC001213215149 875850638 /nfs/dbraw/zinc/85/06/38/875850638.db2.gz STNNRDHESNHEBP-UHFFFAOYSA-N -1 1 312.293 1.728 20 0 DDADMM C[C@@H](COc1ccc(F)cc1)NC(=O)CCCc1nn[n-]n1 ZINC001362082114 882988893 /nfs/dbraw/zinc/98/88/93/882988893.db2.gz XYBRGPFAWOQVOU-JTQLQIEISA-N -1 1 307.329 1.245 20 0 DDADMM CN(CCCNC(=O)[C@@H]1C[C@H]1C1CC1)C(=O)c1ncccc1[O-] ZINC001351536506 876311889 /nfs/dbraw/zinc/31/18/89/876311889.db2.gz ZADDZZLFCZRGPD-QWHCGFSZSA-N -1 1 317.389 1.412 20 0 DDADMM COc1ccc(C)c(F)c1[N-]S(=O)(=O)c1cncc(N)c1 ZINC001214627632 876420103 /nfs/dbraw/zinc/42/01/03/876420103.db2.gz SWCJBPHREGKGBG-UHFFFAOYSA-N -1 1 311.338 1.921 20 0 DDADMM O=C(CNC(=O)c1cccc([O-])c1F)N1CCc2ccccc21 ZINC001362099619 883035169 /nfs/dbraw/zinc/03/51/69/883035169.db2.gz NFVAPTNOSJHADT-UHFFFAOYSA-N -1 1 314.316 1.850 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C12CCC2 ZINC001352281512 876675236 /nfs/dbraw/zinc/67/52/36/876675236.db2.gz MXNXOICKTDWHOA-DTWKUNHWSA-N -1 1 309.391 1.467 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CC[C@@H](c2ccccc2)C1 ZINC001352387274 876719915 /nfs/dbraw/zinc/71/99/15/876719915.db2.gz RHNQYHUXTJOMRS-CYBMUJFWSA-N -1 1 324.392 1.396 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CC[C@@H](c2ccccc2)C1 ZINC001352387274 876719921 /nfs/dbraw/zinc/71/99/21/876719921.db2.gz RHNQYHUXTJOMRS-CYBMUJFWSA-N -1 1 324.392 1.396 20 0 DDADMM CCOCCC1(C(=O)N2CC[C@H](c3nn[n-]n3)C2)CCCC1 ZINC001352585105 876816479 /nfs/dbraw/zinc/81/64/79/876816479.db2.gz NNMMKIDTIBBYCM-LBPRGKRZSA-N -1 1 307.398 1.503 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cc(N)ccc2N)cc(O)c1F ZINC001216082705 876858899 /nfs/dbraw/zinc/85/88/99/876858899.db2.gz DPMGJYRPSKZMJA-UHFFFAOYSA-N -1 1 311.338 1.805 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)C1CC2(CC2)C1 ZINC001381964060 883072863 /nfs/dbraw/zinc/07/28/63/883072863.db2.gz STPBQICPUFYCFF-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)c1cccc([N-]S(=O)(=O)c2cncc(N)c2)c1F ZINC001216500263 876959907 /nfs/dbraw/zinc/95/99/07/876959907.db2.gz XHNNONMZGQCCDY-UHFFFAOYSA-N -1 1 309.322 1.806 20 0 DDADMM CNC(=O)c1cc(NC(=O)CCCc2nn[n-]n2)ccc1Cl ZINC001362120636 883089533 /nfs/dbraw/zinc/08/95/33/883089533.db2.gz DPLJOMPQILPMRI-UHFFFAOYSA-N -1 1 322.756 1.174 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)Nc2cc(OCC)nc(C)n2)n1 ZINC001472827830 878189304 /nfs/dbraw/zinc/18/93/04/878189304.db2.gz BRCUIUOKMOWKEV-QMMMGPOBSA-N -1 1 320.353 1.652 20 0 DDADMM CCN(Cc1cnn(C)c1)C(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000193457749 879003057 /nfs/dbraw/zinc/00/30/57/879003057.db2.gz GWZGGRBCXVKGIM-UHFFFAOYSA-N -1 1 305.294 1.091 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)c2cc(N)ccc2C)cc1 ZINC000315840845 879192697 /nfs/dbraw/zinc/19/26/97/879192697.db2.gz AFQCOTRJXQJMIW-UHFFFAOYSA-N -1 1 319.386 1.738 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccccc2N)cc1[N+](=O)[O-] ZINC000317564857 879793987 /nfs/dbraw/zinc/79/39/87/879793987.db2.gz NUTCRPRZQPDPJR-UHFFFAOYSA-N -1 1 323.330 1.986 20 0 DDADMM Cc1ccc(C)c(C(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001374313800 912516314 /nfs/dbraw/zinc/51/63/14/912516314.db2.gz IVMRFRORHJNYPC-LBPRGKRZSA-N -1 1 317.393 1.377 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N(CC)CC(C)C ZINC001357464989 879980695 /nfs/dbraw/zinc/98/06/95/879980695.db2.gz QEDQYYPCPQBHJL-UHFFFAOYSA-N -1 1 322.417 1.367 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N(CC)CC(C)C ZINC001357464989 879980705 /nfs/dbraw/zinc/98/07/05/879980705.db2.gz QEDQYYPCPQBHJL-UHFFFAOYSA-N -1 1 322.417 1.367 20 0 DDADMM O=C1OCC[C@H]1N1CCC(Nc2cc(Cl)[n-]c(=O)n2)CC1 ZINC001413882655 880593402 /nfs/dbraw/zinc/59/34/02/880593402.db2.gz JJNKSABHOKOPED-SECBINFHSA-N -1 1 312.757 1.027 20 0 DDADMM CC(C)=C(C)CC(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358629077 880644113 /nfs/dbraw/zinc/64/41/13/880644113.db2.gz PYIPLIYWEKGUAO-CYBMUJFWSA-N -1 1 317.389 1.864 20 0 DDADMM COC(=O)CCc1csc([N-]C(=O)c2nnc(C3CC3)o2)n1 ZINC001362154119 883166848 /nfs/dbraw/zinc/16/68/48/883166848.db2.gz RJRDNLOIYNGQDJ-UHFFFAOYSA-N -1 1 322.346 1.761 20 0 DDADMM CCN(C(=O)c1ccc(F)c([O-])c1)[C@H](C)CS(C)(=O)=O ZINC001362171276 883204153 /nfs/dbraw/zinc/20/41/53/883204153.db2.gz DFMSKSGDFFGJAI-SECBINFHSA-N -1 1 303.355 1.427 20 0 DDADMM CC(C)c1nc([C@@H]2CCCCN2C(=O)CCc2nn[n-]n2)no1 ZINC001362197489 883270535 /nfs/dbraw/zinc/27/05/35/883270535.db2.gz FTHPHHNLPRBYEG-JTQLQIEISA-N -1 1 319.369 1.393 20 0 DDADMM CC[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCCCC1 ZINC001362197700 883271517 /nfs/dbraw/zinc/27/15/17/883271517.db2.gz DXBKANCFZYLYES-CYBMUJFWSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@H](CSC(F)(F)F)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362208190 883302173 /nfs/dbraw/zinc/30/21/73/883302173.db2.gz METZUFDPDXXJBD-SFYZADRCSA-N -1 1 323.344 1.795 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H](NC(=O)c2cnc(SC)[n-]c2=O)C1(C)C ZINC001362220070 883331708 /nfs/dbraw/zinc/33/17/08/883331708.db2.gz JHBWXUMPWRETNC-UJNFCWOMSA-N -1 1 311.407 1.693 20 0 DDADMM C[C@H](NC(=O)c1ncc(Br)cc1[O-])c1nnc[nH]1 ZINC001362251279 883409857 /nfs/dbraw/zinc/40/98/57/883409857.db2.gz MEMLSHUZFAKBJZ-YFKPBYRVSA-N -1 1 312.127 1.159 20 0 DDADMM C[C@H](C[S@](C)=O)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362332678 883585423 /nfs/dbraw/zinc/58/54/23/883585423.db2.gz JDKWACVKOHPBEL-GLEHDBDLSA-N -1 1 309.309 1.908 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2cccc([O-])c2F)[C@]12CCCO2 ZINC001362321671 883561965 /nfs/dbraw/zinc/56/19/65/883561965.db2.gz FIHPHKRXDNVLRC-XJKCOSOUSA-N -1 1 309.337 1.988 20 0 DDADMM CC(C)C[C@@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001229354423 883938814 /nfs/dbraw/zinc/93/88/14/883938814.db2.gz HNPNMIWKPIQPQX-JHJVBQTASA-N -1 1 323.441 1.710 20 0 DDADMM COC(=O)c1cc(F)cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC001362499300 883949018 /nfs/dbraw/zinc/94/90/18/883949018.db2.gz MXGHKTHWQYXMKA-QMMMGPOBSA-N -1 1 321.312 1.001 20 0 DDADMM NC(=O)[C@@H]1CN(C(=O)c2cncc([O-])c2)C[C@H]1c1ccccc1 ZINC001362552108 884075831 /nfs/dbraw/zinc/07/58/31/884075831.db2.gz ANOLYDDWDUQHDI-LSDHHAIUSA-N -1 1 311.341 1.128 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C(C)(C)C)o1 ZINC001382509905 884159924 /nfs/dbraw/zinc/15/99/24/884159924.db2.gz JWSWWMQMVRBTRC-NSHDSACASA-N -1 1 321.381 1.346 20 0 DDADMM COC(=O)[C@H](CC(F)F)NC(=O)c1cc(Cl)ccc1[O-] ZINC001362599845 884189212 /nfs/dbraw/zinc/18/92/12/884189212.db2.gz JMUQAQQLTMPUHG-QMMMGPOBSA-N -1 1 307.680 1.972 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC001362622673 884247809 /nfs/dbraw/zinc/24/78/09/884247809.db2.gz CCQPLFMYNBPZHA-UHFFFAOYSA-N -1 1 317.349 1.303 20 0 DDADMM CCC(CC)(NC(=O)Cc1cn2c(n1)CCCC2)c1nn[n-]n1 ZINC001362626850 884260595 /nfs/dbraw/zinc/26/05/95/884260595.db2.gz VGXMYEUXQYMFQV-UHFFFAOYSA-N -1 1 317.397 1.107 20 0 DDADMM CCc1nnc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)[nH]1 ZINC001362633535 884278303 /nfs/dbraw/zinc/27/83/03/884278303.db2.gz JJESJVOSPAZEBK-UHFFFAOYSA-N -1 1 304.354 1.225 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCOCC2(CCOCC2)C1 ZINC001362648269 884313993 /nfs/dbraw/zinc/31/39/93/884313993.db2.gz IEOROTVYMQKFLK-UHFFFAOYSA-N -1 1 309.337 1.801 20 0 DDADMM CSc1ncc(C(=O)Nc2cccc3c2COC3=O)c(=O)[n-]1 ZINC001362684619 884410131 /nfs/dbraw/zinc/41/01/31/884410131.db2.gz IBYJUIOWTAANLF-UHFFFAOYSA-N -1 1 317.326 1.827 20 0 DDADMM O=C(NC1CCN([C@H]2CCOC2=O)CC1)c1ccc([O-])cc1F ZINC001362685215 884412159 /nfs/dbraw/zinc/41/21/59/884412159.db2.gz RFAYHIHXKYASEP-AWEZNQCLSA-N -1 1 322.336 1.041 20 0 DDADMM CCNC(=O)Nc1ccc(C)c(NC(=O)CCc2nn[n-]n2)c1 ZINC001362740999 884524481 /nfs/dbraw/zinc/52/44/81/884524481.db2.gz PSAFNAHIAYGTAP-UHFFFAOYSA-N -1 1 317.353 1.221 20 0 DDADMM CC(C)c1[nH]nc(C(N)=O)c1NC(=O)c1cc(F)ccc1[O-] ZINC001362748845 884544395 /nfs/dbraw/zinc/54/43/95/884544395.db2.gz DAWREURDZILYCD-UHFFFAOYSA-N -1 1 306.297 1.729 20 0 DDADMM Cc1cnc(C(=O)NCc2ccccc2S(C)(=O)=O)c([O-])c1 ZINC001362750921 884549315 /nfs/dbraw/zinc/54/93/15/884549315.db2.gz CCJZUBVXHNMMOG-UHFFFAOYSA-N -1 1 320.370 1.429 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CO[C@H]1CCCC[C@@H]1C ZINC001362828262 884736360 /nfs/dbraw/zinc/73/63/60/884736360.db2.gz ARYNSBXHHOZHES-STQMWFEESA-N -1 1 309.414 1.780 20 0 DDADMM C[C@H](Oc1ccc(F)c(F)c1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001362834963 884757654 /nfs/dbraw/zinc/75/76/54/884757654.db2.gz KLUFRUKUJMOQPE-QMMMGPOBSA-N -1 1 323.303 1.441 20 0 DDADMM CSc1ncc(C(=O)NCC2(OC(C)C)CCC2)c(=O)[n-]1 ZINC001362857489 884811326 /nfs/dbraw/zinc/81/13/26/884811326.db2.gz DKGCHQJAJORLDB-UHFFFAOYSA-N -1 1 311.407 1.982 20 0 DDADMM CSc1ncc(C(=O)N[C@H]([C@@H](CO)C2CC2)C2CC2)c(=O)[n-]1 ZINC001362896922 884915659 /nfs/dbraw/zinc/91/56/59/884915659.db2.gz YGHDHDQZCAOKBL-RYUDHWBXSA-N -1 1 323.418 1.431 20 0 DDADMM COC[C@H](NC(=O)[C@@H]1C[C@H]1c1ccc(F)cc1F)c1nn[n-]n1 ZINC001362900332 884927601 /nfs/dbraw/zinc/92/76/01/884927601.db2.gz NUAJYTRCKBFXJK-UMNHJUIQSA-N -1 1 323.303 1.085 20 0 DDADMM COC[C@H](NC(=O)c1cc2c(s1)CCCCC2)c1nn[n-]n1 ZINC001362904423 884941751 /nfs/dbraw/zinc/94/17/51/884941751.db2.gz CLXQKSLVXYYCGY-JTQLQIEISA-N -1 1 321.406 1.648 20 0 DDADMM Cc1noc(C(C)(C)NC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC001362908730 884955033 /nfs/dbraw/zinc/95/50/33/884955033.db2.gz SVXNLRIFFXFMNO-UHFFFAOYSA-N -1 1 303.322 1.416 20 0 DDADMM CCO[C@H](CC)C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001382925114 884968625 /nfs/dbraw/zinc/96/86/25/884968625.db2.gz SUNMXJNCCAYCEP-WCQYABFASA-N -1 1 323.393 1.179 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@]12C[C@H]1CCCC2 ZINC001362936652 885028640 /nfs/dbraw/zinc/02/86/40/885028640.db2.gz UQHWLTRLHURTFJ-BZNIZROVSA-N -1 1 303.362 1.214 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2ncc(C)cc2O)[n-]c1=O ZINC001362972605 885119317 /nfs/dbraw/zinc/11/93/17/885119317.db2.gz YZXFBPXXSRYYBT-UHFFFAOYSA-N -1 1 318.333 1.265 20 0 DDADMM CC(C)(C)OC(=O)CCCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362992836 885172247 /nfs/dbraw/zinc/17/22/47/885172247.db2.gz AKHNTDRSVGNTQW-NSHDSACASA-N -1 1 323.397 1.418 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CC[C@H]1C1CC1 ZINC001363026176 885259566 /nfs/dbraw/zinc/25/95/66/885259566.db2.gz KLPSCZMCMIVAFD-HNNXBMFYSA-N -1 1 324.384 1.694 20 0 DDADMM COC(=O)[C@H](CNC(=O)CCCc1nn[n-]n1)CC1CCCC1 ZINC001363054364 885345490 /nfs/dbraw/zinc/34/54/90/885345490.db2.gz XZIYYPRHLIKMTK-LBPRGKRZSA-N -1 1 323.397 1.008 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cccc(OC[C@H]2CCCO2)c1 ZINC001363125552 885527307 /nfs/dbraw/zinc/52/73/07/885527307.db2.gz DMRNBHUKZQTDJL-GFCCVEGCSA-N -1 1 303.318 1.925 20 0 DDADMM COc1ccc(O)c(C(=O)NCc2cc(=O)[n-]c(SC)n2)c1 ZINC001363137201 885556231 /nfs/dbraw/zinc/55/62/31/885556231.db2.gz AJUHLSDCZNFMIK-UHFFFAOYSA-N -1 1 321.358 1.548 20 0 DDADMM CCC(CC)(NC(=O)C[C@H]1CC[C@H](C2CC2)O1)c1nn[n-]n1 ZINC001363138295 885558745 /nfs/dbraw/zinc/55/87/45/885558745.db2.gz SZCZQQOQPDMXTL-VXGBXAGGSA-N -1 1 307.398 1.679 20 0 DDADMM NC(=O)c1cc(NC(=O)c2cnc(C3CC3)[n-]c2=O)cs1 ZINC001363161838 885613954 /nfs/dbraw/zinc/61/39/54/885613954.db2.gz PGQZKRMYMYCVTH-UHFFFAOYSA-N -1 1 304.331 1.472 20 0 DDADMM O=C(NC1CC1)OC[C@@H]1CCCCN1C(=O)c1ccc([O-])cn1 ZINC001363182270 885658640 /nfs/dbraw/zinc/65/86/40/885658640.db2.gz BBUIOMIQZJJAQB-LBPRGKRZSA-N -1 1 319.361 1.671 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2onc3c2CCCC3)n1 ZINC001363212045 885725954 /nfs/dbraw/zinc/72/59/54/885725954.db2.gz VADCHEPZTFLBNM-UHFFFAOYSA-N -1 1 304.306 1.706 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCc2ccncc2)[n-]1 ZINC001363233492 885780039 /nfs/dbraw/zinc/78/00/39/885780039.db2.gz YRHXBGNHISDCEQ-SNVBAGLBSA-N -1 1 317.349 1.186 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCc2ccncc2)n[n-]1 ZINC001363233492 885780047 /nfs/dbraw/zinc/78/00/47/885780047.db2.gz YRHXBGNHISDCEQ-SNVBAGLBSA-N -1 1 317.349 1.186 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCc2ccncc2)n1 ZINC001363233492 885780053 /nfs/dbraw/zinc/78/00/53/885780053.db2.gz YRHXBGNHISDCEQ-SNVBAGLBSA-N -1 1 317.349 1.186 20 0 DDADMM CSc1ncc(C(=O)N2CC(Cc3ccco3)C2)c(=O)[n-]1 ZINC001363245896 885807625 /nfs/dbraw/zinc/80/76/25/885807625.db2.gz KALQDPCAEXNJDV-UHFFFAOYSA-N -1 1 305.359 1.812 20 0 DDADMM C[C@@H](C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1ccccn1 ZINC001363293170 885935239 /nfs/dbraw/zinc/93/52/39/885935239.db2.gz LCXPAWJGQCBVNL-SNVBAGLBSA-N -1 1 314.345 1.070 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)c1cc2n(n1)CCC[C@H]2O ZINC001363311746 885986525 /nfs/dbraw/zinc/98/65/25/885986525.db2.gz MGDBXCYLBSZWQM-CQSZACIVSA-N -1 1 321.764 1.999 20 0 DDADMM COC(=O)c1c(NC(=O)c2cccc([O-])c2F)nc2n1CCC2 ZINC001363334424 886054580 /nfs/dbraw/zinc/05/45/80/886054580.db2.gz PQSZDXSKYSQUCU-UHFFFAOYSA-N -1 1 319.292 1.713 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1ccsn1 ZINC001363401914 886237624 /nfs/dbraw/zinc/23/76/24/886237624.db2.gz VEYSSBNPUXNVCX-SECBINFHSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1ccsn1 ZINC001363401914 886237646 /nfs/dbraw/zinc/23/76/46/886237646.db2.gz VEYSSBNPUXNVCX-SECBINFHSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1ccsn1 ZINC001363401914 886237666 /nfs/dbraw/zinc/23/76/66/886237666.db2.gz VEYSSBNPUXNVCX-SECBINFHSA-N -1 1 321.362 1.415 20 0 DDADMM COC[C@@H](NC(=O)C[C@@H](C)c1cccc(OC)c1)c1nn[n-]n1 ZINC001363409333 886257169 /nfs/dbraw/zinc/25/71/69/886257169.db2.gz CCEGRBNCHJPING-ZWNOBZJWSA-N -1 1 319.365 1.206 20 0 DDADMM CCCCC(=O)N(CC)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001383717555 886393203 /nfs/dbraw/zinc/39/32/03/886393203.db2.gz MUKASLMCTDCVSB-GFCCVEGCSA-N -1 1 307.394 1.944 20 0 DDADMM O=C(NCC[C@H]1CCCS1(=O)=O)c1cccc([O-])c1F ZINC001363543705 886596611 /nfs/dbraw/zinc/59/66/11/886596611.db2.gz DVNKMYDBUNEOJM-SECBINFHSA-N -1 1 301.339 1.228 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(Cc2cc([O-])c(F)c(F)c2)C1 ZINC001232975788 886705912 /nfs/dbraw/zinc/70/59/12/886705912.db2.gz QHBUSUWOLMJXAG-SNVBAGLBSA-N -1 1 305.346 1.679 20 0 DDADMM Cn1c(=O)cccc1C(=O)NCCc1c(F)cc([O-])cc1F ZINC001363646702 886873020 /nfs/dbraw/zinc/87/30/20/886873020.db2.gz DJPPUXKNIQACPE-UHFFFAOYSA-N -1 1 308.284 1.342 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H](Oc2c(=O)[n-]cnc2C(=O)OC)C1 ZINC001233757610 887285487 /nfs/dbraw/zinc/28/54/87/887285487.db2.gz FZVXRNFAZXLUJK-IUCAKERBSA-N -1 1 310.306 1.079 20 0 DDADMM C[C@H](OCc1ccccc1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363822631 887318393 /nfs/dbraw/zinc/31/83/93/887318393.db2.gz RZLAWYZPYRQBOB-NSHDSACASA-N -1 1 301.350 1.300 20 0 DDADMM CO[C@H](C)C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C(C)(C)C ZINC001384361924 887339944 /nfs/dbraw/zinc/33/99/44/887339944.db2.gz ZLEHKVLSMGIQDD-ZYHUDNBSSA-N -1 1 323.393 1.083 20 0 DDADMM CN(C[C@@H](O)c1cccnc1)C(=O)c1c(F)ccc([O-])c1F ZINC001363885738 887471854 /nfs/dbraw/zinc/47/18/54/887471854.db2.gz LNWYOBFESNUXFS-GFCCVEGCSA-N -1 1 308.284 1.871 20 0 DDADMM COC(=O)c1cccc2[n-]c(OC3CCS(=O)(=O)CC3)nc21 ZINC001234039043 887579150 /nfs/dbraw/zinc/57/91/50/887579150.db2.gz KCSPYIJLYAKNPZ-UHFFFAOYSA-N -1 1 324.358 1.306 20 0 DDADMM COC(=O)c1cccc2nc(OC3CCS(=O)(=O)CC3)[n-]c21 ZINC001234039043 887579167 /nfs/dbraw/zinc/57/91/67/887579167.db2.gz KCSPYIJLYAKNPZ-UHFFFAOYSA-N -1 1 324.358 1.306 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2sc(C)nc2C)sn1 ZINC001363959076 887615285 /nfs/dbraw/zinc/61/52/85/887615285.db2.gz MWKRTOOLLZFJQC-UHFFFAOYSA-N -1 1 319.433 1.704 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@@H](F)C(C)C ZINC001363964705 887626392 /nfs/dbraw/zinc/62/63/92/887626392.db2.gz MMPMYOCPUHMJPS-UWVGGRQHSA-N -1 1 312.345 1.639 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@@H](F)C(C)C ZINC001363964705 887626399 /nfs/dbraw/zinc/62/63/99/887626399.db2.gz MMPMYOCPUHMJPS-UWVGGRQHSA-N -1 1 312.345 1.639 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@@H](F)C(C)C ZINC001363964705 887626406 /nfs/dbraw/zinc/62/64/06/887626406.db2.gz MMPMYOCPUHMJPS-UWVGGRQHSA-N -1 1 312.345 1.639 20 0 DDADMM COC(=O)[C@]1(CNC(=O)c2ccc(Cl)cc2[O-])CCOC1 ZINC001364001371 887700315 /nfs/dbraw/zinc/70/03/15/887700315.db2.gz OVUMRONGCXZYPU-AWEZNQCLSA-N -1 1 313.737 1.355 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001364010347 887718383 /nfs/dbraw/zinc/71/83/83/887718383.db2.gz WKFVAFFCBPGFBP-CMPLNLGQSA-N -1 1 319.361 1.521 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC[C@@H]2CCSC2)sn1 ZINC001364025669 887743479 /nfs/dbraw/zinc/74/34/79/887743479.db2.gz HSRFRGFBSCZJME-MRVPVSSYSA-N -1 1 308.450 1.573 20 0 DDADMM COC[C@H](NC(=O)c1csc(C(F)(F)F)c1)c1nn[n-]n1 ZINC001364028362 887754138 /nfs/dbraw/zinc/75/41/38/887754138.db2.gz LBSQWBODHFYDOW-LURJTMIESA-N -1 1 321.284 1.398 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1C[C@@H]2CCC[C@@H]12 ZINC001364071662 887849965 /nfs/dbraw/zinc/84/99/65/887849965.db2.gz LNMANBVLDWNUSV-IQJOONFLSA-N -1 1 313.379 1.053 20 0 DDADMM C[C@@H](C(=O)Nc1c[n-][nH]c1=O)N(C(=O)OC(C)(C)C)C1CC1 ZINC001364094123 887902967 /nfs/dbraw/zinc/90/29/67/887902967.db2.gz PTYSJBKOHKWWLA-QMMMGPOBSA-N -1 1 310.354 1.842 20 0 DDADMM CC(C)(C)OC(=O)NC1CC(CC(=O)Nc2c[n-][nH]c2=O)C1 ZINC001364100288 887916972 /nfs/dbraw/zinc/91/69/72/887916972.db2.gz SEANXIIEYCRIPS-UHFFFAOYSA-N -1 1 310.354 1.747 20 0 DDADMM O=C(NCc1nnc([C@@H]2CCOC2)[nH]1)c1ccc(F)cc1[O-] ZINC001364107252 887935548 /nfs/dbraw/zinc/93/55/48/887935548.db2.gz IOQBUFZSVZCHPR-MRVPVSSYSA-N -1 1 306.297 1.083 20 0 DDADMM CC(C)(C)OC(=O)N1CC(C)(C)[C@@H]1C(=O)Nc1c[n-][nH]c1=O ZINC001364158054 888044959 /nfs/dbraw/zinc/04/49/59/888044959.db2.gz YVPNKZLHCSCVJA-VIFPVBQESA-N -1 1 310.354 1.699 20 0 DDADMM O=C(Nc1cncc(C(F)F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC001364165325 888061157 /nfs/dbraw/zinc/06/11/57/888061157.db2.gz PCHLKUCGGUDRFU-UHFFFAOYSA-N -1 1 323.307 1.944 20 0 DDADMM CSc1ncc(C(=O)NCC2(O)CCC(C)CC2)c(=O)[n-]1 ZINC001364176619 888083855 /nfs/dbraw/zinc/08/38/55/888083855.db2.gz DXOMAZVFHHKYSJ-UHFFFAOYSA-N -1 1 311.407 1.575 20 0 DDADMM O=C(CSc1nc(C(F)F)cc(=O)[n-]1)Nc1cccnn1 ZINC001364284447 888293318 /nfs/dbraw/zinc/29/33/18/888293318.db2.gz YTUPPZMMYMLNDN-UHFFFAOYSA-N -1 1 313.289 1.641 20 0 DDADMM CSc1ncc(C(=O)NCCc2cccnc2C)c(=O)[n-]1 ZINC001364426654 888611105 /nfs/dbraw/zinc/61/11/05/888611105.db2.gz BWTSGOFVOVCBSX-UHFFFAOYSA-N -1 1 304.375 1.580 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](CO)CCc2ccccc2)c([O-])c1 ZINC001364878307 889593923 /nfs/dbraw/zinc/59/39/23/889593923.db2.gz LALLRJLFDITMQD-CQSZACIVSA-N -1 1 300.358 1.819 20 0 DDADMM O=S(=O)([N-]CCc1ccns1)c1cccc(F)c1F ZINC001364913768 889657003 /nfs/dbraw/zinc/65/70/03/889657003.db2.gz RSPPVEFRTMRGJS-UHFFFAOYSA-N -1 1 304.343 1.942 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)CC[C@H]2C)[n-]n1 ZINC001365143998 890189814 /nfs/dbraw/zinc/18/98/14/890189814.db2.gz RKZDOZDFJMJSFK-RKDXNWHRSA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)CC[C@H]2C)n[n-]1 ZINC001365143998 890189819 /nfs/dbraw/zinc/18/98/19/890189819.db2.gz RKZDOZDFJMJSFK-RKDXNWHRSA-N -1 1 301.368 1.005 20 0 DDADMM O=C([O-])c1cncc(-c2cccc(C(=O)N3CCNCC3)c2)c1 ZINC001241802929 891274505 /nfs/dbraw/zinc/27/45/05/891274505.db2.gz UGTHZGFXFRRJQI-UHFFFAOYSA-N -1 1 311.341 1.492 20 0 DDADMM CCOC(=O)c1cnc([S@](C)=O)nc1-c1ccc(F)c([O-])c1 ZINC001243046691 891589733 /nfs/dbraw/zinc/58/97/33/891589733.db2.gz FLOHDEQNQPJUDK-QFIPXVFZSA-N -1 1 324.333 1.902 20 0 DDADMM Cc1cc2c(c(-c3cnc(N4CCCC4)nc3)n1)C(=O)[N-]C2=O ZINC001243309837 891636731 /nfs/dbraw/zinc/63/67/31/891636731.db2.gz JGOJQSFOJYJNFZ-UHFFFAOYSA-N -1 1 309.329 1.331 20 0 DDADMM O=c1cc(CC(F)(F)F)[nH]n1-c1cccc(S(=O)(=O)[O-])c1 ZINC001245952349 892284688 /nfs/dbraw/zinc/28/46/88/892284688.db2.gz OBLYGUGAHUFXHH-UHFFFAOYSA-N -1 1 322.264 1.929 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)c1cc(Cl)c[nH]1 ZINC001387696806 893661254 /nfs/dbraw/zinc/66/12/54/893661254.db2.gz RRYDVFMUZNPPTP-UHFFFAOYSA-N -1 1 322.752 1.271 20 0 DDADMM CC(C)C[C@@H](CNC(=O)C(F)F)NC(=O)c1ncccc1[O-] ZINC001388517743 895374366 /nfs/dbraw/zinc/37/43/66/895374366.db2.gz IVUWMAVSAHTNHJ-VIFPVBQESA-N -1 1 315.320 1.313 20 0 DDADMM O=c1nc2nc[nH]c2c(NS(=O)(=O)Cc2ccccc2)[n-]1 ZINC001252918209 895543887 /nfs/dbraw/zinc/54/38/87/895543887.db2.gz WWHKAOZVOQGUCG-UHFFFAOYSA-N -1 1 305.319 1.000 20 0 DDADMM CSc1nc(NC[C@H](O)C(=O)OC(C)(C)C)cc(=O)[n-]1 ZINC001253669627 895979584 /nfs/dbraw/zinc/97/95/84/895979584.db2.gz FNDBGQZZCMXBDX-ZETCQYMHSA-N -1 1 301.368 1.019 20 0 DDADMM CCOC(=O)C[C@H](O)CNc1cc2ccc([O-])cc2oc1=O ZINC001253791494 896093602 /nfs/dbraw/zinc/09/36/02/896093602.db2.gz CNUPNYNVRMGRHK-NSHDSACASA-N -1 1 307.302 1.225 20 0 DDADMM Cc1noc(C)c1-c1nc(C)c(C(=O)NCc2nn[n-]n2)s1 ZINC001292871940 914060809 /nfs/dbraw/zinc/06/08/09/914060809.db2.gz IILZNBFWYWIOCW-UHFFFAOYSA-N -1 1 319.350 1.166 20 0 DDADMM COC(=O)CC1CCC(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC1 ZINC001256978083 897653814 /nfs/dbraw/zinc/65/38/14/897653814.db2.gz MQRJVLNELXTADM-UHFFFAOYSA-N -1 1 321.337 1.250 20 0 DDADMM CCNC(=O)C[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257508226 897868862 /nfs/dbraw/zinc/86/88/62/897868862.db2.gz IWRGTFKCJBMPBO-UHFFFAOYSA-N -1 1 317.219 1.469 20 0 DDADMM O=P([O-])([O-])CC[NH2+]C1CCN(c2cccc(F)c2)CC1 ZINC001258412811 898181425 /nfs/dbraw/zinc/18/14/25/898181425.db2.gz WUSIVENHHONXPQ-UHFFFAOYSA-N -1 1 302.286 1.562 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc(F)ccc1F)c1cccnc1 ZINC001258949372 898376678 /nfs/dbraw/zinc/37/66/78/898376678.db2.gz YTWBOWPFQMJOOS-UHFFFAOYSA-N -1 1 312.297 1.521 20 0 DDADMM CCOC(=O)[C@H](CC)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258950090 898379042 /nfs/dbraw/zinc/37/90/42/898379042.db2.gz JVDBTHITOAVNFI-JTQLQIEISA-N -1 1 307.318 1.585 20 0 DDADMM COc1nccc([N-]S(=O)(=O)c2cn(C)cn2)c1Cl ZINC001258993376 898398020 /nfs/dbraw/zinc/39/80/20/898398020.db2.gz QUICOZHIFRRPNM-UHFFFAOYSA-N -1 1 302.743 1.278 20 0 DDADMM O=S(=O)([N-]Cc1ncc(F)cn1)c1cc(F)c(F)cc1F ZINC001259041731 898438548 /nfs/dbraw/zinc/43/85/48/898438548.db2.gz DTRRDHXLAODMOE-UHFFFAOYSA-N -1 1 321.255 1.512 20 0 DDADMM O=S(=O)([N-]CCc1ccncn1)c1ccc(F)c(F)c1F ZINC001259083198 898458517 /nfs/dbraw/zinc/45/85/17/898458517.db2.gz GLZINJJQQKPTOO-UHFFFAOYSA-N -1 1 317.292 1.415 20 0 DDADMM C=C/C(C)=C/CC(=O)N1CC[C@](C)(NC(=O)c2cnn[nH]2)C1 ZINC001299557516 898473527 /nfs/dbraw/zinc/47/35/27/898473527.db2.gz GKMIOFIZFOZJCE-RILDOFPPSA-N -1 1 303.366 1.048 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2ccc3c(c2)nnn3C)c1 ZINC001259189493 898515766 /nfs/dbraw/zinc/51/57/66/898515766.db2.gz YZTFAMCURLGMDN-UHFFFAOYSA-N -1 1 318.358 1.778 20 0 DDADMM COC(=O)Cc1ccc(F)c([N-]S(=O)(=O)c2cccnc2)c1 ZINC001259609621 898739427 /nfs/dbraw/zinc/73/94/27/898739427.db2.gz WYJRSBCCLYKCLW-UHFFFAOYSA-N -1 1 324.333 1.737 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NS(=O)(=O)CCc1ccccc1 ZINC001259732865 898766976 /nfs/dbraw/zinc/76/69/76/898766976.db2.gz QBYBQYIBUYDGPW-UHFFFAOYSA-N -1 1 324.318 1.270 20 0 DDADMM O=S(=O)([N-]c1ccnc(CO)c1)c1ccc2c(c1)CCC2 ZINC001259855420 898871526 /nfs/dbraw/zinc/87/15/26/898871526.db2.gz IJUVHDFXDJPFOW-UHFFFAOYSA-N -1 1 304.371 1.863 20 0 DDADMM COc1nc(Cl)ccc1[N-]S(=O)(=O)C1CCOCC1 ZINC001259908455 898917812 /nfs/dbraw/zinc/91/78/12/898917812.db2.gz OSXNWUAKDXGNBN-UHFFFAOYSA-N -1 1 306.771 1.664 20 0 DDADMM COc1ccc(CO)cc1[N-]S(=O)(=O)C1CCOCC1 ZINC001259915718 898924297 /nfs/dbraw/zinc/92/42/97/898924297.db2.gz UHNRUNYKPSJMDN-UHFFFAOYSA-N -1 1 301.364 1.108 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]Cc2ncccc2C(F)(F)F)n1 ZINC001259945422 898962892 /nfs/dbraw/zinc/96/28/92/898962892.db2.gz BCDRXEHHLHWXJN-UHFFFAOYSA-N -1 1 320.296 1.312 20 0 DDADMM COc1ccccc1-n1nccc1[N-]S(=O)(=O)C(F)F ZINC001259951402 898971939 /nfs/dbraw/zinc/97/19/39/898971939.db2.gz XLBIVMQOYYSARC-UHFFFAOYSA-N -1 1 303.290 1.845 20 0 DDADMM O=S(=O)([N-]C1(Cc2ccncc2)CCOCC1)C(F)F ZINC001259963832 898985784 /nfs/dbraw/zinc/98/57/84/898985784.db2.gz OTEVJYUZBDNQDO-UHFFFAOYSA-N -1 1 306.334 1.315 20 0 DDADMM O=C(C1CCCCC1)N1CC[C@H]([N-]S(=O)(=O)C(F)F)C1 ZINC001259963230 898987067 /nfs/dbraw/zinc/98/70/67/898987067.db2.gz CZVMISMUGQCVHA-JTQLQIEISA-N -1 1 310.366 1.310 20 0 DDADMM O=c1[nH]cncc1[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260278931 899110572 /nfs/dbraw/zinc/11/05/72/899110572.db2.gz XZTFCFFAVVTACZ-UHFFFAOYSA-N -1 1 321.692 1.915 20 0 DDADMM CCc1coc(C[N-]S(=O)(=O)c2cccc3nsnc32)n1 ZINC001260382689 899128772 /nfs/dbraw/zinc/12/87/72/899128772.db2.gz NAMZENHSNJBSSZ-UHFFFAOYSA-N -1 1 324.387 1.720 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cccc(Cl)c1Cl ZINC001260591986 899180422 /nfs/dbraw/zinc/18/04/22/899180422.db2.gz WVIDBPLQQNWYQJ-UHFFFAOYSA-N -1 1 318.203 1.737 20 0 DDADMM O=C(NCCc1nc(Cc2ccccc2)no1)c1ncccc1[O-] ZINC001262658649 900288334 /nfs/dbraw/zinc/28/83/34/900288334.db2.gz IHGIZIOQXWMOGT-UHFFFAOYSA-N -1 1 324.340 1.734 20 0 DDADMM CC(C)c1cccc(N(C)c2nnc(Cc3nnn[n-]3)n2C)c1 ZINC001262871684 900404144 /nfs/dbraw/zinc/40/41/44/900404144.db2.gz XZKNXVFDYIVBAP-UHFFFAOYSA-N -1 1 312.381 1.810 20 0 DDADMM CC(C)c1cccc(N(C)c2nnc(Cc3nn[n-]n3)n2C)c1 ZINC001262871684 900404155 /nfs/dbraw/zinc/40/41/55/900404155.db2.gz XZKNXVFDYIVBAP-UHFFFAOYSA-N -1 1 312.381 1.810 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N(C)Cc1scnc1C ZINC001263033913 900473001 /nfs/dbraw/zinc/47/30/01/900473001.db2.gz YUKGGIHDBSEBEO-UHFFFAOYSA-N -1 1 319.394 1.795 20 0 DDADMM CC[C@@H]1CCCN1c1nnc(-c2nnn[n-]2)n1Cc1ccccc1 ZINC001263164125 900520961 /nfs/dbraw/zinc/52/09/61/900520961.db2.gz LFYWGEYDKQHOQS-CYBMUJFWSA-N -1 1 324.392 1.885 20 0 DDADMM CC[C@@H]1CCCN1c1nnc(-c2nn[n-]n2)n1Cc1ccccc1 ZINC001263164125 900520980 /nfs/dbraw/zinc/52/09/80/900520980.db2.gz LFYWGEYDKQHOQS-CYBMUJFWSA-N -1 1 324.392 1.885 20 0 DDADMM CCN(C)C(=O)C[N@H+]1CCC[C@@H]1CNC(=O)c1ccccc1O ZINC001391031646 900726688 /nfs/dbraw/zinc/72/66/88/900726688.db2.gz NYZPPAQNDHDFCJ-CYBMUJFWSA-N -1 1 319.405 1.065 20 0 DDADMM Cc1cccc(OCCCC(=O)N2CC[C@H](c3nn[n-]n3)C2)c1 ZINC001263886790 900799818 /nfs/dbraw/zinc/79/98/18/900799818.db2.gz WUMLHEUJEFDWGC-ZDUSSCGKSA-N -1 1 315.377 1.683 20 0 DDADMM Cc1ccc(CC(=O)N2CC[C@@H](c3nn[n-]n3)C2)c(Cl)n1 ZINC001263886432 900800823 /nfs/dbraw/zinc/80/08/23/900800823.db2.gz JTKRHHRWUPYFLK-SNVBAGLBSA-N -1 1 306.757 1.115 20 0 DDADMM C[C@@H](CNC(=O)CCCCC(C)(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001265777820 902398645 /nfs/dbraw/zinc/39/86/45/902398645.db2.gz UZNRDFPOERWDQS-NSHDSACASA-N -1 1 311.430 1.711 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001370288113 902861854 /nfs/dbraw/zinc/86/18/54/902861854.db2.gz AVUIHBPJZPELTK-JHJVBQTASA-N -1 1 319.405 1.704 20 0 DDADMM O=C(Nc1nn[n-]n1)c1oc2ccccc2c1Br ZINC001293898434 914757459 /nfs/dbraw/zinc/75/74/59/914757459.db2.gz AYHJOGQZPDXQMQ-UHFFFAOYSA-N -1 1 308.095 1.961 20 0 DDADMM Cc1ccccc1CCC(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001392592343 904555800 /nfs/dbraw/zinc/55/58/00/904555800.db2.gz KMXCWKNQYPEZSZ-LBPRGKRZSA-N -1 1 317.393 1.046 20 0 DDADMM CC[C@@H](CC(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001392605962 904593125 /nfs/dbraw/zinc/59/31/25/904593125.db2.gz PNCNCUZOMAAYAO-QWRGUYRKSA-N -1 1 311.430 1.567 20 0 DDADMM CC/C=C(\C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001283338396 907169187 /nfs/dbraw/zinc/16/91/87/907169187.db2.gz AUMIKBSHGMWZSY-JXDHDYMSSA-N -1 1 303.362 1.474 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)C(C)(C)C ZINC001283712255 907835353 /nfs/dbraw/zinc/83/53/53/907835353.db2.gz XYWVRLQWYZLGQV-UHFFFAOYSA-N -1 1 307.394 1.802 20 0 DDADMM Cc1cc(CN(CCNC(=O)c2ncccc2[O-])C2CC2)on1 ZINC001372789503 908261418 /nfs/dbraw/zinc/26/14/18/908261418.db2.gz PEZODWNBIYQDAP-UHFFFAOYSA-N -1 1 316.361 1.478 20 0 DDADMM Cc1cc(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])ccn1 ZINC001372835635 908391657 /nfs/dbraw/zinc/39/16/57/908391657.db2.gz OVUCXSYAVDVEIB-LLVKDONJSA-N -1 1 314.345 1.039 20 0 DDADMM C[C@H](CNC(=O)C1CC(C)(C)C1)NC(=O)c1ncccc1[O-] ZINC001372842998 908409391 /nfs/dbraw/zinc/40/93/91/908409391.db2.gz RKMTVBQKRJQNDX-SNVBAGLBSA-N -1 1 305.378 1.458 20 0 DDADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cccs1 ZINC001394197581 909055412 /nfs/dbraw/zinc/05/54/12/909055412.db2.gz ZZHJZSHYHPMXDO-SNVBAGLBSA-N -1 1 323.422 1.650 20 0 DDADMM C[C@H](CCNC(=O)CC1CCC1)NC(=O)c1ncccc1[O-] ZINC001373257695 909331258 /nfs/dbraw/zinc/33/12/58/909331258.db2.gz ABPRKUZMIIVHHJ-LLVKDONJSA-N -1 1 305.378 1.602 20 0 DDADMM Cc1csc(CN[C@@H](C)CN(C)C(=O)c2n[nH]c(C)c2[O-])n1 ZINC001394479730 909765009 /nfs/dbraw/zinc/76/50/09/909765009.db2.gz UFKUIOPLOIRSJP-QMMMGPOBSA-N -1 1 323.422 1.439 20 0 DDADMM C[C@@H]1CCC[C@@H]1CC(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001373412438 909790545 /nfs/dbraw/zinc/79/05/45/909790545.db2.gz IUPWZDLZIDWMRS-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001394833489 910692585 /nfs/dbraw/zinc/69/25/85/910692585.db2.gz RPPRDTGASRGVKC-TUAOUCFPSA-N -1 1 321.425 1.177 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001285534143 910753677 /nfs/dbraw/zinc/75/36/77/910753677.db2.gz WTNODWNECQKNTP-BLDJZWNYSA-N -1 1 303.362 1.236 20 0 DDADMM CC[C@H](CNC(=O)c1[nH]nc(C)c1[O-])NC/C(Cl)=C/Cl ZINC001373743377 910823832 /nfs/dbraw/zinc/82/38/32/910823832.db2.gz QMZRIVSCBIYNPZ-USYJTPIQSA-N -1 1 321.208 1.841 20 0 DDADMM CCO[C@@H](CC)C(=O)NCCN(CC)C(=O)c1ncccc1[O-] ZINC001373770088 910926370 /nfs/dbraw/zinc/92/63/70/910926370.db2.gz VCYSJSCONKUAQY-ZDUSSCGKSA-N -1 1 323.393 1.181 20 0 DDADMM C[C@@H](NC(=O)c1ccn(C)c1)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001394964418 911033476 /nfs/dbraw/zinc/03/34/76/911033476.db2.gz YJQIXQBUZFVCTE-GHMZBOCLSA-N -1 1 316.361 1.063 20 0 DDADMM C/C=C(/C)C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001286413642 911967766 /nfs/dbraw/zinc/96/77/66/911967766.db2.gz QJXBHNJFDQUJCT-DISAZHRUSA-N -1 1 303.362 1.378 20 0 DDADMM C[C@H](Cc1ccsc1)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001294337277 915069639 /nfs/dbraw/zinc/06/96/39/915069639.db2.gz AAQCVAPQLYSFQH-LLVKDONJSA-N -1 1 321.402 1.078 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1CCC1(C)C)NC(=O)c1ncccc1[O-] ZINC001397531867 916230610 /nfs/dbraw/zinc/23/06/10/916230610.db2.gz CARVMISZKVRVQU-QWRGUYRKSA-N -1 1 305.378 1.458 20 0 DDADMM CCC[C@H](C)CC(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001376800164 919421934 /nfs/dbraw/zinc/42/19/34/919421934.db2.gz PNWJDXYVKBPIBU-NWDGAFQWSA-N -1 1 307.394 1.848 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)CC(C)(C)C)nc2n1 ZINC000622993091 365585889 /nfs/dbraw/zinc/58/58/89/365585889.db2.gz AYINUAKSCOUQDT-VIFPVBQESA-N -1 1 305.382 1.991 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCO[C@@H](CC)C3)nc2n1 ZINC000622994072 365586647 /nfs/dbraw/zinc/58/66/47/365586647.db2.gz CMFZARRTDFRKQY-KOLCDFICSA-N -1 1 319.365 1.124 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC[C@@H]3CC[C@H](C)O3)nc2n1 ZINC000622994363 365586707 /nfs/dbraw/zinc/58/67/07/365586707.db2.gz MHKFJAMNSZTASS-ONGXEEELSA-N -1 1 319.365 1.266 20 0 DDADMM O=C(C[C@@H]1CCCC[C@H]1O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614387900 361833202 /nfs/dbraw/zinc/83/32/02/361833202.db2.gz DAZLYCYNTCNBPE-QJPTWQEYSA-N -1 1 309.366 1.422 20 0 DDADMM CC1(C)CCC[C@@](O)(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)C1 ZINC000614392684 361835556 /nfs/dbraw/zinc/83/55/56/361835556.db2.gz ZUCTWIXIVZMRCX-ZBEGNZNMSA-N -1 1 323.393 1.813 20 0 DDADMM CCOCC[C@@H](O)CN(C)Cc1nc(=O)c2sccc2[n-]1 ZINC000278616836 214276925 /nfs/dbraw/zinc/27/69/25/214276925.db2.gz FTPRDCLYKWEGAI-SNVBAGLBSA-N -1 1 311.407 1.616 20 0 DDADMM O=C(NCCC1(O)CCOCC1)c1ncc2ccccc2c1[O-] ZINC000614700213 361981572 /nfs/dbraw/zinc/98/15/72/361981572.db2.gz FCSJGNKGSHCUGO-UHFFFAOYSA-N -1 1 316.357 1.602 20 0 DDADMM COCC(COC)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000451890762 231225406 /nfs/dbraw/zinc/22/54/06/231225406.db2.gz QLWGNJYLWMIKCB-UHFFFAOYSA-N -1 1 313.297 1.044 20 0 DDADMM COCC(COC)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000451893334 231226114 /nfs/dbraw/zinc/22/61/14/231226114.db2.gz XQJZLDMWELXBNA-UHFFFAOYSA-N -1 1 311.762 1.419 20 0 DDADMM O=C([C@@H]1Cc2cc(F)ccc2O1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129316289 539184061 /nfs/dbraw/zinc/18/40/61/539184061.db2.gz BHLXYEUILLGKTD-RNCFNFMXSA-N -1 1 317.324 1.049 20 0 DDADMM CCc1[nH]n(C)c2nnc(NC(=O)c3ccc([O-])cc3F)c1-2 ZINC000181979940 539238701 /nfs/dbraw/zinc/23/87/01/539238701.db2.gz AUAZOTSYHHVGTK-UHFFFAOYSA-N -1 1 303.297 1.956 20 0 DDADMM Cc1ccc([N-]S(C)(=O)=O)c(C(=O)Nc2cnns2)c1 ZINC000189591565 539253953 /nfs/dbraw/zinc/25/39/53/539253953.db2.gz NGNDQASCUZQJQS-UHFFFAOYSA-N -1 1 312.376 1.470 20 0 DDADMM CCC[C@@H](NC(=O)c1ccoc1Br)c1nn[n-]n1 ZINC000194815255 539262747 /nfs/dbraw/zinc/26/27/47/539262747.db2.gz PKYQGXCQDCFIKT-SSDOTTSWSA-N -1 1 314.143 1.826 20 0 DDADMM CC(=O)N1CC[C@H](CNC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000614929431 362084018 /nfs/dbraw/zinc/08/40/18/362084018.db2.gz MCJANNCYQHSTEY-GFCCVEGCSA-N -1 1 313.357 1.539 20 0 DDADMM CCn1ncc2cc(C(=O)Nc3c(C)[n-][nH]c3=O)c(C)nc21 ZINC000615220668 362200792 /nfs/dbraw/zinc/20/07/92/362200792.db2.gz GYPHWGOCEXZECR-UHFFFAOYSA-N -1 1 300.322 1.749 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@H]2[C@@H]2CCC[N@H+]2C)c([O-])c1 ZINC000615271301 362225389 /nfs/dbraw/zinc/22/53/89/362225389.db2.gz VVUWQXVEZZPYHA-STQMWFEESA-N -1 1 305.378 1.031 20 0 DDADMM CCc1nnc(CNC(=O)c2cc(Cl)c([O-])c(OC)c2)[nH]1 ZINC000452574804 529739574 /nfs/dbraw/zinc/73/95/74/529739574.db2.gz OMHJEHWEOFFSQK-UHFFFAOYSA-N -1 1 310.741 1.665 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1C[C@]1(F)c1ccccc1 ZINC000412318045 529930862 /nfs/dbraw/zinc/93/08/62/529930862.db2.gz PQFMQAXNHDZRSZ-ZBEGNZNMSA-N -1 1 316.336 1.323 20 0 DDADMM CNC(=O)c1ccc(=NCCC2CC(OC(C)(C)C)C2)[n-]n1 ZINC000432867925 529935095 /nfs/dbraw/zinc/93/50/95/529935095.db2.gz QLXZPQWIYOHVTL-UHFFFAOYSA-N -1 1 306.410 1.654 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1cc(F)ccc1[O-])c1ccncc1 ZINC000615834635 362458555 /nfs/dbraw/zinc/45/85/55/362458555.db2.gz ASEAYHDOMIKFSO-CYBMUJFWSA-N -1 1 318.304 1.961 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2C[C@H]2c2cncn2C)c1Br ZINC000616004049 362516776 /nfs/dbraw/zinc/51/67/76/362516776.db2.gz TUOXFNBOPIAQEE-HTQZYQBOSA-N -1 1 324.182 1.378 20 0 DDADMM C[C@H](O)[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CCO1 ZINC000616323348 362637671 /nfs/dbraw/zinc/63/76/71/362637671.db2.gz ZXFGQUHBINOZIC-GXFFZTMASA-N -1 1 302.330 1.162 20 0 DDADMM CCOC(=O)CN(C(=O)c1c[n-]c2c(cnn2C)c1=O)C(C)C ZINC000358345247 299127311 /nfs/dbraw/zinc/12/73/11/299127311.db2.gz YTFJCCCSBZSIKY-UHFFFAOYSA-N -1 1 320.349 1.088 20 0 DDADMM C[C@H](CN(C)C(=O)COC/C=C\c1ccccc1)c1nn[n-]n1 ZINC000358387711 299139251 /nfs/dbraw/zinc/13/92/51/299139251.db2.gz ZARSLMVPPJRVLR-OYVUYXNMSA-N -1 1 315.377 1.492 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)Oc1ccccc1F)c1nn[n-]n1 ZINC000358391170 299139886 /nfs/dbraw/zinc/13/98/86/299139886.db2.gz OHACNSBJBDSYOX-NXEZZACHSA-N -1 1 307.329 1.368 20 0 DDADMM CCc1nc(C(=O)N=c2nc[nH][n-]2)nn1-c1ccccc1Cl ZINC000353835787 290851804 /nfs/dbraw/zinc/85/18/04/290851804.db2.gz NEQVUYRQRPZJNQ-UHFFFAOYSA-N -1 1 317.740 1.275 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCC(=O)NC(C)C ZINC000080568395 192168410 /nfs/dbraw/zinc/16/84/10/192168410.db2.gz KWLLWTSASXASJJ-UHFFFAOYSA-N -1 1 322.409 1.020 20 0 DDADMM CN=c1[n-]nc(CC(=O)Nc2cc(Cl)c(O)cc2F)s1 ZINC000345599236 282979335 /nfs/dbraw/zinc/97/93/35/282979335.db2.gz BYXCJTMKSFPCJE-UHFFFAOYSA-N -1 1 316.745 1.681 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1C[C@]1(C)C(C)C ZINC000186045068 186235071 /nfs/dbraw/zinc/23/50/71/186235071.db2.gz MFKFSMRACAAVQS-CXAGYDPISA-N -1 1 316.405 1.938 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCc2cc(C)ccc2O1)c1nn[n-]n1 ZINC000280038703 215329858 /nfs/dbraw/zinc/32/98/58/215329858.db2.gz VJIMBNDMGLELNU-OCCSQVGLSA-N -1 1 315.377 1.859 20 0 DDADMM O=C(N[C@H]1CCOC2(CCSCC2)C1)c1cncc([O-])c1 ZINC000269588193 186392706 /nfs/dbraw/zinc/39/27/06/186392706.db2.gz MDBARXXXQXWRPT-LBPRGKRZSA-N -1 1 308.403 1.962 20 0 DDADMM COC[C@H](CCNC(=O)c1ncc2ccccc2c1[O-])OC ZINC000617242516 363013238 /nfs/dbraw/zinc/01/32/38/363013238.db2.gz AWGGUKMSKKSUDC-LBPRGKRZSA-N -1 1 304.346 1.722 20 0 DDADMM Cc1nc(-c2ccc([N-]S(=O)(=O)C[C@@H]3CCCO3)cc2)n[nH]1 ZINC000172367741 198085906 /nfs/dbraw/zinc/08/59/06/198085906.db2.gz JVVGOMNEDCJUPU-ZDUSSCGKSA-N -1 1 322.390 1.701 20 0 DDADMM CC(C)CC[C@H]1CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000344147101 282793969 /nfs/dbraw/zinc/79/39/69/282793969.db2.gz ZRVSDVQERSUPGV-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCc2ccccc2)o1 ZINC000031480933 352267431 /nfs/dbraw/zinc/26/74/31/352267431.db2.gz SGBODWFELUYKSY-UHFFFAOYSA-N -1 1 322.386 1.550 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2cc(C)nn2C)c(=O)[n-]1 ZINC000041829932 352315566 /nfs/dbraw/zinc/31/55/66/352315566.db2.gz LGXLOKGSCSPRFD-UHFFFAOYSA-N -1 1 321.406 1.826 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H]1O)c1nc2ccccc2s1 ZINC000564908120 304025994 /nfs/dbraw/zinc/02/59/94/304025994.db2.gz JKLCUOZSEPXHBZ-KOLCDFICSA-N -1 1 312.416 1.736 20 0 DDADMM CCC(C)(C)[C@@H](C)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000617569796 363188097 /nfs/dbraw/zinc/18/80/97/363188097.db2.gz DXOIOZATYADNSG-SECBINFHSA-N -1 1 302.396 1.742 20 0 DDADMM C[C@H](Cn1cncn1)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000066579664 353006313 /nfs/dbraw/zinc/00/63/13/353006313.db2.gz XHDPZABBZDBLTC-SSDOTTSWSA-N -1 1 320.296 1.062 20 0 DDADMM C[C@@H](NC(=O)c1cccc(Br)c1[O-])c1nnc[nH]1 ZINC000538691997 303388553 /nfs/dbraw/zinc/38/85/53/303388553.db2.gz RUCCYYNHNFLYBF-ZCFIWIBFSA-N -1 1 311.139 1.764 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccccc1-n1ccnn1)C1CC1 ZINC000564970085 304031394 /nfs/dbraw/zinc/03/13/94/304031394.db2.gz ILJBPPOWQKSMBE-CQSZACIVSA-N -1 1 322.390 1.434 20 0 DDADMM C[C@@H](Oc1ccc(C(C)(C)C)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000070902549 353185872 /nfs/dbraw/zinc/18/58/72/353185872.db2.gz ROXPJNQFIXWMEX-SNVBAGLBSA-N -1 1 319.361 1.334 20 0 DDADMM CCn1cc(CN(C)C(=O)c2nc3ccccc3c(=O)[n-]2)cn1 ZINC000073030000 353233050 /nfs/dbraw/zinc/23/30/50/353233050.db2.gz YYIDSKSQSGPYGV-UHFFFAOYSA-N -1 1 311.345 1.824 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cccc3cnccc32)n1 ZINC000080754231 353626112 /nfs/dbraw/zinc/62/61/12/353626112.db2.gz KVBQMCJRXYCEBA-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cccc3cnccc32)[n-]1 ZINC000080754231 353626116 /nfs/dbraw/zinc/62/61/16/353626116.db2.gz KVBQMCJRXYCEBA-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM COC(=O)C[C@@H](C)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081120281 353653200 /nfs/dbraw/zinc/65/32/00/353653200.db2.gz AHOWMPKFTAFSJB-MRVPVSSYSA-N -1 1 309.366 1.057 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCCSCC1 ZINC000081552982 353681811 /nfs/dbraw/zinc/68/18/11/353681811.db2.gz DIEWRLGQXRGDAB-UHFFFAOYSA-N -1 1 309.435 1.955 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc[nH]n2)o1 ZINC000143284612 354148886 /nfs/dbraw/zinc/14/88/86/354148886.db2.gz PCFMEMKZHGWSCH-UHFFFAOYSA-N -1 1 312.351 1.332 20 0 DDADMM C[C@]1(C(=O)[O-])CCC[N@H+]1Cc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000387175632 354633280 /nfs/dbraw/zinc/63/32/80/354633280.db2.gz YDECEWLVIOKCJD-CQSZACIVSA-N -1 1 307.306 1.133 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(N(C)C)cc2)n1 ZINC000585681754 354827496 /nfs/dbraw/zinc/82/74/96/354827496.db2.gz FEVHXGHPKDZQDO-UHFFFAOYSA-N -1 1 302.334 1.905 20 0 DDADMM CCOc1ccc(NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)cc1 ZINC000073874024 191351427 /nfs/dbraw/zinc/35/14/27/191351427.db2.gz MSKHMPRJFAHEHJ-UHFFFAOYSA-N -1 1 324.358 1.839 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)C[C@H](OC)C(C)C)CCCCC1 ZINC000592010423 355462810 /nfs/dbraw/zinc/46/28/10/355462810.db2.gz LSIQHTYTCRXQEZ-LBPRGKRZSA-N -1 1 321.439 1.453 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1C[C@@H]2C[C@H]1C[S@]2=O ZINC000593866263 356029539 /nfs/dbraw/zinc/02/95/39/356029539.db2.gz DNXQRQISZCHQQS-OYMPBJRDSA-N -1 1 301.367 1.891 20 0 DDADMM CC[C@@H](C)C[C@@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000080681841 192181526 /nfs/dbraw/zinc/18/15/26/192181526.db2.gz JVOPCUAGRHIFIC-NXEZZACHSA-N -1 1 302.396 1.742 20 0 DDADMM CC[C@@H]1OCC[C@H]1[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595338294 356453917 /nfs/dbraw/zinc/45/39/17/356453917.db2.gz QEXRXYOHCHPCDQ-ZJUUUORDSA-N -1 1 317.363 1.220 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ccoc2C)cc1C ZINC000595303534 356440567 /nfs/dbraw/zinc/44/05/67/356440567.db2.gz RXIYEXUZNGQCLC-UHFFFAOYSA-N -1 1 313.331 1.755 20 0 DDADMM C[S@](=O)C1(CNC(=O)c2ncc3ccccc3c2[O-])CCC1 ZINC000597610453 357253208 /nfs/dbraw/zinc/25/32/08/357253208.db2.gz SRXNGUSGNFKQAQ-QFIPXVFZSA-N -1 1 318.398 1.971 20 0 DDADMM CCOC(=O)[C@@H]1CCCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000598774425 357724480 /nfs/dbraw/zinc/72/44/80/357724480.db2.gz IBJCLQXQBIHNFG-ZDUSSCGKSA-N -1 1 305.330 1.519 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)[C@@H]1COC(C)(C)C1)C(C)(C)C ZINC000599235045 357858310 /nfs/dbraw/zinc/85/83/10/357858310.db2.gz JMBSDUVUKNROAT-VHSXEESVSA-N -1 1 307.412 1.061 20 0 DDADMM CC/C=C/C[N-]S(=O)(=O)c1cc(F)cc(C(=O)OC)c1F ZINC000599316597 357889992 /nfs/dbraw/zinc/88/99/92/357889992.db2.gz JSAFMVMKIYXHDP-SNAWJCMRSA-N -1 1 319.329 1.996 20 0 DDADMM COC(=O)[C@]1([N-]S(=O)(=O)C[C@H](C)OC)CCCC(C)(C)C1 ZINC000599410970 357925354 /nfs/dbraw/zinc/92/53/54/357925354.db2.gz DRPGVXKRFSNDPA-FZMZJTMJSA-N -1 1 321.439 1.453 20 0 DDADMM CCC[C@H](C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1)OCC ZINC000599368615 357912801 /nfs/dbraw/zinc/91/28/01/357912801.db2.gz ZICGCRPZEDMDFI-SNVBAGLBSA-N -1 1 319.379 1.550 20 0 DDADMM O=C(NCc1nn[n-]n1)c1[nH]c2ccccc2c1Br ZINC000599377150 357914697 /nfs/dbraw/zinc/91/46/97/357914697.db2.gz JUARQDFOKSSJFV-UHFFFAOYSA-N -1 1 321.138 1.374 20 0 DDADMM CCCc1nc(=NC(=O)Cc2[nH]nc3ccccc32)s[n-]1 ZINC000348013254 283334083 /nfs/dbraw/zinc/33/40/83/283334083.db2.gz LQPRDSQHIAEKAS-UHFFFAOYSA-N -1 1 301.375 1.970 20 0 DDADMM NC(=O)[C@@H]1Cc2ccccc2N(C(=O)c2cc(F)ccc2[O-])C1 ZINC000436570182 283335441 /nfs/dbraw/zinc/33/54/41/283335441.db2.gz NMHZINAQBUJSDW-LLVKDONJSA-N -1 1 314.316 1.836 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(-c2ccccc2Cl)n[nH]1 ZINC000600500935 358242007 /nfs/dbraw/zinc/24/20/07/358242007.db2.gz GPNQTCPZRPAYGN-UHFFFAOYSA-N -1 1 303.713 1.173 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OC ZINC000601391944 358532339 /nfs/dbraw/zinc/53/23/39/358532339.db2.gz CDZWUCVNPPUBGH-SECBINFHSA-N -1 1 306.409 1.462 20 0 DDADMM CCCOCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000181066070 199247814 /nfs/dbraw/zinc/24/78/14/199247814.db2.gz VOSLORGQZDGWLH-UHFFFAOYSA-N -1 1 304.343 1.562 20 0 DDADMM CCOC(=O)c1ncc(CN2C[C@H](C)C[C@@H](C(=O)[O-])C2)s1 ZINC000602020127 358779340 /nfs/dbraw/zinc/77/93/40/358779340.db2.gz IQZNFOYVXKKEDM-NXEZZACHSA-N -1 1 312.391 1.862 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN([C@@H](C(=O)OC)c2ccccc2C)C1 ZINC000602023550 358781368 /nfs/dbraw/zinc/78/13/68/358781368.db2.gz ZGPVKXUTAFHGCE-RHSMWYFYSA-N -1 1 321.373 1.632 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000603050255 359377237 /nfs/dbraw/zinc/37/72/37/359377237.db2.gz HYVCVUQFDOYMFH-VIFPVBQESA-N -1 1 305.359 1.745 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2)C(=O)OCC ZINC000603311095 359562308 /nfs/dbraw/zinc/56/23/08/359562308.db2.gz VRVYTMLOTYJSNJ-XFEZMUQMSA-N -1 1 317.407 1.124 20 0 DDADMM Cc1nc2n(n1)CCC[C@@H]2NC(=O)C(=O)c1ccc([O-])cc1 ZINC000281009761 216018882 /nfs/dbraw/zinc/01/88/82/216018882.db2.gz NKKOVPLQQHMHED-LBPRGKRZSA-N -1 1 300.318 1.126 20 0 DDADMM CC(C)c1noc([C@@H](C)N2CCN(CCCC(=O)[O-])CC2)n1 ZINC000604774319 359798206 /nfs/dbraw/zinc/79/82/06/359798206.db2.gz QFDMPJRQDIGVCT-GFCCVEGCSA-N -1 1 310.398 1.736 20 0 DDADMM CCOC[C@@H](C(=O)OC)N(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000611089991 360620305 /nfs/dbraw/zinc/62/03/05/360620305.db2.gz FGROMQQCVKDRCD-NSHDSACASA-N -1 1 315.753 1.696 20 0 DDADMM COC(=O)c1sc2[n-]c(Cn3cccn3)nc(=O)c2c1C ZINC000611131375 360631312 /nfs/dbraw/zinc/63/13/12/360631312.db2.gz YOYCTXCBBIKAQU-UHFFFAOYSA-N -1 1 304.331 1.324 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2csc(=NC3CC3)[n-]2)cn1 ZINC000611876650 360846663 /nfs/dbraw/zinc/84/66/63/360846663.db2.gz DSAXJIYYNPVUGY-UHFFFAOYSA-N -1 1 318.358 1.573 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1C[C@@H](O)CC(F)(F)C1 ZINC000613871699 361611000 /nfs/dbraw/zinc/61/10/00/361611000.db2.gz MZAHBPBCPONPKC-JTQLQIEISA-N -1 1 308.284 1.783 20 0 DDADMM O=S(=O)([N-]CC1CCOCC1)c1ccc(F)c(F)c1F ZINC000195012994 201325886 /nfs/dbraw/zinc/32/58/86/201325886.db2.gz OOIBFHORAQEBAA-UHFFFAOYSA-N -1 1 309.309 1.809 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H]2COC[C@H]2C1 ZINC000620145990 364312669 /nfs/dbraw/zinc/31/26/69/364312669.db2.gz SKUCULWNGRCBFE-VXGBXAGGSA-N -1 1 319.405 1.897 20 0 DDADMM Cn1ccnc1[C@H]1OCCC[C@@H]1[N-]C(=O)C(F)(F)C(F)F ZINC000275364030 212291616 /nfs/dbraw/zinc/29/16/16/212291616.db2.gz JXMOQGXZQWNWIO-YUMQZZPRSA-N -1 1 309.263 1.657 20 0 DDADMM C[C@@H](OCC1CC1)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622609660 365449871 /nfs/dbraw/zinc/44/98/71/365449871.db2.gz DUSUQTQPKGFUPC-MFKMUULPSA-N -1 1 301.350 1.220 20 0 DDADMM CC1(C)NC(=O)N(CC(=O)Nc2ccc([O-])c(Cl)c2)C1=O ZINC000349258736 283885665 /nfs/dbraw/zinc/88/56/65/283885665.db2.gz SNEARQAJMQLQON-UHFFFAOYSA-N -1 1 311.725 1.315 20 0 DDADMM O=C([O-])C1CCN(CC(=O)NC2C3CC4CC(C3)CC2C4)CC1 ZINC000566221094 304131010 /nfs/dbraw/zinc/13/10/10/304131010.db2.gz OZPNGZXZSBFXES-UHFFFAOYSA-N -1 1 320.433 1.724 20 0 DDADMM O=C([O-])C1(NC(=O)Cc2[nH]nc3ccccc32)CCSCC1 ZINC000262269448 203245867 /nfs/dbraw/zinc/24/58/67/203245867.db2.gz ZXFRWNFDTZELHL-UHFFFAOYSA-N -1 1 319.386 1.572 20 0 DDADMM COC(=O)[C@@H]1CSCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000414048273 284144302 /nfs/dbraw/zinc/14/43/02/284144302.db2.gz YPGOQYQBOVSIEV-JTQLQIEISA-N -1 1 310.375 1.074 20 0 DDADMM O=S(=O)(Cc1ccc2c(c1)OC(F)(F)O2)c1ncn[n-]1 ZINC000350214912 284170066 /nfs/dbraw/zinc/17/00/66/284170066.db2.gz CZDGSPGNDFSROA-UHFFFAOYSA-N -1 1 303.246 1.100 20 0 DDADMM O=S(=O)(Cc1ccc2c(c1)OC(F)(F)O2)c1nc[n-]n1 ZINC000350214912 284170067 /nfs/dbraw/zinc/17/00/67/284170067.db2.gz CZDGSPGNDFSROA-UHFFFAOYSA-N -1 1 303.246 1.100 20 0 DDADMM CC[C@](C)(NCC(=O)Nc1cccc2nsnc21)C(=O)[O-] ZINC000094228641 193352174 /nfs/dbraw/zinc/35/21/74/193352174.db2.gz XDPFHEMGANXPMD-ZDUSSCGKSA-N -1 1 308.363 1.473 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c(F)cc(Br)cc1F ZINC000094716263 193393870 /nfs/dbraw/zinc/39/38/70/193393870.db2.gz DUYZSWKNNWDIDD-UHFFFAOYSA-N -1 1 318.081 1.170 20 0 DDADMM Cn1ccnc1-c1nnc([N-]C(=O)c2cnc(Cl)cn2)s1 ZINC000377581490 307273457 /nfs/dbraw/zinc/27/34/57/307273457.db2.gz KTMHQKFMWCAADO-UHFFFAOYSA-N -1 1 321.753 1.634 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N2CCN(C)[C@H](C)[C@H]2C)s[n-]1 ZINC000350655022 284235938 /nfs/dbraw/zinc/23/59/38/284235938.db2.gz VXVCXZZTBAZXSD-OPRDCNLKSA-N -1 1 313.427 1.224 20 0 DDADMM CC(C)(C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C(F)F ZINC000350783778 284260294 /nfs/dbraw/zinc/26/02/94/284260294.db2.gz QDVBGUFYKLTJCN-UHFFFAOYSA-N -1 1 308.306 1.269 20 0 DDADMM CCCc1nc(=NC(=O)N2C[C@@H](C)[N@@H+](CC)C[C@H]2C)s[n-]1 ZINC000350862775 284271769 /nfs/dbraw/zinc/27/17/69/284271769.db2.gz GSAIBFSXWQUNDD-GHMZBOCLSA-N -1 1 311.455 1.859 20 0 DDADMM CCCc1nc(=NC(=O)N2C[C@@H](C)N(CC)C[C@H]2C)s[n-]1 ZINC000350862775 284271772 /nfs/dbraw/zinc/27/17/72/284271772.db2.gz GSAIBFSXWQUNDD-GHMZBOCLSA-N -1 1 311.455 1.859 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1(C)C ZINC000271743070 209174566 /nfs/dbraw/zinc/17/45/66/209174566.db2.gz AIBGDXJKTACYPK-DJLDLDEBSA-N -1 1 321.830 1.411 20 0 DDADMM CCSCCCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000282733854 217212288 /nfs/dbraw/zinc/21/22/88/217212288.db2.gz SQTCCNPHMGGLKQ-UHFFFAOYSA-N -1 1 322.434 1.441 20 0 DDADMM CCN(C)CCNS(=O)(=O)c1cc(C(=O)[O-])cc(C)c1F ZINC000184965045 284339058 /nfs/dbraw/zinc/33/90/58/284339058.db2.gz JSEAGUHSXFCWNB-UHFFFAOYSA-N -1 1 318.370 1.062 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)CC(C)(C)C(=O)OC)c1ccco1 ZINC000288304747 220073076 /nfs/dbraw/zinc/07/30/76/220073076.db2.gz KBINZLVNGVZHPQ-JTQLQIEISA-N -1 1 319.379 1.086 20 0 DDADMM O=C(Cc1cc(F)ccc1F)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282911937 217321130 /nfs/dbraw/zinc/32/11/30/217321130.db2.gz MUXSPYFFZXQFEH-VIFPVBQESA-N -1 1 322.315 1.325 20 0 DDADMM CCN1CCC(NS(=O)(=O)c2cc(C(=O)[O-])cs2)CC1 ZINC000021868474 182295555 /nfs/dbraw/zinc/29/55/55/182295555.db2.gz ZUIFPLAUTXPOGF-UHFFFAOYSA-N -1 1 318.420 1.209 20 0 DDADMM CCn1c(=O)c2c(C)c(C)sc2nc1SCc1nn[n-]n1 ZINC000267439842 206069713 /nfs/dbraw/zinc/06/97/13/206069713.db2.gz VZPPQCFCAAPDLD-UHFFFAOYSA-N -1 1 322.419 1.900 20 0 DDADMM CCN(C(=O)c1ccc(S(=O)(=O)[N-]C)o1)c1ccccc1 ZINC000024864557 182393613 /nfs/dbraw/zinc/39/36/13/182393613.db2.gz RYXGCRKVAOBOEH-UHFFFAOYSA-N -1 1 308.359 1.854 20 0 DDADMM COCCc1nc2cc([N-]S(=O)(=O)CCOC)ccc2o1 ZINC000267752797 206259937 /nfs/dbraw/zinc/25/99/37/206259937.db2.gz OHIGOXJVRYMTPY-UHFFFAOYSA-N -1 1 314.363 1.405 20 0 DDADMM CC[C@@H](C)[C@H](O)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425208347 307302057 /nfs/dbraw/zinc/30/20/57/307302057.db2.gz SLANBGSKTYALGY-BXKDBHETSA-N -1 1 307.362 1.959 20 0 DDADMM NS(=O)(=O)c1c[n-]c(=NCC2CCC(F)(F)CC2)s1 ZINC000337002388 249308163 /nfs/dbraw/zinc/30/81/63/249308163.db2.gz VWHWOLKPIWNYCZ-UHFFFAOYSA-N -1 1 311.379 1.450 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc(F)cc(Cl)c1 ZINC000337131420 249358297 /nfs/dbraw/zinc/35/82/97/249358297.db2.gz KLMXPJIVTGPCHE-UHFFFAOYSA-N -1 1 310.716 1.544 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](C)Sc1ccccc1 ZINC000337156640 249369307 /nfs/dbraw/zinc/36/93/07/249369307.db2.gz PSVOXONSFSXQSP-SNVBAGLBSA-N -1 1 318.402 1.619 20 0 DDADMM CC1(C)[C@@H](O)C[C@@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284485617 218048275 /nfs/dbraw/zinc/04/82/75/218048275.db2.gz ZHQPYLSBOHVHMO-QWRGUYRKSA-N -1 1 307.774 1.917 20 0 DDADMM CC(C)(NC(=O)c1cncc([O-])c1)C(=O)NCC1CCCCC1 ZINC000284547581 218073502 /nfs/dbraw/zinc/07/35/02/218073502.db2.gz BGULZZQWGXZIGU-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM CN(C)C(=O)c1cccc(S(=O)(=O)[N-]c2ccncc2)c1 ZINC000105565144 194110280 /nfs/dbraw/zinc/11/02/80/194110280.db2.gz GIGMBQIHGCZOPE-UHFFFAOYSA-N -1 1 305.359 1.584 20 0 DDADMM CCOc1cc(C(=O)NC[C@]2(O)CCOC2)cc(Cl)c1[O-] ZINC000273837842 211101525 /nfs/dbraw/zinc/10/15/25/211101525.db2.gz IQYVRRNPDXSAHX-CQSZACIVSA-N -1 1 315.753 1.326 20 0 DDADMM CNC(=O)[C@H]1CCC[C@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338939213 250207127 /nfs/dbraw/zinc/20/71/27/250207127.db2.gz PZPRVZGOOSZDRK-IONNQARKSA-N -1 1 306.384 1.080 20 0 DDADMM CCOC(=O)C[C@H](C)C[N-]S(=O)(=O)c1sccc1F ZINC000338940379 250209022 /nfs/dbraw/zinc/20/90/22/250209022.db2.gz YLTPPOZVTMMBGH-QMMMGPOBSA-N -1 1 309.384 1.755 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1sccc1F)C(=O)N1CCCC1 ZINC000338978235 250231280 /nfs/dbraw/zinc/23/12/80/250231280.db2.gz OGQQRVCOTDBRCO-MRVPVSSYSA-N -1 1 306.384 1.176 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC(C)(C)c1ccccc1C ZINC000339034822 250260756 /nfs/dbraw/zinc/26/07/56/250260756.db2.gz CWXZWXPXUGESOJ-UHFFFAOYSA-N -1 1 309.391 1.918 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C(C)(C)c1ccccc1C ZINC000339034822 250260760 /nfs/dbraw/zinc/26/07/60/250260760.db2.gz CWXZWXPXUGESOJ-UHFFFAOYSA-N -1 1 309.391 1.918 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1Cc2ccccc2C1 ZINC000106289217 194193342 /nfs/dbraw/zinc/19/33/42/194193342.db2.gz UWIAPHZOJBOBRS-UHFFFAOYSA-N -1 1 322.368 1.724 20 0 DDADMM CC(C)(C)[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(N)=O ZINC000106446960 194214949 /nfs/dbraw/zinc/21/49/49/194214949.db2.gz FCUKGOZSJBPVSW-SNVBAGLBSA-N -1 1 306.334 1.143 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)[C@H]1OCCc2sccc21 ZINC000633189445 422797771 /nfs/dbraw/zinc/79/77/71/422797771.db2.gz VCEKHTHCKQFBEJ-ISVAXAHUSA-N -1 1 307.379 1.013 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1ccsc1 ZINC000057411945 184037275 /nfs/dbraw/zinc/03/72/75/184037275.db2.gz QMLOZMCUJSSPEA-UHFFFAOYSA-N -1 1 315.376 1.126 20 0 DDADMM CC(C)(NC(=O)c1ccc(OC(F)F)c(F)c1)c1nn[n-]n1 ZINC000340731291 251182183 /nfs/dbraw/zinc/18/21/83/251182183.db2.gz BMUNWYVJAPCOQJ-UHFFFAOYSA-N -1 1 315.255 1.605 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)Nc1cn[nH]c1 ZINC000340735609 251182818 /nfs/dbraw/zinc/18/28/18/251182818.db2.gz GVSNZDWNBAWDEB-UHFFFAOYSA-N -1 1 310.317 1.045 20 0 DDADMM C[C@H](C(=O)NC(C)(C)c1nn[n-]n1)[C@@H]1OCCc2sccc21 ZINC000340739834 251186163 /nfs/dbraw/zinc/18/61/63/251186163.db2.gz MRFNZIGHGUPDKQ-KWQFWETISA-N -1 1 321.406 1.563 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCNC(=O)CC1 ZINC000059894503 184142397 /nfs/dbraw/zinc/14/23/97/184142397.db2.gz ITQAFURYNHSZAS-UHFFFAOYSA-N -1 1 313.151 1.117 20 0 DDADMM COC[C@@H](O)CC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000340939058 251289854 /nfs/dbraw/zinc/28/98/54/251289854.db2.gz WWBWHZVFKBAPEZ-VIFPVBQESA-N -1 1 311.762 1.155 20 0 DDADMM CN(C)C[C@H]1CCCN1S(=O)(=O)c1ccccc1C(=O)[O-] ZINC000061643368 184180430 /nfs/dbraw/zinc/18/04/30/184180430.db2.gz PJAIATTVMOSWGQ-LLVKDONJSA-N -1 1 312.391 1.100 20 0 DDADMM CNC(=O)CCCN(C)C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000270053023 208110545 /nfs/dbraw/zinc/11/05/45/208110545.db2.gz ILTYMVIOAFHVBJ-UHFFFAOYSA-N -1 1 322.409 1.386 20 0 DDADMM CCc1noc(CC)c1CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000352473275 285075961 /nfs/dbraw/zinc/07/59/61/285075961.db2.gz RQWIVOLFRWXLLE-VIFPVBQESA-N -1 1 306.370 1.117 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@@H](C)S1(=O)=O ZINC000288636027 220311219 /nfs/dbraw/zinc/31/12/19/220311219.db2.gz KQRCTFWNKSYXIM-MWLCHTKSSA-N -1 1 315.366 1.569 20 0 DDADMM C[C@H](CCO)[N-]S(=O)(=O)c1sccc1Br ZINC000120253866 195127704 /nfs/dbraw/zinc/12/77/04/195127704.db2.gz ZOLKSVVLYIHYOU-ZCFIWIBFSA-N -1 1 314.226 1.560 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCCC[C@@H]2C)co1 ZINC000158286461 197259748 /nfs/dbraw/zinc/25/97/48/197259748.db2.gz GPVDIZUWRKSBOJ-ONGXEEELSA-N -1 1 300.380 1.496 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@]2(C)CCCS2)co1 ZINC000156415574 197111583 /nfs/dbraw/zinc/11/15/83/197111583.db2.gz ODBYXNYSJYWFBX-LBPRGKRZSA-N -1 1 318.420 1.203 20 0 DDADMM Cc1c(-c2cc(C[N@H+](CC(=O)[O-])CC(C)C)on2)cnn1C ZINC000568067153 304266344 /nfs/dbraw/zinc/26/63/44/304266344.db2.gz NLPYAOCNBORKQT-UHFFFAOYSA-N -1 1 306.366 1.926 20 0 DDADMM Cc1c(-c2cc(CN(CC(=O)[O-])CC(C)C)on2)cnn1C ZINC000568067153 304266346 /nfs/dbraw/zinc/26/63/46/304266346.db2.gz NLPYAOCNBORKQT-UHFFFAOYSA-N -1 1 306.366 1.926 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCc2ccccc2O1)c1nn[n-]n1 ZINC000413683084 224237292 /nfs/dbraw/zinc/23/72/92/224237292.db2.gz DQUGOSCPAZQEAE-ZWNOBZJWSA-N -1 1 301.350 1.155 20 0 DDADMM CCNC(=O)C(C)(C)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000120469261 195172298 /nfs/dbraw/zinc/17/22/98/195172298.db2.gz MYGCFIQHZSGVOV-UHFFFAOYSA-N -1 1 320.361 1.405 20 0 DDADMM O=c1cc(C(F)F)nc(SC[C@@H]2CCS(=O)(=O)C2)[n-]1 ZINC000289610329 221101237 /nfs/dbraw/zinc/10/12/37/221101237.db2.gz UFQOOURYNNMAJC-LURJTMIESA-N -1 1 310.347 1.647 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1COc2c(C)cccc2C1 ZINC000289683566 221150996 /nfs/dbraw/zinc/15/09/96/221150996.db2.gz XFJLIYIATXOBHS-NSHDSACASA-N -1 1 323.374 1.156 20 0 DDADMM COc1cc(C(=O)N2CCO[C@H]([C@H](C)O)C2)cc(Cl)c1[O-] ZINC000414059680 224296920 /nfs/dbraw/zinc/29/69/20/224296920.db2.gz VGXPKAMOYWAMQR-UFBFGSQYSA-N -1 1 315.753 1.276 20 0 DDADMM C[C@]1(NC(=O)c2cc3ccccc3cc2[O-])CCS(=O)(=O)C1 ZINC000121641606 195321699 /nfs/dbraw/zinc/32/16/99/195321699.db2.gz FRXSZLASOFNIGF-INIZCTEOSA-N -1 1 319.382 1.852 20 0 DDADMM COCc1n[nH]c([C@@H]2CCCCN2C(=O)c2ncccc2[O-])n1 ZINC000292720903 533270319 /nfs/dbraw/zinc/27/03/19/533270319.db2.gz OWBSULHQXSKZTD-JTQLQIEISA-N -1 1 317.349 1.419 20 0 DDADMM COCc1nc([C@@H]2CCCCN2C(=O)c2ncccc2[O-])n[nH]1 ZINC000292720903 533270332 /nfs/dbraw/zinc/27/03/32/533270332.db2.gz OWBSULHQXSKZTD-JTQLQIEISA-N -1 1 317.349 1.419 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ccc2c(ccnc2OC)c1 ZINC000451097627 533442411 /nfs/dbraw/zinc/44/24/11/533442411.db2.gz QFFRQIXSAXXFOX-UHFFFAOYSA-N -1 1 324.358 1.548 20 0 DDADMM COc1cc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc(OC)c1 ZINC000492010592 533476934 /nfs/dbraw/zinc/47/69/34/533476934.db2.gz PMUMXXBGFIALHZ-ARJAWSKDSA-N -1 1 315.333 1.036 20 0 DDADMM O=C([O-])c1ccccc1NS(=O)(=O)c1cnc2n1CCC2 ZINC000362286180 300044040 /nfs/dbraw/zinc/04/40/40/300044040.db2.gz PRZXGSQAHQWWBK-UHFFFAOYSA-N -1 1 307.331 1.328 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Br)c([O-])c2)C[C@@H]1CO ZINC000360835681 299757698 /nfs/dbraw/zinc/75/76/98/299757698.db2.gz LEPCTJONGXBWCY-WCBMZHEXSA-N -1 1 314.179 1.855 20 0 DDADMM O=S(=O)([N-]c1cccc(-n2cnnn2)c1)c1cccc(F)c1 ZINC000007423063 406757574 /nfs/dbraw/zinc/75/75/74/406757574.db2.gz AQLNICNMQMZMOM-UHFFFAOYSA-N -1 1 319.321 1.602 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CC=CCC1 ZINC000074161912 406904137 /nfs/dbraw/zinc/90/41/37/406904137.db2.gz MIRNTABRIUZWQC-GFCCVEGCSA-N -1 1 300.362 1.612 20 0 DDADMM CCCCCC[N-]S(=O)(=O)c1n[nH]cc1C(=O)OCC ZINC000037490132 406989964 /nfs/dbraw/zinc/98/99/64/406989964.db2.gz IDIXBFIALYCKMH-UHFFFAOYSA-N -1 1 303.384 1.445 20 0 DDADMM Cc1cc(Cl)ccc1NC(=O)CS(=O)(=O)c1ncn[n-]1 ZINC000086169654 407108504 /nfs/dbraw/zinc/10/85/04/407108504.db2.gz DTYUYJJWJXBDMK-UHFFFAOYSA-N -1 1 314.754 1.179 20 0 DDADMM Cc1cc(Cl)ccc1NC(=O)CS(=O)(=O)c1nc[n-]n1 ZINC000086169654 407108508 /nfs/dbraw/zinc/10/85/08/407108508.db2.gz DTYUYJJWJXBDMK-UHFFFAOYSA-N -1 1 314.754 1.179 20 0 DDADMM CCc1ccc(NC(=O)[C@H](C)S(=O)(=O)c2ncn[n-]2)cc1 ZINC000086169994 407108866 /nfs/dbraw/zinc/10/88/66/407108866.db2.gz WIGFQGIHIAQHRU-VIFPVBQESA-N -1 1 308.363 1.168 20 0 DDADMM CCc1ccc(NC(=O)[C@H](C)S(=O)(=O)c2nc[n-]n2)cc1 ZINC000086169994 407108868 /nfs/dbraw/zinc/10/88/68/407108868.db2.gz WIGFQGIHIAQHRU-VIFPVBQESA-N -1 1 308.363 1.168 20 0 DDADMM COCc1ccc(C[N-]S(=O)(=O)c2c(C)noc2C)cc1 ZINC000046814393 407063726 /nfs/dbraw/zinc/06/37/26/407063726.db2.gz ICNVUZKXULVWAB-UHFFFAOYSA-N -1 1 310.375 1.916 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccccc2Cl)n1 ZINC000064348031 407238507 /nfs/dbraw/zinc/23/85/07/407238507.db2.gz JECVPGUIIFOEGH-UHFFFAOYSA-N -1 1 300.727 1.114 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2ccccc2Cl)[n-]1 ZINC000064348031 407238508 /nfs/dbraw/zinc/23/85/08/407238508.db2.gz JECVPGUIIFOEGH-UHFFFAOYSA-N -1 1 300.727 1.114 20 0 DDADMM CN(CC(=O)Nc1cc(F)cc(F)c1)C(=O)c1ncccc1[O-] ZINC000067174569 407269000 /nfs/dbraw/zinc/26/90/00/407269000.db2.gz JPJIQXBNKOSXRU-UHFFFAOYSA-N -1 1 321.283 1.776 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1ccc(Cl)nc1)c1nn[n-]n1 ZINC000122734361 407308836 /nfs/dbraw/zinc/30/88/36/407308836.db2.gz UWQQWYUSTRUZLY-BREXMAIKSA-N -1 1 306.757 1.919 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N(C[C@H]1CCOC1)C1CC1 ZINC000122760380 407310219 /nfs/dbraw/zinc/31/02/19/407310219.db2.gz NGGGTWUVUBISHA-LLVKDONJSA-N -1 1 313.357 1.564 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1COc2ccc(F)cc2C1)c1nn[n-]n1 ZINC000124505600 407359907 /nfs/dbraw/zinc/35/99/07/407359907.db2.gz TUEODWIASYWBSB-KOLCDFICSA-N -1 1 319.340 1.152 20 0 DDADMM CC[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccc(OC)cc1 ZINC000124697380 407366561 /nfs/dbraw/zinc/36/65/61/407366561.db2.gz TYCLTIFSMBMXAA-SMDDNHRTSA-N -1 1 317.393 1.964 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@]2(C)CCCS2)c1Cl ZINC000126061538 407404412 /nfs/dbraw/zinc/40/44/12/407404412.db2.gz PEMPVJXBGQBSQS-SNVBAGLBSA-N -1 1 309.844 1.638 20 0 DDADMM CC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000126768214 407421226 /nfs/dbraw/zinc/42/12/26/407421226.db2.gz IYRRCXNTZCULJY-MRVPVSSYSA-N -1 1 322.308 1.003 20 0 DDADMM CCC[C@H](C)NC(=O)[C@H](C)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127209305 407430332 /nfs/dbraw/zinc/43/03/32/407430332.db2.gz SDAIKJLVEUHRCG-IUCAKERBSA-N -1 1 314.411 1.149 20 0 DDADMM C[C@]1(C(N)=O)CCCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000185949738 407548576 /nfs/dbraw/zinc/54/85/76/407548576.db2.gz PPNOXEYRXGSZQE-QGZVFWFLSA-N -1 1 316.357 1.729 20 0 DDADMM O=C(NC[C@H]1CN2CCN1CC2)c1ccc2ccccc2c1[O-] ZINC000178782292 407601642 /nfs/dbraw/zinc/60/16/42/407601642.db2.gz CAXYAOHKKGSPFN-AWEZNQCLSA-N -1 1 311.385 1.275 20 0 DDADMM O=C(c1cc2c(s1)CCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129440819 407608145 /nfs/dbraw/zinc/60/81/45/407608145.db2.gz DOBKSNFYWNTSNC-SNVBAGLBSA-N -1 1 303.391 1.770 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCO1 ZINC000271304927 407626946 /nfs/dbraw/zinc/62/69/46/407626946.db2.gz VKWUPRNFCZRMFI-HTQZYQBOSA-N -1 1 309.309 1.950 20 0 DDADMM Cc1nc2n(n1)C[C@H](NC(=O)c1ccc(Cl)cc1[O-])CC2 ZINC000171331242 407660586 /nfs/dbraw/zinc/66/05/86/407660586.db2.gz ZPLUFVFBOWYEHW-SNVBAGLBSA-N -1 1 306.753 1.690 20 0 DDADMM O=C([N-]Cc1cccc(CN2CCOCC2)c1)C(F)(F)F ZINC000171259721 407641716 /nfs/dbraw/zinc/64/17/16/407641716.db2.gz QCGAPXQOLMJRRG-UHFFFAOYSA-N -1 1 302.296 1.697 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1C[C@@H]1C(C)C ZINC000186396119 407657388 /nfs/dbraw/zinc/65/73/88/407657388.db2.gz VMZJBFMGOBQLTL-NEPJUHHUSA-N -1 1 302.378 1.548 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000261722879 407593164 /nfs/dbraw/zinc/59/31/64/407593164.db2.gz LYSRUWMDEVUVMI-UHFFFAOYSA-N -1 1 316.361 1.848 20 0 DDADMM C[C@H](O)CCN(C)C(=O)c1cc(Br)ccc1[O-] ZINC000229652790 407669737 /nfs/dbraw/zinc/66/97/37/407669737.db2.gz ASLPDVVSKKOYFC-QMMMGPOBSA-N -1 1 302.168 1.998 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@H]2OCCC[C@H]2C1 ZINC000130373056 407679253 /nfs/dbraw/zinc/67/92/53/407679253.db2.gz AMRXOLQMESCJIN-SMDDNHRTSA-N -1 1 313.357 1.564 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCc2nc[nH]c(=O)c2C1 ZINC000179093426 407721764 /nfs/dbraw/zinc/72/17/64/407721764.db2.gz YWTRKAQBHLXDQF-UHFFFAOYSA-N -1 1 321.336 1.827 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)CC[C@H](C)O)c(=O)[n-]1 ZINC000267035334 407723461 /nfs/dbraw/zinc/72/34/61/407723461.db2.gz AXVYEKIYPRUDOM-VIFPVBQESA-N -1 1 313.423 1.374 20 0 DDADMM CCc1ccc(S(=O)(=O)N(C)CCN(C)C)cc1C(=O)[O-] ZINC000152972255 407725215 /nfs/dbraw/zinc/72/52/15/407725215.db2.gz UWZUPUSJAQJVFJ-UHFFFAOYSA-N -1 1 314.407 1.129 20 0 DDADMM CNC(=O)[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(C)C ZINC000178929029 407661355 /nfs/dbraw/zinc/66/13/55/407661355.db2.gz GRJZKIRHKSFPKO-NSHDSACASA-N -1 1 306.334 1.014 20 0 DDADMM C[C@@H]1C(=O)NCCN1C(=O)c1ccc(Br)c([O-])c1 ZINC000131457630 407737138 /nfs/dbraw/zinc/73/71/38/407737138.db2.gz WBLGOKBZOQTPIK-SSDOTTSWSA-N -1 1 313.151 1.115 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)[C@@H]2CCCCO2)o1 ZINC000272077401 407767023 /nfs/dbraw/zinc/76/70/23/407767023.db2.gz RXGJMCBWHJYLMV-UWVGGRQHSA-N -1 1 317.363 1.302 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCc1cccs1)c2=O ZINC000179391687 407784199 /nfs/dbraw/zinc/78/41/99/407784199.db2.gz FVHFDHDOCQAWDN-UHFFFAOYSA-N -1 1 302.359 1.708 20 0 DDADMM Cc1c(CS(C)(=O)=O)cccc1NC(=O)c1cncc([O-])c1 ZINC000116982721 407803833 /nfs/dbraw/zinc/80/38/33/407803833.db2.gz ZQZHLUGTGPOJLQ-UHFFFAOYSA-N -1 1 320.370 1.893 20 0 DDADMM CC(C)(O)[C@@H]1CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000153352859 407805777 /nfs/dbraw/zinc/80/57/77/407805777.db2.gz DYXAELRLCLGODE-LBPRGKRZSA-N -1 1 301.346 1.299 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cccc1F)C(=O)NCc1ccccc1 ZINC000133000641 407812107 /nfs/dbraw/zinc/81/21/07/407812107.db2.gz ZCRLSXDCXAACEG-LLVKDONJSA-N -1 1 316.332 1.966 20 0 DDADMM CC(C)c1cc(C(=O)N2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)n[nH]1 ZINC000262374988 407817089 /nfs/dbraw/zinc/81/70/89/407817089.db2.gz KPBBBCZNIKILLP-ZUZCIYMTSA-N -1 1 307.350 1.096 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]1CCO)c1nc2ccccc2c(=O)[n-]1 ZINC000187193050 407831563 /nfs/dbraw/zinc/83/15/63/407831563.db2.gz CTZZYVCPQMOPIX-ZYHUDNBSSA-N -1 1 301.346 1.204 20 0 DDADMM COc1ccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000262665932 407894087 /nfs/dbraw/zinc/89/40/87/407894087.db2.gz NKGPRMMTCFZVII-ZDUSSCGKSA-N -1 1 306.362 1.108 20 0 DDADMM CC[C@H]1CC[C@@H](C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)O1 ZINC000268226856 407957719 /nfs/dbraw/zinc/95/77/19/407957719.db2.gz XMENBHRVJVKPEO-AAEUAGOBSA-N -1 1 318.377 1.213 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1Cc2cc(Cl)ccc2O1)c1nn[n-]n1 ZINC000268245175 407968551 /nfs/dbraw/zinc/96/85/51/407968551.db2.gz VXAMOHQIGBWJNX-JQWIXIFHSA-N -1 1 321.768 1.814 20 0 DDADMM CC[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1ccncc1 ZINC000119281924 408029552 /nfs/dbraw/zinc/02/95/52/408029552.db2.gz MHZOZHKBNINLLI-CYBMUJFWSA-N -1 1 311.345 1.950 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3CCC[C@H](C(=O)[O-])C3)[nH]c2c1 ZINC000263232887 408066803 /nfs/dbraw/zinc/06/68/03/408066803.db2.gz MPOPNHSTSDMLOX-NSHDSACASA-N -1 1 316.361 1.878 20 0 DDADMM CCC[C@H](NC(=O)c1cc(-c2ccncc2)on1)c1nn[n-]n1 ZINC000136731555 408123511 /nfs/dbraw/zinc/12/35/11/408123511.db2.gz OPDJNFBJYHNMJR-JTQLQIEISA-N -1 1 313.321 1.521 20 0 DDADMM O=C(Cc1c[nH]c2cccc(Br)c12)Nc1nnn[n-]1 ZINC000155221509 408140556 /nfs/dbraw/zinc/14/05/56/408140556.db2.gz BJJXFXLGCJMKGG-UHFFFAOYSA-N -1 1 321.138 1.625 20 0 DDADMM O=C(Cc1c[nH]c2cccc(Br)c12)Nc1nn[n-]n1 ZINC000155221509 408140564 /nfs/dbraw/zinc/14/05/64/408140564.db2.gz BJJXFXLGCJMKGG-UHFFFAOYSA-N -1 1 321.138 1.625 20 0 DDADMM COCCOCCCCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000155542915 408177187 /nfs/dbraw/zinc/17/71/87/408177187.db2.gz SZRPGOWTVVWQFA-UHFFFAOYSA-N -1 1 319.361 1.096 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000155547562 408177983 /nfs/dbraw/zinc/17/79/83/408177983.db2.gz OULRHMHTFPKERZ-YEZKRMTDSA-N -1 1 304.379 1.384 20 0 DDADMM CCOCCC1(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCCC1 ZINC000155611413 408186209 /nfs/dbraw/zinc/18/62/09/408186209.db2.gz NVIAFFJVLCXTQL-CYBMUJFWSA-N -1 1 321.425 1.893 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(C(C)(C)C)nn1C)c1nn[n-]n1 ZINC000273553000 408243023 /nfs/dbraw/zinc/24/30/23/408243023.db2.gz VYBLLGYCUQSKDX-SECBINFHSA-N -1 1 305.386 1.106 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1noc2ccccc12)c1nn[n-]n1 ZINC000273585588 408253995 /nfs/dbraw/zinc/25/39/95/408253995.db2.gz QJVYYHYOKQSEEP-VIFPVBQESA-N -1 1 300.322 1.146 20 0 DDADMM CC(C)(C)OCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000182678143 408221638 /nfs/dbraw/zinc/22/16/38/408221638.db2.gz ZTPGWHTZWKSLFG-UHFFFAOYSA-N -1 1 318.370 1.951 20 0 DDADMM O=C([N-][C@@]1(CO)CCOC1)C(F)(F)c1c(F)cccc1F ZINC000273620570 408267382 /nfs/dbraw/zinc/26/73/82/408267382.db2.gz YVFRCZXFGGXIOB-GFCCVEGCSA-N -1 1 307.243 1.324 20 0 DDADMM Cn1nnnc1SCCC(=O)Nc1ccc([O-])c(Cl)c1 ZINC000182878703 408271898 /nfs/dbraw/zinc/27/18/98/408271898.db2.gz NFSQTOYKHKBJRE-UHFFFAOYSA-N -1 1 313.770 1.690 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2cc3c(cc2F)NC(=O)CC3)cn1 ZINC000156941595 408284316 /nfs/dbraw/zinc/28/43/16/408284316.db2.gz KFPXDXQAVLTUCS-UHFFFAOYSA-N -1 1 324.337 1.245 20 0 DDADMM CC[C@H](C)[C@@H](C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000182974135 408297177 /nfs/dbraw/zinc/29/71/77/408297177.db2.gz FZDHWGOGHKNMMK-DTWKUNHWSA-N -1 1 300.380 1.660 20 0 DDADMM COC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1cccc2nn[nH]c21 ZINC000190702843 408306174 /nfs/dbraw/zinc/30/61/74/408306174.db2.gz AZHKAVQQDPMQJK-BFVZDQMLSA-N -1 1 314.345 1.372 20 0 DDADMM CO[C@]1(C)C[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C1(C)C ZINC000132803003 162045230 /nfs/dbraw/zinc/04/52/30/162045230.db2.gz NAHQEECYYKOBIV-BDJLRTHQSA-N -1 1 318.377 1.607 20 0 DDADMM CC(C)(C)CCNC(=O)CS(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000133778762 162066252 /nfs/dbraw/zinc/06/62/52/162066252.db2.gz WWCJXVKQOLVKCH-UHFFFAOYSA-N -1 1 314.411 1.008 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCCO[C@H](C)C3)cnc2n1 ZINC000171209745 162210003 /nfs/dbraw/zinc/21/00/03/162210003.db2.gz CLYIRFYFRRZQKH-LLVKDONJSA-N -1 1 301.346 1.895 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CCCO[C@H](C)C1)c2=O ZINC000171209745 162210006 /nfs/dbraw/zinc/21/00/06/162210006.db2.gz CLYIRFYFRRZQKH-LLVKDONJSA-N -1 1 301.346 1.895 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1cc(F)ccc1F)c1nn[n-]n1 ZINC000183412708 408403675 /nfs/dbraw/zinc/40/36/75/408403675.db2.gz FRHRCAFPHOVHOV-SECBINFHSA-N -1 1 309.320 1.673 20 0 DDADMM CC[C@@H](C(=O)[O-])N1CCN(C(=O)c2cccc(OC)c2)CC1 ZINC000191405539 408419171 /nfs/dbraw/zinc/41/91/71/408419171.db2.gz XCYFNYIEUJCSMT-AWEZNQCLSA-N -1 1 306.362 1.316 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000176782827 408444027 /nfs/dbraw/zinc/44/40/27/408444027.db2.gz XQZCUKDACLWDOT-ZRQQLDRUSA-N -1 1 315.333 1.599 20 0 DDADMM O=S(=O)([N-]CCCn1cccn1)c1cc(F)c(F)cc1F ZINC000264414111 408480737 /nfs/dbraw/zinc/48/07/37/408480737.db2.gz QWJHWMLQTMZIMV-UHFFFAOYSA-N -1 1 319.308 1.669 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2c([O-])cccc2F)[C@@]12CCCO2 ZINC000274683714 408494616 /nfs/dbraw/zinc/49/46/16/408494616.db2.gz HVHJISUTBFNEQZ-ZENOOKHLSA-N -1 1 309.337 1.988 20 0 DDADMM CNC(=O)[C@@H]1CN(C(=O)c2ccc3ccccc3c2[O-])CCO1 ZINC000183992591 408524616 /nfs/dbraw/zinc/52/46/16/408524616.db2.gz YODSCGJREPMYRR-AWEZNQCLSA-N -1 1 314.341 1.132 20 0 DDADMM COc1ccc(C(=O)[O-])cc1NS(=O)(=O)[C@@H]1CCCOC1 ZINC000274933933 408566748 /nfs/dbraw/zinc/56/67/48/408566748.db2.gz POKTVUDWQSOXGS-SNVBAGLBSA-N -1 1 315.347 1.314 20 0 DDADMM Cc1nn(C)c(C)c1-c1cc(C(=O)N(C)C[C@H](C)C(=O)[O-])n[nH]1 ZINC000579832454 422839270 /nfs/dbraw/zinc/83/92/70/422839270.db2.gz DENGJEPOAGQNCO-QMMMGPOBSA-N -1 1 319.365 1.220 20 0 DDADMM C[C@]1(O)CCN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000177400186 408602329 /nfs/dbraw/zinc/60/23/29/408602329.db2.gz KFZNDJGMIVSDOF-LBPRGKRZSA-N -1 1 300.152 1.752 20 0 DDADMM Cn1cc([C@H]2OCC[C@@H]2C(=O)Nc2ccc(F)cc2[O-])cn1 ZINC000275686774 408648220 /nfs/dbraw/zinc/64/82/20/408648220.db2.gz DUPBEWOVJWRXJR-SMDDNHRTSA-N -1 1 305.309 1.981 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cnn(-c2ccccc2)c1C ZINC000193335928 408712952 /nfs/dbraw/zinc/71/29/52/408712952.db2.gz OXDWHJNSWIVWDH-LLVKDONJSA-N -1 1 309.391 1.957 20 0 DDADMM Cc1nc([C@@H](C)NS(=O)(=O)c2cccc(C(=O)[O-])c2C)n[nH]1 ZINC000285656085 408837651 /nfs/dbraw/zinc/83/76/51/408837651.db2.gz YLVWPWZWFXOLGS-MRVPVSSYSA-N -1 1 324.362 1.159 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC[C@@H]2C(=O)OC)c1 ZINC000286016794 408908531 /nfs/dbraw/zinc/90/85/31/408908531.db2.gz RNXVRXCTLYZRDZ-ZJUUUORDSA-N -1 1 307.302 1.317 20 0 DDADMM CO[C@H]1CC[C@@H](NC(=O)c2c[n-]c3[nH+]c(C)ccc3c2=O)C1 ZINC000188441897 163053221 /nfs/dbraw/zinc/05/32/21/163053221.db2.gz PDOYHSURGTXDSX-MNOVXSKESA-N -1 1 301.346 1.941 20 0 DDADMM CO[C@H]1CC[C@@H](NC(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000188441897 163053222 /nfs/dbraw/zinc/05/32/22/163053222.db2.gz PDOYHSURGTXDSX-MNOVXSKESA-N -1 1 301.346 1.941 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccccc1OC1CC1)c1nn[n-]n1 ZINC000291789499 408923780 /nfs/dbraw/zinc/92/37/80/408923780.db2.gz YFVQQZYYQGFZMH-JTQLQIEISA-N -1 1 301.350 1.617 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2cc(F)ccc2[O-])CCCC1 ZINC000286287561 408957167 /nfs/dbraw/zinc/95/71/67/408957167.db2.gz CWLDOGJPXARTDK-UHFFFAOYSA-N -1 1 315.366 1.619 20 0 DDADMM CCC[C@@H](NC(=O)COc1cc(F)cc(F)c1)c1nn[n-]n1 ZINC000292023244 408964434 /nfs/dbraw/zinc/96/44/34/408964434.db2.gz VSZGKNWGGZCAGY-LLVKDONJSA-N -1 1 311.292 1.514 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@@H]3CCC(F)(F)C3)ccnc1-2 ZINC000287289048 409014421 /nfs/dbraw/zinc/01/44/21/409014421.db2.gz UNOKQRMBSCBYIR-ZHTZRMIMSA-N -1 1 309.320 1.899 20 0 DDADMM CS(=O)(=O)[C@H]1CCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000282382528 409043742 /nfs/dbraw/zinc/04/37/42/409043742.db2.gz GSDWOFVYACDEQE-VIFPVBQESA-N -1 1 303.767 1.305 20 0 DDADMM CCOC(=O)CC[C@H](C)NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287557134 409055391 /nfs/dbraw/zinc/05/53/91/409055391.db2.gz KBBWFGPXDKNTRZ-FZUCCHQLSA-N -1 1 319.365 1.195 20 0 DDADMM CC[C@H](CSC)N(C)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287731750 409083369 /nfs/dbraw/zinc/08/33/69/409083369.db2.gz TWLVLEHXBGSWGN-IQHDWMNZSA-N -1 1 307.423 1.947 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H](CCO)c3ccco3)ccnc1-2 ZINC000287800739 409095808 /nfs/dbraw/zinc/09/58/08/409095808.db2.gz XUPADEAYOVQSIY-OTWJJXBCSA-N -1 1 315.333 1.180 20 0 DDADMM Cc1nc(C)c(CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)s1 ZINC000287827962 409100262 /nfs/dbraw/zinc/10/02/62/409100262.db2.gz ZKCHPXQBAVEODM-ODLFYWEKSA-N -1 1 316.390 1.737 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnc2c(F)cccc2c1)c1nn[n-]n1 ZINC000293425374 409110842 /nfs/dbraw/zinc/11/08/42/409110842.db2.gz HHRYVAAWHGIYDU-VIFPVBQESA-N -1 1 314.324 1.763 20 0 DDADMM COC(=O)CCN(C(=O)c1ccc2n[n-]c(=S)n2c1)C(C)C ZINC000283232752 409117307 /nfs/dbraw/zinc/11/73/07/409117307.db2.gz YYOOWELTZFJEQW-UHFFFAOYSA-N -1 1 322.390 1.432 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@](C)(O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000293589113 409143067 /nfs/dbraw/zinc/14/30/67/409143067.db2.gz VAWMYQLFXYCDIF-LKFCYVNXSA-N -1 1 323.784 1.323 20 0 DDADMM COc1cccc(OCC[N@H+]2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000288789496 409178431 /nfs/dbraw/zinc/17/84/31/409178431.db2.gz CGCAIRUVMLSJIZ-LBPRGKRZSA-N -1 1 318.377 1.365 20 0 DDADMM CN(C[C@H]1CCC[C@@H]1O)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283590722 409181878 /nfs/dbraw/zinc/18/18/78/409181878.db2.gz XQBURIMAARIFQJ-KOLCDFICSA-N -1 1 306.391 1.251 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1cc(F)cc(F)c1 ZINC000289286083 409242329 /nfs/dbraw/zinc/24/23/29/409242329.db2.gz GGEPJLINNYGBLD-UHFFFAOYSA-N -1 1 317.317 1.364 20 0 DDADMM COC(=O)C[C@@H](C)CC(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000289368613 409255785 /nfs/dbraw/zinc/25/57/85/409255785.db2.gz ZLTHYIJNCXMNLK-VIFPVBQESA-N -1 1 303.322 1.395 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1ncc(C(F)(F)F)[nH]1 ZINC000284189614 409293093 /nfs/dbraw/zinc/29/30/93/409293093.db2.gz UPWCQBBDTPRKQD-UHFFFAOYSA-N -1 1 309.273 1.446 20 0 DDADMM O=c1[n-]nc([C@@H]2CCC[N@@H+](CCN3CCc4ccccc43)C2)o1 ZINC000295145186 409341656 /nfs/dbraw/zinc/34/16/56/409341656.db2.gz FIXCWDKCXLOYDD-CQSZACIVSA-N -1 1 314.389 1.605 20 0 DDADMM CCCNC(=O)[C@@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000280704095 409418193 /nfs/dbraw/zinc/41/81/93/409418193.db2.gz FNSPZQZBEHMUPA-CYBMUJFWSA-N -1 1 318.373 1.340 20 0 DDADMM O=C(NCCCOC[C@@H]1CCCO1)c1c(F)ccc([O-])c1F ZINC000280730725 409427795 /nfs/dbraw/zinc/42/77/95/409427795.db2.gz IOHFSLIUXDJNCJ-JTQLQIEISA-N -1 1 315.316 1.986 20 0 DDADMM O=S(=O)([N-]CCC1(O)CCOCC1)c1cc(F)ccc1F ZINC000285419183 409438149 /nfs/dbraw/zinc/43/81/49/409438149.db2.gz VNBKPSKAINBOCS-UHFFFAOYSA-N -1 1 321.345 1.175 20 0 DDADMM CO[C@@H]1COCC[C@@H]1CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633286448 422843641 /nfs/dbraw/zinc/84/36/41/422843641.db2.gz HAAYZHXAWDBBKB-BXUZGUMPSA-N -1 1 313.781 1.756 20 0 DDADMM COc1ccc([C@H]2CCCN2C(=O)CCCc2nn[n-]n2)cc1 ZINC000635149498 422844202 /nfs/dbraw/zinc/84/42/02/422844202.db2.gz JXFYDKQRHSPTCQ-CQSZACIVSA-N -1 1 315.377 1.895 20 0 DDADMM C[C@@H](CCCO)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000295454724 409491465 /nfs/dbraw/zinc/49/14/65/409491465.db2.gz ZIKXTZYODSKAFC-QMMMGPOBSA-N -1 1 311.325 1.791 20 0 DDADMM C[C@@H](O)CC(C)(C)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000304400038 409621097 /nfs/dbraw/zinc/62/10/97/409621097.db2.gz NZNSDZOCTGIWHL-SNVBAGLBSA-N -1 1 303.362 1.862 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc([C@@H]2C[C@@H]2C(=O)NC2(c3nn[n-]n3)CC2)o1 ZINC000357074770 409845962 /nfs/dbraw/zinc/84/59/62/409845962.db2.gz STAVOVMTYIZCQJ-YTWAJWBKSA-N -1 1 313.361 1.825 20 0 DDADMM Cc1nc(C(C)(C)C)sc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357080929 409849204 /nfs/dbraw/zinc/84/92/04/409849204.db2.gz TZLXCPAQYMTIEC-UHFFFAOYSA-N -1 1 306.395 1.681 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1C(=O)N=c1nc2cc(Cl)ccn2[n-]1 ZINC000332126308 409906998 /nfs/dbraw/zinc/90/69/98/409906998.db2.gz DDDPNLSZTHOTMC-VHSXEESVSA-N -1 1 322.800 1.361 20 0 DDADMM Cc1cc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])ccc1O ZINC000354490683 409894375 /nfs/dbraw/zinc/89/43/75/409894375.db2.gz HNFYVLGUEHMYPK-UHFFFAOYSA-N -1 1 322.342 1.306 20 0 DDADMM C[C@@H](CN=c1nc(C(F)(F)F)[n-]s1)N1CCCCC1=O ZINC000342965194 410036050 /nfs/dbraw/zinc/03/60/50/410036050.db2.gz ZVMGZVBPAVJALQ-ZETCQYMHSA-N -1 1 308.329 1.792 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1O ZINC000332355246 410089742 /nfs/dbraw/zinc/08/97/42/410089742.db2.gz UAKKLDLRHDPKIA-SECBINFHSA-N -1 1 319.317 1.509 20 0 DDADMM C[C@H](CCc1ccco1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343108621 410151792 /nfs/dbraw/zinc/15/17/92/410151792.db2.gz MOTUQYMDWJSLSZ-LLVKDONJSA-N -1 1 317.345 1.248 20 0 DDADMM Cn1[n-]cc2/c(=N/C(=O)N3CC[C@H](O)C34CCCC4)ccnc1-2 ZINC000298360262 410195021 /nfs/dbraw/zinc/19/50/21/410195021.db2.gz IBFKLFWJAYVQKT-HCZVKUGISA-N -1 1 315.377 1.253 20 0 DDADMM COc1cc(C(=O)N[C@H](C)c2nnc(C)[nH]2)cc(Cl)c1[O-] ZINC000343365038 410397745 /nfs/dbraw/zinc/39/77/45/410397745.db2.gz DMIYYVOZAKQVJB-ZCFIWIBFSA-N -1 1 310.741 1.972 20 0 DDADMM Cc1cc(=NC(=O)N[C@H](c2nnc[nH]2)c2ccccc2)[n-]nc1C ZINC000343438639 410450677 /nfs/dbraw/zinc/45/06/77/410450677.db2.gz DJURVFPMNRYLQS-AWEZNQCLSA-N -1 1 323.360 1.545 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC(C(F)F)CC1)c2=O ZINC000358389407 410459502 /nfs/dbraw/zinc/45/95/02/410459502.db2.gz WLZGUAOJNVOBJY-UHFFFAOYSA-N -1 1 310.304 1.791 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc(C(N)=O)cc2)c(C)c1 ZINC000339751468 410436212 /nfs/dbraw/zinc/43/62/12/410436212.db2.gz DSNOMIHGLVCBOZ-UHFFFAOYSA-N -1 1 320.370 1.903 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H](C)c1ccncc1 ZINC000352149183 410511024 /nfs/dbraw/zinc/51/10/24/410511024.db2.gz ORIXOBREARYORB-MRVPVSSYSA-N -1 1 304.306 1.125 20 0 DDADMM Cn1[n-]c(CN[C@@H](C(=O)OC(C)(C)C)c2ccccc2)nc1=O ZINC000347483049 410578063 /nfs/dbraw/zinc/57/80/63/410578063.db2.gz HXYNVFBAJHXUDN-CYBMUJFWSA-N -1 1 318.377 1.281 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2cc(-c3nc[nH]n3)ccc2F)cn1 ZINC000347422138 410538053 /nfs/dbraw/zinc/53/80/53/410538053.db2.gz RQMHUBXXKLLWAU-UHFFFAOYSA-N -1 1 322.325 1.145 20 0 DDADMM CCS(=O)(=O)c1ccc(CNC(=O)c2cncc([O-])c2)cc1 ZINC000340004089 410610266 /nfs/dbraw/zinc/61/02/66/410610266.db2.gz DDDIPJONYPEJLM-UHFFFAOYSA-N -1 1 320.370 1.511 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2CCN(C(C)C)CC2)[n-]n1 ZINC000330542997 410764446 /nfs/dbraw/zinc/76/44/46/410764446.db2.gz BBDQNSMURWHNPG-UHFFFAOYSA-N -1 1 307.398 1.244 20 0 DDADMM CC(C)(NC(=O)c1csc(-c2ccccc2)n1)c1nn[n-]n1 ZINC000359791852 410884941 /nfs/dbraw/zinc/88/49/41/410884941.db2.gz JFZOJGIXBPJNCM-UHFFFAOYSA-N -1 1 314.374 1.988 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCC[C@H](O)C2)c(=O)[n-]1 ZINC000330657454 410854543 /nfs/dbraw/zinc/85/45/43/410854543.db2.gz OKKQFALLMBKFJP-JTQLQIEISA-N -1 1 311.407 1.128 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCC[C@@H]2C)o1 ZINC000359942418 410961994 /nfs/dbraw/zinc/96/19/94/410961994.db2.gz VUVWCNVMGYFZOU-UWVGGRQHSA-N -1 1 301.364 1.781 20 0 DDADMM Cc1ccc(-c2cnc(CCC(=O)[N-]OCC(N)=O)o2)cc1 ZINC000089462975 167462201 /nfs/dbraw/zinc/46/22/01/167462201.db2.gz JURRNBCSMPUMBI-UHFFFAOYSA-N -1 1 303.318 1.116 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCCN2C(C)=O)c1 ZINC000331224915 411028897 /nfs/dbraw/zinc/02/88/97/411028897.db2.gz BXCUVTQXQLWGEL-ZDUSSCGKSA-N -1 1 320.345 1.518 20 0 DDADMM O=S(=O)([N-]CCO)c1cc(Br)sc1Cl ZINC000331260888 411044578 /nfs/dbraw/zinc/04/45/78/411044578.db2.gz BSRHGBFTDLOEGX-UHFFFAOYSA-N -1 1 320.617 1.435 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1c(F)c(F)cc(F)c1F ZINC000631681111 422878991 /nfs/dbraw/zinc/87/89/91/422878991.db2.gz VTGZSIHJKVJXSY-UHFFFAOYSA-N -1 1 303.219 1.005 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000631692005 422883066 /nfs/dbraw/zinc/88/30/66/422883066.db2.gz POZUQWIDOMFQEO-RKDXNWHRSA-N -1 1 305.304 1.617 20 0 DDADMM CCC[C@@H](OCC)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000629951958 422892100 /nfs/dbraw/zinc/89/21/00/422892100.db2.gz OOUQAUDYOXIDSY-CHWSQXEVSA-N -1 1 303.366 1.611 20 0 DDADMM Cc1ccc(CNC(=O)CCc2nn[n-]n2)c(OC(C)(C)C)c1 ZINC000631706292 422887783 /nfs/dbraw/zinc/88/77/83/422887783.db2.gz CXRQZWJIMUPPTB-UHFFFAOYSA-N -1 1 317.393 1.934 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccc(C(F)F)o1)N1CCCCC1 ZINC000631745467 422902449 /nfs/dbraw/zinc/90/24/49/422902449.db2.gz JWQHBEJHHPUXHY-UHFFFAOYSA-N -1 1 322.333 1.508 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC(c2nc3ccccc3s2)C1 ZINC000631749309 422904028 /nfs/dbraw/zinc/90/40/28/422904028.db2.gz PGUTUHWITWTSOO-UHFFFAOYSA-N -1 1 314.374 1.368 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCO[C@@H](CCF)C3)cnc2n1 ZINC000630025264 422929326 /nfs/dbraw/zinc/92/93/26/422929326.db2.gz FTCHZHZLCOJKSX-NSHDSACASA-N -1 1 319.336 1.844 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCO[C@@H](CCF)C3)c[n-]c2n1 ZINC000630025264 422929328 /nfs/dbraw/zinc/92/93/28/422929328.db2.gz FTCHZHZLCOJKSX-NSHDSACASA-N -1 1 319.336 1.844 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](C)[C@H](C)C2)co1 ZINC000131501545 196175699 /nfs/dbraw/zinc/17/56/99/196175699.db2.gz YKASLPCYAXQOIU-VHSXEESVSA-N -1 1 300.380 1.306 20 0 DDADMM CC(C)NC(=O)CCN(C)Cc1nc(=O)c2sccc2[n-]1 ZINC000131707621 196192443 /nfs/dbraw/zinc/19/24/43/196192443.db2.gz XRFGAIYEUSUWEW-UHFFFAOYSA-N -1 1 308.407 1.331 20 0 DDADMM O=c1ccc(C[N-]S(=O)(=O)C(Cl)(Cl)Cl)c[nH]1 ZINC001192043261 745680321 /nfs/dbraw/zinc/68/03/21/745680321.db2.gz RSCWSECTRKDSDP-UHFFFAOYSA-N -1 1 305.570 1.534 20 0 DDADMM COCC1CN(C(=O)CCc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000640556623 423025719 /nfs/dbraw/zinc/02/57/19/423025719.db2.gz CKPSHECGULYHTH-UHFFFAOYSA-N -1 1 318.377 1.089 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CC[C@@H](CO)C2)c(F)c1 ZINC000650104922 423049577 /nfs/dbraw/zinc/04/95/77/423049577.db2.gz BBJPNVVJXBBZBB-BDAKNGLRSA-N -1 1 321.345 1.413 20 0 DDADMM CCCc1cc(C(=O)N=c2ncn(CC(=O)[O-])[nH]2)sc1C ZINC000647780839 423045368 /nfs/dbraw/zinc/04/53/68/423045368.db2.gz PTDFYWQSEIDKLA-UHFFFAOYSA-N -1 1 308.363 1.359 20 0 DDADMM C[C@@H]1CCN(CCS(=O)(=O)c2ccccc2)[C@@H](C(=O)[O-])C1 ZINC000652502353 423047109 /nfs/dbraw/zinc/04/71/09/423047109.db2.gz LUZVXHALABISQV-TZMCWYRMSA-N -1 1 311.403 1.645 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnn(-c2ccc(F)cc2)c1C ZINC000650215519 423088460 /nfs/dbraw/zinc/08/84/60/423088460.db2.gz MCMBWLRUWHJPEB-UHFFFAOYSA-N -1 1 313.354 1.708 20 0 DDADMM CC[C@H](CS(=O)(=O)Nc1cccc2c1CC[N@H+](C)C2)OC ZINC000643269368 423092365 /nfs/dbraw/zinc/09/23/65/423092365.db2.gz KIVTUHMYLGQRKD-CYBMUJFWSA-N -1 1 312.435 1.841 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cnn(C[C@@H]2CCCO2)c1)OC ZINC000643269119 423093426 /nfs/dbraw/zinc/09/34/26/423093426.db2.gz FHCQLMDVGKSROZ-OLZOCXBDSA-N -1 1 317.411 1.229 20 0 DDADMM COC(=O)c1ccc(C(=O)[N-]c2nn3cnnc3s2)s1 ZINC000603835832 416638788 /nfs/dbraw/zinc/63/87/88/416638788.db2.gz MTMZXJXVNNOKCO-UHFFFAOYSA-N -1 1 309.332 1.286 20 0 DDADMM COCCCS(=O)(=O)[N-][C@@H](C(C)=O)c1ccccc1F ZINC000416645191 225017076 /nfs/dbraw/zinc/01/70/76/225017076.db2.gz JLCOSJKESQPJQH-ZDUSSCGKSA-N -1 1 303.355 1.412 20 0 DDADMM CO[C@H](C(=O)NCc1cc(=O)[n-]c(SC)n1)c1ccccc1 ZINC000640657084 423117616 /nfs/dbraw/zinc/11/76/16/423117616.db2.gz DKULVHWCPADROJ-ZDUSSCGKSA-N -1 1 319.386 1.908 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)[C@@H]1CC12CC2 ZINC000643350225 423120072 /nfs/dbraw/zinc/12/00/72/423120072.db2.gz CLEOYUVEOFRSNC-KWQFWETISA-N -1 1 321.743 1.998 20 0 DDADMM NC(=O)[C@H]1C[C@@H](NC(=O)c2c([O-])cnc3ccc(Cl)cc32)C1 ZINC000652791197 423134886 /nfs/dbraw/zinc/13/48/86/423134886.db2.gz FDGMAXVMKXFNBI-OTSSQURYSA-N -1 1 319.748 1.588 20 0 DDADMM C[C@H](CN(C)C(=O)[C@]1(C)[C@@H]2Cc3ccccc3[C@@H]21)c1nn[n-]n1 ZINC000365997880 418413425 /nfs/dbraw/zinc/41/34/25/418413425.db2.gz ZAKMZUQVTANKQD-MJRMRKOOSA-N -1 1 311.389 1.738 20 0 DDADMM C[C@H](OC1CCCCCC1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000366182157 418439617 /nfs/dbraw/zinc/43/96/17/418439617.db2.gz KXUVMGWAKRONGA-WCQYABFASA-N -1 1 323.397 1.228 20 0 DDADMM CC[C@H]1CCCCN1C(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000373830915 418464478 /nfs/dbraw/zinc/46/44/78/418464478.db2.gz JRHFPEHLUPTDDR-ZDUSSCGKSA-N -1 1 321.425 1.481 20 0 DDADMM COc1cccc(C(=O)NCC(=O)Nc2ccc(F)cc2[O-])c1 ZINC000360631441 418481466 /nfs/dbraw/zinc/48/14/66/418481466.db2.gz AJAUQORMMGPMIG-UHFFFAOYSA-N -1 1 318.304 1.908 20 0 DDADMM CCO[C@H]1C[C@@](CO)(NC(=O)c2cc(F)ccc2[O-])C1(C)C ZINC000188801639 222027275 /nfs/dbraw/zinc/02/72/75/222027275.db2.gz NAVOLCUUNHKDEL-BBRMVZONSA-N -1 1 311.353 1.827 20 0 DDADMM CCOC[C@@H](O)CNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000361048803 418573867 /nfs/dbraw/zinc/57/38/67/418573867.db2.gz BALQVAUPRRYQJM-ZDUSSCGKSA-N -1 1 318.377 1.670 20 0 DDADMM COC(=O)Cn1cnc(-c2nc3ccc(Cl)cc3c(=O)[n-]2)n1 ZINC000194366937 418542634 /nfs/dbraw/zinc/54/26/34/418542634.db2.gz YWYCMANFTUAQPZ-UHFFFAOYSA-N -1 1 319.708 1.008 20 0 DDADMM COCCCN(C)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000194442391 418543928 /nfs/dbraw/zinc/54/39/28/418543928.db2.gz NVAFVRHZQGCLMP-UHFFFAOYSA-N -1 1 313.423 1.228 20 0 DDADMM COc1n[n-]c(=NC(=O)N2CCC(c3cnc[nH]3)CC2)s1 ZINC000374686809 418555628 /nfs/dbraw/zinc/55/56/28/418555628.db2.gz ONJNMBIFGISHQD-UHFFFAOYSA-N -1 1 308.367 1.103 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(C)(C)[C@H]2C2CC2)co1 ZINC000291044570 222093569 /nfs/dbraw/zinc/09/35/69/222093569.db2.gz GKIBMLIDYAPXKU-GFCCVEGCSA-N -1 1 312.391 1.448 20 0 DDADMM C[C@@H](c1nccn1-c1ccccc1)S(=O)(=O)c1ncn[n-]1 ZINC000367344873 418588690 /nfs/dbraw/zinc/58/86/90/418588690.db2.gz BOQKORLQVNBYBO-JTQLQIEISA-N -1 1 303.347 1.525 20 0 DDADMM C[C@@H](c1nccn1-c1ccccc1)S(=O)(=O)c1nc[n-]n1 ZINC000367344873 418588691 /nfs/dbraw/zinc/58/86/91/418588691.db2.gz BOQKORLQVNBYBO-JTQLQIEISA-N -1 1 303.347 1.525 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)S(=O)(=O)c1nnc(C(C)(C)C)[n-]1 ZINC000195167995 222193724 /nfs/dbraw/zinc/19/37/24/222193724.db2.gz RCPXKOMQEGPPKU-RKDXNWHRSA-N -1 1 316.427 1.179 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)S(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000195167995 222193726 /nfs/dbraw/zinc/19/37/26/222193726.db2.gz RCPXKOMQEGPPKU-RKDXNWHRSA-N -1 1 316.427 1.179 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)S(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195167995 222193729 /nfs/dbraw/zinc/19/37/29/222193729.db2.gz RCPXKOMQEGPPKU-RKDXNWHRSA-N -1 1 316.427 1.179 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2[C@@H](CCC(=O)N2C2CC2)C1 ZINC000361205914 418605314 /nfs/dbraw/zinc/60/53/14/418605314.db2.gz ZUCZGEIGEPXLEG-WCQYABFASA-N -1 1 315.373 1.403 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H](C2CC2)C1 ZINC000372332354 418857135 /nfs/dbraw/zinc/85/71/35/418857135.db2.gz KYOITLWLEGDATO-CYBMUJFWSA-N -1 1 314.389 1.853 20 0 DDADMM C[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@H](C2CC2)O1 ZINC000365474577 418865656 /nfs/dbraw/zinc/86/56/56/418865656.db2.gz VJWKOBCOZLTXDL-IINYFYTJSA-N -1 1 313.357 1.563 20 0 DDADMM Cc1occc1-c1cc(=NC(=O)C(=O)NC[C@H]2C[C@@H]2C)[n-]o1 ZINC000411149716 418873630 /nfs/dbraw/zinc/87/36/30/418873630.db2.gz SBIGUPHTHJPVSW-WCBMZHEXSA-N -1 1 303.318 1.376 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](n4cncn4)C3)c[n-]c2[nH+]1 ZINC000372471295 418873740 /nfs/dbraw/zinc/87/37/40/418873740.db2.gz DOGPREHFBSRIQM-NSHDSACASA-N -1 1 324.344 1.323 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](n4cncn4)C3)cnc2n1 ZINC000372471295 418873741 /nfs/dbraw/zinc/87/37/41/418873741.db2.gz DOGPREHFBSRIQM-NSHDSACASA-N -1 1 324.344 1.323 20 0 DDADMM CCOCCO[N-]C(=O)[C@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000372486063 418876926 /nfs/dbraw/zinc/87/69/26/418876926.db2.gz HBZBULQKEZRQEK-OAHLLOKOSA-N -1 1 316.398 1.718 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(F)c1 ZINC000372157341 418840923 /nfs/dbraw/zinc/84/09/23/418840923.db2.gz OMKLTWJJHPAZPH-SECBINFHSA-N -1 1 305.313 1.367 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2CCOC[C@@H]2C)c(F)c1 ZINC000425185485 228384601 /nfs/dbraw/zinc/38/46/01/228384601.db2.gz GYNBBMLJKWKRHS-CABZTGNLSA-N -1 1 305.346 1.977 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@]2(C)CCOC2)c(F)c1 ZINC000425220786 228392750 /nfs/dbraw/zinc/39/27/50/228392750.db2.gz VEVDFPZGNSMJSB-CYBMUJFWSA-N -1 1 305.346 1.978 20 0 DDADMM Cc1cnc(C(=O)NCCNC(=O)c2ccccc2F)c([O-])c1 ZINC000426617966 419520493 /nfs/dbraw/zinc/52/04/93/419520493.db2.gz CIAQHQUQRWVWMB-UHFFFAOYSA-N -1 1 317.320 1.395 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(-c2nn[nH]n2)cc1)OC ZINC000421159605 419506911 /nfs/dbraw/zinc/50/69/11/419506911.db2.gz WYCYURLVMXEOJN-LLVKDONJSA-N -1 1 311.367 1.033 20 0 DDADMM CCCc1[nH]ccc1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000421784848 419727693 /nfs/dbraw/zinc/72/76/93/419727693.db2.gz JEZJAZFZHLFVOA-UHFFFAOYSA-N -1 1 320.422 1.194 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)F)c1cc(F)ccc1Cl ZINC000428372672 419857019 /nfs/dbraw/zinc/85/70/19/419857019.db2.gz JFVLROBZSNAUMA-SSDOTTSWSA-N -1 1 317.716 1.774 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)F)c1cc(F)ccc1F ZINC000428405090 419861047 /nfs/dbraw/zinc/86/10/47/419861047.db2.gz RPJILQXBIXOOIY-ZETCQYMHSA-N -1 1 301.261 1.259 20 0 DDADMM O=C(NC[C@]1(CO)C[C@H]1c1ccc(F)cc1)c1ncccc1[O-] ZINC000430167816 420086284 /nfs/dbraw/zinc/08/62/84/420086284.db2.gz WOKXDEOJTICKSP-GUYCJALGSA-N -1 1 316.332 1.822 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](C)c1cccc(C)c1 ZINC000416157664 420260827 /nfs/dbraw/zinc/26/08/27/420260827.db2.gz RKKFHBUQUBAIAT-JTQLQIEISA-N -1 1 317.345 1.738 20 0 DDADMM COc1ccc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)nc1 ZINC000436084995 420307159 /nfs/dbraw/zinc/30/71/59/420307159.db2.gz DCEDTEJEOFTNLA-UHFFFAOYSA-N -1 1 310.313 1.257 20 0 DDADMM O=C(Nc1ccc(-n2nn[n-]c2=O)cc1)c1ccc(F)cc1O ZINC000436594012 420342153 /nfs/dbraw/zinc/34/21/53/420342153.db2.gz HGJSHVPLNNXMNM-UHFFFAOYSA-N -1 1 315.264 1.465 20 0 DDADMM Cc1ncccc1CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425298127 420343116 /nfs/dbraw/zinc/34/31/16/420343116.db2.gz ISOIHEZMMBPWOA-GFCCVEGCSA-N -1 1 302.334 1.427 20 0 DDADMM COc1ncccc1CCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000436615506 420343615 /nfs/dbraw/zinc/34/36/15/420343615.db2.gz FFHVXGHSODTELW-UHFFFAOYSA-N -1 1 300.314 1.337 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@H](C(=O)OC)c1ccccc1)OC ZINC000420657319 420345310 /nfs/dbraw/zinc/34/53/10/420345310.db2.gz ASKLZCVEDNSKBU-OLZOCXBDSA-N -1 1 315.391 1.245 20 0 DDADMM Cc1nn(C)c(C)c1CCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000436637499 420347236 /nfs/dbraw/zinc/34/72/36/420347236.db2.gz WXFCEQFTBZUFSL-UHFFFAOYSA-N -1 1 301.346 1.284 20 0 DDADMM Cc1csc(CCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000425319008 420348009 /nfs/dbraw/zinc/34/80/09/420348009.db2.gz IROKBFKQORDVSA-UHFFFAOYSA-N -1 1 322.390 1.879 20 0 DDADMM CCc1cccnc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425319896 420348480 /nfs/dbraw/zinc/34/84/80/420348480.db2.gz KIBQWIJFNWZVEG-UHFFFAOYSA-N -1 1 302.334 1.752 20 0 DDADMM C[C@H](CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1cnn(C)c1 ZINC000425318465 420348607 /nfs/dbraw/zinc/34/86/07/420348607.db2.gz ICQAXWKXFKBGFY-SNVBAGLBSA-N -1 1 319.365 1.409 20 0 DDADMM C[C@@H](NC(=O)c1ccc(Cl)cc1[O-])C1(S(C)(=O)=O)CC1 ZINC000436647936 420351149 /nfs/dbraw/zinc/35/11/49/420351149.db2.gz NVNCBDNTFTUSRV-MRVPVSSYSA-N -1 1 317.794 1.741 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)C[C@H](CC)OC)C(=O)OCC ZINC000420694586 420359567 /nfs/dbraw/zinc/35/95/67/420359567.db2.gz WHSNRHDBKXCDCM-MUDVKMOPSA-N -1 1 307.412 1.229 20 0 DDADMM CCC[N@@H+](C)CC(=O)N1CCN(C(=O)c2ccc(O)cc2)CC1 ZINC000436827449 420373145 /nfs/dbraw/zinc/37/31/45/420373145.db2.gz DRHMLMIJQYGVBE-UHFFFAOYSA-N -1 1 319.405 1.018 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1)[C@@H]1CCCO1 ZINC000436788736 420365621 /nfs/dbraw/zinc/36/56/21/420365621.db2.gz BVEZBEKRKJHBRM-JTQLQIEISA-N -1 1 308.725 1.948 20 0 DDADMM O=C(Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1)[C@@H]1CCCO1 ZINC000436788736 420365625 /nfs/dbraw/zinc/36/56/25/420365625.db2.gz BVEZBEKRKJHBRM-JTQLQIEISA-N -1 1 308.725 1.948 20 0 DDADMM CC(=O)Nc1ccc(CNC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000436762237 420366038 /nfs/dbraw/zinc/36/60/38/420366038.db2.gz BFFZDFPVNQLMDN-UHFFFAOYSA-N -1 1 312.325 1.850 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000492381043 420540372 /nfs/dbraw/zinc/54/03/72/420540372.db2.gz ARJZAXBMXBFXAQ-DLRQAJBASA-N -1 1 302.313 1.153 20 0 DDADMM CCCC[C@@H](NC(N)=O)C(=O)Nc1ccc([O-])c(F)c1F ZINC000456795079 420546139 /nfs/dbraw/zinc/54/61/39/420546139.db2.gz SDIZHUCXLNKBIB-MRVPVSSYSA-N -1 1 301.293 1.836 20 0 DDADMM COC(=O)c1ccc(Cl)c([N-]S(=O)(=O)C[C@H](C)OC)c1 ZINC000450968022 420573020 /nfs/dbraw/zinc/57/30/20/420573020.db2.gz JFISFFSCGWEPJJ-QMMMGPOBSA-N -1 1 321.782 1.903 20 0 DDADMM COC[C@H]1CCCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000456964724 420577356 /nfs/dbraw/zinc/57/73/56/420577356.db2.gz NVGIOIAWYRFRTQ-SNVBAGLBSA-N -1 1 304.350 1.315 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)c2cccc(C)c2)co1 ZINC000457006152 420586194 /nfs/dbraw/zinc/58/61/94/420586194.db2.gz CXHRDMIEGQMQKL-NSHDSACASA-N -1 1 322.386 1.987 20 0 DDADMM COc1cc(C(=O)N[C@@H](CCO)CSC)cc(Cl)c1[O-] ZINC000442669079 420696946 /nfs/dbraw/zinc/69/69/46/420696946.db2.gz FUZTWFQVOVYRAN-VIFPVBQESA-N -1 1 319.810 1.898 20 0 DDADMM C[C@@H](O)CCS(=O)(=O)c1nc(-c2ccc3c(c2)CCC3)n[n-]1 ZINC000453026100 420704934 /nfs/dbraw/zinc/70/49/34/420704934.db2.gz MKDKPZZJWDKUOX-SNVBAGLBSA-N -1 1 321.402 1.505 20 0 DDADMM C[C@@H](O)CCS(=O)(=O)c1n[n-]c(-c2ccc3c(c2)CCC3)n1 ZINC000453026100 420704938 /nfs/dbraw/zinc/70/49/38/420704938.db2.gz MKDKPZZJWDKUOX-SNVBAGLBSA-N -1 1 321.402 1.505 20 0 DDADMM COC(=O)N(C)CC(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000493427747 420847314 /nfs/dbraw/zinc/84/73/14/420847314.db2.gz QMPKMCCFNDIZLR-UHFFFAOYSA-N -1 1 324.287 1.414 20 0 DDADMM CC1(C)C[C@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000454353476 420844625 /nfs/dbraw/zinc/84/46/25/420844625.db2.gz CLFKHJFALTXHCR-LLVKDONJSA-N -1 1 315.373 1.858 20 0 DDADMM NC(=O)c1[nH]nnc1NC(=O)C=Cc1cccc2ccccc12 ZINC000493317916 420816977 /nfs/dbraw/zinc/81/69/77/420816977.db2.gz HDEBNRNUAURBJD-HJWRWDBZSA-N -1 1 307.313 1.709 20 0 DDADMM C[C@@H]1C[N@H+](CCCNC(=O)Cc2ccc(O)c(F)c2)C[C@H](C)O1 ZINC000448630207 420874628 /nfs/dbraw/zinc/87/46/28/420874628.db2.gz ZJTUNGXAZBEIPN-BETUJISGSA-N -1 1 324.396 1.689 20 0 DDADMM CCC[C@H]1CCC[C@@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000449833250 421092513 /nfs/dbraw/zinc/09/25/13/421092513.db2.gz GSFTZKBYAJNFOX-IUCAKERBSA-N -1 1 300.384 1.363 20 0 DDADMM CCC[C@H]1CCC[C@@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000449833250 421092514 /nfs/dbraw/zinc/09/25/14/421092514.db2.gz GSFTZKBYAJNFOX-IUCAKERBSA-N -1 1 300.384 1.363 20 0 DDADMM CCOC(=O)N1CCC(S(=O)(=O)[N-]CC(C)(F)F)CC1 ZINC000495795372 421065782 /nfs/dbraw/zinc/06/57/82/421065782.db2.gz PBCKASWIJORWMA-UHFFFAOYSA-N -1 1 314.354 1.182 20 0 DDADMM Cc1ccn2ncc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)c2c1 ZINC000560388082 421257630 /nfs/dbraw/zinc/25/76/30/421257630.db2.gz RROGBTBQIIGCBV-NSHDSACASA-N -1 1 311.349 1.176 20 0 DDADMM CC(C)CN1CCO[C@@H](CN=c2[n-]c(C(F)(F)F)ns2)C1 ZINC000547762981 421364320 /nfs/dbraw/zinc/36/43/20/421364320.db2.gz UCHLOMZQUNMUNS-VIFPVBQESA-N -1 1 324.372 1.748 20 0 DDADMM CC(C)CN1CCO[C@@H](CN=c2nc(C(F)(F)F)[n-]s2)C1 ZINC000547762981 421364323 /nfs/dbraw/zinc/36/43/23/421364323.db2.gz UCHLOMZQUNMUNS-VIFPVBQESA-N -1 1 324.372 1.748 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H]2CCc3ccccc3N2)[n-]n1 ZINC000562721675 421405017 /nfs/dbraw/zinc/40/50/17/421405017.db2.gz QAQKGAHKOXFMMY-CYBMUJFWSA-N -1 1 311.389 1.487 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1cnn(C)c1 ZINC000563018322 421440679 /nfs/dbraw/zinc/44/06/79/421440679.db2.gz JOBWKGQGRQDBQR-NXEZZACHSA-N -1 1 305.338 1.018 20 0 DDADMM O=C(NCc1cnn(CC(F)(F)F)c1)c1ncccc1[O-] ZINC000548639974 421456283 /nfs/dbraw/zinc/45/62/83/421456283.db2.gz CSMNZGGBTVVMQU-UHFFFAOYSA-N -1 1 300.240 1.476 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccco1)C(=O)c1ccc([O-])cc1 ZINC000563830931 421546447 /nfs/dbraw/zinc/54/64/47/421546447.db2.gz UTPQXUIRMXKNOK-NSHDSACASA-N -1 1 303.314 1.135 20 0 DDADMM COc1ccc(=NC(=O)N(C)Cc2cc(C(C)(C)C)[nH]n2)[n-]n1 ZINC000551865552 421546847 /nfs/dbraw/zinc/54/68/47/421546847.db2.gz QVHQDPUKJHATMK-UHFFFAOYSA-N -1 1 318.381 1.592 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)N=c1[n-]nc(C2CC2)s1 ZINC000563879148 421551796 /nfs/dbraw/zinc/55/17/96/421551796.db2.gz CPZFLFDCIYRBAQ-LLVKDONJSA-N -1 1 309.439 1.641 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)CC2CC2)n[n-]1 ZINC000551922155 421554413 /nfs/dbraw/zinc/55/44/13/421554413.db2.gz LZBWCSVRRUZPCO-LLVKDONJSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)CC2CC2)[n-]1 ZINC000551922155 421554414 /nfs/dbraw/zinc/55/44/14/421554414.db2.gz LZBWCSVRRUZPCO-LLVKDONJSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)CC2CC2)n1 ZINC000551922155 421554416 /nfs/dbraw/zinc/55/44/16/421554416.db2.gz LZBWCSVRRUZPCO-LLVKDONJSA-N -1 1 308.382 1.985 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@]1(F)c1ccccc1 ZINC000571397503 421712415 /nfs/dbraw/zinc/71/24/15/421712415.db2.gz FEUFPQCARBQYPB-VFZGTOFNSA-N -1 1 319.292 1.264 20 0 DDADMM CN(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@H]1CC[N@@H+](C2CC2)C1 ZINC000520384474 421748392 /nfs/dbraw/zinc/74/83/92/421748392.db2.gz SQVAVYDOJYXTHV-LBPRGKRZSA-N -1 1 312.373 1.232 20 0 DDADMM COC(=O)[C@@H](CN=c1nc(C(C)C)[n-]s1)C1CCOCC1 ZINC000540035508 421759386 /nfs/dbraw/zinc/75/93/86/421759386.db2.gz OPAWOEFAVYAIQN-NSHDSACASA-N -1 1 313.423 1.711 20 0 DDADMM COc1cc2c(cc1/C=C/c1cc(=O)n3[n-]cnc3n1)O[C@@H](C)C2 ZINC000558451645 421808251 /nfs/dbraw/zinc/80/82/51/421808251.db2.gz ZZNJSJLAHSEFNU-FSIBCCDJSA-N -1 1 324.340 1.920 20 0 DDADMM O=C(Cc1ccc(O)cc1Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000541888268 421813058 /nfs/dbraw/zinc/81/30/58/421813058.db2.gz WPKRDMBBEOENQS-JTQLQIEISA-N -1 1 321.768 1.507 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H]2CCN(CC(F)(F)F)C2)s[n-]1 ZINC000558687504 421820275 /nfs/dbraw/zinc/82/02/75/421820275.db2.gz SMJSVJITIVCSRF-HTQZYQBOSA-N -1 1 310.345 1.716 20 0 DDADMM O=C(Nc1cc2ccccn2n1)c1cn[nH]c1-c1ccncc1 ZINC000557532834 421776497 /nfs/dbraw/zinc/77/64/97/421776497.db2.gz HVQRIILKTRXHKB-UHFFFAOYSA-N -1 1 304.313 2.372 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@H]1CCCO[C@H]1C(C)C ZINC000572527883 421798003 /nfs/dbraw/zinc/79/80/03/421798003.db2.gz ARVZEZFBMVCBMW-QWRGUYRKSA-N -1 1 317.411 1.125 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCCO[C@H]1C(C)C ZINC000572527883 421798005 /nfs/dbraw/zinc/79/80/05/421798005.db2.gz ARVZEZFBMVCBMW-QWRGUYRKSA-N -1 1 317.411 1.125 20 0 DDADMM CC[C@H]1CCCC[C@H]1N(C)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000572564698 421799987 /nfs/dbraw/zinc/79/99/87/421799987.db2.gz SVTYKLWIYQLEKK-WDEREUQCSA-N -1 1 301.412 1.985 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H]1C[C@@H]2CCCCN2C1=O ZINC000633382532 421876290 /nfs/dbraw/zinc/87/62/90/421876290.db2.gz QLZSLSKJXDFZEU-AAEUAGOBSA-N -1 1 322.792 1.858 20 0 DDADMM CCOc1ccc(CNCc2cc(C(=O)[O-])nn2C)cc1OC ZINC000635305006 421894130 /nfs/dbraw/zinc/89/41/30/421894130.db2.gz LBJDHTNBXPJUPJ-UHFFFAOYSA-N -1 1 319.361 1.815 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)n1ccnc1 ZINC000572776431 421860243 /nfs/dbraw/zinc/86/02/43/421860243.db2.gz KQAMQKGVDCWRGC-QWRGUYRKSA-N -1 1 305.338 1.329 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cccc(N2CCCC2)c1 ZINC000635370662 421938350 /nfs/dbraw/zinc/93/83/50/421938350.db2.gz KUSQIRLVFLDRFE-UHFFFAOYSA-N -1 1 300.366 1.761 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2ccc(C)nc2)c1 ZINC000631942126 421959780 /nfs/dbraw/zinc/95/97/80/421959780.db2.gz PTGLBXSDPAQWHU-UHFFFAOYSA-N -1 1 322.386 1.625 20 0 DDADMM C[C@H](CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1ccco1 ZINC000630220337 421970724 /nfs/dbraw/zinc/97/07/24/421970724.db2.gz ODTQJHKNOIGATB-OLZOCXBDSA-N -1 1 308.378 1.781 20 0 DDADMM COc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1F ZINC000630223044 421972385 /nfs/dbraw/zinc/97/23/85/421972385.db2.gz IDIFIMDPKFHEGS-NSHDSACASA-N -1 1 324.352 1.455 20 0 DDADMM CCn1c(C)cc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1C ZINC000630221805 421972475 /nfs/dbraw/zinc/97/24/75/421972475.db2.gz BELDSCOFTQHZPL-LBPRGKRZSA-N -1 1 321.421 1.746 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1C ZINC000630223626 421972625 /nfs/dbraw/zinc/97/26/25/421972625.db2.gz KCOCDLKDIGUUPW-CQSZACIVSA-N -1 1 304.390 1.924 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1cc(F)ccc1OC(F)F ZINC000633648253 422024357 /nfs/dbraw/zinc/02/43/57/422024357.db2.gz HRNCWWRLMBEPBV-UHFFFAOYSA-N -1 1 315.255 1.189 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCO[C@@H](c2ccccc2F)C1 ZINC000635492517 422038169 /nfs/dbraw/zinc/03/81/69/422038169.db2.gz IITSJLLRXMJZJU-CYBMUJFWSA-N -1 1 319.340 1.262 20 0 DDADMM COc1ccccc1CCN(C)C(=O)CCCc1nn[n-]n1 ZINC000635499799 422043386 /nfs/dbraw/zinc/04/33/86/422043386.db2.gz BDEBDTYSRXBDPG-UHFFFAOYSA-N -1 1 303.366 1.232 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCc2cccs2)CC1 ZINC000630225422 421975775 /nfs/dbraw/zinc/97/57/75/421975775.db2.gz SZZMEOOUNKRQKK-GFCCVEGCSA-N -1 1 310.419 1.688 20 0 DDADMM COc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1 ZINC000630225369 421976556 /nfs/dbraw/zinc/97/65/56/421976556.db2.gz SNFAPFRYZZWHLU-LBPRGKRZSA-N -1 1 306.362 1.316 20 0 DDADMM COc1cc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)ccc1F ZINC000630226990 421979165 /nfs/dbraw/zinc/97/91/65/421979165.db2.gz YHDUPIAHZPKYFN-LLVKDONJSA-N -1 1 324.352 1.455 20 0 DDADMM COCCN(C(=O)CCCc1nn[n-]n1)[C@@H](C)c1ccccc1 ZINC000635447777 421996388 /nfs/dbraw/zinc/99/63/88/421996388.db2.gz NGFDDNBFFIGLCX-ZDUSSCGKSA-N -1 1 317.393 1.759 20 0 DDADMM C[C@](CO)([N-]S(=O)(=O)c1c[nH]c(=O)s1)c1ccccc1 ZINC000632005223 422016087 /nfs/dbraw/zinc/01/60/87/422016087.db2.gz KLUGWICJAWADSK-GFCCVEGCSA-N -1 1 314.388 1.035 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cc(C)cc3c[nH]nc32)[C@@](C)(C(=O)[O-])C1 ZINC000630311516 422016449 /nfs/dbraw/zinc/01/64/49/422016449.db2.gz OKGVJSLSNTWCPX-MEDUHNTESA-N -1 1 317.345 1.576 20 0 DDADMM CCc1ccc([C@H]2CNCCN2C(=O)CSCC(=O)[O-])cc1 ZINC000574091868 422061060 /nfs/dbraw/zinc/06/10/60/422061060.db2.gz LDPYZYKBWMFZCL-CQSZACIVSA-N -1 1 322.430 1.540 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(C)nc1C1CC1 ZINC000633690974 422052732 /nfs/dbraw/zinc/05/27/32/422052732.db2.gz JMSNMCHATCXWAC-UHFFFAOYSA-N -1 1 313.361 1.333 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)C[C@H](CC)OC)C(=O)OC ZINC000583497409 422173965 /nfs/dbraw/zinc/17/39/65/422173965.db2.gz MJEBMMSDDBDBBO-SDDRHHMPSA-N -1 1 309.428 1.309 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2nnc(C3CC3)o2)sn1 ZINC000632239568 422186525 /nfs/dbraw/zinc/18/65/25/422186525.db2.gz SOFHVKCNGISWLJ-UHFFFAOYSA-N -1 1 300.365 1.190 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCOC[C@H]2C)c1 ZINC000632278646 422217036 /nfs/dbraw/zinc/21/70/36/422217036.db2.gz WZOYDSPXHVOBFQ-MWLCHTKSSA-N -1 1 301.364 1.104 20 0 DDADMM CCN1CCN(C(=O)[C@H](C)Sc2ncc(C)c(=O)[n-]2)CC1 ZINC000574822727 422190311 /nfs/dbraw/zinc/19/03/11/422190311.db2.gz DIEUFFKKUUARRJ-NSHDSACASA-N -1 1 310.423 1.135 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC3(C2)CCCOC3)c1 ZINC000632340031 422259985 /nfs/dbraw/zinc/25/99/85/422259985.db2.gz NQYAUAXOBHYLOY-UHFFFAOYSA-N -1 1 313.375 1.202 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)C1CC1 ZINC000632372287 422286132 /nfs/dbraw/zinc/28/61/32/422286132.db2.gz HTGSBUKFSTZTFB-ZETCQYMHSA-N -1 1 313.301 1.132 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCOCc1ccc(Cl)cc1 ZINC000635732052 422293048 /nfs/dbraw/zinc/29/30/48/422293048.db2.gz WGXSVHKTLQYSMX-UHFFFAOYSA-N -1 1 323.784 1.509 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@H]1C1CC1)c1c[nH]nc1C(F)(F)F ZINC000632426523 422333690 /nfs/dbraw/zinc/33/36/90/422333690.db2.gz CQUCZZBIZBHSTM-YUMQZZPRSA-N -1 1 309.313 1.753 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1Cc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000632436568 422343459 /nfs/dbraw/zinc/34/34/59/422343459.db2.gz KKNKIZWNUKKQAM-QPUJVOFHSA-N -1 1 305.769 1.755 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@@H]1C[C@H]1C1CCOCC1 ZINC000630802645 422345486 /nfs/dbraw/zinc/34/54/86/422345486.db2.gz JZTFBNZRPQLXCS-JGVFFNPUSA-N -1 1 304.272 1.825 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2(CF)CCOCC2)sn1 ZINC000632409814 422320263 /nfs/dbraw/zinc/32/02/63/422320263.db2.gz KTSYJJXXUIECFP-UHFFFAOYSA-N -1 1 308.400 1.496 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H]3COC[C@@]3(C)C2)c1 ZINC000632560279 422437354 /nfs/dbraw/zinc/43/73/54/422437354.db2.gz SWBBKPQMUHWLLL-QMTHXVAHSA-N -1 1 313.375 1.058 20 0 DDADMM C[C@@H]1CO[C@H](c2ccccc2)CN1C(=O)CCc1nn[n-]n1 ZINC000630905384 422405620 /nfs/dbraw/zinc/40/56/20/422405620.db2.gz VXYUQQUTUIOAJH-YPMHNXCESA-N -1 1 301.350 1.121 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)CC2CCC2)o1 ZINC000634366081 422407030 /nfs/dbraw/zinc/40/70/30/422407030.db2.gz DPPJRFXYLPTMGO-VIFPVBQESA-N -1 1 300.380 1.496 20 0 DDADMM Cc1ccccc1[C@@H](N)C(=O)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000578010972 422476630 /nfs/dbraw/zinc/47/66/30/422476630.db2.gz JMPRCRUNPMNKBJ-WCQYABFASA-N -1 1 315.377 1.225 20 0 DDADMM CC1(C)C[C@H](S(=O)(=O)Nc2ccc(C(=O)[O-])cc2O)CO1 ZINC000629296960 422591608 /nfs/dbraw/zinc/59/16/08/422591608.db2.gz QIAQLGNBPPECJG-VIFPVBQESA-N -1 1 315.347 1.400 20 0 DDADMM COCC[C@@]1(NC(=O)Cc2ccc([O-])c(Cl)c2)CCOC1 ZINC000632789997 422581996 /nfs/dbraw/zinc/58/19/96/422581996.db2.gz CKEULLABIIEXBO-OAHLLOKOSA-N -1 1 313.781 1.900 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2ncc(C(F)(F)F)[nH]2)n1C ZINC000629351405 422625517 /nfs/dbraw/zinc/62/55/17/422625517.db2.gz QMGHJJCBSOFGHG-UHFFFAOYSA-N -1 1 309.273 1.271 20 0 DDADMM O=C(COC[C@H]1CCCO1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629379313 422641081 /nfs/dbraw/zinc/64/10/81/422641081.db2.gz ZSZVRSROLRRKNX-CQSZACIVSA-N -1 1 317.345 1.712 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CC1CSC1 ZINC000631294739 422666952 /nfs/dbraw/zinc/66/69/52/422666952.db2.gz NVDUNIDWGFSYHJ-UHFFFAOYSA-N -1 1 306.391 1.421 20 0 DDADMM CC1(C)COC[C@@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645748477 423168918 /nfs/dbraw/zinc/16/89/18/423168918.db2.gz AEMKSXOXSDFUEE-VIFPVBQESA-N -1 1 324.324 1.804 20 0 DDADMM NC(=O)c1[nH]nc2ccc(NC(=O)c3cc(F)ccc3[O-])cc21 ZINC000089996428 263334946 /nfs/dbraw/zinc/33/49/46/263334946.db2.gz SQCDKUWQFZDAOF-UHFFFAOYSA-N -1 1 314.276 1.759 20 0 DDADMM C[C@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)n1ccnc1 ZINC000653017176 423260036 /nfs/dbraw/zinc/26/00/36/423260036.db2.gz QKJPWRINGMBIPV-BXUZGUMPSA-N -1 1 311.349 1.253 20 0 DDADMM Cn1nccc1[C@@H]1COCCN1C(=O)c1cc(Cl)ccc1[O-] ZINC000650880590 423298785 /nfs/dbraw/zinc/29/87/85/423298785.db2.gz SGFATOFOHDFQGJ-ZDUSSCGKSA-N -1 1 321.764 1.993 20 0 DDADMM CNS(=O)(=O)[C@H]1CCCN(Cc2cccc([O-])c2Cl)C1 ZINC000646087700 423331018 /nfs/dbraw/zinc/33/10/18/423331018.db2.gz BAQYAKIGJFFZLU-NSHDSACASA-N -1 1 318.826 1.559 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000648668851 423413288 /nfs/dbraw/zinc/41/32/88/423413288.db2.gz HZQATTJMSCZOTD-RWMBFGLXSA-N -1 1 314.389 1.899 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)N(CC(=O)[O-])CC2CC2)cn1 ZINC000653324039 423440881 /nfs/dbraw/zinc/44/08/81/423440881.db2.gz FSHGUYAGKJDQHQ-UHFFFAOYSA-N -1 1 317.349 1.230 20 0 DDADMM COc1cccc(CO[C@H](C)C(=O)Nc2c(C)[n-][nH]c2=O)c1 ZINC000646409260 423478774 /nfs/dbraw/zinc/47/87/74/423478774.db2.gz MICDAAUCPPNQOM-SNVBAGLBSA-N -1 1 305.334 1.976 20 0 DDADMM CO[C@@H]1CCC[C@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000648894461 423526822 /nfs/dbraw/zinc/52/68/22/423526822.db2.gz KVKSXHMWERZKIZ-MJBXVCDLSA-N -1 1 315.377 1.611 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(C)(CC(F)F)C2)co1 ZINC000649017092 423588072 /nfs/dbraw/zinc/58/80/72/423588072.db2.gz CZANQCOQDBDARG-UHFFFAOYSA-N -1 1 322.333 1.305 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)C[C@]1(C)CC1(F)F)C(F)(F)F ZINC000641401125 423611394 /nfs/dbraw/zinc/61/13/94/423611394.db2.gz XGPRFFVJFSXPEH-NKWVEPMBSA-N -1 1 311.272 1.264 20 0 DDADMM CCOc1cc(C(=O)N2CN(C)C(=O)[C@H]2C)cc(Cl)c1[O-] ZINC000649160300 423654077 /nfs/dbraw/zinc/65/40/77/423654077.db2.gz HZYQZMCUXCWWHR-MRVPVSSYSA-N -1 1 312.753 1.705 20 0 DDADMM O=S(=O)([N-]CCC1(CO)CCC1)c1cc(F)c(F)cc1F ZINC000651907572 423736484 /nfs/dbraw/zinc/73/64/84/423736484.db2.gz WHQHKIDWLLGUOU-UHFFFAOYSA-N -1 1 323.336 1.935 20 0 DDADMM CCn1nc(C)c([N-]S(=O)(=O)C[C@@H](OC)C(C)C)c1C ZINC000647128017 423771027 /nfs/dbraw/zinc/77/10/27/423771027.db2.gz MMPYKTUUFMKYSJ-GFCCVEGCSA-N -1 1 303.428 1.933 20 0 DDADMM C[C@@H](NC(=O)N(C)Cc1cnc[nH]1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000659414176 423817370 /nfs/dbraw/zinc/81/73/70/423817370.db2.gz VAWSTQDRIDNDEY-ADEWGFFLSA-N -1 1 308.382 1.687 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cccc3c2CCN(C)C3=O)CC1 ZINC000641695079 423877916 /nfs/dbraw/zinc/87/79/16/423877916.db2.gz CGKYNPNKJLCNTN-UHFFFAOYSA-N -1 1 324.402 1.235 20 0 DDADMM CC1CC([N-]S(=O)(=O)c2ncccc2Br)C1 ZINC000656927515 423886670 /nfs/dbraw/zinc/88/66/70/423886670.db2.gz XJKCWVIYMCSRQK-UHFFFAOYSA-N -1 1 305.197 1.921 20 0 DDADMM CSc1nc(CNc2nc(C)nc3c2CCCC3)cc(=O)[n-]1 ZINC000641657687 423825620 /nfs/dbraw/zinc/82/56/20/423825620.db2.gz UQXXWVRXHNFEMR-UHFFFAOYSA-N -1 1 317.418 1.915 20 0 DDADMM CCOCCN1CCN(c2cncc(C(=O)[O-])n2)C[C@@H]1CC ZINC000649388829 423837028 /nfs/dbraw/zinc/83/70/28/423837028.db2.gz WASKAATVNSOYKP-LBPRGKRZSA-N -1 1 308.382 1.112 20 0 DDADMM CCC[C@H]1CN(c2cncc(C(=O)[O-])n2)CCN1CCOC ZINC000649395900 423847734 /nfs/dbraw/zinc/84/77/34/423847734.db2.gz KYHPGVPASZGLGU-LBPRGKRZSA-N -1 1 308.382 1.112 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2ncccc2Br)COC1 ZINC000656902698 423855555 /nfs/dbraw/zinc/85/55/55/423855555.db2.gz YVZHDFZUWGJLDD-UHFFFAOYSA-N -1 1 321.196 1.159 20 0 DDADMM O=S(=O)([N-]CCCCCO)c1ncccc1Br ZINC000656975773 423946689 /nfs/dbraw/zinc/94/66/89/423946689.db2.gz DZCSOGQTWCZZRQ-UHFFFAOYSA-N -1 1 323.212 1.285 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(C)nn1 ZINC000644883988 424010967 /nfs/dbraw/zinc/01/09/67/424010967.db2.gz LGLVYIYDNTUCTO-JTQLQIEISA-N -1 1 303.322 1.202 20 0 DDADMM Cn1[n-]c(CN2C[C@H](c3cccc(F)c3)OCC2(C)C)nc1=O ZINC000661735395 424129808 /nfs/dbraw/zinc/12/98/08/424129808.db2.gz FODQXDIIAQXARJ-CYBMUJFWSA-N -1 1 320.368 1.600 20 0 DDADMM Cc1csc(=O)n1CCN1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000662222577 424486875 /nfs/dbraw/zinc/48/68/75/424486875.db2.gz OMOYWGQBUNXGNK-CQSZACIVSA-N -1 1 318.398 1.902 20 0 DDADMM O=C([O-])CN1CCCC[C@@H](N2CCC(c3ccon3)CC2)C1=O ZINC000662228660 424490107 /nfs/dbraw/zinc/49/01/07/424490107.db2.gz BEFDPRQUXLNDBI-CQSZACIVSA-N -1 1 321.377 1.320 20 0 DDADMM Cc1cccnc1[C@@H](N[C@@H]1CCN(CC(=O)[O-])C1=O)C(C)C ZINC000662204533 424470422 /nfs/dbraw/zinc/47/04/22/424470422.db2.gz HYDBOJNBAXUCBS-OCCSQVGLSA-N -1 1 305.378 1.362 20 0 DDADMM COc1ccccc1C[C@H](C)N(C)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662207096 424473683 /nfs/dbraw/zinc/47/36/83/424473683.db2.gz UZLNHMSVXKGWQX-JSGCOSHPSA-N -1 1 320.389 1.244 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)C1CCC(F)CC1 ZINC000660033897 424477051 /nfs/dbraw/zinc/47/70/51/424477051.db2.gz HKTJEVUYHLVKSD-WFRXLMHJSA-N -1 1 305.375 1.760 20 0 DDADMM CO[C@H](C[N@@H+](C)Cc1nc(=O)c2sccc2[n-]1)[C@H]1CCOC1 ZINC000660292163 424626296 /nfs/dbraw/zinc/62/62/96/424626296.db2.gz FNNGVJZTXYMJSK-CMPLNLGQSA-N -1 1 323.418 1.880 20 0 DDADMM CO[C@H](CN(C)Cc1nc(=O)c2sccc2[n-]1)[C@H]1CCOC1 ZINC000660292163 424626302 /nfs/dbraw/zinc/62/63/02/424626302.db2.gz FNNGVJZTXYMJSK-CMPLNLGQSA-N -1 1 323.418 1.880 20 0 DDADMM C[C@H](CNC(=O)NC[C@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000655859122 424683459 /nfs/dbraw/zinc/68/34/59/424683459.db2.gz FLGXUPRMERKVEI-VXGBXAGGSA-N -1 1 309.366 1.436 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCCn1ccnn1 ZINC000345585751 272285006 /nfs/dbraw/zinc/28/50/06/272285006.db2.gz USPIPDVIQWINIF-UHFFFAOYSA-N -1 1 318.381 1.200 20 0 DDADMM CCC[C@@H](NC(=O)c1ccn(C2CCCC2)n1)c1nn[n-]n1 ZINC000120076782 281112533 /nfs/dbraw/zinc/11/25/33/281112533.db2.gz PDVDNNUMEGBGKO-LLVKDONJSA-N -1 1 303.370 1.783 20 0 DDADMM Cn1[n-]c(CNC2(c3cccc(C(F)(F)F)c3)CC2)nc1=O ZINC000573044811 304572275 /nfs/dbraw/zinc/57/22/75/304572275.db2.gz QROYXMRPYGWICH-UHFFFAOYSA-N -1 1 312.295 1.906 20 0 DDADMM O=C(Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-])c1cc[nH]c1 ZINC000356381509 298775447 /nfs/dbraw/zinc/77/54/47/298775447.db2.gz VRLVBKLCEOUGPI-UHFFFAOYSA-N -1 1 321.358 1.413 20 0 DDADMM c1ccc(-c2nc(=NC[C@H]3CN4CCCC[C@H]4CO3)[n-]o2)cc1 ZINC000364278611 300367692 /nfs/dbraw/zinc/36/76/92/300367692.db2.gz JGMOBCVHKPYYHJ-GJZGRUSLSA-N -1 1 314.389 1.824 20 0 DDADMM CCCN1C(=O)CC[C@@H](C(=O)[N-]OCC)[C@@H]1c1cncn1C ZINC000368443193 301029140 /nfs/dbraw/zinc/02/91/40/301029140.db2.gz SIOMGPDUJPNWNU-BXUZGUMPSA-N -1 1 308.382 1.178 20 0 DDADMM O=C(N[C@@H]1CN(c2ccccc2)C1=O)c1ccc([O-])cc1F ZINC000369939737 301243924 /nfs/dbraw/zinc/24/39/24/301243924.db2.gz MJGFNXHQDVCKLI-CQSZACIVSA-N -1 1 300.289 1.677 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(OC)cc2)C1 ZINC000370439601 301339326 /nfs/dbraw/zinc/33/93/26/301339326.db2.gz TYYVABSVFRITSK-LBPRGKRZSA-N -1 1 322.361 1.132 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](c2nc(C3CC3)no2)C1 ZINC000375581161 301959209 /nfs/dbraw/zinc/95/92/09/301959209.db2.gz IJELRLXBSQVXCD-JTQLQIEISA-N -1 1 300.318 1.677 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3ncccc3C)no2)co1 ZINC000355835647 306814328 /nfs/dbraw/zinc/81/43/28/306814328.db2.gz GBUQLQAPUTUEAF-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)Cc3cncnc3)[nH][n-]2)c1 ZINC000356032104 306827824 /nfs/dbraw/zinc/82/78/24/306827824.db2.gz IBKJFZXUYRPQLH-UHFFFAOYSA-N -1 1 311.320 1.917 20 0 DDADMM COc1ccc(CN[C@H](C(=O)[O-])c2cnn(C)c2)c(OC)c1 ZINC000417599472 533695363 /nfs/dbraw/zinc/69/53/63/533695363.db2.gz PBHUAKGNIRYZNN-AWEZNQCLSA-N -1 1 305.334 1.353 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2cc(F)ccc2F)on1 ZINC000524944985 302907871 /nfs/dbraw/zinc/90/78/71/302907871.db2.gz DZAICNUGOQDCEY-UHFFFAOYSA-N -1 1 302.302 1.994 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@H]1CCCO1)c1cc(Cl)ccc1F ZINC000528483568 303032781 /nfs/dbraw/zinc/03/27/81/303032781.db2.gz GSEMYJORGYDAAY-GHMZBOCLSA-N -1 1 323.773 1.297 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1C[C@]1(C)Br)c1nn[n-]n1 ZINC000528800146 303065282 /nfs/dbraw/zinc/06/52/82/303065282.db2.gz FEJAWJZPCWPING-FWWHASMVSA-N -1 1 302.176 1.331 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccsc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000528883507 303069717 /nfs/dbraw/zinc/06/97/17/303069717.db2.gz RUFPUJSSHXTSFX-ADEWGFFLSA-N -1 1 303.391 1.771 20 0 DDADMM Cc1cc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)ncc1Cl ZINC000529018306 303081269 /nfs/dbraw/zinc/08/12/69/303081269.db2.gz CKPMCELIAIKPKO-VIFPVBQESA-N -1 1 308.773 1.361 20 0 DDADMM CC1(C)COC[C@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000451964442 529134704 /nfs/dbraw/zinc/13/47/04/529134704.db2.gz JHSDABKVURJVGW-SSDOTTSWSA-N -1 1 324.196 1.745 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)[C@H]1CCCO1 ZINC000529771019 303153366 /nfs/dbraw/zinc/15/33/66/303153366.db2.gz QVTCTHIABHFBGS-GHMZBOCLSA-N -1 1 323.393 1.058 20 0 DDADMM Cc1cnc(C(=O)N[C@]2(CO)COc3ccccc3C2)c([O-])c1 ZINC000529822523 303157041 /nfs/dbraw/zinc/15/70/41/303157041.db2.gz RXCMPXNLTSAUDX-QGZVFWFLSA-N -1 1 314.341 1.192 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@@H]1CC12CCS(=O)(=O)CC2 ZINC000530005427 303169723 /nfs/dbraw/zinc/16/97/23/303169723.db2.gz KAJFOEYKKXZJOF-VIFPVBQESA-N -1 1 313.350 1.685 20 0 DDADMM CCC1(Cn2cc(C(=O)Nc3cccc(F)c3[O-])nn2)COC1 ZINC000530006174 303170085 /nfs/dbraw/zinc/17/00/85/303170085.db2.gz QSMINKJQVYKPLI-UHFFFAOYSA-N -1 1 320.324 1.802 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2ncccc2F)sc1C ZINC000530534446 303202657 /nfs/dbraw/zinc/20/26/57/303202657.db2.gz JSDPPQYVXLDQQH-UHFFFAOYSA-N -1 1 301.368 1.773 20 0 DDADMM O=C(CNC(=O)C1CC1)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000532133611 303276194 /nfs/dbraw/zinc/27/61/94/303276194.db2.gz YZGREQCDTBJNIL-UHFFFAOYSA-N -1 1 320.299 1.242 20 0 DDADMM C[C@@H](C(=O)NCc1cccs1)N1CCC[C@H](CC(=O)[O-])C1 ZINC000532881395 303300662 /nfs/dbraw/zinc/30/06/62/303300662.db2.gz WECLZARTFPKRPD-NWDGAFQWSA-N -1 1 310.419 1.940 20 0 DDADMM O=C(CNC1(C(=O)[O-])CCCC1)Nc1nc2c(s1)CCCC2 ZINC000533202608 303313491 /nfs/dbraw/zinc/31/34/91/303313491.db2.gz OPQABJUWSPVRCT-UHFFFAOYSA-N -1 1 323.418 1.947 20 0 DDADMM COCc1ccc(CNC(=O)CNC2(C(=O)[O-])CCCC2)cc1 ZINC000533202521 303313525 /nfs/dbraw/zinc/31/35/25/303313525.db2.gz IBDJJVMYXDKVDI-UHFFFAOYSA-N -1 1 320.389 1.436 20 0 DDADMM O=C(N[C@@H]1C[C@@H]1C1CCCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000544678521 303475796 /nfs/dbraw/zinc/47/57/96/303475796.db2.gz AHLAGEHYOIDSEL-GHMZBOCLSA-N -1 1 301.350 1.116 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)s1 ZINC000563020267 303912091 /nfs/dbraw/zinc/91/20/91/303912091.db2.gz MYBNKWKLAZVPSO-UHFFFAOYSA-N -1 1 319.358 1.912 20 0 DDADMM CC(C)[C@H]1N(C(=O)CNC(=O)c2ncccc2[O-])CC12CCC2 ZINC000359195082 306952717 /nfs/dbraw/zinc/95/27/17/306952717.db2.gz RPYJNKGEDZDDHL-OAHLLOKOSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1cnc2c(c1)NC(=O)CO2 ZINC000365123164 307059683 /nfs/dbraw/zinc/05/96/83/307059683.db2.gz UMRNFAFFVFNEGA-UHFFFAOYSA-N -1 1 320.692 1.419 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@@]2(CCCOC2)O1 ZINC000366481309 307074831 /nfs/dbraw/zinc/07/48/31/307074831.db2.gz FNQXYJMIZYWJFA-BDJLRTHQSA-N -1 1 309.337 1.941 20 0 DDADMM C[C@@H](Oc1ccc(F)cc1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000367182559 307088158 /nfs/dbraw/zinc/08/81/58/307088158.db2.gz BPZNTINSOTUURP-MNOVXSKESA-N -1 1 319.340 1.512 20 0 DDADMM COC(=O)[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])c2ccccc21 ZINC000368615576 307106426 /nfs/dbraw/zinc/10/64/26/307106426.db2.gz UVQVTGDQQCJLGX-OLZOCXBDSA-N -1 1 312.325 1.919 20 0 DDADMM O=C(NCc1nnc2n1CCOC2)c1cc2ccccc2cc1[O-] ZINC000369002970 307114124 /nfs/dbraw/zinc/11/41/24/307114124.db2.gz UEXIALMXHVJPHQ-UHFFFAOYSA-N -1 1 324.340 1.597 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)[C@H]3Cc4cccc(F)c4O3)ccnc1-2 ZINC000374708416 307212214 /nfs/dbraw/zinc/21/22/14/307212214.db2.gz ZAOLMWZBAIVRGW-UAAGNOOKSA-N -1 1 312.304 1.423 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@]1(CO)Cc1ccccc1 ZINC000375812988 307232176 /nfs/dbraw/zinc/23/21/76/307232176.db2.gz JWEBRYBOFKUNTG-GOSISDBHSA-N -1 1 312.369 1.997 20 0 DDADMM Cc1ccc(C[C@H](C)C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000378508543 307290664 /nfs/dbraw/zinc/29/06/64/307290664.db2.gz QHIJURDTDOGDJP-JSGCOSHPSA-N -1 1 315.377 1.287 20 0 DDADMM Cn1[n-]c(CNC(C)(C)c2nc3ccccc3s2)nc1=O ZINC000528601959 307538226 /nfs/dbraw/zinc/53/82/26/307538226.db2.gz JUDGIUHWNPYMOX-UHFFFAOYSA-N -1 1 303.391 1.743 20 0 DDADMM COC(=O)c1cccc(CS(=O)(=O)[N-]CC(C)(F)F)c1 ZINC000555412666 307843660 /nfs/dbraw/zinc/84/36/60/307843660.db2.gz HMPCXPGOYVCMJL-UHFFFAOYSA-N -1 1 307.318 1.548 20 0 DDADMM Cc1ccc(CNCCN2C[C@H](C(=O)[O-])CC2=O)c(Cl)c1 ZINC000563211853 307962749 /nfs/dbraw/zinc/96/27/49/307962749.db2.gz PRFSVNHBYDGOAP-GFCCVEGCSA-N -1 1 310.781 1.671 20 0 DDADMM CO[C@@H]1COC[C@H]1[N-]S(=O)(=O)Cc1cc(Cl)ccc1F ZINC000567777560 308094977 /nfs/dbraw/zinc/09/49/77/308094977.db2.gz IOKQGLYNSVCRTP-VXGBXAGGSA-N -1 1 323.773 1.312 20 0 DDADMM Cc1nnc([C@@H](C)[N@H+]2C[C@@H](C(=O)[O-])[C@@H](c3ccccc3)C2)[nH]1 ZINC000570521982 308172581 /nfs/dbraw/zinc/17/25/81/308172581.db2.gz RYBGSFOWWQGGDC-LERXQTSPSA-N -1 1 300.362 1.974 20 0 DDADMM Cc1nnc([C@@H](C)N2C[C@@H](C(=O)[O-])[C@@H](c3ccccc3)C2)[nH]1 ZINC000570521982 308172583 /nfs/dbraw/zinc/17/25/83/308172583.db2.gz RYBGSFOWWQGGDC-LERXQTSPSA-N -1 1 300.362 1.974 20 0 DDADMM Cc1cc(C(=O)N2CCN(Cc3ncccc3[O-])CC2)c(C)o1 ZINC000572526003 308220248 /nfs/dbraw/zinc/22/02/48/308220248.db2.gz RNHZQIRMWDEPEO-UHFFFAOYSA-N -1 1 315.373 1.955 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)C1CN(CC(F)F)C1 ZINC000572962776 308229578 /nfs/dbraw/zinc/22/95/78/308229578.db2.gz LNSLUUCECHUNAH-UHFFFAOYSA-N -1 1 322.315 1.918 20 0 DDADMM O=C(c1cc2sccc2[nH]1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000576687857 308332049 /nfs/dbraw/zinc/33/20/49/308332049.db2.gz OKKIBZSVZYASFJ-MRVPVSSYSA-N -1 1 302.363 1.762 20 0 DDADMM COC(=O)c1cccc(NC(=O)CN(C)C[C@@H](C)C(=O)[O-])c1 ZINC000576880330 308346430 /nfs/dbraw/zinc/34/64/30/308346430.db2.gz UPVLLGIQZWQZRJ-SNVBAGLBSA-N -1 1 308.334 1.064 20 0 DDADMM CCOc1ccc(OCCN2C[C@@H](OC)C[C@H]2C(=O)[O-])cc1 ZINC000577521593 308395528 /nfs/dbraw/zinc/39/55/28/308395528.db2.gz JRKVJKBABZHMGF-GJZGRUSLSA-N -1 1 309.362 1.638 20 0 DDADMM O=C(N[C@@H]1CN2CCC1CC2)c1ccc2[n-]c(=S)oc2c1 ZINC001120687049 782093630 /nfs/dbraw/zinc/09/36/30/782093630.db2.gz HFDGQKAGVFOAII-GFCCVEGCSA-N -1 1 303.387 1.941 20 0 DDADMM CC1(C)[C@H](O)C[C@@H]1[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000583379012 332374154 /nfs/dbraw/zinc/37/41/54/332374154.db2.gz ZOOJOUXEJYYKBH-WDEREUQCSA-N -1 1 312.416 1.734 20 0 DDADMM Cc1nn(C(C)C)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000582495188 337100356 /nfs/dbraw/zinc/10/03/56/337100356.db2.gz AFCMOZZJJRRXKC-LLVKDONJSA-N -1 1 303.370 1.305 20 0 DDADMM O=S1CCN(c2ccc(=NCc3ccccc3F)[n-]n2)CC1 ZINC000582655926 337121490 /nfs/dbraw/zinc/12/14/90/337121490.db2.gz ZEJOVYGALUKREV-UHFFFAOYSA-N -1 1 320.393 1.218 20 0 DDADMM O=C(c1ccc2cncn2c1)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000582910039 337216403 /nfs/dbraw/zinc/21/64/03/337216403.db2.gz FWKCDKFFLPXJTH-UHFFFAOYSA-N -1 1 312.333 1.178 20 0 DDADMM CCN(CC)CCS(=O)(=O)NCc1ccc(C(=O)[O-])s1 ZINC000583022791 337226227 /nfs/dbraw/zinc/22/62/27/337226227.db2.gz CHVASGGGOADDAH-UHFFFAOYSA-N -1 1 320.436 1.208 20 0 DDADMM CCO[C@H]1C[C@](NCc2cn(C3CCC3)nn2)(C(=O)[O-])C1(C)C ZINC000656566355 484214243 /nfs/dbraw/zinc/21/42/43/484214243.db2.gz IUUKAHDAYRSHDY-BBRMVZONSA-N -1 1 322.409 1.751 20 0 DDADMM O=c1[nH]ccc2cc([N-]S(=O)(=O)C[C@H]3CCCCO3)ccc21 ZINC000656634171 484252308 /nfs/dbraw/zinc/25/23/08/484252308.db2.gz PUZOOVFVZFBABP-CYBMUJFWSA-N -1 1 322.386 1.839 20 0 DDADMM CC[C@@H]1CCN([C@@H]2CC(=O)N(c3cccc(C(=O)[O-])c3)C2=O)C1 ZINC000531264898 484298286 /nfs/dbraw/zinc/29/82/86/484298286.db2.gz VUTCXKFSZOGRTE-BXUZGUMPSA-N -1 1 316.357 1.749 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000663094534 484659571 /nfs/dbraw/zinc/65/95/71/484659571.db2.gz CDZSZPUMWSXJNY-PXAZEXFGSA-N -1 1 320.389 1.039 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cnn(-c3ccncc3)c2)cn1 ZINC000669840599 484663478 /nfs/dbraw/zinc/66/34/78/484663478.db2.gz XLMOYXABJNRDOK-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)[C@H](C(=O)[O-])C(C)(C)C)C[C@H](C)O1 ZINC000663110463 484675070 /nfs/dbraw/zinc/67/50/70/484675070.db2.gz SDRYJYROEGZSST-FRRDWIJNSA-N -1 1 314.426 1.349 20 0 DDADMM C[C@H]1CN(CCCNC(=O)C2(C(=O)[O-])CC=CC2)C[C@H](C)O1 ZINC000663110160 484675238 /nfs/dbraw/zinc/67/52/38/484675238.db2.gz PXFRGZLIWYXSON-STQMWFEESA-N -1 1 310.394 1.023 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000663111174 484676921 /nfs/dbraw/zinc/67/69/21/484676921.db2.gz SLDMODCFTMGQTI-OAHLLOKOSA-N -1 1 300.318 1.707 20 0 DDADMM O=C(NCCn1ccnn1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000669960021 484697899 /nfs/dbraw/zinc/69/78/99/484697899.db2.gz DVSKDQQWFLOGKN-UHFFFAOYSA-N -1 1 317.736 1.615 20 0 DDADMM O=C(Nc1ccccc1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670383594 484800779 /nfs/dbraw/zinc/80/07/79/484800779.db2.gz CDXNPHMFBWXMFM-JTQLQIEISA-N -1 1 316.317 1.120 20 0 DDADMM CN1CCC[C@@H](NC(=O)c2nn(-c3cccc(F)c3)cc2[O-])C1 ZINC000670784124 484899049 /nfs/dbraw/zinc/89/90/49/484899049.db2.gz CTROQMISLASJKU-GFCCVEGCSA-N -1 1 318.352 1.541 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCO[C@H]3C[C@H]31)c2=O ZINC000665527387 484909713 /nfs/dbraw/zinc/90/97/13/484909713.db2.gz NUWHHNYBNKSEFT-KGLIPLIRSA-N -1 1 300.314 1.150 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCO[C@@H]3C[C@@H]31)c2=O ZINC000665527389 484910006 /nfs/dbraw/zinc/91/00/06/484910006.db2.gz NUWHHNYBNKSEFT-UONOGXRCSA-N -1 1 300.314 1.150 20 0 DDADMM COC[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CCO1 ZINC000669170062 485405961 /nfs/dbraw/zinc/40/59/61/485405961.db2.gz ZMOPCCFDTUVWJA-LBPRGKRZSA-N -1 1 302.330 1.428 20 0 DDADMM Cc1cc(O)cc(=O)n1CCC(=O)Nc1c([O-])cccc1F ZINC000682163295 485509165 /nfs/dbraw/zinc/50/91/65/485509165.db2.gz HMWLIEBEVACVHJ-UHFFFAOYSA-N -1 1 306.293 1.736 20 0 DDADMM CCC[C@@H](O)CC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000678654897 485657031 /nfs/dbraw/zinc/65/70/31/485657031.db2.gz AETMWZVQWOBQRD-SNVBAGLBSA-N -1 1 318.370 1.687 20 0 DDADMM NC(=O)c1cc(NC(=O)c2ccc(C(F)(F)F)cc2[O-])n[nH]1 ZINC000678966096 485742795 /nfs/dbraw/zinc/74/27/95/485742795.db2.gz RXAJAWSVAZRLGU-UHFFFAOYSA-N -1 1 314.223 1.485 20 0 DDADMM CC(C)Cc1ccc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)cc1 ZINC000679204948 485806584 /nfs/dbraw/zinc/80/65/84/485806584.db2.gz XUPONVFKDZSRJX-UHFFFAOYSA-N -1 1 322.390 1.508 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](C)[C@H](F)C2)co1 ZINC000679248491 485821548 /nfs/dbraw/zinc/82/15/48/485821548.db2.gz COJLOANLJCSADN-WCBMZHEXSA-N -1 1 304.343 1.008 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCO1 ZINC000679545728 485915138 /nfs/dbraw/zinc/91/51/38/485915138.db2.gz PGRWTLWAKLNWOU-MJBXVCDLSA-N -1 1 315.377 1.611 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1coc(C2CCC2)n1 ZINC000683483075 486018716 /nfs/dbraw/zinc/01/87/16/486018716.db2.gz WKJWAPBLHDDVQY-UHFFFAOYSA-N -1 1 302.338 1.655 20 0 DDADMM COCCn1cc(C(=O)Nc2ccc(F)cc2[O-])ccc1=O ZINC000676056241 486100229 /nfs/dbraw/zinc/10/02/29/486100229.db2.gz WITJJMQOBLAZIF-UHFFFAOYSA-N -1 1 306.293 1.592 20 0 DDADMM C[C@@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccncc1 ZINC000676125014 486123028 /nfs/dbraw/zinc/12/30/28/486123028.db2.gz WKWWTWJEHKTVDX-BLLLJJGKSA-N -1 1 322.372 1.994 20 0 DDADMM CCN(CC)[C@H](C(=O)N1CCO[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000676216784 486148020 /nfs/dbraw/zinc/14/80/20/486148020.db2.gz WIGVGIUZRWTSCR-GJZGRUSLSA-N -1 1 320.389 1.382 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(O)c(C)cc2C)co1 ZINC000680825051 486272126 /nfs/dbraw/zinc/27/21/26/486272126.db2.gz ICSONDGBJXFOFW-UHFFFAOYSA-N -1 1 324.358 1.762 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2)c1[O-] ZINC000676631054 486273136 /nfs/dbraw/zinc/27/31/36/486273136.db2.gz LHCPAMWSYVXBMK-CQSZACIVSA-N -1 1 314.389 1.818 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2c(Cl)cccc2Cl)CCC1 ZINC000676642304 486277765 /nfs/dbraw/zinc/27/77/65/486277765.db2.gz CUQSWXGOPAVGKB-UHFFFAOYSA-N -1 1 323.201 1.680 20 0 DDADMM COCc1cncc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000680940039 486309501 /nfs/dbraw/zinc/30/95/01/486309501.db2.gz MNWMIYKPGXMXSD-AWEZNQCLSA-N -1 1 324.344 1.261 20 0 DDADMM NC(=O)COc1ccc(NC(=O)c2ccc([O-])c(F)c2)cc1 ZINC000681016722 486323572 /nfs/dbraw/zinc/32/35/72/486323572.db2.gz CDAPLBFKUFTXNQ-UHFFFAOYSA-N -1 1 304.277 1.648 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2ccc([O-])c(F)c2)cc1 ZINC000681017608 486323855 /nfs/dbraw/zinc/32/38/55/486323855.db2.gz ICQDFVSVRJGVHI-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM O=C(NCc1ccc(-c2nn[nH]n2)cc1)c1ccc([O-])c(F)c1 ZINC000681045254 486329906 /nfs/dbraw/zinc/32/99/06/486329906.db2.gz WIDLKPPQIBMSJG-UHFFFAOYSA-N -1 1 313.292 1.641 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCCN(CC(F)F)CC1 ZINC000681066989 486336574 /nfs/dbraw/zinc/33/65/74/486336574.db2.gz UURVVNSZVYTPCD-UHFFFAOYSA-N -1 1 302.296 1.944 20 0 DDADMM CC(C)n1cnc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000684998712 486483170 /nfs/dbraw/zinc/48/31/70/486483170.db2.gz JYZAGHKMIROHMZ-ZDUSSCGKSA-N -1 1 311.349 1.497 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCc2ccccc2C1 ZINC000685497730 486550079 /nfs/dbraw/zinc/55/00/79/486550079.db2.gz RXIWFUBSIJFLPG-LLVKDONJSA-N -1 1 321.402 1.649 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CC[C@@H](CO)CC2)sc1C ZINC000678069935 486622082 /nfs/dbraw/zinc/62/20/82/486622082.db2.gz AKDYXJHBNSDXJJ-PHIMTYICSA-N -1 1 304.437 1.589 20 0 DDADMM C[C@@H](O)[C@@H]1CN(C(=O)c2ccc3ccccc3c2[O-])CCO1 ZINC000332518629 533816295 /nfs/dbraw/zinc/81/62/95/533816295.db2.gz LBMNJJPEUQBSDM-ABAIWWIYSA-N -1 1 301.342 1.767 20 0 DDADMM O=C(NCCN1CCC1)c1cc(Br)c(F)cc1[O-] ZINC000450205141 534325161 /nfs/dbraw/zinc/32/51/61/534325161.db2.gz YERDOVQHSXCGGO-UHFFFAOYSA-N -1 1 317.158 1.729 20 0 DDADMM O=C(NCCS(=O)(=O)[N-]CC(F)F)OCc1ccccc1 ZINC000494487945 534393359 /nfs/dbraw/zinc/39/33/59/534393359.db2.gz WGEXKVWDZBWABH-UHFFFAOYSA-N -1 1 322.333 1.097 20 0 DDADMM O=C([O-])C1(NCc2ncc(C(F)(F)F)s2)CCOCC1 ZINC000417569906 534485929 /nfs/dbraw/zinc/48/59/29/534485929.db2.gz RDMHBHWSKOZVLG-UHFFFAOYSA-N -1 1 310.297 1.885 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CC[C@@H](C)C1 ZINC000444463633 534644445 /nfs/dbraw/zinc/64/44/45/534644445.db2.gz HCEQWTZRNPNJQP-SKDRFNHKSA-N -1 1 302.378 1.899 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC[C@H]1C[C@H]1C ZINC000299650204 534644936 /nfs/dbraw/zinc/64/49/36/534644936.db2.gz KKCXAPIHEWEGLS-BXKDBHETSA-N -1 1 302.378 1.757 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H](C)CCCCO ZINC000452503881 534643256 /nfs/dbraw/zinc/64/32/56/534643256.db2.gz QMYQWWUWAJJLFC-SNVBAGLBSA-N -1 1 320.393 1.262 20 0 DDADMM Cn1[n-]c(CN2CCC(Cc3cc(F)ccc3F)CC2)nc1=O ZINC000451958178 534665945 /nfs/dbraw/zinc/66/59/45/534665945.db2.gz GWAISRRGISIXRV-UHFFFAOYSA-N -1 1 322.359 1.841 20 0 DDADMM CC(C)(C)c1n[nH]cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000331844386 526849871 /nfs/dbraw/zinc/84/98/71/526849871.db2.gz PRSORDJYSIKTQE-VIFPVBQESA-N -1 1 319.365 1.816 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H]2CCCO[C@@H]2C(C)(C)C)[n-]n1 ZINC000413158758 528230921 /nfs/dbraw/zinc/23/09/21/528230921.db2.gz SEHGJTSJCPOMMM-DOMZBBRYSA-N -1 1 320.437 1.901 20 0 DDADMM CC(C)C[C@@H](C)OCCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000446307232 528275265 /nfs/dbraw/zinc/27/52/65/528275265.db2.gz GFBRYJVPYDISRS-GFCCVEGCSA-N -1 1 309.414 1.927 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H]1COc2ccccc2O1)c1nn[n-]n1 ZINC000294996166 528975072 /nfs/dbraw/zinc/97/50/72/528975072.db2.gz PPWXWRFAKKWPRF-WDEREUQCSA-N -1 1 317.349 1.387 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)C1CN(C(=O)C2CCCC2)C1)C(F)F ZINC000451627146 529191747 /nfs/dbraw/zinc/19/17/47/529191747.db2.gz WWXBWNVTVVGTLH-LLVKDONJSA-N -1 1 324.393 1.351 20 0 DDADMM CC[C@H]1CCCC[C@H]1CN=c1ccc(C(=O)NCCO)n[n-]1 ZINC000450616550 529208885 /nfs/dbraw/zinc/20/88/85/529208885.db2.gz BKPGXVZUORFDJS-STQMWFEESA-N -1 1 306.410 1.249 20 0 DDADMM CCS[C@@H]1CCCC[C@H]1NS(=O)(=O)c1c(C)o[n-]c1=N ZINC000330898215 529211575 /nfs/dbraw/zinc/21/15/75/529211575.db2.gz UVHWTDGEFGENFY-NXEZZACHSA-N -1 1 319.452 1.738 20 0 DDADMM CCS[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000330898215 529211576 /nfs/dbraw/zinc/21/15/76/529211576.db2.gz UVHWTDGEFGENFY-NXEZZACHSA-N -1 1 319.452 1.738 20 0 DDADMM CC(C)C[C@H](CNC(=O)N1CCN(CC2CC2)CC1)C(=O)[O-] ZINC000336854579 535308753 /nfs/dbraw/zinc/30/87/53/535308753.db2.gz PNWDMATVBIBRLI-CQSZACIVSA-N -1 1 311.426 1.471 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NC[C@@H]1CCCOC1 ZINC000738436892 598962656 /nfs/dbraw/zinc/96/26/56/598962656.db2.gz LESPJVWXWQREGR-JTQLQIEISA-N -1 1 311.349 1.648 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NC[C@@H]1CCCOC1 ZINC000738436892 598962658 /nfs/dbraw/zinc/96/26/58/598962658.db2.gz LESPJVWXWQREGR-JTQLQIEISA-N -1 1 311.349 1.648 20 0 DDADMM COC(=O)[C@H](C)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736892948 598964571 /nfs/dbraw/zinc/96/45/71/598964571.db2.gz QQTOTMJOOLRHKI-MRVPVSSYSA-N -1 1 313.321 1.031 20 0 DDADMM COC(=O)[C@H](C)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736892948 598964572 /nfs/dbraw/zinc/96/45/72/598964572.db2.gz QQTOTMJOOLRHKI-MRVPVSSYSA-N -1 1 313.321 1.031 20 0 DDADMM O=C(NCc1ccccc1Cl)c1ccc(-c2nnn[n-]2)nc1 ZINC000738115334 598979131 /nfs/dbraw/zinc/97/91/31/598979131.db2.gz BPCGTVXJPBCQHD-UHFFFAOYSA-N -1 1 314.736 1.845 20 0 DDADMM O=C(NCc1ccccc1Cl)c1ccc(-c2nn[n-]n2)nc1 ZINC000738115334 598979132 /nfs/dbraw/zinc/97/91/32/598979132.db2.gz BPCGTVXJPBCQHD-UHFFFAOYSA-N -1 1 314.736 1.845 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738146768 598986097 /nfs/dbraw/zinc/98/60/97/598986097.db2.gz ZWJMHGKXFYVVGB-UHFFFAOYSA-N -1 1 302.244 1.792 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738146768 598986098 /nfs/dbraw/zinc/98/60/98/598986098.db2.gz ZWJMHGKXFYVVGB-UHFFFAOYSA-N -1 1 302.244 1.792 20 0 DDADMM CN(C(=O)c1ccc(-c2nnn[n-]2)nc1)c1c(F)cccc1F ZINC000736742015 598996203 /nfs/dbraw/zinc/99/62/03/598996203.db2.gz KISZNKCEDXBPNM-UHFFFAOYSA-N -1 1 316.271 1.817 20 0 DDADMM CN(C(=O)c1ccc(-c2nn[n-]n2)nc1)c1c(F)cccc1F ZINC000736742015 598996205 /nfs/dbraw/zinc/99/62/05/598996205.db2.gz KISZNKCEDXBPNM-UHFFFAOYSA-N -1 1 316.271 1.817 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccccn1 ZINC000736431279 599002515 /nfs/dbraw/zinc/00/25/15/599002515.db2.gz FAAAMEFRBUVFLR-CYBMUJFWSA-N -1 1 323.360 1.928 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccccn1 ZINC000736431279 599002517 /nfs/dbraw/zinc/00/25/17/599002517.db2.gz FAAAMEFRBUVFLR-CYBMUJFWSA-N -1 1 323.360 1.928 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC[C@@H]1c1ccccn1 ZINC000738257684 599025798 /nfs/dbraw/zinc/02/57/98/599025798.db2.gz BZRRUPNAQHTSLC-CQSZACIVSA-N -1 1 321.344 1.634 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@@H]1c1ccccn1 ZINC000738257684 599025800 /nfs/dbraw/zinc/02/58/00/599025800.db2.gz BZRRUPNAQHTSLC-CQSZACIVSA-N -1 1 321.344 1.634 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)nc1)C1CCCCC1 ZINC000738220181 599142408 /nfs/dbraw/zinc/14/24/08/599142408.db2.gz PNIHJFDXOSOHIR-UHFFFAOYSA-N -1 1 315.333 1.568 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)nc1)C1CCCCC1 ZINC000738220181 599142409 /nfs/dbraw/zinc/14/24/09/599142409.db2.gz PNIHJFDXOSOHIR-UHFFFAOYSA-N -1 1 315.333 1.568 20 0 DDADMM C[C@@H]1[C@@H](C)CCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820710683 599348950 /nfs/dbraw/zinc/34/89/50/599348950.db2.gz RXEDDKJEAALXRM-VHSXEESVSA-N -1 1 322.394 1.071 20 0 DDADMM C[C@@H]1[C@@H](C)CCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820710683 599348958 /nfs/dbraw/zinc/34/89/58/599348958.db2.gz RXEDDKJEAALXRM-VHSXEESVSA-N -1 1 322.394 1.071 20 0 DDADMM Cc1ccccc1[C@H](C)CC(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000737419935 599184010 /nfs/dbraw/zinc/18/40/10/599184010.db2.gz GQPJWRXSXDESIO-SNVBAGLBSA-N -1 1 311.349 1.788 20 0 DDADMM CN(C)C(=O)c1ccc(CNCc2ccc(C(=O)[O-])cc2)[nH]1 ZINC000818374900 596931106 /nfs/dbraw/zinc/93/11/06/596931106.db2.gz UHFFAASJOYRLPU-UHFFFAOYSA-N -1 1 301.346 1.705 20 0 DDADMM Cc1nnc([C@H](C)Nc2c3ccccc3nnc2-c2nnn[n-]2)o1 ZINC000822510672 607304697 /nfs/dbraw/zinc/30/46/97/607304697.db2.gz UAXOHSZQZIRHGE-ZETCQYMHSA-N -1 1 323.320 1.674 20 0 DDADMM Cc1nnc([C@H](C)Nc2c3ccccc3nnc2-c2nn[n-]n2)o1 ZINC000822510672 607304698 /nfs/dbraw/zinc/30/46/98/607304698.db2.gz UAXOHSZQZIRHGE-ZETCQYMHSA-N -1 1 323.320 1.674 20 0 DDADMM Cc1cc(NC(=O)[C@@H](C)CN2CCOCC2)ccc1C(=O)[O-] ZINC000821008753 597735518 /nfs/dbraw/zinc/73/55/18/597735518.db2.gz LGUFVBKHEQLGJW-LBPRGKRZSA-N -1 1 306.362 1.600 20 0 DDADMM C[C@@H](NCC(=O)NCC(=O)[O-])c1cccc(OC(F)(F)F)c1 ZINC000820142602 597808787 /nfs/dbraw/zinc/80/87/87/597808787.db2.gz XRCPEHVASHHWMH-MRVPVSSYSA-N -1 1 320.267 1.437 20 0 DDADMM COc1cccc([C@H]2C[C@@H](C)CN2CC(=O)NCC(=O)[O-])c1 ZINC000820865340 597814369 /nfs/dbraw/zinc/81/43/69/597814369.db2.gz IOYJGPBRTMYILK-BXUZGUMPSA-N -1 1 306.362 1.279 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCOC[C@@H]2C2CC2)CCCCC1 ZINC000821388890 598077026 /nfs/dbraw/zinc/07/70/26/598077026.db2.gz XJSYLUBFQSMGDS-CYBMUJFWSA-N -1 1 310.394 1.001 20 0 DDADMM O=C([O-])C1CCC(NCc2n[nH]cc2Br)CC1 ZINC000718126248 598106920 /nfs/dbraw/zinc/10/69/20/598106920.db2.gz CXOSOERIJUIOFF-UHFFFAOYSA-N -1 1 302.172 1.905 20 0 DDADMM O=C([O-])c1cccc(CNCC(=O)NCCc2ccccc2)c1 ZINC000821388715 598203766 /nfs/dbraw/zinc/20/37/66/598203766.db2.gz AIDXUPVFBIGERD-UHFFFAOYSA-N -1 1 312.369 1.833 20 0 DDADMM O=c1ccc2ccc(Oc3cnc(-c4nnn[n-]4)cn3)cc2o1 ZINC000738379014 598384673 /nfs/dbraw/zinc/38/46/73/598384673.db2.gz FKDVVORASNMJPO-UHFFFAOYSA-N -1 1 308.257 1.555 20 0 DDADMM O=c1ccc2ccc(Oc3cnc(-c4nn[n-]n4)cn3)cc2o1 ZINC000738379014 598384675 /nfs/dbraw/zinc/38/46/75/598384675.db2.gz FKDVVORASNMJPO-UHFFFAOYSA-N -1 1 308.257 1.555 20 0 DDADMM c1cc(CNc2nccnc2-c2nnn[n-]2)c2c(c1)OCCO2 ZINC000738412740 598567400 /nfs/dbraw/zinc/56/74/00/598567400.db2.gz GWPBBSPGTWIPKY-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM c1cc(CNc2nccnc2-c2nn[n-]n2)c2c(c1)OCCO2 ZINC000738412740 598567402 /nfs/dbraw/zinc/56/74/02/598567402.db2.gz GWPBBSPGTWIPKY-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM CN(C)C(=O)C1(CNc2cccc(-c3nnn[n-]3)n2)CCCC1 ZINC000736748687 599439143 /nfs/dbraw/zinc/43/91/43/599439143.db2.gz WHDAGKAFKXBQAJ-UHFFFAOYSA-N -1 1 315.381 1.322 20 0 DDADMM CN(C)C(=O)C1(CNc2cccc(-c3nn[n-]n3)n2)CCCC1 ZINC000736748687 599439146 /nfs/dbraw/zinc/43/91/46/599439146.db2.gz WHDAGKAFKXBQAJ-UHFFFAOYSA-N -1 1 315.381 1.322 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@@H](Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC000736744844 599439504 /nfs/dbraw/zinc/43/95/04/599439504.db2.gz CSHOBGQBTSLCOS-WDEREUQCSA-N -1 1 315.381 1.321 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@@H](Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC000736744844 599439507 /nfs/dbraw/zinc/43/95/07/599439507.db2.gz CSHOBGQBTSLCOS-WDEREUQCSA-N -1 1 315.381 1.321 20 0 DDADMM COc1ccccc1[C@]1(C)C[C@H]1Nc1nccnc1-c1nnn[n-]1 ZINC000821883171 599582879 /nfs/dbraw/zinc/58/28/79/599582879.db2.gz RKEJTJBWQPJGLA-WBMJQRKESA-N -1 1 323.360 1.807 20 0 DDADMM COc1ccccc1[C@]1(C)C[C@H]1Nc1nccnc1-c1nn[n-]n1 ZINC000821883171 599582881 /nfs/dbraw/zinc/58/28/81/599582881.db2.gz RKEJTJBWQPJGLA-WBMJQRKESA-N -1 1 323.360 1.807 20 0 DDADMM O=C([O-])Cn1ccc(NC(=O)c2cc(-c3ccco3)n[nH]2)n1 ZINC000122836093 599741476 /nfs/dbraw/zinc/74/14/76/599741476.db2.gz FCARUOXYZSKGMR-UHFFFAOYSA-N -1 1 301.262 1.203 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)C=Cc1cccc(F)c1 ZINC000314114173 599777347 /nfs/dbraw/zinc/77/73/47/599777347.db2.gz NWRXPXABDHXXTJ-ZFDPJTLLSA-N -1 1 303.293 1.374 20 0 DDADMM C[C@H](CN(CC(=O)N(C)C1CC1)Cc1ccccc1)C(=O)[O-] ZINC000736577025 599887470 /nfs/dbraw/zinc/88/74/70/599887470.db2.gz XUVYJAFVAYJUAK-CYBMUJFWSA-N -1 1 304.390 1.830 20 0 DDADMM Cc1[nH]c(C(=O)CN2CCN(CC(C)C)CC2)c(C)c1C(=O)[O-] ZINC000738152217 600047559 /nfs/dbraw/zinc/04/75/59/600047559.db2.gz ARCRNWAPMKLWQX-UHFFFAOYSA-N -1 1 321.421 1.786 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN1CCCC[C@@H]1[C@H](C)O ZINC000738532852 600091970 /nfs/dbraw/zinc/09/19/70/600091970.db2.gz ODAPIIRQXDDJEV-SWLSCSKDSA-N -1 1 320.389 1.867 20 0 DDADMM O=C([O-])CN(C(=O)c1nnc2ccccc2c1O)c1ccccc1 ZINC000156382156 600197400 /nfs/dbraw/zinc/19/74/00/600197400.db2.gz LQIMUOAQYROAMS-UHFFFAOYSA-N -1 1 323.308 1.655 20 0 DDADMM Cc1cc(NS(=O)(=O)c2ccc3c(c2)COC3)c(C(=O)[O-])[nH]1 ZINC000738464026 600255761 /nfs/dbraw/zinc/25/57/61/600255761.db2.gz XAMMDPRYTCGATL-UHFFFAOYSA-N -1 1 322.342 1.852 20 0 DDADMM Cc1cccc(CNC(=O)CN2CCC3(C[C@H]3C(=O)[O-])CC2)c1 ZINC000738707768 600303468 /nfs/dbraw/zinc/30/34/68/600303468.db2.gz FLJXOBXGNPRJHX-HNNXBMFYSA-N -1 1 316.401 1.798 20 0 DDADMM C[C@@H]1CO[C@@H](c2ccccc2)[C@@H](C)N1CCC(=O)NCC(=O)[O-] ZINC000736822577 600331537 /nfs/dbraw/zinc/33/15/37/600331537.db2.gz RPBZEONRSDYUMO-PBFPGSCMSA-N -1 1 320.389 1.428 20 0 DDADMM CN(Cc1cccs1)C(=O)CN1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000405473036 600332573 /nfs/dbraw/zinc/33/25/73/600332573.db2.gz GJWOWXAINAPOIW-CYBMUJFWSA-N -1 1 322.430 1.893 20 0 DDADMM CC(C)[C@H](NC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1)C(=O)[O-] ZINC000736384811 600441694 /nfs/dbraw/zinc/44/16/94/600441694.db2.gz IVKORKOCCBEMQZ-JTQLQIEISA-N -1 1 321.358 1.463 20 0 DDADMM COC(=O)CCN(Cc1ccc(C(=O)[O-])o1)C[C@H]1CCCO1 ZINC000737598738 600514541 /nfs/dbraw/zinc/51/45/41/600514541.db2.gz JXEGAFUOSRALOX-LLVKDONJSA-N -1 1 311.334 1.522 20 0 DDADMM CC[C@H](O)CN1CCN([C@@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000736925710 600557807 /nfs/dbraw/zinc/55/78/07/600557807.db2.gz CEWWHDXVAUNFDP-LSDHHAIUSA-N -1 1 310.369 1.340 20 0 DDADMM COC[C@@H]1CCN(CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC000737750867 600622924 /nfs/dbraw/zinc/62/29/24/600622924.db2.gz FYCDJSYGGPCIJC-GFCCVEGCSA-N -1 1 306.362 1.316 20 0 DDADMM CC(C)OCCCN(C)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000383444846 600716331 /nfs/dbraw/zinc/71/63/31/600716331.db2.gz KXBVZHNEPLTZEY-UHFFFAOYSA-N -1 1 300.399 1.057 20 0 DDADMM NC(=O)c1cccc(CN[C@@H](C(=O)[O-])c2ccccc2F)c1 ZINC000833086328 600737604 /nfs/dbraw/zinc/73/76/04/600737604.db2.gz NCBNFJRYFTXIRM-CQSZACIVSA-N -1 1 302.305 1.840 20 0 DDADMM CC(=O)c1ccccc1NS(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000825964037 600808524 /nfs/dbraw/zinc/80/85/24/600808524.db2.gz MNCRBXRQMMHGAG-UHFFFAOYSA-N -1 1 322.342 1.727 20 0 DDADMM Cc1nnc(SCC(=O)NC[C@@H](CC(C)C)C(=O)[O-])[nH]1 ZINC000832990436 600969954 /nfs/dbraw/zinc/96/99/54/600969954.db2.gz BJIOVZGHEGAHRH-SECBINFHSA-N -1 1 300.384 1.068 20 0 DDADMM Cc1n[nH]c(SCC(=O)NC[C@@H](CC(C)C)C(=O)[O-])n1 ZINC000832990436 600969957 /nfs/dbraw/zinc/96/99/57/600969957.db2.gz BJIOVZGHEGAHRH-SECBINFHSA-N -1 1 300.384 1.068 20 0 DDADMM CC[C@@H](O)[C@H]1CCCCN1CC(=O)N[C@H](C(=O)[O-])[C@@H](C)CC ZINC000736878134 600977934 /nfs/dbraw/zinc/97/79/34/600977934.db2.gz LSNSPUGIVCKNNA-JLNYLFASSA-N -1 1 314.426 1.227 20 0 DDADMM CCOCCN1CCN([C@H](C(=O)[O-])c2ccccc2F)C[C@H]1C ZINC000830011320 601000364 /nfs/dbraw/zinc/00/03/64/601000364.db2.gz DAHBKQYNVAPLOI-CJNGLKHVSA-N -1 1 324.396 1.994 20 0 DDADMM CCOC(=O)[C@H]1CCCCN1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000825499371 607484111 /nfs/dbraw/zinc/48/41/11/607484111.db2.gz LQWHMYPHSALJEK-CYBMUJFWSA-N -1 1 316.365 1.179 20 0 DDADMM COc1ccccc1CCNC(=O)CN[C@@](C)(C(=O)[O-])C1CC1 ZINC000832612775 601036101 /nfs/dbraw/zinc/03/61/01/601036101.db2.gz BLYDTFRGUODWON-QGZVFWFLSA-N -1 1 320.389 1.197 20 0 DDADMM C[C@@](NCC(=O)N1CCC(c2ccccc2)=N1)(C(=O)[O-])C1CC1 ZINC000827727690 601038250 /nfs/dbraw/zinc/03/82/50/601038250.db2.gz LIQPZRHRPDISHN-KRWDZBQOSA-N -1 1 315.373 1.466 20 0 DDADMM O=C([O-])Cn1ccc(NC(=O)c2c[nH]nc2-c2ccncc2)n1 ZINC000833277138 601082577 /nfs/dbraw/zinc/08/25/77/601082577.db2.gz LKHABWJEFQUSLX-UHFFFAOYSA-N -1 1 312.289 1.005 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c(O)c1C ZINC000832910781 601122560 /nfs/dbraw/zinc/12/25/60/601122560.db2.gz AMHYHYKMTMXSMK-GFCCVEGCSA-N -1 1 320.389 1.630 20 0 DDADMM COc1ccc(NC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1 ZINC000832398656 601123459 /nfs/dbraw/zinc/12/34/59/601123459.db2.gz PMNARPYAUZHQKX-LBPRGKRZSA-N -1 1 321.377 1.708 20 0 DDADMM O=C([O-])c1cccc(C(=O)N2CCN(C3CCOCC3)CC2)c1 ZINC000821547186 601271944 /nfs/dbraw/zinc/27/19/44/601271944.db2.gz DKRMEYFQPYPBKQ-UHFFFAOYSA-N -1 1 318.373 1.322 20 0 DDADMM CCC[C@H](NC(=O)c1[nH]c(C)c(C(=O)[O-])c1C)c1nn[nH]n1 ZINC000829230103 601305047 /nfs/dbraw/zinc/30/50/47/601305047.db2.gz FPBIEUFYANZWJT-QMMMGPOBSA-N -1 1 306.326 1.114 20 0 DDADMM CC(C)n1cc(NC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cn1 ZINC000827374707 601314206 /nfs/dbraw/zinc/31/42/06/601314206.db2.gz KZNSHJYVNRMSGD-GFCCVEGCSA-N -1 1 323.397 1.477 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2ccc(F)c(C(=O)[O-])c2)cn1 ZINC000384216461 601330062 /nfs/dbraw/zinc/33/00/62/601330062.db2.gz QSDJVDAUYOJYRS-UHFFFAOYSA-N -1 1 311.294 1.423 20 0 DDADMM C[C@@H](Sc1ccccc1C(=O)NCc1c[nH]nn1)C(=O)[O-] ZINC000827917068 601390876 /nfs/dbraw/zinc/39/08/76/601390876.db2.gz YLTDUDBWLKXMDV-MRVPVSSYSA-N -1 1 306.347 1.300 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](C2CCCCC2)CN1CC(=O)N1CCOCC1 ZINC000833179691 601431678 /nfs/dbraw/zinc/43/16/78/601431678.db2.gz POBLXJQGLVEZCM-GJZGRUSLSA-N -1 1 324.421 1.201 20 0 DDADMM c1ccc([C@H]2COCCN2Cc2ccnc(-c3nn[n-]n3)c2)cc1 ZINC000826520288 607510129 /nfs/dbraw/zinc/51/01/29/607510129.db2.gz FGSCICUTDHGJEJ-MRXNPFEDSA-N -1 1 322.372 1.835 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CC[C@@](F)(C(=O)[O-])C2)o1 ZINC000829679994 601659232 /nfs/dbraw/zinc/65/92/32/601659232.db2.gz MSQJXEHYKISBCC-HNNXBMFYSA-N -1 1 312.341 1.760 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H](Cc2ccccc2)N(C)C)C[C@@H]1C(=O)[O-] ZINC000828317469 601698410 /nfs/dbraw/zinc/69/84/10/601698410.db2.gz GCFDQIRDJWGZKP-SNPRPXQTSA-N -1 1 304.390 1.338 20 0 DDADMM Cc1[nH]nc(C(=O)N2C[C@H](C)[C@H](C(=O)[O-])C2)c1Br ZINC000832989582 601717329 /nfs/dbraw/zinc/71/73/29/601717329.db2.gz CQPUDGHPGLUPNT-CAHLUQPWSA-N -1 1 316.155 1.273 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2nnn[n-]2)c1)CC1CCCCC1 ZINC000826486929 607531375 /nfs/dbraw/zinc/53/13/75/607531375.db2.gz XKBSITSJSIBIGF-UHFFFAOYSA-N -1 1 321.406 1.757 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2nn[n-]n2)c1)CC1CCCCC1 ZINC000826486929 607531377 /nfs/dbraw/zinc/53/13/77/607531377.db2.gz XKBSITSJSIBIGF-UHFFFAOYSA-N -1 1 321.406 1.757 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)CCCNC(=O)[O-])N2CCCC2)o1 ZINC000738554302 601888064 /nfs/dbraw/zinc/88/80/64/601888064.db2.gz LNTZYULYGBYZKC-CYBMUJFWSA-N -1 1 323.393 1.889 20 0 DDADMM CN(CCn1cncn1)[C@H](C(=O)[O-])c1cc(F)c(F)c(F)c1 ZINC000830800672 601906648 /nfs/dbraw/zinc/90/66/48/601906648.db2.gz SBITXTLQXLTRKY-LBPRGKRZSA-N -1 1 314.267 1.453 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)NCCNC(=O)[O-])N2CCCC2)o1 ZINC000740117678 602021206 /nfs/dbraw/zinc/02/12/06/602021206.db2.gz LJRXSNVDZGSQPX-GFCCVEGCSA-N -1 1 324.381 1.292 20 0 DDADMM CCCN1CCN(C(=O)[C@@H](NC(=O)[O-])c2ccccc2)CC1 ZINC000739365534 602300709 /nfs/dbraw/zinc/30/07/09/602300709.db2.gz SEEWUEKKBMJYKC-AWEZNQCLSA-N -1 1 305.378 1.550 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)NC[C@@H](c1cccs1)N(C)C ZINC000739315007 602339850 /nfs/dbraw/zinc/33/98/50/602339850.db2.gz PDUNCYUCUMKHSX-QWRGUYRKSA-N -1 1 313.423 1.903 20 0 DDADMM O=C([O-])N1CCC[C@H](CN2CCN(Cc3ccccn3)CC2)C1 ZINC000740511130 602451454 /nfs/dbraw/zinc/45/14/54/602451454.db2.gz JHZZLXQLMLMHDA-OAHLLOKOSA-N -1 1 318.421 1.589 20 0 DDADMM O=C([O-])N1CC[C@@H](NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000740401067 602532873 /nfs/dbraw/zinc/53/28/73/602532873.db2.gz RSIONIYSJOEPTF-SECBINFHSA-N -1 1 301.302 1.010 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CCC(N(C)C(=O)[O-])CC1 ZINC000737789539 602535145 /nfs/dbraw/zinc/53/51/45/602535145.db2.gz OSIBDMVAWIIBPZ-CYBMUJFWSA-N -1 1 311.426 1.852 20 0 DDADMM CN(C)C(=O)[C@@H](c1ccccc1)N1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000739593388 602536303 /nfs/dbraw/zinc/53/63/03/602536303.db2.gz DPZJTRNEZSPFBH-UKRRQHHQSA-N -1 1 319.405 1.748 20 0 DDADMM C[C@@H]1CN([C@@H](C(=O)N(C)C)c2ccccc2)CC[C@@H]1NC(=O)[O-] ZINC000739178464 602781634 /nfs/dbraw/zinc/78/16/34/602781634.db2.gz QPWPJHFQDJJDGI-VHDGCEQUSA-N -1 1 319.405 1.794 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc3n[nH]cc32)C[C@@H](C)N1C(=O)[O-] ZINC000739168449 602808126 /nfs/dbraw/zinc/80/81/26/602808126.db2.gz PNLOEXPQFQLPEN-NXEZZACHSA-N -1 1 302.334 1.776 20 0 DDADMM CC[C@@H](SC)C(=O)Nc1nn(C(C)(C)C)cc1-c1nnn[n-]1 ZINC000825159953 607587479 /nfs/dbraw/zinc/58/74/79/607587479.db2.gz VJUSOYHZVULYSY-SECBINFHSA-N -1 1 323.426 1.898 20 0 DDADMM CC[C@@H](SC)C(=O)Nc1nn(C(C)(C)C)cc1-c1nn[n-]n1 ZINC000825159953 607587481 /nfs/dbraw/zinc/58/74/81/607587481.db2.gz VJUSOYHZVULYSY-SECBINFHSA-N -1 1 323.426 1.898 20 0 DDADMM Cc1cc(CNC(=O)[C@H]2Cc3ccccc3CN2C(=O)[O-])n[nH]1 ZINC000740076932 602857535 /nfs/dbraw/zinc/85/75/35/602857535.db2.gz NZEDYYFUTZLVKG-CQSZACIVSA-N -1 1 314.345 1.439 20 0 DDADMM CC(C)(CC(=O)NC[C@@H](c1ccco1)N1CCCC1)NC(=O)[O-] ZINC000738730833 602936687 /nfs/dbraw/zinc/93/66/87/602936687.db2.gz KJJPWZLGXONHAV-LBPRGKRZSA-N -1 1 323.393 1.969 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@H]1NC(=O)Cc1[nH]nc2ccccc21 ZINC000740627167 602975900 /nfs/dbraw/zinc/97/59/00/602975900.db2.gz ZJXQSBFBBGWMMN-CMPLNLGQSA-N -1 1 316.361 1.658 20 0 DDADMM O=C([O-])N1CSC[C@@H]1C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000740475725 603033515 /nfs/dbraw/zinc/03/35/15/603033515.db2.gz NJUVAFQYJJSFMR-SNVBAGLBSA-N -1 1 319.346 1.259 20 0 DDADMM Cc1ccc(N2CC[C@@H](N3CC[C@H](CNC(=O)[O-])C3)C2=O)cc1 ZINC000740131617 603333946 /nfs/dbraw/zinc/33/39/46/603333946.db2.gz YAFRPVWWMLBAPP-UKRRQHHQSA-N -1 1 317.389 1.690 20 0 DDADMM O=C([O-])N1CCCN(CCc2nc(-c3ccccc3)no2)CC1 ZINC000738644612 603408168 /nfs/dbraw/zinc/40/81/68/603408168.db2.gz IQGQCADMAYJDRD-UHFFFAOYSA-N -1 1 316.361 1.965 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N1CC[C@H](CNC(=O)[O-])C1 ZINC000828047076 603524181 /nfs/dbraw/zinc/52/41/81/603524181.db2.gz YDCCFCYDUYMYOQ-SNVBAGLBSA-N -1 1 311.407 1.539 20 0 DDADMM O=C([O-])N1CCC[C@H]1[C@H]1CCCN(C(=O)Cc2ccn[nH]2)C1 ZINC000831562696 603543966 /nfs/dbraw/zinc/54/39/66/603543966.db2.gz SFHSGRUKKJHBCP-AAEUAGOBSA-N -1 1 306.366 1.333 20 0 DDADMM Cc1nnc(SCC(=O)Nc2cccc(CNC(=O)[O-])c2)[nH]1 ZINC000830676870 603575932 /nfs/dbraw/zinc/57/59/32/603575932.db2.gz BKYXGWSGODKJFR-UHFFFAOYSA-N -1 1 321.362 1.612 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2cccc(CNC(=O)[O-])c2)n1 ZINC000830676870 603575937 /nfs/dbraw/zinc/57/59/37/603575937.db2.gz BKYXGWSGODKJFR-UHFFFAOYSA-N -1 1 321.362 1.612 20 0 DDADMM C[C@H](CN1CCC[C@H](C)[C@H]1CNC(=O)[O-])CS(C)(=O)=O ZINC000824902685 603904599 /nfs/dbraw/zinc/90/45/99/603904599.db2.gz NDVMXRDGCYQOPH-GRYCIOLGSA-N -1 1 306.428 1.035 20 0 DDADMM COC(=O)c1ccc(CN2CC[C@H](CN(C)C(=O)[O-])C2)s1 ZINC000828772202 603907029 /nfs/dbraw/zinc/90/70/29/603907029.db2.gz LMMSKEXRZIBDRB-SNVBAGLBSA-N -1 1 312.391 1.966 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000824138011 603936302 /nfs/dbraw/zinc/93/63/02/603936302.db2.gz YTDOEOBDEWJIAK-GFCCVEGCSA-N -1 1 308.313 1.610 20 0 DDADMM C[C@H](NC(=O)CC1C[C@H]2CC[C@@H](C1)N2C(=O)[O-])c1nnc[nH]1 ZINC000825100992 603940850 /nfs/dbraw/zinc/94/08/50/603940850.db2.gz LMZWQBPKXXJXDE-OFLUOSHYSA-N -1 1 307.354 1.293 20 0 DDADMM O=C([O-])N1CCC[C@@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000831641856 603944591 /nfs/dbraw/zinc/94/45/91/603944591.db2.gz WPJVVKFFWFPELB-WDEREUQCSA-N -1 1 306.366 1.336 20 0 DDADMM CN(CC1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1)C(=O)[O-] ZINC000828244905 603945554 /nfs/dbraw/zinc/94/55/54/603945554.db2.gz ASMXDVTWQXHRRO-GFCCVEGCSA-N -1 1 320.393 1.678 20 0 DDADMM O=C([O-])N1CCC[C@@H](CCNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000831659083 603949037 /nfs/dbraw/zinc/94/90/37/603949037.db2.gz COOCOAFUZYSSBC-RYUDHWBXSA-N -1 1 320.393 1.726 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@H]2CC[C@H](NC(=O)[O-])C2)c1 ZINC000828046688 604066731 /nfs/dbraw/zinc/06/67/31/604066731.db2.gz RCPRJUYXUQMDMY-RYUDHWBXSA-N -1 1 306.366 1.518 20 0 DDADMM CN(CCNC(=O)C(C)(C)NC(=O)[O-])Cc1ccc(F)cc1 ZINC000828316742 604066890 /nfs/dbraw/zinc/06/68/90/604066890.db2.gz ULKYANVVTZENLD-UHFFFAOYSA-N -1 1 311.357 1.420 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)NCc2cccnc2)C1 ZINC000825264426 604160983 /nfs/dbraw/zinc/16/09/83/604160983.db2.gz KGOORXBEOFEXJW-GXTWGEPZSA-N -1 1 320.393 1.066 20 0 DDADMM CC(C)S(=O)(=O)CCCN1CCC[C@H]([C@@H](C)NC(=O)[O-])C1 ZINC000825266853 604161928 /nfs/dbraw/zinc/16/19/28/604161928.db2.gz WYWKPCRAGYXCHU-OLZOCXBDSA-N -1 1 320.455 1.568 20 0 DDADMM CC1(C)CN(C(=O)[O-])CCN1C[C@H](O)COCc1ccco1 ZINC000825728911 604171358 /nfs/dbraw/zinc/17/13/58/604171358.db2.gz GMTAIUVLAZPRFC-LBPRGKRZSA-N -1 1 312.366 1.231 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H](C)CN(C)C(=O)[O-])[nH]c2c1 ZINC000830432756 604203103 /nfs/dbraw/zinc/20/31/03/604203103.db2.gz KOCGUGFCEFAHTO-SNVBAGLBSA-N -1 1 304.350 1.733 20 0 DDADMM Cc1cc(CNC(=O)N2CCC[C@H]([C@H](C)NC(=O)[O-])C2)[nH]n1 ZINC000830042127 604263080 /nfs/dbraw/zinc/26/30/80/604263080.db2.gz XWKBGLDJQXBUPP-QWRGUYRKSA-N -1 1 309.370 1.296 20 0 DDADMM O=C([O-])NCCN1CCC[C@@H](c2cc(C(F)(F)F)n[nH]2)C1 ZINC000832641738 604369390 /nfs/dbraw/zinc/36/93/90/604369390.db2.gz HRTAJEBNNLBHFX-MRVPVSSYSA-N -1 1 306.288 1.876 20 0 DDADMM O=C([O-])N[C@@H]1CCN(C[C@H](O)COc2ccccc2Cl)C1 ZINC000740595254 604380177 /nfs/dbraw/zinc/38/01/77/604380177.db2.gz SROLIFJOKWHCQD-MNOVXSKESA-N -1 1 314.769 1.422 20 0 DDADMM O=C([O-])c1cc(CN2CCCN(c3nccs3)CC2)c[nH]1 ZINC000833780381 604610062 /nfs/dbraw/zinc/61/00/62/604610062.db2.gz WZNQCHABHPQGRD-UHFFFAOYSA-N -1 1 306.391 1.882 20 0 DDADMM C[C@H](C(=O)N1[C@H](C)CCC[C@H]1C)N1CCC(O)(C(=O)[O-])CC1 ZINC000833402356 604725429 /nfs/dbraw/zinc/72/54/29/604725429.db2.gz JYXDKWCALNMXQQ-JHJVBQTASA-N -1 1 312.410 1.076 20 0 DDADMM CN(CC(=O)NCc1ccc(C(=O)[O-])cc1)[C@H]1CCSC1 ZINC000820562638 604924792 /nfs/dbraw/zinc/92/47/92/604924792.db2.gz OMDDZUAKNCDWCD-ZDUSSCGKSA-N -1 1 308.403 1.438 20 0 DDADMM O=C([O-])CCCN(Cc1ccccc1)C(=O)CCc1nc[nH]n1 ZINC000833226679 604937007 /nfs/dbraw/zinc/93/70/07/604937007.db2.gz UCHWKBZBVLPNLX-UHFFFAOYSA-N -1 1 316.361 1.631 20 0 DDADMM COC(=O)c1ccc(CN[C@H](C(=O)[O-])c2cccnc2)cc1 ZINC000831385123 604986194 /nfs/dbraw/zinc/98/61/94/604986194.db2.gz MROJXUWYRYENQH-AWEZNQCLSA-N -1 1 300.314 1.784 20 0 DDADMM O=C([O-])[C@H]1CC12CC[NH+](CCC[N-]C(=O)C(F)(F)F)CC2 ZINC000833771424 605042543 /nfs/dbraw/zinc/04/25/43/605042543.db2.gz FEJRCHCTUBKQMZ-SECBINFHSA-N -1 1 308.300 1.242 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N(C)C[C@H](O)C1CC1 ZINC000833405937 605046129 /nfs/dbraw/zinc/04/61/29/605046129.db2.gz ZHSBRRPBPKCROS-ABAIWWIYSA-N -1 1 320.389 1.092 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN1CCC(CC)(CO)CC1)C(=O)[O-] ZINC000833639937 605052493 /nfs/dbraw/zinc/05/24/93/605052493.db2.gz SADVPBWQUPTXDW-GXTWGEPZSA-N -1 1 314.426 1.086 20 0 DDADMM CC(C)CNC(=O)C1CCN(Cc2ccc(C(=O)[O-])[nH]2)CC1 ZINC000833489199 605103487 /nfs/dbraw/zinc/10/34/87/605103487.db2.gz XQUIUUVRWCTGSU-UHFFFAOYSA-N -1 1 307.394 1.697 20 0 DDADMM Cc1noc(C)c1CCC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833757713 605106400 /nfs/dbraw/zinc/10/64/00/605106400.db2.gz PEXWKXNPMUEEBA-LLVKDONJSA-N -1 1 323.393 1.231 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C\c1cccs1 ZINC000833619489 605114296 /nfs/dbraw/zinc/11/42/96/605114296.db2.gz ICJFVGYDTGFIOC-RXNFCKPNSA-N -1 1 308.403 1.769 20 0 DDADMM O=C([O-])N1CCC(CNC(=O)N[C@H]2CCCc3cn[nH]c32)CC1 ZINC000831653193 605159766 /nfs/dbraw/zinc/15/97/66/605159766.db2.gz KKSYDZZVVQJIPL-LBPRGKRZSA-N -1 1 321.381 1.476 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)Nc2cc(C(=O)[O-])n(C)c2)C[C@H]1C ZINC000833656186 605162326 /nfs/dbraw/zinc/16/23/26/605162326.db2.gz IPCDMAVKLBSENO-GHMZBOCLSA-N -1 1 308.382 1.670 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1CC(=O)Nc1ccccc1F ZINC000833628162 605190205 /nfs/dbraw/zinc/19/02/05/605190205.db2.gz CFURFAKEPDWMFD-GFCCVEGCSA-N -1 1 323.368 1.245 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCN(CCC(=O)[O-])[C@H](C)C1 ZINC000833542189 605253166 /nfs/dbraw/zinc/25/31/66/605253166.db2.gz ZGNPNJBRRNSDPB-KGLIPLIRSA-N -1 1 320.389 1.461 20 0 DDADMM CC(C)N1CCN(C(=O)CN(C(=O)[O-])c2ccccc2)CC1 ZINC000824364021 605257929 /nfs/dbraw/zinc/25/79/29/605257929.db2.gz ZWWDXPFMYGQWCU-UHFFFAOYSA-N -1 1 305.378 1.724 20 0 DDADMM C[C@H]1CN(C(=O)/C=C/c2cccnc2)CCN1CCC(=O)[O-] ZINC000833620981 605276788 /nfs/dbraw/zinc/27/67/88/605276788.db2.gz YXUSGTFCXUTTEI-IHVVCDCBSA-N -1 1 303.362 1.102 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C/c2ccsc2)CCN1CCC(=O)[O-] ZINC000833617537 605278455 /nfs/dbraw/zinc/27/84/55/605278455.db2.gz DOPQDZOCGOPCKJ-QAVQXKDTSA-N -1 1 308.403 1.769 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NC[C@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000830036333 605301871 /nfs/dbraw/zinc/30/18/71/605301871.db2.gz MYDPHUKPBGJTKD-CMPLNLGQSA-N -1 1 323.397 1.338 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]2CN(Cn3cccnc3=S)C[C@H]21 ZINC000834186792 605330079 /nfs/dbraw/zinc/33/00/79/605330079.db2.gz XMOLPXWYOPFLIT-QJPTWQEYSA-N -1 1 308.407 1.938 20 0 DDADMM O=C([O-])NC1(CN[C@@H](C(=O)NC2CC2)c2ccccc2)CCC1 ZINC000834155668 605339303 /nfs/dbraw/zinc/33/93/03/605339303.db2.gz VLBRGJDTOJMQMM-CQSZACIVSA-N -1 1 317.389 1.786 20 0 DDADMM C[C@H]1CN(C(=O)[C@@H](F)Cc2ccccc2)CCN1CCC(=O)[O-] ZINC000833620790 605407989 /nfs/dbraw/zinc/40/79/89/605407989.db2.gz XTSYGSXQFLGDGG-ZFWWWQNUSA-N -1 1 322.380 1.575 20 0 DDADMM Cc1nnc(CN2C[C@@H]3CCC[C@H](NC(=O)[O-])[C@@H]3C2)n1C1CC1 ZINC000833922939 605430282 /nfs/dbraw/zinc/43/02/82/605430282.db2.gz BVXLHIUWYYIXOG-YUTCNCBUSA-N -1 1 319.409 1.790 20 0 DDADMM C[C@@H](NC(=O)NCCc1cccc(C(=O)[O-])c1)c1nnc[nH]1 ZINC000320206675 605509026 /nfs/dbraw/zinc/50/90/26/605509026.db2.gz PBIAKNAFHAMOGP-SECBINFHSA-N -1 1 303.322 1.106 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC000833471681 605557130 /nfs/dbraw/zinc/55/71/30/605557130.db2.gz PDAPTPSEAFQSMN-ZDUSSCGKSA-N -1 1 308.382 1.527 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCN(c3cncc(F)c3)CC2)C1 ZINC000834079667 605619500 /nfs/dbraw/zinc/61/95/00/605619500.db2.gz PWVKGWROTUNFTJ-LBPRGKRZSA-N -1 1 308.357 1.343 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@@H]2CC[N@H+]3CCCC[C@H]23)c(O)c1 ZINC000834258629 605643345 /nfs/dbraw/zinc/64/33/45/605643345.db2.gz ZZBTYIBZRAACKY-CHWSQXEVSA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@@H]2CC[N@@H+]3CCCC[C@H]23)c([O-])c1 ZINC000834258629 605643348 /nfs/dbraw/zinc/64/33/48/605643348.db2.gz ZZBTYIBZRAACKY-CHWSQXEVSA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@@H]2CC[N@H+]3CCCC[C@H]23)c([O-])c1 ZINC000834258629 605643351 /nfs/dbraw/zinc/64/33/51/605643351.db2.gz ZZBTYIBZRAACKY-CHWSQXEVSA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])N1CCC[C@H](NC(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000831985125 605667750 /nfs/dbraw/zinc/66/77/50/605667750.db2.gz HKHJORWRADYMME-LBPRGKRZSA-N -1 1 321.381 1.441 20 0 DDADMM C[C@@H](O)[C@H]1CCN(CC(=O)NCc2ccc(NC(=O)[O-])cc2)C1 ZINC000833531276 605699668 /nfs/dbraw/zinc/69/96/68/605699668.db2.gz ZGEOKEKDEVBVMW-YPMHNXCESA-N -1 1 321.377 1.095 20 0 DDADMM O=C([O-])NC1(CC(=O)Nc2ccccc2-c2nnc[nH]2)CCC1 ZINC000834155271 605710690 /nfs/dbraw/zinc/71/06/90/605710690.db2.gz UPFVUHVZSQPXRR-UHFFFAOYSA-N -1 1 315.333 1.991 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@H]1CCCN(C(=O)[O-])C1)c1ccccc1 ZINC000737068818 605710976 /nfs/dbraw/zinc/71/09/76/605710976.db2.gz JZYGDZMHGUQSEW-GJZGRUSLSA-N -1 1 319.405 1.938 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000825801900 605762505 /nfs/dbraw/zinc/76/25/05/605762505.db2.gz QRNBDQMYUFCYGR-OUAUKWLOSA-N -1 1 306.366 1.477 20 0 DDADMM CC(C)(C)[C@H](NC(=O)[O-])C(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000823839119 605773177 /nfs/dbraw/zinc/77/31/77/605773177.db2.gz MTPWHRCFIOHVBP-SNVBAGLBSA-N -1 1 309.370 1.193 20 0 DDADMM CCS(=O)(=O)CCN[C@H]1C[C@@H](NC(=O)[O-])c2ccccc21 ZINC000833863815 605796391 /nfs/dbraw/zinc/79/63/91/605796391.db2.gz RNIFNJQUMLFUDG-QWHCGFSZSA-N -1 1 312.391 1.464 20 0 DDADMM CN1CC[C@@H](NC(=O)c2cc(C(=O)[O-])no2)[C@H]1c1ccccc1 ZINC000833697650 605878842 /nfs/dbraw/zinc/87/88/42/605878842.db2.gz HXEUSDSCVSPXBO-BXUZGUMPSA-N -1 1 315.329 1.548 20 0 DDADMM O=C([O-])N[C@H]1CCN(C(=O)c2cc(-c3cccs3)[nH]n2)C1 ZINC000740586660 605953696 /nfs/dbraw/zinc/95/36/96/605953696.db2.gz NYZPBOGAZCQCIN-QMMMGPOBSA-N -1 1 306.347 1.620 20 0 DDADMM O=C([O-])N[C@@H](CNC(=O)Cc1[nH]nc2ccccc21)C1CC1 ZINC000834140004 605993236 /nfs/dbraw/zinc/99/32/36/605993236.db2.gz MXGDHYXPAQFKNF-ZDUSSCGKSA-N -1 1 302.334 1.268 20 0 DDADMM O=C([O-])N[C@@H](CC(F)F)C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000834131361 606066428 /nfs/dbraw/zinc/06/64/28/606066428.db2.gz UTRNNAYVGNYZBL-VIFPVBQESA-N -1 1 316.308 1.407 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820876018 606138843 /nfs/dbraw/zinc/13/88/43/606138843.db2.gz KHAAYXWJXWABGQ-JGVFFNPUSA-N -1 1 323.378 1.388 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820876018 606138845 /nfs/dbraw/zinc/13/88/45/606138845.db2.gz KHAAYXWJXWABGQ-JGVFFNPUSA-N -1 1 323.378 1.388 20 0 DDADMM CN1C(=O)C2(CCCC2)N[C@@H]1c1ccc(-c2nn[n-]n2)s1 ZINC000821619109 606393939 /nfs/dbraw/zinc/39/39/39/606393939.db2.gz JYTORQLPJOQUKC-NSHDSACASA-N -1 1 304.379 1.301 20 0 DDADMM CCOC(=O)CCCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821326413 606448489 /nfs/dbraw/zinc/44/84/89/606448489.db2.gz WUWUYFFFPCQFSQ-UHFFFAOYSA-N -1 1 310.745 1.670 20 0 DDADMM CCOC(=O)CCCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821326413 606448491 /nfs/dbraw/zinc/44/84/91/606448491.db2.gz WUWUYFFFPCQFSQ-UHFFFAOYSA-N -1 1 310.745 1.670 20 0 DDADMM C[C@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)Cn1ccnc1 ZINC000820281601 606456779 /nfs/dbraw/zinc/45/67/79/606456779.db2.gz WSNYWSZWEFWPAT-SECBINFHSA-N -1 1 318.772 1.860 20 0 DDADMM C[C@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)Cn1ccnc1 ZINC000820281601 606456781 /nfs/dbraw/zinc/45/67/81/606456781.db2.gz WSNYWSZWEFWPAT-SECBINFHSA-N -1 1 318.772 1.860 20 0 DDADMM C[C@@H](Cn1cncn1)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820308449 606462278 /nfs/dbraw/zinc/46/22/78/606462278.db2.gz VHIKOJXCMSLHOI-ZETCQYMHSA-N -1 1 305.733 1.007 20 0 DDADMM C[C@@H](Cn1cncn1)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820308449 606462280 /nfs/dbraw/zinc/46/22/80/606462280.db2.gz VHIKOJXCMSLHOI-ZETCQYMHSA-N -1 1 305.733 1.007 20 0 DDADMM CS[C@@H](CO)[C@H](C)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821950201 606468459 /nfs/dbraw/zinc/46/84/59/606468459.db2.gz LRKDHZQLHZIBCZ-XPUUQOCRSA-N -1 1 314.802 1.439 20 0 DDADMM CS[C@@H](CO)[C@H](C)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821950201 606468460 /nfs/dbraw/zinc/46/84/60/606468460.db2.gz LRKDHZQLHZIBCZ-XPUUQOCRSA-N -1 1 314.802 1.439 20 0 DDADMM CN(C[C@H]1CCOC1)c1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000821597927 606474342 /nfs/dbraw/zinc/47/43/42/606474342.db2.gz LJXCOKGAPQAAJG-LLVKDONJSA-N -1 1 310.361 1.888 20 0 DDADMM CN(C[C@H]1CCOC1)c1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821597927 606474345 /nfs/dbraw/zinc/47/43/45/606474345.db2.gz LJXCOKGAPQAAJG-LLVKDONJSA-N -1 1 310.361 1.888 20 0 DDADMM O=C(NC[C@@H]1Cc2ccccc21)c1ccc(-c2nnn[n-]2)s1 ZINC000823182165 606528251 /nfs/dbraw/zinc/52/82/51/606528251.db2.gz IPWOYMLZSMKRJV-JTQLQIEISA-N -1 1 311.370 1.998 20 0 DDADMM O=C(NC[C@@H]1Cc2ccccc21)c1ccc(-c2nn[n-]n2)s1 ZINC000823182165 606528253 /nfs/dbraw/zinc/52/82/53/606528253.db2.gz IPWOYMLZSMKRJV-JTQLQIEISA-N -1 1 311.370 1.998 20 0 DDADMM O=C(NCC1CCSCC1)c1ccc(-c2nnn[n-]2)s1 ZINC000823182894 606562334 /nfs/dbraw/zinc/56/23/34/606562334.db2.gz PQBJEQPMAHMRMH-UHFFFAOYSA-N -1 1 309.420 1.801 20 0 DDADMM O=C(NCC1CCSCC1)c1ccc(-c2nn[n-]n2)s1 ZINC000823182894 606562336 /nfs/dbraw/zinc/56/23/36/606562336.db2.gz PQBJEQPMAHMRMH-UHFFFAOYSA-N -1 1 309.420 1.801 20 0 DDADMM O=C(CC[C@@H]1CCOC1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822950389 606648317 /nfs/dbraw/zinc/64/83/17/606648317.db2.gz MCZJHLRQJKSPAO-SNVBAGLBSA-N -1 1 303.322 1.122 20 0 DDADMM O=C(CC[C@@H]1CCOC1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822950389 606648318 /nfs/dbraw/zinc/64/83/18/606648318.db2.gz MCZJHLRQJKSPAO-SNVBAGLBSA-N -1 1 303.322 1.122 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)[C@@]2(C)CCCO2)n1 ZINC000820577076 606684139 /nfs/dbraw/zinc/68/41/39/606684139.db2.gz IUMMVTKDXKPCFL-CQSZACIVSA-N -1 1 319.369 1.326 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)[C@@]2(C)CCCO2)n1 ZINC000820577076 606684140 /nfs/dbraw/zinc/68/41/40/606684140.db2.gz IUMMVTKDXKPCFL-CQSZACIVSA-N -1 1 319.369 1.326 20 0 DDADMM O=C(OC[C@H]1CC12CCOCC2)c1sccc1-c1nn[n-]n1 ZINC000823396291 606900120 /nfs/dbraw/zinc/90/01/20/606900120.db2.gz IECYSECKPRRMTN-SECBINFHSA-N -1 1 320.374 1.902 20 0 DDADMM Cc1cc(Cl)ccc1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000822201919 607239307 /nfs/dbraw/zinc/23/93/07/607239307.db2.gz BMTKXNXZEALKBU-UHFFFAOYSA-N -1 1 317.740 1.814 20 0 DDADMM Cc1cc(Cl)ccc1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000822201919 607239308 /nfs/dbraw/zinc/23/93/08/607239308.db2.gz BMTKXNXZEALKBU-UHFFFAOYSA-N -1 1 317.740 1.814 20 0 DDADMM FC(F)(F)COCCCNc1cccc(-c2nnn[n-]2)n1 ZINC000826367501 607896599 /nfs/dbraw/zinc/89/65/99/607896599.db2.gz AYNVIXKXNPWKFY-UHFFFAOYSA-N -1 1 302.260 1.643 20 0 DDADMM FC(F)(F)COCCCNc1cccc(-c2nn[n-]n2)n1 ZINC000826367501 607896600 /nfs/dbraw/zinc/89/66/00/607896600.db2.gz AYNVIXKXNPWKFY-UHFFFAOYSA-N -1 1 302.260 1.643 20 0 DDADMM OC[C@@H](Nc1cccc(-c2nnn[n-]2)n1)c1c(F)cccc1F ZINC000826508238 607900049 /nfs/dbraw/zinc/90/00/49/607900049.db2.gz JESZEHXZNJKHMQ-LLVKDONJSA-N -1 1 318.287 1.685 20 0 DDADMM OC[C@@H](Nc1cccc(-c2nn[n-]n2)n1)c1c(F)cccc1F ZINC000826508238 607900050 /nfs/dbraw/zinc/90/00/50/607900050.db2.gz JESZEHXZNJKHMQ-LLVKDONJSA-N -1 1 318.287 1.685 20 0 DDADMM C[C@@H](C[C@H](O)c1ccco1)Nc1cccc(-c2nnn[n-]2)n1 ZINC000824393521 607902080 /nfs/dbraw/zinc/90/20/80/607902080.db2.gz SKAWJSUEUSXGIX-ONGXEEELSA-N -1 1 300.322 1.779 20 0 DDADMM C[C@@H](C[C@H](O)c1ccco1)Nc1cccc(-c2nn[n-]n2)n1 ZINC000824393521 607902081 /nfs/dbraw/zinc/90/20/81/607902081.db2.gz SKAWJSUEUSXGIX-ONGXEEELSA-N -1 1 300.322 1.779 20 0 DDADMM O=C(c1ccc(O)cc1[O-])N1CCc2ccc(-c3nn[nH]n3)cc21 ZINC000826473473 607964622 /nfs/dbraw/zinc/96/46/22/607964622.db2.gz ZOBLOGUQSYSSAZ-UHFFFAOYSA-N -1 1 323.312 1.481 20 0 DDADMM O=Cc1cc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])cs1 ZINC000826483825 607999789 /nfs/dbraw/zinc/99/97/89/607999789.db2.gz BECZNXLDJSTCPY-UHFFFAOYSA-N -1 1 315.314 1.699 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)c1ccc2c(c1)CCCC2 ZINC000826425100 608013740 /nfs/dbraw/zinc/01/37/40/608013740.db2.gz PVSAPTBDLDBYMB-UHFFFAOYSA-N -1 1 308.345 1.825 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)c1ccc2c(c1)CCCC2 ZINC000826425100 608013741 /nfs/dbraw/zinc/01/37/41/608013741.db2.gz PVSAPTBDLDBYMB-UHFFFAOYSA-N -1 1 308.345 1.825 20 0 DDADMM CC[C@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)C[C@H]1O ZINC000825221106 608018086 /nfs/dbraw/zinc/01/80/86/608018086.db2.gz YATSZGIPAPVIFN-NTSWFWBYSA-N -1 1 300.775 1.184 20 0 DDADMM CC[C@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)C[C@H]1O ZINC000825221106 608018087 /nfs/dbraw/zinc/01/80/87/608018087.db2.gz YATSZGIPAPVIFN-NTSWFWBYSA-N -1 1 300.775 1.184 20 0 DDADMM OC[C@H]1CC[C@@H](Nc2snc(Cl)c2-c2nnn[n-]2)CC1 ZINC000826510435 608189717 /nfs/dbraw/zinc/18/97/17/608189717.db2.gz QQWXBYXARYUBHT-KNVOCYPGSA-N -1 1 314.802 1.940 20 0 DDADMM OC[C@H]1CC[C@@H](Nc2snc(Cl)c2-c2nn[n-]n2)CC1 ZINC000826510435 608189719 /nfs/dbraw/zinc/18/97/19/608189719.db2.gz QQWXBYXARYUBHT-KNVOCYPGSA-N -1 1 314.802 1.940 20 0 DDADMM CCN(CCC(=O)OC)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825419724 608190407 /nfs/dbraw/zinc/19/04/07/608190407.db2.gz UEPPJSHKBMFRDL-UHFFFAOYSA-N -1 1 316.774 1.366 20 0 DDADMM CCN(CCC(=O)OC)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825419724 608190408 /nfs/dbraw/zinc/19/04/08/608190408.db2.gz UEPPJSHKBMFRDL-UHFFFAOYSA-N -1 1 316.774 1.366 20 0 DDADMM Cc1cccnc1COC(=O)c1sccc1-c1nn[n-]n1 ZINC000826308432 608252949 /nfs/dbraw/zinc/25/29/49/608252949.db2.gz ZBTQRQGGSHEPAI-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM COc1ccc(Cl)cc1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826208420 608399827 /nfs/dbraw/zinc/39/98/27/608399827.db2.gz JMCYHUNXIWSWJA-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM COc1ccc(Cl)cc1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826208420 608399828 /nfs/dbraw/zinc/39/98/28/608399828.db2.gz JMCYHUNXIWSWJA-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM C[C@@H]1c2ccccc2OCCN1c1ccc(-c2nnn[n-]2)nn1 ZINC000825029060 608409732 /nfs/dbraw/zinc/40/97/32/608409732.db2.gz MFUROZANVRVHLJ-SNVBAGLBSA-N -1 1 309.333 1.617 20 0 DDADMM C[C@@H]1c2ccccc2OCCN1c1ccc(-c2nn[n-]n2)nn1 ZINC000825029060 608409736 /nfs/dbraw/zinc/40/97/36/608409736.db2.gz MFUROZANVRVHLJ-SNVBAGLBSA-N -1 1 309.333 1.617 20 0 DDADMM CC(C)(CNC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccncc1 ZINC000824158422 608589963 /nfs/dbraw/zinc/58/99/63/608589963.db2.gz WKXJLPAYIBVNEI-UHFFFAOYSA-N -1 1 323.360 1.364 20 0 DDADMM CC(C)(CNC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccncc1 ZINC000824158422 608589964 /nfs/dbraw/zinc/58/99/64/608589964.db2.gz WKXJLPAYIBVNEI-UHFFFAOYSA-N -1 1 323.360 1.364 20 0 DDADMM COc1cc(F)cc(CNc2nccnc2-c2nnn[n-]2)c1 ZINC000826180474 608799050 /nfs/dbraw/zinc/79/90/50/608799050.db2.gz JHHOVJAUYGFTGU-UHFFFAOYSA-N -1 1 301.285 1.417 20 0 DDADMM COc1cc(F)cc(CNc2nccnc2-c2nn[n-]n2)c1 ZINC000826180474 608799051 /nfs/dbraw/zinc/79/90/51/608799051.db2.gz JHHOVJAUYGFTGU-UHFFFAOYSA-N -1 1 301.285 1.417 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](Cn2ccnc2-c2nnn[n-]2)C1 ZINC000824103724 608893653 /nfs/dbraw/zinc/89/36/53/608893653.db2.gz IUKGJNMWUFCKNY-JTQLQIEISA-N -1 1 319.369 1.320 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](Cn2ccnc2-c2nn[n-]n2)C1 ZINC000824103724 608893654 /nfs/dbraw/zinc/89/36/54/608893654.db2.gz IUKGJNMWUFCKNY-JTQLQIEISA-N -1 1 319.369 1.320 20 0 DDADMM Cc1nn(-c2ccccc2)cc1Nc1nccnc1-c1nnn[n-]1 ZINC000826333103 609312382 /nfs/dbraw/zinc/31/23/82/609312382.db2.gz UIOSRKGQGACFPC-UHFFFAOYSA-N -1 1 319.332 1.894 20 0 DDADMM Cc1nn(-c2ccccc2)cc1Nc1nccnc1-c1nn[n-]n1 ZINC000826333103 609312383 /nfs/dbraw/zinc/31/23/83/609312383.db2.gz UIOSRKGQGACFPC-UHFFFAOYSA-N -1 1 319.332 1.894 20 0 DDADMM C[C@H](O)[C@H]1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000824686968 609567313 /nfs/dbraw/zinc/56/73/13/609567313.db2.gz DZRCTZBDSJICDJ-UWVGGRQHSA-N -1 1 311.349 1.017 20 0 DDADMM C[C@H](O)[C@H]1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000824686968 609567314 /nfs/dbraw/zinc/56/73/14/609567314.db2.gz DZRCTZBDSJICDJ-UWVGGRQHSA-N -1 1 311.349 1.017 20 0 DDADMM C[C@H](C[S@@](C)=O)Nc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000824447871 609596931 /nfs/dbraw/zinc/59/69/31/609596931.db2.gz CLKNICYZCWYTQV-PVBMHHQDSA-N -1 1 316.390 1.594 20 0 DDADMM C[C@H](C[S@@](C)=O)Nc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000824447871 609596930 /nfs/dbraw/zinc/59/69/30/609596930.db2.gz CLKNICYZCWYTQV-PVBMHHQDSA-N -1 1 316.390 1.594 20 0 DDADMM COC[C@](C)(O)C(=O)Nc1cccc([O-])c1Br ZINC000908709767 712839213 /nfs/dbraw/zinc/83/92/13/712839213.db2.gz SXHCGNPVCQDIIL-NSHDSACASA-N -1 1 304.140 1.491 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1cc(Cl)cc(C(F)(F)F)c1 ZINC000123086392 696728471 /nfs/dbraw/zinc/72/84/71/696728471.db2.gz HAQSLOLWYSCYPP-UHFFFAOYSA-N -1 1 321.642 1.555 20 0 DDADMM CCOC(=O)C[C@@H](OC(=O)c1ccc(C(=O)OC)[n-]1)C1CC1 ZINC000797014871 699990853 /nfs/dbraw/zinc/99/08/53/699990853.db2.gz SPZYKOWZZRJETB-GFCCVEGCSA-N -1 1 309.318 1.690 20 0 DDADMM O=C(CC1CCC1)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000973983627 695611949 /nfs/dbraw/zinc/61/19/49/695611949.db2.gz LUEIOUUBGKKZKG-TXEJJXNPSA-N -1 1 303.362 1.354 20 0 DDADMM CC1(C)CN(Cc2ccon2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000974481147 695678105 /nfs/dbraw/zinc/67/81/05/695678105.db2.gz ATCRHHXYIZEPLP-ZDUSSCGKSA-N -1 1 316.361 1.416 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@H]2CCCc3cn[nH]c32)[C@@H](C(=O)[O-])C1 ZINC000797725114 700021005 /nfs/dbraw/zinc/02/10/05/700021005.db2.gz QTXOCEJOIQODJL-ADEWGFFLSA-N -1 1 306.366 1.682 20 0 DDADMM CC(C)(C)C(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975219676 695816232 /nfs/dbraw/zinc/81/62/32/695816232.db2.gz KRMXVDMEINTVPK-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@@H](CC(=O)N1CCC(O)(c2nn[n-]n2)CC1)C1CCCCC1 ZINC000798148581 700044116 /nfs/dbraw/zinc/04/41/16/700044116.db2.gz IOVHMIYIBYJCSZ-LBPRGKRZSA-N -1 1 321.425 1.616 20 0 DDADMM COCCCCC(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000746910745 700044791 /nfs/dbraw/zinc/04/47/91/700044791.db2.gz VMJAAIFFRGPDAI-UHFFFAOYSA-N -1 1 323.345 1.312 20 0 DDADMM CCC(C)(C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976397790 695971035 /nfs/dbraw/zinc/97/10/35/695971035.db2.gz KXKZKPJGIIQZQT-PJXYFTJBSA-N -1 1 317.389 1.410 20 0 DDADMM CC(C)CN1C(=O)C(=CNc2ccc(O)cc2)C(=O)[N-]C1=S ZINC000028976282 696107876 /nfs/dbraw/zinc/10/78/76/696107876.db2.gz CERVZABJLYDFJF-GHXNOFRVSA-N -1 1 319.386 1.587 20 0 DDADMM Cc1oc(CO)cc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000029616165 696111867 /nfs/dbraw/zinc/11/18/67/696111867.db2.gz AZSPNYHGNWVOHU-UHFFFAOYSA-N -1 1 317.297 1.194 20 0 DDADMM Cc1cc(C)c(S(=O)(=O)NC[C@H](C)N(C)C)cc1C(=O)[O-] ZINC000038088104 696135561 /nfs/dbraw/zinc/13/55/61/696135561.db2.gz STRUAHFTFXGFLM-NSHDSACASA-N -1 1 314.407 1.230 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccccc1SC(F)(F)F ZINC000044927914 696169541 /nfs/dbraw/zinc/16/95/41/696169541.db2.gz FUDCDLKSZGOHCN-UHFFFAOYSA-N -1 1 303.269 1.742 20 0 DDADMM CC(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000046313974 696183650 /nfs/dbraw/zinc/18/36/50/696183650.db2.gz KHJBWTNSCIHBGJ-VIFPVBQESA-N -1 1 317.363 1.491 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)C1CC=CC1 ZINC000977493182 696187991 /nfs/dbraw/zinc/18/79/91/696187991.db2.gz WIZGAKCZWJSIFT-ZDUSSCGKSA-N -1 1 315.373 1.426 20 0 DDADMM O=C(O[C@@H]1CCC(=O)NC1=O)c1cc(F)cc(Cl)c1[O-] ZINC000798630403 700081996 /nfs/dbraw/zinc/08/19/96/700081996.db2.gz SRVMITKEWHLWSP-MRVPVSSYSA-N -1 1 301.657 1.147 20 0 DDADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)c1ccc[nH]1 ZINC000978575649 696415747 /nfs/dbraw/zinc/41/57/47/696415747.db2.gz FXRMRYGTTWVTMT-PHIMTYICSA-N -1 1 314.345 1.054 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@H]2C2CCCCC2)s1 ZINC000079642278 696462292 /nfs/dbraw/zinc/46/22/92/696462292.db2.gz CJYOROALIBALJR-NWDGAFQWSA-N -1 1 309.435 1.905 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@@H](c3ccccc3)C2)s1 ZINC000079810750 696463907 /nfs/dbraw/zinc/46/39/07/696463907.db2.gz ZYUQMRFESWNPDT-VXGBXAGGSA-N -1 1 303.387 1.349 20 0 DDADMM Cc1cc(C)cc(C[C@@H](C)NC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080661084 696536384 /nfs/dbraw/zinc/53/63/84/696536384.db2.gz FPMWPLOXNZCBAG-DGCLKSJQSA-N -1 1 319.430 1.697 20 0 DDADMM Cn1c(CNC(=O)CSCC(F)(F)F)n[n-]c1=S ZINC000090550936 696582662 /nfs/dbraw/zinc/58/26/62/696582662.db2.gz UGHJRTHJTBHMPA-UHFFFAOYSA-N -1 1 300.331 1.389 20 0 DDADMM O=C(CS(=O)(=O)c1ncn[n-]1)Nc1ccc2ccccc2c1 ZINC000086169810 696565734 /nfs/dbraw/zinc/56/57/34/696565734.db2.gz VEIBGFZGMIUPGH-UHFFFAOYSA-N -1 1 316.342 1.370 20 0 DDADMM O=C(CS(=O)(=O)c1nc[n-]n1)Nc1ccc2ccccc2c1 ZINC000086169810 696565736 /nfs/dbraw/zinc/56/57/36/696565736.db2.gz VEIBGFZGMIUPGH-UHFFFAOYSA-N -1 1 316.342 1.370 20 0 DDADMM CCc1noc(CC)c1CC(=O)NCCc1n[n-]c(=S)n1C ZINC000087301930 696567978 /nfs/dbraw/zinc/56/79/78/696567978.db2.gz UFZADEQLHMNOBK-UHFFFAOYSA-N -1 1 323.422 1.492 20 0 DDADMM Cc1cc(F)ccc1CCNC(=O)Cc1sc(N)nc1[O-] ZINC000087562514 696569412 /nfs/dbraw/zinc/56/94/12/696569412.db2.gz KGQNTZZEHKILJV-NSHDSACASA-N -1 1 309.366 1.140 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)c1cccc(Cl)c1)N(C)C ZINC000798812868 700098718 /nfs/dbraw/zinc/09/87/18/700098718.db2.gz LXAGLVOEOVTRQL-LBPRGKRZSA-N -1 1 318.826 1.731 20 0 DDADMM C[C@@H](C(=O)NN1CC(=O)[N-]C1=O)c1ccc(C(F)(F)F)cc1 ZINC000798894502 700104680 /nfs/dbraw/zinc/10/46/80/700104680.db2.gz IUFLHXJVBJRKPP-SSDOTTSWSA-N -1 1 315.251 1.392 20 0 DDADMM O=C(NCC[S@](=O)Cc1ccccc1)c1cncc([O-])c1 ZINC000116976216 696669613 /nfs/dbraw/zinc/66/96/13/696669613.db2.gz SPWUKHOZHIAQMD-OAQYLSRUSA-N -1 1 304.371 1.466 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NN1CCCCC1 ZINC000128730880 696781329 /nfs/dbraw/zinc/78/13/29/696781329.db2.gz ZGVQFYLOCFEBFA-UHFFFAOYSA-N -1 1 317.393 1.501 20 0 DDADMM C#Cc1cccc([N-]S(=O)(=O)c2ccc3c(c2)CC(=O)N3)c1 ZINC000142575212 696868892 /nfs/dbraw/zinc/86/88/92/696868892.db2.gz YUKHDTALGVJVDM-UHFFFAOYSA-N -1 1 312.350 1.963 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000981942241 696978585 /nfs/dbraw/zinc/97/85/85/696978585.db2.gz PRNHHFQDATZKHG-RVMXOQNASA-N -1 1 317.389 1.458 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C(=O)O1 ZINC000748862170 700139330 /nfs/dbraw/zinc/13/93/30/700139330.db2.gz NCVRTPLUXJXCPO-IYSWYEEDSA-N -1 1 309.265 1.086 20 0 DDADMM O=C(Cn1cc(Cl)cc([N+](=O)[O-])c1=O)c1ccc([O-])c(O)c1 ZINC000158717977 697303551 /nfs/dbraw/zinc/30/35/51/697303551.db2.gz SRWTWVJXGORGOI-UHFFFAOYSA-N -1 1 324.676 1.704 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCC2CCCC2)co1 ZINC000163608950 697333739 /nfs/dbraw/zinc/33/37/39/697333739.db2.gz QYUKTXUIASGQEQ-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)OCCO1 ZINC000179524004 697438599 /nfs/dbraw/zinc/43/85/99/697438599.db2.gz MVGPIBMGISQWQX-UHFFFAOYSA-N -1 1 311.281 1.145 20 0 DDADMM CC(C)OC(=O)CCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000183803461 697494158 /nfs/dbraw/zinc/49/41/58/697494158.db2.gz ZMPDIDYHEWKQRT-UHFFFAOYSA-N -1 1 323.802 1.084 20 0 DDADMM C/C=C/C[C@H](CO)[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000185272481 697515788 /nfs/dbraw/zinc/51/57/88/697515788.db2.gz RBODQMMXXVSDLL-GKQMSVHHSA-N -1 1 318.326 1.339 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C[C@H]1CCC[C@H]1C ZINC000186191739 697531118 /nfs/dbraw/zinc/53/11/18/697531118.db2.gz CYCLPCBRDYFECV-ZYHUDNBSSA-N -1 1 318.377 1.638 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CCCC(C)=O)cc1 ZINC000772369323 697625374 /nfs/dbraw/zinc/62/53/74/697625374.db2.gz SCWZYNFAZNXZHT-UHFFFAOYSA-N -1 1 321.329 1.254 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C=C1CCCCC1 ZINC000986032174 697647660 /nfs/dbraw/zinc/64/76/60/697647660.db2.gz DYGGPHTUTBARRP-DGCLKSJQSA-N -1 1 319.409 1.480 20 0 DDADMM O=C([N-]CCCSc1nccn2ccnc12)C(F)(F)F ZINC000193567983 697673018 /nfs/dbraw/zinc/67/30/18/697673018.db2.gz GDVOITLWULWCGA-UHFFFAOYSA-N -1 1 304.297 1.890 20 0 DDADMM C[C@H]1[C@@H](NCc2csnn2)CCN1C(=O)c1ncccc1[O-] ZINC000986168409 697715629 /nfs/dbraw/zinc/71/56/29/697715629.db2.gz HIPBIOPFHBJQNU-ONGXEEELSA-N -1 1 319.390 1.032 20 0 DDADMM O=C(c1n[nH]c2ccccc21)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773163155 697722072 /nfs/dbraw/zinc/72/20/72/697722072.db2.gz TUPIYZNCFMWXPX-SNVBAGLBSA-N -1 1 315.362 1.006 20 0 DDADMM O=C(c1cc(F)cc(F)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773164251 697722620 /nfs/dbraw/zinc/72/26/20/697722620.db2.gz UMJXZJCVFICYPG-SNVBAGLBSA-N -1 1 311.317 1.408 20 0 DDADMM CC(=O)c1csc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000773164426 697722677 /nfs/dbraw/zinc/72/26/77/697722677.db2.gz XHTVUODZXJMOTL-VIFPVBQESA-N -1 1 323.403 1.394 20 0 DDADMM O=C(C=Cc1cccnc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000773314382 697742427 /nfs/dbraw/zinc/74/24/27/697742427.db2.gz KHLXLISQURNUPG-FYJFLYSWSA-N -1 1 300.318 1.590 20 0 DDADMM Cc1cncc(C=CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000773314218 697742636 /nfs/dbraw/zinc/74/26/36/697742636.db2.gz HWWYFTJUIQGFMB-IHVVCDCBSA-N -1 1 314.345 1.898 20 0 DDADMM O=C(COC(=O)c1ccc([O-])cc1F)NC(C1CC1)C1CC1 ZINC000773572621 697783089 /nfs/dbraw/zinc/78/30/89/697783089.db2.gz JWRYKHZWQVJHLP-UHFFFAOYSA-N -1 1 307.321 1.993 20 0 DDADMM CCCS(=O)(=O)CCN1CCC[C@H](C(C)(C)C(=O)[O-])C1 ZINC000233262019 697790072 /nfs/dbraw/zinc/79/00/72/697790072.db2.gz FTQXZUBDZPRWJW-LBPRGKRZSA-N -1 1 305.440 1.634 20 0 DDADMM O=C([N-]CCCOC(=O)c1cccc2c1OCO2)C(F)(F)F ZINC000774929552 697942912 /nfs/dbraw/zinc/94/29/12/697942912.db2.gz FNXUTYARSNFDJT-UHFFFAOYSA-N -1 1 319.235 1.641 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1[nH]nc(C2CC2)c1C ZINC000775170865 697968696 /nfs/dbraw/zinc/96/86/96/697968696.db2.gz OTGDYQXGSWIQHK-UHFFFAOYSA-N -1 1 309.395 1.747 20 0 DDADMM CC[S@@](=O)CCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000776475297 698109317 /nfs/dbraw/zinc/10/93/17/698109317.db2.gz FXWXCNYZDXOOJG-HXUWFJFHSA-N -1 1 309.309 1.909 20 0 DDADMM Cc1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cc(=O)[nH]1 ZINC000777521458 698197394 /nfs/dbraw/zinc/19/73/94/698197394.db2.gz CXKXSPFMDGBBSV-ZDUSSCGKSA-N -1 1 310.317 1.128 20 0 DDADMM Cc1cnc(C(=O)NC[C@H]2COC3(CCCCC3)O2)c([O-])c1 ZINC000779050393 698392752 /nfs/dbraw/zinc/39/27/52/698392752.db2.gz OWUFVMWSALAQGY-LBPRGKRZSA-N -1 1 306.362 1.901 20 0 DDADMM O=C([O-])[C@]12CN(C(=O)c3cccc4c[nH]nc43)C[C@H]1COCC2 ZINC000262387103 698467315 /nfs/dbraw/zinc/46/73/15/698467315.db2.gz MFMPPCZXUAZIDJ-MEDUHNTESA-N -1 1 315.329 1.126 20 0 DDADMM O=S(=O)(Cc1ccc(F)cc1F)[N-]C[C@H](O)C(F)(F)F ZINC000779895147 698477885 /nfs/dbraw/zinc/47/78/85/698477885.db2.gz IHLAVBAGMLRLOH-VIFPVBQESA-N -1 1 319.251 1.307 20 0 DDADMM O=C([O-])C[C@@]1(NC(=O)c2cc(F)cc3nc[nH]c32)CCOC1 ZINC000262974353 698486128 /nfs/dbraw/zinc/48/61/28/698486128.db2.gz MWBWYGIZMRAAPP-AWEZNQCLSA-N -1 1 307.281 1.066 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(C(=O)c2cc(C(C)C)[nH]n2)C1 ZINC000262985156 698486842 /nfs/dbraw/zinc/48/68/42/698486842.db2.gz URDYXHBVUUEGNC-HNNXBMFYSA-N -1 1 309.366 1.487 20 0 DDADMM Cc1ccc2nc(CNC(=O)N[C@](C)(C(=O)[O-])C3CC3)[nH]c2c1 ZINC000780618600 698538655 /nfs/dbraw/zinc/53/86/55/698538655.db2.gz CLCVIVQNWUDWOI-INIZCTEOSA-N -1 1 316.361 1.924 20 0 DDADMM CC(C)n1ncc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1N ZINC000783195871 698817880 /nfs/dbraw/zinc/81/78/80/698817880.db2.gz OKHRBDZISOPBOV-UHFFFAOYSA-N -1 1 322.287 1.272 20 0 DDADMM CN1CCCC[C@@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000783899903 698890710 /nfs/dbraw/zinc/89/07/10/698890710.db2.gz AMPYHTQSQLVWAW-MRVPVSSYSA-N -1 1 314.183 1.973 20 0 DDADMM O=C([N-]C1CN(C(=O)c2ccncc2C(F)(F)F)C1)C(F)F ZINC000990024288 698902909 /nfs/dbraw/zinc/90/29/09/698902909.db2.gz LZMRXMYMUMCAMB-UHFFFAOYSA-N -1 1 323.221 1.306 20 0 DDADMM Cc1cc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)ccc1F ZINC000386169600 699076507 /nfs/dbraw/zinc/07/65/07/699076507.db2.gz CWEBDXHNOSTTMP-ZDUSSCGKSA-N -1 1 308.353 1.547 20 0 DDADMM C[C@H](NS(=O)(=O)c1ccc(C(=O)[O-])cc1F)C(F)(F)F ZINC000389220625 699088161 /nfs/dbraw/zinc/08/81/61/699088161.db2.gz NCCVVCQQNHYFLS-YFKPBYRVSA-N -1 1 315.244 1.753 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2cnc(Cl)c(F)c2)s1 ZINC000392055893 699100223 /nfs/dbraw/zinc/10/02/23/699100223.db2.gz FAEAAWUVHXOBIE-UHFFFAOYSA-N -1 1 322.774 1.513 20 0 DDADMM CC(C)n1cc2c(n1)[C@H](Nc1nc3[nH][n-]cc-3c(=O)n1)CCC2 ZINC000785837854 699105448 /nfs/dbraw/zinc/10/54/48/699105448.db2.gz UTVYNFNYOFOZBR-LLVKDONJSA-N -1 1 313.365 1.699 20 0 DDADMM O=C(COC(=O)c1cc2occc2[nH]1)[N-]C(=O)c1ccccc1 ZINC000786029569 699118853 /nfs/dbraw/zinc/11/88/53/699118853.db2.gz GTGNWOVAKBIMAT-UHFFFAOYSA-N -1 1 312.281 1.874 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000786317572 699139788 /nfs/dbraw/zinc/13/97/88/699139788.db2.gz AEZCKAYDXYYCPT-ZETCQYMHSA-N -1 1 321.299 1.742 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)c2cc3c(cc2O)OCO3)s[n-]1 ZINC000436730422 699167416 /nfs/dbraw/zinc/16/74/16/699167416.db2.gz LHLCXAJAXWRSOH-LURJTMIESA-N -1 1 323.330 1.354 20 0 DDADMM CC(C)(C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C(F)F ZINC000990971787 699196372 /nfs/dbraw/zinc/19/63/72/699196372.db2.gz JEZIZMMZQDALLB-UHFFFAOYSA-N -1 1 313.304 1.019 20 0 DDADMM O=C(CN(Cc1ccccc1)Cc1ccccc1)[N-]OCCO ZINC000787286530 699202585 /nfs/dbraw/zinc/20/25/85/699202585.db2.gz IOEJIDZYIPUTOF-UHFFFAOYSA-N -1 1 314.385 1.729 20 0 DDADMM Cc1ccc(Br)cc1CN1CC[C@@](O)(C(=O)[O-])C1 ZINC000706462999 699243650 /nfs/dbraw/zinc/24/36/50/699243650.db2.gz DAGWYTASDMUQTI-ZDUSSCGKSA-N -1 1 314.179 1.779 20 0 DDADMM O=C(C=Cc1cccs1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000787902236 699252755 /nfs/dbraw/zinc/25/27/55/699252755.db2.gz LAIHTSSTFHKWCB-UMBAGQNISA-N -1 1 307.404 1.591 20 0 DDADMM C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000717109636 699286385 /nfs/dbraw/zinc/28/63/85/699286385.db2.gz MOOBMIMFIJUTDO-KATARQTJSA-N -1 1 303.815 1.644 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(CCCS(=O)(=O)C(C)(C)C)C1 ZINC000718735121 699294919 /nfs/dbraw/zinc/29/49/19/699294919.db2.gz OLGWUXDSXROHNT-VXGBXAGGSA-N -1 1 305.440 1.632 20 0 DDADMM C[C@H](COCc1ccccc1)CN1CCN(C(=O)C(=O)[O-])CC1 ZINC000719408982 699301997 /nfs/dbraw/zinc/30/19/97/699301997.db2.gz VTIJPTINBNUPQA-AWEZNQCLSA-N -1 1 320.389 1.068 20 0 DDADMM CNC(=O)c1cc(OC)ccc1NC(=O)c1ccc(O)cc1[O-] ZINC000726513751 699365531 /nfs/dbraw/zinc/36/55/31/699365531.db2.gz ISIHVEXWHZTEKG-UHFFFAOYSA-N -1 1 316.313 1.718 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NC12CC3CC(CC(C3)C1)C2 ZINC000726865942 699386323 /nfs/dbraw/zinc/38/63/23/699386323.db2.gz SFFDIKPNXZGKGN-UHFFFAOYSA-N -1 1 317.389 1.012 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1c[nH]nc1C1CC1 ZINC000789901369 699418393 /nfs/dbraw/zinc/41/83/93/699418393.db2.gz IOUKXAKAPURSMN-ZDUSSCGKSA-N -1 1 309.333 1.320 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cscn2)o1 ZINC000728302035 699443577 /nfs/dbraw/zinc/44/35/77/699443577.db2.gz OPMGKDLNCWRDFU-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM CSCCNC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000867997178 701880535 /nfs/dbraw/zinc/88/05/35/701880535.db2.gz SDIXJOJHPLMLBM-JTQLQIEISA-N -1 1 313.345 1.202 20 0 DDADMM CC(C)Oc1ccccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000729260230 699475176 /nfs/dbraw/zinc/47/51/76/699475176.db2.gz CPKGKPUDRRUBKN-UHFFFAOYSA-N -1 1 317.345 1.353 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCC(=O)C1CC1 ZINC000731724084 699535108 /nfs/dbraw/zinc/53/51/08/699535108.db2.gz PUWVEEVKMDJFBM-UHFFFAOYSA-N -1 1 303.318 1.117 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cnn(-c4ccccc4)c3)ccnc1-2 ZINC000791169400 699614156 /nfs/dbraw/zinc/61/41/56/699614156.db2.gz YNLDBDPOCRMJRN-UHFFFAOYSA-N -1 1 318.340 1.780 20 0 DDADMM Cc1c(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cnn1CC(C)C ZINC000791286545 699619829 /nfs/dbraw/zinc/61/98/29/699619829.db2.gz PDQMNZLXQDPTNQ-UHFFFAOYSA-N -1 1 312.377 1.755 20 0 DDADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)c1ccc2cc[nH]c2c1 ZINC000792577534 699703874 /nfs/dbraw/zinc/70/38/74/699703874.db2.gz DPJLJRQBLPYPKG-UHFFFAOYSA-N -1 1 312.329 1.071 20 0 DDADMM Cc1cc(C(=O)Cn2cnc(-c3nn[n-]n3)n2)cc(C)c1F ZINC000737226914 699737515 /nfs/dbraw/zinc/73/75/15/699737515.db2.gz FWJXKGKHSWXFOX-UHFFFAOYSA-N -1 1 301.285 1.097 20 0 DDADMM O=C(c1cc2ccccc2s1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000738255424 699755907 /nfs/dbraw/zinc/75/59/07/699755907.db2.gz SPNBQFYMYRVRJR-SNVBAGLBSA-N -1 1 315.358 1.628 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(CC(=O)N2CCC[C@@H](C)C2)CC1 ZINC000739102999 699770924 /nfs/dbraw/zinc/77/09/24/699770924.db2.gz JTLUHKAKVCHSRF-OLZOCXBDSA-N -1 1 311.426 1.613 20 0 DDADMM O=C([N-]Cc1nnc(C2CC2)o1)C(F)(F)c1nccs1 ZINC000793869765 699784314 /nfs/dbraw/zinc/78/43/14/699784314.db2.gz PDYCOKNVVHLGIR-UHFFFAOYSA-N -1 1 300.290 1.812 20 0 DDADMM COCC[C@@H](NC(=O)c1c([O-])cccc1Cl)C(=O)OC ZINC000794254043 699799223 /nfs/dbraw/zinc/79/92/23/699799223.db2.gz HGDHQRVTUGBPRD-SECBINFHSA-N -1 1 301.726 1.354 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](n2cccn2)C1 ZINC000794640472 699820895 /nfs/dbraw/zinc/82/08/95/699820895.db2.gz GMJZEUVWLDEIEL-LBPRGKRZSA-N -1 1 315.377 1.898 20 0 DDADMM CCOC1CC(CSc2nc([O-])cc(=O)n2CCOC)C1 ZINC000795199961 699851304 /nfs/dbraw/zinc/85/13/04/699851304.db2.gz HPTBNAMWZSNLCH-UHFFFAOYSA-N -1 1 314.407 1.503 20 0 DDADMM CN(C)c1n[nH]c([N-]S(=O)(=O)C2=Cc3ccccc3CC2)n1 ZINC000795606784 699878435 /nfs/dbraw/zinc/87/84/35/699878435.db2.gz WQDSJEUGFYDWIR-UHFFFAOYSA-N -1 1 319.390 1.600 20 0 DDADMM O=C([N-]c1nc(C2CC2)no1)c1cn(Cc2ccccn2)nn1 ZINC000743420217 699912063 /nfs/dbraw/zinc/91/20/63/699912063.db2.gz YCXDEJSERZNMGM-UHFFFAOYSA-N -1 1 311.305 1.234 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)[C@H]2Cc3ccccc32)[n-]1 ZINC000796343294 699927807 /nfs/dbraw/zinc/92/78/07/699927807.db2.gz BWESKXPAMFGQCV-LBPRGKRZSA-N -1 1 313.309 1.867 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCOC(=O)c2ccccc2)[n-]1 ZINC000796345996 699928472 /nfs/dbraw/zinc/92/84/72/699928472.db2.gz SBDKQXRICXCIHI-UHFFFAOYSA-N -1 1 317.297 1.815 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N2CCCCCC2)[n-]1 ZINC000796364941 699929481 /nfs/dbraw/zinc/92/94/81/699929481.db2.gz GZSMLUBNMTZCMQ-UHFFFAOYSA-N -1 1 308.334 1.361 20 0 DDADMM C[C@@H]1CCC[C@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1C ZINC000796458571 699934933 /nfs/dbraw/zinc/93/49/33/699934933.db2.gz VBDNVABQIYZHNP-JHJVBQTASA-N -1 1 307.394 1.115 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H]2CC(=O)N(C)C2)c1 ZINC000744696079 699958498 /nfs/dbraw/zinc/95/84/98/699958498.db2.gz VKZMVHPUALNWNQ-ZDUSSCGKSA-N -1 1 305.330 1.159 20 0 DDADMM CN(C)C(=O)COC(=O)c1nn(-c2cccc(Cl)c2)cc1[O-] ZINC000801359993 700300925 /nfs/dbraw/zinc/30/09/25/700300925.db2.gz QREGLOREOXSDQM-UHFFFAOYSA-N -1 1 323.736 1.476 20 0 DDADMM COC(=O)[C@@]1([N-]C(=O)C(F)(F)c2ccc(F)cc2)CCOC1 ZINC000755623628 700573721 /nfs/dbraw/zinc/57/37/21/700573721.db2.gz ARRPJTCNYSUQFS-CYBMUJFWSA-N -1 1 317.263 1.366 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC2CCSCC2)co1 ZINC000756567030 700629475 /nfs/dbraw/zinc/62/94/75/700629475.db2.gz OAHPNWBMPGXZNN-UHFFFAOYSA-N -1 1 319.404 1.488 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CC2(C)CC2)cc1 ZINC000758811330 700724073 /nfs/dbraw/zinc/72/40/73/700724073.db2.gz XPWUSSZCNQFANA-UHFFFAOYSA-N -1 1 305.330 1.685 20 0 DDADMM COc1ccc(-c2nc([N-]C(=O)c3cnco3)n[nH]2)cc1OC ZINC000759121840 700738293 /nfs/dbraw/zinc/73/82/93/700738293.db2.gz SJZRMXKZYINKNQ-UHFFFAOYSA-N -1 1 315.289 1.729 20 0 DDADMM Cn1cc(C(=O)[N-]O[C@H]2CCCCO2)c2ccccc2c1=O ZINC000760208301 700797644 /nfs/dbraw/zinc/79/76/44/700797644.db2.gz NOTGYJGPOYKMCI-AWEZNQCLSA-N -1 1 302.330 1.727 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCC3(C)OCCO3)cnc2n1 ZINC000760450467 700808733 /nfs/dbraw/zinc/80/87/33/700808733.db2.gz KISXVHLNCAZMDM-UHFFFAOYSA-N -1 1 317.345 1.527 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCC1(C)OCCO1)c2=O ZINC000760450467 700808735 /nfs/dbraw/zinc/80/87/35/700808735.db2.gz KISXVHLNCAZMDM-UHFFFAOYSA-N -1 1 317.345 1.527 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H]2CCNC(=O)C2)c1 ZINC000761217142 700850899 /nfs/dbraw/zinc/85/08/99/700850899.db2.gz WRZDMVLPNRZSOF-CYBMUJFWSA-N -1 1 305.330 1.207 20 0 DDADMM O=C1OCc2ccc(S(=O)(=O)[N-]c3cc(C4CC4)n[nH]3)cc21 ZINC000761842585 700870812 /nfs/dbraw/zinc/87/08/12/700870812.db2.gz VYGDNDCSEZXMBF-UHFFFAOYSA-N -1 1 319.342 1.758 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H](N)c1cccc(C(F)(F)F)c1)C(=O)[O-] ZINC000763084918 700924922 /nfs/dbraw/zinc/92/49/22/700924922.db2.gz QOYCOFFNTJEBDO-KWQFWETISA-N -1 1 318.295 1.884 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C3=NC[C@@H](C)S3)CC2)c([O-])c1 ZINC000763249536 700931428 /nfs/dbraw/zinc/93/14/28/700931428.db2.gz UISFPUJAWFJCOS-LLVKDONJSA-N -1 1 320.418 1.345 20 0 DDADMM Cc1cnc(C(=O)NCC[S@](=O)Cc2ccccc2)c([O-])c1 ZINC000763251471 700931724 /nfs/dbraw/zinc/93/17/24/700931724.db2.gz XIILLLUUZVRBBQ-QFIPXVFZSA-N -1 1 318.398 1.774 20 0 DDADMM O=C(COC(=O)C1Cc2ccccc2C1)[N-]C(=O)c1ccccc1 ZINC000763380670 700936174 /nfs/dbraw/zinc/93/61/74/700936174.db2.gz PFRLRTSOJHTNOM-UHFFFAOYSA-N -1 1 323.348 1.901 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-]C[C@H](OC)C(F)(F)F)s1 ZINC000763580569 700941251 /nfs/dbraw/zinc/94/12/51/700941251.db2.gz SFZPZAFLLPMEKN-LURJTMIESA-N -1 1 318.342 1.561 20 0 DDADMM Cn1[n-]c(COC(=O)COc2ccc(F)c(Cl)c2)nc1=O ZINC000765376510 701008652 /nfs/dbraw/zinc/00/86/52/701008652.db2.gz RPFQXYWOWFKYMS-UHFFFAOYSA-N -1 1 315.688 1.023 20 0 DDADMM Cn1[n-]c(COC(=O)/C=C\c2nc3ccccc3s2)nc1=O ZINC000765407160 701010007 /nfs/dbraw/zinc/01/00/07/701010007.db2.gz FCPYFDDZKMSVPZ-SREVYHEPSA-N -1 1 316.342 1.475 20 0 DDADMM Cc1c(Cl)cccc1OCC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765477320 701014860 /nfs/dbraw/zinc/01/48/60/701014860.db2.gz BDTOTVAGLYSPTM-UHFFFAOYSA-N -1 1 311.725 1.192 20 0 DDADMM CC[C@H](C)n1ncc(C(=O)OCc2nc(=O)n(C)[n-]2)c1C1CC1 ZINC000765498974 701016440 /nfs/dbraw/zinc/01/64/40/701016440.db2.gz YUDBDPJWRQXBII-VIFPVBQESA-N -1 1 319.365 1.510 20 0 DDADMM CCC(=O)N1CCCN(CCOc2ccccc2C(=O)[O-])CC1 ZINC000768775838 701201781 /nfs/dbraw/zinc/20/17/81/701201781.db2.gz HQOVAGCKIYIQAS-UHFFFAOYSA-N -1 1 320.389 1.708 20 0 DDADMM CCONC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000804869672 701217418 /nfs/dbraw/zinc/21/74/18/701217418.db2.gz ZHLYBQFDQASDDD-CIUDSAMLSA-N -1 1 311.304 1.425 20 0 DDADMM CO[C@@H](C)COC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000770676557 701289338 /nfs/dbraw/zinc/28/93/38/701289338.db2.gz DVOUNTCOMHJJJQ-QMMMGPOBSA-N -1 1 300.380 1.723 20 0 DDADMM CO[C@@H](C)COC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000770681900 701289793 /nfs/dbraw/zinc/28/97/93/701289793.db2.gz IFWVZMBDXOENBS-VIFPVBQESA-N -1 1 319.379 1.548 20 0 DDADMM CNC(=O)C1([N-]S(=O)(=O)c2nc(C)c(C)s2)CCCC1 ZINC000771942838 701337917 /nfs/dbraw/zinc/33/79/17/701337917.db2.gz HUUJYRGLBJJPRJ-UHFFFAOYSA-N -1 1 317.436 1.097 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)NC1(c2ccccc2)CCC1 ZINC000805604266 701398074 /nfs/dbraw/zinc/39/80/74/701398074.db2.gz BJBWEGZRMAYVNB-UHFFFAOYSA-N -1 1 300.318 1.157 20 0 DDADMM CC(C)[C@H](OC(=O)c1cn[n-]n1)C(=O)NC1CCCCCC1 ZINC000805607309 701399333 /nfs/dbraw/zinc/39/93/33/701399333.db2.gz JRBSFAMWGHUWIQ-ZDUSSCGKSA-N -1 1 308.382 1.825 20 0 DDADMM C[C@@H](CC(F)(F)F)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806483763 701437321 /nfs/dbraw/zinc/43/73/21/701437321.db2.gz PNBVYSHFRAQEHC-ZETCQYMHSA-N -1 1 301.272 1.804 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Nc3cnn(CC4CC4)c3)ccnc1-2 ZINC000806492726 701438164 /nfs/dbraw/zinc/43/81/64/701438164.db2.gz MKOKSPZAVWWQHY-UHFFFAOYSA-N -1 1 311.349 1.592 20 0 DDADMM CCOC(=O)c1cc(NC(=O)COCc2ccccn2)n[nH]1 ZINC000807195478 701469127 /nfs/dbraw/zinc/46/91/27/701469127.db2.gz GLCOUEANUXIDDL-UHFFFAOYSA-N -1 1 304.306 1.137 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1Cc2cccc(Cl)c2C1 ZINC000807717171 701484633 /nfs/dbraw/zinc/48/46/33/701484633.db2.gz JBMMAWPATHBXCX-UHFFFAOYSA-N -1 1 319.748 1.123 20 0 DDADMM CC=C(C=CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)CC ZINC000830960170 706611532 /nfs/dbraw/zinc/61/15/32/706611532.db2.gz XHEUAUBIZPUXTM-YOYJXUCXSA-N -1 1 303.362 1.784 20 0 DDADMM CCC(O)(CC)C(C)(C)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000867860346 701799227 /nfs/dbraw/zinc/79/92/27/701799227.db2.gz DVUNIFWLDPMJLY-UHFFFAOYSA-N -1 1 323.846 1.682 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1CCCn2nccc21 ZINC000867902396 701818155 /nfs/dbraw/zinc/81/81/55/701818155.db2.gz VJYHLNIOALZBDV-JTQLQIEISA-N -1 1 320.440 1.060 20 0 DDADMM CC[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H](O)C(C)C ZINC000839866879 701852386 /nfs/dbraw/zinc/85/23/86/701852386.db2.gz GYWRBAXNZLXLEE-PWSUYJOCSA-N -1 1 308.407 1.543 20 0 DDADMM CC(C)(C)CCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868048611 701912877 /nfs/dbraw/zinc/91/28/77/701912877.db2.gz CRWHSKXPBNFXTD-UHFFFAOYSA-N -1 1 308.382 1.490 20 0 DDADMM Cc1cnc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c(C)c1 ZINC000831134383 706641220 /nfs/dbraw/zinc/64/12/20/706641220.db2.gz LBZWUAQISNRAFJ-UHFFFAOYSA-N -1 1 315.295 1.449 20 0 DDADMM CCC/C(C)=C/C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000812362165 702169342 /nfs/dbraw/zinc/16/93/42/702169342.db2.gz SVVYZWCZQKEHBV-CSKARUKUSA-N -1 1 312.391 1.985 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000812405120 702176867 /nfs/dbraw/zinc/17/68/67/702176867.db2.gz QXJCGQKDVCTSFY-ZPMKCOPCSA-N -1 1 300.786 1.968 20 0 DDADMM O=C([N-]C1COCCOC1)C(F)(F)c1c(F)cccc1F ZINC000816920138 702219987 /nfs/dbraw/zinc/21/99/87/702219987.db2.gz IMJVOQZMQAXVHB-UHFFFAOYSA-N -1 1 307.243 1.588 20 0 DDADMM CC1(C)C[C@@H]1NC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868703272 702276164 /nfs/dbraw/zinc/27/61/64/702276164.db2.gz UEPXAJQNMKNIFP-QPUJVOFHSA-N -1 1 307.316 1.637 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@@H](CC)OC ZINC000817135097 702283844 /nfs/dbraw/zinc/28/38/44/702283844.db2.gz IKGXEPTUXFAMAT-NEPJUHHUSA-N -1 1 307.412 1.063 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H](c1ccccc1)C(F)F ZINC000817223683 702309111 /nfs/dbraw/zinc/30/91/11/702309111.db2.gz ZGHKVJYIZLYBHA-GFCCVEGCSA-N -1 1 323.299 1.049 20 0 DDADMM O=C([N-]C[C@H]1Cc2ccccc2N1CCCO)C(F)(F)F ZINC000817291814 702332709 /nfs/dbraw/zinc/33/27/09/702332709.db2.gz GBSHGFKCFOKPFD-LLVKDONJSA-N -1 1 302.296 1.479 20 0 DDADMM CC[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@H](CC)O1 ZINC000817315838 702338596 /nfs/dbraw/zinc/33/85/96/702338596.db2.gz HXLJKZVYKHTKOJ-TXEJJXNPSA-N -1 1 317.393 1.763 20 0 DDADMM CCC(CC)(CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)SC ZINC000841401195 702437905 /nfs/dbraw/zinc/43/79/05/702437905.db2.gz XAYLJEPOOOAHFT-UHFFFAOYSA-N -1 1 315.395 1.548 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC/C=C/Br)o1 ZINC000813936834 702445052 /nfs/dbraw/zinc/44/50/52/702445052.db2.gz MCYVMCQHZBGJHY-GORDUTHDSA-N -1 1 324.152 1.253 20 0 DDADMM CN(C[C@H]1CC1(C)C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869214340 702513558 /nfs/dbraw/zinc/51/35/58/702513558.db2.gz WAGZTUUHTSEATL-SNVBAGLBSA-N -1 1 320.393 1.442 20 0 DDADMM C[C@H]1[C@@H](C)N(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C[C@H]1C ZINC000869213669 702513870 /nfs/dbraw/zinc/51/38/70/702513870.db2.gz JVNCWBAAIAOCCZ-GMTAPVOTSA-N -1 1 320.393 1.441 20 0 DDADMM CO[C@@](C)([C@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CC1 ZINC000841705680 702544124 /nfs/dbraw/zinc/54/41/24/702544124.db2.gz PQDJYAIWSMTFQS-QFYYESIMSA-N -1 1 317.393 1.667 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@H]1CC=CCC1 ZINC000869323149 702568008 /nfs/dbraw/zinc/56/80/08/702568008.db2.gz NYZSKESYHFDYHY-VIFPVBQESA-N -1 1 307.268 1.524 20 0 DDADMM C/C(=C\C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C1CC1 ZINC000843017854 702804316 /nfs/dbraw/zinc/80/43/16/702804316.db2.gz CLLQDYAVICYLQP-PKNBQFBNSA-N -1 1 300.424 1.273 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CCN(C)c1ccccc1 ZINC000866434785 706698681 /nfs/dbraw/zinc/69/86/81/706698681.db2.gz XMVBSHALJQMQMP-LJQANCHMSA-N -1 1 319.452 1.075 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1c[nH]nc1Cl)C(F)(F)F ZINC000831403855 706701100 /nfs/dbraw/zinc/70/11/00/706701100.db2.gz HCCRJBBRODESAG-UHFFFAOYSA-N -1 1 305.709 1.930 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@H]1CCCc2occc21 ZINC000866465464 706705941 /nfs/dbraw/zinc/70/59/41/706705941.db2.gz RKWZARYUKILRIH-NSHDSACASA-N -1 1 318.420 1.753 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CC(C)(C)c1ccccc1 ZINC000866476294 706708978 /nfs/dbraw/zinc/70/89/78/706708978.db2.gz KPXZGRPEDMZMRM-IBGZPJMESA-N -1 1 318.464 1.916 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc2c(c1)CCCO2 ZINC000844144233 702977940 /nfs/dbraw/zinc/97/79/40/702977940.db2.gz JZOSVZSHPHSMFG-UHFFFAOYSA-N -1 1 321.373 1.944 20 0 DDADMM C[C@H](Cc1ccccn1)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000847177601 703384597 /nfs/dbraw/zinc/38/45/97/703384597.db2.gz IVXAUXMIXZMTNA-LLVKDONJSA-N -1 1 310.361 1.490 20 0 DDADMM COCOCCCNC(=O)c1ccc(Br)cc1[O-] ZINC000848167814 703519442 /nfs/dbraw/zinc/51/94/42/703519442.db2.gz CHYHNQYJTRVJDO-UHFFFAOYSA-N -1 1 318.167 1.895 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=S)NC1CCC1 ZINC000848653884 703572161 /nfs/dbraw/zinc/57/21/61/703572161.db2.gz OOGPCZTVHNOEPF-PSASIEDQSA-N -1 1 323.384 1.945 20 0 DDADMM O=C([O-])C12CC(C1)CN2CCS(=O)(=O)Cc1ccccc1 ZINC000849344478 703637059 /nfs/dbraw/zinc/63/70/59/703637059.db2.gz NHTDAILXDITRHU-UHFFFAOYSA-N -1 1 309.387 1.150 20 0 DDADMM O=C([O-])C1(CNC(=O)c2ccc(-c3nnc[nH]3)cc2)CCCC1 ZINC000851700404 703832608 /nfs/dbraw/zinc/83/26/08/703832608.db2.gz MUTZPUQFFHLABV-UHFFFAOYSA-N -1 1 314.345 1.847 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCC1(C(=O)[O-])CCC1 ZINC000851703090 703832947 /nfs/dbraw/zinc/83/29/47/703832947.db2.gz NIKGCHSTKQSWNM-QMMMGPOBSA-N -1 1 324.299 1.061 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)OCc1ncnn1CCF ZINC000869734636 703838870 /nfs/dbraw/zinc/83/88/70/703838870.db2.gz JHJRPMOYDMKIKR-UHFFFAOYSA-N -1 1 313.716 1.893 20 0 DDADMM CC(C)(C)NC(=O)CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879762740 706783771 /nfs/dbraw/zinc/78/37/71/706783771.db2.gz JBKMWAMAEGMPTP-LBPRGKRZSA-N -1 1 309.332 1.044 20 0 DDADMM CCCOC(=O)[C@@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764770 706785248 /nfs/dbraw/zinc/78/52/48/706785248.db2.gz IJTFUFKFMOEQIL-SKDRFNHKSA-N -1 1 310.316 1.471 20 0 DDADMM CC(C)=CCC[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000852733680 704109136 /nfs/dbraw/zinc/10/91/36/704109136.db2.gz WGRSNVUBAUOBOO-MNOVXSKESA-N -1 1 322.327 1.939 20 0 DDADMM CCCOC(=O)[C@H](C)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852757948 704117060 /nfs/dbraw/zinc/11/70/60/704117060.db2.gz RSNGZLYNZNTDMC-VWYCJHECSA-N -1 1 324.343 1.717 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1ccc(C)nc1 ZINC000866767522 706794165 /nfs/dbraw/zinc/79/41/65/706794165.db2.gz BLQFGFIQODUOOQ-UHFFFAOYSA-N -1 1 319.452 1.275 20 0 DDADMM CCOC(=O)[C@@H]1CN(C(=O)c2ncccc2[O-])CCC1(F)F ZINC000870919956 704168760 /nfs/dbraw/zinc/16/87/60/704168760.db2.gz MRWDSOXSXBCVPQ-VIFPVBQESA-N -1 1 314.288 1.448 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C2(C(N)=O)CCCC2)c(F)c1 ZINC000819874925 704179333 /nfs/dbraw/zinc/17/93/33/704179333.db2.gz BAYSGFRQJHGMED-UHFFFAOYSA-N -1 1 318.345 1.350 20 0 DDADMM Cc1oncc1CS(=O)(=O)c1nnc(Cc2cccs2)[n-]1 ZINC000853019237 704185008 /nfs/dbraw/zinc/18/50/08/704185008.db2.gz KRKNHUGIOAKUJS-UHFFFAOYSA-N -1 1 324.387 1.727 20 0 DDADMM Cc1oncc1CS(=O)(=O)c1n[n-]c(Cc2cccs2)n1 ZINC000853019237 704185011 /nfs/dbraw/zinc/18/50/11/704185011.db2.gz KRKNHUGIOAKUJS-UHFFFAOYSA-N -1 1 324.387 1.727 20 0 DDADMM CNc1ncc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)s1 ZINC000819970495 704194640 /nfs/dbraw/zinc/19/46/40/704194640.db2.gz LWMBUBOPSBNNME-ZETCQYMHSA-N -1 1 309.351 1.293 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCc2c1cccc2O ZINC000866841022 706821752 /nfs/dbraw/zinc/82/17/52/706821752.db2.gz RZETYNGCEQONPV-WLRWDXFRSA-N -1 1 318.420 1.332 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)CC1(OC)CCCC1 ZINC000866805177 706807433 /nfs/dbraw/zinc/80/74/33/706807433.db2.gz FUCWXAIKFVNQRW-UHFFFAOYSA-N -1 1 307.412 1.208 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCCc2cnoc2)c1 ZINC000821234644 704382563 /nfs/dbraw/zinc/38/25/63/704382563.db2.gz ALIOSNSDJWQNSI-QFIPXVFZSA-N -1 1 323.370 1.967 20 0 DDADMM CSC[C@H](C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866814136 706812124 /nfs/dbraw/zinc/81/21/24/706812124.db2.gz LSDYFQZIVIZGGA-YFKPBYRVSA-N -1 1 314.230 1.202 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCCCCO)c1 ZINC000821354280 704395830 /nfs/dbraw/zinc/39/58/30/704395830.db2.gz XWPJSXTXLJQTEW-FQEVSTJZSA-N -1 1 300.376 1.509 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccccn1)c1cc2[nH]cnc2cc1F ZINC000821624308 704428007 /nfs/dbraw/zinc/42/80/07/704428007.db2.gz FMUODXLXRDOCIH-UHFFFAOYSA-N -1 1 320.305 1.216 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)c1cc(=O)[nH]cc1Br ZINC000821740584 704437349 /nfs/dbraw/zinc/43/73/49/704437349.db2.gz DRHBRXSEGCHAPI-VIFPVBQESA-N -1 1 319.155 1.228 20 0 DDADMM C[C@@H]1COC2(CCC2)CN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000855519975 704490423 /nfs/dbraw/zinc/49/04/23/704490423.db2.gz AVNZVMIFVXDXPU-SNVBAGLBSA-N -1 1 318.402 1.802 20 0 DDADMM CC(C)(C)OC(=O)C1=NO[C@@H](C(=O)Nc2c([O-])cccc2F)C1 ZINC000855556143 704491489 /nfs/dbraw/zinc/49/14/89/704491489.db2.gz QATXWBBUCQVLQT-LLVKDONJSA-N -1 1 324.308 1.956 20 0 DDADMM CCn1cc(C[N-]C(=O)C(F)(F)c2c(F)cccc2F)nn1 ZINC000855613438 704493758 /nfs/dbraw/zinc/49/37/58/704493758.db2.gz YFIBDOXQFQIPLS-UHFFFAOYSA-N -1 1 316.258 1.984 20 0 DDADMM CC[C@@](C)(CO)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856415784 704527932 /nfs/dbraw/zinc/52/79/32/704527932.db2.gz QCGBTMZEGQTEFV-NRUUGDAUSA-N -1 1 324.343 1.311 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@]2(C)CCOC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856417190 704528061 /nfs/dbraw/zinc/52/80/61/704528061.db2.gz KIYOAUALNSLCLU-NRUUGDAUSA-N -1 1 322.327 1.329 20 0 DDADMM CCC[C@@H](O)CC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418182 704528219 /nfs/dbraw/zinc/52/82/19/704528219.db2.gz VGOPELHNNWMQAP-MXWKQRLJSA-N -1 1 324.343 1.453 20 0 DDADMM C[C@@H](CO)[C@@H](C)[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866856300 706827096 /nfs/dbraw/zinc/82/70/96/706827096.db2.gz XHIDJAQSQSOXGQ-NKWVEPMBSA-N -1 1 313.206 1.684 20 0 DDADMM CCOCCOCCCC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000857614687 704607707 /nfs/dbraw/zinc/60/77/07/704607707.db2.gz IONBKSJHADXZIH-UHFFFAOYSA-N -1 1 306.366 1.114 20 0 DDADMM CC(C)(C)OC(=O)CC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866863406 706829634 /nfs/dbraw/zinc/82/96/34/706829634.db2.gz MZDGISGASRNINS-UHFFFAOYSA-N -1 1 322.333 1.370 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H](O)CNc1cc(Cl)[n-]c(=O)n1 ZINC000858557019 704726627 /nfs/dbraw/zinc/72/66/27/704726627.db2.gz IHEVBBWRHWEZHX-SSDOTTSWSA-N -1 1 318.761 1.133 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CCCCF)c1Br ZINC000867288282 706949226 /nfs/dbraw/zinc/94/92/26/706949226.db2.gz QZAPOPQZYFTFAE-UHFFFAOYSA-N -1 1 314.180 1.211 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]Cc1nc(C2CC2)no1)C1CC1 ZINC000858911719 704771214 /nfs/dbraw/zinc/77/12/14/704771214.db2.gz NRFFVPAGCBDBMZ-LLVKDONJSA-N -1 1 315.395 1.182 20 0 DDADMM CCOC1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCCC1 ZINC000867309617 706955515 /nfs/dbraw/zinc/95/55/15/706955515.db2.gz XVGFKEHIBBAAAS-UHFFFAOYSA-N -1 1 320.361 1.987 20 0 DDADMM CC(C)NC(=O)C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000873831954 704864719 /nfs/dbraw/zinc/86/47/19/704864719.db2.gz YPMHZQUBGICHLN-UHFFFAOYSA-N -1 1 306.259 1.697 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)NC[C@H]3COCO3)c2)o1 ZINC000822568774 704976459 /nfs/dbraw/zinc/97/64/59/704976459.db2.gz IELKNHSDNRJNNN-LBPRGKRZSA-N -1 1 317.297 1.567 20 0 DDADMM CC[C@@H](C)CC[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867504221 707021531 /nfs/dbraw/zinc/02/15/31/707021531.db2.gz GEBOJMILHZZXLI-SSDOTTSWSA-N -1 1 310.217 1.887 20 0 DDADMM CCSC1(C[N-]S(=O)(=O)N=S(=O)(CC)CC)CC1 ZINC000867528745 707029667 /nfs/dbraw/zinc/02/96/67/707029667.db2.gz SUYVLPMCJGKRHI-UHFFFAOYSA-N -1 1 314.498 1.614 20 0 DDADMM CCC[C@H](NC(=O)c1cnn(C(C)C)c1Cl)c1nn[n-]n1 ZINC000859944837 705049248 /nfs/dbraw/zinc/04/92/48/705049248.db2.gz DWMVQSMXWDGRAS-VIFPVBQESA-N -1 1 311.777 1.902 20 0 DDADMM CCC[C@H](NC(=O)[C@@]12CC[C@@](C)(C(=O)O1)C2(C)C)c1nn[n-]n1 ZINC000859945498 705049279 /nfs/dbraw/zinc/04/92/79/705049279.db2.gz RDWVGSGJAJZMFJ-ULKVUYOBSA-N -1 1 321.381 1.279 20 0 DDADMM C[C@@H](CNCc1cn(CC(=O)[O-])nn1)Oc1ccccc1Cl ZINC000902323491 710716693 /nfs/dbraw/zinc/71/66/93/710716693.db2.gz FJRMFYPKKUUAFD-JTQLQIEISA-N -1 1 324.768 1.573 20 0 DDADMM O=C(C/C=C\Cc1ccccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000874443847 705067916 /nfs/dbraw/zinc/06/79/16/705067916.db2.gz DMPVRYCTJOVYPB-WSNITJDQSA-N -1 1 313.361 1.289 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@](C)(C(F)(F)F)C1 ZINC000874477575 705083436 /nfs/dbraw/zinc/08/34/36/705083436.db2.gz JFSODOQTURQCTO-LLVKDONJSA-N -1 1 321.255 1.311 20 0 DDADMM CCSC1(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000823057513 705126651 /nfs/dbraw/zinc/12/66/51/705126651.db2.gz DZSOMOQJLVMSGU-UHFFFAOYSA-N -1 1 305.407 1.749 20 0 DDADMM CCO[C@@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C(C)C ZINC000860371524 705167940 /nfs/dbraw/zinc/16/79/40/705167940.db2.gz QPSQANATNQSJJS-CQSZACIVSA-N -1 1 307.346 1.547 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCNC(=O)CC12CCC2 ZINC000874914835 705225872 /nfs/dbraw/zinc/22/58/72/705225872.db2.gz GVWQNFNSUIBSJZ-UHFFFAOYSA-N -1 1 308.765 1.930 20 0 DDADMM CCC(C)(C)OC1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000861025930 705352634 /nfs/dbraw/zinc/35/26/34/705352634.db2.gz VGSMQCBEUXIUGF-UHFFFAOYSA-N -1 1 317.393 1.763 20 0 DDADMM Cn1nnc2c1C[C@H](C(=O)NCc1ccc([O-])c(Cl)c1)CC2 ZINC000834821804 707130097 /nfs/dbraw/zinc/13/00/97/707130097.db2.gz HEPBTFONZFQQEL-SNVBAGLBSA-N -1 1 320.780 1.595 20 0 DDADMM CC(C)(C)c1nnc(Sc2cccc(-c3nnn[n-]3)n2)n1N ZINC000824141673 705383309 /nfs/dbraw/zinc/38/33/09/705383309.db2.gz MATREIMVMTZFNM-UHFFFAOYSA-N -1 1 317.382 1.016 20 0 DDADMM CC(C)(C)c1nnc(Sc2cccc(-c3nn[n-]n3)n2)n1N ZINC000824141673 705383311 /nfs/dbraw/zinc/38/33/11/705383311.db2.gz MATREIMVMTZFNM-UHFFFAOYSA-N -1 1 317.382 1.016 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)C1 ZINC000875543876 705424738 /nfs/dbraw/zinc/42/47/38/705424738.db2.gz QLPZYRPJBPVINW-BSTOTGJRSA-N -1 1 319.327 1.637 20 0 DDADMM CC(C)[C@]1(C)C[C@@H]1NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875660431 705466899 /nfs/dbraw/zinc/46/68/99/705466899.db2.gz KLZJOWNQZOHXMK-GWCFXTLKSA-N -1 1 321.343 1.741 20 0 DDADMM CC1(C)C[C@@H](Nc2snc(Cl)c2-c2nnn[n-]2)C(=O)O1 ZINC000824796371 705531128 /nfs/dbraw/zinc/53/11/28/705531128.db2.gz OXZFUSWTMZYHOO-SCSAIBSYSA-N -1 1 314.758 1.483 20 0 DDADMM CC1(C)C[C@@H](Nc2snc(Cl)c2-c2nn[n-]n2)C(=O)O1 ZINC000824796371 705531130 /nfs/dbraw/zinc/53/11/30/705531130.db2.gz OXZFUSWTMZYHOO-SCSAIBSYSA-N -1 1 314.758 1.483 20 0 DDADMM C[C@@H]1C[C@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCO1 ZINC000824868896 705546794 /nfs/dbraw/zinc/54/67/94/705546794.db2.gz XHRSUPHIQCZHIE-PSASIEDQSA-N -1 1 303.322 1.326 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@H]2CC=CCC2)n[n-]1 ZINC000824887422 705552248 /nfs/dbraw/zinc/55/22/48/705552248.db2.gz MVQPIUQUKXACEV-MNOVXSKESA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@H]2CC=CCC2)[n-]1 ZINC000824887422 705552252 /nfs/dbraw/zinc/55/22/52/705552252.db2.gz MVQPIUQUKXACEV-MNOVXSKESA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@H]2CC=CCC2)n1 ZINC000824887422 705552255 /nfs/dbraw/zinc/55/22/55/705552255.db2.gz MVQPIUQUKXACEV-MNOVXSKESA-N -1 1 306.366 1.905 20 0 DDADMM CCC1(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCCC1 ZINC000876300796 705683577 /nfs/dbraw/zinc/68/35/77/705683577.db2.gz IJRMRGICJFMYFA-UHFFFAOYSA-N -1 1 320.393 1.777 20 0 DDADMM CCN(CCNC(=O)OC(C)(C)C)C(=O)c1cncc([O-])c1 ZINC000862707904 705782240 /nfs/dbraw/zinc/78/22/40/705782240.db2.gz QOTKNOMZAZOART-UHFFFAOYSA-N -1 1 309.366 1.774 20 0 DDADMM Cc1onc(CC(=O)NC(C2CC2)C2CC2)c1-c1nnn[n-]1 ZINC000826346003 705793371 /nfs/dbraw/zinc/79/33/71/705793371.db2.gz ZZQQAWXDEFXQOQ-UHFFFAOYSA-N -1 1 302.338 1.010 20 0 DDADMM Cc1onc(CC(=O)NC(C2CC2)C2CC2)c1-c1nn[n-]n1 ZINC000826346003 705793373 /nfs/dbraw/zinc/79/33/73/705793373.db2.gz ZZQQAWXDEFXQOQ-UHFFFAOYSA-N -1 1 302.338 1.010 20 0 DDADMM Cc1onc(CC(=O)N(CC(C)C)CC(C)C)c1-c1nnn[n-]1 ZINC000826343978 705793646 /nfs/dbraw/zinc/79/36/46/705793646.db2.gz SZCICUBTJDJZGC-UHFFFAOYSA-N -1 1 320.397 1.846 20 0 DDADMM Cc1onc(CC(=O)N(CC(C)C)CC(C)C)c1-c1nn[n-]n1 ZINC000826343978 705793649 /nfs/dbraw/zinc/79/36/49/705793649.db2.gz SZCICUBTJDJZGC-UHFFFAOYSA-N -1 1 320.397 1.846 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H]3CCC[C@@H]32)c1-c1nnn[n-]1 ZINC000826344972 705793872 /nfs/dbraw/zinc/79/38/72/705793872.db2.gz YJWJPUPIIYLLOO-JQWIXIFHSA-N -1 1 316.365 1.497 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H]3CCC[C@@H]32)c1-c1nn[n-]n1 ZINC000826344972 705793876 /nfs/dbraw/zinc/79/38/76/705793876.db2.gz YJWJPUPIIYLLOO-JQWIXIFHSA-N -1 1 316.365 1.497 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H](C)[C@@H]2C)c1-c1nnn[n-]1 ZINC000826344759 705793881 /nfs/dbraw/zinc/79/38/81/705793881.db2.gz RIQCWRAQQJVRCE-BDAKNGLRSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H](C)[C@@H]2C)c1-c1nn[n-]n1 ZINC000826344759 705793884 /nfs/dbraw/zinc/79/38/84/705793884.db2.gz RIQCWRAQQJVRCE-BDAKNGLRSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)NCc2cccc(F)c2)c1-c1nnn[n-]1 ZINC000826347844 705794321 /nfs/dbraw/zinc/79/43/21/705794321.db2.gz IPQQNJDOSBLJRT-UHFFFAOYSA-N -1 1 316.296 1.161 20 0 DDADMM Cc1onc(CC(=O)NCc2cccc(F)c2)c1-c1nn[n-]n1 ZINC000826347844 705794324 /nfs/dbraw/zinc/79/43/24/705794324.db2.gz IPQQNJDOSBLJRT-UHFFFAOYSA-N -1 1 316.296 1.161 20 0 DDADMM O=C(N[C@H]1CO[C@H](C2CC2)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000863284802 705889862 /nfs/dbraw/zinc/88/98/62/705889862.db2.gz KAMFBTODKVKANE-MNOVXSKESA-N -1 1 304.375 1.315 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)c2ccns2)o1 ZINC000863603516 705966609 /nfs/dbraw/zinc/96/66/09/705966609.db2.gz GFTVDLMHXAWCDQ-ZETCQYMHSA-N -1 1 315.376 1.135 20 0 DDADMM Cc1ccc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)nn1 ZINC000827843221 706075525 /nfs/dbraw/zinc/07/55/25/706075525.db2.gz ATQVFEVTTJTPRN-SKDRFNHKSA-N -1 1 316.327 1.674 20 0 DDADMM CCCC[C@](C)(F)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000864159541 706084435 /nfs/dbraw/zinc/08/44/35/706084435.db2.gz WLKNERJLNNBNJR-HNNXBMFYSA-N -1 1 302.390 1.912 20 0 DDADMM CC(=O)N(C)CCc1nc(CC[N-]C(=O)C(F)(F)F)cs1 ZINC000864369107 706148104 /nfs/dbraw/zinc/14/81/04/706148104.db2.gz QWRDJXKHVBDXIR-UHFFFAOYSA-N -1 1 323.340 1.385 20 0 DDADMM CCCONC(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000828322520 706160540 /nfs/dbraw/zinc/16/05/40/706160540.db2.gz DIUIJAIANBNUFO-UHFFFAOYSA-N -1 1 311.304 1.428 20 0 DDADMM C[C@@H](CO)NC(=O)c1ccc(I)cc1[O-] ZINC000864819543 706275856 /nfs/dbraw/zinc/27/58/56/706275856.db2.gz VQZFHLLGQHFODV-LURJTMIESA-N -1 1 321.114 1.107 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCC(F)(F)C1 ZINC000872462570 707425809 /nfs/dbraw/zinc/42/58/09/707425809.db2.gz HWCMZLYFLYVXOL-ASCAZGACSA-N -1 1 318.411 1.905 20 0 DDADMM Cc1ccn(C[C@H](C)C(=O)Nc2n[n-]c(C(F)(F)F)n2)n1 ZINC000864975121 706316599 /nfs/dbraw/zinc/31/65/99/706316599.db2.gz PWSYDEJRMITFSJ-LURJTMIESA-N -1 1 302.260 1.603 20 0 DDADMM CN1CCN(Cc2nc3c(c(=O)[n-]2)COCC3)c2ccccc21 ZINC000878244183 706336501 /nfs/dbraw/zinc/33/65/01/706336501.db2.gz LVBOUPMBSXJMBR-UHFFFAOYSA-N -1 1 312.373 1.711 20 0 DDADMM COC(=O)[C@]1(F)CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000829467070 706338743 /nfs/dbraw/zinc/33/87/43/706338743.db2.gz GCQFZBQJEUIXHU-INIZCTEOSA-N -1 1 318.304 1.668 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1C[C@H]1C1CC1 ZINC000872494917 707445715 /nfs/dbraw/zinc/44/57/15/707445715.db2.gz LQEJDDBVLMCVOY-FHGNATFXSA-N -1 1 308.469 1.763 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CCCCC1)c1c[nH]nc1Cl ZINC000830609413 706544118 /nfs/dbraw/zinc/54/41/18/706544118.db2.gz GRMQJNBIMVMLKT-VIFPVBQESA-N -1 1 307.803 1.283 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C ZINC000865861842 706546292 /nfs/dbraw/zinc/54/62/92/706546292.db2.gz VTZNWMLJJACARI-HUXSOILUSA-N -1 1 314.389 1.960 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830784449 706579043 /nfs/dbraw/zinc/57/90/43/706579043.db2.gz QMTCEADQJRKCIU-SEKJGCFDSA-N -1 1 318.339 1.948 20 0 DDADMM CO[C@H](C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830801257 706582344 /nfs/dbraw/zinc/58/23/44/706582344.db2.gz JEEUMNFHZSGFPU-JOYOIKCWSA-N -1 1 308.300 1.081 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2C[C@@]23CCOC3)C1 ZINC000830807813 706583819 /nfs/dbraw/zinc/58/38/19/706583819.db2.gz QYDPIPBIBKMZRQ-JIMOISOXSA-N -1 1 320.311 1.083 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCSC2)C1 ZINC000830815985 706585137 /nfs/dbraw/zinc/58/51/37/706585137.db2.gz IFNOEIPOVWSZDF-KWQFWETISA-N -1 1 310.341 1.409 20 0 DDADMM CC(=O)CCCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830831981 706588877 /nfs/dbraw/zinc/58/88/77/706588877.db2.gz AGPARVBCMRRVMR-LBPRGKRZSA-N -1 1 308.300 1.415 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H](C)c1ccncc1 ZINC000866922387 706845333 /nfs/dbraw/zinc/84/53/33/706845333.db2.gz BUAXKRNYTOQSQA-LLVKDONJSA-N -1 1 319.452 1.527 20 0 DDADMM C[C@H](CN(C)C(=O)/C=C\COc1ccccc1)c1nn[n-]n1 ZINC000832126081 706848036 /nfs/dbraw/zinc/84/80/36/706848036.db2.gz JIYFLZCEXIFTLT-OJIASSBASA-N -1 1 301.350 1.397 20 0 DDADMM CC1(C)C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867008612 706870681 /nfs/dbraw/zinc/87/06/81/706870681.db2.gz JEGATOOABGEOAE-MRVPVSSYSA-N -1 1 306.334 1.596 20 0 DDADMM CC(C)[C@H]1C[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)CCO1 ZINC000867052852 706882817 /nfs/dbraw/zinc/88/28/17/706882817.db2.gz TWDYXPNBBKDYBQ-NWDGAFQWSA-N -1 1 324.468 1.286 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@@H]1CCCOC1 ZINC000867069399 706888529 /nfs/dbraw/zinc/88/85/29/706888529.db2.gz GVCINCJAJMLUEA-RKDXNWHRSA-N -1 1 306.334 1.453 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CCCCS1 ZINC000867088976 706893111 /nfs/dbraw/zinc/89/31/11/706893111.db2.gz CLKSROSDONCXTA-SNVBAGLBSA-N -1 1 314.498 1.614 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]1CCO)c1ccc(Cl)nc1F ZINC000867135247 706907141 /nfs/dbraw/zinc/90/71/41/706907141.db2.gz UMMGAIJOFXMMQY-DTWKUNHWSA-N -1 1 322.789 1.704 20 0 DDADMM O=C1CC[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)CCN1 ZINC000867419283 706996238 /nfs/dbraw/zinc/99/62/38/706996238.db2.gz GABNRVLIMDOKCJ-NSHDSACASA-N -1 1 308.359 1.380 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CC(C)(C)CC(F)(F)F ZINC000867539986 707033534 /nfs/dbraw/zinc/03/35/34/707033534.db2.gz CBGPQEDCMFZVQQ-SFHVURJKSA-N -1 1 324.390 1.917 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)c2ccns2)c1 ZINC000867567321 707042370 /nfs/dbraw/zinc/04/23/70/707042370.db2.gz UGYISIGKBSLGJB-MRVPVSSYSA-N -1 1 314.388 1.897 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@]23C[C@H]2CCCC3)n[n-]1 ZINC000880666228 707052347 /nfs/dbraw/zinc/05/23/47/707052347.db2.gz LPXQMGFQCNYJIR-FEUHOPSXSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@]23C[C@H]2CCCC3)[n-]1 ZINC000880666228 707052350 /nfs/dbraw/zinc/05/23/50/707052350.db2.gz LPXQMGFQCNYJIR-FEUHOPSXSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@]23C[C@H]2CCCC3)n1 ZINC000880666228 707052353 /nfs/dbraw/zinc/05/23/53/707052353.db2.gz LPXQMGFQCNYJIR-FEUHOPSXSA-N -1 1 306.366 1.739 20 0 DDADMM O=S(=O)([N-][C@]12C[C@H]1CCCC2)c1nc[nH]c1Br ZINC000867627476 707057888 /nfs/dbraw/zinc/05/78/88/707057888.db2.gz XMOHFOPXPYECAS-GMSGAONNSA-N -1 1 320.212 1.783 20 0 DDADMM CC[C@](C)(CC(=O)[O-])NC(=O)CN(C)CCc1ccccc1 ZINC000909054556 712927035 /nfs/dbraw/zinc/92/70/35/712927035.db2.gz QGJRWZRYDNFWEW-QGZVFWFLSA-N -1 1 306.406 1.921 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCc2nc(N(C)C)no2)cc1 ZINC000871798427 707208610 /nfs/dbraw/zinc/20/86/10/707208610.db2.gz VPMXWXSXTPORLO-UHFFFAOYSA-N -1 1 320.349 1.325 20 0 DDADMM CO[C@@H]1CN(Cc2ccc(-n3ccnc3)nc2)[C@](C)(C(=O)[O-])C1 ZINC000872160262 707309223 /nfs/dbraw/zinc/30/92/23/707309223.db2.gz CAHVGXLELGHLJH-BBRMVZONSA-N -1 1 316.361 1.331 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C[C@@H](O)CSC)CC2 ZINC000872167478 707312300 /nfs/dbraw/zinc/31/23/00/707312300.db2.gz LEJSPSGZWGFQDZ-SNVBAGLBSA-N -1 1 311.403 1.475 20 0 DDADMM CC(C)(C)[C@H](NC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000909168145 712952322 /nfs/dbraw/zinc/95/23/22/712952322.db2.gz GHJGRGGXUABAPZ-GFCCVEGCSA-N -1 1 304.350 1.633 20 0 DDADMM CC1(C)CCC[C@@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000837116323 707580995 /nfs/dbraw/zinc/58/09/95/707580995.db2.gz LOYLXCBODUPWBF-GFCCVEGCSA-N -1 1 307.394 1.259 20 0 DDADMM COC[C@@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000837146942 707584557 /nfs/dbraw/zinc/58/45/57/707584557.db2.gz YWFAUTHLOQQTLP-NSHDSACASA-N -1 1 306.391 1.659 20 0 DDADMM CCC(C)(C)OCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000837277341 707610549 /nfs/dbraw/zinc/61/05/49/707610549.db2.gz BIZHGEJZMDWMEP-UHFFFAOYSA-N -1 1 308.407 1.953 20 0 DDADMM CC(=O)N1CC[C@@H](NC(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000873078506 707721333 /nfs/dbraw/zinc/72/13/33/707721333.db2.gz KRFKGRURSXJGBU-LLVKDONJSA-N -1 1 311.769 1.466 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC[C@@H]2C[C@H]2C2CC2)o1 ZINC000927420683 712983330 /nfs/dbraw/zinc/98/33/30/712983330.db2.gz XRGHMJRGVCKYFY-MNOVXSKESA-N -1 1 312.391 1.354 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCC2CC(F)(F)C2)o1 ZINC000838981557 708009410 /nfs/dbraw/zinc/00/94/10/708009410.db2.gz SFWFTMILKCDDHQ-UHFFFAOYSA-N -1 1 323.317 1.780 20 0 DDADMM C[C@H]1CC(=O)NCCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896680807 708117402 /nfs/dbraw/zinc/11/74/02/708117402.db2.gz IXDLXRNBKRXMBB-JTQLQIEISA-N -1 1 314.341 1.602 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCc3cc(F)c(F)cc32)C1 ZINC000909403485 713009346 /nfs/dbraw/zinc/00/93/46/713009346.db2.gz RUWCGYVPJRIOOO-LLVKDONJSA-N -1 1 324.327 1.651 20 0 DDADMM O=C([O-])c1cccc(CCNC(=O)[C@@H]2CCCc3[nH]ncc32)c1 ZINC000909463738 713024863 /nfs/dbraw/zinc/02/48/63/713024863.db2.gz VFDLWDJXUMGYMF-CYBMUJFWSA-N -1 1 313.357 1.887 20 0 DDADMM COc1cccc([C@@H](O)C[N-]S(=O)(=O)c2ccns2)c1 ZINC000885026382 708446683 /nfs/dbraw/zinc/44/66/83/708446683.db2.gz WANPNPWWMWFTHB-NSHDSACASA-N -1 1 314.388 1.164 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccns1)[C@@H](O)c1ccc(F)cc1 ZINC000885028069 708447529 /nfs/dbraw/zinc/44/75/29/708447529.db2.gz SXMCGTHGIZJREO-QPUJVOFHSA-N -1 1 316.379 1.683 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccns1)[C@H](O)Cc1ccccc1 ZINC000885070610 708462119 /nfs/dbraw/zinc/46/21/19/708462119.db2.gz QKAIOPMZGIWWSH-ZYHUDNBSSA-N -1 1 312.416 1.414 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC2(CCCC2)O1)c1ccns1 ZINC000885282004 708510164 /nfs/dbraw/zinc/51/01/64/708510164.db2.gz ZLOVPCBWUYIQAB-JTQLQIEISA-N -1 1 302.421 1.913 20 0 DDADMM CO[C@@H]1COC[C@H]1NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898085785 708539152 /nfs/dbraw/zinc/53/91/52/708539152.db2.gz FHJCIWFFZGIOAC-ZIAGYGMSSA-N -1 1 303.314 1.395 20 0 DDADMM COCC(=O)N(C)CC(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885675929 708594927 /nfs/dbraw/zinc/59/49/27/708594927.db2.gz FUPRBWOMWWWCPD-UHFFFAOYSA-N -1 1 304.705 1.228 20 0 DDADMM O=C(C[C@@H]1CC(=O)NC1=O)Nc1cc(F)c([O-])cc1Cl ZINC000885678491 708595968 /nfs/dbraw/zinc/59/59/68/708595968.db2.gz QUKWJNQRJWRWFO-RXMQYKEDSA-N -1 1 300.673 1.176 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](C(=O)Nc2cc(F)c([O-])cc2Cl)O1 ZINC000885680113 708596474 /nfs/dbraw/zinc/59/64/74/708596474.db2.gz LYGGKKKRTKYQDE-MNOVXSKESA-N -1 1 317.700 1.844 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC2(CC1)C[C@@H](O)CCO2 ZINC000886108193 708699596 /nfs/dbraw/zinc/69/95/96/708699596.db2.gz JWRBMLOLDVNOQB-LBPRGKRZSA-N -1 1 309.337 1.677 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1CCn2ccnc2C1 ZINC000886266728 708732381 /nfs/dbraw/zinc/73/23/81/708732381.db2.gz WWYNBJJJHKFMLX-SNVBAGLBSA-N -1 1 321.327 1.788 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886271669 708734043 /nfs/dbraw/zinc/73/40/43/708734043.db2.gz RBAYBUZXOGOJII-VHSXEESVSA-N -1 1 313.300 1.528 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2cnccc2OCC)[nH]n1 ZINC000886397329 708753097 /nfs/dbraw/zinc/75/30/97/708753097.db2.gz DBALAYYGCDEIFC-UHFFFAOYSA-N -1 1 310.379 1.957 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1ncc(C(F)(F)F)[nH]1 ZINC000886405109 708754889 /nfs/dbraw/zinc/75/48/89/708754889.db2.gz WCWOXFVBPLKMCX-UHFFFAOYSA-N -1 1 323.300 1.525 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCC[C@H]1CO ZINC000927781335 713054341 /nfs/dbraw/zinc/05/43/41/713054341.db2.gz NKVXZNFOLXQZEU-VIFPVBQESA-N -1 1 300.305 1.379 20 0 DDADMM C[C@@H](O)C[C@@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927784131 713055423 /nfs/dbraw/zinc/05/54/23/713055423.db2.gz NIGTUVMQOYFZIR-RKDXNWHRSA-N -1 1 302.321 1.672 20 0 DDADMM C[C@@H]1COCCN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927786237 713055814 /nfs/dbraw/zinc/05/58/14/713055814.db2.gz UDBHHGUNOLYWFY-JTQLQIEISA-N -1 1 314.332 1.891 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCO[C@H](CF)C1 ZINC000927787611 713056164 /nfs/dbraw/zinc/05/61/64/713056164.db2.gz ZMSLZBAXJTYQIM-SNVBAGLBSA-N -1 1 318.295 1.593 20 0 DDADMM C[C@H](CC(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccccc1F ZINC000898754624 708855677 /nfs/dbraw/zinc/85/56/77/708855677.db2.gz WXOLHZDBHLSFMP-SECBINFHSA-N -1 1 305.309 1.837 20 0 DDADMM COC[C@H]1COCCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000886856867 708866304 /nfs/dbraw/zinc/86/63/04/708866304.db2.gz HQVSBFGWQNQNKS-LBPRGKRZSA-N -1 1 317.341 1.738 20 0 DDADMM CCN1CC[C@H]1CNC(=O)[C@](C)(CC(=O)[O-])c1ccc(F)cc1 ZINC000887316428 709015740 /nfs/dbraw/zinc/01/57/40/709015740.db2.gz PXOZOEDVZMGDQF-WMLDXEAASA-N -1 1 322.380 1.769 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cc(C(F)(F)F)nn2C)n[n-]1 ZINC000899480180 709094413 /nfs/dbraw/zinc/09/44/13/709094413.db2.gz BPOXGRKFQJAWMD-UHFFFAOYSA-N -1 1 323.300 1.093 20 0 DDADMM C[C@@H]1C[C@@]2(CC[C@H](CNC(=O)c3c([O-])cccc3F)O2)CO1 ZINC000899480569 709094436 /nfs/dbraw/zinc/09/44/36/709094436.db2.gz UICKZFFBRFWLHS-UVWXRNBGSA-N -1 1 309.337 1.988 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=S)NC2(C)CC2)[n-]c1=O ZINC000899667744 709143078 /nfs/dbraw/zinc/14/30/78/709143078.db2.gz VDQJXCVBVRHEDR-VIFPVBQESA-N -1 1 308.407 1.755 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3C[C@@H]4CSC[C@@H]4C3)ccnc1-2 ZINC000888056150 709202670 /nfs/dbraw/zinc/20/26/70/709202670.db2.gz JVKAGKLHRYRLPM-AOOOYVTPSA-N -1 1 303.391 1.169 20 0 DDADMM CCc1nn(C)cc1CN[C@@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000900072366 709281508 /nfs/dbraw/zinc/28/15/08/709281508.db2.gz YJULPGLHDKXLMD-GFCCVEGCSA-N -1 1 303.366 1.502 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@H](CCC(=O)[O-])Cc1ccccc1 ZINC000909536771 709505734 /nfs/dbraw/zinc/50/57/34/709505734.db2.gz RXLWINCUGBPUEF-CABCVRRESA-N -1 1 304.390 1.673 20 0 DDADMM Cc1nc(C)c(CC(=O)[N-]OCCOc2ccccc2)c(=O)[nH]1 ZINC000889449541 709508764 /nfs/dbraw/zinc/50/87/64/709508764.db2.gz LAMLIUSTHFFJHB-UHFFFAOYSA-N -1 1 317.345 1.468 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1ccccc1)c1nn[n-]n1 ZINC000912860114 713109533 /nfs/dbraw/zinc/10/95/33/713109533.db2.gz NRHAZNFNVLILBU-KRZKBDHCSA-N -1 1 303.391 1.824 20 0 DDADMM CSCC[C@H](NC(=O)Cc1ccc(F)cc1)c1nn[n-]n1 ZINC000912860475 713109984 /nfs/dbraw/zinc/10/99/84/713109984.db2.gz VUUSMHVBEWYVGJ-NSHDSACASA-N -1 1 309.370 1.492 20 0 DDADMM CSCC[C@H](NC(=O)C(C)(C)CSC)c1nn[n-]n1 ZINC000912862354 713110876 /nfs/dbraw/zinc/11/08/76/713110876.db2.gz NKFPBBLSTYOVNT-QMMMGPOBSA-N -1 1 303.457 1.499 20 0 DDADMM CN(C)CC(=O)NCc1ccc(C(=O)[O-])cc1Br ZINC000909672037 709569000 /nfs/dbraw/zinc/56/90/00/709569000.db2.gz KTEUYFBSYVOKBH-UHFFFAOYSA-N -1 1 315.167 1.325 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000909680333 709574055 /nfs/dbraw/zinc/57/40/55/709574055.db2.gz UUPILIUMJXWKFW-RWEMILLDSA-N -1 1 300.318 1.283 20 0 DDADMM CSC[C@@H]1CCCN1C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909725835 709597616 /nfs/dbraw/zinc/59/76/16/709597616.db2.gz LVNLNDGUGYTPSS-RYUDHWBXSA-N -1 1 300.424 1.137 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CC[C@@](C(=O)[O-])(C(F)(F)F)C1 ZINC000909767627 709609088 /nfs/dbraw/zinc/60/90/88/709609088.db2.gz QENONWFPRLVZFB-BXKDBHETSA-N -1 1 308.300 1.336 20 0 DDADMM C[C@@H]1CCN(C(=O)CN(C)[C@H]2CCSC2)[C@H](C(=O)[O-])C1 ZINC000909782557 709617638 /nfs/dbraw/zinc/61/76/38/709617638.db2.gz XPHGZSKMGXHMAK-WOPDTQHZSA-N -1 1 300.424 1.135 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCCC[C@@H]1C1OCCO1 ZINC000900492223 709621780 /nfs/dbraw/zinc/62/17/80/709621780.db2.gz PKBAVIPDUSRPAR-GFCCVEGCSA-N -1 1 319.361 1.427 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](C(=O)[O-])[C@@H]1C)N1CCc2ccccc2C1 ZINC000909806175 709630389 /nfs/dbraw/zinc/63/03/89/709630389.db2.gz LRMFEYATIVQMFC-ZENOOKHLSA-N -1 1 316.401 1.755 20 0 DDADMM COC[C@H](C)[C@H](C)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786664 709635686 /nfs/dbraw/zinc/63/56/86/709635686.db2.gz NEDFFAWKHQCZMD-SRVKXCTJSA-N -1 1 323.393 1.773 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccn(C)c2C)[n-]c1=O ZINC000889787748 709636150 /nfs/dbraw/zinc/63/61/50/709636150.db2.gz OYSKFCZKPKYDEY-GFCCVEGCSA-N -1 1 316.361 1.815 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CC(C)(F)F)[n-]c1=O ZINC000889790365 709637660 /nfs/dbraw/zinc/63/76/60/709637660.db2.gz QHTULTIJVSWFIO-QMMMGPOBSA-N -1 1 301.293 1.900 20 0 DDADMM CCn1nc(C)c(CNC(=O)c2cnc(C3CC3)[n-]c2=O)c1C ZINC000900527363 709637678 /nfs/dbraw/zinc/63/76/78/709637678.db2.gz FODPNVJQDZSNIF-UHFFFAOYSA-N -1 1 315.377 1.823 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Cc2ccco2)[n-]c1=O ZINC000889791971 709638136 /nfs/dbraw/zinc/63/81/36/709638136.db2.gz QBJOIACBVUEVLZ-NSHDSACASA-N -1 1 303.318 1.690 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H]2CCSC2)[n-]c1=O ZINC000889791968 709638198 /nfs/dbraw/zinc/63/81/98/709638198.db2.gz QAZGFQSTYQOQQE-VHSXEESVSA-N -1 1 309.391 1.607 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccn(C)c2)[n-]c1=O ZINC000889791764 709638207 /nfs/dbraw/zinc/63/82/07/709638207.db2.gz MAUUBPDJWJYSTB-LLVKDONJSA-N -1 1 302.334 1.507 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H](C)OCC2CC2)[n-]c1=O ZINC000889793877 709638927 /nfs/dbraw/zinc/63/89/27/709638927.db2.gz DMVWZBSHGGILBG-PWSUYJOCSA-N -1 1 321.377 1.669 20 0 DDADMM CCO[C@@H](CC)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889795485 709639541 /nfs/dbraw/zinc/63/95/41/709639541.db2.gz YHFHRPSFCPFFHG-QWRGUYRKSA-N -1 1 309.366 1.669 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](C)[C@H](Cc2ccccc2)C(=O)[O-])[nH]n1 ZINC000909823111 709641062 /nfs/dbraw/zinc/64/10/62/709641062.db2.gz NWHLWMCUXAXBAS-WFASDCNBSA-N -1 1 315.373 1.709 20 0 DDADMM CCN(CC(=O)N1C[C@H](C(=O)[O-])[C@H](c2ccccc2)C1)C1CC1 ZINC000909831311 709643980 /nfs/dbraw/zinc/64/39/80/709643980.db2.gz SNSUOKNMMVESRY-HOTGVXAUSA-N -1 1 316.401 1.798 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC12CCC2 ZINC000909942404 709699229 /nfs/dbraw/zinc/69/92/29/709699229.db2.gz XAZCVKOEZOWGRL-FCHSOHFDSA-N -1 1 307.325 1.319 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCc2c[nH]nn2)[C@@H]2CCCC[C@@H]12 ZINC000909965162 709711637 /nfs/dbraw/zinc/71/16/37/709711637.db2.gz XUPUWFRWICGZDK-YNEHKIRRSA-N -1 1 306.366 1.229 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCc2cnn[nH]2)[C@@H]2CCCC[C@@H]12 ZINC000909965162 709711638 /nfs/dbraw/zinc/71/16/38/709711638.db2.gz XUPUWFRWICGZDK-YNEHKIRRSA-N -1 1 306.366 1.229 20 0 DDADMM CN(CC(=O)NC[C@H](CC(=O)[O-])C1CC1)[C@@H]1CCSC1 ZINC000909968136 709712392 /nfs/dbraw/zinc/71/23/92/709712392.db2.gz HIMCXJRKBIQKIH-NWDGAFQWSA-N -1 1 300.424 1.041 20 0 DDADMM CCOC[C@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000900707327 709720813 /nfs/dbraw/zinc/72/08/13/709720813.db2.gz BXXJPJNPFCMTSZ-NSHDSACASA-N -1 1 305.378 1.948 20 0 DDADMM C[C@H](C(=O)Nc1cc([O-])c(F)cc1F)[C@H](C)S(C)(=O)=O ZINC000909986908 709721803 /nfs/dbraw/zinc/72/18/03/709721803.db2.gz XHKKXBQOMSGGPP-BQBZGAKWSA-N -1 1 307.318 1.678 20 0 DDADMM COc1ccc(-c2cc(C(=O)N3CC(C)(C(=O)[O-])C3)n[nH]2)cc1 ZINC000910057387 709758467 /nfs/dbraw/zinc/75/84/67/709758467.db2.gz SVGXNEFZOGHDAR-UHFFFAOYSA-N -1 1 315.329 1.632 20 0 DDADMM O=C([O-])[C@@H]1CC[C@@H](c2ccccc2)N1C(=O)CCc1c[nH]nn1 ZINC000910097408 709771555 /nfs/dbraw/zinc/77/15/55/709771555.db2.gz IZZNAQZNKBEMQR-KBPBESRZSA-N -1 1 314.345 1.554 20 0 DDADMM O=C([O-])[C@@H]1CC[C@@H](c2ccccc2)N1C(=O)CCc1cnn[nH]1 ZINC000910097408 709771557 /nfs/dbraw/zinc/77/15/57/709771557.db2.gz IZZNAQZNKBEMQR-KBPBESRZSA-N -1 1 314.345 1.554 20 0 DDADMM CC1(C)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]2CCCO[C@H]21 ZINC000900837148 709784251 /nfs/dbraw/zinc/78/42/51/709784251.db2.gz BAZXLWKTDMPOQK-RAIGVLPGSA-N -1 1 317.389 1.993 20 0 DDADMM Cc1noc(C2CC2)c1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910179255 709799852 /nfs/dbraw/zinc/79/98/52/709799852.db2.gz VRDUZFRJYYGDPU-UHFFFAOYSA-N -1 1 321.377 1.481 20 0 DDADMM O=C(/C=C\c1cccnc1)OCCC[N-]C(=O)C(F)(F)F ZINC000910187551 709802989 /nfs/dbraw/zinc/80/29/89/709802989.db2.gz RBYXOUWQQJLWEX-PLNGDYQASA-N -1 1 302.252 1.707 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCS[C@@H](C(F)(F)F)C1 ZINC000928133592 713137298 /nfs/dbraw/zinc/13/72/98/713137298.db2.gz QVNIYFACFLQYJV-SSDOTTSWSA-N -1 1 309.317 1.029 20 0 DDADMM CN(C[C@H](O)C1CC1)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901003385 709873650 /nfs/dbraw/zinc/87/36/50/709873650.db2.gz GSSURTQNTMQZPP-ZDUSSCGKSA-N -1 1 304.321 1.922 20 0 DDADMM CCN(C)[C@H](C(=O)N1CCO[C@@](C)(C(=O)[O-])C1)c1ccccc1 ZINC000910322671 709890696 /nfs/dbraw/zinc/89/06/96/709890696.db2.gz JWHXXVDVZWUVGP-WMLDXEAASA-N -1 1 320.389 1.382 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCO[C@@H](C2CCC2)C1 ZINC000910403149 709925392 /nfs/dbraw/zinc/92/53/92/709925392.db2.gz ZICGOPWNCHCMCM-CYBMUJFWSA-N -1 1 303.362 1.701 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CC[C@@H](O)[C@@H](F)C1 ZINC000890682131 709949552 /nfs/dbraw/zinc/94/95/52/709949552.db2.gz RLWZDGYHSYPQOK-UONOGXRCSA-N -1 1 305.305 1.796 20 0 DDADMM COc1ccnc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)c1 ZINC000901176470 709956273 /nfs/dbraw/zinc/95/62/73/709956273.db2.gz JVSIVZPLEYEZBP-UHFFFAOYSA-N -1 1 300.318 1.393 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)C[C@@]1(F)C(=O)[O-] ZINC000910549717 709995080 /nfs/dbraw/zinc/99/50/80/709995080.db2.gz SNSSXZSKHGEWLI-YVYGNJPRSA-N -1 1 323.368 1.737 20 0 DDADMM C[C@H](O)[C@@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)CCO1 ZINC000910564610 710002104 /nfs/dbraw/zinc/00/21/04/710002104.db2.gz OJCJARZETMFISZ-ZANVPECISA-N -1 1 320.320 1.301 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)CC1COC1 ZINC000901320050 710008867 /nfs/dbraw/zinc/00/88/67/710008867.db2.gz OYSPUOJSXIOSLN-UHFFFAOYSA-N -1 1 302.327 1.172 20 0 DDADMM CCC[C@H](C)CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000890892269 710022091 /nfs/dbraw/zinc/02/20/91/710022091.db2.gz NBYIGOPGKOJQSM-NSHDSACASA-N -1 1 317.393 1.223 20 0 DDADMM COC(=O)[C@](C)(Cn1cccn1)NC(=O)c1cc(F)ccc1[O-] ZINC000890947562 710037820 /nfs/dbraw/zinc/03/78/20/710037820.db2.gz PCDPSQNWVYYSCU-HNNXBMFYSA-N -1 1 321.308 1.090 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000901454909 710045140 /nfs/dbraw/zinc/04/51/40/710045140.db2.gz WEAWAZBYZODPJX-HFAKWTLXSA-N -1 1 305.378 1.789 20 0 DDADMM CCc1ncc(CN[C@@H](C(=O)[O-])c2ccc3c(c2)CCO3)cn1 ZINC000901530737 710067326 /nfs/dbraw/zinc/06/73/26/710067326.db2.gz QRLMSDPLUBLITG-MRXNPFEDSA-N -1 1 313.357 1.889 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)CCC(C)(C)C2CC2)n1 ZINC000901598409 710089188 /nfs/dbraw/zinc/08/91/88/710089188.db2.gz JDMACRFTPRPKTM-UHFFFAOYSA-N -1 1 314.411 1.558 20 0 DDADMM CC[C@H](C)[C@H](C[N@@H+](C)CC(=O)N1CCC[C@@H](C(=O)[O-])C1)OC ZINC000901624582 710100145 /nfs/dbraw/zinc/10/01/45/710100145.db2.gz MGIKZXXSESUFOP-MJBXVCDLSA-N -1 1 314.426 1.303 20 0 DDADMM CC[C@H](C)[C@H](CN(C)CC(=O)N1CCC[C@@H](C(=O)[O-])C1)OC ZINC000901624582 710100148 /nfs/dbraw/zinc/10/01/48/710100148.db2.gz MGIKZXXSESUFOP-MJBXVCDLSA-N -1 1 314.426 1.303 20 0 DDADMM O=C([O-])CCN(Cc1cn2cccc(F)c2n1)C[C@H]1CCCO1 ZINC000901676440 710116124 /nfs/dbraw/zinc/11/61/24/710116124.db2.gz DOOIBOIRMPFEGH-CYBMUJFWSA-N -1 1 321.352 1.929 20 0 DDADMM CC(C)CN1CCN(C(=O)[C@H]2CO[C@@H](CCC(=O)[O-])C2)CC1 ZINC000901739131 710135614 /nfs/dbraw/zinc/13/56/14/710135614.db2.gz OTXFJXMMGBICDD-KGLIPLIRSA-N -1 1 312.410 1.057 20 0 DDADMM C[C@H](NCc1cccc(OC(F)F)c1)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901743988 710138237 /nfs/dbraw/zinc/13/82/37/710138237.db2.gz SSTIGMUFMVPEDS-IUCAKERBSA-N -1 1 316.304 1.355 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(Cc2ncc(-c3ccccc3)s2)C1 ZINC000901903103 710169971 /nfs/dbraw/zinc/16/99/71/710169971.db2.gz IQUKOJXNWKIVRE-OAHLLOKOSA-N -1 1 304.371 1.832 20 0 DDADMM C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)N[C@H]1CCCN(Cc2ccccc2)C1 ZINC000901917156 710174206 /nfs/dbraw/zinc/17/42/06/710174206.db2.gz HAELKPGZUGPTJY-XUWXXGDYSA-N -1 1 316.401 1.878 20 0 DDADMM CC1(C)C(C)(C)C1(C(=O)[O-])C(=O)N1CCN(CC2CC2)CC1 ZINC000901959346 710185015 /nfs/dbraw/zinc/18/50/15/710185015.db2.gz VQYCWWNGJGLGBV-UHFFFAOYSA-N -1 1 308.422 1.678 20 0 DDADMM CCO[C@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)[C@H]1CCOC1 ZINC000891615678 710249535 /nfs/dbraw/zinc/24/95/35/710249535.db2.gz SAQDNDAZVKHDJI-GWCFXTLKSA-N -1 1 321.377 1.441 20 0 DDADMM Cn1nccc1[C@@H]1CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000928377323 713180857 /nfs/dbraw/zinc/18/08/57/713180857.db2.gz DLOMDIJLEIAMIA-ZDUSSCGKSA-N -1 1 313.361 1.770 20 0 DDADMM COCc1nc(N[C@@H]2CCC[C@@H](C(=O)N(C)C)C2)cc(=O)[n-]1 ZINC000892542654 710454963 /nfs/dbraw/zinc/45/49/63/710454963.db2.gz RXYOBBGFSVZLIJ-GHMZBOCLSA-N -1 1 308.382 1.388 20 0 DDADMM COCc1nc(N[C@@H](C)Cc2c(C)nn(C)c2C)cc(=O)[n-]1 ZINC000892679883 710480745 /nfs/dbraw/zinc/48/07/45/710480745.db2.gz USIYMKFCOWHSGN-VIFPVBQESA-N -1 1 305.382 1.722 20 0 DDADMM CC(C)[C@H](Oc1cccc(Cl)c1)C(=O)N(C)c1nn[n-]n1 ZINC000892954805 710531720 /nfs/dbraw/zinc/53/17/20/710531720.db2.gz QZMRWWKIFQBBGL-NSHDSACASA-N -1 1 309.757 1.920 20 0 DDADMM O=C([O-])c1cccc(CNC[C@H]2COc3ccccc3O2)n1 ZINC000902061557 710599849 /nfs/dbraw/zinc/59/98/49/710599849.db2.gz JLDAUPKRBMTMHC-LBPRGKRZSA-N -1 1 300.314 1.709 20 0 DDADMM C[C@H](NCc1ccc(OCC(=O)[O-])cc1)C(=O)NC(C)(C)C ZINC000902179188 710650998 /nfs/dbraw/zinc/65/09/98/710650998.db2.gz JDUZGBFPGQHTIX-NSHDSACASA-N -1 1 308.378 1.543 20 0 DDADMM Cc1cc(C(=O)[O-])oc1C(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000911150212 710655679 /nfs/dbraw/zinc/65/56/79/710655679.db2.gz HITIKDNRZKXONH-VXGBXAGGSA-N -1 1 324.377 1.515 20 0 DDADMM O=C([O-])c1nc(C(=O)N2CCN(C3CCCCC3)CC2)cs1 ZINC000911153901 710658054 /nfs/dbraw/zinc/65/80/54/710658054.db2.gz IRWPZSNAOVXUDW-UHFFFAOYSA-N -1 1 323.418 1.932 20 0 DDADMM CN(C)c1cccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000911167468 710666193 /nfs/dbraw/zinc/66/61/93/710666193.db2.gz XFZYOYSAIPFTQW-CQSZACIVSA-N -1 1 319.405 1.165 20 0 DDADMM CS(=O)(=O)C1(CNCc2cc(C(=O)[O-])co2)CCCCC1 ZINC000902482045 710767438 /nfs/dbraw/zinc/76/74/38/710767438.db2.gz URJVVSSQHFXTDR-UHFFFAOYSA-N -1 1 315.391 1.815 20 0 DDADMM Cc1ccccc1-n1cc(CN2CC[C@H]3[C@@H](C2)[C@H]3C(=O)[O-])nn1 ZINC000902555086 710793534 /nfs/dbraw/zinc/79/35/34/710793534.db2.gz NGMMHIRAHTZCRH-LZWOXQAQSA-N -1 1 312.373 1.728 20 0 DDADMM COC[C@H]1COCCN1Cn1[n-]c(-c2ccccn2)nc1=S ZINC000902560254 710796025 /nfs/dbraw/zinc/79/60/25/710796025.db2.gz OHSPVTLPKKHLNB-NSHDSACASA-N -1 1 321.406 1.307 20 0 DDADMM C[C@@H](CC(=O)[O-])CC(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000911492849 710821288 /nfs/dbraw/zinc/82/12/88/710821288.db2.gz KHAJLYWMYGIEKK-GFCCVEGCSA-N -1 1 310.369 1.875 20 0 DDADMM CN1CC[C@@H](NC(=O)c2cc(C(=O)[O-])on2)[C@H]1c1ccccc1 ZINC000911508958 710829035 /nfs/dbraw/zinc/82/90/35/710829035.db2.gz PFOFUZZZNNRPHJ-BXUZGUMPSA-N -1 1 315.329 1.548 20 0 DDADMM Cc1cc(C2CCN(C(=O)C3(C(=O)[O-])CCOCC3)CC2)n[nH]1 ZINC000911650993 710906148 /nfs/dbraw/zinc/90/61/48/710906148.db2.gz JPHFIFVAFGPCCL-UHFFFAOYSA-N -1 1 321.377 1.306 20 0 DDADMM CN(C)c1ccnc(CNC(=O)[C@@H]2CO[C@H](CCC(=O)[O-])C2)c1 ZINC000902815862 710913839 /nfs/dbraw/zinc/91/38/39/710913839.db2.gz XGZIIWQYWJXXLU-SMDDNHRTSA-N -1 1 321.377 1.034 20 0 DDADMM O=C([O-])[C@]1(C(=O)N[C@@H]2CCC[N@H+]3CCSC[C@H]23)CC=CCC1 ZINC000902820016 710916006 /nfs/dbraw/zinc/91/60/06/710916006.db2.gz ZWTNPRGQNXEDCZ-XJKCOSOUSA-N -1 1 324.446 1.494 20 0 DDADMM O=C([O-])[C@]1(C(=O)N[C@@H]2CCCN3CCSC[C@H]23)CC=CCC1 ZINC000902820016 710916009 /nfs/dbraw/zinc/91/60/09/710916009.db2.gz ZWTNPRGQNXEDCZ-XJKCOSOUSA-N -1 1 324.446 1.494 20 0 DDADMM COCc1ccc([C@H]2COCCN2C(=O)c2ncccc2[O-])o1 ZINC000928524054 713217138 /nfs/dbraw/zinc/21/71/38/713217138.db2.gz UPKSOVUPVGESRX-GFCCVEGCSA-N -1 1 318.329 1.740 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H](C(=O)[O-])c1ccc(F)cc1 ZINC000902863282 710936725 /nfs/dbraw/zinc/93/67/25/710936725.db2.gz WHRWZJCZFUAIRK-NOZJJQNGSA-N -1 1 305.309 1.670 20 0 DDADMM O=C([O-])c1ccc(CC(=O)N2CC[C@H](c3nc[nH]n3)C2)cc1 ZINC000911773295 710977269 /nfs/dbraw/zinc/97/72/69/710977269.db2.gz LDIIMYCTRMDDMM-LBPRGKRZSA-N -1 1 300.318 1.062 20 0 DDADMM CC(C)c1n[nH]c(CC2CCN(C(=O)C(C)(C)C(=O)[O-])CC2)n1 ZINC000911778363 710981009 /nfs/dbraw/zinc/98/10/09/710981009.db2.gz WHCCVXZUZZRZTA-UHFFFAOYSA-N -1 1 322.409 1.820 20 0 DDADMM CC(C)c1nnc(CC2CCN(C(=O)C(C)(C)C(=O)[O-])CC2)[nH]1 ZINC000911778363 710981013 /nfs/dbraw/zinc/98/10/13/710981013.db2.gz WHCCVXZUZZRZTA-UHFFFAOYSA-N -1 1 322.409 1.820 20 0 DDADMM O=C(/C=C/Sc1ccccc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913493258 713237015 /nfs/dbraw/zinc/23/70/15/713237015.db2.gz KULHICBOKFHSAL-UVMWJGKXSA-N -1 1 317.374 1.406 20 0 DDADMM O=C(C[C@@H]1CCc2ccccc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495320 713237864 /nfs/dbraw/zinc/23/78/64/713237864.db2.gz VVPCBTRYOODAAL-JSGCOSHPSA-N -1 1 313.361 1.220 20 0 DDADMM Cc1ccc(C[C@@H](C)C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000913494972 713237933 /nfs/dbraw/zinc/23/79/33/713237933.db2.gz DZTHEWFVZZZKJP-TZMCWYRMSA-N -1 1 315.377 1.287 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2CCO[C@H]2C2CC2)cc(=O)[n-]1 ZINC000912240251 711239320 /nfs/dbraw/zinc/23/93/20/711239320.db2.gz GKKYXQIIQNKLDC-PWSUYJOCSA-N -1 1 309.391 1.335 20 0 DDADMM C[C@@H]1[C@H](C(=O)N2CCOC[C@H]2c2nn[n-]n2)[C@H]1c1ccccc1 ZINC000913497034 713238856 /nfs/dbraw/zinc/23/88/56/713238856.db2.gz HHAXQCBEGJHMFO-DEQVHRJGSA-N -1 1 313.361 1.149 20 0 DDADMM CC(C)c1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cn1 ZINC000928622060 713240469 /nfs/dbraw/zinc/24/04/69/713240469.db2.gz SMLXKDCEGMDNML-UHFFFAOYSA-N -1 1 302.334 1.084 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=C2CCSCC2)n[n-]1 ZINC000912361855 711291810 /nfs/dbraw/zinc/29/18/10/711291810.db2.gz CXEKMFDTBQQFKO-SECBINFHSA-N -1 1 324.406 1.612 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=C2CCSCC2)[n-]1 ZINC000912361855 711291815 /nfs/dbraw/zinc/29/18/15/711291815.db2.gz CXEKMFDTBQQFKO-SECBINFHSA-N -1 1 324.406 1.612 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C=C2CCSCC2)n1 ZINC000912361855 711291819 /nfs/dbraw/zinc/29/18/19/711291819.db2.gz CXEKMFDTBQQFKO-SECBINFHSA-N -1 1 324.406 1.612 20 0 DDADMM Cc1nc([C@@H](C)N2CCOc3cc(C(=O)[O-])ccc3C2)n[nH]1 ZINC000903959233 711348790 /nfs/dbraw/zinc/34/87/90/711348790.db2.gz ZPDXFBNBVGDIOU-SECBINFHSA-N -1 1 302.334 1.767 20 0 DDADMM COCc1nc(NC[C@@H]2CC[C@]3(CO[C@H](C)C3)O2)cc(=O)[n-]1 ZINC000895220696 711456324 /nfs/dbraw/zinc/45/63/24/711456324.db2.gz ZCZPDOFUYCPEQJ-ZETOZRRWSA-N -1 1 309.366 1.467 20 0 DDADMM CN(Cc1ncc(Br)cc1[O-])C[C@H]1COCCO1 ZINC000895365108 711494674 /nfs/dbraw/zinc/49/46/74/711494674.db2.gz SEQINNYCAZTPED-JTQLQIEISA-N -1 1 317.183 1.397 20 0 DDADMM O=C(CN1CCCC1)N[C@H](Cc1ccccc1)c1n[nH]c(=O)[n-]1 ZINC000913558991 713260162 /nfs/dbraw/zinc/26/01/62/713260162.db2.gz BZPCXADKIAUTMB-CYBMUJFWSA-N -1 1 315.377 1.006 20 0 DDADMM O=C([O-])[C@@]1(c2nc(CCC3CCCCC3)no2)CNCCO1 ZINC000904575712 711873933 /nfs/dbraw/zinc/87/39/33/711873933.db2.gz UEEYEXPYBVTJAM-HNNXBMFYSA-N -1 1 309.366 1.482 20 0 DDADMM CCc1cccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1O ZINC000913744383 713292739 /nfs/dbraw/zinc/29/27/39/713292739.db2.gz LYXIXJLDUIDAEG-UHFFFAOYSA-N -1 1 301.350 1.488 20 0 DDADMM CC(C)[C@@H]1C[C@H](CC(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000913744451 713292940 /nfs/dbraw/zinc/29/29/40/713292940.db2.gz PBFSJBDEYRWOTF-OCCSQVGLSA-N -1 1 321.425 1.747 20 0 DDADMM COCc1nc(S(=O)(=O)CCOC2CCCCC2)n[n-]1 ZINC000905250322 711980173 /nfs/dbraw/zinc/98/01/73/711980173.db2.gz SLLFCLSDAQNYCZ-UHFFFAOYSA-N -1 1 303.384 1.074 20 0 DDADMM CC[C@@H](CNC(=O)[C@H](N)c1ccc(C(F)(F)F)cc1)C(=O)[O-] ZINC000905289338 711994090 /nfs/dbraw/zinc/99/40/90/711994090.db2.gz JIKVMEAZLDWLRH-GZMMTYOYSA-N -1 1 318.295 1.932 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCOC2(CCCC2)C1)c1nn[n-]n1 ZINC000906930919 712441442 /nfs/dbraw/zinc/44/14/42/712441442.db2.gz RVMBQVFSJNVIES-RYUDHWBXSA-N -1 1 307.398 1.897 20 0 DDADMM CS[C@@H]1CCN(S(=O)(=O)c2cc(C(=O)[O-])ccc2O)C1 ZINC000907005225 712461965 /nfs/dbraw/zinc/46/19/65/712461965.db2.gz MMLZMVYNEFSVSR-SECBINFHSA-N -1 1 317.388 1.216 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cccnc1OC)c1ccco1 ZINC000907014327 712464642 /nfs/dbraw/zinc/46/46/42/712464642.db2.gz GYPRFNNMAWFIJW-SNVBAGLBSA-N -1 1 312.347 1.349 20 0 DDADMM CN1CCC2(CCN2S(=O)(=O)c2cccc(C(=O)[O-])c2)CC1 ZINC000907130752 712494957 /nfs/dbraw/zinc/49/49/57/712494957.db2.gz VKXNYOLWLZLEHJ-UHFFFAOYSA-N -1 1 324.402 1.244 20 0 DDADMM CC(=CC(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccco1 ZINC000907479390 712583116 /nfs/dbraw/zinc/58/31/16/712583116.db2.gz CWSWFRXAJIZNEU-PCYYEKQGSA-N -1 1 305.363 1.513 20 0 DDADMM O=C([C@@H]1C[C@@H]1C1CCCC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480454 712583448 /nfs/dbraw/zinc/58/34/48/712583448.db2.gz PKNVLWUYNVSOEY-UTUOFQBUSA-N -1 1 307.423 1.643 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)cn1 ZINC000907480066 712583463 /nfs/dbraw/zinc/58/34/63/712583463.db2.gz OOOZCMQPCXJSOG-ZYOFXKKJSA-N -1 1 316.390 1.233 20 0 DDADMM O=C([C@H]1CC2CCC1CC2)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481021 712583827 /nfs/dbraw/zinc/58/38/27/712583827.db2.gz SEDAHMLOUSLSIH-MMVSWEMESA-N -1 1 307.423 1.643 20 0 DDADMM O=C([C@@H]1CCCCCC(=O)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481948 712584063 /nfs/dbraw/zinc/58/40/63/712584063.db2.gz ZPJSTIHCTSHLMP-ZYHUDNBSSA-N -1 1 323.422 1.356 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(N)=O ZINC000907699074 712615437 /nfs/dbraw/zinc/61/54/37/712615437.db2.gz ILQNKJJXEDXDON-LLVKDONJSA-N -1 1 310.297 1.036 20 0 DDADMM O=C(N[C@@H]1Cc2cccc(O)c2C1)c1cnc(C2CC2)[n-]c1=O ZINC000907736903 712620479 /nfs/dbraw/zinc/62/04/79/712620479.db2.gz BRJJYKXZXNNDIJ-LLVKDONJSA-N -1 1 311.341 1.662 20 0 DDADMM C[C@@H]1CN(C(=O)[C@]2(C(=O)[O-])C[C@@H]2c2ccccc2)[C@H](C)CN1C ZINC000907831341 712632552 /nfs/dbraw/zinc/63/25/52/712632552.db2.gz JFHDXQJJCWHABD-GGKPKWOWSA-N -1 1 316.401 1.796 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3cncc(Cl)c3)CC2)n1 ZINC000907863789 712637823 /nfs/dbraw/zinc/63/78/23/712637823.db2.gz DLWIXKOYLHWSPT-UHFFFAOYSA-N -1 1 314.754 1.394 20 0 DDADMM O=C(N1CCC(O)(c2nn[n-]n2)CC1)C1(C2CCCCC2)CC1 ZINC000907943254 712652525 /nfs/dbraw/zinc/65/25/25/712652525.db2.gz FKHKQMDXIGVAPX-UHFFFAOYSA-N -1 1 319.409 1.370 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1nccs1 ZINC000907956737 712654963 /nfs/dbraw/zinc/65/49/63/712654963.db2.gz GPVZPELPGCXXLD-SECBINFHSA-N -1 1 322.390 1.916 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@H]1[C@H]1CCCO1 ZINC000908081279 712681149 /nfs/dbraw/zinc/68/11/49/712681149.db2.gz WSPQYWOOBHTRLS-QWHCGFSZSA-N -1 1 303.362 1.843 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2cccnc2C)c1 ZINC000908358542 712751393 /nfs/dbraw/zinc/75/13/93/712751393.db2.gz UXFINCUZCOQFMX-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM C[C@H](C(=O)[N-]OCC1CC1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC000908382061 712757265 /nfs/dbraw/zinc/75/72/65/712757265.db2.gz FRKNHIYVIMLLAJ-JQWIXIFHSA-N -1 1 316.398 1.308 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(C(=O)CCCCc1cn[nH]n1)CC2 ZINC000908655759 712830513 /nfs/dbraw/zinc/83/05/13/712830513.db2.gz QWRJTNNEKIALCK-GFCCVEGCSA-N -1 1 306.366 1.231 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCc2cc(F)ccc2F)C1 ZINC000908717072 712840331 /nfs/dbraw/zinc/84/03/31/712840331.db2.gz SHBTYYCQYFFDKD-JTQLQIEISA-N -1 1 312.316 1.378 20 0 DDADMM CCC(CC)N(CCOC)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908733064 712843382 /nfs/dbraw/zinc/84/33/82/712843382.db2.gz BIJKSWWQRCAPNI-CYBMUJFWSA-N -1 1 314.426 1.447 20 0 DDADMM CCc1nnc(N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)n1CC ZINC000908791555 712855659 /nfs/dbraw/zinc/85/56/59/712855659.db2.gz ZKSFBUUIRBPOPU-SNVBAGLBSA-N -1 1 318.381 1.706 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(c2cc(F)ncn2)CC1 ZINC000908897564 712882621 /nfs/dbraw/zinc/88/26/21/712882621.db2.gz BQUAEHNLOWQPME-UHFFFAOYSA-N -1 1 320.299 1.423 20 0 DDADMM O=S(=O)(CC1(F)CC1)[N-][C@@H](CO)c1c(F)cccc1F ZINC000914162376 713351032 /nfs/dbraw/zinc/35/10/32/713351032.db2.gz GMOAALASNQZDDJ-JTQLQIEISA-N -1 1 309.309 1.420 20 0 DDADMM C[C@H]([N-]S(=O)(=O)CC1(F)CC1)c1nc(C(C)(C)C)no1 ZINC000914206270 713359143 /nfs/dbraw/zinc/35/91/43/713359143.db2.gz LFIQKZUALBUWQY-QMMMGPOBSA-N -1 1 305.375 1.850 20 0 DDADMM COC(=O)c1ccnc(SCSc2ncc(C)c(=O)[n-]2)n1 ZINC000917778008 713513572 /nfs/dbraw/zinc/51/35/72/713513572.db2.gz OPVIWXXEVAIQOL-UHFFFAOYSA-N -1 1 324.387 1.909 20 0 DDADMM Cc1nccc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)n1 ZINC000919465662 713608350 /nfs/dbraw/zinc/60/83/50/713608350.db2.gz BYDDDQSVZSOHCT-UHFFFAOYSA-N -1 1 312.281 1.954 20 0 DDADMM O=S(=O)([N-]CC1(Br)CC1)c1cccnc1F ZINC000920052872 713647456 /nfs/dbraw/zinc/64/74/56/713647456.db2.gz OTRPRYDZERFXGD-UHFFFAOYSA-N -1 1 309.160 1.427 20 0 DDADMM C[C@H](CN1CC(=O)N[C@@H]2CCCC[C@H]21)C(=O)c1ccc([O-])cc1 ZINC000929829797 713699524 /nfs/dbraw/zinc/69/95/24/713699524.db2.gz YIEMIVNQZSKGIG-DAXOMENPSA-N -1 1 316.401 1.954 20 0 DDADMM CO[C@@]1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCSC1 ZINC000921402447 713757058 /nfs/dbraw/zinc/75/70/58/713757058.db2.gz YGKMDVRFIOUHDL-LLVKDONJSA-N -1 1 324.374 1.160 20 0 DDADMM CN1CC[C@@H]1C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000921413331 713762221 /nfs/dbraw/zinc/76/22/21/713762221.db2.gz JQTNBDQJVBKQPI-SSDOTTSWSA-N -1 1 310.206 1.371 20 0 DDADMM C[C@H]1COC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000921498171 713789830 /nfs/dbraw/zinc/78/98/30/713789830.db2.gz ATZGIORGVMICEB-XPUUQOCRSA-N -1 1 311.190 1.702 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@@H]2COC[C@@H]2O1)c1cc2ccccc2o1 ZINC000921614233 713822321 /nfs/dbraw/zinc/82/23/21/713822321.db2.gz WRJWYSUABUHJKW-BZPMIXESSA-N -1 1 323.370 1.515 20 0 DDADMM CCC[C@@H](O)CC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000921681221 713841092 /nfs/dbraw/zinc/84/10/92/713841092.db2.gz LMHXXHPCPOSRLS-MRVPVSSYSA-N -1 1 311.325 1.933 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(=O)c2cncs2)sc1C ZINC000921680157 713841222 /nfs/dbraw/zinc/84/12/22/713841222.db2.gz ZZJFCWAMFPJELF-UHFFFAOYSA-N -1 1 317.417 1.378 20 0 DDADMM Cc1nn(CC(F)F)cc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000930469013 713842781 /nfs/dbraw/zinc/84/27/81/713842781.db2.gz FYDGFQRWYCFWQF-UHFFFAOYSA-N -1 1 324.213 1.846 20 0 DDADMM O=S(=O)([N-]C[C@]12C[C@H]1CCC2)c1nc[nH]c1Br ZINC000921920708 713908489 /nfs/dbraw/zinc/90/84/89/713908489.db2.gz SIUNWBJDPHIQCZ-XCBNKYQSSA-N -1 1 320.212 1.641 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@H]2CCNC(=O)[C@H]21 ZINC000922460631 714060821 /nfs/dbraw/zinc/06/08/21/714060821.db2.gz BXCHKMIQSKEVIZ-ZANVPECISA-N -1 1 308.765 1.786 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)NCCc2c(F)cc([O-])cc2F)CO1 ZINC000923050662 714223133 /nfs/dbraw/zinc/22/31/33/714223133.db2.gz ZTDOBHRZSMPLMY-RKDXNWHRSA-N -1 1 300.305 1.690 20 0 DDADMM C[C@H]1COCCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932041300 714244245 /nfs/dbraw/zinc/24/42/45/714244245.db2.gz ZSUDPLVPSXKCLE-NSHDSACASA-N -1 1 302.334 1.831 20 0 DDADMM CC[C@@](C)(CO)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932046827 714245886 /nfs/dbraw/zinc/24/58/86/714245886.db2.gz YXLDYMSHYLZQIN-HNNXBMFYSA-N -1 1 304.350 1.860 20 0 DDADMM O=C(NOC[C@H]1CCOC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932050521 714246433 /nfs/dbraw/zinc/24/64/33/714246433.db2.gz WNGGLDARKPUPSZ-NSHDSACASA-N -1 1 318.333 1.668 20 0 DDADMM CC(F)(F)C(=O)[N-]CC(=O)c1ccc(Br)cn1 ZINC000923256510 714286753 /nfs/dbraw/zinc/28/67/53/714286753.db2.gz IGPMWVQYIXYUND-UHFFFAOYSA-N -1 1 307.094 1.798 20 0 DDADMM NC(=O)C12CCC(CC1)N2CCOc1ccccc1C(=O)[O-] ZINC000923272290 714292727 /nfs/dbraw/zinc/29/27/27/714292727.db2.gz GNVDERUIFHBEHL-UHFFFAOYSA-N -1 1 304.346 1.246 20 0 DDADMM O=C(c1ccccc1-n1cccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000932281641 714299976 /nfs/dbraw/zinc/29/99/76/714299976.db2.gz ALLJOPPPOBPODU-AWEZNQCLSA-N -1 1 324.344 1.204 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H]1CC[C@@H](C(F)(F)F)O1)c1nn[n-]n1 ZINC000934311473 714791430 /nfs/dbraw/zinc/79/14/30/714791430.db2.gz IIFPUHSGBNVIKL-CIUDSAMLSA-N -1 1 321.303 1.657 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])[C@H]1CCCN(C2CC2)C1=O ZINC000935598280 715097825 /nfs/dbraw/zinc/09/78/25/715097825.db2.gz NXMZSUJNOMVIPK-SECBINFHSA-N -1 1 309.753 1.780 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2cc(F)cc3ccoc32)C1=O ZINC000935751039 715126002 /nfs/dbraw/zinc/12/60/02/715126002.db2.gz PRTUFPIGOPTFBK-HNNXBMFYSA-N -1 1 319.292 1.937 20 0 DDADMM CCC[C@H](O)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000935989027 715142371 /nfs/dbraw/zinc/14/23/71/715142371.db2.gz IJTCYCBEIWJKJK-NAKRPEOUSA-N -1 1 324.343 1.451 20 0 DDADMM O=C([N-][C@H]1CCN(C(=O)c2cc(F)c(F)c(F)c2)C1)C(F)F ZINC000937583759 715418980 /nfs/dbraw/zinc/41/89/80/715418980.db2.gz IXUDRTWACSEHHJ-ZETCQYMHSA-N -1 1 322.233 1.700 20 0 DDADMM C[C@]1(NC(=O)c2ccoc2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956703434 715551140 /nfs/dbraw/zinc/55/11/40/715551140.db2.gz LIFZGKAXUIIEMK-INIZCTEOSA-N -1 1 315.329 1.415 20 0 DDADMM CC1CC(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000937806536 715585276 /nfs/dbraw/zinc/58/52/76/715585276.db2.gz RRTBBQFEDOQCGQ-MCIGGMRASA-N -1 1 303.362 1.164 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937834068 715603313 /nfs/dbraw/zinc/60/33/13/715603313.db2.gz DFUQXUXIVOAJII-WDEREUQCSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1[nH]ccc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937860056 715616182 /nfs/dbraw/zinc/61/61/82/715616182.db2.gz ALTXMXNEGZVXIC-NSHDSACASA-N -1 1 314.345 1.068 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)C2=CCCC2)C1 ZINC000956849153 715636330 /nfs/dbraw/zinc/63/63/30/715636330.db2.gz MHCSCSWNRQWTOH-QGZVFWFLSA-N -1 1 315.373 1.618 20 0 DDADMM CC1(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC=CC1 ZINC000938646013 715933112 /nfs/dbraw/zinc/93/31/12/715933112.db2.gz SLTBBXZSFMNYEV-LBPRGKRZSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(/C=C/C1CC1)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955958797 716036707 /nfs/dbraw/zinc/03/67/07/716036707.db2.gz COXBQFZJQQBERM-GWJCSSMESA-N -1 1 315.373 1.474 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939012439 716085665 /nfs/dbraw/zinc/08/56/65/716085665.db2.gz XYXCWETUAXZQCP-IJLUTSLNSA-N -1 1 303.362 1.116 20 0 DDADMM CC(C)=C(F)C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940723780 716930615 /nfs/dbraw/zinc/93/06/15/716930615.db2.gz DFXABEJKSOUKLA-UHFFFAOYSA-N -1 1 321.352 1.725 20 0 DDADMM CC(C)CC(=O)N1C[C@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959355871 716980668 /nfs/dbraw/zinc/98/06/68/716980668.db2.gz VMCURJRDBPFEAN-QWHCGFSZSA-N -1 1 319.405 1.658 20 0 DDADMM O=C(NC[C@H]1CCCCN1C(=O)C(F)F)c1ncccc1[O-] ZINC000964395532 717244858 /nfs/dbraw/zinc/24/48/58/717244858.db2.gz WMJYFAUBUGWUHE-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2CCCN(C(=O)C3CC3)[C@@H]2C1 ZINC000963622169 717853869 /nfs/dbraw/zinc/85/38/69/717853869.db2.gz UIKTVRHYVYZDQI-QWHCGFSZSA-N -1 1 315.373 1.260 20 0 DDADMM CC(C)C(=O)N1CCC2(C[C@@H]2NC(=O)c2ncccc2[O-])CC1 ZINC000963686436 717879801 /nfs/dbraw/zinc/87/98/01/717879801.db2.gz XYYAQMNNSJUDCO-ZDUSSCGKSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)C2=CCCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966314413 718511275 /nfs/dbraw/zinc/51/12/75/718511275.db2.gz IUOGEJNOMGJPBV-AAEUAGOBSA-N -1 1 315.373 1.474 20 0 DDADMM CCC(=O)N1CC[C@@H]2[C@@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945811217 718568186 /nfs/dbraw/zinc/56/81/86/718568186.db2.gz JAJFQIXTWLUSAB-NWDGAFQWSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@H]1CN(C(=O)C2(CF)CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966800884 718665137 /nfs/dbraw/zinc/66/51/37/718665137.db2.gz PCFAEMYIAMBVOF-QWRGUYRKSA-N -1 1 321.352 1.114 20 0 DDADMM CC1(C(=O)N[C@@H]2CCC[C@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000946220064 718715360 /nfs/dbraw/zinc/71/53/60/718715360.db2.gz IEMZMLJLLMLIEA-NWDGAFQWSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(C=C1CCCCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000967524554 718924358 /nfs/dbraw/zinc/92/43/58/718924358.db2.gz DAOOFLSBCLERIP-GFCCVEGCSA-N -1 1 305.382 1.091 20 0 DDADMM CCN(C(C)=O)C1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000967717825 718984788 /nfs/dbraw/zinc/98/47/88/718984788.db2.gz KQEMRXBGWXAMDP-UHFFFAOYSA-N -1 1 320.393 1.307 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])[C@@H]1C[C@H]1C1CC1 ZINC000950196323 720522013 /nfs/dbraw/zinc/52/20/13/720522013.db2.gz HUNQVJMCQNMBSN-FRRDWIJNSA-N -1 1 315.373 1.164 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950546612 720677744 /nfs/dbraw/zinc/67/77/44/720677744.db2.gz RSFUWCWNWKYVEY-GRYCIOLGSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950546616 720678532 /nfs/dbraw/zinc/67/85/32/720678532.db2.gz RSFUWCWNWKYVEY-IJLUTSLNSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)c1ccccc1 ZINC000970505286 720789411 /nfs/dbraw/zinc/78/94/11/720789411.db2.gz RAYJQQNMRWQBJS-WCQYABFASA-N -1 1 315.377 1.005 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2CCCC2)C1 ZINC000954124293 721723502 /nfs/dbraw/zinc/72/35/02/721723502.db2.gz UJNMNOUBKUTFPT-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM Cc1c[nH]cc1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954127805 721724915 /nfs/dbraw/zinc/72/49/15/721724915.db2.gz HEAJKSAKZXWULG-UHFFFAOYSA-N -1 1 314.345 1.020 20 0 DDADMM C[C@@H](CCNC(=O)CC1CCCC1)NC(=O)c1ncccc1[O-] ZINC001075470380 735325910 /nfs/dbraw/zinc/32/59/10/735325910.db2.gz OSFDRKKTGSTUPU-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CCCC(=O)NCC[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001075396314 733174783 /nfs/dbraw/zinc/17/47/83/733174783.db2.gz KSZICCVJIGGGKU-JTQLQIEISA-N -1 1 320.393 1.484 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCC[C@H]2[C@H]2CCN(CCF)C2)c1[O-] ZINC001039199169 733194040 /nfs/dbraw/zinc/19/40/40/733194040.db2.gz QDIWALVLEKLWKC-STQMWFEESA-N -1 1 324.400 1.710 20 0 DDADMM CS(=O)(=O)Cn1cc(Nc2ccc(F)c(F)c2[O-])cn1 ZINC001210791579 733290512 /nfs/dbraw/zinc/29/05/12/733290512.db2.gz WEIGIIYJHDNKRH-UHFFFAOYSA-N -1 1 303.290 1.613 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001087123731 733293179 /nfs/dbraw/zinc/29/31/79/733293179.db2.gz XGRZISNSANFQHB-UTUOFQBUSA-N -1 1 309.414 1.273 20 0 DDADMM O=C(NC[C@H]1CCCN1Cc1cnsn1)c1ncccc1[O-] ZINC001027842920 738725572 /nfs/dbraw/zinc/72/55/72/738725572.db2.gz AMADEQCOBBOMRK-LLVKDONJSA-N -1 1 319.390 1.033 20 0 DDADMM Cc1nocc1CN1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001027843563 738726962 /nfs/dbraw/zinc/72/69/62/738726962.db2.gz CNMOCBLPCICQLS-ZDUSSCGKSA-N -1 1 316.361 1.478 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001011035935 734378903 /nfs/dbraw/zinc/37/89/03/734378903.db2.gz IZHUNCOCDGIRJZ-STQMWFEESA-N -1 1 317.389 1.508 20 0 DDADMM COCOc1ccccc1[N-]S(=O)(=O)c1ccc(N)c(N)c1 ZINC001211564396 734890003 /nfs/dbraw/zinc/89/00/03/734890003.db2.gz AZDQFJJDKMWHHG-UHFFFAOYSA-N -1 1 323.374 1.635 20 0 DDADMM CC[C@@H](F)CN1CCCC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001024396075 735802653 /nfs/dbraw/zinc/80/26/53/735802653.db2.gz MJQJINPHEHLLBS-VXGBXAGGSA-N -1 1 312.389 1.756 20 0 DDADMM Cn1cc(CC[N-]S(=O)(=O)c2ccc(Cl)nc2F)cn1 ZINC000692888174 738943629 /nfs/dbraw/zinc/94/36/29/738943629.db2.gz HHDSRMMUADTYPB-UHFFFAOYSA-N -1 1 318.761 1.129 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1sc(Cl)nc1C)C(N)=O ZINC000310611183 736967444 /nfs/dbraw/zinc/96/74/44/736967444.db2.gz CQRSDJRZOCDYQE-LURJTMIESA-N -1 1 311.816 1.037 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(F)F ZINC001007758562 737080207 /nfs/dbraw/zinc/08/02/07/737080207.db2.gz LZLRKUVRNSSFRB-SECBINFHSA-N -1 1 313.304 1.019 20 0 DDADMM CC(C)(C)C[C@@H](O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692904212 738986161 /nfs/dbraw/zinc/98/61/61/738986161.db2.gz JNSLWDGJQVOPSL-MRVPVSSYSA-N -1 1 324.805 1.950 20 0 DDADMM CC(C)=CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001012235052 740443371 /nfs/dbraw/zinc/44/33/71/740443371.db2.gz MECIVODSZVQXAJ-NEPJUHHUSA-N -1 1 303.362 1.473 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CC[C@@H](C1)N2Cc1ccccn1 ZINC001029280911 740516903 /nfs/dbraw/zinc/51/69/03/740516903.db2.gz KFTPPBNDFGVUKB-GASCZTMLSA-N -1 1 324.384 1.671 20 0 DDADMM C[C@H](CO)[N-]S(=O)(=O)c1c(F)cccc1Br ZINC000305212266 741287981 /nfs/dbraw/zinc/28/79/81/741287981.db2.gz PUPJWCFCYPMSLR-ZCFIWIBFSA-N -1 1 312.160 1.247 20 0 DDADMM N=C(Nc1ccc2c(c1)NCC2)SCCS(=O)(=O)[O-] ZINC001168097562 741441949 /nfs/dbraw/zinc/44/19/49/741441949.db2.gz XBJIDOPEZVHTRU-UHFFFAOYSA-N -1 1 301.393 1.622 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(Cc3cccnc3)[C@@H]2C)c1[O-] ZINC001088525755 741698822 /nfs/dbraw/zinc/69/88/22/741698822.db2.gz MCNRFHHOHXFESG-YPMHNXCESA-N -1 1 315.377 1.212 20 0 DDADMM COc1ccc2c(c1)C[C@H](Nc1[nH]c(=O)nc3[n-]c(=O)[nH]c31)C2 ZINC001168241639 741838762 /nfs/dbraw/zinc/83/87/62/741838762.db2.gz LPAAEFPMGSZYGX-SECBINFHSA-N -1 1 313.317 1.304 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCCC2CCOCC2)sn1 ZINC001211984450 741917425 /nfs/dbraw/zinc/91/74/25/741917425.db2.gz CSHLEJRGGYTYEI-UHFFFAOYSA-N -1 1 320.436 1.637 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](CNc2ncccn2)C1 ZINC001060021078 742216350 /nfs/dbraw/zinc/21/63/50/742216350.db2.gz JCBQWDXWVZIHJI-LBPRGKRZSA-N -1 1 313.361 1.542 20 0 DDADMM C[C@@]1(CO)CCN(Cc2ccc(Cl)cc2C(=O)[O-])C[C@H]1O ZINC001142646939 742480224 /nfs/dbraw/zinc/48/02/24/742480224.db2.gz JSTSWWDMDBDLLD-HIFRSBDPSA-N -1 1 313.781 1.603 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001076246438 742619039 /nfs/dbraw/zinc/61/90/39/742619039.db2.gz SHTFNTQPDNKERH-WUHRBBMRSA-N -1 1 317.389 1.458 20 0 DDADMM COCC[C@H](C)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076248298 742619893 /nfs/dbraw/zinc/61/98/93/742619893.db2.gz HPALSWZNGAKXJU-RYUDHWBXSA-N -1 1 323.393 1.084 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC=CCC1 ZINC001076244205 742623147 /nfs/dbraw/zinc/62/31/47/742623147.db2.gz MHPBKOIQKVPHKN-QWHCGFSZSA-N -1 1 317.389 1.768 20 0 DDADMM CC/C(C)=C\C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076245266 742624455 /nfs/dbraw/zinc/62/44/55/742624455.db2.gz VLXYOIUBMREGSB-WEHUKYGOSA-N -1 1 305.378 1.768 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1C[C@H]1c1cccnc1 ZINC001168694035 743091967 /nfs/dbraw/zinc/09/19/67/743091967.db2.gz LZMHQBYXYPXRMA-RRFJBIMHSA-N -1 1 320.356 1.604 20 0 DDADMM C[C@@H](C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1)N1CCCC1=O ZINC001181288616 743139328 /nfs/dbraw/zinc/13/93/28/743139328.db2.gz LPXAKJNNGJFOHM-NSHDSACASA-N -1 1 314.345 1.527 20 0 DDADMM C[C@@H]1C[C@@H]1c1ncc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cn1 ZINC001185128629 744166081 /nfs/dbraw/zinc/16/60/81/744166081.db2.gz QDXRAZDWSLGKAE-VDTYLAMSSA-N -1 1 316.277 1.451 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)C12CCC(O)(CC1)CC2 ZINC001185286445 744198584 /nfs/dbraw/zinc/19/85/84/744198584.db2.gz AEHYLWBJUSUBCO-UHFFFAOYSA-N -1 1 303.322 1.082 20 0 DDADMM CC(C)(CC1CCOCC1)C(=O)NCCCC[P@](=O)([O-])O ZINC001185325033 744207306 /nfs/dbraw/zinc/20/73/06/744207306.db2.gz IJKMKPQTASCTCR-UHFFFAOYSA-N -1 1 321.354 1.903 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC3(C2)CCN(CCF)CC3)c1[O-] ZINC001040671181 744270148 /nfs/dbraw/zinc/27/01/48/744270148.db2.gz IUGIYJUEGCYCRI-UHFFFAOYSA-N -1 1 310.373 1.321 20 0 DDADMM O=C(NC1CN(Cc2ccc(F)c(Cl)c2)C1)c1cnn[nH]1 ZINC001030597538 744306866 /nfs/dbraw/zinc/30/68/66/744306866.db2.gz NPIBVJGGWZGCLE-UHFFFAOYSA-N -1 1 309.732 1.211 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cncc(O)c2)ccc1F ZINC001186143682 744349845 /nfs/dbraw/zinc/34/98/45/744349845.db2.gz QETJOJACYBRHJV-UHFFFAOYSA-N -1 1 312.278 1.425 20 0 DDADMM CCN1CC[C@@]2(CN(C(=O)c3ccc([O-])c(F)c3)C[C@@H]2C)C1=O ZINC001186218797 744366600 /nfs/dbraw/zinc/36/66/00/744366600.db2.gz MKQCKAPDOHVJCE-GTNSWQLSSA-N -1 1 320.364 1.862 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)C1CC(Oc2ccccc2)C1 ZINC001186860734 744464975 /nfs/dbraw/zinc/46/49/75/744464975.db2.gz LVUPPIZSRDOTLL-UHFFFAOYSA-N -1 1 316.317 1.266 20 0 DDADMM CN(C)C(=O)c1cccc(NC(=O)NCC2CCOCC2)c1[O-] ZINC001186946063 744475717 /nfs/dbraw/zinc/47/57/17/744475717.db2.gz RUJIOXBQMNBRHA-UHFFFAOYSA-N -1 1 321.377 1.642 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(C(F)(F)F)c[nH]3)c1-2 ZINC001187693443 744593513 /nfs/dbraw/zinc/59/35/13/744593513.db2.gz UEMLRBYUZKTZHF-UHFFFAOYSA-N -1 1 310.239 1.634 20 0 DDADMM COc1ccc2c(c1)C[C@@H]([N-]S(=O)(=O)c1nccs1)CC2 ZINC001187917931 744626043 /nfs/dbraw/zinc/62/60/43/744626043.db2.gz NEYNAGUTBSOUAO-LBPRGKRZSA-N -1 1 324.427 1.988 20 0 DDADMM CCOC(=O)[C@@H](CC1CCC1)[N-]S(=O)(=O)c1nccs1 ZINC001187917579 744629619 /nfs/dbraw/zinc/62/96/19/744629619.db2.gz CMFWPBOUNSQUTQ-SNVBAGLBSA-N -1 1 318.420 1.543 20 0 DDADMM CN(C)c1nc(NC(=O)NCc2ccco2)c(N=O)c(=O)[n-]1 ZINC001188140725 744664637 /nfs/dbraw/zinc/66/46/37/744664637.db2.gz UVKGKEQOZWJAEX-UHFFFAOYSA-N -1 1 306.282 1.561 20 0 DDADMM O=C(Nc1nc[n-]c(=O)c1Br)c1ccc(F)nc1 ZINC001188646403 744735820 /nfs/dbraw/zinc/73/58/20/744735820.db2.gz STPUTGWTTPBDAK-UHFFFAOYSA-N -1 1 313.086 1.731 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(F)c(F)c(C(F)(F)F)c1 ZINC001189348219 744882210 /nfs/dbraw/zinc/88/22/10/744882210.db2.gz DLSQJJHFZPNHFO-UHFFFAOYSA-N -1 1 307.182 1.427 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)Cc2cccc(F)c2F)c(=O)[nH]1 ZINC001189425879 744893836 /nfs/dbraw/zinc/89/38/36/744893836.db2.gz NMKXMGVAAUMJQZ-UHFFFAOYSA-N -1 1 315.301 1.711 20 0 DDADMM O=c1cc(C(F)(F)F)[nH]cc1[N-]S(=O)(=O)CCCF ZINC001189870532 745009365 /nfs/dbraw/zinc/00/93/65/745009365.db2.gz YJHXTHBNBNQLIP-UHFFFAOYSA-N -1 1 302.249 1.907 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)Cc2ccc(F)cc2F)o1 ZINC001189854584 745021206 /nfs/dbraw/zinc/02/12/06/745021206.db2.gz OSVAOKFAPINMNW-UHFFFAOYSA-N -1 1 303.290 1.276 20 0 DDADMM CC1(C)[C@H](NC(=O)c2cnc(-c3ccccc3)[n-]c2=O)C[C@@H]1O ZINC001190270396 745169149 /nfs/dbraw/zinc/16/91/49/745169149.db2.gz PBHMSLUTFVUIJU-OLZOCXBDSA-N -1 1 313.357 1.738 20 0 DDADMM CC[C@H](COC)NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190637335 745282034 /nfs/dbraw/zinc/28/20/34/745282034.db2.gz FLZWWGUCRLLZAL-SNVBAGLBSA-N -1 1 302.334 1.399 20 0 DDADMM O=C([N-]c1noc2nccnc12)c1cnc(-c2ncccn2)nc1 ZINC001190882912 745366435 /nfs/dbraw/zinc/36/64/35/745366435.db2.gz VZDBPCJQKINUPL-UHFFFAOYSA-N -1 1 320.272 1.117 20 0 DDADMM C[C@H](CCNc1ncc(Cl)cn1)NC(=O)c1ncccc1[O-] ZINC001106296503 745564070 /nfs/dbraw/zinc/56/40/70/745564070.db2.gz UJRWJCXEIBEJRI-SECBINFHSA-N -1 1 321.768 1.851 20 0 DDADMM O=S(=O)([N-]c1ccnc(CO)c1)C(Cl)(Cl)Cl ZINC001192001684 745663338 /nfs/dbraw/zinc/66/33/38/745663338.db2.gz QRSLYDHPWJSHAH-UHFFFAOYSA-N -1 1 305.570 1.643 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Oc2ccc(O)cc2)cc1 ZINC001192453486 745793070 /nfs/dbraw/zinc/79/30/70/745793070.db2.gz YFNVGGOBANPGAM-UHFFFAOYSA-N -1 1 311.301 1.628 20 0 DDADMM COc1ncc([N-]S(=O)(=O)c2ccc(C)c(N)c2)cc1N ZINC001203508365 745799324 /nfs/dbraw/zinc/79/93/24/745799324.db2.gz MZIXNKQBKRYHAX-UHFFFAOYSA-N -1 1 308.363 1.364 20 0 DDADMM CCOC(=O)c1n[nH]cc1NC(=O)c1c(F)ccc([O-])c1F ZINC001192525576 745821488 /nfs/dbraw/zinc/82/14/88/745821488.db2.gz XKLZCCKCIWQPOX-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM CC(C)C(=O)N[C@@H]1[C@H]2CN(C(=O)c3c(F)ccc([O-])c3F)C[C@H]21 ZINC001192535891 745825385 /nfs/dbraw/zinc/82/53/85/745825385.db2.gz MMWXJGHCIIMFIE-QRJZZWFUSA-N -1 1 324.327 1.513 20 0 DDADMM COc1ccnc(C(=N)NC(=O)c2cc([O-])cc(F)c2F)n1 ZINC001192678620 745859706 /nfs/dbraw/zinc/85/97/06/745859706.db2.gz IZUBNUZMLXGLGR-UHFFFAOYSA-N -1 1 308.244 1.224 20 0 DDADMM COC(=O)[C@@]1(C)COCCN1C(=O)c1cc([O-])cc(F)c1F ZINC001192665986 745869089 /nfs/dbraw/zinc/86/90/89/745869089.db2.gz ZWGGCZZMFQCRLC-CQSZACIVSA-N -1 1 315.272 1.075 20 0 DDADMM COCc1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)cc1F ZINC001193260188 746035039 /nfs/dbraw/zinc/03/50/39/746035039.db2.gz ATWQTZBEMAWXTL-LBPRGKRZSA-N -1 1 303.355 1.893 20 0 DDADMM COC(=O)c1ccc(C)c(S(=O)(=O)[N-]c2c(C)ncn2C)c1 ZINC001193394443 746089341 /nfs/dbraw/zinc/08/93/41/746089341.db2.gz JIGYGEZSMWFGQX-UHFFFAOYSA-N -1 1 323.374 1.624 20 0 DDADMM COc1ccnc(C(=O)Nc2cc(OCC3CC3)n[nH]2)c1[O-] ZINC001193524680 746133333 /nfs/dbraw/zinc/13/33/33/746133333.db2.gz HESAEDIEYZBNKM-UHFFFAOYSA-N -1 1 304.306 1.560 20 0 DDADMM CCOc1ncc(NC(=O)c2nccc(OC)c2[O-])cc1F ZINC001193525074 746133779 /nfs/dbraw/zinc/13/37/79/746133779.db2.gz SVLPNQLXDAKOIC-UHFFFAOYSA-N -1 1 307.281 1.981 20 0 DDADMM COC(=O)c1cc(C)cnc1NC(=O)c1nccc(OC)c1[O-] ZINC001193525075 746134096 /nfs/dbraw/zinc/13/40/96/746134096.db2.gz SVTZHTWTZMLNBB-UHFFFAOYSA-N -1 1 317.301 1.538 20 0 DDADMM CN1Cc2c(cccc2[N-]S(=O)(=O)c2ccccc2N)C1=O ZINC001193934602 746227369 /nfs/dbraw/zinc/22/73/69/746227369.db2.gz JGSMMHGVIJOGOY-UHFFFAOYSA-N -1 1 317.370 1.655 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cnc3n[nH]c(C)c3c2)[n-]n1 ZINC001194283627 746339047 /nfs/dbraw/zinc/33/90/47/746339047.db2.gz NZNXWCJMQUYYSZ-UHFFFAOYSA-N -1 1 300.278 1.028 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2nc(Cl)cc3nc[nH]c32)[n-]n1 ZINC001194282282 746339278 /nfs/dbraw/zinc/33/92/78/746339278.db2.gz GJUYDCKBRFCKMR-UHFFFAOYSA-N -1 1 320.696 1.373 20 0 DDADMM C[C@H]1OCC[C@H]1C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC001194576549 746412779 /nfs/dbraw/zinc/41/27/79/746412779.db2.gz QBWWHATVVASORL-BDAKNGLRSA-N -1 1 324.324 1.804 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2cccnc2OC)c(F)cn1 ZINC001194679893 746435815 /nfs/dbraw/zinc/43/58/15/746435815.db2.gz UVHAXQLNTJLJEO-UHFFFAOYSA-N -1 1 313.310 1.434 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1cccc(CO)c1F ZINC001194711255 746446900 /nfs/dbraw/zinc/44/69/00/746446900.db2.gz JSYGHBXVKNNZDK-UHFFFAOYSA-N -1 1 312.322 1.522 20 0 DDADMM COc1ccccc1OC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001195284304 746568904 /nfs/dbraw/zinc/56/89/04/746568904.db2.gz JRIGRLGIJZYMAQ-UHFFFAOYSA-N -1 1 317.261 1.384 20 0 DDADMM CCOC(=O)c1c(C)[nH]nc1NC(=O)c1nc(C)ccc1[O-] ZINC001195317718 746575775 /nfs/dbraw/zinc/57/57/75/746575775.db2.gz DVTJANYPQNOPML-UHFFFAOYSA-N -1 1 304.306 1.556 20 0 DDADMM CCOC(=O)C1=C(NC(=O)c2nc(C)ccc2[O-])[C@@H](C)OC1 ZINC001195329688 746577010 /nfs/dbraw/zinc/57/70/10/746577010.db2.gz GUCACGHDPKZXDG-SECBINFHSA-N -1 1 306.318 1.061 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c(Cl)cc(Cl)nc1Cl ZINC001195924165 746737710 /nfs/dbraw/zinc/73/77/10/746737710.db2.gz ASEOGNCSTOYPSB-UHFFFAOYSA-N -1 1 307.528 1.485 20 0 DDADMM O=C(N[C@@H]1COc2ccc(Cl)cc21)c1c[nH]c(=S)[n-]c1=O ZINC001196027725 746765967 /nfs/dbraw/zinc/76/59/67/746765967.db2.gz ZUJVWDKAJFQKNT-SECBINFHSA-N -1 1 323.761 1.988 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cnc(-c2ccc(Cl)cc2)s1 ZINC001196433272 746867824 /nfs/dbraw/zinc/86/78/24/746867824.db2.gz XJYFDXRNMBUDPQ-UHFFFAOYSA-N -1 1 320.765 1.907 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1ncc(-c2ccccc2)cn1 ZINC001197241200 747114024 /nfs/dbraw/zinc/11/40/24/747114024.db2.gz BEGKBGKJAUVGKA-UHFFFAOYSA-N -1 1 324.300 1.179 20 0 DDADMM Cc1cc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)nn1C1CCC1 ZINC001197426691 747160906 /nfs/dbraw/zinc/16/09/06/747160906.db2.gz HEJKQVZSIYPNCN-UHFFFAOYSA-N -1 1 318.293 1.768 20 0 DDADMM Cc1ccc2c(c1)[C@@H]([N-]S(=O)(=O)Cc1ccccn1)C(=O)N2 ZINC001197835765 747273571 /nfs/dbraw/zinc/27/35/71/747273571.db2.gz XEQSZLCGLMZGOC-CQSZACIVSA-N -1 1 317.370 1.503 20 0 DDADMM O=C(C[C@@H]1CC[C@H]2C[C@H]21)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000994661066 747291874 /nfs/dbraw/zinc/29/18/74/747291874.db2.gz FLJSYLLBQPDHGU-GMXVVIOVSA-N -1 1 315.373 1.164 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@@H]2C(=O)Nc3cccc(C)c32)n1C ZINC001198415339 747484903 /nfs/dbraw/zinc/48/49/03/747484903.db2.gz PJBANSPDYAOBHY-ZDUSSCGKSA-N -1 1 320.374 1.009 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(OC(F)F)ccn3)c1-2 ZINC001199307982 747784606 /nfs/dbraw/zinc/78/46/06/747784606.db2.gz XLPARWATTSTYCP-UHFFFAOYSA-N -1 1 320.259 1.284 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)[C@@H]2CCN(Cc3ccsc3)C2)c1[O-] ZINC001033018746 747927123 /nfs/dbraw/zinc/92/71/23/747927123.db2.gz ZQQNLABSYTXDRQ-GFCCVEGCSA-N -1 1 320.418 1.832 20 0 DDADMM Cc1cnc(CN2CC[C@@H](N(C)C(=O)c3ncccc3[O-])C2)o1 ZINC001033025072 747959865 /nfs/dbraw/zinc/95/98/65/747959865.db2.gz IWZCGXNOJDYURH-GFCCVEGCSA-N -1 1 316.361 1.430 20 0 DDADMM CSc1nc(NC(=S)NC[C@@H]2CCCO2)cc(=O)[n-]1 ZINC001200011790 748081151 /nfs/dbraw/zinc/08/11/51/748081151.db2.gz LLUGIQYCGZETBU-ZETCQYMHSA-N -1 1 300.409 1.370 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc2nccn2c1 ZINC001201271815 748464135 /nfs/dbraw/zinc/46/41/35/748464135.db2.gz AEHYBUXJACRUQS-UHFFFAOYSA-N -1 1 300.234 1.186 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@@H]1CCC(=O)N1C ZINC001201767304 748598843 /nfs/dbraw/zinc/59/88/43/748598843.db2.gz VGGJJMCKLYHZJA-ZETCQYMHSA-N -1 1 323.827 1.004 20 0 DDADMM CC1(C)CN(C(=O)CC2CCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995378605 748633457 /nfs/dbraw/zinc/63/34/57/748633457.db2.gz BHQPCKOXMDBLRL-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)C1CC=CC1 ZINC001004793941 748807195 /nfs/dbraw/zinc/80/71/95/748807195.db2.gz XYUDDIADEAJYGD-WCQYABFASA-N -1 1 319.409 1.336 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)C=C1CCC1 ZINC001004827073 748829094 /nfs/dbraw/zinc/82/90/94/748829094.db2.gz NOFSFHTVMCYLOV-AAEUAGOBSA-N -1 1 319.409 1.480 20 0 DDADMM O=C(NCC=CCNc1nc2c(cccc2F)o1)c1cnn[nH]1 ZINC001107584569 750187965 /nfs/dbraw/zinc/18/79/65/750187965.db2.gz FBLLHNXDNJCPHB-UPHRSURJSA-N -1 1 316.296 1.483 20 0 DDADMM O=C(c1cccc(F)c1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034847436 750963267 /nfs/dbraw/zinc/96/32/67/750963267.db2.gz ZJJNWKOLYPWUCA-GFCCVEGCSA-N -1 1 319.340 1.044 20 0 DDADMM CC1(C)CC[C@@H](C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001035043013 751145082 /nfs/dbraw/zinc/14/50/82/751145082.db2.gz FKGHRVIJOFOFAM-VXGBXAGGSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H]1CCn2ccnc2C1 ZINC001168921593 762125868 /nfs/dbraw/zinc/12/58/68/762125868.db2.gz FAWBNPIBBPKWDT-GFCCVEGCSA-N -1 1 323.356 1.976 20 0 DDADMM CC(C)(C)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008084692 752540734 /nfs/dbraw/zinc/54/07/34/752540734.db2.gz PXBJVZJOXNQPGZ-GFCCVEGCSA-N -1 1 319.405 1.800 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@]2(CNC(=O)c2ncccc2[O-])C1 ZINC001008884319 752960946 /nfs/dbraw/zinc/96/09/46/752960946.db2.gz RDTWJGVDDUWRSV-LRDDRELGSA-N -1 1 303.362 1.166 20 0 DDADMM C[C@@H](NC(=O)C1CC=CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005837273 753221861 /nfs/dbraw/zinc/22/18/61/753221861.db2.gz RVXXJJFMBKOSBG-LLVKDONJSA-N -1 1 315.373 1.330 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2cc[nH]c2)C1 ZINC001005956046 753416786 /nfs/dbraw/zinc/41/67/86/753416786.db2.gz HPSHWRUHTVFQQC-JTQLQIEISA-N -1 1 314.345 1.006 20 0 DDADMM O=C(N[C@H]1CCC[N@@H+](C[C@H]2CCCCO2)C1)c1ncccc1[O-] ZINC001007178707 753573574 /nfs/dbraw/zinc/57/35/74/753573574.db2.gz UUKUFWXRALIMNT-UONOGXRCSA-N -1 1 319.405 1.551 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839412 753759810 /nfs/dbraw/zinc/75/98/10/753759810.db2.gz PSHGZUCXTWBFGH-LLVKDONJSA-N -1 1 317.389 1.602 20 0 DDADMM CC1CC(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001010963060 754279070 /nfs/dbraw/zinc/27/90/70/754279070.db2.gz LZZRLYUUHLQOEI-UHFFFAOYSA-N -1 1 303.362 1.118 20 0 DDADMM O=C(N[C@H]1CCC[C@@H]1CNc1ncccn1)c1ncccc1[O-] ZINC001064093493 754494636 /nfs/dbraw/zinc/49/46/36/754494636.db2.gz KKPKCMBPBQXQJF-NEPJUHHUSA-N -1 1 313.361 1.588 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cc[nH]c1 ZINC001011807442 754724102 /nfs/dbraw/zinc/72/41/02/754724102.db2.gz CBHRTSFTAUTDOK-ZYHUDNBSSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ccco1 ZINC001012199281 754926900 /nfs/dbraw/zinc/92/69/00/754926900.db2.gz WRSKGHLQNULZBJ-GHMZBOCLSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1CCC1 ZINC001012433042 755068753 /nfs/dbraw/zinc/06/87/53/755068753.db2.gz QIQJPKNPIRKRBZ-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C2=CCCC2)CN1C(=O)c1ncccc1[O-] ZINC001012510386 755116046 /nfs/dbraw/zinc/11/60/46/755116046.db2.gz KUFCANBFQWVBFR-YPMHNXCESA-N -1 1 315.373 1.617 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001014894996 756005228 /nfs/dbraw/zinc/00/52/28/756005228.db2.gz RUYQJQNVOMCFLH-STQMWFEESA-N -1 1 317.389 1.863 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001082752458 756912049 /nfs/dbraw/zinc/91/20/49/756912049.db2.gz JKMYJFLZYNTOFM-FDYHWXHSSA-N -1 1 321.425 1.129 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)c1ccsc1 ZINC001085126873 758270649 /nfs/dbraw/zinc/27/06/49/758270649.db2.gz AOJZDVHLUHQBBV-GHMZBOCLSA-N -1 1 321.406 1.403 20 0 DDADMM Cc1cccc(C)c1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019423437 759922027 /nfs/dbraw/zinc/92/20/27/759922027.db2.gz JHEBEOAFEVUKPU-LBPRGKRZSA-N -1 1 315.377 1.131 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)C2CCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054935864 760202954 /nfs/dbraw/zinc/20/29/54/760202954.db2.gz ATAHYACYLXWTMW-PWSUYJOCSA-N -1 1 321.425 1.273 20 0 DDADMM Cc1cnc(C)nc1N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001056612421 761288148 /nfs/dbraw/zinc/28/81/48/761288148.db2.gz IFQAECBELSWFRV-GFCCVEGCSA-N -1 1 313.361 1.521 20 0 DDADMM Cc1nc(CN2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)co1 ZINC001007189151 761855489 /nfs/dbraw/zinc/85/54/89/761855489.db2.gz JVYNFZYINATCTA-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM CC[C@H](F)C[N@@H+]1CC[C@@]2(CCN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC001041206946 762881468 /nfs/dbraw/zinc/88/14/68/762881468.db2.gz HNOGKRNTANAAEC-BLLLJJGKSA-N -1 1 324.400 1.710 20 0 DDADMM O=C(NC[C@@H]1COCCN1CC1CCCC1)c1ncccc1[O-] ZINC001050888381 764236211 /nfs/dbraw/zinc/23/62/11/764236211.db2.gz VVCDITFWLZEGKF-CQSZACIVSA-N -1 1 319.405 1.408 20 0 DDADMM C[C@@H](Nc1ncc(F)cn1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001112983847 765083657 /nfs/dbraw/zinc/08/36/57/765083657.db2.gz QWINTEQAUMRRPG-DTWKUNHWSA-N -1 1 305.313 1.335 20 0 DDADMM CCC(=O)N1CCC[C@@H](C2CCN(Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001047217019 768321511 /nfs/dbraw/zinc/32/15/11/768321511.db2.gz VAHKHEWIGCELSK-CYBMUJFWSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)CC1CC1 ZINC001052420129 765807885 /nfs/dbraw/zinc/80/78/85/765807885.db2.gz CHGLSWAJMKAAKC-DGCLKSJQSA-N -1 1 317.389 1.412 20 0 DDADMM NC(=O)c1nc2ccc(Nc3[n-]c(=O)nc4nc[nH]c43)cc2o1 ZINC001170230774 766185269 /nfs/dbraw/zinc/18/52/69/766185269.db2.gz XGLJYSXUUCBTRU-UHFFFAOYSA-N -1 1 311.261 1.042 20 0 DDADMM CN1Cc2cccc(Nc3[n-]c(=O)nc4nc[nH]c43)c2NC1=O ZINC001170230828 766185285 /nfs/dbraw/zinc/18/52/85/766185285.db2.gz YTYKGPDWVMLRDA-UHFFFAOYSA-N -1 1 311.305 1.779 20 0 DDADMM COC(=O)c1ccc(N)c(Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001170227447 766201277 /nfs/dbraw/zinc/20/12/77/766201277.db2.gz NJHOVVPLYWHZPN-UHFFFAOYSA-N -1 1 300.278 1.171 20 0 DDADMM O=C(NC[C@H]1C[C@@H](Nc2ncc(F)cn2)C1)c1ncccc1[O-] ZINC001046333345 767431373 /nfs/dbraw/zinc/43/13/73/767431373.db2.gz AAXJCIFFOMQJCK-JGZJWPJOSA-N -1 1 317.324 1.337 20 0 DDADMM CC(=O)N(CCNCc1n[nH]c(=O)[n-]1)Cc1cccc(Cl)c1 ZINC001133379695 769760514 /nfs/dbraw/zinc/76/05/14/769760514.db2.gz AMHPFNZSLKUEMU-UHFFFAOYSA-N -1 1 323.784 1.302 20 0 DDADMM CC[C@H](CC1CCCCC1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134680726 771128299 /nfs/dbraw/zinc/12/82/99/771128299.db2.gz XIRCTUUQHRIXMH-CYBMUJFWSA-N -1 1 323.441 1.713 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCc3nonc3C)cc2)[n-]1 ZINC001148335762 773440723 /nfs/dbraw/zinc/44/07/23/773440723.db2.gz IXCRZNDBSUDDEC-UHFFFAOYSA-N -1 1 313.317 1.421 20 0 DDADMM C[C@@H]1CN(C(=O)CCCF)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC001073900545 773511690 /nfs/dbraw/zinc/51/16/90/773511690.db2.gz LOAZUBJODUUYSI-VXGBXAGGSA-N -1 1 323.368 1.504 20 0 DDADMM O=C(N[C@@H]1C=CS(=O)(=O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC001148799620 773604271 /nfs/dbraw/zinc/60/42/71/773604271.db2.gz RKVCHJBRTIWVDP-MRVPVSSYSA-N -1 1 321.276 1.452 20 0 DDADMM CCc1nccnc1NC(=O)c1cc(S(=O)(=O)[O-])ccc1O ZINC001148917121 773634444 /nfs/dbraw/zinc/63/44/44/773634444.db2.gz LIZZHFUPYBRRQN-UHFFFAOYSA-N -1 1 323.330 1.244 20 0 DDADMM CC(C)Cc1nsc(NCCNC(=O)c2ncccc2[O-])n1 ZINC001093562968 774889797 /nfs/dbraw/zinc/88/97/97/774889797.db2.gz OUJCKEIEQUEQKE-UHFFFAOYSA-N -1 1 321.406 1.679 20 0 DDADMM Cc1nc(C)c(F)c(NCCNC(=O)c2ncccc2[O-])n1 ZINC001093562662 774890012 /nfs/dbraw/zinc/89/00/12/774890012.db2.gz AWCVDGULQMFXQH-UHFFFAOYSA-N -1 1 305.313 1.175 20 0 DDADMM Cc1nc(C)c(C)c(N(C)CCNC(=O)c2ncccc2[O-])n1 ZINC001100071159 775646944 /nfs/dbraw/zinc/64/69/44/775646944.db2.gz XBZKVROBPCNXOT-UHFFFAOYSA-N -1 1 315.377 1.369 20 0 DDADMM CCOC(=O)C[C@@H]1CC[C@H](Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)C1 ZINC001173635614 777295276 /nfs/dbraw/zinc/29/52/76/777295276.db2.gz IIVNZBJVQSQHOS-SFYZADRCSA-N -1 1 321.337 1.250 20 0 DDADMM COc1cc(Nc2cc(S(=O)(=O)C(N)=O)ccc2[O-])ccn1 ZINC001174308058 777455029 /nfs/dbraw/zinc/45/50/29/777455029.db2.gz FOXDHVBRSJOXFZ-UHFFFAOYSA-N -1 1 323.330 1.806 20 0 DDADMM Cn1ncc2ccc([N-]S(=O)(=O)c3ccc(O)c(N)c3)cc21 ZINC001174341080 777460906 /nfs/dbraw/zinc/46/09/06/777460906.db2.gz SCEPSEAOXLDETH-UHFFFAOYSA-N -1 1 318.358 1.662 20 0 DDADMM Cc1nnc(CCC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)s1 ZINC001176285556 778101534 /nfs/dbraw/zinc/10/15/34/778101534.db2.gz COLNSLJSIREOAP-UHFFFAOYSA-N -1 1 310.295 1.017 20 0 DDADMM Cc1nc(CC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c(C)s1 ZINC001177267446 778523022 /nfs/dbraw/zinc/52/30/22/778523022.db2.gz LBYAYVGDCNJBAF-UHFFFAOYSA-N -1 1 309.307 1.540 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C(C)(C)Cc3cccnc3)c1-2 ZINC001178055009 778840294 /nfs/dbraw/zinc/84/02/94/778840294.db2.gz WXINLISMOVPRHL-UHFFFAOYSA-N -1 1 310.361 1.637 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2C=CCCC2)C1 ZINC001118976930 781289080 /nfs/dbraw/zinc/28/90/80/781289080.db2.gz UNUYYQJLLKXOHF-MFKMUULPSA-N -1 1 319.327 1.948 20 0 DDADMM C[C@@H](C(=O)NCCN(C)C(=O)c1ncccc1[O-])C1CCC1 ZINC001408605411 837975126 /nfs/dbraw/zinc/97/51/26/837975126.db2.gz SBKUBCMBUXMWRM-LLVKDONJSA-N -1 1 305.378 1.412 20 0 DDADMM CC(C)NC(=O)N1CCC2(CN(C(=O)c3ccc([O-])cn3)C2)C1 ZINC001269895897 842137824 /nfs/dbraw/zinc/13/78/24/842137824.db2.gz UJBRPXSFOUNEHA-UHFFFAOYSA-N -1 1 318.377 1.053 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CC[C@@H](C2CC2)O1)C(C)C ZINC001364105305 843011639 /nfs/dbraw/zinc/01/16/39/843011639.db2.gz AABOBLULXOEPBD-FRRDWIJNSA-N -1 1 319.423 1.061 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC1(F)F ZINC001409261698 844726584 /nfs/dbraw/zinc/72/65/84/844726584.db2.gz QFHPSUMRDPRQAB-DTWKUNHWSA-N -1 1 313.304 1.067 20 0 DDADMM CN1CC[C@@]2(CCCN2C(=O)c2c(F)ccc([O-])c2F)C1=O ZINC001272246604 844882793 /nfs/dbraw/zinc/88/27/93/844882793.db2.gz OMAYZKSDTKPSEX-HNNXBMFYSA-N -1 1 310.300 1.507 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CC1(C)CC1 ZINC001409881580 845988354 /nfs/dbraw/zinc/98/83/54/845988354.db2.gz WOXQMUBTFDUCLA-GFCCVEGCSA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(OC)ns1)[C@@H]1CCCO1 ZINC001365390971 890717482 /nfs/dbraw/zinc/71/74/82/890717482.db2.gz VJJARMIXHHMUTP-IUCAKERBSA-N -1 1 306.409 1.388 20 0 DDADMM CCC(C)(C)CC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001410372033 849163415 /nfs/dbraw/zinc/16/34/15/849163415.db2.gz CUZKRYKQKWBBID-NSHDSACASA-N -1 1 307.394 1.848 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)C(C)(C)F ZINC001411275035 850530388 /nfs/dbraw/zinc/53/03/88/850530388.db2.gz FCQUSHKZQQGJND-NSHDSACASA-N -1 1 323.368 1.598 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H](C)C1CCCC1 ZINC001273701807 851240801 /nfs/dbraw/zinc/24/08/01/851240801.db2.gz FSJJWRJKFNBJJZ-NSHDSACASA-N -1 1 309.414 1.275 20 0 DDADMM CNC(=O)[C@H]1CC12CCN(Cc1cc(C(=O)[O-])ccc1F)CC2 ZINC001273718575 851269065 /nfs/dbraw/zinc/26/90/65/851269065.db2.gz GKRLFEKGELJOSR-CYBMUJFWSA-N -1 1 320.364 1.872 20 0 DDADMM CCS(=O)(=O)c1ccc(OC)c([N-]S(=O)(=O)C(C)C)c1 ZINC001252514567 851607070 /nfs/dbraw/zinc/60/70/70/851607070.db2.gz XYWNBPNAGDWVDN-UHFFFAOYSA-N -1 1 321.420 1.639 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1ccc2ccc(C)nc2c1[O-] ZINC001155660038 862350730 /nfs/dbraw/zinc/35/07/30/862350730.db2.gz AHYKMDUSLXQAPB-DGCLKSJQSA-N -1 1 314.341 1.788 20 0 DDADMM CN(C)C(=O)[C@]12C[C@H]1CCN2C(=O)c1c([O-])cccc1Cl ZINC001275466709 853162670 /nfs/dbraw/zinc/16/26/70/853162670.db2.gz PCAXLILRLTUNQJ-PSLIRLAXSA-N -1 1 308.765 1.738 20 0 DDADMM CCC[C@H](C)C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001411510307 853260992 /nfs/dbraw/zinc/26/09/92/853260992.db2.gz LAKGEDGXIVJUPV-NWDGAFQWSA-N -1 1 307.394 1.800 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2[C@H]3CCCO[C@H]32)[n-]c1Cl ZINC001411545207 853338747 /nfs/dbraw/zinc/33/87/47/853338747.db2.gz CSKLDHAWMGBORA-ZXFLCMHBSA-N -1 1 313.741 1.603 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CN(C(=O)c1c(F)ccc([O-])c1F)C2 ZINC001275611980 853432584 /nfs/dbraw/zinc/43/25/84/853432584.db2.gz NONFSUOIKIQPIZ-MRVPVSSYSA-N -1 1 310.300 1.221 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H](NC(=O)c2ccc([O-])cn2)C1 ZINC001411811961 853770497 /nfs/dbraw/zinc/77/04/97/853770497.db2.gz ZSYSICRLGLYDSL-NSHDSACASA-N -1 1 321.377 1.917 20 0 DDADMM Cc1ccc2nc(C(=O)NCCCC[P@](=O)([O-])O)cn2c1 ZINC001150890097 862518194 /nfs/dbraw/zinc/51/81/94/862518194.db2.gz MHFWGGPGHDJNSN-UHFFFAOYSA-N -1 1 311.278 1.330 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cnc2cc[nH]c2c1 ZINC001150896794 862520551 /nfs/dbraw/zinc/52/05/51/862520551.db2.gz DYKJBVQULAJHLC-UHFFFAOYSA-N -1 1 300.234 1.414 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc[nH]c2CC)n[n-]1 ZINC001412325044 854442628 /nfs/dbraw/zinc/44/26/28/854442628.db2.gz ASHQPUGLPVZCAT-QMMMGPOBSA-N -1 1 305.338 1.363 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc[nH]c2CC)[n-]1 ZINC001412325044 854442635 /nfs/dbraw/zinc/44/26/35/854442635.db2.gz ASHQPUGLPVZCAT-QMMMGPOBSA-N -1 1 305.338 1.363 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc[nH]c2CC)n1 ZINC001412325044 854442638 /nfs/dbraw/zinc/44/26/38/854442638.db2.gz ASHQPUGLPVZCAT-QMMMGPOBSA-N -1 1 305.338 1.363 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)OC(C)(C)C)N1C(=O)c1cnncc1[O-] ZINC001412325973 854444087 /nfs/dbraw/zinc/44/40/87/854444087.db2.gz UHSREFVKTHQAMW-MWLCHTKSSA-N -1 1 307.350 1.517 20 0 DDADMM Cc1ccc(C(N)=O)cc1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001412470083 854589745 /nfs/dbraw/zinc/58/97/45/854589745.db2.gz HTXWOKWAJCEJHT-UHFFFAOYSA-N -1 1 312.329 1.719 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@H](C)C1CCC1 ZINC001412491709 854619752 /nfs/dbraw/zinc/61/97/52/854619752.db2.gz NMNNNBCNKAFUAR-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC[C@@](CO)(C(F)(F)F)C1 ZINC001412572963 854739601 /nfs/dbraw/zinc/73/96/01/854739601.db2.gz KQJBTLVTHVVBHA-GFCCVEGCSA-N -1 1 307.243 1.918 20 0 DDADMM C[C@H](CN(C)C(=O)CCC(F)F)NC(=O)c1ncccc1[O-] ZINC001412598403 854786537 /nfs/dbraw/zinc/78/65/37/854786537.db2.gz AOUFKLYQKWUHIB-SECBINFHSA-N -1 1 315.320 1.409 20 0 DDADMM CCc1ccc([C@@H](C)C(=O)N[C@H](COC)c2nn[n-]n2)cc1 ZINC001412599202 854795418 /nfs/dbraw/zinc/79/54/18/854795418.db2.gz VRZSKLHAEIXOCD-ZWNOBZJWSA-N -1 1 303.366 1.370 20 0 DDADMM Cc1noc(C)c1CCC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001412613733 854813930 /nfs/dbraw/zinc/81/39/30/854813930.db2.gz RTTBVMYRZFXUAK-GFCCVEGCSA-N -1 1 318.381 1.218 20 0 DDADMM CCC[C@H](NC(=O)CN(C(C)=O)c1ccccc1)c1nn[n-]n1 ZINC001412645336 854871380 /nfs/dbraw/zinc/87/13/80/854871380.db2.gz RQWAFMGMZZSEPO-ZDUSSCGKSA-N -1 1 316.365 1.210 20 0 DDADMM CC(C)(C)NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1cc([O-])cc(F)c1)C2 ZINC001276183482 854920250 /nfs/dbraw/zinc/92/02/50/854920250.db2.gz NIRKKYSUCBQVTO-PIGZYNQJSA-N -1 1 320.364 1.908 20 0 DDADMM CNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1ccc([O-])c(F)c1 ZINC001412828736 855358821 /nfs/dbraw/zinc/35/88/21/855358821.db2.gz CQKVQGAFXZQLKD-CQSZACIVSA-N -1 1 316.332 1.618 20 0 DDADMM C[C@H]1C(=O)Nc2cc(C(=O)Nc3c[n-][nH]c3=O)ccc2N1C ZINC001412855609 855729449 /nfs/dbraw/zinc/72/94/49/855729449.db2.gz YIKZMDFRFFFCFI-ZETCQYMHSA-N -1 1 301.306 1.144 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(COC)c(F)c1)c1nn[n-]n1 ZINC001412936550 855800914 /nfs/dbraw/zinc/80/09/14/855800914.db2.gz PSSQUOZNZDZHBV-UHFFFAOYSA-N -1 1 321.356 1.931 20 0 DDADMM Cc1noc([C@H](C)N(C)Cc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC001413019460 855958756 /nfs/dbraw/zinc/95/87/56/855958756.db2.gz KSNFFZZKLUMCKH-QMMMGPOBSA-N -1 1 305.338 1.139 20 0 DDADMM CSc1nc(CNC(=O)c2ccc([O-])cc2F)cc(=O)[nH]1 ZINC001413197585 856541025 /nfs/dbraw/zinc/54/10/25/856541025.db2.gz OFQCSUZFUFCHKC-UHFFFAOYSA-N -1 1 309.322 1.679 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)c1cnccn1 ZINC001413200308 856547664 /nfs/dbraw/zinc/54/76/64/856547664.db2.gz SPRWCZTZBBRHGR-ZETCQYMHSA-N -1 1 305.363 1.494 20 0 DDADMM COc1cc(NC(=O)c2cnc(C3CC3)[n-]c2=O)cnc1OC ZINC001413272555 856598870 /nfs/dbraw/zinc/59/88/70/856598870.db2.gz KDMJIDGZLQAANB-UHFFFAOYSA-N -1 1 316.317 1.724 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C(C)(C)c2noc(C)n2)sn1 ZINC001413291866 856613100 /nfs/dbraw/zinc/61/31/00/856613100.db2.gz MFXVESHLZUNRRX-UHFFFAOYSA-N -1 1 318.380 1.057 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ncnc4ccsc43)c1-2 ZINC001151523175 862820915 /nfs/dbraw/zinc/82/09/15/862820915.db2.gz QHILVOFPRZSLMC-UHFFFAOYSA-N -1 1 311.330 1.292 20 0 DDADMM CSc1ncc(C(=O)NC[C@H]2CCC23CCOCC3)c(=O)[n-]1 ZINC001413418110 856783584 /nfs/dbraw/zinc/78/35/84/856783584.db2.gz QIMSCPCAERLYJU-SNVBAGLBSA-N -1 1 323.418 1.841 20 0 DDADMM C[C@@H](C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1ccncc1 ZINC001413454627 856831852 /nfs/dbraw/zinc/83/18/52/856831852.db2.gz OLFMIAQFXNESDZ-SNVBAGLBSA-N -1 1 314.345 1.070 20 0 DDADMM O=C(c1cccc([O-])c1F)N1C[C@@H](O)CC2(CCOCC2)C1 ZINC001413466326 856848121 /nfs/dbraw/zinc/84/81/21/856848121.db2.gz PZXSJMRWBSEEJY-NSHDSACASA-N -1 1 309.337 1.535 20 0 DDADMM Cc1noc([C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C(C)C)n1 ZINC001413489982 856884950 /nfs/dbraw/zinc/88/49/50/856884950.db2.gz ZSGXQLUZIGHFNW-QMMMGPOBSA-N -1 1 315.317 1.947 20 0 DDADMM COc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)c(C)c1OC ZINC001413506012 856903197 /nfs/dbraw/zinc/90/31/97/856903197.db2.gz FYKYVJNFTDSMOQ-UHFFFAOYSA-N -1 1 317.349 1.335 20 0 DDADMM CSc1ccc([O-])c(C(=O)Nc2cnc(C(N)=O)s2)c1 ZINC001413591685 857198533 /nfs/dbraw/zinc/19/85/33/857198533.db2.gz OTMSOFOKZNENNJ-UHFFFAOYSA-N -1 1 309.372 1.922 20 0 DDADMM C[C@@H]1CN(C(=O)CCCF)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001072537937 857418296 /nfs/dbraw/zinc/41/82/96/857418296.db2.gz RGDDZBWGTPYZIY-GHMZBOCLSA-N -1 1 309.341 1.114 20 0 DDADMM O=C([O-])[C@]1(C(=O)NC2CCC3(CCCCC3)CC2)CNCCO1 ZINC001121958329 858645577 /nfs/dbraw/zinc/64/55/77/858645577.db2.gz WFOABHMNZYXFDK-QGZVFWFLSA-N -1 1 324.421 1.439 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CCO[C@H](C(C)C)C1 ZINC001123680333 859358412 /nfs/dbraw/zinc/35/84/12/859358412.db2.gz HUNZVKOEELVXMN-ZJUUUORDSA-N -1 1 311.407 1.713 20 0 DDADMM CC(C)(C(=O)[N-]N1C(=O)c2ccccc2C1=O)C(F)(F)F ZINC001123785966 859413240 /nfs/dbraw/zinc/41/32/40/859413240.db2.gz MPDKKVJOBXGKLT-UHFFFAOYSA-N -1 1 300.236 1.902 20 0 DDADMM O=C(/C=C\Sc1ccccc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123869011 859448152 /nfs/dbraw/zinc/44/81/52/859448152.db2.gz KLALDVMEKHHUPM-GXMKHXEJSA-N -1 1 301.375 1.822 20 0 DDADMM CO[C@]1(C)CCCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1 ZINC001123871647 859449722 /nfs/dbraw/zinc/44/97/22/859449722.db2.gz PNQCBMMFFWRNBG-CQSZACIVSA-N -1 1 311.407 1.811 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1COCc2ccccc21 ZINC001123901596 859462936 /nfs/dbraw/zinc/46/29/36/859462936.db2.gz HGSWBHVHLLKRLJ-LLVKDONJSA-N -1 1 317.370 1.781 20 0 DDADMM C[C@H]1CN(C(=O)OC(C)(C)C)CC[C@@H]1OCC[P@](=O)([O-])O ZINC001224601556 881463852 /nfs/dbraw/zinc/46/38/52/881463852.db2.gz IADXNBJWIVJJSF-QWRGUYRKSA-N -1 1 323.326 1.826 20 0 DDADMM COC(=O)CC1CN(Cc2cn(CC(=O)[O-])c3ccccc23)C1 ZINC001138194523 860041060 /nfs/dbraw/zinc/04/10/60/860041060.db2.gz RKKVJWAYBZATRT-UHFFFAOYSA-N -1 1 316.357 1.721 20 0 DDADMM O=C([O-])COc1ccc(CN2C[C@@H]3OCC(F)(F)[C@@H]3C2)cc1 ZINC001138924705 860229089 /nfs/dbraw/zinc/22/90/89/860229089.db2.gz VLTHMBXATKXGDS-OLZOCXBDSA-N -1 1 313.300 1.616 20 0 DDADMM CCC(CC)(NC(=O)C(=O)c1ccc(F)cc1)c1nn[n-]n1 ZINC001140063827 860567599 /nfs/dbraw/zinc/56/75/99/860567599.db2.gz BODYBQUXFGQNJP-UHFFFAOYSA-N -1 1 305.313 1.353 20 0 DDADMM C[C@@H]1CN(Cc2ccncc2[O-])Cc2nnc(C(F)(F)F)n21 ZINC001140282154 860610922 /nfs/dbraw/zinc/61/09/22/860610922.db2.gz OBXOGKFILGNBKS-MRVPVSSYSA-N -1 1 313.283 1.974 20 0 DDADMM COC(=O)CC[C@@H]1COCCN1Cc1ccc([O-])c(F)c1F ZINC001140895788 860728363 /nfs/dbraw/zinc/72/83/63/860728363.db2.gz VZNZVAVPPRAWRS-LLVKDONJSA-N -1 1 315.316 1.824 20 0 DDADMM CCN(CC)C(=O)NC1CCN(Cc2ccc(C(=O)[O-])o2)CC1 ZINC001140948788 860744458 /nfs/dbraw/zinc/74/44/58/860744458.db2.gz AKZNKRYISBEHFX-UHFFFAOYSA-N -1 1 323.393 1.994 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C)n3ccnc3n2)n1 ZINC001154427264 861093146 /nfs/dbraw/zinc/09/31/46/861093146.db2.gz VUKCZPPSQOJEPG-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM COc1ccc2ncc(O)c(C(=O)NCc3cc(C)[nH]n3)c2c1 ZINC001154515609 861164613 /nfs/dbraw/zinc/16/46/13/861164613.db2.gz VEVKOLYCBUPBAX-UHFFFAOYSA-N -1 1 312.329 1.911 20 0 DDADMM Cn1cc(C(=O)NCCc2c(F)cc([O-])cc2F)cc1C=O ZINC001156721739 863292446 /nfs/dbraw/zinc/29/24/46/863292446.db2.gz FWVKMNMLXODJRN-UHFFFAOYSA-N -1 1 308.284 1.794 20 0 DDADMM O=C([N-]c1nnc([C@@H]2CCCO2)s1)c1ccnc2n[nH]nc21 ZINC001152349885 863295265 /nfs/dbraw/zinc/29/52/65/863295265.db2.gz ZZZQDVBFBSKXST-ZETCQYMHSA-N -1 1 317.334 1.308 20 0 DDADMM CCC(CC)(NC(=O)c1cc(-c2ccco2)n[nH]1)c1nn[n-]n1 ZINC001156920592 863447197 /nfs/dbraw/zinc/44/71/97/863447197.db2.gz WKIHQUXEKONJMG-UHFFFAOYSA-N -1 1 315.337 1.628 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=S)NCC2CCC2)C1 ZINC001329274680 863572259 /nfs/dbraw/zinc/57/22/59/863572259.db2.gz PXIGUJQFXDCXQO-GFCCVEGCSA-N -1 1 323.384 1.804 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc2c(c1)C(=O)OC2 ZINC001157176774 863635159 /nfs/dbraw/zinc/63/51/59/863635159.db2.gz MWSKJUOVAYENNS-UHFFFAOYSA-N -1 1 312.207 1.746 20 0 DDADMM O=C(Nc1cnn2cc(F)ccc12)c1cnc2cccnc2c1[O-] ZINC001153859181 864166457 /nfs/dbraw/zinc/16/64/57/864166457.db2.gz XQUIZKHYBQXAPA-UHFFFAOYSA-N -1 1 323.287 1.962 20 0 DDADMM COc1cncc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)n1 ZINC001361497914 881768794 /nfs/dbraw/zinc/76/87/94/881768794.db2.gz SOJGCQZCHNQLKN-UHFFFAOYSA-N -1 1 301.306 1.112 20 0 DDADMM [O-]c1cccnc1CNC(F)(F)c1cc[n+]([O-])c(Cl)c1 ZINC001158775719 864898978 /nfs/dbraw/zinc/89/89/78/864898978.db2.gz JWVMLKWZOYJUMN-UHFFFAOYSA-N -1 1 301.680 1.913 20 0 DDADMM COc1cc2c(cn1)CCN(c1nc(C)cc3c1C(=O)[N-]C3=O)C2 ZINC001158848631 864935714 /nfs/dbraw/zinc/93/57/14/864935714.db2.gz IGIVYUWWVICSCT-UHFFFAOYSA-N -1 1 324.340 1.240 20 0 DDADMM CCOC(=O)c1cnc(Nc2[n-]c(=O)nc3nc[nH]c32)nc1C ZINC001159198409 865162201 /nfs/dbraw/zinc/16/22/01/865162201.db2.gz PBLMSSOUPAUCPW-UHFFFAOYSA-N -1 1 315.293 1.077 20 0 DDADMM COC(=O)c1cc(C)cc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159198534 865162227 /nfs/dbraw/zinc/16/22/27/865162227.db2.gz POLBPZOBQMZYIA-UHFFFAOYSA-N -1 1 300.278 1.292 20 0 DDADMM COc1ccc2c(ccnc2Nc2c(O)[nH]c(=O)[n-]c2=S)c1 ZINC001160848143 866148177 /nfs/dbraw/zinc/14/81/77/866148177.db2.gz IWHIAWGMIMYQLD-SNVBAGLBSA-N -1 1 316.342 1.191 20 0 DDADMM CC(C)CN1CCO[C@@H](CNC(=O)CCCCC(=O)[O-])C1 ZINC001332928339 866262617 /nfs/dbraw/zinc/26/26/17/866262617.db2.gz GXMBTEVNTGHJLZ-ZDUSSCGKSA-N -1 1 300.399 1.104 20 0 DDADMM Cc1nn(C)c(CNCCSC[C@H](C)C(=O)[O-])c1Cl ZINC001333187767 866498419 /nfs/dbraw/zinc/49/84/19/866498419.db2.gz ORTIWJYLNVIACX-QMMMGPOBSA-N -1 1 305.831 1.925 20 0 DDADMM CCCn1nccc1C(=O)Nc1[n-]c(C(=O)OC)cc1C(C)=O ZINC001361623413 882030132 /nfs/dbraw/zinc/03/01/32/882030132.db2.gz HURRBHBOSAEWNR-UHFFFAOYSA-N -1 1 318.333 1.863 20 0 DDADMM O=C(NC/C=C/CNCc1nccs1)c1ncccc1[O-] ZINC001321026509 867230123 /nfs/dbraw/zinc/23/01/23/867230123.db2.gz DZJZVBCMODDZPU-OWOJBTEDSA-N -1 1 304.375 1.320 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@@]1(C)C=CCC1 ZINC001334234682 867408519 /nfs/dbraw/zinc/40/85/19/867408519.db2.gz KMFYQUWMJQACEK-SJCJKPOMSA-N -1 1 317.389 1.720 20 0 DDADMM O=C(NCCOC1CCOCC1)c1ncc2ccccc2c1[O-] ZINC001334775185 867824727 /nfs/dbraw/zinc/82/47/27/867824727.db2.gz TUCODKLYONRASM-UHFFFAOYSA-N -1 1 316.357 1.866 20 0 DDADMM O=C(COC(=O)c1cc(O)ccc1O)c1ccc([O-])cc1O ZINC001322188361 868034570 /nfs/dbraw/zinc/03/45/70/868034570.db2.gz FOSCWANOHVGRLE-UHFFFAOYSA-N -1 1 304.254 1.549 20 0 DDADMM COCCn1cc(NC(=O)c2ccc(F)c([O-])c2)ccc1=O ZINC001361700990 882187386 /nfs/dbraw/zinc/18/73/86/882187386.db2.gz HWURKHUJQRVWBL-UHFFFAOYSA-N -1 1 306.293 1.592 20 0 DDADMM CN(CCCOCc1ccccc1)C(=O)c1cnncc1[O-] ZINC001335909537 868613577 /nfs/dbraw/zinc/61/35/77/868613577.db2.gz UBJGQKJTQBCDOT-UHFFFAOYSA-N -1 1 301.346 1.861 20 0 DDADMM CC(C)(C)n1cc(CNCCc2ncc(C(=O)[O-])s2)nn1 ZINC001336152317 868760650 /nfs/dbraw/zinc/76/06/50/868760650.db2.gz FSUKXJIVXZDWRO-UHFFFAOYSA-N -1 1 309.395 1.520 20 0 DDADMM O=C([N-]CCNc1ccc2c(c1)NC(=O)CC2)C(F)(F)F ZINC001164244044 869050046 /nfs/dbraw/zinc/05/00/46/869050046.db2.gz RGOYNGCNMSXLAA-UHFFFAOYSA-N -1 1 301.268 1.662 20 0 DDADMM CC1(C)C(=O)Nc2cc(NCC[N-]C(=O)C(F)(F)F)ncc21 ZINC001164245481 869054777 /nfs/dbraw/zinc/05/47/77/869054777.db2.gz YJVXEDUKFJSPQN-UHFFFAOYSA-N -1 1 316.283 1.402 20 0 DDADMM CCCCCC(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001337020343 869267789 /nfs/dbraw/zinc/26/77/89/869267789.db2.gz MWMWWEKAIGETST-LBPRGKRZSA-N -1 1 307.394 1.944 20 0 DDADMM CC[C@@H]1c2ccccc2CN1c1nnc(-c2noc(=O)[n-]2)n1C ZINC001338718687 870131838 /nfs/dbraw/zinc/13/18/38/870131838.db2.gz FJOIDHZZDMADGC-LLVKDONJSA-N -1 1 312.333 1.630 20 0 DDADMM COC(C)(C)[C@H]1CCCN1c1nc(C)cc2c1C(=O)[N-]C2=O ZINC001166795373 870257318 /nfs/dbraw/zinc/25/73/18/870257318.db2.gz GZLYPEYZUVTUCL-LLVKDONJSA-N -1 1 303.362 1.667 20 0 DDADMM CCc1ccc2c(c1)CCN2c1nnc(Cc2nnn[n-]2)n1CC ZINC001339382646 870469109 /nfs/dbraw/zinc/46/91/09/870469109.db2.gz ZTCUUROPDUGGRO-UHFFFAOYSA-N -1 1 324.392 1.659 20 0 DDADMM CCc1ccc2c(c1)CCN2c1nnc(Cc2nn[n-]n2)n1CC ZINC001339382646 870469114 /nfs/dbraw/zinc/46/91/14/870469114.db2.gz ZTCUUROPDUGGRO-UHFFFAOYSA-N -1 1 324.392 1.659 20 0 DDADMM C/C=C/C[C@H]1CCCN(c2nnc(Cc3nnn[n-]3)n2C)C1 ZINC001339604881 870577413 /nfs/dbraw/zinc/57/74/13/870577413.db2.gz ZDJIAURTOFLBAL-UFFNRZRYSA-N -1 1 302.386 1.102 20 0 DDADMM C/C=C/C[C@H]1CCCN(c2nnc(Cc3nn[n-]n3)n2C)C1 ZINC001339604881 870577422 /nfs/dbraw/zinc/57/74/22/870577422.db2.gz ZDJIAURTOFLBAL-UFFNRZRYSA-N -1 1 302.386 1.102 20 0 DDADMM CNC(=O)c1ccc([N-]c2nnc(Br)s2)cn1 ZINC001203626280 870681939 /nfs/dbraw/zinc/68/19/39/870681939.db2.gz CGYIRRSTQVJPAN-UHFFFAOYSA-N -1 1 314.168 1.799 20 0 DDADMM C[C@@H](CN(C)c1nnc(-c2noc(=O)[n-]2)n1C)c1ccccc1 ZINC001340070652 870875667 /nfs/dbraw/zinc/87/56/67/870875667.db2.gz UQORXSUPUHWSOS-JTQLQIEISA-N -1 1 314.349 1.398 20 0 DDADMM Nc1nnn(CC(=O)Nc2cc(Cl)c([O-])c3ccccc23)n1 ZINC001301158355 870977638 /nfs/dbraw/zinc/97/76/38/870977638.db2.gz IPYJEJOBKLLWMJ-UHFFFAOYSA-N -1 1 318.724 1.406 20 0 DDADMM COc1cccnc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001301655894 871017301 /nfs/dbraw/zinc/01/73/01/871017301.db2.gz WHWBBMWZCFLMSB-LBPRGKRZSA-N -1 1 310.317 1.123 20 0 DDADMM Nc1cn[n-]c(=NNC(=S)NCC(F)(F)F)c1Cl ZINC001340495142 871149710 /nfs/dbraw/zinc/14/97/10/871149710.db2.gz POGORVXINZCOMB-UHFFFAOYSA-N -1 1 300.697 1.066 20 0 DDADMM Cc1nn(C)c2ccc(Nc3c(N)[nH]c(=S)[n-]c3=O)cc12 ZINC001204848249 871261844 /nfs/dbraw/zinc/26/18/44/871261844.db2.gz CBOXLTXFOUEYQS-UHFFFAOYSA-N -1 1 302.363 1.992 20 0 DDADMM C[C@@H]1CCCN(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)C1 ZINC001340678019 871276506 /nfs/dbraw/zinc/27/65/06/871276506.db2.gz SKYFIPPDJGFBMG-GFCCVEGCSA-N -1 1 324.392 1.743 20 0 DDADMM C[C@@H]1CCCN(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)C1 ZINC001340678019 871276523 /nfs/dbraw/zinc/27/65/23/871276523.db2.gz SKYFIPPDJGFBMG-GFCCVEGCSA-N -1 1 324.392 1.743 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cc(-c2cccs2)on1 ZINC001306870943 871372155 /nfs/dbraw/zinc/37/21/55/871372155.db2.gz CIJQZOZMCYKAIL-UHFFFAOYSA-N -1 1 304.335 1.878 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)Oc2cccc(C(C)=O)c2)n[n-]1 ZINC001308992558 871544739 /nfs/dbraw/zinc/54/47/39/871544739.db2.gz URYVNCKFFBRVSU-UHFFFAOYSA-N -1 1 324.314 1.167 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC=C(c2ccccc2)C1 ZINC001341254154 871578430 /nfs/dbraw/zinc/57/84/30/871578430.db2.gz JNTPEFJWCGWXLZ-UHFFFAOYSA-N -1 1 308.349 1.289 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC=C(c2ccccc2)C1 ZINC001341254154 871578451 /nfs/dbraw/zinc/57/84/51/871578451.db2.gz JNTPEFJWCGWXLZ-UHFFFAOYSA-N -1 1 308.349 1.289 20 0 DDADMM O=C(CCOC[C@@H]1CCCO1)OCC(=O)c1ccc([O-])cc1O ZINC001317892262 871619847 /nfs/dbraw/zinc/61/98/47/871619847.db2.gz MPFJPZGGFCWOKW-LBPRGKRZSA-N -1 1 324.329 1.409 20 0 DDADMM CCCCCC[C@@H](C)C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001318071926 871726944 /nfs/dbraw/zinc/72/69/44/871726944.db2.gz VESUQUGEMWMRHV-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM C[C@H]1Oc2ccc(NC(=O)c3cnncc3[O-])cc2NC1=O ZINC001361876089 882554215 /nfs/dbraw/zinc/55/42/15/882554215.db2.gz FQPCHEMASNBXDE-SSDOTTSWSA-N -1 1 300.274 1.154 20 0 DDADMM CN(C(=O)[C@@H]1C[C@H]1c1ccc(Br)cc1)c1nn[n-]n1 ZINC001361902957 882608968 /nfs/dbraw/zinc/60/89/68/882608968.db2.gz HHIMTYSVPBLGQU-VHSXEESVSA-N -1 1 322.166 1.729 20 0 DDADMM CCOC(=O)c1nc(NC(=O)Cc2cc(C)on2)[n-]c1Cl ZINC001361923879 882648392 /nfs/dbraw/zinc/64/83/92/882648392.db2.gz ONHKTKNJCZWCFM-UHFFFAOYSA-N -1 1 312.713 1.717 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C2(CCOC)CC2)[n-]c1Cl ZINC001361932167 882664940 /nfs/dbraw/zinc/66/49/40/882664940.db2.gz LDFDHPSJYZFDLF-UHFFFAOYSA-N -1 1 315.757 1.995 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1(C)C ZINC001346509605 873783002 /nfs/dbraw/zinc/78/30/02/873783002.db2.gz OSMRDFSOSWIRBJ-WHFVKQHSSA-N -1 1 311.407 1.569 20 0 DDADMM Cc1ccc(CN(C)C(=O)c2c(C)[nH]c(=O)[n-]c2=S)nc1 ZINC001346825405 873907656 /nfs/dbraw/zinc/90/76/56/873907656.db2.gz HBRHCXKYXJFIIJ-UHFFFAOYSA-N -1 1 304.375 1.755 20 0 DDADMM O=C(Cc1cccnc1Cl)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001347306053 874091871 /nfs/dbraw/zinc/09/18/71/874091871.db2.gz NQYRCPLBCMDRCP-SNVBAGLBSA-N -1 1 320.784 1.272 20 0 DDADMM CCCCCCO[C@@H](C)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001347660998 874231520 /nfs/dbraw/zinc/23/15/20/874231520.db2.gz NVVWAKNZTCPCQI-UONOGXRCSA-N -1 1 323.441 1.966 20 0 DDADMM CCc1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)cc(Cl)n1 ZINC001347972885 874324454 /nfs/dbraw/zinc/32/44/54/874324454.db2.gz ASRSVQGRLWRXHQ-MRVPVSSYSA-N -1 1 306.757 1.440 20 0 DDADMM C[C@H](C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001227092989 882823510 /nfs/dbraw/zinc/82/35/10/882823510.db2.gz XQRSFAZVZMZQRY-IJLUTSLNSA-N -1 1 323.441 1.710 20 0 DDADMM CC[C@H](CNC(=O)c1csc(C)c1C)NCc1n[nH]c(=O)[n-]1 ZINC001378256121 874560455 /nfs/dbraw/zinc/56/04/55/874560455.db2.gz WYEMRSONJZFLDJ-SNVBAGLBSA-N -1 1 323.422 1.487 20 0 DDADMM CC(=O)c1ccc([N-]S(=O)(=O)c2ccc(N)c(N)c2)cn1 ZINC001210277726 875308285 /nfs/dbraw/zinc/30/82/85/875308285.db2.gz QGGVVFGTLZEDEP-UHFFFAOYSA-N -1 1 306.347 1.249 20 0 DDADMM C[C@@]1(C(=O)NCCCNC(=O)c2ncccc2[O-])C=CCC1 ZINC001349939974 875466960 /nfs/dbraw/zinc/46/69/60/875466960.db2.gz IDXDCNYZMDXKLO-MRXNPFEDSA-N -1 1 303.362 1.380 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCCC[C@H]1C(=O)OC)c1nn[n-]n1 ZINC001362067759 882954598 /nfs/dbraw/zinc/95/45/98/882954598.db2.gz ASFCSVZLALBMKV-HBNTYKKESA-N -1 1 309.370 1.137 20 0 DDADMM CC/C(C)=C\C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001350677849 875829401 /nfs/dbraw/zinc/82/94/01/875829401.db2.gz BELBEKBYXVGMIA-KXXVWKPMSA-N -1 1 317.389 1.816 20 0 DDADMM CN1c2ccc(Nc3c(N)[nH]c(=S)[n-]c3=O)cc2CCC1=O ZINC001213128498 875832485 /nfs/dbraw/zinc/83/24/85/875832485.db2.gz DPRYBXXZVRQCFM-UHFFFAOYSA-N -1 1 317.374 1.706 20 0 DDADMM O=c1[nH]c2nc(O[C@@H]3CCc4cc(F)ccc43)[n-]c2c(=O)[nH]1 ZINC001227432595 883017364 /nfs/dbraw/zinc/01/73/64/883017364.db2.gz PFUCOWVAAONHAB-SECBINFHSA-N -1 1 302.265 1.970 20 0 DDADMM O=c1[nH]c2[n-]c(O[C@@H]3CCc4cc(F)ccc43)nc2c(=O)[nH]1 ZINC001227432595 883017378 /nfs/dbraw/zinc/01/73/78/883017378.db2.gz PFUCOWVAAONHAB-SECBINFHSA-N -1 1 302.265 1.970 20 0 DDADMM CCOC[C@@H](NCc1cccnc1Br)C(=O)[O-] ZINC001351743640 876412653 /nfs/dbraw/zinc/41/26/53/876412653.db2.gz YHPMSJDXFKSFLC-SECBINFHSA-N -1 1 303.156 1.423 20 0 DDADMM CSc1ncc(C(=O)Nc2nc3c(s2)COCC3)c(=O)[n-]1 ZINC001362106251 883052701 /nfs/dbraw/zinc/05/27/01/883052701.db2.gz MZKXPASDNSEXLR-UHFFFAOYSA-N -1 1 324.387 1.686 20 0 DDADMM Cn1ncc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c1-c1ccccc1 ZINC001352585630 876817655 /nfs/dbraw/zinc/81/76/55/876817655.db2.gz PXHQGOIHTIGZTI-GFCCVEGCSA-N -1 1 323.360 1.230 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)C(C)(C)C1CC1 ZINC001381965983 883077442 /nfs/dbraw/zinc/07/74/42/883077442.db2.gz ZXJPJOVCSDTUMC-NSHDSACASA-N -1 1 319.405 1.800 20 0 DDADMM CCOC(=O)c1ccc(Nc2cc(=O)[n-]c(N(C)C)n2)cn1 ZINC001216610260 876996404 /nfs/dbraw/zinc/99/64/04/876996404.db2.gz ONLDHZCJAWUIAF-UHFFFAOYSA-N -1 1 303.322 1.564 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)/C=C/[C@H]2CCCO2)[n-]c1=O ZINC001353050266 877084746 /nfs/dbraw/zinc/08/47/46/877084746.db2.gz KXWNGMPMGQNIBG-VQCYPWCPSA-N -1 1 307.350 1.277 20 0 DDADMM CCC[C@@H](C)C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001395542853 912226430 /nfs/dbraw/zinc/22/64/30/912226430.db2.gz WYGVGPPZQLBWGM-NEPJUHHUSA-N -1 1 307.394 1.800 20 0 DDADMM C[C@@H](CN(C)Cc1cncs1)NC(=O)c1ncccc1[O-] ZINC001379656347 877665177 /nfs/dbraw/zinc/66/51/77/877665177.db2.gz JDKRBYVJIMNQLN-JTQLQIEISA-N -1 1 306.391 1.494 20 0 DDADMM C[C@H]1COC[C@@H]1[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001354160258 877783603 /nfs/dbraw/zinc/78/36/03/877783603.db2.gz MFLKAKWCEHABMX-XPUUQOCRSA-N -1 1 311.190 1.702 20 0 DDADMM C[C@@H]1CCN(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)C1 ZINC001354358590 877916669 /nfs/dbraw/zinc/91/66/69/877916669.db2.gz OWSHWIHDBKYYQP-LLVKDONJSA-N -1 1 310.365 1.353 20 0 DDADMM C[C@@H]1CCN(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)C1 ZINC001354358590 877916675 /nfs/dbraw/zinc/91/66/75/877916675.db2.gz OWSHWIHDBKYYQP-LLVKDONJSA-N -1 1 310.365 1.353 20 0 DDADMM CCC(C)(C)C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001380330362 879350333 /nfs/dbraw/zinc/35/03/33/879350333.db2.gz GIPAZNHYODCCHI-UHFFFAOYSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CCC(F)F ZINC001380689898 880195971 /nfs/dbraw/zinc/19/59/71/880195971.db2.gz ACOJJAXPAASCBQ-VIFPVBQESA-N -1 1 315.320 1.409 20 0 DDADMM COc1cc(C(N)=O)ccc1-c1ccc2c(c1)CC(=O)[N-]C2=O ZINC001222587580 880580449 /nfs/dbraw/zinc/58/04/49/880580449.db2.gz YFPZJZKXTCXJAT-UHFFFAOYSA-N -1 1 310.309 1.274 20 0 DDADMM CC(C)(CCS(C)(=O)=O)NC(=O)c1cccc([O-])c1F ZINC001362178695 883224727 /nfs/dbraw/zinc/22/47/27/883224727.db2.gz UYIBFZXSNRXLEL-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM CN(C)C(=O)c1cc(NC(=O)c2cnncc2[O-])ccc1F ZINC001362186893 883244713 /nfs/dbraw/zinc/24/47/13/883244713.db2.gz VOQWXCKJGPZUJI-UHFFFAOYSA-N -1 1 304.281 1.275 20 0 DDADMM CCOC(=O)[C@H]1CC[C@@H](Oc2cc(=O)[n-]c(SC)n2)CO1 ZINC001228090814 883331421 /nfs/dbraw/zinc/33/14/21/883331421.db2.gz NNYJEHBOFLENFC-RKDXNWHRSA-N -1 1 314.363 1.394 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCOC[C@@H]1C[C@@H](O)c1ccco1 ZINC001362227858 883352853 /nfs/dbraw/zinc/35/28/53/883352853.db2.gz BRVQOTNXGIVITA-SMDDNHRTSA-N -1 1 318.329 1.345 20 0 DDADMM CC(C)C1(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CC1 ZINC001228487784 883523983 /nfs/dbraw/zinc/52/39/83/883523983.db2.gz YKKDNJLCSQIXDB-VXGBXAGGSA-N -1 1 321.425 1.464 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@H]1O)c1ccc(F)c([O-])c1 ZINC001362385533 883691744 /nfs/dbraw/zinc/69/17/44/883691744.db2.gz NUNZJIDLQAACGJ-DOMZBBRYSA-N -1 1 323.364 1.829 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C2CC2)n(CC)n1)c1nn[n-]n1 ZINC001362394375 883709551 /nfs/dbraw/zinc/70/95/51/883709551.db2.gz QJDLMRUVRVABPZ-JTQLQIEISA-N -1 1 303.370 1.565 20 0 DDADMM CCC[C@@H](NC(=O)C(F)(F)C1(O)CCCCC1)c1nn[n-]n1 ZINC001362395922 883714670 /nfs/dbraw/zinc/71/46/70/883714670.db2.gz WXWCPKXZTQCSPA-SECBINFHSA-N -1 1 317.340 1.488 20 0 DDADMM NC(=O)[C@@H](NC(=O)c1cccc([O-])c1F)c1ccc(F)cc1 ZINC001362446271 883830969 /nfs/dbraw/zinc/83/09/69/883830969.db2.gz SUGCOHSNQHYUPJ-ZDUSSCGKSA-N -1 1 306.268 1.627 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2(C(F)(F)F)CC2)co1 ZINC001362454671 883848980 /nfs/dbraw/zinc/84/89/80/883848980.db2.gz NIELNMUKTMIWRV-UHFFFAOYSA-N -1 1 312.269 1.012 20 0 DDADMM CC(=O)N1CCC(Oc2cc([O-])cc3oc(=O)ccc23)CC1 ZINC001229128760 883837032 /nfs/dbraw/zinc/83/70/32/883837032.db2.gz CIZHLNNVWJSHAB-UHFFFAOYSA-N -1 1 303.314 1.888 20 0 DDADMM Cc1nn(C(C)(C)C)cc1[C@@H](C)NC(=O)c1cnncc1O ZINC001362455252 883849432 /nfs/dbraw/zinc/84/94/32/883849432.db2.gz POYTZAVYVAWLMF-SECBINFHSA-N -1 1 303.366 1.933 20 0 DDADMM Cc1nn(C(C)(C)C)cc1[C@@H](C)NC(=O)c1cnncc1[O-] ZINC001362455252 883849448 /nfs/dbraw/zinc/84/94/48/883849448.db2.gz POYTZAVYVAWLMF-SECBINFHSA-N -1 1 303.366 1.933 20 0 DDADMM O=C(N[C@@H]1CCN(c2ncccc2F)C1)c1ccc([O-])cn1 ZINC001362469141 883884432 /nfs/dbraw/zinc/88/44/32/883884432.db2.gz PLVVDSRRPUOJMF-SNVBAGLBSA-N -1 1 302.309 1.330 20 0 DDADMM CCC(CC)(NC(=O)c1cccn(C2CC2)c1=O)c1nn[n-]n1 ZINC001362493961 883934380 /nfs/dbraw/zinc/93/43/80/883934380.db2.gz DPRGJYHYKXJEII-UHFFFAOYSA-N -1 1 316.365 1.142 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](C)c2nccs2)c(=O)[n-]1 ZINC001362524500 884002084 /nfs/dbraw/zinc/00/20/84/884002084.db2.gz HWYOTWAXXISHCW-ZETCQYMHSA-N -1 1 310.404 1.894 20 0 DDADMM Cc1noc(C2CC2)c1[N-]C(=O)c1cn(C(F)(F)CO)nn1 ZINC001362549869 884070829 /nfs/dbraw/zinc/07/08/29/884070829.db2.gz SYULPTIGFOXMQU-UHFFFAOYSA-N -1 1 313.264 1.246 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C[C@H]1CCOC1 ZINC001362614051 884225147 /nfs/dbraw/zinc/22/51/47/884225147.db2.gz JGMICEBKLFHCRA-AXFHLTTASA-N -1 1 322.327 1.471 20 0 DDADMM COc1c(OC2CC(OC(=O)C(C)(C)C)C2)nc(C)[n-]c1=O ZINC001230022460 884282846 /nfs/dbraw/zinc/28/28/46/884282846.db2.gz UYIPHACOQBNNQA-UHFFFAOYSA-N -1 1 310.350 1.998 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001382707267 884516604 /nfs/dbraw/zinc/51/66/04/884516604.db2.gz RRBGSOSNVUMIMU-PNESKVBLSA-N -1 1 319.405 1.704 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(F)c([O-])c2)C[C@@]2(CCCOC2)O1 ZINC001362762384 884579937 /nfs/dbraw/zinc/57/99/37/884579937.db2.gz BNZRMQONIREEPP-BDJLRTHQSA-N -1 1 309.337 1.941 20 0 DDADMM O=C(N[C@H](CO)[C@@H]1CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC001362770409 884598459 /nfs/dbraw/zinc/59/84/59/884598459.db2.gz MGNJCHHUSXTPRP-LDYMZIIASA-N -1 1 319.279 1.538 20 0 DDADMM C[C@@H](NC(=O)COC(C)(C)C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001382766953 884657762 /nfs/dbraw/zinc/65/77/62/884657762.db2.gz PRLOJVFVVGYDEE-GHMZBOCLSA-N -1 1 323.393 1.225 20 0 DDADMM CCn1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c(C(C)C)n1 ZINC001362824787 884729068 /nfs/dbraw/zinc/72/90/68/884729068.db2.gz ZTDVTJBECMTKDC-JTQLQIEISA-N -1 1 303.370 1.169 20 0 DDADMM CSc1cccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)n1 ZINC001362830046 884744116 /nfs/dbraw/zinc/74/41/16/884744116.db2.gz JBIPRCULXJSQBI-UHFFFAOYSA-N -1 1 306.395 1.615 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C1C[C@H]2CCC[C@@H](C1)C2=O ZINC001362833529 884751425 /nfs/dbraw/zinc/75/14/25/884751425.db2.gz VCFCOKBIIILGBM-FUNVUKJBSA-N -1 1 319.409 1.580 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1CCOc2c(F)cccc21 ZINC001362834890 884757519 /nfs/dbraw/zinc/75/75/19/884757519.db2.gz KEAMKGOJQYXUDG-JTQLQIEISA-N -1 1 317.324 1.401 20 0 DDADMM COC[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccc(F)cc1F)c1nn[n-]n1 ZINC001362900324 884928971 /nfs/dbraw/zinc/92/89/71/884928971.db2.gz NUAJYTRCKBFXJK-HOSYDEDBSA-N -1 1 323.303 1.085 20 0 DDADMM CCCC[C@@H](O)CCC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001362904944 884941984 /nfs/dbraw/zinc/94/19/84/884941984.db2.gz KPSSRNZLYRSNCQ-CHWSQXEVSA-N -1 1 309.414 1.312 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)o1 ZINC001362906721 884950111 /nfs/dbraw/zinc/95/01/11/884950111.db2.gz PIKTZQLGNSQELX-JTQLQIEISA-N -1 1 303.322 1.090 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc(F)c([O-])c2)C[C@@H]1N1CCOCC1 ZINC001363005837 885207653 /nfs/dbraw/zinc/20/76/53/885207653.db2.gz RJMJBZCLFQFHGM-CABCVRRESA-N -1 1 322.380 1.760 20 0 DDADMM O=C(N[C@H](CO)C(F)(F)F)c1ccc2ccc(O)cc2c1[O-] ZINC001363025493 885258049 /nfs/dbraw/zinc/25/80/49/885258049.db2.gz CAXWWIJFKAQKMZ-LLVKDONJSA-N -1 1 315.247 1.904 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ccc([O-])cn1 ZINC001363126857 885530360 /nfs/dbraw/zinc/53/03/60/885530360.db2.gz GIUWFOPWAGUEBN-QWRGUYRKSA-N -1 1 319.361 1.621 20 0 DDADMM CN1CC2(CC1=O)CCN(Cc1cc(C(=O)[O-])ccc1F)CC2 ZINC001231460471 885681636 /nfs/dbraw/zinc/68/16/36/885681636.db2.gz KTPDADDPWNPBGK-UHFFFAOYSA-N -1 1 320.364 1.968 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@]1(C)CCC(=O)N1 ZINC001363215952 885734846 /nfs/dbraw/zinc/73/48/46/885734846.db2.gz XWLUNTMASUHXJT-INIZCTEOSA-N -1 1 320.393 1.187 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2cccc([O-])c2F)CS1(=O)=O ZINC001363220781 885744986 /nfs/dbraw/zinc/74/49/86/885744986.db2.gz XQYBBMSKISIJNX-IUCAKERBSA-N -1 1 301.339 1.227 20 0 DDADMM COc1ccc(CN2CC[C@@H](N(C)C(C)=O)C2)cc1C(=O)[O-] ZINC001231755789 885860943 /nfs/dbraw/zinc/86/09/43/885860943.db2.gz YQUJFFAHSICXFI-CYBMUJFWSA-N -1 1 306.362 1.446 20 0 DDADMM COC(=O)C[C@H]1CCCN(Cc2ccccc2OCC(=O)[O-])C1 ZINC001231794538 885879601 /nfs/dbraw/zinc/87/96/01/885879601.db2.gz CLVFESZDDOJQBS-CYBMUJFWSA-N -1 1 321.373 1.925 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@@H](C)C(C)C ZINC001363349728 886100483 /nfs/dbraw/zinc/10/04/83/886100483.db2.gz JNUAZBPHXCOPCS-QWRGUYRKSA-N -1 1 308.382 1.937 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@@H](C)C(C)C ZINC001363349728 886100493 /nfs/dbraw/zinc/10/04/93/886100493.db2.gz JNUAZBPHXCOPCS-QWRGUYRKSA-N -1 1 308.382 1.937 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@@H](C)C(C)C ZINC001363349728 886100505 /nfs/dbraw/zinc/10/05/05/886100505.db2.gz JNUAZBPHXCOPCS-QWRGUYRKSA-N -1 1 308.382 1.937 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cccc(N(C)C)c1 ZINC001363354899 886114228 /nfs/dbraw/zinc/11/42/28/886114228.db2.gz KHVVHQBRKLIAIN-UHFFFAOYSA-N -1 1 302.382 1.564 20 0 DDADMM Cc1noc(C)c1CCC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363356040 886116541 /nfs/dbraw/zinc/11/65/41/886116541.db2.gz NWHWHWAHIAJZEY-UHFFFAOYSA-N -1 1 306.370 1.422 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H](C)Oc1cccc(F)c1 ZINC001363357493 886122031 /nfs/dbraw/zinc/12/20/31/886122031.db2.gz RYROVQVHHZLJPT-NSHDSACASA-N -1 1 321.356 1.791 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC001363405111 886246676 /nfs/dbraw/zinc/24/66/76/886246676.db2.gz OPUVHPWAQWVKTK-NXEZZACHSA-N -1 1 321.377 1.327 20 0 DDADMM COC[C@@H](NC(=O)C[C@@H]1C[C@H]1Cc1ccccc1)c1nn[n-]n1 ZINC001363416224 886277034 /nfs/dbraw/zinc/27/70/34/886277034.db2.gz POCVUEIGLAWBRN-HZSPNIEDSA-N -1 1 315.377 1.272 20 0 DDADMM CCN(C(=O)c1ccc[nH]1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001383719414 886396262 /nfs/dbraw/zinc/39/62/62/886396262.db2.gz XWGMCYWDLMVMBP-LLVKDONJSA-N -1 1 316.361 1.396 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@H]1CCOC1 ZINC001363468074 886418115 /nfs/dbraw/zinc/41/81/15/886418115.db2.gz YEYVKIWQSOTRFO-GUBZILKMSA-N -1 1 308.300 1.081 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H]2CCC(=O)[C@H]2C)n[n-]1 ZINC001363477068 886443902 /nfs/dbraw/zinc/44/39/02/886443902.db2.gz WGMZAFRFPAIRKC-LPEHRKFASA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H]2CCC(=O)[C@H]2C)[n-]1 ZINC001363477068 886443913 /nfs/dbraw/zinc/44/39/13/886443913.db2.gz WGMZAFRFPAIRKC-LPEHRKFASA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H]2CCC(=O)[C@H]2C)n1 ZINC001363477068 886443925 /nfs/dbraw/zinc/44/39/25/886443925.db2.gz WGMZAFRFPAIRKC-LPEHRKFASA-N -1 1 322.365 1.164 20 0 DDADMM CC(C)(CNC(=O)c1cccc([O-])c1F)[C@@]1(O)CCCOC1 ZINC001363488895 886472746 /nfs/dbraw/zinc/47/27/46/886472746.db2.gz GEIUUFXCEDTBMS-MRXNPFEDSA-N -1 1 311.353 1.829 20 0 DDADMM CSCC[C@H](NC(=O)c1cncnc1C1CC1)c1nn[n-]n1 ZINC001363535871 886574064 /nfs/dbraw/zinc/57/40/64/886574064.db2.gz NUKQWIGBTJUUBS-JTQLQIEISA-N -1 1 319.394 1.091 20 0 DDADMM COCc1ccc([C@H]2COCCN2C(=O)c2ccc([O-])cn2)o1 ZINC001363544447 886597579 /nfs/dbraw/zinc/59/75/79/886597579.db2.gz JNCOSMZJHPKRFT-CQSZACIVSA-N -1 1 318.329 1.740 20 0 DDADMM O=C(NCC[C@H]1CCC2(CCOCC2)CO1)c1ccc([O-])cn1 ZINC001363567224 886651487 /nfs/dbraw/zinc/65/14/87/886651487.db2.gz KZFGKQHQWXLZBO-CQSZACIVSA-N -1 1 320.389 1.883 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H]1CCCc2cccnc21 ZINC001233757132 887281737 /nfs/dbraw/zinc/28/17/37/887281737.db2.gz XTVKAEXHRWAZIL-SNVBAGLBSA-N -1 1 301.302 1.820 20 0 DDADMM COC(=O)C[C@H]1CCC[C@@H](Oc2c(=O)[n-]cnc2C(=O)OC)C1 ZINC001233758894 887286420 /nfs/dbraw/zinc/28/64/20/887286420.db2.gz MQNNTZZZDAHDFX-VHSXEESVSA-N -1 1 324.333 1.470 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H]1CCOc2ccccc21 ZINC001233761158 887294469 /nfs/dbraw/zinc/29/44/69/887294469.db2.gz ZQRDIVZVAJUWNE-LLVKDONJSA-N -1 1 302.286 1.871 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)[n-]c1=O ZINC001363845198 887381301 /nfs/dbraw/zinc/38/13/01/887381301.db2.gz AYSKHTWUUVZAQR-KKOKHZNYSA-N -1 1 319.361 1.422 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2n[nH]c(C)c2C)[n-]c1=O ZINC001363846809 887383020 /nfs/dbraw/zinc/38/30/20/887383020.db2.gz IFGYNAVVRYOSOC-JTQLQIEISA-N -1 1 317.349 1.508 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](CO)CC2CCCC2)sn1 ZINC001363867293 887428666 /nfs/dbraw/zinc/42/86/66/887428666.db2.gz WTHJZHHGFVKYPC-JTQLQIEISA-N -1 1 320.436 1.371 20 0 DDADMM CCC[C@@H]1SCCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001363968603 887635358 /nfs/dbraw/zinc/63/53/58/887635358.db2.gz MZTGLGHIDOKVBC-JTQLQIEISA-N -1 1 319.408 1.060 20 0 DDADMM CCC[C@@H]1SCCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001363968603 887635363 /nfs/dbraw/zinc/63/53/63/887635363.db2.gz MZTGLGHIDOKVBC-JTQLQIEISA-N -1 1 319.408 1.060 20 0 DDADMM O=C([N-]N1CCOC1=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001363993760 887685868 /nfs/dbraw/zinc/68/58/68/887685868.db2.gz RECUNWIOZVHKRR-UHFFFAOYSA-N -1 1 306.709 1.827 20 0 DDADMM COC(=O)c1ccc(CN(C)C(=O)c2ccc([O-])c(F)c2)nc1 ZINC001364105869 887932566 /nfs/dbraw/zinc/93/25/66/887932566.db2.gz TUUCEJQAGMDUHE-UHFFFAOYSA-N -1 1 318.304 1.985 20 0 DDADMM CCS(=O)(=O)c1ccc(CCC(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001364150543 888031818 /nfs/dbraw/zinc/03/18/18/888031818.db2.gz UNZDPHNEOKBBLO-UHFFFAOYSA-N -1 1 323.374 1.480 20 0 DDADMM COc1cc(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n(C)n1 ZINC001364181580 888093788 /nfs/dbraw/zinc/09/37/88/888093788.db2.gz KPAVLEHZANZWRA-UHFFFAOYSA-N -1 1 305.338 1.608 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1OC[C@@H]1COC(=O)O1 ZINC001234559366 888094562 /nfs/dbraw/zinc/09/45/62/888094562.db2.gz DZVLNJMPZWFCBY-SSDOTTSWSA-N -1 1 312.278 1.006 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1OC1CC(C)(C(=O)OC)C1 ZINC001234606621 888137929 /nfs/dbraw/zinc/13/79/29/888137929.db2.gz HAZKUSTWGUGLJL-UHFFFAOYSA-N -1 1 313.281 1.433 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)CCc1ncon1 ZINC001364243340 888208213 /nfs/dbraw/zinc/20/82/13/888208213.db2.gz RCVJEQOEXDFBIC-UHFFFAOYSA-N -1 1 319.365 1.486 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC001364251881 888222892 /nfs/dbraw/zinc/22/28/92/888222892.db2.gz MWEZKZVYOYILRS-TWJQMWNJSA-N -1 1 311.345 1.021 20 0 DDADMM Cc1cnc(SCC(=O)NC(=O)Nc2ccccc2)[n-]c1=O ZINC001364275840 888275758 /nfs/dbraw/zinc/27/57/58/888275758.db2.gz BPZCTORQWILEOL-UHFFFAOYSA-N -1 1 318.358 1.931 20 0 DDADMM CNC(=O)c1c(C)[nH]nc1NC(=O)c1cc(SC)ccc1[O-] ZINC001364309005 888353210 /nfs/dbraw/zinc/35/32/10/888353210.db2.gz JMBYNYBRQMICHB-UHFFFAOYSA-N -1 1 320.374 1.758 20 0 DDADMM CSc1ncc(C(=O)N2CCCCCNC(=O)CC2)c(=O)[n-]1 ZINC001364453516 888670758 /nfs/dbraw/zinc/67/07/58/888670758.db2.gz PNVKAQKOYMQGHF-UHFFFAOYSA-N -1 1 324.406 1.037 20 0 DDADMM CC(C)C(=O)N[C@H](C)[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001385528992 889266192 /nfs/dbraw/zinc/26/61/92/889266192.db2.gz CXRFRFQNNJSWSX-OLZOCXBDSA-N -1 1 319.405 1.943 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC[C@H](O)C(C)C)cc1C ZINC001364799328 889438794 /nfs/dbraw/zinc/43/87/94/889438794.db2.gz JLMHVXHGWDEFCI-JTQLQIEISA-N -1 1 319.379 1.060 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)N2CCC(C)CC2)n[n-]1 ZINC001364880296 889597879 /nfs/dbraw/zinc/59/78/79/889597879.db2.gz JWCAXGMSQLTAES-JTQLQIEISA-N -1 1 309.370 1.484 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)N2CCC(C)CC2)[n-]1 ZINC001364880296 889597894 /nfs/dbraw/zinc/59/78/94/889597894.db2.gz JWCAXGMSQLTAES-JTQLQIEISA-N -1 1 309.370 1.484 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)N2CCC(C)CC2)n1 ZINC001364880296 889597908 /nfs/dbraw/zinc/59/79/08/889597908.db2.gz JWCAXGMSQLTAES-JTQLQIEISA-N -1 1 309.370 1.484 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2cncc(F)c2)c1 ZINC001364928169 889685062 /nfs/dbraw/zinc/68/50/62/889685062.db2.gz LKCBDSZAHXFEBM-UHFFFAOYSA-N -1 1 312.322 1.413 20 0 DDADMM CCC1(C(=O)NC[C@H](NC(=O)c2ncccc2[O-])C2CC2)CC1 ZINC001385773324 889724055 /nfs/dbraw/zinc/72/40/55/889724055.db2.gz ABZBEWDKSZXCMZ-LBPRGKRZSA-N -1 1 317.389 1.602 20 0 DDADMM COCc1ccc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)o1 ZINC001364949178 889738353 /nfs/dbraw/zinc/73/83/53/889738353.db2.gz OFQZSJMACIXQJU-UHFFFAOYSA-N -1 1 321.333 1.595 20 0 DDADMM Nc1cccc([N-]S(=O)(=O)c2ccc(-c3nn[nH]n3)cc2)c1 ZINC001237603198 889743937 /nfs/dbraw/zinc/74/39/37/889743937.db2.gz MZXAFJWQWNFYIC-UHFFFAOYSA-N -1 1 316.346 1.250 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1ccccc1O ZINC001365130473 890164070 /nfs/dbraw/zinc/16/40/70/890164070.db2.gz UUZOSEKIIGZEFS-UHFFFAOYSA-N -1 1 314.388 1.378 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Nc2nocc2C)[n-]c1=O ZINC001365165881 890236051 /nfs/dbraw/zinc/23/60/51/890236051.db2.gz MONPPKAAJACZTA-VIFPVBQESA-N -1 1 319.321 1.856 20 0 DDADMM COc1c(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)cnn1C ZINC001290778370 913512347 /nfs/dbraw/zinc/51/23/47/913512347.db2.gz QOVGRNMBZJNDFM-UHFFFAOYSA-N -1 1 313.317 1.777 20 0 DDADMM COC(=O)[C@H](C[C@H]1CCCO1)NCc1ccc([O-])c(F)c1F ZINC001365614276 891163055 /nfs/dbraw/zinc/16/30/55/891163055.db2.gz VKTVKHOACNAKOH-MNOVXSKESA-N -1 1 315.316 1.871 20 0 DDADMM C[C@H](c1csnn1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001365691046 891336819 /nfs/dbraw/zinc/33/68/19/891336819.db2.gz IZSFWAIFFRCUIM-SNVBAGLBSA-N -1 1 319.390 1.158 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H]2CC(C)(C)CO2)sn1 ZINC001365734076 891421278 /nfs/dbraw/zinc/42/12/78/891421278.db2.gz NZPOTAIIMJDLAR-MRVPVSSYSA-N -1 1 306.409 1.245 20 0 DDADMM NC(=O)[C@@H]1CCCN1c1cc(-c2cccc([O-])c2Cl)ncn1 ZINC001242800049 891517352 /nfs/dbraw/zinc/51/73/52/891517352.db2.gz CGJXVFSMYIKAHS-NSHDSACASA-N -1 1 318.764 1.957 20 0 DDADMM COc1cccnc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244791162 891921528 /nfs/dbraw/zinc/92/15/28/891921528.db2.gz WNNKQJKXRQAPTN-UHFFFAOYSA-N -1 1 309.347 1.532 20 0 DDADMM Cc1cc(=O)n(-c2ccc(CS(=O)(=O)N3CCCC3)cc2)[n-]1 ZINC001245928214 892275775 /nfs/dbraw/zinc/27/57/75/892275775.db2.gz RFSLVGLWPRGUIF-UHFFFAOYSA-N -1 1 321.402 1.812 20 0 DDADMM CCN(CCNC(=O)[C@@H]1CC[C@H](C)C1)C(=O)c1ncccc1[O-] ZINC001387208279 892620219 /nfs/dbraw/zinc/62/02/19/892620219.db2.gz UEMRZJJKEPTBJS-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H](NC(=O)c1cnn[nH]1)c1ccccc1 ZINC001387556615 893335990 /nfs/dbraw/zinc/33/59/90/893335990.db2.gz YPBZBUBBDRLQBF-WCFLWFBJSA-N -1 1 313.361 1.048 20 0 DDADMM CCC[C@H](CC)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001387866606 894059286 /nfs/dbraw/zinc/05/92/86/894059286.db2.gz OKQGNLWPDXPCNZ-ZDUSSCGKSA-N -1 1 319.405 1.802 20 0 DDADMM CSc1nc(NC[C@H](O)COc2ccccc2)cc(=O)[n-]1 ZINC001251160095 894651314 /nfs/dbraw/zinc/65/13/14/894651314.db2.gz XOJIGJLUIHHXHB-JTQLQIEISA-N -1 1 307.375 1.756 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H](C)SC ZINC001388240925 894848965 /nfs/dbraw/zinc/84/89/65/894848965.db2.gz WAKYMRCRCDOCKE-SNVBAGLBSA-N -1 1 311.407 1.117 20 0 DDADMM O=C(C[C@@H]1CC[C@H]2C[C@H]21)NCCNC(=O)c1ncccc1[O-] ZINC001292665883 913908234 /nfs/dbraw/zinc/90/82/34/913908234.db2.gz CPCSIEOCVOPATP-SDDRHHMPSA-N -1 1 303.362 1.069 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)C1(C2CC2)CC1 ZINC001388432371 895225160 /nfs/dbraw/zinc/22/51/60/895225160.db2.gz HQNAWEKJPQWACD-NSHDSACASA-N -1 1 317.389 1.460 20 0 DDADMM CCCC[C@@H](CC)COC[C@@H](O)C[NH2+]CCP(=O)([O-])[O-] ZINC001253023471 895611832 /nfs/dbraw/zinc/61/18/32/895611832.db2.gz WFRNULJJAGAVMP-OLZOCXBDSA-N -1 1 311.359 1.348 20 0 DDADMM CCCCS(=O)(=O)[N-]c1cc(CC(=O)OC)ccc1OC ZINC001253849513 896143913 /nfs/dbraw/zinc/14/39/13/896143913.db2.gz XDJRXIGQGQUOCN-UHFFFAOYSA-N -1 1 315.391 1.953 20 0 DDADMM CCCC1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001367221106 896164702 /nfs/dbraw/zinc/16/47/02/896164702.db2.gz PZUZWXBMUXWDGY-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM CC[C@H](C)CCC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367323094 896442225 /nfs/dbraw/zinc/44/22/25/896442225.db2.gz LTPWDLNUPJTTAW-LBPRGKRZSA-N -1 1 323.441 1.855 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@@H](Nc2[n-]c(=O)nc3nc[nH]c32)C1 ZINC001255341080 896848090 /nfs/dbraw/zinc/84/80/90/896848090.db2.gz AYHVLEHSQQKGCZ-DTWKUNHWSA-N -1 1 305.338 1.592 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1C(C)(C)C1(C)C ZINC001389312489 896901969 /nfs/dbraw/zinc/90/19/69/896901969.db2.gz CKZAFOPKWNWVJE-JTQLQIEISA-N -1 1 319.405 1.704 20 0 DDADMM O=c1cc(Cc2nnc([C@@H]3CCOC3)o2)c2ccc([O-])cc2o1 ZINC001255495049 896977370 /nfs/dbraw/zinc/97/73/70/896977370.db2.gz JRXDKCQGJLVEMQ-SECBINFHSA-N -1 1 314.297 1.976 20 0 DDADMM O=S(=O)([N-]CC1(F)CCOCC1)c1ccc(F)cc1F ZINC001256094433 897282327 /nfs/dbraw/zinc/28/23/27/897282327.db2.gz HDZCRIHXQYAHJO-UHFFFAOYSA-N -1 1 309.309 1.762 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2ccc3c(c2)NC(=O)C3=O)c1 ZINC001256953943 897644945 /nfs/dbraw/zinc/64/49/45/897644945.db2.gz JAFQEUZVEBVXBS-UHFFFAOYSA-N -1 1 316.338 1.931 20 0 DDADMM C[C@@H](C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1)C(C)(C)C ZINC001389816852 898082846 /nfs/dbraw/zinc/08/28/46/898082846.db2.gz WWGIFXRWHMTOGM-NSHDSACASA-N -1 1 319.405 1.848 20 0 DDADMM O=S(=O)([N-]c1cccc(I)n1)C1CC1 ZINC001259270276 898561303 /nfs/dbraw/zinc/56/13/03/898561303.db2.gz GVGVLPSNDOCCRL-UHFFFAOYSA-N -1 1 324.143 1.590 20 0 DDADMM Cc1cc(C)cc(S(=O)(=O)Nc2cc(=O)[n-]c(N(C)C)n2)c1 ZINC001259517780 898712077 /nfs/dbraw/zinc/71/20/77/898712077.db2.gz IYNZVMIYUWZRNY-UHFFFAOYSA-N -1 1 322.390 1.666 20 0 DDADMM Cc1cc(C)cc(S(=O)(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001259527310 898718835 /nfs/dbraw/zinc/71/88/35/898718835.db2.gz WKGFRPYLJWMWQR-UHFFFAOYSA-N -1 1 321.335 1.540 20 0 DDADMM O=c1oc2ccccc2cc1[N-]S(=O)(=O)C1CCOCC1 ZINC001259907928 898915658 /nfs/dbraw/zinc/91/56/58/898915658.db2.gz MAJCNVQPBKLIKZ-UHFFFAOYSA-N -1 1 309.343 1.714 20 0 DDADMM O=C1CCCCN1c1cc(C[N-]S(=O)(=O)C(F)F)ccn1 ZINC001259963674 898986512 /nfs/dbraw/zinc/98/65/12/898986512.db2.gz KAIHEPPMQDFLMH-UHFFFAOYSA-N -1 1 319.333 1.241 20 0 DDADMM O=C1NCCC[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260286872 899109217 /nfs/dbraw/zinc/10/92/17/899109217.db2.gz JYSBHJOXXGDRJK-VIFPVBQESA-N -1 1 324.736 1.175 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-]c1ccccc1C(=O)OCC(C)=O ZINC001260741267 899242504 /nfs/dbraw/zinc/24/25/04/899242504.db2.gz DTQHFAHCLOTLIH-LLVKDONJSA-N -1 1 313.375 1.973 20 0 DDADMM C/C(=C\C(=O)N1CCN([C@@]2(C(=O)[O-])CCOC2)CC1)C(C)(C)C ZINC001261843485 899785199 /nfs/dbraw/zinc/78/51/99/899785199.db2.gz IVJCKMSMQOKHEE-HVJHFUJKSA-N -1 1 324.421 1.367 20 0 DDADMM N[C@H](C(=O)NCCCC(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC001261845335 899786746 /nfs/dbraw/zinc/78/67/46/899786746.db2.gz YDNFMZPHFIALRI-NSHDSACASA-N -1 1 304.268 1.686 20 0 DDADMM Cc1ncccc1CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001262183279 900003685 /nfs/dbraw/zinc/00/36/85/900003685.db2.gz QAXPRPZMZTVDRQ-OAHLLOKOSA-N -1 1 308.345 1.351 20 0 DDADMM CC(C)(CNCc1ccc(/C=C\C(=O)[O-])o1)CS(C)(=O)=O ZINC001262491646 900195992 /nfs/dbraw/zinc/19/59/92/900195992.db2.gz JZUCOHLTOWYPJN-SREVYHEPSA-N -1 1 315.391 1.538 20 0 DDADMM O=C([N-]CCNC(=S)NCc1ccc(F)cc1)C(F)(F)F ZINC000586402849 900636251 /nfs/dbraw/zinc/63/62/51/900636251.db2.gz HOOPOVPGROFTLJ-UHFFFAOYSA-N -1 1 323.315 1.468 20 0 DDADMM CCCC[C@H](C)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369442562 901303439 /nfs/dbraw/zinc/30/34/39/901303439.db2.gz IRZBEKGRPMGCSU-RYUDHWBXSA-N -1 1 323.441 1.663 20 0 DDADMM O=S(=O)([N-]Cc1ncccn1)c1ccc(Cl)nc1F ZINC000702330192 902651750 /nfs/dbraw/zinc/65/17/50/902651750.db2.gz QMYOOYLVVQBNBD-UHFFFAOYSA-N -1 1 302.718 1.143 20 0 DDADMM CCc1occc1C(=O)NCCCN(CC)Cc1n[nH]c(=O)[n-]1 ZINC001266038006 902814980 /nfs/dbraw/zinc/81/49/80/902814980.db2.gz CAVBROKUGVRTRM-UHFFFAOYSA-N -1 1 321.381 1.308 20 0 DDADMM CC/C(C)=C/C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001293769437 914693243 /nfs/dbraw/zinc/69/32/43/914693243.db2.gz PNXMHFZHQJGVOY-VAWYXSNFSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)CC1CCCC1 ZINC001370472870 903240659 /nfs/dbraw/zinc/24/06/59/903240659.db2.gz OKCPETDSYQWTCB-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(N[C@H]1CC[C@H](CNC(=O)C(F)F)C1)c1ncccc1[O-] ZINC001370960010 904056556 /nfs/dbraw/zinc/05/65/56/904056556.db2.gz QIEXEVXLAWSPFN-IUCAKERBSA-N -1 1 313.304 1.067 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccns1 ZINC001375061084 914824858 /nfs/dbraw/zinc/82/48/58/914824858.db2.gz SUFRTFZFBCENLH-VIFPVBQESA-N -1 1 320.374 1.182 20 0 DDADMM CC1(C(=O)NC[C@H](NC(=O)c2ncccc2[O-])C2CC2)CCC1 ZINC001372417110 907253736 /nfs/dbraw/zinc/25/37/36/907253736.db2.gz WBELIRMKKDDHEQ-LBPRGKRZSA-N -1 1 317.389 1.602 20 0 DDADMM CCC(C)(C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001393811535 907984150 /nfs/dbraw/zinc/98/41/50/907984150.db2.gz OKOASYSFGKTHMR-UHFFFAOYSA-N -1 1 305.378 1.602 20 0 DDADMM CCCCCCC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001284024936 908335905 /nfs/dbraw/zinc/33/59/05/908335905.db2.gz FUGCEIGHCQKAFA-NEPJUHHUSA-N -1 1 309.414 1.560 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(CCF)CC1 ZINC001372835564 908387516 /nfs/dbraw/zinc/38/75/16/908387516.db2.gz OFKKOSUKXFGGCA-SNVBAGLBSA-N -1 1 309.341 1.162 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001394436417 909623191 /nfs/dbraw/zinc/62/31/91/909623191.db2.gz AIIHUYIOQUOMPN-UPJWGTAASA-N -1 1 321.425 1.273 20 0 DDADMM C[C@H](CC(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001394437844 909626551 /nfs/dbraw/zinc/62/65/51/909626551.db2.gz BTTGQLXHMRYWED-MFKMUULPSA-N -1 1 321.425 1.273 20 0 DDADMM CC[C@@H](C)OCC(=O)NCCN(CC)C(=O)c1ncccc1[O-] ZINC001373792728 911006611 /nfs/dbraw/zinc/00/66/11/911006611.db2.gz OAGYUVFPONMFMQ-GFCCVEGCSA-N -1 1 323.393 1.181 20 0 DDADMM C[C@@H](NC(=O)CC(C)(F)F)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001394956649 911015283 /nfs/dbraw/zinc/01/52/83/911015283.db2.gz AYIZSSWNVILMMS-BDAKNGLRSA-N -1 1 315.320 1.456 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)C1(CF)CCC1 ZINC001373821542 911105876 /nfs/dbraw/zinc/10/58/76/911105876.db2.gz BEQWAHXFRLMQHW-UHFFFAOYSA-N -1 1 323.368 1.505 20 0 DDADMM CC[C@@H](C)OCC(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001395069721 911289519 /nfs/dbraw/zinc/28/95/19/911289519.db2.gz UEWBCLCDZHRICR-NWDGAFQWSA-N -1 1 323.393 1.179 20 0 DDADMM O=C(CCC1CC1)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001395414782 911937864 /nfs/dbraw/zinc/93/78/64/911937864.db2.gz FIVTWXGHFAZEPY-JOCQHMNTSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@H](CCCNC(=O)C(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001286657988 912076522 /nfs/dbraw/zinc/07/65/22/912076522.db2.gz GLJCGWLVEGMWIF-SECBINFHSA-N -1 1 315.320 1.457 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CC1CCC1 ZINC001375978265 917401935 /nfs/dbraw/zinc/40/19/35/917401935.db2.gz PUYVJGGMBLLNKW-CYBMUJFWSA-N -1 1 319.405 1.848 20 0 DDADMM Cn1ccnc1C[NH2+]C1(CNC(=O)c2ccccc2O)CCC1 ZINC001376712533 919138581 /nfs/dbraw/zinc/13/85/81/919138581.db2.gz QCSRZJLBKWQDCW-UHFFFAOYSA-N -1 1 314.389 1.568 20 0 DDADMM C[C@@H](CNC(=O)c1ccsc1)NC(=O)c1ncccc1[O-] ZINC001377544661 922285429 /nfs/dbraw/zinc/28/54/29/922285429.db2.gz JQVNNFPICZDLJM-VIFPVBQESA-N -1 1 305.359 1.397 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)C12CCC(CC1)C2 ZINC001377885951 923330209 /nfs/dbraw/zinc/33/02/09/923330209.db2.gz NWIQBMFYELMGAY-DINFMJHZSA-N -1 1 307.398 1.073 20 0 DDADMM CCO[C@H](CC(=O)Nc1nc2nc(C)cc(=O)n2[n-]1)C(C)C ZINC000622870873 365550211 /nfs/dbraw/zinc/55/02/11/365550211.db2.gz JZSFYTCZTHKTEA-SNVBAGLBSA-N -1 1 307.354 1.116 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NCc1ccnn1C)c2=O ZINC000076904070 185053682 /nfs/dbraw/zinc/05/36/82/185053682.db2.gz AWVZFYMKVZAPKK-UHFFFAOYSA-N -1 1 312.329 1.200 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3coc(C4CC4)n3)nc2n1 ZINC000622994123 365587044 /nfs/dbraw/zinc/58/70/44/365587044.db2.gz FAYGRQMJZBCKPH-UHFFFAOYSA-N -1 1 314.305 1.098 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)[C@@H](C)COC)nc2n1 ZINC000622997605 365591823 /nfs/dbraw/zinc/59/18/23/365591823.db2.gz DUDKOUUZSODKPG-UWVGGRQHSA-N -1 1 321.381 1.227 20 0 DDADMM COC(=O)CCSCCNC(=O)c1ccc([O-])cc1F ZINC000614265898 361779972 /nfs/dbraw/zinc/77/99/72/361779972.db2.gz LRTXCFSUMCZFMK-UHFFFAOYSA-N -1 1 301.339 1.557 20 0 DDADMM COCC[C@@H](CO)NC(=O)c1ccc(Br)c([O-])c1 ZINC000302349414 230223069 /nfs/dbraw/zinc/22/30/69/230223069.db2.gz WFKHSEQIHVOION-VIFPVBQESA-N -1 1 318.167 1.282 20 0 DDADMM O=C(NC[C@H](CO)[C@@H]1CCOC1)c1ncc2ccccc2c1[O-] ZINC000614340009 361810945 /nfs/dbraw/zinc/81/09/45/361810945.db2.gz GYFDTZOZUHNDMW-CHWSQXEVSA-N -1 1 316.357 1.315 20 0 DDADMM CC(=O)N[C@@H](CC(C)C)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614390621 361834391 /nfs/dbraw/zinc/83/43/91/361834391.db2.gz VYWLFVULUBRATN-RYUDHWBXSA-N -1 1 324.381 1.032 20 0 DDADMM Cc1ccn([C@H](C)CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000614390074 361834412 /nfs/dbraw/zinc/83/44/12/361834412.db2.gz QVFPYJWXHWWELH-NEPJUHHUSA-N -1 1 319.365 1.637 20 0 DDADMM O=C(Cc1cc(C2CC2)no1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614390340 361834511 /nfs/dbraw/zinc/83/45/11/361834511.db2.gz UEPLIZSOEYHVPQ-SNVBAGLBSA-N -1 1 318.333 1.589 20 0 DDADMM CC(=O)N[C@@H](CC(C)C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474668 361876348 /nfs/dbraw/zinc/87/63/48/361876348.db2.gz XGZQOKZPGGCKRK-LBPRGKRZSA-N -1 1 324.381 1.032 20 0 DDADMM O=C(c1cnc2[nH]ccc2c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474673 361876797 /nfs/dbraw/zinc/87/67/97/361876797.db2.gz CFZLFHWNOIQXBD-UHFFFAOYSA-N -1 1 313.317 1.623 20 0 DDADMM O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)c1cc(F)ccc1[O-] ZINC000081959321 192345994 /nfs/dbraw/zinc/34/59/94/192345994.db2.gz ZICHODOSNYJIFC-SECBINFHSA-N -1 1 320.242 1.424 20 0 DDADMM Cc1cc(CS(=O)(=O)c2nc(Cc3cccs3)n[n-]2)on1 ZINC000278232871 214036618 /nfs/dbraw/zinc/03/66/18/214036618.db2.gz RZYPJLSINBFTKV-UHFFFAOYSA-N -1 1 324.387 1.727 20 0 DDADMM Cc1cc(CS(=O)(=O)c2n[n-]c(Cc3cccs3)n2)on1 ZINC000278232871 214036625 /nfs/dbraw/zinc/03/66/25/214036625.db2.gz RZYPJLSINBFTKV-UHFFFAOYSA-N -1 1 324.387 1.727 20 0 DDADMM CCc1nc(S(=O)(=O)CCC(=O)N(C)c2ccccc2)n[n-]1 ZINC000090085299 539173803 /nfs/dbraw/zinc/17/38/03/539173803.db2.gz LOJIZCFTDHBJSC-UHFFFAOYSA-N -1 1 322.390 1.194 20 0 DDADMM CC[C@@H](COC)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330840636 529520114 /nfs/dbraw/zinc/52/01/14/529520114.db2.gz ZKITVDKDWUQAFA-ZETCQYMHSA-N -1 1 312.185 1.745 20 0 DDADMM CCc1ccc([C@H](CO)[N-]S(=O)(=O)c2c(C)noc2C)cc1 ZINC000156594009 539211261 /nfs/dbraw/zinc/21/12/61/539211261.db2.gz ORMYOSCWMJRYSQ-AWEZNQCLSA-N -1 1 324.402 1.866 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(c2nccs2)CC1 ZINC000181080813 539236860 /nfs/dbraw/zinc/23/68/60/539236860.db2.gz ALZCCNCOMDUPPF-UHFFFAOYSA-N -1 1 307.350 1.950 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@H](O)C(C)C ZINC000416626669 529648312 /nfs/dbraw/zinc/64/83/12/529648312.db2.gz QFEIOHMVHXTWMA-WDEREUQCSA-N -1 1 306.453 1.834 20 0 DDADMM O=S(=O)(Cc1noc(-c2cc(F)ccc2[O-])n1)NC1CC1 ZINC000278194802 214015425 /nfs/dbraw/zinc/01/54/25/214015425.db2.gz MNZPIZRIYCCQLJ-UHFFFAOYSA-N -1 1 313.310 1.163 20 0 DDADMM COc1ccc(C(=O)Nc2c(C)[n-][nH]c2=O)cc1OCCO ZINC000615219609 362201233 /nfs/dbraw/zinc/20/12/33/362201233.db2.gz AYCBMLLKJDJFAV-UHFFFAOYSA-N -1 1 307.306 1.056 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCCn1c2ccccc2oc1=O ZINC000615228587 362204327 /nfs/dbraw/zinc/20/43/27/362204327.db2.gz ZJOZQQNISFLZTI-UHFFFAOYSA-N -1 1 316.317 1.751 20 0 DDADMM O=C(NCc1nnc(C2CC2)[nH]1)c1ncc2ccccc2c1[O-] ZINC000615670095 362392069 /nfs/dbraw/zinc/39/20/69/362392069.db2.gz RHNYDRIIXWIXRL-UHFFFAOYSA-N -1 1 309.329 1.866 20 0 DDADMM COC[C@H](C)[C@H](C)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616004519 362516868 /nfs/dbraw/zinc/51/68/68/362516868.db2.gz XLPIRTJORCLPRS-BQBZGAKWSA-N -1 1 304.188 1.760 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)C2(C)CCOCC2)c1Br ZINC000616010973 362519851 /nfs/dbraw/zinc/51/98/51/362519851.db2.gz UIFKAACTOAIITA-UHFFFAOYSA-N -1 1 302.172 1.658 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@H]1CCCO)c2=O ZINC000358346146 299126759 /nfs/dbraw/zinc/12/67/59/299126759.db2.gz CNSYBLHBQLBBFF-JTQLQIEISA-N -1 1 304.350 1.051 20 0 DDADMM CO[C@@H](C)c1nc(=NCCOCC(=O)OC(C)(C)C)s[n-]1 ZINC000601221633 358453705 /nfs/dbraw/zinc/45/37/05/358453705.db2.gz VVCYPWWXVLMJOI-VIFPVBQESA-N -1 1 317.411 1.438 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-]C[C@@](C)(O)C(F)(F)F ZINC000270452040 186393449 /nfs/dbraw/zinc/39/34/49/186393449.db2.gz COYKEHYJYSJHGL-MRVPVSSYSA-N -1 1 319.326 1.348 20 0 DDADMM O=C(Cc1cccc(F)c1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282854297 186540599 /nfs/dbraw/zinc/54/05/99/186540599.db2.gz GRRZKGCYDWGMEK-NSHDSACASA-N -1 1 304.325 1.186 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NCC2CCC2)o1 ZINC000026146178 352205562 /nfs/dbraw/zinc/20/55/62/352205562.db2.gz FQFZHRUZVBFCAJ-UHFFFAOYSA-N -1 1 314.407 1.886 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H](C)c2ccccc2)o1 ZINC000031489696 352267560 /nfs/dbraw/zinc/26/75/60/352267560.db2.gz JLDNUXQIMBVKDO-NSHDSACASA-N -1 1 322.386 1.721 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2ccn(C)n2)c(=O)[n-]1 ZINC000029953401 352247853 /nfs/dbraw/zinc/24/78/53/352247853.db2.gz IZSQYJXYWPLELX-UHFFFAOYSA-N -1 1 307.379 1.517 20 0 DDADMM COc1cc[n-]c(=NNC(=O)c2[nH]c(C)c(C(C)=O)c2C)n1 ZINC000046363451 352449829 /nfs/dbraw/zinc/44/98/29/352449829.db2.gz PBZHUGOQXJZJSM-UHFFFAOYSA-N -1 1 303.322 1.390 20 0 DDADMM C[C@@H](Cn1cncn1)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000066579662 353006468 /nfs/dbraw/zinc/00/64/68/353006468.db2.gz XHDPZABBZDBLTC-ZETCQYMHSA-N -1 1 320.296 1.062 20 0 DDADMM Cc1[nH]nc2cc([N-]S(=O)(=O)c3cc(C)cnc3N)ccc12 ZINC000358557676 299197394 /nfs/dbraw/zinc/19/73/94/299197394.db2.gz UZIQOLTZXHSUAG-UHFFFAOYSA-N -1 1 317.374 1.958 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(C(C)C)CC2)o1 ZINC000174484868 198341214 /nfs/dbraw/zinc/34/12/14/198341214.db2.gz PQEKDRRDULXHLX-UHFFFAOYSA-N -1 1 314.407 1.696 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1C[C@H](C)C[C@@H](C)C1 ZINC000617668578 363218970 /nfs/dbraw/zinc/21/89/70/363218970.db2.gz SZSLWBZUTGEEQL-RKDXNWHRSA-N -1 1 309.366 1.699 20 0 DDADMM COc1ccccc1O[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC000174571164 198354160 /nfs/dbraw/zinc/35/41/60/198354160.db2.gz DALPFKVXQMTVAZ-NSHDSACASA-N -1 1 302.330 1.993 20 0 DDADMM CC[C@@H](CNC(C)=C1C(=O)[N-]C(=S)NC1=O)c1ccccc1 ZINC000073139085 191282981 /nfs/dbraw/zinc/28/29/81/191282981.db2.gz SFQVFYBFLHNFCJ-NSHDSACASA-N -1 1 317.414 1.575 20 0 DDADMM COC(=O)CCCCC[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601288461 358479665 /nfs/dbraw/zinc/47/96/65/358479665.db2.gz YMFHPWJGVUNWJB-UHFFFAOYSA-N -1 1 306.409 1.463 20 0 DDADMM O=C(N[C@@H]1c2ccccc2C[C@@H]1O)c1nc2ccccc2c(=O)[n-]1 ZINC000083064865 353705661 /nfs/dbraw/zinc/70/56/61/353705661.db2.gz MMAHDZBROMBLLL-LSDHHAIUSA-N -1 1 321.336 1.311 20 0 DDADMM CCc1nn(C)cc1NC(=O)NCc1n[n-]c(=S)n1CC ZINC000091198357 353816767 /nfs/dbraw/zinc/81/67/67/353816767.db2.gz ONJAAVQOTWFYMJ-UHFFFAOYSA-N -1 1 309.399 1.578 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc(F)cc2Cl)n1 ZINC000129224939 354080083 /nfs/dbraw/zinc/08/00/83/354080083.db2.gz XKCPPQSJHIQUJG-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2ccc(F)cc2Cl)[n-]1 ZINC000129224939 354080086 /nfs/dbraw/zinc/08/00/86/354080086.db2.gz XKCPPQSJHIQUJG-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](C)C1(CO)CC1 ZINC000617902820 363363574 /nfs/dbraw/zinc/36/35/74/363363574.db2.gz IAKJCRPBHSGYJZ-SNVBAGLBSA-N -1 1 307.394 1.679 20 0 DDADMM CCCC[C@H](NC(=O)[C@@H](N)Cc1c[nH]c2ccccc12)C(=O)[O-] ZINC000218873596 354313416 /nfs/dbraw/zinc/31/34/16/354313416.db2.gz TVAXTNZKONHRBT-ZFWWWQNUSA-N -1 1 317.389 1.797 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CNC(=O)CC(C)(C)C)n1 ZINC000585682263 354827727 /nfs/dbraw/zinc/82/77/27/354827727.db2.gz OLOUPUXBLPWXMI-UHFFFAOYSA-N -1 1 310.354 1.077 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CCCO2)c(F)c1 ZINC000588671888 354927285 /nfs/dbraw/zinc/92/72/85/354927285.db2.gz FLJBXJPNPIARMF-MRVPVSSYSA-N -1 1 307.318 1.431 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCc3ccco3)nc2n1 ZINC000588744523 354932808 /nfs/dbraw/zinc/93/28/08/354932808.db2.gz LLKPRGAMTZQXQB-UHFFFAOYSA-N -1 1 315.333 1.534 20 0 DDADMM CCc1cc(=NC(=O)CCc2c(C)nc(SC)[n-]c2=O)[nH][nH]1 ZINC000590311489 355068630 /nfs/dbraw/zinc/06/86/30/355068630.db2.gz GBBONBJJVUCXGW-UHFFFAOYSA-N -1 1 321.406 1.491 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@H]2CCCN(C)C2)c1 ZINC000591761411 355379477 /nfs/dbraw/zinc/37/94/77/355379477.db2.gz IZAMXUJGDFYOBR-LAJNKCICSA-N -1 1 310.419 1.013 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@H]2CCCN(C)C2)c1 ZINC000591761412 355379507 /nfs/dbraw/zinc/37/95/07/355379507.db2.gz IZAMXUJGDFYOBR-QKVFXAPYSA-N -1 1 310.419 1.013 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CCCOC2)c(F)c1 ZINC000592287557 355553103 /nfs/dbraw/zinc/55/31/03/355553103.db2.gz QZVLUKRSUGWUAG-QMMMGPOBSA-N -1 1 307.318 1.431 20 0 DDADMM COC(=O)[C@H]1C[C@@H](O)CN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000592910149 355737299 /nfs/dbraw/zinc/73/72/99/355737299.db2.gz LKCSUOUADKLCSE-WCBMZHEXSA-N -1 1 313.737 1.042 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)C[C@@H]1CCCC1(F)F ZINC000593634063 355948784 /nfs/dbraw/zinc/94/87/84/355948784.db2.gz JNDQNDHLBZPNDL-IUCAKERBSA-N -1 1 317.329 1.242 20 0 DDADMM CCOC(=O)[C@H](CC1(OC)CCC1)NC(=O)c1ncccc1[O-] ZINC000593854118 356024056 /nfs/dbraw/zinc/02/40/56/356024056.db2.gz SVRVWEOLEOEEBI-NSHDSACASA-N -1 1 322.361 1.408 20 0 DDADMM O=C(NCCO[C@H]1CC1(F)F)c1csc(=NC2CC2)[n-]1 ZINC000618133017 363470904 /nfs/dbraw/zinc/47/09/04/363470904.db2.gz MZEJDMUFONUKQA-VIFPVBQESA-N -1 1 303.334 1.293 20 0 DDADMM COC(=O)[C@@H](C)CN(C)C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081725194 192318412 /nfs/dbraw/zinc/31/84/12/192318412.db2.gz NYWMCTHQVDANAM-VIFPVBQESA-N -1 1 323.393 1.257 20 0 DDADMM CN(CC1CN(C)C1)C(=O)c1nn(-c2ccccc2F)cc1[O-] ZINC000618193430 363498530 /nfs/dbraw/zinc/49/85/30/363498530.db2.gz WQOVMHZXZPGXGF-UHFFFAOYSA-N -1 1 318.352 1.351 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@@H]2CCCc3cn[nH]c32)C[C@H]1C(=O)[O-] ZINC000579349115 422752759 /nfs/dbraw/zinc/75/27/59/422752759.db2.gz ARGQBNMSMGFKND-YUSALJHKSA-N -1 1 306.366 1.539 20 0 DDADMM CC[C@H](C)c1nc([C@H](C)N=c2ccc(C(=O)OC)n[n-]2)n[nH]1 ZINC000596204264 356812721 /nfs/dbraw/zinc/81/27/21/356812721.db2.gz BOXAZEBXUWBQRR-IUCAKERBSA-N -1 1 304.354 1.490 20 0 DDADMM Cn1[n-]c(CN[C@@H](c2c(F)cccc2F)C(F)(F)F)nc1=O ZINC000347578279 283235141 /nfs/dbraw/zinc/23/51/41/283235141.db2.gz DRTIIZUDIJGHJX-JTQLQIEISA-N -1 1 322.237 1.780 20 0 DDADMM CSC[C@H](CCO)NC(=O)c1ncc2ccccc2c1[O-] ZINC000597395032 357162153 /nfs/dbraw/zinc/16/21/53/357162153.db2.gz PMFFLLGYDCYFPA-NSHDSACASA-N -1 1 306.387 1.784 20 0 DDADMM CC(C)OC(=O)C[C@H](NCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000347632039 283247306 /nfs/dbraw/zinc/24/73/06/283247306.db2.gz GNIQATMWWVMNCX-ZDUSSCGKSA-N -1 1 318.377 1.281 20 0 DDADMM CC(C)(C)OC(=O)C1(NC(=O)c2ncccc2[O-])CCOCC1 ZINC000598527988 357620415 /nfs/dbraw/zinc/62/04/15/357620415.db2.gz WLHKOJQWQDVIQJ-UHFFFAOYSA-N -1 1 322.361 1.408 20 0 DDADMM COC(=O)[C@@H]1C[C@H](C)CCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000598775379 357725020 /nfs/dbraw/zinc/72/50/20/357725020.db2.gz MZKJHTJDLUCCIB-MFKMUULPSA-N -1 1 305.330 1.375 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3(SC)CCC3)nc2n1 ZINC000598892992 357752005 /nfs/dbraw/zinc/75/20/05/357752005.db2.gz NUXGLWGHKLQOEK-UHFFFAOYSA-N -1 1 321.406 1.594 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N([C@@H](C)C(C)C)C2CC2)co1 ZINC000179355299 199020811 /nfs/dbraw/zinc/02/08/11/199020811.db2.gz VTUURAUPBQWSBI-JTQLQIEISA-N -1 1 314.407 1.837 20 0 DDADMM CCCNC(=O)CCC(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000599318373 357891133 /nfs/dbraw/zinc/89/11/33/357891133.db2.gz HNPKFUWALJLXHC-UHFFFAOYSA-N -1 1 306.391 1.805 20 0 DDADMM O=C(CCC(=O)c1ccc2ccccc2c1)NCc1nn[n-]n1 ZINC000600506371 358243145 /nfs/dbraw/zinc/24/31/45/358243145.db2.gz WLVAVDOHFQRZBD-UHFFFAOYSA-N -1 1 309.329 1.632 20 0 DDADMM C[C@@H]1CN(CC(=O)NC(=O)Nc2ccccc2)CC[C@H]1C(=O)[O-] ZINC000600701500 358286973 /nfs/dbraw/zinc/28/69/73/358286973.db2.gz KJLJEEYVCXDEIO-DGCLKSJQSA-N -1 1 319.361 1.377 20 0 DDADMM COC(=O)[C@H](CC(F)F)[N-]S(=O)(=O)CCC(C)(F)F ZINC000601382012 358526859 /nfs/dbraw/zinc/52/68/59/358526859.db2.gz LJYDOLQTDAKUPV-LURJTMIESA-N -1 1 309.281 1.148 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1ccnnc1 ZINC000358921642 299281809 /nfs/dbraw/zinc/28/18/09/299281809.db2.gz RSMVDVDXPHIOQS-UHFFFAOYSA-N -1 1 310.310 1.240 20 0 DDADMM C[C@H]1CS(=O)(=O)CCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631425759 422757598 /nfs/dbraw/zinc/75/75/98/422757598.db2.gz KFBHQPGHUWWWGO-VIFPVBQESA-N -1 1 317.794 1.234 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@H](C(=O)OC(C)C)C(C)C)C1CC1 ZINC000565596516 304078840 /nfs/dbraw/zinc/07/88/40/304078840.db2.gz MBPXFLPARKIZAM-STQMWFEESA-N -1 1 321.439 1.307 20 0 DDADMM COc1cc(=NS(=O)(=O)[C@@H]2C[C@H]2c2ccccc2)[n-]n1C ZINC000565644228 304081281 /nfs/dbraw/zinc/08/12/81/304081281.db2.gz GJQRCZXBRBDVEX-NWDGAFQWSA-N -1 1 307.375 1.148 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@@H]2CCC[C@H](C)C2)n[n-]1 ZINC000603019319 359361347 /nfs/dbraw/zinc/36/13/47/359361347.db2.gz UPXDDLYWYIAOFU-WDEREUQCSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H]2CCC[C@H](C)C2)n1 ZINC000603019319 359361353 /nfs/dbraw/zinc/36/13/53/359361353.db2.gz UPXDDLYWYIAOFU-WDEREUQCSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H](C)C(F)(F)F)n[n-]1 ZINC000603152924 359440716 /nfs/dbraw/zinc/44/07/16/359440716.db2.gz GNOHTDIHARGYHS-RQJHMYQMSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H](C)C(F)(F)F)[n-]1 ZINC000603152924 359440724 /nfs/dbraw/zinc/44/07/24/359440724.db2.gz GNOHTDIHARGYHS-RQJHMYQMSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H](C)C(F)(F)F)n1 ZINC000603152924 359440730 /nfs/dbraw/zinc/44/07/30/359440730.db2.gz GNOHTDIHARGYHS-RQJHMYQMSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2CCCCS2)n[n-]1 ZINC000603156145 359443215 /nfs/dbraw/zinc/44/32/15/359443215.db2.gz QMNCSVZAHFXWIO-BDAKNGLRSA-N -1 1 312.395 1.444 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2CCCCS2)[n-]1 ZINC000603156145 359443219 /nfs/dbraw/zinc/44/32/19/359443219.db2.gz QMNCSVZAHFXWIO-BDAKNGLRSA-N -1 1 312.395 1.444 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2CCCCS2)n1 ZINC000603156145 359443223 /nfs/dbraw/zinc/44/32/23/359443223.db2.gz QMNCSVZAHFXWIO-BDAKNGLRSA-N -1 1 312.395 1.444 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@]1(C)CC1(F)F)C(C)(C)C ZINC000603286232 359543073 /nfs/dbraw/zinc/54/30/73/359543073.db2.gz LKAQSPABHRRTPJ-LDYMZIIASA-N -1 1 313.366 1.539 20 0 DDADMM CC[C@@H](C)C[C@@H]([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2)C(=O)OC ZINC000603365835 359596239 /nfs/dbraw/zinc/59/62/39/359596239.db2.gz HZYUQDKRGQPNER-MLGHIDQZSA-N -1 1 319.423 1.204 20 0 DDADMM Cc1nc2n(n1)CCC[C@H]2NC(=O)C(=O)c1ccc([O-])cc1 ZINC000281009750 216018615 /nfs/dbraw/zinc/01/86/15/216018615.db2.gz NKKOVPLQQHMHED-GFCCVEGCSA-N -1 1 300.318 1.126 20 0 DDADMM CSC1(CNC(=O)C(=O)c2ccc([O-])cc2)CCOCC1 ZINC000281057518 216051564 /nfs/dbraw/zinc/05/15/64/216051564.db2.gz YIIHBYBGZVBQHK-UHFFFAOYSA-N -1 1 309.387 1.603 20 0 DDADMM C[C@@H](NC(=O)[C@@H](C)N1CCC(Cc2ccccc2)CC1)C(=O)[O-] ZINC000237650229 202317651 /nfs/dbraw/zinc/31/76/51/202317651.db2.gz ZUBJEZKLLFYPNW-ZIAGYGMSSA-N -1 1 318.417 1.919 20 0 DDADMM C[C@H](OC[C@@H]1CCCO1)C(=O)Nc1nc(Cl)ccc1[O-] ZINC000188349686 200232840 /nfs/dbraw/zinc/23/28/40/200232840.db2.gz UQNJUGSSGRYGQM-IUCAKERBSA-N -1 1 300.742 1.963 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@]1(C)CC1(F)F ZINC000618636552 363697312 /nfs/dbraw/zinc/69/73/12/363697312.db2.gz UKCCTQKUWWQNFE-LLVKDONJSA-N -1 1 303.265 1.062 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@@H]1O)c1cc(F)c(F)cc1F ZINC000188788117 200303567 /nfs/dbraw/zinc/30/35/67/200303567.db2.gz AMOOZTCAFZDKBQ-QWRGUYRKSA-N -1 1 309.309 1.686 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccoc2C)o1 ZINC000610488196 360450306 /nfs/dbraw/zinc/45/03/06/360450306.db2.gz YSEMUURUNVFXFT-UHFFFAOYSA-N -1 1 313.331 1.836 20 0 DDADMM O=C(Cc1cc(C2CC2)no1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612818457 361156635 /nfs/dbraw/zinc/15/66/35/361156635.db2.gz XCLSSSCTCXHMPA-JTQLQIEISA-N -1 1 302.338 1.014 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnn(-c2ccc(Cl)cc2)c1 ZINC000359083379 299323293 /nfs/dbraw/zinc/32/32/93/299323293.db2.gz DXYFPBRDXANMBE-UHFFFAOYSA-N -1 1 315.782 1.914 20 0 DDADMM C[C@@H](CN(C)C(=O)c1coc(-c2cccnc2)n1)c1nn[n-]n1 ZINC000613476745 361434879 /nfs/dbraw/zinc/43/48/79/361434879.db2.gz ZTFZRDJFKVPNAD-VIFPVBQESA-N -1 1 313.321 1.125 20 0 DDADMM CN(C)c1cc(C(=O)NCc2nn[n-]n2)cc(C(F)(F)F)c1 ZINC000613538753 361460818 /nfs/dbraw/zinc/46/08/18/361460818.db2.gz PCPKQTIRMPMRRV-UHFFFAOYSA-N -1 1 314.271 1.215 20 0 DDADMM Cc1sc(=O)n(CC(=O)Nc2nc(Cl)ccc2[O-])c1C ZINC000194587850 201256672 /nfs/dbraw/zinc/25/66/72/201256672.db2.gz WEMDOCHEGPDMJR-UHFFFAOYSA-N -1 1 313.766 1.919 20 0 DDADMM O=S(=O)(C[C@H]1CCOC1)c1nnc(Cc2cccs2)[n-]1 ZINC000195159190 201349349 /nfs/dbraw/zinc/34/93/49/201349349.db2.gz ZDPDSMHQRVUVRG-VIFPVBQESA-N -1 1 313.404 1.267 20 0 DDADMM O=S(=O)(C[C@H]1CCOC1)c1nc(Cc2cccs2)n[n-]1 ZINC000195159190 201349353 /nfs/dbraw/zinc/34/93/53/201349353.db2.gz ZDPDSMHQRVUVRG-VIFPVBQESA-N -1 1 313.404 1.267 20 0 DDADMM O=S(=O)(C[C@H]1CCOC1)c1n[n-]c(Cc2cccs2)n1 ZINC000195159190 201349356 /nfs/dbraw/zinc/34/93/56/201349356.db2.gz ZDPDSMHQRVUVRG-VIFPVBQESA-N -1 1 313.404 1.267 20 0 DDADMM O=S(=O)(C[C@H]1CCCCO1)c1nc(Cc2ccccc2)n[n-]1 ZINC000195289446 201373269 /nfs/dbraw/zinc/37/32/69/201373269.db2.gz CMDADZFPSSDMCR-CYBMUJFWSA-N -1 1 321.402 1.738 20 0 DDADMM O=S(=O)(C[C@H]1CCCCO1)c1n[n-]c(Cc2ccccc2)n1 ZINC000195289446 201373272 /nfs/dbraw/zinc/37/32/72/201373272.db2.gz CMDADZFPSSDMCR-CYBMUJFWSA-N -1 1 321.402 1.738 20 0 DDADMM CC(C)NC(=O)[C@@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000620397775 364397592 /nfs/dbraw/zinc/39/75/92/364397592.db2.gz AVGKEHAEOCNIIM-CYBMUJFWSA-N -1 1 318.373 1.338 20 0 DDADMM CO[C@H](C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C1CCCC1 ZINC000621708892 364994598 /nfs/dbraw/zinc/99/45/98/364994598.db2.gz DFPRIVLETWCFIF-KGLIPLIRSA-N -1 1 315.377 1.611 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)CCC1Cc2ccccc2C1 ZINC000625493727 366964274 /nfs/dbraw/zinc/96/42/74/366964274.db2.gz VUDIJRCHWHNBIB-OAHLLOKOSA-N -1 1 323.414 1.069 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC000625645276 367070223 /nfs/dbraw/zinc/07/02/23/367070223.db2.gz UZUGEGGPNAVNPL-ZKCHVHJHSA-N -1 1 310.297 1.556 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1cccc2cnccc21)c1nn[n-]n1 ZINC000626443666 367548660 /nfs/dbraw/zinc/54/86/60/367548660.db2.gz PJQCWQDTXMUNKD-NSHDSACASA-N -1 1 310.361 1.553 20 0 DDADMM CNc1ccc(Cl)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000626443415 367548684 /nfs/dbraw/zinc/54/86/84/367548684.db2.gz DKODQIVZHVTGRK-MRVPVSSYSA-N -1 1 308.773 1.771 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@H]1CCC[C@@H](C)[C@@H]1C ZINC000349667181 284008528 /nfs/dbraw/zinc/00/85/28/284008528.db2.gz PBLOYJKPRHPGCL-YWVKMMECSA-N -1 1 301.412 1.746 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCC[C@@H](C)[C@@H]1C ZINC000349667181 284008532 /nfs/dbraw/zinc/00/85/32/284008532.db2.gz PBLOYJKPRHPGCL-YWVKMMECSA-N -1 1 301.412 1.746 20 0 DDADMM CC[C@@H](C)N[C@H](CNC(=O)N=c1cccn[n-]1)c1ccco1 ZINC000349865648 284083139 /nfs/dbraw/zinc/08/31/39/284083139.db2.gz ASYDEIKHDDIJID-VXGBXAGGSA-N -1 1 303.366 1.742 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)Nc1cccc(O)c1 ZINC000349965937 284121640 /nfs/dbraw/zinc/12/16/40/284121640.db2.gz RGCICUYUVWZLRW-UHFFFAOYSA-N -1 1 312.329 1.939 20 0 DDADMM CCC[C@H](NCC(=O)NC(=O)Nc1ccc(C)c(C)c1)C(=O)[O-] ZINC000262327335 203262954 /nfs/dbraw/zinc/26/29/54/203262954.db2.gz XFPLYPPVHSWMKD-ZDUSSCGKSA-N -1 1 321.377 1.794 20 0 DDADMM CCC[C@H](NCC(=O)NC(=O)Nc1ccc(C)cc1C)C(=O)[O-] ZINC000262338500 203266262 /nfs/dbraw/zinc/26/62/62/203266262.db2.gz ZRQSMHIQDXSQDW-ZDUSSCGKSA-N -1 1 321.377 1.794 20 0 DDADMM COc1cc(OC)cc(N2CC[C@H](N(C)CCC(=O)[O-])C2=O)c1 ZINC000262615978 203351601 /nfs/dbraw/zinc/35/16/01/203351601.db2.gz CUBKJWCLGMBQST-AWEZNQCLSA-N -1 1 322.361 1.216 20 0 DDADMM COc1cc(OC)cc(N2CC[C@@H](N(C)CCC(=O)[O-])C2=O)c1 ZINC000262615981 203352114 /nfs/dbraw/zinc/35/21/14/203352114.db2.gz CUBKJWCLGMBQST-CQSZACIVSA-N -1 1 322.361 1.216 20 0 DDADMM COc1cc(NC(=O)CCc2nn[n-]n2)ccc1C(F)(F)F ZINC000633136415 422773358 /nfs/dbraw/zinc/77/33/58/422773358.db2.gz XKWJQDZWAXUCKX-UHFFFAOYSA-N -1 1 315.255 1.798 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CNC(=O)C(C)(C)C)c1 ZINC000282736424 217213374 /nfs/dbraw/zinc/21/33/74/217213374.db2.gz IJQHKRFHUMYSRP-UHFFFAOYSA-N -1 1 308.334 1.280 20 0 DDADMM NC(=O)N1CCC[C@@H](CNC(=O)c2ccc(Cl)cc2[O-])C1 ZINC000288295220 220068839 /nfs/dbraw/zinc/06/88/39/220068839.db2.gz SVESHKAKRGVLQH-VIFPVBQESA-N -1 1 311.769 1.566 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cc(F)cc1F)c1cnn(C)c1 ZINC000266214958 205188072 /nfs/dbraw/zinc/18/80/72/205188072.db2.gz RDBAGEMKHWRIOO-SSDOTTSWSA-N -1 1 319.308 1.877 20 0 DDADMM COCc1cccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282879917 217297170 /nfs/dbraw/zinc/29/71/70/217297170.db2.gz JLZHNNKHKIGWLC-ZDUSSCGKSA-N -1 1 316.361 1.264 20 0 DDADMM Cc1noc(CCNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)n1 ZINC000267013796 205745056 /nfs/dbraw/zinc/74/50/56/205745056.db2.gz NWFWDHRWYBLFFL-UHFFFAOYSA-N -1 1 319.365 1.452 20 0 DDADMM Cn1cc(S(=O)(=O)Nc2cc(F)cc(F)c2)cc1C(=O)[O-] ZINC000019988992 182174469 /nfs/dbraw/zinc/17/44/69/182174469.db2.gz PFDIREWRUJQYNW-UHFFFAOYSA-N -1 1 316.285 1.802 20 0 DDADMM CCCc1nc(CN2CCC[C@H](c3nc(=O)[n-][nH]3)C2)cs1 ZINC000288565756 220241668 /nfs/dbraw/zinc/24/16/68/220241668.db2.gz AHXRDZQFSNQALL-JTQLQIEISA-N -1 1 307.423 1.887 20 0 DDADMM O=C(Cc1cc(Cl)cc2c1OCC2)NC1(c2nn[n-]n2)CC1 ZINC000566890083 304185697 /nfs/dbraw/zinc/18/56/97/304185697.db2.gz ADPXZMJSEURJOA-UHFFFAOYSA-N -1 1 319.752 1.136 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCN(C(C)C)C2=O)sc1C ZINC000333166267 248939586 /nfs/dbraw/zinc/93/95/86/248939586.db2.gz OSFUQGBMTQYDQR-SNVBAGLBSA-N -1 1 317.436 1.048 20 0 DDADMM NS(=O)(=O)Cc1ccc(NC(=O)c2ccccc2[O-])cc1 ZINC000040378631 183057592 /nfs/dbraw/zinc/05/75/92/183057592.db2.gz JQVXFSBNVUQGHV-UHFFFAOYSA-N -1 1 306.343 1.433 20 0 DDADMM C[C@H](CN(C)C(=O)c1csc(NC2CC2)n1)c1nn[n-]n1 ZINC000274130531 211381768 /nfs/dbraw/zinc/38/17/68/211381768.db2.gz BOKRNLYQACYZQU-SSDOTTSWSA-N -1 1 307.383 1.106 20 0 DDADMM C[C@H]1CN(C(=O)CCc2nn[n-]n2)C[C@H](c2ccc(F)cc2)O1 ZINC000631488094 422793848 /nfs/dbraw/zinc/79/38/48/422793848.db2.gz DFNZZKAFAXTGAO-GXFFZTMASA-N -1 1 319.340 1.260 20 0 DDADMM O=c1cc(/C=C\c2ccc(-n3cncn3)cc2)nc2nc[n-]n21 ZINC000352025942 284720551 /nfs/dbraw/zinc/72/05/51/284720551.db2.gz GXUIMTJBRWKFHW-RJRFIUFISA-N -1 1 305.301 1.169 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)[N-]S(=O)(=O)c1sccc1F ZINC000338958270 250218397 /nfs/dbraw/zinc/21/83/97/250218397.db2.gz UFWQDWDPQJMUSL-SFYZADRCSA-N -1 1 309.384 1.753 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)c2cccc(CN(C)C)c2)s[n-]1 ZINC000338926035 250200953 /nfs/dbraw/zinc/20/09/53/250200953.db2.gz PWNCLRQMDQRLHY-JTQLQIEISA-N -1 1 320.418 1.981 20 0 DDADMM CC(C)(CO)[C@H]([N-]S(=O)(=O)c1sccc1F)C1CC1 ZINC000338992685 250239192 /nfs/dbraw/zinc/23/91/92/250239192.db2.gz BGZKDNHNDBSJNB-SNVBAGLBSA-N -1 1 307.412 1.963 20 0 DDADMM Cc1cc(S(=O)(=O)N2CCN3CCC[C@@H]3C2)ccc1C(=O)[O-] ZINC000285008780 218255492 /nfs/dbraw/zinc/25/54/92/218255492.db2.gz IKASSAZZKXKTRI-GFCCVEGCSA-N -1 1 324.402 1.162 20 0 DDADMM O=C(c1ncncc1Cl)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339190514 250336432 /nfs/dbraw/zinc/33/64/32/250336432.db2.gz WIEYKSYRUKMAGI-UHFFFAOYSA-N -1 1 309.713 1.238 20 0 DDADMM C[C@H](Oc1cccnc1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339188865 250336568 /nfs/dbraw/zinc/33/65/68/250336568.db2.gz MUWHTWPVJONFRX-JTQLQIEISA-N -1 1 318.333 1.344 20 0 DDADMM COc1ccccc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339192780 250336581 /nfs/dbraw/zinc/33/65/81/250336581.db2.gz WZRZSRRTNCCIEU-UHFFFAOYSA-N -1 1 303.318 1.804 20 0 DDADMM C1CC(c2noc(=N[C@@H]3CCCC[C@H]3N3CCOCC3)[n-]2)C1 ZINC000567454410 304220313 /nfs/dbraw/zinc/22/03/13/304220313.db2.gz OQOOLVZSIUJPTE-ZIAGYGMSSA-N -1 1 306.410 1.814 20 0 DDADMM Cc1ccc(C(=O)NCCNC(=O)c2cncc([O-])c2)cc1F ZINC000109942760 194299094 /nfs/dbraw/zinc/29/90/94/194299094.db2.gz ALKNMHZXSMMGRX-UHFFFAOYSA-N -1 1 317.320 1.395 20 0 DDADMM CN(C)c1cc(Br)cc(C(=O)Nc2nnn[n-]2)c1 ZINC000340466058 251069744 /nfs/dbraw/zinc/06/97/44/251069744.db2.gz QCCXRCMOBQWZPH-UHFFFAOYSA-N -1 1 311.143 1.281 20 0 DDADMM CN(C)c1cc(Br)cc(C(=O)Nc2nn[n-]n2)c1 ZINC000340466058 251069749 /nfs/dbraw/zinc/06/97/49/251069749.db2.gz QCCXRCMOBQWZPH-UHFFFAOYSA-N -1 1 311.143 1.281 20 0 DDADMM O=C([O-])CN1CCCN(C(=O)c2ccc(Cl)s2)CC1 ZINC000061144981 184162966 /nfs/dbraw/zinc/16/29/66/184162966.db2.gz ZKGLBHQQLRBGNT-UHFFFAOYSA-N -1 1 302.783 1.634 20 0 DDADMM O=S(=O)([N-]CCc1cnccn1)c1cc(Cl)ccc1F ZINC000340931911 251285263 /nfs/dbraw/zinc/28/52/63/251285263.db2.gz OZCLWHWPFCZQPJ-UHFFFAOYSA-N -1 1 315.757 1.790 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OC ZINC000340961804 251304564 /nfs/dbraw/zinc/30/45/64/251304564.db2.gz SJKQDMDUBZSAFC-NSHDSACASA-N -1 1 304.368 1.134 20 0 DDADMM O=S(=O)([N-][C@@H](CO)[C@@H]1CCCO1)c1cc(Cl)ccc1F ZINC000341075060 251366341 /nfs/dbraw/zinc/36/63/41/251366341.db2.gz GNLDNKUKLZVFPT-QWRGUYRKSA-N -1 1 323.773 1.297 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2Cc3ccccc3[C@@H]2C)o1 ZINC000341058752 251360575 /nfs/dbraw/zinc/36/05/75/251360575.db2.gz DZYCIZYMAFGYOO-JTQLQIEISA-N -1 1 320.370 1.905 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C2(COC)CCC2)o1 ZINC000341089210 251375553 /nfs/dbraw/zinc/37/55/53/251375553.db2.gz HDQQULCCIKWOAO-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H](CO)C1 ZINC000269125423 207260927 /nfs/dbraw/zinc/26/09/27/207260927.db2.gz LNEJPYOEFDROBI-LLVKDONJSA-N -1 1 307.394 1.633 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCO[C@H](CF)C1 ZINC000412436112 224030107 /nfs/dbraw/zinc/03/01/07/224030107.db2.gz NXJPADGBCNKZJS-SECBINFHSA-N -1 1 318.142 1.965 20 0 DDADMM Cc1c2ccccc2oc1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352431989 285046551 /nfs/dbraw/zinc/04/65/51/285046551.db2.gz OCUIYEMVXQRVSQ-UHFFFAOYSA-N -1 1 323.312 1.402 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C2CCSCC2)c1 ZINC000270043047 208097934 /nfs/dbraw/zinc/09/79/34/208097934.db2.gz SPEQIKUKUUOPGO-UHFFFAOYSA-N -1 1 305.377 1.240 20 0 DDADMM O=C(N[C@H]1CCN(c2ccc(F)cc2)C1)c1cncc([O-])c1 ZINC000412953703 224111807 /nfs/dbraw/zinc/11/18/07/224111807.db2.gz RCTBSDRHBIZMRC-ZDUSSCGKSA-N -1 1 301.321 1.935 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)C1CC1)c1c(F)cccc1Cl ZINC000352600437 285166768 /nfs/dbraw/zinc/16/67/68/285166768.db2.gz QQQUAJOOSZWXDI-SECBINFHSA-N -1 1 307.774 1.776 20 0 DDADMM Cc1ccccc1-c1nc(=N[C@@H]2C[C@H](C(=O)[O-])C[C@@H]2O)s[nH]1 ZINC000629766906 422805878 /nfs/dbraw/zinc/80/58/78/422805878.db2.gz BWKMNKUOZWUHRR-WCQGTBRESA-N -1 1 319.386 1.571 20 0 DDADMM O=S(=O)([N-]Cc1ncccc1O)c1cc(F)c(F)cc1F ZINC000360028742 299582957 /nfs/dbraw/zinc/58/29/57/299582957.db2.gz HBHXGZAQTMMAHT-UHFFFAOYSA-N -1 1 318.276 1.683 20 0 DDADMM O=C([O-])COCCN(Cc1cccc(F)c1)C[C@@H]1CCCO1 ZINC000568060901 304265788 /nfs/dbraw/zinc/26/57/88/304265788.db2.gz SNXRNYPSRBLBJA-HNNXBMFYSA-N -1 1 311.353 1.908 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCCC2(C)C)co1 ZINC000155329836 197044508 /nfs/dbraw/zinc/04/45/08/197044508.db2.gz VNPBUPTXVVZINY-JTQLQIEISA-N -1 1 300.380 1.496 20 0 DDADMM C[C@H]1CC(CCN=c2ccc(C(=O)N(C)C)n[n-]2)C[C@H](C)O1 ZINC000413286904 224169733 /nfs/dbraw/zinc/16/97/33/224169733.db2.gz DRCPWQUISUKZEF-RYUDHWBXSA-N -1 1 306.410 1.606 20 0 DDADMM CC[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1 ZINC000352723132 285255324 /nfs/dbraw/zinc/25/53/24/285255324.db2.gz ADRKCPUIUZMZIC-LLVKDONJSA-N -1 1 311.345 1.341 20 0 DDADMM Cc1oc(CN(C)C(=O)c2ccc3[nH]nnc3c2)cc1C(=O)[O-] ZINC000120730610 195225174 /nfs/dbraw/zinc/22/51/74/195225174.db2.gz FRUHIRLBDATPBA-UHFFFAOYSA-N -1 1 314.301 1.830 20 0 DDADMM CO[C@]1(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)CCOC1 ZINC000425179914 533382570 /nfs/dbraw/zinc/38/25/70/533382570.db2.gz VAVHUQSENCCPIL-ZDUSSCGKSA-N -1 1 321.345 1.357 20 0 DDADMM CS(=O)(=O)[C@@H]1CCN(C(=O)c2cc3ccccc3cc2[O-])C1 ZINC000331911310 533432062 /nfs/dbraw/zinc/43/20/62/533432062.db2.gz BAEVLRQVSIRVFN-CYBMUJFWSA-N -1 1 319.382 1.805 20 0 DDADMM COc1cc(C=CC(=O)NC2(c3nn[n-]n3)CC2)ccc1F ZINC000491973544 533476696 /nfs/dbraw/zinc/47/66/96/533476696.db2.gz QVHCLASBFQKDQT-HYXAFXHYSA-N -1 1 303.297 1.166 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCOC1)c1ccc(Br)o1 ZINC000353429615 285726764 /nfs/dbraw/zinc/72/67/64/285726764.db2.gz PTVUOUOGDBUVHD-SSDOTTSWSA-N -1 1 310.169 1.357 20 0 DDADMM COCCN(CC(=O)[O-])Cc1ccc(-n2ccnc2)cc1C ZINC000569858637 304383140 /nfs/dbraw/zinc/38/31/40/304383140.db2.gz VZTQTIMEJIAXGT-UHFFFAOYSA-N -1 1 303.362 1.714 20 0 DDADMM COc1cc(NC(=O)C2CC=CC2)ccc1[N-]S(C)(=O)=O ZINC000076957837 406975038 /nfs/dbraw/zinc/97/50/38/406975038.db2.gz PRHZISJDSRBSCR-UHFFFAOYSA-N -1 1 310.375 1.971 20 0 DDADMM O=C(Cn1c2ccccc2nc1C(F)(F)F)Nc1nnn[n-]1 ZINC000076963334 406975771 /nfs/dbraw/zinc/97/57/71/406975771.db2.gz FWMQAEOKNDPWJX-UHFFFAOYSA-N -1 1 311.227 1.207 20 0 DDADMM O=C(Cn1c2ccccc2nc1C(F)(F)F)Nc1nn[n-]n1 ZINC000076963334 406975773 /nfs/dbraw/zinc/97/57/73/406975773.db2.gz FWMQAEOKNDPWJX-UHFFFAOYSA-N -1 1 311.227 1.207 20 0 DDADMM CS(=O)(=O)CCCNC(=O)c1cc2ccccc2cc1[O-] ZINC000044341898 407022310 /nfs/dbraw/zinc/02/23/10/407022310.db2.gz KSSVDMSEUSDCPH-UHFFFAOYSA-N -1 1 307.371 1.710 20 0 DDADMM Cc1ccc(NC(=O)[C@H](C)S(=O)(=O)c2ncn[n-]2)c(F)c1 ZINC000086169611 407108764 /nfs/dbraw/zinc/10/87/64/407108764.db2.gz BFSGZMGGGRRQPY-QMMMGPOBSA-N -1 1 312.326 1.053 20 0 DDADMM Cc1ccc(NC(=O)[C@H](C)S(=O)(=O)c2nc[n-]n2)c(F)c1 ZINC000086169611 407108768 /nfs/dbraw/zinc/10/87/68/407108768.db2.gz BFSGZMGGGRRQPY-QMMMGPOBSA-N -1 1 312.326 1.053 20 0 DDADMM NC(=O)Cn1cc(NC(=O)c2cc3ccccc3cc2[O-])cn1 ZINC000047294939 407071674 /nfs/dbraw/zinc/07/16/74/407071674.db2.gz MTMQPBLSSCTVIM-UHFFFAOYSA-N -1 1 310.313 1.480 20 0 DDADMM CNC(=O)c1cccc(S(=O)(=O)[N-]c2ccc(F)cc2)c1 ZINC000047653561 407079542 /nfs/dbraw/zinc/07/95/42/407079542.db2.gz VIGQGLXGLIVKIU-UHFFFAOYSA-N -1 1 308.334 1.986 20 0 DDADMM NC(=O)CN(Cc1ccccc1)C(=O)c1cc(F)ccc1[O-] ZINC000094132460 407203443 /nfs/dbraw/zinc/20/34/43/407203443.db2.gz PJHPVKABTFZQMH-UHFFFAOYSA-N -1 1 302.305 1.659 20 0 DDADMM CCOCCCNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000064335064 407237656 /nfs/dbraw/zinc/23/76/56/407237656.db2.gz SIHLUSNTVXWZJU-UHFFFAOYSA-N -1 1 320.393 1.527 20 0 DDADMM CC(C)C(=O)NCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000101569314 407311562 /nfs/dbraw/zinc/31/15/62/407311562.db2.gz ZTVUIKMIAQEVQR-UHFFFAOYSA-N -1 1 306.334 1.015 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(CC(F)(F)F)CC1 ZINC000124046668 407346828 /nfs/dbraw/zinc/34/68/28/407346828.db2.gz NMWBXTCRQQEBKF-UHFFFAOYSA-N -1 1 306.259 1.851 20 0 DDADMM CC[C@@H](Oc1cccc(C)c1)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000124145141 407349302 /nfs/dbraw/zinc/34/93/02/407349302.db2.gz QZWRYXJNQHULDE-TZMCWYRMSA-N -1 1 317.393 1.928 20 0 DDADMM CCc1c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cnn1CC(C)C ZINC000124162955 407349993 /nfs/dbraw/zinc/34/99/93/407349993.db2.gz CRYCNJHAIBECDS-NSHDSACASA-N -1 1 319.413 1.490 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-][C@H](C)C(=O)OC(C)(C)C)no1 ZINC000125867467 407399783 /nfs/dbraw/zinc/39/97/83/407399783.db2.gz RVICYQKIKAOILO-SECBINFHSA-N -1 1 304.368 1.133 20 0 DDADMM CC[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@H](C)O1 ZINC000111422245 407410326 /nfs/dbraw/zinc/41/03/26/407410326.db2.gz QPDGDTIASSJBCT-QWRGUYRKSA-N -1 1 301.346 1.563 20 0 DDADMM COc1cccc(OC)c1CC(=O)[N-]OCc1cccnc1 ZINC000152289675 407604319 /nfs/dbraw/zinc/60/43/19/407604319.db2.gz QZADWAORDIADGU-UHFFFAOYSA-N -1 1 302.330 1.889 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)(F)F)c1ccc(F)cc1F ZINC000228545021 407647364 /nfs/dbraw/zinc/64/73/64/407647364.db2.gz CSCIKMBWCSXGCI-QMMMGPOBSA-N -1 1 305.224 1.166 20 0 DDADMM O=C(NC[C@@H]1CCN(CC(F)(F)F)C1)c1ncccc1[O-] ZINC000171087886 407593931 /nfs/dbraw/zinc/59/39/31/407593931.db2.gz KMLYOIRIWRAFCP-VIFPVBQESA-N -1 1 303.284 1.401 20 0 DDADMM COc1cccc(S(=O)(=O)CCN2CCC[C@H]2C(=O)[O-])c1 ZINC000262102530 407740494 /nfs/dbraw/zinc/74/04/94/407740494.db2.gz JARMNYJDWKAFLZ-ZDUSSCGKSA-N -1 1 313.375 1.018 20 0 DDADMM O=S(=O)([N-][C@H]1CCCOC1)c1c(Cl)ccnc1Cl ZINC000272147648 407792582 /nfs/dbraw/zinc/79/25/82/407792582.db2.gz BYAUJWWDGNXJCO-ZETCQYMHSA-N -1 1 311.190 1.846 20 0 DDADMM CC[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1 ZINC000117264748 407817643 /nfs/dbraw/zinc/81/76/43/407817643.db2.gz UIHSLAOSCREIMX-LLVKDONJSA-N -1 1 314.407 1.840 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3nncn3c2)cc1 ZINC000180114535 407854483 /nfs/dbraw/zinc/85/44/83/407854483.db2.gz OKLURCYOMMWHQA-UHFFFAOYSA-N -1 1 304.331 1.539 20 0 DDADMM Cc1nn(C)c(C)c1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000173303701 407871712 /nfs/dbraw/zinc/87/17/12/407871712.db2.gz WHPNDYOHCNEHMK-UHFFFAOYSA-N -1 1 311.345 1.203 20 0 DDADMM COc1ccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000262665931 407895946 /nfs/dbraw/zinc/89/59/46/407895946.db2.gz NKGPRMMTCFZVII-CYBMUJFWSA-N -1 1 306.362 1.108 20 0 DDADMM C[C@H]1CCCC[C@@H]1OCCNC(=O)CN(C)CCC(=O)[O-] ZINC000262671153 407897307 /nfs/dbraw/zinc/89/73/07/407897307.db2.gz RPKKXKRDZIFVIB-STQMWFEESA-N -1 1 300.399 1.104 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2cncc([O-])c2)C(=O)N1c1ccccc1 ZINC000174507581 407957883 /nfs/dbraw/zinc/95/78/83/407957883.db2.gz MCSQSWJPOACXHH-XHDPSFHLSA-N -1 1 311.341 1.711 20 0 DDADMM COc1ccc(OC)c(S(=O)(=O)[N-]c2[nH]nc(C)c2C)c1 ZINC000135040841 407968746 /nfs/dbraw/zinc/96/87/46/407968746.db2.gz BFHVXUUOXONBOC-UHFFFAOYSA-N -1 1 311.363 1.845 20 0 DDADMM CCCN1CCCN(C(=O)c2cccc3nn[nH]c32)CC1=O ZINC000188158824 407918949 /nfs/dbraw/zinc/91/89/49/407918949.db2.gz QPIWFUSVDJMMLT-UHFFFAOYSA-N -1 1 301.350 1.042 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)NCc2ccc3cncn3c2)C1 ZINC000263104636 408026464 /nfs/dbraw/zinc/02/64/64/408026464.db2.gz BFDAJGJIRHTJEK-YPMHNXCESA-N -1 1 316.361 1.587 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2cccc(Cl)c2F)CCC1 ZINC000119266552 408028049 /nfs/dbraw/zinc/02/80/49/408028049.db2.gz XFCRLVFWUUMPBC-UHFFFAOYSA-N -1 1 321.757 1.853 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000119342381 408045051 /nfs/dbraw/zinc/04/50/51/408045051.db2.gz ZZUWIRCNMMKRPW-PWSUYJOCSA-N -1 1 314.407 1.886 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC[C@H](C)[C@@H]2C)co1 ZINC000245706468 408050855 /nfs/dbraw/zinc/05/08/55/408050855.db2.gz MKLBEPYRMYDFNR-JBLDHEPKSA-N -1 1 314.407 1.742 20 0 DDADMM COc1ccc(NC(=O)[C@H]2CCC[N@H+]2C2CCOCC2)c(O)c1 ZINC000135404968 408013047 /nfs/dbraw/zinc/01/30/47/408013047.db2.gz HOPPBBSVQIFDJY-OAHLLOKOSA-N -1 1 320.389 1.983 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCC2(C)C)o1 ZINC000174985978 408019710 /nfs/dbraw/zinc/01/97/10/408019710.db2.gz LISCFQAKYLWNRD-JTQLQIEISA-N -1 1 300.380 1.496 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1)c1ccco1 ZINC000175355863 408112901 /nfs/dbraw/zinc/11/29/01/408112901.db2.gz IVNNASTWPMWKQJ-JTQLQIEISA-N -1 1 316.766 1.994 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1)c1ccco1 ZINC000175355876 408113608 /nfs/dbraw/zinc/11/36/08/408113608.db2.gz IVNNASTWPMWKQJ-SNVBAGLBSA-N -1 1 316.766 1.994 20 0 DDADMM CCC[C@H](NC(=O)CCOc1cccc(C)c1)c1nn[n-]n1 ZINC000136724587 408123109 /nfs/dbraw/zinc/12/31/09/408123109.db2.gz QUTNOBMHRGSTHI-ZDUSSCGKSA-N -1 1 303.366 1.935 20 0 DDADMM CO[C@H]1C[C@H](CC(=O)[O-])N(C(=O)c2cccc3c[nH]nc32)C1 ZINC000263201504 408055470 /nfs/dbraw/zinc/05/54/70/408055470.db2.gz YPOQIFKIRWSATB-MNOVXSKESA-N -1 1 303.318 1.267 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCC[C@@H](C(=O)OC)C1)c1nn[n-]n1 ZINC000246140560 408150060 /nfs/dbraw/zinc/15/00/60/408150060.db2.gz XIVDHIYLILUCTC-MXWKQRLJSA-N -1 1 309.370 1.137 20 0 DDADMM CCCn1nc(C)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1C ZINC000155552530 408180954 /nfs/dbraw/zinc/18/09/54/408180954.db2.gz WZEDVHFHRBETOQ-LBPRGKRZSA-N -1 1 317.397 1.443 20 0 DDADMM CN(C)C(=O)CC1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000182581849 408198506 /nfs/dbraw/zinc/19/85/06/408198506.db2.gz YTDCTSGCDXBOLY-UHFFFAOYSA-N -1 1 308.353 1.862 20 0 DDADMM C[C@@H](CO[C@H]1CCOC1)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000150923437 408226188 /nfs/dbraw/zinc/22/61/88/408226188.db2.gz BXXCAKONXDCJGK-ONGXEEELSA-N -1 1 321.345 1.437 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1C[C@H]1C1CC1 ZINC000176080147 408281783 /nfs/dbraw/zinc/28/17/83/408281783.db2.gz KSDYGGFLQVUWEF-RYUDHWBXSA-N -1 1 300.362 1.302 20 0 DDADMM Cc1cc(S(=O)(=O)N[C@@H](C)c2nnc[nH]2)cc(C(=O)[O-])c1C ZINC000273674817 408285407 /nfs/dbraw/zinc/28/54/07/408285407.db2.gz GUAZEGWSNSSCEW-VIFPVBQESA-N -1 1 324.362 1.159 20 0 DDADMM CO[C@H]1CC[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000191050098 408364835 /nfs/dbraw/zinc/36/48/35/408364835.db2.gz BGARMVLSGDKNMJ-SFYZADRCSA-N -1 1 309.309 1.950 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1cnn(Cc2ccncc2)c1 ZINC000176467347 408374617 /nfs/dbraw/zinc/37/46/17/408374617.db2.gz QLKWMUSBQLHEOR-UHFFFAOYSA-N -1 1 324.406 1.493 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-][C@H](CO)c1c(F)cccc1F ZINC000269723110 408406468 /nfs/dbraw/zinc/40/64/68/408406468.db2.gz BLUNVNTWJFBRAF-LLVKDONJSA-N -1 1 318.301 1.106 20 0 DDADMM COc1cc(OC)cc(N2CC[C@@H]([N-]C(=O)C(F)(F)F)C2)c1 ZINC000176718769 408421581 /nfs/dbraw/zinc/42/15/81/408421581.db2.gz HQJDDLRQBCAKKH-SECBINFHSA-N -1 1 318.295 1.961 20 0 DDADMM CCC[C@](C)(O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000269795857 408432640 /nfs/dbraw/zinc/43/26/40/408432640.db2.gz RKJKXGRPNISSJJ-LBPRGKRZSA-N -1 1 311.325 1.933 20 0 DDADMM COc1cc(NS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)ccc1F ZINC000159876736 408441745 /nfs/dbraw/zinc/44/17/45/408441745.db2.gz AYMLEQPTKWXZJL-UHFFFAOYSA-N -1 1 314.294 1.661 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](O)CC(C)(C)C)o1 ZINC000269841161 408444761 /nfs/dbraw/zinc/44/47/61/408444761.db2.gz YPPSCCUFNFRNHY-SECBINFHSA-N -1 1 319.379 1.142 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@](C)(O)[C@H](C)c1ccccc1)c1nn[n-]n1 ZINC000274784411 408530990 /nfs/dbraw/zinc/53/09/90/408530990.db2.gz OMRMGGDQXBQWSB-HSMVNMDESA-N -1 1 317.393 1.316 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cccc(F)c2F)o1 ZINC000274718413 408506746 /nfs/dbraw/zinc/50/67/46/408506746.db2.gz RWOIGHGNJPHKTH-UHFFFAOYSA-N -1 1 302.302 1.994 20 0 DDADMM COCc1nc2n(n1)CCC[C@@H]2NC(=O)c1c([O-])cccc1F ZINC000274792210 408534094 /nfs/dbraw/zinc/53/40/94/408534094.db2.gz YSHQGVDRWORRLF-JTQLQIEISA-N -1 1 320.324 1.534 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC[C@H](C)C2)o1 ZINC000184403834 408609073 /nfs/dbraw/zinc/60/90/73/408609073.db2.gz USRBMXOWIVMYIP-JTQLQIEISA-N -1 1 300.380 1.450 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@H]1CCCN1Cc1ccc(F)cc1 ZINC000248983524 408672405 /nfs/dbraw/zinc/67/24/05/408672405.db2.gz WDYZZBYLXANNQI-GOEBONIOSA-N -1 1 322.380 1.874 20 0 DDADMM COC(=O)[C@]1(C)CC[N@H+](Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000177473456 408630227 /nfs/dbraw/zinc/63/02/27/408630227.db2.gz XRLPQFSFPGIMRV-QGZVFWFLSA-N -1 1 317.341 1.884 20 0 DDADMM CC(C)c1noc([C@H](NC(=O)c2cnn[nH]2)C2CCOCC2)n1 ZINC000275643316 408630501 /nfs/dbraw/zinc/63/05/01/408630501.db2.gz MXRPARYAAAMSIC-LLVKDONJSA-N -1 1 320.353 1.209 20 0 DDADMM O=C(NC1CCC(O)(c2cccnc2)CC1)c1ncccc1[O-] ZINC000184496515 408630571 /nfs/dbraw/zinc/63/05/71/408630571.db2.gz KEKPODGQAGPIOJ-UHFFFAOYSA-N -1 1 313.357 1.742 20 0 DDADMM C/C=C/C[C@H](CO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000185277400 408791652 /nfs/dbraw/zinc/79/16/52/408791652.db2.gz GLZLBDFKSIXNKI-UFUPEUMYSA-N -1 1 309.309 1.709 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2nc(-c3ccccc3F)n[n-]2)o1 ZINC000195126493 408812905 /nfs/dbraw/zinc/81/29/05/408812905.db2.gz NBEXJXMPJYAQFB-UHFFFAOYSA-N -1 1 322.321 1.881 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2n[n-]c(-c3ccccc3F)n2)o1 ZINC000195126493 408812909 /nfs/dbraw/zinc/81/29/09/408812909.db2.gz NBEXJXMPJYAQFB-UHFFFAOYSA-N -1 1 322.321 1.881 20 0 DDADMM O=S(=O)([N-]CCCOC(F)F)c1cc(F)ccc1F ZINC000193967103 408756224 /nfs/dbraw/zinc/75/62/24/408756224.db2.gz HCRSTVBPMHDDPZ-UHFFFAOYSA-N -1 1 301.261 1.872 20 0 DDADMM Cn1cncc1[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCO1 ZINC000276164110 408823595 /nfs/dbraw/zinc/82/35/95/408823595.db2.gz GEAPFYCMCOYWNS-MFKMUULPSA-N -1 1 302.334 1.171 20 0 DDADMM C[C@H](NC(=O)c1c(F)ccc([O-])c1F)C(=O)NC(C)(C)C ZINC000280841769 408844658 /nfs/dbraw/zinc/84/46/58/408844658.db2.gz VBLWALQJXSSZOD-ZETCQYMHSA-N -1 1 300.305 1.703 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCO[C@]2(CCCOC2)C1 ZINC000286171946 408935444 /nfs/dbraw/zinc/93/54/44/408935444.db2.gz BWAICMZOLALSPR-OAHLLOKOSA-N -1 1 313.300 1.692 20 0 DDADMM Cc1ccccc1CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000192731577 163235816 /nfs/dbraw/zinc/23/58/16/163235816.db2.gz DRRRJEGTEYNLRL-UHFFFAOYSA-N -1 1 320.370 1.529 20 0 DDADMM CO[C@H]1COC[C@@H]1NC(=O)c1ccc(Br)cc1[O-] ZINC000292098289 408980059 /nfs/dbraw/zinc/98/00/59/408980059.db2.gz ORIIRZFSIDMANV-ONGXEEELSA-N -1 1 316.151 1.298 20 0 DDADMM O=c1cc(CN2CCC[C@@](F)(CO)C2)c2ccc([O-])cc2o1 ZINC000286680140 408996619 /nfs/dbraw/zinc/99/66/19/408996619.db2.gz ABXHJCCFDZAIAI-INIZCTEOSA-N -1 1 307.321 1.795 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1)c1cccnc1 ZINC000282857212 409067814 /nfs/dbraw/zinc/06/78/14/409067814.db2.gz GZAPYPDHFCCMBH-CMPLNLGQSA-N -1 1 301.350 1.003 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H](C)C(C)C)c1 ZINC000292241151 409008621 /nfs/dbraw/zinc/00/86/21/409008621.db2.gz NWEUCFLEBNQLIN-SECBINFHSA-N -1 1 300.380 1.531 20 0 DDADMM COC(=O)c1ccc(F)c([N-]S(=O)(=O)C[C@H](C)OC)c1 ZINC000282224191 409014605 /nfs/dbraw/zinc/01/46/05/409014605.db2.gz OGSBFWQAKKCADK-QMMMGPOBSA-N -1 1 305.327 1.389 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)N(C)CC)CC2)n1 ZINC000287370522 409027121 /nfs/dbraw/zinc/02/71/21/409027121.db2.gz MWABZYUFSZYXEN-UHFFFAOYSA-N -1 1 309.370 1.233 20 0 DDADMM Cn1ncc2c1C[C@@H](C(=O)Nc1nc(Cl)ccc1[O-])CC2 ZINC000282388481 409044707 /nfs/dbraw/zinc/04/47/07/409044707.db2.gz POPWLWXSVNUDFY-QMMMGPOBSA-N -1 1 306.753 1.918 20 0 DDADMM O=C([O-])[C@@H](CC1CC1)NS(=O)(=O)c1c(F)cccc1F ZINC000287584961 409060069 /nfs/dbraw/zinc/06/00/69/409060069.db2.gz PYZCNYJUUXQZQY-SNVBAGLBSA-N -1 1 305.302 1.496 20 0 DDADMM CN(C[C@@H]1CCCOC1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287643858 409069860 /nfs/dbraw/zinc/06/98/60/409069860.db2.gz OTRLLIVTPZXIPD-AFSRSGBESA-N -1 1 303.366 1.232 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N([C@H](C)c2ccccc2)C1 ZINC000287835457 409101231 /nfs/dbraw/zinc/10/12/31/409101231.db2.gz NVUURNAFXFRPPW-HIFRSBDPSA-N -1 1 320.389 1.680 20 0 DDADMM CCOC(=O)[C@@H](F)C1CN(C(=O)c2cc(F)cc3nn[nH]c32)C1 ZINC000294195812 409257561 /nfs/dbraw/zinc/25/75/61/409257561.db2.gz OKPPSMCGWGAFKQ-NSHDSACASA-N -1 1 324.287 1.070 20 0 DDADMM CCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(OC)cc2OC)C1 ZINC000280499003 409329933 /nfs/dbraw/zinc/32/99/33/409329933.db2.gz PHTLZADYYBTEHC-JTQLQIEISA-N -1 1 308.334 1.124 20 0 DDADMM Cc1ccc([C@@H]2OCCC[C@H]2NC(=O)CCc2nn[n-]n2)cc1 ZINC000631589674 422841478 /nfs/dbraw/zinc/84/14/78/422841478.db2.gz PTAKJPPJMLVILC-CJNGLKHVSA-N -1 1 315.377 1.477 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2ccc(Cl)cc2[O-])CCC1 ZINC000280742501 409433706 /nfs/dbraw/zinc/43/37/06/409433706.db2.gz KFANIIPHJUDICF-UHFFFAOYSA-N -1 1 317.794 1.743 20 0 DDADMM COC(=O)CCCO[N-]C(=O)[C@H](C)c1ccc2c(c1)OCO2 ZINC000296119339 409436611 /nfs/dbraw/zinc/43/66/11/409436611.db2.gz QVNAWOBRTMSMQO-SNVBAGLBSA-N -1 1 309.318 1.520 20 0 DDADMM CC[C@@]1(C)CCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000407997354 164193688 /nfs/dbraw/zinc/19/36/88/164193688.db2.gz JKJOEWSBMWURHV-ZDUSSCGKSA-N -1 1 300.380 1.450 20 0 DDADMM CC(C)n1cc([C@@]2(O)CC[N@H+](Cc3cc(O)cc(F)c3)C2)nn1 ZINC000285540317 409482512 /nfs/dbraw/zinc/48/25/12/409482512.db2.gz PVRPYONEPKZVHX-MRXNPFEDSA-N -1 1 320.368 1.797 20 0 DDADMM CCO[C@@H]1C[C@@H](O)C12CCN(C(=O)c1ncc(C)cc1[O-])CC2 ZINC000408228896 164268283 /nfs/dbraw/zinc/26/82/83/164268283.db2.gz KNXSHMOPLJHNHD-ZIAGYGMSSA-N -1 1 320.389 1.488 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]2[C@@H]2COCC[C@@H]2O)c([O-])c1 ZINC000408261187 164278129 /nfs/dbraw/zinc/27/81/29/164278129.db2.gz MDVDFKTWZWGOIR-XQQFMLRXSA-N -1 1 306.362 1.098 20 0 DDADMM CO[C@@H](C)c1nc(=NCCC(=O)NC2CCCCC2)s[n-]1 ZINC000337911723 409560858 /nfs/dbraw/zinc/56/08/58/409560858.db2.gz JMZXXDCFLXKQDH-JTQLQIEISA-N -1 1 312.439 1.918 20 0 DDADMM C[C@H](CCO)CC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000296311508 409499848 /nfs/dbraw/zinc/49/98/48/409499848.db2.gz SPKXCQPZZVJXLY-QMMMGPOBSA-N -1 1 311.325 1.791 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCC2(O)CCC2)c(=O)[n-]1 ZINC000331448723 409522655 /nfs/dbraw/zinc/52/26/55/409522655.db2.gz PXCXEQKDZARVIM-UHFFFAOYSA-N -1 1 311.407 1.176 20 0 DDADMM CO[C@@H](C)c1nc(=N[C@@H](c2nnc[nH]2)c2ccccc2)s[n-]1 ZINC000337874275 409530548 /nfs/dbraw/zinc/53/05/48/409530548.db2.gz PSXZDVRVWDETNU-GXSJLCMTSA-N -1 1 316.390 1.987 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)Cc1cc(C)no1)C(=O)OC ZINC000337983899 409628657 /nfs/dbraw/zinc/62/86/57/409628657.db2.gz LPOBEJRLIFVPKQ-UHFFFAOYSA-N -1 1 304.368 1.134 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCCc1ccccc1F ZINC000337947526 409592364 /nfs/dbraw/zinc/59/23/64/409592364.db2.gz QJACVVJVLCPCGX-UHFFFAOYSA-N -1 1 317.320 1.015 20 0 DDADMM CCNC(=O)N1CC[C@H](N=c2nc(C(F)(F)F)[n-]s2)C1 ZINC000342671940 409800924 /nfs/dbraw/zinc/80/09/24/409800924.db2.gz JZQCYAHRSIAYQY-LURJTMIESA-N -1 1 309.317 1.195 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2c(F)cccc2F)n[nH]1 ZINC000297218319 409857053 /nfs/dbraw/zinc/85/70/53/409857053.db2.gz VSHXOMXBEYJATM-UHFFFAOYSA-N -1 1 302.306 1.124 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2c(F)cccc2F)[nH]1 ZINC000297218319 409857059 /nfs/dbraw/zinc/85/70/59/409857059.db2.gz VSHXOMXBEYJATM-UHFFFAOYSA-N -1 1 302.306 1.124 20 0 DDADMM C/C=C\C[C@@H]([N-]S(=O)(=O)CC(C)(C)OC)C(=O)OCC ZINC000349579917 409877004 /nfs/dbraw/zinc/87/70/04/409877004.db2.gz KYXKBPAGPHNURE-BPOWMSRESA-N -1 1 307.412 1.229 20 0 DDADMM O=S(=O)([N-]CCNc1ccccn1)c1cc(F)ccc1F ZINC000342776534 409898196 /nfs/dbraw/zinc/89/81/96/409898196.db2.gz HGXHUWPNNHBRLP-UHFFFAOYSA-N -1 1 313.329 1.750 20 0 DDADMM CN(C(=O)c1c([O-])cnc2ccc(Cl)cc21)[C@H]1CCNC1=O ZINC000338401425 409960903 /nfs/dbraw/zinc/96/09/03/409960903.db2.gz KHRCWTWICIDGRL-NSHDSACASA-N -1 1 319.748 1.554 20 0 DDADMM COc1cc(-c2nc(C[S@](C)=O)no2)cc(Cl)c1[O-] ZINC000350588576 409965886 /nfs/dbraw/zinc/96/58/86/409965886.db2.gz BBJYGOHWYGQMML-IBGZPJMESA-N -1 1 302.739 1.983 20 0 DDADMM COc1cc(C(=O)N(CCO)CC(F)F)cc(Cl)c1[O-] ZINC000357405449 410017572 /nfs/dbraw/zinc/01/75/72/410017572.db2.gz YWWKTZJCZDCSBC-UHFFFAOYSA-N -1 1 309.696 1.754 20 0 DDADMM C[C@@H]1C[C@@H](CCN=c2[n-]cc(S(N)(=O)=O)s2)C[C@H](C)O1 ZINC000338483629 410020184 /nfs/dbraw/zinc/02/01/84/410020184.db2.gz MLDSLKXHRJAYLL-ILWJIGKKSA-N -1 1 319.452 1.218 20 0 DDADMM Cc1ccc(OCCCC(=O)Nc2nn[nH]c2C(N)=O)c(C)c1 ZINC000297889764 410035690 /nfs/dbraw/zinc/03/56/90/410035690.db2.gz VLQGPUZCKYTUPL-UHFFFAOYSA-N -1 1 317.349 1.318 20 0 DDADMM COc1cncc(S(=O)(=O)[N-]c2ncc(C(F)(F)F)[nH]2)c1 ZINC000357375812 410001401 /nfs/dbraw/zinc/00/14/01/410001401.db2.gz VZIFWVFDIPGTNT-UHFFFAOYSA-N -1 1 322.268 1.633 20 0 DDADMM CCn1cnc(S(=O)(=O)[N-]c2ncc(C(F)(F)F)[nH]2)c1 ZINC000357389709 410006589 /nfs/dbraw/zinc/00/65/89/410006589.db2.gz ONUDSFQHQYHVOV-UHFFFAOYSA-N -1 1 309.273 1.446 20 0 DDADMM NC(=O)c1cccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332319142 410064096 /nfs/dbraw/zinc/06/40/96/410064096.db2.gz KZEUDZLKKAZJNQ-NSHDSACASA-N -1 1 316.317 1.678 20 0 DDADMM COc1ccc(=NC(=O)NCc2nc3ccc(C)cc3[nH]2)[n-]n1 ZINC000346679535 410077594 /nfs/dbraw/zinc/07/75/94/410077594.db2.gz ZGUYUROJCPEOSL-UHFFFAOYSA-N -1 1 312.333 1.414 20 0 DDADMM Cc1ccc(C(=O)C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1 ZINC000332423228 410146708 /nfs/dbraw/zinc/14/67/08/410146708.db2.gz OOMSBVJBKHWBIS-GFCCVEGCSA-N -1 1 315.329 1.673 20 0 DDADMM FC(F)(F)c1n[nH]c(CN=c2nc(C(F)(F)F)[n-]s2)n1 ZINC000343037779 410093538 /nfs/dbraw/zinc/09/35/38/410093538.db2.gz NTGSODNONOJHEM-UHFFFAOYSA-N -1 1 318.206 1.728 20 0 DDADMM C[C@@H](CSc1ccccc1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354804634 410098822 /nfs/dbraw/zinc/09/88/22/410098822.db2.gz PJYXSBKADKHHOJ-JTQLQIEISA-N -1 1 305.407 1.979 20 0 DDADMM Cn1cncc1CCC(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000357607170 410130233 /nfs/dbraw/zinc/13/02/33/410130233.db2.gz NADQPTRQAMQXGY-UHFFFAOYSA-N -1 1 301.375 1.865 20 0 DDADMM COc1ccc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1 ZINC000332415169 410139828 /nfs/dbraw/zinc/13/98/28/410139828.db2.gz UBGAYSBIDUXMKO-LBPRGKRZSA-N -1 1 317.345 1.733 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCOCC4(CC4)C3)cnc2n1 ZINC000329419842 410289921 /nfs/dbraw/zinc/28/99/21/410289921.db2.gz DDICJZIRNUUVCQ-UHFFFAOYSA-N -1 1 313.357 1.896 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CCOCC3(CC3)C1)c2=O ZINC000329419842 410289926 /nfs/dbraw/zinc/28/99/26/410289926.db2.gz DDICJZIRNUUVCQ-UHFFFAOYSA-N -1 1 313.357 1.896 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@H]2[C@@H]3CC[C@@H](C3)[C@@]2(C)C1 ZINC000333113266 410362387 /nfs/dbraw/zinc/36/23/87/410362387.db2.gz SONFSPGJAARGRY-YAMSLAJTSA-N -1 1 311.407 1.452 20 0 DDADMM N=c1nc(N2CCN(Cc3nc(C4CC4)cs3)CC2)s[n-]1 ZINC000329609603 410382434 /nfs/dbraw/zinc/38/24/34/410382434.db2.gz BWOFHFYTNZXFFD-UHFFFAOYSA-N -1 1 322.463 1.607 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)c2nc(C)c(C)s2)CC1 ZINC000333304676 410473032 /nfs/dbraw/zinc/47/30/32/410473032.db2.gz UFBKVVJCRGHPRC-UHFFFAOYSA-N -1 1 304.437 1.855 20 0 DDADMM CCOc1nc2cc(C(=O)N3CC[NH+](C4CC4)CC3)ccc2[nH]1 ZINC000329914601 410483687 /nfs/dbraw/zinc/48/36/87/410483687.db2.gz XXJHTIJUGNWRGX-UHFFFAOYSA-N -1 1 314.389 1.882 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(C)c(O)c(C)c2)o1 ZINC000358578267 410486004 /nfs/dbraw/zinc/48/60/04/410486004.db2.gz ISYGBYDFPLMXLK-UHFFFAOYSA-N -1 1 324.358 1.762 20 0 DDADMM CCS[C@@H]1CCC[C@H]1NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000352051254 410444496 /nfs/dbraw/zinc/44/44/96/410444496.db2.gz ATHZLNXYLOZVDV-HTQZYQBOSA-N -1 1 313.379 1.300 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCCCCO1 ZINC000329940185 410492374 /nfs/dbraw/zinc/49/23/74/410492374.db2.gz UHQZBAFOIXZPRL-UHFFFAOYSA-N -1 1 318.377 1.928 20 0 DDADMM Cc1cc(C(=O)NC(C)(C)c2nn[n-]n2)ccc1Br ZINC000339841480 410492836 /nfs/dbraw/zinc/49/28/36/410492836.db2.gz GTIRJHDNYCCNFX-UHFFFAOYSA-N -1 1 324.182 1.936 20 0 DDADMM C[C@@H]1CN(C2CC2)C[C@H]1NS(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000355469556 410533994 /nfs/dbraw/zinc/53/39/94/410533994.db2.gz HVVYUBAXYKHALQ-QMTHXVAHSA-N -1 1 324.402 1.146 20 0 DDADMM CCOc1ccc([C@@H](C)NCc2nc(=O)n(C)[n-]2)cc1OCC ZINC000347544219 410606053 /nfs/dbraw/zinc/60/60/53/410606053.db2.gz JHOIGGZEKDEBHO-LLVKDONJSA-N -1 1 320.393 1.757 20 0 DDADMM Cn1[n-]c(CN2CC[C@H](OCCCc3ccccc3)C2)nc1=O ZINC000347434974 410544030 /nfs/dbraw/zinc/54/40/30/410544030.db2.gz HZJYLNUGBSKYPJ-HNNXBMFYSA-N -1 1 316.405 1.332 20 0 DDADMM Cn1cccc1C(=O)C(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000352218500 410555875 /nfs/dbraw/zinc/55/58/75/410555875.db2.gz NJLKLUCPRSNPCM-UHFFFAOYSA-N -1 1 300.343 1.720 20 0 DDADMM NC(=O)c1ccn(-c2ccc(NC(=O)c3cncc([O-])c3)cc2)n1 ZINC000339928809 410557513 /nfs/dbraw/zinc/55/75/13/410557513.db2.gz CONHDRAREAINEE-UHFFFAOYSA-N -1 1 323.312 1.324 20 0 DDADMM CNC(=O)c1ccc(=NCCCCc2ccc(F)c(F)c2)[n-]n1 ZINC000355741230 410671583 /nfs/dbraw/zinc/67/15/83/410671583.db2.gz KCBLOVQRXCTBHN-UHFFFAOYSA-N -1 1 320.343 1.971 20 0 DDADMM CCc1csc(NC(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000343695851 410625541 /nfs/dbraw/zinc/62/55/41/410625541.db2.gz LJJNWALWICMEHU-UHFFFAOYSA-N -1 1 306.347 1.175 20 0 DDADMM O=C(Nc1nnn[n-]1)c1c[nH]cc1-c1ccc2c(c1)OCCO2 ZINC000359395296 410637513 /nfs/dbraw/zinc/63/75/13/410637513.db2.gz SQRZYXANGSGLDH-UHFFFAOYSA-N -1 1 312.289 1.218 20 0 DDADMM O=C(Nc1nn[n-]n1)c1c[nH]cc1-c1ccc2c(c1)OCCO2 ZINC000359395296 410637519 /nfs/dbraw/zinc/63/75/19/410637519.db2.gz SQRZYXANGSGLDH-UHFFFAOYSA-N -1 1 312.289 1.218 20 0 DDADMM COc1ccccc1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000355696808 410645141 /nfs/dbraw/zinc/64/51/41/410645141.db2.gz AAUOEMKXXUIXRS-UHFFFAOYSA-N -1 1 312.329 1.613 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)c1cnc2n(C)[n-]cc-2c1=O ZINC000355706966 410652837 /nfs/dbraw/zinc/65/28/37/410652837.db2.gz VJFAEGVFTCAODC-UHFFFAOYSA-N -1 1 300.322 1.277 20 0 DDADMM CC(C)CCC(=O)NCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000330440689 410694458 /nfs/dbraw/zinc/69/44/58/410694458.db2.gz DJRACMKZFDSCHQ-LLVKDONJSA-N -1 1 324.381 1.034 20 0 DDADMM NC(=O)[C@H]1CCCC[C@H]1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631628476 422860068 /nfs/dbraw/zinc/86/00/68/422860068.db2.gz IHYRLRGFMPJUPR-CMPLNLGQSA-N -1 1 310.781 1.748 20 0 DDADMM O=C(N[C@H](CO)Cc1ccc(O)cc1)c1c(F)ccc([O-])c1F ZINC000343878687 410774821 /nfs/dbraw/zinc/77/48/21/410774821.db2.gz VXGWPNPUSGDVAS-JTQLQIEISA-N -1 1 323.295 1.709 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C[C@@H]3C[C@H]3C)CC2)n1 ZINC000356032151 410785577 /nfs/dbraw/zinc/78/55/77/410785577.db2.gz DDJNSTFGKQBVGZ-PWSUYJOCSA-N -1 1 320.393 1.734 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC[C@@H](C)C2)c1 ZINC000359698990 410821987 /nfs/dbraw/zinc/82/19/87/410821987.db2.gz IYQUQUCSJGFGLN-NXEZZACHSA-N -1 1 312.391 1.675 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccn(-c3ccncc3)n2)cn1 ZINC000337773373 410991531 /nfs/dbraw/zinc/99/15/31/410991531.db2.gz PCNAQGWYUPZESX-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM CC[C@@H](C)[C@@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353489872 411026646 /nfs/dbraw/zinc/02/66/46/411026646.db2.gz QATFSLSNVXZJCA-PWSUYJOCSA-N -1 1 303.366 1.458 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC000353490847 411028282 /nfs/dbraw/zinc/02/82/82/411028282.db2.gz LJMLAHFOSRZNCF-MNOVXSKESA-N -1 1 315.377 1.505 20 0 DDADMM O=S(=O)(CCc1ccccc1)[N-]c1nnc2ccccn21 ZINC000341369654 411028823 /nfs/dbraw/zinc/02/88/23/411028823.db2.gz IJIHVFCHWYPHDS-UHFFFAOYSA-N -1 1 302.359 1.714 20 0 DDADMM CC(C)CC[C@@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353849443 411135473 /nfs/dbraw/zinc/13/54/73/411135473.db2.gz JMWKRBGFKSYGLD-LBPRGKRZSA-N -1 1 317.393 1.849 20 0 DDADMM Cc1cccc([C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000353720216 411117780 /nfs/dbraw/zinc/11/77/80/411117780.db2.gz KFOYUSJQJHPWQO-LLVKDONJSA-N -1 1 311.345 1.260 20 0 DDADMM CC[C@H](C)[C@H](Nc1nc(C(F)(F)F)nc2[nH]cnc21)C(=O)[O-] ZINC000580052418 422877651 /nfs/dbraw/zinc/87/76/51/422877651.db2.gz VUPKBLIPXQJBDS-WDSKDSINSA-N -1 1 317.271 1.705 20 0 DDADMM N=c1nc(N2CCN(C(=O)C3(C(F)F)CCC3)CC2)s[n-]1 ZINC000631713202 422891978 /nfs/dbraw/zinc/89/19/78/422891978.db2.gz FYWJZDUYSMYSLF-UHFFFAOYSA-N -1 1 317.365 1.035 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC000629988548 422911723 /nfs/dbraw/zinc/91/17/23/422911723.db2.gz GMDRGJNJQGOTND-KWCYVHTRSA-N -1 1 310.357 1.024 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCC(=O)N2CCCCC2)sn1 ZINC000631767558 422913487 /nfs/dbraw/zinc/91/34/87/422913487.db2.gz ZDXKLQTUMCZPDD-UHFFFAOYSA-N -1 1 317.436 1.132 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@]1(C)CCCc2ccccc21 ZINC000580379400 422923773 /nfs/dbraw/zinc/92/37/73/422923773.db2.gz YPNQHCQOEBPADN-HNNXBMFYSA-N -1 1 321.402 1.926 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@]1(C)CCCc2ccccc21 ZINC000580379400 422923775 /nfs/dbraw/zinc/92/37/75/422923775.db2.gz YPNQHCQOEBPADN-HNNXBMFYSA-N -1 1 321.402 1.926 20 0 DDADMM C[C@@H](CCCC(=O)[O-])NS(=O)(=O)c1c(F)cccc1F ZINC000131840434 196203488 /nfs/dbraw/zinc/20/34/88/196203488.db2.gz NFFULLVALBANEX-QMMMGPOBSA-N -1 1 307.318 1.887 20 0 DDADMM Cc1c(NC(=O)C2=C([O-])C(C)N=N2)cccc1N1CN=NC1=O ZINC000642913279 423000925 /nfs/dbraw/zinc/00/09/25/423000925.db2.gz KNOLTSQDIHQJJQ-UHFFFAOYSA-N -1 1 314.305 1.271 20 0 DDADMM CC(C)[C@H](C(=O)Nc1cnn(CC(=O)[O-])c1)N1CCCCC1 ZINC000647745303 423027531 /nfs/dbraw/zinc/02/75/31/423027531.db2.gz VKUIQJRUKNPLKI-CQSZACIVSA-N -1 1 308.382 1.417 20 0 DDADMM O=C([O-])[C@@H]1CN(Cc2cn(-c3ccccc3)nn2)C[C@H]1C1CC1 ZINC000652514832 423050685 /nfs/dbraw/zinc/05/06/85/423050685.db2.gz DGVDNYRGWDJPQN-JKSUJKDBSA-N -1 1 312.373 1.810 20 0 DDADMM CC(C)Sc1ccc(C(=O)N=c2ncn(CC(=O)[O-])[nH]2)cc1 ZINC000647780650 423045262 /nfs/dbraw/zinc/04/52/62/423045262.db2.gz HUPGYYQJDJGGTR-UHFFFAOYSA-N -1 1 320.374 1.537 20 0 DDADMM CO[C@@H](C)CC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645513397 423061503 /nfs/dbraw/zinc/06/15/03/423061503.db2.gz YVMXLWMCYCJLLG-QMMMGPOBSA-N -1 1 312.313 1.804 20 0 DDADMM NC(=O)c1ccc(-c2nc(-c3ccc([O-])c(F)c3)no2)nc1 ZINC000350837876 306757899 /nfs/dbraw/zinc/75/78/99/306757899.db2.gz OQAAXJRDRJMIGN-UHFFFAOYSA-N -1 1 300.249 1.742 20 0 DDADMM Cc1c(CN[C@]2(C(=O)[O-])CCOc3ccccc32)cnn1C ZINC000417569666 225366583 /nfs/dbraw/zinc/36/65/83/225366583.db2.gz QWBNNOBGYCGKJF-MRXNPFEDSA-N -1 1 301.346 1.581 20 0 DDADMM CSc1nc(CNC(=O)c2cc3c([nH]2)CCOC3)cc(=O)[n-]1 ZINC000640655763 423115131 /nfs/dbraw/zinc/11/51/31/423115131.db2.gz RSGLMFZILZFGNE-UHFFFAOYSA-N -1 1 320.374 1.235 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC1CC1)c1ncccc1C(F)(F)F ZINC000645629775 423115707 /nfs/dbraw/zinc/11/57/07/423115707.db2.gz KFBLYMQROYDAOB-SECBINFHSA-N -1 1 324.324 1.540 20 0 DDADMM COC1CCC(C(=O)NCc2cc(=O)[n-]c(SC)n2)CC1 ZINC000640655230 423116007 /nfs/dbraw/zinc/11/60/07/423116007.db2.gz BVGAZBWUXJMFTC-UHFFFAOYSA-N -1 1 311.407 1.726 20 0 DDADMM CSc1nc(CNC(=O)C[C@H](C)n2cccc2)cc(=O)[n-]1 ZINC000640658843 423117758 /nfs/dbraw/zinc/11/77/58/423117758.db2.gz QWHVOHSQXBCTJZ-JTQLQIEISA-N -1 1 306.391 1.973 20 0 DDADMM CSc1nc(CNC(=O)c2cccc(N(C)C)c2)cc(=O)[n-]1 ZINC000640656557 423117836 /nfs/dbraw/zinc/11/78/36/423117836.db2.gz CZWWYGWQRAEHAM-UHFFFAOYSA-N -1 1 318.402 1.900 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CCC1)c1cccc(F)c1F ZINC000360351222 418415030 /nfs/dbraw/zinc/41/50/30/418415030.db2.gz FVDZBCJNJAJXEF-JTQLQIEISA-N -1 1 305.346 1.794 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000373830912 418464005 /nfs/dbraw/zinc/46/40/05/418464005.db2.gz JRHFPEHLUPTDDR-CYBMUJFWSA-N -1 1 321.425 1.481 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCn3cncc3C2)c1 ZINC000374478399 418534961 /nfs/dbraw/zinc/53/49/61/418534961.db2.gz KGDJKKWEXNQSCB-JTQLQIEISA-N -1 1 315.329 1.576 20 0 DDADMM Cc1cccc(O[C@H](C)C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000366652430 418500678 /nfs/dbraw/zinc/50/06/78/418500678.db2.gz JPVXNKQZZLNHRB-CHWSQXEVSA-N -1 1 315.377 1.682 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CCCOCC1 ZINC000366746869 418512780 /nfs/dbraw/zinc/51/27/80/418512780.db2.gz SAWVYLWQHFSODQ-GFCCVEGCSA-N -1 1 318.377 1.485 20 0 DDADMM O=S(=O)([N-]CCn1ccnc1)c1ccc(F)c(F)c1F ZINC000192692243 418524625 /nfs/dbraw/zinc/52/46/25/418524625.db2.gz SCLANNDKYNITKA-UHFFFAOYSA-N -1 1 305.281 1.279 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)NC(=O)CC(C)(C)C)c1nn[n-]n1 ZINC000190155967 222069612 /nfs/dbraw/zinc/06/96/12/222069612.db2.gz JGDGHHPEMGJIAO-VHSXEESVSA-N -1 1 310.402 1.098 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccc(F)c(F)c1)c1ncccc1[O-] ZINC000361071044 418577224 /nfs/dbraw/zinc/57/72/24/418577224.db2.gz ZOMSKVREFBDHKG-LLVKDONJSA-N -1 1 322.311 1.646 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1ncccc1[O-])c1ccc(F)cc1 ZINC000192690425 222132297 /nfs/dbraw/zinc/13/22/97/222132297.db2.gz MSXMXRHRHTUHPR-GFCCVEGCSA-N -1 1 318.304 1.613 20 0 DDADMM C[C@@H]1COCC[C@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645681147 423140448 /nfs/dbraw/zinc/14/04/48/423140448.db2.gz KEXFQMNJAKEFQE-PSASIEDQSA-N -1 1 324.324 1.804 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)N[C@@H]2CCCc3ccccc32)C1 ZINC000361506799 418665433 /nfs/dbraw/zinc/66/54/33/418665433.db2.gz NBLNTTLWHOETPB-GOEBONIOSA-N -1 1 316.401 1.977 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2CCCc3ccccc32)C1 ZINC000361506799 418665434 /nfs/dbraw/zinc/66/54/34/418665434.db2.gz NBLNTTLWHOETPB-GOEBONIOSA-N -1 1 316.401 1.977 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2nc(-c3ccccc3F)no2)n[n-]1 ZINC000375646133 418667973 /nfs/dbraw/zinc/66/79/73/418667973.db2.gz ABOHZELXEJVIPN-UHFFFAOYSA-N -1 1 323.309 1.276 20 0 DDADMM O=C(NCCn1cnnc1)c1cc(Br)ccc1[O-] ZINC000381268867 418729298 /nfs/dbraw/zinc/72/92/98/418729298.db2.gz HDGQBSYXQMTWDS-UHFFFAOYSA-N -1 1 311.139 1.176 20 0 DDADMM CO[C@@]1(C[N-]S(=O)(=O)c2c(F)cccc2Cl)CCOC1 ZINC000361966424 418731759 /nfs/dbraw/zinc/73/17/59/418731759.db2.gz XSQYSVJIVCJDFK-GFCCVEGCSA-N -1 1 323.773 1.563 20 0 DDADMM O=C(c1cc(Cl)c(Cl)[nH]1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000371024517 418756449 /nfs/dbraw/zinc/75/64/49/418756449.db2.gz FEYNEOPODAXAHS-ZCFIWIBFSA-N -1 1 315.164 1.854 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(CC(=O)Nc2cccc(C)c2C)C1 ZINC000391872690 418758106 /nfs/dbraw/zinc/75/81/06/418758106.db2.gz JCMWUXHMRXIWHF-QGZVFWFLSA-N -1 1 320.389 1.665 20 0 DDADMM O=C(CCc1ncc(-c2ccccc2F)o1)Nc1nnn[n-]1 ZINC000371535918 418798296 /nfs/dbraw/zinc/79/82/96/418798296.db2.gz QXJWXBVXMBUWAK-UHFFFAOYSA-N -1 1 302.269 1.565 20 0 DDADMM O=C(CCc1ncc(-c2ccccc2F)o1)Nc1nn[n-]n1 ZINC000371535918 418798297 /nfs/dbraw/zinc/79/82/97/418798297.db2.gz QXJWXBVXMBUWAK-UHFFFAOYSA-N -1 1 302.269 1.565 20 0 DDADMM NC(=O)c1[nH]nnc1NC(=O)c1ccc([C@@H]2CCCOC2)cc1 ZINC000410861105 418852542 /nfs/dbraw/zinc/85/25/42/418852542.db2.gz RRGGZCXJKWBMEB-LLVKDONJSA-N -1 1 315.333 1.050 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CC[C@H](n2cncn2)C1 ZINC000372476097 418874724 /nfs/dbraw/zinc/87/47/24/418874724.db2.gz WRFDGAZIKCBACA-NSHDSACASA-N -1 1 309.329 1.619 20 0 DDADMM COc1cccc(Cl)c1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000365775071 418906710 /nfs/dbraw/zinc/90/67/10/418906710.db2.gz ZMQJGCIIXSAJMJ-JTQLQIEISA-N -1 1 323.740 1.075 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)Cn2ccnc2)c(F)c1 ZINC000425143572 228372886 /nfs/dbraw/zinc/37/28/86/228372886.db2.gz PAYAKVNEKNSQIZ-JTQLQIEISA-N -1 1 315.345 1.837 20 0 DDADMM CC(=O)Nc1ccc(Cl)c(C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000426855670 419557503 /nfs/dbraw/zinc/55/75/03/419557503.db2.gz GVQODPLOLSVYEK-UHFFFAOYSA-N -1 1 322.756 1.477 20 0 DDADMM COCCn1cc([N-]S(=O)(=O)C[C@H](CC(C)C)OC)cn1 ZINC000421148396 419502683 /nfs/dbraw/zinc/50/26/83/419502683.db2.gz DFNRFLFHQDPHFJ-ZDUSSCGKSA-N -1 1 319.427 1.332 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(-c2ncn(C)n2)cc1)OC ZINC000421151606 419503956 /nfs/dbraw/zinc/50/39/56/419503956.db2.gz KDXQLRTXBNFHSK-CYBMUJFWSA-N -1 1 324.406 1.649 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1ncc(C)cc1[O-])C1CCOCC1 ZINC000426993453 419578389 /nfs/dbraw/zinc/57/83/89/419578389.db2.gz CRRDDCMUQSDEKA-GFCCVEGCSA-N -1 1 322.361 1.041 20 0 DDADMM Cc1cnc(C(=O)N2CC(=O)N(Cc3ccccc3)C2)c([O-])c1 ZINC000427537707 419684848 /nfs/dbraw/zinc/68/48/48/419684848.db2.gz SKYGEKIYSSFSLJ-UHFFFAOYSA-N -1 1 311.341 1.538 20 0 DDADMM CCOC(=O)[C@H](NC(=O)c1ncc(C)cc1[O-])C1CCOCC1 ZINC000427640396 419704676 /nfs/dbraw/zinc/70/46/76/419704676.db2.gz AVHKPKFZXITLJL-CYBMUJFWSA-N -1 1 322.361 1.184 20 0 DDADMM CS[C@H](CO)[C@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000427436679 419658340 /nfs/dbraw/zinc/65/83/40/419658340.db2.gz UUTKJTZBXZQOND-JOYOIKCWSA-N -1 1 321.402 1.486 20 0 DDADMM CS[C@H](CO)[C@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000427436679 419658354 /nfs/dbraw/zinc/65/83/54/419658354.db2.gz UUTKJTZBXZQOND-JOYOIKCWSA-N -1 1 321.402 1.486 20 0 DDADMM O=C(CCn1cc(Cl)cn1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000299502159 229160427 /nfs/dbraw/zinc/16/04/27/229160427.db2.gz RBXCHNRTIPFGCT-UHFFFAOYSA-N -1 1 308.651 1.702 20 0 DDADMM CCC(C)(C)CNC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000429023772 419964243 /nfs/dbraw/zinc/96/42/43/419964243.db2.gz CJXMQJYQSMMZDO-UHFFFAOYSA-N -1 1 312.443 1.218 20 0 DDADMM O=S(=O)([N-]C1(CCO)CC1)c1ncccc1C(F)(F)F ZINC000645729608 423157428 /nfs/dbraw/zinc/15/74/28/423157428.db2.gz LZBPTAPQELOOJR-UHFFFAOYSA-N -1 1 310.297 1.294 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2Cc3cccc(C)c3O2)n1 ZINC000415635496 420131508 /nfs/dbraw/zinc/13/15/08/420131508.db2.gz ZXWRBIWAWKZUIA-GFCCVEGCSA-N -1 1 315.329 1.837 20 0 DDADMM O=C([O-])[C@H]1CCN(CC(=O)Nc2ccc3c(c2)OCCCO3)C1 ZINC000430646865 420168573 /nfs/dbraw/zinc/16/85/73/420168573.db2.gz DLZUQULORQWETR-NSHDSACASA-N -1 1 320.345 1.193 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2cccc(C)c2C1 ZINC000416218521 420282223 /nfs/dbraw/zinc/28/22/23/420282223.db2.gz FOCCFOPMZBTURZ-UHFFFAOYSA-N -1 1 315.329 1.403 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1cccc2c1CCCN2 ZINC000420435379 420284275 /nfs/dbraw/zinc/28/42/75/420284275.db2.gz SMCXLZYDVASTMH-UHFFFAOYSA-N -1 1 322.390 1.232 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cccc2c1CCCN2 ZINC000420435379 420284277 /nfs/dbraw/zinc/28/42/77/420284277.db2.gz SMCXLZYDVASTMH-UHFFFAOYSA-N -1 1 322.390 1.232 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C(F)(F)F ZINC000416226152 420286058 /nfs/dbraw/zinc/28/60/58/420286058.db2.gz USEGQFGOSZIENL-XRGYYRRGSA-N -1 1 323.271 1.603 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc([S@](C)=O)cc1)c1nn[n-]n1 ZINC000436160886 420314057 /nfs/dbraw/zinc/31/40/57/420314057.db2.gz AKULPJXYHWPHSW-YTEVENLXSA-N -1 1 321.406 1.137 20 0 DDADMM C[C@H]1CO[C@@H](CO)CN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436751726 420363495 /nfs/dbraw/zinc/36/34/95/420363495.db2.gz NLMOWXOOSMIUOT-WCBMZHEXSA-N -1 1 319.279 1.633 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2c[nH]cc(C)c2=O)c1 ZINC000436520952 420336924 /nfs/dbraw/zinc/33/69/24/420336924.db2.gz XYRAMDYAZLMZOG-UHFFFAOYSA-N -1 1 302.286 1.428 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2cc(F)ccc2[O-])nc1 ZINC000436556125 420339513 /nfs/dbraw/zinc/33/95/13/420339513.db2.gz OXYHQLJSCCNUSJ-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM O=C(Nc1nncn1-c1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000436580369 420342721 /nfs/dbraw/zinc/34/27/21/420342721.db2.gz ZYRFAMZJESRWHG-UHFFFAOYSA-N -1 1 308.297 1.794 20 0 DDADMM CNC(=O)c1ccc(OC)c(NC(=O)c2ccc(O)cc2[O-])c1 ZINC000436622372 420345392 /nfs/dbraw/zinc/34/53/92/420345392.db2.gz FYYOMYWTWDSAOO-UHFFFAOYSA-N -1 1 316.313 1.718 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)C[C@@H](CC(C)C)OC)C(=O)OC ZINC000420661384 420346916 /nfs/dbraw/zinc/34/69/16/420346916.db2.gz ZXKXPLKTPYHKPG-XQQFMLRXSA-N -1 1 323.455 1.555 20 0 DDADMM NC(=O)C(=O)Nc1cccc(NC(=O)c2ccc([O-])cc2F)c1 ZINC000436646187 420349029 /nfs/dbraw/zinc/34/90/29/420349029.db2.gz FKUYXTUUPMFXFR-UHFFFAOYSA-N -1 1 317.276 1.207 20 0 DDADMM COCC[C@H](NC(=O)c1cc2ccccc2cc1[O-])C(N)=O ZINC000436690443 420355265 /nfs/dbraw/zinc/35/52/65/420355265.db2.gz QMBNZALQUVBOML-ZDUSSCGKSA-N -1 1 302.330 1.166 20 0 DDADMM O=C(C(=O)N1CCC2(CCOCC2)CC1)c1ccc([O-])cc1 ZINC000436725555 420360338 /nfs/dbraw/zinc/36/03/38/420360338.db2.gz SGKUTJVTRPGVBV-UHFFFAOYSA-N -1 1 303.358 1.994 20 0 DDADMM O=C(NCc1nnc[nH]1)c1cc(F)cc(Br)c1[O-] ZINC000436846922 420374275 /nfs/dbraw/zinc/37/42/75/420374275.db2.gz IKGLFUWMOZQOEU-UHFFFAOYSA-N -1 1 315.102 1.342 20 0 DDADMM COCC(C)(C)N1CCN(C(=O)N=c2cc(C)c(C)n[n-]2)CC1 ZINC000425652933 420428507 /nfs/dbraw/zinc/42/85/07/420428507.db2.gz NLMQUGPDLFYFBY-UHFFFAOYSA-N -1 1 321.425 1.090 20 0 DDADMM C[C@H](CO[N-]C(=O)[C@@H]1CO[C@H](C)C1)NC(=O)OC(C)(C)C ZINC000492413487 420551034 /nfs/dbraw/zinc/55/10/34/420551034.db2.gz QNLMSJVXCUERPM-MXWKQRLJSA-N -1 1 302.371 1.372 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H]1CO)c1ncccc1C(F)(F)F ZINC000645748392 423168188 /nfs/dbraw/zinc/16/81/88/423168188.db2.gz GOWKCKWPQXLMLG-WPRPVWTQSA-N -1 1 324.324 1.540 20 0 DDADMM CCN1CCN(CC(C)(C)N=c2nc(C3CC3)[n-]s2)CC1 ZINC000450920804 420560829 /nfs/dbraw/zinc/56/08/29/420560829.db2.gz BBZIMSBBDFHXGK-UHFFFAOYSA-N -1 1 309.483 1.665 20 0 DDADMM CC(C)Oc1ccc([N-]S(=O)(=O)c2c[nH]c(=O)s2)cn1 ZINC000450985963 420576170 /nfs/dbraw/zinc/57/61/70/420576170.db2.gz MQWHDRGJUDDERP-UHFFFAOYSA-N -1 1 315.376 1.832 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2ccc(Br)o2)n1 ZINC000451024173 420582282 /nfs/dbraw/zinc/58/22/82/420582282.db2.gz HPBKOLRMVFPEPT-UHFFFAOYSA-N -1 1 321.156 1.523 20 0 DDADMM CCC[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC000452664103 420640291 /nfs/dbraw/zinc/64/02/91/420640291.db2.gz SFMMTIBXFHZTLP-LBPRGKRZSA-N -1 1 307.394 1.848 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@@H]3CC[C@@H](C(=O)[O-])O3)[nH]c21 ZINC000442662237 420696163 /nfs/dbraw/zinc/69/61/63/420696163.db2.gz HFDGJDYMOGIKCI-QWRGUYRKSA-N -1 1 303.318 1.120 20 0 DDADMM COC[C@@H](O)CCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442801969 420718479 /nfs/dbraw/zinc/71/84/79/420718479.db2.gz OLQQOQFYSPTIRH-VIFPVBQESA-N -1 1 303.742 1.181 20 0 DDADMM CC[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1ncc[nH]1 ZINC000442823800 420722618 /nfs/dbraw/zinc/72/26/18/420722618.db2.gz RNYLRXOZNJBJTH-SNVBAGLBSA-N -1 1 300.322 1.278 20 0 DDADMM CC[C@H](C)N1CCN(C(=O)N=c2ncn(C(C)(C)C)[n-]2)CC1 ZINC000455153406 420975521 /nfs/dbraw/zinc/97/55/21/420975521.db2.gz YLZKSRJRTQFQIJ-LBPRGKRZSA-N -1 1 308.430 1.403 20 0 DDADMM CC[C@@H](C)N1CCN(C(=O)N=c2ncn(C(C)(C)C)[n-]2)CC1 ZINC000455153405 420976113 /nfs/dbraw/zinc/97/61/13/420976113.db2.gz YLZKSRJRTQFQIJ-GFCCVEGCSA-N -1 1 308.430 1.403 20 0 DDADMM CC(C)(C)OC(=O)NCc1cc(C(=O)Nc2nnn[n-]2)co1 ZINC000495569518 421025845 /nfs/dbraw/zinc/02/58/45/421025845.db2.gz PQQPDBBGXCSIHT-UHFFFAOYSA-N -1 1 308.298 1.070 20 0 DDADMM CC(C)(C)OC(=O)NCc1cc(C(=O)Nc2nn[n-]n2)co1 ZINC000495569518 421025850 /nfs/dbraw/zinc/02/58/50/421025850.db2.gz PQQPDBBGXCSIHT-UHFFFAOYSA-N -1 1 308.298 1.070 20 0 DDADMM C[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C(=O)Nc2nnn[n-]2)C1 ZINC000495574814 421026725 /nfs/dbraw/zinc/02/67/25/421026725.db2.gz NYKYQCTVYVXPPS-IUCAKERBSA-N -1 1 310.358 1.174 20 0 DDADMM C[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C(=O)Nc2nn[n-]n2)C1 ZINC000495574814 421026727 /nfs/dbraw/zinc/02/67/27/421026727.db2.gz NYKYQCTVYVXPPS-IUCAKERBSA-N -1 1 310.358 1.174 20 0 DDADMM Cn1cnc(=NC(=O)NC[C@@H](c2ccco2)N2CCCCC2)[n-]1 ZINC000455578656 421039542 /nfs/dbraw/zinc/03/95/42/421039542.db2.gz RJMUNXDARIISMD-LBPRGKRZSA-N -1 1 318.381 1.179 20 0 DDADMM CC[C@H](C(=O)[N-]OC[C@H](C)NC(=O)OC(C)(C)C)[C@H](C)O ZINC000496193645 421148647 /nfs/dbraw/zinc/14/86/47/421148647.db2.gz CPNZVFSUYNBAMI-DCAQKATOSA-N -1 1 304.387 1.354 20 0 DDADMM O=C(C=Cc1cccc(F)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000492223562 421215721 /nfs/dbraw/zinc/21/57/21/421215721.db2.gz UZFYAQBNSCHBRL-DGMVEKRQSA-N -1 1 301.325 1.758 20 0 DDADMM CC(=O)c1[n-]c(=N[C@@H]2CCC(=O)N[C@@H]2[C@H]2CCCO2)sc1C ZINC000450531575 421207352 /nfs/dbraw/zinc/20/73/52/421207352.db2.gz JHYLECYGVPCKNB-GYSYKLTISA-N -1 1 323.418 1.314 20 0 DDADMM CNC(=O)c1ccc(=NC[C@@H]2CSc3ccccc32)[n-]n1 ZINC000450554712 421209145 /nfs/dbraw/zinc/20/91/45/421209145.db2.gz DYEBLVCVGGUYNE-SNVBAGLBSA-N -1 1 300.387 1.560 20 0 DDADMM CO[C@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000560319028 421245273 /nfs/dbraw/zinc/24/52/73/421245273.db2.gz ABACEXIXMDCOOW-MNOVXSKESA-N -1 1 320.436 1.090 20 0 DDADMM Cc1ccccc1CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000545389454 421256983 /nfs/dbraw/zinc/25/69/83/421256983.db2.gz LVZYPFQZBMBODE-UHFFFAOYSA-N -1 1 323.356 1.531 20 0 DDADMM Cn1[n-]nnc1=NC1CCN(Cc2ccc(Cl)cc2)CC1 ZINC000524536487 421262112 /nfs/dbraw/zinc/26/21/12/421262112.db2.gz QXSHTFRBBNBUCJ-UHFFFAOYSA-N -1 1 306.801 1.362 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@]1(C)CCc2ccccc2C1)c1nn[n-]n1 ZINC000547810777 421370723 /nfs/dbraw/zinc/37/07/23/421370723.db2.gz NPUXSEDNUBAKFL-SJCJKPOMSA-N -1 1 313.405 1.957 20 0 DDADMM COC(=O)c1cccc(CSc2nc3n[nH]cc3c(=O)[nH]2)n1 ZINC000562500388 421373008 /nfs/dbraw/zinc/37/30/08/421373008.db2.gz OMKBKGRVUMODRX-UHFFFAOYSA-N -1 1 317.330 1.532 20 0 DDADMM C[C@H](CCCCC(=O)[O-])NS(=O)(=O)[C@H](C)C(F)(F)F ZINC000548220239 421411976 /nfs/dbraw/zinc/41/19/76/421411976.db2.gz ZGZIIAHTUXVAPG-HTQZYQBOSA-N -1 1 305.318 1.890 20 0 DDADMM C[C@@]1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CCC(=O)NC1 ZINC000548817871 421476425 /nfs/dbraw/zinc/47/64/25/421476425.db2.gz JKVYNPCQAOYNGS-MRXNPFEDSA-N -1 1 314.345 1.637 20 0 DDADMM O=C(N[C@H]1CC(=O)N2CCCC[C@@H]12)c1cc(Cl)ccc1[O-] ZINC000548895637 421483788 /nfs/dbraw/zinc/48/37/88/421483788.db2.gz PYQMNDHTJFQJQI-RYUDHWBXSA-N -1 1 308.765 1.929 20 0 DDADMM CCCNC(=O)NC(=O)CSc1nc(CC)c(C)c(=O)[n-]1 ZINC000563439072 421509320 /nfs/dbraw/zinc/50/93/20/421509320.db2.gz KIBIRRMECLQEFF-UHFFFAOYSA-N -1 1 312.395 1.381 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)N=c2[n-]nc(C3CC3)s2)C[C@@H]1C ZINC000551940957 421556689 /nfs/dbraw/zinc/55/66/89/421556689.db2.gz ZZZHKLGYGFEINF-VHSXEESVSA-N -1 1 309.439 1.784 20 0 DDADMM Cc1noc([C@H](C)N(C)C(=O)c2csc(=NC3CC3)[n-]2)n1 ZINC000516662107 421561658 /nfs/dbraw/zinc/56/16/58/421561658.db2.gz SIGMKOGPCBWYOE-ZETCQYMHSA-N -1 1 307.379 1.664 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCC[N@@H+](Cc2nc3ccccc3n2C)C1 ZINC000563724504 421538472 /nfs/dbraw/zinc/53/84/72/421538472.db2.gz QDFSRWABSZZREW-QGZVFWFLSA-N -1 1 317.389 1.887 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(Cc2nc3ccccc3n2C)C1 ZINC000563724504 421538474 /nfs/dbraw/zinc/53/84/74/421538474.db2.gz QDFSRWABSZZREW-QGZVFWFLSA-N -1 1 317.389 1.887 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@@H](C(=O)OC(C)(C)C)C(C)C ZINC000563776797 421542053 /nfs/dbraw/zinc/54/20/53/421542053.db2.gz LZUPQGYTPRRMNJ-LLVKDONJSA-N -1 1 323.455 1.697 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H](C)c2cnn(C)c2)c1 ZINC000554580797 421652219 /nfs/dbraw/zinc/65/22/19/421652219.db2.gz ASGDRBXSXAQUCY-SECBINFHSA-N -1 1 303.318 1.655 20 0 DDADMM C[C@@H](OCc1ccc(F)cc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000556265446 421705652 /nfs/dbraw/zinc/70/56/52/421705652.db2.gz GIXBBOCLBDTMQL-SECBINFHSA-N -1 1 305.313 1.049 20 0 DDADMM NC(=O)CCC1CCN(C(=O)c2csc(=NC3CC3)[n-]2)CC1 ZINC000536637870 421723842 /nfs/dbraw/zinc/72/38/42/421723842.db2.gz YOEUWRTVCOWUFJ-UHFFFAOYSA-N -1 1 322.434 1.257 20 0 DDADMM O=C(Cc1ccc(F)cc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000537125968 421728127 /nfs/dbraw/zinc/72/81/27/421728127.db2.gz QCQTUJPJYSVMIG-JTQLQIEISA-N -1 1 307.304 1.427 20 0 DDADMM O=C(Cc1ccc(F)cc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000537125969 421728332 /nfs/dbraw/zinc/72/83/32/421728332.db2.gz QCQTUJPJYSVMIG-SNVBAGLBSA-N -1 1 307.304 1.427 20 0 DDADMM CO[C@@H]1C[C@@H](C(=O)[O-])N(CCOc2ccc(SC)cc2)C1 ZINC000518990615 421675727 /nfs/dbraw/zinc/67/57/27/421675727.db2.gz LKJUGYFEKSBCIR-OCCSQVGLSA-N -1 1 311.403 1.961 20 0 DDADMM CC(C)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)nc1 ZINC000555507593 421680541 /nfs/dbraw/zinc/68/05/41/421680541.db2.gz HHAMGQTYSHOHBB-UHFFFAOYSA-N -1 1 300.366 1.917 20 0 DDADMM C[C@H](Oc1ccccc1F)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000540426111 421763842 /nfs/dbraw/zinc/76/38/42/421763842.db2.gz CPJSOUZLBUSSKR-WDEREUQCSA-N -1 1 319.340 1.512 20 0 DDADMM CCCCn1ncc(C(=O)Nc2cc(C(=O)OCC)[nH]n2)c1C ZINC000540974801 421781123 /nfs/dbraw/zinc/78/11/23/421781123.db2.gz UBBNPMIFKMPXAT-UHFFFAOYSA-N -1 1 319.365 1.566 20 0 DDADMM O=C([O-])COCCNCc1c(F)cccc1OC(F)(F)F ZINC000635314976 421902875 /nfs/dbraw/zinc/90/28/75/421902875.db2.gz DCOBFGRHLWGBDJ-UHFFFAOYSA-N -1 1 311.231 1.915 20 0 DDADMM C[C@H]1CCc2onc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)c2C1 ZINC000572919181 421885696 /nfs/dbraw/zinc/88/56/96/421885696.db2.gz WGMUOSXUIHPCLW-VHSXEESVSA-N -1 1 316.365 1.332 20 0 DDADMM COc1ccccc1CCN(C)Cc1cc(C(=O)[O-])nn1C ZINC000635301948 421892101 /nfs/dbraw/zinc/89/21/01/421892101.db2.gz TVAJXIBUFCXIKL-UHFFFAOYSA-N -1 1 303.362 1.801 20 0 DDADMM O=C([O-])COCCNCc1ccc(F)cc1Br ZINC000635317608 421906807 /nfs/dbraw/zinc/90/68/07/421906807.db2.gz YHMBZPURBVNKCR-UHFFFAOYSA-N -1 1 306.131 1.779 20 0 DDADMM Cc1cc(OCC(=O)[O-])ccc1NC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC000630136063 421909420 /nfs/dbraw/zinc/90/94/20/421909420.db2.gz WQZHXJZEBBAWAY-NSHDSACASA-N -1 1 317.345 1.999 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CCCC3(CC3)C2)CC1 ZINC000630220607 421970459 /nfs/dbraw/zinc/97/04/59/421970459.db2.gz PXPVXRODJAVEKF-ZIAGYGMSSA-N -1 1 308.422 1.964 20 0 DDADMM Cc1csc(CCCCNC(=O)CCCc2nn[n-]n2)n1 ZINC000635479449 422029730 /nfs/dbraw/zinc/02/97/30/422029730.db2.gz IMQFUHFWKZMZBX-UHFFFAOYSA-N -1 1 308.411 1.426 20 0 DDADMM NC(=O)C[C@@H]1CCCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000630346873 422036787 /nfs/dbraw/zinc/03/67/87/422036787.db2.gz ARDFLUYICMQTRL-JTQLQIEISA-N -1 1 318.402 1.838 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCc2ccc3c(c2)CCO3)sn1 ZINC000631969081 421985549 /nfs/dbraw/zinc/98/55/49/421985549.db2.gz ONQVBNCHSGMKHY-UHFFFAOYSA-N -1 1 324.427 1.907 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H]3CCCNC3=O)[nH][n-]2)s1 ZINC000633616254 422003519 /nfs/dbraw/zinc/00/35/19/422003519.db2.gz VYOTVNROUSBTGV-VIFPVBQESA-N -1 1 304.375 1.333 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCC[C@H]3OCC[C@H]32)sn1 ZINC000632103344 422089854 /nfs/dbraw/zinc/08/98/54/422089854.db2.gz QFUBSBISQJYEDN-HBNTYKKESA-N -1 1 302.421 1.687 20 0 DDADMM O=C([O-])[C@@H]1CCCCN1S(=O)(=O)c1cc(O)cc(F)c1 ZINC000630443049 422106200 /nfs/dbraw/zinc/10/62/00/422106200.db2.gz NYETVUIPUXTJJG-NSHDSACASA-N -1 1 303.311 1.159 20 0 DDADMM COC[C@H](NS(=O)(=O)c1cc(OC)ccc1[O-])C1CC1 ZINC000632131903 422109052 /nfs/dbraw/zinc/10/90/52/422109052.db2.gz FZBAPHHZECGGFO-NSHDSACASA-N -1 1 301.364 1.104 20 0 DDADMM CC(C)(C)c1csc(CNC(=O)CCCc2nn[n-]n2)n1 ZINC000635505010 422050875 /nfs/dbraw/zinc/05/08/75/422050875.db2.gz GEQFRWOHNCLEIU-UHFFFAOYSA-N -1 1 308.411 1.593 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc(C)n(C(C)C)c1C ZINC000633691782 422052333 /nfs/dbraw/zinc/05/23/33/422052333.db2.gz KLEOYLDGQZWDCJ-UHFFFAOYSA-N -1 1 317.393 1.751 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](CO)C2CCCCC2)sn1 ZINC000632056386 422056430 /nfs/dbraw/zinc/05/64/30/422056430.db2.gz AVEKIWAJOHHNSR-NSHDSACASA-N -1 1 304.437 1.671 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](CO)C2CCCCC2)sn1 ZINC000632056385 422056548 /nfs/dbraw/zinc/05/65/48/422056548.db2.gz AVEKIWAJOHHNSR-LLVKDONJSA-N -1 1 304.437 1.671 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1ccccc1OCC1CC1 ZINC000635594416 422147227 /nfs/dbraw/zinc/14/72/27/422147227.db2.gz HNFMFVOXIUXCSP-UHFFFAOYSA-N -1 1 315.377 1.628 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccccc1OCC1CC1 ZINC000635600583 422153015 /nfs/dbraw/zinc/15/30/15/422153015.db2.gz WGCVCMUVNJRGRR-UHFFFAOYSA-N -1 1 301.350 1.950 20 0 DDADMM Fc1ccc(Cc2n[n-]c(=NC[C@@H]3COCCN3)s2)cc1 ZINC000630531060 422159541 /nfs/dbraw/zinc/15/95/41/422159541.db2.gz ALLBZUQEMHUUTK-GFCCVEGCSA-N -1 1 308.382 1.090 20 0 DDADMM CCOC[C@H](C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632242790 422188697 /nfs/dbraw/zinc/18/86/97/422188697.db2.gz YEZLSRVOLPFDRI-LURJTMIESA-N -1 1 301.290 1.132 20 0 DDADMM O=C([O-])[C@@]1(CN=c2[nH]c(C(F)(F)F)cs2)CCCOC1 ZINC000630463901 422118822 /nfs/dbraw/zinc/11/88/22/422118822.db2.gz RBWRLIQVIRDENW-SNVBAGLBSA-N -1 1 310.297 1.877 20 0 DDADMM CO[C@@H](Cc1ccccc1)CS(=O)(=O)[N-]c1ccnnc1 ZINC000574980136 422225524 /nfs/dbraw/zinc/22/55/24/422225524.db2.gz KTEUOBFBDGKAGA-AWEZNQCLSA-N -1 1 307.375 1.476 20 0 DDADMM COc1cccc2c1CC[C@@H]2NC(=O)CCCc1nn[n-]n1 ZINC000635682648 422237291 /nfs/dbraw/zinc/23/72/91/422237291.db2.gz OIWCNCCNQZQACA-LBPRGKRZSA-N -1 1 301.350 1.335 20 0 DDADMM O=C(C[C@@H]1COCCN1)N=c1nc(-c2ccsc2)[n-]s1 ZINC000575043799 422241768 /nfs/dbraw/zinc/24/17/68/422241768.db2.gz RQXXKJMTQAFSFA-SECBINFHSA-N -1 1 310.404 1.006 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)C(=O)CCCc2nn[n-]n2)C12CCC2 ZINC000635701220 422256857 /nfs/dbraw/zinc/25/68/57/422256857.db2.gz YWEDNQIPYLWKCC-VXGBXAGGSA-N -1 1 307.398 1.329 20 0 DDADMM C[C@@H](CN(C)C)[N-]S(=O)(=O)c1onc(C2CC2)c1Cl ZINC000632337118 422256938 /nfs/dbraw/zinc/25/69/38/422256938.db2.gz JPJSJPZHVZEKCP-ZETCQYMHSA-N -1 1 307.803 1.434 20 0 DDADMM CCO[C@H](CCNC(=O)CCCc1nn[n-]n1)C1CCCC1 ZINC000635642838 422197057 /nfs/dbraw/zinc/19/70/57/422197057.db2.gz YLLNZMQDVZBYTP-CYBMUJFWSA-N -1 1 309.414 1.624 20 0 DDADMM COCC(C)(C)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632359378 422272798 /nfs/dbraw/zinc/27/27/98/422272798.db2.gz BLMUAQBNCAHNAY-UHFFFAOYSA-N -1 1 315.317 1.379 20 0 DDADMM COc1ccc(N(C)C(=O)CCCc2nn[n-]n2)c(OC)c1 ZINC000635726263 422286321 /nfs/dbraw/zinc/28/63/21/422286321.db2.gz AGUKFTLAGGILLA-UHFFFAOYSA-N -1 1 305.338 1.203 20 0 DDADMM CNC(=O)[C@H]1CCCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630717005 422289003 /nfs/dbraw/zinc/28/90/03/422289003.db2.gz FLBKLUMAAOBVJK-GFCCVEGCSA-N -1 1 310.781 1.715 20 0 DDADMM Cc1cc(C[C@@H](C)[N-]S(=O)(=O)c2nc(C)c(C)s2)[nH]n1 ZINC000632394259 422302652 /nfs/dbraw/zinc/30/26/52/422302652.db2.gz MIXTZFOXXJDRBQ-MRVPVSSYSA-N -1 1 314.436 1.701 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H](CO)C1CCOCC1 ZINC000632387849 422297002 /nfs/dbraw/zinc/29/70/02/422297002.db2.gz NOOCKTLVYNIBGI-CYBMUJFWSA-N -1 1 313.781 1.492 20 0 DDADMM Cc1ccccc1CS(=O)(=O)[N-]Cc1nc(C(F)F)no1 ZINC000632481166 422381424 /nfs/dbraw/zinc/38/14/24/422381424.db2.gz ILSMNHNVWOZWDB-UHFFFAOYSA-N -1 1 317.317 1.935 20 0 DDADMM CO[C@@](C)([C@H](C)[N-]S(=O)(=O)c1cc(C)ns1)C1CC1 ZINC000632455893 422359905 /nfs/dbraw/zinc/35/99/05/422359905.db2.gz OWYQSSUIOHVMHF-CABZTGNLSA-N -1 1 304.437 1.933 20 0 DDADMM CO[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632412692 422321997 /nfs/dbraw/zinc/32/19/97/422321997.db2.gz IRVFKRSKWAZTHA-RNFRBKRXSA-N -1 1 313.301 1.274 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2C[C@H](C)n3ccnc32)c1 ZINC000632417269 422325562 /nfs/dbraw/zinc/32/55/62/422325562.db2.gz FPQBOVBVPQRAKA-ONGXEEELSA-N -1 1 323.374 1.582 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H]3COC[C@@H]3C2)c1 ZINC000632569368 422444061 /nfs/dbraw/zinc/44/40/61/422444061.db2.gz HGSOHADLMUHPSU-MNOVXSKESA-N -1 1 313.375 1.058 20 0 DDADMM Cn1nccc1[C@H]1CCCCN1C(=O)CCCc1nn[n-]n1 ZINC000635891616 422446849 /nfs/dbraw/zinc/44/68/49/422446849.db2.gz MYUHFPPQJFYJGB-GFCCVEGCSA-N -1 1 303.370 1.010 20 0 DDADMM COc1ccc(NC(=O)c2csc(=NC3CC3)[n-]2)cc1N ZINC000637803822 422384781 /nfs/dbraw/zinc/38/47/81/422384781.db2.gz OEWNDGADYUNUOP-UHFFFAOYSA-N -1 1 304.375 1.982 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCN(C2CC2)c2ccccc21 ZINC000635843743 422400088 /nfs/dbraw/zinc/40/00/88/422400088.db2.gz XHMGOJLCEIEGFB-UHFFFAOYSA-N -1 1 312.377 1.538 20 0 DDADMM CN(CCCOCc1ccccc1)C(=O)CCCc1nn[n-]n1 ZINC000635895321 422450573 /nfs/dbraw/zinc/45/05/73/422450573.db2.gz NBOTZZKNOXDTCP-UHFFFAOYSA-N -1 1 317.393 1.588 20 0 DDADMM CCc1cc(NC(=O)c2ccccc2[N-]S(=O)(=O)CC)n[nH]1 ZINC000616352104 422504753 /nfs/dbraw/zinc/50/47/53/422504753.db2.gz SUSQKFSXCYIZRI-UHFFFAOYSA-N -1 1 322.390 1.986 20 0 DDADMM CC(C)(Cn1[n-]c2c(c1=O)CCc1ccccc1-2)S(C)(=O)=O ZINC000634621010 422509947 /nfs/dbraw/zinc/50/99/47/422509947.db2.gz WQHQMWKIMVTOEW-CYBMUJFWSA-N -1 1 320.414 1.619 20 0 DDADMM Cc1c(-c2ccccc2)[n-]n(C2CCS(=O)(=O)CC2)c1=O ZINC000634619749 422511806 /nfs/dbraw/zinc/51/18/06/422511806.db2.gz JAHZMPXUESQPRC-LLVKDONJSA-N -1 1 306.387 1.446 20 0 DDADMM CN(C(=O)c1ccc(C(F)(F)F)c([O-])c1)[C@H]1C[C@@H](C(N)=O)C1 ZINC000629207358 422527072 /nfs/dbraw/zinc/52/70/72/422527072.db2.gz KYNQUDWZNVNQAE-DTORHVGOSA-N -1 1 316.279 1.747 20 0 DDADMM Cc1cccc(CNC(=O)CCc2nn[n-]n2)c1Br ZINC000632709022 422535105 /nfs/dbraw/zinc/53/51/05/422535105.db2.gz AVPHKXQWNATPPN-UHFFFAOYSA-N -1 1 324.182 1.520 20 0 DDADMM C[C@H]1C[C@H](C(F)(F)F)CCN1C(=O)CCCc1nn[n-]n1 ZINC000635983278 422539046 /nfs/dbraw/zinc/53/90/46/422539046.db2.gz JXCNTJQXRDQRTO-DTWKUNHWSA-N -1 1 305.304 1.712 20 0 DDADMM C[C@@H](Cc1cccc(F)c1)N(C)C(=O)CCCc1nn[n-]n1 ZINC000636020797 422570653 /nfs/dbraw/zinc/57/06/53/422570653.db2.gz XRZRBNCWTFEYDI-NSHDSACASA-N -1 1 305.357 1.751 20 0 DDADMM CN1CC[C@](C)(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)C1=O ZINC000636032139 422583335 /nfs/dbraw/zinc/58/33/35/422583335.db2.gz DHAMQFDHKKMFLG-CQSZACIVSA-N -1 1 304.375 1.367 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](n3ccnn3)CC2)c([O-])c1 ZINC000636191160 422702636 /nfs/dbraw/zinc/70/26/36/422702636.db2.gz XSGCOXOLFAYSIU-GFCCVEGCSA-N -1 1 301.350 1.555 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)[C@@H](C)O[C@H](C)C(=O)[O-])CC1 ZINC000634924962 422716946 /nfs/dbraw/zinc/71/69/46/422716946.db2.gz MHZFBNBOVKPXIQ-VXGBXAGGSA-N -1 1 300.399 1.197 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)N=c2ccc(C3CC3)n[n-]2)CCN1C ZINC000579187320 422720191 /nfs/dbraw/zinc/72/01/91/422720191.db2.gz ZWRXSQNMGARLJH-AWEZNQCLSA-N -1 1 303.410 1.580 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CC2)cnn1-c1ccc(C)cc1 ZINC000578947770 422675585 /nfs/dbraw/zinc/67/55/85/422675585.db2.gz UESPVHNJPGOOMC-UHFFFAOYSA-N -1 1 323.360 1.421 20 0 DDADMM CNC(=O)c1cccc(S(=O)(=O)[N-]c2ccc(OC)cc2)c1 ZINC000068899911 263328172 /nfs/dbraw/zinc/32/81/72/263328172.db2.gz HCXSYMSWYMALDZ-UHFFFAOYSA-N -1 1 320.370 1.856 20 0 DDADMM C[C@@H](CN(C)C(=O)CCOc1cccc(Cl)c1)c1nn[n-]n1 ZINC000183419962 263379255 /nfs/dbraw/zinc/37/92/55/263379255.db2.gz ZGLXKDYSDCKJPI-JTQLQIEISA-N -1 1 323.784 1.884 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)N1CCCN(CCOC)CC1 ZINC000653284612 423421691 /nfs/dbraw/zinc/42/16/91/423421691.db2.gz NEFBLAOUMAHVTI-UHFFFAOYSA-N -1 1 300.399 1.058 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000643850385 423380315 /nfs/dbraw/zinc/38/03/15/423380315.db2.gz QKUANGQNDYJEKM-HNNXBMFYSA-N -1 1 319.317 1.376 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCCCN1 ZINC000653348441 423453134 /nfs/dbraw/zinc/45/31/34/423453134.db2.gz HLDUTMDEOSSLQJ-UHFFFAOYSA-N -1 1 303.366 1.111 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCCN1C(=O)c1ccccc1 ZINC000646409331 423478624 /nfs/dbraw/zinc/47/86/24/423478624.db2.gz GCBLDLQVEYKHNU-LBPRGKRZSA-N -1 1 314.345 1.667 20 0 DDADMM CN1CC[C@H](C(=O)Nc2ccc([O-])c(Cl)c2)S1(=O)=O ZINC000648837051 423491792 /nfs/dbraw/zinc/49/17/92/423491792.db2.gz ZUOVHZRTXWGXPH-SNVBAGLBSA-N -1 1 304.755 1.018 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1(c2ccccc2C)CC1 ZINC000648959383 423565503 /nfs/dbraw/zinc/56/55/03/423565503.db2.gz WNRDPPCUQUAPAZ-UHFFFAOYSA-N -1 1 315.329 1.624 20 0 DDADMM O=c1cc(CN2CCC[C@@H](OC3CCC3)C2)nc2cc[n-]n21 ZINC000653814114 423615526 /nfs/dbraw/zinc/61/55/26/423615526.db2.gz IOMLWEUAVFISTJ-CQSZACIVSA-N -1 1 302.378 1.556 20 0 DDADMM COCC1(CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CC1 ZINC000649210111 423678910 /nfs/dbraw/zinc/67/89/10/423678910.db2.gz LZEZCZVGNHZEPM-CYBMUJFWSA-N -1 1 301.350 1.222 20 0 DDADMM CC(C)(CNC(=O)c1ccc(C(F)(F)F)c([O-])c1)OCCO ZINC000644515570 423684122 /nfs/dbraw/zinc/68/41/22/423684122.db2.gz LIQOVIKBMLVRAT-UHFFFAOYSA-N -1 1 321.295 1.928 20 0 DDADMM COCc1nc(=NC(=O)[C@H]2C[C@@H](C)Cc3cn[nH]c32)s[n-]1 ZINC000646956980 423690853 /nfs/dbraw/zinc/69/08/53/423690853.db2.gz OHNOTGWQYXXDTI-CBAPKCEASA-N -1 1 307.379 1.134 20 0 DDADMM CC(C)CCNC(=O)[C@H](C)N1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639826208 423761592 /nfs/dbraw/zinc/76/15/92/423761592.db2.gz RVOUCUVJZAJSQX-NWDGAFQWSA-N -1 1 309.414 1.212 20 0 DDADMM Cc1c(F)cccc1N(Cc1nn(C)c(=O)[n-]1)[C@H]1CCO[C@@H]1C ZINC000639933045 423948038 /nfs/dbraw/zinc/94/80/38/423948038.db2.gz VZQZDEQWZJUTEK-RISCZKNCSA-N -1 1 320.368 1.740 20 0 DDADMM O=C(N[C@@]1(CO)CCOC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000649485579 423951612 /nfs/dbraw/zinc/95/16/12/423951612.db2.gz PZZGTSHFJUUEMJ-GFCCVEGCSA-N -1 1 305.252 1.292 20 0 DDADMM C[C@@H](C(=O)N1C[C@@H](C)[C@H](C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000647250830 423910253 /nfs/dbraw/zinc/91/02/53/423910253.db2.gz ZCYUXYGUDBTGNX-DVOMOZLQSA-N -1 1 316.401 1.612 20 0 DDADMM C[C@H](C[C@H](C)O)[N-]S(=O)(=O)c1ncccc1Br ZINC000656957352 423922442 /nfs/dbraw/zinc/92/24/42/423922442.db2.gz VUEAHVSHAQGOFU-SFYZADRCSA-N -1 1 323.212 1.282 20 0 DDADMM O=C(c1ccc2n[nH]cc2c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887104 424017006 /nfs/dbraw/zinc/01/70/06/424017006.db2.gz NOLXSHZYLLWOKU-UHFFFAOYSA-N -1 1 313.317 1.671 20 0 DDADMM O=C(N1CCC(c2n[n-]c(=O)o2)CC1)C1(C(F)(F)F)CC1 ZINC000644887153 424018240 /nfs/dbraw/zinc/01/82/40/424018240.db2.gz TWNZZXIKBYWMRF-UHFFFAOYSA-N -1 1 305.256 1.824 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](CO)CC2CC2)c(F)c1 ZINC000644859425 423984583 /nfs/dbraw/zinc/98/45/83/423984583.db2.gz ZRORPOZLILEUSW-SNVBAGLBSA-N -1 1 305.346 1.713 20 0 DDADMM CC(C)c1nnc([N-]C(=O)c2coc(S(C)(=O)=O)c2)s1 ZINC000649555520 424031566 /nfs/dbraw/zinc/03/15/66/424031566.db2.gz KUFCPYOVZVMAKV-UHFFFAOYSA-N -1 1 315.376 1.910 20 0 DDADMM COc1ccnc(C[N-]S(=O)(=O)c2cnc(C)s2)c1F ZINC000657159231 424167438 /nfs/dbraw/zinc/16/74/38/424167438.db2.gz UZSNLMZHCRCHFB-UHFFFAOYSA-N -1 1 317.367 1.473 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1Cc2cncnc2C1 ZINC000655126029 424234527 /nfs/dbraw/zinc/23/45/27/424234527.db2.gz SJOGOGKDIXBMDX-UHFFFAOYSA-N -1 1 313.361 1.734 20 0 DDADMM CN(c1nc(CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)cs1)C1CC1 ZINC000662218946 424483387 /nfs/dbraw/zinc/48/33/87/424483387.db2.gz FEURKMWJFNVRLA-MEBBXXQBSA-N -1 1 323.418 1.275 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC[C@H]2Cc2cccc(F)c2)C1=O ZINC000662201700 424467403 /nfs/dbraw/zinc/46/74/03/424467403.db2.gz KNTUGGSOXOGUOC-LSDHHAIUSA-N -1 1 320.364 1.518 20 0 DDADMM O=C([O-])[C@@H](Cc1ccncc1)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000655600371 424588115 /nfs/dbraw/zinc/58/81/15/424588115.db2.gz DVTXPNGMGHOGLM-SMDDNHRTSA-N -1 1 314.345 1.037 20 0 DDADMM CC(C)[C@H](NCc1cc(=O)n2[n-]ccc2n1)[C@H](O)C(F)(F)F ZINC000660525367 424709655 /nfs/dbraw/zinc/70/96/55/424709655.db2.gz MAULMLKVQLDXJQ-RYUDHWBXSA-N -1 1 318.299 1.060 20 0 DDADMM C[C@@H](N=c1[n-]c(C(F)(F)F)ns1)[C@H](C)N1CCOCC1 ZINC000342046320 271282101 /nfs/dbraw/zinc/28/21/01/271282101.db2.gz RUFDIPFHKCGSFC-SFYZADRCSA-N -1 1 310.345 1.500 20 0 DDADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])c2ccccc21 ZINC000343149716 271662403 /nfs/dbraw/zinc/66/24/03/271662403.db2.gz CYNCSZCHXLHUKM-LLVKDONJSA-N -1 1 311.341 1.667 20 0 DDADMM Cc1ccoc1CN(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000345016999 272157990 /nfs/dbraw/zinc/15/79/90/272157990.db2.gz UASPREJYWLVPCF-UHFFFAOYSA-N -1 1 300.318 1.848 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc2ccccc2o1)N1CCCC1 ZINC000084936262 281014041 /nfs/dbraw/zinc/01/40/41/281014041.db2.gz DJZHTKBITDDWPI-UHFFFAOYSA-N -1 1 308.359 1.334 20 0 DDADMM COc1cc(C(=O)NC(C)(C)CC(N)=O)cc(Cl)c1[O-] ZINC000135269656 281582516 /nfs/dbraw/zinc/58/25/16/281582516.db2.gz MKZBVUMJNQFIOU-UHFFFAOYSA-N -1 1 300.742 1.438 20 0 DDADMM CO[C@@H]1CCC[C@@H]1[C@@H]1COCCN1C(=O)c1ncc(C)cc1[O-] ZINC000408062571 293683489 /nfs/dbraw/zinc/68/34/89/293683489.db2.gz HMVHKXYSUACJMB-VNHYZAJKSA-N -1 1 320.389 1.752 20 0 DDADMM C/C=C\C[C@H](CO)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000354232556 298375040 /nfs/dbraw/zinc/37/50/40/298375040.db2.gz BQPFQYBJDNXZCA-QMAVJUDZSA-N -1 1 318.377 1.038 20 0 DDADMM O=C(N=c1cc(-c2cccc(F)c2F)[n-][nH]1)c1cncnc1 ZINC000355232200 298680273 /nfs/dbraw/zinc/68/02/73/298680273.db2.gz QNHMEWVXIOLWDH-UHFFFAOYSA-N -1 1 301.256 1.819 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)[C@]1(N(C)C)CCc2ccccc21 ZINC000364194483 300355740 /nfs/dbraw/zinc/35/57/40/300355740.db2.gz NXNZEVDHINXQGN-KRWDZBQOSA-N -1 1 304.390 1.713 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC3(CCC3)C2)co1 ZINC000366830416 300755858 /nfs/dbraw/zinc/75/58/58/300755858.db2.gz SGLBQCRLVDVJLQ-UHFFFAOYSA-N -1 1 312.391 1.594 20 0 DDADMM O=C([O-])NCC(=O)NC1CCN(Cc2ccc(F)cc2)CC1 ZINC000367526582 300855475 /nfs/dbraw/zinc/85/54/75/300855475.db2.gz JHEKVTRCNBKGTN-UHFFFAOYSA-N -1 1 309.341 1.174 20 0 DDADMM CCOCC(=O)N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000368502424 301042329 /nfs/dbraw/zinc/04/23/29/301042329.db2.gz MBTFXUVHKNZMBP-NSHDSACASA-N -1 1 313.720 1.234 20 0 DDADMM Cc1n[nH]c(C)c1[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000368740911 301083691 /nfs/dbraw/zinc/08/36/91/301083691.db2.gz QGAJDPMVSVKFFO-LLVKDONJSA-N -1 1 302.334 1.341 20 0 DDADMM O=C([C@H](F)CC1CCCCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000369141524 301136060 /nfs/dbraw/zinc/13/60/60/301136060.db2.gz CDAJSTBABYXKLV-NEPJUHHUSA-N -1 1 311.361 1.408 20 0 DDADMM CCc1cc(=O)[nH]c(C[N@H+]2C[C@@H]3CCC[C@@]3(C(=O)OC)C2)n1 ZINC000369922216 301240197 /nfs/dbraw/zinc/24/01/97/301240197.db2.gz CAZJPNNFTLFASG-MEDUHNTESA-N -1 1 305.378 1.520 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2CC[C@@H]1O2)c1ccc(Cl)nc1Cl ZINC000172024792 304764206 /nfs/dbraw/zinc/76/42/06/304764206.db2.gz PNQQCWCXFFYPGW-PRJMDXOYSA-N -1 1 323.201 1.987 20 0 DDADMM CCc1ccc(N2C[C@H](C(=O)[N-]OCCCOC)CC2=O)cc1 ZINC000376359211 302067594 /nfs/dbraw/zinc/06/75/94/302067594.db2.gz MKYNFUAFIBUBPH-CQSZACIVSA-N -1 1 320.389 1.686 20 0 DDADMM O=C1C[C@@H](N=c2nc(C(F)(F)F)[n-]s2)[C@H]2CCCCN12 ZINC000376390419 302070633 /nfs/dbraw/zinc/07/06/33/302070633.db2.gz CJUJQPDYRCMSPI-RNFRBKRXSA-N -1 1 306.313 1.544 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@H]1C1CC1)c1cc(F)c(F)cc1F ZINC000378323729 302312181 /nfs/dbraw/zinc/31/21/81/302312181.db2.gz NQYCQRXNVQVMSX-YPMHNXCESA-N -1 1 321.320 1.950 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(CC(=O)Nc2cc(F)cc(F)c2)C1 ZINC000398862042 302363529 /nfs/dbraw/zinc/36/35/29/302363529.db2.gz REAPWISQIIAMEX-ZJUUUORDSA-N -1 1 312.316 1.946 20 0 DDADMM COc1cc(C(=O)NCCc2cncn2C)cc(Cl)c1[O-] ZINC000526945115 302933297 /nfs/dbraw/zinc/93/32/97/302933297.db2.gz RRGVFMROJTUKQD-UHFFFAOYSA-N -1 1 309.753 1.760 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CCc2ccncc2)c1 ZINC000357098668 306871288 /nfs/dbraw/zinc/87/12/88/306871288.db2.gz CWQYVYWLHILWEL-UHFFFAOYSA-N -1 1 321.358 1.006 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H](O)[C@@H]2CCCO2)c(F)c1 ZINC000528483511 303032808 /nfs/dbraw/zinc/03/28/08/303032808.db2.gz GKLXNFJPHFOLLB-RYUDHWBXSA-N -1 1 321.345 1.091 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1C[C@H]1c1ccsc1 ZINC000528597464 303047768 /nfs/dbraw/zinc/04/77/68/303047768.db2.gz BQSNNSVHALPFMR-WDEREUQCSA-N -1 1 303.391 1.950 20 0 DDADMM O=C(c1ncc2c(F)cccn21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000528884031 303069538 /nfs/dbraw/zinc/06/95/38/303069538.db2.gz YFYYOEZMOYIWHC-SECBINFHSA-N -1 1 315.312 1.006 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(Cc2cccc(OC(C)(C)C)n2)C1 ZINC000530169391 303178752 /nfs/dbraw/zinc/17/87/52/303178752.db2.gz GUULJPHETZJCCM-MRXNPFEDSA-N -1 1 308.378 1.934 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1Cc2ccccc2S1)c1nn[n-]n1 ZINC000530960164 303222446 /nfs/dbraw/zinc/22/24/46/303222446.db2.gz PWLQCXJMPCJZBA-ZYHUDNBSSA-N -1 1 303.391 1.874 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2ccc(C)nc2)sc1C ZINC000532497416 303287751 /nfs/dbraw/zinc/28/77/51/303287751.db2.gz DZBVQEDLSTYOEP-UHFFFAOYSA-N -1 1 311.432 1.984 20 0 DDADMM C[C@H](O)[C@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000533008788 303304765 /nfs/dbraw/zinc/30/47/65/303304765.db2.gz KAVMGKNYKBHBQU-QWRGUYRKSA-N -1 1 301.346 1.156 20 0 DDADMM Cc1noc(Cl)c1CCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000539326741 303394783 /nfs/dbraw/zinc/39/47/83/303394783.db2.gz OGJUGZWWJFQWLS-SECBINFHSA-N -1 1 324.772 1.488 20 0 DDADMM O=C(Nc1ccc(-c2c[nH]cn2)cc1)c1cc(=O)n2[n-]cnc2n1 ZINC000545375331 303493822 /nfs/dbraw/zinc/49/38/22/303493822.db2.gz SUSBUDRBSVVBSG-UHFFFAOYSA-N -1 1 321.300 1.060 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCC[C@@H]32)c(C(F)(F)F)n1 ZINC000549815101 303620179 /nfs/dbraw/zinc/62/01/79/303620179.db2.gz VLTRGIKDMBMJKH-SRSLHRDFSA-N -1 1 309.313 1.516 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)Cc2cnccc2C)o1 ZINC000357835549 306919051 /nfs/dbraw/zinc/91/90/51/306919051.db2.gz PNFHIVFKGXQJEB-UHFFFAOYSA-N -1 1 323.374 1.163 20 0 DDADMM CCC[C@H](C)[C@H](CO)NC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000563296142 303939479 /nfs/dbraw/zinc/93/94/79/303939479.db2.gz ONJPAFIBBNNSPV-WPRPVWTQSA-N -1 1 313.423 1.739 20 0 DDADMM C[C@H](CN(C)C(=O)c1cncc(-c2ccccn2)c1)c1nn[n-]n1 ZINC000363741377 307034943 /nfs/dbraw/zinc/03/49/43/307034943.db2.gz NEOZLGCCPXVTIN-LLVKDONJSA-N -1 1 323.360 1.532 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)c1cc(F)ccc1[O-] ZINC000371523849 307152556 /nfs/dbraw/zinc/15/25/56/307152556.db2.gz DVGVOMFQVJIPDX-JQWIXIFHSA-N -1 1 311.309 1.324 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCO[C@H](C4CC4)C3)cnc2n1 ZINC000375344777 307223359 /nfs/dbraw/zinc/22/33/59/307223359.db2.gz LJYIJBPQPKOVGM-AWEZNQCLSA-N -1 1 313.357 1.895 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CCO[C@H](C3CC3)C1)c2=O ZINC000375344777 307223360 /nfs/dbraw/zinc/22/33/60/307223360.db2.gz LJYIJBPQPKOVGM-AWEZNQCLSA-N -1 1 313.357 1.895 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3C[C@@H]4OCCC[C@H]34)ccnc1-2 ZINC000376598628 307248967 /nfs/dbraw/zinc/24/89/67/307248967.db2.gz TZJBTSSPHFATCT-VBLSNZMWSA-N -1 1 301.350 1.031 20 0 DDADMM C[C@@H]1OC(=O)N[C@@H]1C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000496698970 307356979 /nfs/dbraw/zinc/35/69/79/307356979.db2.gz HCHFYRQMJRQPDO-QTTZVWFDSA-N -1 1 322.271 1.212 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](OC(=O)N(C)C)C2)c([O-])c1 ZINC000497052917 307378219 /nfs/dbraw/zinc/37/82/19/307378219.db2.gz IGCYZZVVXWNLKG-LLVKDONJSA-N -1 1 307.350 1.398 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1CCO[C@@H]1C)C1CCCCC1 ZINC000527483264 307508068 /nfs/dbraw/zinc/50/80/68/307508068.db2.gz JMMRXHFIHXTNCQ-RAIGVLPGSA-N -1 1 319.423 1.205 20 0 DDADMM O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)Nc1ccc(F)cc1[O-] ZINC000551760118 307806626 /nfs/dbraw/zinc/80/66/26/307806626.db2.gz DJYNIKPWAQZOGG-PHIMTYICSA-N -1 1 318.304 1.421 20 0 DDADMM CS(=O)(=O)CCCCCNC(=O)c1c(F)ccc([O-])c1F ZINC000554888200 307840197 /nfs/dbraw/zinc/84/01/97/307840197.db2.gz ITKRFCDTCOQVPK-UHFFFAOYSA-N -1 1 321.345 1.615 20 0 DDADMM [NH3+][C@H](CC1CCCCC1)C(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000566197916 308049398 /nfs/dbraw/zinc/04/93/98/308049398.db2.gz UAYIRTLTNDXMLZ-CYBMUJFWSA-N -1 1 322.409 1.779 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)N=c1[n-]nc(C(F)F)s1 ZINC000568035520 308104918 /nfs/dbraw/zinc/10/49/18/308104918.db2.gz UYMDGEMEELRUAP-ZETCQYMHSA-N -1 1 305.354 1.456 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cccc3c[nH]nc32)[C@H](C(=O)[O-])C1 ZINC000568284785 308115156 /nfs/dbraw/zinc/11/51/56/308115156.db2.gz OPECUJNWHOYNQA-PWSUYJOCSA-N -1 1 303.318 1.267 20 0 DDADMM Cc1cnc(S[C@H]2CCN(CC(F)(F)F)C2=O)[n-]c1=O ZINC000569236793 308138029 /nfs/dbraw/zinc/13/80/29/308138029.db2.gz CKJZORHRKPFQJF-ZETCQYMHSA-N -1 1 307.297 1.746 20 0 DDADMM Cc1ccc(C(=O)[O-])c(NS(=O)(=O)c2cnc3n2CCC3)c1 ZINC000582311122 326011281 /nfs/dbraw/zinc/01/12/81/326011281.db2.gz DDGFESLEFLTLJL-UHFFFAOYSA-N -1 1 321.358 1.637 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000584175861 331854696 /nfs/dbraw/zinc/85/46/96/331854696.db2.gz NZDBLFFGOYTDTQ-ZIAGYGMSSA-N -1 1 310.369 1.901 20 0 DDADMM CC(C)Cc1nc(=NCc2n[nH]c(-c3ccncc3)n2)s[n-]1 ZINC000584278822 332204417 /nfs/dbraw/zinc/20/44/17/332204417.db2.gz ZQCPDPNFXZDWLG-UHFFFAOYSA-N -1 1 315.406 1.951 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])[C@@H]1n1cccn1 ZINC000582538637 337106552 /nfs/dbraw/zinc/10/65/52/337106552.db2.gz VWLRGRSCIBMFFN-SUHUHFCYSA-N -1 1 302.334 1.132 20 0 DDADMM COc1cccc2c1OCC[C@H]2Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000656224931 484033658 /nfs/dbraw/zinc/03/36/58/484033658.db2.gz AWXYQQZYUPNTDC-SNVBAGLBSA-N -1 1 313.317 1.376 20 0 DDADMM COC[C@@H](Cc1ccccc1)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436943434 484129558 /nfs/dbraw/zinc/12/95/58/484129558.db2.gz YVLXFWNRZMVBTL-OAHLLOKOSA-N -1 1 313.353 1.949 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(C)c1)C1CCCCC1 ZINC000656619119 484245479 /nfs/dbraw/zinc/24/54/79/484245479.db2.gz NSWDWSDSVITCNE-CYBMUJFWSA-N -1 1 301.412 1.757 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc2c(c1)CCNC2=O)OC ZINC000656633159 484250725 /nfs/dbraw/zinc/25/07/25/484250725.db2.gz WQMAARXTUFNERP-LBPRGKRZSA-N -1 1 312.391 1.139 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@H](C(=O)[O-])C3CCCC3)C2)n[nH]1 ZINC000663042115 484620282 /nfs/dbraw/zinc/62/02/82/484620282.db2.gz SJEWGVKIIVYKDP-QWHCGFSZSA-N -1 1 320.393 1.710 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000663114091 484680043 /nfs/dbraw/zinc/68/00/43/484680043.db2.gz LUDFVIYIYAKVBP-SWLSCSKDSA-N -1 1 312.341 1.034 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1cccc(-c2nnc[nH]2)c1)C1CCC1 ZINC000663152583 484706574 /nfs/dbraw/zinc/70/65/74/484706574.db2.gz LGKHEWJLTDQAMC-ZDUSSCGKSA-N -1 1 314.345 1.702 20 0 DDADMM Cn1cnc(CNC(=O)c2ccc(C(F)(F)F)c([O-])c2)n1 ZINC000670420016 484820440 /nfs/dbraw/zinc/82/04/40/484820440.db2.gz KIVMNSQDBMTCFK-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM COc1cccc([C@H](CNC(=O)c2cc(=O)n(C)[n-]2)N(C)C)c1 ZINC000665535757 484915740 /nfs/dbraw/zinc/91/57/40/484915740.db2.gz ALZHJDQHZOHFKE-AWEZNQCLSA-N -1 1 318.377 1.167 20 0 DDADMM CCOc1ccc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)nc1 ZINC000671193952 484967929 /nfs/dbraw/zinc/96/79/29/484967929.db2.gz NUJNGFLTKIZVHP-UHFFFAOYSA-N -1 1 308.363 1.450 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)c2ccn(C)n2)sc1C ZINC000668279396 485074289 /nfs/dbraw/zinc/07/42/89/485074289.db2.gz ONEBWXXKQJWEIB-QMMMGPOBSA-N -1 1 300.409 1.533 20 0 DDADMM CN(C(=O)c1ccc(C(F)(F)F)c([O-])c1)[C@@H]1CCN(C)C1=O ZINC000672167594 485245741 /nfs/dbraw/zinc/24/57/41/485245741.db2.gz NLKMBDASDPIZCW-SNVBAGLBSA-N -1 1 316.279 1.714 20 0 DDADMM CCN1CC[C@H](NC(=O)c2c[nH]c3ccc(C)cc3c2=O)C1=O ZINC000672264954 485271146 /nfs/dbraw/zinc/27/11/46/485271146.db2.gz CSQWRIMKCHJETN-AWEZNQCLSA-N -1 1 313.357 1.187 20 0 DDADMM C[C@@H](NC(=O)c1ncc2ccccc2c1[O-])C(=O)NC(C)(C)C ZINC000667157261 485416974 /nfs/dbraw/zinc/41/69/74/485416974.db2.gz WSZWHMQEXXAZLQ-SNVBAGLBSA-N -1 1 315.373 1.973 20 0 DDADMM COCCOCCOCCN(C)C(=O)c1ccc([O-])c(F)c1 ZINC000682568723 485680948 /nfs/dbraw/zinc/68/09/48/485680948.db2.gz YHNUTKUCDOUMPE-UHFFFAOYSA-N -1 1 315.341 1.283 20 0 DDADMM COc1ccc(C(=O)N=c2[nH]c(C)nn2-c2ccccc2)c([O-])c1 ZINC000674670978 485691111 /nfs/dbraw/zinc/69/11/11/485691111.db2.gz KPQISIWIAKBPHJ-UHFFFAOYSA-N -1 1 324.340 1.964 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](CO)C(C)(C)C)c(F)c1 ZINC000682931726 485831389 /nfs/dbraw/zinc/83/13/89/485831389.db2.gz RAXRNDWLVJGCJR-LLVKDONJSA-N -1 1 323.361 1.659 20 0 DDADMM CC[C@]1(NC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CCOC1 ZINC000682970988 485844570 /nfs/dbraw/zinc/84/45/70/485844570.db2.gz AALBGDDRGXLROZ-INIZCTEOSA-N -1 1 318.377 1.280 20 0 DDADMM CN(C)c1ccc(-c2cncc(C(=O)NCc3nn[n-]n3)c2)cc1 ZINC000675460905 485945352 /nfs/dbraw/zinc/94/53/52/485945352.db2.gz XSIQNGXXGZGGED-UHFFFAOYSA-N -1 1 323.360 1.258 20 0 DDADMM Cc1ccc(F)cc1C(=O)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000679813161 486001519 /nfs/dbraw/zinc/00/15/19/486001519.db2.gz YJZHFVLXECSTJF-JTQLQIEISA-N -1 1 317.324 1.236 20 0 DDADMM Cc1nc(CS(=O)(=O)[N-]C[C@](C)(O)C(F)(F)F)cs1 ZINC000684104025 486250403 /nfs/dbraw/zinc/25/04/03/486250403.db2.gz YJLIGPLHZCBBNC-QMMMGPOBSA-N -1 1 318.342 1.184 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)c2ccc([O-])c(F)c2)C1 ZINC000681047060 486330733 /nfs/dbraw/zinc/33/07/33/486330733.db2.gz TXLNMPKUNOVMSW-NWDGAFQWSA-N -1 1 308.353 1.908 20 0 DDADMM O=C(CCCc1cccc(C(F)(F)F)c1)NCc1nn[n-]n1 ZINC000681190214 486368097 /nfs/dbraw/zinc/36/80/97/486368097.db2.gz UREVJVPCSVARFL-UHFFFAOYSA-N -1 1 313.283 1.858 20 0 DDADMM O=C(Cc1noc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677047551 486385380 /nfs/dbraw/zinc/38/53/80/486385380.db2.gz BCJOOLGAZVAQCJ-SNVBAGLBSA-N -1 1 312.333 1.290 20 0 DDADMM COc1cccnc1CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000684829670 486437945 /nfs/dbraw/zinc/43/79/45/486437945.db2.gz BPKACENPJAAWTE-UHFFFAOYSA-N -1 1 301.228 1.408 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCS(=O)(=O)CC1 ZINC000684872772 486449756 /nfs/dbraw/zinc/44/97/56/486449756.db2.gz OBSFNDJMRWJGCH-UHFFFAOYSA-N -1 1 323.292 1.282 20 0 DDADMM C[C@H](Oc1cccnc1)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000685637299 486579263 /nfs/dbraw/zinc/57/92/63/486579263.db2.gz FRBLRHJAYMUTOR-SMDDNHRTSA-N -1 1 324.344 1.268 20 0 DDADMM C[C@H](Oc1cccnc1)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000685637297 486579498 /nfs/dbraw/zinc/57/94/98/486579498.db2.gz FRBLRHJAYMUTOR-FZMZJTMJSA-N -1 1 324.344 1.268 20 0 DDADMM O=C(c1c[nH]nc1-c1ccncc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677928397 486580633 /nfs/dbraw/zinc/58/06/33/486580633.db2.gz XNKGIDYYLJRJDH-NSHDSACASA-N -1 1 324.348 1.005 20 0 DDADMM Cc1c(S(=O)(=O)[N-]c2ccc(F)c(F)c2F)cnn1C ZINC000427116579 533937683 /nfs/dbraw/zinc/93/76/83/533937683.db2.gz YTHWQKYQPKYNJG-UHFFFAOYSA-N -1 1 305.281 1.947 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-][C@@H](C)C(C)(F)F)cc1 ZINC000451071671 534154165 /nfs/dbraw/zinc/15/41/65/534154165.db2.gz TTXLMYQQYGEDGP-VIFPVBQESA-N -1 1 321.345 1.936 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cc3c([nH]2)CCOC3)c1 ZINC000457777128 534231830 /nfs/dbraw/zinc/23/18/30/534231830.db2.gz NHFIUBLTVYMKAC-UHFFFAOYSA-N -1 1 316.313 1.832 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(C)(C)C(C)(C)O)o1 ZINC000452035661 534239298 /nfs/dbraw/zinc/23/92/98/534239298.db2.gz XOHRZPOHVOXTHC-UHFFFAOYSA-N -1 1 319.379 1.142 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC2(CC)COC2)o1 ZINC000451949478 528242823 /nfs/dbraw/zinc/24/28/23/528242823.db2.gz CDKBXDYVBHZTSL-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCOC2(C)C)o1 ZINC000451694414 528243828 /nfs/dbraw/zinc/24/38/28/528243828.db2.gz KWOWVDBPVUVAAA-SNVBAGLBSA-N -1 1 317.363 1.302 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@@]3(C)C[C@@H]3F)CC2)n1 ZINC000424804995 528369283 /nfs/dbraw/zinc/36/92/83/528369283.db2.gz GGONLRPVUCJWOM-BONVTDFDSA-N -1 1 324.356 1.436 20 0 DDADMM CCOC(=O)c1nn(C)cc1CN1CCC[C@@H](C)[C@H]1C(=O)[O-] ZINC000424425060 528375760 /nfs/dbraw/zinc/37/57/60/528375760.db2.gz XWAQWDFQHXPWDY-MFKMUULPSA-N -1 1 309.366 1.282 20 0 DDADMM CCOC(=O)c1nn(C)cc1CN1CCC[C@H](C)[C@@H]1C(=O)[O-] ZINC000424425059 528375929 /nfs/dbraw/zinc/37/59/29/528375929.db2.gz XWAQWDFQHXPWDY-GXFFZTMASA-N -1 1 309.366 1.282 20 0 DDADMM CCC(CC)(CNC(=O)[C@@H]1CN(C(C)C)CCO1)C(=O)[O-] ZINC000424244732 528683966 /nfs/dbraw/zinc/68/39/66/528683966.db2.gz JOULJIJZGXOSJT-LBPRGKRZSA-N -1 1 300.399 1.103 20 0 DDADMM CCOCCCNC(=O)[C@@H](C)Sc1ncc(C(=O)OC)[n-]1 ZINC000432660724 528684371 /nfs/dbraw/zinc/68/43/71/528684371.db2.gz VABQUVRYBXDGFD-SECBINFHSA-N -1 1 315.395 1.220 20 0 DDADMM CCOCCCNC(=O)[C@@H](C)Sc1nc(C(=O)OC)c[n-]1 ZINC000432660724 528684376 /nfs/dbraw/zinc/68/43/76/528684376.db2.gz VABQUVRYBXDGFD-SECBINFHSA-N -1 1 315.395 1.220 20 0 DDADMM CCOC(=O)Cn1cnc([N-]C(=O)c2cc(C(C)C)on2)n1 ZINC000425100413 528931997 /nfs/dbraw/zinc/93/19/97/528931997.db2.gz ULBFEQVGLBQWOO-UHFFFAOYSA-N -1 1 307.310 1.205 20 0 DDADMM CCSc1n[n-]c(=NC(=O)N2CCN(C(C)C)CC2)s1 ZINC000330790769 529259552 /nfs/dbraw/zinc/25/95/52/529259552.db2.gz BAXYVLQCPMBMOV-UHFFFAOYSA-N -1 1 315.468 1.630 20 0 DDADMM O=C(NC1(c2cccc(F)c2)CC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738011178 598837642 /nfs/dbraw/zinc/83/76/42/598837642.db2.gz KRKWOZPIONWRLL-UHFFFAOYSA-N -1 1 324.319 1.820 20 0 DDADMM O=C(NC1(c2cccc(F)c2)CC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738011178 598837643 /nfs/dbraw/zinc/83/76/43/598837643.db2.gz KRKWOZPIONWRLL-UHFFFAOYSA-N -1 1 324.319 1.820 20 0 DDADMM O=C(NCc1ccc2c(c1)CCO2)c1ccc(-c2nnn[n-]2)nc1 ZINC000738111782 599000930 /nfs/dbraw/zinc/00/09/30/599000930.db2.gz KUGMKIQUSYVVJF-UHFFFAOYSA-N -1 1 322.328 1.127 20 0 DDADMM O=C(NCc1ccc2c(c1)CCO2)c1ccc(-c2nn[n-]n2)nc1 ZINC000738111782 599000932 /nfs/dbraw/zinc/00/09/32/599000932.db2.gz KUGMKIQUSYVVJF-UHFFFAOYSA-N -1 1 322.328 1.127 20 0 DDADMM O=C(NC1(c2ccccc2)CC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738012188 599002231 /nfs/dbraw/zinc/00/22/31/599002231.db2.gz RWMZSUCENODXOO-UHFFFAOYSA-N -1 1 306.329 1.681 20 0 DDADMM O=C(NC1(c2ccccc2)CC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738012188 599002233 /nfs/dbraw/zinc/00/22/33/599002233.db2.gz RWMZSUCENODXOO-UHFFFAOYSA-N -1 1 306.329 1.681 20 0 DDADMM Cc1nn(C[C@H](C)c2ccccc2)c(=O)c(-c2nn[n-]n2)c1C ZINC000737513037 599193958 /nfs/dbraw/zinc/19/39/58/599193958.db2.gz FQBYQMVUXUOJSW-JTQLQIEISA-N -1 1 310.361 1.844 20 0 DDADMM COc1ccccc1CNC(=O)[C@@H](C)N1CCC(C(=O)[O-])CC1 ZINC000738129243 596923201 /nfs/dbraw/zinc/92/32/01/596923201.db2.gz LGWJDVQMZIGYGL-GFCCVEGCSA-N -1 1 320.389 1.497 20 0 DDADMM O=C(N[C@@H]1CC[C@H]2CCC[C@@H]2C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738025324 599227518 /nfs/dbraw/zinc/22/75/18/599227518.db2.gz MNIDNIIDQXXSCG-NQBHXWOUSA-N -1 1 312.377 1.960 20 0 DDADMM O=C(N[C@@H]1CC[C@H]2CCC[C@@H]2C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738025324 599227521 /nfs/dbraw/zinc/22/75/21/599227521.db2.gz MNIDNIIDQXXSCG-NQBHXWOUSA-N -1 1 312.377 1.960 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)C1 ZINC000819380431 597045437 /nfs/dbraw/zinc/04/54/37/597045437.db2.gz LUHGMPCFIUWXRL-RWMBFGLXSA-N -1 1 306.362 1.082 20 0 DDADMM O=C([O-])C[C@@H]1CCC[N@@H+](Cc2c([O-])nnn2-c2ccccc2)C1 ZINC000819380811 597048678 /nfs/dbraw/zinc/04/86/78/597048678.db2.gz VKMRBZNABXXERD-LBPRGKRZSA-N -1 1 316.361 1.660 20 0 DDADMM CC(C)C[C@H](NC(=O)CSc1n[nH]c(=S)s1)C(=O)[O-] ZINC000817865871 597106155 /nfs/dbraw/zinc/10/61/55/597106155.db2.gz WCGZCKUEUSGHMT-LURJTMIESA-N -1 1 321.449 1.534 20 0 DDADMM CCN(CC)[C@H](CNC(=O)N1CC[C@H](C(=O)[O-])C1)c1ccco1 ZINC000818193667 597510247 /nfs/dbraw/zinc/51/02/47/597510247.db2.gz LWZPKMKERSVBQI-QWHCGFSZSA-N -1 1 323.393 1.779 20 0 DDADMM O=C([O-])[C@@H]1CCN(CC(=O)Nc2ccc(N3CCCC3)cc2)C1 ZINC000769750706 597536558 /nfs/dbraw/zinc/53/65/58/597536558.db2.gz JJMQKKBXRFOMMS-CYBMUJFWSA-N -1 1 317.389 1.632 20 0 DDADMM CC(C)(C)[C@H]1OCCC[C@H]1CNc1nccnc1-c1nn[n-]n1 ZINC000735595498 599304993 /nfs/dbraw/zinc/30/49/93/599304993.db2.gz GCLCFHSHHSAUFU-JQWIXIFHSA-N -1 1 317.397 1.910 20 0 DDADMM Fc1cccc([C@@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)c1 ZINC000737667322 598246710 /nfs/dbraw/zinc/24/67/10/598246710.db2.gz PHBBEGKHPHVAHV-LLVKDONJSA-N -1 1 311.324 1.790 20 0 DDADMM Fc1cccc([C@@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)c1 ZINC000737667322 598246711 /nfs/dbraw/zinc/24/67/11/598246711.db2.gz PHBBEGKHPHVAHV-LLVKDONJSA-N -1 1 311.324 1.790 20 0 DDADMM CCCc1nc(Cn2ccc(C)c(-c3nn[n-]n3)c2=O)cs1 ZINC000821142375 607348823 /nfs/dbraw/zinc/34/88/23/607348823.db2.gz HOBZFHFQHREDCG-UHFFFAOYSA-N -1 1 316.390 1.794 20 0 DDADMM CC(C)[C@H]1CCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735749586 598268241 /nfs/dbraw/zinc/26/82/41/598268241.db2.gz NIWPWXSWVCDBBK-GFCCVEGCSA-N -1 1 322.394 1.071 20 0 DDADMM CC(C)[C@H]1CCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735749586 598268243 /nfs/dbraw/zinc/26/82/43/598268243.db2.gz NIWPWXSWVCDBBK-GFCCVEGCSA-N -1 1 322.394 1.071 20 0 DDADMM CCn1c(CNc2nccnc2-c2nnn[n-]2)nc2ccccc21 ZINC000736719907 598338514 /nfs/dbraw/zinc/33/85/14/598338514.db2.gz FCQLJQQQDDGHOO-UHFFFAOYSA-N -1 1 321.348 1.638 20 0 DDADMM CCn1c(CNc2nccnc2-c2nn[n-]n2)nc2ccccc21 ZINC000736719907 598338515 /nfs/dbraw/zinc/33/85/15/598338515.db2.gz FCQLJQQQDDGHOO-UHFFFAOYSA-N -1 1 321.348 1.638 20 0 DDADMM C[C@H]1CCCC[C@H]1OCCNc1nccnc1-c1nnn[n-]1 ZINC000736269355 598344623 /nfs/dbraw/zinc/34/46/23/598344623.db2.gz GPEUODHAUQCJEU-WDEREUQCSA-N -1 1 303.370 1.664 20 0 DDADMM C[C@H]1CCCC[C@H]1OCCNc1nccnc1-c1nn[n-]n1 ZINC000736269355 598344625 /nfs/dbraw/zinc/34/46/25/598344625.db2.gz GPEUODHAUQCJEU-WDEREUQCSA-N -1 1 303.370 1.664 20 0 DDADMM O[C@H](CNc1nccnc1-c1nnn[n-]1)c1cccc(Cl)c1 ZINC000738383355 598345196 /nfs/dbraw/zinc/34/51/96/598345196.db2.gz BBHUBXOPPABAHK-SNVBAGLBSA-N -1 1 317.740 1.456 20 0 DDADMM O[C@H](CNc1nccnc1-c1nn[n-]n1)c1cccc(Cl)c1 ZINC000738383355 598345198 /nfs/dbraw/zinc/34/51/98/598345198.db2.gz BBHUBXOPPABAHK-SNVBAGLBSA-N -1 1 317.740 1.456 20 0 DDADMM c1csc(-c2cnc(Cn3cnc(-c4nn[n-]n4)n3)o2)c1 ZINC000738449921 598582837 /nfs/dbraw/zinc/58/28/37/598582837.db2.gz XRZYHBHUMPFRSO-UHFFFAOYSA-N -1 1 300.307 1.223 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1CCOc1cccc(Cl)c1 ZINC000738372749 598677484 /nfs/dbraw/zinc/67/74/84/598677484.db2.gz UOXYXINEAWNTFA-UHFFFAOYSA-N -1 1 317.736 1.761 20 0 DDADMM CCc1nnc([C@@H](C)OC(=O)c2sccc2-c2nn[n-]n2)o1 ZINC000736706416 598722841 /nfs/dbraw/zinc/72/28/41/598722841.db2.gz LEAYPWJANDEJJQ-ZCFIWIBFSA-N -1 1 320.334 1.792 20 0 DDADMM CC[C@H](Cc1ccccc1)C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000736349131 598779402 /nfs/dbraw/zinc/77/94/02/598779402.db2.gz DSAGTRAXQDWOLE-LLVKDONJSA-N -1 1 311.349 1.555 20 0 DDADMM CC(C)(C)[C@H]1OCCC[C@H]1CNc1nccnc1-c1nnn[n-]1 ZINC000735595498 599304991 /nfs/dbraw/zinc/30/49/91/599304991.db2.gz GCLCFHSHHSAUFU-JQWIXIFHSA-N -1 1 317.397 1.910 20 0 DDADMM C[C@H](C(=O)n1ncc(-c2nn[n-]n2)c1N)c1cccc(F)c1 ZINC000819882565 599315209 /nfs/dbraw/zinc/31/52/09/599315209.db2.gz BNWZEQWVMHZSAK-ZETCQYMHSA-N -1 1 301.285 1.228 20 0 DDADMM COC(=O)c1ccc(CNc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000736947229 599393934 /nfs/dbraw/zinc/39/39/34/599393934.db2.gz GVJUKTIUOBTMPD-UHFFFAOYSA-N -1 1 310.317 1.660 20 0 DDADMM COC(=O)c1ccc(CNc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000736947229 599393937 /nfs/dbraw/zinc/39/39/37/599393937.db2.gz GVJUKTIUOBTMPD-UHFFFAOYSA-N -1 1 310.317 1.660 20 0 DDADMM COC(=O)c1ccc(CN(C)c2cccc(-c3nnn[n-]3)n2)cc1 ZINC000736948451 599404988 /nfs/dbraw/zinc/40/49/88/599404988.db2.gz UZWDOHOBGFGOSD-UHFFFAOYSA-N -1 1 324.344 1.685 20 0 DDADMM COC(=O)c1ccc(CN(C)c2cccc(-c3nn[n-]n3)n2)cc1 ZINC000736948451 599404990 /nfs/dbraw/zinc/40/49/90/599404990.db2.gz UZWDOHOBGFGOSD-UHFFFAOYSA-N -1 1 324.344 1.685 20 0 DDADMM C[C@H]1CCOCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000824970930 608416857 /nfs/dbraw/zinc/41/68/57/608416857.db2.gz ZDGFUAHYIADPEK-LURJTMIESA-N -1 1 300.775 1.592 20 0 DDADMM C[C@H]1CCOCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000824970930 608416858 /nfs/dbraw/zinc/41/68/58/608416858.db2.gz ZDGFUAHYIADPEK-LURJTMIESA-N -1 1 300.775 1.592 20 0 DDADMM COc1cccc2c1OC[C@@H](Nc1cccc(-c3nnn[n-]3)n1)C2 ZINC000737141365 599427009 /nfs/dbraw/zinc/42/70/09/599427009.db2.gz BSCODKFMBRISPI-NSHDSACASA-N -1 1 324.344 1.686 20 0 DDADMM COc1cccc2c1OC[C@@H](Nc1cccc(-c3nn[n-]n3)n1)C2 ZINC000737141365 599427011 /nfs/dbraw/zinc/42/70/11/599427011.db2.gz BSCODKFMBRISPI-NSHDSACASA-N -1 1 324.344 1.686 20 0 DDADMM c1nc(CCNc2c3ccccc3nnc2-c2nnn[n-]2)cs1 ZINC000823798774 599565833 /nfs/dbraw/zinc/56/58/33/599565833.db2.gz XZWLJVMLANQQMX-UHFFFAOYSA-N -1 1 324.373 1.921 20 0 DDADMM c1nc(CCNc2c3ccccc3nnc2-c2nn[n-]n2)cs1 ZINC000823798774 599565834 /nfs/dbraw/zinc/56/58/34/599565834.db2.gz XZWLJVMLANQQMX-UHFFFAOYSA-N -1 1 324.373 1.921 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)C=Cc1ccc(Cl)cc1 ZINC000378724523 599776138 /nfs/dbraw/zinc/77/61/38/599776138.db2.gz SPDVMXCVIZTWSL-YIALFULDSA-N -1 1 319.748 1.888 20 0 DDADMM CCOC(=O)c1cn(CN(C[C@@H](C)C(=O)[O-])C2CC2)nc1C ZINC000737155368 599932474 /nfs/dbraw/zinc/93/24/74/599932474.db2.gz UETIEJJHVJOXQQ-SNVBAGLBSA-N -1 1 309.366 1.511 20 0 DDADMM Cc1ccc(COC(=O)c2sccc2-c2nn[n-]n2)nc1 ZINC000822238376 607416055 /nfs/dbraw/zinc/41/60/55/607416055.db2.gz FHJLALACTDJOOA-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM CN1CCN(c2ccc(NC(=O)[C@@H]3C[C@@H]3C(=O)[O-])cc2)CC1 ZINC000737530920 600061990 /nfs/dbraw/zinc/06/19/90/600061990.db2.gz ZJDXRSFFZWQFFW-KGLIPLIRSA-N -1 1 303.362 1.098 20 0 DDADMM O=C([O-])CCCNC(=O)c1cccc(Br)c1O ZINC000738576558 600100960 /nfs/dbraw/zinc/10/09/60/600100960.db2.gz CILVHNYKBSDFCV-UHFFFAOYSA-N -1 1 302.124 1.749 20 0 DDADMM C[C@H]1C[C@@H](c2ccc(F)cc2)CN1Cn1cnc(C(=O)[O-])n1 ZINC000736764428 600259507 /nfs/dbraw/zinc/25/95/07/600259507.db2.gz WOPPVZPNZQKDHO-CMPLNLGQSA-N -1 1 304.325 1.951 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN([C@H]1CCN(c3ccccc3)C1=O)CC2 ZINC000739531327 600291478 /nfs/dbraw/zinc/29/14/78/600291478.db2.gz GVAFVVVHDCYDFO-GJZGRUSLSA-N -1 1 314.385 1.979 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(CC(=O)NCc1ccc(F)cc1)CC2 ZINC000405356861 600302411 /nfs/dbraw/zinc/30/24/11/600302411.db2.gz KKNOKAXQLSJRGM-AWEZNQCLSA-N -1 1 320.364 1.629 20 0 DDADMM CN(CCC(=O)N(C)CC(=O)[O-])Cc1ccc(F)cc1Cl ZINC000737411892 600306798 /nfs/dbraw/zinc/30/67/98/600306798.db2.gz CZJJKFGZDTZQJV-UHFFFAOYSA-N -1 1 316.760 1.844 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(CC(=O)NCc1cccs1)CC2 ZINC000405414090 600307405 /nfs/dbraw/zinc/30/74/05/600307405.db2.gz WXNFCANFIQOFFW-LBPRGKRZSA-N -1 1 308.403 1.551 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H](O)CN1CCOc1ccc2ccccc2c1 ZINC000318353158 600312802 /nfs/dbraw/zinc/31/28/02/600312802.db2.gz WULPUKIVVKRNCL-ZBFHGGJFSA-N -1 1 301.342 1.738 20 0 DDADMM CC[C@H](O)[C@@H]1CCCCN1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000736924247 600392167 /nfs/dbraw/zinc/39/21/67/600392167.db2.gz MNKDCKQHUJYRSE-GJZGRUSLSA-N -1 1 320.389 1.949 20 0 DDADMM Cc1cc(CNS(=O)(=O)c2cc(C(=O)[O-])ccc2C)n[nH]1 ZINC000738391416 600444614 /nfs/dbraw/zinc/44/46/14/600444614.db2.gz BYABMSZCPNWPRG-UHFFFAOYSA-N -1 1 309.347 1.203 20 0 DDADMM CC(C)c1ccc(S(=O)(=O)Nc2cnn(CC(=O)[O-])c2)cc1 ZINC000315083466 600529118 /nfs/dbraw/zinc/52/91/18/600529118.db2.gz KUFAHVPQWUOFBE-UHFFFAOYSA-N -1 1 323.374 1.892 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CCC[C@H]2CCCO)cc1 ZINC000738466207 600554437 /nfs/dbraw/zinc/55/44/37/600554437.db2.gz OKZVCEJSOISRDP-AWEZNQCLSA-N -1 1 306.362 1.560 20 0 DDADMM CC[C@@](C)(NCC(=O)NCC1(c2ccccc2)CC1)C(=O)[O-] ZINC000736860874 600560092 /nfs/dbraw/zinc/56/00/92/600560092.db2.gz BTVQZRPNXXJNNP-MRXNPFEDSA-N -1 1 304.390 1.677 20 0 DDADMM C[C@H](C(=O)N1CCCC[C@H]1C(=O)[O-])N(C)Cc1ccccc1 ZINC000262633061 600584164 /nfs/dbraw/zinc/58/41/64/600584164.db2.gz FTUQEPPFPNIRSC-HIFRSBDPSA-N -1 1 304.390 1.973 20 0 DDADMM C[C@@H](CC(=O)[O-])SCC1=Nc2ccccc2S(=O)(=O)N1 ZINC000736553509 600584472 /nfs/dbraw/zinc/58/44/72/600584472.db2.gz XZXLWNLSEMHGBO-QMMMGPOBSA-N -1 1 314.388 1.605 20 0 DDADMM COc1ccc([C@@H](NC(=O)c2[nH]nc(C)c2C)C(=O)[O-])cc1 ZINC000737964022 600617538 /nfs/dbraw/zinc/61/75/38/600617538.db2.gz DRFLWNVECUBFDQ-CYBMUJFWSA-N -1 1 303.318 1.591 20 0 DDADMM CC(C)C[C@@H](NC(=O)CN(C)CCc1cccs1)C(=O)[O-] ZINC000826785718 600847037 /nfs/dbraw/zinc/84/70/37/600847037.db2.gz CCZBGNCDFBBOLM-CYBMUJFWSA-N -1 1 312.435 1.838 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H](C(=O)N2CC[C@H](CN3CCOCC3)C2)C1 ZINC000833186020 600971703 /nfs/dbraw/zinc/97/17/03/600971703.db2.gz PZQGFXWTVVYWFZ-RBSFLKMASA-N -1 1 324.421 1.058 20 0 DDADMM C[C@](NCc1csc(CS(C)(=O)=O)n1)(C(=O)[O-])C1CC1 ZINC000827741132 601030657 /nfs/dbraw/zinc/03/06/57/601030657.db2.gz IXQRTBMDCDAJMK-GFCCVEGCSA-N -1 1 318.420 1.031 20 0 DDADMM Cc1sc(NC(=O)CN(C)Cc2nnc[nH]2)c(C(=O)[O-])c1C ZINC000833034588 601040933 /nfs/dbraw/zinc/04/09/33/601040933.db2.gz YRBFNGYZFBGFCE-UHFFFAOYSA-N -1 1 323.378 1.252 20 0 DDADMM Cc1cc(S(=O)(=O)NC2CCN(C)CC2)ccc1C(=O)[O-] ZINC000314750158 601106480 /nfs/dbraw/zinc/10/64/80/601106480.db2.gz ISJSLFHYIWHXLU-UHFFFAOYSA-N -1 1 312.391 1.066 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCc1nccn1CC(F)(F)F ZINC000831586689 601135052 /nfs/dbraw/zinc/13/50/52/601135052.db2.gz YFTVMSGBYQGWRV-LLVKDONJSA-N -1 1 309.288 1.415 20 0 DDADMM CCc1nsc(N2CCCN(C/C=C(/C)C(=O)[O-])CC2)n1 ZINC000830350624 601179399 /nfs/dbraw/zinc/17/93/99/601179399.db2.gz KLPSUTUEKCETJX-WZUFQYTHSA-N -1 1 310.423 1.644 20 0 DDADMM Cc1oc(S(=O)(=O)[N-]c2ccc3[nH+]ccn3c2)cc1C(=O)[O-] ZINC000833029766 601230879 /nfs/dbraw/zinc/23/08/79/601230879.db2.gz MDEVVEFQKQOGTQ-UHFFFAOYSA-N -1 1 321.314 1.735 20 0 DDADMM O=C([O-])c1nscc1S(=O)(=O)Nc1c(O)cccc1F ZINC000833345775 601485548 /nfs/dbraw/zinc/48/55/48/601485548.db2.gz XMGFBQCUVCNQMK-UHFFFAOYSA-N -1 1 318.307 1.487 20 0 DDADMM COc1cc(NC(=O)CN2C[C@@H](C)[C@H](C(=O)[O-])C2)cc(OC)c1 ZINC000832017699 601516091 /nfs/dbraw/zinc/51/60/91/601516091.db2.gz ZFLNZVUAQRBQPO-QMTHXVAHSA-N -1 1 322.361 1.295 20 0 DDADMM C[C@@H]1CN([C@@H]2CCN(c3ccccc3F)C2=O)C[C@H]1C(=O)[O-] ZINC000828431096 601542307 /nfs/dbraw/zinc/54/23/07/601542307.db2.gz FYJAOTJFUITCIG-JTNHKYCSSA-N -1 1 306.337 1.584 20 0 DDADMM C[C@@H](CS(=O)(=O)N(CCN(C)C)C1CCCCC1)C(=O)[O-] ZINC000827532058 601799591 /nfs/dbraw/zinc/79/95/91/601799591.db2.gz FROGPOFROXSUHD-LBPRGKRZSA-N -1 1 320.455 1.233 20 0 DDADMM CC(C)[C@H](NCC(=O)NC(=O)N[C@@H]1CCCC[C@@H]1C)C(=O)[O-] ZINC000818097685 601824553 /nfs/dbraw/zinc/82/45/53/601824553.db2.gz ZTRZUPQYOZMCOH-LOWVWBTDSA-N -1 1 313.398 1.090 20 0 DDADMM C[C@@H]1C(=O)N(CN2CC[C@@](C)(C(=O)[O-])C2)[C@@H]1c1ccccc1 ZINC000828142609 601891440 /nfs/dbraw/zinc/89/14/40/601891440.db2.gz ITDFSUXYVQZJRP-RVSPLBMKSA-N -1 1 302.374 1.960 20 0 DDADMM CN(C)C(=O)[C@@H](c1ccccc1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000830542430 601920635 /nfs/dbraw/zinc/92/06/35/601920635.db2.gz ANNDGJHVRBRHHW-DZGCQCFKSA-N -1 1 322.430 1.708 20 0 DDADMM C[C@@H](C(=O)N1CCC(C)CC1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000828276604 601922292 /nfs/dbraw/zinc/92/22/92/601922292.db2.gz DBGKCDUUWJNKIB-STQMWFEESA-N -1 1 314.451 1.526 20 0 DDADMM C[C@@H](C(=O)N1CCC(C)CC1)N1CCSC[C@H]1CC(=O)[O-] ZINC000828276602 601922747 /nfs/dbraw/zinc/92/27/47/601922747.db2.gz DBGKCDUUWJNKIB-QWHCGFSZSA-N -1 1 314.451 1.526 20 0 DDADMM CCOc1ccc(NC(=O)CN2CC[C@](C)(C(=O)[O-])C2)cc1 ZINC000387814564 601939807 /nfs/dbraw/zinc/93/98/07/601939807.db2.gz SNOPWMCRYPQNFF-INIZCTEOSA-N -1 1 306.362 1.820 20 0 DDADMM O=C1c2ccccc2C(=O)N1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826483746 607541511 /nfs/dbraw/zinc/54/15/11/607541511.db2.gz YPCDQKZHAYZHIV-UHFFFAOYSA-N -1 1 306.285 1.058 20 0 DDADMM O=C1c2ccccc2C(=O)N1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826483746 607541513 /nfs/dbraw/zinc/54/15/13/607541513.db2.gz YPCDQKZHAYZHIV-UHFFFAOYSA-N -1 1 306.285 1.058 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)NC[C@@H]1CCCN(C(=O)[O-])C1 ZINC000740030545 602052983 /nfs/dbraw/zinc/05/29/83/602052983.db2.gz ITSHYTARJBKIBQ-LBPRGKRZSA-N -1 1 323.397 1.340 20 0 DDADMM O=C([O-])NC1CCC(C(=O)Nc2nc(-c3ccco3)n[nH]2)CC1 ZINC000740552561 602062937 /nfs/dbraw/zinc/06/29/37/602062937.db2.gz KABKGWGVYMEPLX-UHFFFAOYSA-N -1 1 319.321 1.830 20 0 DDADMM COc1cccc([C@@H](CNC(=O)[C@@H](C)CC(=O)[O-])N(C)C)c1 ZINC000832534084 602077975 /nfs/dbraw/zinc/07/79/75/602077975.db2.gz SGSSNIDVLWPVGY-SMDDNHRTSA-N -1 1 308.378 1.525 20 0 DDADMM CC(C)C[C@H]1CCC(=O)N(CN2CCC[C@@H](C(=O)[O-])C2)C1=O ZINC000826832552 602082097 /nfs/dbraw/zinc/08/20/97/602082097.db2.gz UAJOWBKUTHLBKT-CHWSQXEVSA-N -1 1 310.394 1.552 20 0 DDADMM CS(=O)(=O)CCCN1CCC[C@H]([C@H]2CCCN2C(=O)[O-])C1 ZINC000739985524 602315135 /nfs/dbraw/zinc/31/51/35/602315135.db2.gz YGARXQZZNPZMBV-QWHCGFSZSA-N -1 1 318.439 1.276 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000739017921 602444657 /nfs/dbraw/zinc/44/46/57/602444657.db2.gz ZCLNOVBMPDMDTQ-RYUDHWBXSA-N -1 1 311.426 1.802 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CCC[C@@H](NC(=O)[O-])C1 ZINC000737951666 602457833 /nfs/dbraw/zinc/45/78/33/602457833.db2.gz WCEVIDJBMPEBNZ-CABCVRRESA-N -1 1 319.405 1.418 20 0 DDADMM C[C@@H](CCNC(=O)[C@H](Cc1ccccc1)N(C)C)NC(=O)[O-] ZINC000738896517 602458291 /nfs/dbraw/zinc/45/82/91/602458291.db2.gz FITCFYGNPUXWPZ-JSGCOSHPSA-N -1 1 307.394 1.322 20 0 DDADMM CN(Cc1ccccc1)C(=O)CN1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000739658763 602541332 /nfs/dbraw/zinc/54/13/32/602541332.db2.gz HBKWXJUXWXLVJN-HNNXBMFYSA-N -1 1 319.405 1.577 20 0 DDADMM Cc1cccc(CNC(=O)CN2CC[C@@H](CNC(=O)[O-])C2)c1 ZINC000740168463 602552632 /nfs/dbraw/zinc/55/26/32/602552632.db2.gz APMSPSRIWXJOQJ-AWEZNQCLSA-N -1 1 305.378 1.201 20 0 DDADMM C[C@H](CNC(=O)[O-])N(C)Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC000738940019 602561272 /nfs/dbraw/zinc/56/12/72/602561272.db2.gz JAQRIAPELYDZJH-SECBINFHSA-N -1 1 324.768 1.436 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(C(=O)N[C@H]2CCCN(C)C2)CC1 ZINC000739009520 602705118 /nfs/dbraw/zinc/70/51/18/602705118.db2.gz UPVGVKNPMDFUNN-YPMHNXCESA-N -1 1 312.414 1.158 20 0 DDADMM CC(C)[C@H](CNC(=O)Cc1[nH]nc2ccccc21)NC(=O)[O-] ZINC000738778947 602775402 /nfs/dbraw/zinc/77/54/02/602775402.db2.gz UZUGMGBNOCWROI-ZDUSSCGKSA-N -1 1 304.350 1.514 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)c1ccc(CNC(=O)[O-])cc1 ZINC000738802991 602818548 /nfs/dbraw/zinc/81/85/48/602818548.db2.gz ZVSYNSNGBGBJRY-HNNXBMFYSA-N -1 1 319.405 1.866 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)NC[C@@H](NC(=O)[O-])C(C)C ZINC000740031122 602828287 /nfs/dbraw/zinc/82/82/87/602828287.db2.gz MKKSOZBOHBLPJV-GFCCVEGCSA-N -1 1 311.386 1.242 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(NC(=O)[O-])cc1[O-])[N@H+](C)C1CC1 ZINC000738938554 602857659 /nfs/dbraw/zinc/85/76/59/602857659.db2.gz DZDZLIDQZWOSFD-VIFPVBQESA-N -1 1 307.350 1.695 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(NC(=O)[O-])cc1[O-])[N@@H+](C)C1CC1 ZINC000738938554 602857662 /nfs/dbraw/zinc/85/76/62/602857662.db2.gz DZDZLIDQZWOSFD-VIFPVBQESA-N -1 1 307.350 1.695 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)N[C@H]1CCCN(C(=O)[O-])C1 ZINC000736397537 602914826 /nfs/dbraw/zinc/91/48/26/602914826.db2.gz YZIICQMYDUEKNC-STQMWFEESA-N -1 1 312.414 1.110 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)NC1CCN(C(=O)[O-])CC1 ZINC000736820856 602994645 /nfs/dbraw/zinc/99/46/45/602994645.db2.gz HGWOWJZAFROEFU-GXTWGEPZSA-N -1 1 324.425 1.397 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000739229849 603032827 /nfs/dbraw/zinc/03/28/27/603032827.db2.gz FPSGRNBSPFKIIK-ZWNOBZJWSA-N -1 1 317.349 1.888 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccncc1F ZINC000826467335 607609710 /nfs/dbraw/zinc/60/97/10/607609710.db2.gz RLYBISASGXPGQW-UHFFFAOYSA-N -1 1 300.253 1.153 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccncc1F ZINC000826467335 607609712 /nfs/dbraw/zinc/60/97/12/607609712.db2.gz RLYBISASGXPGQW-UHFFFAOYSA-N -1 1 300.253 1.153 20 0 DDADMM C[C@H]1CN(C(=O)[O-])CCN1C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000739152165 603198191 /nfs/dbraw/zinc/19/81/91/603198191.db2.gz HRNKHOKJFVVPIY-NSHDSACASA-N -1 1 314.345 1.901 20 0 DDADMM C[C@H](C(=O)Nc1ccc(F)cc1F)N(C)CCCNC(=O)[O-] ZINC000738631257 603248694 /nfs/dbraw/zinc/24/86/94/603248694.db2.gz NEAXXQDTPASWON-SECBINFHSA-N -1 1 315.320 1.881 20 0 DDADMM C[C@H](C(=O)Nc1cc(F)ccc1F)N(C)CCCNC(=O)[O-] ZINC000738630343 603248793 /nfs/dbraw/zinc/24/87/93/603248793.db2.gz QSRCGDDTIRYXEB-SECBINFHSA-N -1 1 315.320 1.881 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CC[C@@H](NC(=O)[O-])[C@H](C)C2)c1 ZINC000740054976 603345752 /nfs/dbraw/zinc/34/57/52/603345752.db2.gz WVAZRWOBAOXQSH-ZWNOBZJWSA-N -1 1 316.361 1.990 20 0 DDADMM C[C@@H](CCNC(=O)Nc1cnn(-c2ccncc2)c1)NC(=O)[O-] ZINC000824793949 603555061 /nfs/dbraw/zinc/55/50/61/603555061.db2.gz QWVPDSWFXVBRFF-JTQLQIEISA-N -1 1 318.337 1.435 20 0 DDADMM O=C([O-])NCCCCCCC(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000832612925 603562508 /nfs/dbraw/zinc/56/25/08/603562508.db2.gz JQDHNIFWANFGSG-UHFFFAOYSA-N -1 1 323.397 1.729 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1CCn1cnc2ccccc2c1=O ZINC000832573775 603576389 /nfs/dbraw/zinc/57/63/89/603576389.db2.gz SKHDUZFKPSTBFP-GFCCVEGCSA-N -1 1 316.361 1.129 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N(C)[C@H]1CCN(C(=O)[O-])C1 ZINC000828001589 603711314 /nfs/dbraw/zinc/71/13/14/603711314.db2.gz SZNXJJZHXDHTGB-LSDHHAIUSA-N -1 1 319.405 1.370 20 0 DDADMM C[C@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)[C@H]1CCCN(C(=O)[O-])C1 ZINC000825094238 603942916 /nfs/dbraw/zinc/94/29/16/603942916.db2.gz RCGNAYGUMJRCSS-SDDRHHMPSA-N -1 1 320.393 1.724 20 0 DDADMM CN(Cc1ccc(-n2ccnc2)nc1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828350491 603969596 /nfs/dbraw/zinc/96/95/96/603969596.db2.gz JMBNTTGISVDJQO-CYBMUJFWSA-N -1 1 301.350 1.451 20 0 DDADMM O=C([O-])N(CCCNC(=O)[C@H]1CCCc2[nH]ncc21)C1CC1 ZINC000831671531 603998862 /nfs/dbraw/zinc/99/88/62/603998862.db2.gz ACJVWWXFDVLADE-NSHDSACASA-N -1 1 306.366 1.478 20 0 DDADMM O=S(=O)(c1ccc(F)cc1-c1nn[n-]n1)N1C[C@H]2CCC[C@H]21 ZINC000826495077 607674594 /nfs/dbraw/zinc/67/45/94/607674594.db2.gz BLMHVDORCADTPE-LDYMZIIASA-N -1 1 323.353 1.179 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)N2CCC(C)CC2)C1 ZINC000825936797 604253596 /nfs/dbraw/zinc/25/35/96/604253596.db2.gz CQLYURDDOVVMCB-KBPBESRZSA-N -1 1 311.426 1.613 20 0 DDADMM CN(C[C@@H]1CCCN(CCCCS(C)(=O)=O)C1)C(=O)[O-] ZINC000828180828 604346643 /nfs/dbraw/zinc/34/66/43/604346643.db2.gz NFEPUSLVGUMFIZ-LBPRGKRZSA-N -1 1 306.428 1.133 20 0 DDADMM O=C([O-])N[C@@H]1CCN(C[C@@H](O)COc2ccc(Cl)cc2)C1 ZINC000740592926 604373696 /nfs/dbraw/zinc/37/36/96/604373696.db2.gz CNBMHYRREZSVBW-VXGBXAGGSA-N -1 1 314.769 1.422 20 0 DDADMM CN(C)[C@H](CNC(=O)C1(NC(=O)[O-])CC1)c1cccc(F)c1 ZINC000827994727 604422739 /nfs/dbraw/zinc/42/27/39/604422739.db2.gz NQHREODYXCHLRD-GFCCVEGCSA-N -1 1 309.341 1.345 20 0 DDADMM C[C@H]1CN(C)C[C@H](C)N1C(=O)CCc1ccc(C(=O)[O-])cc1 ZINC000833627912 604581035 /nfs/dbraw/zinc/58/10/35/604581035.db2.gz XJYIHNIRWVSTSC-STQMWFEESA-N -1 1 304.390 1.868 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H]1CC(=O)[O-])N(C)Cc1ccccc1 ZINC000825982523 604603361 /nfs/dbraw/zinc/60/33/61/604603361.db2.gz IBHOLLYSDJBKSV-DZGCQCFKSA-N -1 1 304.390 1.973 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H]3CC[C@@H](NC(=O)[O-])C3)C2)n[nH]1 ZINC000830732863 604646060 /nfs/dbraw/zinc/64/60/60/604646060.db2.gz DWVZSGWRJYAQCK-IJLUTSLNSA-N -1 1 321.381 1.255 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCN(c3ccccc3)CC2)O1 ZINC000833578181 604821654 /nfs/dbraw/zinc/82/16/54/604821654.db2.gz IBYWWLKASJJCBT-OAHLLOKOSA-N -1 1 302.374 1.956 20 0 DDADMM C[C@H]1[C@H](C)N(CC(=O)Nc2cccc(C(=O)[O-])c2)CCN1C ZINC000833589383 604854562 /nfs/dbraw/zinc/85/45/62/604854562.db2.gz VTLGLEGYISCAEM-RYUDHWBXSA-N -1 1 305.378 1.348 20 0 DDADMM CC1CCN(CC(=O)N[C@@H]2CCCC[C@@H]2CNC(=O)[O-])CC1 ZINC000825945777 604863247 /nfs/dbraw/zinc/86/32/47/604863247.db2.gz ZQRAAZNBEAJGBU-ZIAGYGMSSA-N -1 1 311.426 1.661 20 0 DDADMM O=C([O-])N1CC(NC(=O)[C@H]2CCCCN2Cc2ccccc2)C1 ZINC000831610650 604951883 /nfs/dbraw/zinc/95/18/83/604951883.db2.gz PHULZODHOFHDKV-OAHLLOKOSA-N -1 1 317.389 1.520 20 0 DDADMM O=C([O-])[C@H](O)C1CCN(C[C@H](O)c2cccc(Cl)c2)CC1 ZINC000833771284 604979578 /nfs/dbraw/zinc/97/95/78/604979578.db2.gz ZLTAEYDIUCYOER-UONOGXRCSA-N -1 1 313.781 1.531 20 0 DDADMM O=C([O-])[C@@H](NCc1cnn(CC(F)(F)F)c1)c1cccnc1 ZINC000833170626 605009147 /nfs/dbraw/zinc/00/91/47/605009147.db2.gz ZOVAUNCXNZXWQH-NSHDSACASA-N -1 1 314.267 1.756 20 0 DDADMM CC(C)Oc1cccc([C@H](C)NCC(=O)NCCC(=O)[O-])c1 ZINC000833507136 605069964 /nfs/dbraw/zinc/06/99/64/605069964.db2.gz VNVWHNIQYTXCOV-LBPRGKRZSA-N -1 1 308.378 1.715 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1COc2ccccc21 ZINC000833624335 605100287 /nfs/dbraw/zinc/10/02/87/605100287.db2.gz ULGQSUTZFWFAOK-GXTWGEPZSA-N -1 1 318.373 1.170 20 0 DDADMM CCn1cc(CNCc2c(C)nn(CCC(=O)[O-])c2C)cn1 ZINC000833682517 605108786 /nfs/dbraw/zinc/10/87/86/605108786.db2.gz ORKLNVCFFLNNLN-UHFFFAOYSA-N -1 1 305.382 1.481 20 0 DDADMM Cc1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)ccc1F ZINC000833741921 605110590 /nfs/dbraw/zinc/11/05/90/605110590.db2.gz CGNFRPXDZUEIHP-LBPRGKRZSA-N -1 1 308.353 1.755 20 0 DDADMM C[C@@H]1CC[C@H](CCC(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)O1 ZINC000833602652 605111682 /nfs/dbraw/zinc/11/16/82/605111682.db2.gz JWKOVWSOWDGPAW-BFHYXJOUSA-N -1 1 312.410 1.342 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CC[C@H](NC(=O)[O-])C2)o1 ZINC000739411240 605127045 /nfs/dbraw/zinc/12/70/45/605127045.db2.gz REMLVIDIEXTCCC-NSHDSACASA-N -1 1 309.366 1.604 20 0 DDADMM C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1CN1C[C@@H](C(=O)[O-])CC1=O ZINC000833598612 605172896 /nfs/dbraw/zinc/17/28/96/605172896.db2.gz SFXRDERXUWFKOP-BNOWGMLFSA-N -1 1 320.364 1.894 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1CCC(=O)c1ccc(F)cc1 ZINC000833628187 605245163 /nfs/dbraw/zinc/24/51/63/605245163.db2.gz CROZXAOHUQXPKK-ZDUSSCGKSA-N -1 1 322.380 1.879 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3c(c2)OCO3)CCN1CCC(=O)[O-] ZINC000833621058 605257225 /nfs/dbraw/zinc/25/72/25/605257225.db2.gz JKOGVBYFXAEZNJ-NSHDSACASA-N -1 1 320.345 1.036 20 0 DDADMM C[C@]1(C(=O)Nc2ncc(C(F)(F)F)[nH]2)CCN(C(=O)[O-])C1 ZINC000825685370 605293237 /nfs/dbraw/zinc/29/32/37/605293237.db2.gz YKJINNWTMSPJTF-JTQLQIEISA-N -1 1 306.244 1.757 20 0 DDADMM CCN(CCCNC(=O)N[C@H](C)Cc1cc(C)[nH]n1)C(=O)[O-] ZINC000827124761 605295452 /nfs/dbraw/zinc/29/54/52/605295452.db2.gz UGXTTYOZCXPMOX-SNVBAGLBSA-N -1 1 311.386 1.338 20 0 DDADMM O=C([O-])N[C@H]1CC[C@@H](C(=O)Nc2ccccc2-c2nnc[nH]2)C1 ZINC000834169286 605381559 /nfs/dbraw/zinc/38/15/59/605381559.db2.gz KURWAZTXKJNZMB-ZJUUUORDSA-N -1 1 315.333 1.847 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)CN(C(=O)[O-])c2ccccc2)n[nH]1 ZINC000830030954 605436038 /nfs/dbraw/zinc/43/60/38/605436038.db2.gz RSXHPEQOWWRIMP-NSHDSACASA-N -1 1 316.361 1.950 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(CC(=O)Nc3ccccc3)C[C@@H]21 ZINC000834184351 605443892 /nfs/dbraw/zinc/44/38/92/605443892.db2.gz GOZSYBNLLOMZAS-VHDGCEQUSA-N -1 1 317.389 1.993 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@@H]2CC[N@H+]3CCCC[C@@H]23)c(O)c1 ZINC000834258630 605643305 /nfs/dbraw/zinc/64/33/05/605643305.db2.gz ZZBTYIBZRAACKY-OLZOCXBDSA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@@H]2CC[N@@H+]3CCCC[C@@H]23)c([O-])c1 ZINC000834258630 605643307 /nfs/dbraw/zinc/64/33/07/605643307.db2.gz ZZBTYIBZRAACKY-OLZOCXBDSA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@@H]2CC[N@H+]3CCCC[C@@H]23)c([O-])c1 ZINC000834258630 605643309 /nfs/dbraw/zinc/64/33/09/605643309.db2.gz ZZBTYIBZRAACKY-OLZOCXBDSA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])NCCCC(=O)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC000834229373 605692377 /nfs/dbraw/zinc/69/23/77/605692377.db2.gz GMLMIJLMKYPARO-LBPRGKRZSA-N -1 1 303.322 1.058 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CCN(C(=O)[O-])C[C@@H]1C)C2 ZINC000833916324 605856507 /nfs/dbraw/zinc/85/65/07/605856507.db2.gz PUYQVDBOLWFNGS-GXSJLCMTSA-N -1 1 306.366 1.034 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CCC[C@H]1CN(C)C(=O)[O-])C2 ZINC000833916450 605856659 /nfs/dbraw/zinc/85/66/59/605856659.db2.gz RJUCYFPVYVPGLI-NEPJUHHUSA-N -1 1 320.393 1.424 20 0 DDADMM O=C([O-])NCCCCC(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000834236629 605924215 /nfs/dbraw/zinc/92/42/15/605924215.db2.gz VCSSKEIZMFXTJR-UHFFFAOYSA-N -1 1 322.340 1.799 20 0 DDADMM CCc1nc(CONC(=N)[C@@H]2COCCN2C(=O)[O-])cs1 ZINC000833865958 605924451 /nfs/dbraw/zinc/92/44/51/605924451.db2.gz JCIMGEQZIGQVNF-VIFPVBQESA-N -1 1 314.367 1.083 20 0 DDADMM O=C([O-])N(CC(=O)Nc1ccn(-c2ccncc2)n1)C1CC1 ZINC000834003623 605974019 /nfs/dbraw/zinc/97/40/19/605974019.db2.gz MWBCBMSLUOXOME-UHFFFAOYSA-N -1 1 301.306 1.348 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCC2CCCC2)n1 ZINC000822614847 606167651 /nfs/dbraw/zinc/16/76/51/606167651.db2.gz UYVNLEFZBSCGAJ-UHFFFAOYSA-N -1 1 304.358 1.302 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCC2CCCC2)n1 ZINC000822614847 606167652 /nfs/dbraw/zinc/16/76/52/606167652.db2.gz UYVNLEFZBSCGAJ-UHFFFAOYSA-N -1 1 304.358 1.302 20 0 DDADMM C[C@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)c1cnccn1 ZINC000820513127 606205393 /nfs/dbraw/zinc/20/53/93/606205393.db2.gz VHRPZSUGHVHLRR-ZETCQYMHSA-N -1 1 302.319 1.636 20 0 DDADMM C[C@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)c1cnccn1 ZINC000820513127 606205394 /nfs/dbraw/zinc/20/53/94/606205394.db2.gz VHRPZSUGHVHLRR-ZETCQYMHSA-N -1 1 302.319 1.636 20 0 DDADMM Cc1csc(N(C)C(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000822414270 606513492 /nfs/dbraw/zinc/51/34/92/606513492.db2.gz AUTSBURDCYKVFX-UHFFFAOYSA-N -1 1 306.376 1.970 20 0 DDADMM Cc1csc(N(C)C(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000822414270 606513493 /nfs/dbraw/zinc/51/34/93/606513493.db2.gz AUTSBURDCYKVFX-UHFFFAOYSA-N -1 1 306.376 1.970 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nnn[n-]1)c1ccc(-n2cccn2)cc1 ZINC000823290056 606533535 /nfs/dbraw/zinc/53/35/35/606533535.db2.gz SUNYJSQEMKSGCW-UHFFFAOYSA-N -1 1 321.304 1.028 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nn[n-]n1)c1ccc(-n2cccn2)cc1 ZINC000823290056 606533536 /nfs/dbraw/zinc/53/35/36/606533536.db2.gz SUNYJSQEMKSGCW-UHFFFAOYSA-N -1 1 321.304 1.028 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)C[C@@H](C)O1 ZINC000820988327 606558170 /nfs/dbraw/zinc/55/81/70/606558170.db2.gz FPCSGXVKVMZWLJ-BDAKNGLRSA-N -1 1 307.379 1.568 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)C[C@@H](C)O1 ZINC000820988327 606558172 /nfs/dbraw/zinc/55/81/72/606558172.db2.gz FPCSGXVKVMZWLJ-BDAKNGLRSA-N -1 1 307.379 1.568 20 0 DDADMM CCC1(O)CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000820967724 606574269 /nfs/dbraw/zinc/57/42/69/606574269.db2.gz UBUTVNFOLPHHDM-UHFFFAOYSA-N -1 1 307.379 1.305 20 0 DDADMM CCC1(O)CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000820967724 606574270 /nfs/dbraw/zinc/57/42/70/606574270.db2.gz UBUTVNFOLPHHDM-UHFFFAOYSA-N -1 1 307.379 1.305 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccc2c(c1)COC2 ZINC000823436139 606648632 /nfs/dbraw/zinc/64/86/32/606648632.db2.gz ITIVBPQHFUNVOD-UHFFFAOYSA-N -1 1 323.312 1.649 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc2c(c1)COC2 ZINC000823436139 606648633 /nfs/dbraw/zinc/64/86/33/606648633.db2.gz ITIVBPQHFUNVOD-UHFFFAOYSA-N -1 1 323.312 1.649 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)[C@@H]1Cc2ccccc21 ZINC000823436125 606652250 /nfs/dbraw/zinc/65/22/50/606652250.db2.gz HXIPPGYJRNLLQH-CYBMUJFWSA-N -1 1 307.313 1.645 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)[C@@H]1Cc2ccccc21 ZINC000823436125 606652251 /nfs/dbraw/zinc/65/22/51/606652251.db2.gz HXIPPGYJRNLLQH-CYBMUJFWSA-N -1 1 307.313 1.645 20 0 DDADMM C[C@H](CC(=O)OCc1ccnc(-c2nnn[n-]2)c1)n1cccc1 ZINC000820227141 606653295 /nfs/dbraw/zinc/65/32/95/606653295.db2.gz YZDAMTICMLIVHF-LLVKDONJSA-N -1 1 312.333 1.758 20 0 DDADMM C[C@H](CC(=O)OCc1ccnc(-c2nn[n-]n2)c1)n1cccc1 ZINC000820227141 606653296 /nfs/dbraw/zinc/65/32/96/606653296.db2.gz YZDAMTICMLIVHF-LLVKDONJSA-N -1 1 312.333 1.758 20 0 DDADMM O=C(NCC1(CO)CCCCC1)c1ccc(-c2nnn[n-]2)s1 ZINC000823171274 606826334 /nfs/dbraw/zinc/82/63/34/606826334.db2.gz SFWYISFSGNMORC-UHFFFAOYSA-N -1 1 321.406 1.601 20 0 DDADMM O=C(NCC1(CO)CCCCC1)c1ccc(-c2nn[n-]n2)s1 ZINC000823171274 606826336 /nfs/dbraw/zinc/82/63/36/606826336.db2.gz SFWYISFSGNMORC-UHFFFAOYSA-N -1 1 321.406 1.601 20 0 DDADMM CC[C@H]1CCC[C@@H]1NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820981882 606848728 /nfs/dbraw/zinc/84/87/28/606848728.db2.gz XEGIVOWMMOFGHX-ONGXEEELSA-N -1 1 322.394 1.119 20 0 DDADMM CC[C@H]1CCC[C@@H]1NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820981882 606848730 /nfs/dbraw/zinc/84/87/30/606848730.db2.gz XEGIVOWMMOFGHX-ONGXEEELSA-N -1 1 322.394 1.119 20 0 DDADMM CN(CCC1CCOCC1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821599457 606873259 /nfs/dbraw/zinc/87/32/59/606873259.db2.gz VNAPNAVVFYDTSY-UHFFFAOYSA-N -1 1 316.365 1.150 20 0 DDADMM CN(CCC1CCOCC1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821599457 606873261 /nfs/dbraw/zinc/87/32/61/606873261.db2.gz VNAPNAVVFYDTSY-UHFFFAOYSA-N -1 1 316.365 1.150 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)c2cccc(Cl)c2F)n1 ZINC000822620238 606959867 /nfs/dbraw/zinc/95/98/67/606959867.db2.gz NQCGINGNRBHXFB-UHFFFAOYSA-N -1 1 321.703 1.645 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)c2cccc(Cl)c2F)n1 ZINC000822620238 606959870 /nfs/dbraw/zinc/95/98/70/606959870.db2.gz NQCGINGNRBHXFB-UHFFFAOYSA-N -1 1 321.703 1.645 20 0 DDADMM C[C@H](Nc1nccnc1-c1nnn[n-]1)[C@@H]1COc2ccccc21 ZINC000824670512 607850224 /nfs/dbraw/zinc/85/02/24/607850224.db2.gz QFZSXTWKNIJCQY-ONGXEEELSA-N -1 1 309.333 1.633 20 0 DDADMM C[C@H](Nc1nccnc1-c1nn[n-]n1)[C@@H]1COc2ccccc21 ZINC000824670512 607850225 /nfs/dbraw/zinc/85/02/25/607850225.db2.gz QFZSXTWKNIJCQY-ONGXEEELSA-N -1 1 309.333 1.633 20 0 DDADMM C[C@H](O)CN(Cc1ccccc1)c1cccc(-c2nnn[n-]2)n1 ZINC000824707131 607902913 /nfs/dbraw/zinc/90/29/13/607902913.db2.gz NZMTXSHGKHVRFE-LBPRGKRZSA-N -1 1 310.361 1.649 20 0 DDADMM C[C@H](O)CN(Cc1ccccc1)c1cccc(-c2nn[n-]n2)n1 ZINC000824707131 607902914 /nfs/dbraw/zinc/90/29/14/607902914.db2.gz NZMTXSHGKHVRFE-LBPRGKRZSA-N -1 1 310.361 1.649 20 0 DDADMM CC(C)C(=O)NCCCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000824178364 607933939 /nfs/dbraw/zinc/93/39/39/607933939.db2.gz RBRYCMFDPAGDDN-UHFFFAOYSA-N -1 1 323.788 1.489 20 0 DDADMM CC(C)C(=O)NCCCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000824178364 607933940 /nfs/dbraw/zinc/93/39/40/607933940.db2.gz RBRYCMFDPAGDDN-UHFFFAOYSA-N -1 1 323.788 1.489 20 0 DDADMM c1ccc(NCCCCNc2ccc(-c3nn[n-]n3)nn2)nc1 ZINC000826522196 607990992 /nfs/dbraw/zinc/99/09/92/607990992.db2.gz QEDOSUSLQVNNOQ-UHFFFAOYSA-N -1 1 311.353 1.356 20 0 DDADMM CCCn1c(C)nnc1SCc1ccnc(-c2nnn[n-]2)c1 ZINC000825357068 608017024 /nfs/dbraw/zinc/01/70/24/608017024.db2.gz XBEXIIZWIXFMEI-UHFFFAOYSA-N -1 1 316.394 1.864 20 0 DDADMM CCCn1c(C)nnc1SCc1ccnc(-c2nn[n-]n2)c1 ZINC000825357068 608017025 /nfs/dbraw/zinc/01/70/25/608017025.db2.gz XBEXIIZWIXFMEI-UHFFFAOYSA-N -1 1 316.394 1.864 20 0 DDADMM C[C@@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)[C@@H](C)CO1 ZINC000824999393 608173551 /nfs/dbraw/zinc/17/35/51/608173551.db2.gz GONVKSQGNOQWME-NTSWFWBYSA-N -1 1 300.775 1.590 20 0 DDADMM C[C@@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)[C@@H](C)CO1 ZINC000824999393 608173554 /nfs/dbraw/zinc/17/35/54/608173554.db2.gz GONVKSQGNOQWME-NTSWFWBYSA-N -1 1 300.775 1.590 20 0 DDADMM CCOC(=O)C[C@H](C)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000825499864 608190623 /nfs/dbraw/zinc/19/06/23/608190623.db2.gz WDMPXRFBURPWGZ-YFKPBYRVSA-N -1 1 316.774 1.730 20 0 DDADMM CCOC(=O)C[C@H](C)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000825499864 608190624 /nfs/dbraw/zinc/19/06/24/608190624.db2.gz WDMPXRFBURPWGZ-YFKPBYRVSA-N -1 1 316.774 1.730 20 0 DDADMM CCCc1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n(C)n1 ZINC000825348627 608272541 /nfs/dbraw/zinc/27/25/41/608272541.db2.gz SOZZWHAEZYYION-UHFFFAOYSA-N -1 1 312.337 1.200 20 0 DDADMM CCCc1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n(C)n1 ZINC000825348627 608272542 /nfs/dbraw/zinc/27/25/42/608272542.db2.gz SOZZWHAEZYYION-UHFFFAOYSA-N -1 1 312.337 1.200 20 0 DDADMM COCCOc1ccc(Nc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826162840 608398979 /nfs/dbraw/zinc/39/89/79/608398979.db2.gz UPBWPBFOCKTLQH-UHFFFAOYSA-N -1 1 313.321 1.426 20 0 DDADMM COCCOc1ccc(Nc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826162840 608398981 /nfs/dbraw/zinc/39/89/81/608398981.db2.gz UPBWPBFOCKTLQH-UHFFFAOYSA-N -1 1 313.321 1.426 20 0 DDADMM CC(C)[C@@H]1OCCC[C@@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000824229177 608428566 /nfs/dbraw/zinc/42/85/66/608428566.db2.gz OTYNWFCZPUKJLJ-MFKMUULPSA-N -1 1 303.370 1.520 20 0 DDADMM CC(C)[C@@H]1OCCC[C@@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000824229177 608428568 /nfs/dbraw/zinc/42/85/68/608428568.db2.gz OTYNWFCZPUKJLJ-MFKMUULPSA-N -1 1 303.370 1.520 20 0 DDADMM c1cn(C2CCCC2)nc1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826524820 608434883 /nfs/dbraw/zinc/43/48/83/608434883.db2.gz LKACWRVTSYQQFM-UHFFFAOYSA-N -1 1 311.353 1.580 20 0 DDADMM c1cn(C2CCCC2)nc1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826524820 608434885 /nfs/dbraw/zinc/43/48/85/608434885.db2.gz LKACWRVTSYQQFM-UHFFFAOYSA-N -1 1 311.353 1.580 20 0 DDADMM FC(F)(F)c1csc(Cn2ccnc2-c2nnn[n-]2)n1 ZINC000826369027 608890342 /nfs/dbraw/zinc/89/03/42/608890342.db2.gz JOXUHSRBGBBGCL-UHFFFAOYSA-N -1 1 301.257 1.587 20 0 DDADMM FC(F)(F)c1csc(Cn2ccnc2-c2nn[n-]n2)n1 ZINC000826369027 608890345 /nfs/dbraw/zinc/89/03/45/608890345.db2.gz JOXUHSRBGBBGCL-UHFFFAOYSA-N -1 1 301.257 1.587 20 0 DDADMM COCc1noc(CSCc2ccnc(-c3nnn[n-]3)c2)n1 ZINC000826165843 609185039 /nfs/dbraw/zinc/18/50/39/609185039.db2.gz LYGTUFAIAFQYHH-UHFFFAOYSA-N -1 1 319.350 1.225 20 0 DDADMM COCc1noc(CSCc2ccnc(-c3nn[n-]n3)c2)n1 ZINC000826165843 609185041 /nfs/dbraw/zinc/18/50/41/609185041.db2.gz LYGTUFAIAFQYHH-UHFFFAOYSA-N -1 1 319.350 1.225 20 0 DDADMM O=C1CCCCN1Cn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000826479893 609310654 /nfs/dbraw/zinc/31/06/54/609310654.db2.gz LKZQVXMQDBUWKG-UHFFFAOYSA-N -1 1 324.344 1.152 20 0 DDADMM CC(=O)N1CCC[C@H]1[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000975962247 695884934 /nfs/dbraw/zinc/88/49/34/695884934.db2.gz WHKJZPPVLVAZMY-UONOGXRCSA-N -1 1 317.389 1.793 20 0 DDADMM C[C@](O)(CNC(=O)C(=O)c1ccc([O-])cc1)c1ccc(F)cc1 ZINC000798176262 700047128 /nfs/dbraw/zinc/04/71/28/700047128.db2.gz BORZKLGSENSANL-KRWDZBQOSA-N -1 1 317.316 1.738 20 0 DDADMM O=C(COC(=O)COc1ccccc1)[N-]C(=O)c1ccccc1 ZINC000005458540 696013791 /nfs/dbraw/zinc/01/37/91/696013791.db2.gz SDWSGVQGUCOWBB-UHFFFAOYSA-N -1 1 313.309 1.565 20 0 DDADMM CCC(Nc1ccc(Cl)cc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009771613 696037747 /nfs/dbraw/zinc/03/77/47/696037747.db2.gz MUOMQALHPAICOO-UHFFFAOYSA-N -1 1 309.778 1.947 20 0 DDADMM Cc1cc(C(N)=O)ccc1[N-]S(=O)(=O)c1ccc(C#N)cc1 ZINC000032629872 696124985 /nfs/dbraw/zinc/12/49/85/696124985.db2.gz BROGGSSWCPSMEZ-UHFFFAOYSA-N -1 1 315.354 1.766 20 0 DDADMM COc1ccc(NC(=S)NC(C)C)cc1[N-]S(C)(=O)=O ZINC000044383581 696164088 /nfs/dbraw/zinc/16/40/88/696164088.db2.gz LORUGEYBUONLIR-UHFFFAOYSA-N -1 1 317.436 1.762 20 0 DDADMM O=C(CCc1ccc(-c2ccccc2)o1)NN1CC(=O)[N-]C1=O ZINC000053286112 696265651 /nfs/dbraw/zinc/26/56/51/696265651.db2.gz SQPXGMGKSDEFOK-UHFFFAOYSA-N -1 1 313.313 1.462 20 0 DDADMM Cn1c(CCNC(=O)c2ccc3nccn3c2)n[n-]c1=S ZINC000068393180 696372208 /nfs/dbraw/zinc/37/22/08/696372208.db2.gz ICCAYRGOYFLZIV-UHFFFAOYSA-N -1 1 302.363 1.098 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(N)=O ZINC000071795392 696392544 /nfs/dbraw/zinc/39/25/44/696392544.db2.gz PTDMINBLWOEFEP-CPCISQLKSA-N -1 1 306.334 1.143 20 0 DDADMM CC(F)(F)C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978740475 696450210 /nfs/dbraw/zinc/45/02/10/696450210.db2.gz FDWJBYDRDGFNGE-DTORHVGOSA-N -1 1 313.304 1.067 20 0 DDADMM C[C@H]1c2ccc(F)cc2CCN1C(=O)Cc1sc(N)nc1[O-] ZINC000080401046 696534230 /nfs/dbraw/zinc/53/42/30/696534230.db2.gz KWVBCXVRWDNMFN-QPUJVOFHSA-N -1 1 321.377 1.618 20 0 DDADMM CCC(CC)c1cc(CNC(=O)Cc2sc(N)nc2[O-])on1 ZINC000080495301 696534808 /nfs/dbraw/zinc/53/48/08/696534808.db2.gz AGBZUYGUIIWYTK-NSHDSACASA-N -1 1 324.406 1.541 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)[C@@H](C)Sc1ccccn1 ZINC000084978590 696562225 /nfs/dbraw/zinc/56/22/25/696562225.db2.gz AXEFTBXTFUGRBA-SECBINFHSA-N -1 1 323.447 1.941 20 0 DDADMM O=C(N[C@@H]1CC[C@H]2CN(C(=O)C3CC3)C[C@@H]21)c1ncccc1[O-] ZINC000979544677 696590771 /nfs/dbraw/zinc/59/07/71/696590771.db2.gz FYPDAJDCPLLHJW-RWMBFGLXSA-N -1 1 315.373 1.164 20 0 DDADMM CCCC[C@@H](COC)NC(=O)c1nnc2ccccc2c1O ZINC000119659162 696683494 /nfs/dbraw/zinc/68/34/94/696683494.db2.gz MVZAIJCTNXVBJO-NSHDSACASA-N -1 1 303.362 1.858 20 0 DDADMM C[C@@H](OCC1CC1)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000142590846 696869024 /nfs/dbraw/zinc/86/90/24/696869024.db2.gz QKPMKDJDZRXRCQ-LLVKDONJSA-N -1 1 305.330 1.301 20 0 DDADMM Cc1conc1CN1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000981026712 696982497 /nfs/dbraw/zinc/98/24/97/696982497.db2.gz LMTDJTAKPADWKL-UHFFFAOYSA-N -1 1 316.361 1.432 20 0 DDADMM Cc1nnc([C@@H](C)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)o1 ZINC000799172883 700125867 /nfs/dbraw/zinc/12/58/67/700125867.db2.gz FIAICRNCXDCIPJ-MRVPVSSYSA-N -1 1 320.315 1.830 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982386134 697119920 /nfs/dbraw/zinc/11/99/20/697119920.db2.gz RDRXMUJWIRUSOE-LBPRGKRZSA-N -1 1 305.378 1.412 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982465619 697134314 /nfs/dbraw/zinc/13/43/14/697134314.db2.gz JGFBOEQDFDOPQK-NEPJUHHUSA-N -1 1 305.378 1.412 20 0 DDADMM CCCc1ccc(N2C[C@@H](C(=O)[N-]OCCOC)CC2=O)cc1 ZINC000748770463 700133785 /nfs/dbraw/zinc/13/37/85/700133785.db2.gz UTJROAGWWGZUHY-AWEZNQCLSA-N -1 1 320.389 1.686 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CCCCN1Cc1ccc(F)cc1 ZINC000748771104 700134138 /nfs/dbraw/zinc/13/41/38/700134138.db2.gz ILGLWPWDMJYXTJ-OAHLLOKOSA-N -1 1 310.369 1.874 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982630954 697162309 /nfs/dbraw/zinc/16/23/09/697162309.db2.gz ZCBHPCZVTUNUGK-LLVKDONJSA-N -1 1 321.352 1.629 20 0 DDADMM CCC1(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000983246896 697231938 /nfs/dbraw/zinc/23/19/38/697231938.db2.gz HNBGZDFNAIQKQK-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM CC(=CC(=O)NCCCc1nc(=O)[n-][nH]1)c1cccc(F)c1 ZINC000176271777 697403679 /nfs/dbraw/zinc/40/36/79/697403679.db2.gz WBNOBEZWFOIYOS-CSKARUKUSA-N -1 1 304.325 1.389 20 0 DDADMM O=C(COC(=O)c1c([O-])cc(F)cc1F)NC[C@@H]1CCCO1 ZINC000749284660 700154816 /nfs/dbraw/zinc/15/48/16/700154816.db2.gz YPUUIPYRPYEAHQ-VIFPVBQESA-N -1 1 315.272 1.122 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)Nc2cnc(Cl)cc2C)n[nH]1 ZINC000183247073 697485212 /nfs/dbraw/zinc/48/52/12/697485212.db2.gz FGIVTFQNFDKXOG-UHFFFAOYSA-N -1 1 308.729 1.541 20 0 DDADMM N#Cc1ccc(C(=O)NC[C@H](O)c2ccc(F)cc2)cc1[O-] ZINC000188293920 697556114 /nfs/dbraw/zinc/55/61/14/697556114.db2.gz DACUXEJVHLOOOD-HNNXBMFYSA-N -1 1 300.289 1.866 20 0 DDADMM O=C(CNC(=O)c1ccccc1)Nc1nc(Cl)ccc1[O-] ZINC000188376895 697558094 /nfs/dbraw/zinc/55/80/94/697558094.db2.gz TZSKUOQMQFOBRU-UHFFFAOYSA-N -1 1 305.721 1.809 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CCCCC1 ZINC000985890415 697596630 /nfs/dbraw/zinc/59/66/30/697596630.db2.gz NBPFCNFQMUTWET-ZYHUDNBSSA-N -1 1 307.398 1.170 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCC1CCCC1 ZINC000985928214 697604978 /nfs/dbraw/zinc/60/49/78/697604978.db2.gz SVFFRQACZGGMBZ-DGCLKSJQSA-N -1 1 321.425 1.560 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2cc3c(s2)CCCC3)C1=O ZINC000192728415 697638206 /nfs/dbraw/zinc/63/82/06/697638206.db2.gz SRJUSAWCUMXSBW-HNNXBMFYSA-N -1 1 321.402 1.992 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1=CCCCC1 ZINC000986052722 697658784 /nfs/dbraw/zinc/65/87/84/697658784.db2.gz FWYIPZBXQOPORP-DGCLKSJQSA-N -1 1 319.409 1.480 20 0 DDADMM C[C@H](Cc1ccccc1)n1cccc1C(=O)NCc1nn[n-]n1 ZINC000773362844 697750026 /nfs/dbraw/zinc/75/00/26/697750026.db2.gz GLHFFAOLWSYVRA-GFCCVEGCSA-N -1 1 310.361 1.735 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCOC(=O)C1CC1 ZINC000773452168 697761687 /nfs/dbraw/zinc/76/16/87/697761687.db2.gz QZTUSPJDUDUBAR-UHFFFAOYSA-N -1 1 321.377 1.471 20 0 DDADMM CS[C@H](CO)[C@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000230352792 697782812 /nfs/dbraw/zinc/78/28/12/697782812.db2.gz XJABGRVGSHRYGN-WCBMZHEXSA-N -1 1 313.423 1.044 20 0 DDADMM O=C([C@H]1CSCCS1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000774215260 697855930 /nfs/dbraw/zinc/85/59/30/697855930.db2.gz CBOAGRPACKNNQD-RKDXNWHRSA-N -1 1 315.420 1.330 20 0 DDADMM O=C(COc1ccccc1)OCCC[N-]C(=O)C(F)(F)F ZINC000774886599 697938288 /nfs/dbraw/zinc/93/82/88/697938288.db2.gz PJLKMZRWMFSLHJ-UHFFFAOYSA-N -1 1 305.252 1.677 20 0 DDADMM O=C([N-]CCCOC(=O)c1cnn2ccccc12)C(F)(F)F ZINC000774950593 697945855 /nfs/dbraw/zinc/94/58/55/697945855.db2.gz NREYODFIFIHCMU-UHFFFAOYSA-N -1 1 315.251 1.560 20 0 DDADMM CCn1nc(C)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1Cl ZINC000775188825 697970299 /nfs/dbraw/zinc/97/02/99/697970299.db2.gz DWUKUZQPRDSMAK-SECBINFHSA-N -1 1 323.788 1.398 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CCC=CCC1 ZINC000987543469 698145486 /nfs/dbraw/zinc/14/54/86/698145486.db2.gz LSQVBIVJMHWWEF-YPMHNXCESA-N -1 1 319.409 1.336 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NOC2CCCCC2)o1 ZINC000777961755 698233803 /nfs/dbraw/zinc/23/38/03/698233803.db2.gz RHIPAHPMKXWNCY-UHFFFAOYSA-N -1 1 302.352 1.182 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCC1CCCC1 ZINC000987903843 698262864 /nfs/dbraw/zinc/26/28/64/698262864.db2.gz DTUPREMOYBQSHU-DGCLKSJQSA-N -1 1 321.425 1.560 20 0 DDADMM Cc1cnc(C(=O)NCc2cn(-c3ccccc3)nn2)c([O-])c1 ZINC000778973357 698388092 /nfs/dbraw/zinc/38/80/92/698388092.db2.gz YVYCNOSGMWRDSU-UHFFFAOYSA-N -1 1 309.329 1.606 20 0 DDADMM CC(C)CC[C@H]1CCCCN1CCS(=O)(=O)CC(=O)[O-] ZINC000262308526 698463901 /nfs/dbraw/zinc/46/39/01/698463901.db2.gz NDHKNECHOFOZOX-CYBMUJFWSA-N -1 1 305.440 1.777 20 0 DDADMM CCc1cc(C(=O)N2C[C@H](C(=O)[O-])[C@H](c3ccccc3)C2)n[nH]1 ZINC000262960727 698485621 /nfs/dbraw/zinc/48/56/21/698485621.db2.gz RQWRYHNVBIMNKG-KBPBESRZSA-N -1 1 313.357 1.913 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@@H]1[C@H]2CCCO[C@H]21 ZINC000780129971 698490896 /nfs/dbraw/zinc/49/08/96/698490896.db2.gz HFMZSFQFSJDKEC-DAXOMENPSA-N -1 1 316.309 1.967 20 0 DDADMM C[C@H](OC(=O)c1ccc(Br)c([O-])c1)C(=O)N(C)C ZINC000750553250 700231370 /nfs/dbraw/zinc/23/13/70/700231370.db2.gz ZKSCWEWNXVFSLA-ZETCQYMHSA-N -1 1 316.151 1.788 20 0 DDADMM O=C([O-])CN(CC(F)(F)F)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000780683318 698547792 /nfs/dbraw/zinc/54/77/92/698547792.db2.gz GJGDTLXYYXSRAD-MRVPVSSYSA-N -1 1 320.271 1.446 20 0 DDADMM O=S(=O)([N-][C@H](CCO)C1CC1)c1cc(F)c(F)cc1F ZINC000305205273 698641018 /nfs/dbraw/zinc/64/10/18/698641018.db2.gz MRFZSIJPAUXZIS-LLVKDONJSA-N -1 1 309.309 1.543 20 0 DDADMM COc1ccc(C(=O)Nc2ccncc2[O-])nc1Br ZINC000782029245 698676189 /nfs/dbraw/zinc/67/61/89/698676189.db2.gz RKTXKRMEVWPDHK-UHFFFAOYSA-N -1 1 324.134 1.628 20 0 DDADMM O=C([N-]N1CN=NC1=O)c1cc2c(s1)-c1ccccc1OC2 ZINC000782123835 698685519 /nfs/dbraw/zinc/68/55/19/698685519.db2.gz ODZSMJWULZXRMS-UHFFFAOYSA-N -1 1 314.326 1.989 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC[N@@H+](Cc2ccccc2)C1 ZINC000323511091 698740398 /nfs/dbraw/zinc/74/03/98/698740398.db2.gz YPNJKKPUPXRBOQ-IMJJTQAJSA-N -1 1 316.401 1.688 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H](O)c1cccnc1 ZINC000783911359 698891049 /nfs/dbraw/zinc/89/10/49/698891049.db2.gz XQGICUVFEGFTEA-JTQLQIEISA-N -1 1 324.134 1.617 20 0 DDADMM Cc1ccccc1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000990104891 698954680 /nfs/dbraw/zinc/95/46/80/698954680.db2.gz IADYNHMWQIFWJB-UHFFFAOYSA-N -1 1 311.341 1.350 20 0 DDADMM O=C([N-]CCCOC(=O)c1cccc2n[nH]cc21)C(F)(F)F ZINC000785588184 699085397 /nfs/dbraw/zinc/08/53/97/699085397.db2.gz DEPQEWBOZDYSCF-UHFFFAOYSA-N -1 1 315.251 1.788 20 0 DDADMM Cc1cccnc1C(F)(F)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000787467313 699213367 /nfs/dbraw/zinc/21/33/67/699213367.db2.gz AYUVTQQETJVBHD-SNVBAGLBSA-N -1 1 322.319 1.401 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@H]2CCCS2)c1Cl ZINC000715984627 699280056 /nfs/dbraw/zinc/28/00/56/699280056.db2.gz MLPDFIYRDKZGHV-MRVPVSSYSA-N -1 1 309.844 1.638 20 0 DDADMM CC(=O)CC(C)(C)CC(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000788148504 699284560 /nfs/dbraw/zinc/28/45/60/699284560.db2.gz JRQKTAFBJNUVGN-UHFFFAOYSA-N -1 1 311.300 1.994 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[O-])CN1CCCS(=O)(=O)C(C)(C)C ZINC000718737227 699294974 /nfs/dbraw/zinc/29/49/74/699294974.db2.gz YRGYXEMFAPDZAV-RYUDHWBXSA-N -1 1 305.440 1.775 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H](CCO)C2CCCC2)c1Cl ZINC000725104543 699330740 /nfs/dbraw/zinc/33/07/40/699330740.db2.gz KVQGLGARKPMJTG-JTQLQIEISA-N -1 1 321.830 1.293 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)C2=Cc3ccccc3OC2)n1 ZINC000788887193 699344693 /nfs/dbraw/zinc/34/46/93/699344693.db2.gz RNSGPYPZIBIMAL-VIFPVBQESA-N -1 1 321.358 1.792 20 0 DDADMM CCOC1CC(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)C1 ZINC000789152271 699364848 /nfs/dbraw/zinc/36/48/48/699364848.db2.gz OTANDWNGVWHTLF-UHFFFAOYSA-N -1 1 319.357 1.691 20 0 DDADMM Cc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c(Cl)c1 ZINC000726864986 699386215 /nfs/dbraw/zinc/38/62/15/699386215.db2.gz NEUMBKDQVKTXEM-UHFFFAOYSA-N -1 1 307.737 1.527 20 0 DDADMM COC(COCCNC(=O)c1c([O-])cccc1Cl)OC ZINC000789910069 699419436 /nfs/dbraw/zinc/41/94/36/699419436.db2.gz BIWDJPDQWGZBTM-UHFFFAOYSA-N -1 1 303.742 1.411 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H]1C1CCCCC1 ZINC000727755492 699424649 /nfs/dbraw/zinc/42/46/49/699424649.db2.gz WMTXUHMWTFLNBH-CQSZACIVSA-N -1 1 319.405 1.498 20 0 DDADMM C[C@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000727857005 699428431 /nfs/dbraw/zinc/42/84/31/699428431.db2.gz OVDPXQHBCFPLEV-CYBMUJFWSA-N -1 1 315.373 1.189 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc2scnc2c1 ZINC000727854024 699428741 /nfs/dbraw/zinc/42/87/41/699428741.db2.gz QEPLQBPXXZIXHP-UHFFFAOYSA-N -1 1 316.342 1.175 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC(C)C)c1cc(F)ccc1F ZINC000728057915 699436419 /nfs/dbraw/zinc/43/64/19/699436419.db2.gz JOKFIRUWEMNATJ-GFCCVEGCSA-N -1 1 321.345 1.754 20 0 DDADMM CCc1cc(CNC(=O)c2ccccc2[N-]S(C)(=O)=O)n[nH]1 ZINC000732788716 699570172 /nfs/dbraw/zinc/57/01/72/699570172.db2.gz OSZONTOBMLGMEQ-UHFFFAOYSA-N -1 1 322.390 1.274 20 0 DDADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)c1ccc2ccccc2c1 ZINC000733128490 699579778 /nfs/dbraw/zinc/57/97/78/699579778.db2.gz SFFHPMZHUYEUCM-UHFFFAOYSA-N -1 1 323.352 1.743 20 0 DDADMM Cc1nn(C)c(Cl)c1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791288240 699619818 /nfs/dbraw/zinc/61/98/18/699619818.db2.gz YTEMKYHGAPQGKB-UHFFFAOYSA-N -1 1 304.741 1.289 20 0 DDADMM CC(=CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccc(F)cc1 ZINC000735450352 699694290 /nfs/dbraw/zinc/69/42/90/699694290.db2.gz YTSOUYRYSTWVFQ-KJJQSCHISA-N -1 1 317.324 1.342 20 0 DDADMM O=C([C@@H]1CCCc2ccccc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737792299 699744925 /nfs/dbraw/zinc/74/49/25/699744925.db2.gz OWXVFBDCIOODAR-ZIAGYGMSSA-N -1 1 313.361 1.220 20 0 DDADMM C[C@H](O)CN1CCN(C(=O)c2c([O-])cccc2Cl)[C@H](C)C1 ZINC000793875440 699785217 /nfs/dbraw/zinc/78/52/17/699785217.db2.gz QURDXCDDBWGKSZ-MNOVXSKESA-N -1 1 312.797 1.573 20 0 DDADMM C[C@H]1CN(Cc2cc(=O)oc3cc([O-])c(Cl)cc23)C[C@H]1O ZINC000794562214 699816434 /nfs/dbraw/zinc/81/64/34/699816434.db2.gz NBWXWPIIPQZCTD-ISVAXAHUSA-N -1 1 309.749 1.965 20 0 DDADMM CN(C)c1n[nH]c([N-]S(=O)(=O)C=Cc2ccc(F)cc2)n1 ZINC000795604436 699878077 /nfs/dbraw/zinc/87/80/77/699878077.db2.gz NENGTZGHFNMHMQ-BQYQJAHWSA-N -1 1 311.342 1.422 20 0 DDADMM O=C(COC(=O)c1ccnc(F)c1F)[N-]C(=O)c1ccccc1 ZINC000795664890 699882687 /nfs/dbraw/zinc/88/26/87/699882687.db2.gz PUAKOEPODBJWIM-UHFFFAOYSA-N -1 1 320.251 1.473 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C)C(=O)NC2CCCC2)[n-]1 ZINC000796359968 699929088 /nfs/dbraw/zinc/92/90/88/699929088.db2.gz CEVGRCJBTNZBHP-SECBINFHSA-N -1 1 308.334 1.405 20 0 DDADMM Cc1nc(COC(=O)c2nn(-c3ccccc3)cc2[O-])no1 ZINC000801202761 700277227 /nfs/dbraw/zinc/27/72/27/700277227.db2.gz AXAHJEYQWCYAGQ-UHFFFAOYSA-N -1 1 300.274 1.626 20 0 DDADMM O=C(O[C@H]1CCOC1=O)c1nn(-c2ccc(Cl)cc2)cc1[O-] ZINC000801373189 700301462 /nfs/dbraw/zinc/30/14/62/700301462.db2.gz SOEICQASRHSCPH-NSHDSACASA-N -1 1 322.704 1.704 20 0 DDADMM CC(C)Oc1cccnc1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801702941 700332430 /nfs/dbraw/zinc/33/24/30/700332430.db2.gz YJZJIOYQSRQQSS-UHFFFAOYSA-N -1 1 311.345 1.776 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccccc3-n3cccn3)ccnc1-2 ZINC000801702415 700332673 /nfs/dbraw/zinc/33/26/73/700332673.db2.gz FJQHPVDQCSBYHW-UHFFFAOYSA-N -1 1 318.340 1.780 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC2CC(C)(C)C2)o1 ZINC000802292320 700393598 /nfs/dbraw/zinc/39/35/98/700393598.db2.gz IFWYNLHROMNHOD-UHFFFAOYSA-N -1 1 301.364 1.781 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2COc3ccccc3[C@H]2O)c([O-])c1 ZINC000752919540 700396269 /nfs/dbraw/zinc/39/62/69/700396269.db2.gz ZZZYLNDYLACECY-IAQYHMDHSA-N -1 1 300.314 1.320 20 0 DDADMM Cn1[n-]c(CNC2(C(=O)OC(C)(C)C)CCCCC2)nc1=O ZINC000754074285 700480742 /nfs/dbraw/zinc/48/07/42/700480742.db2.gz HNBIFDFGCKYTER-UHFFFAOYSA-N -1 1 310.398 1.243 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)C2CCCC2)co1 ZINC000756387079 700619113 /nfs/dbraw/zinc/61/91/13/700619113.db2.gz DBANVBCSUFGFBA-UHFFFAOYSA-N -1 1 315.347 1.104 20 0 DDADMM O=C(c1cc(F)cc(Cl)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000756932884 700649197 /nfs/dbraw/zinc/64/91/97/700649197.db2.gz QHHLYRYAQWHSHH-JTQLQIEISA-N -1 1 311.704 1.206 20 0 DDADMM C[C@@H]1CCN(C(=O)c2c([O-])cccc2Cl)CCS1(=O)=O ZINC000760792314 700823457 /nfs/dbraw/zinc/82/34/57/700823457.db2.gz CRVNBGQYWWNWNV-SECBINFHSA-N -1 1 317.794 1.695 20 0 DDADMM O=C(NCCN1C(=O)CSC1=O)c1c(F)ccc([O-])c1F ZINC000809616235 701674555 /nfs/dbraw/zinc/67/45/55/701674555.db2.gz SZPLCUNAJZOLEC-UHFFFAOYSA-N -1 1 316.285 1.096 20 0 DDADMM Cc1cnc(C(=O)NCc2cccnc2-n2ccnc2)c([O-])c1 ZINC000763284616 700933149 /nfs/dbraw/zinc/93/31/49/700933149.db2.gz SIYQXRRDVSYHSB-UHFFFAOYSA-N -1 1 309.329 1.606 20 0 DDADMM CC[C@H](C)[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000765388414 701009277 /nfs/dbraw/zinc/00/92/77/701009277.db2.gz BAXBKXYCZJRZHU-FZMZJTMJSA-N -1 1 303.362 1.982 20 0 DDADMM Cc1cc(C)c(/C=C/C(=O)OCc2nc(=O)n(C)[n-]2)cc1C ZINC000765440073 701012754 /nfs/dbraw/zinc/01/27/54/701012754.db2.gz LDPJTBXTHJDTFZ-AATRIKPKSA-N -1 1 301.346 1.790 20 0 DDADMM CC(C)[C@@H](Cc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765479224 701014764 /nfs/dbraw/zinc/01/47/64/701014764.db2.gz LIAWKAWPGSLFRP-CYBMUJFWSA-N -1 1 303.362 1.667 20 0 DDADMM C[C@H](CCc1cccc(F)c1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765487173 701015458 /nfs/dbraw/zinc/01/54/58/701015458.db2.gz BFOQQGIADMUARA-SNVBAGLBSA-N -1 1 307.325 1.560 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(C(F)(F)F)ccc2F)nc1=O ZINC000765497596 701016138 /nfs/dbraw/zinc/01/61/38/701016138.db2.gz VARVWIMCLVEHNZ-UHFFFAOYSA-N -1 1 319.214 1.623 20 0 DDADMM CNS(=O)(=O)c1ccc(NC(=O)c2ccc([O-])c(F)c2)cc1 ZINC000767295563 701095804 /nfs/dbraw/zinc/09/58/04/701095804.db2.gz KJXWWBUSBNBKFG-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2Cc3ccccc32)o1 ZINC000803279062 701097919 /nfs/dbraw/zinc/09/79/19/701097919.db2.gz XLOVMRWNJHLLAY-LLVKDONJSA-N -1 1 321.354 1.684 20 0 DDADMM CCc1ccc([C@@H](O)CNC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000804153766 701168161 /nfs/dbraw/zinc/16/81/61/701168161.db2.gz KCTIQLBWJGJFLO-INIZCTEOSA-N -1 1 313.353 1.987 20 0 DDADMM COc1ccc([C@@H]2C[C@H]2[N-]S(=O)(=O)c2c(C)onc2N)cc1 ZINC000809841839 701694847 /nfs/dbraw/zinc/69/48/47/701694847.db2.gz ZHBMRRGCSQWXEH-NWDGAFQWSA-N -1 1 323.374 1.408 20 0 DDADMM O=C(NCc1cnn2ccccc12)c1ccc2n[n-]c(=S)n2c1 ZINC000804445273 701190558 /nfs/dbraw/zinc/19/05/58/701190558.db2.gz TVDXNHNLLQTYDC-UHFFFAOYSA-N -1 1 324.369 1.596 20 0 DDADMM CCOC(=O)c1nc(=NOC[C@H]2CCOC2)cc(C(C)(C)C)[n-]1 ZINC000804647153 701205920 /nfs/dbraw/zinc/20/59/20/701205920.db2.gz HFADKCDMBYSLRZ-NSHDSACASA-N -1 1 323.393 1.753 20 0 DDADMM CCS(=O)(=O)C[C@H](C)N(C)C(=O)c1c([O-])cccc1Cl ZINC000769851531 701259081 /nfs/dbraw/zinc/25/90/81/701259081.db2.gz SPQWICKDJBBVOH-VIFPVBQESA-N -1 1 319.810 1.941 20 0 DDADMM COc1cc(C=O)ccc1Oc1nc2c(cnn2C)c(=O)[n-]1 ZINC000770935311 701300814 /nfs/dbraw/zinc/30/08/14/701300814.db2.gz DEYXQOCGMKWYGW-UHFFFAOYSA-N -1 1 300.274 1.682 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)COCC1CC1 ZINC000771596400 701324954 /nfs/dbraw/zinc/32/49/54/701324954.db2.gz KZRWZJIGEXQKIZ-GFCCVEGCSA-N -1 1 323.393 1.131 20 0 DDADMM Cc1ncc(CCNC(=O)c2nc3ccccc3c(=O)[n-]2)s1 ZINC000771910482 701336645 /nfs/dbraw/zinc/33/66/45/701336645.db2.gz WJIADGGPIHSAOJ-UHFFFAOYSA-N -1 1 314.370 1.661 20 0 DDADMM O=C(NC[C@@H]1COC2(CCOCC2)O1)c1c([O-])cccc1F ZINC000772232832 701346285 /nfs/dbraw/zinc/34/62/85/701346285.db2.gz PTRDWEYLSUPGTI-SNVBAGLBSA-N -1 1 311.309 1.183 20 0 DDADMM CC(=O)c1cccc(NC(=O)[C@@H](C)OC(=O)c2cn[n-]n2)c1 ZINC000805605268 701398679 /nfs/dbraw/zinc/39/86/79/701398679.db2.gz XLRFLBQHINSWQA-SECBINFHSA-N -1 1 302.290 1.191 20 0 DDADMM COc1ccccc1[C@H](C)N(C)C(=O)COC(=O)c1cn[n-]n1 ZINC000805606236 701398921 /nfs/dbraw/zinc/39/89/21/701398921.db2.gz VIZAGGZXZTTZID-JTQLQIEISA-N -1 1 318.333 1.190 20 0 DDADMM CCC(=O)Nc1ccc(C(=O)COC(=O)c2cn[n-]n2)cc1 ZINC000805607532 701399309 /nfs/dbraw/zinc/39/93/09/701399309.db2.gz XAGNTHXZMFZZJG-UHFFFAOYSA-N -1 1 302.290 1.193 20 0 DDADMM CCN(Cc1cccc(F)c1)C(=O)COC(=O)c1cn[n-]n1 ZINC000805607053 701399351 /nfs/dbraw/zinc/39/93/51/701399351.db2.gz FWHOAWRIZTXMQV-UHFFFAOYSA-N -1 1 306.297 1.149 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@@H](C)S1 ZINC000806479997 701436679 /nfs/dbraw/zinc/43/66/79/701436679.db2.gz AUFTUEGAAHJUDU-NXEZZACHSA-N -1 1 305.407 1.700 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC3(C(F)(F)F)CC3)ccnc1-2 ZINC000806493020 701438065 /nfs/dbraw/zinc/43/80/65/701438065.db2.gz MWLIRSULSPTMKL-UHFFFAOYSA-N -1 1 313.283 1.806 20 0 DDADMM CCCn1cc(NC(=O)C(=O)c2ccc([O-])cc2)ccc1=O ZINC000806511571 701438626 /nfs/dbraw/zinc/43/86/26/701438626.db2.gz JGUFVKGKKNAEQE-UHFFFAOYSA-N -1 1 300.314 1.785 20 0 DDADMM CCOC(=O)c1cc(C[N-]S(=O)(=O)c2ccsc2)on1 ZINC000867741824 701725808 /nfs/dbraw/zinc/72/58/08/701725808.db2.gz QUWBYVSYJVOXSF-UHFFFAOYSA-N -1 1 316.360 1.391 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952865 706609871 /nfs/dbraw/zinc/60/98/71/706609871.db2.gz OXNSGNVKMIHCTH-GHMZBOCLSA-N -1 1 305.378 1.553 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]Cc2nn(C)cc2C(F)F)s1 ZINC000867796943 701755780 /nfs/dbraw/zinc/75/57/80/701755780.db2.gz ZRBREJSFCRSRNP-UHFFFAOYSA-N -1 1 322.362 1.601 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@]23CCC[C@H]2OCC3)o1 ZINC000867885950 701811860 /nfs/dbraw/zinc/81/18/60/701811860.db2.gz WXVCKRCNORWPPQ-MFKMUULPSA-N -1 1 315.347 1.056 20 0 DDADMM C[C@@H](c1ccc(F)cc1)N1CC[C@H](OC(=O)c2cn[n-]n2)C1=O ZINC000815246122 701830171 /nfs/dbraw/zinc/83/01/71/701830171.db2.gz TVQRMGVEVGDNHI-ZANVPECISA-N -1 1 318.308 1.463 20 0 DDADMM CCn1nncc1C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000815526926 701890083 /nfs/dbraw/zinc/89/00/83/701890083.db2.gz LAQYPIAKWVHURL-UHFFFAOYSA-N -1 1 303.318 1.606 20 0 DDADMM O=C(Cc1cccnc1Cl)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000868010506 701890573 /nfs/dbraw/zinc/89/05/73/701890573.db2.gz KPMWLWINQSWFNH-SNVBAGLBSA-N -1 1 322.752 1.772 20 0 DDADMM C[C@H](CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CC1 ZINC000868366383 702090024 /nfs/dbraw/zinc/09/00/24/702090024.db2.gz YCWFTBBZRQKFEC-SECBINFHSA-N -1 1 306.366 1.100 20 0 DDADMM CC(C)(C(=O)N1CCC(O)(c2nn[n-]n2)CC1)C1CCCC1 ZINC000816493253 702096443 /nfs/dbraw/zinc/09/64/43/702096443.db2.gz UYWIQGCBSUPRLG-UHFFFAOYSA-N -1 1 307.398 1.226 20 0 DDADMM O=C([C@@H]1CCCC12OCCO2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000816525468 702106058 /nfs/dbraw/zinc/10/60/58/702106058.db2.gz UXOGSBPENPDBQZ-NSHDSACASA-N -1 1 323.349 1.024 20 0 DDADMM Cc1nc([C@@H](C)OC(=O)C(C)(C)[N-]C(=O)C(F)(F)F)n[nH]1 ZINC000840492609 702128430 /nfs/dbraw/zinc/12/84/30/702128430.db2.gz DIEKMOWEZDNYRQ-RXMQYKEDSA-N -1 1 308.260 1.174 20 0 DDADMM Cc1nnc([C@H](C)OC(=O)[C@@H]([N-]C(=O)C(F)(F)F)C(C)C)[nH]1 ZINC000840527467 702142244 /nfs/dbraw/zinc/14/22/44/702142244.db2.gz DXJSUCNQJQDTMF-XPUUQOCRSA-N -1 1 322.287 1.420 20 0 DDADMM O=C(CCc1ccsc1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831158194 706646556 /nfs/dbraw/zinc/64/65/56/706646556.db2.gz HJSSITZEPPTZHB-UHFFFAOYSA-N -1 1 320.336 1.818 20 0 DDADMM C[C@@H](CC(=O)OCCC[N-]C(=O)C(F)(F)F)c1cnn(C)c1 ZINC000840626613 702175055 /nfs/dbraw/zinc/17/50/55/702175055.db2.gz VHXNCOCXTCMZJC-VIFPVBQESA-N -1 1 321.299 1.526 20 0 DDADMM CCOc1ccc(C[C@@H](C)C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000840654097 702187019 /nfs/dbraw/zinc/18/70/19/702187019.db2.gz XSSODFVSZRPVAT-LLVKDONJSA-N -1 1 319.361 1.429 20 0 DDADMM CCC[C@@H](C)N1C[C@H](C(=O)[N-]OCCOC(C)C)CC1=O ZINC000812805016 702243420 /nfs/dbraw/zinc/24/34/20/702243420.db2.gz WETURIRCSIKKAF-CHWSQXEVSA-N -1 1 300.399 1.496 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@H]1CCCCC12OCCO2)OC ZINC000817134575 702283020 /nfs/dbraw/zinc/28/30/20/702283020.db2.gz DEWTWWHKAKCWBM-RYUDHWBXSA-N -1 1 307.412 1.017 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@H](CC)OC ZINC000817135099 702283744 /nfs/dbraw/zinc/28/37/44/702283744.db2.gz IKGXEPTUXFAMAT-RYUDHWBXSA-N -1 1 307.412 1.063 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2CC23CC3)C1 ZINC000868724488 702287675 /nfs/dbraw/zinc/28/76/75/702287675.db2.gz JVIMZNRRTINSBK-KCJUWKMLSA-N -1 1 305.300 1.391 20 0 DDADMM O=C(COC(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1)[N-]C(=O)c1ccccc1 ZINC000817206269 702307724 /nfs/dbraw/zinc/30/77/24/702307724.db2.gz MFKRNIGPFJGADH-MGPQQGTHSA-N -1 1 301.342 1.922 20 0 DDADMM O=C(N[C@H](CO)c1ccsc1)c1ccc2n[n-]c(=S)n2c1 ZINC000813520707 702366820 /nfs/dbraw/zinc/36/68/20/702366820.db2.gz KUZRHHIXJZCKJY-SNVBAGLBSA-N -1 1 320.399 1.543 20 0 DDADMM O=C([N-]Cc1nc(C(F)F)no1)C(F)(F)C1CCOCC1 ZINC000868941839 702394716 /nfs/dbraw/zinc/39/47/16/702394716.db2.gz KGWBOXZUJVLOCM-UHFFFAOYSA-N -1 1 311.235 1.685 20 0 DDADMM O=C(OCC[N-]S(=O)(=O)c1cc2ccccc2o1)C1CC1 ZINC000841536710 702486889 /nfs/dbraw/zinc/48/68/89/702486889.db2.gz LFRDQAUTQJJIPP-UHFFFAOYSA-N -1 1 309.343 1.664 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@H]1CN(C2CC2)C(=O)O1 ZINC000869212617 702512694 /nfs/dbraw/zinc/51/26/94/702512694.db2.gz GLYSHGZRMIOYOE-NSHDSACASA-N -1 1 324.764 1.688 20 0 DDADMM CN(C[C@@H]1CC1(C)C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869214339 702513574 /nfs/dbraw/zinc/51/35/74/702513574.db2.gz WAGZTUUHTSEATL-JTQLQIEISA-N -1 1 320.393 1.442 20 0 DDADMM Cc1[nH]nc(C(=O)N([C@@H](C)C(=O)[O-])C2CC2)c1Br ZINC000841772642 702561569 /nfs/dbraw/zinc/56/15/69/702561569.db2.gz MWUCZFRNKPABBB-LURJTMIESA-N -1 1 316.155 1.558 20 0 DDADMM O=C(/C=C/c1ccc[nH]1)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869479210 702620189 /nfs/dbraw/zinc/62/01/89/702620189.db2.gz PYMNFOISNWOFOZ-ONEGZZNKSA-N -1 1 318.251 1.209 20 0 DDADMM CC(C)[C@H](F)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869478929 702620354 /nfs/dbraw/zinc/62/03/54/702620354.db2.gz NWAYVFIICQKUQM-QMMMGPOBSA-N -1 1 301.236 1.161 20 0 DDADMM C[C@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1CCC1 ZINC000869537309 702639810 /nfs/dbraw/zinc/63/98/10/702639810.db2.gz NSRIWVQXADFJRM-QMMMGPOBSA-N -1 1 309.284 1.604 20 0 DDADMM CC[C@H](COC(=O)c1sccc1[N-]S(C)(=O)=O)OC ZINC000842066752 702666633 /nfs/dbraw/zinc/66/66/33/702666633.db2.gz NXDWPLKOQHMSOX-MRVPVSSYSA-N -1 1 307.393 1.701 20 0 DDADMM CC(C)[C@H]([N-]C(=O)C(F)(F)F)c1nc([C@@H]2CCCN2)no1 ZINC000842636493 702746908 /nfs/dbraw/zinc/74/69/08/702746908.db2.gz CSVNUVWZIDBOJS-YUMQZZPRSA-N -1 1 306.288 1.870 20 0 DDADMM CC(C)[C@H]([N-]C(=O)C(F)(F)F)c1nc([C@H]2CCCN2)no1 ZINC000842636492 702747187 /nfs/dbraw/zinc/74/71/87/702747187.db2.gz CSVNUVWZIDBOJS-SFYZADRCSA-N -1 1 306.288 1.870 20 0 DDADMM CC[C@H]1CCC[C@@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014116 702803319 /nfs/dbraw/zinc/80/33/19/702803319.db2.gz LFAHFQHMYSCCQK-STQMWFEESA-N -1 1 316.467 1.743 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@]2(C)CC=CCC2)CCC1 ZINC000843015257 702803765 /nfs/dbraw/zinc/80/37/65/702803765.db2.gz UAYPUUYBKRIKNL-CQSZACIVSA-N -1 1 314.451 1.663 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2(C)CCCC2)CCC1 ZINC000843017416 702804222 /nfs/dbraw/zinc/80/42/22/702804222.db2.gz DCUGBZVILWULFU-UHFFFAOYSA-N -1 1 302.440 1.497 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)C1(F)CC1 ZINC000843855609 702934221 /nfs/dbraw/zinc/93/42/21/702934221.db2.gz NCXRRTPENHCJLH-SECBINFHSA-N -1 1 313.695 1.700 20 0 DDADMM CCCO[N-]C(=O)[C@H]1CC(=O)N(c2cc(OC)cc(OC)c2)C1 ZINC000844085804 702969792 /nfs/dbraw/zinc/96/97/92/702969792.db2.gz RTNPPJHINZKHGM-NSHDSACASA-N -1 1 322.361 1.515 20 0 DDADMM COc1cccc(O)c1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000845182471 703124310 /nfs/dbraw/zinc/12/43/10/703124310.db2.gz QDIICHHSRQMGRC-UHFFFAOYSA-N -1 1 321.251 1.626 20 0 DDADMM C[C@H]1Oc2ccccc2[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000831550453 706729655 /nfs/dbraw/zinc/72/96/55/706729655.db2.gz OFDKHMNFESBUIE-RDDDGLTNSA-N -1 1 313.766 1.864 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCOC(=O)C1CC1 ZINC000846077417 703235034 /nfs/dbraw/zinc/23/50/34/703235034.db2.gz VMYMTWKALAOWSN-UHFFFAOYSA-N -1 1 307.350 1.297 20 0 DDADMM C[C@H](COc1cccc(F)c1)[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866578733 706734166 /nfs/dbraw/zinc/73/41/66/706734166.db2.gz WTGIJLJLRBPCDN-SECBINFHSA-N -1 1 324.399 1.155 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@](C)(C(=O)[O-])c2ccccc2)c1C ZINC000846710941 703322541 /nfs/dbraw/zinc/32/25/41/703322541.db2.gz IAAHJIAYVMTEFN-INIZCTEOSA-N -1 1 301.346 1.799 20 0 DDADMM O=C(Cc1ccccc1CO)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847080292 703375328 /nfs/dbraw/zinc/37/53/28/703375328.db2.gz NGAPCJRBUMVLNP-GFCCVEGCSA-N -1 1 317.345 1.216 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3C[C@H](OC(C)(C)C)C3)ccnc1-2 ZINC000847176886 703384373 /nfs/dbraw/zinc/38/43/73/703384373.db2.gz FREXLIAZNOESPG-XYPYZODXSA-N -1 1 317.393 1.809 20 0 DDADMM O=C(NC[C@@H]1CN(C2CC2)C(=O)O1)c1cc(Cl)ccc1[O-] ZINC000847263607 703392152 /nfs/dbraw/zinc/39/21/52/703392152.db2.gz FINOXJFXWAVZPL-SNVBAGLBSA-N -1 1 310.737 1.759 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F)C1CC1 ZINC000866594115 706739472 /nfs/dbraw/zinc/73/94/72/706739472.db2.gz AEWCSAQCNHESGS-ZETCQYMHSA-N -1 1 311.329 1.763 20 0 DDADMM O=C(NC[C@@H]1CN(C2CC2)C(=O)O1)c1c([O-])cccc1Cl ZINC000848364116 703543363 /nfs/dbraw/zinc/54/33/63/703543363.db2.gz JUSFKBIORWSAEP-SECBINFHSA-N -1 1 310.737 1.759 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CSCCC(C)(C)O)cc1 ZINC000848443842 703551339 /nfs/dbraw/zinc/55/13/39/703551339.db2.gz DXBTXTRSGJTFCC-UHFFFAOYSA-N -1 1 311.403 1.846 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2ccc(C)nc2Cl)[n-]1 ZINC000849228847 703626738 /nfs/dbraw/zinc/62/67/38/703626738.db2.gz PSTGLADYGNALNJ-UHFFFAOYSA-N -1 1 316.770 1.282 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2ccc(C)nc2Cl)n[n-]1 ZINC000849228847 703626739 /nfs/dbraw/zinc/62/67/39/703626739.db2.gz PSTGLADYGNALNJ-UHFFFAOYSA-N -1 1 316.770 1.282 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(OC)OC ZINC000849407216 703644512 /nfs/dbraw/zinc/64/45/12/703644512.db2.gz QCOWQZXRMGSPGK-JTQLQIEISA-N -1 1 309.334 1.641 20 0 DDADMM CCO[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000849436778 703647894 /nfs/dbraw/zinc/64/78/94/703647894.db2.gz RWBFJWGOIKFEOB-ZKCHVHJHSA-N -1 1 324.196 1.888 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CC[C@@]2(C)CC2(F)F)nc1Cl ZINC000849460944 703650882 /nfs/dbraw/zinc/65/08/82/703650882.db2.gz KKYULORTMOWGDB-VIFPVBQESA-N -1 1 313.757 1.787 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@]2(C)CC2(F)F)co1 ZINC000851260259 703788635 /nfs/dbraw/zinc/78/86/35/703788635.db2.gz MLCLWSMMKQXDEO-SNVBAGLBSA-N -1 1 309.290 1.390 20 0 DDADMM CCc1cc(CNC(=O)NC[C@H](CC(=O)[O-])CC(C)C)[nH]n1 ZINC000851649536 703822328 /nfs/dbraw/zinc/82/23/28/703822328.db2.gz PZLMBGNTNNMUKL-NSHDSACASA-N -1 1 310.398 1.908 20 0 DDADMM C[C@H](CN1CCOCC1)OC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869736064 703839158 /nfs/dbraw/zinc/83/91/58/703839158.db2.gz ABBDQPWWAFPOMN-LLVKDONJSA-N -1 1 313.781 1.852 20 0 DDADMM O=S(=O)([N-][C@H]1CCn2ccnc21)c1ccc(F)c(F)c1F ZINC000831745221 706769026 /nfs/dbraw/zinc/76/90/26/706769026.db2.gz VZLFSOMZYIYMGC-QMMMGPOBSA-N -1 1 317.292 1.724 20 0 DDADMM CC1(c2ccc(CNC(=O)c3ncccc3[O-])cc2)OCCO1 ZINC000869970951 703886074 /nfs/dbraw/zinc/88/60/74/703886074.db2.gz WJJORZWWOCGWIO-UHFFFAOYSA-N -1 1 314.341 1.937 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CCSC(C)(C)C ZINC000866718343 706774359 /nfs/dbraw/zinc/77/43/59/706774359.db2.gz GWBJTXXNYUTXJU-INIZCTEOSA-N -1 1 302.487 1.470 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)C[C@@]1(C)CC1(Cl)Cl ZINC000866736450 706780456 /nfs/dbraw/zinc/78/04/56/706780456.db2.gz XUVLEDYXODPITO-SECBINFHSA-N -1 1 318.222 1.441 20 0 DDADMM C[C@@H]1CCN(Cc2ccccn2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852757824 704116728 /nfs/dbraw/zinc/11/67/28/704116728.db2.gz NGPZFZHOHFEJJA-PWSUYJOCSA-N -1 1 301.312 1.971 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC1=NC[C@@H](C)S1 ZINC000819773437 704171502 /nfs/dbraw/zinc/17/15/02/704171502.db2.gz SRKLLMIBDIZEJT-SSDOTTSWSA-N -1 1 319.390 1.202 20 0 DDADMM O=C(c1cccc2nccn21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000819970070 704194798 /nfs/dbraw/zinc/19/47/98/704194798.db2.gz JQNNNZIEPHNYOM-SNVBAGLBSA-N -1 1 313.317 1.443 20 0 DDADMM CC1(C[N-]S(=O)(=O)N=[S@@](C)(=O)c2ccccc2)COC1 ZINC000866781296 706798348 /nfs/dbraw/zinc/79/83/48/706798348.db2.gz NWJQKIYIGKYDCP-IBGZPJMESA-N -1 1 318.420 1.014 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2cc(Cl)cnc2Cl)COC1 ZINC000866780323 706798411 /nfs/dbraw/zinc/79/84/11/706798411.db2.gz BBUKIGYIMNWDCN-UHFFFAOYSA-N -1 1 311.190 1.703 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CC(C)(C)c1cccs1 ZINC000866789863 706801825 /nfs/dbraw/zinc/80/18/25/706801825.db2.gz NSINSWJRGMIVMX-GOSISDBHSA-N -1 1 324.493 1.978 20 0 DDADMM C[C@H]1CC(=O)N(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)C1 ZINC000853623609 704288658 /nfs/dbraw/zinc/28/86/58/704288658.db2.gz SOIYBJUHILECTN-AXFHLTTASA-N -1 1 321.343 1.201 20 0 DDADMM CCC[C@@H](NC(=O)Cc1csc(C2CC2)n1)c1nn[n-]n1 ZINC000820673516 704318329 /nfs/dbraw/zinc/31/83/29/704318329.db2.gz CSROPJUYYDLDET-SNVBAGLBSA-N -1 1 306.395 1.734 20 0 DDADMM COCCN(CCF)C(=O)c1c(C)[n-]c(=O)nc1SC ZINC000855592222 704492828 /nfs/dbraw/zinc/49/28/28/704492828.db2.gz XBETXFNAQMZJQP-UHFFFAOYSA-N -1 1 303.359 1.271 20 0 DDADMM O=C(NOCCN1CCCC1=O)c1cc2ccccc2cc1[O-] ZINC000856037603 704510745 /nfs/dbraw/zinc/51/07/45/704510745.db2.gz LTLBKZRJWJROKT-UHFFFAOYSA-N -1 1 314.341 1.829 20 0 DDADMM CS/C=C\C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418077 704528126 /nfs/dbraw/zinc/52/81/26/704528126.db2.gz SSFOQHWTNHNBEU-XGLIGSBPSA-N -1 1 310.341 1.779 20 0 DDADMM CCO[C@@H]1C[C@H]1C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418551 704528172 /nfs/dbraw/zinc/52/81/72/704528172.db2.gz FPKYBTUUVLZQCR-CHWFTXMASA-N -1 1 322.327 1.327 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CCCCF)c1C(F)(F)F ZINC000867287178 706948589 /nfs/dbraw/zinc/94/85/89/706948589.db2.gz BOMQBCKLBHHYKL-UHFFFAOYSA-N -1 1 303.281 1.467 20 0 DDADMM O=S(=O)([N-][C@@H]1CCn2ccnc21)c1ccc(C(F)F)o1 ZINC000867317069 706958281 /nfs/dbraw/zinc/95/82/81/706958281.db2.gz GCFRNNOUUCPRBO-SSDOTTSWSA-N -1 1 303.290 1.837 20 0 DDADMM C[C@@]1(CC(=O)Nc2ccc([O-])c(F)c2F)CCS(=O)(=O)N1 ZINC000821980450 704853738 /nfs/dbraw/zinc/85/37/38/704853738.db2.gz NXRRCBMVQGWZPS-LBPRGKRZSA-N -1 1 320.317 1.081 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@@H](O)C1)c1c(Cl)ccnc1Cl ZINC000859363605 704878614 /nfs/dbraw/zinc/87/86/14/704878614.db2.gz QBUKFRVWWYBFLU-KNVOCYPGSA-N -1 1 311.190 1.438 20 0 DDADMM CON(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000859413824 704894039 /nfs/dbraw/zinc/89/40/39/704894039.db2.gz COISKEAHWKEOSD-ZCFIWIBFSA-N -1 1 312.800 1.088 20 0 DDADMM CC(C)OC(=O)[C@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867362858 706975440 /nfs/dbraw/zinc/97/54/40/706975440.db2.gz JUFOYZPJSUHWGU-ZETCQYMHSA-N -1 1 324.761 1.493 20 0 DDADMM CN(C)c1noc(CNC(=O)Cc2ccc([O-])c(Cl)c2)n1 ZINC000867494633 707018620 /nfs/dbraw/zinc/01/86/20/707018620.db2.gz GRLSYEVIKLUEDS-UHFFFAOYSA-N -1 1 310.741 1.354 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)N[C@H]1C[C@H](C(=O)[O-])C1)c1ccco1 ZINC000874387591 705031869 /nfs/dbraw/zinc/03/18/69/705031869.db2.gz FIXHYKICQVHJOX-AVGNSLFASA-N -1 1 323.393 1.825 20 0 DDADMM O=C(CCCc1ccccn1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822958731 705093504 /nfs/dbraw/zinc/09/35/04/705093504.db2.gz DQLTVOZYZWCACM-UHFFFAOYSA-N -1 1 315.362 1.680 20 0 DDADMM O=C(CCCc1ccccn1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822958731 705093511 /nfs/dbraw/zinc/09/35/11/705093511.db2.gz DQLTVOZYZWCACM-UHFFFAOYSA-N -1 1 315.362 1.680 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@@H]2CCSC2)c1 ZINC000867573815 707043781 /nfs/dbraw/zinc/04/37/81/707043781.db2.gz OCUUAGMBBCYVGO-SNVBAGLBSA-N -1 1 317.432 1.822 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@H](CCF)C3)ccnc1-2 ZINC000823058069 705126775 /nfs/dbraw/zinc/12/67/75/705126775.db2.gz JNBUGLKCFSMOMG-LLVKDONJSA-N -1 1 305.357 1.945 20 0 DDADMM O=C(CN1CCC[C@@H](n2ccnn2)C1)[N-]OCc1ccccc1 ZINC000823469587 705250180 /nfs/dbraw/zinc/25/01/80/705250180.db2.gz YECHZPRNQWIMBQ-OAHLLOKOSA-N -1 1 315.377 1.163 20 0 DDADMM CCC(=O)N1CCN(Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000823497715 705255438 /nfs/dbraw/zinc/25/54/38/705255438.db2.gz LKWHNOMZZLYXRQ-UHFFFAOYSA-N -1 1 302.330 1.510 20 0 DDADMM CCOC(=O)[C@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000834870291 707145414 /nfs/dbraw/zinc/14/54/14/707145414.db2.gz RMSHNWZPCUIERM-JTQLQIEISA-N -1 1 320.374 1.043 20 0 DDADMM CC(C)COC(=O)COC(=O)c1sccc1-c1nn[n-]n1 ZINC000824267151 705413725 /nfs/dbraw/zinc/41/37/25/705413725.db2.gz ZOAQOLBLFGXMHB-UHFFFAOYSA-N -1 1 310.335 1.284 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc(OC)cc1C ZINC000824622354 705495114 /nfs/dbraw/zinc/49/51/14/705495114.db2.gz FGTLZXGZZSYIQR-UHFFFAOYSA-N -1 1 309.362 1.936 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]3[C@@H]3C[C@@H]3C)nc2n1 ZINC000875838343 705531994 /nfs/dbraw/zinc/53/19/94/705531994.db2.gz KMDMDTCHZLMEMQ-JTLRNRKASA-N -1 1 315.377 1.601 20 0 DDADMM Cc1cccc(CO[N-]C(=O)[C@@H]2C[C@@]23c2ccccc2NC3=O)n1 ZINC000825565494 705693987 /nfs/dbraw/zinc/69/39/87/705693987.db2.gz FVHOHZPIUYEHSV-KBXCAEBGSA-N -1 1 323.352 1.848 20 0 DDADMM COC(=O)[C@@H](CCF)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000911886475 711051941 /nfs/dbraw/zinc/05/19/41/711051941.db2.gz RVRCMZQXGXJWDV-SNVBAGLBSA-N -1 1 324.283 1.711 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)NC[C@H](O)C(F)(F)F ZINC000876395130 705707989 /nfs/dbraw/zinc/70/79/89/705707989.db2.gz UHPCMELIOMCEIS-VIFPVBQESA-N -1 1 312.675 1.768 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC[C@@](O)(C(F)F)C1 ZINC000876397247 705708585 /nfs/dbraw/zinc/70/85/85/705708585.db2.gz ZILDTIVKKUPBCI-ZDUSSCGKSA-N -1 1 320.723 1.957 20 0 DDADMM CO[C@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000826145810 705777530 /nfs/dbraw/zinc/77/75/30/705777530.db2.gz MNZZWPUYLOJBHK-VIFPVBQESA-N -1 1 307.379 1.569 20 0 DDADMM CO[C@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000826145810 705777531 /nfs/dbraw/zinc/77/75/31/705777531.db2.gz MNZZWPUYLOJBHK-VIFPVBQESA-N -1 1 307.379 1.569 20 0 DDADMM COc1cccc(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)c1 ZINC000826216846 705781981 /nfs/dbraw/zinc/78/19/81/705781981.db2.gz PXYCCYKHJVSUFT-UHFFFAOYSA-N -1 1 314.305 1.353 20 0 DDADMM COc1cccc(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)c1 ZINC000826216846 705781984 /nfs/dbraw/zinc/78/19/84/705781984.db2.gz PXYCCYKHJVSUFT-UHFFFAOYSA-N -1 1 314.305 1.353 20 0 DDADMM Cc1onc(CC(=O)N(C)C2CCCCC2)c1-c1nnn[n-]1 ZINC000826343120 705793077 /nfs/dbraw/zinc/79/30/77/705793077.db2.gz MUEFRSNKFOWBDW-UHFFFAOYSA-N -1 1 304.354 1.497 20 0 DDADMM Cc1onc(CC(=O)N(C)C2CCCCC2)c1-c1nn[n-]n1 ZINC000826343120 705793080 /nfs/dbraw/zinc/79/30/80/705793080.db2.gz MUEFRSNKFOWBDW-UHFFFAOYSA-N -1 1 304.354 1.497 20 0 DDADMM Cc1onc(CC(=O)NCC2(CC(C)C)CC2)c1-c1nnn[n-]1 ZINC000826347179 705794344 /nfs/dbraw/zinc/79/43/44/705794344.db2.gz HMFLVGJDXSVVPY-UHFFFAOYSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)NCC2(CC(C)C)CC2)c1-c1nn[n-]n1 ZINC000826347179 705794347 /nfs/dbraw/zinc/79/43/47/705794347.db2.gz HMFLVGJDXSVVPY-UHFFFAOYSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)NCCc2ccsc2)c1-c1nnn[n-]1 ZINC000826347881 705794718 /nfs/dbraw/zinc/79/47/18/705794718.db2.gz KGQBSISWBWULDN-UHFFFAOYSA-N -1 1 318.362 1.126 20 0 DDADMM Cc1onc(CC(=O)NCCc2ccsc2)c1-c1nn[n-]n1 ZINC000826347881 705794721 /nfs/dbraw/zinc/79/47/21/705794721.db2.gz KGQBSISWBWULDN-UHFFFAOYSA-N -1 1 318.362 1.126 20 0 DDADMM O=C(OCc1ccc(CO)cc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826465726 705802886 /nfs/dbraw/zinc/80/28/86/705802886.db2.gz ZPKGWVLYYCYFHY-UHFFFAOYSA-N -1 1 311.301 1.111 20 0 DDADMM O=C(OCc1ccc(CO)cc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826465726 705802890 /nfs/dbraw/zinc/80/28/90/705802890.db2.gz ZPKGWVLYYCYFHY-UHFFFAOYSA-N -1 1 311.301 1.111 20 0 DDADMM CCc1noc([C@H](C)[N-]S(=O)(=O)c2c(C)nn(C)c2C)n1 ZINC000863456459 705933953 /nfs/dbraw/zinc/93/39/53/705933953.db2.gz AVYNVCHJEHZHRX-QMMMGPOBSA-N -1 1 313.383 1.022 20 0 DDADMM O=C(CCN1CCOC1=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000863474230 705936039 /nfs/dbraw/zinc/93/60/39/705936039.db2.gz ABPMOSKSRFZMKB-UHFFFAOYSA-N -1 1 316.317 1.359 20 0 DDADMM CO[C@H](C)CN(C(=O)c1ccc2n[n-]c(=S)n2c1)C(C)C ZINC000863943249 706042665 /nfs/dbraw/zinc/04/26/65/706042665.db2.gz UNCYTCWUASNJOW-SNVBAGLBSA-N -1 1 308.407 1.904 20 0 DDADMM CC(C)(C)N1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1=O ZINC000864064934 706057521 /nfs/dbraw/zinc/05/75/21/706057521.db2.gz GEYMTWCRUVZBPE-NSHDSACASA-N -1 1 318.377 1.189 20 0 DDADMM C/C(=C\C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)c1ccco1 ZINC000864160146 706084687 /nfs/dbraw/zinc/08/46/87/706084687.db2.gz SGEXAGYKJHPPGF-RSKUSDAESA-N -1 1 306.362 1.690 20 0 DDADMM CC(C)C1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)COC1 ZINC000827902129 706084715 /nfs/dbraw/zinc/08/47/15/706084715.db2.gz RRGLEUWKPBFMRZ-LBPRGKRZSA-N -1 1 301.350 1.078 20 0 DDADMM C[C@H](Cn1ccnc1)[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872437559 707410128 /nfs/dbraw/zinc/41/01/28/707410128.db2.gz LTDSBONDIJKVQC-DGIBIBHMSA-N -1 1 322.456 1.002 20 0 DDADMM Cc1cnn(C)c1CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000877774711 706205747 /nfs/dbraw/zinc/20/57/47/706205747.db2.gz FFABLMOHVYHVFT-LBPRGKRZSA-N -1 1 304.316 1.371 20 0 DDADMM CC(C)C1(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)COC1 ZINC000828680377 706216356 /nfs/dbraw/zinc/21/63/56/706216356.db2.gz LZULEHDPTVUNFQ-GFCCVEGCSA-N -1 1 322.327 1.329 20 0 DDADMM C[C@H]1c2cccn2CCN1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000877923636 706242011 /nfs/dbraw/zinc/24/20/11/706242011.db2.gz TVDODHAFGKUXAY-NSHDSACASA-N -1 1 300.362 1.633 20 0 DDADMM Cc1ccncc1C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872495852 707446145 /nfs/dbraw/zinc/44/61/45/707446145.db2.gz PDRQLVAOUFGXNU-IBGZPJMESA-N -1 1 319.452 1.621 20 0 DDADMM CCC[C@@](C)([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC ZINC000829903023 706416785 /nfs/dbraw/zinc/41/67/85/706416785.db2.gz ZBWHZEMWTCTOMZ-SNVBAGLBSA-N -1 1 309.775 1.073 20 0 DDADMM COC(=O)c1csc(CN2CCC(c3n[nH]c(=O)[n-]3)CC2)c1 ZINC000878539548 706420793 /nfs/dbraw/zinc/42/07/93/706420793.db2.gz ZKFIFIBVOUUFGZ-UHFFFAOYSA-N -1 1 322.390 1.738 20 0 DDADMM CCOC(=O)[C@@H](C)[N-]S(=O)(=O)Cc1c(F)cccc1Cl ZINC000830160793 706466533 /nfs/dbraw/zinc/46/65/33/706466533.db2.gz IWPYAQRRCZRDCA-MRVPVSSYSA-N -1 1 323.773 1.850 20 0 DDADMM O=C([C@H]1Cc2ccc(Cl)cc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000865595470 706472600 /nfs/dbraw/zinc/47/26/00/706472600.db2.gz KMMWFVNIUGKBPP-RYUDHWBXSA-N -1 1 319.752 1.093 20 0 DDADMM CCc1ccc(F)cc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000865595630 706472683 /nfs/dbraw/zinc/47/26/83/706472683.db2.gz NRKIUBWNNYZEEQ-GFCCVEGCSA-N -1 1 305.313 1.115 20 0 DDADMM CCCCOC(=O)C(C)(C)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000878845968 706515551 /nfs/dbraw/zinc/51/55/51/706515551.db2.gz PSHOYFIZZQTCPS-UHFFFAOYSA-N -1 1 323.393 1.466 20 0 DDADMM C[S@](=O)CC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000830540765 706533642 /nfs/dbraw/zinc/53/36/42/706533642.db2.gz XRLAAUFCIKMUCN-SFHVURJKSA-N -1 1 305.352 1.229 20 0 DDADMM Cc1nc(CC(=O)[N-]OCc2ccc(C(F)(F)F)cc2)n[nH]1 ZINC000830754044 706574385 /nfs/dbraw/zinc/57/43/85/706574385.db2.gz UCNZSCGFGYRIAO-UHFFFAOYSA-N -1 1 314.267 1.922 20 0 DDADMM COC[C@H](C)[C@@H](C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830802195 706582751 /nfs/dbraw/zinc/58/27/51/706582751.db2.gz QZQORNDDJJLHFB-CWSCBRNRSA-N -1 1 324.343 1.575 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CC[C@@H]2CCOC2)C1 ZINC000830816353 706585516 /nfs/dbraw/zinc/58/55/16/706585516.db2.gz OMYXHGQPIQLVOI-MFKMUULPSA-N -1 1 322.327 1.473 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)Cc2ccsc2)C1 ZINC000830842094 706590843 /nfs/dbraw/zinc/59/08/43/706590843.db2.gz YKJXNLNHHBLGQU-GFCCVEGCSA-N -1 1 320.336 1.960 20 0 DDADMM COCc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1F ZINC000866901256 706839447 /nfs/dbraw/zinc/83/94/47/706839447.db2.gz BEZIKBKNLBHFNA-LLVKDONJSA-N -1 1 319.340 1.505 20 0 DDADMM CC[C@@](C)(CC(=O)OC)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000866914256 706843422 /nfs/dbraw/zinc/84/34/22/706843422.db2.gz POYBWJVLYAKCLJ-NSHDSACASA-N -1 1 306.409 1.462 20 0 DDADMM C[C@H](CN(C)C(=O)/C=C/COc1ccccc1)c1nn[n-]n1 ZINC000832126083 706848192 /nfs/dbraw/zinc/84/81/92/706848192.db2.gz JIYFLZCEXIFTLT-UVMWJGKXSA-N -1 1 301.350 1.397 20 0 DDADMM C[C@@H](CN(C)C(=O)c1conc1C(F)(F)F)c1nn[n-]n1 ZINC000832126215 706848334 /nfs/dbraw/zinc/84/83/34/706848334.db2.gz KQWUSGQXVNTYHS-YFKPBYRVSA-N -1 1 304.232 1.082 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCOC2(CCCC2)C1 ZINC000866983030 706864024 /nfs/dbraw/zinc/86/40/24/706864024.db2.gz CJUVZJBDBFLIHV-WYRIXSBYSA-N -1 1 324.468 1.430 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCOC2(CCCC2)C1 ZINC000866983028 706864057 /nfs/dbraw/zinc/86/40/57/706864057.db2.gz CJUVZJBDBFLIHV-NSPYISDASA-N -1 1 324.468 1.430 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CCOC(C)(C)C1 ZINC000867008842 706870800 /nfs/dbraw/zinc/87/08/00/706870800.db2.gz LUDZLTPGRCUIMQ-SNVBAGLBSA-N -1 1 312.457 1.286 20 0 DDADMM C[C@@H]1C[C@H](CC[N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867092373 706893718 /nfs/dbraw/zinc/89/37/18/706893718.db2.gz KPKMOZHSAXKPGH-NXEZZACHSA-N -1 1 320.361 1.843 20 0 DDADMM CC(C)SCC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867267953 706942610 /nfs/dbraw/zinc/94/26/10/706942610.db2.gz HFJWWTLKTSALFA-UHFFFAOYSA-N -1 1 300.471 1.224 20 0 DDADMM CC[C@@H]1C[C@H]([N-]S(=O)(=O)N=S(=O)(CC)CC)CCO1 ZINC000867422348 706997038 /nfs/dbraw/zinc/99/70/38/706997038.db2.gz WZGVGKUXMRVZFQ-GHMZBOCLSA-N -1 1 312.457 1.286 20 0 DDADMM CCC[C@@]1(C(=O)[O-])CCCN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000909020962 712918825 /nfs/dbraw/zinc/91/88/25/712918825.db2.gz SLZTXAYVJPNVHH-BZNIZROVSA-N -1 1 320.393 1.696 20 0 DDADMM CCC[C@@H](C)[C@@H](CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867459149 707007719 /nfs/dbraw/zinc/00/77/19/707007719.db2.gz SRYQQOAODJFSIO-RKDXNWHRSA-N -1 1 308.350 1.435 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](OC)CC[C@@H]2C)c1 ZINC000867634294 707059255 /nfs/dbraw/zinc/05/92/55/707059255.db2.gz FPHJMJQMBMIODF-JQWIXIFHSA-N -1 1 315.391 1.589 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1ccc(F)nc1F)[C@H]1CCCCO1 ZINC000867683477 707073949 /nfs/dbraw/zinc/07/39/49/707073949.db2.gz KXKRVCAVCAPYOD-SNVBAGLBSA-N -1 1 320.361 1.986 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C1(O)CCSCC1 ZINC000834825175 707131275 /nfs/dbraw/zinc/13/12/75/707131275.db2.gz IBWAGLYNJSQTRP-UHFFFAOYSA-N -1 1 301.795 1.920 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)O[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871797914 707207518 /nfs/dbraw/zinc/20/75/18/707207518.db2.gz JCOYKXZCJCICJU-STQMWFEESA-N -1 1 322.405 1.979 20 0 DDADMM CCc1[n-]n(-c2ccc(S(=O)(=O)N(C)C)cc2)c(=O)c1F ZINC000871961929 707257191 /nfs/dbraw/zinc/25/71/91/707257191.db2.gz VVEJRKKADLVCDA-GFCCVEGCSA-N -1 1 313.354 1.388 20 0 DDADMM CC[C@@H](OC(=O)c1coc(S(=O)(=O)[N-]C)c1)C(F)(F)F ZINC000835654340 707302497 /nfs/dbraw/zinc/30/24/97/707302497.db2.gz NZOOLQREHMFLJI-SSDOTTSWSA-N -1 1 315.269 1.685 20 0 DDADMM CC[C@](C)(COC(=O)c1ccc(S(=O)(=O)[N-]C)o1)OC ZINC000835967197 707359969 /nfs/dbraw/zinc/35/99/69/707359969.db2.gz ODXFPEZJDNBRLE-GFCCVEGCSA-N -1 1 305.352 1.160 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1ccnn1C ZINC000872512051 707455631 /nfs/dbraw/zinc/45/56/31/707455631.db2.gz OZJQSAIXKJUIPI-HOGDKLEQSA-N -1 1 322.456 1.212 20 0 DDADMM CC1(C)CCC(=O)N1CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000872754264 707585465 /nfs/dbraw/zinc/58/54/65/707585465.db2.gz ZIIVJSKWGANLEA-ZDUSSCGKSA-N -1 1 321.343 1.488 20 0 DDADMM Cc1nc([C@H]2CCN(C(=O)c3c(F)ccc([O-])c3F)C2)no1 ZINC000927328449 712972181 /nfs/dbraw/zinc/97/21/81/712972181.db2.gz MIBFNECVLFZYSE-QMMMGPOBSA-N -1 1 309.272 1.992 20 0 DDADMM COC[C@@H](CCNC(=O)NCc1ccc([O-])c(Cl)c1)OC ZINC000873061974 707714695 /nfs/dbraw/zinc/71/46/95/707714695.db2.gz MEHFDNNYGNYQOZ-LLVKDONJSA-N -1 1 316.785 1.896 20 0 DDADMM COC[C@H]1C[C@@H]([N-]S(=O)(=O)c2c(F)cc(C)cc2F)C1 ZINC000882702612 707753776 /nfs/dbraw/zinc/75/37/76/707753776.db2.gz GATGQYFLCQQRPN-AOOOYVTPSA-N -1 1 305.346 1.977 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2CC23CCC3)C1 ZINC000873267239 707791522 /nfs/dbraw/zinc/79/15/22/707791522.db2.gz AEOCYQCOXDJLFP-SKDRFNHKSA-N -1 1 319.327 1.782 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@H]1CCc2nc[nH]c2C1)c1ccccc1 ZINC000909293413 712984253 /nfs/dbraw/zinc/98/42/53/712984253.db2.gz HZTRGLQPGFXGPW-STQMWFEESA-N -1 1 313.357 1.499 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)N[C@@H](C(=O)[O-])c1ccccc1F ZINC000909358789 712999851 /nfs/dbraw/zinc/99/98/51/712999851.db2.gz SNSPHIHMGLACPX-BXUZGUMPSA-N -1 1 324.352 1.036 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCCc2cccc(F)c2)C1 ZINC000909409178 713010843 /nfs/dbraw/zinc/01/08/43/713010843.db2.gz UWLJPLMIWWTUAE-AWEZNQCLSA-N -1 1 322.380 1.671 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccns1)C(=O)N1CCCC[C@@H]1C ZINC000884996847 708437817 /nfs/dbraw/zinc/43/78/17/708437817.db2.gz BZRFCCVALHFEJP-VHSXEESVSA-N -1 1 317.436 1.211 20 0 DDADMM CCc1ccc([C@H](O)C[N-]S(=O)(=O)c2ccns2)cc1 ZINC000885185350 708487801 /nfs/dbraw/zinc/48/78/01/708487801.db2.gz RBVGUSPHWQTPOB-GFCCVEGCSA-N -1 1 312.416 1.717 20 0 DDADMM Cc1cnc(Cl)c(S(=O)(=O)[N-]C2(CF)CCOCC2)c1 ZINC000885216015 708496103 /nfs/dbraw/zinc/49/61/03/708496103.db2.gz CKXKKPHIPIFFJJ-UHFFFAOYSA-N -1 1 322.789 1.840 20 0 DDADMM COC1([C@@H](C)[N-]S(=O)(=O)c2ccns2)CCOCC1 ZINC000885252101 708502667 /nfs/dbraw/zinc/50/26/67/708502667.db2.gz UWUWWDLGNGTPSU-SECBINFHSA-N -1 1 306.409 1.006 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000885382221 708531285 /nfs/dbraw/zinc/53/12/85/708531285.db2.gz GCKOKZPRFIQBBS-IONNQARKSA-N -1 1 319.452 1.341 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)CF)c(F)c1 ZINC000885408229 708537647 /nfs/dbraw/zinc/53/76/47/708537647.db2.gz AMUSYJSWGCQCMH-QMMMGPOBSA-N -1 1 307.318 1.639 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000885622091 708586140 /nfs/dbraw/zinc/58/61/40/708586140.db2.gz YBZMQZSVOPMQHY-DOLQZWNJSA-N -1 1 302.346 1.930 20 0 DDADMM C[C@H]1CO[C@@H](C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)C1 ZINC000898439148 708640616 /nfs/dbraw/zinc/64/06/16/708640616.db2.gz PXEWRYYLZUVSGW-GWOFURMSSA-N -1 1 322.327 1.469 20 0 DDADMM CCOCCO[C@@H](C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264619 708732080 /nfs/dbraw/zinc/73/20/80/708732080.db2.gz FCPIPQNSNDRILI-JTQLQIEISA-N -1 1 317.332 1.771 20 0 DDADMM Cn1cncc1CCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266636 708732500 /nfs/dbraw/zinc/73/25/00/708732500.db2.gz SXVFGFLSWNNAEO-UHFFFAOYSA-N -1 1 309.316 1.695 20 0 DDADMM CC(=O)NCC(C)(C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886270128 708733835 /nfs/dbraw/zinc/73/38/35/708733835.db2.gz TVUVIBYBHQZOPQ-UHFFFAOYSA-N -1 1 314.332 1.491 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1cc(CC(C)C)n[nH]1 ZINC000886397658 708753265 /nfs/dbraw/zinc/75/32/65/708753265.db2.gz SSNROINVOJHYRN-UHFFFAOYSA-N -1 1 311.411 1.705 20 0 DDADMM COCCn1cc(S(=O)(=O)[N-]c2ccc(F)nc2F)cn1 ZINC000886412819 708756495 /nfs/dbraw/zinc/75/64/95/708756495.db2.gz UEBPMMGQXAGWNK-UHFFFAOYSA-N -1 1 318.305 1.004 20 0 DDADMM CC[C@@H](C)[C@@H](O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927781671 713054627 /nfs/dbraw/zinc/05/46/27/713054627.db2.gz RXJJTVNNERPONM-OTYXRUKQSA-N -1 1 316.348 1.919 20 0 DDADMM CCOC(=O)[C@H](F)[C@@H]1CCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000898545324 708784563 /nfs/dbraw/zinc/78/45/63/708784563.db2.gz NJTINPZRINNCTF-NOZJJQNGSA-N -1 1 313.300 1.895 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)c(C)n1-c1nccs1 ZINC000912617516 713052453 /nfs/dbraw/zinc/05/24/53/713052453.db2.gz FFHUEWYWKOFPDL-UHFFFAOYSA-N -1 1 303.351 1.340 20 0 DDADMM Cc1cc(C)cc(C2(C(=O)NCc3nc([O-])cc(=O)[nH]3)CC2)c1 ZINC000898750586 708854530 /nfs/dbraw/zinc/85/45/30/708854530.db2.gz HQPIRHFVODGTGT-UHFFFAOYSA-N -1 1 313.357 1.853 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CCCc2sccc21 ZINC000898758800 708857570 /nfs/dbraw/zinc/85/75/70/708857570.db2.gz ZIWAKJFGOUUPQQ-SECBINFHSA-N -1 1 305.359 1.686 20 0 DDADMM C[C@H]1CO[C@H](C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)C1 ZINC000898989907 708946217 /nfs/dbraw/zinc/94/62/17/708946217.db2.gz ADDNKWJCDPXKBJ-ZNSHCXBVSA-N -1 1 322.327 1.327 20 0 DDADMM O=C(N[C@H](CO)C[C@H]1CCCO1)c1c(F)ccc([O-])c1F ZINC000899281953 709043475 /nfs/dbraw/zinc/04/34/75/709043475.db2.gz GKESGHSYXSPHRA-DTWKUNHWSA-N -1 1 301.289 1.330 20 0 DDADMM CCOC(=O)c1cnc(-n2[n-]c(C)c(C(C)C)c2=O)nc1C ZINC000899351303 709065180 /nfs/dbraw/zinc/06/51/80/709065180.db2.gz FWCLWLOOHLINSH-GFCCVEGCSA-N -1 1 304.350 1.956 20 0 DDADMM CCn1cc(C=Cc2cc(=O)[n-]c(-n3nc(C)cc3C)n2)nn1 ZINC000899382055 709071007 /nfs/dbraw/zinc/07/10/07/709071007.db2.gz UTSMSCQSIVQWGU-WAYWQWQTSA-N -1 1 311.349 1.767 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cnn(C3CCC3)c2)CC1 ZINC000899538554 709108112 /nfs/dbraw/zinc/10/81/12/709108112.db2.gz SXTZENQDGVIIKO-UHFFFAOYSA-N -1 1 320.393 1.229 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC(=O)N2CCC[C@H]21 ZINC000888172973 709233606 /nfs/dbraw/zinc/23/36/06/709233606.db2.gz XMVBCJXIJVKKBO-LLVKDONJSA-N -1 1 318.377 1.408 20 0 DDADMM Cn1nccc1[C@@H](NCc1ccc2c(c1)CCCO2)C(=O)[O-] ZINC000900041958 709274902 /nfs/dbraw/zinc/27/49/02/709274902.db2.gz WUYUFQASXBLWNF-OAHLLOKOSA-N -1 1 301.346 1.661 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)N2CC[C@@H]2CO)c([O-])c1 ZINC000889146279 709454106 /nfs/dbraw/zinc/45/41/06/709454106.db2.gz SAEUXFZBVMEUJK-LLVKDONJSA-N -1 1 322.361 1.946 20 0 DDADMM CC1(NC(=O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)Cc2ccccc2C1 ZINC000909548567 709511414 /nfs/dbraw/zinc/51/14/14/709511414.db2.gz FBOBSODSAJLOGT-HNNXBMFYSA-N -1 1 316.401 1.457 20 0 DDADMM CC1(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)Cc2ccccc2C1 ZINC000909548567 709511417 /nfs/dbraw/zinc/51/14/17/709511417.db2.gz FBOBSODSAJLOGT-HNNXBMFYSA-N -1 1 316.401 1.457 20 0 DDADMM CSCC[C@H](NC(=O)c1c(F)cncc1F)c1nn[n-]n1 ZINC000912858727 713109201 /nfs/dbraw/zinc/10/92/01/713109201.db2.gz ZQKPBNJCCWLVDW-QMMMGPOBSA-N -1 1 314.321 1.097 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(Cl)nc1)c1nn[n-]n1 ZINC000912860075 713109698 /nfs/dbraw/zinc/10/96/98/713109698.db2.gz LUTSQPLJIWCQJT-QMMMGPOBSA-N -1 1 312.786 1.472 20 0 DDADMM COC(=O)[C@](C)(CO)NC(=O)c1cc2ccccc2cc1[O-] ZINC000909581587 709525824 /nfs/dbraw/zinc/52/58/24/709525824.db2.gz AEAUDJXGZVWOHN-INIZCTEOSA-N -1 1 303.314 1.199 20 0 DDADMM COC1(C(=O)N[C@@H](CCSC)c2nn[n-]n2)CCCCC1 ZINC000912862362 713111030 /nfs/dbraw/zinc/11/10/30/713111030.db2.gz NTRNCARCMNCZST-JTQLQIEISA-N -1 1 313.427 1.459 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1CCc2cccnc21)c1nn[n-]n1 ZINC000912862700 713111058 /nfs/dbraw/zinc/11/10/58/713111058.db2.gz VOCHIWCCERDWBV-MNOVXSKESA-N -1 1 318.406 1.235 20 0 DDADMM CSCC[C@H](NC(=O)c1coc(SC)c1)c1nn[n-]n1 ZINC000912863369 713111363 /nfs/dbraw/zinc/11/13/63/713111363.db2.gz VPSFNPAZUCZTGW-QMMMGPOBSA-N -1 1 313.408 1.739 20 0 DDADMM CO[C@H]1CCC[C@@H](C(=O)N[C@@H](CCSC)c2nn[n-]n2)C1 ZINC000912863333 713111608 /nfs/dbraw/zinc/11/16/08/713111608.db2.gz UEURVNIGBQSJRX-VWYCJHECSA-N -1 1 313.427 1.315 20 0 DDADMM CCN(CC)[C@H](C(=O)N[C@@H](COC)C(=O)[O-])c1ccccc1 ZINC000909660704 709563443 /nfs/dbraw/zinc/56/34/43/709563443.db2.gz BYXAEHYQONRJRL-KBPBESRZSA-N -1 1 308.378 1.285 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000909680334 709574313 /nfs/dbraw/zinc/57/43/13/709574313.db2.gz UUPILIUMJXWKFW-ZDMBXUJBSA-N -1 1 300.318 1.283 20 0 DDADMM C[C@@H]1CC[C@@]2(CCN(C(=O)C[N@H+]3CCC[C@@H](C(=O)[O-])C3)C2)C1 ZINC000909730445 709598888 /nfs/dbraw/zinc/59/88/88/709598888.db2.gz MBTOPDXUWHPFER-CKEIUWERSA-N -1 1 308.422 1.822 20 0 DDADMM C[C@H]1CCN(C(=O)CN(C)CCc2ccccc2)[C@H](C(=O)[O-])C1 ZINC000909783896 709618577 /nfs/dbraw/zinc/61/85/77/709618577.db2.gz VYCMEQJNOFKAKH-HOCLYGCPSA-N -1 1 318.417 1.873 20 0 DDADMM CC[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786910 709635619 /nfs/dbraw/zinc/63/56/19/709635619.db2.gz OSGNNHPHKKCKAS-UTUOFQBUSA-N -1 1 321.377 1.669 20 0 DDADMM COC[C@@H](C)CC(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889791488 709638331 /nfs/dbraw/zinc/63/83/31/709638331.db2.gz HTRLSFPAXODHLA-QWRGUYRKSA-N -1 1 309.366 1.527 20 0 DDADMM CC(C)C[C@@H](C(=O)[O-])N(C)C(=O)c1cc(-c2ccn(C)c2)[nH]n1 ZINC000909853622 709652984 /nfs/dbraw/zinc/65/29/84/709652984.db2.gz IDROXOJEOVRTGT-AWEZNQCLSA-N -1 1 318.377 1.987 20 0 DDADMM O=C(c1cscc1C(F)F)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000900625745 709683941 /nfs/dbraw/zinc/68/39/41/709683941.db2.gz NKRHANHKIOVFHT-QMMMGPOBSA-N -1 1 315.305 1.413 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)C1C[C@@H]2COC[C@H](C1)C2=O ZINC000909980669 709716509 /nfs/dbraw/zinc/71/65/09/709716509.db2.gz CQIKJFCHOPPTEL-CBLAIPOGSA-N -1 1 311.284 1.851 20 0 DDADMM CC(C)(C(=O)Nc1cc([O-])c(F)cc1F)S(=O)(=O)C1CC1 ZINC000909986355 709721088 /nfs/dbraw/zinc/72/10/88/709721088.db2.gz HVTRCCGOXACDSD-UHFFFAOYSA-N -1 1 319.329 1.965 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)[C@H]2CCc3[nH]cnc3C2)c(F)c1 ZINC000909987775 709722390 /nfs/dbraw/zinc/72/23/90/709722390.db2.gz GRCNXHAYVJDWSI-VIFPVBQESA-N -1 1 317.320 1.668 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCO[C@H]3CCOC3)cnc2n1 ZINC000900717443 709725994 /nfs/dbraw/zinc/72/59/94/709725994.db2.gz NZVBMZNIEFMROS-NSHDSACASA-N -1 1 317.345 1.179 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCO[C@H]1CCOC1)c2=O ZINC000900717443 709725996 /nfs/dbraw/zinc/72/59/96/709725996.db2.gz NZVBMZNIEFMROS-NSHDSACASA-N -1 1 317.345 1.179 20 0 DDADMM CCc1cccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)n1 ZINC000910172619 709797162 /nfs/dbraw/zinc/79/71/62/709797162.db2.gz DHXSQISAXBDFSW-LBPRGKRZSA-N -1 1 305.378 1.265 20 0 DDADMM Cc1ccncc1/C=C\C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910174932 709797918 /nfs/dbraw/zinc/79/79/18/709797918.db2.gz IOBVNDCMOMTMLO-NQHOJNORSA-N -1 1 317.389 1.411 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(-n2ccc(C(=O)[O-])n2)c(F)c1)N(C)C ZINC000910248852 709839212 /nfs/dbraw/zinc/83/92/12/709839212.db2.gz SUOWJJJFTBFYOV-VIFPVBQESA-N -1 1 320.324 1.598 20 0 DDADMM O=C(NC[C@@H](CO)c1ccccc1)c1cnc(C2CC2)[n-]c1=O ZINC000901007022 709875611 /nfs/dbraw/zinc/87/56/11/709875611.db2.gz RBXBXQDVGZZLJY-ZDUSSCGKSA-N -1 1 313.357 1.566 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@](C)(CC(=O)[O-])C3CC3)c2C1 ZINC000910320096 709888659 /nfs/dbraw/zinc/88/86/59/709888659.db2.gz WIIDWVZPNWXHAO-JDNHERCYSA-N -1 1 305.378 1.908 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC1([C@H]2CCCCO2)CCC1 ZINC000910363635 709909909 /nfs/dbraw/zinc/90/99/09/709909909.db2.gz GWEOQTIWRJEUKF-ZIAGYGMSSA-N -1 1 324.421 1.391 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@@H]1CCCN1C1CC1)Oc1ccccc1 ZINC000910484290 709966781 /nfs/dbraw/zinc/96/67/81/709966781.db2.gz BELFVKJWBYJPKS-LSDHHAIUSA-N -1 1 318.373 1.262 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@H](Cc1cc2ccccc2o1)C(=O)[O-] ZINC000910581223 710009072 /nfs/dbraw/zinc/00/90/72/710009072.db2.gz PKTYBMVWTBDZGI-KGLIPLIRSA-N -1 1 316.357 1.639 20 0 DDADMM CN(Cc1cn(-c2ccccc2)nc1C(=O)[O-])[C@@H]1CCOC1 ZINC000901429558 710037032 /nfs/dbraw/zinc/03/70/32/710037032.db2.gz BDLWORXUNIOWIQ-CQSZACIVSA-N -1 1 301.346 1.791 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCC[C@@H](CC(=O)[O-])C2)C1 ZINC000901453370 710044738 /nfs/dbraw/zinc/04/47/38/710044738.db2.gz NKMULVZAMKHOAE-NTZNESFSSA-N -1 1 305.378 1.789 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1ccc(OC(F)F)nc1 ZINC000901665655 710114295 /nfs/dbraw/zinc/11/42/95/710114295.db2.gz IYQJPICJUXMNMC-UHFFFAOYSA-N -1 1 315.323 1.067 20 0 DDADMM O=C(CCN1CCCS1(=O)=O)Nc1cc(F)cc(F)c1[O-] ZINC000910981759 710140364 /nfs/dbraw/zinc/14/03/64/710140364.db2.gz RBGICPVXIKCDGO-UHFFFAOYSA-N -1 1 320.317 1.035 20 0 DDADMM CO[C@@H](C(=O)Nc1cc(F)cc(F)c1[O-])C(=O)OC(C)(C)C ZINC000910990719 710143989 /nfs/dbraw/zinc/14/39/89/710143989.db2.gz JBRSWBPUSZDRRK-NSHDSACASA-N -1 1 317.288 1.966 20 0 DDADMM CCCn1cc(CN2C[C@H](C(=O)[O-])[C@H](c3ccccc3)C2)nn1 ZINC000901886532 710165633 /nfs/dbraw/zinc/16/56/33/710165633.db2.gz OYQJFRVPDVZEAV-HOTGVXAUSA-N -1 1 314.389 1.988 20 0 DDADMM Cc1cc(CN2C[C@@H](C)O[C@H](C(=O)[O-])C2)ccc1-n1cncn1 ZINC000901933311 710178145 /nfs/dbraw/zinc/17/81/45/710178145.db2.gz YRHSSHCTJJWMHX-DOMZBBRYSA-N -1 1 316.361 1.250 20 0 DDADMM Cc1cc(C)n2c(CN3CCSC[C@@H]3CC(=O)[O-])cnc2n1 ZINC000901984708 710192449 /nfs/dbraw/zinc/19/24/49/710192449.db2.gz PGTLQZVILCKUFC-LBPRGKRZSA-N -1 1 320.418 1.738 20 0 DDADMM COCc1nc(NCCCN2CCCCCC2=O)cc(=O)[n-]1 ZINC000891517994 710225513 /nfs/dbraw/zinc/22/55/13/710225513.db2.gz VUHQKMLYEMLHCK-UHFFFAOYSA-N -1 1 308.382 1.533 20 0 DDADMM Cn1ncc(Cl)c1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891614084 710248664 /nfs/dbraw/zinc/24/86/64/710248664.db2.gz KMOFVYFUYSCUBY-UHFFFAOYSA-N -1 1 307.741 1.700 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1cn2c(n1)CCCC2 ZINC000891618545 710250056 /nfs/dbraw/zinc/25/00/56/710250056.db2.gz PUXVGBNJFADMCH-UHFFFAOYSA-N -1 1 313.361 1.846 20 0 DDADMM CC[C@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)N1CCCC1=O ZINC000891621920 710251013 /nfs/dbraw/zinc/25/10/13/710251013.db2.gz KQKUEYBKWQHWPY-GFCCVEGCSA-N -1 1 318.377 1.401 20 0 DDADMM C[C@@H](OC[C@@H]1CCCO1)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891624025 710251193 /nfs/dbraw/zinc/25/11/93/710251193.db2.gz OLNGTDYXZPXGLE-MNOVXSKESA-N -1 1 321.377 1.584 20 0 DDADMM CCC(CC)S(=O)(=O)[N-]C(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC000892692375 710483061 /nfs/dbraw/zinc/48/30/61/710483061.db2.gz WFZUNFNDSHZHCZ-SECBINFHSA-N -1 1 313.423 1.783 20 0 DDADMM CC[C@@H](C(=O)Nc1ccc2cnn(CC(=O)[O-])c2c1)N(C)C ZINC000911074431 710623006 /nfs/dbraw/zinc/62/30/06/710623006.db2.gz GJFCMKICURTMQT-LBPRGKRZSA-N -1 1 304.350 1.400 20 0 DDADMM COCc1nc(N2CCc3ccc(OC)cc3CC2)cc(=O)[n-]1 ZINC000893392455 710633833 /nfs/dbraw/zinc/63/38/33/710633833.db2.gz HVOUDMJERUGEKK-UHFFFAOYSA-N -1 1 315.373 1.942 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)Nc1ccc(CN2CCN(C)CC2)cc1 ZINC000911174976 710669699 /nfs/dbraw/zinc/66/96/99/710669699.db2.gz CJTSSURBDLSKHZ-OAHLLOKOSA-N -1 1 319.405 1.483 20 0 DDADMM COCc1nc(N(C)CCCNC(=O)C2CCC2)cc(=O)[n-]1 ZINC000893493943 710677594 /nfs/dbraw/zinc/67/75/94/710677594.db2.gz HTBJGOOXJPUFBE-UHFFFAOYSA-N -1 1 308.382 1.071 20 0 DDADMM C[C@](O)(CNCc1cc(C(=O)[O-])no1)c1ccc(F)cc1F ZINC000902256452 710684175 /nfs/dbraw/zinc/68/41/75/710684175.db2.gz HTOGXKUBZXWOEG-AWEZNQCLSA-N -1 1 312.272 1.648 20 0 DDADMM CCN(CC)[C@H](C(=O)NCCOCC(=O)[O-])c1ccccc1 ZINC000902293951 710701619 /nfs/dbraw/zinc/70/16/19/710701619.db2.gz QSCQGNXLYJXEMA-HNNXBMFYSA-N -1 1 308.378 1.287 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2cc(C(=O)[O-])oc2C)C1 ZINC000911351786 710756742 /nfs/dbraw/zinc/75/67/42/710756742.db2.gz FVOXTADZRRMVGB-LLVKDONJSA-N -1 1 310.350 1.127 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)N[C@H](C)C1(N2CCOCC2)CCCC1 ZINC000911450494 710804396 /nfs/dbraw/zinc/80/43/96/710804396.db2.gz DCNCFTIKQDEOCF-CHWSQXEVSA-N -1 1 312.410 1.247 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(C(=O)[O-])cc2)CCN1CC(C)(C)O ZINC000911501476 710825860 /nfs/dbraw/zinc/82/58/60/710825860.db2.gz VCQCELQPLROXIG-GFCCVEGCSA-N -1 1 320.389 1.302 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)/C=C\c1ccc(C(=O)[O-])o1 ZINC000911569319 710859166 /nfs/dbraw/zinc/85/91/66/710859166.db2.gz CCBWXGKJWSFMKP-VFFGBVLKSA-N -1 1 306.362 1.934 20 0 DDADMM Cc1[nH]ncc1C1CCN(C(=O)c2ccnc(C(=O)[O-])c2)CC1 ZINC000911595946 710873650 /nfs/dbraw/zinc/87/36/50/710873650.db2.gz SPXATNVWLGPWHS-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM CCCN(C(=O)c1cc([C@H]2CCCN2C)n[nH]1)[C@@H](C)C(=O)[O-] ZINC000911715030 710945711 /nfs/dbraw/zinc/94/57/11/710945711.db2.gz UFJDMRNQDHDENV-GXFFZTMASA-N -1 1 308.382 1.502 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1ccnc(SC)c1 ZINC000903100326 711031227 /nfs/dbraw/zinc/03/12/27/711031227.db2.gz NVVDNADDEWZVNU-UHFFFAOYSA-N -1 1 323.465 1.968 20 0 DDADMM CN1CC[C@H]1CNC(=O)c1cc(Br)c(F)cc1[O-] ZINC000928557152 713225230 /nfs/dbraw/zinc/22/52/30/713225230.db2.gz WZYTYQCILWCXLP-ZETCQYMHSA-N -1 1 317.158 1.728 20 0 DDADMM O=C(NCC[C@@]1(O)CCOC1)c1c([O-])cnc2c(F)cccc21 ZINC000911889519 711053738 /nfs/dbraw/zinc/05/37/38/711053738.db2.gz QEILDVZYWMJTFH-MRXNPFEDSA-N -1 1 320.320 1.351 20 0 DDADMM CSCCCCCCC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913493395 713236906 /nfs/dbraw/zinc/23/69/06/713236906.db2.gz SFIXDGRBEBZFLB-NSHDSACASA-N -1 1 313.427 1.413 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@@H]1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494952 713238028 /nfs/dbraw/zinc/23/80/28/713238028.db2.gz DROVTMWAKALVKF-VWYCJHECSA-N -1 1 319.390 1.273 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)s1 ZINC000913496199 713238249 /nfs/dbraw/zinc/23/82/49/713238249.db2.gz VILBIGYWSVVNKJ-SECBINFHSA-N -1 1 321.406 1.772 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)s1 ZINC000913496200 713238398 /nfs/dbraw/zinc/23/83/98/713238398.db2.gz VILBIGYWSVVNKJ-VIFPVBQESA-N -1 1 321.406 1.772 20 0 DDADMM O=C(CC[C@H]1CC1(Cl)Cl)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913498592 713239331 /nfs/dbraw/zinc/23/93/31/713239331.db2.gz IOVIJDBSBGVFCR-YUMQZZPRSA-N -1 1 320.180 1.074 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@H]1c2ccccc2CC[C@H]1O ZINC000904262007 711420722 /nfs/dbraw/zinc/42/07/22/711420722.db2.gz UVGVOLKLVOARRJ-CABCVRRESA-N -1 1 301.346 1.256 20 0 DDADMM COCc1nc(N2CCC(Nc3ccccn3)CC2)cc(=O)[n-]1 ZINC000895347533 711491376 /nfs/dbraw/zinc/49/13/76/711491376.db2.gz INVGIUPWFOEDTK-UHFFFAOYSA-N -1 1 315.377 1.805 20 0 DDADMM CC[C@@](CC(=O)[O-])(C(=O)NC[C@H]1CCN1C)c1ccc(C)cc1 ZINC000905131797 711946510 /nfs/dbraw/zinc/94/65/10/711946510.db2.gz VVYVXACRHNRIIW-QAPCUYQASA-N -1 1 318.417 1.938 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742188 713291738 /nfs/dbraw/zinc/29/17/38/713291738.db2.gz MBGGASGJSHRAMT-NSHDSACASA-N -1 1 301.350 1.373 20 0 DDADMM O=C([C@H]1Cc2ccccc2S1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742520 713291885 /nfs/dbraw/zinc/29/18/85/713291885.db2.gz HCDMICTZEFBWBH-CYBMUJFWSA-N -1 1 315.402 1.623 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)CCOC2CCCCC2)n1 ZINC000905251537 711980408 /nfs/dbraw/zinc/98/04/08/711980408.db2.gz FAEVQRJUJARENY-UHFFFAOYSA-N -1 1 316.379 1.319 20 0 DDADMM O=C(NC[C@@H]1CCC12CCOCC2)c1cnc(C2CC2)[n-]c1=O ZINC000913753088 713295660 /nfs/dbraw/zinc/29/56/60/713295660.db2.gz HRJOFVUOAGFGET-LBPRGKRZSA-N -1 1 317.389 1.996 20 0 DDADMM O=C([O-])[C@H](NCCOCCO)c1ccccc1Br ZINC000905401528 712028450 /nfs/dbraw/zinc/02/84/50/712028450.db2.gz TWSVDYDJBQSLFP-LLVKDONJSA-N -1 1 318.167 1.173 20 0 DDADMM Cc1cccc(CN2CCN(c3cc(C(=O)[O-])ncn3)CC2)c1 ZINC000906140012 712252508 /nfs/dbraw/zinc/25/25/08/712252508.db2.gz NVAQFDWYFQRJTP-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM CC(C)[C@H]1CN(S(=O)(=O)c2ccc(C(=O)[O-])cc2)CCN1 ZINC000907056702 712476434 /nfs/dbraw/zinc/47/64/34/712476434.db2.gz RERVLRYQYIZTDM-CYBMUJFWSA-N -1 1 312.391 1.003 20 0 DDADMM C[C@@H](O)[C@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000907264281 712526989 /nfs/dbraw/zinc/52/69/89/712526989.db2.gz KLDGMRNVGIRFBF-ZJUUUORDSA-N -1 1 304.321 1.922 20 0 DDADMM O=C(NN1Cc2ccccc2C1=O)c1cnc(C2CC2)[n-]c1=O ZINC000907291575 712535407 /nfs/dbraw/zinc/53/54/07/712535407.db2.gz PIHONQULZOAOLX-UHFFFAOYSA-N -1 1 310.313 1.360 20 0 DDADMM CCn1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1C ZINC000907474947 712581896 /nfs/dbraw/zinc/58/18/96/712581896.db2.gz ILRIMAHUZVSJSW-NSHDSACASA-N -1 1 306.395 1.260 20 0 DDADMM CO[C@@H]1CCC[C@H](C(=O)N2CCSC[C@H]2c2nn[n-]n2)C1 ZINC000907479796 712583356 /nfs/dbraw/zinc/58/33/56/712583356.db2.gz IIVCRROVTVJJMZ-AXFHLTTASA-N -1 1 311.411 1.021 20 0 DDADMM CSCC1(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)CC1 ZINC000907480675 712583878 /nfs/dbraw/zinc/58/38/78/712583878.db2.gz QEJICSPMIRDBDL-SECBINFHSA-N -1 1 313.452 1.350 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)nc1 ZINC000907480980 712583920 /nfs/dbraw/zinc/58/39/20/712583920.db2.gz RKYZBYWULRACDC-LBPRGKRZSA-N -1 1 318.406 1.648 20 0 DDADMM O=C([C@H]1CCCCCC(=O)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481945 712584057 /nfs/dbraw/zinc/58/40/57/712584057.db2.gz ZPJSTIHCTSHLMP-CMPLNLGQSA-N -1 1 323.422 1.356 20 0 DDADMM C[C@@H](CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccco1 ZINC000907481696 712584166 /nfs/dbraw/zinc/58/41/66/712584166.db2.gz JYBWRJAGQAMYJR-VHSXEESVSA-N -1 1 307.379 1.603 20 0 DDADMM CC(C)(C)C[C@@H](O)CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481421 712584169 /nfs/dbraw/zinc/58/41/69/712584169.db2.gz WEVOTAANHMYQDH-UWVGGRQHSA-N -1 1 313.427 1.003 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC12CCCCC2)c1ccns1 ZINC000907646917 712608223 /nfs/dbraw/zinc/60/82/23/712608223.db2.gz FGLFWVMXGWKBFQ-SNVBAGLBSA-N -1 1 302.421 1.913 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@H]1[C@@H]2CCC(=O)[C@@H]21 ZINC000907666917 712611636 /nfs/dbraw/zinc/61/16/36/712611636.db2.gz MTABYDTWURTFEB-PDFZIDOMSA-N -1 1 311.135 1.713 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CC(O)C2)c1cc(F)c(F)cc1F ZINC000907789181 712626890 /nfs/dbraw/zinc/62/68/90/712626890.db2.gz XBHDMQPWZKVIRA-LXJQOYHNSA-N -1 1 307.293 1.296 20 0 DDADMM CO[C@@H]1CCOC[C@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000907834573 712633095 /nfs/dbraw/zinc/63/30/95/712633095.db2.gz BNJFTBJXUPODFM-GHMZBOCLSA-N -1 1 323.773 1.561 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3nc(C)c(C)s3)CC2)n1 ZINC000907864119 712637859 /nfs/dbraw/zinc/63/78/59/712637859.db2.gz GBMKXXOWZUGMST-UHFFFAOYSA-N -1 1 314.392 1.419 20 0 DDADMM CCOC(=O)[C@@H]1CSCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000907900937 712644479 /nfs/dbraw/zinc/64/44/79/712644479.db2.gz JJXXUUWKNRGIJU-NSHDSACASA-N -1 1 309.343 1.040 20 0 DDADMM Cc1cc(F)cc(C)c1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907942227 712652693 /nfs/dbraw/zinc/65/26/93/712652693.db2.gz VHNYWFCREAIIJP-UHFFFAOYSA-N -1 1 319.340 1.079 20 0 DDADMM Cn1ncc2ccc(CNC(=O)c3cnc(C4CC4)[n-]c3=O)cc21 ZINC000908313186 712738163 /nfs/dbraw/zinc/73/81/63/712738163.db2.gz GPRFXEVUHSTKDI-UHFFFAOYSA-N -1 1 323.356 1.876 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(c2ccccc2C)=NO1 ZINC000908369787 712754368 /nfs/dbraw/zinc/75/43/68/712754368.db2.gz FULFYVBHAQLBOZ-LBPRGKRZSA-N -1 1 300.318 1.864 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2COC[C@@H]2C2CC2)c1 ZINC000908411090 712764841 /nfs/dbraw/zinc/76/48/41/712764841.db2.gz YDYOTRXINQTKAD-VXGBXAGGSA-N -1 1 313.375 1.104 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000908748811 712847092 /nfs/dbraw/zinc/84/70/92/712847092.db2.gz ICDCZSJISGNMGP-GXFFZTMASA-N -1 1 311.357 1.543 20 0 DDADMM CC(C)CN(CC(=O)[O-])C(=O)c1cc(-c2ccn(C)c2)[nH]n1 ZINC000908885817 712879185 /nfs/dbraw/zinc/87/91/85/712879185.db2.gz PQWFSMOGFLUMCH-UHFFFAOYSA-N -1 1 304.350 1.598 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)N[C@H](C)C2CC2)[n-]c1=O ZINC000929920631 713718594 /nfs/dbraw/zinc/71/85/94/713718594.db2.gz GQLCSUDMFYGOEX-KOLCDFICSA-N -1 1 306.366 1.836 20 0 DDADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)c1c[nH]nc1Cl)CCO2 ZINC000921315078 713730540 /nfs/dbraw/zinc/73/05/40/713730540.db2.gz SLKYKQIKYQOCAU-MNOVXSKESA-N -1 1 321.786 1.027 20 0 DDADMM CCOC(=O)[C@@H](C[C@H](C)CC)[N-]S(=O)(=O)CC1(F)CC1 ZINC000921383087 713750843 /nfs/dbraw/zinc/75/08/43/713750843.db2.gz OVNATWAWEKYOAS-GHMZBOCLSA-N -1 1 309.403 1.776 20 0 DDADMM CCN1CCC[C@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1=O ZINC000921492188 713786926 /nfs/dbraw/zinc/78/69/26/713786926.db2.gz GSLBFDVFMYTNLO-JTQLQIEISA-N -1 1 318.345 1.254 20 0 DDADMM COc1cccc2c(C(=O)OC[C@H]3CCC4(COC4)O3)[nH]nc21 ZINC000922738224 714132851 /nfs/dbraw/zinc/13/28/51/714132851.db2.gz RFFQORDUFQSPRO-SNVBAGLBSA-N -1 1 318.329 1.676 20 0 DDADMM COC[C@@H](CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)OC ZINC000932047003 714245846 /nfs/dbraw/zinc/24/58/46/714245846.db2.gz COXRMYAULNPUHA-CYBMUJFWSA-N -1 1 320.349 1.361 20 0 DDADMM CC(C)[C@H](CO)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932051975 714247097 /nfs/dbraw/zinc/24/70/97/714247097.db2.gz MOKUSRRNULEPLP-LBPRGKRZSA-N -1 1 318.377 1.964 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CC2(C1)CCOC2 ZINC000932054022 714247309 /nfs/dbraw/zinc/24/73/09/714247309.db2.gz OMMUBVKPLHZACM-UHFFFAOYSA-N -1 1 314.345 1.832 20 0 DDADMM Cn1nccc1[C@@H](O)CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000923982362 714499876 /nfs/dbraw/zinc/49/98/76/714499876.db2.gz JSSKUVHUIVUAFU-ZDUSSCGKSA-N -1 1 324.768 1.312 20 0 DDADMM CCC[C@H](NC(=O)COc1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000934313840 714792488 /nfs/dbraw/zinc/79/24/88/714792488.db2.gz RWKJJBOXZMOZSE-NSHDSACASA-N -1 1 311.292 1.514 20 0 DDADMM O=C(c1ccnn1C1CCC1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000935037593 714961231 /nfs/dbraw/zinc/96/12/31/714961231.db2.gz XQDWDTYSEGRICD-JTQLQIEISA-N -1 1 301.354 1.141 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1C ZINC000935792855 715128694 /nfs/dbraw/zinc/12/86/94/715128694.db2.gz CLBFQITXAIJRLS-NSHDSACASA-N -1 1 302.334 1.807 20 0 DDADMM CC(=O)N1CC[C@H](N(C)C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000936305732 715175503 /nfs/dbraw/zinc/17/55/03/715175503.db2.gz WDSNKZWDRGBHHA-LBPRGKRZSA-N -1 1 320.393 1.307 20 0 DDADMM C[C@@]1(NC(=O)c2ccoc2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956703443 715551072 /nfs/dbraw/zinc/55/10/72/715551072.db2.gz LIFZGKAXUIIEMK-MRXNPFEDSA-N -1 1 315.329 1.415 20 0 DDADMM CC[C@]1(C)C[C@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955188763 715664308 /nfs/dbraw/zinc/66/43/08/715664308.db2.gz NHOIKNFUUXOTTC-YVEFUNNKSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(C[C@H]1C=CCC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959871771 716329469 /nfs/dbraw/zinc/32/94/69/716329469.db2.gz DQBKCTYAPIASSR-STQMWFEESA-N -1 1 319.409 1.337 20 0 DDADMM O=C(CCC1CC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959929101 716359668 /nfs/dbraw/zinc/35/96/68/716359668.db2.gz KJIDWDNXVQSSRU-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM CC1CC(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC000959946999 716367151 /nfs/dbraw/zinc/36/71/51/716367151.db2.gz GEWCKIWAVUZDLG-MCIGGMRASA-N -1 1 307.398 1.027 20 0 DDADMM C/C(=C/C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000940125630 716637988 /nfs/dbraw/zinc/63/79/88/716637988.db2.gz MUIZOQSMDRLCMQ-PRWOLLLXSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964857230 717456945 /nfs/dbraw/zinc/45/69/45/717456945.db2.gz SRMNVABHGIXSDG-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942482665 717694347 /nfs/dbraw/zinc/69/43/47/717694347.db2.gz WOFSCNHSCJQUSG-IJLUTSLNSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000945187022 718418027 /nfs/dbraw/zinc/41/80/27/718418027.db2.gz PGGMKHNRNHWILC-VXGBXAGGSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C1(C)CC1 ZINC000945287847 718436394 /nfs/dbraw/zinc/43/63/94/718436394.db2.gz IUFODIRCSUTSNQ-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(F)C(=O)N[C@H]1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946090556 718675709 /nfs/dbraw/zinc/67/57/09/718675709.db2.gz QCDSLUFXCIVOTM-MNOVXSKESA-N -1 1 323.368 1.550 20 0 DDADMM CC1(CC(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)CC1 ZINC000947467696 719174200 /nfs/dbraw/zinc/17/42/00/719174200.db2.gz KECGWTXJESIQIJ-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CCC(=O)N[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1C ZINC000949140712 719927663 /nfs/dbraw/zinc/92/76/63/719927663.db2.gz OALKYNLBOOVJIB-BXKDBHETSA-N -1 1 318.377 1.046 20 0 DDADMM Cc1occc1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949530708 720142164 /nfs/dbraw/zinc/14/21/64/720142164.db2.gz FCHGQQFKHRPECY-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)C1(CF)CCC1)c1ncccc1[O-] ZINC000949538731 720146798 /nfs/dbraw/zinc/14/67/98/720146798.db2.gz PXZXZULGRFGHEV-NSHDSACASA-N -1 1 321.352 1.258 20 0 DDADMM CC(C)(C)CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950032036 720460503 /nfs/dbraw/zinc/46/05/03/720460503.db2.gz VBZAQIXAPNFLKI-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950543219 720676807 /nfs/dbraw/zinc/67/68/07/720676807.db2.gz UFNDXMHGMSDSQW-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CCC1(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCCCC1 ZINC000970776148 720932386 /nfs/dbraw/zinc/93/23/86/720932386.db2.gz AMPFQYLXLHKZDP-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM CC(C)(F)C(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000971038027 721073889 /nfs/dbraw/zinc/07/38/89/721073889.db2.gz VPCMCYZOVGLJTO-GHMZBOCLSA-N -1 1 321.352 1.208 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)NCC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000952173851 721344523 /nfs/dbraw/zinc/34/45/23/721344523.db2.gz OEABPRAVUPEOLO-YPMHNXCESA-N -1 1 317.389 1.412 20 0 DDADMM CCCC(=O)N1CC[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000953058316 721547889 /nfs/dbraw/zinc/54/78/89/721547889.db2.gz TYXUIYOYMBBAHH-QGZVFWFLSA-N -1 1 317.389 1.652 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CCN(C(=O)C3CC3)C[C@H]21 ZINC000953226929 721569167 /nfs/dbraw/zinc/56/91/67/721569167.db2.gz RGZGACODQTYIPM-WCQYABFASA-N -1 1 315.373 1.260 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CCN(C(=O)C3CC3)[C@@H]2C1 ZINC000953319398 721593078 /nfs/dbraw/zinc/59/30/78/721593078.db2.gz KNUMLUPGQYRZLI-DGCLKSJQSA-N -1 1 315.373 1.260 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2=CCCC2)C1 ZINC000954123931 721723611 /nfs/dbraw/zinc/72/36/11/721723611.db2.gz DPOHSFWFYPAYNR-UHFFFAOYSA-N -1 1 301.346 1.180 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC[C@H](F)C2)C1 ZINC000954125601 721724255 /nfs/dbraw/zinc/72/42/55/721724255.db2.gz UYUMQRAHNXAIID-QWRGUYRKSA-N -1 1 321.352 1.208 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2(CF)CCC2)C1 ZINC000954127629 721724852 /nfs/dbraw/zinc/72/48/52/721724852.db2.gz BPZYXZACGQDYBD-UHFFFAOYSA-N -1 1 321.352 1.210 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021442678 733207567 /nfs/dbraw/zinc/20/75/67/733207567.db2.gz TYVWJGHYKIKTQJ-RRDLLQCMSA-N -1 1 315.373 1.426 20 0 DDADMM Cc1cnc([C@H](C)N2CC[C@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038195659 733217192 /nfs/dbraw/zinc/21/71/92/733217192.db2.gz WZELRYWIBWMUEX-RYUDHWBXSA-N -1 1 316.361 1.649 20 0 DDADMM Cc1cnc([C@@H](C)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038195661 733217808 /nfs/dbraw/zinc/21/78/08/733217808.db2.gz WZELRYWIBWMUEX-VXGBXAGGSA-N -1 1 316.361 1.649 20 0 DDADMM CC(C)C(=O)N1C[C@H](CNC(=O)c2ncccc2[O-])C[C@H]1C ZINC001010023458 738709787 /nfs/dbraw/zinc/70/97/87/738709787.db2.gz JXCBKQIAQVORMX-NEPJUHHUSA-N -1 1 305.378 1.410 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001022403853 734519637 /nfs/dbraw/zinc/51/96/37/734519637.db2.gz XHPFMHVNZXDTDK-SDDRHHMPSA-N -1 1 321.352 1.208 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CC[C@@H](c2cccc(F)c2)C1 ZINC001121268755 782433240 /nfs/dbraw/zinc/43/32/40/782433240.db2.gz VKPGCDCIAPZWES-SNVBAGLBSA-N -1 1 314.328 1.128 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CC[C@@H](c2cccc(F)c2)C1 ZINC001121268755 782433241 /nfs/dbraw/zinc/43/32/41/782433241.db2.gz VKPGCDCIAPZWES-SNVBAGLBSA-N -1 1 314.328 1.128 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CCC[C@@]2(CC=CCC2)C1 ZINC001121408287 782496088 /nfs/dbraw/zinc/49/60/88/782496088.db2.gz GXUAXZIJPHMTPG-HNNXBMFYSA-N -1 1 314.397 1.246 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCC[C@@]2(CC=CCC2)C1 ZINC001121408287 782496093 /nfs/dbraw/zinc/49/60/93/782496093.db2.gz GXUAXZIJPHMTPG-HNNXBMFYSA-N -1 1 314.397 1.246 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2[nH]c(C)c(C(C)=O)c2C)n1 ZINC000585681508 738917246 /nfs/dbraw/zinc/91/72/46/738917246.db2.gz GZEXCSDXSSZOLL-UHFFFAOYSA-N -1 1 318.333 1.986 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccccc2COC)n1 ZINC000585681531 738916707 /nfs/dbraw/zinc/91/67/07/738916707.db2.gz AIGVMLPWWJTXEV-UHFFFAOYSA-N -1 1 303.318 1.985 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001011434372 736093167 /nfs/dbraw/zinc/09/31/67/736093167.db2.gz CDTMXDVAMQAZKR-VXGBXAGGSA-N -1 1 303.362 1.473 20 0 DDADMM C[C@H](CNc1ncc(F)cn1)N(C)C(=O)c1ncccc1[O-] ZINC001104536118 736199524 /nfs/dbraw/zinc/19/95/24/736199524.db2.gz HFNMXDOFOSWWSL-SECBINFHSA-N -1 1 305.313 1.289 20 0 DDADMM O=C(N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)[C@]12C[C@H]1CCC2 ZINC001025026505 736237318 /nfs/dbraw/zinc/23/73/18/736237318.db2.gz YPJPPGWQYZJZCJ-BFQNTYOBSA-N -1 1 319.409 1.171 20 0 DDADMM CCC(C)(C)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025061665 736270252 /nfs/dbraw/zinc/27/02/52/736270252.db2.gz CLCIIVMYWMUZNS-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM [O-]c1c(F)cc(CN2CCN(c3cnccn3)CC2)cc1F ZINC001140988403 736806884 /nfs/dbraw/zinc/80/68/84/736806884.db2.gz ZJRPIRLJLJUPJZ-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM CCC[C@](C)(O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692820621 738057265 /nfs/dbraw/zinc/05/72/65/738057265.db2.gz CHOGVYHXCKXSIS-NSHDSACASA-N -1 1 310.778 1.704 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(Br)ccc2[O-])CCN1C ZINC000223745217 739041018 /nfs/dbraw/zinc/04/10/18/739041018.db2.gz UVPCROUQWLAJPU-VIFPVBQESA-N -1 1 313.195 1.931 20 0 DDADMM CC(=O)N1CC[C@@H](CCNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001058973798 739350520 /nfs/dbraw/zinc/35/05/20/739350520.db2.gz QICWPAOYYWZHFX-LLVKDONJSA-N -1 1 318.377 1.048 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(C)(F)F ZINC001012169971 740268217 /nfs/dbraw/zinc/26/82/17/740268217.db2.gz JGZYMKJOFSPTRX-RKDXNWHRSA-N -1 1 313.304 1.162 20 0 DDADMM Cc1ccoc1C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029647474 741177130 /nfs/dbraw/zinc/17/71/30/741177130.db2.gz LHTRZKXJUGEVSY-LLVKDONJSA-N -1 1 319.365 1.006 20 0 DDADMM CC(F)(F)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088247949 741195615 /nfs/dbraw/zinc/19/56/15/741195615.db2.gz FKUOCVBCUPABPE-SECBINFHSA-N -1 1 317.340 1.026 20 0 DDADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)C1CCC1)c1ncccc1[O-] ZINC001002191701 742921818 /nfs/dbraw/zinc/92/18/18/742921818.db2.gz ROYBKEMMQPRTLO-UPJWGTAASA-N -1 1 315.373 1.449 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c(O)c1 ZINC001168695722 743187534 /nfs/dbraw/zinc/18/75/34/743187534.db2.gz SKBVWKGTOYLWKK-CQSZACIVSA-N -1 1 309.329 1.733 20 0 DDADMM O=C(NC1CCN(Cc2nccs2)CC1)c1ncccc1[O-] ZINC001002659890 743314172 /nfs/dbraw/zinc/31/41/72/743314172.db2.gz MKNKEJPPSMHOFF-UHFFFAOYSA-N -1 1 318.402 1.638 20 0 DDADMM CN(C)c1nc(NC(=O)[C@H]2CCOC(C)(C)C2)c(N=O)c(=O)[n-]1 ZINC001182019069 743458057 /nfs/dbraw/zinc/45/80/57/743458057.db2.gz AGXDWRDPWLEHIU-QMMMGPOBSA-N -1 1 323.353 1.790 20 0 DDADMM COc1ccc2c(c1)CC[C@H]2C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001182444907 743629654 /nfs/dbraw/zinc/62/96/54/743629654.db2.gz TZGUROPUJVGUCW-SNVBAGLBSA-N -1 1 316.317 1.147 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)C1CC2(C1)CC(F)(F)C2 ZINC001184206790 743991735 /nfs/dbraw/zinc/99/17/35/743991735.db2.gz OAZPCNGOKGEFQK-UHFFFAOYSA-N -1 1 309.276 1.823 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2[nH]c(C)c(C)c2C(C)=O)n1 ZINC001184731098 744093324 /nfs/dbraw/zinc/09/33/24/744093324.db2.gz UKXXAYPUOXEOFM-UHFFFAOYSA-N -1 1 318.333 1.986 20 0 DDADMM O=c1cc(Cl)[nH]cc1[N-]S(=O)(=O)c1cnc(Cl)nc1 ZINC001185005875 744135875 /nfs/dbraw/zinc/13/58/75/744135875.db2.gz KQDTVMHIEQUXFW-UHFFFAOYSA-N -1 1 321.145 1.685 20 0 DDADMM COC(=O)[C@@](C)([N-]S(=O)(=O)c1ccsc1)C(F)(F)F ZINC001185412768 744226609 /nfs/dbraw/zinc/22/66/09/744226609.db2.gz ADVVINQBHHNFPW-MRVPVSSYSA-N -1 1 317.310 1.520 20 0 DDADMM Nc1ccc(COCCO)c(NC(=O)c2ccc([O-])c(F)c2)c1 ZINC001186225909 744359338 /nfs/dbraw/zinc/35/93/38/744359338.db2.gz HIRNEMMMQZEUFH-UHFFFAOYSA-N -1 1 320.320 1.875 20 0 DDADMM NC(=O)c1ccccc1OCCNC(=O)c1ccc([O-])c(F)c1 ZINC001186233371 744360936 /nfs/dbraw/zinc/36/09/36/744360936.db2.gz JMAZSHZDPLMTGC-UHFFFAOYSA-N -1 1 318.304 1.439 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1C[C@@H]2COC[C@H](C1)C2(F)F ZINC001186218300 744365904 /nfs/dbraw/zinc/36/59/04/744365904.db2.gz DBEGRGVTHZPIBC-AOOOYVTPSA-N -1 1 301.264 1.885 20 0 DDADMM NC(=O)c1ccc(NC(=O)NCCc2ccc(F)cc2)cc1[O-] ZINC001186281992 744370611 /nfs/dbraw/zinc/37/06/11/744370611.db2.gz AIHLPWVWVMNTLF-UHFFFAOYSA-N -1 1 317.320 1.994 20 0 DDADMM CCOC(=O)CCNC(=O)Nc1cccc(C(=O)N(C)C)c1[O-] ZINC001187223109 744530279 /nfs/dbraw/zinc/53/02/79/744530279.db2.gz TZUBEFRNSYHVRB-UHFFFAOYSA-N -1 1 323.349 1.169 20 0 DDADMM Cc1nc2ccccn2c1C[N-]S(=O)(=O)c1cnoc1C ZINC001187420522 744560476 /nfs/dbraw/zinc/56/04/76/744560476.db2.gz GNIKOBAPQOWYOV-UHFFFAOYSA-N -1 1 306.347 1.418 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2n[n-]nc2C(F)(F)F)c[nH]1 ZINC001187759135 744605649 /nfs/dbraw/zinc/60/56/49/744605649.db2.gz UJYCKVYZPVCXGE-UHFFFAOYSA-N -1 1 317.227 1.581 20 0 DDADMM CN(C(=O)c1n[n-]nc1C(F)(F)F)c1nc(Cl)ccc1N ZINC001187758791 744605760 /nfs/dbraw/zinc/60/57/60/744605760.db2.gz HRKBPPPSVADACS-UHFFFAOYSA-N -1 1 320.662 1.731 20 0 DDADMM COC(=O)c1cc(NC(=O)c2n[n-]nc2C(F)(F)F)cn1C ZINC001187758857 744605945 /nfs/dbraw/zinc/60/59/45/744605945.db2.gz LFJCVFRFGLTCEX-UHFFFAOYSA-N -1 1 317.227 1.201 20 0 DDADMM O=C(COC/C=C\c1ccccc1)NCc1nc([O-])cc(=O)[nH]1 ZINC001168430114 744606411 /nfs/dbraw/zinc/60/64/11/744606411.db2.gz LHOKPHBHBPFFJM-DAXSKMNVSA-N -1 1 315.329 1.234 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1nccs1 ZINC001187917899 744626051 /nfs/dbraw/zinc/62/60/51/744626051.db2.gz MLLPBJFVNULIRZ-BDAKNGLRSA-N -1 1 304.393 1.153 20 0 DDADMM CC(C)C(=O)N1CCC([N-]S(=O)(=O)c2nccs2)CC1 ZINC001187907362 744628219 /nfs/dbraw/zinc/62/82/19/744628219.db2.gz AXZXBXTXMFTDKI-UHFFFAOYSA-N -1 1 317.436 1.068 20 0 DDADMM CCCC[C@@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001188196448 744671998 /nfs/dbraw/zinc/67/19/98/744671998.db2.gz MYQQQSXAWAJMHC-CHWSQXEVSA-N -1 1 323.441 1.663 20 0 DDADMM O=C(Nc1nc[n-]c(=O)c1Br)c1cccc(F)n1 ZINC001188704773 744752095 /nfs/dbraw/zinc/75/20/95/744752095.db2.gz GCWWXFRLOCAECW-UHFFFAOYSA-N -1 1 313.086 1.731 20 0 DDADMM O=S(=O)(CC1CC1)[N-]c1ncc(Br)cc1O ZINC001188930001 744787633 /nfs/dbraw/zinc/78/76/33/744787633.db2.gz UIXICCYOIBOLFK-UHFFFAOYSA-N -1 1 307.169 1.701 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(F)nc(F)c2F)n1 ZINC001189166684 744844278 /nfs/dbraw/zinc/84/42/78/744844278.db2.gz OKIKKKKLNOVXED-UHFFFAOYSA-N -1 1 314.223 1.651 20 0 DDADMM Cc1nn(C)cc1[N-]S(=O)(=O)Cc1ccc(F)c(F)c1 ZINC001189269585 744866229 /nfs/dbraw/zinc/86/62/29/744866229.db2.gz MHXLXCGRAXMZAN-UHFFFAOYSA-N -1 1 301.318 1.949 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1cc(F)ccc1F)C(=O)OC ZINC001189700417 744957300 /nfs/dbraw/zinc/95/73/00/744957300.db2.gz NSQRPFKNZDMEHN-LLVKDONJSA-N -1 1 307.318 1.336 20 0 DDADMM CC1(C[N-]S(=O)(=O)Cc2cc(F)ccc2F)OCCO1 ZINC001189707209 744959781 /nfs/dbraw/zinc/95/97/81/744959781.db2.gz YHGPCBMRKIYWIS-UHFFFAOYSA-N -1 1 307.318 1.147 20 0 DDADMM C[Si](C)(C)CNC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190628967 745278601 /nfs/dbraw/zinc/27/86/01/745278601.db2.gz GWIDRUOCGVBBFV-UHFFFAOYSA-N -1 1 302.410 1.851 20 0 DDADMM CN(C)[C@H]1CCOc2c([N-]S(=O)(=O)c3ncc[nH]3)cccc21 ZINC001190730692 745310065 /nfs/dbraw/zinc/31/00/65/745310065.db2.gz XBPDFFSDPZLIHC-LBPRGKRZSA-N -1 1 322.390 1.596 20 0 DDADMM CSc1ncc(C(=O)Nc2cc3ccccn3n2)c(=O)[n-]1 ZINC001191429871 745510767 /nfs/dbraw/zinc/51/07/67/745510767.db2.gz WWIMITBIBLOBKG-UHFFFAOYSA-N -1 1 301.331 1.804 20 0 DDADMM CSc1ncc(C(=O)NC[C@H]2Cc3ccccc3O2)c(=O)[n-]1 ZINC001191437759 745512584 /nfs/dbraw/zinc/51/25/84/745512584.db2.gz PLNOABIPLBDLMW-SNVBAGLBSA-N -1 1 317.370 1.638 20 0 DDADMM O=C(NC[C@@H](O)CF)c1ccccc1C(=O)c1ccc([O-])cc1 ZINC001191517019 745532970 /nfs/dbraw/zinc/53/29/70/745532970.db2.gz DUIQOUVJYDKWNN-ZDUSSCGKSA-N -1 1 317.316 1.683 20 0 DDADMM Cc1nccc(NCC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001106296557 745561294 /nfs/dbraw/zinc/56/12/94/745561294.db2.gz VOXJWLHFYBOFRW-JTQLQIEISA-N -1 1 301.350 1.506 20 0 DDADMM CC(C)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001089324148 745570239 /nfs/dbraw/zinc/57/02/39/745570239.db2.gz IUJLUZSIQUMWPK-NSHDSACASA-N -1 1 309.414 1.273 20 0 DDADMM CCOC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192049850 745671842 /nfs/dbraw/zinc/67/18/42/745671842.db2.gz KORIGWKTWYXNME-OLQVQODUSA-N -1 1 324.613 1.575 20 0 DDADMM C[C@H](O)c1ccc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001192372346 745769364 /nfs/dbraw/zinc/76/93/64/745769364.db2.gz CXYGVLOUGHFLBQ-LURJTMIESA-N -1 1 315.289 1.021 20 0 DDADMM O=C(NCCNC(=O)c1cc([O-])cc(F)c1F)c1ccncc1 ZINC001192670885 745856193 /nfs/dbraw/zinc/85/61/93/745856193.db2.gz ORICQCAMOKDQMP-UHFFFAOYSA-N -1 1 321.283 1.225 20 0 DDADMM CN(C1CN(C(=O)c2cc(F)c([O-])cc2F)C1)[C@H]1CCOC1 ZINC001192872909 745910153 /nfs/dbraw/zinc/91/01/53/745910153.db2.gz VKBUFOXPOZZETM-VIFPVBQESA-N -1 1 312.316 1.216 20 0 DDADMM O=C(N[C@@H]1SC(=O)NC1=O)c1cc(Cl)nc(Cl)c1[O-] ZINC001193093777 745987802 /nfs/dbraw/zinc/98/78/02/745987802.db2.gz LYTKBUQJEWRBQE-MRVPVSSYSA-N -1 1 322.129 1.133 20 0 DDADMM COc1nc(C)nc(Cl)c1[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC001193208343 746013683 /nfs/dbraw/zinc/01/36/83/746013683.db2.gz VHWUYMQDWCXVFD-MRVPVSSYSA-N -1 1 321.786 1.368 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1ccccc1C1OCCO1 ZINC001193275754 746041577 /nfs/dbraw/zinc/04/15/77/746041577.db2.gz WADFGOHSECQBGX-LLVKDONJSA-N -1 1 313.375 1.653 20 0 DDADMM CSc1nc(NC(=O)c2c(C)cc(O)cc2O)cc(=O)[n-]1 ZINC001193923131 746238431 /nfs/dbraw/zinc/23/84/31/746238431.db2.gz WRILIROFANVXRN-UHFFFAOYSA-N -1 1 307.331 1.876 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cccc2[nH]ccc21 ZINC001194017545 746253883 /nfs/dbraw/zinc/25/38/83/746253883.db2.gz HBZPRLIVSQAYLS-UHFFFAOYSA-N -1 1 306.347 1.525 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cccc3c2C(=O)N(C)C3)[n-]n1 ZINC001194288745 746343639 /nfs/dbraw/zinc/34/36/39/746343639.db2.gz NBMZNKZZIAUHOU-UHFFFAOYSA-N -1 1 314.301 1.034 20 0 DDADMM COc1ccc(OC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001194554208 746409018 /nfs/dbraw/zinc/40/90/18/746409018.db2.gz XPPBCMUEQBUVME-UHFFFAOYSA-N -1 1 317.261 1.384 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](O)C1)c1ncccc1C(F)(F)F ZINC001194576632 746412700 /nfs/dbraw/zinc/41/27/00/746412700.db2.gz UIWKKEUTEUXLKX-YUMQZZPRSA-N -1 1 310.297 1.292 20 0 DDADMM O=C(NCCO[C@H]1CCCCO1)c1cc([O-])cnc1Cl ZINC001195315373 746575439 /nfs/dbraw/zinc/57/54/39/746575439.db2.gz PWRIYNBFOURFTB-NSHDSACASA-N -1 1 300.742 1.714 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cncc(N2CCOCC2)c1 ZINC001195457985 746606433 /nfs/dbraw/zinc/60/64/33/746606433.db2.gz KFVFQUBQSZMBGG-UHFFFAOYSA-N -1 1 310.379 1.361 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(N2C(=O)C=CC2=O)cc1 ZINC001195493088 746625317 /nfs/dbraw/zinc/62/53/17/746625317.db2.gz UIPKPROBQCEFCF-UHFFFAOYSA-N -1 1 319.342 1.559 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCO[C@H](c2ccccc2)C1 ZINC001196013106 746756619 /nfs/dbraw/zinc/75/66/19/746756619.db2.gz FFEHJMZLFISLOR-LBPRGKRZSA-N -1 1 317.370 1.685 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CC[C@]2(C1)CC(F)(F)CO2 ZINC001196015946 746757352 /nfs/dbraw/zinc/75/73/52/746757352.db2.gz MGPRIEVVIVEYSC-LLVKDONJSA-N -1 1 317.317 1.111 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cn(C2CCC2)cn1 ZINC001196924215 746998768 /nfs/dbraw/zinc/99/87/68/746998768.db2.gz AFMFBJAWCOTEBE-UHFFFAOYSA-N -1 1 315.395 1.693 20 0 DDADMM C[C@H](c1nc(-c2cccnc2)no1)[N@@H+]1CC[C@H](c2nn[nH]n2)C1 ZINC001197297089 747123991 /nfs/dbraw/zinc/12/39/91/747123991.db2.gz NPRALHLVSZPFRU-KOLCDFICSA-N -1 1 312.337 1.195 20 0 DDADMM Nc1nonc1[N-]C(=O)c1ccnc(Cl)c1C(F)(F)F ZINC001197785065 747263602 /nfs/dbraw/zinc/26/36/02/747263602.db2.gz YKASFFXZORQBIN-UHFFFAOYSA-N -1 1 307.619 1.971 20 0 DDADMM COc1c(C)ccc(F)c1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001198273469 747445510 /nfs/dbraw/zinc/44/55/10/747445510.db2.gz MDAGJKUEVKNHAK-UHFFFAOYSA-N -1 1 317.280 1.767 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)C12CCC(CC1)C2 ZINC000995076116 748124545 /nfs/dbraw/zinc/12/45/45/748124545.db2.gz VVIRZMMMWJCQIH-UHFFFAOYSA-N -1 1 315.373 1.308 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]CCc1ncccn1 ZINC001201764815 748598056 /nfs/dbraw/zinc/59/80/56/748598056.db2.gz QMFHKGAWYICDHB-UHFFFAOYSA-N -1 1 318.811 1.416 20 0 DDADMM O=C(Nc1ccccc1F)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001202007401 748659010 /nfs/dbraw/zinc/65/90/10/748659010.db2.gz QCNFAHLABDPHFR-UHFFFAOYSA-N -1 1 304.241 1.499 20 0 DDADMM CCOc1ccccc1NC(=O)NCC[N-]C(=O)C(F)(F)F ZINC001202146344 748696557 /nfs/dbraw/zinc/69/65/57/748696557.db2.gz LXMAVVKIDMBDAV-UHFFFAOYSA-N -1 1 319.283 1.885 20 0 DDADMM CC1(C)CN(C(=O)[C@@H]2CC=CCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995446835 748754296 /nfs/dbraw/zinc/75/42/96/748754296.db2.gz IVSLXCIDWMPNGU-NEPJUHHUSA-N -1 1 319.409 1.193 20 0 DDADMM CCOC(=O)Cc1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1 ZINC001212518740 749159708 /nfs/dbraw/zinc/15/97/08/749159708.db2.gz XDFZBYFMDGSKCM-UHFFFAOYSA-N -1 1 320.374 1.902 20 0 DDADMM O=C(Nc1cc(F)ccc1F)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001202662116 749489124 /nfs/dbraw/zinc/48/91/24/749489124.db2.gz VUTZOJIHDDVYGV-UHFFFAOYSA-N -1 1 306.232 1.981 20 0 DDADMM CC[C@H](C)C(=O)NC[C@]12CCC[C@H]1[N@@H+](Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107287159 749739059 /nfs/dbraw/zinc/73/90/59/749739059.db2.gz YCACGBWWMYSBGN-HWWQOWPSSA-N -1 1 321.425 1.417 20 0 DDADMM CC[C@H](C)C(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107287159 749739061 /nfs/dbraw/zinc/73/90/61/749739061.db2.gz YCACGBWWMYSBGN-HWWQOWPSSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](CNc2cc(F)ncn2)C1 ZINC001060856412 751019158 /nfs/dbraw/zinc/01/91/58/751019158.db2.gz HINAZVUGVIVXTJ-JTQLQIEISA-N -1 1 317.324 1.291 20 0 DDADMM CC1(C)CC(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000999064027 752520116 /nfs/dbraw/zinc/52/01/16/752520116.db2.gz HWJOAWWPHRLYPE-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@@H]1CCCN(C(=O)/C=C\C2CC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036527320 752521804 /nfs/dbraw/zinc/52/18/04/752521804.db2.gz BYMDBHGRHGIHOW-GGCNOCFKSA-N -1 1 319.409 1.193 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@H](CNC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001010099944 753612713 /nfs/dbraw/zinc/61/27/13/753612713.db2.gz WCGBYWQAOGRILE-BELPRIPISA-N -1 1 317.389 1.720 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(Cc3ccsc3)C2)c1[O-] ZINC001010638835 754071787 /nfs/dbraw/zinc/07/17/87/754071787.db2.gz LACAAICDPMCUQG-LLVKDONJSA-N -1 1 306.391 1.490 20 0 DDADMM O=C(N[C@H]1CCN(Cc2ccns2)C1)c1ncccc1[O-] ZINC001010729292 754128107 /nfs/dbraw/zinc/12/81/07/754128107.db2.gz APOXMBJLWVDWEI-JTQLQIEISA-N -1 1 304.375 1.248 20 0 DDADMM CC(=O)NC1(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)CCC1 ZINC001063879182 754386019 /nfs/dbraw/zinc/38/60/19/754386019.db2.gz KPTDPHSVTWRQDW-UHFFFAOYSA-N -1 1 320.393 1.403 20 0 DDADMM CCN(C(=O)CC1CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079192937 755162383 /nfs/dbraw/zinc/16/23/83/755162383.db2.gz HQKOGOIUMQSFBW-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM CCC(=O)NC1CC2(C1)CC(NC(=O)c1ncccc1[O-])C2 ZINC001013919290 755540431 /nfs/dbraw/zinc/54/04/31/755540431.db2.gz OKBBHXLTIQJGBC-UHFFFAOYSA-N -1 1 303.362 1.354 20 0 DDADMM CC(=O)N[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]1C ZINC001014649123 755851460 /nfs/dbraw/zinc/85/14/60/755851460.db2.gz FCVWWUQEYVKZJQ-NOZJJQNGSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])Nc1ncnc2c1CCC2 ZINC001097764162 757509155 /nfs/dbraw/zinc/50/91/55/757509155.db2.gz BLZZOHZCFBCOIE-JTQLQIEISA-N -1 1 313.361 1.296 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])Nc1cc(Cl)c(=O)[nH]n1 ZINC001097764893 757510284 /nfs/dbraw/zinc/51/02/84/757510284.db2.gz MQOZUHKISXANDS-SSDOTTSWSA-N -1 1 323.740 1.167 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)C2(C)CCC2)C1 ZINC001017185120 757700655 /nfs/dbraw/zinc/70/06/55/757700655.db2.gz JTJMQRATURHNRR-GFCCVEGCSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@@H]1CN(C(=O)CCCF)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001053176978 758235411 /nfs/dbraw/zinc/23/54/11/758235411.db2.gz MIPAKANQKMVUQO-NEPJUHHUSA-N -1 1 323.368 1.361 20 0 DDADMM Cc1ccc(N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)nn1 ZINC001065245403 758390826 /nfs/dbraw/zinc/39/08/26/758390826.db2.gz TVPFOKAQROIJIL-MRXNPFEDSA-N -1 1 313.361 1.284 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1CC1 ZINC001018099897 758546929 /nfs/dbraw/zinc/54/69/29/758546929.db2.gz NFQIJDOUIVXBDN-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM O=C(C1CCCCCC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018613671 759032974 /nfs/dbraw/zinc/03/29/74/759032974.db2.gz SKYRMUSWMVBPSL-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM C[C@@]1(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)C=CCC1 ZINC001085300958 759243896 /nfs/dbraw/zinc/24/38/96/759243896.db2.gz NVDYQGKIUOZXAS-XHBSWPGZSA-N -1 1 319.409 1.384 20 0 DDADMM CC(C)CC(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962056 759454009 /nfs/dbraw/zinc/45/40/09/759454009.db2.gz DCWRTZCRSLXSMW-UHFFFAOYSA-N -1 1 317.389 1.508 20 0 DDADMM Cc1ccccc1C(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054349407 759527603 /nfs/dbraw/zinc/52/76/03/759527603.db2.gz RMHWVMVXDFHSQS-YPMHNXCESA-N -1 1 315.377 1.069 20 0 DDADMM O=C(N[C@H]1COc2ccccc2C1)c1cnc(C2CC2)[n-]c1=O ZINC000828198024 759528407 /nfs/dbraw/zinc/52/84/07/759528407.db2.gz OHOXGUIHUQYIFF-GFCCVEGCSA-N -1 1 311.341 1.793 20 0 DDADMM Cc1nc(CN[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)co1 ZINC001054584512 759847698 /nfs/dbraw/zinc/84/76/98/759847698.db2.gz ABPFMYICAUKZNP-GXFFZTMASA-N -1 1 316.361 1.334 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NCc1cscn1 ZINC001054604411 759879192 /nfs/dbraw/zinc/87/91/92/759879192.db2.gz JAIFOOLRBVWBBN-PWSUYJOCSA-N -1 1 318.402 1.494 20 0 DDADMM CN(C(=O)c1cn2cc(Cl)cc(Cl)c2n1)c1nn[n-]n1 ZINC001131172707 767917647 /nfs/dbraw/zinc/91/76/47/767917647.db2.gz QWMCAPFCUTYTIN-UHFFFAOYSA-N -1 1 312.120 1.431 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001019682168 760164149 /nfs/dbraw/zinc/16/41/49/760164149.db2.gz SNBXMZXOLHGASD-SRVKXCTJSA-N -1 1 307.398 1.027 20 0 DDADMM CN(C[C@H]1CCCCN1C(=O)C1CC1)C(=O)c1ncccc1[O-] ZINC001019696510 760180709 /nfs/dbraw/zinc/18/07/09/760180709.db2.gz XSYGYRPSLYWREO-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1cnc(C)nc1N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001055776937 760717058 /nfs/dbraw/zinc/71/70/58/760717058.db2.gz KCBAXIVKIKKOQO-UHFFFAOYSA-N -1 1 313.361 1.156 20 0 DDADMM Cc1ncc(CN[C@H]2C[C@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)o1 ZINC001086342604 761451533 /nfs/dbraw/zinc/45/15/33/761451533.db2.gz VZHOXQLDMYBBIF-XYPYZODXSA-N -1 1 319.365 1.018 20 0 DDADMM CC(C)=CCN1CC[C@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001038919659 761545993 /nfs/dbraw/zinc/54/59/93/761545993.db2.gz DSXALURWAFQCJY-NSHDSACASA-N -1 1 316.361 1.699 20 0 DDADMM CC[C@]1(C)C[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541805 762945981 /nfs/dbraw/zinc/94/59/81/762945981.db2.gz PBIIGTYFVNBQCI-SJKOYZFVSA-N -1 1 317.389 1.412 20 0 DDADMM Cc1cc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)co1 ZINC001001577632 762975230 /nfs/dbraw/zinc/97/52/30/762975230.db2.gz UWFKKEVAZWQWCF-UHFFFAOYSA-N -1 1 315.329 1.191 20 0 DDADMM CC[C@@H](F)CN1C[C@@H]2CCCN(C(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC001050025202 763034467 /nfs/dbraw/zinc/03/44/67/763034467.db2.gz SJDSKQIHXDRFPM-YNEHKIRRSA-N -1 1 324.400 1.708 20 0 DDADMM Cc1ccnc(NC2CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC001057314702 763400141 /nfs/dbraw/zinc/40/01/41/763400141.db2.gz XZENDEYWXNMDJA-UHFFFAOYSA-N -1 1 313.361 1.602 20 0 DDADMM C[C@]1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC=CCC1 ZINC001045050144 766063413 /nfs/dbraw/zinc/06/34/13/766063413.db2.gz BMADHUUKSKNNBF-LRDDRELGSA-N -1 1 319.409 1.337 20 0 DDADMM Cn1cccc1-c1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc1 ZINC001170216632 766174905 /nfs/dbraw/zinc/17/49/05/766174905.db2.gz LHHDJYMUNNVJHA-UHFFFAOYSA-N -1 1 323.316 1.860 20 0 DDADMM COc1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c2[nH]ccc21 ZINC001170216702 766175136 /nfs/dbraw/zinc/17/51/36/766175136.db2.gz NDXPOZNKWVUVRX-UHFFFAOYSA-N -1 1 312.289 1.949 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001045326040 766222389 /nfs/dbraw/zinc/22/23/89/766222389.db2.gz OUUHAMCYKXHREP-PWSUYJOCSA-N -1 1 307.398 1.027 20 0 DDADMM O=C(NCC1(Nc2ncc(Cl)cn2)CC1)c1ncccc1[O-] ZINC001110097961 766258487 /nfs/dbraw/zinc/25/84/87/766258487.db2.gz LIJHTOOGXRMOOR-UHFFFAOYSA-N -1 1 319.752 1.605 20 0 DDADMM Cc1cc(N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)ncn1 ZINC001058147361 766273458 /nfs/dbraw/zinc/27/34/58/766273458.db2.gz GHISEPJOQKCPSB-GFCCVEGCSA-N -1 1 313.361 1.284 20 0 DDADMM Cc1cc(NCC2CC(NC(=O)c3ncccc3[O-])C2)ncn1 ZINC001067843679 766531587 /nfs/dbraw/zinc/53/15/87/766531587.db2.gz WRVXJFNILPCEKZ-UHFFFAOYSA-N -1 1 313.361 1.506 20 0 DDADMM CC(C)CCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071951762 770334241 /nfs/dbraw/zinc/33/42/41/770334241.db2.gz CXIWGPKFEZTAFV-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)=C(F)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952810 770337302 /nfs/dbraw/zinc/33/73/02/770337302.db2.gz QYIRPKYFOLAYQO-WDEREUQCSA-N -1 1 321.352 1.627 20 0 DDADMM C[C@]1(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CCNC1=O ZINC001136190829 771913552 /nfs/dbraw/zinc/91/35/52/771913552.db2.gz QJDAYDLUVVLJDH-HNNXBMFYSA-N -1 1 300.318 1.247 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)c1ncccc1F ZINC001136819405 772160502 /nfs/dbraw/zinc/16/05/02/772160502.db2.gz INPSOIAEJFIDFI-UHFFFAOYSA-N -1 1 314.280 1.565 20 0 DDADMM NC(=O)c1ccc(NC(=O)C(=O)c2ccc([O-])cc2)cc1Cl ZINC001136815939 772161347 /nfs/dbraw/zinc/16/13/47/772161347.db2.gz KKDHPQDGVFFUQN-UHFFFAOYSA-N -1 1 318.716 1.966 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2cn(C3CCC3)nn2)c1 ZINC001144307054 772475447 /nfs/dbraw/zinc/47/54/47/772475447.db2.gz CINHNLVBVQAUND-UHFFFAOYSA-N -1 1 314.345 1.760 20 0 DDADMM O=C1CN(Cc2c(F)cc([O-])cc2F)CCN1c1ccccn1 ZINC001144561888 772565281 /nfs/dbraw/zinc/56/52/81/772565281.db2.gz QBRQIVFPAMZFLS-UHFFFAOYSA-N -1 1 319.311 1.914 20 0 DDADMM CN(C)c1nc(NC(=O)COC2CCCC2)c(N=O)c(=O)[n-]1 ZINC001146757044 772942142 /nfs/dbraw/zinc/94/21/42/772942142.db2.gz WKNHBAAEJLTSGB-UHFFFAOYSA-N -1 1 309.326 1.544 20 0 DDADMM Cc1nc(C)c(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)s1 ZINC001147247407 773078588 /nfs/dbraw/zinc/07/85/88/773078588.db2.gz MVIPRPBUVVDKFK-UHFFFAOYSA-N -1 1 306.307 1.041 20 0 DDADMM Cc1ccc(CO)cc1NC(=O)c1c(CO)cnc(C)c1[O-] ZINC001147832296 773259984 /nfs/dbraw/zinc/25/99/84/773259984.db2.gz MWSZIVXFZGFJQA-UHFFFAOYSA-N -1 1 302.330 1.641 20 0 DDADMM C[C@@H](NC(=O)c1cnncc1[O-])c1cccc(-n2cccn2)c1 ZINC001148068000 773336377 /nfs/dbraw/zinc/33/63/77/773336377.db2.gz FZYMTIWUZUXNRM-LLVKDONJSA-N -1 1 309.329 1.859 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])c1ncnc2ccccc21 ZINC001100070954 775644827 /nfs/dbraw/zinc/64/48/27/775644827.db2.gz KTXYWSJHSJUHLH-UHFFFAOYSA-N -1 1 323.356 1.597 20 0 DDADMM CCc1cc(NCCN(C)C(=O)c2ncccc2[O-])nc(C)n1 ZINC001101561251 777250599 /nfs/dbraw/zinc/25/05/99/777250599.db2.gz RFCOCEBQDCEUFP-UHFFFAOYSA-N -1 1 315.377 1.632 20 0 DDADMM Cn1nc(C(F)(F)F)cc1Nc1c(N)[nH]c(=S)[n-]c1=O ZINC001174324726 777457257 /nfs/dbraw/zinc/45/72/57/777457257.db2.gz FTOKEMNHDRQGJO-UHFFFAOYSA-N -1 1 306.273 1.549 20 0 DDADMM O=C1[N-]S(=O)(=O)c2cc(Nc3cccc4[nH]ncc43)ccc21 ZINC001174345751 777462225 /nfs/dbraw/zinc/46/22/25/777462225.db2.gz QIEQJVRRYZMSRN-UHFFFAOYSA-N -1 1 314.326 1.739 20 0 DDADMM CC(=O)NCc1cccc([N-]S(=O)(=O)c2cccc(N)c2)c1 ZINC001174368524 777468207 /nfs/dbraw/zinc/46/82/07/777468207.db2.gz AMHJEQXGERHXKQ-UHFFFAOYSA-N -1 1 319.386 1.706 20 0 DDADMM O=C(C=Cc1ccccc1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001175215273 777717794 /nfs/dbraw/zinc/71/77/94/777717794.db2.gz ZAIBSEUPJZQNEO-VOTSOKGWSA-N -1 1 311.341 1.585 20 0 DDADMM CO[C@H]1COCC[C@H]1N(C)C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001176083195 778017594 /nfs/dbraw/zinc/01/75/94/778017594.db2.gz CZYOGCRTGWEHHG-KGLIPLIRSA-N -1 1 307.346 1.389 20 0 DDADMM CC(C)C[C@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102485845 778166697 /nfs/dbraw/zinc/16/66/97/778166697.db2.gz GGEUUZAKFKEFRG-YNEHKIRRSA-N -1 1 323.441 1.377 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc(F)c2occc21 ZINC001176726447 778221171 /nfs/dbraw/zinc/22/11/71/778221171.db2.gz QJPPJEHLZPTXCQ-UHFFFAOYSA-N -1 1 301.281 1.894 20 0 DDADMM C[C@H](CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001102604240 778234809 /nfs/dbraw/zinc/23/48/09/778234809.db2.gz NMFXLOFESLHANS-NQBHXWOUSA-N -1 1 321.425 1.131 20 0 DDADMM COC[C@H](NC(=O)c1cc(C)c2ccccc2n1)c1nn[n-]n1 ZINC001177828981 778741311 /nfs/dbraw/zinc/74/13/11/778741311.db2.gz UFSXHYKYAJPYND-ZDUSSCGKSA-N -1 1 312.333 1.174 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(c3ncccn3)CC2)n1 ZINC001178344634 778991497 /nfs/dbraw/zinc/99/14/97/778991497.db2.gz PVFRPLJYJPOKIX-UHFFFAOYSA-N -1 1 301.306 1.047 20 0 DDADMM CCC(C)(C)C(=O)C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001178687169 779139758 /nfs/dbraw/zinc/13/97/58/779139758.db2.gz SGCCXBPRIXVXFX-UHFFFAOYSA-N -1 1 309.366 1.547 20 0 DDADMM Cn1cc(-c2nsc([N-]C(=O)c3nccnc3Cl)n2)cn1 ZINC001179878647 779534764 /nfs/dbraw/zinc/53/47/64/779534764.db2.gz CMKUQDVFFOMAQG-UHFFFAOYSA-N -1 1 321.753 1.634 20 0 DDADMM CN(C)c1nc(NC(=O)C=CC(F)(F)F)c(N=O)c(=O)[n-]1 ZINC001179922886 779538993 /nfs/dbraw/zinc/53/89/93/779538993.db2.gz VNQHARFYWJRTGX-ONEGZZNKSA-N -1 1 305.216 1.703 20 0 DDADMM C[C@H](CC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)C(F)(F)F ZINC001180094802 779603658 /nfs/dbraw/zinc/60/36/58/779603658.db2.gz YZXITFJXZUVDML-GSVOUGTGSA-N -1 1 305.216 1.243 20 0 DDADMM CN(CCCN(C)c1cnc(F)cn1)C(=O)c1ncccc1[O-] ZINC001112081255 779618487 /nfs/dbraw/zinc/61/84/87/779618487.db2.gz LUUIVGRFTKOTDS-UHFFFAOYSA-N -1 1 319.340 1.315 20 0 DDADMM O=C([O-])C(F)(F)C(F)(F)C(=O)Nc1ccc2[nH]nnc2c1 ZINC001180174323 779635399 /nfs/dbraw/zinc/63/53/99/779635399.db2.gz OIQMWUUXSNKIJM-UHFFFAOYSA-N -1 1 306.175 1.252 20 0 DDADMM CCOC(=O)c1cc(NC(=O)C2(C(=O)[O-])CCCCC2)n[nH]1 ZINC001180433740 779759648 /nfs/dbraw/zinc/75/96/48/779759648.db2.gz DGDFSRHZPAKSQU-UHFFFAOYSA-N -1 1 309.322 1.560 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])Nc1nccnc1F ZINC001115718911 780374382 /nfs/dbraw/zinc/37/43/82/780374382.db2.gz BLMXVEFBFOBMFU-SECBINFHSA-N -1 1 305.313 1.289 20 0 DDADMM C/C=C(/C=C/C(=O)N1CCN([C@]2(C(=O)[O-])CCOC2)CC1)CC ZINC001119581343 781524801 /nfs/dbraw/zinc/52/48/01/781524801.db2.gz DICVXSRZWYBJEP-MWBNNILJSA-N -1 1 322.405 1.287 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@]1(C)CCOC1 ZINC000397188470 836234747 /nfs/dbraw/zinc/23/47/47/836234747.db2.gz NPDQQOSDWRTZER-JTQLQIEISA-N -1 1 310.828 1.810 20 0 DDADMM CN(CCNC(=O)[C@@H]1CCC(C)(C)C1)C(=O)c1ncccc1[O-] ZINC001408683181 838446631 /nfs/dbraw/zinc/44/66/31/838446631.db2.gz VDBIRRZMYFZZQJ-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H](CCO)CC(C)C ZINC001414226602 844420211 /nfs/dbraw/zinc/42/02/11/844420211.db2.gz WBZOZUGQFKLBKU-JTQLQIEISA-N -1 1 322.452 1.475 20 0 DDADMM O=C(C[C@H]1C=CCCC1)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001269083311 841187211 /nfs/dbraw/zinc/18/72/11/841187211.db2.gz CRMFGHGGIYOFFS-AAEUAGOBSA-N -1 1 319.409 1.241 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@]12CCN(C(=O)c1ncccc1[O-])C2 ZINC001269346627 841543108 /nfs/dbraw/zinc/54/31/08/841543108.db2.gz HYVZKXYJYQBRRS-SJKOYZFVSA-N -1 1 317.389 1.508 20 0 DDADMM NC(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1cc(Cl)ccc1[O-] ZINC001269574214 841782215 /nfs/dbraw/zinc/78/22/15/841782215.db2.gz NUBCBITZYIPRHM-BOOASOPXSA-N -1 1 308.765 1.675 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H](O)c1ccc(C)o1 ZINC001363788536 842707286 /nfs/dbraw/zinc/70/72/86/842707286.db2.gz WLBDVNYGUIEXLP-QMMMGPOBSA-N -1 1 318.376 1.065 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](OCC[P@](=O)([O-])O)C(F)(F)F ZINC001224606273 844922964 /nfs/dbraw/zinc/92/29/64/844922964.db2.gz NNJNINQWBIABCV-RQJHMYQMSA-N -1 1 308.189 1.311 20 0 DDADMM CO[C@@H](C)C(=O)NC[C@@H](CC(C)C)NC(=O)c1ncccc1[O-] ZINC001409769433 845690009 /nfs/dbraw/zinc/69/00/09/845690009.db2.gz HTBBNNXUMKBEHU-NWDGAFQWSA-N -1 1 323.393 1.083 20 0 DDADMM COC[C@H](NC(=O)C=C(C)c1ccccc1C)c1nn[n-]n1 ZINC001155069713 861700813 /nfs/dbraw/zinc/70/08/13/861700813.db2.gz RJHJGTKXIIXLIT-ZWXCPPHNSA-N -1 1 301.350 1.415 20 0 DDADMM Cc1ccn2c(c1)nnc2CNc1nc(C)cc2c1C(=O)[N-]C2=O ZINC001155206413 861839716 /nfs/dbraw/zinc/83/97/16/861839716.db2.gz RSADAXBKRZJRNH-UHFFFAOYSA-N -1 1 322.328 1.237 20 0 DDADMM O=C(NCc1nccc(Cl)n1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273778 861923871 /nfs/dbraw/zinc/92/38/71/861923871.db2.gz WKGITWPMJKIGDV-UHFFFAOYSA-N -1 1 303.709 1.642 20 0 DDADMM CC[C@@H](C)C[C@@H](C)NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364791220 848650433 /nfs/dbraw/zinc/65/04/33/848650433.db2.gz ULKCZQYJAROCRR-RKDXNWHRSA-N -1 1 303.384 1.299 20 0 DDADMM CC[C@@H](C)C[C@@H](C)NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364791220 848650437 /nfs/dbraw/zinc/65/04/37/848650437.db2.gz ULKCZQYJAROCRR-RKDXNWHRSA-N -1 1 303.384 1.299 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccccc1)c1c[nH]nc1Cl ZINC001364805348 848759420 /nfs/dbraw/zinc/75/94/20/848759420.db2.gz PSKVYWKATKQSFS-VIFPVBQESA-N -1 1 301.755 1.075 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cc2[nH]ccc2s1 ZINC001149867879 861951401 /nfs/dbraw/zinc/95/14/01/861951401.db2.gz SMHUOMAOHURMIL-UHFFFAOYSA-N -1 1 316.302 1.511 20 0 DDADMM CC(C)[C@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001134416302 850673358 /nfs/dbraw/zinc/67/33/58/850673358.db2.gz CTHSDZOTKYUMBX-AWEZNQCLSA-N -1 1 317.393 1.156 20 0 DDADMM CN1C[C@]2(CC1=O)COCCN(Cc1ccc(C(=O)[O-])s1)C2 ZINC001273719307 851270729 /nfs/dbraw/zinc/27/07/29/851270729.db2.gz QRSJTEFZDPSQLY-HNNXBMFYSA-N -1 1 324.402 1.127 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CCN2C(=O)c1cc([O-])cnc1Cl ZINC001274970174 852719544 /nfs/dbraw/zinc/71/95/44/852719544.db2.gz JFSDGWQAZCIJRA-RMLUDKJBSA-N -1 1 309.753 1.133 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCC=CCCC1 ZINC001275201861 852887507 /nfs/dbraw/zinc/88/75/07/852887507.db2.gz BQPJNAVBJYPBAS-NWDGAFQWSA-N -1 1 307.398 1.241 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)C[C@H]2CCCC(=O)N2)[n-]1 ZINC001411533602 853316889 /nfs/dbraw/zinc/31/68/89/853316889.db2.gz CMPSLTAGOPIHAK-SECBINFHSA-N -1 1 321.333 1.001 20 0 DDADMM O=C(NCc1cccc(OCC(F)F)c1)c1cnncc1[O-] ZINC001411734608 853654769 /nfs/dbraw/zinc/65/47/69/853654769.db2.gz NDVYXZAAHYKEKS-UHFFFAOYSA-N -1 1 309.272 1.756 20 0 DDADMM COC(=O)c1cc(NC(=O)c2c([O-])cccc2OC)nn1C ZINC001412398808 854507213 /nfs/dbraw/zinc/50/72/13/854507213.db2.gz DCEVYAUANNFAIX-UHFFFAOYSA-N -1 1 305.290 1.173 20 0 DDADMM CN(C)Cc1nc(C(C)(C)NC(=O)c2ccc(F)c([O-])c2)no1 ZINC001412480279 854604662 /nfs/dbraw/zinc/60/46/62/854604662.db2.gz CRBYYTVSIFTIOK-UHFFFAOYSA-N -1 1 322.340 1.641 20 0 DDADMM O=C(N[C@H]1Cc2cnccc2NC1=O)c1c(F)ccc([O-])c1F ZINC001412533966 854672325 /nfs/dbraw/zinc/67/23/25/854672325.db2.gz NCNQRMSKLYXWDI-JTQLQIEISA-N -1 1 319.267 1.359 20 0 DDADMM COCc1sccc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001412546172 854696461 /nfs/dbraw/zinc/69/64/61/854696461.db2.gz PXXNHZIBXBCOJS-UHFFFAOYSA-N -1 1 309.395 1.706 20 0 DDADMM COC[C@@H](NC(=O)c1csc(C(C)(C)C)n1)c1nn[n-]n1 ZINC001412585457 854763607 /nfs/dbraw/zinc/76/36/07/854763607.db2.gz BHYQWGBZEOAJQC-SSDOTTSWSA-N -1 1 310.383 1.071 20 0 DDADMM COC[C@H](NC(=O)Cc1c(F)cccc1Cl)c1nn[n-]n1 ZINC001412597671 854790016 /nfs/dbraw/zinc/79/00/16/854790016.db2.gz TVJKAFVSTHDHOG-JTQLQIEISA-N -1 1 313.720 1.039 20 0 DDADMM CC(C)c1nnc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)s1 ZINC001412609449 854803968 /nfs/dbraw/zinc/80/39/68/854803968.db2.gz KPAMNWOEZIXDTF-SECBINFHSA-N -1 1 321.410 1.270 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(C2CCCC2)cc1)c1nn[n-]n1 ZINC001412611543 854810164 /nfs/dbraw/zinc/81/01/64/854810164.db2.gz RVSFRJJPZAWGIE-CQSZACIVSA-N -1 1 315.377 1.975 20 0 DDADMM CC(C)(CCS(C)(=O)=O)NC(=O)c1ccc(F)c([O-])c1 ZINC001412615242 854817081 /nfs/dbraw/zinc/81/70/81/854817081.db2.gz BAXVZURPXDDYSW-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM O=S(=O)([N-]CC1COC1)c1cc(Cl)c(Cl)s1 ZINC001257438533 855135762 /nfs/dbraw/zinc/13/57/62/855135762.db2.gz UBJHJWYNOLQHRJ-UHFFFAOYSA-N -1 1 302.204 1.980 20 0 DDADMM CCc1nc[nH]c1C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC001412771104 855244094 /nfs/dbraw/zinc/24/40/94/855244094.db2.gz MSSDTILWKXNQRB-JTQLQIEISA-N -1 1 317.349 1.454 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1nc(C2CC2)cs1)c1nn[n-]n1 ZINC001412793787 855275732 /nfs/dbraw/zinc/27/57/32/855275732.db2.gz KENPUNDRBFIGEZ-QMMMGPOBSA-N -1 1 306.395 1.338 20 0 DDADMM CCn1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(C(C)C)n1 ZINC001412795339 855279864 /nfs/dbraw/zinc/27/98/64/855279864.db2.gz NDCOWYQCVQMQDJ-SNVBAGLBSA-N -1 1 305.386 1.415 20 0 DDADMM O=C(NC[C@@]1(CO)C[C@@H]1c1ccc(F)cc1)c1ccc([O-])cn1 ZINC001412832051 855493382 /nfs/dbraw/zinc/49/33/82/855493382.db2.gz UOKUWQWAOLLSQI-RHSMWYFYSA-N -1 1 316.332 1.822 20 0 DDADMM O=C(CC1CCN(CC(F)(F)F)CC1)Nc1c[n-][nH]c1=O ZINC001412854759 855726168 /nfs/dbraw/zinc/72/61/68/855726168.db2.gz KVJCUOOKQAXKAX-UHFFFAOYSA-N -1 1 306.288 1.718 20 0 DDADMM CSc1nc(NC(=O)c2cn3ccnc3cn2)cc(=O)[n-]1 ZINC001156195602 862798094 /nfs/dbraw/zinc/79/80/94/862798094.db2.gz DWVZUSOSUWKYFK-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM O=C(Cn1cc(NCc2ccc([O-])c(F)c2F)cn1)NC1CC1 ZINC001413201788 856542208 /nfs/dbraw/zinc/54/22/08/856542208.db2.gz FQNUNTFPNCNCJY-UHFFFAOYSA-N -1 1 322.315 1.758 20 0 DDADMM Cn1cc2ccc(C(=O)NCCCC[P@](=O)([O-])O)cc2n1 ZINC001151520496 862819257 /nfs/dbraw/zinc/81/92/57/862819257.db2.gz WWRKPWZUWFAOBN-UHFFFAOYSA-N -1 1 311.278 1.261 20 0 DDADMM CSc1ccccc1CC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001413336992 856682597 /nfs/dbraw/zinc/68/25/97/856682597.db2.gz AZXNKEPKLJNSKJ-UHFFFAOYSA-N -1 1 305.359 1.469 20 0 DDADMM O=C(CSc1ccc(F)cc1)NC1(c2nn[n-]n2)CCC1 ZINC001413512532 856914183 /nfs/dbraw/zinc/91/41/83/856914183.db2.gz XQOBVUBXHAJRFV-UHFFFAOYSA-N -1 1 307.354 1.627 20 0 DDADMM COC(=O)C12CCC(CC1)N2C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001151610617 862872009 /nfs/dbraw/zinc/87/20/09/862872009.db2.gz HYHWZRMHLGMWSU-UHFFFAOYSA-N -1 1 317.341 1.823 20 0 DDADMM COc1cnc(C2(NC(=O)c3cnco3)CCCC2)[n-]c1=O ZINC001413710555 857383153 /nfs/dbraw/zinc/38/31/53/857383153.db2.gz PCYIHACPNSSYQP-UHFFFAOYSA-N -1 1 304.306 1.378 20 0 DDADMM O=C([O-])CCCCNC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC001121840070 858609435 /nfs/dbraw/zinc/60/94/35/858609435.db2.gz HJVNRBCGMYMYOB-VXGBXAGGSA-N -1 1 315.439 1.120 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC3(Br)CC3)ccnc1-2 ZINC001123325288 859177445 /nfs/dbraw/zinc/17/74/45/859177445.db2.gz KETJWTRCAYCVRO-UHFFFAOYSA-N -1 1 324.182 1.391 20 0 DDADMM O=C(C=Cc1nccs1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001123829402 859433086 /nfs/dbraw/zinc/43/30/86/859433086.db2.gz MPZPMRLXNGZCHQ-NWALNABHSA-N -1 1 306.347 1.651 20 0 DDADMM O=C(CCCOc1ccccc1F)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123961162 859496914 /nfs/dbraw/zinc/49/69/14/859496914.db2.gz IKCSUAMXRIRCPP-LLVKDONJSA-N -1 1 319.340 1.514 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2C[C@@H]1C[C@@H]2OCC[P@](=O)([O-])O ZINC001224606779 881465465 /nfs/dbraw/zinc/46/54/65/881465465.db2.gz RSCMRMMKKMDBSV-MXWKQRLJSA-N -1 1 321.310 1.579 20 0 DDADMM CCOC(=O)CCN(CC(=O)OCC)Cc1ncccc1[O-] ZINC001138395182 860090001 /nfs/dbraw/zinc/09/00/01/860090001.db2.gz VXAQWFJURHDOLA-UHFFFAOYSA-N -1 1 310.350 1.106 20 0 DDADMM CCCN(C(=O)c1ccc(F)c([O-])c1)[C@@H]1CC(=O)N(C)C1=O ZINC001138874394 860220757 /nfs/dbraw/zinc/22/07/57/860220757.db2.gz CLZVEGITYFAACJ-LLVKDONJSA-N -1 1 308.309 1.141 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCC[C@@H](OCCO)C2)c1 ZINC001154311148 860978901 /nfs/dbraw/zinc/97/89/01/860978901.db2.gz LPSHVBOXBHBTGC-CYBMUJFWSA-N -1 1 307.346 1.127 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)CCC(=O)c1cccs1 ZINC001142096591 861017044 /nfs/dbraw/zinc/01/70/44/861017044.db2.gz SNEAJFUALCCLDQ-UHFFFAOYSA-N -1 1 322.302 1.949 20 0 DDADMM Cc1cc(CC(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)on1 ZINC001142207588 861067651 /nfs/dbraw/zinc/06/76/51/861067651.db2.gz OPZVSVBSBXVMDW-UHFFFAOYSA-N -1 1 306.282 1.124 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc2sccc2[nH]1 ZINC001152268539 863247641 /nfs/dbraw/zinc/24/76/41/863247641.db2.gz XXKSTFPGNOJLQM-UHFFFAOYSA-N -1 1 300.303 1.854 20 0 DDADMM CCC(CC)(NC(=O)c1ccc2cccnc2n1)c1nn[n-]n1 ZINC001156925945 863450823 /nfs/dbraw/zinc/45/08/23/863450823.db2.gz IEHSJKHBRXRXBN-UHFFFAOYSA-N -1 1 311.349 1.588 20 0 DDADMM CN(CC1(C)COC1)C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152671569 863485511 /nfs/dbraw/zinc/48/55/11/863485511.db2.gz HOWWPNPECUVQBB-UHFFFAOYSA-N -1 1 302.330 1.755 20 0 DDADMM O=C(NCCN1CCCCO1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152680272 863487318 /nfs/dbraw/zinc/48/73/18/863487318.db2.gz MIMFGFCGPLVKFN-UHFFFAOYSA-N -1 1 317.345 1.403 20 0 DDADMM O=C(NC1(c2cnccn2)CC1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681049 863490255 /nfs/dbraw/zinc/49/02/55/863490255.db2.gz CHFCRFHKCFOJMC-UHFFFAOYSA-N -1 1 322.324 1.855 20 0 DDADMM CC(C)(C)N1CC(NC(=O)c2cc(=O)c3cccc(O)c3[n-]2)C1 ZINC001152685404 863495417 /nfs/dbraw/zinc/49/54/17/863495417.db2.gz QFSDUAXBQGBDQC-UHFFFAOYSA-N -1 1 315.373 1.859 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cccc2c1CC(=O)N2 ZINC001153322232 863824173 /nfs/dbraw/zinc/82/41/73/863824173.db2.gz IGZGVZBLVLMNCW-UHFFFAOYSA-N -1 1 311.223 1.570 20 0 DDADMM Cc1[nH]nc2ncc(NC(=O)c3cnc4cccnc4c3[O-])cc12 ZINC001153850886 864155840 /nfs/dbraw/zinc/15/58/40/864155840.db2.gz ITXNBOGCHYPCCR-UHFFFAOYSA-N -1 1 320.312 1.755 20 0 DDADMM O=C(NCc1ccc(Cl)nn1)c1c[n-]c2cccnc2c1=O ZINC001153861607 864171832 /nfs/dbraw/zinc/17/18/32/864171832.db2.gz GFVWYHOQMGJNPY-UHFFFAOYSA-N -1 1 315.720 1.297 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cnc(-c2ccccc2)nc1 ZINC001153871689 864185393 /nfs/dbraw/zinc/18/53/93/864185393.db2.gz FPGDJMIMICPLSL-UHFFFAOYSA-N -1 1 321.344 1.466 20 0 DDADMM C[C@@H](NC(=O)[C@@H]1CC[C@@H](C)CC1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001381436720 881769419 /nfs/dbraw/zinc/76/94/19/881769419.db2.gz LPJVTPVEPWEXNV-YFKTTZPYSA-N -1 1 309.414 1.319 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC001331194393 864935892 /nfs/dbraw/zinc/93/58/92/864935892.db2.gz BZIABIKJWYVEEY-SSDOTTSWSA-N -1 1 316.321 1.073 20 0 DDADMM CC(C)(O)CO[N-]C(=O)[C@@H]1CCCCN1Cc1cccnc1 ZINC001331349571 865025654 /nfs/dbraw/zinc/02/56/54/865025654.db2.gz CNEQHSWVKINVKV-AWEZNQCLSA-N -1 1 307.394 1.255 20 0 DDADMM Cc1nc(-c2cccs2)c(CC(=O)N(C)c2nn[n-]n2)s1 ZINC001331462492 865100759 /nfs/dbraw/zinc/10/07/59/865100759.db2.gz NUZQCXNWEHQRHA-UHFFFAOYSA-N -1 1 320.403 1.899 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2c[nH]c3ncnc(Cl)c23)[n-]1 ZINC001159192745 865158603 /nfs/dbraw/zinc/15/86/03/865158603.db2.gz XAGDLJXAIDQMCQ-UHFFFAOYSA-N -1 1 302.685 1.678 20 0 DDADMM CNC(=O)c1nc(Nc2[n-]c(=O)nc3nc[nH]c32)ccc1Cl ZINC001159198182 865161839 /nfs/dbraw/zinc/16/18/39/865161839.db2.gz IUPIAKWOFSAZKX-UHFFFAOYSA-N -1 1 319.712 1.210 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2cccc(N3CCOCC3)n2)[n-]1 ZINC001159198571 865162352 /nfs/dbraw/zinc/16/23/52/865162352.db2.gz QZTPQKHHGUBXMA-UHFFFAOYSA-N -1 1 313.321 1.034 20 0 DDADMM CCCC[C@H](NC(=O)OC(C)(C)C)C(=O)N(C)c1nn[n-]n1 ZINC001361555701 881884896 /nfs/dbraw/zinc/88/48/96/881884896.db2.gz YQKWEQANBWJGLI-VIFPVBQESA-N -1 1 312.374 1.246 20 0 DDADMM CC(C)(C)N1CCN(C(=O)/C=C/c2cc(C(=O)[O-])co2)CC1 ZINC001332178536 865642495 /nfs/dbraw/zinc/64/24/95/865642495.db2.gz MKTQHPRHCCXXBA-SNAWJCMRSA-N -1 1 306.362 1.934 20 0 DDADMM CO[C@H](C(=O)[O-])C(=O)N1CCCN(Cc2ccc(C)cc2)CC1 ZINC001332424636 865831232 /nfs/dbraw/zinc/83/12/32/865831232.db2.gz ZYTVGZYGNSPCAT-HNNXBMFYSA-N -1 1 320.389 1.129 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@H]1CC=CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001319894997 866369554 /nfs/dbraw/zinc/36/95/54/866369554.db2.gz HLXSHKUDNGKAFS-RYUDHWBXSA-N -1 1 307.398 1.097 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1CCCC2(C1)OCCO2 ZINC001225900874 882100807 /nfs/dbraw/zinc/10/08/07/882100807.db2.gz IVHJLMCJMCETES-JTQLQIEISA-N -1 1 324.333 1.423 20 0 DDADMM C[C@@H](O)CCC[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001321397009 867561669 /nfs/dbraw/zinc/56/16/69/867561669.db2.gz FGFCAJYVAIZVKM-SSDOTTSWSA-N -1 1 313.206 1.828 20 0 DDADMM CC(=O)Nc1cc(F)c(F)cc1NC(=O)c1cnncc1[O-] ZINC001361713648 882213527 /nfs/dbraw/zinc/21/35/27/882213527.db2.gz WBGJCPLGKCYEKT-UHFFFAOYSA-N -1 1 308.244 1.671 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N[C@@H]1C[C@H]1C1CC1 ZINC001336044892 868696760 /nfs/dbraw/zinc/69/67/60/868696760.db2.gz STHWSNORCBLIHZ-SMDDNHRTSA-N -1 1 324.327 1.244 20 0 DDADMM CCC[C@H](NC(=O)c1csc(NC2CC2)n1)c1nn[n-]n1 ZINC001362211232 883308277 /nfs/dbraw/zinc/30/82/77/883308277.db2.gz NATYBZJCYNKRDF-QMMMGPOBSA-N -1 1 307.383 1.502 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC001336536479 869000636 /nfs/dbraw/zinc/00/06/36/869000636.db2.gz AQZKJNYVLGYHRF-JGPRNRPPSA-N -1 1 308.765 1.577 20 0 DDADMM Cn1cnc(-c2ccc(NCC[N-]C(=O)C(F)(F)F)cc2)n1 ZINC001164251150 869058927 /nfs/dbraw/zinc/05/89/27/869058927.db2.gz NKOJIUDMBWYHFK-UHFFFAOYSA-N -1 1 313.283 1.573 20 0 DDADMM C=C/C=C\CCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001336948066 869230528 /nfs/dbraw/zinc/23/05/28/869230528.db2.gz OEFRNXGLBSNRQL-ARJAWSKDSA-N -1 1 304.350 1.186 20 0 DDADMM O=C(Nc1ccc(N2CCOCC2)c(F)c1)c1cnncc1[O-] ZINC001337059327 869297746 /nfs/dbraw/zinc/29/77/46/869297746.db2.gz BMUZJABNCFEXKC-UHFFFAOYSA-N -1 1 318.308 1.410 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)CCc1ccccc1F ZINC001361769408 882334200 /nfs/dbraw/zinc/33/42/00/882334200.db2.gz OMBBWYVNNCFVMD-UHFFFAOYSA-N -1 1 321.308 1.350 20 0 DDADMM CC[C@@H]1c2ccsc2CCN1c1nnc(-c2nnn[n-]2)n1C ZINC001338074320 869786006 /nfs/dbraw/zinc/78/60/06/869786006.db2.gz ZXYYWFFVQXKHQM-SECBINFHSA-N -1 1 316.394 1.571 20 0 DDADMM CC[C@@H]1c2ccsc2CCN1c1nnc(-c2nn[n-]n2)n1C ZINC001338074320 869786015 /nfs/dbraw/zinc/78/60/15/869786015.db2.gz ZXYYWFFVQXKHQM-SECBINFHSA-N -1 1 316.394 1.571 20 0 DDADMM CC(C)[C@@H](CCNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)NC(=O)c1cnn[nH]1 ZINC001381617938 882342185 /nfs/dbraw/zinc/34/21/85/882342185.db2.gz CYSJYFNYMVFETE-CZXHOFHRSA-N -1 1 319.409 1.112 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CCC[C@@H]1c1ccccc1 ZINC001338561018 870044481 /nfs/dbraw/zinc/04/44/81/870044481.db2.gz NJQYBWWWBRTJHG-LLVKDONJSA-N -1 1 312.333 1.500 20 0 DDADMM Cc1ccc(CNC(=O)c2ncc3ccccc3c2[O-])c(=O)n1C ZINC001297442107 870051304 /nfs/dbraw/zinc/05/13/04/870051304.db2.gz FGSAGUJCTYXWGW-UHFFFAOYSA-N -1 1 323.352 1.878 20 0 DDADMM Cc1cc([C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)c(C)o1 ZINC001338591301 870061533 /nfs/dbraw/zinc/06/15/33/870061533.db2.gz GNFKUCHKWXFAQR-SNVBAGLBSA-N -1 1 305.334 1.014 20 0 DDADMM C/C(=C/c1ccccc1)CN(C)c1nnc(Cc2nnn[n-]2)n1C ZINC001338911253 870238662 /nfs/dbraw/zinc/23/86/62/870238662.db2.gz ZRQONCSSZWYPDM-XFXZXTDPSA-N -1 1 324.392 1.459 20 0 DDADMM C/C(=C/c1ccccc1)CN(C)c1nnc(Cc2nn[n-]n2)n1C ZINC001338911253 870238673 /nfs/dbraw/zinc/23/86/73/870238673.db2.gz ZRQONCSSZWYPDM-XFXZXTDPSA-N -1 1 324.392 1.459 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CC[C@@H](c2ccccc2)C1 ZINC001339352565 870456820 /nfs/dbraw/zinc/45/68/20/870456820.db2.gz VVGSTKAQRIXNFY-LLVKDONJSA-N -1 1 312.333 1.152 20 0 DDADMM C=CC(=O)Nc1ccc([O-])c(C(=O)NO[C@H](CO)C(C)C)c1 ZINC001298378014 870513248 /nfs/dbraw/zinc/51/32/48/870513248.db2.gz TZGUNEKERABYDK-CYBMUJFWSA-N -1 1 308.334 1.195 20 0 DDADMM C[C@@H]1[C@@H](c2ccccc2)CCN1c1nnc(Cc2nnn[n-]2)n1C ZINC001339604005 870578554 /nfs/dbraw/zinc/57/85/54/870578554.db2.gz QHEFDGBRANTJQA-YPMHNXCESA-N -1 1 324.392 1.301 20 0 DDADMM C[C@@H]1[C@@H](c2ccccc2)CCN1c1nnc(Cc2nn[n-]n2)n1C ZINC001339604005 870578570 /nfs/dbraw/zinc/57/85/70/870578570.db2.gz QHEFDGBRANTJQA-YPMHNXCESA-N -1 1 324.392 1.301 20 0 DDADMM Cc1cc(N2CCN(Cc3ccc(C(=O)[O-])cc3)CC2)ncn1 ZINC001204159182 870972817 /nfs/dbraw/zinc/97/28/17/870972817.db2.gz YYXGHBFLEHDMKV-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM C/C=C/C[C@H]1CCCN(c2nnc(-c3noc(=O)[n-]3)n2CC)C1 ZINC001340265921 870997444 /nfs/dbraw/zinc/99/74/44/870997444.db2.gz PRCHNNLWOUJDGB-TZNOJPMFSA-N -1 1 318.381 1.824 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCCC3CCOCC3)ccnc1-2 ZINC001204296401 871046755 /nfs/dbraw/zinc/04/67/55/871046755.db2.gz ZZQFHKPXPQNWBW-UHFFFAOYSA-N -1 1 317.393 1.670 20 0 DDADMM Cc1nc2ccccc2cc1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001303222272 871111235 /nfs/dbraw/zinc/11/12/35/871111235.db2.gz QJBKFULZVLISOO-UHFFFAOYSA-N -1 1 308.345 1.866 20 0 DDADMM CNC(=O)c1ccc(OC)c(-c2ccc(-c3nnn[n-]3)nc2)c1 ZINC001205156389 871402741 /nfs/dbraw/zinc/40/27/41/871402741.db2.gz OLHNFRLPWZASPH-UHFFFAOYSA-N -1 1 310.317 1.297 20 0 DDADMM CNC(=O)c1ccc(OC)c(-c2ccc(-c3nn[n-]n3)nc2)c1 ZINC001205156389 871402750 /nfs/dbraw/zinc/40/27/50/871402750.db2.gz OLHNFRLPWZASPH-UHFFFAOYSA-N -1 1 310.317 1.297 20 0 DDADMM O=S(=O)([N-]CC1(n2cccn2)CC1)c1ccc(F)nc1F ZINC001309897277 871606095 /nfs/dbraw/zinc/60/60/95/871606095.db2.gz GAGCGRKIZDKRSC-UHFFFAOYSA-N -1 1 314.317 1.024 20 0 DDADMM CC1(C)CN(Cc2ccccc2)CC[C@@H]1Oc1c([O-])c(=O)c1=O ZINC001226601707 882533276 /nfs/dbraw/zinc/53/32/76/882533276.db2.gz GRODWZWKQXYHFA-ZDUSSCGKSA-N -1 1 315.369 1.668 20 0 DDADMM Nc1nonc1[N-]c1ccc(C2(N3CCOCC3)CC2)cc1 ZINC001205791942 871814919 /nfs/dbraw/zinc/81/49/19/871814919.db2.gz ZVFUQIGRFBCCHG-UHFFFAOYSA-N -1 1 301.350 1.717 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1C[C@H](C)C[C@@H]1C ZINC001342472699 872223918 /nfs/dbraw/zinc/22/39/18/872223918.db2.gz CZXQKORMCHTKCH-MNOVXSKESA-N -1 1 320.401 1.120 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1C[C@H](C)C[C@@H]1C ZINC001342472699 872223940 /nfs/dbraw/zinc/22/39/40/872223940.db2.gz CZXQKORMCHTKCH-MNOVXSKESA-N -1 1 320.401 1.120 20 0 DDADMM Cc1nc[nH]c(=O)c1CCC(=O)Nc1nc(Cl)ccc1[O-] ZINC001342509778 872239718 /nfs/dbraw/zinc/23/97/18/872239718.db2.gz DWZRJBJYKJCNBM-UHFFFAOYSA-N -1 1 308.725 1.816 20 0 DDADMM CCOCCCn1c(-c2c[n-][nH]c2=O)nnc1N(C)C1CC1 ZINC001343193894 872531345 /nfs/dbraw/zinc/53/13/45/872531345.db2.gz UDXKDLXPBJVLJF-UHFFFAOYSA-N -1 1 306.370 1.399 20 0 DDADMM CC1=CCCN(c2nnc(-c3noc(=O)[n-]3)n2CC(C)C)C1 ZINC001343451531 872631909 /nfs/dbraw/zinc/63/19/09/872631909.db2.gz JWDCYMHRZNXHPP-UHFFFAOYSA-N -1 1 304.354 1.434 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cccc(C(=O)N2CCCCC2)c1 ZINC001413848877 873372502 /nfs/dbraw/zinc/37/25/02/873372502.db2.gz TVKFZOQOBRVUJY-UHFFFAOYSA-N -1 1 314.345 1.994 20 0 DDADMM O=C(COc1cccc2ccccc21)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347196894 874050494 /nfs/dbraw/zinc/05/04/94/874050494.db2.gz HUFBLAMPNIXHQI-CYBMUJFWSA-N -1 1 323.356 1.748 20 0 DDADMM CC(C)CCCC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001378064520 874051974 /nfs/dbraw/zinc/05/19/74/874051974.db2.gz CLYTYUDWCCQDCF-LBPRGKRZSA-N -1 1 307.394 1.848 20 0 DDADMM CCN(CCc1ccccn1)C(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001347385412 874121301 /nfs/dbraw/zinc/12/13/01/874121301.db2.gz SYAVZCXSYNPRDH-UHFFFAOYSA-N -1 1 318.402 1.879 20 0 DDADMM CNC(=O)c1cccc(NC(=O)c2cnc(C3CC3)[n-]c2=O)c1 ZINC001362023337 882852907 /nfs/dbraw/zinc/85/29/07/882852907.db2.gz ABTWMCJYYIAUEK-UHFFFAOYSA-N -1 1 312.329 1.672 20 0 DDADMM O=C(NCC1CC1)[C@H]1CSCN1C(=O)c1cccc([O-])c1F ZINC001362025575 882857260 /nfs/dbraw/zinc/85/72/60/882857260.db2.gz QETGVQUFYFVHAA-LLVKDONJSA-N -1 1 324.377 1.573 20 0 DDADMM Cc1ccc(N)cc1S(=O)(=O)Nc1ccc(C(=O)[O-])nc1 ZINC001210176301 875257874 /nfs/dbraw/zinc/25/78/74/875257874.db2.gz HQKBJLJOSAXBIZ-UHFFFAOYSA-N -1 1 307.331 1.471 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCO[C@](C)(C(F)F)C1 ZINC001350101244 875535633 /nfs/dbraw/zinc/53/56/33/875535633.db2.gz RFUPRVGVHYWRMT-LBPRGKRZSA-N -1 1 319.333 1.276 20 0 DDADMM O=C(CCCCc1cccc(O)c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001350225619 875586725 /nfs/dbraw/zinc/58/67/25/875586725.db2.gz WHEMSMUGIZWCAO-CYBMUJFWSA-N -1 1 315.377 1.634 20 0 DDADMM O=C(C=Cc1ccc2[nH]ccc2c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001350225360 875587212 /nfs/dbraw/zinc/58/72/12/875587212.db2.gz UGLIJIGVMAGKKA-OHVSUOQFSA-N -1 1 308.345 1.710 20 0 DDADMM C[C@H](C(=O)NCCCN(C)C(=O)c1ncccc1[O-])C1CC1 ZINC001351356619 876204513 /nfs/dbraw/zinc/20/45/13/876204513.db2.gz ROYJUVUVOPQWKX-NSHDSACASA-N -1 1 305.378 1.412 20 0 DDADMM CCN(CC(=O)NC)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362095669 883022911 /nfs/dbraw/zinc/02/29/11/883022911.db2.gz HSAZBSGUGHWFRE-UHFFFAOYSA-N -1 1 304.268 1.619 20 0 DDADMM C[C@H](CN(C)C(=O)C(C)(C)C1CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001379187944 876588980 /nfs/dbraw/zinc/58/89/80/876588980.db2.gz BSGGBYGEIMWROB-SNVBAGLBSA-N -1 1 309.414 1.273 20 0 DDADMM CC(C)=C(C)CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001215336238 876659879 /nfs/dbraw/zinc/65/98/79/876659879.db2.gz RPZDHFKSBJMIJT-VXGBXAGGSA-N -1 1 307.398 1.193 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCC[C@@H]1c1cccs1 ZINC001352345780 876700495 /nfs/dbraw/zinc/70/04/95/876700495.db2.gz KXXZYIDOXGTRDI-SECBINFHSA-N -1 1 316.394 1.881 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCC[C@@H]1c1cccs1 ZINC001352345780 876700500 /nfs/dbraw/zinc/70/05/00/876700500.db2.gz KXXZYIDOXGTRDI-SECBINFHSA-N -1 1 316.394 1.881 20 0 DDADMM CO[C@@H](C)CCC(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001381958532 883058158 /nfs/dbraw/zinc/05/81/58/883058158.db2.gz CIYMTBRMLUVPKX-NEPJUHHUSA-N -1 1 323.393 1.179 20 0 DDADMM COCOc1ccc([N-]S(=O)(=O)c2ccc(N)c(C)c2)cn1 ZINC001215666240 876781365 /nfs/dbraw/zinc/78/13/65/876781365.db2.gz REHHFKVCDNZATI-UHFFFAOYSA-N -1 1 323.374 1.756 20 0 DDADMM COc1ncc(Nc2ccc[nH]c2=O)cc1[N-]S(C)(=O)=O ZINC001216152030 876877877 /nfs/dbraw/zinc/87/78/77/876877877.db2.gz MKTXRPABXUCFLP-UHFFFAOYSA-N -1 1 310.335 1.306 20 0 DDADMM Cc1ccc(N2CCC(Oc3nc(=O)[nH]c(=O)[n-]3)CC2)nc1 ZINC001227571379 883087791 /nfs/dbraw/zinc/08/77/91/883087791.db2.gz SVDWYTVAJMKFMQ-UHFFFAOYSA-N -1 1 303.322 1.034 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H](C)c1c(C)n[nH]c1C ZINC001364886732 889608309 /nfs/dbraw/zinc/60/83/09/889608309.db2.gz XZTBARQTQNGVNL-SNVBAGLBSA-N -1 1 322.456 1.430 20 0 DDADMM COC(=O)[C@H](CCO)Oc1cc(=O)[n-]c(-c2ccccc2)n1 ZINC001218216289 877422325 /nfs/dbraw/zinc/42/23/25/877422325.db2.gz GCMJZCWLCGRYCX-NSHDSACASA-N -1 1 304.302 1.152 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2CCOC2(C)C)sn1 ZINC001364912771 889657174 /nfs/dbraw/zinc/65/71/74/889657174.db2.gz FVLFSZANZLBZSC-QMMMGPOBSA-N -1 1 306.409 1.245 20 0 DDADMM CSc1nc(NC(=O)CCc2cncc(N)c2)cc(=O)[n-]1 ZINC001218584161 877591221 /nfs/dbraw/zinc/59/12/21/877591221.db2.gz PMHRNIFLBKIZCC-UHFFFAOYSA-N -1 1 305.363 1.453 20 0 DDADMM CC[C@H](C)CC(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001380060189 878686840 /nfs/dbraw/zinc/68/68/40/878686840.db2.gz CVENGXNOTGKCBC-QWRGUYRKSA-N -1 1 311.430 1.567 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)N1CCc2ccccc21 ZINC000315008204 878901385 /nfs/dbraw/zinc/90/13/85/878901385.db2.gz CZVBNQSQBQOTEN-LBPRGKRZSA-N -1 1 300.318 1.178 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2ccccc2N)ccc1F ZINC000315862198 879197126 /nfs/dbraw/zinc/19/71/26/879197126.db2.gz CKGBRAVNZKKMEL-UHFFFAOYSA-N -1 1 324.333 1.995 20 0 DDADMM CN(C(=O)c1cccc([N+](=O)[O-])c1[O-])[C@@H]1CN2CCC1CC2 ZINC000320042581 880300055 /nfs/dbraw/zinc/30/00/55/880300055.db2.gz YEPBLOLGEAKGPU-CYBMUJFWSA-N -1 1 305.334 1.467 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CC2(CN(Cc3cn[nH]c3)C2)C1 ZINC001276838262 880697195 /nfs/dbraw/zinc/69/71/95/880697195.db2.gz ZWJFYRXHRBXYEE-UHFFFAOYSA-N -1 1 319.796 1.482 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](OCc2nn[n-]n2)C(F)(F)C1 ZINC001223028886 880790549 /nfs/dbraw/zinc/79/05/49/880790549.db2.gz FASOJMOBMURUGE-MRVPVSSYSA-N -1 1 319.312 1.361 20 0 DDADMM CC(C)Oc1cncc(COc2[n-]c(=O)nc3c2COC3)c1 ZINC001227686186 883130752 /nfs/dbraw/zinc/13/07/52/883130752.db2.gz ISIQNCAFHORUJV-UHFFFAOYSA-N -1 1 303.318 1.974 20 0 DDADMM COC(=O)CN(C(=O)c1cccc([O-])c1F)C1CCOCC1 ZINC001362157384 883173875 /nfs/dbraw/zinc/17/38/75/883173875.db2.gz YUXFOSOOUHWSQA-UHFFFAOYSA-N -1 1 311.309 1.326 20 0 DDADMM C[C@@H](NC(=O)c1cccc([O-])c1F)C(=O)NCc1ccco1 ZINC001362193813 883261321 /nfs/dbraw/zinc/26/13/21/883261321.db2.gz CBLPKMAFJWKBBT-SECBINFHSA-N -1 1 306.293 1.559 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(OCC2CCCC2)CC1 ZINC001362211298 883309618 /nfs/dbraw/zinc/30/96/18/883309618.db2.gz PBYGLFSRHFFKII-UHFFFAOYSA-N -1 1 321.425 1.720 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@H]1C=C(C)OC(=O)C1 ZINC001228551089 883546685 /nfs/dbraw/zinc/54/66/85/883546685.db2.gz KTARRZNVRUUKSS-QMMMGPOBSA-N -1 1 310.331 1.540 20 0 DDADMM C[C@@H](CN(C)C(=O)C(C)(C)c1cccc(O)c1)c1nn[n-]n1 ZINC001362328965 883576141 /nfs/dbraw/zinc/57/61/41/883576141.db2.gz UNLMPNQXFKLQPM-JTQLQIEISA-N -1 1 303.366 1.445 20 0 DDADMM Cc1oc(C(=O)[N-]c2cc(C(C)C)on2)cc1S(N)(=O)=O ZINC001362351965 883627331 /nfs/dbraw/zinc/62/73/31/883627331.db2.gz AJVQIHWQHBIICW-UHFFFAOYSA-N -1 1 313.335 1.599 20 0 DDADMM Cn1cc(C[C@@H]2CCN(C(=O)c3cccc([O-])c3F)C2)cn1 ZINC001362429818 883789255 /nfs/dbraw/zinc/78/92/55/883789255.db2.gz DVDLCHPHHVBYQN-NSHDSACASA-N -1 1 303.337 1.970 20 0 DDADMM COC(=O)CC1(NC(=O)c2cnc(SC)[n-]c2=O)CCC1 ZINC001362431365 883793785 /nfs/dbraw/zinc/79/37/85/883793785.db2.gz IVFKEWYLEUDOOO-UHFFFAOYSA-N -1 1 311.363 1.120 20 0 DDADMM CS(=O)(=O)c1cccnc1C(=O)[N-]c1n[nH]c(C2CCC2)n1 ZINC001362442168 883817773 /nfs/dbraw/zinc/81/77/73/883817773.db2.gz BCYQNGCAENOEAF-UHFFFAOYSA-N -1 1 321.362 1.123 20 0 DDADMM COC[C@@H]1CCCCN(C(=O)c2cnc(SC)[n-]c2=O)C1 ZINC001362490562 883927764 /nfs/dbraw/zinc/92/77/64/883927764.db2.gz QWRXSRQPLCZJNE-SNVBAGLBSA-N -1 1 311.407 1.793 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc(C(F)(F)F)n1)c1nn[n-]n1 ZINC001362499308 883949336 /nfs/dbraw/zinc/94/93/36/883949336.db2.gz MXOJUKPIEOEDNG-ZETCQYMHSA-N -1 1 314.271 1.489 20 0 DDADMM CS(C)(=O)=Nc1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001362502223 883956198 /nfs/dbraw/zinc/95/61/98/883956198.db2.gz KVZMPGNNBVNQRY-UHFFFAOYSA-N -1 1 306.347 1.794 20 0 DDADMM O=C1[N-]C(=O)[C@@H](Cc2ccc(O[C@@H]3COC[C@H]3F)cc2)S1 ZINC001229619509 884078487 /nfs/dbraw/zinc/07/84/87/884078487.db2.gz WGVSKQNMLPIRCD-IJLUTSLNSA-N -1 1 311.334 1.696 20 0 DDADMM COC(=O)c1c[n-]c(=O)nc1O[C@@H]1CCC[C@H](C(=O)OC)C1 ZINC001229871747 884206411 /nfs/dbraw/zinc/20/64/11/884206411.db2.gz BCEHHGSAEIHDKA-DTWKUNHWSA-N -1 1 310.306 1.079 20 0 DDADMM COC(=O)C[C@H]1CCC[C@H](Oc2nc(=O)[n-]cc2C(=O)OC)C1 ZINC001229873213 884206763 /nfs/dbraw/zinc/20/67/63/884206763.db2.gz QQZZFFQCKWXLGV-UWVGGRQHSA-N -1 1 324.333 1.470 20 0 DDADMM CC(C)OC(=O)CC[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362617843 884234696 /nfs/dbraw/zinc/23/46/96/884234696.db2.gz CUUXNJNYRAHMDB-JTQLQIEISA-N -1 1 321.377 1.910 20 0 DDADMM O=C(NC[C@@H](CO)Cc1cccnc1)c1ccc(F)c([O-])c1 ZINC001362623961 884251145 /nfs/dbraw/zinc/25/11/45/884251145.db2.gz HZZOBTWOGFRWHN-LBPRGKRZSA-N -1 1 304.321 1.507 20 0 DDADMM COC(=O)[C@H](Cc1ccncc1)NC(=O)c1cccc([O-])c1F ZINC001362747158 884540529 /nfs/dbraw/zinc/54/05/29/884540529.db2.gz LVSFBUJCSOZPBU-LBPRGKRZSA-N -1 1 318.304 1.440 20 0 DDADMM CCC(CC)(NC(=O)c1[nH]c(C)c(C(C)=O)c1C)c1nn[n-]n1 ZINC001362788073 884639263 /nfs/dbraw/zinc/63/92/63/884639263.db2.gz UCIMRWNMVKUJNC-UHFFFAOYSA-N -1 1 318.381 1.793 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1scnc1C(F)F ZINC001362830203 884744772 /nfs/dbraw/zinc/74/47/72/884744772.db2.gz KTSJPLIELHKNPV-UHFFFAOYSA-N -1 1 316.337 1.892 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cnn2c1C[C@@H](C)CC2 ZINC001362833513 884752619 /nfs/dbraw/zinc/75/26/19/884752619.db2.gz UXFJHIKKYXLNMR-NSHDSACASA-N -1 1 317.397 1.277 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](C)c1ncc(C)s1 ZINC001362865958 884832110 /nfs/dbraw/zinc/83/21/10/884832110.db2.gz VGCPHAYTBPXTQQ-LURJTMIESA-N -1 1 324.362 1.195 20 0 DDADMM CCCNC(=O)OC[C@@H]1CCCCN1C(=O)c1cnncc1[O-] ZINC001362873306 884852615 /nfs/dbraw/zinc/85/26/15/884852615.db2.gz NTFSLBVHRCNERE-NSHDSACASA-N -1 1 322.365 1.313 20 0 DDADMM CC[C@H](C)OCC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001362971659 885116774 /nfs/dbraw/zinc/11/67/74/885116774.db2.gz PRCLFTHLNOLGPS-DCAQKATOSA-N -1 1 324.343 1.860 20 0 DDADMM Cn1cc(C[C@@H]2CCC[C@H]2NC(=O)c2ccc([O-])cn2)cn1 ZINC001362984192 885146180 /nfs/dbraw/zinc/14/61/80/885146180.db2.gz PBFMEMMCBYGIKG-GXTWGEPZSA-N -1 1 300.362 1.662 20 0 DDADMM CCS(=O)(=O)C1(CNC(=O)c2ccc(F)c([O-])c2)CC1 ZINC001363003461 885201210 /nfs/dbraw/zinc/20/12/10/885201210.db2.gz JSSRRMFXHONNPB-UHFFFAOYSA-N -1 1 301.339 1.228 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCCC23CCOCC3)c(=O)[n-]1 ZINC001363017531 885239085 /nfs/dbraw/zinc/23/90/85/885239085.db2.gz PNCXRRCFPQNZFY-LLVKDONJSA-N -1 1 323.418 1.983 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2cncc([O-])c2)c(F)c1 ZINC001363017018 885239319 /nfs/dbraw/zinc/23/93/19/885239319.db2.gz DGUGJWYDYWWQMW-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM CCNc1ccc(C)cc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001363109037 885486009 /nfs/dbraw/zinc/48/60/09/885486009.db2.gz MFJHPWULJDGFCD-UHFFFAOYSA-N -1 1 323.378 1.201 20 0 DDADMM CCNc1ccc(C)cc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001363109037 885486016 /nfs/dbraw/zinc/48/60/16/885486016.db2.gz MFJHPWULJDGFCD-UHFFFAOYSA-N -1 1 323.378 1.201 20 0 DDADMM CSc1nc(CNC(=O)c2cncnc2C2CC2)cc(=O)[n-]1 ZINC001363140131 885564084 /nfs/dbraw/zinc/56/40/84/885564084.db2.gz HZOXDVPIRBHHSC-UHFFFAOYSA-N -1 1 317.374 1.502 20 0 DDADMM COc1ccc(NC(=O)CNC(=O)c2ncccc2[O-])c(F)c1 ZINC001363165084 885620733 /nfs/dbraw/zinc/62/07/33/885620733.db2.gz RXTZLJIWJQMNSD-UHFFFAOYSA-N -1 1 319.292 1.303 20 0 DDADMM O=C(CC1CCC1)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001383460782 885830753 /nfs/dbraw/zinc/83/07/53/885830753.db2.gz WASHWSZWLMGRNL-JOCQHMNTSA-N -1 1 317.389 1.602 20 0 DDADMM COc1ccccc1CCC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363314393 885996100 /nfs/dbraw/zinc/99/61/00/885996100.db2.gz CCLNKAAJQVJJKN-UHFFFAOYSA-N -1 1 303.318 1.145 20 0 DDADMM CC(C)c1cc([C@@H]2CCCN(C(=O)CCc3nn[n-]n3)C2)[nH]n1 ZINC001363385724 886193067 /nfs/dbraw/zinc/19/30/67/886193067.db2.gz QUNDJYZSCIOXOV-LLVKDONJSA-N -1 1 317.397 1.385 20 0 DDADMM CCN(Cc1ccc([O-])c(F)c1F)[C@@H](C)CS(C)(=O)=O ZINC001363430135 886317455 /nfs/dbraw/zinc/31/74/55/886317455.db2.gz UYSXXLBQPGLRLL-VIFPVBQESA-N -1 1 307.362 1.925 20 0 DDADMM CC(C)c1nc([C@@H](CO)NC(=O)c2ccc([O-])cn2)cs1 ZINC001363468579 886421451 /nfs/dbraw/zinc/42/14/51/886421451.db2.gz YKPWPZLOZGZDRJ-LLVKDONJSA-N -1 1 307.375 1.831 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(CC(C)=O)CCC2)n[n-]1 ZINC001363476718 886442666 /nfs/dbraw/zinc/44/26/66/886442666.db2.gz UAGXHKJIPOLMHK-SNVBAGLBSA-N -1 1 322.365 1.308 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(CC(C)=O)CCC2)[n-]1 ZINC001363476718 886442672 /nfs/dbraw/zinc/44/26/72/886442672.db2.gz UAGXHKJIPOLMHK-SNVBAGLBSA-N -1 1 322.365 1.308 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(CC(C)=O)CCC2)n1 ZINC001363476718 886442680 /nfs/dbraw/zinc/44/26/80/886442680.db2.gz UAGXHKJIPOLMHK-SNVBAGLBSA-N -1 1 322.365 1.308 20 0 DDADMM COC(=O)[C@@]12COC[C@@H]1CN(Cc1cccc(O)c1Cl)C2 ZINC001232785623 886586028 /nfs/dbraw/zinc/58/60/28/886586028.db2.gz FSDLDRAEOCRSIX-NHYWBVRUSA-N -1 1 311.765 1.667 20 0 DDADMM CS(=O)(=O)c1ccccc1CCNC(=O)c1ccc([O-])cn1 ZINC001363555562 886625451 /nfs/dbraw/zinc/62/54/51/886625451.db2.gz UUDNYVFJHVLWNA-UHFFFAOYSA-N -1 1 320.370 1.163 20 0 DDADMM CSc1ncc(C(=O)N2CC(C)(C)CC[C@H]2CO)c(=O)[n-]1 ZINC001363597033 886727749 /nfs/dbraw/zinc/72/77/49/886727749.db2.gz CQRYFTGDZKLUSZ-VIFPVBQESA-N -1 1 311.407 1.527 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@H](O)C2CCCCC2)[n-]c1=O ZINC001363608471 886754518 /nfs/dbraw/zinc/75/45/18/886754518.db2.gz XBNMUZHDIHWOIP-GFCCVEGCSA-N -1 1 323.393 1.483 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C)ccc2O)cc(=O)[n-]1 ZINC001363636152 886833923 /nfs/dbraw/zinc/83/39/23/886833923.db2.gz XXAIQFOTHGZSKC-UHFFFAOYSA-N -1 1 305.359 1.848 20 0 DDADMM COC(=O)c1cncnc1NCc1cc(=O)[n-]c(SC)n1 ZINC001363743648 887120129 /nfs/dbraw/zinc/12/01/29/887120129.db2.gz KQIYUNWYSFSKSX-UHFFFAOYSA-N -1 1 307.335 1.093 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001363833152 887345107 /nfs/dbraw/zinc/34/51/07/887345107.db2.gz SIENZXPQNGLYQW-JGVFFNPUSA-N -1 1 322.408 1.018 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2cc(C3CC3)no2)n1 ZINC001363920369 887542468 /nfs/dbraw/zinc/54/24/68/887542468.db2.gz QPQQMQIUYAFZAB-QMMMGPOBSA-N -1 1 305.338 1.698 20 0 DDADMM Cc1nn2cccnc2c1S(=O)(=O)[N-]C[C@H](C)CC(F)F ZINC001363938630 887576760 /nfs/dbraw/zinc/57/67/60/887576760.db2.gz DMMPPFLHEXGNHK-MRVPVSSYSA-N -1 1 318.349 1.607 20 0 DDADMM CCOC(=O)Cc1csc(CNC(=O)c2ncccc2[O-])n1 ZINC001363957244 887611514 /nfs/dbraw/zinc/61/15/14/887611514.db2.gz FRFZIEFJBZHMNV-UHFFFAOYSA-N -1 1 321.358 1.279 20 0 DDADMM COC(=O)[C@@]1(CNC(=O)c2ccc(Cl)cc2[O-])CCOC1 ZINC001364001462 887699434 /nfs/dbraw/zinc/69/94/34/887699434.db2.gz OVUMRONGCXZYPU-CQSZACIVSA-N -1 1 313.737 1.355 20 0 DDADMM COC[C@H](NC(=O)Cc1c(C)cccc1Cl)c1nn[n-]n1 ZINC001364023395 887744803 /nfs/dbraw/zinc/74/48/03/887744803.db2.gz FNHPCWVCLPPBMV-NSHDSACASA-N -1 1 309.757 1.208 20 0 DDADMM Cc1nc([C@@H]2CCCN2C(=O)CCCc2nn[n-]n2)[nH]c1C ZINC001364053097 887806405 /nfs/dbraw/zinc/80/64/05/887806405.db2.gz BXVJZMDRAODMFA-NSHDSACASA-N -1 1 303.370 1.226 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccc(OCC(F)(F)F)nc1 ZINC001364099118 887916520 /nfs/dbraw/zinc/91/65/20/887916520.db2.gz XRIXJKRFISGATH-UHFFFAOYSA-N -1 1 302.212 1.704 20 0 DDADMM Cc1cccc([C@@H]2C(=O)NCCN2C(=O)c2ccc([O-])cn2)c1 ZINC001364127080 887976633 /nfs/dbraw/zinc/97/66/33/887976633.db2.gz NBBXIQDPKOZYOC-OAHLLOKOSA-N -1 1 311.341 1.409 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CCC(F)F)c1C(F)(F)F ZINC001364185543 888104876 /nfs/dbraw/zinc/10/48/76/888104876.db2.gz LNNYGZUFRTVLOS-UHFFFAOYSA-N -1 1 307.244 1.372 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(C[C@@H](O)CC3(O)CCCCC3)C2)n[nH]1 ZINC001364415251 888585757 /nfs/dbraw/zinc/58/57/57/888585757.db2.gz MFCMZICHIFOPHZ-STQMWFEESA-N -1 1 324.425 1.136 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1C[C@H](NC(=O)c2ccc([O-])cn2)C1 ZINC001364429063 888617692 /nfs/dbraw/zinc/61/76/92/888617692.db2.gz LVMLMDWNYZEZBK-XYPYZODXSA-N -1 1 321.377 1.820 20 0 DDADMM CC[C@H](C)C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385371413 888986027 /nfs/dbraw/zinc/98/60/27/888986027.db2.gz QIISMHUQOKLVNT-RYUDHWBXSA-N -1 1 305.378 1.506 20 0 DDADMM O=C([O-])c1ccc(-c2ccc(S(=O)(=O)C3CNC3)cc2)cc1 ZINC001236063690 889090698 /nfs/dbraw/zinc/09/06/98/889090698.db2.gz JBQJYLMHGIHYBK-UHFFFAOYSA-N -1 1 317.366 1.797 20 0 DDADMM O=C(N[C@H]1Cc2cnccc2NC1=O)c1ccc(F)c([O-])c1 ZINC001364655460 889132608 /nfs/dbraw/zinc/13/26/08/889132608.db2.gz NJHGIUGVVVSKLO-LBPRGKRZSA-N -1 1 301.277 1.220 20 0 DDADMM Cn1nccc1[C@H]1COCCN1Cc1ccc([O-])c(F)c1F ZINC001364731158 889293471 /nfs/dbraw/zinc/29/34/71/889293471.db2.gz XUPJJRAWRROVSI-GFCCVEGCSA-N -1 1 309.316 1.977 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCC[C@H]2C)[n-]n1 ZINC001364750477 889334757 /nfs/dbraw/zinc/33/47/57/889334757.db2.gz NNCJLVQTKHHYFI-BDAKNGLRSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCC[C@H]2C)n[n-]1 ZINC001364750477 889334770 /nfs/dbraw/zinc/33/47/70/889334770.db2.gz NNCJLVQTKHHYFI-BDAKNGLRSA-N -1 1 301.368 1.053 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1ccc(OC)cc1 ZINC001364773575 889384504 /nfs/dbraw/zinc/38/45/04/889384504.db2.gz WGCHRPKZOAEXOG-UHFFFAOYSA-N -1 1 314.388 1.639 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC2(C1)OCCO2)c1cc(F)ccc1F ZINC001364812314 889468047 /nfs/dbraw/zinc/46/80/47/889468047.db2.gz YXYMEXXZKNNSNH-SNVBAGLBSA-N -1 1 319.329 1.539 20 0 DDADMM CC(C)c1oncc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC001364820574 889482164 /nfs/dbraw/zinc/48/21/64/889482164.db2.gz NSMLGLUXXMCAIM-UHFFFAOYSA-N -1 1 304.306 1.267 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC(C)(C)C2)[n-]n1 ZINC001364828619 889496473 /nfs/dbraw/zinc/49/64/73/889496473.db2.gz NAJWWHRILDHOQP-MRVPVSSYSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC(C)(C)C2)n[n-]1 ZINC001364828619 889496479 /nfs/dbraw/zinc/49/64/79/889496479.db2.gz NAJWWHRILDHOQP-MRVPVSSYSA-N -1 1 301.368 1.053 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2cnc(Cl)cn2)sn1 ZINC001364962110 889767351 /nfs/dbraw/zinc/76/73/51/889767351.db2.gz GFZFNIHTTNCEMB-UHFFFAOYSA-N -1 1 304.784 1.373 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1OCc2ccccc21 ZINC001364987256 889824945 /nfs/dbraw/zinc/82/49/45/889824945.db2.gz FEYRDFMFRLDURN-NSHDSACASA-N -1 1 309.347 1.115 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(F)(F)CC[C@H]2C)[n-]n1 ZINC001365000186 889860814 /nfs/dbraw/zinc/86/08/14/889860814.db2.gz GAMYICYAHQVKFY-SSDOTTSWSA-N -1 1 323.321 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(F)(F)CC[C@H]2C)n[n-]1 ZINC001365000186 889860835 /nfs/dbraw/zinc/86/08/35/889860835.db2.gz GAMYICYAHQVKFY-SSDOTTSWSA-N -1 1 323.321 1.005 20 0 DDADMM CCOC(=O)CN1CCN(Cc2ccc(C(=O)[O-])cc2C)CC1 ZINC001238135842 890057606 /nfs/dbraw/zinc/05/76/06/890057606.db2.gz XEOBAGNTDOEAQF-UHFFFAOYSA-N -1 1 320.389 1.374 20 0 DDADMM CC[C@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1csnc1OC ZINC001365145551 890193108 /nfs/dbraw/zinc/19/31/08/890193108.db2.gz PVCHJDAKVPLENB-GZMMTYOYSA-N -1 1 308.425 1.227 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C(C)(C)[C@@H]2CCCCO2)n[n-]1 ZINC001365195589 890315189 /nfs/dbraw/zinc/31/51/89/890315189.db2.gz QJJAYLQAFLMJFN-JTQLQIEISA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C(C)(C)[C@@H]2CCCCO2)n1 ZINC001365195589 890315205 /nfs/dbraw/zinc/31/52/05/890315205.db2.gz QJJAYLQAFLMJFN-JTQLQIEISA-N -1 1 324.381 1.193 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1cncs1)c1cccc(F)c1F ZINC001365461016 890837853 /nfs/dbraw/zinc/83/78/53/890837853.db2.gz PKZBAHHYSAFSLL-MRVPVSSYSA-N -1 1 320.342 1.433 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2C[C@@H](C)N(C3CC3)C2)sn1 ZINC001365680855 891307203 /nfs/dbraw/zinc/30/72/03/891307203.db2.gz VQQPYALPPHSSGW-BDAKNGLRSA-N -1 1 317.436 1.055 20 0 DDADMM C[C@H](CS(=O)(=O)[N-]Cc1nc(C(F)(F)F)no1)C1CC1 ZINC001365699674 891358661 /nfs/dbraw/zinc/35/86/61/891358661.db2.gz NUYAUAJTDBORJR-ZCFIWIBFSA-N -1 1 313.301 1.554 20 0 DDADMM COc1cc(NC(=O)NCCc2c(F)cc([O-])cc2F)ncn1 ZINC001365774275 891520742 /nfs/dbraw/zinc/52/07/42/891520742.db2.gz XCPUBBBAKXMYDQ-UHFFFAOYSA-N -1 1 324.287 1.833 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CC1(C)CCCC1 ZINC001386746141 891619779 /nfs/dbraw/zinc/61/97/79/891619779.db2.gz XPBJMDFCTPISEL-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CC(=O)Nc1nc2nc[nH]c2c(-c2ccc(C(=O)[O-])c(C)c2)n1 ZINC001243210250 891622312 /nfs/dbraw/zinc/62/23/12/891622312.db2.gz DNMDKDVJMOFDHW-UHFFFAOYSA-N -1 1 311.301 1.985 20 0 DDADMM C[C@@H](CNC(=O)CC1(C)CCCC1)NC(=O)c1ncccc1[O-] ZINC001386755662 891639638 /nfs/dbraw/zinc/63/96/38/891639638.db2.gz RCEXQXOOTJRDRX-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM COC(=O)Cc1ccccc1-c1ccc2c(c1)CC(=O)[N-]C2=O ZINC001243959169 891766647 /nfs/dbraw/zinc/76/66/47/891766647.db2.gz NJYVJSCCDABHSE-UHFFFAOYSA-N -1 1 309.321 1.882 20 0 DDADMM COc1ccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nc1 ZINC001244791133 891923964 /nfs/dbraw/zinc/92/39/64/891923964.db2.gz VPRSYITZVJZFFF-UHFFFAOYSA-N -1 1 309.347 1.532 20 0 DDADMM COc1cc(C)cnc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244791715 891927976 /nfs/dbraw/zinc/92/79/76/891927976.db2.gz BTNBVIQMHMLICT-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM CCCc1cc(=O)n(-c2ccc(CS(=O)(=O)NC)cc2)[n-]1 ZINC001245925576 892274668 /nfs/dbraw/zinc/27/46/68/892274668.db2.gz RKVZRXUBSKYIGG-UHFFFAOYSA-N -1 1 309.391 1.580 20 0 DDADMM CN(CCNC(=O)C(C)(C)C1CC1)C(=O)c1ncccc1[O-] ZINC001387055825 892275137 /nfs/dbraw/zinc/27/51/37/892275137.db2.gz PHLBBUHRVRKFGS-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM CC(C)(C)Cc1cc(=O)n(-c2ccc(S(N)(=O)=O)cc2)[n-]1 ZINC001245931905 892275508 /nfs/dbraw/zinc/27/55/08/892275508.db2.gz IZTHKAVSNGOYHY-UHFFFAOYSA-N -1 1 309.391 1.814 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCCC[C@H]2C2OCCO2)c1 ZINC001292434253 913680908 /nfs/dbraw/zinc/68/09/08/913680908.db2.gz FBJCXVMWZJUJTF-AWEZNQCLSA-N -1 1 319.357 1.881 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001292489724 913731007 /nfs/dbraw/zinc/73/10/07/913731007.db2.gz KEFVCGMRUVVOLR-MNOVXSKESA-N -1 1 306.362 1.546 20 0 DDADMM CCOC(=O)c1c(F)cc(F)cc1[N-]S(=O)(=O)N(C)C ZINC001251098089 894634768 /nfs/dbraw/zinc/63/47/68/894634768.db2.gz JEKGVTDCENYAKF-UHFFFAOYSA-N -1 1 308.306 1.360 20 0 DDADMM Cc1occc1C(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001388385912 895120815 /nfs/dbraw/zinc/12/08/15/895120815.db2.gz FRMKHQGUXHOTOE-SNVBAGLBSA-N -1 1 317.345 1.485 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H]([N-]S(C)(=O)=O)C(F)(F)C1 ZINC001252756500 895436100 /nfs/dbraw/zinc/43/61/00/895436100.db2.gz KFTYFXJOBFVHGH-MRVPVSSYSA-N -1 1 314.354 1.180 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)Cc2ccccc2)n1 ZINC001252806180 895465427 /nfs/dbraw/zinc/46/54/27/895465427.db2.gz KBDLZJMKXHYPLF-UHFFFAOYSA-N -1 1 306.343 1.810 20 0 DDADMM CN(C)c1nc(NC[C@@](C)(O)c2ccccc2)c(N=O)c(=O)[n-]1 ZINC001253093024 895631162 /nfs/dbraw/zinc/63/11/62/895631162.db2.gz PPMZSDOLFXWBRM-OAHLLOKOSA-N -1 1 317.349 1.966 20 0 DDADMM CCS(=O)(=O)[N-]c1csc(C(=O)OC)c1C(=O)OC ZINC001253277729 895764988 /nfs/dbraw/zinc/76/49/88/895764988.db2.gz NTKADXHXPGEDFO-UHFFFAOYSA-N -1 1 307.349 1.083 20 0 DDADMM CC[C@H](CNC(=O)[C@H]1CC2CCC1CC2)NCc1n[nH]c(=O)[n-]1 ZINC001367148487 896011553 /nfs/dbraw/zinc/01/15/53/896011553.db2.gz ITPUBJLMMJQALN-TUUUFIMRSA-N -1 1 321.425 1.321 20 0 DDADMM CCCCS(=O)(=O)[N-][C@@H](C(=O)OC)c1ccccc1OC ZINC001253931643 896158811 /nfs/dbraw/zinc/15/88/11/896158811.db2.gz HIRVZIPVZSSLFS-CYBMUJFWSA-N -1 1 315.391 1.629 20 0 DDADMM O=C(CCC1CCC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001389165664 896589254 /nfs/dbraw/zinc/58/92/54/896589254.db2.gz IQTPVOSPNJEMOT-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM C[C@H](CNC(=O)c1ccccc1F)NC(=O)c1ncccc1[O-] ZINC001389173025 896609965 /nfs/dbraw/zinc/60/99/65/896609965.db2.gz XIZKBUNRYUBMQJ-SNVBAGLBSA-N -1 1 317.320 1.475 20 0 DDADMM O=c1[n-]c(-c2ccccn2)ncc1-c1nnc([C@@H]2CCOC2)o1 ZINC001255585937 897032966 /nfs/dbraw/zinc/03/29/66/897032966.db2.gz JYXLFMKGXAWCFB-SECBINFHSA-N -1 1 311.301 1.798 20 0 DDADMM COC(=O)c1cc(-c2nnc(Cc3ccccc3F)o2)[n-]n1 ZINC001255846774 897141496 /nfs/dbraw/zinc/14/14/96/897141496.db2.gz SJTISYMLCSQOKU-UHFFFAOYSA-N -1 1 302.265 1.976 20 0 DDADMM Cc1cccc([C@@H](C)C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001367757357 897689605 /nfs/dbraw/zinc/68/96/05/897689605.db2.gz LDMGDIHPWBFCLT-NWDGAFQWSA-N -1 1 317.393 1.217 20 0 DDADMM CCCCOC(=O)CC[C@H](C)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001257386600 897834871 /nfs/dbraw/zinc/83/48/71/897834871.db2.gz VTBQFURGYSPLOL-QMMMGPOBSA-N -1 1 323.353 1.640 20 0 DDADMM COC(=O)CC[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257515265 897871462 /nfs/dbraw/zinc/87/14/62/897871462.db2.gz HBMZBECFQKKEON-UHFFFAOYSA-N -1 1 318.203 1.896 20 0 DDADMM Cc1n[nH]c(C(=O)NCC2(NCC=C(Cl)Cl)CC2)c1[O-] ZINC001367993287 898431249 /nfs/dbraw/zinc/43/12/49/898431249.db2.gz LCYJXXWNDDKTDI-UHFFFAOYSA-N -1 1 319.192 1.595 20 0 DDADMM CC(=O)c1nn(C)cc1[N-]S(=O)(=O)Cc1ccc(F)cc1 ZINC001259248600 898546635 /nfs/dbraw/zinc/54/66/35/898546635.db2.gz WMPZHSABWOCTDX-UHFFFAOYSA-N -1 1 311.338 1.704 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)CCN1 ZINC001259459743 898679814 /nfs/dbraw/zinc/67/98/14/898679814.db2.gz DIMWQCHTJKCWOU-MRVPVSSYSA-N -1 1 306.746 1.036 20 0 DDADMM Cc1nc([N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)cc(=O)[nH]1 ZINC001259495235 898699378 /nfs/dbraw/zinc/69/93/78/898699378.db2.gz HGNMOBLPCLIHJW-UHFFFAOYSA-N -1 1 319.264 1.709 20 0 DDADMM O=c1[nH]nccc1[N-]S(=O)(=O)c1ccc(Cl)cc1F ZINC001259506806 898707013 /nfs/dbraw/zinc/70/70/13/898707013.db2.gz KWOZLNNRRBGVNX-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM CC(C)CS(=O)(=O)[N-]c1ccc(S(C)(=O)=O)c(F)c1 ZINC001259886835 898897796 /nfs/dbraw/zinc/89/77/96/898897796.db2.gz YTBGPURTLKNMRJ-UHFFFAOYSA-N -1 1 309.384 1.627 20 0 DDADMM COc1cccc2c1C[C@@H]([N-]S(=O)(=O)C(F)F)CS2 ZINC001259964703 898988089 /nfs/dbraw/zinc/98/80/89/898988089.db2.gz DMSFVRZQJAJPAV-SSDOTTSWSA-N -1 1 309.359 1.854 20 0 DDADMM COc1ccc2c(c1)OC[C@H](C[N-]S(=O)(=O)C(F)F)C2 ZINC001259964967 898988530 /nfs/dbraw/zinc/98/85/30/898988530.db2.gz QBGANFSZIAUSLN-QMMMGPOBSA-N -1 1 307.318 1.388 20 0 DDADMM C[C@@]1(NC(=O)CCCF)CCN(C(=O)c2ncccc2[O-])C1 ZINC001390239730 898988946 /nfs/dbraw/zinc/98/89/46/898988946.db2.gz BSHXJESQFRXBAF-OAHLLOKOSA-N -1 1 309.341 1.258 20 0 DDADMM CCOC(=O)c1c([N-]S(N)(=O)=O)sc2c1CC[C@H](C)C2 ZINC001260074804 899037750 /nfs/dbraw/zinc/03/77/50/899037750.db2.gz NSGLTOVYFFJYDI-ZETCQYMHSA-N -1 1 318.420 1.665 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1cc(CO)ccc1F ZINC001260110607 899059706 /nfs/dbraw/zinc/05/97/06/899059706.db2.gz JSFFPFMTHFGFBH-UHFFFAOYSA-N -1 1 313.354 1.469 20 0 DDADMM Cn1nc2c(c1NS(=O)(=O)c1cccc(C(=O)[O-])c1)CCC2 ZINC001260183289 899076496 /nfs/dbraw/zinc/07/64/96/899076496.db2.gz IJWIGHOUCGOKPO-UHFFFAOYSA-N -1 1 321.358 1.408 20 0 DDADMM O=S(=O)([N-]CCn1cncn1)c1cc(Cl)c(F)cc1F ZINC001260206610 899087452 /nfs/dbraw/zinc/08/74/52/899087452.db2.gz VBWQQGYMTNODOG-UHFFFAOYSA-N -1 1 322.724 1.188 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)C[C@@H](O)C1 ZINC001260288472 899112591 /nfs/dbraw/zinc/11/25/91/899112591.db2.gz RKSMMHZMBAAIIR-MHWOZMEASA-N -1 1 311.737 1.810 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc(Cl)c(Cl)c1 ZINC001260583306 899175750 /nfs/dbraw/zinc/17/57/50/899175750.db2.gz YVPJKSKGBLEPNM-UHFFFAOYSA-N -1 1 318.203 1.737 20 0 DDADMM Cc1ccc(S(=O)(=O)NCC(=O)c2ccc([O-])cc2)s1 ZINC001260688713 899218938 /nfs/dbraw/zinc/21/89/38/899218938.db2.gz LTBMQMJLKWHCAD-UHFFFAOYSA-N -1 1 311.384 1.923 20 0 DDADMM CN(CCc1ccc(Cl)cc1)c1nnc(-c2nnn[n-]2)n1C ZINC001262851984 900395000 /nfs/dbraw/zinc/39/50/00/900395000.db2.gz BBRATACXFJDVPW-UHFFFAOYSA-N -1 1 318.772 1.328 20 0 DDADMM CN(CCc1ccc(Cl)cc1)c1nnc(-c2nn[n-]n2)n1C ZINC001262851984 900395011 /nfs/dbraw/zinc/39/50/11/900395011.db2.gz BBRATACXFJDVPW-UHFFFAOYSA-N -1 1 318.772 1.328 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CC2(CC2)c2ccccc21 ZINC001263015541 900469108 /nfs/dbraw/zinc/46/91/08/900469108.db2.gz QTLLSWJNZVMAGG-UHFFFAOYSA-N -1 1 322.376 1.585 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CC2(CC2)c2ccccc21 ZINC001263015541 900469117 /nfs/dbraw/zinc/46/91/17/900469117.db2.gz QTLLSWJNZVMAGG-UHFFFAOYSA-N -1 1 322.376 1.585 20 0 DDADMM O=C(c1ccc(-n2cccn2)cc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001263886509 900799650 /nfs/dbraw/zinc/79/96/50/900799650.db2.gz MIBZRNRASQGWFQ-LBPRGKRZSA-N -1 1 309.333 1.015 20 0 DDADMM CC1(C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C2CC2)CCC1 ZINC001265171524 901663989 /nfs/dbraw/zinc/66/39/89/901663989.db2.gz RWMRCLKXTXSEGC-UHFFFAOYSA-N -1 1 307.398 1.171 20 0 DDADMM CC(C)(C)C(=O)NC[C@H]1CCC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001391928216 902921184 /nfs/dbraw/zinc/92/11/84/902921184.db2.gz WFYNUPCAXMOSBU-NEPJUHHUSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](NC/C(Cl)=C\Cl)C1 ZINC001370357529 903000747 /nfs/dbraw/zinc/00/07/47/903000747.db2.gz CIGKUWHWQRMUAT-ZKXNXJMVSA-N -1 1 316.188 1.910 20 0 DDADMM COC[C@H](C)CC(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001370620469 903527012 /nfs/dbraw/zinc/52/70/12/903527012.db2.gz UCSUSGQVZSDRSD-VXGBXAGGSA-N -1 1 323.393 1.037 20 0 DDADMM CC(C)[C@H](C)C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001294037007 914874102 /nfs/dbraw/zinc/87/41/02/914874102.db2.gz HSPOWSPCVHUHEA-LBPRGKRZSA-N -1 1 307.394 1.658 20 0 DDADMM O=C(CC1CC1)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001371635870 905377325 /nfs/dbraw/zinc/37/73/25/905377325.db2.gz FZXIFPWJHBRCJM-TXEJJXNPSA-N -1 1 303.362 1.212 20 0 DDADMM CCC[C@H](CC)C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001393039803 906026762 /nfs/dbraw/zinc/02/67/62/906026762.db2.gz ZNNWOFIYIZNOLV-RYUDHWBXSA-N -1 1 307.394 1.848 20 0 DDADMM CC[C@H](C)[C@@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001393812217 907987020 /nfs/dbraw/zinc/98/70/20/907987020.db2.gz VKRSZHXPAKHLBC-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1ccsc1C(=O)N[C@@H](C)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001393919908 908250041 /nfs/dbraw/zinc/25/00/41/908250041.db2.gz VMRYRFPVFAJQPL-IUCAKERBSA-N -1 1 309.395 1.177 20 0 DDADMM O=C(NCCN(Cc1cnns1)C1CC1)c1ncccc1[O-] ZINC001372790436 908264707 /nfs/dbraw/zinc/26/47/07/908264707.db2.gz UCLHZPMHGGYVTM-UHFFFAOYSA-N -1 1 319.390 1.033 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(CCF)CC1 ZINC001372835562 908388623 /nfs/dbraw/zinc/38/86/23/908388623.db2.gz OFKKOSUKXFGGCA-JTQLQIEISA-N -1 1 309.341 1.162 20 0 DDADMM Cc1coc(C)c1C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001372839047 908397918 /nfs/dbraw/zinc/39/79/18/908397918.db2.gz IRLCHNLLIARXMD-SNVBAGLBSA-N -1 1 317.345 1.545 20 0 DDADMM CC[C@@H](C)CCC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373402054 909759797 /nfs/dbraw/zinc/75/97/97/909759797.db2.gz ZBYKJDOOLLWIPC-OLZOCXBDSA-N -1 1 323.441 1.015 20 0 DDADMM CN(CCNC(=O)C1(F)CCCCC1)C(=O)c1ncccc1[O-] ZINC001373688478 910623594 /nfs/dbraw/zinc/62/35/94/910623594.db2.gz XNVUUMSLAZIOLT-UHFFFAOYSA-N -1 1 323.368 1.648 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CC(F)(F)F ZINC001394810998 910627734 /nfs/dbraw/zinc/62/77/34/910627734.db2.gz MPEWIGAFNQALAE-ZETCQYMHSA-N -1 1 323.319 1.083 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCNC(=O)C(C)(C)C)c1 ZINC001294157549 914949531 /nfs/dbraw/zinc/94/95/31/914949531.db2.gz OSHDCONHAHSNQW-UHFFFAOYSA-N -1 1 306.362 1.405 20 0 DDADMM CCC[C@H](NC(=O)Cc1cccc2nsnc21)c1nn[n-]n1 ZINC001294421696 915127865 /nfs/dbraw/zinc/12/78/65/915127865.db2.gz FOAGRGQMIGUDJP-JTQLQIEISA-N -1 1 317.378 1.405 20 0 DDADMM CCN(CCCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O)C(C)=O ZINC001294503992 915178423 /nfs/dbraw/zinc/17/84/23/915178423.db2.gz YEAWRQMYFLLOEL-UHFFFAOYSA-N -1 1 322.409 1.602 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cc(CO)on1 ZINC001296613233 916505102 /nfs/dbraw/zinc/50/51/02/916505102.db2.gz LLCHUIRRFOQSCO-UHFFFAOYSA-N -1 1 314.095 1.282 20 0 DDADMM C[C@@H](C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-])C1CCC1 ZINC001377737624 922843295 /nfs/dbraw/zinc/84/32/95/922843295.db2.gz UIQYVUXAOCWEBW-WDEREUQCSA-N -1 1 305.378 1.458 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)C1(C2CCC2)CCC1 ZINC001377814593 923120677 /nfs/dbraw/zinc/12/06/77/923120677.db2.gz FIJJZPGSARWBNV-GHMZBOCLSA-N -1 1 321.425 1.464 20 0 DDADMM Cn1cc([C@H](NC(=O)c2ncccc2[O-])C(F)(F)F)cn1 ZINC000278287666 214068782 /nfs/dbraw/zinc/06/87/82/214068782.db2.gz IREOYXMIVMJLPK-JTQLQIEISA-N -1 1 300.240 1.554 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCc3ccccc3C)nc2n1 ZINC000622870646 365550347 /nfs/dbraw/zinc/55/03/47/365550347.db2.gz BJFJMFNAPFMJEJ-UHFFFAOYSA-N -1 1 311.345 1.606 20 0 DDADMM CCC[C@H]1C[C@H](C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)CCO1 ZINC000622871023 365550698 /nfs/dbraw/zinc/55/06/98/365550698.db2.gz OMQXXIMEGOOQSE-MNOVXSKESA-N -1 1 319.365 1.260 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](CC)CC(C)C)nc2n1 ZINC000622993093 365585469 /nfs/dbraw/zinc/58/54/69/365585469.db2.gz AYTRWWXVCSUIDR-SNVBAGLBSA-N -1 1 305.382 1.991 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3C(C)C)nc2n1 ZINC000622996876 365590231 /nfs/dbraw/zinc/59/02/31/365590231.db2.gz DEZMDPFIEJTLGC-WDEREUQCSA-N -1 1 303.366 1.601 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3CC(C)C)nc2n1 ZINC000622997787 365591131 /nfs/dbraw/zinc/59/11/31/365591131.db2.gz LQLGECVHFFSAPP-ZYHUDNBSSA-N -1 1 317.393 1.991 20 0 DDADMM COc1cc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)ccn1 ZINC000614390019 361834144 /nfs/dbraw/zinc/83/41/44/361834144.db2.gz QHOJCDKUFPDPCB-NSHDSACASA-N -1 1 318.333 1.128 20 0 DDADMM O=C(C[C@H]1CCCC[C@@H]1O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614472642 361873396 /nfs/dbraw/zinc/87/33/96/361873396.db2.gz CEPIMPZMKCKYRW-NEPJUHHUSA-N -1 1 309.366 1.422 20 0 DDADMM O=C(Cc1cc(C2CC2)no1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474614 361876712 /nfs/dbraw/zinc/87/67/12/361876712.db2.gz AZJJPCDEPJAELP-UHFFFAOYSA-N -1 1 318.333 1.589 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@@H](CO)C1)c1c(F)cccc1Cl ZINC000451721534 231173346 /nfs/dbraw/zinc/17/33/46/231173346.db2.gz XEGMRVRNWMUSJM-BDAKNGLRSA-N -1 1 307.774 1.918 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C(F)(F)F ZINC000444561406 529419777 /nfs/dbraw/zinc/41/97/77/529419777.db2.gz PDFJYVYGFJHRJJ-MUWHJKNJSA-N -1 1 317.271 1.124 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C[C@@H]1CCCCO1 ZINC000087363259 185222635 /nfs/dbraw/zinc/22/26/35/185222635.db2.gz YQPNGILOXXLUKE-LBPRGKRZSA-N -1 1 318.377 1.215 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)Cc1cccc(F)c1F ZINC000087388447 185223519 /nfs/dbraw/zinc/22/35/19/185223519.db2.gz PEOCXSMWBKTLMI-UHFFFAOYSA-N -1 1 312.345 1.886 20 0 DDADMM O=C(NCC1(c2ccccn2)CC1)c1nc2ccccc2c(=O)[n-]1 ZINC000194068270 539262081 /nfs/dbraw/zinc/26/20/81/539262081.db2.gz RFUBZXHOASNGBK-UHFFFAOYSA-N -1 1 320.352 1.780 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)N1CCCC1)C(=O)Nc1c(C)[n-][nH]c1=O ZINC000615227031 362203875 /nfs/dbraw/zinc/20/38/75/362203875.db2.gz OFFJJNURSKOPOL-ONGXEEELSA-N -1 1 323.397 1.582 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](NS(=O)(=O)c2c(F)cc(F)cc2F)C1 ZINC000097064039 185405555 /nfs/dbraw/zinc/40/55/55/185405555.db2.gz YHGDSJLZZFRFHC-SVRRBLITSA-N -1 1 323.292 1.636 20 0 DDADMM CN(Cc1cccc(O)c1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000615364513 362265961 /nfs/dbraw/zinc/26/59/61/362265961.db2.gz LCARCKVRUDZHPY-UHFFFAOYSA-N -1 1 309.325 1.901 20 0 DDADMM CN(C[C@H]1CCCC[C@H]1O)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000305836044 529964987 /nfs/dbraw/zinc/96/49/87/529964987.db2.gz XBGQKVWPLCKTBY-BXUZGUMPSA-N -1 1 315.373 1.959 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@@H]1c1cn[nH]c1 ZINC000615895966 362478594 /nfs/dbraw/zinc/47/85/94/362478594.db2.gz XUUAUTPRLGMLQB-GFCCVEGCSA-N -1 1 303.391 1.840 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C2CC2)C2CCC2)co1 ZINC000457232399 530026165 /nfs/dbraw/zinc/02/61/65/530026165.db2.gz FLTANWXXZNSVPJ-ZDUSSCGKSA-N -1 1 312.391 1.496 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCc3cncn3C2)c1Br ZINC000616001380 362515402 /nfs/dbraw/zinc/51/54/02/362515402.db2.gz BFKMOPBOQCGASD-MRVPVSSYSA-N -1 1 324.182 1.300 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cc(N(C)C)ccn2)c1Br ZINC000616010218 362518647 /nfs/dbraw/zinc/51/86/47/362518647.db2.gz IDKOUCNEMOWSAY-UHFFFAOYSA-N -1 1 324.182 1.616 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)C2(C(=O)C3CC3)CC2)c1Br ZINC000616011315 362520131 /nfs/dbraw/zinc/52/01/31/362520131.db2.gz ODVVQAHXMTZQBK-UHFFFAOYSA-N -1 1 312.167 1.600 20 0 DDADMM O=C(c1c([O-])cnc2ccc(Cl)cc21)N1C[C@H](O)[C@@H](CO)C1 ZINC000458040601 232191585 /nfs/dbraw/zinc/19/15/85/232191585.db2.gz RTBCZMBMYOFABE-OQPBUACISA-N -1 1 322.748 1.019 20 0 DDADMM Cc1c(C(=O)NC(C)(C)c2nn[n-]n2)cnn1C1CCCCC1 ZINC000358355557 299129326 /nfs/dbraw/zinc/12/93/26/299129326.db2.gz WSCGIWDFZYDCTP-UHFFFAOYSA-N -1 1 317.397 1.875 20 0 DDADMM CS(=O)(=O)Nc1cccc(-c2nc3cccnc3c(=O)[n-]2)c1 ZINC000491596552 539544741 /nfs/dbraw/zinc/54/47/41/539544741.db2.gz LRPMNOMPFWSNIH-UHFFFAOYSA-N -1 1 316.342 1.769 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H](O)C3CCOCC3)[nH][n-]2)s1 ZINC000616811899 362829837 /nfs/dbraw/zinc/82/98/37/362829837.db2.gz YRXRZKRDOZGJKY-AWEZNQCLSA-N -1 1 321.402 1.595 20 0 DDADMM COC[C@H](CNC(=O)c1ccc(C(F)(F)F)cc1[O-])OC ZINC000272638689 210041275 /nfs/dbraw/zinc/04/12/75/210041275.db2.gz AXDAPKKHOFSMRP-VIFPVBQESA-N -1 1 307.268 1.802 20 0 DDADMM COc1ccc([C@H](CCO)NC(=O)c2ncccc2[O-])cc1 ZINC000133638558 186002578 /nfs/dbraw/zinc/00/25/78/186002578.db2.gz UPDYUDCGUATZDX-ZDUSSCGKSA-N -1 1 302.330 1.649 20 0 DDADMM COC[C@H](C)CNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000149770720 186052786 /nfs/dbraw/zinc/05/27/86/186052786.db2.gz YQBDYYGYMKDGLR-SECBINFHSA-N -1 1 313.423 1.132 20 0 DDADMM COC(=O)[C@@H](C)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000182028834 199376568 /nfs/dbraw/zinc/37/65/68/199376568.db2.gz RCYCLKGYPNZSOJ-ZETCQYMHSA-N -1 1 305.252 1.950 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@@H](CO)NC(=O)c2ncc(C)cc2[O-])C1 ZINC000617537015 363165166 /nfs/dbraw/zinc/16/51/66/363165166.db2.gz KVNBKKRNXVAXEF-JHJVBQTASA-N -1 1 308.378 1.392 20 0 DDADMM COc1ccc(NC(=O)c2cnn(C)c2)cc1[N-]S(C)(=O)=O ZINC000029817767 352245380 /nfs/dbraw/zinc/24/53/80/352245380.db2.gz RDFJCTJBVZPHFR-UHFFFAOYSA-N -1 1 324.362 1.053 20 0 DDADMM Cc1nnsc1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000490441727 234011081 /nfs/dbraw/zinc/01/10/81/234011081.db2.gz DKNQBRVVNMALPR-UHFFFAOYSA-N -1 1 323.321 1.742 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](Cc3nccn3C)C2)c([O-])c1 ZINC000331734393 234062158 /nfs/dbraw/zinc/06/21/58/234062158.db2.gz SENNFZHBOYKLRH-CYBMUJFWSA-N -1 1 314.389 1.924 20 0 DDADMM COCCCC(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000052281030 352607218 /nfs/dbraw/zinc/60/72/18/352607218.db2.gz JDIJPCIJIJKOJJ-UHFFFAOYSA-N -1 1 316.379 1.432 20 0 DDADMM Cc1noc([N-]C(=O)c2nc(N3CCCC3)ncc2Cl)n1 ZINC000056912734 352789532 /nfs/dbraw/zinc/78/95/32/352789532.db2.gz WMPKRQUOFLITDK-UHFFFAOYSA-N -1 1 308.729 1.674 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC1(C)Cc2ccccc2C1 ZINC000331863581 234209359 /nfs/dbraw/zinc/20/93/59/234209359.db2.gz XIDWZYUEIAZWCG-UHFFFAOYSA-N -1 1 321.402 1.479 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(C)Cc2ccccc2C1 ZINC000331863581 234209363 /nfs/dbraw/zinc/20/93/63/234209363.db2.gz XIDWZYUEIAZWCG-UHFFFAOYSA-N -1 1 321.402 1.479 20 0 DDADMM CC(C)O[C@@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000564981453 304033011 /nfs/dbraw/zinc/03/30/11/304033011.db2.gz GDNPUVJNERSIRL-LLVKDONJSA-N -1 1 301.346 1.563 20 0 DDADMM CC(C)c1nccc(N2CCN(c3nc(=N)[n-]s3)CC2)n1 ZINC000345640462 282995638 /nfs/dbraw/zinc/99/56/38/282995638.db2.gz NSKWRPOIQRZXGG-UHFFFAOYSA-N -1 1 305.411 1.191 20 0 DDADMM CCOC1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000617911060 363370937 /nfs/dbraw/zinc/37/09/37/363370937.db2.gz CCXBLMBTGOWXNI-UHFFFAOYSA-N -1 1 301.346 1.564 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(C)nsc2NC)n1 ZINC000585682891 354827134 /nfs/dbraw/zinc/82/71/34/354827134.db2.gz WIPTVFKCXYELBW-UHFFFAOYSA-N -1 1 309.351 1.645 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cccc(N(C)C)c3)nc2n1 ZINC000588006359 354892768 /nfs/dbraw/zinc/89/27/68/354892768.db2.gz FSUBYKUXCWPGKZ-UHFFFAOYSA-N -1 1 312.333 1.044 20 0 DDADMM CN1CC[C@@H](NC(=O)c2c([O-])cnc3ccc(Cl)cc32)C1=O ZINC000282375066 283055522 /nfs/dbraw/zinc/05/55/22/283055522.db2.gz CKCIOSXYYWJFMV-LLVKDONJSA-N -1 1 319.748 1.554 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]C2(CF)CCC2)oc1C ZINC000592109112 355502440 /nfs/dbraw/zinc/50/24/40/355502440.db2.gz TZPQUDJSXYGXRR-UHFFFAOYSA-N -1 1 305.327 1.545 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@H]1CCCCO1 ZINC000592075683 355484753 /nfs/dbraw/zinc/48/47/53/355484753.db2.gz PEEUWFQJQYWAIV-VXGBXAGGSA-N -1 1 321.439 1.453 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000592831651 355706902 /nfs/dbraw/zinc/70/69/02/355706902.db2.gz OWKSQSGNWAZACM-GFCCVEGCSA-N -1 1 307.346 1.669 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccn(C)c1C1CCC1)c1nn[n-]n1 ZINC000346549747 283122077 /nfs/dbraw/zinc/12/20/77/283122077.db2.gz MLESDRGCVNNEAS-JTQLQIEISA-N -1 1 302.382 1.681 20 0 DDADMM CCN(CC)C(=O)CSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000005155101 181210685 /nfs/dbraw/zinc/21/06/85/181210685.db2.gz PKKOVOURDYJCLS-UHFFFAOYSA-N -1 1 309.313 1.749 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CC[C@@H](O)C1 ZINC000276961659 213205085 /nfs/dbraw/zinc/20/50/85/213205085.db2.gz ZGDMUJUFRQGERX-WDEREUQCSA-N -1 1 307.394 1.679 20 0 DDADMM Cc1ccc(NC(=O)c2cncc([O-])c2)cc1NS(C)(=O)=O ZINC000346600650 283135318 /nfs/dbraw/zinc/13/53/18/283135318.db2.gz IHYJGQBDYAACFW-UHFFFAOYSA-N -1 1 321.358 1.719 20 0 DDADMM CC[N@@H+](CCNC(=O)N=c1ccc(OC(C)C)n[n-]1)C1CC1 ZINC000346639053 283140148 /nfs/dbraw/zinc/14/01/48/283140148.db2.gz CSZQUMDHQJDBMU-UHFFFAOYSA-N -1 1 307.398 1.292 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCC[C@H](C)O2)o1 ZINC000594852818 356338536 /nfs/dbraw/zinc/33/85/36/356338536.db2.gz TXMPELPLCMURMT-VHSXEESVSA-N -1 1 317.363 1.302 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCC2CCC2)c1 ZINC000594857673 356340211 /nfs/dbraw/zinc/34/02/11/356340211.db2.gz NRNPPNKICZBIIK-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCOC[C@H]2C)cc1C ZINC000595325567 356450226 /nfs/dbraw/zinc/45/02/26/356450226.db2.gz JNCAEHHNBVQKSE-ZJUUUORDSA-N -1 1 317.363 1.078 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CSC2(C)C)cc1C ZINC000595328255 356451318 /nfs/dbraw/zinc/45/13/18/356451318.db2.gz XWGMGKJVBSDVQW-QMMMGPOBSA-N -1 1 319.404 1.547 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H](OC)C2CC2)cc1C ZINC000595335011 356453271 /nfs/dbraw/zinc/45/32/71/356453271.db2.gz QWDPGKSJHSQOIA-JTQLQIEISA-N -1 1 317.363 1.078 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)C1CC1 ZINC000595321444 356448226 /nfs/dbraw/zinc/44/82/26/356448226.db2.gz JIHYLKDBASQZBG-JTQLQIEISA-N -1 1 317.363 1.078 20 0 DDADMM CC(C)(C)OC(=O)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601346917 358509092 /nfs/dbraw/zinc/50/90/92/358509092.db2.gz CBBVKAMLCZEWQH-UHFFFAOYSA-N -1 1 311.306 1.837 20 0 DDADMM Cc1c(CCC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cnn1C ZINC000347569300 283231054 /nfs/dbraw/zinc/23/10/54/283231054.db2.gz QGHDMTCEKPDDCR-LBPRGKRZSA-N -1 1 319.365 1.156 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]c2ncc(CCO)s2)on1 ZINC000597665611 357274623 /nfs/dbraw/zinc/27/46/23/357274623.db2.gz SRCYEBIHLSNVIA-UHFFFAOYSA-N -1 1 311.319 1.095 20 0 DDADMM COc1ccc([C@@H](CCO)NC(=O)c2cncc([O-])c2)cc1 ZINC000179399987 199029229 /nfs/dbraw/zinc/02/92/29/199029229.db2.gz KIYIHLJBIGMROJ-OAHLLOKOSA-N -1 1 302.330 1.649 20 0 DDADMM CC(C)(C)c1ccc(SCC(=O)NCc2nn[n-]n2)cc1 ZINC000599334742 357899002 /nfs/dbraw/zinc/89/90/02/357899002.db2.gz RYPALDVWRXKTGG-UHFFFAOYSA-N -1 1 305.407 1.906 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](CO)CC1)c1ccc(F)c(F)c1F ZINC000179503987 199046629 /nfs/dbraw/zinc/04/66/29/199046629.db2.gz ZCRTWTGHTRXVMS-DTORHVGOSA-N -1 1 323.336 1.933 20 0 DDADMM CCO[C@@H]1C[C@@](O)(CNC(=O)c2c([O-])cccc2F)C1(C)C ZINC000276703926 213056314 /nfs/dbraw/zinc/05/63/14/213056314.db2.gz ZJMWJRHIBNFSAN-MLGOLLRUSA-N -1 1 311.353 1.827 20 0 DDADMM CSCC[C@H](NC(N)=O)C(=O)Nc1ccc([O-])c(F)c1F ZINC000599998057 358100235 /nfs/dbraw/zinc/10/02/35/358100235.db2.gz OVKYPTNGUHDZSJ-ZETCQYMHSA-N -1 1 319.333 1.399 20 0 DDADMM CO[N-]C(=O)[C@@H]1CC(=O)N(C2CC2)[C@@H]1c1cccc(OC)c1 ZINC000180364850 199150180 /nfs/dbraw/zinc/15/01/80/199150180.db2.gz JJISBLAVSGDORI-UKRRQHHQSA-N -1 1 304.346 1.425 20 0 DDADMM COC(=O)C[C@H](O)CNC(=O)c1ccc2ccccc2c1[O-] ZINC000600462609 358228957 /nfs/dbraw/zinc/22/89/57/358228957.db2.gz KIISNYDOKMAIRT-NSHDSACASA-N -1 1 303.314 1.199 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(-c2ccccc2Cl)on1 ZINC000600493758 358239174 /nfs/dbraw/zinc/23/91/74/358239174.db2.gz DHHGYFZVZFSGNY-UHFFFAOYSA-N -1 1 304.697 1.438 20 0 DDADMM CCOC(=O)C1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC1 ZINC000600676557 358279828 /nfs/dbraw/zinc/27/98/28/358279828.db2.gz XKEVZHASQBGXAM-UHFFFAOYSA-N -1 1 315.329 1.860 20 0 DDADMM C[C@@H]1[C@H](C)[S@](=O)CCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601205148 358446923 /nfs/dbraw/zinc/44/69/23/358446923.db2.gz XZOFWDLTAPKWLL-ZJNREGCTSA-N -1 1 315.822 1.956 20 0 DDADMM COC(=O)[C@@](C)(CCF)[N-]S(=O)(=O)C[C@H]1CCC1(F)F ZINC000601466631 358566334 /nfs/dbraw/zinc/56/63/34/358566334.db2.gz KPSIDYLGQYMFJV-PSASIEDQSA-N -1 1 317.329 1.242 20 0 DDADMM C[C@@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)C[C@@H](C)S1=O ZINC000601531571 358590576 /nfs/dbraw/zinc/59/05/76/358590576.db2.gz ZZRRHVGPBRVXGN-NXEZZACHSA-N -1 1 315.822 1.956 20 0 DDADMM COC(=O)C12CCC(CC1)N2C(=O)C(=O)c1ccc([O-])cc1 ZINC000601833716 358708674 /nfs/dbraw/zinc/70/86/74/358708674.db2.gz BTSGIBVXEVLJSE-UHFFFAOYSA-N -1 1 303.314 1.272 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)c2ccccc2F)n[n-]1 ZINC000603017017 359358929 /nfs/dbraw/zinc/35/89/29/359358929.db2.gz OOGJCFKLYORPSI-SECBINFHSA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)c2ccccc2F)n1 ZINC000603017017 359358935 /nfs/dbraw/zinc/35/89/35/359358935.db2.gz OOGJCFKLYORPSI-SECBINFHSA-N -1 1 320.324 1.540 20 0 DDADMM CSCC[C@H](NC(=O)N=c1[n-]sc2ccccc21)C(N)=O ZINC000603051957 359378241 /nfs/dbraw/zinc/37/82/41/359378241.db2.gz NLXYWNRSMJMPFN-VIFPVBQESA-N -1 1 324.431 1.447 20 0 DDADMM C[C@H](CC[S@@](C)=O)NC(=O)N=c1[n-]sc2ccccc21 ZINC000603052764 359378433 /nfs/dbraw/zinc/37/84/33/359378433.db2.gz VJBGJLYQMQYSDK-GSDQLPOLSA-N -1 1 311.432 1.997 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(C)cc(F)c2)n[n-]1 ZINC000603153056 359440803 /nfs/dbraw/zinc/44/08/03/359440803.db2.gz GESWMTSPAZXQED-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(C)cc(F)c2)[n-]1 ZINC000603153056 359440809 /nfs/dbraw/zinc/44/08/09/359440809.db2.gz GESWMTSPAZXQED-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(C)cc(F)c2)n1 ZINC000603153056 359440812 /nfs/dbraw/zinc/44/08/12/359440812.db2.gz GESWMTSPAZXQED-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@]2(C)CC=CCC2)n[n-]1 ZINC000603155559 359441979 /nfs/dbraw/zinc/44/19/79/359441979.db2.gz NWNPZOUQMMANRS-BONVTDFDSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@]2(C)CC=CCC2)[n-]1 ZINC000603155559 359441984 /nfs/dbraw/zinc/44/19/84/359441984.db2.gz NWNPZOUQMMANRS-BONVTDFDSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@]2(C)CC=CCC2)n1 ZINC000603155559 359441989 /nfs/dbraw/zinc/44/19/89/359441989.db2.gz NWNPZOUQMMANRS-BONVTDFDSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H]2CC[C@H]3C[C@H]32)n[n-]1 ZINC000603155584 359442020 /nfs/dbraw/zinc/44/20/20/359442020.db2.gz OGQGLINAAGKIPM-XWLWVQCSSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H]2CC[C@H]3C[C@H]32)[n-]1 ZINC000603155584 359442025 /nfs/dbraw/zinc/44/20/25/359442025.db2.gz OGQGLINAAGKIPM-XWLWVQCSSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H]2CC[C@H]3C[C@H]32)n1 ZINC000603155584 359442031 /nfs/dbraw/zinc/44/20/31/359442031.db2.gz OGQGLINAAGKIPM-XWLWVQCSSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2sccc2C)n[n-]1 ZINC000603166019 359449639 /nfs/dbraw/zinc/44/96/39/359449639.db2.gz NZOUSMKMSVTYGV-MRVPVSSYSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2sccc2C)[n-]1 ZINC000603166019 359449645 /nfs/dbraw/zinc/44/96/45/359449645.db2.gz NZOUSMKMSVTYGV-MRVPVSSYSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2sccc2C)n1 ZINC000603166019 359449648 /nfs/dbraw/zinc/44/96/48/359449648.db2.gz NZOUSMKMSVTYGV-MRVPVSSYSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCC(C)(F)F)n[n-]1 ZINC000603167658 359450518 /nfs/dbraw/zinc/45/05/18/359450518.db2.gz YBDSTDRFENXOHS-ZETCQYMHSA-N -1 1 304.297 1.594 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCC(C)(F)F)[n-]1 ZINC000603167658 359450525 /nfs/dbraw/zinc/45/05/25/359450525.db2.gz YBDSTDRFENXOHS-ZETCQYMHSA-N -1 1 304.297 1.594 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCC(C)(F)F)n1 ZINC000603167658 359450529 /nfs/dbraw/zinc/45/05/29/359450529.db2.gz YBDSTDRFENXOHS-ZETCQYMHSA-N -1 1 304.297 1.594 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCC(C)(F)F)n[n-]1 ZINC000603167654 359450925 /nfs/dbraw/zinc/45/09/25/359450925.db2.gz YBDSTDRFENXOHS-SSDOTTSWSA-N -1 1 304.297 1.594 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCC(C)(F)F)[n-]1 ZINC000603167654 359450930 /nfs/dbraw/zinc/45/09/30/359450930.db2.gz YBDSTDRFENXOHS-SSDOTTSWSA-N -1 1 304.297 1.594 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCC(C)(F)F)n1 ZINC000603167654 359450933 /nfs/dbraw/zinc/45/09/33/359450933.db2.gz YBDSTDRFENXOHS-SSDOTTSWSA-N -1 1 304.297 1.594 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccc(C(=O)OC)c(C)c2)CC1 ZINC000603419273 359628798 /nfs/dbraw/zinc/62/87/98/359628798.db2.gz SJYNRRCTJUZAIY-UHFFFAOYSA-N -1 1 313.375 1.702 20 0 DDADMM CC1=C(Br)C[N@H+](CCCOC(=O)NC(N)=O)CC1 ZINC000281087910 216070330 /nfs/dbraw/zinc/07/03/30/216070330.db2.gz LSZWZZWSUDZVIM-UHFFFAOYSA-N -1 1 320.187 1.556 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCOc1ccc(C)cc1 ZINC000281110426 216084694 /nfs/dbraw/zinc/08/46/94/216084694.db2.gz GGOIGRUPGJLLSN-UHFFFAOYSA-N -1 1 311.363 1.231 20 0 DDADMM CC(=O)N1CC[C@@H](CNC(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000633106664 422760050 /nfs/dbraw/zinc/76/00/50/422760050.db2.gz OWAIPCITXVTPHA-LBPRGKRZSA-N -1 1 310.781 1.573 20 0 DDADMM COC[C@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)C[C@H](C)O1 ZINC000189376547 200390103 /nfs/dbraw/zinc/39/01/03/200390103.db2.gz IAKPOSXXUQUDJP-SMDDNHRTSA-N -1 1 319.357 1.734 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(c2ccccc2)CC1 ZINC000281223094 216164528 /nfs/dbraw/zinc/16/45/28/216164528.db2.gz RJLJCAZQFUMIPI-UHFFFAOYSA-N -1 1 307.375 1.575 20 0 DDADMM CS[C@H](C)CCC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000611362046 360705342 /nfs/dbraw/zinc/70/53/42/360705342.db2.gz XVBNUTPNQMANPH-MFKMUULPSA-N -1 1 305.407 1.937 20 0 DDADMM NC(=O)[C@H]1CCCC[C@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000611991464 360884963 /nfs/dbraw/zinc/88/49/63/360884963.db2.gz ROCSAKFAKPNOJF-QWHCGFSZSA-N -1 1 313.357 1.714 20 0 DDADMM N=c1nc(N2CCN(C(=O)C3CCC4(CC4)CC3)CC2)s[n-]1 ZINC000612118251 360924867 /nfs/dbraw/zinc/92/48/67/360924867.db2.gz BFDFDSMLOMDCJE-UHFFFAOYSA-N -1 1 321.450 1.570 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]C[C@](C)(O)C(F)(F)F)C1CC1 ZINC000625092810 366707819 /nfs/dbraw/zinc/70/78/19/366707819.db2.gz HOLGIFTZWDGGSL-ZJUUUORDSA-N -1 1 319.345 1.034 20 0 DDADMM O=C(NCCC1CS(=O)(=O)C1)c1ncc2ccccc2c1[O-] ZINC000613853320 361604412 /nfs/dbraw/zinc/60/44/12/361604412.db2.gz PEVCWVALVJJOGS-UHFFFAOYSA-N -1 1 320.370 1.105 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC2(CCCC2)OC[C@H]1C ZINC000619924940 364205449 /nfs/dbraw/zinc/20/54/49/364205449.db2.gz LKAZBGGQYGSORI-SECBINFHSA-N -1 1 323.349 1.070 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H](C(F)F)C2CCCCC2)c1 ZINC000621323741 364803079 /nfs/dbraw/zinc/80/30/79/364803079.db2.gz LUPRAXKKTUWXKE-NSHDSACASA-N -1 1 307.366 1.912 20 0 DDADMM CO[C@@H]1CC[C@@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000621708929 364994995 /nfs/dbraw/zinc/99/49/95/364994995.db2.gz FOAPJLVKJDAWLU-JHJVBQTASA-N -1 1 301.350 1.220 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2ccc(C3CC3)cc2)n[n-]1 ZINC000621792959 365033045 /nfs/dbraw/zinc/03/30/45/365033045.db2.gz QMMSTZFDKKBGDC-UHFFFAOYSA-N -1 1 306.347 1.338 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc(C3CC3)cc2)n1 ZINC000621792959 365033050 /nfs/dbraw/zinc/03/30/50/365033050.db2.gz QMMSTZFDKKBGDC-UHFFFAOYSA-N -1 1 306.347 1.338 20 0 DDADMM COC[C@@H](CCNC(=O)c1cnc2nc(C)ccc2c1[O-])OC ZINC000622135493 365283943 /nfs/dbraw/zinc/28/39/43/365283943.db2.gz HPHHKUVERHZSRW-LLVKDONJSA-N -1 1 319.361 1.425 20 0 DDADMM COC[C@@H](CCNC(=O)c1c[n-]c2nc(C)ccc2c1=O)OC ZINC000622135493 365283948 /nfs/dbraw/zinc/28/39/48/365283948.db2.gz HPHHKUVERHZSRW-LLVKDONJSA-N -1 1 319.361 1.425 20 0 DDADMM O=C(c1s[n-]c(=O)c1Cl)N1CCC(c2nc[nH]n2)CC1 ZINC000622418843 365402015 /nfs/dbraw/zinc/40/20/15/365402015.db2.gz VRCAGMTULZETAX-UHFFFAOYSA-N -1 1 313.770 1.640 20 0 DDADMM COCCc1cc(NC(=O)c2c(F)ccc([O-])c2F)n(C)n1 ZINC000622448430 365407253 /nfs/dbraw/zinc/40/72/53/365407253.db2.gz HVTDCAJQOJZMOA-UHFFFAOYSA-N -1 1 311.288 1.845 20 0 DDADMM NC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)C1 ZINC000625426063 366919962 /nfs/dbraw/zinc/91/99/62/366919962.db2.gz NPCJLSLBRVYHRE-CZMCAQCFSA-N -1 1 312.322 1.114 20 0 DDADMM CC(C)c1nnc([C@H]2COCCN2C(=O)c2cncc([O-])c2)o1 ZINC000275329141 212273812 /nfs/dbraw/zinc/27/38/12/212273812.db2.gz QFOGKYMQHRHCLG-GFCCVEGCSA-N -1 1 318.333 1.507 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)Cc1ccc(F)c(Cl)c1 ZINC000625491180 366962734 /nfs/dbraw/zinc/96/27/34/366962734.db2.gz BMOXUWARWMMBKK-LLVKDONJSA-N -1 1 321.757 1.257 20 0 DDADMM O=S(=O)([N-][C@H]1CO[C@H](C2CC2)C1)c1cc(F)ccc1F ZINC000625577874 367027419 /nfs/dbraw/zinc/02/74/19/367027419.db2.gz CLYMDKSJZUYISY-PWSUYJOCSA-N -1 1 303.330 1.811 20 0 DDADMM Cc1ccccc1CO[C@H](C)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000626443470 367548716 /nfs/dbraw/zinc/54/87/16/367548716.db2.gz GNOQGDFAVHCTHK-CHWSQXEVSA-N -1 1 317.393 1.675 20 0 DDADMM CCOc1cc(C(=O)N(C)CCNC(C)=O)cc(Cl)c1[O-] ZINC000566285396 304138410 /nfs/dbraw/zinc/13/84/10/304138410.db2.gz QHYHXPPLAGXDOH-UHFFFAOYSA-N -1 1 314.769 1.652 20 0 DDADMM CC[C@H]1C[N@@H+](CC(=O)NCC(=O)[O-])CC[C@H]1c1ccccc1 ZINC000262194360 203225444 /nfs/dbraw/zinc/22/54/44/203225444.db2.gz HFGNOIPMJHTAEH-DZGCQCFKSA-N -1 1 304.390 1.703 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC(CCc2ccccc2)CC1 ZINC000262235485 203236715 /nfs/dbraw/zinc/23/67/15/203236715.db2.gz NSNFEFNKKCCLHI-UHFFFAOYSA-N -1 1 304.390 1.532 20 0 DDADMM Cn1ccc2cccc(NC(=O)CNC(=O)c3ncccc3[O-])c21 ZINC000350363540 284187054 /nfs/dbraw/zinc/18/70/54/284187054.db2.gz GWSMEWUSHSQPMX-UHFFFAOYSA-N -1 1 324.340 1.647 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N(C)c2nccs2)n1 ZINC000274969115 212050133 /nfs/dbraw/zinc/05/01/33/212050133.db2.gz WFCOUIKFFQITNN-UHFFFAOYSA-N -1 1 312.376 1.408 20 0 DDADMM CC(C)[C@H]1N(C(=O)CNC(=O)c2ncccc2[O-])CC1(C)C ZINC000350730649 284250697 /nfs/dbraw/zinc/25/06/97/284250697.db2.gz UDWSJPMYZAXRPD-CQSZACIVSA-N -1 1 305.378 1.410 20 0 DDADMM O=S(=O)([N-]c1nc(Cc2cccc(F)c2)n[nH]1)c1ccoc1 ZINC000351110928 284302799 /nfs/dbraw/zinc/30/27/99/284302799.db2.gz RLYYRSOFBUBQFX-UHFFFAOYSA-N -1 1 322.321 1.928 20 0 DDADMM CCO[C@H]1C[C@@H](O)C12CCN(C(=O)c1ncccc1[O-])CC2 ZINC000265967682 205006590 /nfs/dbraw/zinc/00/65/90/205006590.db2.gz LZJIDQUNOVOLFG-OLZOCXBDSA-N -1 1 306.362 1.179 20 0 DDADMM NC(=O)N1CCC[C@@H](CNC(=O)c2cc(Cl)ccc2[O-])C1 ZINC000288289450 220064939 /nfs/dbraw/zinc/06/49/39/220064939.db2.gz SNSUWSYPGSECTJ-VIFPVBQESA-N -1 1 311.769 1.566 20 0 DDADMM O=C(NCCc1cn[nH]c1)c1ccc(Br)cc1[O-] ZINC000379969823 307293041 /nfs/dbraw/zinc/29/30/41/307293041.db2.gz MQMLIKATQOWHDJ-UHFFFAOYSA-N -1 1 310.151 1.850 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)C(=O)OC ZINC000566736146 304177515 /nfs/dbraw/zinc/17/75/15/304177515.db2.gz WDBVKZWNGINJEN-NWDGAFQWSA-N -1 1 307.412 1.063 20 0 DDADMM CN(C)c1ncc(CN(C)C(=O)c2c(F)ccc([O-])c2F)cn1 ZINC000566765631 304179900 /nfs/dbraw/zinc/17/99/00/304179900.db2.gz XBTJEGSABFHPDE-UHFFFAOYSA-N -1 1 322.315 1.799 20 0 DDADMM Cc1nnc2n1C[C@H](CNC(=O)c1cc(F)ccc1[O-])CC2 ZINC000267514214 206117010 /nfs/dbraw/zinc/11/70/10/206117010.db2.gz MVKRDLSTAPEITK-JTQLQIEISA-N -1 1 304.325 1.424 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)[C@H]1CCc2ccc(OC)cc21 ZINC000579489862 422779046 /nfs/dbraw/zinc/77/90/46/422779046.db2.gz GBRRQTMTPOOPIH-NSHDSACASA-N -1 1 315.329 1.873 20 0 DDADMM CCC[C@@H](NC(=O)c1[nH]c2c(c1C)C(=O)CCC2)c1nn[n-]n1 ZINC000267699606 206226121 /nfs/dbraw/zinc/22/61/21/206226121.db2.gz PZSXHJISFLHJBL-SNVBAGLBSA-N -1 1 316.365 1.626 20 0 DDADMM C[C@H](CCc1ccco1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000040432771 183066052 /nfs/dbraw/zinc/06/60/52/183066052.db2.gz MEZXNLTVQYMNLW-SECBINFHSA-N -1 1 317.798 1.966 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C(N)=O)C(C)(C)C)c(F)c1 ZINC000425216726 307302292 /nfs/dbraw/zinc/30/22/92/307302292.db2.gz MHWKGPDJMKKANP-NSHDSACASA-N -1 1 320.361 1.452 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2c(F)cc(F)cc2F)n(C)n1 ZINC000284607470 218098289 /nfs/dbraw/zinc/09/82/89/218098289.db2.gz IZPAASQFSCCMDW-UHFFFAOYSA-N -1 1 319.308 1.624 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C(=O)OC)c(CC)o1)c1nn[n-]n1 ZINC000338572913 250036401 /nfs/dbraw/zinc/03/64/01/250036401.db2.gz FURGJOAPAZQTIC-VIFPVBQESA-N -1 1 321.337 1.413 20 0 DDADMM CCOc1cc(C(=O)NCC2(C)OCCO2)cc(Cl)c1[O-] ZINC000273852793 211113629 /nfs/dbraw/zinc/11/36/29/211113629.db2.gz MBOPFRCJAATBPD-UHFFFAOYSA-N -1 1 315.753 1.937 20 0 DDADMM C[C@H]([C@H](C)[N-]S(=O)(=O)c1sccc1F)N1CCOCC1 ZINC000338890324 250182923 /nfs/dbraw/zinc/18/29/23/250182923.db2.gz PDLCTRMCMATAFA-VHSXEESVSA-N -1 1 322.427 1.275 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]2F)s1 ZINC000339119114 250303449 /nfs/dbraw/zinc/30/34/49/250303449.db2.gz KIIFFMOXWZXHIB-JGVFFNPUSA-N -1 1 307.372 1.270 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2Cc3ccccc3O2)n1 ZINC000339171516 250326595 /nfs/dbraw/zinc/32/65/95/250326595.db2.gz JHYGURASVMJPCS-GFCCVEGCSA-N -1 1 301.302 1.529 20 0 DDADMM O=C(COc1ccc(F)cc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339193355 250338377 /nfs/dbraw/zinc/33/83/77/250338377.db2.gz CUJMDAAARFKVQF-UHFFFAOYSA-N -1 1 321.308 1.699 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)C(C)(C)C(=O)OC)n1 ZINC000285072152 218279026 /nfs/dbraw/zinc/27/90/26/218279026.db2.gz HPIPMHRWEXGMSA-UHFFFAOYSA-N -1 1 312.391 1.995 20 0 DDADMM O=C(c1ncccc1[O-])N1CCNC(=O)[C@H]1CC1CCCCC1 ZINC000333781626 296305743 /nfs/dbraw/zinc/30/57/43/296305743.db2.gz UPKPBKYIATWXSK-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM COc1cc(OC)cc(OCCNC(=O)c2ncccc2[O-])c1 ZINC000268880838 207052584 /nfs/dbraw/zinc/05/25/84/207052584.db2.gz VDLHKOJFHZVXPN-UHFFFAOYSA-N -1 1 318.329 1.613 20 0 DDADMM COC[C@H]1CCN1c1ccc(=NCc2ccccc2F)[n-]n1 ZINC000567597059 304228270 /nfs/dbraw/zinc/22/82/70/304228270.db2.gz FWBVEVWZMXCYCQ-CYBMUJFWSA-N -1 1 302.353 1.875 20 0 DDADMM O=C([O-])[C@@H]1COCCN1C(=O)c1cc(-c2ccc(F)cc2)[nH]n1 ZINC000268972911 207134744 /nfs/dbraw/zinc/13/47/44/207134744.db2.gz HRAWTHSGCPIKON-ZDUSSCGKSA-N -1 1 319.292 1.141 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1CCC[C@@H]1F ZINC000340781910 251208505 /nfs/dbraw/zinc/20/85/05/251208505.db2.gz RKEDGXQCCXSSDM-QWHCGFSZSA-N -1 1 320.368 1.991 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cccc(I)c1 ZINC000060525698 184151286 /nfs/dbraw/zinc/15/12/86/184151286.db2.gz FEAIQZJCTVSIPJ-UHFFFAOYSA-N -1 1 315.074 1.057 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cccc(I)c1 ZINC000060525698 184151289 /nfs/dbraw/zinc/15/12/89/184151289.db2.gz FEAIQZJCTVSIPJ-UHFFFAOYSA-N -1 1 315.074 1.057 20 0 DDADMM CNC(=O)c1ccc(=NC[C@@H](CO)c2ccccc2Cl)[n-]n1 ZINC000340908167 251269252 /nfs/dbraw/zinc/26/92/52/251269252.db2.gz HLANYKCRPTUGLG-JTQLQIEISA-N -1 1 320.780 1.100 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cc(OC)cc(OC)c1 ZINC000269085967 207230762 /nfs/dbraw/zinc/23/07/62/207230762.db2.gz DKJDJBCCBDDHQP-UHFFFAOYSA-N -1 1 319.379 1.109 20 0 DDADMM CC(C)[C@H]1CCCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000352352529 284986849 /nfs/dbraw/zinc/98/68/49/284986849.db2.gz JWGIJIWLGAJXSG-CHWSQXEVSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(Nc1ccc(Cl)c(F)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000352421793 285039953 /nfs/dbraw/zinc/03/99/53/285039953.db2.gz FMZGAXFAWFSURX-UHFFFAOYSA-N -1 1 307.672 1.462 20 0 DDADMM CCn1[nH]c(C)nc1=NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000412865462 224095318 /nfs/dbraw/zinc/09/53/18/224095318.db2.gz LIYJCEZILHNUHR-UHFFFAOYSA-N -1 1 312.333 1.238 20 0 DDADMM CO[C@H](C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O)C1CC1 ZINC000298139612 533051445 /nfs/dbraw/zinc/05/14/45/533051445.db2.gz CAUIFINERPSMLT-LBPRGKRZSA-N -1 1 316.354 1.561 20 0 DDADMM CCC[C@H](NC(=O)c1cc(Br)ccc1[O-])C(N)=O ZINC000286367866 218943948 /nfs/dbraw/zinc/94/39/48/218943948.db2.gz NOEKAYSLWIYCPT-VIFPVBQESA-N -1 1 315.167 1.539 20 0 DDADMM COCCOC[C@@H](C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425206570 533104013 /nfs/dbraw/zinc/10/40/13/533104013.db2.gz QGXRGYIHBDGMJS-SNVBAGLBSA-N -1 1 323.361 1.603 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H]1CCCOC1 ZINC000156386018 197109430 /nfs/dbraw/zinc/10/94/30/197109430.db2.gz SSQXOBXPEWEUGP-SSDOTTSWSA-N -1 1 301.140 1.915 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2nc(C)cs2)co1 ZINC000120648979 195208718 /nfs/dbraw/zinc/20/87/18/195208718.db2.gz CUHGZRNCXLFHAD-UHFFFAOYSA-N -1 1 301.349 1.205 20 0 DDADMM O=C([C@@H]1CCCO1)N1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000294992691 224364907 /nfs/dbraw/zinc/36/49/07/224364907.db2.gz UHGSFCUFASYUPC-AWEZNQCLSA-N -1 1 324.808 1.869 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Br)o1)[C@H]1CCOC1 ZINC000352884819 285360117 /nfs/dbraw/zinc/36/01/17/285360117.db2.gz RPECOJSEOCBVDV-YUMQZZPRSA-N -1 1 324.196 1.745 20 0 DDADMM C[C@@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1cnn(C)c1 ZINC000568620571 304302139 /nfs/dbraw/zinc/30/21/39/304302139.db2.gz NQLWIKIIYIIGEK-SECBINFHSA-N -1 1 305.338 1.018 20 0 DDADMM CCn1ncnc1C[N-]S(=O)(=O)c1c(F)cccc1F ZINC000360369152 299646334 /nfs/dbraw/zinc/64/63/34/299646334.db2.gz JIBINOGBHBYCLN-UHFFFAOYSA-N -1 1 302.306 1.055 20 0 DDADMM COC(OC)[C@@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000079611308 292080865 /nfs/dbraw/zinc/08/08/65/292080865.db2.gz FNACDAOAGNBSGB-SSDOTTSWSA-N -1 1 318.167 1.892 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1nc(C(F)(F)F)c[nH]1)C1CC1 ZINC000569124034 304338143 /nfs/dbraw/zinc/33/81/43/304338143.db2.gz YQTAWZMVBBTEPH-ZETCQYMHSA-N -1 1 313.301 1.595 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1(C)C ZINC000440328575 286100142 /nfs/dbraw/zinc/10/01/42/286100142.db2.gz LLMKPNOOTXRNBC-NEPJUHHUSA-N -1 1 301.346 1.466 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)c2cccnc2)c(=O)[n-]1 ZINC000074078751 406901121 /nfs/dbraw/zinc/90/11/21/406901121.db2.gz LPESHHLYMSGDSY-UHFFFAOYSA-N -1 1 318.402 1.791 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CC[C@H]1CCCO1 ZINC000074162295 406903909 /nfs/dbraw/zinc/90/39/09/406903909.db2.gz ZKBNBWJLKQWCQG-GFCCVEGCSA-N -1 1 318.377 1.215 20 0 DDADMM CCOc1ccccc1NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000032940727 406957673 /nfs/dbraw/zinc/95/76/73/406957673.db2.gz LITKKNTYBGIENP-UHFFFAOYSA-N -1 1 324.358 1.839 20 0 DDADMM CCC[C@H](C)NC(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC000042091594 407011640 /nfs/dbraw/zinc/01/16/40/407011640.db2.gz ACIDQBLTDKIQBZ-NSHDSACASA-N -1 1 314.389 1.988 20 0 DDADMM CCc1ccccc1NC(=O)[C@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000086169840 407108383 /nfs/dbraw/zinc/10/83/83/407108383.db2.gz DCDPPGSFHOENPK-VIFPVBQESA-N -1 1 308.363 1.168 20 0 DDADMM CCc1ccccc1NC(=O)[C@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000086169840 407108386 /nfs/dbraw/zinc/10/83/86/407108386.db2.gz DCDPPGSFHOENPK-VIFPVBQESA-N -1 1 308.363 1.168 20 0 DDADMM CC(C)[C@@H](NC(=O)CS(=O)(=O)c1nc[n-]n1)c1ccccc1 ZINC000086169992 407108877 /nfs/dbraw/zinc/10/88/77/407108877.db2.gz NMWWYVOKRBSOLV-CYBMUJFWSA-N -1 1 322.390 1.092 20 0 DDADMM Cc1cc(CN2CCN(C(=O)c3cc(F)ccc3[O-])CC2)no1 ZINC000080000638 407068384 /nfs/dbraw/zinc/06/83/84/407068384.db2.gz XYEDRVHZORABPQ-UHFFFAOYSA-N -1 1 319.336 1.786 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@@H]1O)c1ccc(F)c(F)c1F ZINC000089166237 407126478 /nfs/dbraw/zinc/12/64/78/407126478.db2.gz AASQSRYYLBSMHW-CBAPKCEASA-N -1 1 309.309 1.543 20 0 DDADMM CC(C)n1c(CNC(=O)c2ccn(C)c(=O)c2)n[n-]c1=S ZINC000066640592 407255975 /nfs/dbraw/zinc/25/59/75/407255975.db2.gz LAUQMCMIJICRIM-UHFFFAOYSA-N -1 1 307.379 1.150 20 0 DDADMM Cc1ccc(-c2nc(CC(=O)Nc3nnn[n-]3)cs2)cc1 ZINC000102894872 407328165 /nfs/dbraw/zinc/32/81/65/407328165.db2.gz ZGEFAHKOTFYOJB-UHFFFAOYSA-N -1 1 300.347 1.813 20 0 DDADMM Cc1ccc(-c2nc(CC(=O)Nc3nn[n-]n3)cs2)cc1 ZINC000102894872 407328166 /nfs/dbraw/zinc/32/81/66/407328166.db2.gz ZGEFAHKOTFYOJB-UHFFFAOYSA-N -1 1 300.347 1.813 20 0 DDADMM CCC[C@@H](NC(=O)c1cnn(CC(C)C)c1C)c1nn[n-]n1 ZINC000126040561 407403401 /nfs/dbraw/zinc/40/34/01/407403401.db2.gz KNUPLNANDCIFCC-GFCCVEGCSA-N -1 1 305.386 1.632 20 0 DDADMM O=C(Cc1cccc(OCC(F)(F)F)c1)Nc1nnn[n-]1 ZINC000126254677 407409766 /nfs/dbraw/zinc/40/97/66/407409766.db2.gz IFKCELGWEVRKFM-UHFFFAOYSA-N -1 1 301.228 1.322 20 0 DDADMM O=C(Cc1cccc(OCC(F)(F)F)c1)Nc1nn[n-]n1 ZINC000126254677 407409768 /nfs/dbraw/zinc/40/97/68/407409768.db2.gz IFKCELGWEVRKFM-UHFFFAOYSA-N -1 1 301.228 1.322 20 0 DDADMM CCCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)c2cncc([O-])c2)C1 ZINC000111580052 407412192 /nfs/dbraw/zinc/41/21/92/407412192.db2.gz IZNLYKQLMGLFAR-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NCCCNC(=O)c1ncccc1[O-])c1cccc(F)c1 ZINC000111631685 407412755 /nfs/dbraw/zinc/41/27/55/407412755.db2.gz QQUNDCYLXORFQU-UHFFFAOYSA-N -1 1 317.320 1.476 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2C1=O)c1c([O-])cccc1F ZINC000185723487 407484846 /nfs/dbraw/zinc/48/48/46/407484846.db2.gz SRTOGCVBAKVHKM-LLVKDONJSA-N -1 1 301.273 1.905 20 0 DDADMM O=C([O-])C1CCN(CC(=O)N2CCC[C@H]3CCCC[C@@H]32)CC1 ZINC000261600586 407548272 /nfs/dbraw/zinc/54/82/72/407548272.db2.gz BNSKKDXVECHROY-HIFRSBDPSA-N -1 1 308.422 1.964 20 0 DDADMM CC(C)Oc1cccnc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129542230 407617557 /nfs/dbraw/zinc/61/75/57/407617557.db2.gz BVJIGBAAYJVYAI-LLVKDONJSA-N -1 1 316.365 1.402 20 0 DDADMM C[C@H]1CCc2ccccc2N1C(=O)CN1CC[C@H](C(=O)[O-])C1 ZINC000178894783 407643996 /nfs/dbraw/zinc/64/39/96/407643996.db2.gz INHUJCIIQXOXEB-JSGCOSHPSA-N -1 1 302.374 1.761 20 0 DDADMM O=C(NC1COC1)c1ccc(I)c([O-])c1 ZINC000236584717 407728453 /nfs/dbraw/zinc/72/84/53/407728453.db2.gz OVBNRYJJOOVGFQ-UHFFFAOYSA-N -1 1 319.098 1.125 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](C)C[C@@H]2C)co1 ZINC000152998695 407731183 /nfs/dbraw/zinc/73/11/83/407731183.db2.gz ZKZBMPDGORTJLF-UWVGGRQHSA-N -1 1 300.380 1.448 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)[C@H]2CCCCO2)o1 ZINC000272077402 407765812 /nfs/dbraw/zinc/76/58/12/407765812.db2.gz RXGJMCBWHJYLMV-VHSXEESVSA-N -1 1 317.363 1.302 20 0 DDADMM CN(CCOCC1CC1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179718661 407824658 /nfs/dbraw/zinc/82/46/58/407824658.db2.gz QQBRAODHMNWINE-UHFFFAOYSA-N -1 1 304.350 1.173 20 0 DDADMM O=C([O-])[C@H]1CCN(CC(=O)NCc2ccc(Cl)s2)C1 ZINC000262660513 407895758 /nfs/dbraw/zinc/89/57/58/407895758.db2.gz NPCVQJWKLCOCDV-QMMMGPOBSA-N -1 1 302.783 1.424 20 0 DDADMM C[C@H]1CCCC[C@H]1OCCNC(=O)CN(C)CCC(=O)[O-] ZINC000262671152 407899286 /nfs/dbraw/zinc/89/92/86/407899286.db2.gz RPKKXKRDZIFVIB-QWHCGFSZSA-N -1 1 300.399 1.104 20 0 DDADMM CSc1cc(C(=O)NCCCc2nc(=O)[n-][nH]2)ccc1F ZINC000153554538 407850250 /nfs/dbraw/zinc/85/02/50/407850250.db2.gz OGWBEZYXLRQQJF-UHFFFAOYSA-N -1 1 310.354 1.322 20 0 DDADMM CC(C)(C)c1nnc(C[N-]S(=O)(=O)Cc2ccon2)s1 ZINC000268205905 407945831 /nfs/dbraw/zinc/94/58/31/407945831.db2.gz PHJYQBRXQYSWNM-UHFFFAOYSA-N -1 1 316.408 1.443 20 0 DDADMM COC[C@H](C)N(C)c1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000174690963 407979961 /nfs/dbraw/zinc/97/99/61/407979961.db2.gz IXLULSVZHDOZEW-JTQLQIEISA-N -1 1 302.378 1.920 20 0 DDADMM CCCS(=O)(=O)[N-]CC(F)(F)CNC(=O)OC(C)(C)C ZINC000188065992 407915791 /nfs/dbraw/zinc/91/57/91/407915791.db2.gz UDQQZLWZQULXMX-UHFFFAOYSA-N -1 1 316.370 1.476 20 0 DDADMM CCCNC(=O)[C@@H]1CCC[N@@H+](Cc2nc(CC)cc(=O)[nH]2)C1 ZINC000119356310 408049669 /nfs/dbraw/zinc/04/96/69/408049669.db2.gz ARTFLXOECXZXKS-GFCCVEGCSA-N -1 1 306.410 1.483 20 0 DDADMM CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nnn[n-]1 ZINC000181388577 407989087 /nfs/dbraw/zinc/98/90/87/407989087.db2.gz SSHXVMXCVNYWOU-UHFFFAOYSA-N -1 1 308.323 1.861 20 0 DDADMM CC(C)Sc1ccc([N+](=O)[O-])cc1C(=O)Nc1nn[n-]n1 ZINC000181388577 407989097 /nfs/dbraw/zinc/98/90/97/407989097.db2.gz SSHXVMXCVNYWOU-UHFFFAOYSA-N -1 1 308.323 1.861 20 0 DDADMM COCCS(=O)(=O)[N-]c1c(C)nn(-c2ccccc2)c1C ZINC000154302132 408014808 /nfs/dbraw/zinc/01/48/08/408014808.db2.gz AESABBAFCYXALD-UHFFFAOYSA-N -1 1 309.391 1.877 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)Nc1cc(C(C)(C)C)no1)C(=O)[O-] ZINC000263203556 408057220 /nfs/dbraw/zinc/05/72/20/408057220.db2.gz XTGRADDVFSFWHN-ZANVPECISA-N -1 1 311.382 2.000 20 0 DDADMM Cc1cc(Br)ccc1CS(=O)(=O)c1ncn[n-]1 ZINC000182069535 408071500 /nfs/dbraw/zinc/07/15/00/408071500.db2.gz GXKLLHQASHJEGV-UHFFFAOYSA-N -1 1 316.180 1.850 20 0 DDADMM Cc1cc(Br)ccc1CS(=O)(=O)c1nc[n-]n1 ZINC000182069535 408071504 /nfs/dbraw/zinc/07/15/04/408071504.db2.gz GXKLLHQASHJEGV-UHFFFAOYSA-N -1 1 316.180 1.850 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3OCC[C@@H]32)c1Cl ZINC000268523666 408082051 /nfs/dbraw/zinc/08/20/51/408082051.db2.gz VJKOYXUBNYSWAT-BBBLOLIVSA-N -1 1 319.814 1.309 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC[C@@H]1CN1CCOCC1 ZINC000154939028 408104248 /nfs/dbraw/zinc/10/42/48/408104248.db2.gz XMDCSITXXTWRDF-GFCCVEGCSA-N -1 1 308.353 1.468 20 0 DDADMM CC(C)OCCN1CCN(Cc2ccc(C(=O)[O-])cc2)CC1 ZINC000263579534 408181899 /nfs/dbraw/zinc/18/18/99/408181899.db2.gz UVKKMQBMTONNEA-UHFFFAOYSA-N -1 1 306.406 1.927 20 0 DDADMM COCCC1(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCCC1 ZINC000155643748 408192146 /nfs/dbraw/zinc/19/21/46/408192146.db2.gz GPNXMCNINPZVTL-LBPRGKRZSA-N -1 1 307.398 1.503 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC[C@H](C)C1 ZINC000263673988 408202094 /nfs/dbraw/zinc/20/20/94/408202094.db2.gz FYHILXNNRDKHEA-JTQLQIEISA-N -1 1 302.378 1.853 20 0 DDADMM Cn1ccnc1C[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000150929175 408227488 /nfs/dbraw/zinc/22/74/88/408227488.db2.gz FPLLVIKKNBPJCB-LBPRGKRZSA-N -1 1 300.362 1.616 20 0 DDADMM COCCCNC(=O)[C@@H](C)O[N-]C(=O)CCCc1ccccc1 ZINC000269400434 408295976 /nfs/dbraw/zinc/29/59/76/408295976.db2.gz GBBWWFFEARYPEC-CQSZACIVSA-N -1 1 322.405 1.598 20 0 DDADMM C[C@H]1CC[C@H](C[NH+]2CCN(C(=O)c3cccc(O)c3)CC2)O1 ZINC000190882863 408335692 /nfs/dbraw/zinc/33/56/92/408335692.db2.gz MOQHCBUBKJDJNT-XJKSGUPXSA-N -1 1 304.390 1.718 20 0 DDADMM O=S(=O)([N-]CC1(O)CCCC1)c1cc(F)c(F)cc1F ZINC000269658712 408380335 /nfs/dbraw/zinc/38/03/35/408380335.db2.gz AWZDZEJKJWHLPU-UHFFFAOYSA-N -1 1 309.309 1.687 20 0 DDADMM C[C@H](CN(C)C(=O)C1(c2cccc(F)c2)CC1)c1nn[n-]n1 ZINC000183406847 408402907 /nfs/dbraw/zinc/40/29/07/408402907.db2.gz SXKGRUMLBQPIDT-SNVBAGLBSA-N -1 1 303.341 1.633 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CC(=O)Nc2ccccc21)c1nn[n-]n1 ZINC000176756079 408436193 /nfs/dbraw/zinc/43/61/93/408436193.db2.gz BJBLGAPTOURUNK-JQWIXIFHSA-N -1 1 314.349 1.283 20 0 DDADMM C[C@@]12CCC(=O)N1[C@H](C(=O)Nc1ccc(F)cc1[O-])CS2 ZINC000270396693 408518275 /nfs/dbraw/zinc/51/82/75/408518275.db2.gz ULYHPHFKJRYEAO-IINYFYTJSA-N -1 1 310.350 1.924 20 0 DDADMM Cc1noc(Cl)c1CCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000274799408 408535669 /nfs/dbraw/zinc/53/56/69/408535669.db2.gz SCBCIOQZOCLTPG-SSDOTTSWSA-N -1 1 312.761 1.344 20 0 DDADMM C[C@@]1(O)CCN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000177400204 408603562 /nfs/dbraw/zinc/60/35/62/408603562.db2.gz KFZNDJGMIVSDOF-GFCCVEGCSA-N -1 1 300.152 1.752 20 0 DDADMM CC1(C)CCC(CC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CC1 ZINC000161951159 408654296 /nfs/dbraw/zinc/65/42/96/408654296.db2.gz UHDVSJXAKOZHAB-UHFFFAOYSA-N -1 1 314.411 1.753 20 0 DDADMM CC1(C)CCC(CC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CC1 ZINC000161951159 408654301 /nfs/dbraw/zinc/65/43/01/408654301.db2.gz UHDVSJXAKOZHAB-UHFFFAOYSA-N -1 1 314.411 1.753 20 0 DDADMM N#CCc1ccccc1NS(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000193356761 408712753 /nfs/dbraw/zinc/71/27/53/408712753.db2.gz SVRNPVZHGWNMFR-UHFFFAOYSA-N -1 1 305.315 1.580 20 0 DDADMM CCC[C@H](NC(=O)c1cc(-c2cccs2)n[nH]1)c1nn[n-]n1 ZINC000177654287 408677712 /nfs/dbraw/zinc/67/77/12/408677712.db2.gz ULISTVLGDHSNPD-QMMMGPOBSA-N -1 1 317.378 1.923 20 0 DDADMM CCC[C@H](NC(=O)c1cc2ccccc2c(=O)o1)c1nn[n-]n1 ZINC000280846654 408845523 /nfs/dbraw/zinc/84/55/23/408845523.db2.gz QZAFOIOFJSISEC-NSHDSACASA-N -1 1 313.317 1.577 20 0 DDADMM CCC[C@@H](NC(=O)c1cc2ccccc2c(=O)o1)c1nn[n-]n1 ZINC000280846650 408845651 /nfs/dbraw/zinc/84/56/51/408845651.db2.gz QZAFOIOFJSISEC-LLVKDONJSA-N -1 1 313.317 1.577 20 0 DDADMM CC(C)(C)c1nnc(C[N-]S(=O)(=O)c2ccoc2)s1 ZINC000285992081 408904360 /nfs/dbraw/zinc/90/43/60/408904360.db2.gz MXJVMNOVHBSUQG-UHFFFAOYSA-N -1 1 301.393 1.907 20 0 DDADMM COc1cc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)ncn1 ZINC000281725242 408917468 /nfs/dbraw/zinc/91/74/68/408917468.db2.gz DHLLGVIBGSQJNM-UHFFFAOYSA-N -1 1 318.299 1.380 20 0 DDADMM COCC[C@H](CO)NC(=O)c1cc(Br)ccc1[O-] ZINC000281818163 408934745 /nfs/dbraw/zinc/93/47/45/408934745.db2.gz XECNGTHDFOIJQQ-SECBINFHSA-N -1 1 318.167 1.282 20 0 DDADMM CO[C@H]1C[C@@H](c2nc(C)no2)N(C(=O)c2ncccc2[O-])C1 ZINC000277591875 408972339 /nfs/dbraw/zinc/97/23/39/408972339.db2.gz RGSLXBURBABEHI-UWVGGRQHSA-N -1 1 304.306 1.081 20 0 DDADMM CC(C)n1ncc2ccc(NC(=O)NN3CC(=O)[N-]C3=O)cc21 ZINC000277638938 408981964 /nfs/dbraw/zinc/98/19/64/408981964.db2.gz CMNUDODUIDHUAQ-UHFFFAOYSA-N -1 1 316.321 1.206 20 0 DDADMM O=c1nc([C@@H]2CCCN(c3ncnc4sccc43)C2)[nH][n-]1 ZINC000286443064 408986876 /nfs/dbraw/zinc/98/68/76/408986876.db2.gz MOVMCGOXXLUOPL-MRVPVSSYSA-N -1 1 302.363 1.487 20 0 DDADMM COCC[C@@H](NC(=O)c1cc2ccccc2cc1[O-])C(=O)OC ZINC000292153092 408991027 /nfs/dbraw/zinc/99/10/27/408991027.db2.gz JQHDYPOOQCWJIG-CQSZACIVSA-N -1 1 317.341 1.853 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1C(=O)NCC[C@@H]1C ZINC000282110884 408993686 /nfs/dbraw/zinc/99/36/86/408993686.db2.gz GQVQSVHOURUCHR-GZMMTYOYSA-N -1 1 320.393 1.043 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C[C@H](C)OC)cc1C ZINC000282316271 409032566 /nfs/dbraw/zinc/03/25/66/409032566.db2.gz YYCRKRGQVKLOBD-JTQLQIEISA-N -1 1 301.364 1.558 20 0 DDADMM CCCCCN(CCO)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287549740 409053963 /nfs/dbraw/zinc/05/39/63/409053963.db2.gz JPYKBNPRIRCEHD-AQTBWJFISA-N -1 1 305.382 1.358 20 0 DDADMM COC(=O)[C@@H](CF)[N-]S(=O)(=O)c1ccc(Cl)s1 ZINC000287601421 409061557 /nfs/dbraw/zinc/06/15/57/409061557.db2.gz YTFWKHKYRJWUAH-RXMQYKEDSA-N -1 1 301.748 1.191 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287609145 409064542 /nfs/dbraw/zinc/06/45/42/409064542.db2.gz MWXKXQYSXUUPCA-HFAKHUIQSA-N -1 1 303.366 1.421 20 0 DDADMM CCS(=O)(=O)C1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000278875730 409105247 /nfs/dbraw/zinc/10/52/47/409105247.db2.gz SWODTJDXMDOVDV-UHFFFAOYSA-N -1 1 315.366 1.571 20 0 DDADMM C[C@@H](CN(C)C(=O)c1c(Cl)cncc1Cl)c1nn[n-]n1 ZINC000293461481 409117172 /nfs/dbraw/zinc/11/71/72/409117172.db2.gz JXHFNVPMKSTDKG-LURJTMIESA-N -1 1 315.164 1.777 20 0 DDADMM C[C@@H]1OCC[C@]12CN(C(=O)c1cc(F)ccc1[O-])C[C@@H](C)O2 ZINC000279319422 409192399 /nfs/dbraw/zinc/19/23/99/409192399.db2.gz MUSKEMRLSNZUDO-GDLVEWKHSA-N -1 1 309.337 1.940 20 0 DDADMM CSC[C@@H](C)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000293583014 409142423 /nfs/dbraw/zinc/14/24/23/409142423.db2.gz TZOZCWRZMRKIDC-ZCFIWIBFSA-N -1 1 317.358 1.469 20 0 DDADMM CSC[C@H](C)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000293583009 409142449 /nfs/dbraw/zinc/14/24/49/409142449.db2.gz TZOZCWRZMRKIDC-LURJTMIESA-N -1 1 317.358 1.469 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCOC[C@@H]1C1CC1 ZINC000283369667 409145216 /nfs/dbraw/zinc/14/52/16/409145216.db2.gz JITSQQWQPLKLMY-LLVKDONJSA-N -1 1 304.375 1.269 20 0 DDADMM CCCN(C(=O)c1ccc([O-])cc1F)[C@@H]1CC(=O)N(C)C1=O ZINC000283379628 409146251 /nfs/dbraw/zinc/14/62/51/409146251.db2.gz CSXLJAMLTCJIHA-GFCCVEGCSA-N -1 1 308.309 1.141 20 0 DDADMM CC(C)(C)C[C@H](CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283552004 409175742 /nfs/dbraw/zinc/17/57/42/409175742.db2.gz VDFMDLBEMGHQQP-SNVBAGLBSA-N -1 1 308.407 1.545 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc2nccnc2cc1F)c1nn[n-]n1 ZINC000280282610 409284285 /nfs/dbraw/zinc/28/42/85/409284285.db2.gz LHOYSVDVKKYCGA-MRVPVSSYSA-N -1 1 315.312 1.158 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(C(F)(F)F)n1)c1nn[n-]n1 ZINC000295102124 409324747 /nfs/dbraw/zinc/32/47/47/409324747.db2.gz ZAQZLZGQENHZGD-ZETCQYMHSA-N -1 1 314.271 1.885 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cscc1Br ZINC000633282853 422841549 /nfs/dbraw/zinc/84/15/49/422841549.db2.gz IXOSEBJSUWGPLC-UHFFFAOYSA-N -1 1 302.157 1.595 20 0 DDADMM CC[C@]1(C)CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000296056082 409412991 /nfs/dbraw/zinc/41/29/91/409412991.db2.gz UKOBJXMXWCKDBC-CQSZACIVSA-N -1 1 306.391 1.659 20 0 DDADMM CC(=O)c1cccc(NS(=O)(=O)c2cc(C(=O)[O-])n(C)c2)c1 ZINC000314189589 164013293 /nfs/dbraw/zinc/01/32/93/164013293.db2.gz GDWJIGWWVBDBJZ-UHFFFAOYSA-N -1 1 322.342 1.727 20 0 DDADMM O=C(c1ccc2c(c1)OCO2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000407994964 164192269 /nfs/dbraw/zinc/19/22/69/164192269.db2.gz FVBHXROJKWOBKV-SNVBAGLBSA-N -1 1 317.301 1.524 20 0 DDADMM CO[C@H]1CC[C@H]([N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000408284985 164285086 /nfs/dbraw/zinc/28/50/86/164285086.db2.gz BWPPZEPSORDZEL-YUMQZZPRSA-N -1 1 324.196 1.888 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Br)o1)[C@H]1CCCO1 ZINC000408215978 164263504 /nfs/dbraw/zinc/26/35/04/164263504.db2.gz RYLXLFDHZOUCMS-HTQZYQBOSA-N -1 1 324.196 1.888 20 0 DDADMM O=C(NC[C@H](O)Cc1ccccc1)c1nc2ccccc2c(=O)[n-]1 ZINC000303972720 409557382 /nfs/dbraw/zinc/55/73/82/409557382.db2.gz GCLZJCNCAPUWCR-CYBMUJFWSA-N -1 1 323.352 1.669 20 0 DDADMM O=C(NC[C@@H](O)Cc1ccccc1)c1nc2ccccc2c(=O)[n-]1 ZINC000303972721 409553965 /nfs/dbraw/zinc/55/39/65/409553965.db2.gz GCLZJCNCAPUWCR-ZDUSSCGKSA-N -1 1 323.352 1.669 20 0 DDADMM CC(C)(NC(=O)c1ccn(Cc2ccccc2)n1)c1nn[n-]n1 ZINC000356801983 409621198 /nfs/dbraw/zinc/62/11/98/409621198.db2.gz FUWVATKXRUCNSR-UHFFFAOYSA-N -1 1 311.349 1.110 20 0 DDADMM CC(C)[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)c1ncc[nH]1 ZINC000296866134 409673451 /nfs/dbraw/zinc/67/34/51/409673451.db2.gz ZEQJNHODNIHUOA-LLVKDONJSA-N -1 1 316.390 1.868 20 0 DDADMM Cc1c2cc(F)ccc2oc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357056071 409822357 /nfs/dbraw/zinc/82/23/57/409822357.db2.gz XFQSZCPJOBCAGF-UHFFFAOYSA-N -1 1 301.281 1.813 20 0 DDADMM O=C(CSCc1cccc(Cl)c1)NC1(c2nn[n-]n2)CC1 ZINC000357063472 409833064 /nfs/dbraw/zinc/83/30/64/409833064.db2.gz ZUUXBOPHPBVPNA-UHFFFAOYSA-N -1 1 323.809 1.892 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]CCC(C)(F)F)oc1C ZINC000297176858 409838331 /nfs/dbraw/zinc/83/83/31/409838331.db2.gz SQVFBECRWISQQT-UHFFFAOYSA-N -1 1 311.306 1.698 20 0 DDADMM O=C(CCc1cc2ccccc2[nH]c1=O)NC1(c2nn[n-]n2)CC1 ZINC000357073730 409841652 /nfs/dbraw/zinc/84/16/52/409841652.db2.gz NEMLPATXVBFQNS-UHFFFAOYSA-N -1 1 324.344 1.192 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCCOC(F)F)c2=O ZINC000338277325 409863331 /nfs/dbraw/zinc/86/33/31/409863331.db2.gz DKPUYPCBGGNLCD-UHFFFAOYSA-N -1 1 300.265 1.033 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000319047036 409885408 /nfs/dbraw/zinc/88/54/08/409885408.db2.gz VBJIIGYQLKTYEM-MFKMUULPSA-N -1 1 308.382 1.403 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCOC1 ZINC000354487805 409889671 /nfs/dbraw/zinc/88/96/71/409889671.db2.gz NUCSOZHNJYYSRL-GFCCVEGCSA-N -1 1 309.309 1.809 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC[C@@H]1CCC[C@@H](C)C1 ZINC000349631592 409893202 /nfs/dbraw/zinc/89/32/02/409893202.db2.gz XFMOOPFMTKZCEX-KOLCDFICSA-N -1 1 301.412 1.890 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@@H]1CCC[C@@H](C)C1 ZINC000349631592 409893208 /nfs/dbraw/zinc/89/32/08/409893208.db2.gz XFMOOPFMTKZCEX-KOLCDFICSA-N -1 1 301.412 1.890 20 0 DDADMM CC[N@@H+]1C[C@H](C)N(C(=O)c2csc(=NC3CC3)[nH]2)C[C@@H]1C ZINC000346308123 409902065 /nfs/dbraw/zinc/90/20/65/409902065.db2.gz HKMUJHZWYDUQLW-QWRGUYRKSA-N -1 1 308.451 1.694 20 0 DDADMM C/C=C\C[C@@H](CO)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000354669002 410006251 /nfs/dbraw/zinc/00/62/51/410006251.db2.gz IFHYITYZXFFDQB-BYCRGOAPSA-N -1 1 301.346 1.701 20 0 DDADMM C/C=C\C[C@@H](CO)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000354669002 410006257 /nfs/dbraw/zinc/00/62/57/410006257.db2.gz IFHYITYZXFFDQB-BYCRGOAPSA-N -1 1 301.346 1.701 20 0 DDADMM CC(C)(NC(=O)[C@@H]1C[C@H]1c1cc(F)ccc1F)c1nn[n-]n1 ZINC000354770312 410072775 /nfs/dbraw/zinc/07/27/75/410072775.db2.gz IOZHTDXUXWPJJY-WCBMZHEXSA-N -1 1 307.304 1.633 20 0 DDADMM CC(C)(NC(=O)CCc1nc2ccccc2o1)c1nn[n-]n1 ZINC000354789668 410088771 /nfs/dbraw/zinc/08/87/71/410088771.db2.gz RVVRAIXGCXBKPG-UHFFFAOYSA-N -1 1 300.322 1.325 20 0 DDADMM COc1ccc(O)c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332371639 410104888 /nfs/dbraw/zinc/10/48/88/410104888.db2.gz VBQMUGJZPFOZPA-SECBINFHSA-N -1 1 319.317 1.509 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCCN(c3cnn(C)c3)C2)c([O-])c1 ZINC000332389568 410119929 /nfs/dbraw/zinc/11/99/29/410119929.db2.gz HQMGJJZTXXTCHI-LBPRGKRZSA-N -1 1 315.377 1.228 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1cccnc1 ZINC000332409193 410133684 /nfs/dbraw/zinc/13/36/84/410133684.db2.gz QFJWHNYKUPTKBW-JQWIXIFHSA-N -1 1 302.334 1.680 20 0 DDADMM O=C([N-]OCc1ccccc1)[C@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000298279793 410165685 /nfs/dbraw/zinc/16/56/85/410165685.db2.gz IPUMDDRPUHUMHY-NSHDSACASA-N -1 1 316.279 1.645 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C(C)C)C2)o1 ZINC000332668178 410198673 /nfs/dbraw/zinc/19/86/73/410198673.db2.gz HNEONKNHQPQTNT-SNVBAGLBSA-N -1 1 300.380 1.306 20 0 DDADMM O=C(Cc1ccc2n[nH]cc2c1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000355036942 410257667 /nfs/dbraw/zinc/25/76/67/410257667.db2.gz LKXOXIFEJULURK-UHFFFAOYSA-N -1 1 310.239 1.881 20 0 DDADMM CC(=O)CNC(=O)[C@@H](C)Sc1nc(C(F)F)cc(=O)[n-]1 ZINC000351903910 410329166 /nfs/dbraw/zinc/32/91/66/410329166.db2.gz YWGAHLBYDDCYCF-ZCFIWIBFSA-N -1 1 305.306 1.306 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@@H]1C ZINC000358354075 410453379 /nfs/dbraw/zinc/45/33/79/410453379.db2.gz NKFZXMDOAOMOLC-WDEREUQCSA-N -1 1 317.393 1.229 20 0 DDADMM Cc1nc(=NC(=O)c2ccn(-c3ccc(Cl)cc3)n2)[n-][nH]1 ZINC000339801282 410471063 /nfs/dbraw/zinc/47/10/63/410471063.db2.gz OCVQJXWKBNFBSI-UHFFFAOYSA-N -1 1 302.725 1.626 20 0 DDADMM CNC(=O)Cn1cc(NC(=O)c2ccc(C(C)C)cc2[O-])cn1 ZINC000298979827 410472157 /nfs/dbraw/zinc/47/21/57/410472157.db2.gz OYBREQKDUNNUIB-UHFFFAOYSA-N -1 1 316.361 1.710 20 0 DDADMM CCN(C(=O)c1ccc(S(=O)(=O)[N-]C)o1)C1CCCC1 ZINC000299009515 410483192 /nfs/dbraw/zinc/48/31/92/410483192.db2.gz KQXTXEJDEFXITD-UHFFFAOYSA-N -1 1 300.380 1.592 20 0 DDADMM O=c1cc(/C=C/c2cn3cc(Cl)ccc3n2)nc2nc[n-]n21 ZINC000352027903 410428455 /nfs/dbraw/zinc/42/84/55/410428455.db2.gz RJADYFZDRWUNID-NSCUHMNNSA-N -1 1 312.720 1.889 20 0 DDADMM COc1cc(C(=O)NCCc2cnccn2)cc(Cl)c1[O-] ZINC000352122140 410495801 /nfs/dbraw/zinc/49/58/01/410495801.db2.gz SYBHCMIEQYJZIZ-UHFFFAOYSA-N -1 1 307.737 1.817 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)C2CCOCC2)sc1C ZINC000333336064 410490289 /nfs/dbraw/zinc/49/02/89/410490289.db2.gz ZIXDDYYJJKPLKY-SECBINFHSA-N -1 1 304.437 1.853 20 0 DDADMM O=C(c1c(O)cccc1F)N1CCCC[C@H]1c1n[nH]c(=O)[n-]1 ZINC000333612624 410587496 /nfs/dbraw/zinc/58/74/96/410587496.db2.gz YFAJZIMDAMYGDF-VIFPVBQESA-N -1 1 306.297 1.722 20 0 DDADMM CCc1ccc([C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)cc1 ZINC000330101629 410542580 /nfs/dbraw/zinc/54/25/80/410542580.db2.gz FNTUHOVZLRSSBL-CQSZACIVSA-N -1 1 302.378 1.244 20 0 DDADMM CC(C)[C@@H]1CCC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343694490 410625124 /nfs/dbraw/zinc/62/51/24/410625124.db2.gz IYHKVWYWLHXFTP-OLZOCXBDSA-N -1 1 319.405 1.848 20 0 DDADMM CCC[C@]1([N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CCOC1 ZINC000352327888 410625712 /nfs/dbraw/zinc/62/57/12/410625712.db2.gz DVJTUMSIUJCKEN-ZDUSSCGKSA-N -1 1 317.363 1.304 20 0 DDADMM CC(C)CC(C)(C)CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000343777458 410690734 /nfs/dbraw/zinc/69/07/34/410690734.db2.gz UVNVFXDRWYFQQK-UHFFFAOYSA-N -1 1 307.394 1.706 20 0 DDADMM CO[C@](C)(CNC(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000359516260 410701653 /nfs/dbraw/zinc/70/16/53/410701653.db2.gz MUCLFIINIWFAAL-MRXNPFEDSA-N -1 1 301.346 1.468 20 0 DDADMM CCC[C@H](NC(=O)Cc1cc(C)ccc1OC)c1nn[n-]n1 ZINC000343772414 410685685 /nfs/dbraw/zinc/68/56/85/410685685.db2.gz ZOTOISSILPORJY-LBPRGKRZSA-N -1 1 303.366 1.717 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CCCn2cccc2)c1 ZINC000347722447 410686518 /nfs/dbraw/zinc/68/65/18/410686518.db2.gz RODYKNJQASGKQN-UHFFFAOYSA-N -1 1 323.374 1.260 20 0 DDADMM CC[N@H+]1CCN(C(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)[C@H](C)C1 ZINC000359585126 410751164 /nfs/dbraw/zinc/75/11/64/410751164.db2.gz BHODZKLAQKBHQS-LLVKDONJSA-N -1 1 316.361 1.196 20 0 DDADMM CCN1CCN(C(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)[C@H](C)C1 ZINC000359585126 410751172 /nfs/dbraw/zinc/75/11/72/410751172.db2.gz BHODZKLAQKBHQS-LLVKDONJSA-N -1 1 316.361 1.196 20 0 DDADMM Cc1ccc(C[C@H](C)C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1 ZINC000353044662 410756989 /nfs/dbraw/zinc/75/69/89/410756989.db2.gz MOQREUZNNZWRQC-JTQLQIEISA-N -1 1 322.390 1.334 20 0 DDADMM Cc1ccc(C[C@H](C)C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cc1 ZINC000353044662 410756991 /nfs/dbraw/zinc/75/69/91/410756991.db2.gz MOQREUZNNZWRQC-JTQLQIEISA-N -1 1 322.390 1.334 20 0 DDADMM COC(=O)[C@@H](NCc1cccc([O-])c1Cl)c1ccn(C)n1 ZINC000353140279 410806892 /nfs/dbraw/zinc/80/68/92/410806892.db2.gz AVKQPEPJVNEECW-ZDUSSCGKSA-N -1 1 309.753 1.783 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccccc2C)c1 ZINC000359674404 410809903 /nfs/dbraw/zinc/80/99/03/410809903.db2.gz FNIIJWKMYZQBGI-UHFFFAOYSA-N -1 1 320.370 1.861 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc(F)c(F)cc1F)N1CCCC1 ZINC000330636162 410842516 /nfs/dbraw/zinc/84/25/16/410842516.db2.gz VBOJCNNNIICADL-UHFFFAOYSA-N -1 1 322.308 1.005 20 0 DDADMM C[C@@H](CCc1ccccc1F)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348298517 410907549 /nfs/dbraw/zinc/90/75/49/410907549.db2.gz QZTLNBQSJOXJOO-JTQLQIEISA-N -1 1 303.341 1.713 20 0 DDADMM CCc1ccc(O)c(NC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000344037118 410910664 /nfs/dbraw/zinc/91/06/64/410910664.db2.gz SLDJHHDUCUWXPJ-UHFFFAOYSA-N -1 1 315.329 1.424 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CC[C@H](C)C2)o1 ZINC000331093031 410941902 /nfs/dbraw/zinc/94/19/02/410941902.db2.gz XXTBAFNIOCVTBM-UWVGGRQHSA-N -1 1 300.380 1.354 20 0 DDADMM C[C@@H]1c2ccccc2CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000344063823 410931315 /nfs/dbraw/zinc/93/13/15/410931315.db2.gz QZUXDKBQOLPMGS-LLVKDONJSA-N -1 1 311.341 1.620 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1cccc(F)c1F)Cn1cccn1 ZINC000337815036 411016144 /nfs/dbraw/zinc/01/61/44/411016144.db2.gz QEVMMRPBWSHJPY-JTQLQIEISA-N -1 1 315.345 1.776 20 0 DDADMM CC[C@@H]1CO[C@H](C)CN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631728378 422897243 /nfs/dbraw/zinc/89/72/43/422897243.db2.gz ONNFZAGODBQYCD-GHMZBOCLSA-N -1 1 315.391 1.589 20 0 DDADMM Cc1ccc(S(=O)(=O)CCCN2CC[C@H](C(=O)[O-])C2)cc1 ZINC000652359580 422976758 /nfs/dbraw/zinc/97/67/58/422976758.db2.gz GUARTNKXPMRZMD-ZDUSSCGKSA-N -1 1 311.403 1.565 20 0 DDADMM O=C([C@@H](O)Cc1ccccc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000647675725 422995656 /nfs/dbraw/zinc/99/56/56/422995656.db2.gz AXAPTQYWNHUUCA-ZDUSSCGKSA-N -1 1 317.345 1.085 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CC1 ZINC000131765737 196197769 /nfs/dbraw/zinc/19/77/69/196197769.db2.gz KYVOYBRDCZQBGI-UHFFFAOYSA-N -1 1 309.265 1.088 20 0 DDADMM CCC(=O)N1CC[C@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000133135613 196314283 /nfs/dbraw/zinc/31/42/83/196314283.db2.gz DEJQRCRGFIUZQL-VIFPVBQESA-N -1 1 318.345 1.254 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](C)CCCCO)c(F)c1 ZINC000650106757 423050783 /nfs/dbraw/zinc/05/07/83/423050783.db2.gz YYJODAQFBZTHDW-VIFPVBQESA-N -1 1 323.361 1.803 20 0 DDADMM CCc1noc(CN2C[C@@H](C(=O)[O-])[C@@H](c3ccccc3)C2)n1 ZINC000652506446 423047508 /nfs/dbraw/zinc/04/75/08/423047508.db2.gz SIOQUCXOXDJWNS-CHWSQXEVSA-N -1 1 301.346 1.932 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCOC1)c1ncccc1C(F)(F)F ZINC000645483655 423051869 /nfs/dbraw/zinc/05/18/69/423051869.db2.gz ZQKUGFSGKNRSBI-SECBINFHSA-N -1 1 324.324 1.805 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccccc1-n1cncn1)OC ZINC000643269045 423092235 /nfs/dbraw/zinc/09/22/35/423092235.db2.gz YLCUVZFNYJQFJD-LLVKDONJSA-N -1 1 310.379 1.434 20 0 DDADMM CCn1cc(CN[C@H](C(=O)[O-])c2ccc(OC)c(F)c2)cn1 ZINC000417563486 225364826 /nfs/dbraw/zinc/36/48/26/225364826.db2.gz DKBXLVPKPYJCGM-AWEZNQCLSA-N -1 1 307.325 1.966 20 0 DDADMM COc1cc(C(=O)NCC2(CC(N)=O)CC2)cc(Cl)c1[O-] ZINC000421928135 226810988 /nfs/dbraw/zinc/81/09/88/226810988.db2.gz VPNLGDCEEHBWFP-UHFFFAOYSA-N -1 1 312.753 1.440 20 0 DDADMM CC1(C)[C@@H](O)C[C@@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645660330 423130903 /nfs/dbraw/zinc/13/09/03/423130903.db2.gz ZUOYEQMNKUPTPI-IUCAKERBSA-N -1 1 324.324 1.538 20 0 DDADMM NC(=O)[C@H]1C[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000652793033 423134963 /nfs/dbraw/zinc/13/49/63/423134963.db2.gz WHWVRLQKTPWCDP-WRXNHJIOSA-N -1 1 302.252 1.405 20 0 DDADMM CCC[C@H](C)NC(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000047327914 418456138 /nfs/dbraw/zinc/45/61/38/418456138.db2.gz DVLUVRJRLHJDDA-NSHDSACASA-N -1 1 314.389 1.988 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H](C1CC1)C(F)(F)F)c2=O ZINC000360794281 418518994 /nfs/dbraw/zinc/51/89/94/418518994.db2.gz HRPOFRQWZDJBFL-SNVBAGLBSA-N -1 1 314.267 1.745 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H](C1CC1)C(F)(F)F)c2=O ZINC000360794278 418519647 /nfs/dbraw/zinc/51/96/47/418519647.db2.gz HRPOFRQWZDJBFL-JTQLQIEISA-N -1 1 314.267 1.745 20 0 DDADMM O=C(Nc1ccn(-c2ncccc2F)n1)c1cc(F)ccc1[O-] ZINC000366877566 418531374 /nfs/dbraw/zinc/53/13/74/418531374.db2.gz LHOVDGZVZKNKPM-UHFFFAOYSA-N -1 1 316.267 1.925 20 0 DDADMM CO[C@H](C)c1nc(=NC[C@H]2COC3(CCOCC3)O2)s[n-]1 ZINC000367173042 418568236 /nfs/dbraw/zinc/56/82/36/418568236.db2.gz OTTKGOHHCJFXOS-ZJUUUORDSA-N -1 1 315.395 1.001 20 0 DDADMM CCC[C@H](C)NC(=O)[C@@H](C)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000367359857 418591725 /nfs/dbraw/zinc/59/17/25/418591725.db2.gz CGTCZBHSHJDNEW-WDEREUQCSA-N -1 1 309.414 1.383 20 0 DDADMM O=C(C[N@H+]1CC[C@]2(C1)CCCOC2)[N-]OCc1ccccc1 ZINC000375296064 418627019 /nfs/dbraw/zinc/62/70/19/418627019.db2.gz OBOHYBTZJTVPFT-KRWDZBQOSA-N -1 1 304.390 1.737 20 0 DDADMM O=C(N[C@@H]1CCC(=O)N[C@H]1C1CC1)c1ccc(Cl)cc1[O-] ZINC000375396283 418635253 /nfs/dbraw/zinc/63/52/53/418635253.db2.gz CTCXJQGIGCRQTM-RISCZKNCSA-N -1 1 308.765 1.833 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3CC(F)(F)CN3)[nH][n-]2)s1 ZINC000375422079 418638237 /nfs/dbraw/zinc/63/82/37/418638237.db2.gz PSVALEDNMPZEAF-VIFPVBQESA-N -1 1 312.345 1.804 20 0 DDADMM CN1CC[C@@H]2CCN(S(=O)(=O)c3ccc(C(=O)[O-])cc3)C[C@H]21 ZINC000375578690 418658862 /nfs/dbraw/zinc/65/88/62/418658862.db2.gz QJULGDUIXLPZNK-BXUZGUMPSA-N -1 1 324.402 1.100 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCCO[C@H]3CCC[C@@H]31)c2=O ZINC000367991151 418669335 /nfs/dbraw/zinc/66/93/35/418669335.db2.gz UPOYEPTZSIJBPV-STQMWFEESA-N -1 1 316.361 1.458 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](C(=O)Nc2nc(-c3ccco3)n[nH]2)C1 ZINC000411298081 418890476 /nfs/dbraw/zinc/89/04/76/418890476.db2.gz YGJYQIBLQRBTON-DTWKUNHWSA-N -1 1 304.306 1.593 20 0 DDADMM COc1cc([N-]S(=O)(=O)CCOCC2CC2)cc(OC)c1 ZINC000424069183 228224446 /nfs/dbraw/zinc/22/44/46/228224446.db2.gz URWSKBXAYTYJFF-UHFFFAOYSA-N -1 1 315.391 1.872 20 0 DDADMM CC(C)C[C@H](C(N)=O)C(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000411567817 419348123 /nfs/dbraw/zinc/34/81/23/419348123.db2.gz JFYIAPPBFOGFRX-SECBINFHSA-N -1 1 306.391 1.646 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@]2(O)CCO[C@H]2C)c(F)c1 ZINC000425194897 228387335 /nfs/dbraw/zinc/38/73/35/228387335.db2.gz ROSDVGOWOWLYMH-TVQRCGJNSA-N -1 1 321.345 1.091 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cccc(S(C)(=O)=O)c1)OC ZINC000421138438 419499395 /nfs/dbraw/zinc/49/93/95/419499395.db2.gz AZZXNPNEPDEDFY-LLVKDONJSA-N -1 1 321.420 1.257 20 0 DDADMM CC(C)CN1C[C@H]2CN(C(=O)c3ncccc3[O-])CCN2C1=O ZINC000427814858 419740614 /nfs/dbraw/zinc/74/06/14/419740614.db2.gz XKMGXDPLEQRXIZ-GFCCVEGCSA-N -1 1 318.377 1.005 20 0 DDADMM CCOC(=O)[C@@H](C)CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000428139902 419818450 /nfs/dbraw/zinc/81/84/50/419818450.db2.gz HHGDNBLOOQPBHF-VIFPVBQESA-N -1 1 317.345 1.573 20 0 DDADMM CCOC(=O)[C@@H](C)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000428139902 419818457 /nfs/dbraw/zinc/81/84/57/419818457.db2.gz HHGDNBLOOQPBHF-VIFPVBQESA-N -1 1 317.345 1.573 20 0 DDADMM Cc1cc(=NC(=O)c2csc(=NC3CC3)[n-]2)[nH]n1C(C)C ZINC000422077568 419834323 /nfs/dbraw/zinc/83/43/23/419834323.db2.gz LLHDJWIAMJYXKV-UHFFFAOYSA-N -1 1 305.407 1.899 20 0 DDADMM O=S(=O)([N-]Cc1cccnn1)c1ccc(Br)o1 ZINC000443933308 230038283 /nfs/dbraw/zinc/03/82/83/230038283.db2.gz CXAOZBJLOOKNHA-UHFFFAOYSA-N -1 1 318.152 1.311 20 0 DDADMM Cc1cnc(C(=O)N(C)CCCNC(=O)C2CCC2)c([O-])c1 ZINC000430384846 420114688 /nfs/dbraw/zinc/11/46/88/420114688.db2.gz MADXPGILEJKCIA-UHFFFAOYSA-N -1 1 305.378 1.474 20 0 DDADMM CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)[C@H]1CCCC[C@@H]1C ZINC000416143308 420256238 /nfs/dbraw/zinc/25/62/38/420256238.db2.gz FXXCXPRIZJVCBS-UWVGGRQHSA-N -1 1 309.366 1.937 20 0 DDADMM CC(C)(NC(=O)CO[C@H]1CCCc2ccccc21)c1nn[n-]n1 ZINC000435753885 420283542 /nfs/dbraw/zinc/28/35/42/420283542.db2.gz BQLGKHZFLUWLNV-ZDUSSCGKSA-N -1 1 315.377 1.645 20 0 DDADMM O=S(=O)(CCC1CCCCC1)N[C@@H]1C[NH2+]CCC1(F)F ZINC000420437284 420286003 /nfs/dbraw/zinc/28/60/03/420286003.db2.gz CDSQOCRWIYFUKM-GFCCVEGCSA-N -1 1 310.410 1.873 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2c[nH]c(C)cc2=O)c1 ZINC000436504389 420334094 /nfs/dbraw/zinc/33/40/94/420334094.db2.gz NEJRGQGEZMIGRQ-UHFFFAOYSA-N -1 1 302.286 1.428 20 0 DDADMM CCOC(=O)[C@](C)(O)CNC(=O)c1cc2ccccc2cc1[O-] ZINC000436530715 420336497 /nfs/dbraw/zinc/33/64/97/420336497.db2.gz FGBWEKAVMZJRCJ-QGZVFWFLSA-N -1 1 317.341 1.589 20 0 DDADMM CN1C(=O)Cc2cc(NC(=O)C(=O)c3ccc([O-])cc3)ccc21 ZINC000436631559 420345457 /nfs/dbraw/zinc/34/54/57/420345457.db2.gz SUZBGAZHAMWVLC-UHFFFAOYSA-N -1 1 310.309 1.733 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC(CCO)C1 ZINC000436662122 420349801 /nfs/dbraw/zinc/34/98/01/420349801.db2.gz FKVDWPRKDLRVKD-UHFFFAOYSA-N -1 1 300.152 1.609 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-]C[C@@](C)(O)C(F)(F)F ZINC000420690956 420358281 /nfs/dbraw/zinc/35/82/81/420358281.db2.gz PURRSVHFJSMHIG-VHSXEESVSA-N -1 1 321.361 1.280 20 0 DDADMM O=C(NCCc1ccc2c[nH]nc2c1)C(=O)c1ccc([O-])cc1 ZINC000436704270 420359307 /nfs/dbraw/zinc/35/93/07/420359307.db2.gz GSTZDRHLLHIUKD-UHFFFAOYSA-N -1 1 309.325 1.810 20 0 DDADMM O=C(C=Cc1ccc(Cl)nc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000492363105 420534677 /nfs/dbraw/zinc/53/46/77/420534677.db2.gz FZRAHARRMIRRRE-MALLOTDXSA-N -1 1 318.768 1.668 20 0 DDADMM CS(=O)(=O)[N-]c1ccc(Oc2ccnc(C3CC3)n2)cn1 ZINC000439126848 420481883 /nfs/dbraw/zinc/48/18/83/420481883.db2.gz FVFXSEFZWQYIHU-UHFFFAOYSA-N -1 1 306.347 1.913 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)c2ccc(F)cc2)c1N ZINC000439259105 420501823 /nfs/dbraw/zinc/50/18/23/420501823.db2.gz OWCBHUSILYQZPG-UHFFFAOYSA-N -1 1 324.333 1.995 20 0 DDADMM CC(C)(C(=O)Nc1ccc([O-])c(F)c1F)N1CCOCC1 ZINC000456790913 420545722 /nfs/dbraw/zinc/54/57/22/420545722.db2.gz XBQGNVOGLINRLU-UHFFFAOYSA-N -1 1 300.305 1.720 20 0 DDADMM CC(C)CS(=O)(=O)CC(=O)Nc1ccc([O-])c(F)c1F ZINC000456795924 420546599 /nfs/dbraw/zinc/54/65/99/420546599.db2.gz WIMNLXIZTIZVNY-UHFFFAOYSA-N -1 1 307.318 1.680 20 0 DDADMM COc1cccc([C@@H](C)CC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000440099645 420555551 /nfs/dbraw/zinc/55/55/51/420555551.db2.gz GANPHCSVEVQTOS-JTQLQIEISA-N -1 1 303.366 1.753 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1nc2ccccc2o1)c1nn[n-]n1 ZINC000492432959 420555730 /nfs/dbraw/zinc/55/57/30/420555730.db2.gz AXTBPVIGUCWRRO-DMEOUFDRSA-N -1 1 312.333 1.616 20 0 DDADMM C[C@H]1[C@@H](C(=O)[N-]OCC(F)F)CCN1C(=O)OC(C)(C)C ZINC000492508444 420578560 /nfs/dbraw/zinc/57/85/60/420578560.db2.gz KTCSNUNJJZBILF-IUCAKERBSA-N -1 1 308.325 1.945 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1sccc1Cl)N1CCOCC1 ZINC000451046105 420588578 /nfs/dbraw/zinc/58/85/78/420588578.db2.gz ZOYPHFDRTQSNDJ-SECBINFHSA-N -1 1 324.855 1.401 20 0 DDADMM C[C@H](O)CCS(=O)(=O)c1nc(Cc2cccs2)n[n-]1 ZINC000453029328 420703701 /nfs/dbraw/zinc/70/37/01/420703701.db2.gz PQZLFXSQDPNTGJ-QMMMGPOBSA-N -1 1 301.393 1.002 20 0 DDADMM C[C@H](O)CCS(=O)(=O)c1nnc(Cc2cccs2)[n-]1 ZINC000453029328 420703704 /nfs/dbraw/zinc/70/37/04/420703704.db2.gz PQZLFXSQDPNTGJ-QMMMGPOBSA-N -1 1 301.393 1.002 20 0 DDADMM C[C@H](O)CCS(=O)(=O)c1n[n-]c(Cc2cccs2)n1 ZINC000453029328 420703708 /nfs/dbraw/zinc/70/37/08/420703708.db2.gz PQZLFXSQDPNTGJ-QMMMGPOBSA-N -1 1 301.393 1.002 20 0 DDADMM COc1ccc(-c2nnc(S(=O)(=O)CCF)[n-]2)c(OC)c1 ZINC000447497087 420774511 /nfs/dbraw/zinc/77/45/11/420774511.db2.gz SXCBPQOSXMZHNJ-UHFFFAOYSA-N -1 1 315.326 1.232 20 0 DDADMM COc1ccc(-c2nc(S(=O)(=O)CCF)n[n-]2)c(OC)c1 ZINC000447497087 420774517 /nfs/dbraw/zinc/77/45/17/420774517.db2.gz SXCBPQOSXMZHNJ-UHFFFAOYSA-N -1 1 315.326 1.232 20 0 DDADMM CC(C)COC[C@H]([N-]S(=O)(=O)C1COC1)c1ccco1 ZINC000493108519 420759243 /nfs/dbraw/zinc/75/92/43/420759243.db2.gz WPJZRMBPCATRHX-LBPRGKRZSA-N -1 1 303.380 1.312 20 0 DDADMM C[C@H](CN(C)C(=O)C1(Oc2ccccc2F)CC1)c1nn[n-]n1 ZINC000442892247 420726673 /nfs/dbraw/zinc/72/66/73/420726673.db2.gz PAGPRLSNQZPDKY-SNVBAGLBSA-N -1 1 319.340 1.512 20 0 DDADMM Cc1sc(CC(=O)NC2(c3nn[n-]n3)CC2)nc1C(C)C ZINC000447584372 420784134 /nfs/dbraw/zinc/78/41/34/420784134.db2.gz FKBXESSJABPLTK-UHFFFAOYSA-N -1 1 306.395 1.436 20 0 DDADMM O=C(C=Cc1ccccc1-n1cccn1)NC1(c2nn[n-]n2)CC1 ZINC000493346819 420825092 /nfs/dbraw/zinc/82/50/92/420825092.db2.gz BIFDXCCXUUUHJP-SREVYHEPSA-N -1 1 321.344 1.204 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)N=c1[n-]nc2ccccn21 ZINC000454741193 420918910 /nfs/dbraw/zinc/91/89/10/420918910.db2.gz GKFMEFGVOLUASL-LBPRGKRZSA-N -1 1 302.382 1.099 20 0 DDADMM COc1ccc(/C=C/C(=O)N=c2ccnc3n(C)[n-]cc2-3)nc1 ZINC000493433175 420849183 /nfs/dbraw/zinc/84/91/83/420849183.db2.gz NRGMDBTUVWPYJL-MYGSSSDCSA-N -1 1 309.329 1.397 20 0 DDADMM O=C(C=Cc1ccc2ccccc2n1)NC1(c2nn[n-]n2)CC1 ZINC000493491026 420865673 /nfs/dbraw/zinc/86/56/73/420865673.db2.gz REBFXICJABABDC-BQYQJAHWSA-N -1 1 306.329 1.567 20 0 DDADMM COC(=O)c1n[nH]c2c1CN(C(=O)c1ccc([O-])cc1F)CC2 ZINC000456373062 421152601 /nfs/dbraw/zinc/15/26/01/421152601.db2.gz OXBDQJPXPMHGSD-UHFFFAOYSA-N -1 1 319.292 1.240 20 0 DDADMM CC(=O)c1[n-]c(=N[C@@H](CO)C[C@@H](O)C(C)(C)C)sc1C ZINC000450511962 421205546 /nfs/dbraw/zinc/20/55/46/421205546.db2.gz CLZQWGBALQGYAD-GHMZBOCLSA-N -1 1 300.424 1.646 20 0 DDADMM Cn1c(C(=O)NC2(c3nn[n-]n3)CCCC2)cc2ccccc21 ZINC000524041060 421252440 /nfs/dbraw/zinc/25/24/40/421252440.db2.gz JKTNKRSPDSVXQL-UHFFFAOYSA-N -1 1 310.361 1.891 20 0 DDADMM CC(C)C[C@@H]1CCCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000545388597 421255875 /nfs/dbraw/zinc/25/58/75/421255875.db2.gz XKVCWXOXRWEAGI-LBPRGKRZSA-N -1 1 317.393 1.849 20 0 DDADMM CN(C)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1F ZINC000524577096 421262942 /nfs/dbraw/zinc/26/29/42/421262942.db2.gz BKUMZMDDAHMXHK-UHFFFAOYSA-N -1 1 318.356 1.604 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@]2(C)CCO[C@H]2C2CC2)[n-]n1 ZINC000545927911 421271647 /nfs/dbraw/zinc/27/16/47/421271647.db2.gz LJMAPNOJRSAETJ-HOCLYGCPSA-N -1 1 304.394 1.265 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cnc(-c2ccccc2)nc1 ZINC000527060975 421352962 /nfs/dbraw/zinc/35/29/62/421352962.db2.gz RDFFQKSUEROJRB-UHFFFAOYSA-N -1 1 307.317 1.076 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)CCOC)n[n-]1 ZINC000548057625 421394688 /nfs/dbraw/zinc/39/46/88/421394688.db2.gz FKZGMMUSAGYDNS-JTQLQIEISA-N -1 1 312.370 1.221 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)CCOC)[n-]1 ZINC000548057625 421394691 /nfs/dbraw/zinc/39/46/91/421394691.db2.gz FKZGMMUSAGYDNS-JTQLQIEISA-N -1 1 312.370 1.221 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)CCOC)n1 ZINC000548057625 421394694 /nfs/dbraw/zinc/39/46/94/421394694.db2.gz FKZGMMUSAGYDNS-JTQLQIEISA-N -1 1 312.370 1.221 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccc3c(c2)CCCC3)[n-]n1C ZINC000548477443 421443383 /nfs/dbraw/zinc/44/33/83/421443383.db2.gz HFXIZAUSCIFJKM-UHFFFAOYSA-N -1 1 321.402 1.530 20 0 DDADMM CCn1c([N-]S(=O)(=O)C[C@@H](OC)C2CC2)nc2cccnc21 ZINC000563135280 421461128 /nfs/dbraw/zinc/46/11/28/421461128.db2.gz KKDCPMNCTCLIIA-GFCCVEGCSA-N -1 1 324.406 1.618 20 0 DDADMM O=C(c1cnc2cnccc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000548761248 421470237 /nfs/dbraw/zinc/47/02/37/421470237.db2.gz KYLTWLMDUBWCIA-LLVKDONJSA-N -1 1 309.333 1.163 20 0 DDADMM CN(C)[C@@H](CNc1ncncc1C(=O)[O-])c1ccccc1Cl ZINC000563208661 421472784 /nfs/dbraw/zinc/47/27/84/421472784.db2.gz ZRIOEKKIQIIKGK-ZDUSSCGKSA-N -1 1 320.780 1.965 20 0 DDADMM COc1ccc(=NC(=O)N[C@H](C)c2n[nH]c(C(C)C)n2)[n-]n1 ZINC000551865614 421547011 /nfs/dbraw/zinc/54/70/11/421547011.db2.gz XVQIKZLPKMXVON-MRVPVSSYSA-N -1 1 305.342 1.031 20 0 DDADMM Cc1noc(C23CC(C2)CN3C(=O)c2ccc([O-])c(F)c2)n1 ZINC000529746013 421555353 /nfs/dbraw/zinc/55/53/53/421555353.db2.gz UJEGXADQXOIFRG-UHFFFAOYSA-N -1 1 303.293 1.984 20 0 DDADMM Cc1oc(C(=O)[N-]c2nc3n(n2)CCCC3)cc1S(C)(=O)=O ZINC000529474813 421539289 /nfs/dbraw/zinc/53/92/89/421539289.db2.gz XHHZVHLYAKHFFK-UHFFFAOYSA-N -1 1 324.362 1.172 20 0 DDADMM CC1(C)Oc2ccsc2[C@@H](NC(=O)c2ncccc2[O-])[C@@H]1O ZINC000556732513 421729789 /nfs/dbraw/zinc/72/97/89/421729789.db2.gz LEYIBSQMENMEOV-YPMHNXCESA-N -1 1 320.370 1.852 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C[C@H]1C(=O)[O-] ZINC000571403925 421712748 /nfs/dbraw/zinc/71/27/48/421712748.db2.gz QCIYWUIJJIGLKV-MWLCHTKSSA-N -1 1 303.318 1.853 20 0 DDADMM O=C(NC[C@@H](NCc1ccccc1)C(F)(F)F)c1cnn[nH]1 ZINC000519655062 421713727 /nfs/dbraw/zinc/71/37/27/421713727.db2.gz DPWBSFHBPWBQQG-LLVKDONJSA-N -1 1 313.283 1.255 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000571405781 421714314 /nfs/dbraw/zinc/71/43/14/421714314.db2.gz CKRPKUZTOYGHFS-UHFFFAOYSA-N -1 1 318.381 1.685 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@@H](C)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000533203280 421668805 /nfs/dbraw/zinc/66/88/05/421668805.db2.gz VJXYWJVSJURRRN-OLZOCXBDSA-N -1 1 322.409 1.978 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2c(C)nn(C(C)C)c2C)n1 ZINC000571015088 421680350 /nfs/dbraw/zinc/68/03/50/421680350.db2.gz CWCQVOHKGJNDOH-UHFFFAOYSA-N -1 1 313.383 1.251 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1cccnc1 ZINC000539791002 421752563 /nfs/dbraw/zinc/75/25/63/421752563.db2.gz UXTPDKIUOMSRQB-NSHDSACASA-N -1 1 300.366 1.279 20 0 DDADMM COCc1ncc(CNC(=O)c2cc(Cl)ccc2[O-])c(N)n1 ZINC000556975635 421753754 /nfs/dbraw/zinc/75/37/54/421753754.db2.gz CMGIQNTVBKZXGM-UHFFFAOYSA-N -1 1 322.752 1.494 20 0 DDADMM COc1cc2c(cc1/C=C\c1cc(=O)n3[n-]cnc3n1)O[C@@H](C)C2 ZINC000558451648 421808074 /nfs/dbraw/zinc/80/80/74/421808074.db2.gz ZZNJSJLAHSEFNU-XOULXFPDSA-N -1 1 324.340 1.920 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2ccc([O-])cc2F)nc1 ZINC000559250785 421831117 /nfs/dbraw/zinc/83/11/17/421831117.db2.gz UDQMQMMLUPSBNZ-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM Cn1cc([C@H]2C[C@H](NC(=O)c3ccc([O-])cc3F)CCO2)cn1 ZINC000572326252 421778039 /nfs/dbraw/zinc/77/80/39/421778039.db2.gz JCMDVXSIAZUYNL-IAQYHMDHSA-N -1 1 319.336 1.915 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H]2CCC[C@@H]3CN(C(C)=O)C[C@@H]32)s[n-]1 ZINC000581034151 421886737 /nfs/dbraw/zinc/88/67/37/421886737.db2.gz ZVBCIWXXNNZECS-FOUMNBMASA-N -1 1 324.450 1.726 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@@H]1CCCN1C1CC1)c1ccccc1 ZINC000630142563 421914000 /nfs/dbraw/zinc/91/40/00/421914000.db2.gz WLMDUVDEXLWVJT-CABCVRRESA-N -1 1 302.374 1.598 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@H](C)[C@@H](C(=O)[O-])C2)cc1 ZINC000581251147 421931332 /nfs/dbraw/zinc/93/13/32/421931332.db2.gz ZVUWPRDZUSOPSQ-FZMZJTMJSA-N -1 1 306.362 1.676 20 0 DDADMM O=C(c1ccc2c(c1)COC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000581247140 421931488 /nfs/dbraw/zinc/93/14/88/421931488.db2.gz DEOHXYZBMBZWPL-UHFFFAOYSA-N -1 1 315.329 1.825 20 0 DDADMM Cc1cc(F)cc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000630222520 421973885 /nfs/dbraw/zinc/97/38/85/421973885.db2.gz GOJHOBXRRUMJRF-GFCCVEGCSA-N -1 1 308.353 1.755 20 0 DDADMM CO[C@H](C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)C1CCCC1 ZINC000630220022 421970313 /nfs/dbraw/zinc/97/03/13/421970313.db2.gz LCGANBJPDKWFEK-WFASDCNBSA-N -1 1 312.410 1.199 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2COc3ccccc32)CC1 ZINC000630223414 421972378 /nfs/dbraw/zinc/97/23/78/421972378.db2.gz KPYQKSCYRCEFQP-TZMCWYRMSA-N -1 1 318.373 1.170 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@]2(C)CCOC2)c1 ZINC000631960484 421975194 /nfs/dbraw/zinc/97/51/94/421975194.db2.gz QAPNOZXPFZOVLB-ZDUSSCGKSA-N -1 1 301.364 1.106 20 0 DDADMM CCO[C@H](C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1)C(C)C ZINC000630227539 421978789 /nfs/dbraw/zinc/97/87/89/421978789.db2.gz ODAPHPFENLHJOB-LBPRGKRZSA-N -1 1 300.399 1.055 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](CO)Cc2ccccc2)sn1 ZINC000632000091 422010446 /nfs/dbraw/zinc/01/04/46/422010446.db2.gz CCPLBQJHLDBGRI-LBPRGKRZSA-N -1 1 312.416 1.333 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](O)C2CCCCC2)sn1 ZINC000632002849 422013018 /nfs/dbraw/zinc/01/30/18/422013018.db2.gz HRNJIEWCEABFSW-NSHDSACASA-N -1 1 304.437 1.671 20 0 DDADMM CO[C@H]1CN(C(=O)c2cc(C)cc3c[nH]nc32)[C@@](C)(C(=O)[O-])C1 ZINC000630311510 422017352 /nfs/dbraw/zinc/01/73/52/422017352.db2.gz OKGVJSLSNTWCPX-BDJLRTHQSA-N -1 1 317.345 1.576 20 0 DDADMM CN(C)[C@H](CNC(=O)NCCCCC(=O)[O-])c1cccs1 ZINC000630380795 422067744 /nfs/dbraw/zinc/06/77/44/422067744.db2.gz IJJKAZXAJWTOOK-LLVKDONJSA-N -1 1 313.423 1.905 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(OC(F)(F)F)cc1 ZINC000630410159 422085326 /nfs/dbraw/zinc/08/53/26/422085326.db2.gz UTLDSHXOJSVLMW-UHFFFAOYSA-N -1 1 301.228 1.670 20 0 DDADMM CCOc1c(F)cccc1C(=O)N=c1[nH][n-]c(C)c1C(=O)NC ZINC000633693380 422056066 /nfs/dbraw/zinc/05/60/66/422056066.db2.gz ZUSLMLARPCKNSF-UHFFFAOYSA-N -1 1 320.324 1.290 20 0 DDADMM O=C(CCc1nn[n-]n1)NC1(c2ccc(F)cc2F)CCCC1 ZINC000632176904 422141699 /nfs/dbraw/zinc/14/16/99/422141699.db2.gz FVSRPLUJSLHWTC-UHFFFAOYSA-N -1 1 321.331 1.996 20 0 DDADMM Cc1cc([C@H]2CN(C(=O)CCc3nn[n-]n3)CCO2)ccc1F ZINC000632210744 422167504 /nfs/dbraw/zinc/16/75/04/422167504.db2.gz QWTDFOWLKAVDEF-CYBMUJFWSA-N -1 1 319.340 1.180 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCCC[C@@H]2[C@H](C)O)c1 ZINC000632147742 422120077 /nfs/dbraw/zinc/12/00/77/422120077.db2.gz ACKGHSZDWWKMHL-CMPLNLGQSA-N -1 1 315.391 1.325 20 0 DDADMM COc1ccc(C[C@H](C)CNC(=O)CCc2nn[n-]n2)cc1 ZINC000632160831 422128993 /nfs/dbraw/zinc/12/89/93/422128993.db2.gz LOCSTPYKCDPQIE-NSHDSACASA-N -1 1 303.366 1.136 20 0 DDADMM Cc1cn(C2CCOCC2)nc1C(=O)Nc1ccncc1[O-] ZINC000632170084 422136820 /nfs/dbraw/zinc/13/68/20/422136820.db2.gz PJVHLNIJNCRQIR-UHFFFAOYSA-N -1 1 302.334 1.318 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](O)C(C)(C)C2)c1 ZINC000632258300 422200284 /nfs/dbraw/zinc/20/02/84/422200284.db2.gz IQZRRKFGUXIYTE-ZDUSSCGKSA-N -1 1 315.391 1.182 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H](C)C(F)(F)F)nc2n1 ZINC000637418834 422202319 /nfs/dbraw/zinc/20/23/19/422202319.db2.gz JAYMPGPFEGXDEX-ZCFIWIBFSA-N -1 1 317.271 1.507 20 0 DDADMM CC1(C)SC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632315051 422244426 /nfs/dbraw/zinc/24/44/26/422244426.db2.gz KKHOPQIPSZSFKF-ZCFIWIBFSA-N -1 1 315.342 1.601 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@]2(O)CCC[C@H]2C)c1 ZINC000632374215 422287237 /nfs/dbraw/zinc/28/72/37/422287237.db2.gz MUMFSFXTEZZERV-YGRLFVJLSA-N -1 1 315.391 1.230 20 0 DDADMM O=C(NC[C@@H]1CCCN1CC(F)F)c1cc(F)ccc1[O-] ZINC000628618826 422260560 /nfs/dbraw/zinc/26/05/60/422260560.db2.gz XDOTXWPSANPKQM-JTQLQIEISA-N -1 1 302.296 1.991 20 0 DDADMM Cc1cc(C[C@@H](C)[N-]S(=O)(=O)c2cc(C)ns2)[nH]n1 ZINC000632395830 422304805 /nfs/dbraw/zinc/30/48/05/422304805.db2.gz VODIACQXJGOYJP-SECBINFHSA-N -1 1 300.409 1.393 20 0 DDADMM O=C(Cc1cnn2c1CCCC2)Nc1n[n-]c(C(F)(F)F)n1 ZINC000630804066 422345189 /nfs/dbraw/zinc/34/51/89/422345189.db2.gz YUJCBKZKGXXFNO-UHFFFAOYSA-N -1 1 314.271 1.538 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCO[C@H](c2ccc(F)cc2)C1 ZINC000632442116 422348440 /nfs/dbraw/zinc/34/84/40/422348440.db2.gz TYEABTRYJBVRJX-OLZOCXBDSA-N -1 1 319.340 1.308 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCC[C@@H](CO)C2)c1 ZINC000632461506 422363536 /nfs/dbraw/zinc/36/35/36/422363536.db2.gz UUBAXCBHUSFTGU-MNOVXSKESA-N -1 1 315.391 1.230 20 0 DDADMM CNc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1F ZINC000635889292 422443458 /nfs/dbraw/zinc/44/34/58/422443458.db2.gz LKFCVNFOINATQQ-JTQLQIEISA-N -1 1 320.324 1.976 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC1(c2ccc(Cl)cc2)CC1 ZINC000630904379 422406865 /nfs/dbraw/zinc/40/68/65/422406865.db2.gz CCBQWMCLOHBQKU-UHFFFAOYSA-N -1 1 305.769 1.634 20 0 DDADMM CN(Cc1ccc(F)c(Cl)c1)C(=O)CCCc1nn[n-]n1 ZINC000635856299 422410481 /nfs/dbraw/zinc/41/04/81/422410481.db2.gz LLABFEGRTISZGS-UHFFFAOYSA-N -1 1 311.748 1.974 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccc(Cl)cc2F)C1)c1cnn[nH]1 ZINC000629170501 422504265 /nfs/dbraw/zinc/50/42/65/422504265.db2.gz UMFWEVAXYAWKFJ-SECBINFHSA-N -1 1 309.732 1.606 20 0 DDADMM CC(C)(Cn1[n-]c2c(c1=O)CCCCCC2)S(C)(=O)=O ZINC000634621106 422510793 /nfs/dbraw/zinc/51/07/93/422510793.db2.gz ZZTBTWYMTJUQOE-LLVKDONJSA-N -1 1 300.424 1.978 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)CC2(C)COC2)c1 ZINC000632593858 422460976 /nfs/dbraw/zinc/46/09/76/422460976.db2.gz INBPWTQNDWYSAH-UHFFFAOYSA-N -1 1 301.364 1.058 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCc2c(Cl)cc(F)cc2C1 ZINC000635974633 422529613 /nfs/dbraw/zinc/52/96/13/422529613.db2.gz DTBJVZMRLZISHJ-UHFFFAOYSA-N -1 1 323.759 1.900 20 0 DDADMM Cn1nc(-c2ccccc2)cc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000578429329 422568777 /nfs/dbraw/zinc/56/87/77/422568777.db2.gz ZCJHWVCOHCOBHL-UHFFFAOYSA-N -1 1 309.333 1.019 20 0 DDADMM Cn1nc(C(=O)N2CCC(c3n[nH]c(=O)o3)CC2)cc1C1CC1 ZINC000578437441 422570307 /nfs/dbraw/zinc/57/03/07/422570307.db2.gz OLCMLXCLBSTQAY-UHFFFAOYSA-N -1 1 317.349 1.406 20 0 DDADMM Cn1nc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1C1CC1 ZINC000578437441 422570311 /nfs/dbraw/zinc/57/03/11/422570311.db2.gz OLCMLXCLBSTQAY-UHFFFAOYSA-N -1 1 317.349 1.406 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000636058522 422603633 /nfs/dbraw/zinc/60/36/33/422603633.db2.gz UYBVOOOXYANYTP-OAHLLOKOSA-N -1 1 319.409 1.330 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@H](CO)[C@H]1CCOC1 ZINC000632825480 422607976 /nfs/dbraw/zinc/60/79/76/422607976.db2.gz GEWNNROQYJUIRP-NWDGAFQWSA-N -1 1 313.781 1.349 20 0 DDADMM O=C(COC[C@@H]1CCCO1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629379312 422639592 /nfs/dbraw/zinc/63/95/92/422639592.db2.gz ZSZVRSROLRRKNX-AWEZNQCLSA-N -1 1 317.345 1.712 20 0 DDADMM CC[C@H](C)n1ncc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1C ZINC000579183597 422720275 /nfs/dbraw/zinc/72/02/75/422720275.db2.gz HNQWBMAYJWJFOJ-JTQLQIEISA-N -1 1 317.397 1.875 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCOC[C@@H]1CCCO1 ZINC000631322349 422685327 /nfs/dbraw/zinc/68/53/27/422685327.db2.gz VKSBTJXTEMBJPJ-LBPRGKRZSA-N -1 1 313.781 1.900 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2ccc(CO)cc2)sc1C ZINC000645800575 423193083 /nfs/dbraw/zinc/19/30/83/423193083.db2.gz YOOHFJDCMUNHRE-UHFFFAOYSA-N -1 1 312.416 1.731 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@H]2c2ccnn2C)c([O-])c1 ZINC000652984928 423246533 /nfs/dbraw/zinc/24/65/33/423246533.db2.gz APAWXOLPMQKNEJ-LBPRGKRZSA-N -1 1 302.334 1.043 20 0 DDADMM CC(C)c1nc(CNC(=O)N2CCC(C)(C(=O)[O-])CC2)n[nH]1 ZINC000646159557 423372517 /nfs/dbraw/zinc/37/25/17/423372517.db2.gz IFXCLGAXVDOPPA-UHFFFAOYSA-N -1 1 309.370 1.324 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc(Cl)s3)nc2n1 ZINC000643909462 423401507 /nfs/dbraw/zinc/40/15/07/423401507.db2.gz ZUVLHJKODDAJPS-UHFFFAOYSA-N -1 1 309.738 1.115 20 0 DDADMM CCOc1ccccc1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000643909650 423402440 /nfs/dbraw/zinc/40/24/40/423402440.db2.gz YPRHMHDSHYWOFR-UHFFFAOYSA-N -1 1 313.317 1.377 20 0 DDADMM CCN1C(=O)C(C)(C)N[C@@H]1c1n[nH]c2cc(C(=O)[O-])ccc21 ZINC000639428607 423497302 /nfs/dbraw/zinc/49/73/02/423497302.db2.gz WIAXOMSNRYKIOF-LBPRGKRZSA-N -1 1 302.334 1.490 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CN1c2ccccc2O[C@@H](C)C1=O ZINC000646412830 423480113 /nfs/dbraw/zinc/48/01/13/423480113.db2.gz CDKYPWURTVRPHI-VIFPVBQESA-N -1 1 316.317 1.176 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1CCOc2ccc(Br)cc21 ZINC000651353561 423504426 /nfs/dbraw/zinc/50/44/26/423504426.db2.gz LKOHSXMOZAWFHH-ZETCQYMHSA-N -1 1 324.138 1.467 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1CCOc2ccc(Br)cc21 ZINC000651353561 423504429 /nfs/dbraw/zinc/50/44/29/423504429.db2.gz LKOHSXMOZAWFHH-ZETCQYMHSA-N -1 1 324.138 1.467 20 0 DDADMM CC(C)(CNC(=O)c1c(F)ccc([O-])c1F)[C@@]1(O)CCOC1 ZINC000649086655 423620384 /nfs/dbraw/zinc/62/03/84/423620384.db2.gz PIPXYQVTIQQVFN-OAHLLOKOSA-N -1 1 315.316 1.578 20 0 DDADMM N=c1[n-]nc([C@H]2CCCN(C[C@@H]3COc4ccccc4O3)C2)o1 ZINC000639818727 423755115 /nfs/dbraw/zinc/75/51/15/423755115.db2.gz CKZFYDBKSWNJEE-NWDGAFQWSA-N -1 1 316.361 1.502 20 0 DDADMM O=S(=O)([N-]C1CCOCC1)c1ncccc1Br ZINC000656881320 423829641 /nfs/dbraw/zinc/82/96/41/423829641.db2.gz VQOUFMOGHLVMDH-UHFFFAOYSA-N -1 1 321.196 1.301 20 0 DDADMM CC[C@H](C)c1nc([C@@H](C)NC(=O)NCC2(C(=O)[O-])CCC2)n[nH]1 ZINC000659423184 423830044 /nfs/dbraw/zinc/83/00/44/423830044.db2.gz PHSXLGZGAJQLEF-VHSXEESVSA-N -1 1 323.397 1.933 20 0 DDADMM C[C@@H]1CN(C(C)(C)CNc2cncc(C(=O)[O-])n2)C[C@H](C)O1 ZINC000649384148 423831590 /nfs/dbraw/zinc/83/15/90/423831590.db2.gz NLVARMCDHPQGHI-PHIMTYICSA-N -1 1 308.382 1.475 20 0 DDADMM O=C([O-])c1cncc(N2CCC(CCN3CCOCC3)CC2)n1 ZINC000649387475 423838032 /nfs/dbraw/zinc/83/80/32/423838032.db2.gz GIAZLVBOJPODOH-UHFFFAOYSA-N -1 1 320.393 1.114 20 0 DDADMM COc1ccc(CNC(=O)CN2CCC(C)(C(=O)[O-])CC2)cc1 ZINC000647371907 424000591 /nfs/dbraw/zinc/00/05/91/424000591.db2.gz AFDGPJCBAMRZRB-UHFFFAOYSA-N -1 1 320.389 1.498 20 0 DDADMM O=C1NCC[C@H]1[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000657031331 424012432 /nfs/dbraw/zinc/01/24/32/424012432.db2.gz XHBDAXBAIWXGQT-SCSAIBSYSA-N -1 1 315.203 1.222 20 0 DDADMM CC1(C)C(=O)N[C@@H]1C1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000647502256 424075210 /nfs/dbraw/zinc/07/52/10/424075210.db2.gz OGJTYGFVEOHWGA-CQSZACIVSA-N -1 1 320.364 1.908 20 0 DDADMM COc1cc(C(=O)NC[C@](C)(CO)OC)cc(Cl)c1[O-] ZINC000655208950 424295760 /nfs/dbraw/zinc/29/57/60/424295760.db2.gz NFJSAIKKRCEJIX-CYBMUJFWSA-N -1 1 303.742 1.181 20 0 DDADMM O=C([O-])c1ccc(OCCN2CC[C@@]3(O)C[C@H]3C2)c(Cl)c1 ZINC000659800599 424260481 /nfs/dbraw/zinc/26/04/81/424260481.db2.gz WJNARKMGWOXDFT-XHDPSFHLSA-N -1 1 311.765 1.874 20 0 DDADMM CO[C@](C)(CO)CNC(=O)c1ccc(Br)cc1[O-] ZINC000655215242 424301627 /nfs/dbraw/zinc/30/16/27/424301627.db2.gz JPPVEUJUHDKWIM-LBPRGKRZSA-N -1 1 318.167 1.282 20 0 DDADMM O=C(NCc1n[nH]c([C@@H]2CCCO2)n1)c1c([O-])cc(F)cc1F ZINC000655230716 424316148 /nfs/dbraw/zinc/31/61/48/424316148.db2.gz FTUVAPHJFPBOJE-JTQLQIEISA-N -1 1 324.287 1.570 20 0 DDADMM COC[C@]1(CO)CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640337986 424358618 /nfs/dbraw/zinc/35/86/18/424358618.db2.gz RAOASTGBBSOACG-OAHLLOKOSA-N -1 1 321.402 1.581 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCC[C@@]1(O)CCOC1 ZINC000640337984 424358908 /nfs/dbraw/zinc/35/89/08/424358908.db2.gz QZTPCQQMOWQKTN-CQSZACIVSA-N -1 1 307.375 1.381 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CC(=O)N(C2CC2)C1 ZINC000640339847 424364760 /nfs/dbraw/zinc/36/47/60/424364760.db2.gz YCERSRQKGYVCPD-UHFFFAOYSA-N -1 1 302.359 1.514 20 0 DDADMM CC(C)N(C)C(=O)[C@@H](C)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000662223498 424486291 /nfs/dbraw/zinc/48/62/91/424486291.db2.gz OLSJDBHTWJOVCX-IUODEOHRSA-N -1 1 304.390 1.926 20 0 DDADMM CC(C)N1CC[C@@H](N2Cc3ccccc3[C@H](C(=O)[O-])C2)C1=O ZINC000662224890 424493151 /nfs/dbraw/zinc/49/31/51/424493151.db2.gz WXKFQBAUOPBCDY-HUUCEWRRSA-N -1 1 302.374 1.680 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(C)onc1N)[C@@H]1CCCCO1 ZINC000655666958 424619977 /nfs/dbraw/zinc/61/99/77/424619977.db2.gz SSOAZTLQTNISQS-UWVGGRQHSA-N -1 1 303.384 1.191 20 0 DDADMM CC(C)[C@H](CNC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000655879422 424689664 /nfs/dbraw/zinc/68/96/64/424689664.db2.gz MOMRQJWHMZLJIV-ZDUSSCGKSA-N -1 1 304.350 1.490 20 0 DDADMM CN(CCC(=O)N1CCCc2ccccc21)Cc1n[n-]c(=O)o1 ZINC000660842075 424785635 /nfs/dbraw/zinc/78/56/35/424785635.db2.gz LEKFXLNKVXMZKI-UHFFFAOYSA-N -1 1 316.361 1.577 20 0 DDADMM CN(C(=O)c1c([O-])cnc2ccc(Cl)cc21)[C@H]1COC[C@H]1O ZINC000342360984 271389425 /nfs/dbraw/zinc/38/94/25/271389425.db2.gz XSZMRWFOCBXVSG-WCQYABFASA-N -1 1 322.748 1.426 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccccc2F)C1)c1ncccc1[O-] ZINC000345186261 272186481 /nfs/dbraw/zinc/18/64/81/272186481.db2.gz HZQLMNOHQUOWQQ-LLVKDONJSA-N -1 1 301.321 1.935 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCOC(C)C)c1ccsc1 ZINC000345578865 272283431 /nfs/dbraw/zinc/28/34/31/272283431.db2.gz YLKNUPNTIKBLMX-NSHDSACASA-N -1 1 321.420 1.307 20 0 DDADMM COCc1nc(=NC(=O)c2cc(-c3cccs3)n[nH]2)s[n-]1 ZINC000345781826 272333241 /nfs/dbraw/zinc/33/32/41/272333241.db2.gz WREXJFZSCNGUCF-UHFFFAOYSA-N -1 1 321.387 1.810 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)[C@H]1CCCC[C@@H]1C(=O)OC)C(F)F ZINC000451627200 288055279 /nfs/dbraw/zinc/05/52/79/288055279.db2.gz XKWPNDBRTCUHOH-GUBZILKMSA-N -1 1 313.366 1.681 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2ccc([O-])cc2F)[C@@]12CCCO2 ZINC000274254509 279863142 /nfs/dbraw/zinc/86/31/42/279863142.db2.gz UAOCSLJCCXLLDT-LZWOXQAQSA-N -1 1 309.337 1.988 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cccc2cccnc21 ZINC000171301368 298230649 /nfs/dbraw/zinc/23/06/49/298230649.db2.gz LHRKDXNRKILORM-UHFFFAOYSA-N -1 1 322.324 1.704 20 0 DDADMM Cc1ccc(Cl)c(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000353993239 298332779 /nfs/dbraw/zinc/33/27/79/298332779.db2.gz PICPOIHQCXCJAP-UHFFFAOYSA-N -1 1 303.709 1.632 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](CO)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000354008685 298337521 /nfs/dbraw/zinc/33/75/21/298337521.db2.gz FBXFJWRVYJDECL-NWDGAFQWSA-N -1 1 323.784 1.587 20 0 DDADMM CSc1nc(=NC(=O)c2nn(-c3ccccc3)cc2[O-])[nH][nH]1 ZINC000362662543 300112379 /nfs/dbraw/zinc/11/23/79/300112379.db2.gz JFLQRLMKCCCOBJ-UHFFFAOYSA-N -1 1 316.346 1.092 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccc(C(C)=O)o1 ZINC000362841245 300156802 /nfs/dbraw/zinc/15/68/02/300156802.db2.gz VPQISVBQHXLTLX-UHFFFAOYSA-N -1 1 305.290 1.067 20 0 DDADMM CC[C@H](C(=O)Nc1cc(-c2n[nH]c(=O)[n-]2)ccc1C)N(C)C ZINC000574464045 304654847 /nfs/dbraw/zinc/65/48/47/304654847.db2.gz YTSYNMKZPVVMKC-GFCCVEGCSA-N -1 1 303.366 1.764 20 0 DDADMM Cc1nc(C)n(C[C@H]2CCCCN2C(=O)c2ncccc2[O-])n1 ZINC000363644263 300291870 /nfs/dbraw/zinc/29/18/70/300291870.db2.gz BLSBLZZIERWCMC-CYBMUJFWSA-N -1 1 315.377 1.690 20 0 DDADMM c1cc(-c2nc(=NC[C@@H]3CN4CCCC[C@@H]4CO3)[n-]o2)co1 ZINC000363703653 300299474 /nfs/dbraw/zinc/29/94/74/300299474.db2.gz FZVRPLZIRGLTNI-CHWSQXEVSA-N -1 1 304.350 1.417 20 0 DDADMM Cn1cnc(C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)c1 ZINC000364019285 300335541 /nfs/dbraw/zinc/33/55/41/300335541.db2.gz WQBBHKRDDAREHZ-UHFFFAOYSA-N -1 1 313.357 1.861 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3Cc4ccccc4C(=O)O3)ccnc1-2 ZINC000368456698 301032194 /nfs/dbraw/zinc/03/21/94/301032194.db2.gz CGAPHGUEZATHJR-KELUXRKGSA-N -1 1 322.324 1.062 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(F)cc1F ZINC000370110418 301277009 /nfs/dbraw/zinc/27/70/09/301277009.db2.gz HWPHFGFIBGVRKD-LLVKDONJSA-N -1 1 309.276 1.000 20 0 DDADMM Nc1nccc([C@@H]2CCCN(C(=O)c3ccc([O-])cc3F)C2)n1 ZINC000370484339 301349228 /nfs/dbraw/zinc/34/92/28/301349228.db2.gz NYZQRPLNGUOYAU-SNVBAGLBSA-N -1 1 316.336 1.754 20 0 DDADMM Cc1cc(S(=O)(=O)N[C@@H](C)CN(C)C)cc(C(=O)[O-])c1C ZINC000286815456 301398713 /nfs/dbraw/zinc/39/87/13/301398713.db2.gz LLDDCPXHWJJUQP-JTQLQIEISA-N -1 1 314.407 1.230 20 0 DDADMM COc1ccccc1C[C@@H]1CCCN(CC(=O)NCC(=O)[O-])C1 ZINC000378596933 302341615 /nfs/dbraw/zinc/34/16/15/302341615.db2.gz YQDORGOGXWJOKM-ZDUSSCGKSA-N -1 1 320.389 1.151 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H]3CCCC[C@@H]32)o1 ZINC000519542233 302840135 /nfs/dbraw/zinc/84/01/35/302840135.db2.gz XHEDGETXJXVHEE-QWRGUYRKSA-N -1 1 312.391 1.592 20 0 DDADMM CC1(C)CCC[C@@H]1CCN=c1[n-]cc(S(N)(=O)=O)s1 ZINC000528066189 303001028 /nfs/dbraw/zinc/00/10/28/303001028.db2.gz DCXVMFQOBGVANH-SECBINFHSA-N -1 1 303.453 1.841 20 0 DDADMM O=C(N[C@H](c1ccccn1)C1CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000529828762 303157349 /nfs/dbraw/zinc/15/73/49/303157349.db2.gz FQYCTXXSXCGOJQ-AWEZNQCLSA-N -1 1 324.344 1.084 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCOC[C@H]2CCCO2)sc1C ZINC000532496891 303287759 /nfs/dbraw/zinc/28/77/59/303287759.db2.gz QAELBAARLDEKMA-LLVKDONJSA-N -1 1 320.436 1.234 20 0 DDADMM O=C(Cc1csc2nccn12)NC1(c2nn[n-]n2)CCCC1 ZINC000532497609 303287826 /nfs/dbraw/zinc/28/78/26/303287826.db2.gz HACJKZLVPMUEQI-UHFFFAOYSA-N -1 1 317.378 1.037 20 0 DDADMM Cc1noc(C)c1[C@H](C)CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000532802085 303298311 /nfs/dbraw/zinc/29/83/11/303298311.db2.gz KSIDTKOFGBABLC-SECBINFHSA-N -1 1 318.381 1.884 20 0 DDADMM COc1ccccc1CNC(=O)CNC1(C(=O)[O-])CCCC1 ZINC000533203058 303313582 /nfs/dbraw/zinc/31/35/82/303313582.db2.gz HRMJBMWEFLFESV-UHFFFAOYSA-N -1 1 306.362 1.298 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cccc(O)c2F)o1 ZINC000534944515 303338207 /nfs/dbraw/zinc/33/82/07/303338207.db2.gz CLWJIYKPAKYXEO-UHFFFAOYSA-N -1 1 314.294 1.285 20 0 DDADMM Cc1cc(=NC(=O)N[C@@H](C)c2n[nH]c(C(C)C)n2)[n-]nc1C ZINC000534964563 303338671 /nfs/dbraw/zinc/33/86/71/303338671.db2.gz RKNHXMRTQCEGHF-JTQLQIEISA-N -1 1 303.370 1.640 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)cn1 ZINC000537653334 303378013 /nfs/dbraw/zinc/37/80/13/303378013.db2.gz KAEJBFYDPNUSRI-UHFFFAOYSA-N -1 1 310.335 1.009 20 0 DDADMM Cc1ccccc1[C@@H](CO)NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000539802402 303398662 /nfs/dbraw/zinc/39/86/62/303398662.db2.gz ZGFRQVKUIFALCI-CYBMUJFWSA-N -1 1 317.414 1.911 20 0 DDADMM CC[C@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363396868 307027256 /nfs/dbraw/zinc/02/72/56/307027256.db2.gz ZJRLIXDYZYHWGS-QWHCGFSZSA-N -1 1 301.350 1.293 20 0 DDADMM CNC(=O)c1ccc(=NC[C@H]2C[C@]23CCCc2ccccc23)[n-]n1 ZINC000366115881 307068172 /nfs/dbraw/zinc/06/81/72/307068172.db2.gz VRNJWWFAZVYMAK-AUUYWEPGSA-N -1 1 322.412 1.964 20 0 DDADMM O=C(NC[C@@H]1C[N@@H+]2CCCC[C@H]2CO1)c1cc(F)ccc1O ZINC000367176692 307087898 /nfs/dbraw/zinc/08/78/98/307087898.db2.gz OUUUZTWENDXWLZ-QWHCGFSZSA-N -1 1 308.353 1.514 20 0 DDADMM CN(C)[C@]1(C(=O)[N-]O[C@@H]2CCCCO2)CCc2ccccc21 ZINC000369175904 307117307 /nfs/dbraw/zinc/11/73/07/307117307.db2.gz GCICPRVHEQPHBN-NVXWUHKLSA-N -1 1 304.390 1.964 20 0 DDADMM O=C(c1ccc(F)c(Cl)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370737428 307134682 /nfs/dbraw/zinc/13/46/82/307134682.db2.gz IYWYGGOGAALLLT-JTQLQIEISA-N -1 1 311.704 1.206 20 0 DDADMM C[C@@H]1C[C@H](CC(=O)N2CCO[C@H](c3nn[n-]n3)C2)CC(C)(C)C1 ZINC000370862025 307138610 /nfs/dbraw/zinc/13/86/10/307138610.db2.gz ZKFKMUDUGHXXNA-UPJWGTAASA-N -1 1 321.425 1.952 20 0 DDADMM O=C([C@@H]1C[C@@]12CCc1ccccc12)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000371298396 307146875 /nfs/dbraw/zinc/14/68/75/307146875.db2.gz VJSRSWPPVMRLFX-QIIPPGSGSA-N -1 1 323.400 1.810 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-][C@H]2COc3cccc(F)c32)no1 ZINC000374663866 307211318 /nfs/dbraw/zinc/21/13/18/307211318.db2.gz OHQSOJVGKLVZKF-NSHDSACASA-N -1 1 312.322 1.675 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3Cc4ccccc4[C@@H]3O)ccnc1-2 ZINC000376621599 307250011 /nfs/dbraw/zinc/25/00/11/307250011.db2.gz LCWAOZTZBIMOPT-KOEWNKMCSA-N -1 1 323.356 1.122 20 0 DDADMM O=C([O-])C1(NCC(=O)NCCc2ccc(F)cc2)CCCC1 ZINC000520943083 307464001 /nfs/dbraw/zinc/46/40/01/307464001.db2.gz GGAPCDFOJZUKOL-UHFFFAOYSA-N -1 1 308.353 1.471 20 0 DDADMM CC(=O)N1CC[C@@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)C1 ZINC000531157438 307611180 /nfs/dbraw/zinc/61/11/80/307611180.db2.gz LSCYAIKNJVFRMO-GFCCVEGCSA-N -1 1 314.345 1.589 20 0 DDADMM CCC[C@H](C)CS(=O)(=O)N[C@@H](CN1CCCCC1)C(=O)[O-] ZINC000548222147 307760566 /nfs/dbraw/zinc/76/05/66/307760566.db2.gz KHGFOECHKIMSQV-STQMWFEESA-N -1 1 320.455 1.281 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)c1cccc2ncccc21 ZINC000569887967 308152838 /nfs/dbraw/zinc/15/28/38/308152838.db2.gz AXEGSSGRCDAKKL-UHFFFAOYSA-N -1 1 318.358 1.769 20 0 DDADMM Cc1nnc([C@H](C)[N@H+]2C[C@@H](C(=O)[O-])[C@@H](c3ccccc3)C2)[nH]1 ZINC000570521983 308172523 /nfs/dbraw/zinc/17/25/23/308172523.db2.gz RYBGSFOWWQGGDC-ZLKJLUDKSA-N -1 1 300.362 1.974 20 0 DDADMM Cc1nnc([C@H](C)N2C[C@@H](C(=O)[O-])[C@@H](c3ccccc3)C2)[nH]1 ZINC000570521983 308172525 /nfs/dbraw/zinc/17/25/25/308172525.db2.gz RYBGSFOWWQGGDC-ZLKJLUDKSA-N -1 1 300.362 1.974 20 0 DDADMM CCc1ccc([C@@H](COC)[N-]S(=O)(=O)c2ccn(C)n2)o1 ZINC000572032180 308209361 /nfs/dbraw/zinc/20/93/61/308209361.db2.gz ZDKBDLZXDBSOAX-LLVKDONJSA-N -1 1 313.379 1.242 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCSC2)c1 ZINC000573391938 308239891 /nfs/dbraw/zinc/23/98/91/308239891.db2.gz VKQIHZSDOCYCQL-MRVPVSSYSA-N -1 1 316.404 1.121 20 0 DDADMM CCN(CC)CCS(=O)(=O)NC(CC)(CC)CC(=O)[O-] ZINC000578129838 308439785 /nfs/dbraw/zinc/43/97/85/308439785.db2.gz FZDUZKHFLLBUEA-UHFFFAOYSA-N -1 1 308.444 1.281 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(Br)ccc2[O-])C[C@@H]1O ZINC000387865855 325768239 /nfs/dbraw/zinc/76/82/39/325768239.db2.gz MMMFVFOVPRIBSU-CPCISQLKSA-N -1 1 300.152 1.608 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC12CCC2 ZINC000583446886 332420235 /nfs/dbraw/zinc/42/02/35/332420235.db2.gz YZEPTJZWZSGXHN-SNVBAGLBSA-N -1 1 301.350 1.070 20 0 DDADMM O=C([O-])c1ccc(N2CCC[C@@H](N3CCOCC3)CC2)nc1 ZINC000656093577 483941032 /nfs/dbraw/zinc/94/10/32/483941032.db2.gz XMVKLDXGAXHNPO-CQSZACIVSA-N -1 1 305.378 1.471 20 0 DDADMM CC(C)(C)O[C@H]1C[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000655910100 484002586 /nfs/dbraw/zinc/00/25/86/484002586.db2.gz TXMMHHRGTQRBOK-XYPYZODXSA-N -1 1 315.373 1.999 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1)c1ccnnc1 ZINC000436989045 484132566 /nfs/dbraw/zinc/13/25/66/484132566.db2.gz NBVIWHATQBFDEH-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM O=C(Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1)c1ccnnc1 ZINC000436989045 484132576 /nfs/dbraw/zinc/13/25/76/484132576.db2.gz NBVIWHATQBFDEH-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cc(C)ccc1F)[C@@H]1CCOC1 ZINC000656614867 484244845 /nfs/dbraw/zinc/24/48/45/484244845.db2.gz RBBZTDHRIUZDJI-BXUZGUMPSA-N -1 1 317.382 1.927 20 0 DDADMM CCCCCc1cc(C(=O)N2CCOC[C@@H]2CC(=O)[O-])n[nH]1 ZINC000659149790 484656443 /nfs/dbraw/zinc/65/64/43/484656443.db2.gz ISHHWUNPXAFXTL-LBPRGKRZSA-N -1 1 309.366 1.458 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)[C@H](C)C(=O)N(C)C)nc1C ZINC000663301818 484792926 /nfs/dbraw/zinc/79/29/26/484792926.db2.gz PBDNVZZAUCJWRH-SNVBAGLBSA-N -1 1 315.395 1.007 20 0 DDADMM CO[C@H]1CC[C@H](C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000668743785 485306293 /nfs/dbraw/zinc/30/62/93/485306293.db2.gz JOALKEVSWMIWJC-RYUDHWBXSA-N -1 1 318.377 1.483 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1nc2cnccc2s1 ZINC000668871961 485346296 /nfs/dbraw/zinc/34/62/96/485346296.db2.gz PHHVPGATPVCCQG-UHFFFAOYSA-N -1 1 315.362 1.404 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1CCCCN1 ZINC000673475529 485416382 /nfs/dbraw/zinc/41/63/82/485416382.db2.gz NQINTFROJGQPOR-UHFFFAOYSA-N -1 1 313.361 1.222 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCCCCO)c(F)c1 ZINC000673574068 485436682 /nfs/dbraw/zinc/43/66/82/485436682.db2.gz XSKAVPMOFLVEMA-UHFFFAOYSA-N -1 1 309.334 1.414 20 0 DDADMM O=C(Nc1c([O-])cccc1F)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000678374642 485557133 /nfs/dbraw/zinc/55/71/33/485557133.db2.gz ULPYGOHVFJTHSL-UHFFFAOYSA-N -1 1 315.260 1.313 20 0 DDADMM O=C(CCCCO)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000678478755 485598337 /nfs/dbraw/zinc/59/83/37/485598337.db2.gz XHJGGIPYFQIPSW-UHFFFAOYSA-N -1 1 305.374 1.976 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1ccc2[nH]nnc2c1)c1ccccc1F ZINC000678988767 485750232 /nfs/dbraw/zinc/75/02/32/485750232.db2.gz YLFDXROVOGFCIM-CYBMUJFWSA-N -1 1 314.276 1.653 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cncc(-c2ccc(Cl)cc2)c1 ZINC000675140269 485864628 /nfs/dbraw/zinc/86/46/28/485864628.db2.gz QRKJOSDIIISZRP-UHFFFAOYSA-N -1 1 314.736 1.845 20 0 DDADMM CC(C)COc1ncc(C(=O)NCc2nn[n-]n2)cc1Cl ZINC000679998417 486069913 /nfs/dbraw/zinc/06/99/13/486069913.db2.gz NWINVCJTMYELBB-UHFFFAOYSA-N -1 1 310.745 1.213 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCCc3c[nH]nc32)sc1C ZINC000676417283 486223052 /nfs/dbraw/zinc/22/30/52/486223052.db2.gz FDZCELXIKSEAPI-JTQLQIEISA-N -1 1 312.420 1.839 20 0 DDADMM COCCOc1ncccc1CNC(=O)c1ccc([O-])c(F)c1 ZINC000681022488 486324739 /nfs/dbraw/zinc/32/47/39/486324739.db2.gz JXGUIZGURMFMGH-UHFFFAOYSA-N -1 1 320.320 1.882 20 0 DDADMM CCNC(=O)N(C)c1cccc(NC(=O)C2=C([O-])C(C)N=N2)c1 ZINC000684503242 486372440 /nfs/dbraw/zinc/37/24/40/486372440.db2.gz RTIWLIOVACITMN-UHFFFAOYSA-N -1 1 317.349 1.842 20 0 DDADMM CN(CC(C)(CO)CO)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684877766 486450729 /nfs/dbraw/zinc/45/07/29/486450729.db2.gz WMUYCSLYKAQXQK-UHFFFAOYSA-N -1 1 321.295 1.474 20 0 DDADMM CC[C@H]1CN(C(=O)C(C)C)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000681737942 486506999 /nfs/dbraw/zinc/50/69/99/486506999.db2.gz AVMYQUIQEVNRDH-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM CS(=O)(=O)C1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000685447969 486540553 /nfs/dbraw/zinc/54/05/53/486540553.db2.gz JNMCMRMPGOCPOW-UHFFFAOYSA-N -1 1 319.329 1.320 20 0 DDADMM COC(=O)Nc1cccc(C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000491676252 534194326 /nfs/dbraw/zinc/19/43/26/534194326.db2.gz IHKHDTCGWPECMP-UHFFFAOYSA-N -1 1 304.310 1.043 20 0 DDADMM COC(=O)c1cccc(CS(=O)(=O)[N-][C@H](C)C(C)(F)F)c1 ZINC000451070426 534266442 /nfs/dbraw/zinc/26/64/42/534266442.db2.gz IJSACLFKBAYMRW-SECBINFHSA-N -1 1 321.345 1.936 20 0 DDADMM COC(=O)c1c[n-]c(SCc2ncnn2CC(F)F)n1 ZINC000432662827 534307534 /nfs/dbraw/zinc/30/75/34/534307534.db2.gz BGCWHMVJWZVCNA-UHFFFAOYSA-N -1 1 303.294 1.345 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)CCCCO)cnc2n1 ZINC000452504843 534400999 /nfs/dbraw/zinc/40/09/99/534400999.db2.gz WKRVOHPKRIVSJG-JTQLQIEISA-N -1 1 303.362 1.925 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)CCCCO)c2=O ZINC000452504843 534401010 /nfs/dbraw/zinc/40/10/10/534401010.db2.gz WKRVOHPKRIVSJG-JTQLQIEISA-N -1 1 303.362 1.925 20 0 DDADMM c1ccc2c(c1)OC[C@H](c1nnc(SCc3nn[n-]n3)o1)O2 ZINC000435091379 534503221 /nfs/dbraw/zinc/50/32/21/534503221.db2.gz JSIIHOAXHWQOCH-SECBINFHSA-N -1 1 318.318 1.388 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCCC[C@@H]2CO)sc1C ZINC000328886198 534517676 /nfs/dbraw/zinc/51/76/76/534517676.db2.gz NUSKATXUUDKFSP-GHMZBOCLSA-N -1 1 304.437 1.589 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C=Cc1ccncc1 ZINC000491872356 534645443 /nfs/dbraw/zinc/64/54/43/534645443.db2.gz QVXDICWMCBQTMX-ARJAWSKDSA-N -1 1 323.356 1.776 20 0 DDADMM COc1ccc(NC(=O)CNC(=O)c2ncccc2[O-])cc1 ZINC000337942765 524497258 /nfs/dbraw/zinc/49/72/58/524497258.db2.gz QJIAFQGPKBQNJO-UHFFFAOYSA-N -1 1 301.302 1.164 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2sccc2Cl)CCCCC1 ZINC000451120152 534843201 /nfs/dbraw/zinc/84/32/01/534843201.db2.gz JWHCUCOIZXCVTB-UHFFFAOYSA-N -1 1 322.839 1.868 20 0 DDADMM Cc1n[nH]cc1CCC[N-]S(=O)(=O)c1sccc1F ZINC000451544084 534853977 /nfs/dbraw/zinc/85/39/77/534853977.db2.gz DMOYYKZTAHWDJA-UHFFFAOYSA-N -1 1 303.384 1.830 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@H](CO)C1)c1cc(F)c(F)cc1F ZINC000416636088 534870578 /nfs/dbraw/zinc/87/05/78/534870578.db2.gz KBWKTSSAVDPOJT-IUCAKERBSA-N -1 1 323.336 1.933 20 0 DDADMM CC(C)(C)c1ncc(C=CC(=O)NC2(c3nn[n-]n3)CC2)s1 ZINC000492027642 526877718 /nfs/dbraw/zinc/87/77/18/526877718.db2.gz CEXQWHDABBFVMR-PLNGDYQASA-N -1 1 318.406 1.772 20 0 DDADMM CC(C)(NC(=O)C=Cc1cnn(-c2ccccc2)c1)c1nn[n-]n1 ZINC000491934524 527263214 /nfs/dbraw/zinc/26/32/14/527263214.db2.gz VXCHWRBJSYFAQB-HJWRWDBZSA-N -1 1 323.360 1.450 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1CCO[C@H](C3CC3)C1)c2=O ZINC000156989400 527582972 /nfs/dbraw/zinc/58/29/72/527582972.db2.gz HUUYLFCANUQDML-GWCFXTLKSA-N -1 1 316.361 1.361 20 0 DDADMM CCCN1C[C@@H](C(=O)Nc2cc(C(=O)OC)ccc2[O-])CC1=O ZINC000412319927 528282444 /nfs/dbraw/zinc/28/24/44/528282444.db2.gz KYXURPZPWYPHNL-NSHDSACASA-N -1 1 320.345 1.376 20 0 DDADMM CCCNC(=O)[C@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000330876185 528440467 /nfs/dbraw/zinc/44/04/67/528440467.db2.gz JMQQACYIKPNLKF-LBPRGKRZSA-N -1 1 305.378 1.474 20 0 DDADMM CCOC1CC(O)(CN=c2[n-]c(C(=O)OC)c(C)s2)C1 ZINC000443966338 528514202 /nfs/dbraw/zinc/51/42/02/528514202.db2.gz SWCSVFVKMKTNLZ-UHFFFAOYSA-N -1 1 300.380 1.002 20 0 DDADMM CCOC(=O)Cc1nnc([N-]C(=O)c2nc(C)oc2C)s1 ZINC000293629187 528920075 /nfs/dbraw/zinc/92/00/75/528920075.db2.gz UAAYQFAPIRMYSS-UHFFFAOYSA-N -1 1 310.335 1.501 20 0 DDADMM CCCC[C@H](COC)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295709674 528965994 /nfs/dbraw/zinc/96/59/94/528965994.db2.gz CQULHJIYYMUNHX-LLVKDONJSA-N -1 1 308.407 1.953 20 0 DDADMM CC(C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000416623446 529145206 /nfs/dbraw/zinc/14/52/06/529145206.db2.gz OKDNTRYVZABIMK-SSDOTTSWSA-N -1 1 324.324 1.295 20 0 DDADMM CC[C@H](C)[C@@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)OC ZINC000295730099 529212127 /nfs/dbraw/zinc/21/21/27/529212127.db2.gz MJKRPXMDVGHCNE-GXSJLCMTSA-N -1 1 308.407 1.809 20 0 DDADMM CCCN(CC(N)=O)C(=O)c1ccc(Br)cc1[O-] ZINC000310896502 535293874 /nfs/dbraw/zinc/29/38/74/535293874.db2.gz AIDINRIXJYJJMF-UHFFFAOYSA-N -1 1 315.167 1.492 20 0 DDADMM C[C@@](O)(CNc1cccc(-c2nnn[n-]2)n1)c1cccs1 ZINC000736140702 598857714 /nfs/dbraw/zinc/85/77/14/598857714.db2.gz AEANFAMHZHKKPD-CYBMUJFWSA-N -1 1 302.363 1.643 20 0 DDADMM C[C@@](O)(CNc1cccc(-c2nn[n-]n2)n1)c1cccs1 ZINC000736140702 598857715 /nfs/dbraw/zinc/85/77/15/598857715.db2.gz AEANFAMHZHKKPD-CYBMUJFWSA-N -1 1 302.363 1.643 20 0 DDADMM CC(C)C[C@@H](O)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000735799520 598962694 /nfs/dbraw/zinc/96/26/94/598962694.db2.gz PNIRRZDSMGWPJD-SNVBAGLBSA-N -1 1 313.365 1.629 20 0 DDADMM CC(C)C[C@@H](O)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000735799520 598962696 /nfs/dbraw/zinc/96/26/96/598962696.db2.gz PNIRRZDSMGWPJD-SNVBAGLBSA-N -1 1 313.365 1.629 20 0 DDADMM CC(=O)Nc1cccc(OC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000735488271 598981391 /nfs/dbraw/zinc/98/13/91/598981391.db2.gz JFCGACNJPQLZEF-UHFFFAOYSA-N -1 1 324.300 1.439 20 0 DDADMM CC(=O)Nc1cccc(OC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000735488271 598981392 /nfs/dbraw/zinc/98/13/92/598981392.db2.gz JFCGACNJPQLZEF-UHFFFAOYSA-N -1 1 324.300 1.439 20 0 DDADMM CC1CCC(N(C)C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736241496 598983013 /nfs/dbraw/zinc/98/30/13/598983013.db2.gz PDBVZKKZJTVNTE-UHFFFAOYSA-N -1 1 300.366 1.912 20 0 DDADMM CC1CCC(N(C)C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736241496 598983014 /nfs/dbraw/zinc/98/30/14/598983014.db2.gz PDBVZKKZJTVNTE-UHFFFAOYSA-N -1 1 300.366 1.912 20 0 DDADMM CC(=O)c1csc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000735504484 598984585 /nfs/dbraw/zinc/98/45/85/598984585.db2.gz OCMYTOGDMBUOQG-UHFFFAOYSA-N -1 1 315.318 1.173 20 0 DDADMM CC(=O)c1csc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000735504484 598984587 /nfs/dbraw/zinc/98/45/87/598984587.db2.gz OCMYTOGDMBUOQG-UHFFFAOYSA-N -1 1 315.318 1.173 20 0 DDADMM CCN(C(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccccc1OC ZINC000736505413 598989086 /nfs/dbraw/zinc/98/90/86/598989086.db2.gz IJCURUACTXGJKC-UHFFFAOYSA-N -1 1 324.344 1.937 20 0 DDADMM CCN(C(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccccc1OC ZINC000736505413 598989087 /nfs/dbraw/zinc/98/90/87/598989087.db2.gz IJCURUACTXGJKC-UHFFFAOYSA-N -1 1 324.344 1.937 20 0 DDADMM CC[C@H]1CCCC[C@@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736400438 598990720 /nfs/dbraw/zinc/99/07/20/598990720.db2.gz OFYWOEGVYKSRGK-JQWIXIFHSA-N -1 1 300.366 1.960 20 0 DDADMM CC[C@H]1CCCC[C@@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736400438 598990722 /nfs/dbraw/zinc/99/07/22/598990722.db2.gz OFYWOEGVYKSRGK-JQWIXIFHSA-N -1 1 300.366 1.960 20 0 DDADMM Cc1nc([C@H](C)NC(=O)c2ccc(-c3nnn[n-]3)nc2)cs1 ZINC000737470741 599004977 /nfs/dbraw/zinc/00/49/77/599004977.db2.gz LPWSITCMKDIVHB-ZETCQYMHSA-N -1 1 315.362 1.518 20 0 DDADMM Cc1nc([C@H](C)NC(=O)c2ccc(-c3nn[n-]n3)nc2)cs1 ZINC000737470741 599004979 /nfs/dbraw/zinc/00/49/79/599004979.db2.gz LPWSITCMKDIVHB-ZETCQYMHSA-N -1 1 315.362 1.518 20 0 DDADMM CCOC[C@@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736610848 599005865 /nfs/dbraw/zinc/00/58/65/599005865.db2.gz XQAXVXTZDJVREJ-LLVKDONJSA-N -1 1 316.365 1.150 20 0 DDADMM CCOC[C@@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736610848 599005867 /nfs/dbraw/zinc/00/58/67/599005867.db2.gz XQAXVXTZDJVREJ-LLVKDONJSA-N -1 1 316.365 1.150 20 0 DDADMM CCCCOCCCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736460054 599018344 /nfs/dbraw/zinc/01/83/44/599018344.db2.gz JSIKJMBFCGCMBZ-UHFFFAOYSA-N -1 1 304.354 1.198 20 0 DDADMM CCCCOCCCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736460054 599018347 /nfs/dbraw/zinc/01/83/47/599018347.db2.gz JSIKJMBFCGCMBZ-UHFFFAOYSA-N -1 1 304.354 1.198 20 0 DDADMM C[C@@H](C(=O)N1CCCCC1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091131233 601921548 /nfs/dbraw/zinc/92/15/48/601921548.db2.gz HIMCDWWCXGROLJ-RYUDHWBXSA-N -1 1 300.424 1.280 20 0 DDADMM O=C(N[C@@H]1C[C@H]1Cc1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738018493 599092048 /nfs/dbraw/zinc/09/20/48/599092048.db2.gz BOCSXVCFSPRUKU-UKRRQHHQSA-N -1 1 320.356 1.623 20 0 DDADMM O=C(N[C@@H]1C[C@H]1Cc1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738018493 599092051 /nfs/dbraw/zinc/09/20/51/599092051.db2.gz BOCSXVCFSPRUKU-UKRRQHHQSA-N -1 1 320.356 1.623 20 0 DDADMM Cc1ccccc1-c1cnc(Cn2cnc(-c3nn[n-]n3)n2)o1 ZINC000737417081 599162118 /nfs/dbraw/zinc/16/21/18/599162118.db2.gz FTGIOBOAKBOPAN-UHFFFAOYSA-N -1 1 308.305 1.470 20 0 DDADMM CC(C)[C@@H]1CCCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735747115 599225742 /nfs/dbraw/zinc/22/57/42/599225742.db2.gz BIEDGLBSDIKQKF-ZDUSSCGKSA-N -1 1 300.366 1.912 20 0 DDADMM CC(C)[C@@H]1CCCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735747115 599225744 /nfs/dbraw/zinc/22/57/44/599225744.db2.gz BIEDGLBSDIKQKF-ZDUSSCGKSA-N -1 1 300.366 1.912 20 0 DDADMM O=C([O-])CCCCCNC(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000819390577 596927724 /nfs/dbraw/zinc/92/77/24/596927724.db2.gz JXASCYCPVICKLK-UHFFFAOYSA-N -1 1 308.382 1.944 20 0 DDADMM C[C@@H](C(=O)NC(=O)Nc1ccccc1)N1CCC(C(=O)[O-])CC1 ZINC000738619972 596938284 /nfs/dbraw/zinc/93/82/84/596938284.db2.gz PKLNVDWJNMWZDL-NSHDSACASA-N -1 1 319.361 1.520 20 0 DDADMM CN(C)[C@H](CNC(=O)N(C)CCC(=O)[O-])c1cccc(F)c1 ZINC000818429156 596988434 /nfs/dbraw/zinc/98/84/34/596988434.db2.gz STDBQELTQKMZAM-CYBMUJFWSA-N -1 1 311.357 1.545 20 0 DDADMM CC(C)[C@H](NCC(=O)NC1(c2ccccc2)CCC1)C(=O)[O-] ZINC000817977674 597109015 /nfs/dbraw/zinc/10/90/15/597109015.db2.gz OSPDBZQUZBFMQJ-HNNXBMFYSA-N -1 1 304.390 1.881 20 0 DDADMM CC(C)C[C@H](NC(=O)Nc1cnn(-c2ccncc2)c1)C(=O)[O-] ZINC000819972349 597511204 /nfs/dbraw/zinc/51/12/04/597511204.db2.gz DANJXMRQAGMVHV-ZDUSSCGKSA-N -1 1 317.349 1.888 20 0 DDADMM O=C([O-])CCCCN1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000738571323 597765128 /nfs/dbraw/zinc/76/51/28/597765128.db2.gz PJQRQSBJPJJSSP-UHFFFAOYSA-N -1 1 319.405 1.498 20 0 DDADMM CN(CC(=O)NCC(=O)[O-])C[C@H]1CCCO[C@H]1c1ccccc1 ZINC000820562909 597772539 /nfs/dbraw/zinc/77/25/39/597772539.db2.gz ZAHHNKLCJNGVCD-PBHICJAKSA-N -1 1 320.389 1.287 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC[C@H](c2cc3ccccc3[nH]2)C1 ZINC000821530236 597793294 /nfs/dbraw/zinc/79/32/94/597793294.db2.gz BFKLSRUWMWOGLO-ZDUSSCGKSA-N -1 1 315.373 1.548 20 0 DDADMM CN(CC(=O)NCC(=O)[O-])C1c2ccccc2-c2ccccc21 ZINC000820554338 597797004 /nfs/dbraw/zinc/79/70/04/597797004.db2.gz MSBXJLBYFBAVKG-UHFFFAOYSA-N -1 1 310.353 1.889 20 0 DDADMM O=C([O-])CNC(=O)CNC1(c2ccc(F)cc2F)CCCC1 ZINC000821530785 597809617 /nfs/dbraw/zinc/80/96/17/597809617.db2.gz MIMCAMPTLBXPRV-UHFFFAOYSA-N -1 1 312.316 1.525 20 0 DDADMM CC(C)[C@@H](NCC(=O)Nc1nc2c(s1)CCCC2)C(=O)[O-] ZINC000820044249 598188229 /nfs/dbraw/zinc/18/82/29/598188229.db2.gz ZUIHECJCZVJKJT-GFCCVEGCSA-N -1 1 311.407 1.659 20 0 DDADMM C[C@@H](CC(=O)[O-])NCc1nnc2n1CCC[C@@H]2C(F)(F)F ZINC000820089028 598216302 /nfs/dbraw/zinc/21/63/02/598216302.db2.gz JTRDPWOWJPCDSQ-YUMQZZPRSA-N -1 1 306.288 1.671 20 0 DDADMM CN(CC1CCCC1)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736792439 598271039 /nfs/dbraw/zinc/27/10/39/598271039.db2.gz DSECQHIGTQWRJU-UHFFFAOYSA-N -1 1 322.394 1.072 20 0 DDADMM CN(CC1CCCC1)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736792439 598271040 /nfs/dbraw/zinc/27/10/40/598271040.db2.gz DSECQHIGTQWRJU-UHFFFAOYSA-N -1 1 322.394 1.072 20 0 DDADMM Cc1ccccc1OCCN(C)c1nccnc1-c1nnn[n-]1 ZINC000737432409 598339740 /nfs/dbraw/zinc/33/97/40/598339740.db2.gz WYKSZSKUXKOLNX-UHFFFAOYSA-N -1 1 311.349 1.480 20 0 DDADMM Cc1ccccc1OCCN(C)c1nccnc1-c1nn[n-]n1 ZINC000737432409 598339742 /nfs/dbraw/zinc/33/97/42/598339742.db2.gz WYKSZSKUXKOLNX-UHFFFAOYSA-N -1 1 311.349 1.480 20 0 DDADMM Cc1ccc2c(c1C)OC[C@@H]2Nc1nccnc1-c1nnn[n-]1 ZINC000737375978 598613577 /nfs/dbraw/zinc/61/35/77/598613577.db2.gz GKWRZZQVQOICEX-NSHDSACASA-N -1 1 309.333 1.819 20 0 DDADMM Cc1ccc2c(c1C)OC[C@@H]2Nc1nccnc1-c1nn[n-]n1 ZINC000737375978 598613580 /nfs/dbraw/zinc/61/35/80/598613580.db2.gz GKWRZZQVQOICEX-NSHDSACASA-N -1 1 309.333 1.819 20 0 DDADMM C[C@@H](O)C1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000736142060 598679019 /nfs/dbraw/zinc/67/90/19/598679019.db2.gz CHKMITAZNGZYDS-MRVPVSSYSA-N -1 1 308.773 1.512 20 0 DDADMM C[C@@H](O)C1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000736142060 598679021 /nfs/dbraw/zinc/67/90/21/598679021.db2.gz CHKMITAZNGZYDS-MRVPVSSYSA-N -1 1 308.773 1.512 20 0 DDADMM CCS[C@@H]1CCCCN(c2nccnc2-c2nnn[n-]2)C1 ZINC000736664146 598748280 /nfs/dbraw/zinc/74/82/80/598748280.db2.gz JAYYIWYWSGCYGI-SNVBAGLBSA-N -1 1 305.411 1.769 20 0 DDADMM CCS[C@@H]1CCCCN(c2nccnc2-c2nn[n-]n2)C1 ZINC000736664146 598748282 /nfs/dbraw/zinc/74/82/82/598748282.db2.gz JAYYIWYWSGCYGI-SNVBAGLBSA-N -1 1 305.411 1.769 20 0 DDADMM CCSCCn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000736667515 599340180 /nfs/dbraw/zinc/34/01/80/599340180.db2.gz SFCUELNERIOUFH-UHFFFAOYSA-N -1 1 301.375 1.935 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@H]1Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000822860397 607382761 /nfs/dbraw/zinc/38/27/61/607382761.db2.gz TXTRACBWRXJZPC-VXNVDRBHSA-N -1 1 321.772 1.371 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@H]1Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000822860397 607382764 /nfs/dbraw/zinc/38/27/64/607382764.db2.gz TXTRACBWRXJZPC-VXNVDRBHSA-N -1 1 321.772 1.371 20 0 DDADMM C[S@@](=O)CCNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000821941480 599562320 /nfs/dbraw/zinc/56/23/20/599562320.db2.gz HECRVZGXLKWRGQ-OAQYLSRUSA-N -1 1 302.363 1.205 20 0 DDADMM C[S@@](=O)CCNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821941480 599562322 /nfs/dbraw/zinc/56/23/22/599562322.db2.gz HECRVZGXLKWRGQ-OAQYLSRUSA-N -1 1 302.363 1.205 20 0 DDADMM c1oc(-c2ccccc2)nc1CNc1nccnc1-c1nnn[n-]1 ZINC000823766589 599586467 /nfs/dbraw/zinc/58/64/67/599586467.db2.gz FTCPXBXQCMRMIA-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM c1oc(-c2ccccc2)nc1CNc1nccnc1-c1nn[n-]n1 ZINC000823766589 599586468 /nfs/dbraw/zinc/58/64/68/599586468.db2.gz FTCPXBXQCMRMIA-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)N1CC[C@@H](N2CCCC2)C1 ZINC000315832048 600035863 /nfs/dbraw/zinc/03/58/63/600035863.db2.gz DMNYSQKOEPNQHO-GFCCVEGCSA-N -1 1 324.402 1.244 20 0 DDADMM CN1CCN(c2ccc(NC(=O)C(C)(C)NC(=O)[O-])cc2)CC1 ZINC000737530924 600151289 /nfs/dbraw/zinc/15/12/89/600151289.db2.gz ZJRUUFZZWCKKRQ-UHFFFAOYSA-N -1 1 320.393 1.423 20 0 DDADMM O=C([O-])c1cc(Cl)ccc1OS(=O)(=O)c1c[nH]cn1 ZINC000740076281 600265181 /nfs/dbraw/zinc/26/51/81/600265181.db2.gz UYJZJQJBXQXHEX-UHFFFAOYSA-N -1 1 302.695 1.529 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)CCSCC(=O)[O-] ZINC000736825190 600298266 /nfs/dbraw/zinc/29/82/66/600298266.db2.gz LBEGOAYCIGNILI-RYUDHWBXSA-N -1 1 300.424 1.280 20 0 DDADMM CC(C)[C@]1(C)NC(=O)N(CN2CCCC[C@H]2CC(=O)[O-])C1=O ZINC000736392636 600417189 /nfs/dbraw/zinc/41/71/89/600417189.db2.gz VFRFFXWIELVMLG-NHYWBVRUSA-N -1 1 311.382 1.240 20 0 DDADMM Cc1nc([C@H]2CCCN2Cc2ccc(-c3nn[n-]n3)s2)no1 ZINC000822437153 607443098 /nfs/dbraw/zinc/44/30/98/607443098.db2.gz WZUSVFUZOUWMLO-SNVBAGLBSA-N -1 1 317.378 1.957 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)NC1CCC(C(=O)[O-])CC1 ZINC000736395222 600495507 /nfs/dbraw/zinc/49/55/07/600495507.db2.gz ACTPPCAPQVHKLE-RUXDESIVSA-N -1 1 311.426 1.611 20 0 DDADMM O=C([O-])Nc1ccc(CNC(=O)CN(CCCO)C2CC2)cc1 ZINC000740024388 600716343 /nfs/dbraw/zinc/71/63/43/600716343.db2.gz DZGUTOMGIFATNG-UHFFFAOYSA-N -1 1 321.377 1.240 20 0 DDADMM C[C@@H]1CCN(CCNS(=O)(=O)c2cccc(C(=O)[O-])c2)C1 ZINC000828289754 600792847 /nfs/dbraw/zinc/79/28/47/600792847.db2.gz MCRKUSMLMYJRBW-LLVKDONJSA-N -1 1 312.391 1.005 20 0 DDADMM C[C@@H]1C[C@H](c2cccc(F)c2)N(CC(=O)NCCC(=O)[O-])C1 ZINC000828185166 600836543 /nfs/dbraw/zinc/83/65/43/600836543.db2.gz VNFLLFSKGKFQMQ-BXUZGUMPSA-N -1 1 308.353 1.800 20 0 DDADMM Cc1nnc(SCC(=O)N[C@H](C(=O)[O-])c2cccs2)[nH]1 ZINC000832990985 600916485 /nfs/dbraw/zinc/91/64/85/600916485.db2.gz PPNVLPZTXPZOJU-VIFPVBQESA-N -1 1 312.376 1.209 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@H](C(=O)[O-])c2cccs2)n1 ZINC000832990985 600916486 /nfs/dbraw/zinc/91/64/86/600916486.db2.gz PPNVLPZTXPZOJU-VIFPVBQESA-N -1 1 312.376 1.209 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@@H]1CCC[C@H](C(=O)[O-])C1 ZINC000317205877 600958427 /nfs/dbraw/zinc/95/84/27/600958427.db2.gz KEYRHAFQXFCFCI-APIJFGDWSA-N -1 1 308.422 1.963 20 0 DDADMM CCOCCN1CCN([C@@H](C(=O)[O-])c2ccccc2F)C[C@@H]1C ZINC000830011322 601000161 /nfs/dbraw/zinc/00/01/61/601000161.db2.gz DAHBKQYNVAPLOI-XJKSGUPXSA-N -1 1 324.396 1.994 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(Cl)cn2)CC1 ZINC000827375055 601099373 /nfs/dbraw/zinc/09/93/73/601099373.db2.gz OYUOQYJIWHJQIL-JTQLQIEISA-N -1 1 311.769 1.356 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)N1CC[C@](C)(O)C1 ZINC000832916070 601182041 /nfs/dbraw/zinc/18/20/41/601182041.db2.gz KVFPROHLJSFUSL-ZBEGNZNMSA-N -1 1 306.362 1.477 20 0 DDADMM Cc1cc(OCC(=O)[O-])ccc1NC(=O)CCc1nc[nH]n1 ZINC000832902488 601448921 /nfs/dbraw/zinc/44/89/21/601448921.db2.gz YLAVKXCXGUQINQ-UHFFFAOYSA-N -1 1 304.306 1.148 20 0 DDADMM COC[C@@H]1CCCN1CC(=O)N(C)c1ccccc1C(=O)[O-] ZINC000831666831 601452052 /nfs/dbraw/zinc/45/20/52/601452052.db2.gz VSMFKPWQAKTMMC-LBPRGKRZSA-N -1 1 306.362 1.458 20 0 DDADMM Cc1cc(CC(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)[O-])[nH]n1 ZINC000832884218 601680312 /nfs/dbraw/zinc/68/03/12/601680312.db2.gz WIZUNSFMOCBRSS-GFCCVEGCSA-N -1 1 319.336 1.459 20 0 DDADMM O=C([O-])CSCCNC(=O)c1cn[nH]c1-c1ccccc1F ZINC000833260984 601680589 /nfs/dbraw/zinc/68/05/89/601680589.db2.gz CMIGXWHYVQBFTB-UHFFFAOYSA-N -1 1 323.349 1.763 20 0 DDADMM CCN(CC(=O)[O-])Cc1nc2cc(OC)c(OC)cc2c(=O)[nH]1 ZINC000739404600 601754324 /nfs/dbraw/zinc/75/43/24/601754324.db2.gz VYZARSFAPYIJEG-UHFFFAOYSA-N -1 1 321.333 1.259 20 0 DDADMM C[C@@H]1C(=O)N(CN2CC[C@](C)(C(=O)[O-])C2)[C@H]1c1ccccc1 ZINC000828142608 601891993 /nfs/dbraw/zinc/89/19/93/601891993.db2.gz ITDFSUXYVQZJRP-QEORTHHSSA-N -1 1 302.374 1.960 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C1 ZINC000316282018 601909920 /nfs/dbraw/zinc/90/99/20/601909920.db2.gz SMNFQXLCOKUZBN-HNNXBMFYSA-N -1 1 321.764 1.947 20 0 DDADMM O=C([O-])NCC1CCN(Cn2nccc2-c2cccnc2)CC1 ZINC000740645419 601911559 /nfs/dbraw/zinc/91/15/59/601911559.db2.gz GKGZGXAYRWXNDU-UHFFFAOYSA-N -1 1 315.377 1.882 20 0 DDADMM C[C@@H](c1ccccc1)N(C)C(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000739060341 601955471 /nfs/dbraw/zinc/95/54/71/601955471.db2.gz SMTQXCGSRAYAHI-KBPBESRZSA-N -1 1 319.405 1.796 20 0 DDADMM O=C([O-])CCN(C[C@H]1CCCO1)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000833232656 602019834 /nfs/dbraw/zinc/01/98/34/602019834.db2.gz FMUVCYXQNKCQDB-VXGBXAGGSA-N -1 1 321.377 1.312 20 0 DDADMM C[C@@H](C(=O)N(C)c1ccccc1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738601918 602042992 /nfs/dbraw/zinc/04/29/92/602042992.db2.gz LYBBTUNIQLTCKG-QWHCGFSZSA-N -1 1 305.378 1.627 20 0 DDADMM CN1CCO[C@@H]2CN(c3nc(Cl)c(C(=O)[O-])s3)C[C@@H]21 ZINC000830965500 602137765 /nfs/dbraw/zinc/13/77/65/602137765.db2.gz RMVAUNOANMEBJN-NKWVEPMBSA-N -1 1 303.771 1.014 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@@H](C)CC(=O)[O-])N2CCCC2)o1 ZINC000832920714 602140324 /nfs/dbraw/zinc/14/03/24/602140324.db2.gz DMXLVBJNZIGCNA-WCQYABFASA-N -1 1 308.378 1.952 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CC[C@@H](CNC(=O)[O-])C1)c1ccccc1 ZINC000739384044 602320304 /nfs/dbraw/zinc/32/03/04/602320304.db2.gz BLAHKOUZGCULLK-DZGCQCFKSA-N -1 1 319.405 1.796 20 0 DDADMM CC1CCN(CC(=O)Nc2ccc(OCC(=O)[O-])cc2)CC1 ZINC000828289481 602329127 /nfs/dbraw/zinc/32/91/27/602329127.db2.gz GHVBHIRHCGUNRM-UHFFFAOYSA-N -1 1 306.362 1.820 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[N@H+](Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000740628846 602379036 /nfs/dbraw/zinc/37/90/36/602379036.db2.gz DVMMTLSDAPOEDE-VIFPVBQESA-N -1 1 322.390 1.877 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[N@@H+](Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000740628846 602379037 /nfs/dbraw/zinc/37/90/37/602379037.db2.gz DVMMTLSDAPOEDE-VIFPVBQESA-N -1 1 322.390 1.877 20 0 DDADMM O=C([O-])N1CCC(CN(C2CC2)[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000740497574 602431173 /nfs/dbraw/zinc/43/11/73/602431173.db2.gz MZUFESKBEUCMMA-CYBMUJFWSA-N -1 1 316.423 1.028 20 0 DDADMM O=C([O-])N1CCCC[C@@H]1CNC(=O)N1CCN2CCCC[C@@H]2C1 ZINC000740416433 602625630 /nfs/dbraw/zinc/62/56/30/602625630.db2.gz UPVWFBHLTLRBJD-ZIAGYGMSSA-N -1 1 324.425 1.399 20 0 DDADMM CCN(C1CC1)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825395601 607583439 /nfs/dbraw/zinc/58/34/39/607583439.db2.gz SHMHYASPIYIPIU-UHFFFAOYSA-N -1 1 311.342 1.179 20 0 DDADMM CCN(C[C@H]1CCCN(C(=O)[O-])C1)[C@H](C)CS(=O)(=O)CC ZINC000739415221 602840566 /nfs/dbraw/zinc/84/05/66/602840566.db2.gz UQBJNXZICWPJHZ-CHWSQXEVSA-N -1 1 320.455 1.522 20 0 DDADMM Cc1cc(CN2CCN(C[C@H]3CCN(C(=O)[O-])C3)CC2)on1 ZINC000740072108 602978038 /nfs/dbraw/zinc/97/80/38/602978038.db2.gz CCKFDMCGHGFYLG-CYBMUJFWSA-N -1 1 308.382 1.101 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCC[C@@H]2C(=O)NCc2ccco2)C1 ZINC000740452588 602978104 /nfs/dbraw/zinc/97/81/04/602978104.db2.gz YBDTVUJSQRFOBO-GXTWGEPZSA-N -1 1 321.377 1.360 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCC[C@H]2C(=O)NCc2ccco2)C1 ZINC000740452591 602978244 /nfs/dbraw/zinc/97/82/44/602978244.db2.gz YBDTVUJSQRFOBO-OCCSQVGLSA-N -1 1 321.377 1.360 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@H]1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000740573387 602983752 /nfs/dbraw/zinc/98/37/52/602983752.db2.gz SIHYAGBXDDELEH-OLZOCXBDSA-N -1 1 315.333 1.642 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000739229847 603033103 /nfs/dbraw/zinc/03/31/03/603033103.db2.gz FPSGRNBSPFKIIK-MFKMUULPSA-N -1 1 317.349 1.888 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000832563538 603111395 /nfs/dbraw/zinc/11/13/95/603111395.db2.gz ZBYNGCABQVFAEX-LBPRGKRZSA-N -1 1 314.345 1.949 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(NC(=O)[O-])cc1)N1CCN(C)CC1 ZINC000736605929 603117439 /nfs/dbraw/zinc/11/74/39/603117439.db2.gz VDIJKXUFBRFMBR-LBPRGKRZSA-N -1 1 320.393 1.142 20 0 DDADMM C[C@@H](C(=O)NC1CCN(C(=O)[O-])CC1)N(C)Cc1ccccc1 ZINC000738623631 603117978 /nfs/dbraw/zinc/11/79/78/603117978.db2.gz IIVCYLBESJWJRW-ZDUSSCGKSA-N -1 1 319.405 1.766 20 0 DDADMM O=C([O-])NC1CCN(C[C@H]2CN(c3ccccc3)C(=O)O2)CC1 ZINC000740592905 603152499 /nfs/dbraw/zinc/15/24/99/603152499.db2.gz CAUZEBWHCMYKKF-AWEZNQCLSA-N -1 1 319.361 1.744 20 0 DDADMM CN(C(=O)c1csc(CNC(=O)[O-])n1)[C@@H]1CCCN(C)C1 ZINC000739730131 603224957 /nfs/dbraw/zinc/22/49/57/603224957.db2.gz QFDIAZOGCNVFCH-SECBINFHSA-N -1 1 312.395 1.077 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccco3)[nH]n2)CC[C@H]1NC(=O)[O-] ZINC000739163670 603371240 /nfs/dbraw/zinc/37/12/40/603371240.db2.gz GMVNUUWZRQNUSF-NXEZZACHSA-N -1 1 318.333 1.788 20 0 DDADMM CCN(CC(=O)NC[C@H](c1cccc(OC)c1)N(C)C)C(=O)[O-] ZINC000739393898 603421733 /nfs/dbraw/zinc/42/17/33/603421733.db2.gz SQKUJWLYRXMZFJ-CQSZACIVSA-N -1 1 323.393 1.414 20 0 DDADMM CC(C)N1CC[C@H](N2CCC([C@H]3CCCN3C(=O)[O-])CC2)C1=O ZINC000824356868 603537283 /nfs/dbraw/zinc/53/72/83/603537283.db2.gz KFTHTSLMUHJIIA-CABCVRRESA-N -1 1 323.437 1.850 20 0 DDADMM CC(C)(CNCc1ccc(NC(=O)[O-])nc1)S(C)(=O)=O ZINC000824005907 603558447 /nfs/dbraw/zinc/55/84/47/603558447.db2.gz NSIHGEUEYDUUGH-UHFFFAOYSA-N -1 1 301.368 1.084 20 0 DDADMM O=C([O-])NC1(CC(=O)N2CCC(c3nc[nH]n3)CC2)CCC1 ZINC000832194249 603560808 /nfs/dbraw/zinc/56/08/08/603560808.db2.gz PBOAOJLTBDGKHU-UHFFFAOYSA-N -1 1 307.354 1.091 20 0 DDADMM Cc1csc(=O)n1CCN1CCC[C@H](C)[C@@H]1CNC(=O)[O-] ZINC000830655408 603716871 /nfs/dbraw/zinc/71/68/71/603716871.db2.gz PWBSAGFBHNVYMT-JQWIXIFHSA-N -1 1 313.423 1.586 20 0 DDADMM Cc1nnc(SCC(=O)N[C@@](C)(CNC(=O)[O-])C(C)C)[nH]1 ZINC000830679989 603797690 /nfs/dbraw/zinc/79/76/90/603797690.db2.gz YNMRIEXTJSVPPW-LBPRGKRZSA-N -1 1 315.399 1.004 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@@](C)(CNC(=O)[O-])C(C)C)n1 ZINC000830679989 603797695 /nfs/dbraw/zinc/79/76/95/603797695.db2.gz YNMRIEXTJSVPPW-LBPRGKRZSA-N -1 1 315.399 1.004 20 0 DDADMM Cc1n[nH]c(CCNC(=O)CC2C[C@H]3CC[C@@H](C2)N3C(=O)[O-])n1 ZINC000830741816 603884386 /nfs/dbraw/zinc/88/43/86/603884386.db2.gz RFGWWTFMTRISKI-YOGCLGLASA-N -1 1 321.381 1.083 20 0 DDADMM CC1(C)CN(C(=O)[O-])CCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000825725160 603946349 /nfs/dbraw/zinc/94/63/49/603946349.db2.gz TYLCLWGYUMYGFT-UHFFFAOYSA-N -1 1 303.322 1.172 20 0 DDADMM O=C([O-])N1CCC[C@@H](CCNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000831659082 603948818 /nfs/dbraw/zinc/94/88/18/603948818.db2.gz COOCOAFUZYSSBC-NWDGAFQWSA-N -1 1 320.393 1.726 20 0 DDADMM CN(C(=O)[C@H]1CCCc2[nH]ncc21)C1CCC(NC(=O)[O-])CC1 ZINC000827744890 603954461 /nfs/dbraw/zinc/95/44/61/603954461.db2.gz CXJWSNMGRJSMGY-MCIGGMRASA-N -1 1 320.393 1.867 20 0 DDADMM CN(C(=O)[C@@H]1CCCc2[nH]ncc21)C1CCN(C(=O)[O-])CC1 ZINC000827747120 603954544 /nfs/dbraw/zinc/95/45/44/603954544.db2.gz XAMUBZBOLBKOMB-LLVKDONJSA-N -1 1 306.366 1.430 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1CNc1ncnc2[nH]cnc21 ZINC000826125415 604018893 /nfs/dbraw/zinc/01/88/93/604018893.db2.gz HQKJUAZVWNNDHI-YUMQZZPRSA-N -1 1 306.326 1.220 20 0 DDADMM CN(CCNC(=O)[C@@H]1CCCN1C(=O)[O-])Cc1ccc(F)cc1 ZINC000828321565 604066970 /nfs/dbraw/zinc/06/69/70/604066970.db2.gz UHIMPIPTLWXLQQ-AWEZNQCLSA-N -1 1 323.368 1.516 20 0 DDADMM C[C@@H]1CCC[C@H](C)N1C(=O)CN1CCC[C@@H]1CN(C)C(=O)[O-] ZINC000825887253 604090951 /nfs/dbraw/zinc/09/09/51/604090951.db2.gz KKTOAPRHSDATSC-HZSPNIEDSA-N -1 1 311.426 1.850 20 0 DDADMM COc1ccc(NC(=O)NCc2c[nH]nn2)cc1NC(=O)[O-] ZINC000829469190 604135059 /nfs/dbraw/zinc/13/50/59/604135059.db2.gz GQABRQGHYHMZDO-UHFFFAOYSA-N -1 1 306.282 1.225 20 0 DDADMM COC(=O)c1ccc(CN2CCC[C@H]([C@H](C)NC(=O)[O-])C2)o1 ZINC000828772048 604218130 /nfs/dbraw/zinc/21/81/30/604218130.db2.gz JYTRHCWFNJOSBP-QWRGUYRKSA-N -1 1 310.350 1.934 20 0 DDADMM C[C@H]1CN=C(N2CCN(C[C@@H]3CCN(C(=O)[O-])C3)CC2)S1 ZINC000826087503 604227963 /nfs/dbraw/zinc/22/79/63/604227963.db2.gz OGHFKRHAWFGOKG-RYUDHWBXSA-N -1 1 312.439 1.095 20 0 DDADMM C[C@@H](C(=O)NC1CC1)N1CCC(N(CC2CC2)C(=O)[O-])CC1 ZINC000823685091 604229672 /nfs/dbraw/zinc/22/96/72/604229672.db2.gz IYPDKRDNTJZUIN-NSHDSACASA-N -1 1 309.410 1.508 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(Cc2cnc3ccnn3c2)C1 ZINC000825272612 604251718 /nfs/dbraw/zinc/25/17/18/604251718.db2.gz MNIPPDHVPRHJRL-DGCLKSJQSA-N -1 1 303.366 1.597 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2ccc(C(=O)[O-])c(F)c2)n[nH]1 ZINC000832883664 604344243 /nfs/dbraw/zinc/34/42/43/604344243.db2.gz OJTAJQXCFLBBNX-MRVPVSSYSA-N -1 1 305.309 1.916 20 0 DDADMM Cn1cc(NC(=O)N[C@@H]2CCCc3cn[nH]c32)cc1C(=O)[O-] ZINC000833051547 604356138 /nfs/dbraw/zinc/35/61/38/604356138.db2.gz PUDNPENNZLVHRR-SNVBAGLBSA-N -1 1 303.322 1.646 20 0 DDADMM Cc1nc2ccc(NC(=O)C[C@@H]3CN(C(=O)[O-])CCO3)cc2[nH]1 ZINC000830785493 604635070 /nfs/dbraw/zinc/63/50/70/604635070.db2.gz NQDZTWSQUPGPTG-LLVKDONJSA-N -1 1 318.333 1.579 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)C(C)(C)CNC(=O)[O-])C2)n[nH]1 ZINC000830735211 604643948 /nfs/dbraw/zinc/64/39/48/604643948.db2.gz PSDORNWBTRSQPS-SNVBAGLBSA-N -1 1 309.370 1.113 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@H]3CCCCN3C(=O)[O-])C2)n[nH]1 ZINC000830735042 604644580 /nfs/dbraw/zinc/64/45/80/604644580.db2.gz PEDYMOXCRLZACX-NWDGAFQWSA-N -1 1 321.381 1.352 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@H]3CCCN(C(=O)[O-])C3)C2)n[nH]1 ZINC000830735608 604645921 /nfs/dbraw/zinc/64/59/21/604645921.db2.gz SGPXULJGMKTYOS-RYUDHWBXSA-N -1 1 321.381 1.209 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@H]3CC[C@H](NC(=O)[O-])C3)C2)n[nH]1 ZINC000830732865 604646166 /nfs/dbraw/zinc/64/61/66/604646166.db2.gz DWVZSGWRJYAQCK-TUAOUCFPSA-N -1 1 321.381 1.255 20 0 DDADMM CC(C)(C)c1n[nH]c(SCCc2cn(CC(=O)[O-])nn2)n1 ZINC000826528142 604785245 /nfs/dbraw/zinc/78/52/45/604785245.db2.gz KUKAHCAVTDEDCU-UHFFFAOYSA-N -1 1 310.383 1.113 20 0 DDADMM CC(C)(C)c1nc(SCCc2cn(CC(=O)[O-])nn2)n[nH]1 ZINC000826528142 604785251 /nfs/dbraw/zinc/78/52/51/604785251.db2.gz KUKAHCAVTDEDCU-UHFFFAOYSA-N -1 1 310.383 1.113 20 0 DDADMM CC(C)CCc1noc(CNC2(CC(=O)[O-])CCOCC2)n1 ZINC000826865086 604791910 /nfs/dbraw/zinc/79/19/10/604791910.db2.gz FYMDEDRNIWUOJV-UHFFFAOYSA-N -1 1 311.382 1.772 20 0 DDADMM COCCN1CCCN(c2nc(Cl)c(C(=O)[O-])s2)CC1 ZINC000833725046 604824379 /nfs/dbraw/zinc/82/43/79/604824379.db2.gz YIFXYXIBAQHCSN-UHFFFAOYSA-N -1 1 319.814 1.653 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1CC(=O)Nc1ccsc1C(=O)[O-] ZINC000833586299 604851896 /nfs/dbraw/zinc/85/18/96/604851896.db2.gz FAXMROHFAJOVOY-VHSXEESVSA-N -1 1 311.407 1.409 20 0 DDADMM CN(CC(=O)NCc1ccc(NC(=O)[O-])cc1)[C@H]1CCSC1 ZINC000833691352 604925687 /nfs/dbraw/zinc/92/56/87/604925687.db2.gz BXNGJRHHKNGHGI-ZDUSSCGKSA-N -1 1 323.418 1.830 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)c1ccc(C(=O)[O-])c(F)c1 ZINC000832848489 604966020 /nfs/dbraw/zinc/96/60/20/604966020.db2.gz FVPQLFRRMSHQCH-UHFFFAOYSA-N -1 1 305.309 1.918 20 0 DDADMM CC(C)N(C(=O)[C@@H](C)N1CCC([C@@H](O)C(=O)[O-])CC1)C(C)C ZINC000833402405 604977683 /nfs/dbraw/zinc/97/76/83/604977683.db2.gz LLSXMFFQBHRQDY-TZMCWYRMSA-N -1 1 314.426 1.178 20 0 DDADMM CCc1nc([C@H](C)NC(=O)Nc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000833674522 605019233 /nfs/dbraw/zinc/01/92/33/605019233.db2.gz SNTPHPFOJHQDPF-QMMMGPOBSA-N -1 1 303.322 1.948 20 0 DDADMM CCc1nc([C@H](C)NC(=O)Cc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000833673386 605023383 /nfs/dbraw/zinc/02/33/83/605023383.db2.gz KKEOGQYUNHCUMM-VIFPVBQESA-N -1 1 302.334 1.485 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1COc2ccccc21 ZINC000833624342 605100709 /nfs/dbraw/zinc/10/07/09/605100709.db2.gz ULGQSUTZFWFAOK-TZMCWYRMSA-N -1 1 318.373 1.170 20 0 DDADMM CCN1C[C@H](C)N(C(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C[C@@H]1C ZINC000833655890 605133792 /nfs/dbraw/zinc/13/37/92/605133792.db2.gz HCSMQXCWUWHSNR-UWVGGRQHSA-N -1 1 307.394 1.884 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](NC(=O)[O-])C3)cnc2n1 ZINC000740157225 605157917 /nfs/dbraw/zinc/15/79/17/605157917.db2.gz XPAQIVVOZIZHGW-VIFPVBQESA-N -1 1 316.317 1.126 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC[C@H](NC(=O)[O-])C1)c2=O ZINC000740157225 605157923 /nfs/dbraw/zinc/15/79/23/605157923.db2.gz XPAQIVVOZIZHGW-VIFPVBQESA-N -1 1 316.317 1.126 20 0 DDADMM O=C([O-])N1CCC(CNC(=O)N[C@@H]2CCCc3cn[nH]c32)CC1 ZINC000831653192 605159701 /nfs/dbraw/zinc/15/97/01/605159701.db2.gz KKSYDZZVVQJIPL-GFCCVEGCSA-N -1 1 321.381 1.476 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2[nH]ncc21 ZINC000833624398 605206545 /nfs/dbraw/zinc/20/65/45/605206545.db2.gz HZUYFUWVCGZZKS-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM O=C([O-])N1CC(OCCC(=O)Nc2ncc(C(F)(F)F)[nH]2)C1 ZINC000833994276 605334221 /nfs/dbraw/zinc/33/42/21/605334221.db2.gz OYBZHBMHLSTINJ-UHFFFAOYSA-N -1 1 322.243 1.136 20 0 DDADMM CC(C)C(=O)NCCN[C@@H](C(=O)[O-])c1c(F)cccc1Cl ZINC000833467323 605355339 /nfs/dbraw/zinc/35/53/39/605355339.db2.gz OFKNOKZSFXBGBU-GFCCVEGCSA-N -1 1 316.760 1.967 20 0 DDADMM O=C([O-])N1CCC(OC(=O)Cc2[nH]nc3ccccc32)CC1 ZINC000834012250 605415610 /nfs/dbraw/zinc/41/56/10/605415610.db2.gz JNTOZUCGMRUIHM-UHFFFAOYSA-N -1 1 303.318 1.791 20 0 DDADMM O=C([O-])N1CCSC[C@H]1CC(=O)Nc1ccc2nc[nH]c2c1 ZINC000831418912 605429680 /nfs/dbraw/zinc/42/96/80/605429680.db2.gz QAMPOTAKKJRIPT-SNVBAGLBSA-N -1 1 320.374 1.987 20 0 DDADMM O=C([O-])NCCN1CCC[C@@H]1c1nnc(-c2ccccc2)[nH]1 ZINC000834239507 605432766 /nfs/dbraw/zinc/43/27/66/605432766.db2.gz LXSXMSSCTNHNTK-GFCCVEGCSA-N -1 1 301.350 1.876 20 0 DDADMM O=C([O-])N1CC[C@H](N2CCN(C[C@H](O)c3ccccc3)CC2)C1 ZINC000834085849 605590574 /nfs/dbraw/zinc/59/05/74/605590574.db2.gz WFYVFMQAMSXSQN-HOTGVXAUSA-N -1 1 319.405 1.090 20 0 DDADMM Cn1cc([C@H](N[C@@H]2CCN(C(=O)[O-])C2)c2cccc(F)c2)cn1 ZINC000833946941 605612323 /nfs/dbraw/zinc/61/23/23/605612323.db2.gz OVCBRZLACQMAFM-HUUCEWRRSA-N -1 1 318.352 1.991 20 0 DDADMM O=C([O-])Nc1ccccc1C(=O)N1CCN(CC2CC2)CC1 ZINC000740045183 605685391 /nfs/dbraw/zinc/68/53/91/605685391.db2.gz DPVPYJJFAHNOLH-UHFFFAOYSA-N -1 1 303.362 1.944 20 0 DDADMM C[C@H](O)[C@H]1CCN(CC(=O)NCc2ccc(NC(=O)[O-])cc2)C1 ZINC000833531271 605699465 /nfs/dbraw/zinc/69/94/65/605699465.db2.gz ZGEOKEKDEVBVMW-AAEUAGOBSA-N -1 1 321.377 1.095 20 0 DDADMM O=C([O-])N1CC(CN[C@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000834015436 605732276 /nfs/dbraw/zinc/73/22/76/605732276.db2.gz IRCHBOKLQWKFNE-AWEZNQCLSA-N -1 1 321.352 1.345 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2c[nH]nc21 ZINC000834094254 605986514 /nfs/dbraw/zinc/98/65/14/605986514.db2.gz GSVJCGDOVZCALJ-NEPJUHHUSA-N -1 1 314.345 1.920 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCc3ccccc32)n1 ZINC000822610005 606165463 /nfs/dbraw/zinc/16/54/63/606165463.db2.gz YVOGSMLZAQNQCZ-UHFFFAOYSA-N -1 1 310.321 1.195 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCc3ccccc32)n1 ZINC000822610005 606165465 /nfs/dbraw/zinc/16/54/65/606165465.db2.gz YVOGSMLZAQNQCZ-UHFFFAOYSA-N -1 1 310.321 1.195 20 0 DDADMM CN1c2ccccc2C(=O)N[C@H]1c1ccc(-c2nn[n-]n2)s1 ZINC000821629793 606394048 /nfs/dbraw/zinc/39/40/48/606394048.db2.gz BVQXCVWYXORDEF-CYBMUJFWSA-N -1 1 312.358 1.807 20 0 DDADMM Fc1cc(Cn2cnc(-c3nn[n-]n3)n2)ccc1Br ZINC000822748275 606399743 /nfs/dbraw/zinc/39/97/43/606399743.db2.gz QRVXPOORGKEDNC-UHFFFAOYSA-N -1 1 324.117 1.408 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCO[C@@H]2CCCC[C@@H]21 ZINC000823483631 606514200 /nfs/dbraw/zinc/51/42/00/606514200.db2.gz KHFXPLOCKXCPGW-VHSXEESVSA-N -1 1 319.390 1.712 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCO[C@@H]2CCCC[C@@H]21 ZINC000823483631 606514201 /nfs/dbraw/zinc/51/42/01/606514201.db2.gz KHFXPLOCKXCPGW-VHSXEESVSA-N -1 1 319.390 1.712 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)C(F)F)c1ccc(-c2nn[nH]n2)cc1 ZINC000820315535 606523043 /nfs/dbraw/zinc/52/30/43/606523043.db2.gz OEGFBQACPQJMHM-LURJTMIESA-N -1 1 317.246 1.944 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nnn[n-]1)c1cccc(OC(F)F)c1 ZINC000823289163 606531628 /nfs/dbraw/zinc/53/16/28/606531628.db2.gz DRCUQEOKWOIZAW-UHFFFAOYSA-N -1 1 321.247 1.444 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nn[n-]n1)c1cccc(OC(F)F)c1 ZINC000823289163 606531629 /nfs/dbraw/zinc/53/16/29/606531629.db2.gz DRCUQEOKWOIZAW-UHFFFAOYSA-N -1 1 321.247 1.444 20 0 DDADMM COc1ccc(N(C)C(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000821828287 606536302 /nfs/dbraw/zinc/53/63/02/606536302.db2.gz RSMZWMKUGIBKFM-UHFFFAOYSA-N -1 1 316.346 1.608 20 0 DDADMM COc1ccc(N(C)C(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000821828287 606536304 /nfs/dbraw/zinc/53/63/04/606536304.db2.gz RSMZWMKUGIBKFM-UHFFFAOYSA-N -1 1 316.346 1.608 20 0 DDADMM COCCC1(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCC1 ZINC000821686330 606762462 /nfs/dbraw/zinc/76/24/62/606762462.db2.gz LBDVXFARHAOEMG-UHFFFAOYSA-N -1 1 317.349 1.512 20 0 DDADMM COCCC1(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCC1 ZINC000821686330 606762463 /nfs/dbraw/zinc/76/24/63/606762463.db2.gz LBDVXFARHAOEMG-UHFFFAOYSA-N -1 1 317.349 1.512 20 0 DDADMM CC[C@@H]1CC[C@@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)O1 ZINC000820971322 606840219 /nfs/dbraw/zinc/84/02/19/606840219.db2.gz BTGMCSYEDRBVIZ-PWSUYJOCSA-N -1 1 303.322 1.263 20 0 DDADMM CC[C@@H]1CC[C@@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)O1 ZINC000820971322 606840220 /nfs/dbraw/zinc/84/02/20/606840220.db2.gz BTGMCSYEDRBVIZ-PWSUYJOCSA-N -1 1 303.322 1.263 20 0 DDADMM O=C(NCCc1ccncc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823224015 606937607 /nfs/dbraw/zinc/93/76/07/606937607.db2.gz PJSLUWHWEAHDTG-UHFFFAOYSA-N -1 1 300.347 1.296 20 0 DDADMM O=C(NCCc1ccncc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823224015 606937610 /nfs/dbraw/zinc/93/76/10/606937610.db2.gz PJSLUWHWEAHDTG-UHFFFAOYSA-N -1 1 300.347 1.296 20 0 DDADMM CC(C)CSCCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824274990 607914912 /nfs/dbraw/zinc/91/49/12/607914912.db2.gz PLBZPCZGPNLCGZ-UHFFFAOYSA-N -1 1 306.395 1.381 20 0 DDADMM CC(C)CSCCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824274990 607914913 /nfs/dbraw/zinc/91/49/13/607914913.db2.gz PLBZPCZGPNLCGZ-UHFFFAOYSA-N -1 1 306.395 1.381 20 0 DDADMM Clc1nsc(NCc2noc(C3CC3)n2)c1-c1nnn[n-]1 ZINC000826354707 608124340 /nfs/dbraw/zinc/12/43/40/608124340.db2.gz RDFWEZJAINEPOU-UHFFFAOYSA-N -1 1 324.757 1.849 20 0 DDADMM Clc1nsc(NCc2noc(C3CC3)n2)c1-c1nn[n-]n1 ZINC000826354707 608124341 /nfs/dbraw/zinc/12/43/41/608124341.db2.gz RDFWEZJAINEPOU-UHFFFAOYSA-N -1 1 324.757 1.849 20 0 DDADMM CC[C@H](O)CCCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000825155034 608185685 /nfs/dbraw/zinc/18/56/85/608185685.db2.gz XNWPTRDUEGGYEM-LURJTMIESA-N -1 1 302.791 1.940 20 0 DDADMM CC[C@H](O)CCCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000825155034 608185686 /nfs/dbraw/zinc/18/56/86/608185686.db2.gz XNWPTRDUEGGYEM-LURJTMIESA-N -1 1 302.791 1.940 20 0 DDADMM CC[C@@](C)(CCO)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000825043067 608189860 /nfs/dbraw/zinc/18/98/60/608189860.db2.gz DDIDFZYSVNGKCL-JTQLQIEISA-N -1 1 302.791 1.940 20 0 DDADMM CC[C@@](C)(CCO)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000825043067 608189862 /nfs/dbraw/zinc/18/98/62/608189862.db2.gz DDIDFZYSVNGKCL-JTQLQIEISA-N -1 1 302.791 1.940 20 0 DDADMM Cc1nnc([C@@H](C)Nc2snc(Cl)c2-c2nnn[n-]2)o1 ZINC000826335625 608191178 /nfs/dbraw/zinc/19/11/78/608191178.db2.gz VGPKUQYXUORMBA-GSVOUGTGSA-N -1 1 312.746 1.841 20 0 DDADMM Cc1nnc([C@@H](C)Nc2snc(Cl)c2-c2nn[n-]n2)o1 ZINC000826335625 608191180 /nfs/dbraw/zinc/19/11/80/608191180.db2.gz VGPKUQYXUORMBA-GSVOUGTGSA-N -1 1 312.746 1.841 20 0 DDADMM O=C(Oc1ccc2cncnc2c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826468221 608273755 /nfs/dbraw/zinc/27/37/55/608273755.db2.gz GSQAQKITDNODTR-UHFFFAOYSA-N -1 1 319.284 1.424 20 0 DDADMM O=C(Oc1ccc2cncnc2c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826468221 608273757 /nfs/dbraw/zinc/27/37/57/608273757.db2.gz GSQAQKITDNODTR-UHFFFAOYSA-N -1 1 319.284 1.424 20 0 DDADMM CC(C)(C)c1cnc(CNc2ccc(-c3nnn[n-]3)nn2)o1 ZINC000824136641 608426920 /nfs/dbraw/zinc/42/69/20/608426920.db2.gz SSCNLCBQEARAFV-UHFFFAOYSA-N -1 1 300.326 1.554 20 0 DDADMM CC(C)(C)c1cnc(CNc2ccc(-c3nn[n-]n3)nn2)o1 ZINC000824136641 608426921 /nfs/dbraw/zinc/42/69/21/608426921.db2.gz SSCNLCBQEARAFV-UHFFFAOYSA-N -1 1 300.326 1.554 20 0 DDADMM NC(=O)[C@H]1c2ccccc2CCN1Cc1ccc(-c2nn[n-]n2)o1 ZINC000826390954 608655756 /nfs/dbraw/zinc/65/57/56/608655756.db2.gz RXXGLBTZYOTEQW-CQSZACIVSA-N -1 1 324.344 1.044 20 0 DDADMM NC(=O)[C@H]1c2ccccc2CCN1Cc1ccc(-c2nnn[n-]2)o1 ZINC000826390954 608655755 /nfs/dbraw/zinc/65/57/55/608655755.db2.gz RXXGLBTZYOTEQW-CQSZACIVSA-N -1 1 324.344 1.044 20 0 DDADMM CC(C)c1ccccc1NC(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000824352340 608891675 /nfs/dbraw/zinc/89/16/75/608891675.db2.gz AERNIPVBBKWUBU-UHFFFAOYSA-N -1 1 311.349 1.825 20 0 DDADMM CC(C)c1ccccc1NC(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000824352340 608891676 /nfs/dbraw/zinc/89/16/76/608891676.db2.gz AERNIPVBBKWUBU-UHFFFAOYSA-N -1 1 311.349 1.825 20 0 DDADMM CCCCCN(CC(N)=O)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825271450 609170659 /nfs/dbraw/zinc/17/06/59/609170659.db2.gz JXASQXYHAKLKNE-UHFFFAOYSA-N -1 1 322.394 1.046 20 0 DDADMM CCCCCN(CC(N)=O)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825271450 609170661 /nfs/dbraw/zinc/17/06/61/609170661.db2.gz JXASQXYHAKLKNE-UHFFFAOYSA-N -1 1 322.394 1.046 20 0 DDADMM c1cc(N2CCC[C@H]2C2CCOCC2)nnc1-c1nnn[n-]1 ZINC000826517332 609170682 /nfs/dbraw/zinc/17/06/82/609170682.db2.gz YUNFGLZPLPQMHE-LBPRGKRZSA-N -1 1 301.354 1.052 20 0 DDADMM c1cc(N2CCC[C@H]2C2CCOCC2)nnc1-c1nn[n-]n1 ZINC000826517332 609170683 /nfs/dbraw/zinc/17/06/83/609170683.db2.gz YUNFGLZPLPQMHE-LBPRGKRZSA-N -1 1 301.354 1.052 20 0 DDADMM COc1ccc2c(c1)CC[C@@H](Nc1nccnc1-c1nnn[n-]1)C2 ZINC000826212560 609590344 /nfs/dbraw/zinc/59/03/44/609590344.db2.gz UOLWPGHEUPTQOR-GFCCVEGCSA-N -1 1 323.360 1.635 20 0 DDADMM COc1ccc2c(c1)CC[C@@H](Nc1nccnc1-c1nn[n-]n1)C2 ZINC000826212560 609590346 /nfs/dbraw/zinc/59/03/46/609590346.db2.gz UOLWPGHEUPTQOR-GFCCVEGCSA-N -1 1 323.360 1.635 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCN(C)CC(F)(F)F)[n-]1 ZINC000796906249 699984535 /nfs/dbraw/zinc/98/45/35/699984535.db2.gz VVAFHSPZNQTIRM-UHFFFAOYSA-N -1 1 308.256 1.452 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)c1ccccc1 ZINC000972907634 695359960 /nfs/dbraw/zinc/35/99/60/695359960.db2.gz HHLOIEBRUHZNAA-JOCQHMNTSA-N -1 1 311.341 1.478 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1ncccc1[O-] ZINC000976568705 695986857 /nfs/dbraw/zinc/98/68/57/695986857.db2.gz KBZFPYOZHITIID-VXGBXAGGSA-N -1 1 319.405 1.991 20 0 DDADMM CCCc1cc(C(=O)[N-]NC(=O)c2ccc3c(c2)OCO3)n[nH]1 ZINC000029604344 696111851 /nfs/dbraw/zinc/11/18/51/696111851.db2.gz YJEKCFOEKNAGNC-UHFFFAOYSA-N -1 1 316.317 1.166 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(C(N)=O)cc2C)cc1 ZINC000032629858 696124982 /nfs/dbraw/zinc/12/49/82/696124982.db2.gz NSXUXFDPTQGGAS-UHFFFAOYSA-N -1 1 320.370 1.903 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccccc1)c1cc(F)ccc1F ZINC000035102080 696128173 /nfs/dbraw/zinc/12/81/73/696128173.db2.gz AYXSVOLFPYRJII-CYBMUJFWSA-N -1 1 313.325 1.977 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C(C)(C)C#N)cc1 ZINC000042131357 696145818 /nfs/dbraw/zinc/14/58/18/696145818.db2.gz JUUAFPCWVFPXDJ-UHFFFAOYSA-N -1 1 304.302 1.045 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977597200 696228527 /nfs/dbraw/zinc/22/85/27/696228527.db2.gz FUALCLNZCWZGPT-OLZOCXBDSA-N -1 1 319.405 1.752 20 0 DDADMM O=C(NC[C@@H]1CCS(=O)(=O)C1)c1c([O-])cccc1Cl ZINC000057306898 696296041 /nfs/dbraw/zinc/29/60/41/696296041.db2.gz RWBHHCDUEZYQGF-QMMMGPOBSA-N -1 1 303.767 1.210 20 0 DDADMM CCO[C@H](CC)C(=O)NCCc1n[n-]c(=S)n1C(C)C ZINC000067074279 696359038 /nfs/dbraw/zinc/35/90/38/696359038.db2.gz FWTBDIHBRVFFIB-SNVBAGLBSA-N -1 1 300.428 1.995 20 0 DDADMM CC(=O)NC[C@H]1C[C@@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000978493429 696394054 /nfs/dbraw/zinc/39/40/54/696394054.db2.gz PMFJUOYOKRKZLI-TXEJJXNPSA-N -1 1 320.393 1.259 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CC12CC2 ZINC000978504640 696397606 /nfs/dbraw/zinc/39/76/06/696397606.db2.gz JZLVXBREORVUJQ-RYUDHWBXSA-N -1 1 315.373 1.260 20 0 DDADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)c1ccoc1 ZINC000978576837 696416003 /nfs/dbraw/zinc/41/60/03/696416003.db2.gz NHAFDKSLHCJASK-KLPPZKSPSA-N -1 1 315.329 1.319 20 0 DDADMM CN(C)c1ccc(CN(C)C(=O)Cc2sc(N)nc2[O-])cc1 ZINC000079366822 696459889 /nfs/dbraw/zinc/45/98/89/696459889.db2.gz RYGFDYOVPUHBDY-LBPRGKRZSA-N -1 1 320.418 1.058 20 0 DDADMM CCCCN(CC(F)(F)F)C(=O)Cc1sc(N)nc1[O-] ZINC000079703775 696462755 /nfs/dbraw/zinc/46/27/55/696462755.db2.gz FMIIAFROZFHMCW-ZETCQYMHSA-N -1 1 311.329 1.524 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H]2C[C@H]2c2cccc(F)c2)s1 ZINC000079779568 696463397 /nfs/dbraw/zinc/46/33/97/696463397.db2.gz FNYBHSBDXBLBDI-HBNTYKKESA-N -1 1 307.350 1.145 20 0 DDADMM Cc1ccccc1C(C)(C)CNC(=O)Cc1sc(N)nc1[O-] ZINC000079892548 696464700 /nfs/dbraw/zinc/46/47/00/696464700.db2.gz XICHTKOAWYGBRR-LBPRGKRZSA-N -1 1 319.430 1.736 20 0 DDADMM Cc1cccc2c1CC[C@@H]2NC(=O)Cc1sc(N)nc1[O-] ZINC000080064039 696529869 /nfs/dbraw/zinc/52/98/69/696529869.db2.gz AQGUVNFIEUXREF-NWDGAFQWSA-N -1 1 303.387 1.445 20 0 DDADMM CN(C(=O)Cc1sc(N)nc1[O-])[C@H]1CCc2ccccc2C1 ZINC000080291701 696532185 /nfs/dbraw/zinc/53/21/85/696532185.db2.gz VKQRIVPOMYKOPL-STQMWFEESA-N -1 1 317.414 1.349 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C(=O)[C@@H]2CC2[N+](=O)[O-])cc1Cl ZINC000080298564 696532621 /nfs/dbraw/zinc/53/26/21/696532621.db2.gz PHXSXABWIRSKCK-PSASIEDQSA-N -1 1 318.738 1.959 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cc(F)c(F)c(F)c2)s1 ZINC000080435543 696534715 /nfs/dbraw/zinc/53/47/15/696534715.db2.gz AMDWTBRABGRZFI-ZETCQYMHSA-N -1 1 303.265 1.389 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)c1cccc(OC)n1 ZINC000084302430 696557195 /nfs/dbraw/zinc/55/71/95/696557195.db2.gz OBHIYDMNTGYXSK-UHFFFAOYSA-N -1 1 307.379 1.337 20 0 DDADMM Cn1c(CCNC(=O)c2scnc2C2CC2)n[n-]c1=S ZINC000089817755 696579737 /nfs/dbraw/zinc/57/97/37/696579737.db2.gz WFXCOXBSOBHGJX-UHFFFAOYSA-N -1 1 309.420 1.784 20 0 DDADMM O=C(C=C1CCC1)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979664485 696621722 /nfs/dbraw/zinc/62/17/22/696621722.db2.gz KIDLUAPRJWWVON-JOCQHMNTSA-N -1 1 315.373 1.522 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N1CCCCC1 ZINC000126411798 696761930 /nfs/dbraw/zinc/76/19/30/696761930.db2.gz AJHUXVNUGCGULD-OLZOCXBDSA-N -1 1 304.456 1.741 20 0 DDADMM CCC[C@@H](C(=O)OCCc1c(C)nc2[n-]cnn2c1=O)C(C)C ZINC000131588066 696807583 /nfs/dbraw/zinc/80/75/83/696807583.db2.gz XEBKVQDOQFKWTI-GFCCVEGCSA-N -1 1 320.393 1.884 20 0 DDADMM O=C(CCNC(=O)c1c([O-])cccc1Cl)N1CCOCC1 ZINC000144700340 696871083 /nfs/dbraw/zinc/87/10/83/696871083.db2.gz CPIIUXSUWKZWGV-UHFFFAOYSA-N -1 1 312.753 1.024 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCN(C[C@@H]3CC[C@H](C)O3)CC2)c1[O-] ZINC000980982152 696969582 /nfs/dbraw/zinc/96/95/82/696969582.db2.gz YRLJKJYRKORJBM-AAEUAGOBSA-N -1 1 322.409 1.139 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCC2CCC2)o1 ZINC000156907399 696970306 /nfs/dbraw/zinc/97/03/06/696970306.db2.gz PRQMOZBXKFDHID-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CCCCS2)c1Cl ZINC000158099023 696986502 /nfs/dbraw/zinc/98/65/02/696986502.db2.gz QADFPCONNHPTFI-QMMMGPOBSA-N -1 1 309.844 1.638 20 0 DDADMM CSCC(=O)N1CCC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000983844859 697306556 /nfs/dbraw/zinc/30/65/56/697306556.db2.gz IKRLWLDSLOYYHB-NSHDSACASA-N -1 1 323.418 1.213 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2c(C)cccc2C)o1 ZINC000162105740 697326486 /nfs/dbraw/zinc/32/64/86/697326486.db2.gz QLUJEJFJZHJRKG-UHFFFAOYSA-N -1 1 322.386 1.735 20 0 DDADMM Cc1nc(-c2ccsc2)ccc1C(=O)NN1CC(=O)[N-]C1=O ZINC000175017639 697394167 /nfs/dbraw/zinc/39/41/67/697394167.db2.gz BFLACZLWIOOSSY-UHFFFAOYSA-N -1 1 316.342 1.315 20 0 DDADMM CON(Cc1ccccc1)C(=O)c1cc([N+](=O)[O-])c[n-]c1=O ZINC000180800149 697452170 /nfs/dbraw/zinc/45/21/70/697452170.db2.gz VZAPIKIQPJNJAY-UHFFFAOYSA-N -1 1 303.274 1.899 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC2(SC)CC2)co1 ZINC000799688076 700158500 /nfs/dbraw/zinc/15/85/00/700158500.db2.gz CUTQZFLGTDWEKO-UHFFFAOYSA-N -1 1 305.377 1.240 20 0 DDADMM [O-]C(=NO[C@@H]1CCCCO1)Nc1ccc(N2CCOCC2)cn1 ZINC000772396456 697627777 /nfs/dbraw/zinc/62/77/77/697627777.db2.gz JXIWOBIGXMXDFX-CQSZACIVSA-N -1 1 322.365 1.498 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1ccc(N2CCOCC2)cn1 ZINC000772396456 697627782 /nfs/dbraw/zinc/62/77/82/697627782.db2.gz JXIWOBIGXMXDFX-CQSZACIVSA-N -1 1 322.365 1.498 20 0 DDADMM CCn1c(=O)[nH]nc1SCc1cc(=O)oc2cc([O-])ccc12 ZINC000192816089 697640891 /nfs/dbraw/zinc/64/08/91/697640891.db2.gz JWNRAEQTNCKYPO-UHFFFAOYSA-N -1 1 319.342 1.696 20 0 DDADMM O=C(CNC(=O)Cc1ccccc1)Nc1nc(Cl)ccc1[O-] ZINC000194579887 697714734 /nfs/dbraw/zinc/71/47/34/697714734.db2.gz WKOBKOAZPYBEDL-UHFFFAOYSA-N -1 1 319.748 1.738 20 0 DDADMM CCCCSCCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773148341 697719254 /nfs/dbraw/zinc/71/92/54/697719254.db2.gz DGEULELCLQNMGX-JTQLQIEISA-N -1 1 315.468 1.740 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c(C)c1 ZINC000773157501 697720411 /nfs/dbraw/zinc/72/04/11/697720411.db2.gz KOUWZJXPBNWYAI-GFCCVEGCSA-N -1 1 303.391 1.747 20 0 DDADMM Cc1cccc(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000773157868 697720574 /nfs/dbraw/zinc/72/05/74/697720574.db2.gz MBRKQPKFICHEOS-GFCCVEGCSA-N -1 1 303.391 1.367 20 0 DDADMM O=C(COc1ccc(F)cc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773159758 697721097 /nfs/dbraw/zinc/72/10/97/697721097.db2.gz MMXNPYARKOJKKT-NSHDSACASA-N -1 1 323.353 1.034 20 0 DDADMM Cn1cc(Cl)cc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773160629 697721343 /nfs/dbraw/zinc/72/13/43/697721343.db2.gz NMWUIEGEEPWOMT-VIFPVBQESA-N -1 1 312.786 1.122 20 0 DDADMM Cc1ccc(C(=O)C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1 ZINC000773159135 697721662 /nfs/dbraw/zinc/72/16/62/697721662.db2.gz RIUQSUVMJHNBNW-NSHDSACASA-N -1 1 317.374 1.008 20 0 DDADMM CCc1cc(=O)[n-]c(SCCCNC(=O)C(F)(F)F)n1 ZINC000195063612 697729543 /nfs/dbraw/zinc/72/95/43/697729543.db2.gz KEUBCKUAZNCDSQ-UHFFFAOYSA-N -1 1 309.313 1.493 20 0 DDADMM Cc1coc(C)c1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986235617 697747707 /nfs/dbraw/zinc/74/77/07/697747707.db2.gz ZCOVANQOTZHYEN-GXSJLCMTSA-N -1 1 319.365 1.113 20 0 DDADMM Cn1c2ccccc2n(CCC(=O)[N-]Oc2ccccc2)c1=O ZINC000773460628 697762859 /nfs/dbraw/zinc/76/28/59/697762859.db2.gz GSDSZOODLWSQOS-UHFFFAOYSA-N -1 1 311.341 1.840 20 0 DDADMM CC(C)(C)[C@@H](O)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000774013461 697833742 /nfs/dbraw/zinc/83/37/42/697833742.db2.gz VEKJSZAEPHNEBS-NSHDSACASA-N -1 1 318.370 1.543 20 0 DDADMM COc1cccc(CC(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000774877873 697937180 /nfs/dbraw/zinc/93/71/80/697937180.db2.gz IFHBYUQTFAXHNP-UHFFFAOYSA-N -1 1 319.279 1.850 20 0 DDADMM O=C([N-]CCCOC(=O)c1ccc2nccn2c1)C(F)(F)F ZINC000774947375 697946161 /nfs/dbraw/zinc/94/61/61/697946161.db2.gz KMGFZBZKAYCMKK-UHFFFAOYSA-N -1 1 315.251 1.560 20 0 DDADMM CCCCC[C@H](CC)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000776231136 698085779 /nfs/dbraw/zinc/08/57/79/698085779.db2.gz MTZJVQHYGXSIJZ-VIFPVBQESA-N -1 1 302.400 1.753 20 0 DDADMM CCCCC[C@H](CC)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000776231136 698085784 /nfs/dbraw/zinc/08/57/84/698085784.db2.gz MTZJVQHYGXSIJZ-VIFPVBQESA-N -1 1 302.400 1.753 20 0 DDADMM COc1cccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1F ZINC000776562467 698117120 /nfs/dbraw/zinc/11/71/20/698117120.db2.gz DPBPCJQPELYELZ-VIFPVBQESA-N -1 1 323.353 1.278 20 0 DDADMM O=C([C@@H]1CC1(Cl)Cl)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776562509 698117310 /nfs/dbraw/zinc/11/73/10/698117310.db2.gz DUGGNLCWIMZLAU-NTSWFWBYSA-N -1 1 308.194 1.010 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCC1CC1 ZINC000987672177 698193188 /nfs/dbraw/zinc/19/31/88/698193188.db2.gz PNPSZBDMEIQTSB-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM O=C([N-]N1CN=NC1=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC000777766823 698220050 /nfs/dbraw/zinc/22/00/50/698220050.db2.gz AHNRANWLDDEQRY-UHFFFAOYSA-N -1 1 304.697 1.411 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987885904 698257495 /nfs/dbraw/zinc/25/74/95/698257495.db2.gz IEXONZGADRBWGA-VEIWZVCTSA-N -1 1 317.389 1.674 20 0 DDADMM C[C@@H]1C[C@@H](NCc2csnn2)CN1C(=O)c1ncccc1[O-] ZINC000988686706 698436911 /nfs/dbraw/zinc/43/69/11/698436911.db2.gz VWPWTPBYFDOEMO-NXEZZACHSA-N -1 1 319.390 1.032 20 0 DDADMM C[C@H]1C[C@@H](NCc2cscn2)CN1C(=O)c1ncccc1[O-] ZINC000988692915 698439591 /nfs/dbraw/zinc/43/95/91/698439591.db2.gz XXRIJUZHEXZKEA-WDEREUQCSA-N -1 1 318.402 1.637 20 0 DDADMM COc1ccccc1[C@@H](CNC(=O)NCC(=O)[O-])N1CCCC1 ZINC000780348495 698509458 /nfs/dbraw/zinc/50/94/58/698509458.db2.gz ZKCGCNDVERHRGQ-CYBMUJFWSA-N -1 1 321.377 1.216 20 0 DDADMM O=C(Cc1ccco1)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000281619226 698544894 /nfs/dbraw/zinc/54/48/94/698544894.db2.gz FRNGMERBCRKZSL-UHFFFAOYSA-N -1 1 318.295 1.739 20 0 DDADMM O=C([O-])CN(CC(F)(F)F)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000780683319 698547769 /nfs/dbraw/zinc/54/77/69/698547769.db2.gz GJGDTLXYYXSRAD-QMMMGPOBSA-N -1 1 320.271 1.446 20 0 DDADMM Cc1ccc(-c2cc(C(=O)[N-]N3CCCNC3=O)n[nH]2)cc1C ZINC000781000851 698581746 /nfs/dbraw/zinc/58/17/46/698581746.db2.gz GNJQZOZOZUUTRD-UHFFFAOYSA-N -1 1 313.361 1.754 20 0 DDADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NC(=O)N1CCc2ccccc21 ZINC000318156328 698702965 /nfs/dbraw/zinc/70/29/65/698702965.db2.gz CZVBNQSQBQOTEN-GFCCVEGCSA-N -1 1 300.318 1.178 20 0 DDADMM CCNC(=O)CC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000782346010 698712182 /nfs/dbraw/zinc/71/21/82/698712182.db2.gz KICQJBDLJWLHMH-UHFFFAOYSA-N -1 1 305.286 1.068 20 0 DDADMM CC[C@@H](NC(=O)NC[C@H](c1cccc(OC)c1)N(C)C)C(=O)[O-] ZINC000320317438 698719172 /nfs/dbraw/zinc/71/91/72/698719172.db2.gz DTJPOBGLVKAYIO-ZIAGYGMSSA-N -1 1 323.393 1.460 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1ccc(F)cc1 ZINC000990064629 698931882 /nfs/dbraw/zinc/93/18/82/698931882.db2.gz INEDDXDINXMLEJ-UHFFFAOYSA-N -1 1 315.304 1.181 20 0 DDADMM COC[C@@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@@H](C)O1 ZINC000784670805 699033802 /nfs/dbraw/zinc/03/38/02/699033802.db2.gz CYXUYUVZASCKGZ-PWSUYJOCSA-N -1 1 323.393 1.490 20 0 DDADMM O=C(NC1CN(C(=O)C2C=CC=CC=C2)C1)c1ncccc1[O-] ZINC000990971665 699195864 /nfs/dbraw/zinc/19/58/64/699195864.db2.gz IGCAZSJZQAZZCJ-UHFFFAOYSA-N -1 1 311.341 1.026 20 0 DDADMM Cc1ccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)cc1 ZINC000990972770 699196531 /nfs/dbraw/zinc/19/65/31/699196531.db2.gz RUVZWABFKUNORW-UHFFFAOYSA-N -1 1 311.341 1.350 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@@H]1CO)c1ccc(Cl)nc1F ZINC000703060149 699235134 /nfs/dbraw/zinc/23/51/34/699235134.db2.gz OHYJJKIRRKJOOB-RKDXNWHRSA-N -1 1 322.789 1.704 20 0 DDADMM O=C([O-])C1(CC(=O)NCc2n[nH]c(-c3ccccc3)n2)CCC1 ZINC000788725643 699336891 /nfs/dbraw/zinc/33/68/91/699336891.db2.gz LDFLOCGEERUIOQ-UHFFFAOYSA-N -1 1 314.345 1.733 20 0 DDADMM O=C([O-])C1(CC(=O)NCc2nc(-c3ccccc3)n[nH]2)CCC1 ZINC000788725643 699336896 /nfs/dbraw/zinc/33/68/96/699336896.db2.gz LDFLOCGEERUIOQ-UHFFFAOYSA-N -1 1 314.345 1.733 20 0 DDADMM CCn1nc(C)cc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000726022757 699348529 /nfs/dbraw/zinc/34/85/29/699348529.db2.gz ZPCKGCRHZHGRFU-UHFFFAOYSA-N -1 1 315.329 1.325 20 0 DDADMM Cc1cc([C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)c(C)s1 ZINC000726865657 699385951 /nfs/dbraw/zinc/38/59/51/699385951.db2.gz KGMWCDVCVNLXNR-SNVBAGLBSA-N -1 1 321.402 1.482 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc(Cl)c(F)c1 ZINC000726867494 699386902 /nfs/dbraw/zinc/38/69/02/699386902.db2.gz JXXYIYKHYHXHEU-UHFFFAOYSA-N -1 1 311.700 1.358 20 0 DDADMM O=C(NCC1CC(NC(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000991856649 699424722 /nfs/dbraw/zinc/42/47/22/699424722.db2.gz OXTNPIOWFKPSIX-UHFFFAOYSA-N -1 1 315.329 1.319 20 0 DDADMM CC[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccc(C)cc1 ZINC000727847617 699427669 /nfs/dbraw/zinc/42/76/69/699427669.db2.gz LAKTVUAXSNTXMK-AWEZNQCLSA-N -1 1 315.373 1.503 20 0 DDADMM CN(CCC1CCCCC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727853528 699428470 /nfs/dbraw/zinc/42/84/70/699428470.db2.gz KAPAJOPSVWITNC-UHFFFAOYSA-N -1 1 307.394 1.356 20 0 DDADMM COC[C@@H](NC(=O)c1c(C)nc(C(C)C)[n-]c1=O)[C@@H]1CCCO1 ZINC000790283090 699451732 /nfs/dbraw/zinc/45/17/32/699451732.db2.gz SNUQERWGSRJDHX-NEPJUHHUSA-N -1 1 323.393 1.538 20 0 DDADMM CN(Cc1cnn(-c2ccccc2)n1)C(=O)c1cncc([O-])c1 ZINC000732270169 699552637 /nfs/dbraw/zinc/55/26/37/699552637.db2.gz LDPQKGBWOUFXEZ-UHFFFAOYSA-N -1 1 309.329 1.640 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3c4ccccc4C[C@H]3O)ccnc1-2 ZINC000791089670 699610870 /nfs/dbraw/zinc/61/08/70/699610870.db2.gz ORVRRJBRLOSVFV-CABCVRRESA-N -1 1 323.356 1.122 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCOc3ccccc3)ccnc1-2 ZINC000791089137 699611052 /nfs/dbraw/zinc/61/10/52/699611052.db2.gz MAKPBFAYHUCMIP-UHFFFAOYSA-N -1 1 311.345 1.542 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cccc(-n4cccn4)n3)ccnc1-2 ZINC000791163863 699613593 /nfs/dbraw/zinc/61/35/93/699613593.db2.gz GGLVADUAFBVONP-UHFFFAOYSA-N -1 1 319.328 1.175 20 0 DDADMM COc1cccc(OC)c1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791285206 699619877 /nfs/dbraw/zinc/61/98/77/699619877.db2.gz FXKUPJRGKGOXNJ-UHFFFAOYSA-N -1 1 312.329 1.611 20 0 DDADMM COCc1nc([N-]C(=O)c2nonc2C)sc1C(=O)OC ZINC000791337063 699624478 /nfs/dbraw/zinc/62/44/78/699624478.db2.gz AGSHFQRYOPOTGP-UHFFFAOYSA-N -1 1 312.307 1.020 20 0 DDADMM C[C@@H](OC(=O)c1c([O-])cc(F)cc1F)c1nnnn1C1CC1 ZINC000791350766 699625979 /nfs/dbraw/zinc/62/59/79/699625979.db2.gz BQJKFLCQUPIBSL-ZCFIWIBFSA-N -1 1 310.260 1.910 20 0 DDADMM CCO[N-]C(=O)[C@H]1CCC(=O)N(C)[C@@H]1c1ccc(OC)cc1 ZINC000734422007 699650172 /nfs/dbraw/zinc/65/01/72/699650172.db2.gz NOWTZLNOJPWXTA-DZGCQCFKSA-N -1 1 306.362 1.672 20 0 DDADMM CC(C)CCNC(=O)[C@@H](C)N1CCC([C@H](C)NC(=O)[O-])CC1 ZINC000738817841 699766415 /nfs/dbraw/zinc/76/64/15/699766415.db2.gz HFDQCUGDVIJWJB-QWHCGFSZSA-N -1 1 313.442 1.905 20 0 DDADMM CCOC(=O)[C@@H]1CN(C(=O)c2c([O-])cccc2Cl)CCO1 ZINC000741292188 699828839 /nfs/dbraw/zinc/82/88/39/699828839.db2.gz PFEMYYLCEUGHLZ-NSHDSACASA-N -1 1 313.737 1.450 20 0 DDADMM CC(=CC(=O)NCc1nn[n-]n1)c1cccc(C(F)(F)F)c1 ZINC000742663164 699885258 /nfs/dbraw/zinc/88/52/58/699885258.db2.gz HXMLGIPNROWZOX-YVMONPNESA-N -1 1 311.267 1.938 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)NC2CCCCC2)[n-]1 ZINC000796369508 699929935 /nfs/dbraw/zinc/92/99/35/699929935.db2.gz OTSMXWDXYWNYDZ-JTQLQIEISA-N -1 1 322.361 1.796 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2cccc(S(C)(=O)=O)c2)[n-]1 ZINC000796716578 699948652 /nfs/dbraw/zinc/94/86/52/699948652.db2.gz ZYWVQBCAUNLELP-UHFFFAOYSA-N -1 1 323.326 1.424 20 0 DDADMM O=C(COC(=O)CCc1ccccn1)[N-]C(=O)c1ccccc1 ZINC000744882490 699964663 /nfs/dbraw/zinc/96/46/63/699964663.db2.gz RHJCRUTYQMQLFI-UHFFFAOYSA-N -1 1 312.325 1.514 20 0 DDADMM O=C(OCCC1OCCO1)c1nn(-c2ccccc2)cc1[O-] ZINC000801202617 700277457 /nfs/dbraw/zinc/27/74/57/700277457.db2.gz HWBYZBOJTRTHTR-UHFFFAOYSA-N -1 1 304.302 1.498 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)O[C@H]3CCN(C)C3=O)n2)cc1 ZINC000801369762 700301412 /nfs/dbraw/zinc/30/14/12/700301412.db2.gz WZPWIXGEEVDFED-ZDUSSCGKSA-N -1 1 315.329 1.274 20 0 DDADMM Cc1cc(C)nc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000801607709 700326781 /nfs/dbraw/zinc/32/67/81/700326781.db2.gz MQEOYMOMSHJVIM-AWEZNQCLSA-N -1 1 308.345 1.731 20 0 DDADMM CC1(C[N-]S(=O)(=O)Cc2ccc(F)cc2F)OCCCO1 ZINC000752835755 700391001 /nfs/dbraw/zinc/39/10/01/700391001.db2.gz NAFXJGCZOUUJBZ-UHFFFAOYSA-N -1 1 321.345 1.537 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CCCO1)C(C)(C)C ZINC000753373459 700426905 /nfs/dbraw/zinc/42/69/05/700426905.db2.gz LYZUKKRGCMSDJF-GHMZBOCLSA-N -1 1 307.412 1.063 20 0 DDADMM COC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCCC1 ZINC000753516375 700440376 /nfs/dbraw/zinc/44/03/76/700440376.db2.gz WXHMSSZCXOVJEB-UHFFFAOYSA-N -1 1 305.330 1.445 20 0 DDADMM O=C(Nc1ccc(OC(F)(F)F)cc1)NN1CC(=O)[N-]C1=O ZINC000758164015 700686774 /nfs/dbraw/zinc/68/67/74/700686774.db2.gz BPMAZEIDLBMHTM-UHFFFAOYSA-N -1 1 318.211 1.174 20 0 DDADMM COc1ccc(N2C[C@@H](C(=O)[N-]OCC(F)F)CC2=O)cc1 ZINC000759336615 700747086 /nfs/dbraw/zinc/74/70/86/700747086.db2.gz XXDKIVSLAWAVJT-VIFPVBQESA-N -1 1 314.288 1.361 20 0 DDADMM Cc1cc(N2C[C@H](C(=O)[N-]OCC(F)F)CC2=O)ccc1F ZINC000759351046 700748329 /nfs/dbraw/zinc/74/83/29/700748329.db2.gz CAIQGHPBULLFMY-SECBINFHSA-N -1 1 316.279 1.800 20 0 DDADMM CCS(=O)(=O)CCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000763249625 700931421 /nfs/dbraw/zinc/93/14/21/700931421.db2.gz DXJWNXSTUHIZSB-UHFFFAOYSA-N -1 1 321.782 1.219 20 0 DDADMM Cn1[n-]c(COC(=O)CCn2ccc3ccccc32)nc1=O ZINC000765419055 701011027 /nfs/dbraw/zinc/01/10/27/701011027.db2.gz NJKWPVXRUFROKV-UHFFFAOYSA-N -1 1 300.318 1.197 20 0 DDADMM C[C@H](Oc1ccccc1Cl)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765436976 701012831 /nfs/dbraw/zinc/01/28/31/701012831.db2.gz AJIAUNTWTNMCEF-QMMMGPOBSA-N -1 1 311.725 1.273 20 0 DDADMM Cn1[n-]c(COC(=O)CCOc2ccc(Cl)cc2)nc1=O ZINC000765444485 701012976 /nfs/dbraw/zinc/01/29/76/701012976.db2.gz JKQNZTDYCKIOIE-UHFFFAOYSA-N -1 1 311.725 1.274 20 0 DDADMM C/C(=C\C(=O)OCc1nc(=O)n(C)[n-]1)c1ccccc1Cl ZINC000765461892 701013881 /nfs/dbraw/zinc/01/38/81/701013881.db2.gz BWZJENOTMUYVEC-VQHVLOKHSA-N -1 1 307.737 1.909 20 0 DDADMM C[C@@H](CSc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765473233 701014390 /nfs/dbraw/zinc/01/43/90/701014390.db2.gz DAPCJJYYAXNTQX-JTQLQIEISA-N -1 1 307.375 1.580 20 0 DDADMM CCc1ccc([C@H](C)CC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765477437 701014716 /nfs/dbraw/zinc/01/47/16/701014716.db2.gz CASMYVCLPNSUNN-LLVKDONJSA-N -1 1 303.362 1.908 20 0 DDADMM Cn1[n-]c(COC(=O)/C=C/c2cnc(C(C)(C)C)s2)nc1=O ZINC000765486723 701015500 /nfs/dbraw/zinc/01/55/00/701015500.db2.gz MPBPQVDMGUVJGY-AATRIKPKSA-N -1 1 322.390 1.619 20 0 DDADMM Cn1[n-]c(COC(=O)C(F)(F)c2ccccc2F)nc1=O ZINC000765496588 701016259 /nfs/dbraw/zinc/01/62/59/701016259.db2.gz JYVASMISJYTMSC-UHFFFAOYSA-N -1 1 301.224 1.083 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCCCC[C@H]1CCO ZINC000803622585 701131204 /nfs/dbraw/zinc/13/12/04/701131204.db2.gz WXDWTLNTUDQZEE-LBPRGKRZSA-N -1 1 320.418 1.785 20 0 DDADMM Cc1nnc([C@H](C)NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)[nH]1 ZINC000804814985 701214492 /nfs/dbraw/zinc/21/44/92/701214492.db2.gz MCCPMKOXZMZSJP-QMMMGPOBSA-N -1 1 304.354 1.532 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cnc(Cl)n1C)c1ccco1 ZINC000769429448 701244759 /nfs/dbraw/zinc/24/47/59/701244759.db2.gz LJKPCLHMCSHDCR-QMMMGPOBSA-N -1 1 319.770 1.333 20 0 DDADMM CCN(C(=O)c1c([O-])cccc1Cl)[C@@H](C)CS(C)(=O)=O ZINC000769840033 701258684 /nfs/dbraw/zinc/25/86/84/701258684.db2.gz SGKBGRQZULPUIJ-VIFPVBQESA-N -1 1 319.810 1.941 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cccc(OC)c1F ZINC000769887858 701260244 /nfs/dbraw/zinc/26/02/44/701260244.db2.gz KBOGJCLOQIJWKV-UHFFFAOYSA-N -1 1 307.343 1.239 20 0 DDADMM O=C(COC(=O)c1c([O-])cc(F)cc1F)C1CCOCC1 ZINC000770959959 701301676 /nfs/dbraw/zinc/30/16/76/701301676.db2.gz AMHVTCWTGKFYMF-UHFFFAOYSA-N -1 1 300.257 1.823 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCn1ccnc1 ZINC000771952895 701338367 /nfs/dbraw/zinc/33/83/67/701338367.db2.gz UDKNHOHIRDBGNJ-UHFFFAOYSA-N -1 1 315.333 1.034 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)c1ccc(Br)cc1 ZINC000805605020 701397829 /nfs/dbraw/zinc/39/78/29/701397829.db2.gz USZAESCXDIBJER-SSDOTTSWSA-N -1 1 324.134 1.995 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)N1CCSc2ccccc21 ZINC000805605180 701398473 /nfs/dbraw/zinc/39/84/73/701398473.db2.gz VWRPWLCRMVKOIS-UHFFFAOYSA-N -1 1 304.331 1.100 20 0 DDADMM C[C@@H](CNC(=O)[C@@H](C)OC(=O)c1cn[n-]n1)c1ccccc1 ZINC000805606885 701399381 /nfs/dbraw/zinc/39/93/81/701399381.db2.gz CIIJYRWPPXWRLL-WDEREUQCSA-N -1 1 302.334 1.270 20 0 DDADMM O=C(CC[C@@H]1CC1(Cl)Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000830905917 706600840 /nfs/dbraw/zinc/60/08/40/706600840.db2.gz HKMXQTZVHPWNNM-RKDXNWHRSA-N -1 1 318.208 1.880 20 0 DDADMM Cc1nc([C@H](C)N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)no1 ZINC000810071023 701723118 /nfs/dbraw/zinc/72/31/18/701723118.db2.gz ZSKMVCJOOQIKDH-WPRPVWTQSA-N -1 1 320.315 1.830 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)Nc2ccon2)C1)C(F)(F)F ZINC000810200979 701742154 /nfs/dbraw/zinc/74/21/54/701742154.db2.gz SEPURQLJBMMOEK-MRVPVSSYSA-N -1 1 320.271 1.597 20 0 DDADMM CC[C@@H]1CCC[C@@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952114 706609611 /nfs/dbraw/zinc/60/96/11/706609611.db2.gz BTANMLLPKHBCDB-MNOVXSKESA-N -1 1 305.378 1.697 20 0 DDADMM Cc1nc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)sc1C ZINC000830953081 706609884 /nfs/dbraw/zinc/60/98/84/706609884.db2.gz PKELYTNKIUSSQR-UHFFFAOYSA-N -1 1 320.374 1.258 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-][C@@H]2CC=CC[C@H]2C(F)(F)F)n1 ZINC000867848674 701791877 /nfs/dbraw/zinc/79/18/77/701791877.db2.gz NQRSNBBGOGJQOG-RKDXNWHRSA-N -1 1 309.313 1.596 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C[C@H]2C2CCOCC2)sc1C ZINC000867881634 701808850 /nfs/dbraw/zinc/80/88/50/701808850.db2.gz HSCXTSOKDSDURZ-NWDGAFQWSA-N -1 1 316.448 1.853 20 0 DDADMM CC(C)O[N-]C(=O)[C@@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC000839783166 701826216 /nfs/dbraw/zinc/82/62/16/701826216.db2.gz FKDTVKFVUVUCPJ-CYBMUJFWSA-N -1 1 308.353 1.673 20 0 DDADMM CCOc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c(F)c1 ZINC000839921103 701865760 /nfs/dbraw/zinc/86/57/60/701865760.db2.gz AGQPXACVPZNSSH-UHFFFAOYSA-N -1 1 321.308 1.103 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H]2CCCC[C@H]2F)o1 ZINC000810891292 701868922 /nfs/dbraw/zinc/86/89/22/701868922.db2.gz KXXSWKSOKVSMNR-RKDXNWHRSA-N -1 1 305.327 1.625 20 0 DDADMM CC(C)C[C@@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO1 ZINC000831042757 706625891 /nfs/dbraw/zinc/62/58/91/706625891.db2.gz HRZXTGPGUMNWBO-NXEZZACHSA-N -1 1 321.830 1.935 20 0 DDADMM Cn1ncc(COC(=O)c2nn(-c3ccc(F)cc3)cc2[O-])n1 ZINC000811201271 701935235 /nfs/dbraw/zinc/93/52/35/701935235.db2.gz YQNYYZLJHXCZSJ-UHFFFAOYSA-N -1 1 317.280 1.203 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCc3cnn(C)n3)n2)cc1 ZINC000811220375 701938217 /nfs/dbraw/zinc/93/82/17/701938217.db2.gz IIPMUOWWERMZTB-UHFFFAOYSA-N -1 1 313.317 1.372 20 0 DDADMM COC(=O)CCCO[N-]C(=O)Cc1cn2cccc(C)c2n1 ZINC000811560338 702005648 /nfs/dbraw/zinc/00/56/48/702005648.db2.gz MQXZLSGTZOFNOT-UHFFFAOYSA-N -1 1 305.334 1.186 20 0 DDADMM O=C(N[C@H]1COc2ccccc2[C@H]1O)c1c([O-])cccc1F ZINC000811568899 702008010 /nfs/dbraw/zinc/00/80/10/702008010.db2.gz MMVCJUALFNKKSW-XHDPSFHLSA-N -1 1 303.289 1.756 20 0 DDADMM CC[C@@](O)(CC(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccccc1 ZINC000840423155 702105371 /nfs/dbraw/zinc/10/53/71/702105371.db2.gz YYTDSXNHRKETPA-MLGOLLRUSA-N -1 1 317.393 1.450 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)c1 ZINC000868462670 702142526 /nfs/dbraw/zinc/14/25/26/702142526.db2.gz SANNHCQSKGEDPG-SASUGWTJSA-N -1 1 324.402 1.531 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C)C1CN(C(=O)OC(C)(C)C)C1 ZINC000816740638 702173564 /nfs/dbraw/zinc/17/35/64/702173564.db2.gz JRUBZHGNHHJRQA-MRVPVSSYSA-N -1 1 324.381 1.865 20 0 DDADMM O=C([N-]OC/C=C\Cl)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000812402018 702176278 /nfs/dbraw/zinc/17/62/78/702176278.db2.gz PRWFBMNEWIOFBF-ONFJKGSWSA-N -1 1 312.728 1.979 20 0 DDADMM C[C@@H](C(=O)[N-]OC/C=C\Cl)c1ccc(S(C)(=O)=O)cc1 ZINC000812409811 702177740 /nfs/dbraw/zinc/17/77/40/702177740.db2.gz YUWKCRUIZKFYDZ-WPKZPIIRSA-N -1 1 317.794 1.994 20 0 DDADMM O=C([N-]OCCC1CC1)[C@@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000817412473 702372984 /nfs/dbraw/zinc/37/29/84/702372984.db2.gz BEAOEYSMMWGCIO-CYBMUJFWSA-N -1 1 303.362 1.278 20 0 DDADMM CC(C)c1nnc(NCc2nc3c(c(=O)[n-]2)COCC3)s1 ZINC000866290025 706666448 /nfs/dbraw/zinc/66/64/48/706666448.db2.gz QOSOFWLELDUYRF-UHFFFAOYSA-N -1 1 307.379 1.842 20 0 DDADMM O=C(Nc1ccc(C2(O)COC2)cc1)c1ccc(O)cc1[O-] ZINC000813770355 702416810 /nfs/dbraw/zinc/41/68/10/702416810.db2.gz SAUXJKABFOENME-UHFFFAOYSA-N -1 1 301.298 1.568 20 0 DDADMM CC[C@@](C)(NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccccc1 ZINC000841392464 702433595 /nfs/dbraw/zinc/43/35/95/702433595.db2.gz XPZOJCVXBRONKN-MRXNPFEDSA-N -1 1 317.345 1.952 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)Cc2cc(Cl)ccc2F)C(=O)O1 ZINC000841526497 702480963 /nfs/dbraw/zinc/48/09/63/702480963.db2.gz YYPPMEIKSQKTJI-CPCISQLKSA-N -1 1 321.757 1.603 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCO[C@H]3CCCCO3)ccnc1-2 ZINC000879416051 706674284 /nfs/dbraw/zinc/67/42/84/706674284.db2.gz MBIRZHPVMXJFLU-ZDUSSCGKSA-N -1 1 319.365 1.007 20 0 DDADMM COC1([C@@H](C)[N-]S(=O)(=O)c2ncn(C)c2Cl)CCC1 ZINC000841564516 702499902 /nfs/dbraw/zinc/49/99/02/702499902.db2.gz GJTAUDNFYVKENH-MRVPVSSYSA-N -1 1 307.803 1.309 20 0 DDADMM CC[C@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H](O)C(C)C ZINC000841705241 702544010 /nfs/dbraw/zinc/54/40/10/702544010.db2.gz KAZOPIUHNKUWCD-AAEUAGOBSA-N -1 1 305.382 1.259 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869476526 702619214 /nfs/dbraw/zinc/61/92/14/702619214.db2.gz DNPKFLNYKYOISP-ZJUUUORDSA-N -1 1 309.284 1.459 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@@H]2CC3(CO2)CCOCC3)[n-]1 ZINC000869525024 702635411 /nfs/dbraw/zinc/63/54/11/702635411.db2.gz YBNKKTMVELUDQM-NSHDSACASA-N -1 1 323.345 1.544 20 0 DDADMM CC[C@H]1CCC[C@@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869528832 702637108 /nfs/dbraw/zinc/63/71/08/702637108.db2.gz NESUJOHXDPNKLG-ONGXEEELSA-N -1 1 323.311 1.994 20 0 DDADMM CC(C)(C)CC(=O)[N-]OCC(=O)Nc1ccc2c(c1)OCO2 ZINC000842060878 702665594 /nfs/dbraw/zinc/66/55/94/702665594.db2.gz RWVVPMXTJAVASD-UHFFFAOYSA-N -1 1 308.334 1.838 20 0 DDADMM CSCC[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000866411376 706692464 /nfs/dbraw/zinc/69/24/64/706692464.db2.gz KRLAGBAMJXWONS-KRWDZBQOSA-N -1 1 308.450 1.341 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1ccccc1C ZINC000866414562 706693057 /nfs/dbraw/zinc/69/30/57/706693057.db2.gz PTCPBKPAEVMEHS-UHFFFAOYSA-N -1 1 304.437 1.837 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2(C)CCCCC2)CCC1 ZINC000843014018 702803530 /nfs/dbraw/zinc/80/35/30/702803530.db2.gz CTTBVQSPIFRLCV-UHFFFAOYSA-N -1 1 316.467 1.887 20 0 DDADMM Cc1ccc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)s1 ZINC000843018767 702804190 /nfs/dbraw/zinc/80/41/90/702804190.db2.gz CXYTYKJKJXYYFW-UHFFFAOYSA-N -1 1 316.448 1.600 20 0 DDADMM O=C([O-])COCC(=O)Nc1ccc2nc(C3CCC3)[nH]c2c1 ZINC000843327337 702859987 /nfs/dbraw/zinc/85/99/87/702859987.db2.gz MWWSTHIUJOGYCT-UHFFFAOYSA-N -1 1 303.318 1.870 20 0 DDADMM CCOCCO[N-]C(=O)C1(CC(=O)OC(C)(C)C)CCC1 ZINC000843858263 702934433 /nfs/dbraw/zinc/93/44/33/702934433.db2.gz FKJXQZJITUFRPY-UHFFFAOYSA-N -1 1 301.383 1.973 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1ccccc1OC ZINC000866483345 706710361 /nfs/dbraw/zinc/71/03/61/706710361.db2.gz FTWWHWLSRYWMAF-LLVKDONJSA-N -1 1 320.436 1.708 20 0 DDADMM CC(C)[C@@H]1N(C(=O)CCn2cc[n-]c(=O)c2=O)CC12CC=CC2 ZINC000844100319 702971473 /nfs/dbraw/zinc/97/14/73/702971473.db2.gz VEVDBVINUPVEHI-AWEZNQCLSA-N -1 1 317.389 1.130 20 0 DDADMM O=C([O-])C1(C(=O)NCc2n[nH]c(-c3ccccc3)n2)CCCC1 ZINC000844154817 702979140 /nfs/dbraw/zinc/97/91/40/702979140.db2.gz FEPKOCBGEOWQAR-UHFFFAOYSA-N -1 1 314.345 1.733 20 0 DDADMM O=C([O-])C1(C(=O)NCc2nc(-c3ccccc3)n[nH]2)CCCC1 ZINC000844154817 702979143 /nfs/dbraw/zinc/97/91/43/702979143.db2.gz FEPKOCBGEOWQAR-UHFFFAOYSA-N -1 1 314.345 1.733 20 0 DDADMM O=C([N-]Cc1ccc(-c2nncnn2)cc1)C(F)(F)C(F)F ZINC000844949404 703089595 /nfs/dbraw/zinc/08/95/95/703089595.db2.gz YAQIDRDHJDQWFY-UHFFFAOYSA-N -1 1 315.230 1.450 20 0 DDADMM CC(C)(C)OC(=O)C1(C(=O)NCc2nn[n-]n2)CCCCC1 ZINC000845487862 703163328 /nfs/dbraw/zinc/16/33/28/703163328.db2.gz XXWLBXADJSSZEB-UHFFFAOYSA-N -1 1 309.370 1.108 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC(C2(O)CC2)CC1 ZINC000846335594 703266366 /nfs/dbraw/zinc/26/63/66/703266366.db2.gz QCCXCLJOTFVGRE-UHFFFAOYSA-N -1 1 319.405 1.991 20 0 DDADMM Cn1nccc1[C@H]1COCCN1C(=O)c1c([O-])cccc1Cl ZINC000846613349 703303738 /nfs/dbraw/zinc/30/37/38/703303738.db2.gz WKFXPUBZUBEVEN-GFCCVEGCSA-N -1 1 321.764 1.993 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)C(C)(F)F)[n-]1 ZINC000846642195 703306333 /nfs/dbraw/zinc/30/63/33/703306333.db2.gz GGLDPZDTIKGHAK-MRVPVSSYSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)C(C)(F)F)n[n-]1 ZINC000846642195 703306336 /nfs/dbraw/zinc/30/63/36/703306336.db2.gz GGLDPZDTIKGHAK-MRVPVSSYSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)C(C)(F)F)n1 ZINC000846642195 703306339 /nfs/dbraw/zinc/30/63/39/703306339.db2.gz GGLDPZDTIKGHAK-MRVPVSSYSA-N -1 1 318.324 1.840 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)Cc2c(F)cccc2Cl)CCOC1=O ZINC000831597418 706736604 /nfs/dbraw/zinc/73/66/04/706736604.db2.gz JXGUKFXLCHQQFR-GFCCVEGCSA-N -1 1 321.757 1.604 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=S)NCC1CC1 ZINC000849265263 703629470 /nfs/dbraw/zinc/62/94/70/703629470.db2.gz HBEQUFWEVPKYRP-PSASIEDQSA-N -1 1 323.384 1.802 20 0 DDADMM O=C([N-]CCCOC(=O)c1ncc2ccccn21)C(F)(F)F ZINC000869697886 703834266 /nfs/dbraw/zinc/83/42/66/703834266.db2.gz CKJRLSWWANGCFL-UHFFFAOYSA-N -1 1 315.251 1.560 20 0 DDADMM C[C@]12CN(C(=O)c3c([O-])cccc3Cl)C[C@]1(C)C(=O)NC2=O ZINC000869999653 703892235 /nfs/dbraw/zinc/89/22/35/703892235.db2.gz NKDWIQZRJBDPMQ-HUUCEWRRSA-N -1 1 322.748 1.170 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1CCCC2(CCCC2)CC1 ZINC000852732778 704108502 /nfs/dbraw/zinc/10/85/02/704108502.db2.gz IRSIGJJIDYERBE-UHFFFAOYSA-N -1 1 303.424 1.532 20 0 DDADMM Cc1nnc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)[nH]1 ZINC000852756714 704115985 /nfs/dbraw/zinc/11/59/85/704115985.db2.gz UKYUTDJANHDVJD-APPZFPTMSA-N -1 1 305.304 1.002 20 0 DDADMM Cc1nnc([C@H](C)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)[nH]1 ZINC000852756620 704116182 /nfs/dbraw/zinc/11/61/82/704116182.db2.gz PYPTUZGWSQIUQF-WEDXCCLWSA-N -1 1 319.331 1.563 20 0 DDADMM C[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@H](O)C(F)(F)F ZINC000819566342 704142787 /nfs/dbraw/zinc/14/27/87/704142787.db2.gz BEKZRVNETRCKND-XRGYYRRGSA-N -1 1 320.296 1.060 20 0 DDADMM CC(C)(CNc1nccnc1-c1nnn[n-]1)[C@@H]1CCCCO1 ZINC000819984380 704197442 /nfs/dbraw/zinc/19/74/42/704197442.db2.gz UEVUREZQAVWQBN-JTQLQIEISA-N -1 1 303.370 1.664 20 0 DDADMM CC(C)(CNc1nccnc1-c1nn[n-]n1)[C@@H]1CCCCO1 ZINC000819984380 704197443 /nfs/dbraw/zinc/19/74/43/704197443.db2.gz UEVUREZQAVWQBN-JTQLQIEISA-N -1 1 303.370 1.664 20 0 DDADMM CC(C)c1nnc(N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)n1C ZINC000871046710 704207576 /nfs/dbraw/zinc/20/75/76/704207576.db2.gz INGUUXFXTPQLMC-GFCCVEGCSA-N -1 1 319.331 1.586 20 0 DDADMM CC(C)n1cc([C@H](C)[N-]S(=O)(=O)N=S(C)(C)=O)cn1 ZINC000866779532 706797978 /nfs/dbraw/zinc/79/79/78/706797978.db2.gz PEADHLJNBRYFAI-VIFPVBQESA-N -1 1 308.429 1.087 20 0 DDADMM O=C(COCc1ccccn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000820067473 704215069 /nfs/dbraw/zinc/21/50/69/704215069.db2.gz FZFPBKUTUUGCQZ-UHFFFAOYSA-N -1 1 318.333 1.093 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2cc(C)c(C(=O)[O-])o2)n[nH]1 ZINC000853231493 704221950 /nfs/dbraw/zinc/22/19/50/704221950.db2.gz NNFSNTMJOOMQFS-UHFFFAOYSA-N -1 1 313.335 1.050 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCNC(=O)CC12CCOCC2 ZINC000871366194 704276286 /nfs/dbraw/zinc/27/62/86/704276286.db2.gz OMBCNEXMXMGGKA-UHFFFAOYSA-N -1 1 322.336 1.043 20 0 DDADMM Cc1nc(CC[N-]S(=O)(=O)N=S2(=O)CCCC2)cs1 ZINC000820782933 704330222 /nfs/dbraw/zinc/33/02/22/704330222.db2.gz FOCDEIOZBHYXSQ-UHFFFAOYSA-N -1 1 323.465 1.090 20 0 DDADMM CCc1ccc(C[N-]S(=O)(=O)N=S2(=O)CCCC2)s1 ZINC000820785624 704330642 /nfs/dbraw/zinc/33/06/42/704330642.db2.gz DCUCXFOTUUDPIT-UHFFFAOYSA-N -1 1 322.477 1.907 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)CN[C@@H](C(=O)[O-])C(C)C ZINC000820876819 704341496 /nfs/dbraw/zinc/34/14/96/704341496.db2.gz ZVWFNJRNPGJPRX-XHDPSFHLSA-N -1 1 308.378 1.571 20 0 DDADMM C[C@@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1ccccn1 ZINC000854342148 704382057 /nfs/dbraw/zinc/38/20/57/704382057.db2.gz IWJRVZPIGKMTIJ-SNVBAGLBSA-N -1 1 302.334 1.680 20 0 DDADMM O=C(/C=C\C1CCOCC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342387 704382427 /nfs/dbraw/zinc/38/24/27/704382427.db2.gz RHUQHVGPYWCSIW-UPHRSURJSA-N -1 1 307.350 1.464 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cc(C)on2)c1 ZINC000821294748 704386796 /nfs/dbraw/zinc/38/67/96/704386796.db2.gz UEXKPPDAXLDYJS-OAQYLSRUSA-N -1 1 309.343 1.843 20 0 DDADMM COC(=O)CC[C@@H]1CC[C@H](C(=O)Nc2ccc(F)cc2[O-])O1 ZINC000821344569 704394396 /nfs/dbraw/zinc/39/43/96/704394396.db2.gz WJUZPRJHVWNSLB-GXFFZTMASA-N -1 1 311.309 1.971 20 0 DDADMM O=S(=O)([N-]CC[C@@H]1CCCCO1)c1ccc(F)nc1F ZINC000867073389 706890038 /nfs/dbraw/zinc/89/00/38/706890038.db2.gz SHYIMBRKLUEUTM-VIFPVBQESA-N -1 1 306.334 1.597 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@@H](O)c1cccc(F)c1 ZINC000855133475 704469917 /nfs/dbraw/zinc/46/99/17/704469917.db2.gz HXZOWBPJKXHXCX-GFCCVEGCSA-N -1 1 313.325 1.639 20 0 DDADMM O=C(NCC[S@@](=O)CC(F)(F)F)c1c([O-])cccc1F ZINC000855271024 704476602 /nfs/dbraw/zinc/47/66/02/704476602.db2.gz YRGTZFSDMZFYKC-HXUWFJFHSA-N -1 1 313.272 1.572 20 0 DDADMM CC(C)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[N-]OCC1CCC1 ZINC000856351127 704524006 /nfs/dbraw/zinc/52/40/06/704524006.db2.gz ABZYEZSLLJVSOA-AWEZNQCLSA-N -1 1 322.409 1.331 20 0 DDADMM CCC[C@H](NC(=O)c1cn2c(n1)CC[C@H](C)C2)c1nn[n-]n1 ZINC000857936916 704645075 /nfs/dbraw/zinc/64/50/75/704645075.db2.gz SFDSBUGEOWLNKA-UWVGGRQHSA-N -1 1 303.370 1.250 20 0 DDADMM C[C@@H]1CN=C(N2CCN(c3cc(Cl)[n-]c(=O)n3)CC2)S1 ZINC000858424246 704709631 /nfs/dbraw/zinc/70/96/31/704709631.db2.gz MKDGXQTVOJRAAK-MRVPVSSYSA-N -1 1 313.814 1.449 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1CN(c2cc(Cl)[n-]c(=O)n2)CCO1 ZINC000858431778 704710508 /nfs/dbraw/zinc/71/05/08/704710508.db2.gz AVVWKSWLFDVQSI-MRVPVSSYSA-N -1 1 315.757 1.383 20 0 DDADMM CCCCNC(=O)[C@@H]1CCCN(Cc2cc(C(=O)[O-])no2)C1 ZINC000902203085 710663894 /nfs/dbraw/zinc/66/38/94/710663894.db2.gz XBJPVOGEXUBTDW-LLVKDONJSA-N -1 1 309.366 1.501 20 0 DDADMM Cc1cccc(OCCCNC(=O)CCCc2nn[n-]n2)c1 ZINC000873873461 704878119 /nfs/dbraw/zinc/87/81/19/704878119.db2.gz HRWZQUYEJOPYSK-UHFFFAOYSA-N -1 1 303.366 1.416 20 0 DDADMM CCO[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000832712730 706968663 /nfs/dbraw/zinc/96/86/63/706968663.db2.gz HOMSUZQSQDPNGD-RKDXNWHRSA-N -1 1 307.803 1.689 20 0 DDADMM Cc1ccc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c(=O)[nH]1 ZINC000822226508 704895437 /nfs/dbraw/zinc/89/54/37/704895437.db2.gz VYPWNKKTSBZJMU-UHFFFAOYSA-N -1 1 312.289 1.234 20 0 DDADMM C[C@H](Cc1ccccc1Cl)NC(=O)CCCc1nn[n-]n1 ZINC000874044376 704925148 /nfs/dbraw/zinc/92/51/48/704925148.db2.gz BLMHQJNPTWIWDN-SNVBAGLBSA-N -1 1 307.785 1.923 20 0 DDADMM CC[C@H]1[C@H](CO)CCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000834092697 707019492 /nfs/dbraw/zinc/01/94/92/707019492.db2.gz CWZDSXODBRKPGP-QWRGUYRKSA-N -1 1 306.391 1.251 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2COC3(CCC3)C2)c1 ZINC000867512662 707024029 /nfs/dbraw/zinc/02/40/29/707024029.db2.gz LASRSGRVNGFXNQ-SNVBAGLBSA-N -1 1 313.375 1.391 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2C[C@H](O)c3ccccc32)sn1 ZINC000867515671 707024880 /nfs/dbraw/zinc/02/48/80/707024880.db2.gz ZICJKJFGFZOWSC-RYUDHWBXSA-N -1 1 310.400 1.908 20 0 DDADMM CCCCS(=O)(=O)CC(=O)Nc1cc(F)cc(F)c1[O-] ZINC000822971221 705097301 /nfs/dbraw/zinc/09/73/01/705097301.db2.gz AKUFEMYXRZPZFP-UHFFFAOYSA-N -1 1 307.318 1.824 20 0 DDADMM C[C@@H]1CN(C(=O)c2cncc([O-])c2)CC[C@@H](C(F)(F)F)O1 ZINC000874562775 705106042 /nfs/dbraw/zinc/10/60/42/705106042.db2.gz MTORUSJELMWPSN-KCJUWKMLSA-N -1 1 304.268 1.969 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC12CC2)c1nc[nH]c1Br ZINC000867579673 707045770 /nfs/dbraw/zinc/04/57/70/707045770.db2.gz ISDMDGDTWAKBNU-ZETCQYMHSA-N -1 1 320.212 1.783 20 0 DDADMM CC(=O)NC[C@@H]1CCCCN1CC(=O)[N-]OCc1ccccc1 ZINC000860177440 705123902 /nfs/dbraw/zinc/12/39/02/705123902.db2.gz QIKJSWCGPMMHDE-INIZCTEOSA-N -1 1 319.405 1.225 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2C[C@H](SC)C2)c1 ZINC000867675230 707070548 /nfs/dbraw/zinc/07/05/48/707070548.db2.gz XPKDPMKGWCTXPR-KYZUINATSA-N -1 1 305.377 1.239 20 0 DDADMM CC(C)[C@@H](O)C1(CNC(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000824199971 705397070 /nfs/dbraw/zinc/39/70/70/705397070.db2.gz NGYVCGBYEYNCQV-LLVKDONJSA-N -1 1 321.406 1.455 20 0 DDADMM CC(C)[C@@H](O)C1(CNC(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000824199971 705397074 /nfs/dbraw/zinc/39/70/74/705397074.db2.gz NGYVCGBYEYNCQV-LLVKDONJSA-N -1 1 321.406 1.455 20 0 DDADMM C[C@@H]1CCCCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000876299661 705682865 /nfs/dbraw/zinc/68/28/65/705682865.db2.gz DNKFEPUMTKBKMQ-LLVKDONJSA-N -1 1 320.393 1.587 20 0 DDADMM CCCC[C@@H](C)N(C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876300481 705683030 /nfs/dbraw/zinc/68/30/30/705683030.db2.gz BEGRCFHFMYQXHP-LLVKDONJSA-N -1 1 322.409 1.975 20 0 DDADMM CN(C(=O)c1cnc(CCC(F)(F)F)s1)c1nn[n-]n1 ZINC000825531645 705688660 /nfs/dbraw/zinc/68/86/60/705688660.db2.gz NAMQCZWITPKGQA-UHFFFAOYSA-N -1 1 306.273 1.428 20 0 DDADMM COC[C@@H](O)CCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876395471 705707942 /nfs/dbraw/zinc/70/79/42/705707942.db2.gz JMXCTDLAVXHRDG-JTQLQIEISA-N -1 1 302.758 1.242 20 0 DDADMM O=C(NCC[C@H]1CCCOC1)c1ccc2n[n-]c(=S)n2c1 ZINC000862393845 705709101 /nfs/dbraw/zinc/70/91/01/705709101.db2.gz ZYHLJUPCQHJFEH-SNVBAGLBSA-N -1 1 306.391 1.565 20 0 DDADMM Cc1cc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])ccc1O ZINC000826260336 705785354 /nfs/dbraw/zinc/78/53/54/705785354.db2.gz JENQYTPXXVIADF-UHFFFAOYSA-N -1 1 311.301 1.839 20 0 DDADMM Cc1onc(CC(=O)NCc2ccccc2C)c1-c1nnn[n-]1 ZINC000826307550 705788896 /nfs/dbraw/zinc/78/88/96/705788896.db2.gz MERDBTKCOFUDMB-UHFFFAOYSA-N -1 1 312.333 1.330 20 0 DDADMM Cc1onc(CC(=O)NCc2ccccc2C)c1-c1nn[n-]n1 ZINC000826307550 705788899 /nfs/dbraw/zinc/78/88/99/705788899.db2.gz MERDBTKCOFUDMB-UHFFFAOYSA-N -1 1 312.333 1.330 20 0 DDADMM Cc1onc(CC(=O)N[C@@H](C)CCC(C)C)c1-c1nnn[n-]1 ZINC000826345199 705794059 /nfs/dbraw/zinc/79/40/59/705794059.db2.gz FYWSTHJODINDSN-VIFPVBQESA-N -1 1 306.370 1.647 20 0 DDADMM Cc1onc(CC(=O)N[C@@H](C)CCC(C)C)c1-c1nn[n-]n1 ZINC000826345199 705794062 /nfs/dbraw/zinc/79/40/62/705794062.db2.gz FYWSTHJODINDSN-VIFPVBQESA-N -1 1 306.370 1.647 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2C[C@H]2c2ccccc2)c1-c1nnn[n-]1 ZINC000826346292 705794328 /nfs/dbraw/zinc/79/43/28/705794328.db2.gz INQZYESWQBUKDD-RYUDHWBXSA-N -1 1 324.344 1.378 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2C[C@H]2c2ccccc2)c1-c1nn[n-]n1 ZINC000826346292 705794331 /nfs/dbraw/zinc/79/43/31/705794331.db2.gz INQZYESWQBUKDD-RYUDHWBXSA-N -1 1 324.344 1.378 20 0 DDADMM Fc1ccc2c(c1)[C@@H](Nc1ccc(-c3nnn[n-]3)nn1)CCO2 ZINC000826376134 705796812 /nfs/dbraw/zinc/79/68/12/705796812.db2.gz PQPXCLVEICAKAN-JTQLQIEISA-N -1 1 313.296 1.732 20 0 DDADMM Fc1ccc2c(c1)[C@@H](Nc1ccc(-c3nn[n-]n3)nn1)CCO2 ZINC000826376134 705796815 /nfs/dbraw/zinc/79/68/15/705796815.db2.gz PQPXCLVEICAKAN-JTQLQIEISA-N -1 1 313.296 1.732 20 0 DDADMM O=C(NCc1cc(Cl)ccn1)c1ccc(-c2nnn[n-]2)s1 ZINC000826440661 705800842 /nfs/dbraw/zinc/80/08/42/705800842.db2.gz VUMWAGAQMIFCLH-UHFFFAOYSA-N -1 1 320.765 1.907 20 0 DDADMM O=C(NCc1cc(Cl)ccn1)c1ccc(-c2nn[n-]n2)s1 ZINC000826440661 705800845 /nfs/dbraw/zinc/80/08/45/705800845.db2.gz VUMWAGAQMIFCLH-UHFFFAOYSA-N -1 1 320.765 1.907 20 0 DDADMM c1cnc(N2CC[C@H](Cc3ccncc3)C2)c(-c2nnn[n-]2)n1 ZINC000826515415 705807087 /nfs/dbraw/zinc/80/70/87/705807087.db2.gz YACASIZENGXEMA-GFCCVEGCSA-N -1 1 308.349 1.121 20 0 DDADMM c1cnc(N2CC[C@H](Cc3ccncc3)C2)c(-c2nn[n-]n2)n1 ZINC000826515415 705807088 /nfs/dbraw/zinc/80/70/88/705807088.db2.gz YACASIZENGXEMA-GFCCVEGCSA-N -1 1 308.349 1.121 20 0 DDADMM c1ccc(C[C@@H]2COCCN2c2cccc(-c3nnn[n-]3)n2)cc1 ZINC000826520685 705807627 /nfs/dbraw/zinc/80/76/27/705807627.db2.gz PKEIMPVWTBGNGV-CQSZACIVSA-N -1 1 322.372 1.710 20 0 DDADMM c1ccc(C[C@@H]2COCCN2c2cccc(-c3nn[n-]n3)n2)cc1 ZINC000826520685 705807628 /nfs/dbraw/zinc/80/76/28/705807628.db2.gz PKEIMPVWTBGNGV-CQSZACIVSA-N -1 1 322.372 1.710 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CN(C)c2ccccc2)CC1 ZINC000827361828 705985515 /nfs/dbraw/zinc/98/55/15/705985515.db2.gz FQWLQCIFUHSFOF-CQSZACIVSA-N -1 1 319.405 1.130 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1C[C@H]1c1ccco1 ZINC000863860788 706030245 /nfs/dbraw/zinc/03/02/45/706030245.db2.gz IVHPHPZPAOQXTO-YRGRVCCFSA-N -1 1 309.329 1.802 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)Cc2cnc[nH]2)c(C(=O)[O-])c1 ZINC000864139340 706077549 /nfs/dbraw/zinc/07/75/49/706077549.db2.gz XACUNPVODFEPSU-VIFPVBQESA-N -1 1 303.318 1.934 20 0 DDADMM CC(C)(C)SCC(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000864159659 706084674 /nfs/dbraw/zinc/08/46/74/706084674.db2.gz WVHGDTVVWHKGAH-UHFFFAOYSA-N -1 1 302.440 1.526 20 0 DDADMM CCO[C@@H]1COC[C@H]1[N-]c1nc(-c2cccc(OC)c2)no1 ZINC000829426571 706332064 /nfs/dbraw/zinc/33/20/64/706332064.db2.gz NEYZMNYQXIBFBA-CHWSQXEVSA-N -1 1 305.334 1.961 20 0 DDADMM CCn1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1C ZINC000878379276 706372918 /nfs/dbraw/zinc/37/29/18/706372918.db2.gz UIAJPHXQEGHVHQ-CQSZACIVSA-N -1 1 310.361 1.849 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2oc(=S)[n-]c2c1)c1nn[nH]n1 ZINC000829800630 706398487 /nfs/dbraw/zinc/39/84/87/706398487.db2.gz IHKDJVAYQXQOQY-QMMMGPOBSA-N -1 1 318.362 1.901 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(C[C@H]3CCC4(CCOCC4)O3)C2)n[nH]1 ZINC000878621795 706447798 /nfs/dbraw/zinc/44/77/98/706447798.db2.gz ICFWMLVYZLAHLB-CHWSQXEVSA-N -1 1 322.409 1.418 20 0 DDADMM OCC(CO)[N-]c1nc(-c2ccc(Br)cc2)no1 ZINC000865561806 706464160 /nfs/dbraw/zinc/46/41/60/706464160.db2.gz QFSQLHGJONHLHG-UHFFFAOYSA-N -1 1 314.139 1.264 20 0 DDADMM CO[C@@H]1COCC[C@H]1CC(=O)Nc1nc(Cl)ccc1[O-] ZINC000830185215 706471269 /nfs/dbraw/zinc/47/12/69/706471269.db2.gz WWTUMVKDIKHVDG-WCBMZHEXSA-N -1 1 300.742 1.821 20 0 DDADMM CC[C@H](C)N(OC)C(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC000830474876 706523121 /nfs/dbraw/zinc/52/31/21/706523121.db2.gz PEBSRCLDVAOEBR-QMMMGPOBSA-N -1 1 306.322 1.547 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)C[C@H]1CCCC1(F)F ZINC000830548773 706534692 /nfs/dbraw/zinc/53/46/92/706534692.db2.gz FNLUXEWPVRFGCJ-SNVBAGLBSA-N -1 1 313.366 1.685 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C ZINC000865861840 706546194 /nfs/dbraw/zinc/54/61/94/706546194.db2.gz VTZNWMLJJACARI-BXGBBSSXSA-N -1 1 314.389 1.960 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@H]1CCC[C@@H](C(F)(F)F)O1 ZINC000830688282 706562190 /nfs/dbraw/zinc/56/21/90/706562190.db2.gz SWIMMOGNNLGWHX-BDAKNGLRSA-N -1 1 321.303 1.139 20 0 DDADMM CCC(CC)(CO)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830795228 706580994 /nfs/dbraw/zinc/58/09/94/706580994.db2.gz HZFHSKPDUSAULG-LBPRGKRZSA-N -1 1 324.343 1.455 20 0 DDADMM CC(C)(C)C(=O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830819792 706585997 /nfs/dbraw/zinc/58/59/97/706585997.db2.gz AZPXSQNERBWCBS-LBPRGKRZSA-N -1 1 308.300 1.271 20 0 DDADMM O=S(=O)([N-][C@@H]1C=C[C@H](CO)C1)c1ccc(Cl)nc1F ZINC000866910706 706842347 /nfs/dbraw/zinc/84/23/47/706842347.db2.gz UONKHIPYXQVIGC-JGVFFNPUSA-N -1 1 306.746 1.089 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2nc[nH]c2Br)CCCO1 ZINC000866943969 706852017 /nfs/dbraw/zinc/85/20/17/706852017.db2.gz OATVUUHKVCELIR-SECBINFHSA-N -1 1 324.200 1.020 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1CCC[C@@H]2OCC[C@H]21 ZINC000866963952 706857878 /nfs/dbraw/zinc/85/78/78/706857878.db2.gz WBRVYLHDFHDVLB-TUAOUCFPSA-N -1 1 322.452 1.040 20 0 DDADMM CC[C@H](O)CC[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866968228 706859052 /nfs/dbraw/zinc/85/90/52/706859052.db2.gz OQIKMXTUUMBAON-QMMMGPOBSA-N -1 1 313.206 1.828 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](O)c2cccc(C)c2)sn1 ZINC000866981367 706863538 /nfs/dbraw/zinc/86/35/38/706863538.db2.gz GOXNOPSGGPSDHM-GFCCVEGCSA-N -1 1 312.416 1.772 20 0 DDADMM CC(C)[C@@H](O)C(C)(C)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867007258 706870259 /nfs/dbraw/zinc/87/02/59/706870259.db2.gz OQDWKTYKSPHNII-LLVKDONJSA-N -1 1 322.377 1.681 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CCc2ccco2)c1C(F)(F)F ZINC000867108960 706898282 /nfs/dbraw/zinc/89/82/82/706898282.db2.gz DCUGHZPFPYOVNC-UHFFFAOYSA-N -1 1 323.296 1.553 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-][C@@H]1[C@@H]2CCC[C@@H]21)c1ccccc1 ZINC000867202312 706923259 /nfs/dbraw/zinc/92/32/59/706923259.db2.gz KQEGYMBVZHQCCB-RJAOAUJVSA-N -1 1 314.432 1.776 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]CC1(c2ccccn2)CCC1 ZINC000867264392 706941720 /nfs/dbraw/zinc/94/17/20/706941720.db2.gz KISUYBRICHIARB-UHFFFAOYSA-N -1 1 317.436 1.065 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1ccc(CO)cc1 ZINC000867445633 707003411 /nfs/dbraw/zinc/00/34/11/707003411.db2.gz BGCOCRAWBMNKHC-UHFFFAOYSA-N -1 1 320.436 1.021 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C(=O)N[C@H]1CCc2nc[nH]c2C1)C1CC1 ZINC000909021550 712918954 /nfs/dbraw/zinc/91/89/54/712918954.db2.gz XWBOSHYVXNDXKP-ZANVPECISA-N -1 1 306.366 1.304 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCc1ccc(F)cc1 ZINC000833626422 707012052 /nfs/dbraw/zinc/01/20/52/707012052.db2.gz QVRVGHHLXYRZMY-GFCCVEGCSA-N -1 1 323.368 1.516 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCN(CCF)C1)c1cc(F)ccc1F ZINC000867712108 707082486 /nfs/dbraw/zinc/08/24/86/707082486.db2.gz UDSLWNXIDINOPS-LLVKDONJSA-N -1 1 322.352 1.677 20 0 DDADMM C[C@H](C(=O)NCc1ccc([O-])c(Cl)c1)[C@H](C)S(C)(=O)=O ZINC000834825272 707131423 /nfs/dbraw/zinc/13/14/23/707131423.db2.gz JFTHWPDCFCLZOP-IUCAKERBSA-N -1 1 319.810 1.731 20 0 DDADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-]c1cc(F)ccc1N(C)C ZINC000872010999 707280075 /nfs/dbraw/zinc/28/00/75/707280075.db2.gz RNGRXIHOBLSVQS-SECBINFHSA-N -1 1 317.386 1.110 20 0 DDADMM C[C@@H](Cn1cccn1)[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872436307 707409267 /nfs/dbraw/zinc/40/92/67/707409267.db2.gz YNAXQVULIYAFRM-APBUJDDRSA-N -1 1 322.456 1.002 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCC1(O)CCC1 ZINC000872486202 707439777 /nfs/dbraw/zinc/43/97/77/707439777.db2.gz ZMCFZBXEXKSHPK-GOSISDBHSA-N -1 1 312.457 1.022 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1cccnc1F)C(=O)OC ZINC000881950305 707440325 /nfs/dbraw/zinc/44/03/25/707440325.db2.gz SFQCUVGOYZDAMM-UHFFFAOYSA-N -1 1 304.343 1.231 20 0 DDADMM CCCC[C@H](NC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000909172691 712953648 /nfs/dbraw/zinc/95/36/48/712953648.db2.gz VLFYDYQOFCWFFL-ZDUSSCGKSA-N -1 1 304.350 1.777 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3CCCC[C@H]3O)ccnc1-2 ZINC000836575045 707483037 /nfs/dbraw/zinc/48/30/37/707483037.db2.gz OMLVHVVCLMCABK-GXFFZTMASA-N -1 1 303.366 1.014 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2CC23CCC3)c1C(F)(F)F ZINC000872649862 707531912 /nfs/dbraw/zinc/53/19/12/707531912.db2.gz NIIWWLZACOLXFP-MRVPVSSYSA-N -1 1 309.313 1.660 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000836907110 707548904 /nfs/dbraw/zinc/54/89/04/707548904.db2.gz QBZBDAKOVGPUBO-SMDDNHRTSA-N -1 1 324.352 1.243 20 0 DDADMM C[C@H]1C(=O)N(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C[C@H]1C ZINC000872751335 707584431 /nfs/dbraw/zinc/58/44/31/707584431.db2.gz BOWLYAYUUVEOLS-GIPNMCIBSA-N -1 1 321.343 1.201 20 0 DDADMM Cc1cncnc1N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000882384086 707616695 /nfs/dbraw/zinc/61/66/95/707616695.db2.gz ZALBVUYNXSCQRA-SNVBAGLBSA-N -1 1 302.300 1.680 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NCCSCC(=O)[O-] ZINC000909227063 712967901 /nfs/dbraw/zinc/96/79/01/712967901.db2.gz VGPIWYFNJABVOC-UHFFFAOYSA-N -1 1 310.419 1.095 20 0 DDADMM CCN(C)C(=O)[C@@H](C)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872982580 707682235 /nfs/dbraw/zinc/68/22/35/707682235.db2.gz ALUDGSQXUWDXIV-SECBINFHSA-N -1 1 313.785 1.712 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2CCC[C@H]2c2ccccc2)nc1=O ZINC000837866853 707768659 /nfs/dbraw/zinc/76/86/59/707768659.db2.gz XXYWFEILEAXPTQ-QWHCGFSZSA-N -1 1 301.346 1.736 20 0 DDADMM CCN1CC[C@H]1C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000882765325 707780579 /nfs/dbraw/zinc/78/05/79/707780579.db2.gz WJAAYKZVHNKDEM-VIFPVBQESA-N -1 1 306.790 1.852 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)Nc1ccc(CCC(=O)[O-])cc1 ZINC000909286831 712982460 /nfs/dbraw/zinc/98/24/60/712982460.db2.gz MSZGDRQIMFNBHX-CYBMUJFWSA-N -1 1 320.389 1.611 20 0 DDADMM Cc1ccc(NC(=O)C(C)(C)CN2CCOCC2)cc1C(=O)[O-] ZINC000909294687 712984438 /nfs/dbraw/zinc/98/44/38/712984438.db2.gz KTIVKCCWAJJNFC-UHFFFAOYSA-N -1 1 320.389 1.990 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CC[C@@](F)(C(=O)[O-])C3)n[nH]2)c1 ZINC000909309410 712987807 /nfs/dbraw/zinc/98/78/07/712987807.db2.gz KMNHMQJOKAVRIY-AWEZNQCLSA-N -1 1 306.297 1.054 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H](C3CC3)C(C)(C)CO)ccnc1-2 ZINC000839075318 708022696 /nfs/dbraw/zinc/02/26/96/708022696.db2.gz VCSFOTQZXXINQY-ZDUSSCGKSA-N -1 1 317.393 1.260 20 0 DDADMM O=C([O-])C1(NC(=O)c2[nH]nc3c2CCCCC3)CCSCC1 ZINC000909349069 712997419 /nfs/dbraw/zinc/99/74/19/712997419.db2.gz WGRIYLIEJFWAGU-UHFFFAOYSA-N -1 1 323.418 1.759 20 0 DDADMM O=C([N-]n1ccccc1=O)c1ccc(CN2CCCCC2)o1 ZINC000897610745 708393246 /nfs/dbraw/zinc/39/32/46/708393246.db2.gz VTMLOWOPCCYOPW-UHFFFAOYSA-N -1 1 301.346 1.811 20 0 DDADMM O=S(=O)([N-]CC1([C@@H](O)c2ccccc2)CC1)c1ccns1 ZINC000885069461 708461706 /nfs/dbraw/zinc/46/17/06/708461706.db2.gz UTUKYICYFUPYQR-ZDUSSCGKSA-N -1 1 324.427 1.935 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2)c1ccns1 ZINC000885073472 708462919 /nfs/dbraw/zinc/46/29/19/708462919.db2.gz GTBWKTQAAVTQGP-WCQGTBRESA-N -1 1 314.432 1.769 20 0 DDADMM CCC(=O)N1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000898012600 708518533 /nfs/dbraw/zinc/51/85/33/708518533.db2.gz AOESVOHUDHYYDI-UHFFFAOYSA-N -1 1 314.341 1.903 20 0 DDADMM CC[C@@H](C)NC(=O)CC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000885376506 708529927 /nfs/dbraw/zinc/52/99/27/708529927.db2.gz GKOWESRHCXXZDV-MRVPVSSYSA-N -1 1 319.452 1.343 20 0 DDADMM O=S(=O)([N-]C(CF)CF)c1cccnc1OCC(F)F ZINC000885413020 708538970 /nfs/dbraw/zinc/53/89/70/708538970.db2.gz BMZVHYGLWUYRAN-UHFFFAOYSA-N -1 1 316.276 1.311 20 0 DDADMM O=S(=O)([N-][C@H](CCO)C(F)(F)F)c1cccc(Cl)c1 ZINC000885828329 708634492 /nfs/dbraw/zinc/63/44/92/708634492.db2.gz GPVPLDWETKBWDP-SECBINFHSA-N -1 1 317.716 1.932 20 0 DDADMM CO[C@H](C(=O)NCCc1c(F)cc([O-])cc1F)[C@H]1CCOC1 ZINC000886270217 708733674 /nfs/dbraw/zinc/73/36/74/708733674.db2.gz WOMWFXXLPGCAAR-XPTSAGLGSA-N -1 1 315.316 1.381 20 0 DDADMM CC(F)(F)C(C)(C)[N-]S(=O)(=O)c1cnn2c1OCCC2 ZINC000886414541 708756798 /nfs/dbraw/zinc/75/67/98/708756798.db2.gz XVEZKIPVJGYMNG-UHFFFAOYSA-N -1 1 309.338 1.378 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@H]1CCC[C@@H]1O ZINC000927781811 713054455 /nfs/dbraw/zinc/05/44/55/713054455.db2.gz BSDBEWRSJGGHLK-OTYXRUKQSA-N -1 1 314.332 1.673 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@@H]1CCC[C@H]1O ZINC000927781810 713054527 /nfs/dbraw/zinc/05/45/27/713054527.db2.gz BSDBEWRSJGGHLK-LKFCYVNXSA-N -1 1 314.332 1.673 20 0 DDADMM O=C([N-]Oc1ccc(F)cc1)[C@@H]1C[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000898576507 708794956 /nfs/dbraw/zinc/79/49/56/708794956.db2.gz OSRRBAWWPIUZDT-CGJHYDBRSA-N -1 1 313.350 1.592 20 0 DDADMM C[C@@H]1CN(C(=O)NCCc2c(F)cc([O-])cc2F)CC[C@H]1O ZINC000927789017 713056944 /nfs/dbraw/zinc/05/69/44/713056944.db2.gz MWARZLKLSWDSJS-YMTOWFKASA-N -1 1 314.332 1.625 20 0 DDADMM CN1C(=O)CN=C1[N-]C(=O)C(F)(F)c1cccc(Cl)c1 ZINC000898779816 708864660 /nfs/dbraw/zinc/86/46/60/708864660.db2.gz XFBRUHANQZGAMB-UHFFFAOYSA-N -1 1 301.680 1.376 20 0 DDADMM C[C@]1(CO)C[C@@H](O)CN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000912657937 713062550 /nfs/dbraw/zinc/06/25/50/713062550.db2.gz MBBNLUCXPLKGJT-NOZJJQNGSA-N -1 1 319.279 1.369 20 0 DDADMM C[C@]1(CO)C[C@@H](O)CN1C(=O)c1ccc2ccccc2c1[O-] ZINC000912672042 713066310 /nfs/dbraw/zinc/06/63/10/713066310.db2.gz WRHBCIWKSZLEOP-SJKOYZFVSA-N -1 1 301.342 1.503 20 0 DDADMM CCN1CC[C@H]1CNC(=O)CC1(C(=O)[O-])Cc2ccccc2C1 ZINC000887316799 709016143 /nfs/dbraw/zinc/01/61/43/709016143.db2.gz ZWHDXMBMIGUXFY-HNNXBMFYSA-N -1 1 316.401 1.457 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccnn2C2CCC2)CC1 ZINC000899538333 709107961 /nfs/dbraw/zinc/10/79/61/709107961.db2.gz MBFZNVWNNTXTRL-UHFFFAOYSA-N -1 1 320.393 1.229 20 0 DDADMM CCOC(=O)[C@@]1(F)CN(C(=O)c2cncc([O-])c2)C[C@@H]1CC ZINC000899636684 709133881 /nfs/dbraw/zinc/13/38/81/709133881.db2.gz BRBYPJXJZHCNGS-XHDPSFHLSA-N -1 1 310.325 1.541 20 0 DDADMM O=C([O-])C(F)(F)CNS(=O)(=O)CCc1cccc(F)c1 ZINC000899931411 709241221 /nfs/dbraw/zinc/24/12/21/709241221.db2.gz LOXHUBOXANZLCL-UHFFFAOYSA-N -1 1 311.281 1.008 20 0 DDADMM Cn1cc([C@H]2CSCCCN2C(=O)c2ncccc2[O-])cn1 ZINC000888888809 709397581 /nfs/dbraw/zinc/39/75/81/709397581.db2.gz UDXPULZVPQLHCF-GFCCVEGCSA-N -1 1 318.402 1.841 20 0 DDADMM O=C(N[C@H]1CC12CC(O)C2)c1c([O-])cnc2c(F)cccc21 ZINC000888948543 709409724 /nfs/dbraw/zinc/40/97/24/709409724.db2.gz IWHQQMYZJPWJQO-AEMDZJFISA-N -1 1 302.305 1.723 20 0 DDADMM O=C(C(=O)N1CCCCCNC(=O)CC1)c1ccc([O-])cc1 ZINC000889431866 709499997 /nfs/dbraw/zinc/49/99/97/709499997.db2.gz WESUXYCQEBMXIS-UHFFFAOYSA-N -1 1 304.346 1.094 20 0 DDADMM CSCC[C@H](NC(=O)c1cnc(F)cc1C)c1nn[n-]n1 ZINC000912858301 713109038 /nfs/dbraw/zinc/10/90/38/713109038.db2.gz JSRMLYDXALNSMU-VIFPVBQESA-N -1 1 310.358 1.266 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)N1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC000909563365 709517490 /nfs/dbraw/zinc/51/74/90/709517490.db2.gz WPCYEHRSMOLZHE-MEDUHNTESA-N -1 1 321.377 1.405 20 0 DDADMM CSCC[C@H](NC(=O)c1cccc(N(C)C)c1)c1nn[n-]n1 ZINC000912860121 713109507 /nfs/dbraw/zinc/10/95/07/713109507.db2.gz OAPDRGPCIKHPPO-LBPRGKRZSA-N -1 1 320.422 1.490 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1Cc2ccccc21)c1nn[n-]n1 ZINC000912861548 713110638 /nfs/dbraw/zinc/11/06/38/713110638.db2.gz PPHDDHKYNALOBP-NEPJUHHUSA-N -1 1 303.391 1.450 20 0 DDADMM CSCC[C@H](NC(=O)CC1(CSC)CC1)c1nn[n-]n1 ZINC000912863248 713111394 /nfs/dbraw/zinc/11/13/94/713111394.db2.gz QALBTYZKHITNQF-VIFPVBQESA-N -1 1 315.468 1.643 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1CC2CCC1CC2)c1nn[n-]n1 ZINC000912863140 713111530 /nfs/dbraw/zinc/11/15/30/713111530.db2.gz MUAIJERBEIPUIQ-QQFIATSDSA-N -1 1 309.439 1.936 20 0 DDADMM COc1cc(C(=O)[O-])ccc1CCNC(=O)CN1CCCC1 ZINC000909638824 709552624 /nfs/dbraw/zinc/55/26/24/709552624.db2.gz QUWRTCKYCUJROD-UHFFFAOYSA-N -1 1 306.362 1.148 20 0 DDADMM CN(C)C(=O)CCCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900359241 709554033 /nfs/dbraw/zinc/55/40/33/709554033.db2.gz RVMJCXUCHVQJQE-UHFFFAOYSA-N -1 1 319.336 1.678 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CCC[C@H]3CC(=O)[O-])n[nH]2)c1 ZINC000909738349 709601503 /nfs/dbraw/zinc/60/15/03/709601503.db2.gz RIQWCRQWROLZAM-NSHDSACASA-N -1 1 302.334 1.495 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@H]1C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889785671 709634808 /nfs/dbraw/zinc/63/48/08/709634808.db2.gz IIMVKMMISQFMAF-FPQZTECRSA-N -1 1 321.377 1.525 20 0 DDADMM CCCCC[C@H](O)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889787814 709636071 /nfs/dbraw/zinc/63/60/71/709636071.db2.gz PZGKWKZKIKAFMW-RYUDHWBXSA-N -1 1 323.393 1.796 20 0 DDADMM COC[C@H](C)CC(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889791486 709638211 /nfs/dbraw/zinc/63/82/11/709638211.db2.gz HTRLSFPAXODHLA-GHMZBOCLSA-N -1 1 309.366 1.527 20 0 DDADMM CCN(CC(=O)N1CC[C@](C(=O)[O-])(c2ccccc2)C1)C1CC1 ZINC000909858466 709656295 /nfs/dbraw/zinc/65/62/95/709656295.db2.gz PZUUBWBJBOJHRO-GOSISDBHSA-N -1 1 316.401 1.726 20 0 DDADMM CC[C@H](C)C[C@@H](NC(=O)c1ccc(CN(C)C)nc1)C(=O)[O-] ZINC000909869267 709661564 /nfs/dbraw/zinc/66/15/64/709661564.db2.gz XETSAROSPXWNSR-SMDDNHRTSA-N -1 1 307.394 1.762 20 0 DDADMM O=C(Cc1n[nH]c2c1CCCC2)NC[C@@H](CC1CC1)C(=O)[O-] ZINC000909881651 709667710 /nfs/dbraw/zinc/66/77/10/709667710.db2.gz OVHYPBLQGLHXSR-LLVKDONJSA-N -1 1 305.378 1.448 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@@H]1CCCCS1(=O)=O ZINC000909984867 709719952 /nfs/dbraw/zinc/71/99/52/709719952.db2.gz APPBNYJJPIHLCS-NSHDSACASA-N -1 1 305.302 1.576 20 0 DDADMM C[N@@H+](CC(=O)N1CCc2c(cccc2C(=O)[O-])C1)C1CCC1 ZINC000910067130 709762523 /nfs/dbraw/zinc/76/25/23/709762523.db2.gz XWFBJNVXSBAYQF-UHFFFAOYSA-N -1 1 302.374 1.754 20 0 DDADMM Cc1nn[nH]c1C(=O)N1C[C@@H](C2CCCCC2)C[C@@H]1C(=O)[O-] ZINC000910086817 709766802 /nfs/dbraw/zinc/76/68/02/709766802.db2.gz AJBGPLZVZRHZQR-NWDGAFQWSA-N -1 1 306.366 1.609 20 0 DDADMM CCC[C@@]1(C(=O)[O-])CCCN1C(=O)CN(C)[C@@H]1CCSC1 ZINC000910115543 709776876 /nfs/dbraw/zinc/77/68/76/709776876.db2.gz PORBIMFQQKBDFQ-DOMZBBRYSA-N -1 1 314.451 1.670 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@]23CCO[C@@H]2CCCC3)CC1 ZINC000910170300 709796752 /nfs/dbraw/zinc/79/67/52/709796752.db2.gz JHGWEGIDLXTMBI-CPUCHLNUSA-N -1 1 324.421 1.343 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccc(O)cc2)CC1 ZINC000910170229 709796795 /nfs/dbraw/zinc/79/67/95/709796795.db2.gz IKOOQXZNUCBSRX-CYBMUJFWSA-N -1 1 320.389 1.332 20 0 DDADMM Cc1ccc(/C=C\C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cn1 ZINC000910173825 709797819 /nfs/dbraw/zinc/79/78/19/709797819.db2.gz AZRGLDDIRNBPSN-GEXIGZQTSA-N -1 1 317.389 1.411 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2coc(C3CCC3)n2)CC1 ZINC000910178033 709799165 /nfs/dbraw/zinc/79/91/65/709799165.db2.gz RJWYASREHXRPEO-UHFFFAOYSA-N -1 1 321.377 1.563 20 0 DDADMM O=C([O-])c1ccc(F)c2c1CN(C(=O)CN1CCCC1)CC2 ZINC000910205776 709813934 /nfs/dbraw/zinc/81/39/34/709813934.db2.gz OABHTEKFXKTYEC-UHFFFAOYSA-N -1 1 306.337 1.504 20 0 DDADMM COCC[C@](C)(O)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900899840 709817881 /nfs/dbraw/zinc/81/78/81/709817881.db2.gz RGUNYCSYZIBTAH-INIZCTEOSA-N -1 1 322.336 1.597 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2cccc3nn[nH]c32)[C@@H]2CCC[C@@H]21 ZINC000910245851 709837428 /nfs/dbraw/zinc/83/74/28/709837428.db2.gz MBHVYUWZRHJAGA-GIPNMCIBSA-N -1 1 314.345 1.673 20 0 DDADMM C[C@@]1(C(=O)[O-])CN(C(=O)c2cc(C3CCCCC3)[nH]n2)CCO1 ZINC000910328864 709894938 /nfs/dbraw/zinc/89/49/38/709894938.db2.gz PRBDAGTUFXJZKS-INIZCTEOSA-N -1 1 321.377 1.773 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CC[C@]3(C(=O)[O-])CCC[C@H]23)C1 ZINC000910397169 709922995 /nfs/dbraw/zinc/92/29/95/709922995.db2.gz YONHRVUPKAVUOS-HTWFXAOOSA-N -1 1 317.389 1.931 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](F)CN1C(=O)c1cc(-c2ccc(F)cc2)[nH]n1 ZINC000910445146 709945571 /nfs/dbraw/zinc/94/55/71/709945571.db2.gz WPLMOKULPNQYSL-GWCFXTLKSA-N -1 1 321.283 1.853 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CCc2cc(C(=O)[O-])ccc2C1 ZINC000910501487 709974726 /nfs/dbraw/zinc/97/47/26/709974726.db2.gz HNHSYNFELSQQBD-NSHDSACASA-N -1 1 313.357 1.871 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]1CNC(=O)[C@@H]1CCCCN1Cc1ccccc1 ZINC000910606363 710020229 /nfs/dbraw/zinc/02/02/29/710020229.db2.gz OSPDGVNBWSRKDJ-OAGGEKHMSA-N -1 1 316.401 1.878 20 0 DDADMM CCCC(=O)NC[C@@H]1CCCN(Cc2cccc(C(=O)[O-])n2)C1 ZINC000901427553 710036861 /nfs/dbraw/zinc/03/68/61/710036861.db2.gz AEKBPGVWIJXNRY-ZDUSSCGKSA-N -1 1 319.405 1.908 20 0 DDADMM COc1ccc(C[C@H](C)CN2CCO[C@@H](C(=O)[O-])C2)cc1F ZINC000901490866 710054998 /nfs/dbraw/zinc/05/49/98/710054998.db2.gz POKYZKDDSVLXAQ-XHDPSFHLSA-N -1 1 311.353 1.798 20 0 DDADMM CSC1(CN(C)CC(=O)N2CCC[C@H](C(=O)[O-])C2)CC1 ZINC000901625738 710100414 /nfs/dbraw/zinc/10/04/14/710100414.db2.gz GBOOEMQYQYGVOO-NSHDSACASA-N -1 1 300.424 1.137 20 0 DDADMM CC(C)Cn1nccc1CN(CCC(=O)[O-])C[C@H]1CCCO1 ZINC000901676584 710116254 /nfs/dbraw/zinc/11/62/54/710116254.db2.gz DZRNPRDSRSMHIP-OAHLLOKOSA-N -1 1 309.410 1.995 20 0 DDADMM O=C([O-])[C@H]1C[C@H](NCc2c(F)cncc2Br)C1 ZINC000901709368 710128148 /nfs/dbraw/zinc/12/81/48/710128148.db2.gz NGSXEVONISQPTD-LJGSYFOKSA-N -1 1 303.131 1.936 20 0 DDADMM CCc1cc(C(=O)[O-])ccc1NC(=O)[C@H](C)CN1CCOCC1 ZINC000910960942 710131845 /nfs/dbraw/zinc/13/18/45/710131845.db2.gz HROZXVMYBJZYBP-GFCCVEGCSA-N -1 1 320.389 1.854 20 0 DDADMM CCCCS(=O)(=O)NCC(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910983342 710140978 /nfs/dbraw/zinc/14/09/78/710140978.db2.gz PCXJZYQUKLPPAB-UHFFFAOYSA-N -1 1 322.333 1.328 20 0 DDADMM Cc1cc([N-]S(=O)(=O)N=S(C)(C)=O)cnc1C(F)F ZINC000901986622 710193500 /nfs/dbraw/zinc/19/35/00/710193500.db2.gz IPHLIGOPEWKOKW-UHFFFAOYSA-N -1 1 313.351 1.712 20 0 DDADMM NC(=O)[C@@H](F)C1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000913107669 713165236 /nfs/dbraw/zinc/16/52/36/713165236.db2.gz FXNQDIILEXNOKC-LBPRGKRZSA-N -1 1 314.744 1.721 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1occ2c1CCOC2 ZINC000891614188 710249156 /nfs/dbraw/zinc/24/91/56/710249156.db2.gz LZPIWSCBRAXCJP-UHFFFAOYSA-N -1 1 315.329 1.979 20 0 DDADMM O=C(CCn1ccccc1=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891625058 710251806 /nfs/dbraw/zinc/25/18/06/710251806.db2.gz DUNNIQBLNDDYCX-UHFFFAOYSA-N -1 1 314.345 1.252 20 0 DDADMM COCc1nc(NC[C@H](O)COc2cccc(F)c2)cc(=O)[n-]1 ZINC000891843345 710307183 /nfs/dbraw/zinc/30/71/83/710307183.db2.gz ZIKLRDWRJZNBJB-NSHDSACASA-N -1 1 323.324 1.320 20 0 DDADMM COCCOc1ccc(CNc2cc(=O)[n-]c(COC)n2)cn1 ZINC000891863013 710312395 /nfs/dbraw/zinc/31/23/95/710312395.db2.gz RMWMERKOSGKZPM-UHFFFAOYSA-N -1 1 320.349 1.361 20 0 DDADMM CC(C)CN1CCO[C@H](CNC(=O)c2cc(C(=O)[O-])co2)C1 ZINC000911117711 710642394 /nfs/dbraw/zinc/64/23/94/710642394.db2.gz BSSWBIRZHLPMNA-GFCCVEGCSA-N -1 1 310.350 1.064 20 0 DDADMM Cc1cc(C(=O)[O-])oc1C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000911119069 710643577 /nfs/dbraw/zinc/64/35/77/710643577.db2.gz DXZLLOWYCQFRDU-LBPRGKRZSA-N -1 1 324.377 1.373 20 0 DDADMM CCOC(=O)[C@]1(C(C)C)CCN(c2cc(=O)[n-]c(COC)n2)C1 ZINC000893532495 710691610 /nfs/dbraw/zinc/69/16/10/710691610.db2.gz UMJIJJBKPDRKDY-MRXNPFEDSA-N -1 1 323.393 1.744 20 0 DDADMM C[C@@H](CNCc1cn(CC(=O)[O-])nn1)Oc1ccc(Cl)cc1 ZINC000902319273 710715055 /nfs/dbraw/zinc/71/50/55/710715055.db2.gz WQPORERZSBJVFT-JTQLQIEISA-N -1 1 324.768 1.573 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])N2CCCC2)o1 ZINC000911333500 710746753 /nfs/dbraw/zinc/74/67/53/710746753.db2.gz WOTPSIHAYIUBRX-FRRDWIJNSA-N -1 1 306.362 1.562 20 0 DDADMM CCO[C@@H]1C[C@H](NCc2cccc(C(=O)[O-])n2)[C@@]12CCCO2 ZINC000902440701 710755369 /nfs/dbraw/zinc/75/53/69/710755369.db2.gz VWNLLXHHAKEATA-LZWOXQAQSA-N -1 1 306.362 1.596 20 0 DDADMM O=C([O-])C1CCC(CC(=O)N2CCN(C3CCC3)CC2)CC1 ZINC000911378926 710770067 /nfs/dbraw/zinc/77/00/67/710770067.db2.gz LCENVQCGWMHVRQ-UHFFFAOYSA-N -1 1 308.422 1.964 20 0 DDADMM CCN1CCN(C(=O)CCc2ccc(C(=O)[O-])cc2)[C@H](C)C1 ZINC000911408104 710784965 /nfs/dbraw/zinc/78/49/65/710784965.db2.gz SHFXRRHNLHMOHA-CYBMUJFWSA-N -1 1 304.390 1.870 20 0 DDADMM COC(=O)c1cc(C[N-]C(=O)C(F)(F)C(F)F)n(C)c1C ZINC000893835551 710851073 /nfs/dbraw/zinc/85/10/73/710851073.db2.gz ZOJYMQPFRFZGKN-UHFFFAOYSA-N -1 1 310.247 1.637 20 0 DDADMM C[C@@H]1CC[C@@H](C)N1CC(=O)N[C@@H](c1nc(=O)o[n-]1)C(C)(C)C ZINC000911587740 710869441 /nfs/dbraw/zinc/86/94/41/710869441.db2.gz VPTJFSCNACXDJR-FOGDFJRCSA-N -1 1 310.398 1.439 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000902818418 710915233 /nfs/dbraw/zinc/91/52/33/710915233.db2.gz NJBNFXDOTSRUFL-TUAOUCFPSA-N -1 1 300.424 1.039 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)c1ccc(F)cc1 ZINC000902859428 710934356 /nfs/dbraw/zinc/93/43/56/710934356.db2.gz HJDVOTODGSBRNQ-RISCZKNCSA-N -1 1 317.320 1.911 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-]c1nc(C(F)F)n[nH]1 ZINC000903149587 711048273 /nfs/dbraw/zinc/04/82/73/711048273.db2.gz AMRXTXBAEURALJ-SSDOTTSWSA-N -1 1 312.342 1.545 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(CCOc2cc(Cl)ccc2Cl)C1 ZINC000903623635 711228171 /nfs/dbraw/zinc/22/81/71/711228171.db2.gz QPYJIOBHGWSVCO-CYBMUJFWSA-N -1 1 320.172 1.894 20 0 DDADMM COc1cc(C(=O)N2[C@@H](CO)CC[C@@H]2CO)cc(Cl)c1[O-] ZINC000912235429 711236432 /nfs/dbraw/zinc/23/64/32/711236432.db2.gz VNIOOAJYUMPLTI-NXEZZACHSA-N -1 1 315.753 1.012 20 0 DDADMM CC[C@@H]1CCCC[C@H]1OCC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496698 713238962 /nfs/dbraw/zinc/23/89/62/713238962.db2.gz AMFQTIWNCHNDCJ-FRRDWIJNSA-N -1 1 323.397 1.085 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H]1CCN(C2CCCCC2)C1=O ZINC000903712616 711250680 /nfs/dbraw/zinc/25/06/80/711250680.db2.gz OZGWLCBNBVQNQX-AWEZNQCLSA-N -1 1 312.410 1.343 20 0 DDADMM CCC[C@@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccn1 ZINC000913498372 713239415 /nfs/dbraw/zinc/23/94/15/713239415.db2.gz PGLDYDLQUXSCOB-DGCLKSJQSA-N -1 1 316.365 1.079 20 0 DDADMM O=C(c1cccc2scnc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499426 713239726 /nfs/dbraw/zinc/23/97/26/713239726.db2.gz PQAYUHYPEHPGQM-VIFPVBQESA-N -1 1 316.346 1.023 20 0 DDADMM O=C([O-])[C@@H]1CSCCN1C[C@@H]1CCC[C@@H](C(F)(F)F)O1 ZINC000903906925 711325310 /nfs/dbraw/zinc/32/53/10/711325310.db2.gz OELUZAKVVTVTFA-GUBZILKMSA-N -1 1 313.341 1.988 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)[O-])C(=O)N1CCc2sccc2C1 ZINC000904216529 711412207 /nfs/dbraw/zinc/41/22/07/711412207.db2.gz SSCCGWCDYFJBLF-ZYHUDNBSSA-N -1 1 310.419 1.864 20 0 DDADMM CC(C)c1ccc(-c2noc([C@@]3(C(=O)[O-])CNCCO3)n2)cc1 ZINC000904519278 711866799 /nfs/dbraw/zinc/86/67/99/711866799.db2.gz UHNQFNUWRBABAU-MRXNPFEDSA-N -1 1 317.345 1.760 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@]3(c4ccccc4)CCCO3)ccnc1-2 ZINC000904942773 711905594 /nfs/dbraw/zinc/90/55/94/711905594.db2.gz YAMIVFLKKQENKL-SFHVURJKSA-N -1 1 322.368 1.986 20 0 DDADMM COc1cnc(C=Cc2cn(C[C@H]3CCCO3)nn2)[n-]c1=O ZINC000905077454 711928750 /nfs/dbraw/zinc/92/87/50/711928750.db2.gz WSUBLLLFAFZCRB-SGUJLRQBSA-N -1 1 303.322 1.132 20 0 DDADMM O=C([C@@H]1C[C@@H]1c1ccc(F)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742811 713291986 /nfs/dbraw/zinc/29/19/86/713291986.db2.gz VUFGUHOLCYIUPJ-ZIAGYGMSSA-N -1 1 315.352 1.849 20 0 DDADMM CCC(CC)n1nccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743654 713292442 /nfs/dbraw/zinc/29/24/42/713292442.db2.gz FPEQUBZJXBHKAD-UHFFFAOYSA-N -1 1 317.397 1.777 20 0 DDADMM Cc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc(C)c1F ZINC000913744511 713292781 /nfs/dbraw/zinc/29/27/81/713292781.db2.gz RHXYQDYSKBUUKS-UHFFFAOYSA-N -1 1 303.341 1.975 20 0 DDADMM CCCOc1cccnc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744707 713293246 /nfs/dbraw/zinc/29/32/46/713293246.db2.gz AJCFLXRRLRQBFO-UHFFFAOYSA-N -1 1 316.365 1.403 20 0 DDADMM O=C(c1cc2c([nH]1)CCCC2)N1CCC(c2nn[n-]n2)CC1 ZINC000913746347 713294195 /nfs/dbraw/zinc/29/41/95/713294195.db2.gz PIRFYXQJZNXLBW-UHFFFAOYSA-N -1 1 300.366 1.426 20 0 DDADMM CC(C)n1nccc1CN[C@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000905792630 712147518 /nfs/dbraw/zinc/14/75/18/712147518.db2.gz DELROSUHSWVYRQ-LBPRGKRZSA-N -1 1 303.366 1.983 20 0 DDADMM CC(C)[C@H]1CCCN1S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906828860 712418447 /nfs/dbraw/zinc/41/84/47/712418447.db2.gz PXOUBBPCXMVGFI-LLVKDONJSA-N -1 1 313.375 1.900 20 0 DDADMM C[C@H](C[S@](C)=O)N(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907341838 712548219 /nfs/dbraw/zinc/54/82/19/712548219.db2.gz NIGWCGOMCZYMFA-CLTRCRFRSA-N -1 1 324.377 1.919 20 0 DDADMM Nc1cn[nH]c1[C@H]1CCN(C(=O)c2ccc([O-])c(Cl)c2)C1 ZINC000907402433 712561738 /nfs/dbraw/zinc/56/17/38/712561738.db2.gz PNGPYWABCXCGEB-VIFPVBQESA-N -1 1 306.753 1.981 20 0 DDADMM CCc1ccc(F)cc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907473694 712581505 /nfs/dbraw/zinc/58/15/05/712581505.db2.gz DOGOFFZUIWWCHF-LBPRGKRZSA-N -1 1 321.381 1.832 20 0 DDADMM C[C@@H](CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)n1cccc1 ZINC000907475001 712581882 /nfs/dbraw/zinc/58/18/82/712581882.db2.gz LQQQNGWDXPGLGU-WDEREUQCSA-N -1 1 306.395 1.269 20 0 DDADMM Cc1cc(C)nc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000907476888 712582408 /nfs/dbraw/zinc/58/24/08/712582408.db2.gz CPFSVDGCVWUENQ-LLVKDONJSA-N -1 1 304.379 1.142 20 0 DDADMM CC(=Cc1cccnc1)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481928 712584021 /nfs/dbraw/zinc/58/40/21/712584021.db2.gz ZJDKRKGCNMBFMC-OFFHKIPUSA-N -1 1 316.390 1.315 20 0 DDADMM CCC[C@]1(C)C(=O)NCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907615126 712603221 /nfs/dbraw/zinc/60/32/21/712603221.db2.gz ABZJDYQHGPMPMY-MRXNPFEDSA-N -1 1 318.377 1.190 20 0 DDADMM CC(C)CCCCCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907943940 712652896 /nfs/dbraw/zinc/65/28/96/712652896.db2.gz NKZKEOJFDPETPR-UHFFFAOYSA-N -1 1 309.414 1.616 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2CCC[C@@H]12)c1nc[nH]c1Br ZINC000907961323 712655659 /nfs/dbraw/zinc/65/56/59/712655659.db2.gz UQHKGCIOBKOPLI-XLPZGREQSA-N -1 1 320.212 1.639 20 0 DDADMM COc1nn(C)cc1C[N-]S(=O)(=O)c1c(F)cccc1F ZINC000908062037 712674146 /nfs/dbraw/zinc/67/41/46/712674146.db2.gz KXPWJTLVSFXXAA-UHFFFAOYSA-N -1 1 317.317 1.185 20 0 DDADMM O=C([O-])c1ccc2c(c1)N(C(=O)CCCCc1cn[nH]n1)CC2 ZINC000908062038 712674209 /nfs/dbraw/zinc/67/42/09/712674209.db2.gz KZFXFSSQIKOART-UHFFFAOYSA-N -1 1 314.345 1.805 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1cccnc1C ZINC000908357525 712751002 /nfs/dbraw/zinc/75/10/02/712751002.db2.gz SRZBQSWWLZWTTO-UHFFFAOYSA-N -1 1 319.452 1.275 20 0 DDADMM Cc1ncccc1CC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000908357535 712751048 /nfs/dbraw/zinc/75/10/48/712751048.db2.gz SZAPTULUCNMLBQ-UHFFFAOYSA-N -1 1 317.436 1.029 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)[C@H]1CCc2nc[nH]c2C1)c1cccs1 ZINC000908641285 712827522 /nfs/dbraw/zinc/82/75/22/712827522.db2.gz BKMSQXGXCHYWRB-JOYOIKCWSA-N -1 1 319.386 1.908 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(C(=O)c1cccc3nn[nH]c31)CC2 ZINC000908654341 712829824 /nfs/dbraw/zinc/82/98/24/712829824.db2.gz ZTJOYXPQVFOUIR-JTQLQIEISA-N -1 1 300.318 1.285 20 0 DDADMM O=C([O-])CC1CCN(C(=O)c2n[nH]cc2C(F)(F)F)CC1 ZINC000908681333 712834471 /nfs/dbraw/zinc/83/44/71/712834471.db2.gz KXIQPFOMDCLBPB-UHFFFAOYSA-N -1 1 305.256 1.755 20 0 DDADMM CO[C@@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000908750858 712847826 /nfs/dbraw/zinc/84/78/26/712847826.db2.gz DUIJWNNOTYXIPS-GJZGRUSLSA-N -1 1 320.389 1.287 20 0 DDADMM Cc1ccc(CN(C)C(=O)CN2CCC[C@H](C(=O)[O-])C2)s1 ZINC000908779099 712853121 /nfs/dbraw/zinc/85/31/21/712853121.db2.gz PVUSCMPACASMED-LBPRGKRZSA-N -1 1 310.419 1.812 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)N(C)[C@@H](C)C(=O)[O-])c1ccco1 ZINC000908874919 712876731 /nfs/dbraw/zinc/87/67/31/712876731.db2.gz CDCMORVYRLBHOR-RYUDHWBXSA-N -1 1 311.382 1.777 20 0 DDADMM C[C@](NC(=O)[C@H]1CCc2[nH]cnc2C1)(C(=O)[O-])c1ccccc1 ZINC000908934845 712890922 /nfs/dbraw/zinc/89/09/22/712890922.db2.gz ZMKYNCPEVDXHEF-APPDUMDISA-N -1 1 313.357 1.631 20 0 DDADMM CC[C@](NC(=O)CCc1cnc[nH]1)(C(=O)[O-])c1ccccc1 ZINC000908943597 712893513 /nfs/dbraw/zinc/89/35/13/712893513.db2.gz NCNCGDPSIKLHLB-MRXNPFEDSA-N -1 1 301.346 1.849 20 0 DDADMM COC(=O)[C@]12CCC[C@H]1CN(C(=O)c1ncc(C)cc1[O-])C2 ZINC000916398281 713453176 /nfs/dbraw/zinc/45/31/76/713453176.db2.gz AZINAUGEBUJRSW-ZBEGNZNMSA-N -1 1 304.346 1.511 20 0 DDADMM CCN(CCC(N)=O)C(=O)c1cc(Br)ccc1[O-] ZINC000928824051 713486328 /nfs/dbraw/zinc/48/63/28/713486328.db2.gz OTGHTAMQRSHZQS-UHFFFAOYSA-N -1 1 315.167 1.492 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@]12C[C@@H]1CCCC2 ZINC000920856692 713698396 /nfs/dbraw/zinc/69/83/96/713698396.db2.gz FMVAQHZPMHBUJU-NWDGAFQWSA-N -1 1 308.469 1.909 20 0 DDADMM C[C@H](CN1CCN(C)C(=O)C1(C)C)C(=O)c1ccc([O-])cc1 ZINC000929826216 713698783 /nfs/dbraw/zinc/69/87/83/713698783.db2.gz SWRSYJWVXFHFFK-GFCCVEGCSA-N -1 1 304.390 1.764 20 0 DDADMM O=S(=O)([N-]C[C@@]1(c2ccccc2)C[C@@H](O)C1)c1ccns1 ZINC000921330731 713736182 /nfs/dbraw/zinc/73/61/82/713736182.db2.gz OWGVEDMJAJYUJN-MQMHXKEQSA-N -1 1 324.427 1.514 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1ccns1)c1cccc(F)c1 ZINC000921400107 713756386 /nfs/dbraw/zinc/75/63/86/713756386.db2.gz NOUVZOGAZUODMO-GFCCVEGCSA-N -1 1 316.379 1.468 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1ccns1)c1cccc(F)c1 ZINC000921400108 713756398 /nfs/dbraw/zinc/75/63/98/713756398.db2.gz NOUVZOGAZUODMO-LBPRGKRZSA-N -1 1 316.379 1.468 20 0 DDADMM CCN1CCC[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1=O ZINC000921491241 713786451 /nfs/dbraw/zinc/78/64/51/713786451.db2.gz FFAKNMMKDGTAHP-LBPRGKRZSA-N -1 1 322.386 1.722 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C(F)(F)F)s1 ZINC000921615620 713822780 /nfs/dbraw/zinc/82/27/80/713822780.db2.gz GXQLNQPFVKQWCG-NTSWFWBYSA-N -1 1 316.326 1.628 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C(F)(F)F)nc1Cl ZINC000921617203 713823076 /nfs/dbraw/zinc/82/30/76/713823076.db2.gz AJHPHZMSYSRSMC-NTSWFWBYSA-N -1 1 317.720 1.550 20 0 DDADMM O=C(NCCc1cnccn1)NCCc1c(F)cc([O-])cc1F ZINC000921797856 713872046 /nfs/dbraw/zinc/87/20/46/713872046.db2.gz AHOYGMYYQOZHNY-UHFFFAOYSA-N -1 1 322.315 1.545 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3CCOC34CCCC4)ccnc1-2 ZINC000931131040 714010596 /nfs/dbraw/zinc/01/05/96/714010596.db2.gz BBVTXIPYXXJTAL-CYBMUJFWSA-N -1 1 315.377 1.565 20 0 DDADMM CO[N-]C(=O)CNCc1c(C)nn(Cc2ccccc2)c1Cl ZINC000922829214 714161057 /nfs/dbraw/zinc/16/10/57/714161057.db2.gz AIRMETDSZHQUQC-UHFFFAOYSA-N -1 1 322.796 1.660 20 0 DDADMM CC[C@](C)(CO)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932046828 714245839 /nfs/dbraw/zinc/24/58/39/714245839.db2.gz YXLDYMSHYLZQIN-OAHLLOKOSA-N -1 1 304.350 1.860 20 0 DDADMM C[C@@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC[C@H]1O ZINC000932049490 714246293 /nfs/dbraw/zinc/24/62/93/714246293.db2.gz RURJQEUFIXBQNP-BXUZGUMPSA-N -1 1 316.361 1.813 20 0 DDADMM O=C([O-])[C@]12C[C@H]1CCCN2C(=O)c1n[nH]cc1C(F)(F)F ZINC000923150127 714255622 /nfs/dbraw/zinc/25/56/22/714255622.db2.gz VCGNLHGXVGHGHT-KBUNVGBDSA-N -1 1 303.240 1.508 20 0 DDADMM O=C(NCCOCCF)NCCc1c(F)cc([O-])cc1F ZINC000923355964 714325318 /nfs/dbraw/zinc/32/53/18/714325318.db2.gz HWNIRFCEAUZCLT-UHFFFAOYSA-N -1 1 306.284 1.498 20 0 DDADMM CCC1(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)COC1 ZINC000924345958 714567084 /nfs/dbraw/zinc/56/70/84/714567084.db2.gz LMWNOHACTTWAOP-UHFFFAOYSA-N -1 1 305.330 1.303 20 0 DDADMM Cn1[n-]c(CN2CCC[C@]3(C=Cc4ccccc4O3)C2)nc1=O ZINC000933656109 714640011 /nfs/dbraw/zinc/64/00/11/714640011.db2.gz LSTYFRXIXZBYSJ-KRWDZBQOSA-N -1 1 312.373 1.549 20 0 DDADMM O=c1cc(CN2CCO[C@@H]3COCC[C@@H]32)c2ccc([O-])cc2o1 ZINC000933969000 714711022 /nfs/dbraw/zinc/71/10/22/714711022.db2.gz OHZMWSSBEAPSRD-GOEBONIOSA-N -1 1 317.341 1.488 20 0 DDADMM COc1cc(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)ccn1 ZINC000934271488 714782668 /nfs/dbraw/zinc/78/26/68/714782668.db2.gz FTMRPRAQOGEXLA-LBPRGKRZSA-N -1 1 316.361 1.932 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2ncc(Cl)n2C)[n-]c1=O ZINC000934278020 714785483 /nfs/dbraw/zinc/78/54/83/714785483.db2.gz RIAAJYVMPQXRCJ-VIFPVBQESA-N -1 1 323.784 1.915 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc(Cl)n1 ZINC000935037702 714961215 /nfs/dbraw/zinc/96/12/15/714961215.db2.gz ZAXYHDKCSGGTPX-SECBINFHSA-N -1 1 320.784 1.830 20 0 DDADMM C[C@H](CN(C)C(=O)COc1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000935369773 715039164 /nfs/dbraw/zinc/03/91/64/715039164.db2.gz YCGNMWYNMQDTRX-MRVPVSSYSA-N -1 1 311.292 1.119 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1cccc(O)c1 ZINC000935457453 715060333 /nfs/dbraw/zinc/06/03/33/715060333.db2.gz SFPQZOGWYVKIGI-JOYOIKCWSA-N -1 1 319.390 1.326 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-][C@H]2C[C@@H](C)OC2=O)cnc1Cl ZINC000935986530 715142074 /nfs/dbraw/zinc/14/20/74/715142074.db2.gz NOLIJWKVWCBNRO-APPZFPTMSA-N -1 1 318.707 1.955 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937038766 715270121 /nfs/dbraw/zinc/27/01/21/715270121.db2.gz WFDDJKUZLYJHOJ-WCQYABFASA-N -1 1 317.389 1.506 20 0 DDADMM CC(=O)N1CCCC[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000956525037 715461312 /nfs/dbraw/zinc/46/13/12/715461312.db2.gz RQCYEYIXZLENOQ-QGZVFWFLSA-N -1 1 317.389 1.652 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC000937861588 715617533 /nfs/dbraw/zinc/61/75/33/715617533.db2.gz UWMHVGOWHXMUIC-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM CS[C@H](C)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849834 715636448 /nfs/dbraw/zinc/63/64/48/715636448.db2.gz ZLFFGUDRFHOWKM-BMIGLBTASA-N -1 1 323.418 1.260 20 0 DDADMM CC1(C)CC(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000937898679 715637196 /nfs/dbraw/zinc/63/71/96/715637196.db2.gz GLZFXHWWSCIZJU-LBPRGKRZSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC000955525179 715837622 /nfs/dbraw/zinc/83/76/22/715837622.db2.gz POGNQLJTJCXXLX-QWHCGFSZSA-N -1 1 317.389 1.863 20 0 DDADMM CC(=O)N1CCC[C@H]1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000955840937 715965890 /nfs/dbraw/zinc/96/58/90/715965890.db2.gz IEQQXZSBGADFGT-LBPRGKRZSA-N -1 1 320.393 1.355 20 0 DDADMM Cc1ccoc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000939438492 716251912 /nfs/dbraw/zinc/25/19/12/716251912.db2.gz SLDIOQDCMDKRAI-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM CCC(=O)N1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1ncccc1[O-])C2 ZINC000959729804 716254450 /nfs/dbraw/zinc/25/44/50/716254450.db2.gz CZSFRBRXXPRWAL-CLLJXQQHSA-N -1 1 317.389 1.697 20 0 DDADMM Cc1ccc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1 ZINC000939601496 716347656 /nfs/dbraw/zinc/34/76/56/716347656.db2.gz IFGKXDJHOPREDN-DGCLKSJQSA-N -1 1 315.377 1.069 20 0 DDADMM CC(C)C(=O)N1CC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959064814 716851835 /nfs/dbraw/zinc/85/18/35/716851835.db2.gz JBSMIADZEVMIRJ-RYUDHWBXSA-N -1 1 305.378 1.410 20 0 DDADMM Cc1cnc(CNCC2CC(NC(=O)c3[nH]nc(C)c3[O-])C2)o1 ZINC000961718217 717072136 /nfs/dbraw/zinc/07/21/36/717072136.db2.gz CKHHXYMRHZZCKS-UHFFFAOYSA-N -1 1 319.365 1.018 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC000942754864 717857060 /nfs/dbraw/zinc/85/70/60/717857060.db2.gz RIHLJCJFCRMAJU-NWDGAFQWSA-N -1 1 323.368 1.598 20 0 DDADMM CC(=O)N1CCCC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000943259354 718060828 /nfs/dbraw/zinc/06/08/28/718060828.db2.gz LXXJWQIHXDDNKC-LBPRGKRZSA-N -1 1 318.377 1.190 20 0 DDADMM CCC(C)(C)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944243106 718277201 /nfs/dbraw/zinc/27/72/01/718277201.db2.gz LYUHVDDCAIYKKY-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C(F)F ZINC000945291401 718436710 /nfs/dbraw/zinc/43/67/10/718436710.db2.gz YVVICMHKRGGOAU-DTWKUNHWSA-N -1 1 313.304 1.019 20 0 DDADMM CCC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000966750192 718649396 /nfs/dbraw/zinc/64/93/96/718649396.db2.gz PCLZTKVJVBOSQF-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM CCC1(C(=O)N2C[C@@H](C)[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000966787677 718659998 /nfs/dbraw/zinc/65/99/98/718659998.db2.gz PLUSQAAVGHOWBB-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946536225 718823132 /nfs/dbraw/zinc/82/31/32/718823132.db2.gz VIBFIKFJUHGSNT-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2(C)CC2)C1 ZINC000967906262 719082632 /nfs/dbraw/zinc/08/26/32/719082632.db2.gz DVKCZTDPJWFZEQ-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H](F)C(C)C)C1 ZINC000967908354 719083164 /nfs/dbraw/zinc/08/31/64/719083164.db2.gz PXUPKHMRDRIBMW-ZDUSSCGKSA-N -1 1 323.368 1.454 20 0 DDADMM C[C@H](C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C)C1CC1 ZINC000948618994 719592338 /nfs/dbraw/zinc/59/23/38/719592338.db2.gz NUNQKSNUMHZAGS-NTZNESFSSA-N -1 1 317.389 1.410 20 0 DDADMM CC1(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CCC1 ZINC000949541323 720149071 /nfs/dbraw/zinc/14/90/71/720149071.db2.gz FLYAONZJJONWNV-NSHDSACASA-N -1 1 303.362 1.308 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(Cc2nccs2)C1 ZINC000969541829 720181085 /nfs/dbraw/zinc/18/10/85/720181085.db2.gz BRYFSWHJSRPZEQ-SNVBAGLBSA-N -1 1 318.402 1.494 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(Cc2cscn2)C1 ZINC000969546857 720183920 /nfs/dbraw/zinc/18/39/20/720183920.db2.gz UNZSJHYNVSQNKZ-JTQLQIEISA-N -1 1 318.402 1.494 20 0 DDADMM CC(C)(F)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000949676564 720260189 /nfs/dbraw/zinc/26/01/89/720260189.db2.gz FDFJEBHJCXIWGU-SNVBAGLBSA-N -1 1 309.341 1.256 20 0 DDADMM CC(C)CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000949812224 720357630 /nfs/dbraw/zinc/35/76/30/720357630.db2.gz QCXQPDPVAKKGCR-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2nocc2C)C1 ZINC000949952724 720428299 /nfs/dbraw/zinc/42/82/99/720428299.db2.gz WPPKUCAQRKRKLY-UHFFFAOYSA-N -1 1 316.361 1.430 20 0 DDADMM O=C(CC1CCC1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950538830 720674802 /nfs/dbraw/zinc/67/48/02/720674802.db2.gz ASAIIBBGLHXXSF-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM O=C([C@H]1CC2CCC1CC2)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970816659 720946507 /nfs/dbraw/zinc/94/65/07/720946507.db2.gz UQMSSKGBTXQMNG-TUUUFIMRSA-N -1 1 319.409 1.027 20 0 DDADMM CCC(=O)N1CC[C@@H]1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000951437910 721033236 /nfs/dbraw/zinc/03/32/36/721033236.db2.gz ZRIXZWAEDBWDNA-LLVKDONJSA-N -1 1 320.393 1.355 20 0 DDADMM CCC(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000953334514 721595050 /nfs/dbraw/zinc/59/50/50/721595050.db2.gz YBPOSXGIOHSNCG-VXGBXAGGSA-N -1 1 303.362 1.260 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@]2(C)C=CCC2)C1 ZINC000954128019 721725042 /nfs/dbraw/zinc/72/50/42/721725042.db2.gz PBFFFIDBMYKSMS-QGZVFWFLSA-N -1 1 315.373 1.426 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001021340338 733147521 /nfs/dbraw/zinc/14/75/21/733147521.db2.gz OBOUFYMJNNPKPJ-RRDLLQCMSA-N -1 1 315.373 1.426 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2CN(C(=O)C3CCC3)C[C@H]21 ZINC001021442145 733207092 /nfs/dbraw/zinc/20/70/92/733207092.db2.gz IYLOBLDRIUPRAO-QWHCGFSZSA-N -1 1 315.373 1.260 20 0 DDADMM C[C@H](C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001010908859 733495447 /nfs/dbraw/zinc/49/54/47/733495447.db2.gz HHIBIFNJZKCYGD-NSHDSACASA-N -1 1 303.362 1.118 20 0 DDADMM O=C(NC[C@@H]1CCCN1Cc1nccs1)c1ncccc1[O-] ZINC001027843910 738726634 /nfs/dbraw/zinc/72/66/34/738726634.db2.gz JAWQFIRVEDWBBU-NSHDSACASA-N -1 1 318.402 1.638 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@@H](O)C1)c1ccc(Cl)nc1F ZINC000692878330 738735501 /nfs/dbraw/zinc/73/55/01/738735501.db2.gz FEMGZZBDKXEBCF-RKDXNWHRSA-N -1 1 322.789 1.704 20 0 DDADMM Cc1nc([C@H](C)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001021999436 733709696 /nfs/dbraw/zinc/70/96/96/733709696.db2.gz AYLKHBHBZHAVLK-INTQDDNPSA-N -1 1 317.349 1.090 20 0 DDADMM CC[C@@H](C)CCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167505151 734621831 /nfs/dbraw/zinc/62/18/31/734621831.db2.gz IBDMWBSLWHKWJS-GFCCVEGCSA-N -1 1 323.441 1.713 20 0 DDADMM Cc1cc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)co1 ZINC001024939521 736176656 /nfs/dbraw/zinc/17/66/56/736176656.db2.gz CPKLIJSLVQGSCB-GFCCVEGCSA-N -1 1 319.365 1.196 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C(=O)OC ZINC000692904009 738985667 /nfs/dbraw/zinc/98/56/67/738985667.db2.gz HQXRZUBGTWPYQO-LURJTMIESA-N -1 1 310.734 1.104 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)COC1 ZINC000692825510 738379258 /nfs/dbraw/zinc/37/92/58/738379258.db2.gz CINKITCDNLRSTN-UHFFFAOYSA-N -1 1 308.762 1.579 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2C[C@@H]2CC(C)(C)CO2)c1[O-] ZINC001038164303 739248495 /nfs/dbraw/zinc/24/84/95/739248495.db2.gz IPDOYBLPHBEVMC-NEPJUHHUSA-N -1 1 322.409 1.043 20 0 DDADMM COc1cccc2cc(CNC(=O)CCc3nn[n-]n3)oc21 ZINC001143531578 739265409 /nfs/dbraw/zinc/26/54/09/739265409.db2.gz GEIJXYYLPHJJNQ-UHFFFAOYSA-N -1 1 301.306 1.204 20 0 DDADMM O=C(NC[C@H]1CC[N@@H+](CCF)C1)c1nnc2ccccc2c1O ZINC001028840554 740101018 /nfs/dbraw/zinc/10/10/18/740101018.db2.gz IHQXRGXGUBHNHW-LLVKDONJSA-N -1 1 318.352 1.357 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@@]2(C)C=CCC2)C1 ZINC001029806807 741328007 /nfs/dbraw/zinc/32/80/07/741328007.db2.gz RRVPMQGDKBDAIZ-WBMJQRKESA-N -1 1 319.409 1.147 20 0 DDADMM Cc1ncoc1C[N@H+]1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001088539419 741712115 /nfs/dbraw/zinc/71/21/15/741712115.db2.gz YVEWUEWGDRMDBY-NEPJUHHUSA-N -1 1 316.361 1.476 20 0 DDADMM CC(C)[C@@H](F)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076246255 742618726 /nfs/dbraw/zinc/61/87/26/742618726.db2.gz KSDGAAWYGKXNSU-CMPLNLGQSA-N -1 1 311.357 1.406 20 0 DDADMM COCc1csc(NC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC001182698456 743699747 /nfs/dbraw/zinc/69/97/47/743699747.db2.gz JTZCQESKTXNGCZ-UHFFFAOYSA-N -1 1 321.387 1.873 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cnn2c1nccc2-c1cccnc1 ZINC001183563778 743857602 /nfs/dbraw/zinc/85/76/02/743857602.db2.gz XQJOAKYTCYEHAB-UHFFFAOYSA-N -1 1 321.300 1.472 20 0 DDADMM CC[C@@H]1CCN(CC(=O)Nc2cc(=O)[n-]c(SC)n2)C1=O ZINC001183667276 743878339 /nfs/dbraw/zinc/87/83/39/743878339.db2.gz PWKIUVGWQPTMFD-MRVPVSSYSA-N -1 1 310.379 1.101 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cccc(CO)n2)cc1C(=O)[O-] ZINC001184667283 744080845 /nfs/dbraw/zinc/08/08/45/744080845.db2.gz HDOVNIXZJPVRIC-UHFFFAOYSA-N -1 1 322.342 1.381 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ncccc1C1CCC1 ZINC001185290282 744199498 /nfs/dbraw/zinc/19/94/98/744199498.db2.gz XXMKGDDVFCTDFK-UHFFFAOYSA-N -1 1 310.317 1.973 20 0 DDADMM COC(=O)CC[C@@H]1COCCN1C(=O)c1ccc([O-])c(F)c1 ZINC001186226852 744359288 /nfs/dbraw/zinc/35/92/88/744359288.db2.gz RTBNYCLCXIJKEK-LLVKDONJSA-N -1 1 311.309 1.326 20 0 DDADMM CCOC(=O)c1c[nH]nc1CNC(=O)c1ccc([O-])c(F)c1 ZINC001186243171 744362591 /nfs/dbraw/zinc/36/25/91/744362591.db2.gz ZFMJYIANROKFTM-UHFFFAOYSA-N -1 1 307.281 1.361 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1Cc2ccnn2CC[C@H]1CO ZINC001186327877 744375823 /nfs/dbraw/zinc/37/58/23/744375823.db2.gz VBLSACSTDVXIES-NSHDSACASA-N -1 1 305.309 1.135 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cnc(Cl)c(C(=O)OC)c1 ZINC001187249877 744536359 /nfs/dbraw/zinc/53/63/59/744536359.db2.gz JGWAGKCTXOSDRC-UHFFFAOYSA-N -1 1 322.770 1.300 20 0 DDADMM C[C@@H](C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000992048904 744560775 /nfs/dbraw/zinc/56/07/75/744560775.db2.gz MMOBJKVDYXHWRH-XSRFYTQQSA-N -1 1 317.389 1.458 20 0 DDADMM Cn1nc2c(c1NC(=O)c1n[n-]nc1C(F)(F)F)CCC2 ZINC001187758697 744605680 /nfs/dbraw/zinc/60/56/80/744605680.db2.gz CNPVZQNEQMQZOT-UHFFFAOYSA-N -1 1 300.244 1.298 20 0 DDADMM O=S(=O)([N-]Cc1cnc2ccc(F)cn12)c1nccs1 ZINC001187924819 744636148 /nfs/dbraw/zinc/63/61/48/744636148.db2.gz AVTYTHUYPFHXEK-UHFFFAOYSA-N -1 1 312.351 1.408 20 0 DDADMM COCC1([N-]S(=O)(=O)c2nccs2)Cc2ccccc2C1 ZINC001187925363 744636397 /nfs/dbraw/zinc/63/63/97/744636397.db2.gz MDAGQTMCFYDVLL-UHFFFAOYSA-N -1 1 324.427 1.605 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cc(-c2cccs2)on1 ZINC001189182807 744838925 /nfs/dbraw/zinc/83/89/25/744838925.db2.gz CBSQLXZKSLAZHL-UHFFFAOYSA-N -1 1 319.302 1.439 20 0 DDADMM Cc1nc([N-]S(=O)(=O)Cc2ccc(F)cc2F)cc(=O)[nH]1 ZINC001189782782 744980748 /nfs/dbraw/zinc/98/07/48/744980748.db2.gz YEBRKHYJTWIROW-UHFFFAOYSA-N -1 1 315.301 1.711 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2cnc3n[nH]cc3c2)c(OC)c1 ZINC001190110101 745117533 /nfs/dbraw/zinc/11/75/33/745117533.db2.gz GSUQQTRTVFNJMJ-UHFFFAOYSA-N -1 1 314.301 1.933 20 0 DDADMM Nc1cc[nH]c(=O)c1NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190618250 745260077 /nfs/dbraw/zinc/26/00/77/745260077.db2.gz CVQLXGVXKQOHGK-UHFFFAOYSA-N -1 1 324.300 1.179 20 0 DDADMM Nc1nonc1[N-]C(=O)c1cc(OC(F)(F)F)ccc1F ZINC001190658841 745274221 /nfs/dbraw/zinc/27/42/21/745274221.db2.gz YPSMHVWUQAUDBZ-UHFFFAOYSA-N -1 1 306.175 1.942 20 0 DDADMM COc1cc(C(=O)N2CC[C@@](C)(O)[C@@H](F)C2)cc(Cl)c1[O-] ZINC001191139174 745443257 /nfs/dbraw/zinc/44/32/57/745443257.db2.gz PMZMABJYAGUDGO-SMDDNHRTSA-N -1 1 317.744 1.989 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@](C)(F)[C@@H](F)C2)c(=O)[n-]1 ZINC001191429173 745509419 /nfs/dbraw/zinc/50/94/19/745509419.db2.gz WGONFBAUQOKDSH-UFBFGSQYSA-N -1 1 303.334 1.816 20 0 DDADMM CSc1ncc(C(=O)Nc2ccn(C(C)C)c(=O)c2)c(=O)[n-]1 ZINC001191439616 745513057 /nfs/dbraw/zinc/51/30/57/745513057.db2.gz BYOQDZGCULNWGV-UHFFFAOYSA-N -1 1 320.374 1.899 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)c2ncc(F)cn2)c(=O)[n-]1 ZINC001191441291 745513624 /nfs/dbraw/zinc/51/36/24/745513624.db2.gz TZDBVQVNBJKTHW-ZCFIWIBFSA-N -1 1 309.326 1.324 20 0 DDADMM CC(=O)NC[C@H](C)C[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192053718 745686376 /nfs/dbraw/zinc/68/63/76/745686376.db2.gz BKWMFWIVNYTOGN-YFKPBYRVSA-N -1 1 311.618 1.006 20 0 DDADMM Cc1cc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)c(C)n1C ZINC001192428858 745781500 /nfs/dbraw/zinc/78/15/00/745781500.db2.gz LBQSUKMVAMCBFL-UHFFFAOYSA-N -1 1 318.337 1.854 20 0 DDADMM CC(C)(C)NC(=O)CCNC(=O)c1cc([O-])cc(F)c1F ZINC001192685125 745861803 /nfs/dbraw/zinc/86/18/03/745861803.db2.gz YVKYHOOTGRNRQY-UHFFFAOYSA-N -1 1 300.305 1.705 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CCC[C@@H](n2cncn2)C1 ZINC001192664902 745868103 /nfs/dbraw/zinc/86/81/03/745868103.db2.gz QKRNCTUEQKDZMK-SECBINFHSA-N -1 1 308.288 1.739 20 0 DDADMM Cc1cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)n(C(C)(C)C)n1 ZINC001192730663 745870240 /nfs/dbraw/zinc/87/02/40/745870240.db2.gz XJZXQKDFCMEIAG-UHFFFAOYSA-N -1 1 315.337 1.571 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)c2ccc(F)c(F)c2[O-])n1 ZINC001192784887 745891657 /nfs/dbraw/zinc/89/16/57/745891657.db2.gz SXTYEQDBSVCYTP-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cccc(O)c1C ZINC001193058610 745975663 /nfs/dbraw/zinc/97/56/63/745975663.db2.gz IGZFTAKNOGVJIU-UHFFFAOYSA-N -1 1 301.364 1.784 20 0 DDADMM COc1nc(C)nc(Cl)c1[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC001193208344 746013831 /nfs/dbraw/zinc/01/38/31/746013831.db2.gz VHWUYMQDWCXVFD-QMMMGPOBSA-N -1 1 321.786 1.368 20 0 DDADMM CC(=O)Oc1ccc(F)c([N-]S(=O)(=O)C[C@@H]2CCCO2)c1 ZINC001193280687 746044924 /nfs/dbraw/zinc/04/49/24/746044924.db2.gz RUYVOTYQAAPAFV-NSHDSACASA-N -1 1 317.338 1.672 20 0 DDADMM NC(=O)c1cccnc1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001193598871 746162210 /nfs/dbraw/zinc/16/22/10/746162210.db2.gz LOOJZUUYRNNGSV-UHFFFAOYSA-N -1 1 323.312 1.324 20 0 DDADMM CCCCOC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1[O-] ZINC001193706782 746186931 /nfs/dbraw/zinc/18/69/31/746186931.db2.gz GYDCXDFQQDYCTF-UHFFFAOYSA-N -1 1 316.379 1.991 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2o[nH]c(=O)c2c1 ZINC001193931888 746225888 /nfs/dbraw/zinc/22/58/88/746225888.db2.gz IBDAFVSZOIWXJU-UHFFFAOYSA-N -1 1 305.315 1.916 20 0 DDADMM CC(=O)Nc1cc([N-]S(=O)(=O)c2ccccc2N)ccn1 ZINC001193895787 746230727 /nfs/dbraw/zinc/23/07/27/746230727.db2.gz KTMFKYVEZPVAQO-UHFFFAOYSA-N -1 1 306.347 1.423 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc(C)nn2C2CCC2)[n-]n1 ZINC001194281938 746337264 /nfs/dbraw/zinc/33/72/64/746337264.db2.gz BEZULTHTIJKUPW-UHFFFAOYSA-N -1 1 303.322 1.679 20 0 DDADMM Cn1c(NC(=O)c2cc([O-])cnc2Cl)nnc1C(F)(F)F ZINC001195297862 746570874 /nfs/dbraw/zinc/57/08/74/746570874.db2.gz JCSMHFHEMIABJT-UHFFFAOYSA-N -1 1 321.646 1.840 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cnc(Cl)c(C(=O)OC)c1 ZINC001195458602 746606101 /nfs/dbraw/zinc/60/61/01/746606101.db2.gz VYMWWOOVEVDVJC-UHFFFAOYSA-N -1 1 317.754 1.965 20 0 DDADMM CCCCOCC[N@H+]1CCCN(C(=O)c2n[nH]c(C)c2[O-])CC1 ZINC001195497485 746626453 /nfs/dbraw/zinc/62/64/53/746626453.db2.gz NNPFVWQTOBEJKC-UHFFFAOYSA-N -1 1 324.425 1.388 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)c2ccc(O)cc2)cc1 ZINC001195755338 746687197 /nfs/dbraw/zinc/68/71/97/746687197.db2.gz KCAZUGVIROEALN-UHFFFAOYSA-N -1 1 306.343 1.553 20 0 DDADMM COc1cccc(NC(=S)NCCCC[P@](=O)([O-])O)c1 ZINC001196069237 746771202 /nfs/dbraw/zinc/77/12/02/746771202.db2.gz QIAXMGDGNODDCB-UHFFFAOYSA-N -1 1 318.335 1.940 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cc(F)cc(F)c1O ZINC001196964490 747022320 /nfs/dbraw/zinc/02/23/20/747022320.db2.gz BGAAVNXWHSMUMV-UHFFFAOYSA-N -1 1 323.317 1.755 20 0 DDADMM O=c1[nH]ncc([N-]S(=O)(=O)Cc2ccccn2)c1Cl ZINC001197788868 747264450 /nfs/dbraw/zinc/26/44/50/747264450.db2.gz JBUXOVMUACXEMM-UHFFFAOYSA-N -1 1 300.727 1.173 20 0 DDADMM CC(C)n1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cnc1=O ZINC001199243225 747759015 /nfs/dbraw/zinc/75/90/15/747759015.db2.gz VCNIGPIZOBLRQR-UHFFFAOYSA-N -1 1 316.243 1.213 20 0 DDADMM Cc1oncc1CN1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001033035417 748000517 /nfs/dbraw/zinc/00/05/17/748000517.db2.gz GOBUQQGCKVFPKH-CYBMUJFWSA-N -1 1 316.361 1.430 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(NC(=S)NC3CCCCC3)c2[nH]1 ZINC001200653120 748315924 /nfs/dbraw/zinc/31/59/24/748315924.db2.gz DSPRNZXFPHPMSC-UHFFFAOYSA-N -1 1 308.367 1.383 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1CCC1 ZINC001004326586 748324576 /nfs/dbraw/zinc/32/45/76/748324576.db2.gz FUFHZNPQGILXOH-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)C(C)(C)F ZINC001004666683 748634968 /nfs/dbraw/zinc/63/49/68/748634968.db2.gz GCMDAIGSTOATIJ-VHSXEESVSA-N -1 1 313.377 1.118 20 0 DDADMM CC(C)C(=O)N1CC[C@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001004750291 748716035 /nfs/dbraw/zinc/71/60/35/748716035.db2.gz JYFLPCHEMAKHPR-RYUDHWBXSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)CC1CCC1 ZINC001004800873 748808573 /nfs/dbraw/zinc/80/85/73/748808573.db2.gz PTNVJTOCYLZRRA-YPMHNXCESA-N -1 1 321.425 1.560 20 0 DDADMM CCC(CC)C(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202522885 749168839 /nfs/dbraw/zinc/16/88/39/749168839.db2.gz RHZIHJSXHZUPQD-RYUDHWBXSA-N -1 1 321.425 1.464 20 0 DDADMM CN(c1cc(F)ncn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001056900540 761994129 /nfs/dbraw/zinc/99/41/29/761994129.db2.gz INVHVHSWGZELCT-JTQLQIEISA-N -1 1 317.324 1.067 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@]2(C)CN(CCC3CC3)CCO2)c1[O-] ZINC001107872828 750504379 /nfs/dbraw/zinc/50/43/79/750504379.db2.gz UCEHFHRCNUWTAQ-MRXNPFEDSA-N -1 1 322.409 1.045 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001014780714 750689535 /nfs/dbraw/zinc/68/95/35/750689535.db2.gz YKFLOMYLEJJWHA-VXGBXAGGSA-N -1 1 305.378 1.553 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]1CNCc1ccon1 ZINC001034916087 751051349 /nfs/dbraw/zinc/05/13/49/751051349.db2.gz NVGSWZPJKHRLIH-GFCCVEGCSA-N -1 1 302.334 1.170 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)C1CCCCC1 ZINC001000709497 762117479 /nfs/dbraw/zinc/11/74/79/762117479.db2.gz GSOCVRADOHBBEF-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM Cc1cc(CN[C@H]2CCCN(C(=O)c3n[nH]c(C)c3[O-])C2)on1 ZINC000998951921 752384619 /nfs/dbraw/zinc/38/46/19/752384619.db2.gz FWQNZHBDHXEYIR-NSHDSACASA-N -1 1 319.365 1.115 20 0 DDADMM O=C(C1=CCCCCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999011186 752434121 /nfs/dbraw/zinc/43/41/21/752434121.db2.gz VUIFEKWMCUZNSB-ZDUSSCGKSA-N -1 1 319.409 1.481 20 0 DDADMM COC(=O)c1coc(CNC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC001169001740 762172556 /nfs/dbraw/zinc/17/25/56/762172556.db2.gz GGEGQFLBXAKQHE-UHFFFAOYSA-N -1 1 303.270 1.271 20 0 DDADMM Cc1conc1CN1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001007178635 753298734 /nfs/dbraw/zinc/29/87/34/753298734.db2.gz UHWHWWZPBCOKJW-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM CC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001009763494 753348546 /nfs/dbraw/zinc/34/85/46/753348546.db2.gz CPMWATPOOFGLDN-JHJVBQTASA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CC2CC2)C1 ZINC001005947579 753407256 /nfs/dbraw/zinc/40/72/56/753407256.db2.gz AIGXFNPRWPPTHY-SNVBAGLBSA-N -1 1 303.362 1.164 20 0 DDADMM C/C=C(/C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839504 753760329 /nfs/dbraw/zinc/76/03/29/753760329.db2.gz STZAESDTHOWTEF-JYOAFUTRSA-N -1 1 303.362 1.522 20 0 DDADMM Cc1cnoc1C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001078180528 753819787 /nfs/dbraw/zinc/81/97/87/753819787.db2.gz ICPRYKTYWASAGZ-JTQLQIEISA-N -1 1 318.333 1.022 20 0 DDADMM CCCC(=O)N1CCCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001010630309 754065609 /nfs/dbraw/zinc/06/56/09/754065609.db2.gz QZANLAAMRGMYJK-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM Cc1ccncc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010726881 754125528 /nfs/dbraw/zinc/12/55/28/754125528.db2.gz NSUXMKNRXUOVIG-CQSZACIVSA-N -1 1 312.373 1.495 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC(C)(C)C ZINC001011492572 754558375 /nfs/dbraw/zinc/55/83/75/754558375.db2.gz BEOKBWKZXZIHIA-RYUDHWBXSA-N -1 1 319.405 1.943 20 0 DDADMM CC(=O)N1CCC[C@H]1CN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001001988497 754624210 /nfs/dbraw/zinc/62/42/10/754624210.db2.gz UYUZLPACTVRNOF-LBPRGKRZSA-N -1 1 318.377 1.143 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)CC1CC1)C(=O)c1ncccc1[O-] ZINC001002032102 754658849 /nfs/dbraw/zinc/65/88/49/754658849.db2.gz OXTBUKSHJTWYGZ-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM CCCC(=O)N1CC[C@]2(NC(=O)c3ncccc3[O-])CCC[C@H]12 ZINC001014252128 755663125 /nfs/dbraw/zinc/66/31/25/755663125.db2.gz FKURNUVZFJYXLK-SUMWQHHRSA-N -1 1 317.389 1.841 20 0 DDADMM C[C@@H]1CN(C(=O)[C@]23C[C@H]2CCCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082728597 756906642 /nfs/dbraw/zinc/90/66/42/756906642.db2.gz YSGGKRIYWJUABQ-DSZLRUIBSA-N -1 1 319.409 1.027 20 0 DDADMM Cc1nc(Cl)cc(N[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097765235 757512106 /nfs/dbraw/zinc/51/21/06/757512106.db2.gz POABUXVPAKAKDJ-MRVPVSSYSA-N -1 1 321.768 1.769 20 0 DDADMM CCc1cc(N[C@@H](C)CNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001097765313 757512310 /nfs/dbraw/zinc/51/23/10/757512310.db2.gz QZOQEVVPIACGJU-JTQLQIEISA-N -1 1 315.377 1.678 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)C=C2CCC2)C1 ZINC001017102245 757639478 /nfs/dbraw/zinc/63/94/78/757639478.db2.gz REEOIISKGCRPQQ-CYBMUJFWSA-N -1 1 319.409 1.291 20 0 DDADMM C[C@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCO1 ZINC000822511915 758220835 /nfs/dbraw/zinc/22/08/35/758220835.db2.gz RFZPCHZBYQUURR-NSHDSACASA-N -1 1 302.334 1.831 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CCC1 ZINC001018098112 758546066 /nfs/dbraw/zinc/54/60/66/758546066.db2.gz BHZWNJGGVGSJLI-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM COC(=O)[C@@]1(C)CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000824115799 759152127 /nfs/dbraw/zinc/15/21/27/759152127.db2.gz BSQCJIIDTDKNKP-INIZCTEOSA-N -1 1 319.361 1.475 20 0 DDADMM Cc1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)ccc1Cl ZINC000827967673 759430853 /nfs/dbraw/zinc/43/08/53/759430853.db2.gz BWOMRNIBXYPITK-NSHDSACASA-N -1 1 307.741 1.375 20 0 DDADMM C[C@@H]1CN(C(=O)C23CCC(CC2)C3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054802490 760096288 /nfs/dbraw/zinc/09/62/88/760096288.db2.gz OQMIAGBQTGIEKT-QZCIIZHISA-N -1 1 319.409 1.027 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001001464183 762885947 /nfs/dbraw/zinc/88/59/47/762885947.db2.gz AWHQTMQKDMHYCI-LLVKDONJSA-N -1 1 303.362 1.022 20 0 DDADMM CC1(CC(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001001543551 762948148 /nfs/dbraw/zinc/94/81/48/762948148.db2.gz FUNJZYUVQWMRDC-UHFFFAOYSA-N -1 1 303.362 1.166 20 0 DDADMM O=C(NCC1CN(C(=O)[C@H]2CC23CCC3)C1)c1ncccc1[O-] ZINC001001544736 762948638 /nfs/dbraw/zinc/94/86/38/762948638.db2.gz JFQDXEHRAGJEFX-GFCCVEGCSA-N -1 1 315.373 1.166 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(Cc2ccns2)C1 ZINC001042645857 764308487 /nfs/dbraw/zinc/30/84/87/764308487.db2.gz DSGFFZQKHJBQLH-UHFFFAOYSA-N -1 1 304.375 1.200 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H](C)Nc1nccnc1F ZINC001112983185 765099561 /nfs/dbraw/zinc/09/95/61/765099561.db2.gz GXJXSLWEFWEDKA-RKDXNWHRSA-N -1 1 305.313 1.335 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)c1cccc(F)n1 ZINC001113575352 765896402 /nfs/dbraw/zinc/89/64/02/765896402.db2.gz SYMBOFZVZLBLLA-JTQLQIEISA-N -1 1 304.325 1.576 20 0 DDADMM Cc1cc(N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])ncn1 ZINC001113575547 765897143 /nfs/dbraw/zinc/89/71/43/765897143.db2.gz XGDPZIVAFIFHDB-NSHDSACASA-N -1 1 301.350 1.140 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001045088081 766086669 /nfs/dbraw/zinc/08/66/69/766086669.db2.gz XNPJVKWNPJIPDY-PWSUYJOCSA-N -1 1 307.398 1.027 20 0 DDADMM O=c1nc2[nH]c([O-])nc(Nc3nccc(-c4ccco4)n3)c-2[nH]1 ZINC001170212864 766172926 /nfs/dbraw/zinc/17/29/26/766172926.db2.gz FANJTLAIQQUARZ-UHFFFAOYSA-N -1 1 311.261 1.509 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cnc4cc(F)ccc4n3)c2[nH]1 ZINC001170213983 766174142 /nfs/dbraw/zinc/17/41/42/766174142.db2.gz VOTAXAXAJPBTLX-UHFFFAOYSA-N -1 1 313.252 1.542 20 0 DDADMM C[Si](C)(C)c1cccnc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170216446 766175165 /nfs/dbraw/zinc/17/51/65/766175165.db2.gz CPXFMTCUQHGMMN-UHFFFAOYSA-N -1 1 316.397 1.400 20 0 DDADMM Cc1cn(-c2cc(Nc3[n-]c(=O)nc4nc[nH]c43)ncn2)cn1 ZINC001170226696 766200018 /nfs/dbraw/zinc/20/00/18/766200018.db2.gz PVLSDILHVRBBJJ-UHFFFAOYSA-N -1 1 309.293 1.086 20 0 DDADMM Cc1cc(NC2(CNC(=O)c3ncccc3[O-])CC2)nc(C)n1 ZINC001110097916 766257685 /nfs/dbraw/zinc/25/76/85/766257685.db2.gz JYBOPYOIKOPKGV-UHFFFAOYSA-N -1 1 313.361 1.569 20 0 DDADMM Cc1cnc(C)nc1N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001058344393 766437272 /nfs/dbraw/zinc/43/72/72/766437272.db2.gz ZMUBWXFMNRVXIO-GFCCVEGCSA-N -1 1 313.361 1.203 20 0 DDADMM Cc1ncc(/C=C/C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)s1 ZINC001129409618 766871693 /nfs/dbraw/zinc/87/16/93/766871693.db2.gz WSKFEDHAAJRZDJ-ONEGZZNKSA-N -1 1 316.346 1.143 20 0 DDADMM Cc1nccc(N[C@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)n1 ZINC001068821301 767638851 /nfs/dbraw/zinc/63/88/51/767638851.db2.gz ATDDKMOYNYMMIH-PWSUYJOCSA-N -1 1 313.361 1.601 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)CC(C)(C)C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131817645 768401431 /nfs/dbraw/zinc/40/14/31/768401431.db2.gz PCXCJDHKSCIELC-NWDGAFQWSA-N -1 1 323.441 1.014 20 0 DDADMM Cc1cc(N[C@@H](CNC(=O)c2ncccc2[O-])C2CC2)ncn1 ZINC001096638684 771363654 /nfs/dbraw/zinc/36/36/54/771363654.db2.gz BXOMXFNSQOFELR-LBPRGKRZSA-N -1 1 313.361 1.506 20 0 DDADMM CCc1cnc([N-]C(=O)c2nc(-c3cnccn3)no2)s1 ZINC001136911836 772205336 /nfs/dbraw/zinc/20/53/36/772205336.db2.gz GUMCTSHXHCSJCJ-UHFFFAOYSA-N -1 1 302.319 1.798 20 0 DDADMM CSc1nc(CNC(=O)C=Cc2ccc(C)nc2)cc(=O)[n-]1 ZINC001143620759 772279621 /nfs/dbraw/zinc/27/96/21/772279621.db2.gz WBUFSOYVAUTGKV-WAYWQWQTSA-N -1 1 316.386 1.937 20 0 DDADMM [O-]c1cc(F)c(CN2CCN(c3cnccn3)CC2)c(F)c1 ZINC001144542380 772562181 /nfs/dbraw/zinc/56/21/81/772562181.db2.gz VLUHMCGTMDZDAT-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM C[C@]12CN(C(=O)c3ncccc3[O-])C[C@H]1C[N@H+](C/C=C\Cl)C2 ZINC001091618988 773061422 /nfs/dbraw/zinc/06/14/22/773061422.db2.gz OLNGCNDDWWNLJZ-RFRSMIAGSA-N -1 1 321.808 1.934 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)c1nc(OC)ccc1F ZINC001147764712 773220794 /nfs/dbraw/zinc/22/07/94/773220794.db2.gz DUMXTUMAKZQPOF-UHFFFAOYSA-N -1 1 308.269 1.381 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC(OC3CCC3)CC2)c1[O-] ZINC001147836520 773262910 /nfs/dbraw/zinc/26/29/10/773262910.db2.gz LHWHBTSMGOBARH-UHFFFAOYSA-N -1 1 320.389 1.762 20 0 DDADMM COc1cc(Cl)ncc1NC(=O)c1c([O-])c(C)ncc1CO ZINC001147837157 773264338 /nfs/dbraw/zinc/26/43/38/773264338.db2.gz VNGKMRNKQCTJAT-UHFFFAOYSA-N -1 1 323.736 1.897 20 0 DDADMM CC(C)CN1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001074219906 773743354 /nfs/dbraw/zinc/74/33/54/773743354.db2.gz YUZAFVJRVZYRLE-ZFWWWQNUSA-N -1 1 319.405 1.359 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(N[C@@H]3CCc4c(F)cccc4C3)c2[nH]1 ZINC001171407394 774623609 /nfs/dbraw/zinc/62/36/09/774623609.db2.gz GXXDCIVCDBOAOS-MRVPVSSYSA-N -1 1 315.308 1.824 20 0 DDADMM CCOC(=O)c1nonc1[N-]c1cc(C(F)(F)F)nn1C ZINC001174324025 777457344 /nfs/dbraw/zinc/45/73/44/777457344.db2.gz TWVKBFMEYHKHMB-UHFFFAOYSA-N -1 1 305.216 1.742 20 0 DDADMM CN(C)c1nc(Nc2cnc3n[nH]cc3c2)c(N=O)c(=O)[n-]1 ZINC001175402977 777773499 /nfs/dbraw/zinc/77/34/99/777773499.db2.gz JSZLTYGSMBBTGH-UHFFFAOYSA-N -1 1 300.282 1.661 20 0 DDADMM Cc1cnccc1CCC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001175845037 777924915 /nfs/dbraw/zinc/92/49/15/777924915.db2.gz RCBWTKSHIOGUFL-UHFFFAOYSA-N -1 1 303.278 1.560 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cc(C(F)(F)F)cc2ncccc21 ZINC001176844715 778299879 /nfs/dbraw/zinc/29/98/79/778299879.db2.gz HMRBEIGCOCCPNH-UHFFFAOYSA-N -1 1 322.250 1.948 20 0 DDADMM Cc1ccsc1C=CC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001177077184 778429978 /nfs/dbraw/zinc/42/99/78/778429978.db2.gz GLPUKYQYISUMCI-NSCUHMNNSA-N -1 1 317.330 1.738 20 0 DDADMM Cc1ccnc(SCC(=O)Nc2n[n-]c(C(F)(F)F)n2)n1 ZINC001177494163 778619760 /nfs/dbraw/zinc/61/97/60/778619760.db2.gz HKJLZTOEIOCYKD-UHFFFAOYSA-N -1 1 318.284 1.653 20 0 DDADMM Cc1c[nH]c(C)c1CCC(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001177593526 778660915 /nfs/dbraw/zinc/66/09/15/778660915.db2.gz XRGDQTIVBAHBCF-UHFFFAOYSA-N -1 1 300.322 1.575 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C3(c4ncccc4C)CC3)c1-2 ZINC001178201215 778941199 /nfs/dbraw/zinc/94/11/99/778941199.db2.gz PJGWQPIPIUFCQQ-UHFFFAOYSA-N -1 1 308.345 1.409 20 0 DDADMM CN(C)c1nc(NC(=O)CCc2cnoc2)c(N=O)c(=O)[n-]1 ZINC001178399502 779015019 /nfs/dbraw/zinc/01/50/19/779015019.db2.gz DWQMETUMNZJHQR-UHFFFAOYSA-N -1 1 306.282 1.205 20 0 DDADMM COc1cnc(F)c(CC(=O)Nc2cc(=O)[n-]c(SC)n2)c1 ZINC001178424240 779050684 /nfs/dbraw/zinc/05/06/84/779050684.db2.gz SHXHOZJFGAANMH-UHFFFAOYSA-N -1 1 324.337 1.628 20 0 DDADMM CCOC(=O)c1cn(C)cc1NC(=O)C(=O)c1ccc([O-])cc1 ZINC001179186927 779308991 /nfs/dbraw/zinc/30/89/91/779308991.db2.gz HGKDQLQTYSIETO-UHFFFAOYSA-N -1 1 316.313 1.729 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cn4cc(C)ccc4n3)c1-2 ZINC001150877574 779464061 /nfs/dbraw/zinc/46/40/61/779464061.db2.gz QLPFPBFTFLYTOH-UHFFFAOYSA-N -1 1 307.317 1.243 20 0 DDADMM CC(C)(C)C[C@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692823194 779490162 /nfs/dbraw/zinc/49/01/62/779490162.db2.gz KTTFYAQXISYFQC-MRVPVSSYSA-N -1 1 324.805 1.950 20 0 DDADMM CC[C@H](CC(C)C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179876214 779533188 /nfs/dbraw/zinc/53/31/88/779533188.db2.gz KQMLLZZMBGFVDI-XQQFMLRXSA-N -1 1 323.441 1.662 20 0 DDADMM O=C([O-])C(F)(F)C(F)(F)C(=O)NC1(c2ccccn2)CC1 ZINC001180176196 779635447 /nfs/dbraw/zinc/63/54/47/779635447.db2.gz QLJLFNODHPGNQG-UHFFFAOYSA-N -1 1 306.215 1.542 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nnc(-c3cccnc3)o2)o1 ZINC001117167754 780682654 /nfs/dbraw/zinc/68/26/54/780682654.db2.gz VWQMODUNLITACO-UHFFFAOYSA-N -1 1 306.303 1.300 20 0 DDADMM CCc1nc(C)c(C(=O)Nc2nc3nc(CC)cc(=O)n3[n-]2)o1 ZINC001118787309 781239981 /nfs/dbraw/zinc/23/99/81/781239981.db2.gz AZAHPBFQYPGPKI-UHFFFAOYSA-N -1 1 316.321 1.091 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC/C=C\C2CC2)C1 ZINC001118974018 781287452 /nfs/dbraw/zinc/28/74/52/781287452.db2.gz VDLPPLJIKCDQAC-ZRMMWKCHSA-N -1 1 319.327 1.805 20 0 DDADMM O=C([O-])[C@@]1(N2CCN(C(=O)C[C@@H]3C=CCCC3)CC2)CCOC1 ZINC001119581626 781525775 /nfs/dbraw/zinc/52/57/75/781525775.db2.gz JBQAVUXYNKFPJM-RHSMWYFYSA-N -1 1 322.405 1.121 20 0 DDADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)C1CC(C(C)(C)C)C1 ZINC001267476842 838140418 /nfs/dbraw/zinc/14/04/18/838140418.db2.gz PFNYBVNJLDMQRR-UHFFFAOYSA-N -1 1 309.414 1.131 20 0 DDADMM CC(C)N(CCCNC(=O)[C@H](C)C1CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001267503794 838199969 /nfs/dbraw/zinc/19/99/69/838199969.db2.gz KHMVEUOVEZUXTL-GFCCVEGCSA-N -1 1 323.441 1.663 20 0 DDADMM CN(CCNC(=O)[C@@H]1CC[C@H](F)C1)C(=O)c1ncccc1[O-] ZINC001408669926 838408117 /nfs/dbraw/zinc/40/81/17/838408117.db2.gz WEQGIVAJZZMNKW-MNOVXSKESA-N -1 1 309.341 1.114 20 0 DDADMM NC(=O)[C@H]1CC12CCN(C(=O)c1cc(Cl)ccc1[O-])CC2 ZINC001269574127 841781398 /nfs/dbraw/zinc/78/13/98/841781398.db2.gz NCUSAAOFKFIZOG-LLVKDONJSA-N -1 1 308.765 1.773 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCCC[C@H]2C)[n-]n1 ZINC001414212366 842302784 /nfs/dbraw/zinc/30/27/84/842302784.db2.gz ZWXLSAUCYUABCU-ZJUUUORDSA-N -1 1 315.395 1.301 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCCC[C@H]2C)n[n-]1 ZINC001414212366 842302796 /nfs/dbraw/zinc/30/27/96/842302796.db2.gz ZWXLSAUCYUABCU-ZJUUUORDSA-N -1 1 315.395 1.301 20 0 DDADMM Cn1cc(C(=O)Nc2ccc(F)c([O-])c2)c(=O)c2cccn21 ZINC001154649796 861321768 /nfs/dbraw/zinc/32/17/68/861321768.db2.gz IHCGWHNFKOFWLE-UHFFFAOYSA-N -1 1 301.277 1.735 20 0 DDADMM CCOC(=O)c1oc([N-][C@H](CC)C(N)=O)nc1C(F)(F)F ZINC001363737953 842629854 /nfs/dbraw/zinc/62/98/54/842629854.db2.gz ZNMNKQYETOYOSS-RXMQYKEDSA-N -1 1 309.244 1.546 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)NC[C@H]3CNC(=O)O3)cc2c1 ZINC001154761148 861430839 /nfs/dbraw/zinc/43/08/39/861430839.db2.gz YPLWIAFWVRVXGF-LBPRGKRZSA-N -1 1 316.313 1.392 20 0 DDADMM CCC(CC)(CC)C(=O)N[C@@H](C)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001409417364 845074411 /nfs/dbraw/zinc/07/44/11/845074411.db2.gz UKTRLKNZIJNKCE-QWRGUYRKSA-N -1 1 311.430 1.710 20 0 DDADMM CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1cc([O-])cnc1Cl ZINC001272386162 846090988 /nfs/dbraw/zinc/09/09/88/846090988.db2.gz AWYCRRXHZGWPIZ-GHMZBOCLSA-N -1 1 309.753 1.276 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCc2cccnc21 ZINC001149500735 861662435 /nfs/dbraw/zinc/66/24/35/861662435.db2.gz PFYKIJHORGCBOX-DZGCQCFKSA-N -1 1 320.356 1.530 20 0 DDADMM COC[C@@H](NC(=O)C(C)=CC1CCC(C)CC1)c1nn[n-]n1 ZINC001155069393 861700459 /nfs/dbraw/zinc/70/04/59/861700459.db2.gz PAMJIPDNNHKNIE-WXRWJGBCSA-N -1 1 307.398 1.776 20 0 DDADMM COC[C@@H](NC(=O)/C(C)=C/C1CCC(C)CC1)c1nn[n-]n1 ZINC001155069393 861700472 /nfs/dbraw/zinc/70/04/72/861700472.db2.gz PAMJIPDNNHKNIE-WXRWJGBCSA-N -1 1 307.398 1.776 20 0 DDADMM O=C(NCCn1nnc2ccccc21)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155270046 861918009 /nfs/dbraw/zinc/91/80/09/861918009.db2.gz YRMUOZYKMCLYEI-UHFFFAOYSA-N -1 1 322.328 1.443 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H](C)C2(C)CC2)[n-]n1 ZINC001434560192 848659223 /nfs/dbraw/zinc/65/92/23/848659223.db2.gz ZRBCLIDUTNDIIG-QMMMGPOBSA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H](C)C2(C)CC2)n[n-]1 ZINC001434560192 848659225 /nfs/dbraw/zinc/65/92/25/848659225.db2.gz ZRBCLIDUTNDIIG-QMMMGPOBSA-N -1 1 301.368 1.005 20 0 DDADMM O=C(NC1(CNC(=O)[C@]23C[C@H]2CCC3)CC1)c1ncccc1[O-] ZINC001410807772 849788307 /nfs/dbraw/zinc/78/83/07/849788307.db2.gz ZGYRXDAMKXZISI-PIGZYNQJSA-N -1 1 315.373 1.356 20 0 DDADMM CCC[C@@](C)(CC)C(=O)N1CC[C@](C)(NC(=O)c2cnn[nH]2)C1 ZINC001411009311 850101172 /nfs/dbraw/zinc/10/11/72/850101172.db2.gz UERHUARAKVKQQA-CVEARBPZSA-N -1 1 321.425 1.742 20 0 DDADMM CC(C)CC(=O)N1CC[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001411036466 850140822 /nfs/dbraw/zinc/14/08/22/850140822.db2.gz APVIYQGNDSKRGF-QGZVFWFLSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1ccn2ncnc2c1 ZINC001155579880 862250423 /nfs/dbraw/zinc/25/04/23/862250423.db2.gz XZGHWBYXDKFEKA-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM CN1C[C@@]2(CC1=O)COCCN(Cc1ccc(Cl)c([O-])c1)C2 ZINC001274183057 852013646 /nfs/dbraw/zinc/01/36/46/852013646.db2.gz DRNFIUNQCALLJN-MRXNPFEDSA-N -1 1 324.808 1.726 20 0 DDADMM CN(C(=O)c1ccc(Cl)nc1C(F)(F)F)c1nn[n-]n1 ZINC001279188228 852130362 /nfs/dbraw/zinc/13/03/62/852130362.db2.gz QKSCSKODEGTLES-UHFFFAOYSA-N -1 1 306.635 1.544 20 0 DDADMM CN1CCO[C@@]2(CCCN(C(=O)c3c([O-])cccc3Cl)C2)C1 ZINC001275090466 852804241 /nfs/dbraw/zinc/80/42/41/852804241.db2.gz IRLXWCFRVCPHRQ-INIZCTEOSA-N -1 1 324.808 1.982 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCNC(=O)[C@H]1c1cccs1 ZINC001411865465 853858207 /nfs/dbraw/zinc/85/82/07/853858207.db2.gz ZPHJNMUEZMBHFL-CYBMUJFWSA-N -1 1 320.345 1.906 20 0 DDADMM COCCOCN1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(F)ccc1[O-] ZINC001275917695 853942622 /nfs/dbraw/zinc/94/26/22/853942622.db2.gz HZXVIRKVWKOISQ-BETUJISGSA-N -1 1 324.352 1.051 20 0 DDADMM CN(C(=O)c1cccc(F)c1OC(F)(F)F)c1nn[n-]n1 ZINC001412234131 854363426 /nfs/dbraw/zinc/36/34/26/854363426.db2.gz SAMQEEPDPRSOBH-UHFFFAOYSA-N -1 1 305.191 1.514 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](c2ccccc2)[C@@H](C)O)c(=O)[n-]1 ZINC001412366399 854470872 /nfs/dbraw/zinc/47/08/72/854470872.db2.gz BPXXFFQTFDSINB-BXKDBHETSA-N -1 1 319.386 1.756 20 0 DDADMM Cc1cccc(C)c1OCCC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001412375050 854484783 /nfs/dbraw/zinc/48/47/83/854484783.db2.gz LBIMPTLOERTSMD-UHFFFAOYSA-N -1 1 317.345 1.590 20 0 DDADMM CCO[C@@H](CC)C(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001412456793 854571625 /nfs/dbraw/zinc/57/16/25/854571625.db2.gz GFBMJVGTRQQTEH-YPMHNXCESA-N -1 1 323.393 1.179 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@H](C)C1CCC1 ZINC001412491714 854619836 /nfs/dbraw/zinc/61/98/36/854619836.db2.gz NMNNNBCNKAFUAR-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H](CO)c1ccc(Cl)cc1 ZINC001412534926 854674960 /nfs/dbraw/zinc/67/49/60/854674960.db2.gz AMJGDHVAWQNURV-LLVKDONJSA-N -1 1 321.768 1.125 20 0 DDADMM CC(C)(C)Oc1cccc(C(=O)NC2(c3nn[n-]n3)CCC2)n1 ZINC001412535347 854676578 /nfs/dbraw/zinc/67/65/78/854676578.db2.gz BVCUHTPAMKGMIU-UHFFFAOYSA-N -1 1 316.365 1.581 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC[C@](CO)(C(F)(F)F)C1 ZINC001412572966 854740109 /nfs/dbraw/zinc/74/01/09/854740109.db2.gz KQJBTLVTHVVBHA-LBPRGKRZSA-N -1 1 307.243 1.918 20 0 DDADMM COC[C@H](NC(=O)[C@H](C)Cc1ccccc1Cl)c1nn[n-]n1 ZINC001412612717 854811769 /nfs/dbraw/zinc/81/17/69/854811769.db2.gz TTWUGLYQTZIOMW-SKDRFNHKSA-N -1 1 323.784 1.536 20 0 DDADMM O=C(NC[C@@H]1CCCCS1(=O)=O)c1cccc([O-])c1F ZINC001412725729 855029064 /nfs/dbraw/zinc/02/90/64/855029064.db2.gz GHDIFUZOKHGFGR-VIFPVBQESA-N -1 1 301.339 1.228 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC([C@@H]2COC(=O)N2)CC1 ZINC001412735217 855048121 /nfs/dbraw/zinc/04/81/21/855048121.db2.gz WKUIYLPTHAPGRA-LBPRGKRZSA-N -1 1 308.309 1.492 20 0 DDADMM CCC(CC)(NC(=O)c1cnc(OC)c(Cl)c1)c1nn[n-]n1 ZINC001412936399 855801002 /nfs/dbraw/zinc/80/10/02/855801002.db2.gz OSIYOOHFWQFCQY-UHFFFAOYSA-N -1 1 324.772 1.702 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N(C)[C@H](CC)C(=O)[O-] ZINC000900464224 856498284 /nfs/dbraw/zinc/49/82/84/856498284.db2.gz AFRSIKURSSTXKZ-CHWSQXEVSA-N -1 1 308.378 1.797 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCC(C)(C)CO1 ZINC001413428984 856797418 /nfs/dbraw/zinc/79/74/18/856797418.db2.gz NELUEWALQBLCHC-VIFPVBQESA-N -1 1 303.384 1.049 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)CC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001413502572 856898167 /nfs/dbraw/zinc/89/81/67/856898167.db2.gz JQIXNLXLXQQQRX-SNVBAGLBSA-N -1 1 319.413 1.718 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2cccnc2)[n-]c1=O ZINC001413502541 856898218 /nfs/dbraw/zinc/89/82/18/856898218.db2.gz IFLQBSKLLLOSTP-UHFFFAOYSA-N -1 1 303.322 1.643 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CCC(F)F ZINC001413547354 857046401 /nfs/dbraw/zinc/04/64/01/857046401.db2.gz ZNRSCDVEVJPZDU-MRVPVSSYSA-N -1 1 316.308 1.690 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CCC(F)F ZINC001413547354 857046415 /nfs/dbraw/zinc/04/64/15/857046415.db2.gz ZNRSCDVEVJPZDU-MRVPVSSYSA-N -1 1 316.308 1.690 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CCC(F)F ZINC001413547354 857046422 /nfs/dbraw/zinc/04/64/22/857046422.db2.gz ZNRSCDVEVJPZDU-MRVPVSSYSA-N -1 1 316.308 1.690 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H](CO)CC3CCCC3)ccnc1-2 ZINC001413561638 857073210 /nfs/dbraw/zinc/07/32/10/857073210.db2.gz NTOXQNRGSHIZMG-GFCCVEGCSA-N -1 1 317.393 1.405 20 0 DDADMM COc1cccc(-c2noc(-c3nc(Br)n[n-]3)n2)n1 ZINC001213001900 862911910 /nfs/dbraw/zinc/91/19/10/862911910.db2.gz DOQGIXHHLLZARR-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM COc1cccc(-c2noc(-c3n[n-]c(Br)n3)n2)n1 ZINC001213001900 862911922 /nfs/dbraw/zinc/91/19/22/862911922.db2.gz DOQGIXHHLLZARR-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc4ccccc4cn3)c1-2 ZINC001151683815 862916010 /nfs/dbraw/zinc/91/60/10/862916010.db2.gz BECRGBBXDHMWTI-UHFFFAOYSA-N -1 1 304.313 1.835 20 0 DDADMM Cn1ccc2c1nccc2C(=O)NCCCC[P@](=O)([O-])O ZINC001151797574 862976923 /nfs/dbraw/zinc/97/69/23/862976923.db2.gz HQTFFRDVCUJMEQ-UHFFFAOYSA-N -1 1 311.278 1.261 20 0 DDADMM Cc1cc(-c2noc(-c3nnc(Br)[n-]3)n2)ccn1 ZINC001213002306 863003487 /nfs/dbraw/zinc/00/34/87/863003487.db2.gz YMYQZGMJAPEZEJ-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM Cc1cc(-c2noc(-c3n[n-]c(Br)n3)n2)ccn1 ZINC001213002306 863003507 /nfs/dbraw/zinc/00/35/07/863003507.db2.gz YMYQZGMJAPEZEJ-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM CS(=O)(=O)CC1(C(=O)Nc2ccc([O-])c(Cl)c2)COC1 ZINC001123669957 859352116 /nfs/dbraw/zinc/35/21/16/859352116.db2.gz XKWXXSFXCSGDGP-UHFFFAOYSA-N -1 1 319.766 1.045 20 0 DDADMM CC1(C)[C@@H]2CC[C@@]1(C(=O)N1CCC[C@H](c3nn[n-]n3)C1)C(=O)C2 ZINC001123671329 859353892 /nfs/dbraw/zinc/35/38/92/859353892.db2.gz LLWUSXZHLOOGQU-USBNGQNGSA-N -1 1 317.393 1.301 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1[C@H]2CCO[C@@H]2C12CCC2 ZINC001123766368 859404253 /nfs/dbraw/zinc/40/42/53/859404253.db2.gz MKIXOUFKQGVSGX-IEBDPFPHSA-N -1 1 321.402 1.467 20 0 DDADMM CCn1nnc2c1[C@@H](COC)CN(Cc1ncccc1[O-])C2 ZINC001138390577 860085672 /nfs/dbraw/zinc/08/56/72/860085672.db2.gz BRFFNZRTSGBRIT-LLVKDONJSA-N -1 1 303.366 1.144 20 0 DDADMM C[C@@H]1CN(C2CN(Cc3ccc([O-])c(F)c3F)C2)C[C@@H](C)O1 ZINC001140895799 860728241 /nfs/dbraw/zinc/72/82/41/860728241.db2.gz XDFOVHYUERIGPP-GHMZBOCLSA-N -1 1 312.360 1.964 20 0 DDADMM [O-]c1c(F)cc(CN2CCN(c3ncncn3)CC2)cc1F ZINC001140992128 860753562 /nfs/dbraw/zinc/75/35/62/860753562.db2.gz OJDQIYRTRNHOGU-UHFFFAOYSA-N -1 1 307.304 1.178 20 0 DDADMM CC(=O)Nc1cc(NC(=O)c2ccc3[nH][n-]c(=O)c3c2)ncn1 ZINC001154204969 860867101 /nfs/dbraw/zinc/86/71/01/860867101.db2.gz ZENJNTCRVXBIFM-UHFFFAOYSA-N -1 1 312.289 1.269 20 0 DDADMM Cc1cccc2c(C(=O)Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)c[nH]c21 ZINC001151957856 863080321 /nfs/dbraw/zinc/08/03/21/863080321.db2.gz BOVHPKWNLSDKGP-UHFFFAOYSA-N -1 1 324.300 1.758 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2cc(C)cc(C=O)c2[O-])o1 ZINC001156493251 863101190 /nfs/dbraw/zinc/10/11/90/863101190.db2.gz PNFKCRVITRSZJE-UHFFFAOYSA-N -1 1 317.297 1.823 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnn4cccc(F)c34)c1-2 ZINC001156910522 863441015 /nfs/dbraw/zinc/44/10/15/863441015.db2.gz BYWOYZIESIQOGG-UHFFFAOYSA-N -1 1 311.280 1.074 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCC[C@H](CCO)C1 ZINC001152672416 863485459 /nfs/dbraw/zinc/48/54/59/863485459.db2.gz NISRFMFHKAARPP-LLVKDONJSA-N -1 1 316.357 1.881 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCC[C@@H](CCO)C1 ZINC001152672418 863485746 /nfs/dbraw/zinc/48/57/46/863485746.db2.gz NISRFMFHKAARPP-NSHDSACASA-N -1 1 316.357 1.881 20 0 DDADMM C[C@]1(C(=O)Nc2ccc(Br)c([O-])c2)CCNC1=O ZINC001329856429 863901371 /nfs/dbraw/zinc/90/13/71/863901371.db2.gz BSPIKLQTXSKVNB-LBPRGKRZSA-N -1 1 313.151 1.619 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c(Cl)ccc2cc(Cl)cnc21 ZINC001153480340 863908345 /nfs/dbraw/zinc/90/83/45/863908345.db2.gz DOSKMRPBBLDOPB-UHFFFAOYSA-N -1 1 323.143 1.985 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@@H]1CCCCN1Cc1ccncc1 ZINC001330202689 864151113 /nfs/dbraw/zinc/15/11/13/864151113.db2.gz OMRPKTUMZMWDGR-INIZCTEOSA-N -1 1 321.421 1.909 20 0 DDADMM COCc1ccc(CNC(=O)c2c[n-]c3cccnc3c2=O)cc1 ZINC001153862440 864174634 /nfs/dbraw/zinc/17/46/34/864174634.db2.gz UYYWVCNWQGPFDX-UHFFFAOYSA-N -1 1 323.352 2.000 20 0 DDADMM CCCCO[C@@H](C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001330288666 864216328 /nfs/dbraw/zinc/21/63/28/864216328.db2.gz SSMWGXXVMVLXCJ-JTQLQIEISA-N -1 1 309.366 1.076 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1cccc(Cl)c1 ZINC001381432461 881753499 /nfs/dbraw/zinc/75/34/99/881753499.db2.gz BNQMXJHCUNWDHQ-BDAKNGLRSA-N -1 1 323.784 1.460 20 0 DDADMM O=CN1CCC[C@H]1C(=O)Nc1ccc(Br)c([O-])c1 ZINC001330788796 864607457 /nfs/dbraw/zinc/60/74/57/864607457.db2.gz XYKZQQGKNZLXFC-JTQLQIEISA-N -1 1 313.151 1.714 20 0 DDADMM CCN(CC)c1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)ncn1 ZINC001159189637 865156339 /nfs/dbraw/zinc/15/63/39/865156339.db2.gz NHCOKXHJYPTLNF-UHFFFAOYSA-N -1 1 316.325 1.096 20 0 DDADMM CN(C(=O)c1ccc(Br)c(Cl)c1)c1nn[n-]n1 ZINC001361554866 881886067 /nfs/dbraw/zinc/88/60/67/881886067.db2.gz QDEFCTSMXJMAKO-UHFFFAOYSA-N -1 1 316.546 1.892 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCOC4(CCC4)C3)nc2n1 ZINC001361583533 881946491 /nfs/dbraw/zinc/94/64/91/881946491.db2.gz HDANRZQGOSZCEY-JTQLQIEISA-N -1 1 317.349 1.014 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](OCC)C3CC3)nc2n1 ZINC001361588574 881955244 /nfs/dbraw/zinc/95/52/44/881955244.db2.gz SFVTVSMZVNGHJN-LBPRGKRZSA-N -1 1 319.365 1.124 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ncc(F)cc2F)[n-]1 ZINC001361648586 882081125 /nfs/dbraw/zinc/08/11/25/882081125.db2.gz CUMLAVHAOOPCHE-UHFFFAOYSA-N -1 1 323.255 1.929 20 0 DDADMM C[S@@](=O)CC[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001324860267 867584348 /nfs/dbraw/zinc/58/43/48/867584348.db2.gz RPRUNMKXZKOTNQ-MRXNPFEDSA-N -1 1 317.219 1.045 20 0 DDADMM CCOC(=O)c1c(CN)ncn1-c1ccc2oc(=S)[n-]c2c1 ZINC001162537272 867616815 /nfs/dbraw/zinc/61/68/15/867616815.db2.gz IHGYTSUERUUMBU-UHFFFAOYSA-N -1 1 318.358 1.938 20 0 DDADMM CC(C)CN(CC(=O)N(C)c1nn[n-]n1)C(=O)OC(C)(C)C ZINC001361667133 882118122 /nfs/dbraw/zinc/11/81/22/882118122.db2.gz OGMGLRLOHSBTAR-UHFFFAOYSA-N -1 1 312.374 1.056 20 0 DDADMM C[C@H](CCCNC(=O)CC1CC1)NC(=O)c1ncccc1[O-] ZINC001335999649 868670763 /nfs/dbraw/zinc/67/07/63/868670763.db2.gz OEHYTCYCCZAFRY-LLVKDONJSA-N -1 1 305.378 1.602 20 0 DDADMM CO[C@@H]1CCC[C@H]1C(=O)[N-]OC[C@H](C)NC(=O)OC(C)(C)C ZINC001336420576 868935598 /nfs/dbraw/zinc/93/55/98/868935598.db2.gz PSSCVYQEGHLQAE-QJPTWQEYSA-N -1 1 316.398 1.763 20 0 DDADMM CN1C(=O)Cc2cc(NCC[N-]C(=O)C(F)(F)F)ccc21 ZINC001164244051 869050424 /nfs/dbraw/zinc/05/04/24/869050424.db2.gz ROJMPNLIFQVGLZ-UHFFFAOYSA-N -1 1 301.268 1.296 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1cccc(OCC(N)=O)c1 ZINC001361746316 882282545 /nfs/dbraw/zinc/28/25/45/882282545.db2.gz CRBZOGIBRXZVJP-UHFFFAOYSA-N -1 1 316.313 1.517 20 0 DDADMM Cc1conc1CS(=O)(=O)[N-][C@H](C)C(=O)OC(C)(C)C ZINC001363818862 887304894 /nfs/dbraw/zinc/30/48/94/887304894.db2.gz DDNNQQRCLHHODZ-SECBINFHSA-N -1 1 304.368 1.133 20 0 DDADMM CN(CC1(C)COC1)c1nnc(-c2cc(Cl)ncc2[O-])n1C ZINC001338977970 870276705 /nfs/dbraw/zinc/27/67/05/870276705.db2.gz ZNVIBPGDJMTPPH-UHFFFAOYSA-N -1 1 323.784 1.709 20 0 DDADMM Cn1nnnc1CCSc1nc(-c2ccccc2)cc(=O)[n-]1 ZINC001166903439 870353703 /nfs/dbraw/zinc/35/37/03/870353703.db2.gz KLRBVOVLCIBGDA-UHFFFAOYSA-N -1 1 314.374 1.708 20 0 DDADMM CC[C@@H]1c2ccccc2CN1c1nnc(Cc2nnn[n-]2)n1CC ZINC001339411920 870486286 /nfs/dbraw/zinc/48/62/86/870486286.db2.gz LUUOBBDDZXTUEJ-CYBMUJFWSA-N -1 1 324.392 1.873 20 0 DDADMM CC[C@@H]1c2ccccc2CN1c1nnc(Cc2nn[n-]n2)n1CC ZINC001339411920 870486293 /nfs/dbraw/zinc/48/62/93/870486293.db2.gz LUUOBBDDZXTUEJ-CYBMUJFWSA-N -1 1 324.392 1.873 20 0 DDADMM CNC(=O)c1ccc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cn1 ZINC001203631729 870683418 /nfs/dbraw/zinc/68/34/18/870683418.db2.gz JGCDVRIWIKOSCN-UHFFFAOYSA-N -1 1 317.309 1.144 20 0 DDADMM CSc1ncc(C(=O)NCC(=O)Nc2ccccc2)c(=O)[n-]1 ZINC001361823011 882439265 /nfs/dbraw/zinc/43/92/65/882439265.db2.gz CIXGRUMMYOTZLX-UHFFFAOYSA-N -1 1 318.358 1.273 20 0 DDADMM COC(=O)C[C@@H](CNC(=O)c1cc(C)cc(C=O)c1[O-])C1CC1 ZINC001300811722 870961533 /nfs/dbraw/zinc/96/15/33/870961533.db2.gz RAUKHELSNHFAJM-LBPRGKRZSA-N -1 1 319.357 1.832 20 0 DDADMM CN(C(=O)c1cc(Cc2ccccc2)ccc1O)c1nn[n-]n1 ZINC001301546169 870998385 /nfs/dbraw/zinc/99/83/85/870998385.db2.gz CLEPLPHTXKIAMN-UHFFFAOYSA-N -1 1 309.329 1.773 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ncc2ccccn21 ZINC001301650751 871013577 /nfs/dbraw/zinc/01/35/77/871013577.db2.gz VCDCGDRQOBWQHT-CYBMUJFWSA-N -1 1 319.328 1.367 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@H](c2ccco2)C1 ZINC001361863980 882526760 /nfs/dbraw/zinc/52/67/60/882526760.db2.gz WVOKYRNDQGLEHL-NSHDSACASA-N -1 1 315.329 1.126 20 0 DDADMM O=C(Cn1c(=O)onc1-c1ccncc1)c1ccc([O-])cc1O ZINC001318090682 871741500 /nfs/dbraw/zinc/74/15/00/871741500.db2.gz BMDTXOQDBWXNQU-UHFFFAOYSA-N -1 1 313.269 1.192 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N(C)CCC(C)C ZINC001342472497 872224164 /nfs/dbraw/zinc/22/41/64/872224164.db2.gz CFJNZKHMRFDDOK-UHFFFAOYSA-N -1 1 322.417 1.367 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N(C)CCC(C)C ZINC001342472497 872224178 /nfs/dbraw/zinc/22/41/78/872224178.db2.gz CFJNZKHMRFDDOK-UHFFFAOYSA-N -1 1 322.417 1.367 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)[C@@H]2CCC(=O)[C@H](C)C2)[n-]1 ZINC001361895331 882593859 /nfs/dbraw/zinc/59/38/59/882593859.db2.gz MMXFQQPHAVPBLP-PSASIEDQSA-N -1 1 320.345 1.948 20 0 DDADMM Cn1[n-]c(COC(=O)CCc2nc3ccccc3s2)nc1=O ZINC001342638739 872310205 /nfs/dbraw/zinc/31/02/05/872310205.db2.gz VDTFVUQSEDOESR-UHFFFAOYSA-N -1 1 318.358 1.394 20 0 DDADMM CC1(C)C[C@H]1C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001316916120 872353376 /nfs/dbraw/zinc/35/33/76/872353376.db2.gz PCTFNIBYGLPRNI-NSHDSACASA-N -1 1 307.398 1.027 20 0 DDADMM COCCn1c(-c2c[n-][nH]c2=O)nnc1N(C)c1ccccc1 ZINC001342756221 872370822 /nfs/dbraw/zinc/37/08/22/872370822.db2.gz GNAYTYQGKWKWKA-UHFFFAOYSA-N -1 1 314.349 1.788 20 0 DDADMM CN(C(=O)c1c(F)cc(Br)cc1F)c1nn[n-]n1 ZINC001361904825 882614072 /nfs/dbraw/zinc/61/40/72/882614072.db2.gz MHLOKNKIUNTMNT-UHFFFAOYSA-N -1 1 318.081 1.517 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC(n2cncn2)C1 ZINC001361910382 882624424 /nfs/dbraw/zinc/62/44/24/882624424.db2.gz CKQJHZSXDQUJAO-UHFFFAOYSA-N -1 1 312.251 1.700 20 0 DDADMM Cc1ccc(N2CCOC2=O)cc1NC(=O)c1cnncc1[O-] ZINC001361918190 882638606 /nfs/dbraw/zinc/63/86/06/882638606.db2.gz RLXVQUWLBQZQGO-UHFFFAOYSA-N -1 1 314.301 1.700 20 0 DDADMM CCC[C@H](C)CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207651220 873442127 /nfs/dbraw/zinc/44/21/27/873442127.db2.gz PHZGMEKRMGPZPX-RYUDHWBXSA-N -1 1 309.414 1.417 20 0 DDADMM COCC[C@H](NC(=O)c1c(C)[nH]c(=O)[n-]c1=S)c1ccco1 ZINC001346518838 873788601 /nfs/dbraw/zinc/78/86/01/873788601.db2.gz FZQYNRKZYQKWMK-VIFPVBQESA-N -1 1 323.374 1.880 20 0 DDADMM O=C(c1cnncc1[O-])N1CCC[C@H](c2nnc3ccccn32)C1 ZINC001346649829 873836742 /nfs/dbraw/zinc/83/67/42/873836742.db2.gz VRMFZVQLOHTSHF-NSHDSACASA-N -1 1 324.344 1.245 20 0 DDADMM Cc1ccc(-n2nc(C(=O)OCc3nn[n-]n3)c3c2CCC3)cc1 ZINC001348850145 874829875 /nfs/dbraw/zinc/82/98/75/874829875.db2.gz MXTCGGOBVIRDKL-UHFFFAOYSA-N -1 1 324.344 1.540 20 0 DDADMM Cc1cnc(Cl)cc1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001349214295 875037937 /nfs/dbraw/zinc/03/79/37/875037937.db2.gz SDINNELIEDXOIU-SNVBAGLBSA-N -1 1 320.784 1.651 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001378571994 875153049 /nfs/dbraw/zinc/15/30/49/875153049.db2.gz ICUVEWYGYHYSMP-NEPJUHHUSA-N -1 1 305.378 1.410 20 0 DDADMM CC(C)n1cc(CNCCc2ccc(OCC(=O)[O-])cc2)nn1 ZINC001350610451 875785434 /nfs/dbraw/zinc/78/54/34/875785434.db2.gz HHUVOBMTJSPIJL-UHFFFAOYSA-N -1 1 318.377 1.655 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CCc2ccc(F)cc2C1 ZINC001350910640 875953849 /nfs/dbraw/zinc/95/38/49/875953849.db2.gz VSJUWTYTYCLGHY-UHFFFAOYSA-N -1 1 314.324 1.613 20 0 DDADMM Cc1ncc(CN(C)C(=O)c2c(C)[nH]c(=O)[n-]c2=S)s1 ZINC001350916959 875957436 /nfs/dbraw/zinc/95/74/36/875957436.db2.gz LWTPIOXDRVCDBM-UHFFFAOYSA-N -1 1 310.404 1.816 20 0 DDADMM CCS(=O)(=O)c1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001362080876 882985501 /nfs/dbraw/zinc/98/55/01/882985501.db2.gz HULCUSVSXNTUHF-UHFFFAOYSA-N -1 1 307.331 1.228 20 0 DDADMM CN(CCCNC(=O)CC1CCCC1)C(=O)c1ncccc1[O-] ZINC001351195278 876112264 /nfs/dbraw/zinc/11/22/64/876112264.db2.gz GNFFXYAPQJAPHM-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC[C@@H](C)[S@@](C)=O ZINC001362090994 883010533 /nfs/dbraw/zinc/01/05/33/883010533.db2.gz SHGAFVDFOCZASK-JLPJYYFKSA-N -1 1 317.436 1.099 20 0 DDADMM COC(=O)C[C@H]1CCC[C@H](Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)C1 ZINC001227431627 883017967 /nfs/dbraw/zinc/01/79/67/883017967.db2.gz XFJHSOFIOABDRD-YUMQZZPRSA-N -1 1 322.321 1.265 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCC[C@@H]1CCCCO1 ZINC001351764899 876423103 /nfs/dbraw/zinc/42/31/03/876423103.db2.gz YNNDLCVESNHZNE-JTQLQIEISA-N -1 1 311.407 1.858 20 0 DDADMM C=C/C(C)=C\CC(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001351840553 876458581 /nfs/dbraw/zinc/45/85/81/876458581.db2.gz FSDYKPLYCCLDRB-JYRVWZFOSA-N -1 1 317.389 1.888 20 0 DDADMM CCC(CC)[C@@H](C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001215017804 876540907 /nfs/dbraw/zinc/54/09/07/876540907.db2.gz ONZOEFBNDKFGFG-NQBHXWOUSA-N -1 1 323.441 1.519 20 0 DDADMM CC[C@H](NC(=O)c1ccc([O-])cn1)c1nnc2n1CCCCC2 ZINC001362103046 883043379 /nfs/dbraw/zinc/04/33/79/883043379.db2.gz ZZWZHKKYQFHFAQ-LBPRGKRZSA-N -1 1 315.377 1.986 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)[C@H]1CCc2ccccc21 ZINC001352386812 876719337 /nfs/dbraw/zinc/71/93/37/876719337.db2.gz OEBUQMXQYSVEBR-ZDUSSCGKSA-N -1 1 324.392 1.526 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)[C@H]1CCc2ccccc21 ZINC001352386812 876719349 /nfs/dbraw/zinc/71/93/49/876719349.db2.gz OEBUQMXQYSVEBR-ZDUSSCGKSA-N -1 1 324.392 1.526 20 0 DDADMM CC(C)CCCC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001215634439 876771078 /nfs/dbraw/zinc/77/10/78/876771078.db2.gz PKRJFNNVZQDCGK-VXGBXAGGSA-N -1 1 309.414 1.273 20 0 DDADMM CCOc1cccc(C=CC(=O)N2CC[C@@H](c3nn[n-]n3)C2)c1 ZINC001352585034 876816637 /nfs/dbraw/zinc/81/66/37/876816637.db2.gz MYTLCLXKCMOTND-KTRBRXNASA-N -1 1 313.361 1.628 20 0 DDADMM COc1ncc(Nc2cncc(O)c2)cc1[N-]S(C)(=O)=O ZINC001216151649 876877298 /nfs/dbraw/zinc/87/72/98/876877298.db2.gz FJRMBNHBVBNIHU-UHFFFAOYSA-N -1 1 310.335 1.306 20 0 DDADMM COc1ncc(Nc2nc(C)ccc2O)cc1[N-]S(C)(=O)=O ZINC001216151621 876878265 /nfs/dbraw/zinc/87/82/65/876878265.db2.gz DXGHLBBLNKYWGR-UHFFFAOYSA-N -1 1 324.362 1.614 20 0 DDADMM O=c1nc(O[C@H]2Cc3ccc(Br)cc3C2)[n-]c(=O)[nH]1 ZINC001227569192 883084591 /nfs/dbraw/zinc/08/45/91/883084591.db2.gz VCLGMJWJKAKPMR-VIFPVBQESA-N -1 1 324.134 1.592 20 0 DDADMM CCOC(=O)[C@@H](C)n1cc(Nc2cc(=O)[n-]c(N(C)C)n2)cn1 ZINC001216690888 877019631 /nfs/dbraw/zinc/01/96/31/877019631.db2.gz FIHLXLVEIXXBFC-SECBINFHSA-N -1 1 320.353 1.312 20 0 DDADMM O=C(NC1C(=O)N=CN=C1[O-])[C@@H]1CCC[C@@H](c2ccccc2)N1 ZINC001218702460 877674780 /nfs/dbraw/zinc/67/47/80/877674780.db2.gz QGVSQCBFIZFLLH-RYUDHWBXSA-N -1 1 314.345 1.710 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H](C)NC(=O)C1CC2(CC2)C1 ZINC001380446445 879671612 /nfs/dbraw/zinc/67/16/12/879671612.db2.gz ZFTPXIQYTVKUCT-GHMZBOCLSA-N -1 1 317.389 1.600 20 0 DDADMM CCOCCCn1c(-c2c[n-][nH]c2=O)nnc1N1CC[C@H](C)C1 ZINC001357325837 879914501 /nfs/dbraw/zinc/91/45/01/879914501.db2.gz BEWPDZXNFXGAOJ-NSHDSACASA-N -1 1 320.397 1.647 20 0 DDADMM CC(C)Cn1c(-c2nnn[n-]2)nnc1N1Cc2ccccc2C1 ZINC001358129915 880341618 /nfs/dbraw/zinc/34/16/18/880341618.db2.gz NQFXQMOCLZMZMC-UHFFFAOYSA-N -1 1 310.365 1.634 20 0 DDADMM CC(C)Cn1c(-c2nn[n-]n2)nnc1N1Cc2ccccc2C1 ZINC001358129915 880341633 /nfs/dbraw/zinc/34/16/33/880341633.db2.gz NQFXQMOCLZMZMC-UHFFFAOYSA-N -1 1 310.365 1.634 20 0 DDADMM COCCn1c(-c2cc(Cl)ncc2[O-])nnc1N(C)OC ZINC001358404092 880422403 /nfs/dbraw/zinc/42/24/03/880422403.db2.gz IZXXRHUSDHCQSU-UHFFFAOYSA-N -1 1 313.745 1.343 20 0 DDADMM CCCSCC(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001358719124 880793521 /nfs/dbraw/zinc/79/35/21/880793521.db2.gz DVYKKLIAIZFCIH-UHFFFAOYSA-N -1 1 323.418 1.213 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](c1ccc(F)cc1F)C1CC1 ZINC001362183448 883234916 /nfs/dbraw/zinc/23/49/16/883234916.db2.gz ZLNYWEVNFWFPAI-AWEZNQCLSA-N -1 1 307.304 1.678 20 0 DDADMM C[C@@H]1C[C@H](C)[C@@H](C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)O1 ZINC001362300543 883514150 /nfs/dbraw/zinc/51/41/50/883514150.db2.gz UPFDLLGSKZFEER-RBZYPMLTSA-N -1 1 317.349 1.531 20 0 DDADMM C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)c2c(F)ccc([O-])c2F)CC1=O ZINC001362356350 883635301 /nfs/dbraw/zinc/63/53/01/883635301.db2.gz HZMKHTMMOZMCRS-WEDXCCLWSA-N -1 1 310.300 1.410 20 0 DDADMM Cn1cc(C(=O)Nc2ccc(Br)c([O-])c2)[nH]c1=O ZINC001362360792 883645381 /nfs/dbraw/zinc/64/53/81/883645381.db2.gz WCXQHHNTDKEYFT-UHFFFAOYSA-N -1 1 312.123 1.846 20 0 DDADMM CCCc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)no1 ZINC001362403730 883732026 /nfs/dbraw/zinc/73/20/26/883732026.db2.gz BKWFLTOETVKAQH-JTQLQIEISA-N -1 1 308.367 1.071 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cnncc1[O-])c1ccc(C)c(C)c1 ZINC001362406161 883739903 /nfs/dbraw/zinc/73/99/03/883739903.db2.gz ARSFPDHHWZWHPX-CQSZACIVSA-N -1 1 315.329 1.443 20 0 DDADMM CCC(CC)(NC(=O)c1cc(C(=O)OC)co1)c1nn[n-]n1 ZINC001362423060 883774185 /nfs/dbraw/zinc/77/41/85/883774185.db2.gz JOYNPWKIYQAPNC-UHFFFAOYSA-N -1 1 307.310 1.025 20 0 DDADMM Cn1cc([C@@H]2CSCCN2C(=O)c2ccc([O-])cn2)cn1 ZINC001362426424 883783106 /nfs/dbraw/zinc/78/31/06/883783106.db2.gz RCKCFFQRTIUNRZ-ZDUSSCGKSA-N -1 1 304.375 1.451 20 0 DDADMM COC(=O)c1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccc1C ZINC001362450870 883842995 /nfs/dbraw/zinc/84/29/95/883842995.db2.gz GRJSJKXPNDKKSX-SNVBAGLBSA-N -1 1 317.349 1.170 20 0 DDADMM CC[C@H](C)CCC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001229287310 883908200 /nfs/dbraw/zinc/90/82/00/883908200.db2.gz LTYNHBMVIQFGMJ-YNEHKIRRSA-N -1 1 323.441 1.854 20 0 DDADMM CS(=O)(=O)c1cc(NC(=O)c2cncc([O-])c2)ccc1F ZINC001362544081 884052900 /nfs/dbraw/zinc/05/29/00/884052900.db2.gz QSEMTBNSCXHROI-UHFFFAOYSA-N -1 1 310.306 1.582 20 0 DDADMM COC(=O)c1c[n-]c(=O)nc1O[C@@H]1CCCC2(C1)OCCO2 ZINC001229871288 884202690 /nfs/dbraw/zinc/20/26/90/884202690.db2.gz UKQGDOAQKVEQDV-SECBINFHSA-N -1 1 310.306 1.033 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(CC)on2)n[n-]1 ZINC001362663096 884356352 /nfs/dbraw/zinc/35/63/52/884356352.db2.gz YGFCIXHGULPDOP-ZETCQYMHSA-N -1 1 307.310 1.023 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(CC)on2)[n-]1 ZINC001362663096 884356367 /nfs/dbraw/zinc/35/63/67/884356367.db2.gz YGFCIXHGULPDOP-ZETCQYMHSA-N -1 1 307.310 1.023 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(CC)on2)n1 ZINC001362663096 884356381 /nfs/dbraw/zinc/35/63/81/884356381.db2.gz YGFCIXHGULPDOP-ZETCQYMHSA-N -1 1 307.310 1.023 20 0 DDADMM CCOC(=O)N1CCC([C@@H](C)NC(=O)c2ccc([O-])cn2)CC1 ZINC001362687003 884414371 /nfs/dbraw/zinc/41/43/71/884414371.db2.gz LNPFCSSONOVKOQ-LLVKDONJSA-N -1 1 321.377 1.774 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)c1csnn1 ZINC001362768832 884593823 /nfs/dbraw/zinc/59/38/23/884593823.db2.gz GLSZXFWFPGELIJ-YFKPBYRVSA-N -1 1 311.392 1.555 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)[C@@H]2C[C@H]2OC)n[n-]1 ZINC001362771161 884598307 /nfs/dbraw/zinc/59/83/07/884598307.db2.gz CWCVELFSDYRMIN-GMTAPVOTSA-N -1 1 324.381 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)[C@@H]2C[C@H]2OC)[n-]1 ZINC001362771161 884598319 /nfs/dbraw/zinc/59/83/19/884598319.db2.gz CWCVELFSDYRMIN-GMTAPVOTSA-N -1 1 324.381 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)[C@@H]2C[C@H]2OC)n1 ZINC001362771161 884598333 /nfs/dbraw/zinc/59/83/33/884598333.db2.gz CWCVELFSDYRMIN-GMTAPVOTSA-N -1 1 324.381 1.220 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)CCC1CC1 ZINC001382745902 884615112 /nfs/dbraw/zinc/61/51/12/884615112.db2.gz JOONZKMRLFDAQI-WDEREUQCSA-N -1 1 305.378 1.600 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)OCc1ccccc1)c1nn[n-]n1 ZINC001362782310 884624351 /nfs/dbraw/zinc/62/43/51/884624351.db2.gz CIIHOAXNGIFKCB-GFCCVEGCSA-N -1 1 317.393 1.937 20 0 DDADMM CS(=O)(=O)c1cccnc1CNC(=O)c1cccc([O-])c1F ZINC001362792144 884651558 /nfs/dbraw/zinc/65/15/58/884651558.db2.gz JOAXYGTUNZJIQP-UHFFFAOYSA-N -1 1 324.333 1.260 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2ncc(C)cc2[O-])s1 ZINC001362796447 884661221 /nfs/dbraw/zinc/66/12/21/884661221.db2.gz KLQIOJQLHPUSMV-UHFFFAOYSA-N -1 1 306.343 1.874 20 0 DDADMM CSc1ncc(C(=O)N2Cc3ccccc3CO2)c(=O)[n-]1 ZINC001362796529 884661815 /nfs/dbraw/zinc/66/18/15/884661815.db2.gz GQDXDCBDPBUALZ-UHFFFAOYSA-N -1 1 303.343 1.992 20 0 DDADMM CC[C@](NC(=O)c1ccc([O-])cn1)(C(=O)OC)c1ccccc1 ZINC001362803176 884680186 /nfs/dbraw/zinc/68/01/86/884680186.db2.gz OOQDACCRZNDPSL-QGZVFWFLSA-N -1 1 314.341 1.996 20 0 DDADMM CC(C)(C)[C@H](NC(N)=O)C(=O)Nc1cc([O-])c(F)cc1F ZINC001362814018 884703757 /nfs/dbraw/zinc/70/37/57/884703757.db2.gz BGPKOWBPTDDHQR-SNVBAGLBSA-N -1 1 301.293 1.692 20 0 DDADMM Cn1ccnc1CCC(=O)Nc1cc(C(=O)OC(C)(C)C)[nH]n1 ZINC001362820448 884717424 /nfs/dbraw/zinc/71/74/24/884717424.db2.gz BWSCOSSFYUYFIW-UHFFFAOYSA-N -1 1 319.365 1.670 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1noc2c1CCCCC2 ZINC001362829032 884740276 /nfs/dbraw/zinc/74/02/76/884740276.db2.gz DTFBURPTDVFASI-UHFFFAOYSA-N -1 1 318.381 1.755 20 0 DDADMM O=C(N[C@H]1CCN(c2ccc(F)cc2)C1=O)c1cncc([O-])c1 ZINC001362873247 884851028 /nfs/dbraw/zinc/85/10/28/884851028.db2.gz IMIKTRZWSGARME-AWEZNQCLSA-N -1 1 315.304 1.462 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](NC(=O)c2ccc([O-])cn2)C1 ZINC001362927037 885006999 /nfs/dbraw/zinc/00/69/99/885006999.db2.gz ZSYSICRLGLYDSL-LLVKDONJSA-N -1 1 321.377 1.917 20 0 DDADMM CC(C)(C)[C@@H](NC(=O)[C@H]1CCCc2[nH]ncc21)c1nc(=O)o[n-]1 ZINC001362937833 885032669 /nfs/dbraw/zinc/03/26/69/885032669.db2.gz MDTLLRYKHJBQCA-KWQFWETISA-N -1 1 319.365 1.410 20 0 DDADMM O=C(NC[C@@H]1CCCN1CC(F)F)c1cccc([O-])c1F ZINC001362961086 885092180 /nfs/dbraw/zinc/09/21/80/885092180.db2.gz BGEAPUFKKDOQGN-VIFPVBQESA-N -1 1 302.296 1.991 20 0 DDADMM CSc1nc(CNC(=O)c2cc(CO)c(C)o2)cc(=O)[n-]1 ZINC001362966438 885105013 /nfs/dbraw/zinc/10/50/13/885105013.db2.gz UJKZWZRSQYITKH-UHFFFAOYSA-N -1 1 309.347 1.228 20 0 DDADMM C[C@@H](NC(=O)c1cnncc1[O-])[C@H](C)NC(=O)OC(C)(C)C ZINC001363029738 885269523 /nfs/dbraw/zinc/26/95/23/885269523.db2.gz DWKGZQMPNOJOSJ-BDAKNGLRSA-N -1 1 310.354 1.214 20 0 DDADMM COc1ccc2c(c1)[C@H](C(=O)NCc1nc([O-])cc(=O)[nH]1)CC2 ZINC001363039005 885294849 /nfs/dbraw/zinc/29/48/49/885294849.db2.gz PRNRZTZESIGMJF-LLVKDONJSA-N -1 1 315.329 1.243 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@]2(C)CCCCO2)n[n-]1 ZINC001363046763 885320575 /nfs/dbraw/zinc/32/05/75/885320575.db2.gz PIXXSJKVFRXZBS-XPTSAGLGSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@]2(C)CCCCO2)[n-]1 ZINC001363046763 885320597 /nfs/dbraw/zinc/32/05/97/885320597.db2.gz PIXXSJKVFRXZBS-XPTSAGLGSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@]2(C)CCCCO2)n1 ZINC001363046763 885320614 /nfs/dbraw/zinc/32/06/14/885320614.db2.gz PIXXSJKVFRXZBS-XPTSAGLGSA-N -1 1 310.354 1.118 20 0 DDADMM Cc1noc([C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C(C)C)n1 ZINC001363102841 885471695 /nfs/dbraw/zinc/47/16/95/885471695.db2.gz NJAALPBTFJYOPW-LLVKDONJSA-N -1 1 317.349 1.878 20 0 DDADMM CN(C1CN(Cc2cc(C(=O)[O-])ccc2F)C1)[C@H]1CCOC1 ZINC001231458048 885678993 /nfs/dbraw/zinc/67/89/93/885678993.db2.gz DKNQYSWHJGBGRT-ZDUSSCGKSA-N -1 1 308.353 1.429 20 0 DDADMM CCOC(=O)Cc1ccccc1NC(=O)CCCc1nn[n-]n1 ZINC001363218093 885737259 /nfs/dbraw/zinc/73/72/59/885737259.db2.gz MMTJWJOWOHEBMX-UHFFFAOYSA-N -1 1 317.349 1.267 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2Cc3ccccc3N(C)C2=O)c([O-])c1 ZINC001363230932 885770386 /nfs/dbraw/zinc/77/03/86/885770386.db2.gz HWVOZPCTILOWFJ-GFCCVEGCSA-N -1 1 311.341 1.413 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1C[C@@H](O)[C@H](Oc2ccccc2)C1 ZINC001363230515 885771511 /nfs/dbraw/zinc/77/15/11/885771511.db2.gz ASEPXUSXNYCOSE-HZPDHXFCSA-N -1 1 317.316 1.796 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCOCC12CCCCC2 ZINC001363236658 885790709 /nfs/dbraw/zinc/79/07/09/885790709.db2.gz SZWTYIVRYFMBPP-UHFFFAOYSA-N -1 1 323.349 1.072 20 0 DDADMM CSCC[C@H](NC(=O)c1c(C)[nH]nc1Cl)c1nn[n-]n1 ZINC001363281437 885903875 /nfs/dbraw/zinc/90/38/75/885903875.db2.gz XTSMXWGBHSOVMU-LURJTMIESA-N -1 1 315.790 1.109 20 0 DDADMM C[C@]1(C(=O)NCc2nc([O-])cc(=O)[nH]2)CCOc2ccccc21 ZINC001363325215 886027366 /nfs/dbraw/zinc/02/73/66/886027366.db2.gz LAAMYKVUWYMXIN-INIZCTEOSA-N -1 1 315.329 1.244 20 0 DDADMM O=C(C[C@H]1CCC2(CCC2)CO1)NCc1nc([O-])cc(=O)[nH]1 ZINC001363326870 886030711 /nfs/dbraw/zinc/03/07/11/886030711.db2.gz LJOPZMVDYUVTKX-SNVBAGLBSA-N -1 1 307.350 1.243 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C1=Cc2ccccc2OC1 ZINC001363356878 886119220 /nfs/dbraw/zinc/11/92/20/886119220.db2.gz PPHKYVGXFXXOKY-UHFFFAOYSA-N -1 1 313.361 1.660 20 0 DDADMM COC[C@H](NC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1)c1nn[n-]n1 ZINC001363419391 886285526 /nfs/dbraw/zinc/28/55/26/886285526.db2.gz WPOOTUFJWLDLFS-MCIONIFRSA-N -1 1 315.377 1.587 20 0 DDADMM CS(C)(=O)=NC(=O)CNC(=O)c1ccc2ccccc2c1[O-] ZINC001363429682 886318626 /nfs/dbraw/zinc/31/86/26/886318626.db2.gz BBXSLIPFMIIOAL-UHFFFAOYSA-N -1 1 320.370 1.529 20 0 DDADMM C=C/C(C)=C\CC(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001277684850 886480398 /nfs/dbraw/zinc/48/03/98/886480398.db2.gz QKYUQWJMLRRQJM-PTJCHJMSSA-N -1 1 319.409 1.359 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC(=O)CC1CCCCC1 ZINC001363538375 886582817 /nfs/dbraw/zinc/58/28/17/886582817.db2.gz NICRPACILCTKOG-UHFFFAOYSA-N -1 1 323.349 1.166 20 0 DDADMM CCCn1cnc(CN2CCC([N-]C(=O)C(F)(F)F)CC2)c1 ZINC001232803410 886600815 /nfs/dbraw/zinc/60/08/15/886600815.db2.gz MRFLUMLLQUZPLW-UHFFFAOYSA-N -1 1 318.343 1.936 20 0 DDADMM CC(C)C(=O)N[C@@H]1[C@H]2CN(Cc3cc([O-])c(F)c(F)c3)C[C@H]21 ZINC001232969488 886703199 /nfs/dbraw/zinc/70/31/99/886703199.db2.gz DRHLTTQOYOJMHP-HBYGRHMLSA-N -1 1 310.344 1.873 20 0 DDADMM COC(=O)C[C@H]1COCCN1Cc1cc([O-])c(F)c(F)c1 ZINC001232975504 886705631 /nfs/dbraw/zinc/70/56/31/886705631.db2.gz LANWTQGZYFIQBX-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CCn2c(nnc2C2CC2)C1 ZINC001233034245 886744000 /nfs/dbraw/zinc/74/40/00/886744000.db2.gz IQSBIKOZHRMSAY-UHFFFAOYSA-N -1 1 305.769 1.925 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cnn(CCF)c2)[n-]c1=O ZINC001363603969 886744046 /nfs/dbraw/zinc/74/40/46/886744046.db2.gz OMLRZUOQWKQAAY-UHFFFAOYSA-N -1 1 323.328 1.022 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1ccnc(CO)c1 ZINC001363635726 886833230 /nfs/dbraw/zinc/83/32/30/886833230.db2.gz YPJCBILEZIUTHU-UHFFFAOYSA-N -1 1 308.284 1.530 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C2CC2)C[C@H]1C1CC1 ZINC001363650025 886886244 /nfs/dbraw/zinc/88/62/44/886886244.db2.gz OWKIQWNVLGFKHV-QWRGUYRKSA-N -1 1 319.361 1.547 20 0 DDADMM COc1ncc(C(=O)NCCc2c(F)cc([O-])cc2F)cn1 ZINC001363656724 886902800 /nfs/dbraw/zinc/90/28/00/886902800.db2.gz UIBRDWFHSVMOTE-UHFFFAOYSA-N -1 1 309.272 1.442 20 0 DDADMM C[C@@H](NC(=O)Cc1ccc([O-])c(Cl)c1)C1N=NC(=O)N1C ZINC001363754375 887146921 /nfs/dbraw/zinc/14/69/21/887146921.db2.gz XPZKNNUMEANXJT-SSDOTTSWSA-N -1 1 310.741 1.300 20 0 DDADMM COC(=O)c1cnc(CCNC(=O)c2cccc([O-])c2F)s1 ZINC001363781973 887204880 /nfs/dbraw/zinc/20/48/80/887204880.db2.gz PNSLMGWFKLKTNF-UHFFFAOYSA-N -1 1 324.333 1.747 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1ncc[nH]1)c1sccc1Cl ZINC001364043540 887784953 /nfs/dbraw/zinc/78/49/53/887784953.db2.gz MEICKPHZHRRQMI-SSDOTTSWSA-N -1 1 307.784 1.137 20 0 DDADMM CCc1ccc(O)c(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c1 ZINC001364085462 887880506 /nfs/dbraw/zinc/88/05/06/887880506.db2.gz DBYFYCYYAIAKDZ-NSHDSACASA-N -1 1 321.406 1.692 20 0 DDADMM CC(C)(C)c1ccc(C(=O)NCC(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001364091791 887896719 /nfs/dbraw/zinc/89/67/19/887896719.db2.gz KHECUMUKVHBXRZ-UHFFFAOYSA-N -1 1 316.361 1.781 20 0 DDADMM CCOC(=O)[C@@H]1[C@@H]2CCN(C(=O)c3ccc(F)c([O-])c3)C[C@@H]21 ZINC001364094473 887904159 /nfs/dbraw/zinc/90/41/59/887904159.db2.gz LUCZQHPRRHJYKZ-UHIISALHSA-N -1 1 307.321 1.803 20 0 DDADMM COc1ccc(C)nc1NC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001364110292 887943150 /nfs/dbraw/zinc/94/31/50/887943150.db2.gz ZYXOEFGJBLAXRT-UHFFFAOYSA-N -1 1 317.353 1.323 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@H](C)c2cccnc2Cl)c(=O)[n-]1 ZINC001234470930 888004202 /nfs/dbraw/zinc/00/42/02/888004202.db2.gz ZKNLPWRPVAVAQO-ZCFIWIBFSA-N -1 1 308.725 1.778 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC001364137576 888006211 /nfs/dbraw/zinc/00/62/11/888006211.db2.gz GJQABCSOGBYEJI-MRVPVSSYSA-N -1 1 304.281 1.246 20 0 DDADMM O=C(Nc1cncc(Cl)c1)N1CCC(c2nn[n-]n2)CC1 ZINC001364152725 888035579 /nfs/dbraw/zinc/03/55/79/888035579.db2.gz IHXRKFZACSWBLZ-UHFFFAOYSA-N -1 1 307.745 1.660 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@@H]1CCN(C(C)=O)C1 ZINC001234559405 888092664 /nfs/dbraw/zinc/09/26/64/888092664.db2.gz FCQWQQIRUGSRQN-SNVBAGLBSA-N -1 1 323.349 1.092 20 0 DDADMM COC(C[C@H](C)Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O)OC ZINC001234566190 888105762 /nfs/dbraw/zinc/10/57/62/888105762.db2.gz UCNGEWZXYBSTRA-QMMMGPOBSA-N -1 1 313.354 1.181 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])c1nc(C(F)(F)F)n[nH]1 ZINC001364309184 888353589 /nfs/dbraw/zinc/35/35/89/888353589.db2.gz JXIWDWQIUIKGEN-RXMQYKEDSA-N -1 1 301.228 1.415 20 0 DDADMM CC(C)(C)[C@H](NC(=O)NCc1ccc([O-])c(Cl)c1)C(N)=O ZINC001364745207 889325082 /nfs/dbraw/zinc/32/50/82/889325082.db2.gz QGEFKMTXZZZREY-LLVKDONJSA-N -1 1 313.785 1.745 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@@H]1C[C@@H]1OCC ZINC001364772297 889381989 /nfs/dbraw/zinc/38/19/89/889381989.db2.gz ZCSXRBRWQAUQAK-VWYCJHECSA-N -1 1 322.365 1.070 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@@H]1C[C@@H]1OCC ZINC001364772297 889381997 /nfs/dbraw/zinc/38/19/97/889381997.db2.gz ZCSXRBRWQAUQAK-VWYCJHECSA-N -1 1 322.365 1.070 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@@H]1C[C@@H]1OCC ZINC001364772297 889382004 /nfs/dbraw/zinc/38/20/04/889382004.db2.gz ZCSXRBRWQAUQAK-VWYCJHECSA-N -1 1 322.365 1.070 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)c1c(C)noc1C ZINC001364804423 889451844 /nfs/dbraw/zinc/45/18/44/889451844.db2.gz FNGSWZHUSCFLFQ-SSDOTTSWSA-N -1 1 317.392 1.796 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(C(C)(C)C)s1)c1nn[n-]n1 ZINC001364815904 889474231 /nfs/dbraw/zinc/47/42/31/889474231.db2.gz RMTHCONVMMQDRO-MRVPVSSYSA-N -1 1 309.395 1.676 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2ccc(OC)cn2)sn1 ZINC001364855550 889555315 /nfs/dbraw/zinc/55/53/15/889555315.db2.gz DCDNACYHQAMWDT-UHFFFAOYSA-N -1 1 315.376 1.034 20 0 DDADMM CCSC1(C[N-]S(=O)(=O)c2cc(OC)ns2)CC1 ZINC001364888957 889613342 /nfs/dbraw/zinc/61/33/42/889613342.db2.gz HNGUMFBONRBLOE-UHFFFAOYSA-N -1 1 308.450 1.716 20 0 DDADMM COC(=O)c1coc(C[N-]S(=O)(=O)c2ccns2)c1 ZINC001364898862 889630525 /nfs/dbraw/zinc/63/05/25/889630525.db2.gz YJMNVMHXRINVBE-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM COC(=O)c1coc(C[N-]S(=O)(=O)c2cscn2)c1 ZINC001364898805 889631203 /nfs/dbraw/zinc/63/12/03/889631203.db2.gz XHTYRWZIOYZKGC-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C1CC1)[C@@H]1CCCOC1 ZINC001364985430 889819224 /nfs/dbraw/zinc/81/92/24/889819224.db2.gz UMNZZKLPQRCYIG-MNOVXSKESA-N -1 1 315.395 1.049 20 0 DDADMM CCC1(C)CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1 ZINC001365037975 889951920 /nfs/dbraw/zinc/95/19/20/889951920.db2.gz SLKHPADZTJOCMD-UHFFFAOYSA-N -1 1 315.395 1.397 20 0 DDADMM CCC1(C)CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1 ZINC001365037975 889951931 /nfs/dbraw/zinc/95/19/31/889951931.db2.gz SLKHPADZTJOCMD-UHFFFAOYSA-N -1 1 315.395 1.397 20 0 DDADMM CC(C)(NC(=O)N1CCC(c2nn[n-]n2)CC1)C(F)(F)F ZINC001365066726 890028461 /nfs/dbraw/zinc/02/84/61/890028461.db2.gz JKMMBQVJEUVNGW-UHFFFAOYSA-N -1 1 306.292 1.430 20 0 DDADMM CC(C)C[C@@H](C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001386056937 890229397 /nfs/dbraw/zinc/22/93/97/890229397.db2.gz LDAXBEUVVCQHSN-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM Nc1ncc(Br)cc1CNC(=O)c1cncc([O-])c1 ZINC001365195610 890315269 /nfs/dbraw/zinc/31/52/69/890315269.db2.gz SHDGNVUZLHOWGU-UHFFFAOYSA-N -1 1 323.150 1.457 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)N[C@H](C)C(F)F)[n-]c1=O ZINC001365266954 890464234 /nfs/dbraw/zinc/46/42/34/890464234.db2.gz YHPUYXYCZOTLEN-ZCFIWIBFSA-N -1 1 304.297 1.379 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](CCO)c2ccco2)sn1 ZINC001365373486 890685417 /nfs/dbraw/zinc/68/54/17/890685417.db2.gz CAEPAHWLKMNAAX-MRVPVSSYSA-N -1 1 318.376 1.147 20 0 DDADMM C[C@@H]1C[C@H]1NC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001365422361 890773070 /nfs/dbraw/zinc/77/30/70/890773070.db2.gz UFDMHEAQSOMJIC-XFWSIPNHSA-N -1 1 307.316 1.636 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2cccnn2)[n-]c1=O ZINC001365490533 890904248 /nfs/dbraw/zinc/90/42/48/890904248.db2.gz PCTFNBXIAFTNKP-UHFFFAOYSA-N -1 1 304.310 1.038 20 0 DDADMM C[C@@H]1CCN(Cc2ccc([O-])c(F)c2F)CCS1(=O)=O ZINC001365577025 891085961 /nfs/dbraw/zinc/08/59/61/891085961.db2.gz WLWSMUUWFMUCAX-SECBINFHSA-N -1 1 305.346 1.679 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1CC(C)C1)c1cccs1 ZINC001365643763 891238101 /nfs/dbraw/zinc/23/81/01/891238101.db2.gz AOCDVKRCNPMXOO-CBINBANVSA-N -1 1 317.432 1.928 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2[C@H](C)[C@H](OC)C2(C)C)sn1 ZINC001365683839 891311415 /nfs/dbraw/zinc/31/14/15/891311415.db2.gz FSBWYYOWGLACFZ-XROYCOCOSA-N -1 1 320.436 1.490 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)Cc1ccccn1 ZINC001365717950 891391317 /nfs/dbraw/zinc/39/13/17/891391317.db2.gz GRCXFXCHWMOYPG-SECBINFHSA-N -1 1 313.404 1.456 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H](OC)C1CCCC1 ZINC001365723312 891400288 /nfs/dbraw/zinc/40/02/88/891400288.db2.gz GLFOVILKHYKKRD-SNVBAGLBSA-N -1 1 320.436 1.635 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2cncc(OC)c2)c1 ZINC001365734525 891420727 /nfs/dbraw/zinc/42/07/27/891420727.db2.gz FEQGNWOEFMRKCK-UHFFFAOYSA-N -1 1 324.358 1.283 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)c2nccn21 ZINC001365784262 891546408 /nfs/dbraw/zinc/54/64/08/891546408.db2.gz FLGITQRHXSFFDQ-JOYOIKCWSA-N -1 1 311.349 1.366 20 0 DDADMM CC(=O)n1ncc2ccc(-c3ccc(-c4nnn[n-]4)nc3)cc21 ZINC001243480260 891668399 /nfs/dbraw/zinc/66/83/99/891668399.db2.gz XONOKXLQFOUHRT-UHFFFAOYSA-N -1 1 305.301 1.939 20 0 DDADMM CC(=O)n1ncc2ccc(-c3ccc(-c4nn[n-]n4)nc3)cc21 ZINC001243480260 891668409 /nfs/dbraw/zinc/66/84/09/891668409.db2.gz XONOKXLQFOUHRT-UHFFFAOYSA-N -1 1 305.301 1.939 20 0 DDADMM COc1nc(-c2cccc([O-])c2F)nc(N2CCOCC2)n1 ZINC001243544837 891675809 /nfs/dbraw/zinc/67/58/09/891675809.db2.gz VTLKHMUHPVVSJV-UHFFFAOYSA-N -1 1 306.297 1.229 20 0 DDADMM COC(=O)c1cccc(-c2nc(C)cc3c2C(=O)[N-]C3=O)c1F ZINC001244546891 891865038 /nfs/dbraw/zinc/86/50/38/891865038.db2.gz YMMXOBVZLBVMQO-UHFFFAOYSA-N -1 1 314.272 1.866 20 0 DDADMM COCc1ccnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c1 ZINC001244789871 891924269 /nfs/dbraw/zinc/92/42/69/891924269.db2.gz KTIFPUODFDNJCZ-UHFFFAOYSA-N -1 1 323.374 1.670 20 0 DDADMM COc1ncc(-c2cccc(C(N)=O)c2)cc1[N-]S(C)(=O)=O ZINC001244793958 891928946 /nfs/dbraw/zinc/92/89/46/891928946.db2.gz MTVWENNCNXMTNB-UHFFFAOYSA-N -1 1 321.358 1.228 20 0 DDADMM COc1ncc(-c2cnc3ocnc3c2)cc1[N-]S(C)(=O)=O ZINC001244794806 891931522 /nfs/dbraw/zinc/93/15/22/891931522.db2.gz UHBZXIMNLPFWES-UHFFFAOYSA-N -1 1 320.330 1.665 20 0 DDADMM O=S(=O)([O-])c1cccc(C2=CC[C@H](N3CCOCC3)CC2)n1 ZINC001245418374 892109953 /nfs/dbraw/zinc/10/99/53/892109953.db2.gz JHLAOFWMLDWVFW-ZDUSSCGKSA-N -1 1 324.402 1.596 20 0 DDADMM NS(=O)(=O)c1ccc(-c2c(F)ccc([O-])c2Cl)nn1 ZINC001245829638 892248125 /nfs/dbraw/zinc/24/81/25/892248125.db2.gz CIQLRWJUZMWWAY-UHFFFAOYSA-N -1 1 303.702 1.289 20 0 DDADMM CC[C@@H](C)[C@@H](C)C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001387076169 892323376 /nfs/dbraw/zinc/32/33/76/892323376.db2.gz ZDUBGHARUUPGJR-VXGBXAGGSA-N -1 1 307.394 1.658 20 0 DDADMM CSc1nn(-c2c(F)ccc([O-])c2Cl)c(N)c1C(N)=O ZINC001250230403 894218812 /nfs/dbraw/zinc/21/88/12/894218812.db2.gz WXSOESIKRDSQKG-UHFFFAOYSA-N -1 1 316.745 1.773 20 0 DDADMM Cn1nnc2cc([N-]S(=O)(=O)c3ccc(F)cc3)ccc21 ZINC001251657796 894763141 /nfs/dbraw/zinc/76/31/41/894763141.db2.gz WYKKUHCFSJFJIY-UHFFFAOYSA-N -1 1 306.322 1.908 20 0 DDADMM O=c1[n-]c(CNC[C@@H](O)COc2cccc3ccccc32)n[nH]1 ZINC001252395849 895116657 /nfs/dbraw/zinc/11/66/57/895116657.db2.gz KETQOJXASGRQHS-GFCCVEGCSA-N -1 1 314.345 1.193 20 0 DDADMM CCOC(=O)c1cnn(Cc2ccccc2)c1[N-]S(C)(=O)=O ZINC001252635722 895328661 /nfs/dbraw/zinc/32/86/61/895328661.db2.gz VAZKFNNMCKAQPD-UHFFFAOYSA-N -1 1 323.374 1.480 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1ccccc1)c1ccncc1 ZINC001252922556 895552658 /nfs/dbraw/zinc/55/26/58/895552658.db2.gz MANUOYXMIWIFOP-CQSZACIVSA-N -1 1 320.370 1.415 20 0 DDADMM CCS(=O)(=O)[N-]c1cc(C(F)(F)F)cnc1C(=O)OC ZINC001253290075 895772208 /nfs/dbraw/zinc/77/22/08/895772208.db2.gz JXCCWGWXKUPSPL-UHFFFAOYSA-N -1 1 312.269 1.649 20 0 DDADMM CC(C)OCCC(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001388971243 896274898 /nfs/dbraw/zinc/27/48/98/896274898.db2.gz OOHABSOCAYVXBE-UHFFFAOYSA-N -1 1 323.393 1.133 20 0 DDADMM CC[C@@H](C)CCC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367323091 896442128 /nfs/dbraw/zinc/44/21/28/896442128.db2.gz LTPWDLNUPJTTAW-GFCCVEGCSA-N -1 1 323.441 1.855 20 0 DDADMM Cc1ccccc1S(=O)(=O)NCCCC[P@](=O)([O-])O ZINC001254649724 896548964 /nfs/dbraw/zinc/54/89/64/896548964.db2.gz BIOLFYVIQBXHRX-UHFFFAOYSA-N -1 1 307.308 1.231 20 0 DDADMM Cc1cc(C)c(C(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)s1 ZINC001367365510 896572158 /nfs/dbraw/zinc/57/21/58/896572158.db2.gz IEZJBIUXDNBWOB-VIFPVBQESA-N -1 1 323.422 1.439 20 0 DDADMM C[C@H](CNC(=O)C[C@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001389171702 896604258 /nfs/dbraw/zinc/60/42/58/896604258.db2.gz QFQQQGDEAUWURR-WDEREUQCSA-N -1 1 305.378 1.458 20 0 DDADMM CCC[C@@H](C)CC(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001389326363 896940550 /nfs/dbraw/zinc/94/05/50/896940550.db2.gz FEPYSTGSMQDSDH-NEPJUHHUSA-N -1 1 307.394 1.848 20 0 DDADMM Cn1ccc([N-]S(=O)(=O)c2ccc(F)cc2F)cc1=O ZINC001256090030 897282918 /nfs/dbraw/zinc/28/29/18/897282918.db2.gz VHORGSNEKGVNEV-UHFFFAOYSA-N -1 1 300.286 1.464 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)CNCc2cc(F)ccc2F)c1[O-] ZINC001374874956 914201874 /nfs/dbraw/zinc/20/18/74/914201874.db2.gz CJZOXXKHPYYPDY-QMMMGPOBSA-N -1 1 324.331 1.610 20 0 DDADMM CCOC(=O)CC(C)(C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258948515 898374043 /nfs/dbraw/zinc/37/40/43/898374043.db2.gz VNUYSEOVSGHSBZ-UHFFFAOYSA-N -1 1 321.345 1.975 20 0 DDADMM O=S(=O)([N-]C1(c2cnccn2)CC1)c1cc(F)ccc1F ZINC001258951173 898378932 /nfs/dbraw/zinc/37/89/32/898378932.db2.gz RHEKVKQYAQKSGT-UHFFFAOYSA-N -1 1 311.313 1.722 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]c2ccccc2CN2CCCC2)c1 ZINC001258998569 898401878 /nfs/dbraw/zinc/40/18/78/898401878.db2.gz BJHHEQZHWFIGNJ-UHFFFAOYSA-N -1 1 320.418 1.817 20 0 DDADMM Cc1ccc(S(=O)(=O)NCC[P@](=O)([O-])O)cc1Cl ZINC001259137821 898489893 /nfs/dbraw/zinc/48/98/93/898489893.db2.gz QBPUJWCTMXHYQK-UHFFFAOYSA-N -1 1 313.699 1.104 20 0 DDADMM Cc1ccc(CS(=O)(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001259268019 898561149 /nfs/dbraw/zinc/56/11/49/898561149.db2.gz GWACYCBCJSKDAS-UHFFFAOYSA-N -1 1 319.346 1.309 20 0 DDADMM O=c1[nH]cncc1[N-]S(=O)(=O)c1ccc(Cl)cc1F ZINC001259506721 898707094 /nfs/dbraw/zinc/70/70/94/898707094.db2.gz FQHVPORMEORRIH-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM Cc1cccc(CS(=O)(=O)[N-]c2ncccc2CCO)c1 ZINC001259744534 898775479 /nfs/dbraw/zinc/77/54/79/898775479.db2.gz OQSQIKUYASQVJI-UHFFFAOYSA-N -1 1 306.387 1.867 20 0 DDADMM CCOC(=O)C1=C([N-]S(=O)(=O)C2CCCC2)[C@@H](C)OC1 ZINC001259804176 898814520 /nfs/dbraw/zinc/81/45/20/898814520.db2.gz VEQKOBHXXCKAME-SECBINFHSA-N -1 1 303.380 1.084 20 0 DDADMM CCOC(=O)Cc1cccc(C[N-]S(=O)(=O)C(F)F)c1 ZINC001259963860 898986143 /nfs/dbraw/zinc/98/61/43/898986143.db2.gz QNFAYMRMTCVNLE-UHFFFAOYSA-N -1 1 307.318 1.434 20 0 DDADMM C[C@]1(NC(=O)CCCF)CCN(C(=O)c2ncccc2[O-])C1 ZINC001390239727 898989011 /nfs/dbraw/zinc/98/90/11/898989011.db2.gz BSHXJESQFRXBAF-HNNXBMFYSA-N -1 1 309.341 1.258 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2cnnc(Cl)c2)c1 ZINC001260183233 899077303 /nfs/dbraw/zinc/07/73/03/899077303.db2.gz FJXZUHUOHXSADN-UHFFFAOYSA-N -1 1 313.722 1.629 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)CCN1 ZINC001260288692 899112654 /nfs/dbraw/zinc/11/26/54/899112654.db2.gz YMMKIHVHWBDAKZ-LURJTMIESA-N -1 1 324.736 1.175 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2C(=O)Nc3cccc(C)c32)cn1C ZINC001260549283 899165527 /nfs/dbraw/zinc/16/55/27/899165527.db2.gz HESUPBALIZOFFK-CYBMUJFWSA-N -1 1 320.374 1.009 20 0 DDADMM COC(=O)Cc1ccc(F)c([N-]S(=O)(=O)c2cn[nH]c2)c1 ZINC001260962159 899303280 /nfs/dbraw/zinc/30/32/80/899303280.db2.gz NGFONFRRKGYQBZ-UHFFFAOYSA-N -1 1 313.310 1.065 20 0 DDADMM CCC(CC)C(=O)N[C@@H](CC)CNC(=O)c1ncccc1[O-] ZINC001390384576 899305649 /nfs/dbraw/zinc/30/56/49/899305649.db2.gz SAGUEGUNTLATDQ-LBPRGKRZSA-N -1 1 307.394 1.848 20 0 DDADMM CC[C@H]1CCCC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001261266385 899441477 /nfs/dbraw/zinc/44/14/77/899441477.db2.gz HALLOOIICCJFJT-QWHCGFSZSA-N -1 1 307.394 1.259 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)[C@@H](C)c1ccccc1F ZINC001263012212 900467121 /nfs/dbraw/zinc/46/71/21/900467121.db2.gz BYHLWWCVQWKARL-VIFPVBQESA-N -1 1 316.344 1.815 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)[C@@H](C)c1ccccc1F ZINC001263012212 900467125 /nfs/dbraw/zinc/46/71/25/900467125.db2.gz BYHLWWCVQWKARL-VIFPVBQESA-N -1 1 316.344 1.815 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(C)[C@@H](C)c1cccs1 ZINC001263026177 900470623 /nfs/dbraw/zinc/47/06/23/900470623.db2.gz FIURRVRKFKGLQR-VIFPVBQESA-N -1 1 318.410 1.661 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(C)[C@@H](C)c1cccs1 ZINC001263026177 900470629 /nfs/dbraw/zinc/47/06/29/900470629.db2.gz FIURRVRKFKGLQR-VIFPVBQESA-N -1 1 318.410 1.661 20 0 DDADMM C[C@@H]1CCN(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)[C@@H]1C ZINC001263164382 900520884 /nfs/dbraw/zinc/52/08/84/900520884.db2.gz UFFUZOHGBXSSJC-VXGBXAGGSA-N -1 1 324.392 1.741 20 0 DDADMM C[C@@H]1CCN(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)[C@@H]1C ZINC001263164382 900520898 /nfs/dbraw/zinc/52/08/98/900520898.db2.gz UFFUZOHGBXSSJC-VXGBXAGGSA-N -1 1 324.392 1.741 20 0 DDADMM O=C([O-])[C@@]1(C(=O)Nc2ccc(C(F)(F)F)cc2)CNCCO1 ZINC001263203263 900530304 /nfs/dbraw/zinc/53/03/04/900530304.db2.gz YXKSTPKXHPSEMZ-LBPRGKRZSA-N -1 1 318.251 1.087 20 0 DDADMM O=C(Cc1ccc2cccnc2c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001263885006 900799493 /nfs/dbraw/zinc/79/94/93/900799493.db2.gz IVYSCMNPZGITBV-ZDUSSCGKSA-N -1 1 308.345 1.307 20 0 DDADMM O=C(c1cn(-c2ccccc2)cn1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001263886512 900800122 /nfs/dbraw/zinc/80/01/22/900800122.db2.gz MKVUHBMYXFUZCY-LLVKDONJSA-N -1 1 309.333 1.015 20 0 DDADMM CCC(CC)C(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001369824028 902040774 /nfs/dbraw/zinc/04/07/74/902040774.db2.gz DGJZIVULDLZQGB-LLVKDONJSA-N -1 1 307.394 1.800 20 0 DDADMM CC[C@H](CNC(=O)c1occc1Cl)NCc1n[nH]c(=O)[n-]1 ZINC001391717127 902432735 /nfs/dbraw/zinc/43/27/35/902432735.db2.gz DWQLJHQTVAHHKK-SSDOTTSWSA-N -1 1 313.745 1.055 20 0 DDADMM CC/C(C)=C/C(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001280033779 903495909 /nfs/dbraw/zinc/49/59/09/903495909.db2.gz ZLGNHABDZATHBP-JATZPVMKSA-N -1 1 307.398 1.195 20 0 DDADMM CC(C)[C@H](CNC(=O)C[C@@H]1C[C@H]1C1CC1)NCc1n[nH]c(=O)[n-]1 ZINC001392226249 903587714 /nfs/dbraw/zinc/58/77/14/903587714.db2.gz HXDBHUUTUZKHIN-AVGNSLFASA-N -1 1 321.425 1.177 20 0 DDADMM CN(CCCNC(=O)c1ccc[nH]1)C(=O)c1ncccc1[O-] ZINC001293948123 914797510 /nfs/dbraw/zinc/79/75/10/914797510.db2.gz UDVFBRVEJJFGJS-UHFFFAOYSA-N -1 1 302.334 1.007 20 0 DDADMM Cc1nccnc1CN(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001392637019 904685550 /nfs/dbraw/zinc/68/55/50/904685550.db2.gz DCQXARNPOZJGGD-LLVKDONJSA-N -1 1 315.377 1.136 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)NCC2(NC(=O)c3ncccc3[O-])CC2)C1 ZINC001393646813 907608440 /nfs/dbraw/zinc/60/84/40/907608440.db2.gz XXFTZWXLFNFOMF-VXGBXAGGSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001372835741 908391139 /nfs/dbraw/zinc/39/11/39/908391139.db2.gz PQXZMDNZFZMKGH-ZMLRMANQSA-N -1 1 303.362 1.068 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)c1sccc1F ZINC001373412960 909790824 /nfs/dbraw/zinc/79/08/24/909790824.db2.gz DIDCJNYMXNZAKJ-UHFFFAOYSA-N -1 1 323.349 1.490 20 0 DDADMM CC(C)[C@H](C)CC(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001373413202 909793825 /nfs/dbraw/zinc/79/38/25/909793825.db2.gz GZOHFNJUJSVFCF-GFCCVEGCSA-N -1 1 307.394 1.658 20 0 DDADMM C[C@H](CNC(=O)/C=C\c1ccco1)NC(=O)c1ncccc1[O-] ZINC001285634983 910932434 /nfs/dbraw/zinc/93/24/34/910932434.db2.gz HOWUFHRFFWAWBP-JMEBYUIHSA-N -1 1 315.329 1.328 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])on1 ZINC001394934405 910947622 /nfs/dbraw/zinc/94/76/22/910947622.db2.gz LBLCLEOUJJZXTB-VHSXEESVSA-N -1 1 318.333 1.020 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CC1(C)C ZINC001373826082 911118921 /nfs/dbraw/zinc/11/89/21/911118921.db2.gz QOPOIRADWOEBRI-LLVKDONJSA-N -1 1 305.378 1.412 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CC(C)(C)C ZINC001395063634 911271221 /nfs/dbraw/zinc/27/12/21/911271221.db2.gz FXTJCGCJPFEUQX-LLVKDONJSA-N -1 1 307.394 1.800 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1ccn(C)c1 ZINC001395066047 911279362 /nfs/dbraw/zinc/27/93/62/911279362.db2.gz KLSMZDPDPBETPQ-LLVKDONJSA-N -1 1 316.361 1.016 20 0 DDADMM C[C@H](NC(=O)CCC1CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001395270175 911684892 /nfs/dbraw/zinc/68/48/92/911684892.db2.gz QESWZIPJDXBMJG-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM CCCN(CCNC(=O)C(F)F)C(=O)c1ncccc1[O-] ZINC001374074460 911813704 /nfs/dbraw/zinc/81/37/04/911813704.db2.gz WBKBILHRPREXKH-UHFFFAOYSA-N -1 1 301.293 1.021 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cnc(F)cc3C)nc2n1 ZINC001287285664 912160513 /nfs/dbraw/zinc/16/05/13/912160513.db2.gz DHFAJLMBNNXBAY-UHFFFAOYSA-N -1 1 316.296 1.075 20 0 DDADMM C/C(=C/C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001297171979 916779048 /nfs/dbraw/zinc/77/90/48/916779048.db2.gz UXBVUOZYQTUZNZ-XFFZJAGNSA-N -1 1 315.373 1.332 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CCC[C@H](C)C3)nc2n1 ZINC000622871848 365552046 /nfs/dbraw/zinc/55/20/46/365552046.db2.gz KSVCQEPIDAAQDS-GXSJLCMTSA-N -1 1 303.366 1.881 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCC[C@@H]3CC)nc2n1 ZINC000622993464 365585642 /nfs/dbraw/zinc/58/56/42/365585642.db2.gz OCQXWBQARGIKPY-ONGXEEELSA-N -1 1 303.366 1.745 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CCCC(F)(F)F)nc2n1 ZINC000622994672 365588937 /nfs/dbraw/zinc/58/89/37/365588937.db2.gz XZCZHRQLDRBABS-UHFFFAOYSA-N -1 1 317.271 1.651 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)[C@H](C)COC)nc2n1 ZINC000622997604 365591038 /nfs/dbraw/zinc/59/10/38/365591038.db2.gz DUDKOUUZSODKPG-NXEZZACHSA-N -1 1 321.381 1.227 20 0 DDADMM CC[C@H]1CCC[C@@H](NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000077136047 185111695 /nfs/dbraw/zinc/11/16/95/185111695.db2.gz TXKWENYNMKVGEX-WDEREUQCSA-N -1 1 314.407 1.886 20 0 DDADMM O=C(CCCc1cccnc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614390592 361833983 /nfs/dbraw/zinc/83/39/83/361833983.db2.gz VFGAHDYQJSVUAW-CYBMUJFWSA-N -1 1 316.361 1.899 20 0 DDADMM CO[C@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)C1CCCC1 ZINC000614475006 361876719 /nfs/dbraw/zinc/87/67/19/361876719.db2.gz HHSAHMIOKSXWNP-LBPRGKRZSA-N -1 1 309.366 1.686 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCC1(F)F)C1CC1 ZINC000451275632 231054587 /nfs/dbraw/zinc/05/45/87/231054587.db2.gz RQOMOIFBPHNXTP-UWVGGRQHSA-N -1 1 311.350 1.293 20 0 DDADMM O=C(c1c(F)cccc1Cl)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000364528435 307047062 /nfs/dbraw/zinc/04/70/62/307047062.db2.gz HHJZEAIIQUOHND-VIFPVBQESA-N -1 1 311.704 1.206 20 0 DDADMM CC[C@]1(CO)CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000295873741 529422927 /nfs/dbraw/zinc/42/29/27/529422927.db2.gz ZGHSIFDIKQPTIP-HNNXBMFYSA-N -1 1 320.418 1.643 20 0 DDADMM CCC(CC)CS(=O)(=O)[N-][C@]1(C(=O)OC)CCSC1 ZINC000340072100 282173151 /nfs/dbraw/zinc/17/31/51/282173151.db2.gz XQQTYYKLSFKVIA-GFCCVEGCSA-N -1 1 309.453 1.391 20 0 DDADMM C[C@H]1CO[C@H](c2cccc(Cl)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC000328605817 231241653 /nfs/dbraw/zinc/24/16/53/231241653.db2.gz JWVOKALCDVPCJQ-GWCFXTLKSA-N -1 1 322.796 1.724 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2Cc3ccccc32)co1 ZINC000120792147 539180074 /nfs/dbraw/zinc/18/00/74/539180074.db2.gz UGPDJIXFZSWZCS-LLVKDONJSA-N -1 1 320.370 1.257 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cccc(C)c2O)o1 ZINC000174953193 539228308 /nfs/dbraw/zinc/22/83/08/539228308.db2.gz RXOBRHBXBXRFPZ-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC2(C1)CCCOC2 ZINC000330260695 539309993 /nfs/dbraw/zinc/30/99/93/539309993.db2.gz ONRQIVOTUGEHOX-UHFFFAOYSA-N -1 1 309.391 1.465 20 0 DDADMM Nc1nnc(CCNC(=O)c2ccc(C(F)(F)F)cc2[O-])o1 ZINC000286636524 219096452 /nfs/dbraw/zinc/09/64/52/219096452.db2.gz BEMIZBTXYJLYJC-UHFFFAOYSA-N -1 1 316.239 1.349 20 0 DDADMM CN1CC[C@@H](C[N-]S(=O)(=O)c2sccc2Cl)CC1=O ZINC000425180751 529794315 /nfs/dbraw/zinc/79/43/15/529794315.db2.gz FQLGJIQPCVDTGY-MRVPVSSYSA-N -1 1 322.839 1.548 20 0 DDADMM CNC(=O)[C@@H]([N-]S(=O)(=O)c1sccc1Cl)C(C)C ZINC000451331043 529898385 /nfs/dbraw/zinc/89/83/85/529898385.db2.gz CNVHAMIYXAKFIQ-QMMMGPOBSA-N -1 1 310.828 1.450 20 0 DDADMM COc1cccc(N2CCC[C@H](Nc3nc(C(=O)[O-])co3)C2)c1 ZINC000579246937 422736112 /nfs/dbraw/zinc/73/61/12/422736112.db2.gz MVRZKOVXXXIUMU-NSHDSACASA-N -1 1 317.345 1.884 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2scnc2C2CC2)n[n-]1 ZINC000615918689 362485783 /nfs/dbraw/zinc/48/57/83/362485783.db2.gz XAPWUURNCFXZQR-UHFFFAOYSA-N -1 1 321.362 1.245 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2scnc2C2CC2)n1 ZINC000615918689 362485789 /nfs/dbraw/zinc/48/57/89/362485789.db2.gz XAPWUURNCFXZQR-UHFFFAOYSA-N -1 1 321.362 1.245 20 0 DDADMM Cn1cncc1C[N-]S(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000349796892 539398031 /nfs/dbraw/zinc/39/80/31/539398031.db2.gz CLYJJTWJQCFICK-UHFFFAOYSA-N -1 1 321.736 1.830 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H](C)c2ccnn2C)c1Br ZINC000616010677 362519000 /nfs/dbraw/zinc/51/90/00/362519000.db2.gz CUSFXCOOYKHQAB-ZCFIWIBFSA-N -1 1 312.171 1.378 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@H]3[C@@H]4COC[C@@H]43)[nH][n-]2)c1 ZINC000616251010 362601664 /nfs/dbraw/zinc/60/16/64/362601664.db2.gz HTZMAKUBYZBDSG-HBYGRHMLSA-N -1 1 301.321 1.777 20 0 DDADMM O=C(NCc1nnc(C2CC2)s1)c1ccc2[nH][n-]c(=O)c2c1 ZINC000616315439 362631403 /nfs/dbraw/zinc/63/14/03/362631403.db2.gz JDCIUOCORXPKJI-UHFFFAOYSA-N -1 1 315.358 1.927 20 0 DDADMM CN(CC(=O)OC(C)(C)C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358356351 299128825 /nfs/dbraw/zinc/12/88/25/299128825.db2.gz YOKGPYUDKZPHOB-UHFFFAOYSA-N -1 1 320.349 1.088 20 0 DDADMM CCOC(=O)CC[C@@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358355856 299129362 /nfs/dbraw/zinc/12/93/62/299129362.db2.gz PPLKWXDQZNCRPN-SECBINFHSA-N -1 1 320.349 1.136 20 0 DDADMM COC(=O)Cc1cccc(NC(=O)c2ccc(O)cc2[O-])n1 ZINC000616511997 362730576 /nfs/dbraw/zinc/73/05/76/362730576.db2.gz AKYOETYJEMTMEB-UHFFFAOYSA-N -1 1 302.286 1.461 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCSC2)o1 ZINC000279656768 215036097 /nfs/dbraw/zinc/03/60/97/215036097.db2.gz CDMQBZPYHOEJHU-QMMMGPOBSA-N -1 1 305.377 1.098 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2cn(C(C)(C)C)nn2)s1 ZINC000616869566 362856949 /nfs/dbraw/zinc/85/69/49/362856949.db2.gz QXNMFKVMDFVMCN-UHFFFAOYSA-N -1 1 324.366 1.314 20 0 DDADMM O=C(c1cn[nH]n1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000616986821 362901707 /nfs/dbraw/zinc/90/17/07/362901707.db2.gz KSUQLSQLGZILNK-UHFFFAOYSA-N -1 1 300.318 1.245 20 0 DDADMM COc1cccc(C2(NC(=O)CCCc3nn[n-]n3)CCC2)c1 ZINC000636239242 422739355 /nfs/dbraw/zinc/73/93/55/422739355.db2.gz VRUXERVVPLKCNK-UHFFFAOYSA-N -1 1 315.377 1.727 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1c(F)cccc1CO ZINC000133644816 186002727 /nfs/dbraw/zinc/00/27/27/186002727.db2.gz LUINCFUYOMTTAY-UHFFFAOYSA-N -1 1 313.354 1.469 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CSC[C@@H]1C(=O)N1CCCC1 ZINC000181929077 186220638 /nfs/dbraw/zinc/22/06/38/186220638.db2.gz RCOUKTUNSUIEJA-CYBMUJFWSA-N -1 1 324.377 1.669 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CCC(Cc2ccccc2)CC1 ZINC000237479874 186295775 /nfs/dbraw/zinc/29/57/75/186295775.db2.gz FZADJJIVVUZCJV-ZDUSSCGKSA-N -1 1 304.390 1.530 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@@H](Cn2ccnn2)C1 ZINC000617255605 363019327 /nfs/dbraw/zinc/01/93/27/363019327.db2.gz HCBDSACKCSGPHK-SECBINFHSA-N -1 1 308.288 1.424 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](CO)C1CCC1 ZINC000617306451 363046896 /nfs/dbraw/zinc/04/68/96/363046896.db2.gz DREGCPPRJWCMQN-LLVKDONJSA-N -1 1 307.394 1.679 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1cnn(Cc2ccncc2)c1 ZINC000172367985 198086505 /nfs/dbraw/zinc/08/65/05/198086505.db2.gz NBBZPFQXHDVFBR-AWEZNQCLSA-N -1 1 322.390 1.247 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@@H](CO)NC(=O)c2c([O-])cccc2F)C1 ZINC000617538049 363166513 /nfs/dbraw/zinc/16/65/13/363166513.db2.gz XTYTUMAFCTVRLU-NQBHXWOUSA-N -1 1 311.353 1.827 20 0 DDADMM COc1cc(NC(=O)c2cnn(C)c2)ccc1[N-]S(C)(=O)=O ZINC000029969420 352248223 /nfs/dbraw/zinc/24/82/23/352248223.db2.gz KPLIBUJEHJWZGD-UHFFFAOYSA-N -1 1 324.362 1.053 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1c2ccccc2C[C@@H]1C ZINC000331721765 234045529 /nfs/dbraw/zinc/04/55/29/234045529.db2.gz KXPVEEVWBAMBMN-QPUJVOFHSA-N -1 1 307.375 1.607 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1c2ccccc2C[C@@H]1C ZINC000331721765 234045532 /nfs/dbraw/zinc/04/55/32/234045532.db2.gz KXPVEEVWBAMBMN-QPUJVOFHSA-N -1 1 307.375 1.607 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NCC1CCC1 ZINC000056992858 352793205 /nfs/dbraw/zinc/79/32/05/352793205.db2.gz MVGSLKQLNAHLCD-UHFFFAOYSA-N -1 1 312.373 1.599 20 0 DDADMM Cc1cccc(O[C@H](C)C(=O)NCCc2n[n-]c(=S)n2C)c1 ZINC000067051469 353032378 /nfs/dbraw/zinc/03/23/78/353032378.db2.gz DUWYINVPYAWYPJ-LLVKDONJSA-N -1 1 320.418 1.912 20 0 DDADMM COC(=O)Nc1cccc(C(=O)NCc2n[n-]c(=S)n2C)c1 ZINC000066625825 353008483 /nfs/dbraw/zinc/00/84/83/353008483.db2.gz XZVAXHHLPARPIE-UHFFFAOYSA-N -1 1 321.362 1.586 20 0 DDADMM O=C(N[C@@H]1CC[S@@](=O)C1)c1cc(Br)ccc1[O-] ZINC000081790507 353692719 /nfs/dbraw/zinc/69/27/19/353692719.db2.gz RPTODUZWZNNWGZ-RBHXEPJQSA-N -1 1 318.192 1.406 20 0 DDADMM C[C@@H]1CN(CCNC(=O)c2c(F)ccc([O-])c2F)[C@@H](C)CO1 ZINC000617723247 363255061 /nfs/dbraw/zinc/25/50/61/363255061.db2.gz KRUDOZJJAJGHRQ-VHSXEESVSA-N -1 1 314.332 1.509 20 0 DDADMM Cc1ccc([N-]S(C)(=O)=O)c(C(=O)N(C)c2cnn(C)c2)c1 ZINC000266131765 354402071 /nfs/dbraw/zinc/40/20/71/354402071.db2.gz ZEPQNPFHRCAVPI-UHFFFAOYSA-N -1 1 322.390 1.377 20 0 DDADMM C[C@@]1(C(=O)[O-])CCC[N@H+]1Cc1ccc(C(N)=O)cc1[N+](=O)[O-] ZINC000387175625 354633421 /nfs/dbraw/zinc/63/34/21/354633421.db2.gz YDECEWLVIOKCJD-AWEZNQCLSA-N -1 1 307.306 1.133 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2cc(C)on2)c(F)c1 ZINC000588679632 354928405 /nfs/dbraw/zinc/92/84/05/354928405.db2.gz IRHOIBSYXJOYJL-UHFFFAOYSA-N -1 1 318.301 1.748 20 0 DDADMM C[C@@H]1CCCC[C@@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332474061 235009629 /nfs/dbraw/zinc/00/96/29/235009629.db2.gz VZDKBVQPHFSRGE-MNOVXSKESA-N -1 1 309.439 1.426 20 0 DDADMM CC(C)n1c(CCNC(=O)[C@H](O)c2ccccc2)n[n-]c1=S ZINC000073497232 191321371 /nfs/dbraw/zinc/32/13/71/191321371.db2.gz LQXIMFZCMWZFRZ-CYBMUJFWSA-N -1 1 320.418 1.914 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)CCC(F)(F)F ZINC000592076397 355485517 /nfs/dbraw/zinc/48/55/17/355485517.db2.gz ZEAYSBSHVVNLGO-MRVPVSSYSA-N -1 1 319.345 1.836 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cnn(CC(=O)OC(C)(C)C)c2)c1[O-] ZINC000592816144 355702709 /nfs/dbraw/zinc/70/27/09/355702709.db2.gz NEGNOZJAKJVJQG-UHFFFAOYSA-N -1 1 321.337 1.214 20 0 DDADMM COC(=O)c1cccc(NC(=O)CN2CC[C@](C)(C(=O)[O-])C2)c1 ZINC000592990340 355763540 /nfs/dbraw/zinc/76/35/40/355763540.db2.gz QWKQTEPDQWXUTQ-INIZCTEOSA-N -1 1 320.345 1.208 20 0 DDADMM CCSCCC[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595328184 356451240 /nfs/dbraw/zinc/45/12/40/356451240.db2.gz WMWUJUHGZSPBRP-UHFFFAOYSA-N -1 1 321.420 1.796 20 0 DDADMM CCOCCNC(=O)c1c[n-]c2cc(OC)cc(OC)c2c1=O ZINC000624261243 366269913 /nfs/dbraw/zinc/26/99/13/366269913.db2.gz MEVZISGIOYVGPV-UHFFFAOYSA-N -1 1 320.345 1.312 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)CC(C)(C)C ZINC000081758579 192319858 /nfs/dbraw/zinc/31/98/58/192319858.db2.gz ZCWBDLHMXPPFPM-NSHDSACASA-N -1 1 323.437 1.903 20 0 DDADMM CSC[C@H](C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000601349636 358510644 /nfs/dbraw/zinc/51/06/44/358510644.db2.gz HOERONSLMXGOJM-YFKPBYRVSA-N -1 1 303.331 1.458 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCn2c(cc3ccccc32)C1 ZINC000633092982 422754618 /nfs/dbraw/zinc/75/46/18/422754618.db2.gz QHRCYNICIAGNIP-UHFFFAOYSA-N -1 1 310.361 1.520 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](CCC(C)C)NC(C)=O)n1 ZINC000598729241 357706890 /nfs/dbraw/zinc/70/68/90/357706890.db2.gz NVWMXAZIYSHVFC-NSHDSACASA-N -1 1 324.381 1.466 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(OCC)CCOCC2)n1 ZINC000598847647 357743977 /nfs/dbraw/zinc/74/39/77/357743977.db2.gz ONOGYOMZMOOLAR-UHFFFAOYSA-N -1 1 311.338 1.111 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](C)CC2)co1 ZINC000179379474 199025494 /nfs/dbraw/zinc/02/54/94/199025494.db2.gz WTGPVOQYZSSSNK-SNVBAGLBSA-N -1 1 300.380 1.450 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-][C@@H]2C[C@H]2C)c1F ZINC000599304262 357885725 /nfs/dbraw/zinc/88/57/25/357885725.db2.gz PIZUQABREGZLFL-HZGVNTEJSA-N -1 1 305.302 1.438 20 0 DDADMM Cc1nc(CCC(=O)NCc2nn[n-]n2)oc1-c1ccccc1 ZINC000600495292 358240045 /nfs/dbraw/zinc/24/00/45/358240045.db2.gz KZRYVXLHGKPTLP-UHFFFAOYSA-N -1 1 312.333 1.412 20 0 DDADMM Cc1cc(C(=O)NCc2nn[n-]n2)c2c(n1)onc2C(C)C ZINC000600498652 358241776 /nfs/dbraw/zinc/24/17/76/358241776.db2.gz WZFXHYCETVCMJH-UHFFFAOYSA-N -1 1 301.310 1.098 20 0 DDADMM Cc1nc(-c2cccs2)ccc1C(=O)NCc1nn[n-]n1 ZINC000600504442 358242739 /nfs/dbraw/zinc/24/27/39/358242739.db2.gz JYOSUZVKXNQHJO-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1 ZINC000601453803 358560741 /nfs/dbraw/zinc/56/07/41/358560741.db2.gz RJVZXRZXSHGAET-UHFFFAOYSA-N -1 1 309.290 1.591 20 0 DDADMM CSCCCCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000185976155 199912083 /nfs/dbraw/zinc/91/20/83/199912083.db2.gz VBGSNGHGPBSJGR-UHFFFAOYSA-N -1 1 311.860 1.885 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)CC(F)(F)F)n[n-]1 ZINC000603024202 359365703 /nfs/dbraw/zinc/36/57/03/359365703.db2.gz VURKYXOABDYTAH-LURJTMIESA-N -1 1 308.260 1.186 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)CC(F)(F)F)n1 ZINC000603024202 359365709 /nfs/dbraw/zinc/36/57/09/359365709.db2.gz VURKYXOABDYTAH-LURJTMIESA-N -1 1 308.260 1.186 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CC2CC(C)(C)C2)n[n-]1 ZINC000603156739 359443834 /nfs/dbraw/zinc/44/38/34/359443834.db2.gz UKTHVCJXIAWEND-SECBINFHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CC2CC(C)(C)C2)[n-]1 ZINC000603156739 359443842 /nfs/dbraw/zinc/44/38/42/359443842.db2.gz UKTHVCJXIAWEND-SECBINFHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CC2CC(C)(C)C2)n1 ZINC000603156739 359443847 /nfs/dbraw/zinc/44/38/47/359443847.db2.gz UKTHVCJXIAWEND-SECBINFHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2C(C)(C)C2(C)C)n[n-]1 ZINC000603157265 359444654 /nfs/dbraw/zinc/44/46/54/359444654.db2.gz VPIZJQZMFACOOG-MRVPVSSYSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2C(C)(C)C2(C)C)[n-]1 ZINC000603157265 359444659 /nfs/dbraw/zinc/44/46/59/359444659.db2.gz VPIZJQZMFACOOG-MRVPVSSYSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2C(C)(C)C2(C)C)n1 ZINC000603157265 359444662 /nfs/dbraw/zinc/44/46/62/359444662.db2.gz VPIZJQZMFACOOG-MRVPVSSYSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCc2ccccc2)n[n-]1 ZINC000603166768 359450904 /nfs/dbraw/zinc/45/09/04/359450904.db2.gz RTALQRHTPHMESX-NSHDSACASA-N -1 1 316.361 1.791 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCc2ccccc2)[n-]1 ZINC000603166768 359450909 /nfs/dbraw/zinc/45/09/09/359450909.db2.gz RTALQRHTPHMESX-NSHDSACASA-N -1 1 316.361 1.791 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCc2ccccc2)n1 ZINC000603166768 359450914 /nfs/dbraw/zinc/45/09/14/359450914.db2.gz RTALQRHTPHMESX-NSHDSACASA-N -1 1 316.361 1.791 20 0 DDADMM CC(C)OCCN1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000280985941 216004001 /nfs/dbraw/zinc/00/40/01/216004001.db2.gz LLKPXQPQWVDVKG-UHFFFAOYSA-N -1 1 320.389 1.144 20 0 DDADMM CSc1n[nH]c(NC(=O)c2cncc(N3CCCC3=O)c2)n1 ZINC000624924034 366624705 /nfs/dbraw/zinc/62/47/05/366624705.db2.gz JCTQXRXPGBTTJF-UHFFFAOYSA-N -1 1 318.362 1.301 20 0 DDADMM CC(C)[C@H](SCc1ccccc1)C(=O)NCc1nn[n-]n1 ZINC000609532104 360318625 /nfs/dbraw/zinc/31/86/25/360318625.db2.gz FTLFMWLMXDGWSC-ZDUSSCGKSA-N -1 1 305.407 1.774 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2cnc3n2CCC3)c1Br ZINC000611774699 360821327 /nfs/dbraw/zinc/82/13/27/360821327.db2.gz UJTYGWBRZKBDJF-UHFFFAOYSA-N -1 1 324.182 1.552 20 0 DDADMM CCn1nccc1CN1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000292725687 223219583 /nfs/dbraw/zinc/21/95/83/223219583.db2.gz FTXGXMYVPTVWBX-ZYHUDNBSSA-N -1 1 318.343 1.934 20 0 DDADMM Cc1csc([C@H](C)C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000612818206 361156272 /nfs/dbraw/zinc/15/62/72/361156272.db2.gz QWLZKVKBEHXFNJ-VHSXEESVSA-N -1 1 306.395 1.474 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CC3CCC2CC3)co1 ZINC000339517939 283636899 /nfs/dbraw/zinc/63/68/99/283636899.db2.gz LKOIHOMTVANJCQ-CBINBANVSA-N -1 1 312.391 1.496 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)c2ccc3oc(=O)nc-3[n-]2)C[C@H]1C ZINC000613148650 361292416 /nfs/dbraw/zinc/29/24/16/361292416.db2.gz MXVFCFTYXIXRFG-NXEZZACHSA-N -1 1 304.350 1.483 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)c2ccc(OC)cc2)cc1 ZINC000006316189 181329382 /nfs/dbraw/zinc/32/93/82/181329382.db2.gz QCKIUCXQRFRLDS-UHFFFAOYSA-N -1 1 320.370 1.856 20 0 DDADMM CCC[C@@H](NC(=O)CCc1cccc(N(C)C)c1)c1nn[n-]n1 ZINC000194388969 201215963 /nfs/dbraw/zinc/21/59/63/201215963.db2.gz WJTIGBAQGOVCKW-CQSZACIVSA-N -1 1 316.409 1.856 20 0 DDADMM O=C(NCCN1C[C@@H]2CCCCN2C1=O)c1ccc([O-])c(F)c1 ZINC000620103586 364286005 /nfs/dbraw/zinc/28/60/05/364286005.db2.gz NYQLOPSUUJOZOR-LBPRGKRZSA-N -1 1 321.352 1.551 20 0 DDADMM CO[C@@]1(C)C[C@H]1NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000620560096 364470072 /nfs/dbraw/zinc/47/00/72/364470072.db2.gz WVUUCHCEGWHQCT-YGRLFVJLSA-N -1 1 311.407 1.439 20 0 DDADMM Cc1nnc(CNC(=O)c2ccc(Br)cc2[O-])o1 ZINC000620969284 364627022 /nfs/dbraw/zinc/62/70/22/364627022.db2.gz SBYJMRWFHFWVJE-UHFFFAOYSA-N -1 1 312.123 1.776 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC2(CNC(=O)C2)CC1 ZINC000091415268 193053449 /nfs/dbraw/zinc/05/34/49/193053449.db2.gz XXLLPABJICQFRD-UHFFFAOYSA-N -1 1 308.765 1.788 20 0 DDADMM CCc1ncc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)s1 ZINC000622609487 365450075 /nfs/dbraw/zinc/45/00/75/365450075.db2.gz AJFDZXAITPKABG-LBPRGKRZSA-N -1 1 314.374 1.738 20 0 DDADMM O=S(=O)([N-][C@H](CCc1ccccc1)C(F)F)[C@@H]1CCOC1 ZINC000625423009 366916765 /nfs/dbraw/zinc/91/67/65/366916765.db2.gz KYALIYVHKBDUCI-CHWSQXEVSA-N -1 1 319.373 1.961 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cn(C)nc1C)c1ccc(C)o1 ZINC000288131440 219973963 /nfs/dbraw/zinc/97/39/63/219973963.db2.gz MQSIEIYZTBZYAX-LLVKDONJSA-N -1 1 313.379 1.296 20 0 DDADMM C[C@@H]1CN(C(=O)NCc2ccc3cncn3c2)CC[C@@H]1C(=O)[O-] ZINC000626764553 367732803 /nfs/dbraw/zinc/73/28/03/367732803.db2.gz JNGHYGKKSDEMAM-RISCZKNCSA-N -1 1 316.361 1.587 20 0 DDADMM O=C([O-])[C@H]1CN([C@H]2CCN(C3CCC3)C2=O)Cc2ccccc21 ZINC000635017438 422771074 /nfs/dbraw/zinc/77/10/74/422771074.db2.gz IPZXGANRXIWMQN-HOTGVXAUSA-N -1 1 314.385 1.824 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@H]2C2CCC2)c1 ZINC000566336349 304146242 /nfs/dbraw/zinc/14/62/42/304146242.db2.gz ATCGJAUUYOJPDF-WDEREUQCSA-N -1 1 310.375 1.414 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2ccc(C(=O)[O-])c(C)c2)cn1 ZINC000349975466 284124553 /nfs/dbraw/zinc/12/45/53/284124553.db2.gz SLSBLOAJQHOFJU-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM Cn1nnnc1-c1cccc(NC(=O)c2cc(F)ccc2[O-])c1 ZINC000093910229 193327084 /nfs/dbraw/zinc/32/70/84/193327084.db2.gz YAHBRSHDTVYXTC-UHFFFAOYSA-N -1 1 313.292 1.974 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2ccccc2C(=O)[O-])c1 ZINC000350729012 284250075 /nfs/dbraw/zinc/25/00/75/284250075.db2.gz HVWKWNOZDSJYSU-UHFFFAOYSA-N -1 1 307.331 1.471 20 0 DDADMM Cc1cc(C(=O)N=c2nc(C)[nH][n-]2)nn1-c1ccc(Cl)cc1 ZINC000350767795 284258212 /nfs/dbraw/zinc/25/82/12/284258212.db2.gz NFLZFUPOUASCSQ-UHFFFAOYSA-N -1 1 316.752 1.935 20 0 DDADMM Cc1cc(C)cc(CS(=O)(=O)[N-]c2nc(N(C)C)n[nH]2)c1 ZINC000351217073 284309531 /nfs/dbraw/zinc/30/95/31/284309531.db2.gz BZWLLYQZBNFYGU-UHFFFAOYSA-N -1 1 309.395 1.429 20 0 DDADMM COc1ccccc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282866131 217287945 /nfs/dbraw/zinc/28/79/45/217287945.db2.gz IASAPUTWKSZTGV-SNVBAGLBSA-N -1 1 302.334 1.126 20 0 DDADMM COC(=O)c1ccsc1N1CC[C@H]([N-]c2nnc(C)o2)C1=O ZINC000288491807 220176497 /nfs/dbraw/zinc/17/64/97/220176497.db2.gz ROXCRODEUMKQKM-VIFPVBQESA-N -1 1 322.346 1.444 20 0 DDADMM CN(C)C(=O)CC1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000288492251 220176877 /nfs/dbraw/zinc/17/68/77/220176877.db2.gz KAJDEEKEHROXMT-UHFFFAOYSA-N -1 1 318.373 1.292 20 0 DDADMM Cn1cc([N-]S(=O)(=O)c2cc(F)ccc2F)ccc1=O ZINC000048961761 248198705 /nfs/dbraw/zinc/19/87/05/248198705.db2.gz IAOLSPOOOXJWDQ-UHFFFAOYSA-N -1 1 300.286 1.464 20 0 DDADMM NC(=O)C[C@@H]1CCCN(Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000175227537 248396716 /nfs/dbraw/zinc/39/67/16/248396716.db2.gz FZZBQVXEUBHJTM-VIFPVBQESA-N -1 1 306.391 1.072 20 0 DDADMM C/C=C/C[C@@H](CO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000185277385 248542083 /nfs/dbraw/zinc/54/20/83/248542083.db2.gz GLZLBDFKSIXNKI-SGJFDWMWSA-N -1 1 309.309 1.709 20 0 DDADMM CCO[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000579555764 422788155 /nfs/dbraw/zinc/78/81/55/422788155.db2.gz CSQRCYQPSUUJFS-DGCLKSJQSA-N -1 1 311.359 1.515 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCCN(c3ccnn3C)C2)c([O-])c1 ZINC000333755656 249093823 /nfs/dbraw/zinc/09/38/23/249093823.db2.gz UKAPHSCSKPDCLX-GFCCVEGCSA-N -1 1 315.377 1.228 20 0 DDADMM O=C1NCCc2c([N-]S(=O)(=O)C[C@@H]3CCCCO3)cccc21 ZINC000333936126 249153801 /nfs/dbraw/zinc/15/38/01/249153801.db2.gz KDJQDPJPGPGHLD-NSHDSACASA-N -1 1 324.402 1.283 20 0 DDADMM O=C(Nc1nc(CN2CCOCC2)cs1)c1ccccc1[O-] ZINC000041798170 183220662 /nfs/dbraw/zinc/22/06/62/183220662.db2.gz OUMIRPMNVGDXDC-UHFFFAOYSA-N -1 1 319.386 1.933 20 0 DDADMM COCC1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCC1 ZINC000337056342 249333425 /nfs/dbraw/zinc/33/34/25/249333425.db2.gz VBVDQNSTYIMZJC-UHFFFAOYSA-N -1 1 301.346 1.470 20 0 DDADMM COc1cncc(S(=O)(=O)Nc2ccc(CC(=O)[O-])cc2)c1 ZINC000337141017 249362563 /nfs/dbraw/zinc/36/25/63/249362563.db2.gz RUQWBCCAYKMTQX-UHFFFAOYSA-N -1 1 322.342 1.518 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1ccsc1C(F)(F)F ZINC000636305779 422794926 /nfs/dbraw/zinc/79/49/26/422794926.db2.gz INWMAWNWEBGYOF-UHFFFAOYSA-N -1 1 319.312 1.919 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CC(C)C)CC(C)C)o1 ZINC000105319518 194096238 /nfs/dbraw/zinc/09/62/38/194096238.db2.gz BJTGFTGZSYLJSZ-UHFFFAOYSA-N -1 1 316.423 1.942 20 0 DDADMM Cn1nnnc1CNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338858687 250166822 /nfs/dbraw/zinc/16/68/22/250166822.db2.gz TVUBZXMDCFMFJI-UHFFFAOYSA-N -1 1 318.724 1.047 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)Oc2cccnc2)n1 ZINC000339174305 250328152 /nfs/dbraw/zinc/32/81/52/250328152.db2.gz SXOPAGRQVJDCJH-VIFPVBQESA-N -1 1 304.306 1.387 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)C[C@H]1CCC[C@H]1O ZINC000339187232 250334625 /nfs/dbraw/zinc/33/46/25/250334625.db2.gz XRVVHVIPLWZSGE-NXEZZACHSA-N -1 1 311.407 1.446 20 0 DDADMM CN(C)C(=O)N1CCC(C(=O)Nc2ccc(F)cc2[O-])CC1 ZINC000268995409 207152388 /nfs/dbraw/zinc/15/23/88/207152388.db2.gz FZLUKRYHJZCQFH-UHFFFAOYSA-N -1 1 309.341 1.863 20 0 DDADMM O=C([N-]S(=O)(=O)C1CCCCC1)[C@H](C1CC1)N1CCCC1 ZINC000124223591 207358609 /nfs/dbraw/zinc/35/86/09/207358609.db2.gz AZFHGVIGOWFHKL-AWEZNQCLSA-N -1 1 314.451 1.640 20 0 DDADMM CCn1nc(C)c([N-]S(=O)(=O)C[C@H](OC)C2CC2)c1C ZINC000567870645 304249519 /nfs/dbraw/zinc/24/95/19/304249519.db2.gz GUCITMDWXRCOKO-LBPRGKRZSA-N -1 1 301.412 1.687 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]CC(F)(F)F)c(C)o1 ZINC000288604624 220283113 /nfs/dbraw/zinc/28/31/13/220283113.db2.gz OLLZOOZRLJZROQ-UHFFFAOYSA-N -1 1 301.242 1.215 20 0 DDADMM COCCOC[C@@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000451249946 533103969 /nfs/dbraw/zinc/10/39/69/533103969.db2.gz WCLWNGLLNKQZSH-MRVPVSSYSA-N -1 1 313.828 1.731 20 0 DDADMM O=C([O-])c1coc(NC[C@@H](c2ccccc2)N2CCOCC2)n1 ZINC000567980223 304257910 /nfs/dbraw/zinc/25/79/10/304257910.db2.gz UBHGYAZRZQEPGU-AWEZNQCLSA-N -1 1 317.345 1.280 20 0 DDADMM CN1CCN(C(=O)c2cc(Br)ccc2[O-])CC1=O ZINC000120355028 195149273 /nfs/dbraw/zinc/14/92/73/195149273.db2.gz JLNCGSZWCOJWFF-UHFFFAOYSA-N -1 1 313.151 1.069 20 0 DDADMM C[C@@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1(C)C ZINC000353302009 285652139 /nfs/dbraw/zinc/65/21/39/285652139.db2.gz VIPCCQIQHFUVHG-LLVKDONJSA-N -1 1 305.378 1.412 20 0 DDADMM Cn1ccc2ccc(NC(=O)c3cc(=O)n4[n-]cnc4n3)cc21 ZINC000353754027 285908944 /nfs/dbraw/zinc/90/89/44/285908944.db2.gz MVFOQVIYIITXRF-UHFFFAOYSA-N -1 1 308.301 1.162 20 0 DDADMM CCO[C@@H]1C[C@]([N-]S(=O)(=O)CC(C)C)(C(=O)OC)C1(C)C ZINC000444276430 286418648 /nfs/dbraw/zinc/41/86/48/286418648.db2.gz MPYHCWDQLQSBFI-RISCZKNCSA-N -1 1 321.439 1.309 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H]2CCOC3(CCC3)C2)[n-]n1 ZINC000579796574 422830496 /nfs/dbraw/zinc/83/04/96/422830496.db2.gz PEEUORIUSPKHIG-GFCCVEGCSA-N -1 1 304.394 1.409 20 0 DDADMM Cn1c2ccc(S(=O)(=O)[N-]c3ccncc3)cc2n(C)c1=O ZINC000069673889 406755244 /nfs/dbraw/zinc/75/52/44/406755244.db2.gz WOKSYSGWQZTMLT-UHFFFAOYSA-N -1 1 318.358 1.073 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2ccncc2)ccc1O ZINC000044493626 407024614 /nfs/dbraw/zinc/02/46/14/407024614.db2.gz PHGFSZNVSKKVQY-UHFFFAOYSA-N -1 1 308.315 1.375 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000078496406 407031381 /nfs/dbraw/zinc/03/13/81/407031381.db2.gz COYHLXLONXJCDS-JTQLQIEISA-N -1 1 321.345 1.831 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H](O)c2ccccc2)c1Cl ZINC000038105328 406999441 /nfs/dbraw/zinc/99/94/41/406999441.db2.gz YAEGDVKAUGBOCI-SNVBAGLBSA-N -1 1 315.782 1.085 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@@H](C)c2ccncc2)o1 ZINC000054085492 407177664 /nfs/dbraw/zinc/17/76/64/407177664.db2.gz MVVOFUJIPGPAFT-JTQLQIEISA-N -1 1 323.374 1.416 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2C[C@@H]2c2ccc(F)cc2)n1 ZINC000101664361 407314102 /nfs/dbraw/zinc/31/41/02/407314102.db2.gz VSTATTDRFVERBN-NXEZZACHSA-N -1 1 324.337 1.090 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2C[C@@H]2c2ccc(F)cc2)[n-]1 ZINC000101664361 407314104 /nfs/dbraw/zinc/31/41/04/407314104.db2.gz VSTATTDRFVERBN-NXEZZACHSA-N -1 1 324.337 1.090 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2ccc(F)cn2)c(=O)[n-]1 ZINC000103014797 407330070 /nfs/dbraw/zinc/33/00/70/407330070.db2.gz ADTBSMNUMBXDIA-UHFFFAOYSA-N -1 1 322.365 1.906 20 0 DDADMM C[C@@H](CN(C)C(=O)C1=Cc2cc(F)ccc2OC1)c1nn[n-]n1 ZINC000124612831 407364351 /nfs/dbraw/zinc/36/43/51/407364351.db2.gz WRCULPMRZNVCMM-VIFPVBQESA-N -1 1 317.324 1.377 20 0 DDADMM CS(=O)(=O)Cc1cccc(CNC(=O)c2cncc([O-])c2)c1 ZINC000111591169 407412129 /nfs/dbraw/zinc/41/21/29/407412129.db2.gz CVACPFZPQDTTPY-UHFFFAOYSA-N -1 1 320.370 1.262 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)Cc1c(F)cccc1F)C(=O)OC ZINC000271154798 407544096 /nfs/dbraw/zinc/54/40/96/407544096.db2.gz YHONPADTVYESMU-CYBMUJFWSA-N -1 1 321.345 1.726 20 0 DDADMM CCSc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)ccn1 ZINC000129532993 407615016 /nfs/dbraw/zinc/61/50/16/407615016.db2.gz PWHJUCIJTLXTBL-LLVKDONJSA-N -1 1 318.406 1.727 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@@H]1CO)c1cccc(F)c1F ZINC000178916692 407655577 /nfs/dbraw/zinc/65/55/77/407655577.db2.gz ODOUJPGYXDGLOK-KOLCDFICSA-N -1 1 305.346 1.794 20 0 DDADMM CC[C@@H](CC1CCCC1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000114630458 407592304 /nfs/dbraw/zinc/59/23/04/407592304.db2.gz HGPURADBAGEOGZ-JTQLQIEISA-N -1 1 314.411 1.753 20 0 DDADMM CC[C@@H](CC1CCCC1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000114630458 407592314 /nfs/dbraw/zinc/59/23/14/407592314.db2.gz HGPURADBAGEOGZ-JTQLQIEISA-N -1 1 314.411 1.753 20 0 DDADMM C[C@H](c1nccs1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000186455660 407671798 /nfs/dbraw/zinc/67/17/98/407671798.db2.gz MWMDAAPTOGBLBN-LLVKDONJSA-N -1 1 318.402 1.763 20 0 DDADMM CCOC(=O)CCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000266967243 407694905 /nfs/dbraw/zinc/69/49/05/407694905.db2.gz AKLFOUKZBTXMJS-UHFFFAOYSA-N -1 1 309.366 1.471 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCOC1)c1ccc(Cl)nc1Cl ZINC000171540225 407697166 /nfs/dbraw/zinc/69/71/66/407697166.db2.gz KEHULIAYIQSUIJ-SSDOTTSWSA-N -1 1 311.190 1.846 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnc(Cc2ccccc2F)s1 ZINC000186636845 407726902 /nfs/dbraw/zinc/72/69/02/407726902.db2.gz WQPDNWDZUQSENW-UHFFFAOYSA-N -1 1 304.310 1.638 20 0 DDADMM Cc1ccccc1[C@@H](C)CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000116444049 407754000 /nfs/dbraw/zinc/75/40/00/407754000.db2.gz JTFGEQYQCYZNFV-JTQLQIEISA-N -1 1 322.390 1.649 20 0 DDADMM Cc1ccccc1[C@@H](C)CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000116444049 407754003 /nfs/dbraw/zinc/75/40/03/407754003.db2.gz JTFGEQYQCYZNFV-JTQLQIEISA-N -1 1 322.390 1.649 20 0 DDADMM O=S(=O)([N-]CCCN1CCOCC1)c1cc2ccccc2o1 ZINC000131664834 407745802 /nfs/dbraw/zinc/74/58/02/407745802.db2.gz HDKPSUWKYIWNHT-UHFFFAOYSA-N -1 1 324.402 1.433 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1CCCN1Cc1ccccc1)CC(=O)[O-] ZINC000262195534 407763931 /nfs/dbraw/zinc/76/39/31/407763931.db2.gz IQGVMKXHCLGEQW-ZFWWWQNUSA-N -1 1 304.390 1.878 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@@H]1CCCCO1 ZINC000272090394 407768542 /nfs/dbraw/zinc/76/85/42/407768542.db2.gz UVTXFJWHWOQEDF-IUCAKERBSA-N -1 1 307.803 1.309 20 0 DDADMM CCCOC(=O)CC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000267173126 407777752 /nfs/dbraw/zinc/77/77/52/407777752.db2.gz VBGSBCGNRIUKQO-UHFFFAOYSA-N -1 1 307.318 1.586 20 0 DDADMM Cn1[n-]cc2c(=O)c(C(=O)Nc3cnn(CC(F)F)c3)cnc1-2 ZINC000179505317 407798031 /nfs/dbraw/zinc/79/80/31/407798031.db2.gz ABNNPIXHMOCNDF-UHFFFAOYSA-N -1 1 322.275 1.388 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2cc(C)n(C)n2)c(=O)[n-]1 ZINC000133595025 407862391 /nfs/dbraw/zinc/86/23/91/407862391.db2.gz KBYCHKUMHMGWCR-UHFFFAOYSA-N -1 1 321.406 1.413 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)Cc1ccccc1F ZINC000117927941 407871957 /nfs/dbraw/zinc/87/19/57/407871957.db2.gz TXRZYQFXSCPZCF-UHFFFAOYSA-N -1 1 303.355 1.588 20 0 DDADMM C[C@H]1CN(C[C@@H]2CCCN2C(=O)c2ncccc2[O-])C[C@H](C)O1 ZINC000153665682 407874960 /nfs/dbraw/zinc/87/49/60/407874960.db2.gz VRZSVCVFVMSZJI-IHRRRGAJSA-N -1 1 319.405 1.501 20 0 DDADMM C[C@H](C(=O)Nc1ccc2c(c1)OCO2)N1CC[C@H](C(=O)[O-])C1 ZINC000262640393 407888815 /nfs/dbraw/zinc/88/88/15/407888815.db2.gz IVZOVXIMKILZBF-ZJUUUORDSA-N -1 1 306.318 1.149 20 0 DDADMM COc1ccc(C)cc1NC(=O)[C@H](C)N1CC[C@@H](C(=O)[O-])C1 ZINC000262684701 407902053 /nfs/dbraw/zinc/90/20/53/407902053.db2.gz XIFFERQMMRZDGF-NWDGAFQWSA-N -1 1 306.362 1.737 20 0 DDADMM O=C([O-])CCN1CCCC[C@@H]1C(=O)Nc1ccc2c(c1)CCO2 ZINC000262721950 407910778 /nfs/dbraw/zinc/91/07/78/407910778.db2.gz FYDZMDHJYLEPRS-CQSZACIVSA-N -1 1 318.373 1.889 20 0 DDADMM O=S(=O)(C[C@H]1CCCCO1)[N-]c1c(F)cccc1CO ZINC000134664590 407921153 /nfs/dbraw/zinc/92/11/53/407921153.db2.gz HCIZSLABFYUBNP-LLVKDONJSA-N -1 1 303.355 1.629 20 0 DDADMM CN1C(=O)C[C@@H](C(=O)Nc2nnn[n-]2)[C@@H]1c1cccc(Cl)c1 ZINC000135465103 408018015 /nfs/dbraw/zinc/01/80/15/408018015.db2.gz CPILWACUPWEBKT-KOLCDFICSA-N -1 1 320.740 1.011 20 0 DDADMM CN1C(=O)C[C@@H](C(=O)Nc2nn[n-]n2)[C@@H]1c1cccc(Cl)c1 ZINC000135465103 408018023 /nfs/dbraw/zinc/01/80/23/408018023.db2.gz CPILWACUPWEBKT-KOLCDFICSA-N -1 1 320.740 1.011 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]c2cc(C3CC3)n[nH]2)c1Cl ZINC000268482979 408065967 /nfs/dbraw/zinc/06/59/67/408065967.db2.gz LOADLEOTXAJGJH-UHFFFAOYSA-N -1 1 301.759 1.475 20 0 DDADMM CCO[C@@H]1C[C@@H](O)C12CCN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC000175249720 408086647 /nfs/dbraw/zinc/08/66/47/408086647.db2.gz AILQUEPIMVNAPS-HUUCEWRRSA-N -1 1 323.364 1.923 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000175294629 408099578 /nfs/dbraw/zinc/09/95/78/408099578.db2.gz XJTAROCUODXNGM-MWLCHTKSSA-N -1 1 318.345 1.287 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)c2ccc(F)cc2)co1 ZINC000121212870 408164452 /nfs/dbraw/zinc/16/44/52/408164452.db2.gz SZRHXZGIBMGBBT-UHFFFAOYSA-N -1 1 312.322 1.603 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCSCC[C@H]2C)co1 ZINC000190109770 408169445 /nfs/dbraw/zinc/16/94/45/408169445.db2.gz ZLYOUWGBKBSHBD-SECBINFHSA-N -1 1 318.420 1.155 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)[C@H]1CCCc2ccccc21 ZINC000268710024 408169969 /nfs/dbraw/zinc/16/99/69/408169969.db2.gz LYEGPNFALHEXCK-LBPRGKRZSA-N -1 1 318.402 1.948 20 0 DDADMM CCC(CC)n1nccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155575198 408184667 /nfs/dbraw/zinc/18/46/67/408184667.db2.gz AKBLGDLIIQKSQA-NSHDSACASA-N -1 1 317.397 1.777 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(-c2ncon2)cc1)c1nn[n-]n1 ZINC000273407642 408188396 /nfs/dbraw/zinc/18/83/96/408188396.db2.gz BPHKGXOJRSINAL-VIFPVBQESA-N -1 1 313.321 1.125 20 0 DDADMM O=C(Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1)c1ccccc1[O-] ZINC000156087233 408237140 /nfs/dbraw/zinc/23/71/40/408237140.db2.gz UBYXJIGUSWEHBA-GFCCVEGCSA-N -1 1 324.336 1.850 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cccc(C(C)=O)c2)co1 ZINC000157837578 408318420 /nfs/dbraw/zinc/31/84/20/408318420.db2.gz FOXWTPJYDLSXND-UHFFFAOYSA-N -1 1 322.342 1.643 20 0 DDADMM O=C(N[C@@H]1CCCN(c2cccnc2)C1=O)c1cncc([O-])c1 ZINC000182907650 408280976 /nfs/dbraw/zinc/28/09/76/408280976.db2.gz QOTYZCPGPABSPH-CQSZACIVSA-N -1 1 312.329 1.108 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@H]2CCC(=O)N2)c1 ZINC000182903763 408281318 /nfs/dbraw/zinc/28/13/18/408281318.db2.gz XNQHXQHTFIDFTP-MRVPVSSYSA-N -1 1 313.151 1.680 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2cc(F)ccc2[O-])[C@@]12CCCO2 ZINC000274240331 408336874 /nfs/dbraw/zinc/33/68/74/408336874.db2.gz RDPOCAXIFHWRRI-LZWOXQAQSA-N -1 1 309.337 1.988 20 0 DDADMM CCc1ccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)s1 ZINC000164573104 162153426 /nfs/dbraw/zinc/15/34/26/162153426.db2.gz AQNVHMQJKSGIEB-LLVKDONJSA-N -1 1 310.419 1.723 20 0 DDADMM Cc1c(Cl)cccc1OCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183407066 408400801 /nfs/dbraw/zinc/40/08/01/408400801.db2.gz MKIIMGUIEXNKOT-VIFPVBQESA-N -1 1 323.784 1.802 20 0 DDADMM Cc1cc2c(cc1C)O[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)C2 ZINC000183414848 408403919 /nfs/dbraw/zinc/40/39/19/408403919.db2.gz SMQSHXOMDJPHRJ-FZMZJTMJSA-N -1 1 315.377 1.382 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(-c2ccccc2)n[nH]1)c1nn[n-]n1 ZINC000183433449 408411948 /nfs/dbraw/zinc/41/19/48/408411948.db2.gz XWDFBWXTVFYTAK-JTQLQIEISA-N -1 1 311.349 1.466 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnc2ccc(F)cc2c1)c1nn[n-]n1 ZINC000183438401 408413830 /nfs/dbraw/zinc/41/38/30/408413830.db2.gz ZVDJTECLXJAQOE-SECBINFHSA-N -1 1 314.324 1.763 20 0 DDADMM Cc1ccc(OCCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(C)c1 ZINC000183450251 408416096 /nfs/dbraw/zinc/41/60/96/408416096.db2.gz UPNHCZVMFLWASO-CYBMUJFWSA-N -1 1 317.393 1.848 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1cc(F)c(OC)cc1F ZINC000274483684 408423151 /nfs/dbraw/zinc/42/31/51/408423151.db2.gz YKWSXTFRSXCPQZ-UHFFFAOYSA-N -1 1 317.317 1.991 20 0 DDADMM CCC[C@H](NC(=O)c1[nH]c(C)c(C(C)=O)c1CC)c1nn[n-]n1 ZINC000176749790 408431575 /nfs/dbraw/zinc/43/15/75/408431575.db2.gz QFYCUSBQYCQBBM-NSHDSACASA-N -1 1 318.381 1.872 20 0 DDADMM C[C@H]1CCSCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000191499077 408434403 /nfs/dbraw/zinc/43/44/03/408434403.db2.gz FWAJONZXLCUVGR-JTQLQIEISA-N -1 1 303.387 1.891 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cc(Cl)cnc2N)o1 ZINC000274764369 408524155 /nfs/dbraw/zinc/52/41/55/408524155.db2.gz YZPFEXSTMGRYAF-UHFFFAOYSA-N -1 1 316.770 1.346 20 0 DDADMM O=S(=O)([N-]CC(F)(F)CO)c1ccc(F)cc1Cl ZINC000184294960 408586157 /nfs/dbraw/zinc/58/61/57/408586157.db2.gz MPENHAIZJUFFNY-UHFFFAOYSA-N -1 1 303.689 1.385 20 0 DDADMM CCOC(=O)CC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000162615753 408718580 /nfs/dbraw/zinc/71/85/80/408718580.db2.gz NDXOBJASINTGEV-GFCCVEGCSA-N -1 1 306.362 1.983 20 0 DDADMM CC(C)c1ccc(C(=O)NC[C@@H](C)N2CCN(C)CC2)c(O)c1 ZINC000265647171 408730320 /nfs/dbraw/zinc/73/03/20/408730320.db2.gz XJWVNLPKPRWJMT-CQSZACIVSA-N -1 1 319.449 1.881 20 0 DDADMM Cc1oc(C(=O)[O-])cc1S(=O)(=O)NC[C@H](C)N(C)C1CC1 ZINC000184995435 408732725 /nfs/dbraw/zinc/73/27/25/408732725.db2.gz ZCOVXNNDSNROMO-QMMMGPOBSA-N -1 1 316.379 1.047 20 0 DDADMM CC(C)n1cnnc1C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000265511479 408678227 /nfs/dbraw/zinc/67/82/27/408678227.db2.gz FZRHVWNBEAVVED-UHFFFAOYSA-N -1 1 315.377 1.979 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N([C@@H](C)c2ccccc2)C1 ZINC000184780775 408688762 /nfs/dbraw/zinc/68/87/62/408688762.db2.gz URQVNMBIQWNVJL-ZFWWWQNUSA-N -1 1 320.389 1.680 20 0 DDADMM O=c1cc(CN2CCO[C@]3(CCOC3)C2)c2ccc([O-])cc2o1 ZINC000185221372 408783033 /nfs/dbraw/zinc/78/30/33/408783033.db2.gz WMMMHHQCVWSOPL-QGZVFWFLSA-N -1 1 317.341 1.490 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2OCCC[C@@H]12)c1cc(F)ccc1F ZINC000249956990 408772391 /nfs/dbraw/zinc/77/23/91/408772391.db2.gz VXNOKAXBBMGHEH-WCQGTBRESA-N -1 1 303.330 1.811 20 0 DDADMM C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)N1CCCC[C@@H]1C ZINC000280777792 408832970 /nfs/dbraw/zinc/83/29/70/408832970.db2.gz NALVCEGSIMXQJF-NWDGAFQWSA-N -1 1 318.373 1.481 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H](C)Cc1cccs1 ZINC000290890228 408851087 /nfs/dbraw/zinc/85/10/87/408851087.db2.gz ZPVPBUGYYVIBAF-MRVPVSSYSA-N -1 1 315.420 1.784 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2OCCC[C@H]12)c1ccc(F)c(F)c1F ZINC000190636008 163150079 /nfs/dbraw/zinc/15/00/79/163150079.db2.gz ROVIWVDWKNBLEV-QNSHHTMESA-N -1 1 321.320 1.950 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CCC(CO)CC1 ZINC000231358550 163350109 /nfs/dbraw/zinc/35/01/09/163350109.db2.gz WRPKXHYLRXUXGC-UHFFFAOYSA-N -1 1 314.179 1.999 20 0 DDADMM CCOc1cc(C(=O)N(C)CCC(N)=O)cc(Cl)c1[O-] ZINC000286228654 408945537 /nfs/dbraw/zinc/94/55/37/408945537.db2.gz NWEPKWPGSBXAER-UHFFFAOYSA-N -1 1 300.742 1.392 20 0 DDADMM COCCCNC(=O)[C@@H](C)Sc1nc(C2CC2)cc(=O)[n-]1 ZINC000277546815 408963257 /nfs/dbraw/zinc/96/32/57/408963257.db2.gz IUYKADROIJDOLV-SECBINFHSA-N -1 1 311.407 1.693 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)CCCOC)CC2)n1 ZINC000277761250 409006611 /nfs/dbraw/zinc/00/66/11/409006611.db2.gz QHSFHVOFYUJCPT-UHFFFAOYSA-N -1 1 324.381 1.114 20 0 DDADMM COC(=O)CCCc1nnc([N-]C(=O)c2nc(C)oc2C)s1 ZINC000293238104 409073703 /nfs/dbraw/zinc/07/37/03/409073703.db2.gz SXPSLLBVALVVON-UHFFFAOYSA-N -1 1 324.362 1.891 20 0 DDADMM CC(C)(C)OC(=O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283049136 409076213 /nfs/dbraw/zinc/07/62/13/409076213.db2.gz LXMXOERVQOKFRY-UHFFFAOYSA-N -1 1 308.363 1.090 20 0 DDADMM CCc1nn(C)c(CC)c1CNC(=O)C(=O)c1ccc([O-])cc1 ZINC000287763443 409089068 /nfs/dbraw/zinc/08/90/68/409089068.db2.gz QSCWOASPGOOZAF-UHFFFAOYSA-N -1 1 315.373 1.750 20 0 DDADMM O=c1nc(C2CCN(c3ccnc(-c4ccccc4)n3)CC2)[nH][n-]1 ZINC000287922981 409116112 /nfs/dbraw/zinc/11/61/12/409116112.db2.gz WSOGBQJENLQRCX-UHFFFAOYSA-N -1 1 322.372 1.939 20 0 DDADMM CSc1ccccc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000283230625 409117265 /nfs/dbraw/zinc/11/72/65/409117265.db2.gz IRWAQRARJFAVFU-JTQLQIEISA-N -1 1 318.402 1.840 20 0 DDADMM COCC1(CNC(=O)c2c(F)ccc([O-])c2F)CCOCC1 ZINC000293771274 409177656 /nfs/dbraw/zinc/17/76/56/409177656.db2.gz YLAQGHUDERYVBO-UHFFFAOYSA-N -1 1 315.316 1.843 20 0 DDADMM CC(C)CO[C@H]1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000279255666 409179458 /nfs/dbraw/zinc/17/94/58/409179458.db2.gz IGEAQUWWBOMWDQ-DSVMPHHWSA-N -1 1 317.393 1.621 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c(O)c1 ZINC000283782682 409216209 /nfs/dbraw/zinc/21/62/09/409216209.db2.gz VALPOXFNVSHCMW-JTQLQIEISA-N -1 1 302.334 1.132 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1ccc(C(F)(F)F)cc1[O-])OC ZINC000294029489 409228703 /nfs/dbraw/zinc/22/87/03/409228703.db2.gz NVWJHRLXSVHYCT-JTQLQIEISA-N -1 1 321.251 1.329 20 0 DDADMM COC(=O)C(C)(C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000283873326 409232570 /nfs/dbraw/zinc/23/25/70/409232570.db2.gz NGFOUEIFMKVNLC-UHFFFAOYSA-N -1 1 309.746 1.709 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCC(C)(C)C2CC2)co1 ZINC000294085970 409238141 /nfs/dbraw/zinc/23/81/41/409238141.db2.gz IEYUKHBUQIXAEV-UHFFFAOYSA-N -1 1 314.407 1.744 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1ncc(C(F)(F)F)[nH]1 ZINC000283923313 409240367 /nfs/dbraw/zinc/24/03/67/409240367.db2.gz DVZNUPUVBYSIEN-UHFFFAOYSA-N -1 1 310.257 1.834 20 0 DDADMM COCCS(=O)(=O)Nc1ccc(CN(C)C)c(Cl)c1 ZINC000280170131 409262085 /nfs/dbraw/zinc/26/20/85/409262085.db2.gz BOLNNUUOXPHZMH-UHFFFAOYSA-N -1 1 306.815 1.790 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2cc(C)oc2C)s1 ZINC000289430229 409270401 /nfs/dbraw/zinc/27/04/01/409270401.db2.gz DCLFATHQXDHJMY-UHFFFAOYSA-N -1 1 301.393 1.789 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c(C)c1 ZINC000294284292 409272879 /nfs/dbraw/zinc/27/28/79/409272879.db2.gz GTOQNOCXKDKDAU-LLVKDONJSA-N -1 1 316.361 1.435 20 0 DDADMM CN(C)[C@@H](CNC(=O)C(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC000290606859 409458832 /nfs/dbraw/zinc/45/88/32/409458832.db2.gz VVLNHSQWGINVFB-JTQLQIEISA-N -1 1 304.268 1.509 20 0 DDADMM C[C@@H](OCc1ccc(F)cc1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000296029385 409404043 /nfs/dbraw/zinc/40/40/43/409404043.db2.gz OYMXLYCVUUISNC-WDEREUQCSA-N -1 1 321.356 1.506 20 0 DDADMM Cc1cccc2nc(CN3CCCC[C@@H]3c3nc(=O)[n-][nH]3)cn21 ZINC000295366843 409452031 /nfs/dbraw/zinc/45/20/31/409452031.db2.gz SANLTDSBUQWVEG-CYBMUJFWSA-N -1 1 312.377 1.781 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1ccc2[nH]nnc2c1)c1ccccc1 ZINC000320196402 164041076 /nfs/dbraw/zinc/04/10/76/164041076.db2.gz VQAXPWSGNQKNSG-LBPRGKRZSA-N -1 1 310.313 1.556 20 0 DDADMM CC[C@H](CC(=O)NC1(c2nn[n-]n2)CC1)c1ccc(OC)cc1 ZINC000357033231 164136982 /nfs/dbraw/zinc/13/69/82/164136982.db2.gz BQAVZJADXAGSML-LLVKDONJSA-N -1 1 315.377 1.898 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@@H](O)C1)c1ccc(Br)o1 ZINC000408301969 164290865 /nfs/dbraw/zinc/29/08/65/164290865.db2.gz DLDTUGDXTPQEEL-JGVFFNPUSA-N -1 1 324.196 1.481 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(Br)ccc2[O-])CC(=O)N1 ZINC000296259928 409481881 /nfs/dbraw/zinc/48/18/81/409481881.db2.gz QNBIQUVQFOEQGZ-SSDOTTSWSA-N -1 1 313.151 1.115 20 0 DDADMM O=C(N[C@H]1CCO[C@]2(CCOC2)C1)C(=O)c1ccc([O-])cc1 ZINC000331560501 409637220 /nfs/dbraw/zinc/63/72/20/409637220.db2.gz NFQSIYPBZQDIPT-BLLLJJGKSA-N -1 1 305.330 1.029 20 0 DDADMM CO[C@@H](C)c1nc(=NCC2(S(C)(=O)=O)CCC2)s[n-]1 ZINC000337936770 409585788 /nfs/dbraw/zinc/58/57/88/409585788.db2.gz NPKBXQHSJJAADA-QMMMGPOBSA-N -1 1 305.425 1.047 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H]1C1CCCC1 ZINC000337946694 409593212 /nfs/dbraw/zinc/59/32/12/409593212.db2.gz UZTHDBGXZURUGQ-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC1CCC(O)CC1 ZINC000338116130 409729073 /nfs/dbraw/zinc/72/90/73/409729073.db2.gz UAHOIGWYZDBQPH-UHFFFAOYSA-N -1 1 318.377 1.014 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@H]1CCc2ccccc21 ZINC000331653401 409734959 /nfs/dbraw/zinc/73/49/59/409734959.db2.gz MMTBIFVCCQHCPJ-NSHDSACASA-N -1 1 307.375 1.404 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCc2ccccc21 ZINC000331653401 409734966 /nfs/dbraw/zinc/73/49/66/409734966.db2.gz MMTBIFVCCQHCPJ-NSHDSACASA-N -1 1 307.375 1.404 20 0 DDADMM CCNC(=O)[C@H](NC(=O)c1csc(=NC2CC2)[n-]1)C(C)C ZINC000354308580 409756433 /nfs/dbraw/zinc/75/64/33/409756433.db2.gz QQKPRONDIREARX-LLVKDONJSA-N -1 1 310.423 1.030 20 0 DDADMM Cn1[n-]c(CSc2n[nH]c(-c3cc(F)cc(F)c3)n2)nc1=O ZINC000354321257 409767378 /nfs/dbraw/zinc/76/73/78/409767378.db2.gz FDABPUBUQQZUSK-UHFFFAOYSA-N -1 1 324.316 1.464 20 0 DDADMM O=C(NCCN1CCC(O)CC1)c1ccc2[n-]c(=S)oc2c1 ZINC000297032433 409768113 /nfs/dbraw/zinc/76/81/13/409768113.db2.gz SEXAVHIZESKXLW-UHFFFAOYSA-N -1 1 321.402 1.303 20 0 DDADMM COCCN([C@H]1CC[C@@H](C)C1)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349506938 409855151 /nfs/dbraw/zinc/85/51/51/409855151.db2.gz WDUUCANNVFNFAS-KOLCDFICSA-N -1 1 317.411 1.221 20 0 DDADMM CC(C)(C)O[C@@H](C(=O)NC1(c2nn[n-]n2)CC1)c1ccccc1 ZINC000357077865 409847464 /nfs/dbraw/zinc/84/74/64/409847464.db2.gz OLDTUVDSVYWVCT-GFCCVEGCSA-N -1 1 315.377 1.861 20 0 DDADMM Cc1cn(-c2ccccc2)nc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357045390 409816527 /nfs/dbraw/zinc/81/65/27/409816527.db2.gz CCWSMPOMCZBAKM-UHFFFAOYSA-N -1 1 309.333 1.113 20 0 DDADMM CCNC(=O)[C@H](C)NC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338337353 409922003 /nfs/dbraw/zinc/92/20/03/409922003.db2.gz IBNAZUXIJUOMJX-QMMMGPOBSA-N -1 1 321.764 1.848 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccc(F)c(F)c2)CC[C@@H]1C(=O)[O-] ZINC000318737426 409881115 /nfs/dbraw/zinc/88/11/15/409881115.db2.gz JZCASTSQWNWMOE-KOLCDFICSA-N -1 1 312.316 1.946 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000319047037 409885102 /nfs/dbraw/zinc/88/51/02/409885102.db2.gz VBJIIGYQLKTYEM-ZWNOBZJWSA-N -1 1 308.382 1.403 20 0 DDADMM CC[N@@H+]1C[C@@H](C)N(C(=O)c2csc(=NC3CC3)[nH]2)C[C@H]1C ZINC000346308121 409902787 /nfs/dbraw/zinc/90/27/87/409902787.db2.gz HKMUJHZWYDUQLW-GHMZBOCLSA-N -1 1 308.451 1.694 20 0 DDADMM CC(=O)Nc1ccc(S[C@H](C)C(=O)Nc2nnn[n-]2)cc1 ZINC000342858479 409952468 /nfs/dbraw/zinc/95/24/68/409952468.db2.gz PCMGMDOYTIQIHR-SSDOTTSWSA-N -1 1 306.351 1.277 20 0 DDADMM CC(=O)Nc1ccc(S[C@H](C)C(=O)Nc2nn[n-]n2)cc1 ZINC000342858479 409952476 /nfs/dbraw/zinc/95/24/76/409952476.db2.gz PCMGMDOYTIQIHR-SSDOTTSWSA-N -1 1 306.351 1.277 20 0 DDADMM O=C(N[C@@H](CO)C1CCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000357412781 410023498 /nfs/dbraw/zinc/02/34/98/410023498.db2.gz BJLJZBHURLBBRO-ZDUSSCGKSA-N -1 1 301.346 1.204 20 0 DDADMM CC[C@H](C(=O)OC)C([O-])=Nc1nc(-c2ccsc2)ns1 ZINC000338813634 410037745 /nfs/dbraw/zinc/03/77/45/410037745.db2.gz GNWHAJYPRNKHRW-QMMMGPOBSA-N -1 1 311.388 1.826 20 0 DDADMM COC(=O)[C@H](CS(=O)(=O)[N-]c1cnn(C)c1)c1ccccc1 ZINC000357468084 410058381 /nfs/dbraw/zinc/05/83/81/410058381.db2.gz FRXZJCVHYCBLAD-CYBMUJFWSA-N -1 1 323.374 1.119 20 0 DDADMM Cc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1Br ZINC000354748752 410060737 /nfs/dbraw/zinc/06/07/37/410060737.db2.gz CJDSLXXMUGEMKH-UHFFFAOYSA-N -1 1 324.182 1.936 20 0 DDADMM CN(C)c1ccccc1CN1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332425478 410146943 /nfs/dbraw/zinc/14/69/43/410146943.db2.gz BSCSMIKEDIJLGW-UHFFFAOYSA-N -1 1 318.450 1.339 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(F)c1 ZINC000332382960 410112186 /nfs/dbraw/zinc/11/21/86/410112186.db2.gz ZDKSBCQZDLSSDH-SECBINFHSA-N -1 1 321.308 1.943 20 0 DDADMM COC(=O)CCc1nc(CSc2nc(C)c(C)c(=O)[n-]2)no1 ZINC000346724656 410113486 /nfs/dbraw/zinc/11/34/86/410113486.db2.gz QHJMUVPRIUIOSW-UHFFFAOYSA-N -1 1 324.362 1.580 20 0 DDADMM Cc1nc(S[C@@H](C)C(=O)NC(=O)NC(C)C)[n-]c(=O)c1C ZINC000346725301 410115707 /nfs/dbraw/zinc/11/57/07/410115707.db2.gz RVVISHVZVRGHSS-VIFPVBQESA-N -1 1 312.395 1.514 20 0 DDADMM COC[C@@H](C)NC(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000354977297 410216785 /nfs/dbraw/zinc/21/67/85/410216785.db2.gz SIZFBAXMQKYLRI-SNVBAGLBSA-N -1 1 316.361 1.246 20 0 DDADMM CC[C@H](C)[NH+]1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000298328088 410181745 /nfs/dbraw/zinc/18/17/45/410181745.db2.gz FIPRKBULVYWSMX-DSVMPHHWSA-N -1 1 316.409 1.290 20 0 DDADMM CCc1ccccc1OCCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000355042680 410262384 /nfs/dbraw/zinc/26/23/84/410262384.db2.gz POGLCLBBEFTYST-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@H]2CC[C@@H](C)C2)o1 ZINC000346972780 410287086 /nfs/dbraw/zinc/28/70/86/410287086.db2.gz IAWJDLNAVFKZDJ-ZJUUUORDSA-N -1 1 300.380 1.448 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)(F)F)c1cc(F)cc(F)c1 ZINC000339787220 410462041 /nfs/dbraw/zinc/46/20/41/410462041.db2.gz MENNCPARDHQBBC-MRVPVSSYSA-N -1 1 319.251 1.556 20 0 DDADMM Cc1ccccc1-n1cc(/C=C/c2cc(=O)n3[n-]cnc3n2)nn1 ZINC000352024977 410424782 /nfs/dbraw/zinc/42/47/82/410424782.db2.gz BBPBDLJJLKOFJG-VOTSOKGWSA-N -1 1 319.328 1.477 20 0 DDADMM O=C(NCCN1C(=O)CSC1=O)c1ccc(Cl)cc1[O-] ZINC000299050739 410498981 /nfs/dbraw/zinc/49/89/81/410498981.db2.gz VOLAAJXHTJGFTC-UHFFFAOYSA-N -1 1 314.750 1.471 20 0 DDADMM Cc1cc(CS(=O)(=O)c2nnc(Cc3ccccc3)[n-]2)on1 ZINC000359166097 410565086 /nfs/dbraw/zinc/56/50/86/410565086.db2.gz JRPAJYAMRPWUCF-UHFFFAOYSA-N -1 1 318.358 1.666 20 0 DDADMM Cc1cc(CS(=O)(=O)c2nc(Cc3ccccc3)n[n-]2)on1 ZINC000359166097 410565092 /nfs/dbraw/zinc/56/50/92/410565092.db2.gz JRPAJYAMRPWUCF-UHFFFAOYSA-N -1 1 318.358 1.666 20 0 DDADMM Cc1cc(CS(=O)(=O)c2n[n-]c(Cc3ccccc3)n2)on1 ZINC000359166097 410565099 /nfs/dbraw/zinc/56/50/99/410565099.db2.gz JRPAJYAMRPWUCF-UHFFFAOYSA-N -1 1 318.358 1.666 20 0 DDADMM CC(C)CN1C[C@@H]2CN(C(=O)c3cncc([O-])c3)CCN2C1=O ZINC000355569471 410585702 /nfs/dbraw/zinc/58/57/02/410585702.db2.gz PXVFBGQBOMUVMU-ZDUSSCGKSA-N -1 1 318.377 1.005 20 0 DDADMM O=S(=O)([N-][C@@H]1CCc2c[nH]nc2C1)c1sccc1Cl ZINC000333451680 410556424 /nfs/dbraw/zinc/55/64/24/410556424.db2.gz RVXPAUYEDZAQAU-MRVPVSSYSA-N -1 1 317.823 1.960 20 0 DDADMM Cc1ccc(F)cc1C(=O)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000359441908 410661036 /nfs/dbraw/zinc/66/10/36/410661036.db2.gz QWPPABGROHCPIE-UHFFFAOYSA-N -1 1 317.324 1.416 20 0 DDADMM Cc1nnc([C@H](C)NC(=O)c2nc3ccccc3c(=O)[n-]2)s1 ZINC000353163661 410823430 /nfs/dbraw/zinc/82/34/30/410823430.db2.gz CMUFLNBPBKLTFD-ZETCQYMHSA-N -1 1 315.358 1.574 20 0 DDADMM CN(C)c1cc(C(F)(F)F)nc(=NOC[C@@H]2CCOC2)[n-]1 ZINC000302739641 410855043 /nfs/dbraw/zinc/85/50/43/410855043.db2.gz CFEQXKPOVGAHQG-MRVPVSSYSA-N -1 1 306.288 1.941 20 0 DDADMM Cc1oc(-c2ccco2)nc1CC(=O)NC1(c2nn[n-]n2)CC1 ZINC000348283797 410896738 /nfs/dbraw/zinc/89/67/38/410896738.db2.gz SCHUHRRSCRBVHW-UHFFFAOYSA-N -1 1 314.305 1.104 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@H]1c1cccc(Cl)c1 ZINC000348297918 410906726 /nfs/dbraw/zinc/90/67/26/410906726.db2.gz MHBDVAWXQQMDHN-QWRGUYRKSA-N -1 1 303.753 1.762 20 0 DDADMM COc1ccc(C(=O)CCC(=O)Nc2nnn[n-]2)c(Cl)c1 ZINC000356350367 410977738 /nfs/dbraw/zinc/97/77/38/410977738.db2.gz VIVPIUCJVASKGJ-UHFFFAOYSA-N -1 1 309.713 1.463 20 0 DDADMM COc1ccc(C(=O)CCC(=O)Nc2nn[n-]n2)c(Cl)c1 ZINC000356350367 410977748 /nfs/dbraw/zinc/97/77/48/410977748.db2.gz VIVPIUCJVASKGJ-UHFFFAOYSA-N -1 1 309.713 1.463 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnc(-c2ccc(F)c(F)c2)s1 ZINC000356357414 410984079 /nfs/dbraw/zinc/98/40/79/410984079.db2.gz YKBCPNJLEBGYAY-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM O=C(N[C@@H](CO)CC1CCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000337848408 411032157 /nfs/dbraw/zinc/03/21/57/411032157.db2.gz INLDLLZOEKJWNK-GFCCVEGCSA-N -1 1 315.373 1.594 20 0 DDADMM Cn1cc(CN2CCN(C(=O)c3cc(F)ccc3[O-])CC2)cn1 ZINC000331242440 411037843 /nfs/dbraw/zinc/03/78/43/411037843.db2.gz RCRVKBXDUNUMIP-UHFFFAOYSA-N -1 1 318.352 1.223 20 0 DDADMM CC(C)CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCC1 ZINC000353762260 411125636 /nfs/dbraw/zinc/12/56/36/411125636.db2.gz PUFSORFILVBOCW-UHFFFAOYSA-N -1 1 303.366 1.458 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N=c3cc(C4CC4)n(C)[nH]3)cnc2n1 ZINC000580178258 422897392 /nfs/dbraw/zinc/89/73/92/422897392.db2.gz QDHROOYQLRBNSI-UHFFFAOYSA-N -1 1 323.356 1.929 20 0 DDADMM CN1CCC[C@H]1CNS(=O)(=O)c1cccc(Cl)c1[O-] ZINC000631800275 422927842 /nfs/dbraw/zinc/92/78/42/422927842.db2.gz ZOKCTBOPCVGDBC-VIFPVBQESA-N -1 1 304.799 1.418 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccccc2O)C[C@H]1[NH+]1CCOCC1 ZINC000640528017 423014279 /nfs/dbraw/zinc/01/42/79/423014279.db2.gz VQUSLFOBWJDWSC-HUUCEWRRSA-N -1 1 304.390 1.621 20 0 DDADMM Cc1ccc(CCN(C)CC(=O)N2CCC(C(=O)[O-])CC2)cc1 ZINC000652471885 423032533 /nfs/dbraw/zinc/03/25/33/423032533.db2.gz LBOUBBWFANXOPJ-UHFFFAOYSA-N -1 1 318.417 1.793 20 0 DDADMM O=C(NCCO[C@H]1CCCCO1)c1c(F)ccc([O-])c1F ZINC000647758162 423033855 /nfs/dbraw/zinc/03/38/55/423033855.db2.gz GIIFYVCUQZVRML-NSHDSACASA-N -1 1 301.289 1.943 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H](O)C1)c1ncccc1C(F)(F)F ZINC000645477295 423050474 /nfs/dbraw/zinc/05/04/74/423050474.db2.gz CZDOQEIVXBNWKI-BDAKNGLRSA-N -1 1 324.324 1.682 20 0 DDADMM CN(C)c1cnn(C2CCN(C(=O)c3ncccc3[O-])CC2)c1 ZINC000647836274 423068852 /nfs/dbraw/zinc/06/88/52/423068852.db2.gz ALOZKUXUWPKTDM-UHFFFAOYSA-N -1 1 315.377 1.527 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@@H]2CCC[C@@H](C(=O)OC)C2)n[nH]1 ZINC000541904704 416617691 /nfs/dbraw/zinc/61/76/91/416617691.db2.gz WEQBZODNUFHHJV-NXEZZACHSA-N -1 1 323.349 1.504 20 0 DDADMM COCCn1cc(CNCc2ccc(C(=O)[O-])c(C)c2)cn1 ZINC000417669779 225391746 /nfs/dbraw/zinc/39/17/46/225391746.db2.gz NCIOMXWYGHHXAN-UHFFFAOYSA-N -1 1 303.362 1.826 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])C1C[C@@H]2COC[C@H](C1)C2=O ZINC000366058649 418423784 /nfs/dbraw/zinc/42/37/84/418423784.db2.gz VMYDSOBJBCMZDZ-CBLAIPOGSA-N -1 1 310.737 1.621 20 0 DDADMM NC(=O)c1cccc(CCNC(=O)c2ccc([O-])cc2F)c1 ZINC000185390042 221949166 /nfs/dbraw/zinc/94/91/66/221949166.db2.gz MNISMFZAXLZHDT-UHFFFAOYSA-N -1 1 302.305 1.603 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC(C)(C)OC(C)(C)C3)ccnc1-2 ZINC000287575029 418574279 /nfs/dbraw/zinc/57/42/79/418574279.db2.gz LCEVZVXDCDHEIH-UNOMPAQXSA-N -1 1 317.393 1.763 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3C[C@@H](O)C3(C)C)cnc2n1 ZINC000189395320 222044088 /nfs/dbraw/zinc/04/40/88/222044088.db2.gz FYQZBOCAYUMFHU-NWDGAFQWSA-N -1 1 301.346 1.533 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1C[C@@H](O)C1(C)C)c2=O ZINC000189395320 222044091 /nfs/dbraw/zinc/04/40/91/222044091.db2.gz FYQZBOCAYUMFHU-NWDGAFQWSA-N -1 1 301.346 1.533 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccc(F)c(F)c1)c1ncccc1[O-] ZINC000361071045 418577336 /nfs/dbraw/zinc/57/73/36/418577336.db2.gz ZOMSKVREFBDHKG-NSHDSACASA-N -1 1 322.311 1.646 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cnn(Cc2ccccc2)c1 ZINC000193117850 222143384 /nfs/dbraw/zinc/14/33/84/222143384.db2.gz BMTCAWNFJJIIPK-GFCCVEGCSA-N -1 1 309.391 1.708 20 0 DDADMM CC(C)[C@@H](C)NC(=O)CS(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195168547 222192911 /nfs/dbraw/zinc/19/29/11/222192911.db2.gz ZKWFBUJZITVWMC-SECBINFHSA-N -1 1 316.427 1.037 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](C(=O)N2CCNC[C@@H]2c2ccccc2)C1 ZINC000313346454 418592161 /nfs/dbraw/zinc/59/21/61/418592161.db2.gz ITORMXQTCJISOI-RRFJBIMHSA-N -1 1 302.374 1.660 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCOC[C@H]1c1ccccc1 ZINC000367706683 418624093 /nfs/dbraw/zinc/62/40/93/418624093.db2.gz OECDRHNGKURUFD-LBPRGKRZSA-N -1 1 323.374 1.158 20 0 DDADMM Cn1[n-]c(CN2CCc3cc(Br)ccc3C2)nc1=O ZINC000361848962 418718567 /nfs/dbraw/zinc/71/85/67/418718567.db2.gz CLXMGKSPPHUKFT-UHFFFAOYSA-N -1 1 323.194 1.429 20 0 DDADMM CNS(=O)(=O)c1ccc(-c2nc([C@@H](N)CC(C)C)no2)o1 ZINC000361607722 418690558 /nfs/dbraw/zinc/69/05/58/418690558.db2.gz PXXMUPDDJHGPBP-QMMMGPOBSA-N -1 1 314.367 1.284 20 0 DDADMM COCCn1cc2c(n1)[C@H](NC(=O)c1ncccc1[O-])CCC2 ZINC000368128063 418693247 /nfs/dbraw/zinc/69/32/47/418693247.db2.gz DDZDWHPSXGWKKX-GFCCVEGCSA-N -1 1 316.361 1.438 20 0 DDADMM CC(C)S(=O)(=O)c1ccc(C(=O)N=c2ccc([O-])n[nH]2)cc1 ZINC000361959342 418730537 /nfs/dbraw/zinc/73/05/37/418730537.db2.gz YMTAUCRHEIRMBW-UHFFFAOYSA-N -1 1 321.358 1.039 20 0 DDADMM CC(C)S(=O)(=O)c1ccc(C(=O)N=c2ccc(O)n[n-]2)cc1 ZINC000361959342 418730540 /nfs/dbraw/zinc/73/05/40/418730540.db2.gz YMTAUCRHEIRMBW-UHFFFAOYSA-N -1 1 321.358 1.039 20 0 DDADMM CC(C)C[C@@H](CNC(=O)[C@H]1NCCc2ccccc21)C(=O)[O-] ZINC000388659437 418747376 /nfs/dbraw/zinc/74/73/76/418747376.db2.gz SQUALNLBQQHZBQ-ZFWWWQNUSA-N -1 1 304.390 1.737 20 0 DDADMM O=C(C[C@@H]1CCC(=O)NC1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000411401115 418900579 /nfs/dbraw/zinc/90/05/79/418900579.db2.gz PUHOOKWLTRHIQF-JTQLQIEISA-N -1 1 314.345 1.637 20 0 DDADMM CCc1n[n-]c(=NC(=O)N2CCC[C@@H](c3nc[nH]n3)C2)s1 ZINC000365905577 418920558 /nfs/dbraw/zinc/92/05/58/418920558.db2.gz IBZXQDYGEQGBCW-MRVPVSSYSA-N -1 1 307.383 1.052 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)OC(C)(C)C)CN1Cc1nc(=O)n(C)[n-]1 ZINC000420930765 419361808 /nfs/dbraw/zinc/36/18/08/419361808.db2.gz SJNKHJUVAQTIJC-MNOVXSKESA-N -1 1 310.398 1.051 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC[C@H]2CCOC2)c(F)c1 ZINC000425169801 228378802 /nfs/dbraw/zinc/37/88/02/228378802.db2.gz LFICZFXXTCDQFV-JTQLQIEISA-N -1 1 305.346 1.978 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)c2ncnn2C)c(F)c1 ZINC000425179874 228382550 /nfs/dbraw/zinc/38/25/50/228382550.db2.gz UZQTVMMAPNMHOJ-MRVPVSSYSA-N -1 1 316.333 1.441 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)Cn2cncn2)c(F)c1 ZINC000425220825 228393550 /nfs/dbraw/zinc/39/35/50/228393550.db2.gz VMZJJWIYADYTCY-SECBINFHSA-N -1 1 316.333 1.232 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C)[C@H](O)Cc2ccccc2)c([O-])c1 ZINC000427668433 419710443 /nfs/dbraw/zinc/71/04/43/419710443.db2.gz NGCKFLSWAUFDIX-TZMCWYRMSA-N -1 1 300.358 1.818 20 0 DDADMM CC(=O)NC1CCC(N(C)C(=O)c2ncc(C)cc2[O-])CC1 ZINC000427926026 419769491 /nfs/dbraw/zinc/76/94/91/419769491.db2.gz PUSFGPFEBTVLQC-UHFFFAOYSA-N -1 1 305.378 1.615 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NC[C@H]2CCC[C@@H](O)C2)[n-]n1 ZINC000432218478 229088431 /nfs/dbraw/zinc/08/84/31/229088431.db2.gz LPAIVRRGXMFIOB-GXTWGEPZSA-N -1 1 318.421 1.534 20 0 DDADMM COc1ccc(CN[C@@H](C(=O)[O-])c2cnn(C)c2)c(OC)c1 ZINC000417599473 533683619 /nfs/dbraw/zinc/68/36/19/533683619.db2.gz PBHUAKGNIRYZNN-CQSZACIVSA-N -1 1 305.334 1.353 20 0 DDADMM C[C@H]1CNCCN1C(=O)N=c1[n-]nc(/C=C/c2ccco2)s1 ZINC000415384615 420044982 /nfs/dbraw/zinc/04/49/82/420044982.db2.gz UYMANTMDMKCYAP-YEZKRMTDSA-N -1 1 319.390 1.549 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1c2ccccc2C[C@@H]1C ZINC000416158000 420261656 /nfs/dbraw/zinc/26/16/56/420261656.db2.gz JSIZWQHMHGTNFI-KWQFWETISA-N -1 1 315.329 1.560 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1(C)CCCCCC1 ZINC000416189090 420273957 /nfs/dbraw/zinc/27/39/57/420273957.db2.gz KDMZGDZVHHUYRM-UHFFFAOYSA-N -1 1 309.366 1.987 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1cc(C)ccc1F ZINC000416227762 420288004 /nfs/dbraw/zinc/28/80/04/420288004.db2.gz XNGAELGIDJIIPY-UHFFFAOYSA-N -1 1 321.308 1.616 20 0 DDADMM CSCC[C@H](NC(=O)c1c([O-])cccc1F)C(=O)N(C)C ZINC000436752862 420362853 /nfs/dbraw/zinc/36/28/53/420362853.db2.gz ZABFYLRQANRWEF-JTQLQIEISA-N -1 1 314.382 1.471 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cncc(OC)n2)c1 ZINC000436502092 420335010 /nfs/dbraw/zinc/33/50/10/420335010.db2.gz BGLRGZVDEGHOQA-UHFFFAOYSA-N -1 1 303.274 1.230 20 0 DDADMM CN1C[C@H](CNC(=O)C(=O)c2ccc([O-])cc2)Cc2ccccc21 ZINC000436563914 420339979 /nfs/dbraw/zinc/33/99/79/420339979.db2.gz FWULHUMLFRRDRK-ZDUSSCGKSA-N -1 1 324.380 2.000 20 0 DDADMM NC(=O)c1cc(CNC(=O)c2cc3ccccc3cc2[O-])on1 ZINC000436588412 420341144 /nfs/dbraw/zinc/34/11/44/420341144.db2.gz SXYGCSBEKUVQOF-UHFFFAOYSA-N -1 1 311.297 1.562 20 0 DDADMM CCc1nc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(C)o1 ZINC000425298794 420343029 /nfs/dbraw/zinc/34/30/29/420343029.db2.gz JTHBHYHETIKKDT-SECBINFHSA-N -1 1 306.322 1.654 20 0 DDADMM CC(C)n1cncc1CN1CCN(c2nc(=N)[n-]s2)CC1 ZINC000425331301 420352920 /nfs/dbraw/zinc/35/29/20/420352920.db2.gz CLJSZPMWAHXBKX-UHFFFAOYSA-N -1 1 307.427 1.050 20 0 DDADMM O=C(CNC(=O)C(=O)c1ccc([O-])cc1)NC1CCCCC1 ZINC000436690427 420354544 /nfs/dbraw/zinc/35/45/44/420354544.db2.gz VJXKWJSBCDTLRI-UHFFFAOYSA-N -1 1 304.346 1.140 20 0 DDADMM C[C@H](c1ccccc1)[C@H](NC(=O)c1c([O-])cccc1F)C(N)=O ZINC000436834482 420375978 /nfs/dbraw/zinc/37/59/78/420375978.db2.gz ZQFFGUGFFKVAQG-BMIGLBTASA-N -1 1 316.332 1.919 20 0 DDADMM O=C(NC1(CCO)CC1)c1cc(Br)ccc1[O-] ZINC000436863444 420378161 /nfs/dbraw/zinc/37/81/61/420378161.db2.gz KJVSHRJFWJIOER-UHFFFAOYSA-N -1 1 300.152 1.800 20 0 DDADMM CN(C)c1ncc(CN(C)C(=O)C(=O)c2ccc([O-])cc2)cn1 ZINC000437002232 420391667 /nfs/dbraw/zinc/39/16/67/420391667.db2.gz PRTPSEZWIBOCMW-UHFFFAOYSA-N -1 1 314.345 1.090 20 0 DDADMM Cc1ccc(-c2nc([N-]C(=O)c3ccc(C(N)=O)o3)n[nH]2)cc1 ZINC000437657954 420417406 /nfs/dbraw/zinc/41/74/06/420417406.db2.gz VYXKULHJDWREIG-UHFFFAOYSA-N -1 1 311.301 1.724 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000416582035 420425148 /nfs/dbraw/zinc/42/51/48/420425148.db2.gz JYHDZYJYHZIJKN-JTQLQIEISA-N -1 1 319.329 1.585 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2cccc(F)c2F)c[nH]1 ZINC000438799742 420466331 /nfs/dbraw/zinc/46/63/31/420466331.db2.gz ZDSLNGKXHAWRRH-UHFFFAOYSA-N -1 1 316.285 1.880 20 0 DDADMM CC(C)c1nnc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)o1 ZINC000492384470 420541179 /nfs/dbraw/zinc/54/11/79/420541179.db2.gz OOGOGZVFRWBGNK-OMMCCPJFSA-N -1 1 317.353 1.126 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)CC(C)(C)OC)c1 ZINC000439260179 420501756 /nfs/dbraw/zinc/50/17/56/420501756.db2.gz PJLYQSYCVBCDKF-UHFFFAOYSA-N -1 1 301.364 1.640 20 0 DDADMM COC(=O)c1ccc(C)c([N-]S(=O)(=O)CCCCF)c1O ZINC000451032646 420584597 /nfs/dbraw/zinc/58/45/97/420584597.db2.gz QOUARRSDLGREMT-UHFFFAOYSA-N -1 1 319.354 1.979 20 0 DDADMM COC[C@H](C)n1ccc([N-]S(=O)(=O)N2CCCCC2)n1 ZINC000489034953 421132857 /nfs/dbraw/zinc/13/28/57/421132857.db2.gz DGOKXDRYUXEQPK-NSHDSACASA-N -1 1 302.400 1.233 20 0 DDADMM CC[C@H](NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C)[C@@H](C)O ZINC000456218652 421124220 /nfs/dbraw/zinc/12/42/20/421124220.db2.gz MLKDFSDAPHWUNX-YPMHNXCESA-N -1 1 320.393 1.260 20 0 DDADMM Clc1ccc(Cc2n[n-]c(=NCCc3nc[nH]n3)s2)cc1 ZINC000489649489 421168880 /nfs/dbraw/zinc/16/88/80/421168880.db2.gz CAWHTPSZULTOGQ-UHFFFAOYSA-N -1 1 320.809 1.977 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@H](Cc2ccccc2)C1 ZINC000544684159 421227900 /nfs/dbraw/zinc/22/79/00/421227900.db2.gz HCUMDCIDBHBCMN-CYBMUJFWSA-N -1 1 323.356 1.122 20 0 DDADMM Cc1cccc([C@H]2CCN(C(=O)c3cc(=O)n4nc[n-]c4n3)C2)c1 ZINC000544822057 421231899 /nfs/dbraw/zinc/23/18/99/421231899.db2.gz ZGEQYNAFWABKAM-ZDUSSCGKSA-N -1 1 323.356 1.356 20 0 DDADMM CCN1CCN(CC(C)(C)N=c2nc(C(C)C)[n-]s2)CC1 ZINC000562540011 421379032 /nfs/dbraw/zinc/37/90/32/421379032.db2.gz YXGCTEDVKDRXBY-UHFFFAOYSA-N -1 1 311.499 1.911 20 0 DDADMM COC(=O)c1cncc(S(=O)(=O)[N-]c2cc(C3CC3)n[nH]2)c1 ZINC000514771874 421452842 /nfs/dbraw/zinc/45/28/42/421452842.db2.gz JEILWZJOHPHGEA-UHFFFAOYSA-N -1 1 322.346 1.270 20 0 DDADMM O=C(CCn1cnc2c(c1=O)CCCC2)c1ccc([O-])cc1O ZINC000514826138 421457069 /nfs/dbraw/zinc/45/70/69/421457069.db2.gz DGAWFZGJXDRMTJ-UHFFFAOYSA-N -1 1 314.341 1.806 20 0 DDADMM Cc1n[n-]c(=NC(=O)c2ccc(-c3nnc[nH]3)cc2)n1C1CC1 ZINC000552459331 421587888 /nfs/dbraw/zinc/58/78/88/421587888.db2.gz NRARQYDUPJKJFS-UHFFFAOYSA-N -1 1 309.333 1.381 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1ccon1)C1CCCCC1 ZINC000531533567 421636110 /nfs/dbraw/zinc/63/61/10/421636110.db2.gz SMBPGMYYDTYJDG-GFCCVEGCSA-N -1 1 316.379 1.216 20 0 DDADMM Cc1cnc(S[C@H](C)C(=O)NC(=O)NCC(C)C)[n-]c1=O ZINC000570291557 421637131 /nfs/dbraw/zinc/63/71/31/421637131.db2.gz CRHVLJFTYSNXIC-SECBINFHSA-N -1 1 312.395 1.453 20 0 DDADMM CCOc1ccccc1CS(=O)(=O)c1nc(C(=O)OC)c[n-]1 ZINC000570300612 421637627 /nfs/dbraw/zinc/63/76/27/421637627.db2.gz PDVAHMCRIQXGEJ-UHFFFAOYSA-N -1 1 324.358 1.569 20 0 DDADMM CC(=O)Nc1cccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000537121889 421726866 /nfs/dbraw/zinc/72/68/66/421726866.db2.gz RNXXSEHEXCGAQZ-GFCCVEGCSA-N -1 1 314.349 1.178 20 0 DDADMM O=C(Cc1[nH]nc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000540425169 421762961 /nfs/dbraw/zinc/76/29/61/421762961.db2.gz WONMNHGDBVAFLB-SNVBAGLBSA-N -1 1 311.349 1.025 20 0 DDADMM Cn1c(CNC(=O)c2c(F)ccc([O-])c2F)nnc1C1CCC1 ZINC000571923966 421753815 /nfs/dbraw/zinc/75/38/15/421753815.db2.gz BBPMWABVMVFKTR-UHFFFAOYSA-N -1 1 322.315 1.996 20 0 DDADMM COC(=O)[C@]1([N-]S(=O)(=O)CCC2(C)CC2)CCSC1 ZINC000558435937 421806748 /nfs/dbraw/zinc/80/67/48/421806748.db2.gz JTYZYXOFKXWJOF-LBPRGKRZSA-N -1 1 307.437 1.145 20 0 DDADMM Cc1cccc2c1O[C@@H](C(=O)NC1(c3nn[n-]n3)CCCC1)C2 ZINC000572233616 421770388 /nfs/dbraw/zinc/77/03/88/421770388.db2.gz XWYQWFKWXUQUFM-GFCCVEGCSA-N -1 1 313.361 1.397 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H]2CC23CCSCC3)[n-]n1 ZINC000580886339 421856078 /nfs/dbraw/zinc/85/60/78/421856078.db2.gz NLWUJBVXCRIPEI-LLVKDONJSA-N -1 1 306.435 1.593 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@@H]2CCCN2C2CC2)C(=O)[O-])cc1 ZINC000630140364 421915054 /nfs/dbraw/zinc/91/50/54/421915054.db2.gz WBAMXFMLEWQIJW-HOTGVXAUSA-N -1 1 316.401 1.906 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N(C)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000630174862 421936457 /nfs/dbraw/zinc/93/64/57/421936457.db2.gz FTSRSUJVUKJYEG-DOMZBBRYSA-N -1 1 315.373 1.743 20 0 DDADMM C[C@@](CNC(=O)[C@H]1CCCN1C1CC1)(C(=O)[O-])c1ccccc1 ZINC000630172146 421936482 /nfs/dbraw/zinc/93/64/82/421936482.db2.gz ZNYATOKINWNWLH-QAPCUYQASA-N -1 1 316.401 1.772 20 0 DDADMM Cc1ccccc1OCCCN(C)C(=O)CCCc1nn[n-]n1 ZINC000635395280 421948146 /nfs/dbraw/zinc/94/81/46/421948146.db2.gz MJPVYDVEONXRLS-UHFFFAOYSA-N -1 1 317.393 1.758 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C[C@H](C)n2cccc2)CC1 ZINC000630222297 421974275 /nfs/dbraw/zinc/97/42/75/421974275.db2.gz GHJFUIQZCJTDMF-UONOGXRCSA-N -1 1 307.394 1.447 20 0 DDADMM CC(C)OCCCC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630218702 421968956 /nfs/dbraw/zinc/96/89/56/421968956.db2.gz FDXPWRXTZPSDES-ZDUSSCGKSA-N -1 1 300.399 1.199 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CCCC3(CC3)C2)CC1 ZINC000630220605 421969741 /nfs/dbraw/zinc/96/97/41/421969741.db2.gz PXPVXRODJAVEKF-KGLIPLIRSA-N -1 1 308.422 1.964 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)Cc2ccc(F)cc2)CC1 ZINC000630221654 421972129 /nfs/dbraw/zinc/97/21/29/421972129.db2.gz BCPRIWFHVMKJNN-GFCCVEGCSA-N -1 1 308.353 1.376 20 0 DDADMM O=C([O-])[C@@H]1Cn2c(=O)[nH]nc2CN1Cc1cccc2ccoc21 ZINC000581721340 422035493 /nfs/dbraw/zinc/03/54/93/422035493.db2.gz QDSYXEGVECPDFX-NSHDSACASA-N -1 1 314.301 1.199 20 0 DDADMM CCOc1ccc(CNC(=O)CCCc2nn[n-]n2)cc1F ZINC000635515757 422064734 /nfs/dbraw/zinc/06/47/34/422064734.db2.gz XDXVGZILPZCRDB-UHFFFAOYSA-N -1 1 307.329 1.377 20 0 DDADMM CCC[C@H]1CN(c2ncncc2C(=O)[O-])CCN1CCOC ZINC000574160103 422068783 /nfs/dbraw/zinc/06/87/83/422068783.db2.gz YMQMKOZONRFLLL-LBPRGKRZSA-N -1 1 308.382 1.112 20 0 DDADMM Cc1ccccc1[C@@H]1CN(C(=O)CCCc2nn[n-]n2)CCO1 ZINC000635608326 422160442 /nfs/dbraw/zinc/16/04/42/422160442.db2.gz WAYNTXFJIFKAPK-AWEZNQCLSA-N -1 1 315.377 1.431 20 0 DDADMM CN1CC[C@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1=O ZINC000632279408 422218343 /nfs/dbraw/zinc/21/83/43/422218343.db2.gz VEUSOXXYKMOMCL-ZETCQYMHSA-N -1 1 308.306 1.116 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCSC1)c1c[nH]nc1C(F)(F)F ZINC000632288180 422224594 /nfs/dbraw/zinc/22/45/94/422224594.db2.gz GQNPFBAGKWDHFZ-LURJTMIESA-N -1 1 315.342 1.460 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CCSc2c(F)cccc21 ZINC000630619040 422225163 /nfs/dbraw/zinc/22/51/63/422225163.db2.gz JYVZBRWEPSOHNU-JTQLQIEISA-N -1 1 307.354 1.625 20 0 DDADMM O=C(NC[C@H](O)[C@H]1CCCO1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000628595772 422250093 /nfs/dbraw/zinc/25/00/93/422250093.db2.gz WEPNGHPRJUCTKX-NWDGAFQWSA-N -1 1 319.279 1.681 20 0 DDADMM O=S(=O)([N-]CCC1(F)CCC1)c1c[nH]nc1C(F)(F)F ZINC000632337885 422257014 /nfs/dbraw/zinc/25/70/14/422257014.db2.gz ZHAOVIRQKSAMBY-UHFFFAOYSA-N -1 1 315.292 1.989 20 0 DDADMM CCN(C(=O)CCc1nn[n-]n1)c1ccc(Br)cc1 ZINC000630710805 422283710 /nfs/dbraw/zinc/28/37/10/422283710.db2.gz HEBQSUHDSYLGDO-UHFFFAOYSA-N -1 1 324.182 1.948 20 0 DDADMM COc1ccc(C[C@H](C)CNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635743790 422302013 /nfs/dbraw/zinc/30/20/13/422302013.db2.gz PFPLTYNFFDJIIG-LBPRGKRZSA-N -1 1 317.393 1.526 20 0 DDADMM CCc1cc(NC(=O)CCCc2nn[n-]n2)c2ccccc2n1 ZINC000635787740 422345541 /nfs/dbraw/zinc/34/55/41/422345541.db2.gz YMVDZJUBYYLPLE-UHFFFAOYSA-N -1 1 310.361 1.694 20 0 DDADMM C[C@H](c1cc(F)ccc1F)N(C)CCc1cn(CC(=O)[O-])nn1 ZINC000575929094 422347762 /nfs/dbraw/zinc/34/77/62/422347762.db2.gz NNZVWMKTXUDGFD-SNVBAGLBSA-N -1 1 324.331 1.876 20 0 DDADMM CC(=O)NC[C@H]1CCCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000630841872 422365808 /nfs/dbraw/zinc/36/58/08/422365808.db2.gz NINNESOBERYHTP-CYBMUJFWSA-N -1 1 324.808 1.963 20 0 DDADMM O=C(N[C@@H]1COCC[C@H]1O)c1ccc(C(F)(F)F)cc1[O-] ZINC000634464568 422443001 /nfs/dbraw/zinc/44/30/01/422443001.db2.gz QWMDFPPHNZUVCB-NXEZZACHSA-N -1 1 305.252 1.291 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCO[C@@H](CCF)C1 ZINC000629016542 422443816 /nfs/dbraw/zinc/44/38/16/422443816.db2.gz BRXDRMUJYQNPHE-VIFPVBQESA-N -1 1 315.370 1.413 20 0 DDADMM Cc1nc2n(n1)C[C@H](NC(=O)Cc1ccc([O-])c(Cl)c1)CC2 ZINC000630899507 422404037 /nfs/dbraw/zinc/40/40/37/422404037.db2.gz NJDNZEDBEGHTNW-LLVKDONJSA-N -1 1 320.780 1.619 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCO[C@@H]2CC2(F)F)c1 ZINC000632553451 422432225 /nfs/dbraw/zinc/43/22/25/422432225.db2.gz PJZDMSLJNVJIFU-LLVKDONJSA-N -1 1 323.317 1.103 20 0 DDADMM C[C@H]1CCc2[n-]n(-c3ccccc3S(=O)(=O)N(C)C)c(=O)c21 ZINC000634613569 422506047 /nfs/dbraw/zinc/50/60/47/422506047.db2.gz BQVIITZIFGRKJX-HZMBPMFUSA-N -1 1 321.402 1.686 20 0 DDADMM COc1cc(C(=O)[O-])ccc1-n1[nH]c([C@H]2CCOC2)cc1=O ZINC000634612482 422506887 /nfs/dbraw/zinc/50/68/87/422506887.db2.gz ZLQSLLZHUOKLHH-JTQLQIEISA-N -1 1 304.302 1.523 20 0 DDADMM COC1(CS(=O)(=O)[N-][C@@H]2Cc3ccccc3NC2=O)CCC1 ZINC000632597515 422464074 /nfs/dbraw/zinc/46/40/74/422464074.db2.gz VFEWGFQWSDYVKU-CYBMUJFWSA-N -1 1 324.402 1.038 20 0 DDADMM O=c1c2c([n-]n1[C@@H]1CCS(=O)(=O)C1)-c1ccccc1CCC2 ZINC000634584846 422494054 /nfs/dbraw/zinc/49/40/54/422494054.db2.gz OSIKZBJTTHNTHG-TZMCWYRMSA-N -1 1 318.398 1.373 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)[C@@H](C)O1 ZINC000632649777 422496554 /nfs/dbraw/zinc/49/65/54/422496554.db2.gz UUVGQKJZYLXRTO-ZXFLCMHBSA-N -1 1 309.309 1.948 20 0 DDADMM C[C@H]1CN(c2ccccc2F)CCN1C(=O)CCc1nn[n-]n1 ZINC000632730660 422545527 /nfs/dbraw/zinc/54/55/27/422545527.db2.gz ORWJNZZZHHGCCN-NSHDSACASA-N -1 1 318.356 1.009 20 0 DDADMM COCc1ncc2c(n1)CCN([C@H](C(=O)[O-])c1ccccc1)C2 ZINC000634693638 422555524 /nfs/dbraw/zinc/55/55/24/422555524.db2.gz JWKSOMOKCPTYSM-INIZCTEOSA-N -1 1 313.357 1.807 20 0 DDADMM CCC(C)(C)[C@@H](O)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000636001682 422557014 /nfs/dbraw/zinc/55/70/14/422557014.db2.gz SBBMVPZNPKQPFZ-QMMMGPOBSA-N -1 1 304.188 1.638 20 0 DDADMM CC(C)OC[C@H](CO)N(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632764353 422563465 /nfs/dbraw/zinc/56/34/65/422563465.db2.gz QXOXOSADSDAVBH-LBPRGKRZSA-N -1 1 315.797 1.832 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCN(C(=O)c2ccccc2)C1 ZINC000627511291 422580194 /nfs/dbraw/zinc/58/01/94/422580194.db2.gz VLNHGVBJBBFNRB-LBPRGKRZSA-N -1 1 314.345 1.525 20 0 DDADMM Cc1ccc(Br)cc1CNC(=O)CCc1nn[n-]n1 ZINC000632689046 422523828 /nfs/dbraw/zinc/52/38/28/422523828.db2.gz IFPNTIOAQMIWCZ-UHFFFAOYSA-N -1 1 324.182 1.520 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H]1CC[C@@H](c2cccc(F)c2)C1 ZINC000632818703 422601459 /nfs/dbraw/zinc/60/14/59/422601459.db2.gz VFCRCWYHDTUTQK-YPMHNXCESA-N -1 1 303.341 1.724 20 0 DDADMM C[C@@H]1C[C@@H](CN=c2ccc(C(=O)NC3CCCC3)n[n-]2)[C@H](C)O1 ZINC000578725243 422631776 /nfs/dbraw/zinc/63/17/76/422631776.db2.gz JZBXTIBDIMGVSA-AGIUHOORSA-N -1 1 318.421 1.796 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2cccc3c2CCNC3=O)CCC1 ZINC000634793503 422650916 /nfs/dbraw/zinc/65/09/16/422650916.db2.gz NAVVRIRNYHWRQP-UHFFFAOYSA-N -1 1 324.402 1.283 20 0 DDADMM O=C([O-])c1cc(N[C@H]2CCCC[C@@H]2N2CCOCC2)ccn1 ZINC000650767274 423253639 /nfs/dbraw/zinc/25/36/39/423253639.db2.gz OKOFESLIZUJZPF-ZFWWWQNUSA-N -1 1 305.378 1.257 20 0 DDADMM CCN(CC)CCS(=O)(=O)N(CC(=O)[O-])C1CCCCC1 ZINC000646014348 423292402 /nfs/dbraw/zinc/29/24/02/423292402.db2.gz BAVZNKQAVZJOFP-UHFFFAOYSA-N -1 1 320.455 1.377 20 0 DDADMM CCN1C(=O)[C@H]2CN(Cc3cccc([O-])c3Cl)CCN2C1=O ZINC000646099483 423333459 /nfs/dbraw/zinc/33/34/59/423333459.db2.gz QJXOQOIVUOMZQU-LLVKDONJSA-N -1 1 323.780 1.514 20 0 DDADMM O=C([O-])CN(CC1CC1)C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000653324011 423440740 /nfs/dbraw/zinc/44/07/40/423440740.db2.gz DKVYHZATYVSYPV-UHFFFAOYSA-N -1 1 300.318 1.409 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@@H]1CCCN1C(=O)C1CC1 ZINC000648746132 423441435 /nfs/dbraw/zinc/44/14/35/423441435.db2.gz LGDQRHDHCUHUOB-ZETCQYMHSA-N -1 1 317.271 1.163 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1C[C@H]1c1ccc(Br)cc1 ZINC000648931422 423548868 /nfs/dbraw/zinc/54/88/68/423548868.db2.gz QDDYMEADDFPLGS-VHSXEESVSA-N -1 1 322.166 1.382 20 0 DDADMM C[C@@]1(CS(=O)(=O)[N-]Cc2noc(C3CC3)n2)CC1(F)F ZINC000641401653 423611175 /nfs/dbraw/zinc/61/11/75/423611175.db2.gz CTZTWJHHQWCTDE-JTQLQIEISA-N -1 1 307.322 1.412 20 0 DDADMM C[C@@H]1C(=O)N(C)CN1C(=O)c1ccc(Br)c([O-])c1 ZINC000649159643 423654865 /nfs/dbraw/zinc/65/48/65/423654865.db2.gz RGTCYWPTLWPERT-SSDOTTSWSA-N -1 1 313.151 1.415 20 0 DDADMM O=S(=O)([N-]CC[C@@]1(O)CCCOC1)c1cccc(F)c1F ZINC000651915296 423737445 /nfs/dbraw/zinc/73/74/45/423737445.db2.gz YMWCDTNLQLLWDT-ZDUSSCGKSA-N -1 1 321.345 1.175 20 0 DDADMM CC(C)N1CCC[C@H](N2CCC[C@@H](c3n[n-]c(=N)o3)C2)C1=O ZINC000639822851 423759053 /nfs/dbraw/zinc/75/90/53/423759053.db2.gz XMKNABXCABHBPT-NEPJUHHUSA-N -1 1 307.398 1.061 20 0 DDADMM CCC[C@@H](O)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656915074 423867556 /nfs/dbraw/zinc/86/75/56/423867556.db2.gz YJIQJUULRKQXQW-MRVPVSSYSA-N -1 1 323.212 1.283 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccc3c(cc[nH]c3=O)c2)CC1 ZINC000641695939 423877985 /nfs/dbraw/zinc/87/79/85/423877985.db2.gz RFZDYSXBAROCFR-UHFFFAOYSA-N -1 1 308.359 1.449 20 0 DDADMM CC(=O)NCc1ccc(S(=O)(=O)[N-][C@@H](C)C(F)F)s1 ZINC000641700875 423881828 /nfs/dbraw/zinc/88/18/28/423881828.db2.gz BXDGCRMYQLXHHW-LURJTMIESA-N -1 1 312.363 1.316 20 0 DDADMM Cn1cc([N-]S(=O)(=O)C2(COCc3ccccc3)CC2)cn1 ZINC000641680589 423853918 /nfs/dbraw/zinc/85/39/18/423853918.db2.gz VIZQKXSIUKZXRY-UHFFFAOYSA-N -1 1 321.402 1.911 20 0 DDADMM CO[C@@H]1COC[C@H]1[N-]S(=O)(=O)Cc1cc(F)ccc1Cl ZINC000657019773 424000184 /nfs/dbraw/zinc/00/01/84/424000184.db2.gz HTTMPSIUNCPCEZ-VXGBXAGGSA-N -1 1 323.773 1.312 20 0 DDADMM O=C([O-])C1(CN=c2nc(-c3ccccc3)[nH]s2)CCOCC1 ZINC000647457901 424042547 /nfs/dbraw/zinc/04/25/47/424042547.db2.gz HBMCNGVLFGLJHV-UHFFFAOYSA-N -1 1 319.386 1.920 20 0 DDADMM CC(C)(C)n1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000654942221 424044002 /nfs/dbraw/zinc/04/40/02/424044002.db2.gz CAGYPIQTHHBORH-JTQLQIEISA-N -1 1 303.370 1.171 20 0 DDADMM CCOc1cc(CN[C@@H](C(=O)[O-])c2ccnn2C)ccc1O ZINC000647467575 424049640 /nfs/dbraw/zinc/04/96/40/424049640.db2.gz LNABJGKUVAAWDK-CQSZACIVSA-N -1 1 305.334 1.440 20 0 DDADMM O=S(=O)([N-]CCO[C@H]1CC1(F)F)c1cccc(F)c1F ZINC000657117529 424123551 /nfs/dbraw/zinc/12/35/51/424123551.db2.gz KZWXAEXSWFHFCV-VIFPVBQESA-N -1 1 313.272 1.667 20 0 DDADMM CN(C)[C@H](CNC(=O)c1ccc(C(=O)[O-])nc1)c1cccs1 ZINC000640107702 424179622 /nfs/dbraw/zinc/17/96/22/424179622.db2.gz TVOZOEQWLJHAPM-GFCCVEGCSA-N -1 1 319.386 1.874 20 0 DDADMM CC(C)N1CCN(C(=O)N=c2[n-]sc3ccccc32)CC1=O ZINC000640337232 424360100 /nfs/dbraw/zinc/36/01/00/424360100.db2.gz BGOARVBVTCPPEH-UHFFFAOYSA-N -1 1 318.402 1.803 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1csc(-c3ccco3)n1)C2 ZINC000662220363 424488390 /nfs/dbraw/zinc/48/83/90/424488390.db2.gz XVYFQDZUXRDMMJ-MEBBXXQBSA-N -1 1 320.370 1.936 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2sccc2F)c1 ZINC000344967204 272147730 /nfs/dbraw/zinc/14/77/30/272147730.db2.gz KLSJNYFDJWXRSC-UHFFFAOYSA-N -1 1 316.335 1.493 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000345512201 272269736 /nfs/dbraw/zinc/26/97/36/272269736.db2.gz PNSCDMZRQMWJFE-KOLCDFICSA-N -1 1 318.345 1.158 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C)CCC[C@@H]2C)co1 ZINC000153361533 277036834 /nfs/dbraw/zinc/03/68/34/277036834.db2.gz SDAWLAJHRWDPFL-QWRGUYRKSA-N -1 1 314.407 1.838 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CC[C@H]2CCC[C@@H]2C1 ZINC000416159218 277791819 /nfs/dbraw/zinc/79/18/19/277791819.db2.gz VCOAHULZJPOGSW-OPRDCNLKSA-N -1 1 307.350 1.595 20 0 DDADMM C[C@H](CN1CCOCC1)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084936690 281014269 /nfs/dbraw/zinc/01/42/69/281014269.db2.gz IAERPHGAVFUUHI-GFCCVEGCSA-N -1 1 324.402 1.432 20 0 DDADMM CCc1nc(S(=O)(=O)[C@H](C)C(=O)Nc2cccc(C)c2)n[n-]1 ZINC000086170420 281015728 /nfs/dbraw/zinc/01/57/28/281015728.db2.gz CBSWFGNWMGKJFM-SNVBAGLBSA-N -1 1 322.390 1.476 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@@H](C2CC2)[C@@H]1C1CC1 ZINC000456296668 295518787 /nfs/dbraw/zinc/51/87/87/295518787.db2.gz PYVZAIGJNKLDMS-LRDDRELGSA-N -1 1 315.373 1.164 20 0 DDADMM O=C(NCc1cc(F)cc2c1OCOC2)c1ncccc1[O-] ZINC000171097840 298228290 /nfs/dbraw/zinc/22/82/90/298228290.db2.gz HBJWEPAWVYCNTG-UHFFFAOYSA-N -1 1 304.277 1.723 20 0 DDADMM O=C(NCc1ccc(Cn2ccnc2)cc1)c1ncccc1[O-] ZINC000171239799 298230338 /nfs/dbraw/zinc/23/03/38/298230338.db2.gz NOZORSYYNOVTLQ-UHFFFAOYSA-N -1 1 308.341 1.962 20 0 DDADMM O=C(NCCCS(=O)(=O)c1ccccc1)c1ncccc1[O-] ZINC000171278358 298230653 /nfs/dbraw/zinc/23/06/53/298230653.db2.gz ZKDZTPQQUQFZQU-UHFFFAOYSA-N -1 1 320.370 1.381 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](CO)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000354008687 298337704 /nfs/dbraw/zinc/33/77/04/298337704.db2.gz FBXFJWRVYJDECL-VXGBXAGGSA-N -1 1 323.784 1.587 20 0 DDADMM COC[C@@H](C)[C@@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000362852516 300160727 /nfs/dbraw/zinc/16/07/27/300160727.db2.gz RCTKCVFZVXJGNF-NXEZZACHSA-N -1 1 320.393 1.587 20 0 DDADMM Cc1nnc([N-]C(=O)c2oc(-c3cnn(C)c3)nc2C)s1 ZINC000368435434 301027436 /nfs/dbraw/zinc/02/74/36/301027436.db2.gz LWROXBXLRGCYRF-UHFFFAOYSA-N -1 1 304.335 1.796 20 0 DDADMM COC(=O)[C@H]1[C@H](O)CCCN1C(=O)c1cc(Cl)ccc1[O-] ZINC000368726210 301081903 /nfs/dbraw/zinc/08/19/03/301081903.db2.gz ZQGYOHKZAZNORK-VXGBXAGGSA-N -1 1 313.737 1.184 20 0 DDADMM O=C(c1cc2[nH]ccc2s1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000369145952 301137122 /nfs/dbraw/zinc/13/71/22/301137122.db2.gz UOYPAAFZKMIRMW-QMMMGPOBSA-N -1 1 302.363 1.762 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1COc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000370516185 301355501 /nfs/dbraw/zinc/35/55/01/301355501.db2.gz BEZMWFKRIPZBLN-GHMZBOCLSA-N -1 1 321.768 1.987 20 0 DDADMM COc1ccccc1[C@H]1CN(C(=O)c2ncccc2[O-])CCN1 ZINC000374347177 301796508 /nfs/dbraw/zinc/79/65/08/301796508.db2.gz UOHPDVBLUZFYGJ-CYBMUJFWSA-N -1 1 313.357 1.583 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC(C)(Cc2ccccc2)C1 ZINC000377838848 302240969 /nfs/dbraw/zinc/24/09/69/302240969.db2.gz CUXLSZWHWXSFGP-UHFFFAOYSA-N -1 1 321.402 1.649 20 0 DDADMM COc1ccc(CN[C@H](C(=O)[O-])c2cnn(C)c2)cc1Cl ZINC000417602807 533695631 /nfs/dbraw/zinc/69/56/31/533695631.db2.gz WOBRMUCZDCDZLN-ZDUSSCGKSA-N -1 1 309.753 1.998 20 0 DDADMM C[C@@]1(Br)C[C@@H]1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000528140971 303006568 /nfs/dbraw/zinc/00/65/68/303006568.db2.gz REXLDYBQTHLQNG-WVBDSBKLSA-N -1 1 313.077 1.936 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@@H]3CCCC34CC4)CC2)s[n-]1 ZINC000528810402 303065885 /nfs/dbraw/zinc/06/58/85/303065885.db2.gz HAUDTDNSTDPGNO-JTQLQIEISA-N -1 1 307.423 1.180 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000529273137 303105974 /nfs/dbraw/zinc/10/59/74/303105974.db2.gz SJRMDGGSRKUGJT-GUDRVLHUSA-N -1 1 309.366 1.541 20 0 DDADMM Cc1cccc(=O)n1C[C@](C)(O)C(=O)Nc1cccc(F)c1[O-] ZINC000530004769 303169666 /nfs/dbraw/zinc/16/96/66/303169666.db2.gz GIUUVTFPKDHNST-INIZCTEOSA-N -1 1 320.320 1.391 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000530050339 303171047 /nfs/dbraw/zinc/17/10/47/303171047.db2.gz KNMRGULJOLVRJW-MNOVXSKESA-N -1 1 313.350 1.323 20 0 DDADMM Cc1cc(NC(=O)Cc2nnc([O-])c3ccccc23)c(=O)n(C)c1 ZINC000531761535 303255354 /nfs/dbraw/zinc/25/53/54/303255354.db2.gz FTEWLHZNGRJFEW-UHFFFAOYSA-N -1 1 324.340 1.524 20 0 DDADMM C[C@@H](O)[C@@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000533008780 303304465 /nfs/dbraw/zinc/30/44/65/303304465.db2.gz KAVMGKNYKBHBQU-GHMZBOCLSA-N -1 1 301.346 1.156 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](c2ccccc2)C1 ZINC000357594010 306897020 /nfs/dbraw/zinc/89/70/20/306897020.db2.gz FPZJMPIIPAUCHM-LLVKDONJSA-N -1 1 315.329 1.526 20 0 DDADMM Cc1cc(C(=O)N=c2nc(-c3c(F)cccc3F)[nH][n-]2)ncn1 ZINC000535720216 303348812 /nfs/dbraw/zinc/34/88/12/303348812.db2.gz AYNGXINJYNFVHM-UHFFFAOYSA-N -1 1 316.271 1.523 20 0 DDADMM CC[C@@H](C)CS(=O)(=O)[N-][C@H](CC(F)(F)F)C(=O)OC ZINC000360845703 306989313 /nfs/dbraw/zinc/98/93/13/306989313.db2.gz LTUNZMRGHOQFPY-HTQZYQBOSA-N -1 1 305.318 1.446 20 0 DDADMM Cc1c(Cl)cccc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370603325 307130752 /nfs/dbraw/zinc/13/07/52/307130752.db2.gz UGZQSDYVBTYGIW-LLVKDONJSA-N -1 1 307.741 1.375 20 0 DDADMM O=C(CCc1cccc(F)c1F)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370861459 307138509 /nfs/dbraw/zinc/13/85/09/307138509.db2.gz NEYAEEHEHNNPHF-NSHDSACASA-N -1 1 323.303 1.011 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(C[C@@H]3CC4(CCC4)C(=O)O3)C2)n[nH]1 ZINC000377522402 307271610 /nfs/dbraw/zinc/27/16/10/307271610.db2.gz DRUUSKHOQVXXJT-MNOVXSKESA-N -1 1 306.366 1.176 20 0 DDADMM CC(C)[C@]1(CO)CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000377859455 307277789 /nfs/dbraw/zinc/27/77/89/307277789.db2.gz HPBYPVQRRCIRFE-YZYPPFLQSA-N -1 1 317.393 1.214 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H]3C[C@H]3c3nnc[nH]3)[nH][n-]2)s1 ZINC000378511187 307290972 /nfs/dbraw/zinc/29/09/72/307290972.db2.gz KUFUAWFQDSUMRQ-BDAKNGLRSA-N -1 1 314.374 1.729 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCC[C@@H]1C(C)(C)C ZINC000416637767 307299673 /nfs/dbraw/zinc/29/96/73/307299673.db2.gz VANWTQFDGZGTQF-UWVGGRQHSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCC[C@@H]1C(C)(C)C ZINC000416637767 307299674 /nfs/dbraw/zinc/29/96/74/307299674.db2.gz VANWTQFDGZGTQF-UWVGGRQHSA-N -1 1 301.412 1.889 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C(C)(C)C)C(F)(F)F ZINC000557082599 307863153 /nfs/dbraw/zinc/86/31/53/307863153.db2.gz LJGRKVYXKDVWHE-VIFPVBQESA-N -1 1 323.271 1.603 20 0 DDADMM C[C@@H](O)C[C@@H](C)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000564724955 308003966 /nfs/dbraw/zinc/00/39/66/308003966.db2.gz JTBKFWCTIZVIEM-NXEZZACHSA-N -1 1 314.432 1.982 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2cccc(C(=O)[O-])c2)C[C@H](C)O1 ZINC000567844588 308098697 /nfs/dbraw/zinc/09/86/97/308098697.db2.gz SUXLVGOLNITIFB-BETUJISGSA-N -1 1 320.389 1.614 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2c(C)onc2C2CC2)n1 ZINC000569107024 308133431 /nfs/dbraw/zinc/13/34/31/308133431.db2.gz UBNCLIUDGFBRAZ-UHFFFAOYSA-N -1 1 308.319 1.751 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)Cc2cccc(F)c2F)no1 ZINC000579002208 308500592 /nfs/dbraw/zinc/50/05/92/308500592.db2.gz STNHNFJPLVKIBY-UHFFFAOYSA-N -1 1 303.290 1.276 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC[C@@H](F)C2)co1 ZINC000579358072 308526277 /nfs/dbraw/zinc/52/62/77/308526277.db2.gz KCYUYQYIMMTBKC-NXEZZACHSA-N -1 1 304.343 1.198 20 0 DDADMM CC1=CCN(CCNC(=O)N2C[C@@H]3CCC[C@@]3(C(=O)[O-])C2)CC1 ZINC000582347886 326016060 /nfs/dbraw/zinc/01/60/60/326016060.db2.gz UFTNETVDZJWURD-WMLDXEAASA-N -1 1 321.421 1.535 20 0 DDADMM N=c1nc(N2CCN(Cc3cc4cccnc4o3)CC2)s[n-]1 ZINC000584272429 332179441 /nfs/dbraw/zinc/17/94/41/332179441.db2.gz WTMVDPVJVRZAHL-UHFFFAOYSA-N -1 1 316.390 1.414 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)c1ccc(C)o1 ZINC000582663363 337117570 /nfs/dbraw/zinc/11/75/70/337117570.db2.gz OTUKFUMXUFXBGB-JSGCOSHPSA-N -1 1 317.407 1.620 20 0 DDADMM C[C@H]1C[C@@H](Nc2ccc(C(=O)[O-])nn2)C[N@H+]1Cc1ccccc1 ZINC000398037800 337304901 /nfs/dbraw/zinc/30/49/01/337304901.db2.gz NXAWBZLXNJHUML-GXTWGEPZSA-N -1 1 312.373 1.672 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])CN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000398997528 337313578 /nfs/dbraw/zinc/31/35/78/337313578.db2.gz KXZYFTRLWLOPOD-QWRGUYRKSA-N -1 1 314.341 1.711 20 0 DDADMM O=C([O-])[C@H](NS(=O)(=O)c1c(F)cc(F)cc1F)C1CCC1 ZINC000399242442 337314531 /nfs/dbraw/zinc/31/45/31/337314531.db2.gz FGXYNIGCKPOOIW-SNVBAGLBSA-N -1 1 323.292 1.636 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](C(F)(F)F)CN(CCO[C@H]2CC2(F)F)C1 ZINC000656756569 484314937 /nfs/dbraw/zinc/31/49/37/484314937.db2.gz GCSBLKMFHMTXJF-VGMNWLOBSA-N -1 1 317.254 1.996 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000667401734 484589017 /nfs/dbraw/zinc/58/90/17/484589017.db2.gz NYPSABREDROOQW-WDEREUQCSA-N -1 1 315.373 1.973 20 0 DDADMM CN(C)Cc1cc(CNC(=O)[C@@H](C(=O)[O-])C2CC2)ccc1F ZINC000663101757 484666469 /nfs/dbraw/zinc/66/64/69/484666469.db2.gz PYMYNQLAMDVFBW-AWEZNQCLSA-N -1 1 308.353 1.614 20 0 DDADMM O=C([O-])[C@H](C(=O)N1CCN(C2CCOCC2)CC1)C1CCCC1 ZINC000663103110 484668329 /nfs/dbraw/zinc/66/83/29/484668329.db2.gz WHEWKGXRAYLSSU-HNNXBMFYSA-N -1 1 324.421 1.201 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@H](C(=O)[O-])C2CC2)N2CCCC2)o1 ZINC000663106550 484671794 /nfs/dbraw/zinc/67/17/94/484671794.db2.gz RUWJMXMADGYIQM-DZGCQCFKSA-N -1 1 320.389 1.952 20 0 DDADMM O=C([O-])CC1(NC(=O)c2ccc3cncn3c2)CCOCC1 ZINC000663123280 484686889 /nfs/dbraw/zinc/68/68/89/484686889.db2.gz WNDAWGVYGGHCOE-UHFFFAOYSA-N -1 1 303.318 1.088 20 0 DDADMM C[C@H]1CC[C@H](C)N1CC(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1 ZINC000663139160 484697513 /nfs/dbraw/zinc/69/75/13/484697513.db2.gz XNIJPJNOBQVGSR-QWRGUYRKSA-N -1 1 308.382 1.514 20 0 DDADMM O=C([O-])[C@@H]1CCN(c2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000668121783 484964750 /nfs/dbraw/zinc/96/47/50/484964750.db2.gz IGINACRSPXPCMJ-RXMQYKEDSA-N -1 1 301.228 1.283 20 0 DDADMM CC[C@@H](C)c1nc([C@H](C)NC(=O)NCCCCC(=O)[O-])n[nH]1 ZINC000666559731 485271954 /nfs/dbraw/zinc/27/19/54/485271954.db2.gz ZQWIZOSJKDPXNF-ZJUUUORDSA-N -1 1 311.386 1.933 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)NCc1cccc(C(=O)[O-])c1 ZINC000666563244 485274008 /nfs/dbraw/zinc/27/40/08/485274008.db2.gz XVDXDAGBBDZFRS-HNNXBMFYSA-N -1 1 319.405 1.866 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCCC2(F)F)co1 ZINC000672499478 485307718 /nfs/dbraw/zinc/30/77/18/485307718.db2.gz UIADWIALXNWFFH-QMMMGPOBSA-N -1 1 308.306 1.105 20 0 DDADMM C[C@@H]1CS(=O)(=O)CCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000669025130 485382467 /nfs/dbraw/zinc/38/24/67/485382467.db2.gz ABPBHWNNIZHLAF-SNVBAGLBSA-N -1 1 320.370 1.200 20 0 DDADMM O=C(N[C@@H]1CCCN(CC(F)(F)F)C1)c1ncccc1[O-] ZINC000673548309 485435387 /nfs/dbraw/zinc/43/53/87/485435387.db2.gz SELVBWLSQHBGHV-SECBINFHSA-N -1 1 303.284 1.544 20 0 DDADMM CCO[C@H]1C[C@@](CO)(NC(=O)c2ccc([O-])c(F)c2)C1(C)C ZINC000682027339 485464971 /nfs/dbraw/zinc/46/49/71/485464971.db2.gz LCOKORLNDQWLCK-BBRMVZONSA-N -1 1 311.353 1.827 20 0 DDADMM CCO[C@@H]1C[C@](CO)(NC(=O)c2ccc([O-])c(F)c2)C1(C)C ZINC000682027341 485465535 /nfs/dbraw/zinc/46/55/35/485465535.db2.gz LCOKORLNDQWLCK-CZUORRHYSA-N -1 1 311.353 1.827 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccc(N2CCOCC2)c(F)c1 ZINC000673916734 485466162 /nfs/dbraw/zinc/46/61/62/485466162.db2.gz MKDLGYIPYLRHGP-UHFFFAOYSA-N -1 1 317.320 1.437 20 0 DDADMM Cc1ccc(C(=O)NCc2nn[n-]n2)c(C)c1Br ZINC000682899054 485818085 /nfs/dbraw/zinc/81/80/85/485818085.db2.gz YGVVJBGNMRTQQB-UHFFFAOYSA-N -1 1 310.155 1.509 20 0 DDADMM CN(CC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000679538722 485912837 /nfs/dbraw/zinc/91/28/37/485912837.db2.gz WSQCTAGHMWKQDH-LBPRGKRZSA-N -1 1 309.366 1.533 20 0 DDADMM Cc1cnc(CN(C)[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC000676193629 486138591 /nfs/dbraw/zinc/13/85/91/486138591.db2.gz ALHWTWZXKTXNCG-GFCCVEGCSA-N -1 1 316.361 1.430 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCCOCC1 ZINC000683892921 486148561 /nfs/dbraw/zinc/14/85/61/486148561.db2.gz PERBOVPCLNFBOR-OLZOCXBDSA-N -1 1 301.350 1.222 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2CCC(C(N)=O)CC2)sc1C ZINC000676415285 486221330 /nfs/dbraw/zinc/22/13/30/486221330.db2.gz JZHSYEWINPZURM-UHFFFAOYSA-N -1 1 317.436 1.082 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2nc(C)c(C)s2)on1 ZINC000676416717 486222614 /nfs/dbraw/zinc/22/26/14/486222614.db2.gz QVKRKSGAIRXIPO-UHFFFAOYSA-N -1 1 301.393 1.789 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](c2cccc(F)c2)N(C)C)c1[O-] ZINC000676630562 486273633 /nfs/dbraw/zinc/27/36/33/486273633.db2.gz ZHGVRNYGYFOEOV-LBPRGKRZSA-N -1 1 306.341 1.596 20 0 DDADMM O=C(CCNC(=O)c1ccc([O-])c(F)c1)Nc1ccncc1 ZINC000681037611 486327689 /nfs/dbraw/zinc/32/76/89/486327689.db2.gz KZYDPSRTVCMIPU-UHFFFAOYSA-N -1 1 303.293 1.107 20 0 DDADMM NC(=O)[C@@H]1c2ccccc2CCN1C(=O)c1ccc([O-])c(F)c1 ZINC000681044427 486329859 /nfs/dbraw/zinc/32/98/59/486329859.db2.gz KFFVHUPITOHGPQ-HNNXBMFYSA-N -1 1 314.316 1.756 20 0 DDADMM C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1ccc([O-])c(F)c1 ZINC000681046262 486330256 /nfs/dbraw/zinc/33/02/56/486330256.db2.gz FCELQVSMXNKZJV-IUCAKERBSA-N -1 1 303.355 1.425 20 0 DDADMM O=C(N[C@H]1COc2ccccc2C1=O)c1ccc([O-])c(F)c1 ZINC000681059458 486334629 /nfs/dbraw/zinc/33/46/29/486334629.db2.gz SWTJWKKKUQBRPP-LBPRGKRZSA-N -1 1 301.273 1.905 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCOCC2(CCOCC2)C1 ZINC000681070092 486337479 /nfs/dbraw/zinc/33/74/79/486337479.db2.gz OYKNBNNMLCNKOB-UHFFFAOYSA-N -1 1 309.337 1.801 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H](C)CCO)c(F)c1 ZINC000676863360 486349542 /nfs/dbraw/zinc/34/95/42/486349542.db2.gz XBEOXTJGCGOZBX-MRVPVSSYSA-N -1 1 309.334 1.270 20 0 DDADMM O=C(N[C@H]1CCS(=O)(=O)C1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684870728 486449580 /nfs/dbraw/zinc/44/95/80/486449580.db2.gz MLNJCRGWDONYKK-QMMMGPOBSA-N -1 1 323.292 1.328 20 0 DDADMM Cc1cnc(C(=O)NC2CCN(C(=O)C(C)(C)C)CC2)c([O-])c1 ZINC000681711185 486498269 /nfs/dbraw/zinc/49/82/69/486498269.db2.gz APTNWQSCTLVKGC-UHFFFAOYSA-N -1 1 319.405 1.863 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)C(=O)c2ncc(C)cc2[O-])c1 ZINC000681724431 486502540 /nfs/dbraw/zinc/50/25/40/486502540.db2.gz ZCFOYDGJFUYCBN-UHFFFAOYSA-N -1 1 314.345 1.510 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccc1[O-] ZINC000069673602 490577504 /nfs/dbraw/zinc/57/75/04/490577504.db2.gz VGUBTPABHODXJV-JQWIXIFHSA-N -1 1 324.402 1.771 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)C2CCCC2)C1)c1ncccc1[O-] ZINC000119670973 490606312 /nfs/dbraw/zinc/60/63/12/490606312.db2.gz UFJRFDSUXVPTCA-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM Cc1cc(CN[C@@H]2CCN([C@@H](C(=O)[O-])C(C)C)C2=O)ccc1F ZINC000414664770 533720599 /nfs/dbraw/zinc/72/05/99/533720599.db2.gz TXTNIKYCCYIGJL-HUUCEWRRSA-N -1 1 322.380 1.934 20 0 DDADMM C[C@@H](O)[C@H]1CN(C(=O)c2cc3ccccc3cc2[O-])CCO1 ZINC000332538140 533854798 /nfs/dbraw/zinc/85/47/98/533854798.db2.gz WFOLODASNBCTJN-BDJLRTHQSA-N -1 1 301.342 1.767 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC(CC(F)F)C1 ZINC000425090424 534643785 /nfs/dbraw/zinc/64/37/85/534643785.db2.gz ZEKYIKXDJXNLMX-UHFFFAOYSA-N -1 1 324.331 1.708 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCN2C(=O)CC[C@H]2C1 ZINC000322359294 534671894 /nfs/dbraw/zinc/67/18/94/534671894.db2.gz JREFLCIPLZXPNC-AWEZNQCLSA-N -1 1 310.353 1.992 20 0 DDADMM CC(C)(NC(=O)c1ccc(-c2cc[nH]n2)s1)c1nn[n-]n1 ZINC000431837768 527283379 /nfs/dbraw/zinc/28/33/79/527283379.db2.gz XYTYQHAWINIPON-UHFFFAOYSA-N -1 1 303.351 1.316 20 0 DDADMM CCC[C@@H]1CCC[C@@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331951951 527752252 /nfs/dbraw/zinc/75/22/52/527752252.db2.gz QTMSZCWRDZFTND-NEPJUHHUSA-N -1 1 323.466 1.816 20 0 DDADMM CC(C)c1[nH]nc(C(N)=O)c1[N-]S(=O)(=O)CCC1CC1 ZINC000451118054 528000884 /nfs/dbraw/zinc/00/08/84/528000884.db2.gz WNAQPBJPHNUKFM-UHFFFAOYSA-N -1 1 300.384 1.174 20 0 DDADMM CC(C)c1n[nH]c(C(N)=O)c1[N-]S(=O)(=O)CCC1CC1 ZINC000451118054 528000888 /nfs/dbraw/zinc/00/08/88/528000888.db2.gz WNAQPBJPHNUKFM-UHFFFAOYSA-N -1 1 300.384 1.174 20 0 DDADMM CCNC(=O)c1ccc(=NCC[C@@H](OC)C(CC)CC)[n-]n1 ZINC000446876984 528228154 /nfs/dbraw/zinc/22/81/54/528228154.db2.gz JCXAQSOYXXKDBJ-CQSZACIVSA-N -1 1 308.426 1.901 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)CC(C)(C)O)o1 ZINC000451768324 528242750 /nfs/dbraw/zinc/24/27/50/528242750.db2.gz YUCGNTJIAWPKKW-VIFPVBQESA-N -1 1 319.379 1.284 20 0 DDADMM CC(C)c1nn(C)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000331887652 528396133 /nfs/dbraw/zinc/39/61/33/528396133.db2.gz VTVWPJRUYLROHC-JTQLQIEISA-N -1 1 319.365 1.652 20 0 DDADMM CCOC(=O)C(C)(C)ONC(=O)N=c1nc(SC)[n-]s1 ZINC000296770184 528562098 /nfs/dbraw/zinc/56/20/98/528562098.db2.gz ZHFVDQLXYZYHDE-UHFFFAOYSA-N -1 1 320.396 1.655 20 0 DDADMM CC(C)C[C@]1(C)CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000452509923 528613913 /nfs/dbraw/zinc/61/39/13/528613913.db2.gz LYBFQEIDEOPZLM-KRWDZBQOSA-N -1 1 319.405 1.944 20 0 DDADMM CCC(CC)(CNC(=O)c1nc2ccccc2c(=O)[n-]1)OC ZINC000494428786 528691429 /nfs/dbraw/zinc/69/14/29/528691429.db2.gz IQQDWMRALNFWKZ-UHFFFAOYSA-N -1 1 303.362 1.858 20 0 DDADMM CCCS(=O)(=O)c1ccccc1C(=O)[N-]N1CCCCC1=O ZINC000299571508 528821798 /nfs/dbraw/zinc/82/17/98/528821798.db2.gz GVHVUKKYUYUIQL-UHFFFAOYSA-N -1 1 324.402 1.528 20 0 DDADMM CCOC(=O)COCCNC(=O)c1ccc2ccccc2c1[O-] ZINC000295749107 528837452 /nfs/dbraw/zinc/83/74/52/528837452.db2.gz OQJUPMDEVGPUDH-UHFFFAOYSA-N -1 1 317.341 1.855 20 0 DDADMM CCN(C(=O)c1coc(S(=O)(=O)[N-]C)c1)C1CC(C)(C)C1 ZINC000412814205 529101628 /nfs/dbraw/zinc/10/16/28/529101628.db2.gz RIYFJVGJSPQWCD-UHFFFAOYSA-N -1 1 314.407 1.838 20 0 DDADMM CCOC(=O)N[C@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000495361322 529105448 /nfs/dbraw/zinc/10/54/48/529105448.db2.gz ANIBIBKOHJSAKQ-NSHDSACASA-N -1 1 307.350 1.446 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(=O)OC ZINC000425155813 529372431 /nfs/dbraw/zinc/37/24/31/529372431.db2.gz LGKJDARLOUPCHP-ZDUSSCGKSA-N -1 1 321.345 1.893 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCCc2ccccc21 ZINC000738259636 598978464 /nfs/dbraw/zinc/97/84/64/598978464.db2.gz TXRHFMUNTGGXSX-UHFFFAOYSA-N -1 1 306.329 1.855 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCCc2ccccc21 ZINC000738259636 598978466 /nfs/dbraw/zinc/97/84/66/598978466.db2.gz TXRHFMUNTGGXSX-UHFFFAOYSA-N -1 1 306.329 1.855 20 0 DDADMM CO[C@@H]1CCC[C@H](OC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736962841 598979343 /nfs/dbraw/zinc/97/93/43/598979343.db2.gz RMSUKIURSLKUAX-MNOVXSKESA-N -1 1 303.322 1.376 20 0 DDADMM CO[C@@H]1CCC[C@H](OC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736962841 598979345 /nfs/dbraw/zinc/97/93/45/598979345.db2.gz RMSUKIURSLKUAX-MNOVXSKESA-N -1 1 303.322 1.376 20 0 DDADMM COCCN(C(=O)c1ccc(-c2nnn[n-]2)nc1)C1CCCC1 ZINC000736988701 598990051 /nfs/dbraw/zinc/99/00/51/598990051.db2.gz QUXMFFMDLGYOKL-UHFFFAOYSA-N -1 1 316.365 1.293 20 0 DDADMM COCCN(C(=O)c1ccc(-c2nn[n-]n2)nc1)C1CCCC1 ZINC000736988701 598990052 /nfs/dbraw/zinc/99/00/52/598990052.db2.gz QUXMFFMDLGYOKL-UHFFFAOYSA-N -1 1 316.365 1.293 20 0 DDADMM COc1cccc(CCNC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737131410 598992795 /nfs/dbraw/zinc/99/27/95/598992795.db2.gz OZFHDOSEXBBHBA-UHFFFAOYSA-N -1 1 324.344 1.243 20 0 DDADMM COc1cccc(CCNC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737131410 598992798 /nfs/dbraw/zinc/99/27/98/598992798.db2.gz OZFHDOSEXBBHBA-UHFFFAOYSA-N -1 1 324.344 1.243 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)c2ccccc2O1 ZINC000736289035 599005769 /nfs/dbraw/zinc/00/57/69/599005769.db2.gz CCNNPBMCECQVJT-SNVBAGLBSA-N -1 1 322.328 1.689 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)c2ccccc2O1 ZINC000736289035 599005771 /nfs/dbraw/zinc/00/57/71/599005771.db2.gz CCNNPBMCECQVJT-SNVBAGLBSA-N -1 1 322.328 1.689 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CN2C(=O)CC3(CCCCC3)C2=O)C1 ZINC000819380485 597048377 /nfs/dbraw/zinc/04/83/77/597048377.db2.gz ONVSKDXSEICFRD-ZDUSSCGKSA-N -1 1 322.405 1.840 20 0 DDADMM NC(=O)[C@H]1CCCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000737740535 599257122 /nfs/dbraw/zinc/25/71/22/599257122.db2.gz ADXOUWVQQPQBJM-JTQLQIEISA-N -1 1 323.360 1.117 20 0 DDADMM NC(=O)[C@H]1CCCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000737740535 599257123 /nfs/dbraw/zinc/25/71/23/599257123.db2.gz ADXOUWVQQPQBJM-JTQLQIEISA-N -1 1 323.360 1.117 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)NCCN2CCc3ccccc3C2)C1 ZINC000819257840 597507968 /nfs/dbraw/zinc/50/79/68/597507968.db2.gz HVTFTUUIKNKUII-OAHLLOKOSA-N -1 1 317.389 1.161 20 0 DDADMM C[C@H](NCC(=O)NCC(=O)[O-])c1cccc(OC(F)F)c1 ZINC000820141775 597806654 /nfs/dbraw/zinc/80/66/54/597806654.db2.gz HQTBQNBCSVOIQX-QMMMGPOBSA-N -1 1 302.277 1.139 20 0 DDADMM COc1ccc(Cn2ccc(C)c(-c3nn[n-]n3)c2=O)cc1F ZINC000821827593 607347939 /nfs/dbraw/zinc/34/79/39/607347939.db2.gz GEDNVEYEAGACCY-UHFFFAOYSA-N -1 1 315.308 1.533 20 0 DDADMM CC(C)CN(C(C)C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735827573 598265115 /nfs/dbraw/zinc/26/51/15/598265115.db2.gz QQIUWNIQKZSUAT-UHFFFAOYSA-N -1 1 324.410 1.317 20 0 DDADMM CC(C)CN(C(C)C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735827573 598265117 /nfs/dbraw/zinc/26/51/17/598265117.db2.gz QQIUWNIQKZSUAT-UHFFFAOYSA-N -1 1 324.410 1.317 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(Nc2ccc(N3CCOCC3)cc2)n1 ZINC000738445463 598337237 /nfs/dbraw/zinc/33/72/37/598337237.db2.gz HAYMBBRYUUKBLP-UHFFFAOYSA-N -1 1 324.348 1.237 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(Nc2ccc(N3CCOCC3)cc2)n1 ZINC000738445463 598337238 /nfs/dbraw/zinc/33/72/38/598337238.db2.gz HAYMBBRYUUKBLP-UHFFFAOYSA-N -1 1 324.348 1.237 20 0 DDADMM c1ccc(-c2nnc(Sc3nccnc3-c3nn[n-]n3)o2)cc1 ZINC000738423713 598337684 /nfs/dbraw/zinc/33/76/84/598337684.db2.gz SXJQXRJOCQQBKV-UHFFFAOYSA-N -1 1 324.329 1.858 20 0 DDADMM c1ccc([C@@H]2CN(c3cccc(-c4nnn[n-]4)n3)CCO2)cc1 ZINC000738429054 599402772 /nfs/dbraw/zinc/40/27/72/599402772.db2.gz SDEUJZAKQKJOMB-AWEZNQCLSA-N -1 1 308.345 1.840 20 0 DDADMM c1ccc([C@@H]2CN(c3cccc(-c4nn[n-]n4)n3)CCO2)cc1 ZINC000738429054 599402775 /nfs/dbraw/zinc/40/27/75/599402775.db2.gz SDEUJZAKQKJOMB-AWEZNQCLSA-N -1 1 308.345 1.840 20 0 DDADMM C[C@H](COCC(F)(F)F)Nc1cccc(-c2nnn[n-]2)n1 ZINC000736039062 599418919 /nfs/dbraw/zinc/41/89/19/599418919.db2.gz ZICUJYKUGUAUDW-SSDOTTSWSA-N -1 1 302.260 1.641 20 0 DDADMM C[C@H](COCC(F)(F)F)Nc1cccc(-c2nn[n-]n2)n1 ZINC000736039062 599418921 /nfs/dbraw/zinc/41/89/21/599418921.db2.gz ZICUJYKUGUAUDW-SSDOTTSWSA-N -1 1 302.260 1.641 20 0 DDADMM c1cnn(CC2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)c1 ZINC000738412043 599525816 /nfs/dbraw/zinc/52/58/16/599525816.db2.gz FEZNVRJTMBDMKL-UHFFFAOYSA-N -1 1 310.365 1.375 20 0 DDADMM c1cnn(CC2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)c1 ZINC000738412043 599525819 /nfs/dbraw/zinc/52/58/19/599525819.db2.gz FEZNVRJTMBDMKL-UHFFFAOYSA-N -1 1 310.365 1.375 20 0 DDADMM CCCCOC(=O)[C@H](C)OC(=O)c1sccc1-c1nn[n-]n1 ZINC000821073241 599658603 /nfs/dbraw/zinc/65/86/03/599658603.db2.gz YKSJLDVOWBCEAM-QMMMGPOBSA-N -1 1 324.362 1.817 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)N[C@H]1CCCN(Cc2ccccc2)C1 ZINC000736327256 599732300 /nfs/dbraw/zinc/73/23/00/599732300.db2.gz CVBUJZNIGOJFAQ-AWEZNQCLSA-N -1 1 319.405 1.813 20 0 DDADMM Cc1nnc(NC(=O)c2ccccc2S[C@H](C)C(=O)[O-])[nH]1 ZINC000738865942 600025507 /nfs/dbraw/zinc/02/55/07/600025507.db2.gz KUAYNEIKKBZUNK-SSDOTTSWSA-N -1 1 306.347 1.931 20 0 DDADMM Cc1n[nH]c(NC(=O)c2ccccc2S[C@H](C)C(=O)[O-])n1 ZINC000738865942 600025509 /nfs/dbraw/zinc/02/55/09/600025509.db2.gz KUAYNEIKKBZUNK-SSDOTTSWSA-N -1 1 306.347 1.931 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H](O)CN1Cc1ccc(OCC(F)(F)F)cc1 ZINC000740057166 600141273 /nfs/dbraw/zinc/14/12/73/600141273.db2.gz RTHAFFPOTCJVOJ-PWSUYJOCSA-N -1 1 319.279 1.648 20 0 DDADMM CCC[C@@](C)(NCC(=O)N1CCC(c2ccccc2)=N1)C(=O)[O-] ZINC000736959057 600154070 /nfs/dbraw/zinc/15/40/70/600154070.db2.gz ACHVMXVBZDFTSP-QGZVFWFLSA-N -1 1 317.389 1.856 20 0 DDADMM CN1CCN(C)[C@@H](CNC(=O)Nc2cccc(NC(=O)[O-])c2)C1 ZINC000737526433 600176322 /nfs/dbraw/zinc/17/63/22/600176322.db2.gz OXUXROJOCAKZPX-ZDUSSCGKSA-N -1 1 321.381 1.144 20 0 DDADMM CC(C)C[C@H](NC(=O)CN1CC[C@@H](c2ccco2)C1)C(=O)[O-] ZINC000736414615 600325774 /nfs/dbraw/zinc/32/57/74/600325774.db2.gz ZKZCREGWMJTRQE-OLZOCXBDSA-N -1 1 308.378 1.684 20 0 DDADMM O=C([O-])c1coc(CN2CCCC[C@H]2CN2CCCC2=O)c1 ZINC000740268182 600333511 /nfs/dbraw/zinc/33/35/11/600333511.db2.gz CCJSSTPFPMSIDN-ZDUSSCGKSA-N -1 1 306.362 1.955 20 0 DDADMM C[C@H](CN(C)[C@@H](C)C(=O)Nc1c(F)cccc1F)C(=O)[O-] ZINC000736574750 600365451 /nfs/dbraw/zinc/36/54/51/600365451.db2.gz HYTCTLQUNQDWBM-BDAKNGLRSA-N -1 1 300.305 1.944 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CN2C[C@@H](c3cccc(F)c3)CC2=O)C1 ZINC000738538065 600421611 /nfs/dbraw/zinc/42/16/11/600421611.db2.gz OIKNGKJYXAIMCQ-KGLIPLIRSA-N -1 1 320.364 1.896 20 0 DDADMM Cc1oc(CN2CCN([C@@H]3CCC[C@@H]3O)CC2)cc1C(=O)[O-] ZINC000738978436 600509546 /nfs/dbraw/zinc/50/95/46/600509546.db2.gz HRGSPFBGMVRBJL-CABCVRRESA-N -1 1 308.378 1.317 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN(CCCCO)C2CC2)cc1 ZINC000739273349 600555753 /nfs/dbraw/zinc/55/57/53/600555753.db2.gz IXYQWZTZFGYTON-UHFFFAOYSA-N -1 1 306.362 1.560 20 0 DDADMM CN1CCCC[C@H]1C(=O)NCc1cccc(OCC(=O)[O-])c1 ZINC000737516524 600648171 /nfs/dbraw/zinc/64/81/71/600648171.db2.gz BKNIOYGEHVBCBI-AWEZNQCLSA-N -1 1 306.362 1.251 20 0 DDADMM C[C@@H]1C(=O)N(CN2CCC[C@H](C(=O)[O-])C2)[C@H]1c1ccccc1 ZINC000828144570 600716732 /nfs/dbraw/zinc/71/67/32/600716732.db2.gz YIFCGNLGDWMJGA-AEGPPILISA-N -1 1 302.374 1.960 20 0 DDADMM C[C@H]1CCN(CCNS(=O)(=O)c2csc(C(=O)[O-])c2)C1 ZINC000828290012 600792553 /nfs/dbraw/zinc/79/25/53/600792553.db2.gz PRKBFEMVZXWLJW-VIFPVBQESA-N -1 1 318.420 1.066 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)CN1CCN2CCCC[C@H]2C1 ZINC000833107387 600827503 /nfs/dbraw/zinc/82/75/03/600827503.db2.gz CYHWHCOXKFGMTO-ZDUSSCGKSA-N -1 1 317.389 1.493 20 0 DDADMM C[C@@H](c1nc(-c2cccnc2)no1)N1CCC[C@H](C(=O)[O-])C1 ZINC000736726647 600845033 /nfs/dbraw/zinc/84/50/33/600845033.db2.gz VXQALPKYRQTMEN-JQWIXIFHSA-N -1 1 302.334 1.989 20 0 DDADMM CC(C)N(C(=O)CN1CCSC[C@H]1CC(=O)[O-])C(C)C ZINC000091131677 601014591 /nfs/dbraw/zinc/01/45/91/601014591.db2.gz LGCBORIVDUDBTB-GFCCVEGCSA-N -1 1 302.440 1.524 20 0 DDADMM C[C@@H](Sc1ccccc1C(=O)NCCc1nc[nH]n1)C(=O)[O-] ZINC000736715508 601096327 /nfs/dbraw/zinc/09/63/27/601096327.db2.gz FYJVFWCXCVVQTQ-SECBINFHSA-N -1 1 320.374 1.342 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCc2nccs2)CC1 ZINC000827362090 601101135 /nfs/dbraw/zinc/10/11/35/601101135.db2.gz HMGAYVAZSNJOPR-NSHDSACASA-N -1 1 311.407 1.083 20 0 DDADMM Cc1nnc([C@@H]2CN([C@@H](C(=O)[O-])c3ccccc3)CCO2)[nH]1 ZINC000738338597 601105717 /nfs/dbraw/zinc/10/57/17/601105717.db2.gz SCCSOLHKINPZBZ-QWHCGFSZSA-N -1 1 302.334 1.312 20 0 DDADMM Cc1nc([C@H]2CN([C@@H](C)c3ccc(C(=O)[O-])o3)CCO2)n[nH]1 ZINC000738823970 601105939 /nfs/dbraw/zinc/10/59/39/601105939.db2.gz RVAJOYNBOJGDKN-QPUJVOFHSA-N -1 1 306.322 1.539 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)N2CCc3ccccc32)CC1 ZINC000827369231 601123326 /nfs/dbraw/zinc/12/33/26/601123326.db2.gz RIQHBTINTJWHTL-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM CCCc1c(C(=O)OCCc2c[nH]nn2)[nH]c(C)c1C(=O)[O-] ZINC000829562454 601142843 /nfs/dbraw/zinc/14/28/43/601142843.db2.gz FVURNRGPWRGZOH-UHFFFAOYSA-N -1 1 306.322 1.491 20 0 DDADMM CCCc1c(C(=O)OCCc2cnn[nH]2)[nH]c(C)c1C(=O)[O-] ZINC000829562454 601142845 /nfs/dbraw/zinc/14/28/45/601142845.db2.gz FVURNRGPWRGZOH-UHFFFAOYSA-N -1 1 306.322 1.491 20 0 DDADMM C/C(=C/CN1CCN(Cc2cnn(C(C)(C)C)c2)CC1)C(=O)[O-] ZINC000825747582 601164471 /nfs/dbraw/zinc/16/44/71/601164471.db2.gz VHMHUTLQOAGWOL-RZNTYIFUSA-N -1 1 320.437 1.787 20 0 DDADMM C[C@@](NCC(=O)N1CCc2sccc2C1)(C(=O)[O-])C1CC1 ZINC000827727718 601173208 /nfs/dbraw/zinc/17/32/08/601173208.db2.gz MDYHQMHTNVVBOH-HNNXBMFYSA-N -1 1 308.403 1.476 20 0 DDADMM Cc1nc([C@@H]2CCCN2Cc2ccnc(-c3nnn[n-]3)c2)no1 ZINC000826324821 607493583 /nfs/dbraw/zinc/49/35/83/607493583.db2.gz GAKRHZGWROIZPC-LBPRGKRZSA-N -1 1 312.337 1.290 20 0 DDADMM Cc1nc([C@@H]2CCCN2Cc2ccnc(-c3nn[n-]n3)c2)no1 ZINC000826324821 607493584 /nfs/dbraw/zinc/49/35/84/607493584.db2.gz GAKRHZGWROIZPC-LBPRGKRZSA-N -1 1 312.337 1.290 20 0 DDADMM COC[C@@]1(C)CC(=O)N(CN2CCC3(C[C@H]3C(=O)[O-])CC2)C1 ZINC000831660447 601300880 /nfs/dbraw/zinc/30/08/80/601300880.db2.gz IKOXVIQPSUXGON-WFASDCNBSA-N -1 1 310.394 1.016 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2ccc(/C=C/C(=O)[O-])cc2)cn1 ZINC000384193129 601329844 /nfs/dbraw/zinc/32/98/44/601329844.db2.gz IBXCWRGNGNEVDW-QPJJXVBHSA-N -1 1 319.342 1.684 20 0 DDADMM O=C([O-])Cc1nc(NC(=O)c2c(F)c(F)cc(F)c2F)n[nH]1 ZINC000833269133 601452330 /nfs/dbraw/zinc/45/23/30/601452330.db2.gz BXKBTYWBAJOIOW-UHFFFAOYSA-N -1 1 318.186 1.241 20 0 DDADMM C[C@@H](C(=O)N[C@H](CC(=O)[O-])C1CC1)N(C)Cc1ccccc1 ZINC000825993939 601521979 /nfs/dbraw/zinc/52/19/79/601521979.db2.gz ARDLZIHZMWFXCB-SWLSCSKDSA-N -1 1 304.390 1.876 20 0 DDADMM CCOc1ccc(NC(=O)CN2C[C@@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000315532964 601526220 /nfs/dbraw/zinc/52/62/20/601526220.db2.gz GOEGZYCPWYVNNH-BXUZGUMPSA-N -1 1 306.362 1.676 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CC[C@]3(CCOC3)C2)cc1 ZINC000833104769 601661578 /nfs/dbraw/zinc/66/15/78/601661578.db2.gz DZWQHZWQISEBMF-INIZCTEOSA-N -1 1 304.346 1.436 20 0 DDADMM Cc1[nH]nc(C(=O)N2C[C@@H](C)[C@H](C(=O)[O-])C2)c1Br ZINC000817869928 601717010 /nfs/dbraw/zinc/71/70/10/601717010.db2.gz CQPUDGHPGLUPNT-IYSWYEEDSA-N -1 1 316.155 1.273 20 0 DDADMM CC(C)(CNC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1)C(=O)[O-] ZINC000826602116 601818714 /nfs/dbraw/zinc/81/87/14/601818714.db2.gz ROIWBOJMRMLGHY-UHFFFAOYSA-N -1 1 321.358 1.465 20 0 DDADMM CN(C)C(=O)[C@H](c1ccccc1)N1CCSC[C@H]1CC(=O)[O-] ZINC000830542431 601920622 /nfs/dbraw/zinc/92/06/22/601920622.db2.gz ANNDGJHVRBRHHW-HIFRSBDPSA-N -1 1 322.430 1.708 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@](C)(C(=O)[O-])C2)c(OC)c1 ZINC000832394307 601924414 /nfs/dbraw/zinc/92/44/14/601924414.db2.gz RGMAXJFUJDODIC-INIZCTEOSA-N -1 1 322.361 1.439 20 0 DDADMM O=C(CN1CCCC[C@H]1[C@@H]1CCCN1C(=O)[O-])NCC1CC1 ZINC000740369644 601927205 /nfs/dbraw/zinc/92/72/05/601927205.db2.gz PBNJSUUGYUITLJ-KBPBESRZSA-N -1 1 309.410 1.510 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@](C)(C(=O)[O-])C2)cc1OC ZINC000832394698 601936238 /nfs/dbraw/zinc/93/62/38/601936238.db2.gz WMBCFILWMLQDGL-INIZCTEOSA-N -1 1 322.361 1.439 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000739528955 601958863 /nfs/dbraw/zinc/95/88/63/601958863.db2.gz AZFLIUVEBCNFDP-STQMWFEESA-N -1 1 323.393 1.887 20 0 DDADMM Cc1cccc(NC(=O)[C@H](C)N2CC[C@@H](CNC(=O)[O-])C2)c1 ZINC000740170887 601960715 /nfs/dbraw/zinc/96/07/15/601960715.db2.gz PZFJMHKIVZPKRU-STQMWFEESA-N -1 1 305.378 1.912 20 0 DDADMM CN1CCC[C@@H]1C(=O)NCc1ccc(CCNC(=O)[O-])cc1 ZINC000739727106 602035472 /nfs/dbraw/zinc/03/54/72/602035472.db2.gz DSXDJNISSTVPOV-CQSZACIVSA-N -1 1 305.378 1.207 20 0 DDADMM C[C@H](CNC(=O)c1cccc(NC(=O)[O-])c1)N1CCN(C)CC1 ZINC000736605536 602312525 /nfs/dbraw/zinc/31/25/25/602312525.db2.gz HWDBYLDOFSYLFN-GFCCVEGCSA-N -1 1 320.393 1.142 20 0 DDADMM O=C([O-])N1CSC[C@@H]1C(=O)N1CCN(C2CCCC2)CC1 ZINC000740728218 602379543 /nfs/dbraw/zinc/37/95/43/602379543.db2.gz KTBRCWNKQUSIKZ-GFCCVEGCSA-N -1 1 313.423 1.126 20 0 DDADMM C[C@@H](C(=O)N1CCCCC1)N1CCC(CN(C)C(=O)[O-])CC1 ZINC000738618557 602408452 /nfs/dbraw/zinc/40/84/52/602408452.db2.gz TZRFKENGYAIBGL-ZDUSSCGKSA-N -1 1 311.426 1.709 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])CCN1C(=O)c1c[nH]c2ccccc2c1=O ZINC000739156118 602424762 /nfs/dbraw/zinc/42/47/62/602424762.db2.gz WLLROPNDBSEUIT-SNVBAGLBSA-N -1 1 315.329 1.352 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(CC(=O)N2CCCCCC2)CC1 ZINC000739029585 602451897 /nfs/dbraw/zinc/45/18/97/602451897.db2.gz VPPQVMORUJZSOF-CYBMUJFWSA-N -1 1 311.426 1.757 20 0 DDADMM CC(C)c1ccccc1OC[C@H](O)CN1CC[C@@H](NC(=O)[O-])C1 ZINC000738878022 602462511 /nfs/dbraw/zinc/46/25/11/602462511.db2.gz UNMMVNDFCQJFBP-ZIAGYGMSSA-N -1 1 322.405 1.892 20 0 DDADMM CC(C)C[C@H](CNC(=O)[O-])NC(=O)c1[nH]nc2c1CCCC2 ZINC000738805964 602529317 /nfs/dbraw/zinc/52/93/17/602529317.db2.gz GWXYVAPOAFTFCV-SNVBAGLBSA-N -1 1 308.382 1.701 20 0 DDADMM CN(C[C@@H]1CCN(CC[S@@](=O)c2ccccc2)C1)C(=O)[O-] ZINC000739664658 602529410 /nfs/dbraw/zinc/52/94/10/602529410.db2.gz JUFBDNFAIXJSCH-YEJXKQKISA-N -1 1 310.419 1.726 20 0 DDADMM CCC[C@](C)(NC(=O)[O-])C(=O)N[C@@H]1CCCN(CCOC)C1 ZINC000739286544 602676857 /nfs/dbraw/zinc/67/68/57/602676857.db2.gz ACQBFLXEOKKUDW-DOMZBBRYSA-N -1 1 315.414 1.040 20 0 DDADMM CN(C[C@@H]1CCC[N@H+](C)C1)C(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739728190 602865476 /nfs/dbraw/zinc/86/54/76/602865476.db2.gz IMIAUSDPLNPTHV-LLVKDONJSA-N -1 1 321.377 1.896 20 0 DDADMM CN(C[C@@H]1CCC[N@@H+](C)C1)C(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739728190 602865478 /nfs/dbraw/zinc/86/54/78/602865478.db2.gz IMIAUSDPLNPTHV-LLVKDONJSA-N -1 1 321.377 1.896 20 0 DDADMM C[C@@H]1CN(C[C@H](O)COCc2ccco2)C[C@@H](C)N1C(=O)[O-] ZINC000739180259 602874952 /nfs/dbraw/zinc/87/49/52/602874952.db2.gz OGCBZGNFBZDUCS-UPJWGTAASA-N -1 1 312.366 1.230 20 0 DDADMM Cc1cc(CNC(=O)[C@H](C)[C@@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830040797 602933603 /nfs/dbraw/zinc/93/36/03/602933603.db2.gz DGKUXXBPQPDNLP-BXUZGUMPSA-N -1 1 316.361 1.979 20 0 DDADMM Cc1ccc(NC(=O)CN(C)C[C@@H]2CCN(C(=O)[O-])C2)cc1 ZINC000740136588 602978093 /nfs/dbraw/zinc/97/80/93/602978093.db2.gz SIJUKFGNVBYYOQ-ZDUSSCGKSA-N -1 1 305.378 1.865 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@@H]1NC(=O)c1n[nH]c2ccccc21 ZINC000740624356 602982349 /nfs/dbraw/zinc/98/23/49/602982349.db2.gz HSHLXHAPCWPTNK-KOLCDFICSA-N -1 1 302.334 1.729 20 0 DDADMM O=C([O-])NC1CCC(NC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000740558156 603069899 /nfs/dbraw/zinc/06/98/99/603069899.db2.gz HOTWJVJQPTXZEY-UHFFFAOYSA-N -1 1 303.322 1.267 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N[C@@H]1CCCN(C(=O)[O-])C1 ZINC000737359190 603209771 /nfs/dbraw/zinc/20/97/71/603209771.db2.gz UDSUCCZPCSWQNL-CABCVRRESA-N -1 1 319.405 1.418 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)[C@@H]1CCC[C@@H](NC(=O)[O-])C1 ZINC000738801551 603211027 /nfs/dbraw/zinc/21/10/27/603211027.db2.gz QULOVKJSJAOJSB-MCIONIFRSA-N -1 1 311.426 1.611 20 0 DDADMM C[C@H](CC(=O)NC[C@H](c1ccco1)N1CCCCC1)NC(=O)[O-] ZINC000824628215 603230522 /nfs/dbraw/zinc/23/05/22/603230522.db2.gz GTDTURNSNIYRDE-CHWSQXEVSA-N -1 1 323.393 1.969 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)N[C@H]1CCCc2ccccc21 ZINC000739681502 603258390 /nfs/dbraw/zinc/25/83/90/603258390.db2.gz FNGHTYVIXHJLJA-HNNXBMFYSA-N -1 1 319.405 1.770 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)CC[C@@H]1NC(=O)[O-] ZINC000739172584 603354803 /nfs/dbraw/zinc/35/48/03/603354803.db2.gz ZZWKAPLLBAYILI-KOLCDFICSA-N -1 1 318.333 1.788 20 0 DDADMM CN(C[C@@H]1CN(c2ccccc2)C(=O)O1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828267759 603504130 /nfs/dbraw/zinc/50/41/30/603504130.db2.gz YMODQGJELGKZMN-ZIAGYGMSSA-N -1 1 319.361 1.696 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@@H]1CCN(C(=O)[O-])C1 ZINC000826690342 603523384 /nfs/dbraw/zinc/52/33/84/603523384.db2.gz KKYQJPJUPZNPAC-MGPQQGTHSA-N -1 1 309.410 1.462 20 0 DDADMM C[C@@H](C(=O)N(C)[C@H]1CCN(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823654258 603527543 /nfs/dbraw/zinc/52/75/43/603527543.db2.gz IHFUFHIXMCHJRF-ZFWWWQNUSA-N -1 1 319.405 1.718 20 0 DDADMM CN(CCCC(=O)N1CCN(C)CC12CCCCC2)C(=O)[O-] ZINC000828493712 603553771 /nfs/dbraw/zinc/55/37/71/603553771.db2.gz BYLUDCPJJNBWCW-UHFFFAOYSA-N -1 1 311.426 1.853 20 0 DDADMM CC(C)(C)N1C[C@@H](NCc2ccc(NC(=O)[O-])nc2)CC1=O ZINC000823771728 603558914 /nfs/dbraw/zinc/55/89/14/603558914.db2.gz HMAGUMWIMSANLN-NSHDSACASA-N -1 1 306.366 1.661 20 0 DDADMM C[C@H]1CCCN(CN2C[C@@H]3CN(C(=O)[O-])CCN3C2=O)CC1 ZINC000825926017 603599685 /nfs/dbraw/zinc/59/96/85/603599685.db2.gz SXWCIVHTUYWTRH-STQMWFEESA-N -1 1 310.398 1.166 20 0 DDADMM O=C([O-])N1CCC(NC(=O)N2CCC(c3cnc[nH]3)CC2)CC1 ZINC000831947589 603622533 /nfs/dbraw/zinc/62/25/33/603622533.db2.gz JSTVAULJAXMGRS-UHFFFAOYSA-N -1 1 321.381 1.441 20 0 DDADMM Cc1ccc(N2CC[C@@H](N[C@H]3CCN(C(=O)[O-])C3)C2=O)cc1 ZINC000830340409 603644064 /nfs/dbraw/zinc/64/40/64/603644064.db2.gz LHVBJOSYKDYRRT-GXTWGEPZSA-N -1 1 303.362 1.442 20 0 DDADMM O=C([O-])N1CCC[C@H]1[C@@H]1CCCCN1C(=O)Cc1ccn[nH]1 ZINC000831998342 603712836 /nfs/dbraw/zinc/71/28/36/603712836.db2.gz UEFDSWSNZXCPDW-STQMWFEESA-N -1 1 306.366 1.476 20 0 DDADMM O=C([O-])Nc1ccc(CC(=O)N2CCc3[nH]nnc3C2)cc1 ZINC000832841207 603928898 /nfs/dbraw/zinc/92/88/98/603928898.db2.gz SNQDAPNIQFEJTD-UHFFFAOYSA-N -1 1 301.306 1.022 20 0 DDADMM CN(CC(C)(C)CNC(=O)[O-])C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000828128589 603953129 /nfs/dbraw/zinc/95/31/29/603953129.db2.gz HBZDLVOIGSWSMN-JTQLQIEISA-N -1 1 308.382 1.582 20 0 DDADMM CCCC[C@@H](CNC(=O)[O-])NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000826782087 603979768 /nfs/dbraw/zinc/97/97/68/603979768.db2.gz VWKXFZQEQPLLDV-WDEREUQCSA-N -1 1 308.382 1.772 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)NCc2ccccn2)C1 ZINC000825265888 604203964 /nfs/dbraw/zinc/20/39/64/604203964.db2.gz UNBVXPBEFLEHKQ-QWHCGFSZSA-N -1 1 320.393 1.066 20 0 DDADMM O=C([O-])N(CC1CC1)C1CCN(CCn2ccccc2=O)CC1 ZINC000831826722 604231887 /nfs/dbraw/zinc/23/18/87/604231887.db2.gz VKPCUQJBMVJVAR-UHFFFAOYSA-N -1 1 319.405 1.703 20 0 DDADMM COc1cccc(-c2cc(C(=O)N(CC(=O)[O-])C3CC3)n[nH]2)c1 ZINC000832510587 604305120 /nfs/dbraw/zinc/30/51/20/604305120.db2.gz WYIGDNGNAWJKKX-UHFFFAOYSA-N -1 1 315.329 1.775 20 0 DDADMM CCCCc1nnc(NC(=O)CN(C)C[C@@H](C)C(=O)[O-])s1 ZINC000829441270 604474617 /nfs/dbraw/zinc/47/46/17/604474617.db2.gz SHRMTNUGLOMZQW-SECBINFHSA-N -1 1 314.411 1.472 20 0 DDADMM O=C([O-])NCc1ccc(C(=O)Nc2ccc3[nH]nnc3c2)o1 ZINC000832705853 604526770 /nfs/dbraw/zinc/52/67/70/604526770.db2.gz QZAXVXNVTYEMII-UHFFFAOYSA-N -1 1 301.262 1.571 20 0 DDADMM C[C@@H](Oc1ccc(CN2CCN(C3CC3)CC2)cc1)C(=O)[O-] ZINC000833539545 604530592 /nfs/dbraw/zinc/53/05/92/604530592.db2.gz HHGRBJLECKBNBH-CYBMUJFWSA-N -1 1 304.390 1.819 20 0 DDADMM C[C@H](Oc1ccc(CN(C)[C@@H]2CCCCNC2=O)cc1)C(=O)[O-] ZINC000833536761 604619805 /nfs/dbraw/zinc/61/98/05/604619805.db2.gz DVHHBXOLTUFKEE-SWLSCSKDSA-N -1 1 320.389 1.639 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)[C@H]2CCC[C@@H](C(=O)[O-])C2)[nH]n1 ZINC000833057386 604645458 /nfs/dbraw/zinc/64/54/58/604645458.db2.gz BKQVIJSRMCOTFL-VHSXEESVSA-N -1 1 317.349 1.640 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)C3CCN(C(=O)[O-])CC3)C2)n[nH]1 ZINC000830735840 604646584 /nfs/dbraw/zinc/64/65/84/604646584.db2.gz VPQGRKRJHDKDCC-LBPRGKRZSA-N -1 1 321.381 1.209 20 0 DDADMM CSC[C@H]1CCCN1CC(=O)N[C@H](CC(C)C)C(=O)[O-] ZINC000832758370 604701704 /nfs/dbraw/zinc/70/17/04/604701704.db2.gz BBYAQSUZRNWYQN-VXGBXAGGSA-N -1 1 302.440 1.429 20 0 DDADMM CCOC[C@H](C(=O)[O-])N(C)C(=O)[C@@H](C)N(C)Cc1ccccc1 ZINC000829940536 604712367 /nfs/dbraw/zinc/71/23/67/604712367.db2.gz UEZCQFIUKJSJEG-UKRRQHHQSA-N -1 1 322.405 1.455 20 0 DDADMM C[C@@H](C(=O)NCCC1=CCCCC1)N1CCC(O)(C(=O)[O-])CC1 ZINC000833404740 604727894 /nfs/dbraw/zinc/72/78/94/604727894.db2.gz OLUWXADHFSLUJS-ZDUSSCGKSA-N -1 1 324.421 1.293 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CCC[C@H]1c1cccc(N(C)C)c1 ZINC000826000820 604769000 /nfs/dbraw/zinc/76/90/00/604769000.db2.gz RNDTWKDAIMNXKA-DOMZBBRYSA-N -1 1 319.405 1.479 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CC[C@@H](N2CCCC2=O)C1 ZINC000833678444 604937709 /nfs/dbraw/zinc/93/77/09/604937709.db2.gz NEEWJMHTXAESFX-GFCCVEGCSA-N -1 1 306.362 1.737 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)N[C@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000833511905 605022008 /nfs/dbraw/zinc/02/20/08/605022008.db2.gz DVXNRXPSSNVPPA-ZJUUUORDSA-N -1 1 324.385 1.431 20 0 DDADMM CN(CC(=O)N1C[C@@H](C(=O)[O-])c2ccccc21)[C@@H]1CCSC1 ZINC000833691644 605044732 /nfs/dbraw/zinc/04/47/32/605044732.db2.gz RGMOGDRKYGQXSV-DGCLKSJQSA-N -1 1 320.414 1.639 20 0 DDADMM C[C@H]1CN(C2CC2)C[C@H]1NS(=O)(=O)c1ccccc1C(=O)[O-] ZINC000833624997 605047097 /nfs/dbraw/zinc/04/70/97/605047097.db2.gz MPOVYKJKXBJWAX-GXFFZTMASA-N -1 1 324.402 1.146 20 0 DDADMM C[C@]1([NH2+]CCC[N-]C(=O)C(F)(F)F)CCCC[C@@H]1C(=O)[O-] ZINC000833567740 605061333 /nfs/dbraw/zinc/06/13/33/605061333.db2.gz CHJHMEPAZJEYKO-SKDRFNHKSA-N -1 1 310.316 1.678 20 0 DDADMM C[C@H](OCc1ccccc1)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000378702883 605074634 /nfs/dbraw/zinc/07/46/34/605074634.db2.gz LATDUKLRGWGYFT-FZMZJTMJSA-N -1 1 317.345 1.127 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2c(c1)CCC2 ZINC000833622484 605112064 /nfs/dbraw/zinc/11/20/64/605112064.db2.gz BMXZAWAXXBLQNM-CYBMUJFWSA-N -1 1 316.401 1.796 20 0 DDADMM C[C@H](CNCc1ccc(O[C@@H](C)C(=O)[O-])cc1)S(C)(=O)=O ZINC000833540978 605120455 /nfs/dbraw/zinc/12/04/55/605120455.db2.gz OZMIKKXSKTURMM-MNOVXSKESA-N -1 1 315.391 1.061 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](NC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823664955 605131615 /nfs/dbraw/zinc/13/16/15/605131615.db2.gz YRHPTUZFXTVLCT-JSGCOSHPSA-N -1 1 305.378 1.375 20 0 DDADMM c1cnc(N2CCC(C3CCOCC3)CC2)c(-c2nnn[n-]2)n1 ZINC000826525637 607739262 /nfs/dbraw/zinc/73/92/62/607739262.db2.gz SCWVYNNWQXJXBW-UHFFFAOYSA-N -1 1 315.381 1.300 20 0 DDADMM c1cnc(N2CCC(C3CCOCC3)CC2)c(-c2nn[n-]n2)n1 ZINC000826525637 607739263 /nfs/dbraw/zinc/73/92/63/607739263.db2.gz SCWVYNNWQXJXBW-UHFFFAOYSA-N -1 1 315.381 1.300 20 0 DDADMM COCCN1CCCN(C(=O)Cc2ccccc2C(=O)[O-])CC1 ZINC000322395176 605239088 /nfs/dbraw/zinc/23/90/88/605239088.db2.gz NIFXGXRIYXFWBN-UHFFFAOYSA-N -1 1 320.389 1.108 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NCC[C@@H]2CCN(C(=O)[O-])C2)n[nH]1 ZINC000830036172 605292081 /nfs/dbraw/zinc/29/20/81/605292081.db2.gz LCWJYQSWFSBCGN-ZYHUDNBSSA-N -1 1 323.397 1.338 20 0 DDADMM O=C([O-])N1CC[C@@H](CNS(=O)(=O)c2c(F)cccc2F)C1 ZINC000834078990 605424094 /nfs/dbraw/zinc/42/40/94/605424094.db2.gz YZUPOPSOSRNCFV-QMMMGPOBSA-N -1 1 320.317 1.243 20 0 DDADMM CCCN(CC(=O)Nc1cc(C)no1)C1CCN(C(=O)[O-])CC1 ZINC000826904615 605475599 /nfs/dbraw/zinc/47/55/99/605475599.db2.gz XWHMPDXLXTUINF-UHFFFAOYSA-N -1 1 324.381 1.776 20 0 DDADMM O=C([O-])N1CC[C@@](F)(C(=O)Nc2cc(C(F)(F)F)n[nH]2)C1 ZINC000834083379 605630976 /nfs/dbraw/zinc/63/09/76/605630976.db2.gz IJUJNZGAIBJMFM-VIFPVBQESA-N -1 1 310.207 1.459 20 0 DDADMM Cc1nnc(NC(=O)[C@H]2c3ccccc3CCN2C(=O)[O-])[nH]1 ZINC000830769682 605699284 /nfs/dbraw/zinc/69/92/84/605699284.db2.gz JXOITWXPMRLMNH-LLVKDONJSA-N -1 1 301.306 1.329 20 0 DDADMM Cc1n[nH]c(NC(=O)[C@H]2c3ccccc3CCN2C(=O)[O-])n1 ZINC000830769682 605699288 /nfs/dbraw/zinc/69/92/88/605699288.db2.gz JXOITWXPMRLMNH-LLVKDONJSA-N -1 1 301.306 1.329 20 0 DDADMM O=C([O-])N1CCC[C@H](NC(=O)Cc2[nH]nc3ccccc32)C1 ZINC000739355409 605717106 /nfs/dbraw/zinc/71/71/06/605717106.db2.gz JQNFXPSKWWLFCO-JTQLQIEISA-N -1 1 302.334 1.364 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CN2C[C@H](C3CC3)CC2=O)C1 ZINC000833811718 605723690 /nfs/dbraw/zinc/72/36/90/605723690.db2.gz DSBJWRICVWRMLZ-FPMFFAJLSA-N -1 1 309.410 1.571 20 0 DDADMM CC(C)C[C@H](NC(=O)[O-])C(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000824210645 605774283 /nfs/dbraw/zinc/77/42/83/605774283.db2.gz IISOLFZZKQMASR-NSHDSACASA-N -1 1 309.370 1.193 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1CN1C(=O)C[C@@H]1c1ccccc1 ZINC000833835280 605957598 /nfs/dbraw/zinc/95/75/98/605957598.db2.gz WYFIQCCTOUTPPC-VNHYZAJKSA-N -1 1 317.389 1.896 20 0 DDADMM O=C([O-])N1CCC2(C[C@H]2C(=O)N[C@@H]2CCc3nc[nH]c3C2)CC1 ZINC000834019127 605959460 /nfs/dbraw/zinc/95/94/60/605959460.db2.gz OVTIGERFVYUUAV-MNOVXSKESA-N -1 1 318.377 1.163 20 0 DDADMM O=C([O-])NCCC(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000833776937 606093351 /nfs/dbraw/zinc/09/33/51/606093351.db2.gz CTWCPXROMUPKBC-SNVBAGLBSA-N -1 1 307.354 1.046 20 0 DDADMM Cn1cc(C[C@@H]2CCN(c3cccc(-c4nnn[n-]4)n3)C2)cn1 ZINC000822673961 606138012 /nfs/dbraw/zinc/13/80/12/606138012.db2.gz HRQPKFWOTZKQKD-NSHDSACASA-N -1 1 310.365 1.064 20 0 DDADMM Cn1cc(C[C@@H]2CCN(c3cccc(-c4nn[n-]n4)n3)C2)cn1 ZINC000822673961 606138013 /nfs/dbraw/zinc/13/80/13/606138013.db2.gz HRQPKFWOTZKQKD-NSHDSACASA-N -1 1 310.365 1.064 20 0 DDADMM CC[C@](C)(NC(=O)c1ccc(-c2nnn[n-]2)nc1)C(F)(F)F ZINC000820853916 606149340 /nfs/dbraw/zinc/14/93/40/606149340.db2.gz PTHIZFJAATXRSL-NSHDSACASA-N -1 1 314.271 1.723 20 0 DDADMM CC[C@](C)(NC(=O)c1ccc(-c2nn[n-]n2)nc1)C(F)(F)F ZINC000820853916 606149342 /nfs/dbraw/zinc/14/93/42/606149342.db2.gz PTHIZFJAATXRSL-NSHDSACASA-N -1 1 314.271 1.723 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@H]2C[C@@H]2c2ccccc2)n1 ZINC000822614262 606163340 /nfs/dbraw/zinc/16/33/40/606163340.db2.gz KRDMAAMEJMNMKZ-PWSUYJOCSA-N -1 1 324.348 1.278 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@H]2C[C@@H]2c2ccccc2)n1 ZINC000822614262 606163342 /nfs/dbraw/zinc/16/33/42/606163342.db2.gz KRDMAAMEJMNMKZ-PWSUYJOCSA-N -1 1 324.348 1.278 20 0 DDADMM Cc1[nH]nc2ncc(NC(=O)c3ccc(-c4nnn[n-]4)nc3)cc12 ZINC000822418691 606262605 /nfs/dbraw/zinc/26/26/05/606262605.db2.gz DNBZIYZMUMUUCM-UHFFFAOYSA-N -1 1 321.304 1.094 20 0 DDADMM Cc1[nH]nc2ncc(NC(=O)c3ccc(-c4nn[n-]n4)nc3)cc12 ZINC000822418691 606262607 /nfs/dbraw/zinc/26/26/07/606262607.db2.gz DNBZIYZMUMUUCM-UHFFFAOYSA-N -1 1 321.304 1.094 20 0 DDADMM COC(=O)[C@@H]1CCCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821656102 606500232 /nfs/dbraw/zinc/50/02/32/606500232.db2.gz XRFMSRIWWSNCBB-QMMMGPOBSA-N -1 1 321.362 1.096 20 0 DDADMM COC(=O)[C@@H]1CCCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821656102 606500233 /nfs/dbraw/zinc/50/02/33/606500233.db2.gz XRFMSRIWWSNCBB-QMMMGPOBSA-N -1 1 321.362 1.096 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nnn[n-]1)c1cc2c(s1)CCCC2 ZINC000823289732 606532751 /nfs/dbraw/zinc/53/27/51/606532751.db2.gz OBAOERGRMRSLIQ-UHFFFAOYSA-N -1 1 315.362 1.782 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nn[n-]n1)c1cc2c(s1)CCCC2 ZINC000823289732 606532753 /nfs/dbraw/zinc/53/27/53/606532753.db2.gz OBAOERGRMRSLIQ-UHFFFAOYSA-N -1 1 315.362 1.782 20 0 DDADMM COC(=O)CCCCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821660583 606536139 /nfs/dbraw/zinc/53/61/39/606536139.db2.gz WWDWAIQFEPPFOX-UHFFFAOYSA-N -1 1 309.351 1.001 20 0 DDADMM COC(=O)CCCCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821660583 606536141 /nfs/dbraw/zinc/53/61/41/606536141.db2.gz WWDWAIQFEPPFOX-UHFFFAOYSA-N -1 1 309.351 1.001 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)C[C@@H](C)O1 ZINC000820988333 606557816 /nfs/dbraw/zinc/55/78/16/606557816.db2.gz FPCSGXVKVMZWLJ-RKDXNWHRSA-N -1 1 307.379 1.568 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)C[C@@H](C)O1 ZINC000820988333 606557817 /nfs/dbraw/zinc/55/78/17/606557817.db2.gz FPCSGXVKVMZWLJ-RKDXNWHRSA-N -1 1 307.379 1.568 20 0 DDADMM O=C(NCCOc1cccnc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823219585 606564579 /nfs/dbraw/zinc/56/45/79/606564579.db2.gz MWMXTLFIJMPXFJ-UHFFFAOYSA-N -1 1 316.346 1.132 20 0 DDADMM O=C(NCCOc1cccnc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823219585 606564580 /nfs/dbraw/zinc/56/45/80/606564580.db2.gz MWMXTLFIJMPXFJ-UHFFFAOYSA-N -1 1 316.346 1.132 20 0 DDADMM CO[C@@]1(C)C[C@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1(C)C ZINC000821678437 606581268 /nfs/dbraw/zinc/58/12/68/606581268.db2.gz NTSSEVMGDBMSFC-HZMBPMFUSA-N -1 1 321.406 1.862 20 0 DDADMM CO[C@@]1(C)C[C@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1(C)C ZINC000821678437 606581270 /nfs/dbraw/zinc/58/12/70/606581270.db2.gz NTSSEVMGDBMSFC-HZMBPMFUSA-N -1 1 321.406 1.862 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)[C@H]1Cc2ccccc21 ZINC000823436126 606652397 /nfs/dbraw/zinc/65/23/97/606652397.db2.gz HXIPPGYJRNLLQH-ZDUSSCGKSA-N -1 1 307.313 1.645 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)[C@H]1Cc2ccccc21 ZINC000823436126 606652398 /nfs/dbraw/zinc/65/23/98/606652398.db2.gz HXIPPGYJRNLLQH-ZDUSSCGKSA-N -1 1 307.313 1.645 20 0 DDADMM CC(C)c1cccc(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)n1 ZINC000820192404 606810830 /nfs/dbraw/zinc/81/08/30/606810830.db2.gz MNDQXBFIXLIJJZ-UHFFFAOYSA-N -1 1 312.337 1.371 20 0 DDADMM CC(C)c1cccc(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)n1 ZINC000820192404 606810832 /nfs/dbraw/zinc/81/08/32/606810832.db2.gz MNDQXBFIXLIJJZ-UHFFFAOYSA-N -1 1 312.337 1.371 20 0 DDADMM CCCC1(CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCC1 ZINC000821033413 606956553 /nfs/dbraw/zinc/95/65/53/606956553.db2.gz AORGXAHNNNETRJ-UHFFFAOYSA-N -1 1 318.385 1.692 20 0 DDADMM CCCC1(CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCC1 ZINC000821033413 606956555 /nfs/dbraw/zinc/95/65/55/606956555.db2.gz AORGXAHNNNETRJ-UHFFFAOYSA-N -1 1 318.385 1.692 20 0 DDADMM COCc1ccc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)cc1 ZINC000821695316 607023920 /nfs/dbraw/zinc/02/39/20/607023920.db2.gz RTXODDNIPITECY-UHFFFAOYSA-N -1 1 316.346 1.722 20 0 DDADMM COCc1ccc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)cc1 ZINC000821695316 607023921 /nfs/dbraw/zinc/02/39/21/607023921.db2.gz RTXODDNIPITECY-UHFFFAOYSA-N -1 1 316.346 1.722 20 0 DDADMM COc1ccc(O)c(NC(=O)c2ccc(-c3nnn[n-]3)s2)c1 ZINC000821839760 607054190 /nfs/dbraw/zinc/05/41/90/607054190.db2.gz YAJAQQRKFAUAIO-UHFFFAOYSA-N -1 1 317.330 1.895 20 0 DDADMM COc1ccc(O)c(NC(=O)c2ccc(-c3nn[n-]n3)s2)c1 ZINC000821839760 607054192 /nfs/dbraw/zinc/05/41/92/607054192.db2.gz YAJAQQRKFAUAIO-UHFFFAOYSA-N -1 1 317.330 1.895 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(N[C@@H]2CCO[C@@]3(CCSC3)C2)n1 ZINC000823809963 607177159 /nfs/dbraw/zinc/17/71/59/607177159.db2.gz TZERCVZCLJNCPT-RNCFNFMXSA-N -1 1 319.394 1.123 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(N[C@@H]2CCO[C@@]3(CCSC3)C2)n1 ZINC000823809963 607177160 /nfs/dbraw/zinc/17/71/60/607177160.db2.gz TZERCVZCLJNCPT-RNCFNFMXSA-N -1 1 319.394 1.123 20 0 DDADMM CC1(C)C[C@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)C(=O)O1 ZINC000824788592 607837750 /nfs/dbraw/zinc/83/77/50/607837750.db2.gz BKMFZPYMLQXGFN-ZETCQYMHSA-N -1 1 308.729 1.421 20 0 DDADMM CC1(C)C[C@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)C(=O)O1 ZINC000824788592 607837751 /nfs/dbraw/zinc/83/77/51/607837751.db2.gz BKMFZPYMLQXGFN-ZETCQYMHSA-N -1 1 308.729 1.421 20 0 DDADMM c1ccc(N2CC[C@@H](CNc3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826522226 607989423 /nfs/dbraw/zinc/98/94/23/607989423.db2.gz RQSKHWMTCGOKFN-LBPRGKRZSA-N -1 1 322.376 1.595 20 0 DDADMM c1ccc(N2CC[C@@H](CNc3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826522226 607989424 /nfs/dbraw/zinc/98/94/24/607989424.db2.gz RQSKHWMTCGOKFN-LBPRGKRZSA-N -1 1 322.376 1.595 20 0 DDADMM c1csc(-c2noc(Cn3ccnc3-c3nnn[n-]3)n2)c1 ZINC000826525880 608013146 /nfs/dbraw/zinc/01/31/46/608013146.db2.gz CMETXNVOBXOCJG-UHFFFAOYSA-N -1 1 300.307 1.223 20 0 DDADMM c1csc(-c2noc(Cn3ccnc3-c3nn[n-]n3)n2)c1 ZINC000826525880 608013147 /nfs/dbraw/zinc/01/31/47/608013147.db2.gz CMETXNVOBXOCJG-UHFFFAOYSA-N -1 1 300.307 1.223 20 0 DDADMM C[C@H]1CC(=O)CC[C@H]1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824869111 608029551 /nfs/dbraw/zinc/02/95/51/608029551.db2.gz YISMXVGUBDKFPW-JOYOIKCWSA-N -1 1 315.333 1.310 20 0 DDADMM C[C@H]1CC(=O)CC[C@H]1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824869111 608029552 /nfs/dbraw/zinc/02/95/52/608029552.db2.gz YISMXVGUBDKFPW-JOYOIKCWSA-N -1 1 315.333 1.310 20 0 DDADMM CC(C)(C)C[C@H](CO)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000824078819 608162790 /nfs/dbraw/zinc/16/27/90/608162790.db2.gz KUSXIDICPGTLOE-MRVPVSSYSA-N -1 1 309.395 1.455 20 0 DDADMM CC(C)(C)C[C@H](CO)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000824078819 608162791 /nfs/dbraw/zinc/16/27/91/608162791.db2.gz KUSXIDICPGTLOE-MRVPVSSYSA-N -1 1 309.395 1.455 20 0 DDADMM C[C@H](Nc1snc(Cl)c1-c1nnn[n-]1)[C@H]1CCCO1 ZINC000824669666 608173233 /nfs/dbraw/zinc/17/32/33/608173233.db2.gz FHONWQGNISQIKE-NTSWFWBYSA-N -1 1 300.775 1.956 20 0 DDADMM C[C@H](Nc1snc(Cl)c1-c1nn[n-]n1)[C@H]1CCCO1 ZINC000824669666 608173234 /nfs/dbraw/zinc/17/32/34/608173234.db2.gz FHONWQGNISQIKE-NTSWFWBYSA-N -1 1 300.775 1.956 20 0 DDADMM CCOC(=O)CCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000825511974 608178707 /nfs/dbraw/zinc/17/87/07/608178707.db2.gz NQRVBIFCINBKKI-UHFFFAOYSA-N -1 1 302.747 1.342 20 0 DDADMM CCOC(=O)CCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000825511974 608178708 /nfs/dbraw/zinc/17/87/08/608178708.db2.gz NQRVBIFCINBKKI-UHFFFAOYSA-N -1 1 302.747 1.342 20 0 DDADMM COc1ccnc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)c1 ZINC000826220873 608200947 /nfs/dbraw/zinc/20/09/47/608200947.db2.gz DSCDDOPKYXAHIA-UHFFFAOYSA-N -1 1 316.346 1.262 20 0 DDADMM COc1ccnc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)c1 ZINC000826220873 608200949 /nfs/dbraw/zinc/20/09/49/608200949.db2.gz DSCDDOPKYXAHIA-UHFFFAOYSA-N -1 1 316.346 1.262 20 0 DDADMM O=C(OCc1ccnc(-c2nn[nH]n2)c1)c1ccc([O-])cc1F ZINC000826467340 608323323 /nfs/dbraw/zinc/32/33/23/608323323.db2.gz RPWFRXRWRJKMGU-UHFFFAOYSA-N -1 1 315.264 1.463 20 0 DDADMM Fc1cc2c(cc1F)N(c1ccc(-c3nnn[n-]3)nn1)CC2 ZINC000826372595 608398926 /nfs/dbraw/zinc/39/89/26/608398926.db2.gz DQBMOBAAURWGHY-UHFFFAOYSA-N -1 1 301.260 1.629 20 0 DDADMM Fc1cc2c(cc1F)N(c1ccc(-c3nn[n-]n3)nn1)CC2 ZINC000826372595 608398929 /nfs/dbraw/zinc/39/89/29/608398929.db2.gz DQBMOBAAURWGHY-UHFFFAOYSA-N -1 1 301.260 1.629 20 0 DDADMM C[C@H](O)CN(Cc1ccccc1)c1ccc(-c2nnn[n-]2)nn1 ZINC000824707321 608437019 /nfs/dbraw/zinc/43/70/19/608437019.db2.gz PZFQQNQISKNEQS-NSHDSACASA-N -1 1 311.349 1.044 20 0 DDADMM C[C@H](O)CN(Cc1ccccc1)c1ccc(-c2nn[n-]n2)nn1 ZINC000824707321 608437020 /nfs/dbraw/zinc/43/70/20/608437020.db2.gz PZFQQNQISKNEQS-NSHDSACASA-N -1 1 311.349 1.044 20 0 DDADMM c1ccc2c(c1)CC(CCNc1ccc(-c3nnn[n-]3)nn1)C2 ZINC000826523705 608438199 /nfs/dbraw/zinc/43/81/99/608438199.db2.gz UQWAVLHVRQFDKH-UHFFFAOYSA-N -1 1 307.361 1.874 20 0 DDADMM c1ccc2c(c1)CC(CCNc1ccc(-c3nn[n-]n3)nn1)C2 ZINC000826523705 608438200 /nfs/dbraw/zinc/43/82/00/608438200.db2.gz UQWAVLHVRQFDKH-UHFFFAOYSA-N -1 1 307.361 1.874 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1CCCN1Cc1ccc(-c2nnn[n-]2)o1 ZINC000824098859 608748928 /nfs/dbraw/zinc/74/89/28/608748928.db2.gz CENRUHWXRZGQND-LLVKDONJSA-N -1 1 319.365 1.766 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1CCCN1Cc1ccc(-c2nn[n-]n2)o1 ZINC000824098859 608748931 /nfs/dbraw/zinc/74/89/31/608748931.db2.gz CENRUHWXRZGQND-LLVKDONJSA-N -1 1 319.365 1.766 20 0 DDADMM Cc1ccc(N2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)cc1 ZINC000826290560 609595404 /nfs/dbraw/zinc/59/54/04/609595404.db2.gz QIHFKIAKJJNCRG-UHFFFAOYSA-N -1 1 321.388 1.897 20 0 DDADMM Cc1ccc(N2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)cc1 ZINC000826290560 609595406 /nfs/dbraw/zinc/59/54/06/609595406.db2.gz QIHFKIAKJJNCRG-UHFFFAOYSA-N -1 1 321.388 1.897 20 0 DDADMM C[C@H](C[S@](C)=O)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000824448957 609598044 /nfs/dbraw/zinc/59/80/44/609598044.db2.gz LGWXGASPCWLDLC-IEBRQLKASA-N -1 1 306.804 1.156 20 0 DDADMM C[C@H](C[S@](C)=O)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000824448957 609598046 /nfs/dbraw/zinc/59/80/46/609598046.db2.gz LGWXGASPCWLDLC-IEBRQLKASA-N -1 1 306.804 1.156 20 0 DDADMM C[C@@H](C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000973137799 695429967 /nfs/dbraw/zinc/42/99/67/695429967.db2.gz XNDNCFLZLRBCMV-YUSALJHKSA-N -1 1 303.362 1.210 20 0 DDADMM CC1=C(C)C[C@@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)CC1 ZINC000974163005 695643315 /nfs/dbraw/zinc/64/33/15/695643315.db2.gz GZKOQKFRFGPLEA-RWMBFGLXSA-N -1 1 317.393 1.318 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCCc2sccc21 ZINC000798046379 700039340 /nfs/dbraw/zinc/03/93/40/700039340.db2.gz JAAXLIRNYFRSCX-UHFFFAOYSA-N -1 1 319.386 1.358 20 0 DDADMM CCCC(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975226721 695817370 /nfs/dbraw/zinc/81/73/70/695817370.db2.gz RHCWEKYLJGYNER-GFCCVEGCSA-N -1 1 305.378 1.556 20 0 DDADMM O=C(NC[C@@H](O)c1ccc(F)cc1)C(=O)c1ccc([O-])cc1 ZINC000798158811 700045122 /nfs/dbraw/zinc/04/51/22/700045122.db2.gz ASYKMBGZHFGVPN-CQSZACIVSA-N -1 1 303.289 1.564 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1ncccc1[O-] ZINC000976572170 695987025 /nfs/dbraw/zinc/98/70/25/695987025.db2.gz SOLGMUIZHBWQGF-WUHRBBMRSA-N -1 1 317.389 1.600 20 0 DDADMM COc1cc[n-]c(=NNC(=O)c2cc(Cl)c(Cl)n2C)n1 ZINC000025124386 696090018 /nfs/dbraw/zinc/09/00/18/696090018.db2.gz ZGKGLOCKNHRDNV-UHFFFAOYSA-N -1 1 316.148 1.887 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCO[C@H]2CCCC[C@@H]2C)n1 ZINC000046831021 696191695 /nfs/dbraw/zinc/19/16/95/696191695.db2.gz RLGJYCIVDSEJPG-RYUDHWBXSA-N -1 1 323.397 1.707 20 0 DDADMM COCCOC[C@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747408592 700068923 /nfs/dbraw/zinc/06/89/23/700068923.db2.gz HDMAZSACJWGQSB-JTQLQIEISA-N -1 1 311.382 1.395 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ccco1)C(=O)c1ncccc1[O-] ZINC000977601998 696230748 /nfs/dbraw/zinc/23/07/48/696230748.db2.gz REFFAIIFOQULRK-NSHDSACASA-N -1 1 315.329 1.367 20 0 DDADMM CN(C[C@H]1CCN1C(=O)C1CCCC1)C(=O)c1ncccc1[O-] ZINC000977620976 696237686 /nfs/dbraw/zinc/23/76/86/696237686.db2.gz UGMZYSMSTZXLGM-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM CCC(Nc1cccc(C(C)=O)c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000054502768 696276573 /nfs/dbraw/zinc/27/65/73/696276573.db2.gz HOPCMRZYLYVWPB-UHFFFAOYSA-N -1 1 317.370 1.496 20 0 DDADMM Cc1nc(-c2ccc(NCC[S@@](C)=O)nc2)[n-]c(=O)c1C ZINC000078265705 696449256 /nfs/dbraw/zinc/44/92/56/696449256.db2.gz YDLOUQKFSDMGJJ-NRFANRHFSA-N -1 1 306.391 1.239 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCCC1 ZINC000078806401 696455544 /nfs/dbraw/zinc/45/55/44/696455544.db2.gz VWNZHYCDRRQPNK-UHFFFAOYSA-N -1 1 322.308 1.180 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccc3scnc3c2)s1 ZINC000079898867 696464989 /nfs/dbraw/zinc/46/49/89/696464989.db2.gz TVUQFHHOJGPQFF-SECBINFHSA-N -1 1 306.372 1.582 20 0 DDADMM CC(C)C[C@H](C)OCCC(=O)NCCc1n[n-]c(=S)n1C ZINC000087301906 696567996 /nfs/dbraw/zinc/56/79/96/696567996.db2.gz AURMTJRXKSQFAT-NSHDSACASA-N -1 1 314.455 1.978 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H]2CCCc3ccc(F)cc32)s1 ZINC000089391912 696576480 /nfs/dbraw/zinc/57/64/80/696576480.db2.gz PNKXKZYGECYYQJ-NWDGAFQWSA-N -1 1 321.377 1.666 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000980144348 696692018 /nfs/dbraw/zinc/69/20/18/696692018.db2.gz GUSAJGHKCHNPMX-WTIISPKJSA-N -1 1 317.389 1.600 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000980592045 696808711 /nfs/dbraw/zinc/80/87/11/696808711.db2.gz INYXBUZUGSREGA-FDYHWXHSSA-N -1 1 317.389 1.458 20 0 DDADMM CC(C)C(=O)Nc1ccc(OS(=O)(=O)c2c[n-]cn2)cc1 ZINC000150902507 696878938 /nfs/dbraw/zinc/87/89/38/696878938.db2.gz UCFRSTKALRTKSB-UHFFFAOYSA-N -1 1 309.347 1.772 20 0 DDADMM Cc1cc(C(=O)N[N-]C(=O)c2cc(Cl)ncc2Cl)[nH]n1 ZINC000157011403 696972035 /nfs/dbraw/zinc/97/20/35/696972035.db2.gz DYZNTKLPSWLIIV-UHFFFAOYSA-N -1 1 314.132 1.495 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)c2cc(Cl)ncc2Cl)n[nH]1 ZINC000157011403 696972037 /nfs/dbraw/zinc/97/20/37/696972037.db2.gz DYZNTKLPSWLIIV-UHFFFAOYSA-N -1 1 314.132 1.495 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCC[C@@H](NCc3ccon3)C2)c1[O-] ZINC000981021594 696981611 /nfs/dbraw/zinc/98/16/11/696981611.db2.gz YBWMRARRRNOPRU-MNOVXSKESA-N -1 1 319.365 1.243 20 0 DDADMM CCOc1ccc(N2C[C@H](C(=O)[N-]OCCOC)CC2=O)cc1 ZINC000748766029 700133099 /nfs/dbraw/zinc/13/30/99/700133099.db2.gz CXXHNUXHWYRXDR-GFCCVEGCSA-N -1 1 322.361 1.132 20 0 DDADMM C[C@@](O)(CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000163695545 697334035 /nfs/dbraw/zinc/33/40/35/697334035.db2.gz LCFLBIUALBQLJQ-BLLLJJGKSA-N -1 1 315.377 1.204 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985449809 697513574 /nfs/dbraw/zinc/51/35/74/697513574.db2.gz JZSQOCFDBHDECI-UHFFFAOYSA-N -1 1 323.441 1.615 20 0 DDADMM O=C(NC[C@@H](O)c1ccc(F)cc1)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000186915206 697539502 /nfs/dbraw/zinc/53/95/02/697539502.db2.gz DHTWOIRVDUGGRM-GFCCVEGCSA-N -1 1 321.264 1.298 20 0 DDADMM C[C@H](C(=O)[N-]OC[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000188009122 697552876 /nfs/dbraw/zinc/55/28/76/697552876.db2.gz NZBKXUAQAKNWIH-VHSXEESVSA-N -1 1 312.297 1.922 20 0 DDADMM O=C(Cc1cncs1)N[N-]C(=O)c1ccc(Cl)cc1F ZINC000189210467 697573276 /nfs/dbraw/zinc/57/32/76/697573276.db2.gz VEFITITVXYKADW-UHFFFAOYSA-N -1 1 313.741 1.939 20 0 DDADMM CC(=O)CCCCCCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000772663927 697658387 /nfs/dbraw/zinc/65/83/87/697658387.db2.gz NNCYLFMPEUCQER-GFCCVEGCSA-N -1 1 309.370 1.029 20 0 DDADMM Cc1cscc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986101896 697685953 /nfs/dbraw/zinc/68/59/53/697685953.db2.gz NWVBVEUVRVBQFH-GXSJLCMTSA-N -1 1 321.406 1.273 20 0 DDADMM O=C(c1ccccc1Cl)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773158451 697720492 /nfs/dbraw/zinc/72/04/92/697720492.db2.gz OZUCPSUPTUOWFA-JTQLQIEISA-N -1 1 309.782 1.783 20 0 DDADMM CCc1sc(C(=O)[N-]N2C(=O)N[C@@](C)(CC)C2=O)cc1C ZINC000194845883 697723993 /nfs/dbraw/zinc/72/39/93/697723993.db2.gz NVJQEEOCMVSEFX-AWEZNQCLSA-N -1 1 309.391 1.984 20 0 DDADMM O=C(c1cccc(Cl)n1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000773315031 697742763 /nfs/dbraw/zinc/74/27/63/697742763.db2.gz LXHJRKYKQFFDHS-QMMMGPOBSA-N -1 1 308.725 1.843 20 0 DDADMM O=C(CCN1C(=O)c2ccccc2C1=O)[N-]Oc1ccccc1 ZINC000773462065 697763407 /nfs/dbraw/zinc/76/34/07/697763407.db2.gz RXUOPUQKBXWFDK-UHFFFAOYSA-N -1 1 310.309 1.783 20 0 DDADMM COCCS(=O)(=O)CCCOC(=O)c1ccc([O-])cc1F ZINC000773574834 697782792 /nfs/dbraw/zinc/78/27/92/697782792.db2.gz HTMPWWNTGVRTLT-UHFFFAOYSA-N -1 1 320.338 1.139 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N(C[C@H]1CCOC1)C1CC1 ZINC000773849445 697813143 /nfs/dbraw/zinc/81/31/43/697813143.db2.gz OVXNOQGVKJFWER-SNVBAGLBSA-N -1 1 318.402 1.659 20 0 DDADMM CN(Cc1cnccn1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773977461 697830030 /nfs/dbraw/zinc/83/00/30/697830030.db2.gz GGRVIMTXONRVRT-UHFFFAOYSA-N -1 1 300.347 1.080 20 0 DDADMM C[C@H](CN(C)C(=O)C(F)(F)c1ccc(F)cc1)c1nn[n-]n1 ZINC000774001689 697832648 /nfs/dbraw/zinc/83/26/48/697832648.db2.gz SALJWVFUQJADKJ-MRVPVSSYSA-N -1 1 313.283 1.693 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@@H]2CSCCS2)[n-]1 ZINC000774294936 697864983 /nfs/dbraw/zinc/86/49/83/697864983.db2.gz YOFQIBUFNVTUGG-MRVPVSSYSA-N -1 1 315.420 1.764 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@@H]2CSCCS2)n1 ZINC000774294936 697864986 /nfs/dbraw/zinc/86/49/86/697864986.db2.gz YOFQIBUFNVTUGG-MRVPVSSYSA-N -1 1 315.420 1.764 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)C[C@@H]2CCOC2)co1 ZINC000774751855 697923319 /nfs/dbraw/zinc/92/33/19/697923319.db2.gz SJKZRVXPQWIQIV-ZJUUUORDSA-N -1 1 317.363 1.160 20 0 DDADMM Cc1ccc(OCC(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1 ZINC000776564191 698117860 /nfs/dbraw/zinc/11/78/60/698117860.db2.gz NDOVOPJQWOBEKM-GFCCVEGCSA-N -1 1 319.390 1.204 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987772901 698225358 /nfs/dbraw/zinc/22/53/58/698225358.db2.gz BLQLWNNURPJDPW-STQMWFEESA-N -1 1 319.405 1.754 20 0 DDADMM O=C(O[C@@H]1CCOC1=O)c1ccc(Br)c([O-])c1 ZINC000778828675 698373273 /nfs/dbraw/zinc/37/32/73/698373273.db2.gz IYWBBZFDGXNLPR-SECBINFHSA-N -1 1 301.092 1.627 20 0 DDADMM C[C@@H]1CO[C@@H](c2ccccc2)[C@@H](C)N1Cc1nc(=O)n(C)[n-]1 ZINC000779221888 698403170 /nfs/dbraw/zinc/40/31/70/698403170.db2.gz DBQQUNKRRMUJOL-LALPHHSUSA-N -1 1 302.378 1.459 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1cc(N2CCCC2=O)ccc1F ZINC000779372463 698418366 /nfs/dbraw/zinc/41/83/66/698418366.db2.gz SSYYKYIOYXPEKP-UHFFFAOYSA-N -1 1 320.324 1.013 20 0 DDADMM CCC(N[C@H](C)CC)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000255680481 698422406 /nfs/dbraw/zinc/42/24/06/698422406.db2.gz AZNYOAIUBUFREN-PJSNMCHCSA-N -1 1 311.451 1.938 20 0 DDADMM C[C@H]1C[C@H](NCc2ccon2)CN1C(=O)c1ncccc1[O-] ZINC000988681958 698435032 /nfs/dbraw/zinc/43/50/32/698435032.db2.gz ACVJQFKUMGVLEA-JQWIXIFHSA-N -1 1 302.334 1.168 20 0 DDADMM O=C([O-])[C@H](NC(=O)Cc1[nH]nc2ccccc21)[C@@H]1CCCOC1 ZINC000262704276 698478330 /nfs/dbraw/zinc/47/83/30/698478330.db2.gz BHOPXVQTDOFNAZ-MEBBXXQBSA-N -1 1 317.345 1.101 20 0 DDADMM CC(C)C[C@@H](CNc1cc(-n2cccn2)nc(N)n1)C(=O)[O-] ZINC000263043514 698489031 /nfs/dbraw/zinc/48/90/31/698489031.db2.gz JKDMUHLUENXOHV-JTQLQIEISA-N -1 1 304.354 1.403 20 0 DDADMM CCCC[C@@H](NC(=O)c1n[nH]nc1-c1ccccc1)C(=O)[O-] ZINC000263399788 698504708 /nfs/dbraw/zinc/50/47/08/698504708.db2.gz GHOSVDHICZSPQM-LLVKDONJSA-N -1 1 302.334 1.845 20 0 DDADMM CCC[C@H](NC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1)C(=O)[O-] ZINC000780365130 698511818 /nfs/dbraw/zinc/51/18/18/698511818.db2.gz RXFLPGUOMPXZOK-AVGNSLFASA-N -1 1 315.414 1.038 20 0 DDADMM CC(C)[C@H](NC(=O)NCc1n[nH]c(-c2ccccc2)n1)C(=O)[O-] ZINC000780505935 698525884 /nfs/dbraw/zinc/52/58/84/698525884.db2.gz BWRMFFAEDGCBAB-LBPRGKRZSA-N -1 1 317.349 1.380 20 0 DDADMM CC(C)[C@H](NC(=O)NCc1nc(-c2ccccc2)n[nH]1)C(=O)[O-] ZINC000780505935 698525886 /nfs/dbraw/zinc/52/58/86/698525886.db2.gz BWRMFFAEDGCBAB-LBPRGKRZSA-N -1 1 317.349 1.380 20 0 DDADMM CC[C@@H](NC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1)C(=O)[O-] ZINC000780560875 698531854 /nfs/dbraw/zinc/53/18/54/698531854.db2.gz SDAQNGRIYMLYEP-SNVBAGLBSA-N -1 1 321.312 1.273 20 0 DDADMM CC[C@@H](NC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1)C(=O)[O-] ZINC000780560875 698531855 /nfs/dbraw/zinc/53/18/55/698531855.db2.gz SDAQNGRIYMLYEP-SNVBAGLBSA-N -1 1 321.312 1.273 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3CCC[C@@H]3C(=O)[O-])[nH]c2c1 ZINC000780621336 698539652 /nfs/dbraw/zinc/53/96/52/698539652.db2.gz UNYOYYFVXHSQMY-GFCCVEGCSA-N -1 1 302.334 1.630 20 0 DDADMM COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)c1c([O-])cccc1Cl ZINC000781451663 698621295 /nfs/dbraw/zinc/62/12/95/698621295.db2.gz OEQUIQLYYQUIOC-PWSUYJOCSA-N -1 1 313.737 1.184 20 0 DDADMM Cc1c(F)ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1N ZINC000781799372 698650303 /nfs/dbraw/zinc/65/03/03/698650303.db2.gz CWSRBGJLMFYNPX-UHFFFAOYSA-N -1 1 322.258 1.942 20 0 DDADMM CCOC(=O)[C@H](CO)[N-]C(=O)C(F)(F)c1cccc(Cl)c1 ZINC000782761382 698766787 /nfs/dbraw/zinc/76/67/87/698766787.db2.gz UCXSGBQPGNOFRG-JTQLQIEISA-N -1 1 321.707 1.472 20 0 DDADMM CC(C)C(C)(C)C(=O)OCCOCC[N-]C(=O)C(F)(F)F ZINC000784540635 698946424 /nfs/dbraw/zinc/94/64/24/698946424.db2.gz KWUFZAZKIMCQNE-UHFFFAOYSA-N -1 1 313.316 1.907 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)[C@@H]1CCO[C@@H]1C)C(C)(C)C ZINC000785505996 699080704 /nfs/dbraw/zinc/08/07/04/699080704.db2.gz OMIBLRJJYBHRDN-GMTAPVOTSA-N -1 1 307.412 1.061 20 0 DDADMM Cn1ccnc1[C@@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000436638137 699166564 /nfs/dbraw/zinc/16/65/64/699166564.db2.gz ZXVVICPBSYBZLA-CYBMUJFWSA-N -1 1 313.357 1.715 20 0 DDADMM O=C(NC1CN(C(=O)C(F)=C2CCCC2)C1)c1ncccc1[O-] ZINC000990970069 699195526 /nfs/dbraw/zinc/19/55/26/699195526.db2.gz UAVBMSLUVWFRBN-UHFFFAOYSA-N -1 1 319.336 1.525 20 0 DDADMM O=C(NC1CN(C(=O)c2ccc(Cl)[nH]2)C1)c1ncccc1[O-] ZINC000990971885 699196467 /nfs/dbraw/zinc/19/64/67/699196467.db2.gz KDXXHEBNDOXJLI-UHFFFAOYSA-N -1 1 320.736 1.023 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C[C@H]1CC[C@@H](C(F)(F)F)O1 ZINC000721469155 699314403 /nfs/dbraw/zinc/31/44/03/699314403.db2.gz WNLLEEKCUKMPSA-BBBLOLIVSA-N -1 1 313.341 1.988 20 0 DDADMM Cc1ccc(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1O ZINC000790061775 699439190 /nfs/dbraw/zinc/43/91/90/699439190.db2.gz FKXXKJBDARDANJ-NSHDSACASA-N -1 1 319.390 1.073 20 0 DDADMM CC(C)(C)c1cc(CS(=O)(=O)[N-]CC2(C)OCCO2)no1 ZINC000790248016 699449244 /nfs/dbraw/zinc/44/92/44/699449244.db2.gz DUKFLRPMXPBJQN-UHFFFAOYSA-N -1 1 318.395 1.155 20 0 DDADMM COCCc1noc(COC(=O)c2c([O-])cc(F)cc2F)n1 ZINC000728999976 699463000 /nfs/dbraw/zinc/46/30/00/699463000.db2.gz HXNDXUYZZFJZDR-UHFFFAOYSA-N -1 1 314.244 1.599 20 0 DDADMM CCOC(=O)[C@H](C)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000731034542 699521462 /nfs/dbraw/zinc/52/14/62/699521462.db2.gz UDGPCSKLDYHUHZ-ZETCQYMHSA-N -1 1 323.317 1.203 20 0 DDADMM O=C(Nc1cccc(CN2CCOC2=O)c1)c1cncc([O-])c1 ZINC000732272625 699552773 /nfs/dbraw/zinc/55/27/73/699552773.db2.gz YXEPFZYKMKUHIX-UHFFFAOYSA-N -1 1 313.313 1.992 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)c1cncc(O)c1 ZINC000732393941 699558784 /nfs/dbraw/zinc/55/87/84/699558784.db2.gz FDIKWAUKHHDECP-UHFFFAOYSA-N -1 1 313.265 1.956 20 0 DDADMM O=C(OCCCN1CCOC1=O)c1c([O-])cc(F)cc1F ZINC000733469609 699598380 /nfs/dbraw/zinc/59/83/80/699598380.db2.gz BCHQZSXYKLOVMC-UHFFFAOYSA-N -1 1 301.245 1.670 20 0 DDADMM CC[C@@H](C)CC(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000791496718 699638042 /nfs/dbraw/zinc/63/80/42/699638042.db2.gz FDUWFRSPLIJTMB-LLVKDONJSA-N -1 1 307.346 1.931 20 0 DDADMM O=Cc1ccc(OCc2occc2C(=O)Nc2nnn[n-]2)cc1 ZINC000736156614 699719565 /nfs/dbraw/zinc/71/95/65/699719565.db2.gz MVGHEEVGRWFZNL-UHFFFAOYSA-N -1 1 313.273 1.437 20 0 DDADMM O=Cc1ccc(OCc2occc2C(=O)Nc2nn[n-]n2)cc1 ZINC000736156614 699719566 /nfs/dbraw/zinc/71/95/66/699719566.db2.gz MVGHEEVGRWFZNL-UHFFFAOYSA-N -1 1 313.273 1.437 20 0 DDADMM C[C@@H]1COCCN1CCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736292765 699722733 /nfs/dbraw/zinc/72/27/33/699722733.db2.gz LUIXVLBCJJGOOZ-SECBINFHSA-N -1 1 323.788 1.048 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)Cc1ccccc1)NCC(F)(F)F ZINC000793104231 699733238 /nfs/dbraw/zinc/73/32/38/699733238.db2.gz NBFOYWHWACSNFL-UHFFFAOYSA-N -1 1 324.249 1.659 20 0 DDADMM CCCc1ncc(C(=O)N2CCCN(CC(=O)[O-])CC2)s1 ZINC000737832496 699745986 /nfs/dbraw/zinc/74/59/86/699745986.db2.gz RPIGBPSNMOYHRU-UHFFFAOYSA-N -1 1 311.407 1.328 20 0 DDADMM Cc1ccc(C[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)s1 ZINC000737946001 699748454 /nfs/dbraw/zinc/74/84/54/699748454.db2.gz ZNQOIOWCFZJUAK-JTQLQIEISA-N -1 1 321.402 1.044 20 0 DDADMM COC/C=C\C(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000793466998 699759085 /nfs/dbraw/zinc/75/90/85/699759085.db2.gz HCABFPXRDJMJBP-TZGMSPROSA-N -1 1 308.300 1.106 20 0 DDADMM CCOc1cc(C(=O)N[C@]2(C)CCOC2=O)cc(Cl)c1[O-] ZINC000794087985 699793606 /nfs/dbraw/zinc/79/36/06/699793606.db2.gz OXEAOROWXCBOHT-CQSZACIVSA-N -1 1 313.737 1.880 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)[C@@H]2CCc3ccccc32)n1 ZINC000795469462 699870444 /nfs/dbraw/zinc/87/04/44/699870444.db2.gz NIRJCBMWWCFLBE-GFCCVEGCSA-N -1 1 306.343 1.658 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCn2c(C)csc2=O)[n-]1 ZINC000796348325 699928455 /nfs/dbraw/zinc/92/84/55/699928455.db2.gz XUWFSMOAJNPIPM-UHFFFAOYSA-N -1 1 310.331 1.190 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2c(C)nn(C)c2Cl)[n-]1 ZINC000796365585 699929636 /nfs/dbraw/zinc/92/96/36/699929636.db2.gz LFKCRJVBDKHGPM-UHFFFAOYSA-N -1 1 311.725 1.854 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2ccc(C(=O)OC)cn2)[n-]1 ZINC000796370168 699930023 /nfs/dbraw/zinc/93/00/23/699930023.db2.gz VRSUGHBPGMCGBK-UHFFFAOYSA-N -1 1 318.285 1.340 20 0 DDADMM O=C(OCCC1OCCO1)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801325680 700297346 /nfs/dbraw/zinc/29/73/46/700297346.db2.gz HYHQGYNUKJJTLW-UHFFFAOYSA-N -1 1 322.292 1.637 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)O[C@H]2CCOC2=O)n1 ZINC000801417769 700305489 /nfs/dbraw/zinc/30/54/89/700305489.db2.gz GKJMTEBPDPONBV-ZDUSSCGKSA-N -1 1 316.313 1.613 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC2(COC(=O)N2)CC1 ZINC000753171388 700412516 /nfs/dbraw/zinc/41/25/16/700412516.db2.gz POMNZBXWPDMDHI-UHFFFAOYSA-N -1 1 310.737 1.760 20 0 DDADMM C=COCCOC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000755779182 700583839 /nfs/dbraw/zinc/58/38/39/700583839.db2.gz ZZPUTQFXROCBFE-UHFFFAOYSA-N -1 1 317.363 1.673 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H](C)C(F)(F)F)co1 ZINC000756404690 700619889 /nfs/dbraw/zinc/61/98/89/700619889.db2.gz NRLCGTFPIRIZPF-LURJTMIESA-N -1 1 315.269 1.543 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC[C@H]2CCCC2=O)co1 ZINC000756404670 700619967 /nfs/dbraw/zinc/61/99/67/700619967.db2.gz MDJLAPVKSMKTFN-SECBINFHSA-N -1 1 315.347 1.104 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CC[C@@H](CO)CC1 ZINC000757085248 700656154 /nfs/dbraw/zinc/65/61/54/700656154.db2.gz LBPCFKZGBFXYAP-TXEJJXNPSA-N -1 1 307.394 1.895 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)O1 ZINC000757132712 700658756 /nfs/dbraw/zinc/65/87/56/700658756.db2.gz LEQCLBFDZZLAFB-QWHCGFSZSA-N -1 1 305.330 1.444 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CC1)c1ccc(Br)o1 ZINC000759069953 700735938 /nfs/dbraw/zinc/73/59/38/700735938.db2.gz JNRHAZKUIWGNPY-QMMMGPOBSA-N -1 1 324.196 1.481 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)[N-]OCC(F)F)CC2=O)cc1F ZINC000759336003 700747058 /nfs/dbraw/zinc/74/70/58/700747058.db2.gz GFOOSRIDISHDEG-VIFPVBQESA-N -1 1 316.279 1.800 20 0 DDADMM Cc1c(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)cnn1C ZINC000759763914 700770844 /nfs/dbraw/zinc/77/08/44/700770844.db2.gz UOHUARAQRYYPGR-UHFFFAOYSA-N -1 1 303.366 1.586 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCC12CCCCC2 ZINC000760081729 700790769 /nfs/dbraw/zinc/79/07/69/700790769.db2.gz NIGVRDUOPXTUME-UHFFFAOYSA-N -1 1 305.378 1.252 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCCS2)o1 ZINC000761237237 700852276 /nfs/dbraw/zinc/85/22/76/700852276.db2.gz VTLFVJAFTRRECL-MRVPVSSYSA-N -1 1 305.377 1.240 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CC(C)(C)OC1=O ZINC000762038416 700877319 /nfs/dbraw/zinc/87/73/19/700877319.db2.gz NXVIYZODZKBENX-SECBINFHSA-N -1 1 307.350 1.438 20 0 DDADMM Cc1cc([C@@](C)(O)CNC(=O)c2ncc(C)cc2[O-])c(C)o1 ZINC000763250500 700931599 /nfs/dbraw/zinc/93/15/99/700931599.db2.gz HUOOSTIQVGNGNT-INIZCTEOSA-N -1 1 304.346 1.943 20 0 DDADMM Cc1nonc1CNC(=O)c1ccc(Br)c([O-])c1 ZINC000764283711 700966096 /nfs/dbraw/zinc/96/60/96/700966096.db2.gz USYGPUQLOLHJFJ-UHFFFAOYSA-N -1 1 312.123 1.776 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Cl)cc(Cl)c2N)nc1=O ZINC000765390825 701009487 /nfs/dbraw/zinc/00/94/87/701009487.db2.gz AAYJNDAQEDAKDM-UHFFFAOYSA-N -1 1 317.132 1.354 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(F)c(Cl)cc2Cl)nc1=O ZINC000765418717 701011154 /nfs/dbraw/zinc/01/11/54/701011154.db2.gz KGCQHUYHABKDHH-UHFFFAOYSA-N -1 1 320.107 1.911 20 0 DDADMM Cn1[n-]c(COC(=O)C2(c3cccc(Cl)c3)CC2)nc1=O ZINC000765441959 701013093 /nfs/dbraw/zinc/01/30/93/701013093.db2.gz CVSCWTVALUARPA-UHFFFAOYSA-N -1 1 307.737 1.537 20 0 DDADMM COc1ccccc1C[C@H](C)CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765473508 701014635 /nfs/dbraw/zinc/01/46/35/701014635.db2.gz LFRNFFNBNNLYMW-NSHDSACASA-N -1 1 319.361 1.429 20 0 DDADMM CC(C)[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(F)cc1 ZINC000765496156 701016132 /nfs/dbraw/zinc/01/61/32/701016132.db2.gz KHJUETRSQPTJPK-CYBMUJFWSA-N -1 1 307.325 1.731 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCCCCCO ZINC000803289788 701099479 /nfs/dbraw/zinc/09/94/79/701099479.db2.gz SCSUAPQGFFNDAB-UHFFFAOYSA-N -1 1 307.393 1.439 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc([O-])c(F)c1)c1cccnc1 ZINC000767921441 701145302 /nfs/dbraw/zinc/14/53/02/701145302.db2.gz XQEICCZMGFJOHQ-CYBMUJFWSA-N -1 1 304.277 1.570 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(F)cc2C(=O)N(C)C)c1[O-] ZINC000768603725 701190568 /nfs/dbraw/zinc/19/05/68/701190568.db2.gz UINSJLKTNAVATJ-UHFFFAOYSA-N -1 1 306.297 1.517 20 0 DDADMM CN(C)C(=O)[C@H]1CSCN1C(=O)c1c([O-])cccc1Cl ZINC000769747459 701254641 /nfs/dbraw/zinc/25/46/41/701254641.db2.gz UEPKVFASLJWWGL-SECBINFHSA-N -1 1 314.794 1.649 20 0 DDADMM C/C=C/C(=O)Nc1cc(S(=O)(=O)N(CC)CC)ccc1[O-] ZINC000770946735 701301364 /nfs/dbraw/zinc/30/13/64/701301364.db2.gz OOZGIYQAUVMMLY-QPJJXVBHSA-N -1 1 312.391 1.937 20 0 DDADMM Cc1ccccc1[C@@H](C)NC(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805603028 701397348 /nfs/dbraw/zinc/39/73/48/701397348.db2.gz FICBBMHTDVEKGJ-MNOVXSKESA-N -1 1 302.334 1.536 20 0 DDADMM CCCOc1cccc(CNC(=O)COC(=O)c2cn[n-]n2)c1 ZINC000805602961 701397584 /nfs/dbraw/zinc/39/75/84/701397584.db2.gz BFNHKBJVZRDSRO-UHFFFAOYSA-N -1 1 318.333 1.067 20 0 DDADMM O=C(OCc1ccc(OCC(F)(F)F)nc1)c1cn[n-]n1 ZINC000805605993 701398319 /nfs/dbraw/zinc/39/83/19/701398319.db2.gz QYDNJYPROMFWPC-UHFFFAOYSA-N -1 1 302.212 1.498 20 0 DDADMM C[C@H]1COC(C)(C)CN1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806486962 701437512 /nfs/dbraw/zinc/43/75/12/701437512.db2.gz LQQBWBHPYGDVCT-JTQLQIEISA-N -1 1 303.366 1.373 20 0 DDADMM C[C@H]1CCN(C(=S)NC2CC2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000806595976 701442476 /nfs/dbraw/zinc/44/24/76/701442476.db2.gz RBOWYUFDUWGDRF-NRPADANISA-N -1 1 323.384 1.801 20 0 DDADMM O=C(NCc1nnc(-c2ccccc2)[nH]1)C(=O)c1ccc([O-])cc1 ZINC000806729460 701447269 /nfs/dbraw/zinc/44/72/69/701447269.db2.gz ZQNHFOQNCGHJRN-UHFFFAOYSA-N -1 1 322.324 1.676 20 0 DDADMM O=C(N[C@@H]1CCCCC12OCCO2)C(=O)c1ccc([O-])cc1 ZINC000807114079 701464727 /nfs/dbraw/zinc/46/47/27/701464727.db2.gz JHXWYGNBGPRMPO-CYBMUJFWSA-N -1 1 305.330 1.377 20 0 DDADMM CC(C)c1ccc(-n2cc([O-])c(C(=O)O[C@H]3CNOC3)n2)cc1 ZINC000808089347 701496922 /nfs/dbraw/zinc/49/69/22/701496922.db2.gz LSEKJBFITRJVPB-ZDUSSCGKSA-N -1 1 317.345 1.762 20 0 DDADMM CN(C)c1cc(CN2CCC[C@H](c3n[nH]c(=O)[n-]3)C2)ccn1 ZINC000808777289 701536202 /nfs/dbraw/zinc/53/62/02/701536202.db2.gz JHARIWRIPUHBRH-LBPRGKRZSA-N -1 1 302.382 1.351 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C2CCC2)[C@@H]2CCOC2)sn1 ZINC000867733749 701720137 /nfs/dbraw/zinc/72/01/37/701720137.db2.gz OLDKIZRYOAXMJA-YPMHNXCESA-N -1 1 316.448 1.935 20 0 DDADMM CC1(C)C(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1(C)C ZINC000830958752 706611017 /nfs/dbraw/zinc/61/10/17/706611017.db2.gz DMSMYUZBEKKRPG-UHFFFAOYSA-N -1 1 305.378 1.553 20 0 DDADMM CCn1ncn([N-]C(=O)N[C@@H](CC(C)(C)C)C(F)(F)F)c1=O ZINC000879193419 706611789 /nfs/dbraw/zinc/61/17/89/706611789.db2.gz TYWAETQZZWMKNT-QMMMGPOBSA-N -1 1 323.319 1.685 20 0 DDADMM CC(F)(F)C[N-]S(=O)(=O)CCC(=O)OCc1ccccc1 ZINC000815066652 701791583 /nfs/dbraw/zinc/79/15/83/701791583.db2.gz AIEGWNSVRBMUDP-UHFFFAOYSA-N -1 1 321.345 1.695 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cc(F)cc1F)c1ccnn1C ZINC000867855316 701795880 /nfs/dbraw/zinc/79/58/80/701795880.db2.gz JRQHTIQAJWKDKK-SSDOTTSWSA-N -1 1 319.308 1.877 20 0 DDADMM Nc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc(Cl)n1 ZINC000816524643 702105987 /nfs/dbraw/zinc/10/59/87/702105987.db2.gz MZJRVFJYNSSDIQ-UHFFFAOYSA-N -1 1 323.740 1.426 20 0 DDADMM COC(OC)[C@@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000816615260 702126538 /nfs/dbraw/zinc/12/65/38/702126538.db2.gz RLFORORORIEQCH-SECBINFHSA-N -1 1 305.334 1.381 20 0 DDADMM COC(OC)[C@@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000816615260 702126542 /nfs/dbraw/zinc/12/65/42/702126542.db2.gz RLFORORORIEQCH-SECBINFHSA-N -1 1 305.334 1.381 20 0 DDADMM C[C@@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CCC1 ZINC000868439983 702128585 /nfs/dbraw/zinc/12/85/85/702128585.db2.gz ASTRTRQRBGZZAI-SECBINFHSA-N -1 1 306.366 1.243 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000812288539 702136851 /nfs/dbraw/zinc/13/68/51/702136851.db2.gz NMGMLSRYMWEBIK-UHFFFAOYSA-N -1 1 307.350 1.479 20 0 DDADMM CC1(C)CC[C@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868467034 702144804 /nfs/dbraw/zinc/14/48/04/702144804.db2.gz HOZXGCCYGPJYOR-JTQLQIEISA-N -1 1 320.393 1.633 20 0 DDADMM O=C(/C=C/c1ccccc1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162089 706647025 /nfs/dbraw/zinc/64/70/25/706647025.db2.gz ASQWLLDDVZUIDC-VOTSOKGWSA-N -1 1 312.291 1.837 20 0 DDADMM CC(C)=CCCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868533594 702182532 /nfs/dbraw/zinc/18/25/32/702182532.db2.gz GHEMKWQYDJEALX-UHFFFAOYSA-N -1 1 306.366 1.410 20 0 DDADMM COc1cccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1 ZINC000831162674 706647355 /nfs/dbraw/zinc/64/73/55/706647355.db2.gz WCQSADXMEHTPQO-UHFFFAOYSA-N -1 1 316.279 1.446 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCc2ncccc2C1 ZINC000816785401 702191452 /nfs/dbraw/zinc/19/14/52/702191452.db2.gz JRSBNXNDYAUJDF-UHFFFAOYSA-N -1 1 311.370 1.612 20 0 DDADMM [O-]c1cc2c(cc1-c1nc(-c3ccnc4ccnn43)no1)OCO2 ZINC000813347738 702334056 /nfs/dbraw/zinc/33/40/56/702334056.db2.gz SJXOYCYPRQQHDR-UHFFFAOYSA-N -1 1 323.268 1.881 20 0 DDADMM CCOC(=O)NCCCC(=O)Nc1ncc(C(=O)OCC)[n-]1 ZINC000841221419 702393668 /nfs/dbraw/zinc/39/36/68/702393668.db2.gz CLGQZJDBEIITDO-UHFFFAOYSA-N -1 1 312.326 1.051 20 0 DDADMM CCOC(=O)NCCCC(=O)Nc1nc(C(=O)OCC)c[n-]1 ZINC000841221419 702393676 /nfs/dbraw/zinc/39/36/76/702393676.db2.gz CLGQZJDBEIITDO-UHFFFAOYSA-N -1 1 312.326 1.051 20 0 DDADMM CCCc1nsc(NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC000866289029 706666123 /nfs/dbraw/zinc/66/61/23/706666123.db2.gz SUQRUCLOHIKPHP-UHFFFAOYSA-N -1 1 307.379 1.671 20 0 DDADMM CC[C@@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CCCOC1 ZINC000879414454 706673491 /nfs/dbraw/zinc/67/34/91/706673491.db2.gz KXYPKWFWWUCIAS-DGCLKSJQSA-N -1 1 317.393 1.669 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000841535706 702486276 /nfs/dbraw/zinc/48/62/76/702486276.db2.gz UQLIMMQIUCXLCI-GFCCVEGCSA-N -1 1 309.343 1.663 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)c1cc(C)c(F)cc1F ZINC000841545791 702492027 /nfs/dbraw/zinc/49/20/27/702492027.db2.gz VYGJBFGESIHIOP-VIFPVBQESA-N -1 1 311.281 1.063 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H]1C[C@@H]2COC[C@@H]2O1 ZINC000831272780 706675599 /nfs/dbraw/zinc/67/55/99/706675599.db2.gz FAAWEFNPQQYNKE-UTUOFQBUSA-N -1 1 321.377 1.148 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)c1ccc(O)cc1 ZINC000869314750 702565715 /nfs/dbraw/zinc/56/57/15/702565715.db2.gz WNBCHTHCKXKDIX-UHFFFAOYSA-N -1 1 319.235 1.187 20 0 DDADMM CCC(=O)[C@@H](C)OC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000837445029 707650194 /nfs/dbraw/zinc/65/01/94/707650194.db2.gz DMTFJYAWTQEVIO-SSDOTTSWSA-N -1 1 305.377 1.644 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H](CC(C)C)OC)co1 ZINC000842084206 702668974 /nfs/dbraw/zinc/66/89/74/702668974.db2.gz BIUKSNHXWKVCFK-NSHDSACASA-N -1 1 319.379 1.406 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)N=S(C)(C)=O)c1ccccc1 ZINC000866418262 706694061 /nfs/dbraw/zinc/69/40/61/706694061.db2.gz HHIHZTMNIZGNAN-LLVKDONJSA-N -1 1 304.437 1.742 20 0 DDADMM O=S(=O)([N-]c1cccnc1F)c1cnn([C@H]2CCOC2)c1 ZINC000842824822 702780488 /nfs/dbraw/zinc/78/04/88/702780488.db2.gz DSIHIYSZZURTSZ-VIFPVBQESA-N -1 1 312.326 1.179 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)[O-])C[N@H+]1CCOc1ccccc1C(=O)[O-] ZINC000842934674 702792076 /nfs/dbraw/zinc/79/20/76/702792076.db2.gz UAVURFAFQFYPTM-NWDGAFQWSA-N -1 1 307.346 1.949 20 0 DDADMM CC(C)OCCCC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843017109 702804217 /nfs/dbraw/zinc/80/42/17/702804217.db2.gz YWAHXFJEYIDPIK-UHFFFAOYSA-N -1 1 320.455 1.122 20 0 DDADMM C[C@H]1CCC[C@@H](C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843018317 702804330 /nfs/dbraw/zinc/80/43/30/702804330.db2.gz MTLGVGNKXHWHMU-QWHCGFSZSA-N -1 1 316.467 1.743 20 0 DDADMM CC(=O)N1CCC[C@@H](c2noc(-c3ccc(N)cc3[O-])n2)C1 ZINC000843190815 702837920 /nfs/dbraw/zinc/83/79/20/702837920.db2.gz SGNXIJBSILRZJF-SNVBAGLBSA-N -1 1 302.334 1.750 20 0 DDADMM CC(C)OC(=O)[C@H](C)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866887834 706835631 /nfs/dbraw/zinc/83/56/31/706835631.db2.gz YBJLWYDVSMFNQV-MRVPVSSYSA-N -1 1 322.333 1.226 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-]CC1CC1)c1ccccc1F ZINC000866450144 706701528 /nfs/dbraw/zinc/70/15/28/706701528.db2.gz CXHWKLRGQSWFPO-GOSISDBHSA-N -1 1 306.384 1.527 20 0 DDADMM Cn1nc(C2CC2)nc1CSCCC[N-]C(=O)C(F)(F)F ZINC000843305228 702856861 /nfs/dbraw/zinc/85/68/61/702856861.db2.gz VDZBFTHGTWVWMV-UHFFFAOYSA-N -1 1 322.356 1.994 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@H]1CCNC(=O)CC1 ZINC000843765654 702921106 /nfs/dbraw/zinc/92/11/06/702921106.db2.gz GCIHSYOBUORPNF-LLVKDONJSA-N -1 1 314.345 1.637 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]CCSC(F)(F)F)nn1 ZINC000866511058 706717521 /nfs/dbraw/zinc/71/75/21/706717521.db2.gz AHIZVEZKNLCGCJ-UHFFFAOYSA-N -1 1 301.315 1.316 20 0 DDADMM CC(C)O[C@@H]1CCCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000844649955 703051353 /nfs/dbraw/zinc/05/13/53/703051353.db2.gz FMWMPYQTOGRHGQ-GFCCVEGCSA-N -1 1 317.393 1.763 20 0 DDADMM C[C@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccc(Cl)cc1 ZINC000845462070 703159936 /nfs/dbraw/zinc/15/99/36/703159936.db2.gz GPPCJIVXMIEJSB-JOYOIKCWSA-N -1 1 321.768 1.557 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)Cc1ccc(Cl)nc1 ZINC000845975153 703222149 /nfs/dbraw/zinc/22/21/49/703222149.db2.gz GPDIDXAAGWZCQG-VIFPVBQESA-N -1 1 324.761 1.056 20 0 DDADMM Cc1nn(CC2CC2)c(C)c1CC(=O)[N-]O[C@H](CO)C(C)C ZINC000846242034 703255891 /nfs/dbraw/zinc/25/58/91/703255891.db2.gz MPDAINYBUFQFOB-OAHLLOKOSA-N -1 1 309.410 1.517 20 0 DDADMM CC[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866591562 706738760 /nfs/dbraw/zinc/73/87/60/706738760.db2.gz HAVSZJPANNVTCJ-NKWVEPMBSA-N -1 1 310.217 1.885 20 0 DDADMM CC(=CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1cnccn1 ZINC000847093220 703376646 /nfs/dbraw/zinc/37/66/46/703376646.db2.gz WCWVLUVHXYDLSC-BRNRAETOSA-N -1 1 315.333 1.375 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@]2(C[C@@H]2C(=O)Nc2nnn[n-]2)C1 ZINC000847506211 703428738 /nfs/dbraw/zinc/42/87/38/703428738.db2.gz YTUUEGLPCVABPX-YMTOWFKASA-N -1 1 322.369 1.175 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@]2(C[C@@H]2C(=O)Nc2nn[n-]n2)C1 ZINC000847506211 703428740 /nfs/dbraw/zinc/42/87/40/703428740.db2.gz YTUUEGLPCVABPX-YMTOWFKASA-N -1 1 322.369 1.175 20 0 DDADMM COC(OC)[C@@H](NC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000848028078 703497115 /nfs/dbraw/zinc/49/71/15/703497115.db2.gz GDUGHYQDEVXOMN-AWEZNQCLSA-N -1 1 302.330 1.877 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@]2(C)CCC[C@@H]2CC)n[n-]1 ZINC000879654454 706750862 /nfs/dbraw/zinc/75/08/62/706750862.db2.gz IWYSWYZFDAKFOJ-BONVTDFDSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@]2(C)CCC[C@@H]2CC)n1 ZINC000879654454 706750865 /nfs/dbraw/zinc/75/08/65/706750865.db2.gz IWYSWYZFDAKFOJ-BONVTDFDSA-N -1 1 308.382 1.814 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C[C@@H]1CCOC1 ZINC000848340572 703540727 /nfs/dbraw/zinc/54/07/27/703540727.db2.gz JGMICEBKLFHCRA-OUAUKWLOSA-N -1 1 322.327 1.471 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C1CCOCC1 ZINC000848343202 703541247 /nfs/dbraw/zinc/54/12/47/703541247.db2.gz SGPBYTFSEIQIAC-MWLCHTKSSA-N -1 1 322.327 1.471 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)N=S2(=O)CCCC2)CCC1 ZINC000866960279 706856707 /nfs/dbraw/zinc/85/67/07/706856707.db2.gz MKGDWEKDICQCGJ-UHFFFAOYSA-N -1 1 324.468 1.289 20 0 DDADMM O=C([N-]CCn1cc(CC2(O)CCCCC2)nn1)C(F)(F)F ZINC000849141198 703619025 /nfs/dbraw/zinc/61/90/25/703619025.db2.gz LIMJMXKXCOYCBG-UHFFFAOYSA-N -1 1 320.315 1.194 20 0 DDADMM O=C([N-]OCC1CCC1)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000850718146 703746407 /nfs/dbraw/zinc/74/64/07/703746407.db2.gz JECBKXKJUMONNW-UHFFFAOYSA-N -1 1 315.333 1.054 20 0 DDADMM Cc1nc(C(C)(C)[N-]S(=O)(=O)c2ccc(F)nc2F)no1 ZINC000866697004 706767749 /nfs/dbraw/zinc/76/77/49/706767749.db2.gz XQGFWHJNTFLEIU-UHFFFAOYSA-N -1 1 318.305 1.265 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)OCC(=O)N1CCCC1=O ZINC000869738190 703840076 /nfs/dbraw/zinc/84/00/76/703840076.db2.gz AXWDHUUIFMHGIN-UHFFFAOYSA-N -1 1 311.721 1.280 20 0 DDADMM O=S(=O)([N-][C@@H]1CCn2ccnc21)c1ccc(F)c(F)c1F ZINC000831745218 706769001 /nfs/dbraw/zinc/76/90/01/706769001.db2.gz VZLFSOMZYIYMGC-MRVPVSSYSA-N -1 1 317.292 1.724 20 0 DDADMM CCOC1CC2(C[C@@H]2C(=O)OCCC[N-]C(=O)C(F)(F)F)C1 ZINC000869929825 703878523 /nfs/dbraw/zinc/87/85/23/703878523.db2.gz IFZOGGNYTIWKHS-RUETXSTFSA-N -1 1 323.311 1.803 20 0 DDADMM COc1nnc([N-]C(=O)c2cnc(C3OCCO3)s2)s1 ZINC000870178642 703943992 /nfs/dbraw/zinc/94/39/92/703943992.db2.gz NZXNJPZEQRRFMZ-UHFFFAOYSA-N -1 1 314.348 1.301 20 0 DDADMM C[C@@H]1CCN(C(=O)NCC2(C)CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852167809 703951920 /nfs/dbraw/zinc/95/19/20/703951920.db2.gz CLMJXNOCQSQKHC-ZJUUUORDSA-N -1 1 321.343 1.885 20 0 DDADMM C[C@@H](C(=O)OC(C)(C)C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879762970 706783918 /nfs/dbraw/zinc/78/39/18/706783918.db2.gz BDJSUMHUPNEVBA-ZANVPECISA-N -1 1 324.343 1.860 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(CC(=O)NCC2CCC2)C1 ZINC000879764893 706785050 /nfs/dbraw/zinc/78/50/50/706785050.db2.gz JWIYFZNAKPIYKM-CYBMUJFWSA-N -1 1 321.343 1.046 20 0 DDADMM COC(=O)/C(C)=C/CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879765518 706785975 /nfs/dbraw/zinc/78/59/75/706785975.db2.gz OSNYPNFXVLQEGY-OXFRDRNISA-N -1 1 308.300 1.249 20 0 DDADMM Cc1nn(C)cc1CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852756474 704116075 /nfs/dbraw/zinc/11/60/75/704116075.db2.gz KGDZPGHCBHJILE-SKDRFNHKSA-N -1 1 318.343 1.617 20 0 DDADMM O=C([O-])c1ccc(CN2CCN(C[C@@H]3CCCO3)CC2)cc1 ZINC000819666822 704156118 /nfs/dbraw/zinc/15/61/18/704156118.db2.gz LDWDPJZWYKOHAH-INIZCTEOSA-N -1 1 304.390 1.681 20 0 DDADMM O=C(c1cnc(Cl)cn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000819970063 704194625 /nfs/dbraw/zinc/19/46/25/704194625.db2.gz JOTNGGKFGSTARA-SSDOTTSWSA-N -1 1 309.713 1.238 20 0 DDADMM O=C(NC1CC2(CSC2)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000871506377 704305510 /nfs/dbraw/zinc/30/55/10/704305510.db2.gz IULPJLMQBYKBGG-UHFFFAOYSA-N -1 1 306.416 1.643 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2CCN(C(C)(C)C)C2=O)[n-]1 ZINC000820685635 704319667 /nfs/dbraw/zinc/31/96/67/704319667.db2.gz GXQLAFMQNSFDFH-NSHDSACASA-N -1 1 308.334 1.358 20 0 DDADMM O=S(=O)([N-]CCc1cccnc1)c1c[nH]nc1C(F)(F)F ZINC000820783192 704330356 /nfs/dbraw/zinc/33/03/56/704330356.db2.gz OGGVDEFUFDCWTI-UHFFFAOYSA-N -1 1 320.296 1.345 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cccc(NC(C)=O)c1 ZINC000821041176 704362891 /nfs/dbraw/zinc/36/28/91/704362891.db2.gz APPXOUXIRDCTHJ-UHFFFAOYSA-N -1 1 322.361 1.577 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1ccc(F)c(Cl)c1 ZINC000821118659 704373360 /nfs/dbraw/zinc/37/33/60/704373360.db2.gz DRBMBOURFATLGK-UHFFFAOYSA-N -1 1 300.764 1.863 20 0 DDADMM O=S(=O)([N-][C@@H]1CC=CCC1)c1nc[nH]c1Br ZINC000866813991 706811839 /nfs/dbraw/zinc/81/18/39/706811839.db2.gz IHUYGOXKGGPNKK-SSDOTTSWSA-N -1 1 306.185 1.559 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H]2CCOC[C@@H]2C)c1 ZINC000821310035 704388656 /nfs/dbraw/zinc/38/86/56/704388656.db2.gz GKZYHMLXMYTODD-YLTSQODRSA-N -1 1 312.387 1.771 20 0 DDADMM O=C(/C=C/[C@H]1CCCO1)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000821424646 704407810 /nfs/dbraw/zinc/40/78/10/704407810.db2.gz CBQWOGATKRJFLO-MMQHEFTJSA-N -1 1 303.314 1.221 20 0 DDADMM COCC1(NC(=O)c2ccc3n[n-]c(=S)n3c2)CCCC1 ZINC000855217680 704473545 /nfs/dbraw/zinc/47/35/45/704473545.db2.gz DGVYWFRMRWXRFU-UHFFFAOYSA-N -1 1 306.391 1.707 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@H](CO)NC(=O)c2ccc([O-])c(F)c2)C1 ZINC000855351799 704480372 /nfs/dbraw/zinc/48/03/72/704480372.db2.gz FOZVHFKSHHLBAX-QKCSRTOESA-N -1 1 311.353 1.827 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1C=CCC1 ZINC000855424021 704485007 /nfs/dbraw/zinc/48/50/07/704485007.db2.gz ANBFUZNAIYUYPP-GFCCVEGCSA-N -1 1 300.362 1.819 20 0 DDADMM C[C@@H](C(=O)[N-]OCCN1CCCC1=O)c1ccc2c(c1)OCO2 ZINC000856043981 704511619 /nfs/dbraw/zinc/51/16/19/704511619.db2.gz PKCXLVRFFPNQIW-LLVKDONJSA-N -1 1 320.345 1.189 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@](C)(O)C2CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856415962 704528000 /nfs/dbraw/zinc/52/80/00/704528000.db2.gz LRGKMHGXGMRASW-DVYJOKAKSA-N -1 1 322.327 1.063 20 0 DDADMM COCC1(C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000856417135 704528040 /nfs/dbraw/zinc/52/80/40/704528040.db2.gz IBVRKVLVEGRJFL-ZJUUUORDSA-N -1 1 322.327 1.329 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@@H]1CO ZINC000857359943 704583776 /nfs/dbraw/zinc/58/37/76/704583776.db2.gz GGBUUOHFWTXJFK-MWLCHTKSSA-N -1 1 306.391 1.109 20 0 DDADMM CCOC(=O)C[C@H]1C[C@H](OC)CN1c1cc(Cl)[n-]c(=O)n1 ZINC000858488025 704717448 /nfs/dbraw/zinc/71/74/48/704717448.db2.gz AOYPKPQLPRBLAE-BDAKNGLRSA-N -1 1 315.757 1.383 20 0 DDADMM CN(Cc1cscc1Br)Cc1nc(=O)n(C)[n-]1 ZINC000880360266 706952549 /nfs/dbraw/zinc/95/25/49/706952549.db2.gz RVLPRPIGSXCABX-UHFFFAOYSA-N -1 1 317.212 1.564 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)[C@H]1CCN(C(=O)c2ccccc2)C1 ZINC000858988256 704780955 /nfs/dbraw/zinc/78/09/55/704780955.db2.gz ZWSBPUAKSOVSNW-GJZGRUSLSA-N -1 1 318.373 1.723 20 0 DDADMM CC1(C)C[C@H](S(=O)(=O)[N-][C@@H]2CCCCC23OCCO3)CO1 ZINC000859073160 704794043 /nfs/dbraw/zinc/79/40/43/704794043.db2.gz DUZMNSRLHGKPTJ-NWDGAFQWSA-N -1 1 319.423 1.159 20 0 DDADMM CSCCCCCCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000859277925 704845942 /nfs/dbraw/zinc/84/59/42/704845942.db2.gz FZYCMPCGUTWWSN-LLVKDONJSA-N -1 1 313.427 1.413 20 0 DDADMM CON(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000859402499 704891571 /nfs/dbraw/zinc/89/15/71/704891571.db2.gz FXOIZNYHZXHUKB-VIFPVBQESA-N -1 1 312.347 1.119 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2C[C@H](O)c3ccccc32)sn1 ZINC000867515668 707024815 /nfs/dbraw/zinc/02/48/15/707024815.db2.gz ZICJKJFGFZOWSC-NEPJUHHUSA-N -1 1 310.400 1.908 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)C1 ZINC000902322579 710716705 /nfs/dbraw/zinc/71/67/05/710716705.db2.gz LUSMUNVLSZONTE-SIVJFFJCSA-N -1 1 321.377 1.025 20 0 DDADMM C[C@H]1c2ccc(Cl)n2CCN1C(=O)CCCc1nn[n-]n1 ZINC000822973933 705098918 /nfs/dbraw/zinc/09/89/18/705098918.db2.gz SOZWZWXKNNHIPR-VIFPVBQESA-N -1 1 308.773 1.581 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC[C@@H]2CCSC2)o1 ZINC000867575594 707044265 /nfs/dbraw/zinc/04/42/65/707044265.db2.gz UVAKDVJOVZZHLM-SECBINFHSA-N -1 1 319.404 1.488 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1([C@H]2CCCCO2)CCOCC1 ZINC000823130584 705148737 /nfs/dbraw/zinc/14/87/37/705148737.db2.gz PAMHZYZGOIXUFA-LLVKDONJSA-N -1 1 309.366 1.728 20 0 DDADMM O=C(Cc1ccc2cnccc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000860350135 705162498 /nfs/dbraw/zinc/16/24/98/705162498.db2.gz WNRKPZOGQYNFBO-HNNXBMFYSA-N -1 1 322.372 1.697 20 0 DDADMM Cc1occc1CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875137563 705294368 /nfs/dbraw/zinc/29/43/68/705294368.db2.gz DZBSINBUTSVVSW-UHFFFAOYSA-N -1 1 319.283 1.408 20 0 DDADMM COC1(OC)CCN(C(=O)c2c([O-])cccc2Cl)C[C@H]1O ZINC000824069715 705368056 /nfs/dbraw/zinc/36/80/56/705368056.db2.gz IZTCHUJPHMZCCG-LLVKDONJSA-N -1 1 315.753 1.242 20 0 DDADMM COC1(OC)CCN(C(=O)c2c([O-])cccc2Cl)C[C@@H]1O ZINC000824069718 705368243 /nfs/dbraw/zinc/36/82/43/705368243.db2.gz IZTCHUJPHMZCCG-NSHDSACASA-N -1 1 315.753 1.242 20 0 DDADMM COc1nn(C)cc1NCc1ncc(Br)cc1[O-] ZINC000893962141 710902378 /nfs/dbraw/zinc/90/23/78/710902378.db2.gz UBSRLGUPDBDXNT-UHFFFAOYSA-N -1 1 313.155 1.904 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)n[n-]1 ZINC000824887123 705551721 /nfs/dbraw/zinc/55/17/21/705551721.db2.gz IABBDYQIKPHJHQ-WISYIIOYSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)[n-]1 ZINC000824887123 705551724 /nfs/dbraw/zinc/55/17/24/705551724.db2.gz IABBDYQIKPHJHQ-WISYIIOYSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)n1 ZINC000824887123 705551725 /nfs/dbraw/zinc/55/17/25/705551725.db2.gz IABBDYQIKPHJHQ-WISYIIOYSA-N -1 1 320.393 1.985 20 0 DDADMM C[C@@]1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCC(=O)N1 ZINC000825027948 705581614 /nfs/dbraw/zinc/58/16/14/705581614.db2.gz GZRHFWCPPDXWJA-HNNXBMFYSA-N -1 1 300.318 1.185 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC[C@@](F)(CO)C1 ZINC000876397276 705708522 /nfs/dbraw/zinc/70/85/22/705708522.db2.gz ZZXCOXWCZFTVFW-ZDUSSCGKSA-N -1 1 302.733 1.662 20 0 DDADMM CO[C@@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000826145809 705777763 /nfs/dbraw/zinc/77/77/63/705777763.db2.gz MNZZWPUYLOJBHK-SECBINFHSA-N -1 1 307.379 1.569 20 0 DDADMM CO[C@@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000826145809 705777765 /nfs/dbraw/zinc/77/77/65/705777765.db2.gz MNZZWPUYLOJBHK-SECBINFHSA-N -1 1 307.379 1.569 20 0 DDADMM COCc1ccsc1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000826165237 705780297 /nfs/dbraw/zinc/78/02/97/705780297.db2.gz LOOOVSRXIQVJSP-UHFFFAOYSA-N -1 1 319.350 1.060 20 0 DDADMM COCc1ccsc1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000826165237 705780300 /nfs/dbraw/zinc/78/03/00/705780300.db2.gz LOOOVSRXIQVJSP-UHFFFAOYSA-N -1 1 319.350 1.060 20 0 DDADMM Cc1ccc(C2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)cn1 ZINC000826286306 705787526 /nfs/dbraw/zinc/78/75/26/705787526.db2.gz YBJPVXBADOQADM-UHFFFAOYSA-N -1 1 322.376 1.744 20 0 DDADMM Cc1ccc(C2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)cn1 ZINC000826286306 705787528 /nfs/dbraw/zinc/78/75/28/705787528.db2.gz YBJPVXBADOQADM-UHFFFAOYSA-N -1 1 322.376 1.744 20 0 DDADMM Cc1onc(CC(=O)NCC2(C)CCCCC2)c1-c1nnn[n-]1 ZINC000826347624 705794795 /nfs/dbraw/zinc/79/47/95/705794795.db2.gz YAKHYTYURGTXJP-UHFFFAOYSA-N -1 1 318.381 1.792 20 0 DDADMM Cc1onc(CC(=O)NCC2(C)CCCCC2)c1-c1nn[n-]n1 ZINC000826347624 705794797 /nfs/dbraw/zinc/79/47/97/705794797.db2.gz YAKHYTYURGTXJP-UHFFFAOYSA-N -1 1 318.381 1.792 20 0 DDADMM Cc1onc(CC(=O)Nc2n[nH]c3ccccc32)c1-c1nnn[n-]1 ZINC000826348799 705795852 /nfs/dbraw/zinc/79/58/52/705795852.db2.gz FMFJQTJSVOFFSV-UHFFFAOYSA-N -1 1 324.304 1.221 20 0 DDADMM Cc1onc(CC(=O)Nc2n[nH]c3ccccc32)c1-c1nn[n-]n1 ZINC000826348799 705795853 /nfs/dbraw/zinc/79/58/53/705795853.db2.gz FMFJQTJSVOFFSV-UHFFFAOYSA-N -1 1 324.304 1.221 20 0 DDADMM CC(C)N(CCC(=O)[O-])C(=O)c1cc(-c2ccn(C)c2)[nH]n1 ZINC000908962897 712904284 /nfs/dbraw/zinc/90/42/84/712904284.db2.gz SVFFMBCMAPIALX-UHFFFAOYSA-N -1 1 304.350 1.741 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2C[C@]2(C)Br)n1 ZINC000863912335 706036407 /nfs/dbraw/zinc/03/64/07/706036407.db2.gz FKMLVJGOXHNPKP-KBUNVGBDSA-N -1 1 316.155 1.698 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@@](C)(C(=O)[O-])c1ccccc1 ZINC000864115803 706071194 /nfs/dbraw/zinc/07/11/94/706071194.db2.gz YUACTROULAHTCM-BDJLRTHQSA-N -1 1 301.346 1.705 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)[C@H]2CCCN2C2CC2)CC12CCC2 ZINC000864156257 706083410 /nfs/dbraw/zinc/08/34/10/706083410.db2.gz NRXMFHNKTWTWIB-WBMJQRKESA-N -1 1 310.369 1.419 20 0 DDADMM C/C(=C/c1ccncc1)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000864160175 706084751 /nfs/dbraw/zinc/08/47/51/706084751.db2.gz SSIFWDQZIHBZFA-YBEMTRGBSA-N -1 1 317.389 1.492 20 0 DDADMM O=C(c1c2c(ccc1F)OCO2)N1CCC(c2nn[n-]n2)CC1 ZINC000828416439 706175136 /nfs/dbraw/zinc/17/51/36/706175136.db2.gz JNUSCAPZHDJXLQ-UHFFFAOYSA-N -1 1 319.296 1.087 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCCOC(F)F ZINC000872483406 707438599 /nfs/dbraw/zinc/43/85/99/707438599.db2.gz HEFLVGXXJSJWGY-SFHVURJKSA-N -1 1 322.399 1.346 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@]1(C)CCCOC1 ZINC000872469501 707430597 /nfs/dbraw/zinc/43/05/97/707430597.db2.gz VYFWEFUEKZZSOU-ADLMAVQZSA-N -1 1 312.457 1.286 20 0 DDADMM CC(C)C[C@@H](O)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000866837714 706820659 /nfs/dbraw/zinc/82/06/59/706820659.db2.gz CSSPAWUCGVOCPK-SSDOTTSWSA-N -1 1 315.317 1.114 20 0 DDADMM CO[C@]1(C)C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1(C)C ZINC000830759638 706575296 /nfs/dbraw/zinc/57/52/96/706575296.db2.gz FIBPHQWWQUUBDB-LDYMZIIASA-N -1 1 307.803 1.545 20 0 DDADMM CCC[C@@H](OCC)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830788529 706579913 /nfs/dbraw/zinc/57/99/13/706579913.db2.gz DRVIWFSFTOSUTG-ZWNOBZJWSA-N -1 1 324.343 1.861 20 0 DDADMM CCc1[nH]ccc1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830801072 706582275 /nfs/dbraw/zinc/58/22/75/706582275.db2.gz GMCYVOGLRQRVEF-ZDUSSCGKSA-N -1 1 317.311 1.860 20 0 DDADMM CO[C@]1(C)C[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1(C)C ZINC000866905381 706841024 /nfs/dbraw/zinc/84/10/24/706841024.db2.gz XCXKRVRMGJUSCE-ZYHUDNBSSA-N -1 1 324.468 1.286 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCc2nnc3ccccn32)sn1 ZINC000866932517 706847995 /nfs/dbraw/zinc/84/79/95/706847995.db2.gz UZDIDGUONBPZJO-UHFFFAOYSA-N -1 1 323.403 1.015 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2oc(=S)[n-]c2c1)c1nn[nH]n1 ZINC000832127242 706848559 /nfs/dbraw/zinc/84/85/59/706848559.db2.gz UUKVHLIYKXDVDM-SSDOTTSWSA-N -1 1 318.362 1.505 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2nc[nH]c2Br)CCO1 ZINC000867045154 706881314 /nfs/dbraw/zinc/88/13/14/706881314.db2.gz OGDBICZYDOXMMV-RNFRBKRXSA-N -1 1 324.200 1.018 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)[C@@H]1CCc2nncn2CC1 ZINC000880236504 706916244 /nfs/dbraw/zinc/91/62/44/706916244.db2.gz ABIQOHDTJLQJFP-MRVPVSSYSA-N -1 1 308.288 1.853 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C(C)(C)COC(C)C ZINC000867407376 706991256 /nfs/dbraw/zinc/99/12/56/706991256.db2.gz PZSJSDXJXUYDMW-KRWDZBQOSA-N -1 1 300.446 1.142 20 0 DDADMM Cc1ccc([C@H](C)C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)o1 ZINC000832923854 706997530 /nfs/dbraw/zinc/99/75/30/706997530.db2.gz LBWIDBPWDRXAAZ-YPMHNXCESA-N -1 1 308.378 1.699 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@H]1CCCS(=O)(=O)C1 ZINC000834825528 707131546 /nfs/dbraw/zinc/13/15/46/707131546.db2.gz MFHLJDLECJTSFL-JTQLQIEISA-N -1 1 317.794 1.487 20 0 DDADMM NC(=O)[C@@H]1CCC[C@H](C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000834825264 707131564 /nfs/dbraw/zinc/13/15/64/707131564.db2.gz JDIQGICBOZVNHN-MNOVXSKESA-N -1 1 310.781 1.954 20 0 DDADMM CO[C@H]1CN(Cc2ccc(-n3ccnc3)nc2)[C@@](C)(C(=O)[O-])C1 ZINC000872160264 707309572 /nfs/dbraw/zinc/30/95/72/707309572.db2.gz CAHVGXLELGHLJH-CZUORRHYSA-N -1 1 316.361 1.331 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(Cc1n[nH]c(C)n1)CC2 ZINC000872167170 707311642 /nfs/dbraw/zinc/31/16/42/707311642.db2.gz FBUFBIKJAGUYKT-UHFFFAOYSA-N -1 1 302.334 1.378 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN([C@H](C)c1n[nH]c(C)n1)CC2 ZINC000872167215 707312057 /nfs/dbraw/zinc/31/20/57/707312057.db2.gz GDPSVJDNUNADFL-SECBINFHSA-N -1 1 316.361 1.939 20 0 DDADMM CC(=O)N1C[C@@H]2CN(C(=O)c3c([O-])cccc3Cl)C[C@@H]2C1 ZINC000881690620 707323254 /nfs/dbraw/zinc/32/32/54/707323254.db2.gz AQMAPHRFSNTDMC-PHIMTYICSA-N -1 1 308.765 1.596 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc([O-])cc1F)C1CCOCC1 ZINC000881763826 707358461 /nfs/dbraw/zinc/35/84/61/707358461.db2.gz NMSTYBFJPDSDIB-CYBMUJFWSA-N -1 1 311.309 1.229 20 0 DDADMM COc1ccc(-c2nnc([N-][C@@H]3CCCCNC3=O)o2)cc1 ZINC000881838744 707387931 /nfs/dbraw/zinc/38/79/31/707387931.db2.gz WQOGRJYWVFUWOH-GFCCVEGCSA-N -1 1 302.334 1.826 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@]12CCC[C@@]1(OC)OCC2)OC ZINC000921314234 713730614 /nfs/dbraw/zinc/73/06/14/713730614.db2.gz JHABGROMZUHCAU-FRRDWIJNSA-N -1 1 307.412 1.017 20 0 DDADMM O=S(=O)([N-]CC(F)(F)c1cc(F)cc(F)c1)NC1CC1 ZINC000882660149 707736549 /nfs/dbraw/zinc/73/65/49/707736549.db2.gz MRSZCPSQJOAEKQ-UHFFFAOYSA-N -1 1 312.288 1.643 20 0 DDADMM O=C(COC(=O)[C@@H]1C[C@H]1C(F)(F)F)[N-]C(=O)c1ccccc1 ZINC000838023830 707815328 /nfs/dbraw/zinc/81/53/28/707815328.db2.gz VBWXVQUIFRLOOZ-NXEZZACHSA-N -1 1 315.247 1.685 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)C(F)F)cnc1Cl ZINC000882871030 707830559 /nfs/dbraw/zinc/83/05/59/707830559.db2.gz XCOZFTIDYCYONJ-YFKPBYRVSA-N -1 1 300.714 1.676 20 0 DDADMM Cc1ccc(Cl)cc1OCC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000873421317 707845341 /nfs/dbraw/zinc/84/53/41/707845341.db2.gz VEACGVICAUMCAE-UHFFFAOYSA-N -1 1 311.725 1.192 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CC[C@](F)(C(=O)[O-])C3)n[nH]2)c1 ZINC000909309411 712987845 /nfs/dbraw/zinc/98/78/45/712987845.db2.gz KMNHMQJOKAVRIY-CQSZACIVSA-N -1 1 306.297 1.054 20 0 DDADMM C[C@@H](C(=O)N[C@@H](C(=O)[O-])c1ccc(Cl)c(F)c1)N(C)C ZINC000909391164 713006825 /nfs/dbraw/zinc/00/68/25/713006825.db2.gz QUOAQXMFCFMKMS-WRWORJQWSA-N -1 1 302.733 1.671 20 0 DDADMM CC(=O)c1ccc([O-])c(NC(=O)C2CN(C3CCOCC3)C2)c1 ZINC000897312037 708288198 /nfs/dbraw/zinc/28/81/98/708288198.db2.gz UPQSNOXJAAXPAQ-UHFFFAOYSA-N -1 1 318.373 1.644 20 0 DDADMM C[C@H](C(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)[O-])N(C)C ZINC000909444406 713019951 /nfs/dbraw/zinc/01/99/51/713019951.db2.gz ZCKFSEAODXIMJM-YGRLFVJLSA-N -1 1 303.362 1.230 20 0 DDADMM C[C@@H]1Cc2ccccc2N1CC[N-]S(=O)(=O)c1ccns1 ZINC000884984304 708435547 /nfs/dbraw/zinc/43/55/47/708435547.db2.gz VZMFFPZEJKDKED-LLVKDONJSA-N -1 1 323.443 1.873 20 0 DDADMM O=S(=O)([N-]C[C@@H](CCO)c1ccccc1)c1ccns1 ZINC000885029220 708448134 /nfs/dbraw/zinc/44/81/34/708448134.db2.gz REQLAIXHYFPTPU-GFCCVEGCSA-N -1 1 312.416 1.588 20 0 DDADMM CCc1ccc(OS(=O)(=O)c2n[n-]cc2C(=O)OC)cc1 ZINC000912528599 713030832 /nfs/dbraw/zinc/03/08/32/713030832.db2.gz YKYLMDYORCCOMQ-UHFFFAOYSA-N -1 1 310.331 1.526 20 0 DDADMM C[C@H](C[C@@H](O)c1cccs1)[N-]S(=O)(=O)c1ccns1 ZINC000885068723 708461235 /nfs/dbraw/zinc/46/12/35/708461235.db2.gz FFMBRWHHULVRJT-RKDXNWHRSA-N -1 1 318.445 1.995 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccns2)[C@@]12CCCO2 ZINC000885104036 708473745 /nfs/dbraw/zinc/47/37/45/708473745.db2.gz KWAOPCMDWWJQBU-FOGDFJRCSA-N -1 1 318.420 1.148 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ccns2)CCO[C@@H]1C1CC1 ZINC000885108853 708474713 /nfs/dbraw/zinc/47/47/13/708474713.db2.gz AOTKNQCGDIGKFI-VXGBXAGGSA-N -1 1 302.421 1.627 20 0 DDADMM CC[C@@H]1CCC[C@H]([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)C1 ZINC000912542433 713033176 /nfs/dbraw/zinc/03/31/76/713033176.db2.gz NHLBRQZDYQJBSU-ZJUUUORDSA-N -1 1 315.395 1.443 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(C)(C)CC(C)C ZINC000912542747 713033271 /nfs/dbraw/zinc/03/32/71/713033271.db2.gz OSXVKQSZMKXFLR-UHFFFAOYSA-N -1 1 317.411 1.547 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@H]1C1CCOCC1)c1ccns1 ZINC000885341751 708523266 /nfs/dbraw/zinc/52/32/66/708523266.db2.gz LKLCXVIPYMBBPL-QWRGUYRKSA-N -1 1 302.421 1.484 20 0 DDADMM O=S(=O)(C[C@H]1COc2ccccc2O1)[N-]C(CF)CF ZINC000885412958 708538627 /nfs/dbraw/zinc/53/86/27/708538627.db2.gz AIZUYRUHKKZPFD-SNVBAGLBSA-N -1 1 307.318 1.053 20 0 DDADMM O=S(=O)(Cc1cccc2cccnc21)[N-]C(CF)CF ZINC000885418436 708540285 /nfs/dbraw/zinc/54/02/85/708540285.db2.gz SCHRSLVLHNOHCC-UHFFFAOYSA-N -1 1 300.330 1.962 20 0 DDADMM COC(=O)C(C)(C)CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000885431541 708544340 /nfs/dbraw/zinc/54/43/40/708544340.db2.gz MXBXMOYFFKNIJL-UHFFFAOYSA-N -1 1 321.345 1.832 20 0 DDADMM CCS(=O)(=O)N[C@@H](C)C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885677829 708595632 /nfs/dbraw/zinc/59/56/32/708595632.db2.gz AQLDAWQYVHJYFE-LURJTMIESA-N -1 1 324.761 1.451 20 0 DDADMM O=C(NCCO[C@H]1CCOC1)c1c([O-])cnc2c(F)cccc21 ZINC000885709829 708603623 /nfs/dbraw/zinc/60/36/23/708603623.db2.gz FDLDXQIKKHESQR-JTQLQIEISA-N -1 1 320.320 1.615 20 0 DDADMM CCOCCOCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264508 708731848 /nfs/dbraw/zinc/73/18/48/708731848.db2.gz AKWWMPPFIFXVKZ-UHFFFAOYSA-N -1 1 303.305 1.382 20 0 DDADMM CCOC(=O)NCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886263358 708731675 /nfs/dbraw/zinc/73/16/75/708731675.db2.gz TUJATCCCEYOKKP-UHFFFAOYSA-N -1 1 302.277 1.075 20 0 DDADMM COC(=O)NCCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886265138 708732078 /nfs/dbraw/zinc/73/20/78/708732078.db2.gz ZLQFPGORTVFEBX-UHFFFAOYSA-N -1 1 302.277 1.075 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N(CCO)C1CC1 ZINC000927780060 713054098 /nfs/dbraw/zinc/05/40/98/713054098.db2.gz QECJQOASVAYZBE-UHFFFAOYSA-N -1 1 300.305 1.379 20 0 DDADMM CN(C(=O)CC[C@H](O)c1ccc(C(C)(C)C)cc1)c1nn[n-]n1 ZINC000912617870 713052811 /nfs/dbraw/zinc/05/28/11/713052811.db2.gz KLDJFTIAVDXPOP-ZDUSSCGKSA-N -1 1 317.393 1.974 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C1(Cc2ccccc2F)CC1 ZINC000898756799 708856470 /nfs/dbraw/zinc/85/64/70/708856470.db2.gz MBUGFIWIAONZAN-UHFFFAOYSA-N -1 1 317.320 1.666 20 0 DDADMM C[C@]1(CO)C[C@H](O)CN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000912657939 713062486 /nfs/dbraw/zinc/06/24/86/713062486.db2.gz MBBNLUCXPLKGJT-TVQRCGJNSA-N -1 1 319.279 1.369 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3c2OCC3)CC1 ZINC000899537800 709108190 /nfs/dbraw/zinc/10/81/90/709108190.db2.gz CIENGWHYEHANNU-GFCCVEGCSA-N -1 1 318.373 1.243 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@H]1C[C@H]1CCCO1 ZINC000887845452 709141889 /nfs/dbraw/zinc/14/18/89/709141889.db2.gz YYWJXMFPUDDRFS-CHWSQXEVSA-N -1 1 309.337 1.941 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC(=O)N2CCC[C@@H]21 ZINC000888172974 709233615 /nfs/dbraw/zinc/23/36/15/709233615.db2.gz XMVBCJXIJVKKBO-NSHDSACASA-N -1 1 318.377 1.408 20 0 DDADMM CC(C)C(=O)NCCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000899972998 709255442 /nfs/dbraw/zinc/25/54/42/709255442.db2.gz ZHRRMZKSTFHREZ-UHFFFAOYSA-N -1 1 319.336 1.582 20 0 DDADMM CN(C)Cc1ccc(C(=O)NCC2(C(=O)[O-])CCCCC2)cn1 ZINC000909526303 709502223 /nfs/dbraw/zinc/50/22/23/709502223.db2.gz NTARADPOPPMIMG-UHFFFAOYSA-N -1 1 319.405 1.908 20 0 DDADMM CSCC[C@H](NC(=O)c1coc(C(F)F)c1)c1nn[n-]n1 ZINC000912858477 713109019 /nfs/dbraw/zinc/10/90/19/713109019.db2.gz QNIAPBQDEUCEMF-ZETCQYMHSA-N -1 1 317.321 1.955 20 0 DDADMM CSCC[C@H](NC(=O)C1(C)CC(F)(F)C1)c1nn[n-]n1 ZINC000912858335 713109085 /nfs/dbraw/zinc/10/90/85/713109085.db2.gz LHFATNQMLYXUIF-ZETCQYMHSA-N -1 1 305.354 1.546 20 0 DDADMM CSCC[C@H](NC(=O)C[C@H]1CCC(F)(F)C1)c1nn[n-]n1 ZINC000912862189 713110548 /nfs/dbraw/zinc/11/05/48/713110548.db2.gz KXBKIPIDKKUJCY-BDAKNGLRSA-N -1 1 319.381 1.936 20 0 DDADMM CCO[C@@H]1C[C@](NC(=O)CN(CC)C2CC2)(C(=O)[O-])C1(C)C ZINC000909605385 709538258 /nfs/dbraw/zinc/53/82/58/709538258.db2.gz XGIOKXBBHFKJJP-WBMJQRKESA-N -1 1 312.410 1.245 20 0 DDADMM CC(=O)c1cn(C2CN(C(=O)c3cc(F)ccc3[O-])C2)nn1 ZINC000889542520 709540138 /nfs/dbraw/zinc/54/01/38/709540138.db2.gz VWFZDMDJMHQMEO-UHFFFAOYSA-N -1 1 304.281 1.023 20 0 DDADMM CCN(CC)[C@@H](C(=O)N[C@@H](COC)C(=O)[O-])c1ccccc1 ZINC000909660706 709563659 /nfs/dbraw/zinc/56/36/59/709563659.db2.gz BYXAEHYQONRJRL-UONOGXRCSA-N -1 1 308.378 1.285 20 0 DDADMM O=C([O-])[C@@H](CC(F)(F)F)NC(=O)c1ccc2cncn2c1 ZINC000909667840 709566862 /nfs/dbraw/zinc/56/68/62/709566862.db2.gz XYXHQIDUSWXYQD-SECBINFHSA-N -1 1 301.224 1.470 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000909677122 709571348 /nfs/dbraw/zinc/57/13/48/709571348.db2.gz DVYWYSQPFCFOEL-BIAAXOCRSA-N -1 1 303.362 1.541 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000909684993 709576908 /nfs/dbraw/zinc/57/69/08/709576908.db2.gz YSTVJVDKDRJDSV-BIAAXOCRSA-N -1 1 303.362 1.226 20 0 DDADMM CCOC(=O)CN(CC(C)C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900407621 709581004 /nfs/dbraw/zinc/58/10/04/709581004.db2.gz RNAIELAKEDMGLL-UHFFFAOYSA-N -1 1 321.377 1.721 20 0 DDADMM CC1(C)C[C@@H](NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C(C)(C)O1 ZINC000909724301 709597237 /nfs/dbraw/zinc/59/72/37/709597237.db2.gz POKBRNLLEXEZEE-VXGBXAGGSA-N -1 1 312.410 1.245 20 0 DDADMM CN(C)CC(=O)N[C@H](C[C@@H]1CCCc2ccccc21)C(=O)[O-] ZINC000909785682 709619722 /nfs/dbraw/zinc/61/97/22/709619722.db2.gz CZDWOMNWNWAPGJ-DZGCQCFKSA-N -1 1 304.390 1.628 20 0 DDADMM CN(CC(=O)N[C@@H](C(=O)[O-])c1ccc2c(c1)CCO2)C1CCC1 ZINC000909791722 709622413 /nfs/dbraw/zinc/62/24/13/709622413.db2.gz GUTHJFFOKBWCQK-MRXNPFEDSA-N -1 1 318.373 1.348 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2CCSC2)[n-]c1=O ZINC000889791967 709638074 /nfs/dbraw/zinc/63/80/74/709638074.db2.gz QAZGFQSTYQOQQE-UWVGGRQHSA-N -1 1 309.391 1.607 20 0 DDADMM C[C@H](NC(=O)C1(N(C)C)CC1)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909825969 709642636 /nfs/dbraw/zinc/64/26/36/709642636.db2.gz YRWPYTPJIAWTIR-GXTWGEPZSA-N -1 1 304.390 1.529 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN1C(=O)c1cc(C(F)F)[nH]n1 ZINC000909939916 709697606 /nfs/dbraw/zinc/69/76/06/709697606.db2.gz ZCULPKPQWMUUFS-GFCCVEGCSA-N -1 1 303.265 1.053 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2CCc3ccccc32)C1 ZINC000909952936 709705943 /nfs/dbraw/zinc/70/59/43/709705943.db2.gz CDYNEDMOIINZHJ-DZGCQCFKSA-N -1 1 302.374 1.587 20 0 DDADMM C[C@]1(CCNC(=O)CN2CCC[C@H](C(=O)[O-])C2)CC1(F)F ZINC000909958398 709708490 /nfs/dbraw/zinc/70/84/90/709708490.db2.gz LQRXAYTXFHFTGW-GWCFXTLKSA-N -1 1 304.337 1.335 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOC[C@H]1c1ccco1 ZINC000900742239 709738926 /nfs/dbraw/zinc/73/89/26/709738926.db2.gz OMVPTLYSHBMGSD-LBPRGKRZSA-N -1 1 315.329 1.866 20 0 DDADMM CN1CC[C@@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C1=O ZINC000900782946 709755894 /nfs/dbraw/zinc/75/58/94/709755894.db2.gz FIVROGMERYTIIM-SNVBAGLBSA-N -1 1 303.293 1.040 20 0 DDADMM C[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)CC1(C)OCCO1 ZINC000900797585 709763201 /nfs/dbraw/zinc/76/32/01/709763201.db2.gz IDHPMCGDXUYSAJ-SNVBAGLBSA-N -1 1 321.377 1.579 20 0 DDADMM CCC[C@]1(C(=O)[O-])CCCN1C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000910113455 709776179 /nfs/dbraw/zinc/77/61/79/709776179.db2.gz DUWMBBWNRJBILG-BDJLRTHQSA-N -1 1 305.378 1.761 20 0 DDADMM C[C@H](C(=O)N1CC([C@@H](F)C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000910129293 709783585 /nfs/dbraw/zinc/78/35/85/709783585.db2.gz LKDWKNPSWLDLKA-IAQYHMDHSA-N -1 1 320.364 1.314 20 0 DDADMM O=C([O-])[C@H]1CSCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000910148793 709791857 /nfs/dbraw/zinc/79/18/57/709791857.db2.gz XNDFDXBDZWRWMJ-ZJUUUORDSA-N -1 1 309.391 1.106 20 0 DDADMM COc1cc2c(cc1F)nccc2N1CCOC[C@@H]1C(=O)[O-] ZINC000900946060 709841389 /nfs/dbraw/zinc/84/13/89/709841389.db2.gz ROTJDSJJPJWUKB-CYBMUJFWSA-N -1 1 306.293 1.672 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3C4CCC3(C(=O)[O-])CC4)n[nH]2)c1 ZINC000910296503 709873495 /nfs/dbraw/zinc/87/34/95/709873495.db2.gz UYTTXARJZLGBPP-UHFFFAOYSA-N -1 1 314.345 1.637 20 0 DDADMM Cc1nc(N2CC[C@H](CN3CCOCC3)C2)ccc1C(=O)[O-] ZINC000910299497 709875600 /nfs/dbraw/zinc/87/56/00/709875600.db2.gz MNKZMHPXMHNTCM-CYBMUJFWSA-N -1 1 305.378 1.247 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@@](C)(Cc1ccc(Cl)cc1)C(=O)[O-] ZINC000910313541 709884378 /nfs/dbraw/zinc/88/43/78/709884378.db2.gz XVZJPNPCBRNKCY-BBRMVZONSA-N -1 1 324.808 1.936 20 0 DDADMM COC(=O)[C@@]1(C)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000901051578 709901567 /nfs/dbraw/zinc/90/15/67/709901567.db2.gz SKKDOWDHLFLHBU-HNNXBMFYSA-N -1 1 305.334 1.085 20 0 DDADMM CO[C@](C)([C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC000910423137 709933930 /nfs/dbraw/zinc/93/39/30/709933930.db2.gz BNULLDTZKFDGMN-JDNHERCYSA-N -1 1 305.378 1.993 20 0 DDADMM CO[C@]1(C(=O)Nc2ccc([O-])c(Cl)c2)CCS(=O)(=O)C1 ZINC000901162322 709951562 /nfs/dbraw/zinc/95/15/62/709951562.db2.gz IIDNZVWWKRFDNC-GFCCVEGCSA-N -1 1 319.766 1.188 20 0 DDADMM CN(CC(=O)N[C@H](CC(=O)[O-])C1CCCC1)[C@@H]1CCSC1 ZINC000910558888 709999360 /nfs/dbraw/zinc/99/93/60/709999360.db2.gz HORMOOMLKKLDPS-CHWSQXEVSA-N -1 1 314.451 1.573 20 0 DDADMM C[C@@H](CCO)C1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCC1 ZINC000901330334 710012346 /nfs/dbraw/zinc/01/23/46/710012346.db2.gz NLBMKAPYFDVIDV-NSHDSACASA-N -1 1 319.405 1.978 20 0 DDADMM O=C([O-])[C@@H](C[C@@H]1CCCO1)NC(=O)c1ccc2cncn2c1 ZINC000910590003 710013192 /nfs/dbraw/zinc/01/31/92/710013192.db2.gz DSKIDODYDSMMTM-QWHCGFSZSA-N -1 1 303.318 1.086 20 0 DDADMM COCCN(CC(=O)[O-])C(=O)c1c(Cl)[nH]nc1C1CC1 ZINC000901428470 710036527 /nfs/dbraw/zinc/03/65/27/710036527.db2.gz LJKBBIWQKBDJLT-UHFFFAOYSA-N -1 1 301.730 1.114 20 0 DDADMM CC(C)CN(CC(=O)[O-])Cc1cnc(N2CCOCC2)s1 ZINC000901588808 710085937 /nfs/dbraw/zinc/08/59/37/710085937.db2.gz GMRSJOAEPKIPED-UHFFFAOYSA-N -1 1 313.423 1.522 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCc1cc(C)cc(C)n1 ZINC000901655092 710109687 /nfs/dbraw/zinc/10/96/87/710109687.db2.gz RVMIMCBWRJOCRQ-UHFFFAOYSA-N -1 1 321.402 1.899 20 0 DDADMM O=C([O-])[C@@H](Cc1ccc(F)cc1)NC(=O)[C@@H]1CCCN1C1CC1 ZINC000910906345 710114098 /nfs/dbraw/zinc/11/40/98/710114098.db2.gz BNYWRMDGPQMPLD-CABCVRRESA-N -1 1 320.364 1.564 20 0 DDADMM C[C@]12CSC[C@H]1CN(C(=O)c1cnc(C3CC3)[n-]c1=O)C2 ZINC000891178430 710116571 /nfs/dbraw/zinc/11/65/71/710116571.db2.gz MPIOPBAMUHXNBH-BMIGLBTASA-N -1 1 305.403 1.885 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccccc2-n2nccn2)CC1 ZINC000901693771 710120671 /nfs/dbraw/zinc/12/06/71/710120671.db2.gz OIYQYTHZISEDMX-UHFFFAOYSA-N -1 1 308.363 1.188 20 0 DDADMM CC(C)(C(=O)Nc1cc(F)cc(F)c1[O-])S(=O)(=O)C1CC1 ZINC000910987076 710142061 /nfs/dbraw/zinc/14/20/61/710142061.db2.gz JJUPQFVOJCXPCN-UHFFFAOYSA-N -1 1 319.329 1.965 20 0 DDADMM C[C@H](C(=O)Nc1cc(F)cc(F)c1[O-])[C@@H](C)S(C)(=O)=O ZINC000910991470 710144482 /nfs/dbraw/zinc/14/44/82/710144482.db2.gz MFLBWSYRVJQVIG-NKWVEPMBSA-N -1 1 307.318 1.678 20 0 DDADMM O=C([O-])[C@@H]1CSCCN(Cc2ccnn2CC2CCC2)C1 ZINC000901887919 710166043 /nfs/dbraw/zinc/16/60/43/710166043.db2.gz PIFNHLARHADCLT-ZDUSSCGKSA-N -1 1 309.435 1.933 20 0 DDADMM CCCn1cc(CN2C[C@H](C(=O)[O-])[C@@H](c3ccccc3)C2)nn1 ZINC000901891617 710166286 /nfs/dbraw/zinc/16/62/86/710166286.db2.gz OYQJFRVPDVZEAV-CVEARBPZSA-N -1 1 314.389 1.988 20 0 DDADMM O=C([O-])C1(C(=O)N2CCC(c3cnc[nH]3)CC2)CCSCC1 ZINC000901953175 710183740 /nfs/dbraw/zinc/18/37/40/710183740.db2.gz ZNEMGFRZQQKBAK-UHFFFAOYSA-N -1 1 323.418 1.714 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1cccn2nccc12 ZINC000891612981 710248291 /nfs/dbraw/zinc/24/82/91/710248291.db2.gz FKOSZUKTSMFBBI-UHFFFAOYSA-N -1 1 309.329 1.961 20 0 DDADMM O=C(Cc1cnn2ccccc12)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891615896 710249554 /nfs/dbraw/zinc/24/95/54/710249554.db2.gz WPIBUXKZYCLTID-UHFFFAOYSA-N -1 1 323.356 1.890 20 0 DDADMM O=C(OCCO)c1ccc(S(=O)(=O)[N-]c2ccccc2)cc1 ZINC000913224432 713182685 /nfs/dbraw/zinc/18/26/85/713182685.db2.gz VXTFZYBWFWTMDM-UHFFFAOYSA-N -1 1 321.354 1.637 20 0 DDADMM COCc1nc(N[C@H](C)Cc2c(C)nn(C)c2C)cc(=O)[n-]1 ZINC000892679882 710480798 /nfs/dbraw/zinc/48/07/98/710480798.db2.gz USIYMKFCOWHSGN-SECBINFHSA-N -1 1 305.382 1.722 20 0 DDADMM C[C@H](C(=O)N(C)c1nn[n-]n1)c1ccc(Br)cc1 ZINC000892954675 710531829 /nfs/dbraw/zinc/53/18/29/710531829.db2.gz PPGTVLQOSPYFJX-ZETCQYMHSA-N -1 1 310.155 1.729 20 0 DDADMM Cc1sc(Br)nc1C(=O)N(C)c1nn[n-]n1 ZINC000892954858 710531842 /nfs/dbraw/zinc/53/18/42/710531842.db2.gz TUOCLBVRPBVFJZ-UHFFFAOYSA-N -1 1 303.145 1.004 20 0 DDADMM COCc1nc(N2CCc3c(cccc3OC)C2)cc(=O)[n-]1 ZINC000893123597 710558631 /nfs/dbraw/zinc/55/86/31/710558631.db2.gz KGBHGGWUSCKCOW-UHFFFAOYSA-N -1 1 301.346 1.900 20 0 DDADMM CC1CCN(CC(=O)NCCc2ncc(C(=O)[O-])s2)CC1 ZINC000910999410 710589056 /nfs/dbraw/zinc/58/90/56/710589056.db2.gz GXWNEIIYTDQNIS-UHFFFAOYSA-N -1 1 311.407 1.232 20 0 DDADMM Cc1ccc(C(=O)NCCNCc2ccc(C(=O)[O-])o2)cc1F ZINC000902093557 710612454 /nfs/dbraw/zinc/61/24/54/710612454.db2.gz CISSAVUWIGIAEP-UHFFFAOYSA-N -1 1 320.320 1.945 20 0 DDADMM C[C@@H]1Cc2cc(NC(=O)CN3CCC[C@H](C(=O)[O-])C3)ccc2O1 ZINC000911083739 710627079 /nfs/dbraw/zinc/62/70/79/710627079.db2.gz ZGKWDFXUGAKYMS-NEPJUHHUSA-N -1 1 318.373 1.745 20 0 DDADMM Cn1cc(C[C@H](CO)NC(=O)c2cc(Cl)ccc2[O-])cn1 ZINC000911105753 710635625 /nfs/dbraw/zinc/63/56/25/710635625.db2.gz FDAYVIOWEYUNNB-LLVKDONJSA-N -1 1 309.753 1.113 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)Nc1ccccc1N1CCN(C)CC1 ZINC000911116716 710641670 /nfs/dbraw/zinc/64/16/70/710641670.db2.gz TVWPRZCTDQUQOQ-OAHLLOKOSA-N -1 1 319.405 1.734 20 0 DDADMM COCc1nc(NC[C@@H](c2ccnn2C)C(C)C)cc(=O)[n-]1 ZINC000893424920 710647014 /nfs/dbraw/zinc/64/70/14/710647014.db2.gz GGBQNGSESFJUMM-LLVKDONJSA-N -1 1 305.382 1.914 20 0 DDADMM C[C@H]1CN(C(=O)c2cc3[nH]cnc3cc2F)CC[C@@H]1C(=O)[O-] ZINC000902177565 710650450 /nfs/dbraw/zinc/65/04/50/710650450.db2.gz LNMRWJBZYORLRH-IUCAKERBSA-N -1 1 305.309 1.885 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)NCc1ccc(O[C@@H](C)C(=O)[O-])cc1 ZINC000902192917 710658563 /nfs/dbraw/zinc/65/85/63/710658563.db2.gz UEWDDIIXARFDFM-XQQFMLRXSA-N -1 1 322.405 1.931 20 0 DDADMM CC(C)(C)CCN1CCN(C(=O)C23CC(C(=O)[O-])(C2)C3)CC1 ZINC000911245661 710707420 /nfs/dbraw/zinc/70/74/20/710707420.db2.gz MOMNIRFDNQBXOO-UHFFFAOYSA-N -1 1 308.422 1.822 20 0 DDADMM C[C@H]1CN(c2ccc(C(=O)[O-])cc2)CCN1C(=O)c1ccn[nH]1 ZINC000911319344 710739855 /nfs/dbraw/zinc/73/98/55/710739855.db2.gz UTSLJCSOFBBTJJ-NSHDSACASA-N -1 1 314.345 1.459 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)C2(C(=O)[O-])CC3(CCC3)C2)C1 ZINC000911352400 710757540 /nfs/dbraw/zinc/75/75/40/710757540.db2.gz LESNRLWNTYLXCY-CYBMUJFWSA-N -1 1 324.421 1.249 20 0 DDADMM O=C([O-])C12CCC(C(=O)N[C@@H]3CCc4nc[nH]c4C3)(CC1)CC2 ZINC000911392647 710776089 /nfs/dbraw/zinc/77/60/89/710776089.db2.gz TYYPDDORSCVLSQ-LBWKMTJJSA-N -1 1 317.389 1.808 20 0 DDADMM COCc1nc(N(C)C[C@H](O)Cc2ccccc2)cc(=O)[n-]1 ZINC000893973214 710906740 /nfs/dbraw/zinc/90/67/40/710906740.db2.gz JRGLXCRTSDISGS-CYBMUJFWSA-N -1 1 303.362 1.368 20 0 DDADMM O=C([O-])c1cc(C(=O)N[C@@H]2CCCN3CCSC[C@H]23)ccn1 ZINC000902817717 710914458 /nfs/dbraw/zinc/91/44/58/710914458.db2.gz BHWHDPLAPYRMIT-DGCLKSJQSA-N -1 1 321.402 1.089 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)C(F)(F)F ZINC000911742433 710960853 /nfs/dbraw/zinc/96/08/53/710960853.db2.gz LNVQTMQKHBLPCX-VIFPVBQESA-N -1 1 310.316 1.488 20 0 DDADMM O=C([O-])c1ccc(CC(=O)N2CC[C@@H](c3nc[nH]n3)C2)cc1 ZINC000911773294 710977358 /nfs/dbraw/zinc/97/73/58/710977358.db2.gz LDIIMYCTRMDDMM-GFCCVEGCSA-N -1 1 300.318 1.062 20 0 DDADMM COCc1nc(N2C[C@H](O)C[C@H]2c2ccccc2)cc(=O)[n-]1 ZINC000894190830 711008524 /nfs/dbraw/zinc/00/85/24/711008524.db2.gz SQYHMCSBUTUYAW-OLZOCXBDSA-N -1 1 301.346 1.641 20 0 DDADMM O=C(NCC[C@]1(O)CCOC1)c1c([O-])cnc2c(F)cccc21 ZINC000911889518 711053915 /nfs/dbraw/zinc/05/39/15/711053915.db2.gz QEILDVZYWMJTFH-INIZCTEOSA-N -1 1 320.320 1.351 20 0 DDADMM O=C([O-])[C@]1(C(=O)Nc2ccc(Cl)c(Cl)c2)CNCCO1 ZINC000911906894 711062566 /nfs/dbraw/zinc/06/25/66/711062566.db2.gz NCXPCOBUJOTPCK-GFCCVEGCSA-N -1 1 319.144 1.375 20 0 DDADMM O=C(N[C@H](C1CC1)C1(CO)CCOCC1)c1ccc([O-])cc1F ZINC000911982925 711103492 /nfs/dbraw/zinc/10/34/92/711103492.db2.gz PQHNMZFEFTTZKK-OAHLLOKOSA-N -1 1 323.364 1.829 20 0 DDADMM COCc1nc(N[C@H]2CCc3ccccc3NC2=O)cc(=O)[n-]1 ZINC000894421826 711113597 /nfs/dbraw/zinc/11/35/97/711113597.db2.gz GCMMBARFBHNQMF-LBPRGKRZSA-N -1 1 314.345 1.694 20 0 DDADMM NOCCC[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000903528276 711171672 /nfs/dbraw/zinc/17/16/72/711171672.db2.gz LFMAJJWHGCIKNR-UHFFFAOYSA-N -1 1 305.208 1.614 20 0 DDADMM Cc1ccccc1[C@@H](C)CC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494299 713237330 /nfs/dbraw/zinc/23/73/30/713237330.db2.gz DPXNUZJAJFSVRN-GXTWGEPZSA-N -1 1 315.377 1.602 20 0 DDADMM CCc1ccc(F)cc1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494334 713237449 /nfs/dbraw/zinc/23/74/49/713237449.db2.gz WWEBCERXGHFNGD-LBPRGKRZSA-N -1 1 305.313 1.115 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495034 713237766 /nfs/dbraw/zinc/23/77/66/713237766.db2.gz GLIXISIUOCNFGW-KJJQSCHISA-N -1 1 317.324 1.342 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)CCC2(C(=O)[O-])CCC2)c1 ZINC000903697868 711247692 /nfs/dbraw/zinc/24/76/92/711247692.db2.gz NNAGLXSKRVZVAK-UHFFFAOYSA-N -1 1 305.378 1.905 20 0 DDADMM COCc1nc(N2CCC[C@](O)(c3ccccc3)C2)cc(=O)[n-]1 ZINC000894730310 711250104 /nfs/dbraw/zinc/25/01/04/711250104.db2.gz VVIUINQLFYWVGY-QGZVFWFLSA-N -1 1 315.373 1.817 20 0 DDADMM O=C(c1cccc(C2CCC2)c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499612 713239764 /nfs/dbraw/zinc/23/97/64/713239764.db2.gz XFVAHZAGSLVSQS-CQSZACIVSA-N -1 1 313.361 1.681 20 0 DDADMM O=C([O-])C[C@H]1CN(C[C@@H](O)CCOCc2ccccc2)CCO1 ZINC000903901496 711322864 /nfs/dbraw/zinc/32/28/64/711322864.db2.gz KJOLWECEGRHKKH-HOTGVXAUSA-N -1 1 323.389 1.130 20 0 DDADMM O=C([O-])Cc1ccccc1CN1CCOC[C@H]1C[C@@H]1CCOC1 ZINC000903945180 711344406 /nfs/dbraw/zinc/34/44/06/711344406.db2.gz RGAGYJFAFGMKMT-WMLDXEAASA-N -1 1 319.401 1.941 20 0 DDADMM Cc1cc(CN[C@@H](CC(=O)[O-])c2ccc3c(c2)OCO3)n(C)n1 ZINC000904047679 711370079 /nfs/dbraw/zinc/37/00/79/711370079.db2.gz GWWGTVXBBCUTJQ-ZDUSSCGKSA-N -1 1 317.345 1.763 20 0 DDADMM COCc1nc(NC[C@@H]2CCC3(CCOCC3)CO2)cc(=O)[n-]1 ZINC000895131134 711428159 /nfs/dbraw/zinc/42/81/59/711428159.db2.gz GHDWZZAXSHCOIB-LBPRGKRZSA-N -1 1 323.393 1.716 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cc(F)cc1F)[C@@H]1CN(C)CCN1C ZINC000896567071 711754159 /nfs/dbraw/zinc/75/41/59/711754159.db2.gz YYCPWGTWGINRIW-SKDRFNHKSA-N -1 1 313.348 1.035 20 0 DDADMM CN(C)c1ccnc(CNC(=O)[C@@H]2CC=CC[C@H]2C(=O)[O-])c1 ZINC000905129839 711945302 /nfs/dbraw/zinc/94/53/02/711945302.db2.gz XHUXCJUMAOQVFV-ZIAGYGMSSA-N -1 1 303.362 1.431 20 0 DDADMM COc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(OC)c1 ZINC000913742364 713291628 /nfs/dbraw/zinc/29/16/28/713291628.db2.gz WSQUPRFKHPEVEH-UHFFFAOYSA-N -1 1 317.349 1.237 20 0 DDADMM O=C(Cc1ccc2c(c1)CCC2)N1CCC(c2nn[n-]n2)CC1 ZINC000913742423 713291733 /nfs/dbraw/zinc/29/17/33/713291733.db2.gz YQUXNEOHUNLIBT-UHFFFAOYSA-N -1 1 311.389 1.637 20 0 DDADMM COc1ccc(OC)c(C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913742197 713291899 /nfs/dbraw/zinc/29/18/99/713291899.db2.gz MWCBNDBUNNUXMX-UHFFFAOYSA-N -1 1 317.349 1.237 20 0 DDADMM O=C(c1ccnc(Cl)c1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913743870 713292494 /nfs/dbraw/zinc/29/24/94/713292494.db2.gz ORWVQOGZHPTJGU-UHFFFAOYSA-N -1 1 310.720 1.407 20 0 DDADMM Cc1csc(CCCC(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913744966 713293132 /nfs/dbraw/zinc/29/31/32/713293132.db2.gz MLVZSYNAWSVWRZ-UHFFFAOYSA-N -1 1 320.422 1.694 20 0 DDADMM O=C(Cc1coc2ccccc12)N1CCC(c2nn[n-]n2)CC1 ZINC000913744883 713293345 /nfs/dbraw/zinc/29/33/45/713293345.db2.gz IWVAWUZTOFXFCR-UHFFFAOYSA-N -1 1 311.345 1.895 20 0 DDADMM O=C([C@@H]1Cc2ccccc2CO1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746215 713294046 /nfs/dbraw/zinc/29/40/46/713294046.db2.gz XIVJUDDYVKTMKR-AWEZNQCLSA-N -1 1 313.361 1.047 20 0 DDADMM COc1ccc([C@@H](NC[C@H](O)C(F)(F)F)C(=O)[O-])cc1F ZINC000905396097 712027349 /nfs/dbraw/zinc/02/73/49/712027349.db2.gz MTGUANHEZJJTMT-VHSXEESVSA-N -1 1 311.231 1.473 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@@H]2NCc1cnc2ccccn12 ZINC000905798014 712148373 /nfs/dbraw/zinc/14/83/73/712148373.db2.gz YPSDBUQXZAYVOD-LBPRGKRZSA-N -1 1 311.345 1.854 20 0 DDADMM CC[C@H](C)N(CC)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000907028040 712468709 /nfs/dbraw/zinc/46/87/09/712468709.db2.gz CXBFLYUINDLGAI-VIFPVBQESA-N -1 1 301.364 1.900 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCOC2(CCCC2)C1)c1nn[n-]n1 ZINC000907348923 712549903 /nfs/dbraw/zinc/54/99/03/712549903.db2.gz CFNLSEJPHPMLJY-VXGBXAGGSA-N -1 1 307.398 1.501 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1ccns1)C1CCOCC1 ZINC000907456245 712576310 /nfs/dbraw/zinc/57/63/10/712576310.db2.gz FCDXFFQRUQMIDK-UHFFFAOYSA-N -1 1 304.437 1.874 20 0 DDADMM CC(=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC000907477159 712582530 /nfs/dbraw/zinc/58/25/30/712582530.db2.gz RNJFTAKZWOFREV-YGNAEDSMSA-N -1 1 315.402 1.920 20 0 DDADMM O=S(=O)(CC1(F)CC1)[N-]Cc1noc(-c2cccs2)n1 ZINC000913992478 713329241 /nfs/dbraw/zinc/32/92/41/713329241.db2.gz ARQWPCNZTQZMHQ-UHFFFAOYSA-N -1 1 317.367 1.720 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)CCNC1=O ZINC000907810867 712629872 /nfs/dbraw/zinc/62/98/72/712629872.db2.gz HBBNHOXHUKUCHN-CYBMUJFWSA-N -1 1 312.322 1.129 20 0 DDADMM O=C([C@@H]1CCCC[C@@H]1C1CC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907940616 712652101 /nfs/dbraw/zinc/65/21/01/712652101.db2.gz JULKGFQSSLMTBG-CHWSQXEVSA-N -1 1 319.409 1.226 20 0 DDADMM CN1CCC[C@H]1C(=O)N1CCOc2cc(C(=O)[O-])ccc2C1 ZINC000908149490 712698587 /nfs/dbraw/zinc/69/85/87/712698587.db2.gz VKRZHZXOUHTSCL-ZDUSSCGKSA-N -1 1 304.346 1.200 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CCOc2cc(C(=O)[O-])ccc2C1 ZINC000908149656 712698738 /nfs/dbraw/zinc/69/87/38/712698738.db2.gz XWOAKXHNQDTZGO-AWEZNQCLSA-N -1 1 318.373 1.590 20 0 DDADMM C[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H](O)c1ccccc1 ZINC000908236092 712716374 /nfs/dbraw/zinc/71/63/74/712716374.db2.gz LFEYSAXBLHOMGK-IINYFYTJSA-N -1 1 313.357 1.912 20 0 DDADMM CCN(CC(=O)N[C@H](CC(=O)[O-])Cc1ccccc1)C1CC1 ZINC000908237865 712717110 /nfs/dbraw/zinc/71/71/10/712717110.db2.gz QOFBTYUMYQXYHE-AWEZNQCLSA-N -1 1 304.390 1.673 20 0 DDADMM COC(=O)[C@H](CC(C)C)N(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000908364893 712753191 /nfs/dbraw/zinc/75/31/91/712753191.db2.gz CGEDCCPKYCNNMF-LBPRGKRZSA-N -1 1 321.377 1.719 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@@H]1C1CC1)c1ccc(F)nc1F ZINC000908410642 712764546 /nfs/dbraw/zinc/76/45/46/712764546.db2.gz WMOKMDDMFOIBSO-RKDXNWHRSA-N -1 1 304.318 1.063 20 0 DDADMM O=C([O-])CCN(Cc1ccccc1)C(=O)CCc1c[nH]nn1 ZINC000908581848 712814208 /nfs/dbraw/zinc/81/42/08/712814208.db2.gz KSSKKBLQDAYSGG-UHFFFAOYSA-N -1 1 302.334 1.241 20 0 DDADMM O=C([O-])CCN(Cc1ccccc1)C(=O)CCc1cnn[nH]1 ZINC000908581848 712814210 /nfs/dbraw/zinc/81/42/10/712814210.db2.gz KSSKKBLQDAYSGG-UHFFFAOYSA-N -1 1 302.334 1.241 20 0 DDADMM C[C@@H](C(=O)Nc1cccc([O-])c1Br)S(C)(=O)=O ZINC000908715699 712840246 /nfs/dbraw/zinc/84/02/46/712840246.db2.gz WSSSDUUKTLFYGZ-LURJTMIESA-N -1 1 322.180 1.526 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000908748453 712847248 /nfs/dbraw/zinc/84/72/48/712847248.db2.gz WYUXZNRFEQHVOX-ZDUSSCGKSA-N -1 1 323.393 1.923 20 0 DDADMM C[C@@H](Cc1ccsc1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908750105 712847427 /nfs/dbraw/zinc/84/74/27/712847427.db2.gz CWWUYLNYDXJEEW-WCQYABFASA-N -1 1 310.419 1.592 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@@H](CF)C(=O)[O-])c1cccc(F)c1 ZINC000908752504 712848087 /nfs/dbraw/zinc/84/80/87/712848087.db2.gz JPWOCYGIMMYZEB-RYUDHWBXSA-N -1 1 315.320 1.150 20 0 DDADMM CC(C)[C@H]1CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCS1 ZINC000908835660 712864849 /nfs/dbraw/zinc/86/48/49/712864849.db2.gz QNFQPHPBOCWSQN-CHWSQXEVSA-N -1 1 314.451 1.383 20 0 DDADMM C[C@@H](c1ccccc1)N(CC(=O)[O-])C(=O)N[C@@H]1CCCN(C)C1 ZINC000908871840 712875381 /nfs/dbraw/zinc/87/53/81/712875381.db2.gz WGUQLUYRSQQPAM-DZGCQCFKSA-N -1 1 319.405 1.938 20 0 DDADMM CN1CCC[C@@H](NC(=O)N2CCc3occc3[C@H]2C(=O)[O-])C1 ZINC000908871833 712875389 /nfs/dbraw/zinc/87/53/89/712875389.db2.gz WGIUCKKEFFVHEX-MFKMUULPSA-N -1 1 307.350 1.067 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC[C@H]1C=CCC1 ZINC000914280123 713370100 /nfs/dbraw/zinc/37/01/00/713370100.db2.gz WYABHTHZCAXOOO-ZDUSSCGKSA-N -1 1 324.384 1.765 20 0 DDADMM O=C([N-]CC1CCN(C(=O)O[C@H]2CCOC2)CC1)C(F)(F)F ZINC000915194381 713412130 /nfs/dbraw/zinc/41/21/30/713412130.db2.gz HYSIFNLTTLFXOF-JTQLQIEISA-N -1 1 324.299 1.302 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cccc(Cl)c2F)C(=O)O1 ZINC000915290621 713415667 /nfs/dbraw/zinc/41/56/67/713415667.db2.gz ZGVUYNIEKLSDTC-HTRCEHHLSA-N -1 1 307.730 1.461 20 0 DDADMM CCN1CCC[C@@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1=O ZINC000928872191 713500486 /nfs/dbraw/zinc/50/04/86/713500486.db2.gz MQEJATLQGPCDNT-LLVKDONJSA-N -1 1 320.393 1.355 20 0 DDADMM C[C@H](OC(=O)c1nn(-c2ccccc2)cc1[O-])C(=O)NC1CC1 ZINC000917945770 713520878 /nfs/dbraw/zinc/52/08/78/713520878.db2.gz XTZZWGUIETZMMK-JTQLQIEISA-N -1 1 315.329 1.402 20 0 DDADMM COC1(CS(=O)(=O)[N-][C@@H]2CCCCC2(OC)OC)CCC1 ZINC000918060956 713527817 /nfs/dbraw/zinc/52/78/17/713527817.db2.gz IWGRDHLZTSTAOL-GFCCVEGCSA-N -1 1 321.439 1.407 20 0 DDADMM CC(C)c1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)n[nH]1 ZINC000919214898 713589428 /nfs/dbraw/zinc/58/94/28/713589428.db2.gz NAXONZYCGOGJTK-UHFFFAOYSA-N -1 1 315.329 1.647 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C(C)(C)c2ncc[nH]2)sc1C ZINC000919413909 713604129 /nfs/dbraw/zinc/60/41/29/713604129.db2.gz WABSVZJZZBYYSV-UHFFFAOYSA-N -1 1 300.409 1.697 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@]12CCC[C@@]1(OC)OCC2)OC ZINC000921314235 713730582 /nfs/dbraw/zinc/73/05/82/713730582.db2.gz JHABGROMZUHCAU-RWMBFGLXSA-N -1 1 307.412 1.017 20 0 DDADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)Cc1cc(C)no1)CCO2 ZINC000921314876 713730588 /nfs/dbraw/zinc/73/05/88/713730588.db2.gz KLFMWGQSMOQJOU-OLZOCXBDSA-N -1 1 316.379 1.088 20 0 DDADMM CCOC(=O)[C@H](C[C@H](C)CC)[N-]S(=O)(=O)c1ccns1 ZINC000921383361 713751127 /nfs/dbraw/zinc/75/11/27/713751127.db2.gz VFDREGYZWYONRY-ZJUUUORDSA-N -1 1 320.436 1.789 20 0 DDADMM CN1CC[C@H]1C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000921412608 713761438 /nfs/dbraw/zinc/76/14/38/713761438.db2.gz RHWNABNDGWJZDR-QMMMGPOBSA-N -1 1 310.206 1.371 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@@H]2COC[C@@H]2O1)c1sccc1Cl ZINC000921610767 713821135 /nfs/dbraw/zinc/82/11/35/713821135.db2.gz CWLDKKFJEACWJI-MRTMQBJTSA-N -1 1 323.823 1.484 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCCCO1 ZINC000921677696 713840177 /nfs/dbraw/zinc/84/01/77/713840177.db2.gz UENGMLYIERKHEQ-LBPRGKRZSA-N -1 1 306.334 1.597 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCSC2(CCC2)C1 ZINC000921714901 713852025 /nfs/dbraw/zinc/85/20/25/713852025.db2.gz HSTSOVXRCJWHJS-SECBINFHSA-N -1 1 317.436 1.662 20 0 DDADMM O=S(=O)([N-][C@@H](C1CC1)[C@@H]1CCCOC1)c1c[nH]nc1Cl ZINC000921850415 713885435 /nfs/dbraw/zinc/88/54/35/713885435.db2.gz NATPFYCNNVSCQK-KOLCDFICSA-N -1 1 319.814 1.547 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)c1c[nH]c2cc(F)c(F)cc2c1=O ZINC000931317866 714065422 /nfs/dbraw/zinc/06/54/22/714065422.db2.gz OBZGDLOVBQPDOF-CYBMUJFWSA-N -1 1 324.283 1.994 20 0 DDADMM CC(=O)N[C@H]1CCCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000943011386 717947391 /nfs/dbraw/zinc/94/73/91/717947391.db2.gz BCEFCTMCBYQGHR-LBPRGKRZSA-N -1 1 318.377 1.190 20 0 DDADMM CCn1ccnc1CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922691166 714120410 /nfs/dbraw/zinc/12/04/10/714120410.db2.gz DTUTVPAKIZBCLY-UHFFFAOYSA-N -1 1 324.331 1.929 20 0 DDADMM C[C@@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C[C@@H]1O ZINC000932047431 714245671 /nfs/dbraw/zinc/24/56/71/714245671.db2.gz HKYZBZYJFKXXKM-MFKMUULPSA-N -1 1 302.334 1.422 20 0 DDADMM CC[C@@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C[C@H]1O ZINC000932048433 714246222 /nfs/dbraw/zinc/24/62/22/714246222.db2.gz WCXQMWSEKKVXNV-BXUZGUMPSA-N -1 1 316.361 1.813 20 0 DDADMM O=C(c1ccsc1C(F)F)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000932282677 714299878 /nfs/dbraw/zinc/29/98/78/714299878.db2.gz MMMUXXGIFZGGAF-SSDOTTSWSA-N -1 1 315.305 1.413 20 0 DDADMM O=S(=O)([N-]C[C@]1(C(F)(F)F)CCCN1)c1cscn1 ZINC000923684529 714450789 /nfs/dbraw/zinc/45/07/89/714450789.db2.gz CGQLKWVDZAHALS-QMMMGPOBSA-N -1 1 315.342 1.106 20 0 DDADMM O=C([N-]CCCOC(=O)c1cccc2c1OCC2)C(F)(F)F ZINC000923879907 714484185 /nfs/dbraw/zinc/48/41/85/714484185.db2.gz NKLQXMXLADOMEN-UHFFFAOYSA-N -1 1 317.263 1.847 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@]2(CO)CCC[C@@H]12 ZINC000924131988 714533487 /nfs/dbraw/zinc/53/34/87/714533487.db2.gz KIHFCVFPDFRUIL-SJKOYZFVSA-N -1 1 319.405 1.991 20 0 DDADMM CCOC(=O)[C@@H](C[C@@H]1CCCO1)NC(=O)c1ncc(C)cc1[O-] ZINC000924878340 714686054 /nfs/dbraw/zinc/68/60/54/714686054.db2.gz IRDYWTARJNZOHZ-NWDGAFQWSA-N -1 1 322.361 1.326 20 0 DDADMM CCOC(=O)[C@@H](C[C@@H]1CCCO1)NC(=O)c1ncccc1[O-] ZINC000924881332 714686877 /nfs/dbraw/zinc/68/68/77/714686877.db2.gz XITAZZVGOPQBQV-WDEREUQCSA-N -1 1 308.334 1.018 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2cc3n(n2)CCC3)[n-]c1=O ZINC000934270307 714781824 /nfs/dbraw/zinc/78/18/24/714781824.db2.gz PZSPNRISCDSFNW-CYBMUJFWSA-N -1 1 315.377 1.671 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2ccc(Cl)nn2)[n-]c1=O ZINC000934270193 714781890 /nfs/dbraw/zinc/78/18/90/714781890.db2.gz OLNCIWFFCSJMCN-SNVBAGLBSA-N -1 1 321.768 1.971 20 0 DDADMM CCCc1noc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)n1 ZINC000934280007 714785456 /nfs/dbraw/zinc/78/54/56/714785456.db2.gz KAIHUMZTCVTFMG-SNVBAGLBSA-N -1 1 319.365 1.863 20 0 DDADMM Cc1cc(C)n(CCCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000935036606 714960893 /nfs/dbraw/zinc/96/08/93/714960893.db2.gz FLIKWVGDORWTSU-ZDUSSCGKSA-N -1 1 317.397 1.199 20 0 DDADMM O=S(=O)(C=C([O-])N=[S@@]1(=O)CCc2ccccc21)C1CC1 ZINC000926037249 714994938 /nfs/dbraw/zinc/99/49/38/714994938.db2.gz OXFKYJOWIMFVEC-LJQANCHMSA-N -1 1 313.400 1.173 20 0 DDADMM O=C(C[C@@H]1CCCC1(F)F)N1CCSC[C@H]1c1nn[n-]n1 ZINC000935457663 715060344 /nfs/dbraw/zinc/06/03/44/715060344.db2.gz WSRJNELRTMAFGX-IUCAKERBSA-N -1 1 317.365 1.642 20 0 DDADMM CCc1cc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n(C)n1 ZINC000935829629 715131378 /nfs/dbraw/zinc/13/13/78/715131378.db2.gz LRWVTRUYTGEUJB-UHFFFAOYSA-N -1 1 319.365 1.020 20 0 DDADMM CN(C(=O)CC1CCC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211606 715293056 /nfs/dbraw/zinc/29/30/56/715293056.db2.gz NBTQZLBGDKQDPG-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(CC1CCCC1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937860479 715616828 /nfs/dbraw/zinc/61/68/28/715616828.db2.gz GKEPEOMTRGOGCK-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC000937863971 715619245 /nfs/dbraw/zinc/61/92/45/715619245.db2.gz MUPANUPSSUEYSZ-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957273492 715809222 /nfs/dbraw/zinc/80/92/22/715809222.db2.gz CTRTXVIIOWODSF-GLKRBJQHSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000955656058 715895374 /nfs/dbraw/zinc/89/53/74/715895374.db2.gz VIALGKGPXODGSG-VXGBXAGGSA-N -1 1 305.378 1.553 20 0 DDADMM CCC(CC)(CC)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000939417848 716242053 /nfs/dbraw/zinc/24/20/53/716242053.db2.gz MAIGFUZUDQHRMF-VXGBXAGGSA-N -1 1 323.441 1.663 20 0 DDADMM O=C(C=C1CCC1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000939973542 716540386 /nfs/dbraw/zinc/54/03/86/716540386.db2.gz BTCOLKHVXYIBOA-GFCCVEGCSA-N -1 1 301.346 1.228 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959036458 716837773 /nfs/dbraw/zinc/83/77/73/716837773.db2.gz ODENLKFOEWMPAY-QWHCGFSZSA-N -1 1 317.389 1.720 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964848046 717453019 /nfs/dbraw/zinc/45/30/19/717453019.db2.gz ISJKQWGBRZBKEL-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H]1C[C@H]1C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000943793326 718190199 /nfs/dbraw/zinc/19/01/99/718190199.db2.gz KJOFNZGIEDEUIW-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000945129385 718405372 /nfs/dbraw/zinc/40/53/72/718405372.db2.gz VZQWVEXTFDOPOA-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM CCCC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000945564264 718494392 /nfs/dbraw/zinc/49/43/92/718494392.db2.gz AEPGMKSOESZETF-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1ccc[nH]1 ZINC000966329483 718517160 /nfs/dbraw/zinc/51/71/60/718517160.db2.gz LQVUNJGSELODHX-PWSUYJOCSA-N -1 1 314.345 1.006 20 0 DDADMM CC(=O)N[C@@H]1CCC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000946068560 718660119 /nfs/dbraw/zinc/66/01/19/718660119.db2.gz KLGXNEXWNXNCBW-WCQYABFASA-N -1 1 318.377 1.094 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccccc2O)CCN1CC(=O)N(C)C ZINC000947071587 719010305 /nfs/dbraw/zinc/01/03/05/719010305.db2.gz WNZZDNRVQFOMBX-CHWSQXEVSA-N -1 1 319.405 1.063 20 0 DDADMM CC(C)CC(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000948686295 719645321 /nfs/dbraw/zinc/64/53/21/719645321.db2.gz ZUHMMPFXJZAJSF-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ccco1)c1ncccc1[O-] ZINC000949531562 720143363 /nfs/dbraw/zinc/14/33/63/720143363.db2.gz RREYNOAMWWXUPM-SNVBAGLBSA-N -1 1 301.302 1.025 20 0 DDADMM Cc1c[nH]cc1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949538809 720147679 /nfs/dbraw/zinc/14/76/79/720147679.db2.gz RBMZEBDPLSJWPD-NSHDSACASA-N -1 1 314.345 1.068 20 0 DDADMM Cc1nc(CN(C)[C@H]2CCN(C(=O)c3ncccc3[O-])C2)co1 ZINC000971395437 721276061 /nfs/dbraw/zinc/27/60/61/721276061.db2.gz VXNJJCXMOBCPNB-ZDUSSCGKSA-N -1 1 316.361 1.430 20 0 DDADMM Cc1[nH]ccc1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954123955 721723562 /nfs/dbraw/zinc/72/35/62/721723562.db2.gz FQFPQDRFDUGELL-UHFFFAOYSA-N -1 1 314.345 1.020 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CC(C)(F)F)C1 ZINC000954128135 721725039 /nfs/dbraw/zinc/72/50/39/721725039.db2.gz TYUQFMGHJYTWND-UHFFFAOYSA-N -1 1 313.304 1.115 20 0 DDADMM O=C(N[C@@H]1CCN(Cc2cscn2)C1)c1ncccc1[O-] ZINC001010725890 733182877 /nfs/dbraw/zinc/18/28/77/733182877.db2.gz JFRXEPCZFUSWKG-SNVBAGLBSA-N -1 1 304.375 1.248 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cccc(CCO)c2)cc1N ZINC000313185338 733594040 /nfs/dbraw/zinc/59/40/40/733594040.db2.gz OXEWJQPJVWNMCL-UHFFFAOYSA-N -1 1 306.387 1.913 20 0 DDADMM Cc1ccc(CN(c2nnc(-c3nnn[n-]3)n2C)C2CC2)cc1C ZINC001121267860 782432083 /nfs/dbraw/zinc/43/20/83/782432083.db2.gz FKJVVQBYDYXCTC-UHFFFAOYSA-N -1 1 324.392 1.781 20 0 DDADMM Cc1ccc(CN(c2nnc(-c3nn[n-]n3)n2C)C2CC2)cc1C ZINC001121267860 782432086 /nfs/dbraw/zinc/43/20/86/782432086.db2.gz FKJVVQBYDYXCTC-UHFFFAOYSA-N -1 1 324.392 1.781 20 0 DDADMM Cc1ccc(F)c(CN(C)c2nnc(Cc3nnn[n-]3)n2C)c1 ZINC001121316899 782455250 /nfs/dbraw/zinc/45/52/50/782455250.db2.gz HPHLMGMNUDVMJD-UHFFFAOYSA-N -1 1 316.344 1.003 20 0 DDADMM Cc1ccc(F)c(CN(C)c2nnc(Cc3nn[n-]n3)n2C)c1 ZINC001121316899 782455256 /nfs/dbraw/zinc/45/52/56/782455256.db2.gz HPHLMGMNUDVMJD-UHFFFAOYSA-N -1 1 316.344 1.003 20 0 DDADMM C[C@H](CNc1cncc(Cl)n1)N(C)C(=O)c1ncccc1[O-] ZINC001104537015 736203880 /nfs/dbraw/zinc/20/38/80/736203880.db2.gz ZYLTXSAKWBWYJM-SECBINFHSA-N -1 1 321.768 1.803 20 0 DDADMM CC(C)C(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001007898679 737272210 /nfs/dbraw/zinc/27/22/10/737272210.db2.gz KIZWCXIRGFFMDG-LBPRGKRZSA-N -1 1 305.378 1.410 20 0 DDADMM O=S(=O)([N-]CC1(O)CCCC1)c1ccc(Cl)nc1F ZINC000692892716 738963674 /nfs/dbraw/zinc/96/36/74/738963674.db2.gz JXUBMTCTOSBCRB-UHFFFAOYSA-N -1 1 308.762 1.458 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)[C@H]1CCCOC1 ZINC000692905421 739032713 /nfs/dbraw/zinc/03/27/13/739032713.db2.gz SMWFUPLAMIPNCK-IUCAKERBSA-N -1 1 322.789 1.968 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)c(CO)c1 ZINC001211752098 739410400 /nfs/dbraw/zinc/41/04/00/739410400.db2.gz OMRCJKJLXFODLR-UHFFFAOYSA-N -1 1 323.374 1.153 20 0 DDADMM COc1cnc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)cn1 ZINC001167936980 739739561 /nfs/dbraw/zinc/73/95/61/739739561.db2.gz ONGHAVKRMFWPGO-UHFFFAOYSA-N -1 1 321.273 1.570 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)C2=CCCC2)C1 ZINC001017101077 751508027 /nfs/dbraw/zinc/50/80/27/751508027.db2.gz ZNQAXFJKXMJLMA-GFCCVEGCSA-N -1 1 319.409 1.291 20 0 DDADMM O=C(CCC1CC1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088283542 741322232 /nfs/dbraw/zinc/32/22/32/741322232.db2.gz IDWKUDBUUXRXEB-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM C[C@@H](C(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001029951551 741640034 /nfs/dbraw/zinc/64/00/34/741640034.db2.gz XYLYPSFVWSGSIB-LLVKDONJSA-N -1 1 321.425 1.227 20 0 DDADMM C/C=C(/C)C(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001029953461 741641339 /nfs/dbraw/zinc/64/13/39/741641339.db2.gz XGZPJYXBYRDHJS-WCIBSUBMSA-N -1 1 307.398 1.147 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CC[N@@H+]1C[C@H]1CCCCO1 ZINC001088539269 741711894 /nfs/dbraw/zinc/71/18/94/741711894.db2.gz QDTURSGTTRCYRN-MCIONIFRSA-N -1 1 319.405 1.549 20 0 DDADMM C[C@@H](CCNC(=O)/C=C/C1CC1)NC(=O)c1ncccc1[O-] ZINC001076035390 742506156 /nfs/dbraw/zinc/50/61/56/742506156.db2.gz DYTRARVSOAXDHT-MLRMMBSGSA-N -1 1 303.362 1.378 20 0 DDADMM CCC[C@H](OC)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076246148 742618735 /nfs/dbraw/zinc/61/87/35/742618735.db2.gz HLCKCJVUASLWHK-AAEUAGOBSA-N -1 1 323.393 1.227 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1ccoc1 ZINC001076244706 742623694 /nfs/dbraw/zinc/62/36/94/742623694.db2.gz ZXMOCSSLSAAQDG-JTQLQIEISA-N -1 1 303.318 1.319 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CC1CCCC1 ZINC001076244617 742623735 /nfs/dbraw/zinc/62/37/35/742623735.db2.gz WZLOMLPGKNRTLS-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1nocc1C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076245048 742624708 /nfs/dbraw/zinc/62/47/08/742624708.db2.gz MDGRUQSPZLTSNN-VIFPVBQESA-N -1 1 318.333 1.022 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002181352 742929288 /nfs/dbraw/zinc/92/92/88/742929288.db2.gz FSVRBUNDCDJPLI-CHWSQXEVSA-N -1 1 319.405 1.896 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001168696914 743212921 /nfs/dbraw/zinc/21/29/21/743212921.db2.gz YGZQKTSMRCBYCA-QYMJWVLRSA-N -1 1 320.356 1.904 20 0 DDADMM COc1cc(Br)c([O-])c(NC(=O)[C@H]2CCO2)c1 ZINC001181589226 743256567 /nfs/dbraw/zinc/25/65/67/743256567.db2.gz VCJIJQXJFRKOIA-SECBINFHSA-N -1 1 302.124 1.891 20 0 DDADMM CN(C)c1nc(NC(=O)[C@@H]2C[C@]2(F)Cl)c(N=O)c(=O)[n-]1 ZINC001181659783 743290021 /nfs/dbraw/zinc/29/00/21/743290021.db2.gz ONIMUZOKIXVNRQ-ZXPFJRLXSA-N -1 1 303.681 1.509 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2ccc[nH]c2=O)c1 ZINC001206480658 743483266 /nfs/dbraw/zinc/48/32/66/743483266.db2.gz AESGKQOJNBOWSQ-UHFFFAOYSA-N -1 1 309.303 1.503 20 0 DDADMM Cn1nnc([N-]C(=O)c2c(F)ccc(Br)c2F)n1 ZINC001127015734 743558171 /nfs/dbraw/zinc/55/81/71/743558171.db2.gz DWRJRWNXFKHISB-UHFFFAOYSA-N -1 1 318.081 1.503 20 0 DDADMM O=C(CCCNC(=O)c1ccc(F)cc1)Nc1c[n-][nH]c1=O ZINC001183561394 743856737 /nfs/dbraw/zinc/85/67/37/743856737.db2.gz AFJGSHJWSKGVGH-UHFFFAOYSA-N -1 1 306.297 1.403 20 0 DDADMM CC(C)[C@H](C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O)c1ccccc1 ZINC001183578683 743862942 /nfs/dbraw/zinc/86/29/42/743862942.db2.gz ULMNOQQZNHWREY-JTQLQIEISA-N -1 1 302.334 1.848 20 0 DDADMM CC(C)C[C@@](C)(O)C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001183963828 743943533 /nfs/dbraw/zinc/94/35/33/743943533.db2.gz VNSNRUPENVQUDJ-CYBMUJFWSA-N -1 1 311.342 1.382 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)C1=NOC2(C1)CCCCC2 ZINC001184697196 744082435 /nfs/dbraw/zinc/08/24/35/744082435.db2.gz LUWFMBKAKMEHTO-UHFFFAOYSA-N -1 1 316.321 1.476 20 0 DDADMM O=S(=O)([N-]c1cccc(CO)c1)c1ccc2n[nH]cc2c1 ZINC001185990746 744318462 /nfs/dbraw/zinc/31/84/62/744318462.db2.gz UALXAHOTGVVBMT-UHFFFAOYSA-N -1 1 303.343 1.856 20 0 DDADMM CN1C(=O)CC[C@@H]2CN(C(=O)c3ccc([O-])c(F)c3)CC[C@@H]21 ZINC001186218553 744366096 /nfs/dbraw/zinc/36/60/96/744366096.db2.gz GQKOJLOWJLMNPW-YPMHNXCESA-N -1 1 306.337 1.614 20 0 DDADMM O=C(NCc1ccc2c(c1)CC(=O)N2)c1ccc([O-])cc1F ZINC001186348555 744380901 /nfs/dbraw/zinc/38/09/01/744380901.db2.gz SAUSSBBDNDEMCC-UHFFFAOYSA-N -1 1 300.289 1.956 20 0 DDADMM CN(C)C(=O)c1cccc(NC(=O)NCC(F)(F)F)c1[O-] ZINC001187093878 744503323 /nfs/dbraw/zinc/50/33/23/744503323.db2.gz FVLXETYKHYNREN-UHFFFAOYSA-N -1 1 305.256 1.778 20 0 DDADMM COc1ccc(C[N-]S(=O)(=O)c2cnoc2C)cc1OC ZINC001187321701 744539493 /nfs/dbraw/zinc/53/94/93/744539493.db2.gz QDFDJNWUDJAWCX-UHFFFAOYSA-N -1 1 312.347 1.479 20 0 DDADMM COc1cc([C@H]([N-]S(=O)(=O)c2cnoc2C)C2CC2)ccn1 ZINC001187413743 744559060 /nfs/dbraw/zinc/55/90/60/744559060.db2.gz GYZQEBNMWTUNDN-CQSZACIVSA-N -1 1 323.374 1.816 20 0 DDADMM Cc1cc(C(=O)NCC[N-]C(=O)C(F)(F)Br)c[nH]1 ZINC001188207835 744675925 /nfs/dbraw/zinc/67/59/25/744675925.db2.gz XRJLVJYUTRSRTA-UHFFFAOYSA-N -1 1 324.125 1.157 20 0 DDADMM Nc1nc(=O)c2ccccc2n1C(=O)c1nc(C(F)(F)F)c[n-]1 ZINC001188288595 744679109 /nfs/dbraw/zinc/67/91/09/744679109.db2.gz FTVPVEACPRMMEF-UHFFFAOYSA-N -1 1 323.234 1.652 20 0 DDADMM Nc1ncc(Cl)nc1NC(=O)c1ncc(C(F)(F)F)[n-]1 ZINC001188280471 744686045 /nfs/dbraw/zinc/68/60/45/744686045.db2.gz ICISGDCEVLOOKC-UHFFFAOYSA-N -1 1 306.635 1.706 20 0 DDADMM Nc1ncc(Cl)nc1NC(=O)c1nc(C(F)(F)F)c[n-]1 ZINC001188280471 744686046 /nfs/dbraw/zinc/68/60/46/744686046.db2.gz ICISGDCEVLOOKC-UHFFFAOYSA-N -1 1 306.635 1.706 20 0 DDADMM Cn1ncc(Br)c1[N-]S(=O)(=O)c1ccoc1 ZINC001188571111 744719288 /nfs/dbraw/zinc/71/92/88/744719288.db2.gz TWDLVJFPQKHSQQ-UHFFFAOYSA-N -1 1 306.141 1.576 20 0 DDADMM CSc1nc(CNC(=O)C=Cc2cccnc2)cc(=O)[n-]1 ZINC001143612393 744764977 /nfs/dbraw/zinc/76/49/77/744764977.db2.gz IGQKMCXFDWWJJU-PLNGDYQASA-N -1 1 302.359 1.629 20 0 DDADMM COc1cccc(C)c1C(=O)NCCCC[P@](=O)([O-])O ZINC001188814118 744774182 /nfs/dbraw/zinc/77/41/82/744774182.db2.gz QANQFFUEQKQCAB-UHFFFAOYSA-N -1 1 301.279 1.691 20 0 DDADMM CCOC(=O)CNC(=O)Nc1cccc([O-])c1Br ZINC001189911776 745028448 /nfs/dbraw/zinc/02/84/48/745028448.db2.gz KKHCDMHNISYGDP-UHFFFAOYSA-N -1 1 317.139 1.839 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2ncc(F)cc2F)cs1 ZINC001190134144 745108706 /nfs/dbraw/zinc/10/87/06/745108706.db2.gz QESWDEKXCZGORH-UHFFFAOYSA-N -1 1 305.331 1.603 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(F)cc(F)c1F)c1cncnc1 ZINC001190401186 745200681 /nfs/dbraw/zinc/20/06/81/745200681.db2.gz FMEKBCFLTQPWPQ-SSDOTTSWSA-N -1 1 317.292 1.933 20 0 DDADMM C[C@]12CN(C(=O)c3ncccc3[O-])C[C@H]1CN(C(=O)C1CC1)C2 ZINC000992821933 745224379 /nfs/dbraw/zinc/22/43/79/745224379.db2.gz VUPKLVWFEMRYAD-PXAZEXFGSA-N -1 1 315.373 1.118 20 0 DDADMM Cc1ccc(O)c(NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)n1 ZINC001190618589 745260586 /nfs/dbraw/zinc/26/05/86/745260586.db2.gz SCIYVNIWGZCSID-UHFFFAOYSA-N -1 1 323.312 1.906 20 0 DDADMM CC1(C)C[C@H](CNC(=O)c2cnc(-c3ccccn3)[n-]c2=O)O1 ZINC001190629538 745279215 /nfs/dbraw/zinc/27/92/15/745279215.db2.gz RYAMRZXEZUIPKB-SNVBAGLBSA-N -1 1 314.345 1.542 20 0 DDADMM O=C(NC1CN(C/C=C/Cl)C1)c1cnc(C2CC2)[n-]c1=O ZINC001031062930 745279918 /nfs/dbraw/zinc/27/99/18/745279918.db2.gz HYUAEXFXRDRJJK-DAFODLJHSA-N -1 1 308.769 1.226 20 0 DDADMM O=S(=O)(N=c1cc[nH]c2ccc(Cl)cc21)c1ncc[n-]1 ZINC001190686567 745297835 /nfs/dbraw/zinc/29/78/35/745297835.db2.gz OAVVQIMJAIHRTJ-UHFFFAOYSA-N -1 1 308.750 1.834 20 0 DDADMM COc1cc(NS(=O)(=O)c2ncc[n-]2)c(Cl)c(OC)c1 ZINC001190730399 745309645 /nfs/dbraw/zinc/30/96/45/745309645.db2.gz FHAPGIJADHFVLM-UHFFFAOYSA-N -1 1 317.754 1.881 20 0 DDADMM CCC(C)(C)OCC[N-]S(=O)(=O)c1csnc1OC ZINC001191082565 745413624 /nfs/dbraw/zinc/41/36/24/745413624.db2.gz GHMZCGUCNYYUTJ-UHFFFAOYSA-N -1 1 308.425 1.635 20 0 DDADMM CSc1ncc(C(=O)Nc2noc3cccnc32)c(=O)[n-]1 ZINC001191420270 745506709 /nfs/dbraw/zinc/50/67/09/745506709.db2.gz KRTCGTGBEUUTJR-UHFFFAOYSA-N -1 1 303.303 1.693 20 0 DDADMM CSc1ncc(C(=O)N2CCc3oc(C)nc3C2)c(=O)[n-]1 ZINC001191436886 745512138 /nfs/dbraw/zinc/51/21/38/745512138.db2.gz HKDNBCJCZFDFHV-UHFFFAOYSA-N -1 1 306.347 1.399 20 0 DDADMM Cc1cc(NC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)ccc1F ZINC001192407313 745788370 /nfs/dbraw/zinc/78/83/70/745788370.db2.gz IZLNVFMTTYUXNP-UHFFFAOYSA-N -1 1 318.268 1.807 20 0 DDADMM CC(=O)NCCCCCNC(=O)c1c(F)ccc([O-])c1F ZINC001192551886 745812939 /nfs/dbraw/zinc/81/29/39/745812939.db2.gz HMSMMNIDWBVMKI-UHFFFAOYSA-N -1 1 300.305 1.707 20 0 DDADMM N=c1c(N)cnc(Cl)n1C(=O)c1cc([O-])cc(F)c1F ZINC001192651184 745845672 /nfs/dbraw/zinc/84/56/72/745845672.db2.gz AFNHEPMAYVWPJV-UHFFFAOYSA-N -1 1 300.652 1.270 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cc([O-])cc(F)c1F)C(F)(F)F ZINC001192679123 745859658 /nfs/dbraw/zinc/85/96/58/745859658.db2.gz PZVYZHFPOLXKJM-QMMMGPOBSA-N -1 1 313.178 1.504 20 0 DDADMM CCOC(=O)C(F)(F)CNC(=O)c1cc([O-])cc(F)c1F ZINC001192679022 745860096 /nfs/dbraw/zinc/86/00/96/745860096.db2.gz OESQYHDHRTWWNK-UHFFFAOYSA-N -1 1 309.215 1.599 20 0 DDADMM COC(=O)C[C@@H]1CN(C(=O)c2cc([O-])cc(F)c2F)CCO1 ZINC001192665254 745867975 /nfs/dbraw/zinc/86/79/75/745867975.db2.gz ULLGWIXSBXAJKE-SECBINFHSA-N -1 1 315.272 1.075 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc(C)nc1F ZINC001193012606 745959693 /nfs/dbraw/zinc/95/96/93/745959693.db2.gz CPHXLOCVJNKLEX-UHFFFAOYSA-N -1 1 304.343 1.613 20 0 DDADMM CC(=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)c(F)c1 ZINC001193264612 746036521 /nfs/dbraw/zinc/03/65/21/746036521.db2.gz UJQUWQSIHNNDHI-NSHDSACASA-N -1 1 301.339 1.949 20 0 DDADMM Cc1cc([N-]S(=O)(=O)C[C@H]2CCCO2)cc2snnc21 ZINC001193275773 746041608 /nfs/dbraw/zinc/04/16/08/746041608.db2.gz WIOQODSXQKMFOH-SNVBAGLBSA-N -1 1 313.404 1.920 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]C1(c2ccccc2F)COC1 ZINC001193289713 746048308 /nfs/dbraw/zinc/04/83/08/746048308.db2.gz OHSLVMKPMZUVGV-NSHDSACASA-N -1 1 315.366 1.150 20 0 DDADMM CCOC(=O)c1cnn(C)c1[N-]S(=O)(=O)CC1CCC1 ZINC001193471336 746113489 /nfs/dbraw/zinc/11/34/89/746113489.db2.gz NYSKIJMNVZVUPI-UHFFFAOYSA-N -1 1 301.368 1.139 20 0 DDADMM CCCCOC(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001193732209 746180443 /nfs/dbraw/zinc/18/04/43/746180443.db2.gz YKLGBLAHPPKGMI-UHFFFAOYSA-N -1 1 317.130 1.617 20 0 DDADMM Cc1[n-]n(-c2ccccc2)c(=O)c1C(=O)N1CC[N@H+]2CC[C@@H]2C1 ZINC001194270187 746327090 /nfs/dbraw/zinc/32/70/90/746327090.db2.gz KCOUGVZTUMIYMF-CQSZACIVSA-N -1 1 312.373 1.416 20 0 DDADMM Cc1[n-]n(-c2ccccc2)c(=O)c1C(=O)N1CCN2CC[C@@H]2C1 ZINC001194270187 746327094 /nfs/dbraw/zinc/32/70/94/746327094.db2.gz KCOUGVZTUMIYMF-CQSZACIVSA-N -1 1 312.373 1.416 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2cnc(C3CC3)[nH]c2=O)CCC[N@@H+]1CCF ZINC000993937088 746380931 /nfs/dbraw/zinc/38/09/31/746380931.db2.gz RSFOGYOJDKZNBV-GXFFZTMASA-N -1 1 322.384 1.612 20 0 DDADMM COc1nc(C)ncc1[N-]S(=O)(=O)CC[Si](C)(C)C ZINC001195041366 746515230 /nfs/dbraw/zinc/51/52/30/746515230.db2.gz AJVBRMVMYMWMKL-UHFFFAOYSA-N -1 1 303.460 1.874 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1nc(-c2ccccc2)c[nH]1 ZINC001195340436 746579258 /nfs/dbraw/zinc/57/92/58/746579258.db2.gz VAFYDFZKQFYTAF-UHFFFAOYSA-N -1 1 312.289 1.112 20 0 DDADMM Cc1coc(C(=O)[N-]c2ncnc3c2ncn3[C@H]2CCCO2)n1 ZINC001195752095 746699518 /nfs/dbraw/zinc/69/95/18/746699518.db2.gz OUDNKHKVNRSFMG-SECBINFHSA-N -1 1 314.305 1.684 20 0 DDADMM O=C(NCc1cc2ccccn2n1)c1c[nH]c(=S)[n-]c1=O ZINC001196026963 746765873 /nfs/dbraw/zinc/76/58/73/746765873.db2.gz OCXKBWQPZKWKQS-UHFFFAOYSA-N -1 1 301.331 1.049 20 0 DDADMM COc1ccc(F)c(S(=O)(=O)[N-][C@@H]2CCOC[C@H]2F)c1 ZINC001196789370 746962732 /nfs/dbraw/zinc/96/27/32/746962732.db2.gz TYUFFPOKKDEMEL-GHMZBOCLSA-N -1 1 307.318 1.240 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cccc(F)c1OC ZINC001196913466 747008203 /nfs/dbraw/zinc/00/82/03/747008203.db2.gz OHJVPKXQSKGNBL-UHFFFAOYSA-N -1 1 319.354 1.919 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)Cc2c(F)cccc2F)o1 ZINC001197628451 747210848 /nfs/dbraw/zinc/21/08/48/747210848.db2.gz AHRDJBCAFNMPEX-UHFFFAOYSA-N -1 1 303.290 1.276 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nccnc2OC(C)C)n1 ZINC001198048776 747347026 /nfs/dbraw/zinc/34/70/26/747347026.db2.gz UOAQILOOGNKGJV-UHFFFAOYSA-N -1 1 319.321 1.416 20 0 DDADMM CSc1nc(NC(=O)c2n[nH]c3c2CC[C@@H]3C)cc(=O)[n-]1 ZINC001198435529 747503886 /nfs/dbraw/zinc/50/38/86/747503886.db2.gz ZGIHVYGERBRQCY-LURJTMIESA-N -1 1 305.363 1.929 20 0 DDADMM CN1CCC[C@@](C)(NS(=O)(=O)c2ccccc2C(=O)[O-])C1 ZINC001198807300 747618307 /nfs/dbraw/zinc/61/83/07/747618307.db2.gz GUGHRWKBFCSNEL-CQSZACIVSA-N -1 1 312.391 1.147 20 0 DDADMM Cc1cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)n(CC(C)C)n1 ZINC001199093571 747699958 /nfs/dbraw/zinc/69/99/58/747699958.db2.gz IHGIVFCJBOZLEK-UHFFFAOYSA-N -1 1 315.337 1.472 20 0 DDADMM COc1cc([N-]C(=O)c2nccnc2OCC(F)(F)F)no1 ZINC001199178275 747729247 /nfs/dbraw/zinc/72/92/47/747729247.db2.gz PKUFVNXLQBIUHF-UHFFFAOYSA-N -1 1 318.211 1.667 20 0 DDADMM CCOc1ncc(C(=O)[N-]c2c(C)noc2C(=O)OC)cn1 ZINC001199225489 747749857 /nfs/dbraw/zinc/74/98/57/747749857.db2.gz GYHOWUBYQMCTGF-UHFFFAOYSA-N -1 1 306.278 1.211 20 0 DDADMM CC(C)Oc1cccnc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001199243059 747759111 /nfs/dbraw/zinc/75/91/11/747759111.db2.gz KVIVDBJLYDQFHK-UHFFFAOYSA-N -1 1 314.305 1.493 20 0 DDADMM O=C([N-]c1noc2nccnc12)c1cccc(OC(F)F)n1 ZINC001199301218 747781687 /nfs/dbraw/zinc/78/16/87/747781687.db2.gz GKPXLRLGQHGSIJ-UHFFFAOYSA-N -1 1 307.216 1.867 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nccn2CC2CC2)n1 ZINC001199450547 747844517 /nfs/dbraw/zinc/84/45/17/747844517.db2.gz QUUDOZFBEVBHAC-UHFFFAOYSA-N -1 1 303.322 1.445 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cc(CCO)ccn1 ZINC001199754436 747980845 /nfs/dbraw/zinc/98/08/45/747980845.db2.gz AKZLGZWHROQQSY-UHFFFAOYSA-N -1 1 301.228 1.006 20 0 DDADMM Cc1ncoc1CN1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001033036559 748012279 /nfs/dbraw/zinc/01/22/79/748012279.db2.gz RGXDLCDPRSLZPO-LBPRGKRZSA-N -1 1 316.361 1.430 20 0 DDADMM Cn1ncc2c1cccc2C(=O)NCCCC[P@](=O)([O-])O ZINC001201307576 748471882 /nfs/dbraw/zinc/47/18/82/748471882.db2.gz MYYAXDZTUXVQFP-UHFFFAOYSA-N -1 1 311.278 1.261 20 0 DDADMM CC(C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1C ZINC001004539799 748543593 /nfs/dbraw/zinc/54/35/93/748543593.db2.gz LMYUABLIIGWPCA-VXGBXAGGSA-N -1 1 305.378 1.410 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2sc(Cl)nc2C)CC1 ZINC001201765538 748597788 /nfs/dbraw/zinc/59/77/88/748597788.db2.gz SSXJLDYFRZTBFE-UHFFFAOYSA-N -1 1 310.784 1.089 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1CCCNC(=O)C1 ZINC001201769622 748599705 /nfs/dbraw/zinc/59/97/05/748599705.db2.gz TYOGYDBMASCSBC-ZETCQYMHSA-N -1 1 323.827 1.052 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]c1cn[nH]c(=O)c1 ZINC001201733018 748601798 /nfs/dbraw/zinc/60/17/98/748601798.db2.gz GLRRSXDXEMEJHU-UHFFFAOYSA-N -1 1 306.756 1.401 20 0 DDADMM Cc1cccc2c1CCN(c1nnc(-c3noc(=O)[n-]3)n1C)C2 ZINC001121545111 782558267 /nfs/dbraw/zinc/55/82/67/782558267.db2.gz KSQNHLJXPWCUAI-UHFFFAOYSA-N -1 1 312.333 1.030 20 0 DDADMM O=C(NC/C=C\CNc1ncncc1Cl)c1ncccc1[O-] ZINC001107139900 749352463 /nfs/dbraw/zinc/35/24/63/749352463.db2.gz UPEGUEDRRJCVFT-UPHRSURJSA-N -1 1 319.752 1.629 20 0 DDADMM C=CC(=O)Nc1cc(NC(=O)c2cnncc2[O-])ccc1F ZINC001137206264 749509362 /nfs/dbraw/zinc/50/93/62/749509362.db2.gz MEAGCDCMIMVLRI-UHFFFAOYSA-N -1 1 302.265 1.698 20 0 DDADMM CC1(C)CN(C(=O)[C@@H]2CC23CCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996360877 749537093 /nfs/dbraw/zinc/53/70/93/749537093.db2.gz UACUQOKVOFKZDW-QWRGUYRKSA-N -1 1 319.409 1.027 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](CNc2cc(F)ncn2)C1 ZINC001060856413 751019377 /nfs/dbraw/zinc/01/93/77/751019377.db2.gz HINAZVUGVIVXTJ-SNVBAGLBSA-N -1 1 317.324 1.291 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](CNc2cccc(F)n2)C1 ZINC001060856897 751027593 /nfs/dbraw/zinc/02/75/93/751027593.db2.gz UPNWNCYNLCHBPI-NSHDSACASA-N -1 1 316.336 1.896 20 0 DDADMM CC(C)[C@@]1(C)C[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998869504 752323741 /nfs/dbraw/zinc/32/37/41/752323741.db2.gz PNDOHYJEEGWOCX-YVEFUNNKSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001000738452 762143506 /nfs/dbraw/zinc/14/35/06/762143506.db2.gz OZXXRYVXXQBZQO-AVGNSLFASA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C1CCC1 ZINC001009909767 753459539 /nfs/dbraw/zinc/45/95/39/753459539.db2.gz KXUBFEFPOGNSFR-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(c2ncc(F)cn2)C1 ZINC001062922880 753848817 /nfs/dbraw/zinc/84/88/17/753848817.db2.gz BWNZOSCGCKXQTG-NSHDSACASA-N -1 1 317.324 1.067 20 0 DDADMM Cc1ccnc(NC[C@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC001063474428 754170780 /nfs/dbraw/zinc/17/07/80/754170780.db2.gz GCUVGVGTIVSUEN-GFCCVEGCSA-N -1 1 313.361 1.602 20 0 DDADMM O=C(NC1(CNC(=O)[C@H]2CC23CC3)CCC1)c1ncccc1[O-] ZINC001064682657 754762700 /nfs/dbraw/zinc/76/27/00/754762700.db2.gz ITYPRKWERUNZED-LLVKDONJSA-N -1 1 315.373 1.356 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1CC1 ZINC001012162245 754900997 /nfs/dbraw/zinc/90/09/97/754900997.db2.gz YTWDKRVUQKZZQY-JQWIXIFHSA-N -1 1 303.362 1.307 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001012448738 755078877 /nfs/dbraw/zinc/07/88/77/755078877.db2.gz IRECZTBKWLDICF-LGSVWZNTSA-N -1 1 303.362 1.473 20 0 DDADMM Cc1nocc1CN1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001079610582 755473190 /nfs/dbraw/zinc/47/31/90/755473190.db2.gz BIFGGFWQYOOALX-ZWNOBZJWSA-N -1 1 316.361 1.334 20 0 DDADMM O=C([N-]CCNc1cccc2ccc(=O)oc21)C(F)(F)F ZINC001164243870 756242060 /nfs/dbraw/zinc/24/20/60/756242060.db2.gz JDKOLDGMCVHZMQ-UHFFFAOYSA-N -1 1 300.236 1.883 20 0 DDADMM CC[C@H](F)CN1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001084300014 757470638 /nfs/dbraw/zinc/47/06/38/757470638.db2.gz RIHPSNQOLCLWHU-FRRDWIJNSA-N -1 1 307.369 1.682 20 0 DDADMM CCc1nsc(N[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097765112 757510908 /nfs/dbraw/zinc/51/09/08/757510908.db2.gz OIEFWPDPBPSMFI-MRVPVSSYSA-N -1 1 307.379 1.432 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018730656 759197643 /nfs/dbraw/zinc/19/76/43/759197643.db2.gz XMQGQFHRPAQZPZ-VXGBXAGGSA-N -1 1 315.373 1.118 20 0 DDADMM Cc1nccc(N2CCCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC001057127371 762694919 /nfs/dbraw/zinc/69/49/19/762694919.db2.gz QNQZNKAIUDHJOI-UHFFFAOYSA-N -1 1 313.361 1.238 20 0 DDADMM Cc1cnc([C@@H](C)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC001018755914 759226222 /nfs/dbraw/zinc/22/62/22/759226222.db2.gz HXHSRKWYRAVYLK-NEPJUHHUSA-N -1 1 316.361 1.649 20 0 DDADMM Cc1ccc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)s1 ZINC001054339015 759508213 /nfs/dbraw/zinc/50/82/13/759508213.db2.gz LCIIGNORHGPITF-PSASIEDQSA-N -1 1 321.406 1.131 20 0 DDADMM C[C@H]1CN(C(=O)CC(C)(C)C2CC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054645715 759942979 /nfs/dbraw/zinc/94/29/79/759942979.db2.gz XGCURJJYXIAULQ-JQWIXIFHSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@@H](CC1CCCC1)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019463413 759959973 /nfs/dbraw/zinc/95/99/73/759959973.db2.gz BEINXPMLCWSILG-AAEUAGOBSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)sc1C ZINC001019750632 760226841 /nfs/dbraw/zinc/22/68/41/760226841.db2.gz WDWDOSGBOLBWDJ-JTQLQIEISA-N -1 1 321.406 1.193 20 0 DDADMM C[C@H](CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001001543971 762947931 /nfs/dbraw/zinc/94/79/31/762947931.db2.gz LNRNBYVIUOEYSQ-LLVKDONJSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H](NC(=O)C(F)F)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532003 763718084 /nfs/dbraw/zinc/71/80/84/763718084.db2.gz VWFRAMRAVCWTLQ-BDAKNGLRSA-N -1 1 313.304 1.019 20 0 DDADMM Cc1cc(N[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001112982870 765098355 /nfs/dbraw/zinc/09/83/55/765098355.db2.gz CQQSVOSUBZNEFQ-GHMZBOCLSA-N -1 1 301.350 1.505 20 0 DDADMM Cc1sccc1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045030842 766050285 /nfs/dbraw/zinc/05/02/85/766050285.db2.gz XWCFTUOYANWQQI-JTQLQIEISA-N -1 1 321.406 1.275 20 0 DDADMM CC1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001045181667 766139382 /nfs/dbraw/zinc/13/93/82/766139382.db2.gz RFXIVUSBFUVEIJ-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM CC(C)Oc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)ccn1 ZINC001170215830 766173879 /nfs/dbraw/zinc/17/38/79/766173879.db2.gz AOHPDXBLAAFDND-UHFFFAOYSA-N -1 1 302.294 1.642 20 0 DDADMM CCCNc1nc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)ncc1C ZINC001170213923 766173904 /nfs/dbraw/zinc/17/39/04/766173904.db2.gz RVHQBIOUURIUOZ-UHFFFAOYSA-N -1 1 316.325 1.380 20 0 DDADMM COCOc1ccc(C)cc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170219655 766177714 /nfs/dbraw/zinc/17/77/14/766177714.db2.gz SOWCBWCCHHWJIF-UHFFFAOYSA-N -1 1 317.305 1.750 20 0 DDADMM CNC(=O)c1ccnc(Cl)c1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170226333 766200147 /nfs/dbraw/zinc/20/01/47/766200147.db2.gz HWJKATLWUDISIF-UHFFFAOYSA-N -1 1 319.712 1.210 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2cc(-c3cccnc3)ncn2)[n-]1 ZINC001170227420 766201065 /nfs/dbraw/zinc/20/10/65/766201065.db2.gz MDLLZZCPIGPPNF-UHFFFAOYSA-N -1 1 306.289 1.654 20 0 DDADMM O=C(NC1CC(CNc2ncc(F)cn2)C1)c1ncccc1[O-] ZINC001067843694 766536719 /nfs/dbraw/zinc/53/67/19/766536719.db2.gz XHFYWNSGQIJYFZ-UHFFFAOYSA-N -1 1 317.324 1.337 20 0 DDADMM CC1(C)CN(c2ncccn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001068108114 766918227 /nfs/dbraw/zinc/91/82/27/766918227.db2.gz UXTLALZGCVVODS-LBPRGKRZSA-N -1 1 313.361 1.222 20 0 DDADMM C[C@H]1C[C@@H](Nc2nccnc2F)CN1C(=O)c1ncccc1[O-] ZINC001069065246 767813984 /nfs/dbraw/zinc/81/39/84/767813984.db2.gz IJVLDWJNOXXKKJ-VHSXEESVSA-N -1 1 317.324 1.431 20 0 DDADMM CC(C)(C)CC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648802 768644333 /nfs/dbraw/zinc/64/43/33/768644333.db2.gz XYPXZXPPPAIQPM-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CCC(=O)N[C@H]1CC[C@@H](C)[N@H+](Cc2cc(=O)[nH]c(C3CC3)n2)C1 ZINC001071282933 769228485 /nfs/dbraw/zinc/22/84/85/769228485.db2.gz QRDWHAQBRQRWDF-YPMHNXCESA-N -1 1 318.421 1.939 20 0 DDADMM CC(C)CCC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071575409 769652176 /nfs/dbraw/zinc/65/21/76/769652176.db2.gz SUAKGBYDCGOZTR-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071951964 770334340 /nfs/dbraw/zinc/33/43/40/770334340.db2.gz GGQNGUSVLSFEPF-PEXLVHELSA-N -1 1 303.362 1.330 20 0 DDADMM CCCCCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071951558 770334997 /nfs/dbraw/zinc/33/49/97/770334997.db2.gz ARTIOYLVHPKXBJ-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H](F)CN1CC[C@H]2[C@H]1CCCN2C(=O)c1n[nH]c(C)c1[O-] ZINC001049422636 770810317 /nfs/dbraw/zinc/81/03/17/770810317.db2.gz KWILKOSCRBVFIY-XQQFMLRXSA-N -1 1 324.400 1.851 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@H](O)c1ccccn1 ZINC001136191021 771913685 /nfs/dbraw/zinc/91/36/85/771913685.db2.gz WZBNZFMEFGSKJV-OAHLLOKOSA-N -1 1 310.313 1.849 20 0 DDADMM COCCN1CCCN(C(=O)c2cc(C)cc(C=O)c2[O-])CC1 ZINC001136431349 771967190 /nfs/dbraw/zinc/96/71/90/771967190.db2.gz AWLKOWDXBAHMSF-UHFFFAOYSA-N -1 1 320.389 1.307 20 0 DDADMM COc1ccc(F)c(C(=O)Nc2cc(=O)[n-]c(SC)n2)n1 ZINC001147765446 773220815 /nfs/dbraw/zinc/22/08/15/773220815.db2.gz NUQAIKJGJPVZJF-UHFFFAOYSA-N -1 1 310.310 1.699 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccccc1OC(F)F ZINC001148709141 773584623 /nfs/dbraw/zinc/58/46/23/773584623.db2.gz QCMFBLYTPWEQSH-UHFFFAOYSA-N -1 1 323.232 1.976 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]1O)c1cc([O-])c(Br)c(O)c1 ZINC001148989773 773666535 /nfs/dbraw/zinc/66/65/35/773666535.db2.gz IWQBYYTZQOXHAN-SFYZADRCSA-N -1 1 316.151 1.504 20 0 DDADMM O=C(Cc1ccccc1)N1CCC[C@H]([N-]C(=O)C(F)(F)F)C1 ZINC000586016696 773738765 /nfs/dbraw/zinc/73/87/65/773738765.db2.gz CUNXZLBUFXVQNO-LBPRGKRZSA-N -1 1 314.307 1.899 20 0 DDADMM CCC1(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001036814755 774700089 /nfs/dbraw/zinc/70/00/89/774700089.db2.gz SVFBZMVCPJECBH-NEPJUHHUSA-N -1 1 319.409 1.123 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H]2[C@@H]3OC[C@H]4C[C@H]2C[C@H]34)c1 ZINC001171451908 776222428 /nfs/dbraw/zinc/22/24/28/776222428.db2.gz MSHPXDXYYIITJL-AEMFTRFGSA-N -1 1 301.342 1.666 20 0 DDADMM CN(CCNc1nc(C2CC2)ns1)C(=O)c1ncccc1[O-] ZINC001101561094 777250432 /nfs/dbraw/zinc/25/04/32/777250432.db2.gz IKXNBGYRMHDOCE-UHFFFAOYSA-N -1 1 319.390 1.700 20 0 DDADMM CN(C)C(=O)c1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1 ZINC001174004026 777391718 /nfs/dbraw/zinc/39/17/18/777391718.db2.gz CIQQRCYDZRIZFC-UHFFFAOYSA-N -1 1 305.363 1.499 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2ccc(F)nc2)[n-]1 ZINC001175356906 777761142 /nfs/dbraw/zinc/76/11/42/777761142.db2.gz AZGQCAUIANSANT-UHFFFAOYSA-N -1 1 306.297 1.692 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2ccc(F)nc2)n1 ZINC001175356906 777761147 /nfs/dbraw/zinc/76/11/47/777761147.db2.gz AZGQCAUIANSANT-UHFFFAOYSA-N -1 1 306.297 1.692 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2cnc3n[nH]cc3c2)c1 ZINC001175405033 777774053 /nfs/dbraw/zinc/77/40/53/777774053.db2.gz VIJQLJKXUGFOOT-UHFFFAOYSA-N -1 1 304.331 1.811 20 0 DDADMM C[C@H](C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CCC1 ZINC001102079768 777857774 /nfs/dbraw/zinc/85/77/74/777857774.db2.gz DJCYRNQFLGZYQI-NTZNESFSSA-N -1 1 321.425 1.131 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cccc(OC(F)(F)C(F)F)c1 ZINC001176836902 778269101 /nfs/dbraw/zinc/26/91/01/778269101.db2.gz YOMXUUXPHLNQOY-UHFFFAOYSA-N -1 1 319.218 1.618 20 0 DDADMM O=C(Cc1nn[n-]n1)N1CCC[C@]2(CCc3c2cccc3F)C1 ZINC001176842910 778272546 /nfs/dbraw/zinc/27/25/46/778272546.db2.gz VAHCJCOTKCVMLG-INIZCTEOSA-N -1 1 315.352 1.388 20 0 DDADMM Cc1ccn(CC(=O)Nc2nc(Br)ccc2[O-])n1 ZINC001177055994 778417084 /nfs/dbraw/zinc/41/70/84/778417084.db2.gz MCGFZHFEEUGSPW-UHFFFAOYSA-N -1 1 311.139 1.693 20 0 DDADMM Cc1c[nH]c(C)c1CCC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001177592452 778659950 /nfs/dbraw/zinc/65/99/50/778659950.db2.gz BASGYVZOKQVZBZ-UHFFFAOYSA-N -1 1 316.321 1.232 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(c3ccncc3)CC2)n1 ZINC001177604579 778664974 /nfs/dbraw/zinc/66/49/74/778664974.db2.gz LOEDSJKGCTXYCO-UHFFFAOYSA-N -1 1 300.318 1.652 20 0 DDADMM O=C(NC1(CNc2ncccn2)CCCC1)c1ncccc1[O-] ZINC001103422110 778856505 /nfs/dbraw/zinc/85/65/05/778856505.db2.gz RGTSLPIKBHACTG-UHFFFAOYSA-N -1 1 313.361 1.732 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@@H](c3ccccn3)C3CC3)c1-2 ZINC001178231233 778962161 /nfs/dbraw/zinc/96/21/61/778962161.db2.gz GIHGSFFISIVEKL-CYBMUJFWSA-N -1 1 308.345 1.562 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNc1cnc(F)cn1 ZINC001103917549 779169565 /nfs/dbraw/zinc/16/95/65/779169565.db2.gz QUATVEBBHHENRC-SECBINFHSA-N -1 1 305.313 1.194 20 0 DDADMM CC(C)C[C@@H](C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179793596 779488700 /nfs/dbraw/zinc/48/87/00/779488700.db2.gz HWBMRMMQAXBENR-WOPDTQHZSA-N -1 1 309.414 1.272 20 0 DDADMM CO[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692891503 779493178 /nfs/dbraw/zinc/49/31/78/779493178.db2.gz FLFNAXFPPPCMTB-HTQZYQBOSA-N -1 1 308.762 1.720 20 0 DDADMM C=C(F)C(=O)Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001180116164 779626345 /nfs/dbraw/zinc/62/63/45/779626345.db2.gz OQBZXGUTTWCEDC-UHFFFAOYSA-N -1 1 301.237 1.395 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@](O)(c1ccccc1)C1CCCCC1 ZINC001180219124 779657577 /nfs/dbraw/zinc/65/75/77/779657577.db2.gz UHUFVFYEHQPQMG-INIZCTEOSA-N -1 1 315.377 1.284 20 0 DDADMM O=C(C=Cc1cnccn1)OCC(=O)c1ccc([O-])cc1O ZINC001116119292 780475521 /nfs/dbraw/zinc/47/55/21/780475521.db2.gz ILTUKAMWAHXFIL-DAFODLJHSA-N -1 1 300.270 1.327 20 0 DDADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cscc1Cl ZINC001267387566 837968092 /nfs/dbraw/zinc/96/80/92/837968092.db2.gz HSCAGDQKVNVYSW-UHFFFAOYSA-N -1 1 315.786 1.087 20 0 DDADMM CC(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC001268845568 840894803 /nfs/dbraw/zinc/89/48/03/840894803.db2.gz ODFCJNWYKMXOPL-CHWSQXEVSA-N -1 1 317.389 1.649 20 0 DDADMM CC(C)(C)NC(=O)[C@@H]1CC12CN(C(=O)c1ncccc1[O-])C2 ZINC001269345828 841542153 /nfs/dbraw/zinc/54/21/53/841542153.db2.gz HBKGLNSKIKKBOW-JTQLQIEISA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)CN1CC[C@]2(CCN(C(=O)c3ccc([O-])cn3)C2)C1=O ZINC001269355081 841559134 /nfs/dbraw/zinc/55/91/34/841559134.db2.gz VQODHADCOVVCTI-QGZVFWFLSA-N -1 1 317.389 1.508 20 0 DDADMM CO[C@H](C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccccc1 ZINC001143109730 861376291 /nfs/dbraw/zinc/37/62/91/861376291.db2.gz STDAVUWQDOBWFA-VIFPVBQESA-N -1 1 315.289 1.042 20 0 DDADMM COC(=O)[C@H]1C[C@H](Oc2[n-]c(=O)ncc2Br)C1 ZINC001227133842 843358565 /nfs/dbraw/zinc/35/85/65/843358565.db2.gz PIVSCLXPNCYLGY-IZLXSQMJSA-N -1 1 303.112 1.275 20 0 DDADMM CC(=O)Nc1cc(NC(=O)c2ccc3cccnc3c2[O-])ncn1 ZINC001149314748 861540052 /nfs/dbraw/zinc/54/00/52/861540052.db2.gz NGDFOTNSVYBFMU-UHFFFAOYSA-N -1 1 323.312 1.941 20 0 DDADMM C[C@H]1COCCN1CCNC(=O)c1ccc2cccnc2c1[O-] ZINC001149327494 861548305 /nfs/dbraw/zinc/54/83/05/861548305.db2.gz BYJUUWUYXIOSIG-LBPRGKRZSA-N -1 1 315.373 1.391 20 0 DDADMM CN1C[C@@]2(CC1=O)CCCCN2C(=O)c1cccc([O-])c1F ZINC001272282329 845994204 /nfs/dbraw/zinc/99/42/04/845994204.db2.gz AIVYUGAHOLDRNT-INIZCTEOSA-N -1 1 306.337 1.758 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]Cc1ccc[nH]c1=O ZINC000714953817 846075964 /nfs/dbraw/zinc/07/59/64/846075964.db2.gz SIASBKDJPFSFBG-UHFFFAOYSA-N -1 1 319.795 1.684 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1c(F)cncc1F ZINC001149502086 861662166 /nfs/dbraw/zinc/66/21/66/861662166.db2.gz ZLMYVQLJSYOPRY-LBPRGKRZSA-N -1 1 316.271 1.392 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1csnc1OC)C(=O)OC ZINC001365384794 890703612 /nfs/dbraw/zinc/70/36/12/890703612.db2.gz ICIOPZMRHKYFOW-UHFFFAOYSA-N -1 1 322.408 1.162 20 0 DDADMM COC[C@H](NC(=O)C=C(C)c1ccc(F)cc1)c1nn[n-]n1 ZINC001155070085 861700199 /nfs/dbraw/zinc/70/01/99/861700199.db2.gz TYPUWJRUJMCGOF-UPZNUWHASA-N -1 1 305.313 1.246 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2Cc1ccccn1 ZINC001032369979 847217172 /nfs/dbraw/zinc/21/71/72/847217172.db2.gz DLRZMQHRCQCVNW-KBPBESRZSA-N -1 1 310.357 1.281 20 0 DDADMM CN1C[C@H](CNC(=O)c2cc3cccc(O)c3cc2[O-])CC1=O ZINC001149653784 861786890 /nfs/dbraw/zinc/78/68/90/861786890.db2.gz QSSBXELLWZQZTQ-JTQLQIEISA-N -1 1 314.341 1.459 20 0 DDADMM CC(C)[C@@H](C)C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001409983198 848399965 /nfs/dbraw/zinc/39/99/65/848399965.db2.gz OUKDBVFIWUXTDN-GFCCVEGCSA-N -1 1 307.394 1.610 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(C)nn3cccnc23)n1 ZINC001128945784 848430442 /nfs/dbraw/zinc/43/04/42/848430442.db2.gz AUPRILJMJTUGCA-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(OC)c(Cl)n2)n1 ZINC001128946174 848463867 /nfs/dbraw/zinc/46/38/67/848463867.db2.gz IXHISXKNMOVHSH-UHFFFAOYSA-N -1 1 324.724 1.896 20 0 DDADMM Cc1cc(C)c(CNC(=O)c2[n-][nH]c3cc(=O)ccc2-3)c(=O)[nH]1 ZINC001155270033 861917955 /nfs/dbraw/zinc/91/79/55/861917955.db2.gz YGLQKFXONIXLGO-UHFFFAOYSA-N -1 1 312.329 1.916 20 0 DDADMM CC[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001434545486 848578857 /nfs/dbraw/zinc/57/88/57/848578857.db2.gz IYMXDOHTZMZFMT-SECBINFHSA-N -1 1 301.368 1.007 20 0 DDADMM CC[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001434545486 848578860 /nfs/dbraw/zinc/57/88/60/848578860.db2.gz IYMXDOHTZMZFMT-SECBINFHSA-N -1 1 301.368 1.007 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cnn2cccnc12 ZINC001150652162 862391777 /nfs/dbraw/zinc/39/17/77/862391777.db2.gz VDDAPMGQNLXIEB-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM COc1cc(C(=O)[N-]c2cc3oc(=O)n(C)c3cc2F)on1 ZINC001275264974 852939734 /nfs/dbraw/zinc/93/97/34/852939734.db2.gz ZGLJUUXAKLRTDV-UHFFFAOYSA-N -1 1 307.237 1.520 20 0 DDADMM CN1C[C@H]2C[C@@H](C1)N(C(=O)c1cc(F)c(F)c([O-])c1F)C2 ZINC001275368610 853023182 /nfs/dbraw/zinc/02/31/82/853023182.db2.gz XFOQTMNDXPEFAR-SFYZADRCSA-N -1 1 300.280 1.586 20 0 DDADMM NC(=O)c1cc2c([nH]1)CN(C(=O)c1ccc([O-])cc1F)CC2 ZINC001275398588 853064013 /nfs/dbraw/zinc/06/40/13/853064013.db2.gz CGRZBGKWGSWRBA-UHFFFAOYSA-N -1 1 303.293 1.157 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CCN(C(=O)c1ccc(F)c([O-])c1)CC2 ZINC001275408478 853079878 /nfs/dbraw/zinc/07/98/78/853079878.db2.gz OQZQKXOYNIGQCR-GFCCVEGCSA-N -1 1 320.364 1.862 20 0 DDADMM CCNC(=O)Nc1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001411702039 853603483 /nfs/dbraw/zinc/60/34/83/853603483.db2.gz KQSSOXMKVCROIG-UHFFFAOYSA-N -1 1 301.306 1.576 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC2(CCN2Cc2cn[nH]c2)C1 ZINC001275918275 853944342 /nfs/dbraw/zinc/94/43/42/853944342.db2.gz WUARRHAZNFIGNZ-UHFFFAOYSA-N -1 1 316.336 1.355 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(OCC)CCC2)n[n-]1 ZINC001412326590 854443828 /nfs/dbraw/zinc/44/38/28/854443828.db2.gz JVWOMVFMSIMYFT-SECBINFHSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(OCC)CCC2)[n-]1 ZINC001412326590 854443834 /nfs/dbraw/zinc/44/38/34/854443834.db2.gz JVWOMVFMSIMYFT-SECBINFHSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(OCC)CCC2)n1 ZINC001412326590 854443839 /nfs/dbraw/zinc/44/38/39/854443839.db2.gz JVWOMVFMSIMYFT-SECBINFHSA-N -1 1 310.354 1.118 20 0 DDADMM CCN(CC)C(=O)[C@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001412377532 854488439 /nfs/dbraw/zinc/48/84/39/854488439.db2.gz PMTRHXOKAZMAIK-GFCCVEGCSA-N -1 1 309.391 1.171 20 0 DDADMM COC[C@@H](NC(=O)[C@H]1CCCc2sccc21)c1nn[n-]n1 ZINC001412615764 854818147 /nfs/dbraw/zinc/81/81/47/854818147.db2.gz XSEBAKNKFWXNTD-VHSXEESVSA-N -1 1 307.379 1.185 20 0 DDADMM COC[C@H](NC(=O)[C@@H]1CCCc2sccc21)c1nn[n-]n1 ZINC001412615782 854818539 /nfs/dbraw/zinc/81/85/39/854818539.db2.gz XSEBAKNKFWXNTD-ZJUUUORDSA-N -1 1 307.379 1.185 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1c2c[nH]nc2CC[C@@H]1C)c1nn[n-]n1 ZINC001412643797 854868255 /nfs/dbraw/zinc/86/82/55/854868255.db2.gz OUNIIIOFFAWBMU-UWJYBYFXSA-N -1 1 303.370 1.246 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC001412648345 854876752 /nfs/dbraw/zinc/87/67/52/854876752.db2.gz GWDSMFWPZZVECD-NSHDSACASA-N -1 1 305.334 1.131 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCO[C@@H](C(C)C)C2)c(=O)[n-]1 ZINC001412751435 855103706 /nfs/dbraw/zinc/10/37/06/855103706.db2.gz MFGIKRZYUNWBQR-MWLCHTKSSA-N -1 1 311.407 1.838 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ncoc2C)[n-]c1=O ZINC001412765676 855236888 /nfs/dbraw/zinc/23/68/88/855236888.db2.gz APTVUCHXGFJCGC-VIFPVBQESA-N -1 1 304.306 1.465 20 0 DDADMM C[C@@H](NC(=O)c1cnncc1[O-])c1ccc(Br)cn1 ZINC001412919213 855783770 /nfs/dbraw/zinc/78/37/70/855783770.db2.gz BKJQMOIHWPWBIU-SSDOTTSWSA-N -1 1 323.150 1.831 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2n[nH]c3c2C[C@H](C)CC3)n1 ZINC001413053898 856217877 /nfs/dbraw/zinc/21/78/77/856217877.db2.gz WRPHIEPZSCPYFM-MRVPVSSYSA-N -1 1 317.349 1.687 20 0 DDADMM CC1(C(N)=O)CN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC001413180314 856520430 /nfs/dbraw/zinc/52/04/30/856520430.db2.gz LSUFUHWVJWHUBR-UHFFFAOYSA-N -1 1 300.314 1.198 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2sccc2OC)n[n-]1 ZINC001413269901 856596397 /nfs/dbraw/zinc/59/63/97/856596397.db2.gz KCGLADCZYMIVIJ-ZETCQYMHSA-N -1 1 324.362 1.543 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2sccc2OC)[n-]1 ZINC001413269901 856596403 /nfs/dbraw/zinc/59/64/03/856596403.db2.gz KCGLADCZYMIVIJ-ZETCQYMHSA-N -1 1 324.362 1.543 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2sccc2OC)n1 ZINC001413269901 856596407 /nfs/dbraw/zinc/59/64/07/856596407.db2.gz KCGLADCZYMIVIJ-ZETCQYMHSA-N -1 1 324.362 1.543 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](O)C1CCCCC1)c1nn[n-]n1 ZINC001413303387 856623571 /nfs/dbraw/zinc/62/35/71/856623571.db2.gz WLNSMBQCQDHXJM-QWRGUYRKSA-N -1 1 313.427 1.051 20 0 DDADMM CCCn1cc(NC(=O)N2CCC(c3nn[n-]n3)CC2)cn1 ZINC001413534507 856948643 /nfs/dbraw/zinc/94/86/43/856948643.db2.gz NZQULHPXPMGAMN-UHFFFAOYSA-N -1 1 304.358 1.218 20 0 DDADMM COC[C@@H](NC(=O)C[C@@H](C)c1ccc(F)cc1)c1nn[n-]n1 ZINC001413552006 857055069 /nfs/dbraw/zinc/05/50/69/857055069.db2.gz HXLLZURMRKCNFM-BXKDBHETSA-N -1 1 307.329 1.336 20 0 DDADMM COC[C@H](NC(=O)c1sc(C(C)(C)C)nc1C)c1nn[n-]n1 ZINC001413554625 857058562 /nfs/dbraw/zinc/05/85/62/857058562.db2.gz OBHHOGNNTMSJSR-QMMMGPOBSA-N -1 1 324.410 1.380 20 0 DDADMM CCC(C)(C)C(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001413558681 857067011 /nfs/dbraw/zinc/06/70/11/857067011.db2.gz JEWBWNIXWMKSPW-UHFFFAOYSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)c1cccc(Cl)c1 ZINC001151641465 862895037 /nfs/dbraw/zinc/89/50/37/862895037.db2.gz NXKGBACFWYIPFX-VIFPVBQESA-N -1 1 323.784 1.173 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1ccc(F)c([O-])c1)[C@H]1CCOC1 ZINC001413809402 858555952 /nfs/dbraw/zinc/55/59/52/858555952.db2.gz QEHYVJOQIJKYMD-QWRGUYRKSA-N -1 1 311.309 1.087 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H](C)Cn1cccn1 ZINC001123596689 859319556 /nfs/dbraw/zinc/31/95/56/859319556.db2.gz XCWDCKBHWSCCSD-MRVPVSSYSA-N -1 1 307.379 1.042 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC(C)(C)c1cccnc1 ZINC001123808310 859424144 /nfs/dbraw/zinc/42/41/44/859424144.db2.gz AUYJZUNYLJNWSD-UHFFFAOYSA-N -1 1 318.402 1.882 20 0 DDADMM O=C(c1ccc2ncsc2c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123961876 859498765 /nfs/dbraw/zinc/49/87/65/859498765.db2.gz NXSCVAAVROZCPD-VIFPVBQESA-N -1 1 300.347 1.439 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123999385 859518757 /nfs/dbraw/zinc/51/87/57/859518757.db2.gz VWXBTTCDFQGLNV-ZWNMCFTASA-N -1 1 318.406 1.459 20 0 DDADMM CCOc1cc(C(=O)[N-]c2nnc3ccc(Cl)nn32)on1 ZINC001124723835 859794977 /nfs/dbraw/zinc/79/49/77/859794977.db2.gz SMEWCCNBTSWKBA-UHFFFAOYSA-N -1 1 308.685 1.417 20 0 DDADMM CN(C(=O)OC(C)(C)C)[C@H]1C[C@@H](OCC[P@](=O)([O-])O)C1 ZINC001224604326 881466505 /nfs/dbraw/zinc/46/65/05/881466505.db2.gz KRBZWMATOQGJAM-AOOOYVTPSA-N -1 1 309.299 1.579 20 0 DDADMM [O-]c1cccnc1CN1Cc2nccn2C[C@H](Cn2cccn2)C1 ZINC001138393691 860090354 /nfs/dbraw/zinc/09/03/54/860090354.db2.gz QTAFHXPUMRHUIR-CQSZACIVSA-N -1 1 324.388 1.512 20 0 DDADMM O=C(NCc1ccc2[nH]cnc2c1)c1ccc2n[n-]c(=S)n2c1 ZINC001141229873 860811104 /nfs/dbraw/zinc/81/11/04/860811104.db2.gz VCXCMRTZZPHJQD-UHFFFAOYSA-N -1 1 324.369 1.824 20 0 DDADMM O=C(NCc1ccc2nc[nH]c2c1)c1ccc2n[n-]c(=S)n2c1 ZINC001141229873 860811113 /nfs/dbraw/zinc/81/11/13/860811113.db2.gz VCXCMRTZZPHJQD-UHFFFAOYSA-N -1 1 324.369 1.824 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2ccc3[nH][n-]c(=O)c3c2)cn1 ZINC001154204933 860868099 /nfs/dbraw/zinc/86/80/99/860868099.db2.gz XHEZQLHNHUOWBA-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM COc1cc(CCC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)ccn1 ZINC001142228520 861081044 /nfs/dbraw/zinc/08/10/44/861081044.db2.gz SRDHNBYOBMRUCO-UHFFFAOYSA-N -1 1 319.277 1.261 20 0 DDADMM COc1ccc2c(c1)[nH]nc2C(=O)N=c1ncnc2[nH][n-]c(C)c1-2 ZINC001154465201 861123280 /nfs/dbraw/zinc/12/32/80/861123280.db2.gz UCJUFFUPCKYMNN-UHFFFAOYSA-N -1 1 323.316 1.172 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2c[nH]ccc-2n1 ZINC001151969227 863086853 /nfs/dbraw/zinc/08/68/53/863086853.db2.gz ZBISJUJFCQYOGV-UHFFFAOYSA-N -1 1 300.234 1.414 20 0 DDADMM Cc1cccc2[nH]c(C(=O)NCCCC[P@](=O)([O-])O)nc21 ZINC001151994290 863098688 /nfs/dbraw/zinc/09/86/88/863098688.db2.gz YDGDNASYASVPCV-UHFFFAOYSA-N -1 1 311.278 1.559 20 0 DDADMM Cc1cccc2nc(C(=O)NCCCC[P@@](=O)([O-])O)[nH]c21 ZINC001151994290 863098703 /nfs/dbraw/zinc/09/87/03/863098703.db2.gz YDGDNASYASVPCV-UHFFFAOYSA-N -1 1 311.278 1.559 20 0 DDADMM Cc1cccc2nc(C(=O)NCCCC[P@](=O)([O-])O)[nH]c21 ZINC001151994290 863098716 /nfs/dbraw/zinc/09/87/16/863098716.db2.gz YDGDNASYASVPCV-UHFFFAOYSA-N -1 1 311.278 1.559 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3cccc(F)n32)n1 ZINC001156624999 863204344 /nfs/dbraw/zinc/20/43/44/863204344.db2.gz NVNUTZHHDLSXNH-UHFFFAOYSA-N -1 1 317.280 1.626 20 0 DDADMM CCOC(=O)[C@@H](OCCCC[P@](=O)([O-])O)C(F)(F)F ZINC001225018765 881640910 /nfs/dbraw/zinc/64/09/10/881640910.db2.gz HHMTZBHGLFQXTK-SSDOTTSWSA-N -1 1 308.189 1.455 20 0 DDADMM C[NH+]1CCC2(CC[C@@H](COCCCC[P@](=O)([O-])O)O2)CC1 ZINC001225022336 881642628 /nfs/dbraw/zinc/64/26/28/881642628.db2.gz NFGVWEIRVQTPEM-ZDUSSCGKSA-N -1 1 321.354 1.604 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cn2ccnc2s1 ZINC001156804237 863351346 /nfs/dbraw/zinc/35/13/46/863351346.db2.gz NZOBBHSCSZNSAP-UHFFFAOYSA-N -1 1 306.263 1.247 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCNCC(F)(F)C1 ZINC001152672161 863484515 /nfs/dbraw/zinc/48/45/15/863484515.db2.gz ZERXXONZWVLYRM-UHFFFAOYSA-N -1 1 323.299 1.327 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681210 863489683 /nfs/dbraw/zinc/48/96/83/863489683.db2.gz HFMTVJQADVHQTE-PSASIEDQSA-N -1 1 316.313 1.185 20 0 DDADMM O=C(c1ccc2nonc2c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001329159442 863509922 /nfs/dbraw/zinc/50/99/22/863509922.db2.gz AESGLHVXNDTCRD-VIFPVBQESA-N -1 1 315.289 1.331 20 0 DDADMM CSc1nc(NC(=O)c2ccc3nc[nH]c3n2)cc(=O)[n-]1 ZINC001153782577 864096442 /nfs/dbraw/zinc/09/64/42/864096442.db2.gz PSKAPIAWPGPLJF-UHFFFAOYSA-N -1 1 302.319 1.428 20 0 DDADMM O=C(Nc1cc(=O)[n-]c(Cl)n1)c1cnc2cccnc2c1O ZINC001153850985 864156364 /nfs/dbraw/zinc/15/63/64/864156364.db2.gz JFBLIEGXOKHSFY-UHFFFAOYSA-N -1 1 317.692 1.324 20 0 DDADMM O=C(Nc1noc2nccnc12)c1cnc2cccnc2c1[O-] ZINC001153852214 864158641 /nfs/dbraw/zinc/15/86/41/864158641.db2.gz ZINYUIJIHCTEBQ-UHFFFAOYSA-N -1 1 308.257 1.107 20 0 DDADMM O=C([N-]c1noc2nccnc12)c1cnc2cccnc2c1O ZINC001153852214 864158646 /nfs/dbraw/zinc/15/86/46/864158646.db2.gz ZINYUIJIHCTEBQ-UHFFFAOYSA-N -1 1 308.257 1.107 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1c[nH]c2ccc(F)cc12 ZINC001154137448 864440144 /nfs/dbraw/zinc/44/01/44/864440144.db2.gz HPRYXHCYZCIJBK-UHFFFAOYSA-N -1 1 312.264 1.931 20 0 DDADMM CO[C@@H](C(=O)[O-])C(=O)Nc1cccc(CN2CCSCC2)c1 ZINC001333137937 866453134 /nfs/dbraw/zinc/45/31/34/866453134.db2.gz QGWOUUKTHMYVFQ-CYBMUJFWSA-N -1 1 324.402 1.274 20 0 DDADMM Cc1nn(C)c(CNCCSC[C@@H](C)C(=O)[O-])c1Cl ZINC001333187764 866498472 /nfs/dbraw/zinc/49/84/72/866498472.db2.gz ORTIWJYLNVIACX-MRVPVSSYSA-N -1 1 305.831 1.925 20 0 DDADMM Cc1[n-]n(-c2ccccc2)c(=O)c1C(=O)N1CCNC2(CC2)C1 ZINC001161552555 866760766 /nfs/dbraw/zinc/76/07/66/866760766.db2.gz MFNVNHCSIJNCDF-UHFFFAOYSA-N -1 1 312.373 1.464 20 0 DDADMM CCOP(=O)(CCC[N@H+]1C[C@@H](C)O[C@@H](C(=O)[O-])C1)OCC ZINC001334025443 867245806 /nfs/dbraw/zinc/24/58/06/867245806.db2.gz LMNLMLQRIQKCBT-VXGBXAGGSA-N -1 1 323.326 1.817 20 0 DDADMM CCOP(=O)(CCCN1C[C@@H](C)O[C@@H](C(=O)[O-])C1)OCC ZINC001334025443 867245822 /nfs/dbraw/zinc/24/58/22/867245822.db2.gz LMNLMLQRIQKCBT-VXGBXAGGSA-N -1 1 323.326 1.817 20 0 DDADMM CCCCNC(=O)[C@H]1CCCN(Cc2cc(C(=O)[O-])n[nH]2)C1 ZINC001334027004 867246184 /nfs/dbraw/zinc/24/61/84/867246184.db2.gz VBQUFERXDWZMRX-NSHDSACASA-N -1 1 308.382 1.236 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@@H](C)CO[C@@H](C)COC ZINC001225901851 882103117 /nfs/dbraw/zinc/10/31/17/882103117.db2.gz WBLCYGDPFGAVQE-UWVGGRQHSA-N -1 1 314.338 1.178 20 0 DDADMM CN(C(=O)c1c(F)cccc1Br)c1nn[n-]n1 ZINC001361666438 882118864 /nfs/dbraw/zinc/11/88/64/882118864.db2.gz HQLHBBLYHJTGPJ-UHFFFAOYSA-N -1 1 300.091 1.378 20 0 DDADMM CCn1nc(C[NH2+][C@@H](Cc2ccn[nH]2)C(=O)[O-])c2ccccc21 ZINC001335193172 868124286 /nfs/dbraw/zinc/12/42/86/868124286.db2.gz QMDWBRYCEHEBRN-ZDUSSCGKSA-N -1 1 313.361 1.565 20 0 DDADMM O=Cc1ccc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1 ZINC001335198889 868128500 /nfs/dbraw/zinc/12/85/00/868128500.db2.gz JMYAFTAXKZACSX-ZDUSSCGKSA-N -1 1 315.329 1.536 20 0 DDADMM O=C(Nc1n[nH]cc1C1CC1)c1ccc2n[n-]c(=S)n2c1 ZINC001163132077 868140608 /nfs/dbraw/zinc/14/06/08/868140608.db2.gz NYVJLPFUSRDNKW-UHFFFAOYSA-N -1 1 300.347 1.871 20 0 DDADMM C[C@H](Cn1cncn1)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001361707470 882199682 /nfs/dbraw/zinc/19/96/82/882199682.db2.gz MVNWOYNPMFSSIU-MRVPVSSYSA-N -1 1 314.267 1.821 20 0 DDADMM CNC(=S)Nc1ccc(NCC[N-]C(=O)C(F)(F)F)nc1 ZINC001164245509 869054664 /nfs/dbraw/zinc/05/46/64/869054664.db2.gz ZPDHZAFOMWBUCZ-UHFFFAOYSA-N -1 1 321.328 1.088 20 0 DDADMM C[C@@H]1[C@H](O)CCCN1Cn1[n-]c(-c2ccccn2)nc1=S ZINC001337237787 869395551 /nfs/dbraw/zinc/39/55/51/869395551.db2.gz RRIGOSFEOBVAPK-ZYHUDNBSSA-N -1 1 305.407 1.805 20 0 DDADMM CCOC(=O)c1nonc1[N-]c1ccccc1CC(=O)OC ZINC001213055658 869694103 /nfs/dbraw/zinc/69/41/03/869694103.db2.gz NPIIAMLEPZNXKQ-UHFFFAOYSA-N -1 1 305.290 1.705 20 0 DDADMM CC[C@H](C)CCCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001317056891 870177522 /nfs/dbraw/zinc/17/75/22/870177522.db2.gz CQWFFHFKOXVUII-STQMWFEESA-N -1 1 323.441 1.807 20 0 DDADMM CSCCO[N-]C(=O)[C@H]1CCCCN1Cc1cccnc1 ZINC001339688386 870633302 /nfs/dbraw/zinc/63/33/02/870633302.db2.gz JPNKJPUENBCKPU-CQSZACIVSA-N -1 1 309.435 1.847 20 0 DDADMM Cc1cc(=O)oc2cc([O-])cc(O[C@@H]3CCS(=O)(=O)C3)c12 ZINC001226486096 882462290 /nfs/dbraw/zinc/46/22/90/882462290.db2.gz PBWZHQDHRCFMGL-SNVBAGLBSA-N -1 1 310.327 1.373 20 0 DDADMM O=C([O-])c1sccc1-c1ccc(S(=O)(=O)C2CNC2)cc1 ZINC001205605653 871655162 /nfs/dbraw/zinc/65/51/62/871655162.db2.gz NUAUOHHEMMKKEK-UHFFFAOYSA-N -1 1 323.395 1.859 20 0 DDADMM CCN(CCCNC(=O)C(C)=C1CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001316889535 872241753 /nfs/dbraw/zinc/24/17/53/872241753.db2.gz YUUSALCLFSHXGB-UHFFFAOYSA-N -1 1 321.425 1.729 20 0 DDADMM Nc1cn[n-]c(=NNC(=S)NCc2cccs2)c1Cl ZINC001319180042 872479988 /nfs/dbraw/zinc/47/99/88/872479988.db2.gz MJEWEVWCRMLQBK-UHFFFAOYSA-N -1 1 314.827 1.765 20 0 DDADMM COc1ccc(OC(F)F)c(NC(=O)CCc2nn[n-]n2)c1 ZINC001361927800 882656950 /nfs/dbraw/zinc/65/69/50/882656950.db2.gz UEEABPNQHATLSQ-UHFFFAOYSA-N -1 1 313.264 1.381 20 0 DDADMM CCN(C(=O)c1ccc([O-])cn1)[C@@H](C)CS(=O)(=O)CC ZINC001361969510 882732839 /nfs/dbraw/zinc/73/28/39/882732839.db2.gz NWPICDDQQPYBAH-JTQLQIEISA-N -1 1 300.380 1.073 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)CCOc1ccccc1 ZINC001346230466 873658002 /nfs/dbraw/zinc/65/80/02/873658002.db2.gz IONOJHPCNCQDDC-UHFFFAOYSA-N -1 1 319.386 1.930 20 0 DDADMM CCCCOCCC[N-]S(=O)(=O)c1csnc1OC ZINC001208105206 873840703 /nfs/dbraw/zinc/84/07/03/873840703.db2.gz XZTIQMRRYRFDFB-UHFFFAOYSA-N -1 1 308.425 1.637 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1C[C@H](C)n2ccnc21 ZINC001347026235 873980587 /nfs/dbraw/zinc/98/05/87/873980587.db2.gz SPVULVRAGKYADO-POYBYMJQSA-N -1 1 305.363 1.412 20 0 DDADMM O=c1[n-]cncc1OC1CCN(c2cc(Cl)ncn2)CC1 ZINC001227049420 882793374 /nfs/dbraw/zinc/79/33/74/882793374.db2.gz UDNSJNHJPVSCFM-UHFFFAOYSA-N -1 1 307.741 1.673 20 0 DDADMM CC(C)Cn1c(-c2nnn[n-]2)nnc1N(C)Cc1ccccc1 ZINC001347680406 874243835 /nfs/dbraw/zinc/24/38/35/874243835.db2.gz QDUKAIKXQVUTGM-UHFFFAOYSA-N -1 1 312.381 1.751 20 0 DDADMM CC(C)Cn1c(-c2nn[n-]n2)nnc1N(C)Cc1ccccc1 ZINC001347680406 874243842 /nfs/dbraw/zinc/24/38/42/874243842.db2.gz QDUKAIKXQVUTGM-UHFFFAOYSA-N -1 1 312.381 1.751 20 0 DDADMM CC(C)(C)OC(=O)CCC(C)(C)NC(=O)CCc1nn[n-]n1 ZINC001362011823 882817924 /nfs/dbraw/zinc/81/79/24/882817924.db2.gz WDXWTMLCWWEIKJ-UHFFFAOYSA-N -1 1 311.386 1.149 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCNC(=O)[C@@H]1c1ccccc1 ZINC001362013292 882821478 /nfs/dbraw/zinc/82/14/78/882821478.db2.gz DTPKZAHWUOPMQQ-HNNXBMFYSA-N -1 1 314.316 1.845 20 0 DDADMM Cc1cccnc1[C@@H](C)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001348558945 874632953 /nfs/dbraw/zinc/63/29/53/874632953.db2.gz RDLGDZSLPYWEFU-SECBINFHSA-N -1 1 304.375 1.974 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)C1(CCF)CC1)c1ncccc1[O-] ZINC001378321741 874688178 /nfs/dbraw/zinc/68/81/78/874688178.db2.gz ZMZAWJQHRXUPPN-NSHDSACASA-N -1 1 321.352 1.258 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](c2nn[n-]n2)C1)c1cn(C)c2ccccc12 ZINC001349001824 874918681 /nfs/dbraw/zinc/91/86/81/874918681.db2.gz COYLVUUGCJVWIS-RYUDHWBXSA-N -1 1 324.388 1.811 20 0 DDADMM CCO[C@H]1C[C@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C12CCC2 ZINC001349013128 874925259 /nfs/dbraw/zinc/92/52/59/874925259.db2.gz CZMQCVOPTRHSAJ-UWVGGRQHSA-N -1 1 323.418 1.857 20 0 DDADMM COC(C)(C)CNC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001349300593 875101770 /nfs/dbraw/zinc/10/17/70/875101770.db2.gz OYNWAMXQTLYXAU-UHFFFAOYSA-N -1 1 314.769 1.203 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1Nc1ccc2c(c1)CCNC2=O ZINC001210161265 875253533 /nfs/dbraw/zinc/25/35/33/875253533.db2.gz YOEDZPDVXIQHCB-UHFFFAOYSA-N -1 1 317.330 1.898 20 0 DDADMM C[C@@H](O)c1cccc([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001210515173 875422670 /nfs/dbraw/zinc/42/26/70/875422670.db2.gz QZBGRJHBEIBDSO-SECBINFHSA-N -1 1 307.375 1.705 20 0 DDADMM C[C@@H]1CCC[C@@]1(C)C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001349939807 875464240 /nfs/dbraw/zinc/46/42/40/875464240.db2.gz GFYUKZVTEOYNJG-SJKOYZFVSA-N -1 1 319.405 1.850 20 0 DDADMM CC(C)[C@@H](C)CC(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001378747361 875537955 /nfs/dbraw/zinc/53/79/55/875537955.db2.gz JJUUBZXVAJKAPO-LBPRGKRZSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1c(CO)cccc1[N-]S(=O)(=O)c1cc(N)ccc1N ZINC001211169624 875691562 /nfs/dbraw/zinc/69/15/62/875691562.db2.gz OYPRKQJXVFSFBF-UHFFFAOYSA-N -1 1 307.375 1.453 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCOc2ccccc2C1 ZINC001350932817 875966741 /nfs/dbraw/zinc/96/67/41/875966741.db2.gz ZTAMQMDEQAHNAM-UHFFFAOYSA-N -1 1 317.370 1.814 20 0 DDADMM Cc1ccc(OC[C@H](C)Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)cc1 ZINC001227430999 883018250 /nfs/dbraw/zinc/01/82/50/883018250.db2.gz QHIISJPQVBJJFK-VIFPVBQESA-N -1 1 316.317 1.919 20 0 DDADMM CC(C)(O)CO[N-]C(=O)[C@@H]1CCCCN1Cc1cccc(F)c1 ZINC001352103292 876598901 /nfs/dbraw/zinc/59/89/01/876598901.db2.gz FGPFDVZXSVWUBV-HNNXBMFYSA-N -1 1 324.396 1.999 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2cccnc2F)cc1 ZINC000401422842 876625062 /nfs/dbraw/zinc/62/50/62/876625062.db2.gz MUHFLOBSEWSIEU-UHFFFAOYSA-N -1 1 309.322 1.381 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1CCOC[C@@H]1C ZINC001364841975 889526227 /nfs/dbraw/zinc/52/62/27/889526227.db2.gz VWOYSLNMTOWNFW-IUCAKERBSA-N -1 1 306.409 1.103 20 0 DDADMM COc1cnc(F)c([N-]S(=O)(=O)c2ccc(F)cc2N)c1 ZINC001216411722 876934501 /nfs/dbraw/zinc/93/45/01/876934501.db2.gz QHRSWVQMDSYTGA-UHFFFAOYSA-N -1 1 315.301 1.751 20 0 DDADMM Cc1nocc1NC(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC001300167154 877055754 /nfs/dbraw/zinc/05/57/54/877055754.db2.gz WDLNFFQSNPAJMS-UHFFFAOYSA-N -1 1 301.262 1.293 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)CN(C)Cc2cnccc2C)c1[O-] ZINC001379640431 877612484 /nfs/dbraw/zinc/61/24/84/877612484.db2.gz HXMUTKUJCOTIRF-LLVKDONJSA-N -1 1 317.393 1.377 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C(=O)C(C)(C)CC)n[n-]1 ZINC001300604185 877964754 /nfs/dbraw/zinc/96/47/54/877964754.db2.gz XPOSPTVHQRHEML-QMMMGPOBSA-N -1 1 310.354 1.164 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C(=O)C(C)(C)CC)[n-]1 ZINC001300604185 877964762 /nfs/dbraw/zinc/96/47/62/877964762.db2.gz XPOSPTVHQRHEML-QMMMGPOBSA-N -1 1 310.354 1.164 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C(=O)C(C)(C)CC)n1 ZINC001300604185 877964766 /nfs/dbraw/zinc/96/47/66/877964766.db2.gz XPOSPTVHQRHEML-QMMMGPOBSA-N -1 1 310.354 1.164 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CCC(F)F ZINC001380066974 878703585 /nfs/dbraw/zinc/70/35/85/878703585.db2.gz HWUICNIQZUCBCI-MRVPVSSYSA-N -1 1 319.356 1.176 20 0 DDADMM CNC(=O)c1cccc([N-]S(=O)(=O)c2cc(N)ccc2C)c1 ZINC000315344735 879045002 /nfs/dbraw/zinc/04/50/02/879045002.db2.gz XSZKSVFPIHHICX-UHFFFAOYSA-N -1 1 319.386 1.738 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]C[C@H](O)C1CCC1 ZINC000316122008 879288455 /nfs/dbraw/zinc/28/84/55/879288455.db2.gz UKCHHQRWDTUKNZ-JTQLQIEISA-N -1 1 318.326 1.173 20 0 DDADMM C[C@]1(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C=CCC1 ZINC001356827354 879438282 /nfs/dbraw/zinc/43/82/82/879438282.db2.gz HPVAZGMDFDCTPY-KRWDZBQOSA-N -1 1 315.373 1.332 20 0 DDADMM CC(C)(C)OC(=O)N1[C@@H]2CC[C@H]1C[C@@H](OCc1nn[n-]n1)C2 ZINC001223026652 880788819 /nfs/dbraw/zinc/78/88/19/880788819.db2.gz FEFQHVFNZRVPFY-URLYPYJESA-N -1 1 309.370 1.647 20 0 DDADMM CCCCC(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001381168320 881175136 /nfs/dbraw/zinc/17/51/36/881175136.db2.gz FINJOHKVNCPNHX-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM COc1ccccc1[C@@]1(C)C[C@@H]1NC(=O)CCCc1nn[n-]n1 ZINC001362254816 883418392 /nfs/dbraw/zinc/41/83/92/883418392.db2.gz IETPSGWJGRBAHY-XJKSGUPXSA-N -1 1 315.377 1.377 20 0 DDADMM CCC1(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CC1 ZINC001228418503 883490272 /nfs/dbraw/zinc/49/02/72/883490272.db2.gz HYGYJBLOAGPOPT-GHMZBOCLSA-N -1 1 307.398 1.218 20 0 DDADMM O=C(Nn1cc(Br)cn1)c1cccc([O-])c1F ZINC001362313894 883543124 /nfs/dbraw/zinc/54/31/24/883543124.db2.gz QHHFTZWRCVUYFK-UHFFFAOYSA-N -1 1 300.087 1.874 20 0 DDADMM CCCOC(=O)[C@H](C)Oc1[n-]c(=S)ncc1C(=O)OCC ZINC001228551429 883547833 /nfs/dbraw/zinc/54/78/33/883547833.db2.gz QRZGCBYZNDDQPZ-QMMMGPOBSA-N -1 1 314.363 1.663 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2c(c1)COC(=O)N2)c1nn[n-]n1 ZINC001362387116 883694922 /nfs/dbraw/zinc/69/49/22/883694922.db2.gz QFNLTKFTGAYOPN-NSHDSACASA-N -1 1 316.321 1.533 20 0 DDADMM COc1ncc(C)cc1NC(=O)c1cnc(SC)[n-]c1=O ZINC001362427710 883786207 /nfs/dbraw/zinc/78/62/07/883786207.db2.gz KNWYDAXBBITNNS-UHFFFAOYSA-N -1 1 306.347 1.868 20 0 DDADMM CSc1ncc(C(=O)Nc2cccc(N(C)C)n2)c(=O)[n-]1 ZINC001362459868 883860951 /nfs/dbraw/zinc/86/09/51/883860951.db2.gz BMVSVKZSSKUACJ-UHFFFAOYSA-N -1 1 305.363 1.617 20 0 DDADMM O=C(NCc1nc(C(F)(F)F)no1)c1cc(F)ccc1[O-] ZINC001362543504 884052652 /nfs/dbraw/zinc/05/26/52/884052652.db2.gz TVMZJIRPOZQZNQ-UHFFFAOYSA-N -1 1 305.187 1.863 20 0 DDADMM O=C1[N-]C(=O)[C@H](Cc2ccc(OC[C@H]3COCCO3)cc2)S1 ZINC001229616010 884080886 /nfs/dbraw/zinc/08/08/86/884080886.db2.gz FSGMKRIPHLENEX-OLZOCXBDSA-N -1 1 323.370 1.375 20 0 DDADMM CSc1nc(CNC(=O)[C@@H](C)c2c(C)noc2C)cc(=O)[n-]1 ZINC001362609029 884211734 /nfs/dbraw/zinc/21/17/34/884211734.db2.gz ASLDPDUFUZPBJR-ZETCQYMHSA-N -1 1 322.390 1.929 20 0 DDADMM COC(=O)c1c[n-]c(OC2CCN(c3ccc(C)cn3)CC2)n1 ZINC001230282370 884408652 /nfs/dbraw/zinc/40/86/52/884408652.db2.gz TXXGMINRGKTSAE-UHFFFAOYSA-N -1 1 316.361 1.948 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CC[C@H](O)[C@H](CO)C1 ZINC001362780057 884618582 /nfs/dbraw/zinc/61/85/82/884618582.db2.gz BUJXBCMSXMIJCW-NHYWBVRUSA-N -1 1 317.341 1.066 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(C(F)F)nc1)c1nn[n-]n1 ZINC001362782029 884625497 /nfs/dbraw/zinc/62/54/97/884625497.db2.gz APGXVOLFKRKUFG-UHFFFAOYSA-N -1 1 310.308 1.978 20 0 DDADMM C[N@H+]1C[C@H](NC(=O)c2nnc3ccccc3c2O)C(C)(C)C1 ZINC001362979867 885134310 /nfs/dbraw/zinc/13/43/10/885134310.db2.gz BSUNCTMGUAEADC-LBPRGKRZSA-N -1 1 300.362 1.405 20 0 DDADMM CSCC[C@H](NC(=O)C[C@H](O)CC(C)(C)C)c1nn[n-]n1 ZINC001363000023 885191275 /nfs/dbraw/zinc/19/12/75/885191275.db2.gz QUEBXMCMKJLSJU-UWVGGRQHSA-N -1 1 315.443 1.297 20 0 DDADMM CC1(C)[C@@H](NC(=O)c2ccc(F)c([O-])c2)C[C@@H]1N1CCOCC1 ZINC001363005847 885206282 /nfs/dbraw/zinc/20/62/82/885206282.db2.gz RJMJBZCLFQFHGM-GJZGRUSLSA-N -1 1 322.380 1.760 20 0 DDADMM CN1CCO[C@@H](COc2cccnc2-c2ncccc2[O-])C1 ZINC001231203523 885367544 /nfs/dbraw/zinc/36/75/44/885367544.db2.gz JKLXUJLEOCROAB-GFCCVEGCSA-N -1 1 301.346 1.559 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1nccc(C)n1 ZINC001363098002 885456103 /nfs/dbraw/zinc/45/61/03/885456103.db2.gz DCLYKUHRYWPHMN-UHFFFAOYSA-N -1 1 305.363 1.241 20 0 DDADMM C[C@@H](NC(=O)c1ccc([O-])cn1)c1nc(C(F)(F)F)no1 ZINC001363104484 885474108 /nfs/dbraw/zinc/47/41/08/885474108.db2.gz BOAZOLPAPMQCGE-RXMQYKEDSA-N -1 1 302.212 1.680 20 0 DDADMM CC(C)(C)OC(=O)N1C2CCC1(C(=O)Nc1c[n-][nH]c1=O)CC2 ZINC001363118297 885506469 /nfs/dbraw/zinc/50/64/69/885506469.db2.gz FIGUYSNCWFSHGW-UHFFFAOYSA-N -1 1 322.365 1.986 20 0 DDADMM CCC[C@@H](C)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001383283153 885544593 /nfs/dbraw/zinc/54/45/93/885544593.db2.gz LYZIYBGOQNBJQD-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM CCc1cccc(C(=O)NC(CC)(CC)c2nn[n-]n2)c1O ZINC001363135637 885553097 /nfs/dbraw/zinc/55/30/97/885553097.db2.gz JJZOVGGIMORTLW-UHFFFAOYSA-N -1 1 303.366 1.913 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@H]1CC[C@H](C2CC2)O1 ZINC001363276748 885885798 /nfs/dbraw/zinc/88/57/98/885885798.db2.gz OWFRQGJRBHSACA-CHWSQXEVSA-N -1 1 307.398 1.532 20 0 DDADMM NC(=O)[C@@H](NC(=O)c1ccc(Cl)cc1[O-])c1ccccn1 ZINC001363304832 885967302 /nfs/dbraw/zinc/96/73/02/885967302.db2.gz FWCHRISCGFHJTP-LBPRGKRZSA-N -1 1 305.721 1.397 20 0 DDADMM CCC[C@H](C(=O)NCc1ccc([O-])c(Cl)c1)S(N)(=O)=O ZINC001363313494 885991969 /nfs/dbraw/zinc/99/19/69/885991969.db2.gz RBUNYDNBFSEKIP-LLVKDONJSA-N -1 1 320.798 1.119 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CCC2)[nH]c2c1C(=O)CCC2 ZINC001363361640 886132939 /nfs/dbraw/zinc/13/29/39/886132939.db2.gz LZQGHSWSKIDOCB-UHFFFAOYSA-N -1 1 314.349 1.164 20 0 DDADMM O=C(N[C@@H]1Cc2cnccc2NC1=O)c1c([O-])cccc1Cl ZINC001363365220 886141288 /nfs/dbraw/zinc/14/12/88/886141288.db2.gz WZJPRKSBCFRDPD-LLVKDONJSA-N -1 1 317.732 1.734 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cccc([C@@H]2CCOC2)c1 ZINC001363376046 886169350 /nfs/dbraw/zinc/16/93/50/886169350.db2.gz KKZCQXRUQVYNDC-CYBMUJFWSA-N -1 1 313.361 1.513 20 0 DDADMM COC[C@H](NC(=O)c1sc(C(C)C)nc1C)c1nn[n-]n1 ZINC001363436540 886333745 /nfs/dbraw/zinc/33/37/45/886333745.db2.gz OQFACVVMCUMMAB-QMMMGPOBSA-N -1 1 310.383 1.206 20 0 DDADMM COCc1nc(C)c(C(=O)N(Cc2nn[n-]n2)CC(C)C)s1 ZINC001363542347 886593610 /nfs/dbraw/zinc/59/36/10/886593610.db2.gz GGOUOQFMNITVEV-UHFFFAOYSA-N -1 1 324.410 1.409 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1C[C@]1(F)c1ccccc1 ZINC001363554457 886623007 /nfs/dbraw/zinc/62/30/07/886623007.db2.gz WQVORKHOTMYESO-ABAIWWIYSA-N -1 1 301.325 1.580 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cnn(C2CCCCC2)c1 ZINC001363553816 886623707 /nfs/dbraw/zinc/62/37/07/886623707.db2.gz URMODRHZCSUUPS-UHFFFAOYSA-N -1 1 315.381 1.711 20 0 DDADMM O=C(NC[C@H](O)c1cnc[nH]1)c1cc(Cl)cc(Cl)c1[O-] ZINC001363587371 886701821 /nfs/dbraw/zinc/70/18/21/886701821.db2.gz PTFMNXYJNDZERD-JTQLQIEISA-N -1 1 316.144 1.886 20 0 DDADMM COCC(=O)NC1CCN(Cc2cc([O-])c(F)c(F)c2)CC1 ZINC001232972382 886702528 /nfs/dbraw/zinc/70/25/28/886702528.db2.gz YHQCSJKZSJPTMJ-UHFFFAOYSA-N -1 1 314.332 1.397 20 0 DDADMM COC[C@@H]1c2nnn(C)c2CCN1Cc1cc([O-])c(F)c(F)c1 ZINC001232972572 886703346 /nfs/dbraw/zinc/70/33/46/886703346.db2.gz QHIIEPNZOJWQIU-GFCCVEGCSA-N -1 1 324.331 1.545 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CC[C@@H](Oc2ncccn2)C1 ZINC001233040109 886747903 /nfs/dbraw/zinc/74/79/03/886747903.db2.gz KBFXXIAMOQGLGJ-LLVKDONJSA-N -1 1 306.753 1.884 20 0 DDADMM O=C1COCCN1C1CC[NH+](Cc2cccc(O)c2F)CC1 ZINC001233054110 886760582 /nfs/dbraw/zinc/76/05/82/886760582.db2.gz CICLDUGFMNXJQT-UHFFFAOYSA-N -1 1 308.353 1.355 20 0 DDADMM CSc1nc(CNC(=O)Cc2c(C)noc2C)cc(=O)[n-]1 ZINC001363627739 886807181 /nfs/dbraw/zinc/80/71/81/886807181.db2.gz CGXYUZUGSCKKIP-UHFFFAOYSA-N -1 1 308.363 1.368 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C1=C[S@@](=O)CCC1 ZINC001363632565 886822893 /nfs/dbraw/zinc/82/28/93/886822893.db2.gz QCVBEFCVIBYBBP-NRFANRHFSA-N -1 1 315.341 1.756 20 0 DDADMM CC(C)(C)OC(=O)c1cc(C(=O)Nc2ccn(CCF)n2)[n-]n1 ZINC001363637964 886843166 /nfs/dbraw/zinc/84/31/66/886843166.db2.gz QXNIXGXBHSGSOE-UHFFFAOYSA-N -1 1 323.328 1.783 20 0 DDADMM [O-]c1ccc(CN2CCN(c3nccnn3)CC2)c(F)c1F ZINC001363662508 886914844 /nfs/dbraw/zinc/91/48/44/886914844.db2.gz RNOXTPZUQPKZDN-UHFFFAOYSA-N -1 1 307.304 1.178 20 0 DDADMM COC(=O)C[C@@H](Cc1ccccc1)NC(=O)c1cncc([O-])c1 ZINC001363670494 886935842 /nfs/dbraw/zinc/93/58/42/886935842.db2.gz CCGGOOMQWJQHKZ-CQSZACIVSA-N -1 1 314.341 1.691 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1Cc1nccn1C ZINC001363805681 887270281 /nfs/dbraw/zinc/27/02/81/887270281.db2.gz HZFUWEJCOBUFRQ-UWVGGRQHSA-N -1 1 304.316 1.452 20 0 DDADMM Cc1cccc(C)c1OCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363820249 887311883 /nfs/dbraw/zinc/31/18/83/887311883.db2.gz MBKKWNTVPVWFKT-UHFFFAOYSA-N -1 1 301.350 1.391 20 0 DDADMM O=C(c1nc[n-]c(=O)c1Br)N1CCC[C@H](F)C1 ZINC001363834372 887347731 /nfs/dbraw/zinc/34/77/31/887347731.db2.gz UDRRXNYLAXIGFM-LURJTMIESA-N -1 1 304.119 1.519 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1cncc([O-])c1)Oc1ccccc1 ZINC001363860870 887414779 /nfs/dbraw/zinc/41/47/79/887414779.db2.gz XWGXXZCUFHONSY-CQSZACIVSA-N -1 1 316.313 1.138 20 0 DDADMM C=CC(=O)OC[C@@H](C)Oc1nc2c(cccc2C(=O)OC)[n-]1 ZINC001234042949 887585428 /nfs/dbraw/zinc/58/54/28/887585428.db2.gz BRUOTUWIKUNOGW-SECBINFHSA-N -1 1 304.302 1.846 20 0 DDADMM C=CC(=O)OC[C@@H](C)Oc1nc2cccc(C(=O)OC)c2[n-]1 ZINC001234042949 887585433 /nfs/dbraw/zinc/58/54/33/887585433.db2.gz BRUOTUWIKUNOGW-SECBINFHSA-N -1 1 304.302 1.846 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)C1CCN(C(=O)c2ccccc2)CC1 ZINC001364099495 887916808 /nfs/dbraw/zinc/91/68/08/887916808.db2.gz YTNUYBPMHGMQEB-UHFFFAOYSA-N -1 1 314.345 1.606 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@H]1CCC[C@H]1C(=O)OC ZINC001234608404 888137622 /nfs/dbraw/zinc/13/76/22/888137622.db2.gz LEQOOGLTDWQJNU-XCBNKYQSSA-N -1 1 313.281 1.433 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@H]1CC[C@@H](C(=O)OC)C1 ZINC001234610919 888140333 /nfs/dbraw/zinc/14/03/33/888140333.db2.gz WPGFJKDKGYCEQN-SFYZADRCSA-N -1 1 313.281 1.433 20 0 DDADMM CCC[C@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001384948157 888253424 /nfs/dbraw/zinc/25/34/24/888253424.db2.gz SDGGCOHCFLQUQN-RWMBFGLXSA-N -1 1 319.405 1.848 20 0 DDADMM COC(=O)[C@@H](Cc1c[nH]cn1)NC(=O)c1c([O-])cccc1Cl ZINC001364285623 888296240 /nfs/dbraw/zinc/29/62/40/888296240.db2.gz OHJGNMOOTCGJHD-SNVBAGLBSA-N -1 1 323.736 1.283 20 0 DDADMM CCc1nn(C)cc1NC(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001364324611 888384604 /nfs/dbraw/zinc/38/46/04/888384604.db2.gz OGNXCTNRWJMAON-VIFPVBQESA-N -1 1 324.414 1.112 20 0 DDADMM CC(C)(C)OC(=O)C(C)(C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001364418912 888596056 /nfs/dbraw/zinc/59/60/56/888596056.db2.gz GAMVRDCJWQVNAP-UHFFFAOYSA-N -1 1 321.377 1.910 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1(CCF)CC1 ZINC001385606496 889407932 /nfs/dbraw/zinc/40/79/32/889407932.db2.gz XTAKMOVPEAZZNS-NSHDSACASA-N -1 1 321.352 1.258 20 0 DDADMM COC[C@@H](NC(=O)C[C@@H](C)c1ccccc1F)c1nn[n-]n1 ZINC001364814194 889469487 /nfs/dbraw/zinc/46/94/87/889469487.db2.gz MPEIOOCLUAKWEG-BXKDBHETSA-N -1 1 307.329 1.336 20 0 DDADMM COC[C@H](NC(=O)[C@H]1CC[C@H](C2CCC2)CC1)c1nn[n-]n1 ZINC001364813714 889470536 /nfs/dbraw/zinc/47/05/36/889470536.db2.gz JXGYRTCHSZDVPR-AVGNSLFASA-N -1 1 307.398 1.610 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2ncccc2C)sn1 ZINC001364851713 889547437 /nfs/dbraw/zinc/54/74/37/889547437.db2.gz XBLABXMNTVKWIA-UHFFFAOYSA-N -1 1 313.404 1.376 20 0 DDADMM CC1(CC(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001385678981 889553963 /nfs/dbraw/zinc/55/39/63/889553963.db2.gz NMONWXQYHJPRRA-NSHDSACASA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)[C@H](F)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001385701985 889599035 /nfs/dbraw/zinc/59/90/35/889599035.db2.gz IGFHBIXZAKPKIF-YPMHNXCESA-N -1 1 323.368 1.406 20 0 DDADMM CC[C@@H](F)C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001385762596 889705842 /nfs/dbraw/zinc/70/58/42/889705842.db2.gz HZGKKJVNOWKADQ-GHMZBOCLSA-N -1 1 309.341 1.160 20 0 DDADMM COC[C@@H](C[N-]S(=O)(=O)c1csnc1OC)C(C)C ZINC001364943206 889723981 /nfs/dbraw/zinc/72/39/81/889723981.db2.gz NZAYKQZEHHIGBN-SECBINFHSA-N -1 1 308.425 1.349 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2snnc2C)[n-]c1=O ZINC001364949865 889740734 /nfs/dbraw/zinc/74/07/34/889740734.db2.gz QZQUDUBXLPISRX-UHFFFAOYSA-N -1 1 309.351 1.016 20 0 DDADMM COC(=O)c1ccc(C[N-]S(=O)(=O)c2conc2C)cc1 ZINC001365017441 889899761 /nfs/dbraw/zinc/89/97/61/889899761.db2.gz SQWILKJZKBBRTO-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM CO[C@@H]1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@H]1C ZINC001365052413 889989290 /nfs/dbraw/zinc/98/92/90/889989290.db2.gz ZUWAUZSDDSCEMF-ZWNOBZJWSA-N -1 1 303.366 1.231 20 0 DDADMM COC(=O)C1(O)CCN(Cc2ccc(C(=O)[O-])cc2C)CC1 ZINC001238147180 890072729 /nfs/dbraw/zinc/07/27/29/890072729.db2.gz LCWXTWHLZMMTMV-UHFFFAOYSA-N -1 1 307.346 1.193 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCN([C@@H]2CCOC2)CC1 ZINC001238237188 890144108 /nfs/dbraw/zinc/14/41/08/890144108.db2.gz IHKCKMAVMPNDJX-CQSZACIVSA-N -1 1 308.353 1.430 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](c1ccccc1)c1ccccn1 ZINC001290580241 913464974 /nfs/dbraw/zinc/46/49/74/913464974.db2.gz FJKMNHJTNWJXJC-INIZCTEOSA-N -1 1 308.345 1.433 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)CNC(=O)c2ncccc2[O-])oc1C ZINC001386246325 890638418 /nfs/dbraw/zinc/63/84/18/890638418.db2.gz XVFKAOWZIHVDPE-JTQLQIEISA-N -1 1 317.345 1.545 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(OC)ns1)[C@H]1CCCO1 ZINC001365390972 890716105 /nfs/dbraw/zinc/71/61/05/890716105.db2.gz VJJARMIXHHMUTP-RKDXNWHRSA-N -1 1 306.409 1.388 20 0 DDADMM COC(=O)C[C@@H]1CC[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1 ZINC001365470240 890857567 /nfs/dbraw/zinc/85/75/67/890857567.db2.gz HTVIORVSKAXUFK-SFYZADRCSA-N -1 1 321.786 1.073 20 0 DDADMM CN(C)S(=O)(=O)c1ccc(-c2c(F)cc([O-])cc2F)nc1 ZINC001241119704 891122185 /nfs/dbraw/zinc/12/21/85/891122185.db2.gz ITDBBRZCDKSIBP-UHFFFAOYSA-N -1 1 314.313 1.983 20 0 DDADMM C[C@H]1C(=O)Nc2cnc(-c3c(F)ccc([O-])c3F)nc2N1C ZINC001241289368 891141850 /nfs/dbraw/zinc/14/18/50/891141850.db2.gz SNLIRZBEYIYEOU-LURJTMIESA-N -1 1 306.272 1.904 20 0 DDADMM Cc1oncc1CN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001365691560 891336523 /nfs/dbraw/zinc/33/65/23/891336523.db2.gz NCGHUQVVJIBIOM-UHFFFAOYSA-N -1 1 302.334 1.042 20 0 DDADMM CCC[C@H](C)S(=O)(=O)N[C@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC001365720345 891394117 /nfs/dbraw/zinc/39/41/17/891394117.db2.gz LHRFFUZUVZGUTR-DTWKUNHWSA-N -1 1 318.399 1.150 20 0 DDADMM CCC[C@H](C)S(=O)(=O)N[C@H](C)c1nnc(C(=O)OCC)[n-]1 ZINC001365720345 891394132 /nfs/dbraw/zinc/39/41/32/891394132.db2.gz LHRFFUZUVZGUTR-DTWKUNHWSA-N -1 1 318.399 1.150 20 0 DDADMM CCC[C@H](C)S(=O)(=O)N[C@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC001365720345 891394149 /nfs/dbraw/zinc/39/41/49/891394149.db2.gz LHRFFUZUVZGUTR-DTWKUNHWSA-N -1 1 318.399 1.150 20 0 DDADMM CCC[C@@H](C)S(=O)(=O)N[C@@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC001365720344 891395263 /nfs/dbraw/zinc/39/52/63/891395263.db2.gz LHRFFUZUVZGUTR-BDAKNGLRSA-N -1 1 318.399 1.150 20 0 DDADMM CCC[C@@H](C)S(=O)(=O)N[C@@H](C)c1nnc(C(=O)OCC)[n-]1 ZINC001365720344 891395278 /nfs/dbraw/zinc/39/52/78/891395278.db2.gz LHRFFUZUVZGUTR-BDAKNGLRSA-N -1 1 318.399 1.150 20 0 DDADMM CCC[C@@H](C)S(=O)(=O)N[C@@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC001365720344 891395290 /nfs/dbraw/zinc/39/52/90/891395290.db2.gz LHRFFUZUVZGUTR-BDAKNGLRSA-N -1 1 318.399 1.150 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001386669791 891452003 /nfs/dbraw/zinc/45/20/03/891452003.db2.gz SHTFNTQPDNKERH-NDBYEHHHSA-N -1 1 317.389 1.458 20 0 DDADMM Cc1cc2c(c(-c3cnc(C(F)(F)F)nc3)n1)C(=O)[N-]C2=O ZINC001242661080 891476998 /nfs/dbraw/zinc/47/69/98/891476998.db2.gz GAAVKRRGDLLXDJ-UHFFFAOYSA-N -1 1 308.219 1.749 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1-c1cc(N2CCN(C)CC2)ncn1 ZINC001243200538 891620506 /nfs/dbraw/zinc/62/05/06/891620506.db2.gz LJXHKWJYTPVCGW-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM O=c1cc(-c2ccc(N3CCOCC3)cc2)[n-]n1CCCO ZINC001245943324 892281430 /nfs/dbraw/zinc/28/14/30/892281430.db2.gz RBIMUHCKJDUJBZ-UHFFFAOYSA-N -1 1 303.362 1.475 20 0 DDADMM Cn1cc([N-]S(=O)(=O)c2ccc(N)cc2)c2ccncc21 ZINC001249698903 894109287 /nfs/dbraw/zinc/10/92/87/894109287.db2.gz SSUYCEULCLIQAI-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM COc1ncc(-c2ccc(F)c(C)n2)cc1[N-]S(C)(=O)=O ZINC001250486636 894301259 /nfs/dbraw/zinc/30/12/59/894301259.db2.gz BGICRSJQPDXXBT-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM O=C(NCCN(Cc1nncs1)C1CC1)c1ncccc1[O-] ZINC001366734623 894754722 /nfs/dbraw/zinc/75/47/22/894754722.db2.gz NCDDBMGWYTVGJY-UHFFFAOYSA-N -1 1 319.390 1.033 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CC1(C)C ZINC001388576398 895476037 /nfs/dbraw/zinc/47/60/37/895476037.db2.gz QIALGSKAXDCMDE-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM O=S(=O)(CC(F)(F)F)[N-]c1ccnc(N2CCCC2)c1 ZINC001253117534 895644356 /nfs/dbraw/zinc/64/43/56/895644356.db2.gz CYBCXGFHBHXSLN-UHFFFAOYSA-N -1 1 309.313 1.986 20 0 DDADMM Cc1ccnc(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)n1 ZINC000349829423 895993279 /nfs/dbraw/zinc/99/32/79/895993279.db2.gz PFDRTGIQORAKJD-UHFFFAOYSA-N -1 1 311.305 1.129 20 0 DDADMM Cc1[nH]nc2ccc(-c3cn(CCOCCC(=O)[O-])nn3)cc12 ZINC001254090580 896252824 /nfs/dbraw/zinc/25/28/24/896252824.db2.gz MOPSDBOPOWBFPC-UHFFFAOYSA-N -1 1 315.333 1.621 20 0 DDADMM C[C@@H](C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)C(C)(C)C ZINC001367325735 896445726 /nfs/dbraw/zinc/44/57/26/896445726.db2.gz WNINSZKAOJSQGF-NSHDSACASA-N -1 1 323.441 1.711 20 0 DDADMM CCc1ccc(S(=O)(=O)[N-]c2ccnc(C(=O)OC)c2)cc1 ZINC001255017824 896699545 /nfs/dbraw/zinc/69/95/45/896699545.db2.gz NRSFOOGICFLHAX-UHFFFAOYSA-N -1 1 320.370 1.653 20 0 DDADMM CCc1nnc(Cn2ccc([N-]C(=O)OC(C)(C)C)nc2=O)o1 ZINC001255096784 896731830 /nfs/dbraw/zinc/73/18/30/896731830.db2.gz NUPPEYHZNWIUMX-UHFFFAOYSA-N -1 1 321.337 1.584 20 0 DDADMM CC(C)[C@H](CNC(=O)C[C@@H](C)C1CC1)NCc1n[nH]c(=O)[n-]1 ZINC001367497837 896926725 /nfs/dbraw/zinc/92/67/25/896926725.db2.gz QEEKZXDHNIYRHD-PWSUYJOCSA-N -1 1 309.414 1.177 20 0 DDADMM Cn1ccc([N-]S(=O)(=O)c2ccc(Cl)s2)cc1=O ZINC001256527597 897462517 /nfs/dbraw/zinc/46/25/17/897462517.db2.gz OTARQAORRAJKSY-UHFFFAOYSA-N -1 1 304.780 1.901 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)c1cccc(F)c1 ZINC001367775139 897743787 /nfs/dbraw/zinc/74/37/87/897743787.db2.gz MUMPWKPJAVYYMZ-UWVGGRQHSA-N -1 1 321.356 1.047 20 0 DDADMM Cc1noc(C)c1CN(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001367795991 897826421 /nfs/dbraw/zinc/82/64/21/897826421.db2.gz QYUZXPYDVGSFEY-SNVBAGLBSA-N -1 1 318.377 1.642 20 0 DDADMM CC(C)CCC(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001389786278 898009899 /nfs/dbraw/zinc/00/98/99/898009899.db2.gz UCJNAMGHEVREMC-UHFFFAOYSA-N -1 1 305.378 1.602 20 0 DDADMM O=C(NCC1(NC(=O)[C@]23C[C@H]2CCC3)CC1)c1ncccc1[O-] ZINC001389823685 898095371 /nfs/dbraw/zinc/09/53/71/898095371.db2.gz MJHAKPPNUZDVSA-PIGZYNQJSA-N -1 1 315.373 1.356 20 0 DDADMM COCCc1cccc(C[N-]S(=O)(=O)c2c(C)noc2C)c1 ZINC001258762159 898313032 /nfs/dbraw/zinc/31/30/32/898313032.db2.gz PXHNRHBADCZBBZ-UHFFFAOYSA-N -1 1 324.402 1.959 20 0 DDADMM O=S(=O)([N-]CCN1CCC[C@H](F)C1)c1cc(F)ccc1F ZINC001258950217 898377216 /nfs/dbraw/zinc/37/72/16/898377216.db2.gz QXZBNWUVNNHHSS-NSHDSACASA-N -1 1 322.352 1.677 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@]12CCCOC2)c1cc(F)ccc1F ZINC001258951160 898378634 /nfs/dbraw/zinc/37/86/34/898378634.db2.gz QRVIMALURRDZEN-STQMWFEESA-N -1 1 303.330 1.812 20 0 DDADMM CN1C(=O)CC[C@H]1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259081798 898455201 /nfs/dbraw/zinc/45/52/01/898455201.db2.gz QTVIFEFOWMJFGU-ZETCQYMHSA-N -1 1 322.308 1.003 20 0 DDADMM Cc1nccnc1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259083101 898458176 /nfs/dbraw/zinc/45/81/76/898458176.db2.gz BXSHTDZOIXICFV-UHFFFAOYSA-N -1 1 317.292 1.681 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@]12CCCOC2)c1ccc(F)c(F)c1F ZINC001259083654 898458930 /nfs/dbraw/zinc/45/89/30/898458930.db2.gz PYRWLBOADCLLPL-GXFFZTMASA-N -1 1 321.320 1.951 20 0 DDADMM O=c1[nH]ncc([N-]S(=O)(=O)c2cc(F)cc(F)c2)c1Cl ZINC001259306474 898603241 /nfs/dbraw/zinc/60/32/41/898603241.db2.gz BZRLHNHZQGQQLO-UHFFFAOYSA-N -1 1 321.692 1.915 20 0 DDADMM CC(C)C[C@@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC001259460649 898680143 /nfs/dbraw/zinc/68/01/43/898680143.db2.gz LTRFHEAJXZKLBS-SNVBAGLBSA-N -1 1 322.789 1.657 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001299599241 898724761 /nfs/dbraw/zinc/72/47/61/898724761.db2.gz SEXXCIMMMCABTH-SXIQUOTHSA-N -1 1 317.389 1.722 20 0 DDADMM Cc1cccc(CS(=O)(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001259751414 898778461 /nfs/dbraw/zinc/77/84/61/898778461.db2.gz OHPUTMQQDFUVIV-UHFFFAOYSA-N -1 1 321.335 1.372 20 0 DDADMM Cc1nc(Cl)nc(Cl)c1[N-]S(=O)(=O)c1cnn(C)c1 ZINC001259820304 898828576 /nfs/dbraw/zinc/82/85/76/898828576.db2.gz KZCNYLAYPDMQPY-UHFFFAOYSA-N -1 1 322.177 1.626 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@H](c2cccnc2)C(F)(F)F)cn1 ZINC001259828923 898838992 /nfs/dbraw/zinc/83/89/92/898838992.db2.gz FJGKQZBQIYSPPP-SNVBAGLBSA-N -1 1 320.296 1.397 20 0 DDADMM O=S(=O)([N-]Cc1ccnc(Br)c1)C(F)F ZINC001259963555 898987025 /nfs/dbraw/zinc/98/70/25/898987025.db2.gz ZGQNWUNDUNGFTD-UHFFFAOYSA-N -1 1 301.112 1.486 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@H](O)C1)c1cc(Cl)c(F)cc1F ZINC001260205259 899087563 /nfs/dbraw/zinc/08/75/63/899087563.db2.gz APZRAAXDLBWOPS-LJGSYFOKSA-N -1 1 311.737 1.667 20 0 DDADMM CN1CC[C@H]([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)C1 ZINC001260205516 899088189 /nfs/dbraw/zinc/08/81/89/899088189.db2.gz KXOGZONGRHOTLP-ZETCQYMHSA-N -1 1 310.753 1.601 20 0 DDADMM O=S(=O)([N-][C@H]1[C@@H]2COC[C@@H]21)c1cc(F)c(F)cc1Cl ZINC001260287964 899111644 /nfs/dbraw/zinc/11/16/44/899111644.db2.gz UECMWOFFPWCLBG-ZVVJDGAVSA-N -1 1 309.721 1.541 20 0 DDADMM O=S(=O)([N-]c1c(O)cccc1Br)c1cn[nH]c1 ZINC001260960407 899300795 /nfs/dbraw/zinc/30/07/95/899300795.db2.gz LMGRKGPFFKXNHW-UHFFFAOYSA-N -1 1 318.152 1.679 20 0 DDADMM O=S(=O)([N-][C@@H](c1cccnc1)C(F)(F)F)c1cn[nH]c1 ZINC001260963258 899304399 /nfs/dbraw/zinc/30/43/99/899304399.db2.gz MXRZWMBGRKQFBD-VIFPVBQESA-N -1 1 306.269 1.387 20 0 DDADMM CCCC(=O)N(CC)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001374939014 914406302 /nfs/dbraw/zinc/40/63/02/914406302.db2.gz PIZBFYNKWPTPNW-GFCCVEGCSA-N -1 1 305.378 1.650 20 0 DDADMM CS[C@H](C)C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396787490 914466815 /nfs/dbraw/zinc/46/68/15/914466815.db2.gz YLNPKTHSPABOMX-GHMZBOCLSA-N -1 1 323.418 1.212 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]CCc1cnccn1 ZINC000390386064 901350986 /nfs/dbraw/zinc/35/09/86/901350986.db2.gz XNRKHBBPELBGCI-UHFFFAOYSA-N -1 1 318.811 1.416 20 0 DDADMM CC(C)[C@@H](CNC(=O)C1CC(C)C1)NC(=O)c1ncccc1[O-] ZINC001391571141 902033271 /nfs/dbraw/zinc/03/32/71/902033271.db2.gz ZIJCLTCZULJESU-WXRRBKDZSA-N -1 1 319.405 1.704 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)Cc1ccoc1 ZINC001369822596 902038297 /nfs/dbraw/zinc/03/82/97/902038297.db2.gz AOODJFBZKDJKFK-LLVKDONJSA-N -1 1 317.345 1.200 20 0 DDADMM CC(C)(C)C(=O)NC[C@H]1CCC[C@H]1NC(=O)c1ncccc1[O-] ZINC001391928233 902920827 /nfs/dbraw/zinc/92/08/27/902920827.db2.gz WFYNUPCAXMOSBU-VXGBXAGGSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1nc(CNC[C@H](C)N(C)C(=O)c2ncccc2[O-])co1 ZINC001392047893 903163171 /nfs/dbraw/zinc/16/31/71/903163171.db2.gz FDXPKFMMYGVQAA-JTQLQIEISA-N -1 1 304.350 1.334 20 0 DDADMM CC(C)[C@H](CNC(=O)CC1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001392203482 903521975 /nfs/dbraw/zinc/52/19/75/903521975.db2.gz SLRRESBLXRBEJZ-ZDUSSCGKSA-N -1 1 323.441 1.711 20 0 DDADMM C/C(=C/C(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-])C1CC1 ZINC001280461250 903705554 /nfs/dbraw/zinc/70/55/54/903705554.db2.gz WVDBXWWEJXEFLM-MMRAYRKESA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H](C[C@@H](C)NC(=O)c1ncccc1[O-])NC(=O)CC1CC1 ZINC001371243135 904556081 /nfs/dbraw/zinc/55/60/81/904556081.db2.gz MSIIBLVVKDWNBT-GHMZBOCLSA-N -1 1 305.378 1.600 20 0 DDADMM CCCC[C@@H](CC)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001393030040 906001304 /nfs/dbraw/zinc/00/13/04/906001304.db2.gz CNXXBHPRFMFHAJ-CHWSQXEVSA-N -1 1 323.441 1.807 20 0 DDADMM Cc1nnc(CNCCC[C@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001283164376 906776070 /nfs/dbraw/zinc/77/60/70/906776070.db2.gz GIXBJDVTIUYXOM-JTQLQIEISA-N -1 1 319.365 1.167 20 0 DDADMM CCC(C)(C)C(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001393372403 906823905 /nfs/dbraw/zinc/82/39/05/906823905.db2.gz HRLLEIZNICPLEC-SRVKXCTJSA-N -1 1 321.425 1.416 20 0 DDADMM C/C(=C/C(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C1CC1 ZINC001283484927 907436783 /nfs/dbraw/zinc/43/67/83/907436783.db2.gz WOVWORRKPAQTNU-PGJNLMOESA-N -1 1 319.409 1.193 20 0 DDADMM CN(CCCNC(=O)[C@H]1CC1(C)C)C(=O)c1ncccc1[O-] ZINC001283525973 907538336 /nfs/dbraw/zinc/53/83/36/907538336.db2.gz ZXEYPEYFAOWSCK-LLVKDONJSA-N -1 1 305.378 1.412 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)cc1F ZINC001393946483 908346450 /nfs/dbraw/zinc/34/64/50/908346450.db2.gz AEMWPXTVSNVRMX-ZJUUUORDSA-N -1 1 321.356 1.254 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(Cl)cc1)NCc1n[nH]c(=O)[n-]1 ZINC001394539886 909940762 /nfs/dbraw/zinc/94/07/62/909940762.db2.gz JYCXHCLSQLEAAI-VIFPVBQESA-N -1 1 323.784 1.414 20 0 DDADMM Cc1ncoc1C(=O)N[C@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001394964629 911035620 /nfs/dbraw/zinc/03/56/20/911035620.db2.gz QJCJQYSHIVNTFO-DTWKUNHWSA-N -1 1 318.333 1.020 20 0 DDADMM O=C(C[C@@H]1C=CCC1)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001285922941 911447746 /nfs/dbraw/zinc/44/77/46/911447746.db2.gz YPQRTEKTYOKRPF-GFCCVEGCSA-N -1 1 315.373 1.522 20 0 DDADMM Cc1cnc([C@H](C)N2CCN(C(=O)c3ncccc3[O-])CC2)o1 ZINC001374065992 911784658 /nfs/dbraw/zinc/78/46/58/911784658.db2.gz PTYWGNKXISBHDJ-LBPRGKRZSA-N -1 1 316.361 1.603 20 0 DDADMM CC[C@@H](CNC(=O)CCC1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001375219085 915297516 /nfs/dbraw/zinc/29/75/16/915297516.db2.gz UJMGZGWDEPKAKN-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CCCSCC(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001295469325 915824544 /nfs/dbraw/zinc/82/45/44/915824544.db2.gz LUSDWKDMCQLPOB-SNVBAGLBSA-N -1 1 311.407 1.165 20 0 DDADMM C/C(=C(\F)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C1CC1 ZINC001295508899 915861145 /nfs/dbraw/zinc/86/11/45/915861145.db2.gz KBEJCQNYZFVJLS-KPKJPENVSA-N -1 1 314.338 1.632 20 0 DDADMM CCC[C@@H](CC)C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001375846156 917114757 /nfs/dbraw/zinc/11/47/57/917114757.db2.gz KMYDGRXAWAYBLU-MLGOLLRUSA-N -1 1 323.441 1.015 20 0 DDADMM CCC(=O)NCC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001375889521 917207245 /nfs/dbraw/zinc/20/72/45/917207245.db2.gz SLRVEZSGWNZUSY-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CC1CCC1 ZINC001375978269 917402740 /nfs/dbraw/zinc/40/27/40/917402740.db2.gz PUYVJGGMBLLNKW-ZDUSSCGKSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-])C1CC1 ZINC001376238898 918041756 /nfs/dbraw/zinc/04/17/56/918041756.db2.gz UAHTUSZIQAIWIT-LLVKDONJSA-N -1 1 305.378 1.364 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCCc3cccnc3)nc2n1 ZINC000622869885 365548948 /nfs/dbraw/zinc/54/89/48/365548948.db2.gz KLDFTSSNMMMBGL-UHFFFAOYSA-N -1 1 312.333 1.082 20 0 DDADMM CO[C@H](C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1)C1CCCCC1 ZINC000622870218 365549974 /nfs/dbraw/zinc/54/99/74/365549974.db2.gz UVTFQNADUPPPFC-LBPRGKRZSA-N -1 1 319.365 1.260 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@@H]3c3ccccc3)nc2n1 ZINC000622993063 365585507 /nfs/dbraw/zinc/58/55/07/365585507.db2.gz AAOMVMOWIJQZMK-OLZOCXBDSA-N -1 1 323.356 1.722 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@@H]4C[C@@H]4C3)nc2n1 ZINC000622993224 365585568 /nfs/dbraw/zinc/58/55/68/365585568.db2.gz FVLAUPRPBBEKRW-OPRDCNLKSA-N -1 1 301.350 1.355 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3[C@@H]4CCCC[C@@H]43)nc2n1 ZINC000622994418 365587723 /nfs/dbraw/zinc/58/77/23/365587723.db2.gz OHKVVKHYCRWBSM-IAZYJMLFSA-N -1 1 301.350 1.355 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cc(C)sc3C)nc2n1 ZINC000622995378 365588530 /nfs/dbraw/zinc/58/85/30/365588530.db2.gz ZEIMZMVSJOGUMR-UHFFFAOYSA-N -1 1 317.374 1.911 20 0 DDADMM Cc1ccccc1COCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000623019555 365601464 /nfs/dbraw/zinc/60/14/64/365601464.db2.gz YSSDMKPKZIRGCI-UHFFFAOYSA-N -1 1 315.377 1.610 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ccccn1 ZINC000614390910 361834521 /nfs/dbraw/zinc/83/45/21/361834521.db2.gz XPUHLUCNAOMKET-NSHDSACASA-N -1 1 316.361 1.854 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1O ZINC000614386626 361831277 /nfs/dbraw/zinc/83/12/77/361831277.db2.gz HLIWFUWYBULABW-NSHDSACASA-N -1 1 303.318 1.809 20 0 DDADMM C[C@H](CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)[C@H]1CCCO1 ZINC000614475610 361876809 /nfs/dbraw/zinc/87/68/09/361876809.db2.gz OVBPVYWPYUSZIR-ZYHUDNBSSA-N -1 1 309.366 1.686 20 0 DDADMM Cc1cnn(CC[N-]S(=O)(=O)c2sccc2Cl)c1 ZINC000451209005 231033662 /nfs/dbraw/zinc/03/36/62/231033662.db2.gz GQEQPYODLAWTIE-UHFFFAOYSA-N -1 1 305.812 1.885 20 0 DDADMM C[C@@H](CO)[C@@H](C)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451230211 231040919 /nfs/dbraw/zinc/04/09/19/231040919.db2.gz NOYWZDRRDVMREA-DTWKUNHWSA-N -1 1 301.339 1.867 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000443300307 529408918 /nfs/dbraw/zinc/40/89/18/529408918.db2.gz KGSHWAGSTZGRRL-RNCFNFMXSA-N -1 1 319.379 1.142 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCCOC(C)C)c1 ZINC000272827004 210227747 /nfs/dbraw/zinc/22/77/47/210227747.db2.gz KBIYBUDFTUDEDA-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM CN(CC(C)(CO)CO)C(=O)c1ccc2ccccc2c1[O-] ZINC000182927188 199511340 /nfs/dbraw/zinc/51/13/40/199511340.db2.gz RBVRLZGUPWNROD-UHFFFAOYSA-N -1 1 303.358 1.608 20 0 DDADMM COCC[C@H](C)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000155756525 290701156 /nfs/dbraw/zinc/70/11/56/290701156.db2.gz DCKMNWFUICRBPN-VIFPVBQESA-N -1 1 313.423 1.274 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](CC)OC2CCCC2)n[n-]1 ZINC000615917051 362485732 /nfs/dbraw/zinc/48/57/32/362485732.db2.gz JPRMKSQCKBEPOP-LLVKDONJSA-N -1 1 324.381 1.335 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](CC)OC2CCCC2)n1 ZINC000615917051 362485737 /nfs/dbraw/zinc/48/57/37/362485737.db2.gz JPRMKSQCKBEPOP-LLVKDONJSA-N -1 1 324.381 1.335 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@]2(C)CCCC[C@@H]2C)co1 ZINC000457260195 530026241 /nfs/dbraw/zinc/02/62/41/530026241.db2.gz NIUPQDTVXVNKJD-IINYFYTJSA-N -1 1 314.407 1.886 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C(C)(C)C(C)C)c1 ZINC000292383588 530031938 /nfs/dbraw/zinc/03/19/38/530031938.db2.gz YSCKANVMSPDYDP-UHFFFAOYSA-N -1 1 314.407 1.921 20 0 DDADMM CC[C@@H]1CC[C@H](C(=O)N=c2[nH][n-]c(C)c2Br)O1 ZINC000616007528 362517399 /nfs/dbraw/zinc/51/73/99/362517399.db2.gz SFKYOACHLRFOEN-HTQZYQBOSA-N -1 1 302.172 1.799 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC(OCCO)CC1 ZINC000330877216 232131230 /nfs/dbraw/zinc/13/12/30/232131230.db2.gz XJGUIEPOCLOQFD-UHFFFAOYSA-N -1 1 301.289 1.284 20 0 DDADMM O=S(=O)([N-]C1(C(F)(F)F)CCOCC1)c1cccnc1 ZINC000330876850 232131660 /nfs/dbraw/zinc/13/16/60/232131660.db2.gz VTTZTMVZFSFCNT-UHFFFAOYSA-N -1 1 310.297 1.471 20 0 DDADMM Cn1cncc1CNC(=O)c1ccc(Br)c([O-])c1 ZINC000356755998 539470108 /nfs/dbraw/zinc/47/01/08/539470108.db2.gz UPKVSOTTZGCLFG-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)Cc1ccco1 ZINC000358891361 539484065 /nfs/dbraw/zinc/48/40/65/539484065.db2.gz BXUWMJZUQGEAJF-UHFFFAOYSA-N -1 1 312.322 1.971 20 0 DDADMM COc1ccc(NS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)c(O)c1 ZINC000442838832 539530853 /nfs/dbraw/zinc/53/08/53/539530853.db2.gz UCYFMWBHTVZFLP-UHFFFAOYSA-N -1 1 312.303 1.228 20 0 DDADMM CCC1(CN=c2ccc(C(=O)NCCO)n[n-]2)CCCCC1 ZINC000358352930 299128474 /nfs/dbraw/zinc/12/84/74/299128474.db2.gz NJTMNVXDAORNEO-UHFFFAOYSA-N -1 1 306.410 1.393 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCC1CCOCC1)c2=O ZINC000358370955 299133567 /nfs/dbraw/zinc/13/35/67/299133567.db2.gz OHGCSXXUNUSPEQ-UHFFFAOYSA-N -1 1 304.350 1.220 20 0 DDADMM C[C@H]1N(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCOC1(C)C ZINC000358382860 299137290 /nfs/dbraw/zinc/13/72/90/299137290.db2.gz ISXVBKBAMJNGSG-SECBINFHSA-N -1 1 304.350 1.313 20 0 DDADMM Cn1nnc2c1CC[C@@H](NC(=O)c1ncc3ccccc3c1[O-])C2 ZINC000616703034 362787226 /nfs/dbraw/zinc/78/72/26/362787226.db2.gz NSCAAWNUBAAOPD-LLVKDONJSA-N -1 1 323.356 1.356 20 0 DDADMM Cc1ccc2[nH]cc(C(=O)[N-]OC[C@H]3CCOC3)c(=O)c2c1 ZINC000286960414 219244784 /nfs/dbraw/zinc/24/47/84/219244784.db2.gz SAIDERWCTPQALB-NSHDSACASA-N -1 1 302.330 1.947 20 0 DDADMM CCC[C@@H](NC(=O)c1cc2ccc(OC)cc2[nH]1)c1nn[n-]n1 ZINC000564703307 304008401 /nfs/dbraw/zinc/00/84/01/304008401.db2.gz AGFHGKPWYWNAPO-LLVKDONJSA-N -1 1 314.349 1.961 20 0 DDADMM CC(C)n1ncc2c1C[C@H](Nc1nc3[nH][n-]cc-3c(=O)n1)CC2 ZINC000564773636 304012186 /nfs/dbraw/zinc/01/21/86/304012186.db2.gz ZNOFGCGQDNVWGT-SNVBAGLBSA-N -1 1 313.365 1.179 20 0 DDADMM COC(=O)[C@H](C)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000182028853 199376093 /nfs/dbraw/zinc/37/60/93/199376093.db2.gz RCYCLKGYPNZSOJ-SSDOTTSWSA-N -1 1 305.252 1.950 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OCc1cccnc1)N1C[C@@H](C)O[C@H](C)C1 ZINC000155833031 186116382 /nfs/dbraw/zinc/11/63/82/186116382.db2.gz LIGDLTYFVDRLPL-FMKPAKJESA-N -1 1 321.421 1.763 20 0 DDADMM COCCn1cc(S(=O)(=O)[N-]c2ccc(F)cc2F)cn1 ZINC000269589763 186392412 /nfs/dbraw/zinc/39/24/12/186392412.db2.gz DGKLTEQSQBPYEF-UHFFFAOYSA-N -1 1 317.317 1.609 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1cnn(CC(F)(F)F)c1 ZINC000172370271 198087002 /nfs/dbraw/zinc/08/70/02/198087002.db2.gz ATQZTOJFUYEGGT-SECBINFHSA-N -1 1 313.301 1.366 20 0 DDADMM COC(=O)CNC(=O)Cn1c(=S)[n-]nc1-c1cccc(C)c1 ZINC000017440796 352165267 /nfs/dbraw/zinc/16/52/67/352165267.db2.gz JDVGXKLAWRSHBG-UHFFFAOYSA-N -1 1 320.374 1.205 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@@H](CO)NC(=O)c2ncc(C)cc2[O-])C1 ZINC000617537018 363164678 /nfs/dbraw/zinc/16/46/78/363164678.db2.gz KVNBKKRNXVAXEF-YNEHKIRRSA-N -1 1 308.378 1.392 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@@H](C(C)C)CC1 ZINC000331697261 234007461 /nfs/dbraw/zinc/00/74/61/234007461.db2.gz YOENRONUVHGJJC-LLVKDONJSA-N -1 1 301.412 1.842 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)C1CC1)c1nc2ccccc2s1 ZINC000564908433 304025933 /nfs/dbraw/zinc/02/59/33/304025933.db2.gz MZHWIQBYPIAQNK-JTQLQIEISA-N -1 1 312.416 1.593 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCSC(C)(C)C)o1 ZINC000066736942 353014589 /nfs/dbraw/zinc/01/45/89/353014589.db2.gz KZYPUOLUXUDESI-UHFFFAOYSA-N -1 1 320.436 1.449 20 0 DDADMM Cc1noc(CCC[N-]S(=O)(=O)c2cc(F)ccc2F)n1 ZINC000066935272 353026707 /nfs/dbraw/zinc/02/67/07/353026707.db2.gz VNXQAERNUAOGIS-UHFFFAOYSA-N -1 1 317.317 1.567 20 0 DDADMM C[C@H](Oc1ccc(F)cc1)C(=O)NCc1n[n-]c(=S)n1C ZINC000066626329 353008494 /nfs/dbraw/zinc/00/84/94/353008494.db2.gz WUOBRVXDXHKZIE-QMMMGPOBSA-N -1 1 310.354 1.700 20 0 DDADMM Cc1ccc(C=CC(=O)Nc2nnn[n-]2)c(Br)c1 ZINC000491845828 234312656 /nfs/dbraw/zinc/31/26/56/234312656.db2.gz OOWPCWXRKDSKEQ-SNAWJCMRSA-N -1 1 308.139 1.923 20 0 DDADMM Cc1ccc(C=CC(=O)Nc2nn[n-]n2)c(Br)c1 ZINC000491845828 234312660 /nfs/dbraw/zinc/31/26/60/234312660.db2.gz OOWPCWXRKDSKEQ-SNAWJCMRSA-N -1 1 308.139 1.923 20 0 DDADMM Cc1n[nH]cc1S(=O)(=O)[N-]c1ccn(-c2ccncc2)n1 ZINC000071092473 353191371 /nfs/dbraw/zinc/19/13/71/353191371.db2.gz NQSSAGUJUVLULG-UHFFFAOYSA-N -1 1 304.335 1.100 20 0 DDADMM C[C@H]1C[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000075515382 353366384 /nfs/dbraw/zinc/36/63/84/353366384.db2.gz ACCLXCZWDYIHQZ-DTWKUNHWSA-N -1 1 300.380 1.495 20 0 DDADMM CC[C@@](C)(O)CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000174795677 198387013 /nfs/dbraw/zinc/38/70/13/198387013.db2.gz DWJAMRNQKXDKIE-MRXNPFEDSA-N -1 1 320.393 1.262 20 0 DDADMM O=C(C=Cc1csc(Br)c1)Nc1nnn[n-]1 ZINC000078767833 353531515 /nfs/dbraw/zinc/53/15/15/353531515.db2.gz FZMQWPODBCGFPM-UPHRSURJSA-N -1 1 300.141 1.676 20 0 DDADMM O=C(C=Cc1csc(Br)c1)Nc1nn[n-]n1 ZINC000078767833 353531517 /nfs/dbraw/zinc/53/15/17/353531517.db2.gz FZMQWPODBCGFPM-UPHRSURJSA-N -1 1 300.141 1.676 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCc2ccncc2)o1 ZINC000355794469 291009440 /nfs/dbraw/zinc/00/94/40/291009440.db2.gz NBVAQJVTTXVULB-UHFFFAOYSA-N -1 1 324.358 1.372 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)N1CCC(c2n[n-]c(=S)n2C)CC1 ZINC000092414529 353857050 /nfs/dbraw/zinc/85/70/50/353857050.db2.gz DILXYNLBUMFJLU-ONGXEEELSA-N -1 1 310.423 1.609 20 0 DDADMM O=c1cc(C(F)(F)F)nc(SCc2nnnn2C2CC2)[n-]1 ZINC000127043641 354060453 /nfs/dbraw/zinc/06/04/53/354060453.db2.gz ZEAPGODOCCYMCY-UHFFFAOYSA-N -1 1 318.284 1.815 20 0 DDADMM O=C([O-])C1(CNS(=O)(=O)c2c(F)cc(F)cc2F)CCC1 ZINC000166664193 354249741 /nfs/dbraw/zinc/24/97/41/354249741.db2.gz ZZCJVXYMCARFOB-UHFFFAOYSA-N -1 1 323.292 1.637 20 0 DDADMM [O-]c1ccc(-c2noc(-c3cn([C@@H]4CCOC4)nn3)n2)cc1F ZINC000350585135 354605876 /nfs/dbraw/zinc/60/58/76/354605876.db2.gz ALLYFCUZVUNRLQ-SECBINFHSA-N -1 1 317.280 1.801 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCn2ccnc2)c(F)c1 ZINC000588673945 354927256 /nfs/dbraw/zinc/92/72/56/354927256.db2.gz VIRYAQHVMFXNGY-UHFFFAOYSA-N -1 1 317.317 1.148 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cnn(-c3ccncc3)c2)cn1 ZINC000589436845 354990462 /nfs/dbraw/zinc/99/04/62/354990462.db2.gz ZMHJDYBKBKDGNS-UHFFFAOYSA-N -1 1 318.362 1.285 20 0 DDADMM O=S(=O)([N-]CCCn1cncn1)c1cc(F)c(F)cc1F ZINC000591816865 355390826 /nfs/dbraw/zinc/39/08/26/355390826.db2.gz BBBZLSKUIRRRQH-UHFFFAOYSA-N -1 1 320.296 1.064 20 0 DDADMM COCC1(CS(=O)(=O)[N-][C@H](C(=O)OC)C(C)(C)C)CCC1 ZINC000593546777 355921149 /nfs/dbraw/zinc/92/11/49/355921149.db2.gz OLDUOWXBNULSLV-LLVKDONJSA-N -1 1 321.439 1.310 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1CC[S@](=O)c1ccccc1 ZINC000593745900 355983514 /nfs/dbraw/zinc/98/35/14/355983514.db2.gz CKGRIPPCIMPJOP-YUNKPMOVSA-N -1 1 313.444 1.686 20 0 DDADMM COC(=O)c1[n-]c(=NCc2n[nH]c([C@H]3CCCO3)n2)sc1C ZINC000594589030 356257620 /nfs/dbraw/zinc/25/76/20/356257620.db2.gz HHYXWZSHILNJFV-MRVPVSSYSA-N -1 1 323.378 1.242 20 0 DDADMM CCC[C@@H](C)S(=O)(=O)[N-][C@]1(C(=O)OC(C)(C)C)CCOC1 ZINC000594843387 356334287 /nfs/dbraw/zinc/33/42/87/356334287.db2.gz SUGZAUFPDHRPAE-BXUZGUMPSA-N -1 1 321.439 1.595 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@]2(C)CCO[C@@H]2C)cc1C ZINC000595323038 356449587 /nfs/dbraw/zinc/44/95/87/356449587.db2.gz VOXREHITSJTQSC-RNCFNFMXSA-N -1 1 317.363 1.220 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2cc(C)c(C(=O)OC)o2)CC1 ZINC000595341197 356455937 /nfs/dbraw/zinc/45/59/37/356455937.db2.gz DAXBBQLZRRFJNJ-UHFFFAOYSA-N -1 1 317.363 1.080 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCc2ccco2)c1 ZINC000595377177 356470743 /nfs/dbraw/zinc/47/07/43/356470743.db2.gz LMCYVJDOLHERLA-UHFFFAOYSA-N -1 1 313.331 1.570 20 0 DDADMM C[C@H](CCOCc1ccccc1)NC(=O)CCc1nn[n-]n1 ZINC000633087213 422752233 /nfs/dbraw/zinc/75/22/33/422752233.db2.gz HGWRUATYDZYURL-GFCCVEGCSA-N -1 1 303.366 1.244 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NC(=O)Cc1[nH]nc2ccccc21 ZINC000237091080 202150040 /nfs/dbraw/zinc/15/00/40/202150040.db2.gz WQYKBDXJQHZTML-HNNXBMFYSA-N -1 1 305.334 1.101 20 0 DDADMM COC(=O)c1ccc(F)c([N-]C(=O)c2nnn(C(C)(C)C)n2)c1 ZINC000596698443 356955671 /nfs/dbraw/zinc/95/56/71/356955671.db2.gz VFBSUTYLNOWOAE-UHFFFAOYSA-N -1 1 321.312 1.606 20 0 DDADMM CC(C)Cc1cc(C(=O)NC(C)(C)c2nn[n-]n2)cc(=O)[nH]1 ZINC000347578619 283235154 /nfs/dbraw/zinc/23/51/54/283235154.db2.gz OTZUFGRAOZOLRQ-UHFFFAOYSA-N -1 1 304.354 1.164 20 0 DDADMM Cn1nccc1[C@@H]1OCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000276773732 213100089 /nfs/dbraw/zinc/10/00/89/213100089.db2.gz UHSZHHGOHZLBBL-IINYFYTJSA-N -1 1 302.334 1.028 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H](C)c2nc(C)cs2)[n-]n1 ZINC000347877398 283288537 /nfs/dbraw/zinc/28/85/37/283288537.db2.gz LASHKQOMDGVZNA-SECBINFHSA-N -1 1 305.407 1.629 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@H]1CO)c1ccc(F)c(F)c1F ZINC000179489889 199044475 /nfs/dbraw/zinc/04/44/75/199044475.db2.gz FQNHIGFYFKJOHK-WCBMZHEXSA-N -1 1 323.336 1.933 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(Cl)ccc1[O-])C(N)=O ZINC000600259206 358172674 /nfs/dbraw/zinc/17/26/74/358172674.db2.gz AMLIKKWALMRAQR-VIFPVBQESA-N -1 1 302.783 1.382 20 0 DDADMM COC(=O)[C@@H](C[C@H]1CCCOC1)NC(=O)c1ncc(C)cc1[O-] ZINC000600455309 358226136 /nfs/dbraw/zinc/22/61/36/358226136.db2.gz JIVUREMSIUOVHT-VXGBXAGGSA-N -1 1 322.361 1.184 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc(NC(N)=O)cc2)c([O-])c1 ZINC000358909171 299277672 /nfs/dbraw/zinc/27/76/72/299277672.db2.gz RRJYGAOYWCKYSN-UHFFFAOYSA-N -1 1 300.318 1.516 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OC ZINC000601391945 358532042 /nfs/dbraw/zinc/53/20/42/358532042.db2.gz CDZWUCVNPPUBGH-VIFPVBQESA-N -1 1 306.409 1.462 20 0 DDADMM CCc1nncn1CCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631425657 422757360 /nfs/dbraw/zinc/75/73/60/422757360.db2.gz FSDRBOPWGBZYBL-UHFFFAOYSA-N -1 1 308.769 1.558 20 0 DDADMM COC(=O)[C@@]1(C)C[C@@H](OC)CN1C(=O)c1ccc([O-])cc1F ZINC000601737482 358672319 /nfs/dbraw/zinc/67/23/19/358672319.db2.gz HLMLXKUHFQBQRR-MEBBXXQBSA-N -1 1 311.309 1.324 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2cccc(Br)c2)c1=O ZINC000601932230 358743545 /nfs/dbraw/zinc/74/35/45/358743545.db2.gz OURRCAFVJDAVJD-MRVPVSSYSA-N -1 1 311.135 1.961 20 0 DDADMM CN(C)C[C@@H](NC(=O)N=c1[n-]ncs1)c1ccc(F)cc1 ZINC000348152517 283392537 /nfs/dbraw/zinc/39/25/37/283392537.db2.gz HSUBPVOXTBKZOI-LLVKDONJSA-N -1 1 309.370 1.524 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@@H]2CCCC[C@@H]2C)n[n-]1 ZINC000603013571 359357445 /nfs/dbraw/zinc/35/74/45/359357445.db2.gz CWRLYAVUKHCVOD-QWRGUYRKSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H]2CCCC[C@@H]2C)n1 ZINC000603013571 359357450 /nfs/dbraw/zinc/35/74/50/359357450.db2.gz CWRLYAVUKHCVOD-QWRGUYRKSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCc2cccc(C)c2)n[n-]1 ZINC000603014790 359358393 /nfs/dbraw/zinc/35/83/93/359358393.db2.gz HFANIDXWLVOBKZ-UHFFFAOYSA-N -1 1 316.361 1.539 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCc2cccc(C)c2)n1 ZINC000603014790 359358395 /nfs/dbraw/zinc/35/83/95/359358395.db2.gz HFANIDXWLVOBKZ-UHFFFAOYSA-N -1 1 316.361 1.539 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCCC(C)(C)C2)n[n-]1 ZINC000603019463 359361511 /nfs/dbraw/zinc/36/15/11/359361511.db2.gz WLHMDZHNLRGDKU-SNVBAGLBSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCCC(C)(C)C2)n1 ZINC000603019463 359361515 /nfs/dbraw/zinc/36/15/15/359361515.db2.gz WLHMDZHNLRGDKU-SNVBAGLBSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(F)c2C)n[n-]1 ZINC000603023433 359365634 /nfs/dbraw/zinc/36/56/34/359365634.db2.gz UCGYLHDAWXHMPA-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(F)c2C)n1 ZINC000603023433 359365637 /nfs/dbraw/zinc/36/56/37/359365637.db2.gz UCGYLHDAWXHMPA-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](CC)SC)n[n-]1 ZINC000603154913 359442197 /nfs/dbraw/zinc/44/21/97/359442197.db2.gz MOLQRPYPUZJDLX-YUMQZZPRSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](CC)SC)[n-]1 ZINC000603154913 359442199 /nfs/dbraw/zinc/44/21/99/359442199.db2.gz MOLQRPYPUZJDLX-YUMQZZPRSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](CC)SC)n1 ZINC000603154913 359442202 /nfs/dbraw/zinc/44/22/02/359442202.db2.gz MOLQRPYPUZJDLX-YUMQZZPRSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC[C@H](C)SC)n[n-]1 ZINC000603156653 359442715 /nfs/dbraw/zinc/44/27/15/359442715.db2.gz RWJMNZJAUGQZMR-IUCAKERBSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC[C@H](C)SC)[n-]1 ZINC000603156653 359442721 /nfs/dbraw/zinc/44/27/21/359442721.db2.gz RWJMNZJAUGQZMR-IUCAKERBSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC[C@H](C)SC)n1 ZINC000603156653 359442728 /nfs/dbraw/zinc/44/27/28/359442728.db2.gz RWJMNZJAUGQZMR-IUCAKERBSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](C)C(F)(F)F)n[n-]1 ZINC000603157816 359444808 /nfs/dbraw/zinc/44/48/08/359444808.db2.gz WJNZWVHNJAPNPP-RITPCOANSA-N -1 1 308.260 1.357 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](C)C(F)(F)F)[n-]1 ZINC000603157816 359444813 /nfs/dbraw/zinc/44/48/13/359444813.db2.gz WJNZWVHNJAPNPP-RITPCOANSA-N -1 1 308.260 1.357 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](C)C(F)(F)F)n1 ZINC000603157816 359444818 /nfs/dbraw/zinc/44/48/18/359444818.db2.gz WJNZWVHNJAPNPP-RITPCOANSA-N -1 1 308.260 1.357 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2ccsc2)n[n-]1 ZINC000603167124 359451199 /nfs/dbraw/zinc/45/11/99/359451199.db2.gz VNBUNUYNIBDNLN-MRVPVSSYSA-N -1 1 308.363 1.463 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2ccsc2)[n-]1 ZINC000603167124 359451201 /nfs/dbraw/zinc/45/12/01/359451201.db2.gz VNBUNUYNIBDNLN-MRVPVSSYSA-N -1 1 308.363 1.463 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2ccsc2)n1 ZINC000603167124 359451203 /nfs/dbraw/zinc/45/12/03/359451203.db2.gz VNBUNUYNIBDNLN-MRVPVSSYSA-N -1 1 308.363 1.463 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)C[C@@]1(C)CC1(F)F)C(=O)OC ZINC000603308963 359559784 /nfs/dbraw/zinc/55/97/84/359559784.db2.gz MKIJMSQVWVZXNE-GXSJLCMTSA-N -1 1 313.366 1.683 20 0 DDADMM C/C=C\C[C@@H]([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2)C(=O)OCC ZINC000603311079 359562230 /nfs/dbraw/zinc/56/22/30/359562230.db2.gz VRVYTMLOTYJSNJ-HCNVNQMXSA-N -1 1 317.407 1.124 20 0 DDADMM CCO[C@@H]1C[C@](CO)(NC(=O)c2ccc([O-])cc2F)C1(C)C ZINC000188879363 200315860 /nfs/dbraw/zinc/31/58/60/200315860.db2.gz YXHOJTQZDUVKGA-CZUORRHYSA-N -1 1 311.353 1.827 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCSC2)c(F)c1 ZINC000608040097 360108305 /nfs/dbraw/zinc/10/83/05/360108305.db2.gz FZSDZHPZBCUCOC-SSDOTTSWSA-N -1 1 309.359 1.757 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(Br)ccc2[O-])[C@@H]1CO ZINC000189482874 200409782 /nfs/dbraw/zinc/40/97/82/200409782.db2.gz OVZMVLHKPMSLGK-GZMMTYOYSA-N -1 1 314.179 1.998 20 0 DDADMM CCN(CC(N)=O)C(=O)c1cc(Br)ccc1[O-] ZINC000189679539 200436801 /nfs/dbraw/zinc/43/68/01/200436801.db2.gz WTJOZESRNATAHJ-UHFFFAOYSA-N -1 1 301.140 1.102 20 0 DDADMM CCOC(=O)c1nnc([N-]C(=O)c2nccn3ccnc23)s1 ZINC000610871485 360556934 /nfs/dbraw/zinc/55/69/34/360556934.db2.gz ANJCRQBOBCDROX-UHFFFAOYSA-N -1 1 318.318 1.010 20 0 DDADMM CCOC[C@H](C(=O)OC)N(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000611089990 360620046 /nfs/dbraw/zinc/62/00/46/360620046.db2.gz FGROMQQCVKDRCD-LLVKDONJSA-N -1 1 315.753 1.696 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2nc3c(s2)C(=O)CCC3)on1 ZINC000611588999 360770684 /nfs/dbraw/zinc/77/06/84/360770684.db2.gz IXEMCNIGLALRFZ-UHFFFAOYSA-N -1 1 321.314 1.689 20 0 DDADMM COc1ccc(N[C@H](C)c2ccnn2C)cc1[N-]S(C)(=O)=O ZINC000193140291 200998078 /nfs/dbraw/zinc/99/80/78/200998078.db2.gz VVGLSEAEBNNZPB-SNVBAGLBSA-N -1 1 324.406 1.973 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCC[C@H]3C[C@H]32)c1 ZINC000613205460 361322733 /nfs/dbraw/zinc/32/27/33/361322733.db2.gz CNCCHACTEOSTPH-JMJZKYOTSA-N -1 1 310.375 1.414 20 0 DDADMM CC[C@](NC(=O)c1ncc2ccccc2c1[O-])(C(N)=O)C(C)C ZINC000613396656 361404444 /nfs/dbraw/zinc/40/44/44/361404444.db2.gz VGVIYUIKTPQMMA-QGZVFWFLSA-N -1 1 315.373 1.960 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc([O-])c(F)c1)c1cccc(O)c1 ZINC000613517823 361452771 /nfs/dbraw/zinc/45/27/71/361452771.db2.gz LPQIOAUQZGFLKQ-CQSZACIVSA-N -1 1 319.288 1.881 20 0 DDADMM COC(=O)CCN1CCN(C(=O)c2ccc([O-])c(F)c2)C[C@H]1C ZINC000613523967 361455472 /nfs/dbraw/zinc/45/54/72/361455472.db2.gz BYMAEVCISPJYKS-LLVKDONJSA-N -1 1 324.352 1.241 20 0 DDADMM CC1(C)CCN(C(=O)c2cc(F)ccc2[O-])CCS1(=O)=O ZINC000194209974 201198537 /nfs/dbraw/zinc/19/85/37/201198537.db2.gz NSVYTVQHLDOAAM-UHFFFAOYSA-N -1 1 315.366 1.571 20 0 DDADMM Cc1ocnc1C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000281576882 216394460 /nfs/dbraw/zinc/39/44/60/216394460.db2.gz BCJHWPFOXUBRAA-UHFFFAOYSA-N -1 1 319.283 1.514 20 0 DDADMM Cn1cc(C[C@H]2CCC[C@H]2NC(=O)c2cncc([O-])c2)cn1 ZINC000618932162 363809433 /nfs/dbraw/zinc/80/94/33/363809433.db2.gz VAVMQWHJHAKMPS-IUODEOHRSA-N -1 1 300.362 1.662 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H](CO)CC1CC1 ZINC000619043111 363867793 /nfs/dbraw/zinc/86/77/93/363867793.db2.gz ZOVBFOAWNJDNCO-SNVBAGLBSA-N -1 1 311.407 1.351 20 0 DDADMM COC[C@H](NC(=O)CCc1nn[n-]n1)c1cccc(Cl)c1 ZINC000633120994 422765788 /nfs/dbraw/zinc/76/57/88/422765788.db2.gz JWGBZMPYMYEMJI-NSHDSACASA-N -1 1 309.757 1.290 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C2C[C@H]3CCC[C@@H](C2)C3=O)[n-]1 ZINC000619865248 364166322 /nfs/dbraw/zinc/16/63/22/364166322.db2.gz HPFDUKYTERELEC-ZACCUICWSA-N -1 1 319.361 1.920 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2C[C@H]3CCC[C@@H](C2)C3=O)n1 ZINC000619865248 364166328 /nfs/dbraw/zinc/16/63/28/364166328.db2.gz HPFDUKYTERELEC-ZACCUICWSA-N -1 1 319.361 1.920 20 0 DDADMM O=C(C(=O)N1CCOC(C2CC2)(C2CC2)C1)c1ccc([O-])cc1 ZINC000619947125 364211882 /nfs/dbraw/zinc/21/18/82/364211882.db2.gz ONQLKJAQJOEOMK-UHFFFAOYSA-N -1 1 315.369 1.993 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC2([C@@H](C)O)CC2)c(=O)[n-]1 ZINC000620070680 364270406 /nfs/dbraw/zinc/27/04/06/364270406.db2.gz HKMGAUSQVIRZBZ-SECBINFHSA-N -1 1 311.407 1.175 20 0 DDADMM O=C(N[C@@H](c1ccncc1)C1(CO)CCC1)c1ncccc1[O-] ZINC000621033911 364654572 /nfs/dbraw/zinc/65/45/72/364654572.db2.gz UMKNHYWIUPEDFI-HNNXBMFYSA-N -1 1 313.357 1.816 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CC2CC1(C)C2 ZINC000621211419 364736389 /nfs/dbraw/zinc/73/63/89/364736389.db2.gz BFOQXRVAQAYYGH-UHFFFAOYSA-N -1 1 324.384 1.694 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CC(C(=O)[O-])C1)c1cccc(F)c1 ZINC000621828694 365045504 /nfs/dbraw/zinc/04/55/04/365045504.db2.gz OZOHCDDWAJLFFO-ZDUSSCGKSA-N -1 1 309.341 1.154 20 0 DDADMM Cc1cccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1O ZINC000622611356 365451609 /nfs/dbraw/zinc/45/16/09/365451609.db2.gz CAZRPCVBYPGSKO-ZDUSSCGKSA-N -1 1 309.329 1.733 20 0 DDADMM O=S(=O)([N-][C@H](CCc1ccccc1)C(F)F)[C@H]1CCOC1 ZINC000625423022 366915886 /nfs/dbraw/zinc/91/58/86/366915886.db2.gz KYALIYVHKBDUCI-QWHCGFSZSA-N -1 1 319.373 1.961 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)CCC1Cc2ccccc2C1 ZINC000625493726 366964284 /nfs/dbraw/zinc/96/42/84/366964284.db2.gz VUDIJRCHWHNBIB-HNNXBMFYSA-N -1 1 323.414 1.069 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCC2(C)C)c(C(F)(F)F)n1 ZINC000293095296 223394292 /nfs/dbraw/zinc/39/42/92/223394292.db2.gz NMZGNFRSVORNSM-MRVPVSSYSA-N -1 1 311.329 1.906 20 0 DDADMM CC(C)c1nc(CNC(=O)N2CC[C@@H](C(=O)[O-])[C@@H](C)C2)n[nH]1 ZINC000626763166 367729826 /nfs/dbraw/zinc/72/98/26/367729826.db2.gz JCZYQQIGIWZNNE-VHSXEESVSA-N -1 1 309.370 1.180 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2ccc3c(c2)CCC3)C1 ZINC000261790711 203117862 /nfs/dbraw/zinc/11/78/62/203117862.db2.gz XTDWCIPRIQBJEF-AWEZNQCLSA-N -1 1 302.374 1.910 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC[C@H](F)C1 ZINC000566400085 304149855 /nfs/dbraw/zinc/14/98/55/304149855.db2.gz NLSQLOUICBSFCJ-NSHDSACASA-N -1 1 306.341 1.555 20 0 DDADMM Cc1cnc(C(=O)N(C)C[C@H](O)Cc2ccccc2)c([O-])c1 ZINC000350921890 284282663 /nfs/dbraw/zinc/28/26/63/284282663.db2.gz UNNKCZLUTOBMJG-CQSZACIVSA-N -1 1 300.358 1.771 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc3ncccc3c2)n1 ZINC000101664020 193833381 /nfs/dbraw/zinc/83/33/81/193833381.db2.gz HWRWXKUGZMSNCM-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2ccc3ncccc3c2)[n-]1 ZINC000101664020 193833383 /nfs/dbraw/zinc/83/33/83/193833383.db2.gz HWRWXKUGZMSNCM-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H](CO)C1)c1cc(F)ccc1F ZINC000336843394 249250445 /nfs/dbraw/zinc/25/04/45/249250445.db2.gz WWGOSAOZDBDAGI-GXSJLCMTSA-N -1 1 305.346 1.794 20 0 DDADMM CN1C[C@@H](NC(=O)c2c([O-])cnc3ccc(Cl)cc32)CC1=O ZINC000338383139 249938336 /nfs/dbraw/zinc/93/83/36/249938336.db2.gz KGKKYGBPEXLVSE-VIFPVBQESA-N -1 1 319.748 1.554 20 0 DDADMM COc1ccc(/C=C/c2cc(=O)n3[n-]cnc3n2)c(C)c1OC ZINC000352040900 284731583 /nfs/dbraw/zinc/73/15/83/284731583.db2.gz SSIMJQPXUCSZAY-GQCTYLIASA-N -1 1 312.329 1.914 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338939214 250206691 /nfs/dbraw/zinc/20/66/91/250206691.db2.gz PZPRVZGOOSZDRK-VXNVDRBHSA-N -1 1 306.384 1.080 20 0 DDADMM COC(=O)c1csc(S(=O)(=O)[N-][C@@H]2CCC[C@H]2F)c1 ZINC000339119058 250301447 /nfs/dbraw/zinc/30/14/47/250301447.db2.gz JJFLTEUVZNWIBH-RKDXNWHRSA-N -1 1 307.368 1.704 20 0 DDADMM CO[C@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1ccccc1 ZINC000339186155 250334542 /nfs/dbraw/zinc/33/45/42/250334542.db2.gz SUVRCSLQRUUBEX-ZDUSSCGKSA-N -1 1 317.345 1.869 20 0 DDADMM O=C(c1ccc2nccn2c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000339198275 250340214 /nfs/dbraw/zinc/34/02/14/250340214.db2.gz WOINMYZENLAJEM-SNVBAGLBSA-N -1 1 313.317 1.443 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCc2ccco2)c1 ZINC000359684209 299513636 /nfs/dbraw/zinc/51/36/36/299513636.db2.gz XBMJMAWBSVVZEN-UHFFFAOYSA-N -1 1 324.358 1.465 20 0 DDADMM C[C@H]1CNCCN1C(=O)c1cc(C(=O)[O-])n(-c2ccccc2)n1 ZINC000567476647 304222823 /nfs/dbraw/zinc/22/28/23/304222823.db2.gz UMPAXYGFZRDVHA-NSHDSACASA-N -1 1 314.345 1.004 20 0 DDADMM COC(=O)c1cc(CN(C)C(=O)c2cncc([O-])c2)oc1C ZINC000109935441 194299303 /nfs/dbraw/zinc/29/93/03/194299303.db2.gz XGKUWBYJPJDNCS-UHFFFAOYSA-N -1 1 304.302 1.747 20 0 DDADMM CCNC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000340866574 251251073 /nfs/dbraw/zinc/25/10/73/251251073.db2.gz ZZSOVVYTIIADIU-ZETCQYMHSA-N -1 1 308.762 1.282 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)NCc2n[n-]c(=S)n2C)c1 ZINC000066625461 184419652 /nfs/dbraw/zinc/41/96/52/184419652.db2.gz VABWGNYJITWGST-JTQLQIEISA-N -1 1 306.391 1.870 20 0 DDADMM Cn1cnnc1C[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000270118425 208177082 /nfs/dbraw/zinc/17/70/82/208177082.db2.gz JNIFLKRAKZVBRG-UHFFFAOYSA-N -1 1 302.231 1.502 20 0 DDADMM C[C@@H](CCO)C1(CNC(=O)C(=O)c2ccc([O-])cc2)CCC1 ZINC000288627413 220302004 /nfs/dbraw/zinc/30/20/04/220302004.db2.gz QQZUULCOKHOVMX-LBPRGKRZSA-N -1 1 305.374 1.880 20 0 DDADMM CCOCc1nc([C@@H](C)[N-]S(=O)(=O)c2ccoc2)no1 ZINC000352547620 285131934 /nfs/dbraw/zinc/13/19/34/285131934.db2.gz DBNUCEUBJDIHAK-MRVPVSSYSA-N -1 1 301.324 1.239 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)C1CC1)c1ccc(F)c(F)c1F ZINC000352547896 285132332 /nfs/dbraw/zinc/13/23/32/285132332.db2.gz DQGIOSWYXBZZLH-QMMMGPOBSA-N -1 1 309.309 1.401 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)c2ccccn2)s1 ZINC000445699482 533087363 /nfs/dbraw/zinc/08/73/63/533087363.db2.gz KYWGXUAPHQTHRA-UHFFFAOYSA-N -1 1 302.406 1.134 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCC[C@@H](C)[C@H]2C)co1 ZINC000158207488 197253106 /nfs/dbraw/zinc/25/31/06/197253106.db2.gz MKLBEPYRMYDFNR-FOGDFJRCSA-N -1 1 314.407 1.742 20 0 DDADMM COc1ccc([C@@H](NCc2cc(C)nn2C)C(=O)[O-])cc1F ZINC000417572931 533133144 /nfs/dbraw/zinc/13/31/44/533133144.db2.gz PPPMPAAFTMSAAK-CQSZACIVSA-N -1 1 307.325 1.792 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@H]1c1cccc(F)c1 ZINC000289276314 220855005 /nfs/dbraw/zinc/85/50/05/220855005.db2.gz DSNNXNUAQHWZFY-QWRGUYRKSA-N -1 1 311.338 1.539 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)Cc1ccccc1C ZINC000289535674 221050082 /nfs/dbraw/zinc/05/00/82/221050082.db2.gz QSPABQDZUNBPMU-SNVBAGLBSA-N -1 1 309.391 1.783 20 0 DDADMM COC(=O)c1[n-]c(=NCc2nnc(C(C)(C)C)[nH]2)sc1C ZINC000413422962 533646583 /nfs/dbraw/zinc/64/65/83/533646583.db2.gz YSLKSLWIJJFKGM-UHFFFAOYSA-N -1 1 309.395 1.688 20 0 DDADMM COC(=O)c1[n-]c(=NCc2n[nH]c(C(C)(C)C)n2)sc1C ZINC000413422962 533646588 /nfs/dbraw/zinc/64/65/88/533646588.db2.gz YSLKSLWIJJFKGM-UHFFFAOYSA-N -1 1 309.395 1.688 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H](CO)Cc2ccc(C)cc2)sc1C ZINC000433799874 533647381 /nfs/dbraw/zinc/64/73/81/533647381.db2.gz SVGKUQRFZCZXJQ-ZDUSSCGKSA-N -1 1 320.414 1.984 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1cc(F)ccc1F)Cn1ccnc1 ZINC000360494339 299678377 /nfs/dbraw/zinc/67/83/77/299678377.db2.gz DMWHWJTUVVUISP-JTQLQIEISA-N -1 1 315.345 1.776 20 0 DDADMM CC(C)c1nc([C@H]2COCCN2Cc2ncccc2[O-])no1 ZINC000569291181 304345795 /nfs/dbraw/zinc/34/57/95/304345795.db2.gz VUPAULBZZJIHLY-GFCCVEGCSA-N -1 1 304.350 1.867 20 0 DDADMM CCc1nc(SCC2CCS(=O)(=O)CC2)[n-]c(=O)c1C ZINC000569591944 304367156 /nfs/dbraw/zinc/36/71/56/304367156.db2.gz PCRLIUIYRJHCCB-UHFFFAOYSA-N -1 1 316.448 1.970 20 0 DDADMM O=C(NCCCn1cccnc1=O)c1cc2ccccc2cc1[O-] ZINC000570070677 304393876 /nfs/dbraw/zinc/39/38/76/304393876.db2.gz SBLCFHKTTZVBPO-UHFFFAOYSA-N -1 1 323.352 1.922 20 0 DDADMM Cc1ccccc1-c1nc(CNc2nc3[nH][n-]cc-3c(=O)n2)no1 ZINC000361012255 299791084 /nfs/dbraw/zinc/79/10/84/299791084.db2.gz GCEFGIMQFINKBW-UHFFFAOYSA-N -1 1 323.316 1.403 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CC[C@@H]1CCCO1 ZINC000074162292 406903791 /nfs/dbraw/zinc/90/37/91/406903791.db2.gz ZKBNBWJLKQWCQG-LBPRGKRZSA-N -1 1 318.377 1.215 20 0 DDADMM CN(C(=O)c1ccc(Cl)cc1[O-])[C@H]1CCS(=O)(=O)C1 ZINC000035180462 406968980 /nfs/dbraw/zinc/96/89/80/406968980.db2.gz GAPVRMXMAFGBEL-VIFPVBQESA-N -1 1 303.767 1.305 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(C)(C)SC)o1 ZINC000075363465 406930916 /nfs/dbraw/zinc/93/09/16/406930916.db2.gz RCCBOAULEZDIOA-UHFFFAOYSA-N -1 1 306.409 1.059 20 0 DDADMM CC1(C)C[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C(C)(C)O1 ZINC000180025387 306693613 /nfs/dbraw/zinc/69/36/13/306693613.db2.gz ISFPCJLDCOHXTL-GFCCVEGCSA-N -1 1 315.373 1.999 20 0 DDADMM CC(=O)c1ccc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)cc1 ZINC000077687576 407002381 /nfs/dbraw/zinc/00/23/81/407002381.db2.gz UITSGOOECUUFMF-UHFFFAOYSA-N -1 1 318.354 1.789 20 0 DDADMM Cc1ccccc1-c1noc([C@@H](C)S(=O)(=O)c2nnc[n-]2)n1 ZINC000086169592 407108463 /nfs/dbraw/zinc/10/84/63/407108463.db2.gz VNRCXXBGNPJRIP-SECBINFHSA-N -1 1 319.346 1.698 20 0 DDADMM Cc1ccccc1-c1noc([C@@H](C)S(=O)(=O)c2ncn[n-]2)n1 ZINC000086169592 407108466 /nfs/dbraw/zinc/10/84/66/407108466.db2.gz VNRCXXBGNPJRIP-SECBINFHSA-N -1 1 319.346 1.698 20 0 DDADMM Cc1ccccc1-c1noc([C@@H](C)S(=O)(=O)c2nc[n-]n2)n1 ZINC000086169592 407108470 /nfs/dbraw/zinc/10/84/70/407108470.db2.gz VNRCXXBGNPJRIP-SECBINFHSA-N -1 1 319.346 1.698 20 0 DDADMM CCn1c(CCNC(=O)Cc2ccc(C)c(O)c2)n[n-]c1=S ZINC000089440288 407135272 /nfs/dbraw/zinc/13/52/72/407135272.db2.gz HDAOVEKGHPQCOM-UHFFFAOYSA-N -1 1 320.418 1.876 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)n1 ZINC000101664365 407314488 /nfs/dbraw/zinc/31/44/88/407314488.db2.gz VSTATTDRFVERBN-VHSXEESVSA-N -1 1 324.337 1.090 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2C[C@H]2c2ccc(F)cc2)[n-]1 ZINC000101664365 407314489 /nfs/dbraw/zinc/31/44/89/407314489.db2.gz VSTATTDRFVERBN-VHSXEESVSA-N -1 1 324.337 1.090 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(C(N)=O)c(F)c2)cc1 ZINC000102632729 407324560 /nfs/dbraw/zinc/32/45/60/407324560.db2.gz ADCLNUKUWJOBHK-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM O=C(CSCc1cc(-c2cccs2)on1)Nc1nnn[n-]1 ZINC000102895252 407328208 /nfs/dbraw/zinc/32/82/08/407328208.db2.gz FBZQNVSNXUCFHX-UHFFFAOYSA-N -1 1 322.375 1.788 20 0 DDADMM O=C(CSCc1cc(-c2cccs2)on1)Nc1nn[n-]n1 ZINC000102895252 407328210 /nfs/dbraw/zinc/32/82/10/407328210.db2.gz FBZQNVSNXUCFHX-UHFFFAOYSA-N -1 1 322.375 1.788 20 0 DDADMM CC(C)N(C)S(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000102932484 407328972 /nfs/dbraw/zinc/32/89/72/407328972.db2.gz XVXUTIQOVKEDDK-UHFFFAOYSA-N -1 1 324.399 1.226 20 0 DDADMM CO[C@](C)(C(=O)NCCc1n[n-]c(=S)n1C)c1ccccc1 ZINC000067050987 407266405 /nfs/dbraw/zinc/26/64/05/407266405.db2.gz QGPLAJJUGHRHNI-HNNXBMFYSA-N -1 1 320.418 1.698 20 0 DDADMM Cc1noc(C)c1C(=O)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067078785 407267568 /nfs/dbraw/zinc/26/75/68/407267568.db2.gz SWFOPFDOXNYCEF-UHFFFAOYSA-N -1 1 307.379 1.853 20 0 DDADMM O=C(CNC(=O)c1cc(Br)ccc1[O-])NC1CC1 ZINC000067509633 407275638 /nfs/dbraw/zinc/27/56/38/407275638.db2.gz YWFLNCWYAXNBJW-UHFFFAOYSA-N -1 1 313.151 1.163 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N(C[C@@H]1CCOC1)C1CC1 ZINC000122760116 407310100 /nfs/dbraw/zinc/31/01/00/407310100.db2.gz NGGGTWUVUBISHA-NSHDSACASA-N -1 1 313.357 1.564 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCCC[C@H]1C ZINC000103938559 407344528 /nfs/dbraw/zinc/34/45/28/407344528.db2.gz BDWCJVOCGOGCME-YGRLFVJLSA-N -1 1 316.405 1.877 20 0 DDADMM CC(C)c1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc(=O)[nH]1 ZINC000124072583 407347437 /nfs/dbraw/zinc/34/74/37/407347437.db2.gz LKSRKMMSDLFPMI-VIFPVBQESA-N -1 1 304.354 1.299 20 0 DDADMM CC[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccc(OC)cc1 ZINC000124696904 407366513 /nfs/dbraw/zinc/36/65/13/407366513.db2.gz TYCLTIFSMBMXAA-BXUZGUMPSA-N -1 1 317.393 1.964 20 0 DDADMM COc1ccc(C[C@H](C)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000124413453 407357760 /nfs/dbraw/zinc/35/77/60/407357760.db2.gz HDYCJNMJZKXCRG-NWDGAFQWSA-N -1 1 317.393 1.649 20 0 DDADMM O=C(NC[C@H](O)COc1ccc(F)cc1)c1cncc([O-])c1 ZINC000111579638 407412126 /nfs/dbraw/zinc/41/21/26/407412126.db2.gz FLWQGDZRXNZISF-ZDUSSCGKSA-N -1 1 306.293 1.096 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCC[C@@H](C)C2)c1 ZINC000151783962 407497748 /nfs/dbraw/zinc/49/77/48/407497748.db2.gz DABXJIBOUAQRAO-MWLCHTKSSA-N -1 1 301.364 1.923 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)N2CCN([C@@H](C)C(=O)[O-])CC2)c1 ZINC000261617536 407554422 /nfs/dbraw/zinc/55/44/22/407554422.db2.gz AHLLZZMFCJDKHA-KBPBESRZSA-N -1 1 320.389 1.380 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1cccs1 ZINC000129449718 407607802 /nfs/dbraw/zinc/60/78/02/407607802.db2.gz AMOIPWPJMIZCJW-JTQLQIEISA-N -1 1 305.407 1.945 20 0 DDADMM CN1CC[C@@H](NC(=O)c2cnn[nH]2)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000152380315 407626774 /nfs/dbraw/zinc/62/67/74/407626774.db2.gz AHCQVAZSRNYLEH-YPMHNXCESA-N -1 1 323.759 1.772 20 0 DDADMM O=C(NCc1cccc(N2CCCC2=O)c1)c1ncccc1[O-] ZINC000171254337 407638694 /nfs/dbraw/zinc/63/86/94/407638694.db2.gz XSVMJEWDROZTOW-UHFFFAOYSA-N -1 1 311.341 1.844 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1ccc2scnc2c1 ZINC000178908662 407650185 /nfs/dbraw/zinc/65/01/85/407650185.db2.gz LGDFLJBGMYUYQD-UHFFFAOYSA-N -1 1 316.404 1.701 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@](C)(O)C1 ZINC000152868505 407708053 /nfs/dbraw/zinc/70/80/53/407708053.db2.gz KHFYLBNPRKMBOK-MRXNPFEDSA-N -1 1 307.394 1.363 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccc(F)cc1)c1cncc([O-])c1 ZINC000267069861 407734963 /nfs/dbraw/zinc/73/49/63/407734963.db2.gz BAFSXEBCANDCCM-GFCCVEGCSA-N -1 1 304.321 1.507 20 0 DDADMM O=C(C[N-]S(=O)(=O)Cc1ccccc1F)OCC1CC1 ZINC000171766007 407765003 /nfs/dbraw/zinc/76/50/03/407765003.db2.gz QCCJTWXSVHPGHQ-UHFFFAOYSA-N -1 1 301.339 1.198 20 0 DDADMM NC(=O)N[C@H]1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000272104858 407774465 /nfs/dbraw/zinc/77/44/65/407774465.db2.gz WNKZGTNMJVSQBX-QMMMGPOBSA-N -1 1 317.267 1.294 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2CCC(C)(C)CC2)co1 ZINC000153281168 407792489 /nfs/dbraw/zinc/79/24/89/407792489.db2.gz JSCOILDAUXYQII-UHFFFAOYSA-N -1 1 314.407 1.886 20 0 DDADMM Cn1nc(C(C)(C)C)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000132684016 407797493 /nfs/dbraw/zinc/79/74/93/407797493.db2.gz UADCVHFFWMAOQT-SNVBAGLBSA-N -1 1 317.397 1.251 20 0 DDADMM COC(=O)[C@@H](C)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272238928 407830315 /nfs/dbraw/zinc/83/03/15/407830315.db2.gz QNYCJTDRDLDMRV-RXMQYKEDSA-N -1 1 313.162 1.228 20 0 DDADMM COc1cc(C(=O)NCCc2cnn(C)c2)cc(Cl)c1[O-] ZINC000153602332 407861202 /nfs/dbraw/zinc/86/12/02/407861202.db2.gz DACFARFWJWZACP-UHFFFAOYSA-N -1 1 309.753 1.760 20 0 DDADMM CN(CCCS(=O)(=O)c1ccc(F)cc1)CCC(=O)[O-] ZINC000262619290 407882716 /nfs/dbraw/zinc/88/27/16/407882716.db2.gz DQYPKFSKENIEAD-UHFFFAOYSA-N -1 1 303.355 1.396 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)c2ccccn2)c1 ZINC000134110409 407885128 /nfs/dbraw/zinc/88/51/28/407885128.db2.gz ZUQVHOSLYJXREQ-SECBINFHSA-N -1 1 310.331 1.501 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-]c1ccn([C@H](C)COC)n1 ZINC000187962297 407908636 /nfs/dbraw/zinc/90/86/36/407908636.db2.gz KVVVSBKKJJJUHE-LLVKDONJSA-N -1 1 304.416 1.479 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000188306249 407934040 /nfs/dbraw/zinc/93/40/40/407934040.db2.gz LLABXMLRIFGWCO-SNVBAGLBSA-N -1 1 316.361 1.762 20 0 DDADMM CCOC(=O)c1cc(NC(=O)COc2ccc(C)cc2)n[nH]1 ZINC000181747450 408034136 /nfs/dbraw/zinc/03/41/36/408034136.db2.gz HFTJMHGUAUJZMZ-UHFFFAOYSA-N -1 1 303.318 1.912 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)[O-])CN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000263144219 408037610 /nfs/dbraw/zinc/03/76/10/408037610.db2.gz GYMDFYLIUFBXLV-GXFFZTMASA-N -1 1 314.345 1.797 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H]2CCO[C@H]2C)c(=O)[n-]1 ZINC000135297663 408001046 /nfs/dbraw/zinc/00/10/46/408001046.db2.gz QFQMEDKPMWOZFU-GXSJLCMTSA-N -1 1 311.407 1.026 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H]2CCO[C@@H]2C)c(=O)[n-]1 ZINC000135297620 408001320 /nfs/dbraw/zinc/00/13/20/408001320.db2.gz QFQMEDKPMWOZFU-MWLCHTKSSA-N -1 1 311.407 1.026 20 0 DDADMM CC[C@@H](CSC)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119478284 408077707 /nfs/dbraw/zinc/07/77/07/408077707.db2.gz OOZSSODCVKLXRP-VIFPVBQESA-N -1 1 308.407 1.888 20 0 DDADMM C[C@@H](CONC(=O)c1cncc([O-])c1)NC(=O)OC(C)(C)C ZINC000273145094 408080637 /nfs/dbraw/zinc/08/06/37/408080637.db2.gz XSRKLNMHIBDLJX-VIFPVBQESA-N -1 1 311.338 1.362 20 0 DDADMM CC(C)(CNC(=O)c1cc(Br)ccc1[O-])C(N)=O ZINC000136480682 408105801 /nfs/dbraw/zinc/10/58/01/408105801.db2.gz ZMQKIJLHLZIFMZ-UHFFFAOYSA-N -1 1 315.167 1.396 20 0 DDADMM CCC[C@@H](NC(=O)c1sc([C@@H](C)OC)nc1C)c1nn[n-]n1 ZINC000136723984 408123713 /nfs/dbraw/zinc/12/37/13/408123713.db2.gz FRYQRINCIIXXSL-RKDXNWHRSA-N -1 1 324.410 1.943 20 0 DDADMM O=C([O-])[C@@]12CCC[C@H]1CN(C(=O)NCc1n[nH]c(C3CC3)n1)C2 ZINC000263423520 408135881 /nfs/dbraw/zinc/13/58/81/408135881.db2.gz XJCOENDIJNMFAY-ZUZCIYMTSA-N -1 1 319.365 1.078 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)NCc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000263496178 408157059 /nfs/dbraw/zinc/15/70/59/408157059.db2.gz SWZUPTBLWCDTJG-SECBINFHSA-N -1 1 317.349 1.626 20 0 DDADMM CCc1nc(S(=O)(=O)CCCc2ccccc2OC)n[n-]1 ZINC000182437283 408159600 /nfs/dbraw/zinc/15/96/00/408159600.db2.gz XWQMNGJFFKQCQG-UHFFFAOYSA-N -1 1 309.391 1.782 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccccc1F ZINC000155488440 408169894 /nfs/dbraw/zinc/16/98/94/408169894.db2.gz WFPJUYSTIICUHN-GHMZBOCLSA-N -1 1 303.341 1.849 20 0 DDADMM O=C([O-])CCCNS(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000263548201 408170366 /nfs/dbraw/zinc/17/03/66/408170366.db2.gz IRRYTUFRBOXBJH-UHFFFAOYSA-N -1 1 313.709 1.761 20 0 DDADMM CCOc1ccc(NC(=O)CCS(=O)(=O)c2nc[n-]n2)cc1 ZINC000268716334 408174380 /nfs/dbraw/zinc/17/43/80/408174380.db2.gz MVRJQCAXTQWMGX-UHFFFAOYSA-N -1 1 324.362 1.006 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CCOc2ccccc21)c1nn[n-]n1 ZINC000273410257 408187295 /nfs/dbraw/zinc/18/72/95/408187295.db2.gz CMCJFULIHAFKND-CMPLNLGQSA-N -1 1 301.350 1.328 20 0 DDADMM COCCOc1cccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000273603034 408259431 /nfs/dbraw/zinc/25/94/31/408259431.db2.gz ZHUVRIDLZNNTLO-LLVKDONJSA-N -1 1 319.365 1.101 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1c[nH]c(=O)c2ccccc12 ZINC000190236339 408198048 /nfs/dbraw/zinc/19/80/48/408198048.db2.gz MTSUSYYZIDODQE-UHFFFAOYSA-N -1 1 323.234 1.917 20 0 DDADMM C[S@@](=O)C[C@H](NC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000175908136 408233746 /nfs/dbraw/zinc/23/37/46/408233746.db2.gz HVQSBYJGRUZFRV-LHSJRXKWSA-N -1 1 304.371 1.637 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1ccc2ccccc2c1O ZINC000176262197 408326613 /nfs/dbraw/zinc/32/66/13/408326613.db2.gz VAGUAFMGPFJSPT-UHFFFAOYSA-N -1 1 312.329 1.319 20 0 DDADMM COc1nccnc1-n1cc(C(=O)c2cc(C)ccc2[O-])cn1 ZINC000156741252 408272392 /nfs/dbraw/zinc/27/23/92/408272392.db2.gz XFPXUSBLVCCTQC-UHFFFAOYSA-N -1 1 310.313 1.916 20 0 DDADMM CCC[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000157090120 408288707 /nfs/dbraw/zinc/28/87/07/408288707.db2.gz BIWWYRIZRMDEEV-NSHDSACASA-N -1 1 301.346 1.564 20 0 DDADMM CO[C@@H](CNC(=O)c1c[n-]c2c(cnn2C)c1=O)C(C)(C)C ZINC000132802023 162045425 /nfs/dbraw/zinc/04/54/25/162045425.db2.gz GUMUYPQIBZHFRS-NSHDSACASA-N -1 1 306.366 1.465 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC2(c3ccccc3)CCC2)C1 ZINC000164624114 162153743 /nfs/dbraw/zinc/15/37/43/162153743.db2.gz SYYZIMVSQJQJKI-AWEZNQCLSA-N -1 1 316.401 1.979 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CCCc2c(O)cccc21)c1nn[n-]n1 ZINC000191022366 408361105 /nfs/dbraw/zinc/36/11/05/408361105.db2.gz VALQKCPSGVDCAE-GXFFZTMASA-N -1 1 315.377 1.587 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCC[C@H](O)C1 ZINC000176428480 408361982 /nfs/dbraw/zinc/36/19/82/408361982.db2.gz QPTAIZUPDAENQI-NEPJUHHUSA-N -1 1 321.421 1.657 20 0 DDADMM COCc1cc(C(=O)[N-]c2n[nH]c(-c3ccccn3)n2)no1 ZINC000173751133 162361403 /nfs/dbraw/zinc/36/14/03/162361403.db2.gz QJVMOWLLGYDHIR-UHFFFAOYSA-N -1 1 300.278 1.253 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1ccc(C(N)=O)c(Cl)c1 ZINC000176462474 408373566 /nfs/dbraw/zinc/37/35/66/408373566.db2.gz UXZXKXNDERZZDR-UHFFFAOYSA-N -1 1 320.798 1.606 20 0 DDADMM CC(C)c1nc2n(n1)CCC[C@@H]2NC(=O)c1cncc([O-])c1 ZINC000176845511 408457877 /nfs/dbraw/zinc/45/78/77/408457877.db2.gz DHPGQDNMNWAGNF-LBPRGKRZSA-N -1 1 301.350 1.767 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1C[C@@H]1c1cc(F)ccc1F)c1nn[n-]n1 ZINC000183430471 408411028 /nfs/dbraw/zinc/41/10/28/408411028.db2.gz FYVYRSAJWZOLSY-MKPLZMMCSA-N -1 1 321.331 1.844 20 0 DDADMM C[C@H](CN(C)C(=O)CCCOc1ccccc1)c1nn[n-]n1 ZINC000183447279 408413447 /nfs/dbraw/zinc/41/34/47/408413447.db2.gz DDVQLYQAZBCMBX-GFCCVEGCSA-N -1 1 303.366 1.621 20 0 DDADMM Cc1cc(OCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccc1Cl ZINC000183439550 408413956 /nfs/dbraw/zinc/41/39/56/408413956.db2.gz KBWQLBRSBJOSKY-SNVBAGLBSA-N -1 1 323.784 1.802 20 0 DDADMM C[C@H](CC(=O)NCCCc1nc(=O)[n-][nH]1)c1cccc(F)c1 ZINC000176758170 408434355 /nfs/dbraw/zinc/43/43/55/408434355.db2.gz OAOCYXFIPABPCB-SNVBAGLBSA-N -1 1 306.341 1.480 20 0 DDADMM CCOc1cc(C(=O)N2CC(NC(C)=O)C2)cc(Cl)c1[O-] ZINC000191494395 408434750 /nfs/dbraw/zinc/43/47/50/408434750.db2.gz XZHPXOJTNKVGQQ-UHFFFAOYSA-N -1 1 312.753 1.405 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)NC(=O)c1cccs1)c1nn[n-]n1 ZINC000176772584 408441030 /nfs/dbraw/zinc/44/10/30/408441030.db2.gz PXGAPYPDLAWHGI-BDAKNGLRSA-N -1 1 322.394 1.037 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(C(C)C)C(C)C)o1 ZINC000192613645 408619811 /nfs/dbraw/zinc/61/98/11/408619811.db2.gz ZTOZISUFCIPWFZ-UHFFFAOYSA-N -1 1 316.423 1.846 20 0 DDADMM Cc1cc(=O)[nH]c([C@H](C)N2CC[N@@H+](CC(C)(C)O)[C@H](C)C2)n1 ZINC000192804511 408653003 /nfs/dbraw/zinc/65/30/03/408653003.db2.gz IDPAFSUPGAJORL-OLZOCXBDSA-N -1 1 308.426 1.329 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1CCCN(C(=O)Nc2ccccc2)C1 ZINC000265458647 408659132 /nfs/dbraw/zinc/65/91/32/408659132.db2.gz MPDPAFSHIKNIAH-SNVBAGLBSA-N -1 1 315.337 1.082 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1CCCN(C(=O)Nc2ccccc2)C1 ZINC000265458647 408659137 /nfs/dbraw/zinc/65/91/37/408659137.db2.gz MPDPAFSHIKNIAH-SNVBAGLBSA-N -1 1 315.337 1.082 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc2ccccc2c1[O-])[C@@H](C)O ZINC000275947311 408727661 /nfs/dbraw/zinc/72/76/61/408727661.db2.gz FUBXDYXLEPBINH-RNCFNFMXSA-N -1 1 303.314 1.198 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)[C@@H](O)C(F)(F)F ZINC000270807336 408691353 /nfs/dbraw/zinc/69/13/53/408691353.db2.gz LBSHXEKBKGXRRR-DTWKUNHWSA-N -1 1 316.301 1.104 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2c(F)cccc2F)CCC1 ZINC000165267020 408809994 /nfs/dbraw/zinc/80/99/94/408809994.db2.gz NFRFXKOMWFFFNG-UHFFFAOYSA-N -1 1 319.329 1.480 20 0 DDADMM O=C(NCc1nnc2n1CCCCC2)C(=O)c1ccc([O-])cc1 ZINC000280764580 408830392 /nfs/dbraw/zinc/83/03/92/408830392.db2.gz MGSUQLJDZSBUBD-UHFFFAOYSA-N -1 1 314.345 1.209 20 0 DDADMM CN1CCO[C@H](CN(CC2CC2)C(=O)c2ccc([O-])cc2F)C1 ZINC000276363122 408843772 /nfs/dbraw/zinc/84/37/72/408843772.db2.gz IJPSWEDNHBBILL-AWEZNQCLSA-N -1 1 322.380 1.714 20 0 DDADMM C[C@H](Sc1nc(C2CC2)cc(=O)[n-]1)C(=O)N1CCNC1=O ZINC000276395261 408848885 /nfs/dbraw/zinc/84/88/85/408848885.db2.gz VMVFODXYMMGNKT-ZETCQYMHSA-N -1 1 308.363 1.092 20 0 DDADMM CCN(CC)C(=O)CCCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000280944451 408864025 /nfs/dbraw/zinc/86/40/25/408864025.db2.gz LSYJEOPAZZTHNS-UHFFFAOYSA-N -1 1 306.362 1.340 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cncc(C(F)(F)F)c1)c1nn[n-]n1 ZINC000291617841 408895605 /nfs/dbraw/zinc/89/56/05/408895605.db2.gz GROCEFBQCPXLEK-ZETCQYMHSA-N -1 1 314.271 1.489 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@@H](N2CCCC2=O)C1 ZINC000276799898 408899583 /nfs/dbraw/zinc/89/95/83/408899583.db2.gz RZWRPFIZXSFPPR-LLVKDONJSA-N -1 1 308.765 1.883 20 0 DDADMM CCOc1cc(C(=O)NCc2cnnn2C)cc(Cl)c1[O-] ZINC000291761206 408919168 /nfs/dbraw/zinc/91/91/68/408919168.db2.gz RLAPXJIMIGBRJO-UHFFFAOYSA-N -1 1 310.741 1.503 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)N(C)CC(F)(F)F)c1nn[n-]n1 ZINC000193471667 163256432 /nfs/dbraw/zinc/25/64/32/163256432.db2.gz IJTKJAFPLVFFDI-YUMQZZPRSA-N -1 1 308.308 1.040 20 0 DDADMM O=C([N-]CC1CCN(C(=O)c2cncnc2)CC1)C(F)(F)F ZINC000281848324 408942057 /nfs/dbraw/zinc/94/20/57/408942057.db2.gz XXAMXIWXSZBEPD-UHFFFAOYSA-N -1 1 316.283 1.007 20 0 DDADMM CCCCC[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000286350525 408969103 /nfs/dbraw/zinc/96/91/03/408969103.db2.gz BOYWXSUCGCWLFP-UHFFFAOYSA-N -1 1 324.244 1.960 20 0 DDADMM CO[C@@H]1C[C@@H](c2nc(C)no2)N(C(=O)c2ncccc2[O-])C1 ZINC000277591885 408972308 /nfs/dbraw/zinc/97/23/08/408972308.db2.gz RGSLXBURBABEHI-ZJUUUORDSA-N -1 1 304.306 1.081 20 0 DDADMM COCCCONC(=O)c1cc(Br)ccc1[O-] ZINC000287621700 409066593 /nfs/dbraw/zinc/06/65/93/409066593.db2.gz AQCHOJLWQZLIMY-UHFFFAOYSA-N -1 1 304.140 1.853 20 0 DDADMM O=S1(=O)CC[C@H](Cc2nc(-c3ccc([O-])c(F)c3)no2)C1 ZINC000278114221 409067479 /nfs/dbraw/zinc/06/74/79/409067479.db2.gz IWZHMHIKOAFJJC-MRVPVSSYSA-N -1 1 312.322 1.559 20 0 DDADMM C[C@@H](O)[C@@H](NC(=O)C1CC1)c1nc(-c2ccc([O-])c(F)c2)no1 ZINC000277883266 409028776 /nfs/dbraw/zinc/02/87/76/409028776.db2.gz CKZRBNXFVXERKQ-JMCQJSRRSA-N -1 1 321.308 1.529 20 0 DDADMM O=C(N[C@@]1(CCO)CCOC1)c1ccc2ccccc2c1[O-] ZINC000278033084 409053748 /nfs/dbraw/zinc/05/37/48/409053748.db2.gz HLWOONXUJQSIRT-KRWDZBQOSA-N -1 1 301.342 1.817 20 0 DDADMM CC(C)n1cccc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282842234 409065841 /nfs/dbraw/zinc/06/58/41/409065841.db2.gz FKGAKBSPTQTCBZ-LLVKDONJSA-N -1 1 303.366 1.500 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(F)c([O-])c(Cl)c1)c1nn[nH]n1 ZINC000278834274 409098409 /nfs/dbraw/zinc/09/84/09/409098409.db2.gz VNLLBRVBKYPQDI-ZCFIWIBFSA-N -1 1 313.720 1.574 20 0 DDADMM C[C@@H]1CN(CCN(C)C(=O)c2c([O-])cccc2F)C[C@H](C)O1 ZINC000279323754 409191433 /nfs/dbraw/zinc/19/14/33/409191433.db2.gz ORIRTBFLNUUQGD-TXEJJXNPSA-N -1 1 310.369 1.713 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(C)(C)O ZINC000293691745 409161903 /nfs/dbraw/zinc/16/19/03/409161903.db2.gz BWXMTVWYQJBKLG-VIFPVBQESA-N -1 1 311.325 1.932 20 0 DDADMM O=C(C(=O)N1CC[C@]2(O)CCCC[C@@H]2C1)c1ccc([O-])cc1 ZINC000283824635 409223692 /nfs/dbraw/zinc/22/36/92/409223692.db2.gz YYVUNHIKQGHQBO-CXAGYDPISA-N -1 1 303.358 1.729 20 0 DDADMM COC(=O)C[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000289181575 409230144 /nfs/dbraw/zinc/23/01/44/409230144.db2.gz AILOTIGEBKHUDY-QMMMGPOBSA-N -1 1 315.272 1.075 20 0 DDADMM COc1ccc(N2C[C@@H](C(=O)[N-]OCC3CC3)CC2=O)cc1 ZINC000293898271 409203160 /nfs/dbraw/zinc/20/31/60/409203160.db2.gz ZKRUZKCLBYJLHJ-LBPRGKRZSA-N -1 1 304.346 1.506 20 0 DDADMM O=C(CSc1nc(C(F)F)cc(=O)[n-]1)NC[C@@H]1CCCO1 ZINC000289449618 409273674 /nfs/dbraw/zinc/27/36/74/409273674.db2.gz DUBULZUYTBUMNA-ZETCQYMHSA-N -1 1 319.333 1.507 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000280696923 409415329 /nfs/dbraw/zinc/41/53/29/409415329.db2.gz GBULYEFDYXGDKO-UHFFFAOYSA-N -1 1 303.767 1.353 20 0 DDADMM CCC[C@H](C[N-]S(=O)(=O)c1cc(C(=O)OC)co1)OC ZINC000296085219 409424841 /nfs/dbraw/zinc/42/48/41/409424841.db2.gz FJEDTODSTVBASB-SNVBAGLBSA-N -1 1 305.352 1.160 20 0 DDADMM CCC[C@]1([N-]S(=O)(=O)c2cc(C(=O)OC)co2)CCOC1 ZINC000285421709 409441328 /nfs/dbraw/zinc/44/13/28/409441328.db2.gz VSBWIAZERXCSRK-ZDUSSCGKSA-N -1 1 317.363 1.304 20 0 DDADMM Cc1c(S(=O)(=O)Nc2ccc(C)c(C(=O)[O-])c2)cnn1C ZINC000314181488 164013308 /nfs/dbraw/zinc/01/33/08/164013308.db2.gz QBONMWUXXNDBHH-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM Cc1cc(C(=O)[O-])c(F)c(S(=O)(=O)N[C@H](C)CN(C)C)c1 ZINC000342906044 164085038 /nfs/dbraw/zinc/08/50/38/164085038.db2.gz FHRWQHTYCJGWQM-SECBINFHSA-N -1 1 318.370 1.061 20 0 DDADMM Cn1[n-]c(CN(Cc2cccs2)CC(F)(F)F)nc1=O ZINC000347544298 164098823 /nfs/dbraw/zinc/09/88/23/164098823.db2.gz SSGYJBDYZPZNQL-UHFFFAOYSA-N -1 1 306.313 1.734 20 0 DDADMM O=c1[nH]cc(Br)cc1[N-]S(=O)(=O)c1ccco1 ZINC000359638813 164145382 /nfs/dbraw/zinc/14/53/82/164145382.db2.gz MRRAUJUMVNLKOH-UHFFFAOYSA-N -1 1 319.136 1.944 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN[C@@H](c2ccncc2)C1 ZINC000374376492 164172393 /nfs/dbraw/zinc/17/23/93/164172393.db2.gz FAEWVIKAELHWGY-CQSZACIVSA-N -1 1 301.321 1.713 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](c2nnc3n2CCC3)C1 ZINC000407974607 164185367 /nfs/dbraw/zinc/18/53/67/164185367.db2.gz CIMDXUFVEBOJQK-NSHDSACASA-N -1 1 313.361 1.345 20 0 DDADMM CCOC[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(C)C ZINC000295425799 409475633 /nfs/dbraw/zinc/47/56/33/409475633.db2.gz NSGRRTWGAHGKES-LLVKDONJSA-N -1 1 308.407 1.809 20 0 DDADMM O=C(c1ccc2c(c1)COC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000408472903 164342905 /nfs/dbraw/zinc/34/29/05/164342905.db2.gz XSIDESVORYFIRD-NSHDSACASA-N -1 1 315.329 1.825 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc2ccoc2c1 ZINC000353918613 409560573 /nfs/dbraw/zinc/56/05/73/409560573.db2.gz UHXCMXXPUBRTPA-UHFFFAOYSA-N -1 1 311.297 1.902 20 0 DDADMM CC1CCC(C)(NC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000337913776 409562736 /nfs/dbraw/zinc/56/27/36/409562736.db2.gz RNAIQGCEEIIEGK-UHFFFAOYSA-N -1 1 305.378 1.602 20 0 DDADMM CCC[C@@H](NCC(=O)N(C)CCCOc1ccccc1)C(=O)[O-] ZINC000296558047 409616205 /nfs/dbraw/zinc/61/62/05/409616205.db2.gz UZKWJMQNFOPYAO-OAHLLOKOSA-N -1 1 322.405 1.757 20 0 DDADMM COc1ccc(CCNC(=O)c2ncccc2[O-])c(OC)c1 ZINC000338074683 409699377 /nfs/dbraw/zinc/69/93/77/409699377.db2.gz RLFPSVPWZLKBHT-UHFFFAOYSA-N -1 1 302.330 1.777 20 0 DDADMM Cc1ccc(F)cc1C(=O)C(=O)N=c1nc(C2CCC2)[nH][n-]1 ZINC000354341624 409780864 /nfs/dbraw/zinc/78/08/64/409780864.db2.gz RUFSRKOKGPXQAN-UHFFFAOYSA-N -1 1 302.309 1.763 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-][C@@](C)(C1CC1)C(F)(F)F ZINC000342555542 409718884 /nfs/dbraw/zinc/71/88/84/409718884.db2.gz JCOMMFGSYNSBOB-JTQLQIEISA-N -1 1 311.329 1.738 20 0 DDADMM C[C@H](NC(=O)CN1CC[C@@H](C(=O)[O-])[C@@H](C)C1)c1cccs1 ZINC000314219998 409824085 /nfs/dbraw/zinc/82/40/85/409824085.db2.gz WYRYVQYRBZDDDK-SDDRHHMPSA-N -1 1 310.419 1.968 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC000357056637 409824345 /nfs/dbraw/zinc/82/43/45/409824345.db2.gz XMLFKVTYCPTOSU-SFYZADRCSA-N -1 1 303.288 1.674 20 0 DDADMM NC(=O)Cc1ccc(NC(=O)c2c(F)ccc([O-])c2F)cc1 ZINC000342705646 409832892 /nfs/dbraw/zinc/83/28/92/409832892.db2.gz OXOSFJGOBZZRDZ-UHFFFAOYSA-N -1 1 306.268 1.951 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cccc2cnccc21 ZINC000342645313 409785293 /nfs/dbraw/zinc/78/52/93/409785293.db2.gz DCDYXKOOUKIZGR-UHFFFAOYSA-N -1 1 324.340 1.819 20 0 DDADMM CCOC[C@@H](O)CNC(=O)c1cc(Br)ccc1[O-] ZINC000311046213 409793975 /nfs/dbraw/zinc/79/39/75/409793975.db2.gz PWKWJTQERURTAJ-VIFPVBQESA-N -1 1 318.167 1.282 20 0 DDADMM Cc1cnc(C(=O)N2CCN(Cc3ccccn3)CC2)c([O-])c1 ZINC000332137740 409919618 /nfs/dbraw/zinc/91/96/18/409919618.db2.gz QDMYGVJDVKOXKY-UHFFFAOYSA-N -1 1 312.373 1.449 20 0 DDADMM C[C@H]1CN(CC(=O)NCCOc2ccccc2)CC[C@H]1C(=O)[O-] ZINC000324078891 409922794 /nfs/dbraw/zinc/92/27/94/409922794.db2.gz YYWNZTCDENTZHN-DZGCQCFKSA-N -1 1 320.389 1.224 20 0 DDADMM COCCCOc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357092891 409865048 /nfs/dbraw/zinc/86/50/48/409865048.db2.gz CLDASNNAODRCOI-UHFFFAOYSA-N -1 1 317.349 1.034 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332178408 409952776 /nfs/dbraw/zinc/95/27/76/409952776.db2.gz MVZRGFFVFMLQCN-RYUDHWBXSA-N -1 1 317.345 1.949 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)Cc2noc3ccccc32)n1 ZINC000354594622 409959652 /nfs/dbraw/zinc/95/96/52/409959652.db2.gz LUUYHQNXNJXYTL-QMMMGPOBSA-N -1 1 322.346 1.700 20 0 DDADMM CCn1cc(-c2noc(-c3cc(F)ccc3[O-])n2)c(=O)[nH]c1=O ZINC000350612044 409970433 /nfs/dbraw/zinc/97/04/33/409970433.db2.gz PYITUKZHYRCETM-UHFFFAOYSA-N -1 1 318.264 1.531 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H](C)c1ccccc1 ZINC000357329400 409976208 /nfs/dbraw/zinc/97/62/08/409976208.db2.gz PJJYLUWTRSQIOB-SECBINFHSA-N -1 1 303.318 1.730 20 0 DDADMM C[C@H](CN=c1nc(C(F)(F)F)[n-]s1)N1CCCCC1=O ZINC000342965193 410036186 /nfs/dbraw/zinc/03/61/86/410036186.db2.gz ZVMGZVBPAVJALQ-SSDOTTSWSA-N -1 1 308.329 1.792 20 0 DDADMM COC(=O)c1c(F)cccc1S(=O)(=O)[N-][C@H]1CCC[C@@H]1F ZINC000339122095 410057812 /nfs/dbraw/zinc/05/78/12/410057812.db2.gz HRTQWABMZUFTGL-WPRPVWTQSA-N -1 1 319.329 1.781 20 0 DDADMM COc1ccc(=NC(=O)NCc2nc3cccc(C)c3[nH]2)[n-]n1 ZINC000346653971 410058169 /nfs/dbraw/zinc/05/81/69/410058169.db2.gz MJNBLHFYKRNMOL-UHFFFAOYSA-N -1 1 312.333 1.414 20 0 DDADMM CC(C)(NC(=O)c1cc(Br)cs1)c1nn[n-]n1 ZINC000354747418 410057304 /nfs/dbraw/zinc/05/73/04/410057304.db2.gz AWLPFVLOSWWKQU-UHFFFAOYSA-N -1 1 316.184 1.689 20 0 DDADMM COc1ccccc1C[C@H](NC(=O)CCc1nn[n-]n1)C(C)C ZINC000631612834 422854513 /nfs/dbraw/zinc/85/45/13/422854513.db2.gz FWDDXXDZNWIMSQ-ZDUSSCGKSA-N -1 1 317.393 1.524 20 0 DDADMM CC(C)(C)n1ccnc1SCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000354796595 410092683 /nfs/dbraw/zinc/09/26/83/410092683.db2.gz WGTUVUJKBHDNRK-UHFFFAOYSA-N -1 1 323.426 1.295 20 0 DDADMM CSC[C@@H](CCO)NC(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000343070365 410120010 /nfs/dbraw/zinc/12/00/10/410120010.db2.gz UDKVYNWHFYIXRV-SNVBAGLBSA-N -1 1 321.402 1.488 20 0 DDADMM CSC[C@@H](CCO)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000343070365 410120020 /nfs/dbraw/zinc/12/00/20/410120020.db2.gz UDKVYNWHFYIXRV-SNVBAGLBSA-N -1 1 321.402 1.488 20 0 DDADMM CC[C@H]1OCCC[C@@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000332441570 410162984 /nfs/dbraw/zinc/16/29/84/410162984.db2.gz ICFJBIQVULXMBX-NWDGAFQWSA-N -1 1 309.366 1.686 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H]2c2ccccc2)co1 ZINC000339421417 410177434 /nfs/dbraw/zinc/17/74/34/410177434.db2.gz DEZJUUAYCSREBU-CYBMUJFWSA-N -1 1 320.370 1.775 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H](n2cccn2)C1 ZINC000298323670 410179598 /nfs/dbraw/zinc/17/95/98/410179598.db2.gz QZPJOGIKERCAOV-NSHDSACASA-N -1 1 314.374 1.302 20 0 DDADMM Cc1ccc(C)c(OC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000329282836 410210101 /nfs/dbraw/zinc/21/01/01/410210101.db2.gz NBUSZUMKKDUAQB-UHFFFAOYSA-N -1 1 316.405 1.769 20 0 DDADMM CC[C@H](C)NC(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000298628107 410321199 /nfs/dbraw/zinc/32/11/99/410321199.db2.gz GNKBGRXQYHRWCT-VIFPVBQESA-N -1 1 315.395 1.987 20 0 DDADMM FC(F)(F)c1nc(=NCCCOC[C@@H]2CCCO2)s[n-]1 ZINC000347046870 410322644 /nfs/dbraw/zinc/32/26/44/410322644.db2.gz ACLVVNMXCRTGGS-QMMMGPOBSA-N -1 1 311.329 1.976 20 0 DDADMM O=C([C@H]1CCC(F)(F)C1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351858866 410297780 /nfs/dbraw/zinc/29/77/80/410297780.db2.gz WEQWFTVOKQDGNH-VIFPVBQESA-N -1 1 301.293 1.917 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H]2CC[C@@H](C)C2)co1 ZINC000347000412 410302912 /nfs/dbraw/zinc/30/29/12/410302912.db2.gz ZGDLYGJQBXOVNT-MWLCHTKSSA-N -1 1 300.380 1.448 20 0 DDADMM Cc1cc(CNC(=O)c2cnc3nc(C)ccc3c2[O-])n(C)n1 ZINC000357868342 410308142 /nfs/dbraw/zinc/30/81/42/410308142.db2.gz SOPYXZYEHMQYDX-UHFFFAOYSA-N -1 1 311.345 1.616 20 0 DDADMM Cc1cc(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)n(C)n1 ZINC000357868342 410308149 /nfs/dbraw/zinc/30/81/49/410308149.db2.gz SOPYXZYEHMQYDX-UHFFFAOYSA-N -1 1 311.345 1.616 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1CCCOC1 ZINC000329458115 410308360 /nfs/dbraw/zinc/30/83/60/410308360.db2.gz OBNYKDJBCUBHIX-UHFFFAOYSA-N -1 1 314.345 1.302 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CC[C@H](C(N)=O)O2)sc1C ZINC000333163130 410396874 /nfs/dbraw/zinc/39/68/74/410396874.db2.gz OAMKBQORQIWMKU-RKDXNWHRSA-N -1 1 319.408 1.121 20 0 DDADMM O=C(Nc1ccncc1[O-])c1c[nH]c(C(=O)N2CCCC2)c1 ZINC000358362072 410453796 /nfs/dbraw/zinc/45/37/96/410453796.db2.gz WVKLJFSULXDTTK-UHFFFAOYSA-N -1 1 300.318 1.026 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC2(CCCC2)[C@@H]1C(C)C ZINC000333317462 410477512 /nfs/dbraw/zinc/47/75/12/410477512.db2.gz MLUVOBQUPUNTKA-LBPRGKRZSA-N -1 1 321.377 1.937 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1ccc(C)cc1C ZINC000352099474 410477920 /nfs/dbraw/zinc/47/79/20/410477920.db2.gz YWZOUPOLTQMCON-UHFFFAOYSA-N -1 1 303.318 1.443 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1[C@H](C)C[C@@H]2CCCC[C@H]21 ZINC000352052233 410443455 /nfs/dbraw/zinc/44/34/55/410443455.db2.gz JDRNBVZIDDCAFU-KXUCPTDWSA-N -1 1 307.350 1.690 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCC[C@@H](SC)C1 ZINC000352057309 410446297 /nfs/dbraw/zinc/44/62/97/410446297.db2.gz JRSIRAOQDKDTFS-JGVFFNPUSA-N -1 1 313.379 1.300 20 0 DDADMM CS(=O)(=O)CC(=O)N=c1cc(-c2ccc(Cl)s2)[n-][nH]1 ZINC000339849330 410498007 /nfs/dbraw/zinc/49/80/07/410498007.db2.gz LJNNQVLWNRQXDC-UHFFFAOYSA-N -1 1 319.795 1.197 20 0 DDADMM CN(C)C(=O)COc1cccc(NC(=O)c2cncc([O-])c2)c1 ZINC000339845498 410494824 /nfs/dbraw/zinc/49/48/24/410494824.db2.gz BFXNAMAUZHWEJQ-UHFFFAOYSA-N -1 1 315.329 1.507 20 0 DDADMM CC(C)n1ncc2c1C[C@H](NC(=O)c1cncc([O-])c1)CC2 ZINC000339954548 410575303 /nfs/dbraw/zinc/57/53/03/410575303.db2.gz MOAHYCXXCDJNQS-CYBMUJFWSA-N -1 1 300.362 1.852 20 0 DDADMM CCOC(=O)CN(Cc1nc(=O)n(C)[n-]1)[C@H](C)c1ccccc1 ZINC000347482970 410575389 /nfs/dbraw/zinc/57/53/89/410575389.db2.gz GZSBCGAECVXYRG-GFCCVEGCSA-N -1 1 318.377 1.235 20 0 DDADMM CCOC(=O)[C@H](NC(=O)c1cncc([O-])c1)c1cccc(O)c1 ZINC000339967078 410583229 /nfs/dbraw/zinc/58/32/29/410583229.db2.gz XERQOTSIQYXVCL-CQSZACIVSA-N -1 1 316.313 1.527 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cncc([O-])c1)c1cccc(O)c1 ZINC000339935374 410562174 /nfs/dbraw/zinc/56/21/74/410562174.db2.gz RQVVQHCNSTXCMI-CYBMUJFWSA-N -1 1 302.286 1.137 20 0 DDADMM N=c1nc(N2CCN(c3nccc(C(F)F)n3)CC2)s[n-]1 ZINC000359538690 410716731 /nfs/dbraw/zinc/71/67/31/410716731.db2.gz CMEFUCPACRNXTA-UHFFFAOYSA-N -1 1 313.337 1.005 20 0 DDADMM CCO[C@H]1COCC[C@@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000353009554 410730773 /nfs/dbraw/zinc/73/07/73/410730773.db2.gz RRNIPJKFPXQHTG-RYUDHWBXSA-N -1 1 321.345 1.437 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)[C@H]2CCCOC2)c1 ZINC000355761060 410680873 /nfs/dbraw/zinc/68/08/73/410680873.db2.gz OKBDGOUZZGTJDD-ZJUUUORDSA-N -1 1 317.363 1.160 20 0 DDADMM CCCCOc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348271931 410885347 /nfs/dbraw/zinc/88/53/47/410885347.db2.gz ABKAITIZKNTMMK-UHFFFAOYSA-N -1 1 301.350 1.798 20 0 DDADMM Cc1ccc2c(CC(=O)NC3(c4nn[n-]n4)CC3)coc2c1C ZINC000348272997 410891686 /nfs/dbraw/zinc/89/16/86/410891686.db2.gz DWILBZOKEXKTAW-UHFFFAOYSA-N -1 1 311.345 1.911 20 0 DDADMM Cc1cc(OC(F)F)c(C(=O)NC2(c3nn[n-]n3)CC2)s1 ZINC000348297822 410905932 /nfs/dbraw/zinc/90/59/32/410905932.db2.gz LXFDNTIQOVVPHS-UHFFFAOYSA-N -1 1 315.305 1.590 20 0 DDADMM Cc1ncc(C[N-]S(=O)(=O)c2cccc(F)c2F)c(=O)[nH]1 ZINC000344124428 410972441 /nfs/dbraw/zinc/97/24/41/410972441.db2.gz WRIVOZRLUYOTDQ-UHFFFAOYSA-N -1 1 315.301 1.247 20 0 DDADMM CC[C@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331289876 411060640 /nfs/dbraw/zinc/06/06/40/411060640.db2.gz OEOOVFBQAZMLSQ-UFBFGSQYSA-N -1 1 306.453 1.835 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)Cc1cccc(OC(F)(F)F)c1 ZINC000353484208 411020448 /nfs/dbraw/zinc/02/04/48/411020448.db2.gz YRZWXLMBZMILGZ-UHFFFAOYSA-N -1 1 316.283 1.639 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C[C@@H]1C ZINC000331254946 411043017 /nfs/dbraw/zinc/04/30/17/411043017.db2.gz UQSZZERVOUTBEL-DTWKUNHWSA-N -1 1 313.300 1.942 20 0 DDADMM O=C(c1cc2c([nH]1)CCCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000580155969 422893863 /nfs/dbraw/zinc/89/38/63/422893863.db2.gz SUKDWVLCIMKLJE-NSHDSACASA-N -1 1 300.366 1.426 20 0 DDADMM C[C@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C(F)(F)F ZINC000629952912 422892872 /nfs/dbraw/zinc/89/28/72/422892872.db2.gz ZTHAHUBOKXPXGU-LDYMZIIASA-N -1 1 313.283 1.994 20 0 DDADMM O=C([N-]c1nc(-c2ccccc2)no1)c1cn(CC2CC2)nn1 ZINC000629955632 422893281 /nfs/dbraw/zinc/89/32/81/422893281.db2.gz IGESDFAPUHQXHX-UHFFFAOYSA-N -1 1 310.317 1.412 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3CO[C@@H](C4CC4)C3)cnc2n1 ZINC000630026441 422929747 /nfs/dbraw/zinc/92/97/47/422929747.db2.gz OLWJRJXMWVECMA-BXUZGUMPSA-N -1 1 313.357 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1CO[C@@H](C3CC3)C1)c2=O ZINC000630026441 422929752 /nfs/dbraw/zinc/92/97/52/422929752.db2.gz OLWJRJXMWVECMA-BXUZGUMPSA-N -1 1 313.357 1.941 20 0 DDADMM C[C@H]1CN(Cc2cn(-c3ccccc3)nn2)CC[C@@H]1C(=O)[O-] ZINC000652496870 423044244 /nfs/dbraw/zinc/04/42/44/423044244.db2.gz FUJGDNBYUXLOFV-WFASDCNBSA-N -1 1 300.362 1.810 20 0 DDADMM COCC1(C(=O)[O-])CCN(Cc2cn3c(cccc3C)n2)CC1 ZINC000652504589 423046635 /nfs/dbraw/zinc/04/66/35/423046635.db2.gz KYUGKJQOTKTSHJ-UHFFFAOYSA-N -1 1 317.389 1.956 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(F)cc(OC)cc1F)[C@@H](C)O ZINC000650208131 423086162 /nfs/dbraw/zinc/08/61/62/423086162.db2.gz OHSVDEMLQUHRPG-HQJQHLMTSA-N -1 1 309.334 1.411 20 0 DDADMM O=C(NCC(F)(F)C(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000295725243 225165106 /nfs/dbraw/zinc/16/51/06/225165106.db2.gz OKKJQGHGTVJJCK-UHFFFAOYSA-N -1 1 308.260 1.648 20 0 DDADMM COc1ccc(CN2C[C@@H](C(=O)[N-]OC(C)C)CC2=O)cc1 ZINC000295773876 225218743 /nfs/dbraw/zinc/21/87/43/225218743.db2.gz XFZSBIVMHZXOOI-ZDUSSCGKSA-N -1 1 306.362 1.500 20 0 DDADMM CSc1nc(CNC(=O)c2cn(C)nc2C(C)C)cc(=O)[n-]1 ZINC000640656013 423115072 /nfs/dbraw/zinc/11/50/72/423115072.db2.gz UPPDQOARIUCPLF-UHFFFAOYSA-N -1 1 321.406 1.691 20 0 DDADMM CSc1nc(CNC(=O)c2ccnn2C(C)C)cc(=O)[n-]1 ZINC000640655549 423116246 /nfs/dbraw/zinc/11/62/46/423116246.db2.gz GXVJMSZGBHWDLN-UHFFFAOYSA-N -1 1 307.379 1.612 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N1C(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000373835444 418464795 /nfs/dbraw/zinc/46/47/95/418464795.db2.gz LGJGEYICDUAXNA-VXGBXAGGSA-N -1 1 321.425 1.479 20 0 DDADMM O=C(c1cc(-c2ccco2)n[nH]1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366635343 418499257 /nfs/dbraw/zinc/49/92/57/418499257.db2.gz GTIHQTZDDRCQKA-VIFPVBQESA-N -1 1 313.321 1.203 20 0 DDADMM O=S(=O)([N-]CCCn1ccnc1)c1ccc(F)c(F)c1F ZINC000192693458 418525016 /nfs/dbraw/zinc/52/50/16/418525016.db2.gz QMCJGVGAWAVIIR-UHFFFAOYSA-N -1 1 319.308 1.669 20 0 DDADMM O=C(N[C@@H]1CCC(=O)N[C@@H]1C1CC1)c1cc(Cl)ccc1[O-] ZINC000367071431 418556714 /nfs/dbraw/zinc/55/67/14/418556714.db2.gz BKZRDHAXTUWSBY-BXUZGUMPSA-N -1 1 308.765 1.833 20 0 DDADMM Cc1cc(OCC(=O)NC(C)(C)c2nn[n-]n2)cc(C)c1Cl ZINC000361081271 418579334 /nfs/dbraw/zinc/57/93/34/418579334.db2.gz XNGWAJBKPCNLPE-UHFFFAOYSA-N -1 1 323.784 1.900 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC3(CCC3)[C@@H]2C2CC2)o1 ZINC000291025586 222081638 /nfs/dbraw/zinc/08/16/38/222081638.db2.gz DUCFNMHZBKHHKT-ZDUSSCGKSA-N -1 1 324.402 1.592 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]c1nn(-c2cccnc2)cc1C ZINC000367811280 418637564 /nfs/dbraw/zinc/63/75/64/418637564.db2.gz UJVZJWUOHQIWTP-UHFFFAOYSA-N -1 1 309.395 1.574 20 0 DDADMM CC(C)(C)c1ncc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)s1 ZINC000375795492 418685873 /nfs/dbraw/zinc/68/58/73/418685873.db2.gz MMGUCVGDFBEJDJ-MRVPVSSYSA-N -1 1 322.394 1.167 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N=c2cc[nH]c(C)c2)ccc1[O-] ZINC000387743750 418744386 /nfs/dbraw/zinc/74/43/86/418744386.db2.gz YGGAJRINTIWYAR-UHFFFAOYSA-N -1 1 322.342 1.105 20 0 DDADMM COCc1nc(=NC2CCC(c3nc(C)no3)CC2)s[n-]1 ZINC000371188230 418766303 /nfs/dbraw/zinc/76/63/03/418766303.db2.gz BJRQPIBBMVQHFH-UHFFFAOYSA-N -1 1 309.395 1.936 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1C[C@]12CCOc1ccc(Cl)cc12 ZINC000364623886 418793865 /nfs/dbraw/zinc/79/38/65/418793865.db2.gz ZKSNSWZHYJXREZ-ZANVPECISA-N -1 1 305.725 1.532 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1C[C@]12CCOc1ccc(Cl)cc12 ZINC000364623886 418793868 /nfs/dbraw/zinc/79/38/68/418793868.db2.gz ZKSNSWZHYJXREZ-ZANVPECISA-N -1 1 305.725 1.532 20 0 DDADMM CO[N-]C(=O)[C@H]1CC(=O)N(C2CC2)[C@H]1c1ccc(OC)cc1 ZINC000372142044 418838796 /nfs/dbraw/zinc/83/87/96/418838796.db2.gz AWAPOFAPFJTEBH-ZFWWWQNUSA-N -1 1 304.346 1.425 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2C[C@@H](O)C2(C)C)c(F)c1 ZINC000425178990 228382210 /nfs/dbraw/zinc/38/22/10/228382210.db2.gz STQCNEGGQRDARA-GHMZBOCLSA-N -1 1 305.346 1.711 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@]2(C)CCC(=O)N2)c(F)c1 ZINC000425184314 228384797 /nfs/dbraw/zinc/38/47/97/228384797.db2.gz IQEHMCDLPSVREB-CYBMUJFWSA-N -1 1 318.345 1.220 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2C[C@]2(F)c2ccccc2)n1 ZINC000411763718 419401592 /nfs/dbraw/zinc/40/15/92/419401592.db2.gz VYIIZSIIEKZEMK-RNCFNFMXSA-N -1 1 324.337 1.032 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2C[C@]2(F)c2ccccc2)[n-]1 ZINC000411763718 419401600 /nfs/dbraw/zinc/40/16/00/419401600.db2.gz VYIIZSIIEKZEMK-RNCFNFMXSA-N -1 1 324.337 1.032 20 0 DDADMM COCCc1nc(=NCc2nc3ccc(C)cc3[nH]2)s[n-]1 ZINC000426902198 419568281 /nfs/dbraw/zinc/56/82/81/419568281.db2.gz CGQUZQMKWPCPBQ-UHFFFAOYSA-N -1 1 303.391 1.946 20 0 DDADMM CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1C(=O)c1ncccc1[O-] ZINC000427242606 419627755 /nfs/dbraw/zinc/62/77/55/419627755.db2.gz KSJXJZAWZZUYHR-QWHCGFSZSA-N -1 1 305.378 1.649 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H](C)C[C@@H](CO)C3)cnc2n1 ZINC000422104705 419843130 /nfs/dbraw/zinc/84/31/30/419843130.db2.gz IUWPCQHBIQUIHG-ZYHUDNBSSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](C)C[C@@H](CO)C3)c[n-]c2n1 ZINC000422104705 419843137 /nfs/dbraw/zinc/84/31/37/419843137.db2.gz IUWPCQHBIQUIHG-ZYHUDNBSSA-N -1 1 315.373 1.734 20 0 DDADMM C[C@H]1CC2(CCC2)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000432587311 229116006 /nfs/dbraw/zinc/11/60/06/229116006.db2.gz KAGRGFBRKKHSNE-NSHDSACASA-N -1 1 303.362 1.308 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCC(=O)NC(C)(C)C)sc1C ZINC000435210977 229362277 /nfs/dbraw/zinc/36/22/77/229362277.db2.gz LWQWFTGDROAZLI-UHFFFAOYSA-N -1 1 319.452 1.343 20 0 DDADMM O=C(N=c1[n-]nc(/C=C\c2ccco2)s1)N1CCNCC1 ZINC000415371314 420038502 /nfs/dbraw/zinc/03/85/02/420038502.db2.gz FETBIYIBYKTRDF-ARJAWSKDSA-N -1 1 305.363 1.161 20 0 DDADMM Cc1ccc([C@@H](NC(=O)CN(C)CCC(=O)[O-])C2CC2)cc1 ZINC000430666691 420175002 /nfs/dbraw/zinc/17/50/02/420175002.db2.gz ZESOWKVKNZUSBK-QGZVFWFLSA-N -1 1 304.390 1.969 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1ncccc1C(F)(F)F)C1CC1 ZINC000645732970 423159452 /nfs/dbraw/zinc/15/94/52/423159452.db2.gz JJFZHQCMWRLVSE-SNVBAGLBSA-N -1 1 324.324 1.804 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1ccc(C(C)C)cc1 ZINC000416138009 420255489 /nfs/dbraw/zinc/25/54/89/420255489.db2.gz OBFFZXXKWADCCV-UHFFFAOYSA-N -1 1 317.345 1.950 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1Cc2ccccc2C[C@H]1C ZINC000416153218 420258525 /nfs/dbraw/zinc/25/85/25/420258525.db2.gz BHUZGBSBEDYZSL-SECBINFHSA-N -1 1 315.329 1.483 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2cccc(F)c2C1 ZINC000416182924 420271484 /nfs/dbraw/zinc/27/14/84/420271484.db2.gz JFKWCEJFDLEYGG-UHFFFAOYSA-N -1 1 319.292 1.234 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2csc(CC(=O)NC)n2)n1 ZINC000436208807 420316326 /nfs/dbraw/zinc/31/63/26/420316326.db2.gz KFQITQQYAVLUOK-UHFFFAOYSA-N -1 1 324.431 1.782 20 0 DDADMM CCn1cc([C@H]2OCCC[C@@H]2N=c2[n-]c(C(N)=O)cs2)cn1 ZINC000425260126 420331687 /nfs/dbraw/zinc/33/16/87/420331687.db2.gz UMSKOUJEKNPJRU-CMPLNLGQSA-N -1 1 321.406 1.213 20 0 DDADMM CCOC(=O)[C@](C)(O)CNC(=O)c1cc(Cl)ccc1[O-] ZINC000436535624 420337528 /nfs/dbraw/zinc/33/75/28/420337528.db2.gz NLDKPLQGNDYYDL-CYBMUJFWSA-N -1 1 301.726 1.090 20 0 DDADMM O=C(c1cnc(C2CC2)nc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425297774 420343885 /nfs/dbraw/zinc/34/38/85/420343885.db2.gz IQQVACQVEFQXLJ-JTQLQIEISA-N -1 1 315.333 1.462 20 0 DDADMM COCCOc1ncccc1NC(=O)c1ccc(O)cc1[O-] ZINC000436647382 420347717 /nfs/dbraw/zinc/34/77/17/420347717.db2.gz JZNJIFIYESATRO-UHFFFAOYSA-N -1 1 304.302 1.770 20 0 DDADMM O=C(Cc1ccc(O)c(F)c1)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000425325726 420351632 /nfs/dbraw/zinc/35/16/32/420351632.db2.gz SLCPBGHGGASPMP-UHFFFAOYSA-N -1 1 321.308 1.569 20 0 DDADMM O=C(Cc1ccc(O)c(F)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425325726 420351640 /nfs/dbraw/zinc/35/16/40/420351640.db2.gz SLCPBGHGGASPMP-UHFFFAOYSA-N -1 1 321.308 1.569 20 0 DDADMM CCc1nc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(C)o1 ZINC000425324105 420351679 /nfs/dbraw/zinc/35/16/79/420351679.db2.gz PTEMDMJGDNSSDB-UHFFFAOYSA-N -1 1 306.322 1.654 20 0 DDADMM COCCN(Cc1ccnn1C)C(=O)c1ccc([O-])cc1F ZINC000436835123 420374823 /nfs/dbraw/zinc/37/48/23/420374823.db2.gz CCZJKLOXYDKWJK-UHFFFAOYSA-N -1 1 307.325 1.554 20 0 DDADMM C[C@@H](c1ccccc1)[C@H](NC(=O)c1ccc([O-])cc1F)C(N)=O ZINC000436832912 420375094 /nfs/dbraw/zinc/37/50/94/420375094.db2.gz UDUHCUYHOMWUMZ-BONVTDFDSA-N -1 1 316.332 1.919 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1)c1cnccn1 ZINC000436917684 420385531 /nfs/dbraw/zinc/38/55/31/420385531.db2.gz XZMOQSUBLCCIIK-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCC(=O)N1 ZINC000416502517 420399027 /nfs/dbraw/zinc/39/90/27/420399027.db2.gz QGYPOXSAQALATP-LBPRGKRZSA-N -1 1 322.308 1.051 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000492323933 420523521 /nfs/dbraw/zinc/52/35/21/420523521.db2.gz OULRHMHTFPKERZ-UMCURTJPSA-N -1 1 304.379 1.384 20 0 DDADMM CC[C@@H](C(=O)Nc1nc(-c2ccccn2)n[nH]1)N1CCCC1=O ZINC000439029216 420476209 /nfs/dbraw/zinc/47/62/09/420476209.db2.gz VHORXZSOTSDBHB-NSHDSACASA-N -1 1 314.349 1.206 20 0 DDADMM COc1cncc(C=CC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000492443773 420560314 /nfs/dbraw/zinc/56/03/14/420560314.db2.gz QLHICUQYLIMKNR-PLNGDYQASA-N -1 1 314.349 1.202 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CCN(CC(F)(F)F)CC1 ZINC000456927416 420570143 /nfs/dbraw/zinc/57/01/43/420570143.db2.gz DAPPKWCKPZQCSC-UHFFFAOYSA-N -1 1 317.311 1.886 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1cn(C)c2ccccc12)c1nn[n-]n1 ZINC000492517214 420581517 /nfs/dbraw/zinc/58/15/17/420581517.db2.gz DSXDAMCKZAIVHP-JLVNDZCYSA-N -1 1 324.388 1.967 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1ccnc(Cl)c1)c1nn[n-]n1 ZINC000492655282 420619494 /nfs/dbraw/zinc/61/94/94/420619494.db2.gz WSGCIOUOFGSFCZ-ZBJFTSOASA-N -1 1 306.757 1.523 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000492738761 420647231 /nfs/dbraw/zinc/64/72/31/420647231.db2.gz GRJSACUPRFWCBJ-ORAHPGNNSA-N -1 1 304.379 1.384 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCC1(CF)CCOCC1)c2=O ZINC000452803911 420665506 /nfs/dbraw/zinc/66/55/06/420665506.db2.gz JVJIIQADRXYEJF-UHFFFAOYSA-N -1 1 322.340 1.170 20 0 DDADMM COc1cc(C(=O)NCCc2ncn(C)n2)cc(Cl)c1[O-] ZINC000442673584 420698057 /nfs/dbraw/zinc/69/80/57/420698057.db2.gz VBMBAHFVJJOFMU-UHFFFAOYSA-N -1 1 310.741 1.155 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2cccc(CO)c2)sc1C ZINC000443221166 420750121 /nfs/dbraw/zinc/75/01/21/420750121.db2.gz JHIAZSMXRHZHEM-UHFFFAOYSA-N -1 1 312.416 1.731 20 0 DDADMM CC[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H](O)C(F)F ZINC000454291883 420831679 /nfs/dbraw/zinc/83/16/79/420831679.db2.gz BMMLCZHQMDHSMP-WCBMZHEXSA-N -1 1 311.288 1.058 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2CCN(CC)C[C@@H]2CC)s1 ZINC000454295080 420832971 /nfs/dbraw/zinc/83/29/71/420832971.db2.gz UEFWMHVJWWQNEM-NSHDSACASA-N -1 1 311.455 1.861 20 0 DDADMM CO[C@H]([C@@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000454331303 420839261 /nfs/dbraw/zinc/83/92/61/420839261.db2.gz JDCVAWVDDSAXKK-NOZJJQNGSA-N -1 1 301.346 1.466 20 0 DDADMM O=S(=O)([N-]CCn1cccc1)c1ccc(F)c(F)c1F ZINC000487095494 421002909 /nfs/dbraw/zinc/00/29/09/421002909.db2.gz DGWWTVWUASIKRH-UHFFFAOYSA-N -1 1 304.293 1.884 20 0 DDADMM Cc1cccc([C@H](C)CN=c2ccc(C(=O)NCCO)n[n-]2)c1 ZINC000488371615 421086296 /nfs/dbraw/zinc/08/62/96/421086296.db2.gz YOVZYFVNEVZAJY-CYBMUJFWSA-N -1 1 314.389 1.145 20 0 DDADMM CCC1(NC(=O)CNC(=O)c2ncccc2[O-])CCCCC1 ZINC000456211523 421123230 /nfs/dbraw/zinc/12/32/30/421123230.db2.gz BQSHFZODLPGXAU-UHFFFAOYSA-N -1 1 305.378 1.746 20 0 DDADMM CCn1nccc1C=CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000492207775 421213982 /nfs/dbraw/zinc/21/39/82/421213982.db2.gz KBMNDDZGELHGRM-AATRIKPKSA-N -1 1 301.354 1.015 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H](C)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000522855803 421226568 /nfs/dbraw/zinc/22/65/68/421226568.db2.gz VXIIGSJVXVSQKF-SECBINFHSA-N -1 1 317.397 1.239 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC(c2ccccc2)CC1 ZINC000544685405 421227847 /nfs/dbraw/zinc/22/78/47/421227847.db2.gz PSZRUKIRHGEOBW-UHFFFAOYSA-N -1 1 323.356 1.437 20 0 DDADMM C[C@@H]1CCC[C@@H](CCNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000544748443 421229051 /nfs/dbraw/zinc/22/90/51/421229051.db2.gz VLGUZILHXSHVFF-MNOVXSKESA-N -1 1 303.366 1.364 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(=O)n3nc[n-]c3n2)[C@@H]2CCCC[C@H]21 ZINC000545451174 421258780 /nfs/dbraw/zinc/25/87/80/421258780.db2.gz RXWJFFYZYOKLJV-JFGNBEQYSA-N -1 1 301.350 1.068 20 0 DDADMM CC(C)CCn1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)nn1 ZINC000525375020 421290587 /nfs/dbraw/zinc/29/05/87/421290587.db2.gz ISEQRIWYIXXJED-UHFFFAOYSA-N -1 1 318.385 1.037 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCC[C@@H]1C(=O)[N-]OC(C)(C)CO ZINC000496900816 421334378 /nfs/dbraw/zinc/33/43/78/421334378.db2.gz IGTDSPWKLKWSHF-QWRGUYRKSA-N -1 1 316.398 1.499 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC2(CCCC2)CC1 ZINC000562090751 421342551 /nfs/dbraw/zinc/34/25/51/421342551.db2.gz CWCQOYAMRLGKBV-UHFFFAOYSA-N -1 1 301.350 1.214 20 0 DDADMM O=C(CCOc1cccc(Cl)c1)NC1(c2nn[n-]n2)CC1 ZINC000527060730 421352770 /nfs/dbraw/zinc/35/27/70/421352770.db2.gz ILNLZXZTTNPYCD-UHFFFAOYSA-N -1 1 307.741 1.428 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(NC2CC2)nc1)c1nn[n-]n1 ZINC000547809919 421370174 /nfs/dbraw/zinc/37/01/74/421370174.db2.gz ZUAHSEMZPLQYBN-VIFPVBQESA-N -1 1 301.354 1.045 20 0 DDADMM Cc1ccccc1-c1nc(=NC[C@@]2(O)CCN(C)C2)s[n-]1 ZINC000527968643 421461259 /nfs/dbraw/zinc/46/12/59/421461259.db2.gz OOQDXRJDJQZHBW-HNNXBMFYSA-N -1 1 304.419 1.414 20 0 DDADMM CCn1c2ccc(C(=O)[O-])cc2nc1CN1CCN(C)CC1 ZINC000563277921 421480330 /nfs/dbraw/zinc/48/03/30/421480330.db2.gz PVNCDJYSEMTORZ-UHFFFAOYSA-N -1 1 302.378 1.502 20 0 DDADMM CO[C@H](C)c1nc(=NCCCC[NH+]2CCN(C)CC2)s[n-]1 ZINC000549001832 421489082 /nfs/dbraw/zinc/48/90/82/421489082.db2.gz CMLWIWDBVFKESK-GFCCVEGCSA-N -1 1 313.471 1.107 20 0 DDADMM COCC1([N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CCCC1 ZINC000563437479 421509165 /nfs/dbraw/zinc/50/91/65/421509165.db2.gz KNOVGAJYHHDSDL-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM CCCN1CCN(Cc2nc(=O)n(C)[n-]2)c2ccc(F)cc21 ZINC000564511662 421590543 /nfs/dbraw/zinc/59/05/43/421590543.db2.gz BDQQRLHFJSODTK-UHFFFAOYSA-N -1 1 305.357 1.484 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCCO[C@@H](C)C1 ZINC000563632518 421530451 /nfs/dbraw/zinc/53/04/51/421530451.db2.gz WRLOPDPSJJLMHN-STQMWFEESA-N -1 1 320.389 1.500 20 0 DDADMM C[C@@H]1CC(=O)N[C@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)C1 ZINC000556155962 421703003 /nfs/dbraw/zinc/70/30/03/421703003.db2.gz IHYKGGKZBGPCBQ-ZANVPECISA-N -1 1 314.345 1.636 20 0 DDADMM CC(C)CN1CCN(C(=O)N=c2ncn(C(C)(C)C)[n-]2)CC1 ZINC000542435033 421821873 /nfs/dbraw/zinc/82/18/73/421821873.db2.gz BMXDSWADQDDVSE-UHFFFAOYSA-N -1 1 308.430 1.261 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)c1cc[nH]c(=O)c1 ZINC000521657821 421796863 /nfs/dbraw/zinc/79/68/63/421796863.db2.gz AADBRFADFSWVQH-SSDOTTSWSA-N -1 1 320.374 1.804 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1CCc2ccc(O)cc2CC1 ZINC000635301803 421891520 /nfs/dbraw/zinc/89/15/20/421891520.db2.gz RCZKYWRBGGOHEY-UHFFFAOYSA-N -1 1 301.346 1.425 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC1(c2c(F)cccc2F)CCC1 ZINC000633420504 421891735 /nfs/dbraw/zinc/89/17/35/421891735.db2.gz GGOGZXOYIGBEFW-UHFFFAOYSA-N -1 1 321.331 1.649 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@H](CCO)c1ccc(Cl)cc1 ZINC000635311373 421899051 /nfs/dbraw/zinc/89/90/51/421899051.db2.gz AIXDGRMZXOHHKJ-CYBMUJFWSA-N -1 1 323.780 1.985 20 0 DDADMM CN(Cc1cc(C(=O)[O-])nn1C)C[C@H](O)Cc1ccccc1 ZINC000635313644 421901541 /nfs/dbraw/zinc/90/15/41/421901541.db2.gz ZVYPKRDXFKXVOM-CQSZACIVSA-N -1 1 303.362 1.154 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc2ccccc2c(=O)[nH]1)c1nn[n-]n1 ZINC000543700913 421839835 /nfs/dbraw/zinc/83/98/35/421839835.db2.gz QZTUHGQZHQHPQB-VIFPVBQESA-N -1 1 312.333 1.329 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cccc2ccc(=O)[nH]c21 ZINC000580927760 421864508 /nfs/dbraw/zinc/86/45/08/421864508.db2.gz IJVLKTDVFPZABE-UHFFFAOYSA-N -1 1 324.344 1.653 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(N2CCCC2)cn1 ZINC000635262020 421866084 /nfs/dbraw/zinc/86/60/84/421866084.db2.gz JNSKLAUNKHJYSL-UHFFFAOYSA-N -1 1 301.354 1.156 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCOc1cccc(Cl)c1 ZINC000635330680 421918445 /nfs/dbraw/zinc/91/84/45/421918445.db2.gz MYOAKHNETLTJKU-UHFFFAOYSA-N -1 1 309.757 1.371 20 0 DDADMM COc1ccc2c(c1)CN(C(=O)CCCc1nn[n-]n1)CC2 ZINC000635420398 421973761 /nfs/dbraw/zinc/97/37/61/421973761.db2.gz FLBKRCTXYSGJST-UHFFFAOYSA-N -1 1 301.350 1.116 20 0 DDADMM Cc1cc(C)cc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000630221729 421974393 /nfs/dbraw/zinc/97/43/93/421974393.db2.gz BTPYYRXVCNXALR-AWEZNQCLSA-N -1 1 304.390 1.924 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@H](Nc2ccccc2)C1 ZINC000631943694 421961900 /nfs/dbraw/zinc/96/19/00/421961900.db2.gz COUBRBDWPXMGIM-ZDUSSCGKSA-N -1 1 300.366 1.235 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cccc3n[nH]cc32)[C@H](C(=O)[O-])C1 ZINC000630209625 421962630 /nfs/dbraw/zinc/96/26/30/421962630.db2.gz GOMWJNZSLMARMB-ZANVPECISA-N -1 1 303.318 1.267 20 0 DDADMM CC[C@H](C)[C@H](OC)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630221287 421970030 /nfs/dbraw/zinc/97/00/30/421970030.db2.gz XCIFHVPZESRJQZ-OBJOEFQTSA-N -1 1 300.399 1.055 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](CO)Cc2ccco2)sn1 ZINC000632000215 422012038 /nfs/dbraw/zinc/01/20/38/422012038.db2.gz HKJZZUAKDWCPNP-SNVBAGLBSA-N -1 1 316.404 1.174 20 0 DDADMM Cc1nc([C@@H]2CCOC2)sc1C(=O)N=c1ccc([O-])n[nH]1 ZINC000637131432 422019524 /nfs/dbraw/zinc/01/95/24/422019524.db2.gz XBIYEOPEKHQSQW-MRVPVSSYSA-N -1 1 306.347 1.125 20 0 DDADMM Cc1nc([C@@H]2CCOC2)sc1C(=O)N=c1ccc(O)n[n-]1 ZINC000637131432 422019529 /nfs/dbraw/zinc/01/95/29/422019529.db2.gz XBIYEOPEKHQSQW-MRVPVSSYSA-N -1 1 306.347 1.125 20 0 DDADMM CN(C[C@H]1CCC[N@@H+](C)C1)C(=O)NCc1cccc(C(=O)[O-])c1 ZINC000635523856 422072617 /nfs/dbraw/zinc/07/26/17/422072617.db2.gz CQBOFUQZUYKOMR-AWEZNQCLSA-N -1 1 319.405 1.868 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1S(=O)(=O)c1cc(O)cc(F)c1 ZINC000630444363 422107451 /nfs/dbraw/zinc/10/74/51/422107451.db2.gz XUOOZYBEWIPFRA-BDAKNGLRSA-N -1 1 317.338 1.405 20 0 DDADMM CCCc1ccc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)s1 ZINC000637272440 422111380 /nfs/dbraw/zinc/11/13/80/422111380.db2.gz SOUYBZWXRQTSPK-UHFFFAOYSA-N -1 1 317.374 1.414 20 0 DDADMM C[C@H](CN(C)Cc1nc(-c2ccc3c(c2)OCO3)no1)C(=O)[O-] ZINC000574458490 422114504 /nfs/dbraw/zinc/11/45/04/422114504.db2.gz KXKRRPWSVMVOGM-SECBINFHSA-N -1 1 319.317 1.618 20 0 DDADMM COCc1sccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000632054122 422054551 /nfs/dbraw/zinc/05/45/51/422054551.db2.gz MDUHVZMRMTUVKT-VIFPVBQESA-N -1 1 307.379 1.427 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCO[C@H](C(C)C)C2)sn1 ZINC000632177678 422141194 /nfs/dbraw/zinc/14/11/94/422141194.db2.gz BLTGQRCOEKDDIU-MNOVXSKESA-N -1 1 304.437 1.933 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC[C@@H]2CCO[C@H](C)C2)sn1 ZINC000632202212 422160863 /nfs/dbraw/zinc/16/08/63/422160863.db2.gz AHMWXKBTGGMOOE-GHMZBOCLSA-N -1 1 304.437 1.935 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCO[C@@H](C3CC3)C2)sn1 ZINC000632216519 422171192 /nfs/dbraw/zinc/17/11/92/422171192.db2.gz ITHSVSKLEVRFND-WDEREUQCSA-N -1 1 302.421 1.687 20 0 DDADMM C/C=C/C[C@H](CO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632227553 422178583 /nfs/dbraw/zinc/17/85/83/422178583.db2.gz ATPIEVBKUBVQFY-TYWZFMJISA-N -1 1 313.301 1.034 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H]1[C@@H]1CCCCC1=O ZINC000635662585 422217076 /nfs/dbraw/zinc/21/70/76/422217076.db2.gz UQFSMLXWTCHXJV-RYUDHWBXSA-N -1 1 305.382 1.273 20 0 DDADMM CCC[C@@H](NC(=O)c1noc2c1C[C@@H](C)CC2)c1nn[n-]n1 ZINC000574828763 422191582 /nfs/dbraw/zinc/19/15/82/422191582.db2.gz ZMFRTYFRBSNIMF-WCBMZHEXSA-N -1 1 304.354 1.584 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-][C@@H]1CC[C@](O)(C(F)(F)F)C1 ZINC000632358579 422272733 /nfs/dbraw/zinc/27/27/33/422272733.db2.gz SFDUMZRPTHSIQT-VXNVDRBHSA-N -1 1 314.285 1.107 20 0 DDADMM CNc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1F ZINC000635726424 422284486 /nfs/dbraw/zinc/28/44/86/422284486.db2.gz UWTLUWKEWDLPDI-SNVBAGLBSA-N -1 1 304.329 1.400 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@@H](C)CCO)c1 ZINC000632379127 422291664 /nfs/dbraw/zinc/29/16/64/422291664.db2.gz LVZNTMZLWAKXIK-SNVBAGLBSA-N -1 1 303.380 1.088 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1Cc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000632436569 422343074 /nfs/dbraw/zinc/34/30/74/422343074.db2.gz KKNKIZWNUKKQAM-UFBFGSQYSA-N -1 1 305.769 1.755 20 0 DDADMM COc1ccccc1OC[C@H](C)CN=c1[nH]c(C(=O)[O-])co1 ZINC000575925092 422348616 /nfs/dbraw/zinc/34/86/16/422348616.db2.gz BJZUOCGVWYNRIH-SNVBAGLBSA-N -1 1 306.318 1.930 20 0 DDADMM C[C@@H](CNC(=O)CCc1nn[n-]n1)COCc1ccccc1 ZINC000630810381 422349969 /nfs/dbraw/zinc/34/99/69/422349969.db2.gz MQNUHDLGEVAIHG-LBPRGKRZSA-N -1 1 303.366 1.101 20 0 DDADMM CCC(F)(F)C(C)(C)CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000628881280 422367539 /nfs/dbraw/zinc/36/75/39/422367539.db2.gz KTMYTLLIEIAQOC-UHFFFAOYSA-N -1 1 319.308 1.698 20 0 DDADMM C[C@H](Cc1cnn(C)c1)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632419041 422326025 /nfs/dbraw/zinc/32/60/25/422326025.db2.gz UPHOGRJMVOUBOD-MRVPVSSYSA-N -1 1 319.333 1.860 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000635767295 422326964 /nfs/dbraw/zinc/32/69/64/422326964.db2.gz YCYCFQLMTFRSHP-STQMWFEESA-N -1 1 307.398 1.188 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@@H](C)[C@H](O)[C@@H](C)C2)c1 ZINC000632555899 422433204 /nfs/dbraw/zinc/43/32/04/422433204.db2.gz URRQJZXCJSYMOF-MSRIBSCDSA-N -1 1 315.391 1.038 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Cl)s1)[C@H](O)C(F)(F)F ZINC000632673504 422508954 /nfs/dbraw/zinc/50/89/54/422508954.db2.gz MTHBBVOGCRPIJU-FFWSUHOLSA-N -1 1 323.745 1.992 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-][C@H](C)[C@H](O)C(F)(F)F ZINC000632672335 422509830 /nfs/dbraw/zinc/50/98/30/422509830.db2.gz AXVUKYLJUCELSP-VDTYLAMSSA-N -1 1 319.326 1.347 20 0 DDADMM CO[C@H](CNC(=O)c1c(F)ccc([O-])c1F)[C@H]1CCOC1 ZINC000617129140 422520392 /nfs/dbraw/zinc/52/03/92/422520392.db2.gz CDALTPXLUGBUCT-GZMMTYOYSA-N -1 1 301.289 1.452 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H](C2CCC2)CC1 ZINC000634522308 422468294 /nfs/dbraw/zinc/46/82/94/422468294.db2.gz FKZRRROCSYVABY-NSHDSACASA-N -1 1 321.377 1.939 20 0 DDADMM Cc1nc(SCC(=O)c2cnnn2C)[n-]c(=O)c1C1CC1 ZINC000631023189 422476986 /nfs/dbraw/zinc/47/69/86/422476986.db2.gz KPVSJQXUZWAERU-UHFFFAOYSA-N -1 1 305.363 1.472 20 0 DDADMM COc1ccc(N(CC2CC2)C(=O)CCCc2nn[n-]n2)cc1 ZINC000635989812 422542371 /nfs/dbraw/zinc/54/23/71/422542371.db2.gz UUOUXLWTXHAIJG-UHFFFAOYSA-N -1 1 315.377 1.974 20 0 DDADMM C[C@@H](CCCc1cccnc1)NC(=O)CCCc1nn[n-]n1 ZINC000636016082 422567645 /nfs/dbraw/zinc/56/76/45/422567645.db2.gz MHGQJVVPIPYSJQ-LBPRGKRZSA-N -1 1 302.382 1.445 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1cnn(CCOC)c1)C1CC1 ZINC000629286760 422584212 /nfs/dbraw/zinc/58/42/12/422584212.db2.gz CQGXDFQWNUGMKN-CYBMUJFWSA-N -1 1 317.411 1.086 20 0 DDADMM O=C(N1CCC(c2n[nH]c(=O)o2)CC1)C1(c2ccccn2)CC1 ZINC000632881035 422641642 /nfs/dbraw/zinc/64/16/42/422641642.db2.gz NMVZTAVNAGEPNS-UHFFFAOYSA-N -1 1 314.345 1.608 20 0 DDADMM O=C(N1CCC(c2n[n-]c(=O)o2)CC1)C1(c2ccccn2)CC1 ZINC000632881035 422641647 /nfs/dbraw/zinc/64/16/47/422641647.db2.gz NMVZTAVNAGEPNS-UHFFFAOYSA-N -1 1 314.345 1.608 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1C[C@@H]2COC[C@@H]2C1 ZINC000634783868 422647598 /nfs/dbraw/zinc/64/75/98/422647598.db2.gz SDMKGTJQRSEKKB-DTORHVGOSA-N -1 1 312.163 1.873 20 0 DDADMM COc1cc(=NS(=O)(=O)CCC2CCCCC2)[n-]n1C ZINC000634791487 422649740 /nfs/dbraw/zinc/64/97/40/422649740.db2.gz BBDQEGHIIRZFQM-UHFFFAOYSA-N -1 1 301.412 1.563 20 0 DDADMM C[C@@H]1SCCN(C(=O)CCc2nn[n-]n2)[C@H]1c1ccccc1 ZINC000631379494 422728306 /nfs/dbraw/zinc/72/83/06/422728306.db2.gz UPHDFNUQBKUOBV-XHDPSFHLSA-N -1 1 317.418 1.838 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@]3(C)CCN(C)C3=O)[nH][n-]2)s1 ZINC000636143601 422674270 /nfs/dbraw/zinc/67/42/70/422674270.db2.gz MISJYXJEWAOQEJ-OAHLLOKOSA-N -1 1 318.402 1.675 20 0 DDADMM C[C@H](CNc1ncc(C(=O)[O-])cn1)N1CCc2sccc2C1 ZINC000579014777 422689726 /nfs/dbraw/zinc/68/97/26/422689726.db2.gz WWZITMLUULVMJQ-SNVBAGLBSA-N -1 1 318.402 1.517 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC2(CCc3ccccc3C2)CC1 ZINC000650497337 423177234 /nfs/dbraw/zinc/17/72/34/423177234.db2.gz HRSOWAUAAHDYQF-UHFFFAOYSA-N -1 1 316.401 1.458 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)[C@H](N)c2cccc(Cl)c2)C1 ZINC000650788920 423260732 /nfs/dbraw/zinc/26/07/32/423260732.db2.gz FWZHSCIXDDXDHU-IRUJWGPZSA-N -1 1 310.781 1.909 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1[nH]nc2c1CCCC2)c1cccs1 ZINC000648334386 423321977 /nfs/dbraw/zinc/32/19/77/423321977.db2.gz JTJNELFKUWXLNV-LBPRGKRZSA-N -1 1 305.359 1.906 20 0 DDADMM CCN1C(=O)[C@@H]2CN(Cc3cccc([O-])c3Cl)CCN2C1=O ZINC000646099486 423332798 /nfs/dbraw/zinc/33/27/98/423332798.db2.gz QJXOQOIVUOMZQU-NSHDSACASA-N -1 1 323.780 1.514 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cccc(C)c3Cl)nc2n1 ZINC000643909522 423402121 /nfs/dbraw/zinc/40/21/21/423402121.db2.gz FBPDCIZRNPEWMX-UHFFFAOYSA-N -1 1 317.736 1.940 20 0 DDADMM CCn1c2ccccc2n(CC(=O)Nc2c(C)[n-][nH]c2=O)c1=O ZINC000646409709 423478704 /nfs/dbraw/zinc/47/87/04/423478704.db2.gz TZKSOMZKWPHDNJ-UHFFFAOYSA-N -1 1 315.333 1.199 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@H]3C[C@H]3C3CCCC3)CC2)s[n-]1 ZINC000651467560 423554398 /nfs/dbraw/zinc/55/43/98/423554398.db2.gz OAOKIDXPRPDGJT-RYUDHWBXSA-N -1 1 321.450 1.426 20 0 DDADMM CO[C@@H](CC(=O)NC1(c2nn[n-]n2)CCCC1)C(F)(F)F ZINC000651353817 423504472 /nfs/dbraw/zinc/50/44/72/423504472.db2.gz XWZKBNSWNCQVQS-ZETCQYMHSA-N -1 1 307.276 1.053 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@]1(C)CC1(F)F)c1ccco1 ZINC000641364994 423584344 /nfs/dbraw/zinc/58/43/44/423584344.db2.gz KSIRZHHAYBKLPE-KOLCDFICSA-N -1 1 309.334 1.932 20 0 DDADMM NC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C1 ZINC000651836815 423704051 /nfs/dbraw/zinc/70/40/51/423704051.db2.gz HOBWHHSRLRZNAV-KNVOCYPGSA-N -1 1 306.746 1.021 20 0 DDADMM COCC1(CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CC1 ZINC000649210112 423680024 /nfs/dbraw/zinc/68/00/24/423680024.db2.gz LZEZCZVGNHZEPM-ZDUSSCGKSA-N -1 1 301.350 1.222 20 0 DDADMM CN(C)c1ccc(CN2CCC(c3n[n-]c(=N)o3)CC2)cn1 ZINC000639725428 423692152 /nfs/dbraw/zinc/69/21/52/423692152.db2.gz XMRBIEXJNHYMBW-UHFFFAOYSA-N -1 1 302.382 1.323 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639826597 423761371 /nfs/dbraw/zinc/76/13/71/423761371.db2.gz ULORMFYODSJCBQ-QWHCGFSZSA-N -1 1 321.425 1.453 20 0 DDADMM COc1c(C)cnc(CN2CCC[C@@H](c3n[n-]c(=N)o3)C2)c1C ZINC000639824759 423765829 /nfs/dbraw/zinc/76/58/29/423765829.db2.gz KAWVWTKNAKEVFE-GFCCVEGCSA-N -1 1 317.393 1.882 20 0 DDADMM O=C([O-])[C@H]1CN(C(=O)c2c(O)cc(Cl)cc2Cl)CCO1 ZINC000647219546 423880372 /nfs/dbraw/zinc/88/03/72/423880372.db2.gz MFBRAMURQFJQSJ-SECBINFHSA-N -1 1 320.128 1.625 20 0 DDADMM CC(=O)NCc1ccc(S(=O)(=O)[N-][C@H](C)C(F)F)s1 ZINC000641700877 423881487 /nfs/dbraw/zinc/88/14/87/423881487.db2.gz BXDGCRMYQLXHHW-ZCFIWIBFSA-N -1 1 312.363 1.316 20 0 DDADMM CSc1nc(CNc2nn3cc(C)nc3s2)cc(=O)[n-]1 ZINC000641657363 423826604 /nfs/dbraw/zinc/82/66/04/423826604.db2.gz OQXMYIMDALMEJI-UHFFFAOYSA-N -1 1 308.392 1.351 20 0 DDADMM CC(C)(C)n1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000654807121 423927039 /nfs/dbraw/zinc/92/70/39/423927039.db2.gz VYYURFNYELKKSU-UHFFFAOYSA-N -1 1 303.370 1.351 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000647368887 424003326 /nfs/dbraw/zinc/00/33/26/424003326.db2.gz RGWUNYQRXJYDQJ-CHWSQXEVSA-N -1 1 320.389 1.985 20 0 DDADMM CC(=O)C(C)(C)CCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644883887 424012123 /nfs/dbraw/zinc/01/21/23/424012123.db2.gz GTYAQRRYGHXSBZ-NSHDSACASA-N -1 1 309.366 1.877 20 0 DDADMM Cc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(C)nn1 ZINC000644886829 424018205 /nfs/dbraw/zinc/01/82/05/424018205.db2.gz FMWFELZQRGHSBL-UHFFFAOYSA-N -1 1 303.322 1.202 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)CC1CC1)c1ccc(F)c(F)c1F ZINC000657181750 424188978 /nfs/dbraw/zinc/18/89/78/424188978.db2.gz BCXZRKBDKFCUEZ-VIFPVBQESA-N -1 1 323.336 1.791 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H](O)C12CCC2)c1sccc1Cl ZINC000657189063 424201371 /nfs/dbraw/zinc/20/13/71/424201371.db2.gz TXNWMMOTIBJIKQ-RKDXNWHRSA-N -1 1 307.824 1.983 20 0 DDADMM O=C([O-])COc1ccc(C(=O)NCCN2CC=CCC2)cc1 ZINC000659759851 424227434 /nfs/dbraw/zinc/22/74/34/424227434.db2.gz GEIAULXUNKMMJC-UHFFFAOYSA-N -1 1 304.346 1.142 20 0 DDADMM COC[C@@H](CC(C)(C)C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000655305331 424384314 /nfs/dbraw/zinc/38/43/14/424384314.db2.gz PGAXQMHBUOKCAB-MRVPVSSYSA-N -1 1 313.354 1.077 20 0 DDADMM C[C@@H](O)[C@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CCO1 ZINC000640336892 424357209 /nfs/dbraw/zinc/35/72/09/424357209.db2.gz DABXDEWWPQYGFB-MWLCHTKSSA-N -1 1 307.375 1.332 20 0 DDADMM CN(C)C(=O)[C@@H]1CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640338178 424359233 /nfs/dbraw/zinc/35/92/33/424359233.db2.gz RXNODYNDQMLPHN-SNVBAGLBSA-N -1 1 318.402 1.660 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)C[C@H](CO)O1 ZINC000640338707 424359535 /nfs/dbraw/zinc/35/95/35/424359535.db2.gz GIAMXEQCGSYGDA-NXEZZACHSA-N -1 1 307.375 1.332 20 0 DDADMM C[C@@H]1C(=O)N[C@@H](C)[C@H](C)N1C(=O)N=c1[n-]sc2ccccc21 ZINC000640338438 424361336 /nfs/dbraw/zinc/36/13/36/424361336.db2.gz XLPANIBDMSWSNN-LPEHRKFASA-N -1 1 318.402 1.847 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CCN(C2CC2)C(=O)C1 ZINC000640340103 424363372 /nfs/dbraw/zinc/36/33/72/424363372.db2.gz OFIAZZHOVYEWGE-UHFFFAOYSA-N -1 1 316.386 1.557 20 0 DDADMM CC(C)CCc1noc(CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)n1 ZINC000662219845 424489659 /nfs/dbraw/zinc/48/96/59/424489659.db2.gz LQSURIGXIPAGPT-IAQYHMDHSA-N -1 1 309.366 1.191 20 0 DDADMM Cc1ccccc1C(F)(F)C[N-]S(=O)(=O)N1CCOCC1 ZINC000660056922 424490211 /nfs/dbraw/zinc/49/02/11/424490211.db2.gz QCUNYSIKHXGLAF-UHFFFAOYSA-N -1 1 320.361 1.253 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2cccc(C)c2F)on1 ZINC000660014187 424458655 /nfs/dbraw/zinc/45/86/55/424458655.db2.gz WOTDNAQHZBVAPI-UHFFFAOYSA-N -1 1 300.311 1.609 20 0 DDADMM COc1ccccc1[C@H]1CCN([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662206718 424473900 /nfs/dbraw/zinc/47/39/00/424473900.db2.gz SBHSUVFOUHGDRU-JSGCOSHPSA-N -1 1 318.373 1.170 20 0 DDADMM C[C@@H](CNC(=O)NCCN(C)Cc1ccc(F)cc1)C(=O)[O-] ZINC000655872177 424688598 /nfs/dbraw/zinc/68/85/98/424688598.db2.gz LOFUBKDMRHYMSJ-NSHDSACASA-N -1 1 311.357 1.277 20 0 DDADMM O=C1N(Cc2nc(-c3ccc([O-])c(F)c3)no2)CCN1C1CC1 ZINC000664850337 424709735 /nfs/dbraw/zinc/70/97/35/424709735.db2.gz MBBHRJRXBTZPOK-UHFFFAOYSA-N -1 1 318.308 1.981 20 0 DDADMM COC(=O)COc1cccc(NC(=O)c2ccc(O)cc2[O-])c1 ZINC000322118708 271030036 /nfs/dbraw/zinc/03/00/36/271030036.db2.gz QRNRZFFKIQMNPK-UHFFFAOYSA-N -1 1 317.297 1.902 20 0 DDADMM Cn1cc(OCC(=O)N=c2cc(-c3cccs3)[n-][nH]2)cn1 ZINC000337645092 271038203 /nfs/dbraw/zinc/03/82/03/271038203.db2.gz GYTBVYVWGODPLS-UHFFFAOYSA-N -1 1 303.347 1.311 20 0 DDADMM Cn1nncc1C[N-]S(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000341900035 271239404 /nfs/dbraw/zinc/23/94/04/271239404.db2.gz PJVKLGWRKCZFIG-UHFFFAOYSA-N -1 1 322.724 1.225 20 0 DDADMM CC[N@@H+](C)[C@H](C(=O)NCc1cnc(C)[nH]c1=O)c1ccccc1 ZINC000345103222 272172303 /nfs/dbraw/zinc/17/23/03/272172303.db2.gz HSISDLKBZJMUBT-HNNXBMFYSA-N -1 1 314.389 1.800 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCC(F)(F)C1 ZINC000345620983 272292465 /nfs/dbraw/zinc/29/24/65/272292465.db2.gz ISIXNRCGXKPPGH-UHFFFAOYSA-N -1 1 324.331 1.852 20 0 DDADMM CC(C)N(CC(N)=O)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000182005587 277564636 /nfs/dbraw/zinc/56/46/36/277564636.db2.gz LIBJEHJUCNGUAQ-UHFFFAOYSA-N -1 1 304.268 1.747 20 0 DDADMM CCS(=O)(=O)NCCCSc1nc(C)c(C)c(=O)[n-]1 ZINC000330713015 280021868 /nfs/dbraw/zinc/02/18/68/280021868.db2.gz RKNAEJPPOKGEOO-UHFFFAOYSA-N -1 1 305.425 1.221 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1C2)N(C)C ZINC000408505284 280176584 /nfs/dbraw/zinc/17/65/84/280176584.db2.gz YVQHOIGBAJOYPI-YVECIDJPSA-N -1 1 302.440 1.207 20 0 DDADMM CCC[C@H](NC(=O)c1cnc([C@H]2CCCO2)s1)c1nn[n-]n1 ZINC000090061027 281035297 /nfs/dbraw/zinc/03/52/97/281035297.db2.gz CTSIKTOVIUOAFE-DTWKUNHWSA-N -1 1 322.394 1.779 20 0 DDADMM NC(=O)c1ccn(-c2ccccc2NC(=O)c2ccccc2[O-])n1 ZINC000121153536 281132437 /nfs/dbraw/zinc/13/24/37/281132437.db2.gz HAFSZOSDOBAZAJ-UHFFFAOYSA-N -1 1 322.324 1.929 20 0 DDADMM O=C(NCc1ccc(O)c(F)c1)c1nc2ccccc2c(=O)[n-]1 ZINC000121445959 281138621 /nfs/dbraw/zinc/13/86/21/281138621.db2.gz ASAMDZXKJPTRCL-UHFFFAOYSA-N -1 1 313.288 1.698 20 0 DDADMM CC[C@H](SC)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000122867023 281163214 /nfs/dbraw/zinc/16/32/14/281163214.db2.gz INGAEMLDOGSFBX-NSHDSACASA-N -1 1 308.407 1.397 20 0 DDADMM C[C@H](Cn1ncc2ccccc2c1=O)NC(=O)c1ncccc1[O-] ZINC000135225281 281580623 /nfs/dbraw/zinc/58/06/23/281580623.db2.gz VKEGHZZEAADJPW-LLVKDONJSA-N -1 1 324.340 1.316 20 0 DDADMM C[C@@H]1OCC[C@@]12CN(C(=O)c1c(F)ccc([O-])c1F)CCO2 ZINC000289330319 288903339 /nfs/dbraw/zinc/90/33/39/288903339.db2.gz MBTDROBQJLJZDT-BJOHPYRUSA-N -1 1 313.300 1.690 20 0 DDADMM C[C@@H]1CSCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000287644017 297174304 /nfs/dbraw/zinc/17/43/04/297174304.db2.gz OVACAEVXQWNCGH-JCROAMGPSA-N -1 1 305.407 1.559 20 0 DDADMM C[C@H]1CCC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000354245263 298379943 /nfs/dbraw/zinc/37/99/43/298379943.db2.gz BSVJPPILEBCRLK-NWDGAFQWSA-N -1 1 305.378 1.602 20 0 DDADMM O=C(c1ccc2ccccc2c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363395001 300262362 /nfs/dbraw/zinc/26/23/62/300262362.db2.gz GYIFOMQQZQVVKR-AWEZNQCLSA-N -1 1 309.329 1.567 20 0 DDADMM c1ccc(-c2nc(=NC[C@@H]3CN4CCCC[C@H]4CO3)[n-]o2)cc1 ZINC000364278613 300367372 /nfs/dbraw/zinc/36/73/72/300367372.db2.gz JGMOBCVHKPYYHJ-LSDHHAIUSA-N -1 1 314.389 1.824 20 0 DDADMM CN1CCC[C@]2(CCN(C(=O)c3ccc([O-])cc3F)C2)C1=O ZINC000369883715 301234470 /nfs/dbraw/zinc/23/44/70/301234470.db2.gz LQNLJKGSFJDFCS-MRXNPFEDSA-N -1 1 306.337 1.616 20 0 DDADMM Cc1noc(C)c1CO[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000369892994 301235778 /nfs/dbraw/zinc/23/57/78/301235778.db2.gz NAOCZDMTGQMQIE-GFCCVEGCSA-N -1 1 317.345 1.823 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)N[C@H]1CCOc2c(C)cccc21 ZINC000377888585 302247333 /nfs/dbraw/zinc/24/73/33/302247333.db2.gz KMNNEXKDXIFGPS-NSHDSACASA-N -1 1 323.374 1.506 20 0 DDADMM CO[C@@H]1CN(c2ccc(=N[C@H](C)c3ccccc3)[n-]n2)C[C@H]1O ZINC000517925706 302820607 /nfs/dbraw/zinc/82/06/07/302820607.db2.gz UNRIQMNQHDTPSX-BPLDGKMQSA-N -1 1 314.389 1.268 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCSC[C@H]1C1CCC1 ZINC000333304108 302865336 /nfs/dbraw/zinc/86/53/36/302865336.db2.gz JGDPNVXYIHLJDO-JTQLQIEISA-N -1 1 317.436 1.302 20 0 DDADMM O=c1nc(NC[C@@H]2CC[N@H+]2C2CCCCC2)nc2[nH][n-]cc1-2 ZINC000528117064 303005105 /nfs/dbraw/zinc/00/51/05/303005105.db2.gz XSCHAWDJYUNSJC-NSHDSACASA-N -1 1 302.382 1.251 20 0 DDADMM C[C@]1(Br)C[C@H]1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000528882135 303069240 /nfs/dbraw/zinc/06/92/40/303069240.db2.gz KHJBSIQKSADURV-LAEOZQHASA-N -1 1 314.187 1.079 20 0 DDADMM CO[C@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000528883113 303069596 /nfs/dbraw/zinc/06/95/96/303069596.db2.gz OJNNSJVWQAOTQO-AAEUAGOBSA-N -1 1 319.340 1.433 20 0 DDADMM C[C@@]1(CNC(=O)c2cncc([O-])c2)COc2ccccc2O1 ZINC000529615373 303141909 /nfs/dbraw/zinc/14/19/09/303141909.db2.gz OVQWLEVEEXVCPX-MRXNPFEDSA-N -1 1 300.314 1.747 20 0 DDADMM O=C(NC[C@@H](O)[C@@H]1CCCO1)c1cc2ccccc2cc1[O-] ZINC000529760621 303150971 /nfs/dbraw/zinc/15/09/71/303150971.db2.gz DKRMQNKQBHWDTR-CVEARBPZSA-N -1 1 301.342 1.815 20 0 DDADMM O=C(c1cccc(-c2nc[nH]n2)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538222777 303383246 /nfs/dbraw/zinc/38/32/46/303383246.db2.gz AKDSVCXHBSQHSP-LBPRGKRZSA-N -1 1 324.348 1.005 20 0 DDADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)CC1(C)CCCCC1 ZINC000562797718 303894453 /nfs/dbraw/zinc/89/44/53/303894453.db2.gz WDJDSCHVVZKBGS-UHFFFAOYSA-N -1 1 320.455 1.235 20 0 DDADMM CC(C)(C)CC[C@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000358675894 306947572 /nfs/dbraw/zinc/94/75/72/306947572.db2.gz CWQRWYWOFJZWRQ-LLVKDONJSA-N -1 1 317.389 1.840 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1c(F)cccc1Cl)C1CC1 ZINC000362129942 307014792 /nfs/dbraw/zinc/01/47/92/307014792.db2.gz OYQCDWNXEQIVAR-LBPRGKRZSA-N -1 1 307.774 1.918 20 0 DDADMM O=C(c1ncccc1[O-])N1CCc2[nH]nc(C(F)(F)F)c2C1 ZINC000365384884 307066312 /nfs/dbraw/zinc/06/63/12/307066312.db2.gz JZZGKAUUNFXFNQ-UHFFFAOYSA-N -1 1 312.251 1.728 20 0 DDADMM CN(C)[C@@]1(C(=O)[N-]O[C@@H]2CCCCO2)CCc2ccccc21 ZINC000369175906 307117326 /nfs/dbraw/zinc/11/73/26/307117326.db2.gz GCICPRVHEQPHBN-WBVHZDCISA-N -1 1 304.390 1.964 20 0 DDADMM Cc1cccc(C2(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)CC2)c1 ZINC000371298664 307146782 /nfs/dbraw/zinc/14/67/82/307146782.db2.gz YGHXNLPAMNAHRA-CYBMUJFWSA-N -1 1 311.389 1.946 20 0 DDADMM COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)c1cc(F)ccc1[O-] ZINC000371523850 307152560 /nfs/dbraw/zinc/15/25/60/307152560.db2.gz DVGVOMFQVJIPDX-PWSUYJOCSA-N -1 1 311.309 1.324 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC(c2ccccn2)CC1 ZINC000377890185 307278425 /nfs/dbraw/zinc/27/84/25/307278425.db2.gz SRPUICOODCIVLL-UHFFFAOYSA-N -1 1 322.390 1.359 20 0 DDADMM COCCO[N-]C(=O)[C@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000496466834 307344225 /nfs/dbraw/zinc/34/42/25/307344225.db2.gz BVEMGLNSFXFQAC-CQSZACIVSA-N -1 1 302.371 1.328 20 0 DDADMM Cn1cc(C[C@@H](CO)CNC(=O)c2cc(Cl)ccc2[O-])cn1 ZINC000549847534 307797036 /nfs/dbraw/zinc/79/70/36/307797036.db2.gz SHZFAJMZFQABRT-LLVKDONJSA-N -1 1 323.780 1.360 20 0 DDADMM O=C([O-])[C@H](C1CC1)N1C[C@H]2Oc3c(F)ccc(F)c3O[C@H]2C1 ZINC000565102950 308020250 /nfs/dbraw/zinc/02/02/50/308020250.db2.gz CTMCIZBOTRXTEZ-TUAOUCFPSA-N -1 1 311.284 1.652 20 0 DDADMM C[C@@H]1CN(Cc2nnnn2-c2ccccc2)C[C@@]1(C)C(=O)[O-] ZINC000565110239 308021420 /nfs/dbraw/zinc/02/14/20/308021420.db2.gz COENXBWYKWJQIT-IAQYHMDHSA-N -1 1 301.350 1.205 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cc(C)cc3c[nH]nc32)[C@H](C(=O)[O-])C1 ZINC000566506095 308055982 /nfs/dbraw/zinc/05/59/82/308055982.db2.gz OCTOMWLHYYDXKN-YPMHNXCESA-N -1 1 317.345 1.576 20 0 DDADMM O=C(c1ccnc(OC(F)F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000567014652 308068554 /nfs/dbraw/zinc/06/85/54/308068554.db2.gz ZYYXTCUVGYKWKT-SECBINFHSA-N -1 1 324.291 1.216 20 0 DDADMM Cn1cc([C@H]2CN(C(=O)c3cncc([O-])c3)CC2(C)C)cn1 ZINC000569707486 308147437 /nfs/dbraw/zinc/14/74/37/308147437.db2.gz CXDYLESELMVWNU-CQSZACIVSA-N -1 1 300.362 1.787 20 0 DDADMM CCN1C[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)CC1=O ZINC000569900416 308153363 /nfs/dbraw/zinc/15/33/63/308153363.db2.gz FKPCGUAUUOZMFX-LLVKDONJSA-N -1 1 308.359 1.332 20 0 DDADMM COc1ccc(-c2noc(=NCCN3CCCOCC3)[n-]2)cc1 ZINC000570641707 308174847 /nfs/dbraw/zinc/17/48/47/308174847.db2.gz CCPMXJSVCADYTB-UHFFFAOYSA-N -1 1 318.377 1.301 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCCC2CC(O)C2)[n-]n1 ZINC000576689387 308332326 /nfs/dbraw/zinc/33/23/26/308332326.db2.gz ZEIKUKJXLDRUJD-UHFFFAOYSA-N -1 1 304.394 1.144 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2NCCc3ccccc32)C[C@H]1C(=O)[O-] ZINC000577388741 308385081 /nfs/dbraw/zinc/38/50/81/308385081.db2.gz MFSNCYOZKXMBPX-DFBGVHRSSA-N -1 1 302.374 1.443 20 0 DDADMM C[C@@H]1CN(c2ccc(NC(=O)c3cnncc3[O-])cc2)CCO1 ZINC001120648217 782070389 /nfs/dbraw/zinc/07/03/89/782070389.db2.gz ISCNJXZYUDLOKR-LLVKDONJSA-N -1 1 314.345 1.660 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)C2CC2)c(OCC(F)F)c1 ZINC000357337399 325725375 /nfs/dbraw/zinc/72/53/75/325725375.db2.gz HMWNYNCBURLTAS-UHFFFAOYSA-N -1 1 320.317 1.334 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)N=c1ccc(OC(C)C)n[n-]1 ZINC000582529657 337105394 /nfs/dbraw/zinc/10/53/94/337105394.db2.gz AMGOFXPWUWCUMA-LBPRGKRZSA-N -1 1 307.398 1.244 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1CC(=O)Nc1ccc2c(c1)OCO2 ZINC000397510235 337269429 /nfs/dbraw/zinc/26/94/29/337269429.db2.gz WZYAKICAHMYAOS-GHMZBOCLSA-N -1 1 320.345 1.539 20 0 DDADMM CCC[C@@H]1CNCCN1C(=O)c1ccc(-c2nc(=O)o[n-]2)cc1 ZINC000583811763 337336364 /nfs/dbraw/zinc/33/63/64/337336364.db2.gz WDIOXHOSYKXKAI-CYBMUJFWSA-N -1 1 316.361 1.244 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc2c(c1)CCC2=O)C1CC1 ZINC000584470387 337345179 /nfs/dbraw/zinc/34/51/79/337345179.db2.gz WWHIBTOWKMFUDI-HNNXBMFYSA-N -1 1 309.387 1.982 20 0 DDADMM Cn1cnnc1N1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000656273042 484058796 /nfs/dbraw/zinc/05/87/96/484058796.db2.gz PIVIRSQNPLATGU-UHFFFAOYSA-N -1 1 307.785 1.496 20 0 DDADMM CCc1ccc(CCNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)cc1 ZINC000416167346 484083355 /nfs/dbraw/zinc/08/33/55/484083355.db2.gz RFLLBVGRWKRADX-UHFFFAOYSA-N -1 1 317.345 1.431 20 0 DDADMM Cc1nc(C(C)C)ccc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000293614714 484330668 /nfs/dbraw/zinc/33/06/68/484330668.db2.gz WSTBSUGZJMTSRM-JTQLQIEISA-N -1 1 302.382 1.902 20 0 DDADMM CCC[C@@H]1CN(C(=O)C2(C(=O)[O-])CCC2)CCN1CCOC ZINC000663062289 484637643 /nfs/dbraw/zinc/63/76/43/484637643.db2.gz TUZZWRDVRQIHME-CYBMUJFWSA-N -1 1 312.410 1.201 20 0 DDADMM COc1cccc([C@@H](CNC(=O)[C@H](C(=O)[O-])C2CC2)N(C)C)c1 ZINC000663074483 484646116 /nfs/dbraw/zinc/64/61/16/484646116.db2.gz BSQJTRKOEKGOFE-HUUCEWRRSA-N -1 1 320.389 1.525 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(=O)[nH]1 ZINC000670384181 484802054 /nfs/dbraw/zinc/80/20/54/484802054.db2.gz HECDGAQJXIRIMM-SNVBAGLBSA-N -1 1 318.333 1.512 20 0 DDADMM CN1C[C@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)CCC1=O ZINC000670417633 484819753 /nfs/dbraw/zinc/81/97/53/484819753.db2.gz RNMFWRKTQJNJPR-SECBINFHSA-N -1 1 316.279 1.762 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC2=CCCOC2)c(F)c1 ZINC000672427756 485299854 /nfs/dbraw/zinc/29/98/54/485299854.db2.gz SQHBWVQSXYGCDN-UHFFFAOYSA-N -1 1 319.329 1.598 20 0 DDADMM CC(C)N1CC[C@@H](NC(=O)c2ncc3ccccc3c2[O-])C1=O ZINC000668779042 485316996 /nfs/dbraw/zinc/31/69/96/485316996.db2.gz FQIXOJGCZTXEDZ-CYBMUJFWSA-N -1 1 313.357 1.680 20 0 DDADMM O=C(NC1CCN(C(=O)C2CC2)CC1)c1cc(F)ccc1[O-] ZINC000674324653 485598990 /nfs/dbraw/zinc/59/89/90/485598990.db2.gz NWNLTROUAFBSLM-UHFFFAOYSA-N -1 1 306.337 1.662 20 0 DDADMM O=C(Cc1cc2ccccc2o1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000678754733 485675202 /nfs/dbraw/zinc/67/52/02/485675202.db2.gz KKOIYMYFHDTJGH-LBPRGKRZSA-N -1 1 311.345 1.895 20 0 DDADMM CC[C@@H]1CC[C@@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000675037305 485845686 /nfs/dbraw/zinc/84/56/86/485845686.db2.gz PODWHYPGZGLDDP-FRRDWIJNSA-N -1 1 301.350 1.363 20 0 DDADMM C[C@@H](C[C@@H]1CCOC1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000675289901 485903459 /nfs/dbraw/zinc/90/34/59/485903459.db2.gz JCNPPBSTPAWAPF-QWRGUYRKSA-N -1 1 301.346 1.468 20 0 DDADMM CN(C)[C@@H](CNC(=O)N(C)CC(=O)[O-])c1ccc(Cl)cc1 ZINC000679567535 485924079 /nfs/dbraw/zinc/92/40/79/485924079.db2.gz BOLWYGGVVJDFIA-LBPRGKRZSA-N -1 1 313.785 1.669 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](C)C[C@H](C)O)c(F)c1 ZINC000679937597 486045089 /nfs/dbraw/zinc/04/50/89/486045089.db2.gz WBSOCHFHTPLKEN-YUMQZZPRSA-N -1 1 309.334 1.411 20 0 DDADMM Cc1ccc(-c2n[n-]c(S(=O)(=O)Cc3ncccn3)n2)cc1 ZINC000683686297 486081305 /nfs/dbraw/zinc/08/13/05/486081305.db2.gz LOGJXJSSDGYNSH-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM Cc1ccc(-c2nc(S(=O)(=O)Cc3ncccn3)n[n-]2)cc1 ZINC000683686297 486081312 /nfs/dbraw/zinc/08/13/12/486081312.db2.gz LOGJXJSSDGYNSH-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](CO)CC3CC3)cnc2n1 ZINC000683896348 486150726 /nfs/dbraw/zinc/15/07/26/486150726.db2.gz HKYPJNWGWZLKFL-NSHDSACASA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H](CO)CC3CC3)c[n-]c2n1 ZINC000683896348 486150734 /nfs/dbraw/zinc/15/07/34/486150734.db2.gz HKYPJNWGWZLKFL-NSHDSACASA-N -1 1 301.346 1.535 20 0 DDADMM O=C1CCC[C@@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)N1 ZINC000683953498 486181665 /nfs/dbraw/zinc/18/16/65/486181665.db2.gz VKMYSKHXAJUJNN-ZETCQYMHSA-N -1 1 322.308 1.051 20 0 DDADMM Cc1n[nH]c(C(=O)NC2CCN(Cc3ccccn3)CC2)c1[O-] ZINC000676695220 486291324 /nfs/dbraw/zinc/29/13/24/486291324.db2.gz TVZHSNAFOYCCRI-UHFFFAOYSA-N -1 1 315.377 1.213 20 0 DDADMM COCCNC(=O)C1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681015327 486323625 /nfs/dbraw/zinc/32/36/25/486323625.db2.gz ZJOIRIOLCAOKPG-UHFFFAOYSA-N -1 1 324.352 1.146 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)N(C)C(=O)c1ccc([O-])c(F)c1 ZINC000681046311 486330851 /nfs/dbraw/zinc/33/08/51/486330851.db2.gz HEBCVBZZMFWXNV-SECBINFHSA-N -1 1 303.355 1.427 20 0 DDADMM O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)c1ccc([O-])c(F)c1 ZINC000681052373 486332661 /nfs/dbraw/zinc/33/26/61/486332661.db2.gz WKXQGAIWZLEHER-CYBMUJFWSA-N -1 1 322.336 1.041 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC(O[C@@H]2CCOC2)CC1 ZINC000681052674 486332749 /nfs/dbraw/zinc/33/27/49/486332749.db2.gz CBCXHNNTMBMGTE-CYBMUJFWSA-N -1 1 309.337 1.941 20 0 DDADMM Cc1nnc([C@H]2CCCN(C(=O)c3ccc([O-])c(F)c3)C2)[nH]1 ZINC000681056251 486333783 /nfs/dbraw/zinc/33/37/83/486333783.db2.gz MIGBEQCVMMVNKB-NSHDSACASA-N -1 1 304.325 1.978 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CCCC[C@@H]2O)c(F)c1 ZINC000676846742 486345113 /nfs/dbraw/zinc/34/51/13/486345113.db2.gz PSFMZSDQAAFWFW-RYUDHWBXSA-N -1 1 321.345 1.555 20 0 DDADMM Cc1[nH]nc(C(F)(F)F)c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000681353002 486410322 /nfs/dbraw/zinc/41/03/22/486410322.db2.gz FOWLTWDOFVRPCZ-RXMQYKEDSA-N -1 1 317.275 1.126 20 0 DDADMM NC(=O)[C@@H]1CCCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000684870208 486449637 /nfs/dbraw/zinc/44/96/37/486449637.db2.gz SRANMIMDLVHHEX-SECBINFHSA-N -1 1 316.279 1.749 20 0 DDADMM CC[C@@H]1CN(C(=O)C2CC2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000681738451 486506983 /nfs/dbraw/zinc/50/69/83/486506983.db2.gz LKMUFNDUVPNAKW-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@]3(C2)C(=O)Nc2ccccc23)c([O-])c1 ZINC000681752375 486513749 /nfs/dbraw/zinc/51/37/49/486513749.db2.gz DYOLWJMZOKWAPT-GOSISDBHSA-N -1 1 323.352 1.832 20 0 DDADMM C[C@@H]1CN(C)CCN1C(=O)c1ccc(Br)cc1[O-] ZINC000225273477 490700786 /nfs/dbraw/zinc/70/07/86/490700786.db2.gz LSTGWGCVZQUMBP-SECBINFHSA-N -1 1 313.195 1.931 20 0 DDADMM COC(=O)c1cc(NC(=O)C2([NH+](C)C)CC2)c(O)c(OC)c1 ZINC001120788511 782143402 /nfs/dbraw/zinc/14/34/02/782143402.db2.gz LXXWOJCMURYYED-UHFFFAOYSA-N -1 1 308.334 1.220 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)c1ncn(C)n1 ZINC000444229574 533964373 /nfs/dbraw/zinc/96/43/73/533964373.db2.gz ZYPIEYOGMAYEQM-ZETCQYMHSA-N -1 1 318.761 1.647 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cccc1F)c1ccn(C)n1 ZINC000416554753 533964513 /nfs/dbraw/zinc/96/45/13/533964513.db2.gz DNNQWOLOTREXIZ-QMMMGPOBSA-N -1 1 301.318 1.738 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3CC[C@H](C(N)=O)C3)cnc2n1 ZINC000412410267 534400801 /nfs/dbraw/zinc/40/08/01/534400801.db2.gz UNKOKEDIZYFGBK-VHSXEESVSA-N -1 1 314.345 1.028 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1CC[C@H](C(N)=O)C1)c2=O ZINC000412410267 534400806 /nfs/dbraw/zinc/40/08/06/534400806.db2.gz UNKOKEDIZYFGBK-VHSXEESVSA-N -1 1 314.345 1.028 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H]2Cc2cc(F)ccc2F)nc1=O ZINC000419296709 534664932 /nfs/dbraw/zinc/66/49/32/534664932.db2.gz FEHXFMJTSQFQEA-LBPRGKRZSA-N -1 1 308.332 1.594 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@](C)(C(=O)[N-]O[C@@H]2CCOC2)C1 ZINC000495158953 526418166 /nfs/dbraw/zinc/41/81/66/526418166.db2.gz YMNWADCNOCIHGZ-IAQYHMDHSA-N -1 1 314.382 1.470 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccccc1CC(=O)Nc1nnn[n-]1 ZINC000494153799 526497111 /nfs/dbraw/zinc/49/71/11/526497111.db2.gz HQKSIQFMSUHGMR-UHFFFAOYSA-N -1 1 318.337 1.728 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccccc1CC(=O)Nc1nn[n-]n1 ZINC000494153799 526497114 /nfs/dbraw/zinc/49/71/14/526497114.db2.gz HQKSIQFMSUHGMR-UHFFFAOYSA-N -1 1 318.337 1.728 20 0 DDADMM CC(C)(C)[C@@H]1CCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000412805242 526667107 /nfs/dbraw/zinc/66/71/07/526667107.db2.gz DGJQOPPYRJIVCY-NXEZZACHSA-N -1 1 303.366 1.362 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)CCc2cncs2)n[n-]1 ZINC000414218171 526874427 /nfs/dbraw/zinc/87/44/27/526874427.db2.gz DILTWTAMLNFCFP-UHFFFAOYSA-N -1 1 300.409 1.575 20 0 DDADMM CC(C)(CCN=c1nc(C(F)(F)F)[n-]s1)S(C)(=O)=O ZINC000413518793 527032182 /nfs/dbraw/zinc/03/21/82/527032182.db2.gz JNRXRCYQZXCDMC-UHFFFAOYSA-N -1 1 317.358 1.604 20 0 DDADMM CCNC(=O)CN(CC)C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000298174577 528080005 /nfs/dbraw/zinc/08/00/05/528080005.db2.gz USYCXQCOTQHZED-UHFFFAOYSA-N -1 1 322.409 1.386 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC(C)(C)OCC)o1 ZINC000444281366 528243309 /nfs/dbraw/zinc/24/33/09/528243309.db2.gz LSIRXYZNFSIUMA-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM CCCc1nc(=NC(=O)N2CCN(C)C[C@H]2C(C)C)s[n-]1 ZINC000330850455 528687990 /nfs/dbraw/zinc/68/79/90/528687990.db2.gz NDGILUJIVRNWSL-NSHDSACASA-N -1 1 311.455 1.716 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1nccn1C ZINC000413986758 528819442 /nfs/dbraw/zinc/81/94/42/528819442.db2.gz WFHZBFPNEHTYJX-UHFFFAOYSA-N -1 1 322.390 1.824 20 0 DDADMM CCOC[C@H](C)[N-]S(=O)(=O)c1ccc(C(=O)OCC)o1 ZINC000494317612 528977493 /nfs/dbraw/zinc/97/74/93/528977493.db2.gz WNBMEANAHVZXBO-VIFPVBQESA-N -1 1 305.352 1.160 20 0 DDADMM CCOC[C@H]1CCCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000414408571 529014354 /nfs/dbraw/zinc/01/43/54/529014354.db2.gz YUIZWYFZNGAAGQ-GFCCVEGCSA-N -1 1 315.373 1.954 20 0 DDADMM CC[C@H](C)[C@@H](CNC(=O)c1c[n-]c2c(cnn2C)c1=O)OC ZINC000452411722 529212409 /nfs/dbraw/zinc/21/24/09/529212409.db2.gz MJGFMXKMFDTBEQ-JOYOIKCWSA-N -1 1 306.366 1.465 20 0 DDADMM Fc1cccc(C2(CNc3nccnc3-c3nnn[n-]3)CC2)c1 ZINC000737667923 598855648 /nfs/dbraw/zinc/85/56/48/598855648.db2.gz RWTPZAZODBAIST-UHFFFAOYSA-N -1 1 311.324 1.940 20 0 DDADMM Fc1cccc(C2(CNc3nccnc3-c3nn[n-]n3)CC2)c1 ZINC000737667923 598855650 /nfs/dbraw/zinc/85/56/50/598855650.db2.gz RWTPZAZODBAIST-UHFFFAOYSA-N -1 1 311.324 1.940 20 0 DDADMM O[C@@H](CNc1cccc(-c2nnn[n-]2)n1)c1c(F)cccc1F ZINC000738383909 598975906 /nfs/dbraw/zinc/97/59/06/598975906.db2.gz RHNBHCVAHBOBJR-NSHDSACASA-N -1 1 318.287 1.685 20 0 DDADMM O[C@@H](CNc1cccc(-c2nn[n-]n2)n1)c1c(F)cccc1F ZINC000738383909 598975908 /nfs/dbraw/zinc/97/59/08/598975908.db2.gz RHNBHCVAHBOBJR-NSHDSACASA-N -1 1 318.287 1.685 20 0 DDADMM O=C(Nc1ccc2c(c1)OCCO2)c1ccc(-c2nnn[n-]2)nc1 ZINC000738175487 598978779 /nfs/dbraw/zinc/97/87/79/598978779.db2.gz NQXOSMLFBKJOHV-UHFFFAOYSA-N -1 1 324.300 1.285 20 0 DDADMM O=C(Nc1ccc2c(c1)OCCO2)c1ccc(-c2nn[n-]n2)nc1 ZINC000738175487 598978780 /nfs/dbraw/zinc/97/87/80/598978780.db2.gz NQXOSMLFBKJOHV-UHFFFAOYSA-N -1 1 324.300 1.285 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@@](F)(C(=O)[O-])C2)c1Br ZINC000832990366 601652281 /nfs/dbraw/zinc/65/22/81/601652281.db2.gz YPINAIZFUCOULG-JTQLQIEISA-N -1 1 320.118 1.119 20 0 DDADMM Cc1ncc2c(n1)CCC[C@@H]2Nc1nccnc1-c1nnn[n-]1 ZINC000737501471 599171991 /nfs/dbraw/zinc/17/19/91/599171991.db2.gz RHTZGINLNZDPPX-NSHDSACASA-N -1 1 309.337 1.245 20 0 DDADMM Cc1ncc2c(n1)CCC[C@@H]2Nc1nccnc1-c1nn[n-]n1 ZINC000737501471 599171994 /nfs/dbraw/zinc/17/19/94/599171994.db2.gz RHTZGINLNZDPPX-NSHDSACASA-N -1 1 309.337 1.245 20 0 DDADMM O=C(O[C@@H]1Cc2ccccc2C1=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000823397215 607262476 /nfs/dbraw/zinc/26/24/76/607262476.db2.gz SRJKJWYTVLZJCX-CYBMUJFWSA-N -1 1 321.296 1.226 20 0 DDADMM O=C(O[C@@H]1Cc2ccccc2C1=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000823397215 607262477 /nfs/dbraw/zinc/26/24/77/607262477.db2.gz SRJKJWYTVLZJCX-CYBMUJFWSA-N -1 1 321.296 1.226 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CCc2ccccc2C1 ZINC000262296963 597006282 /nfs/dbraw/zinc/00/62/82/597006282.db2.gz ZZJABTXBSDRAIT-ZDUSSCGKSA-N -1 1 316.401 1.756 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000818373821 597093574 /nfs/dbraw/zinc/09/35/74/597093574.db2.gz BZWDLORMFQSINR-JKSUJKDBSA-N -1 1 318.417 1.873 20 0 DDADMM CN(C)[C@H](CNC(=O)CSCC(=O)[O-])c1ccsc1 ZINC000739603287 597097269 /nfs/dbraw/zinc/09/72/69/597097269.db2.gz SNVODGSVLJDAPY-SNVBAGLBSA-N -1 1 302.421 1.285 20 0 DDADMM CN(C)[C@@H](CNC(=O)NCCC(=O)[O-])c1ccc(Cl)cc1 ZINC000818375152 597427878 /nfs/dbraw/zinc/42/78/78/597427878.db2.gz VXNCXTUQICSLGR-LBPRGKRZSA-N -1 1 313.785 1.717 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@H](C(=O)[O-])C2)c1Br ZINC000769512257 597501108 /nfs/dbraw/zinc/50/11/08/597501108.db2.gz YZWOUPLJHGAWOO-LURJTMIESA-N -1 1 302.128 1.027 20 0 DDADMM O=C([O-])CNC(=O)CN(C1CC1)[C@H]1CCCc2ccccc21 ZINC000821530099 597793868 /nfs/dbraw/zinc/79/38/68/597793868.db2.gz YJFDITOEMRPYOA-HNNXBMFYSA-N -1 1 302.374 1.729 20 0 DDADMM CN(CC(=O)N[C@H](Cc1ccccc1)C(=O)[O-])[C@@H]1CCSC1 ZINC000820562196 598089127 /nfs/dbraw/zinc/08/91/27/598089127.db2.gz AVOLJVOUCYDKKZ-ZIAGYGMSSA-N -1 1 322.430 1.236 20 0 DDADMM CCc1ccc(CN(C)C(=O)CN[C@@H](C(=O)[O-])C(C)C)cc1 ZINC000820479895 598188954 /nfs/dbraw/zinc/18/89/54/598188954.db2.gz FZBLOWASVLXKTI-MRXNPFEDSA-N -1 1 306.406 1.906 20 0 DDADMM Cc1cccc(NC(=O)NC(=O)CN[C@@H](C(=O)[O-])C(C)C)c1C ZINC000821116802 598189377 /nfs/dbraw/zinc/18/93/77/598189377.db2.gz RZBWBXAKRJDXMW-CQSZACIVSA-N -1 1 321.377 1.650 20 0 DDADMM C[C@H](CC(=O)[O-])NCc1nnc2n1CCC[C@@H]2C(F)(F)F ZINC000820089027 598216352 /nfs/dbraw/zinc/21/63/52/598216352.db2.gz JTRDPWOWJPCDSQ-SFYZADRCSA-N -1 1 306.288 1.671 20 0 DDADMM C[C@@H]1CCCC[C@H]1NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736273814 598258824 /nfs/dbraw/zinc/25/88/24/598258824.db2.gz RWWJKNFPOFYRAY-MWLCHTKSSA-N -1 1 322.394 1.119 20 0 DDADMM C[C@@H]1CCCC[C@H]1NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736273814 598258825 /nfs/dbraw/zinc/25/88/25/598258825.db2.gz RWWJKNFPOFYRAY-MWLCHTKSSA-N -1 1 322.394 1.119 20 0 DDADMM Cc1cnn([C@H]2CCCN(c3nccnc3-c3nnn[n-]3)C2)c1 ZINC000737443935 598339527 /nfs/dbraw/zinc/33/95/27/598339527.db2.gz JNZDUNMZYZONQO-NSHDSACASA-N -1 1 311.353 1.003 20 0 DDADMM Cc1cnn([C@H]2CCCN(c3nccnc3-c3nn[n-]n3)C2)c1 ZINC000737443935 598339530 /nfs/dbraw/zinc/33/95/30/598339530.db2.gz JNZDUNMZYZONQO-NSHDSACASA-N -1 1 311.353 1.003 20 0 DDADMM CN(Cc1nc2ccccc2[nH]1)c1nccnc1-c1nnn[n-]1 ZINC000736831721 598341416 /nfs/dbraw/zinc/34/14/16/598341416.db2.gz RSQFPKYIOFIJMJ-UHFFFAOYSA-N -1 1 307.321 1.169 20 0 DDADMM CN(Cc1nc2ccccc2[nH]1)c1nccnc1-c1nn[n-]n1 ZINC000736831721 598341418 /nfs/dbraw/zinc/34/14/18/598341418.db2.gz RSQFPKYIOFIJMJ-UHFFFAOYSA-N -1 1 307.321 1.169 20 0 DDADMM Cc1cccc(OCCCNc2cnc(-c3nnn[n-]3)cn2)c1 ZINC000737411826 598385611 /nfs/dbraw/zinc/38/56/11/598385611.db2.gz PVGBYFQDVNZAHF-UHFFFAOYSA-N -1 1 311.349 1.846 20 0 DDADMM Cc1cccc(OCCCNc2cnc(-c3nn[n-]n3)cn2)c1 ZINC000737411826 598385612 /nfs/dbraw/zinc/38/56/12/598385612.db2.gz PVGBYFQDVNZAHF-UHFFFAOYSA-N -1 1 311.349 1.846 20 0 DDADMM COCCN(CCOC)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736994801 598591154 /nfs/dbraw/zinc/59/11/54/598591154.db2.gz MIRGAZHTKXKPMQ-UHFFFAOYSA-N -1 1 312.761 1.014 20 0 DDADMM COCCN(CCOC)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736994801 598591156 /nfs/dbraw/zinc/59/11/56/598591156.db2.gz MIRGAZHTKXKPMQ-UHFFFAOYSA-N -1 1 312.761 1.014 20 0 DDADMM Fc1cccc(CCNc2nccnc2-c2nnn[n-]2)c1F ZINC000737661001 598774388 /nfs/dbraw/zinc/77/43/88/598774388.db2.gz MGUWMEGCKULLQL-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM Fc1cccc(CCNc2nccnc2-c2nn[n-]n2)c1F ZINC000737661001 598774390 /nfs/dbraw/zinc/77/43/90/598774390.db2.gz MGUWMEGCKULLQL-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM O[C@@H]1CCC[C@H]1CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000738388836 599324977 /nfs/dbraw/zinc/32/49/77/599324977.db2.gz HCTBRYORCPSMHL-NTSWFWBYSA-N -1 1 300.775 1.550 20 0 DDADMM O[C@@H]1CCC[C@H]1CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000738388836 599324979 /nfs/dbraw/zinc/32/49/79/599324979.db2.gz HCTBRYORCPSMHL-NTSWFWBYSA-N -1 1 300.775 1.550 20 0 DDADMM O=C(CNc1cccc(-c2nnn[n-]2)n1)NC1CCCCC1 ZINC000737898941 599420707 /nfs/dbraw/zinc/42/07/07/599420707.db2.gz NPGXQIYPXVXDJV-UHFFFAOYSA-N -1 1 301.354 1.123 20 0 DDADMM O=C(CNc1cccc(-c2nn[n-]n2)n1)NC1CCCCC1 ZINC000737898941 599420709 /nfs/dbraw/zinc/42/07/09/599420709.db2.gz NPGXQIYPXVXDJV-UHFFFAOYSA-N -1 1 301.354 1.123 20 0 DDADMM c1cnnc(NC2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)c1 ZINC000823816814 599532695 /nfs/dbraw/zinc/53/26/95/599532695.db2.gz ZDFVJAJSHSWCOU-UHFFFAOYSA-N -1 1 323.364 1.133 20 0 DDADMM c1cnnc(NC2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)c1 ZINC000823816814 599532696 /nfs/dbraw/zinc/53/26/96/599532696.db2.gz ZDFVJAJSHSWCOU-UHFFFAOYSA-N -1 1 323.364 1.133 20 0 DDADMM COC[C@@](C)(O)CNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000821681858 599552734 /nfs/dbraw/zinc/55/27/34/599552734.db2.gz UBQAHIIQRZGLHB-HNNXBMFYSA-N -1 1 314.349 1.224 20 0 DDADMM COC[C@@](C)(O)CNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821681858 599552736 /nfs/dbraw/zinc/55/27/36/599552736.db2.gz UBQAHIIQRZGLHB-HNNXBMFYSA-N -1 1 314.349 1.224 20 0 DDADMM C[C@@H](Nc1snc(Cl)c1-c1nnn[n-]1)c1cnn(C)c1 ZINC000820461113 599574145 /nfs/dbraw/zinc/57/41/45/599574145.db2.gz FKELESUVURDBKQ-RXMQYKEDSA-N -1 1 310.774 1.883 20 0 DDADMM C[C@@H](Nc1snc(Cl)c1-c1nn[n-]n1)c1cnn(C)c1 ZINC000820461113 599574152 /nfs/dbraw/zinc/57/41/52/599574152.db2.gz FKELESUVURDBKQ-RXMQYKEDSA-N -1 1 310.774 1.883 20 0 DDADMM CCO[C@@H]1C[C@@H](OC(=O)c2ccc(-c3nnn[n-]3)nc2)C1(C)C ZINC000736615896 599618095 /nfs/dbraw/zinc/61/80/95/599618095.db2.gz YWAPCFFQUNGNEZ-VXGBXAGGSA-N -1 1 317.349 1.622 20 0 DDADMM CCO[C@@H]1C[C@@H](OC(=O)c2ccc(-c3nn[n-]n3)nc2)C1(C)C ZINC000736615896 599618096 /nfs/dbraw/zinc/61/80/96/599618096.db2.gz YWAPCFFQUNGNEZ-VXGBXAGGSA-N -1 1 317.349 1.622 20 0 DDADMM Cc1cscc1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000826320810 607394637 /nfs/dbraw/zinc/39/46/37/607394637.db2.gz FVNPJCKQLQISBR-UHFFFAOYSA-N -1 1 304.339 1.614 20 0 DDADMM Cc1cscc1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000826320810 607394638 /nfs/dbraw/zinc/39/46/38/607394638.db2.gz FVNPJCKQLQISBR-UHFFFAOYSA-N -1 1 304.339 1.614 20 0 DDADMM CS[C@@H]1CCCCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000821955778 607405758 /nfs/dbraw/zinc/40/57/58/607405758.db2.gz BHHSEDRMPBMOGG-LLVKDONJSA-N -1 1 318.406 1.619 20 0 DDADMM CS[C@@H]1CCCCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000821955778 607405759 /nfs/dbraw/zinc/40/57/59/607405759.db2.gz BHHSEDRMPBMOGG-LLVKDONJSA-N -1 1 318.406 1.619 20 0 DDADMM CN(CCOc1cccc(C(=O)[O-])c1)[C@@H]1CCCCNC1=O ZINC000737448591 599894322 /nfs/dbraw/zinc/89/43/22/599894322.db2.gz DWQKFLONKQDMMF-CQSZACIVSA-N -1 1 306.362 1.364 20 0 DDADMM O=C([O-])c1ccc(OCCNC(=O)c2[nH]nc3c2CCC3)cc1 ZINC000740164368 599920531 /nfs/dbraw/zinc/92/05/31/599920531.db2.gz PFGRJCZUEXZCEL-UHFFFAOYSA-N -1 1 315.329 1.405 20 0 DDADMM COc1ccc(OC)c([C@@H](C)NC(=O)CNC(C)(C)C(=O)[O-])c1 ZINC000738048140 599925488 /nfs/dbraw/zinc/92/54/88/599925488.db2.gz LFYACFIFIZGWNL-SNVBAGLBSA-N -1 1 324.377 1.334 20 0 DDADMM Cc1c(C(=O)[O-])cccc1S(=O)(=O)N1CC[C@@H](N(C)C)C1 ZINC000113457880 599937888 /nfs/dbraw/zinc/93/78/88/599937888.db2.gz RVLQQCNISDJYSD-LLVKDONJSA-N -1 1 312.391 1.018 20 0 DDADMM C[C@@H](O)[C@@H]1CCCCN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000736673350 600090764 /nfs/dbraw/zinc/09/07/64/600090764.db2.gz AYDSBQABAKEFOF-RISCZKNCSA-N -1 1 306.362 1.559 20 0 DDADMM CC(C)(C)CN(CCO)CC(=O)Nc1ccccc1C(=O)[O-] ZINC000736260932 600127043 /nfs/dbraw/zinc/12/70/43/600127043.db2.gz MGOAQVBZAPAYEN-UHFFFAOYSA-N -1 1 308.378 1.664 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCO[C@H]3CCCC[C@H]32)CCCCC1 ZINC000739308638 600149046 /nfs/dbraw/zinc/14/90/46/600149046.db2.gz SDHMXDQYAVGJMP-KGLIPLIRSA-N -1 1 324.421 1.534 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)N[C@@H]1CCN(Cc2ccccc2)C1 ZINC000736327544 600151190 /nfs/dbraw/zinc/15/11/90/600151190.db2.gz FETGVKIWKKRQFP-CYBMUJFWSA-N -1 1 305.378 1.423 20 0 DDADMM COc1ccc(CNC(=O)[C@H]2CCCCN2C)cc1C(=O)[O-] ZINC000737997993 600166154 /nfs/dbraw/zinc/16/61/54/600166154.db2.gz XHZWLSRLQARCSN-CYBMUJFWSA-N -1 1 306.362 1.494 20 0 DDADMM C[C@@H]1C[C@H](C)CN(C(=O)CN2CCC3(C[C@H]3C(=O)[O-])CC2)C1 ZINC000405501695 600307066 /nfs/dbraw/zinc/30/70/66/600307066.db2.gz SXTGOZLTUKAMAF-MJBXVCDLSA-N -1 1 308.422 1.678 20 0 DDADMM CCc1ccc2c(C[N@@H+](CCOC)CC(=O)[O-])cc(=O)oc2c1 ZINC000737268105 600395955 /nfs/dbraw/zinc/39/59/55/600395955.db2.gz QQKCWEIYQAQOPK-UHFFFAOYSA-N -1 1 319.357 1.888 20 0 DDADMM Cc1c(NC(=O)NC[C@@H]2C[N@H+](C)CCN2C)cccc1C(=O)[O-] ZINC000320616750 600412596 /nfs/dbraw/zinc/41/25/96/600412596.db2.gz VXJSGIXSBRXHES-GFCCVEGCSA-N -1 1 320.393 1.061 20 0 DDADMM Cc1c(NC(=O)NC[C@@H]2CN(C)CCN2C)cccc1C(=O)[O-] ZINC000320616750 600412598 /nfs/dbraw/zinc/41/25/98/600412598.db2.gz VXJSGIXSBRXHES-GFCCVEGCSA-N -1 1 320.393 1.061 20 0 DDADMM CN(C)CC(=O)N(CCC(=O)[O-])Cc1ccc(F)c(F)c1 ZINC000737358328 600441594 /nfs/dbraw/zinc/44/15/94/600441594.db2.gz AURHTDZZXIALTI-UHFFFAOYSA-N -1 1 300.305 1.330 20 0 DDADMM CC[C@](C)(NCC(=O)NC(=O)N[C@H]1CCCC[C@H]1C)C(=O)[O-] ZINC000736861913 600561546 /nfs/dbraw/zinc/56/15/46/600561546.db2.gz YCZJEPAYNAXZBH-ZETOZRRWSA-N -1 1 313.398 1.234 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)[O-])c1C ZINC000738249429 600617529 /nfs/dbraw/zinc/61/75/29/600617529.db2.gz UFCKOEOKRQDXAY-GFCCVEGCSA-N -1 1 319.336 1.839 20 0 DDADMM C[C@@H]1C[C@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)CCN1C ZINC000157602965 600766436 /nfs/dbraw/zinc/76/64/36/600766436.db2.gz SIIFSXOSURZCQW-ZYHUDNBSSA-N -1 1 312.391 1.146 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H](C(=O)N2CC[C@@H](CN3CCOCC3)C2)C1 ZINC000833186022 600971900 /nfs/dbraw/zinc/97/19/00/600971900.db2.gz PZQGFXWTVVYWFZ-RRFJBIMHSA-N -1 1 324.421 1.058 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)CNC1(c2ccccc2)CC1)C(=O)[O-] ZINC000828719002 600997767 /nfs/dbraw/zinc/99/77/67/600997767.db2.gz OXYIAIPOWNIGNE-DOMZBBRYSA-N -1 1 304.390 1.881 20 0 DDADMM O=C([O-])[C@H]1CCC[N@H+](Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000386543700 601029399 /nfs/dbraw/zinc/02/93/99/601029399.db2.gz KBJVRYBMFKTDPA-JTQLQIEISA-N -1 1 303.314 1.795 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000386543700 601029402 /nfs/dbraw/zinc/02/94/02/601029402.db2.gz KBJVRYBMFKTDPA-JTQLQIEISA-N -1 1 303.314 1.795 20 0 DDADMM C[C@H](Cc1ccccc1)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000827592672 601087191 /nfs/dbraw/zinc/08/71/91/601087191.db2.gz QUBVBPUHEBNWEM-CABCVRRESA-N -1 1 318.417 1.873 20 0 DDADMM Cc1nc([C@H]2CN(Cc3cc(C(=O)[O-])oc3C)CCO2)n[nH]1 ZINC000738823570 601106047 /nfs/dbraw/zinc/10/60/47/601106047.db2.gz NKHAFXKFFWLVMG-GFCCVEGCSA-N -1 1 306.322 1.286 20 0 DDADMM C/C(=C\CN1CCN(C[C@H](O)c2ccccc2)CC1)C(=O)[O-] ZINC000825741249 601164129 /nfs/dbraw/zinc/16/41/29/601164129.db2.gz KVXXEYFBADJBLS-KAMPRCONSA-N -1 1 304.390 1.369 20 0 DDADMM C[C@@](NCC(=O)N1CCSc2ccccc21)(C(=O)[O-])C1CC1 ZINC000827726980 601173904 /nfs/dbraw/zinc/17/39/04/601173904.db2.gz DIUXPUCYSXTZLI-INIZCTEOSA-N -1 1 320.414 1.968 20 0 DDADMM CC(C)(CC(=O)[O-])NC(=O)CSc1n[nH]c(=S)s1 ZINC000826534226 601289312 /nfs/dbraw/zinc/28/93/12/601289312.db2.gz XCLYQWRLZVKREG-UHFFFAOYSA-N -1 1 307.422 1.288 20 0 DDADMM O=C([O-])c1cccc(C(=O)N2CCN(C[C@H]3CCOC3)CC2)c1 ZINC000821547235 601330950 /nfs/dbraw/zinc/33/09/50/601330950.db2.gz OZXKEOJITRLDPI-CYBMUJFWSA-N -1 1 318.373 1.179 20 0 DDADMM O=C([O-])[C@H](c1ccccc1F)N1CCN(CCCCO)CC1 ZINC000833173800 601423439 /nfs/dbraw/zinc/42/34/39/601423439.db2.gz IUOSKLNABNAQED-HNNXBMFYSA-N -1 1 310.369 1.342 20 0 DDADMM Cc1ccc(OC[C@H](O)CN2CC[C@@](F)(C(=O)[O-])C2)c(C)c1 ZINC000832951672 601444434 /nfs/dbraw/zinc/44/44/34/601444434.db2.gz TZOCLYFATZFNCM-CJNGLKHVSA-N -1 1 311.353 1.542 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCc1cn2cc(Cl)ccc2n1 ZINC000831586424 601487297 /nfs/dbraw/zinc/48/72/97/601487297.db2.gz WSFQBKZYWGPXEA-CQSZACIVSA-N -1 1 311.769 1.957 20 0 DDADMM CCC1CCC(NCC(=O)NC2CCOCC2)(C(=O)[O-])CC1 ZINC000829101688 601495972 /nfs/dbraw/zinc/49/59/72/601495972.db2.gz UGWYFUZMNMOKGL-UHFFFAOYSA-N -1 1 312.410 1.295 20 0 DDADMM C[C@@H]1CN(Cc2nc3ccccc3c(=O)n2C)C[C@@H]1C(=O)[O-] ZINC000828461812 601534418 /nfs/dbraw/zinc/53/44/18/601534418.db2.gz CUCBWZGUQXBVLC-PWSUYJOCSA-N -1 1 301.346 1.086 20 0 DDADMM Cc1cc(CC(=O)OCC(=O)c2[nH]c(C)c(C(=O)[O-])c2C)n[nH]1 ZINC000832882821 601609886 /nfs/dbraw/zinc/60/98/86/601609886.db2.gz CYSJKGAUWCJIRN-UHFFFAOYSA-N -1 1 319.317 1.330 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H](Cc2ccccc2)N(C)C)C[C@@H]1C(=O)[O-] ZINC000828317470 601698479 /nfs/dbraw/zinc/69/84/79/601698479.db2.gz GCFDQIRDJWGZKP-VHDGCEQUSA-N -1 1 304.390 1.338 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C(=O)[C@@H]2CCCN2Cc2ccccc2)C1 ZINC000262256660 601716690 /nfs/dbraw/zinc/71/66/90/601716690.db2.gz YISLNFUAOAJHGE-WMLDXEAASA-N -1 1 320.364 1.676 20 0 DDADMM CC(=O)c1ccc(S(=O)(=O)Nc2cc(C(=O)[O-])n(C)c2)cc1 ZINC000825957111 601783458 /nfs/dbraw/zinc/78/34/58/601783458.db2.gz KZVNGIFWOXBRQO-UHFFFAOYSA-N -1 1 322.342 1.727 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nnn[n-]2)c1)c1ccc(F)c(F)c1 ZINC000826495777 607528315 /nfs/dbraw/zinc/52/83/15/607528315.db2.gz AWXDMHSRTJLJQV-QFIPXVFZSA-N -1 1 321.312 1.848 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nn[n-]n2)c1)c1ccc(F)c(F)c1 ZINC000826495777 607528316 /nfs/dbraw/zinc/52/83/16/607528316.db2.gz AWXDMHSRTJLJQV-QFIPXVFZSA-N -1 1 321.312 1.848 20 0 DDADMM CCc1ccc(CN(C)C(=O)CN[C@H](C(=O)[O-])C(C)C)cc1 ZINC000830249292 601825021 /nfs/dbraw/zinc/82/50/21/601825021.db2.gz FZBLOWASVLXKTI-INIZCTEOSA-N -1 1 306.406 1.906 20 0 DDADMM Cc1ccccc1CNC(=O)[C@@H](C)N1CC[C@H](CNC(=O)[O-])C1 ZINC000740185534 601946027 /nfs/dbraw/zinc/94/60/27/601946027.db2.gz UYFNNBMESORWSI-ZIAGYGMSSA-N -1 1 319.405 1.589 20 0 DDADMM C[C@@H]1Cc2ccccc2N1C(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000739200121 601963026 /nfs/dbraw/zinc/96/30/26/601963026.db2.gz ZQPYBWUOINMPMG-OLZOCXBDSA-N -1 1 317.389 1.554 20 0 DDADMM O=C([O-])c1ccc(CN2CCC(N3CCCCC3=O)CC2)o1 ZINC000833312923 602029745 /nfs/dbraw/zinc/02/97/45/602029745.db2.gz KQWHVJFOIBESLD-UHFFFAOYSA-N -1 1 306.362 1.955 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3CC[C@](C)(C(=O)[O-])C3)[nH]c2c1 ZINC000263311005 602086653 /nfs/dbraw/zinc/08/66/53/602086653.db2.gz YQPRFMXCLPEYMK-INIZCTEOSA-N -1 1 316.361 1.878 20 0 DDADMM CCOCCN1CCN(C(=O)[C@@H](C)CC(=O)[O-])C[C@@H]1CC ZINC000830011283 602165514 /nfs/dbraw/zinc/16/55/14/602165514.db2.gz BVVKSLLCJMJFQM-STQMWFEESA-N -1 1 300.399 1.057 20 0 DDADMM CC[C@](C)(CC(=O)[O-])NCc1csc(CS(C)(=O)=O)n1 ZINC000828671471 602219351 /nfs/dbraw/zinc/21/93/51/602219351.db2.gz DKRWNMANOKLOFM-GFCCVEGCSA-N -1 1 320.436 1.421 20 0 DDADMM CC(C)S(=O)(=O)CCCN1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000696809391 602236290 /nfs/dbraw/zinc/23/62/90/602236290.db2.gz MTVDXQPOKJRSHV-GFCCVEGCSA-N -1 1 303.424 1.386 20 0 DDADMM CCN(C)[C@H](C(=O)N1CCC[C@H](NC(=O)[O-])C1)c1ccccc1 ZINC000737839620 602318977 /nfs/dbraw/zinc/31/89/77/602318977.db2.gz ACBNZJGMHQILGW-GJZGRUSLSA-N -1 1 319.405 1.938 20 0 DDADMM Cc1nnc(NC(=O)[C@H]2Cc3ccccc3CN2C(=O)[O-])[nH]1 ZINC000740219137 602322751 /nfs/dbraw/zinc/32/27/51/602322751.db2.gz DGWULOINRZYJKJ-LLVKDONJSA-N -1 1 301.306 1.157 20 0 DDADMM Cc1n[nH]c(NC(=O)[C@H]2Cc3ccccc3CN2C(=O)[O-])n1 ZINC000740219137 602322753 /nfs/dbraw/zinc/32/27/53/602322753.db2.gz DGWULOINRZYJKJ-LLVKDONJSA-N -1 1 301.306 1.157 20 0 DDADMM CCCc1cc(C(=O)N(CCC)[C@H]2CCN(C(=O)[O-])C2)n[nH]1 ZINC000739376721 602515885 /nfs/dbraw/zinc/51/58/85/602515885.db2.gz XBCYADKHHQBRBE-LBPRGKRZSA-N -1 1 308.382 1.967 20 0 DDADMM COc1ccc(OC)c([C@H](O)CN(C)[C@H](C)CNC(=O)[O-])c1 ZINC000739930389 602555265 /nfs/dbraw/zinc/55/52/65/602555265.db2.gz MJOKDHDDPMEROL-ZWNOBZJWSA-N -1 1 312.366 1.325 20 0 DDADMM C[C@H](N[C@H]1CCN(C(=O)[O-])C1)C(=O)N1c2ccccc2C[C@H]1C ZINC000739034428 602569662 /nfs/dbraw/zinc/56/96/62/602569662.db2.gz YABVPHAVDILMGL-DYEKYZERSA-N -1 1 317.389 1.695 20 0 DDADMM C[C@@H](C(=O)N(C)c1ccccc1)N1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738603467 602577738 /nfs/dbraw/zinc/57/77/38/602577738.db2.gz PSODUYQXWJMLDB-KBPBESRZSA-N -1 1 319.405 1.970 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CCC[C@@H]([C@H]2CCCN2C(=O)[O-])C1 ZINC000739730142 602589938 /nfs/dbraw/zinc/58/99/38/602589938.db2.gz YHLJNHRGIQULII-RBSFLKMASA-N -1 1 323.437 1.852 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)NC[C@@H]1CCCN1C(=O)[O-] ZINC000739607747 602607341 /nfs/dbraw/zinc/60/73/41/602607341.db2.gz LECPLBNFFKTPOE-GJZGRUSLSA-N -1 1 319.405 1.418 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])CCN1CCC(=O)N1CCc2ccccc21 ZINC000739160483 602740616 /nfs/dbraw/zinc/74/06/16/602740616.db2.gz LYFHGGVFQJOJPD-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM CNC(=O)c1cccc(CN2CC[C@H](NC(=O)[O-])[C@@H](C)C2)c1 ZINC000739747567 602767169 /nfs/dbraw/zinc/76/71/69/602767169.db2.gz VNJSTLWDGZHFQH-FZMZJTMJSA-N -1 1 305.378 1.524 20 0 DDADMM C[C@@H]1C[N@H+](Cc2nc(=O)c3sccc3[n-]2)CC[C@H]1NC(=O)[O-] ZINC000739191842 602787998 /nfs/dbraw/zinc/78/79/98/602787998.db2.gz QPBOBXAROOEYLX-RKDXNWHRSA-N -1 1 322.390 1.875 20 0 DDADMM C[C@@H]1C[N@@H+](Cc2nc(=O)c3sccc3[n-]2)CC[C@H]1NC(=O)[O-] ZINC000739191842 602788000 /nfs/dbraw/zinc/78/80/00/602788000.db2.gz QPBOBXAROOEYLX-RKDXNWHRSA-N -1 1 322.390 1.875 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)COc2ccccc2)C[C@H](C)N1C(=O)[O-] ZINC000739179273 602834597 /nfs/dbraw/zinc/83/45/97/602834597.db2.gz MAQUGRPVDDGPEU-HZSPNIEDSA-N -1 1 308.378 1.499 20 0 DDADMM O=C(C[C@H]1CCCN1C(=O)[O-])Nc1nc(-c2ccco2)n[nH]1 ZINC000831346299 602838336 /nfs/dbraw/zinc/83/83/36/602838336.db2.gz SWMSXPFMGHQFCZ-MRVPVSSYSA-N -1 1 305.294 1.536 20 0 DDADMM O=C([O-])N1CCC[C@@H](NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000739386529 603203827 /nfs/dbraw/zinc/20/38/27/603203827.db2.gz IHUQOXWFBXCBSQ-SNVBAGLBSA-N -1 1 315.329 1.400 20 0 DDADMM Cc1cc(CNC(=O)Nc2cccc(CNC(=O)[O-])c2)n[nH]1 ZINC000740073706 603320895 /nfs/dbraw/zinc/32/08/95/603320895.db2.gz SAOKSZBKCQENRK-UHFFFAOYSA-N -1 1 303.322 1.807 20 0 DDADMM COCCCn1c(=O)[nH]nc1SC[C@H]1CCN(C(=O)[O-])C1 ZINC000739813354 603372791 /nfs/dbraw/zinc/37/27/91/603372791.db2.gz PTJNWVGAZZGRSZ-VIFPVBQESA-N -1 1 316.383 1.112 20 0 DDADMM CC(C)(C)OC(=O)NCCN1CCCC[C@@H]1CNC(=O)[O-] ZINC000823822941 603453225 /nfs/dbraw/zinc/45/32/25/603453225.db2.gz XFPMAFUKRJTYAA-LLVKDONJSA-N -1 1 301.387 1.633 20 0 DDADMM O=C([O-])N[C@H]1CC[C@H](C(=O)N2CCN(C3CCCC3)CC2)C1 ZINC000832254882 603458698 /nfs/dbraw/zinc/45/86/98/603458698.db2.gz NHIRIJYSXLEICE-STQMWFEESA-N -1 1 309.410 1.510 20 0 DDADMM COC(=O)c1ccc(CN2CC[C@@H](CNC(=O)[O-])C2)cc1F ZINC000828771411 603604547 /nfs/dbraw/zinc/60/45/47/603604547.db2.gz BBFQJNMJCZDWEG-NSHDSACASA-N -1 1 310.325 1.702 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)C[C@H](Cc1ccccc1)NC(=O)[O-] ZINC000828426553 603645458 /nfs/dbraw/zinc/64/54/58/603645458.db2.gz JVYYQVAUXWDFDY-LBPRGKRZSA-N -1 1 317.349 1.032 20 0 DDADMM CC(C)(CNC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000823960335 603649813 /nfs/dbraw/zinc/64/98/13/603649813.db2.gz ALMRGLJXKXKARZ-UHFFFAOYSA-N -1 1 317.349 1.382 20 0 DDADMM CC(C)(CNC(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000823960335 603649814 /nfs/dbraw/zinc/64/98/14/603649814.db2.gz ALMRGLJXKXKARZ-UHFFFAOYSA-N -1 1 317.349 1.382 20 0 DDADMM CC(C)C[C@H](CNC(=O)[O-])NC(=O)N1CCN(C(C)C)CC1 ZINC000824188468 603665506 /nfs/dbraw/zinc/66/55/06/603665506.db2.gz CHVSZILCYRMOBU-CYBMUJFWSA-N -1 1 314.430 1.404 20 0 DDADMM CN(C(=O)c1c[nH]c2ccccc2c1=O)[C@H]1CCN(C(=O)[O-])C1 ZINC000827928220 603696944 /nfs/dbraw/zinc/69/69/44/603696944.db2.gz YMSDMRRPMWEJKO-JTQLQIEISA-N -1 1 315.329 1.352 20 0 DDADMM CN1CCN(C(=O)CC(C)(C)NC(=O)[O-])C2(CCCCC2)C1 ZINC000828494242 603739506 /nfs/dbraw/zinc/73/95/06/603739506.db2.gz INPPOTISAHWYID-UHFFFAOYSA-N -1 1 311.426 1.900 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H]3CCN(C(=O)[O-])C3)[nH]c2c1 ZINC000830432541 603763889 /nfs/dbraw/zinc/76/38/89/603763889.db2.gz GXLSQGOVWCDWFV-JTQLQIEISA-N -1 1 302.334 1.487 20 0 DDADMM Cc1nnc(SCCC(=O)NC[C@H](NC(=O)[O-])C(C)C)[nH]1 ZINC000830894678 603806770 /nfs/dbraw/zinc/80/67/70/603806770.db2.gz WXZZNFQKXRHZAZ-VIFPVBQESA-N -1 1 315.399 1.004 20 0 DDADMM C[C@@H](NC(=O)C[C@@H](Cc1ccccc1)NC(=O)[O-])c1nnc[nH]1 ZINC000825101192 603938947 /nfs/dbraw/zinc/93/89/47/603938947.db2.gz NFWBKJAEUWCPGH-ZYHUDNBSSA-N -1 1 317.349 1.251 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000825193743 603939151 /nfs/dbraw/zinc/93/91/51/603939151.db2.gz KWUQNJAGWSERJT-COPLHBTASA-N -1 1 320.393 1.867 20 0 DDADMM C[C@@H](C(=O)N[C@@H](C)c1nnc[nH]1)[C@H](NC(=O)[O-])c1ccccc1 ZINC000825074430 603939404 /nfs/dbraw/zinc/93/94/04/603939404.db2.gz HOTRSRJTJAYHQU-SCVCMEIPSA-N -1 1 317.349 1.627 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@@H]1NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000832457322 603953886 /nfs/dbraw/zinc/95/38/86/603953886.db2.gz ISULUXCNDSRKGA-UMNHJUIQSA-N -1 1 306.366 1.382 20 0 DDADMM COc1ccccc1[C@H](NC(=O)[O-])C(=O)NCc1cnc[nH]1 ZINC000829611315 604050686 /nfs/dbraw/zinc/05/06/86/604050686.db2.gz MCECJPPENXDODK-LBPRGKRZSA-N -1 1 304.306 1.043 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1C[C@@H](O)c1ccc(F)cc1F ZINC000832573961 604083040 /nfs/dbraw/zinc/08/30/40/604083040.db2.gz WDUKFQWWYLRMIG-GXFFZTMASA-N -1 1 300.305 1.730 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(C(=O)N[C@@H]2CCCN(C)C2)C1 ZINC000825220930 604123154 /nfs/dbraw/zinc/12/31/54/604123154.db2.gz WTPYGWKZIFLNPR-YNEHKIRRSA-N -1 1 312.414 1.158 20 0 DDADMM CN(C)Cc1ccc(NC(=O)CC2CN(C(=O)[O-])C2)cc1F ZINC000828046368 604133192 /nfs/dbraw/zinc/13/31/92/604133192.db2.gz LCKHMXHWIQXTSD-UHFFFAOYSA-N -1 1 309.341 1.826 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)N[C@H]2CCCc3cn[nH]c32)o1 ZINC000833144955 604302566 /nfs/dbraw/zinc/30/25/66/604302566.db2.gz WOCJUSKEBSJUII-JTQLQIEISA-N -1 1 304.306 1.578 20 0 DDADMM O=C([O-])NCc1nc(C(=O)Nc2ccc3[nH]nnc3c2)cs1 ZINC000832734540 604526757 /nfs/dbraw/zinc/52/67/57/604526757.db2.gz FDLIOQOAHMNRCR-UHFFFAOYSA-N -1 1 318.318 1.434 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@H]3CC[C@H](NC(=O)[O-])C3)n2)o1 ZINC000830158807 604585086 /nfs/dbraw/zinc/58/50/86/604585086.db2.gz AQBRFQKMJPSESK-IUCAKERBSA-N -1 1 319.321 1.748 20 0 DDADMM O=C([O-])c1cccc(NC2CCN(C(=O)c3cnc[nH]3)CC2)c1 ZINC000833332287 604782977 /nfs/dbraw/zinc/78/29/77/604782977.db2.gz QDVSJMNUOXIQQU-UHFFFAOYSA-N -1 1 314.345 1.825 20 0 DDADMM CC1CCN(CC(=O)NC2C[C@H]3CC[C@@H](C2)N3C(=O)[O-])CC1 ZINC000825944970 604863387 /nfs/dbraw/zinc/86/33/87/604863387.db2.gz RHGUNJCMDQPNJX-AGUYFDCRSA-N -1 1 309.410 1.508 20 0 DDADMM C[C@H]1CCC[C@H](OCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C1 ZINC000833611588 604900425 /nfs/dbraw/zinc/90/04/25/604900425.db2.gz YJZQCTCWQQSYDJ-DRZSPHRISA-N -1 1 309.366 1.117 20 0 DDADMM CCc1nc([C@H](C)NC(=O)Nc2nc(CC(=O)[O-])cs2)n[nH]1 ZINC000833674075 605007301 /nfs/dbraw/zinc/00/73/01/605007301.db2.gz PERSJNVJAZXMHS-LURJTMIESA-N -1 1 324.366 1.333 20 0 DDADMM CC(C)Oc1ccccc1[C@H](C)NCC(=O)NCCC(=O)[O-] ZINC000833507355 605070537 /nfs/dbraw/zinc/07/05/37/605070537.db2.gz HEZCBFWXPHGEFE-LBPRGKRZSA-N -1 1 308.378 1.715 20 0 DDADMM C[C@H](NC1CN(C(=O)[O-])C1)c1ccc(N2CCOC2=O)cc1 ZINC000825485786 605097071 /nfs/dbraw/zinc/09/70/71/605097071.db2.gz RJPIGGQJNIULOS-JTQLQIEISA-N -1 1 305.334 1.656 20 0 DDADMM Cc1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)c(C)n1C ZINC000833742306 605104318 /nfs/dbraw/zinc/10/43/18/605104318.db2.gz JHCCCKKUZHIXPD-LBPRGKRZSA-N -1 1 307.394 1.263 20 0 DDADMM Cn1ccnc1[C@H](O)C1CCN(Cc2ccc(C(=O)[O-])[nH]2)CC1 ZINC000833759774 605112034 /nfs/dbraw/zinc/11/20/34/605112034.db2.gz HOOAGWNLXABALT-CQSZACIVSA-N -1 1 318.377 1.392 20 0 DDADMM CC(=O)c1cccc(S(=O)(=O)Nc2ccc(C(=O)[O-])nc2)c1 ZINC000316418072 605165824 /nfs/dbraw/zinc/16/58/24/605165824.db2.gz AEUDWGNRPGNROX-UHFFFAOYSA-N -1 1 320.326 1.783 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC(C3CCOCC3)CC2)c1 ZINC000826514037 607739493 /nfs/dbraw/zinc/73/94/93/607739493.db2.gz DZLLPAPTSVDKLM-UHFFFAOYSA-N -1 1 314.393 1.905 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC(C3CCOCC3)CC2)c1 ZINC000826514037 607739494 /nfs/dbraw/zinc/73/94/94/607739494.db2.gz DZLLPAPTSVDKLM-UHFFFAOYSA-N -1 1 314.393 1.905 20 0 DDADMM O=C([O-])N1CC[C@@](F)(C(=O)Nc2ncc(C(F)(F)F)[nH]2)C1 ZINC000831907715 605293937 /nfs/dbraw/zinc/29/39/37/605293937.db2.gz HKKYHDICNFBMIY-VIFPVBQESA-N -1 1 310.207 1.459 20 0 DDADMM COCCC[C@H](C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000833723566 605403255 /nfs/dbraw/zinc/40/32/55/605403255.db2.gz PYVRTOWWBZAQAG-STQMWFEESA-N -1 1 300.399 1.057 20 0 DDADMM Cc1nc([C@H](C)NCCN2CCN(C(=O)[O-])CC2)c(C)s1 ZINC000830709814 605498079 /nfs/dbraw/zinc/49/80/79/605498079.db2.gz SXHXUQOUIIKBIP-JTQLQIEISA-N -1 1 312.439 1.706 20 0 DDADMM CCCN1CCN(C(=O)C[C@H]2CSCCN2C(=O)[O-])CC1 ZINC000826921797 605599560 /nfs/dbraw/zinc/59/95/60/605599560.db2.gz QTILMRINTBMUDP-LBPRGKRZSA-N -1 1 315.439 1.026 20 0 DDADMM O=C([O-])N1CC[C@H](C(=O)N[C@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000834016688 605691746 /nfs/dbraw/zinc/69/17/46/605691746.db2.gz WYUSMUQNBMHNQA-RYUDHWBXSA-N -1 1 315.333 1.010 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC[C@H](N(C)C(=O)[O-])C2)n[nH]1 ZINC000830029752 605699147 /nfs/dbraw/zinc/69/91/47/605699147.db2.gz IXKYJYQTWVCMAJ-GWCFXTLKSA-N -1 1 323.397 1.433 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CC[C@H](NC(=O)[O-])C[C@@H]1C ZINC000833844589 605771614 /nfs/dbraw/zinc/77/16/14/605771614.db2.gz JREPSCVTUJJNIK-MELADBBJSA-N -1 1 311.426 1.898 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)[C@@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830035412 605806623 /nfs/dbraw/zinc/80/66/23/605806623.db2.gz AQFBFRFZMLPODH-YGRLFVJLSA-N -1 1 316.361 1.774 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)Nc1ccc(NC(=O)[O-])nc1)C2 ZINC000833916162 605856224 /nfs/dbraw/zinc/85/62/24/605856224.db2.gz OHEZLLTVTDKJCD-SECBINFHSA-N -1 1 315.333 1.947 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)N2CC1CCS(=O)(=O)CC1 ZINC000834095844 605965319 /nfs/dbraw/zinc/96/53/19/605965319.db2.gz OIAONOATSUANJX-OLZOCXBDSA-N -1 1 316.423 1.028 20 0 DDADMM O=C([O-])N1CC(OCCC(=O)Nc2ccc3nc[nH]c3c2)C1 ZINC000833993096 606113465 /nfs/dbraw/zinc/11/34/65/606113465.db2.gz MYTAOINSHHLTTE-UHFFFAOYSA-N -1 1 304.306 1.270 20 0 DDADMM CC(C)c1nnc(COC(=O)c2ccc(-c3nnn[n-]3)s2)o1 ZINC000820206622 606135476 /nfs/dbraw/zinc/13/54/76/606135476.db2.gz SRBSRSBSCLZEPY-UHFFFAOYSA-N -1 1 320.334 1.792 20 0 DDADMM CC(C)c1nnc(COC(=O)c2ccc(-c3nn[n-]n3)s2)o1 ZINC000820206622 606135478 /nfs/dbraw/zinc/13/54/78/606135478.db2.gz SRBSRSBSCLZEPY-UHFFFAOYSA-N -1 1 320.334 1.792 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)C2=Cc3ccccc3OC2)n1 ZINC000822604862 606155347 /nfs/dbraw/zinc/15/53/47/606155347.db2.gz NONFGXIYTBUMGX-UHFFFAOYSA-N -1 1 323.316 1.015 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)C2=Cc3ccccc3OC2)n1 ZINC000822604862 606155348 /nfs/dbraw/zinc/15/53/48/606155348.db2.gz NONFGXIYTBUMGX-UHFFFAOYSA-N -1 1 323.316 1.015 20 0 DDADMM Cc1nc(C)c(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])o1 ZINC000822427582 606197240 /nfs/dbraw/zinc/19/72/40/606197240.db2.gz PMUMUTQJAKHITE-UHFFFAOYSA-N -1 1 300.278 1.429 20 0 DDADMM C[C@@H]1CC[C@H](CCC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])O1 ZINC000820690342 606297515 /nfs/dbraw/zinc/29/75/15/606297515.db2.gz JVSYSJHGKCEMKK-MWLCHTKSSA-N -1 1 317.349 1.859 20 0 DDADMM Cc1cccc(O[C@H](C)C(=O)Nc2n[nH]cc2-c2nnn[n-]2)c1 ZINC000822328293 606531926 /nfs/dbraw/zinc/53/19/26/606531926.db2.gz OJSUTARCRBBIMC-SECBINFHSA-N -1 1 313.321 1.304 20 0 DDADMM Cc1cccc(O[C@H](C)C(=O)Nc2n[nH]cc2-c2nn[n-]n2)c1 ZINC000822328293 606531927 /nfs/dbraw/zinc/53/19/27/606531927.db2.gz OJSUTARCRBBIMC-SECBINFHSA-N -1 1 313.321 1.304 20 0 DDADMM Cc1ccc(OCC(=O)Nc2n[nH]cc2-c2nnn[n-]2)c(C)c1 ZINC000822263441 606532052 /nfs/dbraw/zinc/53/20/52/606532052.db2.gz OWHBZQWKMZPJFF-UHFFFAOYSA-N -1 1 313.321 1.224 20 0 DDADMM Cc1ccc(OCC(=O)Nc2n[nH]cc2-c2nn[n-]n2)c(C)c1 ZINC000822263441 606532054 /nfs/dbraw/zinc/53/20/54/606532054.db2.gz OWHBZQWKMZPJFF-UHFFFAOYSA-N -1 1 313.321 1.224 20 0 DDADMM Cc1cccc(OCCC(=O)Nc2n[nH]cc2-c2nnn[n-]2)c1 ZINC000822328370 606532329 /nfs/dbraw/zinc/53/23/29/606532329.db2.gz OKTNAHCOJVDVCO-UHFFFAOYSA-N -1 1 313.321 1.306 20 0 DDADMM Cc1cccc(OCCC(=O)Nc2n[nH]cc2-c2nn[n-]n2)c1 ZINC000822328370 606532330 /nfs/dbraw/zinc/53/23/30/606532330.db2.gz OKTNAHCOJVDVCO-UHFFFAOYSA-N -1 1 313.321 1.306 20 0 DDADMM CSc1[nH]c(=O)c(C(=O)NCC2(C)CC2)cc1-c1nn[n-]n1 ZINC000821976726 606585139 /nfs/dbraw/zinc/58/51/39/606585139.db2.gz CWOVPDSXOKMNPE-UHFFFAOYSA-N -1 1 320.378 1.219 20 0 DDADMM O=C([N-]c1nc(C2CC2)no1)c1ccc(-c2nn[nH]n2)s1 ZINC000823380252 606599696 /nfs/dbraw/zinc/59/96/96/606599696.db2.gz UBALPJYQZJVXOS-UHFFFAOYSA-N -1 1 303.307 1.441 20 0 DDADMM CCC[C@@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000821040982 606872705 /nfs/dbraw/zinc/87/27/05/606872705.db2.gz WLZGKUZJTUYLHI-LLVKDONJSA-N -1 1 300.366 1.914 20 0 DDADMM CCC[C@@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000821040982 606872707 /nfs/dbraw/zinc/87/27/07/606872707.db2.gz WLZGKUZJTUYLHI-LLVKDONJSA-N -1 1 300.366 1.914 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCC2)C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823155491 607169388 /nfs/dbraw/zinc/16/93/88/607169388.db2.gz UMNVUJDFMSNFFG-LLVKDONJSA-N -1 1 314.349 1.093 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCC2)C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823155491 607169389 /nfs/dbraw/zinc/16/93/89/607169389.db2.gz UMNVUJDFMSNFFG-LLVKDONJSA-N -1 1 314.349 1.093 20 0 DDADMM CC(=O)N(C)c1ccc(Nc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000823968244 607897153 /nfs/dbraw/zinc/89/71/53/607897153.db2.gz YBOIDSNUZVSKQU-UHFFFAOYSA-N -1 1 309.333 1.988 20 0 DDADMM CC(=O)N(C)c1ccc(Nc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000823968244 607897154 /nfs/dbraw/zinc/89/71/54/607897154.db2.gz YBOIDSNUZVSKQU-UHFFFAOYSA-N -1 1 309.333 1.988 20 0 DDADMM c1ncn(-c2ccc(Oc3cccc(-c4nnn[n-]4)n3)cc2)n1 ZINC000826517846 607900440 /nfs/dbraw/zinc/90/04/40/607900440.db2.gz QRSDZEBHNLNEIX-UHFFFAOYSA-N -1 1 306.289 1.635 20 0 DDADMM c1ncn(-c2ccc(Oc3cccc(-c4nn[n-]n4)n3)cc2)n1 ZINC000826517846 607900441 /nfs/dbraw/zinc/90/04/41/607900441.db2.gz QRSDZEBHNLNEIX-UHFFFAOYSA-N -1 1 306.289 1.635 20 0 DDADMM c1ccc(C[C@H]2CCCN2c2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826521076 607988867 /nfs/dbraw/zinc/98/88/67/607988867.db2.gz ZEVZKBJOSTUQQH-CYBMUJFWSA-N -1 1 307.361 1.868 20 0 DDADMM c1ccc(C[C@H]2CCCN2c2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826521076 607988868 /nfs/dbraw/zinc/98/88/68/607988868.db2.gz ZEVZKBJOSTUQQH-CYBMUJFWSA-N -1 1 307.361 1.868 20 0 DDADMM C[C@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@H](c2ccccc2)O1 ZINC000824992899 607989691 /nfs/dbraw/zinc/98/96/91/607989691.db2.gz OEURJTSIKOPNRF-SMDDNHRTSA-N -1 1 323.360 1.623 20 0 DDADMM C[C@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@H](c2ccccc2)O1 ZINC000824992899 607989692 /nfs/dbraw/zinc/98/96/92/607989692.db2.gz OEURJTSIKOPNRF-SMDDNHRTSA-N -1 1 323.360 1.623 20 0 DDADMM Clc1ccc2nc(Cn3ccnc3-c3nnn[n-]3)cn2c1 ZINC000826352740 608012734 /nfs/dbraw/zinc/01/27/34/608012734.db2.gz MHSMYNBGYGXQMY-UHFFFAOYSA-N -1 1 300.713 1.413 20 0 DDADMM Clc1ccc2nc(Cn3ccnc3-c3nn[n-]n3)cn2c1 ZINC000826352740 608012735 /nfs/dbraw/zinc/01/27/35/608012735.db2.gz MHSMYNBGYGXQMY-UHFFFAOYSA-N -1 1 300.713 1.413 20 0 DDADMM CCc1ccc(-c2noc(Cn3ccnc3-c3nnn[n-]3)n2)cc1 ZINC000825704082 608013446 /nfs/dbraw/zinc/01/34/46/608013446.db2.gz CMDFIJVZSSBRHJ-UHFFFAOYSA-N -1 1 322.332 1.724 20 0 DDADMM CCc1ccc(-c2noc(Cn3ccnc3-c3nn[n-]n3)n2)cc1 ZINC000825704082 608013447 /nfs/dbraw/zinc/01/34/47/608013447.db2.gz CMDFIJVZSSBRHJ-UHFFFAOYSA-N -1 1 322.332 1.724 20 0 DDADMM CC(C)C(=O)Nc1ccc(Nc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000824184758 608389299 /nfs/dbraw/zinc/38/92/99/608389299.db2.gz KTJXCRUKROONPX-UHFFFAOYSA-N -1 1 324.348 1.995 20 0 DDADMM CC(C)C(=O)Nc1ccc(Nc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000824184758 608389301 /nfs/dbraw/zinc/38/93/01/608389301.db2.gz KTJXCRUKROONPX-UHFFFAOYSA-N -1 1 324.348 1.995 20 0 DDADMM c1ccc([C@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCO2)cc1 ZINC000826520281 608396202 /nfs/dbraw/zinc/39/62/02/608396202.db2.gz FATYRFFZVWLHFA-CYBMUJFWSA-N -1 1 309.333 1.235 20 0 DDADMM c1ccc([C@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCO2)cc1 ZINC000826520281 608396204 /nfs/dbraw/zinc/39/62/04/608396204.db2.gz FATYRFFZVWLHFA-CYBMUJFWSA-N -1 1 309.333 1.235 20 0 DDADMM c1ccc(N2CC[C@@H](Nc3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826521984 608416066 /nfs/dbraw/zinc/41/60/66/608416066.db2.gz GSRAAARUKINAQN-LLVKDONJSA-N -1 1 308.349 1.348 20 0 DDADMM c1ccc(N2CC[C@@H](Nc3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826521984 608416068 /nfs/dbraw/zinc/41/60/68/608416068.db2.gz GSRAAARUKINAQN-LLVKDONJSA-N -1 1 308.349 1.348 20 0 DDADMM OC[C@H](Nc1ccc(-c2nnn[n-]2)nn1)c1c(F)cccc1F ZINC000826508115 608420199 /nfs/dbraw/zinc/42/01/99/608420199.db2.gz FCVMMYWMWWZSTN-JTQLQIEISA-N -1 1 319.275 1.080 20 0 DDADMM OC[C@H](Nc1ccc(-c2nn[n-]n2)nn1)c1c(F)cccc1F ZINC000826508115 608420201 /nfs/dbraw/zinc/42/02/01/608420201.db2.gz FCVMMYWMWWZSTN-JTQLQIEISA-N -1 1 319.275 1.080 20 0 DDADMM CC(C)[C@@H]1OCCC[C@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000824229175 608428471 /nfs/dbraw/zinc/42/84/71/608428471.db2.gz OTYNWFCZPUKJLJ-GWCFXTLKSA-N -1 1 303.370 1.520 20 0 DDADMM CC(C)[C@@H]1OCCC[C@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000824229175 608428472 /nfs/dbraw/zinc/42/84/72/608428472.db2.gz OTYNWFCZPUKJLJ-GWCFXTLKSA-N -1 1 303.370 1.520 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NC[C@](C)(O)c1ccsc1 ZINC000826280582 608544270 /nfs/dbraw/zinc/54/42/70/608544270.db2.gz HWQAWWCVUAANIN-AWEZNQCLSA-N -1 1 316.390 1.951 20 0 DDADMM Fc1ccccc1C1=CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC000826378148 608584156 /nfs/dbraw/zinc/58/41/56/608584156.db2.gz XSBRGEABFGXGSO-UHFFFAOYSA-N -1 1 309.308 1.699 20 0 DDADMM Fc1ccccc1C1=CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC000826378148 608584157 /nfs/dbraw/zinc/58/41/57/608584157.db2.gz XSBRGEABFGXGSO-UHFFFAOYSA-N -1 1 309.308 1.699 20 0 DDADMM C[C@@H](C(=O)OC(C)(C)C)N(C)Cc1ccc(-c2nnn[n-]2)o1 ZINC000824052981 608730419 /nfs/dbraw/zinc/73/04/19/608730419.db2.gz UMKZSYRMZJWMGA-VIFPVBQESA-N -1 1 307.354 1.622 20 0 DDADMM C[C@@H](C(=O)OC(C)(C)C)N(C)Cc1ccc(-c2nn[n-]n2)o1 ZINC000824052981 608730421 /nfs/dbraw/zinc/73/04/21/608730421.db2.gz UMKZSYRMZJWMGA-VIFPVBQESA-N -1 1 307.354 1.622 20 0 DDADMM Cc1cc(C(=O)Cn2ccnc2-c2nnn[n-]2)cc(C)c1F ZINC000826257359 608890286 /nfs/dbraw/zinc/89/02/86/608890286.db2.gz ZBISXGZJMANCJD-UHFFFAOYSA-N -1 1 300.297 1.702 20 0 DDADMM Cc1cc(C(=O)Cn2ccnc2-c2nn[n-]n2)cc(C)c1F ZINC000826257359 608890287 /nfs/dbraw/zinc/89/02/87/608890287.db2.gz ZBISXGZJMANCJD-UHFFFAOYSA-N -1 1 300.297 1.702 20 0 DDADMM C[C@@]1(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCCOC1 ZINC000824784008 609296677 /nfs/dbraw/zinc/29/66/77/609296677.db2.gz MIXKLCPNCIUSKD-CQSZACIVSA-N -1 1 303.322 1.328 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)c1cncc(F)c1 ZINC000824516028 609417682 /nfs/dbraw/zinc/41/76/82/609417682.db2.gz VHZKBFSKHQTOLT-ZETCQYMHSA-N -1 1 318.337 1.953 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)c1cncc(F)c1 ZINC000824516028 609417684 /nfs/dbraw/zinc/41/76/84/609417684.db2.gz VHZKBFSKHQTOLT-ZETCQYMHSA-N -1 1 318.337 1.953 20 0 DDADMM CCCCn1ncc(NC(=O)Cc2sc(N)nc2[O-])c1C ZINC000122297842 696720624 /nfs/dbraw/zinc/72/06/24/696720624.db2.gz UZEHKZMRMCNVLJ-JTQLQIEISA-N -1 1 309.395 1.277 20 0 DDADMM CCO[C@@H]1CCC[C@H]1OC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000797153992 699996585 /nfs/dbraw/zinc/99/65/85/699996585.db2.gz TXXQKOUMQNBUSG-GHMZBOCLSA-N -1 1 317.363 1.302 20 0 DDADMM CC[C@@H](F)C(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000974796243 695737089 /nfs/dbraw/zinc/73/70/89/695737089.db2.gz HUVODLNRZPIKPP-IJLUTSLNSA-N -1 1 321.352 1.208 20 0 DDADMM CCCC[C@H](CC)CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798270909 700053908 /nfs/dbraw/zinc/05/39/08/700053908.db2.gz ZCRVGWCVKUUPOH-LBPRGKRZSA-N -1 1 309.414 1.616 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2ccc(Cl)cc2)n1 ZINC000031721320 696122390 /nfs/dbraw/zinc/12/23/90/696122390.db2.gz FCIQDBBOCVKAPD-UHFFFAOYSA-N -1 1 314.754 1.444 20 0 DDADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000033786288 696127471 /nfs/dbraw/zinc/12/74/71/696127471.db2.gz ATRCDFHUYKIDNB-BYPYZUCNSA-N -1 1 316.187 1.649 20 0 DDADMM O=C([N-]OCc1ccccc1)[C@H]1CC(=O)N(Cc2ccco2)C1 ZINC000043544570 696154872 /nfs/dbraw/zinc/15/48/72/696154872.db2.gz HSZIKWWCTCTPTP-AWEZNQCLSA-N -1 1 314.341 1.876 20 0 DDADMM O=C(C/C=C\c1ccc(F)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000747386389 700067078 /nfs/dbraw/zinc/06/70/78/700067078.db2.gz MPKGYGVENJLFEU-FYDYADQUSA-N -1 1 317.324 1.342 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)C1=CCCC1)C(=O)c1ncccc1[O-] ZINC000977600245 696229860 /nfs/dbraw/zinc/22/98/60/696229860.db2.gz BFQGEFLITAATDE-ZDUSSCGKSA-N -1 1 315.373 1.570 20 0 DDADMM CN(C[C@H]1CCN1C(=O)C1(C)CC1)C(=O)c1ncccc1[O-] ZINC000977601166 696230270 /nfs/dbraw/zinc/23/02/70/696230270.db2.gz NIUKIQNYFNPAKB-LLVKDONJSA-N -1 1 303.362 1.260 20 0 DDADMM O=C(COC1CCCCC1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000747474455 700071925 /nfs/dbraw/zinc/07/19/25/700071925.db2.gz TVXRGKKSHAMQHY-OAHLLOKOSA-N -1 1 315.377 1.755 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OC[C@H]1CCOC1 ZINC000051695251 696246377 /nfs/dbraw/zinc/24/63/77/696246377.db2.gz CDWOXMMQPKKKKP-QMMMGPOBSA-N -1 1 305.377 1.313 20 0 DDADMM COCCOc1ncccc1C(=O)[N-]NC(=O)c1ccccc1 ZINC000052930509 696262044 /nfs/dbraw/zinc/26/20/44/696262044.db2.gz DHNJAJZLFSCGOK-UHFFFAOYSA-N -1 1 315.329 1.182 20 0 DDADMM CC1N=NC(C(=O)Nc2ccc(N3CCOC[C@@H]3C)cc2)=C1[O-] ZINC000747660919 700080422 /nfs/dbraw/zinc/08/04/22/700080422.db2.gz SNYVZSCSDPTOOH-JTQLQIEISA-N -1 1 316.361 1.901 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCc2cc(C#N)ccc2F)n1 ZINC000074798559 696416964 /nfs/dbraw/zinc/41/69/64/696416964.db2.gz VPXJDRPPKABMDH-UHFFFAOYSA-N -1 1 316.296 1.322 20 0 DDADMM Cn1ccc2c1cccc2NC(=O)Cc1sc(N)nc1[O-] ZINC000079939084 696465418 /nfs/dbraw/zinc/46/54/18/696465418.db2.gz XLRRJSJNPCDRCM-LLVKDONJSA-N -1 1 302.359 1.464 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2ccc(Cl)nc2Cl)CCC1 ZINC000747904451 700091748 /nfs/dbraw/zinc/09/17/48/700091748.db2.gz LFZQPEHEOXORPR-UHFFFAOYSA-N -1 1 324.189 1.075 20 0 DDADMM CNC(=O)c1cccc(NC(=O)c2nnc3ccccc3c2O)c1 ZINC000109939724 696638632 /nfs/dbraw/zinc/63/86/32/696638632.db2.gz NULWGLHVRIUJBW-UHFFFAOYSA-N -1 1 322.324 1.535 20 0 DDADMM O=C(Cc1ccc(Cl)cc1Br)Nc1nnn[n-]1 ZINC000113417636 696657189 /nfs/dbraw/zinc/65/71/89/696657189.db2.gz CFBGKMOCUABLEW-UHFFFAOYSA-N -1 1 316.546 1.797 20 0 DDADMM O=C(Cc1ccc(Cl)cc1Br)Nc1nn[n-]n1 ZINC000113417636 696657190 /nfs/dbraw/zinc/65/71/90/696657190.db2.gz CFBGKMOCUABLEW-UHFFFAOYSA-N -1 1 316.546 1.797 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(-n2cccc2)nc1)c1nn[n-]n1 ZINC000124044989 696738328 /nfs/dbraw/zinc/73/83/28/696738328.db2.gz SRNPURDUXIOPMV-NSHDSACASA-N -1 1 311.349 1.261 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982675197 697167854 /nfs/dbraw/zinc/16/78/54/697167854.db2.gz JYYMNIRWWSSBPI-GRYCIOLGSA-N -1 1 303.362 1.022 20 0 DDADMM CC1(CC(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000983006672 697200628 /nfs/dbraw/zinc/20/06/28/697200628.db2.gz SNFKKSLJPQISHE-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000984470019 697389175 /nfs/dbraw/zinc/38/91/75/697389175.db2.gz ONBDASUOJNMHTM-FRRDWIJNSA-N -1 1 317.389 1.506 20 0 DDADMM O=C([N-]Cc1ccnc(-n2cccn2)c1)C(F)(F)C(F)F ZINC000175945172 697399377 /nfs/dbraw/zinc/39/93/77/697399377.db2.gz PMHMZLPDXIZQHE-UHFFFAOYSA-N -1 1 302.231 1.784 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1CC ZINC000984800967 697430684 /nfs/dbraw/zinc/43/06/84/697430684.db2.gz HMAIKBNFMSDISJ-FRRDWIJNSA-N -1 1 319.405 1.943 20 0 DDADMM C=C(C)C[C@H](C)C(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000186185936 697531129 /nfs/dbraw/zinc/53/11/29/697531129.db2.gz BFIMSSZOISYCAA-JTQLQIEISA-N -1 1 304.350 1.414 20 0 DDADMM CCc1ccccc1S(=O)(=O)NN=c1nc(OC)cc[n-]1 ZINC000187254838 697543173 /nfs/dbraw/zinc/54/31/73/697543173.db2.gz YAFRZMDWNABLBH-UHFFFAOYSA-N -1 1 308.363 1.353 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CCCC1 ZINC000985913162 697601649 /nfs/dbraw/zinc/60/16/49/697601649.db2.gz ZGMIVJHGUIVZHQ-ZYHUDNBSSA-N -1 1 307.398 1.170 20 0 DDADMM CC(C)(CNC(=O)CCn1cc[n-]c(=O)c1=O)C1=CCCCC1 ZINC000772321398 697620487 /nfs/dbraw/zinc/62/04/87/697620487.db2.gz MOWYNCJFIFDKML-UHFFFAOYSA-N -1 1 319.405 1.570 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C=C1CCCCC1 ZINC000986032170 697647569 /nfs/dbraw/zinc/64/75/69/697647569.db2.gz DYGGPHTUTBARRP-AAEUAGOBSA-N -1 1 319.409 1.480 20 0 DDADMM O=C(CCCn1cccc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773160066 697721683 /nfs/dbraw/zinc/72/16/83/697721683.db2.gz GMURXMVZHWFQAH-LLVKDONJSA-N -1 1 306.395 1.098 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCCSC ZINC000773499635 697768562 /nfs/dbraw/zinc/76/85/62/697768562.db2.gz DQLPZNQIVSWTAC-UHFFFAOYSA-N -1 1 303.405 1.968 20 0 DDADMM C[C@@H]1CN(Cn2[n-]c(-c3ccccn3)nc2=S)CC(=O)N1C ZINC000799955568 700177351 /nfs/dbraw/zinc/17/73/51/700177351.db2.gz LKCVONQBWHJVKC-SNVBAGLBSA-N -1 1 318.406 1.123 20 0 DDADMM C[C@H](O)c1ncc(C(=O)[N-]c2n[nH]c(-c3ccccn3)n2)s1 ZINC000774374895 697873483 /nfs/dbraw/zinc/87/34/83/697873483.db2.gz DNVPZSXCVOIZPL-ZETCQYMHSA-N -1 1 316.346 1.629 20 0 DDADMM COC(C)(C)C[C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774440176 697879612 /nfs/dbraw/zinc/87/96/12/697879612.db2.gz VCAFNGZMFIRSIZ-VIFPVBQESA-N -1 1 308.407 1.951 20 0 DDADMM O=C(Cc1ccccc1O)OCCC[N-]C(=O)C(F)(F)F ZINC000774940318 697944648 /nfs/dbraw/zinc/94/46/48/697944648.db2.gz ZKDWPSPQHHADRI-UHFFFAOYSA-N -1 1 305.252 1.547 20 0 DDADMM Cc1nc(CCC(=O)OCCC[N-]C(=O)C(F)(F)F)cs1 ZINC000774951862 697946529 /nfs/dbraw/zinc/94/65/29/697946529.db2.gz SOFHPFCXLKEDFY-UHFFFAOYSA-N -1 1 324.324 1.996 20 0 DDADMM O=C(N[C@@H]1CCCCCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000987000303 697968241 /nfs/dbraw/zinc/96/82/41/697968241.db2.gz BFYCPBHXENMMTL-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(C[C@H](O)c1cccc(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000775545221 698008320 /nfs/dbraw/zinc/00/83/20/698008320.db2.gz LSBZNDJBEQKJEJ-YPMHNXCESA-N -1 1 319.340 1.169 20 0 DDADMM O=C(Cc1[nH]nc2ccccc21)NCCc1n[n-]c(=S)o1 ZINC000776536441 698114035 /nfs/dbraw/zinc/11/40/35/698114035.db2.gz KVCBHAWEPUSIKB-UHFFFAOYSA-N -1 1 303.347 1.136 20 0 DDADMM O=C(c1ccc(F)c(F)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776565795 698117694 /nfs/dbraw/zinc/11/76/94/698117694.db2.gz TXNYPMWNYPXUQF-JTQLQIEISA-N -1 1 311.317 1.408 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)C2(C)CC2)C1)C(=O)c1ncccc1[O-] ZINC000988046047 698287678 /nfs/dbraw/zinc/28/76/78/698287678.db2.gz GTDPNLWEILOLJH-LBPRGKRZSA-N -1 1 317.389 1.508 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OC[C@H]1CCOC1)N1C[C@@H](C)O[C@H](C)C1 ZINC000248986457 698385243 /nfs/dbraw/zinc/38/52/43/698385243.db2.gz WZDAUFPZKAOIGY-KBXIAJHMSA-N -1 1 314.426 1.205 20 0 DDADMM CCC(N[C@@H]1CCC[C@@H](C)[C@H]1C)=C1C(=O)[N-]C(=S)NC1=O ZINC000249115370 698387301 /nfs/dbraw/zinc/38/73/01/698387301.db2.gz QJMUCOFQVFPBSD-FXPVBKGRSA-N -1 1 309.435 1.596 20 0 DDADMM CC(C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C)=C1CCC1 ZINC000988816808 698474960 /nfs/dbraw/zinc/47/49/60/698474960.db2.gz CYOBMARNYGGWJE-BXKDBHETSA-N -1 1 305.382 1.090 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CCC[C@H]1C(=O)[O-])c1cccc(F)c1 ZINC000780354284 698510410 /nfs/dbraw/zinc/51/04/10/698510410.db2.gz PRUWMAHWUSEDED-KBPBESRZSA-N -1 1 323.368 1.687 20 0 DDADMM C[C@@H](NC(=O)NC[C@H](C)N1CCc2ccccc2C1)C(=O)[O-] ZINC000780479377 698523209 /nfs/dbraw/zinc/52/32/09/698523209.db2.gz CVFAMFPEAFYMNV-NWDGAFQWSA-N -1 1 305.378 1.206 20 0 DDADMM COCCN(CC(=O)[O-])C(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC000780619617 698539381 /nfs/dbraw/zinc/53/93/81/698539381.db2.gz LCQVHQFXRLVMBJ-UHFFFAOYSA-N -1 1 320.349 1.114 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCC=CO2)c1 ZINC000780898265 698569012 /nfs/dbraw/zinc/56/90/12/698569012.db2.gz DMAWPEIPCHLCNT-JTQLQIEISA-N -1 1 301.320 1.037 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC000989324519 698585949 /nfs/dbraw/zinc/58/59/49/698585949.db2.gz GKCNEACSXINUAG-USZNOCQGSA-N -1 1 303.362 1.162 20 0 DDADMM COC1CCC(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CC1 ZINC000781243074 698601943 /nfs/dbraw/zinc/60/19/43/698601943.db2.gz HYNMRABPCUURDC-UHFFFAOYSA-N -1 1 319.357 1.691 20 0 DDADMM C[C@]1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCCOC1 ZINC000781293691 698606631 /nfs/dbraw/zinc/60/66/31/698606631.db2.gz YOHAUNQACBVSSY-INIZCTEOSA-N -1 1 305.330 1.303 20 0 DDADMM CCO[C@H]1C[C@](CO)([N-]C(=O)C(F)(F)C(F)F)C1(C)C ZINC000781872110 698657861 /nfs/dbraw/zinc/65/78/61/698657861.db2.gz GUXSEXAKORTUQH-WRWORJQWSA-N -1 1 301.280 1.569 20 0 DDADMM COCCOCCOCCN1CCc2ccc(C(=O)[O-])cc2C1 ZINC000322875575 698737259 /nfs/dbraw/zinc/73/72/59/698737259.db2.gz XHNBPYWRUPEBQE-UHFFFAOYSA-N -1 1 323.389 1.423 20 0 DDADMM CC[C@@](C)(C[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-])OC ZINC000323040268 698738503 /nfs/dbraw/zinc/73/85/03/698738503.db2.gz BOJJVELGCXQJAL-LBPRGKRZSA-N -1 1 320.342 1.827 20 0 DDADMM CC(F)(F)C(=O)[N-]C1CN(C(=O)c2ccc(F)c(F)c2F)C1 ZINC000990056602 698926499 /nfs/dbraw/zinc/92/64/99/698926499.db2.gz PACGLXVSDPABGI-UHFFFAOYSA-N -1 1 322.233 1.700 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc(-c2ncn(C)n2)cc1 ZINC000786906259 699178348 /nfs/dbraw/zinc/17/83/48/699178348.db2.gz RVBGCHMFCYYITQ-UHFFFAOYSA-N -1 1 319.346 1.281 20 0 DDADMM O=C(C[C@@H]1CC=CCC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990976278 699197493 /nfs/dbraw/zinc/19/74/93/699197493.db2.gz ZQRFMENTZZMHNU-GFCCVEGCSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000701200211 699226204 /nfs/dbraw/zinc/22/62/04/699226204.db2.gz BFRYABMWTKOEHU-RBXMUDONSA-N -1 1 307.803 1.164 20 0 DDADMM CO[C@H]1C[C@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000714506259 699271692 /nfs/dbraw/zinc/27/16/92/699271692.db2.gz UUQAJPQOJNQBRC-ZKCHVHJHSA-N -1 1 308.762 1.577 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC12OCCO2)c1cc(F)ccc1F ZINC000715943302 699278857 /nfs/dbraw/zinc/27/88/57/699278857.db2.gz WJTWDJSELZVCRZ-LBPRGKRZSA-N -1 1 319.329 1.539 20 0 DDADMM CN(Cc1nc2ccccc2c(=O)[nH]1)C(=O)c1ncccc1[O-] ZINC000725877965 699337097 /nfs/dbraw/zinc/33/70/97/699337097.db2.gz CDCGRUUWDGCHMK-UHFFFAOYSA-N -1 1 310.313 1.708 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@@H]1CC[C@@H](C)O1 ZINC000788783860 699339518 /nfs/dbraw/zinc/33/95/18/699339518.db2.gz IIZLHDGSZZPMPK-ZJUUUORDSA-N -1 1 300.380 1.255 20 0 DDADMM CN(Cc1cccc(Cl)c1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000726865576 699386075 /nfs/dbraw/zinc/38/60/75/699386075.db2.gz BKRNRPBXLSARAL-UHFFFAOYSA-N -1 1 321.764 1.239 20 0 DDADMM CC(C)c1csc(NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC000727847953 699427703 /nfs/dbraw/zinc/42/77/03/699427703.db2.gz ACFZNOKKXSDYIP-UHFFFAOYSA-N -1 1 308.363 1.145 20 0 DDADMM C[C@@H](CC(=O)OCC(=O)[N-]C(=O)c1ccccc1)n1cccc1 ZINC000729290366 699475862 /nfs/dbraw/zinc/47/58/62/699475862.db2.gz UDUQVTAMTQKEDX-ZDUSSCGKSA-N -1 1 314.341 1.939 20 0 DDADMM CCN(CC)c1nc([O-])c(CC(=O)Nc2cnccn2)s1 ZINC000730814494 699516411 /nfs/dbraw/zinc/51/64/11/699516411.db2.gz CQAXJJWNSDBILI-VIFPVBQESA-N -1 1 307.379 1.145 20 0 DDADMM O=C(CSc1ccc(F)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000731854859 699538186 /nfs/dbraw/zinc/53/81/86/699538186.db2.gz IYAPZCFCKUJGLS-LLVKDONJSA-N -1 1 323.353 1.031 20 0 DDADMM CCc1ccc([C@H](C)C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000732091002 699545981 /nfs/dbraw/zinc/54/59/81/699545981.db2.gz XHVFBVXBTOCAQF-FZMZJTMJSA-N -1 1 315.377 1.466 20 0 DDADMM Cc1nc2ccccc2cc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732089286 699546165 /nfs/dbraw/zinc/54/61/65/699546165.db2.gz FDEORWZGOBGQLY-CQSZACIVSA-N -1 1 324.344 1.270 20 0 DDADMM O=C(COC(=O)C1CCSCC1)[N-]C(=O)c1ccccc1 ZINC000735932876 699711474 /nfs/dbraw/zinc/71/14/74/699711474.db2.gz SIQWZHDECDXNSF-UHFFFAOYSA-N -1 1 307.371 1.629 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(-n2cccn2)nc1)c1nn[n-]n1 ZINC000736431332 699725776 /nfs/dbraw/zinc/72/57/76/699725776.db2.gz UBVQWKIJQZRIDK-NSHDSACASA-N -1 1 312.337 1.052 20 0 DDADMM O=C([N-]S(=O)(=O)c1cccs1)c1ccc2cncn2c1 ZINC000793112005 699733497 /nfs/dbraw/zinc/73/34/97/699733497.db2.gz WTASENDXHIEERI-UHFFFAOYSA-N -1 1 307.356 1.515 20 0 DDADMM O=C(COC(=O)c1ccc(Cl)cn1)[N-]C(=O)c1ccccc1 ZINC000736862867 699734079 /nfs/dbraw/zinc/73/40/79/699734079.db2.gz FMMPYXNOYMOKKS-UHFFFAOYSA-N -1 1 318.716 1.848 20 0 DDADMM CNc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc(Cl)n1 ZINC000736886243 699734344 /nfs/dbraw/zinc/73/43/44/699734344.db2.gz GIHFIBYFMFBDMC-ZETCQYMHSA-N -1 1 309.761 1.166 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000738145570 699753667 /nfs/dbraw/zinc/75/36/67/699753667.db2.gz TVXKPMONLSDUHU-UHFFFAOYSA-N -1 1 308.292 1.889 20 0 DDADMM CCn1ncnc1C[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000794233202 699798830 /nfs/dbraw/zinc/79/88/30/699798830.db2.gz QCMBPWKNCQWXOP-UHFFFAOYSA-N -1 1 316.258 1.984 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCCC[C@H](C)O ZINC000795478556 699870750 /nfs/dbraw/zinc/87/07/50/699870750.db2.gz ZWSILHXPTWFIIF-JTQLQIEISA-N -1 1 321.377 1.689 20 0 DDADMM Cc1ccc(C=CS(=O)(=O)[N-]c2nc(N(C)C)n[nH]2)cc1 ZINC000795605686 699878554 /nfs/dbraw/zinc/87/85/54/699878554.db2.gz QXQWFFUJRUJXAZ-CMDGGOBGSA-N -1 1 307.379 1.592 20 0 DDADMM CC[C@@H](C)[C@H](OC)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000795983300 699905000 /nfs/dbraw/zinc/90/50/00/699905000.db2.gz AGULIIWAYBYRKX-RISCZKNCSA-N -1 1 307.346 1.547 20 0 DDADMM Cn1cc([C@@H](O)CNC(=O)c2cc3ccccc3cc2[O-])cn1 ZINC000743648518 699922086 /nfs/dbraw/zinc/92/20/86/699922086.db2.gz MYSIZBZAUIMPRK-INIZCTEOSA-N -1 1 311.341 1.742 20 0 DDADMM Cc1noc([C@H]2CCCCN2CCC[N-]C(=O)C(F)(F)F)n1 ZINC000796666946 699945460 /nfs/dbraw/zinc/94/54/60/699945460.db2.gz FGINULWUHJBIOB-SNVBAGLBSA-N -1 1 320.315 1.974 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)C2CCCC2)o1 ZINC000744720065 699959114 /nfs/dbraw/zinc/95/91/14/699959114.db2.gz WXEFNTCGLHKCRY-UHFFFAOYSA-N -1 1 315.347 1.104 20 0 DDADMM Cc1c(N)cccc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000744950664 699966686 /nfs/dbraw/zinc/96/66/86/699966686.db2.gz ZJKSORGHTWTCQZ-UHFFFAOYSA-N -1 1 312.325 1.691 20 0 DDADMM COC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1cccc(C)c1C ZINC000750956397 700260525 /nfs/dbraw/zinc/26/05/25/700260525.db2.gz INAJXNLYTVCLER-UHFFFAOYSA-N -1 1 324.358 1.889 20 0 DDADMM CN(C)C(=O)COC(=O)c1nn(-c2ccc(Cl)cc2)cc1[O-] ZINC000801375520 700302008 /nfs/dbraw/zinc/30/20/08/700302008.db2.gz PPHXMMZNHASSAW-UHFFFAOYSA-N -1 1 323.736 1.476 20 0 DDADMM Cc1cccc(-n2cc([O-])c(C(=O)O[C@@H]3CCNC3=O)n2)c1C ZINC000801418620 700305966 /nfs/dbraw/zinc/30/59/66/700305966.db2.gz PDHMIRLKSYIFPC-CYBMUJFWSA-N -1 1 315.329 1.240 20 0 DDADMM CCNC(=O)COC(=O)c1nn(-c2ccccc2CC)cc1[O-] ZINC000801419579 700306130 /nfs/dbraw/zinc/30/61/30/700306130.db2.gz DYMIAUZKVXWRLK-UHFFFAOYSA-N -1 1 317.345 1.433 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3ccc4c(c3)OCCO4)ccnc1-2 ZINC000801704253 700333150 /nfs/dbraw/zinc/33/31/50/700333150.db2.gz HCAIIDIWJYGQRE-UHFFFAOYSA-N -1 1 324.340 1.294 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)CCSC)c1 ZINC000754023271 700477172 /nfs/dbraw/zinc/47/71/72/700477172.db2.gz KYJHXAPZOYMVQI-QMMMGPOBSA-N -1 1 307.393 1.486 20 0 DDADMM Cc1cccc2c1[C@H](C)C[C@@H]2CC(=O)NN1CC(=O)[N-]C1=O ZINC000754163704 700487100 /nfs/dbraw/zinc/48/71/00/700487100.db2.gz RBGVLWAIUMQJKB-GHMZBOCLSA-N -1 1 301.346 1.559 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCCCOC)co1 ZINC000756400337 700619963 /nfs/dbraw/zinc/61/99/63/700619963.db2.gz HPBZVNNXHMGDJU-UHFFFAOYSA-N -1 1 305.352 1.161 20 0 DDADMM C[C@@H]1C[C@]2(C[C@H]2C(=O)OCCC[N-]C(=O)C(F)(F)F)CCO1 ZINC000759647239 700764304 /nfs/dbraw/zinc/76/43/04/700764304.db2.gz COUWFDQVOJOPIO-NRUUGDAUSA-N -1 1 323.311 1.803 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)c2ccc[nH]2)o1 ZINC000760406556 700806990 /nfs/dbraw/zinc/80/69/90/700806990.db2.gz PFXDMBKLVWRTMS-VIFPVBQESA-N -1 1 312.347 1.824 20 0 DDADMM CSc1nc(C)c(CCC(=O)O[C@@H]2CCCOC2)c(=O)[n-]1 ZINC000761339852 700856985 /nfs/dbraw/zinc/85/69/85/700856985.db2.gz IPWCQKNZAHYKBK-SNVBAGLBSA-N -1 1 312.391 1.867 20 0 DDADMM C[C@@H](NCc1cc(=O)oc2cc([O-])ccc12)c1nncn1C ZINC000763036455 700921328 /nfs/dbraw/zinc/92/13/28/700921328.db2.gz CXFROZYDEFIKFM-SECBINFHSA-N -1 1 300.318 1.478 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@H]1C[C@@]12CCOC2 ZINC000764658839 700981241 /nfs/dbraw/zinc/98/12/41/700981241.db2.gz UOSMDDHUXYPULB-CXAGYDPISA-N -1 1 316.309 1.968 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1ccc(C(F)(F)F)cn1 ZINC000765194789 701002529 /nfs/dbraw/zinc/00/25/29/701002529.db2.gz OYOPVLHCACUWJM-UHFFFAOYSA-N -1 1 322.268 1.270 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(Br)cc2)nc1=O ZINC000765393935 701009726 /nfs/dbraw/zinc/00/97/26/701009726.db2.gz VNDKUFREDPCXND-UHFFFAOYSA-N -1 1 312.123 1.228 20 0 DDADMM Cc1ccc(-c2cc(C(=O)OCc3nc(=O)n(C)[n-]3)n[nH]2)cc1 ZINC000765409076 701010292 /nfs/dbraw/zinc/01/02/92/701010292.db2.gz KGIZQAHPQWCWMQ-UHFFFAOYSA-N -1 1 313.317 1.164 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc3c(cc2O)CCCC3)nc1=O ZINC000765465150 701014167 /nfs/dbraw/zinc/01/41/67/701014167.db2.gz ZLBSXVXONOMGDT-UHFFFAOYSA-N -1 1 303.318 1.050 20 0 DDADMM Cn1cc(CCC(=O)OCc2nc(=O)n(C)[n-]2)c2ccccc21 ZINC000765495364 701016091 /nfs/dbraw/zinc/01/60/91/701016091.db2.gz KRFYJMBDDQOEPU-UHFFFAOYSA-N -1 1 314.345 1.276 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc([C@H]3CCCOC3)cc2)nc1=O ZINC000765517745 701017702 /nfs/dbraw/zinc/01/77/02/701017702.db2.gz YWTVIZQQPQAWLE-ZDUSSCGKSA-N -1 1 317.345 1.359 20 0 DDADMM CCc1cc2c(COC(=O)[C@H](O)COC)cc(=O)oc2cc1[O-] ZINC000766151213 701040364 /nfs/dbraw/zinc/04/03/64/701040364.db2.gz YZNHMPNKNYYHLU-CYBMUJFWSA-N -1 1 322.313 1.112 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000766774411 701062631 /nfs/dbraw/zinc/06/26/31/701062631.db2.gz FQSSNDFHOGDDBJ-VIFPVBQESA-N -1 1 308.300 1.778 20 0 DDADMM CON(C)C(=O)CSc1nc(=O)cc([O-])n1C1CCCC1 ZINC000767056281 701081088 /nfs/dbraw/zinc/08/10/88/701081088.db2.gz ONHKJTYKNZLXHM-UHFFFAOYSA-N -1 1 313.379 1.176 20 0 DDADMM CON(C)C(=O)CSc1nc([O-])cc(=O)n1C1CCCC1 ZINC000767056281 701081090 /nfs/dbraw/zinc/08/10/90/701081090.db2.gz ONHKJTYKNZLXHM-UHFFFAOYSA-N -1 1 313.379 1.176 20 0 DDADMM C[C@H]1CN=C(N2CCN(C(=O)c3ccc([O-])c(F)c3)CC2)S1 ZINC000768189374 701162650 /nfs/dbraw/zinc/16/26/50/701162650.db2.gz YRLVVSACMBYVAZ-JTQLQIEISA-N -1 1 323.393 1.780 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(F)(F)F ZINC000769098313 701230620 /nfs/dbraw/zinc/23/06/20/701230620.db2.gz XHQBCVLFBUDHAX-SCZZXKLOSA-N -1 1 321.299 1.020 20 0 DDADMM C[C@@H](CS(C)(=O)=O)N(C)C(=O)c1c([O-])cccc1Cl ZINC000769826166 701258304 /nfs/dbraw/zinc/25/83/04/701258304.db2.gz MDQABUVFFCJEHU-QMMMGPOBSA-N -1 1 305.783 1.551 20 0 DDADMM CC(C)(CCS(C)(=O)=O)NC(=O)c1c([O-])cccc1Cl ZINC000769921198 701261118 /nfs/dbraw/zinc/26/11/18/701261118.db2.gz TYQBUNVTSHYGIA-UHFFFAOYSA-N -1 1 319.810 1.989 20 0 DDADMM COC[C@@](C)(CC(=O)OC)NC(=O)c1c([O-])cccc1Cl ZINC000769957236 701262141 /nfs/dbraw/zinc/26/21/41/701262141.db2.gz KCNQDLMVXBMVOQ-CQSZACIVSA-N -1 1 315.753 1.744 20 0 DDADMM CCOC(=O)[C@@H](CC=C(C)C)[N-]S(=O)(=O)CC(C)(C)OC ZINC000867757603 701733935 /nfs/dbraw/zinc/73/39/35/701733935.db2.gz ADAIUJWVZMGCQJ-GFCCVEGCSA-N -1 1 321.439 1.619 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cc[n+]([O-])cc1 ZINC000771575378 701324208 /nfs/dbraw/zinc/32/42/08/701324208.db2.gz UPSYPDMKMRATCH-UHFFFAOYSA-N -1 1 310.107 1.435 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)Nc1cc(Cl)ccc1F ZINC000805605569 701398745 /nfs/dbraw/zinc/39/87/45/701398745.db2.gz NXVHXCCVGILPEV-LURJTMIESA-N -1 1 312.688 1.781 20 0 DDADMM Cc1ncc2c(n1)CCC[C@@H]2NC(=O)C(=O)c1ccc([O-])cc1 ZINC000806872345 701451819 /nfs/dbraw/zinc/45/18/19/701451819.db2.gz OKAANZHIGGFGAK-HNNXBMFYSA-N -1 1 311.341 1.867 20 0 DDADMM CCCn1cc([N-]S(=O)(=O)CC(C)(C)C(=O)OC)cn1 ZINC000808097153 701497365 /nfs/dbraw/zinc/49/73/65/701497365.db2.gz RYGCLUFNUSVJKF-UHFFFAOYSA-N -1 1 303.384 1.234 20 0 DDADMM COC(OC)[C@H](C)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000808152457 701501669 /nfs/dbraw/zinc/50/16/69/701501669.db2.gz KOMHOMDVMQCVJV-VIFPVBQESA-N -1 1 311.382 1.525 20 0 DDADMM CCOc1cc(C(=O)N[C@H]2C[C@H](C)OC2=O)cc(Cl)c1[O-] ZINC000808205365 701507483 /nfs/dbraw/zinc/50/74/83/701507483.db2.gz CLBSNPGOEXQKSC-XVKPBYJWSA-N -1 1 313.737 1.878 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2ccccc2C=O)s1 ZINC000810111029 701731787 /nfs/dbraw/zinc/73/17/87/701731787.db2.gz XKXGQNWXXNDQRC-UHFFFAOYSA-N -1 1 311.388 1.392 20 0 DDADMM COC(=O)c1ccn(CCCC(=O)Nc2ccc(F)cc2[O-])n1 ZINC000879184349 706609386 /nfs/dbraw/zinc/60/93/86/706609386.db2.gz ZBLOEZGLSCIODH-UHFFFAOYSA-N -1 1 321.308 1.933 20 0 DDADMM CC[C@H](CC(C)C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952138 706609527 /nfs/dbraw/zinc/60/95/27/706609527.db2.gz CIKOKLKBRKWWIG-LLVKDONJSA-N -1 1 307.394 1.943 20 0 DDADMM CC(=CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C(C)(C)C ZINC000830953479 706609924 /nfs/dbraw/zinc/60/99/24/706609924.db2.gz VXODOACRPSLZIC-YFHOEESVSA-N -1 1 305.378 1.864 20 0 DDADMM CCc1ccnc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c1 ZINC000830959738 706611327 /nfs/dbraw/zinc/61/13/27/706611327.db2.gz SFHZKHDXBZHIQN-UHFFFAOYSA-N -1 1 314.345 1.142 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)C[C@@H](O)c1ccc(Cl)cc1 ZINC000836893334 707541028 /nfs/dbraw/zinc/54/10/28/707541028.db2.gz XPBXWPSRZDSVAO-OLZOCXBDSA-N -1 1 301.770 1.828 20 0 DDADMM Cc1cnc(F)c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000868064467 701921664 /nfs/dbraw/zinc/92/16/64/701921664.db2.gz ZFTUWTRUMXOWJY-UHFFFAOYSA-N -1 1 306.297 1.638 20 0 DDADMM CCC[C@@H](CC)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868165996 701973677 /nfs/dbraw/zinc/97/36/77/701973677.db2.gz FODLRQCPCKOIRA-SNVBAGLBSA-N -1 1 308.382 1.633 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2[C@@H]1O)c1c([O-])cccc1F ZINC000811568894 702008249 /nfs/dbraw/zinc/00/82/49/702008249.db2.gz MMVCJUALFNKKSW-ABAIWWIYSA-N -1 1 303.289 1.756 20 0 DDADMM CCc1ccc(N2CCN(C(=O)CCc3nn[n-]n3)CC2)cc1 ZINC000866191837 706644771 /nfs/dbraw/zinc/64/47/71/706644771.db2.gz UGVWGKLKCSZPSM-UHFFFAOYSA-N -1 1 314.393 1.044 20 0 DDADMM O=C(CCc1ccco1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831159304 706646476 /nfs/dbraw/zinc/64/64/76/706646476.db2.gz YXFVYAHECWPYOI-UHFFFAOYSA-N -1 1 304.268 1.349 20 0 DDADMM O=C([N-]CCCOC(=O)c1cc2ccc[nH]c-2n1)C(F)(F)F ZINC000840636995 702178945 /nfs/dbraw/zinc/17/89/45/702178945.db2.gz XWJVZSWXFOFHDM-UHFFFAOYSA-N -1 1 315.251 1.788 20 0 DDADMM CON(C)C(=O)C1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000812711759 702230278 /nfs/dbraw/zinc/23/02/78/702230278.db2.gz VWFUAQAIGWRJFR-UHFFFAOYSA-N -1 1 310.325 1.403 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)[N-]OCCOC(C)C)c[nH]c12 ZINC000812804989 702243816 /nfs/dbraw/zinc/24/38/16/702243816.db2.gz VWOPUXFBJHBGJH-UHFFFAOYSA-N -1 1 304.346 1.923 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@@H](C)N1CCc2ccccc2C1 ZINC000812808202 702245041 /nfs/dbraw/zinc/24/50/41/702245041.db2.gz BPQMDNFGTXRDOJ-CQSZACIVSA-N -1 1 306.406 1.906 20 0 DDADMM Cc1nnc(CC(=O)Nc2nc(Br)ccc2[O-])o1 ZINC000813533438 702369793 /nfs/dbraw/zinc/36/97/93/702369793.db2.gz XZVSRLZUSICQQG-UHFFFAOYSA-N -1 1 313.111 1.422 20 0 DDADMM CCc1cc(CC)nc(NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC000866288776 706666054 /nfs/dbraw/zinc/66/60/54/706666054.db2.gz NRIJWHHUEIJLNA-UHFFFAOYSA-N -1 1 315.377 1.782 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H](C)C2CCC2)co1 ZINC000817754708 702469232 /nfs/dbraw/zinc/46/92/32/702469232.db2.gz XWRYJCBJFIJIIW-SECBINFHSA-N -1 1 301.364 1.781 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)Cc2ccc(F)cc2F)C(=O)O1 ZINC000841525814 702480200 /nfs/dbraw/zinc/48/02/00/702480200.db2.gz UYXLHDREDVNCEG-WRWORJQWSA-N -1 1 305.302 1.088 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-][C@@H]2C(=O)OCC2(C)C)cc1F ZINC000841535337 702485983 /nfs/dbraw/zinc/48/59/83/702485983.db2.gz RHJVTLOWRJQPFQ-GFCCVEGCSA-N -1 1 315.366 1.505 20 0 DDADMM O=C(OCC[N-]S(=O)(=O)c1cccc(F)c1F)C1CC1 ZINC000841537759 702487982 /nfs/dbraw/zinc/48/79/82/702487982.db2.gz YVLRQBLKFAWHLX-UHFFFAOYSA-N -1 1 305.302 1.196 20 0 DDADMM CC[C@@H](C[C@@H](C)O)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000831270370 706674782 /nfs/dbraw/zinc/67/47/82/706674782.db2.gz VNVRKRSWFZAKNI-SKDRFNHKSA-N -1 1 320.393 1.260 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cn2cc(F)ccc2n1 ZINC000869222135 702517270 /nfs/dbraw/zinc/51/72/70/702517270.db2.gz ZXBNOBOLHFJCLD-UHFFFAOYSA-N -1 1 323.324 1.405 20 0 DDADMM CCOC(=O)c1c[n-]nc1S(=O)(=O)Oc1cccc(C=O)c1 ZINC000817923331 702523103 /nfs/dbraw/zinc/52/31/03/702523103.db2.gz IPPODAHWZVDDOE-UHFFFAOYSA-N -1 1 324.314 1.167 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@@H]1CC12CCCC2 ZINC000869426911 702603550 /nfs/dbraw/zinc/60/35/50/702603550.db2.gz YJZZOUPXCNWKLB-JTQLQIEISA-N -1 1 321.295 1.748 20 0 DDADMM CC[C@H](C(=O)Nc1ccncc1[O-])N(C)C(=O)OC(C)(C)C ZINC000831346136 706690398 /nfs/dbraw/zinc/69/03/98/706690398.db2.gz GDCBJEYPISAQBQ-LLVKDONJSA-N -1 1 309.366 1.793 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H]1Cc2ccccc2O1)c1nn[n-]n1 ZINC000866420038 706694906 /nfs/dbraw/zinc/69/49/06/706694906.db2.gz MLXUUOFTWUIMDE-VXGBXAGGSA-N -1 1 301.350 1.551 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCCN(CC(F)F)C1)c1nn[n-]n1 ZINC000866420010 706694942 /nfs/dbraw/zinc/69/49/42/706694942.db2.gz LSUPMBUGBJSNNU-ZJUUUORDSA-N -1 1 316.356 1.134 20 0 DDADMM NCC(F)(F)C[N-]S(=O)(=O)/C=C\c1cccc(Cl)c1 ZINC000842769773 702771225 /nfs/dbraw/zinc/77/12/25/702771225.db2.gz VPALYMAOSQFIIX-PLNGDYQASA-N -1 1 310.753 1.824 20 0 DDADMM CC[C@@H](C)C[C@@H](CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000844034361 702960527 /nfs/dbraw/zinc/96/05/27/702960527.db2.gz BGQMZOCGFQFKND-KOLCDFICSA-N -1 1 308.407 1.545 20 0 DDADMM C[C@@H](COCC1CC1)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000844650986 703051413 /nfs/dbraw/zinc/05/14/13/703051413.db2.gz RMBMFHYRGQBYKB-JTQLQIEISA-N -1 1 303.366 1.278 20 0 DDADMM COC(=O)c1coc(C[N-]C(=O)C(F)(F)c2nccs2)n1 ZINC000846483925 703281780 /nfs/dbraw/zinc/28/17/80/703281780.db2.gz YJCVQGHSOWEQGV-UHFFFAOYSA-N -1 1 317.273 1.326 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)C2CCCC2)o1 ZINC000847802281 703471300 /nfs/dbraw/zinc/47/13/00/703471300.db2.gz NPNNTKQDYAHQGC-SECBINFHSA-N -1 1 301.364 1.923 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@@H]1CCCCO1 ZINC000848510148 703559437 /nfs/dbraw/zinc/55/94/37/703559437.db2.gz RAKYAMBYUCKENK-ZJUUUORDSA-N -1 1 321.830 1.700 20 0 DDADMM CC1(C)OC[C@H]2C[C@]21C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000849931046 703690207 /nfs/dbraw/zinc/69/02/07/703690207.db2.gz QTTLWFOBRXVXSJ-SJKOYZFVSA-N -1 1 317.341 1.301 20 0 DDADMM CCOC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCC1 ZINC000850288709 703720992 /nfs/dbraw/zinc/72/09/92/703720992.db2.gz VKPZSJKQPCVPQJ-UHFFFAOYSA-N -1 1 305.330 1.445 20 0 DDADMM C[C@@H]1C[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)[C@H](C)O1 ZINC000869657292 703826389 /nfs/dbraw/zinc/82/63/89/703826389.db2.gz KGRDYRHXIGEWHT-MDZLAQPJSA-N -1 1 305.330 1.300 20 0 DDADMM CN(CC1CC1)C(=O)COC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869736551 703839314 /nfs/dbraw/zinc/83/93/14/703839314.db2.gz KAKVYFMFVHDZMW-UHFFFAOYSA-N -1 1 311.765 2.000 20 0 DDADMM COCCCNC(=O)COC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869736937 703839408 /nfs/dbraw/zinc/83/94/08/703839408.db2.gz WAYANLCHKROTOL-UHFFFAOYSA-N -1 1 315.753 1.284 20 0 DDADMM O=C([C@H](O)C1CC1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870162459 703939408 /nfs/dbraw/zinc/93/94/08/703939408.db2.gz NSEATQPYYGHQJD-OAHLLOKOSA-N -1 1 324.808 1.461 20 0 DDADMM CCC[C@H](C(=O)OCC)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763696 706784695 /nfs/dbraw/zinc/78/46/95/706784695.db2.gz GZKPIRYZSVPPMS-ZWNOBZJWSA-N -1 1 324.343 1.861 20 0 DDADMM O=S(=O)([N-][C@H]1CCCOC1)c1cc(Cl)cnc1Cl ZINC000866743957 706785011 /nfs/dbraw/zinc/78/50/11/706785011.db2.gz GEIMIRJSDQAUCV-QMMMGPOBSA-N -1 1 311.190 1.846 20 0 DDADMM CCCC[C@@H](C(=O)OC)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879766121 706785729 /nfs/dbraw/zinc/78/57/29/706785729.db2.gz VGTVRCTUWSFYHY-GWCFXTLKSA-N -1 1 324.343 1.861 20 0 DDADMM CC(C)CC[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000852736741 704110012 /nfs/dbraw/zinc/11/00/12/704110012.db2.gz OIQIWQYWVNGZFF-UWVGGRQHSA-N -1 1 310.316 1.629 20 0 DDADMM C[C@@H]1CCN(Cc2cnn(C)c2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852757359 704116822 /nfs/dbraw/zinc/11/68/22/704116822.db2.gz CTEGDNVTRWSWKV-KOLCDFICSA-N -1 1 304.316 1.309 20 0 DDADMM COC(=O)CCN1CCC[C@@H](O[N-]C(=O)CC(C)(C)C)C1=O ZINC000853705759 704302908 /nfs/dbraw/zinc/30/29/08/704302908.db2.gz CHZAODPXTZGMBM-LLVKDONJSA-N -1 1 314.382 1.025 20 0 DDADMM CCC[C@H](NC(=O)C1=Cc2cc(C)ccc2OC1)c1nn[n-]n1 ZINC000820673886 704318521 /nfs/dbraw/zinc/31/85/21/704318521.db2.gz MYIHWNYIGQBMDV-ZDUSSCGKSA-N -1 1 313.361 1.942 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2ocnc2C)c1 ZINC000821201535 704380373 /nfs/dbraw/zinc/38/03/73/704380373.db2.gz DWBGTPWTVKSRLE-NRFANRHFSA-N -1 1 309.343 1.843 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H]2CCOC[C@H]2C)c1 ZINC000821310031 704388487 /nfs/dbraw/zinc/38/84/87/704388487.db2.gz GKZYHMLXMYTODD-DQCMRGAYSA-N -1 1 312.387 1.771 20 0 DDADMM CC(C)n1ccc(C[N-]S(=O)(=O)c2ccc(F)nc2F)n1 ZINC000866823285 706814741 /nfs/dbraw/zinc/81/47/41/706814741.db2.gz KUDISNBSZPCJIC-UHFFFAOYSA-N -1 1 316.333 1.616 20 0 DDADMM Cn1ncc(CCCNC(=O)c2ncc3ccccc3c2[O-])n1 ZINC000854656964 704434977 /nfs/dbraw/zinc/43/49/77/704434977.db2.gz SECAFBBBNFXBLQ-UHFFFAOYSA-N -1 1 311.345 1.432 20 0 DDADMM COc1cc(C)c(C)cc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000855352722 704480445 /nfs/dbraw/zinc/48/04/45/704480445.db2.gz GIZGIORPPKGINM-UHFFFAOYSA-N -1 1 317.345 1.191 20 0 DDADMM C[C@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@H]1CCO ZINC000855540098 704491114 /nfs/dbraw/zinc/49/11/14/704491114.db2.gz BEESWHOGBWGSRL-JQWIXIFHSA-N -1 1 320.418 1.641 20 0 DDADMM CN(C)C(=O)OCCNC(=O)c1ncc2ccccc2c1[O-] ZINC000855643431 704494611 /nfs/dbraw/zinc/49/46/11/704494611.db2.gz DYARWFJFQYVVJA-UHFFFAOYSA-N -1 1 303.318 1.368 20 0 DDADMM CC(C)CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)[N-]OCC1CCC1 ZINC000856351128 704524143 /nfs/dbraw/zinc/52/41/43/704524143.db2.gz ABZYEZSLLJVSOA-CQSZACIVSA-N -1 1 322.409 1.331 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C[C@H](C)C3CC3)nc2n1 ZINC000857684008 704613395 /nfs/dbraw/zinc/61/33/95/704613395.db2.gz IBHMCNKOOFMUCC-VIFPVBQESA-N -1 1 303.366 1.745 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](C)[C@@H](CO)C1 ZINC000857730323 704618611 /nfs/dbraw/zinc/61/86/11/704618611.db2.gz PUTKTCVZIAEEEV-CMPLNLGQSA-N -1 1 307.394 1.705 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@H](Nc2cc(Cl)[n-]c(=O)n2)C1 ZINC000858419421 704709087 /nfs/dbraw/zinc/70/90/87/704709087.db2.gz KVAIYXGHUUUSFJ-YUMQZZPRSA-N -1 1 305.787 1.603 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CC(C)=NO2)c(F)c1 ZINC000867346396 706968406 /nfs/dbraw/zinc/96/84/06/706968406.db2.gz AECTWKKNBNWCSR-VIFPVBQESA-N -1 1 320.317 1.417 20 0 DDADMM Cc1cc(C)c(C[N-]S(=O)(=O)N=S2(=O)CCCC2)cn1 ZINC000867369075 706977612 /nfs/dbraw/zinc/97/76/12/706977612.db2.gz KTZQHRBPPQEFMF-UHFFFAOYSA-N -1 1 317.436 1.295 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CC1(COC)CCCC1 ZINC000867373690 706978876 /nfs/dbraw/zinc/97/88/76/706978876.db2.gz ZHYMNLUHVBGARR-GOSISDBHSA-N -1 1 312.457 1.145 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@]23C[C@H]2COC32CCC2)n1 ZINC000822547739 704972755 /nfs/dbraw/zinc/97/27/55/704972755.db2.gz ORLVEBGJUYSJNE-VFZGTOFNSA-N -1 1 305.334 1.484 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H]1C[C@H](C(=O)[O-])C1)c1ccc(F)cc1 ZINC000874386593 705031351 /nfs/dbraw/zinc/03/13/51/705031351.db2.gz PVZRCERGWJTIPE-UBHSHLNASA-N -1 1 323.368 1.591 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(Cc2cn[nH]c2)CC1 ZINC000823028983 705117686 /nfs/dbraw/zinc/11/76/86/705117686.db2.gz AIZLYRJNYKCTCS-UHFFFAOYSA-N -1 1 304.325 1.212 20 0 DDADMM O=C(Cc1ccc(F)cc1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000823082776 705134143 /nfs/dbraw/zinc/13/41/43/705134143.db2.gz PDTUJYRESYTCKA-UHFFFAOYSA-N -1 1 313.292 1.893 20 0 DDADMM CCOC(=O)[C@H](C)C1CN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000874729823 705153633 /nfs/dbraw/zinc/15/36/33/705153633.db2.gz DGOZAJBWSQUEMV-SNVBAGLBSA-N -1 1 305.330 1.233 20 0 DDADMM Cc1cocc1C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000860648732 705245884 /nfs/dbraw/zinc/24/58/84/705245884.db2.gz VQIYJYQNHPARDX-UHFFFAOYSA-N -1 1 302.290 1.027 20 0 DDADMM COC(=O)[C@@H](F)C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000823525418 705260524 /nfs/dbraw/zinc/26/05/24/705260524.db2.gz BRCIDSFRRHTHSD-VIFPVBQESA-N -1 1 311.206 1.684 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3CO[C@H](C4CC4)C3)ccnc1-2 ZINC000861025898 705352550 /nfs/dbraw/zinc/35/25/50/705352550.db2.gz UOFODUDILBWJKD-MFKMUULPSA-N -1 1 301.350 1.031 20 0 DDADMM CCOC(=O)c1cnn(Cc2ccc(F)cc2)c1-c1nn[n-]n1 ZINC000825571256 705695144 /nfs/dbraw/zinc/69/51/44/705695144.db2.gz WLWDSMSRXFVKDJ-UHFFFAOYSA-N -1 1 316.296 1.427 20 0 DDADMM Cc1onc(CC(=O)N2CCS[C@@H](C)[C@@H]2C)c1-c1nnn[n-]1 ZINC000826345853 705793456 /nfs/dbraw/zinc/79/34/56/705793456.db2.gz WDAIXRIZJHKBAB-CBAPKCEASA-N -1 1 322.394 1.058 20 0 DDADMM Cc1onc(CC(=O)N2CCS[C@@H](C)[C@@H]2C)c1-c1nn[n-]n1 ZINC000826345853 705793458 /nfs/dbraw/zinc/79/34/58/705793458.db2.gz WDAIXRIZJHKBAB-CBAPKCEASA-N -1 1 322.394 1.058 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(F)c(F)c2)c1-c1nnn[n-]1 ZINC000826348402 705795195 /nfs/dbraw/zinc/79/51/95/705795195.db2.gz KNGFXMJBMRIYAU-UHFFFAOYSA-N -1 1 320.259 1.623 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(F)c(F)c2)c1-c1nn[n-]n1 ZINC000826348402 705795200 /nfs/dbraw/zinc/79/52/00/705795200.db2.gz KNGFXMJBMRIYAU-UHFFFAOYSA-N -1 1 320.259 1.623 20 0 DDADMM OCCCCCCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000826509724 705806518 /nfs/dbraw/zinc/80/65/18/705806518.db2.gz HAPKLPRVLIKCOK-UHFFFAOYSA-N -1 1 302.791 1.941 20 0 DDADMM OCCCCCCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000826509724 705806519 /nfs/dbraw/zinc/80/65/19/705806519.db2.gz HAPKLPRVLIKCOK-UHFFFAOYSA-N -1 1 302.791 1.941 20 0 DDADMM c1ccc(C[C@H]2CN(c3cccc(-c4nnn[n-]4)n3)CCO2)cc1 ZINC000826520529 705807359 /nfs/dbraw/zinc/80/73/59/705807359.db2.gz LKLBMPNTNPRVBG-AWEZNQCLSA-N -1 1 322.372 1.710 20 0 DDADMM c1ccc(C[C@H]2CN(c3cccc(-c4nn[n-]n4)n3)CCO2)cc1 ZINC000826520529 705807364 /nfs/dbraw/zinc/80/73/64/705807364.db2.gz LKLBMPNTNPRVBG-AWEZNQCLSA-N -1 1 322.372 1.710 20 0 DDADMM CC1(C(=O)CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCCC1 ZINC000863062165 705858079 /nfs/dbraw/zinc/85/80/79/705858079.db2.gz KBVFNABSFJHBDA-UHFFFAOYSA-N -1 1 318.402 1.897 20 0 DDADMM COCCN1CC[C@H](NC(=O)c2ccc3oc(=S)[n-]c3c2)C1 ZINC000826797523 705873628 /nfs/dbraw/zinc/87/36/28/705873628.db2.gz VIAXIJDUWJSTCR-NSHDSACASA-N -1 1 321.402 1.567 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCc1ccc[nH]c1=O ZINC000863495115 705941718 /nfs/dbraw/zinc/94/17/18/705941718.db2.gz XCNGAUGHXXQHPI-UHFFFAOYSA-N -1 1 302.334 1.645 20 0 DDADMM C[C@@H](CN(C)CCS(=O)(=O)CC(=O)[O-])C1CCCCC1 ZINC000863534096 705950264 /nfs/dbraw/zinc/95/02/64/705950264.db2.gz ICPPYXOJPGAGSO-LBPRGKRZSA-N -1 1 305.440 1.634 20 0 DDADMM CON(C)C(=O)[C@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000863631528 705972804 /nfs/dbraw/zinc/97/28/04/705972804.db2.gz SAAMWQPFAPICBJ-VIFPVBQESA-N -1 1 303.318 1.079 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C2CCSCC2)CC1 ZINC000827356124 705984145 /nfs/dbraw/zinc/98/41/45/705984145.db2.gz PGHXDJGBKOIIMP-LLVKDONJSA-N -1 1 300.424 1.137 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N(CCC(=O)[O-])C1CCOCC1 ZINC000864118255 706072063 /nfs/dbraw/zinc/07/20/63/706072063.db2.gz VHVPJOPLUNZXDM-LLVKDONJSA-N -1 1 309.366 1.071 20 0 DDADMM Cc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c2ncccc12 ZINC000827961716 706094241 /nfs/dbraw/zinc/09/42/41/706094241.db2.gz RMWUNSMYSLBUSU-CYBMUJFWSA-N -1 1 324.344 1.270 20 0 DDADMM O=C(N1CCC(c2nn[n-]n2)CC1)[C@@]12CCO[C@@H]1CCCC2 ZINC000828416365 706175127 /nfs/dbraw/zinc/17/51/27/706175127.db2.gz HTRUTWUJLNFHHV-DOMZBBRYSA-N -1 1 305.382 1.255 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc(C2CC2)cc1F ZINC000828625870 706209710 /nfs/dbraw/zinc/20/97/10/706209710.db2.gz ISYIIKHELWAEJZ-UHFFFAOYSA-N -1 1 317.320 1.582 20 0 DDADMM CN(CCC(=O)[O-])C(=O)[C@H](N)c1cccc(C(F)(F)F)c1 ZINC000864607502 706210484 /nfs/dbraw/zinc/21/04/84/706210484.db2.gz SYDQZVFMQHMENH-LLVKDONJSA-N -1 1 304.268 1.638 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccccc1 ZINC000872429366 707406493 /nfs/dbraw/zinc/40/64/93/707406493.db2.gz BALKSKQWJVQFBE-GOSISDBHSA-N -1 1 304.437 1.917 20 0 DDADMM COCc1cc(CNCc2cn(C)nc2C(=O)[O-])ccc1F ZINC000864784933 706262909 /nfs/dbraw/zinc/26/29/09/706262909.db2.gz VTVKXEFEXWEUHY-UHFFFAOYSA-N -1 1 307.325 1.694 20 0 DDADMM CCOC(=O)CN(Cc1nc2c(c(=O)[n-]1)COCC2)C1CCC1 ZINC000878439168 706387845 /nfs/dbraw/zinc/38/78/45/706387845.db2.gz UDTYYENAVXZPRQ-UHFFFAOYSA-N -1 1 321.377 1.173 20 0 DDADMM O=C(/C=C/CCc1ccccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000865596778 706473124 /nfs/dbraw/zinc/47/31/24/706473124.db2.gz YPCRTZRSXJMRNA-VZUFXWRPSA-N -1 1 313.361 1.289 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCCC2(C1)OCCO2 ZINC000830661701 706555628 /nfs/dbraw/zinc/55/56/28/706555628.db2.gz CBHVMMIVTVMUDF-UHFFFAOYSA-N -1 1 314.341 1.920 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCC(=O)C2)C1 ZINC000830795989 706581494 /nfs/dbraw/zinc/58/14/94/706581494.db2.gz UCLUXPQMJDOWCN-PRHODGIISA-N -1 1 306.284 1.025 20 0 DDADMM COC1(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000830807957 706583882 /nfs/dbraw/zinc/58/38/82/706583882.db2.gz UALNIWXNQAMDME-NSHDSACASA-N -1 1 308.300 1.225 20 0 DDADMM CCO[C@H](CC)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827002 706587844 /nfs/dbraw/zinc/58/78/44/706587844.db2.gz IYEZZPOTUZFDSQ-BXKDBHETSA-N -1 1 310.316 1.471 20 0 DDADMM CC[C@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866901149 706839714 /nfs/dbraw/zinc/83/97/14/706839714.db2.gz WLIZQAKWUGKYQC-RKDXNWHRSA-N -1 1 324.805 1.950 20 0 DDADMM O=Cc1cc(F)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1F ZINC000866902032 706840099 /nfs/dbraw/zinc/84/00/99/706840099.db2.gz VJKIWQBKDXFAQI-QMMMGPOBSA-N -1 1 321.287 1.310 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCC(F)(F)C1 ZINC000866983047 706864027 /nfs/dbraw/zinc/86/40/27/706864027.db2.gz CNHZJSQTYQIFMA-RBHXEPJQSA-N -1 1 304.384 1.516 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@H]1CCOC2(CCCCC2)C1 ZINC000866983495 706864291 /nfs/dbraw/zinc/86/42/91/706864291.db2.gz KPTNDZKVWPBDKY-NSHDSACASA-N -1 1 324.468 1.430 20 0 DDADMM CC[C@H](CC(F)(F)F)[N-]S(=O)(=O)N=[S@@](C)(=O)CC ZINC000867106722 706897755 /nfs/dbraw/zinc/89/77/55/706897755.db2.gz DOCYTUVSWAICST-GJEGPGMTSA-N -1 1 310.363 1.670 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H]1CCO)c1ccc(F)nc1F ZINC000867135550 706907325 /nfs/dbraw/zinc/90/73/25/706907325.db2.gz XOAQKVUTDOPBMR-BDAKNGLRSA-N -1 1 306.334 1.189 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC000909006110 712914491 /nfs/dbraw/zinc/91/44/91/712914491.db2.gz IQYBSXBIPCRICO-UHFFFAOYSA-N -1 1 304.350 1.878 20 0 DDADMM CC1(C)OCC[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867331823 706963422 /nfs/dbraw/zinc/96/34/22/706963422.db2.gz WWAGWNBIZZFYEL-ZCFIWIBFSA-N -1 1 324.200 1.018 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(CN1CC3(CC1=O)CCOCC3)CC2 ZINC000833178534 707001635 /nfs/dbraw/zinc/00/16/35/707001635.db2.gz REOMSBIAZVCOJC-ZDUSSCGKSA-N -1 1 322.405 1.160 20 0 DDADMM COC(=O)C(C)(C)c1cccc(OS(=O)(=O)c2c[n-]cn2)c1 ZINC000867666285 707067749 /nfs/dbraw/zinc/06/77/49/707067749.db2.gz YPLZIHOXRHNWBR-UHFFFAOYSA-N -1 1 324.358 1.628 20 0 DDADMM COC(=O)[C@]12C[C@H]1C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C2 ZINC000871555598 707116403 /nfs/dbraw/zinc/11/64/03/707116403.db2.gz LKBPUQIDTDAQHU-GDLVEWKHSA-N -1 1 303.314 1.033 20 0 DDADMM C[C@@]1(C(=O)OCCOCC[N-]C(=O)C(F)(F)F)CC=CCC1 ZINC000835280351 707212498 /nfs/dbraw/zinc/21/24/98/707212498.db2.gz QIKIHLINDLWOLI-CYBMUJFWSA-N -1 1 323.311 1.971 20 0 DDADMM CCc1[n-]n(-c2nc3c(cnn3C(C)(C)C)c(=O)[nH]2)c(=O)c1F ZINC000871952269 707253426 /nfs/dbraw/zinc/25/34/26/707253426.db2.gz YPDCIZJOYRSHGZ-SECBINFHSA-N -1 1 320.328 1.738 20 0 DDADMM C[C@@H](C(=O)[O-])N(C(=O)NCc1ccc2cncn2c1)C1CC1 ZINC000909169017 712952478 /nfs/dbraw/zinc/95/24/78/712952478.db2.gz HSPFDVQHBVBMFN-JTQLQIEISA-N -1 1 302.334 1.481 20 0 DDADMM CC[C@H](C(=O)[O-])N(C(=O)NCc1ccc2cncn2c1)C1CC1 ZINC000909173221 712953963 /nfs/dbraw/zinc/95/39/63/712953963.db2.gz ZJBDKVFXALWNMB-CQSZACIVSA-N -1 1 316.361 1.872 20 0 DDADMM Cc1cc(C[N-]C(=O)C(F)(F)F)cc(NC(=O)NCCO)c1 ZINC000836743052 707508847 /nfs/dbraw/zinc/50/88/47/707508847.db2.gz PPHUKHBRIWWJIO-UHFFFAOYSA-N -1 1 319.283 1.287 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@H](C(C)C)N1C[C@@H](C)O[C@@H](C)C1 ZINC000836897721 707543357 /nfs/dbraw/zinc/54/33/57/707543357.db2.gz IJQLHXFWDAKIIM-XGUBFFRZSA-N -1 1 316.442 1.185 20 0 DDADMM CC1(C)CN(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C(=O)O1 ZINC000872751973 707584762 /nfs/dbraw/zinc/58/47/62/707584762.db2.gz BRTQDKDYUKOFSM-GFCCVEGCSA-N -1 1 323.315 1.318 20 0 DDADMM C[C@@H]1C[C@H]1[C@@H]1C[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000921309097 713728815 /nfs/dbraw/zinc/72/88/15/713728815.db2.gz XJHBGGQXKDVDHA-OOJXKGFFSA-N -1 1 320.212 1.495 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1nn(C)cc1Cl)C1CC1 ZINC000882796726 707800297 /nfs/dbraw/zinc/80/02/97/707800297.db2.gz RBEWAWPJKOARDT-JTQLQIEISA-N -1 1 307.803 1.630 20 0 DDADMM CN(CC(=O)NC[C@@H](C(=O)[O-])c1ccccc1)[C@H]1CCSC1 ZINC000909296519 712984854 /nfs/dbraw/zinc/98/48/54/712984854.db2.gz OOQLOSREBOISLH-UONOGXRCSA-N -1 1 322.430 1.408 20 0 DDADMM Cn1cc([C@@H]2C[C@H]2C(=O)OCCC[N-]C(=O)C(F)(F)F)cn1 ZINC000838319055 707898220 /nfs/dbraw/zinc/89/82/20/707898220.db2.gz IHJVEYFQXIUGKB-VHSXEESVSA-N -1 1 319.283 1.135 20 0 DDADMM CSc1ccc([C@H](CC(=O)[O-])NC(=O)[C@@H](C)N(C)C)cc1 ZINC000909328200 712992847 /nfs/dbraw/zinc/99/28/47/712992847.db2.gz YYKWCVJQBLAKEF-MFKMUULPSA-N -1 1 310.419 1.991 20 0 DDADMM CSc1ccc([C@@H](CC(=O)[O-])NC(=O)[C@@H](C)N(C)C)cc1 ZINC000909328201 712992921 /nfs/dbraw/zinc/99/29/21/712992921.db2.gz YYKWCVJQBLAKEF-ZWNOBZJWSA-N -1 1 310.419 1.991 20 0 DDADMM C=C/C=C/CCNC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000883830173 708069391 /nfs/dbraw/zinc/06/93/91/708069391.db2.gz DJJOYKQDGMULNA-IHVVCDCBSA-N -1 1 319.327 1.971 20 0 DDADMM COc1ccccc1C[C@H](CNC(=O)CN1CCCC1)C(=O)[O-] ZINC000909385629 713005620 /nfs/dbraw/zinc/00/56/20/713005620.db2.gz RXZBCRBSXYNBNI-CQSZACIVSA-N -1 1 320.389 1.151 20 0 DDADMM CCN(CC(=O)N1Cc2ccccc2[C@H](C(=O)[O-])C1)C1CC1 ZINC000909423136 713014236 /nfs/dbraw/zinc/01/42/36/713014236.db2.gz IMJMVYLWYNZFOE-OAHLLOKOSA-N -1 1 302.374 1.681 20 0 DDADMM O=C(C[C@@H]1CCC2(CCC2)O1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000897161689 708241904 /nfs/dbraw/zinc/24/19/04/708241904.db2.gz NYMMUGQBKVLDQF-NEPJUHHUSA-N -1 1 305.382 1.398 20 0 DDADMM CCNc1ccc(C)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000897161613 708242005 /nfs/dbraw/zinc/24/20/05/708242005.db2.gz LFLMIQFACXPMGW-LBPRGKRZSA-N -1 1 314.393 1.960 20 0 DDADMM COc1ccnc(N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)n1 ZINC000897224476 708260880 /nfs/dbraw/zinc/26/08/80/708260880.db2.gz QGNRZAHOMUITNW-SECBINFHSA-N -1 1 303.322 1.331 20 0 DDADMM O=C([O-])CCC1CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CC1 ZINC000909438120 713018073 /nfs/dbraw/zinc/01/80/73/713018073.db2.gz AOTFAZJJANYIOS-GFCCVEGCSA-N -1 1 305.378 1.618 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C=CC=C(Cl)Cl)n[n-]1 ZINC000897492699 708350589 /nfs/dbraw/zinc/35/05/89/708350589.db2.gz FIEZBJNNVYREPP-HWKANZROSA-N -1 1 319.148 1.473 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C=CC=C(Cl)Cl)n1 ZINC000897492699 708350592 /nfs/dbraw/zinc/35/05/92/708350592.db2.gz FIEZBJNNVYREPP-HWKANZROSA-N -1 1 319.148 1.473 20 0 DDADMM COCc1nc(N2CCCC[C@@H]([C@@H]3CCOC3)C2)cc(=O)[n-]1 ZINC000897562580 708375503 /nfs/dbraw/zinc/37/55/03/708375503.db2.gz HKVCPBRHDANGQA-CHWSQXEVSA-N -1 1 307.394 1.972 20 0 DDADMM C[C@@H]1CCNC(=O)[C@@H]1NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897664157 708411156 /nfs/dbraw/zinc/41/11/56/708411156.db2.gz PDLMNZGASYPOKH-YMTOWFKASA-N -1 1 314.341 1.505 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC12CCOCC2)c1ccns1 ZINC000885166290 708484048 /nfs/dbraw/zinc/48/40/48/708484048.db2.gz IWKCCRVUGVUAGW-SNVBAGLBSA-N -1 1 302.421 1.771 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)CCCC1 ZINC000912543271 713033450 /nfs/dbraw/zinc/03/34/50/713033450.db2.gz UXGZMUBXTGKQOV-UHFFFAOYSA-N -1 1 315.395 1.445 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCC1(C)C ZINC000912543916 713033495 /nfs/dbraw/zinc/03/34/95/713033495.db2.gz UQAUUFXWMQCOEW-VIFPVBQESA-N -1 1 301.368 1.053 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)c1ccns1)C(=O)OC ZINC000885269091 708506440 /nfs/dbraw/zinc/50/64/40/708506440.db2.gz UDLIRZNDQJXPIY-IUCAKERBSA-N -1 1 306.409 1.399 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCN1CC(F)F)c1ccns1 ZINC000885319958 708519153 /nfs/dbraw/zinc/51/91/53/708519153.db2.gz YFULIIKBUTZDNX-QMMMGPOBSA-N -1 1 311.379 1.151 20 0 DDADMM O=C(N[C@H](C1CC1)[C@H]1CCCOC1)c1cnc(C2CC2)[n-]c1=O ZINC000885494987 708559255 /nfs/dbraw/zinc/55/92/55/708559255.db2.gz VOTBDCCKECUJAX-GXTWGEPZSA-N -1 1 317.389 1.995 20 0 DDADMM CCOC(=O)C[C@@H](O)C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885677950 708595609 /nfs/dbraw/zinc/59/56/09/708595609.db2.gz DWCUUMVIZQUVFI-SNVBAGLBSA-N -1 1 305.689 1.437 20 0 DDADMM Cc1cnc(C(=O)N2CCC3(CC2)C[C@@H](O)CCO3)c([O-])c1 ZINC000886108375 708699744 /nfs/dbraw/zinc/69/97/44/708699744.db2.gz NATOBJCFRGARSX-LBPRGKRZSA-N -1 1 306.362 1.242 20 0 DDADMM COC(=O)C[C@@H](C)CC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886268641 708733253 /nfs/dbraw/zinc/73/32/53/708733253.db2.gz XJGQAFFBRRLRON-VIFPVBQESA-N -1 1 315.316 1.918 20 0 DDADMM CC(C)C[C@H](C(N)=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886269613 708733332 /nfs/dbraw/zinc/73/33/32/708733332.db2.gz AUEOKOZGPQMXIV-LLVKDONJSA-N -1 1 314.332 1.477 20 0 DDADMM C[C@@H](C(=O)NCCc1c(F)cc([O-])cc1F)c1cnccn1 ZINC000886270226 708733670 /nfs/dbraw/zinc/73/36/70/708733670.db2.gz WUJNPHWEOAMDIL-SECBINFHSA-N -1 1 307.300 1.923 20 0 DDADMM CC(C)[C@H](NC=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886270261 708733718 /nfs/dbraw/zinc/73/37/18/708733718.db2.gz XWKAJPYBLSQGJH-ZDUSSCGKSA-N -1 1 300.305 1.100 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC(C)(C)n1cccn1 ZINC000912623546 713053905 /nfs/dbraw/zinc/05/39/05/713053905.db2.gz DUQQFMVXCSSHMX-UHFFFAOYSA-N -1 1 321.406 1.574 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCOC[C@@H]1CC1CC1 ZINC000886469803 708765697 /nfs/dbraw/zinc/76/56/97/708765697.db2.gz RKIYFWNFJFXEIO-LBPRGKRZSA-N -1 1 318.402 1.659 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC(F)(F)CO ZINC000927782524 713054954 /nfs/dbraw/zinc/05/49/54/713054954.db2.gz HLGALRREEMBYFV-UHFFFAOYSA-N -1 1 310.247 1.140 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCS(=O)(=O)C3(CCC3)C2)c([O-])c1 ZINC000886773023 708841857 /nfs/dbraw/zinc/84/18/57/708841857.db2.gz LJFTXURKYNQWLM-NSHDSACASA-N -1 1 324.402 1.325 20 0 DDADMM CC1(C)C[C@]1(C(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccccc1 ZINC000898753517 708855094 /nfs/dbraw/zinc/85/50/94/708855094.db2.gz APMAQIXREWUABI-QGZVFWFLSA-N -1 1 313.357 1.872 20 0 DDADMM CC(C)(CNC(=O)c1ccc2n[n-]c(=S)n2c1)n1ccnc1 ZINC000898906928 708919167 /nfs/dbraw/zinc/91/91/67/708919167.db2.gz KKXAPHZICPQVQP-UHFFFAOYSA-N -1 1 316.390 1.380 20 0 DDADMM CN(C[C@H]1CCN1C(=O)OC(C)(C)C)C(=O)c1ncccc1[O-] ZINC000887293907 709010034 /nfs/dbraw/zinc/01/00/34/709010034.db2.gz OBLYCCHIFOZWMA-LLVKDONJSA-N -1 1 321.377 1.869 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C[C@H]2CCC3(CCC3)O2)CC1 ZINC000887326799 709018321 /nfs/dbraw/zinc/01/83/21/709018321.db2.gz NEJAFHATMFDOTP-UONOGXRCSA-N -1 1 324.421 1.486 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC1(C2(O)CCC2)CC1 ZINC000887529917 709063105 /nfs/dbraw/zinc/06/31/05/709063105.db2.gz ZVWWCJSVXFBKPC-UHFFFAOYSA-N -1 1 323.418 1.638 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@H]2C[C@@H]2CCOC2)c([O-])c1 ZINC000887811987 709130562 /nfs/dbraw/zinc/13/05/62/709130562.db2.gz ZPQJLZFORGKSJA-QWHCGFSZSA-N -1 1 306.362 1.363 20 0 DDADMM COC(=O)C1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CCO2 ZINC000899677645 709145193 /nfs/dbraw/zinc/14/51/93/709145193.db2.gz KKROQSQSRPEXRD-CSJGVYOVSA-N -1 1 320.345 1.018 20 0 DDADMM Cc1c([C@@H](NCc2cccc3c2OCC3)C(=O)[O-])cnn1C ZINC000900046952 709275835 /nfs/dbraw/zinc/27/58/35/709275835.db2.gz XUWDSOGSDNWWER-CQSZACIVSA-N -1 1 301.346 1.579 20 0 DDADMM CSCC[C@H](NC(=O)CC[C@@H]1CC[C@H](C)O1)c1nn[n-]n1 ZINC000912861239 713109881 /nfs/dbraw/zinc/10/98/81/713109881.db2.gz HWCUNHFVHNNCCI-DCAQKATOSA-N -1 1 313.427 1.458 20 0 DDADMM CN(CC(=O)NC[C@H](C(=O)[O-])c1ccc(F)cc1)C1CCC1 ZINC000909703184 709587882 /nfs/dbraw/zinc/58/78/82/709587882.db2.gz NEJHSQNOVGIDMZ-AWEZNQCLSA-N -1 1 308.353 1.594 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CCC[C@@H]1CC(=O)[O-] ZINC000909737641 709601351 /nfs/dbraw/zinc/60/13/51/709601351.db2.gz HUJWJTVRJCSDKM-OAHLLOKOSA-N -1 1 304.390 1.627 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2CCCSC2)[n-]c1=O ZINC000889788298 709636159 /nfs/dbraw/zinc/63/61/59/709636159.db2.gz ZWPCBFWGUSAJHF-QWRGUYRKSA-N -1 1 323.418 1.998 20 0 DDADMM CC[C@H](C)[C@@H](OC)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889787999 709636286 /nfs/dbraw/zinc/63/62/86/709636286.db2.gz UQURRTNACYCWNA-GMXVVIOVSA-N -1 1 323.393 1.915 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2CO[C@H](C)C2)[n-]c1=O ZINC000889789424 709636740 /nfs/dbraw/zinc/63/67/40/709636740.db2.gz FGBSZTCBGPOGPT-VWYCJHECSA-N -1 1 307.350 1.279 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H]2[C@@H]3CCC[C@@H]32)[n-]c1=O ZINC000889792295 709638106 /nfs/dbraw/zinc/63/81/06/709638106.db2.gz WGNORRQQMSYASO-XZUYRWCXSA-N -1 1 303.362 1.900 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H](C)OCC(C)C)[n-]c1=O ZINC000889793642 709638920 /nfs/dbraw/zinc/63/89/20/709638920.db2.gz AUVOTUMYGHJFQJ-VXGBXAGGSA-N -1 1 323.393 1.915 20 0 DDADMM CC[C@@H](C)C[C@@H](NC(=O)Cc1n[nH]c2c1CCCC2)C(=O)[O-] ZINC000909867935 709661188 /nfs/dbraw/zinc/66/11/88/709661188.db2.gz PJQIDFLXOPLEFT-QMTHXVAHSA-N -1 1 307.394 1.837 20 0 DDADMM C[C@H]1c2ccccc2C[C@H]1NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909949831 709704440 /nfs/dbraw/zinc/70/44/40/709704440.db2.gz OBJFJPUUBOOJBF-DUVNUKRYSA-N -1 1 316.401 1.628 20 0 DDADMM Cc1cc(C)cc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000909955333 709707223 /nfs/dbraw/zinc/70/72/23/709707223.db2.gz RRZTUTHNVYOWMD-OAHLLOKOSA-N -1 1 304.390 1.716 20 0 DDADMM CO[C@@H]1CCN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)[C@@H](C(=O)[O-])C1 ZINC000909985135 709720429 /nfs/dbraw/zinc/72/04/29/709720429.db2.gz XILIGECXYRWAIA-OJAKKHQRSA-N -1 1 321.377 1.166 20 0 DDADMM CO[C@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)C1CCCC1 ZINC000909989658 709723772 /nfs/dbraw/zinc/72/37/72/709723772.db2.gz DRXWNXPDKBFUHF-ZIAGYGMSSA-N -1 1 312.410 1.104 20 0 DDADMM COc1cccc(-c2cc(C(=O)N3CC(C)(C(=O)[O-])C3)n[nH]2)c1 ZINC000910058602 709759524 /nfs/dbraw/zinc/75/95/24/709759524.db2.gz XRUDCFDSXOHLSX-UHFFFAOYSA-N -1 1 315.329 1.632 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccn3ccccc23)CC1 ZINC000910172837 709797099 /nfs/dbraw/zinc/79/70/99/709797099.db2.gz HCHWQASUHWNDSX-ZDUSSCGKSA-N -1 1 315.373 1.560 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCCCc2cn[nH]n2)[C@@H]2CCC[C@@H]21 ZINC000910246953 709838532 /nfs/dbraw/zinc/83/85/32/709838532.db2.gz PGVCQAGOWRCWLA-MGPQQGTHSA-N -1 1 320.393 1.619 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCO[C@@](C)(C(=O)[O-])C2)c1 ZINC000910321446 709889446 /nfs/dbraw/zinc/88/94/46/709889446.db2.gz QUJVAXWZZWQCDF-OAHLLOKOSA-N -1 1 303.318 1.187 20 0 DDADMM O=C(Cc1n[nH]c2c1CCCC2)N[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1 ZINC000910342548 709902599 /nfs/dbraw/zinc/90/25/99/709902599.db2.gz SMSPWDKIZYEORV-LSYCYVAJSA-N -1 1 303.362 1.201 20 0 DDADMM O=C(N[C@@H]1CCN(c2cc(=O)[nH]cn2)C1)c1c([O-])cccc1F ZINC000890529787 709905890 /nfs/dbraw/zinc/90/58/90/709905890.db2.gz ABOWFBRSTOCGCV-SECBINFHSA-N -1 1 318.308 1.036 20 0 DDADMM O=C(NC[C@H](O)c1ccc(F)cc1)c1cnc(C2CC2)[n-]c1=O ZINC000901066950 709910128 /nfs/dbraw/zinc/91/01/28/709910128.db2.gz BFGGYSKPMCWAGY-ZDUSSCGKSA-N -1 1 317.320 1.662 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CC[C@@H](O)[C@@H](F)C1 ZINC000890680320 709948693 /nfs/dbraw/zinc/94/86/93/709948693.db2.gz HHHFQQNUWYRBPX-WDEREUQCSA-N -1 1 308.284 1.624 20 0 DDADMM Cc1cc(C)c(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000901177826 709956577 /nfs/dbraw/zinc/95/65/77/709956577.db2.gz VXYLVFLBMYWVMU-LLVKDONJSA-N -1 1 305.407 1.827 20 0 DDADMM C[C@H](O)[C@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)CCO1 ZINC000910564609 710002296 /nfs/dbraw/zinc/00/22/96/710002296.db2.gz OJCJARZETMFISZ-TVQRCGJNSA-N -1 1 320.320 1.301 20 0 DDADMM COc1cccc([C@@H](C(=O)[O-])N(C)C(=O)[C@H]2CCCN2C)c1 ZINC000910771460 710070545 /nfs/dbraw/zinc/07/05/45/710070545.db2.gz JNRYZUURQPUKMX-KGLIPLIRSA-N -1 1 306.362 1.374 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C[C@H]1C(F)(F)F ZINC000901566741 710077320 /nfs/dbraw/zinc/07/73/20/710077320.db2.gz WQJFPXKSWSMQFZ-FNCVBFRFSA-N -1 1 317.267 1.235 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1C[C@@H](C(=O)[O-])CC[C@@H]1C ZINC000901649346 710107720 /nfs/dbraw/zinc/10/77/20/710107720.db2.gz GDXAGJPNPOHCBC-YAMSLAJTSA-N -1 1 305.378 1.787 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000901658820 710111309 /nfs/dbraw/zinc/11/13/09/710111309.db2.gz YUKIMIIILCIPHQ-HNCHTBHHSA-N -1 1 305.378 1.787 20 0 DDADMM CC[C@@H](C)C[C@@H](NC(=O)C(C)(C)CN1CCOCC1)C(=O)[O-] ZINC000910909696 710115467 /nfs/dbraw/zinc/11/54/67/710115467.db2.gz AMMJPXATYRFNGZ-CHWSQXEVSA-N -1 1 314.426 1.350 20 0 DDADMM CN(C)c1cc(F)cc([N-]S(=O)(=O)N=S(C)(C)=O)c1 ZINC000901732714 710134076 /nfs/dbraw/zinc/13/40/76/710134076.db2.gz KDGBMIIBGORPKT-UHFFFAOYSA-N -1 1 309.388 1.276 20 0 DDADMM C[C@H](NC(=O)[C@H](C)NCc1ccc(F)c2cccnc12)C(=O)[O-] ZINC000901744281 710138922 /nfs/dbraw/zinc/13/89/22/710138922.db2.gz WDRAYTVQBPWUEB-UWVGGRQHSA-N -1 1 319.336 1.441 20 0 DDADMM CC(C)OC(=O)C(C)(C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000891316344 710160210 /nfs/dbraw/zinc/16/02/10/710160210.db2.gz GGUPNQJKWCQQEF-UHFFFAOYSA-N -1 1 322.390 1.478 20 0 DDADMM COc1ccc([C@H](NCc2cnc(C)n2C)C(=O)[O-])cc1F ZINC000901862231 710161560 /nfs/dbraw/zinc/16/15/60/710161560.db2.gz URNSQIYIVSPIML-AWEZNQCLSA-N -1 1 307.325 1.792 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])N1C(=O)CN(C)CCc1ccccc1 ZINC000901995539 710196127 /nfs/dbraw/zinc/19/61/27/710196127.db2.gz ACRFUQACBWCGHV-UKRRQHHQSA-N -1 1 304.390 1.625 20 0 DDADMM C[C@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)c1ccnn1C ZINC000891613520 710248637 /nfs/dbraw/zinc/24/86/37/710248637.db2.gz IPJVROBKABJIBG-VIFPVBQESA-N -1 1 301.350 1.537 20 0 DDADMM C[C@H](Oc1cccnc1)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891623819 710251407 /nfs/dbraw/zinc/25/14/07/710251407.db2.gz UOXJAPMGSIOZRU-JTQLQIEISA-N -1 1 314.345 1.862 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CCOc2ccccc2[C@@H]1C(=O)[O-] ZINC000911061675 710616816 /nfs/dbraw/zinc/61/68/16/710616816.db2.gz FDDALNJKMDSXAS-DZGCQCFKSA-N -1 1 318.373 1.518 20 0 DDADMM O=C([O-])Cc1occc1C(=O)N1CCN(C2CCCCC2)CC1 ZINC000911153216 710657406 /nfs/dbraw/zinc/65/74/06/710657406.db2.gz CMEMSPGEWKPIGE-UHFFFAOYSA-N -1 1 320.389 1.997 20 0 DDADMM O=C([O-])C[C@H](NC(=O)c1ccc2cncn2c1)C(F)(F)F ZINC000911157618 710660529 /nfs/dbraw/zinc/66/05/29/710660529.db2.gz KGQRQLCCSIQLFT-VIFPVBQESA-N -1 1 301.224 1.470 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H](CCO)c2ccccc2)C1 ZINC000911164858 710664136 /nfs/dbraw/zinc/66/41/36/710664136.db2.gz CFSDNFKCXWKGNA-LSDHHAIUSA-N -1 1 320.389 1.023 20 0 DDADMM Cc1cc(C(=O)N2CCN(C3CCCC3)CC2)oc1C(=O)[O-] ZINC000911167761 710666361 /nfs/dbraw/zinc/66/63/61/710666361.db2.gz NWJNEHRZPLHQIC-UHFFFAOYSA-N -1 1 306.362 1.987 20 0 DDADMM CC(C)N1CC[C@@H](NCc2ccc(O[C@@H](C)C(=O)[O-])cc2)C1=O ZINC000902257377 710684759 /nfs/dbraw/zinc/68/47/59/710684759.db2.gz VBPLPBSVJNNTJR-SWLSCSKDSA-N -1 1 320.389 1.637 20 0 DDADMM CCN(CC)[C@H](CNC(=O)[C@@H]1CC[C@H](C(=O)[O-])O1)c1ccco1 ZINC000911254013 710710682 /nfs/dbraw/zinc/71/06/82/710710682.db2.gz ONRPGWYEZPGSGY-KWCYVHTRSA-N -1 1 324.377 1.411 20 0 DDADMM C[C@@H](CC(=O)[O-])CC(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000911325277 710742855 /nfs/dbraw/zinc/74/28/55/710742855.db2.gz JYOCXPPFHYTEQH-ZYHUDNBSSA-N -1 1 320.393 1.889 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)Cc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000911388492 710774210 /nfs/dbraw/zinc/77/42/10/710774210.db2.gz AQSXRXVKLDAZQK-SNVBAGLBSA-N -1 1 301.346 1.706 20 0 DDADMM CCOCC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CC1 ZINC000913437834 713215686 /nfs/dbraw/zinc/21/56/86/713215686.db2.gz OOFYGZUDGWUETH-LBPRGKRZSA-N -1 1 301.350 1.222 20 0 DDADMM COCc1nc(N(C)C[C@@H](O)Cc2ccccc2)cc(=O)[n-]1 ZINC000893973215 710906811 /nfs/dbraw/zinc/90/68/11/710906811.db2.gz JRGLXCRTSDISGS-ZDUSSCGKSA-N -1 1 303.362 1.368 20 0 DDADMM COCc1nc(N[C@H]2CCO[C@H](c3ccnn3C)C2)cc(=O)[n-]1 ZINC000894100027 710967028 /nfs/dbraw/zinc/96/70/28/710967028.db2.gz XLLAAYFSPLZMLT-JQWIXIFHSA-N -1 1 319.365 1.394 20 0 DDADMM C[C@H](C(=O)N1CCN(c2ccc(C(=O)[O-])s2)CC1)N(C)C ZINC000911766206 710973133 /nfs/dbraw/zinc/97/31/33/710973133.db2.gz NTYXHLPGEQKYKD-SNVBAGLBSA-N -1 1 311.407 1.045 20 0 DDADMM O=C([O-])c1ccc(CCC(=O)N2CC[C@H](c3nc[nH]n3)C2)cc1 ZINC000911772940 710976650 /nfs/dbraw/zinc/97/66/50/710976650.db2.gz JZQZILGZKDRLNA-ZDUSSCGKSA-N -1 1 314.345 1.452 20 0 DDADMM COCc1nc(N[C@H]2CCO[C@H](c3nccn3C)C2)cc(=O)[n-]1 ZINC000894129687 710979560 /nfs/dbraw/zinc/97/95/60/710979560.db2.gz UDRJXZFKNZSVQH-QWRGUYRKSA-N -1 1 319.365 1.394 20 0 DDADMM COc1ccc(C)cc1S(=O)(=O)[N-]c1nnc(C(F)F)[nH]1 ZINC000903149892 711048367 /nfs/dbraw/zinc/04/83/67/711048367.db2.gz GVAAPQVNRDSDRM-UHFFFAOYSA-N -1 1 318.305 1.860 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C2CC2)C2(CO)CCOCC2)c([O-])c1 ZINC000911983035 711103428 /nfs/dbraw/zinc/10/34/28/711103428.db2.gz PVUHSGGDEICSON-OAHLLOKOSA-N -1 1 320.389 1.393 20 0 DDADMM NC(=O)C[C@H]1CC[N@@H+](Cc2ncc(Br)cc2O)C1 ZINC000894519165 711160826 /nfs/dbraw/zinc/16/08/26/711160826.db2.gz CXZABKPUKMFRIS-MRVPVSSYSA-N -1 1 314.183 1.247 20 0 DDADMM NC(=O)C[C@H]1CCN(Cc2ncc(Br)cc2[O-])C1 ZINC000894519165 711160828 /nfs/dbraw/zinc/16/08/28/711160828.db2.gz CXZABKPUKMFRIS-MRVPVSSYSA-N -1 1 314.183 1.247 20 0 DDADMM CCCc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)s1 ZINC000913493720 713236859 /nfs/dbraw/zinc/23/68/59/713236859.db2.gz HCAYVXSXUHCMEQ-JTQLQIEISA-N -1 1 307.379 1.427 20 0 DDADMM O=C(c1cscc1C(F)F)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494087 713237036 /nfs/dbraw/zinc/23/70/36/713237036.db2.gz RENNHUNXWPJZDH-QMMMGPOBSA-N -1 1 315.305 1.413 20 0 DDADMM O=C(Cc1cccc(C2CC2)c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913498131 713239259 /nfs/dbraw/zinc/23/92/59/713239259.db2.gz FRJZMTGIIMBQSW-AWEZNQCLSA-N -1 1 313.361 1.220 20 0 DDADMM O=C(CCCc1ccsc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913498687 713239308 /nfs/dbraw/zinc/23/93/08/713239308.db2.gz QOJNWKYCLOUWJR-NSHDSACASA-N -1 1 307.379 1.184 20 0 DDADMM CC(C)c1cccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1 ZINC000913498836 713239374 /nfs/dbraw/zinc/23/93/74/713239374.db2.gz KADQDXPXDHMWHU-CYBMUJFWSA-N -1 1 301.350 1.537 20 0 DDADMM CCOCCOCCN1CCc2c(C(=O)[O-])ccc(OC)c2C1 ZINC000903913402 711328901 /nfs/dbraw/zinc/32/89/01/711328901.db2.gz PLGPCZPBHDCNEE-UHFFFAOYSA-N -1 1 323.389 1.805 20 0 DDADMM O=C([O-])[C@H]1c2ccccc2OCCN1CCO[C@@H]1CC1(F)F ZINC000903931050 711338784 /nfs/dbraw/zinc/33/87/84/711338784.db2.gz NOZAOZMUCAXLNK-CHWSQXEVSA-N -1 1 313.300 1.931 20 0 DDADMM Cc1ccnc(-c2noc(CN3CCC[C@@H]4C[C@@]43C(=O)[O-])n2)c1 ZINC000903936164 711341264 /nfs/dbraw/zinc/34/12/64/711341264.db2.gz FGNXTBDNQTXRCD-BZNIZROVSA-N -1 1 314.345 1.879 20 0 DDADMM CC[C@H](CN1CCOCC1)NC(=O)c1c([O-])cccc1Cl ZINC000928642422 713246903 /nfs/dbraw/zinc/24/69/03/713246903.db2.gz HCMGDNICPUDSQV-LLVKDONJSA-N -1 1 312.797 1.886 20 0 DDADMM O=C([O-])N[C@H]1C[C@@H](NCc2nccn2C(F)F)C12CCC2 ZINC000904213191 711411537 /nfs/dbraw/zinc/41/15/37/711411537.db2.gz GPRQJUBKAVXTFS-BDAKNGLRSA-N -1 1 300.309 1.947 20 0 DDADMM Cc1c(-c2noc(-c3cc(F)ccc3[O-])n2)c(=O)[nH]c(=O)n1C ZINC000904905435 711902134 /nfs/dbraw/zinc/90/21/34/711902134.db2.gz AVFXCWCZXMELKM-UHFFFAOYSA-N -1 1 318.264 1.356 20 0 DDADMM CC(C)[C@H]1C[C@@H](C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000904939957 711905099 /nfs/dbraw/zinc/90/50/99/711905099.db2.gz HTCDZGJQFWDLLT-SMDDNHRTSA-N -1 1 302.378 1.732 20 0 DDADMM CCOC(=O)c1nn(C)cc1C=Cc1ncc(OC)c(=O)[n-]1 ZINC000905076982 711928814 /nfs/dbraw/zinc/92/88/14/711928814.db2.gz PQURDRSWXGKLMI-WAYWQWQTSA-N -1 1 304.306 1.271 20 0 DDADMM CCOc1c(F)cccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743674 713292541 /nfs/dbraw/zinc/29/25/41/713292541.db2.gz GLYMEQFOWVOIJL-UHFFFAOYSA-N -1 1 319.340 1.757 20 0 DDADMM O=C(c1cc(O)ccc1Cl)N1CCC(c2nn[n-]n2)CC1 ZINC000913745097 713293179 /nfs/dbraw/zinc/29/31/79/713293179.db2.gz OEJNCXIYSCIIAA-UHFFFAOYSA-N -1 1 307.741 1.579 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)CC[C@H]2CCCC2(F)F)n1 ZINC000905253969 711981300 /nfs/dbraw/zinc/98/13/00/711981300.db2.gz WIPYFOWBIGVWCT-MRVPVSSYSA-N -1 1 322.333 1.796 20 0 DDADMM O=C([C@@H]1CCOC2(CCCC2)C1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746178 713294034 /nfs/dbraw/zinc/29/40/34/713294034.db2.gz VOBQFJYXMWSKFZ-CYBMUJFWSA-N -1 1 319.409 1.645 20 0 DDADMM O=C([C@@H]1CC12CCSCC2)N1CCC(c2nn[n-]n2)CC1 ZINC000913745921 713294120 /nfs/dbraw/zinc/29/41/20/713294120.db2.gz GZAOOZQHLPZTGF-NSHDSACASA-N -1 1 307.423 1.439 20 0 DDADMM O=C(N1CCC(c2nn[n-]n2)CC1)C1([C@@H]2CCCCO2)CCC1 ZINC000913746800 713294259 /nfs/dbraw/zinc/29/42/59/713294259.db2.gz HYJXTYLOEWJJHV-ZDUSSCGKSA-N -1 1 319.409 1.645 20 0 DDADMM COc1cccc([C@@H](C(=O)[O-])N(C)CCCS(C)(=O)=O)c1 ZINC000905369246 712018137 /nfs/dbraw/zinc/01/81/37/712018137.db2.gz BRIIYCRDBXUTEQ-ZDUSSCGKSA-N -1 1 315.391 1.187 20 0 DDADMM CCO[C@@H]1C[C@@H](NCc2ccc(C(=O)[O-])cn2)[C@]12CCCO2 ZINC000905726934 712132974 /nfs/dbraw/zinc/13/29/74/712132974.db2.gz AXPCPWXYDYEUGA-IIAWOOMASA-N -1 1 306.362 1.596 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@@H]2NCc1cnn2ccccc12 ZINC000905794569 712147868 /nfs/dbraw/zinc/14/78/68/712147868.db2.gz KJOPAZSGJJMWPG-LBPRGKRZSA-N -1 1 311.345 1.854 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@@H]2NCc1cccc2nccn21 ZINC000905794907 712147940 /nfs/dbraw/zinc/14/79/40/712147940.db2.gz MCZSFZDQDCTXAX-LBPRGKRZSA-N -1 1 311.345 1.854 20 0 DDADMM CN(C)c1ncc(CN[C@@H]2CCCn3nc(C(=O)[O-])cc32)cn1 ZINC000905794908 712148008 /nfs/dbraw/zinc/14/80/08/712148008.db2.gz MDHZMYHFXPMXHR-LLVKDONJSA-N -1 1 316.365 1.062 20 0 DDADMM Cc1cncc([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1 ZINC000906058871 712233195 /nfs/dbraw/zinc/23/31/95/712233195.db2.gz YCRJMPSCBWMVOV-SFHVURJKSA-N -1 1 305.425 1.943 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1C ZINC000907103739 712488169 /nfs/dbraw/zinc/48/81/69/712488169.db2.gz HBRJMMZAWPSOOU-CYBMUJFWSA-N -1 1 313.361 1.731 20 0 DDADMM Cc1oc(C)c(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1C ZINC000907477858 712582823 /nfs/dbraw/zinc/58/28/23/712582823.db2.gz SRQRJJQWBNAZON-SNVBAGLBSA-N -1 1 307.379 1.648 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cn1 ZINC000907477940 712582844 /nfs/dbraw/zinc/58/28/44/712582844.db2.gz WDBUGJDHGJVFOS-LBPRGKRZSA-N -1 1 318.406 1.648 20 0 DDADMM O=C([C@@H](F)Cc1ccccc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480643 712583890 /nfs/dbraw/zinc/58/38/90/712583890.db2.gz VIDPWMAZHNSDRI-NWDGAFQWSA-N -1 1 321.381 1.397 20 0 DDADMM CC(=O)c1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cs1 ZINC000907481508 712584160 /nfs/dbraw/zinc/58/41/60/712584160.db2.gz WREPQFRHQAPXMK-VIFPVBQESA-N -1 1 323.403 1.394 20 0 DDADMM CC(C)(O)C1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000907604495 712601713 /nfs/dbraw/zinc/60/17/13/712601713.db2.gz NAKYSOQHXJNVRG-UHFFFAOYSA-N -1 1 304.321 1.922 20 0 DDADMM C[C@@H]1CN(C)C(=O)CN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907608352 712602272 /nfs/dbraw/zinc/60/22/72/712602272.db2.gz WZNSLVCHHCVMDM-SECBINFHSA-N -1 1 317.320 1.382 20 0 DDADMM CC(C)(C)C[C@@H]1C[C@H]1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907941781 712652210 /nfs/dbraw/zinc/65/22/10/712652210.db2.gz QELHKUKRQWARLK-WDEREUQCSA-N -1 1 307.398 1.082 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)Cc2cccnc2)c1 ZINC000907983842 712660059 /nfs/dbraw/zinc/66/00/59/712660059.db2.gz NBTAPKLRAUVUEQ-SNVBAGLBSA-N -1 1 324.358 1.371 20 0 DDADMM Cn1nc2c(c1C(=O)N1CCC(c3n[n-]c(=O)o3)CC1)CCC2 ZINC000908010913 712665741 /nfs/dbraw/zinc/66/57/41/712665741.db2.gz JKQQBAWHJDIPQN-UHFFFAOYSA-N -1 1 317.349 1.017 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC[C@@H](O)C2CC2)c(F)c1 ZINC000908302782 712733558 /nfs/dbraw/zinc/73/35/58/712733558.db2.gz JPJNTAURVAZSCY-GFCCVEGCSA-N -1 1 321.345 1.413 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H](c1ccncc1)C1CC1 ZINC000908390659 712759129 /nfs/dbraw/zinc/75/91/29/712759129.db2.gz PLVVKUQCDZODDS-BUXKBTBVSA-N -1 1 317.436 1.485 20 0 DDADMM COC(=O)C[C@@H]1CC[C@H]([N-]S(=O)(=O)c2cc(C)ns2)C1 ZINC000908471523 712782191 /nfs/dbraw/zinc/78/21/91/712782191.db2.gz ZPZCJYKEUCDHRN-ZJUUUORDSA-N -1 1 318.420 1.462 20 0 DDADMM COc1cnc([C@H]2CCCN2c2nnc(C(C)C)n2C)[n-]c1=O ZINC000908617889 712823680 /nfs/dbraw/zinc/82/36/80/712823680.db2.gz CPANQNGFZUCWGC-SNVBAGLBSA-N -1 1 318.381 1.784 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)[C@@H]1CCNC(=O)C1 ZINC000908710091 712839252 /nfs/dbraw/zinc/83/92/52/712839252.db2.gz WTVFZSVPBVXUSI-SSDOTTSWSA-N -1 1 313.151 1.619 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)N[C@@H](CF)C(=O)[O-])c1ccco1 ZINC000908875355 712876650 /nfs/dbraw/zinc/87/66/50/712876650.db2.gz GBZCLPNTBCZCNW-QWRGUYRKSA-N -1 1 315.345 1.384 20 0 DDADMM COc1c(F)cc(S(=O)(=O)[N-]CC(C)(F)F)cc1F ZINC000915892822 713434864 /nfs/dbraw/zinc/43/48/64/713434864.db2.gz NLYSBDWKQGTSPN-UHFFFAOYSA-N -1 1 301.261 1.907 20 0 DDADMM Cc1cc(C(=O)[N-]n2cnc3sc(C)c(C)c3c2=O)n[nH]1 ZINC000917390666 713496235 /nfs/dbraw/zinc/49/62/35/713496235.db2.gz VYIIJEMNSMEQSB-UHFFFAOYSA-N -1 1 303.347 1.490 20 0 DDADMM C[C@@H](CN(C)CC(=O)N1CCCC1)C(=O)c1ccc([O-])cc1 ZINC000929098401 713545764 /nfs/dbraw/zinc/54/57/64/713545764.db2.gz UGWIGNONTQUWCX-ZDUSSCGKSA-N -1 1 304.390 1.765 20 0 DDADMM CC(=O)N1CCC[N@@H+](C[C@H](C)C(=O)c2ccc([O-])cc2)CC1 ZINC000929102132 713546356 /nfs/dbraw/zinc/54/63/56/713546356.db2.gz PMGBQWTUCFVXQR-ZDUSSCGKSA-N -1 1 304.390 1.765 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC[C@@H]2CC[C@H]3C[C@H]32)c1 ZINC000920874303 713699737 /nfs/dbraw/zinc/69/97/37/713699737.db2.gz HXISELJSLUEKTL-NHCYSSNCSA-N -1 1 313.375 1.781 20 0 DDADMM O=S(=O)([N-][C@@H]1c2ccccc2OC[C@H]1F)c1cscn1 ZINC000921318564 713732002 /nfs/dbraw/zinc/73/20/02/713732002.db2.gz ZKGGNFHHIXFBRG-BXKDBHETSA-N -1 1 314.363 1.893 20 0 DDADMM Cc1cc(CC[N-]S(=O)(=O)c2cccc(F)c2F)nn1C ZINC000921582270 713812753 /nfs/dbraw/zinc/81/27/53/713812753.db2.gz RQCNZCFHWSWTLB-UHFFFAOYSA-N -1 1 315.345 1.528 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-]CC[C@H](N(C)C)C(F)(F)F ZINC000921633314 713826911 /nfs/dbraw/zinc/82/69/11/713826911.db2.gz KGUKVBSSRLIGEQ-VIFPVBQESA-N -1 1 315.317 1.144 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-]C1CSC1)c1ccccc1 ZINC000921870346 713891532 /nfs/dbraw/zinc/89/15/32/713891532.db2.gz LHRATRGXCAIZBP-QGZVFWFLSA-N -1 1 306.434 1.093 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000921975919 713926163 /nfs/dbraw/zinc/92/61/63/713926163.db2.gz BHYZMAFYTUCXMS-APPZFPTMSA-N -1 1 305.302 1.195 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3[C@H]4Cc5ccccc5[C@@H]34)ccnc1-2 ZINC000931130164 714010126 /nfs/dbraw/zinc/01/01/26/714010126.db2.gz NEQZSBHPHBBCDK-APHBMKBZSA-N -1 1 319.368 1.802 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OCCOC3CC3)n2)cc1 ZINC000922703162 714122414 /nfs/dbraw/zinc/12/24/14/714122414.db2.gz IGNABRWJBJMUGW-UHFFFAOYSA-N -1 1 318.329 1.922 20 0 DDADMM COC[C@@H](C)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932041682 714244360 /nfs/dbraw/zinc/24/43/60/714244360.db2.gz IMHRDRLZFXAKHF-NSHDSACASA-N -1 1 304.350 1.982 20 0 DDADMM CC[C@@]1(C(=O)[O-])CCCN([C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000923469916 714366221 /nfs/dbraw/zinc/36/62/21/714366221.db2.gz IXQZWOHEDDFTLY-ZWNOBZJWSA-N -1 1 322.327 1.726 20 0 DDADMM CCCn1nccc1NC(=O)CN1CCC[C@@](CC)(C(=O)[O-])C1 ZINC000923473028 714366998 /nfs/dbraw/zinc/36/69/98/714366998.db2.gz ZFISGNQSMVSUES-MRXNPFEDSA-N -1 1 322.409 1.808 20 0 DDADMM C[C@@H]1OCCO[C@@H]1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000923808658 714473916 /nfs/dbraw/zinc/47/39/16/714473916.db2.gz DYEQUCKDCCUQPZ-VFZGTOFNSA-N -1 1 320.297 1.346 20 0 DDADMM CC(C)(C)OCc1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000933214040 714538630 /nfs/dbraw/zinc/53/86/30/714538630.db2.gz OHQVCTYNCNVKKK-UHFFFAOYSA-N -1 1 320.349 1.590 20 0 DDADMM C[C@H]1CN(C(=O)c2ccoc2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966165016 717991208 /nfs/dbraw/zinc/99/12/08/717991208.db2.gz ZSNCMECQXQCNHL-JQWIXIFHSA-N -1 1 315.329 1.271 20 0 DDADMM COC[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H]1CCCOC1 ZINC000924687678 714640507 /nfs/dbraw/zinc/64/05/07/714640507.db2.gz JEYTWTLKXXSUEC-DGCLKSJQSA-N -1 1 321.377 1.231 20 0 DDADMM CCCCOC(=O)CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934276180 714784395 /nfs/dbraw/zinc/78/43/95/714784395.db2.gz DYMRCEHKQDTFGJ-LLVKDONJSA-N -1 1 309.366 1.671 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2snnc2C)[n-]c1=O ZINC000934281008 714785831 /nfs/dbraw/zinc/78/58/31/714785831.db2.gz ZFAHDVBZHAMQHW-SECBINFHSA-N -1 1 307.379 1.688 20 0 DDADMM COc1cnc([C@H]2CCCN2CC(=O)N[C@@H](C)C(C)C)[n-]c1=O ZINC000934281144 714785908 /nfs/dbraw/zinc/78/59/08/714785908.db2.gz XJYHOXOCKRZDHB-NWDGAFQWSA-N -1 1 322.409 1.488 20 0 DDADMM CCC(=O)N1CCC(NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000943103547 718005289 /nfs/dbraw/zinc/00/52/89/718005289.db2.gz MDILZCOXEOHYMY-UHFFFAOYSA-N -1 1 318.377 1.190 20 0 DDADMM C/C(=C/C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937860861 715616692 /nfs/dbraw/zinc/61/66/92/715616692.db2.gz MUIZOQSMDRLCMQ-FUWURINLSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC000955525177 715837275 /nfs/dbraw/zinc/83/72/75/715837275.db2.gz POGNQLJTJCXXLX-OLZOCXBDSA-N -1 1 317.389 1.863 20 0 DDADMM Cc1coc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)c1 ZINC000938472859 715861049 /nfs/dbraw/zinc/86/10/49/715861049.db2.gz TXEUOOYFDJBIDH-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM CCC1(C(=O)N2CC[C@H](N(C)C(=O)c3ncccc3[O-])C2)CC1 ZINC000939491763 716285717 /nfs/dbraw/zinc/28/57/17/716285717.db2.gz AIBVIXQWZNZBST-LBPRGKRZSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@@H](C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC000959942940 716365448 /nfs/dbraw/zinc/36/54/48/716365448.db2.gz MVKSKYLUSSHOIO-PWSUYJOCSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@]1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000940130288 716641010 /nfs/dbraw/zinc/64/10/10/716641010.db2.gz KKNDCUXSSULOCV-PXAZEXFGSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)C(=O)N1C[C@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959373741 716988550 /nfs/dbraw/zinc/98/85/50/716988550.db2.gz IOKSIXOTQZRHMO-RYUDHWBXSA-N -1 1 305.378 1.268 20 0 DDADMM CS[C@@H](C)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943882222 718215088 /nfs/dbraw/zinc/21/50/88/718215088.db2.gz HOWMEWBAKGCWNV-WDEREUQCSA-N -1 1 323.418 1.260 20 0 DDADMM CC(C)C(=O)N1CCC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000945597159 718504914 /nfs/dbraw/zinc/50/49/14/718504914.db2.gz MMRZHDQSLNXTSW-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM CCCC(=O)N1CC[C@@H]2[C@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945855496 718594082 /nfs/dbraw/zinc/59/40/82/718594082.db2.gz YGABTLOXPIMKBN-CHWSQXEVSA-N -1 1 317.389 1.650 20 0 DDADMM CCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1CC ZINC000948389717 719478482 /nfs/dbraw/zinc/47/84/82/719478482.db2.gz KGOUXPYRRNDLON-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@@H](N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1csnn1 ZINC000968481505 719611100 /nfs/dbraw/zinc/61/11/00/719611100.db2.gz IZPCMCFINUYSJU-NXEZZACHSA-N -1 1 319.390 1.204 20 0 DDADMM C[C@H]1C[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886575 719844575 /nfs/dbraw/zinc/84/45/75/719844575.db2.gz RKOZECMZCNLRGC-WUHRBBMRSA-N -1 1 315.373 1.259 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])co1 ZINC000949535098 720145291 /nfs/dbraw/zinc/14/52/91/720145291.db2.gz NPSHSNPQVZMEOC-LBPRGKRZSA-N -1 1 315.329 1.333 20 0 DDADMM Cc1c[nH]cc1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949538808 720147416 /nfs/dbraw/zinc/14/74/16/720147416.db2.gz RBMZEBDPLSJWPD-LLVKDONJSA-N -1 1 314.345 1.068 20 0 DDADMM Cc1cc(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])co1 ZINC000949553896 720158724 /nfs/dbraw/zinc/15/87/24/720158724.db2.gz KJVIAQMNTOLVEH-GFCCVEGCSA-N -1 1 315.329 1.333 20 0 DDADMM Cc1oncc1CN1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000969543498 720181970 /nfs/dbraw/zinc/18/19/70/720181970.db2.gz PEPVEPCKAMWKEA-SNVBAGLBSA-N -1 1 316.361 1.334 20 0 DDADMM CC(C)C(=O)N1CC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000950037959 720462904 /nfs/dbraw/zinc/46/29/04/720462904.db2.gz QFUPRCYAAKRRQB-LLVKDONJSA-N -1 1 318.377 1.046 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)C2CC=CC2)C1)c1ncccc1[O-] ZINC000950270604 720549548 /nfs/dbraw/zinc/54/95/48/720549548.db2.gz XPJMXUQICBPEQK-ZDUSSCGKSA-N -1 1 315.373 1.474 20 0 DDADMM Cc1cc(C)cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000970573037 720822579 /nfs/dbraw/zinc/82/25/79/720822579.db2.gz OZDNOQVENLXMNE-CYBMUJFWSA-N -1 1 315.377 1.131 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000951675939 721130653 /nfs/dbraw/zinc/13/06/53/721130653.db2.gz DUMLEPGDOJGCFR-YPMHNXCESA-N -1 1 323.368 1.502 20 0 DDADMM CN(Cc1ccns1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971398548 721278635 /nfs/dbraw/zinc/27/86/35/721278635.db2.gz VTGREUQZMVNLKI-LLVKDONJSA-N -1 1 318.402 1.590 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC=CCC2)C1 ZINC000954123875 721723234 /nfs/dbraw/zinc/72/32/34/721723234.db2.gz BUOXTIMZWUTYLY-LBPRGKRZSA-N -1 1 315.373 1.426 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CC1 ZINC000692861504 738636739 /nfs/dbraw/zinc/63/67/39/738636739.db2.gz LSPCEWZJPOERMO-MRVPVSSYSA-N -1 1 308.762 1.577 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)C2CC=CC2)CC1 ZINC001010822829 733315013 /nfs/dbraw/zinc/31/50/13/733315013.db2.gz QNOWMSRAHKOQHV-UHFFFAOYSA-N -1 1 301.346 1.038 20 0 DDADMM O=C(NC[C@@H]1CCCN1Cc1cnsn1)c1ncccc1[O-] ZINC001027842921 738725306 /nfs/dbraw/zinc/72/53/06/738725306.db2.gz AMADEQCOBBOMRK-NSHDSACASA-N -1 1 319.390 1.033 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]CC1(O)CCCC1 ZINC000087017950 733557692 /nfs/dbraw/zinc/55/76/92/733557692.db2.gz ISYOOPCPJIWWHO-UHFFFAOYSA-N -1 1 310.828 1.688 20 0 DDADMM Cc1nnc(C[NH2+][C@H]2C[C@@H](NC(=O)c3ccccc3O)C2)s1 ZINC001021851508 733618478 /nfs/dbraw/zinc/61/84/78/733618478.db2.gz WIFVSGGPIPXHQY-PHIMTYICSA-N -1 1 318.402 1.603 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001087222217 734812891 /nfs/dbraw/zinc/81/28/91/734812891.db2.gz SBLIOUGKMSHKCE-UPJWGTAASA-N -1 1 323.441 1.519 20 0 DDADMM C[C@@H](CCNC(=O)C[C@H]1C=CCC1)NC(=O)c1ncccc1[O-] ZINC001075556647 737922161 /nfs/dbraw/zinc/92/21/61/737922161.db2.gz RKNQXIWNPQDIJS-STQMWFEESA-N -1 1 317.389 1.768 20 0 DDADMM O=C(N[C@H]1C[C@H](Nc2cncc(Cl)n2)C1)c1ncccc1[O-] ZINC001059200784 740369056 /nfs/dbraw/zinc/36/90/56/740369056.db2.gz ARNFTXGSPRDQCS-KYZUINATSA-N -1 1 319.752 1.604 20 0 DDADMM CCC(CC)C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029651763 741185899 /nfs/dbraw/zinc/18/58/99/741185899.db2.gz JDJWTVDJJDPFCS-LLVKDONJSA-N -1 1 309.414 1.227 20 0 DDADMM C/C(=C/C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001029664422 741200044 /nfs/dbraw/zinc/20/00/44/741200044.db2.gz TYWQJFROAJWSPY-SEVUFMINSA-N -1 1 319.409 1.147 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029857038 741431195 /nfs/dbraw/zinc/43/11/95/741431195.db2.gz GAVQYPBYECCCAG-NWDGAFQWSA-N -1 1 309.414 1.083 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cnc(N3CCCC3)nc2)cc1 ZINC001211933618 741861247 /nfs/dbraw/zinc/86/12/47/741861247.db2.gz GBYMEELXPDAFOI-UHFFFAOYSA-N -1 1 319.390 1.460 20 0 DDADMM O=C(Nc1nc[n-]c(=O)c1Br)C1(F)CCOCC1 ZINC001142605719 742432460 /nfs/dbraw/zinc/43/24/60/742432460.db2.gz ODOKYEPWCLMJHD-UHFFFAOYSA-N -1 1 320.118 1.402 20 0 DDADMM CC[C@@H](C)OCC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076184389 742582127 /nfs/dbraw/zinc/58/21/27/742582127.db2.gz MIOIMNNDFUWUQL-NWDGAFQWSA-N -1 1 323.393 1.227 20 0 DDADMM CS[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244157 742623077 /nfs/dbraw/zinc/62/30/77/742623077.db2.gz KLBKVOHEXCDHPE-UWVGGRQHSA-N -1 1 311.407 1.163 20 0 DDADMM C/C(=C/C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001076244630 742624076 /nfs/dbraw/zinc/62/40/76/742624076.db2.gz XFKJJSIHJYZGBG-WEHUKYGOSA-N -1 1 317.389 1.768 20 0 DDADMM CCCC(=O)N1CCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002054830 742846036 /nfs/dbraw/zinc/84/60/36/742846036.db2.gz DESWATICZPZLNL-LBPRGKRZSA-N -1 1 305.378 1.650 20 0 DDADMM Cc1cc(F)cc(CC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001181644946 743269704 /nfs/dbraw/zinc/26/97/04/743269704.db2.gz RPRJFJZOCNROAO-UHFFFAOYSA-N -1 1 306.253 1.914 20 0 DDADMM CC(C)(C)CCCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001182825677 743732670 /nfs/dbraw/zinc/73/26/70/743732670.db2.gz YOCRVKCZLGVMAZ-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2ccc(CO)nc2)cc1C(=O)[O-] ZINC001184668425 744080650 /nfs/dbraw/zinc/08/06/50/744080650.db2.gz UKGMKDRXOPKEMQ-UHFFFAOYSA-N -1 1 322.342 1.381 20 0 DDADMM O=S(=O)(Cc1cc(F)cc(F)c1)[N-]c1cccc(CO)n1 ZINC001185096979 744153489 /nfs/dbraw/zinc/15/34/89/744153489.db2.gz SQTOMXNZKAXYPR-UHFFFAOYSA-N -1 1 314.313 1.794 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)C1(CC2CCC2)CCOCC1 ZINC001185201123 744179203 /nfs/dbraw/zinc/17/92/03/744179203.db2.gz UORKPHOWYMEJJI-UHFFFAOYSA-N -1 1 322.365 1.396 20 0 DDADMM O=C(NC1C[NH+](CCC2CC2)C1)c1cc(=O)[nH]c(C2CC2)n1 ZINC001030490160 744198434 /nfs/dbraw/zinc/19/84/34/744198434.db2.gz PDBSUXRTPASDIP-UHFFFAOYSA-N -1 1 302.378 1.274 20 0 DDADMM NC(=O)c1ccc(NC(=O)NCc2ccc(F)cc2)cc1[O-] ZINC001185479985 744233345 /nfs/dbraw/zinc/23/33/45/744233345.db2.gz BSTJDQNZAAOMEO-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC2(C1)OCCO2)c1nccs1 ZINC001187918719 744635163 /nfs/dbraw/zinc/63/51/63/744635163.db2.gz MIUDBHYEFWRTIU-VIFPVBQESA-N -1 1 304.393 1.107 20 0 DDADMM Cc1cc2c(cn1)[C@@H](NC(=O)c1n[n-]nc1C(F)(F)F)CC2 ZINC001187773792 744600301 /nfs/dbraw/zinc/60/03/01/744600301.db2.gz MNWGJBUWSONKJQ-VIFPVBQESA-N -1 1 311.267 1.944 20 0 DDADMM O=S(=O)([N-][C@H]1COc2ccc(F)cc21)c1nccs1 ZINC001187913022 744629098 /nfs/dbraw/zinc/62/90/98/744629098.db2.gz SETMVGUHIXNCGQ-VIFPVBQESA-N -1 1 300.336 1.694 20 0 DDADMM COC(=O)[C@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1nccs1 ZINC001187923180 744635619 /nfs/dbraw/zinc/63/56/19/744635619.db2.gz IHCKWASZUXWZBO-IUCAKERBSA-N -1 1 304.393 1.153 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ncc(Cl)nc1Cl ZINC001188350009 744698781 /nfs/dbraw/zinc/69/87/81/744698781.db2.gz JNCZYXIWEMGELW-UHFFFAOYSA-N -1 1 314.150 1.088 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc(F)nc(F)c1F ZINC001189175840 744845775 /nfs/dbraw/zinc/84/57/75/744845775.db2.gz KSOSMXXJVWTDNI-UHFFFAOYSA-N -1 1 312.184 1.187 20 0 DDADMM Cc1cnc([N-]S(=O)(=O)Cc2ccc(F)cc2F)c(=O)[nH]1 ZINC001189862431 745004636 /nfs/dbraw/zinc/00/46/36/745004636.db2.gz MZFKAAUGMLZHJR-UHFFFAOYSA-N -1 1 315.301 1.298 20 0 DDADMM Cc1ccnc(Br)c1[N-]S(=O)(=O)c1ncc[nH]1 ZINC001190689317 745297585 /nfs/dbraw/zinc/29/75/85/745297585.db2.gz GEXQHPDKKGQNCV-UHFFFAOYSA-N -1 1 317.168 1.676 20 0 DDADMM COc1cc(C(=O)Nc2[nH]nc3nccnc32)cc(Cl)c1[O-] ZINC001191129841 745425762 /nfs/dbraw/zinc/42/57/62/745425762.db2.gz UTNUFHIQKSAZAC-UHFFFAOYSA-N -1 1 319.708 1.924 20 0 DDADMM CCOC(=O)c1n[nH]cc1NC(=O)c1cnc(SC)[n-]c1=O ZINC001191428160 745509133 /nfs/dbraw/zinc/50/91/33/745509133.db2.gz CMUXPKQCWOASQT-UHFFFAOYSA-N -1 1 323.334 1.056 20 0 DDADMM CSc1ncc(C(=O)Nc2cccc(CC(N)=O)c2)c(=O)[n-]1 ZINC001191438496 745512843 /nfs/dbraw/zinc/51/28/43/745512843.db2.gz YDPWCXATUMURDD-UHFFFAOYSA-N -1 1 318.358 1.184 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3n[nH]cc3-c3ccccn3)c1-2 ZINC001191484492 745519848 /nfs/dbraw/zinc/51/98/48/745519848.db2.gz SOYXMVLAQQMWKH-UHFFFAOYSA-N -1 1 320.316 1.072 20 0 DDADMM O=C(NC1=CCOC1=O)c1ccccc1C(=O)c1ccc([O-])cc1 ZINC001191518652 745533598 /nfs/dbraw/zinc/53/35/98/745533598.db2.gz WDNZNHIDIYKISY-UHFFFAOYSA-N -1 1 323.304 1.794 20 0 DDADMM Cc1cc(NCC[C@H](C)NC(=O)c2ncccc2[O-])nc(C)n1 ZINC001106295893 745561747 /nfs/dbraw/zinc/56/17/47/745561747.db2.gz BPLPDYFZDGLWJP-JTQLQIEISA-N -1 1 315.377 1.815 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192053645 745685680 /nfs/dbraw/zinc/68/56/80/745685680.db2.gz ADACKGJBCIFVTE-RFZPGFLSSA-N -1 1 310.586 1.043 20 0 DDADMM COC(=O)c1ccc([O-])c(C(=O)Nc2n[nH]c3nccnc23)c1 ZINC001192376541 745770437 /nfs/dbraw/zinc/77/04/37/745770437.db2.gz HCKWODXMHUCXCG-UHFFFAOYSA-N -1 1 313.273 1.049 20 0 DDADMM Cc1cc(=O)oc(C)c1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001192512862 745803519 /nfs/dbraw/zinc/80/35/19/745803519.db2.gz HIUXOLKYKWCFJW-UHFFFAOYSA-N -1 1 302.212 1.646 20 0 DDADMM Cc1nc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)sc1C ZINC001192611482 745843477 /nfs/dbraw/zinc/84/34/77/745843477.db2.gz YPWGXKOBYJHTMF-UHFFFAOYSA-N -1 1 306.307 1.041 20 0 DDADMM CN1C(=O)CC[C@H]2CN(C(=O)c3cc([O-])cc(F)c3F)CC[C@H]21 ZINC001192665774 745869254 /nfs/dbraw/zinc/86/92/54/745869254.db2.gz WBHOASUNPZXYEO-TVQRCGJNSA-N -1 1 324.327 1.753 20 0 DDADMM O=C(N[C@@H]1CCCN(Cc2cscn2)C1)c1ncccc1[O-] ZINC001007179218 752049517 /nfs/dbraw/zinc/04/95/17/752049517.db2.gz YCXWISHOYJHZRF-LLVKDONJSA-N -1 1 318.402 1.638 20 0 DDADMM C[C@@H]1CCC[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000998623095 752061700 /nfs/dbraw/zinc/06/17/00/752061700.db2.gz MKNKOABZJVDZJY-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccnc(C(F)F)c1 ZINC001193657578 746167990 /nfs/dbraw/zinc/16/79/90/746167990.db2.gz XPUHZJPRYYSZMR-UHFFFAOYSA-N -1 1 308.221 1.707 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cc(CO)ccn1 ZINC001193915888 746235516 /nfs/dbraw/zinc/23/55/16/746235516.db2.gz NWXGBNUPWFQYSJ-UHFFFAOYSA-N -1 1 324.134 1.689 20 0 DDADMM Cc1cccc(C)c1S(=O)(=O)[N-]c1cnc2c(c1)CC(=O)N2 ZINC001194609759 746410764 /nfs/dbraw/zinc/41/07/64/746410764.db2.gz IRKVZPYKLZYHCC-UHFFFAOYSA-N -1 1 317.370 1.994 20 0 DDADMM CC(C)(C)n1cc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cn1 ZINC001194792311 746456115 /nfs/dbraw/zinc/45/61/15/746456115.db2.gz FYPIAMTUAVBGQA-UHFFFAOYSA-N -1 1 306.282 1.490 20 0 DDADMM CSc1nc(NC(=O)c2ccc(Cl)[nH]c2=O)cc(=O)[n-]1 ZINC001195127985 746534581 /nfs/dbraw/zinc/53/45/81/746534581.db2.gz OSCOIQTWMJNSTH-UHFFFAOYSA-N -1 1 312.738 1.910 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC(c3nncn3C)CC2)n1 ZINC001195324924 746566009 /nfs/dbraw/zinc/56/60/09/746566009.db2.gz XGIJBJSPVVNUPN-UHFFFAOYSA-N -1 1 301.350 1.244 20 0 DDADMM NC(=O)Cc1cccc(NC(=O)c2cc([O-])cnc2Cl)c1 ZINC001195309194 746573067 /nfs/dbraw/zinc/57/30/67/746573067.db2.gz OKEZZMVXOISDKU-UHFFFAOYSA-N -1 1 305.721 1.721 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc(O)cnc1Cl ZINC001195312754 746574011 /nfs/dbraw/zinc/57/40/11/746574011.db2.gz JNIZDMKHVGHFGT-UHFFFAOYSA-N -1 1 306.669 1.065 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cc(OC)ncc2F)cn1 ZINC001195695789 746681215 /nfs/dbraw/zinc/68/12/15/746681215.db2.gz ZEUFEPCXWHTFNJ-UHFFFAOYSA-N -1 1 313.310 1.434 20 0 DDADMM CCOC(=O)c1ccncc1NC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196008917 746755012 /nfs/dbraw/zinc/75/50/12/746755012.db2.gz MISCXVPXVPSGFP-UHFFFAOYSA-N -1 1 320.330 1.295 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cn(CC(C)C)cn1 ZINC001196925979 746999397 /nfs/dbraw/zinc/99/93/97/746999397.db2.gz WPIYUVDCLVFJQZ-UHFFFAOYSA-N -1 1 317.411 1.624 20 0 DDADMM O=C([O-])c1ccc(NC(=S)N2CC(N3CCCC3)C2)cc1 ZINC001197096293 747049183 /nfs/dbraw/zinc/04/91/83/747049183.db2.gz WZQGSHGNUZEOKH-UHFFFAOYSA-N -1 1 305.403 1.862 20 0 DDADMM O=C([N-]c1ncnc2n[nH]nc21)c1ncc(-c2ccccc2)cn1 ZINC001197241739 747113944 /nfs/dbraw/zinc/11/39/44/747113944.db2.gz HSJNDTDKEILBRA-UHFFFAOYSA-N -1 1 318.300 1.457 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2ncc(O)cc2Cl)n1C ZINC001198364402 747464684 /nfs/dbraw/zinc/46/46/84/747464684.db2.gz TVYZWPFVLFBQPO-UHFFFAOYSA-N -1 1 302.743 1.283 20 0 DDADMM COc1cc(C)c(C(=O)NCCCC[P@](=O)([O-])O)cn1 ZINC001199710210 747960820 /nfs/dbraw/zinc/96/08/20/747960820.db2.gz BZMMCMKVXNDYQE-UHFFFAOYSA-N -1 1 302.267 1.086 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC1)c1c(F)cccc1Br ZINC001201013436 748389799 /nfs/dbraw/zinc/38/97/99/748389799.db2.gz UHARASOOZKHWKU-ZETCQYMHSA-N -1 1 324.171 1.655 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-]c2ncn(C)c2C)cc1 ZINC001201110401 748411918 /nfs/dbraw/zinc/41/19/18/748411918.db2.gz YWOKAFVNRSNRHA-UHFFFAOYSA-N -1 1 323.374 1.457 20 0 DDADMM C[C@@H](O)C[N-]S(=O)(=O)c1cc(Cl)c(Cl)cc1F ZINC001201244169 748442391 /nfs/dbraw/zinc/44/23/91/748442391.db2.gz IKZHCMJZGUGQMM-RXMQYKEDSA-N -1 1 302.154 1.792 20 0 DDADMM CC1(C)C(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1(C)C ZINC000998833344 752271644 /nfs/dbraw/zinc/27/16/44/752271644.db2.gz SRSYEUBNDLRWHM-SNVBAGLBSA-N -1 1 321.425 1.273 20 0 DDADMM CCCC(=O)OCC(=O)[N-]C(=O)c1ccc(OC(F)F)cc1 ZINC000005781815 748678229 /nfs/dbraw/zinc/67/82/29/748678229.db2.gz ZFQIOWWHQYZWRJ-UHFFFAOYSA-N -1 1 315.272 1.888 20 0 DDADMM CC1(C)CN(C(=O)c2ccsc2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995423636 748711513 /nfs/dbraw/zinc/71/15/13/748711513.db2.gz KCEHULBVNPYQBR-JTQLQIEISA-N -1 1 321.406 1.212 20 0 DDADMM CCCC(=O)N1CC[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001004748584 748714772 /nfs/dbraw/zinc/71/47/72/748714772.db2.gz GTPJUTKKMNRFTF-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM COC(OC)[C@H](C)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000923647160 749322877 /nfs/dbraw/zinc/32/28/77/749322877.db2.gz AFLGBUJDUQWOTH-QMMMGPOBSA-N -1 1 302.758 1.852 20 0 DDADMM CCC1(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)CCC1 ZINC000996165610 749413608 /nfs/dbraw/zinc/41/36/08/749413608.db2.gz DBHZHPSPAGZZBG-NSHDSACASA-N -1 1 321.425 1.417 20 0 DDADMM CCC[C@H](NC(=O)c1ccnc(-n2cccc2)c1)c1nn[n-]n1 ZINC001137206188 749509255 /nfs/dbraw/zinc/50/92/55/749509255.db2.gz JJCWONGWAVHVMM-LBPRGKRZSA-N -1 1 311.349 1.657 20 0 DDADMM CCc1ncnc(NC[C@@H](C)NC(=O)c2ncccc2[O-])c1F ZINC001107690052 750384128 /nfs/dbraw/zinc/38/41/28/750384128.db2.gz JAQXPKTWIYGMQR-SECBINFHSA-N -1 1 319.340 1.509 20 0 DDADMM Cc1cnc(C)nc1NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001107690001 750384351 /nfs/dbraw/zinc/38/43/51/750384351.db2.gz GEOQQVQHPQKHBV-SNVBAGLBSA-N -1 1 301.350 1.424 20 0 DDADMM C[N@H+]1CCCC[C@@H]1c1ccc(NCCOP(=O)([O-])[O-])nc1 ZINC001168590194 751208045 /nfs/dbraw/zinc/20/80/45/751208045.db2.gz VFCKHXDGTPYKQH-GFCCVEGCSA-N -1 1 315.310 1.760 20 0 DDADMM C[C@@H]1CCCN(C(=O)CC2CC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036495871 752486400 /nfs/dbraw/zinc/48/64/00/752486400.db2.gz QXZZMGZKTSHQFK-PWSUYJOCSA-N -1 1 307.398 1.027 20 0 DDADMM CCC(CC)C(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036651730 752629751 /nfs/dbraw/zinc/62/97/51/752629751.db2.gz IRTNCYLWPWMYPE-STQMWFEESA-N -1 1 321.425 1.369 20 0 DDADMM O=C(CC1CCC1)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062754777 753676995 /nfs/dbraw/zinc/67/69/95/753676995.db2.gz IFNBLOMHXDDJRB-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM O=C(/C=C\C1CC1)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839606 753760094 /nfs/dbraw/zinc/76/00/94/753760094.db2.gz XEYYMCKIUITQLQ-SREVYHEPSA-N -1 1 315.373 1.522 20 0 DDADMM CCc1cnc(CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001010724324 754124546 /nfs/dbraw/zinc/12/45/46/754124546.db2.gz DBTZFXGZPUMAIC-NSHDSACASA-N -1 1 316.361 1.342 20 0 DDADMM Cc1ncsc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010730894 754128900 /nfs/dbraw/zinc/12/89/00/754128900.db2.gz ILOAXZFOJLHIEM-LLVKDONJSA-N -1 1 318.402 1.556 20 0 DDADMM CCC(=O)N1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]1C ZINC001011340889 754448690 /nfs/dbraw/zinc/44/86/90/754448690.db2.gz STCMWOMHJQWRFK-BXKDBHETSA-N -1 1 318.377 1.189 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001011644295 754653065 /nfs/dbraw/zinc/65/30/65/754653065.db2.gz JYKNZIASZIENPF-GHMZBOCLSA-N -1 1 321.352 1.770 20 0 DDADMM CCC[C@@H](C)C(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC001079652821 755503228 /nfs/dbraw/zinc/50/32/28/755503228.db2.gz JEBBOLAYRXHZNR-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM CC(=O)N1CCC[C@H]1[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001013913040 755537701 /nfs/dbraw/zinc/53/77/01/755537701.db2.gz RTSSCVJRKILEAQ-KBPBESRZSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)CC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001014894986 756004493 /nfs/dbraw/zinc/00/44/93/756004493.db2.gz RQPGWTIXFCYITG-OLZOCXBDSA-N -1 1 319.405 1.943 20 0 DDADMM CC(C)OCCCC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001119111472 756943823 /nfs/dbraw/zinc/94/38/23/756943823.db2.gz MTJYWQALXIXMEG-UHFFFAOYSA-N -1 1 309.366 1.076 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])CC[C@H]1C ZINC001018097274 758544268 /nfs/dbraw/zinc/54/42/68/758544268.db2.gz DDDKPSWGDFRYRZ-UDLQBDOPSA-N -1 1 317.389 1.863 20 0 DDADMM COC1(C)CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000824260990 759163509 /nfs/dbraw/zinc/16/35/09/759163509.db2.gz WPTMVPBEAHUKCK-UHFFFAOYSA-N -1 1 302.334 1.831 20 0 DDADMM CC(C)CC(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018714428 759174842 /nfs/dbraw/zinc/17/48/42/759174842.db2.gz NCNNNBJYWYEZEH-UHFFFAOYSA-N -1 1 317.389 1.508 20 0 DDADMM Cc1ccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)s1 ZINC001054339018 759508237 /nfs/dbraw/zinc/50/82/37/759508237.db2.gz LCIIGNORHGPITF-WPRPVWTQSA-N -1 1 321.406 1.131 20 0 DDADMM Cc1nocc1CN1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001085561061 759697994 /nfs/dbraw/zinc/69/79/94/759697994.db2.gz BURFJAJINWQCGK-ZDUSSCGKSA-N -1 1 316.361 1.430 20 0 DDADMM CN(C[C@H]1CCN1Cc1ccon1)C(=O)c1ncccc1[O-] ZINC001085561952 759699125 /nfs/dbraw/zinc/69/91/25/759699125.db2.gz ZRGNQSSYKYZCGT-GFCCVEGCSA-N -1 1 302.334 1.122 20 0 DDADMM CN(C[C@H]1CCCC[C@H]1O)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000828624647 759708663 /nfs/dbraw/zinc/70/86/63/759708663.db2.gz PJYFOLCEIFEXJE-DGCLKSJQSA-N -1 1 305.378 1.683 20 0 DDADMM CCC1(NC(=O)c2ncccc2[O-])CCN(C(=O)C(C)C)CC1 ZINC001069181270 767896471 /nfs/dbraw/zinc/89/64/71/767896471.db2.gz SDGLNZARCBSUCW-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM CN(C(=O)c1ccccc1OCc1ccncc1)c1nn[n-]n1 ZINC001131170282 767916640 /nfs/dbraw/zinc/91/66/40/767916640.db2.gz WGXGEMXANGNBQQ-UHFFFAOYSA-N -1 1 310.317 1.450 20 0 DDADMM CN(C(=O)c1ccc(OC(F)(F)Cl)cc1)c1nn[n-]n1 ZINC001131172360 767917710 /nfs/dbraw/zinc/91/77/10/767917710.db2.gz KHGRZZFAXIOIEI-UHFFFAOYSA-N -1 1 303.656 1.644 20 0 DDADMM O=C(c1cccc(Cl)c1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019694851 760177818 /nfs/dbraw/zinc/17/78/18/760177818.db2.gz SRAYJHCGTYJYOB-NSHDSACASA-N -1 1 321.768 1.168 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2cncc(Cl)n2)CC1 ZINC001055776651 760716065 /nfs/dbraw/zinc/71/60/65/760716065.db2.gz CVTXWIWUDNUELF-UHFFFAOYSA-N -1 1 319.752 1.193 20 0 DDADMM CC1(C)CC[C@@H](C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001000124940 761524430 /nfs/dbraw/zinc/52/44/30/761524430.db2.gz XVXZSNUXUBWQSW-VXGBXAGGSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)C1=CCCC1 ZINC001001407536 762835598 /nfs/dbraw/zinc/83/55/98/762835598.db2.gz HJRZLJGPBDKDEJ-UHFFFAOYSA-N -1 1 301.346 1.086 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001547063 762950177 /nfs/dbraw/zinc/95/01/77/762950177.db2.gz YOURZNGRHISMQF-VXGBXAGGSA-N -1 1 303.362 1.022 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(Nc2cnc(F)cn2)CC1 ZINC001057314723 763399696 /nfs/dbraw/zinc/39/96/96/763399696.db2.gz ZBGQVFJKQWZCEE-UHFFFAOYSA-N -1 1 317.324 1.433 20 0 DDADMM CC[C@@H](C)CN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001050888486 764236448 /nfs/dbraw/zinc/23/64/48/764236448.db2.gz WQXGNIFKVXHKOS-OLZOCXBDSA-N -1 1 307.394 1.264 20 0 DDADMM C[C@@H](Nc1ncc(Cl)cn1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001112983347 765099634 /nfs/dbraw/zinc/09/96/34/765099634.db2.gz JRWIBQZGJQIUIK-DTWKUNHWSA-N -1 1 321.768 1.850 20 0 DDADMM CC(C)CN1CC(N(C)C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001044182632 765371750 /nfs/dbraw/zinc/37/17/50/765371750.db2.gz XMUNZDYHKJOLKI-UHFFFAOYSA-N -1 1 304.350 1.341 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)C(C)(C)C ZINC001052422061 765810388 /nfs/dbraw/zinc/81/03/88/765810388.db2.gz PKGXECFLDGHYNC-VXGBXAGGSA-N -1 1 319.405 1.658 20 0 DDADMM COC(=O)c1nc2ccc(NCC(=O)Cc3ccccc3)[n-]c-2n1 ZINC001170187408 766163116 /nfs/dbraw/zinc/16/31/16/766163116.db2.gz VTSGXPUABHVSEQ-UHFFFAOYSA-N -1 1 324.340 1.968 20 0 DDADMM COC(=O)c1nc2ccc(NCC(=O)Cc3ccccc3)nc2[n-]1 ZINC001170187408 766163125 /nfs/dbraw/zinc/16/31/25/766163125.db2.gz VTSGXPUABHVSEQ-UHFFFAOYSA-N -1 1 324.340 1.968 20 0 DDADMM CC(C)OCc1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc1 ZINC001170216455 766175330 /nfs/dbraw/zinc/17/53/30/766175330.db2.gz DDHOYUUJKYMWQM-UHFFFAOYSA-N -1 1 316.321 1.779 20 0 DDADMM COc1cnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c2[nH]ccc21 ZINC001170217882 766176556 /nfs/dbraw/zinc/17/65/56/766176556.db2.gz NVPDBXSKNVNYPL-UHFFFAOYSA-N -1 1 313.277 1.344 20 0 DDADMM Cc1nc2c(c(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)n1)CCCC2 ZINC001170219199 766177821 /nfs/dbraw/zinc/17/78/21/766177821.db2.gz ZEPGJEVUJSFVTH-UHFFFAOYSA-N -1 1 313.321 1.437 20 0 DDADMM CCOC(=O)Cc1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)cn1 ZINC001170234679 766189575 /nfs/dbraw/zinc/18/95/75/766189575.db2.gz VMNKJNUMXOVFKA-UHFFFAOYSA-N -1 1 314.305 1.303 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ncnc3[nH]c4c(c32)CCCC4)[n-]1 ZINC001170226152 766200182 /nfs/dbraw/zinc/20/01/82/766200182.db2.gz DMEOVTUXTVWFGJ-UHFFFAOYSA-N -1 1 322.332 1.952 20 0 DDADMM CCOC(=O)c1ccncc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170228034 766202603 /nfs/dbraw/zinc/20/26/03/766202603.db2.gz BBJJMSJDIOOPBI-UHFFFAOYSA-N -1 1 300.278 1.374 20 0 DDADMM NC(=O)c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)c2cc[nH]c21 ZINC001170229630 766203749 /nfs/dbraw/zinc/20/37/49/766203749.db2.gz UXDJYYNNGNZNSL-UHFFFAOYSA-N -1 1 309.289 1.382 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)c3ccsc3)nc2n1 ZINC001129408894 766871247 /nfs/dbraw/zinc/87/12/47/766871247.db2.gz JUQZCHXYRXLUTN-QMMMGPOBSA-N -1 1 303.347 1.530 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2ccc(F)cc2C1 ZINC001121674068 782621419 /nfs/dbraw/zinc/62/14/19/782621419.db2.gz VNFGUIVDCHYHDF-UHFFFAOYSA-N -1 1 314.328 1.180 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2ccc(F)cc2C1 ZINC001121674068 782621425 /nfs/dbraw/zinc/62/14/25/782621425.db2.gz VNFGUIVDCHYHDF-UHFFFAOYSA-N -1 1 314.328 1.180 20 0 DDADMM O=C(N[C@H]1C[C@H](CNc2cccc(F)n2)C1)c1ncccc1[O-] ZINC001068476229 767355174 /nfs/dbraw/zinc/35/51/74/767355174.db2.gz RYOSXAXQTDTIRI-XYPYZODXSA-N -1 1 316.336 1.942 20 0 DDADMM Cc1ccc(Oc2ccc(C(=O)N(C)c3nn[n-]n3)nc2)cc1 ZINC001130972174 767824817 /nfs/dbraw/zinc/82/48/17/767824817.db2.gz PNOVBASWBJNGOB-UHFFFAOYSA-N -1 1 310.317 1.972 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)/C=C\C1CC1 ZINC001071952518 770337326 /nfs/dbraw/zinc/33/73/26/770337326.db2.gz NHWBADUXCHTBRB-YXZYKRAASA-N -1 1 315.373 1.330 20 0 DDADMM C[C@H](C[C@@H](C)NC(=O)c1ncccc1[O-])NCc1cnsn1 ZINC001134159940 770709932 /nfs/dbraw/zinc/70/99/32/770709932.db2.gz FWGCNMAGOMTMEA-NXEZZACHSA-N -1 1 321.406 1.325 20 0 DDADMM Cc1oc(C(C)(C)C)cc1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134425627 771006603 /nfs/dbraw/zinc/00/66/03/771006603.db2.gz JNVGSFVQFRWJLN-UHFFFAOYSA-N -1 1 321.381 1.229 20 0 DDADMM CC[C@@H](CC1CCCC1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134688654 771123022 /nfs/dbraw/zinc/12/30/22/771123022.db2.gz UTCJUMCBKSOHKJ-LBPRGKRZSA-N -1 1 309.414 1.323 20 0 DDADMM Cn1c(CCNC(=O)c2ccc([O-])cn2)nc2c1cccc2F ZINC001136631746 772051166 /nfs/dbraw/zinc/05/11/66/772051166.db2.gz BYQVCAJRBTUMMD-UHFFFAOYSA-N -1 1 314.320 1.786 20 0 DDADMM COCCCn1ccc(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)n1 ZINC001136755262 772123279 /nfs/dbraw/zinc/12/32/79/772123279.db2.gz QIKSIXLUWAXDAB-UHFFFAOYSA-N -1 1 323.378 1.265 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(OC)c(O)c2)n1 ZINC001144785361 772622186 /nfs/dbraw/zinc/62/21/86/772622186.db2.gz ADMLQWPNNJZXAO-UHFFFAOYSA-N -1 1 319.317 1.482 20 0 DDADMM CC(C)(C)c1ccc(CC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001144866334 772652933 /nfs/dbraw/zinc/65/29/33/772652933.db2.gz LTCQLHPHRYJWEZ-UHFFFAOYSA-N -1 1 316.361 1.949 20 0 DDADMM O=C(Nc1c([O-])cccc1F)[C@H]1CC12CCS(=O)(=O)CC2 ZINC001146492904 772877587 /nfs/dbraw/zinc/87/75/87/772877587.db2.gz URPJIUFLNGHTQM-SECBINFHSA-N -1 1 313.350 1.685 20 0 DDADMM COc1ccc(Cl)c2c1C[C@H]([NH2+]CCP(=O)([O-])[O-])CC2 ZINC001171290618 772967108 /nfs/dbraw/zinc/96/71/08/772967108.db2.gz ZVPODVZNIPQNKD-SECBINFHSA-N -1 1 319.725 1.973 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCCC(F)(F)CC2)c1[O-] ZINC001147833590 773259859 /nfs/dbraw/zinc/25/98/59/773259859.db2.gz IVYWBOHDYFZAHN-UHFFFAOYSA-N -1 1 300.305 1.849 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2ccnn2CC2CC2)c1[O-] ZINC001147833069 773259946 /nfs/dbraw/zinc/25/99/46/773259946.db2.gz DAHSVYJFCDOPIU-UHFFFAOYSA-N -1 1 302.334 1.447 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@@H]2COCc3ccccc32)c1[O-] ZINC001147844752 773267579 /nfs/dbraw/zinc/26/75/79/773267579.db2.gz YCAUAKJLNDJKCH-CQSZACIVSA-N -1 1 314.341 1.589 20 0 DDADMM CSCC[C@H](NC(=O)c1cccc2[nH]cnc21)c1nn[n-]n1 ZINC001148261078 773414584 /nfs/dbraw/zinc/41/45/84/773414584.db2.gz PHLYEWFGFKUVGN-JTQLQIEISA-N -1 1 317.378 1.300 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cccc2c1CCCC2 ZINC001148630866 773559670 /nfs/dbraw/zinc/55/96/70/773559670.db2.gz UOGQBNREBDXJGP-UHFFFAOYSA-N -1 1 300.318 1.601 20 0 DDADMM CC(=O)c1cc(C(=O)n2c(N)nc(=O)c3ccccc32)ccc1[O-] ZINC001148664614 773571122 /nfs/dbraw/zinc/57/11/22/773571122.db2.gz QLKQTATZXHQRAF-UHFFFAOYSA-N -1 1 323.308 1.818 20 0 DDADMM NC(=O)c1cnoc1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001148793892 773602784 /nfs/dbraw/zinc/60/27/84/773602784.db2.gz CPIBMUGSMZVVHT-UHFFFAOYSA-N -1 1 315.207 1.750 20 0 DDADMM Cc1cc(O)cnc1NC(=O)c1cc(S(=O)(=O)[O-])ccc1O ZINC001148915556 773633489 /nfs/dbraw/zinc/63/34/89/773633489.db2.gz SSKYGFBPCGPJSG-UHFFFAOYSA-N -1 1 324.314 1.300 20 0 DDADMM Cc1ccc(NC(=O)c2cc(S(=O)(=O)[O-])ccc2O)cc1O ZINC001148926936 773652264 /nfs/dbraw/zinc/65/22/64/773652264.db2.gz WFENHDPMJADADN-UHFFFAOYSA-N -1 1 323.326 1.905 20 0 DDADMM Cn1ccnc1NC(=O)c1cc([O-])c(Br)c(O)c1 ZINC001148981185 773663536 /nfs/dbraw/zinc/66/35/36/773663536.db2.gz DIYOFTIHNUTLMC-UHFFFAOYSA-N -1 1 312.123 1.846 20 0 DDADMM COC(=O)[C@H]1CCC[C@@H](Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC1 ZINC001172778761 776888706 /nfs/dbraw/zinc/88/87/06/776888706.db2.gz WKUGBTSTWWAIDN-JGVFFNPUSA-N -1 1 321.337 1.250 20 0 DDADMM CN(CCNc1cncc(Cl)n1)C(=O)c1ncccc1[O-] ZINC001101561440 777251712 /nfs/dbraw/zinc/25/17/12/777251712.db2.gz YKXBZZSNVQELHN-UHFFFAOYSA-N -1 1 307.741 1.415 20 0 DDADMM O=C(c1ccnc(-c2ccco2)c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001175564085 777841050 /nfs/dbraw/zinc/84/10/50/777841050.db2.gz ZDMDDBLEQCJJTB-LLVKDONJSA-N -1 1 310.317 1.484 20 0 DDADMM CC/C=C(/C)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102728294 778347688 /nfs/dbraw/zinc/34/76/88/778347688.db2.gz QJBCTBAFRVDUON-WNIKWDQDSA-N -1 1 321.425 1.441 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1ncc(F)cn1 ZINC001103068954 778616532 /nfs/dbraw/zinc/61/65/32/778616532.db2.gz MBMSMAAVROMWRH-JTQLQIEISA-N -1 1 305.313 1.337 20 0 DDADMM COC[C@@H](NC(=O)Cc1c(C)[nH]c2ccccc21)c1nn[n-]n1 ZINC001177822674 778730286 /nfs/dbraw/zinc/73/02/86/778730286.db2.gz FTMAHGYQSLXETP-CYBMUJFWSA-N -1 1 314.349 1.036 20 0 DDADMM C[C@H](C(=O)Nc1nc(Br)ccc1[O-])n1ccnc1 ZINC001177921680 778785129 /nfs/dbraw/zinc/78/51/29/778785129.db2.gz JIPSSEOCZZTCTI-SSDOTTSWSA-N -1 1 311.139 1.946 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H](c2ncon2)C2CC2)c1 ZINC001178446031 779033000 /nfs/dbraw/zinc/03/30/00/779033000.db2.gz LTLIDPZPQCUGIF-LBPRGKRZSA-N -1 1 301.302 1.777 20 0 DDADMM Cc1cc(NC[C@H](C)CNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001103917061 779169221 /nfs/dbraw/zinc/16/92/21/779169221.db2.gz CURZLIUMUQCTJY-JTQLQIEISA-N -1 1 315.377 1.672 20 0 DDADMM O=C(Cn1nc2ccccc2n1)Nc1n[n-]c(C(F)(F)F)n1 ZINC001180291385 779707434 /nfs/dbraw/zinc/70/74/34/779707434.db2.gz QVZYZSGBRSERMM-UHFFFAOYSA-N -1 1 311.227 1.207 20 0 DDADMM CC[C@](C)(O)C[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001116470648 780512732 /nfs/dbraw/zinc/51/27/32/780512732.db2.gz YPJAEPGKPGQXBW-JTQLQIEISA-N -1 1 313.206 1.828 20 0 DDADMM O=S(=O)(Cc1cnc(-c2ccccc2)s1)c1ncn[n-]1 ZINC001116810037 780563483 /nfs/dbraw/zinc/56/34/83/780563483.db2.gz FRHLBGOVHRKKAF-UHFFFAOYSA-N -1 1 306.372 1.902 20 0 DDADMM O=S(=O)(Cc1cnc(-c2ccccc2)s1)c1nc[n-]n1 ZINC001116810037 780563492 /nfs/dbraw/zinc/56/34/92/780563492.db2.gz FRHLBGOVHRKKAF-UHFFFAOYSA-N -1 1 306.372 1.902 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2nc(-c3ccc(C)cc3)no2)n[n-]1 ZINC001117105473 780658249 /nfs/dbraw/zinc/65/82/49/780658249.db2.gz NNEQIZHVIIUZEA-UHFFFAOYSA-N -1 1 319.346 1.445 20 0 DDADMM CC/C(C)=C/C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001266867871 836969597 /nfs/dbraw/zinc/96/95/97/836969597.db2.gz QMIGQSRKXIDQPX-OBIHZWKSSA-N -1 1 307.398 1.337 20 0 DDADMM CCN(CCNC(=O)c1occc1C)C(=O)c1ncccc1[O-] ZINC001408714917 838530886 /nfs/dbraw/zinc/53/08/86/838530886.db2.gz DKPPOMLEVDOVRI-UHFFFAOYSA-N -1 1 317.345 1.581 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)C[C@H]2CCOC2)sn1 ZINC001414234903 844697416 /nfs/dbraw/zinc/69/74/16/844697416.db2.gz XHANNYQQVUASFB-DTWKUNHWSA-N -1 1 306.409 1.245 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)C1=CCCCCC1 ZINC001268446906 840201710 /nfs/dbraw/zinc/20/17/10/840201710.db2.gz UFVAIUDYYRFCBL-UHFFFAOYSA-N -1 1 307.398 1.339 20 0 DDADMM CN1CC[C@@]2(CCCN(C(=O)c3ncccc3[O-])CC2)C1=O ZINC001268845942 840894209 /nfs/dbraw/zinc/89/42/09/840894209.db2.gz YYCZXTUHHPJGPU-MRXNPFEDSA-N -1 1 303.362 1.262 20 0 DDADMM NC(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)c1cc(Cl)ccc1[O-])C2 ZINC001269574538 841782236 /nfs/dbraw/zinc/78/22/36/841782236.db2.gz VIMJBYWSPZNROH-YFKFIEJBSA-N -1 1 308.765 1.629 20 0 DDADMM CC(C)(C)/C=C\C(=O)N[C@@](C)(CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001269607006 841818957 /nfs/dbraw/zinc/81/89/57/841818957.db2.gz VJVMYJLZHURRLH-PTZVLDCSSA-N -1 1 321.425 1.487 20 0 DDADMM CNC(=O)[C@@H]1CC12CCN(C(=O)c1cc([O-])cc(F)c1)CC2 ZINC001271560957 843698714 /nfs/dbraw/zinc/69/87/14/843698714.db2.gz DEXQVWNVCSZWTK-ZDUSSCGKSA-N -1 1 306.337 1.520 20 0 DDADMM Cc1nc2ccc(Cl)cn2c1NC(=O)CCCc1nn[n-]n1 ZINC001149256300 861501040 /nfs/dbraw/zinc/50/10/40/861501040.db2.gz AEMDKBOMOCOTJD-UHFFFAOYSA-N -1 1 319.756 1.771 20 0 DDADMM CC[C@H](CNC(=O)C[C@@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001409284297 844832734 /nfs/dbraw/zinc/83/27/34/844832734.db2.gz JUYHGSIVOLEWKR-DGCLKSJQSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1cnc(CN[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])nc1 ZINC001409325670 844899113 /nfs/dbraw/zinc/89/91/13/844899113.db2.gz QVOYVFLEVIFZLW-NEPJUHHUSA-N -1 1 315.377 1.182 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CC(OCC[P@](=O)([O-])O)C2)C1 ZINC001224605415 844922074 /nfs/dbraw/zinc/92/20/74/844922074.db2.gz ILRNPHBLDCDCKO-UHFFFAOYSA-N -1 1 321.310 1.580 20 0 DDADMM O=C(c1ccc2cccnc2c1[O-])N1C[C@H](F)C[C@@H](CO)C1 ZINC001149320574 861544478 /nfs/dbraw/zinc/54/44/78/861544478.db2.gz ZTJIIYJEIODXHZ-ZYHUDNBSSA-N -1 1 304.321 1.733 20 0 DDADMM C[C@@H]1COCCN1CCNC(=O)c1ccc2cccnc2c1[O-] ZINC001149327493 861548941 /nfs/dbraw/zinc/54/89/41/861548941.db2.gz BYJUUWUYXIOSIG-GFCCVEGCSA-N -1 1 315.373 1.391 20 0 DDADMM Cc1conc1C[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC001364978775 845946556 /nfs/dbraw/zinc/94/65/56/845946556.db2.gz RKSMJCOERWBNPJ-UHFFFAOYSA-N -1 1 304.759 1.157 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001149500840 861662820 /nfs/dbraw/zinc/66/28/20/861662820.db2.gz PZCKICVOYFLLQR-YCABEKBOSA-N -1 1 321.340 1.824 20 0 DDADMM CC(C)c1ccccc1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001128875039 848077747 /nfs/dbraw/zinc/07/77/47/848077747.db2.gz MABAEFQNXVMNGE-UHFFFAOYSA-N -1 1 303.366 1.153 20 0 DDADMM Nc1ccc(F)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001155266056 861912473 /nfs/dbraw/zinc/91/24/73/861912473.db2.gz JDVCPHYOLGWHGO-QMMMGPOBSA-N -1 1 306.297 1.516 20 0 DDADMM CC(C)NC(=O)[C@@H](NC(=O)c1[n-][nH]c2cc(=O)ccc1-2)C(C)C ZINC001155273859 861923849 /nfs/dbraw/zinc/92/38/49/861923849.db2.gz ZSNVBMOOWMYSNQ-ZDUSSCGKSA-N -1 1 318.377 1.548 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H](C)C(F)(F)F ZINC001410274435 848992765 /nfs/dbraw/zinc/99/27/65/848992765.db2.gz VIRKQLRULGYDSY-HTQZYQBOSA-N -1 1 319.283 1.220 20 0 DDADMM C[C@H](CN(C)C(=O)C1(C2CCC2)CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001410415870 849226849 /nfs/dbraw/zinc/22/68/49/849226849.db2.gz BCYIQYSFMYWDNC-LLVKDONJSA-N -1 1 321.425 1.417 20 0 DDADMM O=S(=O)([N-][C@H](CO)Cc1cccc(F)c1)c1nccs1 ZINC001187918150 851086297 /nfs/dbraw/zinc/08/62/97/851086297.db2.gz UAECWNMTTNDMIQ-NSHDSACASA-N -1 1 316.379 1.164 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CCN(CCF)CC3)c([O-])c2n1 ZINC001155652837 862345756 /nfs/dbraw/zinc/34/57/56/862345756.db2.gz KAGJIMQHXUEOFO-UHFFFAOYSA-N -1 1 317.364 1.976 20 0 DDADMM NC(=O)c1cc2c([nH]1)CN(C(=O)c1cc([O-])cnc1Cl)CC2 ZINC001274970653 852720817 /nfs/dbraw/zinc/72/08/17/852720817.db2.gz WZOMVNMADDGNTF-UHFFFAOYSA-N -1 1 320.736 1.066 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CC1CCCC1 ZINC001411538107 853331452 /nfs/dbraw/zinc/33/14/52/853331452.db2.gz VLLOAPFDKCCIIR-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM NC(=O)NC[C@@H]1CCCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC001411645325 853515396 /nfs/dbraw/zinc/51/53/96/853515396.db2.gz KIPQOYRYEJEVEF-QMMMGPOBSA-N -1 1 313.304 1.191 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC(OCC(C)C)C1 ZINC001411843006 853820466 /nfs/dbraw/zinc/82/04/66/853820466.db2.gz BZZDQSSDIOXPDO-UHFFFAOYSA-N -1 1 311.407 1.710 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc3c(cc(C)c(=O)n3C)c2)c1[O-] ZINC001327889132 862540143 /nfs/dbraw/zinc/54/01/43/862540143.db2.gz YDEGEHWSAGYKGG-UHFFFAOYSA-N -1 1 312.329 1.836 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)N(C)CC(F)(F)F)c1nn[n-]n1 ZINC001412109532 854228725 /nfs/dbraw/zinc/22/87/25/854228725.db2.gz MAPYIVDWPHNSMM-QMMMGPOBSA-N -1 1 322.335 1.214 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc(F)c([O-])c1)c1cccnc1 ZINC001412151528 854270495 /nfs/dbraw/zinc/27/04/95/854270495.db2.gz ROFSFGNWEHVPKT-ZDUSSCGKSA-N -1 1 304.277 1.570 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)[C@@H]3CCCO3)nc2n1 ZINC001412244747 854370424 /nfs/dbraw/zinc/37/04/24/854370424.db2.gz UYFGHNCWEYMAGI-ONGXEEELSA-N -1 1 319.365 1.124 20 0 DDADMM CCOC(=O)Cn1nc(C)cc1NC(=O)c1cccc([O-])c1F ZINC001412296364 854412806 /nfs/dbraw/zinc/41/28/06/854412806.db2.gz CMZFSZLMLLJADE-UHFFFAOYSA-N -1 1 321.308 1.852 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ccc([O-])cn1)c1ccncc1 ZINC001412294653 854416416 /nfs/dbraw/zinc/41/64/16/854416416.db2.gz DHVCLXWYISNAQW-CYBMUJFWSA-N -1 1 301.302 1.216 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc([O-])cn1)[C@H]1CCC[C@@H](OC)C1 ZINC001412315831 854436340 /nfs/dbraw/zinc/43/63/40/854436340.db2.gz ZONOSSWHEYROAU-ZKYQVNSYSA-N -1 1 322.361 1.264 20 0 DDADMM CCOC(=O)C[C@@H](NC(=O)c1ccc([O-])cn1)C(F)(F)F ZINC001412324384 854441962 /nfs/dbraw/zinc/44/19/62/854441962.db2.gz GQXQFZLSXCNPJA-SECBINFHSA-N -1 1 306.240 1.401 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCC[C@H](OC)C2)n[n-]1 ZINC001412326440 854443876 /nfs/dbraw/zinc/44/38/76/854443876.db2.gz JJMRJHLXOMLSCV-DCAQKATOSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCC[C@H](OC)C2)[n-]1 ZINC001412326440 854443883 /nfs/dbraw/zinc/44/38/83/854443883.db2.gz JJMRJHLXOMLSCV-DCAQKATOSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCC[C@H](OC)C2)n1 ZINC001412326440 854443886 /nfs/dbraw/zinc/44/38/86/854443886.db2.gz JJMRJHLXOMLSCV-DCAQKATOSA-N -1 1 324.381 1.364 20 0 DDADMM CC1(C)CCC[C@@H]1CCNC(=O)CNC(=O)c1ncccc1[O-] ZINC001412365500 854470951 /nfs/dbraw/zinc/47/09/51/854470951.db2.gz KYDOXFLWZHGSGP-GFCCVEGCSA-N -1 1 319.405 1.850 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](c2ccccc2)[C@H](C)O)c(=O)[n-]1 ZINC001412366403 854471418 /nfs/dbraw/zinc/47/14/18/854471418.db2.gz BPXXFFQTFDSINB-JOYOIKCWSA-N -1 1 319.386 1.756 20 0 DDADMM CC(C)(CNC(=O)c1cccc([O-])c1F)CS(C)(=O)=O ZINC001412564240 854728280 /nfs/dbraw/zinc/72/82/80/854728280.db2.gz LGXAPGMDZFEYOL-UHFFFAOYSA-N -1 1 303.355 1.332 20 0 DDADMM Cc1cc(NC(=O)c2cnncc2[O-])ccc1C(=O)N(C)C ZINC001412570563 854738832 /nfs/dbraw/zinc/73/88/32/854738832.db2.gz BTLRAJQXPQHMJO-UHFFFAOYSA-N -1 1 300.318 1.445 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc3c(c2)S(=O)(=O)CC3)c1[O-] ZINC001412643526 854869950 /nfs/dbraw/zinc/86/99/50/854869950.db2.gz QXIQAZBWIJMRPR-UHFFFAOYSA-N -1 1 307.331 1.006 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)c1nnc2ccc(C)cn21 ZINC001156028113 862646460 /nfs/dbraw/zinc/64/64/60/862646460.db2.gz PIUAFJDNQBGSCA-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM C[C@H]1CN(C2CC2)CCN1C(=O)c1nnc2ccccc2c1O ZINC001412856450 855730864 /nfs/dbraw/zinc/73/08/64/855730864.db2.gz FSMQMXCPGVVXJS-NSHDSACASA-N -1 1 312.373 1.644 20 0 DDADMM Cc1ccc(NC(=O)c2ccc([O-])cn2)cc1N1CCNC1=O ZINC001412882904 855751686 /nfs/dbraw/zinc/75/16/86/855751686.db2.gz QKSPNBFUBDWIML-UHFFFAOYSA-N -1 1 312.329 1.878 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)Oc1ccccc1)c1nn[n-]n1 ZINC001412934676 855798830 /nfs/dbraw/zinc/79/88/30/855798830.db2.gz KFPVHIHQOQDYIT-LLVKDONJSA-N -1 1 303.366 1.799 20 0 DDADMM CSc1ncc(C(=O)N(C)[C@@H](C)c2nc(C)no2)c(=O)[n-]1 ZINC001413195266 856537325 /nfs/dbraw/zinc/53/73/25/856537325.db2.gz COPUSQYBBPHATJ-LURJTMIESA-N -1 1 309.351 1.429 20 0 DDADMM COC[C@@]1(C(=O)OC)CCCN1C(=O)c1ccc(F)c([O-])c1 ZINC001413271736 856597525 /nfs/dbraw/zinc/59/75/25/856597525.db2.gz LEQRSHUDHSGWFW-OAHLLOKOSA-N -1 1 311.309 1.326 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)Cc2cnccn2)c1 ZINC001413302623 856623316 /nfs/dbraw/zinc/62/33/16/856623316.db2.gz KGGHHDLFWMEXIV-UHFFFAOYSA-N -1 1 309.347 1.012 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1CCCc2c[nH]nc21 ZINC001413311769 856632219 /nfs/dbraw/zinc/63/22/19/856632219.db2.gz WENBBJRQAOSEMK-MRVPVSSYSA-N -1 1 314.392 1.231 20 0 DDADMM COC[C@@H](NC(=O)Cc1cccc(C(F)F)c1)c1nn[n-]n1 ZINC001413558283 857065280 /nfs/dbraw/zinc/06/52/80/857065280.db2.gz VSXZDLNCGBALDN-SNVBAGLBSA-N -1 1 311.292 1.184 20 0 DDADMM COc1cnc(C2(NC(=O)c3cc(C)no3)CCCC2)[n-]c1=O ZINC001413705400 857376999 /nfs/dbraw/zinc/37/69/99/857376999.db2.gz ICIVUDRUNDHPMJ-UHFFFAOYSA-N -1 1 318.333 1.687 20 0 DDADMM CC1(NC(=O)CC2CC2)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072968838 857946920 /nfs/dbraw/zinc/94/69/20/857946920.db2.gz CTFOHCOMJGNNHF-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM COc1cnc(C2(NC(=O)[C@@H]3C[C@H]3OC)CCCC2)[n-]c1=O ZINC001413716825 858159264 /nfs/dbraw/zinc/15/92/64/858159264.db2.gz YDJUQKQVQVJYBL-NXEZZACHSA-N -1 1 307.350 1.111 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1CCO[C@H](C(C)C)C1 ZINC001123680330 859357181 /nfs/dbraw/zinc/35/71/81/859357181.db2.gz HUNZVKOEELVXMN-UWVGGRQHSA-N -1 1 311.407 1.713 20 0 DDADMM CCCCS[C@H](C)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001123911466 859468886 /nfs/dbraw/zinc/46/88/86/859468886.db2.gz DHLABJOPVZDURT-NEPJUHHUSA-N -1 1 311.455 1.903 20 0 DDADMM CCOC[C@@H]1CN(Cc2ncccc2[O-])Cc2ncn(C)c21 ZINC001138390547 860083670 /nfs/dbraw/zinc/08/36/70/860083670.db2.gz BAOALRHOEBZNLK-LBPRGKRZSA-N -1 1 302.378 1.657 20 0 DDADMM CCOC(=O)c1cnc2n1CCN(Cc1ncccc1[O-])C2 ZINC001138394114 860091873 /nfs/dbraw/zinc/09/18/73/860091873.db2.gz FCXGSVGRIHNVPZ-UHFFFAOYSA-N -1 1 302.334 1.176 20 0 DDADMM Nc1nnc(CNC(=O)c2ccc3ccc(O)cc3c2[O-])o1 ZINC001138693776 860163042 /nfs/dbraw/zinc/16/30/42/860163042.db2.gz UIXMUTPRNLMIMI-UHFFFAOYSA-N -1 1 300.274 1.146 20 0 DDADMM COc1cccc(CN2CC[N@@H+](C)C(C)(C)C2)c1OCC(=O)[O-] ZINC001139271634 860347153 /nfs/dbraw/zinc/34/71/53/860347153.db2.gz NJYCAYPMSSUBDD-UHFFFAOYSA-N -1 1 322.405 1.685 20 0 DDADMM CNC(=O)NC1CCN(Cc2[nH]c(C)c(C(=O)[O-])c2C)CC1 ZINC001140498117 860646916 /nfs/dbraw/zinc/64/69/16/860646916.db2.gz FRKBHRBEJCUQCE-UHFFFAOYSA-N -1 1 308.382 1.223 20 0 DDADMM CC[C@H](O)Cn1cc(C(=O)Nc2ccc([O-])c(F)c2F)nn1 ZINC001140657086 860668525 /nfs/dbraw/zinc/66/85/25/860668525.db2.gz IGEKPQKDNGTOQD-ZETCQYMHSA-N -1 1 312.276 1.285 20 0 DDADMM CCO[C@H](C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1)C1CCCC1 ZINC001361397234 881566961 /nfs/dbraw/zinc/56/69/61/881566961.db2.gz MPIIDVXDWXKLCD-LBPRGKRZSA-N -1 1 319.365 1.260 20 0 DDADMM Cc1cnc(O[C@H]2CCN(Cc3ccc(C(=O)[O-])o3)C2)nc1 ZINC001140949210 860744254 /nfs/dbraw/zinc/74/42/54/860744254.db2.gz SIPMHHRPRDKCDH-LBPRGKRZSA-N -1 1 303.318 1.730 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1n[nH]c2[nH]c(=O)ccc12 ZINC001141595587 860895268 /nfs/dbraw/zinc/89/52/68/860895268.db2.gz DHVJQRNHWHHRME-UHFFFAOYSA-N -1 1 318.318 1.009 20 0 DDADMM Cc1ccoc1CNC(=O)c1ccc(-n2[n-]c(=O)[nH]c2=O)cc1 ZINC001141697492 860913808 /nfs/dbraw/zinc/91/38/08/860913808.db2.gz WTBRXQQHEIWZGL-UHFFFAOYSA-N -1 1 314.301 1.098 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)C1=COCC1)c1ccccc1N ZINC001142559347 861179250 /nfs/dbraw/zinc/17/92/50/861179250.db2.gz PCNDZCDRMOSSEW-UHFFFAOYSA-N -1 1 321.358 1.547 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)C1=COCC1)c1ccccc1N ZINC001142559347 861179254 /nfs/dbraw/zinc/17/92/54/861179254.db2.gz PCNDZCDRMOSSEW-UHFFFAOYSA-N -1 1 321.358 1.547 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1nnc2cc[nH]cc1-2 ZINC001152086859 863153164 /nfs/dbraw/zinc/15/31/64/863153164.db2.gz FHPAMMTVQBMJCL-UHFFFAOYSA-N -1 1 300.278 1.110 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cccn2cnnc12 ZINC001152387403 863316294 /nfs/dbraw/zinc/31/62/94/863316294.db2.gz FPZQIZHAOJXGBJ-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM C[C@@H](NC(=O)CCc1ccsc1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001381395565 881661436 /nfs/dbraw/zinc/66/14/36/881661436.db2.gz SFBWEIIJXQETNC-VHSXEESVSA-N -1 1 323.422 1.187 20 0 DDADMM CCC(CC)(NC(=O)/C=C\[C@H]1COC(C)(C)O1)c1nn[n-]n1 ZINC001156925301 863450774 /nfs/dbraw/zinc/45/07/74/863450774.db2.gz BTMUTOPKDIDROK-DMEOUFDRSA-N -1 1 309.370 1.039 20 0 DDADMM CC[C@H](F)CN(CC)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152835000 863560231 /nfs/dbraw/zinc/56/02/31/863560231.db2.gz HQDOICMDNLTRSQ-KOLCDFICSA-N -1 1 300.378 1.612 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)[C@H](C)C(=O)[O-] ZINC001329411246 863650708 /nfs/dbraw/zinc/65/07/08/863650708.db2.gz PKDDGXNIURXVLC-LBPRGKRZSA-N -1 1 305.378 1.406 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CC(n2ccnn2)C1 ZINC001153126648 863726883 /nfs/dbraw/zinc/72/68/83/863726883.db2.gz YMRIYCANMYWKPC-UHFFFAOYSA-N -1 1 310.313 1.540 20 0 DDADMM O=C([O-])[C@H](NCc1cccnc1Br)[C@H]1CCCO1 ZINC001329682596 863789593 /nfs/dbraw/zinc/78/95/93/863789593.db2.gz RHSVIFFSPDKFRW-NXEZZACHSA-N -1 1 315.167 1.566 20 0 DDADMM Cc1nc2c(cccc2C(=O)n2[n-]c3ccnc(=O)c-3c2N)[nH]1 ZINC001153617155 863977610 /nfs/dbraw/zinc/97/76/10/863977610.db2.gz YKKKRNHQHIBZER-UHFFFAOYSA-N -1 1 308.301 1.132 20 0 DDADMM Cn1ccc(CCNC(=O)c2c([O-])cnc3c(F)cccc32)n1 ZINC001153701622 864028450 /nfs/dbraw/zinc/02/84/50/864028450.db2.gz CZESSTXEOWJYPE-UHFFFAOYSA-N -1 1 314.320 1.786 20 0 DDADMM CC(=O)c1ncccc1NC(=O)c1cnc2cccnc2c1[O-] ZINC001153850482 864155262 /nfs/dbraw/zinc/15/52/62/864155262.db2.gz DNVBDHJOUSTZBO-UHFFFAOYSA-N -1 1 308.297 1.773 20 0 DDADMM CC(=O)c1nn(C)cc1NC(=O)c1cnc2cccnc2c1[O-] ZINC001153851921 864158509 /nfs/dbraw/zinc/15/85/09/864158509.db2.gz SPVJNWXHTQXEMZ-UHFFFAOYSA-N -1 1 311.301 1.112 20 0 DDADMM Cn1cc(C2=NO[C@@H](C(=O)Nc3ccc([O-])c(F)c3F)C2)cn1 ZINC001157811915 864162203 /nfs/dbraw/zinc/16/22/03/864162203.db2.gz YXPOUQOUFMWBHN-LLVKDONJSA-N -1 1 322.271 1.536 20 0 DDADMM Cc1cc2c(c(NCc3cc(C(C)C)no3)n1)C(=O)[N-]C2=O ZINC001157993074 864339831 /nfs/dbraw/zinc/33/98/31/864339831.db2.gz MIHIKMHFPDLTGU-UHFFFAOYSA-N -1 1 300.318 1.997 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)Cc2cscn2)[n-]1 ZINC001361516379 881810539 /nfs/dbraw/zinc/81/05/39/881810539.db2.gz GNHPBJOIUXQJKH-UHFFFAOYSA-N -1 1 307.331 1.642 20 0 DDADMM O=C1NCc2c1cc(Cl)nc2Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159198342 865161979 /nfs/dbraw/zinc/16/19/79/865161979.db2.gz MBUPWBZGDCJNSM-UHFFFAOYSA-N -1 1 317.696 1.094 20 0 DDADMM COc1cc(Cl)c(Cl)cc1C(=O)N(C)c1nn[n-]n1 ZINC001361554681 881882719 /nfs/dbraw/zinc/88/27/19/881882719.db2.gz LGKVYYDLEUYXJW-UHFFFAOYSA-N -1 1 302.121 1.792 20 0 DDADMM Cc1cc2c(c(NCC3Oc4ccccc4O3)n1)C(=O)[N-]C2=O ZINC001160406997 865819361 /nfs/dbraw/zinc/81/93/61/865819361.db2.gz JMRDZNQRZAEKGO-UHFFFAOYSA-N -1 1 311.297 1.483 20 0 DDADMM COC(=O)CC(CC(=O)OC)Oc1cc([O-])ccc1C(C)=O ZINC001225616264 881941226 /nfs/dbraw/zinc/94/12/26/881941226.db2.gz HHNNAGDBCOYPGI-UHFFFAOYSA-N -1 1 310.302 1.468 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1ccc(CC(N)=O)cc1 ZINC001361613876 882008698 /nfs/dbraw/zinc/00/86/98/882008698.db2.gz IJXRZVWQJVVVMC-UHFFFAOYSA-N -1 1 300.314 1.681 20 0 DDADMM Cc1c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)ccc2c[nH+]cn21 ZINC001320492029 866775574 /nfs/dbraw/zinc/77/55/74/866775574.db2.gz MBEAHGIALFQLJM-NSHDSACASA-N -1 1 311.349 1.176 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cnn(C)c2Cl)[n-]1 ZINC001361624091 882030710 /nfs/dbraw/zinc/03/07/10/882030710.db2.gz WSOIIORATJSFPT-UHFFFAOYSA-N -1 1 324.724 1.643 20 0 DDADMM COC(=O)c1cnc(C(=O)[N-]c2c(C)noc2C(C)C)cn1 ZINC001361639488 882065380 /nfs/dbraw/zinc/06/53/80/882065380.db2.gz BZGOGBZTEWODRJ-UHFFFAOYSA-N -1 1 304.306 1.935 20 0 DDADMM CCCCNC(=O)[C@@H]1CCCN(Cc2cc(C(=O)[O-])n[nH]2)C1 ZINC001334027003 867246086 /nfs/dbraw/zinc/24/60/86/867246086.db2.gz VBQUFERXDWZMRX-LLVKDONJSA-N -1 1 308.382 1.236 20 0 DDADMM CCOCC[C@H](NCc1cn(-c2ccc(F)cc2)nn1)C(=O)[O-] ZINC001334070279 867277611 /nfs/dbraw/zinc/27/76/11/867277611.db2.gz HXTPIDXUCBBVOR-AWEZNQCLSA-N -1 1 322.340 1.376 20 0 DDADMM CCCn1cc(C(=O)Nc2[n-]c(C(=O)OC)cc2C(C)=O)cn1 ZINC001361648761 882083486 /nfs/dbraw/zinc/08/34/86/882083486.db2.gz JQPDUCRKESTLPU-UHFFFAOYSA-N -1 1 318.333 1.863 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1ccc(NC2CC2)nc1 ZINC001361650630 882087815 /nfs/dbraw/zinc/08/78/15/882087815.db2.gz PPMSSNOUERRBAT-UHFFFAOYSA-N -1 1 301.306 1.418 20 0 DDADMM Cc1cc2c(c(NCc3cnc(Cl)cn3)n1)C(=O)[N-]C2=O ZINC001162653166 867707123 /nfs/dbraw/zinc/70/71/23/867707123.db2.gz NTBXUWGNYPZRIG-UHFFFAOYSA-N -1 1 303.709 1.329 20 0 DDADMM CCCNC(=O)[C@@H]1CCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001361705297 882196364 /nfs/dbraw/zinc/19/63/64/882196364.db2.gz CZVRRMJDZKLYGV-LLVKDONJSA-N -1 1 308.353 1.910 20 0 DDADMM CC[C@H](F)C(=O)NCCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001336013819 868680100 /nfs/dbraw/zinc/68/01/00/868680100.db2.gz SJVDPRJZHMOKSV-MNOVXSKESA-N -1 1 311.357 1.550 20 0 DDADMM O=C(N[C@@H]1CCc2ccccc2NC1=O)C(=O)c1ccc([O-])cc1 ZINC001164117147 868950954 /nfs/dbraw/zinc/95/09/54/868950954.db2.gz CDLSFNQKBZKOAP-OAHLLOKOSA-N -1 1 324.336 1.645 20 0 DDADMM O=C([N-]CCNc1ccc([C@H]2CCC(=O)N2)cc1)C(F)(F)F ZINC001164251382 869060374 /nfs/dbraw/zinc/06/03/74/869060374.db2.gz UEPFOEQAFDURMJ-LLVKDONJSA-N -1 1 315.295 1.728 20 0 DDADMM CCn1cc(CC(=O)Nc2[n-]c(C(=O)OC)cc2C(C)=O)cn1 ZINC001361756689 882306816 /nfs/dbraw/zinc/30/68/16/882306816.db2.gz FLDLNFIHHDBAHS-UHFFFAOYSA-N -1 1 318.333 1.402 20 0 DDADMM C[C@H]1CN(c2nnc(Cc3nnn[n-]3)n2C)Cc2ccccc21 ZINC001338123158 869816994 /nfs/dbraw/zinc/81/69/94/869816994.db2.gz RRDOKACVWUNSMQ-JTQLQIEISA-N -1 1 310.365 1.043 20 0 DDADMM C[C@H]1CN(c2nnc(Cc3nn[n-]n3)n2C)Cc2ccccc21 ZINC001338123158 869817002 /nfs/dbraw/zinc/81/70/02/869817002.db2.gz RRDOKACVWUNSMQ-JTQLQIEISA-N -1 1 310.365 1.043 20 0 DDADMM COc1ncnc(N2CCC([N-]C(=O)C(F)(F)F)CC2)c1C ZINC001166897685 870350916 /nfs/dbraw/zinc/35/09/16/870350916.db2.gz ZCAIBQKPGQHBIK-UHFFFAOYSA-N -1 1 318.299 1.441 20 0 DDADMM COc1ncc([N-]S(=O)(=O)c2ccc(N)c(C)c2)cc1F ZINC001203409218 870551865 /nfs/dbraw/zinc/55/18/65/870551865.db2.gz XLZNAVVJHQHOFV-UHFFFAOYSA-N -1 1 311.338 1.921 20 0 DDADMM COc1ncc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cc1N ZINC001203501660 870599927 /nfs/dbraw/zinc/59/99/27/870599927.db2.gz JTHMKGAHWWXQCD-UHFFFAOYSA-N -1 1 305.298 1.376 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC(c2ccccn2)C1 ZINC001301916207 871035549 /nfs/dbraw/zinc/03/55/49/871035549.db2.gz NWTVPKIOIVIMCA-UHFFFAOYSA-N -1 1 311.370 1.653 20 0 DDADMM Nc1c2cccnc2sc1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001303222697 871111116 /nfs/dbraw/zinc/11/11/16/871111116.db2.gz UPSJOODSEBHVKD-UHFFFAOYSA-N -1 1 315.362 1.201 20 0 DDADMM O=C(NC1(c2nn[nH]n2)CCC1)c1ccc2oc(=S)[n-]c2c1 ZINC001303222529 871111530 /nfs/dbraw/zinc/11/15/30/871111530.db2.gz SJNRCVBLGPQFKF-UHFFFAOYSA-N -1 1 316.346 1.439 20 0 DDADMM C/C=C\[C@H](O)C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001304136052 871193170 /nfs/dbraw/zinc/19/31/70/871193170.db2.gz QHBXRGDBVFMGBM-NWPZZWLHSA-N -1 1 307.350 1.013 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCN(C[C@H]2CCCO2)CC1 ZINC001361845097 882487184 /nfs/dbraw/zinc/48/71/84/882487184.db2.gz BYGGZRYETXAYKI-CYBMUJFWSA-N -1 1 324.808 1.982 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc3ncccc3o2)n[n-]1 ZINC001306826061 871363839 /nfs/dbraw/zinc/36/38/39/871363839.db2.gz CPPXIPMLKJVJMO-UHFFFAOYSA-N -1 1 315.289 1.053 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc3ncccc3o2)n1 ZINC001306826061 871363860 /nfs/dbraw/zinc/36/38/60/871363860.db2.gz CPPXIPMLKJVJMO-UHFFFAOYSA-N -1 1 315.289 1.053 20 0 DDADMM COc1ccc(C(=O)NCCCC(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001306849092 871366017 /nfs/dbraw/zinc/36/60/17/871366017.db2.gz ZSGUAZPCOTXEAW-UHFFFAOYSA-N -1 1 318.333 1.273 20 0 DDADMM CCC1(CC)CCC(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1 ZINC001340999548 871459895 /nfs/dbraw/zinc/45/98/95/871459895.db2.gz MPALUGPEPGGBMK-MRXNPFEDSA-N -1 1 312.410 1.295 20 0 DDADMM CN(Cc1cccs1)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001341250557 871577957 /nfs/dbraw/zinc/57/79/57/871577957.db2.gz WZEXXEZGEHAAFZ-UHFFFAOYSA-N -1 1 309.786 1.952 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CCC=C(c2ccccc2)C1 ZINC001341867624 871869012 /nfs/dbraw/zinc/86/90/12/871869012.db2.gz RRFRNOOICUVZMB-UHFFFAOYSA-N -1 1 324.344 1.452 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)[C@H](C)c2cnn(C)c2)[n-]1 ZINC001361894104 882591369 /nfs/dbraw/zinc/59/13/69/882591369.db2.gz CHEMTPPCCHCUBV-MRVPVSSYSA-N -1 1 318.333 1.480 20 0 DDADMM O=C(N=c1cc[nH]c2ccncc21)c1n[n-]c2ccccc2c1=O ZINC001319040202 872409103 /nfs/dbraw/zinc/40/91/03/872409103.db2.gz BZAYGGBRVGOOTJ-UHFFFAOYSA-N -1 1 317.308 1.953 20 0 DDADMM C[C@@H](Oc1cc(=O)[n-]c(N2CCOCC2)n1)c1cccnc1 ZINC001226723537 882612158 /nfs/dbraw/zinc/61/21/58/882612158.db2.gz YEWMEVUQQOGYAQ-LLVKDONJSA-N -1 1 302.334 1.554 20 0 DDADMM C[C@H](Oc1cc(=O)[n-]c(N2CCOCC2)n1)C1CCOCC1 ZINC001226723305 882612374 /nfs/dbraw/zinc/61/23/74/882612374.db2.gz WHPNEWPURUFSKP-NSHDSACASA-N -1 1 309.366 1.213 20 0 DDADMM Cc1cc(CN(C)c2nnc(-c3nc(Cl)n[n-]3)n2C)no1 ZINC001343744945 872743215 /nfs/dbraw/zinc/74/32/15/872743215.db2.gz NCDIOPUVRMPBIO-UHFFFAOYSA-N -1 1 308.733 1.186 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1CC[C@H](C)[C@@H]1C ZINC001343955834 872820886 /nfs/dbraw/zinc/82/08/86/872820886.db2.gz FGQYYGKLOKZMKF-QWRGUYRKSA-N -1 1 320.401 1.120 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1CC[C@H](C)[C@@H]1C ZINC001343955834 872820908 /nfs/dbraw/zinc/82/09/08/872820908.db2.gz FGQYYGKLOKZMKF-QWRGUYRKSA-N -1 1 320.401 1.120 20 0 DDADMM CC(C)CN(CC(=O)Nc1c[n-][nH]c1=O)C(=O)OC(C)(C)C ZINC001413848964 873379341 /nfs/dbraw/zinc/37/93/41/873379341.db2.gz VIUAECOSWIYYLC-UHFFFAOYSA-N -1 1 312.370 1.947 20 0 DDADMM CCCc1nnc(NC(=O)c2cnc(SC)[n-]c2=O)s1 ZINC001361962585 882719211 /nfs/dbraw/zinc/71/92/11/882719211.db2.gz KWLCNZPTHJQHTB-UHFFFAOYSA-N -1 1 311.392 1.960 20 0 DDADMM CC(C)(C)/C=C/C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207710639 873495809 /nfs/dbraw/zinc/49/58/09/873495809.db2.gz OSENRCPQIOEFSX-MLRMMBSGSA-N -1 1 307.398 1.193 20 0 DDADMM O=S(=O)(Oc1ccc2c(c1)OCO2)c1c[n-]nc1Cl ZINC001208028137 873769218 /nfs/dbraw/zinc/76/92/18/873769218.db2.gz KSOSIKLXUNXVQT-UHFFFAOYSA-N -1 1 302.695 1.560 20 0 DDADMM CC(=Cc1ccco1)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001347307476 874094647 /nfs/dbraw/zinc/09/46/47/874094647.db2.gz SWVATCAORZAAIY-JATZPVMKSA-N -1 1 301.350 1.677 20 0 DDADMM COC/C=C\C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001347468259 874152329 /nfs/dbraw/zinc/15/23/29/874152329.db2.gz VXEVZYKDKUXDBA-WAYWQWQTSA-N -1 1 307.350 1.279 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cnn(CC(C)C)c1 ZINC001347925659 874308122 /nfs/dbraw/zinc/30/81/22/874308122.db2.gz ROUHLLPSOWHTDE-UHFFFAOYSA-N -1 1 307.379 1.884 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](c2nn[n-]n2)C1)n1cnc2ccccc21 ZINC001347974801 874325339 /nfs/dbraw/zinc/32/53/39/874325339.db2.gz OGSAAFYXCIALHT-GHMZBOCLSA-N -1 1 311.349 1.127 20 0 DDADMM Cc1c2cc(C)ccc2[nH]c1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347973081 874325505 /nfs/dbraw/zinc/32/55/05/874325505.db2.gz BRDAAMWYEAUCIG-NSHDSACASA-N -1 1 310.361 1.928 20 0 DDADMM CC[C@H](CNC(=O)C1CC(C(C)(C)C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001378348732 874732687 /nfs/dbraw/zinc/73/26/87/874732687.db2.gz WETXWAYXROIVJA-HTAVTVPLSA-N -1 1 323.441 1.567 20 0 DDADMM COC(=O)C1(C)CC(Oc2[n-]c(=O)ncc2Br)C1 ZINC001227138209 882869420 /nfs/dbraw/zinc/86/94/20/882869420.db2.gz ORRNPJVDAIOPEP-UHFFFAOYSA-N -1 1 317.139 1.665 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCNC(=O)[C@@H]1c1cccs1 ZINC001362030840 882871798 /nfs/dbraw/zinc/87/17/98/882871798.db2.gz FTELQVQZEZBJDM-LBPRGKRZSA-N -1 1 303.343 1.162 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCNC(=O)[C@H]1c1cccs1 ZINC001362035143 882882423 /nfs/dbraw/zinc/88/24/23/882882423.db2.gz MREGTAQRKRVSMY-CYBMUJFWSA-N -1 1 320.345 1.906 20 0 DDADMM CCO[C@H](CCNC(=O)c1c(C)[nH]c(=O)[n-]c1=S)C(C)C ZINC001349160216 874999489 /nfs/dbraw/zinc/99/94/89/874999489.db2.gz URXHJBXKLJBBHW-SNVBAGLBSA-N -1 1 313.423 1.960 20 0 DDADMM CCOC(=O)C1CC(Oc2cc([O-])ccc2C(=O)COC)C1 ZINC001227169537 882889067 /nfs/dbraw/zinc/88/90/67/882889067.db2.gz WEPDCOGJZNPEJI-UHFFFAOYSA-N -1 1 308.330 1.942 20 0 DDADMM COCC(=O)c1ccc([O-])cc1O[C@H]1CC[C@@H](C(=O)OC)C1 ZINC001227172961 882889908 /nfs/dbraw/zinc/88/99/08/882889908.db2.gz SDFRQFAIBPPSDG-PWSUYJOCSA-N -1 1 308.330 1.942 20 0 DDADMM C[C@H](NC(=O)CCCc1nn[n-]n1)c1nc(C(C)(C)C)no1 ZINC001362048518 882913543 /nfs/dbraw/zinc/91/35/43/882913543.db2.gz WOXPAZFVTVSMLS-QMMMGPOBSA-N -1 1 307.358 1.080 20 0 DDADMM CCC[C@@](C)(CC)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210347142 875341536 /nfs/dbraw/zinc/34/15/36/875341536.db2.gz AIBDHLRXSREEDC-MLGOLLRUSA-N -1 1 323.441 1.807 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](C)[C@@H](O)c1ccccc1 ZINC001349858329 875417725 /nfs/dbraw/zinc/41/77/25/875417725.db2.gz QQLMQLDHLRFQDR-JOYOIKCWSA-N -1 1 319.386 1.631 20 0 DDADMM O=C(CCCCc1cccc(O)c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001350225620 875586998 /nfs/dbraw/zinc/58/69/98/875586998.db2.gz WHEMSMUGIZWCAO-ZDUSSCGKSA-N -1 1 315.377 1.634 20 0 DDADMM Nc1cccc(S(=O)(=O)[N-]c2ccc3[nH]c(=O)ccc3c2)c1 ZINC001213777144 876054262 /nfs/dbraw/zinc/05/42/62/876054262.db2.gz LBPVWWBNVGDRHC-UHFFFAOYSA-N -1 1 315.354 1.911 20 0 DDADMM C[C@H](COCc1ccccc1)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227429823 883010500 /nfs/dbraw/zinc/01/05/00/883010500.db2.gz GBYYHXQHSKHJAK-SECBINFHSA-N -1 1 316.317 1.748 20 0 DDADMM C[C@H](COc1ccccc1)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227433573 883014820 /nfs/dbraw/zinc/01/48/20/883014820.db2.gz FHWKYACRPOHEHX-MRVPVSSYSA-N -1 1 302.290 1.610 20 0 DDADMM COCOc1ccc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cn1 ZINC001215664182 876780108 /nfs/dbraw/zinc/78/01/08/876780108.db2.gz YVLINBGFLDGCFY-UHFFFAOYSA-N -1 1 320.309 1.767 20 0 DDADMM COC/C=C\C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001300042863 876791843 /nfs/dbraw/zinc/79/18/43/876791843.db2.gz FWDRYCRWRHUQLO-SBXZVLJPSA-N -1 1 308.300 1.247 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)ccc1-n1cccn1 ZINC001352586245 876817173 /nfs/dbraw/zinc/81/71/73/876817173.db2.gz RNOUAZUDSGTWOH-ZDUSSCGKSA-N -1 1 323.360 1.324 20 0 DDADMM CCC1(C(=O)N[C@@H](C)CN(C)C(=O)c2ncccc2[O-])CCC1 ZINC001381965363 883076421 /nfs/dbraw/zinc/07/64/21/883076421.db2.gz WYMJOIMUFOESSV-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CSc1ncc(C(=O)Nc2cc(C)c(=O)n(C)c2)c(=O)[n-]1 ZINC001362115524 883078050 /nfs/dbraw/zinc/07/80/50/883078050.db2.gz NDUNWFRMXQLUKW-UHFFFAOYSA-N -1 1 306.347 1.164 20 0 DDADMM Cc1nnc(NC(=O)c2cc(Br)cc(F)c2[O-])[nH]1 ZINC001362118174 883082388 /nfs/dbraw/zinc/08/23/88/883082388.db2.gz KRCWOXXOHDACLW-UHFFFAOYSA-N -1 1 315.102 1.973 20 0 DDADMM C[C@]1(NC(=O)CCC2CC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC001379638940 877610438 /nfs/dbraw/zinc/61/04/38/877610438.db2.gz OFRLFMCDQNFTQM-KRWDZBQOSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)(C)OC(=O)c1ccccc1OCCOCc1nn[n-]n1 ZINC001353926046 877648978 /nfs/dbraw/zinc/64/89/78/877648978.db2.gz LELZZYPKVUWLEX-UHFFFAOYSA-N -1 1 320.349 1.751 20 0 DDADMM COCCc1ccc(OCCCC(=O)N(C)c2nn[n-]n2)cc1 ZINC001354093222 877747114 /nfs/dbraw/zinc/74/71/14/877747114.db2.gz QMEVQVSORGNCEX-UHFFFAOYSA-N -1 1 319.365 1.211 20 0 DDADMM Cc1ccc(C)c([C@H]2CCCN2c2nnc(-c3nnn[n-]3)n2C)c1 ZINC001355333409 878509243 /nfs/dbraw/zinc/50/92/43/878509243.db2.gz JQGIDVHGCQIAGA-CYBMUJFWSA-N -1 1 324.392 1.954 20 0 DDADMM Cc1ccc(C)c([C@H]2CCCN2c2nnc(-c3nn[n-]n3)n2C)c1 ZINC001355333409 878509250 /nfs/dbraw/zinc/50/92/50/878509250.db2.gz JQGIDVHGCQIAGA-CYBMUJFWSA-N -1 1 324.392 1.954 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CCC(F)F ZINC001380689896 880198230 /nfs/dbraw/zinc/19/82/30/880198230.db2.gz ACOJJAXPAASCBQ-SECBINFHSA-N -1 1 315.320 1.409 20 0 DDADMM CC(C)Cn1c(Cc2nnn[n-]2)nnc1N(C)c1ccccc1 ZINC001357974207 880271691 /nfs/dbraw/zinc/27/16/91/880271691.db2.gz XNTGQTMJGJQMKF-UHFFFAOYSA-N -1 1 312.381 1.806 20 0 DDADMM CC(C)Cn1c(Cc2nn[n-]n2)nnc1N(C)c1ccccc1 ZINC001357974207 880271707 /nfs/dbraw/zinc/27/17/07/880271707.db2.gz XNTGQTMJGJQMKF-UHFFFAOYSA-N -1 1 312.381 1.806 20 0 DDADMM CC(C)Cn1c(-c2nnn[n-]2)nnc1N1CCc2ccccc21 ZINC001358130875 880341574 /nfs/dbraw/zinc/34/15/74/880341574.db2.gz WPTQRIYURVAMDK-UHFFFAOYSA-N -1 1 310.365 1.808 20 0 DDADMM CC(C)Cn1c(-c2nn[n-]n2)nnc1N1CCc2ccccc21 ZINC001358130875 880341588 /nfs/dbraw/zinc/34/15/88/880341588.db2.gz WPTQRIYURVAMDK-UHFFFAOYSA-N -1 1 310.365 1.808 20 0 DDADMM Cc1ccc(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)CC(C)C)o1 ZINC001374335372 912577473 /nfs/dbraw/zinc/57/74/73/912577473.db2.gz HAUKBKGUYNDPGJ-NSHDSACASA-N -1 1 321.381 1.346 20 0 DDADMM CC/C=C(\C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001287915671 912580097 /nfs/dbraw/zinc/58/00/97/912580097.db2.gz NWTAZTHSXVLQEQ-WXYBXBMJSA-N -1 1 317.389 1.863 20 0 DDADMM CC[C@H]1CCC[C@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001380875925 880584049 /nfs/dbraw/zinc/58/40/49/880584049.db2.gz ARHVOOPPEUXIJB-NWDGAFQWSA-N -1 1 321.425 1.465 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](OCc2nn[n-]n2)C(F)(F)C1 ZINC001223028887 880790832 /nfs/dbraw/zinc/79/08/32/880790832.db2.gz FASOJMOBMURUGE-QMMMGPOBSA-N -1 1 319.312 1.361 20 0 DDADMM C[C@H]1[C@H](Oc2[n-]c(=O)nc3c2COC3)CN1C(=O)OC(C)(C)C ZINC001227686043 883131528 /nfs/dbraw/zinc/13/15/28/883131528.db2.gz FANCQUXJYPXSQM-GZMMTYOYSA-N -1 1 323.349 1.599 20 0 DDADMM O=C(NCc1nnc[nH]1)c1cc(Br)c(F)cc1[O-] ZINC001362163182 883186458 /nfs/dbraw/zinc/18/64/58/883186458.db2.gz UBGDWUHIGWDAJS-UHFFFAOYSA-N -1 1 315.102 1.342 20 0 DDADMM C[C@H]1COCC[C@@H]1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001362158024 883175954 /nfs/dbraw/zinc/17/59/54/883175954.db2.gz CRGUSBYDUHZQLR-GWCFXTLKSA-N -1 1 317.349 1.390 20 0 DDADMM CC(C)=CC(=O)NC[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001288034711 912685370 /nfs/dbraw/zinc/68/53/70/912685370.db2.gz SVDOUTNPPUBCNA-STQMWFEESA-N -1 1 317.389 1.768 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)C1(F)CCOCC1 ZINC001362194627 883264675 /nfs/dbraw/zinc/26/46/75/883264675.db2.gz CLCAVMPOGOXKRZ-UHFFFAOYSA-N -1 1 321.312 1.236 20 0 DDADMM CCc1cnc(CN[C@H](C)CN(C)C(=O)c2ncccc2[O-])o1 ZINC001382061159 883306808 /nfs/dbraw/zinc/30/68/08/883306808.db2.gz DABVVJNERNMUPR-LLVKDONJSA-N -1 1 318.377 1.588 20 0 DDADMM CCC[C@@H](NC(=O)CCCc1nc(C)cs1)c1nn[n-]n1 ZINC001362212312 883310848 /nfs/dbraw/zinc/31/08/48/883310848.db2.gz VWKLDLGQTZOXGD-SNVBAGLBSA-N -1 1 308.411 1.945 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])N[C@@H](C)c1ncccn1 ZINC001382069530 883340022 /nfs/dbraw/zinc/34/00/22/883340022.db2.gz RXUZVSADVCGMMX-NEPJUHHUSA-N -1 1 315.377 1.389 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@@H](O)c1ccccc1Cl ZINC001362236156 883374847 /nfs/dbraw/zinc/37/48/47/883374847.db2.gz ZOFLNSHFNHZFPT-LLVKDONJSA-N -1 1 309.757 1.026 20 0 DDADMM Cc1c(Br)ccc(F)c1C(=O)Nc1nnn[n-]1 ZINC001362260531 883428665 /nfs/dbraw/zinc/42/86/65/883428665.db2.gz YNZOMGQMBUPPJP-UHFFFAOYSA-N -1 1 300.091 1.662 20 0 DDADMM Cc1c(Br)ccc(F)c1C(=O)Nc1nn[n-]n1 ZINC001362260531 883428670 /nfs/dbraw/zinc/42/86/70/883428670.db2.gz YNZOMGQMBUPPJP-UHFFFAOYSA-N -1 1 300.091 1.662 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@]12C[C@@H]1CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001382123844 883465682 /nfs/dbraw/zinc/46/56/82/883465682.db2.gz KJWNTEKOTWFOFS-ZETOZRRWSA-N -1 1 307.398 1.027 20 0 DDADMM O=C(N[C@H](CO)[C@H]1CCOC1)c1cc2ccccc2cc1[O-] ZINC001362298132 883510026 /nfs/dbraw/zinc/51/00/26/883510026.db2.gz GYTJXAYUJRICPQ-DZGCQCFKSA-N -1 1 301.342 1.673 20 0 DDADMM COC(=O)C[C@]1(NC(=O)c2cc(Cl)ccc2[O-])CCOC1 ZINC001362319879 883557408 /nfs/dbraw/zinc/55/74/08/883557408.db2.gz SVFMLGBXEHTCJA-CQSZACIVSA-N -1 1 313.737 1.498 20 0 DDADMM CCc1c(C)nc2n(c1=O)CCC[C@@H]2Oc1cnnc(=S)[n-]1 ZINC001228641798 883588449 /nfs/dbraw/zinc/58/84/49/883588449.db2.gz IXAKTOMHWOZAAK-JTQLQIEISA-N -1 1 319.390 1.502 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362356173 883635490 /nfs/dbraw/zinc/63/54/90/883635490.db2.gz OWKPKZJTGWYJGD-DTWKUNHWSA-N -1 1 305.304 1.884 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCCN(c2ncccc2F)CC1 ZINC001362369840 883660530 /nfs/dbraw/zinc/66/05/30/883660530.db2.gz LPRBDASQJUPDMT-UHFFFAOYSA-N -1 1 316.336 1.674 20 0 DDADMM CSc1ncc(C(=O)NCC(C)(C)[C@@H](O)C(C)C)c(=O)[n-]1 ZINC001362424096 883776737 /nfs/dbraw/zinc/77/67/37/883776737.db2.gz YVRKWKLISWQVEA-JTQLQIEISA-N -1 1 313.423 1.677 20 0 DDADMM O=C(NC1CC1)N[C@@H]1CCN(C(=O)c2cccc([O-])c2F)C1 ZINC001362445793 883829085 /nfs/dbraw/zinc/82/90/85/883829085.db2.gz PGPRUYIZECLHPY-SNVBAGLBSA-N -1 1 307.325 1.207 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H](CNC(=O)c1ccc([O-])cn1)C1CC1 ZINC001362448762 883835579 /nfs/dbraw/zinc/83/55/79/883835579.db2.gz OTXLBHKPQJNBBG-ZDUSSCGKSA-N -1 1 321.377 1.820 20 0 DDADMM CCC[C@@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccccn1 ZINC001362534707 884026354 /nfs/dbraw/zinc/02/63/54/884026354.db2.gz BGUBCMRLZKAHIN-QWHCGFSZSA-N -1 1 314.393 1.885 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)c2c(C)[n-]c(=O)nc2SC)C12CCC2 ZINC001362539156 884041570 /nfs/dbraw/zinc/04/15/70/884041570.db2.gz YYSSFTJRQZFCIL-UWVGGRQHSA-N -1 1 323.418 1.900 20 0 DDADMM CSc1nc(CNC(=O)c2ccc(O)c(C)c2)cc(=O)[n-]1 ZINC001362610651 884214571 /nfs/dbraw/zinc/21/45/71/884214571.db2.gz IZVATUKNPJWJEH-UHFFFAOYSA-N -1 1 305.359 1.848 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C[C@@H]1CCOC1 ZINC001362614053 884223868 /nfs/dbraw/zinc/22/38/68/884223868.db2.gz JGMICEBKLFHCRA-DCAQKATOSA-N -1 1 322.327 1.471 20 0 DDADMM CCC(CC)(NC(=O)COc1ccccc1F)c1nn[n-]n1 ZINC001362635960 884285136 /nfs/dbraw/zinc/28/51/36/884285136.db2.gz ZRJRMEABTQIGNH-UHFFFAOYSA-N -1 1 307.329 1.549 20 0 DDADMM O=C(N[C@H]1CS(=O)(=O)c2ccccc21)c1ccc([O-])cn1 ZINC001362638901 884291516 /nfs/dbraw/zinc/29/15/16/884291516.db2.gz COIRMLWXMASNBQ-LBPRGKRZSA-N -1 1 304.327 1.046 20 0 DDADMM O=C(Nc1cccc2c1CNC2=O)c1cnc(C2CC2)[n-]c1=O ZINC001362643252 884305356 /nfs/dbraw/zinc/30/53/56/884305356.db2.gz HVZXQZLWHWDTKP-UHFFFAOYSA-N -1 1 310.313 1.555 20 0 DDADMM O=C(CCNc1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362661635 884350876 /nfs/dbraw/zinc/35/08/76/884350876.db2.gz GZOMYLHPUNJGNT-LBPRGKRZSA-N -1 1 300.366 1.408 20 0 DDADMM O=C(NN1CCOC1=O)c1cc(Br)ccc1[O-] ZINC001362668129 884370210 /nfs/dbraw/zinc/37/02/10/884370210.db2.gz ZVZBOSSBDIOVKE-UHFFFAOYSA-N -1 1 301.096 1.252 20 0 DDADMM CCC(CC)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001382644551 884398427 /nfs/dbraw/zinc/39/84/27/884398427.db2.gz DDZAHJPHMDDJLV-AAEUAGOBSA-N -1 1 319.405 1.943 20 0 DDADMM CSc1ncc(C(=O)Nc2cc(C3CC3)n(C)n2)c(=O)[n-]1 ZINC001362788543 884639726 /nfs/dbraw/zinc/63/97/26/884639726.db2.gz IRWPFSZBFDTTOW-UHFFFAOYSA-N -1 1 305.363 1.767 20 0 DDADMM CCC(CC)(NC(=O)CSCc1ccncc1)c1nn[n-]n1 ZINC001362789431 884642516 /nfs/dbraw/zinc/64/25/16/884642516.db2.gz YAMCEUWDQWHVHH-UHFFFAOYSA-N -1 1 320.422 1.660 20 0 DDADMM C[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)Cc2cncnc21 ZINC001362817532 884710646 /nfs/dbraw/zinc/71/06/46/884710646.db2.gz MCDDIEHANCCXJI-SECBINFHSA-N -1 1 311.345 1.609 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H]2[C@@H]2CCCOC2)c(=O)[n-]1 ZINC001362828149 884737209 /nfs/dbraw/zinc/73/72/09/884737209.db2.gz WJWSCCAOVHKYQT-PWSUYJOCSA-N -1 1 323.418 1.935 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001362829150 884741484 /nfs/dbraw/zinc/74/14/84/884741484.db2.gz FFEJJQYFDWDUBP-VIFPVBQESA-N -1 1 305.386 1.328 20 0 DDADMM CC(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)c(F)c1 ZINC001362836743 884761551 /nfs/dbraw/zinc/76/15/51/884761551.db2.gz RTQWUTNPOCBFLL-UHFFFAOYSA-N -1 1 303.297 1.351 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(OC2CCC2)cc1)c1nn[n-]n1 ZINC001362908966 884954406 /nfs/dbraw/zinc/95/44/06/884954406.db2.gz KMENGHOOVPBLHX-CYBMUJFWSA-N -1 1 317.349 1.249 20 0 DDADMM CC[C@@H](NC(=O)CCc1c(C)nc(SC)[n-]c1=O)[C@H](C)O ZINC001362915306 884977750 /nfs/dbraw/zinc/97/77/50/884977750.db2.gz QJQNKRHRGJIQGE-GXSJLCMTSA-N -1 1 313.423 1.421 20 0 DDADMM COCc1ncc(CNC(=O)c2c([O-])cccc2Cl)c(N)n1 ZINC001362930333 885014083 /nfs/dbraw/zinc/01/40/83/885014083.db2.gz LZYOKANBAHXDNL-UHFFFAOYSA-N -1 1 322.752 1.494 20 0 DDADMM CS(=O)(=O)CC1CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001362931994 885017106 /nfs/dbraw/zinc/01/71/06/885017106.db2.gz OYTYFSSIFAXEPA-UHFFFAOYSA-N -1 1 315.366 1.428 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](OC)[C@@H](C)CC)n[n-]1 ZINC001362937847 885032959 /nfs/dbraw/zinc/03/29/59/885032959.db2.gz QTCRYLXWRMBOEG-LPEHRKFASA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](OC)[C@@H](C)CC)[n-]1 ZINC001362937847 885032979 /nfs/dbraw/zinc/03/29/79/885032979.db2.gz QTCRYLXWRMBOEG-LPEHRKFASA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](OC)[C@@H](C)CC)n1 ZINC001362937847 885032991 /nfs/dbraw/zinc/03/29/91/885032991.db2.gz QTCRYLXWRMBOEG-LPEHRKFASA-N -1 1 312.370 1.220 20 0 DDADMM CCc1nnc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)s1 ZINC001362968141 885110856 /nfs/dbraw/zinc/11/08/56/885110856.db2.gz GALVXNNJXOZQTL-UHFFFAOYSA-N -1 1 323.378 1.270 20 0 DDADMM O=S1(=O)CC[C@@H](Oc2nc3c(cc(Cl)nc3Cl)[n-]2)C1 ZINC001231045392 885163456 /nfs/dbraw/zinc/16/34/56/885163456.db2.gz WIDQYFAUWSKZDK-RXMQYKEDSA-N -1 1 322.173 1.831 20 0 DDADMM O=S1(=O)CC[C@@H](Oc2nc3cc(Cl)nc(Cl)c3[n-]2)C1 ZINC001231045392 885163461 /nfs/dbraw/zinc/16/34/61/885163461.db2.gz WIDQYFAUWSKZDK-RXMQYKEDSA-N -1 1 322.173 1.831 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2Cc3ccc(O)cc3C2)co1 ZINC001363003111 885201896 /nfs/dbraw/zinc/20/18/96/885201896.db2.gz ZQJPCSSTUDPPHE-UHFFFAOYSA-N -1 1 322.342 1.049 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CCOC2(CCCC2)C1 ZINC001363042549 885304339 /nfs/dbraw/zinc/30/43/39/885304339.db2.gz XZHBHWZCJZHGCJ-SNVBAGLBSA-N -1 1 307.350 1.243 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)c1cc(C2CC2)on1 ZINC001363205411 885711182 /nfs/dbraw/zinc/71/11/82/885711182.db2.gz CQKBWVJCHBUKLW-UHFFFAOYSA-N -1 1 316.317 1.050 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CSCc1cccnc1 ZINC001363275222 885884139 /nfs/dbraw/zinc/88/41/39/885884139.db2.gz DYTUETBPSPDJDR-UHFFFAOYSA-N -1 1 320.422 1.513 20 0 DDADMM CC1N=NC(C(=O)Nc2cccc([C@@]3(C)COC(=O)N3)c2)=C1[O-] ZINC001363342530 886077283 /nfs/dbraw/zinc/07/72/83/886077283.db2.gz SSGSBAHEPGFSOB-OAHLLOKOSA-N -1 1 316.317 1.631 20 0 DDADMM Cc1cccc(CSCC(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001363357665 886122244 /nfs/dbraw/zinc/12/22/44/886122244.db2.gz DGIWANMKMAHUCH-UHFFFAOYSA-N -1 1 317.418 1.937 20 0 DDADMM Cc1nc(CN(C)CCN(C)C(=O)c2ncccc2[O-])cs1 ZINC001374491734 913041527 /nfs/dbraw/zinc/04/15/27/913041527.db2.gz YELVILCZFNLYNP-UHFFFAOYSA-N -1 1 320.418 1.756 20 0 DDADMM COc1cncc(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)n1 ZINC001363483426 886460004 /nfs/dbraw/zinc/46/00/04/886460004.db2.gz PWCUQKDYCRVUAR-UHFFFAOYSA-N -1 1 319.321 1.416 20 0 DDADMM Cc1ccc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cc1O ZINC001363533966 886569869 /nfs/dbraw/zinc/56/98/69/886569869.db2.gz VFNHWDJEBULUMJ-UHFFFAOYSA-N -1 1 315.329 1.199 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2ccnc(F)c2)[n-]1 ZINC001288775290 913060181 /nfs/dbraw/zinc/06/01/81/913060181.db2.gz VVCYXEKUBOCGRW-UHFFFAOYSA-N -1 1 305.265 1.790 20 0 DDADMM C[C@@H](C(=O)NC1(c2nn[n-]n2)CCC1)N1CCc2ccccc21 ZINC001363546227 886603103 /nfs/dbraw/zinc/60/31/03/886603103.db2.gz CSEBJRBFIFKSQT-NSHDSACASA-N -1 1 312.377 1.146 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@H]1CC12CC2 ZINC001363555769 886627864 /nfs/dbraw/zinc/62/78/64/886627864.db2.gz GAZYTEJXMCUTQA-NXEZZACHSA-N -1 1 304.350 1.445 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@H]1CC12CC2 ZINC001363555769 886627875 /nfs/dbraw/zinc/62/78/75/886627875.db2.gz GAZYTEJXMCUTQA-NXEZZACHSA-N -1 1 304.350 1.445 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@H]1CC12CC2 ZINC001363555769 886627889 /nfs/dbraw/zinc/62/78/89/886627889.db2.gz GAZYTEJXMCUTQA-NXEZZACHSA-N -1 1 304.350 1.445 20 0 DDADMM O=C(N[C@@H]1Cc2ccccc2NC1=O)c1cc(F)ccc1[O-] ZINC001363558509 886633465 /nfs/dbraw/zinc/63/34/65/886633465.db2.gz IVJYUXVQUBGPGB-CYBMUJFWSA-N -1 1 300.289 1.825 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2ccc(F)c([O-])c2F)CCC1=O ZINC001232931653 886681853 /nfs/dbraw/zinc/68/18/53/886681853.db2.gz QKGHDAWWGJHKBU-SNVBAGLBSA-N -1 1 313.300 1.625 20 0 DDADMM COC(=O)[C@@H]1CN(Cc2ccc(F)c([O-])c2F)CCCO1 ZINC001232941400 886685961 /nfs/dbraw/zinc/68/59/61/886685961.db2.gz VYNHBJPQPXSHNT-NSHDSACASA-N -1 1 301.289 1.434 20 0 DDADMM CNC(=O)c1cnc2n1CCN(Cc1cc([O-])c(F)c(F)c1)C2 ZINC001232972672 886701926 /nfs/dbraw/zinc/70/19/26/886701926.db2.gz SRKLQCVDBCUTAN-UHFFFAOYSA-N -1 1 322.315 1.242 20 0 DDADMM COC[C@H](NC(=O)[C@@H](C)c1cccc(Cl)c1)c1nn[n-]n1 ZINC001363591232 886712686 /nfs/dbraw/zinc/71/26/86/886712686.db2.gz SNKPEUFEYQTSDY-KWQFWETISA-N -1 1 309.757 1.461 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)[n-]c1=O ZINC001363601381 886738255 /nfs/dbraw/zinc/73/82/55/886738255.db2.gz JAIFYVZZMBOUQT-BBBLOLIVSA-N -1 1 307.350 1.110 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H](O)c1ccccn1 ZINC001363606102 886749009 /nfs/dbraw/zinc/74/90/09/886749009.db2.gz HGIDBUWNTIOYDH-CQSZACIVSA-N -1 1 308.284 1.458 20 0 DDADMM CC(C)(Nc1ccccc1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001363701451 887015190 /nfs/dbraw/zinc/01/51/90/887015190.db2.gz AVGMUGBYIDBVLG-UHFFFAOYSA-N -1 1 323.378 1.037 20 0 DDADMM CC(C)(Nc1ccccc1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001363701451 887015204 /nfs/dbraw/zinc/01/52/04/887015204.db2.gz AVGMUGBYIDBVLG-UHFFFAOYSA-N -1 1 323.378 1.037 20 0 DDADMM CCOC(=O)c1[nH]nc(NC(=O)c2ncc(C)cc2[O-])c1C ZINC001363710752 887040810 /nfs/dbraw/zinc/04/08/10/887040810.db2.gz NJZPZGJOQQUPGC-UHFFFAOYSA-N -1 1 304.306 1.556 20 0 DDADMM CCCC(=O)c1ccc([O-])cc1O[C@@H](CC(=O)OC)C(=O)OC ZINC001233650631 887190922 /nfs/dbraw/zinc/19/09/22/887190922.db2.gz NQIAATGJWVIJFL-AWEZNQCLSA-N -1 1 324.329 1.859 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C1CC1)C(C)(C)CO ZINC001364001434 887699954 /nfs/dbraw/zinc/69/99/54/887699954.db2.gz GJUYKCUPJGQLTR-JTQLQIEISA-N -1 1 320.436 1.227 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1c2ccccc2CN1C ZINC001364051467 887801754 /nfs/dbraw/zinc/80/17/54/887801754.db2.gz BWYRSAZOJLVETI-LBPRGKRZSA-N -1 1 322.390 1.030 20 0 DDADMM CSCC[C@H](NC(=O)c1cn(C(C)C)nc1C)c1nn[n-]n1 ZINC001364087502 887883851 /nfs/dbraw/zinc/88/38/51/887883851.db2.gz LKEFPZULAVRTCT-NSHDSACASA-N -1 1 323.426 1.510 20 0 DDADMM Cc1cc(N2C[C@H](C(=O)Nc3c[n-][nH]c3=O)CC2=O)ccc1F ZINC001364089108 887889623 /nfs/dbraw/zinc/88/96/23/887889623.db2.gz FJROJWSCXABKPO-SECBINFHSA-N -1 1 318.308 1.554 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1ccc(Cl)s1)c1ncon1 ZINC001364121031 887967950 /nfs/dbraw/zinc/96/79/50/887967950.db2.gz YIQPRHNJXUBISF-UHFFFAOYSA-N -1 1 307.784 1.998 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@H]1CCOC[C@H]1F ZINC001234557391 888091001 /nfs/dbraw/zinc/09/10/01/888091001.db2.gz JSTGIAKRIMEFRW-BDAKNGLRSA-N -1 1 314.313 1.598 20 0 DDADMM Cc1ccc(CCC(=O)NCC(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001364513928 888815658 /nfs/dbraw/zinc/81/56/58/888815658.db2.gz YCLHYPQEKLKVNY-UHFFFAOYSA-N -1 1 302.334 1.111 20 0 DDADMM CC(C)c1ncc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)[nH]1 ZINC001364549938 888905687 /nfs/dbraw/zinc/90/56/87/888905687.db2.gz QWJGBILJEFLIFG-UHFFFAOYSA-N -1 1 317.349 1.031 20 0 DDADMM O=C(c1ncsc1C(F)(F)F)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001364569263 888946622 /nfs/dbraw/zinc/94/66/22/888946622.db2.gz SJWYYZVJYIJOQW-RXMQYKEDSA-N -1 1 318.284 1.305 20 0 DDADMM COC(=O)c1ccc2c(c1)CCN(C(=O)c1cncc([O-])c1)C2 ZINC001364621606 889056134 /nfs/dbraw/zinc/05/61/34/889056134.db2.gz YGDWXHBTZLWCAR-UHFFFAOYSA-N -1 1 312.325 1.772 20 0 DDADMM O=C(NC1CC1)c1c[nH]nc1NCc1ccc([O-])c(F)c1F ZINC001364734602 889302926 /nfs/dbraw/zinc/30/29/26/889302926.db2.gz MYUYVBKUDGZULO-UHFFFAOYSA-N -1 1 308.288 1.898 20 0 DDADMM COc1cc(CS(=O)(=O)[N-][C@H](C)c2nc(C)no2)sn1 ZINC001364795362 889432870 /nfs/dbraw/zinc/43/28/70/889432870.db2.gz IUFRETIINSCTSL-ZCFIWIBFSA-N -1 1 318.380 1.024 20 0 DDADMM COC[C@@H](NC(=O)C[C@H](C)c1ccccc1F)c1nn[n-]n1 ZINC001364814198 889469224 /nfs/dbraw/zinc/46/92/24/889469224.db2.gz MPEIOOCLUAKWEG-JOYOIKCWSA-N -1 1 307.329 1.336 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C(C)(C)C(=O)c1ccccc1 ZINC001364869042 889577689 /nfs/dbraw/zinc/57/76/89/889577689.db2.gz HEXIOJJPQRZNSB-UHFFFAOYSA-N -1 1 323.374 1.505 20 0 DDADMM CC(=O)NCc1ccc(C[N-]S(=O)(=O)c2conc2C)cc1 ZINC001364909898 889653084 /nfs/dbraw/zinc/65/30/84/889653084.db2.gz XZGNGHAWHAJGKF-UHFFFAOYSA-N -1 1 323.374 1.098 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ncc(Cl)s1 ZINC001364953726 889748453 /nfs/dbraw/zinc/74/84/53/889748453.db2.gz WXLLVYGFQYFSBF-UHFFFAOYSA-N -1 1 308.772 1.154 20 0 DDADMM O=S(=O)([N-][C@H](c1ncccn1)C1CC1)c1c[nH]nc1Cl ZINC001364976210 889799657 /nfs/dbraw/zinc/79/96/57/889799657.db2.gz SPMDRHPRXZTZIP-VIFPVBQESA-N -1 1 313.770 1.283 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1ccc(F)c(F)c1 ZINC001365029675 889934342 /nfs/dbraw/zinc/93/43/42/889934342.db2.gz BPTZOYVRKNPKQQ-UHFFFAOYSA-N -1 1 320.342 1.908 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3OCc4ccccc43)ccnc1-2 ZINC001365051798 889986078 /nfs/dbraw/zinc/98/60/78/889986078.db2.gz PZEFCEHAEQKTQO-HNNXBMFYSA-N -1 1 323.356 1.735 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)NN1CCCNC1=O ZINC001365068220 890031441 /nfs/dbraw/zinc/03/14/41/890031441.db2.gz RVBPYKHOJWEOSF-UHFFFAOYSA-N -1 1 316.321 1.030 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@@H](C)c1nn(C)cc1[O-])c1ccccc1 ZINC001365118800 890136251 /nfs/dbraw/zinc/13/62/51/890136251.db2.gz CTTDDLRXOOFJDE-LRDDRELGSA-N -1 1 316.405 1.996 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CC2(C)COC2)[n-]c1=O ZINC001365215684 890369799 /nfs/dbraw/zinc/36/97/99/890369799.db2.gz LXFVYSDUKJKINH-SNVBAGLBSA-N -1 1 307.350 1.281 20 0 DDADMM O=S(=O)([N-][C@H]1CNCC1(F)F)c1cc2ccccc2s1 ZINC001238883916 890383023 /nfs/dbraw/zinc/38/30/23/890383023.db2.gz YOEHLTHKLCTKOX-JTQLQIEISA-N -1 1 318.370 1.787 20 0 DDADMM O=S(=O)([N-][C@@H]1CNCC1(F)F)c1cc2ccccc2s1 ZINC001238883918 890384357 /nfs/dbraw/zinc/38/43/57/890384357.db2.gz YOEHLTHKLCTKOX-SNVBAGLBSA-N -1 1 318.370 1.787 20 0 DDADMM COc1cnc([C@@H]2CCCN2c2cc(C)nc(CO)n2)[n-]c1=O ZINC001365239895 890417861 /nfs/dbraw/zinc/41/78/61/890417861.db2.gz BOACROTUFKTXBU-JTQLQIEISA-N -1 1 317.349 1.123 20 0 DDADMM CCC(CC)CC(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001386450471 891004763 /nfs/dbraw/zinc/00/47/63/891004763.db2.gz RVNLYJNBMMAUGJ-NSHDSACASA-N -1 1 307.394 1.848 20 0 DDADMM [O-]c1cc(F)cc(-c2nc3nc[nH]c3c(N3CCOCC3)n2)c1 ZINC001241262985 891139785 /nfs/dbraw/zinc/13/97/85/891139785.db2.gz UUQKPGQOJDBOTJ-UHFFFAOYSA-N -1 1 315.308 1.701 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1CCCC[C@@H]1C ZINC001365640839 891231644 /nfs/dbraw/zinc/23/16/44/891231644.db2.gz MPHWHYZACKFILN-VHSXEESVSA-N -1 1 315.395 1.301 20 0 DDADMM O=C(c1c[nH]c(-c2ccccc2)n1)N1CCC(c2nn[n-]n2)CC1 ZINC000738246764 913549444 /nfs/dbraw/zinc/54/94/44/913549444.db2.gz KMEYBWFXMMIWIN-UHFFFAOYSA-N -1 1 323.360 1.610 20 0 DDADMM Cc1c[nH]c(=O)c(C[N-]S(=O)(=O)c2cc(F)ccc2F)c1 ZINC001365746164 891446062 /nfs/dbraw/zinc/44/60/62/891446062.db2.gz QSDPOJKZMAUNND-UHFFFAOYSA-N -1 1 314.313 1.852 20 0 DDADMM Cc1cc(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])ns1 ZINC001386737097 891598486 /nfs/dbraw/zinc/59/84/86/891598486.db2.gz BMWQDUXOWJSXEN-QMMMGPOBSA-N -1 1 320.374 1.100 20 0 DDADMM Cc1cncc(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])c1 ZINC001386744511 891614944 /nfs/dbraw/zinc/61/49/44/891614944.db2.gz QKDOXVXGLXTEBM-LLVKDONJSA-N -1 1 314.345 1.039 20 0 DDADMM COCc1ccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nc1 ZINC001244792067 891927870 /nfs/dbraw/zinc/92/78/70/891927870.db2.gz YGMFTQWNPHBMBO-UHFFFAOYSA-N -1 1 323.374 1.670 20 0 DDADMM COc1ncc(-c2ncc(C)cc2N)cc1[N-]S(C)(=O)=O ZINC001244793845 891928805 /nfs/dbraw/zinc/92/88/05/891928805.db2.gz UCNADPGFIJCYLC-UHFFFAOYSA-N -1 1 308.363 1.414 20 0 DDADMM COc1ncc(-c2cnc3[nH]cnc3c2)cc1[N-]S(C)(=O)=O ZINC001244792345 891929252 /nfs/dbraw/zinc/92/92/52/891929252.db2.gz RDWNIQDFBLVTIT-UHFFFAOYSA-N -1 1 319.346 1.400 20 0 DDADMM COc1ncc(-c2cc(F)cnc2C)cc1[N-]S(C)(=O)=O ZINC001244793734 891929474 /nfs/dbraw/zinc/92/94/74/891929474.db2.gz OHCCPYNPFYYPKL-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM COc1ncc(-c2cnc3[nH]ccc3c2)cc1[N-]S(C)(=O)=O ZINC001244795102 891930745 /nfs/dbraw/zinc/93/07/45/891930745.db2.gz WYAHLRLEGJHFTR-UHFFFAOYSA-N -1 1 318.358 1.957 20 0 DDADMM CCN(CCN[C@@H](C)c1nnc(C)o1)C(=O)c1ncccc1[O-] ZINC001374707363 913637619 /nfs/dbraw/zinc/63/76/19/913637619.db2.gz BZAXSMBAMQSWRN-JTQLQIEISA-N -1 1 319.365 1.292 20 0 DDADMM COc1cccc([C@H](O)CNc2cc(=O)[nH]c(N(C)C)n2)c1 ZINC001250700174 894370089 /nfs/dbraw/zinc/37/00/89/894370089.db2.gz SHHHEWIZFVNXKC-GFCCVEGCSA-N -1 1 304.350 1.402 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NS(=O)(=O)c1ccc(F)cc1 ZINC001251611856 894749243 /nfs/dbraw/zinc/74/92/43/894749243.db2.gz UONAKCUDRLOFQU-UHFFFAOYSA-N -1 1 314.254 1.226 20 0 DDADMM Cc1ccc(C(=O)NC[C@H](C)CNC(=O)c2ncccc2[O-])o1 ZINC001388388329 895124897 /nfs/dbraw/zinc/12/48/97/895124897.db2.gz FXMQQERIIJMSRE-JTQLQIEISA-N -1 1 317.345 1.485 20 0 DDADMM CCC(CC)(CC)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001367037772 895643050 /nfs/dbraw/zinc/64/30/50/895643050.db2.gz OJLUNRRRVQSTTH-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM CC[C@H](CNC(=O)[C@@H]1CC12CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001367142288 895988332 /nfs/dbraw/zinc/98/83/32/895988332.db2.gz MYDZHNIJJCHWFQ-MNOVXSKESA-N -1 1 307.398 1.075 20 0 DDADMM CC(C)(C)OC(=O)[C@H](O)CNc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001253698841 896011403 /nfs/dbraw/zinc/01/14/03/896011403.db2.gz UUVJCTHLTYFRAO-CYBMUJFWSA-N -1 1 319.361 1.692 20 0 DDADMM CC(C)[C@H](CNC(=O)CC1CCC1)NC(=O)c1ncccc1[O-] ZINC001388881520 896114437 /nfs/dbraw/zinc/11/44/37/896114437.db2.gz KSGZGSYEFOLFDY-ZDUSSCGKSA-N -1 1 319.405 1.848 20 0 DDADMM O=S(=O)([O-])c1ccc(O)c(-c2nnc([C@H]3CCOC3)o2)c1 ZINC001255517340 896982925 /nfs/dbraw/zinc/98/29/25/896982925.db2.gz KFOCKVMGPUUCFL-ZETCQYMHSA-N -1 1 312.303 1.193 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1cc(C)oc(=O)c1 ZINC001258362365 898154656 /nfs/dbraw/zinc/15/46/56/898154656.db2.gz YPURIMUPEGSJAJ-UHFFFAOYSA-N -1 1 317.754 1.444 20 0 DDADMM CCOC(=O)[C@H](CC)[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC001258473332 898205296 /nfs/dbraw/zinc/20/52/96/898205296.db2.gz RVPSGZMVLGHCHP-QMMMGPOBSA-N -1 1 323.802 1.002 20 0 DDADMM O=S(=O)([N-]Cc1cn(CCF)cn1)c1cc(F)ccc1F ZINC001258950460 898376604 /nfs/dbraw/zinc/37/66/04/898376604.db2.gz CTHYWTUTROFMDL-UHFFFAOYSA-N -1 1 319.308 1.609 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@]12CCCOC2)c1cc(F)c(F)cc1F ZINC001259044856 898441278 /nfs/dbraw/zinc/44/12/78/898441278.db2.gz DVTOUSHDUWBVRE-STQMWFEESA-N -1 1 321.320 1.951 20 0 DDADMM NC(=O)c1ccc(OCC(=O)Nc2cc(F)cc(F)c2[O-])cc1 ZINC001299581349 898653635 /nfs/dbraw/zinc/65/36/35/898653635.db2.gz QTBRLNNFEKDISP-UHFFFAOYSA-N -1 1 322.267 1.787 20 0 DDADMM O=C1CC[C@@H](C[N-]S(=O)(=O)c2cc(Cl)ccc2F)CN1 ZINC001259460133 898679003 /nfs/dbraw/zinc/67/90/03/898679003.db2.gz MUHQMGKXZDWZRQ-MRVPVSSYSA-N -1 1 320.773 1.284 20 0 DDADMM CC(=O)N[C@H]1C[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC001259461149 898679322 /nfs/dbraw/zinc/67/93/22/898679322.db2.gz HWUISPAPYPGARZ-MGCOHNPYSA-N -1 1 320.773 1.425 20 0 DDADMM CCOC(=O)C1=C([N-]S(=O)(=O)C2CCCCC2)[C@@H](C)OC1 ZINC001259816769 898826540 /nfs/dbraw/zinc/82/65/40/898826540.db2.gz CLWHQVARGHPNAH-SNVBAGLBSA-N -1 1 317.407 1.474 20 0 DDADMM COCCn1cnc([N-]S(=O)(=O)Cc2ccccc2C)c1 ZINC001260017636 899014758 /nfs/dbraw/zinc/01/47/58/899014758.db2.gz XSFLTINYIHFKNM-UHFFFAOYSA-N -1 1 309.391 1.780 20 0 DDADMM O=C1OC[C@@H]1[N-]S(=O)(=O)Cc1cccc(C(F)(F)F)c1 ZINC001260035273 899019844 /nfs/dbraw/zinc/01/98/44/899019844.db2.gz CEZAOTKFNQZASY-VIFPVBQESA-N -1 1 309.265 1.050 20 0 DDADMM O=S(=O)([N-]CCn1cccn1)c1cc(F)c(F)cc1Cl ZINC001260286815 899109786 /nfs/dbraw/zinc/10/97/86/899109786.db2.gz HOXNPWOUMYUYAA-UHFFFAOYSA-N -1 1 321.736 1.793 20 0 DDADMM Cc1nc2cc([N-]S(=O)(=O)CS(C)(=O)=O)ccc2s1 ZINC001260583014 899175638 /nfs/dbraw/zinc/17/56/38/899175638.db2.gz ILEKBSQMNANHJX-UHFFFAOYSA-N -1 1 320.417 1.349 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccnc(CO)c2)cc1C ZINC001260633363 899191054 /nfs/dbraw/zinc/19/10/54/899191054.db2.gz RSCVSCVUBIMTQK-UHFFFAOYSA-N -1 1 308.359 1.692 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](C)Cc1ccc(O)cc1 ZINC001263769048 900701130 /nfs/dbraw/zinc/70/11/30/900701130.db2.gz NNIANZMQXCHJJP-MRVPVSSYSA-N -1 1 319.386 1.846 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@@](O)(C2CC2)C1 ZINC001263862732 900778317 /nfs/dbraw/zinc/77/83/17/900778317.db2.gz RWIAGSFMIJJDFZ-AWEZNQCLSA-N -1 1 309.391 1.156 20 0 DDADMM CCCN(C(=O)c1cnc[nH]c1=O)[C@H]1CC[N@H+](C/C=C\Cl)C1 ZINC001391275679 901367193 /nfs/dbraw/zinc/36/71/93/901367193.db2.gz QOHJTFQTNITWIM-FHMRSRPSSA-N -1 1 324.812 1.861 20 0 DDADMM C[C@H](C(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001391315189 901463356 /nfs/dbraw/zinc/46/33/56/901463356.db2.gz XRQZLTNFTWVSNW-VXGBXAGGSA-N -1 1 323.441 1.521 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001370475324 903247104 /nfs/dbraw/zinc/24/71/04/903247104.db2.gz UEGZBAPAJCRNQW-IJLUTSLNSA-N -1 1 305.378 1.410 20 0 DDADMM CN(CCCNC(=O)C[C@@H]1C=CCC1)C(=O)c1ncccc1[O-] ZINC001293945236 914793830 /nfs/dbraw/zinc/79/38/30/914793830.db2.gz ZHHUKZHYGIPKFE-CYBMUJFWSA-N -1 1 317.389 1.722 20 0 DDADMM CC[C@@H](F)CN(C)C[C@H](C)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001392724427 905065050 /nfs/dbraw/zinc/06/50/50/905065050.db2.gz OBFAAVSUROMXEN-VHSXEESVSA-N -1 1 324.356 1.727 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1CCCCC1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001371487766 905085223 /nfs/dbraw/zinc/08/52/23/905085223.db2.gz RUGINZVNDRUGDO-QWRGUYRKSA-N -1 1 309.414 1.321 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)c1ccco1 ZINC001294037750 914872303 /nfs/dbraw/zinc/87/23/03/914872303.db2.gz YPDMDZUHEURRMZ-UHFFFAOYSA-N -1 1 303.318 1.272 20 0 DDADMM O=C(Cc1ccc(F)cc1)NCCNC(=O)c1ncccc1[O-] ZINC001282982679 906395255 /nfs/dbraw/zinc/39/52/55/906395255.db2.gz ZBKNDYMSNBGYGH-UHFFFAOYSA-N -1 1 317.320 1.015 20 0 DDADMM CCC[C@H](CC)C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001372550306 907605858 /nfs/dbraw/zinc/60/58/58/907605858.db2.gz GXYVRQJPGRNMGZ-QWHCGFSZSA-N -1 1 323.441 1.015 20 0 DDADMM CCO[C@@H](C)C(=O)NCCCN(CC)C(=O)c1ncccc1[O-] ZINC001283697585 907811456 /nfs/dbraw/zinc/81/14/56/907811456.db2.gz UYDKWIDLKRWEKB-LBPRGKRZSA-N -1 1 323.393 1.181 20 0 DDADMM CC(C)=C(C)CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001283891221 908141936 /nfs/dbraw/zinc/14/19/36/908141936.db2.gz VUENEHUYXLBJQX-ZDUSSCGKSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1=CCCCCC1 ZINC001393932462 908298680 /nfs/dbraw/zinc/29/86/80/908298680.db2.gz LNTNGZRMSPJWNN-QWRGUYRKSA-N -1 1 307.398 1.384 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C12CCC(CC1)C2 ZINC001372836470 908389296 /nfs/dbraw/zinc/38/92/96/908389296.db2.gz QXZOPJODSLFXHT-ZBFVHZJFSA-N -1 1 317.389 1.602 20 0 DDADMM CC(C)=CC(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001286417673 911969471 /nfs/dbraw/zinc/96/94/71/911969471.db2.gz LVFVNCSHOJAVKL-HAQNSBGRSA-N -1 1 303.362 1.378 20 0 DDADMM CCC(=O)N(C)CCCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001294229192 914995681 /nfs/dbraw/zinc/99/56/81/914995681.db2.gz CMZIMPCLYBDOGZ-UHFFFAOYSA-N -1 1 322.409 1.602 20 0 DDADMM C[C@@](CNC(=O)C1CCC1)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001375355815 915698449 /nfs/dbraw/zinc/69/84/49/915698449.db2.gz DOLREEJCGYWDLR-KRWDZBQOSA-N -1 1 317.389 1.602 20 0 DDADMM CC(C)SCC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001397412441 915969046 /nfs/dbraw/zinc/96/90/46/915969046.db2.gz DFADLHZOWOCFHM-JTQLQIEISA-N -1 1 311.407 1.163 20 0 DDADMM CCC(C)(C)CC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001377830576 923166455 /nfs/dbraw/zinc/16/64/55/923166455.db2.gz VYVYDBGNKWDROV-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CC(C)(C)C(F)(F)F)nc2n1 ZINC000622869893 365548806 /nfs/dbraw/zinc/54/88/06/365548806.db2.gz KTRZTHYQUXVJLG-UHFFFAOYSA-N -1 1 317.271 1.643 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCC4(CC4)C3)nc2n1 ZINC000622992732 365585547 /nfs/dbraw/zinc/58/55/47/365585547.db2.gz XDFZIWYFTJXRQR-JTQLQIEISA-N -1 1 315.377 1.889 20 0 DDADMM Cn1nccc1N1CCC[C@@H](NC(=O)c2ccc([O-])cc2F)C1 ZINC000278426030 214144194 /nfs/dbraw/zinc/14/41/94/214144194.db2.gz BWUCMEHBOYWPAJ-LLVKDONJSA-N -1 1 318.352 1.664 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)ccc1O ZINC000614389234 361833346 /nfs/dbraw/zinc/83/33/46/361833346.db2.gz KGTYMFVJLBGFCF-NSHDSACASA-N -1 1 303.318 1.809 20 0 DDADMM CCc1cnc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)s1 ZINC000614390334 361833850 /nfs/dbraw/zinc/83/38/50/361833850.db2.gz TXAPGZOCBBXFSA-QMMMGPOBSA-N -1 1 308.363 1.814 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ccccn1 ZINC000614390909 361834172 /nfs/dbraw/zinc/83/41/72/361834172.db2.gz XPUHLUCNAOMKET-LLVKDONJSA-N -1 1 316.361 1.854 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCOC[C@@]12CCOC2 ZINC000614454475 361864135 /nfs/dbraw/zinc/86/41/35/361864135.db2.gz OEZGASDHSPLPAS-KRWDZBQOSA-N -1 1 314.341 1.572 20 0 DDADMM CCn1nc(C)c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1C ZINC000614473260 361875350 /nfs/dbraw/zinc/87/53/50/361875350.db2.gz HYTUBFWCMOEONP-UHFFFAOYSA-N -1 1 319.365 1.628 20 0 DDADMM COC[C@](C)(O)CC[N-]S(=O)(=O)c1sccc1Cl ZINC000451653427 231152923 /nfs/dbraw/zinc/15/29/23/231152923.db2.gz MMWLGYWTYBUMLB-SNVBAGLBSA-N -1 1 313.828 1.467 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC3CCC(CO)CC3)cnc2n1 ZINC000181109403 539237232 /nfs/dbraw/zinc/23/72/32/539237232.db2.gz PSBRXUBIHKQQCI-UHFFFAOYSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC3CCC(CO)CC3)c[n-]c2n1 ZINC000181109403 539237233 /nfs/dbraw/zinc/23/72/33/539237233.db2.gz PSBRXUBIHKQQCI-UHFFFAOYSA-N -1 1 315.373 1.925 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H](c2ccccc2)[C@@](F)(CO)C1 ZINC000452499285 231388276 /nfs/dbraw/zinc/38/82/76/231388276.db2.gz LBWZYYJNFJRLFD-DYVFJYSZSA-N -1 1 316.332 1.727 20 0 DDADMM CCn1ccnc1C[N-]S(=O)(=O)c1c(F)cc(F)cc1F ZINC000451762212 529579532 /nfs/dbraw/zinc/57/95/32/529579532.db2.gz WFYNROOESQCXIJ-UHFFFAOYSA-N -1 1 319.308 1.799 20 0 DDADMM C[C@@H](CN(C)C(=O)c1coc(Br)c1)c1nn[n-]n1 ZINC000273501438 539278844 /nfs/dbraw/zinc/27/88/44/539278844.db2.gz MEIIBJFAAQUMQB-LURJTMIESA-N -1 1 314.143 1.431 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CC(=O)NCc2ccccc2F)C1 ZINC000315900939 539295540 /nfs/dbraw/zinc/29/55/40/539295540.db2.gz LZZKXEADVYESKG-LBPRGKRZSA-N -1 1 308.353 1.629 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)/C=C\C(C)(C)C ZINC000255567082 282266439 /nfs/dbraw/zinc/26/64/39/282266439.db2.gz PQVZYWWFNNDODP-SREVYHEPSA-N -1 1 302.378 1.858 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cccc(C(=O)N(C)C)c2)n[n-]1 ZINC000444413087 529744422 /nfs/dbraw/zinc/74/44/22/529744422.db2.gz SLLHSIDSAMZHJQ-UHFFFAOYSA-N -1 1 322.390 1.043 20 0 DDADMM CN(C[C@@H](O)Cc1ccccc1)C(=O)C(=O)c1ccc([O-])cc1 ZINC000437007328 529943392 /nfs/dbraw/zinc/94/33/92/529943392.db2.gz UPALFLOWXFQRTP-INIZCTEOSA-N -1 1 313.353 1.637 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ncccc1[O-])c1ccncc1 ZINC000615834749 362458782 /nfs/dbraw/zinc/45/87/82/362458782.db2.gz HTEYAWCDOAQNAB-LLVKDONJSA-N -1 1 301.302 1.216 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2c(C)cccc2OC)co1 ZINC000444468302 530026196 /nfs/dbraw/zinc/02/61/96/530026196.db2.gz UFFFJZVINJHKSB-UHFFFAOYSA-N -1 1 324.358 1.757 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@@H]2C=CCCC2)c1 ZINC000292392166 530031776 /nfs/dbraw/zinc/03/17/76/530031776.db2.gz ZHGZDKDFAWZXNR-LLVKDONJSA-N -1 1 324.402 1.985 20 0 DDADMM COCC[C@@H](C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330801035 232080231 /nfs/dbraw/zinc/08/02/31/232080231.db2.gz DBIMXJIPUFRRHF-SSDOTTSWSA-N -1 1 312.185 1.745 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(C)(C)C[C@H](C)O)sc1C ZINC000330840498 232106979 /nfs/dbraw/zinc/10/69/79/232106979.db2.gz MEDRUUYYAOVMIN-QMMMGPOBSA-N -1 1 306.453 1.835 20 0 DDADMM COC[C@@]1(CO)CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000616094997 362545029 /nfs/dbraw/zinc/54/50/29/362545029.db2.gz VBNHIJGLACWQAX-KRWDZBQOSA-N -1 1 316.357 1.411 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccc(-c2ccccn2)[nH]c1=O ZINC000358323365 299120404 /nfs/dbraw/zinc/12/04/04/299120404.db2.gz AWEBRGOSSNXYGR-UHFFFAOYSA-N -1 1 308.297 1.624 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)C3(C(N)=O)CCC3)[nH][n-]2)s1 ZINC000616811549 362829518 /nfs/dbraw/zinc/82/95/18/362829518.db2.gz SHHQTGHBLIGGEB-UHFFFAOYSA-N -1 1 304.375 1.463 20 0 DDADMM C[C@@H](CN(C)C(=O)CCCc1nc(C2CC2)no1)c1nn[n-]n1 ZINC000358393572 299141486 /nfs/dbraw/zinc/14/14/86/299141486.db2.gz ROJWRFJHBHOTRG-VIFPVBQESA-N -1 1 319.369 1.045 20 0 DDADMM O=C(NC[C@@H]1COc2ccccc21)c1nc2ccccc2c(=O)[n-]1 ZINC000342573609 282579926 /nfs/dbraw/zinc/57/99/26/282579926.db2.gz SAPXCMQUIGLOFM-LLVKDONJSA-N -1 1 321.336 1.829 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cccc2cnccc21 ZINC000343183648 282637801 /nfs/dbraw/zinc/63/78/01/282637801.db2.gz PDPHOJNFBBASNS-UHFFFAOYSA-N -1 1 322.324 1.704 20 0 DDADMM Cc1ccccc1[C@H](N)C(=O)N1C[C@@H]2CCC[C@@]2(C(=O)[O-])C1 ZINC000564801488 304014574 /nfs/dbraw/zinc/01/45/74/304014574.db2.gz GCTFTORGWJJCDD-RVSPLBMKSA-N -1 1 302.374 1.708 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@@H](C)[C@@H]2C)o1 ZINC000031488179 352267634 /nfs/dbraw/zinc/26/76/34/352267634.db2.gz NTEKIFMONRFZKP-OUAUKWLOSA-N -1 1 314.407 1.742 20 0 DDADMM Cc1nnnn1-c1cccc([N-]S(=O)(=O)c2ccccc2)c1 ZINC000041616185 352310460 /nfs/dbraw/zinc/31/04/60/352310460.db2.gz HPKJOGKPCFJDLM-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM CC(=O)c1cccc([N-]S(=O)(=O)c2ccc(C(N)=O)cc2)c1 ZINC000045555030 352414702 /nfs/dbraw/zinc/41/47/02/352414702.db2.gz NNGHALHKBFGCCQ-UHFFFAOYSA-N -1 1 318.354 1.789 20 0 DDADMM CCOc1cccc(CNC(=O)NN=c2nc(OC)cc[n-]2)c1 ZINC000045883386 352427897 /nfs/dbraw/zinc/42/78/97/352427897.db2.gz NRLLCKOCQWGRST-UHFFFAOYSA-N -1 1 317.349 1.710 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1cc(F)ccc1F)Cn1cccn1 ZINC000047413477 352494310 /nfs/dbraw/zinc/49/43/10/352494310.db2.gz UJAWMCRCACYFHQ-JTQLQIEISA-N -1 1 315.345 1.776 20 0 DDADMM COCc1ccccc1[N-]S(=O)(=O)c1ccc(C(N)=O)cc1 ZINC000055595262 352738836 /nfs/dbraw/zinc/73/88/36/352738836.db2.gz FZUJSYAEADOMKW-UHFFFAOYSA-N -1 1 320.370 1.733 20 0 DDADMM CCSc1ccc(C(=O)NCCc2n[n-]c(=S)n2C)cn1 ZINC000067050799 353032115 /nfs/dbraw/zinc/03/21/15/353032115.db2.gz YFDZZYXODFTFNM-UHFFFAOYSA-N -1 1 323.447 1.957 20 0 DDADMM CCn1c(CCNC(=O)c2cccc3n[nH]cc32)n[n-]c1=S ZINC000067077479 353033014 /nfs/dbraw/zinc/03/30/14/353033014.db2.gz GBQORGYGSANYFM-UHFFFAOYSA-N -1 1 316.390 1.809 20 0 DDADMM CCn1c(CNC(=O)c2cc(C)cc(OC)c2O)n[n-]c1=S ZINC000066636751 353009757 /nfs/dbraw/zinc/00/97/57/353009757.db2.gz FHAMHCJQIACBDX-UHFFFAOYSA-N -1 1 322.390 1.913 20 0 DDADMM O=S(=O)([N-]c1ccn(-c2ccncc2)n1)c1cncc(F)c1 ZINC000071092054 353191631 /nfs/dbraw/zinc/19/16/31/353191631.db2.gz PBLXTTZGPCZSET-UHFFFAOYSA-N -1 1 319.321 1.602 20 0 DDADMM Cn1cc(C=CC(=O)NC2(c3nn[n-]n3)CC2)c2ccccc21 ZINC000492011735 234359810 /nfs/dbraw/zinc/35/98/10/234359810.db2.gz UQKHNULAUDYTPU-VOTSOKGWSA-N -1 1 308.345 1.510 20 0 DDADMM CCn1c(CNC(=O)[C@](C)(O)Cc2ccccc2)n[n-]c1=S ZINC000074351751 353307885 /nfs/dbraw/zinc/30/78/85/353307885.db2.gz KPALAJVBWPVMDR-OAHLLOKOSA-N -1 1 320.418 1.570 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1ccco1 ZINC000078796151 353532448 /nfs/dbraw/zinc/53/24/48/353532448.db2.gz OYKOWAHWAUWTGB-UHFFFAOYSA-N -1 1 303.362 1.941 20 0 DDADMM CCN(C(=O)[C@H](C)S(=O)(=O)c1ncn[n-]1)C1CCCCC1 ZINC000086169918 353738785 /nfs/dbraw/zinc/73/87/85/353738785.db2.gz MMQXGJMLONKUEN-JTQLQIEISA-N -1 1 314.411 1.148 20 0 DDADMM CCN(C(=O)[C@H](C)S(=O)(=O)c1nc[n-]n1)C1CCCCC1 ZINC000086169918 353738789 /nfs/dbraw/zinc/73/87/89/353738789.db2.gz MMQXGJMLONKUEN-JTQLQIEISA-N -1 1 314.411 1.148 20 0 DDADMM COc1cc(C(=O)N2CC[C@@H](O)C(F)(F)C2)cc(Cl)c1[O-] ZINC000617815743 363330289 /nfs/dbraw/zinc/33/02/89/363330289.db2.gz FFFKMHLAMVVWSR-SNVBAGLBSA-N -1 1 321.707 1.896 20 0 DDADMM CC(=O)Nc1ccc(CNC(=O)c2c(F)ccc([O-])c2F)cn1 ZINC000584746692 354757887 /nfs/dbraw/zinc/75/78/87/354757887.db2.gz JSUCEYWKCMACMI-UHFFFAOYSA-N -1 1 321.283 1.954 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1ccc(C(C)C)cc1 ZINC000584866137 354785281 /nfs/dbraw/zinc/78/52/81/354785281.db2.gz PJUOVURIEYXSQM-UHFFFAOYSA-N -1 1 309.391 1.997 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc(C(C)C)cc1 ZINC000584866137 354785284 /nfs/dbraw/zinc/78/52/84/354785284.db2.gz PJUOVURIEYXSQM-UHFFFAOYSA-N -1 1 309.391 1.997 20 0 DDADMM C[C@H]1CCCN(C(=O)c2csc(=NC3CC3)[n-]2)[C@H]1CCO ZINC000618017661 363427747 /nfs/dbraw/zinc/42/77/47/363427747.db2.gz BSJRCYRONQBVSJ-GWCFXTLKSA-N -1 1 309.435 1.762 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ncccc2OC)n1 ZINC000590355982 355075734 /nfs/dbraw/zinc/07/57/34/355075734.db2.gz LQRBCUFZFPJBIF-UHFFFAOYSA-N -1 1 304.306 1.171 20 0 DDADMM Cc1nc(C)c(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)s1 ZINC000590960536 355224486 /nfs/dbraw/zinc/22/44/86/355224486.db2.gz KZOCKXCMGDDYKM-NSHDSACASA-N -1 1 314.374 1.792 20 0 DDADMM COC(=O)C[C@@H]1CSCCN1Cc1cccc(C(=O)[O-])c1 ZINC000592423112 355578274 /nfs/dbraw/zinc/57/82/74/355578274.db2.gz LIDLJJQGOBHOEY-CYBMUJFWSA-N -1 1 309.387 1.865 20 0 DDADMM CCN(CCSC)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000592738872 355673056 /nfs/dbraw/zinc/67/30/56/355673056.db2.gz DWWRWJYVMSZDFA-UHFFFAOYSA-N -1 1 322.434 1.806 20 0 DDADMM CSCC1(CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CC1 ZINC000593893936 356046079 /nfs/dbraw/zinc/04/60/79/356046079.db2.gz PFPZZCLHMVXUNO-CYBMUJFWSA-N -1 1 317.418 1.939 20 0 DDADMM O=S(=O)(Cc1ccno1)c1n[n-]c(CCC2CCCC2)n1 ZINC000595031289 356374430 /nfs/dbraw/zinc/37/44/30/356374430.db2.gz BHAKSKCIJWTQLQ-UHFFFAOYSA-N -1 1 310.379 1.889 20 0 DDADMM COc1ccccc1[C@H]1CCN(C(=O)CCc2nn[n-]n2)C1 ZINC000631420578 422753319 /nfs/dbraw/zinc/75/33/19/422753319.db2.gz GIAAFNKYUBDTMU-NSHDSACASA-N -1 1 301.350 1.157 20 0 DDADMM CCOC(=O)c1csc(=N[C@@H]2CCC[C@H]([S@@](C)=O)C2)[n-]1 ZINC000596367326 356865259 /nfs/dbraw/zinc/86/52/59/356865259.db2.gz RUNYSARGEAYVKM-ZJNREGCTSA-N -1 1 316.448 1.843 20 0 DDADMM O=C([O-])CC[C@H]1CCCCN1[C@H]1CCN(CC(F)(F)F)C1=O ZINC000424264279 304063497 /nfs/dbraw/zinc/06/34/97/304063497.db2.gz TXOMNJTUJHQQEC-MNOVXSKESA-N -1 1 322.327 1.869 20 0 DDADMM COC(=O)[C@H]1C[C@H](NC(=O)c2c[n-]c3[nH+]c(C)ccc3c2=O)C1 ZINC000598780344 357726318 /nfs/dbraw/zinc/72/63/18/357726318.db2.gz QQCOPNQHEGSTAA-MGCOHNPYSA-N -1 1 315.329 1.325 20 0 DDADMM COC(=O)[C@H]1C[C@H](NC(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000598780344 357726325 /nfs/dbraw/zinc/72/63/25/357726325.db2.gz QQCOPNQHEGSTAA-MGCOHNPYSA-N -1 1 315.329 1.325 20 0 DDADMM CCS[C@@H](C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1)C(C)C ZINC000598837263 357739849 /nfs/dbraw/zinc/73/98/49/357739849.db2.gz CLUQQMJZWOJGTA-SNVBAGLBSA-N -1 1 309.395 1.442 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H]2CCC[C@@H](C)C2)co1 ZINC000179276817 199009165 /nfs/dbraw/zinc/00/91/65/199009165.db2.gz GVBQMHUXSFXGMK-ZYHUDNBSSA-N -1 1 314.407 1.838 20 0 DDADMM COC(=O)[C@]1([N-]S(=O)(=O)C[C@@H](C)OC)CCCC(C)(C)C1 ZINC000599410971 357925728 /nfs/dbraw/zinc/92/57/28/357925728.db2.gz DRPGVXKRFSNDPA-RISCZKNCSA-N -1 1 321.439 1.453 20 0 DDADMM COC(=O)c1conc1C(C)(C)NC(=O)c1cc(F)ccc1[O-] ZINC000599943256 358086983 /nfs/dbraw/zinc/08/69/83/358086983.db2.gz HVIXSWCOEMLCNL-UHFFFAOYSA-N -1 1 322.292 1.971 20 0 DDADMM CN1CCO[C@H](CNC(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000180465426 199161842 /nfs/dbraw/zinc/16/18/42/199161842.db2.gz KMKGPUXLFIAJRI-SNVBAGLBSA-N -1 1 318.295 1.471 20 0 DDADMM CN(C)C(=O)CCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180409314 199155818 /nfs/dbraw/zinc/15/58/18/199155818.db2.gz YPLYRXJTTZWWNJ-UHFFFAOYSA-N -1 1 304.268 1.619 20 0 DDADMM CC(C)COc1ccc(C(C)(C)C(=O)NCc2nn[n-]n2)cc1 ZINC000600500290 358241874 /nfs/dbraw/zinc/24/18/74/358241874.db2.gz BGRDXURYBPAMFK-UHFFFAOYSA-N -1 1 317.393 1.829 20 0 DDADMM O=C(CCOc1ccccc1-c1ccccc1)NCc1nn[n-]n1 ZINC000600503130 358242975 /nfs/dbraw/zinc/24/29/75/358242975.db2.gz AHMNPXNHWVJCKM-UHFFFAOYSA-N -1 1 323.356 1.952 20 0 DDADMM CCOC(=O)C[C@H](O)CSc1nc(C)c(C2CC2)c(=O)[n-]1 ZINC000601088557 358398988 /nfs/dbraw/zinc/39/89/88/358398988.db2.gz TWFDOGNHOWOUPS-JTQLQIEISA-N -1 1 312.391 1.774 20 0 DDADMM COC[C@@](C)([N-]S(=O)(=O)C1CCC(C)CC1)C(=O)OC ZINC000601369443 358519877 /nfs/dbraw/zinc/51/98/77/358519877.db2.gz NHAJWAWUZDGJQU-GCZXYKMCSA-N -1 1 307.412 1.063 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)CC1(OC)CCC1 ZINC000601452610 358560141 /nfs/dbraw/zinc/56/01/41/358560141.db2.gz VYVUWPWZSRKSKT-NSHDSACASA-N -1 1 321.439 1.453 20 0 DDADMM CS[C@@H](CO)[C@H](C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601378870 358523961 /nfs/dbraw/zinc/52/39/61/358523961.db2.gz QDEPSHPVESBTDL-XPUUQOCRSA-N -1 1 315.363 1.608 20 0 DDADMM COC(=O)Cc1c(C)[nH]n(-c2ccc(C(=O)[O-])cc2F)c1=O ZINC000601947093 358748656 /nfs/dbraw/zinc/74/86/56/358748656.db2.gz IYQOGQSFXAOHKN-SECBINFHSA-N -1 1 308.265 1.426 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(Cl)c2C)n[n-]1 ZINC000603016941 359358900 /nfs/dbraw/zinc/35/89/00/359358900.db2.gz NBDMYGMETLCUEQ-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(Cl)c2C)n1 ZINC000603016941 359358904 /nfs/dbraw/zinc/35/89/04/359358904.db2.gz NBDMYGMETLCUEQ-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(C)c(C)s2)n[n-]1 ZINC000603020153 359361997 /nfs/dbraw/zinc/36/19/97/359361997.db2.gz XVCCYBILUVHIPQ-UHFFFAOYSA-N -1 1 308.363 1.590 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(C)c(C)s2)n1 ZINC000603020153 359362000 /nfs/dbraw/zinc/36/20/00/359362000.db2.gz XVCCYBILUVHIPQ-UHFFFAOYSA-N -1 1 308.363 1.590 20 0 DDADMM CS[C@H](CO)[C@@H](C)NC(=O)N=c1[n-]sc2ccccc21 ZINC000603051910 359378376 /nfs/dbraw/zinc/37/83/76/359378376.db2.gz MWNAQDQTKYAGBQ-LDYMZIIASA-N -1 1 311.432 1.952 20 0 DDADMM C[C@@H](CC[S@@](C)=O)NC(=O)N=c1[n-]sc2ccccc21 ZINC000603052765 359378394 /nfs/dbraw/zinc/37/83/94/359378394.db2.gz VJBGJLYQMQYSDK-GWNMQOMSSA-N -1 1 311.432 1.997 20 0 DDADMM CC(C)(C)OC(=O)C[N-]S(=O)(=O)C[C@@H]1C(C)(C)C1(F)F ZINC000603286273 359543269 /nfs/dbraw/zinc/54/32/69/359543269.db2.gz MUCYFCLTDJKJKU-MRVPVSSYSA-N -1 1 313.366 1.539 20 0 DDADMM CC[C@@H](C)C[C@H]([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2)C(=O)OC ZINC000603365843 359595894 /nfs/dbraw/zinc/59/58/94/359595894.db2.gz HZYUQDKRGQPNER-SJHCENCUSA-N -1 1 319.423 1.204 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc2c(n1)CCCC2 ZINC000337137781 283463105 /nfs/dbraw/zinc/46/31/05/283463105.db2.gz PWVJQDDRHZOKQS-UHFFFAOYSA-N -1 1 313.361 1.026 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)[N-]OC[C@@H]3CCOC3)CC2=O)cc1 ZINC000187859237 200167690 /nfs/dbraw/zinc/16/76/90/200167690.db2.gz XXEDGDLANPESKF-ZIAGYGMSSA-N -1 1 318.373 1.432 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2ncccc2c1 ZINC000605530591 359866697 /nfs/dbraw/zinc/86/66/97/359866697.db2.gz MQFQMDIKAVJWPE-UHFFFAOYSA-N -1 1 308.345 1.947 20 0 DDADMM CSc1n[nH]c(NC(=O)Cn2cc(C)c(C(F)(F)F)n2)n1 ZINC000624924868 366624297 /nfs/dbraw/zinc/62/42/97/366624297.db2.gz OAPNFMCLPXFCPS-UHFFFAOYSA-N -1 1 320.300 1.689 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1cnn(-c2ccccn2)c1 ZINC000606828094 359963527 /nfs/dbraw/zinc/96/35/27/359963527.db2.gz YNSRATDLQBWWFQ-GFCCVEGCSA-N -1 1 308.363 1.188 20 0 DDADMM COc1ccc(-c2ncc(C(=O)NCc3nn[n-]n3)s2)cc1 ZINC000608132709 360128979 /nfs/dbraw/zinc/12/89/79/360128979.db2.gz ZYBRATCAKKHRTG-UHFFFAOYSA-N -1 1 316.346 1.262 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)C[C@H]2CCOC2)o1 ZINC000610739556 360522277 /nfs/dbraw/zinc/52/22/77/360522277.db2.gz CILZWXDIZNOBSY-NXEZZACHSA-N -1 1 317.363 1.160 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2c(c1)CCOC2)c1nn[n-]n1 ZINC000612059434 360904029 /nfs/dbraw/zinc/90/40/29/360904029.db2.gz ARISHRKFEGEVJE-ZDUSSCGKSA-N -1 1 301.350 1.544 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H]3CCCC[C@@]32C)co1 ZINC000629615442 422762624 /nfs/dbraw/zinc/76/26/24/422762624.db2.gz KCJKDOOJFNCHOA-FZMZJTMJSA-N -1 1 312.391 1.592 20 0 DDADMM NC(=O)[C@H]1CCC[C@@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)C1 ZINC000348708599 283629064 /nfs/dbraw/zinc/62/90/64/283629064.db2.gz XTMMADVIKWYBEM-VHSXEESVSA-N -1 1 318.402 1.790 20 0 DDADMM C[C@@H]1CN(C)[C@@H](C)CN1C(=O)c1cccc(-c2nc(=O)o[n-]2)c1 ZINC000613190975 361317079 /nfs/dbraw/zinc/31/70/79/361317079.db2.gz KRILOXBLYDXOJW-WDEREUQCSA-N -1 1 316.361 1.195 20 0 DDADMM COc1cc(C(=O)N(C)Cc2cn(C)nn2)cc(Cl)c1[O-] ZINC000618810815 363764348 /nfs/dbraw/zinc/76/43/48/363764348.db2.gz BZXODANJJJGEQC-UHFFFAOYSA-N -1 1 310.741 1.455 20 0 DDADMM CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)c1ccc([O-])c(F)c1 ZINC000613567484 361470544 /nfs/dbraw/zinc/47/05/44/361470544.db2.gz ARTMHKNZEIBRRI-HNNXBMFYSA-N -1 1 313.325 1.354 20 0 DDADMM Cc1cnc(C(=O)NCCN2C[C@H]3CCCCN3C2=O)c([O-])c1 ZINC000620103282 364285755 /nfs/dbraw/zinc/28/57/55/364285755.db2.gz HMEZSAQLSFJPMR-GFCCVEGCSA-N -1 1 318.377 1.116 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC[C@H](CN2CCCC2=O)C1 ZINC000620253217 364350318 /nfs/dbraw/zinc/35/03/18/364350318.db2.gz HHAUPKAHYFXVNG-LLVKDONJSA-N -1 1 306.337 1.616 20 0 DDADMM CC(C)c1ncc(Cl)c(C(=O)[N-]c2nn3cnnc3s2)n1 ZINC000620964952 364624335 /nfs/dbraw/zinc/62/43/35/364624335.db2.gz MNWCAVQUACXKLI-UHFFFAOYSA-N -1 1 323.769 1.427 20 0 DDADMM Cc1nc(C)c(C(=O)Nc2cc3c(cc2O)n(C)c(=O)n3C)[nH]1 ZINC000622059237 365218368 /nfs/dbraw/zinc/21/83/68/365218368.db2.gz JWVMFZIRDDVZDT-UHFFFAOYSA-N -1 1 315.333 1.175 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](CO)C3CCC3)cnc2n1 ZINC000622135332 365284047 /nfs/dbraw/zinc/28/40/47/365284047.db2.gz AYNRYUXWEUFENQ-ZDUSSCGKSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@@H](CO)C3CCC3)c[n-]c2n1 ZINC000622135332 365284053 /nfs/dbraw/zinc/28/40/53/365284053.db2.gz AYNRYUXWEUFENQ-ZDUSSCGKSA-N -1 1 301.346 1.535 20 0 DDADMM O=C(Cc1ccc(F)cc1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622612555 365452182 /nfs/dbraw/zinc/45/21/82/365452182.db2.gz ZMQBUWRSTQLCCE-OAHLLOKOSA-N -1 1 311.320 1.787 20 0 DDADMM C[C@@H](CCNC(=O)c1csc(=NC2CC2)[n-]1)[S@@](C)=O ZINC000349775260 284048341 /nfs/dbraw/zinc/04/83/41/284048341.db2.gz ILTHIVXUEBUIGI-WPCRTTGESA-N -1 1 301.437 1.026 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC2(CCC2)[C@@H]1C1CC1 ZINC000359269533 299387847 /nfs/dbraw/zinc/38/78/47/299387847.db2.gz JYHAWNIVJDLESW-HNNXBMFYSA-N -1 1 315.373 1.308 20 0 DDADMM COC(=O)CCN(Cc1ccncc1)C(=O)c1ncccc1[O-] ZINC000275087192 212113546 /nfs/dbraw/zinc/11/35/46/212113546.db2.gz AFUXJDPMCPOQSN-UHFFFAOYSA-N -1 1 315.329 1.388 20 0 DDADMM O=S(=O)([N-]c1ccncc1Br)c1ccoc1 ZINC000350125170 284153964 /nfs/dbraw/zinc/15/39/64/284153964.db2.gz DQBZECHUSYKDDX-UHFFFAOYSA-N -1 1 303.137 1.660 20 0 DDADMM NC(=O)NC[C@H]1CCCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000094089993 193344759 /nfs/dbraw/zinc/34/47/59/193344759.db2.gz HTILKJDXOLJCAP-SNVBAGLBSA-N -1 1 311.769 1.709 20 0 DDADMM CCCc1nc(=NC(=O)N2C[C@@H](C)[N@@H+](CC)C[C@@H]2C)s[n-]1 ZINC000350862777 284271807 /nfs/dbraw/zinc/27/18/07/284271807.db2.gz GSAIBFSXWQUNDD-MNOVXSKESA-N -1 1 311.455 1.859 20 0 DDADMM CCCc1nc(=NC(=O)N2C[C@@H](C)N(CC)C[C@@H]2C)s[n-]1 ZINC000350862777 284271810 /nfs/dbraw/zinc/27/18/10/284271810.db2.gz GSAIBFSXWQUNDD-MNOVXSKESA-N -1 1 311.455 1.859 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1O[C@H](C)C[C@H]1C ZINC000282484565 217030335 /nfs/dbraw/zinc/03/03/35/217030335.db2.gz HHJWIVRIYVHMME-KEMUVXCRSA-N -1 1 318.377 1.069 20 0 DDADMM CCOCC(C)(C)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000288395559 220131173 /nfs/dbraw/zinc/13/11/73/220131173.db2.gz MBMDWIKIFPCYGD-UHFFFAOYSA-N -1 1 319.379 1.407 20 0 DDADMM C/C=C/C[C@H](CO)[N-]S(=O)(=O)c1ccc(C(=O)OCC)o1 ZINC000351583555 284393725 /nfs/dbraw/zinc/39/37/25/284393725.db2.gz UCZGAKAVSPPACS-RXNUUUNCSA-N -1 1 317.363 1.062 20 0 DDADMM O=C(c1ccc2c(c1)CCC2)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282999122 217378848 /nfs/dbraw/zinc/37/88/48/217378848.db2.gz VJJMWYVLCWTRFV-CQSZACIVSA-N -1 1 312.373 1.607 20 0 DDADMM CS(=O)(=O)c1ccccc1CC(=O)[N-]O[C@@H]1CCCCO1 ZINC000283284549 217539224 /nfs/dbraw/zinc/53/92/24/217539224.db2.gz FCLQYINWIONZRY-CQSZACIVSA-N -1 1 313.375 1.207 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCOCC(=O)OCC)n1 ZINC000267971074 206368762 /nfs/dbraw/zinc/36/87/62/206368762.db2.gz CFABJNXPOIRZPN-UHFFFAOYSA-N -1 1 300.380 1.807 20 0 DDADMM CC[C@@H](Sc1ccccc1)C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000174576773 248370394 /nfs/dbraw/zinc/37/03/94/248370394.db2.gz WTANMHYAQACVKB-GFCCVEGCSA-N -1 1 320.418 1.718 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(C(=O)N(C)C)c(Cl)c1 ZINC000041109344 183165966 /nfs/dbraw/zinc/16/59/66/183165966.db2.gz KDHOKFCUDQZELG-UHFFFAOYSA-N -1 1 320.798 1.430 20 0 DDADMM CCN(C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1)C1CC1 ZINC000041809690 183221173 /nfs/dbraw/zinc/22/11/73/183221173.db2.gz RKVPDQWWGWRPAL-UHFFFAOYSA-N -1 1 314.407 1.981 20 0 DDADMM COC(=O)[C@@H](C)[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC000042199656 183240871 /nfs/dbraw/zinc/24/08/71/183240871.db2.gz GXDAODGSPBRNCI-ZCFIWIBFSA-N -1 1 312.174 1.833 20 0 DDADMM COc1cncc(S(=O)(=O)Nc2ccc(C(=O)[O-])cc2C)c1 ZINC000337188557 249381463 /nfs/dbraw/zinc/38/14/63/249381463.db2.gz QIHNIOULVBWDNI-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM O=C([O-])C(=O)N1CCC[C@H](c2n[nH]c(C3CCCCC3)n2)C1 ZINC000274067984 211325891 /nfs/dbraw/zinc/32/58/91/211325891.db2.gz BKYXQYLMASAKSD-NSHDSACASA-N -1 1 306.366 1.643 20 0 DDADMM C[C@@H](NC(=O)c1ccc(Cl)cc1[O-])[C@H](C)N1CCOCC1 ZINC000104937985 194054802 /nfs/dbraw/zinc/05/48/02/194054802.db2.gz UZVUEPPYIVTLCV-MNOVXSKESA-N -1 1 312.797 1.885 20 0 DDADMM CN(C)C(=O)CCC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000105619035 194114675 /nfs/dbraw/zinc/11/46/75/194114675.db2.gz NRIFNPFLKZFXPQ-UHFFFAOYSA-N -1 1 324.324 1.251 20 0 DDADMM COC(=O)CCCCC[N-]S(=O)(=O)c1sccc1F ZINC000338852193 250162143 /nfs/dbraw/zinc/16/21/43/250162143.db2.gz REFYQNVWJDFRHW-UHFFFAOYSA-N -1 1 309.384 1.899 20 0 DDADMM CC(C)OC(=O)[C@H](C)C[N-]S(=O)(=O)c1sccc1F ZINC000338928190 250200090 /nfs/dbraw/zinc/20/00/90/250200090.db2.gz VJQPWZXJFQOHPZ-MRVPVSSYSA-N -1 1 309.384 1.753 20 0 DDADMM O=S(=O)([N-][C@H]1CCCn2ncnc21)c1sccc1F ZINC000338927832 250200317 /nfs/dbraw/zinc/20/03/17/250200317.db2.gz ZMNLSBPUIKXSQU-QMMMGPOBSA-N -1 1 302.356 1.292 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)Oc2cccnc2)n1 ZINC000339174304 250328431 /nfs/dbraw/zinc/32/84/31/250328431.db2.gz SXOPAGRQVJDCJH-SECBINFHSA-N -1 1 304.306 1.387 20 0 DDADMM COc1ccc2c(c1)OC[C@H](NC(=O)c1cncc([O-])c1)C2 ZINC000285478303 218461724 /nfs/dbraw/zinc/46/17/24/218461724.db2.gz CTBGCOVHWHFQCN-GFCCVEGCSA-N -1 1 300.314 1.529 20 0 DDADMM O=C(CCc1nc(-c2nc[nH]n2)no1)Nc1ccc(F)cc1[O-] ZINC000340331835 251012453 /nfs/dbraw/zinc/01/24/53/251012453.db2.gz ZATWLSGCHSWESX-UHFFFAOYSA-N -1 1 318.268 1.271 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@@H](C)CCO)c(=O)[n-]1 ZINC000340558436 251113090 /nfs/dbraw/zinc/11/30/90/251113090.db2.gz SIPHPXWLJBLXIN-VIFPVBQESA-N -1 1 313.423 1.280 20 0 DDADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-]c1nccn1-c1ccccc1 ZINC000340633044 251145452 /nfs/dbraw/zinc/14/54/52/251145452.db2.gz GEWSOCJXAMAKLH-LLVKDONJSA-N -1 1 322.390 1.091 20 0 DDADMM CCc1noc(C)c1[N-]S(=O)(=O)N1C[C@@H](C)OC[C@@H]1C ZINC000340947983 251295836 /nfs/dbraw/zinc/29/58/36/251295836.db2.gz HGGXQUKDSWUIRI-DTWKUNHWSA-N -1 1 303.384 1.311 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OCC ZINC000340923000 251280047 /nfs/dbraw/zinc/28/00/47/251280047.db2.gz GWXXUNYNVIQAFS-LVCFMKKZSA-N -1 1 317.329 1.756 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-]c1ccn(-c2ccncc2)n1 ZINC000340949377 251296584 /nfs/dbraw/zinc/29/65/84/251296584.db2.gz ILFLZOZCLCQGKA-UHFFFAOYSA-N -1 1 318.362 1.110 20 0 DDADMM COCC1([N-]S(=O)(=O)c2ccc(Br)o2)CCC1 ZINC000341091442 251375343 /nfs/dbraw/zinc/37/53/43/251375343.db2.gz LLILEIBBJGCPTQ-UHFFFAOYSA-N -1 1 324.196 1.890 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H]1CC1(C)C ZINC000352279638 284919460 /nfs/dbraw/zinc/91/94/60/284919460.db2.gz OTWBWGSFMJYAAV-NSHDSACASA-N -1 1 302.378 1.757 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCCO2)cn1 ZINC000272881632 210271376 /nfs/dbraw/zinc/27/13/76/210271376.db2.gz HBQYNUUMXHEOJV-LLVKDONJSA-N -1 1 314.363 1.179 20 0 DDADMM COc1cncc(S(=O)(=O)[N-][C@H](C2CC2)C(F)(F)F)c1 ZINC000288683284 220347099 /nfs/dbraw/zinc/34/70/99/220347099.db2.gz UQMKKYNBLIJJBG-SNVBAGLBSA-N -1 1 310.297 1.709 20 0 DDADMM CN1CCN(C(=O)[C@@H]2CC[C@H](C(=O)[O-])O2)C2(CCCCC2)C1 ZINC000567987744 304259267 /nfs/dbraw/zinc/25/92/67/304259267.db2.gz KXSGJIFTCLNAFO-QWHCGFSZSA-N -1 1 310.394 1.096 20 0 DDADMM O=C([N-]OCCC(F)(F)F)[C@H]1CC(=O)N(Cc2ccco2)C1 ZINC000155049929 197016546 /nfs/dbraw/zinc/01/65/46/197016546.db2.gz YBGZWGVZSALMMG-VIFPVBQESA-N -1 1 320.267 1.628 20 0 DDADMM CC(C)[C@@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1cccnc1 ZINC000568163663 304269636 /nfs/dbraw/zinc/26/96/36/304269636.db2.gz LQIUXJKKDXIIGL-UONOGXRCSA-N -1 1 314.393 1.741 20 0 DDADMM CNc1ccc(C(=O)N=c2cc(-c3ccc(C)s3)[n-][nH]2)cn1 ZINC000352743948 285269898 /nfs/dbraw/zinc/26/98/98/285269898.db2.gz LGRIHLABCYEDKZ-UHFFFAOYSA-N -1 1 313.386 1.979 20 0 DDADMM Cc1cnc(C(=O)NCCC(C)(C)S(C)(=O)=O)c([O-])c1 ZINC000414007501 224287662 /nfs/dbraw/zinc/28/76/62/224287662.db2.gz QEUAHVWCOBYGCW-UHFFFAOYSA-N -1 1 300.380 1.039 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1cc(O)c(F)cc1F ZINC000414397578 224333241 /nfs/dbraw/zinc/33/32/41/224333241.db2.gz RYLWASDMCOFDKZ-UHFFFAOYSA-N -1 1 317.317 1.822 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)[N-]OCC(C)(C)O)c2=O ZINC000298905785 533311137 /nfs/dbraw/zinc/31/11/37/533311137.db2.gz LJLBXDVSIDMKGP-UHFFFAOYSA-N -1 1 306.318 1.381 20 0 DDADMM COc1cc(C(=O)N2CCn3cnnc3[C@@H]2C)cc(Cl)c1[O-] ZINC000332579315 533527558 /nfs/dbraw/zinc/52/75/58/533527558.db2.gz HPUUORXLGPLJBK-QMMMGPOBSA-N -1 1 322.752 1.863 20 0 DDADMM CO[C@@H]1C[C@@H](c2nncn2C)N(C(=O)c2ccc([O-])cc2F)C1 ZINC000331767504 533546874 /nfs/dbraw/zinc/54/68/74/533546874.db2.gz BJFXABIFMURUMG-MFKMUULPSA-N -1 1 320.324 1.262 20 0 DDADMM COC(=O)[C@H](C)N(C)c1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000360287791 299626528 /nfs/dbraw/zinc/62/65/28/299626528.db2.gz VFMKBVHCMXUCDP-NSHDSACASA-N -1 1 316.361 1.859 20 0 DDADMM CCOCCC1(CNS(=O)(=O)c2c(C)o[n-]c2=N)CCC1 ZINC000568565349 304299356 /nfs/dbraw/zinc/29/93/56/304299356.db2.gz LBOBSCQMHUVFID-UHFFFAOYSA-N -1 1 317.411 1.271 20 0 DDADMM CCOCCC1(C[N-]S(=O)(=O)c2c(C)onc2N)CCC1 ZINC000568565349 304299358 /nfs/dbraw/zinc/29/93/58/304299358.db2.gz LBOBSCQMHUVFID-UHFFFAOYSA-N -1 1 317.411 1.271 20 0 DDADMM O=C(N=c1cc(CC2CC2)[nH][nH]1)c1csc(=NC2CC2)[n-]1 ZINC000636363964 422821853 /nfs/dbraw/zinc/82/18/53/422821853.db2.gz VESIGMZIQQTINU-UHFFFAOYSA-N -1 1 303.391 1.489 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CCCC23CC3)co1 ZINC000629833112 422830812 /nfs/dbraw/zinc/83/08/12/422830812.db2.gz SKKUZVKCOHUWBZ-LLVKDONJSA-N -1 1 312.391 1.498 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000008496966 406788584 /nfs/dbraw/zinc/78/85/84/406788584.db2.gz HXKBPCSQVYPQSC-NSHDSACASA-N -1 1 321.345 1.831 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCCc2ccccc2)c1Cl ZINC000027938270 406914509 /nfs/dbraw/zinc/91/45/09/406914509.db2.gz FQCFBLBPTWZAGQ-UHFFFAOYSA-N -1 1 313.810 1.985 20 0 DDADMM CCn1c(CNC(=O)c2csc(COC)n2)n[n-]c1=S ZINC000078496332 407031387 /nfs/dbraw/zinc/03/13/87/407031387.db2.gz VWODMPMREARJCK-UHFFFAOYSA-N -1 1 313.408 1.493 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)N[C@H](C)c2ccccc2)n[n-]1 ZINC000086170410 407109274 /nfs/dbraw/zinc/10/92/74/407109274.db2.gz FXNZEILBEQUPDH-SNVBAGLBSA-N -1 1 322.390 1.018 20 0 DDADMM CCc1nn(C)c(OC)c1CNC(=O)c1cc(F)ccc1[O-] ZINC000089369611 407131442 /nfs/dbraw/zinc/13/14/42/407131442.db2.gz CBHGCPJZZMFITL-UHFFFAOYSA-N -1 1 307.325 1.766 20 0 DDADMM O=C([O-])c1csc(CNC(=O)c2ccc3[nH]nnc3c2)n1 ZINC000090932704 407172175 /nfs/dbraw/zinc/17/21/75/407172175.db2.gz ZPVMKMZWPHXXPE-UHFFFAOYSA-N -1 1 303.303 1.043 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2nccc3ccccc32)n1 ZINC000101664017 407314622 /nfs/dbraw/zinc/31/46/22/407314622.db2.gz IALFPOOFKJDSMH-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2nccc3ccccc32)[n-]1 ZINC000101664017 407314623 /nfs/dbraw/zinc/31/46/23/407314623.db2.gz IALFPOOFKJDSMH-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM CCS[C@@H](C(=O)NCCc1n[n-]c(=S)n1C)C(C)C ZINC000067051018 407266244 /nfs/dbraw/zinc/26/62/44/407266244.db2.gz OVTNWROCISYSKW-SNVBAGLBSA-N -1 1 302.469 1.914 20 0 DDADMM CCn1c(CCNC(=O)Cc2ccccc2O)n[n-]c1=S ZINC000067119180 407267441 /nfs/dbraw/zinc/26/74/41/407267441.db2.gz HIHWYPOTGKNDPZ-UHFFFAOYSA-N -1 1 306.391 1.568 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC(=O)C(C)(C)C ZINC000122393584 407299895 /nfs/dbraw/zinc/29/98/95/407299895.db2.gz ITOYBKGVQKMHPR-UHFFFAOYSA-N -1 1 307.394 1.721 20 0 DDADMM COC[C@@H](NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O)C1CC1 ZINC000122688912 407308250 /nfs/dbraw/zinc/30/82/50/407308250.db2.gz IUKRSEFDGARFCE-LLVKDONJSA-N -1 1 307.394 1.531 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1ccc(Cl)nc1)c1nn[n-]n1 ZINC000122734161 407309018 /nfs/dbraw/zinc/30/90/18/407309018.db2.gz UWQQWYUSTRUZLY-STUBTGCMSA-N -1 1 306.757 1.919 20 0 DDADMM COc1cc(C(=O)[N-]c2nc(CN3CCOCC3)cs2)on1 ZINC000122778169 407310159 /nfs/dbraw/zinc/31/01/59/407310159.db2.gz AHOLYWHPBBKJHZ-UHFFFAOYSA-N -1 1 324.362 1.224 20 0 DDADMM COCCCOC1CCN(Cc2cc(=O)n3[n-]ccc3n2)CC1 ZINC000122784338 407310624 /nfs/dbraw/zinc/31/06/24/407310624.db2.gz GKSLHUABHURRCQ-UHFFFAOYSA-N -1 1 320.393 1.040 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CCc2ccco2)c1 ZINC000181030237 306697239 /nfs/dbraw/zinc/69/72/39/306697239.db2.gz TZGRDMHYALAOFM-UHFFFAOYSA-N -1 1 310.331 1.204 20 0 DDADMM CC(C)CO[N-]C(=O)[C@@H](c1ccccc1)N1CCC(O)CC1 ZINC000124518819 407360589 /nfs/dbraw/zinc/36/05/89/407360589.db2.gz RDTDHGLWCFGAPF-MRXNPFEDSA-N -1 1 306.406 1.888 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000124585790 407362879 /nfs/dbraw/zinc/36/28/79/407362879.db2.gz WNGZIFYDDLSGSM-CABZTGNLSA-N -1 1 323.784 1.539 20 0 DDADMM Cc1ccc(C(=O)[N-]N2CCCCC2=O)c(Br)n1 ZINC000126115564 407405538 /nfs/dbraw/zinc/40/55/38/407405538.db2.gz NYNKBERSRUUCSV-UHFFFAOYSA-N -1 1 312.167 1.810 20 0 DDADMM CCCCCNC(=O)C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000111566504 407411960 /nfs/dbraw/zinc/41/19/60/407411960.db2.gz GDMNGQNHCUCFEJ-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM O=C(CS(=O)(=O)c1n[n-]c(C2CC2)n1)Nc1ccccc1F ZINC000127168421 407429951 /nfs/dbraw/zinc/42/99/51/407429951.db2.gz XLXZCZDTDDCSHE-UHFFFAOYSA-N -1 1 324.337 1.234 20 0 DDADMM CC(C)[C@H](SCc1ccccc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000266591098 407572648 /nfs/dbraw/zinc/57/26/48/407572648.db2.gz OBZUURDMHIPLGG-ZDUSSCGKSA-N -1 1 321.402 1.527 20 0 DDADMM Cc1cc(-c2noc(CS(=O)(=O)c3ncn[n-]3)n2)ccc1F ZINC000151910983 407524570 /nfs/dbraw/zinc/52/45/70/407524570.db2.gz BZQRATQBEDXSBJ-UHFFFAOYSA-N -1 1 323.309 1.276 20 0 DDADMM Cc1cc(-c2noc(CS(=O)(=O)c3nc[n-]n3)n2)ccc1F ZINC000151910983 407524575 /nfs/dbraw/zinc/52/45/75/407524575.db2.gz BZQRATQBEDXSBJ-UHFFFAOYSA-N -1 1 323.309 1.276 20 0 DDADMM COc1cc(OC)cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000129308816 407600188 /nfs/dbraw/zinc/60/01/88/407600188.db2.gz ASWKUZZSKNBHOZ-SNVBAGLBSA-N -1 1 317.349 1.237 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)F)c1c(Cl)cccc1Cl ZINC000228618403 407650550 /nfs/dbraw/zinc/65/05/50/407650550.db2.gz LXEXYDTWHPPRGB-SSDOTTSWSA-N -1 1 320.144 1.898 20 0 DDADMM CC[C@H]1CCCCCN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000152931968 407719894 /nfs/dbraw/zinc/71/98/94/407719894.db2.gz NTXWJNNABXATNV-LBPRGKRZSA-N -1 1 314.407 1.983 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC(C)(C)C[C@@H](C)O)c1 ZINC000272114343 407780986 /nfs/dbraw/zinc/78/09/86/407780986.db2.gz OERZKSHGHGMYHS-SECBINFHSA-N -1 1 319.379 1.142 20 0 DDADMM CCCCOCCCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179386241 407783270 /nfs/dbraw/zinc/78/32/70/407783270.db2.gz QLHITDAYUSVBBR-UHFFFAOYSA-N -1 1 306.366 1.611 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCCN(Cc2cscn2)CC1 ZINC000171869536 407786972 /nfs/dbraw/zinc/78/69/72/407786972.db2.gz KNEUJSMFRPUHAP-UHFFFAOYSA-N -1 1 318.402 1.592 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)Nc1ccc2c(c1)OCCO2)C(=O)[O-] ZINC000262327495 407800574 /nfs/dbraw/zinc/80/05/74/407800574.db2.gz XIFKKVGBFUUDAR-ZYHUDNBSSA-N -1 1 322.361 1.628 20 0 DDADMM O=C(NCCS(=O)(=O)c1ccccc1)c1c([O-])cccc1F ZINC000133358822 407834840 /nfs/dbraw/zinc/83/48/40/407834840.db2.gz OAVCTULJKIQDDW-UHFFFAOYSA-N -1 1 323.345 1.735 20 0 DDADMM C[C@@H](CO)[C@@H](C)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272253244 407836558 /nfs/dbraw/zinc/83/65/58/407836558.db2.gz TWEPFVOZVYETCL-NKWVEPMBSA-N -1 1 313.206 1.684 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cccs2)c1 ZINC000133942351 407878537 /nfs/dbraw/zinc/87/85/37/407878537.db2.gz PDBAJFBOCGITEA-UHFFFAOYSA-N -1 1 301.345 1.606 20 0 DDADMM CCOc1ccccc1NC(=O)[C@H](C)N1CC[C@H](C(=O)[O-])C1 ZINC000262688252 407902269 /nfs/dbraw/zinc/90/22/69/407902269.db2.gz YRPIYMMIEMGKOA-RYUDHWBXSA-N -1 1 306.362 1.819 20 0 DDADMM CNC(=O)[C@H](C)CN(C)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180666828 407910587 /nfs/dbraw/zinc/91/05/87/407910587.db2.gz KUMKJTGVMGCMNL-MRVPVSSYSA-N -1 1 318.295 1.865 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3nncn3c2)c(C)c1 ZINC000180791797 407918803 /nfs/dbraw/zinc/91/88/03/407918803.db2.gz WKNWKLCNHLOGPU-UHFFFAOYSA-N -1 1 318.358 1.847 20 0 DDADMM C[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1cccc(O)c1 ZINC000182263348 408110225 /nfs/dbraw/zinc/11/02/25/408110225.db2.gz NIQXRFIMOBXNMR-SECBINFHSA-N -1 1 312.329 1.871 20 0 DDADMM O=C(COCc1ccccc1)Nc1nc(SCCO)n[nH]1 ZINC000268608916 408118745 /nfs/dbraw/zinc/11/87/45/408118745.db2.gz VPRNGTKOASPOJH-UHFFFAOYSA-N -1 1 308.363 1.044 20 0 DDADMM C[C@@H]1CN(C(=O)NCCC(=O)[O-])[C@@H](C)CN1Cc1ccccc1 ZINC000263397200 408127784 /nfs/dbraw/zinc/12/77/84/408127784.db2.gz RMSZEGJHJZBFTC-KGLIPLIRSA-N -1 1 319.405 1.766 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCN2C(=O)NC[C@H]2C1 ZINC000175455776 408136716 /nfs/dbraw/zinc/13/67/16/408136716.db2.gz VMBAWTZHJQGGQK-ZDUSSCGKSA-N -1 1 311.341 1.395 20 0 DDADMM NC(=O)[C@H]1CCCCC[C@@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000137601156 408159082 /nfs/dbraw/zinc/15/90/82/408159082.db2.gz KXCAQTYRIDHAQV-QWRGUYRKSA-N -1 1 301.350 1.122 20 0 DDADMM C[C@@H](Cc1cccc(F)c1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155485036 408171611 /nfs/dbraw/zinc/17/16/11/408171611.db2.gz TUTSJEYMWZIABK-AAEUAGOBSA-N -1 1 317.368 1.924 20 0 DDADMM CC1(C)[C@H](C(=O)[O-])[C@@H]1C(=O)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC000263567728 408178424 /nfs/dbraw/zinc/17/84/24/408178424.db2.gz XSORJFVMPISISE-WOPDTQHZSA-N -1 1 314.345 1.367 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@@H]1CO)c1cc(F)c(F)cc1F ZINC000269287972 408255460 /nfs/dbraw/zinc/25/54/60/408255460.db2.gz JFYPGVLIAOFKTG-PELKAZGASA-N -1 1 323.336 1.933 20 0 DDADMM COCCOCCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000263706764 408217765 /nfs/dbraw/zinc/21/77/65/408217765.db2.gz OWGDNMFKQLJMAL-UHFFFAOYSA-N -1 1 309.334 1.296 20 0 DDADMM COC(=O)Nc1cccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000273515705 408229306 /nfs/dbraw/zinc/22/93/06/408229306.db2.gz OKCBRIHVKHEFOP-VIFPVBQESA-N -1 1 318.337 1.254 20 0 DDADMM CCC[C@@H](NC(=O)c1n[nH]c2c1CCCCC2)c1nn[n-]n1 ZINC000190597999 408288002 /nfs/dbraw/zinc/28/80/02/408288002.db2.gz RLILDXDIAFMXOE-LLVKDONJSA-N -1 1 303.370 1.463 20 0 DDADMM COC(=O)[C@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1ccc([O-])cc1F ZINC000190695430 408305505 /nfs/dbraw/zinc/30/55/05/408305505.db2.gz JASORUOQCCZKEE-GLXFQSAKSA-N -1 1 307.321 1.945 20 0 DDADMM Cc1cc(C(=O)Nc2nccs2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000172601182 162286214 /nfs/dbraw/zinc/28/62/14/162286214.db2.gz KOODYLBZINGKPR-UHFFFAOYSA-N -1 1 303.303 1.041 20 0 DDADMM Cc1cc(C(=O)Nc2nccs2)[n-]c2nc(=O)[nH]c(=O)c1-2 ZINC000172601182 162286218 /nfs/dbraw/zinc/28/62/18/162286218.db2.gz KOODYLBZINGKPR-UHFFFAOYSA-N -1 1 303.303 1.041 20 0 DDADMM Cc1ccc2nc(-c3ccc(C(=O)N(C)C)nc3)[n-]c(=O)c2c1 ZINC000172997555 162316440 /nfs/dbraw/zinc/31/64/40/162316440.db2.gz POFDSCRATAGYKQ-UHFFFAOYSA-N -1 1 308.341 1.995 20 0 DDADMM COCCn1cc(S(=O)(=O)[N-]c2cc(C)ccc2F)cn1 ZINC000269633185 408368915 /nfs/dbraw/zinc/36/89/15/408368915.db2.gz PVQGMBCKWHAPKT-UHFFFAOYSA-N -1 1 313.354 1.778 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c2ccccc2n1 ZINC000183398513 408397068 /nfs/dbraw/zinc/39/70/68/408397068.db2.gz VWYRBRXEMZPMGV-JTQLQIEISA-N -1 1 310.361 1.932 20 0 DDADMM CCOc1ccc(C=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183399638 408398451 /nfs/dbraw/zinc/39/84/51/408398451.db2.gz PAGVAJHHAUDFHZ-PMDBQALLSA-N -1 1 315.377 1.874 20 0 DDADMM C[C@H]1CSCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000191298647 408402035 /nfs/dbraw/zinc/40/20/35/408402035.db2.gz GDOVCIRHIRGQMV-SECBINFHSA-N -1 1 306.391 1.499 20 0 DDADMM Cc1nc2ccccc2n1CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183400130 408402884 /nfs/dbraw/zinc/40/28/84/408402884.db2.gz OKGVVAXAAWYEHK-SNVBAGLBSA-N -1 1 313.365 1.120 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(-n2cccc2)cc1)c1nn[n-]n1 ZINC000183410397 408404115 /nfs/dbraw/zinc/40/41/15/408404115.db2.gz XVZSLBTZUPXBLD-LBPRGKRZSA-N -1 1 310.361 1.866 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)Oc1cccc(F)c1)c1nn[n-]n1 ZINC000183450405 408417144 /nfs/dbraw/zinc/41/71/44/408417144.db2.gz JKBYMBIKQXGSEC-NXEZZACHSA-N -1 1 307.329 1.368 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CS[C@H]2CCO[C@H]2C)cc1 ZINC000269924280 408470153 /nfs/dbraw/zinc/47/01/53/408470153.db2.gz BFEOZMQDPOSILV-GWCFXTLKSA-N -1 1 309.387 1.862 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2noc(C)n2)c(=O)[n-]1 ZINC000264562366 408536844 /nfs/dbraw/zinc/53/68/44/408536844.db2.gz CUCWTDDVOQNNRL-UHFFFAOYSA-N -1 1 324.362 1.580 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC3(CO)CCCC3)cnc2n1 ZINC000184504182 408629195 /nfs/dbraw/zinc/62/91/95/408629195.db2.gz GZCJSHMMSDUAQO-UHFFFAOYSA-N -1 1 315.373 1.926 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCC3(CO)CCCC3)c[n-]c2n1 ZINC000184504182 408629200 /nfs/dbraw/zinc/62/92/00/408629200.db2.gz GZCJSHMMSDUAQO-UHFFFAOYSA-N -1 1 315.373 1.926 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(CC(C)C)C2CC2)co1 ZINC000162785727 408735210 /nfs/dbraw/zinc/73/52/10/408735210.db2.gz KHVGYFXBLVLHBY-UHFFFAOYSA-N -1 1 300.380 1.448 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@H](C)N(C)CC(F)(F)F)n[nH]1 ZINC000193765289 408742036 /nfs/dbraw/zinc/74/20/36/408742036.db2.gz ZMTCASGVVJWPDO-ZETCQYMHSA-N -1 1 322.287 1.408 20 0 DDADMM C[C@H]1C[C@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)CN1C1CC1 ZINC000185105023 408757082 /nfs/dbraw/zinc/75/70/82/408757082.db2.gz FIAYLKMDQZGOHB-JQWIXIFHSA-N -1 1 324.402 1.288 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1CC(C)(c2ccccc2)C1 ZINC000290834815 408841371 /nfs/dbraw/zinc/84/13/71/408841371.db2.gz UYBMQFANNPLDGQ-UHFFFAOYSA-N -1 1 321.402 1.964 20 0 DDADMM C[C@H](CN(C)C(=O)c1cncc(C(F)(F)F)c1)c1nn[n-]n1 ZINC000291617836 408895190 /nfs/dbraw/zinc/89/51/90/408895190.db2.gz GROCEFBQCPXLEK-SSDOTTSWSA-N -1 1 314.271 1.489 20 0 DDADMM CCN(C)C(=O)[C@@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000188262861 163047340 /nfs/dbraw/zinc/04/73/40/163047340.db2.gz OWCXNJSUTQOJFB-SNVBAGLBSA-N -1 1 316.361 1.240 20 0 DDADMM CCN(C)C(=O)[C@@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000188262861 163047344 /nfs/dbraw/zinc/04/73/44/163047344.db2.gz OWCXNJSUTQOJFB-SNVBAGLBSA-N -1 1 316.361 1.240 20 0 DDADMM CCOC(=O)c1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000291765656 408920362 /nfs/dbraw/zinc/92/03/62/408920362.db2.gz VWTOLZMFXHADCD-JTQLQIEISA-N -1 1 317.349 1.252 20 0 DDADMM Cn1nnnc1-c1cccc(NC(=O)c2ccc(O)cc2[O-])c1 ZINC000192777570 163237562 /nfs/dbraw/zinc/23/75/62/163237562.db2.gz MXRLVJVVKWKSEU-UHFFFAOYSA-N -1 1 311.301 1.541 20 0 DDADMM CCC[C@@H](NC(=O)c1csc(C(F)(F)F)n1)c1nn[n-]n1 ZINC000193471903 163256770 /nfs/dbraw/zinc/25/67/70/163256770.db2.gz RJRNWXOTHKFHLK-RXMQYKEDSA-N -1 1 320.300 1.946 20 0 DDADMM CCC[C@@H](CCO)CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000227108173 163337524 /nfs/dbraw/zinc/33/75/24/163337524.db2.gz MQHVWEAOGZIAJM-JTQLQIEISA-N -1 1 313.423 1.329 20 0 DDADMM COCCCNC(=O)Cc1noc(-c2cc(F)ccc2[O-])n1 ZINC000277836444 409020985 /nfs/dbraw/zinc/02/09/85/409020985.db2.gz IIYFSFIVMOIXIP-UHFFFAOYSA-N -1 1 309.297 1.277 20 0 DDADMM COCCN1CC[C@@H](NC(=O)c2cc(F)c([O-])c(Cl)c2)C1 ZINC000278027139 409053093 /nfs/dbraw/zinc/05/30/93/409053093.db2.gz QSGLGQKONXXVEG-SNVBAGLBSA-N -1 1 316.760 1.635 20 0 DDADMM CN(C(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C1CCC(CO)CC1 ZINC000287837958 409102270 /nfs/dbraw/zinc/10/22/70/409102270.db2.gz ZYOLQCOYXZZJSP-RGEXLXHISA-N -1 1 317.393 1.357 20 0 DDADMM CC(C)(C)C[C@@H](O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283188574 409106928 /nfs/dbraw/zinc/10/69/28/409106928.db2.gz VTSCDSWJLYZTOA-SNVBAGLBSA-N -1 1 308.407 1.545 20 0 DDADMM C[C@H]1OCC[C@@]12CN(C(=O)c1cc(F)ccc1[O-])C[C@@H](C)O2 ZINC000279319425 409191860 /nfs/dbraw/zinc/19/18/60/409191860.db2.gz MUSKEMRLSNZUDO-GLKRBJQHSA-N -1 1 309.337 1.940 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H](C(F)(F)F)O1 ZINC000293685182 409161311 /nfs/dbraw/zinc/16/13/11/409161311.db2.gz JPTKLJWUHHICIX-VIFPVBQESA-N -1 1 304.268 1.969 20 0 DDADMM O=C(Nc1nnn[n-]1)C1(Cc2ccc(F)cc2)CCOCC1 ZINC000293736447 409171372 /nfs/dbraw/zinc/17/13/72/409171372.db2.gz OUKQSAWSOCFELR-UHFFFAOYSA-N -1 1 305.313 1.317 20 0 DDADMM O=C(Nc1nn[n-]n1)C1(Cc2ccc(F)cc2)CCOCC1 ZINC000293736447 409171375 /nfs/dbraw/zinc/17/13/75/409171375.db2.gz OUKQSAWSOCFELR-UHFFFAOYSA-N -1 1 305.313 1.317 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCC3(O)CCCCCC3)ccnc1-2 ZINC000279445858 409216925 /nfs/dbraw/zinc/21/69/25/409216925.db2.gz VIEPCZSWWQOZAY-MOSHPQCFSA-N -1 1 317.393 1.549 20 0 DDADMM C[C@H](CN(C)C(=O)c1nc2cccnc2s1)c1nn[n-]n1 ZINC000283797517 409218713 /nfs/dbraw/zinc/21/87/13/409218713.db2.gz PYRAMKBTTSEIEZ-SSDOTTSWSA-N -1 1 303.351 1.080 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc(C(=O)OC)cc1)c1nn[n-]n1 ZINC000295064786 409312842 /nfs/dbraw/zinc/31/28/42/409312842.db2.gz VMAFMWWXWUFJPM-LBPRGKRZSA-N -1 1 317.349 1.186 20 0 DDADMM CCc1nnc(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)[nH]1 ZINC000295515287 409326164 /nfs/dbraw/zinc/32/61/64/409326164.db2.gz HYWPUUUABGVPID-UHFFFAOYSA-N -1 1 318.381 1.399 20 0 DDADMM CC(C)OC(=O)[C@@H](C)N(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295184392 409363295 /nfs/dbraw/zinc/36/32/95/409363295.db2.gz UBKOEHZQHPVJBB-SECBINFHSA-N -1 1 322.390 1.430 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2oc(C)nc2c1)c1nn[n-]n1 ZINC000280530753 409344800 /nfs/dbraw/zinc/34/48/00/409344800.db2.gz YNFKEWZZBCLOQN-JTQLQIEISA-N -1 1 300.322 1.921 20 0 DDADMM COC(=O)c1cnc(S[C@H](C)C(=O)Nc2nccs2)[n-]1 ZINC000295297547 409419568 /nfs/dbraw/zinc/41/95/68/409419568.db2.gz JUQFDAOVHHXBLJ-ZCFIWIBFSA-N -1 1 312.376 1.772 20 0 DDADMM COC(=O)c1c[n-]c(S[C@H](C)C(=O)Nc2nccs2)n1 ZINC000295297547 409419579 /nfs/dbraw/zinc/41/95/79/409419579.db2.gz JUQFDAOVHHXBLJ-ZCFIWIBFSA-N -1 1 312.376 1.772 20 0 DDADMM O=C(CC[C@H]1CCCCO1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000408000050 164194342 /nfs/dbraw/zinc/19/43/42/164194342.db2.gz XHTSBXSYLHCVRI-NWDGAFQWSA-N -1 1 309.366 1.831 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccccn1)c1[nH]nc2c1CCCCC2 ZINC000408478515 164344773 /nfs/dbraw/zinc/34/47/73/164344773.db2.gz JRKRGDBRYNUHNL-UHFFFAOYSA-N -1 1 320.374 1.192 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCCc1cccc(F)c1 ZINC000337950326 409592650 /nfs/dbraw/zinc/59/26/50/409592650.db2.gz QOCAETOESNOXBG-UHFFFAOYSA-N -1 1 317.320 1.015 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1c(F)cccc1F ZINC000342408519 409604983 /nfs/dbraw/zinc/60/49/83/409604983.db2.gz NWCYYOUGXCSAGQ-UHFFFAOYSA-N -1 1 309.272 1.549 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC=C(c2ccc(F)cc2)CC1 ZINC000635155834 422847410 /nfs/dbraw/zinc/84/74/10/422847410.db2.gz CQMXMSIFHVGQRV-UHFFFAOYSA-N -1 1 315.352 1.977 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc([C@@H](C)C(C)(C)C)no1 ZINC000356865792 409672384 /nfs/dbraw/zinc/67/23/84/409672384.db2.gz XRQRTKZWOOIVBZ-SNVBAGLBSA-N -1 1 319.427 1.675 20 0 DDADMM CCNc1ccc(Cl)cc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000356926142 409725310 /nfs/dbraw/zinc/72/53/10/409725310.db2.gz CNIGQLBQSAYRER-UHFFFAOYSA-N -1 1 306.757 1.704 20 0 DDADMM O=C(NCCCc1n[nH]c(=O)[n-]1)c1n[nH]c2ccc(Cl)cc21 ZINC000354334930 409775122 /nfs/dbraw/zinc/77/51/22/409775122.db2.gz FLONQFRFOYRAED-UHFFFAOYSA-N -1 1 320.740 1.403 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)Cc1cc(C)cc(C)c1 ZINC000349504000 409854510 /nfs/dbraw/zinc/85/45/10/409854510.db2.gz SKISAZNTYIHXIU-UHFFFAOYSA-N -1 1 309.391 1.833 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2C[C@@H](OC)C2(C)C)c1 ZINC000338227686 409819342 /nfs/dbraw/zinc/81/93/42/409819342.db2.gz VBHRKBUJHKIOIK-VHSXEESVSA-N -1 1 317.363 1.158 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCOC1 ZINC000354508708 409905965 /nfs/dbraw/zinc/90/59/65/409905965.db2.gz MQMVLFPSQNYSGR-GFCCVEGCSA-N -1 1 309.309 1.809 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cnn(CCC(C)C)c1C ZINC000357166989 409915858 /nfs/dbraw/zinc/91/58/58/409915858.db2.gz GKGXAXFAIFVPSO-UHFFFAOYSA-N -1 1 317.411 1.542 20 0 DDADMM CC(C)(CO)O[N-]C(=O)[C@@H]1CCCCN1Cc1ccccc1 ZINC000297227551 409865929 /nfs/dbraw/zinc/86/59/29/409865929.db2.gz OEHZQASTFKNNCC-HNNXBMFYSA-N -1 1 306.406 1.860 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(CC(=O)Nc2cccc(C)c2)C1 ZINC000319240277 409889212 /nfs/dbraw/zinc/88/92/12/409889212.db2.gz XHDRLFUAXHWPPY-INIZCTEOSA-N -1 1 306.362 1.357 20 0 DDADMM Cc1cccc(OCC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332111465 409893956 /nfs/dbraw/zinc/89/39/56/409893956.db2.gz GZZJMENAJFLUHW-GFCCVEGCSA-N -1 1 317.345 1.869 20 0 DDADMM NC(=O)[C@H]1CCCCC[C@@H]1N=c1nc(C(F)(F)F)[n-]s1 ZINC000342775167 409896075 /nfs/dbraw/zinc/89/60/75/409896075.db2.gz DFHIGDDEEZQHCA-BQBZGAKWSA-N -1 1 308.329 1.825 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(Cc2ccn(C3CCCC3)n2)C1 ZINC000319574939 409898021 /nfs/dbraw/zinc/89/80/21/409898021.db2.gz UEAUOYMEZIMATB-MRXNPFEDSA-N -1 1 307.394 1.921 20 0 DDADMM COCCOCCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000354498965 409898858 /nfs/dbraw/zinc/89/88/58/409898858.db2.gz KHHISLXNJSOAKI-UHFFFAOYSA-N -1 1 311.382 1.181 20 0 DDADMM Cc1ccc(C(=O)Nc2nnn[n-]2)cc1NC(=O)c1ccco1 ZINC000342856850 409953608 /nfs/dbraw/zinc/95/36/08/409953608.db2.gz IWAVRTLKHMOLEZ-UHFFFAOYSA-N -1 1 312.289 1.606 20 0 DDADMM Cc1ccc(C(=O)Nc2nn[n-]n2)cc1NC(=O)c1ccco1 ZINC000342856850 409953609 /nfs/dbraw/zinc/95/36/09/409953609.db2.gz IWAVRTLKHMOLEZ-UHFFFAOYSA-N -1 1 312.289 1.606 20 0 DDADMM O=C(N[C@@H]1CNC(=O)C1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338402817 409961274 /nfs/dbraw/zinc/96/12/74/409961274.db2.gz XXZKXNOREYYYJP-QMMMGPOBSA-N -1 1 305.721 1.212 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3ccnc(C)c3)no2)co1 ZINC000350570147 409962175 /nfs/dbraw/zinc/96/21/75/409962175.db2.gz VXFPPROYQBZBCF-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM Cc1cccc2c(CCC(=O)NC(C)(C)c3nn[n-]n3)c[nH]c21 ZINC000354747371 410056875 /nfs/dbraw/zinc/05/68/75/410056875.db2.gz AMEVJAZFCIYWFY-UHFFFAOYSA-N -1 1 312.377 1.974 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2cc3c(s2)CCC3)C1=O ZINC000298210800 410136061 /nfs/dbraw/zinc/13/60/61/410136061.db2.gz KYBBAPHZGSJCGH-CQSZACIVSA-N -1 1 307.375 1.602 20 0 DDADMM CC[C@@H]1CN(CCN=c2[n-]c(C(F)(F)F)ns2)CCO1 ZINC000343094286 410137025 /nfs/dbraw/zinc/13/70/25/410137025.db2.gz JKQRJNVZSWZKAK-MRVPVSSYSA-N -1 1 310.345 1.502 20 0 DDADMM O=C(CSc1nc([O-])cc(=O)n1C1CCCC1)N1CCC1 ZINC000298297333 410170790 /nfs/dbraw/zinc/17/07/90/410170790.db2.gz IVKPOGIEBODLGU-UHFFFAOYSA-N -1 1 309.391 1.388 20 0 DDADMM O=C(CSc1nc(=O)cc([O-])n1C1CCCC1)N1CCC1 ZINC000298297333 410170794 /nfs/dbraw/zinc/17/07/94/410170794.db2.gz IVKPOGIEBODLGU-UHFFFAOYSA-N -1 1 309.391 1.388 20 0 DDADMM O=C(N=c1cc(-c2ccc(Cl)s2)[n-][nH]1)C(=O)NCC1CC1 ZINC000351941807 410353364 /nfs/dbraw/zinc/35/33/64/410353364.db2.gz WWQQKXUSGFHSBX-UHFFFAOYSA-N -1 1 324.793 1.678 20 0 DDADMM CCC[C@H](NC(=O)[C@H](OCC)c1ccccc1)c1nn[n-]n1 ZINC000298562687 410290289 /nfs/dbraw/zinc/29/02/89/410290289.db2.gz JOCVHCOPXFVGLA-QWHCGFSZSA-N -1 1 303.366 1.935 20 0 DDADMM [O-]C(Nc1nc(-c2cccnc2)ns1)=C1Cc2ccccc2O1 ZINC000339598299 410317883 /nfs/dbraw/zinc/31/78/83/410317883.db2.gz KHXDOELHWYHAOD-ZDUSSCGKSA-N -1 1 324.365 1.964 20 0 DDADMM Cc1nnc([C@H]2CCCN(C(=O)c3ncc(C)cc3[O-])C2)[nH]1 ZINC000329604716 410382866 /nfs/dbraw/zinc/38/28/66/410382866.db2.gz LWFHMDQDKVKGHN-NSHDSACASA-N -1 1 301.350 1.542 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](C)C2(CCC2)C1 ZINC000333142304 410384969 /nfs/dbraw/zinc/38/49/69/410384969.db2.gz JODNPKPWAFQHSV-VIFPVBQESA-N -1 1 307.350 1.549 20 0 DDADMM CN(CCC1CCOCC1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358398300 410462430 /nfs/dbraw/zinc/46/24/30/410462430.db2.gz MLOKHHCNZCKILK-UHFFFAOYSA-N -1 1 318.377 1.563 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2C[C@@]23CCOC3)c1Br ZINC000329728173 410425442 /nfs/dbraw/zinc/42/54/42/410425442.db2.gz HEEMIOHTCKYWPG-JMCQJSRRSA-N -1 1 314.183 1.522 20 0 DDADMM CCOC(=O)c1scnc1/C=C/c1cc(=O)n2[n-]cnc2n1 ZINC000352034882 410436281 /nfs/dbraw/zinc/43/62/81/410436281.db2.gz JCCGCBQULXMWKQ-ONEGZZNKSA-N -1 1 317.330 1.221 20 0 DDADMM CCC[N@H+](Cc1nc(=O)n(C)[n-]1)[C@@H]1CCc2ccccc2C1 ZINC000347390852 410516120 /nfs/dbraw/zinc/51/61/20/410516120.db2.gz IGUXFRSIJQIVFL-OAHLLOKOSA-N -1 1 300.406 1.878 20 0 DDADMM C[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCCCC1 ZINC000343663850 410603217 /nfs/dbraw/zinc/60/32/17/410603217.db2.gz ZVQDEDROVOSULC-LLVKDONJSA-N -1 1 305.378 1.602 20 0 DDADMM O=C(Nc1ccc2c(c1)S(=O)(=O)CC2)c1cncc([O-])c1 ZINC000339916766 410553074 /nfs/dbraw/zinc/55/30/74/410553074.db2.gz XWOPDGNVLPRREG-UHFFFAOYSA-N -1 1 304.327 1.369 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@@H]1Cc3ccccc3O1)c2=O ZINC000355706121 410649361 /nfs/dbraw/zinc/64/93/61/410649361.db2.gz SNCBBAFLJXELOL-NSHDSACASA-N -1 1 324.340 1.407 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCC[C@@H](C)CO)o1 ZINC000340072103 410656217 /nfs/dbraw/zinc/65/62/17/410656217.db2.gz XUSOCIMYLXYYEP-SNVBAGLBSA-N -1 1 319.379 1.143 20 0 DDADMM COc1ccccc1[C@@H](CC(C)C)NCc1nc(=O)n(C)[n-]1 ZINC000347659604 410657740 /nfs/dbraw/zinc/65/77/40/410657740.db2.gz XWRKVZLWNBQYAP-CYBMUJFWSA-N -1 1 304.394 1.994 20 0 DDADMM C[C@@H](NC(=O)c1c(F)ccc([O-])c1F)C(=O)N1CCCCC1 ZINC000347800584 410726671 /nfs/dbraw/zinc/72/66/71/410726671.db2.gz SMMWZWLRPFOUCC-SECBINFHSA-N -1 1 312.316 1.801 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCc2ccc(O)cc2C1 ZINC000337369692 410741016 /nfs/dbraw/zinc/74/10/16/410741016.db2.gz SGPGDBHBKCMDBC-UHFFFAOYSA-N -1 1 321.336 1.827 20 0 DDADMM Cc1nc(-c2ccc(NCC(=O)NC3CC3)nc2)[n-]c(=O)c1C ZINC000301747706 410787463 /nfs/dbraw/zinc/78/74/63/410787463.db2.gz ZLYVYGDMDVCWNZ-UHFFFAOYSA-N -1 1 313.361 1.552 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@@H]2C(F)F)c1 ZINC000359677339 410807850 /nfs/dbraw/zinc/80/78/50/410807850.db2.gz GOCPQHXCYGCPOA-YUMQZZPRSA-N -1 1 320.317 1.140 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccccc2)c1 ZINC000359679899 410813198 /nfs/dbraw/zinc/81/31/98/410813198.db2.gz MPDJZKVWJUWKFP-UHFFFAOYSA-N -1 1 306.343 1.553 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@@H](CC2CC2)C1 ZINC000359716411 410831839 /nfs/dbraw/zinc/83/18/39/410831839.db2.gz LQLJRTWWZGRAOE-LBPRGKRZSA-N -1 1 303.362 1.166 20 0 DDADMM Cc1ccc(C)c(OCCC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000359781147 410875278 /nfs/dbraw/zinc/87/52/78/410875278.db2.gz DNSHPNWGEJVXQU-UHFFFAOYSA-N -1 1 303.366 1.637 20 0 DDADMM CC(C)(NC(=O)CCCOCCc1ccccc1)c1nn[n-]n1 ZINC000359786389 410878720 /nfs/dbraw/zinc/87/87/20/410878720.db2.gz LGJLYVCCXXORMG-UHFFFAOYSA-N -1 1 317.393 1.591 20 0 DDADMM CCC(=O)Nc1cccc(C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000359805435 410892203 /nfs/dbraw/zinc/89/22/03/410892203.db2.gz YCHSOQAPRGHHQT-UHFFFAOYSA-N -1 1 302.338 1.213 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)C[C@@H]2CCCO2)o1 ZINC000341147718 410895826 /nfs/dbraw/zinc/89/58/26/410895826.db2.gz SPDPKFXDLHCQDN-ZJUUUORDSA-N -1 1 317.363 1.302 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2cnc(N(C)C)nc2)c1 ZINC000337614988 410898265 /nfs/dbraw/zinc/89/82/65/410898265.db2.gz ZJFMECQPSXDFSR-UHFFFAOYSA-N -1 1 315.333 1.459 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(Cl)cc2cccnc21 ZINC000348289553 410900276 /nfs/dbraw/zinc/90/02/76/410900276.db2.gz CEPUEMPWXMDGOQ-UHFFFAOYSA-N -1 1 314.736 1.820 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@@H]1c1cc(F)ccc1F ZINC000348297022 410904459 /nfs/dbraw/zinc/90/44/59/410904459.db2.gz IYTBASYROCFFNK-SCZZXKLOSA-N -1 1 305.288 1.387 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@@H]1c1c(F)cccc1F ZINC000348297401 410905508 /nfs/dbraw/zinc/90/55/08/410905508.db2.gz KUHGLRNENGEMFJ-YUMQZZPRSA-N -1 1 305.288 1.387 20 0 DDADMM CCOC(=O)c1ccc(NC(=O)C(=O)c2cnn(C)c2)c([O-])c1 ZINC000356292012 410947341 /nfs/dbraw/zinc/94/73/41/410947341.db2.gz IRABKIIUNCACIN-UHFFFAOYSA-N -1 1 317.301 1.124 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1ccccc1Cl)C(C)C ZINC000341862881 411071667 /nfs/dbraw/zinc/07/16/67/411071667.db2.gz CALFLXSUQIJLLV-GFCCVEGCSA-N -1 1 319.810 1.957 20 0 DDADMM COC(=O)C[C@H]1CSCCN1C(=O)c1ncc(C)cc1[O-] ZINC000331215292 411022887 /nfs/dbraw/zinc/02/28/87/411022887.db2.gz VDXLZFPLEQFPLU-JTQLQIEISA-N -1 1 310.375 1.216 20 0 DDADMM CCC[C@H](NC(=O)c1cc2c(cc1OC)OCO2)c1nn[n-]n1 ZINC000353840096 411134696 /nfs/dbraw/zinc/13/46/96/411134696.db2.gz DOLOILSZOMHSGB-VIFPVBQESA-N -1 1 319.321 1.208 20 0 DDADMM O=C(C[C@H]1CCCN1C(=O)CCc1nn[n-]n1)c1cccs1 ZINC000631656280 422869391 /nfs/dbraw/zinc/86/93/91/422869391.db2.gz PHGWBPBPWJYBQY-SNVBAGLBSA-N -1 1 319.390 1.458 20 0 DDADMM CO[N-]C(=O)[C@@H]1CC(=O)N(C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000129574729 196059118 /nfs/dbraw/zinc/05/91/18/196059118.db2.gz CEBNIPZJNMUCRW-PRHODGIISA-N -1 1 300.717 1.676 20 0 DDADMM CCCc1nc(=NC(=O)NC[C@@H]2NC(C)(C)O[C@@H]2C)s[n-]1 ZINC000580169542 422896258 /nfs/dbraw/zinc/89/62/58/422896258.db2.gz HEZLNOIBOLMQHH-BDAKNGLRSA-N -1 1 313.427 1.147 20 0 DDADMM CCN(C[C@H]1CCCO1)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631787786 422922556 /nfs/dbraw/zinc/92/25/56/422922556.db2.gz YHCMEXDVTDJUCC-GFCCVEGCSA-N -1 1 315.391 1.590 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](c2nnc(C3CC3)[nH]2)C1 ZINC000132243868 196238658 /nfs/dbraw/zinc/23/86/58/196238658.db2.gz VBEKIWXYSQFMMJ-LLVKDONJSA-N -1 1 313.361 1.803 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@H]1O)c1c(F)cccc1Cl ZINC000132897106 196294575 /nfs/dbraw/zinc/29/45/75/196294575.db2.gz SXSQBJFHPZSWNM-LDYMZIIASA-N -1 1 307.774 1.918 20 0 DDADMM CCc1noc(C)c1[N-]C(=O)c1nc2nc(C)cc(C)n2n1 ZINC000133166887 196317982 /nfs/dbraw/zinc/31/79/82/196317982.db2.gz QCPAQZLTHYWLFG-UHFFFAOYSA-N -1 1 300.322 1.852 20 0 DDADMM CCCCOc1ccc(C(=O)N=c2ncn(CC(=O)[O-])[nH]2)cc1 ZINC000647782701 423046608 /nfs/dbraw/zinc/04/66/08/423046608.db2.gz YOQKXCNORVQANH-UHFFFAOYSA-N -1 1 318.333 1.216 20 0 DDADMM COc1ccc(C[N-]S(=O)(=O)c2c(F)cccc2F)nc1 ZINC000443940637 533680452 /nfs/dbraw/zinc/68/04/52/533680452.db2.gz ZNBJHYGHIUBMKH-UHFFFAOYSA-N -1 1 314.313 1.847 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)[N-]c2cc(OC(F)F)n(C)n2)C1 ZINC000488356735 416559771 /nfs/dbraw/zinc/55/97/71/416559771.db2.gz NJLBJLVHQQHPPC-ZETCQYMHSA-N -1 1 310.326 1.020 20 0 DDADMM Cc1cc(NC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)cs1 ZINC000640640976 423108236 /nfs/dbraw/zinc/10/82/36/423108236.db2.gz AUNBPUHCAZKYHR-UHFFFAOYSA-N -1 1 324.435 1.675 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3ccc(C)o3)no2)co1 ZINC000350800217 306757106 /nfs/dbraw/zinc/75/71/06/306757106.db2.gz OTLRIAOILOOTRB-UHFFFAOYSA-N -1 1 309.303 1.806 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC[C@@H](C)CCO ZINC000295880255 225340670 /nfs/dbraw/zinc/34/06/70/225340670.db2.gz GJNHCENTCQAJFY-SNVBAGLBSA-N -1 1 309.410 1.927 20 0 DDADMM COc1ccc(CC(=O)NCc2cc(=O)[n-]c(SC)n2)nc1 ZINC000640654868 423115373 /nfs/dbraw/zinc/11/53/73/423115373.db2.gz CITCOTRCWHQXHO-UHFFFAOYSA-N -1 1 320.374 1.167 20 0 DDADMM CSc1nc(CNC(=O)c2cnn(C)c2C2CC2)cc(=O)[n-]1 ZINC000640658080 423117644 /nfs/dbraw/zinc/11/76/44/423117644.db2.gz KLAJRXGJLQZYGY-UHFFFAOYSA-N -1 1 319.390 1.445 20 0 DDADMM C[C@@H]1C[C@]2(CCO1)CN(C(=O)c1cncc([O-])c1)C[C@H](C)O2 ZINC000373834009 418464299 /nfs/dbraw/zinc/46/42/99/418464299.db2.gz AAIGGGXQUZDVBP-BFQNTYOBSA-N -1 1 306.362 1.586 20 0 DDADMM CCOCCC1(C[N-]S(=O)(=O)c2c(C)onc2N)CC1 ZINC000290838203 221958732 /nfs/dbraw/zinc/95/87/32/221958732.db2.gz VFTCHTFSJQDRQZ-UHFFFAOYSA-N -1 1 303.384 1.050 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCC(F)(F)F)s1 ZINC000360849174 418532602 /nfs/dbraw/zinc/53/26/02/418532602.db2.gz XTYRLCCDMXCEPD-UHFFFAOYSA-N -1 1 317.310 1.765 20 0 DDADMM CCO[C@@H]1C[C@@](O)(CNC(=O)c2cc(F)ccc2[O-])C1(C)C ZINC000191802481 222110328 /nfs/dbraw/zinc/11/03/28/222110328.db2.gz BMGONUIEFJIYTN-CZUORRHYSA-N -1 1 311.353 1.827 20 0 DDADMM C[C@H]1COCC[C@@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645681153 423140468 /nfs/dbraw/zinc/14/04/68/423140468.db2.gz KEXFQMNJAKEFQE-WPRPVWTQSA-N -1 1 324.324 1.804 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)c1 ZINC000368109843 418691004 /nfs/dbraw/zinc/69/10/04/418691004.db2.gz CGKOUHOLOXTIKS-COPLHBTASA-N -1 1 305.330 1.932 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC2(C1)CCC(C)CC2 ZINC000368136648 418694586 /nfs/dbraw/zinc/69/45/86/418694586.db2.gz VZPVZECZJUIZLU-UHFFFAOYSA-N -1 1 313.423 1.986 20 0 DDADMM Cc1cc(OCC(=O)Nc2nn[nH]c2C(N)=O)ccc1C(C)C ZINC000410856785 418852333 /nfs/dbraw/zinc/85/23/33/418852333.db2.gz GTNQLBLVMABPHI-UHFFFAOYSA-N -1 1 317.349 1.353 20 0 DDADMM Cc1nc([C@H]2CCCCN2CCC[N-]C(=O)C(F)(F)F)no1 ZINC000373138636 418929417 /nfs/dbraw/zinc/92/94/17/418929417.db2.gz LDAIKSQINHLAMV-SNVBAGLBSA-N -1 1 320.315 1.974 20 0 DDADMM Cc1cnc(S[C@@H](C)c2nc(-c3nc[nH]n3)no2)[n-]c1=O ZINC000571940497 304497266 /nfs/dbraw/zinc/49/72/66/304497266.db2.gz VXMUSOHAFGTZMV-LURJTMIESA-N -1 1 305.323 1.512 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@H]2CCN(C3CC3)C2)c1 ZINC000424627297 228296846 /nfs/dbraw/zinc/29/68/46/228296846.db2.gz VNJQSHXTCRNWHE-YTEVENLXSA-N -1 1 322.430 1.156 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)OC(C)(C)C)CN1Cc1nc(=O)n(C)[n-]1 ZINC000420930763 419361749 /nfs/dbraw/zinc/36/17/49/419361749.db2.gz SJNKHJUVAQTIJC-GHMZBOCLSA-N -1 1 310.398 1.051 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(C)C ZINC000425142128 228372295 /nfs/dbraw/zinc/37/22/95/228372295.db2.gz IGZPQOBTSUJPSU-NSHDSACASA-N -1 1 321.345 1.749 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CCC[C@H]2O)c(F)c1 ZINC000425183139 228383313 /nfs/dbraw/zinc/38/33/13/228383313.db2.gz FUDSHRVKJJDAQZ-JOYOIKCWSA-N -1 1 305.346 1.713 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cncc(C(=O)OC)c1)OC ZINC000421240522 419533976 /nfs/dbraw/zinc/53/39/76/419533976.db2.gz WGYIASOZUCEFTI-LLVKDONJSA-N -1 1 302.352 1.035 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cnn(Cc2ccncc2)c1)OC ZINC000421155782 419506861 /nfs/dbraw/zinc/50/68/61/419506861.db2.gz OPVILPQKZYRYMX-AWEZNQCLSA-N -1 1 324.406 1.493 20 0 DDADMM CC(=O)c1ccsc1[N-]S(=O)(=O)N1C[C@@H](C)OC[C@H]1C ZINC000427308400 419635580 /nfs/dbraw/zinc/63/55/80/419635580.db2.gz NGTNYJGHTAIQPG-RKDXNWHRSA-N -1 1 318.420 1.717 20 0 DDADMM Cc1nnc(CS(=O)(=O)c2nc(-c3ccc(F)cc3)c[n-]2)[nH]1 ZINC000427062382 419590278 /nfs/dbraw/zinc/59/02/78/419590278.db2.gz QYTCITBRDNJAJQ-UHFFFAOYSA-N -1 1 321.337 1.616 20 0 DDADMM C[C@H]1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1(F)F ZINC000427672412 419711814 /nfs/dbraw/zinc/71/18/14/419711814.db2.gz MPZKFDDTLOZCIZ-QMMMGPOBSA-N -1 1 310.304 1.791 20 0 DDADMM CO[C@@](C)([C@@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000414417023 419815773 /nfs/dbraw/zinc/81/57/73/419815773.db2.gz XOASNMDMPCCTQL-QGHHPUGFSA-N -1 1 315.373 1.857 20 0 DDADMM CC[C@@H]1C[C@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000421971891 419791062 /nfs/dbraw/zinc/79/10/62/419791062.db2.gz SBIVENTXXHYIQJ-NXEZZACHSA-N -1 1 304.350 1.361 20 0 DDADMM C[C@H](O)CCC[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000433361637 229195244 /nfs/dbraw/zinc/19/52/44/229195244.db2.gz CXBJXNIWZNFMAP-ZETCQYMHSA-N -1 1 312.185 1.481 20 0 DDADMM CCOC(=O)c1coc(=NC[C@@H]2CN(CC(C)C)CCO2)[n-]1 ZINC000418836531 419995009 /nfs/dbraw/zinc/99/50/09/419995009.db2.gz UVJSUGTWEIMEHX-GFCCVEGCSA-N -1 1 311.382 1.042 20 0 DDADMM O=C(Cc1coc2cc3c(cc12)CCC3)NC1(c2nn[n-]n2)CC1 ZINC000430330204 420108908 /nfs/dbraw/zinc/10/89/08/420108908.db2.gz KTNLRHABLHDISK-UHFFFAOYSA-N -1 1 323.356 1.783 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2scnc2C)[n-]1 ZINC000415635413 420131458 /nfs/dbraw/zinc/13/14/58/420131458.db2.gz ZJGCQMISDDVJPY-UHFFFAOYSA-N -1 1 308.363 1.923 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2scnc2C)n1 ZINC000415635413 420131463 /nfs/dbraw/zinc/13/14/63/420131463.db2.gz ZJGCQMISDDVJPY-UHFFFAOYSA-N -1 1 308.363 1.923 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(C)(C)c2cnn(C)c2)n1 ZINC000415645259 420134245 /nfs/dbraw/zinc/13/42/45/420134245.db2.gz HRFOAUWKVFCXTO-UHFFFAOYSA-N -1 1 305.338 1.236 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](CO)CC(F)F)cnc2n1 ZINC000430683463 420178183 /nfs/dbraw/zinc/17/81/83/420178183.db2.gz KBJXUULMMSCXHB-QMMMGPOBSA-N -1 1 311.288 1.390 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H](CO)CC(F)F)c[n-]c2n1 ZINC000430683463 420178188 /nfs/dbraw/zinc/17/81/88/420178188.db2.gz KBJXUULMMSCXHB-QMMMGPOBSA-N -1 1 311.288 1.390 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](C)c1ccccc1 ZINC000416122863 420249104 /nfs/dbraw/zinc/24/91/04/420249104.db2.gz KITAWBDZQOSVOX-VIFPVBQESA-N -1 1 303.318 1.430 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)Nc2c(C)nn(C)c2C)n1 ZINC000436203168 420316340 /nfs/dbraw/zinc/31/63/40/420316340.db2.gz IIWDHMSKQVVZOL-UHFFFAOYSA-N -1 1 321.406 1.826 20 0 DDADMM CC(C)C[C@@H](CNC(=O)N[C@H]1CCCc2cn[nH]c21)C(=O)[O-] ZINC000424411606 420317285 /nfs/dbraw/zinc/31/72/85/420317285.db2.gz OSIQVSVLKYAQQF-RYUDHWBXSA-N -1 1 308.382 1.833 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc(C(N)=O)o2)c1 ZINC000436501917 420334163 /nfs/dbraw/zinc/33/41/63/420334163.db2.gz BGQVIYGRECZPPD-UHFFFAOYSA-N -1 1 304.258 1.123 20 0 DDADMM COc1ccc(C(=O)Nc2cc(C(=O)N(C)C)ccn2)c([O-])c1 ZINC000436516767 420334754 /nfs/dbraw/zinc/33/47/54/420334754.db2.gz VQBHRQLUNMEUMP-UHFFFAOYSA-N -1 1 315.329 1.750 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)C[C@H](CC(C)C)OC ZINC000420654577 420343731 /nfs/dbraw/zinc/34/37/31/420343731.db2.gz NPUOPZCDWSOFIC-QWHCGFSZSA-N -1 1 323.455 1.555 20 0 DDADMM CC[C@H](C)[C@@H]([N-]S(=O)(=O)C[C@H](CC(C)C)OC)C(=O)OC ZINC000420661383 420346712 /nfs/dbraw/zinc/34/67/12/420346712.db2.gz ZXKXPLKTPYHKPG-RWMBFGLXSA-N -1 1 323.455 1.555 20 0 DDADMM O=S(=O)([N-]CC(F)F)c1cc(F)ccc1OC(F)F ZINC000420681075 420354118 /nfs/dbraw/zinc/35/41/18/420354118.db2.gz YQUORGRZDWQWHF-UHFFFAOYSA-N -1 1 305.224 1.971 20 0 DDADMM O=C(N[C@H](CO)[C@@H]1CCCO1)c1ccc(C(F)(F)F)cc1[O-] ZINC000436809251 420370740 /nfs/dbraw/zinc/37/07/40/420370740.db2.gz SBBILAHAGNMVED-PWSUYJOCSA-N -1 1 319.279 1.681 20 0 DDADMM O=C(CNC(=O)c1c([O-])cccc1F)N1Cc2ccccc2C1 ZINC000436897060 420381418 /nfs/dbraw/zinc/38/14/18/420381418.db2.gz QFPUMXLQWHFPOO-UHFFFAOYSA-N -1 1 314.316 1.804 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCOCC2)C1)C(=O)c1ccc([O-])cc1 ZINC000436967566 420387088 /nfs/dbraw/zinc/38/70/88/420387088.db2.gz TUEHJMLIWRLHRF-CYBMUJFWSA-N -1 1 319.357 1.419 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2C[C@@]2(C)C(C)C)c1 ZINC000416549114 420415097 /nfs/dbraw/zinc/41/50/97/420415097.db2.gz OEEZHMGWIBBJGM-GWCFXTLKSA-N -1 1 301.364 1.779 20 0 DDADMM CC(C)(O)CC(=O)Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1 ZINC000450701962 420511254 /nfs/dbraw/zinc/51/12/54/420511254.db2.gz XZDMHKUGYHLZCL-UHFFFAOYSA-N -1 1 310.741 1.930 20 0 DDADMM CC(C)(O)CC(=O)Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1 ZINC000450701962 420511260 /nfs/dbraw/zinc/51/12/60/420511260.db2.gz XZDMHKUGYHLZCL-UHFFFAOYSA-N -1 1 310.741 1.930 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc(O)cc2[O-])cn1C ZINC000439055705 420477611 /nfs/dbraw/zinc/47/76/11/420477611.db2.gz HTYBCTGVNFBBHQ-UHFFFAOYSA-N -1 1 304.302 1.865 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)c2c(C)[n-]c(=O)nc2SC)C1(C)C ZINC000440016481 420549506 /nfs/dbraw/zinc/54/95/06/420549506.db2.gz UOODMWNYMLFOOF-RKDXNWHRSA-N -1 1 311.407 1.756 20 0 DDADMM COCC1(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCCC1 ZINC000456858582 420555691 /nfs/dbraw/zinc/55/56/91/420555691.db2.gz NQJFSCRVJCEBHN-UHFFFAOYSA-N -1 1 318.377 1.611 20 0 DDADMM O=S(=O)([N-]CCN1CCOCC1)c1sccc1Cl ZINC000450961936 420570401 /nfs/dbraw/zinc/57/04/01/420570401.db2.gz BKQWOKDIKFXXIF-UHFFFAOYSA-N -1 1 310.828 1.012 20 0 DDADMM CC[C@@](C)(CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)OC ZINC000452722629 420651366 /nfs/dbraw/zinc/65/13/66/420651366.db2.gz HVXCFUGPVXHSQA-INIZCTEOSA-N -1 1 320.393 1.526 20 0 DDADMM CC[C@@H](CN=c1ccc(C(N)=O)n[n-]1)Oc1cccc(Cl)c1 ZINC000441812458 420662836 /nfs/dbraw/zinc/66/28/36/420662836.db2.gz JDJXOVPDXUTPDV-NSHDSACASA-N -1 1 320.780 1.920 20 0 DDADMM CCO/C=C/C(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000493856525 420958655 /nfs/dbraw/zinc/95/86/55/420958655.db2.gz ZWTNXQAAWXWSMC-BREXMAIKSA-N -1 1 308.300 1.454 20 0 DDADMM COc1cc(F)cc(CN2CCN(c3nc(=N)[n-]s3)CC2)c1 ZINC000449048930 420942322 /nfs/dbraw/zinc/94/23/22/420942322.db2.gz QUVHINDDIJSVMB-UHFFFAOYSA-N -1 1 323.397 1.421 20 0 DDADMM C[C@H]1CCCC[C@H]1CCN=c1ccc(C(=O)NCCO)n[n-]1 ZINC000488430156 421089568 /nfs/dbraw/zinc/08/95/68/421089568.db2.gz JUDVVEOYJOXGEU-STQMWFEESA-N -1 1 306.410 1.249 20 0 DDADMM Cc1cccc2c1O[C@H](C(=O)Nc1nc(SCCO)n[nH]1)C2 ZINC000450123741 421144898 /nfs/dbraw/zinc/14/48/98/421144898.db2.gz AFYXGGUYFXPILW-JTQLQIEISA-N -1 1 320.374 1.140 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(-c2cnn(C)c2)o1)c1nn[n-]n1 ZINC000450123317 421145230 /nfs/dbraw/zinc/14/52/30/421145230.db2.gz WOBQJOPTHGZQDA-JTQLQIEISA-N -1 1 315.337 1.464 20 0 DDADMM Cn1ncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1C(F)F ZINC000525235469 421281604 /nfs/dbraw/zinc/28/16/04/421281604.db2.gz DMSAIGFZXJVHRO-UHFFFAOYSA-N -1 1 310.186 1.747 20 0 DDADMM CC(C)(C)[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C(F)(F)F ZINC000546089350 421284486 /nfs/dbraw/zinc/28/44/86/421284486.db2.gz XZMACMWALINLQM-VIFPVBQESA-N -1 1 317.271 1.124 20 0 DDADMM O=S(=O)([N-]c1cnc[nH]1)c1ccccc1Br ZINC000562450291 421368973 /nfs/dbraw/zinc/36/89/73/421368973.db2.gz QESVHXQCNCGRIY-UHFFFAOYSA-N -1 1 302.153 1.973 20 0 DDADMM C[C@@H]1C(=O)CC[C@H](C(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1(C)C ZINC000548378156 421429918 /nfs/dbraw/zinc/42/99/18/421429918.db2.gz NXLTVPDTQATLRK-GRYCIOLGSA-N -1 1 319.409 1.547 20 0 DDADMM Cc1cc(=O)[nH]c2cc(C(=O)NC3(c4nn[n-]n4)CC3)ccc12 ZINC000514694036 421447349 /nfs/dbraw/zinc/44/73/49/421447349.db2.gz VOILEUWRGUFYPS-UHFFFAOYSA-N -1 1 310.317 1.181 20 0 DDADMM Cc1cnc(C(=O)N2CCC(OC[C@@H]3CCOC3)CC2)c([O-])c1 ZINC000547980526 421384917 /nfs/dbraw/zinc/38/49/17/421384917.db2.gz RYNZUOIUOZRKDQ-CYBMUJFWSA-N -1 1 320.389 1.753 20 0 DDADMM COC[C@@H]1CN(c2c(C(=O)[O-])cnc3ccccc32)CCO1 ZINC000563205887 421471622 /nfs/dbraw/zinc/47/16/22/421471622.db2.gz WMZMEUIGJXQDMW-NSHDSACASA-N -1 1 302.330 1.785 20 0 DDADMM O=C([C@@H]1CC[C@@H](C(F)(F)F)C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000552121282 421574272 /nfs/dbraw/zinc/57/42/72/421574272.db2.gz ZLGYZHINKCAXBH-OPRDCNLKSA-N -1 1 317.315 1.884 20 0 DDADMM O=C(C(=O)N1CCC[C@@H]1c1ccc(=O)[nH]n1)c1ccc([O-])cc1 ZINC000530081414 421576884 /nfs/dbraw/zinc/57/68/84/421576884.db2.gz ZAWKZSDXWNQWGL-CYBMUJFWSA-N -1 1 313.313 1.434 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-][C@@H]2CCC[C@H](F)C2)s1 ZINC000518524567 421657476 /nfs/dbraw/zinc/65/74/76/421657476.db2.gz GKRXOUAVZQFAQU-DTWKUNHWSA-N -1 1 321.399 1.661 20 0 DDADMM CO[C@H](C)c1nc(=NCCC2CCS(=O)(=O)CC2)s[n-]1 ZINC000554686155 421658757 /nfs/dbraw/zinc/65/87/57/421658757.db2.gz IFUMBZZLEANBTF-SECBINFHSA-N -1 1 319.452 1.294 20 0 DDADMM Cc1ccc(F)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1F ZINC000537124143 421728260 /nfs/dbraw/zinc/72/82/60/421728260.db2.gz BPHMBQAXJRMVDE-VIFPVBQESA-N -1 1 307.304 1.806 20 0 DDADMM COc1cccc(S(=O)(=O)CCN(CC(=O)[O-])C(C)C)c1 ZINC000570933920 421677532 /nfs/dbraw/zinc/67/75/32/421677532.db2.gz RETWRPCWMJNNSU-UHFFFAOYSA-N -1 1 315.391 1.264 20 0 DDADMM C[C@]1(c2ccccc2)CCN(Cc2cn(CC(=O)[O-])nn2)C1 ZINC000571811379 421745957 /nfs/dbraw/zinc/74/59/57/421745957.db2.gz UJICTCYYBRUCQV-INIZCTEOSA-N -1 1 300.362 1.526 20 0 DDADMM CC1=CC[N@@H+](CCNC(=O)c2cnc3cc[nH]cc-3c2=O)CC1 ZINC000520361952 421747219 /nfs/dbraw/zinc/74/72/19/421747219.db2.gz GNSDORMFMBJCDF-UHFFFAOYSA-N -1 1 312.373 1.305 20 0 DDADMM O=S(=O)([N-]c1cnc[nH]1)c1ccc(OCC(F)(F)F)nc1 ZINC000558599770 421814995 /nfs/dbraw/zinc/81/49/95/421814995.db2.gz SCMIUYMWKQDDNE-UHFFFAOYSA-N -1 1 322.268 1.547 20 0 DDADMM CN(C[C@H]1COc2ccccc2O1)[C@@H](C(=O)[O-])c1cccnc1 ZINC000520823782 421764549 /nfs/dbraw/zinc/76/45/49/421764549.db2.gz MXYNYUSICHYWAG-XJKSGUPXSA-N -1 1 314.341 1.979 20 0 DDADMM C[C@H](CN(C)C(=O)COc1ccsc1Cl)c1nn[n-]n1 ZINC000557399134 421769797 /nfs/dbraw/zinc/76/97/97/421769797.db2.gz IEZRNWWDCBOQHA-SSDOTTSWSA-N -1 1 315.786 1.556 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000572474098 421792166 /nfs/dbraw/zinc/79/21/66/421792166.db2.gz MQRSWLLAWPFIPU-ZJUUUORDSA-N -1 1 303.318 1.995 20 0 DDADMM COc1cc(CN(CC(=O)[O-])Cc2n[nH]c(C)n2)cc(OC)c1 ZINC000572489958 421794654 /nfs/dbraw/zinc/79/46/54/421794654.db2.gz KUAGWJRIXGWCFQ-UHFFFAOYSA-N -1 1 320.349 1.217 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)N=c2ncn(C(C)(C)C)[n-]2)n[nH]1 ZINC000541504328 421795301 /nfs/dbraw/zinc/79/53/01/421795301.db2.gz MCJJVOBRWIXNTG-SECBINFHSA-N -1 1 320.401 1.579 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H]3C[C@@H](C)[C@H](C(=O)[O-])O3)[nH]c2c1 ZINC000630119493 421900542 /nfs/dbraw/zinc/90/05/42/421900542.db2.gz VLYRWZYJHNWVBU-GAJTVXKRSA-N -1 1 317.345 1.366 20 0 DDADMM Cn1[n-]c(CN(Cc2cccc(F)c2)C[C@H]2CCCO2)nc1=O ZINC000543707054 421839735 /nfs/dbraw/zinc/83/97/35/421839735.db2.gz SSFMROSJHIEOFB-CQSZACIVSA-N -1 1 320.368 1.429 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1cc(C(=O)[O-])c(C)cc1C ZINC000580908224 421861381 /nfs/dbraw/zinc/86/13/81/421861381.db2.gz VMMYBPHSKCIIKD-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(Cc2cnc3ccc(Cl)cn23)C1 ZINC000635317568 421905508 /nfs/dbraw/zinc/90/55/08/421905508.db2.gz ILCRJWFBTLEMTJ-HNNXBMFYSA-N -1 1 323.780 1.911 20 0 DDADMM CC(C)CN1CCO[C@@H](CN=c2[n-]c(C3CCOCC3)no2)C1 ZINC000581177719 421914274 /nfs/dbraw/zinc/91/42/74/421914274.db2.gz JVLHHMGHZWULPW-AWEZNQCLSA-N -1 1 324.425 1.154 20 0 DDADMM C[C@]1(Cc2ccc(F)cc2)CCCN1C(=O)CCc1nn[n-]n1 ZINC000633457758 421915715 /nfs/dbraw/zinc/91/57/15/421915715.db2.gz REWSKWFFBABPGX-MRXNPFEDSA-N -1 1 317.368 1.895 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CCc3ccccc32)CC1 ZINC000630223879 421973484 /nfs/dbraw/zinc/97/34/84/421973484.db2.gz MFLGASUCBXKCHS-XJKSGUPXSA-N -1 1 316.401 1.724 20 0 DDADMM COC(=O)c1cc(Cl)cc(S(=O)(=O)[N-]c2cnc[nH]2)c1 ZINC000573261010 421941903 /nfs/dbraw/zinc/94/19/03/421941903.db2.gz YOHHTHGYWSQOQJ-UHFFFAOYSA-N -1 1 315.738 1.651 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1Cc2ccccc2C[C@H]1C(=O)[O-] ZINC000630127314 421903813 /nfs/dbraw/zinc/90/38/13/421903813.db2.gz RCDSHOZJLMYYIG-ABAIWWIYSA-N -1 1 313.357 1.626 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H](c1ccccc1)[C@@H]1CCCO1 ZINC000635485901 422033678 /nfs/dbraw/zinc/03/36/78/422033678.db2.gz HEWXHFPEQAXBTP-BBRMVZONSA-N -1 1 315.377 1.559 20 0 DDADMM CC(C)(C)n1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)cn1 ZINC000630225239 421975376 /nfs/dbraw/zinc/97/53/76/421975376.db2.gz XWVBVAMZPRWSMQ-UHFFFAOYSA-N -1 1 322.409 1.259 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000630224167 421976342 /nfs/dbraw/zinc/97/63/42/421976342.db2.gz MMISSXKMLNLONX-LLVKDONJSA-N -1 1 320.345 1.036 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NCc1ccc(CC(=O)[O-])cc1 ZINC000630232717 421980699 /nfs/dbraw/zinc/98/06/99/421980699.db2.gz MXQIFELNFRJJIN-NSHDSACASA-N -1 1 301.346 1.532 20 0 DDADMM C[C@@H]1CSc2ccccc2N(C(=O)CCc2nn[n-]n2)C1 ZINC000633604384 421995077 /nfs/dbraw/zinc/99/50/77/421995077.db2.gz ZPEZCMMQZMUGCX-JTQLQIEISA-N -1 1 303.391 1.907 20 0 DDADMM CC(C)(C)O[C@H]1C[C@H](NC(=O)CCc2nn[n-]n2)C12CCC2 ZINC000633637918 422018706 /nfs/dbraw/zinc/01/87/06/422018706.db2.gz GDAMYNJLWPMDEU-QWRGUYRKSA-N -1 1 307.398 1.375 20 0 DDADMM COCCN(CCOC)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630409091 422082342 /nfs/dbraw/zinc/08/23/42/422082342.db2.gz CAQGFAWHDWUUMR-UHFFFAOYSA-N -1 1 301.770 1.710 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)c2cc(O)cc(F)c2)C[C@H]1C(=O)[O-] ZINC000630444366 422107189 /nfs/dbraw/zinc/10/71/89/422107189.db2.gz DVWGAWHOPSJROH-PRHODGIISA-N -1 1 317.338 1.263 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@H]([C@@H](C)O)C2)c1 ZINC000632129346 422107343 /nfs/dbraw/zinc/10/73/43/422107343.db2.gz UKEHTJVZELDCJI-MNOVXSKESA-N -1 1 315.391 1.182 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@H]2C[C@H](C)O)c1 ZINC000632135825 422110553 /nfs/dbraw/zinc/11/05/53/422110553.db2.gz HEWVGAJBJMFTDH-QWRGUYRKSA-N -1 1 315.391 1.325 20 0 DDADMM CO[C@@H](CNC(=O)CCCc1nn[n-]n1)c1ccc(Cl)cc1 ZINC000635609386 422158794 /nfs/dbraw/zinc/15/87/94/422158794.db2.gz WWZNTLMLQPRSDR-LBPRGKRZSA-N -1 1 323.784 1.680 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)C[C@@H]2CCC[C@H]2O)c1 ZINC000632143733 422118514 /nfs/dbraw/zinc/11/85/14/422118514.db2.gz YZPTZPHIJMJFIC-CMPLNLGQSA-N -1 1 315.391 1.182 20 0 DDADMM CNc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1F ZINC000635578025 422131463 /nfs/dbraw/zinc/13/14/63/422131463.db2.gz QKFKVDPKROQKTJ-UHFFFAOYSA-N -1 1 304.329 1.580 20 0 DDADMM CC[C@H](CNC(=O)CCCc1nn[n-]n1)Oc1ccccc1F ZINC000635653223 422208568 /nfs/dbraw/zinc/20/85/68/422208568.db2.gz WNIXAJUGWRRWRF-LLVKDONJSA-N -1 1 321.356 1.635 20 0 DDADMM CCOc1ccc([C@H]2CCCN2C(=O)CCc2nn[n-]n2)cc1 ZINC000630597609 422209872 /nfs/dbraw/zinc/20/98/72/422209872.db2.gz VTTVYJSYCXFDMN-CQSZACIVSA-N -1 1 315.377 1.895 20 0 DDADMM C[C@@H]1OCC[C@]1(O)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632288681 422224624 /nfs/dbraw/zinc/22/46/24/422224624.db2.gz LCEFYHGFEXKRHJ-CPCISQLKSA-N -1 1 311.306 1.035 20 0 DDADMM CCCCO[C@H]1C[C@@H](NC(=O)CCCc2nn[n-]n2)C1(C)C ZINC000635670824 422227196 /nfs/dbraw/zinc/22/71/96/422227196.db2.gz XGMMBNAAZXPNQK-NEPJUHHUSA-N -1 1 309.414 1.622 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2CC23CCOCC3)sn1 ZINC000632244813 422191161 /nfs/dbraw/zinc/19/11/61/422191161.db2.gz NUZWCTOUFSAPQS-JTQLQIEISA-N -1 1 302.421 1.547 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C2CC2)C(C)(C)CO)sn1 ZINC000632347376 422266663 /nfs/dbraw/zinc/26/66/63/422266663.db2.gz MMKHNNBLJLMRGE-NSHDSACASA-N -1 1 304.437 1.527 20 0 DDADMM CCN([C@H]1CCOC1)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632352791 422270338 /nfs/dbraw/zinc/27/03/38/422270338.db2.gz MHTLHNIFAQKEBQ-JTQLQIEISA-N -1 1 301.364 1.200 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCc2[nH]c3ccc(F)cc3c2C1 ZINC000630702459 422278108 /nfs/dbraw/zinc/27/81/08/422278108.db2.gz CJXHJWRPHHMLOL-UHFFFAOYSA-N -1 1 314.324 1.338 20 0 DDADMM FC(F)(F)c1cc(NCc2nn[n-]n2)nc(-c2cccnc2)n1 ZINC000584906940 422303783 /nfs/dbraw/zinc/30/37/83/422303783.db2.gz MQKMQHGBNCCVFK-UHFFFAOYSA-N -1 1 322.254 1.105 20 0 DDADMM COc1ccc2c(c1)CC[C@H](NC(=O)CCCc1nn[n-]n1)C2 ZINC000635790940 422346663 /nfs/dbraw/zinc/34/66/63/422346663.db2.gz BTNDHHHFVGCLCM-ZDUSSCGKSA-N -1 1 315.377 1.205 20 0 DDADMM CC(C)C[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)n1ccnc1 ZINC000635794756 422351918 /nfs/dbraw/zinc/35/19/18/422351918.db2.gz DAGQNJZSTZQIIW-RYUDHWBXSA-N -1 1 305.386 1.246 20 0 DDADMM NC(=O)[C@H]1C[C@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000628775965 422321903 /nfs/dbraw/zinc/32/19/03/422321903.db2.gz JDLYSRQUFROYFP-ZKCHVHJHSA-N -1 1 302.252 1.405 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2C[C@H](C)n3ccnc32)c1 ZINC000632417266 422327194 /nfs/dbraw/zinc/32/71/94/422327194.db2.gz FPQBOVBVPQRAKA-GXSJLCMTSA-N -1 1 323.374 1.582 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H](C)CC(F)F)c1 ZINC000632497378 422393057 /nfs/dbraw/zinc/39/30/57/422393057.db2.gz GAQPTCJVHQTPKT-MRVPVSSYSA-N -1 1 309.334 1.970 20 0 DDADMM CC(C)C[C@@H]1C(=O)NCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632681989 422519139 /nfs/dbraw/zinc/51/91/39/422519139.db2.gz IBNYPNVBWRFPHB-CYBMUJFWSA-N -1 1 324.808 1.961 20 0 DDADMM Cc1ccccc1[C@@H](N)C(=O)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000578010971 422476799 /nfs/dbraw/zinc/47/67/99/422476799.db2.gz JMPRCRUNPMNKBJ-DGCLKSJQSA-N -1 1 315.377 1.225 20 0 DDADMM Cc1ccc(F)c(CN(C)CCc2cn(CC(=O)[O-])nn2)c1 ZINC000578019200 422479314 /nfs/dbraw/zinc/47/93/14/422479314.db2.gz NMZFFKGHHDWHGY-UHFFFAOYSA-N -1 1 306.341 1.485 20 0 DDADMM CC1(C(N)=O)CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000578072962 422490219 /nfs/dbraw/zinc/49/02/19/422490219.db2.gz VAUSLZDZUIOEPC-UHFFFAOYSA-N -1 1 302.252 1.358 20 0 DDADMM COC[C@H](C)n1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634611052 422503736 /nfs/dbraw/zinc/50/37/36/422503736.db2.gz MJMDBJZPONMVNB-DZGCQCFKSA-N -1 1 301.390 1.742 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000635984680 422539082 /nfs/dbraw/zinc/53/90/82/422539082.db2.gz UGJNDMPQONKTFJ-XQQFMLRXSA-N -1 1 307.398 1.065 20 0 DDADMM C[C@H](CN1Cc2ccccc2C[C@H]1C(=O)[O-])CS(C)(=O)=O ZINC000578329842 422548205 /nfs/dbraw/zinc/54/82/05/422548205.db2.gz PKHQHWUGUPMREO-RISCZKNCSA-N -1 1 311.403 1.179 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)c1nc(C(F)(F)F)cs1 ZINC000632787567 422577607 /nfs/dbraw/zinc/57/76/07/422577607.db2.gz UFYRUZDQTGNHON-RXMQYKEDSA-N -1 1 320.300 1.485 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CC[C@H](c2cccc(F)c2)C1 ZINC000632818702 422600725 /nfs/dbraw/zinc/60/07/25/422600725.db2.gz VFCRCWYHDTUTQK-WCQYABFASA-N -1 1 303.341 1.724 20 0 DDADMM O=C(CNC(=O)C1CC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629374611 422635827 /nfs/dbraw/zinc/63/58/27/422635827.db2.gz TXCAHXRIWWFJFU-UHFFFAOYSA-N -1 1 300.318 1.043 20 0 DDADMM CCOCCO[C@@H](C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629374237 422636526 /nfs/dbraw/zinc/63/65/26/422636526.db2.gz QEXFJKSFENWTSA-LBPRGKRZSA-N -1 1 319.361 1.958 20 0 DDADMM Cn1cc(CCNC(=O)CCCc2nn[n-]n2)c2ccccc21 ZINC000636201844 422710561 /nfs/dbraw/zinc/71/05/61/422710561.db2.gz MOKPVYPHICYUMF-UHFFFAOYSA-N -1 1 312.377 1.373 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H]3[C@@H](C(=O)[O-])C3(C)C)[nH]c2c1 ZINC000634823198 422669142 /nfs/dbraw/zinc/66/91/42/422669142.db2.gz NPUYGRDHIXGGFU-OLZOCXBDSA-N -1 1 301.346 1.844 20 0 DDADMM CN(C(=O)CCc1nn[n-]n1)[C@H]1CCCN(c2ccccc2)C1 ZINC000631318141 422682846 /nfs/dbraw/zinc/68/28/46/422682846.db2.gz LGGUJKBPAIDWKI-AWEZNQCLSA-N -1 1 314.393 1.260 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCN(Cc2nc[nH]n2)CC1 ZINC000652903915 423205324 /nfs/dbraw/zinc/20/53/24/423205324.db2.gz RSKIIQPUHCTEAG-UHFFFAOYSA-N -1 1 321.768 1.122 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CO[C@@H](C)C2)c(F)c1 ZINC000645861124 423218787 /nfs/dbraw/zinc/21/87/87/423218787.db2.gz YYDUUOQRPRXHHL-YUMQZZPRSA-N -1 1 307.318 1.429 20 0 DDADMM CSc1cccnc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000653028482 423266300 /nfs/dbraw/zinc/26/63/00/423266300.db2.gz LFWHMQZABIDGCN-VIFPVBQESA-N -1 1 320.374 1.912 20 0 DDADMM CN(CCc1nccs1)[C@H]1CCCCN(CC(=O)[O-])C1=O ZINC000643876171 423389965 /nfs/dbraw/zinc/38/99/65/423389965.db2.gz MZSFJWFVMRUBGH-NSHDSACASA-N -1 1 311.407 1.083 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CNC(=O)CCc1ccc(C)cc1 ZINC000646411428 423479523 /nfs/dbraw/zinc/47/95/23/423479523.db2.gz GFTKHHFTFNKKPO-UHFFFAOYSA-N -1 1 316.361 1.420 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cc1csc(NC(=O)C(C)C)n1 ZINC000646412435 423479749 /nfs/dbraw/zinc/47/97/49/423479749.db2.gz IGCYDPSKNKVNNM-UHFFFAOYSA-N -1 1 323.378 1.656 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@@H](C)C(F)F)C1CCOCC1 ZINC000641704020 423889778 /nfs/dbraw/zinc/88/97/78/423889778.db2.gz BHEOOVLQKKRWBR-WCBMZHEXSA-N -1 1 301.355 1.001 20 0 DDADMM CCOC[C@H](C)[N-]S(=O)(=O)c1ncccc1Br ZINC000656965391 423934572 /nfs/dbraw/zinc/93/45/72/423934572.db2.gz LVIPQAIJYYPQQX-QMMMGPOBSA-N -1 1 323.212 1.547 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)cc1 ZINC000649462649 423929646 /nfs/dbraw/zinc/92/96/46/423929646.db2.gz NWQABZMOLQQJJN-LSDHHAIUSA-N -1 1 318.373 1.056 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCN(C)C(=O)C2)c(F)c1 ZINC000644860325 423985834 /nfs/dbraw/zinc/98/58/34/423985834.db2.gz IBLZTVQWOZMFEJ-SECBINFHSA-N -1 1 318.345 1.172 20 0 DDADMM Cc1nn2c(CN3CC[C@@H](C)[C@@H](C(=O)[O-])C3)c(C)nc2s1 ZINC000647461111 424041736 /nfs/dbraw/zinc/04/17/36/424041736.db2.gz FXEZRBHDGDHKRA-KCJUWKMLSA-N -1 1 308.407 1.950 20 0 DDADMM O=S(=O)([N-]CC(O)(C1CC1)C1CC1)c1cc(F)ccc1F ZINC000657181627 424188191 /nfs/dbraw/zinc/18/81/91/424188191.db2.gz VSPXUDFPCKAQBV-UHFFFAOYSA-N -1 1 317.357 1.794 20 0 DDADMM CC1(S(=O)(=O)[N-][C@@H](CO)c2nc(-c3ccccc3)no2)CC1 ZINC000649786838 424207008 /nfs/dbraw/zinc/20/70/08/424207008.db2.gz CJYVATYOBVCUBR-NSHDSACASA-N -1 1 323.374 1.242 20 0 DDADMM C[C@]1(CNC(=O)N=c2[n-]sc3ccccc32)CCC(=O)N1 ZINC000640337964 424360230 /nfs/dbraw/zinc/36/02/30/424360230.db2.gz QOECRRZSLJTRQI-CQSZACIVSA-N -1 1 304.375 1.508 20 0 DDADMM O=S(=O)([N-]CC1CCC(O)CC1)c1cc(F)c(F)cc1F ZINC000660024493 424466131 /nfs/dbraw/zinc/46/61/31/424466131.db2.gz DKAMPDZENRRRKA-UHFFFAOYSA-N -1 1 323.336 1.933 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC[C@H](Nc3ccccc3)C2)C1=O ZINC000662212136 424477513 /nfs/dbraw/zinc/47/75/13/424477513.db2.gz VEGYLEZXBMARCZ-GJZGRUSLSA-N -1 1 317.389 1.248 20 0 DDADMM CN(CCC(=O)N1CCc2sccc2C1)Cc1n[n-]c(=O)o1 ZINC000660851182 424791529 /nfs/dbraw/zinc/79/15/29/424791529.db2.gz ZFEIKRVZNNODSI-UHFFFAOYSA-N -1 1 322.390 1.244 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CCC1)c1ccc(F)c(F)c1F ZINC000315643130 271013801 /nfs/dbraw/zinc/01/38/01/271013801.db2.gz ATQXJHKCBCKNAN-VIFPVBQESA-N -1 1 309.309 1.543 20 0 DDADMM Cc1nc([C@@H]2CCCO2)sc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000341949864 271257019 /nfs/dbraw/zinc/25/70/19/271257019.db2.gz WYYZGWCLASQTMR-QMMMGPOBSA-N -1 1 322.394 1.481 20 0 DDADMM C[C@@H](N=c1[n-]c(C(F)(F)F)ns1)[C@@H](C)N1CCOCC1 ZINC000342046317 271281675 /nfs/dbraw/zinc/28/16/75/271281675.db2.gz RUFDIPFHKCGSFC-HTQZYQBOSA-N -1 1 310.345 1.500 20 0 DDADMM C[C@@H]1CCC[C@H]1CNC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000342091832 271295239 /nfs/dbraw/zinc/29/52/39/271295239.db2.gz IZLPDSGTQNTBLX-MNOVXSKESA-N -1 1 324.454 1.218 20 0 DDADMM O=c1nc(NCc2ccnc(OC(F)F)c2)nc2[nH][n-]cc1-2 ZINC000343160703 271665571 /nfs/dbraw/zinc/66/55/71/271665571.db2.gz MNVVETHYEAGPIK-UHFFFAOYSA-N -1 1 308.248 1.041 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCCCS2)o1 ZINC000344506704 272043265 /nfs/dbraw/zinc/04/32/65/272043265.db2.gz DPPYUQYLIHLSRF-VIFPVBQESA-N -1 1 318.420 1.203 20 0 DDADMM CCCC1(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CCC1 ZINC000345359729 272230603 /nfs/dbraw/zinc/23/06/03/272230603.db2.gz WNONVZNRNZSJMH-UHFFFAOYSA-N -1 1 309.439 1.570 20 0 DDADMM O=S(=O)(Cc1ccc(Oc2ccccc2)nn1)c1ncn[n-]1 ZINC000345413391 272244875 /nfs/dbraw/zinc/24/48/75/272244875.db2.gz ZQYCISNMFJJQLH-UHFFFAOYSA-N -1 1 317.330 1.361 20 0 DDADMM O=S(=O)(Cc1ccc(Oc2ccccc2)nn1)c1nc[n-]n1 ZINC000345413391 272244877 /nfs/dbraw/zinc/24/48/77/272244877.db2.gz ZQYCISNMFJJQLH-UHFFFAOYSA-N -1 1 317.330 1.361 20 0 DDADMM CC(C)(NC(=O)[C@@H]1CC(C)(C)Oc2ccccc21)c1nn[n-]n1 ZINC000413520279 277308293 /nfs/dbraw/zinc/30/82/93/277308293.db2.gz SZZXUQYIJSHQOJ-LLVKDONJSA-N -1 1 315.377 1.896 20 0 DDADMM C[C@H]1CCC[C@H](NC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000332264988 279689266 /nfs/dbraw/zinc/68/92/66/279689266.db2.gz DBNGVRZNECLSLM-QWRGUYRKSA-N -1 1 324.454 1.361 20 0 DDADMM CS[C@@H](CO)[C@@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000119878240 281108809 /nfs/dbraw/zinc/10/88/09/281108809.db2.gz MYLPHTIVOOOGLM-SKDRFNHKSA-N -1 1 315.416 1.824 20 0 DDADMM CN1CC[C@H]2CCN(C(=O)c3s[n-]c(=O)c3Cl)[C@@H]2C1 ZINC000286239331 290231694 /nfs/dbraw/zinc/23/16/94/290231694.db2.gz DLZWMBGYMQADKO-JGVFFNPUSA-N -1 1 301.799 1.668 20 0 DDADMM CN1CC[C@H]2OCCN(C(=O)c3cc(F)c([O-])c(F)c3)[C@H]2C1 ZINC000285899569 290235066 /nfs/dbraw/zinc/23/50/66/290235066.db2.gz STMOFPZICUVCOH-QWHCGFSZSA-N -1 1 312.316 1.216 20 0 DDADMM O=C(N[C@H]1CCc2nnnn2CC1)c1ccc2ccccc2c1[O-] ZINC000572867656 304560416 /nfs/dbraw/zinc/56/04/16/304560416.db2.gz FFEVEFUAYXDSSR-LBPRGKRZSA-N -1 1 323.356 1.667 20 0 DDADMM CCc1c(C(=O)NC2(c3nn[n-]n3)CC2)cnn1C(CC)CC ZINC000362173672 300021278 /nfs/dbraw/zinc/02/12/78/300021278.db2.gz OVLHTUSNIGXYLT-UHFFFAOYSA-N -1 1 317.397 1.739 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@@H]1c1cc[nH]n1 ZINC000375075930 301889269 /nfs/dbraw/zinc/88/92/69/301889269.db2.gz WIDDEPVBEZJAQK-CYBMUJFWSA-N -1 1 309.329 1.624 20 0 DDADMM O=C(c1cccc(-n2cccc2)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000377603067 302197119 /nfs/dbraw/zinc/19/71/19/302197119.db2.gz UMNRSNCLFBRVJB-AWEZNQCLSA-N -1 1 324.344 1.204 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@@H](c2nnc[nH]2)C1 ZINC000377725045 302219981 /nfs/dbraw/zinc/21/99/81/302219981.db2.gz ZDLKKBLOOZEZEF-MRVPVSSYSA-N -1 1 308.288 1.808 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C)O[C@@]3(CCCOC3)C2)c([O-])c1 ZINC000333105272 302334158 /nfs/dbraw/zinc/33/41/58/302334158.db2.gz DIZIWKYJVGFRFP-LRDDRELGSA-N -1 1 306.362 1.506 20 0 DDADMM COc1ccc([C@@H]2C[C@H](C)N(CC(=O)NCC(=O)[O-])C2)cc1 ZINC000378577709 302338563 /nfs/dbraw/zinc/33/85/63/302338563.db2.gz JYRNZOYJOMUIID-WCQYABFASA-N -1 1 306.362 1.074 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccccc2C(C)C)[n-]n1C ZINC000527287740 302950548 /nfs/dbraw/zinc/95/05/48/302950548.db2.gz HEAJGUSIMKMCLV-UHFFFAOYSA-N -1 1 309.391 1.775 20 0 DDADMM O=S(=O)([N-]C[C@H](n1cccn1)C(F)(F)F)c1ccco1 ZINC000528454406 303028672 /nfs/dbraw/zinc/02/86/72/303028672.db2.gz ZPDDHMUDKNUOFS-QMMMGPOBSA-N -1 1 309.269 1.558 20 0 DDADMM CC(C)[C@H]1CNCCN1C(=O)N=c1[n-]nc(C(C)(C)C)s1 ZINC000528674810 303055211 /nfs/dbraw/zinc/05/52/11/303055211.db2.gz MHUXWLGXLCCBJB-SNVBAGLBSA-N -1 1 311.455 1.719 20 0 DDADMM CCC[C@H](NC(=O)c1cccc2cc(=O)[nH]cc21)c1nn[n-]n1 ZINC000528796338 303065145 /nfs/dbraw/zinc/06/51/45/303065145.db2.gz CTBONIGTSXVBQL-LBPRGKRZSA-N -1 1 312.333 1.725 20 0 DDADMM O=C(N=c1nc2ccccn2[n-]1)NC[C@@H]1CC[N@H+]1C1CCCC1 ZINC000529049871 303084859 /nfs/dbraw/zinc/08/48/59/303084859.db2.gz BWIFEVBMBSMZLJ-ZDUSSCGKSA-N -1 1 314.393 1.290 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)[C@H](C)c1ccccc1 ZINC000357383923 306880313 /nfs/dbraw/zinc/88/03/13/306880313.db2.gz SWOXOMFEQASLKZ-VHSXEESVSA-N -1 1 317.345 1.818 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)[C@H]1CCCO1 ZINC000529771031 303153205 /nfs/dbraw/zinc/15/32/05/303153205.db2.gz QVTCTHIABHFBGS-WDEREUQCSA-N -1 1 323.393 1.058 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@H]1COCCN1CC(F)F ZINC000530004135 303169911 /nfs/dbraw/zinc/16/99/11/303169911.db2.gz BPSIZXPDZHDDGV-SNVBAGLBSA-N -1 1 304.268 1.436 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(Cc2cccc(OC(C)(C)C)n2)C1 ZINC000530169390 303178613 /nfs/dbraw/zinc/17/86/13/303178613.db2.gz GUULJPHETZJCCM-INIZCTEOSA-N -1 1 308.378 1.934 20 0 DDADMM CN(CCC(=O)[O-])[C@H]1CCN(c2ccc(Cl)cc2F)C1=O ZINC000530211097 303184670 /nfs/dbraw/zinc/18/46/70/303184670.db2.gz YPFZMCQAMHAREM-LBPRGKRZSA-N -1 1 314.744 1.991 20 0 DDADMM Cc1nc([C@H]2CCCN2C(=O)c2csc(=NC3CC3)[n-]2)no1 ZINC000531772324 303255833 /nfs/dbraw/zinc/25/58/33/303255833.db2.gz JRXLELCWNGEUPE-LLVKDONJSA-N -1 1 319.390 1.808 20 0 DDADMM NC(=O)c1ccc(=NC[C@@H]2CCCC[C@@H]2C(F)(F)F)[n-]n1 ZINC000340899145 303341742 /nfs/dbraw/zinc/34/17/42/303341742.db2.gz NKMZLVLXVVIYAN-IUCAKERBSA-N -1 1 302.300 1.778 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC([C@@H](O)C(F)(F)F)CC1 ZINC000547984486 303566077 /nfs/dbraw/zinc/56/60/77/303566077.db2.gz WLSRZLYVWNUXQF-LLVKDONJSA-N -1 1 304.268 1.563 20 0 DDADMM CNC(=O)NCCC(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000553183201 303669748 /nfs/dbraw/zinc/66/97/48/303669748.db2.gz FOYXXFWKDSGXDJ-UHFFFAOYSA-N -1 1 323.303 1.034 20 0 DDADMM CC(C)[C@](C)([N-]S(=O)(=O)c1cc2ccccc2o1)C(N)=O ZINC000560482824 303817637 /nfs/dbraw/zinc/81/76/37/303817637.db2.gz UBIGLSDPDXRQRF-AWEZNQCLSA-N -1 1 310.375 1.611 20 0 DDADMM CC[C@@H](COC)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000563052247 303917311 /nfs/dbraw/zinc/91/73/11/303917311.db2.gz MHRHSZYRZUBMOA-VIFPVBQESA-N -1 1 300.405 2.000 20 0 DDADMM CC[C@@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363396866 307027178 /nfs/dbraw/zinc/02/71/78/307027178.db2.gz ZJRLIXDYZYHWGS-CHWSQXEVSA-N -1 1 301.350 1.293 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCO[C@H](C2CC2)C1 ZINC000364485328 307046321 /nfs/dbraw/zinc/04/63/21/307046321.db2.gz JHSRWAKYYHGEON-JTQLQIEISA-N -1 1 309.391 1.464 20 0 DDADMM Cc1cc(=O)[nH]c(-c2cccc(NC(=O)[C@H]3CNCCO3)c2)n1 ZINC000364594343 307048931 /nfs/dbraw/zinc/04/89/31/307048931.db2.gz VIVOHTRUMAAUJF-CYBMUJFWSA-N -1 1 314.345 1.085 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(CN2CCCC2=O)CC1 ZINC000369817778 307128678 /nfs/dbraw/zinc/12/86/78/307128678.db2.gz QMYPMYGJIMGVHZ-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM C[C@H]1C[C@H](c2cccs2)CN1CCS(=O)(=O)CC(=O)[O-] ZINC000370683063 307132443 /nfs/dbraw/zinc/13/24/43/307132443.db2.gz TZSJMVKPIAWBHP-QWRGUYRKSA-N -1 1 317.432 1.425 20 0 DDADMM O=C(CCSc1ccccn1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000371296705 307146708 /nfs/dbraw/zinc/14/67/08/307146708.db2.gz BZASFMSGVWUBQA-LLVKDONJSA-N -1 1 318.406 1.483 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1C[C@@]12CCOC2 ZINC000372519745 307169425 /nfs/dbraw/zinc/16/94/25/307169425.db2.gz BUICSNHGZKXJDI-MLGOLLRUSA-N -1 1 316.361 1.095 20 0 DDADMM CCN(CC)S(=O)(=O)[N-]c1cnn(C)c1C(F)(F)F ZINC000436186911 307305838 /nfs/dbraw/zinc/30/58/38/307305838.db2.gz OKUXNSBRKMWJGY-UHFFFAOYSA-N -1 1 300.306 1.437 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000530191968 307587822 /nfs/dbraw/zinc/58/78/22/307587822.db2.gz BVZAEXGFXUMXFD-QISWUMQESA-N -1 1 301.346 1.465 20 0 DDADMM COCCOc1ccc(CNC(=O)c2c([O-])cccc2F)cn1 ZINC000548122011 307757198 /nfs/dbraw/zinc/75/71/98/307757198.db2.gz SCEZJZXJBZQPOP-UHFFFAOYSA-N -1 1 320.320 1.882 20 0 DDADMM O=C([O-])c1cc(F)cc(NS(=O)(=O)Cc2ccon2)c1F ZINC000548588116 307777767 /nfs/dbraw/zinc/77/77/67/307777767.db2.gz HUGHBKYSAMFPQM-UHFFFAOYSA-N -1 1 318.257 1.593 20 0 DDADMM O=C(N[C@@H]1C[C@@H]2CCCCN2C1=O)c1cc(Cl)ccc1[O-] ZINC000563514265 307972518 /nfs/dbraw/zinc/97/25/18/307972518.db2.gz SUGGTZWQIPNMPW-CMPLNLGQSA-N -1 1 308.765 1.929 20 0 DDADMM C[C@@H](O)C[C@H](C)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000564724957 308003800 /nfs/dbraw/zinc/00/38/00/308003800.db2.gz JTBKFWCTIZVIEM-VHSXEESVSA-N -1 1 314.432 1.982 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](O)CC1)c1nc2ccccc2s1 ZINC000564911926 308011252 /nfs/dbraw/zinc/01/12/52/308011252.db2.gz BYHMLGYIFGYTEO-MGCOHNPYSA-N -1 1 312.416 1.878 20 0 DDADMM O=C([O-])c1cccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)o3)C2)c1 ZINC000565657548 308035945 /nfs/dbraw/zinc/03/59/45/308035945.db2.gz CFADQBBCDALAKU-NSHDSACASA-N -1 1 317.301 1.493 20 0 DDADMM O=C([C@@H]1C[C@@]1(F)c1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000571529123 308197625 /nfs/dbraw/zinc/19/76/25/308197625.db2.gz XJPJNRKIJSZNNH-PVXIVEMSSA-N -1 1 315.352 1.791 20 0 DDADMM COC[C@@](C)(O)C(=O)N=c1cc(-c2cc(C)ccc2F)[n-][nH]1 ZINC000582349231 326016160 /nfs/dbraw/zinc/01/61/60/326016160.db2.gz QLMADVQXTKYLDU-OAHLLOKOSA-N -1 1 307.325 1.282 20 0 DDADMM O=S(=O)([N-]CC1=CCOCC1)c1ccc(F)c(F)c1F ZINC000582402081 336960331 /nfs/dbraw/zinc/96/03/31/336960331.db2.gz WSQYDEWQZQZXTN-UHFFFAOYSA-N -1 1 307.293 1.729 20 0 DDADMM C[C@H]1CCN(c2ccc(=NCc3ccccc3F)[n-]n2)[C@H]1CO ZINC000582775542 337147771 /nfs/dbraw/zinc/14/77/71/337147771.db2.gz XJSKSLLXZKGGGO-WFASDCNBSA-N -1 1 316.380 1.857 20 0 DDADMM O=C([O-])c1ccc(N2CCC[C@H](N3CCOCC3)CC2)nc1 ZINC000656093576 483940339 /nfs/dbraw/zinc/94/03/39/483940339.db2.gz XMVKLDXGAXHNPO-AWEZNQCLSA-N -1 1 305.378 1.471 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)C)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000387679029 483972208 /nfs/dbraw/zinc/97/22/08/483972208.db2.gz GIURAIPEVSAJSM-SMDDNHRTSA-N -1 1 304.390 1.974 20 0 DDADMM O=c1[nH]ccc2cc([N-]S(=O)(=O)C[C@@H]3CCCO3)ccc21 ZINC000656633550 484252682 /nfs/dbraw/zinc/25/26/82/484252682.db2.gz DAZDIKIIUXHWLI-LBPRGKRZSA-N -1 1 308.359 1.449 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@]3(C(=O)[O-])CC3(C)C)[nH]c2c1 ZINC000663041790 484620119 /nfs/dbraw/zinc/62/01/19/484620119.db2.gz LIVCOZKFZGVUPL-INIZCTEOSA-N -1 1 301.346 1.988 20 0 DDADMM CN(C)[C@@H](CNC(=O)C1(C(=O)[O-])CC=CC1)c1cccc(F)c1 ZINC000663074536 484646031 /nfs/dbraw/zinc/64/60/31/484646031.db2.gz CQVDEBOTNIVZBU-AWEZNQCLSA-N -1 1 320.364 1.966 20 0 DDADMM C[C@@H](O)[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CCO1 ZINC000666063637 485067500 /nfs/dbraw/zinc/06/75/00/485067500.db2.gz QWKJYEQSBJWTFZ-PELKAZGASA-N -1 1 319.279 1.633 20 0 DDADMM O=C(N[C@@H]1CCCS(=O)(=O)C1)c1ncc2ccccc2c1[O-] ZINC000668602040 485235673 /nfs/dbraw/zinc/23/56/73/485235673.db2.gz PRZFDKWCSJIKOB-LLVKDONJSA-N -1 1 320.370 1.247 20 0 DDADMM CO[C@@H](C(=O)NC1(c2nn[n-]n2)CCCC1)C1CCCCC1 ZINC000668869503 485345558 /nfs/dbraw/zinc/34/55/58/485345558.db2.gz PFSRXQJGNWXPHZ-GFCCVEGCSA-N -1 1 307.398 1.681 20 0 DDADMM O=C(Cn1cc2ccccc2n1)Nc1nc(-c2ccccn2)n[nH]1 ZINC000673962774 485490834 /nfs/dbraw/zinc/49/08/34/485490834.db2.gz HJMCHXNXADPNSR-UHFFFAOYSA-N -1 1 319.328 1.855 20 0 DDADMM COc1cc(Cl)c(Cl)cc1C(=O)NCc1nn[n-]n1 ZINC000674092026 485534465 /nfs/dbraw/zinc/53/44/65/485534465.db2.gz FOAAPDIDGVWNJI-UHFFFAOYSA-N -1 1 302.121 1.445 20 0 DDADMM CCn1cnnc1CCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000682361347 485566445 /nfs/dbraw/zinc/56/64/45/485566445.db2.gz CGKAGPNPPGKBQS-UHFFFAOYSA-N -1 1 324.768 1.638 20 0 DDADMM CC[C@]1([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCOC1 ZINC000682936731 485832022 /nfs/dbraw/zinc/83/20/22/485832022.db2.gz WVBYPDMIMRAHHP-LBPRGKRZSA-N -1 1 309.309 1.951 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCOC[C@@H]2C)c(F)c1 ZINC000683342437 485960779 /nfs/dbraw/zinc/96/07/79/485960779.db2.gz UHUKFTSJJLHYNQ-QPUJVOFHSA-N -1 1 321.345 1.677 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCC(F)(F)C1 ZINC000675691622 486014334 /nfs/dbraw/zinc/01/43/34/486014334.db2.gz BJSQFMDDBNTAJK-JTQLQIEISA-N -1 1 324.331 1.898 20 0 DDADMM Cn1cc(C[N-]S(=O)(=O)c2cccc(Cl)c2F)nn1 ZINC000679935410 486044705 /nfs/dbraw/zinc/04/47/05/486044705.db2.gz FYNICUBCBPXUED-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc2cccc(F)c2o1)c1nn[n-]n1 ZINC000680525363 486214003 /nfs/dbraw/zinc/21/40/03/486214003.db2.gz RZZSAODKDCCFLK-MRVPVSSYSA-N -1 1 303.297 1.961 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)Cc1csc(C)n1)[C@H]1CCCO1 ZINC000684107998 486252588 /nfs/dbraw/zinc/25/25/88/486252588.db2.gz XZNGUTXJGYBKAU-NWDGAFQWSA-N -1 1 320.436 1.065 20 0 DDADMM Cc1cc([N-]C(=O)c2nc(-c3ccn4cncc4c3)no2)no1 ZINC000684116715 486255790 /nfs/dbraw/zinc/25/57/90/486255790.db2.gz LIWVWGXDPNXXQU-UHFFFAOYSA-N -1 1 310.273 1.933 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cc(F)cc(N3CCOCC3)c2)c1[O-] ZINC000676696952 486290720 /nfs/dbraw/zinc/29/07/20/486290720.db2.gz MONAHGPIJRESTG-UHFFFAOYSA-N -1 1 320.324 1.652 20 0 DDADMM CN(C)Cc1nc(C(C)(C)NC(=O)c2ccc([O-])c(F)c2)no1 ZINC000681039845 486328657 /nfs/dbraw/zinc/32/86/57/486328657.db2.gz OXFVUIREEOZRSD-UHFFFAOYSA-N -1 1 322.340 1.641 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-][C@H](CO)c1cc(F)ccc1F ZINC000676849566 486345927 /nfs/dbraw/zinc/34/59/27/486345927.db2.gz WBOIJMWHQCWCLB-GFCCVEGCSA-N -1 1 318.301 1.106 20 0 DDADMM O=C(Nc1cc(F)cc(Cl)c1O)c1n[nH]c(=O)[n-]c1=O ZINC000681201075 486370785 /nfs/dbraw/zinc/37/07/85/486370785.db2.gz RLHVYHFBLTYHQI-UHFFFAOYSA-N -1 1 300.633 1.033 20 0 DDADMM O=C(NCc1nnnn1-c1ccccc1)c1c([O-])cccc1F ZINC000681229593 486378878 /nfs/dbraw/zinc/37/88/78/486378878.db2.gz OAODTPNPRAGHHB-UHFFFAOYSA-N -1 1 313.292 1.437 20 0 DDADMM O=C(Cc1noc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677047550 486385907 /nfs/dbraw/zinc/38/59/07/486385907.db2.gz BCJOOLGAZVAQCJ-JTQLQIEISA-N -1 1 312.333 1.290 20 0 DDADMM O=C(N[C@@H]1CCCc2c1cnn2CCO)c1c([O-])cccc1F ZINC000681346274 486409877 /nfs/dbraw/zinc/40/98/77/486409877.db2.gz RLPHLAYQLLNZDK-GFCCVEGCSA-N -1 1 319.336 1.528 20 0 DDADMM O=C(NCCc1nnc2n1CCCC2)c1ccc([O-])c(F)c1 ZINC000681539909 486450319 /nfs/dbraw/zinc/45/03/19/486450319.db2.gz ZLTHOGLQBLFLOM-UHFFFAOYSA-N -1 1 304.325 1.432 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@]1(C)c1ccccc1 ZINC000685499446 486550734 /nfs/dbraw/zinc/55/07/34/486550734.db2.gz XOVMDQHTBCJTMZ-BXUZGUMPSA-N -1 1 307.375 1.574 20 0 DDADMM O=S(=O)(CCOCC(F)(F)F)[N-][C@@H]1CCCC1(F)F ZINC000685512112 486555069 /nfs/dbraw/zinc/55/50/69/486555069.db2.gz UJCVWSJEHZNDHR-SSDOTTSWSA-N -1 1 311.272 1.673 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(C(=O)c2cccc(O)c2)cc1 ZINC000682003973 486618265 /nfs/dbraw/zinc/61/82/65/486618265.db2.gz VAKYDHDLCFFQQE-UHFFFAOYSA-N -1 1 323.312 1.066 20 0 DDADMM COC(=O)C[C@H]1CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295608804 533720574 /nfs/dbraw/zinc/72/05/74/533720574.db2.gz YPNCJGIKTDYZPZ-SNVBAGLBSA-N -1 1 320.374 1.186 20 0 DDADMM COc1cc(CCN=c2ccc(C(=O)N(C)C)n[n-]2)ccc1C ZINC000413155540 533756246 /nfs/dbraw/zinc/75/62/46/533756246.db2.gz CITYKXVNGYBQAE-UHFFFAOYSA-N -1 1 314.389 1.572 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C[C@@H]1C ZINC000332469788 534129748 /nfs/dbraw/zinc/12/97/48/534129748.db2.gz UKYZWVXKCBAJAG-GRYCIOLGSA-N -1 1 323.466 1.672 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C[C@H]1C ZINC000332469790 534208647 /nfs/dbraw/zinc/20/86/47/534208647.db2.gz UKYZWVXKCBAJAG-UTUOFQBUSA-N -1 1 323.466 1.672 20 0 DDADMM Cc1noc(CC[N-]S(=O)(=O)c2c(F)cc(C)cc2F)n1 ZINC000425157563 534405399 /nfs/dbraw/zinc/40/53/99/534405399.db2.gz OPMGLSVGEXVFAK-UHFFFAOYSA-N -1 1 317.317 1.486 20 0 DDADMM CC(C)(CCNC(=O)c1n[nH]nc1-c1ccccc1)C(=O)[O-] ZINC000424234688 527044719 /nfs/dbraw/zinc/04/47/19/527044719.db2.gz RBCBQQRFZVASCA-UHFFFAOYSA-N -1 1 302.334 1.702 20 0 DDADMM CCC[C@H](NC(=O)CCc1c(C)nn(C)c1C)c1nn[n-]n1 ZINC000434775156 528175279 /nfs/dbraw/zinc/17/52/79/528175279.db2.gz JZMVOGQHJCIDFC-LBPRGKRZSA-N -1 1 305.386 1.140 20 0 DDADMM CCN(CCN=c1ccc(C(=O)OC)n[n-]1)CCC(F)(F)F ZINC000491721002 528479427 /nfs/dbraw/zinc/47/94/27/528479427.db2.gz QAPBTRHQLOLAMB-UHFFFAOYSA-N -1 1 320.315 1.371 20 0 DDADMM CCC[C@@H](CCO)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000443310452 528936255 /nfs/dbraw/zinc/93/62/55/528936255.db2.gz OYQOSPFOOSHZIV-JTQLQIEISA-N -1 1 319.379 1.143 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1c(F)cccc1Cl)C(=O)OC ZINC000451304654 529192834 /nfs/dbraw/zinc/19/28/34/529192834.db2.gz PAPXBLDGXLCUCP-LLVKDONJSA-N -1 1 323.773 1.850 20 0 DDADMM CC[C@H]1CCCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000452414521 529209281 /nfs/dbraw/zinc/20/92/81/529209281.db2.gz FWXGNELXXSJTID-QWRGUYRKSA-N -1 1 303.366 1.364 20 0 DDADMM CCC[C@@H]1SCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736437796 599384860 /nfs/dbraw/zinc/38/48/60/599384860.db2.gz XOIGNGKKIQHCPR-NSHDSACASA-N -1 1 304.379 1.577 20 0 DDADMM CCC[C@@H]1SCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736437796 599384862 /nfs/dbraw/zinc/38/48/62/599384862.db2.gz XOIGNGKKIQHCPR-NSHDSACASA-N -1 1 304.379 1.577 20 0 DDADMM FC(F)(F)[C@H]1CN(c2cccc(-c3nnn[n-]3)n2)CCO1 ZINC000737625702 598856013 /nfs/dbraw/zinc/85/60/13/598856013.db2.gz ANYRQRFMDWAJTL-MRVPVSSYSA-N -1 1 300.244 1.029 20 0 DDADMM FC(F)(F)[C@H]1CN(c2cccc(-c3nn[n-]n3)n2)CCO1 ZINC000737625702 598856015 /nfs/dbraw/zinc/85/60/15/598856015.db2.gz ANYRQRFMDWAJTL-MRVPVSSYSA-N -1 1 300.244 1.029 20 0 DDADMM CSC[C@@H](CCO)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000737178236 598977178 /nfs/dbraw/zinc/97/71/78/598977178.db2.gz YPYKMFHEMMHCNP-SSDOTTSWSA-N -1 1 314.802 1.441 20 0 DDADMM CSC[C@@H](CCO)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000737178236 598977179 /nfs/dbraw/zinc/97/71/79/598977179.db2.gz YPYKMFHEMMHCNP-SSDOTTSWSA-N -1 1 314.802 1.441 20 0 DDADMM C[C@H]1Cc2ccccc2N1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736296506 598977647 /nfs/dbraw/zinc/97/76/47/598977647.db2.gz IPGPQBAADNRVGU-JTQLQIEISA-N -1 1 306.329 1.853 20 0 DDADMM C[C@H]1Cc2ccccc2N1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736296506 598977649 /nfs/dbraw/zinc/97/76/49/598977649.db2.gz IPGPQBAADNRVGU-JTQLQIEISA-N -1 1 306.329 1.853 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737142817 598981537 /nfs/dbraw/zinc/98/15/37/598981537.db2.gz PXQXTEALTDHORY-JTQLQIEISA-N -1 1 324.344 1.761 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737142817 598981540 /nfs/dbraw/zinc/98/15/40/598981540.db2.gz PXQXTEALTDHORY-JTQLQIEISA-N -1 1 324.344 1.761 20 0 DDADMM CN(CCCc1ccccc1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736796989 598992597 /nfs/dbraw/zinc/99/25/97/598992597.db2.gz DXRMTOAYQDRXES-UHFFFAOYSA-N -1 1 322.372 1.967 20 0 DDADMM CN(CCCc1ccccc1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736796989 598992598 /nfs/dbraw/zinc/99/25/98/598992598.db2.gz DXRMTOAYQDRXES-UHFFFAOYSA-N -1 1 322.372 1.967 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCO[C@H]2CCCC[C@H]21 ZINC000738258687 598995083 /nfs/dbraw/zinc/99/50/83/598995083.db2.gz LGVLIALVZLRTRP-OLZOCXBDSA-N -1 1 314.349 1.045 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCO[C@H]2CCCC[C@H]21 ZINC000738258687 598995085 /nfs/dbraw/zinc/99/50/85/598995085.db2.gz LGVLIALVZLRTRP-OLZOCXBDSA-N -1 1 314.349 1.045 20 0 DDADMM COc1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c(C)c1 ZINC000737102737 599002357 /nfs/dbraw/zinc/00/23/57/599002357.db2.gz BVVSUAVXQNEBHD-UHFFFAOYSA-N -1 1 310.317 1.831 20 0 DDADMM COc1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c(C)c1 ZINC000737102737 599002358 /nfs/dbraw/zinc/00/23/58/599002358.db2.gz BVVSUAVXQNEBHD-UHFFFAOYSA-N -1 1 310.317 1.831 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C(C)(C)CO1 ZINC000736214191 599008794 /nfs/dbraw/zinc/00/87/94/599008794.db2.gz PCELAZXWXGVIBC-UHFFFAOYSA-N -1 1 316.365 1.291 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C(C)(C)CO1 ZINC000736214191 599008797 /nfs/dbraw/zinc/00/87/97/599008797.db2.gz PCELAZXWXGVIBC-UHFFFAOYSA-N -1 1 316.365 1.291 20 0 DDADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)Oc1ccc(F)cc1 ZINC000820280632 599069515 /nfs/dbraw/zinc/06/95/15/599069515.db2.gz KZAUKBUWGVYTDI-SECBINFHSA-N -1 1 315.312 1.675 20 0 DDADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)Oc1ccc(F)cc1 ZINC000820280632 599069518 /nfs/dbraw/zinc/06/95/18/599069518.db2.gz KZAUKBUWGVYTDI-SECBINFHSA-N -1 1 315.312 1.675 20 0 DDADMM CC(C)n1ccc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000735964670 599216185 /nfs/dbraw/zinc/21/61/85/599216185.db2.gz DMKMRFBQGXUKOS-UHFFFAOYSA-N -1 1 313.321 1.396 20 0 DDADMM CC(C)n1ccc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000735964670 599216189 /nfs/dbraw/zinc/21/61/89/599216189.db2.gz DMKMRFBQGXUKOS-UHFFFAOYSA-N -1 1 313.321 1.396 20 0 DDADMM C[C@@H](CNC(=O)N(C)CCC(=O)[O-])N1CCc2ccccc2C1 ZINC000818009303 596990735 /nfs/dbraw/zinc/99/07/35/596990735.db2.gz ZOPZUHUHXMIMFB-ZDUSSCGKSA-N -1 1 319.405 1.549 20 0 DDADMM Cc1cc(NC(=O)CN[C@@H](C)C(=O)[O-])ccc1Br ZINC000035388603 597066895 /nfs/dbraw/zinc/06/68/95/597066895.db2.gz DANLRGZPQKPXLB-QMMMGPOBSA-N -1 1 315.167 1.759 20 0 DDADMM CN1CCN(c2ccccc2NC(=O)CSCC(=O)[O-])CC1 ZINC000739736896 597084367 /nfs/dbraw/zinc/08/43/67/597084367.db2.gz SDMRFOMMUVAHGU-UHFFFAOYSA-N -1 1 323.418 1.195 20 0 DDADMM CCc1cc2c(C[N@H+](C)[C@@H](C)C(=O)[O-])cc(=O)oc2cc1[O-] ZINC000818335879 597474396 /nfs/dbraw/zinc/47/43/96/597474396.db2.gz WJELXBUKIPXPMO-VIFPVBQESA-N -1 1 305.330 1.966 20 0 DDADMM CCc1cc2c(C[N@@H+](C)[C@@H](C)C(=O)[O-])cc(=O)oc2cc1[O-] ZINC000818335879 597474397 /nfs/dbraw/zinc/47/43/97/597474397.db2.gz WJELXBUKIPXPMO-VIFPVBQESA-N -1 1 305.330 1.966 20 0 DDADMM CC(=O)NCCN(Cc1cc(F)ccc1F)[C@@H](C)C(=O)[O-] ZINC000819770167 597677488 /nfs/dbraw/zinc/67/74/88/597677488.db2.gz IQSZYIWNZVOHMR-VIFPVBQESA-N -1 1 300.305 1.376 20 0 DDADMM C[C@@H](c1nc(C2CC2)no1)N1CCN(CCCCC(=O)[O-])CC1 ZINC000737721861 597776700 /nfs/dbraw/zinc/77/67/00/597776700.db2.gz RLASVKQDZDTRMN-LBPRGKRZSA-N -1 1 322.409 1.881 20 0 DDADMM O=C([O-])[C@@H]1CCN([C@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000821511826 598044344 /nfs/dbraw/zinc/04/43/44/598044344.db2.gz RQUZHUJXYSARAS-RISCZKNCSA-N -1 1 306.337 1.728 20 0 DDADMM CN1CCN(c2ccc(NC3CCC(C(=O)[O-])CC3)nc2)CC1 ZINC000820626699 598102051 /nfs/dbraw/zinc/10/20/51/598102051.db2.gz VXZRJHKWTVKUJM-UHFFFAOYSA-N -1 1 318.421 1.889 20 0 DDADMM CC(C)[C@@H](NCC(=O)NCC1(c2ccccc2)CC1)C(=O)[O-] ZINC000820041361 598189733 /nfs/dbraw/zinc/18/97/33/598189733.db2.gz DLTCOPVFVWYBHE-OAHLLOKOSA-N -1 1 304.390 1.533 20 0 DDADMM O=C([O-])C1([NH2+]Cc2cc(=O)oc3cc([O-])ccc23)CCCC1 ZINC000821483526 598198590 /nfs/dbraw/zinc/19/85/90/598198590.db2.gz HUYXFTNBBIXKOL-UHFFFAOYSA-N -1 1 303.314 1.986 20 0 DDADMM CCc1nc([C@@H]2CN(Cc3cccc(C(=O)[O-])c3)CCO2)n[nH]1 ZINC000820493049 598210490 /nfs/dbraw/zinc/21/04/90/598210490.db2.gz YNULZMFOJNAVBN-ZDUSSCGKSA-N -1 1 316.361 1.639 20 0 DDADMM C[C@H]1CCCC[C@@H]1OCCNc1nccnc1-c1nnn[n-]1 ZINC000736269352 598344408 /nfs/dbraw/zinc/34/44/08/598344408.db2.gz GPEUODHAUQCJEU-QWRGUYRKSA-N -1 1 303.370 1.664 20 0 DDADMM C[C@H]1CCCC[C@@H]1OCCNc1nccnc1-c1nn[n-]n1 ZINC000736269352 598344410 /nfs/dbraw/zinc/34/44/10/598344410.db2.gz GPEUODHAUQCJEU-QWRGUYRKSA-N -1 1 303.370 1.664 20 0 DDADMM COC(=O)CCCCCCNc1nccnc1-c1nnn[n-]1 ZINC000736911097 598344472 /nfs/dbraw/zinc/34/44/72/598344472.db2.gz HFFWQDYMMUPDLF-UHFFFAOYSA-N -1 1 305.342 1.192 20 0 DDADMM COC(=O)CCCCCCNc1nccnc1-c1nn[n-]n1 ZINC000736911097 598344474 /nfs/dbraw/zinc/34/44/74/598344474.db2.gz HFFWQDYMMUPDLF-UHFFFAOYSA-N -1 1 305.342 1.192 20 0 DDADMM COc1ccc(CCCNc2nccnc2-c2nnn[n-]2)cc1 ZINC000737089931 598347031 /nfs/dbraw/zinc/34/70/31/598347031.db2.gz ZTFFAYGINJEPPC-UHFFFAOYSA-N -1 1 311.349 1.710 20 0 DDADMM COc1ccc(CCCNc2nccnc2-c2nn[n-]n2)cc1 ZINC000737089931 598347032 /nfs/dbraw/zinc/34/70/32/598347032.db2.gz ZTFFAYGINJEPPC-UHFFFAOYSA-N -1 1 311.349 1.710 20 0 DDADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)N[C@H]1C[C@H]1C ZINC000737202232 598501698 /nfs/dbraw/zinc/50/16/98/598501698.db2.gz XUCURKISCIBRQM-HQJQHLMTSA-N -1 1 316.365 1.041 20 0 DDADMM c1ccc2c(c1)OCC[C@@H]2CNc1nccnc1-c1nnn[n-]1 ZINC000738442524 598538635 /nfs/dbraw/zinc/53/86/35/598538635.db2.gz PDYQWGCNKPCGJV-SNVBAGLBSA-N -1 1 309.333 1.635 20 0 DDADMM c1ccc2c(c1)OCC[C@@H]2CNc1nccnc1-c1nn[n-]n1 ZINC000738442524 598538637 /nfs/dbraw/zinc/53/86/37/598538637.db2.gz PDYQWGCNKPCGJV-SNVBAGLBSA-N -1 1 309.333 1.635 20 0 DDADMM CCCCOCCn1nc(CC)c(CC)c(-c2nn[n-]n2)c1=O ZINC000736462849 598641374 /nfs/dbraw/zinc/64/13/74/598641374.db2.gz IJZKMUCLHMXEFD-UHFFFAOYSA-N -1 1 320.397 1.365 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)C[C@H]1CCc2ccccc2C1 ZINC000737777979 598751006 /nfs/dbraw/zinc/75/10/06/598751006.db2.gz VCEAVNIQEUCELM-JTQLQIEISA-N -1 1 323.360 1.481 20 0 DDADMM COCC1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000736969983 598773395 /nfs/dbraw/zinc/77/33/95/598773395.db2.gz ABHOQUVEQMQQLJ-UHFFFAOYSA-N -1 1 308.773 1.778 20 0 DDADMM COCC1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000736969983 598773397 /nfs/dbraw/zinc/77/33/97/598773397.db2.gz ABHOQUVEQMQQLJ-UHFFFAOYSA-N -1 1 308.773 1.778 20 0 DDADMM CC(C)(C)[C@H]1OCCC[C@@H]1CNc1nccnc1-c1nnn[n-]1 ZINC000735595499 599304647 /nfs/dbraw/zinc/30/46/47/599304647.db2.gz GCLCFHSHHSAUFU-PWSUYJOCSA-N -1 1 317.397 1.910 20 0 DDADMM CC(C)(C)[C@H]1OCCC[C@@H]1CNc1nccnc1-c1nn[n-]n1 ZINC000735595499 599304649 /nfs/dbraw/zinc/30/46/49/599304649.db2.gz GCLCFHSHHSAUFU-PWSUYJOCSA-N -1 1 317.397 1.910 20 0 DDADMM O[C@H](CNc1cccc(-c2nnn[n-]2)n1)COc1ccccc1 ZINC000738385143 599408426 /nfs/dbraw/zinc/40/84/26/599408426.db2.gz WEWXMHYGKMSMTH-LLVKDONJSA-N -1 1 312.333 1.114 20 0 DDADMM O[C@H](CNc1cccc(-c2nn[n-]n2)n1)COc1ccccc1 ZINC000738385143 599408428 /nfs/dbraw/zinc/40/84/28/599408428.db2.gz WEWXMHYGKMSMTH-LLVKDONJSA-N -1 1 312.333 1.114 20 0 DDADMM FC(F)CN1CCC(Nc2cccc(-c3nnn[n-]3)n2)CC1 ZINC000737639808 599420637 /nfs/dbraw/zinc/42/06/37/599420637.db2.gz XERSBWBPHCELQW-UHFFFAOYSA-N -1 1 309.324 1.403 20 0 DDADMM FC(F)CN1CCC(Nc2cccc(-c3nn[n-]n3)n2)CC1 ZINC000737639808 599420640 /nfs/dbraw/zinc/42/06/40/599420640.db2.gz XERSBWBPHCELQW-UHFFFAOYSA-N -1 1 309.324 1.403 20 0 DDADMM COC(=O)C[C@H]1CCCN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821659172 607385571 /nfs/dbraw/zinc/38/55/71/607385571.db2.gz UOVUQBITIPORQV-MRVPVSSYSA-N -1 1 322.756 1.447 20 0 DDADMM COC(=O)C[C@H]1CCCN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821659172 607385573 /nfs/dbraw/zinc/38/55/73/607385573.db2.gz UOVUQBITIPORQV-MRVPVSSYSA-N -1 1 322.756 1.447 20 0 DDADMM O=C(Nc1c(F)cc(F)cc1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000823290347 599634775 /nfs/dbraw/zinc/63/47/75/599634775.db2.gz WOROLFULQZDBHM-UHFFFAOYSA-N -1 1 320.234 1.931 20 0 DDADMM O=C(Nc1c(F)cc(F)cc1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000823290347 599634776 /nfs/dbraw/zinc/63/47/76/599634776.db2.gz WOROLFULQZDBHM-UHFFFAOYSA-N -1 1 320.234 1.931 20 0 DDADMM CCc1cnc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)o1 ZINC000821499494 599661478 /nfs/dbraw/zinc/66/14/78/599661478.db2.gz LVZMTFVQKOKDIE-UHFFFAOYSA-N -1 1 300.278 1.169 20 0 DDADMM CCc1cnc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)o1 ZINC000821499494 599661479 /nfs/dbraw/zinc/66/14/79/599661479.db2.gz LVZMTFVQKOKDIE-UHFFFAOYSA-N -1 1 300.278 1.169 20 0 DDADMM O=C(OCc1cccc(Cl)n1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823431853 599661678 /nfs/dbraw/zinc/66/16/78/599661678.db2.gz ZTIDYINMHLXPAR-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C(OCc1cccc(Cl)n1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823431853 599661679 /nfs/dbraw/zinc/66/16/79/599661679.db2.gz ZTIDYINMHLXPAR-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM COc1cccc([C@H](CNC(=O)CCCNC(=O)[O-])N(C)C)c1 ZINC000738091553 599742624 /nfs/dbraw/zinc/74/26/24/599742624.db2.gz JSTCJZMHVTWKNI-AWEZNQCLSA-N -1 1 323.393 1.462 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)c1n[nH]c(C)c1Br ZINC000737012326 599992693 /nfs/dbraw/zinc/99/26/93/599992693.db2.gz JGEYYRTXJVMTAD-UHFFFAOYSA-N -1 1 304.144 1.417 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCCC[C@@H]1[C@H](O)c1ccccc1 ZINC000739733811 599994527 /nfs/dbraw/zinc/99/45/27/599994527.db2.gz FCKYPYCPRMNGMS-RHSMWYFYSA-N -1 1 320.389 1.165 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000737359614 600004719 /nfs/dbraw/zinc/00/47/19/600004719.db2.gz VGPKJUZAEDLXCA-HNNXBMFYSA-N -1 1 304.390 1.483 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCC(N2CCNC2=O)CC1 ZINC000740079371 600087936 /nfs/dbraw/zinc/08/79/36/600087936.db2.gz QLPDEJCJBLIJNB-UHFFFAOYSA-N -1 1 321.352 1.514 20 0 DDADMM C[C@H](c1ccccc1)[N@H+]1CC[C@H](NC(=O)C(C)(C)NC(=O)[O-])C1 ZINC000736722864 600158037 /nfs/dbraw/zinc/15/80/37/600158037.db2.gz FGAXPUMHZGFXIF-OCCSQVGLSA-N -1 1 319.405 1.984 20 0 DDADMM CC(C)[C@@H](C)NC(=O)COc1cccc(F)c1-c1nnn[n-]1 ZINC000824193203 607865032 /nfs/dbraw/zinc/86/50/32/607865032.db2.gz UYCYRWAKFBDYEH-SECBINFHSA-N -1 1 307.329 1.545 20 0 DDADMM CC(C)[C@@H](C)NC(=O)COc1cccc(F)c1-c1nn[n-]n1 ZINC000824193203 607865033 /nfs/dbraw/zinc/86/50/33/607865033.db2.gz UYCYRWAKFBDYEH-SECBINFHSA-N -1 1 307.329 1.545 20 0 DDADMM COc1ccc([C@H](NCc2cc(=O)c(O)co2)C(=O)[O-])cc1 ZINC000737975418 600208560 /nfs/dbraw/zinc/20/85/60/600208560.db2.gz YESQDTNUFWOQGM-AWEZNQCLSA-N -1 1 305.286 1.270 20 0 DDADMM C[C@@H]1C[C@H](C)CN(C(=O)CN2CCC3(C[C@@H]3C(=O)[O-])CC2)C1 ZINC000405501690 600307413 /nfs/dbraw/zinc/30/74/13/600307413.db2.gz SXTGOZLTUKAMAF-HZSPNIEDSA-N -1 1 308.422 1.678 20 0 DDADMM O=C([O-])C1(NC(=O)CN2CCCC[C@@H]2CCO)CCCCC1 ZINC000739286550 600318152 /nfs/dbraw/zinc/31/81/52/600318152.db2.gz ZVKXQCCNDPQUHN-CYBMUJFWSA-N -1 1 312.410 1.127 20 0 DDADMM O=C([O-])C1CCN(C(=O)CN2CC[C@H](c3ccco3)C2)CC1 ZINC000738545148 600326274 /nfs/dbraw/zinc/32/62/74/600326274.db2.gz JAMZTLIGZCXKPK-ZDUSSCGKSA-N -1 1 306.362 1.392 20 0 DDADMM CC(C)CN1CCO[C@H](COC(=O)CCCN(C)C(=O)[O-])C1 ZINC000736443034 600756129 /nfs/dbraw/zinc/75/61/29/600756129.db2.gz KWEDJNRCYYNYSF-ZDUSSCGKSA-N -1 1 316.398 1.276 20 0 DDADMM CC(C)CN1CCO[C@@H](COC(=O)CCCN(C)C(=O)[O-])C1 ZINC000736443033 600756255 /nfs/dbraw/zinc/75/62/55/600756255.db2.gz KWEDJNRCYYNYSF-CYBMUJFWSA-N -1 1 316.398 1.276 20 0 DDADMM CC1(C)CCN(CC(=O)N2Cc3ccccc3C[C@H]2C(=O)[O-])C1 ZINC000828069509 600849380 /nfs/dbraw/zinc/84/93/80/600849380.db2.gz ZKCSMSNSRBEINF-HNNXBMFYSA-N -1 1 316.401 1.756 20 0 DDADMM Cc1ccc([C@H]2C[C@@H](C)CCN2CC(=O)NCCC(=O)[O-])o1 ZINC000832930051 600858230 /nfs/dbraw/zinc/85/82/30/600858230.db2.gz PEJNPPBYRBLJGZ-WCQYABFASA-N -1 1 308.378 1.952 20 0 DDADMM Cc1nnc(SCC(=O)Nc2cc(C(=O)[O-])ccc2F)[nH]1 ZINC000832990475 600877434 /nfs/dbraw/zinc/87/74/34/600877434.db2.gz CDMOSHQKBOEOEN-UHFFFAOYSA-N -1 1 310.310 1.681 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2cc(C(=O)[O-])ccc2F)n1 ZINC000832990475 600877436 /nfs/dbraw/zinc/87/74/36/600877436.db2.gz CDMOSHQKBOEOEN-UHFFFAOYSA-N -1 1 310.310 1.681 20 0 DDADMM C[C@@H]1CC[C@H](C)N1CC(=O)N1C[C@H](C(=O)[O-])Oc2ccccc21 ZINC000828214509 600881268 /nfs/dbraw/zinc/88/12/68/600881268.db2.gz OVMYQXLYSIJTON-TYNCELHUSA-N -1 1 318.373 1.738 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H](C(=O)Nc2nc(-c3ccco3)n[nH]2)C1 ZINC000833190186 600927917 /nfs/dbraw/zinc/92/79/17/600927917.db2.gz WIUUMHDAUIGMSP-DTWKUNHWSA-N -1 1 304.306 1.894 20 0 DDADMM CN(Cc1ccccc1)C(=O)CN1CCSC[C@H]1CC(=O)[O-] ZINC000381635349 601022198 /nfs/dbraw/zinc/02/21/98/601022198.db2.gz VDBRFOISPBDEBN-CQSZACIVSA-N -1 1 322.430 1.537 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN[C@](C)(C(=O)[O-])C2CC2)cc1 ZINC000825903058 601035914 /nfs/dbraw/zinc/03/59/14/601035914.db2.gz QOUSIJMBHKUMAJ-INIZCTEOSA-N -1 1 319.361 1.426 20 0 DDADMM COc1ccc(CNC(=O)CN[C@@](C)(C(=O)[O-])C2CC2)cc1 ZINC000832323095 601037866 /nfs/dbraw/zinc/03/78/66/601037866.db2.gz DNOCWYVOYTXLGP-MRXNPFEDSA-N -1 1 306.362 1.154 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cccnc2Cl)CC1 ZINC000827378850 601102366 /nfs/dbraw/zinc/10/23/66/601102366.db2.gz ATGXTNDRHFOTMH-SNVBAGLBSA-N -1 1 311.769 1.356 20 0 DDADMM CN(CCNC(=O)CCCNC(=O)[O-])Cc1ccc(F)cc1 ZINC000830785052 601147611 /nfs/dbraw/zinc/14/76/11/601147611.db2.gz FYLKCBHHWDKGPK-UHFFFAOYSA-N -1 1 311.357 1.422 20 0 DDADMM CN(CC(=O)OC(C)(C)C)Cc1ccnc(-c2nnn[n-]2)c1 ZINC000825897853 607492821 /nfs/dbraw/zinc/49/28/21/607492821.db2.gz OLDNTQKFNMJXBJ-UHFFFAOYSA-N -1 1 304.354 1.035 20 0 DDADMM CN(CC(=O)OC(C)(C)C)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000825897853 607492823 /nfs/dbraw/zinc/49/28/23/607492823.db2.gz OLDNTQKFNMJXBJ-UHFFFAOYSA-N -1 1 304.354 1.035 20 0 DDADMM COCc1cccc(CNC(=O)CN[C@](C)(C(=O)[O-])C2CC2)c1 ZINC000831817807 601176931 /nfs/dbraw/zinc/17/69/31/601176931.db2.gz XMNLBGGTPPOTJP-KRWDZBQOSA-N -1 1 320.389 1.292 20 0 DDADMM O=C([O-])C1CCN(C(=O)CSc2n[nH]c(=S)s2)CC1 ZINC000819253212 601194902 /nfs/dbraw/zinc/19/49/02/601194902.db2.gz RPHQBBQMXYBPIF-UHFFFAOYSA-N -1 1 319.433 1.242 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCC(N(C)C(C)=O)CC1 ZINC000830368990 601414980 /nfs/dbraw/zinc/41/49/80/601414980.db2.gz HOXCQOHTUCGJDA-UHFFFAOYSA-N -1 1 308.378 1.983 20 0 DDADMM CC[C@](C)(CC(=O)[O-])NC(=O)CSc1n[nH]c(=S)s1 ZINC000828628774 601468491 /nfs/dbraw/zinc/46/84/91/601468491.db2.gz SGOKJBIPEXNPIU-SNVBAGLBSA-N -1 1 321.449 1.679 20 0 DDADMM C[C@@H](C(=O)OC(C)(C)C)N(C)Cc1ccnc(-c2nnn[n-]2)c1 ZINC000824051763 607509882 /nfs/dbraw/zinc/50/98/82/607509882.db2.gz DGQHWXWRWNBOLF-JTQLQIEISA-N -1 1 318.381 1.424 20 0 DDADMM C[C@@H](C(=O)OC(C)(C)C)N(C)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000824051763 607509883 /nfs/dbraw/zinc/50/98/83/607509883.db2.gz DGQHWXWRWNBOLF-JTQLQIEISA-N -1 1 318.381 1.424 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@](F)(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000825982318 601714896 /nfs/dbraw/zinc/71/48/96/601714896.db2.gz AMEQDVUIEJLCEH-LRDDRELGSA-N -1 1 308.353 1.532 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nnn[n-]2)c1)c1ccc(F)cc1 ZINC000826495987 607528337 /nfs/dbraw/zinc/52/83/37/607528337.db2.gz IBWPZFUAFZDRDO-NRFANRHFSA-N -1 1 303.322 1.709 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nn[n-]n2)c1)c1ccc(F)cc1 ZINC000826495987 607528338 /nfs/dbraw/zinc/52/83/38/607528338.db2.gz IBWPZFUAFZDRDO-NRFANRHFSA-N -1 1 303.322 1.709 20 0 DDADMM CC(C)[C@H](NCC(=O)Nc1ccc(N2CCCC2)cc1)C(=O)[O-] ZINC000817977567 601822320 /nfs/dbraw/zinc/82/23/20/601822320.db2.gz NWONMZIRJCMTDO-INIZCTEOSA-N -1 1 319.405 1.924 20 0 DDADMM CC1=C(C(=O)OC(C)C)[C@@H](c2c[nH]c(C(=O)[O-])c2)NC(=S)N1 ZINC000828135061 601838686 /nfs/dbraw/zinc/83/86/86/601838686.db2.gz MPTHTKIZXFPKQJ-LLVKDONJSA-N -1 1 323.374 1.457 20 0 DDADMM O=C(CN(C1CC1)C1CCN(C(=O)[O-])CC1)Nc1ccon1 ZINC000740368509 601932778 /nfs/dbraw/zinc/93/27/78/601932778.db2.gz WFVHBYSYXVVLTF-UHFFFAOYSA-N -1 1 308.338 1.220 20 0 DDADMM C[C@H](C(=O)NCCC1=CCCCC1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738629227 601951141 /nfs/dbraw/zinc/95/11/41/601951141.db2.gz NPXBKCSGDPTZPT-HIFRSBDPSA-N -1 1 323.437 1.971 20 0 DDADMM COc1cc(C=CC(=O)[O-])ccc1OC(=O)CCc1nc[nH]n1 ZINC000831978197 601972341 /nfs/dbraw/zinc/97/23/41/601972341.db2.gz ZFBUZAUCCPFHLX-UTCJRWHESA-N -1 1 317.301 1.449 20 0 DDADMM O=C(N[C@H]1CCCC[C@H]1CO)c1ccc(-c2nnn[n-]2)s1 ZINC000826429339 607993841 /nfs/dbraw/zinc/99/38/41/607993841.db2.gz AFNWCNYGAMCRSY-IUCAKERBSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(N[C@H]1CCCC[C@H]1CO)c1ccc(-c2nn[n-]n2)s1 ZINC000826429339 607993842 /nfs/dbraw/zinc/99/38/42/607993842.db2.gz AFNWCNYGAMCRSY-IUCAKERBSA-N -1 1 307.379 1.209 20 0 DDADMM COc1cc(C=O)ccc1OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826178814 607540418 /nfs/dbraw/zinc/54/04/18/607540418.db2.gz NRBUZOXDMPTXFZ-UHFFFAOYSA-N -1 1 311.301 1.662 20 0 DDADMM COc1cc(C=O)ccc1OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826178814 607540420 /nfs/dbraw/zinc/54/04/20/607540420.db2.gz NRBUZOXDMPTXFZ-UHFFFAOYSA-N -1 1 311.301 1.662 20 0 DDADMM CNC(=O)c1cccc(NCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000826073386 607547114 /nfs/dbraw/zinc/54/71/14/607547114.db2.gz QCUYSAZDKPSHSZ-UHFFFAOYSA-N -1 1 309.333 1.233 20 0 DDADMM CNC(=O)c1cccc(NCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000826073386 607547116 /nfs/dbraw/zinc/54/71/16/607547116.db2.gz QCUYSAZDKPSHSZ-UHFFFAOYSA-N -1 1 309.333 1.233 20 0 DDADMM COc1cc(OC)cc([C@H](NCc2cnn(C)c2)C(=O)[O-])c1 ZINC000832057347 602169875 /nfs/dbraw/zinc/16/98/75/602169875.db2.gz ONGFAROJDAHDHQ-AWEZNQCLSA-N -1 1 305.334 1.353 20 0 DDADMM O=C([O-])N1CCC[C@@H]1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000740475444 602326514 /nfs/dbraw/zinc/32/65/14/602326514.db2.gz KTQMEXYMEPEWPS-GFCCVEGCSA-N -1 1 301.306 1.348 20 0 DDADMM Cn1cc(NC(=O)N2CCN3CCCC[C@@H]3C2)cc1C(=O)[O-] ZINC000833049961 602375695 /nfs/dbraw/zinc/37/56/95/602375695.db2.gz YOZUMXCKXSBEQM-GFCCVEGCSA-N -1 1 306.366 1.425 20 0 DDADMM C[C@H]1CCCCN1C(=O)CN1CCC(CN(C)C(=O)[O-])CC1 ZINC000739104804 602408101 /nfs/dbraw/zinc/40/81/01/602408101.db2.gz JPLOYBKPXXGROB-ZDUSSCGKSA-N -1 1 311.426 1.709 20 0 DDADMM O=C([O-])N1CC[C@@H](NC(=O)[C@@H]2CCCN2Cc2ccccc2)C1 ZINC000740401033 602473999 /nfs/dbraw/zinc/47/39/99/602473999.db2.gz RKQROVJCGDJZIZ-CABCVRRESA-N -1 1 317.389 1.520 20 0 DDADMM COCCN1CC[C@@H](NC(=O)c2cccc(NC(=O)[O-])c2)C1 ZINC000737809102 602574513 /nfs/dbraw/zinc/57/45/13/602574513.db2.gz GMKKDVMLKPRBAZ-CYBMUJFWSA-N -1 1 307.350 1.227 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000740031827 602609015 /nfs/dbraw/zinc/60/90/15/602609015.db2.gz PDCHLTSNJHHXPS-GFCCVEGCSA-N -1 1 323.397 1.292 20 0 DDADMM CCc1cc(CNC(=O)[C@@H]2C[C@H]3CCCC[C@@H]3N2C(=O)[O-])n[nH]1 ZINC000739536966 602717364 /nfs/dbraw/zinc/71/73/64/602717364.db2.gz XGEIDLLNPVRIGQ-SWHYSGLUSA-N -1 1 320.393 1.899 20 0 DDADMM C[C@H]1CN(Cc2cccc3c2OCCO3)CC[C@@H]1NC(=O)[O-] ZINC000739195044 602773680 /nfs/dbraw/zinc/77/36/80/602773680.db2.gz XDYLTPXWLGHQJL-AAEUAGOBSA-N -1 1 306.362 1.936 20 0 DDADMM COc1ccccc1[C@@H](NC(=O)[O-])C(=O)Nc1nnc(C)[nH]1 ZINC000739967698 602903212 /nfs/dbraw/zinc/90/32/12/602903212.db2.gz JAHGRTLYSOUNTJ-SNVBAGLBSA-N -1 1 305.294 1.069 20 0 DDADMM COc1ccccc1[C@@H](NC(=O)[O-])C(=O)Nc1nc(C)n[nH]1 ZINC000739967698 602903214 /nfs/dbraw/zinc/90/32/14/602903214.db2.gz JAHGRTLYSOUNTJ-SNVBAGLBSA-N -1 1 305.294 1.069 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)NC[C@@H]1CCCN1C(=O)[O-] ZINC000738801625 602913211 /nfs/dbraw/zinc/91/32/11/602913211.db2.gz SNMMQOOYAAUNMK-STQMWFEESA-N -1 1 312.414 1.110 20 0 DDADMM CC(C)(C)CNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000824094406 607594856 /nfs/dbraw/zinc/59/48/56/607594856.db2.gz UNRRNJYXMDYDGL-UHFFFAOYSA-N -1 1 313.358 1.330 20 0 DDADMM C[C@H]1CN(C(=O)Cc2n[nH]c3ccccc32)CCN1C(=O)[O-] ZINC000739132178 602949150 /nfs/dbraw/zinc/94/91/50/602949150.db2.gz DTQFNRNMDVFAEN-JTQLQIEISA-N -1 1 302.334 1.316 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N[C@@H]1CCCCN(C(=O)[O-])C1 ZINC000740029988 602956554 /nfs/dbraw/zinc/95/65/54/602956554.db2.gz GUSCRDSYLOWAEX-CYBMUJFWSA-N -1 1 323.397 1.482 20 0 DDADMM C[C@H](C(=O)N(C)[C@@H](C)CNC(=O)[O-])N1CCc2ccccc2C1 ZINC000738605772 603198302 /nfs/dbraw/zinc/19/83/02/603198302.db2.gz XTPIYVRMVIVZIS-QWHCGFSZSA-N -1 1 319.405 1.548 20 0 DDADMM C[C@@H](CC(=O)NC[C@@H](c1ccco1)N1CCCC1)NC(=O)[O-] ZINC000824627991 603232949 /nfs/dbraw/zinc/23/29/49/603232949.db2.gz BWYLFYXYTNCAQW-RYUDHWBXSA-N -1 1 309.366 1.579 20 0 DDADMM O=C([O-])N1CC[C@@H](C(=O)NCc2n[nH]c(-c3ccccc3)n2)C1 ZINC000740453623 603295466 /nfs/dbraw/zinc/29/54/66/603295466.db2.gz PEPPTQMIWPYSSS-LLVKDONJSA-N -1 1 315.333 1.088 20 0 DDADMM O=C([O-])N1CC[C@@H](C(=O)NCc2nc(-c3ccccc3)n[nH]2)C1 ZINC000740453623 603295468 /nfs/dbraw/zinc/29/54/68/603295468.db2.gz PEPPTQMIWPYSSS-LLVKDONJSA-N -1 1 315.333 1.088 20 0 DDADMM CN(C(=O)c1ccc2[nH]nnc2c1)C1CCC(NC(=O)[O-])CC1 ZINC000827938123 603475501 /nfs/dbraw/zinc/47/55/01/603475501.db2.gz GWONXOAVPBOJTA-UHFFFAOYSA-N -1 1 317.349 1.609 20 0 DDADMM CN(C[C@@H](O)c1c(F)cccc1F)[C@H]1CCN(C(=O)[O-])C1 ZINC000828170931 603495821 /nfs/dbraw/zinc/49/58/21/603495821.db2.gz VNONLSHLLUPRFY-JOYOIKCWSA-N -1 1 300.305 1.682 20 0 DDADMM C[C@@H]1CN(C(=O)c2sccc2CN(C)C)CCN1C(=O)[O-] ZINC000826041812 603523449 /nfs/dbraw/zinc/52/34/49/603523449.db2.gz KKUAWISTCAGQSP-SNVBAGLBSA-N -1 1 311.407 1.634 20 0 DDADMM O=C([O-])N1CC[C@@H](NC(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000831805562 603557137 /nfs/dbraw/zinc/55/71/37/603557137.db2.gz DXENYTOJZYAJKJ-SNVBAGLBSA-N -1 1 316.321 1.141 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1CCc1nc2ccccc2c(=O)[nH]1 ZINC000832573323 603576011 /nfs/dbraw/zinc/57/60/11/603576011.db2.gz MMZJKUMRJWCNLR-LLVKDONJSA-N -1 1 316.361 1.610 20 0 DDADMM COC(=O)c1ccc(CN(C)[C@@H]2CCN(C(=O)[O-])C2)cc1F ZINC000828772980 603609984 /nfs/dbraw/zinc/60/99/84/603609984.db2.gz WGJXIIPTKCQLKU-LLVKDONJSA-N -1 1 310.325 1.796 20 0 DDADMM O=C([O-])N1CCC[C@H]1CNC(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000831648561 603633645 /nfs/dbraw/zinc/63/36/45/603633645.db2.gz XXDJDUNJMCDMEE-LBPRGKRZSA-N -1 1 321.381 1.441 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@@H](C)[C@H]2CNC(=O)[O-])n[nH]1 ZINC000826948288 603691519 /nfs/dbraw/zinc/69/15/19/603691519.db2.gz AEELZONSPCVEEL-ZWNOBZJWSA-N -1 1 308.382 1.871 20 0 DDADMM CNC(=O)c1cccc(CN2CCC[C@@H](C)[C@H]2CNC(=O)[O-])c1 ZINC000828568122 603724887 /nfs/dbraw/zinc/72/48/87/603724887.db2.gz ZYHLAHKZGDEKOV-IUODEOHRSA-N -1 1 319.405 1.914 20 0 DDADMM C[C@@H]1CCCN(CC(=O)N2CCCC[C@@H]2C)[C@H]1CNC(=O)[O-] ZINC000825919499 603725713 /nfs/dbraw/zinc/72/57/13/603725713.db2.gz NVIWMUOTIOUMDB-RDBSUJKOSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@H](C(=O)N1C[C@H](C)C[C@H](C)C1)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000825784137 603805706 /nfs/dbraw/zinc/80/57/06/603805706.db2.gz WCJDJOVABKYBAD-XJFOESAGSA-N -1 1 311.426 1.564 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCN(C[C@@H](O)COc2ccccc2F)C1 ZINC000827881613 603814699 /nfs/dbraw/zinc/81/46/99/603814699.db2.gz GDVGXGGEQJXXOE-NWDGAFQWSA-N -1 1 312.341 1.250 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)NCCc2nc[nH]n2)c(Cl)c1 ZINC000832834024 603926712 /nfs/dbraw/zinc/92/67/12/603926712.db2.gz TZOMBZXEZLPMPS-UHFFFAOYSA-N -1 1 309.713 1.521 20 0 DDADMM O=C([O-])N[C@H]1CC[C@@H](C(=O)NCc2nc3ccc(F)cc3[nH]2)C1 ZINC000832278421 603936638 /nfs/dbraw/zinc/93/66/38/603936638.db2.gz NSBUTQORTQEWEK-SCZZXKLOSA-N -1 1 320.324 1.755 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@H](CNC(=O)[O-])C1CC1 ZINC000829833247 603943383 /nfs/dbraw/zinc/94/33/83/603943383.db2.gz HFCBDJDDCVNAKR-SSDOTTSWSA-N -1 1 320.271 1.513 20 0 DDADMM C[C@@H](CN(C)C(=O)[O-])C(=O)NC[C@H](c1cccs1)N(C)C ZINC000824853762 604062518 /nfs/dbraw/zinc/06/25/18/604062518.db2.gz CVOBNSZCBHDPOJ-WDEREUQCSA-N -1 1 313.423 1.713 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@@]2(F)CCN(C(=O)[O-])C2)c1 ZINC000828046127 604067132 /nfs/dbraw/zinc/06/71/32/604067132.db2.gz GCJYFSZCAUDVNJ-CQSZACIVSA-N -1 1 310.329 1.174 20 0 DDADMM COC(=O)CN(C[C@@H]1CCCN(C(=O)[O-])C1)C1CCOCC1 ZINC000828684342 604112086 /nfs/dbraw/zinc/11/20/86/604112086.db2.gz SZSPMDZBBDDIOS-GFCCVEGCSA-N -1 1 314.382 1.030 20 0 DDADMM C[C@H](NC(=O)[O-])c1ccc(NC(=O)CCc2nc[nH]n2)cc1 ZINC000825423345 604155216 /nfs/dbraw/zinc/15/52/16/604155216.db2.gz PBFRQUPSDOCKAZ-VIFPVBQESA-N -1 1 303.322 1.705 20 0 DDADMM O=C([O-])NC[C@H](NC(=O)CCc1nc[nH]n1)C1CCCCC1 ZINC000832422750 604155280 /nfs/dbraw/zinc/15/52/80/604155280.db2.gz ZEPJBKUUOLQDMM-NSHDSACASA-N -1 1 309.370 1.070 20 0 DDADMM C[C@H](CN1CCC[C@@H]([C@H](C)NC(=O)[O-])C1)CS(C)(=O)=O ZINC000824902995 604229987 /nfs/dbraw/zinc/22/99/87/604229987.db2.gz SOSCWUOUGBUMIX-GRYCIOLGSA-N -1 1 306.428 1.035 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000825201064 604249196 /nfs/dbraw/zinc/24/91/96/604249196.db2.gz JMFMMTDQOQLVAY-TUAOUCFPSA-N -1 1 320.393 1.724 20 0 DDADMM CN(C)Cc1cc(CNC(=O)C2(NC(=O)[O-])CC2)ccc1F ZINC000828043077 604482529 /nfs/dbraw/zinc/48/25/29/604482529.db2.gz ZWSAMHIFESIILP-UHFFFAOYSA-N -1 1 309.341 1.304 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@H]1C(=O)[O-] ZINC000833622158 604490530 /nfs/dbraw/zinc/49/05/30/604490530.db2.gz MCWFGOAKGWTCQK-JOYOIKCWSA-N -1 1 300.318 1.264 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCN(Cc2c[nH]c(C(=O)[O-])c2)C1 ZINC000833438343 604619742 /nfs/dbraw/zinc/61/97/42/604619742.db2.gz HWMMVJFFQQAVNH-NSHDSACASA-N -1 1 309.366 1.812 20 0 DDADMM C[C@H](CN(Cc1nc2ccccc2c(=O)n1C)C1CC1)C(=O)[O-] ZINC000827455096 604740156 /nfs/dbraw/zinc/74/01/56/604740156.db2.gz JCWNBKLXTUBQMA-LLVKDONJSA-N -1 1 315.373 1.619 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)Cc1cccc(C(=O)[O-])c1 ZINC000833470684 604756349 /nfs/dbraw/zinc/75/63/49/604756349.db2.gz DZJUXLJNOOHGBF-OAHLLOKOSA-N -1 1 304.390 1.726 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1CCCc2ccccc21 ZINC000320744951 604895946 /nfs/dbraw/zinc/89/59/46/604895946.db2.gz ZJXAOPPNTBMLRW-CABCVRRESA-N -1 1 313.357 1.642 20 0 DDADMM C[C@H](c1ccc(C(=O)[O-])o1)N1CCC(N2CCCNC2=O)CC1 ZINC000833547473 605049706 /nfs/dbraw/zinc/04/97/06/605049706.db2.gz SWHQRBWLTYHYOA-LLVKDONJSA-N -1 1 321.377 1.919 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2cc[nH]c2c1 ZINC000833627291 605104242 /nfs/dbraw/zinc/10/42/42/605104242.db2.gz WKRRGMORRXURNG-LBPRGKRZSA-N -1 1 315.373 1.789 20 0 DDADMM CC(C)COCCC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833487892 605108238 /nfs/dbraw/zinc/10/82/38/605108238.db2.gz JTFSZHHXEWHCDV-CYBMUJFWSA-N -1 1 300.399 1.057 20 0 DDADMM CCN(CC)[C@@H](C(=O)N1CC[C@H](NC(=O)[O-])C1)c1ccccc1 ZINC000739406706 605128915 /nfs/dbraw/zinc/12/89/15/605128915.db2.gz LDTLJPBJHCPZBT-LSDHHAIUSA-N -1 1 319.405 1.938 20 0 DDADMM CCC[C@H](C(=O)Nc1cc(C(=O)[O-])cc(C(=O)OC)c1)N(C)C ZINC000833647483 605146069 /nfs/dbraw/zinc/14/60/69/605146069.db2.gz DUQCRJBUGXSHEL-CYBMUJFWSA-N -1 1 322.361 1.840 20 0 DDADMM CC(C)(C)N(CCS(C)(=O)=O)C[C@@H]1CCN(C(=O)[O-])C1 ZINC000823766460 605185031 /nfs/dbraw/zinc/18/50/31/605185031.db2.gz OHGRZOJCFWBZSQ-LLVKDONJSA-N -1 1 306.428 1.131 20 0 DDADMM C[C@H]1CN(C(=O)CC[C@@H]2CCCCO2)CCN1CCC(=O)[O-] ZINC000833617767 605252984 /nfs/dbraw/zinc/25/29/84/605252984.db2.gz GVHBAISCOKKKGD-KBPBESRZSA-N -1 1 312.410 1.343 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCN(CCC(=O)[O-])[C@@H](C)C1 ZINC000833542186 605253150 /nfs/dbraw/zinc/25/31/50/605253150.db2.gz ZGNPNJBRRNSDPB-KBPBESRZSA-N -1 1 320.389 1.461 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)C2CCN(C(=O)[O-])CC2)[nH]n1 ZINC000831031680 605337224 /nfs/dbraw/zinc/33/72/24/605337224.db2.gz SYCDIJSGOZLQEZ-UHFFFAOYSA-N -1 1 318.337 1.139 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2ccc(CNC(=O)[O-])cc2)n[nH]1 ZINC000830036104 605436274 /nfs/dbraw/zinc/43/62/74/605436274.db2.gz JNGDQUINHCPKGE-JTQLQIEISA-N -1 1 316.361 1.847 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(Cc3cc(=O)c(O)co3)C[C@@H]21 ZINC000834178304 605447674 /nfs/dbraw/zinc/44/76/74/605447674.db2.gz FEVARTDZBYVDAJ-ADEWGFFLSA-N -1 1 308.334 1.214 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@H](NC(=O)[O-])C[C@H]2C)n[nH]1 ZINC000830029100 605570957 /nfs/dbraw/zinc/57/09/57/605570957.db2.gz FGIIXHDUCBMFJH-WCQGTBRESA-N -1 1 323.397 1.479 20 0 DDADMM Cc1nn2c(CN3CC[C@H]([C@@H](C)NC(=O)[O-])C3)c(C)nc2s1 ZINC000833919925 605655269 /nfs/dbraw/zinc/65/52/69/605655269.db2.gz BHNYUGWFFOAZOK-KCJUWKMLSA-N -1 1 323.422 1.886 20 0 DDADMM O=C([O-])N1CC(CNCc2cnn(-c3ccc(Cl)cc3)n2)C1 ZINC000834069447 605737453 /nfs/dbraw/zinc/73/74/53/605737453.db2.gz YYIGRARTKJCDIN-UHFFFAOYSA-N -1 1 321.768 1.620 20 0 DDADMM O=C([O-])N1CCc2ccc(OS(=O)(=O)c3c[nH]cn3)cc2C1 ZINC000834122694 605815046 /nfs/dbraw/zinc/81/50/46/605815046.db2.gz KIPNYGYBUGLXCX-UHFFFAOYSA-N -1 1 323.330 1.214 20 0 DDADMM COc1ccc(-n2ccc(CN[C@@H]3CCN(C(=O)[O-])C3)n2)cc1 ZINC000829258531 605849629 /nfs/dbraw/zinc/84/96/29/605849629.db2.gz BZVNBIZGACGCLV-CYBMUJFWSA-N -1 1 316.361 1.723 20 0 DDADMM O=C([O-])N1CCC2(C[C@H]2C(=O)N2CCN3CCCC[C@@H]3C2)CC1 ZINC000834088428 605858910 /nfs/dbraw/zinc/85/89/10/605858910.db2.gz NMQSMYJIQFEEOU-KGLIPLIRSA-N -1 1 321.421 1.463 20 0 DDADMM CCOc1ccc(OC[C@H](O)CN2CC[C@H](NC(=O)[O-])C2)cc1 ZINC000739505737 605921067 /nfs/dbraw/zinc/92/10/67/605921067.db2.gz SYOCATLHEXVDMD-QWHCGFSZSA-N -1 1 324.377 1.167 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)Nc2nc(SCCO)n[nH]2)cc1 ZINC000834256653 605951966 /nfs/dbraw/zinc/95/19/66/605951966.db2.gz RVDDMWPSYHDXEL-UHFFFAOYSA-N -1 1 323.334 1.231 20 0 DDADMM Cn1cc(CN2CC[C@@H](NC(=O)[O-])C2)c(-c2ccccc2)n1 ZINC000833948671 605991213 /nfs/dbraw/zinc/99/12/13/605991213.db2.gz IUEQBJPSJWCLKY-CQSZACIVSA-N -1 1 300.362 1.929 20 0 DDADMM O=C([O-])N1CCC[C@@H](N[C@H]2CS(=O)(=O)c3ccccc32)CC1 ZINC000834100207 606044437 /nfs/dbraw/zinc/04/44/37/606044437.db2.gz GXBKPLYUZYRGAH-YPMHNXCESA-N -1 1 324.402 1.637 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1)NC(=O)[O-] ZINC000833799462 606092632 /nfs/dbraw/zinc/09/26/32/606092632.db2.gz JZOGPLKVVPCDBL-MWLCHTKSSA-N -1 1 321.381 1.434 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC000821447064 606105031 /nfs/dbraw/zinc/10/50/31/606105031.db2.gz HJVCYFOTJAOXRV-IMXIGIQMSA-N -1 1 321.410 1.148 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC000821447064 606105034 /nfs/dbraw/zinc/10/50/34/606105034.db2.gz HJVCYFOTJAOXRV-IMXIGIQMSA-N -1 1 321.410 1.148 20 0 DDADMM C[C@H]1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)c2ccccc21 ZINC000820744695 606156626 /nfs/dbraw/zinc/15/66/26/606156626.db2.gz ZZHUNRHKZIPDPB-VIFPVBQESA-N -1 1 324.348 1.756 20 0 DDADMM C[C@H]1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)c2ccccc21 ZINC000820744695 606156628 /nfs/dbraw/zinc/15/66/28/606156628.db2.gz ZZHUNRHKZIPDPB-VIFPVBQESA-N -1 1 324.348 1.756 20 0 DDADMM CCOC(=O)[C@@H](C)COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821314543 606179954 /nfs/dbraw/zinc/17/99/54/606179954.db2.gz LNRGHZDQAYYEDX-ZETCQYMHSA-N -1 1 310.335 1.284 20 0 DDADMM CCOC(=O)[C@@H](C)COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821314543 606179955 /nfs/dbraw/zinc/17/99/55/606179955.db2.gz LNRGHZDQAYYEDX-ZETCQYMHSA-N -1 1 310.335 1.284 20 0 DDADMM CCOC(=O)[C@H](C)COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821314542 606180136 /nfs/dbraw/zinc/18/01/36/606180136.db2.gz LNRGHZDQAYYEDX-SSDOTTSWSA-N -1 1 310.335 1.284 20 0 DDADMM CCOC(=O)[C@H](C)COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821314542 606180138 /nfs/dbraw/zinc/18/01/38/606180138.db2.gz LNRGHZDQAYYEDX-SSDOTTSWSA-N -1 1 310.335 1.284 20 0 DDADMM CC(C)C[C@@H](CCO)CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820054941 606289659 /nfs/dbraw/zinc/28/96/59/606289659.db2.gz DVTHMDFOSINFLP-LLVKDONJSA-N -1 1 318.381 1.036 20 0 DDADMM CC(C)C[C@@H](CCO)CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820054941 606289660 /nfs/dbraw/zinc/28/96/60/606289660.db2.gz DVTHMDFOSINFLP-LLVKDONJSA-N -1 1 318.381 1.036 20 0 DDADMM CN1c2ccccc2C(=O)N[C@@H]1c1ccc(-c2nn[n-]n2)s1 ZINC000821629794 606393781 /nfs/dbraw/zinc/39/37/81/606393781.db2.gz BVQXCVWYXORDEF-ZDUSSCGKSA-N -1 1 312.358 1.807 20 0 DDADMM CCN(Cc1ccccn1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821230311 606548534 /nfs/dbraw/zinc/54/85/34/606548534.db2.gz GPHXAIJFTWZBIF-UHFFFAOYSA-N -1 1 309.333 1.319 20 0 DDADMM CCN(Cc1ccccn1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821230311 606548536 /nfs/dbraw/zinc/54/85/36/606548536.db2.gz GPHXAIJFTWZBIF-UHFFFAOYSA-N -1 1 309.333 1.319 20 0 DDADMM CCCCOC(=O)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821072615 606555019 /nfs/dbraw/zinc/55/50/19/606555019.db2.gz UQNWWGWVQPARKT-UHFFFAOYSA-N -1 1 309.351 1.001 20 0 DDADMM CCCCOC(=O)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821072615 606555020 /nfs/dbraw/zinc/55/50/20/606555020.db2.gz UQNWWGWVQPARKT-UHFFFAOYSA-N -1 1 309.351 1.001 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(-c3nnn[n-]3)s2)C(C)(C)CO1 ZINC000820602016 606563109 /nfs/dbraw/zinc/56/31/09/606563109.db2.gz YIMHZUQAFHREFE-UHFFFAOYSA-N -1 1 321.406 1.958 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(-c3nn[n-]n3)s2)C(C)(C)CO1 ZINC000820602016 606563111 /nfs/dbraw/zinc/56/31/11/606563111.db2.gz YIMHZUQAFHREFE-UHFFFAOYSA-N -1 1 321.406 1.958 20 0 DDADMM O=C(NC[C@@H](O)c1ccsc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823166780 606598882 /nfs/dbraw/zinc/59/88/82/606598882.db2.gz PFHWPWVISPOVFI-MRVPVSSYSA-N -1 1 321.387 1.453 20 0 DDADMM O=C(NC[C@@H](O)c1ccsc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823166780 606598884 /nfs/dbraw/zinc/59/88/84/606598884.db2.gz PFHWPWVISPOVFI-MRVPVSSYSA-N -1 1 321.387 1.453 20 0 DDADMM CC(=O)NC(C)(C)c1noc(-c2ccc(-c3nnn[n-]3)s2)n1 ZINC000819804542 606619381 /nfs/dbraw/zinc/61/93/81/606619381.db2.gz WVACQGSGYKBUMR-UHFFFAOYSA-N -1 1 319.350 1.349 20 0 DDADMM CC(=O)NC(C)(C)c1noc(-c2ccc(-c3nn[n-]n3)s2)n1 ZINC000819804542 606619382 /nfs/dbraw/zinc/61/93/82/606619382.db2.gz WVACQGSGYKBUMR-UHFFFAOYSA-N -1 1 319.350 1.349 20 0 DDADMM O=C(Cc1ccsc1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000823115877 606653743 /nfs/dbraw/zinc/65/37/43/606653743.db2.gz WCSMUDOTJCQVFU-UHFFFAOYSA-N -1 1 301.331 1.609 20 0 DDADMM O=C(Cc1ccsc1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000823115877 606653744 /nfs/dbraw/zinc/65/37/44/606653744.db2.gz WCSMUDOTJCQVFU-UHFFFAOYSA-N -1 1 301.331 1.609 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)Nc1ccc(F)cc1Cl ZINC000823123129 606655261 /nfs/dbraw/zinc/65/52/61/606655261.db2.gz OTQQHDWCJQKOND-UHFFFAOYSA-N -1 1 321.703 1.494 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)Nc1ccc(F)cc1Cl ZINC000823123129 606655262 /nfs/dbraw/zinc/65/52/62/606655262.db2.gz OTQQHDWCJQKOND-UHFFFAOYSA-N -1 1 321.703 1.494 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])O1 ZINC000820971693 606849081 /nfs/dbraw/zinc/84/90/81/606849081.db2.gz DWNQXQXUAVAIQP-JOYOIKCWSA-N -1 1 303.322 1.468 20 0 DDADMM c1cc([C@@H]2CN(c3cccc(-c4nnn[n-]4)n3)CCO2)cs1 ZINC000823742427 606949782 /nfs/dbraw/zinc/94/97/82/606949782.db2.gz GBOWFAJXMSADMD-LBPRGKRZSA-N -1 1 314.374 1.901 20 0 DDADMM c1cc([C@@H]2CN(c3cccc(-c4nn[n-]n4)n3)CCO2)cs1 ZINC000823742427 606949783 /nfs/dbraw/zinc/94/97/83/606949783.db2.gz GBOWFAJXMSADMD-LBPRGKRZSA-N -1 1 314.374 1.901 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@@H]1Nc1nccnc1-c1nnn[n-]1 ZINC000820958449 607033552 /nfs/dbraw/zinc/03/35/52/607033552.db2.gz VMYKGXQDFYPDEF-VHSXEESVSA-N -1 1 303.370 1.662 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@@H]1Nc1nccnc1-c1nn[n-]n1 ZINC000820958449 607033553 /nfs/dbraw/zinc/03/35/53/607033553.db2.gz VMYKGXQDFYPDEF-VHSXEESVSA-N -1 1 303.370 1.662 20 0 DDADMM C[C@@H]1CCC[C@@H](OCC(=O)Nc2ncc(-c3nnn[n-]3)s2)C1 ZINC000820697494 607104110 /nfs/dbraw/zinc/10/41/10/607104110.db2.gz HWXMMOXZUCNORR-RKDXNWHRSA-N -1 1 322.394 1.857 20 0 DDADMM C[C@@H]1CCC[C@@H](OCC(=O)Nc2ncc(-c3nn[n-]n3)s2)C1 ZINC000820697494 607104113 /nfs/dbraw/zinc/10/41/13/607104113.db2.gz HWXMMOXZUCNORR-RKDXNWHRSA-N -1 1 322.394 1.857 20 0 DDADMM COc1ccc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)cc1 ZINC000821772471 607106051 /nfs/dbraw/zinc/10/60/51/607106051.db2.gz AILGXFNCQUSANS-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM COc1ccc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)cc1 ZINC000821772471 607106053 /nfs/dbraw/zinc/10/60/53/607106053.db2.gz AILGXFNCQUSANS-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM Cc1ccc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)c(C)n1 ZINC000822227288 607107987 /nfs/dbraw/zinc/10/79/87/607107987.db2.gz CEWWFMXEWHPRSY-UHFFFAOYSA-N -1 1 301.335 1.587 20 0 DDADMM Cc1ccc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)c(C)n1 ZINC000822227288 607107989 /nfs/dbraw/zinc/10/79/89/607107989.db2.gz CEWWFMXEWHPRSY-UHFFFAOYSA-N -1 1 301.335 1.587 20 0 DDADMM COCc1nc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)cs1 ZINC000821695998 607109377 /nfs/dbraw/zinc/10/93/77/607109377.db2.gz QXYBTNHGGQZNNV-UHFFFAOYSA-N -1 1 323.363 1.178 20 0 DDADMM COCc1nc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)cs1 ZINC000821695998 607109379 /nfs/dbraw/zinc/10/93/79/607109379.db2.gz QXYBTNHGGQZNNV-UHFFFAOYSA-N -1 1 323.363 1.178 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1csc2cncn21 ZINC000823383342 607110927 /nfs/dbraw/zinc/11/09/27/607110927.db2.gz PXNLODQYCPALIV-UHFFFAOYSA-N -1 1 318.347 1.285 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1csc2cncn21 ZINC000823383342 607110929 /nfs/dbraw/zinc/11/09/29/607110929.db2.gz PXNLODQYCPALIV-UHFFFAOYSA-N -1 1 318.347 1.285 20 0 DDADMM O=C(CCc1ccncc1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826409194 607993377 /nfs/dbraw/zinc/99/33/77/607993377.db2.gz MOJXTPYVZXTRCE-UHFFFAOYSA-N -1 1 310.317 1.333 20 0 DDADMM O=C(CCc1ccncc1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826409194 607993378 /nfs/dbraw/zinc/99/33/78/607993378.db2.gz MOJXTPYVZXTRCE-UHFFFAOYSA-N -1 1 310.317 1.333 20 0 DDADMM CC[C@H](C[C@H](C)CO)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825082051 607994255 /nfs/dbraw/zinc/99/42/55/607994255.db2.gz PHGMOGBESXDMBF-DTWKUNHWSA-N -1 1 309.395 1.455 20 0 DDADMM CC[C@H](C[C@H](C)CO)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825082051 607994256 /nfs/dbraw/zinc/99/42/56/607994256.db2.gz PHGMOGBESXDMBF-DTWKUNHWSA-N -1 1 309.395 1.455 20 0 DDADMM O=C(N[C@H](c1cccnc1)C1CC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826427151 608008920 /nfs/dbraw/zinc/00/89/20/608008920.db2.gz HGJGZPPIPFHSMA-AWEZNQCLSA-N -1 1 321.344 1.538 20 0 DDADMM O=C(N[C@H](c1cccnc1)C1CC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826427151 608008921 /nfs/dbraw/zinc/00/89/21/608008921.db2.gz HGJGZPPIPFHSMA-AWEZNQCLSA-N -1 1 321.344 1.538 20 0 DDADMM CC(C)(C)[C@@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000824080632 608131082 /nfs/dbraw/zinc/13/10/82/608131082.db2.gz YSFVOQNTMXOYHK-LLVKDONJSA-N -1 1 300.366 1.770 20 0 DDADMM CC(C)(C)[C@@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000824080632 608131083 /nfs/dbraw/zinc/13/10/83/608131083.db2.gz YSFVOQNTMXOYHK-LLVKDONJSA-N -1 1 300.366 1.770 20 0 DDADMM Clc1nsc(N2CCc3n[nH]cc3C2)c1-c1nnn[n-]1 ZINC000826354271 608179595 /nfs/dbraw/zinc/17/95/95/608179595.db2.gz WBKUBUYMRYIWOC-UHFFFAOYSA-N -1 1 308.758 1.262 20 0 DDADMM Clc1nsc(N2CCc3n[nH]cc3C2)c1-c1nn[n-]n1 ZINC000826354271 608179597 /nfs/dbraw/zinc/17/95/97/608179597.db2.gz WBKUBUYMRYIWOC-UHFFFAOYSA-N -1 1 308.758 1.262 20 0 DDADMM CCS[C@@H]1CCCCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000825668626 608418064 /nfs/dbraw/zinc/41/80/64/608418064.db2.gz HMQLWWIKYPLGMH-SNVBAGLBSA-N -1 1 305.411 1.769 20 0 DDADMM CCS[C@@H]1CCCCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000825668626 608418063 /nfs/dbraw/zinc/41/80/63/608418063.db2.gz HMQLWWIKYPLGMH-SNVBAGLBSA-N -1 1 305.411 1.769 20 0 DDADMM c1cc(N2CCC(OCC3CC3)CC2)nnc1-c1nnn[n-]1 ZINC000826516338 608427140 /nfs/dbraw/zinc/42/71/40/608427140.db2.gz HOHWFVMGXLZDQR-UHFFFAOYSA-N -1 1 301.354 1.052 20 0 DDADMM c1cc(N2CCC(OCC3CC3)CC2)nnc1-c1nn[n-]n1 ZINC000826516338 608427143 /nfs/dbraw/zinc/42/71/43/608427143.db2.gz HOHWFVMGXLZDQR-UHFFFAOYSA-N -1 1 301.354 1.052 20 0 DDADMM C[C@H](Nc1ccc(-c2nnn[n-]2)nn1)[C@H](O)c1cccc(F)c1 ZINC000824650973 608428573 /nfs/dbraw/zinc/42/85/73/608428573.db2.gz WNCIWURBKADAGW-SDBXPKJASA-N -1 1 315.312 1.330 20 0 DDADMM C[C@H](Nc1ccc(-c2nn[n-]n2)nn1)[C@H](O)c1cccc(F)c1 ZINC000824650973 608428575 /nfs/dbraw/zinc/42/85/75/608428575.db2.gz WNCIWURBKADAGW-SDBXPKJASA-N -1 1 315.312 1.330 20 0 DDADMM Clc1cccnc1OCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826353927 608433255 /nfs/dbraw/zinc/43/32/55/608433255.db2.gz JMYWGULKPBLDLF-UHFFFAOYSA-N -1 1 318.728 1.196 20 0 DDADMM Clc1cccnc1OCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826353927 608433256 /nfs/dbraw/zinc/43/32/56/608433256.db2.gz JMYWGULKPBLDLF-UHFFFAOYSA-N -1 1 318.728 1.196 20 0 DDADMM C[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)[C@H]1C ZINC000824937926 608608235 /nfs/dbraw/zinc/60/82/35/608608235.db2.gz RYHVLCKTKQHLAX-IUCAKERBSA-N -1 1 304.358 1.253 20 0 DDADMM C[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)[C@H]1C ZINC000824937926 608608236 /nfs/dbraw/zinc/60/82/36/608608236.db2.gz RYHVLCKTKQHLAX-IUCAKERBSA-N -1 1 304.358 1.253 20 0 DDADMM COc1ccc(COCCn2ccnc2-c2nnn[n-]2)cc1 ZINC000826206885 608889191 /nfs/dbraw/zinc/88/91/91/608889191.db2.gz PKZPLJXPLGDUGG-UHFFFAOYSA-N -1 1 300.322 1.289 20 0 DDADMM COc1ccc(COCCn2ccnc2-c2nn[n-]n2)cc1 ZINC000826206885 608889193 /nfs/dbraw/zinc/88/91/93/608889193.db2.gz PKZPLJXPLGDUGG-UHFFFAOYSA-N -1 1 300.322 1.289 20 0 DDADMM c1cc(N2CCC[C@@H]2C2CCOCC2)nnc1-c1nnn[n-]1 ZINC000826517331 609170513 /nfs/dbraw/zinc/17/05/13/609170513.db2.gz YUNFGLZPLPQMHE-GFCCVEGCSA-N -1 1 301.354 1.052 20 0 DDADMM c1cc(N2CCC[C@@H]2C2CCOCC2)nnc1-c1nn[n-]n1 ZINC000826517331 609170514 /nfs/dbraw/zinc/17/05/14/609170514.db2.gz YUNFGLZPLPQMHE-GFCCVEGCSA-N -1 1 301.354 1.052 20 0 DDADMM C[C@@H]1C[C@H](COC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000824882390 609389934 /nfs/dbraw/zinc/38/99/34/609389934.db2.gz AZMYTYOKZBFMCC-NXEZZACHSA-N -1 1 303.322 1.234 20 0 DDADMM C[C@@H]1C[C@H](COC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000824882390 609389937 /nfs/dbraw/zinc/38/99/37/609389937.db2.gz AZMYTYOKZBFMCC-NXEZZACHSA-N -1 1 303.322 1.234 20 0 DDADMM O=C(N[C@H](CO)c1ccccc1)c1ccc(-c2nnn[n-]2)s1 ZINC000826427550 609397973 /nfs/dbraw/zinc/39/79/73/609397973.db2.gz UCLXJAOCUQURKF-SNVBAGLBSA-N -1 1 315.358 1.392 20 0 DDADMM O=C(N[C@H](CO)c1ccccc1)c1ccc(-c2nn[n-]n2)s1 ZINC000826427550 609397974 /nfs/dbraw/zinc/39/79/74/609397974.db2.gz UCLXJAOCUQURKF-SNVBAGLBSA-N -1 1 315.358 1.392 20 0 DDADMM CC1(C)CC(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000973201295 695444868 /nfs/dbraw/zinc/44/48/68/695444868.db2.gz LUVZVDZUGXHTAF-HAQNSBGRSA-N -1 1 317.389 1.600 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@@H]2CCCc3cn[nH]c32)[C@@H](C(=O)[O-])C1 ZINC000797725117 700021030 /nfs/dbraw/zinc/02/10/30/700021030.db2.gz QTXOCEJOIQODJL-YUSALJHKSA-N -1 1 306.366 1.682 20 0 DDADMM CC(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000976104118 695916758 /nfs/dbraw/zinc/91/67/58/695916758.db2.gz KOXLVNZCMAPOOP-CHWSQXEVSA-N -1 1 318.377 1.237 20 0 DDADMM CC1CC(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])C1 ZINC000976407569 695971924 /nfs/dbraw/zinc/97/19/24/695971924.db2.gz YVHDWHVJYIKXCH-SGQZKWBFSA-N -1 1 315.373 1.020 20 0 DDADMM C[C@@H]1Oc2ccccc2O[C@H]1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000798234263 700051537 /nfs/dbraw/zinc/05/15/37/700051537.db2.gz MLIHNQKISLFOMF-ZUZCIYMTSA-N -1 1 324.340 1.509 20 0 DDADMM CCc1nnsc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000007439625 696026789 /nfs/dbraw/zinc/02/67/89/696026789.db2.gz ZPRZUFJGUDZXND-UHFFFAOYSA-N -1 1 319.342 1.214 20 0 DDADMM CCC(Nc1cccc(Cl)c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009771363 696037339 /nfs/dbraw/zinc/03/73/39/696037339.db2.gz MZESGNABXFYIPG-UHFFFAOYSA-N -1 1 309.778 1.947 20 0 DDADMM COc1cccc(CNC(=O)NN=c2nc(OC)cc[n-]2)c1 ZINC000031049615 696117323 /nfs/dbraw/zinc/11/73/23/696117323.db2.gz IOFNRHHOFGUNKP-UHFFFAOYSA-N -1 1 303.322 1.320 20 0 DDADMM O=C([N-]N1CC(=O)NC1=O)c1cc(F)c(Cl)cc1Cl ZINC000057813573 696299798 /nfs/dbraw/zinc/29/97/98/696299798.db2.gz XZRNJRMBBJLMBD-UHFFFAOYSA-N -1 1 306.080 1.329 20 0 DDADMM O=C(COC(=O)Cc1cccc(O)c1)[N-]C(=O)c1ccccc1 ZINC000065220219 696344239 /nfs/dbraw/zinc/34/42/39/696344239.db2.gz HSCKGFZSZJTVPU-UHFFFAOYSA-N -1 1 313.309 1.435 20 0 DDADMM CCn1c(CNC(=O)COCCc2ccccc2)n[n-]c1=S ZINC000066635991 696354214 /nfs/dbraw/zinc/35/42/14/696354214.db2.gz VXNQOVFMQFOYMW-UHFFFAOYSA-N -1 1 320.418 1.836 20 0 DDADMM Cn1c(CCNC(=O)c2ccnc3ccccc32)n[n-]c1=S ZINC000067051783 696358461 /nfs/dbraw/zinc/35/84/61/696358461.db2.gz DAAOULRCCWCFKJ-UHFFFAOYSA-N -1 1 313.386 1.998 20 0 DDADMM Cc1ccc2c(c1)N(C(=O)Cc1sc(N)nc1[O-])CCC2 ZINC000080202492 696531530 /nfs/dbraw/zinc/53/15/30/696531530.db2.gz FOAMROPTFBJNNF-GFCCVEGCSA-N -1 1 303.387 1.621 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCCC3(CCCCC3)C2)s1 ZINC000080212812 696531758 /nfs/dbraw/zinc/53/17/58/696531758.db2.gz UEYCYHCKZGFQMT-LLVKDONJSA-N -1 1 309.435 1.906 20 0 DDADMM Cc1ccc2c(c1)N(C(=O)Cc1sc(N)nc1[O-])CCC2 ZINC000080202497 696531767 /nfs/dbraw/zinc/53/17/67/696531767.db2.gz FOAMROPTFBJNNF-LBPRGKRZSA-N -1 1 303.387 1.621 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCOC[C@@H]1CCCO1 ZINC000081751513 696547405 /nfs/dbraw/zinc/54/74/05/696547405.db2.gz OXZAJMGLAFURFJ-LBPRGKRZSA-N -1 1 323.393 1.127 20 0 DDADMM Cn1c(CCNC(=O)CSCC(F)(F)F)n[n-]c1=S ZINC000090552233 696582744 /nfs/dbraw/zinc/58/27/44/696582744.db2.gz LOOZYKFFOJOLQA-UHFFFAOYSA-N -1 1 314.358 1.432 20 0 DDADMM Cc1nonc1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091161090 696586506 /nfs/dbraw/zinc/58/65/06/696586506.db2.gz AVLSDFBCZMIJFA-UHFFFAOYSA-N -1 1 314.298 1.141 20 0 DDADMM O=C(N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1)c1ccoc1 ZINC000979662979 696621350 /nfs/dbraw/zinc/62/13/50/696621350.db2.gz BEKHOJPNYKAATD-UMSPYCQHSA-N -1 1 315.329 1.319 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccc3c(c2)C(=O)OC3)ccn1 ZINC000112496178 696651586 /nfs/dbraw/zinc/65/15/86/696651586.db2.gz HXUIPTJWJRCKAF-UHFFFAOYSA-N -1 1 304.327 1.861 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H]1CSCCS1)c1nn[n-]n1 ZINC000119619383 696682957 /nfs/dbraw/zinc/68/29/57/696682957.db2.gz CHEAPBQDCJITAP-RKDXNWHRSA-N -1 1 301.441 1.396 20 0 DDADMM CC(C)(C)C(=O)NC1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000980147587 696692864 /nfs/dbraw/zinc/69/28/64/696692864.db2.gz ZSTZKSIZXDBPFJ-UHFFFAOYSA-N -1 1 319.405 1.991 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H](c2ccccc2)C2CC2)s1 ZINC000120877607 696702748 /nfs/dbraw/zinc/70/27/48/696702748.db2.gz CRJFVVJBGHFEJU-YPMHNXCESA-N -1 1 303.387 1.601 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799020409 700110128 /nfs/dbraw/zinc/11/01/28/700110128.db2.gz MHSBVVKATJWRIX-JTQLQIEISA-N -1 1 312.307 1.898 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C[C@@H]1C=CCC1 ZINC000153365668 696914363 /nfs/dbraw/zinc/91/43/63/696914363.db2.gz SQIXMASVUZMUOI-LLVKDONJSA-N -1 1 302.334 1.168 20 0 DDADMM O=C([N-]OCCC(F)(F)F)[C@@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000154882648 696939093 /nfs/dbraw/zinc/93/90/93/696939093.db2.gz HVNDVYSOADHVFC-ZCFIWIBFSA-N -1 1 322.205 1.397 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccnn2CC2CC2)co1 ZINC000158539222 696991198 /nfs/dbraw/zinc/99/11/98/696991198.db2.gz WTQFIVDVZRRTSW-UHFFFAOYSA-N -1 1 324.362 1.047 20 0 DDADMM CCC(CC)N(CC(C)C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000748573255 700126275 /nfs/dbraw/zinc/12/62/75/700126275.db2.gz NRMMATOXSYELLO-UHFFFAOYSA-N -1 1 309.410 1.600 20 0 DDADMM CC1(CC(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000983006679 697200695 /nfs/dbraw/zinc/20/06/95/697200695.db2.gz SNFKKSLJPQISHE-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2ccccc2F)co1 ZINC000163482266 697333137 /nfs/dbraw/zinc/33/31/37/697333137.db2.gz XWSYABRIQDFADA-UHFFFAOYSA-N -1 1 313.306 1.684 20 0 DDADMM CC(=O)N[C@@H]1[C@@H]2CCCN(C(=O)c3ncccc3[O-])[C@@H]2C1(C)C ZINC000984162302 697348649 /nfs/dbraw/zinc/34/86/49/697348649.db2.gz ZFGNLJPFDGQJHY-GLQYFDAESA-N -1 1 317.389 1.553 20 0 DDADMM Cn1nnc(COC(=O)c2ccc(Br)c([O-])c2)n1 ZINC000799500885 700146764 /nfs/dbraw/zinc/14/67/64/700146764.db2.gz KITIDJHSIBGLLI-UHFFFAOYSA-N -1 1 313.111 1.035 20 0 DDADMM C[C@](O)(CNC(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1)c1ccsc1 ZINC000185769846 697523718 /nfs/dbraw/zinc/52/37/18/697523718.db2.gz PSPFBXYODBOQBI-ZDUSSCGKSA-N -1 1 323.330 1.394 20 0 DDADMM Cn1nnc2ccc(C(=O)Nc3nc(Cl)ccc3[O-])cc21 ZINC000188349828 697557825 /nfs/dbraw/zinc/55/78/25/697557825.db2.gz XMURMILXTOSPJF-UHFFFAOYSA-N -1 1 303.709 1.975 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CCCC1 ZINC000985913159 697601561 /nfs/dbraw/zinc/60/15/61/697601561.db2.gz ZGMIVJHGUIVZHQ-JQWIXIFHSA-N -1 1 307.398 1.170 20 0 DDADMM C[C@@H](Cc1ccccc1)n1cccc1C(=O)NCc1nn[n-]n1 ZINC000773362847 697750253 /nfs/dbraw/zinc/75/02/53/697750253.db2.gz GLHFFAOLWSYVRA-LBPRGKRZSA-N -1 1 310.361 1.735 20 0 DDADMM CC[S@](=O)CCNC(=O)c1ccc(Br)c([O-])c1 ZINC000231345650 697785922 /nfs/dbraw/zinc/78/59/22/697785922.db2.gz XQZHAGHWFQTLRL-KRWDZBQOSA-N -1 1 320.208 1.653 20 0 DDADMM CN(CCc1ccncc1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773884353 697818571 /nfs/dbraw/zinc/81/85/71/697818571.db2.gz MORUJPGWOOMBAW-UHFFFAOYSA-N -1 1 313.386 1.728 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc(C2OCCO2)c1)c1nn[n-]n1 ZINC000773998443 697832005 /nfs/dbraw/zinc/83/20/05/697832005.db2.gz MIHXOLSOQKVGBI-JTQLQIEISA-N -1 1 317.349 1.121 20 0 DDADMM C[C@](O)(CNC(=O)c1ccc2n[n-]c(=S)n2c1)c1ccco1 ZINC000774018950 697834492 /nfs/dbraw/zinc/83/44/92/697834492.db2.gz NQFUPDJHWVGWCF-AWEZNQCLSA-N -1 1 318.358 1.249 20 0 DDADMM CN(C)c1cccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000774886742 697938703 /nfs/dbraw/zinc/93/87/03/697938703.db2.gz QLJFEFILUDEIJJ-UHFFFAOYSA-N -1 1 318.295 1.978 20 0 DDADMM NC(=O)c1cccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000774913010 697941644 /nfs/dbraw/zinc/94/16/44/697941644.db2.gz IXMGZWOHPRNKDT-UHFFFAOYSA-N -1 1 318.251 1.011 20 0 DDADMM O=C([N-]OCCO)[C@H]1CC(=O)N(c2cccc3ccccc32)C1 ZINC000776585161 698122140 /nfs/dbraw/zinc/12/21/40/698122140.db2.gz QKSDQVZVJHRSBZ-ZDUSSCGKSA-N -1 1 314.341 1.233 20 0 DDADMM CC(C)C[C@H](O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000751280432 700278074 /nfs/dbraw/zinc/27/80/74/700278074.db2.gz NLEKZFLKBTZTDC-QMMMGPOBSA-N -1 1 311.325 1.789 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CC=CC1 ZINC000987673685 698193587 /nfs/dbraw/zinc/19/35/87/698193587.db2.gz WLBKUWUFGYHQIK-YPMHNXCESA-N -1 1 315.373 1.473 20 0 DDADMM O=C(Nc1ccncc1[O-])c1cnn(-c2cccc(Cl)c2)n1 ZINC000777521168 698197317 /nfs/dbraw/zinc/19/73/17/698197317.db2.gz CNPMPJIVPBKZIX-UHFFFAOYSA-N -1 1 315.720 1.696 20 0 DDADMM CCc1ccc(C(=O)OC)c(OS(=O)(=O)c2c[n-]nc2C)c1 ZINC000778103097 698241704 /nfs/dbraw/zinc/24/17/04/698241704.db2.gz WCXVPMRBGLZAJZ-UHFFFAOYSA-N -1 1 324.358 1.835 20 0 DDADMM C[C@H]1C[C@@H](NCc2cnsn2)CN1C(=O)c1ncccc1[O-] ZINC000988684268 698436263 /nfs/dbraw/zinc/43/62/63/698436263.db2.gz LASCEUNGKXSMKO-VHSXEESVSA-N -1 1 319.390 1.032 20 0 DDADMM Cc1nc([C@@H]2COCCN2C(=O)c2c([O-])cccc2Cl)no1 ZINC000779692961 698453507 /nfs/dbraw/zinc/45/35/07/698453507.db2.gz KUBMYOUQTHEPRT-JTQLQIEISA-N -1 1 323.736 1.951 20 0 DDADMM CCCN(Cc1cccc(C(=O)[O-])c1)[C@@H]1CC(=O)N(C)C1=O ZINC000263423719 698506474 /nfs/dbraw/zinc/50/64/74/698506474.db2.gz PSYBREKNIXQODJ-CYBMUJFWSA-N -1 1 304.346 1.354 20 0 DDADMM CC[C@@H](NC(=O)NC[C@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000780344203 698509363 /nfs/dbraw/zinc/50/93/63/698509363.db2.gz IVTLNIQXOVCAAZ-VXGBXAGGSA-N -1 1 309.366 1.579 20 0 DDADMM CC1(C)CN(C(=O)c2c([O-])cccc2Cl)CCN1CCO ZINC000781683288 698639819 /nfs/dbraw/zinc/63/98/19/698639819.db2.gz XYQAYLMLNLCDQL-UHFFFAOYSA-N -1 1 312.797 1.574 20 0 DDADMM CC[C@@H](CNS(=O)(=O)c1c(F)cccc1[N+](=O)[O-])C(=O)[O-] ZINC000314169946 698687515 /nfs/dbraw/zinc/68/75/15/698687515.db2.gz KHOBIZKVTUICGS-ZETCQYMHSA-N -1 1 320.298 1.123 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)O[C@@H](C)COC ZINC000783263634 698827670 /nfs/dbraw/zinc/82/76/70/698827670.db2.gz RRVXAISSYHOGFK-JTQLQIEISA-N -1 1 301.364 1.640 20 0 DDADMM COc1cc(C(=O)N[C@H]2CC(C)(C)OC2=O)cc(Cl)c1[O-] ZINC000785420085 699075611 /nfs/dbraw/zinc/07/56/11/699075611.db2.gz XHLIDKBUJAFPBL-VIFPVBQESA-N -1 1 313.737 1.878 20 0 DDADMM COCCN1CCC[C@H](Nc2ncc(C(=O)[O-])cc2[N+](=O)[O-])C1 ZINC000399392672 699123052 /nfs/dbraw/zinc/12/30/52/699123052.db2.gz OQVJNSCDRHTFHA-NSHDSACASA-N -1 1 324.337 1.211 20 0 DDADMM Cc1cc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)ncn1 ZINC000786115965 699127680 /nfs/dbraw/zinc/12/76/80/699127680.db2.gz LFLBVMXWBBAZQM-UHFFFAOYSA-N -1 1 312.281 1.954 20 0 DDADMM CN1C(=O)CC[C@@H]1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000786189325 699132980 /nfs/dbraw/zinc/13/29/80/699132980.db2.gz GXKTZWZAAIZCOI-GFCCVEGCSA-N -1 1 317.297 1.163 20 0 DDADMM Cc1cccnc1C(F)(F)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000787467304 699213394 /nfs/dbraw/zinc/21/33/94/699213394.db2.gz AYUVTQQETJVBHD-JTQLQIEISA-N -1 1 322.319 1.401 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(Cc2ccccc2Br)C1 ZINC000706470664 699243806 /nfs/dbraw/zinc/24/38/06/699243806.db2.gz HKKKVAWPHTXCOQ-GFCCVEGCSA-N -1 1 300.152 1.471 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CC(C)(C)CO2)c1Cl ZINC000717659020 699289946 /nfs/dbraw/zinc/28/99/46/699289946.db2.gz FEAZWZZJFDUHDY-QMMMGPOBSA-N -1 1 307.803 1.167 20 0 DDADMM O=C(Nc1ccc(-c2nccs2)cc1)NN1CC(=O)[N-]C1=O ZINC000789834890 699413562 /nfs/dbraw/zinc/41/35/62/699413562.db2.gz FIRCHORTZXLWPM-UHFFFAOYSA-N -1 1 317.330 1.398 20 0 DDADMM CCN(Cc1cccs1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727754806 699424784 /nfs/dbraw/zinc/42/47/84/699424784.db2.gz ZRNDILSGSZGQMI-UHFFFAOYSA-N -1 1 307.375 1.037 20 0 DDADMM Nc1ncc2c(n1)CCN(C(=O)c1c([O-])cccc1Cl)C2 ZINC000790058073 699438957 /nfs/dbraw/zinc/43/89/57/699438957.db2.gz FZOFXYVJBHKBAA-UHFFFAOYSA-N -1 1 304.737 1.616 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc(N(C)C(N)=O)cc1 ZINC000732219679 699551272 /nfs/dbraw/zinc/55/12/72/699551272.db2.gz BRDSGGVTQOBPBM-UHFFFAOYSA-N -1 1 310.335 1.001 20 0 DDADMM CC(=CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1cccc(F)c1 ZINC000732280880 699553652 /nfs/dbraw/zinc/55/36/52/699553652.db2.gz TTWHEFOCHWTDOC-SOFGYWHQSA-N -1 1 324.337 1.389 20 0 DDADMM CC(=CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1cccc(F)c1 ZINC000732280880 699553653 /nfs/dbraw/zinc/55/36/53/699553653.db2.gz TTWHEFOCHWTDOC-SOFGYWHQSA-N -1 1 324.337 1.389 20 0 DDADMM C[C@@H]1Cc2ccccc2N(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000733148403 699580770 /nfs/dbraw/zinc/58/07/70/699580770.db2.gz KCKGAKRZLKKZSB-GFCCVEGCSA-N -1 1 313.357 1.152 20 0 DDADMM COC(=O)c1sc(C)cc1OS(=O)(=O)c1c[n-]nc1C ZINC000733318733 699588574 /nfs/dbraw/zinc/58/85/74/699588574.db2.gz OUHLAIIHOREZQD-UHFFFAOYSA-N -1 1 316.360 1.642 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc([C@H]2CCOC2)cc1 ZINC000790940947 699604288 /nfs/dbraw/zinc/60/42/88/699604288.db2.gz ORQAUBPPEBQMTR-LBPRGKRZSA-N -1 1 308.359 1.990 20 0 DDADMM C[C@@H]1CCC[C@@H](CCNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000734463932 699652116 /nfs/dbraw/zinc/65/21/16/699652116.db2.gz DTDUKYPBSIBXMI-OLZOCXBDSA-N -1 1 307.394 1.259 20 0 DDADMM C[C@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)C[C@H](C)S1=O ZINC000792395837 699695499 /nfs/dbraw/zinc/69/54/99/699695499.db2.gz UKYPHWGSRBNYTQ-QWRGUYRKSA-N -1 1 321.398 1.840 20 0 DDADMM O=C(Nc1nn[n-]n1)c1sccc1NC(=O)c1ccncc1 ZINC000736152520 699719220 /nfs/dbraw/zinc/71/92/20/699719220.db2.gz JMSSMUDYBOICQL-UHFFFAOYSA-N -1 1 315.318 1.161 20 0 DDADMM C[C@@H]1CCC[C@@H](OCC(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC000736258930 699721914 /nfs/dbraw/zinc/72/19/14/699721914.db2.gz CTPVXWJNOXNTDU-DGCLKSJQSA-N -1 1 307.398 1.501 20 0 DDADMM CCC[C@@H](NC(=O)CCOc1cccc(C=O)c1)c1nn[n-]n1 ZINC000736429822 699725443 /nfs/dbraw/zinc/72/54/43/699725443.db2.gz AVXZQDSISSYRCA-CYBMUJFWSA-N -1 1 317.349 1.439 20 0 DDADMM C[C@@H](O)CN1CCN(C(=O)c2c([O-])cccc2Cl)[C@H](C)C1 ZINC000793875439 699784978 /nfs/dbraw/zinc/78/49/78/699784978.db2.gz QURDXCDDBWGKSZ-GHMZBOCLSA-N -1 1 312.797 1.573 20 0 DDADMM Cc1cccc([C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000741233021 699823507 /nfs/dbraw/zinc/82/35/07/699823507.db2.gz HIXXOIWKWKGOMW-ZDUSSCGKSA-N -1 1 315.373 1.155 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OC[C@H]1CCOC1 ZINC000744568099 699954253 /nfs/dbraw/zinc/95/42/53/699954253.db2.gz YVNMMEOROFFVGX-NSHDSACASA-N -1 1 313.375 1.642 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H]2CCN(C)C(=O)C2)c1 ZINC000744660415 699957645 /nfs/dbraw/zinc/95/76/45/699957645.db2.gz SIVNQFHITKHDSP-AWEZNQCLSA-N -1 1 319.357 1.549 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H](O)c2ccco2)sc1C ZINC000751205397 700273972 /nfs/dbraw/zinc/27/39/72/700273972.db2.gz PHXICBZQJGZWRW-VIFPVBQESA-N -1 1 302.377 1.365 20 0 DDADMM C[C@H](OC(=O)c1nn(-c2ccccc2)cc1[O-])c1nnnn1C ZINC000801203602 700277316 /nfs/dbraw/zinc/27/73/16/700277316.db2.gz MISZESADHIVEFL-VIFPVBQESA-N -1 1 314.305 1.019 20 0 DDADMM O=C(OCCC1(O)CC1)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801325416 700297157 /nfs/dbraw/zinc/29/71/57/700297157.db2.gz QUMWAJKNULDUFJ-UHFFFAOYSA-N -1 1 306.293 1.789 20 0 DDADMM Cc1noc(COC(=O)c2nn(-c3ccc(C)cc3)cc2[O-])n1 ZINC000801366898 700301015 /nfs/dbraw/zinc/30/10/15/700301015.db2.gz CSGAXKFIPPQPKH-UHFFFAOYSA-N -1 1 314.301 1.935 20 0 DDADMM CC[C@H](OC(=O)c1nn(-c2ccc(Cl)cc2)cc1[O-])C(N)=O ZINC000801376268 700302080 /nfs/dbraw/zinc/30/20/80/700302080.db2.gz XBHNLILIDZAHET-NSHDSACASA-N -1 1 323.736 1.652 20 0 DDADMM Cc1[nH]nc2nc(C)cc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)c12 ZINC000801701660 700332150 /nfs/dbraw/zinc/33/21/50/700332150.db2.gz SHFIWPPTOZBUJT-UHFFFAOYSA-N -1 1 321.344 1.482 20 0 DDADMM CCO[N-]C(=O)[C@H](C)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000752401086 700361742 /nfs/dbraw/zinc/36/17/42/700361742.db2.gz VQXNNRMYLIBNTA-SNVBAGLBSA-N -1 1 300.380 1.108 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCC[C@H]2CCCO2)o1 ZINC000756071412 700602066 /nfs/dbraw/zinc/60/20/66/700602066.db2.gz DXMDIVAGQNFYPE-SNVBAGLBSA-N -1 1 317.363 1.304 20 0 DDADMM O=S(=O)([N-][C@H](CCO)C1CC1)c1ccc(Br)o1 ZINC000759038726 700735272 /nfs/dbraw/zinc/73/52/72/700735272.db2.gz FRORXWCDXLXBGC-MRVPVSSYSA-N -1 1 324.196 1.481 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CC1)c1ccc(Br)o1 ZINC000759045125 700735257 /nfs/dbraw/zinc/73/52/57/700735257.db2.gz NGQWAFLHXNKFAD-SSDOTTSWSA-N -1 1 310.169 1.091 20 0 DDADMM C[C@@H]1C[C@@]2(C[C@@H]2C(=O)OCCC[N-]C(=O)C(F)(F)F)CCO1 ZINC000759647237 700764281 /nfs/dbraw/zinc/76/42/81/700764281.db2.gz COUWFDQVOJOPIO-GIPNMCIBSA-N -1 1 323.311 1.803 20 0 DDADMM C[C@@H]1CCS(=O)(=O)CCN1C(=O)c1ccc([O-])c(F)c1 ZINC000762511711 700896191 /nfs/dbraw/zinc/89/61/91/700896191.db2.gz IBGIZDQDAHJJAE-SECBINFHSA-N -1 1 301.339 1.181 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCc1ccnn1C ZINC000762714706 700903891 /nfs/dbraw/zinc/90/38/91/700903891.db2.gz FCZVMXUBCAGQLV-UHFFFAOYSA-N -1 1 303.366 1.320 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)NC[C@H](C(=O)[O-])C(C)C ZINC000763077200 700924739 /nfs/dbraw/zinc/92/47/39/700924739.db2.gz BMUQHQABGPFGLS-KBPBESRZSA-N -1 1 322.405 1.948 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cnc2ccccn21 ZINC000763975762 700955921 /nfs/dbraw/zinc/95/59/21/700955921.db2.gz BGCZIZPOPULUNF-CQSZACIVSA-N -1 1 319.328 1.367 20 0 DDADMM Cn1[n-]c(COC(=O)CCc2cc(Cl)cs2)nc1=O ZINC000765479890 701014801 /nfs/dbraw/zinc/01/48/01/701014801.db2.gz NZCJLHSOKHKRNK-UHFFFAOYSA-N -1 1 301.755 1.499 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2C[C@H]2c2c(F)cccc2F)nc1=O ZINC000765481159 701015143 /nfs/dbraw/zinc/01/51/43/701015143.db2.gz TVLNCDQGMBZXAC-HTQZYQBOSA-N -1 1 309.272 1.234 20 0 DDADMM CCC(CC)(C(=O)OCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000765486192 701015357 /nfs/dbraw/zinc/01/53/57/701015357.db2.gz GSYLQVVLYNBBQZ-UHFFFAOYSA-N -1 1 303.362 1.910 20 0 DDADMM C/C(=C/C(=O)OCc1nc(=O)n(C)[n-]1)c1cccc(Cl)c1 ZINC000765493598 701015849 /nfs/dbraw/zinc/01/58/49/701015849.db2.gz WVBMCAITUFEOMC-TWGQIWQCSA-N -1 1 307.737 1.909 20 0 DDADMM Cc1c(C(=O)OCc2nc(=O)n(C)[n-]2)cccc1-c1ccccn1 ZINC000765503052 701016456 /nfs/dbraw/zinc/01/64/56/701016456.db2.gz QOGGILTVCKSZQZ-UHFFFAOYSA-N -1 1 324.340 1.836 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H](OC(C)(C)C)c2ccccc2)nc1=O ZINC000765503590 701016505 /nfs/dbraw/zinc/01/65/05/701016505.db2.gz STMKLSQWRASGIP-CYBMUJFWSA-N -1 1 319.361 1.708 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccnc(SC(C)(C)C)c2)nc1=O ZINC000765515515 701017519 /nfs/dbraw/zinc/01/75/19/701017519.db2.gz OZUBZQQORJGONA-UHFFFAOYSA-N -1 1 322.390 1.751 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2cccc3ncccc32)C1 ZINC000766031463 701034781 /nfs/dbraw/zinc/03/47/81/701034781.db2.gz VKDOCVSMIVNBNQ-LBPRGKRZSA-N -1 1 313.357 1.970 20 0 DDADMM NC(=O)[C@@H]1Cc2ccccc2CN1C(=O)c1ccc([O-])c(F)c1 ZINC000767292853 701095775 /nfs/dbraw/zinc/09/57/75/701095775.db2.gz YDYOAEAIJMTSAR-AWEZNQCLSA-N -1 1 314.316 1.584 20 0 DDADMM O=C(NC[C@@H](O)COc1cccc(F)c1)c1ccc([O-])c(F)c1 ZINC000768263903 701166058 /nfs/dbraw/zinc/16/60/58/701166058.db2.gz JGOAIEALPBZLFV-GFCCVEGCSA-N -1 1 323.295 1.840 20 0 DDADMM CCC1(O)CCC([N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000771801014 701331323 /nfs/dbraw/zinc/33/13/23/701331323.db2.gz MPHOKNSSWPRMJK-UHFFFAOYSA-N -1 1 321.830 1.436 20 0 DDADMM Cn1ncc(C[N-]S(=O)(=O)c2cccc(Cl)c2F)n1 ZINC000805103932 701367840 /nfs/dbraw/zinc/36/78/40/701367840.db2.gz GJVJEBOBLBYOOU-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM C[C@@H](C[C@@H]1CCOC1)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806484982 701437237 /nfs/dbraw/zinc/43/72/37/701437237.db2.gz SENNRRWFXFNYIA-QWRGUYRKSA-N -1 1 303.366 1.278 20 0 DDADMM CCOC(CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)OCC ZINC000806547256 701441256 /nfs/dbraw/zinc/44/12/56/701441256.db2.gz DVXCMQIVAVJWLI-CQSZACIVSA-N -1 1 319.365 1.195 20 0 DDADMM Cn1nc(Br)cc1/C=C\c1cc(=O)n2[n-]cnc2n1 ZINC000806571631 701441648 /nfs/dbraw/zinc/44/16/48/701441648.db2.gz XNOOPSZUJDAVPM-IHWYPQMZSA-N -1 1 321.138 1.084 20 0 DDADMM Cc1ccc(NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)c(C)c1 ZINC000806989988 701457688 /nfs/dbraw/zinc/45/76/88/701457688.db2.gz GTXLKYBEEVQFQW-UHFFFAOYSA-N -1 1 323.356 1.536 20 0 DDADMM Cc1cccc(NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000806989882 701457753 /nfs/dbraw/zinc/45/77/53/701457753.db2.gz FIWMAWRYQDQNBG-UHFFFAOYSA-N -1 1 309.329 1.227 20 0 DDADMM O=C(N[C@H](CCO)Cc1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000807475672 701478719 /nfs/dbraw/zinc/47/87/19/701478719.db2.gz JPTNKGJYSNUYQS-OAHLLOKOSA-N -1 1 313.353 1.685 20 0 DDADMM Cn1[n-]c(COC(=O)C2(c3cccnc3)CCCC2)nc1=O ZINC000808566565 701524665 /nfs/dbraw/zinc/52/46/65/701524665.db2.gz LRYOVMMMRCQJBG-UHFFFAOYSA-N -1 1 302.334 1.059 20 0 DDADMM Cc1nnc([C@H](C)N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)o1 ZINC000810067778 701720569 /nfs/dbraw/zinc/72/05/69/701720569.db2.gz BFVCKPVEUKEYIW-WPRPVWTQSA-N -1 1 320.315 1.830 20 0 DDADMM Cc1nnc(CN2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)o1 ZINC000810070523 701721390 /nfs/dbraw/zinc/72/13/90/701721390.db2.gz TXVHDJZJRLNLPY-VIFPVBQESA-N -1 1 306.288 1.269 20 0 DDADMM Cc1nnc(CN2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)o1 ZINC000810070522 701722043 /nfs/dbraw/zinc/72/20/43/701722043.db2.gz TXVHDJZJRLNLPY-SECBINFHSA-N -1 1 306.288 1.269 20 0 DDADMM CC(C)(C)C1CC(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000830952587 706609534 /nfs/dbraw/zinc/60/95/34/706609534.db2.gz LFFLOUPULAXREW-UHFFFAOYSA-N -1 1 319.405 1.943 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@H]1C[C@@H]1C1CCCC1 ZINC000830952119 706609559 /nfs/dbraw/zinc/60/95/59/706609559.db2.gz BWNBEFPMGDGDPZ-NEPJUHHUSA-N -1 1 317.389 1.697 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC000830953167 706610021 /nfs/dbraw/zinc/61/00/21/706610021.db2.gz SJTOJKAYMSPFNW-GRYCIOLGSA-N -1 1 315.373 1.473 20 0 DDADMM CC[C@@H](CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C(C)C ZINC000830965906 706612697 /nfs/dbraw/zinc/61/26/97/706612697.db2.gz JVRGWMLOWGRSOY-NSHDSACASA-N -1 1 307.394 1.943 20 0 DDADMM CC(C)C[C@@H](C)CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830966433 706612816 /nfs/dbraw/zinc/61/28/16/706612816.db2.gz WYMIHBYXCYEKCD-LLVKDONJSA-N -1 1 307.394 1.943 20 0 DDADMM CO[C@H]1CCCC[C@@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000815382859 701855140 /nfs/dbraw/zinc/85/51/40/701855140.db2.gz LFRQZNQSPPKRQQ-KBPBESRZSA-N -1 1 319.357 1.691 20 0 DDADMM CC(C)[C@@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO1 ZINC000831019865 706621362 /nfs/dbraw/zinc/62/13/62/706621362.db2.gz JJQMLFIKQZKAFO-BDAKNGLRSA-N -1 1 307.803 1.545 20 0 DDADMM O=C(c1cnc(CC2CC2)s1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000879264097 706634024 /nfs/dbraw/zinc/63/40/24/706634024.db2.gz WQSKVIZMXWFQJH-SNVBAGLBSA-N -1 1 318.406 1.629 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831111846 706636783 /nfs/dbraw/zinc/63/67/83/706636783.db2.gz FNUVGYXVPGKKET-PXIYARARSA-N -1 1 304.312 1.415 20 0 DDADMM CCCCCCO[C@H](C)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000840336110 702073899 /nfs/dbraw/zinc/07/38/99/702073899.db2.gz VZSNUAKHPWKJFP-VXGBXAGGSA-N -1 1 311.386 1.085 20 0 DDADMM CC1(C)CCC(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1 ZINC000816492307 702096320 /nfs/dbraw/zinc/09/63/20/702096320.db2.gz OTVMCCGCHPCSST-UHFFFAOYSA-N -1 1 307.398 1.226 20 0 DDADMM Cc1nc(C(=O)N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)c[nH]1 ZINC000812276539 702133519 /nfs/dbraw/zinc/13/35/19/702133519.db2.gz NFRHWFTUVGOFKA-SECBINFHSA-N -1 1 318.299 1.249 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)c2ccncn2)C1)C(F)(F)F ZINC000812274756 702133707 /nfs/dbraw/zinc/13/37/07/702133707.db2.gz KUIFLRZLWILVRY-VIFPVBQESA-N -1 1 316.283 1.007 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cccc2Cl)C[C@H](C)S1(=O)=O ZINC000812373552 702171567 /nfs/dbraw/zinc/17/15/67/702171567.db2.gz VQRYGMAGDOCWLJ-DTORHVGOSA-N -1 1 317.794 1.693 20 0 DDADMM CC(C)[C@@H]1C[C@H]1CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868676290 702258798 /nfs/dbraw/zinc/25/87/98/702258798.db2.gz WXTOEZCAQHAFSV-QWRGUYRKSA-N -1 1 320.393 1.346 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2csc(C)n2)[n-]1 ZINC000841221752 702393478 /nfs/dbraw/zinc/39/34/78/702393478.db2.gz JISNKJCOVKIWNB-UHFFFAOYSA-N -1 1 308.363 1.923 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2csc(C)n2)n1 ZINC000841221752 702393487 /nfs/dbraw/zinc/39/34/87/702393487.db2.gz JISNKJCOVKIWNB-UHFFFAOYSA-N -1 1 308.363 1.923 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)[N-]n1cnn(CC)c1=O)C(F)(F)F ZINC000879383483 706668569 /nfs/dbraw/zinc/66/85/69/706668569.db2.gz AAZQZCZPMRRYML-JGVFFNPUSA-N -1 1 309.292 1.295 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)C[C@@H]2CCCCC2(F)F)C(=O)O1 ZINC000841520169 702476462 /nfs/dbraw/zinc/47/64/62/702476462.db2.gz GAFVNWVJPVLMTN-KXUCPTDWSA-N -1 1 311.350 1.435 20 0 DDADMM O=C(OCC[N-]S(=O)(=O)c1sccc1Cl)C1CC1 ZINC000841535185 702485159 /nfs/dbraw/zinc/48/51/59/702485159.db2.gz UYPNHDIZGRMRPB-UHFFFAOYSA-N -1 1 309.796 1.633 20 0 DDADMM CC(C)[C@]1(C)C[C@@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869478539 702620279 /nfs/dbraw/zinc/62/02/79/702620279.db2.gz TXDZERIMBICCKQ-MFKMUULPSA-N -1 1 323.311 1.850 20 0 DDADMM CCCC(C)(C)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869547375 702642125 /nfs/dbraw/zinc/64/21/25/702642125.db2.gz MMNIAJPSACUBRD-UHFFFAOYSA-N -1 1 311.300 1.994 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2c[nH]nc2Cl)CCCC1 ZINC000831332564 706688664 /nfs/dbraw/zinc/68/86/64/706688664.db2.gz LCDCZCRUJCOBEA-UHFFFAOYSA-N -1 1 321.786 1.217 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H](C)CC(F)F)o1 ZINC000842435399 702721037 /nfs/dbraw/zinc/72/10/37/702721037.db2.gz BEXHJPOHHYDUQJ-SSDOTTSWSA-N -1 1 311.306 1.636 20 0 DDADMM C[C@@H]1CCn2ncc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)c2C1 ZINC000843587320 702905552 /nfs/dbraw/zinc/90/55/52/702905552.db2.gz LIPQIGPXNFEVPY-SNVBAGLBSA-N -1 1 310.361 1.373 20 0 DDADMM C[C@@H](CNC(=O)CCCC(=O)[O-])N1CCc2ccccc2C1 ZINC000844249528 702999978 /nfs/dbraw/zinc/99/99/78/702999978.db2.gz BZSWSBKFNMVDCR-ZDUSSCGKSA-N -1 1 304.390 1.804 20 0 DDADMM CC(C)(CNC(=O)c1c(F)ccc([O-])c1F)CS(C)(=O)=O ZINC000831499780 706720801 /nfs/dbraw/zinc/72/08/01/706720801.db2.gz QZAZOLIKKLOGII-UHFFFAOYSA-N -1 1 321.345 1.471 20 0 DDADMM O=C(OC[C@H]1CN(C2CC2)C(=O)O1)c1c([O-])cc(F)cc1F ZINC000845110215 703110729 /nfs/dbraw/zinc/11/07/29/703110729.db2.gz YCTSKXQTMFTSOD-SECBINFHSA-N -1 1 313.256 1.810 20 0 DDADMM CCC[C@H](C)[C@H](CO)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000845967842 703220947 /nfs/dbraw/zinc/22/09/47/703220947.db2.gz DZTUEZOQIZMDAY-IUCAKERBSA-N -1 1 309.819 1.149 20 0 DDADMM COc1cc(N2CCN(C/C=C(/C)C(=O)[O-])CC2)ccc1F ZINC000846262691 703257763 /nfs/dbraw/zinc/25/77/63/703257763.db2.gz TVGYEUOACBOXJS-XGICHPGQSA-N -1 1 308.353 1.987 20 0 DDADMM CCO[N-]C(=O)CNC(=O)[C@@H](c1ccccc1)N(CC)CC ZINC000846495316 703283316 /nfs/dbraw/zinc/28/33/16/703283316.db2.gz GVKICISSUOITDK-OAHLLOKOSA-N -1 1 307.394 1.253 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(=O)[nH]c(CC)c2)n1 ZINC000846651434 703308736 /nfs/dbraw/zinc/30/87/36/703308736.db2.gz SHCQJNRDDRNAIE-UHFFFAOYSA-N -1 1 304.306 1.502 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NC1(CC(=O)[O-])CCC1 ZINC000846711602 703322699 /nfs/dbraw/zinc/32/26/99/703322699.db2.gz AVLZULDPRKWZTI-VIFPVBQESA-N -1 1 324.299 1.203 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1CCc2c1cccc2F ZINC000866588882 706737628 /nfs/dbraw/zinc/73/76/28/706737628.db2.gz ALWMUYZBWBBDPR-LLVKDONJSA-N -1 1 306.384 1.375 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)N[C@@H]1CCOC1 ZINC000847342309 703404561 /nfs/dbraw/zinc/40/45/61/703404561.db2.gz HFDCHPLEXABFNA-OPRDCNLKSA-N -1 1 323.315 1.016 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@H]1c1ncon1 ZINC000848113247 703508177 /nfs/dbraw/zinc/50/81/77/703508177.db2.gz HIYQBKDDURSQJW-VIFPVBQESA-N -1 1 316.346 1.378 20 0 DDADMM C[C@@H](Cc1ccccn1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000848492976 703557421 /nfs/dbraw/zinc/55/74/21/703557421.db2.gz IKOBBNZFTZBQLS-QMMMGPOBSA-N -1 1 300.771 1.368 20 0 DDADMM CC1(C)CN(Cc2cn(CC(=O)[O-])nn2)CCc2ccccc21 ZINC000849345165 703637234 /nfs/dbraw/zinc/63/72/34/703637234.db2.gz UTKWRPCARJWAIJ-UHFFFAOYSA-N -1 1 314.389 1.699 20 0 DDADMM O=S(=O)([N-]CCCOC1CCCC1)c1ccc(F)nc1F ZINC000866673720 706760681 /nfs/dbraw/zinc/76/06/81/706760681.db2.gz GUNNACROMSEZDM-UHFFFAOYSA-N -1 1 320.361 1.987 20 0 DDADMM O=C([O-])[C@@H]1CSCC[N@@H+]1C[C@H](O)c1ccc(F)cc1F ZINC000851706648 703833564 /nfs/dbraw/zinc/83/35/64/703833564.db2.gz WIWHQLZMKNLYRZ-RYUDHWBXSA-N -1 1 303.330 1.500 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-][C@H]1CCCC12OCCO2 ZINC000851818208 703863594 /nfs/dbraw/zinc/86/35/94/703863594.db2.gz PDKMZINWQQETDN-STQMWFEESA-N -1 1 321.439 1.263 20 0 DDADMM C[C@H](NC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000851925468 703884327 /nfs/dbraw/zinc/88/43/27/703884327.db2.gz WLGCWLXOGDBDSE-YWVKMMECSA-N -1 1 321.343 1.883 20 0 DDADMM COCC(C)(C)[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866718427 706774401 /nfs/dbraw/zinc/77/44/01/706774401.db2.gz JLJJNOGQQRPIAI-UHFFFAOYSA-N -1 1 315.317 1.142 20 0 DDADMM O=C([O-])[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CC1CCC1 ZINC000852736098 704109696 /nfs/dbraw/zinc/10/96/96/704109696.db2.gz KYTXHJBECWRIPB-ZJUUUORDSA-N -1 1 308.300 1.383 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1CCCC[C@H]1CC1CCC1 ZINC000852741410 704111101 /nfs/dbraw/zinc/11/11/01/704111101.db2.gz YPLKRNYIARLEML-ZDUSSCGKSA-N -1 1 303.424 1.531 20 0 DDADMM COC[C@H](O)CNc1cc(C)cc(C[N-]C(=O)C(F)(F)F)c1 ZINC000819491014 704133124 /nfs/dbraw/zinc/13/31/24/704133124.db2.gz TXBUYWLFTOFZGC-GFCCVEGCSA-N -1 1 320.311 1.593 20 0 DDADMM O=C(CCCc1ccccc1)NCC[N-]C(=O)C(F)(F)F ZINC000819620973 704151895 /nfs/dbraw/zinc/15/18/95/704151895.db2.gz COAYQKNDEPQUCF-UHFFFAOYSA-N -1 1 302.296 1.804 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC2(C1)CCOCC2 ZINC000831867409 706795629 /nfs/dbraw/zinc/79/56/29/706795629.db2.gz CLKIKWSXIGEOMC-UHFFFAOYSA-N -1 1 304.375 1.271 20 0 DDADMM C[C@@H]1Cc2ccccc2[C@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866773366 706795888 /nfs/dbraw/zinc/79/58/88/706795888.db2.gz FGLCJECTPSPUOS-SKDRFNHKSA-N -1 1 302.421 1.482 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(N2CCOC2=O)cc1 ZINC000820403506 704273032 /nfs/dbraw/zinc/27/30/32/704273032.db2.gz VBCZRLDKRQYQMC-UHFFFAOYSA-N -1 1 302.290 1.633 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]Cc1cccc(F)c1 ZINC000820784935 704330602 /nfs/dbraw/zinc/33/06/02/704330602.db2.gz DLOUXCHPVFHHPF-UHFFFAOYSA-N -1 1 306.384 1.422 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2cccc3scnc32)no1 ZINC000820792980 704331902 /nfs/dbraw/zinc/33/19/02/704331902.db2.gz NKBSPYGLUVTCSR-UHFFFAOYSA-N -1 1 310.360 1.466 20 0 DDADMM O=C(NCC[C@H]1CNC(=O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC000831958173 706812913 /nfs/dbraw/zinc/81/29/13/706812913.db2.gz XRDHDWVPDYNFDE-MRVPVSSYSA-N -1 1 316.279 1.667 20 0 DDADMM C[C@@H]1CCN(CCS(=O)(=O)C2CCCCC2)C[C@H]1C(=O)[O-] ZINC000857084021 704550180 /nfs/dbraw/zinc/55/01/80/704550180.db2.gz LUZRQTQHZLSDDH-TZMCWYRMSA-N -1 1 317.451 1.777 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)c3ccc(C)o3)nc2n1 ZINC000857684868 704613712 /nfs/dbraw/zinc/61/37/12/704613712.db2.gz QVDBUISQKWJFKU-SECBINFHSA-N -1 1 315.333 1.624 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1C[C@]1(C)c1ccccc1 ZINC000866872381 706830568 /nfs/dbraw/zinc/83/05/68/706830568.db2.gz NACYTLLNAORBGL-QUJCMNEKSA-N -1 1 316.448 1.669 20 0 DDADMM C[C@@H](O)CCC[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000867204689 706924182 /nfs/dbraw/zinc/92/41/82/706924182.db2.gz LELJRAQWGVILDC-SSDOTTSWSA-N -1 1 313.206 1.828 20 0 DDADMM CON(C)C(=O)C1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858549968 704726090 /nfs/dbraw/zinc/72/60/90/704726090.db2.gz BAJSJTGXDJGTAT-UHFFFAOYSA-N -1 1 300.746 1.072 20 0 DDADMM CCc1nc(C)c(C[N-]S(=O)(=O)c2ccc(F)nc2F)o1 ZINC000867301404 706952565 /nfs/dbraw/zinc/95/25/65/706952565.db2.gz YZJJZTQFVRFGAJ-UHFFFAOYSA-N -1 1 317.317 1.697 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCn3ccnc32)c1 ZINC000867317410 706958265 /nfs/dbraw/zinc/95/82/65/706958265.db2.gz OAOCFWHVEHRTJQ-JTQLQIEISA-N -1 1 309.347 1.021 20 0 DDADMM Cc1ccccc1C[C@@H](C)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000859278926 704846299 /nfs/dbraw/zinc/84/62/99/704846299.db2.gz XEEGJCBXTJBAEV-OCCSQVGLSA-N -1 1 315.377 1.287 20 0 DDADMM C[C@]1(CC(=O)Nc2ccc([O-])c(F)c2F)CCS(=O)(=O)N1 ZINC000821980448 704853553 /nfs/dbraw/zinc/85/35/53/704853553.db2.gz NXRRCBMVQGWZPS-GFCCVEGCSA-N -1 1 320.317 1.081 20 0 DDADMM COc1ccc2c(c1)CCCN2C(=O)CCCc1nn[n-]n1 ZINC000873907520 704890261 /nfs/dbraw/zinc/89/02/61/704890261.db2.gz ACWPEGJSXJTGEW-UHFFFAOYSA-N -1 1 301.350 1.510 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1CCS[C@@H]1C ZINC000867454140 707006217 /nfs/dbraw/zinc/00/62/17/707006217.db2.gz XUWQNGKUZBQNGW-BDAKNGLRSA-N -1 1 300.471 1.223 20 0 DDADMM O=S(=O)([N-]C1CC2(CCC2)C1)c1nc[nH]c1Br ZINC000867464450 707010365 /nfs/dbraw/zinc/01/03/65/707010365.db2.gz JREZSFZAQHZOFN-UHFFFAOYSA-N -1 1 320.212 1.783 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)c1ccc(C(F)(F)F)nc1 ZINC000874640880 705124029 /nfs/dbraw/zinc/12/40/29/705124029.db2.gz BIMDBPBRRLUZEB-SSDOTTSWSA-N -1 1 314.271 1.424 20 0 DDADMM CCOC(=O)[C@@H](C)C1CN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000874730323 705153769 /nfs/dbraw/zinc/15/37/69/705153769.db2.gz IGLBQKSQVNRSRU-QMMMGPOBSA-N -1 1 313.300 1.942 20 0 DDADMM CC(C)(O)[C@@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000874871319 705208957 /nfs/dbraw/zinc/20/89/57/705208957.db2.gz JQRMXAFFJHVCBO-SNVBAGLBSA-N -1 1 306.391 1.251 20 0 DDADMM C[C@@H](CN(C)C(=O)c1c(Cl)nn(C)c1Cl)c1nn[n-]n1 ZINC000860785616 705282145 /nfs/dbraw/zinc/28/21/45/705282145.db2.gz DEANSCNBDVWRLI-YFKPBYRVSA-N -1 1 318.168 1.116 20 0 DDADMM CCOC(=O)[C@@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000834870294 707145398 /nfs/dbraw/zinc/14/53/98/707145398.db2.gz RMSHNWZPCUIERM-SNVBAGLBSA-N -1 1 320.374 1.043 20 0 DDADMM COCC[C@H]([N-]C(=O)C(F)(F)c1cc(F)cc(F)c1)C(N)=O ZINC000875529405 705420107 /nfs/dbraw/zinc/42/01/07/705420107.db2.gz KANVPPYTVYFTCF-JTQLQIEISA-N -1 1 322.258 1.063 20 0 DDADMM O=C([N-]CC1CN(C(=O)NC[C@@H]2C[C@H]2C2CC2)C1)C(F)(F)F ZINC000875653125 705464476 /nfs/dbraw/zinc/46/44/76/705464476.db2.gz VHWRMHQFRNHDCZ-QWRGUYRKSA-N -1 1 319.327 1.352 20 0 DDADMM O=C(NCCN1CCCC1=O)NCc1ccc([O-])c(Cl)c1 ZINC000875695792 705479921 /nfs/dbraw/zinc/47/99/21/705479921.db2.gz YRNUSJOAZFLQOZ-UHFFFAOYSA-N -1 1 311.769 1.467 20 0 DDADMM CC[C@@H]1CC[C@@H](C)N1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876299995 705683081 /nfs/dbraw/zinc/68/30/81/705683081.db2.gz HMMHQDSVTYSYOK-GHMZBOCLSA-N -1 1 320.393 1.727 20 0 DDADMM CSC[C@](C)(O)CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876394411 705707490 /nfs/dbraw/zinc/70/74/90/705707490.db2.gz VLSOAGOBJIRLSK-CYBMUJFWSA-N -1 1 318.826 1.959 20 0 DDADMM CCSCC[C@@H](C)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825675188 705712128 /nfs/dbraw/zinc/71/21/28/705712128.db2.gz ZGYODYIDQBXBBI-MRVPVSSYSA-N -1 1 324.410 1.354 20 0 DDADMM CCSCC[C@@H](C)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825675188 705712132 /nfs/dbraw/zinc/71/21/32/705712132.db2.gz ZGYODYIDQBXBBI-MRVPVSSYSA-N -1 1 324.410 1.354 20 0 DDADMM CN(Cc1ncc(Cl)n1C)Cc1ccnc(-c2nnn[n-]2)c1 ZINC000825986137 705754953 /nfs/dbraw/zinc/75/49/53/705754953.db2.gz QEGDIBOCPTZNSW-UHFFFAOYSA-N -1 1 318.772 1.281 20 0 DDADMM CN(Cc1ncc(Cl)n1C)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000825986137 705754957 /nfs/dbraw/zinc/75/49/57/705754957.db2.gz QEGDIBOCPTZNSW-UHFFFAOYSA-N -1 1 318.772 1.281 20 0 DDADMM O=C(Nc1nn[n-]n1)c1nc(Br)sc1C1CC1 ZINC000826042448 705762864 /nfs/dbraw/zinc/76/28/64/705762864.db2.gz ZGUPYDZZAADILK-UHFFFAOYSA-N -1 1 315.156 1.548 20 0 DDADMM COCC[C@H](Cc1ccco1)Nc1nccnc1-c1nnn[n-]1 ZINC000826154039 705779192 /nfs/dbraw/zinc/77/91/92/705779192.db2.gz GSGOSEXDJIXTNJ-SNVBAGLBSA-N -1 1 315.337 1.309 20 0 DDADMM COCC[C@H](Cc1ccco1)Nc1nccnc1-c1nn[n-]n1 ZINC000826154039 705779196 /nfs/dbraw/zinc/77/91/96/705779196.db2.gz GSGOSEXDJIXTNJ-SNVBAGLBSA-N -1 1 315.337 1.309 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCCC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826346829 705794465 /nfs/dbraw/zinc/79/44/65/705794465.db2.gz WNXCPODMJGHXIU-WCBMZHEXSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCCC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826346829 705794468 /nfs/dbraw/zinc/79/44/68/705794468.db2.gz WNXCPODMJGHXIU-WCBMZHEXSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)NCCC2=CCCCC2)c1-c1nnn[n-]1 ZINC000826347550 705794753 /nfs/dbraw/zinc/79/47/53/705794753.db2.gz VCYZQVBZLBJSCF-UHFFFAOYSA-N -1 1 316.365 1.712 20 0 DDADMM Cc1onc(CC(=O)NCCC2=CCCCC2)c1-c1nn[n-]n1 ZINC000826347550 705794755 /nfs/dbraw/zinc/79/47/55/705794755.db2.gz VCYZQVBZLBJSCF-UHFFFAOYSA-N -1 1 316.365 1.712 20 0 DDADMM Cc1onc(CC(=O)NC[C@H]2CCC[C@@H](C)C2)c1-c1nnn[n-]1 ZINC000826347414 705794811 /nfs/dbraw/zinc/79/48/11/705794811.db2.gz QGKMGZCQCXZKTO-KOLCDFICSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)NC[C@H]2CCC[C@@H](C)C2)c1-c1nn[n-]n1 ZINC000826347414 705794813 /nfs/dbraw/zinc/79/48/13/705794813.db2.gz QGKMGZCQCXZKTO-KOLCDFICSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC(F)(F)C2)c1-c1nnn[n-]1 ZINC000826346574 705794877 /nfs/dbraw/zinc/79/48/77/705794877.db2.gz PPQSSJLTGSICJU-SSDOTTSWSA-N -1 1 312.280 1.010 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC(F)(F)C2)c1-c1nn[n-]n1 ZINC000826346574 705794880 /nfs/dbraw/zinc/79/48/80/705794880.db2.gz PPQSSJLTGSICJU-SSDOTTSWSA-N -1 1 312.280 1.010 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nnn[n-]2)c1)c1ccc(CO)cc1 ZINC000826496389 705805546 /nfs/dbraw/zinc/80/55/46/705805546.db2.gz UNNIEVJSUNJUSU-QFIPXVFZSA-N -1 1 315.358 1.062 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nn[n-]n2)c1)c1ccc(CO)cc1 ZINC000826496389 705805551 /nfs/dbraw/zinc/80/55/51/705805551.db2.gz UNNIEVJSUNJUSU-QFIPXVFZSA-N -1 1 315.358 1.062 20 0 DDADMM OCCCCCCNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000826509039 705806522 /nfs/dbraw/zinc/80/65/22/705806522.db2.gz JXHQTUQQLFKIGL-UHFFFAOYSA-N -1 1 313.365 1.775 20 0 DDADMM OCCCCCCNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000826509039 705806524 /nfs/dbraw/zinc/80/65/24/705806524.db2.gz JXHQTUQQLFKIGL-UHFFFAOYSA-N -1 1 313.365 1.775 20 0 DDADMM NS(=O)(=O)CCCCCCC(=O)Nc1cccc(F)c1[O-] ZINC000863008597 705849420 /nfs/dbraw/zinc/84/94/20/705849420.db2.gz XYUAOAUPANECKX-UHFFFAOYSA-N -1 1 318.370 1.709 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccc(Cl)nc2)CC[C@H]1C(=O)[O-] ZINC000863532223 705950084 /nfs/dbraw/zinc/95/00/84/705950084.db2.gz KYPMAYDSQUNRCB-GXSJLCMTSA-N -1 1 311.769 1.716 20 0 DDADMM O=C(N[C@@H](CO)CC(F)(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000827958379 706093813 /nfs/dbraw/zinc/09/38/13/706093813.db2.gz UCEKJDRWXNTYEU-SSDOTTSWSA-N -1 1 320.296 1.061 20 0 DDADMM CCN(OC)C(=O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000828171149 706132018 /nfs/dbraw/zinc/13/20/18/706132018.db2.gz ZGEKOUVELBGACU-UHFFFAOYSA-N -1 1 324.761 1.167 20 0 DDADMM C[C@H](Cc1ccco1)[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872435144 707409351 /nfs/dbraw/zinc/40/93/51/707409351.db2.gz OCJRUMANEWKQMY-GIGQVBGESA-N -1 1 322.452 1.941 20 0 DDADMM C[C@H](Cn1ccnc1)[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872437561 707409964 /nfs/dbraw/zinc/40/99/64/707409964.db2.gz LTDSBONDIJKVQC-GIGQVBGESA-N -1 1 322.456 1.002 20 0 DDADMM CCO[C@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)C1CCOCC1 ZINC000864974176 706316398 /nfs/dbraw/zinc/31/63/98/706316398.db2.gz AYFKXZUZPFLWFU-QMMMGPOBSA-N -1 1 322.287 1.594 20 0 DDADMM COC(=O)[C@@H](C)N(Cc1nc2c(c(=O)[n-]1)COCC2)C1CCC1 ZINC000878500883 706408874 /nfs/dbraw/zinc/40/88/74/706408874.db2.gz GSFKJOKSZUAIRN-SNVBAGLBSA-N -1 1 321.377 1.171 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCC[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830002692 706435027 /nfs/dbraw/zinc/43/50/27/706435027.db2.gz ONOLMBRXYUUNRJ-ZJUUUORDSA-N -1 1 321.830 1.937 20 0 DDADMM COCC(C)(C)CC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830795976 706581470 /nfs/dbraw/zinc/58/14/70/706581470.db2.gz TUXFNBFHWIHBBY-CYBMUJFWSA-N -1 1 324.343 1.719 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)/C=C\c2ccc[nH]2)C1 ZINC000830807332 706583122 /nfs/dbraw/zinc/58/31/22/706583122.db2.gz HQDQHLWDLFTTRM-DSYXLKISSA-N -1 1 315.295 1.697 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@H]2CCOC2)C1 ZINC000830807917 706583526 /nfs/dbraw/zinc/58/35/26/706583526.db2.gz SPFLIEYJEZSIBR-SKDRFNHKSA-N -1 1 308.300 1.083 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCOC2CCC2)c1 ZINC000866888275 706835867 /nfs/dbraw/zinc/83/58/67/706835867.db2.gz INOVSGIHMQMPBC-UHFFFAOYSA-N -1 1 301.364 1.248 20 0 DDADMM CC[C@@H](O)CC[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866968226 706859218 /nfs/dbraw/zinc/85/92/18/706859218.db2.gz OQIKMXTUUMBAON-MRVPVSSYSA-N -1 1 313.206 1.828 20 0 DDADMM CC(C)OC(=O)[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(C)C ZINC000832224651 706874915 /nfs/dbraw/zinc/87/49/15/706874915.db2.gz FECXLVREZSAQRP-VIFPVBQESA-N -1 1 323.802 1.318 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)[C@@H](CO)C(C)C ZINC000832235349 706877450 /nfs/dbraw/zinc/87/74/50/706877450.db2.gz BAJUTJZTOBAUMG-GXSJLCMTSA-N -1 1 323.846 1.631 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)CC1(OC)CCCC1)C(=O)OC ZINC000867054058 706883361 /nfs/dbraw/zinc/88/33/61/706883361.db2.gz MITKICKVUSQVGF-LLVKDONJSA-N -1 1 307.412 1.207 20 0 DDADMM CO[C@H]1CCC[C@@H]1C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867057872 706884883 /nfs/dbraw/zinc/88/48/83/706884883.db2.gz DWKXAGFLEPVKDZ-BDAKNGLRSA-N -1 1 322.789 1.968 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCC1(OC)CCC1 ZINC000867307271 706954794 /nfs/dbraw/zinc/95/47/94/706954794.db2.gz NDWLMHSNSOPJAE-UHFFFAOYSA-N -1 1 312.457 1.288 20 0 DDADMM CC[C@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867448307 707004538 /nfs/dbraw/zinc/00/45/38/707004538.db2.gz BFISABILJDWNKR-IUCAKERBSA-N -1 1 324.805 1.950 20 0 DDADMM CN(C)C(=O)c1ccc(NC([O-])=NO[C@H]2CCCCO2)nc1 ZINC000880604780 707036576 /nfs/dbraw/zinc/03/65/76/707036576.db2.gz HWKBIQCZHMTNER-LBPRGKRZSA-N -1 1 308.338 1.363 20 0 DDADMM CN(C)C(=O)c1ccc(NC(=O)[N-]O[C@H]2CCCCO2)nc1 ZINC000880604780 707036578 /nfs/dbraw/zinc/03/65/78/707036578.db2.gz HWKBIQCZHMTNER-LBPRGKRZSA-N -1 1 308.338 1.363 20 0 DDADMM CCC[C@@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)OCC ZINC000867554158 707037795 /nfs/dbraw/zinc/03/77/95/707037795.db2.gz QIWDPCIXEMWIQU-VIFPVBQESA-N -1 1 308.350 1.843 20 0 DDADMM CNc1nc(C(=O)NCc2cc(=O)[n-]c(SC)n2)cs1 ZINC000880652405 707048733 /nfs/dbraw/zinc/04/87/33/707048733.db2.gz IHGINCXUTSYFRR-UHFFFAOYSA-N -1 1 311.392 1.332 20 0 DDADMM O=c1cc(Cn2cc([C@H]3CCOC3)nn2)c2ccc([O-])cc2o1 ZINC000881011016 707106886 /nfs/dbraw/zinc/10/68/86/707106886.db2.gz JYQPWJRXTHXRBB-JTQLQIEISA-N -1 1 313.313 1.642 20 0 DDADMM COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)c1cc(Cl)ccc1[O-] ZINC000871568427 707123620 /nfs/dbraw/zinc/12/36/20/707123620.db2.gz GDFIGPWNUGIJJQ-PRHODGIISA-N -1 1 313.737 1.354 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2cn(C[C@@H]3CCOC3)nn2)n1 ZINC000871666889 707160803 /nfs/dbraw/zinc/16/08/03/707160803.db2.gz UYZQBWYLWGQKRK-JTQLQIEISA-N -1 1 321.406 1.665 20 0 DDADMM CCC[C@@H](NCc1nc2c(c(=O)[n-]1)COCC2)C(=O)OCC ZINC000871932112 707248856 /nfs/dbraw/zinc/24/88/56/707248856.db2.gz PCODFQLPRMULMT-GFCCVEGCSA-N -1 1 309.366 1.076 20 0 DDADMM O=C([O-])[C@@H]1CSCCN1C(=O)NCc1ccc2cncn2c1 ZINC000909169002 712952564 /nfs/dbraw/zinc/95/25/64/712952564.db2.gz HJESNERWYJGJLL-LBPRGKRZSA-N -1 1 320.374 1.046 20 0 DDADMM Cc1nn(CC(C)C)c(C)c1CC(=O)[N-]O[C@@H](CO)C(C)C ZINC000836899907 707545452 /nfs/dbraw/zinc/54/54/52/707545452.db2.gz FELNJKBHPXSOQB-HNNXBMFYSA-N -1 1 311.426 1.763 20 0 DDADMM Cc1nc(CS(=O)(=O)[N-][C@@]2(C)CC(C)(C)OC2=O)cs1 ZINC000882223691 707546011 /nfs/dbraw/zinc/54/60/11/707546011.db2.gz PFAVWWWIGBLECA-LBPRGKRZSA-N -1 1 318.420 1.355 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)C(F)F)C(=O)NCCc1ccccc1 ZINC000836969327 707555799 /nfs/dbraw/zinc/55/57/99/707555799.db2.gz HCNGCJXXZLOOLH-VIFPVBQESA-N -1 1 320.286 1.750 20 0 DDADMM CCCc1cc(C(=O)N2C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C2)n[nH]1 ZINC000872762558 707589192 /nfs/dbraw/zinc/58/91/92/707589192.db2.gz HUORFLAKFJEDDJ-IUCAKERBSA-N -1 1 319.283 1.697 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@]12CCC[C@@]1(OC)OCC2 ZINC000921314845 713730752 /nfs/dbraw/zinc/73/07/52/713730752.db2.gz JJXGUGRKLTZTRN-QWHCGFSZSA-N -1 1 307.412 1.017 20 0 DDADMM CCN1CC[C@H]1CNC(=O)c1ccc2c(c1)[n-]c(=S)[nH]c2=O ZINC000882481960 707652404 /nfs/dbraw/zinc/65/24/04/707652404.db2.gz GQBXLSUOXLIAOR-JTQLQIEISA-N -1 1 318.402 1.448 20 0 DDADMM CN(C)S(=O)(=O)[N-]CC(F)(F)c1cc(F)cc(F)c1 ZINC000882659693 707735870 /nfs/dbraw/zinc/73/58/70/707735870.db2.gz HHMCAQFVSZUVSF-UHFFFAOYSA-N -1 1 300.277 1.453 20 0 DDADMM O=S(=O)(CCCOCc1ccccc1)[N-]c1ccc[n+]([O-])c1 ZINC000882759155 707774888 /nfs/dbraw/zinc/77/48/88/707774888.db2.gz UPIZOMUVHGUDHC-UHFFFAOYSA-N -1 1 322.386 1.669 20 0 DDADMM C/C=C/COc1cccc(CC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000837930139 707784327 /nfs/dbraw/zinc/78/43/27/707784327.db2.gz BZTJRJRGZPNPCR-ONEGZZNKSA-N -1 1 317.345 1.349 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC2CCSCC2)o1 ZINC000873558983 707897358 /nfs/dbraw/zinc/89/73/58/707897358.db2.gz UABUTNBVQJMOSR-UHFFFAOYSA-N -1 1 305.377 1.240 20 0 DDADMM O=C(COC(=O)[C@@H]1C[C@H]2CC(=O)[C@@H]1C2)[N-]C(=O)c1ccccc1 ZINC000838445928 707921256 /nfs/dbraw/zinc/92/12/56/707921256.db2.gz GXYQTCVLDIUHOX-CYZMBNFOSA-N -1 1 315.325 1.101 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC[C@@H]2CC[C@@H]3C[C@@H]32)co1 ZINC000927480659 712993183 /nfs/dbraw/zinc/99/31/83/712993183.db2.gz AAPRKLUBAOESQM-HOSYDEDBSA-N -1 1 312.391 1.354 20 0 DDADMM C[N@H+]1CCC[C@H]1C(=O)N[C@H](CC(=O)[O-])c1ccc(F)cc1F ZINC000909344216 712996134 /nfs/dbraw/zinc/99/61/34/712996134.db2.gz YTNOLAQZOKDVDR-OLZOCXBDSA-N -1 1 312.316 1.691 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@H](CC(=O)[O-])c1ccc(F)cc1F ZINC000909344216 712996136 /nfs/dbraw/zinc/99/61/36/712996136.db2.gz YTNOLAQZOKDVDR-OLZOCXBDSA-N -1 1 312.316 1.691 20 0 DDADMM C[C@@H]1CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)C[C@H](C)S1 ZINC000909406782 713009927 /nfs/dbraw/zinc/00/99/27/713009927.db2.gz RUABTLRHSAFHRF-GRYCIOLGSA-N -1 1 300.424 1.135 20 0 DDADMM C[C@@H]1CO[C@@H](C(=O)Nc2nc(Br)ccc2[O-])C1 ZINC000897112890 708232022 /nfs/dbraw/zinc/23/20/22/708232022.db2.gz SWDHYVGSROKDMF-POYBYMJQSA-N -1 1 301.140 1.913 20 0 DDADMM O=C([C@@H]1CCOC2(CCC2)C1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000897668086 708412806 /nfs/dbraw/zinc/41/28/06/708412806.db2.gz CYHNUWRYZIHWRJ-GFCCVEGCSA-N -1 1 321.377 1.831 20 0 DDADMM Cc1cc(C)cc([C@H](O)C[N-]S(=O)(=O)c2ccns2)c1 ZINC000885172077 708485298 /nfs/dbraw/zinc/48/52/98/708485298.db2.gz RJWSWGCNDKMMCC-GFCCVEGCSA-N -1 1 312.416 1.772 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CC[C@H](C)[C@H](C)C1 ZINC000912548833 713034268 /nfs/dbraw/zinc/03/42/68/713034268.db2.gz YPVXUNBYICPSIP-IVZWLZJFSA-N -1 1 315.395 1.299 20 0 DDADMM O=C(COC(=O)c1cnc(C2CC2)o1)[N-]C(=O)c1ccccc1 ZINC000885332682 708521647 /nfs/dbraw/zinc/52/16/47/708521647.db2.gz NNUXOEBZJOHNNO-UHFFFAOYSA-N -1 1 314.297 1.665 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CC3(CCC3)CO2)c1Cl ZINC000885637840 708589677 /nfs/dbraw/zinc/58/96/77/708589677.db2.gz CGHYQYZNIZSBGT-VIFPVBQESA-N -1 1 319.814 1.311 20 0 DDADMM O=C(NCCO[C@@H]1CCOC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000885722228 708608181 /nfs/dbraw/zinc/60/81/81/708608181.db2.gz GXNFZQDUYBQACF-SNVBAGLBSA-N -1 1 319.279 1.946 20 0 DDADMM CCCc1ccccc1C(=O)NCc1n[n-]c(C(=O)OCC)n1 ZINC000898435097 708639249 /nfs/dbraw/zinc/63/92/49/708639249.db2.gz DPNFONGMXCIEMJ-UHFFFAOYSA-N -1 1 316.361 1.864 20 0 DDADMM CCCc1ccccc1C(=O)NCc1nc(C(=O)OCC)n[n-]1 ZINC000898435097 708639251 /nfs/dbraw/zinc/63/92/51/708639251.db2.gz DPNFONGMXCIEMJ-UHFFFAOYSA-N -1 1 316.361 1.864 20 0 DDADMM C[C@@H](O)CCCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782480 713054872 /nfs/dbraw/zinc/05/48/72/713054872.db2.gz FZZJHPUUIFTHAW-SECBINFHSA-N -1 1 302.321 1.673 20 0 DDADMM C/C=C\C[C@H](CO)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927784742 713055384 /nfs/dbraw/zinc/05/53/84/713055384.db2.gz QUUKCWZEEQQIIZ-MXQLGCADSA-N -1 1 314.332 1.839 20 0 DDADMM CCOC(=O)[C@@H](F)[C@@H]1CCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000898539437 708782250 /nfs/dbraw/zinc/78/22/50/708782250.db2.gz DTYSLTLPMLOELP-RNCFNFMXSA-N -1 1 313.300 1.895 20 0 DDADMM CN(C(=O)C1CC(O)(c2cccc(Cl)c2)C1)c1nn[n-]n1 ZINC000912618130 713052627 /nfs/dbraw/zinc/05/26/27/713052627.db2.gz OEPFHHZKTQGKKZ-UHFFFAOYSA-N -1 1 307.741 1.114 20 0 DDADMM C[C@H]1CS(=O)(=O)CCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000886817787 708852946 /nfs/dbraw/zinc/85/29/46/708852946.db2.gz SOTQSNIRJGJKQL-VIFPVBQESA-N -1 1 301.339 1.181 20 0 DDADMM C[C@H]1CS(=O)(=O)CCCN1C(=O)c1c([O-])cccc1Cl ZINC000886820666 708853301 /nfs/dbraw/zinc/85/33/01/708853301.db2.gz OKTJUXPRKWDHNR-VIFPVBQESA-N -1 1 317.794 1.695 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CC[C@@H](C(F)(F)F)C1 ZINC000898755346 708856039 /nfs/dbraw/zinc/85/60/39/708856039.db2.gz PKWUONBURZFEJY-NKWVEPMBSA-N -1 1 305.256 1.483 20 0 DDADMM COC(=O)C[C@@H](C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000899183116 709012853 /nfs/dbraw/zinc/01/28/53/709012853.db2.gz FCXGXQPECUESDH-SECBINFHSA-N -1 1 303.314 1.932 20 0 DDADMM CCO[N-]C(=O)[C@H](Cc1cnc[nH]1)NC(=O)C1CCCCC1 ZINC000900076010 709282526 /nfs/dbraw/zinc/28/25/26/709282526.db2.gz FQGCCUDSVYVETH-ZDUSSCGKSA-N -1 1 308.382 1.085 20 0 DDADMM COC(=O)CCCO[N-]C(=O)[C@H]1CCCN1Cc1ccccn1 ZINC000888816347 709384915 /nfs/dbraw/zinc/38/49/15/709384915.db2.gz HDNXIGLKNKUSFU-CQSZACIVSA-N -1 1 321.377 1.047 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H]2C2CCCC2)co1 ZINC000889403451 709491714 /nfs/dbraw/zinc/49/17/14/709491714.db2.gz ZVDAKDBJZQFGCL-LBPRGKRZSA-N -1 1 312.391 1.592 20 0 DDADMM Cc1nc(CNC(=O)[C@@H]2CCCN2C(C)C)sc1C(=O)[O-] ZINC000909504394 709493188 /nfs/dbraw/zinc/49/31/88/709493188.db2.gz SPRHPYLLRSBORH-JTQLQIEISA-N -1 1 311.407 1.639 20 0 DDADMM CSCC[C@H](NC(=O)c1cccc(C(C)C)n1)c1nn[n-]n1 ZINC000912861806 713110325 /nfs/dbraw/zinc/11/03/25/713110325.db2.gz YNYBXMJQJWRXFV-NSHDSACASA-N -1 1 320.422 1.942 20 0 DDADMM CSCC[C@H](NC(=O)c1nc(C)sc1C)c1nn[n-]n1 ZINC000912861670 713110537 /nfs/dbraw/zinc/11/05/37/713110537.db2.gz UHUYRVRFFKWWDE-QMMMGPOBSA-N -1 1 312.424 1.497 20 0 DDADMM CSCC[C@H](NC(=O)c1ccnc(C2CC2)c1)c1nn[n-]n1 ZINC000912862833 713110818 /nfs/dbraw/zinc/11/08/18/713110818.db2.gz WWGURVBGNMFZRM-NSHDSACASA-N -1 1 318.406 1.696 20 0 DDADMM O=C([O-])C[C@]1(NC(=O)c2ccc(O)c(Cl)c2)CCCOC1 ZINC000909695113 709582183 /nfs/dbraw/zinc/58/21/83/709582183.db2.gz WZRLLLHDGFSYHI-CQSZACIVSA-N -1 1 313.737 1.799 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(C(=O)c2ccc3cncn3c2)C1 ZINC000909714281 709593078 /nfs/dbraw/zinc/59/30/78/709593078.db2.gz PCWZUPIKYZTPJU-INIZCTEOSA-N -1 1 317.345 1.288 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(C(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC000909715292 709593746 /nfs/dbraw/zinc/59/37/46/709593746.db2.gz ZYTFYYNVFXHCRQ-INIZCTEOSA-N -1 1 323.393 1.795 20 0 DDADMM CSC1(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)CCC1 ZINC000909729236 709598163 /nfs/dbraw/zinc/59/81/63/709598163.db2.gz LHESGWPGOGFYIF-NSHDSACASA-N -1 1 300.424 1.185 20 0 DDADMM O=C([O-])C[C@H]1CCCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000909732500 709600045 /nfs/dbraw/zinc/60/00/45/709600045.db2.gz BWFBLFMIUQYOOM-GFCCVEGCSA-N -1 1 300.318 1.551 20 0 DDADMM C[C@@H]1NCCn2c(C(=O)NCc3ccc(C(=O)[O-])cc3)ccc21 ZINC000900455245 709603165 /nfs/dbraw/zinc/60/31/65/709603165.db2.gz ZWZDSZNZOWVEFW-NSHDSACASA-N -1 1 313.357 1.781 20 0 DDADMM O=C([O-])[C@@H]1CC[C@@H]1C(=O)N1CCNC[C@H]1c1cccc(Cl)c1 ZINC000900459337 709604484 /nfs/dbraw/zinc/60/44/84/709604484.db2.gz YOKWGZRNRCYBQR-MJBXVCDLSA-N -1 1 322.792 1.924 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H]2C[C@H]3C[C@H]3C2)[n-]c1=O ZINC000889787655 709636123 /nfs/dbraw/zinc/63/61/23/709636123.db2.gz YNHIHPYRSXBPNV-NOOOWODRSA-N -1 1 303.362 1.900 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cccc(F)n2)[n-]c1=O ZINC000889791203 709637352 /nfs/dbraw/zinc/63/73/52/709637352.db2.gz XZJNXVLCTWPUJG-JTQLQIEISA-N -1 1 318.308 1.702 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C[C@@](C)(O)C2CC2)[n-]c1=O ZINC000889790232 709637711 /nfs/dbraw/zinc/63/77/11/709637711.db2.gz OZYPIDVXHFGWED-MEDUHNTESA-N -1 1 321.377 1.405 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C(=O)C(C)(C)C)[n-]c1=O ZINC000889792479 709638686 /nfs/dbraw/zinc/63/86/86/709638686.db2.gz ZRSXJSJAARVCIQ-VIFPVBQESA-N -1 1 307.350 1.470 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccncc2)[n-]c1=O ZINC000889794839 709639704 /nfs/dbraw/zinc/63/97/04/709639704.db2.gz BRIDZOUEPOSQIQ-LLVKDONJSA-N -1 1 300.318 1.563 20 0 DDADMM C[C@H]1CC[C@@](NC(=O)CN(C)[C@H]2CCSC2)(C(=O)[O-])CC1 ZINC000909953875 709706342 /nfs/dbraw/zinc/70/63/42/709706342.db2.gz SOUANSNJTKDZQX-HUBLWGQQSA-N -1 1 314.451 1.573 20 0 DDADMM O=C(Cn1nnc2c1CCCC2)Nc1cc([O-])c(F)cc1F ZINC000909987132 709721536 /nfs/dbraw/zinc/72/15/36/709721536.db2.gz JFMQFUBPGGJDMA-UHFFFAOYSA-N -1 1 308.288 1.779 20 0 DDADMM C[C@H](NC(=O)CN1CCC[C@H](C(=O)[O-])C1)C1CCC(F)CC1 ZINC000909988156 709722327 /nfs/dbraw/zinc/72/23/27/709722327.db2.gz XYUDEAOXIUAUAP-AHPWVWPOSA-N -1 1 314.401 1.816 20 0 DDADMM C[C@@H](C(=O)N(C)[C@H]1C[C@@H](C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000909990523 709724379 /nfs/dbraw/zinc/72/43/79/709724379.db2.gz FUFJZYGUKAVUQX-VBNZEHGJSA-N -1 1 316.401 1.755 20 0 DDADMM O=C([O-])[C@@]1(Cc2ccccc2)CCCN1C(=O)Cc1ncn[nH]1 ZINC000910109091 709775047 /nfs/dbraw/zinc/77/50/47/709775047.db2.gz UWJVELNPZHGHBR-INIZCTEOSA-N -1 1 314.345 1.036 20 0 DDADMM CNc1ccccc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910169274 709796204 /nfs/dbraw/zinc/79/62/04/709796204.db2.gz CYFJNMXKAGXBFL-LBPRGKRZSA-N -1 1 305.378 1.349 20 0 DDADMM Cc1cccnc1/C=C\C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910175611 709797979 /nfs/dbraw/zinc/79/79/79/709797979.db2.gz PXOSIJRGRCNCIF-GEXIGZQTSA-N -1 1 317.389 1.411 20 0 DDADMM CC1(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCC(F)(F)CC1 ZINC000910212021 709817459 /nfs/dbraw/zinc/81/74/59/709817459.db2.gz PMIUMXHJMUUJSO-LLVKDONJSA-N -1 1 318.364 1.867 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(C(=O)[O-])cc1OCC(F)F)N(C)C ZINC000910218352 709820822 /nfs/dbraw/zinc/82/08/22/709820822.db2.gz BYCVCHIDBYNAIR-QMMMGPOBSA-N -1 1 316.304 1.917 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]2OCC[C@H]21)c1cnc(C2CC2)[n-]c1=O ZINC000901067416 709910760 /nfs/dbraw/zinc/91/07/60/709910760.db2.gz VJENFAOZVMTXJI-UHTWSYAYSA-N -1 1 303.362 1.747 20 0 DDADMM C[C@@]1(C2CC2)COCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000890588467 709922095 /nfs/dbraw/zinc/92/20/95/709922095.db2.gz FHCCYLJVMJMXER-INIZCTEOSA-N -1 1 303.362 1.701 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](NC(=O)c2[nH]nc3c2CCC3)c2ccccc21 ZINC000910471536 709958892 /nfs/dbraw/zinc/95/88/92/709958892.db2.gz DVLZNUWYFZTZNG-OCCSQVGLSA-N -1 1 311.341 1.941 20 0 DDADMM COc1ncccc1CN[C@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC000901528682 710067037 /nfs/dbraw/zinc/06/70/37/710067037.db2.gz IJHHMKJEPVQPSV-HNNXBMFYSA-N -1 1 314.341 1.941 20 0 DDADMM CCn1c(CN2CC[C@](OC)(C(=O)[O-])C2)nc2ccccc21 ZINC000901540372 710069078 /nfs/dbraw/zinc/06/90/78/710069078.db2.gz CLDATTJPPLPICE-MRXNPFEDSA-N -1 1 303.362 1.732 20 0 DDADMM COC(=O)/C=C(/C)CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000931569302 714131118 /nfs/dbraw/zinc/13/11/18/714131118.db2.gz VBDYUGBHKXYNHJ-OJIASSBASA-N -1 1 308.300 1.249 20 0 DDADMM Cc1nc(CC(=O)N2CC[C@@H](C(=O)[O-])c3ccccc32)n[nH]1 ZINC000901638975 710104377 /nfs/dbraw/zinc/10/43/77/710104377.db2.gz HVNAYLAAGOLRTF-LLVKDONJSA-N -1 1 300.318 1.261 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)N=S(C)(C)=O)cc1F ZINC000901639847 710105131 /nfs/dbraw/zinc/10/51/31/710105131.db2.gz PQSDEHAHSVULMJ-UHFFFAOYSA-N -1 1 314.335 1.358 20 0 DDADMM CC[C@H](C)C[C@@H](NC(=O)C(C)(C)CN1CCOCC1)C(=O)[O-] ZINC000910909698 710115645 /nfs/dbraw/zinc/11/56/45/710115645.db2.gz AMMJPXATYRFNGZ-QWHCGFSZSA-N -1 1 314.426 1.350 20 0 DDADMM COc1cc(CN(CCC(=O)[O-])C[C@@H]2CCCO2)sn1 ZINC000901680259 710116654 /nfs/dbraw/zinc/11/66/54/710116654.db2.gz WVTMLAUNGWVAJQ-JTQLQIEISA-N -1 1 300.380 1.607 20 0 DDADMM CC(C)C[C@H](CNC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C)C(=O)[O-] ZINC000901691388 710119315 /nfs/dbraw/zinc/11/93/15/710119315.db2.gz XOWHPABZOKIPFA-GYSYKLTISA-N -1 1 307.394 1.939 20 0 DDADMM CCn1cc(Cl)c([N-]S(=O)(=O)CCOCC2CC2)n1 ZINC000901718656 710131771 /nfs/dbraw/zinc/13/17/71/710131771.db2.gz VUMKQXVLKLBLGN-UHFFFAOYSA-N -1 1 307.803 1.725 20 0 DDADMM C[C@H](NCc1ccccc1C(F)(F)F)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901740861 710136430 /nfs/dbraw/zinc/13/64/30/710136430.db2.gz GPGLAMYIKUYTSH-IUCAKERBSA-N -1 1 318.295 1.773 20 0 DDADMM C[C@H](NC(=O)[C@H](C)NC/C=C/c1ccc(F)cc1F)C(=O)[O-] ZINC000901741974 710136963 /nfs/dbraw/zinc/13/69/63/710136963.db2.gz OTFXBQFLVFOGLZ-OHINUGQQSA-N -1 1 312.316 1.545 20 0 DDADMM CCCOc1cccc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])c1 ZINC000901744265 710139044 /nfs/dbraw/zinc/13/90/44/710139044.db2.gz VWXMSUXJFGIDIP-RYUDHWBXSA-N -1 1 308.378 1.543 20 0 DDADMM O=C([O-])[C@H](N[C@@H]1CCOC1)c1ccccc1Br ZINC000901749162 710140622 /nfs/dbraw/zinc/14/06/22/710140622.db2.gz QGQQWJFWMFDEFM-LDYMZIIASA-N -1 1 300.152 1.953 20 0 DDADMM CC[C@@](NCc1cnnn1C)(C(=O)[O-])c1ccc(Cl)cc1 ZINC000901872659 710163479 /nfs/dbraw/zinc/16/34/79/710163479.db2.gz LXFFGKWZQJXTFP-AWEZNQCLSA-N -1 1 308.769 1.948 20 0 DDADMM O=C([O-])[C@]1(O)CCN(Cc2cnn(-c3ccc(Cl)cc3)c2)C1 ZINC000901901383 710169050 /nfs/dbraw/zinc/16/90/50/710169050.db2.gz JCDGDEFXHLUGOK-HNNXBMFYSA-N -1 1 321.764 1.547 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(Cc2ccc(CSC(F)F)o2)C1 ZINC000901903729 710169899 /nfs/dbraw/zinc/16/98/99/710169899.db2.gz VQBWJAIZZMFCLJ-GFCCVEGCSA-N -1 1 307.318 1.757 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@@H]2CC[C@H]2C(=O)[O-])N2CCCC2)o1 ZINC000901934257 710179028 /nfs/dbraw/zinc/17/90/28/710179028.db2.gz IUKFAGFWRJXGKQ-MCIONIFRSA-N -1 1 320.389 1.952 20 0 DDADMM Cc1cc(CNCc2ccc3c(c2)nnn3C)oc1C(=O)[O-] ZINC000901942943 710180913 /nfs/dbraw/zinc/18/09/13/710180913.db2.gz PPWAYQUHJQQGCK-UHFFFAOYSA-N -1 1 300.318 1.858 20 0 DDADMM CC[C@H](C)N(CC(=O)[O-])Cc1cnc(N2CCOCC2)s1 ZINC000901987890 710193850 /nfs/dbraw/zinc/19/38/50/710193850.db2.gz KHLNPHBYWCYYMO-NSHDSACASA-N -1 1 313.423 1.665 20 0 DDADMM CO[C@@]1(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)CCSC1 ZINC000891613750 710248643 /nfs/dbraw/zinc/24/86/43/710248643.db2.gz AYHCJAMOJIQXBG-AWEZNQCLSA-N -1 1 309.391 1.522 20 0 DDADMM Cn1nc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cc1C1CC1 ZINC000891624143 710251351 /nfs/dbraw/zinc/25/13/51/710251351.db2.gz XRJWYCZUMJAIMQ-UHFFFAOYSA-N -1 1 313.361 1.924 20 0 DDADMM CCOCCO[C@H](C)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891624299 710251597 /nfs/dbraw/zinc/25/15/97/710251597.db2.gz PQPGKVLOYARZNQ-SNVBAGLBSA-N -1 1 309.366 1.441 20 0 DDADMM COCc1nc(N2CCO[C@@H](c3ccccc3)C2)cc(=O)[n-]1 ZINC000891683720 710265789 /nfs/dbraw/zinc/26/57/89/710265789.db2.gz HEUBTVJAOGQLBX-CYBMUJFWSA-N -1 1 301.346 1.907 20 0 DDADMM CNC(=O)c1cccc(CNc2cc(=O)[n-]c(COC)n2)c1 ZINC000891736579 710278984 /nfs/dbraw/zinc/27/89/84/710278984.db2.gz OFYIFQHYGHCLNU-UHFFFAOYSA-N -1 1 302.334 1.300 20 0 DDADMM COCc1nc(NCCNC(=O)c2cccc(C)c2)cc(=O)[n-]1 ZINC000891949163 710335627 /nfs/dbraw/zinc/33/56/27/710335627.db2.gz MUADKKDIJAUEGZ-UHFFFAOYSA-N -1 1 316.361 1.479 20 0 DDADMM CN(CCn1cccn1)Cc1ncc(Br)cc1[O-] ZINC000892030555 710356600 /nfs/dbraw/zinc/35/66/00/710356600.db2.gz AKSMVNFFTBGFSE-UHFFFAOYSA-N -1 1 311.183 1.878 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC=CC23CCCC3)co1 ZINC000913202641 713179839 /nfs/dbraw/zinc/17/98/39/713179839.db2.gz QOLZUKYDIOELPP-UHFFFAOYSA-N -1 1 310.375 1.513 20 0 DDADMM Cc1c(Br)scc1C(=O)N(C)c1nn[n-]n1 ZINC000892954237 710531465 /nfs/dbraw/zinc/53/14/65/710531465.db2.gz FSSOYPKKKGDAIO-UHFFFAOYSA-N -1 1 302.157 1.609 20 0 DDADMM CN(C(=O)c1cc(N2CCCC2=O)ccc1Cl)c1nn[n-]n1 ZINC000892954811 710531810 /nfs/dbraw/zinc/53/18/10/710531810.db2.gz RHRMPLVBQMFQKO-UHFFFAOYSA-N -1 1 320.740 1.257 20 0 DDADMM Cn1cc(C[C@@H](CO)NC(=O)c2c([O-])cccc2Cl)cn1 ZINC000911119384 710644055 /nfs/dbraw/zinc/64/40/55/710644055.db2.gz HYHFNDIBRGFKGC-JTQLQIEISA-N -1 1 309.753 1.113 20 0 DDADMM Cc1nn(CCC(=O)[O-])c(C)c1CNCc1cccc(CO)c1 ZINC000902226641 710671193 /nfs/dbraw/zinc/67/11/93/710671193.db2.gz XRKUTEOHSRXQHM-UHFFFAOYSA-N -1 1 317.389 1.757 20 0 DDADMM CC(=O)N[C@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000911180935 710671908 /nfs/dbraw/zinc/67/19/08/710671908.db2.gz NXPGMWDCQDKHGD-JTQLQIEISA-N -1 1 317.320 1.430 20 0 DDADMM CC(C)N1CC[C@H](NCc2ccc(O[C@H](C)C(=O)[O-])cc2)C1=O ZINC000902256811 710683839 /nfs/dbraw/zinc/68/38/39/710683839.db2.gz VBPLPBSVJNNTJR-DOMZBBRYSA-N -1 1 320.389 1.637 20 0 DDADMM CON(C)C(=O)[C@H](C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000913339692 713201427 /nfs/dbraw/zinc/20/14/27/713201427.db2.gz LIJRLYFONMNQFM-QMMMGPOBSA-N -1 1 321.308 1.218 20 0 DDADMM COCC(C)(C)N1CCN(Cc2ccc(/C=C/C(=O)[O-])o2)CC1 ZINC000902327902 710718396 /nfs/dbraw/zinc/71/83/96/710718396.db2.gz YAPNWDJAQBEMFJ-VOTSOKGWSA-N -1 1 322.405 1.920 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000911304610 710735416 /nfs/dbraw/zinc/73/54/16/710735416.db2.gz WIFVMMBBQKSWDD-IUODEOHRSA-N -1 1 304.390 1.660 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)N[C@H](C)C1(N2CCOCC2)CCCC1 ZINC000911450495 710804348 /nfs/dbraw/zinc/80/43/48/710804348.db2.gz DCNCFTIKQDEOCF-OLZOCXBDSA-N -1 1 312.410 1.247 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000911492850 710821559 /nfs/dbraw/zinc/82/15/59/710821559.db2.gz KHAJLYWMYGIEKK-LBPRGKRZSA-N -1 1 310.369 1.875 20 0 DDADMM CCCO[N-]C(=O)[C@H](C)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC000911552951 710849470 /nfs/dbraw/zinc/84/94/70/710849470.db2.gz QOSJBAUWTAHVSS-MNOVXSKESA-N -1 1 304.387 1.308 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000902818420 710915088 /nfs/dbraw/zinc/91/50/88/710915088.db2.gz NJBNFXDOTSRUFL-WOPDTQHZSA-N -1 1 300.424 1.039 20 0 DDADMM O=C([O-])c1ccc(CCC(=O)N2CC[C@@H](c3nc[nH]n3)C2)cc1 ZINC000911772939 710976643 /nfs/dbraw/zinc/97/66/43/710976643.db2.gz JZQZILGZKDRLNA-CYBMUJFWSA-N -1 1 314.345 1.452 20 0 DDADMM COc1ccccc1CO[N-]C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000911807338 711004711 /nfs/dbraw/zinc/00/47/11/711004711.db2.gz ZTJLWKUTQATJLT-GFCCVEGCSA-N -1 1 322.361 1.040 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1nc(C(F)F)n[nH]1)C1CCCC1 ZINC000903149638 711048044 /nfs/dbraw/zinc/04/80/44/711048044.db2.gz BWTRNCNCZJOPOP-QMMMGPOBSA-N -1 1 324.353 1.689 20 0 DDADMM CN1CC[C@@H]1CNC(=O)c1cc(Br)c(F)cc1[O-] ZINC000928557151 713225559 /nfs/dbraw/zinc/22/55/59/713225559.db2.gz WZYTYQCILWCXLP-SSDOTTSWSA-N -1 1 317.158 1.728 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H](C)C(=O)N1C ZINC000912004337 711112570 /nfs/dbraw/zinc/11/25/70/711112570.db2.gz SXLPNQQFFKVMNU-BBBLOLIVSA-N -1 1 318.377 1.139 20 0 DDADMM Cc1cn2c(nc(CN3C[C@H](C(=O)[O-])C[C@H](C)C3)cc2=O)s1 ZINC000903407255 711117201 /nfs/dbraw/zinc/11/72/01/711117201.db2.gz GASBVIJFFXHDFP-GXSJLCMTSA-N -1 1 321.402 1.607 20 0 DDADMM CC[C@@H]1C[C@H]1C[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000903408941 711118390 /nfs/dbraw/zinc/11/83/90/711118390.db2.gz OIZBBVADMDCIKR-VPOLOUISSA-N -1 1 322.327 1.629 20 0 DDADMM C[C@@H]1CCN(CCS(=O)(=O)CC(=O)[O-])[C@@H]1c1ccccc1 ZINC000903410522 711118408 /nfs/dbraw/zinc/11/84/08/711118408.db2.gz CDKGBCPNUPEWCE-DOMZBBRYSA-N -1 1 311.403 1.569 20 0 DDADMM CO[C@@](C)(CO)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000912051285 711146620 /nfs/dbraw/zinc/14/66/20/711146620.db2.gz MFCOLZVMMULKRY-OAHLLOKOSA-N -1 1 308.309 1.207 20 0 DDADMM Cc1nc2ccccc2cc1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913493109 713236496 /nfs/dbraw/zinc/23/64/96/713236496.db2.gz AVFLWGRRGWEULR-AWEZNQCLSA-N -1 1 324.344 1.270 20 0 DDADMM O=C(C/C=C\Cc1ccccc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494134 713236939 /nfs/dbraw/zinc/23/69/39/713236939.db2.gz SMZQIOABOXCBSG-ZRUQZJFASA-N -1 1 313.361 1.289 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnc(F)c(F)c1)[C@H]1CCOC1 ZINC000903673207 711241997 /nfs/dbraw/zinc/24/19/97/711241997.db2.gz HHVJVOGBJIQLAZ-GZMMTYOYSA-N -1 1 322.333 1.153 20 0 DDADMM CSc1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)ccc1F ZINC000913496153 713238619 /nfs/dbraw/zinc/23/86/19/713238619.db2.gz UDXQTVAEAFUWDM-JTQLQIEISA-N -1 1 323.353 1.274 20 0 DDADMM CCOC(=O)C=C([O-])N=[S@](C)(=O)c1ccc(N(C)C)cc1 ZINC000913496918 713238743 /nfs/dbraw/zinc/23/87/43/713238743.db2.gz ASNABNWSMMMQLV-OAQYLSRUSA-N -1 1 312.391 1.689 20 0 DDADMM O=C(c1ccc2c(c1)CCC=C2)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913497561 713238813 /nfs/dbraw/zinc/23/88/13/713238813.db2.gz LQSVQUJUIXBZIN-AWEZNQCLSA-N -1 1 311.345 1.373 20 0 DDADMM CCOC(=O)[C@@H](CCc1ccccc1)N1CC[C@H](C(=O)[O-])C1 ZINC000903692786 711246213 /nfs/dbraw/zinc/24/62/13/711246213.db2.gz BGEXIAARRALFLI-LSDHHAIUSA-N -1 1 305.374 1.957 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496860 713238883 /nfs/dbraw/zinc/23/88/83/713238883.db2.gz FMYPSJPASVEBIE-YUMQZZPRSA-N -1 1 307.276 1.078 20 0 DDADMM CCc1nsc(N2CCN(CCC3(C(=O)[O-])CCC3)CC2)n1 ZINC000903697372 711247806 /nfs/dbraw/zinc/24/78/06/711247806.db2.gz KSANOBXUSLNKFI-UHFFFAOYSA-N -1 1 324.450 1.868 20 0 DDADMM O=C(c1cc(F)ccc1Cl)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913497499 713239027 /nfs/dbraw/zinc/23/90/27/713239027.db2.gz KSSLJDCQAOKJSB-JTQLQIEISA-N -1 1 311.704 1.206 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N1C[C@H](C)C[C@@H](C)C1 ZINC000903711613 711250515 /nfs/dbraw/zinc/25/05/15/711250515.db2.gz DWPBHKTXMHRYNI-MGPQQGTHSA-N -1 1 314.426 1.303 20 0 DDADMM Cc1ccccc1[C@@H]1C[C@H]1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913498165 713239349 /nfs/dbraw/zinc/23/93/49/713239349.db2.gz GAUJMBIVDIXFPB-MJBXVCDLSA-N -1 1 313.361 1.212 20 0 DDADMM Cc1ccccc1C[C@@H](C)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499653 713239644 /nfs/dbraw/zinc/23/96/44/713239644.db2.gz QBUORGBLQMTYHI-OCCSQVGLSA-N -1 1 315.377 1.287 20 0 DDADMM CC(C)OC[C@@H](O)CN1CCOc2c(cccc2C(=O)[O-])C1 ZINC000903921212 711332836 /nfs/dbraw/zinc/33/28/36/711332836.db2.gz LHNRUKLHUJJCRH-ZDUSSCGKSA-N -1 1 309.362 1.365 20 0 DDADMM CNC(=O)C1(NC(=O)c2cc(Cl)ccc2[O-])CCOCC1 ZINC000895106956 711415570 /nfs/dbraw/zinc/41/55/70/711415570.db2.gz PIJBPZMADVYIAN-UHFFFAOYSA-N -1 1 312.753 1.071 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CCNC(=O)CC1 ZINC000896148554 711682718 /nfs/dbraw/zinc/68/27/18/711682718.db2.gz JOWVMSDJYSAFSM-UHFFFAOYSA-N -1 1 300.314 1.213 20 0 DDADMM O=C(NC12CCN(CC1)C2)c1cccc(Br)c1[O-] ZINC000913632018 713272740 /nfs/dbraw/zinc/27/27/40/713272740.db2.gz MOYAMUICBKCZKU-UHFFFAOYSA-N -1 1 311.179 1.733 20 0 DDADMM COc1nccc(C(=O)[N-]c2c(C)onc2OC(F)F)n1 ZINC000904885307 711900227 /nfs/dbraw/zinc/90/02/27/711900227.db2.gz LWLDLCBBZBCUQW-UHFFFAOYSA-N -1 1 300.221 1.635 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@]34CCO[C@H]3CCCC4)ccnc1-2 ZINC000904941244 711905088 /nfs/dbraw/zinc/90/50/88/711905088.db2.gz OXRFWFCQHSXFOH-BBRMVZONSA-N -1 1 300.362 1.630 20 0 DDADMM O=C(/C=C\SCc1ccco1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743690 713292500 /nfs/dbraw/zinc/29/25/00/713292500.db2.gz HEEFROKHOBDQDW-UITAMQMPSA-N -1 1 319.390 1.946 20 0 DDADMM O=C(N1CCC(c2nn[n-]n2)CC1)C12CC(C(F)(F)F)(C1)C2 ZINC000913744302 713292864 /nfs/dbraw/zinc/29/28/64/713292864.db2.gz IYBGAOOPGKORBJ-UHFFFAOYSA-N -1 1 315.299 1.638 20 0 DDADMM CCOC1CC2(C[C@@H]2C(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC000913746903 713294316 /nfs/dbraw/zinc/29/43/16/713294316.db2.gz LDLOOSWOPDCEBM-MQYJIDSJSA-N -1 1 305.382 1.111 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]4C[C@@H]4C[C@@H]3C)nc2n1 ZINC000905633962 712101975 /nfs/dbraw/zinc/10/19/75/712101975.db2.gz OZXOZHUDWWTHMU-DMLMCSCLSA-N -1 1 301.350 1.347 20 0 DDADMM O=C(CNC(=O)N1CCCC1)NCc1ccc([O-])c(Cl)c1 ZINC000913801243 713302790 /nfs/dbraw/zinc/30/27/90/713302790.db2.gz NJSFSIIRDDGAME-UHFFFAOYSA-N -1 1 311.769 1.467 20 0 DDADMM Cc1ccc2nc(CN[C@](C)(Cn3cccn3)C(=O)[O-])cn2c1 ZINC000905808352 712151865 /nfs/dbraw/zinc/15/18/65/712151865.db2.gz QDUZVGFFYWFQKN-MRXNPFEDSA-N -1 1 313.361 1.472 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1cncc2c1CCCC2 ZINC000906069487 712236438 /nfs/dbraw/zinc/23/64/38/712236438.db2.gz WMAJFTZFVPIWLF-LJQANCHMSA-N -1 1 317.436 1.735 20 0 DDADMM C[C@H](O)[C@@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000907264280 712526983 /nfs/dbraw/zinc/52/69/83/712526983.db2.gz KLDGMRNVGIRFBF-VHSXEESVSA-N -1 1 304.321 1.922 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CC[C@H](C3CC3)O2)sc1C ZINC000907466660 712578992 /nfs/dbraw/zinc/57/89/92/712578992.db2.gz DICQCTZVIORIOU-VXGBXAGGSA-N -1 1 316.448 1.996 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccccc1F ZINC000907475063 712581871 /nfs/dbraw/zinc/58/18/71/712581871.db2.gz NKMKWULWSWHWKF-SKDRFNHKSA-N -1 1 321.381 1.759 20 0 DDADMM O=C([C@H]1CCC=CCCC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907475758 712582259 /nfs/dbraw/zinc/58/22/59/712582259.db2.gz LBFPMDHFOCGRRC-RYUDHWBXSA-N -1 1 307.423 1.953 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477060 712582399 /nfs/dbraw/zinc/58/23/99/712582399.db2.gz DYAVNDYCMHAAHS-UXONFWTHSA-N -1 1 317.374 1.235 20 0 DDADMM CC(=Cc1cccnc1)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481927 712584200 /nfs/dbraw/zinc/58/42/00/712584200.db2.gz ZJDKRKGCNMBFMC-MQGYJPLLSA-N -1 1 316.390 1.315 20 0 DDADMM CC[N@H+]1CCCN(C(=O)[C@]2(C(=O)[O-])C[C@@H]2c2ccccc2)CC1 ZINC000907801056 712628439 /nfs/dbraw/zinc/62/84/39/712628439.db2.gz QASUNMQKRQTQMR-QAPCUYQASA-N -1 1 316.401 1.799 20 0 DDADMM CC(C)(NC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)N1CCCC1 ZINC000907923643 712649307 /nfs/dbraw/zinc/64/93/07/712649307.db2.gz DZMXAIGYFNSTBM-UHFFFAOYSA-N -1 1 318.377 1.190 20 0 DDADMM COC(=O)[C@H](C)N(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907933777 712651246 /nfs/dbraw/zinc/65/12/46/712651246.db2.gz VLYUIFFYZFMBSG-QMMMGPOBSA-N -1 1 306.293 1.713 20 0 DDADMM CC(C)c1cccc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)c1 ZINC000907941278 712652243 /nfs/dbraw/zinc/65/22/43/712652243.db2.gz PXBAIICEXANOEI-UHFFFAOYSA-N -1 1 315.377 1.447 20 0 DDADMM CC[C@H]1CCC[C@@]1(C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907944522 712652867 /nfs/dbraw/zinc/65/28/67/712652867.db2.gz UIDQQCLJDRQXJJ-SMDDNHRTSA-N -1 1 307.398 1.226 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@@H]1[C@H]1CCCO1 ZINC000908081274 712681160 /nfs/dbraw/zinc/68/11/60/712681160.db2.gz WSPQYWOOBHTRLS-CHWSQXEVSA-N -1 1 303.362 1.843 20 0 DDADMM CN(C)C1(C(=O)NCCc2cc3ccc(C(=O)[O-])cc3o2)CC1 ZINC000908221346 712713356 /nfs/dbraw/zinc/71/33/56/712713356.db2.gz QLFIEXXTTCRHFL-UHFFFAOYSA-N -1 1 316.357 1.884 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CCCO1 ZINC000908454698 712778287 /nfs/dbraw/zinc/77/82/87/712778287.db2.gz HWQLTUQVEBNFLT-ZDUSSCGKSA-N -1 1 305.378 1.947 20 0 DDADMM CC(C)OC(=O)C(C)(C)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000908489674 712788226 /nfs/dbraw/zinc/78/82/26/712788226.db2.gz ORHNJFDYWSABTJ-UHFFFAOYSA-N -1 1 323.802 1.082 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)NC[C@@H](c1cccs1)N(C)C ZINC000908747542 712846925 /nfs/dbraw/zinc/84/69/25/712846925.db2.gz TUSMIAHOQNOOSM-NSHDSACASA-N -1 1 313.423 1.855 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H](C(=O)[O-])C1CC1)c1cccc(F)c1 ZINC000908755601 712848692 /nfs/dbraw/zinc/84/86/92/712848692.db2.gz YVORVHFCCGEODW-KGLIPLIRSA-N -1 1 323.368 1.591 20 0 DDADMM CC(C)(NC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1cccc(F)c1 ZINC000908811157 712859086 /nfs/dbraw/zinc/85/90/86/712859086.db2.gz DGNZVFVDAPCNIZ-LBPRGKRZSA-N -1 1 322.380 1.974 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000908921411 712887545 /nfs/dbraw/zinc/88/75/45/712887545.db2.gz LPTDUJSVBCUZGX-HTQZYQBOSA-N -1 1 317.388 1.502 20 0 DDADMM C[C@H]1CN(C(=O)C2CCCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966037169 717897301 /nfs/dbraw/zinc/89/73/01/717897301.db2.gz KGXCFALYUHCXFW-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM COCC[C@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000915845480 713433329 /nfs/dbraw/zinc/43/33/29/713433329.db2.gz KBAKFRRXGWIGHJ-VIFPVBQESA-N -1 1 302.321 1.937 20 0 DDADMM Cc1nc(SC2CCN(S(C)(=O)=O)CC2)[n-]c(=O)c1C ZINC000917419085 713497411 /nfs/dbraw/zinc/49/74/11/713497411.db2.gz HGVZGRSEPUPIAZ-UHFFFAOYSA-N -1 1 317.436 1.315 20 0 DDADMM CC[C@H](C)NC(=O)COC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000917945366 713520386 /nfs/dbraw/zinc/52/03/86/713520386.db2.gz PLXFTLROKQDNPG-NSHDSACASA-N -1 1 317.345 1.649 20 0 DDADMM NC(=O)CC1CC([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC000921310081 713728901 /nfs/dbraw/zinc/72/89/01/713728901.db2.gz FFOJUEAROWTKJE-UHFFFAOYSA-N -1 1 320.773 1.411 20 0 DDADMM CCOC(=O)[C@@H](C[C@@H](C)CC)[N-]S(=O)(=O)Cc1ccon1 ZINC000921382190 713749994 /nfs/dbraw/zinc/74/99/94/713749994.db2.gz ZCYGKQVNBBJTEE-CMPLNLGQSA-N -1 1 318.395 1.462 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)C(C)(C)OC)o1 ZINC000921421537 713765326 /nfs/dbraw/zinc/76/53/26/713765326.db2.gz GBEQVSJATQZDMT-QMMMGPOBSA-N -1 1 305.352 1.158 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@@H]2COC[C@@H]2O1)c1cccc(F)c1F ZINC000921621485 713823804 /nfs/dbraw/zinc/82/38/04/713823804.db2.gz WGHFRJSXMIIBKE-YWVKMMECSA-N -1 1 319.329 1.047 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(CCOC3CCSCC3)C2)n[nH]1 ZINC000930499034 713850406 /nfs/dbraw/zinc/85/04/06/713850406.db2.gz SZUXIPXJTIDAQS-LLVKDONJSA-N -1 1 312.439 1.602 20 0 DDADMM O=S(=O)([N-][C@H](C1CC1)[C@@H]1CCCOC1)c1ccns1 ZINC000921846543 713884285 /nfs/dbraw/zinc/88/42/85/713884285.db2.gz GUJFCXAIWQSSLU-ZYHUDNBSSA-N -1 1 302.421 1.627 20 0 DDADMM CC(C)OCCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000921948873 713917702 /nfs/dbraw/zinc/91/77/02/713917702.db2.gz GCAQBTNXOYNKFI-UHFFFAOYSA-N -1 1 302.321 1.937 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)NCCc1c(F)cc([O-])cc1F ZINC000921966451 713922040 /nfs/dbraw/zinc/92/20/40/713922040.db2.gz JGZSGXAIXZBDSQ-SNVBAGLBSA-N -1 1 321.345 1.311 20 0 DDADMM COC[C@H](C)S(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921966332 713922245 /nfs/dbraw/zinc/92/22/45/713922245.db2.gz IVXBYAXMPOINBV-QMMMGPOBSA-N -1 1 309.334 1.167 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCC[C@H](O)C1 ZINC000932039900 714244026 /nfs/dbraw/zinc/24/40/26/714244026.db2.gz ZLYPGQFCMYJBHL-ZDUSSCGKSA-N -1 1 302.334 1.567 20 0 DDADMM CC[C@@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C[C@@H]1O ZINC000932048438 714246306 /nfs/dbraw/zinc/24/63/06/714246306.db2.gz WCXQMWSEKKVXNV-RISCZKNCSA-N -1 1 316.361 1.813 20 0 DDADMM O=C(N[C@@H]1CCCCN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000943024455 717961583 /nfs/dbraw/zinc/96/15/83/717961583.db2.gz COJDVZXJDVMYCK-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM COc1cnc([C@@H]2CCCN2CCC(=O)OC(C)C)[n-]c1=O ZINC000934271613 714782817 /nfs/dbraw/zinc/78/28/17/714782817.db2.gz CUBXFXKJSZDJQP-NSHDSACASA-N -1 1 309.366 1.669 20 0 DDADMM CC(C)S(=O)(=O)C=C([O-])N=[S@](C)(=O)c1ccccc1 ZINC000925962684 714975069 /nfs/dbraw/zinc/97/50/69/714975069.db2.gz QFFBFUVGTNWXMO-GOSISDBHSA-N -1 1 303.405 1.493 20 0 DDADMM Cc1ccc2c(C(=O)N(C)C[C@H](C)c3nn[n-]n3)cnn2c1C ZINC000935368450 715038969 /nfs/dbraw/zinc/03/89/69/715038969.db2.gz RMFXHWLMCNWEQC-JTQLQIEISA-N -1 1 313.365 1.340 20 0 DDADMM O=C([O-])[C@@H]1Cc2nc[nH]c2CN1C(=O)OCC1CCCCC1 ZINC000926473862 715073794 /nfs/dbraw/zinc/07/37/94/715073794.db2.gz LXEVJXQPCGJKPI-ZDUSSCGKSA-N -1 1 307.350 1.938 20 0 DDADMM CCc1cc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n(C)n1 ZINC000935793114 715128670 /nfs/dbraw/zinc/12/86/70/715128670.db2.gz DNAJFJYWNPDPOQ-SNVBAGLBSA-N -1 1 319.365 1.020 20 0 DDADMM O=C(C[C@@H]1C=CCC1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937658045 715483630 /nfs/dbraw/zinc/48/36/30/715483630.db2.gz LJUPWPKVWFGZME-OLZOCXBDSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@]1(NC(=O)c2ccc[nH]2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956693378 715545214 /nfs/dbraw/zinc/54/52/14/715545214.db2.gz XFCNDPHWOHJUDI-INIZCTEOSA-N -1 1 314.345 1.150 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C(C)(C)C)CCN1C(=O)c1ncccc1[O-] ZINC000955656476 715894991 /nfs/dbraw/zinc/89/49/91/715894991.db2.gz XUKROQXMVTXPRM-VXGBXAGGSA-N -1 1 319.405 1.943 20 0 DDADMM CCCC(=O)N1CCC[C@@H]([C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC000961099014 716804755 /nfs/dbraw/zinc/80/47/55/716804755.db2.gz OACXWOHQUGRYOR-CHWSQXEVSA-N -1 1 321.425 1.371 20 0 DDADMM CC(C)C(=O)N1CCC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000963670048 717872591 /nfs/dbraw/zinc/87/25/91/717872591.db2.gz WUEOJKCPFOIWPH-QWHCGFSZSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C1CC1 ZINC000945291709 718437006 /nfs/dbraw/zinc/43/70/06/718437006.db2.gz ZJEQVKQRBJYSPE-CMPLNLGQSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H](NC(=O)C1CC1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000945626398 718510534 /nfs/dbraw/zinc/51/05/34/718510534.db2.gz ZBAQJLWUXQPCDA-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])CC1(C)C ZINC000966917335 718712857 /nfs/dbraw/zinc/71/28/57/718712857.db2.gz YIAAKWGDDDAYTL-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2CC(C)C2)C1 ZINC000967898392 719078420 /nfs/dbraw/zinc/07/84/20/719078420.db2.gz GHUYBDCFMWODEN-UHFFFAOYSA-N -1 1 317.389 1.506 20 0 DDADMM CCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](CC)C1 ZINC000948175885 719379825 /nfs/dbraw/zinc/37/98/25/719379825.db2.gz NHOOVNTUKPWXLU-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)C2=CCCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948356117 719464454 /nfs/dbraw/zinc/46/44/54/719464454.db2.gz IUOGEJNOMGJPBV-DGCLKSJQSA-N -1 1 315.373 1.474 20 0 DDADMM CCC(CC)C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949530663 720142659 /nfs/dbraw/zinc/14/26/59/720142659.db2.gz DEYGPVPFUQYHHN-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949539032 720147648 /nfs/dbraw/zinc/14/76/48/720147648.db2.gz WNROJQITEHAPFF-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000950313052 720572782 /nfs/dbraw/zinc/57/27/82/720572782.db2.gz XKXPKGWZAYTDHX-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@H](N(C)Cc3ccccn3)C2)c1[O-] ZINC000971359610 721255956 /nfs/dbraw/zinc/25/59/56/721255956.db2.gz HFJZMMZDWNVFOL-ZDUSSCGKSA-N -1 1 315.377 1.165 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000952202472 721354907 /nfs/dbraw/zinc/35/49/07/721354907.db2.gz OJQVOTXCDSNQIA-IJLUTSLNSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C1CC1 ZINC001009911626 738575608 /nfs/dbraw/zinc/57/56/08/738575608.db2.gz XTMARZHCIKJYSC-GHMZBOCLSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)(F)C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001020912115 732764895 /nfs/dbraw/zinc/76/48/95/732764895.db2.gz IZECJRIBMJJDRW-WDEREUQCSA-N -1 1 321.352 1.208 20 0 DDADMM O=C(N[C@H]1CCN(CCC(F)(F)F)C1)c1ncccc1[O-] ZINC001010733092 733192080 /nfs/dbraw/zinc/19/20/80/733192080.db2.gz PMPNKKVLGMVNEI-VIFPVBQESA-N -1 1 303.284 1.544 20 0 DDADMM Cc1nc(C)c(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038194226 733204196 /nfs/dbraw/zinc/20/41/96/733204196.db2.gz PASUWTAGMVNJCC-LBPRGKRZSA-N -1 1 316.361 1.396 20 0 DDADMM COc1ccc(CO)cc1[N-]S(=O)(=O)c1ccc(N)c(C)c1 ZINC001211527189 734537437 /nfs/dbraw/zinc/53/74/37/734537437.db2.gz QNQXLLPKHOGUMC-UHFFFAOYSA-N -1 1 322.386 1.879 20 0 DDADMM O=C(NC[C@@H]1CCCN(Cc2ccon2)C1)c1ncccc1[O-] ZINC001023326126 735154802 /nfs/dbraw/zinc/15/48/02/735154802.db2.gz QKBKXTQTCXOQQX-LBPRGKRZSA-N -1 1 316.361 1.417 20 0 DDADMM O=C(N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1(C2CC2)CC1 ZINC001024994688 736221649 /nfs/dbraw/zinc/22/16/49/736221649.db2.gz JTKJQDZXVZUJCY-LBPRGKRZSA-N -1 1 319.409 1.171 20 0 DDADMM CCC1(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCC1 ZINC001025049732 736255996 /nfs/dbraw/zinc/25/59/96/736255996.db2.gz BJQCPAGKYHIJPY-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM CC1=CC[C@](C)(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001019803799 736926529 /nfs/dbraw/zinc/92/65/29/736926529.db2.gz PUXXPGMCKOHPTM-LRDDRELGSA-N -1 1 319.409 1.337 20 0 DDADMM CC(C)[C@](C)(O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692824668 738378551 /nfs/dbraw/zinc/37/85/51/738378551.db2.gz PUXDLZRTGTUDFY-LLVKDONJSA-N -1 1 310.778 1.559 20 0 DDADMM O=S(=O)([N-][C@H]1CO[C@@H](C2CC2)C1)c1ccc(Cl)nc1F ZINC000692906375 739049928 /nfs/dbraw/zinc/04/99/28/739049928.db2.gz YKCCJUDFEYIJSL-RKDXNWHRSA-N -1 1 320.773 1.720 20 0 DDADMM O=C(NC[C@H]1CCN(Cc2cscn2)C1)c1ncccc1[O-] ZINC001028497716 739588181 /nfs/dbraw/zinc/58/81/81/739588181.db2.gz DCRHBXAVUQHEOC-LLVKDONJSA-N -1 1 318.402 1.496 20 0 DDADMM [NH3+]Cc1ccnc(NC2(P(=O)([O-])[O-])Cc3ccccc3C2)c1 ZINC001167931282 739703988 /nfs/dbraw/zinc/70/39/88/739703988.db2.gz BAUOUTNTEKBRFN-UHFFFAOYSA-N -1 1 319.301 1.625 20 0 DDADMM Cn1cc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)ccc1=O ZINC001167935880 739731974 /nfs/dbraw/zinc/73/19/74/739731974.db2.gz UAXFURSVPFZRDK-UHFFFAOYSA-N -1 1 320.285 1.470 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CCC1 ZINC001012145037 740100970 /nfs/dbraw/zinc/10/09/70/740100970.db2.gz WGLXWSSRCHMKGG-JQWIXIFHSA-N -1 1 303.362 1.307 20 0 DDADMM Cc1nc2cncc(NC(=N)SCCS(=O)(=O)[O-])c2[nH]1 ZINC001168096849 741440748 /nfs/dbraw/zinc/44/07/48/741440748.db2.gz LRZCJVOCRRYOIX-UHFFFAOYSA-N -1 1 315.380 1.234 20 0 DDADMM C[C@@H](CCCNc1ncccn1)NC(=O)c1ncccc1[O-] ZINC001114906259 751646576 /nfs/dbraw/zinc/64/65/76/751646576.db2.gz BNXXASBQLOWSPM-NSHDSACASA-N -1 1 301.350 1.588 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1(CF)CC1 ZINC001076244825 742624694 /nfs/dbraw/zinc/62/46/94/742624694.db2.gz BIVMAOGKKWDFQT-JTQLQIEISA-N -1 1 309.341 1.162 20 0 DDADMM CN1CC[C@@H](C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)CC1=O ZINC001180632686 742859819 /nfs/dbraw/zinc/85/98/19/742859819.db2.gz QKIBIAHZHOBYDZ-LLVKDONJSA-N -1 1 314.345 1.385 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C(F)F)CCCN1C(=O)c1ncccc1[O-] ZINC001014660586 743432083 /nfs/dbraw/zinc/43/20/83/743432083.db2.gz FMADJHBACVKERO-RKDXNWHRSA-N -1 1 313.304 1.162 20 0 DDADMM C[C@H]1C(=O)OC[C@@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC001182081569 743474786 /nfs/dbraw/zinc/47/47/86/743474786.db2.gz VDZYQCQMKKRSKG-RITPCOANSA-N -1 1 315.123 1.297 20 0 DDADMM CCC[C@H](C)CCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001182873248 743742519 /nfs/dbraw/zinc/74/25/19/743742519.db2.gz CRSCBZTUELSIIH-QWHCGFSZSA-N -1 1 323.441 1.807 20 0 DDADMM CSc1nc(NC(=O)[C@](C)(O)c2ccccc2)cc(=O)[n-]1 ZINC001182923099 743745442 /nfs/dbraw/zinc/74/54/42/743745442.db2.gz KQGJXJKUVJQIGO-CQSZACIVSA-N -1 1 305.359 1.750 20 0 DDADMM O=C(NC1CN(C[C@@H]2CCC(F)(F)C2)C1)c1ncccc1[O-] ZINC001030241713 743977292 /nfs/dbraw/zinc/97/72/92/743977292.db2.gz JZIFFASFBYIXJH-SNVBAGLBSA-N -1 1 311.332 1.637 20 0 DDADMM O=C(NC1CN(CCOc2ccccc2)C1)c1ncccc1[O-] ZINC001030243747 743981622 /nfs/dbraw/zinc/98/16/22/743981622.db2.gz PWEBLHGBHVUXJF-UHFFFAOYSA-N -1 1 313.357 1.280 20 0 DDADMM CC[C@H](C)C(=O)C(=O)Nc1c(C(=O)OC)c[n-]c1C(=O)OC ZINC001184316813 744013539 /nfs/dbraw/zinc/01/35/39/744013539.db2.gz NKEMUNABTBKTDJ-ZETCQYMHSA-N -1 1 310.306 1.142 20 0 DDADMM Cc1cnc(NS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2)nc1C ZINC001184654374 744078940 /nfs/dbraw/zinc/07/89/40/744078940.db2.gz YBOKZIQVPFLCBR-UHFFFAOYSA-N -1 1 321.358 1.901 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1nc(SC)ncc1[O-] ZINC001185162041 744168377 /nfs/dbraw/zinc/16/83/77/744168377.db2.gz YUIGIKQWKCGZGA-UHFFFAOYSA-N -1 1 323.334 1.056 20 0 DDADMM O=S(=O)([N-]c1ccccc1)c1ccc(-c2nn[nH]n2)cc1 ZINC001185555829 744246695 /nfs/dbraw/zinc/24/66/95/744246695.db2.gz JHOSDJQJYNZKKR-UHFFFAOYSA-N -1 1 301.331 1.668 20 0 DDADMM CCN(CCN(C)C)S(=O)(=O)c1cc(C(=O)[O-])ccc1C ZINC001185871646 744296999 /nfs/dbraw/zinc/29/69/99/744296999.db2.gz TVGAMKVPQNIZLT-UHFFFAOYSA-N -1 1 314.407 1.265 20 0 DDADMM C[C@@H](CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)CC(C)(C)C ZINC001185865641 744302796 /nfs/dbraw/zinc/30/27/96/744302796.db2.gz BQTRWLBLMMECFP-NWDGAFQWSA-N -1 1 323.441 1.663 20 0 DDADMM Nc1ccc([C@H](CCO)NC(=O)c2ccc([O-])c(F)c2)cc1 ZINC001186234635 744361233 /nfs/dbraw/zinc/36/12/33/744361233.db2.gz XJEZAAPINMWHFF-AWEZNQCLSA-N -1 1 304.321 1.967 20 0 DDADMM O=C(NC[C@@H](O)COc1ccccc1)c1ccc([O-])cc1F ZINC001186337557 744389012 /nfs/dbraw/zinc/38/90/12/744389012.db2.gz MBUMNQPXONYZPS-GFCCVEGCSA-N -1 1 305.305 1.701 20 0 DDADMM CCOC(=O)c1ccc(C[N-]S(=O)(=O)c2cnoc2C)cc1 ZINC001187410771 744558086 /nfs/dbraw/zinc/55/80/86/744558086.db2.gz VMMYMADDLPOIOP-UHFFFAOYSA-N -1 1 324.358 1.638 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2n[n-]nc2C(F)(F)F)cn1 ZINC001187758998 744605692 /nfs/dbraw/zinc/60/56/92/744605692.db2.gz OOWKACDUFCGIOX-UHFFFAOYSA-N -1 1 315.211 1.257 20 0 DDADMM CN(C)c1nc(NC(=O)c2[nH]ncc2Cl)c(N=O)c(=O)[n-]1 ZINC001187832442 744624473 /nfs/dbraw/zinc/62/44/73/744624473.db2.gz VNVUUSFRJDKSLJ-UHFFFAOYSA-N -1 1 311.689 1.275 20 0 DDADMM O=S(=O)([N-]C[C@@H]1COc2ccccc2O1)c1nccs1 ZINC001187908621 744628183 /nfs/dbraw/zinc/62/81/83/744628183.db2.gz MYUVPZNGXCBIAB-SECBINFHSA-N -1 1 312.372 1.261 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccncc2)n1)c1nc(C(F)(F)F)c[n-]1 ZINC001188277214 744685410 /nfs/dbraw/zinc/68/54/10/744685410.db2.gz DEPGCBOCVVXMJN-UHFFFAOYSA-N -1 1 323.238 1.861 20 0 DDADMM COC(=O)CCS(=O)(=O)Nc1cc([O-])c(Cl)cc1F ZINC001188392544 744697953 /nfs/dbraw/zinc/69/79/53/744697953.db2.gz LICYCDASFHFFLH-UHFFFAOYSA-N -1 1 311.718 1.490 20 0 DDADMM O=C(NCC1CCN(c2ncccn2)CC1)c1ccncc1[O-] ZINC001188644354 744735222 /nfs/dbraw/zinc/73/52/22/744735222.db2.gz BMHRVAXGLLZWDO-UHFFFAOYSA-N -1 1 313.361 1.224 20 0 DDADMM O=C(c1ccncc1[O-])N1CCN(c2cccc3[nH]ncc32)CC1 ZINC001188629134 744741822 /nfs/dbraw/zinc/74/18/22/744741822.db2.gz ADLKNXTYTGSEJF-UHFFFAOYSA-N -1 1 323.356 1.626 20 0 DDADMM CC1(C)CCC[C@@H]1C(=O)NCC1CC(NC(=O)c2cnn[nH]2)C1 ZINC000992318286 744785659 /nfs/dbraw/zinc/78/56/59/744785659.db2.gz RGKDRVPZBNMIKE-HTAVTVPLSA-N -1 1 319.409 1.256 20 0 DDADMM C[C@H](C[C@@H](C)Nc1cc(F)ncn1)NC(=O)c1ncccc1[O-] ZINC001089271388 744842805 /nfs/dbraw/zinc/84/28/05/744842805.db2.gz JDBGNIFKDDJRFL-NXEZZACHSA-N -1 1 319.340 1.725 20 0 DDADMM CCn1nc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)cc1C ZINC001190109651 745117242 /nfs/dbraw/zinc/11/72/42/745117242.db2.gz SSHXCOLMPOLKHA-UHFFFAOYSA-N -1 1 319.325 1.423 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2nc(Cl)cnc2N)c(OC)c1 ZINC001190110462 745117348 /nfs/dbraw/zinc/11/73/48/745117348.db2.gz PZAPMLCLVDGBAJ-UHFFFAOYSA-N -1 1 324.724 1.687 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2F)nc1 ZINC001190349432 745188673 /nfs/dbraw/zinc/18/86/73/745188673.db2.gz MTWIQKZPBPSTJY-UHFFFAOYSA-N -1 1 317.292 1.681 20 0 DDADMM CN1CCC([N-]S(=O)(=O)c2cc(F)cc(F)c2F)CC1 ZINC001190350032 745189382 /nfs/dbraw/zinc/18/93/82/745189382.db2.gz ZBYOXXHTCGUZFA-UHFFFAOYSA-N -1 1 308.325 1.476 20 0 DDADMM CSc1ncc(C(=O)N2CCO[C@@H](C(F)(F)F)C2)c(=O)[n-]1 ZINC001191429992 745510366 /nfs/dbraw/zinc/51/03/66/745510366.db2.gz CHQMEXUTOGPXSY-SSDOTTSWSA-N -1 1 323.296 1.307 20 0 DDADMM O=C([N-]c1nnc2c[nH]cc(Br)c1-2)c1ncon1 ZINC001192015626 745674243 /nfs/dbraw/zinc/67/42/43/745674243.db2.gz YVGYDFCCIVRADN-UHFFFAOYSA-N -1 1 309.083 1.356 20 0 DDADMM O=c1cc(C[N-]S(=O)(=O)C(Cl)(Cl)Cl)cc[nH]1 ZINC001192043471 745680386 /nfs/dbraw/zinc/68/03/86/745680386.db2.gz WATIRPODSTWHKG-UHFFFAOYSA-N -1 1 305.570 1.534 20 0 DDADMM COc1ccccc1-c1cccc(C(=O)NCc2nn[n-]n2)n1 ZINC001192317519 745752240 /nfs/dbraw/zinc/75/22/40/745752240.db2.gz JOOBFALQRSTODZ-UHFFFAOYSA-N -1 1 310.317 1.200 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(OC)nc(OC)c2)n1 ZINC001192405262 745786524 /nfs/dbraw/zinc/78/65/24/745786524.db2.gz XBRZFGFGPJFZGO-UHFFFAOYSA-N -1 1 320.305 1.251 20 0 DDADMM COC(=O)[C@@H]1C[C@H](F)CN1C(=O)c1c(F)ccc([O-])c1F ZINC001192526547 745821531 /nfs/dbraw/zinc/82/15/31/745821531.db2.gz MLQGNXLNKRRQEZ-XPUUQOCRSA-N -1 1 303.236 1.396 20 0 DDADMM NC(=O)Cc1cccc(NC(=O)c2c(F)ccc([O-])c2F)c1 ZINC001192541365 745826429 /nfs/dbraw/zinc/82/64/29/745826429.db2.gz UOVAGEJDSGIPQV-UHFFFAOYSA-N -1 1 306.268 1.951 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)c2c([O-])ccc(F)c2F)n1 ZINC001192729418 745869967 /nfs/dbraw/zinc/86/99/67/745869967.db2.gz INMAVFSMWZEJPV-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cc(F)c([O-])cc2F)n[nH]1 ZINC001192852589 745916802 /nfs/dbraw/zinc/91/68/02/745916802.db2.gz GUHFPKUEZSGHJJ-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM COC(=O)c1cc(NC(=O)c2nccc(OC)c2[O-])cn1C ZINC001193524736 746133062 /nfs/dbraw/zinc/13/30/62/746133062.db2.gz JWZAQVUJKHEZHU-UHFFFAOYSA-N -1 1 305.290 1.173 20 0 DDADMM CC(=O)Oc1ccc([N-]S(=O)(=O)c2ccccc2N)cc1 ZINC001193932913 746226189 /nfs/dbraw/zinc/22/61/89/746226189.db2.gz VFYKEQLELSXEGZ-UHFFFAOYSA-N -1 1 306.343 1.995 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(N(C)C=O)cc2)n1 ZINC001193990803 746247623 /nfs/dbraw/zinc/24/76/23/746247623.db2.gz UZRAZIDDCKMANO-UHFFFAOYSA-N -1 1 316.317 1.431 20 0 DDADMM O=S(=O)([N-]Cc1ccncc1)c1ncccc1C(F)(F)F ZINC001194530490 746403025 /nfs/dbraw/zinc/40/30/25/746403025.db2.gz ZRAYEVXFXLUDPO-UHFFFAOYSA-N -1 1 317.292 1.974 20 0 DDADMM COC(=O)c1ccncc1[N-]S(=O)(=O)C1CCC(=O)CC1 ZINC001194758279 746458696 /nfs/dbraw/zinc/45/86/96/746458696.db2.gz WVMUVWDWYHYZIT-UHFFFAOYSA-N -1 1 312.347 1.122 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c[nH]c(=O)cc2Cl)n1 ZINC001194995413 746507387 /nfs/dbraw/zinc/50/73/87/746507387.db2.gz YQQFMKNOFVMSNF-UHFFFAOYSA-N -1 1 310.697 1.593 20 0 DDADMM Cc1cc(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)cn(C)c1=O ZINC001195104479 746521071 /nfs/dbraw/zinc/52/10/71/746521071.db2.gz MGLJQXQKJUJPRT-UHFFFAOYSA-N -1 1 324.340 1.837 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC3(CCNC(=O)O3)CC2)n1 ZINC001195323475 746565266 /nfs/dbraw/zinc/56/52/66/746565266.db2.gz JDJILZGBJTXTQY-UHFFFAOYSA-N -1 1 305.334 1.200 20 0 DDADMM O=C(c1c([O-])c(F)c(F)c(F)c1F)N1CCc2nc[nH]c2C1 ZINC001195291505 746569241 /nfs/dbraw/zinc/56/92/41/746569241.db2.gz ZFTSTMMQXVNDEN-UHFFFAOYSA-N -1 1 315.226 1.870 20 0 DDADMM O=C(N[C@@H]1c2ccccc2C[C@@H]1O)c1cc([O-])cnc1Cl ZINC001195305517 746571857 /nfs/dbraw/zinc/57/18/57/746571857.db2.gz FWKMHBBLRBCXJC-QWHCGFSZSA-N -1 1 304.733 1.829 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cc([O-])cnc1Cl)C(F)(F)F ZINC001195312935 746574572 /nfs/dbraw/zinc/57/45/72/746574572.db2.gz NIJATLNHQGOQPU-LURJTMIESA-N -1 1 312.631 1.274 20 0 DDADMM CCNC(=S)NC1([P@](=O)([O-])O)Cc2ccccc2C1 ZINC001195356032 746583647 /nfs/dbraw/zinc/58/36/47/746583647.db2.gz FXLMXLGMKPUTSO-UHFFFAOYSA-N -1 1 300.320 1.143 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(NC(=S)NCc3ccccc3)c2[nH]1 ZINC001195648117 746664373 /nfs/dbraw/zinc/66/43/73/746664373.db2.gz MRKKQPNJDJJVGN-UHFFFAOYSA-N -1 1 316.346 1.251 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1cc(C)nn1C(C)(C)C ZINC001195934715 746740760 /nfs/dbraw/zinc/74/07/60/746740760.db2.gz VXQHDYVRGFSOPR-UHFFFAOYSA-N -1 1 303.384 1.251 20 0 DDADMM Nc1ccc2c(c1)CCCN2C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196020791 746759182 /nfs/dbraw/zinc/75/91/82/746759182.db2.gz KQEFYIFCAFHSMT-UHFFFAOYSA-N -1 1 302.359 1.646 20 0 DDADMM COc1cnc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c(F)c1 ZINC001197803342 747269406 /nfs/dbraw/zinc/26/94/06/747269406.db2.gz STWDXWVKPFENHL-UHFFFAOYSA-N -1 1 309.213 1.081 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc(OC(F)F)ccn1 ZINC001199311823 747785807 /nfs/dbraw/zinc/78/58/07/747785807.db2.gz NMJGBCDKJCADJJ-UHFFFAOYSA-N -1 1 322.231 1.307 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]c1ccn(C)c(=O)c1 ZINC001201768967 748599467 /nfs/dbraw/zinc/59/94/67/748599467.db2.gz MHKZWAICJNTMGD-UHFFFAOYSA-N -1 1 319.795 1.604 20 0 DDADMM CCCC(=O)N1CC[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001004748579 748714537 /nfs/dbraw/zinc/71/45/37/748714537.db2.gz GTPJUTKKMNRFTF-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@@H]1CCN(C(=O)CC2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004755678 748738932 /nfs/dbraw/zinc/73/89/32/748738932.db2.gz XAEJCLITMNXKRN-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)CC(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202362074 748761554 /nfs/dbraw/zinc/76/15/54/748761554.db2.gz OVALLIVSCWNMQP-QWRGUYRKSA-N -1 1 307.398 1.073 20 0 DDADMM CC(=O)N1CC[C@@H](C)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001004862531 748876010 /nfs/dbraw/zinc/87/60/10/748876010.db2.gz XGCVMMVSFQLIMP-NOZJJQNGSA-N -1 1 318.377 1.046 20 0 DDADMM Cc1cc(C)nc(NC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108322845 761932233 /nfs/dbraw/zinc/93/22/33/761932233.db2.gz DGUDWFGKENCKDU-NSHDSACASA-N -1 1 301.350 1.424 20 0 DDADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C/C=C\Cl)c1ncccc1[O-] ZINC001095344148 749583318 /nfs/dbraw/zinc/58/33/18/749583318.db2.gz KGBLGDSOACCIKU-KUNFNWKXSA-N -1 1 307.781 1.875 20 0 DDADMM Cc1ccnc(N(C)[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001056901079 761996610 /nfs/dbraw/zinc/99/66/10/761996610.db2.gz WGPPVULLZOYKKU-LBPRGKRZSA-N -1 1 313.361 1.237 20 0 DDADMM C[C@@H]1C[C@@H](C[N-]S(=O)(=O)c2ncn(C)c2Cl)[C@H](C)O1 ZINC000686390244 750366038 /nfs/dbraw/zinc/36/60/38/750366038.db2.gz LAFKCJIBUXUVPQ-VGMNWLOBSA-N -1 1 307.803 1.165 20 0 DDADMM COc1cc(C)nc(NC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001107690164 750385307 /nfs/dbraw/zinc/38/53/07/750385307.db2.gz LPEBPKSBILQVPN-SNVBAGLBSA-N -1 1 317.349 1.125 20 0 DDADMM CC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3C(=O)c2ncccc2[O-])CC1 ZINC000997280109 750741604 /nfs/dbraw/zinc/74/16/04/750741604.db2.gz GLMRPZPEMJJLPR-WOPDTQHZSA-N -1 1 315.373 1.449 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](NCc2cnsn2)C1 ZINC000998976645 752413759 /nfs/dbraw/zinc/41/37/59/752413759.db2.gz IIPVPSGYXLDNHY-SNVBAGLBSA-N -1 1 319.390 1.033 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036502744 752491077 /nfs/dbraw/zinc/49/10/77/752491077.db2.gz KTIBVDZQQBPDTQ-RYUDHWBXSA-N -1 1 307.398 1.193 20 0 DDADMM CC1(CC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC000999094660 752505834 /nfs/dbraw/zinc/50/58/34/752505834.db2.gz GWSSRWDUXWNNJB-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM CC(C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008068309 752531004 /nfs/dbraw/zinc/53/10/04/752531004.db2.gz HJLVIVDOKJKTNB-LBPRGKRZSA-N -1 1 305.378 1.410 20 0 DDADMM CC(C)=CC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008070768 752533157 /nfs/dbraw/zinc/53/31/57/752533157.db2.gz PNKCYYLWPJUGNX-ZDUSSCGKSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@]1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C[C@H]2C[C@H]2C1 ZINC000999800983 753151628 /nfs/dbraw/zinc/15/16/28/753151628.db2.gz XMEOVDOZYAELLM-JBBSTSQOSA-N -1 1 319.409 1.027 20 0 DDADMM CC1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC000999827416 753193967 /nfs/dbraw/zinc/19/39/67/753193967.db2.gz QBZKUEJJSBDCKI-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM CC(C)=CC(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005952566 753413932 /nfs/dbraw/zinc/41/39/32/753413932.db2.gz QGHKSBYXIFLLNF-NSHDSACASA-N -1 1 303.362 1.330 20 0 DDADMM CO[C@H](C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-])C(C)C ZINC001078210288 753843001 /nfs/dbraw/zinc/84/30/01/753843001.db2.gz BPXORMBUIBLQEF-FZMZJTMJSA-N -1 1 323.393 1.083 20 0 DDADMM O=C(CC1CCC1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078587373 754211777 /nfs/dbraw/zinc/21/17/77/754211777.db2.gz YDZBZZVJZLAEEQ-NEPJUHHUSA-N -1 1 319.409 1.218 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078789116 754403036 /nfs/dbraw/zinc/40/30/36/754403036.db2.gz QWUZBACEAYBUIF-ZJUUUORDSA-N -1 1 307.398 1.073 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(C)(C)F ZINC001012160550 754899160 /nfs/dbraw/zinc/89/91/60/754899160.db2.gz QVBPKQMPEWGSAZ-ZJUUUORDSA-N -1 1 309.341 1.255 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]1CNc1cc(F)ncn1 ZINC001064896873 754937415 /nfs/dbraw/zinc/93/74/15/754937415.db2.gz BMFHYTSBIANYFU-JTQLQIEISA-N -1 1 317.324 1.433 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc[nH]2)CN1C(=O)c1ncccc1[O-] ZINC001012506409 755112940 /nfs/dbraw/zinc/11/29/40/755112940.db2.gz OZFFMYOTRQIADI-WDEREUQCSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1Nc1cccc(F)n1 ZINC001067075451 755685413 /nfs/dbraw/zinc/68/54/13/755685413.db2.gz GTOGVYXZAQNLPI-QWRGUYRKSA-N -1 1 316.336 1.894 20 0 DDADMM CN(C(=O)CC1CC1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016164348 756799253 /nfs/dbraw/zinc/79/92/53/756799253.db2.gz WZZSFYOKGMEAAS-UHFFFAOYSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@@H](C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001016366853 756959163 /nfs/dbraw/zinc/95/91/63/756959163.db2.gz DEHCCQRPZDIRMV-QFWMXSHPSA-N -1 1 317.389 1.553 20 0 DDADMM CN(C(=O)[C@@H]1CC1(C)C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016408122 757007039 /nfs/dbraw/zinc/00/70/39/757007039.db2.gz DFENYNMECMUTFQ-MCIGGMRASA-N -1 1 317.389 1.553 20 0 DDADMM Cc1cc(C)nc(N[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097764645 757510789 /nfs/dbraw/zinc/51/07/89/757510789.db2.gz KBRWVSOAQWWTBQ-LLVKDONJSA-N -1 1 301.350 1.424 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017092862 757629565 /nfs/dbraw/zinc/62/95/65/757629565.db2.gz KCQFFJZNXGXUPE-QNCMIEPLSA-N -1 1 307.398 1.147 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400624 757900229 /nfs/dbraw/zinc/90/02/29/757900229.db2.gz RAQWHCFUCMHLAH-AAEUAGOBSA-N -1 1 315.373 1.332 20 0 DDADMM CC(C)=CCN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053783943 758807613 /nfs/dbraw/zinc/80/76/13/758807613.db2.gz BWLNEBMDGNQFIL-CYBMUJFWSA-N -1 1 317.389 1.327 20 0 DDADMM C[C@H](F)CCN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784714 758810189 /nfs/dbraw/zinc/81/01/89/758810189.db2.gz VDJBEIXBXLCCLO-NWDGAFQWSA-N -1 1 323.368 1.108 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@@H]1CC12CCC2 ZINC001085348223 759282874 /nfs/dbraw/zinc/28/28/74/759282874.db2.gz UVHSKWSFRFOZJV-QJPTWQEYSA-N -1 1 319.409 1.218 20 0 DDADMM C[C@@H](C[C@@H]1CCOC1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000826908655 759431607 /nfs/dbraw/zinc/43/16/07/759431607.db2.gz DDPGQONQYVRHMC-UWVGGRQHSA-N -1 1 306.391 1.563 20 0 DDADMM CC(C)(C)c1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC000826909211 759432523 /nfs/dbraw/zinc/43/25/23/759432523.db2.gz YJUVJGSTQKGPLI-UHFFFAOYSA-N -1 1 319.361 1.134 20 0 DDADMM O=C(CCCN1C(=O)CCC1=O)Nc1cc(F)cc(F)c1[O-] ZINC000828043110 759454585 /nfs/dbraw/zinc/45/45/85/759454585.db2.gz IJVACDIUBYEBRR-UHFFFAOYSA-N -1 1 312.272 1.538 20 0 DDADMM Cc1cc(C)cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001019704358 760189597 /nfs/dbraw/zinc/18/95/97/760189597.db2.gz OZDNOQVENLXMNE-ZDUSSCGKSA-N -1 1 315.377 1.131 20 0 DDADMM Cc1ccc([C@@H](O)CNC(=O)c2cc(C)cc(C=O)c2[O-])o1 ZINC001137365392 760743853 /nfs/dbraw/zinc/74/38/53/760743853.db2.gz OAIPCHRCAYEEFS-ZDUSSCGKSA-N -1 1 303.314 1.878 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2[C@@H](C)c2ccccc2)c1[O-] ZINC001038161062 760869156 /nfs/dbraw/zinc/86/91/56/760869156.db2.gz KWKUIMAVICUFNG-JSGCOSHPSA-N -1 1 314.389 1.989 20 0 DDADMM O=C(NC[C@H]1CCN1CC=C(Cl)Cl)c1ncccc1[O-] ZINC001038190657 760905881 /nfs/dbraw/zinc/90/58/81/760905881.db2.gz CCPOKWXCXQTMPM-SECBINFHSA-N -1 1 316.188 1.910 20 0 DDADMM CC[C@H](F)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066390855 760960768 /nfs/dbraw/zinc/96/07/68/760960768.db2.gz RMWMWEBAXJPNMF-NEPJUHHUSA-N -1 1 323.368 1.646 20 0 DDADMM O=C(C[C@@H]1C=CCC1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001537493 762944411 /nfs/dbraw/zinc/94/44/11/762944411.db2.gz PADFMWFCQWRSLO-GFCCVEGCSA-N -1 1 315.373 1.332 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001551668 762953417 /nfs/dbraw/zinc/95/34/17/762953417.db2.gz LWTVQCSUTVGIEN-GFCCVEGCSA-N -1 1 317.389 1.412 20 0 DDADMM CSCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531080 763717168 /nfs/dbraw/zinc/71/71/68/763717168.db2.gz QNCRGKXRNCEYNR-GHMZBOCLSA-N -1 1 323.418 1.117 20 0 DDADMM C[C@H](NC(=O)CCCF)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532244 763719603 /nfs/dbraw/zinc/71/96/03/763719603.db2.gz XUGYJDIRJQCMSC-NWDGAFQWSA-N -1 1 323.368 1.504 20 0 DDADMM Cc1ccc(N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)nn1 ZINC001043148459 764714213 /nfs/dbraw/zinc/71/42/13/764714213.db2.gz UJEZXWVVGGBBJF-ZYHUDNBSSA-N -1 1 313.361 1.458 20 0 DDADMM CC[C@@H](C)CN1CC(N(C)C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001044181886 765370924 /nfs/dbraw/zinc/37/09/24/765370924.db2.gz KJMRCFAASAMFLT-SNVBAGLBSA-N -1 1 318.377 1.731 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)CCCF ZINC001052421851 765808814 /nfs/dbraw/zinc/80/88/14/765808814.db2.gz NSLFROCCIJVQKP-NWDGAFQWSA-N -1 1 323.368 1.361 20 0 DDADMM O=C(C=C1CCCCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044949687 765953246 /nfs/dbraw/zinc/95/32/46/765953246.db2.gz IQAMCVPDRRITJK-ZDUSSCGKSA-N -1 1 319.409 1.481 20 0 DDADMM Cc1cnc(CNC[C@@H]2CCCN2C(=O)c2ncccc2[O-])o1 ZINC001045008137 766025301 /nfs/dbraw/zinc/02/53/01/766025301.db2.gz SANLDZVPIZUULW-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM Cc1ccc2c(c1)ncnc2Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170213434 766172903 /nfs/dbraw/zinc/17/29/03/766172903.db2.gz HYDJMOPNXGKCLX-UHFFFAOYSA-N -1 1 309.289 1.711 20 0 DDADMM COCc1cc(OC)ccc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170230846 766185994 /nfs/dbraw/zinc/18/59/94/766185994.db2.gz ZNQGKSFBCPKHFR-UHFFFAOYSA-N -1 1 301.306 1.957 20 0 DDADMM COCc1nc(Cl)cc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001170222906 766195673 /nfs/dbraw/zinc/19/56/73/766195673.db2.gz HOYVOYRXUFNGHI-UHFFFAOYSA-N -1 1 307.701 1.392 20 0 DDADMM CCc1nsc(N2CC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001058343852 766436428 /nfs/dbraw/zinc/43/64/28/766436428.db2.gz KFERWXAWGNSMTR-VIFPVBQESA-N -1 1 319.390 1.210 20 0 DDADMM Cc1cc(F)c(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c(F)c1 ZINC001129519055 766924449 /nfs/dbraw/zinc/92/44/49/766924449.db2.gz FJTIPHXKSNARLX-UHFFFAOYSA-N -1 1 319.271 1.565 20 0 DDADMM Cc1ncc(CN2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)o1 ZINC001046259169 767314168 /nfs/dbraw/zinc/31/41/68/767314168.db2.gz FYSVFGAFWGQJKQ-MRXNPFEDSA-N -1 1 316.361 1.478 20 0 DDADMM C[C@@H]1[C@@H](Nc2cc(F)ncn2)CCN1C(=O)c1ncccc1[O-] ZINC001068823405 767640926 /nfs/dbraw/zinc/64/09/26/767640926.db2.gz UFVPGEQPZMETRB-ZJUUUORDSA-N -1 1 317.324 1.431 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@]2(CCN(CCF)C2)O1 ZINC001071162619 769078535 /nfs/dbraw/zinc/07/85/35/769078535.db2.gz WFPBDRMZGRWGKH-LRDDRELGSA-N -1 1 323.368 1.062 20 0 DDADMM CSCCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071559327 769623323 /nfs/dbraw/zinc/62/33/23/769623323.db2.gz IBCUIXYXUUTXMM-GHMZBOCLSA-N -1 1 323.418 1.117 20 0 DDADMM CCCCCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001071609638 769711792 /nfs/dbraw/zinc/71/17/92/769711792.db2.gz HUOKERICGFVMHN-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CC/C(C)=C/C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071951625 770334398 /nfs/dbraw/zinc/33/43/98/770334398.db2.gz BLAQKXLYMQBDSB-UAIPSJAGSA-N -1 1 317.389 1.720 20 0 DDADMM CCC(CC)C(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952351 770335845 /nfs/dbraw/zinc/33/58/45/770335845.db2.gz LASMYSHBWWXFSI-AAEUAGOBSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1conc1CN[C@H](C)C[C@@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001134089364 770642758 /nfs/dbraw/zinc/64/27/58/770642758.db2.gz DZGWTVHJUTWRFZ-NXEZZACHSA-N -1 1 321.381 1.407 20 0 DDADMM CCn1ccc(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)n1 ZINC001136819450 772160753 /nfs/dbraw/zinc/16/07/53/772160753.db2.gz LYIUIFWOSVRSCO-UHFFFAOYSA-N -1 1 313.321 1.247 20 0 DDADMM Cc1ncoc1CNC1CC(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001090983197 772406664 /nfs/dbraw/zinc/40/66/64/772406664.db2.gz QRWOGJKXOOSFDL-UHFFFAOYSA-N -1 1 319.365 1.018 20 0 DDADMM [O-]c1cc(F)c(CN2CCN(c3ncccn3)CC2)c(F)c1 ZINC001144541446 772562071 /nfs/dbraw/zinc/56/20/71/772562071.db2.gz FVYGZWRHUUDBTG-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM Cc1cnc(N2CCN(Cc3sccc3C(=O)[O-])CC2)nc1 ZINC001144684628 772596227 /nfs/dbraw/zinc/59/62/27/772596227.db2.gz MGRXRBOBYSZJNV-UHFFFAOYSA-N -1 1 318.402 1.867 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC3(C[C@H]3CCO)CC2)c1[O-] ZINC001147837184 773264050 /nfs/dbraw/zinc/26/40/50/773264050.db2.gz WMJNPWAAGZPYSL-CYBMUJFWSA-N -1 1 320.389 1.213 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(C=O)s1)c1nn[n-]n1 ZINC001148261435 773414504 /nfs/dbraw/zinc/41/45/04/773414504.db2.gz TZJAGXPSIODQEN-QMMMGPOBSA-N -1 1 311.392 1.298 20 0 DDADMM COCC1CN(C(=O)c2ccc(-n3[n-]c(C)cc3=O)cc2)C1 ZINC001148326308 773436915 /nfs/dbraw/zinc/43/69/15/773436915.db2.gz KULOTOXUCBOEQV-UHFFFAOYSA-N -1 1 301.346 1.518 20 0 DDADMM O=C(c1ccc2oc(=O)nc-2[n-]1)N1CCC[C@H]1c1nnc[nH]1 ZINC001148399630 773467547 /nfs/dbraw/zinc/46/75/47/773467547.db2.gz BIPFDVUCYPJYIU-QMMMGPOBSA-N -1 1 300.278 1.024 20 0 DDADMM CN(C(=O)c1cc([O-])c(Br)c(O)c1)C1COC1 ZINC001148990079 773666774 /nfs/dbraw/zinc/66/67/74/773666774.db2.gz WLWPDKKVYSZQQC-UHFFFAOYSA-N -1 1 302.124 1.331 20 0 DDADMM CC(C)(C)c1nccc(NCCNC(=O)c2ncccc2[O-])n1 ZINC001093562777 774890162 /nfs/dbraw/zinc/89/01/62/774890162.db2.gz GPVDSOZBRZZILY-UHFFFAOYSA-N -1 1 315.377 1.717 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])c1cc(C)nc(C)n1 ZINC001100500946 776241724 /nfs/dbraw/zinc/24/17/24/776241724.db2.gz KXDTXUDYELZIOE-UHFFFAOYSA-N -1 1 315.377 1.450 20 0 DDADMM COc1cc(OC)c(-c2noc(-c3ccncc3[O-])n2)cn1 ZINC001212722566 777112336 /nfs/dbraw/zinc/11/23/36/777112336.db2.gz OEVYKRMRLVNHCE-UHFFFAOYSA-N -1 1 300.274 1.916 20 0 DDADMM [O-]c1cc(Nc2cnn(CCN3CCOCC3)c2)cc(F)c1F ZINC001174492824 777487130 /nfs/dbraw/zinc/48/71/30/777487130.db2.gz TWUKOYFBAFSZMQ-UHFFFAOYSA-N -1 1 324.331 1.943 20 0 DDADMM COc1cc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)c(F)cn1 ZINC001175691216 777878050 /nfs/dbraw/zinc/87/80/50/777878050.db2.gz XUKJTRJWMCDQEQ-UHFFFAOYSA-N -1 1 308.273 1.932 20 0 DDADMM CN1CCN(c2ccc(Nc3cncc(C(=O)[O-])c3)nc2)CC1 ZINC001175837396 777920866 /nfs/dbraw/zinc/92/08/66/777920866.db2.gz CSCBDSAHQJZUFI-UHFFFAOYSA-N -1 1 313.361 1.670 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2ccc3nonc3c2)ccc1O ZINC001176325312 778116807 /nfs/dbraw/zinc/11/68/07/778116807.db2.gz DSLQCPNNFUXHJT-UHFFFAOYSA-N -1 1 306.303 1.311 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc2ncccc2o1 ZINC001176602707 778201069 /nfs/dbraw/zinc/20/10/69/778201069.db2.gz RLMXGKQPKPJZHU-UHFFFAOYSA-N -1 1 300.322 1.639 20 0 DDADMM Cc1nn(C)c(Nc2c(F)cc(F)c([O-])c2F)c1C(N)=O ZINC001176663068 778220439 /nfs/dbraw/zinc/22/04/39/778220439.db2.gz BEFKTFKISAUSER-UHFFFAOYSA-N -1 1 300.240 1.694 20 0 DDADMM COCCOc1cccc(C(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001176726464 778221665 /nfs/dbraw/zinc/22/16/65/778221665.db2.gz RGNRNAPMSUUFDK-UHFFFAOYSA-N -1 1 317.349 1.034 20 0 DDADMM CCc1cc(Br)cc(NC(=O)Cc2nn[n-]n2)c1 ZINC001176845501 778299738 /nfs/dbraw/zinc/29/97/38/778299738.db2.gz UEZYZLIUWCZTAC-UHFFFAOYSA-N -1 1 310.155 1.706 20 0 DDADMM COc1ccccc1CC1(CNC(=O)Cc2nn[n-]n2)CCC1 ZINC001176847501 778300634 /nfs/dbraw/zinc/30/06/34/778300634.db2.gz YSEQSQCAPIXPHF-UHFFFAOYSA-N -1 1 315.377 1.280 20 0 DDADMM Cc1nc2c(cccc2CCNC(=O)CCCc2nn[n-]n2)o1 ZINC001177282467 778531048 /nfs/dbraw/zinc/53/10/48/778531048.db2.gz GNHJYEAFTZMQBM-UHFFFAOYSA-N -1 1 314.349 1.331 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1cc(C)nc(C)n1 ZINC001103069558 778617597 /nfs/dbraw/zinc/61/75/97/778617597.db2.gz WMUJLDTYJVZOOG-GFCCVEGCSA-N -1 1 315.377 1.815 20 0 DDADMM Cc1c[nH]c(C)c1CCC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001177582696 778655305 /nfs/dbraw/zinc/65/53/05/778655305.db2.gz JKANPILTXUCZEK-UHFFFAOYSA-N -1 1 305.294 1.802 20 0 DDADMM Cc1[nH]c(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)c(C)c1C=O ZINC001177871484 778749532 /nfs/dbraw/zinc/74/95/32/778749532.db2.gz WHWJPWNGAYMKTN-NSHDSACASA-N -1 1 316.365 1.052 20 0 DDADMM CC/C=C(/C)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001103948794 779199600 /nfs/dbraw/zinc/19/96/00/779199600.db2.gz ZDVFYDOONGTDPM-WNIKWDQDSA-N -1 1 321.425 1.441 20 0 DDADMM CSc1nc(NC(=O)C[C@](C)(O)C(F)(F)F)cc(=O)[n-]1 ZINC001179804244 779491707 /nfs/dbraw/zinc/49/17/07/779491707.db2.gz KFVXWLUZYZXXGC-VIFPVBQESA-N -1 1 311.285 1.546 20 0 DDADMM Cc1ccc2c(NC(=O)C(F)(F)C(F)(F)C(=O)[O-])n[nH]c2n1 ZINC001180170313 779650634 /nfs/dbraw/zinc/65/06/34/779650634.db2.gz GLEXXXZOVAZONX-UHFFFAOYSA-N -1 1 320.202 1.560 20 0 DDADMM CN1N=C(C(=O)Nc2cccc(C(F)(F)F)c2[O-])CCC1=O ZINC001180442027 779764119 /nfs/dbraw/zinc/76/41/19/779764119.db2.gz MNXLKXNPERXUKY-UHFFFAOYSA-N -1 1 315.251 1.958 20 0 DDADMM CC(C)c1cc([N-]S(=O)(=O)c2cnc3c(cnn3C)c2)[nH]n1 ZINC001116663163 780540495 /nfs/dbraw/zinc/54/04/95/780540495.db2.gz KSSITLPTBXNAAZ-UHFFFAOYSA-N -1 1 320.378 1.616 20 0 DDADMM Cc1nnsc1C(=O)[N-]c1ncn(Cc2cccnc2)n1 ZINC001116759445 780554222 /nfs/dbraw/zinc/55/42/22/780554222.db2.gz ZCOFLTFFPHPNLD-UHFFFAOYSA-N -1 1 301.335 1.134 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nnc(-c3cccnc3)o2)co1 ZINC001117167903 780682739 /nfs/dbraw/zinc/68/27/39/780682739.db2.gz YBTDKDOWAKCOFU-UHFFFAOYSA-N -1 1 306.303 1.300 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCCOc1cccnc1 ZINC001117817948 780905283 /nfs/dbraw/zinc/90/52/83/780905283.db2.gz INSNAHORULFAFX-UHFFFAOYSA-N -1 1 310.350 1.630 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc2c(c1)NC(=O)C2 ZINC001118762847 781235652 /nfs/dbraw/zinc/23/56/52/781235652.db2.gz GCUHJBBUXZOEMS-UHFFFAOYSA-N -1 1 320.345 1.113 20 0 DDADMM O=S(=O)([N-]CCOC(F)(F)F)c1cnccc1Cl ZINC000398471965 836692400 /nfs/dbraw/zinc/69/24/00/836692400.db2.gz XGRCOQKECXVYDL-UHFFFAOYSA-N -1 1 304.677 1.550 20 0 DDADMM C[C@H](CO)C[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257508095 855153683 /nfs/dbraw/zinc/15/36/83/855153683.db2.gz HWOHSSXAPFQSDE-YFKPBYRVSA-N -1 1 304.220 1.962 20 0 DDADMM CN1CC2(C1)CN(C(=O)c1ccc([O-])c(Br)c1)C2 ZINC001270012365 842226159 /nfs/dbraw/zinc/22/61/59/842226159.db2.gz VGMJGRITVMBPJY-UHFFFAOYSA-N -1 1 311.179 1.542 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@]12CCN(C(=O)c1ccc([O-])cn1)C2 ZINC001270139693 842326874 /nfs/dbraw/zinc/32/68/74/842326874.db2.gz YWRKICXKEXOOOW-SUMWQHHRSA-N -1 1 317.389 1.508 20 0 DDADMM CC(C)NC(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001270258401 842433580 /nfs/dbraw/zinc/43/35/80/842433580.db2.gz RMUXWNLFLSPDAZ-NWDGAFQWSA-N -1 1 318.377 1.052 20 0 DDADMM COC(=O)[C@@]1(C)C[C@@H](Oc2[n-]c(=O)ncc2Br)C1 ZINC001227140294 843360119 /nfs/dbraw/zinc/36/01/19/843360119.db2.gz ORRNPJVDAIOPEP-JCJUMFQOSA-N -1 1 317.139 1.665 20 0 DDADMM CC[C@@H](C)N1CC[C@]2(CCN(C(=O)c3cncc([O-])c3)C2)C1=O ZINC001271385233 843544970 /nfs/dbraw/zinc/54/49/70/843544970.db2.gz FUSPTOJSKAWBHJ-PXAZEXFGSA-N -1 1 317.389 1.650 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCC(F)F)cc1F ZINC001414380553 845017249 /nfs/dbraw/zinc/01/72/49/845017249.db2.gz OLVTUWMWTYYJLR-UHFFFAOYSA-N -1 1 301.261 1.907 20 0 DDADMM CCOC(=O)C(F)(F)CNC(=O)c1ccc2cccnc2c1[O-] ZINC001149327621 861548008 /nfs/dbraw/zinc/54/80/08/861548008.db2.gz VHPSASQELSGKQJ-UHFFFAOYSA-N -1 1 324.283 1.869 20 0 DDADMM Nc1cc(F)c(F)cc1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001155134052 861761925 /nfs/dbraw/zinc/76/19/25/861761925.db2.gz ADLKAJWJHGLIFK-QMMMGPOBSA-N -1 1 322.319 1.155 20 0 DDADMM Cc1cccnc1CN1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001032370467 847208586 /nfs/dbraw/zinc/20/85/86/847208586.db2.gz YIEQNHZAPFDFAU-KBPBESRZSA-N -1 1 324.384 1.590 20 0 DDADMM CCC[C@H](OC)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001077717252 847251454 /nfs/dbraw/zinc/25/14/54/847251454.db2.gz YRMMYCLMDVEIPH-AAEUAGOBSA-N -1 1 323.393 1.227 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H]1CCc2nccn2C1 ZINC001149657795 861789150 /nfs/dbraw/zinc/78/91/50/861789150.db2.gz PMNDSAHIRXKKNJ-LBPRGKRZSA-N -1 1 323.356 1.976 20 0 DDADMM O=S(=O)([N-][C@H]1CCC2(C1)OCCO2)c1sccc1Cl ZINC001364831303 848963745 /nfs/dbraw/zinc/96/37/45/848963745.db2.gz UCALWJUUUREJOX-QMMMGPOBSA-N -1 1 323.823 1.975 20 0 DDADMM O=C(Cc1ccoc1)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001410691744 849653756 /nfs/dbraw/zinc/65/37/56/849653756.db2.gz IVXKOOVUKKDLCS-UHFFFAOYSA-N -1 1 315.329 1.002 20 0 DDADMM NS(=O)(=O)c1ccc(-c2ccc(Cl)c([O-])c2)nc1F ZINC001243577921 850606504 /nfs/dbraw/zinc/60/65/04/850606504.db2.gz DHUHOCLYCXYJMX-UHFFFAOYSA-N -1 1 302.714 1.894 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc3scnc3[nH]2)n1 ZINC001155518274 862185126 /nfs/dbraw/zinc/18/51/26/862185126.db2.gz GCATXMWTSWBZAV-UHFFFAOYSA-N -1 1 305.319 1.777 20 0 DDADMM COC(=O)[C@H]1CC[C@H]([N-]S(=O)(=O)c2nccs2)CC1 ZINC001187911744 851086619 /nfs/dbraw/zinc/08/66/19/851086619.db2.gz YHHSVOXNSVZLSH-KYZUINATSA-N -1 1 304.393 1.153 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CCS(=O)(=O)CC3)c([O-])c2n1 ZINC001155654117 862345123 /nfs/dbraw/zinc/34/51/23/862345123.db2.gz RUBVIAOXIQKZKJ-UHFFFAOYSA-N -1 1 320.370 1.119 20 0 DDADMM Cc1ccc2ccc(C(=O)Nc3ncc(C)[nH]c3=O)c([O-])c2n1 ZINC001155661436 862351318 /nfs/dbraw/zinc/35/13/18/862351318.db2.gz VYPQRUPBHRMMDM-UHFFFAOYSA-N -1 1 310.313 1.893 20 0 DDADMM O=C(c1cc([O-])cc(F)c1)N1CC2(CCN2Cc2cn[nH]c2)C1 ZINC001275005741 852740107 /nfs/dbraw/zinc/74/01/07/852740107.db2.gz MUVLFHDELBPUNO-UHFFFAOYSA-N -1 1 316.336 1.355 20 0 DDADMM NC(=O)c1ccc2c(n1)CCN(C(=O)c1ccc([O-])cc1F)C2 ZINC001275398965 853065146 /nfs/dbraw/zinc/06/51/46/853065146.db2.gz LVVFVBDIGXJYER-UHFFFAOYSA-N -1 1 315.304 1.224 20 0 DDADMM NC(=O)C1=CC2(CC1)CCN(C(=O)c1ccc([O-])cc1F)CC2 ZINC001275399100 853065526 /nfs/dbraw/zinc/06/55/26/853065526.db2.gz NQIHIBGBDBTMEX-UHFFFAOYSA-N -1 1 318.348 1.959 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ccc([O-])cn1)c1cccs1 ZINC001411619290 853467955 /nfs/dbraw/zinc/46/79/55/853467955.db2.gz OODNQZBOHATZGN-NSHDSACASA-N -1 1 306.343 1.883 20 0 DDADMM COC(=O)C1(NC(=O)c2cnc(C3CC3)[n-]c2=O)CCCC1 ZINC001411662543 853540273 /nfs/dbraw/zinc/54/02/73/853540273.db2.gz JRSOKXZKXMDOES-UHFFFAOYSA-N -1 1 305.334 1.275 20 0 DDADMM CSc1ncc(C(=O)Nc2ccnc(N(C)C)c2)c(=O)[n-]1 ZINC001411859586 853846743 /nfs/dbraw/zinc/84/67/43/853846743.db2.gz LHNSMYKPUVIXPN-UHFFFAOYSA-N -1 1 305.363 1.617 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@]2(C)CCCO)c(=O)[n-]1 ZINC001411938202 854000413 /nfs/dbraw/zinc/00/04/13/854000413.db2.gz MLLBWXHRYRFJPY-AWEZNQCLSA-N -1 1 311.407 1.671 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CCOc2c(F)cccc21)c1nn[n-]n1 ZINC001411987614 854075554 /nfs/dbraw/zinc/07/55/54/854075554.db2.gz BTVOTZIZYRUJEU-GXSJLCMTSA-N -1 1 319.340 1.467 20 0 DDADMM C[C@H](NC(=O)CCCc1nn[n-]n1)c1ccc([S@@](C)=O)cc1 ZINC001411993004 854084238 /nfs/dbraw/zinc/08/42/38/854084238.db2.gz JIJMSOBUZCUCDT-MLMJSJRWSA-N -1 1 321.406 1.137 20 0 DDADMM CSc1ncc(C(=O)N2CCC(O)(C(F)F)CC2)c(=O)[n-]1 ZINC001412055346 854160181 /nfs/dbraw/zinc/16/01/81/854160181.db2.gz UYXPEAJUHKYMIZ-UHFFFAOYSA-N -1 1 319.333 1.136 20 0 DDADMM O=C(NC[C@H]1CNC(=O)C1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001412244274 854369540 /nfs/dbraw/zinc/36/95/40/854369540.db2.gz HJCRCDCTEXSWKZ-SSDOTTSWSA-N -1 1 302.252 1.277 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cnn(C3CC3)c2)[n-]1 ZINC001412280415 854403500 /nfs/dbraw/zinc/40/35/00/854403500.db2.gz RDUGKCWOIHVHKX-UHFFFAOYSA-N -1 1 316.317 1.788 20 0 DDADMM CN1c2ccccc2C[C@H](NC(=O)c2c([O-])cccc2F)C1=O ZINC001412328855 854438116 /nfs/dbraw/zinc/43/81/16/854438116.db2.gz IQFITGOUSJFHHC-LBPRGKRZSA-N -1 1 314.316 1.849 20 0 DDADMM O=C(NCc1nnc(C2CCOCC2)[nH]1)c1ccc([O-])cc1F ZINC001412444738 854560908 /nfs/dbraw/zinc/56/09/08/854560908.db2.gz JGUBBURXFZKRKW-UHFFFAOYSA-N -1 1 320.324 1.473 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@@H](O)C(C)(C)C ZINC001412580298 854750250 /nfs/dbraw/zinc/75/02/50/854750250.db2.gz AZNVVKREOTXGSX-JOYOIKCWSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@@H](O)C(C)(C)C ZINC001412580298 854750261 /nfs/dbraw/zinc/75/02/61/854750261.db2.gz AZNVVKREOTXGSX-JOYOIKCWSA-N -1 1 324.381 1.052 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@@H](O)C(C)(C)C ZINC001412580298 854750268 /nfs/dbraw/zinc/75/02/68/854750268.db2.gz AZNVVKREOTXGSX-JOYOIKCWSA-N -1 1 324.381 1.052 20 0 DDADMM COC(=O)c1cc(NC(=O)c2cnc(C3CC3)[n-]c2=O)cn1C ZINC001412609491 854803933 /nfs/dbraw/zinc/80/39/33/854803933.db2.gz JMFUSZBUXCSCLB-UHFFFAOYSA-N -1 1 316.317 1.437 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCC(C1CC1)C1CC1 ZINC001412620535 854828642 /nfs/dbraw/zinc/82/86/42/854828642.db2.gz OFSWYEZDKYWVOU-UHFFFAOYSA-N -1 1 303.362 1.069 20 0 DDADMM CCOC(=O)CN1CCCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001412631950 854849863 /nfs/dbraw/zinc/84/98/63/854849863.db2.gz WJZRCICKMLWFGH-UHFFFAOYSA-N -1 1 324.352 1.242 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCC[C@H]3OCC[C@@H]32)c(=O)[n-]1 ZINC001412640908 854862564 /nfs/dbraw/zinc/86/25/64/854862564.db2.gz OTRFRESLKHZNTI-FBIMIBRVSA-N -1 1 309.391 1.592 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2cccc([O-])c2F)nc1 ZINC001412881256 855750756 /nfs/dbraw/zinc/75/07/56/855750756.db2.gz SCDIRXMLBFPXJP-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM Cc1c(NC(=O)CCCc2nn[n-]n2)cnn1C1CCCC1 ZINC001412898235 855768705 /nfs/dbraw/zinc/76/87/05/855768705.db2.gz QJUUXVHQIYBZNH-UHFFFAOYSA-N -1 1 303.370 1.781 20 0 DDADMM CCC(CC)(NC(=O)c1cnc(C(C)(C)C)nc1)c1nn[n-]n1 ZINC001412935769 855799747 /nfs/dbraw/zinc/79/97/47/855799747.db2.gz NFMIGKBFNWWPAM-UHFFFAOYSA-N -1 1 317.397 1.733 20 0 DDADMM C[C@H]1[C@@H](NC(=O)CCCF)CCCN1C(=O)c1ncccc1[O-] ZINC001413157289 856495085 /nfs/dbraw/zinc/49/50/85/856495085.db2.gz IAHZMUMXMAYCOX-RYUDHWBXSA-N -1 1 323.368 1.646 20 0 DDADMM CSCC(=O)NC[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001413176999 856520458 /nfs/dbraw/zinc/52/04/58/856520458.db2.gz QLCNZSICGYPJQK-WDEREUQCSA-N -1 1 323.418 1.165 20 0 DDADMM O=C1[C@H]([N-]S(=O)(=O)c2ccns2)CCN1c1ccccc1 ZINC001413289242 856611598 /nfs/dbraw/zinc/61/15/98/856611598.db2.gz NXIFDKVBQHCJGI-LLVKDONJSA-N -1 1 323.399 1.227 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC(C)(C)C(C)(F)F ZINC001413428639 856797572 /nfs/dbraw/zinc/79/75/72/856797572.db2.gz DBLAJHVUJCZCEA-UHFFFAOYSA-N -1 1 305.281 1.308 20 0 DDADMM NC(=O)c1ccc(C(=O)NCc2ccc([O-])c(Cl)c2)nc1 ZINC001413523244 856928281 /nfs/dbraw/zinc/92/82/81/856928281.db2.gz CSOYASWDOWKXTL-UHFFFAOYSA-N -1 1 305.721 1.470 20 0 DDADMM CNc1nc2cc(C(=O)N=c3ncnc4[nH][n-]c(C)c3-4)ccc2[nH]1 ZINC001156273036 862886921 /nfs/dbraw/zinc/88/69/21/862886921.db2.gz DOJQPSNWSMEFFS-UHFFFAOYSA-N -1 1 322.332 1.205 20 0 DDADMM CC1(C)C[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])CCS1 ZINC001413668651 857308854 /nfs/dbraw/zinc/30/88/54/857308854.db2.gz GKVZCVVENIBGMM-JTQLQIEISA-N -1 1 323.418 1.307 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC1(c2ccccn2)CC1 ZINC001123782641 859411900 /nfs/dbraw/zinc/41/19/00/859411900.db2.gz HSCJXNGFDFZZOG-UHFFFAOYSA-N -1 1 316.386 1.636 20 0 DDADMM Nc1cc(F)c(Cl)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001137730270 859967554 /nfs/dbraw/zinc/96/75/54/859967554.db2.gz FKRZPYZYMIIXKE-SSDOTTSWSA-N -1 1 324.747 1.594 20 0 DDADMM COC(=O)C1CN(Cc2cn(CC(=O)[O-])c3ccccc23)C1 ZINC001138188867 860037551 /nfs/dbraw/zinc/03/75/51/860037551.db2.gz OHSQGXNVAJEOKP-UHFFFAOYSA-N -1 1 302.330 1.331 20 0 DDADMM O=S(=O)(c1ccccc1)[C@@H]1CCN(Cc2ncccc2[O-])C1 ZINC001138395178 860089767 /nfs/dbraw/zinc/08/97/67/860089767.db2.gz VWDMEFPZACWIKF-CQSZACIVSA-N -1 1 318.398 1.835 20 0 DDADMM CCOC(=O)C1CCN(Cc2ccc(OCC(=O)[O-])cc2)CC1 ZINC001138923285 860229538 /nfs/dbraw/zinc/22/95/38/860229538.db2.gz FMLXRHBVIITBFE-UHFFFAOYSA-N -1 1 321.373 1.925 20 0 DDADMM Cc1ccc(C(=O)N[C@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)s1 ZINC001381345962 881538282 /nfs/dbraw/zinc/53/82/82/881538282.db2.gz FLSUAUYDQHZGEU-RKDXNWHRSA-N -1 1 309.395 1.177 20 0 DDADMM CCN(C)c1ncc(NC(=O)c2ccc3[nH][n-]c(=O)c3c2)cn1 ZINC001154206523 860869490 /nfs/dbraw/zinc/86/94/90/860869490.db2.gz XOPLMDKQKSYZTQ-UHFFFAOYSA-N -1 1 312.333 1.767 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)c1cccn2c(=O)[nH]nc12 ZINC001141834188 860952812 /nfs/dbraw/zinc/95/28/12/860952812.db2.gz VYMOIOAZFFMYRX-UHFFFAOYSA-N -1 1 306.228 1.671 20 0 DDADMM CC(=O)NCC1CCN(C(=O)c2cc(C)cc(C=O)c2[O-])CC1 ZINC001142172957 861051499 /nfs/dbraw/zinc/05/14/99/861051499.db2.gz FLTGWVXPGAOYBU-UHFFFAOYSA-N -1 1 318.373 1.501 20 0 DDADMM Cc1cc(NC(=O)CCCC(=O)[O-])ccc1N1CCN(C)CC1 ZINC001328603649 863102256 /nfs/dbraw/zinc/10/22/56/863102256.db2.gz BLHDSFFPUDLIRW-UHFFFAOYSA-N -1 1 319.405 1.940 20 0 DDADMM Cc1cc(-n2cccn2)ccc1NC(=O)CCCc1nn[n-]n1 ZINC001156641394 863223136 /nfs/dbraw/zinc/22/31/36/863223136.db2.gz PGZSPBMLRIUKGC-UHFFFAOYSA-N -1 1 311.349 1.655 20 0 DDADMM COC(CNC(=O)c1ccc([O-])cn1)(OC)c1ccccn1 ZINC001152495928 863397516 /nfs/dbraw/zinc/39/75/16/863397516.db2.gz TUTNPAKUMLSRNQ-UHFFFAOYSA-N -1 1 303.318 1.058 20 0 DDADMM COC(=O)[C@H](C)N(C)C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152673000 863484687 /nfs/dbraw/zinc/48/46/87/863484687.db2.gz UEEHUXQJWOUFNV-QMMMGPOBSA-N -1 1 304.302 1.280 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2cc(=O)c3cccc(O)c3[n-]2)CCO1 ZINC001152682201 863492900 /nfs/dbraw/zinc/49/29/00/863492900.db2.gz VOMPGUIIKTVKQR-ZJUUUORDSA-N -1 1 302.330 1.943 20 0 DDADMM CCOC(=O)C=Cc1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001152736832 863511186 /nfs/dbraw/zinc/51/11/86/863511186.db2.gz NVSJESPGSJLGBH-YHYXMXQVSA-N -1 1 315.333 1.347 20 0 DDADMM Cc1cc2c(c(N3CCc4ccc(N)cc4C3)n1)C(=O)[N-]C2=O ZINC001157225250 863664625 /nfs/dbraw/zinc/66/46/25/863664625.db2.gz FWKLLARCXUKPFB-UHFFFAOYSA-N -1 1 308.341 1.419 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)NCCN1CC=CC1 ZINC001329604573 863747786 /nfs/dbraw/zinc/74/77/86/863747786.db2.gz POSTXRJNGFNHFW-UHFFFAOYSA-N -1 1 323.418 1.050 20 0 DDADMM NC(=S)c1cccc(NC(=O)c2cnc3cccnc3c2[O-])c1 ZINC001153856707 864165709 /nfs/dbraw/zinc/16/57/09/864165709.db2.gz APCZELAWAFCJTQ-UHFFFAOYSA-N -1 1 324.365 1.810 20 0 DDADMM O=C(NCc1ccc2nonc2c1)c1c[n-]c2cccnc2c1=O ZINC001153860915 864168391 /nfs/dbraw/zinc/16/83/91/864168391.db2.gz RWXQEKMHLLMUCY-UHFFFAOYSA-N -1 1 321.296 1.389 20 0 DDADMM O=C(NC[C@@H](O)C1CCCCC1)c1c[n-]c2cccnc2c1=O ZINC001153862117 864170399 /nfs/dbraw/zinc/17/03/99/864170399.db2.gz QJJADQRMNISJMF-CQSZACIVSA-N -1 1 315.373 1.594 20 0 DDADMM O=C(NCC1Oc2ccccc2O1)c1c[n-]c2cccnc2c1=O ZINC001153862553 864174721 /nfs/dbraw/zinc/17/47/21/864174721.db2.gz YRQRXVNXXOVIBT-UHFFFAOYSA-N -1 1 323.308 1.450 20 0 DDADMM Cc1nc(-c2ccccc2)[nH]c1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001153872890 864185826 /nfs/dbraw/zinc/18/58/26/864185826.db2.gz YCIASXOVPVEHRW-UHFFFAOYSA-N -1 1 323.360 1.707 20 0 DDADMM CC/C=C/CNC(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC001159567570 865403999 /nfs/dbraw/zinc/40/39/99/865403999.db2.gz YOMYHDWHYQNIAP-SNAWJCMRSA-N -1 1 312.373 1.765 20 0 DDADMM CCOc1ccccc1OCCCC(=O)N(C)c1nn[n-]n1 ZINC001331922635 865433601 /nfs/dbraw/zinc/43/36/01/865433601.db2.gz VQQAXJOPWVCGNO-UHFFFAOYSA-N -1 1 305.338 1.420 20 0 DDADMM CN1CCN(c2ccc(NC(=O)[C@@H]3CC[C@H]3C(=O)[O-])cc2)CC1 ZINC001331968168 865468203 /nfs/dbraw/zinc/46/82/03/865468203.db2.gz ZASPEPHRSXJJDQ-HUUCEWRRSA-N -1 1 317.389 1.488 20 0 DDADMM O=C(COCc1nn[n-]n1)Nc1ccc(N2CCCCC2)cc1 ZINC001331984346 865480235 /nfs/dbraw/zinc/48/02/35/865480235.db2.gz NDFDGYNKLHKUPR-UHFFFAOYSA-N -1 1 316.365 1.345 20 0 DDADMM Cc1cccc2c(C)cc(Nc3c(O)[nH]c(=O)[n-]c3=S)nc12 ZINC001160847326 866146536 /nfs/dbraw/zinc/14/65/36/866146536.db2.gz GZGAIVGJZXILJH-LBPRGKRZSA-N -1 1 314.370 1.799 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccc(-n2cc[nH]c2=S)cc1 ZINC001161384067 866612714 /nfs/dbraw/zinc/61/27/14/866612714.db2.gz DBSKCADHCLHKGJ-UHFFFAOYSA-N -1 1 301.331 1.842 20 0 DDADMM O=C(NC1CCN(C(=O)C2CC2)CC1)c1ccc([O-])cc1F ZINC001361619534 882020077 /nfs/dbraw/zinc/02/00/77/882020077.db2.gz UGSUUPSVPUPRID-UHFFFAOYSA-N -1 1 306.337 1.662 20 0 DDADMM C[C@@H](NC(=O)C(=O)C(C)(C)C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001333867456 867094351 /nfs/dbraw/zinc/09/43/51/867094351.db2.gz PZTIGVSRHXWYIY-VHSXEESVSA-N -1 1 321.377 1.025 20 0 DDADMM COCc1nc(NCCCc2c(C)nn(C)c2C)cc(=O)[n-]1 ZINC001334188992 867367566 /nfs/dbraw/zinc/36/75/66/867367566.db2.gz VRIOUFATUBHCGL-UHFFFAOYSA-N -1 1 305.382 1.724 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[C@H](c3nnc[nH]3)C2)c1 ZINC001162629151 867690855 /nfs/dbraw/zinc/69/08/55/867690855.db2.gz HHXYJXODERPWLW-JTQLQIEISA-N -1 1 300.318 1.261 20 0 DDADMM CCCn1cc(CNCCc2nc(C)c(C(=O)[O-])s2)nn1 ZINC001334825675 867850051 /nfs/dbraw/zinc/85/00/51/867850051.db2.gz ADIADYOVXGZZHH-UHFFFAOYSA-N -1 1 309.395 1.484 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)C2CCCCC2)n[n-]1 ZINC001474773824 868038736 /nfs/dbraw/zinc/03/87/36/868038736.db2.gz SFISFFFRZNJWQB-UHFFFAOYSA-N -1 1 301.368 1.150 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)C2CCCCC2)[n-]n1 ZINC001474773824 868038722 /nfs/dbraw/zinc/03/87/22/868038722.db2.gz SFISFFFRZNJWQB-UHFFFAOYSA-N -1 1 301.368 1.150 20 0 DDADMM CSc1nc(CNC(=O)C=Cc2cncc(F)c2)cc(=O)[n-]1 ZINC001163578751 868545107 /nfs/dbraw/zinc/54/51/07/868545107.db2.gz VVHZUMXOWIDDTC-NSCUHMNNSA-N -1 1 320.349 1.768 20 0 DDADMM CC1(c2cccc(NCC[N-]C(=O)C(F)(F)F)c2)OCCO1 ZINC001164246234 869054933 /nfs/dbraw/zinc/05/49/33/869054933.db2.gz VBMULJYNBYBEHU-UHFFFAOYSA-N -1 1 318.295 1.997 20 0 DDADMM O=C([N-]CCNc1cnc2c(c1)C1(CC1)C(=O)N2)C(F)(F)F ZINC001164251177 869058370 /nfs/dbraw/zinc/05/83/70/869058370.db2.gz OQMKVDZENDHFPX-UHFFFAOYSA-N -1 1 314.267 1.156 20 0 DDADMM O=C1CCC([N-]S(=O)(=O)c2ccc(Cl)nc2F)CC1 ZINC001336930797 869221768 /nfs/dbraw/zinc/22/17/68/869221768.db2.gz LIMOYLKBTNBIQM-UHFFFAOYSA-N -1 1 306.746 1.664 20 0 DDADMM CCCCC1(NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC001337304444 869424742 /nfs/dbraw/zinc/42/47/42/869424742.db2.gz BOZJUUNOAYQAIH-UHFFFAOYSA-N -1 1 315.377 1.119 20 0 DDADMM CCOC(=O)N1CCC(Oc2[n-]c(=S)ncc2OC)CC1 ZINC001226242515 882301663 /nfs/dbraw/zinc/30/16/63/882301663.db2.gz OXUCAALORFELER-UHFFFAOYSA-N -1 1 313.379 1.774 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001361773274 882345934 /nfs/dbraw/zinc/34/59/34/882345934.db2.gz CHXVLCKUKDREPM-UHFFFAOYSA-N -1 1 307.350 1.480 20 0 DDADMM Cc1nn[nH]c1C(=O)N(C)Cc1nc(=O)c2sccc2[n-]1 ZINC001338903365 870233330 /nfs/dbraw/zinc/23/33/30/870233330.db2.gz LLSHPCFMYFEFAB-UHFFFAOYSA-N -1 1 304.335 1.096 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)/C=C/[C@H]2CCCO2)[n-]1 ZINC001339113047 870350903 /nfs/dbraw/zinc/35/09/03/870350903.db2.gz HOPNMLMLRVFZDQ-BRAIEQGRSA-N -1 1 306.318 1.678 20 0 DDADMM Cc1cc(=O)oc2cc([O-])cc(OC3CCS(=O)(=O)CC3)c12 ZINC001226489336 882462127 /nfs/dbraw/zinc/46/21/27/882462127.db2.gz JROKFKMZCODTGC-UHFFFAOYSA-N -1 1 324.354 1.763 20 0 DDADMM COC(=Cc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001301577755 871004955 /nfs/dbraw/zinc/00/49/55/871004955.db2.gz WTCKNGZUKXRJSA-YRNVUSSQSA-N -1 1 301.302 1.192 20 0 DDADMM O=C(CCc1cccc(O)c1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001301654117 871017375 /nfs/dbraw/zinc/01/73/75/871017375.db2.gz IDIDTTWLWUBYIU-MRXNPFEDSA-N -1 1 323.356 1.744 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCC[C@H]1Cc1ccccc1 ZINC001340793121 871356989 /nfs/dbraw/zinc/35/69/89/871356989.db2.gz HVWHQBZZROFCSN-ZDUSSCGKSA-N -1 1 324.392 1.690 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCC[C@H]1Cc1ccccc1 ZINC001340793121 871357010 /nfs/dbraw/zinc/35/70/10/871357010.db2.gz HVWHQBZZROFCSN-ZDUSSCGKSA-N -1 1 324.392 1.690 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(Cc1ccccc1)C1CC1 ZINC001340919389 871427906 /nfs/dbraw/zinc/42/79/06/871427906.db2.gz OLISJYBWEMAIKA-UHFFFAOYSA-N -1 1 310.365 1.647 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(Cc1ccccc1)C1CC1 ZINC001340919389 871427916 /nfs/dbraw/zinc/42/79/16/871427916.db2.gz OLISJYBWEMAIKA-UHFFFAOYSA-N -1 1 310.365 1.647 20 0 DDADMM CCn1c(-c2cc(Cl)ncc2[O-])nnc1N1CCO[C@H](C)C1 ZINC001341184961 871546576 /nfs/dbraw/zinc/54/65/76/871546576.db2.gz FPXROSXKBZJBEM-SECBINFHSA-N -1 1 323.784 1.944 20 0 DDADMM O=C([O-])[C@@]1(C(=O)N2CCC[C@H](C3CCCCC3)C2)CNCCO1 ZINC001342265889 872112377 /nfs/dbraw/zinc/11/23/77/872112377.db2.gz TVDPFNQRMGRQBH-YOEHRIQHSA-N -1 1 324.421 1.249 20 0 DDADMM CCOCCCn1c(-c2c[n-][nH]c2=O)nnc1N(CC)CC ZINC001342370362 872175793 /nfs/dbraw/zinc/17/57/93/872175793.db2.gz GSNPGYVXUSTUKF-UHFFFAOYSA-N -1 1 308.386 1.647 20 0 DDADMM COC1=CC[C@@H](Oc2cc(=O)[n-]c(N3CCOCC3)n2)CC1 ZINC001226729376 882615930 /nfs/dbraw/zinc/61/59/30/882615930.db2.gz WXUJBYXWWZPGNF-GFCCVEGCSA-N -1 1 307.350 1.480 20 0 DDADMM Cc1ccc(F)c(Br)c1C(=O)NCc1nn[n-]n1 ZINC001361915103 882631995 /nfs/dbraw/zinc/63/19/95/882631995.db2.gz MQBHWGXLSLJZBE-UHFFFAOYSA-N -1 1 314.118 1.340 20 0 DDADMM Cc1csc(N2CCN(C(=O)c3ccc([O-])cn3)CC2)n1 ZINC001361915366 882632099 /nfs/dbraw/zinc/63/20/99/882632099.db2.gz YWVSWHPRVDZDAJ-UHFFFAOYSA-N -1 1 304.375 1.515 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C[C@H]2CCCO2)[n-]c1Cl ZINC001361920343 882641574 /nfs/dbraw/zinc/64/15/74/882641574.db2.gz BZHYZXWKTYNQSU-SSDOTTSWSA-N -1 1 301.730 1.747 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCCN(C)CC(F)F)[n-]1 ZINC001344434230 873002364 /nfs/dbraw/zinc/00/23/64/873002364.db2.gz DOYAQVDJJMGWRR-UHFFFAOYSA-N -1 1 304.293 1.545 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2noc(-c3cccs3)n2)n[n-]1 ZINC001345377736 873380865 /nfs/dbraw/zinc/38/08/65/873380865.db2.gz OCSRISTWTLBDFQ-UHFFFAOYSA-N -1 1 311.348 1.199 20 0 DDADMM Cc1nn(C)cc1[C@@H](C)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001345880720 873546994 /nfs/dbraw/zinc/54/69/94/873546994.db2.gz BJPAQHIRWLJMPE-ZCFIWIBFSA-N -1 1 307.379 1.312 20 0 DDADMM CCCC[C@H](C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207980082 873711718 /nfs/dbraw/zinc/71/17/18/873711718.db2.gz UGLINKYOGJZEEM-RYUDHWBXSA-N -1 1 309.414 1.417 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1Cc2c[nH]nc2[C@@H](C)C1 ZINC001347143287 874031066 /nfs/dbraw/zinc/03/10/66/874031066.db2.gz OOHNCKHVYDZHPV-LURJTMIESA-N -1 1 305.363 1.262 20 0 DDADMM CC(C)(C)OC(=O)N1CCOC[C@H](Oc2cnc[n-]c2=O)C1 ZINC001227049866 882796055 /nfs/dbraw/zinc/79/60/55/882796055.db2.gz XISUGTSMWYUKMQ-SNVBAGLBSA-N -1 1 311.338 1.197 20 0 DDADMM CCC(CC)[C@H](C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208463700 874163971 /nfs/dbraw/zinc/16/39/71/874163971.db2.gz RIBXXDXCQRYSNM-AAEUAGOBSA-N -1 1 323.441 1.663 20 0 DDADMM CSc1ccncc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC001362025096 882858326 /nfs/dbraw/zinc/85/83/26/882858326.db2.gz BFDTYLIEQGXNHS-UHFFFAOYSA-N -1 1 318.358 1.273 20 0 DDADMM CC1(C)OC[C@H](CCOc2[n-]c(=O)ncc2Br)O1 ZINC001227133024 882865039 /nfs/dbraw/zinc/86/50/39/882865039.db2.gz CSGMDAWAVVWIFC-ZETCQYMHSA-N -1 1 319.155 1.865 20 0 DDADMM COC(=O)[N-]C(=S)N(c1ccnnc1)c1ccccc1N ZINC001209961197 875177511 /nfs/dbraw/zinc/17/75/11/875177511.db2.gz DOUULSCKLOOMSI-UHFFFAOYSA-N -1 1 303.347 1.838 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cccnc1OC(F)F ZINC001210621892 875460704 /nfs/dbraw/zinc/46/07/04/875460704.db2.gz LEWZMGXUZNUUAH-UHFFFAOYSA-N -1 1 301.278 1.793 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001210850106 875568970 /nfs/dbraw/zinc/56/89/70/875568970.db2.gz UWZRLJPARWUMBJ-RYUDHWBXSA-N -1 1 323.441 1.663 20 0 DDADMM COc1nc(C)ccc1-c1noc(-c2c[nH]c(=S)[n-]c2=O)n1 ZINC001213867081 876085180 /nfs/dbraw/zinc/08/51/80/876085180.db2.gz QYMSSVRFPFRPRE-UHFFFAOYSA-N -1 1 317.330 1.900 20 0 DDADMM O=C(N[C@@H]1CCCOc2ccc(F)cc21)c1cnncc1[O-] ZINC001362084349 882995443 /nfs/dbraw/zinc/99/54/43/882995443.db2.gz LOHULNUBYRZNRB-GFCCVEGCSA-N -1 1 303.293 1.965 20 0 DDADMM CC(C)OCCC(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001351263894 876151970 /nfs/dbraw/zinc/15/19/70/876151970.db2.gz MAZMYRIGWBJEMC-UHFFFAOYSA-N -1 1 323.393 1.181 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3occ(C)c3C)nc2n1 ZINC001351269853 876154379 /nfs/dbraw/zinc/15/43/79/876154379.db2.gz IOLHONFEFSFQHZ-UHFFFAOYSA-N -1 1 315.333 1.832 20 0 DDADMM O=c1[nH]c2[n-]c(OC[C@H]3CCc4ccccc4O3)nc2c(=O)[nH]1 ZINC001227436486 883019694 /nfs/dbraw/zinc/01/96/94/883019694.db2.gz XWEADCFFKHMROF-SECBINFHSA-N -1 1 314.301 1.537 20 0 DDADMM CSc1nn(-c2cc(F)c([O-])c(Cl)c2)c(N)c1C(N)=O ZINC001214482582 876360738 /nfs/dbraw/zinc/36/07/38/876360738.db2.gz CEYPXIHQBVQKON-UHFFFAOYSA-N -1 1 316.745 1.773 20 0 DDADMM CC(C)CC1(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001214825583 876474306 /nfs/dbraw/zinc/47/43/06/876474306.db2.gz DSTKSCQWWLYRGZ-VXGBXAGGSA-N -1 1 321.425 1.273 20 0 DDADMM CNC(=O)c1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c(F)c1 ZINC001214864400 876486312 /nfs/dbraw/zinc/48/63/12/876486312.db2.gz NLSGKBBKQQRQSY-UHFFFAOYSA-N -1 1 309.326 1.295 20 0 DDADMM O=S(=O)([N-]CCSC(F)(F)F)c1cccnc1F ZINC000401855931 876695800 /nfs/dbraw/zinc/69/58/00/876695800.db2.gz NVXBJJKTMCAGJN-UHFFFAOYSA-N -1 1 304.290 1.752 20 0 DDADMM CCC[C@H](C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001215736454 876794284 /nfs/dbraw/zinc/79/42/84/876794284.db2.gz BZIBETZVQVUKDT-FRRDWIJNSA-N -1 1 323.441 1.519 20 0 DDADMM CC(C)Oc1ccc(N(C)c2nnc(-c3nnn[n-]3)n2C)cc1 ZINC001355335968 878512095 /nfs/dbraw/zinc/51/20/95/878512095.db2.gz WUGNOOOQNMVWGB-UHFFFAOYSA-N -1 1 314.353 1.550 20 0 DDADMM CC(C)Oc1ccc(N(C)c2nnc(-c3nn[n-]n3)n2C)cc1 ZINC001355335968 878512100 /nfs/dbraw/zinc/51/21/00/878512100.db2.gz WUGNOOOQNMVWGB-UHFFFAOYSA-N -1 1 314.353 1.550 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)CCc1ccccc1F ZINC001356290871 878967369 /nfs/dbraw/zinc/96/73/69/878967369.db2.gz NYENPLRWEJLORS-UHFFFAOYSA-N -1 1 316.344 1.296 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)CCc1ccccc1F ZINC001356290871 878967386 /nfs/dbraw/zinc/96/73/86/878967386.db2.gz NYENPLRWEJLORS-UHFFFAOYSA-N -1 1 316.344 1.296 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2CC[C@@H](OCc3nn[n-]n3)[C@@H]2C1 ZINC001223026812 880788801 /nfs/dbraw/zinc/78/88/01/880788801.db2.gz JGWOKBDZDAVQCG-HBNTYKKESA-N -1 1 309.370 1.362 20 0 DDADMM CC(C)Oc1ncccc1COc1[n-]c(=O)nc2c1COC2 ZINC001227686342 883131916 /nfs/dbraw/zinc/13/19/16/883131916.db2.gz MRPVRBMVPQBMPW-UHFFFAOYSA-N -1 1 303.318 1.974 20 0 DDADMM COC(=O)[C@H](Oc1[n-]c(=O)nc2c1COC2)c1ccc(F)cc1 ZINC001227691333 883133936 /nfs/dbraw/zinc/13/39/36/883133936.db2.gz SYBQXUUAJFJFPJ-GFCCVEGCSA-N -1 1 320.276 1.645 20 0 DDADMM CCOC(=O)[C@@H](Oc1[n-]c(=O)nc2c1CO[C@@H]2C)C(F)(F)F ZINC001227717785 883145518 /nfs/dbraw/zinc/14/55/18/883145518.db2.gz ZPLAZNFJDQREOK-SVGQVSJJSA-N -1 1 322.239 1.646 20 0 DDADMM CC(C)(C)OC(=O)N=c1[nH]cc(C(=O)Nc2nn[n-]n2)s1 ZINC001362163542 883185928 /nfs/dbraw/zinc/18/59/28/883185928.db2.gz UPULIAYFAIRESN-UHFFFAOYSA-N -1 1 311.327 1.256 20 0 DDADMM CCN(C(=O)c1cccc([O-])c1F)[C@H](C)CS(=O)(=O)CC ZINC001362197563 883271714 /nfs/dbraw/zinc/27/17/14/883271714.db2.gz HHBKKFNOMAWPRI-SNVBAGLBSA-N -1 1 317.382 1.817 20 0 DDADMM CCOC(=O)N(C)C1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001362203769 883290716 /nfs/dbraw/zinc/29/07/16/883290716.db2.gz VNMBKFOSHJIDEJ-UHFFFAOYSA-N -1 1 307.350 1.480 20 0 DDADMM Cc1cc(Br)c(F)c(C(=O)NCc2nn[n-]n2)c1 ZINC001362234449 883366775 /nfs/dbraw/zinc/36/67/75/883366775.db2.gz FWOIGLLFWNBCRQ-UHFFFAOYSA-N -1 1 314.118 1.340 20 0 DDADMM CC(C)(CO)[C@@H](CNC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC001362267852 883443961 /nfs/dbraw/zinc/44/39/61/883443961.db2.gz KMJYNLVTONWPBG-ZDUSSCGKSA-N -1 1 317.393 1.051 20 0 DDADMM O=C([N-]N1CCCC1=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001362279750 883470736 /nfs/dbraw/zinc/47/07/36/883470736.db2.gz XMYFTCGNHOQKKT-UHFFFAOYSA-N -1 1 304.737 1.997 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H](CCCO)c1ccccc1 ZINC001362297566 883507495 /nfs/dbraw/zinc/50/74/95/883507495.db2.gz REAONCXELUBCIA-CYBMUJFWSA-N -1 1 303.366 1.152 20 0 DDADMM CC(C)C[C@@H](C)CC(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001382148155 883516677 /nfs/dbraw/zinc/51/66/77/883516677.db2.gz JVBBPXPQIKAYHA-NEPJUHHUSA-N -1 1 311.430 1.519 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1OC1=C(C)[C@H](CC)OC1=O ZINC001228550794 883547763 /nfs/dbraw/zinc/54/77/63/883547763.db2.gz FCSLHBNSPOAHLO-VIFPVBQESA-N -1 1 324.358 1.930 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@H]1COc2cnccc21 ZINC001228553111 883550325 /nfs/dbraw/zinc/55/03/25/883550325.db2.gz ZODWHQDUJPDMIS-NSHDSACASA-N -1 1 319.342 1.850 20 0 DDADMM COC(=O)C1(CNC(=O)c2cccc([O-])c2F)CCOCC1 ZINC001362325291 883568991 /nfs/dbraw/zinc/56/89/91/883568991.db2.gz XLFLGZUOANDKNO-UHFFFAOYSA-N -1 1 311.309 1.231 20 0 DDADMM COC(=O)[C@H](Oc1cc([O-])cc2oc(=O)ccc12)C(F)(F)F ZINC001229128938 883836464 /nfs/dbraw/zinc/83/64/64/883836464.db2.gz FPZBNVGTVKBMJY-NSHDSACASA-N -1 1 318.203 1.981 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCn2c(nnc2C(F)F)C1 ZINC001362484478 883914571 /nfs/dbraw/zinc/91/45/71/883914571.db2.gz PEJKXGHVFAZXJQ-UHFFFAOYSA-N -1 1 312.251 1.716 20 0 DDADMM CN1CCO[C@H](COc2cc([O-])c(Cl)cc2Cl)C1=O ZINC001229406294 883965016 /nfs/dbraw/zinc/96/50/16/883965016.db2.gz PWOOZZUXARMERP-LLVKDONJSA-N -1 1 306.145 1.935 20 0 DDADMM O=C(NC[C@H]1CCC[C@@H]1O)c1c([O-])cnc2c(F)cccc21 ZINC001362520751 883995376 /nfs/dbraw/zinc/99/53/76/883995376.db2.gz UJFLVSPYCKSWDB-SKDRFNHKSA-N -1 1 304.321 1.970 20 0 DDADMM CCOC[C@H]1CCCCN1C(=O)c1cnc(SC)[n-]c1=O ZINC001362536807 884035415 /nfs/dbraw/zinc/03/54/15/884035415.db2.gz ZGCMLHHZXYYFNE-SNVBAGLBSA-N -1 1 311.407 1.935 20 0 DDADMM Nc1nnc(C2CCN(C(=O)c3ccc([O-])cc3F)CC2)o1 ZINC001362632004 884273383 /nfs/dbraw/zinc/27/33/83/884273383.db2.gz UBSFJJFVELPMEI-UHFFFAOYSA-N -1 1 306.297 1.516 20 0 DDADMM COC(=O)[C@H](Oc1nc(C)[n-]c(=O)c1OC)c1cccc(F)c1 ZINC001230020863 884280737 /nfs/dbraw/zinc/28/07/37/884280737.db2.gz GWLIETBXILFQGW-LLVKDONJSA-N -1 1 322.292 1.931 20 0 DDADMM CSc1ncc(C(=O)NCC[C@H]2CCO[C@H](C)C2)c(=O)[n-]1 ZINC001362649795 884321407 /nfs/dbraw/zinc/32/14/07/884321407.db2.gz LVRVPYYFMCVHQO-ZJUUUORDSA-N -1 1 311.407 1.839 20 0 DDADMM Cc1cnc(C(=O)N2NC(=O)C[C@]23CCCC[C@H]3C)c([O-])c1 ZINC001362674376 884384324 /nfs/dbraw/zinc/38/43/24/884384324.db2.gz MMTAUQDKEGSDNK-BZNIZROVSA-N -1 1 303.362 1.922 20 0 DDADMM CCOC(=O)CC1(NC(=O)c2ccc([O-])cn2)CCOCC1 ZINC001362699064 884438038 /nfs/dbraw/zinc/43/80/38/884438038.db2.gz RASKITLRZMIRTM-UHFFFAOYSA-N -1 1 308.334 1.019 20 0 DDADMM Cc1cc(CCC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)[nH]n1 ZINC001362701820 884444976 /nfs/dbraw/zinc/44/49/76/884444976.db2.gz AHMVQZXRDZHKLU-JTQLQIEISA-N -1 1 305.338 1.146 20 0 DDADMM CC(C)=C(F)C(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001288307786 912825408 /nfs/dbraw/zinc/82/54/08/912825408.db2.gz DXIKBJZQEBTPFC-UHFFFAOYSA-N -1 1 321.352 1.770 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CO[C@@H]1CCCC[C@H]1C ZINC001362828255 884736519 /nfs/dbraw/zinc/73/65/19/884736519.db2.gz ARYNSBXHHOZHES-CHWSQXEVSA-N -1 1 309.414 1.780 20 0 DDADMM CC(C)c1cc(C(=O)NC2(c3nn[n-]n3)CCC2)cc(=O)[nH]1 ZINC001362837219 884762903 /nfs/dbraw/zinc/76/29/03/884762903.db2.gz VAVHZRJAYMJYSY-UHFFFAOYSA-N -1 1 302.338 1.233 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ncc(C2CCOCC2)s1 ZINC001362870768 884844538 /nfs/dbraw/zinc/84/45/38/884844538.db2.gz PMBGNKWIYBVYEI-UHFFFAOYSA-N -1 1 322.394 1.512 20 0 DDADMM COC[C@H](NC(=O)CC[C@@H]1CCc2ccccc21)c1nn[n-]n1 ZINC001362897851 884918192 /nfs/dbraw/zinc/91/81/92/884918192.db2.gz GVJWOZUXALZVSE-JSGCOSHPSA-N -1 1 315.377 1.514 20 0 DDADMM NC(=O)CC1(CNC(=O)c2ccc3ccc(O)cc3c2[O-])CC1 ZINC001362901025 884931819 /nfs/dbraw/zinc/93/18/19/884931819.db2.gz ULQXNOHBACZUJC-UHFFFAOYSA-N -1 1 314.341 1.636 20 0 DDADMM CN=[S@@](C)(=O)c1cccc(NC(=O)c2n[nH]c(C)c2[O-])c1 ZINC001362914612 884971907 /nfs/dbraw/zinc/97/19/07/884971907.db2.gz UBMADGNIGMCHMH-NRFANRHFSA-N -1 1 308.363 1.763 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](NC(=O)c2ccc([O-])cn2)C1 ZINC001362928172 885009340 /nfs/dbraw/zinc/00/93/40/885009340.db2.gz FGBJWFQEZOVWND-JTQLQIEISA-N -1 1 307.350 1.526 20 0 DDADMM CCOCn1nc(C)c(CC(=O)Nc2c(C)[n-][nH]c2=O)c1C ZINC001362948306 885056507 /nfs/dbraw/zinc/05/65/07/885056507.db2.gz GZRHATYYRLEADV-UHFFFAOYSA-N -1 1 307.354 1.412 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3cccc([O-])c3F)[C@H]2C1 ZINC001362985237 885148109 /nfs/dbraw/zinc/14/81/09/885148109.db2.gz WBXKTEBWCDUXPI-UBHSHLNASA-N -1 1 320.364 1.908 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)c2cncc(F)c2)c(=O)[n-]1 ZINC001363020538 885247970 /nfs/dbraw/zinc/24/79/70/885247970.db2.gz KJYJXVGGAURBNG-SSDOTTSWSA-N -1 1 308.338 1.929 20 0 DDADMM O=C(NCCS(=O)(=O)C(F)(F)F)c1cccc([O-])c1F ZINC001363046708 885320123 /nfs/dbraw/zinc/32/01/23/885320123.db2.gz CEXPJFNVJDDKBE-UHFFFAOYSA-N -1 1 315.244 1.196 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cnoc2C(C)C)n[n-]1 ZINC001363045735 885321142 /nfs/dbraw/zinc/32/11/42/885321142.db2.gz KCJKNANZUGUQQC-MRVPVSSYSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cnoc2C(C)C)[n-]1 ZINC001363045735 885321159 /nfs/dbraw/zinc/32/11/59/885321159.db2.gz KCJKNANZUGUQQC-MRVPVSSYSA-N -1 1 321.337 1.584 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cnoc2C(C)C)n1 ZINC001363045735 885321178 /nfs/dbraw/zinc/32/11/78/885321178.db2.gz KCJKNANZUGUQQC-MRVPVSSYSA-N -1 1 321.337 1.584 20 0 DDADMM CN(C(=O)[C@H]1CN(C(=O)OC(C)(C)C)CC1(C)C)c1nn[n-]n1 ZINC001363053782 885336759 /nfs/dbraw/zinc/33/67/59/885336759.db2.gz NXPNZACSZIJBNI-SECBINFHSA-N -1 1 324.385 1.056 20 0 DDADMM Cc1nc[nH]c1C(=O)Nc1ccc(-n2[n-]c(C3CC3)cc2=O)nc1 ZINC001363114854 885498328 /nfs/dbraw/zinc/49/83/28/885498328.db2.gz WPDBESWHQQBKEH-UHFFFAOYSA-N -1 1 324.344 1.868 20 0 DDADMM CCC(CC)(NC(=O)c1cccc(OC)c1O)c1nn[n-]n1 ZINC001363133759 885550043 /nfs/dbraw/zinc/55/00/43/885550043.db2.gz BRLGUNDFEUQRSD-UHFFFAOYSA-N -1 1 305.338 1.359 20 0 DDADMM CCC(CC)(NC(=O)c1cccc(OC(C)C)n1)c1nn[n-]n1 ZINC001363138947 885561649 /nfs/dbraw/zinc/56/16/49/885561649.db2.gz VSZKPHIFDDXCIE-UHFFFAOYSA-N -1 1 318.381 1.827 20 0 DDADMM NC(=O)c1n[nH]c2c1CCN(Cc1ccc([O-])c(F)c1F)C2 ZINC001277543791 885769601 /nfs/dbraw/zinc/76/96/01/885769601.db2.gz WCQOYKVUYQGHOI-UHFFFAOYSA-N -1 1 308.288 1.051 20 0 DDADMM NC(=O)c1[nH]nc2c1CCN(Cc1ccc([O-])c(F)c1F)C2 ZINC001277543791 885769613 /nfs/dbraw/zinc/76/96/13/885769613.db2.gz WCQOYKVUYQGHOI-UHFFFAOYSA-N -1 1 308.288 1.051 20 0 DDADMM Cn1cnnc1[C@@H]1CCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC001363276524 885887388 /nfs/dbraw/zinc/88/73/88/885887388.db2.gz IXYXZJWJTKLSCT-SECBINFHSA-N -1 1 306.753 1.804 20 0 DDADMM CC(C)(C(=O)N1CCC(c2nn[n-]n2)CC1)c1cccc(O)c1 ZINC001363281525 885904206 /nfs/dbraw/zinc/90/42/06/885904206.db2.gz JFENUDDFFMWVHZ-UHFFFAOYSA-N -1 1 315.377 1.589 20 0 DDADMM Cn1ccnc1[C@H]1C[C@@H](NC(=O)c2ccc([O-])cc2F)CCO1 ZINC001363287825 885916659 /nfs/dbraw/zinc/91/66/59/885916659.db2.gz WGLYQWQULJBCMY-IINYFYTJSA-N -1 1 319.336 1.915 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@H](C)C(C)C ZINC001363349723 886100734 /nfs/dbraw/zinc/10/07/34/886100734.db2.gz JNUAZBPHXCOPCS-GHMZBOCLSA-N -1 1 308.382 1.937 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@H](C)C(C)C ZINC001363349723 886100742 /nfs/dbraw/zinc/10/07/42/886100742.db2.gz JNUAZBPHXCOPCS-GHMZBOCLSA-N -1 1 308.382 1.937 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@H](C)C(C)C ZINC001363349723 886100749 /nfs/dbraw/zinc/10/07/49/886100749.db2.gz JNUAZBPHXCOPCS-GHMZBOCLSA-N -1 1 308.382 1.937 20 0 DDADMM CS(=O)(=O)CC1(CNC(=O)c2cccc([O-])c2F)CCC1 ZINC001363351594 886106080 /nfs/dbraw/zinc/10/60/80/886106080.db2.gz SPPNHTTXSYEQHP-UHFFFAOYSA-N -1 1 315.366 1.476 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cccc2c1OCCO2 ZINC001363356996 886119412 /nfs/dbraw/zinc/11/94/12/886119412.db2.gz QWFKLFKTTQARKC-UHFFFAOYSA-N -1 1 317.349 1.269 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn3c2NC[C@H](C)C3)n1 ZINC001363384697 886191015 /nfs/dbraw/zinc/19/10/15/886191015.db2.gz QNROBEGUOWUXDH-QMMMGPOBSA-N -1 1 318.337 1.097 20 0 DDADMM COC[C@H](NC(=O)[C@H]1Cc2ccc(C)cc2S1)c1nn[n-]n1 ZINC001363416259 886278399 /nfs/dbraw/zinc/27/83/99/886278399.db2.gz PXIMUTOSIUWSLD-CMPLNLGQSA-N -1 1 319.390 1.029 20 0 DDADMM O=C(NCCc1ccc2c(c1)OCCCO2)c1ccc([O-])cn1 ZINC001363438988 886337320 /nfs/dbraw/zinc/33/73/20/886337320.db2.gz USAXIZCBTPOIII-UHFFFAOYSA-N -1 1 314.341 1.921 20 0 DDADMM Cn1ccnc1[C@@H]1C[C@H](NC(=O)c2ccc(F)c([O-])c2)CCO1 ZINC001363444576 886354766 /nfs/dbraw/zinc/35/47/66/886354766.db2.gz PAZKUCUUIMPFSK-RISCZKNCSA-N -1 1 319.336 1.915 20 0 DDADMM CN(C)C(=O)N(C)[C@@H]1CCN(C(=O)c2cccc([O-])c2F)C1 ZINC001363486514 886464308 /nfs/dbraw/zinc/46/43/08/886464308.db2.gz JZEZDWFHALTAAV-SNVBAGLBSA-N -1 1 309.341 1.359 20 0 DDADMM CN(C(=O)C[C@H]1CC[C@@H](NC(=O)OC(C)(C)C)C1)c1nn[n-]n1 ZINC001363488799 886472127 /nfs/dbraw/zinc/47/21/27/886472127.db2.gz JHBMMJVQDVWJAG-VHSXEESVSA-N -1 1 324.385 1.246 20 0 DDADMM CSCC[C@H](NC(=O)CCC(C)(C)C(C)=O)c1nn[n-]n1 ZINC001363491614 886476991 /nfs/dbraw/zinc/47/69/91/886476991.db2.gz MGYOGZYVSGABEY-JTQLQIEISA-N -1 1 313.427 1.506 20 0 DDADMM [O-]c1c(F)ccc(CN2CCN(c3cccnn3)CC2)c1F ZINC001232935560 886681660 /nfs/dbraw/zinc/68/16/60/886681660.db2.gz TXPXSBZAFQQXMD-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM CC(C)c1ncncc1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363584991 886697628 /nfs/dbraw/zinc/69/76/28/886697628.db2.gz PVBFFSJDNGEWGN-NSHDSACASA-N -1 1 315.381 1.208 20 0 DDADMM COC(=O)N1CCCN(Cc2cc([O-])c(F)c(F)c2)CC1 ZINC001232971094 886701119 /nfs/dbraw/zinc/70/11/19/886701119.db2.gz UFMZGRCUEQMRON-UHFFFAOYSA-N -1 1 300.305 1.945 20 0 DDADMM CC(F)(F)C(=O)[N-]Cc1nnc2n1CC[C@H](C(F)(F)F)C2 ZINC001363589050 886706816 /nfs/dbraw/zinc/70/68/16/886706816.db2.gz DRTVEPCGAJJSAP-LURJTMIESA-N -1 1 312.242 1.674 20 0 DDADMM CC(F)(F)C(=O)[N-]Cc1nnc2n1CC[C@@H](C(F)(F)F)C2 ZINC001363589053 886707044 /nfs/dbraw/zinc/70/70/44/886707044.db2.gz DRTVEPCGAJJSAP-ZCFIWIBFSA-N -1 1 312.242 1.674 20 0 DDADMM CN(C)C(=O)[C@H]1C[C@@H]2[C@@H](CC[N@H+]2Cc2cccc(O)c2F)O1 ZINC001233051657 886760765 /nfs/dbraw/zinc/76/07/65/886760765.db2.gz RDHHHLACZLWSRT-MRVWCRGKSA-N -1 1 308.353 1.351 20 0 DDADMM CC(=O)N[C@@H](CC(=O)Nc1c[n-][nH]c1=O)c1ccc(C)cc1 ZINC001363701615 887014881 /nfs/dbraw/zinc/01/48/81/887014881.db2.gz BPMGSPICHLKCOI-LBPRGKRZSA-N -1 1 302.334 1.630 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1ccc(F)cc1 ZINC001363722837 887072280 /nfs/dbraw/zinc/07/22/80/887072280.db2.gz CIDYWFUALMESKI-UHFFFAOYSA-N -1 1 302.352 1.769 20 0 DDADMM COc1cnc(C2(NC(=O)c3cnn(C)c3)CCCC2)[n-]c1=O ZINC001363737238 887107556 /nfs/dbraw/zinc/10/75/56/887107556.db2.gz VZEZSYMMUDJBFI-UHFFFAOYSA-N -1 1 317.349 1.124 20 0 DDADMM CNc1snc(C)c1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363806616 887270125 /nfs/dbraw/zinc/27/01/25/887270125.db2.gz RXJICTRANDINFO-UHFFFAOYSA-N -1 1 309.399 1.305 20 0 DDADMM CC(C)CC(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001384439198 887468145 /nfs/dbraw/zinc/46/81/45/887468145.db2.gz SZYVSOXQVSUUBM-TXEJJXNPSA-N -1 1 305.378 1.458 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363892388 887485731 /nfs/dbraw/zinc/48/57/31/887485731.db2.gz DTEJSOFKZPTXRA-GFCCVEGCSA-N -1 1 319.361 1.521 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1Cc1cccnc1N ZINC001363919354 887542252 /nfs/dbraw/zinc/54/22/52/887542252.db2.gz NABQCVIBGWKCKJ-ONGXEEELSA-N -1 1 316.327 1.695 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](C(C)C)C2)[n-]n1 ZINC001363974050 887645876 /nfs/dbraw/zinc/64/58/76/887645876.db2.gz VKLQAVSFOCQCBI-SNVBAGLBSA-N -1 1 315.395 1.253 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](C(C)C)C2)n[n-]1 ZINC001363974050 887645883 /nfs/dbraw/zinc/64/58/83/887645883.db2.gz VKLQAVSFOCQCBI-SNVBAGLBSA-N -1 1 315.395 1.253 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)C(C)(F)F ZINC001384585678 887721455 /nfs/dbraw/zinc/72/14/55/887721455.db2.gz YCLVDOGZRANVMJ-SECBINFHSA-N -1 1 315.320 1.409 20 0 DDADMM CCC[C@@H](C)[C@H](CO)[N-]S(=O)(=O)c1csnc1OC ZINC001364015548 887726060 /nfs/dbraw/zinc/72/60/60/887726060.db2.gz NQUKXQDAWSHBJP-BDAKNGLRSA-N -1 1 308.425 1.227 20 0 DDADMM CC(C)(CNC(N)=O)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC001364131264 887989143 /nfs/dbraw/zinc/98/91/43/887989143.db2.gz SPSDUULUPBMTON-UHFFFAOYSA-N -1 1 311.363 1.158 20 0 DDADMM CC[C@H](CN1CCOCC1)NC(=O)c1ccc(Cl)cc1[O-] ZINC001364135058 888000118 /nfs/dbraw/zinc/00/01/18/888000118.db2.gz NRAYFAZYLFUNRX-GFCCVEGCSA-N -1 1 312.797 1.886 20 0 DDADMM Cc1ccc(OC[C@@H](C)Oc2c(C(N)=O)nc(C)[n-]c2=O)cc1 ZINC001234479200 888012414 /nfs/dbraw/zinc/01/24/14/888012414.db2.gz XSJCHRGSCQOUGJ-SNVBAGLBSA-N -1 1 317.345 1.744 20 0 DDADMM CCc1ccc(NC(=O)N[C@@H](CCSC)c2nn[n-]n2)nc1 ZINC001364159646 888048771 /nfs/dbraw/zinc/04/87/71/888048771.db2.gz MILGHWHMZGJUOY-JTQLQIEISA-N -1 1 321.410 1.773 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H](C)c1cnn(C)c1C ZINC001234558001 888089899 /nfs/dbraw/zinc/08/98/99/888089899.db2.gz PTICYLQPIFBDCF-QMMMGPOBSA-N -1 1 306.322 1.459 20 0 DDADMM COC1CCC(Oc2c(C(N)=O)nc(C(C)C)[n-]c2=O)CC1 ZINC001234560534 888097400 /nfs/dbraw/zinc/09/74/00/888097400.db2.gz FUFQMGXMNXFBQC-UHFFFAOYSA-N -1 1 309.366 1.741 20 0 DDADMM CCN1CC[C@@H](Oc2nc(C)[n-]c(=O)c2Br)C1 ZINC001234571793 888110344 /nfs/dbraw/zinc/11/03/44/888110344.db2.gz HYWANSPIEQSAQK-MRVPVSSYSA-N -1 1 302.172 1.726 20 0 DDADMM COCCC(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001396149445 913252665 /nfs/dbraw/zinc/25/26/65/913252665.db2.gz YVNLBVSUOJGHFZ-LBPRGKRZSA-N -1 1 323.393 1.084 20 0 DDADMM CSc1nc(CNC(=O)Nc2nc(C)ncc2C)cc(=O)[n-]1 ZINC001364376524 888490122 /nfs/dbraw/zinc/49/01/22/888490122.db2.gz QUXFEDYTEWDJEF-UHFFFAOYSA-N -1 1 320.378 1.633 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1ncoc1C ZINC001364767064 889367852 /nfs/dbraw/zinc/36/78/52/889367852.db2.gz FREAIFQXPLCIIX-SECBINFHSA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1ncoc1C ZINC001364767064 889367865 /nfs/dbraw/zinc/36/78/65/889367865.db2.gz FREAIFQXPLCIIX-SECBINFHSA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1ncoc1C ZINC001364767064 889367872 /nfs/dbraw/zinc/36/78/72/889367872.db2.gz FREAIFQXPLCIIX-SECBINFHSA-N -1 1 319.321 1.255 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)Cc2ccncc2)sn1 ZINC001364789162 889420162 /nfs/dbraw/zinc/42/01/62/889420162.db2.gz RKQUHTZCDFXAMY-SECBINFHSA-N -1 1 313.404 1.456 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)[C@@H](OC)C1CC1 ZINC001364864359 889571473 /nfs/dbraw/zinc/57/14/73/889571473.db2.gz GJIRKWWPYIOXOS-GMSGAONNSA-N -1 1 306.409 1.244 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C2([C@H]3CCCCO3)CC2)sn1 ZINC001364977987 889803990 /nfs/dbraw/zinc/80/39/90/889803990.db2.gz ZCQJNWBRZDLZLW-SECBINFHSA-N -1 1 318.420 1.532 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)c2cn(C)nc2C)sn1 ZINC001365032035 889939552 /nfs/dbraw/zinc/93/95/52/889939552.db2.gz AKFQNZGZPAFVJT-MRVPVSSYSA-N -1 1 316.408 1.233 20 0 DDADMM CC[C@@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)c1noc(C)n1 ZINC001365051766 889987659 /nfs/dbraw/zinc/98/76/59/889987659.db2.gz PNMLMAPZFJIZQA-SNVBAGLBSA-N -1 1 315.337 1.306 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1CCO[C@@H]2C[C@@H](CO)C[C@H]21 ZINC001238143700 890068337 /nfs/dbraw/zinc/06/83/37/890068337.db2.gz YIZOONIOLZIBQZ-APHBMKBZSA-N -1 1 305.374 1.665 20 0 DDADMM CN1CCN(C2CN(Cc3cc(Cl)c([O-])cc3F)C2)CC1 ZINC001238153394 890079869 /nfs/dbraw/zinc/07/98/69/890079869.db2.gz BSWIRUDTPPOLLJ-UHFFFAOYSA-N -1 1 313.804 1.616 20 0 DDADMM C[C@H](NC(=O)c1s[n-]c(=O)c1Cl)C1=NN(C)CC1=O ZINC001365120249 890141496 /nfs/dbraw/zinc/14/14/96/890141496.db2.gz NVEQZNXQWHZILF-BYPYZUCNSA-N -1 1 302.743 1.432 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccc2c(c1)NC(=O)CCS2 ZINC001365212709 890363998 /nfs/dbraw/zinc/36/39/98/890363998.db2.gz UHFRZGPAOOGIPY-UHFFFAOYSA-N -1 1 304.331 1.802 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](c1ccccc1)c1ccccn1 ZINC001290580242 913463984 /nfs/dbraw/zinc/46/39/84/913463984.db2.gz FJKMNHJTNWJXJC-MRXNPFEDSA-N -1 1 308.345 1.433 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](C)c2ccccc2)[n-]n1 ZINC001365377131 890688968 /nfs/dbraw/zinc/68/89/68/890688968.db2.gz CZSJQFZDDORYLJ-SNVBAGLBSA-N -1 1 323.374 1.278 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](C)c2ccccc2)n[n-]1 ZINC001365377131 890688974 /nfs/dbraw/zinc/68/89/74/890688974.db2.gz CZSJQFZDDORYLJ-SNVBAGLBSA-N -1 1 323.374 1.278 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC(C)(C)C1 ZINC001365376410 890688989 /nfs/dbraw/zinc/68/89/89/890688989.db2.gz WBDPKHLUCNLGAK-MRVPVSSYSA-N -1 1 301.368 1.053 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2ncccc2OC)sn1 ZINC001365422948 890773819 /nfs/dbraw/zinc/77/38/19/890773819.db2.gz OINFWNAUSCATPT-UHFFFAOYSA-N -1 1 315.376 1.034 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCN2CCC(C)(C)C2)sn1 ZINC001365471540 890859737 /nfs/dbraw/zinc/85/97/37/890859737.db2.gz YQRBMGBMENSRSF-UHFFFAOYSA-N -1 1 319.452 1.162 20 0 DDADMM CN1CCN(c2nccc(-c3cccc(CC(=O)[O-])c3)n2)CC1 ZINC001242263238 891379465 /nfs/dbraw/zinc/37/94/65/891379465.db2.gz YPUJCIAHLLFQIX-UHFFFAOYSA-N -1 1 312.373 1.523 20 0 DDADMM [O-]c1c(F)cccc1-c1nc2c(c(N3CCOCC3)n1)CNC2 ZINC001242349098 891400193 /nfs/dbraw/zinc/40/01/93/891400193.db2.gz JAIVAZSIMVIVMZ-UHFFFAOYSA-N -1 1 316.336 1.428 20 0 DDADMM COC(=O)c1ccc(OC)c(-c2ccc(-c3nnn[n-]3)nc2)c1 ZINC001244054606 891785790 /nfs/dbraw/zinc/78/57/90/891785790.db2.gz SKTRVSVRBRAWDG-UHFFFAOYSA-N -1 1 311.301 1.724 20 0 DDADMM COC(=O)c1ccc(OC)c(-c2ccc(-c3nn[n-]n3)nc2)c1 ZINC001244054606 891785796 /nfs/dbraw/zinc/78/57/96/891785796.db2.gz SKTRVSVRBRAWDG-UHFFFAOYSA-N -1 1 311.301 1.724 20 0 DDADMM CN(C)c1ccc(-c2cc(=O)n(CCN3CCOCC3)[n-]2)cc1 ZINC001245944330 892280046 /nfs/dbraw/zinc/28/00/46/892280046.db2.gz OLXPEXWIRUOMQK-UHFFFAOYSA-N -1 1 316.405 1.654 20 0 DDADMM CCCC[C@H](C)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001366211682 892747016 /nfs/dbraw/zinc/74/70/16/892747016.db2.gz FLDBQYNUEJZKRC-STQMWFEESA-N -1 1 323.441 1.807 20 0 DDADMM CCN(CCNC(=O)C1CC2(CC2)C1)C(=O)c1ncccc1[O-] ZINC001387305413 892799601 /nfs/dbraw/zinc/79/96/01/892799601.db2.gz YDFUOJAURQULDH-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM C[C@@H]1[C@@H](CO)CCN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001366262646 892931627 /nfs/dbraw/zinc/93/16/27/892931627.db2.gz JRAXZQRRIKIPJM-VXGBXAGGSA-N -1 1 316.361 1.813 20 0 DDADMM CCCN(CCNC(=O)c1ccoc1)C(=O)c1ncccc1[O-] ZINC001387405066 893021717 /nfs/dbraw/zinc/02/17/17/893021717.db2.gz VBJHZNQGSSRIPY-UHFFFAOYSA-N -1 1 317.345 1.662 20 0 DDADMM CCC(C)(C)CC(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001387716715 893716771 /nfs/dbraw/zinc/71/67/71/893716771.db2.gz KVARBWSTTBYMMY-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)c2ccccc2)cc1O ZINC001251141379 894646199 /nfs/dbraw/zinc/64/61/99/894646199.db2.gz OLXFLUKUBLAPRR-UHFFFAOYSA-N -1 1 307.327 1.980 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@@](C)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001388265771 894901518 /nfs/dbraw/zinc/90/15/18/894901518.db2.gz CYAHWWFKUVTJPV-QAGHZCBJSA-N -1 1 317.389 1.458 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NS(=O)(=O)C=Cc1ccccc1 ZINC001252963960 895585491 /nfs/dbraw/zinc/58/54/91/895585491.db2.gz SBDHDAXUQBOOOK-VOTSOKGWSA-N -1 1 322.302 1.698 20 0 DDADMM C[C@H](CNC(=O)C[C@@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001389171701 896605658 /nfs/dbraw/zinc/60/56/58/896605658.db2.gz QFQQQGDEAUWURR-GHMZBOCLSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1coc(C)c1C(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001389593875 897588960 /nfs/dbraw/zinc/58/89/60/897588960.db2.gz RCJVBRSOECJASJ-LLVKDONJSA-N -1 1 319.365 1.018 20 0 DDADMM CCc1cnc(CNC[C@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001367725570 897594522 /nfs/dbraw/zinc/59/45/22/897594522.db2.gz LDXWTMRHMZLKJU-JTQLQIEISA-N -1 1 304.350 1.246 20 0 DDADMM CNC(=O)CC[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257515310 897870539 /nfs/dbraw/zinc/87/05/39/897870539.db2.gz HWTOZKXBUVYEGV-UHFFFAOYSA-N -1 1 317.219 1.469 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)c1cscn1 ZINC000354746747 898352802 /nfs/dbraw/zinc/35/28/02/898352802.db2.gz WSAZMZBYVUJMJU-UHFFFAOYSA-N -1 1 302.319 1.488 20 0 DDADMM CC(=O)NC[C@@H](C)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259043923 898436997 /nfs/dbraw/zinc/43/69/97/898436997.db2.gz JKJBSLVLAFLYCV-SSDOTTSWSA-N -1 1 324.324 1.154 20 0 DDADMM O=S(=O)([N-]C[C@H](O)CF)c1ccc(Br)s1 ZINC001259062626 898443391 /nfs/dbraw/zinc/44/33/91/898443391.db2.gz ARLFAYHVOPVZTP-RXMQYKEDSA-N -1 1 318.189 1.119 20 0 DDADMM CC(C)C[C@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(N)=O ZINC001259081849 898455716 /nfs/dbraw/zinc/45/57/16/898455716.db2.gz TXZJTXPNIHCTAX-QMMMGPOBSA-N -1 1 324.324 1.282 20 0 DDADMM O=S(=O)([N-]Cc1ccncn1)c1cc(Cl)ccc1F ZINC001259460287 898680226 /nfs/dbraw/zinc/68/02/26/898680226.db2.gz WNMNAAJHFMPVPQ-UHFFFAOYSA-N -1 1 301.730 1.748 20 0 DDADMM CN1C(=O)CC[C@@H]1C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259460690 898680288 /nfs/dbraw/zinc/68/02/88/898680288.db2.gz OGSOKSRCJHGCPT-SECBINFHSA-N -1 1 320.773 1.378 20 0 DDADMM COC(=O)[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259462039 898682238 /nfs/dbraw/zinc/68/22/38/898682238.db2.gz KTZASJBRXYRYNY-IONNQARKSA-N -1 1 321.757 1.567 20 0 DDADMM Cc1cc(F)ccc1S(=O)(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001259492747 898697159 /nfs/dbraw/zinc/69/71/59/898697159.db2.gz ARVTYJCAGSDEJL-UHFFFAOYSA-N -1 1 323.309 1.307 20 0 DDADMM O=C1CCCCN1c1ccc(C[N-]S(=O)(=O)C(F)F)cn1 ZINC001259963711 898987338 /nfs/dbraw/zinc/98/73/38/898987338.db2.gz LMXANTMRUOEACM-UHFFFAOYSA-N -1 1 319.333 1.241 20 0 DDADMM COc1cc2c(cc1OC)[C@@H](C[N-]S(=O)(=O)C(F)F)C2 ZINC001259964796 898988148 /nfs/dbraw/zinc/98/81/48/898988148.db2.gz IXEXZGJEMOXLNF-MRVPVSSYSA-N -1 1 307.318 1.486 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cncc(CO)c2)cc1 ZINC001260222154 899096126 /nfs/dbraw/zinc/09/61/26/899096126.db2.gz OCEXACBCHAOJKQ-UHFFFAOYSA-N -1 1 308.315 1.073 20 0 DDADMM CC(C)N1CC([N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)C1 ZINC001260288727 899112890 /nfs/dbraw/zinc/11/28/90/899112890.db2.gz ZRZJRPNNCKAMLD-UHFFFAOYSA-N -1 1 324.780 1.989 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2cccc3nonc32)c(F)cn1 ZINC001260436347 899140194 /nfs/dbraw/zinc/14/01/94/899140194.db2.gz ZIFLTDDVVUQZAQ-UHFFFAOYSA-N -1 1 324.293 1.566 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)s1 ZINC001260679542 899213036 /nfs/dbraw/zinc/21/30/36/899213036.db2.gz AVHCHJADXAKPOZ-UHFFFAOYSA-N -1 1 316.320 1.456 20 0 DDADMM CCN(CC)C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001262321010 900109121 /nfs/dbraw/zinc/10/91/21/900109121.db2.gz YTTFWYWUDPKKOQ-UHFFFAOYSA-N -1 1 300.305 1.198 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)cn1 ZINC001263911194 900814052 /nfs/dbraw/zinc/81/40/52/900814052.db2.gz CWOYOXNJLXSIEG-LMVHVUTASA-N -1 1 312.377 1.398 20 0 DDADMM CCC[C@@H](C)C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369449446 901320137 /nfs/dbraw/zinc/32/01/37/901320137.db2.gz SKTSBKDKEFWVRZ-GHMZBOCLSA-N -1 1 309.414 1.273 20 0 DDADMM C[C@@H](C(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001391315183 901463708 /nfs/dbraw/zinc/46/37/08/901463708.db2.gz XRQZLTNFTWVSNW-NWDGAFQWSA-N -1 1 323.441 1.521 20 0 DDADMM CCCc1occc1C(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001392120671 903331761 /nfs/dbraw/zinc/33/17/61/903331761.db2.gz IXLYHPLKCULKNM-SNVBAGLBSA-N -1 1 321.381 1.306 20 0 DDADMM Cc1ncc(CN(C)CCN(C)C(=O)c2ncccc2[O-])o1 ZINC001392269643 903714407 /nfs/dbraw/zinc/71/44/07/903714407.db2.gz QWVYJAFDCUIFAU-UHFFFAOYSA-N -1 1 304.350 1.288 20 0 DDADMM C=C/C(C)=C/CC(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001280996505 904295939 /nfs/dbraw/zinc/29/59/39/904295939.db2.gz QGGNLMJOHXOSDH-YQCJOKCJSA-N -1 1 317.389 1.886 20 0 DDADMM CCCC[C@H](CC)C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001393030043 906001169 /nfs/dbraw/zinc/00/11/69/906001169.db2.gz CNXXBHPRFMFHAJ-STQMWFEESA-N -1 1 323.441 1.807 20 0 DDADMM O=C(NCCNC(=O)[C@@H]1CCC[C@H]2C[C@H]21)c1ncccc1[O-] ZINC001282983532 906397807 /nfs/dbraw/zinc/39/78/07/906397807.db2.gz LBPIQYJZCVJEOT-QJPTWQEYSA-N -1 1 303.362 1.069 20 0 DDADMM CC(C)[C@H](C)C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372441986 907312680 /nfs/dbraw/zinc/31/26/80/907312680.db2.gz ZARICXOSSLCDSS-WCQYABFASA-N -1 1 319.405 1.704 20 0 DDADMM C[C@H]1CC[C@H](C(=O)NCC2(NC(=O)c3ncccc3[O-])CC2)C1 ZINC001393646811 907607726 /nfs/dbraw/zinc/60/77/26/907607726.db2.gz XXFTZWXLFNFOMF-RYUDHWBXSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(NCCN(Cc1cscn1)C1CC1)c1ncccc1[O-] ZINC001372790245 908263625 /nfs/dbraw/zinc/26/36/25/908263625.db2.gz TYSJLYUIHZBXTK-UHFFFAOYSA-N -1 1 318.402 1.638 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H](C)c1ccco1 ZINC001372830556 908372927 /nfs/dbraw/zinc/37/29/27/908372927.db2.gz GENAIFCBCBEZEG-MNOVXSKESA-N -1 1 317.345 1.418 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)CNC(=O)c2ncccc2[O-])ccn1 ZINC001372835638 908389912 /nfs/dbraw/zinc/38/99/12/908389912.db2.gz OVUCXSYAVDVEIB-NSHDSACASA-N -1 1 314.345 1.039 20 0 DDADMM CCC[C@@H](C)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001373159713 909112899 /nfs/dbraw/zinc/11/28/99/909112899.db2.gz ROMJWOCGBPENGX-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM CN(CCNC(=O)[C@H]1CCCC12CC2)C(=O)c1ncccc1[O-] ZINC001373526391 910088227 /nfs/dbraw/zinc/08/82/27/910088227.db2.gz WFVAUQFZVRITKB-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C(C)C ZINC001285313649 910339402 /nfs/dbraw/zinc/33/94/02/910339402.db2.gz HHCRMPJWPKZJOE-QJGQKNTRSA-N -1 1 305.378 1.624 20 0 DDADMM CC[C@@H](CNC(=O)c1[nH]nc(C)c1[O-])NC/C(Cl)=C\Cl ZINC001373743374 910825039 /nfs/dbraw/zinc/82/50/39/910825039.db2.gz QMZRIVSCBIYNPZ-SGDMMICCSA-N -1 1 321.208 1.841 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)c1ccn(C)c1 ZINC001373826128 911121161 /nfs/dbraw/zinc/12/11/61/911121161.db2.gz QYLHIAWISPWQQF-UHFFFAOYSA-N -1 1 316.361 1.018 20 0 DDADMM CC[C@H](C)CC(=O)NCC1(NC(=O)c2cnn[nH]2)CCCCC1 ZINC001395227313 911594094 /nfs/dbraw/zinc/59/40/94/911594094.db2.gz KYLGHMVIYCLTKQ-LBPRGKRZSA-N -1 1 321.425 1.790 20 0 DDADMM CC(C)[C@@H](C)C(=O)N[C@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001395313655 911779787 /nfs/dbraw/zinc/77/97/87/911779787.db2.gz UHFVTNZLCXVSBO-VXGBXAGGSA-N -1 1 319.405 1.656 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H](C)C1CC1 ZINC001374117322 911932750 /nfs/dbraw/zinc/93/27/50/911932750.db2.gz JEOTZDQZZJBTFY-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM CC(=O)N[C@H](C)CCCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001286511936 912003890 /nfs/dbraw/zinc/00/38/90/912003890.db2.gz OCIVYVIICWPHNM-SNVBAGLBSA-N -1 1 322.409 1.649 20 0 DDADMM CCC(C)(C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001397129228 915236055 /nfs/dbraw/zinc/23/60/55/915236055.db2.gz WKAJLJRDIIFOJN-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM C/C=C/C[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)OCC ZINC001296212527 916278751 /nfs/dbraw/zinc/27/87/51/916278751.db2.gz IPVSZRHQXKNHBJ-PYEVWLCESA-N -1 1 319.361 1.687 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@@H](C)C(C)(C)C ZINC001375693770 916722996 /nfs/dbraw/zinc/72/29/96/916722996.db2.gz GTTSANQXJBZMRT-NWDGAFQWSA-N -1 1 321.421 1.952 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3c3ccccc3C)nc2n1 ZINC000622870084 365550234 /nfs/dbraw/zinc/55/02/34/365550234.db2.gz QSZFETUYKKMJSY-QWHCGFSZSA-N -1 1 323.356 1.777 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](CC)CC(F)F)nc2n1 ZINC000622993246 365585049 /nfs/dbraw/zinc/58/50/49/365585049.db2.gz GPMSLHBAMSPTEE-SSDOTTSWSA-N -1 1 313.308 1.600 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC34CCCC4)nc2n1 ZINC000622996672 365589669 /nfs/dbraw/zinc/58/96/69/365589669.db2.gz WIGICGQRALGBTI-LLVKDONJSA-N -1 1 315.377 1.889 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3[C@@H]4CCCC[C@@H]43)nc2n1 ZINC000622996584 365590466 /nfs/dbraw/zinc/59/04/66/365590466.db2.gz SFJKRHQTCSVKSG-PJXYFTJBSA-N -1 1 315.377 1.745 20 0 DDADMM C[C@@H](Oc1ccc(Cl)c(Cl)c1)C(=O)Nc1nnn[n-]1 ZINC000076963888 185061348 /nfs/dbraw/zinc/06/13/48/185061348.db2.gz ZCHAQZBLVFOEEG-RXMQYKEDSA-N -1 1 302.121 1.913 20 0 DDADMM C[C@@H](Oc1ccc(Cl)c(Cl)c1)C(=O)Nc1nn[n-]n1 ZINC000076963888 185061349 /nfs/dbraw/zinc/06/13/49/185061349.db2.gz ZCHAQZBLVFOEEG-RXMQYKEDSA-N -1 1 302.121 1.913 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C(C)(C)C3CC3)nc2n1 ZINC000622997785 365592109 /nfs/dbraw/zinc/59/21/09/365592109.db2.gz LOWDYYUWDNXYHN-UHFFFAOYSA-N -1 1 303.366 1.745 20 0 DDADMM CCC[C@@H](NC(=O)COCc1ccccc1C)c1nn[n-]n1 ZINC000623020634 365601660 /nfs/dbraw/zinc/60/16/60/365601660.db2.gz PTROOFKXJLSPET-CYBMUJFWSA-N -1 1 303.366 1.682 20 0 DDADMM Cn1nc([C@H]2CCCO2)cc1NC(=O)[N-]O[C@@H]1CCCCO1 ZINC000278641916 214296676 /nfs/dbraw/zinc/29/66/76/214296676.db2.gz CBXHEOWCZKAPAT-DGCLKSJQSA-N -1 1 310.354 1.851 20 0 DDADMM C[C@H](C[S@](C)=O)[N-]S(=O)(=O)c1sccc1Cl ZINC000451398603 231086048 /nfs/dbraw/zinc/08/60/48/231086048.db2.gz WXDAXLHIFRDQAI-BAHMLBHCSA-N -1 1 301.842 1.447 20 0 DDADMM CC[C@@H](C)[C@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)OC ZINC000295730100 529415793 /nfs/dbraw/zinc/41/57/93/529415793.db2.gz MJKRPXMDVGHCNE-KOLCDFICSA-N -1 1 308.407 1.809 20 0 DDADMM CCCN(CC(=O)NC)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000153634859 290649702 /nfs/dbraw/zinc/64/97/02/290649702.db2.gz DQTGKBPMYVQZSS-UHFFFAOYSA-N -1 1 314.769 1.652 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@@H]2COCC[C@@H]21 ZINC000328873542 231373656 /nfs/dbraw/zinc/37/36/56/231373656.db2.gz RDUCOJAISKUJNX-MFKMUULPSA-N -1 1 307.419 1.780 20 0 DDADMM O=C(NCC1(CO)CC1)c1ccc(Br)cc1[O-] ZINC000167563289 539226636 /nfs/dbraw/zinc/22/66/36/539226636.db2.gz YYGRVVGVARZDDG-UHFFFAOYSA-N -1 1 300.152 1.657 20 0 DDADMM Cc1c(NC(=O)c2cc(C)ccc2[N-]S(C)(=O)=O)cnn1C ZINC000176298524 539229420 /nfs/dbraw/zinc/22/94/20/539229420.db2.gz ZUQFLWKLMGGPQD-UHFFFAOYSA-N -1 1 322.390 1.661 20 0 DDADMM CCC[C@H](NC(=O)C(=O)Nc1c(C)cccc1C)c1nn[n-]n1 ZINC000177843855 539231261 /nfs/dbraw/zinc/23/12/61/539231261.db2.gz DNJQGQUNBSIUCO-NSHDSACASA-N -1 1 316.365 1.413 20 0 DDADMM Cc1noc(C)c1CC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000333200253 539318073 /nfs/dbraw/zinc/31/80/73/539318073.db2.gz YWHIPLRNBZLAJV-UHFFFAOYSA-N -1 1 315.420 1.886 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCC(=O)[C@H](C)C1(C)C)c1nn[n-]n1 ZINC000333388747 539320401 /nfs/dbraw/zinc/32/04/01/539320401.db2.gz YRXQLSHWNZMQCY-VWYCJHECSA-N -1 1 307.398 1.403 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2csc([C@H](C)OC)n2)n[n-]1 ZINC000444399714 529744438 /nfs/dbraw/zinc/74/44/38/529744438.db2.gz AZHBGYIHIOEOBX-ZETCQYMHSA-N -1 1 316.408 1.505 20 0 DDADMM CCc1nc2n(n1)CCC[C@@H]2NC(=O)c1ncc(C)cc1[O-] ZINC000331760004 529750075 /nfs/dbraw/zinc/75/00/75/529750075.db2.gz ATQGAVKFCOGHKL-JTQLQIEISA-N -1 1 301.350 1.514 20 0 DDADMM CN(C)c1nc(CN(C)Cc2ccc(F)c(Cl)c2)nc(=N)[n-]1 ZINC000433242104 529801848 /nfs/dbraw/zinc/80/18/48/529801848.db2.gz AJILHJMCRDLGRD-UHFFFAOYSA-N -1 1 324.791 1.775 20 0 DDADMM CCN(C[C@H]1CCOC1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000615357556 362263102 /nfs/dbraw/zinc/26/31/02/362263102.db2.gz DGHDSOABMKLQAY-LLVKDONJSA-N -1 1 301.346 1.422 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CC[C@H](C)C2)o1 ZINC000331093032 530028038 /nfs/dbraw/zinc/02/80/38/530028038.db2.gz XXTBAFNIOCVTBM-VHSXEESVSA-N -1 1 300.380 1.354 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2C[C@H]2C2CCC2)o1 ZINC000424900464 530028201 /nfs/dbraw/zinc/02/82/01/530028201.db2.gz XHLQDKPJCPVFCW-QWRGUYRKSA-N -1 1 312.391 1.354 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)Cc1cc(C)ccc1C ZINC000330869141 232123672 /nfs/dbraw/zinc/12/36/72/232123672.db2.gz AQKNNEZHEVLYIO-UHFFFAOYSA-N -1 1 309.391 1.833 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)C2(O)CCCCC2)c1Br ZINC000616005991 362517206 /nfs/dbraw/zinc/51/72/06/362517206.db2.gz FUHBGIULNADLQO-UHFFFAOYSA-N -1 1 302.172 1.536 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H](C)c2ccnn2C)c1Br ZINC000616010671 362518679 /nfs/dbraw/zinc/51/86/79/362518679.db2.gz CUSFXCOOYKHQAB-LURJTMIESA-N -1 1 312.171 1.378 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2C[C@@]23CCOC3)c1Br ZINC000616009111 362518906 /nfs/dbraw/zinc/51/89/06/362518906.db2.gz OSIBHAZTGNQLNY-WRWORJQWSA-N -1 1 300.156 1.268 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cn(C)cn1)c1nc(C(C)(C)C)no1 ZINC000330921374 232164825 /nfs/dbraw/zinc/16/48/25/232164825.db2.gz QJQZNEQHYUTZGN-QMMMGPOBSA-N -1 1 313.383 1.140 20 0 DDADMM CN(C)C(=O)[C@@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000616267874 362610880 /nfs/dbraw/zinc/61/08/80/362610880.db2.gz XABHYZARXCTULS-GFCCVEGCSA-N -1 1 313.357 1.491 20 0 DDADMM COC[C@@H](OC)C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000616251333 362603542 /nfs/dbraw/zinc/60/35/42/362603542.db2.gz RKCHHOLRRLRXNV-LLVKDONJSA-N -1 1 311.288 1.377 20 0 DDADMM CCN(CC(F)(F)F)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358328157 299122146 /nfs/dbraw/zinc/12/21/46/299122146.db2.gz BLRCTOQLBNQSIH-UHFFFAOYSA-N -1 1 302.256 1.698 20 0 DDADMM CC1(C)CN(C(=O)C2(C(=O)[O-])CC2)CCN1Cc1ccccc1 ZINC000382653818 539505293 /nfs/dbraw/zinc/50/52/93/539505293.db2.gz FVXFQMSNYSODDG-UHFFFAOYSA-N -1 1 316.401 1.974 20 0 DDADMM C[C@@H]1CN(C(=O)CCCc2nn[n-]n2)C[C@H](CC(F)(F)F)O1 ZINC000636240142 422738101 /nfs/dbraw/zinc/73/81/01/422738101.db2.gz KKHZWOPKHLOGCJ-BDAKNGLRSA-N -1 1 321.303 1.091 20 0 DDADMM CC(C)C[C@H]([N-]S(=O)(=O)C[C@H]1CCCO1)C(=O)OC(C)C ZINC000358401947 299143537 /nfs/dbraw/zinc/14/35/37/299143537.db2.gz SKORLPCBPZTTHN-OLZOCXBDSA-N -1 1 321.439 1.451 20 0 DDADMM CCn1nc(C(C)C)cc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000358412980 299146038 /nfs/dbraw/zinc/14/60/38/299146038.db2.gz MQPPPBKHELKSHZ-SNVBAGLBSA-N -1 1 305.386 1.415 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000286998471 219262498 /nfs/dbraw/zinc/26/24/98/219262498.db2.gz BAUFKYTWOIMJSO-CKPZPDRJSA-N -1 1 319.365 1.051 20 0 DDADMM Cc1cnccc1CCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287039796 219283361 /nfs/dbraw/zinc/28/33/61/219283361.db2.gz DDXNJVXUWNZDFP-STZFKDTASA-N -1 1 310.361 1.409 20 0 DDADMM COC[C@H](C[N-]S(=O)(=O)c1ccc(F)c(F)c1F)OC ZINC000358488829 299170193 /nfs/dbraw/zinc/17/01/93/299170193.db2.gz BALRPRTWGSVXBJ-ZETCQYMHSA-N -1 1 313.297 1.044 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H](O)C[C@@H]1c1cccc(F)c1 ZINC000225677521 186288551 /nfs/dbraw/zinc/28/85/51/186288551.db2.gz JYPOPYNKNNCYQF-CHWSQXEVSA-N -1 1 302.305 1.874 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]c1ccn(Cc2ccncc2)n1 ZINC000268756626 186380760 /nfs/dbraw/zinc/38/07/60/186380760.db2.gz FOIYVZURCQODDG-UHFFFAOYSA-N -1 1 309.395 1.325 20 0 DDADMM CSCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000280053601 215341808 /nfs/dbraw/zinc/34/18/08/215341808.db2.gz QEEVSKZBSRKLBM-JTQLQIEISA-N -1 1 312.366 1.589 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H](C)C1CCCC1 ZINC000172103768 198040098 /nfs/dbraw/zinc/04/00/98/198040098.db2.gz AUVTZUMKCOCJLU-SNVBAGLBSA-N -1 1 316.405 1.877 20 0 DDADMM COc1cccc([N-]S(=O)(=O)c2ccc(C(N)=O)cc2)c1 ZINC000016725771 352161945 /nfs/dbraw/zinc/16/19/45/352161945.db2.gz PIXCASYCBUMIGR-UHFFFAOYSA-N -1 1 306.343 1.595 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@H](CO)NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000617536605 363163750 /nfs/dbraw/zinc/16/37/50/363163750.db2.gz GANLXUIFTMRREV-CFVMTHIKSA-N -1 1 321.373 1.257 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@H](CO)NC(=O)c2ncc(C)cc2[O-])C1 ZINC000617537016 363165139 /nfs/dbraw/zinc/16/51/39/363165139.db2.gz KVNBKKRNXVAXEF-UPJWGTAASA-N -1 1 308.378 1.392 20 0 DDADMM COc1cc(NC(=O)CCSC)ccc1[N-]S(C)(=O)=O ZINC000029970786 352249082 /nfs/dbraw/zinc/24/90/82/352249082.db2.gz WMOPTEYTVWUTBQ-UHFFFAOYSA-N -1 1 318.420 1.758 20 0 DDADMM Cc1nnsc1C[N-]S(=O)(=O)c1cc(F)c(F)c(F)c1 ZINC000490416124 234008548 /nfs/dbraw/zinc/00/85/48/234008548.db2.gz MGNRDBUODJBQFT-UHFFFAOYSA-N -1 1 323.321 1.742 20 0 DDADMM COC(=O)NCC(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000053793593 352667174 /nfs/dbraw/zinc/66/71/74/352667174.db2.gz GKGOFQWKDQZKPB-UHFFFAOYSA-N -1 1 320.345 1.170 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2cc(Cl)ccc2C)no1 ZINC000173399610 198210741 /nfs/dbraw/zinc/21/07/41/198210741.db2.gz ILZKIOUWNYPKCW-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM C[C@H](NC(=O)CN1CC[C@H](C)[C@H](C(=O)[O-])C1)c1cccs1 ZINC000564962904 304030698 /nfs/dbraw/zinc/03/06/98/304030698.db2.gz FQCSDROFJFOSKD-SDDRHHMPSA-N -1 1 310.419 1.968 20 0 DDADMM CO[C@@]1(C)C[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1(C)C ZINC000173835724 198258159 /nfs/dbraw/zinc/25/81/59/198258159.db2.gz WAPGBRVKFLNBOA-PELKAZGASA-N -1 1 321.830 1.555 20 0 DDADMM CCc1cc2c(C[N@@H+]3CC[C@@H](CO)C3)cc(=O)oc2cc1[O-] ZINC000174705759 198372847 /nfs/dbraw/zinc/37/28/47/198372847.db2.gz JGBZACMUXHZOOL-LLVKDONJSA-N -1 1 303.358 1.875 20 0 DDADMM CCc1nn(C)cc1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000092963765 353878052 /nfs/dbraw/zinc/87/80/52/353878052.db2.gz HMKOMKBROUWOEQ-UHFFFAOYSA-N -1 1 311.345 1.149 20 0 DDADMM O=C(CN1CCC[C@@H](c2ncc[nH]2)C1)[N-]OCc1ccccc1 ZINC000093193719 353888380 /nfs/dbraw/zinc/88/83/80/353888380.db2.gz CEFNXNKNVOOFSN-OAHLLOKOSA-N -1 1 314.389 1.837 20 0 DDADMM CC(=O)NCCC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000096743948 353916803 /nfs/dbraw/zinc/91/68/03/353916803.db2.gz BUFMXHTVBCIHED-UHFFFAOYSA-N -1 1 308.762 1.284 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCCC[C@@H]2O)o1 ZINC000358634333 299210084 /nfs/dbraw/zinc/21/00/84/299210084.db2.gz GEYMKQQSMZTXIF-UWVGGRQHSA-N -1 1 317.363 1.038 20 0 DDADMM COC(=O)Cc1csc(NC(=O)c2cc(F)ccc2[O-])n1 ZINC000127132854 354061165 /nfs/dbraw/zinc/06/11/65/354061165.db2.gz VSMPEGUIUVSYLF-UHFFFAOYSA-N -1 1 310.306 1.956 20 0 DDADMM COc1cc(CNC(=O)c2ncccc2[O-])cc(OC)c1OC ZINC000205662720 354306849 /nfs/dbraw/zinc/30/68/49/354306849.db2.gz WQYUBRCIJPBHMO-UHFFFAOYSA-N -1 1 318.329 1.743 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCC(O)(CO)CC1 ZINC000313623233 354480600 /nfs/dbraw/zinc/48/06/00/354480600.db2.gz GFHYSMJAKXOIEZ-UHFFFAOYSA-N -1 1 301.342 1.505 20 0 DDADMM Cn1nccc1[C@H]1C[C@H](NC(=O)c2c([O-])cccc2F)CCO1 ZINC000584764171 354760669 /nfs/dbraw/zinc/76/06/69/354760669.db2.gz UUMMOWCHIVCHRW-QMTHXVAHSA-N -1 1 319.336 1.915 20 0 DDADMM CO[C@H]1CCN(Cc2ccn(CC(F)(F)F)n2)[C@@H](C(=O)[O-])C1 ZINC000584793102 354771546 /nfs/dbraw/zinc/77/15/46/354771546.db2.gz HOVRCFQNOUXNMI-WDEREUQCSA-N -1 1 321.299 1.509 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCCCN2C(=O)CC)n1 ZINC000585681733 354827748 /nfs/dbraw/zinc/82/77/48/354827748.db2.gz KFHXDVMLBSKARY-LLVKDONJSA-N -1 1 322.365 1.316 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]1c1ccc(F)cc1 ZINC000618045290 363441267 /nfs/dbraw/zinc/44/12/67/363441267.db2.gz DVZKMWRPWDNPDU-JTQLQIEISA-N -1 1 319.292 1.623 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CCCO2)c(F)c1 ZINC000588671889 354927342 /nfs/dbraw/zinc/92/73/42/354927342.db2.gz FLJBXJPNPIARMF-QMMMGPOBSA-N -1 1 307.318 1.431 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC[C@@H](C)OC)c(F)c1 ZINC000588683361 354928624 /nfs/dbraw/zinc/92/86/24/354928624.db2.gz HGEKVFBIIDGAHM-MRVPVSSYSA-N -1 1 309.334 1.677 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc(C(C)(C)C)nc2)n1 ZINC000591302346 355285114 /nfs/dbraw/zinc/28/51/14/355285114.db2.gz HEWYBMKNPBFCEX-UHFFFAOYSA-N -1 1 317.349 1.926 20 0 DDADMM C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1cc(F)ccc1[O-] ZINC000287627667 291065588 /nfs/dbraw/zinc/06/55/88/291065588.db2.gz ZLOZNFNBMNYKDB-WCQYABFASA-N -1 1 308.353 1.467 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCC[C@H](F)C2)c1 ZINC000592063443 355480780 /nfs/dbraw/zinc/48/07/80/355480780.db2.gz TTXGDQUCOAOFQV-UWVGGRQHSA-N -1 1 305.327 1.625 20 0 DDADMM CCOC(=O)c1cnc(C(C)=Cc2cncnc2OC)[n-]c1=O ZINC000592442351 355585270 /nfs/dbraw/zinc/58/52/70/355585270.db2.gz SMWKGQGLDQFQAA-UITAMQMPSA-N -1 1 316.317 1.718 20 0 DDADMM COC(=O)c1ccc(CN[C@@H](C(=O)[O-])c2ccnn2C)cc1 ZINC000592424211 355579576 /nfs/dbraw/zinc/57/95/76/355579576.db2.gz WZTLDURMILDKQV-CYBMUJFWSA-N -1 1 303.318 1.122 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1[C@H]2Cc3cc(Cl)ccc3[C@@H]12 ZINC000636251517 422749557 /nfs/dbraw/zinc/74/95/57/422749557.db2.gz BWUHQUFKMVLBJT-NILFDRSVSA-N -1 1 317.780 1.630 20 0 DDADMM CCOC(=O)Cn1ccc(=NC(=O)c2ccc([O-])c(C)c2)[nH]1 ZINC000594422591 356205431 /nfs/dbraw/zinc/20/54/31/356205431.db2.gz SGJUMNNQUZTHQV-UHFFFAOYSA-N -1 1 303.318 1.134 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCS[C@H]2C)cc1C ZINC000595349079 356459305 /nfs/dbraw/zinc/45/93/05/356459305.db2.gz XRSJRPVUDZNWOT-DTWKUNHWSA-N -1 1 319.404 1.547 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)C[C@H]1CCCO1 ZINC000081651974 192307998 /nfs/dbraw/zinc/30/79/98/192307998.db2.gz RBGOSLDIOBMTMY-LLVKDONJSA-N -1 1 307.394 1.627 20 0 DDADMM COC1(CS(=O)(=O)[N-]CC(=O)OC(C)(C)C)CCCC1 ZINC000601347346 358509957 /nfs/dbraw/zinc/50/99/57/358509957.db2.gz IQNAUOJUDKTSME-UHFFFAOYSA-N -1 1 307.412 1.207 20 0 DDADMM COC(=O)[C@H](C)CN(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000597225294 357101128 /nfs/dbraw/zinc/10/11/28/357101128.db2.gz AYKXZRKRMAGQRR-SNVBAGLBSA-N -1 1 302.330 1.822 20 0 DDADMM CSC[C@@](C)(O)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000597280402 357120355 /nfs/dbraw/zinc/12/03/55/357120355.db2.gz CBIYBIAVICYNHM-HNNXBMFYSA-N -1 1 306.387 1.784 20 0 DDADMM C[S@](=O)C1(CNC(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000597621814 357259528 /nfs/dbraw/zinc/25/95/28/357259528.db2.gz RYXBCEZHBVNXSI-NRFANRHFSA-N -1 1 304.371 1.581 20 0 DDADMM CCOC(=O)C(C=C([O-])Nc1ccc2ccnc-2[nH]1)=C(C)O ZINC000597755249 357317834 /nfs/dbraw/zinc/31/78/34/357317834.db2.gz PCQRODXNXYQRIV-NSHDSACASA-N -1 1 303.318 1.082 20 0 DDADMM CCn1cnc(S(=O)(=O)[N-]c2cc3cn[nH]c3cc2C)c1 ZINC000358801882 299261185 /nfs/dbraw/zinc/26/11/85/299261185.db2.gz ODSBBNUODPEPSE-UHFFFAOYSA-N -1 1 305.363 1.889 20 0 DDADMM CCOC(=O)c1csc(=NC[C@@H]2CCCN2CC(F)F)[n-]1 ZINC000599133222 357825612 /nfs/dbraw/zinc/82/56/12/357825612.db2.gz FQHTXRJFSRJHOJ-VIFPVBQESA-N -1 1 319.377 1.883 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)CC2CCCCC2)co1 ZINC000179265158 199007436 /nfs/dbraw/zinc/00/74/36/199007436.db2.gz DWHWNIJNPXFGAR-UHFFFAOYSA-N -1 1 314.407 1.840 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCCC23CC3)o1 ZINC000599521963 357963403 /nfs/dbraw/zinc/96/34/03/357963403.db2.gz WYEOZFVAQLOHIL-JTQLQIEISA-N -1 1 313.375 1.925 20 0 DDADMM CSCC[C@H](NC(=O)c1cc2ccccc2cc1[O-])C(N)=O ZINC000600261197 358173917 /nfs/dbraw/zinc/17/39/17/358173917.db2.gz UURJABDNZSIKEG-ZDUSSCGKSA-N -1 1 318.398 1.882 20 0 DDADMM COC(=O)[C@@H](C[C@@H]1CCCOC1)NC(=O)c1ncc(C)cc1[O-] ZINC000600455305 358226381 /nfs/dbraw/zinc/22/63/81/358226381.db2.gz JIVUREMSIUOVHT-NWDGAFQWSA-N -1 1 322.361 1.184 20 0 DDADMM COC(=O)C[C@H](O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000600461212 358228992 /nfs/dbraw/zinc/22/89/92/358228992.db2.gz YOYJOTALNYXXBI-QMMMGPOBSA-N -1 1 321.251 1.065 20 0 DDADMM Cc1nc(-c2ccsc2)ccc1C(=O)NCc1nn[n-]n1 ZINC000600495393 358240076 /nfs/dbraw/zinc/24/00/76/358240076.db2.gz MCUXOKSWRVCLBN-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM COC[C@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CCO1 ZINC000180592646 199181171 /nfs/dbraw/zinc/18/11/71/199181171.db2.gz CKCKWFKUMKYLDD-SNVBAGLBSA-N -1 1 319.279 1.898 20 0 DDADMM COc1ccccc1-c1cc(=NC(=O)c2cncc([O-])c2)[nH][nH]1 ZINC000600974932 358365240 /nfs/dbraw/zinc/36/52/40/358365240.db2.gz JOBZQIDYXBIJSH-UHFFFAOYSA-N -1 1 310.313 1.860 20 0 DDADMM CCOC(=O)[C@@](C)(O)CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601440789 358555062 /nfs/dbraw/zinc/55/50/62/358555062.db2.gz XZOMZHAICCSLER-AWEZNQCLSA-N -1 1 315.753 1.018 20 0 DDADMM CN(C)C(=O)NCCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000181041545 199243926 /nfs/dbraw/zinc/24/39/26/199243926.db2.gz WUOSISUEWLGGON-UHFFFAOYSA-N -1 1 319.283 1.412 20 0 DDADMM COC(=O)[C@@H](CC(F)F)[N-]S(=O)(=O)CCC(C)(F)F ZINC000601382013 358527110 /nfs/dbraw/zinc/52/71/10/358527110.db2.gz LJYDOLQTDAKUPV-ZCFIWIBFSA-N -1 1 309.281 1.148 20 0 DDADMM COC(=O)[C@@]1(C)C[C@@H](OC)CN1C(=O)c1cc(F)ccc1[O-] ZINC000601734579 358669918 /nfs/dbraw/zinc/66/99/18/358669918.db2.gz DLZNFENQLRWZDA-MEBBXXQBSA-N -1 1 311.309 1.324 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2[C@@H]3CCCCCC[C@@H]23)n[n-]1 ZINC000603022734 359364820 /nfs/dbraw/zinc/36/48/20/359364820.db2.gz PRUVWMGYXGFGNO-GHMZBOCLSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2[C@@H]3CCCCCC[C@@H]23)n1 ZINC000603022734 359364824 /nfs/dbraw/zinc/36/48/24/359364824.db2.gz PRUVWMGYXGFGNO-GHMZBOCLSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2CCC(F)(F)C2)n[n-]1 ZINC000603152232 359439633 /nfs/dbraw/zinc/43/96/33/359439633.db2.gz BQFQGQUZSBZHFR-SFYZADRCSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2CCC(F)(F)C2)[n-]1 ZINC000603152232 359439636 /nfs/dbraw/zinc/43/96/36/359439636.db2.gz BQFQGQUZSBZHFR-SFYZADRCSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2CCC(F)(F)C2)n1 ZINC000603152232 359439638 /nfs/dbraw/zinc/43/96/38/359439638.db2.gz BQFQGQUZSBZHFR-SFYZADRCSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2C[C@H]2CC(C)C)n[n-]1 ZINC000603154342 359441764 /nfs/dbraw/zinc/44/17/64/359441764.db2.gz LIVSMXILHIAXOS-GMTAPVOTSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2C[C@H]2CC(C)C)[n-]1 ZINC000603154342 359441768 /nfs/dbraw/zinc/44/17/68/359441768.db2.gz LIVSMXILHIAXOS-GMTAPVOTSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2C[C@H]2CC(C)C)n1 ZINC000603154342 359441774 /nfs/dbraw/zinc/44/17/74/359441774.db2.gz LIVSMXILHIAXOS-GMTAPVOTSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](C)C2CCCC2)n[n-]1 ZINC000603154345 359441963 /nfs/dbraw/zinc/44/19/63/359441963.db2.gz LMFLEUDLXVTEFH-UWVGGRQHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](C)C2CCCC2)[n-]1 ZINC000603154345 359441965 /nfs/dbraw/zinc/44/19/65/359441965.db2.gz LMFLEUDLXVTEFH-UWVGGRQHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](C)C2CCCC2)n1 ZINC000603154345 359441969 /nfs/dbraw/zinc/44/19/69/359441969.db2.gz LMFLEUDLXVTEFH-UWVGGRQHSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2csc(C)c2)n[n-]1 ZINC000603157293 359444873 /nfs/dbraw/zinc/44/48/73/359444873.db2.gz VVPFTHADAIODAN-MRVPVSSYSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2csc(C)c2)[n-]1 ZINC000603157293 359444876 /nfs/dbraw/zinc/44/48/76/359444876.db2.gz VVPFTHADAIODAN-MRVPVSSYSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2csc(C)c2)n1 ZINC000603157293 359444879 /nfs/dbraw/zinc/44/48/79/359444879.db2.gz VVPFTHADAIODAN-MRVPVSSYSA-N -1 1 308.363 1.842 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2ccc(Cl)cc2F)n1 ZINC000358954406 299290505 /nfs/dbraw/zinc/29/05/05/299290505.db2.gz OLNFYEGWSOBNIM-UHFFFAOYSA-N -1 1 304.734 1.960 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)NCc2ccc(C)cc2)n1 ZINC000187597679 200132943 /nfs/dbraw/zinc/13/29/43/200132943.db2.gz RYXBIQAUSFAUCM-UHFFFAOYSA-N -1 1 319.386 1.913 20 0 DDADMM CC(C)c1nnc(CNC(=O)C(=O)c2ccc([O-])cc2)n1C ZINC000281124131 216094607 /nfs/dbraw/zinc/09/46/07/216094607.db2.gz XVKVUGZILKIRSY-UHFFFAOYSA-N -1 1 302.334 1.143 20 0 DDADMM CCN(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000188440108 200246583 /nfs/dbraw/zinc/24/65/83/200246583.db2.gz ZHNGPAUMLGEVPZ-QMMMGPOBSA-N -1 1 306.334 1.110 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]1CCO)c1cc(F)ccc1F ZINC000188899934 200318460 /nfs/dbraw/zinc/31/84/60/200318460.db2.gz AYJJYAPXYKSGAB-BXKDBHETSA-N -1 1 305.346 1.794 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2sc(C)cc2C)n1 ZINC000292383965 223038256 /nfs/dbraw/zinc/03/82/56/223038256.db2.gz UVKPFAIREJVZQS-UHFFFAOYSA-N -1 1 314.392 1.469 20 0 DDADMM CO[C@H]1C[C@H](c2nc(C)no2)N(C(=O)c2cc(F)ccc2[O-])C1 ZINC000189102789 200349204 /nfs/dbraw/zinc/34/92/04/200349204.db2.gz XJZNXDPFKRRRCT-CMPLNLGQSA-N -1 1 321.308 1.825 20 0 DDADMM CC(C)(NC(=O)CCOc1cccc(Cl)c1)c1nn[n-]n1 ZINC000607952326 360077732 /nfs/dbraw/zinc/07/77/32/360077732.db2.gz PFMPYVMQWCRDQX-UHFFFAOYSA-N -1 1 309.757 1.674 20 0 DDADMM COC(=O)CCN(Cc1ccccc1)C(=O)c1cncc([O-])c1 ZINC000609548872 360323211 /nfs/dbraw/zinc/32/32/11/360323211.db2.gz FRZFMDTUTSULFV-UHFFFAOYSA-N -1 1 314.341 1.993 20 0 DDADMM CCCCS(=O)(=O)[N-][C@@H](C(=O)OC)c1ccccc1F ZINC000610702966 360510447 /nfs/dbraw/zinc/51/04/47/360510447.db2.gz TWYAILNZANUZQM-GFCCVEGCSA-N -1 1 303.355 1.759 20 0 DDADMM N=c1nc(N2CCN(C(=O)c3ccc(F)s3)CC2)s[n-]1 ZINC000612119370 360924682 /nfs/dbraw/zinc/92/46/82/360924682.db2.gz NBJGKPRBQXQTNO-UHFFFAOYSA-N -1 1 313.383 1.114 20 0 DDADMM CCOC(=O)[C@@H](CCOC)NC(=O)c1ccc(Cl)cc1[O-] ZINC000281502694 216346389 /nfs/dbraw/zinc/34/63/89/216346389.db2.gz JTWGGUGVOXELMC-LLVKDONJSA-N -1 1 315.753 1.744 20 0 DDADMM CCN(CCNC(=O)c1cc(=O)n(C)[n-]1)CCC(F)(F)F ZINC000613975024 361646859 /nfs/dbraw/zinc/64/68/59/361646859.db2.gz QUFKNFUMKYMQBI-UHFFFAOYSA-N -1 1 308.304 1.130 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)C[C@H](O)CC1(O)CCC1 ZINC000625326924 366852222 /nfs/dbraw/zinc/85/22/22/366852222.db2.gz DFMLABBHRYEXOR-SNVBAGLBSA-N -1 1 323.418 1.495 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)N=c1[nH]n(C)cc1C ZINC000620921879 364609301 /nfs/dbraw/zinc/60/93/01/364609301.db2.gz GEWJRGFUNDRNFN-UHFFFAOYSA-N -1 1 322.390 1.164 20 0 DDADMM COc1ccc2c(c1)OC[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000275350861 212286412 /nfs/dbraw/zinc/28/64/12/212286412.db2.gz QNEAUVUGXAZYBY-NSHDSACASA-N -1 1 300.314 1.529 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1CC2(C1)CCCCC2 ZINC000621992529 365175891 /nfs/dbraw/zinc/17/58/91/365175891.db2.gz ZKOXIEUWZPBMJH-UHFFFAOYSA-N -1 1 304.394 1.799 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@H]1CCCO1 ZINC000091160333 193008721 /nfs/dbraw/zinc/00/87/21/193008721.db2.gz YGTFIPGLNWQKBV-LLVKDONJSA-N -1 1 302.327 1.315 20 0 DDADMM CCC[C@H](NC(=O)Cc1cccc2cnccc21)c1nn[n-]n1 ZINC000626024745 367281863 /nfs/dbraw/zinc/28/18/63/367281863.db2.gz UHZJBOGTCKSMCM-AWEZNQCLSA-N -1 1 310.361 1.948 20 0 DDADMM Cc1ccc(CO[C@H](C)C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000626443653 367547654 /nfs/dbraw/zinc/54/76/54/367547654.db2.gz PCXFKCXRJXZCBJ-QWHCGFSZSA-N -1 1 317.393 1.675 20 0 DDADMM COc1cc(C(=O)[O-])cc(NS(=O)(=O)CCCF)c1OC ZINC000275080057 212109467 /nfs/dbraw/zinc/10/94/67/212109467.db2.gz YKOUTEJOTXMDET-UHFFFAOYSA-N -1 1 321.326 1.503 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC3(CCSCC3)CC2)C1=O ZINC000635020477 422774471 /nfs/dbraw/zinc/77/44/71/422774471.db2.gz NSHUZABOWYGNLT-LBPRGKRZSA-N -1 1 312.435 1.281 20 0 DDADMM CC[C@@H](CSC)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000271715799 209149491 /nfs/dbraw/zinc/14/94/91/209149491.db2.gz VWASHLLTETWUGH-QMMMGPOBSA-N -1 1 307.393 1.486 20 0 DDADMM CN(Cc1nncn1C)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000288329140 220087642 /nfs/dbraw/zinc/08/76/42/220087642.db2.gz OTDZWORQIBFUTL-UHFFFAOYSA-N -1 1 314.267 1.812 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cccc(F)c1F ZINC000288537164 220214693 /nfs/dbraw/zinc/21/46/93/220214693.db2.gz OPVWUKZYEDWWMT-UHFFFAOYSA-N -1 1 307.318 1.906 20 0 DDADMM Cc1ccc(O)c(C[N@@H+]2CCC[C@@]3(CCS(=O)(=O)C3)C2)n1 ZINC000333628687 249048611 /nfs/dbraw/zinc/04/86/11/249048611.db2.gz ZRXAJKQUPSJBJX-OAHLLOKOSA-N -1 1 310.419 1.496 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCC[C@H](C(=O)NC(C)C)C2)c([O-])c1 ZINC000334079901 249207357 /nfs/dbraw/zinc/20/73/57/249207357.db2.gz PPFXSTWBKAACTR-STQMWFEESA-N -1 1 319.405 1.909 20 0 DDADMM Cc1cccc(Br)c1C(=O)N=c1ccc(O)n[n-]1 ZINC000336794779 249234225 /nfs/dbraw/zinc/23/42/25/249234225.db2.gz FPMINXNJUSSYHH-UHFFFAOYSA-N -1 1 308.135 1.927 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cccc(Cl)c1C ZINC000337134625 249360410 /nfs/dbraw/zinc/36/04/10/249360410.db2.gz CTLYAVUAKYZUOV-UHFFFAOYSA-N -1 1 306.753 1.714 20 0 DDADMM COc1cncc(S(=O)(=O)Nc2cccc(C(=O)[O-])c2)c1 ZINC000337146369 249365036 /nfs/dbraw/zinc/36/50/36/249365036.db2.gz YHXSDVIJRBPEPE-UHFFFAOYSA-N -1 1 308.315 1.589 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc(C)n(C(C)(C)C)n1 ZINC000337146573 249365719 /nfs/dbraw/zinc/36/57/19/249365719.db2.gz IHDXROLTHRRFDF-UHFFFAOYSA-N -1 1 318.381 1.012 20 0 DDADMM CC(C)(C)NC(=O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000042630821 183292616 /nfs/dbraw/zinc/29/26/16/183292616.db2.gz XWZKKKVITIULCY-UHFFFAOYSA-N -1 1 324.324 1.297 20 0 DDADMM Cc1nc[nH]c1CC(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000567328183 304212357 /nfs/dbraw/zinc/21/23/57/304212357.db2.gz HOFKALSKWIPZAQ-UHFFFAOYSA-N -1 1 317.299 1.990 20 0 DDADMM CCOc1cc(C(=O)N[C@H]2CCC(=O)NC2)cc(Cl)c1[O-] ZINC000273991940 211249318 /nfs/dbraw/zinc/24/93/18/211249318.db2.gz XGANRLJGIPALCB-VIFPVBQESA-N -1 1 312.753 1.453 20 0 DDADMM O=S(=O)([N-][C@@H]1c2ccccc2C[C@@H]1O)c1sccc1F ZINC000338902998 250190262 /nfs/dbraw/zinc/19/02/62/250190262.db2.gz KIEDHFHVFKPABA-NWDGAFQWSA-N -1 1 313.375 1.824 20 0 DDADMM C[C@@H]1C[C@H]1N1C[C@@H]([N-]S(=O)(=O)c2sccc2F)CC1=O ZINC000338921821 250197689 /nfs/dbraw/zinc/19/76/89/250197689.db2.gz VJKWKDDUCLLRKO-KHQFGBGNSA-N -1 1 318.395 1.175 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](CC)C(C)(C)O)o1 ZINC000339069538 250277560 /nfs/dbraw/zinc/27/75/60/250277560.db2.gz JHXJQZZUOTZRQT-SNVBAGLBSA-N -1 1 319.379 1.284 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2nc(C(N)=O)cs2)c1 ZINC000339166216 250323350 /nfs/dbraw/zinc/32/33/50/250323350.db2.gz DFNOQENOYQJLNT-UHFFFAOYSA-N -1 1 320.330 1.158 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2cc(C)ccc2C)n1 ZINC000285037380 218266024 /nfs/dbraw/zinc/26/60/24/218266024.db2.gz GLHUSKDGWZDGEG-UHFFFAOYSA-N -1 1 308.359 1.787 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C)n1ccc(C(F)(F)F)n1 ZINC000633191014 422797492 /nfs/dbraw/zinc/79/74/92/422797492.db2.gz PMRSFHBFSQKGET-LURJTMIESA-N -1 1 303.244 1.839 20 0 DDADMM C[C@H](Sc1nc(C(F)(F)F)cc(=O)[n-]1)C(=O)NC1CC1 ZINC000109713642 194279798 /nfs/dbraw/zinc/27/97/98/194279798.db2.gz MWDPZEHZEJUDPX-YFKPBYRVSA-N -1 1 307.297 1.960 20 0 DDADMM Cc1cc(C)n(-c2ccc(CNC(=O)c3cncc([O-])c3)cn2)n1 ZINC000109953096 194300095 /nfs/dbraw/zinc/30/00/95/194300095.db2.gz YEZQSOYNOKRRFL-UHFFFAOYSA-N -1 1 323.356 1.915 20 0 DDADMM Cn1c2ccccc2n(CC(=O)Nc2ccc(F)cc2[O-])c1=O ZINC000340331918 251011771 /nfs/dbraw/zinc/01/17/71/251011771.db2.gz FEKWIJNTQCDMOC-UHFFFAOYSA-N -1 1 315.304 1.823 20 0 DDADMM CN(C)C[C@H]1CCCN1S(=O)(=O)c1ccc(C(=O)[O-])s1 ZINC000061643593 184180112 /nfs/dbraw/zinc/18/01/12/184180112.db2.gz GVSZXVMFDOWERP-SECBINFHSA-N -1 1 318.420 1.161 20 0 DDADMM CS(=O)(=O)[N-]c1ccc(Oc2nc(C3CC3)ns2)cn1 ZINC000340926824 251281924 /nfs/dbraw/zinc/28/19/24/251281924.db2.gz OVSKPDXMYLYOCS-UHFFFAOYSA-N -1 1 312.376 1.974 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cnn(C(F)F)c1 ZINC000340967098 251308301 /nfs/dbraw/zinc/30/83/01/251308301.db2.gz JXMJDUNABMGCFT-UHFFFAOYSA-N -1 1 311.310 1.219 20 0 DDADMM O=c1cc(CS(=O)(=O)c2ccc([O-])cc2)nc2ccccn12 ZINC000063927200 184290225 /nfs/dbraw/zinc/29/02/25/184290225.db2.gz KCJFLDBDXHQXOO-UHFFFAOYSA-N -1 1 316.338 1.374 20 0 DDADMM C[C@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C(C)(F)F ZINC000294386329 224003740 /nfs/dbraw/zinc/00/37/40/224003740.db2.gz CSEVOCNFCHOGHP-ZCFIWIBFSA-N -1 1 308.306 1.269 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2c[n-]c3c(cnn3C)c2=O)[C@H](C)O1 ZINC000412650749 224064591 /nfs/dbraw/zinc/06/45/91/224064591.db2.gz KQXWRPGLQGTPEC-KXUCPTDWSA-N -1 1 304.350 1.217 20 0 DDADMM COCC(C)(C)CCCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000359801468 207385670 /nfs/dbraw/zinc/38/56/70/207385670.db2.gz WTHSOZBZXHEKBC-UHFFFAOYSA-N -1 1 323.393 1.086 20 0 DDADMM Cc1cccc([C@@H]2CCCN2C(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000352447137 285056685 /nfs/dbraw/zinc/05/66/85/285056685.db2.gz IZLQGSDFDZBTQY-AWEZNQCLSA-N -1 1 323.356 1.703 20 0 DDADMM Cn1cnnc1-c1ccnc([N-]S(=O)(=O)CCCCF)c1 ZINC000288679621 220343578 /nfs/dbraw/zinc/34/35/78/220343578.db2.gz WUMBHVNLBBGDQE-UHFFFAOYSA-N -1 1 313.358 1.369 20 0 DDADMM CN(C)C(=O)c1ccc(=NC[C@@H](CO)CC2CCCC2)[n-]n1 ZINC000413262617 224164058 /nfs/dbraw/zinc/16/40/58/224164058.db2.gz GDXOLWGZCFJLPI-ZDUSSCGKSA-N -1 1 306.410 1.201 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(Br)ccc1F ZINC000154446260 196965915 /nfs/dbraw/zinc/96/59/15/196965915.db2.gz JFGBVAZIYUGNLS-UHFFFAOYSA-N -1 1 312.160 1.976 20 0 DDADMM COc1ccc([C@H](NCc2cnn(C)c2C)C(=O)[O-])cc1F ZINC000417576215 533199359 /nfs/dbraw/zinc/19/93/59/533199359.db2.gz UKGUSQYBGMFTKQ-AWEZNQCLSA-N -1 1 307.325 1.792 20 0 DDADMM Cc1cc(CN[C@H]2CCN([C@H](C(=O)[O-])C(C)C)C2=O)ccc1F ZINC000414664768 224370367 /nfs/dbraw/zinc/37/03/67/224370367.db2.gz TXTNIKYCCYIGJL-GJZGRUSLSA-N -1 1 322.380 1.934 20 0 DDADMM CCc1nc(SCC(=O)Nc2cc(C)nn2C)[n-]c(=O)c1C ZINC000568271321 304282973 /nfs/dbraw/zinc/28/29/73/304282973.db2.gz AQJCMAJTMGPSJB-UHFFFAOYSA-N -1 1 321.406 1.826 20 0 DDADMM CSCCC[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000293493532 533572462 /nfs/dbraw/zinc/57/24/62/533572462.db2.gz NGAMMQHGVXFGHR-UHFFFAOYSA-N -1 1 317.358 1.470 20 0 DDADMM COC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000416616515 533538754 /nfs/dbraw/zinc/53/87/54/533538754.db2.gz MGLCFIKIHFRMBO-KNVOCYPGSA-N -1 1 323.292 1.334 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H](CO)Cc2ccccc2)sc1C ZINC000433614789 533646889 /nfs/dbraw/zinc/64/68/89/533646889.db2.gz GLWAPCIJFJJXDQ-LBPRGKRZSA-N -1 1 306.387 1.676 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H]2CC(=O)N(C(C)(C)C)C2)sc1C ZINC000433776020 533648231 /nfs/dbraw/zinc/64/82/31/533648231.db2.gz UVACRDPAWKOXKG-VIFPVBQESA-N -1 1 311.407 1.471 20 0 DDADMM C[C@H]1CCNC(=O)[C@@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451500874 533659263 /nfs/dbraw/zinc/65/92/63/533659263.db2.gz GNXORDIXELDJJB-POYBYMJQSA-N -1 1 308.812 1.204 20 0 DDADMM O=C(N=c1[n-]nc([C@@H]2CCCO2)s1)c1[nH]nc2c1CCC2 ZINC000636355246 422816405 /nfs/dbraw/zinc/81/64/05/422816405.db2.gz ZSNIVRWSMBCSEP-VIFPVBQESA-N -1 1 305.363 1.276 20 0 DDADMM Cc1ccc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)c(F)c1 ZINC000340364613 292180893 /nfs/dbraw/zinc/18/08/93/292180893.db2.gz BLVXNLUIJXDJSU-UHFFFAOYSA-N -1 1 320.349 1.590 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C)[C@H]2CCCC[C@@H]21 ZINC000416206185 286188334 /nfs/dbraw/zinc/18/83/34/286188334.db2.gz TXXDEVLBNJMEKL-AEJSXWLSSA-N -1 1 307.350 1.547 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2(CO)CCCCC2)sc1C ZINC000676864786 486349911 /nfs/dbraw/zinc/34/99/11/486349911.db2.gz IIHCUIVRNOWYAX-UHFFFAOYSA-N -1 1 318.464 1.981 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)[C@@H](C)CC ZINC000360748347 299737019 /nfs/dbraw/zinc/73/70/19/299737019.db2.gz WDSANQBBIFSUBT-SDDRHHMPSA-N -1 1 307.412 1.063 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H]1c1ccccc1 ZINC000579785322 422828560 /nfs/dbraw/zinc/82/85/60/422828560.db2.gz AXTJOBRYWNTBNC-UWVGGRQHSA-N -1 1 301.302 1.182 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000570203187 304401629 /nfs/dbraw/zinc/40/16/29/304401629.db2.gz UBUOCSWKPBFKRW-CLLJXQQHSA-N -1 1 314.389 1.899 20 0 DDADMM Cc1cc(C(=O)N2CCC(c3n[n-]c(=S)n3C)CC2)[nH]n1 ZINC000068427849 406641427 /nfs/dbraw/zinc/64/14/27/406641427.db2.gz ZYEYPZJAFHLIMD-UHFFFAOYSA-N -1 1 306.395 1.529 20 0 DDADMM O=S(=O)([N-]c1cccc(-c2nn[nH]n2)c1)c1ccccc1 ZINC000007709230 406765897 /nfs/dbraw/zinc/76/58/97/406765897.db2.gz DQAVLLSWLGOAKB-UHFFFAOYSA-N -1 1 301.331 1.668 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCc2ccc(Cl)cc2)C1 ZINC000035296749 406970847 /nfs/dbraw/zinc/97/08/47/406970847.db2.gz AYUKPVWQXGYPEJ-LBPRGKRZSA-N -1 1 310.781 1.753 20 0 DDADMM O=C([O-])[C@H]1CC=CC[C@@H]1C(=O)Nc1ccc([N+](=O)[O-])cc1O ZINC000029006775 406920790 /nfs/dbraw/zinc/92/07/90/406920790.db2.gz IJABJRBRUZXSIA-UWVGGRQHSA-N -1 1 306.274 1.906 20 0 DDADMM CCOCCCNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000029894138 406928162 /nfs/dbraw/zinc/92/81/62/406928162.db2.gz NBYCFAHFOGPDES-UHFFFAOYSA-N -1 1 313.423 1.276 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc(C(F)(F)F)cc2)n1 ZINC000044455377 407023386 /nfs/dbraw/zinc/02/33/86/407023386.db2.gz UWQUVPMEAGTCCQ-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2ccccc2Br)cn1 ZINC000048440670 407098175 /nfs/dbraw/zinc/09/81/75/407098175.db2.gz VNVOCLXBBINNSI-UHFFFAOYSA-N -1 1 316.180 1.983 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2ccc(OC)cc2)cc1 ZINC000047653521 407079603 /nfs/dbraw/zinc/07/96/03/407079603.db2.gz AXHDDMZJVXXUGX-UHFFFAOYSA-N -1 1 320.370 1.856 20 0 DDADMM O=C(NCCOCc1ccccc1)c1nc2ccccc2c(=O)[n-]1 ZINC000083058875 407080761 /nfs/dbraw/zinc/08/07/61/407080761.db2.gz RVFGPMRNULUMCR-UHFFFAOYSA-N -1 1 323.352 1.870 20 0 DDADMM C[C@@H](OC[C@H]1CCCCO1)C(=O)Nc1nc(-c2ccco2)n[n-]1 ZINC000066913828 407262976 /nfs/dbraw/zinc/26/29/76/407262976.db2.gz OJLUOTPXWQYMLJ-GHMZBOCLSA-N -1 1 320.349 1.399 20 0 DDADMM Cc1[nH]c(=S)sc1CC(=O)NCc1n[n-]c(=S)n1C ZINC000066625428 407256604 /nfs/dbraw/zinc/25/66/04/407256604.db2.gz SONGKSAZRDDZMN-UHFFFAOYSA-N -1 1 315.449 1.390 20 0 DDADMM O=C(NC[C@@H](O)C(F)F)c1ccc(Br)c([O-])c1 ZINC000227040259 163337350 /nfs/dbraw/zinc/33/73/50/163337350.db2.gz WGOQLECHPJKKHK-MRVPVSSYSA-N -1 1 310.094 1.511 20 0 DDADMM Cc1nc(Cc2ccc(F)cc2)sc1C(=O)Nc1nn[n-]n1 ZINC000102895048 407328651 /nfs/dbraw/zinc/32/86/51/407328651.db2.gz KBDHJOYFHGZSEI-UHFFFAOYSA-N -1 1 318.337 1.947 20 0 DDADMM CO[C@@](C)(C(=O)NCCc1n[n-]c(=S)n1C)c1ccccc1 ZINC000067050986 407266368 /nfs/dbraw/zinc/26/63/68/407266368.db2.gz QGPLAJJUGHRHNI-OAHLLOKOSA-N -1 1 320.418 1.698 20 0 DDADMM Cn1c(CNC(=O)[C@@H]2CC(c3ccccc3)=NO2)n[n-]c1=S ZINC000067050223 407266813 /nfs/dbraw/zinc/26/68/13/407266813.db2.gz ZJFVNVYGJLNCII-NSHDSACASA-N -1 1 317.374 1.287 20 0 DDADMM O=C1CCCN1CCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000101569935 407311904 /nfs/dbraw/zinc/31/19/04/407311904.db2.gz STOIOZBVKPBTEM-UHFFFAOYSA-N -1 1 318.345 1.256 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@](C)(O)c1ccccc1)c1nn[n-]n1 ZINC000124068517 407347380 /nfs/dbraw/zinc/34/73/80/407347380.db2.gz CRHYSJRWITVNMQ-NHYWBVRUSA-N -1 1 303.366 1.059 20 0 DDADMM COC(=O)N1CCC[C@@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000104313496 407350820 /nfs/dbraw/zinc/35/08/20/407350820.db2.gz IMOAPWFTGUPLID-ZCFIWIBFSA-N -1 1 321.259 1.240 20 0 DDADMM Cn1nnc2cc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)ccc21 ZINC000104313500 407350831 /nfs/dbraw/zinc/35/08/31/407350831.db2.gz RSUAITRGYXKABF-UHFFFAOYSA-N -1 1 311.227 1.358 20 0 DDADMM O=C(Nc1nccs1)[C@@H]1CCCN1C(=O)c1cncc([O-])c1 ZINC000111610257 407412252 /nfs/dbraw/zinc/41/22/52/407412252.db2.gz BYRGDEDREDBNGJ-NSHDSACASA-N -1 1 318.358 1.487 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](Cc2cc(=O)n3cc(Cl)ccc3n2)C1 ZINC000261670243 407575579 /nfs/dbraw/zinc/57/55/79/407575579.db2.gz LJMSGUZBQDFJMH-JTQLQIEISA-N -1 1 321.764 1.645 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H](O)CC(C)(C)C)c1Cl ZINC000178779435 407597633 /nfs/dbraw/zinc/59/76/33/407597633.db2.gz XTMHKQULVBHQSM-QMMMGPOBSA-N -1 1 309.819 1.149 20 0 DDADMM Cc1ccc(CNC(=O)CN2CCC(C(=O)[O-])CC2)cc1F ZINC000261784157 407614790 /nfs/dbraw/zinc/61/47/90/407614790.db2.gz XFDQTOSNGFKEBC-UHFFFAOYSA-N -1 1 308.353 1.547 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])c1ccc(-n2ccnn2)cc1 ZINC000152581032 407658166 /nfs/dbraw/zinc/65/81/66/407658166.db2.gz HZTVBEKNXCHZES-NSHDSACASA-N -1 1 309.329 1.859 20 0 DDADMM CCNC(=O)c1cc([N-]S(=O)(=O)CC)nc2ccccc21 ZINC000130216442 407666532 /nfs/dbraw/zinc/66/65/32/407666532.db2.gz KAPOKYXVDJGGCY-UHFFFAOYSA-N -1 1 307.375 1.746 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1CCOc3ccccc31)c2=O ZINC000179392775 407783198 /nfs/dbraw/zinc/78/31/98/407783198.db2.gz MFXREOVWARGYBE-CYBMUJFWSA-N -1 1 324.340 1.928 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1ccnc(C(=O)N(C)C)c1 ZINC000132291239 407778403 /nfs/dbraw/zinc/77/84/03/407778403.db2.gz XZTUQHJSPFFXNF-UHFFFAOYSA-N -1 1 319.386 1.893 20 0 DDADMM CS(=O)(=O)c1cccc(Cl)c1C(=O)[N-]c1nncs1 ZINC000354291839 298394669 /nfs/dbraw/zinc/39/46/69/298394669.db2.gz PVWOPZPEABWMMI-UHFFFAOYSA-N -1 1 317.779 1.847 20 0 DDADMM CCOC(=O)C[C@H](C)N(C)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000182367857 306704157 /nfs/dbraw/zinc/70/41/57/306704157.db2.gz OPSGXNBRFSYJRC-JTQLQIEISA-N -1 1 317.345 1.337 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cc(F)c([O-])c(F)c2)n[nH]1 ZINC000186988570 407810021 /nfs/dbraw/zinc/81/00/21/407810021.db2.gz HKIXZGWCODWAMQ-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1cnn(Cc2ccccn2)c1 ZINC000172367998 407822478 /nfs/dbraw/zinc/82/24/78/407822478.db2.gz WHBAHYCHIPHTIA-AWEZNQCLSA-N -1 1 322.390 1.247 20 0 DDADMM CC(C)(C)C(=O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000133411750 407840722 /nfs/dbraw/zinc/84/07/22/407840722.db2.gz LUUOHJFYNKBGJM-UHFFFAOYSA-N -1 1 309.309 1.997 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H]3CCC[C@H]32)co1 ZINC000153645454 407867000 /nfs/dbraw/zinc/86/70/00/407867000.db2.gz YBQSJGBXBRVHSX-ZYHUDNBSSA-N -1 1 312.391 1.592 20 0 DDADMM CO[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC[C@H]1C ZINC000187751790 407888006 /nfs/dbraw/zinc/88/80/06/407888006.db2.gz BZBBFEPRJNXOAD-SKDRFNHKSA-N -1 1 304.350 1.171 20 0 DDADMM CC[C@H]1c2ccsc2CCN1C(=O)CN(C)CCC(=O)[O-] ZINC000262652907 407891645 /nfs/dbraw/zinc/89/16/45/407891645.db2.gz LQOWBEGEKOXMCJ-LBPRGKRZSA-N -1 1 310.419 1.990 20 0 DDADMM CCC[C@@H](NC(=O)COc1cccc(Cl)c1)c1nn[n-]n1 ZINC000268241644 407963859 /nfs/dbraw/zinc/96/38/59/407963859.db2.gz VBNWIPMGJAOUID-LLVKDONJSA-N -1 1 309.757 1.890 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ncccc2F)c1 ZINC000154474289 408041771 /nfs/dbraw/zinc/04/17/71/408041771.db2.gz GOEFKGCDHPIHGC-UHFFFAOYSA-N -1 1 314.294 1.079 20 0 DDADMM CCSCC[C@H](C)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000135345165 408006944 /nfs/dbraw/zinc/00/69/44/408006944.db2.gz LUWSOXXQWUOHQZ-QMMMGPOBSA-N -1 1 311.860 1.884 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN([C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000263301804 408098271 /nfs/dbraw/zinc/09/82/71/408098271.db2.gz GRUJGDSISIMNFH-JMSVASOKSA-N -1 1 320.364 1.974 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc([S@](C)=O)cc1)c1nn[n-]n1 ZINC000136654389 408114676 /nfs/dbraw/zinc/11/46/76/408114676.db2.gz KHBMYLRQZWCLEM-WSVYEEACSA-N -1 1 307.379 1.208 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000263420895 408134502 /nfs/dbraw/zinc/13/45/02/408134502.db2.gz LAXDJSMLGRGJBM-HNNXBMFYSA-N -1 1 300.318 1.409 20 0 DDADMM COc1cc(C=O)ccc1OCCC(=O)[N-]O[C@H]1CCCCO1 ZINC000273302134 408143329 /nfs/dbraw/zinc/14/33/29/408143329.db2.gz ZYFGDEBARWTRKY-INIZCTEOSA-N -1 1 323.345 1.851 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CCO1 ZINC000175979401 408256216 /nfs/dbraw/zinc/25/62/16/408256216.db2.gz KYLLIEDDTHRGIF-LLVKDONJSA-N -1 1 307.394 1.627 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1C ZINC000273598506 408260481 /nfs/dbraw/zinc/26/04/81/408260481.db2.gz XKTNOZWHLQFAAE-ZETCQYMHSA-N -1 1 304.354 1.223 20 0 DDADMM CCN1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CC1=O ZINC000273473438 408214820 /nfs/dbraw/zinc/21/48/20/408214820.db2.gz KDPLNMQNWRYECG-UHFFFAOYSA-N -1 1 316.279 1.715 20 0 DDADMM CCONC(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000273683354 408287089 /nfs/dbraw/zinc/28/70/89/408287089.db2.gz GDYJHQBXOMCLLV-UHFFFAOYSA-N -1 1 303.340 1.140 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC1)c1sccc1Br ZINC000131764319 162020317 /nfs/dbraw/zinc/02/03/17/162020317.db2.gz DMAGCDFTAPFNTN-ZCFIWIBFSA-N -1 1 312.210 1.578 20 0 DDADMM CC(C)COC[C@H](O)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000159221819 408391675 /nfs/dbraw/zinc/39/16/75/408391675.db2.gz FVSJOQFUELHENJ-SNVBAGLBSA-N -1 1 323.361 1.277 20 0 DDADMM COc1ccccc1[C@@H](C)CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183413652 408405921 /nfs/dbraw/zinc/40/59/21/408405921.db2.gz OPQKVFBTTANAKF-RYUDHWBXSA-N -1 1 317.393 1.964 20 0 DDADMM COc1cc(Cl)ccc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183420579 408407795 /nfs/dbraw/zinc/40/77/95/408407795.db2.gz QLRKCDVCEOHMQG-QMMMGPOBSA-N -1 1 309.757 1.737 20 0 DDADMM C[C@@H](CN(C)C(=O)CCCOc1ccccc1)c1nn[n-]n1 ZINC000183447266 408413243 /nfs/dbraw/zinc/41/32/43/408413243.db2.gz DDVQLYQAZBCMBX-LBPRGKRZSA-N -1 1 303.366 1.621 20 0 DDADMM COc1cccc(CCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183441367 408414490 /nfs/dbraw/zinc/41/44/90/408414490.db2.gz SBQDYRMMKOHGEI-LLVKDONJSA-N -1 1 303.366 1.403 20 0 DDADMM CCC[C@H](NC(=O)CNC(=O)CC1CCCCC1)c1nn[n-]n1 ZINC000176767852 408436958 /nfs/dbraw/zinc/43/69/58/408436958.db2.gz JNIAOVOBJASKCU-LBPRGKRZSA-N -1 1 322.413 1.244 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(-n2cncn2)c1)c1nn[n-]n1 ZINC000176762911 408439142 /nfs/dbraw/zinc/43/91/42/408439142.db2.gz NYVNFTICFMTHHI-LBPRGKRZSA-N -1 1 312.337 1.052 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000264413788 408482418 /nfs/dbraw/zinc/48/24/18/408482418.db2.gz JWCPXVSYQLHVGQ-SNVBAGLBSA-N -1 1 307.350 1.526 20 0 DDADMM CO[C@](C)(C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccccc1 ZINC000274782562 408528898 /nfs/dbraw/zinc/52/88/98/408528898.db2.gz ODEPLYGLGZTPRL-ABAIWWIYSA-N -1 1 303.366 1.323 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(c2ncccc2F)CC1 ZINC000270543469 408572001 /nfs/dbraw/zinc/57/20/01/408572001.db2.gz LHBIPXWYICCBSI-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM COc1cc(OC)cc([C@@H](O)CNC(=O)c2cncc([O-])c2)c1 ZINC000265479676 408666229 /nfs/dbraw/zinc/66/62/29/408666229.db2.gz SAJDIPCVEQFKPQ-HNNXBMFYSA-N -1 1 318.329 1.268 20 0 DDADMM CCC1CCC(NC(=O)c2coc(S(=O)(=O)[N-]C)c2)CC1 ZINC000162887423 408743140 /nfs/dbraw/zinc/74/31/40/408743140.db2.gz UWFJBMCWLKJNRH-UHFFFAOYSA-N -1 1 314.407 1.886 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2nc(C)c3ccccc3n2)n[n-]1 ZINC000195053299 408809367 /nfs/dbraw/zinc/80/93/67/408809367.db2.gz NFZCISCUUWSUEZ-UHFFFAOYSA-N -1 1 317.374 1.593 20 0 DDADMM CCC[C@@H](NCC(=O)N(C)Cc1ccc(OC)cc1)C(=O)[O-] ZINC000185061215 408748024 /nfs/dbraw/zinc/74/80/24/408748024.db2.gz WNKRSPHUOZOGDJ-CQSZACIVSA-N -1 1 308.378 1.497 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c(C)[n-]c(=O)nc2SC)CC[C@@H]1C ZINC000276264733 408827918 /nfs/dbraw/zinc/82/79/18/408827918.db2.gz NDVRRRDWCFAESB-WCBMZHEXSA-N -1 1 311.407 1.710 20 0 DDADMM C[S@@](=O)C1(C[N-]S(=O)(=O)c2cccc(F)c2F)CCC1 ZINC000280783537 408832387 /nfs/dbraw/zinc/83/23/87/408832387.db2.gz PTSWIELLCUPBPP-LJQANCHMSA-N -1 1 323.386 1.544 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2OCCC[C@H]12)c1cc(F)c(F)cc1F ZINC000190646116 163149990 /nfs/dbraw/zinc/14/99/90/163149990.db2.gz KOJQXMNRNLUPMP-HFKOZYHYSA-N -1 1 321.320 1.950 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)C(C)(C)[N-]C(=O)C(F)(F)F)n[nH]1 ZINC000277436935 408940396 /nfs/dbraw/zinc/94/03/96/408940396.db2.gz WKBJRLCTWFAFEG-SSDOTTSWSA-N -1 1 320.315 1.222 20 0 DDADMM Cn1ccc(CCNC(=O)c2ccc(Br)c([O-])c2)n1 ZINC000230910049 163348759 /nfs/dbraw/zinc/34/87/59/163348759.db2.gz ZOPHNJZADJWLRL-UHFFFAOYSA-N -1 1 324.178 1.861 20 0 DDADMM COC(=O)[C@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000234533423 163352531 /nfs/dbraw/zinc/35/25/31/163352531.db2.gz XVDSUZCORCUNFX-LURJTMIESA-N -1 1 302.124 1.446 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)c3ccoc3)CC2)n1 ZINC000277747017 409004891 /nfs/dbraw/zinc/00/48/91/409004891.db2.gz PJHLUZGDIOYUIF-UHFFFAOYSA-N -1 1 318.333 1.594 20 0 DDADMM NC(=O)NC(=O)OCCCSc1nc(C2CC2)cc(=O)[n-]1 ZINC000277704978 408995735 /nfs/dbraw/zinc/99/57/35/408995735.db2.gz MZFNVYWPJZTUAV-UHFFFAOYSA-N -1 1 312.351 1.347 20 0 DDADMM CCOc1cc(C(=O)N(C)CCOCCO)cc(Cl)c1[O-] ZINC000282193567 409008110 /nfs/dbraw/zinc/00/81/10/409008110.db2.gz PWUOAEPSFZPZTD-UHFFFAOYSA-N -1 1 317.769 1.525 20 0 DDADMM CC[C@H](C)Oc1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000277844360 409023101 /nfs/dbraw/zinc/02/31/01/409023101.db2.gz JTEVDKYQAWEVBN-VIFPVBQESA-N -1 1 306.322 1.452 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1)c1nn[n-]n1 ZINC000282433625 409053914 /nfs/dbraw/zinc/05/39/14/409053914.db2.gz RWOAPBSHOSYPQQ-TVYUQYBPSA-N -1 1 303.341 1.704 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)Nc3cc4n(n3)CCCC4)ccnc1-2 ZINC000287579975 409058978 /nfs/dbraw/zinc/05/89/78/409058978.db2.gz LGMLSWSVKDOQKW-PDGQHHTCSA-N -1 1 311.349 1.519 20 0 DDADMM C[C@@H](NS(C)(=O)=O)c1nc(-c2ccc([O-])c(F)c2)no1 ZINC000278102982 409065960 /nfs/dbraw/zinc/06/59/60/409065960.db2.gz IGUYXLCRTBDKCV-ZCFIWIBFSA-N -1 1 301.299 1.192 20 0 DDADMM O=C(NCCc1cn2c(n1)SCC2)C(=O)c1ccc([O-])cc1 ZINC000287798519 409095379 /nfs/dbraw/zinc/09/53/79/409095379.db2.gz IWDWXXQVYVHVAJ-UHFFFAOYSA-N -1 1 317.370 1.236 20 0 DDADMM CCSCCCNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000283386468 409147351 /nfs/dbraw/zinc/14/73/51/409147351.db2.gz UCIUGEBRWJYTIZ-UHFFFAOYSA-N -1 1 306.409 1.061 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]Cc2ccncc2)c(C(F)(F)F)n1 ZINC000294001158 409223192 /nfs/dbraw/zinc/22/31/92/409223192.db2.gz JNFLTQDPYZBLED-UHFFFAOYSA-N -1 1 320.296 1.312 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cc(-c2nc[nH]n2)ccc1F ZINC000294117778 409243395 /nfs/dbraw/zinc/24/33/95/409243395.db2.gz DIXGMQCOJCAGOW-MRVPVSSYSA-N -1 1 314.342 1.387 20 0 DDADMM Cc1n[nH]cc1CCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000288975315 409202731 /nfs/dbraw/zinc/20/27/31/409202731.db2.gz RBVBYYYSRWOALY-UHFFFAOYSA-N -1 1 315.345 1.907 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)CCC(F)(F)F)c1ccco1 ZINC000284145280 409283799 /nfs/dbraw/zinc/28/37/99/409283799.db2.gz DYCRTYAXWZOZKK-QMMMGPOBSA-N -1 1 301.286 1.839 20 0 DDADMM CN1C[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)CCC1=O ZINC000284186112 409292934 /nfs/dbraw/zinc/29/29/34/409292934.db2.gz GXTQORXLYKTSCA-SECBINFHSA-N -1 1 320.773 1.378 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@H]2COC(=O)N2)c1 ZINC000295052106 409309648 /nfs/dbraw/zinc/30/96/48/409309648.db2.gz UQXROQQVESWXSA-MRVPVSSYSA-N -1 1 315.123 1.510 20 0 DDADMM CC[C@H](O)CS(=O)(=O)c1nc(-c2ccc3c(c2)CCC3)n[n-]1 ZINC000295993358 409393405 /nfs/dbraw/zinc/39/34/05/409393405.db2.gz RWCDSMORLGPUEO-ZDUSSCGKSA-N -1 1 321.402 1.505 20 0 DDADMM CC[C@H](O)CS(=O)(=O)c1n[n-]c(-c2ccc3c(c2)CCC3)n1 ZINC000295993358 409393411 /nfs/dbraw/zinc/39/34/11/409393411.db2.gz RWCDSMORLGPUEO-ZDUSSCGKSA-N -1 1 321.402 1.505 20 0 DDADMM O=C([O-])C1(NCc2cn(-c3ccc(F)c(Cl)c3)nn2)CC1 ZINC000284346177 409330921 /nfs/dbraw/zinc/33/09/21/409330921.db2.gz VTJMNNQHYZUPGV-UHFFFAOYSA-N -1 1 310.716 1.767 20 0 DDADMM Cc1nc([C@@H](C)NS(=O)(=O)c2ccc(C(=O)[O-])cc2C)n[nH]1 ZINC000285381815 409420273 /nfs/dbraw/zinc/42/02/73/409420273.db2.gz IVVMLIOABHCUIH-MRVPVSSYSA-N -1 1 324.362 1.159 20 0 DDADMM C[C@@H]1CCCN(Cc2ccc(S(C)(=O)=O)cc2)[C@@H]1C(=O)[O-] ZINC000314025346 164012215 /nfs/dbraw/zinc/01/22/15/164012215.db2.gz XMBUONPXUSGYMB-RISCZKNCSA-N -1 1 311.403 1.775 20 0 DDADMM CC(=O)Nc1cccc(NS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)c1 ZINC000314401796 164014743 /nfs/dbraw/zinc/01/47/43/164014743.db2.gz USCQAYWUAPXADQ-UHFFFAOYSA-N -1 1 323.330 1.472 20 0 DDADMM O=S(=O)([N-]CC[C@@H](O)C(F)(F)F)c1ccc(F)cc1F ZINC000350009841 164109953 /nfs/dbraw/zinc/10/99/53/164109953.db2.gz KMRZUPCECYWIPI-SECBINFHSA-N -1 1 319.251 1.556 20 0 DDADMM CC[C@@H]1C[C@@H](C)CN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000407995012 164192237 /nfs/dbraw/zinc/19/22/37/164192237.db2.gz FIPUCHYFQRNLRT-MWLCHTKSSA-N -1 1 300.380 1.448 20 0 DDADMM Cc1ccnc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000290704033 409493387 /nfs/dbraw/zinc/49/33/87/409493387.db2.gz NTFFXYIHPSZJJK-JTQLQIEISA-N -1 1 302.300 1.680 20 0 DDADMM CS(=O)(=O)N[C@@H]1CCN(Cc2cccc([O-])c2Cl)C1 ZINC000295493044 409506349 /nfs/dbraw/zinc/50/63/49/409506349.db2.gz XVFMMWAYRPZJSI-SNVBAGLBSA-N -1 1 304.799 1.169 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cnc2c(F)ccc(F)c2c1 ZINC000348801869 409517003 /nfs/dbraw/zinc/51/70/03/409517003.db2.gz AWBADMKLMJQIEM-UHFFFAOYSA-N -1 1 316.271 1.445 20 0 DDADMM CO[C@H](C)c1nc(=NCCCOC[C@H]2CCOC2)s[n-]1 ZINC000337879320 409533389 /nfs/dbraw/zinc/53/33/89/409533389.db2.gz STBUYWAXNKGVGE-GHMZBOCLSA-N -1 1 301.412 1.523 20 0 DDADMM CCC(CC)N(CCOC)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337944349 409588515 /nfs/dbraw/zinc/58/85/15/409588515.db2.gz BEIXFZIGRCZCIV-UHFFFAOYSA-N -1 1 323.393 1.181 20 0 DDADMM C[C@H](C(=O)NC1(c2nn[n-]n2)CC1)c1cn(C)c2ccccc12 ZINC000357052784 409822836 /nfs/dbraw/zinc/82/28/36/409822836.db2.gz RYEMFIJCAIEKRW-JTQLQIEISA-N -1 1 310.361 1.600 20 0 DDADMM COCc1n[n-]c(=NC[C@H](c2cccc(OC)c2)N(C)C)s1 ZINC000357028570 409807469 /nfs/dbraw/zinc/80/74/69/409807469.db2.gz OVXVDMHDPUTLEO-CYBMUJFWSA-N -1 1 322.434 1.830 20 0 DDADMM CCc1ccc(N2C[C@@H](C(=O)[N-]OC(C)(C)CO)CC2=O)cc1 ZINC000297273745 409886607 /nfs/dbraw/zinc/88/66/07/409886607.db2.gz SELOLOYUDLXYME-ZDUSSCGKSA-N -1 1 320.389 1.421 20 0 DDADMM CC[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C(C)(C)C ZINC000357360335 409993578 /nfs/dbraw/zinc/99/35/78/409993578.db2.gz DEVONVJQUUPTGA-JTQLQIEISA-N -1 1 302.396 1.742 20 0 DDADMM CCCc1occc1C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297760227 409988659 /nfs/dbraw/zinc/98/86/59/409988659.db2.gz OWVLLOGBOQZSAX-UHFFFAOYSA-N -1 1 303.322 1.495 20 0 DDADMM CCC(CC)n1nc(C)cc1C(=O)Nc1nn[nH]c1C(=O)NC ZINC000297760462 409989475 /nfs/dbraw/zinc/98/94/75/409989475.db2.gz OYXIENBSEVZBNW-UHFFFAOYSA-N -1 1 319.369 1.283 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(CC(=O)Nc2cc(C)ccc2C)C1 ZINC000324182790 409926057 /nfs/dbraw/zinc/92/60/57/409926057.db2.gz XPSNTGUCDRXMFQ-QGZVFWFLSA-N -1 1 320.389 1.665 20 0 DDADMM CC(C)c1ccoc1C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297870325 410030665 /nfs/dbraw/zinc/03/06/65/410030665.db2.gz ZLYCQULTCBVQIN-UHFFFAOYSA-N -1 1 303.322 1.666 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CC[S@@](=O)C1 ZINC000354705454 410030993 /nfs/dbraw/zinc/03/09/93/410030993.db2.gz JCCVUJLOBXCGGX-JLTPVHFHSA-N -1 1 311.407 1.039 20 0 DDADMM O=S(=O)([N-]Cc1ccc(CO)c(F)c1)c1sccc1F ZINC000338905714 410050024 /nfs/dbraw/zinc/05/00/24/410050024.db2.gz RYCCZQNRCYNZBM-UHFFFAOYSA-N -1 1 319.354 1.997 20 0 DDADMM COc1cccc(SCC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000354782006 410081819 /nfs/dbraw/zinc/08/18/19/410081819.db2.gz BCBMBZOLOKMJRY-UHFFFAOYSA-N -1 1 307.379 1.352 20 0 DDADMM C[C@H](CSc1ccccc1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354804635 410099521 /nfs/dbraw/zinc/09/95/21/410099521.db2.gz PJYXSBKADKHHOJ-SNVBAGLBSA-N -1 1 305.407 1.979 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CCO[C@H](C)C2)sc1C ZINC000329114306 410108743 /nfs/dbraw/zinc/10/87/43/410108743.db2.gz LYBWVJUFPHZINZ-KCJUWKMLSA-N -1 1 304.437 1.853 20 0 DDADMM NC(=O)c1cnc(N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(Cl)c1 ZINC000357688686 410189641 /nfs/dbraw/zinc/18/96/41/410189641.db2.gz UEAAELJNTCFIJZ-SSDOTTSWSA-N -1 1 323.740 1.307 20 0 DDADMM Cn1ccc2cccc(NC(=O)c3cc(=O)n4[n-]cnc4n3)c21 ZINC000351741546 410201428 /nfs/dbraw/zinc/20/14/28/410201428.db2.gz RUWQVKHVASOEHE-UHFFFAOYSA-N -1 1 308.301 1.162 20 0 DDADMM C[C@H]1CCCC[C@@H]1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000343324870 410356862 /nfs/dbraw/zinc/35/68/62/410356862.db2.gz RKXDDNFDXGIMRJ-NWDGAFQWSA-N -1 1 305.378 1.460 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC(C1CCC1)C1CCC1 ZINC000343378568 410408617 /nfs/dbraw/zinc/40/86/17/410408617.db2.gz XUGYJFRIMAJHPU-UHFFFAOYSA-N -1 1 317.389 1.602 20 0 DDADMM CCOc1ccc(NC(=O)CNC(=O)c2cncc([O-])c2)cc1 ZINC000339799227 410471005 /nfs/dbraw/zinc/47/10/05/410471005.db2.gz ISIVMJCPUGDBQT-UHFFFAOYSA-N -1 1 315.329 1.554 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)[C@@H]2CCOC2)o1 ZINC000355321383 410442312 /nfs/dbraw/zinc/44/23/12/410442312.db2.gz ILQWLFVCIRMYPM-NXEZZACHSA-N -1 1 317.363 1.160 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H](C)c2cn[nH]c2)c(=O)[n-]1 ZINC000339835785 410490901 /nfs/dbraw/zinc/49/09/01/410490901.db2.gz XNGMQIZAEYBJDH-MRVPVSSYSA-N -1 1 321.406 1.746 20 0 DDADMM CCCN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1COc2ccccc2O1 ZINC000347401197 410521127 /nfs/dbraw/zinc/52/11/27/410521127.db2.gz QNPJPQLYXPJERH-GFCCVEGCSA-N -1 1 318.377 1.160 20 0 DDADMM NC(=O)N1CCCc2ccc([N-]S(=O)(=O)C3COC3)cc21 ZINC000330179477 410573213 /nfs/dbraw/zinc/57/32/13/410573213.db2.gz MMAUHUICPIJTHJ-UHFFFAOYSA-N -1 1 311.363 1.072 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc2ncccc2c1 ZINC000339976571 410588922 /nfs/dbraw/zinc/58/89/22/410588922.db2.gz VSYRPMHLAVXHLU-UHFFFAOYSA-N -1 1 322.324 1.704 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1ccc(CNC(N)=O)cc1 ZINC000340090299 410669217 /nfs/dbraw/zinc/66/92/17/410669217.db2.gz SBLPBMXLRLCEJL-UHFFFAOYSA-N -1 1 319.386 1.964 20 0 DDADMM CNC(=O)CCCNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000359454983 410669590 /nfs/dbraw/zinc/66/95/90/410669590.db2.gz OETWFAUNYNTOIQ-UHFFFAOYSA-N -1 1 315.377 1.221 20 0 DDADMM CC(=O)NCCNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000301540460 410742672 /nfs/dbraw/zinc/74/26/72/410742672.db2.gz NWCQPAUMTPZZHB-UHFFFAOYSA-N -1 1 301.350 1.409 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1CSCCN1C(=O)c1cncc([O-])c1 ZINC000330594708 410809294 /nfs/dbraw/zinc/80/92/94/410809294.db2.gz BBBXCMNSOLGXFK-GFCCVEGCSA-N -1 1 324.402 1.687 20 0 DDADMM CC(C)(NC(=O)c1ccc(Cn2cccn2)cc1)c1nn[n-]n1 ZINC000359782092 410877299 /nfs/dbraw/zinc/87/72/99/410877299.db2.gz GWCPMMFDRMTIEL-UHFFFAOYSA-N -1 1 311.349 1.110 20 0 DDADMM O=C(CCc1cnn(-c2ccccc2)c1)NC1(c2nn[n-]n2)CC1 ZINC000348283522 410898197 /nfs/dbraw/zinc/89/81/97/410898197.db2.gz QPUNEFSFVFBTSP-UHFFFAOYSA-N -1 1 323.360 1.124 20 0 DDADMM CCSCc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348298974 410907161 /nfs/dbraw/zinc/90/71/61/410907161.db2.gz VFLZNELBBSPSMX-UHFFFAOYSA-N -1 1 303.391 1.872 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000344123844 410973229 /nfs/dbraw/zinc/97/32/29/410973229.db2.gz HAUXLNLVSKYMKX-YUMQZZPRSA-N -1 1 320.436 1.626 20 0 DDADMM CC(C)(NC(=O)c1cc(F)cc2cccnc21)c1nn[n-]n1 ZINC000348482942 410981489 /nfs/dbraw/zinc/98/14/89/410981489.db2.gz XWJZIEHRJVZJHC-UHFFFAOYSA-N -1 1 300.297 1.552 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)[N-]c2ccn(Cc3ccncc3)n2)C1 ZINC000331428483 411085492 /nfs/dbraw/zinc/08/54/92/411085492.db2.gz LSOCLVOVZRUUNQ-LBPRGKRZSA-N -1 1 321.406 1.325 20 0 DDADMM CC[C@H](CNC(=O)CCc1nn[n-]n1)Oc1cccc(C)c1 ZINC000631639865 422863685 /nfs/dbraw/zinc/86/36/85/422863685.db2.gz ROOGNXOGNJXTKD-GFCCVEGCSA-N -1 1 303.366 1.415 20 0 DDADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)c1cccc(Cl)c1 ZINC000353659972 411102258 /nfs/dbraw/zinc/10/22/58/411102258.db2.gz OBDVMQFKPUZWDD-UHFFFAOYSA-N -1 1 317.736 1.738 20 0 DDADMM O=C(NCC[N@@H+]1CC[C@H](O)C1)c1ccc(C(F)(F)F)c(O)c1 ZINC000629915443 422870475 /nfs/dbraw/zinc/87/04/75/422870475.db2.gz BPFAASMKIXMNEB-JTQLQIEISA-N -1 1 318.295 1.207 20 0 DDADMM Cc1csc(CCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000580812928 422954499 /nfs/dbraw/zinc/95/44/99/422954499.db2.gz VZPPXIMNEZOWDE-JTQLQIEISA-N -1 1 306.395 1.303 20 0 DDADMM COCC[C@H](CO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000650054503 423031490 /nfs/dbraw/zinc/03/14/90/423031490.db2.gz RFRPBOZCQMPYDS-SECBINFHSA-N -1 1 307.268 1.538 20 0 DDADMM Cc1cc(-n2ccnc2)ccc1CN1C[C@@H](C)O[C@@H](C(=O)[O-])C1 ZINC000652510258 423049282 /nfs/dbraw/zinc/04/92/82/423049282.db2.gz WVTDWTGFLZRCFC-CZUORRHYSA-N -1 1 315.373 1.855 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)on1 ZINC000645492221 423053881 /nfs/dbraw/zinc/05/38/81/423053881.db2.gz NMJYOHDOFCUBSX-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM COc1ccc(F)cc1S(=O)(=O)N[C@H]1CCC[N@@H+](C)C1 ZINC000645485178 423051446 /nfs/dbraw/zinc/05/14/46/423051446.db2.gz MNHUNKQQPHGAHV-NSHDSACASA-N -1 1 302.371 1.207 20 0 DDADMM CCCCC(=O)N1CSC[C@H]1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000647816312 423057896 /nfs/dbraw/zinc/05/78/96/423057896.db2.gz FFBSGCSFARHXQK-VIFPVBQESA-N -1 1 312.395 1.454 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc2c(c1)NC(=O)[C@H](C)N2C ZINC000647815880 423058742 /nfs/dbraw/zinc/05/87/42/423058742.db2.gz PIOIOPNBZPTOIV-QMMMGPOBSA-N -1 1 315.333 1.453 20 0 DDADMM CO[C@@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)CC(C)C ZINC000417140564 225223345 /nfs/dbraw/zinc/22/33/45/225223345.db2.gz PTWPHIWLPBIBGY-LLVKDONJSA-N -1 1 303.362 1.714 20 0 DDADMM CSc1nc(CNC(=O)c2cn(C(C)C)cn2)cc(=O)[n-]1 ZINC000640656009 423116605 /nfs/dbraw/zinc/11/66/05/423116605.db2.gz UMPLOOSVESMRRX-UHFFFAOYSA-N -1 1 307.379 1.612 20 0 DDADMM O=C(Nc1nc(-c2ccncc2)n[nH]1)c1s[n-]c(=O)c1Cl ZINC000366601510 418495747 /nfs/dbraw/zinc/49/57/47/418495747.db2.gz LSWOCYCNDQSJFU-UHFFFAOYSA-N -1 1 322.737 1.935 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(F)c(C(N)=O)c2)c([O-])c1 ZINC000121666203 418504200 /nfs/dbraw/zinc/50/42/00/418504200.db2.gz KBYLWEUBWPSYSJ-UHFFFAOYSA-N -1 1 304.277 1.891 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCc3c[nH]nc32)c1 ZINC000374402375 418526430 /nfs/dbraw/zinc/52/64/30/418526430.db2.gz MMPYSGKYASXMFE-LLVKDONJSA-N -1 1 315.329 1.961 20 0 DDADMM COc1ccc(O)cc1C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000188710704 222023139 /nfs/dbraw/zinc/02/31/39/222023139.db2.gz QECHEWOSOJEYIR-UHFFFAOYSA-N -1 1 311.301 1.833 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Cl)cc2[O-])C[C@@H](C)S1(=O)=O ZINC000291276785 222278163 /nfs/dbraw/zinc/27/81/63/222278163.db2.gz OHJVQGHEUSTFRD-RKDXNWHRSA-N -1 1 317.794 1.693 20 0 DDADMM CCOCc1nc([C@@H](C)NC(=O)C(=O)c2ccc([O-])cc2)no1 ZINC000291279523 222282089 /nfs/dbraw/zinc/28/20/89/222282089.db2.gz AGJSIOYENAKMAD-SECBINFHSA-N -1 1 319.317 1.372 20 0 DDADMM CC(C)(C)C(=O)Nc1nccnc1C(=O)[N-]c1nncs1 ZINC000361339468 418630801 /nfs/dbraw/zinc/63/08/01/418630801.db2.gz NPHAXYWCZWUTDO-UHFFFAOYSA-N -1 1 306.351 1.565 20 0 DDADMM COCCS(=O)(=O)[N-]c1c(F)cc(C(C)=O)c(F)c1F ZINC000361526613 418670669 /nfs/dbraw/zinc/67/06/69/418670669.db2.gz BYVFPDPHGURWKO-UHFFFAOYSA-N -1 1 311.281 1.695 20 0 DDADMM CNS(=O)(=O)c1cc(-c2nc([C@@H](N)CC(C)C)no2)co1 ZINC000361607256 418690682 /nfs/dbraw/zinc/69/06/82/418690682.db2.gz NTBWWENVKYLDCC-VIFPVBQESA-N -1 1 314.367 1.284 20 0 DDADMM COC[C@H](C[N-]S(=O)(=O)c1c(F)cccc1Cl)OC ZINC000361976632 418733505 /nfs/dbraw/zinc/73/35/05/418733505.db2.gz KWRMHEUMLOLUME-QMMMGPOBSA-N -1 1 311.762 1.419 20 0 DDADMM C[C@](N)(C(=O)N1CCC[C@@H](CCC(=O)[O-])C1)c1ccccc1 ZINC000386011352 418738162 /nfs/dbraw/zinc/73/81/62/418738162.db2.gz QAWSHKFAKATVCY-SUMWQHHRSA-N -1 1 304.390 1.964 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc([C@H]2C[C@H]2C(=O)Nc2nn[nH]c2C(N)=O)o1 ZINC000410858116 418852279 /nfs/dbraw/zinc/85/22/79/418852279.db2.gz KDCSVAOVPQWSDB-RYPBNFRJSA-N -1 1 315.333 1.362 20 0 DDADMM CCOCCO[N-]C(=O)[C@@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000372486058 418876591 /nfs/dbraw/zinc/87/65/91/418876591.db2.gz HBZBULQKEZRQEK-HNNXBMFYSA-N -1 1 316.398 1.718 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cncc(Cl)c1C ZINC000410664494 418837672 /nfs/dbraw/zinc/83/76/72/418837672.db2.gz RNGCUAGHXKXUDR-UHFFFAOYSA-N -1 1 322.752 1.628 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(c2ccc3nnnn3n2)CC1 ZINC000372210956 418845921 /nfs/dbraw/zinc/84/59/21/418845921.db2.gz JBHAHSSHSSJADV-UHFFFAOYSA-N -1 1 324.344 1.324 20 0 DDADMM CCN(C)C(=O)N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000365896296 418921059 /nfs/dbraw/zinc/92/10/59/418921059.db2.gz RIGAGBUTYLHTKZ-SNVBAGLBSA-N -1 1 312.736 1.743 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](OC)c1ccc(O)cc1)c1nn[n-]n1 ZINC000426636109 419521732 /nfs/dbraw/zinc/52/17/32/419521732.db2.gz YRBVUYKXCZPDQS-VXGBXAGGSA-N -1 1 305.338 1.250 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc([S@](C)=O)cc1)OC ZINC000421151631 419502066 /nfs/dbraw/zinc/50/20/66/419502066.db2.gz KFYJZDYTRCSYFY-VOJFVSQTSA-N -1 1 305.421 1.591 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)c(Cl)c1)OC ZINC000421154781 419506838 /nfs/dbraw/zinc/50/68/38/419506838.db2.gz NJYDRDHQPIQVKD-VIFPVBQESA-N -1 1 320.798 1.606 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncccc1[O-])c1ccc(OC)cc1 ZINC000427085244 419591804 /nfs/dbraw/zinc/59/18/04/419591804.db2.gz PYUKUMUHOUCFFP-CYBMUJFWSA-N -1 1 316.313 1.440 20 0 DDADMM COC(=O)[C@@H]1c2ccccc2CCN1C(=O)c1ncccc1[O-] ZINC000427241319 419626913 /nfs/dbraw/zinc/62/69/13/419626913.db2.gz BTXXDVWGIIVIBE-HNNXBMFYSA-N -1 1 312.325 1.700 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cccc2c1CCCN2 ZINC000427372757 419647994 /nfs/dbraw/zinc/64/79/94/419647994.db2.gz QVGIICQRWFGIJQ-UHFFFAOYSA-N -1 1 312.377 1.757 20 0 DDADMM O=C(N[C@H](Cc1ccccc1)[C@H](O)C(F)F)c1ncccc1[O-] ZINC000427752471 419727528 /nfs/dbraw/zinc/72/75/28/419727528.db2.gz BVFAVXGMFCQORG-RISCZKNCSA-N -1 1 322.311 1.754 20 0 DDADMM Cc1nc(SCc2nnnn2CC(F)(F)F)[n-]c(=O)c1C ZINC000428062365 419804679 /nfs/dbraw/zinc/80/46/79/419804679.db2.gz QMSFWGYZMHRANZ-UHFFFAOYSA-N -1 1 320.300 1.640 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NC[C@@H]2CCC[C@H](O)C2)[n-]n1 ZINC000432218480 229088070 /nfs/dbraw/zinc/08/80/70/229088070.db2.gz LPAIVRRGXMFIOB-OCCSQVGLSA-N -1 1 318.421 1.534 20 0 DDADMM CCOC(=O)c1coc(=NCCN2CCc3sccc3C2)[n-]1 ZINC000418864506 420002268 /nfs/dbraw/zinc/00/22/68/420002268.db2.gz QUVQGUIZPQHFFT-UHFFFAOYSA-N -1 1 321.402 1.805 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@H](C)Cc2cnn(C)c2)[n-]1 ZINC000415631528 420129466 /nfs/dbraw/zinc/12/94/66/420129466.db2.gz POQITKWTLSWHPU-SECBINFHSA-N -1 1 305.338 1.137 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)Cc2cnn(C)c2)n1 ZINC000415631528 420129471 /nfs/dbraw/zinc/12/94/71/420129471.db2.gz POQITKWTLSWHPU-SECBINFHSA-N -1 1 305.338 1.137 20 0 DDADMM CCC[C@@H]1[C@H](C)CCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416153872 420258841 /nfs/dbraw/zinc/25/88/41/420258841.db2.gz GJZUUUVTHWAAGT-NXEZZACHSA-N -1 1 309.366 1.937 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H](CC(C)C)C1 ZINC000416197482 420277306 /nfs/dbraw/zinc/27/73/06/420277306.db2.gz WGDCPOUIDSYNGR-JTQLQIEISA-N -1 1 309.366 1.795 20 0 DDADMM CN1CCC[C@@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1=O ZINC000436751548 420363114 /nfs/dbraw/zinc/36/31/14/420363114.db2.gz MGECFHLBNFVUED-SNVBAGLBSA-N -1 1 316.279 1.762 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc(C)[nH]c2=O)c1 ZINC000436504828 420334715 /nfs/dbraw/zinc/33/47/15/420334715.db2.gz KPBNZWLDJQAVBD-UHFFFAOYSA-N -1 1 302.286 1.840 20 0 DDADMM CC(C)(C)OC(=O)C(C)(C)CCN=c1ccc(C(N)=O)n[n-]1 ZINC000425272520 420335998 /nfs/dbraw/zinc/33/59/98/420335998.db2.gz LFSYQRCHNNYFRU-UHFFFAOYSA-N -1 1 308.382 1.167 20 0 DDADMM C[C@@H]1COCCN1CCNC(=O)c1cc2ccccc2cc1[O-] ZINC000436565161 420340233 /nfs/dbraw/zinc/34/02/33/420340233.db2.gz SJGNKWJFUDKSIE-CYBMUJFWSA-N -1 1 314.385 1.996 20 0 DDADMM CCOC(=O)[C@H](CC)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425295338 420342262 /nfs/dbraw/zinc/34/22/62/420342262.db2.gz FMFNPEAJDRCSLU-NXEZZACHSA-N -1 1 311.338 1.071 20 0 DDADMM O=C(N[C@H](CCO)C1CCOCC1)c1ccc(Cl)cc1[O-] ZINC000436613741 420344229 /nfs/dbraw/zinc/34/42/29/420344229.db2.gz XGYOMSYHGMKGAA-CYBMUJFWSA-N -1 1 313.781 1.953 20 0 DDADMM O=C(C(=O)N1CCNC(=O)[C@H]1c1ccccc1)c1ccc([O-])cc1 ZINC000436686340 420354788 /nfs/dbraw/zinc/35/47/88/420354788.db2.gz DXGOZJIWSGLDKX-OAHLLOKOSA-N -1 1 324.336 1.275 20 0 DDADMM O=C(N[C@H](CO)[C@H]1CCCO1)c1ccc2ccccc2c1[O-] ZINC000436804997 420368723 /nfs/dbraw/zinc/36/87/23/420368723.db2.gz CKEWUOBVHKHTEK-HUUCEWRRSA-N -1 1 301.342 1.815 20 0 DDADMM O=C(N[C@@H](CO)c1cccc(F)c1)C(=O)c1ccc([O-])cc1 ZINC000436997961 420392119 /nfs/dbraw/zinc/39/21/19/420392119.db2.gz JKPHGULNDKHCGU-AWEZNQCLSA-N -1 1 303.289 1.564 20 0 DDADMM CCc1nsc(Oc2ccc([N-]S(C)(=O)=O)nc2)n1 ZINC000439127190 420481927 /nfs/dbraw/zinc/48/19/27/420481927.db2.gz IHRCUSOPKSMQCK-UHFFFAOYSA-N -1 1 300.365 1.659 20 0 DDADMM CCc1ccc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)o1 ZINC000492611471 420606862 /nfs/dbraw/zinc/60/68/62/420606862.db2.gz JCMIRIICYAZXSB-TVRMLOFPSA-N -1 1 301.350 1.775 20 0 DDADMM CC(C)(CNC(=O)CNC(=O)c1ncccc1[O-])C1CCC1 ZINC000452784268 420661997 /nfs/dbraw/zinc/66/19/97/420661997.db2.gz JJZCYCKBCHEDSW-UHFFFAOYSA-N -1 1 305.378 1.460 20 0 DDADMM CCC[C@@H](O)CS(=O)(=O)c1nnc(-c2ccccc2F)[n-]1 ZINC000453033540 420706880 /nfs/dbraw/zinc/70/68/80/420706880.db2.gz VMYZWVRSOFCOMF-SECBINFHSA-N -1 1 313.354 1.546 20 0 DDADMM CCC[C@@H](O)CS(=O)(=O)c1n[n-]c(-c2ccccc2F)n1 ZINC000453033540 420706882 /nfs/dbraw/zinc/70/68/82/420706882.db2.gz VMYZWVRSOFCOMF-SECBINFHSA-N -1 1 313.354 1.546 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)[C@H](C)C1 ZINC000442899720 420728093 /nfs/dbraw/zinc/72/80/93/420728093.db2.gz QLSIPSTTZJIHHH-NXEZZACHSA-N -1 1 304.350 1.313 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cccc3cccnc32)n1 ZINC000447875042 420808843 /nfs/dbraw/zinc/80/88/43/420808843.db2.gz OGWMILIZCRFISJ-UHFFFAOYSA-N -1 1 304.331 1.405 20 0 DDADMM CCN=c1[n-]c(C(=O)NCc2cccn(CC)c2=O)cs1 ZINC000448412006 420853427 /nfs/dbraw/zinc/85/34/27/420853427.db2.gz YGLXQMZLRFRKLU-UHFFFAOYSA-N -1 1 306.391 1.108 20 0 DDADMM CC(C)C(CNC(=O)CNC(=O)c1ncccc1[O-])C(C)C ZINC000469821997 420931706 /nfs/dbraw/zinc/93/17/06/420931706.db2.gz SOEHXXWOYNIVKM-UHFFFAOYSA-N -1 1 307.394 1.561 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cscc2C)c1 ZINC000487357581 421019452 /nfs/dbraw/zinc/01/94/52/421019452.db2.gz BWYMXHBYADVGGP-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM Cc1nc(CSc2n[n-]c(=NC[C@H]3CCCO3)s2)n[nH]1 ZINC000488241950 421078466 /nfs/dbraw/zinc/07/84/66/421078466.db2.gz GJMSCPSIVHGKFG-MRVPVSSYSA-N -1 1 312.424 1.270 20 0 DDADMM COc1ccccc1C=CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000492223192 421215845 /nfs/dbraw/zinc/21/58/45/421215845.db2.gz UIQOKHZWLGKXLN-LJTDUEICSA-N -1 1 313.361 1.628 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)c2cnccc2C)co1 ZINC000546656800 421301387 /nfs/dbraw/zinc/30/13/87/421301387.db2.gz KBARBDWNLNKKKB-UHFFFAOYSA-N -1 1 309.347 1.168 20 0 DDADMM C[C@H](Cc1cnc2ccccc2n1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000560410983 421261336 /nfs/dbraw/zinc/26/13/36/421261336.db2.gz OEZMFZQHWYUMCX-SNVBAGLBSA-N -1 1 323.360 1.127 20 0 DDADMM CC(C)(C)c1nnc(CN=c2[n-]c(C3CCOCC3)no2)[nH]1 ZINC000560821514 421294854 /nfs/dbraw/zinc/29/48/54/421294854.db2.gz YSWQOIFGXLXZJC-UHFFFAOYSA-N -1 1 306.370 1.413 20 0 DDADMM CC(C)(C)c1nc(CN=c2[n-]c(C3CCOCC3)no2)n[nH]1 ZINC000560821514 421294858 /nfs/dbraw/zinc/29/48/58/421294858.db2.gz YSWQOIFGXLXZJC-UHFFFAOYSA-N -1 1 306.370 1.413 20 0 DDADMM O=S(=O)([N-][C@H]1CCn2ccnc2C1)c1cccc(F)c1F ZINC000547968197 421383151 /nfs/dbraw/zinc/38/31/51/421383151.db2.gz ROGMKBXTSTVTEC-VIFPVBQESA-N -1 1 313.329 1.455 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1Cc2ccccc2S1)c1nn[n-]n1 ZINC000547488995 421337104 /nfs/dbraw/zinc/33/71/04/421337104.db2.gz OERFGNGDPFLXMZ-JOYOIKCWSA-N -1 1 303.391 1.479 20 0 DDADMM COc1cc(NC(=O)NCCCF)ccc1[N-]S(C)(=O)=O ZINC000562338512 421354138 /nfs/dbraw/zinc/35/41/38/421354138.db2.gz GMDAIXQVEWZSKG-UHFFFAOYSA-N -1 1 319.358 1.548 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1coc2c1C(=O)CCC2 ZINC000562526354 421377200 /nfs/dbraw/zinc/37/72/00/421377200.db2.gz NNGYHNIQSUGANV-UHFFFAOYSA-N -1 1 315.333 1.511 20 0 DDADMM O=C([O-])c1cccc(N[C@@H]2CCCC[C@@H]2N2CCOCC2)n1 ZINC000562782395 421412830 /nfs/dbraw/zinc/41/28/30/421412830.db2.gz QNYSCYJTQSSZBG-OCCSQVGLSA-N -1 1 305.378 1.835 20 0 DDADMM CON1CCC([N-]S(=O)(=O)c2cc3ccccc3o2)CC1 ZINC000562929742 421426548 /nfs/dbraw/zinc/42/65/48/421426548.db2.gz QKXHFBJIMHMVQX-UHFFFAOYSA-N -1 1 310.375 1.737 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1ccncc1C ZINC000548641769 421456342 /nfs/dbraw/zinc/45/63/42/421456342.db2.gz FTHPCEHERKEASQ-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM CC(C)(C)N1CC[C@H](NC(=O)C(=O)c2ccc([O-])cc2)C1=O ZINC000563190822 421468965 /nfs/dbraw/zinc/46/89/65/421468965.db2.gz XYUOFNJTMCRAMB-LBPRGKRZSA-N -1 1 304.346 1.091 20 0 DDADMM CCCc1nc(=NCc2n[nH]c(-c3ccncc3)n2)s[n-]1 ZINC000563342469 421488478 /nfs/dbraw/zinc/48/84/78/421488478.db2.gz OBNQKSYTWHUDGZ-UHFFFAOYSA-N -1 1 301.379 1.705 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2cc(F)c(C)cc2F)s1 ZINC000563900387 421555071 /nfs/dbraw/zinc/55/50/71/421555071.db2.gz VCHUGYKSKSZCOZ-UHFFFAOYSA-N -1 1 319.358 1.912 20 0 DDADMM CC[C@H](C)c1nc([C@H](C)NC(=O)N=c2ccc(OC)n[n-]2)n[nH]1 ZINC000551952144 421559670 /nfs/dbraw/zinc/55/96/70/421559670.db2.gz MGACOFGUNCSIDG-IUCAKERBSA-N -1 1 319.369 1.421 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@](C)(C(=O)[O-])C3)c[n-]c2[nH+]1 ZINC000563958311 421560773 /nfs/dbraw/zinc/56/07/73/421560773.db2.gz URMTZZYSJPNIIV-INIZCTEOSA-N -1 1 315.329 1.581 20 0 DDADMM O=C([O-])CC[C@@H](Cc1ccccc1)NC(=O)[C@H]1CSCN1 ZINC000516186722 421533333 /nfs/dbraw/zinc/53/33/33/421533333.db2.gz WKWWTZIMGHXMAD-QWHCGFSZSA-N -1 1 308.403 1.241 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCc2cccc(O)c21)c1nn[n-]n1 ZINC000551486383 421533805 /nfs/dbraw/zinc/53/38/05/421533805.db2.gz AEAJNISGLKZZBQ-MNOVXSKESA-N -1 1 301.350 1.593 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(NC2CC2)nc1)c1nn[n-]n1 ZINC000530721790 421614609 /nfs/dbraw/zinc/61/46/09/421614609.db2.gz XJVQJVXHHULLSN-NSHDSACASA-N -1 1 301.354 1.440 20 0 DDADMM O=C(N[C@H]1CCOC2(CCC2)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000532611622 421661547 /nfs/dbraw/zinc/66/15/47/421661547.db2.gz KKQRZXNQWQEXEO-NSHDSACASA-N -1 1 313.357 1.755 20 0 DDADMM Cc1nn(C)c(C)c1CCNC(=O)c1csc(=NC2CC2)[n-]1 ZINC000532642408 421664118 /nfs/dbraw/zinc/66/41/18/421664118.db2.gz WQLOPVSNABGDQC-UHFFFAOYSA-N -1 1 319.434 1.462 20 0 DDADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]2)[C@@H](C)CN1Cc1ccccc1 ZINC000534313199 421689193 /nfs/dbraw/zinc/68/91/93/421689193.db2.gz FFQAQDZGLHYYEZ-RYUDHWBXSA-N -1 1 315.377 1.245 20 0 DDADMM c1nnn(Cc2ccccc2)c1CNC1(c2nnn[n-]2)CCCC1 ZINC000519576798 421702108 /nfs/dbraw/zinc/70/21/08/421702108.db2.gz XEYDBZHEQYBPFE-UHFFFAOYSA-N -1 1 324.392 1.399 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@H](O)C1CCOCC1 ZINC000570845108 421673644 /nfs/dbraw/zinc/67/36/44/421673644.db2.gz HRCAAIBBUICYPG-OAHLLOKOSA-N -1 1 317.345 1.508 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)[C@@H]2CCCc3[nH]ncc32)s[n-]1 ZINC000555326429 421675545 /nfs/dbraw/zinc/67/55/45/421675545.db2.gz BIZDAGULRMEWPS-HTQZYQBOSA-N -1 1 307.379 1.449 20 0 DDADMM CCCN(CCc1cccc(F)c1)Cc1cn(CC(=O)[O-])nn1 ZINC000571887350 421750601 /nfs/dbraw/zinc/75/06/01/421750601.db2.gz DOUTVUPKKRKFBW-UHFFFAOYSA-N -1 1 320.368 1.957 20 0 DDADMM CCc1cccc(OCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000540425548 421763193 /nfs/dbraw/zinc/76/31/93/421763193.db2.gz VLPSHMPVSRWFEW-CYBMUJFWSA-N -1 1 315.377 1.547 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@H]1CCCO[C@@H]1C(C)C ZINC000572527884 421798126 /nfs/dbraw/zinc/79/81/26/421798126.db2.gz ARVZEZFBMVCBMW-WDEREUQCSA-N -1 1 317.411 1.125 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCCO[C@@H]1C(C)C ZINC000572527884 421798131 /nfs/dbraw/zinc/79/81/31/421798131.db2.gz ARVZEZFBMVCBMW-WDEREUQCSA-N -1 1 317.411 1.125 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@H]1CCCO[C@H]1C(C)C ZINC000572527882 421798299 /nfs/dbraw/zinc/79/82/99/421798299.db2.gz ARVZEZFBMVCBMW-MNOVXSKESA-N -1 1 317.411 1.125 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCCO[C@H]1C(C)C ZINC000572527882 421798301 /nfs/dbraw/zinc/79/83/01/421798301.db2.gz ARVZEZFBMVCBMW-MNOVXSKESA-N -1 1 317.411 1.125 20 0 DDADMM Cc1cc(CNC(=O)CCc2nn[n-]n2)ccc1Br ZINC000633393484 421879323 /nfs/dbraw/zinc/87/93/23/421879323.db2.gz JRIXZECDFLJBFH-UHFFFAOYSA-N -1 1 324.182 1.520 20 0 DDADMM O=C(CCc1nn[n-]n1)N1C[C@@H](c2ccccc2)C2(CCC2)C1 ZINC000633425467 421895101 /nfs/dbraw/zinc/89/51/01/421895101.db2.gz SYIWKHZJNIISKA-AWEZNQCLSA-N -1 1 311.389 1.929 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@H]1Cc1cc(F)ccc1F ZINC000633446180 421905643 /nfs/dbraw/zinc/90/56/43/421905643.db2.gz QXASVLARICJRQW-LBPRGKRZSA-N -1 1 321.331 1.644 20 0 DDADMM COc1cc2[n-]cc(C(=O)N[C@H]3CC34CC4)c(=O)c2c(OC)c1 ZINC000627831568 421906806 /nfs/dbraw/zinc/90/68/06/421906806.db2.gz GFCJZSQIEGCCHC-ZDUSSCGKSA-N -1 1 314.341 1.828 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CCC[C@H](C(C)(C)C(=O)[O-])C1 ZINC000630158093 421925122 /nfs/dbraw/zinc/92/51/22/421925122.db2.gz QZKMRXZLCNORPP-RYUDHWBXSA-N -1 1 307.394 1.938 20 0 DDADMM C[C@@H](Cn1cncn1)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631904478 421929319 /nfs/dbraw/zinc/92/93/19/421929319.db2.gz OZEBODXLRWDBJW-ZETCQYMHSA-N -1 1 306.294 1.176 20 0 DDADMM Cc1ccc(F)c(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1 ZINC000630222813 421974160 /nfs/dbraw/zinc/97/41/60/421974160.db2.gz DMQBFFKEYOQPHY-UHFFFAOYSA-N -1 1 308.353 1.755 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H]1Cc1ccc(F)cc1 ZINC000635400702 421956973 /nfs/dbraw/zinc/95/69/73/421956973.db2.gz FPHGPTHWLKEBNL-AWEZNQCLSA-N -1 1 317.368 1.895 20 0 DDADMM CC(C)[C@@H]1CNCCN1S(=O)(=O)c1cccc(Cl)c1[O-] ZINC000631953890 421970512 /nfs/dbraw/zinc/97/05/12/421970512.db2.gz VSHGXWIGQCUCNX-NSHDSACASA-N -1 1 318.826 1.664 20 0 DDADMM CC(=O)N1CCC(NC(=O)N=c2[n-]sc3ccccc32)CC1 ZINC000630346069 422036639 /nfs/dbraw/zinc/03/66/39/422036639.db2.gz VGUBMPHFZLTFOD-UHFFFAOYSA-N -1 1 318.402 1.851 20 0 DDADMM CCc1ccsc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630225220 421975076 /nfs/dbraw/zinc/97/50/76/421975076.db2.gz XBJLRQYSSWBCON-UHFFFAOYSA-N -1 1 310.419 1.932 20 0 DDADMM CCO[C@@H](C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1)C(C)C ZINC000630227538 421978603 /nfs/dbraw/zinc/97/86/03/421978603.db2.gz ODAPHPFENLHJOB-GFCCVEGCSA-N -1 1 300.399 1.055 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NC[C@H](C(=O)[O-])C1CCCCC1 ZINC000630267403 421997454 /nfs/dbraw/zinc/99/74/54/421997454.db2.gz PNUBFGCCQZHPFK-RISCZKNCSA-N -1 1 307.394 1.986 20 0 DDADMM CC(C)(C)c1nnsc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000581643671 422017180 /nfs/dbraw/zinc/01/71/80/422017180.db2.gz KCENLBQBEHQOSP-QMMMGPOBSA-N -1 1 321.410 1.369 20 0 DDADMM COc1ncc(CN2Cc3ccccc3C[C@@H]2C(=O)[O-])c(C)n1 ZINC000574093702 422060730 /nfs/dbraw/zinc/06/07/30/422060730.db2.gz RLGPYXZYMVAWRQ-OAHLLOKOSA-N -1 1 313.357 1.805 20 0 DDADMM Cc1nc([C@@H](C)N(C)Cc2ccc(OCC(=O)[O-])cc2)n[nH]1 ZINC000574407365 422104760 /nfs/dbraw/zinc/10/47/60/422104760.db2.gz LZSFCGCLAFGEJM-SNVBAGLBSA-N -1 1 304.350 1.770 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@H](C)C(F)(F)F)nc2n1 ZINC000637271724 422110577 /nfs/dbraw/zinc/11/05/77/422110577.db2.gz OMUJRGSZBVJUHH-YFKPBYRVSA-N -1 1 303.244 1.253 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCN(C)[C@H](C)[C@H]2C)c1 ZINC000632174131 422137728 /nfs/dbraw/zinc/13/77/28/422137728.db2.gz SDEGLEOPXXGJNE-GHMZBOCLSA-N -1 1 314.407 1.114 20 0 DDADMM C[C@H]1c2c(F)cccc2CCN1C(=O)CCCc1nn[n-]n1 ZINC000635590703 422142913 /nfs/dbraw/zinc/14/29/13/422142913.db2.gz PNTYQZWBKOGTRH-JTQLQIEISA-N -1 1 303.341 1.807 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1ccccc1OC1CCC1 ZINC000635593812 422145511 /nfs/dbraw/zinc/14/55/11/422145511.db2.gz LARBZTHIRPKFKR-UHFFFAOYSA-N -1 1 315.377 1.770 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H]([N-]S(=O)(=O)c2cc(C)ns2)C1(C)C ZINC000632184670 422146858 /nfs/dbraw/zinc/14/68/58/422146858.db2.gz WDMJBBDUQOQVNE-DVVUODLYSA-N -1 1 304.437 1.789 20 0 DDADMM CCCN(C(=O)CCCc1nn[n-]n1)[C@@H]1C[C@@H](OCC)C1(C)C ZINC000635598668 422150970 /nfs/dbraw/zinc/15/09/70/422150970.db2.gz MBSXBRJNADQWND-CHWSQXEVSA-N -1 1 323.441 1.965 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)CCCc2nn[n-]n2)C12CCCCC2 ZINC000635607524 422160246 /nfs/dbraw/zinc/16/02/46/422160246.db2.gz JRZWQRGASAYCIS-QWHCGFSZSA-N -1 1 321.425 1.767 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@@H]2CCOC2)c1 ZINC000632204854 422162218 /nfs/dbraw/zinc/16/22/18/422162218.db2.gz QZCJAVFOZQMKLJ-SNVBAGLBSA-N -1 1 301.364 1.106 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@]2(C)CCO[C@@H]2C2CC2)sn1 ZINC000632205959 422162292 /nfs/dbraw/zinc/16/22/92/422162292.db2.gz YGDBRDKOYBFSNY-NEPJUHHUSA-N -1 1 302.421 1.687 20 0 DDADMM CCCNC(=O)CS(=O)(=O)c1nc(-c2ccc(C)cc2)n[n-]1 ZINC000583545082 422180733 /nfs/dbraw/zinc/18/07/33/422180733.db2.gz LINUZXMEJMRQJE-UHFFFAOYSA-N -1 1 322.390 1.080 20 0 DDADMM CCCNC(=O)CS(=O)(=O)c1n[n-]c(-c2ccc(C)cc2)n1 ZINC000583545082 422180739 /nfs/dbraw/zinc/18/07/39/422180739.db2.gz LINUZXMEJMRQJE-UHFFFAOYSA-N -1 1 322.390 1.080 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCOC[C@@H]1c1ccccc1 ZINC000635628619 422181658 /nfs/dbraw/zinc/18/16/58/422181658.db2.gz NYEXETWKDYUEFU-CYBMUJFWSA-N -1 1 301.350 1.123 20 0 DDADMM C[C@@H](NCc1nc(-c2ccc3c(c2)OCO3)no1)[C@@H](C)C(=O)[O-] ZINC000583569487 422186740 /nfs/dbraw/zinc/18/67/40/422186740.db2.gz BAPXUQRZEWUHOC-RKDXNWHRSA-N -1 1 319.317 1.664 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000630482679 422128338 /nfs/dbraw/zinc/12/83/38/422128338.db2.gz GKJBFDKXRJTLKK-JSGCOSHPSA-N -1 1 305.378 1.375 20 0 DDADMM O=C(NC[C@@H](n1cncn1)C(F)(F)F)c1cc(F)ccc1[O-] ZINC000633984258 422198595 /nfs/dbraw/zinc/19/85/95/422198595.db2.gz MHTCHEFFHASTGV-SNVBAGLBSA-N -1 1 318.230 1.656 20 0 DDADMM COc1ccc([C@@H]2CCCN2C(=O)CCc2nn[n-]n2)cc1 ZINC000630593788 422208286 /nfs/dbraw/zinc/20/82/86/422208286.db2.gz LLGWHHAHRJHBCF-ZDUSSCGKSA-N -1 1 301.350 1.505 20 0 DDADMM CN1CC[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1=O ZINC000632279407 422217273 /nfs/dbraw/zinc/21/72/73/422217273.db2.gz VEUSOXXYKMOMCL-SSDOTTSWSA-N -1 1 308.306 1.116 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)CC1(OC)CCCC1)[C@H]1CCCO1 ZINC000632289308 422223450 /nfs/dbraw/zinc/22/34/50/422223450.db2.gz SBMNMIAQWHMDRV-QWHCGFSZSA-N -1 1 321.439 1.059 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)CCCCO ZINC000632293132 422228043 /nfs/dbraw/zinc/22/80/43/422228043.db2.gz WITXGWJUZFYQBW-UHFFFAOYSA-N -1 1 304.343 1.298 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC=C(c2c[nH]c3ccccc23)CC1 ZINC000630625899 422229666 /nfs/dbraw/zinc/22/96/66/422229666.db2.gz NBAVEPLXUSBVLU-UHFFFAOYSA-N -1 1 322.372 1.930 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCCOc2cc(Cl)ccc21 ZINC000632307981 422240066 /nfs/dbraw/zinc/24/00/66/422240066.db2.gz CTBWIUYJDMRHMA-LLVKDONJSA-N -1 1 321.768 1.816 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCO[C@H](c2cccs2)C1 ZINC000635688277 422243272 /nfs/dbraw/zinc/24/32/72/422243272.db2.gz PTNZRMRNQXGEBA-JTQLQIEISA-N -1 1 307.379 1.184 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC(C)(C)C1CCCC1 ZINC000575095780 422254199 /nfs/dbraw/zinc/25/41/99/422254199.db2.gz VSYYACPACZCDIT-UHFFFAOYSA-N -1 1 301.412 1.890 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)C1CCCC1 ZINC000575095780 422254202 /nfs/dbraw/zinc/25/42/02/422254202.db2.gz VSYYACPACZCDIT-UHFFFAOYSA-N -1 1 301.412 1.890 20 0 DDADMM CO[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])[C@](C)(CO)C1 ZINC000634073361 422254278 /nfs/dbraw/zinc/25/42/78/422254278.db2.gz WCGLEEKLWSDIHF-PXAZEXFGSA-N -1 1 316.357 1.552 20 0 DDADMM O=C(NC[C@H]1CCCN1CC(F)F)c1ccc([O-])c(F)c1 ZINC000628619956 422263140 /nfs/dbraw/zinc/26/31/40/422263140.db2.gz GZFQOVAEPGKDHM-SNVBAGLBSA-N -1 1 302.296 1.991 20 0 DDADMM CO[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632346785 422266631 /nfs/dbraw/zinc/26/66/31/422266631.db2.gz FSCFHRFFJNGMJB-PHDIDXHHSA-N -1 1 301.290 1.130 20 0 DDADMM CCc1nc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)no1 ZINC000584211986 422282302 /nfs/dbraw/zinc/28/23/02/422282302.db2.gz UYUKWYVTDMCJPO-UHFFFAOYSA-N -1 1 319.346 1.391 20 0 DDADMM CCc1nc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)no1 ZINC000584211986 422282307 /nfs/dbraw/zinc/28/23/07/422282307.db2.gz UYUKWYVTDMCJPO-UHFFFAOYSA-N -1 1 319.346 1.391 20 0 DDADMM CC[C@](C)(C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)OC ZINC000632409018 422316561 /nfs/dbraw/zinc/31/65/61/422316561.db2.gz DFORKPIMDHQELS-SECBINFHSA-N -1 1 315.317 1.522 20 0 DDADMM CCO[C@H]1C[C@@H](NS(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000632438653 422343785 /nfs/dbraw/zinc/34/37/85/422343785.db2.gz QAWVFJUXBSPPNZ-JGZJWPJOSA-N -1 1 301.364 1.247 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2nnc(C3CC3)s2)sn1 ZINC000632459681 422361351 /nfs/dbraw/zinc/36/13/51/422361351.db2.gz KMZJNNICWCNQJP-UHFFFAOYSA-N -1 1 316.433 1.659 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccccc1NCC(F)(F)F ZINC000630846652 422371089 /nfs/dbraw/zinc/37/10/89/422371089.db2.gz FMZJUZPARJGLJS-UHFFFAOYSA-N -1 1 314.271 1.745 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1(Cc2cccc(F)c2)CCC1 ZINC000635817777 422374158 /nfs/dbraw/zinc/37/41/58/422374158.db2.gz PKWZNQYWBSDROK-UHFFFAOYSA-N -1 1 317.368 1.943 20 0 DDADMM O=S(=O)([N-]CCc1ccoc1)c1c[nH]nc1C(F)(F)F ZINC000632557125 422434855 /nfs/dbraw/zinc/43/48/55/422434855.db2.gz FMWRRYGVZQPDMW-UHFFFAOYSA-N -1 1 309.269 1.543 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000632497682 422391876 /nfs/dbraw/zinc/39/18/76/422391876.db2.gz NIBJEBWVFOAOPM-LBPRGKRZSA-N -1 1 321.768 1.386 20 0 DDADMM CCN([C@H]1CCCOC1)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632510511 422400825 /nfs/dbraw/zinc/40/08/25/422400825.db2.gz HOXSNVJQGZOUDW-NSHDSACASA-N -1 1 315.391 1.590 20 0 DDADMM CN(Cc1cc(Cl)ccc1F)C(=O)CCCc1nn[n-]n1 ZINC000635860181 422416745 /nfs/dbraw/zinc/41/67/45/422416745.db2.gz YILJEKQNXSSSGK-UHFFFAOYSA-N -1 1 311.748 1.974 20 0 DDADMM C[C@H](C(=O)N1CC[C@@](C)(C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000578132029 422506402 /nfs/dbraw/zinc/50/64/02/422506402.db2.gz IZXQTGXIYLLQOJ-FZKQIMNGSA-N -1 1 316.401 1.756 20 0 DDADMM C[C@@H](C(=O)N1CC[C@](C)(C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000578132031 422507356 /nfs/dbraw/zinc/50/73/56/422507356.db2.gz IZXQTGXIYLLQOJ-UGSOOPFHSA-N -1 1 316.401 1.756 20 0 DDADMM COc1cccc([C@@H]2C[C@H]2CNC(=O)CCCc2nn[n-]n2)c1 ZINC000636042192 422591902 /nfs/dbraw/zinc/59/19/02/422591902.db2.gz JUJQLWOUDDSNAJ-JSGCOSHPSA-N -1 1 315.377 1.451 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H](CO)Cc1ccncc1 ZINC000632730042 422546760 /nfs/dbraw/zinc/54/67/60/422546760.db2.gz IDFSBRKNJZYREP-CYBMUJFWSA-N -1 1 320.776 1.703 20 0 DDADMM C[C@@H](CN1CCc2ccccc2[C@@H]1C(=O)[O-])CS(C)(=O)=O ZINC000578330366 422548078 /nfs/dbraw/zinc/54/80/78/422548078.db2.gz JBTRKPWMNKOQDK-SMDDNHRTSA-N -1 1 311.403 1.351 20 0 DDADMM O=C(c1ccc(C(F)(F)F)[nH]1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000578412282 422563710 /nfs/dbraw/zinc/56/37/10/422563710.db2.gz NQKYDMFURPYJAO-SSDOTTSWSA-N -1 1 314.271 1.566 20 0 DDADMM CCOC1(CS(=O)(=O)[N-]c2cnc(C)nc2)CCOCC1 ZINC000629288950 422587851 /nfs/dbraw/zinc/58/78/51/422587851.db2.gz VOQVKDXHLVCEMS-UHFFFAOYSA-N -1 1 315.395 1.112 20 0 DDADMM NC(=O)C[C@H]1CN(C(=O)c2cc3ccccc3cc2[O-])CCO1 ZINC000629403650 422656340 /nfs/dbraw/zinc/65/63/40/422656340.db2.gz VOZFJIGMGXXCRJ-ZDUSSCGKSA-N -1 1 314.341 1.262 20 0 DDADMM O=c1[nH]ccc2c([N-]S(=O)(=O)C[C@H]3CCCO3)cccc12 ZINC000578724501 422631611 /nfs/dbraw/zinc/63/16/11/422631611.db2.gz YMOIARZMZGWTLT-SNVBAGLBSA-N -1 1 308.359 1.861 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631293672 422666248 /nfs/dbraw/zinc/66/62/48/422666248.db2.gz VXCYTSJMRRDDSQ-SECBINFHSA-N -1 1 319.810 1.528 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1C[C@@H]2CCC[C@]2(CO)C1 ZINC000629466550 422685241 /nfs/dbraw/zinc/68/52/41/422685241.db2.gz XTHFYSNPTLVBNC-APPDUMDISA-N -1 1 313.357 1.158 20 0 DDADMM Cn1nccc1[C@@H]1COCCN1C(=O)c1ccc([O-])cc1F ZINC000652985264 423245888 /nfs/dbraw/zinc/24/58/88/423245888.db2.gz JUOYFGFOBRAGLS-AWEZNQCLSA-N -1 1 305.309 1.479 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1C[C@@H]2CC[C@@H](O)C[C@@H]2C1 ZINC000643666088 423255337 /nfs/dbraw/zinc/25/53/37/423255337.db2.gz ZSJCCGGUTQTDPG-QJPTWQEYSA-N -1 1 313.357 1.156 20 0 DDADMM CS(=O)(=O)NC1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000646049841 423311587 /nfs/dbraw/zinc/31/15/87/423311587.db2.gz CGURQWQWHZFLQF-UHFFFAOYSA-N -1 1 318.826 1.559 20 0 DDADMM CC[C@@H](C)c1nc([C@@H](C)NC(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)n[nH]1 ZINC000646178509 423380008 /nfs/dbraw/zinc/38/00/08/423380008.db2.gz RLLPAHWITWKKDR-CHWFTXMASA-N -1 1 323.397 1.932 20 0 DDADMM CCCc1ncc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)s1 ZINC000643909615 423402591 /nfs/dbraw/zinc/40/25/91/423402591.db2.gz URLLRYGVNVWMMK-UHFFFAOYSA-N -1 1 318.362 1.387 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(N2CCCC2=O)cc1 ZINC000646413069 423480561 /nfs/dbraw/zinc/48/05/61/423480561.db2.gz RRQFQMKQGCFKOT-UHFFFAOYSA-N -1 1 300.318 1.803 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CC(=O)N1 ZINC000653834587 423625578 /nfs/dbraw/zinc/62/55/78/423625578.db2.gz ALIQAHVKQWTBGU-ZETCQYMHSA-N -1 1 302.252 1.372 20 0 DDADMM C[C@H](CN(C)C(=O)c1cn(-c2ccccc2)cn1)c1nn[n-]n1 ZINC000651720962 423657572 /nfs/dbraw/zinc/65/75/72/423657572.db2.gz PJYVQLGYRYGALW-LLVKDONJSA-N -1 1 311.349 1.261 20 0 DDADMM CC(C)(C)n1nnc(CNC(=O)c2ccc(Cl)cc2[O-])n1 ZINC000654088167 423702256 /nfs/dbraw/zinc/70/22/56/423702256.db2.gz CCHOHZTURDHBGI-UHFFFAOYSA-N -1 1 309.757 1.717 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H]1C2CCC(CC2)[C@@H]1C(F)(F)F ZINC000644634486 423735915 /nfs/dbraw/zinc/73/59/15/423735915.db2.gz HREWQRPCLFFKDL-SZLYAYFLSA-N -1 1 303.288 1.431 20 0 DDADMM CO[C@@H](C)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656968422 423940514 /nfs/dbraw/zinc/94/05/14/423940514.db2.gz BWYYJMYNFSORRJ-ZETCQYMHSA-N -1 1 309.185 1.157 20 0 DDADMM C[C@@H](O)C[C@H](C)[N-]S(=O)(=O)c1ncccc1Br ZINC000656957350 423923675 /nfs/dbraw/zinc/92/36/75/423923675.db2.gz VUEAHVSHAQGOFU-JGVFFNPUSA-N -1 1 323.212 1.282 20 0 DDADMM CN1CCN(C(=O)c2ccc(C(=O)[O-])cn2)C2(CCCCC2)C1 ZINC000649461480 423925473 /nfs/dbraw/zinc/92/54/73/423925473.db2.gz DKVGCJVCTQVBOH-UHFFFAOYSA-N -1 1 317.389 1.870 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)cc1 ZINC000649462648 423929042 /nfs/dbraw/zinc/92/90/42/423929042.db2.gz NWQABZMOLQQJJN-HUUCEWRRSA-N -1 1 318.373 1.056 20 0 DDADMM COC1CC(CC[N-]S(=O)(=O)c2nc(C)c(C)s2)C1 ZINC000657034981 424015814 /nfs/dbraw/zinc/01/58/14/424015814.db2.gz LBDDOIVLTKTLGW-UHFFFAOYSA-N -1 1 304.437 1.853 20 0 DDADMM COC1CCC(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CC1 ZINC000644886819 424017405 /nfs/dbraw/zinc/01/74/05/424017405.db2.gz CQAKJTKMBUOICT-UHFFFAOYSA-N -1 1 309.366 1.686 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2ccc(OCC(=O)[O-])cc2)n[nH]1 ZINC000659758307 424222519 /nfs/dbraw/zinc/22/25/19/424222519.db2.gz PQTXDQOYYZUUDT-SNVBAGLBSA-N -1 1 317.345 1.543 20 0 DDADMM CC(C)(C)n1nnnc1CN1C[C@@H]2CCCC[C@]2(C(=O)[O-])C1 ZINC000659799242 424263647 /nfs/dbraw/zinc/26/36/47/424263647.db2.gz CNALTFARXFCCLB-NHYWBVRUSA-N -1 1 307.398 1.505 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H](C)c1ncc[nH]1 ZINC000655401914 424449507 /nfs/dbraw/zinc/44/95/07/424449507.db2.gz YEOAUXYQXKFKCQ-SECBINFHSA-N -1 1 314.349 1.195 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CC3CC2(c2ccccc2)C3)C1=O ZINC000662232140 424494688 /nfs/dbraw/zinc/49/46/88/424494688.db2.gz WHWIGSWQNUYKJF-KDJCLPLCSA-N -1 1 300.358 1.293 20 0 DDADMM CCN([C@H](C)c1cccc(O)c1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662201021 424465246 /nfs/dbraw/zinc/46/52/46/424465246.db2.gz FGEMABAJSOZWGE-BXUZGUMPSA-N -1 1 306.362 1.461 20 0 DDADMM CN(CCCOc1ccc(F)cc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662201322 424467687 /nfs/dbraw/zinc/46/76/87/424467687.db2.gz HUJNDKHWKTXTEH-AWEZNQCLSA-N -1 1 324.352 1.212 20 0 DDADMM O=C([O-])CN1CC[C@H](N(CCc2ccc(F)cc2)C2CC2)C1=O ZINC000662203425 424469193 /nfs/dbraw/zinc/46/91/93/424469193.db2.gz DQIYWIUOBLMIFH-HNNXBMFYSA-N -1 1 320.364 1.518 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ccc(-c2nnc[nH]2)cc1)C(=O)[O-] ZINC000655563523 424570274 /nfs/dbraw/zinc/57/02/74/424570274.db2.gz CDPLUYZJOCILAY-LBPRGKRZSA-N -1 1 302.334 1.558 20 0 DDADMM CC1CCC(O)(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000664489470 424610340 /nfs/dbraw/zinc/61/03/40/424610340.db2.gz CEHSKUVEFSPWNM-UHFFFAOYSA-N -1 1 315.373 1.594 20 0 DDADMM COc1cccc(OC)c1S(=O)(=O)[N-][C@H](C)C(F)(F)F ZINC000341904188 271242396 /nfs/dbraw/zinc/24/23/96/271242396.db2.gz XXCIXJCBYRWUHM-SSDOTTSWSA-N -1 1 313.297 1.933 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCc1cccc2cc[nH]c21 ZINC000341951527 271258297 /nfs/dbraw/zinc/25/82/97/271258297.db2.gz PIQAUWDMEJRWJB-UHFFFAOYSA-N -1 1 324.340 1.315 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](CO)[C@@H]1CCCO1 ZINC000344940927 272142235 /nfs/dbraw/zinc/14/22/35/272142235.db2.gz NNPLRGMEGKEVQN-MNOVXSKESA-N -1 1 323.393 1.058 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCCSC2)o1 ZINC000345889148 272358420 /nfs/dbraw/zinc/35/84/20/272358420.db2.gz OZAKDFVUSLQCFQ-SECBINFHSA-N -1 1 318.420 1.061 20 0 DDADMM C[C@H]1CCC[C@H]1CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000346590897 272497632 /nfs/dbraw/zinc/49/76/32/272497632.db2.gz LCSWZQRMBVBSQU-QWRGUYRKSA-N -1 1 309.439 1.426 20 0 DDADMM C[C@H](NCc1nc(=O)[n-][nH]1)c1nc2ccccc2n1C(F)F ZINC000275420164 289983332 /nfs/dbraw/zinc/98/33/32/289983332.db2.gz GDHMUKQIEGIZJY-ZETCQYMHSA-N -1 1 308.292 1.694 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)c3ccc(F)cc3F)ccnc1-2 ZINC000340365719 294132397 /nfs/dbraw/zinc/13/23/97/294132397.db2.gz MHZHLWSKESOMTB-UHFFFAOYSA-N -1 1 324.312 1.421 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)C(=O)N(C)C)c(F)c1 ZINC000573085647 304576426 /nfs/dbraw/zinc/57/64/26/304576426.db2.gz QZOXOUGVIBWLCG-MRVPVSSYSA-N -1 1 306.334 1.028 20 0 DDADMM CCc1ccc(CCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000363395028 300262140 /nfs/dbraw/zinc/26/21/40/300262140.db2.gz HKPNHCGKPYPXRL-AWEZNQCLSA-N -1 1 315.377 1.295 20 0 DDADMM CC[C@@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000363790290 300307630 /nfs/dbraw/zinc/30/76/30/300307630.db2.gz RJZYAUAFMXZCMA-CHWSQXEVSA-N -1 1 319.340 1.433 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CC[C@H]2C(=O)NC[C@@H]2C1 ZINC000365675416 300559026 /nfs/dbraw/zinc/55/90/26/300559026.db2.gz FMNWIXOHGBTZOB-GHMZBOCLSA-N -1 1 311.341 1.149 20 0 DDADMM CSCCO[N-]C(=O)[C@@H]1CC(=O)N(C2CCC(C)CC2)C1 ZINC000366551302 300715267 /nfs/dbraw/zinc/71/52/67/300715267.db2.gz JQEKDPMJSSGGBW-OTTFEQOBSA-N -1 1 314.451 1.824 20 0 DDADMM Cc1n[n-]c(=NC(=O)N[C@@H](c2nnc[nH]2)c2ccccc2)s1 ZINC000368774462 301088203 /nfs/dbraw/zinc/08/82/03/301088203.db2.gz HPVKVNGTYYXFMF-SNVBAGLBSA-N -1 1 315.362 1.298 20 0 DDADMM CC(=CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1C ZINC000369359027 301171767 /nfs/dbraw/zinc/17/17/67/301171767.db2.gz PAAJJRZBXFXWSF-TZIYXEQSSA-N -1 1 313.361 1.512 20 0 DDADMM O=S(=O)(CC1CC1)[N-][C@@H](CO)c1nc(-c2ccccc2)no1 ZINC000372145249 301529831 /nfs/dbraw/zinc/52/98/31/301529831.db2.gz YGPNCUHIDHRHAY-LBPRGKRZSA-N -1 1 323.374 1.099 20 0 DDADMM CSc1cccc(F)c1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000375993946 302020676 /nfs/dbraw/zinc/02/06/76/302020676.db2.gz JONUWKXGPCYGHY-VIFPVBQESA-N -1 1 323.353 1.274 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2ccc([O-])cc2F)CC12CCC2 ZINC000376044823 302030014 /nfs/dbraw/zinc/03/00/14/302030014.db2.gz CYPGAZHOYAXCIB-LBPRGKRZSA-N -1 1 307.321 1.947 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(S(=O)(=O)N2CCC2)CC1 ZINC000377155592 302149382 /nfs/dbraw/zinc/14/93/82/302149382.db2.gz RRELDPVOIDONAB-UHFFFAOYSA-N -1 1 324.402 1.237 20 0 DDADMM Cc1cc([C@@H]2CCCN2S(=O)(=O)c2c(C)o[n-]c2=N)no1 ZINC000377887133 302247472 /nfs/dbraw/zinc/24/74/72/302247472.db2.gz CXDYKEKLLDCMMJ-JTQLQIEISA-N -1 1 312.351 1.218 20 0 DDADMM Cc1cnc(C(=O)NCC2(CS(C)(=O)=O)CCC2)c([O-])c1 ZINC000414015536 302334448 /nfs/dbraw/zinc/33/44/48/302334448.db2.gz YGMOMBAACPBIQP-UHFFFAOYSA-N -1 1 312.391 1.040 20 0 DDADMM Cc1cn(-c2cccnc2)nc1[N-]S(=O)(=O)N(C)C(C)C ZINC000378637485 302347165 /nfs/dbraw/zinc/34/71/65/302347165.db2.gz MDUXYNVJNOZSAB-UHFFFAOYSA-N -1 1 309.395 1.573 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-][C@H](C(C)=O)c1ccccc1F ZINC000416638935 302379010 /nfs/dbraw/zinc/37/90/10/302379010.db2.gz AEUJPCSGBFFHPT-NOZJJQNGSA-N -1 1 303.355 1.410 20 0 DDADMM C[C@@H](C(=O)Nc1ccncc1[O-])N(C(=O)OC(C)(C)C)C1CC1 ZINC000497174338 302682942 /nfs/dbraw/zinc/68/29/42/302682942.db2.gz ACIOHCNECTYDFE-JTQLQIEISA-N -1 1 321.377 1.936 20 0 DDADMM COCCO[N-]C(=O)[C@@H](C(C)C)[C@@H](C)NC(=O)OC(C)(C)C ZINC000497213787 302691736 /nfs/dbraw/zinc/69/17/36/302691736.db2.gz JQXFYIXIKUJVQK-NEPJUHHUSA-N -1 1 318.414 1.866 20 0 DDADMM COc1nn(C)cc1NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000356646727 306859010 /nfs/dbraw/zinc/85/90/10/306859010.db2.gz QVZTUVNDXXOQFS-UHFFFAOYSA-N -1 1 319.365 1.783 20 0 DDADMM CC1(C)[C@H](NC(=O)C(=O)c2ccc([O-])cc2)[C@@H]2CCCO[C@@H]21 ZINC000519755407 302852738 /nfs/dbraw/zinc/85/27/38/302852738.db2.gz PKAUGLCXAOFOPU-CFVMTHIKSA-N -1 1 303.358 1.895 20 0 DDADMM O=S(=O)([N-]CC12CC(C1)CO2)c1ccc(F)c(F)c1F ZINC000528530675 303040124 /nfs/dbraw/zinc/04/01/24/303040124.db2.gz BEOYONNHZAEFAL-UHFFFAOYSA-N -1 1 307.293 1.561 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)Cc2cnc(C(=O)[O-])cn2)C12CCC2 ZINC000530162106 303177578 /nfs/dbraw/zinc/17/75/78/303177578.db2.gz FPOSSEOJGOIBJS-UONOGXRCSA-N -1 1 305.378 1.954 20 0 DDADMM O=C([O-])c1ccc(F)c2c1C[N@@H+](CCCN1CCOCC1)CC2 ZINC000530168525 303178410 /nfs/dbraw/zinc/17/84/10/303178410.db2.gz OAODKTWYERGZLE-UHFFFAOYSA-N -1 1 322.380 1.604 20 0 DDADMM O=c1nc(NCC2CCN(c3ccccc3)CC2)nc2[nH][n-]cc1-2 ZINC000530428813 303197084 /nfs/dbraw/zinc/19/70/84/303197084.db2.gz LYUXIFPLRRYNAH-UHFFFAOYSA-N -1 1 324.388 1.761 20 0 DDADMM O=C([C@@H]1CC(=O)c2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000530507300 303200355 /nfs/dbraw/zinc/20/03/55/303200355.db2.gz SAGVIUYCWZCXEP-GXFFZTMASA-N -1 1 311.345 1.276 20 0 DDADMM C[C@H](O)[C@@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000533008789 303304607 /nfs/dbraw/zinc/30/46/07/303304607.db2.gz KAVMGKNYKBHBQU-WDEREUQCSA-N -1 1 301.346 1.156 20 0 DDADMM NC(=O)C[C@@H]1CCCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000535732598 303350364 /nfs/dbraw/zinc/35/03/64/303350364.db2.gz IKFCXDCEASLJNE-JTQLQIEISA-N -1 1 308.407 1.009 20 0 DDADMM Cc1cc(NC(=O)c2cnncc2[O-])ccc1N1CCOCC1 ZINC001120634179 782064079 /nfs/dbraw/zinc/06/40/79/782064079.db2.gz ZBFHRDMEPWHSJX-UHFFFAOYSA-N -1 1 314.345 1.580 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H]3COCCN3C)[nH][n-]2)s1 ZINC000538855642 303391265 /nfs/dbraw/zinc/39/12/65/303391265.db2.gz BSGVICPXVHZBLD-LLVKDONJSA-N -1 1 306.391 1.138 20 0 DDADMM C[C@@H]1C[C@H](c2ccccc2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546798734 303519451 /nfs/dbraw/zinc/51/94/51/303519451.db2.gz APGSNXZJFFLFSN-YPMHNXCESA-N -1 1 323.356 1.436 20 0 DDADMM COCCOCc1cc(C(=O)Nc2ccncc2[O-])ccc1F ZINC000358349838 306940186 /nfs/dbraw/zinc/94/01/86/306940186.db2.gz IGAFLBVORSRYOR-UHFFFAOYSA-N -1 1 320.320 1.764 20 0 DDADMM O=C([N-]c1n[nH]c(-c2c(F)cccc2F)n1)c1cnsn1 ZINC000363428996 307027836 /nfs/dbraw/zinc/02/78/36/307027836.db2.gz GBDRNJFOHOPHQA-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@@H]1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370815545 307136934 /nfs/dbraw/zinc/13/69/34/307136934.db2.gz YOBQVICFTMQPJE-OUAUKWLOSA-N -1 1 319.390 1.273 20 0 DDADMM CCC[C@H]([NH3+])C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000372746962 307174483 /nfs/dbraw/zinc/17/44/83/307174483.db2.gz KFGXVTLSOIZHFC-HNNXBMFYSA-N -1 1 304.390 1.941 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CCN2CCOC[C@@H]2C1 ZINC000373398305 307187516 /nfs/dbraw/zinc/18/75/16/307187516.db2.gz JIWWZGQLMPNRGF-LBPRGKRZSA-N -1 1 313.357 1.097 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCC[C@H]4OCC[C@H]43)ccnc1-2 ZINC000376593430 307248840 /nfs/dbraw/zinc/24/88/40/307248840.db2.gz SZSPKBUTIMWDAJ-SAUWQKFDSA-N -1 1 301.350 1.127 20 0 DDADMM CC(=O)Nc1cccc(CN2CCC[C@H](c3n[nH]c(=O)[n-]3)C2)c1 ZINC000377485145 307270590 /nfs/dbraw/zinc/27/05/90/307270590.db2.gz OYRCEPHLZWSFTM-ZDUSSCGKSA-N -1 1 315.377 1.848 20 0 DDADMM CC(C)(C)OC(=O)NCC1(CC(=O)[N-]OCC(F)F)CC1 ZINC000496047525 307323856 /nfs/dbraw/zinc/32/38/56/307323856.db2.gz VZSBQCHKAGXWBI-UHFFFAOYSA-N -1 1 308.325 1.994 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)N2CCNC[C@@H]2C(C)C)s[n-]1 ZINC000528689612 307542210 /nfs/dbraw/zinc/54/22/10/307542210.db2.gz FBKFOZFFYOMLKI-VHSXEESVSA-N -1 1 313.427 1.129 20 0 DDADMM CC[C@@H](NC(=O)c1c(C)[n-]c(=O)nc1SC)[C@H]1CCCO1 ZINC000534410961 307648388 /nfs/dbraw/zinc/64/83/88/307648388.db2.gz ISWCRSLBOAFNIU-NXEZZACHSA-N -1 1 311.407 1.900 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCc1ccncc1C ZINC000535884157 307661641 /nfs/dbraw/zinc/66/16/41/307661641.db2.gz BXXHQQGPMKQTLI-UHFFFAOYSA-N -1 1 318.402 1.888 20 0 DDADMM CCC[C@@H](C)CS(=O)(=O)N[C@@H](CN1CCCCC1)C(=O)[O-] ZINC000548222145 307760560 /nfs/dbraw/zinc/76/05/60/307760560.db2.gz KHGFOECHKIMSQV-OLZOCXBDSA-N -1 1 320.455 1.281 20 0 DDADMM Cc1cnc(C(=O)N2CCC([C@@H](O)C(F)(F)F)CC2)c([O-])c1 ZINC000548312276 307764341 /nfs/dbraw/zinc/76/43/41/307764341.db2.gz KVKWXENBDYGHDY-GFCCVEGCSA-N -1 1 318.295 1.871 20 0 DDADMM CN1CCN(c2nc(C(=O)[O-])co2)C[C@H]1Cc1ccccc1 ZINC000565036462 308017560 /nfs/dbraw/zinc/01/75/60/308017560.db2.gz RZGCDKMFZUPSSA-CYBMUJFWSA-N -1 1 301.346 1.736 20 0 DDADMM COC(=O)c1ccc(C(=O)N=c2ccn(Cc3ccccc3)[nH]2)[n-]1 ZINC000568584208 308123221 /nfs/dbraw/zinc/12/32/21/308123221.db2.gz JTYPITFHWKDQJX-UHFFFAOYSA-N -1 1 324.340 1.720 20 0 DDADMM CC(=O)c1cccc(C[N-]S(=O)(=O)c2c(C)noc2C)c1 ZINC000569868317 308152179 /nfs/dbraw/zinc/15/21/79/308152179.db2.gz WOHHVCKZRLZGLZ-UHFFFAOYSA-N -1 1 308.359 1.973 20 0 DDADMM CCN1C[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)CC1=O ZINC000569900417 308153459 /nfs/dbraw/zinc/15/34/59/308153459.db2.gz FKPCGUAUUOZMFX-NSHDSACASA-N -1 1 308.359 1.332 20 0 DDADMM Nc1nc2c(s1)C[C@@H](NC(=O)c1c([O-])cccc1F)CC2 ZINC000570521121 308172358 /nfs/dbraw/zinc/17/23/58/308172358.db2.gz DWDZOBPYYHJMNO-ZETCQYMHSA-N -1 1 307.350 1.688 20 0 DDADMM O=C(N=c1cc(-c2cccc(F)c2F)[n-][nH]1)[C@@H](O)C1CCC1 ZINC000571089872 308190329 /nfs/dbraw/zinc/19/03/29/308190329.db2.gz JNQGHSXYPMDVRI-AWEZNQCLSA-N -1 1 307.300 1.876 20 0 DDADMM CO[C@H]1CCCN([C@H](C)C(=O)NCc2ccc(C(=O)[O-])cc2)C1 ZINC000571337328 308195097 /nfs/dbraw/zinc/19/50/97/308195097.db2.gz PJIPEMHBMIYIIF-DOMZBBRYSA-N -1 1 320.389 1.500 20 0 DDADMM C[C@@H]1CN(C(C)(C)CNc2ncc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC000571804046 308202558 /nfs/dbraw/zinc/20/25/58/308202558.db2.gz FJWHENKKTXPJGI-PHIMTYICSA-N -1 1 308.382 1.475 20 0 DDADMM O=C(c1ccc(O)c(Cl)c1)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000573373502 308238627 /nfs/dbraw/zinc/23/86/27/308238627.db2.gz CQICQZPUQAJJBU-UHFFFAOYSA-N -1 1 322.752 1.889 20 0 DDADMM Cn1ccnc1[C@H]1C[C@H](NC(=O)c2cc(F)ccc2[O-])CCO1 ZINC000574134172 308262502 /nfs/dbraw/zinc/26/25/02/308262502.db2.gz RODXQOPFDZVFLI-BXUZGUMPSA-N -1 1 319.336 1.915 20 0 DDADMM CCOC(=O)c1ccc(NC(=O)C[N@@H+](C)CCCC(=O)[O-])cc1 ZINC000577519227 308395207 /nfs/dbraw/zinc/39/52/07/308395207.db2.gz SJRZGDUHAFJKBB-UHFFFAOYSA-N -1 1 322.361 1.598 20 0 DDADMM CCOC(=O)c1ccc(NC(=O)CN(C)CCCC(=O)[O-])cc1 ZINC000577519227 308395208 /nfs/dbraw/zinc/39/52/08/308395208.db2.gz SJRZGDUHAFJKBB-UHFFFAOYSA-N -1 1 322.361 1.598 20 0 DDADMM Cn1[nH]c([C@H]2CCCN2C(=O)c2ccc(Cl)cc2[O-])nc1=N ZINC000580778043 308630627 /nfs/dbraw/zinc/63/06/27/308630627.db2.gz CGHBCSMLXUPTAT-SNVBAGLBSA-N -1 1 321.768 1.564 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)[C@H]1NCCc2ccccc21 ZINC000397093021 337249361 /nfs/dbraw/zinc/24/93/61/337249361.db2.gz LUZORONZHPMYJN-ZLDLUXBVSA-N -1 1 302.374 1.585 20 0 DDADMM CO[C@@](C)(C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000372372160 483934507 /nfs/dbraw/zinc/93/45/07/483934507.db2.gz CCBSYYAHJUPZGZ-BLLLJJGKSA-N -1 1 315.377 1.468 20 0 DDADMM C[C@H](NC(=O)N[C@@H](C)[C@@H]1C[C@H](C(=O)[O-])C1(C)C)c1nnc[nH]1 ZINC000655901898 483995613 /nfs/dbraw/zinc/99/56/13/483995613.db2.gz MJPCIEALZPYOET-AATLWQCWSA-N -1 1 309.370 1.300 20 0 DDADMM COCCN(CC(=O)[O-])Cc1cccc2c1OC(F)(F)O2 ZINC000655922467 484022790 /nfs/dbraw/zinc/02/27/90/484022790.db2.gz VCQBVPFVRNQZHU-UHFFFAOYSA-N -1 1 303.261 1.541 20 0 DDADMM FC(F)(F)c1cc(Br)cnc1NCc1nn[n-]n1 ZINC000236133844 484179070 /nfs/dbraw/zinc/17/90/70/484179070.db2.gz UHPBLWLWBSRGLA-UHFFFAOYSA-N -1 1 323.076 1.988 20 0 DDADMM O=C([O-])[C@H](C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1)C1CC1 ZINC000663042176 484620189 /nfs/dbraw/zinc/62/01/89/484620189.db2.gz ULPZUYCWEFBJDH-NEPJUHHUSA-N -1 1 318.377 1.499 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000663077162 484648891 /nfs/dbraw/zinc/64/88/91/484648891.db2.gz VAEFALGMMCUOCY-WFASDCNBSA-N -1 1 312.341 1.034 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@]1(C(=O)[O-])CC1(C)C)c1cccs1 ZINC000663098010 484662980 /nfs/dbraw/zinc/66/29/80/484662980.db2.gz NFBDCQHBCCSTJJ-ZUZCIYMTSA-N -1 1 310.419 1.968 20 0 DDADMM CN(C)c1ccncc1C(=O)N1CCC(CCC(=O)[O-])CC1 ZINC000663128762 484690367 /nfs/dbraw/zinc/69/03/67/484690367.db2.gz JRLZXXSOLAPJAV-UHFFFAOYSA-N -1 1 305.378 1.865 20 0 DDADMM O=C([O-])[C@H](CNC(=O)Cc1[nH]nc2ccccc21)C1CCC1 ZINC000663151343 484706826 /nfs/dbraw/zinc/70/68/26/484706826.db2.gz QQVUSWNUBPQJJO-GFCCVEGCSA-N -1 1 301.346 1.723 20 0 DDADMM O=C([O-])CN(C(=O)NC[C@H]1CCN1Cc1ccccc1)C1CC1 ZINC000663269066 484775939 /nfs/dbraw/zinc/77/59/39/484775939.db2.gz BQUSCVFUSPKBCJ-OAHLLOKOSA-N -1 1 317.389 1.520 20 0 DDADMM O=C(NC1CCN(C(=O)C2CC2)CC1)C(=O)c1ccc([O-])cc1 ZINC000672720602 485339228 /nfs/dbraw/zinc/33/92/28/485339228.db2.gz SYBCIVCIIUKPLV-UHFFFAOYSA-N -1 1 316.357 1.092 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H]1CCCCN1Cc1ccc(F)cc1 ZINC000673837909 485454236 /nfs/dbraw/zinc/45/42/36/485454236.db2.gz MOFTXFCMROAOAL-CYBMUJFWSA-N -1 1 318.356 1.010 20 0 DDADMM CCN(C)S(=O)(=O)[N-]c1cc(-c2ccccc2OC)[nH]n1 ZINC000674754324 485718598 /nfs/dbraw/zinc/71/85/98/485718598.db2.gz COHZBIQEWLOAAT-UHFFFAOYSA-N -1 1 310.379 1.694 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@]2(C)CCCO2)c(F)c1 ZINC000674891749 485805712 /nfs/dbraw/zinc/80/57/12/485805712.db2.gz IYXTUTVZWVUCGN-CYBMUJFWSA-N -1 1 321.345 1.821 20 0 DDADMM COc1n[nH]c([N-]C(=O)c2noc(-c3cccc(F)c3)n2)n1 ZINC000679320479 485847866 /nfs/dbraw/zinc/84/78/66/485847866.db2.gz WBCIODATLZGIHT-UHFFFAOYSA-N -1 1 304.241 1.255 20 0 DDADMM COC1(CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC000683019112 485861743 /nfs/dbraw/zinc/86/17/43/485861743.db2.gz YWEJDLHVVSBNCL-CYBMUJFWSA-N -1 1 301.350 1.365 20 0 DDADMM Cc1nscc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000679720754 485985239 /nfs/dbraw/zinc/98/52/39/485985239.db2.gz BVAGPOCCPNZBQG-NSHDSACASA-N -1 1 300.347 1.484 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000683522499 486036746 /nfs/dbraw/zinc/03/67/46/486036746.db2.gz URCRZSOFZRNUNM-LLVKDONJSA-N -1 1 315.366 1.571 20 0 DDADMM CCCN(C(=O)c1ccc([O-])c(F)c1)[C@H]1CCS(=O)(=O)C1 ZINC000681017176 486323748 /nfs/dbraw/zinc/32/37/48/486323748.db2.gz XMMBEZYPVQFHPF-NSHDSACASA-N -1 1 315.366 1.571 20 0 DDADMM NC(=O)COc1cccc(NC(=O)c2ccc([O-])c(F)c2)c1 ZINC000681014441 486323829 /nfs/dbraw/zinc/32/38/29/486323829.db2.gz MPBKQZJSIWPZRB-UHFFFAOYSA-N -1 1 304.277 1.648 20 0 DDADMM C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1ccc([O-])c(F)c1 ZINC000681046160 486330136 /nfs/dbraw/zinc/33/01/36/486330136.db2.gz FCELQVSMXNKZJV-BDAKNGLRSA-N -1 1 303.355 1.425 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC(O[C@H]2CCOC2)CC1 ZINC000681052676 486331944 /nfs/dbraw/zinc/33/19/44/486331944.db2.gz CBCXHNNTMBMGTE-ZDUSSCGKSA-N -1 1 309.337 1.941 20 0 DDADMM CO[C@@H](C)c1noc(CN(C)C(=O)c2ccc([O-])c(F)c2)n1 ZINC000681051758 486332106 /nfs/dbraw/zinc/33/21/06/486332106.db2.gz HIAPYCPNHSTLOO-QMMMGPOBSA-N -1 1 309.297 1.894 20 0 DDADMM COc1ccc2nc(C3CC3)cc(C(=O)NCc3nn[n-]n3)c2c1 ZINC000676883727 486354162 /nfs/dbraw/zinc/35/41/62/486354162.db2.gz QEPNGVZSAKOLKE-UHFFFAOYSA-N -1 1 324.344 1.564 20 0 DDADMM C/C=C\C[C@@H](CO)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000681157305 486361123 /nfs/dbraw/zinc/36/11/23/486361123.db2.gz ZVZGYVNUDNYLCJ-TYRPZCRBSA-N -1 1 321.345 1.579 20 0 DDADMM COCc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000677047612 486385448 /nfs/dbraw/zinc/38/54/48/486385448.db2.gz FYPXXZCRMDTFGS-CYBMUJFWSA-N -1 1 301.350 1.366 20 0 DDADMM O=C(Cc1nc(-c2ccc(F)cc2)cs1)NCc1nn[n-]n1 ZINC000681270689 486392881 /nfs/dbraw/zinc/39/28/81/486392881.db2.gz AMORXSOWAOOTGF-UHFFFAOYSA-N -1 1 318.337 1.321 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)c1ccncc1 ZINC000685505215 486553188 /nfs/dbraw/zinc/55/31/88/486553188.db2.gz ZTQSPLQHHZYSGD-UHFFFAOYSA-N -1 1 310.379 1.216 20 0 DDADMM COC(=O)c1c[n-]c(SCc2nnnn2CC(F)(F)F)n1 ZINC000432671457 534307709 /nfs/dbraw/zinc/30/77/09/534307709.db2.gz VPMXZIAKUUQNPX-UHFFFAOYSA-N -1 1 322.272 1.037 20 0 DDADMM NC(=O)N[C@@H](CC(=O)[N-]O[C@H]1CCCCO1)c1cccs1 ZINC000299486801 534402386 /nfs/dbraw/zinc/40/23/86/534402386.db2.gz BHJJNJJXVZVWOP-CABZTGNLSA-N -1 1 313.379 1.422 20 0 DDADMM N=c1nc(N2CCN(C(=O)NCC3CCCCC3)CC2)s[n-]1 ZINC000331954857 534841101 /nfs/dbraw/zinc/84/11/01/534841101.db2.gz TYHWJBSDSNELNH-UHFFFAOYSA-N -1 1 324.454 1.363 20 0 DDADMM CC(C)(C)n1cnc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000331905078 526931804 /nfs/dbraw/zinc/93/18/04/526931804.db2.gz XRFMRTWMUAZYTO-SECBINFHSA-N -1 1 320.353 1.142 20 0 DDADMM CCN(C)C(=O)[C@@H]([N-]S(=O)(=O)c1sccc1F)C(C)C ZINC000451736618 527765671 /nfs/dbraw/zinc/76/56/71/527765671.db2.gz SONBVMLGDHNVLK-JTQLQIEISA-N -1 1 322.427 1.668 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C(=O)OCC)c(C)[nH]1)c1nn[n-]n1 ZINC000294907159 528202309 /nfs/dbraw/zinc/20/23/09/528202309.db2.gz JZAKSFKMUPERDT-JTQLQIEISA-N -1 1 320.353 1.284 20 0 DDADMM CCNC(=O)c1ccc(=NCCCc2ccc(OC)cc2)[n-]n1 ZINC000413062612 528227981 /nfs/dbraw/zinc/22/79/81/528227981.db2.gz DTLTZQYYFGBXNM-UHFFFAOYSA-N -1 1 314.389 1.702 20 0 DDADMM CCC(CC)(CNC(=O)NCCN1CC=C(C)CC1)C(=O)[O-] ZINC000424445980 528673741 /nfs/dbraw/zinc/67/37/41/528673741.db2.gz FSYVLDJNZDQEFS-UHFFFAOYSA-N -1 1 311.426 1.829 20 0 DDADMM CCCc1nsc([N-]c2cnn(CC(=O)NCCOC)c2)n1 ZINC000292579237 528799343 /nfs/dbraw/zinc/79/93/43/528799343.db2.gz KUXISPMIAYDUNT-UHFFFAOYSA-N -1 1 324.410 1.193 20 0 DDADMM CCN1CCN(C(=O)c2ncccc2[O-])C[C@@H]1c1ncc[nH]1 ZINC000328616406 529135814 /nfs/dbraw/zinc/13/58/14/529135814.db2.gz BPOKOZWBWYZTLT-LLVKDONJSA-N -1 1 301.350 1.029 20 0 DDADMM CCOc1ccccc1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736654151 598996225 /nfs/dbraw/zinc/99/62/25/598996225.db2.gz QOLGPAYPMSRKOU-UHFFFAOYSA-N -1 1 324.344 1.590 20 0 DDADMM CCOc1ccccc1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736654151 598996227 /nfs/dbraw/zinc/99/62/27/598996227.db2.gz QOLGPAYPMSRKOU-UHFFFAOYSA-N -1 1 324.344 1.590 20 0 DDADMM OCC[C@H]1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000823718185 599037772 /nfs/dbraw/zinc/03/77/72/599037772.db2.gz ROSIRXCPAYQTQT-SNVBAGLBSA-N -1 1 311.349 1.019 20 0 DDADMM OCC[C@H]1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000823718185 599037775 /nfs/dbraw/zinc/03/77/75/599037775.db2.gz ROSIRXCPAYQTQT-SNVBAGLBSA-N -1 1 311.349 1.019 20 0 DDADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)Oc1ccccc1F ZINC000820281315 599067839 /nfs/dbraw/zinc/06/78/39/599067839.db2.gz VECRDANJKVIMFM-VIFPVBQESA-N -1 1 315.312 1.675 20 0 DDADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)Oc1ccccc1F ZINC000820281315 599067840 /nfs/dbraw/zinc/06/78/40/599067840.db2.gz VECRDANJKVIMFM-VIFPVBQESA-N -1 1 315.312 1.675 20 0 DDADMM CCO[C@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)C12CCC2 ZINC000736613746 599119443 /nfs/dbraw/zinc/11/94/43/599119443.db2.gz SXVRDEJYRZGZEZ-ZJUUUORDSA-N -1 1 301.354 1.416 20 0 DDADMM CCO[C@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)C12CCC2 ZINC000736613746 599119445 /nfs/dbraw/zinc/11/94/45/599119445.db2.gz SXVRDEJYRZGZEZ-ZJUUUORDSA-N -1 1 301.354 1.416 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CN2C(=O)[C@@H]3CC=CC[C@@H]3C2=O)C1 ZINC000819380432 597044929 /nfs/dbraw/zinc/04/49/29/597044929.db2.gz LUHGMPCFIUWXRL-UPJWGTAASA-N -1 1 306.362 1.082 20 0 DDADMM Cn1cc(N2CC[C@@H](NCc3ccc(C(=O)[O-])cc3)C2=O)cn1 ZINC000819067413 597209205 /nfs/dbraw/zinc/20/92/05/597209205.db2.gz KXGHODZGMGLSOI-CQSZACIVSA-N -1 1 314.345 1.013 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CC[C@H](C(=O)[O-])C1)c1cccs1 ZINC000818374859 597505885 /nfs/dbraw/zinc/50/58/85/597505885.db2.gz SQOPUKAJVSHYDN-QWRGUYRKSA-N -1 1 311.407 1.467 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CC[C@H](C(=O)[O-])C1)c1ccc(F)cc1 ZINC000818374914 597509358 /nfs/dbraw/zinc/50/93/58/597509358.db2.gz UKDHSHUYNUVLHK-GXTWGEPZSA-N -1 1 323.368 1.545 20 0 DDADMM c1cc(NCc2nnc3n2CCCCC3)nc(-c2nnn[n-]2)c1 ZINC000823756511 607303405 /nfs/dbraw/zinc/30/34/05/607303405.db2.gz AVKRVAUKLWHNIH-UHFFFAOYSA-N -1 1 311.353 1.192 20 0 DDADMM c1cc(NCc2nnc3n2CCCCC3)nc(-c2nn[n-]n2)c1 ZINC000823756511 607303406 /nfs/dbraw/zinc/30/34/06/607303406.db2.gz AVKRVAUKLWHNIH-UHFFFAOYSA-N -1 1 311.353 1.192 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)Nc1cccc(CC(=O)[O-])c1 ZINC000820095529 597735622 /nfs/dbraw/zinc/73/56/22/597735622.db2.gz HAPSPAPMMWBJIM-LBPRGKRZSA-N -1 1 306.362 1.221 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)N1CCN(CC2CC2)CC1 ZINC000315014763 598177292 /nfs/dbraw/zinc/17/72/92/598177292.db2.gz SPOSFEZTLDXXKN-UHFFFAOYSA-N -1 1 303.362 1.944 20 0 DDADMM CCc1nn(C)c(OC)c1CNCc1cccc(C(=O)[O-])c1 ZINC000263379569 598194471 /nfs/dbraw/zinc/19/44/71/598194471.db2.gz BHZAOHWVHMJLCZ-UHFFFAOYSA-N -1 1 303.362 1.979 20 0 DDADMM CCO[C@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000736610888 598276363 /nfs/dbraw/zinc/27/63/63/598276363.db2.gz YGRRMEDTGHCOII-VIFPVBQESA-N -1 1 308.773 1.920 20 0 DDADMM CCO[C@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000736610888 598276364 /nfs/dbraw/zinc/27/63/64/598276364.db2.gz YGRRMEDTGHCOII-VIFPVBQESA-N -1 1 308.773 1.920 20 0 DDADMM CC(C)NC(=O)CCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000735884897 598276486 /nfs/dbraw/zinc/27/64/86/598276486.db2.gz QYEWSTBWKPQWMG-UHFFFAOYSA-N -1 1 309.761 1.242 20 0 DDADMM CC(C)NC(=O)CCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000735884897 598276488 /nfs/dbraw/zinc/27/64/88/598276488.db2.gz QYEWSTBWKPQWMG-UHFFFAOYSA-N -1 1 309.761 1.242 20 0 DDADMM O=C1OCCN1c1cccc(Nc2nccnc2-c2nn[n-]n2)c1 ZINC000738317663 598342525 /nfs/dbraw/zinc/34/25/25/598342525.db2.gz FIZVDZIHOITRQU-UHFFFAOYSA-N -1 1 324.304 1.357 20 0 DDADMM O=C1OCCN1c1cccc(Nc2nccnc2-c2nnn[n-]2)c1 ZINC000738317663 598342523 /nfs/dbraw/zinc/34/25/23/598342523.db2.gz FIZVDZIHOITRQU-UHFFFAOYSA-N -1 1 324.304 1.357 20 0 DDADMM COC(=O)Nc1ccc(Nc2nccnc2-c2nnn[n-]2)cc1 ZINC000736941288 598345513 /nfs/dbraw/zinc/34/55/13/598345513.db2.gz WPEFTIXTBFAQHV-UHFFFAOYSA-N -1 1 312.293 1.579 20 0 DDADMM COC(=O)Nc1ccc(Nc2nccnc2-c2nn[n-]n2)cc1 ZINC000736941288 598345515 /nfs/dbraw/zinc/34/55/15/598345515.db2.gz WPEFTIXTBFAQHV-UHFFFAOYSA-N -1 1 312.293 1.579 20 0 DDADMM Cc1csc([C@@H]2CCCN2c2nccnc2-c2nnn[n-]2)n1 ZINC000737455234 598351752 /nfs/dbraw/zinc/35/17/52/598351752.db2.gz ONQPDGZMSRSOIK-VIFPVBQESA-N -1 1 314.378 1.763 20 0 DDADMM Cc1csc([C@@H]2CCCN2c2nccnc2-c2nn[n-]n2)n1 ZINC000737455234 598351754 /nfs/dbraw/zinc/35/17/54/598351754.db2.gz ONQPDGZMSRSOIK-VIFPVBQESA-N -1 1 314.378 1.763 20 0 DDADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)N[C@H]1C[C@@H]1C ZINC000737202231 598501785 /nfs/dbraw/zinc/50/17/85/598501785.db2.gz XUCURKISCIBRQM-CPCISQLKSA-N -1 1 316.365 1.041 20 0 DDADMM CC[C@@H](C)C[C@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820870622 599365032 /nfs/dbraw/zinc/36/50/32/599365032.db2.gz WHLCDDGDDSWWLY-ZJUUUORDSA-N -1 1 324.410 1.365 20 0 DDADMM CC[C@@H](C)C[C@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820870622 599365033 /nfs/dbraw/zinc/36/50/33/599365033.db2.gz WHLCDDGDDSWWLY-ZJUUUORDSA-N -1 1 324.410 1.365 20 0 DDADMM COc1nc(C)cc(C)c1CNc1cccc(-c2nnn[n-]2)n1 ZINC000737163978 599427251 /nfs/dbraw/zinc/42/72/51/599427251.db2.gz WKIUBQIVGDUKQT-UHFFFAOYSA-N -1 1 311.349 1.894 20 0 DDADMM COc1nc(C)cc(C)c1CNc1cccc(-c2nn[n-]n2)n1 ZINC000737163978 599427253 /nfs/dbraw/zinc/42/72/53/599427253.db2.gz WKIUBQIVGDUKQT-UHFFFAOYSA-N -1 1 311.349 1.894 20 0 DDADMM CC(=O)Nc1ccc(CCNc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000735484367 599428173 /nfs/dbraw/zinc/42/81/73/599428173.db2.gz JPKHZCIXZQJDLF-UHFFFAOYSA-N -1 1 323.360 1.875 20 0 DDADMM CC(=O)Nc1ccc(CCNc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000735484367 599428175 /nfs/dbraw/zinc/42/81/75/599428175.db2.gz JPKHZCIXZQJDLF-UHFFFAOYSA-N -1 1 323.360 1.875 20 0 DDADMM CC(C)(C)OC(=O)NCCCNc1cccc(-c2nnn[n-]2)n1 ZINC000735636493 599428674 /nfs/dbraw/zinc/42/86/74/599428674.db2.gz WWFCXOLTPSRPNJ-UHFFFAOYSA-N -1 1 319.369 1.588 20 0 DDADMM CC(C)(C)OC(=O)NCCCNc1cccc(-c2nn[n-]n2)n1 ZINC000735636493 599428677 /nfs/dbraw/zinc/42/86/77/599428677.db2.gz WWFCXOLTPSRPNJ-UHFFFAOYSA-N -1 1 319.369 1.588 20 0 DDADMM Cc1nc(C)c(CCNc2cccc(-c3nnn[n-]3)n2)s1 ZINC000737473923 599434567 /nfs/dbraw/zinc/43/45/67/599434567.db2.gz NCNVLPUQSIHSIX-UHFFFAOYSA-N -1 1 301.379 1.990 20 0 DDADMM Cc1nc(C)c(CCNc2cccc(-c3nn[n-]n3)n2)s1 ZINC000737473923 599434568 /nfs/dbraw/zinc/43/45/68/599434568.db2.gz NCNVLPUQSIHSIX-UHFFFAOYSA-N -1 1 301.379 1.990 20 0 DDADMM CN1C[C@H](Nc2snc(Cl)c2-c2nnn[n-]2)CCC1=O ZINC000736845161 599530139 /nfs/dbraw/zinc/53/01/39/599530139.db2.gz JWRJEFPOSJPHOM-RXMQYKEDSA-N -1 1 313.774 1.009 20 0 DDADMM CN1C[C@H](Nc2snc(Cl)c2-c2nn[n-]n2)CCC1=O ZINC000736845161 599530141 /nfs/dbraw/zinc/53/01/41/599530141.db2.gz JWRJEFPOSJPHOM-RXMQYKEDSA-N -1 1 313.774 1.009 20 0 DDADMM CCOc1ccc(OCC)c(NS(=O)(=O)CCC(=O)[O-])c1 ZINC000020547696 599873709 /nfs/dbraw/zinc/87/37/09/599873709.db2.gz QXJNBQAGZNLRBN-UHFFFAOYSA-N -1 1 317.363 1.700 20 0 DDADMM O=C([O-])C1CCN(C(=O)CNC2(c3ccccc3)CC2)CC1 ZINC000738545655 599875849 /nfs/dbraw/zinc/87/58/49/599875849.db2.gz ZSTRYYJICFITKX-UHFFFAOYSA-N -1 1 302.374 1.589 20 0 DDADMM O=C([O-])c1cn(CN2CCC[C@@H](Cc3ccccc3)C2)nn1 ZINC000740253124 599954885 /nfs/dbraw/zinc/95/48/85/599954885.db2.gz IKBCKMJIFIJIBF-AWEZNQCLSA-N -1 1 300.362 1.889 20 0 DDADMM O=C([O-])CNC(=O)CCN[C@@H](c1ccccc1)c1ccccn1 ZINC000739809166 600159054 /nfs/dbraw/zinc/15/90/54/600159054.db2.gz ODXDMWFAQXHDIN-KRWDZBQOSA-N -1 1 313.357 1.352 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(CC(=O)N1CCc3ccccc31)CC2 ZINC000405317155 600296406 /nfs/dbraw/zinc/29/64/06/600296406.db2.gz AZAUVXSTQKMHET-AWEZNQCLSA-N -1 1 314.385 1.762 20 0 DDADMM CC[C@@H]1CN(C[C@@H](O)COc2ccc(C(=O)[O-])cc2)C[C@H](C)O1 ZINC000736945437 600332219 /nfs/dbraw/zinc/33/22/19/600332219.db2.gz XNOSHTHFYAYCFH-NWANDNLSSA-N -1 1 323.389 1.624 20 0 DDADMM CC(C)[C@]1(C)NC(=O)N(CN2CCCC[C@@H]2CC(=O)[O-])C1=O ZINC000736392633 600417612 /nfs/dbraw/zinc/41/76/12/600417612.db2.gz VFRFFXWIELVMLG-ABAIWWIYSA-N -1 1 311.382 1.240 20 0 DDADMM CCOCCCN(C[C@H](C)C(=O)[O-])C(=O)[C@@H]1CCCN1C ZINC000737168030 600500289 /nfs/dbraw/zinc/50/02/89/600500289.db2.gz KVBQNZCINJJBGP-STQMWFEESA-N -1 1 300.399 1.057 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2cnccc2C(=O)[O-])cc1 ZINC000079701408 600513927 /nfs/dbraw/zinc/51/39/27/600513927.db2.gz MTRJKYSRKFFDCY-UHFFFAOYSA-N -1 1 308.315 1.589 20 0 DDADMM Cc1n[nH]c(C(=O)NCCOc2ccc(C(=O)[O-])cc2)c1C ZINC000738248118 600618328 /nfs/dbraw/zinc/61/83/28/600618328.db2.gz BQCJLDCSFXWKOK-UHFFFAOYSA-N -1 1 303.318 1.534 20 0 DDADMM Cc1nn(C)c(NS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2)c1C ZINC000832957696 600830731 /nfs/dbraw/zinc/83/07/31/600830731.db2.gz JAVIHOOYKOAYAC-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM O=C([O-])CCNC(=O)CN1CC[C@H](CSc2ccccc2)C1 ZINC000833236242 600848068 /nfs/dbraw/zinc/84/80/68/600848068.db2.gz BFBVTEFWUWVFFY-ZDUSSCGKSA-N -1 1 322.430 1.692 20 0 DDADMM O=C([O-])C1CCN(C(=O)CN2CCC(OCC3CC3)CC2)CC1 ZINC000833190076 600861765 /nfs/dbraw/zinc/86/17/65/600861765.db2.gz KUMHCWRHULCEAD-UHFFFAOYSA-N -1 1 324.421 1.201 20 0 DDADMM Cc1nnc(SCC(=O)N2[C@@H]3CCCC[C@@H]3C[C@H]2C(=O)[O-])[nH]1 ZINC000832990470 600896347 /nfs/dbraw/zinc/89/63/47/600896347.db2.gz CBYHVXRDGHBWJM-MXWKQRLJSA-N -1 1 324.406 1.450 20 0 DDADMM Cc1n[nH]c(SCC(=O)N2[C@@H]3CCCC[C@@H]3C[C@H]2C(=O)[O-])n1 ZINC000832990470 600896349 /nfs/dbraw/zinc/89/63/49/600896349.db2.gz CBYHVXRDGHBWJM-MXWKQRLJSA-N -1 1 324.406 1.450 20 0 DDADMM CC[C@@H](O)[C@@H]1CCCCN1CC(=O)N[C@H](C(=O)[O-])[C@@H](C)CC ZINC000736878137 600978277 /nfs/dbraw/zinc/97/82/77/600978277.db2.gz LSNSPUGIVCKNNA-XPCVCDNBSA-N -1 1 314.426 1.227 20 0 DDADMM O=C([O-])c1ccnc(NS(=O)(=O)c2ccc3c(c2)COC3)c1 ZINC000833335568 600983823 /nfs/dbraw/zinc/98/38/23/600983823.db2.gz ZUUPVIZIWRIXCG-UHFFFAOYSA-N -1 1 320.326 1.611 20 0 DDADMM COC(=O)c1cc(NC(=O)CN(C)C2CCC2)cc(C(=O)[O-])c1 ZINC000831269015 601022678 /nfs/dbraw/zinc/02/26/78/601022678.db2.gz HODGNWOSXCAUHD-UHFFFAOYSA-N -1 1 320.345 1.594 20 0 DDADMM C[C@@](NCC(=O)NCCOc1ccc(F)cc1)(C(=O)[O-])C1CC1 ZINC000827728030 601040092 /nfs/dbraw/zinc/04/00/92/601040092.db2.gz OYDWAHIDBHWPIZ-INIZCTEOSA-N -1 1 324.352 1.164 20 0 DDADMM CCN1C[C@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)[C@@H](C)C1 ZINC000737111991 601111222 /nfs/dbraw/zinc/11/12/22/601111222.db2.gz RHBTUNQNMCFMDS-GWCFXTLKSA-N -1 1 312.391 1.003 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cccc(N(C)C)c2)CC1 ZINC000827378899 601122764 /nfs/dbraw/zinc/12/27/64/601122764.db2.gz CEOVUASDUCHJGI-ZDUSSCGKSA-N -1 1 319.405 1.374 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)c1ccnc(C(=O)[O-])c1 ZINC000317118600 601288159 /nfs/dbraw/zinc/28/81/59/601288159.db2.gz ZTKMSDKSFGSNLL-YPMHNXCESA-N -1 1 303.362 1.479 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H](C(=O)N2CCN(C[C@H]3CCOC3)CC2)C1 ZINC000833187613 601331262 /nfs/dbraw/zinc/33/12/62/601331262.db2.gz YQQAYPVOUMGWCP-RBSFLKMASA-N -1 1 324.421 1.058 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCN([C@@H](CC)CO)CC1 ZINC000830374300 601422769 /nfs/dbraw/zinc/42/27/69/601422769.db2.gz AKTYZMZTQVDVNK-ZDUSSCGKSA-N -1 1 310.394 1.429 20 0 DDADMM CN(C(=O)CN1C[C@@H](C2CCCCC2)C[C@@H]1C(=O)[O-])C1CC1 ZINC000830478150 601431578 /nfs/dbraw/zinc/43/15/78/601431578.db2.gz KTGOGBHJWYPRBX-DZGCQCFKSA-N -1 1 308.422 1.963 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C[C@@H](O)COc2ccc(F)cc2)C1 ZINC000833175218 601447838 /nfs/dbraw/zinc/44/78/38/601447838.db2.gz OCXIXKAQKQVBAI-RISCZKNCSA-N -1 1 301.289 1.064 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C[C@H](O)COCc2cccs2)C1 ZINC000833176123 601448089 /nfs/dbraw/zinc/44/80/89/601448089.db2.gz WSBSDVGTTNZTFO-GWCFXTLKSA-N -1 1 303.355 1.124 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N1CCO[C@H](CC(=O)[O-])C1 ZINC000830624035 601559404 /nfs/dbraw/zinc/55/94/04/601559404.db2.gz PCXDAISXFIQRDU-LLVKDONJSA-N -1 1 312.391 1.125 20 0 DDADMM Cc1[nH]nc(C(=O)N(CC(=O)[O-])C2CC2)c1Br ZINC000832989820 601672306 /nfs/dbraw/zinc/67/23/06/601672306.db2.gz HNUNORJHHHGKEZ-UHFFFAOYSA-N -1 1 302.128 1.170 20 0 DDADMM O=C([O-])CCCc1csc(NC(=O)c2ncccc2O)n1 ZINC000159986522 601801470 /nfs/dbraw/zinc/80/14/70/601801470.db2.gz GFKUYDNVHSRIHB-UHFFFAOYSA-N -1 1 307.331 1.903 20 0 DDADMM COc1ccccc1CN(C)C(=O)CN[C@H](C(=O)[O-])C(C)C ZINC000832613068 601824903 /nfs/dbraw/zinc/82/49/03/601824903.db2.gz HGQLAGUJQLDXNX-HNNXBMFYSA-N -1 1 308.378 1.352 20 0 DDADMM CC(C)CCNC(=O)[C@H](C)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091131368 601921604 /nfs/dbraw/zinc/92/16/04/601921604.db2.gz PDLKTCLEHSSOJB-RYUDHWBXSA-N -1 1 302.440 1.429 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)N2CCCc3ccccc32)C1 ZINC000740642120 601964400 /nfs/dbraw/zinc/96/44/00/601964400.db2.gz LLNHBGUDUANZQA-ZDUSSCGKSA-N -1 1 317.389 1.555 20 0 DDADMM O=C([O-])N1CCC[C@H]1CNCc1nncn1-c1ccccc1 ZINC000740523165 602049336 /nfs/dbraw/zinc/04/93/36/602049336.db2.gz FTCHWFVDASEULH-ZDUSSCGKSA-N -1 1 301.350 1.499 20 0 DDADMM CC[C@@](C)(CC(=O)[O-])NCc1csc(CS(C)(=O)=O)n1 ZINC000828671476 602219505 /nfs/dbraw/zinc/21/95/05/602219505.db2.gz DKRWNMANOKLOFM-LBPRGKRZSA-N -1 1 320.436 1.421 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)CN[C@H](C(=O)[O-])C(C)(C)C ZINC000832596108 602225631 /nfs/dbraw/zinc/22/56/31/602225631.db2.gz OICLOFNOJGSETF-IAQYHMDHSA-N -1 1 322.405 1.961 20 0 DDADMM CCS(=O)(=O)c1ccc(O)c(NC(=O)[C@H](C)CC(=O)[O-])c1 ZINC000830140257 602266447 /nfs/dbraw/zinc/26/64/47/602266447.db2.gz HTAUIDCKJKXHTM-MRVPVSSYSA-N -1 1 315.347 1.235 20 0 DDADMM C[C@H](C(=O)NC1CCCC1)N1CCC(CN(C)C(=O)[O-])CC1 ZINC000738624575 602386516 /nfs/dbraw/zinc/38/65/16/602386516.db2.gz MIUZHNAMOASXBR-GFCCVEGCSA-N -1 1 311.426 1.756 20 0 DDADMM CC(C)n1nnnc1CN[C@@]1(CNC(=O)[O-])CCCC[C@H]1C ZINC000738891209 602553410 /nfs/dbraw/zinc/55/34/10/602553410.db2.gz QVPWSPMUNZXHGK-BXUZGUMPSA-N -1 1 310.402 1.560 20 0 DDADMM CCCN(CC(=O)N1CCC[C@@H](C)C1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739352687 602578569 /nfs/dbraw/zinc/57/85/69/602578569.db2.gz VSGDFLOFHPEJLA-KGLIPLIRSA-N -1 1 311.426 1.709 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CCC([C@@H]2CCCN2C(=O)[O-])CC1 ZINC000739728035 602589286 /nfs/dbraw/zinc/58/92/86/602589286.db2.gz QQLPNQLJNAWAAF-LSDHHAIUSA-N -1 1 323.437 1.852 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N[C@H]1CCN(C(=O)[O-])C1 ZINC000739608986 602593991 /nfs/dbraw/zinc/59/39/91/602593991.db2.gz SGTHKYDLFSXMER-UONOGXRCSA-N -1 1 305.378 1.028 20 0 DDADMM O=C([O-])N1CCC[C@H]1CNC(=O)N1CCN2CCCC[C@@H]2C1 ZINC000740420698 602615254 /nfs/dbraw/zinc/61/52/54/602615254.db2.gz SIZZQHDJQBVNOG-QWHCGFSZSA-N -1 1 310.398 1.009 20 0 DDADMM CCc1cc(CNC(=O)[C@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000739537445 602717339 /nfs/dbraw/zinc/71/73/39/602717339.db2.gz GILSDRFTZDUDSW-CYBMUJFWSA-N -1 1 302.334 1.597 20 0 DDADMM CCc1cc(CNC(=O)[C@@H]2C[C@@H]3CCCC[C@@H]3N2C(=O)[O-])n[nH]1 ZINC000739536961 602717376 /nfs/dbraw/zinc/71/73/76/602717376.db2.gz XGEIDLLNPVRIGQ-BPNCWPANSA-N -1 1 320.393 1.899 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CCN(C(=O)[O-])C[C@H]2C)o1 ZINC000739409639 602718495 /nfs/dbraw/zinc/71/84/95/602718495.db2.gz ATMBIKARJOVDHY-GFCCVEGCSA-N -1 1 323.393 1.946 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000739267954 602765060 /nfs/dbraw/zinc/76/50/60/602765060.db2.gz LESRQYLQABVEAX-MGPQQGTHSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)c2c(F)cccc2F)CC[C@H]1NC(=O)[O-] ZINC000739178794 602779443 /nfs/dbraw/zinc/77/94/43/602779443.db2.gz KJOQDJTXLZZAOF-OASPWFOLSA-N -1 1 314.332 1.976 20 0 DDADMM CC(C)c1nn(C)c(N(C)C)c1CN[C@@H]1CCN(C(=O)[O-])C1 ZINC000738881037 602877662 /nfs/dbraw/zinc/87/76/62/602877662.db2.gz IPLTYGPNXITWSX-LLVKDONJSA-N -1 1 309.414 1.451 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000740032546 602929197 /nfs/dbraw/zinc/92/91/97/602929197.db2.gz UDEUHENXBPDNFD-ZWNOBZJWSA-N -1 1 323.397 1.338 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000740573385 602983883 /nfs/dbraw/zinc/98/38/83/602983883.db2.gz SIHYAGBXDDELEH-CHWSQXEVSA-N -1 1 315.333 1.642 20 0 DDADMM O=C([O-])NCC(=O)Nc1ccc2nc(-c3ccccn3)[nH]c2c1 ZINC000738655024 603112358 /nfs/dbraw/zinc/11/23/58/603112358.db2.gz WSCUPINAJWXPBJ-UHFFFAOYSA-N -1 1 311.301 1.831 20 0 DDADMM O=C([O-])NCCCNC(=O)c1ccc(Nc2ccncc2)cc1 ZINC000740654569 603191770 /nfs/dbraw/zinc/19/17/70/603191770.db2.gz BRKKLJJSPCWOLJ-UHFFFAOYSA-N -1 1 314.345 1.635 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H](C(=O)Nc2nc(-c3ccco3)n[nH]2)C1 ZINC000740560765 603257817 /nfs/dbraw/zinc/25/78/17/603257817.db2.gz AVKLNHVLKYEIBE-DTWKUNHWSA-N -1 1 319.321 1.830 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)N[C@@H]1CCCc2ccccc21 ZINC000739681504 603258762 /nfs/dbraw/zinc/25/87/62/603258762.db2.gz FNGHTYVIXHJLJA-OAHLLOKOSA-N -1 1 319.405 1.770 20 0 DDADMM O=C([O-])N1CCC[C@@H](NC(=O)N2CCN(C3CCC3)CC2)C1 ZINC000739925403 603304426 /nfs/dbraw/zinc/30/44/26/603304426.db2.gz AABNMGITEPIROM-GFCCVEGCSA-N -1 1 310.398 1.009 20 0 DDADMM C[C@H](CNC(=O)[O-])N(C)C[C@@H]1CN(c2ccccc2)C(=O)O1 ZINC000738942683 603343060 /nfs/dbraw/zinc/34/30/60/603343060.db2.gz WUYJJROUDXTGSB-DGCLKSJQSA-N -1 1 307.350 1.600 20 0 DDADMM C[C@H](CC(=O)NC1CCN(Cc2ccccn2)CC1)NC(=O)[O-] ZINC000824623020 603351994 /nfs/dbraw/zinc/35/19/94/603351994.db2.gz RGXOXHBJYRBBOF-GFCCVEGCSA-N -1 1 320.393 1.208 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000828047183 603544782 /nfs/dbraw/zinc/54/47/82/603544782.db2.gz YWYUZBJOOQQZOP-NSHDSACASA-N -1 1 311.407 1.634 20 0 DDADMM CC(C)(CNC(=O)Nc1cnn(-c2ccncc2)c1)NC(=O)[O-] ZINC000823947115 603555391 /nfs/dbraw/zinc/55/53/91/603555391.db2.gz OXYXSXHATLLWKE-UHFFFAOYSA-N -1 1 318.337 1.435 20 0 DDADMM Cc1ccc(N2CC[C@@H](N[C@@H]3CCN(C(=O)[O-])C3)C2=O)cc1 ZINC000830340424 603644095 /nfs/dbraw/zinc/64/40/95/603644095.db2.gz LHVBJOSYKDYRRT-TZMCWYRMSA-N -1 1 303.362 1.442 20 0 DDADMM CC(C)(CNC(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000823969033 603653702 /nfs/dbraw/zinc/65/37/02/603653702.db2.gz DOEMZCGYJIXHLT-UHFFFAOYSA-N -1 1 303.322 1.500 20 0 DDADMM CC(C)(CNC(=O)c1c[nH]c2ccccc2c1=O)NC(=O)[O-] ZINC000823997971 603659553 /nfs/dbraw/zinc/65/95/53/603659553.db2.gz WQIVORLHPUYFQP-UHFFFAOYSA-N -1 1 303.318 1.304 20 0 DDADMM CC(C)(CNC(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000823960784 603691804 /nfs/dbraw/zinc/69/18/04/603691804.db2.gz RXSQHWMGJQAORB-UHFFFAOYSA-N -1 1 322.340 1.655 20 0 DDADMM O=C([O-])NC[C@H]1CCCCN1Cc1ccc(-n2ccnc2)nc1 ZINC000832528927 603753717 /nfs/dbraw/zinc/75/37/17/603753717.db2.gz VRXZPCSDHIPURZ-CQSZACIVSA-N -1 1 315.377 1.889 20 0 DDADMM O=C([O-])N[C@@H](CC(=O)NCc1cnc[nH]1)Cc1ccccc1 ZINC000832104925 603905930 /nfs/dbraw/zinc/90/59/30/603905930.db2.gz KGOURRNLMFJTKW-GFCCVEGCSA-N -1 1 302.334 1.295 20 0 DDADMM CN1CCN(C(=O)[C@H]2CC[C@@H](NC(=O)[O-])C2)C2(CCCCC2)C1 ZINC000828496825 603941919 /nfs/dbraw/zinc/94/19/19/603941919.db2.gz ZZNVBFOJIOLPFG-UONOGXRCSA-N -1 1 323.437 1.900 20 0 DDADMM C[C@@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)[C@H]1CNC(=O)[O-] ZINC000825907249 603952231 /nfs/dbraw/zinc/95/22/31/603952231.db2.gz XKCYCVOTVNGSGZ-SUNKGSAMSA-N -1 1 320.393 1.724 20 0 DDADMM O=C([O-])NC1CCC(NC(=O)N[C@@H]2CCCc3cn[nH]c32)CC1 ZINC000832314815 604276617 /nfs/dbraw/zinc/27/66/17/604276617.db2.gz ZYYZULGICXYDNT-HTAVTVPLSA-N -1 1 321.381 1.665 20 0 DDADMM CN(C(=O)OC(C)(C)C)[C@H]1CCCN(CCNC(=O)[O-])C1 ZINC000827927300 604363267 /nfs/dbraw/zinc/36/32/67/604363267.db2.gz NHSCXIGWXJKEMT-NSHDSACASA-N -1 1 301.387 1.585 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H](C)CN(C)C(=O)[O-])C2)n[nH]1 ZINC000830735898 604646573 /nfs/dbraw/zinc/64/65/73/604646573.db2.gz XHCINTWTWSYEEW-GXSJLCMTSA-N -1 1 309.370 1.065 20 0 DDADMM C[C@H](Oc1ccc(CN(C)[C@H]2CCN(C)C2=O)cc1)C(=O)[O-] ZINC000833536410 604657507 /nfs/dbraw/zinc/65/75/07/604657507.db2.gz CJTNYQHZXXEEIV-FZMZJTMJSA-N -1 1 306.362 1.201 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NCc2ccc(C(=O)[O-])o2)n[nH]1 ZINC000832883091 604686763 /nfs/dbraw/zinc/68/67/63/604686763.db2.gz HILQQQVGDTVVEH-QMMMGPOBSA-N -1 1 306.322 1.440 20 0 DDADMM CCc1[nH]c(C(=O)N[C@@H]2CCc3nc[nH]c3C2)c(C)c1C(=O)[O-] ZINC000830193316 604710398 /nfs/dbraw/zinc/71/03/98/604710398.db2.gz WKCDYYIBHZWQQT-SECBINFHSA-N -1 1 316.361 1.594 20 0 DDADMM O=C([O-])N1CCc2cccc(CNC[C@H]3CCCS3(=O)=O)c21 ZINC000832087463 604776055 /nfs/dbraw/zinc/77/60/55/604776055.db2.gz VDSYKHMMQUETBU-CYBMUJFWSA-N -1 1 324.402 1.394 20 0 DDADMM Cn1ccnc1[C@H](O)C1CCN(Cc2cc(C(=O)[O-])co2)CC1 ZINC000833761166 604923916 /nfs/dbraw/zinc/92/39/16/604923916.db2.gz YUHAQIJZEBQKTN-CQSZACIVSA-N -1 1 319.361 1.657 20 0 DDADMM CC(C)C[C@]1(C)CC(=O)N(CN2CCC[C@H]2CC(=O)[O-])C1=O ZINC000826826499 604977804 /nfs/dbraw/zinc/97/78/04/604977804.db2.gz LEYXMUUUIUROFC-BLLLJJGKSA-N -1 1 310.394 1.694 20 0 DDADMM COC(=O)[C@@H](C)CN(C)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000826098574 607727394 /nfs/dbraw/zinc/72/73/94/607727394.db2.gz ISZPNYGCSTWZMK-ZETCQYMHSA-N -1 1 310.745 1.160 20 0 DDADMM COC(=O)[C@@H](C)CN(C)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000826098574 607727396 /nfs/dbraw/zinc/72/73/96/607727396.db2.gz ISZPNYGCSTWZMK-ZETCQYMHSA-N -1 1 310.745 1.160 20 0 DDADMM C[C@H](c1ccc(C(=O)[O-])o1)N1CCC(CS(N)(=O)=O)CC1 ZINC000833546986 605052959 /nfs/dbraw/zinc/05/29/59/605052959.db2.gz OHLMBWCXXFXZQZ-SECBINFHSA-N -1 1 316.379 1.039 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833541841 605108393 /nfs/dbraw/zinc/10/83/93/605108393.db2.gz WBZGKJNMSAAXBN-KBPBESRZSA-N -1 1 320.389 1.461 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCCN(C(=O)C(C)C)CC2)O1 ZINC000833586726 605329255 /nfs/dbraw/zinc/32/92/55/605329255.db2.gz ZUVOWFJLSWERMQ-CYBMUJFWSA-N -1 1 310.394 1.324 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(Cc3cc(=O)c(O)co3)C[C@H]21 ZINC000834178306 605447377 /nfs/dbraw/zinc/44/73/77/605447377.db2.gz FEVARTDZBYVDAJ-YUSALJHKSA-N -1 1 308.334 1.214 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CC[C@H]2CCS(=O)(=O)C2)C1 ZINC000833811914 605605306 /nfs/dbraw/zinc/60/53/06/605605306.db2.gz FSRFBYLTQPJVAJ-RWMBFGLXSA-N -1 1 318.439 1.179 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NCc1cccs1 ZINC000833832919 605905030 /nfs/dbraw/zinc/90/50/30/605905030.db2.gz VHQSIAKJJLWFMK-GHMZBOCLSA-N -1 1 311.407 1.342 20 0 DDADMM O=C([O-])N1CCc2ccccc2[C@@H]1C(=O)NCCN1CCC1 ZINC000834041636 605932198 /nfs/dbraw/zinc/93/21/98/605932198.db2.gz MIBOJIMYUHUDLW-CQSZACIVSA-N -1 1 303.362 1.086 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]1NCc1nncn1-c1ccccc1 ZINC000834176244 605981456 /nfs/dbraw/zinc/98/14/56/605981456.db2.gz RKRTWVCHSAJUNP-QWHCGFSZSA-N -1 1 301.350 1.546 20 0 DDADMM O=C([O-])N[C@@H]1CC(=O)N(CNC2(c3ccccc3)CCC2)C1 ZINC000834158322 605995416 /nfs/dbraw/zinc/99/54/16/605995416.db2.gz LOBDQHQQZPEUNP-CYBMUJFWSA-N -1 1 303.362 1.481 20 0 DDADMM C[C@@H](CO)CSc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000820280276 606091870 /nfs/dbraw/zinc/09/18/70/606091870.db2.gz CRUMDLBVHAVQFZ-QMMMGPOBSA-N -1 1 302.363 1.530 20 0 DDADMM CC[C@H](C)C[C@H](C)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820870513 606169241 /nfs/dbraw/zinc/16/92/41/606169241.db2.gz UEZZVPSXIRUKJR-IUCAKERBSA-N -1 1 306.374 1.546 20 0 DDADMM CC[C@H](C)C[C@H](C)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820870513 606169243 /nfs/dbraw/zinc/16/92/43/606169243.db2.gz UEZZVPSXIRUKJR-IUCAKERBSA-N -1 1 306.374 1.546 20 0 DDADMM CN(Cc1ccccn1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821615803 606527778 /nfs/dbraw/zinc/52/77/78/606527778.db2.gz OAWQMRKBCSKWLR-UHFFFAOYSA-N -1 1 300.347 1.595 20 0 DDADMM CN(Cc1ccccn1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821615803 606527779 /nfs/dbraw/zinc/52/77/79/606527779.db2.gz OAWQMRKBCSKWLR-UHFFFAOYSA-N -1 1 300.347 1.595 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nnn[n-]1)c1ccc(OC(F)F)cc1 ZINC000823289658 606532439 /nfs/dbraw/zinc/53/24/39/606532439.db2.gz MAYSRIDIMQCFSM-UHFFFAOYSA-N -1 1 321.247 1.444 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nn[n-]n1)c1ccc(OC(F)F)cc1 ZINC000823289658 606532441 /nfs/dbraw/zinc/53/24/41/606532441.db2.gz MAYSRIDIMQCFSM-UHFFFAOYSA-N -1 1 321.247 1.444 20 0 DDADMM C[C@@H]1CCCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820705574 606595759 /nfs/dbraw/zinc/59/57/59/606595759.db2.gz VKRZELKQKABPRG-PSASIEDQSA-N -1 1 304.358 1.300 20 0 DDADMM C[C@@H]1CCCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820705574 606595760 /nfs/dbraw/zinc/59/57/60/606595760.db2.gz VKRZELKQKABPRG-PSASIEDQSA-N -1 1 304.358 1.300 20 0 DDADMM CN(CCOCc1ccccc1)c1cccc(-c2nnn[n-]2)n1 ZINC000821603673 606806724 /nfs/dbraw/zinc/80/67/24/606806724.db2.gz JKPRNDARUSGPRF-UHFFFAOYSA-N -1 1 310.361 1.915 20 0 DDADMM CN(CCOCc1ccccc1)c1cccc(-c2nn[n-]n2)n1 ZINC000821603673 606806725 /nfs/dbraw/zinc/80/67/25/606806725.db2.gz JKPRNDARUSGPRF-UHFFFAOYSA-N -1 1 310.361 1.915 20 0 DDADMM CC[C@H]1CC[C@@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])O1 ZINC000820971692 606849338 /nfs/dbraw/zinc/84/93/38/606849338.db2.gz DWNQXQXUAVAIQP-CABZTGNLSA-N -1 1 303.322 1.468 20 0 DDADMM CN(CCOC(C)(C)C)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821603659 607165793 /nfs/dbraw/zinc/16/57/93/607165793.db2.gz IPTCYPRHNFQMBQ-UHFFFAOYSA-N -1 1 309.395 1.815 20 0 DDADMM CN(CCOC(C)(C)C)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821603659 607165794 /nfs/dbraw/zinc/16/57/94/607165794.db2.gz IPTCYPRHNFQMBQ-UHFFFAOYSA-N -1 1 309.395 1.815 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@H]2CC3CCC2CC3)n1 ZINC000822614699 607194940 /nfs/dbraw/zinc/19/49/40/607194940.db2.gz QCNOJTOOOAQFJB-AMUVOQDHSA-N -1 1 316.369 1.300 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@H]2CC3CCC2CC3)n1 ZINC000822614699 607194941 /nfs/dbraw/zinc/19/49/41/607194941.db2.gz QCNOJTOOOAQFJB-AMUVOQDHSA-N -1 1 316.369 1.300 20 0 DDADMM O[C@H]1CC[C@H](Nc2c3ccccc3nnc2-c2nnn[n-]2)CC1 ZINC000826510978 607810609 /nfs/dbraw/zinc/81/06/09/607810609.db2.gz VZGZUIPSQPOEEF-MGCOHNPYSA-N -1 1 311.349 1.525 20 0 DDADMM O[C@H]1CC[C@H](Nc2c3ccccc3nnc2-c2nn[n-]n2)CC1 ZINC000826510978 607810610 /nfs/dbraw/zinc/81/06/10/607810610.db2.gz VZGZUIPSQPOEEF-MGCOHNPYSA-N -1 1 311.349 1.525 20 0 DDADMM COCCC1(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCC1 ZINC000826155357 607998362 /nfs/dbraw/zinc/99/83/62/607998362.db2.gz NGOQSKAPEMSQML-UHFFFAOYSA-N -1 1 317.349 1.718 20 0 DDADMM COCCC1(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CC1 ZINC000826155516 607999241 /nfs/dbraw/zinc/99/92/41/607999241.db2.gz SIKOUWQKFSRTSE-UHFFFAOYSA-N -1 1 303.322 1.328 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)Cn2ccnc2-c2nnn[n-]2)[C@H]1C ZINC000824925805 608013897 /nfs/dbraw/zinc/01/38/97/608013897.db2.gz HPNSKKXWGLMMMI-VWYCJHECSA-N -1 1 303.370 1.004 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)Cn2ccnc2-c2nn[n-]n2)[C@H]1C ZINC000824925805 608013898 /nfs/dbraw/zinc/01/38/98/608013898.db2.gz HPNSKKXWGLMMMI-VWYCJHECSA-N -1 1 303.370 1.004 20 0 DDADMM CCn1c2ccc(F)cc2nc1Cn1ccnc1-c1nnn[n-]1 ZINC000825788285 608014245 /nfs/dbraw/zinc/01/42/45/608014245.db2.gz OEFMRAKIAGCMJV-UHFFFAOYSA-N -1 1 312.312 1.620 20 0 DDADMM CCn1c2ccc(F)cc2nc1Cn1ccnc1-c1nn[n-]n1 ZINC000825788285 608014246 /nfs/dbraw/zinc/01/42/46/608014246.db2.gz OEFMRAKIAGCMJV-UHFFFAOYSA-N -1 1 312.312 1.620 20 0 DDADMM O=C(Oc1ccc2nccnc2c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826468601 608031590 /nfs/dbraw/zinc/03/15/90/608031590.db2.gz ZQJOOSGLANRNTJ-UHFFFAOYSA-N -1 1 319.284 1.424 20 0 DDADMM O=C(Oc1ccc2nccnc2c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826468601 608031591 /nfs/dbraw/zinc/03/15/91/608031591.db2.gz ZQJOOSGLANRNTJ-UHFFFAOYSA-N -1 1 319.284 1.424 20 0 DDADMM C[C@]1(Nc2snc(Cl)c2-c2nnn[n-]2)CCCOC1 ZINC000824838219 608244588 /nfs/dbraw/zinc/24/45/88/608244588.db2.gz NLQPWYZONRWAGR-JTQLQIEISA-N -1 1 300.775 1.958 20 0 DDADMM C[C@]1(Nc2snc(Cl)c2-c2nn[n-]n2)CCCOC1 ZINC000824838219 608244589 /nfs/dbraw/zinc/24/45/89/608244589.db2.gz NLQPWYZONRWAGR-JTQLQIEISA-N -1 1 300.775 1.958 20 0 DDADMM Fc1ccc(CN(c2ccc(-c3nnn[n-]3)nn2)C2CC2)cc1 ZINC000826373834 608392279 /nfs/dbraw/zinc/39/22/79/608392279.db2.gz BEWMCMMSPLKWCB-UHFFFAOYSA-N -1 1 311.324 1.965 20 0 DDADMM Fc1ccc(CN(c2ccc(-c3nn[n-]n3)nn2)C2CC2)cc1 ZINC000826373834 608392281 /nfs/dbraw/zinc/39/22/81/608392281.db2.gz BEWMCMMSPLKWCB-UHFFFAOYSA-N -1 1 311.324 1.965 20 0 DDADMM c1nc(CNc2ccc(-c3nnn[n-]3)nn2)oc1-c1ccccc1 ZINC000826519049 608397406 /nfs/dbraw/zinc/39/74/06/608397406.db2.gz HYHRAQLNFTUMDO-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM c1nc(CNc2ccc(-c3nn[n-]n3)nn2)oc1-c1ccccc1 ZINC000826519049 608397408 /nfs/dbraw/zinc/39/74/08/608397408.db2.gz HYHRAQLNFTUMDO-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM Fc1ccc(OCCCNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826376341 608407062 /nfs/dbraw/zinc/40/70/62/608407062.db2.gz ZBWJFIPSIFIFRR-UHFFFAOYSA-N -1 1 315.312 1.677 20 0 DDADMM Fc1ccc(OCCCNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826376341 608407064 /nfs/dbraw/zinc/40/70/64/608407064.db2.gz ZBWJFIPSIFIFRR-UHFFFAOYSA-N -1 1 315.312 1.677 20 0 DDADMM Cc1csc([C@@H]2CCCN2c2ccc(-c3nnn[n-]3)nn2)n1 ZINC000826320686 608408707 /nfs/dbraw/zinc/40/87/07/608408707.db2.gz ZZSUZMZKDKJZER-JTQLQIEISA-N -1 1 314.378 1.763 20 0 DDADMM Cc1csc([C@@H]2CCCN2c2ccc(-c3nn[n-]n3)nn2)n1 ZINC000826320686 608408709 /nfs/dbraw/zinc/40/87/09/608408709.db2.gz ZZSUZMZKDKJZER-JTQLQIEISA-N -1 1 314.378 1.763 20 0 DDADMM CC(C)(C)[C@@H]1OCCC[C@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000824078048 608421214 /nfs/dbraw/zinc/42/12/14/608421214.db2.gz HIQVCTYKLTYSFZ-GXFFZTMASA-N -1 1 317.397 1.910 20 0 DDADMM CC(C)(C)[C@@H]1OCCC[C@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000824078048 608421216 /nfs/dbraw/zinc/42/12/16/608421216.db2.gz HIQVCTYKLTYSFZ-GXFFZTMASA-N -1 1 317.397 1.910 20 0 DDADMM Cc1nn(C)c(C)c1C[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826333768 608425590 /nfs/dbraw/zinc/42/55/90/608425590.db2.gz URQFCAMLPDHHRD-MRVPVSSYSA-N -1 1 313.369 1.050 20 0 DDADMM Cc1nn(C)c(C)c1C[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826333768 608425592 /nfs/dbraw/zinc/42/55/92/608425592.db2.gz URQFCAMLPDHHRD-MRVPVSSYSA-N -1 1 313.369 1.050 20 0 DDADMM Fc1cc(F)c2c(c1)CN(c1ccc(-c3nnn[n-]3)nn1)CC2 ZINC000826372956 608434206 /nfs/dbraw/zinc/43/42/06/608434206.db2.gz TWCMUVLYJDEWNV-UHFFFAOYSA-N -1 1 315.287 1.498 20 0 DDADMM Fc1cc(F)c2c(c1)CN(c1ccc(-c3nn[n-]n3)nn1)CC2 ZINC000826372956 608434207 /nfs/dbraw/zinc/43/42/07/608434207.db2.gz TWCMUVLYJDEWNV-UHFFFAOYSA-N -1 1 315.287 1.498 20 0 DDADMM O[C@@H](c1ccccc1)C1(CNc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000826505125 608436164 /nfs/dbraw/zinc/43/61/64/608436164.db2.gz NJHOKTSWYCPLOE-AWEZNQCLSA-N -1 1 323.360 1.582 20 0 DDADMM O[C@@H](c1ccccc1)C1(CNc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000826505125 608436165 /nfs/dbraw/zinc/43/61/65/608436165.db2.gz NJHOKTSWYCPLOE-AWEZNQCLSA-N -1 1 323.360 1.582 20 0 DDADMM c1cc(-c2nnn[n-]2)oc1CNc1cnn(-c2ncccn2)c1 ZINC000826524911 608569187 /nfs/dbraw/zinc/56/91/87/608569187.db2.gz OKYHZTUCXGWIDP-UHFFFAOYSA-N -1 1 309.293 1.048 20 0 DDADMM c1cc(-c2nn[n-]n2)oc1CNc1cnn(-c2ncccn2)c1 ZINC000826524911 608569188 /nfs/dbraw/zinc/56/91/88/608569188.db2.gz OKYHZTUCXGWIDP-UHFFFAOYSA-N -1 1 309.293 1.048 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1(C)C ZINC000826144867 608591624 /nfs/dbraw/zinc/59/16/24/608591624.db2.gz UMIYJHGDSSZPEZ-KPXOXKRLSA-N -1 1 316.365 1.051 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1(C)C ZINC000826144867 608591626 /nfs/dbraw/zinc/59/16/26/608591626.db2.gz UMIYJHGDSSZPEZ-KPXOXKRLSA-N -1 1 316.365 1.051 20 0 DDADMM CC(C)c1nnc2n1CCN(Cc1ccc(-c3nnn[n-]3)o1)C2 ZINC000824364649 608685507 /nfs/dbraw/zinc/68/55/07/608685507.db2.gz ORNRXIIDEOBWLH-UHFFFAOYSA-N -1 1 314.353 1.190 20 0 DDADMM CC(C)c1nnc2n1CCN(Cc1ccc(-c3nn[n-]n3)o1)C2 ZINC000824364649 608685509 /nfs/dbraw/zinc/68/55/09/608685509.db2.gz ORNRXIIDEOBWLH-UHFFFAOYSA-N -1 1 314.353 1.190 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1C[C@@H]1CCc2ccccc2C1 ZINC000826501155 609379517 /nfs/dbraw/zinc/37/95/17/609379517.db2.gz BZCKSCRGPSCOQF-GFCCVEGCSA-N -1 1 307.357 1.834 20 0 DDADMM C[C@H]1C[C@H](COC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824883854 609387813 /nfs/dbraw/zinc/38/78/13/609387813.db2.gz JMJZYVCEAGKKGL-DTWKUNHWSA-N -1 1 308.363 1.900 20 0 DDADMM C[C@H]1C[C@H](COC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824883854 609387814 /nfs/dbraw/zinc/38/78/14/609387814.db2.gz JMJZYVCEAGKKGL-DTWKUNHWSA-N -1 1 308.363 1.900 20 0 DDADMM Cn1c(CO)nnc1SCc1cc(=O)oc2cc([O-])ccc12 ZINC000122598453 696723343 /nfs/dbraw/zinc/72/33/43/696723343.db2.gz ZIZCHNBWLZNKGG-UHFFFAOYSA-N -1 1 319.342 1.412 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@@H]2CC=CCC2)C1)c1ncccc1[O-] ZINC000973874458 695575923 /nfs/dbraw/zinc/57/59/23/695575923.db2.gz XSMBKXNYMLFFJI-UPJWGTAASA-N -1 1 315.373 1.521 20 0 DDADMM O=C(NC[C@H]1CCCN(C(=O)C(F)F)C1)c1ncccc1[O-] ZINC000975227536 695817165 /nfs/dbraw/zinc/81/71/65/695817165.db2.gz UBUJNWAADRDGSQ-SECBINFHSA-N -1 1 313.304 1.021 20 0 DDADMM O=C(c1coc2ccccc12)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798271066 700053964 /nfs/dbraw/zinc/05/39/64/700053964.db2.gz XKRQNRYJWHEMNI-UHFFFAOYSA-N -1 1 313.317 1.070 20 0 DDADMM COC(OC)[C@@H](NC(=O)c1ncccc1[O-])c1ccccc1 ZINC000798268892 700054006 /nfs/dbraw/zinc/05/40/06/700054006.db2.gz RSRCCDQTDGAZNT-ZDUSSCGKSA-N -1 1 302.330 1.877 20 0 DDADMM CC(Nc1cccc2cnccc21)=C1C(=O)[N-]C(=S)NC1=O ZINC000009771650 696038059 /nfs/dbraw/zinc/03/80/59/696038059.db2.gz BCOHRNOQDCHPTO-UHFFFAOYSA-N -1 1 312.354 1.452 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2ccccc2Cl)n1 ZINC000031721263 696122344 /nfs/dbraw/zinc/12/23/44/696122344.db2.gz CNWDODKAQFKQNE-UHFFFAOYSA-N -1 1 314.754 1.444 20 0 DDADMM O=C([N-]NC(=O)c1ccccc1Br)c1cc[nH]n1 ZINC000042191590 696146393 /nfs/dbraw/zinc/14/63/93/696146393.db2.gz YHYCWBPCAZKEJW-UHFFFAOYSA-N -1 1 309.123 1.247 20 0 DDADMM CCOC(=O)[C@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000044490039 696165995 /nfs/dbraw/zinc/16/59/95/696165995.db2.gz VSBXGZCDCSMDDJ-ZETCQYMHSA-N -1 1 316.151 1.836 20 0 DDADMM CC(=O)COC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000046314392 696183708 /nfs/dbraw/zinc/18/37/08/696183708.db2.gz AFVJWTQBQWQWCF-UHFFFAOYSA-N -1 1 303.336 1.102 20 0 DDADMM CC(Nc1cccc(Cl)c1F)=C1C(=O)[N-]C(=S)NC1=O ZINC000048403540 696211743 /nfs/dbraw/zinc/21/17/43/696211743.db2.gz UHEKNUDSAOVBGX-UHFFFAOYSA-N -1 1 313.741 1.696 20 0 DDADMM COc1cc[n-]c(=NNC(=O)c2cc(-c3cccnc3)on2)n1 ZINC000052582128 696258752 /nfs/dbraw/zinc/25/87/52/696258752.db2.gz QCAIJMHJIRFPOZ-UHFFFAOYSA-N -1 1 312.289 1.292 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCC(F)(F)F)c(=O)[n-]1 ZINC000054564570 696277254 /nfs/dbraw/zinc/27/72/54/696277254.db2.gz ZLRUJOBMWBHDLZ-UHFFFAOYSA-N -1 1 310.297 1.838 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N(C)C[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000978184035 696337647 /nfs/dbraw/zinc/33/76/47/696337647.db2.gz KYRMTIZIYPKXSA-TUAOUCFPSA-N -1 1 303.362 1.116 20 0 DDADMM CC(=O)N[C@@H](C(=O)NCc1n[n-]c(=S)n1C(C)C)C(C)C ZINC000066640413 696354879 /nfs/dbraw/zinc/35/48/79/696354879.db2.gz UOMYPQXAVABQSB-LLVKDONJSA-N -1 1 313.427 1.298 20 0 DDADMM COc1ccc(CC(=O)[N-]O[C@@H]2CCCCO2)cc1[N+](=O)[O-] ZINC000067923030 696365197 /nfs/dbraw/zinc/36/51/97/696365197.db2.gz SQLQSEBCXCHABD-CQSZACIVSA-N -1 1 310.306 1.720 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCC2(c3ccc(F)cc3)CC2)s1 ZINC000079600776 696462001 /nfs/dbraw/zinc/46/20/01/696462001.db2.gz OMYYQABXFXFWJG-NSHDSACASA-N -1 1 321.377 1.320 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cccc(-c3cnco3)c2)s1 ZINC000079652856 696462349 /nfs/dbraw/zinc/46/23/49/696462349.db2.gz AZCNLGNNARXPIJ-LLVKDONJSA-N -1 1 316.342 1.627 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC2(c3ccc(F)cc3)CCC2)s1 ZINC000079755375 696463518 /nfs/dbraw/zinc/46/35/18/696463518.db2.gz PPWRECNPEMRPGC-LLVKDONJSA-N -1 1 321.377 1.668 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H](c2ccccc2)C2CCC2)s1 ZINC000080034449 696466208 /nfs/dbraw/zinc/46/62/08/696466208.db2.gz REFWTTOOTCYGAS-OCCSQVGLSA-N -1 1 317.414 1.991 20 0 DDADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)c1cc[nH]c1 ZINC000978916262 696475667 /nfs/dbraw/zinc/47/56/67/696475667.db2.gz YSUJOBDHYIRDMM-KLPPZKSPSA-N -1 1 314.345 1.054 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@H](c3ccccc3F)C2)s1 ZINC000080108299 696530579 /nfs/dbraw/zinc/53/05/79/696530579.db2.gz PRMYOCUCMDYWNQ-JOYOIKCWSA-N -1 1 321.377 1.488 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC2(c3nccs3)CCCC2)s1 ZINC000080339849 696533389 /nfs/dbraw/zinc/53/33/89/696533389.db2.gz KXPUFEMZVRSOGB-MRVPVSSYSA-N -1 1 324.431 1.375 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cc(C3CCCC3)[nH]n2)s1 ZINC000080363232 696533478 /nfs/dbraw/zinc/53/34/78/696533478.db2.gz DKTRYISBSGUBAP-VIFPVBQESA-N -1 1 307.379 1.353 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@H](Cc3ccccc3)C2)s1 ZINC000080449300 696534956 /nfs/dbraw/zinc/53/49/56/696534956.db2.gz TZINFWQQAKZLRA-OLZOCXBDSA-N -1 1 317.414 1.424 20 0 DDADMM CCc1cccc(S(=O)(=O)NN=c2nc(OC)cc[n-]2)c1 ZINC000080938444 696539031 /nfs/dbraw/zinc/53/90/31/696539031.db2.gz JXVMLUGQKQUVEV-UHFFFAOYSA-N -1 1 308.363 1.353 20 0 DDADMM CC(C)Cn1cc(NC(=O)NCc2n[n-]c(=S)n2C)cn1 ZINC000085614486 696563647 /nfs/dbraw/zinc/56/36/47/696563647.db2.gz KDSFCBSAOIWOPJ-UHFFFAOYSA-N -1 1 309.399 1.652 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1cnsn1 ZINC000091160602 696586588 /nfs/dbraw/zinc/58/65/88/696586588.db2.gz RYHWWWPRGKAVQG-UHFFFAOYSA-N -1 1 316.339 1.301 20 0 DDADMM CC[C@H]1C(=O)NCCN1Cn1[n-]c(-c2ccccn2)nc1=S ZINC000117320093 696670670 /nfs/dbraw/zinc/67/06/70/696670670.db2.gz YRTIKDXKJJHGDT-NSHDSACASA-N -1 1 318.406 1.171 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(Cc2cccs2)C2CC2)s1 ZINC000120881687 696703039 /nfs/dbraw/zinc/70/30/39/696703039.db2.gz WHSJIJKDHNKCPH-SNVBAGLBSA-N -1 1 309.416 1.586 20 0 DDADMM CN(C[C@H]1CCC[C@@H]1O)C(=O)c1nnc2ccccc2c1O ZINC000127953188 696775431 /nfs/dbraw/zinc/77/54/31/696775431.db2.gz VPTYNFUTZHNMAO-MFKMUULPSA-N -1 1 301.346 1.156 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C[C@H]1CC[C@@H](C)C1 ZINC000130601261 696799364 /nfs/dbraw/zinc/79/93/64/696799364.db2.gz YRNQDKMPPIDDDQ-PWSUYJOCSA-N -1 1 318.377 1.638 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCc3ccc(Cl)cc3C2)s1 ZINC000131451113 696806439 /nfs/dbraw/zinc/80/64/39/696806439.db2.gz WJGYVPCOXLMKSS-LLVKDONJSA-N -1 1 323.805 1.572 20 0 DDADMM CCC(CC)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982468516 697135006 /nfs/dbraw/zinc/13/50/06/697135006.db2.gz QSLUPGHVTGIQOC-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccnc(Oc2ccccc2)c1 ZINC000749260623 700153928 /nfs/dbraw/zinc/15/39/28/700153928.db2.gz IKRVMBXCOSZMNB-UHFFFAOYSA-N -1 1 312.285 1.071 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2c(F)cccc2Cl)OCCO1 ZINC000185886371 697526174 /nfs/dbraw/zinc/52/61/74/697526174.db2.gz VUFBAOWAKZSFJA-UHFFFAOYSA-N -1 1 309.746 1.520 20 0 DDADMM O=C([N-]NC(=O)NCc1ccccc1)c1ccncc1Cl ZINC000190872587 697598498 /nfs/dbraw/zinc/59/84/98/697598498.db2.gz CDSVTRFDTAWIIE-UHFFFAOYSA-N -1 1 304.737 1.879 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)C1(C)CC1)N1CCCCC1 ZINC000806028010 701421820 /nfs/dbraw/zinc/42/18/20/701421820.db2.gz WRMGRHIJERHROD-GFCCVEGCSA-N -1 1 302.440 1.495 20 0 DDADMM Cc1cncc(/C=C\C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1 ZINC000772388858 697627404 /nfs/dbraw/zinc/62/74/04/697627404.db2.gz YYNHRNFMISSLGR-FPLPWBNLSA-N -1 1 324.336 1.903 20 0 DDADMM C#C[C@@H](C)[N-]S(=O)(=O)c1cc(Br)ccc1F ZINC000193522440 697670881 /nfs/dbraw/zinc/67/08/81/697670881.db2.gz YASDNWGIVMGLRE-SSDOTTSWSA-N -1 1 306.156 1.888 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C(F)=C1CCCC1 ZINC000986153158 697709216 /nfs/dbraw/zinc/70/92/16/697709216.db2.gz VTLPIUIVKBNDGN-GXSJLCMTSA-N -1 1 323.372 1.387 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)C=Cc2ccccc2F)n1 ZINC000799914910 700174917 /nfs/dbraw/zinc/17/49/17/700174917.db2.gz DUCHMYIVCSWBBM-VQHVLOKHSA-N -1 1 324.337 1.542 20 0 DDADMM CSC[C@](C)(O)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000223489646 697761490 /nfs/dbraw/zinc/76/14/90/697761490.db2.gz WYNKOMFNSILFRV-CQSZACIVSA-N -1 1 313.423 1.046 20 0 DDADMM CCOC(=O)[C@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000227861915 697771970 /nfs/dbraw/zinc/77/19/70/697771970.db2.gz ADRXHWSKKUQGMZ-ZETCQYMHSA-N -1 1 316.151 1.836 20 0 DDADMM Cc1cc(C)nc([S@](=O)CCC[N-]C(=O)C(F)(F)F)c1 ZINC000773767669 697802444 /nfs/dbraw/zinc/80/24/44/697802444.db2.gz ZETPRPHXSAUHRC-HXUWFJFHSA-N -1 1 308.325 1.875 20 0 DDADMM CC(C)(C)[C@H](O)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000774013460 697833831 /nfs/dbraw/zinc/83/38/31/697833831.db2.gz VEKJSZAEPHNEBS-LLVKDONJSA-N -1 1 318.370 1.543 20 0 DDADMM CC(C)c1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)n[nH]1 ZINC000774920566 697942490 /nfs/dbraw/zinc/94/24/90/697942490.db2.gz CKSBDIZJNDNNSV-UHFFFAOYSA-N -1 1 307.272 1.759 20 0 DDADMM Cc1c(CCC(=O)OCCC[N-]C(=O)C(F)(F)F)cnn1C ZINC000774990355 697951701 /nfs/dbraw/zinc/95/17/01/697951701.db2.gz ATIQEXUKQQIWDA-UHFFFAOYSA-N -1 1 321.299 1.273 20 0 DDADMM O=C(c1ccc2[n-]c(=S)oc2c1)N1CCc2[nH]nnc2C1 ZINC000776504300 698111686 /nfs/dbraw/zinc/11/16/86/698111686.db2.gz BUKOIXPVEVAJNK-UHFFFAOYSA-N -1 1 301.331 1.433 20 0 DDADMM CCc1ccsc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776563582 698117369 /nfs/dbraw/zinc/11/73/69/698117369.db2.gz IYJZMVRJTPORMK-VIFPVBQESA-N -1 1 309.420 1.754 20 0 DDADMM O=C(c1cc2ccccn2c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776566019 698117885 /nfs/dbraw/zinc/11/78/85/698117885.db2.gz VHZGXDMBRYSMBE-LBPRGKRZSA-N -1 1 314.374 1.383 20 0 DDADMM O=S(=O)([N-]Cc1cocn1)c1c(Cl)ccnc1Cl ZINC000777994303 698236112 /nfs/dbraw/zinc/23/61/12/698236112.db2.gz LHAMASOKYDRLTJ-UHFFFAOYSA-N -1 1 308.146 1.855 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1=CCCCC1 ZINC000988363668 698337162 /nfs/dbraw/zinc/33/71/62/698337162.db2.gz DFMVIZOFXPFLSF-AAEUAGOBSA-N -1 1 319.409 1.480 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncccc1[O-])c1cc(F)ccc1F ZINC000778671856 698358209 /nfs/dbraw/zinc/35/82/09/698358209.db2.gz CFULOWYLLINWDX-GFCCVEGCSA-N -1 1 322.267 1.710 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2COC3(CCCCC3)O2)c([O-])c1 ZINC000779050392 698392455 /nfs/dbraw/zinc/39/24/55/698392455.db2.gz OWUFVMWSALAQGY-GFCCVEGCSA-N -1 1 306.362 1.901 20 0 DDADMM CC[C@H](CO)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000779827577 698473224 /nfs/dbraw/zinc/47/32/24/698473224.db2.gz JVKBRPJEPOYFLD-MRVPVSSYSA-N -1 1 304.343 1.154 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@@H]2CCC=CO2)c(=O)[n-]1 ZINC000781067818 698587701 /nfs/dbraw/zinc/58/77/01/698587701.db2.gz HEBONGJGQZXKLS-NSHDSACASA-N -1 1 323.418 1.954 20 0 DDADMM O=S(=O)(Oc1cncc(Br)c1)c1c[n-]cn1 ZINC000781743889 698646957 /nfs/dbraw/zinc/64/69/57/698646957.db2.gz MYZUQIDWDUFHBQ-UHFFFAOYSA-N -1 1 304.125 1.335 20 0 DDADMM COCc1nsc([N-]C(=O)c2cc3n[nH]nc3cc2F)n1 ZINC000781982392 698668490 /nfs/dbraw/zinc/66/84/90/698668490.db2.gz ALYRCSRVTLNMEP-UHFFFAOYSA-N -1 1 308.298 1.347 20 0 DDADMM C[C@H]1CN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC[N@@H+](Cc2ccccc2)C1 ZINC000323511089 698740526 /nfs/dbraw/zinc/74/05/26/698740526.db2.gz YPNJKKPUPXRBOQ-FVQBIDKESA-N -1 1 316.401 1.688 20 0 DDADMM COc1cc(C(=O)NC[C@@H](O)c2ccccn2)cc(Cl)c1[O-] ZINC000785416600 699075320 /nfs/dbraw/zinc/07/53/20/699075320.db2.gz VSRKFXYFLNKYCI-GFCCVEGCSA-N -1 1 322.748 1.913 20 0 DDADMM COc1cc(C(=O)N2CCOC[C@@H]2CCO)cc(Cl)c1[O-] ZINC000785420069 699075541 /nfs/dbraw/zinc/07/55/41/699075541.db2.gz WXZWSCBBQKCSMY-JTQLQIEISA-N -1 1 315.753 1.278 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H]2CCOC(C)(C)C2)co1 ZINC000785902911 699109807 /nfs/dbraw/zinc/10/98/07/699109807.db2.gz DJHRMNNEWIPEEP-SNVBAGLBSA-N -1 1 317.363 1.302 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC(c2cn[nH]c2)CC1 ZINC000426460478 699155655 /nfs/dbraw/zinc/15/56/55/699155655.db2.gz OZQMJSAMTKIGHW-UHFFFAOYSA-N -1 1 317.418 1.882 20 0 DDADMM CC(C)(C)c1nc(=NC(=O)c2cc3c(cc2O)OCO3)s[n-]1 ZINC000436567778 699164628 /nfs/dbraw/zinc/16/46/28/699164628.db2.gz PAMAPOIDGCUONW-UHFFFAOYSA-N -1 1 321.358 1.944 20 0 DDADMM O=C(NC1CN(C(=O)c2cc(Cl)c[nH]2)C1)c1ncccc1[O-] ZINC000990968376 699195226 /nfs/dbraw/zinc/19/52/26/699195226.db2.gz GXWHYJVPWYEMJR-UHFFFAOYSA-N -1 1 320.736 1.023 20 0 DDADMM O=C(C[C@@H]1C=CCC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990970670 699195900 /nfs/dbraw/zinc/19/59/00/699195900.db2.gz XWWXFCSZAZBCEK-LLVKDONJSA-N -1 1 301.346 1.084 20 0 DDADMM COC(=O)[C@@H](CC(F)F)[N-]C(=O)C(F)(F)c1ncccc1C ZINC000787478355 699213790 /nfs/dbraw/zinc/21/37/90/699213790.db2.gz PVQRLGIUOUSIND-MRVPVSSYSA-N -1 1 322.258 1.795 20 0 DDADMM C[C@@H](OC(=O)c1cc(F)cc(Cl)c1[O-])c1nnnn1C ZINC000787724354 699234822 /nfs/dbraw/zinc/23/48/22/699234822.db2.gz IDNAJYCKLDUILB-RXMQYKEDSA-N -1 1 300.677 1.626 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@H]2CC(C)(C)CO2)c1Cl ZINC000717303766 699287134 /nfs/dbraw/zinc/28/71/34/699287134.db2.gz GQTODZHAGPYDRQ-VIFPVBQESA-N -1 1 321.830 1.557 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)c1ccns1)C(=O)OC(C)(C)C ZINC000717772287 699291003 /nfs/dbraw/zinc/29/10/03/699291003.db2.gz TWHYEBOFXQQOEJ-SNVBAGLBSA-N -1 1 320.436 1.788 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])CN(CCCS(=O)(=O)C(C)(C)C)C1 ZINC000718735113 699294697 /nfs/dbraw/zinc/29/46/97/699294697.db2.gz OLGWUXDSXROHNT-RYUDHWBXSA-N -1 1 305.440 1.632 20 0 DDADMM O=C(OC[C@H]1CCS(=O)(=O)C1)c1c([O-])cc(F)cc1F ZINC000788922342 699347704 /nfs/dbraw/zinc/34/77/04/699347704.db2.gz HEFGVDJGLVKOMI-SSDOTTSWSA-N -1 1 306.286 1.262 20 0 DDADMM C[C@H](c1ccccc1)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727849042 699428023 /nfs/dbraw/zinc/42/80/23/699428023.db2.gz XEWVESRHBJLGHF-GFCCVEGCSA-N -1 1 301.346 1.146 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000727848759 699428138 /nfs/dbraw/zinc/42/81/38/699428138.db2.gz PIHGYLPYUFYXQR-MELADBBJSA-N -1 1 319.405 1.402 20 0 DDADMM CC[S@](=O)CC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000790193425 699447429 /nfs/dbraw/zinc/44/74/29/699447429.db2.gz AHVRKITYDWPVOK-KRWDZBQOSA-N -1 1 313.803 1.526 20 0 DDADMM CC[S@@](=O)CC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000790193426 699447441 /nfs/dbraw/zinc/44/74/41/699447441.db2.gz AHVRKITYDWPVOK-QGZVFWFLSA-N -1 1 313.803 1.526 20 0 DDADMM Cc1ccc2c(c1)[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC000730287571 699507096 /nfs/dbraw/zinc/50/70/96/699507096.db2.gz UZYRANODUBKCFU-CQSZACIVSA-N -1 1 313.357 1.039 20 0 DDADMM CC(C)c1ccsc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732092061 699546031 /nfs/dbraw/zinc/54/60/31/699546031.db2.gz VPKQRQHGSUNBRH-JTQLQIEISA-N -1 1 307.379 1.598 20 0 DDADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)Cc2ccccc21 ZINC000733125876 699579752 /nfs/dbraw/zinc/57/97/52/699579752.db2.gz RKVFZLSFBSHGRM-GFCCVEGCSA-N -1 1 313.357 1.073 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCc4sccc4C3)ccnc1-2 ZINC000791089676 699611008 /nfs/dbraw/zinc/61/10/08/699611008.db2.gz OZIJWSMDKQEMRM-UHFFFAOYSA-N -1 1 313.386 1.994 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCc1ncccn1 ZINC000791339447 699624833 /nfs/dbraw/zinc/62/48/33/699624833.db2.gz URHCQNBKWHBQFT-UHFFFAOYSA-N -1 1 313.360 1.267 20 0 DDADMM O=C(COC(=O)CCOCC(F)F)[N-]C(=O)c1ccccc1 ZINC000791680878 699647374 /nfs/dbraw/zinc/64/73/74/699647374.db2.gz SXNVDSRILINCSI-UHFFFAOYSA-N -1 1 315.272 1.158 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCCCOC)o1 ZINC000735132496 699683039 /nfs/dbraw/zinc/68/30/39/699683039.db2.gz FCVUCGXQWSCKTM-UHFFFAOYSA-N -1 1 305.352 1.161 20 0 DDADMM CC(=CC(=O)NCc1nn[n-]n1)c1ccccc1C(F)(F)F ZINC000735450333 699694303 /nfs/dbraw/zinc/69/43/03/699694303.db2.gz YQCPOOIDZXOWLW-SOFGYWHQSA-N -1 1 311.267 1.938 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)C(F)(F)c1ccccc1 ZINC000736160698 699719380 /nfs/dbraw/zinc/71/93/80/699719380.db2.gz BUJWCZQQPRYVFV-UHFFFAOYSA-N -1 1 307.304 1.877 20 0 DDADMM O=C(CCCc1ccccc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737837269 699745958 /nfs/dbraw/zinc/74/59/58/699745958.db2.gz VQBZIGXNBCDFKH-CYBMUJFWSA-N -1 1 301.350 1.123 20 0 DDADMM O=C(c1cc2cccc(F)c2o1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000738249228 699755833 /nfs/dbraw/zinc/75/58/33/699755833.db2.gz UFBZDBGFKBCNJR-LLVKDONJSA-N -1 1 317.280 1.299 20 0 DDADMM Cc1nc(SC(F)F)sc1CC(=O)NCc1nn[n-]n1 ZINC000793479295 699759797 /nfs/dbraw/zinc/75/97/97/699759797.db2.gz FGCQJRJOSNUKDT-UHFFFAOYSA-N -1 1 320.350 1.138 20 0 DDADMM CC(=CC(=O)NCc1nn[n-]n1)c1cccc(C(F)(F)F)c1 ZINC000742663158 699885205 /nfs/dbraw/zinc/88/52/05/699885205.db2.gz HXMLGIPNROWZOX-VMPITWQZSA-N -1 1 311.267 1.938 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)NCc2ccccc2)[n-]1 ZINC000796365475 699929470 /nfs/dbraw/zinc/92/94/70/699929470.db2.gz JQEMUENZIDSJFN-UHFFFAOYSA-N -1 1 316.313 1.275 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2cc(C(N)=O)ccc2C)[n-]1 ZINC000796841074 699955262 /nfs/dbraw/zinc/95/52/62/699955262.db2.gz DOGFFFYYOUDTCO-UHFFFAOYSA-N -1 1 302.286 1.428 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CN2CCCCC2=O)c1 ZINC000744728879 699959477 /nfs/dbraw/zinc/95/94/77/699959477.db2.gz WRGNDXVXIVRLEB-UHFFFAOYSA-N -1 1 319.357 1.693 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)O[C@@H](C)C(C)=O)n2)cc1 ZINC000801364261 700301110 /nfs/dbraw/zinc/30/11/10/700301110.db2.gz OKHFFSCPJQZZNK-JTQLQIEISA-N -1 1 304.302 1.721 20 0 DDADMM O=c1cc(CN2CCO[C@H](C(F)F)C2)c2ccc([O-])cc2o1 ZINC000801568663 700322805 /nfs/dbraw/zinc/32/28/05/700322805.db2.gz UHQIVDHPYNNANZ-ZDUSSCGKSA-N -1 1 311.284 1.965 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-][C@@H](C)C(=O)OC2CCCC2)on1 ZINC000751975641 700332670 /nfs/dbraw/zinc/33/26/70/700332670.db2.gz NYLCRXQYYCMTGB-JTQLQIEISA-N -1 1 316.379 1.277 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H](C)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801704081 700332873 /nfs/dbraw/zinc/33/28/73/700332873.db2.gz XAWFPYRNYKNJMA-SECBINFHSA-N -1 1 312.377 1.434 20 0 DDADMM CCN1CC[C@H]([N-]C(=O)C(F)(F)c2c(F)cccc2F)C1=O ZINC000801789218 700338757 /nfs/dbraw/zinc/33/87/57/700338757.db2.gz ZKOLBQCWGMEELL-JTQLQIEISA-N -1 1 318.270 1.794 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCC(=O)C1CCC1 ZINC000754092092 700483003 /nfs/dbraw/zinc/48/30/03/700483003.db2.gz MNBLAIAYKQOQCZ-UHFFFAOYSA-N -1 1 317.345 1.507 20 0 DDADMM Cc1cc(C)c(/C=C\C(=O)OCc2nc(=O)n(C)[n-]2)cc1C ZINC000765440074 701012758 /nfs/dbraw/zinc/01/27/58/701012758.db2.gz LDPJTBXTHJDTFZ-WAYWQWQTSA-N -1 1 301.346 1.790 20 0 DDADMM CCCN(CC)c1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765462104 701013786 /nfs/dbraw/zinc/01/37/86/701013786.db2.gz RKQMWENYNCHASS-UHFFFAOYSA-N -1 1 318.377 1.702 20 0 DDADMM O=C(NCCC1N=NC(=S)N1C1CC1)c1ccc([O-])c(F)c1 ZINC000768043285 701154193 /nfs/dbraw/zinc/15/41/93/701154193.db2.gz FZYVOBOGMGJHEW-UHFFFAOYSA-N -1 1 322.365 1.719 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1COC(C)(C)O1 ZINC000769289891 701239745 /nfs/dbraw/zinc/23/97/45/701239745.db2.gz XHMGMAZNMJMMNH-MRVPVSSYSA-N -1 1 313.379 1.094 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H](F)c2ccccc2)c1 ZINC000769614822 701250444 /nfs/dbraw/zinc/25/04/44/701250444.db2.gz DOXNBLOQWVNGPW-ZDUSSCGKSA-N -1 1 324.333 1.689 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H](O)c2ccccc2)sc1C ZINC000771948959 701338042 /nfs/dbraw/zinc/33/80/42/701338042.db2.gz HCQFWQVOAOJHRD-GFCCVEGCSA-N -1 1 312.416 1.772 20 0 DDADMM O=C(O[C@@H](C(=O)N1CCCCC1)c1ccccc1)c1cn[n-]n1 ZINC000805603313 701397592 /nfs/dbraw/zinc/39/75/92/701397592.db2.gz LMTJQCPWFCOGCO-CQSZACIVSA-N -1 1 314.345 1.715 20 0 DDADMM COCCCn1c(C)cc(C(=O)COC(=O)c2cn[n-]n2)c1C ZINC000805605285 701398627 /nfs/dbraw/zinc/39/86/27/701398627.db2.gz HYGUAURUNAFNBR-UHFFFAOYSA-N -1 1 320.349 1.299 20 0 DDADMM CCN(C(=O)COC(=O)c1cn[n-]n1)[C@@H](C)c1ccc(F)cc1 ZINC000805607092 701399412 /nfs/dbraw/zinc/39/94/12/701399412.db2.gz GSOZGTDFZPDHFL-JTQLQIEISA-N -1 1 320.324 1.710 20 0 DDADMM Cc1nnc([C@H](C)NC(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000806483745 701437264 /nfs/dbraw/zinc/43/72/64/701437264.db2.gz OSPPNLYZQKTZEO-ZETCQYMHSA-N -1 1 317.378 1.384 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Nc3cnn(CC(F)F)c3)ccnc1-2 ZINC000806490719 701437713 /nfs/dbraw/zinc/43/77/13/701437713.db2.gz KVLZIPCMMQCVSP-UHFFFAOYSA-N -1 1 321.291 1.447 20 0 DDADMM N[C@](C(=O)[N-]N1CCCC1=O)(c1cccc(F)c1)C(F)(F)F ZINC000807183477 701468358 /nfs/dbraw/zinc/46/83/58/701468358.db2.gz CQCPJJIGTJOXAK-LBPRGKRZSA-N -1 1 319.258 1.196 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(Cc3ccc4c(c3)COC4)C2)n[nH]1 ZINC000808777800 701536248 /nfs/dbraw/zinc/53/62/48/701536248.db2.gz WRVSNMDWFDUGBC-LBPRGKRZSA-N -1 1 300.362 1.920 20 0 DDADMM CC[C@H]1CCC[C@@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952115 706609539 /nfs/dbraw/zinc/60/95/39/706609539.db2.gz BTANMLLPKHBCDB-QWRGUYRKSA-N -1 1 305.378 1.697 20 0 DDADMM CCc1ccccc1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830958443 706611059 /nfs/dbraw/zinc/61/10/59/706611059.db2.gz CFFCABRTIRULOG-UHFFFAOYSA-N -1 1 313.357 1.747 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cccc(C=O)c1Cl ZINC000815058077 701789884 /nfs/dbraw/zinc/78/98/84/701789884.db2.gz MQZPJYSZCUWLGL-UHFFFAOYSA-N -1 1 300.723 1.952 20 0 DDADMM CCC(CC)S(=O)(=O)[N-]C(=O)[C@]1(F)CCN(C(C)(C)C)C1 ZINC000810583006 701794517 /nfs/dbraw/zinc/79/45/17/701794517.db2.gz KSOXZHFRFBRGLD-AWEZNQCLSA-N -1 1 322.446 1.834 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NN2CCCC2)o1 ZINC000839794814 701829068 /nfs/dbraw/zinc/82/90/68/701829068.db2.gz YLGYEHMPCFWXTG-UHFFFAOYSA-N -1 1 315.395 1.097 20 0 DDADMM Cc1cc(COC(=O)c2sccc2[N-]S(C)(=O)=O)on1 ZINC000811089286 701911300 /nfs/dbraw/zinc/91/13/00/701911300.db2.gz IDIBKUDPOCTNAI-UHFFFAOYSA-N -1 1 316.360 1.773 20 0 DDADMM C[C@@H]1C[C@H]1CNC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868054517 701916659 /nfs/dbraw/zinc/91/66/59/701916659.db2.gz JIYDKUSHQBTYHZ-VDDIYKPWSA-N -1 1 307.316 1.495 20 0 DDADMM CC(C)C[C@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO1 ZINC000831042759 706626134 /nfs/dbraw/zinc/62/61/34/706626134.db2.gz HRZXTGPGUMNWBO-UWVGGRQHSA-N -1 1 321.830 1.935 20 0 DDADMM CC[C@@H](C)[C@@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868141153 701961039 /nfs/dbraw/zinc/96/10/39/701961039.db2.gz WCHJHLQQQASUEY-NXEZZACHSA-N -1 1 308.382 1.489 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO[C@H]1C1CC1 ZINC000831090783 706633215 /nfs/dbraw/zinc/63/32/15/706633215.db2.gz JRDUQMQGHWDNDN-ONGXEEELSA-N -1 1 305.787 1.299 20 0 DDADMM COc1cc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)ncn1 ZINC000811856681 702072932 /nfs/dbraw/zinc/07/29/32/702072932.db2.gz SZIHYJUKZROJAN-SECBINFHSA-N -1 1 318.299 1.380 20 0 DDADMM O=C([N-]CC1CN(C(=O)[C@H](F)c2ccccc2)C1)C(F)(F)F ZINC000831129550 706640204 /nfs/dbraw/zinc/64/02/04/706640204.db2.gz GQLMUQAAXKVZSD-LLVKDONJSA-N -1 1 318.270 1.834 20 0 DDADMM Cc1nc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)sc1C ZINC000831130226 706640252 /nfs/dbraw/zinc/64/02/52/706640252.db2.gz RXSCRRLOKLBDEM-UHFFFAOYSA-N -1 1 321.324 1.510 20 0 DDADMM C[C@H](O)c1cn(C2CN(Cc3cccc([O-])c3Cl)C2)nn1 ZINC000816565216 702114164 /nfs/dbraw/zinc/11/41/64/702114164.db2.gz GOSKBMHWPBVGKX-VIFPVBQESA-N -1 1 308.769 1.747 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cnn(-c2ccc(F)cc2)n1 ZINC000816740522 702172682 /nfs/dbraw/zinc/17/26/82/702172682.db2.gz GHNUPNVEXSRGCO-UHFFFAOYSA-N -1 1 302.269 1.396 20 0 DDADMM CCC(C)(C)CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868539885 702185903 /nfs/dbraw/zinc/18/59/03/702185903.db2.gz BACAKICAJMTFIA-UHFFFAOYSA-N -1 1 308.382 1.490 20 0 DDADMM CN(C)CCO[N-]C(=O)C(=O)c1cc(Cl)cc(Cl)c1 ZINC000868629397 702233079 /nfs/dbraw/zinc/23/30/79/702233079.db2.gz OIPRIPQJXIBGRH-UHFFFAOYSA-N -1 1 305.161 1.786 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@](C)(OC)C2CC2)co1 ZINC000813193215 702310556 /nfs/dbraw/zinc/31/05/56/702310556.db2.gz OAVOVCJSRGRTCJ-ZDUSSCGKSA-N -1 1 317.363 1.160 20 0 DDADMM O=S(=O)([N-]CCOCC1CCCC1)c1c[nH]nc1Cl ZINC000841524134 702479522 /nfs/dbraw/zinc/47/95/22/702479522.db2.gz FVKDADCDXGETPN-UHFFFAOYSA-N -1 1 307.803 1.548 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@H](C(C)(C)O)C3)ccnc1-2 ZINC000879415823 706673989 /nfs/dbraw/zinc/67/39/89/706673989.db2.gz FDVXLHYETFWNLD-NSHDSACASA-N -1 1 317.393 1.357 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@]34CCC[C@H]3OCC4)ccnc1-2 ZINC000879416743 706674595 /nfs/dbraw/zinc/67/45/95/706674595.db2.gz YCKZSYCTRFQLRJ-DOMZBBRYSA-N -1 1 301.350 1.175 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc2c(c1)C(=O)OC2)C1CC1 ZINC000842586326 702737044 /nfs/dbraw/zinc/73/70/44/702737044.db2.gz QKVJECNTBFNPNF-ZDUSSCGKSA-N -1 1 311.359 1.524 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCc2cccc(C)n2)o1 ZINC000842842646 702782686 /nfs/dbraw/zinc/78/26/86/702782686.db2.gz YVBLHYAQOWGIRL-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM CC[C@@H]1CCC[C@@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014114 702803277 /nfs/dbraw/zinc/80/32/77/702803277.db2.gz LFAHFQHMYSCCQK-OLZOCXBDSA-N -1 1 316.467 1.743 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014296 702803525 /nfs/dbraw/zinc/80/35/25/702803525.db2.gz NRENFPHJPQKOPK-VXGBXAGGSA-N -1 1 302.440 1.353 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843016188 702803841 /nfs/dbraw/zinc/80/38/41/702803841.db2.gz RAXKBECOLIHNLR-NEPJUHHUSA-N -1 1 302.440 1.353 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(Cc1cnn(-c3ccccc3)n1)C2 ZINC000846284427 703261184 /nfs/dbraw/zinc/26/11/84/703261184.db2.gz NBPJRVZDKOVQPY-GUYCJALGSA-N -1 1 312.373 1.954 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(C(OC)OC)o2)n1 ZINC000846649880 703308034 /nfs/dbraw/zinc/30/80/34/703308034.db2.gz BDGNJSCINXFQQK-UHFFFAOYSA-N -1 1 323.305 1.723 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2c(C)n[nH]c2C)[n-]1 ZINC000846651005 703308310 /nfs/dbraw/zinc/30/83/10/703308310.db2.gz OLUXCQCPOQDQJM-UHFFFAOYSA-N -1 1 305.338 1.498 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2c(C)n[nH]c2C)n1 ZINC000846651005 703308315 /nfs/dbraw/zinc/30/83/15/703308315.db2.gz OLUXCQCPOQDQJM-UHFFFAOYSA-N -1 1 305.338 1.498 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847088592 703376305 /nfs/dbraw/zinc/37/63/05/703376305.db2.gz BUXDSXNXULAONY-MMRAYRKESA-N -1 1 314.345 1.980 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCc2cccc(C)c2)o1 ZINC000847742836 703461402 /nfs/dbraw/zinc/46/14/02/703461402.db2.gz JKNKJSSWXUMYIB-UHFFFAOYSA-N -1 1 323.370 1.896 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@H]1CCC(=O)C1 ZINC000848338637 703540415 /nfs/dbraw/zinc/54/04/15/703540415.db2.gz QLIOFWUHTPTNFP-FXPVBKGRSA-N -1 1 320.311 1.414 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)c1cc[nH]c1 ZINC000848341384 703540901 /nfs/dbraw/zinc/54/09/01/703540901.db2.gz FAXPJAHCECCCJM-PSASIEDQSA-N -1 1 303.284 1.686 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCC[C@@H](C)O ZINC000849720056 703671621 /nfs/dbraw/zinc/67/16/21/703671621.db2.gz APQVOPBBHKHLND-SECBINFHSA-N -1 1 307.350 1.298 20 0 DDADMM COc1cccc2c1n[nH]c2C(=O)OC[C@H](OC)[C@@H]1CCOC1 ZINC000849778060 703677247 /nfs/dbraw/zinc/67/72/47/703677247.db2.gz XUTBVRXXMSXCGT-MFKMUULPSA-N -1 1 320.345 1.780 20 0 DDADMM CSc1nc(C)c(CCC(=O)OC[C@H]2[C@@H]3COC[C@H]23)c(=O)[n-]1 ZINC000851482679 703804584 /nfs/dbraw/zinc/80/45/84/703804584.db2.gz OCVWDILAKHDTMK-GDNZZTSVSA-N -1 1 324.402 1.581 20 0 DDADMM O=C([O-])C12CC(C1)CN2C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000851617052 703816339 /nfs/dbraw/zinc/81/63/39/703816339.db2.gz OBLYMRUDUUOKJG-UHFFFAOYSA-N -1 1 303.343 1.827 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@]12C[C@H]1CCCC2 ZINC000869702440 703834652 /nfs/dbraw/zinc/83/46/52/703834652.db2.gz NZTJKJLWSHHRDX-NOZJJQNGSA-N -1 1 321.295 1.748 20 0 DDADMM Cn1[n-]c(COC(=O)CC(C)(C)c2ccc(F)cc2)nc1=O ZINC000869860773 703867091 /nfs/dbraw/zinc/86/70/91/703867091.db2.gz LFGNIZWYQJKTMV-UHFFFAOYSA-N -1 1 307.325 1.659 20 0 DDADMM CN(C1CCOCC1)S(=O)(=O)[N-]CC(F)(F)C(C)(C)C ZINC000851857214 703872218 /nfs/dbraw/zinc/87/22/18/703872218.db2.gz NWNFUNCYEWDPGM-UHFFFAOYSA-N -1 1 314.398 1.613 20 0 DDADMM O=C(c1nc[nH]n1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870161599 703939060 /nfs/dbraw/zinc/93/90/60/703939060.db2.gz PDHDCZJLCOQPET-UHFFFAOYSA-N -1 1 321.768 1.122 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1CCOC(C)(C)C1 ZINC000867008841 706870835 /nfs/dbraw/zinc/87/08/35/706870835.db2.gz LUDZLTPGRCUIMQ-JTQLQIEISA-N -1 1 312.457 1.286 20 0 DDADMM Cc1ccc(N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c(C)c1 ZINC000852744445 704111519 /nfs/dbraw/zinc/11/15/19/704111519.db2.gz DBBVDAFBVQSWDD-UHFFFAOYSA-N -1 1 301.394 1.047 20 0 DDADMM CC[S@](=O)CC[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000819867192 704178748 /nfs/dbraw/zinc/17/87/48/704178748.db2.gz CZYKJKGFVPSZBE-SFHVURJKSA-N -1 1 311.375 1.320 20 0 DDADMM C[C@@H]1Cc2ccccc2[C@@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866773360 706795834 /nfs/dbraw/zinc/79/58/34/706795834.db2.gz FGLCJECTPSPUOS-BXKDBHETSA-N -1 1 302.421 1.482 20 0 DDADMM Cc1cc(C)nc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000820068925 704215078 /nfs/dbraw/zinc/21/50/78/704215078.db2.gz VMMNYTGUQDKBDN-UHFFFAOYSA-N -1 1 302.334 1.807 20 0 DDADMM O=C([C@@H]1OC[C@@H]2CCC[C@@H]21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000879872082 706805146 /nfs/dbraw/zinc/80/51/46/706805146.db2.gz DUUVRRFNQREOBB-SDDRHHMPSA-N -1 1 307.350 1.296 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2noc(C)n2)c1 ZINC000820864569 704340050 /nfs/dbraw/zinc/34/00/50/704340050.db2.gz AVBCFESUEDLNGU-AUADJRAKSA-N -1 1 324.358 1.799 20 0 DDADMM CC(=Cc1cccnc1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342152 704382117 /nfs/dbraw/zinc/38/21/17/704382117.db2.gz JDVJESCNRLKFFF-LUAWRHEFSA-N -1 1 314.345 1.980 20 0 DDADMM C[C@H]1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C[C@H](C)C1 ZINC000855393272 704481995 /nfs/dbraw/zinc/48/19/95/704481995.db2.gz QVTJIIUTOOKXGR-VXGBXAGGSA-N -1 1 307.394 1.115 20 0 DDADMM C[C@@H](CO)[C@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000855466318 704486589 /nfs/dbraw/zinc/48/65/89/704486589.db2.gz KSKDASQPUDHORB-CMPLNLGQSA-N -1 1 320.418 1.641 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@](O)(C2CC2)C1 ZINC000855696890 704498113 /nfs/dbraw/zinc/49/81/13/704498113.db2.gz WTQMEYYXNJGJFG-KRWDZBQOSA-N -1 1 319.405 1.991 20 0 DDADMM CC[C@@H](C(=O)[N-]OCCN1CCCC1=O)c1ccc(F)cc1 ZINC000856042578 704511374 /nfs/dbraw/zinc/51/13/74/704511374.db2.gz JAZPHDLRPYKDBC-CQSZACIVSA-N -1 1 308.353 1.990 20 0 DDADMM COc1ccc(N2C[C@@H](C(=O)[N-]OCC3CCC3)CC2=O)cc1 ZINC000856346229 704523765 /nfs/dbraw/zinc/52/37/65/704523765.db2.gz QKUJMVYDXJPLKU-ZDUSSCGKSA-N -1 1 318.373 1.896 20 0 DDADMM CC(=O)C1(C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000856416416 704527944 /nfs/dbraw/zinc/52/79/44/704527944.db2.gz YQJIJABMGYNOCN-SCZZXKLOSA-N -1 1 320.311 1.271 20 0 DDADMM COC(=O)NC1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000856446859 704529106 /nfs/dbraw/zinc/52/91/06/704529106.db2.gz MFZNXSKDXANTPO-UHFFFAOYSA-N -1 1 314.288 1.631 20 0 DDADMM CC[C@@H](C)[C@H](O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866850101 706824861 /nfs/dbraw/zinc/82/48/61/706824861.db2.gz VMXGSTKHQOJHSJ-HTQZYQBOSA-N -1 1 310.778 1.559 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)Cc1cc2ccccc2c(=O)[nH]1 ZINC000857286390 704574593 /nfs/dbraw/zinc/57/45/93/704574593.db2.gz ZRHJOPNHMFVXNH-AWEZNQCLSA-N -1 1 304.346 1.548 20 0 DDADMM CC(C)(C)OC(=O)c1ccnc([N-]C(=O)c2cccc[n+]2[O-])c1 ZINC000857300843 704576768 /nfs/dbraw/zinc/57/67/68/704576768.db2.gz ZTKMUFLXYQLFRU-UHFFFAOYSA-N -1 1 315.329 1.923 20 0 DDADMM CC[C@@H](C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1)[C@@H](C)O ZINC000857340424 704581083 /nfs/dbraw/zinc/58/10/83/704581083.db2.gz UMYPZNZISSAQEM-DBIOUOCHSA-N -1 1 324.343 1.309 20 0 DDADMM O=C(N[C@@H](C1CC1)C1(CO)CCOCC1)c1c([O-])cccc1F ZINC000857550417 704602816 /nfs/dbraw/zinc/60/28/16/704602816.db2.gz NITBXBGNQQWIQY-HNNXBMFYSA-N -1 1 323.364 1.829 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3CC4(CC4(F)F)C3)nc2n1 ZINC000857683720 704613276 /nfs/dbraw/zinc/61/32/76/704613276.db2.gz HHJOAUGOKQPRQG-UHFFFAOYSA-N -1 1 323.303 1.354 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@]1(C)c1ccccc1 ZINC000866872382 706830862 /nfs/dbraw/zinc/83/08/62/706830862.db2.gz NACYTLLNAORBGL-VPZZIHKRSA-N -1 1 316.448 1.669 20 0 DDADMM CCOC(=O)C[C@@H]1C[C@@H](OC)CN1c1cc(Cl)[n-]c(=O)n1 ZINC000858488026 704717428 /nfs/dbraw/zinc/71/74/28/704717428.db2.gz AOYPKPQLPRBLAE-DTWKUNHWSA-N -1 1 315.757 1.383 20 0 DDADMM COCCO[C@@H]1COCC[C@H]1Nc1cc(Cl)[n-]c(=O)n1 ZINC000858488700 704717773 /nfs/dbraw/zinc/71/77/73/704717773.db2.gz KEAZYCGPMUZJRA-RKDXNWHRSA-N -1 1 303.746 1.068 20 0 DDADMM CS(=O)(=O)C[C@H]1CCCCN1c1cc(Cl)[n-]c(=O)n1 ZINC000858563575 704727954 /nfs/dbraw/zinc/72/79/54/704727954.db2.gz ZMJCWSKSIBOQLA-MRVPVSSYSA-N -1 1 305.787 1.239 20 0 DDADMM CCOCC(C)(C)C[N-]S(=O)(=O)N=S(=O)(CC)CC ZINC000867273487 706944147 /nfs/dbraw/zinc/94/41/47/706944147.db2.gz IUGUPNMWMQGYNT-UHFFFAOYSA-N -1 1 314.473 1.391 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)OCCF)CC2)n1 ZINC000859134334 704802840 /nfs/dbraw/zinc/80/28/40/704802840.db2.gz ANCKJIXMVZMZNF-UHFFFAOYSA-N -1 1 314.317 1.267 20 0 DDADMM Cc1nc2c(s1)[C@H](NC(=O)CCCc1nn[n-]n1)CCC2 ZINC000821793361 704809487 /nfs/dbraw/zinc/80/94/87/704809487.db2.gz WTZMFZPAZNGMSB-SNVBAGLBSA-N -1 1 306.395 1.481 20 0 DDADMM CC1(C)[C@@H](c2ccccc2F)CCN1CC(=O)NCC(=O)[O-] ZINC000873646363 704816129 /nfs/dbraw/zinc/81/61/29/704816129.db2.gz IQFDQXNSCREQCV-GFCCVEGCSA-N -1 1 308.353 1.594 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@]1(C)CC1(Cl)Cl ZINC000867350528 706971222 /nfs/dbraw/zinc/97/12/22/706971222.db2.gz ICEDRUXOZQWHGF-QZTNRIJFSA-N -1 1 323.267 1.522 20 0 DDADMM CC[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867351013 706971246 /nfs/dbraw/zinc/97/12/46/706971246.db2.gz XSAZRUXVEFLNJX-SFYZADRCSA-N -1 1 311.329 1.763 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2C[C@H]2C2CCC2)c1C(F)(F)F ZINC000867442443 707002679 /nfs/dbraw/zinc/00/26/79/707002679.db2.gz GWJXOSZTHKPDDX-DTWKUNHWSA-N -1 1 323.340 1.906 20 0 DDADMM Cc1nsc(N(C)C(=O)c2cccs2)c1-c1nnn[n-]1 ZINC000822530674 704968683 /nfs/dbraw/zinc/96/86/83/704968683.db2.gz LVMTZLFFBCESKP-UHFFFAOYSA-N -1 1 306.376 1.970 20 0 DDADMM Cc1nsc(N(C)C(=O)c2cccs2)c1-c1nn[n-]n1 ZINC000822530674 704968685 /nfs/dbraw/zinc/96/86/85/704968685.db2.gz LVMTZLFFBCESKP-UHFFFAOYSA-N -1 1 306.376 1.970 20 0 DDADMM O=S(=O)([N-][C@H](CO)CCF)c1cc(Cl)cnc1Cl ZINC000867462331 707009457 /nfs/dbraw/zinc/00/94/57/707009457.db2.gz OXZZZSWCGNXBBT-ZETCQYMHSA-N -1 1 317.169 1.387 20 0 DDADMM C[C@H](COCC1CC1)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867463918 707009921 /nfs/dbraw/zinc/00/99/21/707009921.db2.gz YJKOCVNJAGWWFP-MRVPVSSYSA-N -1 1 306.334 1.453 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C1(COC)CCCC1 ZINC000867497442 707019308 /nfs/dbraw/zinc/01/93/08/707019308.db2.gz PSFFLZQVPKFLEX-UHFFFAOYSA-N -1 1 312.457 1.288 20 0 DDADMM CCSC1(C[N-]S(=O)(=O)N=[S@@](C)(=O)CC)CC1 ZINC000867528490 707029755 /nfs/dbraw/zinc/02/97/55/707029755.db2.gz OXUDBMHQLKSKLK-INIZCTEOSA-N -1 1 300.471 1.224 20 0 DDADMM Cc1[nH]ncc1C1CCN(C(=O)N[C@H]2C[C@H](C(=O)[O-])C2)CC1 ZINC000874427640 705059885 /nfs/dbraw/zinc/05/98/85/705059885.db2.gz XXMKEEWQGISTTG-HAQNSBGRSA-N -1 1 306.366 1.470 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCCNC(=O)C1 ZINC000823007203 705109060 /nfs/dbraw/zinc/10/90/60/705109060.db2.gz MCXZLQFYRLECKD-UHFFFAOYSA-N -1 1 302.252 1.373 20 0 DDADMM CCO[N-]C(=O)CNC(=O)c1ccc(CN2CCCCC2)o1 ZINC000824003703 705353154 /nfs/dbraw/zinc/35/31/54/705353154.db2.gz GCYLKELTJZYUDS-UHFFFAOYSA-N -1 1 309.366 1.063 20 0 DDADMM C[C@@H]1CC[C@@H](CNC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000875551415 705427079 /nfs/dbraw/zinc/42/70/79/705427079.db2.gz VELVVDDALWKDEW-NXEZZACHSA-N -1 1 321.343 1.743 20 0 DDADMM CC1(C)OC[C@@H](COC(=O)c2sccc2-c2nn[n-]n2)O1 ZINC000824816343 705534585 /nfs/dbraw/zinc/53/45/85/705534585.db2.gz CNNQFOGUPOEBAQ-SSDOTTSWSA-N -1 1 310.335 1.237 20 0 DDADMM CC[C@H](C)CN(CC)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876300741 705683456 /nfs/dbraw/zinc/68/34/56/705683456.db2.gz YIFHBMIIWPZCKH-NSHDSACASA-N -1 1 322.409 1.833 20 0 DDADMM Fc1ccc2c(c1)[C@H](Nc1ccc(-c3nnn[n-]3)nn1)CCO2 ZINC000826376135 705796684 /nfs/dbraw/zinc/79/66/84/705796684.db2.gz PQPXCLVEICAKAN-SNVBAGLBSA-N -1 1 313.296 1.732 20 0 DDADMM Fc1ccc2c(c1)[C@H](Nc1ccc(-c3nn[n-]n3)nn1)CCO2 ZINC000826376135 705796688 /nfs/dbraw/zinc/79/66/88/705796688.db2.gz PQPXCLVEICAKAN-SNVBAGLBSA-N -1 1 313.296 1.732 20 0 DDADMM O=C(CNC(=O)OCC(F)(F)F)Nc1cccc(F)c1[O-] ZINC000863010648 705849838 /nfs/dbraw/zinc/84/98/38/705849838.db2.gz XGUSEQYJPHTEPV-UHFFFAOYSA-N -1 1 310.203 1.758 20 0 DDADMM O=C(CC1(O)CCC1)Nc1nc(Br)ccc1[O-] ZINC000827148570 705939901 /nfs/dbraw/zinc/93/99/01/705939901.db2.gz LAFPEDZNLPVRHY-UHFFFAOYSA-N -1 1 301.140 1.793 20 0 DDADMM Cc1nn(C)cc1C1=NO[C@@H](C(=O)Nc2cccc(F)c2[O-])C1 ZINC000827447355 706003139 /nfs/dbraw/zinc/00/31/39/706003139.db2.gz AKJYVBMUEBIJFE-CYBMUJFWSA-N -1 1 318.308 1.705 20 0 DDADMM CC[C@@H](C)[C@@H](NCC(=O)N1CCc2ccccc2C1)C(=O)[O-] ZINC000828738394 706223576 /nfs/dbraw/zinc/22/35/76/706223576.db2.gz OAFHIEHPPAIXPD-MLGOLLRUSA-N -1 1 304.390 1.660 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1ccccn1 ZINC000872430893 707406624 /nfs/dbraw/zinc/40/66/24/707406624.db2.gz NBGBITMKYWYHCT-GIGQVBGESA-N -1 1 319.452 1.873 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)c1ccnn1C ZINC000828945950 706260108 /nfs/dbraw/zinc/26/01/08/706260108.db2.gz DALFNOVWDKIELT-SECBINFHSA-N -1 1 303.366 1.839 20 0 DDADMM O=S(=O)([N-]CCO)c1cnc(Cl)cc1C(F)(F)F ZINC000881911095 707420428 /nfs/dbraw/zinc/42/04/28/707420428.db2.gz AZMRATZUGZUBCG-UHFFFAOYSA-N -1 1 304.677 1.024 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCC[C@H]2CCOC2)co1 ZINC000828961801 706263504 /nfs/dbraw/zinc/26/35/04/706263504.db2.gz UMSFKEFTGLRZQH-JTQLQIEISA-N -1 1 317.363 1.161 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2ccc(C)cc2C(=O)[O-])n[nH]1 ZINC000829318029 706318461 /nfs/dbraw/zinc/31/84/61/706318461.db2.gz DBUKKZRRDYBBOL-UHFFFAOYSA-N -1 1 323.374 1.457 20 0 DDADMM CSCc1nnc(CNC(=O)c2cc(F)cc(F)c2[O-])[nH]1 ZINC000829669123 706374890 /nfs/dbraw/zinc/37/48/90/706374890.db2.gz ROWZYSNPPBCAFZ-UHFFFAOYSA-N -1 1 314.317 1.582 20 0 DDADMM CCn1ccnc1SCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000865350594 706410966 /nfs/dbraw/zinc/41/09/66/706410966.db2.gz NZSLEUNQAVWOTC-UHFFFAOYSA-N -1 1 309.313 1.633 20 0 DDADMM CC1=NO[C@H](C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)C1 ZINC000830141345 706463066 /nfs/dbraw/zinc/46/30/66/706463066.db2.gz REUKUIKVWHDJJD-HNNXBMFYSA-N -1 1 316.357 1.978 20 0 DDADMM C/C(=C\C1CCC(C)CC1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000865595284 706472659 /nfs/dbraw/zinc/47/26/59/706472659.db2.gz HGAPKSKRHWFDKJ-QYNGSITBSA-N -1 1 319.409 1.872 20 0 DDADMM CN(C)S(=O)(=O)[N-]CC(F)(F)c1ccc(F)cc1F ZINC000872550618 707473765 /nfs/dbraw/zinc/47/37/65/707473765.db2.gz SYKJZTQBWAFQFF-UHFFFAOYSA-N -1 1 300.277 1.453 20 0 DDADMM Cc1cncc([C@H]2CCCN2C(=O)CCCc2nn[n-]n2)c1 ZINC000830437926 706517747 /nfs/dbraw/zinc/51/77/47/706517747.db2.gz KTSZNFKUUJOIPT-CYBMUJFWSA-N -1 1 300.366 1.590 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)[C@H]2CCCO[C@H]21 ZINC000830690750 706563139 /nfs/dbraw/zinc/56/31/39/706563139.db2.gz OQTQCNOSNFVFNC-SZEHBUNVSA-N -1 1 319.814 1.545 20 0 DDADMM CCC(C)(C)[C@@H](O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830788065 706579609 /nfs/dbraw/zinc/57/96/09/706579609.db2.gz AIAVLVNKJWVSQQ-ZANVPECISA-N -1 1 324.343 1.453 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)/C=C/[C@H]2CCCO2)C1 ZINC000830796123 706581446 /nfs/dbraw/zinc/58/14/46/706581446.db2.gz WKWNIQWUNVYVTM-YAUPHVJRSA-N -1 1 320.311 1.391 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CC1(c2ccccn2)CC1 ZINC000867095847 706894541 /nfs/dbraw/zinc/89/45/41/706894541.db2.gz YGWHWFFKDUXAND-IBGZPJMESA-N -1 1 317.436 1.065 20 0 DDADMM Cc1cnc([C@@H](C)C[N-]S(=O)(=O)N=S(C)(C)=O)s1 ZINC000867103379 706897074 /nfs/dbraw/zinc/89/70/74/706897074.db2.gz WMZAZEONULQMIL-ZETCQYMHSA-N -1 1 311.454 1.117 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CC1(SC)CCC1 ZINC000867202591 706923144 /nfs/dbraw/zinc/92/31/44/706923144.db2.gz AOPJQGPWATXCQA-INIZCTEOSA-N -1 1 300.471 1.224 20 0 DDADMM COC/C=C/C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000867211649 706926629 /nfs/dbraw/zinc/92/66/29/706926629.db2.gz QSAVRFOQPMHTJN-ONEGZZNKSA-N -1 1 302.327 1.338 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC(CO)(CO)CC1 ZINC000832519972 706930317 /nfs/dbraw/zinc/93/03/17/706930317.db2.gz VXQKGCIOEMYMSM-UHFFFAOYSA-N -1 1 313.781 1.182 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)C[C@@H]1CCC(F)(F)C1 ZINC000867380420 706981450 /nfs/dbraw/zinc/98/14/50/706981450.db2.gz HXMGHLNFXVFLCE-RKDXNWHRSA-N -1 1 311.350 1.293 20 0 DDADMM CN(C(=O)N[C@H]1CCc2nc[nH]c2C1)C1(C(=O)[O-])CCCC1 ZINC000909019010 712918135 /nfs/dbraw/zinc/91/81/35/712918135.db2.gz GMTSIEJHEGGRBF-JTQLQIEISA-N -1 1 306.366 1.306 20 0 DDADMM CC[C@@H]1CN(C(=O)CC(C)(C)CC(=O)[O-])CCN1CCOC ZINC000833649811 707012019 /nfs/dbraw/zinc/01/20/19/707012019.db2.gz VRJNAZLFTQUCTE-CYBMUJFWSA-N -1 1 314.426 1.447 20 0 DDADMM O=S(=O)([N-]CCCc1ccco1)c1ccc(F)nc1F ZINC000867532055 707031081 /nfs/dbraw/zinc/03/10/81/707031081.db2.gz PEKOCZDTDAKAFB-UHFFFAOYSA-N -1 1 302.302 1.864 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N[C@@H]1CCCCNC1=O ZINC000871626740 707143934 /nfs/dbraw/zinc/14/39/34/707143934.db2.gz SVOYYJWZMBKKPL-LLVKDONJSA-N -1 1 311.769 1.514 20 0 DDADMM C[C@@H]1CCc2[n-]n(C3=NS(=O)(=O)c4ccccc43)c(=O)c21 ZINC000871947402 707251767 /nfs/dbraw/zinc/25/17/67/707251767.db2.gz YSZIEADEFQPHLN-PELKAZGASA-N -1 1 303.343 1.380 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc(F)c(C(F)F)c2)n1 ZINC000872479899 707436597 /nfs/dbraw/zinc/43/65/97/707436597.db2.gz BRPJGDUUJNGXBJ-UHFFFAOYSA-N -1 1 321.280 1.933 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@H](O)C12CCC2 ZINC000872504419 707450672 /nfs/dbraw/zinc/45/06/72/707450672.db2.gz LOGFMCPUPWLBHC-QGRDJGHBSA-N -1 1 324.468 1.021 20 0 DDADMM C[C@@H](C(=O)[O-])N(C(=O)NCc1ccc2cncn2c1)C1CCC1 ZINC000909173347 712953976 /nfs/dbraw/zinc/95/39/76/712953976.db2.gz ZZHYNTRFVBNIPQ-NSHDSACASA-N -1 1 316.361 1.872 20 0 DDADMM CC(C)(CO)O[N-]C(=O)C1(Cc2ccccc2)CCOCC1 ZINC000836806917 707520593 /nfs/dbraw/zinc/52/05/93/707520593.db2.gz WWLDVSCEGWOJLA-UHFFFAOYSA-N -1 1 307.390 1.845 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000836901885 707547353 /nfs/dbraw/zinc/54/73/53/707547353.db2.gz WDZWFAUGRSTXQK-JSGCOSHPSA-N -1 1 312.410 1.232 20 0 DDADMM CSc1cccc([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)c1 ZINC000882661062 707737106 /nfs/dbraw/zinc/73/71/06/707737106.db2.gz RPZQDOYJKXSBPD-GOSISDBHSA-N -1 1 323.465 1.640 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@]12CCCO2)c1c[nH]nc1Cl ZINC000882792286 707797744 /nfs/dbraw/zinc/79/77/44/707797744.db2.gz SNUTYEFBRCENSE-KOLCDFICSA-N -1 1 305.787 1.443 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2csc(C3CCCC3)n2)nc1=O ZINC000873461492 707861176 /nfs/dbraw/zinc/86/11/76/707861176.db2.gz WPLFREQNQQCIKH-UHFFFAOYSA-N -1 1 322.390 1.508 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CC[C@](C)(C(=O)[O-])C3)n[nH]2)c1 ZINC000909300515 712985781 /nfs/dbraw/zinc/98/57/81/712985781.db2.gz PUFXXFHUUSYTLA-HNNXBMFYSA-N -1 1 302.334 1.352 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)N[C@H](C(=O)[O-])c1ccccc1F ZINC000909358791 712999849 /nfs/dbraw/zinc/99/98/49/712999849.db2.gz SNSPHIHMGLACPX-FZMZJTMJSA-N -1 1 324.352 1.036 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(C3(SC)CC3)no2)o1 ZINC000896787946 708150401 /nfs/dbraw/zinc/15/04/01/708150401.db2.gz RYJFBBOMXHJICA-UHFFFAOYSA-N -1 1 315.376 1.590 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@@H]2C[C@@]23CCOC3)c1 ZINC000884555922 708328343 /nfs/dbraw/zinc/32/83/43/708328343.db2.gz DBWBZDSKJWTBQT-ONJZCGHCSA-N -1 1 324.398 1.773 20 0 DDADMM CCN1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])CC1=O ZINC000897555180 708372433 /nfs/dbraw/zinc/37/24/33/708372433.db2.gz ONTZOHAIXMPJKW-UHFFFAOYSA-N -1 1 300.314 1.513 20 0 DDADMM Cc1cnc(F)cc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000897668120 708412993 /nfs/dbraw/zinc/41/29/93/708412993.db2.gz DHHKXWAJYADLEI-UHFFFAOYSA-N -1 1 306.297 1.638 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCc1ccccc1 ZINC000912527242 713030868 /nfs/dbraw/zinc/03/08/68/713030868.db2.gz BNVRCDISFAWYIX-UHFFFAOYSA-N -1 1 323.374 1.107 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1ccns1)C(=O)OC(C)(C)C ZINC000885279884 708509526 /nfs/dbraw/zinc/50/95/26/708509526.db2.gz JOJXRRSPYXPTIQ-MRVPVSSYSA-N -1 1 306.409 1.399 20 0 DDADMM Cc1cccc2c1C[C@H]([N-]S(=O)(=O)c1ccns1)CO2 ZINC000885315646 708518020 /nfs/dbraw/zinc/51/80/20/708518020.db2.gz CUCUTLMQULGVDU-JTQLQIEISA-N -1 1 310.400 1.734 20 0 DDADMM O=S(=O)([N-][C@@H](C1CCC1)[C@H]1CCOC1)c1ccns1 ZINC000885340157 708522931 /nfs/dbraw/zinc/52/29/31/708522931.db2.gz OHWBEXUJVKJHGO-JQWIXIFHSA-N -1 1 302.421 1.627 20 0 DDADMM CCc1oc(C(=O)OC)cc1S(=O)(=O)[N-]C(CF)CF ZINC000885417018 708539710 /nfs/dbraw/zinc/53/97/10/708539710.db2.gz QMKISIJMYOTXEN-UHFFFAOYSA-N -1 1 311.306 1.215 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOC[C@@H]1CC1CC1 ZINC000886474537 708766886 /nfs/dbraw/zinc/76/68/86/708766886.db2.gz RZANAMFTIKUHTO-LBPRGKRZSA-N -1 1 303.362 1.701 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CC[C@H](CO)C1 ZINC000927783157 713055031 /nfs/dbraw/zinc/05/50/31/713055031.db2.gz VFDBNORYFKFVLH-VIFPVBQESA-N -1 1 300.305 1.237 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@H](CO)C1CCC1 ZINC000927787408 713056068 /nfs/dbraw/zinc/05/60/68/713056068.db2.gz SUDUYAVUWSVQBN-CQSZACIVSA-N -1 1 314.332 1.673 20 0 DDADMM C[C@H](O)[C@H]1CCCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927789664 713056721 /nfs/dbraw/zinc/05/67/21/713056721.db2.gz XKHSASGIRAUDEH-LKFCYVNXSA-N -1 1 314.332 1.768 20 0 DDADMM O=C(NCCS(=O)(=O)C(F)(F)F)c1c([O-])cccc1F ZINC000898682802 708835316 /nfs/dbraw/zinc/83/53/16/708835316.db2.gz IRVGHHPWCPYINC-UHFFFAOYSA-N -1 1 315.244 1.196 20 0 DDADMM CN1C(=O)CN=C1[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000898769437 708860676 /nfs/dbraw/zinc/86/06/76/708860676.db2.gz PRFABCDLJDYZDD-UHFFFAOYSA-N -1 1 303.215 1.001 20 0 DDADMM COC[C@@H]1COCCN1C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000886876401 708877112 /nfs/dbraw/zinc/87/71/12/708877112.db2.gz DXJQUEMHNZJZHR-SNVBAGLBSA-N -1 1 315.753 1.542 20 0 DDADMM CN1CCOC[C@@H]1CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000899139007 708997111 /nfs/dbraw/zinc/99/71/11/708997111.db2.gz HTXPFMBEJPKKHV-LBPRGKRZSA-N -1 1 316.357 1.311 20 0 DDADMM COC1(C(F)(F)F)CN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000889070824 709443525 /nfs/dbraw/zinc/44/35/25/709443525.db2.gz BWMDUEGGRGTGTJ-UHFFFAOYSA-N -1 1 303.236 1.365 20 0 DDADMM CC(C)(C(=O)[O-])[C@@H]1CCCN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000909485843 709484314 /nfs/dbraw/zinc/48/43/14/709484314.db2.gz CVZZRNJKYHDLCP-SNVBAGLBSA-N -1 1 316.361 1.921 20 0 DDADMM O=C([N-]OCc1ccccn1)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000909523195 709500715 /nfs/dbraw/zinc/50/07/15/709500715.db2.gz HOIUNVVQEVJNIN-CYBMUJFWSA-N -1 1 317.389 1.811 20 0 DDADMM O=C(Cc1n[nH]c2c1CCCC2)NCC1(C(=O)[O-])CCCCC1 ZINC000909526927 709501983 /nfs/dbraw/zinc/50/19/83/709501983.db2.gz WEEZTZKZKULSDY-UHFFFAOYSA-N -1 1 319.405 1.982 20 0 DDADMM COc1cccc(CC(=O)N[C@@H](CCSC)c2nn[n-]n2)c1 ZINC000912860322 713109703 /nfs/dbraw/zinc/10/97/03/713109703.db2.gz SPNQMANXNQQFKT-LBPRGKRZSA-N -1 1 321.406 1.361 20 0 DDADMM CSCC[C@H](NC(=O)C=C(C1CC1)C1CC1)c1nn[n-]n1 ZINC000912860821 713109938 /nfs/dbraw/zinc/10/99/38/713109938.db2.gz ZROSUCBBOKSQPX-LBPRGKRZSA-N -1 1 307.423 1.857 20 0 DDADMM CCCCN(CCOC)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909583103 709526857 /nfs/dbraw/zinc/52/68/57/709526857.db2.gz XTGKWDIHZFNMDS-ZDUSSCGKSA-N -1 1 300.399 1.058 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](C)c1ccc(C)o1)c1nn[n-]n1 ZINC000912861424 713110617 /nfs/dbraw/zinc/11/06/17/713110617.db2.gz KNVPHGQMAMRWRD-UWVGGRQHSA-N -1 1 309.395 1.815 20 0 DDADMM CCCCC1(NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1 ZINC000889532758 709535160 /nfs/dbraw/zinc/53/51/60/709535160.db2.gz RJIIBTSCIBTDPN-UHFFFAOYSA-N -1 1 300.380 1.640 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N1CCCC[C@H]1C(=O)[O-] ZINC000900457719 709604060 /nfs/dbraw/zinc/60/40/60/709604060.db2.gz URXYFLRDOMDCQV-KGLIPLIRSA-N -1 1 320.389 1.941 20 0 DDADMM C[C@@H](NC(=O)[C@H]1CCCCN1C)c1ccc(OCC(=O)[O-])cc1 ZINC000909796731 709625890 /nfs/dbraw/zinc/62/58/90/709625890.db2.gz NLTMLONOGIWAIU-IUODEOHRSA-N -1 1 320.389 1.812 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cncc(C)c2)[n-]c1=O ZINC000889792241 709638302 /nfs/dbraw/zinc/63/83/02/709638302.db2.gz UXSPQLUIWMPXCI-LBPRGKRZSA-N -1 1 314.345 1.872 20 0 DDADMM O=C(O[C@@H]1CCC(=O)NC1=O)c1cc(Cl)cc(Cl)c1[O-] ZINC000909860578 709656945 /nfs/dbraw/zinc/65/69/45/709656945.db2.gz SBVNQLYKWSGEBG-MRVPVSSYSA-N -1 1 318.112 1.661 20 0 DDADMM O=C(NC1(CO)CCSCC1)c1cnc(C2CC2)[n-]c1=O ZINC000909897963 709677422 /nfs/dbraw/zinc/67/74/22/709677422.db2.gz SUTXEZZOHQGNAU-UHFFFAOYSA-N -1 1 309.391 1.048 20 0 DDADMM CC[C@H](COCC1CC1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909944596 709700122 /nfs/dbraw/zinc/70/01/22/709700122.db2.gz LLANMBVHZIULKR-ZIAGYGMSSA-N -1 1 312.410 1.104 20 0 DDADMM CC1(C)[C@H](CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C1(F)F ZINC000909986276 709720884 /nfs/dbraw/zinc/72/08/84/709720884.db2.gz FOGXRTFJTVDZMD-ZJUUUORDSA-N -1 1 304.337 1.191 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CC[C@H](C(=O)[O-])[C@H](C)C2)C1 ZINC000909988568 709722986 /nfs/dbraw/zinc/72/29/86/709722986.db2.gz QOQMOIPCIBHDRB-AAXDQBDMSA-N -1 1 305.378 1.645 20 0 DDADMM CO[C@@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)C1CCCC1 ZINC000909989656 709723869 /nfs/dbraw/zinc/72/38/69/709723869.db2.gz DRXWNXPDKBFUHF-KGLIPLIRSA-N -1 1 312.410 1.104 20 0 DDADMM CCN(CCC(=O)NC)C(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000900763935 709747394 /nfs/dbraw/zinc/74/73/94/709747394.db2.gz RMZMMEIULQUFOJ-UHFFFAOYSA-N -1 1 316.361 1.242 20 0 DDADMM CCN(CCC(=O)NC)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000900763935 709747397 /nfs/dbraw/zinc/74/73/97/709747397.db2.gz RMZMMEIULQUFOJ-UHFFFAOYSA-N -1 1 316.361 1.242 20 0 DDADMM CN1CCC[C@H]1c1cc(C(=O)N(CC(=O)[O-])C2CCCC2)[nH]n1 ZINC000910062886 709761176 /nfs/dbraw/zinc/76/11/76/709761176.db2.gz SWIFYTBWHZSAAH-AWEZNQCLSA-N -1 1 320.393 1.646 20 0 DDADMM O=C([O-])c1cccc2c1CCN(C(=O)CCc1c[nH]nn1)C2 ZINC000910068009 709762572 /nfs/dbraw/zinc/76/25/72/709762572.db2.gz GCTUOGZDSPKSIH-UHFFFAOYSA-N -1 1 300.318 1.020 20 0 DDADMM O=C([O-])c1cccc2c1CCN(C(=O)CCc1cnn[nH]1)C2 ZINC000910068009 709762577 /nfs/dbraw/zinc/76/25/77/709762577.db2.gz GCTUOGZDSPKSIH-UHFFFAOYSA-N -1 1 300.318 1.020 20 0 DDADMM CCC[C@@]1(C(=O)[O-])CCCN1C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000910113459 709776444 /nfs/dbraw/zinc/77/64/44/709776444.db2.gz DUWMBBWNRJBILG-ZBEGNZNMSA-N -1 1 305.378 1.761 20 0 DDADMM COCCOC[C@@H](C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900844332 709787928 /nfs/dbraw/zinc/78/79/28/709787928.db2.gz XVHAPLPVUROSDM-SNVBAGLBSA-N -1 1 322.336 1.861 20 0 DDADMM O=C([O-])[C@H]1CSCCN(C(=O)c2ccc3cncn3c2)C1 ZINC000910148837 709791835 /nfs/dbraw/zinc/79/18/35/709791835.db2.gz FVANONUIRWPWHI-LLVKDONJSA-N -1 1 305.359 1.224 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2ccc(O)cc2)CC1 ZINC000910169858 709796221 /nfs/dbraw/zinc/79/62/21/709796221.db2.gz GOPQEZFZUBLIBM-LLPBQKLSSA-N -1 1 318.373 1.413 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2c[nH]nc2C(C)(C)C)CC1 ZINC000910175119 709797996 /nfs/dbraw/zinc/79/79/96/709797996.db2.gz KPFAIDMCBRWNNU-LLVKDONJSA-N -1 1 322.409 1.328 20 0 DDADMM COCC(C)(C)CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910175331 709798053 /nfs/dbraw/zinc/79/80/53/709798053.db2.gz MWYJCVQPSAUYQO-LBPRGKRZSA-N -1 1 300.399 1.057 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cc3occc3[nH]2)CC1 ZINC000910178902 709799815 /nfs/dbraw/zinc/79/98/15/709799815.db2.gz QRISZLVZJDKLBI-UHFFFAOYSA-N -1 1 305.334 1.382 20 0 DDADMM COCCC(C)(C)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910178929 709799938 /nfs/dbraw/zinc/79/99/38/709799938.db2.gz RRQVDNKQOZZAFY-UHFFFAOYSA-N -1 1 300.399 1.057 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC000910228760 709827395 /nfs/dbraw/zinc/82/73/95/709827395.db2.gz YAHLPHWSAMKKMZ-VDTYLAMSSA-N -1 1 321.255 1.051 20 0 DDADMM CN1CCC[C@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C1=O ZINC000900948314 709843167 /nfs/dbraw/zinc/84/31/67/709843167.db2.gz NRTFHFWNLREUAJ-NSHDSACASA-N -1 1 317.320 1.430 20 0 DDADMM C[C@H](NC(=O)CN1CCC[C@H](C(=O)[O-])C1)[C@@]12C[C@@H]1CCCC2 ZINC000910285992 709867181 /nfs/dbraw/zinc/86/71/81/709867181.db2.gz DUGVOGOUSGJHKN-AYMQEEERSA-N -1 1 308.422 1.868 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCO[C@](C)(C(=O)[O-])C1 ZINC000910323714 709891191 /nfs/dbraw/zinc/89/11/91/709891191.db2.gz PISXLBUUMRYTRG-NSHDSACASA-N -1 1 321.255 1.053 20 0 DDADMM O=C(NC[C@@H](O)[C@H]1CCCO1)c1c([O-])cnc2c(F)cccc21 ZINC000912992559 713140694 /nfs/dbraw/zinc/14/06/94/713140694.db2.gz ZHYURUOGNGRRMW-DGCLKSJQSA-N -1 1 320.320 1.349 20 0 DDADMM O=C(NC[C@@H](O)[C@@H]1CCCO1)c1c([O-])cnc2c(F)cccc21 ZINC000912992564 713140750 /nfs/dbraw/zinc/14/07/50/713140750.db2.gz ZHYURUOGNGRRMW-YPMHNXCESA-N -1 1 320.320 1.349 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCC(C)(F)F)co1 ZINC000922559796 714088072 /nfs/dbraw/zinc/08/80/72/714088072.db2.gz HMIWGTAWGFJYKX-UHFFFAOYSA-N -1 1 311.306 1.780 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1N(C(=O)[C@@H]1CCCc3[nH]ncc31)CC2 ZINC000910398415 709923469 /nfs/dbraw/zinc/92/34/69/709923469.db2.gz QWSVWMNHFQUSJC-ZXIHIIQKSA-N -1 1 303.362 1.685 20 0 DDADMM CCCN(C)CC(=O)Nc1nc2c(s1)CC[C@@H](C(=O)[O-])C2 ZINC000910677775 710044181 /nfs/dbraw/zinc/04/41/81/710044181.db2.gz RUILQUDMMQYKFA-SECBINFHSA-N -1 1 311.407 1.613 20 0 DDADMM CC[C@@H](C(=O)Nc1nc2c(s1)CC[C@@H](C(=O)[O-])C2)N(C)C ZINC000910678108 710044253 /nfs/dbraw/zinc/04/42/53/710044253.db2.gz VCQBKDJVURUTOD-SCZZXKLOSA-N -1 1 311.407 1.611 20 0 DDADMM O=C([O-])C[C@H]1CN(Cc2cnc(-c3ccccc3)[nH]2)CCO1 ZINC000901503120 710059858 /nfs/dbraw/zinc/05/98/58/710059858.db2.gz RIRDXSMRHZJWMC-AWEZNQCLSA-N -1 1 301.346 1.752 20 0 DDADMM COc1cccc([C@H](C(=O)[O-])N(C)C(=O)[C@H]2CCCN2C)c1 ZINC000910771463 710070472 /nfs/dbraw/zinc/07/04/72/710070472.db2.gz JNRYZUURQPUKMX-ZIAGYGMSSA-N -1 1 306.362 1.374 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)C2(C(=O)[O-])C(C)(C)C2(C)C)n[nH]1 ZINC000901611211 710094890 /nfs/dbraw/zinc/09/48/90/710094890.db2.gz VXERJNHSGPGILW-VIFPVBQESA-N -1 1 307.394 1.902 20 0 DDADMM CC1(C)CCC[C@@H](CS(=O)(=O)[N-]c2cnn(CCF)c2)O1 ZINC000901681505 710117202 /nfs/dbraw/zinc/11/72/02/710117202.db2.gz IEHGFSBSQYPSFW-LBPRGKRZSA-N -1 1 319.402 1.942 20 0 DDADMM C[C@@H]1CCCN(C(=O)CN(C)CCc2ccccc2)[C@@H]1C(=O)[O-] ZINC000901695137 710121193 /nfs/dbraw/zinc/12/11/93/710121193.db2.gz KQVSFEVVVDMFJI-PBHICJAKSA-N -1 1 318.417 1.873 20 0 DDADMM C[C@H](NCC1=Cc2ccccc2OC1)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901743908 710138445 /nfs/dbraw/zinc/13/84/45/710138445.db2.gz QCMPULYDKNKHAT-QWRGUYRKSA-N -1 1 304.346 1.030 20 0 DDADMM CC(C)[C@H](NS(C)(=O)=O)C(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910984714 710140941 /nfs/dbraw/zinc/14/09/41/710140941.db2.gz STLCPPVFMOFFAK-JTQLQIEISA-N -1 1 322.333 1.183 20 0 DDADMM CCO[C@@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)[C@H]1CCOC1 ZINC000891615679 710249462 /nfs/dbraw/zinc/24/94/62/710249462.db2.gz SAQDNDAZVKHDJI-GXFFZTMASA-N -1 1 321.377 1.441 20 0 DDADMM COC(CNC(=O)c1cncc([O-])c1)(OC)c1ccccc1 ZINC000913222635 713182267 /nfs/dbraw/zinc/18/22/67/713182267.db2.gz IPFIHTNAQNYSGU-UHFFFAOYSA-N -1 1 302.330 1.663 20 0 DDADMM COc1ccc(C(=O)Nc2c(C)nn(C)c2N2CCC2)c([O-])c1 ZINC000913254756 713186243 /nfs/dbraw/zinc/18/62/43/713186243.db2.gz OCFVTSVAYARIQN-UHFFFAOYSA-N -1 1 316.361 1.905 20 0 DDADMM COCc1nc(N2CCO[C@@H](c3cccs3)C2)cc(=O)[n-]1 ZINC000892920005 710525344 /nfs/dbraw/zinc/52/53/44/710525344.db2.gz FFDQGHSBYXRADY-SNVBAGLBSA-N -1 1 307.375 1.968 20 0 DDADMM CCc1nn(C)c(CC)c1CNc1cc(=O)[n-]c(COC)n1 ZINC000892977286 710535358 /nfs/dbraw/zinc/53/53/58/710535358.db2.gz MSLDQSGLPKSLQO-UHFFFAOYSA-N -1 1 305.382 1.799 20 0 DDADMM COCc1nc(NC[C@](C)(O)c2ccc(F)cc2)cc(=O)[n-]1 ZINC000893010447 710540959 /nfs/dbraw/zinc/54/09/59/710540959.db2.gz XOHYKAAXBAHLSA-HNNXBMFYSA-N -1 1 307.325 1.787 20 0 DDADMM CCO[C@@H]1C[C@@H](Nc2cc(=O)[n-]c(COC)n2)[C@@]12CCCO2 ZINC000893171931 710566176 /nfs/dbraw/zinc/56/61/76/710566176.db2.gz ABZYXOKBUZFPKY-HFAKWTLXSA-N -1 1 309.366 1.467 20 0 DDADMM C[C@H]1CN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)CC[C@H]1C(=O)[O-] ZINC000902162002 710641084 /nfs/dbraw/zinc/64/10/84/710641084.db2.gz QOQMOIPCIBHDRB-LYIQGSDWSA-N -1 1 305.378 1.645 20 0 DDADMM CCO[C@H]1C[C@](O)(CNc2cc(=O)[n-]c(COC)n2)C1(C)C ZINC000893436890 710652022 /nfs/dbraw/zinc/65/20/22/710652022.db2.gz MWIXJWDWKPSAIO-BONVTDFDSA-N -1 1 311.382 1.307 20 0 DDADMM O=C([O-])c1ccc(CC(=O)N2CCN(CC3CC3)CC2)cc1 ZINC000911221333 710693685 /nfs/dbraw/zinc/69/36/85/710693685.db2.gz XPPALUCGHKWICD-UHFFFAOYSA-N -1 1 302.374 1.482 20 0 DDADMM CN(C)c1ccccc1CNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911325442 710742328 /nfs/dbraw/zinc/74/23/28/710742328.db2.gz MLUMXRHFENRISV-AWEZNQCLSA-N -1 1 319.405 1.165 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCC(c3nc[nH]n3)CC2)c(F)c1 ZINC000911439404 710799383 /nfs/dbraw/zinc/79/93/83/710799383.db2.gz XKJDVMPCJJZPJV-UHFFFAOYSA-N -1 1 318.308 1.662 20 0 DDADMM CO[C@H](C(=O)[O-])C(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000902627207 710825713 /nfs/dbraw/zinc/82/57/13/710825713.db2.gz JFHBDQLFOVQTBN-GWCFXTLKSA-N -1 1 309.366 1.339 20 0 DDADMM CCOC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC000913437560 713215521 /nfs/dbraw/zinc/21/55/21/713215521.db2.gz MWHGOPHAJYTPQQ-GFCCVEGCSA-N -1 1 301.350 1.365 20 0 DDADMM COCCC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC000913438045 713215629 /nfs/dbraw/zinc/21/56/29/713215629.db2.gz NGCLVZPQSCWOJH-ZDUSSCGKSA-N -1 1 315.377 1.612 20 0 DDADMM CN(C)c1ccnc(CNC(=O)[C@H]2CO[C@H](CCC(=O)[O-])C2)c1 ZINC000902815857 710913611 /nfs/dbraw/zinc/91/36/11/710913611.db2.gz XGZIIWQYWJXXLU-BXUZGUMPSA-N -1 1 321.377 1.034 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2Cc3ccccc3CO2)C1 ZINC000911810227 711007676 /nfs/dbraw/zinc/00/76/76/711007676.db2.gz UNGIUHCPUFNQHJ-CYBMUJFWSA-N -1 1 304.346 1.257 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2c(Cl)c(C)nn2C)cn1 ZINC000903165810 711052564 /nfs/dbraw/zinc/05/25/64/711052564.db2.gz MZHNZERZRZPTPY-UHFFFAOYSA-N -1 1 303.775 1.399 20 0 DDADMM Cn1cc([C@H]2CN(C(=O)c3cc(F)ccc3[O-])CCN2)cn1 ZINC000913464457 713227592 /nfs/dbraw/zinc/22/75/92/713227592.db2.gz UFGFUSJEBLDTLQ-CYBMUJFWSA-N -1 1 304.325 1.052 20 0 DDADMM CC[C@H](O)[C@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000912120378 711181643 /nfs/dbraw/zinc/18/16/43/711181643.db2.gz WDIGIRUJDLIKEE-NEPJUHHUSA-N -1 1 320.418 1.784 20 0 DDADMM O=C(N[C@@H]([C@@H]1CCCO1)C1(CO)CCC1)c1cc(F)ccc1[O-] ZINC000912208887 711222247 /nfs/dbraw/zinc/22/22/47/711222247.db2.gz LZAWPDNSLDPHET-GJZGRUSLSA-N -1 1 323.364 1.971 20 0 DDADMM O=C(c1cnc2ccsc2c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495611 713237921 /nfs/dbraw/zinc/23/79/21/713237921.db2.gz LVYLRHZFFMPQRU-JTQLQIEISA-N -1 1 316.346 1.023 20 0 DDADMM CC[C@@H](OC1CCCCC1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495233 713238002 /nfs/dbraw/zinc/23/80/02/713238002.db2.gz IWGZGFGUKQRZCL-CHWSQXEVSA-N -1 1 323.397 1.228 20 0 DDADMM O=C(/C=C\C1CCCCCC1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499951 713239723 /nfs/dbraw/zinc/23/97/23/713239723.db2.gz SYDAQIYIFOUNGV-MEJMFZKBSA-N -1 1 305.382 1.626 20 0 DDADMM O=C([O-])c1cccc2c1OCCN(CCCn1ccnc1)C2 ZINC000903921473 711332766 /nfs/dbraw/zinc/33/27/66/711332766.db2.gz OENXKZIVOHSJGD-UHFFFAOYSA-N -1 1 301.346 1.866 20 0 DDADMM O=C([O-])COCCOCCNCc1cc(Cl)ccc1F ZINC000904271883 711421341 /nfs/dbraw/zinc/42/13/41/711421341.db2.gz DIUQNSDVRFAJJR-UHFFFAOYSA-N -1 1 305.733 1.687 20 0 DDADMM O=C(C[C@@H]1CCC2(CCOCC2)CO1)[N-]O[C@@H]1CCCCO1 ZINC000896028451 711665944 /nfs/dbraw/zinc/66/59/44/711665944.db2.gz XTTKXLVJDTVOBB-DZGCQCFKSA-N -1 1 313.394 1.927 20 0 DDADMM CN1CC[C@H]1CNC(=O)[C@](C)(CC(=O)[O-])c1ccc(F)cc1 ZINC000905130419 711945608 /nfs/dbraw/zinc/94/56/08/711945608.db2.gz DUPZUCILKRLXRI-XJKSGUPXSA-N -1 1 308.353 1.378 20 0 DDADMM O=C(Cc1cnc2ccccc2c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742913 713292095 /nfs/dbraw/zinc/29/20/95/713292095.db2.gz DRXYKBVMUZUZBX-UHFFFAOYSA-N -1 1 322.372 1.697 20 0 DDADMM O=C([C@@H]1Cc2ccc(Cl)cc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913746938 713294223 /nfs/dbraw/zinc/29/42/23/713294223.db2.gz MHJHKCQYWRLOQY-CYBMUJFWSA-N -1 1 317.780 1.899 20 0 DDADMM O=C(c1cc2ccncc2s1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746437 713294390 /nfs/dbraw/zinc/29/43/90/713294390.db2.gz TUVFGTBVIBRUBF-UHFFFAOYSA-N -1 1 314.374 1.829 20 0 DDADMM O=C([O-])[C@@H]1CC(F)(F)CN1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000905524921 712064320 /nfs/dbraw/zinc/06/43/20/712064320.db2.gz NVIVKDCKXWKXKW-IUCAKERBSA-N -1 1 314.292 1.291 20 0 DDADMM O=C([O-])c1ccc(CNC[C@@H]2COc3ccccc3O2)nc1 ZINC000905720220 712131001 /nfs/dbraw/zinc/13/10/01/712131001.db2.gz ZOMBPKLTNXBQBS-CYBMUJFWSA-N -1 1 300.314 1.709 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]c1ccc(F)nc1 ZINC000906062471 712234321 /nfs/dbraw/zinc/23/43/21/712234321.db2.gz ZHKOYTIOTIJMOD-GOSISDBHSA-N -1 1 309.388 1.774 20 0 DDADMM C[C@H]1CN(C(C)(C)CNc2cc(C(=O)[O-])ncn2)C[C@H](C)O1 ZINC000906138835 712252444 /nfs/dbraw/zinc/25/24/44/712252444.db2.gz IAZJIXCWQHXHMI-QWRGUYRKSA-N -1 1 308.382 1.475 20 0 DDADMM CC(C)[C@@H]1CCN(S(=O)(=O)c2cc(C(=O)[O-])ccc2O)C1 ZINC000906886630 712428183 /nfs/dbraw/zinc/42/81/83/712428183.db2.gz PIJSPJQSENEAGM-LLVKDONJSA-N -1 1 313.375 1.757 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)c2cc(C(=O)[O-])ccc2O)C[C@H]1F ZINC000906921031 712439410 /nfs/dbraw/zinc/43/94/10/712439410.db2.gz UBTFBOHAXOSOKD-WCBMZHEXSA-N -1 1 317.338 1.459 20 0 DDADMM O=C(C[C@H](O)C(Cl)(Cl)Cl)[N-]OCc1cccnc1 ZINC000907091248 712485491 /nfs/dbraw/zinc/48/54/91/712485491.db2.gz PRAFQBGEHKDEGI-QMMMGPOBSA-N -1 1 313.568 1.751 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2CC[C@H](C3CC3)O2)sn1 ZINC000907471162 712580365 /nfs/dbraw/zinc/58/03/65/712580365.db2.gz MIWRFTLEDZZZPU-WDEREUQCSA-N -1 1 302.421 1.687 20 0 DDADMM O=C(CC(F)(F)C(F)F)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907476285 712582191 /nfs/dbraw/zinc/58/21/91/712582191.db2.gz MROISPVGOLTXKP-RXMQYKEDSA-N -1 1 313.280 1.107 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)cn1 ZINC000907480064 712583490 /nfs/dbraw/zinc/58/34/90/712583490.db2.gz OOOZCMQPCXJSOG-QMAVJUDZSA-N -1 1 316.390 1.233 20 0 DDADMM Cc1ncccc1C=CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481240 712583788 /nfs/dbraw/zinc/58/37/88/712583788.db2.gz UNBCBZINXYTMFK-ITKZLYELSA-N -1 1 316.390 1.233 20 0 DDADMM O=C(c1ccsc1Cl)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480794 712583833 /nfs/dbraw/zinc/58/38/33/712583833.db2.gz DIMABARHTCRIPY-ZETCQYMHSA-N -1 1 315.811 1.845 20 0 DDADMM Cc1cc(C)cc(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000907480497 712583960 /nfs/dbraw/zinc/58/39/60/712583960.db2.gz BATYABRDIUVWDE-CYBMUJFWSA-N -1 1 317.418 1.676 20 0 DDADMM CN1CCCN(C(=O)[C@@]2(C(=O)[O-])C[C@H]2c2ccccc2)CC1 ZINC000907768047 712624113 /nfs/dbraw/zinc/62/41/13/712624113.db2.gz FJCJTSTUMNXSLU-WMLDXEAASA-N -1 1 302.374 1.409 20 0 DDADMM O=C([O-])[C@@]1(C(=O)N2CCc3nc[nH]c3C2)C[C@H]1c1ccccc1 ZINC000907818564 712630633 /nfs/dbraw/zinc/63/06/33/712630633.db2.gz KXHWSRMFYIEHOL-SJCJKPOMSA-N -1 1 311.341 1.553 20 0 DDADMM CO[C@@H]1CCOC[C@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000907836835 712633326 /nfs/dbraw/zinc/63/33/26/712633326.db2.gz WLLVRAZJFILEER-GHMZBOCLSA-N -1 1 323.773 1.561 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3cccc4c3OCC4)CC2)n1 ZINC000907860390 712637513 /nfs/dbraw/zinc/63/75/13/712637513.db2.gz QHJNBXOTFZBNRJ-UHFFFAOYSA-N -1 1 321.358 1.281 20 0 DDADMM Cc1ccc(O)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000907956460 712654890 /nfs/dbraw/zinc/65/48/90/712654890.db2.gz DDPTYOJUSNTFBM-SNVBAGLBSA-N -1 1 303.318 1.809 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2C[C@@H]3CCC[C@@H]23)cc1C ZINC000907958077 712655166 /nfs/dbraw/zinc/65/51/66/712655166.db2.gz KYQBEUJTDSCTJV-HBNTYKKESA-N -1 1 313.375 1.842 20 0 DDADMM O=S(=O)([N-]C1CC(CCO)C1)c1cc(Cl)ccc1F ZINC000908056739 712672825 /nfs/dbraw/zinc/67/28/25/712672825.db2.gz KJROUYGEMORCAF-UHFFFAOYSA-N -1 1 307.774 1.918 20 0 DDADMM C[C@@H](C(=O)[N-]OCC1CC1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC000908382063 712757091 /nfs/dbraw/zinc/75/70/91/712757091.db2.gz FRKNHIYVIMLLAJ-PWSUYJOCSA-N -1 1 316.398 1.308 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C/C=C/Cl)c(Br)n1 ZINC000908461199 712779416 /nfs/dbraw/zinc/77/94/16/712779416.db2.gz LMWXAVQAQQUDMZ-NSCUHMNNSA-N -1 1 314.592 1.213 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000908747268 712846859 /nfs/dbraw/zinc/84/68/59/712846859.db2.gz KADAYBAEFQZITQ-VXGBXAGGSA-N -1 1 309.366 1.531 20 0 DDADMM CO[C@]1(C)C[C@@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)C1(C)C ZINC000908835219 712864908 /nfs/dbraw/zinc/86/49/08/712864908.db2.gz LPFPSIFLISUFEN-HWWQOWPSSA-N -1 1 312.410 1.103 20 0 DDADMM O=S(=O)(CC1(F)CCC1)[N-][C@H](CO)c1c(F)cccc1F ZINC000914161942 713350992 /nfs/dbraw/zinc/35/09/92/713350992.db2.gz AMTYTSBGVBKCMT-LLVKDONJSA-N -1 1 323.336 1.810 20 0 DDADMM Cc1[nH][n-]c(=O)c1Sc1nnc(NCc2ccco2)s1 ZINC000916266101 713448984 /nfs/dbraw/zinc/44/89/84/713448984.db2.gz WURSUQGHCKYCOC-MRVPVSSYSA-N -1 1 309.376 1.710 20 0 DDADMM CCCCNC(=O)COC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000917944669 713520426 /nfs/dbraw/zinc/52/04/26/713520426.db2.gz DVVWUPVRVXAOIV-UHFFFAOYSA-N -1 1 317.345 1.651 20 0 DDADMM CCOC(=O)N1CCN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CC1 ZINC000929124628 713551394 /nfs/dbraw/zinc/55/13/94/713551394.db2.gz AEVAFJJHBVHMTN-CYBMUJFWSA-N -1 1 320.389 1.985 20 0 DDADMM CCO[C@H]1C[C@H]1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000919423591 713604493 /nfs/dbraw/zinc/60/44/93/713604493.db2.gz AQICGJRDPAYSDS-OLZOCXBDSA-N -1 1 304.298 1.967 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]Cc1c(C)cc(CO)cc1C ZINC000921400160 713756439 /nfs/dbraw/zinc/75/64/39/713756439.db2.gz OUBHKADAXUNHQQ-UHFFFAOYSA-N -1 1 324.402 1.879 20 0 DDADMM CO[C@]1(C[N-]S(=O)(=O)c2nc(C)c(C)s2)CCSC1 ZINC000921403119 713757373 /nfs/dbraw/zinc/75/73/73/713757373.db2.gz PQRQNRRYMNWWDC-NSHDSACASA-N -1 1 322.477 1.560 20 0 DDADMM COC(=O)C[C@H]1COCCN1C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000930489425 713847508 /nfs/dbraw/zinc/84/75/08/713847508.db2.gz JUYRUAZEWPIOKB-OCCSQVGLSA-N -1 1 321.373 1.475 20 0 DDADMM O=S(=O)([N-][C@@H](C1CC1)[C@H]1CCCOC1)c1ccns1 ZINC000921846541 713884063 /nfs/dbraw/zinc/88/40/63/713884063.db2.gz GUJFCXAIWQSSLU-JQWIXIFHSA-N -1 1 302.421 1.627 20 0 DDADMM COC[C@@H](CNC(=O)NCCc1c(F)cc([O-])cc1F)OC ZINC000921876081 713893695 /nfs/dbraw/zinc/89/36/95/713893695.db2.gz PUPQFZBHIVYYCT-SNVBAGLBSA-N -1 1 318.320 1.174 20 0 DDADMM C[C@@H](c1ncccn1)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000931075587 713997223 /nfs/dbraw/zinc/99/72/23/713997223.db2.gz HZACOKICFMTHCN-VWYCJHECSA-N -1 1 316.327 1.927 20 0 DDADMM Cn1cnc(CCNC(=O)NCCc2c(F)cc([O-])cc2F)c1 ZINC000922826958 714159975 /nfs/dbraw/zinc/15/99/75/714159975.db2.gz LRNQPISBDKOZOP-UHFFFAOYSA-N -1 1 324.331 1.488 20 0 DDADMM C[C@H](CN1CCC[C@@H]2CCNC(=O)[C@@H]21)C(=O)c1ccc(O)cc1 ZINC000931702860 714164879 /nfs/dbraw/zinc/16/48/79/714164879.db2.gz FNUMLWJKDYXERH-XJKCOSOUSA-N -1 1 316.401 1.812 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NCC[C@H]1CCC2(CCC2)O1 ZINC000923113106 714240954 /nfs/dbraw/zinc/24/09/54/714240954.db2.gz SLZJKFHGJPVBFH-ZIAGYGMSSA-N -1 1 324.421 1.391 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCC[C@H](CO)C1 ZINC000932038497 714243832 /nfs/dbraw/zinc/24/38/32/714243832.db2.gz JUFODELJJLTREM-LBPRGKRZSA-N -1 1 316.361 1.814 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N(CCO)CC1CC1 ZINC000932041962 714244480 /nfs/dbraw/zinc/24/44/80/714244480.db2.gz KQGZOJUEKIOOFA-UHFFFAOYSA-N -1 1 316.361 1.814 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@H](CO)C1CC1 ZINC000932043971 714245243 /nfs/dbraw/zinc/24/52/43/714245243.db2.gz ZDVSZTMMKYLBGL-CYBMUJFWSA-N -1 1 302.334 1.470 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC1([C@H]2CCCCO2)CC1 ZINC000923219057 714275816 /nfs/dbraw/zinc/27/58/16/714275816.db2.gz CEAFLMGDBJGAHA-QWHCGFSZSA-N -1 1 310.394 1.001 20 0 DDADMM COCCN1CC[C@H](NC(=O)c2cc(F)cc(F)c2[O-])C1 ZINC000932259999 714293799 /nfs/dbraw/zinc/29/37/99/714293799.db2.gz HAVNKIMRWKJHHG-JTQLQIEISA-N -1 1 300.305 1.121 20 0 DDADMM CNC(=O)C1(NC(=O)c2c([O-])cccc2Cl)CCOCC1 ZINC000925218519 714778820 /nfs/dbraw/zinc/77/88/20/714778820.db2.gz XKCFLXHGQFXRNU-UHFFFAOYSA-N -1 1 312.753 1.071 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2nnc(C)s2)[n-]c1=O ZINC000934270648 714781809 /nfs/dbraw/zinc/78/18/09/714781809.db2.gz TYJUPZUQHONHPK-SECBINFHSA-N -1 1 307.379 1.688 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1ccnn1C1CCOCC1 ZINC000934628906 714865693 /nfs/dbraw/zinc/86/56/93/714865693.db2.gz JDTKNGNCFQMGQV-ZDUSSCGKSA-N -1 1 310.354 1.814 20 0 DDADMM CCOCCO[N-]C(=O)c1cc(=O)[nH]cc1Br ZINC000935456049 715060119 /nfs/dbraw/zinc/06/01/19/715060119.db2.gz XPAVVEPSYHADEK-UHFFFAOYSA-N -1 1 305.128 1.248 20 0 DDADMM C[C@@H]1C[C@@H]2C[C@@H]2C[C@@H]1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000935457618 715060253 /nfs/dbraw/zinc/06/02/53/715060253.db2.gz VLGXDMWOSSJJOK-PZWNZHSQSA-N -1 1 307.423 1.498 20 0 DDADMM CC(C)N1CCC[C@H]1C(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC000935587955 715094855 /nfs/dbraw/zinc/09/48/55/715094855.db2.gz PLQAKTWPKVRIOR-ZDUSSCGKSA-N -1 1 316.365 1.179 20 0 DDADMM CC(C)CC(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000936437241 715200318 /nfs/dbraw/zinc/20/03/18/715200318.db2.gz VRXAEQSAUHAFKR-LBPRGKRZSA-N -1 1 305.378 1.506 20 0 DDADMM CN(C(=O)C1(F)CCCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954679681 715465064 /nfs/dbraw/zinc/46/50/64/715465064.db2.gz DUIAPXUXBIIMJH-UHFFFAOYSA-N -1 1 321.352 1.352 20 0 DDADMM C[C@@]1(NC(=O)C2CCCC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956630182 715509131 /nfs/dbraw/zinc/50/91/31/715509131.db2.gz OAOQTEMTMNEYTL-QGZVFWFLSA-N -1 1 317.389 1.698 20 0 DDADMM CC1(C)C[C@H]1C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956832597 715627438 /nfs/dbraw/zinc/62/74/38/715627438.db2.gz GCRSXARPJSPXAI-GTNSWQLSSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955214014 715677530 /nfs/dbraw/zinc/67/75/30/715677530.db2.gz GFEXEGDKEGFOAS-QWRGUYRKSA-N -1 1 303.362 1.116 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957325992 715836474 /nfs/dbraw/zinc/83/64/74/715836474.db2.gz CTRTXVIIOWODSF-XMZIXOGTSA-N -1 1 303.362 1.164 20 0 DDADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)[C@@H]2C[C@@H]2C)CC1 ZINC000957670309 715995875 /nfs/dbraw/zinc/99/58/75/715995875.db2.gz QIFJGIUQQJXVDP-WCQYABFASA-N -1 1 321.425 1.227 20 0 DDADMM CCC(CC)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955899322 716002977 /nfs/dbraw/zinc/00/29/77/716002977.db2.gz LMHZJYFZDGDAIX-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(C[C@H]1C=CCC1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000939313174 716190229 /nfs/dbraw/zinc/19/02/29/716190229.db2.gz LJUPWPKVWFGZME-QWHCGFSZSA-N -1 1 315.373 1.474 20 0 DDADMM Cc1[nH]ccc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000939947012 716519519 /nfs/dbraw/zinc/51/95/19/716519519.db2.gz GBEHHITYMJKOMW-LLVKDONJSA-N -1 1 314.345 1.068 20 0 DDADMM CC(=O)NCc1ccc2c(c1)CN(C(=O)c1ncccc1[O-])C2 ZINC000965855806 717787570 /nfs/dbraw/zinc/78/75/70/717787570.db2.gz SSLYEFSWGMACPY-UHFFFAOYSA-N -1 1 311.341 1.579 20 0 DDADMM CC(C)(F)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943810894 718197550 /nfs/dbraw/zinc/19/75/50/718197550.db2.gz SOCZRKUPVRGIHP-SNVBAGLBSA-N -1 1 309.341 1.256 20 0 DDADMM C[C@@H]1CN(C(=O)CCC2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966246614 718483473 /nfs/dbraw/zinc/48/34/73/718483473.db2.gz VOVUCGXIVUSFMQ-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000966306435 718506875 /nfs/dbraw/zinc/50/68/75/718506875.db2.gz KIEISWARFOMBJW-PEXLVHELSA-N -1 1 303.362 1.330 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1CC=CC1 ZINC000966352027 718523767 /nfs/dbraw/zinc/52/37/67/718523767.db2.gz UGKQDHFYWXDQQA-AAEUAGOBSA-N -1 1 315.373 1.330 20 0 DDADMM C[C@@H](N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ncccn1 ZINC000968485963 719615521 /nfs/dbraw/zinc/61/55/21/719615521.db2.gz KGSDPIKGFMVVKW-VXGBXAGGSA-N -1 1 313.361 1.143 20 0 DDADMM O=C(/C=C/C1CC1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949534590 720145336 /nfs/dbraw/zinc/14/53/36/720145336.db2.gz BDEJHXSOVFZUHF-FYJFLYSWSA-N -1 1 301.346 1.084 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949538620 720147040 /nfs/dbraw/zinc/14/70/40/720147040.db2.gz NNAYQABBDFRFEF-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)[C@H]1CC12CCC2)c1ncccc1[O-] ZINC000949538803 720147454 /nfs/dbraw/zinc/14/74/54/720147454.db2.gz QZQZLYUZJLQYQC-VXGBXAGGSA-N -1 1 315.373 1.308 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ccc[nH]2)C1)c1ncccc1[O-] ZINC000949984063 720439999 /nfs/dbraw/zinc/43/99/99/720439999.db2.gz GYZDQIGMRGYAME-LLVKDONJSA-N -1 1 314.345 1.150 20 0 DDADMM CCc1ccsc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970782748 720934322 /nfs/dbraw/zinc/93/43/22/720934322.db2.gz WJSMYASAVZOWMB-SNVBAGLBSA-N -1 1 321.406 1.139 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CCN(C(=O)C3CC3)[C@H]2C1 ZINC000953319403 721593174 /nfs/dbraw/zinc/59/31/74/721593174.db2.gz KNUMLUPGQYRZLI-YPMHNXCESA-N -1 1 315.373 1.260 20 0 DDADMM CC(C)C(=O)N1CCCC[C@@H]1[C@@H](C)NC(=O)c1ncccc1[O-] ZINC000953556027 721625406 /nfs/dbraw/zinc/62/54/06/721625406.db2.gz KNUBLIKZFBHTAV-CHWSQXEVSA-N -1 1 319.405 1.943 20 0 DDADMM CN(C(=O)[C@H]1CC=CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953705934 721645626 /nfs/dbraw/zinc/64/56/26/721645626.db2.gz MCHGGKVCHRFFFL-LBPRGKRZSA-N -1 1 315.373 1.426 20 0 DDADMM Cc1n[nH]c(C(=O)NCCNCc2cc(O)ccc2Cl)c1[O-] ZINC001125734615 735448087 /nfs/dbraw/zinc/44/80/87/735448087.db2.gz SMXYWFGRFCWQKF-UHFFFAOYSA-N -1 1 324.768 1.302 20 0 DDADMM O=C1O[C@@H](c2ccccc2)CC1=C([O-])N=S1(=O)CCOCC1 ZINC000891368262 733578007 /nfs/dbraw/zinc/57/80/07/733578007.db2.gz CUTQZIDRDKXFKH-QWHCGFSZSA-N -1 1 323.370 1.316 20 0 DDADMM C/C(=C/C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001024887722 736149384 /nfs/dbraw/zinc/14/93/84/736149384.db2.gz ZRFNSFDRPGJTEY-BIRHUZIMSA-N -1 1 319.409 1.337 20 0 DDADMM C[C@@H](CNc1ncncc1Cl)N(C)C(=O)c1ncccc1[O-] ZINC001104535856 736198967 /nfs/dbraw/zinc/19/89/67/736198967.db2.gz BFQRLDOZKZSCTP-VIFPVBQESA-N -1 1 321.768 1.803 20 0 DDADMM C[C@H](CNc1nccnc1F)N(C)C(=O)c1ncccc1[O-] ZINC001104536078 736199282 /nfs/dbraw/zinc/19/92/82/736199282.db2.gz GIXNCRDNPGCJGY-SECBINFHSA-N -1 1 305.313 1.289 20 0 DDADMM C[C@H](CNc1cnc(F)cn1)N(C)C(=O)c1ncccc1[O-] ZINC001104536881 736203939 /nfs/dbraw/zinc/20/39/39/736203939.db2.gz WSKMJMALBANSNQ-SECBINFHSA-N -1 1 305.313 1.289 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)[C@@H]1CCCS1(=O)=O ZINC001143466802 736214485 /nfs/dbraw/zinc/21/44/85/736214485.db2.gz AGTFZCZZFNJMNP-NSHDSACASA-N -1 1 321.358 1.094 20 0 DDADMM CC(C)(C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001025051916 736257475 /nfs/dbraw/zinc/25/74/75/736257475.db2.gz HSSUWPCBHVBMFE-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM CC(C)(C)n1cc(C[NH2+]CCNC(=O)c2ncccc2[O-])cn1 ZINC001126124629 738343190 /nfs/dbraw/zinc/34/31/90/738343190.db2.gz DKSJNDALCUZFDO-UHFFFAOYSA-N -1 1 317.393 1.258 20 0 DDADMM Cc1nocc1C[N@H+]1CC[C@@H](CNC(=O)c2ncccc2O)C1 ZINC001028499072 739590436 /nfs/dbraw/zinc/59/04/36/739590436.db2.gz DSWJXFGWNYVWFR-LBPRGKRZSA-N -1 1 316.361 1.336 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@@H]2CC=CCC2)C1 ZINC001029645508 741171410 /nfs/dbraw/zinc/17/14/10/741171410.db2.gz SGZNZOPSTBBWJW-CHWSQXEVSA-N -1 1 319.409 1.147 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)C2CC(C)(C)C2)C1 ZINC001029727603 741258353 /nfs/dbraw/zinc/25/83/53/741258353.db2.gz VWJMVEBEJGZVSC-NSHDSACASA-N -1 1 321.425 1.227 20 0 DDADMM C[C@@H](CCNC(=O)[C@@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001076061686 742520214 /nfs/dbraw/zinc/52/02/14/742520214.db2.gz XUNMNYWJOGVNGY-QWRGUYRKSA-N -1 1 305.378 1.458 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076245263 742624642 /nfs/dbraw/zinc/62/46/42/742624642.db2.gz VKOJKDLPLVLENZ-YNEHKIRRSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(CCc1ccccc1)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001180962892 742999938 /nfs/dbraw/zinc/99/99/38/742999938.db2.gz QSCXZXPYWSNRNQ-UHFFFAOYSA-N -1 1 312.251 1.964 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@]2(F)Cl)c1 ZINC001181664274 743293416 /nfs/dbraw/zinc/29/34/16/743293416.db2.gz HJBJKPRTHJBTGF-RDDDGLTNSA-N -1 1 322.745 1.163 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCC[C@@H]1CNc1ncccn1 ZINC001060242154 743716728 /nfs/dbraw/zinc/71/67/28/743716728.db2.gz SVVOQXWVDKPYCF-GFCCVEGCSA-N -1 1 313.361 1.684 20 0 DDADMM CC1(C)OCC([N-]C(=O)C(F)(F)OCC(F)(F)F)CO1 ZINC001183195035 743794815 /nfs/dbraw/zinc/79/48/15/743794815.db2.gz RRXHINJHWUTENU-UHFFFAOYSA-N -1 1 307.215 1.426 20 0 DDADMM COC(=O)c1c(NC(=O)C[C@@H]2C=CCCC2)n[n-]c1OCCO ZINC001183791284 743911648 /nfs/dbraw/zinc/91/16/48/743911648.db2.gz WTHGUFFUFWMTFB-SNVBAGLBSA-N -1 1 323.349 1.252 20 0 DDADMM COC(=O)c1c(NC(=O)C[C@@H]2C=CCCC2)[n-]nc1OCCO ZINC001183791284 743911651 /nfs/dbraw/zinc/91/16/51/743911651.db2.gz WTHGUFFUFWMTFB-SNVBAGLBSA-N -1 1 323.349 1.252 20 0 DDADMM O=C(NC1CN(C/C=C\c2ccccc2)C1)c1ncccc1[O-] ZINC001030239132 743974485 /nfs/dbraw/zinc/97/44/85/743974485.db2.gz BYWDRJYNGAPJGT-YVMONPNESA-N -1 1 309.369 1.915 20 0 DDADMM C[C@H](C(=O)NCCCC[P@](=O)([O-])O)c1ccc(O)cc1 ZINC001184283133 744015514 /nfs/dbraw/zinc/01/55/14/744015514.db2.gz BZSSCZWGKYMITD-JTQLQIEISA-N -1 1 301.279 1.570 20 0 DDADMM Cc1ccc(S(=O)(=O)N2C[C@@H]3CCN(C)[C@@H]3C2)cc1C(=O)[O-] ZINC001184687524 744089043 /nfs/dbraw/zinc/08/90/43/744089043.db2.gz WQACXEDIPDUPCD-SMDDNHRTSA-N -1 1 324.402 1.018 20 0 DDADMM O=C(NCCc1ccccc1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001184960919 744131042 /nfs/dbraw/zinc/13/10/42/744131042.db2.gz KGKUCQSCBIWHQG-UHFFFAOYSA-N -1 1 314.305 1.080 20 0 DDADMM C[C@H]1C[C@@H]1c1ncc(C(=O)NCCCC[P@](=O)([O-])O)cn1 ZINC001185148037 744175725 /nfs/dbraw/zinc/17/57/25/744175725.db2.gz MSFUQIALLLBCNV-ONGXEEELSA-N -1 1 313.294 1.288 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc([O-])c(F)c2)n(C)n1 ZINC001186209248 744364656 /nfs/dbraw/zinc/36/46/56/744364656.db2.gz TZFXZZKISNMIMX-UHFFFAOYSA-N -1 1 307.281 1.694 20 0 DDADMM CS(=O)(=O)c1ccccc1CNC(=O)c1ccc([O-])cc1F ZINC001186340201 744389391 /nfs/dbraw/zinc/38/93/91/744389391.db2.gz YQBHZXLQNKQRAY-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(Cl)c(C(=O)OC)c1 ZINC001187286124 744534329 /nfs/dbraw/zinc/53/43/29/744534329.db2.gz BXSKXBLKQDBXJP-UHFFFAOYSA-N -1 1 321.782 1.905 20 0 DDADMM CC1(C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000992054510 744563409 /nfs/dbraw/zinc/56/34/09/744563409.db2.gz QROSGBSRABHBSI-UHFFFAOYSA-N -1 1 303.362 1.212 20 0 DDADMM O=C(NCc1cc(F)cnc1Cl)c1n[n-]nc1C(F)(F)F ZINC001187773364 744600129 /nfs/dbraw/zinc/60/01/29/744600129.db2.gz FAKZNXIAEKDODX-UHFFFAOYSA-N -1 1 323.637 1.941 20 0 DDADMM O=S(=O)([N-]Cc1ccc2c(c1)OCCO2)c1nccs1 ZINC001187846660 744617715 /nfs/dbraw/zinc/61/77/15/744617715.db2.gz LUNJFNOSDAZSAZ-UHFFFAOYSA-N -1 1 312.372 1.393 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(Cc2ccncc2)C1)c1nccs1 ZINC001187918484 744634887 /nfs/dbraw/zinc/63/48/87/744634887.db2.gz HDYMWYCPUQKTCO-LBPRGKRZSA-N -1 1 324.431 1.091 20 0 DDADMM O=c1oc2cc([O-])ccc2cc1NS(=O)(=O)c1ccoc1 ZINC001188575816 744729483 /nfs/dbraw/zinc/72/94/83/744729483.db2.gz AONGQUPUYVRYGB-UHFFFAOYSA-N -1 1 307.283 1.892 20 0 DDADMM COc1cc(C(=O)[N-]c2nnc(C(C)(C)C)o2)c(OC)nn1 ZINC001189062415 744818107 /nfs/dbraw/zinc/81/81/07/744818107.db2.gz SBUXJNQUFNRZAH-UHFFFAOYSA-N -1 1 307.310 1.427 20 0 DDADMM CCCC[C@H](NC(=O)c1cc(Cl)c([O-])c(OC)c1)C(N)=O ZINC001191157923 745438579 /nfs/dbraw/zinc/43/85/79/745438579.db2.gz FYYCSSFTNAZNPE-JTQLQIEISA-N -1 1 314.769 1.828 20 0 DDADMM CSc1ncc(C(=O)Nc2cnc3n[nH]c(C)c3c2)c(=O)[n-]1 ZINC001191429909 745510113 /nfs/dbraw/zinc/51/01/13/745510113.db2.gz ZBWFGUNJMFYZGB-UHFFFAOYSA-N -1 1 316.346 1.736 20 0 DDADMM COC(=O)c1ncccc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001192487477 745809488 /nfs/dbraw/zinc/80/94/88/745809488.db2.gz SESAGPJXYVWBEF-UHFFFAOYSA-N -1 1 315.211 1.257 20 0 DDADMM NC(=O)c1cc(O)cc(NC(=O)c2cc([O-])cc(F)c2F)c1 ZINC001192670468 745856844 /nfs/dbraw/zinc/85/68/44/745856844.db2.gz JUMQWZYFZWSXDK-UHFFFAOYSA-N -1 1 308.240 1.727 20 0 DDADMM CCOC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1cc([O-])cc(F)c1F ZINC001192661413 745867728 /nfs/dbraw/zinc/86/77/28/745867728.db2.gz WGXZMTABGSYRSZ-VXJOIVPMSA-N -1 1 311.284 1.838 20 0 DDADMM CCOC(=O)[C@H]1CN(C(=O)c2cc([O-])cc(F)c2F)CCO1 ZINC001192663683 745868307 /nfs/dbraw/zinc/86/83/07/745868307.db2.gz GBGDGHIIVMIDIA-LLVKDONJSA-N -1 1 315.272 1.075 20 0 DDADMM CN1C(=O)CC[C@H]2CN(C(=O)c3cc([O-])cc(F)c3F)CC[C@@H]21 ZINC001192665776 745869216 /nfs/dbraw/zinc/86/92/16/745869216.db2.gz WBHOASUNPZXYEO-ZANVPECISA-N -1 1 324.327 1.753 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cccc(COC)c1 ZINC001193059021 745976146 /nfs/dbraw/zinc/97/61/46/745976146.db2.gz NQRFHAJSXQNVDX-UHFFFAOYSA-N -1 1 315.391 1.916 20 0 DDADMM CSc1nc(NC(=O)c2nc(Cl)ncc2C)cc(=O)[n-]1 ZINC001193236145 746026628 /nfs/dbraw/zinc/02/66/28/746026628.db2.gz XJYLLCDVOXPTJX-UHFFFAOYSA-N -1 1 311.754 1.908 20 0 DDADMM COc1ccnc(C(=O)Nc2c3c(ccc2C)C(=O)NC3)c1[O-] ZINC001193531190 746121636 /nfs/dbraw/zinc/12/16/36/746121636.db2.gz HQBSANVGRQEFOA-UHFFFAOYSA-N -1 1 313.313 1.600 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)CC1CCC1)c1cccnc1 ZINC001193542448 746125013 /nfs/dbraw/zinc/12/50/13/746125013.db2.gz HRVLHOAHCJXZAX-CYBMUJFWSA-N -1 1 312.391 1.405 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCOC1)c1ncccc1C(F)(F)F ZINC001194578164 746413258 /nfs/dbraw/zinc/41/32/58/746413258.db2.gz JKTRYMIPOPDBJP-QMMMGPOBSA-N -1 1 310.297 1.415 20 0 DDADMM Cn1cnc([N-]C(=O)c2ncnc(Cl)c2Cl)c2ncnc1-2 ZINC001195014108 746502627 /nfs/dbraw/zinc/50/26/27/746502627.db2.gz ISYXFOJOSFVIOD-UHFFFAOYSA-N -1 1 324.131 1.664 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CCO[C@H](C(F)(F)F)C1 ZINC001195305457 746572066 /nfs/dbraw/zinc/57/20/66/746572066.db2.gz KACJBHLJRYURDS-QMMMGPOBSA-N -1 1 310.659 1.844 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N=c2cc[nH]cc2)c(C)cc1[O-] ZINC001195339646 746579010 /nfs/dbraw/zinc/57/90/10/746579010.db2.gz OETBESUYHCUIFR-UHFFFAOYSA-N -1 1 322.342 1.105 20 0 DDADMM CCOC(=O)NC(=S)N=c1s[n-]nc1C(=O)OCC ZINC001195459083 746606110 /nfs/dbraw/zinc/60/61/10/746606110.db2.gz ZDZAMUXTSUIPRO-UHFFFAOYSA-N -1 1 304.353 1.158 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc(F)c(CO)c(F)c1 ZINC001195631720 746660620 /nfs/dbraw/zinc/66/06/20/746660620.db2.gz QMVCMCVXTDXVFZ-UHFFFAOYSA-N -1 1 321.243 1.081 20 0 DDADMM CN(Cc1nc2ccccc2o1)C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196016133 746757710 /nfs/dbraw/zinc/75/77/10/746757710.db2.gz POCRUNAWMMQERD-UHFFFAOYSA-N -1 1 316.342 1.884 20 0 DDADMM COC(=O)c1c(O)cccc1NC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196020940 746758999 /nfs/dbraw/zinc/75/89/99/746758999.db2.gz LILFZAJCXVLGDS-UHFFFAOYSA-N -1 1 321.314 1.215 20 0 DDADMM Cn1nc(CNC(=O)c2c[nH]c(=S)[n-]c2=O)c2ccccc21 ZINC001196022991 746759256 /nfs/dbraw/zinc/75/92/56/746759256.db2.gz AIJUXNFVYNLVIQ-UHFFFAOYSA-N -1 1 315.358 1.288 20 0 DDADMM CC(C)(C)n1ccc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001196331004 746833440 /nfs/dbraw/zinc/83/34/40/746833440.db2.gz FZOMZOQCXXEGNH-UHFFFAOYSA-N -1 1 301.310 1.262 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)Cc2cccnc2)cc1F ZINC001197465738 747166695 /nfs/dbraw/zinc/16/66/95/747166695.db2.gz OOWLTDHJNSPUKX-UHFFFAOYSA-N -1 1 309.322 1.262 20 0 DDADMM CCOC(=O)[C@H](CC)[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC001197626338 747210248 /nfs/dbraw/zinc/21/02/48/747210248.db2.gz FVBWWIYEAHZLTP-LBPRGKRZSA-N -1 1 321.345 1.726 20 0 DDADMM O=S(=O)(Cc1cccc(Cl)c1Cl)[N-]Cc1ncon1 ZINC001197716622 747233531 /nfs/dbraw/zinc/23/35/31/747233531.db2.gz FZBVOZYGJGYXKS-UHFFFAOYSA-N -1 1 322.173 1.996 20 0 DDADMM Cc1cc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)n(CC(C)C)n1 ZINC001199086675 747697665 /nfs/dbraw/zinc/69/76/65/747697665.db2.gz MUPWZGIKSSDYHP-UHFFFAOYSA-N -1 1 320.309 1.699 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cncn3CC3CCC3)c1-2 ZINC001199606595 747912515 /nfs/dbraw/zinc/91/25/15/747912515.db2.gz APKQLTOQUCALIE-UHFFFAOYSA-N -1 1 311.349 1.284 20 0 DDADMM COC(=O)c1nsnc1[N-]c1cnn(Cc2ccccn2)c1 ZINC001201413189 748507743 /nfs/dbraw/zinc/50/77/43/748507743.db2.gz QHMIBYFKYBWENL-UHFFFAOYSA-N -1 1 316.346 1.708 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)C1CCCC1 ZINC001004665191 748633932 /nfs/dbraw/zinc/63/39/32/748633932.db2.gz ZTMQJBUUOCICCW-YPMHNXCESA-N -1 1 321.425 1.560 20 0 DDADMM CC1(C)CN(C(=O)c2ccsc2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995423640 748711958 /nfs/dbraw/zinc/71/19/58/748711958.db2.gz KCEHULBVNPYQBR-SNVBAGLBSA-N -1 1 321.406 1.212 20 0 DDADMM CCCC[C@H](CNc1nc(CC)ns1)NC(=O)c1cnn[nH]1 ZINC001114328263 749060686 /nfs/dbraw/zinc/06/06/86/749060686.db2.gz AISMGDUSNDVVCI-SECBINFHSA-N -1 1 323.426 1.619 20 0 DDADMM CCc1nsc(NC/C=C/CNC(=O)c2ncccc2[O-])n1 ZINC001107139436 749358146 /nfs/dbraw/zinc/35/81/46/749358146.db2.gz MXAMTBDCEVGCED-ONEGZZNKSA-N -1 1 319.390 1.599 20 0 DDADMM CC1(C)CN(C(=O)C2(C)CCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996113543 749377357 /nfs/dbraw/zinc/37/73/57/749377357.db2.gz GATACHLMHHDAEK-NSHDSACASA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066704556 749771694 /nfs/dbraw/zinc/77/16/94/749771694.db2.gz PPIWSMCUAWDSIW-VXGBXAGGSA-N -1 1 305.378 1.364 20 0 DDADMM CCn1cc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)ccc1=O ZINC001212909938 750943658 /nfs/dbraw/zinc/94/36/58/750943658.db2.gz OKKHIOVRASISPH-UHFFFAOYSA-N -1 1 304.310 1.571 20 0 DDADMM CCC(CC)(CC)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035092044 751192239 /nfs/dbraw/zinc/19/22/39/751192239.db2.gz XGDLUKJNAQPVOZ-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM O=C(C=C1CCC1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036686415 753352644 /nfs/dbraw/zinc/35/26/44/753352644.db2.gz ODCUGJFQYWSMQI-CHWSQXEVSA-N -1 1 317.393 1.043 20 0 DDADMM CC[C@H](C)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005950363 753410095 /nfs/dbraw/zinc/41/00/95/753410095.db2.gz JNJUOZGJUBCABQ-QWRGUYRKSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2ccco2)C1 ZINC001005952592 753413799 /nfs/dbraw/zinc/41/37/99/753413799.db2.gz QLYQVIBGCIUMOQ-SNVBAGLBSA-N -1 1 315.329 1.271 20 0 DDADMM C[C@H]1C[C@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839634 753759742 /nfs/dbraw/zinc/75/97/42/753759742.db2.gz YCMRKISHEWTABF-WDEREUQCSA-N -1 1 303.362 1.212 20 0 DDADMM CC(C)[C@@H](C)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001078628955 754235773 /nfs/dbraw/zinc/23/57/73/754235773.db2.gz QYBCSJIEXZGKOJ-NWDGAFQWSA-N -1 1 307.394 1.704 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001011035266 754318188 /nfs/dbraw/zinc/31/81/88/754318188.db2.gz AVDIAAFFGQNKTO-SJKOYZFVSA-N -1 1 315.373 1.262 20 0 DDADMM CC(C)(C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001011036158 754319126 /nfs/dbraw/zinc/31/91/26/754319126.db2.gz FPJIUKBWNKRYTK-UHFFFAOYSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C2CC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014664447 755866696 /nfs/dbraw/zinc/86/66/96/755866696.db2.gz KKFYQENGMYZKDU-JQWIXIFHSA-N -1 1 303.362 1.307 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@H]2CC23CC3)C1 ZINC001016428005 757034464 /nfs/dbraw/zinc/03/44/64/757034464.db2.gz ROIAHYRDZVIVSU-HTAVTVPLSA-N -1 1 315.373 1.307 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])Nc1ncnc2c1CCC2 ZINC001097764165 757508895 /nfs/dbraw/zinc/50/88/95/757508895.db2.gz BLZZOHZCFBCOIE-SNVBAGLBSA-N -1 1 313.361 1.296 20 0 DDADMM C[C@@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCO1 ZINC000822511913 758220509 /nfs/dbraw/zinc/22/05/09/758220509.db2.gz RFZPCHZBYQUURR-LLVKDONJSA-N -1 1 302.334 1.831 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC2(CN(CC3CCC3)C2)C1 ZINC001053231711 758287779 /nfs/dbraw/zinc/28/77/79/758287779.db2.gz AENXWXAXWDIOAY-UHFFFAOYSA-N -1 1 317.389 1.114 20 0 DDADMM CC[C@@H](F)CN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784754 758810224 /nfs/dbraw/zinc/81/02/24/758810224.db2.gz WIKOJUCINBEFCY-VXGBXAGGSA-N -1 1 323.368 1.108 20 0 DDADMM CSCC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001065811095 758813655 /nfs/dbraw/zinc/81/36/55/758813655.db2.gz RJARQLUDCJGHRG-LLVKDONJSA-N -1 1 323.418 1.261 20 0 DDADMM C[C@@H]1CN(C(=O)C2CCCCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054481105 759704888 /nfs/dbraw/zinc/70/48/88/759704888.db2.gz UQKNEQOTOAQDGF-DGCLKSJQSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H]1CN(C(=O)CC(C)(C)C2CC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054645719 759942498 /nfs/dbraw/zinc/94/24/98/759942498.db2.gz XGCURJJYXIAULQ-ZYHUDNBSSA-N -1 1 321.425 1.273 20 0 DDADMM Cc1cccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001019727041 760208887 /nfs/dbraw/zinc/20/88/87/760208887.db2.gz GOJLUYGEZSUPAX-LBPRGKRZSA-N -1 1 315.377 1.131 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)C(C)(C)C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054973939 760247628 /nfs/dbraw/zinc/24/76/28/760247628.db2.gz MBPHEEDPRJERKE-WDEREUQCSA-N -1 1 323.441 1.519 20 0 DDADMM CCC1(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)CCC1 ZINC001054987579 760263388 /nfs/dbraw/zinc/26/33/88/760263388.db2.gz XJGQFQDOLXMEBF-QWRGUYRKSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1ncc(CN[C@H]2C[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)o1 ZINC001086061577 760876257 /nfs/dbraw/zinc/87/62/57/760876257.db2.gz VZHOXQLDMYBBIF-PHIMTYICSA-N -1 1 319.365 1.018 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001067254644 761058450 /nfs/dbraw/zinc/05/84/50/761058450.db2.gz HSTAWOVKFZPADI-OLZOCXBDSA-N -1 1 319.405 1.754 20 0 DDADMM C[C@@H](F)CCN1CC[C@@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001038923358 761549373 /nfs/dbraw/zinc/54/93/73/761549373.db2.gz RYVUTMHZBWFNPM-NXEZZACHSA-N -1 1 322.340 1.481 20 0 DDADMM CCC(=O)N1CCCC[C@H]1[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039186825 761811761 /nfs/dbraw/zinc/81/17/61/761811761.db2.gz DDCYHFWUWLRLHS-NEPJUHHUSA-N -1 1 307.398 1.123 20 0 DDADMM C/C(=C/C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001001537508 762944436 /nfs/dbraw/zinc/94/44/36/762944436.db2.gz POGALAFSYRJHIR-XFFZJAGNSA-N -1 1 315.373 1.332 20 0 DDADMM CC(C)=C(F)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001539336 762945499 /nfs/dbraw/zinc/94/54/99/762945499.db2.gz XBHZWOUSPMCEII-UHFFFAOYSA-N -1 1 307.325 1.239 20 0 DDADMM O=C(NCC1CN(C(=O)C2(CF)CCC2)C1)c1ncccc1[O-] ZINC001001544022 762948026 /nfs/dbraw/zinc/94/80/26/762948026.db2.gz FQOMKSKTYOKJLK-UHFFFAOYSA-N -1 1 321.352 1.115 20 0 DDADMM CCc1nsc(NCCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001109437073 763707297 /nfs/dbraw/zinc/70/72/97/763707297.db2.gz YYSQWXGBSPPOEP-UHFFFAOYSA-N -1 1 321.406 1.775 20 0 DDADMM CC(C)CC(=O)N[C@@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531631 763718204 /nfs/dbraw/zinc/71/82/04/763718204.db2.gz USLAMDGAPJNFSS-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](Nc2cc(F)ncn2)C1 ZINC001057622690 764156176 /nfs/dbraw/zinc/15/61/76/764156176.db2.gz BXLHRWGTWXEVNO-JTQLQIEISA-N -1 1 317.324 1.433 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C/C(Cl)=C/Cl)C1 ZINC001042645859 764308507 /nfs/dbraw/zinc/30/85/07/764308507.db2.gz DSIFQGCIQLHFIL-UITAMQMPSA-N -1 1 316.188 1.862 20 0 DDADMM CCN1CCOC[C@H]1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001051054995 764457349 /nfs/dbraw/zinc/45/73/49/764457349.db2.gz BFNZTIOOVGRHFU-GFCCVEGCSA-N -1 1 322.409 1.065 20 0 DDADMM Cc1ccnc(N2CCC(NC(=O)c3ncccc3[O-])CC2)n1 ZINC001057861818 766105569 /nfs/dbraw/zinc/10/55/69/766105569.db2.gz UCBJXVRLUQRJPX-UHFFFAOYSA-N -1 1 313.361 1.284 20 0 DDADMM COc1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c2cc[nH]c21 ZINC001170216871 766175232 /nfs/dbraw/zinc/17/52/32/766175232.db2.gz DGNIDLCIWOTNGQ-UHFFFAOYSA-N -1 1 312.289 1.949 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc(C(F)(F)F)ncn3)c2[nH]1 ZINC001170220342 766178742 /nfs/dbraw/zinc/17/87/42/766178742.db2.gz VWZAVOWRRHSHFH-UHFFFAOYSA-N -1 1 313.199 1.268 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc4c(c3)[C@@H](O)CCO4)c2[nH]1 ZINC001170220411 766178823 /nfs/dbraw/zinc/17/88/23/766178823.db2.gz YADKHJXPEWLYMF-QMMMGPOBSA-N -1 1 315.289 1.275 20 0 DDADMM NC(=S)c1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001170220886 766179270 /nfs/dbraw/zinc/17/92/70/766179270.db2.gz WMMDVBCGMMMAEG-UHFFFAOYSA-N -1 1 302.319 1.094 20 0 DDADMM O=c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)nn1CC1CCC1 ZINC001170229312 766204058 /nfs/dbraw/zinc/20/40/58/766204058.db2.gz RIZAKGZFKHSLME-UHFFFAOYSA-N -1 1 313.321 1.159 20 0 DDADMM CCC(C)(CC)C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045318012 766217456 /nfs/dbraw/zinc/21/74/56/766217456.db2.gz PQGWMQBYGHTOEW-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cc(C)c(F)cc3F)nc2n1 ZINC001129409694 766870916 /nfs/dbraw/zinc/87/09/16/766870916.db2.gz ZRIKRALZPBHSPX-UHFFFAOYSA-N -1 1 319.271 1.565 20 0 DDADMM Cc1nsc(N[C@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)n1 ZINC001069063348 767811466 /nfs/dbraw/zinc/81/14/66/767811466.db2.gz PTNVSTFPRTXQIA-SCZZXKLOSA-N -1 1 319.390 1.662 20 0 DDADMM C[C@@H]1C[C@H](Nc2cnc(F)cn2)CN1C(=O)c1ncccc1[O-] ZINC001069064503 767813431 /nfs/dbraw/zinc/81/34/31/767813431.db2.gz BUUHDIMMNVHUNL-ZJUUUORDSA-N -1 1 317.324 1.431 20 0 DDADMM CCC(C)(C)C(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001132252786 768706981 /nfs/dbraw/zinc/70/69/81/768706981.db2.gz KHKIMWRFMSNJQR-RYUDHWBXSA-N -1 1 323.441 1.014 20 0 DDADMM Cc1nc(CN[C@@H](C)C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])co1 ZINC001134089362 770642284 /nfs/dbraw/zinc/64/22/84/770642284.db2.gz DWMXWFMQAXEOPG-IUCAKERBSA-N -1 1 321.381 1.407 20 0 DDADMM CC[C@H](F)CN1CC[C@@H]2[C@H]1CCCN2C(=O)c1n[nH]c(C)c1[O-] ZINC001049422637 770810376 /nfs/dbraw/zinc/81/03/76/770810376.db2.gz KWILKOSCRBVFIY-YNEHKIRRSA-N -1 1 324.400 1.851 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Cn2cc(Cl)cn2)cc1 ZINC001136627489 772050998 /nfs/dbraw/zinc/05/09/98/772050998.db2.gz LGSKBHJWSRYYHH-UHFFFAOYSA-N -1 1 317.740 1.028 20 0 DDADMM CCOC(=O)CN1CCN(Cc2c(F)cc([O-])cc2F)CC1 ZINC001144541495 772561952 /nfs/dbraw/zinc/56/19/52/772561952.db2.gz HFZSBMSBGVCPGI-UHFFFAOYSA-N -1 1 314.332 1.351 20 0 DDADMM CS(=O)(=O)C1CCN(Cc2c(F)cc([O-])cc2F)CC1 ZINC001144554724 772564302 /nfs/dbraw/zinc/56/43/02/772564302.db2.gz FDAWUPIRRKVGLH-UHFFFAOYSA-N -1 1 305.346 1.679 20 0 DDADMM COC(=O)C[C@H]1COCCN1Cc1cc(F)c([O-])cc1F ZINC001144659985 772592328 /nfs/dbraw/zinc/59/23/28/772592328.db2.gz SNKBZHOZMJMJGN-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1n[nH]cc1-c1ccc(Cl)cc1 ZINC001148034372 773340296 /nfs/dbraw/zinc/34/02/96/773340296.db2.gz AXPHKUHIEWTROL-UHFFFAOYSA-N -1 1 317.740 1.815 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(OC)c(O)c2)n1 ZINC001148259144 773413712 /nfs/dbraw/zinc/41/37/12/773413712.db2.gz RCSCGBIWTFOKGA-UHFFFAOYSA-N -1 1 305.290 1.553 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2[nH]c(=O)[nH]c21)c1cc(Cl)ccc1F ZINC001148435033 773465860 /nfs/dbraw/zinc/46/58/60/773465860.db2.gz OACBSTWYFWUKEF-UHFFFAOYSA-N -1 1 323.671 1.761 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cc(Cl)ccc1F ZINC001148435033 773465865 /nfs/dbraw/zinc/46/58/65/773465865.db2.gz OACBSTWYFWUKEF-UHFFFAOYSA-N -1 1 323.671 1.761 20 0 DDADMM CCn1nncc1CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001148522884 773495248 /nfs/dbraw/zinc/49/52/48/773495248.db2.gz MFBRBPBBWVXWSE-UHFFFAOYSA-N -1 1 312.329 1.792 20 0 DDADMM Cc1c(F)c(F)ccc1C(=O)Nc1[n-]c(=O)nc2[nH]c(=O)[nH]c21 ZINC001148605877 773532688 /nfs/dbraw/zinc/53/26/88/773532688.db2.gz KQGDJYADPLOMFJ-UHFFFAOYSA-N -1 1 321.243 1.555 20 0 DDADMM Cc1c(F)c(F)ccc1C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001148605877 773532691 /nfs/dbraw/zinc/53/26/91/773532691.db2.gz KQGDJYADPLOMFJ-UHFFFAOYSA-N -1 1 321.243 1.555 20 0 DDADMM CC(C)c1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001148845461 773615795 /nfs/dbraw/zinc/61/57/95/773615795.db2.gz DVLMBFWGMVGZKN-UHFFFAOYSA-N -1 1 303.366 1.153 20 0 DDADMM Nc1c(=O)c(=O)c1NC(=O)c1cccc(-c2ccccc2)c1[O-] ZINC001148860949 773619477 /nfs/dbraw/zinc/61/94/77/773619477.db2.gz DCPKEOASYAPUAH-UHFFFAOYSA-N -1 1 308.293 1.490 20 0 DDADMM O=C(NC1=CCOC1=O)c1cc([O-])c(Br)c(O)c1 ZINC001148999053 773654868 /nfs/dbraw/zinc/65/48/68/773654868.db2.gz RNJJNLSXQWGNGK-UHFFFAOYSA-N -1 1 314.091 1.031 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001092947502 774319974 /nfs/dbraw/zinc/31/99/74/774319974.db2.gz SOJFSOYLUKVEKD-FDYHWXHSSA-N -1 1 321.425 1.131 20 0 DDADMM Cc1ccc2c(c1)C[C@H](Nc1[nH]c(=O)nc3[n-]c(=O)[nH]c31)CC2 ZINC001172844288 776907795 /nfs/dbraw/zinc/90/77/95/776907795.db2.gz XKXUHNUMLQVCPF-LLVKDONJSA-N -1 1 311.345 1.994 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2cncc(F)c2)c1 ZINC001174292023 777440688 /nfs/dbraw/zinc/44/06/88/777440688.db2.gz HVHLJNBJJGCKCU-UHFFFAOYSA-N -1 1 311.294 1.936 20 0 DDADMM CN(C)c1nc(Nc2ccc(N3CCOCC3)nc2)cc(=O)[n-]1 ZINC001174973406 777638852 /nfs/dbraw/zinc/63/88/52/777638852.db2.gz LFOISAGCULFJJG-UHFFFAOYSA-N -1 1 316.365 1.223 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccc2c(c1)OC1(CCCCC1)O2 ZINC001176843022 778272404 /nfs/dbraw/zinc/27/24/04/778272404.db2.gz ZGBUYODHJQVSEL-UHFFFAOYSA-N -1 1 315.333 1.813 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccc(Oc2cc[nH]c(=O)c2)cc1 ZINC001176844974 778299464 /nfs/dbraw/zinc/29/94/64/778299464.db2.gz LXGDPKMIXSHGAR-UHFFFAOYSA-N -1 1 312.289 1.274 20 0 DDADMM O=C(Cc1nn[n-]n1)NCc1ccccc1OCc1ccccc1 ZINC001176846293 778300696 /nfs/dbraw/zinc/30/06/96/778300696.db2.gz YXZDTIMFERSJNI-UHFFFAOYSA-N -1 1 323.356 1.638 20 0 DDADMM N=C([N-]C(=O)C(F)(F)c1ccccn1)n1nnc2ccccc21 ZINC001177306898 778549544 /nfs/dbraw/zinc/54/95/44/778549544.db2.gz VQQWJJFKQVAPDL-UHFFFAOYSA-N -1 1 316.271 1.517 20 0 DDADMM COC[C@H](NC(=O)c1cnc2ccccc2c1C)c1nn[n-]n1 ZINC001177828727 778733679 /nfs/dbraw/zinc/73/36/79/778733679.db2.gz PYYKKOWXDGFMPQ-ZDUSSCGKSA-N -1 1 312.333 1.174 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)[C@@H](c1ccccn1)C1CC1 ZINC001178232521 778962308 /nfs/dbraw/zinc/96/23/08/778962308.db2.gz QXCNKFYIFFKRLC-SECBINFHSA-N -1 1 315.289 1.813 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)C1Cc2ccccc2C1 ZINC001178546761 779088712 /nfs/dbraw/zinc/08/87/12/779088712.db2.gz XUNZNPXUFXPAKA-UHFFFAOYSA-N -1 1 311.301 1.069 20 0 DDADMM O=C([O-])C(F)(F)C(F)(F)C(=O)NCc1ccc(O)cc1F ZINC001180176961 779636557 /nfs/dbraw/zinc/63/65/57/779636557.db2.gz UHMGQIVKAGRPIK-UHFFFAOYSA-N -1 1 313.178 1.503 20 0 DDADMM CCOC(=O)c1cn[nH]c1CNC(=O)C1(C(=O)[O-])CCCCC1 ZINC001180442480 779764530 /nfs/dbraw/zinc/76/45/30/779764530.db2.gz IFCNULVGZTXQFU-UHFFFAOYSA-N -1 1 323.349 1.238 20 0 DDADMM C[C@H](O)C1([N-]S(=O)(=O)c2cnc(Cl)cc2Cl)CC1 ZINC001118496103 781139326 /nfs/dbraw/zinc/13/93/26/781139326.db2.gz NPPLWKVTDAIREH-LURJTMIESA-N -1 1 311.190 1.580 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])CC(F)(F)C(F)F ZINC001267240872 837587324 /nfs/dbraw/zinc/58/73/24/837587324.db2.gz ZZOVTMBUCZNXPT-UHFFFAOYSA-N -1 1 323.290 1.739 20 0 DDADMM CC1(CNC(=O)c2ccc(C(F)(F)F)c([O-])c2)OCCO1 ZINC001362185703 841085484 /nfs/dbraw/zinc/08/54/84/841085484.db2.gz VOKILNSEUBRSAM-UHFFFAOYSA-N -1 1 305.252 1.904 20 0 DDADMM O=C(C[C@@H]1C=CCCC1)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001269083318 841187157 /nfs/dbraw/zinc/18/71/57/841187157.db2.gz CRMFGHGGIYOFFS-YPMHNXCESA-N -1 1 319.409 1.241 20 0 DDADMM CN1CC[C@H]2[C@@H]1CCN2C(=O)c1csc2c1[n-]cnc2=O ZINC001269226514 841377116 /nfs/dbraw/zinc/37/71/16/841377116.db2.gz XYFBSVQERBTUAU-UWVGGRQHSA-N -1 1 304.375 1.316 20 0 DDADMM CCCCN1CC[C@@]2(CCCN2C(=O)c2ccc([O-])cn2)C1=O ZINC001269354217 841556861 /nfs/dbraw/zinc/55/68/61/841556861.db2.gz CWFPMYIXSAGSCY-KRWDZBQOSA-N -1 1 317.389 1.794 20 0 DDADMM CN(C)C(=O)[C@]12C[C@H]1CCN2C(=O)c1ccc([O-])cc1Cl ZINC001269762306 842024585 /nfs/dbraw/zinc/02/45/85/842024585.db2.gz MXYKSTUEWLCIDR-PSLIRLAXSA-N -1 1 308.765 1.738 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CSC[C@H]2C(=O)N(C)C)c1 ZINC001142828076 861276390 /nfs/dbraw/zinc/27/63/90/861276390.db2.gz XYPNXQXHXODMRC-LBPRGKRZSA-N -1 1 322.386 1.116 20 0 DDADMM CC[C@@H](C)CC(=O)N[C@@H](CC)CNC(=O)c1ncccc1[O-] ZINC001409261075 844725432 /nfs/dbraw/zinc/72/54/32/844725432.db2.gz CLGUCDAGTZVODP-NEPJUHHUSA-N -1 1 307.394 1.848 20 0 DDADMM O=C(NCCCc1c[nH][nH]c1=O)c1ccc2ccccc2c1[O-] ZINC001149262200 861506502 /nfs/dbraw/zinc/50/65/02/861506502.db2.gz JXYOHXIECCOFJH-GFCCVEGCSA-N -1 1 311.341 1.787 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2cccnc2OC)c1 ZINC001414238022 845162701 /nfs/dbraw/zinc/16/27/01/845162701.db2.gz UEQZTDIRAFXVBX-UHFFFAOYSA-N -1 1 324.358 1.283 20 0 DDADMM O=C(c1ccc2cccnc2c1[O-])N1CCN2C(=O)OC[C@H]2C1 ZINC001149321022 861545502 /nfs/dbraw/zinc/54/55/02/861545502.db2.gz UXGONKBTTAPVNC-LLVKDONJSA-N -1 1 313.313 1.217 20 0 DDADMM O=C(NC1(CO)CCOCC1)c1ccc2cccnc2c1[O-] ZINC001149326600 861547687 /nfs/dbraw/zinc/54/76/87/861547687.db2.gz KLCRXDSUCDRBQX-UHFFFAOYSA-N -1 1 302.330 1.212 20 0 DDADMM Cc1cnc(CN(C)[C@@H](C)CNC(=O)c2ncccc2[O-])o1 ZINC001409536261 845260221 /nfs/dbraw/zinc/26/02/21/845260221.db2.gz KFWOYOWXDAPWCO-JTQLQIEISA-N -1 1 304.350 1.334 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1ccc2cccnc2c1[O-] ZINC001149329974 861550978 /nfs/dbraw/zinc/55/09/78/861550978.db2.gz VDRYEKWTFRPXJR-ZYHUDNBSSA-N -1 1 300.314 1.479 20 0 DDADMM Cc1cnc([C@@H](C)N(C)[C@H](C)CNC(=O)c2ncccc2[O-])o1 ZINC001409543139 845283762 /nfs/dbraw/zinc/28/37/62/845283762.db2.gz QJPBVFLAHXBCLL-ZYHUDNBSSA-N -1 1 318.377 1.895 20 0 DDADMM O=S(=O)([N-]Cc1cncc(F)c1)c1c[nH]nc1C(F)(F)F ZINC001364963063 845929410 /nfs/dbraw/zinc/92/94/10/845929410.db2.gz GBWVFLGYXXJCIE-UHFFFAOYSA-N -1 1 324.259 1.441 20 0 DDADMM O=C(CCNc1ccccc1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001149501824 861661824 /nfs/dbraw/zinc/66/18/24/861661824.db2.gz XPDJZKVTCJECNV-INIZCTEOSA-N -1 1 322.372 1.908 20 0 DDADMM C[C@@H](CO)N(CC1CCC1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001155085672 861713277 /nfs/dbraw/zinc/71/32/77/861713277.db2.gz QCBGBEAOGIVAJS-JTQLQIEISA-N -1 1 320.418 1.641 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCC[C@H](n2ccnn2)C1 ZINC001155266778 861915674 /nfs/dbraw/zinc/91/56/74/861915674.db2.gz PPIVRYGUDMKYBN-JTQLQIEISA-N -1 1 312.333 1.337 20 0 DDADMM O=C(NCCN1CCC(F)(F)C1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273117 861919811 /nfs/dbraw/zinc/91/98/11/861919811.db2.gz JCHFQINCRFSNQF-UHFFFAOYSA-N -1 1 310.304 1.339 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc2[nH]ccc2s1 ZINC001149867691 861952504 /nfs/dbraw/zinc/95/25/04/861952504.db2.gz JKJPSDLRMBLTKI-UHFFFAOYSA-N -1 1 300.303 1.854 20 0 DDADMM CCC[C@H](C)C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001410989402 850067006 /nfs/dbraw/zinc/06/70/06/850067006.db2.gz AKUPQZGDSSQTPD-YVEFUNNKSA-N -1 1 319.405 1.944 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)C(C)(C)F ZINC001411275011 850530196 /nfs/dbraw/zinc/53/01/96/850530196.db2.gz FCQUSHKZQQGJND-LLVKDONJSA-N -1 1 323.368 1.598 20 0 DDADMM O=C(CCC1CC1)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001411304681 850637161 /nfs/dbraw/zinc/63/71/61/850637161.db2.gz FIVTWXGHFAZEPY-UHFFFAOYSA-N -1 1 317.389 1.602 20 0 DDADMM CN1C[C@@]2(F)CN(Cc3ccc(F)c([O-])c3F)C[C@@]2(F)C1=O ZINC001274794049 852611086 /nfs/dbraw/zinc/61/10/86/852611086.db2.gz SFRYWLWYCIUBAL-ZIAGYGMSSA-N -1 1 318.270 1.375 20 0 DDADMM CC(C)(C)NC(=O)C12CC(NC(=O)c3ccncc3[O-])(C1)C2 ZINC001275216200 852902020 /nfs/dbraw/zinc/90/20/20/852902020.db2.gz GQNLQVXAIDLFRJ-UHFFFAOYSA-N -1 1 303.362 1.354 20 0 DDADMM CC(C)(C)NC(=O)[C@]12C[C@H]1CCN2C(=O)c1cncc([O-])c1 ZINC001275623794 853450065 /nfs/dbraw/zinc/45/00/65/853450065.db2.gz OTZMSBGNLCYWGE-BZNIZROVSA-N -1 1 303.362 1.307 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CC(C)(C)CC[C@H]1O ZINC001150888178 862517729 /nfs/dbraw/zinc/51/77/29/862517729.db2.gz PKULDVZWQRMJAQ-RKDXNWHRSA-N -1 1 311.407 1.449 20 0 DDADMM CCN1CC[C@H]1C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC001411886038 853917948 /nfs/dbraw/zinc/91/79/48/853917948.db2.gz JDNRYOSYBFCKDA-INIZCTEOSA-N -1 1 316.401 1.908 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccccc1O[C@H]1CCOC1 ZINC001411890752 853932141 /nfs/dbraw/zinc/93/21/41/853932141.db2.gz UMUPELPSDCIWPJ-NSHDSACASA-N -1 1 317.349 1.329 20 0 DDADMM O=C(NC[C@@H](O)COc1ccc(F)cc1)c1cccc([O-])c1F ZINC001411896761 853940284 /nfs/dbraw/zinc/94/02/84/853940284.db2.gz JAXLOQQPHOPIAX-LLVKDONJSA-N -1 1 323.295 1.840 20 0 DDADMM CN1CCO[C@@]2(CCCN(Cc3cc(C(=O)[O-])ccc3F)C2)C1 ZINC001276015602 854158892 /nfs/dbraw/zinc/15/88/92/854158892.db2.gz PDCSDOJVNOJLSN-KRWDZBQOSA-N -1 1 322.380 1.821 20 0 DDADMM Cc1coc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1C ZINC001327885897 862540215 /nfs/dbraw/zinc/54/02/15/862540215.db2.gz LTVJUMFOWSQKIU-UHFFFAOYSA-N -1 1 310.331 1.502 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(F)c(OC)c1)c1nn[n-]n1 ZINC001412114602 854231832 /nfs/dbraw/zinc/23/18/32/854231832.db2.gz ZJOWEIWIUCKBQO-UHFFFAOYSA-N -1 1 307.329 1.793 20 0 DDADMM CC[C@H]1[C@H](C(=O)N(C)c2nn[n-]n2)CCN1C(=O)OC(C)(C)C ZINC001412234777 854358298 /nfs/dbraw/zinc/35/82/98/854358298.db2.gz YUVCQTQRDTZUAN-ZJUUUORDSA-N -1 1 324.385 1.198 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(C)c(C)n2)n[n-]1 ZINC001412327208 854444376 /nfs/dbraw/zinc/44/43/76/854444376.db2.gz NHGNIPDTRXRYCH-SNVBAGLBSA-N -1 1 317.349 1.484 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(C)c(C)n2)[n-]1 ZINC001412327208 854444386 /nfs/dbraw/zinc/44/43/86/854444386.db2.gz NHGNIPDTRXRYCH-SNVBAGLBSA-N -1 1 317.349 1.484 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(C)c(C)n2)n1 ZINC001412327208 854444392 /nfs/dbraw/zinc/44/43/92/854444392.db2.gz NHGNIPDTRXRYCH-SNVBAGLBSA-N -1 1 317.349 1.484 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CCCc2c(O)cccc21 ZINC001412372541 854478570 /nfs/dbraw/zinc/47/85/70/854478570.db2.gz AMYDZQOXSKSWJF-LLVKDONJSA-N -1 1 315.329 1.330 20 0 DDADMM NC(=O)c1[nH]nc2c1CCN(C(=O)c1cccc([O-])c1Cl)C2 ZINC001276049664 854674528 /nfs/dbraw/zinc/67/45/28/854674528.db2.gz GKPCYHSYDPKHLP-UHFFFAOYSA-N -1 1 320.736 1.066 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H](O)c1ccc(Cl)cc1 ZINC001412535692 854676544 /nfs/dbraw/zinc/67/65/44/854676544.db2.gz DMBLJTRRWKNMTN-SNVBAGLBSA-N -1 1 307.741 1.082 20 0 DDADMM O=C(CSC(F)F)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001412569457 854737613 /nfs/dbraw/zinc/73/76/13/854737613.db2.gz FIEBIKHWSVHUGK-UHFFFAOYSA-N -1 1 315.305 1.673 20 0 DDADMM CCc1ccc([C@@H](C)C(=O)N[C@@H](COC)c2nn[n-]n2)cc1 ZINC001412599198 854795366 /nfs/dbraw/zinc/79/53/66/854795366.db2.gz VRZSKLHAEIXOCD-MFKMUULPSA-N -1 1 303.366 1.370 20 0 DDADMM NC(=O)[C@H]1CCC[C@@]12CCN(C(=O)c1cc([O-])cc(F)c1)C2 ZINC001276183051 854919759 /nfs/dbraw/zinc/91/97/59/854919759.db2.gz IPAXVFMTTJHBAJ-CJNGLKHVSA-N -1 1 306.337 1.649 20 0 DDADMM O=C(NC1CCN([C@H]2CCOC2=O)CC1)c1c([O-])cccc1F ZINC001412731107 855040791 /nfs/dbraw/zinc/04/07/91/855040791.db2.gz PDDQLWZYKJGQDU-LBPRGKRZSA-N -1 1 322.336 1.041 20 0 DDADMM Cn1[nH]c([C@H]2CCCN2C(=O)c2cccc([O-])c2F)nc1=N ZINC001412867207 855739390 /nfs/dbraw/zinc/73/93/90/855739390.db2.gz CBGAWQYOYBXOAN-SECBINFHSA-N -1 1 305.313 1.050 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@]3(CCS(=O)(=O)C3)C2)c([O-])c1 ZINC001412969928 855826926 /nfs/dbraw/zinc/82/69/26/855826926.db2.gz NBFQFXHYCNZLFP-OAHLLOKOSA-N -1 1 324.402 1.137 20 0 DDADMM Cc1c(NC(=O)c2cnncc2[O-])cnn1C1CCOCC1 ZINC001413003024 855900582 /nfs/dbraw/zinc/90/05/82/855900582.db2.gz NNOWGKUTOZPDAF-UHFFFAOYSA-N -1 1 303.322 1.291 20 0 DDADMM CCOC(=O)c1[n-]c2ccccc2c1C(=O)N=c1nc[nH]n1C ZINC001151365094 862744813 /nfs/dbraw/zinc/74/48/13/862744813.db2.gz SCIBSDMPVWCNIS-UHFFFAOYSA-N -1 1 313.317 1.147 20 0 DDADMM CSCC(=O)NC[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001413176991 856520387 /nfs/dbraw/zinc/52/03/87/856520387.db2.gz QLCNZSICGYPJQK-QWRGUYRKSA-N -1 1 323.418 1.165 20 0 DDADMM COc1cccc2ncnc(NCC[N-]C(=O)C(F)(F)F)c21 ZINC001156205168 862816561 /nfs/dbraw/zinc/81/65/61/862816561.db2.gz NDMISCPKNJHJCC-UHFFFAOYSA-N -1 1 314.267 1.729 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)Nc1nnn(C(C)C)n1 ZINC001413366788 856717836 /nfs/dbraw/zinc/71/78/36/856717836.db2.gz DJJLIELGZWTBFC-UHFFFAOYSA-N -1 1 319.369 1.608 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2cc(C)on2)[n-]c1=O ZINC001413494467 856888953 /nfs/dbraw/zinc/88/89/53/856888953.db2.gz YYSTXVFUWRJSOC-UHFFFAOYSA-N -1 1 307.310 1.544 20 0 DDADMM CC[C@@H](C)n1nc(C(=O)N(Cc2nn[n-]n2)CC(C)C)cc1C ZINC001413502453 856898181 /nfs/dbraw/zinc/89/81/81/856898181.db2.gz IEDDNUCRGJDGNT-LLVKDONJSA-N -1 1 319.413 1.974 20 0 DDADMM COc1cccc([C@@H](C)C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001413503349 856899512 /nfs/dbraw/zinc/89/95/12/856899512.db2.gz LIFHJYJPOIULNP-GFCCVEGCSA-N -1 1 317.393 1.997 20 0 DDADMM COc1ccc(C(F)(F)C(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001413504501 856901025 /nfs/dbraw/zinc/90/10/25/856901025.db2.gz ANXBNHCKYQPMQK-UHFFFAOYSA-N -1 1 323.303 1.496 20 0 DDADMM COC[C@@H](NC(=O)CCCC(=O)c1ccccc1)c1nn[n-]n1 ZINC001413558823 857067955 /nfs/dbraw/zinc/06/79/55/857067955.db2.gz WTDDVCJUSINQMO-GFCCVEGCSA-N -1 1 317.349 1.057 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cc(F)c[nH]c1=O ZINC001413652617 857288200 /nfs/dbraw/zinc/28/82/00/857288200.db2.gz MTOUUIBKMCMTQC-UHFFFAOYSA-N -1 1 312.247 1.883 20 0 DDADMM COc1ccncc1-c1noc(-c2nc(Br)n[n-]2)n1 ZINC001213002103 862931963 /nfs/dbraw/zinc/93/19/63/862931963.db2.gz NUHKWRZCHKHMPM-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM COc1ccncc1-c1noc(-c2n[n-]c(Br)n2)n1 ZINC001213002103 862931967 /nfs/dbraw/zinc/93/19/67/862931967.db2.gz NUHKWRZCHKHMPM-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCc1cccnn1 ZINC001413806032 858553537 /nfs/dbraw/zinc/55/35/37/858553537.db2.gz QTRLSUIOGKIAFM-UHFFFAOYSA-N -1 1 308.288 1.502 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc([O-])c2F)CCN1c1cnccn1 ZINC001413820195 858566584 /nfs/dbraw/zinc/56/65/84/858566584.db2.gz HBGCMZBOYQIFPK-NSHDSACASA-N -1 1 316.336 1.672 20 0 DDADMM CC1(C)CC(NC(=O)[C@]2(C(=O)[O-])CNCCO2)CC(C)(C)C1 ZINC001122033404 858668868 /nfs/dbraw/zinc/66/88/68/858668868.db2.gz VEOCLXHMYPWKSD-INIZCTEOSA-N -1 1 312.410 1.151 20 0 DDADMM O=C([O-])[C@]1(C(=O)Nc2ccc3c(c2)C=CCCC3)CNCCO1 ZINC001122149168 858697116 /nfs/dbraw/zinc/69/71/16/858697116.db2.gz YQVVXXCGMGNCFU-QGZVFWFLSA-N -1 1 316.357 1.418 20 0 DDADMM C[C@@H]1CCN(C(=O)OC(C)(C)C)C[C@H]1OCC[P@](=O)([O-])O ZINC001224605247 881465068 /nfs/dbraw/zinc/46/50/68/881465068.db2.gz HAISHBZLTPRPDX-GHMZBOCLSA-N -1 1 323.326 1.826 20 0 DDADMM CCc1c(C)nc2n(c1=O)CCC[C@@H]2OCC[P@](=O)([O-])O ZINC001224604485 881465246 /nfs/dbraw/zinc/46/52/46/881465246.db2.gz CXAKNELFCPOVCD-NSHDSACASA-N -1 1 316.294 1.143 20 0 DDADMM C[C@@H](O)[C@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC001137645553 859955278 /nfs/dbraw/zinc/95/52/78/859955278.db2.gz ZGEDRACNFURXSY-ZJUUUORDSA-N -1 1 306.391 1.251 20 0 DDADMM COc1cccc(CN2CCCC[C@@H]2CO)c1OCC(=O)[O-] ZINC001139266880 860342958 /nfs/dbraw/zinc/34/29/58/860342958.db2.gz RTJJQAVGDHIWJS-CYBMUJFWSA-N -1 1 309.362 1.505 20 0 DDADMM CC(C)OCc1nnc2n1CCN(Cc1ccncc1[O-])C2 ZINC001140273879 860605188 /nfs/dbraw/zinc/60/51/88/860605188.db2.gz BAESQKCVHVBDDB-UHFFFAOYSA-N -1 1 303.366 1.320 20 0 DDADMM Cc1nccnc1O[C@@H]1CCN(Cc2ccc(C(=O)[O-])o2)C1 ZINC001140949147 860744487 /nfs/dbraw/zinc/74/44/87/860744487.db2.gz PWIJRTIXDDOZGD-GFCCVEGCSA-N -1 1 303.318 1.730 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc3c(N)n[nH]c3c2)n[nH]1 ZINC001154168821 860831990 /nfs/dbraw/zinc/83/19/90/860831990.db2.gz XZFSLVPKLRNQSI-UHFFFAOYSA-N -1 1 314.305 1.297 20 0 DDADMM CN1C(=S)N=NC1CCCNC(=O)c1cccc([O-])c1F ZINC001141459706 860858036 /nfs/dbraw/zinc/85/80/36/860858036.db2.gz SYDQEJPBWWUBQK-UHFFFAOYSA-N -1 1 310.354 1.311 20 0 DDADMM O=C([N-]c1n[nH]c(-c2ccncc2)n1)c1nnc2cc[nH]cc1-2 ZINC001152087651 863152752 /nfs/dbraw/zinc/15/27/52/863152752.db2.gz YRTRTZSDXCPZNJ-UHFFFAOYSA-N -1 1 306.289 1.390 20 0 DDADMM C[C@H]1CC(=O)CCN1C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152672066 863485179 /nfs/dbraw/zinc/48/51/79/863485179.db2.gz LFNJUKURHGRNFY-VIFPVBQESA-N -1 1 300.314 1.840 20 0 DDADMM CC(C)OC(=O)[C@H](C)NC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152680303 863489957 /nfs/dbraw/zinc/48/99/57/863489957.db2.gz NMSGLNGCGAJMRI-VIFPVBQESA-N -1 1 318.329 1.716 20 0 DDADMM CON(C)CC[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001329372918 863626300 /nfs/dbraw/zinc/62/63/00/863626300.db2.gz YZSVSAYRHIPNAF-UHFFFAOYSA-N -1 1 314.194 1.160 20 0 DDADMM CCN(Cc1nc(C)no1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152975177 863630354 /nfs/dbraw/zinc/63/03/54/863630354.db2.gz NDVSFVMCEIFZML-SNVBAGLBSA-N -1 1 319.365 1.119 20 0 DDADMM CC(C)c1ncc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)[nH]1 ZINC001329379984 863630593 /nfs/dbraw/zinc/63/05/93/863630593.db2.gz RFBMWLXANVELKF-GFCCVEGCSA-N -1 1 311.349 1.566 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc4ccncc4cn3)c1-2 ZINC001153063228 863687283 /nfs/dbraw/zinc/68/72/83/863687283.db2.gz AMIZBASNGYZIRD-UHFFFAOYSA-N -1 1 305.301 1.230 20 0 DDADMM COc1cccc2c(C(=O)N(C)C[C@H](C)c3nn[n-]n3)coc21 ZINC001153677069 864011855 /nfs/dbraw/zinc/01/18/55/864011855.db2.gz UYIGPDIVGTYUHS-VIFPVBQESA-N -1 1 315.333 1.830 20 0 DDADMM Nc1ccc2c(cccc2C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001153813430 864126284 /nfs/dbraw/zinc/12/62/84/864126284.db2.gz YLSXABVUHGKTLF-UHFFFAOYSA-N -1 1 321.300 1.441 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1ccc2cc(F)ccc2n1 ZINC001153892074 864197940 /nfs/dbraw/zinc/19/79/40/864197940.db2.gz KOHCHRUFRKHVMS-UHFFFAOYSA-N -1 1 315.264 1.410 20 0 DDADMM CCc1c(C(=O)N(C)c2nn[n-]n2)cnn1-c1ccc(C)cc1 ZINC001331462267 865101367 /nfs/dbraw/zinc/10/13/67/865101367.db2.gz NAIJHSACIMFABI-UHFFFAOYSA-N -1 1 311.349 1.533 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nccc4ncsc43)c2[nH]1 ZINC001159190144 865156384 /nfs/dbraw/zinc/15/63/84/865156384.db2.gz SZJIENZDQKQOIB-UHFFFAOYSA-N -1 1 301.291 1.464 20 0 DDADMM Cc1cnc2c(ccnc2Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159194456 865160602 /nfs/dbraw/zinc/16/06/02/865160602.db2.gz CJUYQRLXLJBEEV-UHFFFAOYSA-N -1 1 310.277 1.106 20 0 DDADMM Cc1cc2c(c(N[C@H]3CCc4ccc(O)cc4C3)n1)C(=O)[N-]C2=O ZINC001159893863 865583544 /nfs/dbraw/zinc/58/35/44/865583544.db2.gz TZIVLCLYDUZHJV-LBPRGKRZSA-N -1 1 323.352 1.949 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)Nc1ccc(C(=O)N(C)c2nn[n-]n2)cc1 ZINC001361566277 881907533 /nfs/dbraw/zinc/90/75/33/881907533.db2.gz SQUQTWGIUOAEAB-KCJUWKMLSA-N -1 1 300.322 1.071 20 0 DDADMM CCOP(=O)(CCCN1CCO[C@H](CC(=O)[O-])C1)OCC ZINC001332407843 865820302 /nfs/dbraw/zinc/82/03/02/865820302.db2.gz WFLGQEYMIZZNLP-GFCCVEGCSA-N -1 1 323.326 1.818 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1C=CCC1 ZINC001332757699 866120110 /nfs/dbraw/zinc/12/01/10/866120110.db2.gz SWMDBOUBYZUUJQ-VXGBXAGGSA-N -1 1 321.425 1.487 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@@H]1CC=CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001319895003 866369716 /nfs/dbraw/zinc/36/97/16/866369716.db2.gz HLXSHKUDNGKAFS-VXGBXAGGSA-N -1 1 307.398 1.097 20 0 DDADMM O=S(=O)([N-]Cc1cccnn1)c1cnc(Cl)cc1Cl ZINC001320934787 867129052 /nfs/dbraw/zinc/12/90/52/867129052.db2.gz KBFZKZIPBBNGQX-UHFFFAOYSA-N -1 1 319.173 1.657 20 0 DDADMM CCOC(=O)c1nc(NC(=O)COCC(F)F)[n-]c1Cl ZINC001361641718 882067541 /nfs/dbraw/zinc/06/75/41/882067541.db2.gz LQEIGGJCAZZZDR-UHFFFAOYSA-N -1 1 311.672 1.460 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC001335228562 868155987 /nfs/dbraw/zinc/15/59/87/868155987.db2.gz RJFKTBWYUQMCIU-GMXVVIOVSA-N -1 1 322.792 1.967 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C(=O)C(C)(C)C ZINC001163731104 868662623 /nfs/dbraw/zinc/66/26/23/868662623.db2.gz CESKRLLTSNMLOQ-IUCAKERBSA-N -1 1 322.327 1.660 20 0 DDADMM Cc1ccc(NCC[N-]C(=O)C(F)(F)F)cc1-c1nn[nH]n1 ZINC001164251577 869060427 /nfs/dbraw/zinc/06/04/27/869060427.db2.gz YGNUWZSSSRGJPA-UHFFFAOYSA-N -1 1 314.271 1.266 20 0 DDADMM COC(=O)c1cnc(C)c(NCC[N-]C(=O)C(F)(F)F)c1 ZINC001164251601 869060796 /nfs/dbraw/zinc/06/07/96/869060796.db2.gz ZJCHJOGSVGSUCT-UHFFFAOYSA-N -1 1 305.256 1.267 20 0 DDADMM O=C(C/C=C/c1ccc(Br)cc1)NCc1nn[n-]n1 ZINC001361765484 882324482 /nfs/dbraw/zinc/32/44/82/882324482.db2.gz UGSVGHRPQRBSJY-OWOJBTEDSA-N -1 1 322.166 1.682 20 0 DDADMM CCn1cc(CN(C)c2nnc(-c3nc(Cl)n[n-]3)n2C)cn1 ZINC001337927597 869696089 /nfs/dbraw/zinc/69/60/89/869696089.db2.gz MJGSYUMCHRAQED-UHFFFAOYSA-N -1 1 321.776 1.106 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001337947753 869710236 /nfs/dbraw/zinc/71/02/36/869710236.db2.gz RDSZCISBVKPVRQ-WJQOWHFOSA-N -1 1 303.362 1.473 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CCC[C@@H]1c1ccsc1 ZINC001338123030 869816633 /nfs/dbraw/zinc/81/66/33/869816633.db2.gz PXONLOHOFJFTNC-SNVBAGLBSA-N -1 1 316.394 1.322 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCC[C@@H]1c1ccsc1 ZINC001338123030 869816640 /nfs/dbraw/zinc/81/66/40/869816640.db2.gz PXONLOHOFJFTNC-SNVBAGLBSA-N -1 1 316.394 1.322 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]c2ccc(C(=O)OC)s2)on1 ZINC001361772660 882342082 /nfs/dbraw/zinc/34/20/82/882342082.db2.gz ABJFATCAFCDMBH-UHFFFAOYSA-N -1 1 324.314 1.952 20 0 DDADMM C[C@]1(O)CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC001297408670 870037781 /nfs/dbraw/zinc/03/77/81/870037781.db2.gz NEXDDPODPBAQIH-AWEZNQCLSA-N -1 1 306.391 1.395 20 0 DDADMM C[C@@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)c1ccccn1 ZINC001166539314 870047638 /nfs/dbraw/zinc/04/76/38/870047638.db2.gz MKPTZZXTEFAITI-JTQLQIEISA-N -1 1 313.386 1.947 20 0 DDADMM O=C(Nc1ccn2ccnc2c1)c1ccc2n[n-]c(=S)n2c1 ZINC001297440422 870050329 /nfs/dbraw/zinc/05/03/29/870050329.db2.gz QUFCEKQGDKFTMI-UHFFFAOYSA-N -1 1 310.342 1.918 20 0 DDADMM C[C@H]1CCN(c2nnc(Cc3nnn[n-]3)n2C)[C@@H]1c1ccccc1 ZINC001339603634 870575031 /nfs/dbraw/zinc/57/50/31/870575031.db2.gz NQUMNTKAGMOXFT-NHYWBVRUSA-N -1 1 324.392 1.507 20 0 DDADMM C[C@H]1CCN(c2nnc(Cc3nn[n-]n3)n2C)[C@@H]1c1ccccc1 ZINC001339603634 870575036 /nfs/dbraw/zinc/57/50/36/870575036.db2.gz NQUMNTKAGMOXFT-NHYWBVRUSA-N -1 1 324.392 1.507 20 0 DDADMM C/C=C\C[C@@H]1CCCN(c2nnc(Cc3nnn[n-]3)n2C)C1 ZINC001339604877 870577959 /nfs/dbraw/zinc/57/79/59/870577959.db2.gz ZDJIAURTOFLBAL-DLRQAJBASA-N -1 1 302.386 1.102 20 0 DDADMM C/C=C\C[C@@H]1CCCN(c2nnc(Cc3nn[n-]n3)n2C)C1 ZINC001339604877 870577975 /nfs/dbraw/zinc/57/79/75/870577975.db2.gz ZDJIAURTOFLBAL-DLRQAJBASA-N -1 1 302.386 1.102 20 0 DDADMM CN1CCOc2cc([N-]S(=O)(=O)c3cccc(N)c3)cnc21 ZINC001203491076 870591426 /nfs/dbraw/zinc/59/14/26/870591426.db2.gz PWCQKVOVZJLTCK-UHFFFAOYSA-N -1 1 320.374 1.293 20 0 DDADMM C/C=C(/C)C(=O)N[C@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001298567760 870623290 /nfs/dbraw/zinc/62/32/90/870623290.db2.gz OPCHUVLMILVYDD-ZUYFITGHSA-N -1 1 318.377 1.260 20 0 DDADMM CCCCC1CCC(NC(=O)[C@]2(C(=O)[O-])CNCCO2)CC1 ZINC001339871322 870750722 /nfs/dbraw/zinc/75/07/22/870750722.db2.gz UPMGEWPZTIVRJA-ZUEPYMLJSA-N -1 1 312.410 1.295 20 0 DDADMM CC[C@@H](C)CC(=O)NCCC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001317421491 870797990 /nfs/dbraw/zinc/79/79/90/870797990.db2.gz OAYDSURAUAXLFF-CHWSQXEVSA-N -1 1 323.441 1.807 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)CCc1nnc(C(F)F)o1 ZINC001361827533 882446848 /nfs/dbraw/zinc/44/68/48/882446848.db2.gz WRJKADPQNRPOIR-UHFFFAOYSA-N -1 1 315.236 1.088 20 0 DDADMM O=C(CN1C(=O)COc2ccccc21)Nc1cccc(F)c1[O-] ZINC001302481693 871069468 /nfs/dbraw/zinc/06/94/68/871069468.db2.gz CMPDTTVOUQZHCN-UHFFFAOYSA-N -1 1 316.288 1.895 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C=Cc1ccc(O)cc1 ZINC001303201711 871108566 /nfs/dbraw/zinc/10/85/66/871108566.db2.gz NAOJXXHAZJGFCO-VMPITWQZSA-N -1 1 301.350 1.603 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)c1cccn2nnnc12 ZINC001303299559 871117608 /nfs/dbraw/zinc/11/76/08/871117608.db2.gz XWYRPCXRQHLEGC-UHFFFAOYSA-N -1 1 303.709 1.413 20 0 DDADMM COC[C@@H](NC(=O)c1ccc2cc(C)ccc2n1)c1nn[n-]n1 ZINC001303579984 871140456 /nfs/dbraw/zinc/14/04/56/871140456.db2.gz LYPCAGRRVFBPHH-CYBMUJFWSA-N -1 1 312.333 1.174 20 0 DDADMM CN(C)c1nc(Nc2cnc3ccnn3c2)c(N=O)c(=O)[n-]1 ZINC001204786592 871231128 /nfs/dbraw/zinc/23/11/28/871231128.db2.gz OPRLYDNYVZPVMC-UHFFFAOYSA-N -1 1 300.282 1.432 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N(C)C[C@H](O)c2cccnc2)c1 ZINC001304813449 871243482 /nfs/dbraw/zinc/24/34/82/871243482.db2.gz CBSUBTRYZQBMQS-HNNXBMFYSA-N -1 1 314.341 1.714 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)C[C@H](C)C(C)(C)C)n[n-]1 ZINC001364050102 887796396 /nfs/dbraw/zinc/79/63/96/887796396.db2.gz YSSQKTRXXXRKJI-VIFPVBQESA-N -1 1 317.411 1.499 20 0 DDADMM C[C@@]1(C(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C=CCC1 ZINC001317796808 871513560 /nfs/dbraw/zinc/51/35/60/871513560.db2.gz GHMMFKMVNYLRIN-MLGOLLRUSA-N -1 1 319.409 1.195 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)Oc2c(F)cccc2F)n[n-]1 ZINC001308993022 871544466 /nfs/dbraw/zinc/54/44/66/871544466.db2.gz ZYNXEINQOWLVHA-UHFFFAOYSA-N -1 1 318.257 1.242 20 0 DDADMM COC(=O)/C=C\[C@@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC001309958719 871613354 /nfs/dbraw/zinc/61/33/54/871613354.db2.gz DPTJZSCJQOJRPW-GQYWMQPJSA-N -1 1 309.343 1.829 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CCc2ccccc2CC1 ZINC001341563195 871714228 /nfs/dbraw/zinc/71/42/28/871714228.db2.gz VGXAWEKQFKALNU-UHFFFAOYSA-N -1 1 310.361 1.516 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)[C@H]1CCC=CO1 ZINC001361870548 882540837 /nfs/dbraw/zinc/54/08/37/882540837.db2.gz MDDKKPQCXOPJJF-GFCCVEGCSA-N -1 1 301.306 1.410 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2ccn(C)c(=O)c2)c1 ZINC001206098550 872057110 /nfs/dbraw/zinc/05/71/10/872057110.db2.gz UKOIGNVDHSRUMQ-UHFFFAOYSA-N -1 1 308.359 1.628 20 0 DDADMM O=C([C@@H]1CC12CC2)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318838548 872297548 /nfs/dbraw/zinc/29/75/48/872297548.db2.gz UFZIXFTUAVMSOK-RYUDHWBXSA-N -1 1 319.409 1.029 20 0 DDADMM CC1(C)[C@@H]2C[C@@H](Oc3cc(=O)[n-]c(N4CCOCC4)n3)C[C@@H]21 ZINC001226725361 882612228 /nfs/dbraw/zinc/61/22/28/882612228.db2.gz ATICFINEUNWYEH-CNDDSTCGSA-N -1 1 305.378 1.832 20 0 DDADMM Cc1cccc2c1CCN2c1nnc(-c2nnn[n-]2)n1CC1CC1 ZINC001346075413 873606130 /nfs/dbraw/zinc/60/61/30/873606130.db2.gz BIGAQLKPDJTFJG-UHFFFAOYSA-N -1 1 322.376 1.871 20 0 DDADMM Cc1cccc2c1CCN2c1nnc(-c2nn[n-]n2)n1CC1CC1 ZINC001346075413 873606135 /nfs/dbraw/zinc/60/61/35/873606135.db2.gz BIGAQLKPDJTFJG-UHFFFAOYSA-N -1 1 322.376 1.871 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@@H](c2cc[nH]n2)C1 ZINC001346237170 873661223 /nfs/dbraw/zinc/66/12/23/873661223.db2.gz BASFWPLNCRHKFS-SECBINFHSA-N -1 1 319.390 1.522 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCCO[C@@H](C(C)C)C1 ZINC001347126876 874022252 /nfs/dbraw/zinc/02/22/52/874022252.db2.gz UMPIEIRJXCTENG-SNVBAGLBSA-N -1 1 311.407 1.666 20 0 DDADMM O=c1[n-]cncc1OC1CCN(c2ccc(Cl)nn2)CC1 ZINC001227048808 882793749 /nfs/dbraw/zinc/79/37/49/882793749.db2.gz KHXAAXRUVNACHV-UHFFFAOYSA-N -1 1 307.741 1.673 20 0 DDADMM O=C(CCOCc1ccccc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347973900 874324621 /nfs/dbraw/zinc/32/46/21/874324621.db2.gz GZJBSVJRRYBAGI-ZDUSSCGKSA-N -1 1 301.350 1.123 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)C[C@@H]1CCCC[C@@H]1O ZINC001348213188 874426968 /nfs/dbraw/zinc/42/69/68/874426968.db2.gz QLKBTWQUBUTGMS-UWVGGRQHSA-N -1 1 311.407 1.402 20 0 DDADMM CCOCCN(CC)c1nnc(-c2c[n-][nH]c2=O)n1CC1CC1 ZINC001348333254 874491712 /nfs/dbraw/zinc/49/17/12/874491712.db2.gz RGIVHPJOTLELFM-UHFFFAOYSA-N -1 1 320.397 1.647 20 0 DDADMM Cc1nc2ccc(C(=O)N3CC[C@H](c4nn[n-]n4)C3)cc2s1 ZINC001349002061 874918447 /nfs/dbraw/zinc/91/84/47/874918447.db2.gz JIJGLXPVWFQUPZ-JTQLQIEISA-N -1 1 314.374 1.748 20 0 DDADMM Cn1cc(Br)cc(NC(=O)c2ccc([O-])cn2)c1=O ZINC001362034035 882881720 /nfs/dbraw/zinc/88/17/20/882881720.db2.gz FNSUCESZZZRNCM-UHFFFAOYSA-N -1 1 324.134 1.501 20 0 DDADMM CCN(OC)C(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC001349405063 875154989 /nfs/dbraw/zinc/15/49/89/875154989.db2.gz HAMMYNPBHHIJQX-UHFFFAOYSA-N -1 1 302.334 1.093 20 0 DDADMM O=C(NCC1(NC(=O)C2CC3(CC3)C2)CC1)c1ncccc1[O-] ZINC001378749748 875544571 /nfs/dbraw/zinc/54/45/71/875544571.db2.gz PNVPLHUJLXFUPT-UHFFFAOYSA-N -1 1 315.373 1.356 20 0 DDADMM CC1N=NC(C(=O)Nc2ccc(CN3CCOC3=O)cc2)=C1[O-] ZINC001362065746 882950196 /nfs/dbraw/zinc/95/01/96/882950196.db2.gz RNIOLHAUQGOUSN-UHFFFAOYSA-N -1 1 316.317 1.628 20 0 DDADMM CC1(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CCCCC2)CC1 ZINC001378883973 875841816 /nfs/dbraw/zinc/84/18/16/875841816.db2.gz JSIBRJOIKATYBU-LBPRGKRZSA-N -1 1 321.425 1.465 20 0 DDADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCCC1)C1CCC1 ZINC001378888379 875852102 /nfs/dbraw/zinc/85/21/02/875852102.db2.gz YVIUUQCNCOAXLR-CYBMUJFWSA-N -1 1 321.425 1.465 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)CCN(C)Cc2ccsc2)c1[O-] ZINC001379032921 876180637 /nfs/dbraw/zinc/18/06/37/876180637.db2.gz ANDNVHUDASIMQK-UHFFFAOYSA-N -1 1 308.407 1.689 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)C1(COC)CCC1)C(C)(C)C ZINC001364794612 889431684 /nfs/dbraw/zinc/43/16/84/889431684.db2.gz XPXMKEFFBHQPSJ-LLVKDONJSA-N -1 1 321.439 1.453 20 0 DDADMM Cc1cc(NC(=O)CN(C)C(=O)c2cccc([O-])c2F)no1 ZINC001362092755 883015072 /nfs/dbraw/zinc/01/50/72/883015072.db2.gz VSYMYFNAKNAVIC-UHFFFAOYSA-N -1 1 307.281 1.538 20 0 DDADMM CC12CC(C1)CN2C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001351668133 876374031 /nfs/dbraw/zinc/37/40/31/876374031.db2.gz CKEMATMAAPQGGR-UHFFFAOYSA-N -1 1 324.327 1.340 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1C[C@@H]2CC[C@H](O)C[C@@H]2C1 ZINC001352424154 876739252 /nfs/dbraw/zinc/73/92/52/876739252.db2.gz SVNQSSJZQIKYJH-AEJSXWLSSA-N -1 1 309.391 1.012 20 0 DDADMM CNC(=O)c1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c(OC)c1 ZINC001215626339 876768120 /nfs/dbraw/zinc/76/81/20/876768120.db2.gz SCRWCTGITAHUFI-UHFFFAOYSA-N -1 1 321.362 1.165 20 0 DDADMM COc1ncc(Nc2ncc(C)cc2N)cc1[N-]S(C)(=O)=O ZINC001216152125 876877673 /nfs/dbraw/zinc/87/76/73/876877673.db2.gz NDSPHUDHEJJLOE-UHFFFAOYSA-N -1 1 323.378 1.491 20 0 DDADMM O=C(C=C1CCSCC1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001352793327 876934105 /nfs/dbraw/zinc/93/41/05/876934105.db2.gz IZWWNGDTWHGZGG-GFCCVEGCSA-N -1 1 307.423 1.434 20 0 DDADMM C[C@@H](Oc1nc(=O)[nH]c(=O)[n-]1)c1ccc(Br)nc1 ZINC001227571610 883089189 /nfs/dbraw/zinc/08/91/89/883089189.db2.gz XDYFZITUEVFMLV-RXMQYKEDSA-N -1 1 313.111 1.580 20 0 DDADMM CCCN(CC(=O)OCC)C(=O)c1cnc(SC)[n-]c1=O ZINC001362122696 883098484 /nfs/dbraw/zinc/09/84/84/883098484.db2.gz ILULQSVBEWDBNU-UHFFFAOYSA-N -1 1 313.379 1.319 20 0 DDADMM Cc1c(C(=O)Nc2c([O-])cccc2F)nnn1-c1cnn(C)c1 ZINC001300489439 877701028 /nfs/dbraw/zinc/70/10/28/877701028.db2.gz SQUQPXZONQKLJK-UHFFFAOYSA-N -1 1 316.296 1.406 20 0 DDADMM CC(C)C(=O)N[C@@H](C)CN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001395575616 912310546 /nfs/dbraw/zinc/31/05/46/912310546.db2.gz PIRBNNCKHQDXDS-JTQLQIEISA-N -1 1 320.393 1.292 20 0 DDADMM Cc1ccccc1[C@H]1CCN(c2nnc(-c3nnn[n-]3)n2C)C1 ZINC001355334842 878508630 /nfs/dbraw/zinc/50/86/30/878508630.db2.gz RBGSHWWHYOKVKA-NSHDSACASA-N -1 1 310.365 1.298 20 0 DDADMM Cc1ccccc1[C@H]1CCN(c2nnc(-c3nn[n-]n3)n2C)C1 ZINC001355334842 878508645 /nfs/dbraw/zinc/50/86/45/878508645.db2.gz RBGSHWWHYOKVKA-NSHDSACASA-N -1 1 310.365 1.298 20 0 DDADMM CC(C)(C)[C@@H](CNCc1ccon1)NC(=O)c1ncccc1[O-] ZINC001380059483 878684951 /nfs/dbraw/zinc/68/49/51/878684951.db2.gz CSDVXMOFZUUFSL-CYBMUJFWSA-N -1 1 318.377 1.710 20 0 DDADMM C/C=C\C[C@@H]1CCCN(c2nnc(-c3nnn[n-]3)n2CC)C1 ZINC001356292275 878970377 /nfs/dbraw/zinc/97/03/77/878970377.db2.gz ZGIJQCUGLQTBSA-USEMIUTHSA-N -1 1 302.386 1.661 20 0 DDADMM C/C=C\C[C@@H]1CCCN(c2nnc(-c3nn[n-]n3)n2CC)C1 ZINC001356292275 878970387 /nfs/dbraw/zinc/97/03/87/878970387.db2.gz ZGIJQCUGLQTBSA-USEMIUTHSA-N -1 1 302.386 1.661 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cccc(N)c2)cc1[N+](=O)[O-] ZINC000316875681 879490254 /nfs/dbraw/zinc/49/02/54/879490254.db2.gz KZNZQWTXKXHHKX-UHFFFAOYSA-N -1 1 323.330 1.986 20 0 DDADMM O=C(C[C@H]1C=CCC1)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001357551655 880043530 /nfs/dbraw/zinc/04/35/30/880043530.db2.gz FJHRWDNNWSBNFZ-SCOBNMCVSA-N -1 1 315.373 1.546 20 0 DDADMM O=C(NC/C=C/CNC(=O)c1ncccc1[O-])c1ccoc1 ZINC001357565642 880052535 /nfs/dbraw/zinc/05/25/35/880052535.db2.gz OPDYPYJIONNXHQ-OWOJBTEDSA-N -1 1 301.302 1.096 20 0 DDADMM CCC[C@@H](OC)C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001380849801 880521258 /nfs/dbraw/zinc/52/12/58/880521258.db2.gz QMLFNRALDXXVOB-WCQYABFASA-N -1 1 323.393 1.179 20 0 DDADMM Brc1ccc(N2CC[C@H](OCc3nn[n-]n3)C2)cc1 ZINC001223026561 880787735 /nfs/dbraw/zinc/78/77/35/880787735.db2.gz BCRMZIPDOJTWAM-NSHDSACASA-N -1 1 324.182 1.758 20 0 DDADMM CCC(C)(C)CC(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001382017298 883182447 /nfs/dbraw/zinc/18/24/47/883182447.db2.gz IGGMPIQRLDEMPJ-GFCCVEGCSA-N -1 1 323.441 1.663 20 0 DDADMM CCC1(C(=O)N(C)[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)CCC1 ZINC001382018193 883183996 /nfs/dbraw/zinc/18/39/96/883183996.db2.gz HDYYFOREHWBTCC-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1nc(C(C)(C)NC(=O)c2cnc(C3CC3)[n-]c2=O)no1 ZINC001362180449 883227212 /nfs/dbraw/zinc/22/72/12/883227212.db2.gz QHGZVBPTBAYACO-UHFFFAOYSA-N -1 1 303.322 1.416 20 0 DDADMM CSc1ncc(C(=O)N[C@H](CO)C2CCCCC2)c(=O)[n-]1 ZINC001362307491 883529076 /nfs/dbraw/zinc/52/90/76/883529076.db2.gz IILYEYZEBXWEKO-LLVKDONJSA-N -1 1 311.407 1.575 20 0 DDADMM CN(C(=O)OC(C)(C)C)[C@H]1C[C@@H](Oc2cnnc(=S)[n-]2)C1 ZINC001228640766 883586570 /nfs/dbraw/zinc/58/65/70/883586570.db2.gz VAGAVTXTJHRJEO-DTORHVGOSA-N -1 1 312.395 1.937 20 0 DDADMM CC[C@H](C)C[C@@H](NC(=O)OC(C)(C)C)C(=O)Nc1nnn[n-]1 ZINC001362335853 883593339 /nfs/dbraw/zinc/59/33/39/883593339.db2.gz IFQYJEDJKARGJI-DTWKUNHWSA-N -1 1 312.374 1.468 20 0 DDADMM CC[C@H](C)C[C@@H](NC(=O)OC(C)(C)C)C(=O)Nc1nn[n-]n1 ZINC001362335853 883593349 /nfs/dbraw/zinc/59/33/49/883593349.db2.gz IFQYJEDJKARGJI-DTWKUNHWSA-N -1 1 312.374 1.468 20 0 DDADMM CSc1ncc(C(=O)Nc2cc(C)cc(C(N)=O)c2)c(=O)[n-]1 ZINC001362463151 883869663 /nfs/dbraw/zinc/86/96/63/883869663.db2.gz SWVWXIPDXGPECS-UHFFFAOYSA-N -1 1 318.358 1.564 20 0 DDADMM CC(C)(C)CCC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001229246378 883891765 /nfs/dbraw/zinc/89/17/65/883891765.db2.gz WCEWVYUEJXEJII-VXGBXAGGSA-N -1 1 323.441 1.854 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccncc1Cl)c1ccc([O-])cn1 ZINC001362483478 883914541 /nfs/dbraw/zinc/91/45/41/883914541.db2.gz WWVKKAIBSWNAQR-SNVBAGLBSA-N -1 1 321.764 1.417 20 0 DDADMM CSc1ncc(C(=O)N[C@H](CO)CC2CCCC2)c(=O)[n-]1 ZINC001362552835 884076924 /nfs/dbraw/zinc/07/69/24/884076924.db2.gz ZXFJHPABZVOREO-JTQLQIEISA-N -1 1 311.407 1.575 20 0 DDADMM CSc1nc(CNC(=O)c2ccnc(C3CC3)n2)cc(=O)[n-]1 ZINC001362612069 884219011 /nfs/dbraw/zinc/21/90/11/884219011.db2.gz PUBCXYZBQBYYFY-UHFFFAOYSA-N -1 1 317.374 1.502 20 0 DDADMM NC(=O)C[C@H](NC(=O)c1cccc([O-])c1F)c1ccccc1 ZINC001362638834 884293262 /nfs/dbraw/zinc/29/32/62/884293262.db2.gz QCWSPGRHFDBYPF-LBPRGKRZSA-N -1 1 302.305 1.878 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2conc2C2CC2)n[n-]1 ZINC001362660801 884349643 /nfs/dbraw/zinc/34/96/43/884349643.db2.gz OEYWHDVSMFIAPH-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2conc2C2CC2)[n-]1 ZINC001362660801 884349661 /nfs/dbraw/zinc/34/96/61/884349661.db2.gz OEYWHDVSMFIAPH-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2conc2C2CC2)n1 ZINC001362660801 884349679 /nfs/dbraw/zinc/34/96/79/884349679.db2.gz OEYWHDVSMFIAPH-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CC(C)(C)NC(=O)N1CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001362676637 884388342 /nfs/dbraw/zinc/38/83/42/884388342.db2.gz NHDMEQKYRCIFMW-UHFFFAOYSA-N -1 1 323.368 1.797 20 0 DDADMM O=C(NC1CCN([C@@H]2CCOC2=O)CC1)c1ccc([O-])cc1F ZINC001362685219 884412101 /nfs/dbraw/zinc/41/21/01/884412101.db2.gz RFAYHIHXKYASEP-CQSZACIVSA-N -1 1 322.336 1.041 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)Cn1ccc(C)n1)c1nn[n-]n1 ZINC001362783478 884630093 /nfs/dbraw/zinc/63/00/93/884630093.db2.gz HLLCJIPZKXRWQB-JTQLQIEISA-N -1 1 305.386 1.172 20 0 DDADMM NC(=O)N1CCCc2ccc(NC(=O)c3ccc([O-])cn3)cc21 ZINC001362803542 884683179 /nfs/dbraw/zinc/68/31/79/884683179.db2.gz FALBPOLIOGCEDK-UHFFFAOYSA-N -1 1 312.329 1.871 20 0 DDADMM Cc1cc(C(=O)NCc2ccc([O-])c(Cl)c2)ncc1C(N)=O ZINC001362853181 884800255 /nfs/dbraw/zinc/80/02/55/884800255.db2.gz CVEWZDDTJABUEL-UHFFFAOYSA-N -1 1 319.748 1.778 20 0 DDADMM CCc1noc(C)c1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001362857465 884812434 /nfs/dbraw/zinc/81/24/34/884812434.db2.gz YVKZRBLJSOMLPZ-UHFFFAOYSA-N -1 1 308.363 1.693 20 0 DDADMM CC[C@H](O)[C@H](C)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001362867879 884836346 /nfs/dbraw/zinc/83/63/46/884836346.db2.gz VOZWKJSZEWDNDJ-NAKRPEOUSA-N -1 1 324.343 1.451 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1conc1C ZINC001362888210 884890492 /nfs/dbraw/zinc/89/04/92/884890492.db2.gz MGHOXLUGFZSIKH-JTQLQIEISA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1conc1C ZINC001362888210 884890498 /nfs/dbraw/zinc/89/04/98/884890498.db2.gz MGHOXLUGFZSIKH-JTQLQIEISA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1conc1C ZINC001362888210 884890504 /nfs/dbraw/zinc/89/05/04/884890504.db2.gz MGHOXLUGFZSIKH-JTQLQIEISA-N -1 1 319.321 1.255 20 0 DDADMM COCC[C@@H](C)C(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001382947401 885014610 /nfs/dbraw/zinc/01/46/10/885014610.db2.gz CKTXZJSAYAQHOV-VXGBXAGGSA-N -1 1 323.393 1.037 20 0 DDADMM Cc1coc(C(=O)N(C)[C@H](C)CNC(=O)c2ncccc2[O-])c1 ZINC001382950210 885020910 /nfs/dbraw/zinc/02/09/10/885020910.db2.gz GTPJXYJWRBBUQX-LLVKDONJSA-N -1 1 317.345 1.579 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1 ZINC001362969438 885113059 /nfs/dbraw/zinc/11/30/59/885113059.db2.gz HQOWEWWVVLTCNP-GFCCVEGCSA-N -1 1 318.406 1.196 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1ccc([O-])cn1)CC1CCCC1 ZINC001363046490 885320714 /nfs/dbraw/zinc/32/07/14/885320714.db2.gz BZHUKMOTRZENTJ-GFCCVEGCSA-N -1 1 306.362 1.887 20 0 DDADMM O=S1(=O)CC[C@H](Oc2cccnc2-c2ncccc2[O-])C1 ZINC001231200277 885367684 /nfs/dbraw/zinc/36/76/84/885367684.db2.gz LCMRLSHFHBOCCL-JTQLQIEISA-N -1 1 306.343 1.415 20 0 DDADMM CN1CCO[C@H](COc2cccnc2-c2ncccc2[O-])C1 ZINC001231203524 885367913 /nfs/dbraw/zinc/36/79/13/885367913.db2.gz JKLXUJLEOCROAB-LBPRGKRZSA-N -1 1 301.346 1.559 20 0 DDADMM CCCC[C@H](CNC(=O)[C@H]1C[C@@H]1C)NC(=O)c1ncccc1[O-] ZINC001383222063 885431237 /nfs/dbraw/zinc/43/12/37/885431237.db2.gz DOKNODZVWJAYCW-XQQFMLRXSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](NC(=O)c1ccc([O-])cn1)c1noc(C(F)(F)F)n1 ZINC001363106077 885478531 /nfs/dbraw/zinc/47/85/31/885478531.db2.gz MGQKZTLSGDAZNK-RXMQYKEDSA-N -1 1 302.212 1.680 20 0 DDADMM COC(=O)c1ccc(CN(C)C(=O)c2cc(F)ccc2[O-])nc1 ZINC001363120160 885511870 /nfs/dbraw/zinc/51/18/70/885511870.db2.gz RXCPRKFHVYRRST-UHFFFAOYSA-N -1 1 318.304 1.985 20 0 DDADMM CCC(CC)(NC(=O)c1coc2c1C(=O)CCC2)c1nn[n-]n1 ZINC001363133883 885548374 /nfs/dbraw/zinc/54/83/74/885548374.db2.gz COOAGAWOFBWMJX-UHFFFAOYSA-N -1 1 317.349 1.757 20 0 DDADMM CN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cc(C(=O)[O-])ccc1F ZINC001231456661 885678337 /nfs/dbraw/zinc/67/83/37/885678337.db2.gz CBRSBYBASOQGNJ-ZIAGYGMSSA-N -1 1 306.337 1.719 20 0 DDADMM CN(C(=O)CC(C)(C)C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001383392994 885711384 /nfs/dbraw/zinc/71/13/84/885711384.db2.gz DUNJNXYSMUDJAT-UHFFFAOYSA-N -1 1 319.405 1.943 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2csnc2C)n[n-]1 ZINC001363231430 885773222 /nfs/dbraw/zinc/77/32/22/885773222.db2.gz MYPRAYXNCLELHH-ZETCQYMHSA-N -1 1 309.351 1.237 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2csnc2C)[n-]1 ZINC001363231430 885773235 /nfs/dbraw/zinc/77/32/35/885773235.db2.gz MYPRAYXNCLELHH-ZETCQYMHSA-N -1 1 309.351 1.237 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2csnc2C)n1 ZINC001363231430 885773250 /nfs/dbraw/zinc/77/32/50/885773250.db2.gz MYPRAYXNCLELHH-ZETCQYMHSA-N -1 1 309.351 1.237 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@](O)(C3CC3)C2)c(=O)[n-]1 ZINC001363238351 885793282 /nfs/dbraw/zinc/79/32/82/885793282.db2.gz KCWMEVPMOMTRBE-CQSZACIVSA-N -1 1 309.391 1.281 20 0 DDADMM CC(=O)N(C)C1CCN(Cc2ccccc2OCC(=O)[O-])CC1 ZINC001231796989 885879631 /nfs/dbraw/zinc/87/96/31/885879631.db2.gz OKYVDJWYGRZSON-UHFFFAOYSA-N -1 1 320.389 1.593 20 0 DDADMM COC(=O)c1cc(C(=O)NC2(c3nn[n-]n3)CCC2)ccc1C ZINC001363276081 885886035 /nfs/dbraw/zinc/88/60/35/885886035.db2.gz CEYVJGZFZDKNSK-UHFFFAOYSA-N -1 1 315.333 1.104 20 0 DDADMM C[C@H](c1ccccc1)[C@@](C)(O)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363332010 886048513 /nfs/dbraw/zinc/04/85/13/886048513.db2.gz ZABPEXKXBCPQKD-QLJPJBMISA-N -1 1 317.345 1.059 20 0 DDADMM CCc1nccn1CCNC(=O)C(C)(C)[N-]C(=O)C(F)(F)F ZINC001363345354 886085695 /nfs/dbraw/zinc/08/56/95/886085695.db2.gz PIIZSFAJJRUGPA-UHFFFAOYSA-N -1 1 320.315 1.019 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ncc(Cl)s1 ZINC001363352850 886109173 /nfs/dbraw/zinc/10/91/73/886109173.db2.gz BVXGGOLSEWDNFQ-UHFFFAOYSA-N -1 1 300.775 1.608 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1SCCc2sccc21 ZINC001363376308 886170175 /nfs/dbraw/zinc/17/01/75/886170175.db2.gz QBOFXQODYQLGDE-SNVBAGLBSA-N -1 1 321.431 1.787 20 0 DDADMM COC[C@@H](NC(=O)CCc1ccc(C(C)C)cc1)c1nn[n-]n1 ZINC001363419075 886285966 /nfs/dbraw/zinc/28/59/66/886285966.db2.gz UZYAPQJBWRBTDB-CQSZACIVSA-N -1 1 317.393 1.760 20 0 DDADMM CC(C)(C)[C@@H](NC(=O)c1[nH]nc2c1CCCC2)c1nc(=O)o[n-]1 ZINC001363455155 886386616 /nfs/dbraw/zinc/38/66/16/886386616.db2.gz BVJSBQVIBWSIMX-NSHDSACASA-N -1 1 319.365 1.482 20 0 DDADMM C[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)OC(C)(C)C ZINC001363464361 886410780 /nfs/dbraw/zinc/41/07/80/886410780.db2.gz VGQNUIALRWRJKD-SECBINFHSA-N -1 1 321.377 1.767 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2c3ccccc3CN(C)C2=O)c([O-])c1 ZINC001363482123 886454524 /nfs/dbraw/zinc/45/45/24/886454524.db2.gz ZZTQNNDYWPKPLG-CQSZACIVSA-N -1 1 311.341 1.539 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001363524395 886549954 /nfs/dbraw/zinc/54/99/54/886549954.db2.gz IDYOSDAUMMEGNI-NSHDSACASA-N -1 1 321.377 1.917 20 0 DDADMM CCOc1cccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001363541482 886590673 /nfs/dbraw/zinc/59/06/73/886590673.db2.gz BNXSRBONIQOYBT-UHFFFAOYSA-N -1 1 303.366 1.897 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1C[C@@H](O)[C@H](Oc2ccccc2)C1 ZINC001363562310 886639942 /nfs/dbraw/zinc/63/99/42/886639942.db2.gz PEWVRQADYDLEGS-HZPDHXFCSA-N -1 1 317.316 1.796 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2ccc(F)c([O-])c2F)CCC1=O ZINC001232931650 886682426 /nfs/dbraw/zinc/68/24/26/886682426.db2.gz QKGHDAWWGJHKBU-JTQLQIEISA-N -1 1 313.300 1.625 20 0 DDADMM COC(=O)[C@H]1CN(Cc2ccc(F)c([O-])c2F)CCCO1 ZINC001232941399 886685019 /nfs/dbraw/zinc/68/50/19/886685019.db2.gz VYNHBJPQPXSHNT-LLVKDONJSA-N -1 1 301.289 1.434 20 0 DDADMM COC[C@@H](NC(=O)C(F)(F)c1ccccc1C)c1nn[n-]n1 ZINC001363586224 886699642 /nfs/dbraw/zinc/69/96/42/886699642.db2.gz JEEPBDUWLPRSBQ-SNVBAGLBSA-N -1 1 311.292 1.104 20 0 DDADMM C[C@@H]1CN(C2CN(Cc3cc(Cl)ncc3[O-])C2)C[C@@H](C)O1 ZINC001233041408 886752530 /nfs/dbraw/zinc/75/25/30/886752530.db2.gz WQJOZNZMBDBQQF-GHMZBOCLSA-N -1 1 311.813 1.734 20 0 DDADMM COC[C@@H](C)[C@@H](C)C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001363647216 886876176 /nfs/dbraw/zinc/87/61/76/886876176.db2.gz DOCXYQRPQPRDDN-NXEZZACHSA-N -1 1 311.382 1.116 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H](O)c1cccnc1 ZINC001363654581 886896566 /nfs/dbraw/zinc/89/65/66/886896566.db2.gz OWGJKUBKDGNZSX-CQSZACIVSA-N -1 1 308.284 1.458 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cc(C)ncn2)[n-]c1=O ZINC001363679451 886957959 /nfs/dbraw/zinc/95/79/59/886957959.db2.gz WRBQAZNGWWOCNP-NSHDSACASA-N -1 1 315.333 1.267 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H]1CCC[C@@H](C)C1 ZINC001363756386 887150327 /nfs/dbraw/zinc/15/03/27/887150327.db2.gz XGAQVVSDBFIAHN-NXEZZACHSA-N -1 1 315.395 1.301 20 0 DDADMM O=C(NC1C[C@H]2CC[C@@H](C1)S2(=O)=O)c1ccc(F)c([O-])c1 ZINC001363770041 887178396 /nfs/dbraw/zinc/17/83/96/887178396.db2.gz RIZCNKCPHXUXPY-FGWVZKOKSA-N -1 1 313.350 1.369 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCCN(C(C)C)C2)sn1 ZINC001363849692 887390212 /nfs/dbraw/zinc/39/02/12/887390212.db2.gz FULHMKOVYYQINJ-SNVBAGLBSA-N -1 1 319.452 1.303 20 0 DDADMM Cc1cc(=O)[nH]c([C@H](C)[N@@H+]2CCC[C@@H](c3cn(C)nn3)C2)n1 ZINC001363853934 887403198 /nfs/dbraw/zinc/40/31/98/887403198.db2.gz UHMYEZSIJCWIMH-NWDGAFQWSA-N -1 1 302.382 1.560 20 0 DDADMM CC(C)(C)OC(=O)C1(NC(=O)c2cnncc2[O-])CCCCC1 ZINC001363889362 887478350 /nfs/dbraw/zinc/47/83/50/887478350.db2.gz VBORMAOFMXPHMW-UHFFFAOYSA-N -1 1 321.377 1.957 20 0 DDADMM CCC(CC)N(C1CC1)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001363935058 887569456 /nfs/dbraw/zinc/56/94/56/887569456.db2.gz SEYSVFXSAVDWGN-UHFFFAOYSA-N -1 1 315.395 1.538 20 0 DDADMM CCC(CC)N(C1CC1)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001363935058 887569467 /nfs/dbraw/zinc/56/94/67/887569467.db2.gz SEYSVFXSAVDWGN-UHFFFAOYSA-N -1 1 315.395 1.538 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)C[C@H](C)C(C)(C)C)[n-]n1 ZINC001364050102 887796386 /nfs/dbraw/zinc/79/63/86/887796386.db2.gz YSSQKTRXXXRKJI-VIFPVBQESA-N -1 1 317.411 1.499 20 0 DDADMM COc1ccc(CCC(=O)Nc2c[n-][nH]c2=O)c(OC)c1OC ZINC001364093493 887900797 /nfs/dbraw/zinc/90/07/97/887900797.db2.gz NXXFDWJWBZUILY-UHFFFAOYSA-N -1 1 321.333 1.712 20 0 DDADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)c1oc(C)cc1C ZINC001364107188 887936333 /nfs/dbraw/zinc/93/63/33/887936333.db2.gz VPQYOZAQSKFUDA-UHFFFAOYSA-N -1 1 323.305 1.030 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC[C@@H]1CCCC[C@@H]1O ZINC001364121202 887968009 /nfs/dbraw/zinc/96/80/09/887968009.db2.gz NSVWWZNJPMHSDR-UWVGGRQHSA-N -1 1 320.436 1.371 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@H]2CCCc3cccnc32)c(=O)[n-]1 ZINC001234472439 888003801 /nfs/dbraw/zinc/00/38/01/888003801.db2.gz QBYRVUQEKHEDJE-JTQLQIEISA-N -1 1 300.318 1.441 20 0 DDADMM CSCC[C@H](NC(=O)Nc1c(C)ccnc1C)c1nn[n-]n1 ZINC001364138852 888008523 /nfs/dbraw/zinc/00/85/23/888008523.db2.gz YNNYEMXVSFURHD-JTQLQIEISA-N -1 1 321.410 1.827 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@@H]1COC[C@H]1F ZINC001234554316 888086523 /nfs/dbraw/zinc/08/65/23/888086523.db2.gz MKLYGGXRYIOOSQ-HTQZYQBOSA-N -1 1 300.286 1.208 20 0 DDADMM COC(=O)c1nc(-c2ccccc2)[n-]c(=O)c1OC[C@H]1CCO1 ZINC001234559251 888093896 /nfs/dbraw/zinc/09/38/96/888093896.db2.gz VCDQHVVNXOUACU-LLVKDONJSA-N -1 1 316.313 1.804 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H]2CCn3ccnc32)c(=O)[n-]1 ZINC001234561837 888099568 /nfs/dbraw/zinc/09/95/68/888099568.db2.gz OBFVOWDOXNILRH-QMMMGPOBSA-N -1 1 303.322 1.125 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@@H](C)c1cnn(C)c1C ZINC001234602282 888133137 /nfs/dbraw/zinc/13/31/37/888133137.db2.gz OBALYWOCKDTAGB-QMMMGPOBSA-N -1 1 309.297 1.895 20 0 DDADMM CCOC(=O)c1nc([C@H](C)Nc2nc(C)cc(CC)n2)n[n-]1 ZINC001364225357 888174604 /nfs/dbraw/zinc/17/46/04/888174604.db2.gz APCPURZXMVCJHL-VIFPVBQESA-N -1 1 304.354 1.815 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)Nc2nc(C)cc(CC)n2)[n-]1 ZINC001364225357 888174614 /nfs/dbraw/zinc/17/46/14/888174614.db2.gz APCPURZXMVCJHL-VIFPVBQESA-N -1 1 304.354 1.815 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)Nc2nc(C)cc(CC)n2)n1 ZINC001364225357 888174619 /nfs/dbraw/zinc/17/46/19/888174619.db2.gz APCPURZXMVCJHL-VIFPVBQESA-N -1 1 304.354 1.815 20 0 DDADMM CN1CCN(c2nccnc2-c2ccc(/C=C/C(=O)[O-])cc2)CC1 ZINC001235169291 888448384 /nfs/dbraw/zinc/44/83/84/888448384.db2.gz LFYKDJMNWGDTRV-QPJJXVBHSA-N -1 1 324.384 1.993 20 0 DDADMM CCC(C)(C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385308026 888849321 /nfs/dbraw/zinc/84/93/21/888849321.db2.gz WKAJLJRDIIFOJN-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(c1conc1C1CCCC1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001364570014 888947406 /nfs/dbraw/zinc/94/74/06/888947406.db2.gz ZERFOEJQXJGEQO-SNVBAGLBSA-N -1 1 302.338 1.475 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@@H]1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001364650909 889122737 /nfs/dbraw/zinc/12/27/37/889122737.db2.gz JGXKNIJMDGMZTQ-NWDGAFQWSA-N -1 1 323.397 1.164 20 0 DDADMM C[C@H](Oc1ccc(Cl)cc1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001364663347 889149462 /nfs/dbraw/zinc/14/94/62/889149462.db2.gz OGCIROFXQPZUOM-VIFPVBQESA-N -1 1 321.768 1.816 20 0 DDADMM O=S(=O)([N-][C@H]1CCC2(C1)OCCO2)c1cc(F)ccc1F ZINC001364812305 889467315 /nfs/dbraw/zinc/46/73/15/889467315.db2.gz YXYMEXXZKNNSNH-JTQLQIEISA-N -1 1 319.329 1.539 20 0 DDADMM C[C@H](C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC001385681368 889558308 /nfs/dbraw/zinc/55/83/08/889558308.db2.gz SGKUCZUINBZPMW-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1nocc1C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC001364870465 889579934 /nfs/dbraw/zinc/57/99/34/889579934.db2.gz KDFJOJHALSQPBS-UHFFFAOYSA-N -1 1 321.152 1.817 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)C2(OC)CCC2)sn1 ZINC001364873339 889587102 /nfs/dbraw/zinc/58/71/02/889587102.db2.gz WFLHGSYSQLXAAP-QMMMGPOBSA-N -1 1 306.409 1.388 20 0 DDADMM CC(C)[C@@H](F)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001385701983 889599094 /nfs/dbraw/zinc/59/90/94/889599094.db2.gz IGFHBIXZAKPKIF-WCQYABFASA-N -1 1 323.368 1.406 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](C)C(C)(C)C ZINC001364936316 889701999 /nfs/dbraw/zinc/70/19/99/889701999.db2.gz YPZVNQOUYPXXOD-QMMMGPOBSA-N -1 1 303.384 1.157 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)c2ccccn2)c1 ZINC001365014698 889895447 /nfs/dbraw/zinc/89/54/47/889895447.db2.gz AUYGCQUXSDNKAC-SNVBAGLBSA-N -1 1 308.359 1.835 20 0 DDADMM CC1(C(=O)NC[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001386034776 890191089 /nfs/dbraw/zinc/19/10/89/890191089.db2.gz BHWQJZBFLHRCFD-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2CCO[C@H](C(C)C)C2)sn1 ZINC001365380659 890696819 /nfs/dbraw/zinc/69/68/19/890696819.db2.gz MWALBUFZKACEAM-UWVGGRQHSA-N -1 1 320.436 1.634 20 0 DDADMM O=C1Cc2cc(-c3cnn(C4CCOCC4)c3)ccc2C(=O)[N-]1 ZINC001240097064 890799618 /nfs/dbraw/zinc/79/96/18/890799618.db2.gz JKFYXBUCQOTMFF-UHFFFAOYSA-N -1 1 311.341 1.714 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(OC)ns1)[C@H]1CCCOC1 ZINC001365456937 890830894 /nfs/dbraw/zinc/83/08/94/890830894.db2.gz BSQSCRGIDDGCJL-UWVGGRQHSA-N -1 1 320.436 1.635 20 0 DDADMM Cc1cc(C)c(C(=O)N[C@H](C)C[C@@H](C)NC(=O)c2cnn[nH]2)o1 ZINC001396264393 913494325 /nfs/dbraw/zinc/49/43/25/913494325.db2.gz WGUBZUQOQTYKFC-NXEZZACHSA-N -1 1 319.365 1.341 20 0 DDADMM CS(=O)(=O)Nc1ccc(-c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC001240323809 890895034 /nfs/dbraw/zinc/89/50/34/890895034.db2.gz KTONRMIXAQKJJO-UHFFFAOYSA-N -1 1 316.346 1.300 20 0 DDADMM CS(=O)(=O)Nc1ccc(-c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC001240323809 890895045 /nfs/dbraw/zinc/89/50/45/890895045.db2.gz KTONRMIXAQKJJO-UHFFFAOYSA-N -1 1 316.346 1.300 20 0 DDADMM COC[C@](C)(O)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001365514425 890958837 /nfs/dbraw/zinc/95/88/37/890958837.db2.gz DHCNERGNBUKWHC-OAHLLOKOSA-N -1 1 320.349 1.097 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2(c3ccccc3)CC2)[n-]n1 ZINC001365642023 891235324 /nfs/dbraw/zinc/23/53/24/891235324.db2.gz VTSNPWYFQIKXLS-UHFFFAOYSA-N -1 1 321.358 1.164 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2(c3ccccc3)CC2)n[n-]1 ZINC001365642023 891235343 /nfs/dbraw/zinc/23/53/43/891235343.db2.gz VTSNPWYFQIKXLS-UHFFFAOYSA-N -1 1 321.358 1.164 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc(-c2ccccn2)no1 ZINC000738009668 913550002 /nfs/dbraw/zinc/55/00/02/913550002.db2.gz INAGRCCWEPINMZ-UHFFFAOYSA-N -1 1 311.305 1.059 20 0 DDADMM CC(=O)Nc1nc2nc[nH]c2c(-c2cc(C)cc(C(=O)[O-])c2)n1 ZINC001243176562 891617548 /nfs/dbraw/zinc/61/75/48/891617548.db2.gz FCAVTAPPRYFWJZ-UHFFFAOYSA-N -1 1 311.301 1.937 20 0 DDADMM COc1cc(C(=O)[O-])cc(-c2nc3[nH]cnc3c(OC)n2)c1 ZINC001244175102 891811937 /nfs/dbraw/zinc/81/19/37/891811937.db2.gz JGWFOASKTUGCFG-UHFFFAOYSA-N -1 1 300.274 1.735 20 0 DDADMM CCOc1cccnc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244790910 891924794 /nfs/dbraw/zinc/92/47/94/891924794.db2.gz NTOWQECDXYYEFJ-UHFFFAOYSA-N -1 1 323.374 1.922 20 0 DDADMM CCc1ccnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)n1 ZINC001244790430 891925352 /nfs/dbraw/zinc/92/53/52/891925352.db2.gz MQPFVECFPOGSPN-UHFFFAOYSA-N -1 1 308.363 1.481 20 0 DDADMM COc1ncc(-c2ccc3ncnn3c2)cc1[N-]S(C)(=O)=O ZINC001244791317 891925387 /nfs/dbraw/zinc/92/53/87/891925387.db2.gz ILXUKGDXIUWRCG-UHFFFAOYSA-N -1 1 319.346 1.171 20 0 DDADMM COc1ncc(-c2cccn3cnnc23)cc1[N-]S(C)(=O)=O ZINC001244794485 891932509 /nfs/dbraw/zinc/93/25/09/891932509.db2.gz PXNRCRIPCCQQLV-UHFFFAOYSA-N -1 1 319.346 1.171 20 0 DDADMM CCC[C@H](C)CC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001365929637 891946288 /nfs/dbraw/zinc/94/62/88/891946288.db2.gz QQAAIAMRIDAGRM-STQMWFEESA-N -1 1 323.441 1.015 20 0 DDADMM C[C@H](CNC(=O)[C@H]1CCCC1(C)C)NC(=O)c1ncccc1[O-] ZINC001387033541 892224291 /nfs/dbraw/zinc/22/42/91/892224291.db2.gz DPAHDGRCMNOMPH-VXGBXAGGSA-N -1 1 319.405 1.848 20 0 DDADMM CCN(CCNC(=O)[C@H]1CC[C@H](C)C1)C(=O)c1ncccc1[O-] ZINC001387208280 892620160 /nfs/dbraw/zinc/62/01/60/892620160.db2.gz UEMRZJJKEPTBJS-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC1(C)C ZINC001387444166 893115291 /nfs/dbraw/zinc/11/52/91/893115291.db2.gz YTSCEOVHUXBWPL-GHMZBOCLSA-N -1 1 305.378 1.458 20 0 DDADMM C[C@H](CNC(=O)c1cc(Cl)cs1)NCc1n[nH]c(=O)[n-]1 ZINC001366325376 893170438 /nfs/dbraw/zinc/17/04/38/893170438.db2.gz CRURIFIALITSGK-ZCFIWIBFSA-N -1 1 315.786 1.133 20 0 DDADMM CC(C)c1cccc(C(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)c1 ZINC001366342919 893238789 /nfs/dbraw/zinc/23/87/89/893238789.db2.gz KUQWEQKLFDRDKF-NSHDSACASA-N -1 1 317.393 1.542 20 0 DDADMM COC(=O)c1cc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc(OC)c1 ZINC001248495856 893644654 /nfs/dbraw/zinc/64/46/54/893644654.db2.gz JTPAXTPXMAUBPI-UHFFFAOYSA-N -1 1 322.346 1.592 20 0 DDADMM CSc1nn(-c2cc(Cl)c([O-])cc2F)c(N)c1C(N)=O ZINC001250020379 894191131 /nfs/dbraw/zinc/19/11/31/894191131.db2.gz SNWKCTLPHWJLCK-UHFFFAOYSA-N -1 1 316.745 1.773 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])Cc1cscn1 ZINC001366606681 894235182 /nfs/dbraw/zinc/23/51/82/894235182.db2.gz VDIPPBAVXYXRPU-UHFFFAOYSA-N -1 1 306.391 1.496 20 0 DDADMM CCC(=O)N1CCC(CCN(C)C(=O)c2ncccc2[O-])CC1 ZINC001388832935 896012904 /nfs/dbraw/zinc/01/29/04/896012904.db2.gz YTVFOQAEUMFUGH-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C(C)(C)C1CCCC1 ZINC001367674840 897426517 /nfs/dbraw/zinc/42/65/17/897426517.db2.gz GWUIPQJPANSZMS-SNVBAGLBSA-N -1 1 309.414 1.321 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2cccc3c2CNC(=O)C3)c1 ZINC001256958967 897644738 /nfs/dbraw/zinc/64/47/38/897644738.db2.gz VEXDTOCUPCSIMT-UHFFFAOYSA-N -1 1 316.382 1.968 20 0 DDADMM CC[C@H](C)CCC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001389635306 897688625 /nfs/dbraw/zinc/68/86/25/897688625.db2.gz CUUSVCHJHNPJDR-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM CCOC(=O)[C@@H](CC)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258950091 898377485 /nfs/dbraw/zinc/37/74/85/898377485.db2.gz JVDBTHITOAVNFI-SNVBAGLBSA-N -1 1 307.318 1.585 20 0 DDADMM CC1(C)[C@H]2CC[C@]1(CS(=O)(=O)[N-]Cc1ncco1)C(=O)C2 ZINC001258966145 898387277 /nfs/dbraw/zinc/38/72/77/898387277.db2.gz GZSCXWRRIQJHQK-HZMBPMFUSA-N -1 1 312.391 1.489 20 0 DDADMM COC(=O)CS(=O)(=O)[N-][C@H](c1ncccc1Cl)C1CC1 ZINC001259033278 898433623 /nfs/dbraw/zinc/43/36/23/898433623.db2.gz IYEVCXJXIALKKN-NSHDSACASA-N -1 1 318.782 1.279 20 0 DDADMM CC(=O)NC[C@@H](C)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259082457 898458238 /nfs/dbraw/zinc/45/82/38/898458238.db2.gz BUGOVKNNTFZRBP-SSDOTTSWSA-N -1 1 324.324 1.154 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1C ZINC001259162974 898497293 /nfs/dbraw/zinc/49/72/93/898497293.db2.gz NAEVSDXOYQNIAS-UHFFFAOYSA-N -1 1 324.318 1.703 20 0 DDADMM COC(=O)c1c([N-]S(=O)(=O)C2CC2)ccc2c1OC[C@@H]1C[C@H]21 ZINC001259276224 898572212 /nfs/dbraw/zinc/57/22/12/898572212.db2.gz CIYZNNTXXPCTPO-KWQFWETISA-N -1 1 323.370 1.873 20 0 DDADMM O=S(=O)([N-]Cc1cncnc1)c1cc(Cl)ccc1F ZINC001259460120 898680268 /nfs/dbraw/zinc/68/02/68/898680268.db2.gz MATLBSYVUGMWMT-UHFFFAOYSA-N -1 1 301.730 1.748 20 0 DDADMM O=S(=O)([N-]Cc1ccnnc1)c1cc(Cl)ccc1F ZINC001259459916 898680392 /nfs/dbraw/zinc/68/03/92/898680392.db2.gz HXWFCPIIAZIHAU-UHFFFAOYSA-N -1 1 301.730 1.748 20 0 DDADMM O=c1cc[nH]cc1[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC001259466401 898681395 /nfs/dbraw/zinc/68/13/95/898681395.db2.gz CKIRMEGXIOYWJQ-UHFFFAOYSA-N -1 1 302.714 1.968 20 0 DDADMM CS(=O)(=O)c1ccc([N-]S(=O)(=O)C2CCCC2)cc1F ZINC001259801573 898811814 /nfs/dbraw/zinc/81/18/14/898811814.db2.gz HKSSEMKZPVZFQR-UHFFFAOYSA-N -1 1 321.395 1.914 20 0 DDADMM O=S(=O)([N-]c1cccnc1OC(F)F)C1CCOCC1 ZINC001259908819 898917761 /nfs/dbraw/zinc/91/77/61/898917761.db2.gz GNGQFDDBHMRESL-UHFFFAOYSA-N -1 1 308.306 1.604 20 0 DDADMM COC(=O)c1cc(C[N-]S(=O)(=O)C(F)F)ccc1Cl ZINC001259961893 898983263 /nfs/dbraw/zinc/98/32/63/898983263.db2.gz WTNSVLHEJXKPEI-UHFFFAOYSA-N -1 1 313.709 1.769 20 0 DDADMM CCOC(=O)C[C@H]([N-]S(=O)(=O)C(F)F)c1ccccc1 ZINC001259961803 898983738 /nfs/dbraw/zinc/98/37/38/898983738.db2.gz VGFPOIALFNIFAL-JTQLQIEISA-N -1 1 307.318 1.823 20 0 DDADMM CN1C[C@H]2CCCN(S(=O)(=O)c3ccc(C(=O)[O-])cc3)[C@H]2C1 ZINC001260225875 899100425 /nfs/dbraw/zinc/10/04/25/899100425.db2.gz SUISUZNOMXJFLB-OCCSQVGLSA-N -1 1 324.402 1.100 20 0 DDADMM O=S(=O)([N-]CCO)c1cc(Br)c(Cl)s1 ZINC001260485542 899151746 /nfs/dbraw/zinc/15/17/46/899151746.db2.gz GVALANZFQCNBSU-UHFFFAOYSA-N -1 1 320.617 1.435 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-]c1cc(N2CCOCC2)nc(C)n1 ZINC001260727069 899231552 /nfs/dbraw/zinc/23/15/52/899231552.db2.gz ZGAOBHJAZCMILW-JTQLQIEISA-N -1 1 314.411 1.162 20 0 DDADMM CC(=O)Nc1cccc(S(=O)(=O)[N-]c2ccccc2CO)c1 ZINC001260809064 899274478 /nfs/dbraw/zinc/27/44/78/899274478.db2.gz CYILQQAYDBTBJE-UHFFFAOYSA-N -1 1 320.370 1.938 20 0 DDADMM C[C@@H](CNC(=O)CCC1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001390515411 899584519 /nfs/dbraw/zinc/58/45/19/899584519.db2.gz BIPGVUYTVSHXGZ-NSHDSACASA-N -1 1 309.414 1.465 20 0 DDADMM CCOc1cccc(F)c1CNCCN1C[C@H](C(=O)[O-])CC1=O ZINC001261889622 899808425 /nfs/dbraw/zinc/80/84/25/899808425.db2.gz FTRFDWNAWFEAKR-LLVKDONJSA-N -1 1 324.352 1.247 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC(C)(C)c2ccccc21 ZINC001263012572 900468197 /nfs/dbraw/zinc/46/81/97/900468197.db2.gz PGBBSCRVASKRPC-UHFFFAOYSA-N -1 1 310.365 1.907 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC(C)(C)c2ccccc21 ZINC001263012572 900468204 /nfs/dbraw/zinc/46/82/04/900468204.db2.gz PGBBSCRVASKRPC-UHFFFAOYSA-N -1 1 310.365 1.907 20 0 DDADMM CN(C(=O)[C@@]1(C(=O)[O-])CNCCO1)c1ccc(C(C)(C)C)cc1 ZINC001263077234 900484193 /nfs/dbraw/zinc/48/41/93/900484193.db2.gz ZJKSEGXIUICKGD-QGZVFWFLSA-N -1 1 320.389 1.390 20 0 DDADMM COc1cccc(CCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)n1 ZINC001263855957 900772542 /nfs/dbraw/zinc/77/25/42/900772542.db2.gz AQHDUCPWPVUSQS-UHFFFAOYSA-N -1 1 320.374 1.155 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](C1CCC1)[C@H]1CCOC1 ZINC001263872903 900788560 /nfs/dbraw/zinc/78/85/60/900788560.db2.gz AZDVDXMYPJKBNE-JQWIXIFHSA-N -1 1 323.418 1.714 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001263886688 900799878 /nfs/dbraw/zinc/79/98/78/900799878.db2.gz SHYGYDVKEQQQAD-VPUINMBXSA-N -1 1 301.325 1.758 20 0 DDADMM COC[C@@H](C)CC(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001369938189 902238531 /nfs/dbraw/zinc/23/85/31/902238531.db2.gz CSTNBRFXZJJGJD-NWDGAFQWSA-N -1 1 323.393 1.037 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1CC12CC2)N(C)C(=O)c1ncccc1[O-] ZINC001369955160 902274502 /nfs/dbraw/zinc/27/45/02/902274502.db2.gz AHJRFRAKMSXMRZ-MNOVXSKESA-N -1 1 303.362 1.164 20 0 DDADMM CC[C@@H](C)C(=O)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001391840363 902697249 /nfs/dbraw/zinc/69/72/49/902697249.db2.gz HKEQBRYCCWWQSM-LLVKDONJSA-N -1 1 309.414 1.275 20 0 DDADMM CCN(CCCNC(=O)[C@]12C[C@H]1CCCC2)Cc1n[nH]c(=O)[n-]1 ZINC001266080209 902900542 /nfs/dbraw/zinc/90/05/42/902900542.db2.gz FXVOWIGBKVDHNY-MLGOLLRUSA-N -1 1 321.425 1.419 20 0 DDADMM C/C=C(/C)C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001293763444 914684717 /nfs/dbraw/zinc/68/47/17/914684717.db2.gz RYMWNRQRDDJQDF-JYOAFUTRSA-N -1 1 303.362 1.474 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)CN(C)Cc2ccccn2)c1[O-] ZINC001375027167 914690117 /nfs/dbraw/zinc/69/01/17/914690117.db2.gz YHNBPAOEUMLUOW-JTQLQIEISA-N -1 1 303.366 1.069 20 0 DDADMM C[C@H](CN[C@H](C)c1ncccn1)N(C)C(=O)c1ncccc1[O-] ZINC001392050198 903172458 /nfs/dbraw/zinc/17/24/58/903172458.db2.gz NIEURQWMQAGNTQ-VXGBXAGGSA-N -1 1 315.377 1.389 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)CN(C)Cc2ccsc2)c1[O-] ZINC001375027967 914694436 /nfs/dbraw/zinc/69/44/36/914694436.db2.gz ZUYZVSJDARWYCE-SECBINFHSA-N -1 1 308.407 1.736 20 0 DDADMM C/C(=C/C(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-])C1CC1 ZINC001280461251 903705659 /nfs/dbraw/zinc/70/56/59/903705659.db2.gz WVDBXWWEJXEFLM-UCQJPZFISA-N -1 1 317.389 1.720 20 0 DDADMM CC[C@H](C)CCC(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001370959161 904056840 /nfs/dbraw/zinc/05/68/40/904056840.db2.gz ZSHYGIIMHDDEJC-QWHCGFSZSA-N -1 1 323.441 1.807 20 0 DDADMM CC(C)N(CCN(C)C(=O)c1ncccc1[O-])C(=O)[C@@H]1C[C@H]1C ZINC001371084066 904211260 /nfs/dbraw/zinc/21/12/60/904211260.db2.gz OQALRBISFDFRKU-CHWSQXEVSA-N -1 1 319.405 1.752 20 0 DDADMM CCCC(=O)N[C@@H](C)CCCCNC(=O)c1ncccc1[O-] ZINC001281548421 905009774 /nfs/dbraw/zinc/00/97/74/905009774.db2.gz DMRWXFOUUFURGB-LBPRGKRZSA-N -1 1 307.394 1.992 20 0 DDADMM Cc1nscc1C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001392762789 905177612 /nfs/dbraw/zinc/17/76/12/905177612.db2.gz VNNBSQVXKVKYLU-QMMMGPOBSA-N -1 1 320.374 1.100 20 0 DDADMM O=C(CCCF)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC001371928937 906026118 /nfs/dbraw/zinc/02/61/18/906026118.db2.gz KCRMHJYNUVUZID-WOPDTQHZSA-N -1 1 321.352 1.399 20 0 DDADMM CC1(CC(=O)N[C@@H](CNC(=O)c2ncccc2[O-])C2CC2)CC1 ZINC001372375528 907160077 /nfs/dbraw/zinc/16/00/77/907160077.db2.gz ACORPBOPWUHTAA-LBPRGKRZSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1)c1ccoc1 ZINC001394185145 909032555 /nfs/dbraw/zinc/03/25/55/909032555.db2.gz WHEFQKBEOGCBTC-UHFFFAOYSA-N -1 1 319.365 1.326 20 0 DDADMM CC(=O)NCc1ccc(CCNC(=O)c2ncccc2[O-])cc1 ZINC001373188936 909167187 /nfs/dbraw/zinc/16/71/87/909167187.db2.gz QYEOURIIAMTIED-UHFFFAOYSA-N -1 1 313.357 1.396 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)C(C)(C)C(F)F ZINC001373414804 909796116 /nfs/dbraw/zinc/79/61/16/909796116.db2.gz XMXZWYSMIUWPQW-UHFFFAOYSA-N -1 1 315.320 1.267 20 0 DDADMM C/C(=C(/F)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C1CC1 ZINC001295508892 915859455 /nfs/dbraw/zinc/85/94/55/915859455.db2.gz KBEJCQNYZFVJLS-GHXNOFRVSA-N -1 1 314.338 1.632 20 0 DDADMM O=C([N-]N1C(=O)c2ccccc2C1=O)c1cc(-c2ccco2)[nH]n1 ZINC001296101215 916236750 /nfs/dbraw/zinc/23/67/50/916236750.db2.gz HMSSHWFEHJYMCL-UHFFFAOYSA-N -1 1 322.280 1.611 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])Cc1nc(C)c(C)o1 ZINC001377295355 920939551 /nfs/dbraw/zinc/93/95/51/920939551.db2.gz CRVFHEHTMDDXLJ-UHFFFAOYSA-N -1 1 318.377 1.644 20 0 DDADMM COCC(C)(C)CC(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001377543776 922281332 /nfs/dbraw/zinc/28/13/32/922281332.db2.gz CFJFNHUJTRHKRG-NSHDSACASA-N -1 1 323.393 1.084 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCCC12CC2 ZINC001377751351 922888394 /nfs/dbraw/zinc/88/83/94/922888394.db2.gz WVDDOVDTMIUGAU-NWDGAFQWSA-N -1 1 317.389 1.602 20 0 DDADMM CCOC1(C(=O)Nc2nc3nc(CC)cc(=O)n3[n-]2)CCCC1 ZINC000622993471 365587107 /nfs/dbraw/zinc/58/71/07/365587107.db2.gz OHVDIYLYLNVNAF-UHFFFAOYSA-N -1 1 319.365 1.268 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC[C@H](C)C3)nc2n1 ZINC000622994618 365589129 /nfs/dbraw/zinc/58/91/29/365589129.db2.gz VZVZLEOWRRXTSK-VHSXEESVSA-N -1 1 303.366 1.745 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ncccc1F ZINC000614387756 361832994 /nfs/dbraw/zinc/83/29/94/361832994.db2.gz CXPNDWNYLAZWLI-ZJUUUORDSA-N -1 1 320.324 1.819 20 0 DDADMM CCN1CCN(C(=O)c2cccc(-c3nc(=O)o[n-]3)c2)[C@@H](C)C1 ZINC000614710773 361985479 /nfs/dbraw/zinc/98/54/79/361985479.db2.gz NBFUNLHVPRFNOI-NSHDSACASA-N -1 1 316.361 1.196 20 0 DDADMM O=S(=O)([N-]CCOCC(F)F)c1sccc1Cl ZINC000451451423 231100864 /nfs/dbraw/zinc/10/08/64/231100864.db2.gz LDSVLMJEUSTKCT-UHFFFAOYSA-N -1 1 305.755 1.962 20 0 DDADMM CC[C@@H](C)c1n[nH]c([C@H](C)N=c2nc(CCOC)[n-]s2)n1 ZINC000444833295 529431767 /nfs/dbraw/zinc/43/17/67/529431767.db2.gz BLEMKSHAUHXPDO-BDAKNGLRSA-N -1 1 310.427 1.954 20 0 DDADMM CC[C@@H](C)c1nc([C@H](C)N=c2nc(CCOC)[n-]s2)n[nH]1 ZINC000444833295 529431769 /nfs/dbraw/zinc/43/17/69/529431769.db2.gz BLEMKSHAUHXPDO-BDAKNGLRSA-N -1 1 310.427 1.954 20 0 DDADMM CCC(CC)CS(=O)(=O)[N-][C@@]1(C(=O)OC)CCSC1 ZINC000340072101 282172730 /nfs/dbraw/zinc/17/27/30/282172730.db2.gz XQQTYYKLSFKVIA-LBPRGKRZSA-N -1 1 309.453 1.391 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@@H](O)C(C)C ZINC000416626668 529648352 /nfs/dbraw/zinc/64/83/52/529648352.db2.gz QFEIOHMVHXTWMA-QWRGUYRKSA-N -1 1 306.453 1.834 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C)cc(OC)c1O)c1nn[n-]n1 ZINC000092424793 185317402 /nfs/dbraw/zinc/31/74/02/185317402.db2.gz CNYPTZZRTGAIKE-SNVBAGLBSA-N -1 1 305.338 1.494 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C[C@H]1COCCO1)C(F)(F)F ZINC000615226647 362204467 /nfs/dbraw/zinc/20/44/67/362204467.db2.gz BESFICQQUOADIZ-YUMQZZPRSA-N -1 1 323.271 1.346 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2[C@H]3CCO[C@H]3C2(C)C)sc1C ZINC000333298712 539319286 /nfs/dbraw/zinc/31/92/86/539319286.db2.gz SMISMHUYXAWEAE-GMTAPVOTSA-N -1 1 316.448 1.852 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2CCCCCC2)o1 ZINC000452796102 530028258 /nfs/dbraw/zinc/02/82/58/530028258.db2.gz MSXFEUVCNWWGGQ-UHFFFAOYSA-N -1 1 314.407 1.888 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2O[C@H](C)C[C@@H]2C)c1Br ZINC000616009643 362519270 /nfs/dbraw/zinc/51/92/70/362519270.db2.gz YDUZLFJSXXFEQA-CCGCGBOQSA-N -1 1 302.172 1.655 20 0 DDADMM CC(C)(C)[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000358310745 299117735 /nfs/dbraw/zinc/11/77/35/299117735.db2.gz OAWRDKRIBKSOTK-JTQLQIEISA-N -1 1 303.366 1.316 20 0 DDADMM CCOCCN(Cc1ccc(C(=O)[O-])o1)Cc1n[nH]c(C)n1 ZINC000579251092 422737096 /nfs/dbraw/zinc/73/70/96/422737096.db2.gz YGMUFXXDZNIGEG-UHFFFAOYSA-N -1 1 308.338 1.443 20 0 DDADMM CO[C@@H]1COC[C@@H]1NC(=O)c1ccc(Br)c([O-])c1 ZINC000458364561 232371419 /nfs/dbraw/zinc/37/14/19/232371419.db2.gz TUSFVEVMRSGLQH-GXSJLCMTSA-N -1 1 316.151 1.298 20 0 DDADMM CC(C)(C)OC(=O)NCCCCNC(=O)c1ncccc1[O-] ZINC000272691592 210098308 /nfs/dbraw/zinc/09/83/08/210098308.db2.gz PSYXFCIZPFTKRS-UHFFFAOYSA-N -1 1 309.366 1.822 20 0 DDADMM CC(C)C[C@@H](CNC(=O)[C@H]1Cc2ccccc2CN1)C(=O)[O-] ZINC000316500065 290811116 /nfs/dbraw/zinc/81/11/16/290811116.db2.gz VMBMMHCMCUIOPD-LSDHHAIUSA-N -1 1 304.390 1.564 20 0 DDADMM CN(C[C@@H]1CCCC[C@H]1O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000286966996 219249075 /nfs/dbraw/zinc/24/90/75/219249075.db2.gz AEVLTLPSJJNPSE-OCOKWYOVSA-N -1 1 317.393 1.357 20 0 DDADMM O=C1NC(=O)[C@]2(CCCN(Cc3ccnc4ccccc34)C2)N1 ZINC000564772757 304012310 /nfs/dbraw/zinc/01/23/10/304012310.db2.gz RYCQYDYFDFYQIH-QGZVFWFLSA-N -1 1 310.357 1.409 20 0 DDADMM COC(=O)[C@H](CF)[N-]S(=O)(=O)c1cc(F)ccc1Cl ZINC000287126899 219331326 /nfs/dbraw/zinc/33/13/26/219331326.db2.gz AZFWHWBPLQVRDH-QMMMGPOBSA-N -1 1 313.709 1.269 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCc2cc(C)ccc2O1)c1nn[n-]n1 ZINC000280038693 215330289 /nfs/dbraw/zinc/33/02/89/215330289.db2.gz VJIMBNDMGLELNU-GXTWGEPZSA-N -1 1 315.377 1.859 20 0 DDADMM O=C(N[C@@H]1CCCN(C2CCCCC2)C1=O)c1ncccc1[O-] ZINC000277195543 213359377 /nfs/dbraw/zinc/35/93/77/213359377.db2.gz XDWYKNFLGQHHBW-CYBMUJFWSA-N -1 1 317.389 1.841 20 0 DDADMM Cc1noc([N-]C(=O)c2nc(C)n(-c3ccc(F)cc3)n2)n1 ZINC000042703608 352348006 /nfs/dbraw/zinc/34/80/06/352348006.db2.gz ZROZHGCNMIXFRG-UHFFFAOYSA-N -1 1 302.269 1.659 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@](C)(O)C1CC1 ZINC000331959689 234320827 /nfs/dbraw/zinc/32/08/27/234320827.db2.gz ZTAGJOTUKYRORX-MRXNPFEDSA-N -1 1 307.394 1.679 20 0 DDADMM O=S(=O)(Cc1nc(CC(F)(F)F)no1)c1ccc([O-])cc1 ZINC000078797442 353533094 /nfs/dbraw/zinc/53/30/94/353533094.db2.gz PZMNKECWEUULIZ-UHFFFAOYSA-N -1 1 322.264 1.854 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)Cc1ccccc1F)C(=O)OC ZINC000091052221 353807303 /nfs/dbraw/zinc/80/73/03/353807303.db2.gz MCORSHOCJOBBPK-LBPRGKRZSA-N -1 1 303.355 1.587 20 0 DDADMM CN(C)C(=O)N1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000121391635 354019401 /nfs/dbraw/zinc/01/94/01/354019401.db2.gz UPQAKXSTWUBCMS-UHFFFAOYSA-N -1 1 311.769 1.485 20 0 DDADMM C[C@H](CO)[C@@H]1CCCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000617860443 363346073 /nfs/dbraw/zinc/34/60/73/363346073.db2.gz VMLZIBKJKYMUEZ-MFKMUULPSA-N -1 1 309.435 1.762 20 0 DDADMM CC(C)n1nnnc1CN1CC[C@](C(=O)[O-])(c2ccccc2)C1 ZINC000584785992 354768590 /nfs/dbraw/zinc/76/85/90/354768590.db2.gz WOFXONVXLQHKQZ-MRXNPFEDSA-N -1 1 315.377 1.482 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCc3ccccc3F)nc2n1 ZINC000588006810 354892708 /nfs/dbraw/zinc/89/27/08/354892708.db2.gz WMMXCSSLKQXEBZ-UHFFFAOYSA-N -1 1 315.308 1.436 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)CSC)o1 ZINC000358855088 291056575 /nfs/dbraw/zinc/05/65/75/291056575.db2.gz IEPGADRKIBIFQR-QMMMGPOBSA-N -1 1 307.393 1.486 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)NC(=O)C2CCCC2)n1 ZINC000591302407 355285136 /nfs/dbraw/zinc/28/51/36/355285136.db2.gz WKCGSFOABBEZMJ-SECBINFHSA-N -1 1 322.365 1.220 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCS[C@H]2C)o1 ZINC000592115126 355503788 /nfs/dbraw/zinc/50/37/88/355503788.db2.gz QZGWUVJCBIOOCA-IUCAKERBSA-N -1 1 319.404 1.629 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)C[C@H](OC)C(C)C)C(=O)OCC ZINC000592046669 355476563 /nfs/dbraw/zinc/47/65/63/355476563.db2.gz FPDBAWVAKHYSEN-KELULYIISA-N -1 1 321.439 1.475 20 0 DDADMM Cc1nc(SCC(=O)NCc2ccccc2)[n-]c(=O)c1C ZINC000005155215 181211372 /nfs/dbraw/zinc/21/13/72/181211372.db2.gz IQJXPADFUNXABZ-UHFFFAOYSA-N -1 1 303.387 1.795 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)c1ccc(Cl)s1 ZINC000593632369 355948773 /nfs/dbraw/zinc/94/87/73/355948773.db2.gz VCTKUMJDAFFYPZ-LURJTMIESA-N -1 1 315.775 1.581 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2cnccc2C)o1 ZINC000594819736 356327265 /nfs/dbraw/zinc/32/72/65/356327265.db2.gz VJUYSSZEABDFHW-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCC[C@@H](C)O2)c1 ZINC000594853253 356338347 /nfs/dbraw/zinc/33/83/47/356338347.db2.gz WNPHYXOEQNNWSB-MWLCHTKSSA-N -1 1 317.363 1.302 20 0 DDADMM CC[C@H](COC)[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595311315 356443330 /nfs/dbraw/zinc/44/33/30/356443330.db2.gz IPMBOEMKWJTUOV-SECBINFHSA-N -1 1 305.352 1.078 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C)n([C@@H](C)C2CC2)n1)c1nn[n-]n1 ZINC000347594833 283236314 /nfs/dbraw/zinc/23/63/14/283236314.db2.gz HKKAPMHJZCWNMR-CMPLNLGQSA-N -1 1 317.397 1.947 20 0 DDADMM CC(C)(C)[C@H](CO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000276831410 213121005 /nfs/dbraw/zinc/12/10/05/213121005.db2.gz OQGOVYHRNLYRHY-VIFPVBQESA-N -1 1 311.325 1.789 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C(=O)OC)oc2C)n1 ZINC000598730032 357707046 /nfs/dbraw/zinc/70/70/46/357707046.db2.gz XJJSTOBWQLITTE-UHFFFAOYSA-N -1 1 321.289 1.527 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]CC=C(C)C)c1F ZINC000599290742 357878629 /nfs/dbraw/zinc/87/86/29/357878629.db2.gz ZXYWBMVGZIKSHP-UHFFFAOYSA-N -1 1 319.329 1.996 20 0 DDADMM CC[C@@H]1C[C@H]1[N-]S(=O)(=O)c1cc(F)cc(C(=O)OC)c1F ZINC000599298219 357881927 /nfs/dbraw/zinc/88/19/27/357881927.db2.gz UVSDZRDHZFJDLN-GMSGAONNSA-N -1 1 319.329 1.828 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC[C@H]2CCCOC2)c1 ZINC000599364963 357911080 /nfs/dbraw/zinc/91/10/80/357911080.db2.gz PUSLPBWYCZATHX-SNVBAGLBSA-N -1 1 317.363 1.161 20 0 DDADMM CCCNC(=O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180336668 199147386 /nfs/dbraw/zinc/14/73/86/199147386.db2.gz KQZVVFHTZSOMDM-UHFFFAOYSA-N -1 1 304.268 1.667 20 0 DDADMM CC(C)C(=O)NCCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180356001 199149607 /nfs/dbraw/zinc/14/96/07/199149607.db2.gz GLNLXQBSKWWSSZ-UHFFFAOYSA-N -1 1 318.295 1.913 20 0 DDADMM Cc1cc(C(=O)NCc2nn[n-]n2)c(C)n1CC(F)(F)F ZINC000600503126 358242902 /nfs/dbraw/zinc/24/29/02/358242902.db2.gz AETLXWYHBXEHPO-UHFFFAOYSA-N -1 1 302.260 1.110 20 0 DDADMM CN(Cc1nc[nH]n1)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180574992 199178505 /nfs/dbraw/zinc/17/85/05/199178505.db2.gz GTAHQNROFOLGGI-UHFFFAOYSA-N -1 1 300.240 1.801 20 0 DDADMM CC(C)Cc1nc(=NC(=O)c2ccn(CCN(C)C)n2)s[n-]1 ZINC000618350147 363601576 /nfs/dbraw/zinc/60/15/76/363601576.db2.gz RNNAIWSDNYQKHG-UHFFFAOYSA-N -1 1 322.438 1.169 20 0 DDADMM O=C(Cn1ccc(C(F)F)n1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000624527330 366429448 /nfs/dbraw/zinc/42/94/48/366429448.db2.gz YNDIMMVITCXISM-UHFFFAOYSA-N -1 1 310.186 1.596 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@H](C)O[C@@H]2C)o1 ZINC000601499561 358577048 /nfs/dbraw/zinc/57/70/48/358577048.db2.gz QKSXMAOWTDSWMV-IVZWLZJFSA-N -1 1 317.363 1.301 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)[C@@H]1CCC[C@H]1OC)C(=O)OCC ZINC000601393304 358532909 /nfs/dbraw/zinc/53/29/09/358532909.db2.gz FMLKOBNNZRFLMU-VXFYQTAOSA-N -1 1 319.423 1.371 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)CC1(OC)CCCC1)C(=O)OC ZINC000601392722 358532995 /nfs/dbraw/zinc/53/29/95/358532995.db2.gz PVZOPOZXFUOKET-GFCCVEGCSA-N -1 1 321.439 1.597 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)[C@@H](C)S[C@H](C)C(=O)[O-])CC1 ZINC000602001515 358772134 /nfs/dbraw/zinc/77/21/34/358772134.db2.gz FNQPLKKNLDRDIG-VXGBXAGGSA-N -1 1 316.467 1.914 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2CCC3(CC3)CC2)n[n-]1 ZINC000603020592 359362072 /nfs/dbraw/zinc/36/20/72/359362072.db2.gz HMFVTPUGDZYOBL-UHFFFAOYSA-N -1 1 306.366 1.568 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2CCC3(CC3)CC2)n1 ZINC000603020592 359362079 /nfs/dbraw/zinc/36/20/79/359362079.db2.gz HMFVTPUGDZYOBL-UHFFFAOYSA-N -1 1 306.366 1.568 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2ccc(F)cc2F)n[n-]1 ZINC000603020210 359362649 /nfs/dbraw/zinc/36/26/49/359362649.db2.gz YSPSHDRCGJCQCK-UHFFFAOYSA-N -1 1 324.287 1.119 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2ccc(F)cc2F)n1 ZINC000603020210 359362653 /nfs/dbraw/zinc/36/26/53/359362653.db2.gz YSPSHDRCGJCQCK-UHFFFAOYSA-N -1 1 324.287 1.119 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CC[C@@H](C)[C@H](C)C2)n[n-]1 ZINC000603024643 359367046 /nfs/dbraw/zinc/36/70/46/359367046.db2.gz YAIHHDGKNTUNKC-GMTAPVOTSA-N -1 1 308.382 1.670 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CC[C@@H](C)[C@H](C)C2)n1 ZINC000603024643 359367050 /nfs/dbraw/zinc/36/70/50/359367050.db2.gz YAIHHDGKNTUNKC-GMTAPVOTSA-N -1 1 308.382 1.670 20 0 DDADMM CSC[C@@H](CCO)NC(=O)N=c1[n-]sc2ccccc21 ZINC000603049829 359377305 /nfs/dbraw/zinc/37/73/05/359377305.db2.gz BXUBDNXALDGFKM-SECBINFHSA-N -1 1 311.432 1.954 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccc2c(c1)OCO2 ZINC000618488628 363650690 /nfs/dbraw/zinc/65/06/90/363650690.db2.gz YUBSALQKTRVBPO-AWEZNQCLSA-N -1 1 323.312 1.448 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(F)s2)n[n-]1 ZINC000603153338 359440070 /nfs/dbraw/zinc/44/00/70/359440070.db2.gz HENDXNFYLRMCHJ-ZCFIWIBFSA-N -1 1 312.326 1.673 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(F)s2)[n-]1 ZINC000603153338 359440073 /nfs/dbraw/zinc/44/00/73/359440073.db2.gz HENDXNFYLRMCHJ-ZCFIWIBFSA-N -1 1 312.326 1.673 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(F)s2)n1 ZINC000603153338 359440076 /nfs/dbraw/zinc/44/00/76/359440076.db2.gz HENDXNFYLRMCHJ-ZCFIWIBFSA-N -1 1 312.326 1.673 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](C)c2ccccc2)n[n-]1 ZINC000603157959 359443809 /nfs/dbraw/zinc/44/38/09/359443809.db2.gz XKRAKZNVBXVPLG-WDEREUQCSA-N -1 1 316.361 1.962 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](C)c2ccccc2)[n-]1 ZINC000603157959 359443814 /nfs/dbraw/zinc/44/38/14/359443814.db2.gz XKRAKZNVBXVPLG-WDEREUQCSA-N -1 1 316.361 1.962 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](C)c2ccccc2)n1 ZINC000603157959 359443820 /nfs/dbraw/zinc/44/38/20/359443820.db2.gz XKRAKZNVBXVPLG-WDEREUQCSA-N -1 1 316.361 1.962 20 0 DDADMM COC(=O)[C@](C)(CCF)[N-]S(=O)(=O)C[C@@]1(C)CC1(F)F ZINC000603381631 359604927 /nfs/dbraw/zinc/60/49/27/359604927.db2.gz HYYDRNVWGXYPQU-ZJUUUORDSA-N -1 1 317.329 1.242 20 0 DDADMM COC(=O)c1c(F)cccc1S(=O)(=O)[N-][C@@H](C)C(F)F ZINC000603424643 359632263 /nfs/dbraw/zinc/63/22/63/359632263.db2.gz SZUVPVBMWFAUSY-LURJTMIESA-N -1 1 311.281 1.544 20 0 DDADMM COc1cc(C(=O)N[C@@H]2COC[C@H]2OC)cc(Cl)c1[O-] ZINC000565718149 304085737 /nfs/dbraw/zinc/08/57/37/304085737.db2.gz FCUGLXZVXMTJAJ-MWLCHTKSSA-N -1 1 301.726 1.198 20 0 DDADMM CCOc1cc(C(=O)NCC(=O)N(C)CC)cc(Cl)c1[O-] ZINC000187248664 200083157 /nfs/dbraw/zinc/08/31/57/200083157.db2.gz FJJNUGPQCTZLQS-UHFFFAOYSA-N -1 1 314.769 1.652 20 0 DDADMM CSCCNC(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000604044067 359713948 /nfs/dbraw/zinc/71/39/48/359713948.db2.gz SCWQQCMMWSUCCE-UHFFFAOYSA-N -1 1 318.402 1.574 20 0 DDADMM O=C(N=c1[n-]ncn1Cc1ccccc1)c1cccc2[nH]ncc21 ZINC000605145822 359834271 /nfs/dbraw/zinc/83/42/71/359834271.db2.gz OTBCPTXQJSFSCK-UHFFFAOYSA-N -1 1 318.340 1.877 20 0 DDADMM COc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)ccc1C ZINC000605381625 359848209 /nfs/dbraw/zinc/84/82/09/359848209.db2.gz GUPOFKFDNSAPJS-UHFFFAOYSA-N -1 1 301.350 1.716 20 0 DDADMM Cc1nnc(CCNC(=O)c2ccc3n[n-]c(=S)n3c2)s1 ZINC000292645821 223182789 /nfs/dbraw/zinc/18/27/89/223182789.db2.gz KRGVRJHRHICJLK-UHFFFAOYSA-N -1 1 320.403 1.150 20 0 DDADMM Cn1ncc(C2CCC2)c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612816163 361155681 /nfs/dbraw/zinc/15/56/81/361155681.db2.gz KKOOCMYZWXMXNA-NSHDSACASA-N -1 1 315.381 1.221 20 0 DDADMM O=C(CC1(n2cnnn2)CCOCC1)Nc1c([O-])cccc1F ZINC000613027330 361245105 /nfs/dbraw/zinc/24/51/05/361245105.db2.gz KNWQRLWORSQRDM-UHFFFAOYSA-N -1 1 321.312 1.052 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000613251084 361348973 /nfs/dbraw/zinc/34/89/73/361348973.db2.gz XIQDJUJSKBPMPB-GDGBQDQQSA-N -1 1 314.338 1.028 20 0 DDADMM CCOC(=O)C1(O)CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000613509617 361448916 /nfs/dbraw/zinc/44/89/16/361448916.db2.gz XKMNADUGHXJNRV-UHFFFAOYSA-N -1 1 311.309 1.062 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CCN1CCO ZINC000613697758 361525155 /nfs/dbraw/zinc/52/51/55/361525155.db2.gz LOISOOKBTRCKOQ-GFCCVEGCSA-N -1 1 315.373 1.079 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cc(CN(C)C)ccn2)cn1 ZINC000194794688 201289714 /nfs/dbraw/zinc/28/97/14/201289714.db2.gz WDNAMTCWBYPHJN-UHFFFAOYSA-N -1 1 322.390 1.348 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1CC[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000566009326 304110004 /nfs/dbraw/zinc/11/00/04/304110004.db2.gz NNRFNIUQUBULIU-QWRGUYRKSA-N -1 1 324.343 1.828 20 0 DDADMM CN1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CCC1=O ZINC000619061018 363878291 /nfs/dbraw/zinc/87/82/91/363878291.db2.gz KIJZRWLNKGEPCB-UHFFFAOYSA-N -1 1 316.279 1.715 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(=O)c2ccc(F)cc2)c[n-]1 ZINC000619448043 364015946 /nfs/dbraw/zinc/01/59/46/364015946.db2.gz ZULHYJSNMZFYLZ-UHFFFAOYSA-N -1 1 305.265 1.547 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N(CCN1CCOCC1)CC1CC1 ZINC000619888042 364176913 /nfs/dbraw/zinc/17/69/13/364176913.db2.gz VICSQNHXPCLGKJ-UHFFFAOYSA-N -1 1 322.380 1.716 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2nn(C)cc2C)c(=O)[n-]1 ZINC000620919789 364608711 /nfs/dbraw/zinc/60/87/11/364608711.db2.gz RKYNNYDBLYOZHM-UHFFFAOYSA-N -1 1 321.406 1.826 20 0 DDADMM Fc1ccc(Cc2nc(=NCCN3CCCOCC3)[n-]o2)cc1 ZINC000621297454 364780989 /nfs/dbraw/zinc/78/09/89/364780989.db2.gz OKKWTPBWXVBNEI-UHFFFAOYSA-N -1 1 320.368 1.356 20 0 DDADMM CO[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000292988541 223339640 /nfs/dbraw/zinc/33/96/40/223339640.db2.gz FWQCMHDGOPHIFU-RNFRBKRXSA-N -1 1 315.317 1.141 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)N=c1nc(C(C)(C)C)[n-]s1 ZINC000579439474 422767188 /nfs/dbraw/zinc/76/71/88/422767188.db2.gz GCLAWKDBCLLHMD-JTQLQIEISA-N -1 1 311.455 1.815 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1cscn1 ZINC000091160857 193008990 /nfs/dbraw/zinc/00/89/90/193008990.db2.gz WBHCSGWDQVQLSQ-UHFFFAOYSA-N -1 1 315.351 1.906 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)O1 ZINC000091160214 193009592 /nfs/dbraw/zinc/00/95/92/193009592.db2.gz VPUJMXOZMWELPP-PELKAZGASA-N -1 1 316.354 1.703 20 0 DDADMM O=S(=O)([N-][C@H](CCc1ccccc1)C(F)F)c1c[nH]cn1 ZINC000625424496 366917425 /nfs/dbraw/zinc/91/74/25/366917425.db2.gz VQSDMLQFDIXMNJ-LLVKDONJSA-N -1 1 315.345 1.955 20 0 DDADMM O=S(=O)([N-][C@@H]1CO[C@H](C2CC2)C1)c1cc(F)ccc1F ZINC000625577873 367027118 /nfs/dbraw/zinc/02/71/18/367027118.db2.gz CLYMDKSJZUYISY-JQWIXIFHSA-N -1 1 303.330 1.811 20 0 DDADMM Cn1nc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cc1C1CC1 ZINC000359268282 299387391 /nfs/dbraw/zinc/38/73/91/299387391.db2.gz XTMFAFXQFOFHLZ-UHFFFAOYSA-N -1 1 300.244 1.687 20 0 DDADMM Cc1ccc(Cl)cc1N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000359276851 299391302 /nfs/dbraw/zinc/39/13/02/299391302.db2.gz BAZZGLFCAGYVJC-UHFFFAOYSA-N -1 1 317.736 1.656 20 0 DDADMM C[C@@H](CS(C)(=O)=O)N(C)CC[C@H](C(=O)[O-])c1ccccc1 ZINC000566358707 304148476 /nfs/dbraw/zinc/14/84/76/304148476.db2.gz LDDJHGLRFLYYGW-JSGCOSHPSA-N -1 1 313.419 1.610 20 0 DDADMM C[C@H](CS(C)(=O)=O)N(C)CC[C@H](C(=O)[O-])c1ccccc1 ZINC000566358708 304148563 /nfs/dbraw/zinc/14/85/63/304148563.db2.gz LDDJHGLRFLYYGW-OCCSQVGLSA-N -1 1 313.419 1.610 20 0 DDADMM O=C([O-])[C@@]12CCC[C@H]1CN(CCS(=O)(=O)c1ccccc1)C2 ZINC000262177323 203221632 /nfs/dbraw/zinc/22/16/32/203221632.db2.gz PRZXKABXSKRAIR-XJKSGUPXSA-N -1 1 323.414 1.647 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)Nc1ccc(OC)c(OC)c1)C(=O)[O-] ZINC000262251726 203240733 /nfs/dbraw/zinc/24/07/33/203240733.db2.gz DKRUVQMLECHJCW-PWSUYJOCSA-N -1 1 324.377 1.874 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)NC(=O)NCc1ccccc1)C(=O)[O-] ZINC000262291100 203251124 /nfs/dbraw/zinc/25/11/24/203251124.db2.gz NOCCYCBEVSZLRC-YPMHNXCESA-N -1 1 321.377 1.244 20 0 DDADMM CCC[C@@H](NCC(=O)Nc1ccc(OC)c(OC)c1)C(=O)[O-] ZINC000262324030 203262453 /nfs/dbraw/zinc/26/24/53/203262453.db2.gz WLPASRMFMFCZRZ-LLVKDONJSA-N -1 1 310.350 1.485 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC000635019806 422773255 /nfs/dbraw/zinc/77/32/55/422773255.db2.gz YPOWCXUCVIHASF-NXEZZACHSA-N -1 1 310.316 1.440 20 0 DDADMM NC(=O)c1cc(-c2csc(CN3CCOCC3)n2)ccc1[O-] ZINC000264635362 204043302 /nfs/dbraw/zinc/04/33/02/204043302.db2.gz SOOOFSNHHAWSKD-UHFFFAOYSA-N -1 1 319.386 1.447 20 0 DDADMM CCc1nc(SC[C@@H](C)CS(C)(=O)=O)[n-]c(=O)c1C ZINC000566498068 304164444 /nfs/dbraw/zinc/16/44/44/304164444.db2.gz AJVJLMLUJOSTDC-MRVPVSSYSA-N -1 1 304.437 1.826 20 0 DDADMM CC(=O)CCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000282752330 217224229 /nfs/dbraw/zinc/22/42/29/217224229.db2.gz OEUASHKVAAHSPU-UHFFFAOYSA-N -1 1 302.327 1.505 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H](C)N2CCSCC2)c1 ZINC000282773498 217241664 /nfs/dbraw/zinc/24/16/64/217241664.db2.gz LPXPHDNDYRJHCE-SNVBAGLBSA-N -1 1 324.402 1.555 20 0 DDADMM Cc1ccc(F)c(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282923177 217327576 /nfs/dbraw/zinc/32/75/76/217327576.db2.gz OAJIODKLAIOTIQ-JTQLQIEISA-N -1 1 304.325 1.565 20 0 DDADMM Cc1nc(-c2ccc(NCC[C@H](O)C(F)F)nc2)[n-]c(=O)c1C ZINC000631463073 422778762 /nfs/dbraw/zinc/77/87/62/422778762.db2.gz XOBNZSBLVOHQMM-NSHDSACASA-N -1 1 324.331 1.711 20 0 DDADMM CCC[C@H](NC(=O)Cn1ccc(C(F)(F)F)n1)c1nn[n-]n1 ZINC000267666515 206206646 /nfs/dbraw/zinc/20/66/46/206206646.db2.gz JHFOXOLRTVNKIO-ZETCQYMHSA-N -1 1 317.275 1.073 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccc(C)c(C)n2)o1 ZINC000416544229 307299561 /nfs/dbraw/zinc/29/95/61/307299561.db2.gz GHDHHGVDYJDABZ-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM O=C(NCC[C@H](O)C(F)(F)F)c1csc(=NC2CC2)[n-]1 ZINC000337041182 249325733 /nfs/dbraw/zinc/32/57/33/249325733.db2.gz MJNSEXLNVLJNPC-QMMMGPOBSA-N -1 1 309.313 1.182 20 0 DDADMM COc1cncc(S(=O)(=O)Nc2cccc(C(=O)[O-])c2C)c1 ZINC000337167642 249373533 /nfs/dbraw/zinc/37/35/33/249373533.db2.gz CNEKFSJHSAPUDZ-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM C[C@@H]1CN(C(=O)CCc2nn[n-]n2)C[C@H](c2ccc(F)cc2)O1 ZINC000631488096 422794350 /nfs/dbraw/zinc/79/43/50/422794350.db2.gz DFNZZKAFAXTGAO-ZWNOBZJWSA-N -1 1 319.340 1.260 20 0 DDADMM CCO[C@H]1COCC[C@@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338952975 250216995 /nfs/dbraw/zinc/21/69/95/250216995.db2.gz RYQFGOYOYRTWEY-UWVGGRQHSA-N -1 1 309.384 1.360 20 0 DDADMM CCOC(=O)CC[C@@H](C)[N-]S(=O)(=O)c1sccc1F ZINC000338939614 250208738 /nfs/dbraw/zinc/20/87/38/250208738.db2.gz MLKXCOPGNKBULO-MRVPVSSYSA-N -1 1 309.384 1.897 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc(N(C)C)c2)n1 ZINC000339174642 250328569 /nfs/dbraw/zinc/32/85/69/250328569.db2.gz OVJTXYRDXXEMLG-UHFFFAOYSA-N -1 1 302.334 1.905 20 0 DDADMM Cc1cnc(C(=O)NCc2ncnn2-c2ccccc2)c([O-])c1 ZINC000352142705 284817826 /nfs/dbraw/zinc/81/78/26/284817826.db2.gz WMZCSKYOTZEMDP-UHFFFAOYSA-N -1 1 309.329 1.606 20 0 DDADMM CCn1cnnc1NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000285637570 218554904 /nfs/dbraw/zinc/55/49/04/218554904.db2.gz DBTHOVLVKZQMAJ-UHFFFAOYSA-N -1 1 322.394 1.395 20 0 DDADMM COc1ccc(CN[C@@]2(C(=O)[O-])CCOC2)c(Cl)c1OC ZINC000340675163 251161501 /nfs/dbraw/zinc/16/15/01/251161501.db2.gz HFYSHSHBTSVNSU-AWEZNQCLSA-N -1 1 315.753 1.691 20 0 DDADMM C[C@H](NC(=O)N=c1[n-]nc(-c2ccccc2)s1)c1nnc[nH]1 ZINC000340848036 251241230 /nfs/dbraw/zinc/24/12/30/251241230.db2.gz OGSJXVQPIPUBJU-QMMMGPOBSA-N -1 1 315.362 1.628 20 0 DDADMM Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1CCC[C@@H]1CN(C)C ZINC000061643590 184180291 /nfs/dbraw/zinc/18/02/91/184180291.db2.gz PSEFCXQCHHJDCL-SNVBAGLBSA-N -1 1 316.379 1.001 20 0 DDADMM O=C(CS(=O)(=O)c1ccc([O-])cc1)Nc1cccc(F)c1 ZINC000063927351 184290340 /nfs/dbraw/zinc/29/03/40/184290340.db2.gz BBLIADVAQQRXFL-UHFFFAOYSA-N -1 1 309.318 1.944 20 0 DDADMM COCCN1CC[C@H](NC(=O)c2cc(F)cc(Cl)c2[O-])C1 ZINC000269202363 207322685 /nfs/dbraw/zinc/32/26/85/207322685.db2.gz IADKAMDCMPVMFI-JTQLQIEISA-N -1 1 316.760 1.635 20 0 DDADMM CSc1ccccc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352416636 285036282 /nfs/dbraw/zinc/03/62/82/285036282.db2.gz VWTJNVPRGUHJEQ-UHFFFAOYSA-N -1 1 301.331 1.392 20 0 DDADMM Cc1ccsc1CNC(=O)CN1C[C@H](C(=O)[O-])CC[C@H]1C ZINC000567857612 304248398 /nfs/dbraw/zinc/24/83/98/304248398.db2.gz JNHKSVHBIHSVNJ-VXGBXAGGSA-N -1 1 310.419 1.858 20 0 DDADMM O=C(N[C@H]1CCN(c2ccccn2)C1)c1cc(F)ccc1[O-] ZINC000159093426 197326419 /nfs/dbraw/zinc/32/64/19/197326419.db2.gz GASXZBXFMOZDHS-LBPRGKRZSA-N -1 1 301.321 1.935 20 0 DDADMM O=C([O-])c1cnc2ccccc2c1N1CCO[C@]2(CCOC2)C1 ZINC000567977951 304257786 /nfs/dbraw/zinc/25/77/86/304257786.db2.gz RTWHXWMDUOFZOS-QGZVFWFLSA-N -1 1 314.341 1.929 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1cc(C(N)=O)ccc1Cl ZINC000414369678 224327753 /nfs/dbraw/zinc/32/77/53/224327753.db2.gz JEASZZJEAIVYIF-UHFFFAOYSA-N -1 1 320.798 1.606 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NCc1nncn1C)c2=O ZINC000121970141 195383608 /nfs/dbraw/zinc/38/36/08/195383608.db2.gz XPRGYHHTPIMRIY-UHFFFAOYSA-N -1 1 313.317 1.008 20 0 DDADMM CCc1nc(SCCCN2C(=O)CNC2=O)[n-]c(=O)c1C ZINC000568272574 304282950 /nfs/dbraw/zinc/28/29/50/304282950.db2.gz YKUOGUKWVMYNDM-UHFFFAOYSA-N -1 1 310.379 1.087 20 0 DDADMM COc1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)cc1Cl ZINC000492066359 533245813 /nfs/dbraw/zinc/24/58/13/533245813.db2.gz GLPHRTARSHSDQO-ALCCZGGFSA-N -1 1 321.768 1.926 20 0 DDADMM C[C@H](NC(=O)c1csc(=NC2CC2)[n-]1)c1nnc2n1CCC2 ZINC000352934143 285394254 /nfs/dbraw/zinc/39/42/54/285394254.db2.gz GPQCSJVVVFDIBQ-QMMMGPOBSA-N -1 1 318.406 1.168 20 0 DDADMM COCc1nc(=N[C@H](C)C2CCN(C(=O)OC)CC2)s[n-]1 ZINC000492050509 533274215 /nfs/dbraw/zinc/27/42/15/533274215.db2.gz ZNFITTFMCPJEII-SECBINFHSA-N -1 1 314.411 1.385 20 0 DDADMM COC[C@@](C)(O)CC[N-]S(=O)(=O)c1sccc1Cl ZINC000451653424 533381932 /nfs/dbraw/zinc/38/19/32/533381932.db2.gz MMWLGYWTYBUMLB-JTQLQIEISA-N -1 1 313.828 1.467 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC(C)(C)c1ncc(C)s1 ZINC000433363997 533446016 /nfs/dbraw/zinc/44/60/16/533446016.db2.gz IWJONUTYENMAER-UHFFFAOYSA-N -1 1 324.362 1.326 20 0 DDADMM Cc1cccc(S(=O)(=O)CCCN(CC(=O)[O-])C2CC2)c1 ZINC000568641294 304303497 /nfs/dbraw/zinc/30/34/97/304303497.db2.gz HWIOBQRJZRMZKD-UHFFFAOYSA-N -1 1 311.403 1.708 20 0 DDADMM C[C@@H]1C[C@H](c2cccc(F)c2)N(C(=O)CCc2nn[n-]n2)C1 ZINC000631528229 422814051 /nfs/dbraw/zinc/81/40/51/422814051.db2.gz UOZALSXWLYKTFP-ZWNOBZJWSA-N -1 1 303.341 1.881 20 0 DDADMM COc1ccccc1C[C@@H](C)N(C)C(=O)CCc1nn[n-]n1 ZINC000631541157 422822213 /nfs/dbraw/zinc/82/22/13/422822213.db2.gz VRKXLHCYQOROMN-LLVKDONJSA-N -1 1 303.366 1.231 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCSc2ccccc21 ZINC000635122904 422823422 /nfs/dbraw/zinc/82/34/22/422823422.db2.gz SJRSUUZOUHQWEL-NSHDSACASA-N -1 1 303.391 1.876 20 0 DDADMM CO[C@](C)([C@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O)C1CC1 ZINC000412582332 286150939 /nfs/dbraw/zinc/15/09/39/286150939.db2.gz FNZFUOLDFBQIKQ-XXFAHNHDSA-N -1 1 318.377 1.607 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)Nc2cc(C)nn2C)n1 ZINC000011438593 406827656 /nfs/dbraw/zinc/82/76/56/406827656.db2.gz RHVDOLHFSNKUHJ-UHFFFAOYSA-N -1 1 321.406 1.907 20 0 DDADMM COc1cc(NC(=O)c2ccc[nH]2)ccc1[N-]S(C)(=O)=O ZINC000073771409 406893937 /nfs/dbraw/zinc/89/39/37/406893937.db2.gz DPYLTWMHNHRDRV-UHFFFAOYSA-N -1 1 309.347 1.647 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)Nc2cn[nH]c2)o1 ZINC000030644056 406933179 /nfs/dbraw/zinc/93/31/79/406933179.db2.gz QADNWDJKFXLSTG-UHFFFAOYSA-N -1 1 312.351 1.332 20 0 DDADMM CCC[C@@H](C)NC(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC000042091593 407011446 /nfs/dbraw/zinc/01/14/46/407011446.db2.gz ACIDQBLTDKIQBZ-LLVKDONJSA-N -1 1 314.389 1.988 20 0 DDADMM COCCS(=O)(=O)[N-]c1c(F)cccc1N1CCCC1 ZINC000077966308 407016219 /nfs/dbraw/zinc/01/62/19/407016219.db2.gz LRTKOPYVOGNOKO-UHFFFAOYSA-N -1 1 302.371 1.814 20 0 DDADMM O=C(COc1ccc(Cl)c(Cl)c1)NCc1nn[n-]n1 ZINC000044929275 407034285 /nfs/dbraw/zinc/03/42/85/407034285.db2.gz CMWOYBRINMFGDK-UHFFFAOYSA-N -1 1 302.121 1.202 20 0 DDADMM CNC(=O)[C@@H](C)[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC000045163903 407040094 /nfs/dbraw/zinc/04/00/94/407040094.db2.gz FBLCBVTUWPSDJC-ZCFIWIBFSA-N -1 1 311.190 1.406 20 0 DDADMM Cn1c([C@@H]2CCCN(C(=O)C3(O)CCCCC3)C2)n[n-]c1=S ZINC000086538821 407112984 /nfs/dbraw/zinc/11/29/84/407112984.db2.gz XDQCREKEUHFFES-LLVKDONJSA-N -1 1 324.450 1.879 20 0 DDADMM O=C(N[C@H]1CCCNC1=O)c1ccc(Br)cc1[O-] ZINC000049500952 407121652 /nfs/dbraw/zinc/12/16/52/407121652.db2.gz ZDBSVEYBNIGMFC-VIFPVBQESA-N -1 1 313.151 1.163 20 0 DDADMM COCCCCNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000047199135 407070492 /nfs/dbraw/zinc/07/04/92/407070492.db2.gz MSGKAXDMSHGIRS-UHFFFAOYSA-N -1 1 313.423 1.276 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(c2ccc(F)cc2)CC1 ZINC000082311697 407080651 /nfs/dbraw/zinc/08/06/51/407080651.db2.gz DONSWFLRIOMDMY-UHFFFAOYSA-N -1 1 301.321 1.889 20 0 DDADMM CC(C)(C)c1ccc(OCCCC(=O)[N-]OCC(N)=O)cc1 ZINC000089465768 407136051 /nfs/dbraw/zinc/13/60/51/407136051.db2.gz NGYPYQXLRDPFJB-UHFFFAOYSA-N -1 1 308.378 1.676 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCC[C@H](C)C2)o1 ZINC000055487392 407204026 /nfs/dbraw/zinc/20/40/26/407204026.db2.gz IBJCWSAAGYYWCK-QWRGUYRKSA-N -1 1 314.407 1.744 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1CC(=O)N(c2cccc3ccccc32)C1 ZINC000102894816 407328156 /nfs/dbraw/zinc/32/81/56/407328156.db2.gz RTQRWDMHEUAIQX-LLVKDONJSA-N -1 1 322.328 1.345 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1CC(=O)N(c2cccc3ccccc32)C1 ZINC000102894816 407328158 /nfs/dbraw/zinc/32/81/58/407328158.db2.gz RTQRWDMHEUAIQX-LLVKDONJSA-N -1 1 322.328 1.345 20 0 DDADMM CO[C@@](C)(C(=O)NCc1n[n-]c(=S)n1C)c1ccccc1 ZINC000067050007 407266211 /nfs/dbraw/zinc/26/62/11/407266211.db2.gz NAGBDSCLPFFKRR-CQSZACIVSA-N -1 1 306.391 1.656 20 0 DDADMM CCCOc1cccc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000124159347 407350155 /nfs/dbraw/zinc/35/01/55/407350155.db2.gz OIWWNTASIDAIMS-LBPRGKRZSA-N -1 1 317.393 1.793 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)c2cccnc2)o1 ZINC000107698500 407378868 /nfs/dbraw/zinc/37/88/68/407378868.db2.gz LPKAZIDJYUESMX-SECBINFHSA-N -1 1 310.331 1.501 20 0 DDADMM CCS(=O)(=O)C[C@H](C)NC(=O)c1cc(Cl)ccc1[O-] ZINC000113131726 407465914 /nfs/dbraw/zinc/46/59/14/407465914.db2.gz QACKGDQDGRMQRX-QMMMGPOBSA-N -1 1 305.783 1.599 20 0 DDADMM CCCS(=O)(=O)[N-]c1cn(C)cc(Br)c1=O ZINC000185690592 407479678 /nfs/dbraw/zinc/47/96/78/407479678.db2.gz YJAHDYNCMYBAPI-UHFFFAOYSA-N -1 1 309.185 1.300 20 0 DDADMM CN(CCN(C)C(=O)c1ncccc1[O-])C(=O)OC(C)(C)C ZINC000271065462 407497855 /nfs/dbraw/zinc/49/78/55/407497855.db2.gz DRAWGXUBSYXUDU-UHFFFAOYSA-N -1 1 309.366 1.726 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ccccc2C)c1 ZINC000151500839 407447423 /nfs/dbraw/zinc/44/74/23/407447423.db2.gz XFPSAPWXCXVJEM-UHFFFAOYSA-N -1 1 309.343 1.853 20 0 DDADMM COC(=O)CC1(NC(=O)c2cc(F)ccc2[O-])CCOCC1 ZINC000271379549 407660009 /nfs/dbraw/zinc/66/00/09/407660009.db2.gz JWYSDTQNYYWAQR-UHFFFAOYSA-N -1 1 311.309 1.373 20 0 DDADMM CC(C)CN1CCN(C(=O)NCc2ccc(C(=O)[O-])cc2)CC1 ZINC000261840013 407634780 /nfs/dbraw/zinc/63/47/80/407634780.db2.gz YMMDIKKWLZJHOK-UHFFFAOYSA-N -1 1 319.405 1.868 20 0 DDADMM COC(=O)C1(NC(=O)c2cc(F)ccc2[O-])CCSCC1 ZINC000271338539 407641776 /nfs/dbraw/zinc/64/17/76/407641776.db2.gz BLGOJMKFXPDYDJ-UHFFFAOYSA-N -1 1 313.350 1.700 20 0 DDADMM CO[N-]C(=O)[C@@H]1CC(=O)N(C)[C@@H]1c1ccc(C(F)(F)F)cc1 ZINC000115327727 407669300 /nfs/dbraw/zinc/66/93/00/407669300.db2.gz NAPMCCXTMFZBQE-ZYHUDNBSSA-N -1 1 316.279 1.902 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Br)c([O-])c2)CC[C@@H]1O ZINC000186601467 407716781 /nfs/dbraw/zinc/71/67/81/407716781.db2.gz KGMPILKLTHYPRB-KWQFWETISA-N -1 1 314.179 1.998 20 0 DDADMM Cc1cccc(CCNC(=O)c2c[n-]c3c(cnn3C)c2=O)c1 ZINC000132639978 407794208 /nfs/dbraw/zinc/79/42/08/407794208.db2.gz KTTRYXBCYVMQOW-UHFFFAOYSA-N -1 1 310.357 1.955 20 0 DDADMM CC[C@H](O)CC[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272154967 407796363 /nfs/dbraw/zinc/79/63/63/407796363.db2.gz DEJJBQWVZRYVOD-ZETCQYMHSA-N -1 1 313.206 1.828 20 0 DDADMM O=C(NCCc1csc(N2CCCC2)n1)c1ncccc1[O-] ZINC000117984344 407876478 /nfs/dbraw/zinc/87/64/78/407876478.db2.gz TWFPVMGXEBTOLZ-UHFFFAOYSA-N -1 1 318.402 1.816 20 0 DDADMM O=C(C[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1ccco1 ZINC000154000521 407949583 /nfs/dbraw/zinc/94/95/83/407949583.db2.gz XCYFJSMWJQSIQX-LLVKDONJSA-N -1 1 316.313 1.494 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1c[nH]c(=O)cc1C ZINC000268406181 408030020 /nfs/dbraw/zinc/03/00/20/408030020.db2.gz HJQJVCSMCIFGDM-UHFFFAOYSA-N -1 1 316.770 1.592 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000119070190 407985554 /nfs/dbraw/zinc/98/55/54/407985554.db2.gz RKBBOCPUSZFXBB-NSHDSACASA-N -1 1 306.362 1.981 20 0 DDADMM CNC(=O)c1cccc(CNC(=O)c2cc(F)ccc2[O-])c1 ZINC000175191611 408073245 /nfs/dbraw/zinc/07/32/45/408073245.db2.gz NBSQPNNNPFWRFO-UHFFFAOYSA-N -1 1 302.305 1.821 20 0 DDADMM CCC[C@H](NCC(=O)NCCCN(C)c1ccccc1)C(=O)[O-] ZINC000273174544 408091237 /nfs/dbraw/zinc/09/12/37/408091237.db2.gz GTNMCTINSHHPPI-HNNXBMFYSA-N -1 1 321.421 1.472 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2cccc(F)c2F)CN1C1CC1 ZINC000175288395 408096606 /nfs/dbraw/zinc/09/66/06/408096606.db2.gz CUQJBBOPVPYBDP-QMMMGPOBSA-N -1 1 316.329 1.006 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])C[N@@H+](CC(=O)NCCCc2ccccc2)C1 ZINC000263322357 408106718 /nfs/dbraw/zinc/10/67/18/408106718.db2.gz JUHNNJVISKNTNK-ZBFHGGJFSA-N -1 1 318.417 1.778 20 0 DDADMM COc1cccc(-c2cc(C(=O)N3CCC[C@@H]3C(=O)[O-])n[nH]2)c1 ZINC000263427783 408137930 /nfs/dbraw/zinc/13/79/30/408137930.db2.gz MMESSRBFTRMZCC-CQSZACIVSA-N -1 1 315.329 1.775 20 0 DDADMM C[C@H](O)CC(C)(C)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000182473695 408172662 /nfs/dbraw/zinc/17/26/62/408172662.db2.gz SEYSKQOGAKTHJU-QMMMGPOBSA-N -1 1 309.819 1.149 20 0 DDADMM O=C(c1noc2c1CCCCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000156636942 408265815 /nfs/dbraw/zinc/26/58/15/408265815.db2.gz VNYQKMDYWUNSBP-JTQLQIEISA-N -1 1 316.365 1.476 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)c1csc(Cl)c1 ZINC000190564443 408280311 /nfs/dbraw/zinc/28/03/11/408280311.db2.gz INHXVDXTUSJHRZ-UHFFFAOYSA-N -1 1 304.784 1.856 20 0 DDADMM COCCOc1ncccc1CNC(=O)c1ncccc1[O-] ZINC000274172969 408313157 /nfs/dbraw/zinc/31/31/57/408313157.db2.gz JCLFNSSEAPQPBK-UHFFFAOYSA-N -1 1 303.318 1.137 20 0 DDADMM Cc1ncsc1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132670047 162042418 /nfs/dbraw/zinc/04/24/18/162042418.db2.gz SRLUAUJWLGDDRD-UHFFFAOYSA-N -1 1 303.347 1.369 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1c(F)cccc1Cl)NCC1CC1 ZINC000133320231 162055777 /nfs/dbraw/zinc/05/57/77/162055777.db2.gz AVPNVVZDJPPLCX-UHFFFAOYSA-N -1 1 320.773 1.284 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2cc(F)ccc2[O-])[C@]12CCCO2 ZINC000274240336 408337679 /nfs/dbraw/zinc/33/76/79/408337679.db2.gz RDPOCAXIFHWRRI-SQWLQELKSA-N -1 1 309.337 1.988 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCC[C@@H](O)C1 ZINC000176428515 408362611 /nfs/dbraw/zinc/36/26/11/408362611.db2.gz QPTAIZUPDAENQI-VXGBXAGGSA-N -1 1 321.421 1.657 20 0 DDADMM O=C(NCCc1cn2c(n1)SCC2)c1cc(F)ccc1[O-] ZINC000274382184 408384678 /nfs/dbraw/zinc/38/46/78/408384678.db2.gz NCOMBJBFFWYGTK-UHFFFAOYSA-N -1 1 307.350 1.806 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)O[C@H]1CC[C@H](C)C1 ZINC000274352797 408374252 /nfs/dbraw/zinc/37/42/52/408374252.db2.gz STXRJLOMKOFXOR-CABZTGNLSA-N -1 1 303.362 1.914 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000183424270 408408153 /nfs/dbraw/zinc/40/81/53/408408153.db2.gz ABNBMSFSPQBCFH-DFVUYQKZSA-N -1 1 315.333 1.204 20 0 DDADMM CCC[C@@H](NC(=O)c1cc2nc(C)ccc2o1)c1nn[n-]n1 ZINC000176738457 408428002 /nfs/dbraw/zinc/42/80/02/408428002.db2.gz SERRIFAGFOOJMF-SECBINFHSA-N -1 1 300.322 1.921 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(N2CCCC2)nc1)c1nn[n-]n1 ZINC000176781415 408442513 /nfs/dbraw/zinc/44/25/13/408442513.db2.gz NXZKYKHJGDCGBM-GFCCVEGCSA-N -1 1 315.381 1.466 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnn(-c2ccc(C)cc2C)c1 ZINC000191898635 408505721 /nfs/dbraw/zinc/50/57/21/408505721.db2.gz HPCNOAPGVCONDX-UHFFFAOYSA-N -1 1 309.391 1.877 20 0 DDADMM CCc1ccc([C@H](C)CC(=O)NCCCc2nc(=O)[n-][nH]2)cc1 ZINC000176253550 162522988 /nfs/dbraw/zinc/52/29/88/162522988.db2.gz ALGNMTYLVMNKFG-GFCCVEGCSA-N -1 1 316.405 1.903 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2cc(C)no2)o1 ZINC000177354714 408586117 /nfs/dbraw/zinc/58/61/17/408586117.db2.gz CPXYJZDVPRRFJW-UHFFFAOYSA-N -1 1 314.319 1.231 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(COC(C)(C)C)on1)c1nn[n-]n1 ZINC000274791118 408533813 /nfs/dbraw/zinc/53/38/13/408533813.db2.gz QAKDXYDOOUHWIM-SECBINFHSA-N -1 1 322.369 1.379 20 0 DDADMM [O-]C(=NO[C@H]1CCCCO1)Nc1cnn(-c2ccccc2)n1 ZINC000274812960 408543416 /nfs/dbraw/zinc/54/34/16/408543416.db2.gz IFCGPSFJMOTQBT-ZDUSSCGKSA-N -1 1 303.322 1.847 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1cnn(-c2ccccc2)n1 ZINC000274812960 408543419 /nfs/dbraw/zinc/54/34/19/408543419.db2.gz IFCGPSFJMOTQBT-ZDUSSCGKSA-N -1 1 303.322 1.847 20 0 DDADMM C[C@]1(NC(=O)c2ccc3ccccc3c2[O-])CCS(=O)(=O)C1 ZINC000192698858 408635962 /nfs/dbraw/zinc/63/59/62/408635962.db2.gz FSYDGLGPQMZNEC-INIZCTEOSA-N -1 1 319.382 1.852 20 0 DDADMM O=C(CN1CCS[C@H]2COCC[C@H]21)[N-]OCc1ccccc1 ZINC000252736433 408808237 /nfs/dbraw/zinc/80/82/37/408808237.db2.gz VOBCQZUQXGPQEZ-CABCVRRESA-N -1 1 322.430 1.441 20 0 DDADMM Cc1c(=O)[n-]c(SCC(=O)N(C)C)nc1-c1ccccc1 ZINC000185051761 408747563 /nfs/dbraw/zinc/74/75/63/408747563.db2.gz JZCJYZCQEDEOQD-UHFFFAOYSA-N -1 1 303.387 1.926 20 0 DDADMM CCc1ccc(C(=O)Nc2ccn(CC(=O)N(C)C)n2)c([O-])c1 ZINC000276345445 408839776 /nfs/dbraw/zinc/83/97/76/408839776.db2.gz KKBITMQDMNOQOR-UHFFFAOYSA-N -1 1 316.361 1.492 20 0 DDADMM O=C(Nc1nnn[n-]1)C1(c2ccccc2Br)CC1 ZINC000190449479 163141893 /nfs/dbraw/zinc/14/18/93/163141893.db2.gz NFSOHCXSJTYHBS-UHFFFAOYSA-N -1 1 308.139 1.633 20 0 DDADMM O=C(Nc1nn[n-]n1)C1(c2ccccc2Br)CC1 ZINC000190449479 163141895 /nfs/dbraw/zinc/14/18/95/163141895.db2.gz NFSOHCXSJTYHBS-UHFFFAOYSA-N -1 1 308.139 1.633 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)F)c1ccc(Cl)cc1F ZINC000228621869 163342566 /nfs/dbraw/zinc/34/25/66/163342566.db2.gz OBJHMXKFTOUFCT-ZETCQYMHSA-N -1 1 303.689 1.383 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[O-])C(N)=O ZINC000286334045 408965958 /nfs/dbraw/zinc/96/59/58/408965958.db2.gz LRICJPWVDUPKLX-VIFPVBQESA-N -1 1 304.268 1.795 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1Cc1cncn1C ZINC000292100670 408980993 /nfs/dbraw/zinc/98/09/93/408980993.db2.gz HVDBEMZSYGTSAA-MWLCHTKSSA-N -1 1 304.316 1.452 20 0 DDADMM CCOC1CC(O)(C[N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC000277803557 409014722 /nfs/dbraw/zinc/01/47/22/409014722.db2.gz XYMYPWBROLLOQK-UHFFFAOYSA-N -1 1 321.345 1.173 20 0 DDADMM O=C(Nc1nc2c(s1)CCCCCC2)NN1CC(=O)[N-]C1=O ZINC000282254377 409020419 /nfs/dbraw/zinc/02/04/19/409020419.db2.gz WICXQFKPXUJRLR-UHFFFAOYSA-N -1 1 323.378 1.390 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2c(C)n[nH]c2C(F)F)cn1 ZINC000282432183 409053461 /nfs/dbraw/zinc/05/34/61/409053461.db2.gz MXQUQQPJLZICDS-UHFFFAOYSA-N -1 1 318.305 1.860 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C[N@@H+]1C1CC1 ZINC000283253115 409122350 /nfs/dbraw/zinc/12/23/50/409122350.db2.gz CQHVVQPGWNAGMP-KOLCDFICSA-N -1 1 317.418 1.373 20 0 DDADMM O=C([N-]OCC1CC1)[C@@H]1CC(=O)N(c2c(F)cccc2F)C1 ZINC000293849350 409193029 /nfs/dbraw/zinc/19/30/29/409193029.db2.gz UUILYNAPYYABRL-SNVBAGLBSA-N -1 1 310.300 1.776 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCC[C@@H]3CCCCO3)ccnc1-2 ZINC000279161288 409160950 /nfs/dbraw/zinc/16/09/50/409160950.db2.gz ANCDSETUDHXBQL-UQGHUHRHSA-N -1 1 303.366 1.423 20 0 DDADMM Cc1nocc1C[N@H+]1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000293804647 409183887 /nfs/dbraw/zinc/18/38/87/409183887.db2.gz DOJHEKQGMCOFRF-JTQLQIEISA-N -1 1 305.300 1.874 20 0 DDADMM CC[C@@H](CO)N1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000289466309 409277837 /nfs/dbraw/zinc/27/78/37/409277837.db2.gz OZVCHWVLHZKHAU-JTQLQIEISA-N -1 1 314.332 1.199 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)Cc2c(F)cccc2F)CCOC1=O ZINC000289465615 409278232 /nfs/dbraw/zinc/27/82/32/409278232.db2.gz GXTNQNDNCJFJGR-GFCCVEGCSA-N -1 1 305.302 1.090 20 0 DDADMM C[C@@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)CC[S@]1=O ZINC000290075976 409297523 /nfs/dbraw/zinc/29/75/23/409297523.db2.gz GBHAOVSTEUVEAE-ZPWHCFADSA-N -1 1 303.330 1.654 20 0 DDADMM C[C@H]1CCSCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295665795 409363890 /nfs/dbraw/zinc/36/38/90/409363890.db2.gz ISZUHRZXURNUJP-VIFPVBQESA-N -1 1 308.432 1.986 20 0 DDADMM CC1(C)OCC[C@@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000295188542 409364409 /nfs/dbraw/zinc/36/44/09/409364409.db2.gz CUSILDXJOFWIAM-VIFPVBQESA-N -1 1 309.309 1.950 20 0 DDADMM CC(C)(C)n1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000407999903 164194192 /nfs/dbraw/zinc/19/41/92/164194192.db2.gz WIOVTMSAHOGAOE-SNVBAGLBSA-N -1 1 319.365 1.747 20 0 DDADMM COc1cc(C(=O)N2C[C@@H]3CC[C@@H](O)[C@@H]3C2)cc(Cl)c1[O-] ZINC000408429339 164328926 /nfs/dbraw/zinc/32/89/26/164328926.db2.gz JVYJIPSTSWALPZ-MKPLZMMCSA-N -1 1 311.765 1.897 20 0 DDADMM O=C([C@H]1CCc2cccnc21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000408467943 164341151 /nfs/dbraw/zinc/34/11/51/164341151.db2.gz MPHVURLBKIIYRU-RYUDHWBXSA-N -1 1 314.345 1.606 20 0 DDADMM CCCC[C@@H]1CCC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337901899 409557045 /nfs/dbraw/zinc/55/70/45/409557045.db2.gz JPPQVYVCSNIHEF-OLZOCXBDSA-N -1 1 319.405 1.992 20 0 DDADMM CO[C@H](C)c1nc(=NCCCN2CCCCCC2=O)s[n-]1 ZINC000337913167 409563317 /nfs/dbraw/zinc/56/33/17/409563317.db2.gz LJXBMHDQJOUEHD-LLVKDONJSA-N -1 1 312.439 1.872 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2cccc(Cl)c2)[n-]1 ZINC000353891075 409544548 /nfs/dbraw/zinc/54/45/48/409544548.db2.gz FOTUNEXSFYTBGF-UHFFFAOYSA-N -1 1 301.755 1.578 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2cccc(Cl)c2)n[n-]1 ZINC000353891075 409544558 /nfs/dbraw/zinc/54/45/58/409544558.db2.gz FOTUNEXSFYTBGF-UHFFFAOYSA-N -1 1 301.755 1.578 20 0 DDADMM Cc1ccc(CNC(=O)CNC(=O)c2ncccc2[O-])c(C)c1 ZINC000337946499 409594086 /nfs/dbraw/zinc/59/40/86/409594086.db2.gz MSOBDUHSFPXRHA-UHFFFAOYSA-N -1 1 313.357 1.450 20 0 DDADMM C[C@]1(CO)CCC[C@@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000305139318 409698091 /nfs/dbraw/zinc/69/80/91/409698091.db2.gz VMHSNEBBADOYQN-QWHCGFSZSA-N -1 1 305.346 1.794 20 0 DDADMM CCOc1cc(C(=O)N(C)[C@H]2COC[C@@H]2O)cc(Cl)c1[O-] ZINC000342457207 409646970 /nfs/dbraw/zinc/64/69/70/409646970.db2.gz YVZUSEQBMFQXGL-QWRGUYRKSA-N -1 1 315.753 1.276 20 0 DDADMM CCOC(=O)c1cnc(C)c(C(=O)Nc2ccncc2[O-])c1 ZINC000342480719 409668074 /nfs/dbraw/zinc/66/80/74/409668074.db2.gz VDOWIFJOYONEBQ-UHFFFAOYSA-N -1 1 301.302 1.342 20 0 DDADMM C/C=C/C[C@@H]1CCCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000629870725 422847620 /nfs/dbraw/zinc/84/76/20/422847620.db2.gz GPYJIQVGQOBQGX-HMDXOVGESA-N -1 1 307.350 1.715 20 0 DDADMM O=C(NCc1nc(-c2cccs2)no1)c1ncccc1[O-] ZINC000338068656 409691838 /nfs/dbraw/zinc/69/18/38/409691838.db2.gz QWPOBZZCPJCMKU-UHFFFAOYSA-N -1 1 302.315 1.829 20 0 DDADMM NC(=O)Nc1cccc(NS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)c1 ZINC000315392366 409839608 /nfs/dbraw/zinc/83/96/08/409839608.db2.gz FQFBVQBIMHABJE-UHFFFAOYSA-N -1 1 324.318 1.004 20 0 DDADMM CC(C)COc1cccc(C(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000357071345 409841176 /nfs/dbraw/zinc/84/11/76/409841176.db2.gz KSKRQKUANVCXRV-UHFFFAOYSA-N -1 1 301.350 1.654 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCN(C3CCCC3)CC2)cn1 ZINC000316008858 409851592 /nfs/dbraw/zinc/85/15/92/409851592.db2.gz ZNJGVNYERJNPHA-UHFFFAOYSA-N -1 1 303.362 1.480 20 0 DDADMM Cc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)c(Br)c1 ZINC000357082049 409854618 /nfs/dbraw/zinc/85/46/18/409854618.db2.gz WRIDCUCZXPRGLQ-UHFFFAOYSA-N -1 1 322.166 1.690 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC[C@]2(C1)CCCOC2 ZINC000357093701 409869032 /nfs/dbraw/zinc/86/90/32/409869032.db2.gz LLGZNLDZIUIEOO-HNNXBMFYSA-N -1 1 323.418 1.855 20 0 DDADMM Cc1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c(C)n1 ZINC000357104761 409879114 /nfs/dbraw/zinc/87/91/14/409879114.db2.gz WLWWDLXHLQBZLV-UHFFFAOYSA-N -1 1 321.358 1.304 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)CCn3ccnn3)[nH][n-]2)s1 ZINC000338396307 409957554 /nfs/dbraw/zinc/95/75/54/409957554.db2.gz LLKHNCQKUVTVAS-UHFFFAOYSA-N -1 1 302.363 1.489 20 0 DDADMM O=C(COc1ccc(O)cc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332161379 409936665 /nfs/dbraw/zinc/93/66/65/409936665.db2.gz OWCAPSCSCYHMAC-JTQLQIEISA-N -1 1 319.317 1.266 20 0 DDADMM O=C(CCc1cncc(F)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332166354 409941038 /nfs/dbraw/zinc/94/10/38/409941038.db2.gz KXKSFLNCUXWLJY-NSHDSACASA-N -1 1 320.324 1.648 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000332252131 410015110 /nfs/dbraw/zinc/01/51/10/410015110.db2.gz MELAMSLZPLTUNC-LLVKDONJSA-N -1 1 315.366 1.571 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)cs1 ZINC000357368233 409996925 /nfs/dbraw/zinc/99/69/25/409996925.db2.gz TWISVQHKRSZQEL-ZCFIWIBFSA-N -1 1 324.362 1.406 20 0 DDADMM Cc1nc2n(n1)CCN(C(=O)Cc1ccc([O-])c(Cl)c1)C2 ZINC000631611607 422852994 /nfs/dbraw/zinc/85/29/94/422852994.db2.gz OTRMGUTZBFBWDU-UHFFFAOYSA-N -1 1 306.753 1.530 20 0 DDADMM COc1ccc(CCCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354780378 410084026 /nfs/dbraw/zinc/08/40/26/410084026.db2.gz XTZJWQWXWMVASS-UHFFFAOYSA-N -1 1 303.366 1.583 20 0 DDADMM Cc1cc(Cl)ccc1OCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000354785935 410084791 /nfs/dbraw/zinc/08/47/91/410084791.db2.gz NLZFEIBWGDZEEL-UHFFFAOYSA-N -1 1 309.757 1.592 20 0 DDADMM Cc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(=O)[nH]1 ZINC000332425536 410148757 /nfs/dbraw/zinc/14/87/57/410148757.db2.gz BVIUYJMBRZFVAD-UHFFFAOYSA-N -1 1 304.306 1.204 20 0 DDADMM C[C@@H](CC(=O)NC(C)(C)c1nn[n-]n1)NC(=O)C1CCCCC1 ZINC000354789690 410088959 /nfs/dbraw/zinc/08/89/59/410088959.db2.gz SFLDKRLXYBDDEQ-JTQLQIEISA-N -1 1 322.413 1.026 20 0 DDADMM CC(C)(NC(=O)[C@H]1COc2ccc(Cl)cc2C1)c1nn[n-]n1 ZINC000354793294 410091930 /nfs/dbraw/zinc/09/19/30/410091930.db2.gz PWOLLBWQUFSIEJ-SECBINFHSA-N -1 1 321.768 1.456 20 0 DDADMM O=C(Cc1cccc(O)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332374507 410106865 /nfs/dbraw/zinc/10/68/65/410106865.db2.gz FXKOCECQRVHAPE-NSHDSACASA-N -1 1 303.318 1.430 20 0 DDADMM O=C(Cc1cnccc1Cl)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332401375 410129898 /nfs/dbraw/zinc/12/98/98/410129898.db2.gz NIJZSYXAYPGWAN-VIFPVBQESA-N -1 1 322.752 1.772 20 0 DDADMM CNS(=O)(=O)C[C@H]1CCCN1Cc1cccc([O-])c1Cl ZINC000351713019 410173201 /nfs/dbraw/zinc/17/32/01/410173201.db2.gz IQLRWJOHVQMZLA-LLVKDONJSA-N -1 1 318.826 1.559 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](C(C)(C)C)C2)co1 ZINC000332710972 410228699 /nfs/dbraw/zinc/22/86/99/410228699.db2.gz OSYCGTYTJSTQTK-LLVKDONJSA-N -1 1 314.407 1.696 20 0 DDADMM CCc1nn(C)cc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351857128 410292636 /nfs/dbraw/zinc/29/26/36/410292636.db2.gz LVEUTLODTUIVIL-UHFFFAOYSA-N -1 1 305.338 1.091 20 0 DDADMM CC[C@@H](CNC(=O)CCc1nn[n-]n1)Oc1ccccc1F ZINC000631624801 422857953 /nfs/dbraw/zinc/85/79/53/422857953.db2.gz IHOXMFRDFLURBB-JTQLQIEISA-N -1 1 307.329 1.245 20 0 DDADMM CN(Cc1cscn1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358281663 410433319 /nfs/dbraw/zinc/43/33/19/410433319.db2.gz VCRGBLCRGDUCGD-UHFFFAOYSA-N -1 1 303.347 1.403 20 0 DDADMM O=C(Cc1ccc(F)cc1F)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000298907558 410446351 /nfs/dbraw/zinc/44/63/51/410446351.db2.gz LNGGOGREMRYSAP-JTQLQIEISA-N -1 1 322.315 1.325 20 0 DDADMM C[C@@H]1CN(C2CC2)C[C@@H]1NS(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000355469559 410533253 /nfs/dbraw/zinc/53/32/53/410533253.db2.gz HVVYUBAXYKHALQ-YGRLFVJLSA-N -1 1 324.402 1.146 20 0 DDADMM O=C(NCCCN(C(=O)C1CCC1)C1CC1)c1ncccc1[O-] ZINC000343553803 410526464 /nfs/dbraw/zinc/52/64/64/410526464.db2.gz DHZJTXVAAKJQKQ-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)n1cc2c(n1)C[C@H](NC(=O)c1cncc([O-])c1)CC2 ZINC000339914200 410549180 /nfs/dbraw/zinc/54/91/80/410549180.db2.gz ZPYWXCJRXIPDCI-CYBMUJFWSA-N -1 1 300.362 1.852 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2c(F)ccc([O-])c2F)nc1 ZINC000347620948 410636936 /nfs/dbraw/zinc/63/69/36/410636936.db2.gz QDPVOWYHDLUIMU-UHFFFAOYSA-N -1 1 322.267 1.782 20 0 DDADMM Cc1cc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)sc1C ZINC000359398859 410640769 /nfs/dbraw/zinc/64/07/69/410640769.db2.gz PWEACJDQRCRCSC-UHFFFAOYSA-N -1 1 322.415 1.821 20 0 DDADMM Cc1cc(CN(C)C(=O)c2c[n-]c3c(cnn3C)c2=O)no1 ZINC000355695016 410644335 /nfs/dbraw/zinc/64/43/35/410644335.db2.gz HMWFPSXETJROJG-UHFFFAOYSA-N -1 1 301.306 1.243 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2c(C)nn(C)c2n1)c1nn[n-]n1 ZINC000343770049 410684302 /nfs/dbraw/zinc/68/43/02/410684302.db2.gz WAURCTMTQIRNGY-SNVBAGLBSA-N -1 1 314.353 1.061 20 0 DDADMM COc1ccc(C[C@@H](C)C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000340211979 410755903 /nfs/dbraw/zinc/75/59/03/410755903.db2.gz HYYBJCJQXBNCHB-SNVBAGLBSA-N -1 1 303.366 1.438 20 0 DDADMM CC(C)n1cnc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000347933354 410797480 /nfs/dbraw/zinc/79/74/80/410797480.db2.gz XTKTXRBLOPRQDP-UHFFFAOYSA-N -1 1 305.338 1.572 20 0 DDADMM Fc1cccc(N=c2[n-]nc(SCc3nn[nH]n3)s2)c1 ZINC000353179562 410832661 /nfs/dbraw/zinc/83/26/61/410832661.db2.gz CONCMKBIRUSKDJ-UHFFFAOYSA-N -1 1 309.355 1.648 20 0 DDADMM Cc1cnn(-c2ccc(C(=O)NC(C)(C)c3nn[n-]n3)cc2)c1 ZINC000359779927 410872690 /nfs/dbraw/zinc/87/26/90/410872690.db2.gz AFPDYTOIXDDEIE-UHFFFAOYSA-N -1 1 311.349 1.359 20 0 DDADMM CC(C)(NC(=O)c1ccc(NC(=O)C2CC2)cc1)c1nn[n-]n1 ZINC000359796567 410884670 /nfs/dbraw/zinc/88/46/70/410884670.db2.gz XCNQVIBLYUCZAX-UHFFFAOYSA-N -1 1 314.349 1.213 20 0 DDADMM CCCN(CC)c1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348298702 410906651 /nfs/dbraw/zinc/90/66/51/410906651.db2.gz SQRHDFOFXDVMHI-UHFFFAOYSA-N -1 1 314.393 1.855 20 0 DDADMM Cc1ccc(CC[C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC000353722716 411117224 /nfs/dbraw/zinc/11/72/24/411117224.db2.gz UDQLZEHMGQHFPW-SECBINFHSA-N -1 1 315.333 1.070 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]c2cc(C(C)C)[nH]n2)c1 ZINC000129321800 196038858 /nfs/dbraw/zinc/03/88/58/196038858.db2.gz DKQWVKREUSFZSK-UHFFFAOYSA-N -1 1 313.335 1.714 20 0 DDADMM CC(C)c1nc(CNC(=O)N2CC[C@H](C)[C@@H](C(=O)[O-])C2)n[nH]1 ZINC000580070453 422883699 /nfs/dbraw/zinc/88/36/99/422883699.db2.gz JFPZVCCGJLHPOF-UWVGGRQHSA-N -1 1 309.370 1.180 20 0 DDADMM CN1CCN(Cc2c(Br)cccc2C(=O)[O-])CC1 ZINC000652366283 422981078 /nfs/dbraw/zinc/98/10/78/422981078.db2.gz MPVWKMJJQXCHOP-UHFFFAOYSA-N -1 1 313.195 1.895 20 0 DDADMM CC(C)(C(=O)[O-])C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000647738103 423021479 /nfs/dbraw/zinc/02/14/79/423021479.db2.gz WXXCZLCVDYKELB-SNVBAGLBSA-N -1 1 306.366 1.499 20 0 DDADMM CC[C@H](COC)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000133427705 196330155 /nfs/dbraw/zinc/33/01/55/196330155.db2.gz CIDADFYWVXJHBK-GFCCVEGCSA-N -1 1 320.393 1.526 20 0 DDADMM O=C([O-])[C@H]1CN(CCN2C[C@H]3CC[C@@H](C2)O3)Cc2ccccc21 ZINC000652469739 423031905 /nfs/dbraw/zinc/03/19/05/423031905.db2.gz DHORGPNEHITBAZ-UXLLHSPISA-N -1 1 316.401 1.534 20 0 DDADMM C[C@@H](NC(=O)c1ccc(Br)cc1[O-])[C@H](C)CO ZINC000133973728 196350757 /nfs/dbraw/zinc/35/07/57/196350757.db2.gz CKDVRIHXEBIPSE-HTQZYQBOSA-N -1 1 302.168 1.901 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(CC(C)C)CC(C)C)co1 ZINC000134072220 196359221 /nfs/dbraw/zinc/35/92/21/196359221.db2.gz KZVWRLYFKZAIKU-UHFFFAOYSA-N -1 1 316.423 1.942 20 0 DDADMM CCS(=O)(=O)c1ccccc1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000647816284 423058322 /nfs/dbraw/zinc/05/83/22/423058322.db2.gz DHGXEXHQZLELJT-UHFFFAOYSA-N -1 1 309.347 1.470 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@H]2CCC[C@H](C(=O)OC)C2)n[nH]1 ZINC000541904705 416618400 /nfs/dbraw/zinc/61/84/00/416618400.db2.gz WEQBZODNUFHHJV-UWVGGRQHSA-N -1 1 323.349 1.504 20 0 DDADMM Cc1cnc(C(=O)NC[C@@]2(CO)CCc3ccccc32)c([O-])c1 ZINC000652703412 423101725 /nfs/dbraw/zinc/10/17/25/423101725.db2.gz RIDHSABALDEGSB-GOSISDBHSA-N -1 1 312.369 1.702 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)C1CC1 ZINC000645619539 423109421 /nfs/dbraw/zinc/10/94/21/423109421.db2.gz XRWOQBBMMUNCRL-SNVBAGLBSA-N -1 1 324.324 1.804 20 0 DDADMM O=S(=O)([N-][C@@H](CO)[C@H]1CCCOC1)c1cccc(F)c1F ZINC000416611505 225003375 /nfs/dbraw/zinc/00/33/75/225003375.db2.gz PJUWYIKEEMMFEM-ONGXEEELSA-N -1 1 321.345 1.031 20 0 DDADMM CSc1nc(CNC(=O)[C@@H](C)Cc2ccco2)cc(=O)[n-]1 ZINC000640655675 423116285 /nfs/dbraw/zinc/11/62/85/423116285.db2.gz PZYRAKMSIAHHTD-VIFPVBQESA-N -1 1 307.375 1.992 20 0 DDADMM COC[C@@H]1C[C@@H](O)CN1C(=O)c1cc2ccccc2cc1[O-] ZINC000652779662 423130071 /nfs/dbraw/zinc/13/00/71/423130071.db2.gz NVVPAEKYNRSGFP-UONOGXRCSA-N -1 1 301.342 1.767 20 0 DDADMM Cc1ccc(NC(=O)c2cc(F)ccc2[O-])cc1S(N)(=O)=O ZINC000157180755 221676796 /nfs/dbraw/zinc/67/67/96/221676796.db2.gz DOKBMNKDVQSERY-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM O=C(c1cc(F)c([O-])c(F)c1)N1CCC[C@@H](c2nc[nH]n2)C1 ZINC000373408479 418425574 /nfs/dbraw/zinc/42/55/74/418425574.db2.gz ORDMYVAMTIJHTL-MRVPVSSYSA-N -1 1 308.288 1.808 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)[C@@H]2COCCO2)CC1 ZINC000048130744 418464891 /nfs/dbraw/zinc/46/48/91/418464891.db2.gz CCZVMOZTEJGEPU-HNNXBMFYSA-N -1 1 319.357 1.229 20 0 DDADMM O=C(c1ccc(OC(F)F)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366652429 418500392 /nfs/dbraw/zinc/50/03/92/418500392.db2.gz JPNYVJZEPVCXKY-SNVBAGLBSA-N -1 1 323.303 1.821 20 0 DDADMM C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCC(c3n[nH]c(=O)[n-]3)CC2)C1 ZINC000366714688 418508007 /nfs/dbraw/zinc/50/80/07/418508007.db2.gz VHDYFGGWZFDTRE-VXGBXAGGSA-N -1 1 321.425 1.194 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](C)[C@H](CO)C1 ZINC000360838272 418529725 /nfs/dbraw/zinc/52/97/25/418529725.db2.gz BMSIMARBENASQS-ONGXEEELSA-N -1 1 307.394 1.489 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC(C)(C)OC(C)(C)C1)c2=O ZINC000188974143 222032990 /nfs/dbraw/zinc/03/29/90/222032990.db2.gz BDBZGNHENCWAEQ-UHFFFAOYSA-N -1 1 318.377 1.704 20 0 DDADMM CC(C)(NC(=O)c1ccc(C(=O)c2cc[nH]c2)cc1)c1nn[n-]n1 ZINC000361037446 418571431 /nfs/dbraw/zinc/57/14/31/418571431.db2.gz LHGAGQLCZIYZOB-UHFFFAOYSA-N -1 1 324.344 1.424 20 0 DDADMM C[C@H]1CN(CCCN=c2[n-]nc(C(F)F)s2)C[C@H](C)O1 ZINC000360880147 418539763 /nfs/dbraw/zinc/53/97/63/418539763.db2.gz QYRMUWIXVNQRCF-IUCAKERBSA-N -1 1 306.382 1.809 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C[C@@H](CO)O1 ZINC000187948211 222002885 /nfs/dbraw/zinc/00/28/85/222002885.db2.gz XWRQXTHEODBQNC-SCZZXKLOSA-N -1 1 319.279 1.633 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc(C(=O)N(C)C)cc1 ZINC000193113903 222143537 /nfs/dbraw/zinc/14/35/37/222143537.db2.gz JLZIHZJPFHVXLP-JTQLQIEISA-N -1 1 300.380 1.165 20 0 DDADMM O=C(CCc1ccc(F)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000361190555 418601683 /nfs/dbraw/zinc/60/16/83/418601683.db2.gz KRJQMUMPFDQZAL-GFCCVEGCSA-N -1 1 303.341 1.678 20 0 DDADMM O=C([O-])c1ccc2c(c1)CCCN2C(=O)C[C@H]1COCCN1 ZINC000316954826 418603824 /nfs/dbraw/zinc/60/38/24/418603824.db2.gz NVHVVLBUQLJZIM-ZDUSSCGKSA-N -1 1 304.346 1.043 20 0 DDADMM O=C(N[C@@H]1CN(c2ccccc2)C1=O)c1c(F)ccc([O-])c1F ZINC000368134396 418694431 /nfs/dbraw/zinc/69/44/31/418694431.db2.gz MBKPSHYBKDCWPQ-LLVKDONJSA-N -1 1 318.279 1.816 20 0 DDADMM Cc1ccc(O)c(C[N@H+]2CCCN(C(=O)[C@H]3CCCO3)CC2)n1 ZINC000372436595 418870212 /nfs/dbraw/zinc/87/02/12/418870212.db2.gz UOQQDHQECOKKOZ-MRXNPFEDSA-N -1 1 319.405 1.309 20 0 DDADMM CC(C)CCCNC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000426256198 419422612 /nfs/dbraw/zinc/42/26/12/419422612.db2.gz LDWAFASADXVDJJ-UHFFFAOYSA-N -1 1 312.443 1.218 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(C(=O)OC)cc1)OC ZINC000421145969 419502725 /nfs/dbraw/zinc/50/27/25/419502725.db2.gz YKCJJTWNABHBJP-GFCCVEGCSA-N -1 1 301.364 1.640 20 0 DDADMM Cc1cnc(C(=O)NCCNC(=O)CC2CCCC2)c([O-])c1 ZINC000427121429 419597057 /nfs/dbraw/zinc/59/70/57/419597057.db2.gz YGGRAPUCGVLNMD-UHFFFAOYSA-N -1 1 305.378 1.522 20 0 DDADMM Cc1cnc(C(=O)N2CCNC(=O)[C@@H]2c2cccs2)c([O-])c1 ZINC000427228453 419623229 /nfs/dbraw/zinc/62/32/29/419623229.db2.gz WFPKNJWCWSBBQK-ZDUSSCGKSA-N -1 1 317.370 1.470 20 0 DDADMM COCCN(Cc1scnc1C)C(=O)c1ncccc1[O-] ZINC000427987097 419781304 /nfs/dbraw/zinc/78/13/04/419781304.db2.gz ALJPUFHHNQDQGV-UHFFFAOYSA-N -1 1 307.375 1.841 20 0 DDADMM O=C(N[C@@H]1Cc2cccc(O)c2C1)c1nc2ccccc2c(=O)[n-]1 ZINC000428703231 419917420 /nfs/dbraw/zinc/91/74/20/419917420.db2.gz VTKSJUNMZZFGJU-LLVKDONJSA-N -1 1 321.336 1.526 20 0 DDADMM N=c1[n-]nc(CCNC(=O)c2c(O)cc(Cl)cc2Cl)o1 ZINC000436640164 229504340 /nfs/dbraw/zinc/50/43/40/229504340.db2.gz GWRAZXAXBMWAEI-UHFFFAOYSA-N -1 1 317.132 1.467 20 0 DDADMM O=C(c1cc(F)c(F)c([O-])c1F)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000423582629 420087989 /nfs/dbraw/zinc/08/79/89/420087989.db2.gz AUTBAJHXJBKFNP-OCAPTIKFSA-N -1 1 300.280 1.776 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1c(C)cccc1C ZINC000416173102 420267799 /nfs/dbraw/zinc/26/77/99/420267799.db2.gz ACPDQGFUGRFHSO-UHFFFAOYSA-N -1 1 317.345 1.486 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(C)(C(C)C)CC1 ZINC000416210963 420280486 /nfs/dbraw/zinc/28/04/86/420280486.db2.gz UEHZPWCIFXAXRL-UHFFFAOYSA-N -1 1 309.366 1.795 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1cnn(Cc2ccncc2)c1 ZINC000436478114 420331340 /nfs/dbraw/zinc/33/13/40/420331340.db2.gz OVFNXBASWLFECV-UHFFFAOYSA-N -1 1 324.406 1.493 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cnc3nccnc3c2)c1 ZINC000436503679 420334382 /nfs/dbraw/zinc/33/43/82/420334382.db2.gz HQHBCPTYTGPMLK-UHFFFAOYSA-N -1 1 324.296 1.769 20 0 DDADMM COCCc1ccnc(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436598273 420344387 /nfs/dbraw/zinc/34/43/87/420344387.db2.gz ZKYYSAMQMOSLPD-UHFFFAOYSA-N -1 1 300.314 1.798 20 0 DDADMM CC(C)n1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cn1 ZINC000425317530 420347552 /nfs/dbraw/zinc/34/75/52/420347552.db2.gz GFAAJNPQQBIAJU-UHFFFAOYSA-N -1 1 305.338 1.572 20 0 DDADMM O=C(Nc1ccc(N2CCOCC2)cn1)c1ccc(O)cc1[O-] ZINC000436647011 420349219 /nfs/dbraw/zinc/34/92/19/420349219.db2.gz IRZOATCKOKZHPY-UHFFFAOYSA-N -1 1 315.329 1.582 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccccc2)C1=O)C(=O)c1ccc([O-])cc1 ZINC000436666870 420352323 /nfs/dbraw/zinc/35/23/23/420352323.db2.gz VLTXFCBHKNWEBI-OAHLLOKOSA-N -1 1 324.336 1.497 20 0 DDADMM NC(=O)C[C@H](NC(=O)c1c([O-])cccc1F)c1ccccc1 ZINC000436682486 420356819 /nfs/dbraw/zinc/35/68/19/420356819.db2.gz VYRKDPPROXTKMJ-LBPRGKRZSA-N -1 1 302.305 1.878 20 0 DDADMM CCOC(=O)[C@H](CO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436734477 420360880 /nfs/dbraw/zinc/36/08/80/420360880.db2.gz ASSXMESXQGHPNY-VIFPVBQESA-N -1 1 321.251 1.065 20 0 DDADMM Cc1nnc([C@H]2CCCCN2C(=O)C(=O)c2ccc([O-])cc2)[nH]1 ZINC000436725434 420361717 /nfs/dbraw/zinc/36/17/17/420361717.db2.gz UWIZKBGVXZORLB-CYBMUJFWSA-N -1 1 314.345 1.755 20 0 DDADMM Cc1cc(C)n2nc(C(=O)Nc3ccc(F)cc3[O-])nc2n1 ZINC000436806406 420370532 /nfs/dbraw/zinc/37/05/32/420370532.db2.gz HOIVGKIBEPWUHT-UHFFFAOYSA-N -1 1 301.281 1.838 20 0 DDADMM C[C@@H](c1ccccc1)[C@H](NC(=O)c1cc(F)ccc1[O-])C(N)=O ZINC000436834108 420375053 /nfs/dbraw/zinc/37/50/53/420375053.db2.gz YFCNDAKHVYHHIB-BONVTDFDSA-N -1 1 316.332 1.919 20 0 DDADMM COCCN(Cc1ccnn1C)C(=O)c1cc(F)ccc1[O-] ZINC000436839440 420375927 /nfs/dbraw/zinc/37/59/27/420375927.db2.gz PEZKFYUEVVMJJX-UHFFFAOYSA-N -1 1 307.325 1.554 20 0 DDADMM CC1(C)CCC[C@H](CN=c2[n-]cc(S(N)(=O)=O)s2)C1 ZINC000450928914 420563663 /nfs/dbraw/zinc/56/36/63/420563663.db2.gz STCRWYBIKOJFCE-VIFPVBQESA-N -1 1 303.453 1.841 20 0 DDADMM COc1ccc(OC)c(C=CC(=O)N=c2ccc(=O)[n-][nH]2)c1 ZINC000492684971 420629376 /nfs/dbraw/zinc/62/93/76/420629376.db2.gz WGXRGJTUDVOLPF-XVNBXDOJSA-N -1 1 301.302 1.273 20 0 DDADMM COc1ccc(OC)c(C=CC(=O)N=c2ccc([O-])n[nH]2)c1 ZINC000492684971 420629379 /nfs/dbraw/zinc/62/93/79/420629379.db2.gz WGXRGJTUDVOLPF-XVNBXDOJSA-N -1 1 301.302 1.273 20 0 DDADMM CC[C@@H]1C[C@H]1CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000452772366 420658314 /nfs/dbraw/zinc/65/83/14/420658314.db2.gz JRXHTZPLNFYYKG-NEPJUHHUSA-N -1 1 302.378 1.757 20 0 DDADMM COc1cc(C(=O)NCc2nnc(C3CC3)[nH]2)cc(Cl)c1[O-] ZINC000452927854 420681830 /nfs/dbraw/zinc/68/18/30/420681830.db2.gz RPEFKINQXLDXKO-UHFFFAOYSA-N -1 1 322.752 1.980 20 0 DDADMM COc1cc(C(=O)N[C@H](C)C(=O)N(C)C)cc(Cl)c1[O-] ZINC000442656413 420694698 /nfs/dbraw/zinc/69/46/98/420694698.db2.gz WLFSIIZPRMHSED-SSDOTTSWSA-N -1 1 300.742 1.261 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cc(Cl)c([O-])c(OC)c1)C1CC1 ZINC000442674193 420698173 /nfs/dbraw/zinc/69/81/73/420698173.db2.gz XLWOKHUUMSCGOU-LLVKDONJSA-N -1 1 313.737 1.736 20 0 DDADMM O=C(C=Cc1ccc(F)c(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000493803235 420944716 /nfs/dbraw/zinc/94/47/16/420944716.db2.gz NTIJUMGMFVATJG-DUMNWFOQSA-N -1 1 319.315 1.897 20 0 DDADMM O=C(NCCN1C[C@H]2CC[C@@H](C1)O2)c1ccc(Cl)cc1[O-] ZINC000456216708 421124803 /nfs/dbraw/zinc/12/48/03/421124803.db2.gz OTQKCRTYMPQSOK-TXEJJXNPSA-N -1 1 310.781 1.639 20 0 DDADMM CC[C@H](CC(C)C)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000450075832 421138164 /nfs/dbraw/zinc/13/81/64/421138164.db2.gz PBNCTAOJWZTTKU-LLVKDONJSA-N -1 1 311.455 1.672 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1Cc2c(cccc2C)O1)c1nn[n-]n1 ZINC000450122746 421145968 /nfs/dbraw/zinc/14/59/68/421145968.db2.gz RELCLBDQGQVVRH-YPMHNXCESA-N -1 1 301.350 1.469 20 0 DDADMM CN(C)[C@H](CN=c1nn[n-]n1C)c1cccc(C(F)(F)F)c1 ZINC000546681978 421303387 /nfs/dbraw/zinc/30/33/87/421303387.db2.gz OXXRZUUKBOFWCJ-LLVKDONJSA-N -1 1 314.315 1.366 20 0 DDADMM CCc1ccc(OCC(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000524040122 421253283 /nfs/dbraw/zinc/25/32/83/421253283.db2.gz IGJYAAGCIGQTHG-UHFFFAOYSA-N -1 1 315.377 1.727 20 0 DDADMM COC(=O)N1CCC(N=c2nc(C(F)(F)F)[n-]s2)CC1 ZINC000496435560 421253846 /nfs/dbraw/zinc/25/38/46/421253846.db2.gz OFMXDUBHZZCTTR-UHFFFAOYSA-N -1 1 310.301 1.622 20 0 DDADMM CO[C@]1(C[N-]S(=O)(=O)c2cc3ccccc3o2)CCOC1 ZINC000546597917 421296872 /nfs/dbraw/zinc/29/68/72/421296872.db2.gz BABXBMSPNNZANC-AWEZNQCLSA-N -1 1 311.359 1.517 20 0 DDADMM COC(=O)Cn1cc(NC(=O)c2c(F)ccc([O-])c2F)cn1 ZINC000561395259 421322967 /nfs/dbraw/zinc/32/29/67/421322967.db2.gz KYFVNWLBJHBJPG-UHFFFAOYSA-N -1 1 311.244 1.292 20 0 DDADMM Cc1ncc2c(n1)CC[C@H](NC(=O)c1c([O-])cccc1F)C2 ZINC000547468452 421334910 /nfs/dbraw/zinc/33/49/10/421334910.db2.gz HYQOKIVQLFQDGA-NSHDSACASA-N -1 1 301.321 1.917 20 0 DDADMM CC[C@@H]1[C@H](C(=O)Nc2nnn[n-]2)CCN1C(=O)OC(C)(C)C ZINC000496930928 421338941 /nfs/dbraw/zinc/33/89/41/421338941.db2.gz OQSXGJQQACFHMB-RKDXNWHRSA-N -1 1 310.358 1.174 20 0 DDADMM CC[C@@H]1[C@H](C(=O)Nc2nn[n-]n2)CCN1C(=O)OC(C)(C)C ZINC000496930928 421338942 /nfs/dbraw/zinc/33/89/42/421338942.db2.gz OQSXGJQQACFHMB-RKDXNWHRSA-N -1 1 310.358 1.174 20 0 DDADMM COCc1cccc(CC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000547976662 421384655 /nfs/dbraw/zinc/38/46/55/421384655.db2.gz NZPOPLQXSVYOSM-UHFFFAOYSA-N -1 1 315.377 1.474 20 0 DDADMM O=S1(=O)CCC(CN=c2nc(C(F)(F)F)[n-]s2)CC1 ZINC000548418341 421435676 /nfs/dbraw/zinc/43/56/76/421435676.db2.gz LJJNZOCPWXCTLX-UHFFFAOYSA-N -1 1 315.342 1.216 20 0 DDADMM O=C([O-])c1ccc(F)cc1NS(=O)(=O)Cc1ccon1 ZINC000548525976 421448438 /nfs/dbraw/zinc/44/84/38/421448438.db2.gz SXUMQDLNZVFQEJ-UHFFFAOYSA-N -1 1 300.267 1.454 20 0 DDADMM CO[C@@H]1C[C@@H](CC(=O)[O-])N(Cc2cn3cc(C)ccc3n2)C1 ZINC000563289417 421482280 /nfs/dbraw/zinc/48/22/80/421482280.db2.gz NBNKUCANIFUEFK-UONOGXRCSA-N -1 1 303.362 1.707 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC000563945009 421558763 /nfs/dbraw/zinc/55/87/63/421558763.db2.gz ITARCMYLEUZCFY-LLZONULXSA-N -1 1 317.345 1.181 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)C[C@H]1CCCO1 ZINC000531785564 421645412 /nfs/dbraw/zinc/64/54/12/421645412.db2.gz STFNNMTYNJKIFG-PSASIEDQSA-N -1 1 311.407 1.900 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-][C@H]2CCC[C@H](F)C2)s1 ZINC000518524568 421656963 /nfs/dbraw/zinc/65/69/63/421656963.db2.gz GKRXOUAVZQFAQU-IUCAKERBSA-N -1 1 321.399 1.661 20 0 DDADMM Cc1cc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)on1 ZINC000556063752 421698366 /nfs/dbraw/zinc/69/83/66/421698366.db2.gz KESZLZBTQFDSHE-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM Cc1cc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)on1 ZINC000556063752 421698369 /nfs/dbraw/zinc/69/83/69/421698369.db2.gz KESZLZBTQFDSHE-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@H](C)N1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000571402767 421712633 /nfs/dbraw/zinc/71/26/33/421712633.db2.gz CUKVYTDBFMDYEZ-AGIUHOORSA-N -1 1 322.409 1.834 20 0 DDADMM Cc1ncc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(C(C)C)n1 ZINC000537121925 421726040 /nfs/dbraw/zinc/72/60/40/421726040.db2.gz TZEGSCUXZFURRA-LLVKDONJSA-N -1 1 315.381 1.441 20 0 DDADMM O=C([C@@H]1CCc2cccc(O)c21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000519815611 421731515 /nfs/dbraw/zinc/73/15/15/421731515.db2.gz TZOQAXBHJYGPBY-NWDGAFQWSA-N -1 1 313.361 1.341 20 0 DDADMM CC(=O)c1csc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000540422631 421763441 /nfs/dbraw/zinc/76/34/41/421763441.db2.gz GHXSDHJGTIDXOC-VIFPVBQESA-N -1 1 305.363 1.484 20 0 DDADMM O=C(Cc1ccc2c(c1)CCO2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000540424218 421763805 /nfs/dbraw/zinc/76/38/05/421763805.db2.gz JDVCLZMYFYVHQV-ZDUSSCGKSA-N -1 1 313.361 1.083 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(F)c1 ZINC000540806583 421768310 /nfs/dbraw/zinc/76/83/10/421768310.db2.gz VINZRYIHSCHVPW-LLVKDONJSA-N -1 1 317.324 1.561 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)N=c2[n-]nc(C(F)F)s2)CCN1C ZINC000541705814 421801742 /nfs/dbraw/zinc/80/17/42/421801742.db2.gz HJBICEQSVNYZJF-RNFRBKRXSA-N -1 1 305.354 1.454 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000635305889 421894439 /nfs/dbraw/zinc/89/44/39/421894439.db2.gz LEILURKTBNABPO-NSHDSACASA-N -1 1 314.345 1.013 20 0 DDADMM CCc1nc(SCCn2cc(C(=O)OC)nn2)[n-]c(=O)c1C ZINC000580902185 421862855 /nfs/dbraw/zinc/86/28/55/421862855.db2.gz DEJVSYOMMPZECS-UHFFFAOYSA-N -1 1 323.378 1.223 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000630158703 421926240 /nfs/dbraw/zinc/92/62/40/421926240.db2.gz UMIUJHUSXHSWGT-IAQYHMDHSA-N -1 1 313.357 1.799 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN(C)C[C@H](C)C(=O)[O-])cc1 ZINC000581313760 421947886 /nfs/dbraw/zinc/94/78/86/421947886.db2.gz QUXFKLCVYOISLR-JTQLQIEISA-N -1 1 308.334 1.064 20 0 DDADMM C[C@@H](NC(=O)[C@@H](C)Cc1cnc[nH]1)c1ncc(C(=O)[O-])s1 ZINC000630220813 421970957 /nfs/dbraw/zinc/97/09/57/421970957.db2.gz XEFIRTJHRGELLT-JGVFFNPUSA-N -1 1 308.363 1.620 20 0 DDADMM NC(=O)[C@@H]1CCCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000630345570 422034843 /nfs/dbraw/zinc/03/48/43/422034843.db2.gz KDRCICCLOQTVOK-SECBINFHSA-N -1 1 304.375 1.447 20 0 DDADMM C[C@H]1C[C@H](NS(=O)(=O)c2cccc(Cl)c2[O-])CCN1C ZINC000632030214 422035783 /nfs/dbraw/zinc/03/57/83/422035783.db2.gz PJECMLCHNSIBFL-VHSXEESVSA-N -1 1 318.826 1.807 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](CCO)C(C)C)c1 ZINC000632036192 422041610 /nfs/dbraw/zinc/04/16/10/422041610.db2.gz MQFUZNLSXRUXFH-NSHDSACASA-N -1 1 303.380 1.086 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@H](OC(F)F)C1 ZINC000581442182 421978287 /nfs/dbraw/zinc/97/82/87/421978287.db2.gz SEISFKBKASWHGW-QMMMGPOBSA-N -1 1 303.334 1.593 20 0 DDADMM CC(C)(C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1)c1cccnc1 ZINC000630228218 421978844 /nfs/dbraw/zinc/97/88/44/421978844.db2.gz ZEBFXKAIYJZTHD-UHFFFAOYSA-N -1 1 319.405 1.367 20 0 DDADMM COC[C@H](CCNC(=O)Cc1ccc([O-])c(Cl)c1)OC ZINC000633608086 421996126 /nfs/dbraw/zinc/99/61/26/421996126.db2.gz TXBMLVJYOLJBIY-NSHDSACASA-N -1 1 301.770 1.756 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)[C@@H]2CCC[C@H]21 ZINC000630271172 421998877 /nfs/dbraw/zinc/99/88/77/421998877.db2.gz FDVZTQYBCNHWMX-MISXGVKJSA-N -1 1 314.345 1.673 20 0 DDADMM O=C([O-])[C@@H](C[C@@H]1CCCOC1)NC(=O)c1[nH]nc2c1CCCC2 ZINC000630272022 422000916 /nfs/dbraw/zinc/00/09/16/422000916.db2.gz GZZPYPONWDQLJH-GXFFZTMASA-N -1 1 321.377 1.288 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000573688440 422001564 /nfs/dbraw/zinc/00/15/64/422001564.db2.gz RZAQNTNBLGWSEB-KBPBESRZSA-N -1 1 310.369 1.901 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(Cc1ccco1)CC(F)(F)F ZINC000635458759 422007735 /nfs/dbraw/zinc/00/77/35/422007735.db2.gz UFMTVJOZWOMADN-UHFFFAOYSA-N -1 1 317.271 1.707 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCc1ccc(Cl)cc1 ZINC000635462581 422011323 /nfs/dbraw/zinc/01/13/23/422011323.db2.gz SJMYSCFWGHBZHD-UHFFFAOYSA-N -1 1 307.785 1.925 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)o3)C2)cc1 ZINC000582062576 422099096 /nfs/dbraw/zinc/09/90/96/422099096.db2.gz PBBUMEYSPXCRPB-NSHDSACASA-N -1 1 317.301 1.493 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@@H]1CCC1(F)F)c1ccco1 ZINC000632060268 422058083 /nfs/dbraw/zinc/05/80/83/422058083.db2.gz HNVSDWKQYLAITA-UWVGGRQHSA-N -1 1 309.334 1.932 20 0 DDADMM CC(C)Oc1ncccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000574595133 422139985 /nfs/dbraw/zinc/13/99/85/422139985.db2.gz KESZDGCRZXOOCM-LLVKDONJSA-N -1 1 316.365 1.402 20 0 DDADMM COc1ccc(C[C@H](C)CN(C)C(=O)CCc2nn[n-]n2)cc1 ZINC000632187091 422151384 /nfs/dbraw/zinc/15/13/84/422151384.db2.gz UJRMZQRLBNRDOH-LBPRGKRZSA-N -1 1 317.393 1.478 20 0 DDADMM [O-]c1cccc(CN2CCN(c3nccnn3)CC2)c1Cl ZINC000628389914 422166499 /nfs/dbraw/zinc/16/64/99/422166499.db2.gz YZVCZVFTVGYQDT-UHFFFAOYSA-N -1 1 305.769 1.553 20 0 DDADMM CNC(=O)[C@H]1CCC[C@@H]1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632217456 422171178 /nfs/dbraw/zinc/17/11/78/422171178.db2.gz AIBFQXQJFJODIF-JQWIXIFHSA-N -1 1 310.781 1.619 20 0 DDADMM CCN(CCc1ccccc1)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000583505041 422176927 /nfs/dbraw/zinc/17/69/27/422176927.db2.gz PFICILCHDKWTMH-UHFFFAOYSA-N -1 1 318.417 1.874 20 0 DDADMM C/C=C\C[C@H](CO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632227552 422177601 /nfs/dbraw/zinc/17/76/01/422177601.db2.gz ATPIEVBKUBVQFY-SKRYNEFJSA-N -1 1 313.301 1.034 20 0 DDADMM CCCCO[C@@H]1C[C@@H](NC(=O)CCCc2nn[n-]n2)C1(C)C ZINC000635670829 422226607 /nfs/dbraw/zinc/22/66/07/422226607.db2.gz XGMMBNAAZXPNQK-VXGBXAGGSA-N -1 1 309.414 1.622 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCCOc2c(F)cccc21 ZINC000632327872 422250478 /nfs/dbraw/zinc/25/04/78/422250478.db2.gz JLBSDWBLRBHBNK-LLVKDONJSA-N -1 1 305.313 1.302 20 0 DDADMM CCC[C@H](C)C(=O)NCC[N-]S(=O)(=O)c1cc(C)ns1 ZINC000632364102 422277335 /nfs/dbraw/zinc/27/73/35/422277335.db2.gz IBQMJIPECQJXOQ-VIFPVBQESA-N -1 1 319.452 1.282 20 0 DDADMM CCO[C@@H]1CCC[C@H]1NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632426634 422334618 /nfs/dbraw/zinc/33/46/18/422334618.db2.gz HBWACENIHXDCAR-DGCLKSJQSA-N -1 1 315.391 1.637 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@@H]1CCC2(CCCCC2)O1 ZINC000635847196 422404419 /nfs/dbraw/zinc/40/44/19/422404419.db2.gz SXXKPAOTKDWLTH-LBPRGKRZSA-N -1 1 307.398 1.521 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@H]1c1ccc2c(c1)OCO2 ZINC000630916132 422413142 /nfs/dbraw/zinc/41/31/42/422413142.db2.gz OZLLYIQALLKMMT-NSHDSACASA-N -1 1 315.333 1.225 20 0 DDADMM O=C1CCC(=O)N1CCCc1nc(-c2ccc([O-])cc2F)no1 ZINC000577813726 422429382 /nfs/dbraw/zinc/42/93/82/422429382.db2.gz REHQWXAIYBFBIZ-UHFFFAOYSA-N -1 1 319.292 1.663 20 0 DDADMM C[C@@H]1CCc2[n-]n(-c3ccc(S(=O)(=O)N(C)C)cc3)c(=O)c21 ZINC000634629140 422514793 /nfs/dbraw/zinc/51/47/93/422514793.db2.gz NTNVQGVSVYKVEL-QMTHXVAHSA-N -1 1 321.402 1.686 20 0 DDADMM Cn1ccnc1-n1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634630523 422516750 /nfs/dbraw/zinc/51/67/50/422516750.db2.gz YGZZWYWZRDBMOV-AWEZNQCLSA-N -1 1 309.373 1.645 20 0 DDADMM O=C([O-])CSCC(=O)N[C@@H](Cc1nc[nH]n1)c1ccccc1 ZINC000578192428 422520100 /nfs/dbraw/zinc/52/01/00/422520100.db2.gz PPSDVSVNKZVUKQ-NSHDSACASA-N -1 1 320.374 1.023 20 0 DDADMM CO[C@H](CNC(=O)c1c(F)ccc([O-])c1F)[C@@H]1CCOC1 ZINC000617129143 422520523 /nfs/dbraw/zinc/52/05/23/422520523.db2.gz CDALTPXLUGBUCT-LDYMZIIASA-N -1 1 301.289 1.452 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2[C@@H](C)[C@@H](C)O[C@H]2C)c1 ZINC000632598764 422464325 /nfs/dbraw/zinc/46/43/25/422464325.db2.gz ZUILIJQCDVMQEJ-JIXVBMEVSA-N -1 1 315.391 1.491 20 0 DDADMM O=C([O-])C12CCC(CC1)N2CCCS(=O)(=O)c1ccccc1 ZINC000634696724 422559225 /nfs/dbraw/zinc/55/92/25/422559225.db2.gz JYZLRVPKVSYSHV-UHFFFAOYSA-N -1 1 323.414 1.932 20 0 DDADMM Cc1cccc(-c2nc(=NC[C@H]3CCCS3(=O)=O)s[n-]2)c1 ZINC000631170818 422579775 /nfs/dbraw/zinc/57/97/75/422579775.db2.gz NLVMRSVWMFWJEG-GFCCVEGCSA-N -1 1 323.443 1.925 20 0 DDADMM Cc1cc(N2CCC(N=c3[nH]c(C(=O)[O-])co3)CC2)nc(C)n1 ZINC000578537142 422593332 /nfs/dbraw/zinc/59/33/32/422593332.db2.gz XBBKNYDCFOLPOS-UHFFFAOYSA-N -1 1 317.349 1.282 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCCS(=O)(=O)CC1 ZINC000631213145 422606582 /nfs/dbraw/zinc/60/65/82/422606582.db2.gz KQEPVRGFMLUGJT-UHFFFAOYSA-N -1 1 317.794 1.235 20 0 DDADMM CN(C)C(=O)CCCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629378110 422638729 /nfs/dbraw/zinc/63/87/29/422638729.db2.gz OENZZRFJNCHJAT-UHFFFAOYSA-N -1 1 316.361 1.775 20 0 DDADMM CCCN(Cc1cn(CC(=O)[O-])nn1)[C@@H]1C[C@@H](OCC)C1(C)C ZINC000579118766 422710327 /nfs/dbraw/zinc/71/03/27/422710327.db2.gz BGVVSEZORHHBTL-ZIAGYGMSSA-N -1 1 324.425 1.778 20 0 DDADMM FC(F)(F)c1n[n-]c(=NCc2nnc([C@@H]3CCOC3)[nH]2)s1 ZINC000631376982 422726745 /nfs/dbraw/zinc/72/67/45/422726745.db2.gz YQGZJGSGJSEQHG-RXMQYKEDSA-N -1 1 320.300 1.213 20 0 DDADMM FC(F)(F)c1n[n-]c(=NCc2n[nH]c([C@@H]3CCOC3)n2)s1 ZINC000631376982 422726748 /nfs/dbraw/zinc/72/67/48/422726748.db2.gz YQGZJGSGJSEQHG-RXMQYKEDSA-N -1 1 320.300 1.213 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC(Cn2cnnc2)CC1 ZINC000652849035 423172780 /nfs/dbraw/zinc/17/27/80/423172780.db2.gz OUXWWNMDPGBQFL-UHFFFAOYSA-N -1 1 304.325 1.675 20 0 DDADMM COc1ccc([N-]S(=O)(=O)CCOCC2CC2)c(OC)n1 ZINC000643622350 423220172 /nfs/dbraw/zinc/22/01/72/423220172.db2.gz KYQRJESRZQHECH-UHFFFAOYSA-N -1 1 316.379 1.267 20 0 DDADMM CC[C@@H](C)CS(=O)(=O)[N-][C@H](C(=O)OC)c1ccsc1 ZINC000184256156 263386551 /nfs/dbraw/zinc/38/65/51/263386551.db2.gz UJHTWRHOGUDSAU-KOLCDFICSA-N -1 1 305.421 1.928 20 0 DDADMM CO[C@@H]1C[C@@H](c2n[nH]c(C)n2)N(c2ccnc(C(=O)[O-])c2)C1 ZINC000650770435 423253929 /nfs/dbraw/zinc/25/39/29/423253929.db2.gz WKERHLRNQQURMF-PWSUYJOCSA-N -1 1 303.322 1.173 20 0 DDADMM O=c1[n-]c(CN[C@H](c2ccccc2)C2(CO)CC=CC2)n[nH]1 ZINC000646081868 423330114 /nfs/dbraw/zinc/33/01/14/423330114.db2.gz QNJHABRLQFHUMF-CQSZACIVSA-N -1 1 300.362 1.670 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)N[C@@H]3CC[C@H](C(=O)[O-])C3)C2)n[nH]1 ZINC000646177562 423380637 /nfs/dbraw/zinc/38/06/37/423380637.db2.gz AHSNAQRGRXVYQF-QJPTWQEYSA-N -1 1 321.381 1.255 20 0 DDADMM O=C([O-])CC1(NC(=O)c2cccc(-c3nnc[nH]3)c2)CCC1 ZINC000653321479 423438627 /nfs/dbraw/zinc/43/86/27/423438627.db2.gz QYBZGLHBVXLAGS-UHFFFAOYSA-N -1 1 300.318 1.599 20 0 DDADMM COc1cn(-c2ccccc2)nc1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000646409466 423477327 /nfs/dbraw/zinc/47/73/27/423477327.db2.gz XZQOLEXSQMBHAX-UHFFFAOYSA-N -1 1 313.317 1.870 20 0 DDADMM COc1ccc(-n2ccc(C(=O)Nc3c(C)[n-][nH]c3=O)n2)cc1 ZINC000646412892 423480243 /nfs/dbraw/zinc/48/02/43/423480243.db2.gz GCVMYXVPRZMXRX-UHFFFAOYSA-N -1 1 313.317 1.870 20 0 DDADMM CC(C)Cc1n[n-]c(=NC(=O)N2CCN(C)C(C)(C)C2)s1 ZINC000639451114 423503403 /nfs/dbraw/zinc/50/34/03/423503403.db2.gz BIHKHKNZSFJVNJ-UHFFFAOYSA-N -1 1 311.455 1.716 20 0 DDADMM Cc1nc(-c2ccc(NC3COCCOC3)nc2)[n-]c(=O)c1C ZINC000644137581 423510451 /nfs/dbraw/zinc/51/04/51/423510451.db2.gz VOJTWSAIJMJWFG-UHFFFAOYSA-N -1 1 316.361 1.688 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CC[C@@](O)(C(F)(F)F)C2)c([O-])c1 ZINC000646704912 423582037 /nfs/dbraw/zinc/58/20/37/423582037.db2.gz GVINOKKFLPZSBV-UFBFGSQYSA-N -1 1 304.268 1.671 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CN(C)C(=O)[C@@H]1C ZINC000649159997 423655042 /nfs/dbraw/zinc/65/50/42/423655042.db2.gz ZZPJIXHWCSMNQL-VIFPVBQESA-N -1 1 306.366 1.048 20 0 DDADMM Cn1nccc1[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCO1 ZINC000654051124 423683042 /nfs/dbraw/zinc/68/30/42/423683042.db2.gz BMPIKDZCIXLYGL-GWCFXTLKSA-N -1 1 302.334 1.171 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)COCC2CC2)sc1C ZINC000651887681 423725761 /nfs/dbraw/zinc/72/57/61/423725761.db2.gz XERGHNDVFZGLFH-QMMMGPOBSA-N -1 1 304.437 1.853 20 0 DDADMM CCO[C@@H]1COC[C@H]1NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000654325921 423764971 /nfs/dbraw/zinc/76/49/71/423764971.db2.gz JBHPYRPIBVLLCP-ZYHUDNBSSA-N -1 1 319.279 1.945 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccccc1-n1ccnn1)C(C)C ZINC000647124344 423765013 /nfs/dbraw/zinc/76/50/13/423765013.db2.gz ATLICNNZYVQVMM-AWEZNQCLSA-N -1 1 324.406 1.680 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H](N)c2cccc(Cl)c2)C[C@H]1C(=O)[O-] ZINC000656855062 423798669 /nfs/dbraw/zinc/79/86/69/423798669.db2.gz UJTIAOUYGZCJOT-OASPWFOLSA-N -1 1 310.781 1.909 20 0 DDADMM CN(Cc1cnc[nH]1)C(=O)NC[C@](C)(C(=O)[O-])c1ccccc1 ZINC000659411211 423811787 /nfs/dbraw/zinc/81/17/87/423811787.db2.gz LMDFADBLQKNJOR-INIZCTEOSA-N -1 1 316.361 1.594 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC12CC(c3ccccc3)(C1)C2 ZINC000641696457 423879888 /nfs/dbraw/zinc/87/98/88/423879888.db2.gz QGEJNCGYNPSCKF-UHFFFAOYSA-N -1 1 319.386 1.548 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C12CC(c3ccccc3)(C1)C2 ZINC000641696457 423879903 /nfs/dbraw/zinc/87/99/03/423879903.db2.gz QGEJNCGYNPSCKF-UHFFFAOYSA-N -1 1 319.386 1.548 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccc(OC)nc2OC)CC1 ZINC000641680816 423853731 /nfs/dbraw/zinc/85/37/31/423853731.db2.gz AGZXJECYWVPQSG-UHFFFAOYSA-N -1 1 302.352 1.020 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1nc2cccnc2n1C)C(C)C ZINC000647273526 423939020 /nfs/dbraw/zinc/93/90/20/423939020.db2.gz VCOKBPRKTIPKSZ-NSHDSACASA-N -1 1 312.395 1.381 20 0 DDADMM CC1(C)CCCN(CCNS(=O)(=O)c2ccccc2[O-])C1 ZINC000656972363 423943168 /nfs/dbraw/zinc/94/31/68/423943168.db2.gz ASFNXDOPVASBCE-UHFFFAOYSA-N -1 1 312.435 1.793 20 0 DDADMM O=S(=O)(N[C@@H]1CCCN2CCCC[C@H]12)c1ccccc1[O-] ZINC000657022180 424002378 /nfs/dbraw/zinc/00/23/78/424002378.db2.gz JZLVNXIUPUWMGB-CHWSQXEVSA-N -1 1 310.419 1.687 20 0 DDADMM C[C@H]1CN(C)c2ccccc2CN1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000641792711 423987784 /nfs/dbraw/zinc/98/77/84/423987784.db2.gz AKNXQHIUIQHICD-WFASDCNBSA-N -1 1 317.389 1.012 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C[C@H](C)n3ncnc32)sc1C ZINC000657069738 424075032 /nfs/dbraw/zinc/07/50/32/424075032.db2.gz XZZLAKIVVSFPJS-IMTBSYHQSA-N -1 1 313.408 1.336 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCC1)c1ccc(F)c(F)c1F ZINC000657058357 424054946 /nfs/dbraw/zinc/05/49/46/424054946.db2.gz SCRBAONTOUTAAG-VIFPVBQESA-N -1 1 309.309 1.543 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(O)(C2CC2)C2CC2)sc1C ZINC000657182331 424192984 /nfs/dbraw/zinc/19/29/84/424192984.db2.gz ZKLJWKZEBSYEBX-UHFFFAOYSA-N -1 1 316.448 1.589 20 0 DDADMM O=C1CCCC12CCN(Cc1cc(=O)n3[n-]ccc3n1)CC2 ZINC000661960803 424301585 /nfs/dbraw/zinc/30/15/85/424301585.db2.gz VDICEDGQRLFMFH-UHFFFAOYSA-N -1 1 300.362 1.358 20 0 DDADMM O=C(NCc1n[nH]c([C@H]2CCCO2)n1)c1cc(F)c([O-])c(F)c1 ZINC000655243556 424332720 /nfs/dbraw/zinc/33/27/20/424332720.db2.gz RMRGTAIWQXUIFB-SNVBAGLBSA-N -1 1 324.287 1.570 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCc1nnc2n1CCC2 ZINC000640341784 424363342 /nfs/dbraw/zinc/36/33/42/424363342.db2.gz KKWPGWMVKXLUMD-UHFFFAOYSA-N -1 1 314.374 1.578 20 0 DDADMM CCN(Cc1cccc(Cl)c1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662202022 424465664 /nfs/dbraw/zinc/46/56/64/424465664.db2.gz MSEZKAPTFQSZBI-CYBMUJFWSA-N -1 1 310.781 1.847 20 0 DDADMM O=C([O-])CCCCC(=O)N[C@@H](Cc1nc[nH]n1)c1ccccc1 ZINC000655542794 424555909 /nfs/dbraw/zinc/55/59/09/424555909.db2.gz BVRQLIQIQOSKBS-ZDUSSCGKSA-N -1 1 316.361 1.850 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C1CC1)[C@@H]1CCCCO1 ZINC000655628121 424602515 /nfs/dbraw/zinc/60/25/15/424602515.db2.gz XBSXDUHFNJSQMU-QWRGUYRKSA-N -1 1 315.395 1.191 20 0 DDADMM O=C([O-])[C@H](NCc1cnc(N2CCCC2)nc1)c1ccccc1 ZINC000665340917 424787340 /nfs/dbraw/zinc/78/73/40/424787340.db2.gz RZAAWLOETCXWQR-OAHLLOKOSA-N -1 1 312.373 1.992 20 0 DDADMM CCCNC(=O)CCNC(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000342193619 271335758 /nfs/dbraw/zinc/33/57/58/271335758.db2.gz OWKPTZYHFXIWMH-UHFFFAOYSA-N -1 1 316.361 1.290 20 0 DDADMM CCCNC(=O)CCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000342193619 271335761 /nfs/dbraw/zinc/33/57/61/271335761.db2.gz OWKPTZYHFXIWMH-UHFFFAOYSA-N -1 1 316.361 1.290 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCCCCCO)c(=O)[n-]1 ZINC000344637725 272076674 /nfs/dbraw/zinc/07/66/74/272076674.db2.gz CWMUCNDTVHHVKE-UHFFFAOYSA-N -1 1 313.423 1.424 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1cncs1 ZINC000345309367 272215813 /nfs/dbraw/zinc/21/58/13/272215813.db2.gz XJXXFLLTWYPTOV-UHFFFAOYSA-N -1 1 315.351 1.906 20 0 DDADMM CCn1nccc1NC(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC000345942253 272371282 /nfs/dbraw/zinc/37/12/82/272371282.db2.gz IFAXZRAXULIFLA-UHFFFAOYSA-N -1 1 314.305 1.213 20 0 DDADMM CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1C(=O)c1ncc(C)cc1[O-] ZINC000427241128 280398861 /nfs/dbraw/zinc/39/88/61/280398861.db2.gz ATWPQCHKMBTIJC-UONOGXRCSA-N -1 1 319.405 1.957 20 0 DDADMM C[C@H]1CO[C@H](CO)CN1C(=O)c1cc2ccccc2cc1[O-] ZINC000129259862 281338415 /nfs/dbraw/zinc/33/84/15/281338415.db2.gz XTEVGNCEGBBLCF-FZMZJTMJSA-N -1 1 301.342 1.767 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])C(=O)N1c1ccccc1 ZINC000174507723 288757531 /nfs/dbraw/zinc/75/75/31/288757531.db2.gz UGSWJBFOIDGHOS-YPMHNXCESA-N -1 1 311.341 1.711 20 0 DDADMM CN(C)C1CN(C(=O)c2cc(F)cc(Br)c2[O-])C1 ZINC000436854375 289071231 /nfs/dbraw/zinc/07/12/31/289071231.db2.gz RZWSZMOXJKUVIY-UHFFFAOYSA-N -1 1 317.158 1.680 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H](C3CC3)C(F)(F)F)ccnc1-2 ZINC000288871448 294131963 /nfs/dbraw/zinc/13/19/63/294131963.db2.gz PXBRBGXSUUXSTO-DWOMLUCJSA-N -1 1 313.283 1.804 20 0 DDADMM CO[C@H](C)c1nsc(=N[C@@H](C)[C@H](C)N2CCOCC2)[n-]1 ZINC000337935853 294342329 /nfs/dbraw/zinc/34/23/29/294342329.db2.gz MXKXUXZQSQJKQP-GARJFASQSA-N -1 1 300.428 1.189 20 0 DDADMM COc1ccc([C@H]2CCCCCN2CC(=O)NCC(=O)[O-])cc1 ZINC000262288782 295247759 /nfs/dbraw/zinc/24/77/59/295247759.db2.gz YBNRHCDYJJPAIA-OAHLLOKOSA-N -1 1 320.389 1.813 20 0 DDADMM COCC[C@H](C)S(=O)(=O)[N-][C@@H](C(=O)OC)c1cccs1 ZINC000362115720 300009990 /nfs/dbraw/zinc/00/99/90/300009990.db2.gz DKXPOHPZTVKJRO-GXSJLCMTSA-N -1 1 321.420 1.307 20 0 DDADMM COc1ccc(NC(=O)c2cncn2C)cc1[N-]S(C)(=O)=O ZINC000362482106 300075406 /nfs/dbraw/zinc/07/54/06/300075406.db2.gz AAJTUZYEKWDOTJ-UHFFFAOYSA-N -1 1 324.362 1.053 20 0 DDADMM COc1ccc([C@@H](C)C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000362781406 300139626 /nfs/dbraw/zinc/13/96/26/300139626.db2.gz MDYKNKQGSOTMQD-DGCLKSJQSA-N -1 1 315.377 1.718 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@H](n4cccn4)C3)ccnc1-2 ZINC000365881541 300601762 /nfs/dbraw/zinc/60/17/62/300601762.db2.gz LVMACAXFLJBSSU-UQGHUHRHSA-N -1 1 311.349 1.017 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1ccn(-c2ncccc2F)n1 ZINC000366637403 300728995 /nfs/dbraw/zinc/72/89/95/300728995.db2.gz BJGSZJWQXWFIHE-GFCCVEGCSA-N -1 1 321.312 1.408 20 0 DDADMM CS(=O)(=O)Nc1c[nH]nc1[C@H]1CCC[N@@H+](Cc2ccco2)C1 ZINC000370074276 301271287 /nfs/dbraw/zinc/27/12/87/301271287.db2.gz UNAISJMVSDTGDI-NSHDSACASA-N -1 1 324.406 1.754 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCS[C@H]2CCCC[C@@H]21 ZINC000377857337 302243408 /nfs/dbraw/zinc/24/34/08/302243408.db2.gz RYEDOSXDYFFUOX-UWVGGRQHSA-N -1 1 317.436 1.444 20 0 DDADMM Cc1noc(C2(C)CCN(C(=O)c3cncc([O-])c3)CC2)n1 ZINC000378573574 302338102 /nfs/dbraw/zinc/33/81/02/302338102.db2.gz UTOOUPGOVYRVPZ-UHFFFAOYSA-N -1 1 302.334 1.673 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@H]1CCCO1)c1c(F)cccc1Cl ZINC000528484880 303033343 /nfs/dbraw/zinc/03/33/43/303033343.db2.gz IUWMVQWOYUWZOW-WDEREUQCSA-N -1 1 323.773 1.297 20 0 DDADMM COC(=O)c1cn(CC(=O)Nc2ccc([O-])c(Cl)c2)nn1 ZINC000357375895 306879754 /nfs/dbraw/zinc/87/97/54/306879754.db2.gz OVNPOBFDAOJBTJ-UHFFFAOYSA-N -1 1 310.697 1.062 20 0 DDADMM COc1cc(C(=O)NC[C@@H](O)[C@@H]2CCCO2)cc(Cl)c1[O-] ZINC000529774243 303154484 /nfs/dbraw/zinc/15/44/84/303154484.db2.gz ZXAJPBWKGITKMY-MNOVXSKESA-N -1 1 315.753 1.324 20 0 DDADMM C[C@H]1COCCN1CCN1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000530171657 303179549 /nfs/dbraw/zinc/17/95/49/303179549.db2.gz YVJLWIQMFSAHJL-LBPRGKRZSA-N -1 1 322.380 1.603 20 0 DDADMM O=C(Cn1ccc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000533113961 303310099 /nfs/dbraw/zinc/31/00/99/303310099.db2.gz XKULDGRWWLVDJN-ZDUSSCGKSA-N -1 1 310.361 1.561 20 0 DDADMM Cn1[n-]nnc1=NC[C@@H]1CC[N@@H+](C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000534973883 303338733 /nfs/dbraw/zinc/33/87/33/303338733.db2.gz DCOGKORVGUXYDM-GWCFXTLKSA-N -1 1 324.791 1.529 20 0 DDADMM CN1CC[C@@H](CN=c2nn[n-]n2C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000534973883 303338736 /nfs/dbraw/zinc/33/87/36/303338736.db2.gz DCOGKORVGUXYDM-GWCFXTLKSA-N -1 1 324.791 1.529 20 0 DDADMM C[C@@H](COc1ccccc1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000539324941 303394867 /nfs/dbraw/zinc/39/48/67/303394867.db2.gz FFJLTEVUIUQQRY-QWHCGFSZSA-N -1 1 315.377 1.621 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCCCc2ccccc21 ZINC000544736962 303477093 /nfs/dbraw/zinc/47/70/93/303477093.db2.gz LJPCBTINDUMDCX-UHFFFAOYSA-N -1 1 309.329 1.401 20 0 DDADMM Cc1cnc(C(=O)N(C)[C@H](CC(N)=O)c2ccccc2)c([O-])c1 ZINC000358078209 306928136 /nfs/dbraw/zinc/92/81/36/306928136.db2.gz SKBSNVALLVFCHH-CYBMUJFWSA-N -1 1 313.357 1.784 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@@H](c2ccccc2)C1 ZINC000562117409 303849937 /nfs/dbraw/zinc/84/99/37/303849937.db2.gz BSBQWTVBNPXYDV-CYBMUJFWSA-N -1 1 323.356 1.437 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCNC(=O)C[C@H]1C ZINC000363594317 307032268 /nfs/dbraw/zinc/03/22/68/307032268.db2.gz ZHNSNNYLEFQVMZ-SECBINFHSA-N -1 1 320.393 1.139 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1c(F)cccc1F ZINC000367052044 307083713 /nfs/dbraw/zinc/08/37/13/307083713.db2.gz HBPNDUCDJZYKHN-ZJUUUORDSA-N -1 1 321.331 1.988 20 0 DDADMM CCc1cc(C(=O)N2CCC(c3n[n-]c(=S)o3)CC2)n[nH]1 ZINC000369175731 307117400 /nfs/dbraw/zinc/11/74/00/307117400.db2.gz DTHYMGMUPCPUJG-UHFFFAOYSA-N -1 1 307.379 1.664 20 0 DDADMM C[C@@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)CC[S@](=O)C1 ZINC000369338575 307119115 /nfs/dbraw/zinc/11/91/15/307119115.db2.gz JGXZVNSLEWPGOW-XFNZEKPQSA-N -1 1 321.398 1.699 20 0 DDADMM O=C(c1cc2c(cccc2F)[nH]1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370862319 307138603 /nfs/dbraw/zinc/13/86/03/307138603.db2.gz PTRPNUHZGCKCND-LBPRGKRZSA-N -1 1 316.296 1.034 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-][C@@H]1COc2cccc(Cl)c21 ZINC000377384157 307268865 /nfs/dbraw/zinc/26/88/65/307268865.db2.gz LQLKBUNBGSKOAU-SNVBAGLBSA-N -1 1 314.750 1.881 20 0 DDADMM CC(C)O[N-]C(=O)CN1CCC(NC(=O)OC(C)(C)C)CC1 ZINC000496866037 307365808 /nfs/dbraw/zinc/36/58/08/307365808.db2.gz PUYSXJNFQFPQOP-UHFFFAOYSA-N -1 1 315.414 1.432 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCCCC(N)=O ZINC000540116674 307685193 /nfs/dbraw/zinc/68/51/93/307685193.db2.gz XYLKEGQJQDJKPU-UHFFFAOYSA-N -1 1 308.382 1.174 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCCC12CCCCC2 ZINC000544788649 307719796 /nfs/dbraw/zinc/71/97/96/307719796.db2.gz TVBCFBLFNLAFCT-UHFFFAOYSA-N -1 1 301.350 1.357 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2C[C@H]3CCC[C@@H](C2)N3)[n-]n1 ZINC000561016720 307921731 /nfs/dbraw/zinc/92/17/31/307921731.db2.gz VHDJEIKFLFJPHP-TXEJJXNPSA-N -1 1 305.382 1.044 20 0 DDADMM CC[C@@H](C)C[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OC ZINC000564913451 308011420 /nfs/dbraw/zinc/01/14/20/308011420.db2.gz ZDXWOIFTHKDEOX-SKDRFNHKSA-N -1 1 318.395 1.380 20 0 DDADMM C[C@]1(O)CCN(c2ccc(=NCc3ccccc3F)[n-]n2)C1 ZINC000572858124 308226078 /nfs/dbraw/zinc/22/60/78/308226078.db2.gz OHDYVWSQIFRPQD-INIZCTEOSA-N -1 1 302.353 1.611 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2cn3c(n2)CCC3)c1Br ZINC000576519627 308320791 /nfs/dbraw/zinc/32/07/91/308320791.db2.gz LJUYITPLXOXSLG-UHFFFAOYSA-N -1 1 324.182 1.552 20 0 DDADMM C[C@@H]1[C@@H](C(=O)[O-])CCN1c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000577182501 308368835 /nfs/dbraw/zinc/36/88/35/308368835.db2.gz MZGYHWLWUGHFLF-RITPCOANSA-N -1 1 315.255 1.671 20 0 DDADMM O=C(CCSc1nnnn1C1CC1)c1ccc([O-])cc1O ZINC000583822431 337336918 /nfs/dbraw/zinc/33/69/18/337336918.db2.gz LNBMUFFAJAZLRC-UHFFFAOYSA-N -1 1 306.347 1.784 20 0 DDADMM O=C(CSCC(F)(F)F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000372369007 483932125 /nfs/dbraw/zinc/93/21/25/483932125.db2.gz XFSFPMBYNIEGEU-ZETCQYMHSA-N -1 1 309.317 1.201 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1c([O-])cccc1F)c1ccc(O)cc1 ZINC000436939890 484130004 /nfs/dbraw/zinc/13/00/04/484130004.db2.gz RRWQOWOQRYODLJ-AWEZNQCLSA-N -1 1 319.288 1.881 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000663094536 484659780 /nfs/dbraw/zinc/65/97/80/484659780.db2.gz CDZSZPUMWSXJNY-SJKOYZFVSA-N -1 1 320.389 1.039 20 0 DDADMM CC1CCN(CC(=O)N2CCc3ccccc3[C@H]2C(=O)[O-])CC1 ZINC000663146099 484702703 /nfs/dbraw/zinc/70/27/03/484702703.db2.gz AQKJVACVQACYEC-KRWDZBQOSA-N -1 1 316.401 1.929 20 0 DDADMM CS(=O)(=O)CC1(CNC(=O)c2ccc([O-])c(F)c2)CCC1 ZINC000665671983 484999556 /nfs/dbraw/zinc/99/95/56/484999556.db2.gz DLOSWZBHGGCDJN-UHFFFAOYSA-N -1 1 315.366 1.476 20 0 DDADMM CN(CCN1CCCC1=O)C(=O)c1ncc2ccccc2c1[O-] ZINC000668659084 485263488 /nfs/dbraw/zinc/26/34/88/485263488.db2.gz KVAUMCDOGWFXST-UHFFFAOYSA-N -1 1 313.357 1.635 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-]c1cnn(-c2ccncc2)c1 ZINC000673470386 485415623 /nfs/dbraw/zinc/41/56/23/485415623.db2.gz DRUHXKTTYFBSDW-UHFFFAOYSA-N -1 1 318.362 1.110 20 0 DDADMM O=C([O-])[C@@H]1CCCN(C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000669500502 485455174 /nfs/dbraw/zinc/45/51/74/485455174.db2.gz GHZHCXREYHPMBC-LLVKDONJSA-N -1 1 315.333 1.596 20 0 DDADMM CC(C)[C@@H](Oc1cccc(Cl)c1)C(=O)NCc1nn[n-]n1 ZINC000678312717 485537090 /nfs/dbraw/zinc/53/70/90/485537090.db2.gz JTEQVJBDYULZGR-GFCCVEGCSA-N -1 1 309.757 1.573 20 0 DDADMM O=C(Cc1cccc(OCc2cccnc2)c1)NCc1nn[n-]n1 ZINC000678362386 485552901 /nfs/dbraw/zinc/55/29/01/485552901.db2.gz DFZWTVDWVZRXOR-UHFFFAOYSA-N -1 1 324.344 1.033 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H](O)CC(F)(F)C3)cnc2n1 ZINC000682613234 485706475 /nfs/dbraw/zinc/70/64/75/485706475.db2.gz CRWFTAAHRVHEBQ-SECBINFHSA-N -1 1 323.299 1.486 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](O)CC(F)(F)C3)c[n-]c2n1 ZINC000682613234 485706483 /nfs/dbraw/zinc/70/64/83/485706483.db2.gz CRWFTAAHRVHEBQ-SECBINFHSA-N -1 1 323.299 1.486 20 0 DDADMM CC[C@@]1([N-]S(=O)(=O)c2c(F)cc(OC)cc2F)CCOC1 ZINC000682937645 485832087 /nfs/dbraw/zinc/83/20/87/485832087.db2.gz MZVHKEDSXRFKQG-CYBMUJFWSA-N -1 1 321.345 1.821 20 0 DDADMM CCC[C@H](NC(=O)CNc1cccc(Cl)c1)c1nn[n-]n1 ZINC000675144563 485865104 /nfs/dbraw/zinc/86/51/04/485865104.db2.gz QGBVULICOORWHO-NSHDSACASA-N -1 1 308.773 1.923 20 0 DDADMM O=C(Cc1ccc(O)cc1)NCC(=O)Nc1c([O-])cccc1F ZINC000679653082 485963105 /nfs/dbraw/zinc/96/31/05/485963105.db2.gz YOEGXXSKIJXDMI-UHFFFAOYSA-N -1 1 318.304 1.534 20 0 DDADMM CCC[C@H](NC(=O)c1coc(C2CCOCC2)n1)c1nn[n-]n1 ZINC000683493524 486025933 /nfs/dbraw/zinc/02/59/33/486025933.db2.gz KQQRTWKPEWUVKA-JTQLQIEISA-N -1 1 320.353 1.353 20 0 DDADMM O=C(CCc1ccc(=O)[nH]c1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000683892483 486148928 /nfs/dbraw/zinc/14/89/28/486148928.db2.gz FEIYFGVXQLTSGA-OAHLLOKOSA-N -1 1 324.344 1.139 20 0 DDADMM Cn1[n-]c(CN2C[C@H](C(F)(F)F)[C@H](C(F)(F)F)C2)nc1=O ZINC000680373887 486167261 /nfs/dbraw/zinc/16/72/61/486167261.db2.gz CLERTJOLRUCTOB-OLQVQODUSA-N -1 1 318.221 1.281 20 0 DDADMM Cc1n[nH]c(C(=O)NCCN2CCc3ccccc3C2)c1[O-] ZINC000676630938 486273811 /nfs/dbraw/zinc/27/38/11/486273811.db2.gz HMOQAVRNPGKZKN-UHFFFAOYSA-N -1 1 300.362 1.212 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccccc2NS(C)(=O)=O)c1[O-] ZINC000676706764 486296338 /nfs/dbraw/zinc/29/63/38/486296338.db2.gz NHLBFPJQWHMLHN-UHFFFAOYSA-N -1 1 310.335 1.048 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CC1)c1c(F)cccc1Cl ZINC000680976524 486315867 /nfs/dbraw/zinc/31/58/67/486315867.db2.gz MPMPXHVALWRIDA-VIFPVBQESA-N -1 1 307.774 1.918 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(c2nccs2)CC1 ZINC000681014581 486324033 /nfs/dbraw/zinc/32/40/33/486324033.db2.gz QFPXZJYHIJMWNO-UHFFFAOYSA-N -1 1 307.350 1.950 20 0 DDADMM NC(=O)[C@@H](Cc1ccccc1)NC(=O)c1ccc([O-])c(F)c1 ZINC000681023213 486324486 /nfs/dbraw/zinc/32/44/86/486324486.db2.gz XSUOJSBPBPPZAO-CYBMUJFWSA-N -1 1 302.305 1.358 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2ccc([O-])c(F)c2)[C@@]12CCCO2 ZINC000681067607 486336490 /nfs/dbraw/zinc/33/64/90/486336490.db2.gz CMSCKDNMTKHAFR-LZWOXQAQSA-N -1 1 309.337 1.988 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC2(O)CCCC2)c(F)c1 ZINC000676844094 486343325 /nfs/dbraw/zinc/34/33/25/486343325.db2.gz KMLRCCIKDBRWRR-UHFFFAOYSA-N -1 1 321.345 1.557 20 0 DDADMM O=C(Cc1cc2c(ccc3ccccc23)o1)NCc1nn[n-]n1 ZINC000677089584 486392165 /nfs/dbraw/zinc/39/21/65/486392165.db2.gz XZKDWVODCNPCBA-UHFFFAOYSA-N -1 1 307.313 1.958 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc3c(c2)OCC(=O)N3C)c1[O-] ZINC000684995135 486482634 /nfs/dbraw/zinc/48/26/34/486482634.db2.gz PVRFHTHETGMAQO-UHFFFAOYSA-N -1 1 302.290 1.031 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccc3c(c2)CCCC3)n[nH]1 ZINC000677644915 486512817 /nfs/dbraw/zinc/51/28/17/486512817.db2.gz LFDZAUOGOMUDTG-UHFFFAOYSA-N -1 1 320.374 1.188 20 0 DDADMM Cn1cc(CN2CCC(C(=O)c3ccc([O-])cc3)CC2)nn1 ZINC000677763696 486539343 /nfs/dbraw/zinc/53/93/43/486539343.db2.gz YJQPUBFIJRHCCJ-UHFFFAOYSA-N -1 1 300.362 1.616 20 0 DDADMM Cc1cnn(Cc2cc(C(=O)[N-]c3nc4ccccn4n3)no2)c1 ZINC000677803499 486545011 /nfs/dbraw/zinc/54/50/11/486545011.db2.gz DWPBPFZJFYOJBE-UHFFFAOYSA-N -1 1 323.316 1.523 20 0 DDADMM C[C@@H](CN1CCCC1=O)[N-]S(=O)(=O)c1sccc1Cl ZINC000451083665 533818307 /nfs/dbraw/zinc/81/83/07/533818307.db2.gz IRPOJMZWHVTSLA-QMMMGPOBSA-N -1 1 322.839 1.691 20 0 DDADMM COC(=O)N[C@@H](C)C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000494166554 534163325 /nfs/dbraw/zinc/16/33/25/534163325.db2.gz AXJWQPUSIBZKGQ-VIFPVBQESA-N -1 1 309.318 1.425 20 0 DDADMM COC(=O)c1ncccc1S(=O)(=O)[N-][C@H](C)C(C)(F)F ZINC000451689328 534360170 /nfs/dbraw/zinc/36/01/70/534360170.db2.gz LUXTUQBYAABLRZ-SSDOTTSWSA-N -1 1 308.306 1.190 20 0 DDADMM Cc1cnc(C(=O)NCCNC(=O)c2cccs2)c([O-])c1 ZINC000495057481 534527371 /nfs/dbraw/zinc/52/73/71/534527371.db2.gz FZYADYJMCIBLAC-UHFFFAOYSA-N -1 1 305.359 1.317 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC[C@H](F)C1 ZINC000452371339 534646253 /nfs/dbraw/zinc/64/62/53/534646253.db2.gz ICVALTGZWTXNII-NSHDSACASA-N -1 1 306.341 1.555 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CS[C@@H](C)C1 ZINC000412577315 534642980 /nfs/dbraw/zinc/64/29/80/534642980.db2.gz BMCVUSKYWBCQQH-GZMMTYOYSA-N -1 1 320.418 1.604 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccoc2Cl)c1 ZINC000434359405 534703004 /nfs/dbraw/zinc/70/30/04/534703004.db2.gz WAZPDZGAISDSQQ-UHFFFAOYSA-N -1 1 316.722 1.538 20 0 DDADMM Cc1cccc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)n1 ZINC000157088227 524658585 /nfs/dbraw/zinc/65/85/85/524658585.db2.gz VLINCTUEEKWJCK-UHFFFAOYSA-N -1 1 311.301 1.288 20 0 DDADMM Cc1cccc(NC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[n-]2)n1 ZINC000157088227 524658591 /nfs/dbraw/zinc/65/85/91/524658591.db2.gz VLINCTUEEKWJCK-UHFFFAOYSA-N -1 1 311.301 1.288 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](F)C2)o1 ZINC000452384284 526334941 /nfs/dbraw/zinc/33/49/41/526334941.db2.gz WHYQOPRXMVSKLM-VIFPVBQESA-N -1 1 318.370 1.540 20 0 DDADMM CCC[C@@H](OC)c1noc(-c2coc(S(=O)(=O)[N-]C)c2)n1 ZINC000296629384 527727478 /nfs/dbraw/zinc/72/74/78/527727478.db2.gz ZWNHOZZBPPFIEQ-SECBINFHSA-N -1 1 315.351 1.725 20 0 DDADMM CC(C)OC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CCCO1)C(C)C ZINC000451846524 527846382 /nfs/dbraw/zinc/84/63/82/527846382.db2.gz WQOSDMXMTFIDEB-NEPJUHHUSA-N -1 1 307.412 1.061 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]c1ccn(CCC(F)(F)F)n1 ZINC000424078132 527861985 /nfs/dbraw/zinc/86/19/85/527861985.db2.gz CUUOSRARUHNKIP-UHFFFAOYSA-N -1 1 314.333 1.834 20 0 DDADMM CCN1C[C@H]([N-]S(=O)(=O)c2c(F)cc(C)cc2F)CC1=O ZINC000425189737 527990774 /nfs/dbraw/zinc/99/07/74/527990774.db2.gz SZHQKLLAOGDYII-SECBINFHSA-N -1 1 318.345 1.172 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(=O)OC ZINC000425165455 528233161 /nfs/dbraw/zinc/23/31/61/528233161.db2.gz CNPIXFYGTRXCHM-NSHDSACASA-N -1 1 321.345 1.893 20 0 DDADMM CC(C)C[C@@H](CNC(=O)c1cccc(-c2nnc[nH]2)c1)C(=O)[O-] ZINC000424260281 528327076 /nfs/dbraw/zinc/32/70/76/528327076.db2.gz ZGRJAWNJHCXCDD-ZDUSSCGKSA-N -1 1 316.361 1.948 20 0 DDADMM CCC(=O)N[C@H]1CCCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000330820328 528418858 /nfs/dbraw/zinc/41/88/58/528418858.db2.gz DKGNNMHHNNJJCF-VIFPVBQESA-N -1 1 312.316 1.801 20 0 DDADMM CC(C)n1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000331809300 528549633 /nfs/dbraw/zinc/54/96/33/528549633.db2.gz KWCHHSKHKPUOTL-JTQLQIEISA-N -1 1 305.338 1.572 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)C[C@H]1CCCC1(F)F)C(=O)OC ZINC000451383179 529016903 /nfs/dbraw/zinc/01/69/03/529016903.db2.gz ZGFAKWYJJQDEIU-NXEZZACHSA-N -1 1 313.366 1.683 20 0 DDADMM Cc1nc(Cl)ccc1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000826326266 607786893 /nfs/dbraw/zinc/78/68/93/607786893.db2.gz IHTRXKBRYAKEEN-UHFFFAOYSA-N -1 1 318.728 1.209 20 0 DDADMM Cc1nc(Cl)ccc1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000826326266 607786894 /nfs/dbraw/zinc/78/68/94/607786894.db2.gz IHTRXKBRYAKEEN-UHFFFAOYSA-N -1 1 318.728 1.209 20 0 DDADMM C[C@H](O)C[C@H](C)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000736145686 598972595 /nfs/dbraw/zinc/97/25/95/598972595.db2.gz WHKQLBVVOCVMAR-WDSKDSINSA-N -1 1 302.791 1.796 20 0 DDADMM C[C@H](O)C[C@H](C)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000736145686 598972597 /nfs/dbraw/zinc/97/25/97/598972597.db2.gz WHKQLBVVOCVMAR-WDSKDSINSA-N -1 1 302.791 1.796 20 0 DDADMM O=C(NCCc1ccc(F)cc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738090022 598981219 /nfs/dbraw/zinc/98/12/19/598981219.db2.gz YKXJQJZXRITWSH-UHFFFAOYSA-N -1 1 312.308 1.373 20 0 DDADMM O=C(NCCc1ccc(F)cc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738090022 598981221 /nfs/dbraw/zinc/98/12/21/598981221.db2.gz YKXJQJZXRITWSH-UHFFFAOYSA-N -1 1 312.308 1.373 20 0 DDADMM COc1ccc(CN(C)C(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737090032 598982125 /nfs/dbraw/zinc/98/21/25/598982125.db2.gz FNXIUTGFTVHMIG-UHFFFAOYSA-N -1 1 324.344 1.543 20 0 DDADMM COc1ccc(CN(C)C(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737090032 598982129 /nfs/dbraw/zinc/98/21/29/598982129.db2.gz FNXIUTGFTVHMIG-UHFFFAOYSA-N -1 1 324.344 1.543 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)[C@H](C)C1 ZINC000736241467 599005896 /nfs/dbraw/zinc/00/58/96/599005896.db2.gz ODLUZMNEPDOTDX-FOGDFJRCSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)[C@H](C)C1 ZINC000736241467 599005897 /nfs/dbraw/zinc/00/58/97/599005897.db2.gz ODLUZMNEPDOTDX-FOGDFJRCSA-N -1 1 300.366 1.816 20 0 DDADMM Cc1nn(Cc2ccc3c(c2)CCC3)c(=O)c(-c2nn[n-]n2)c1C ZINC000737520222 599011644 /nfs/dbraw/zinc/01/16/44/599011644.db2.gz CLRWBBNSKTXMQQ-UHFFFAOYSA-N -1 1 322.372 1.577 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC(Oc2ccccc2)C1 ZINC000738257718 599028973 /nfs/dbraw/zinc/02/89/73/599028973.db2.gz CHNSTAMZGLCNLT-UHFFFAOYSA-N -1 1 322.328 1.165 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC(Oc2ccccc2)C1 ZINC000738257718 599028974 /nfs/dbraw/zinc/02/89/74/599028974.db2.gz CHNSTAMZGLCNLT-UHFFFAOYSA-N -1 1 322.328 1.165 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)C1CCCCC1 ZINC000736117158 599081205 /nfs/dbraw/zinc/08/12/05/599081205.db2.gz DQTOQNBWDFZQLJ-SNVBAGLBSA-N -1 1 300.366 1.960 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)C1CCCCC1 ZINC000736117158 599081207 /nfs/dbraw/zinc/08/12/07/599081207.db2.gz DQTOQNBWDFZQLJ-SNVBAGLBSA-N -1 1 300.366 1.960 20 0 DDADMM C[C@@H]1Cc2ccccc2CN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736299758 599093774 /nfs/dbraw/zinc/09/37/74/599093774.db2.gz UNZJABYSKVFLIX-LLVKDONJSA-N -1 1 320.356 1.849 20 0 DDADMM C[C@@H]1Cc2ccccc2CN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736299758 599093777 /nfs/dbraw/zinc/09/37/77/599093777.db2.gz UNZJABYSKVFLIX-LLVKDONJSA-N -1 1 320.356 1.849 20 0 DDADMM CS[C@H]1CCC[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000737170142 599226937 /nfs/dbraw/zinc/22/69/37/599226937.db2.gz GWWBHZNOJZTZTG-QWRGUYRKSA-N -1 1 318.406 1.666 20 0 DDADMM CS[C@H]1CCC[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000737170142 599226941 /nfs/dbraw/zinc/22/69/41/599226941.db2.gz GWWBHZNOJZTZTG-QWRGUYRKSA-N -1 1 318.406 1.666 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)NCCCCCC(=O)[O-] ZINC000316860895 597235421 /nfs/dbraw/zinc/23/54/21/597235421.db2.gz XZZHIMUAAAHVLM-UONOGXRCSA-N -1 1 311.426 1.900 20 0 DDADMM CC(=O)N1CCN(c2cc(-c3nnn[n-]3)nc3ccccc32)CC1 ZINC000735466751 599252364 /nfs/dbraw/zinc/25/23/64/599252364.db2.gz IKMDKZYKQVVMOE-UHFFFAOYSA-N -1 1 323.360 1.083 20 0 DDADMM CC(=O)N1CCN(c2cc(-c3nn[n-]n3)nc3ccccc32)CC1 ZINC000735466751 599252367 /nfs/dbraw/zinc/25/23/67/599252367.db2.gz IKMDKZYKQVVMOE-UHFFFAOYSA-N -1 1 323.360 1.083 20 0 DDADMM O=C([O-])CNC(=O)CNC1(c2ccc(Cl)c(Cl)c2)CC1 ZINC000821531552 597794852 /nfs/dbraw/zinc/79/48/52/597794852.db2.gz SSCQBRFYNZVPLS-UHFFFAOYSA-N -1 1 317.172 1.773 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N1CCc2ccccc2C1)C(=O)[O-] ZINC000263139209 598187805 /nfs/dbraw/zinc/18/78/05/598187805.db2.gz OAFHIEHPPAIXPD-LRDDRELGSA-N -1 1 304.390 1.660 20 0 DDADMM Cc1ccn(CCOc2cccc(F)c2)c(=O)c1-c1nn[n-]n1 ZINC000822373966 607349873 /nfs/dbraw/zinc/34/98/73/607349873.db2.gz GWDHWFIFAZRTTR-UHFFFAOYSA-N -1 1 315.308 1.555 20 0 DDADMM CC(C)(C)c1nc(Cn2cccc(-c3nn[n-]n3)c2=O)cs1 ZINC000735657157 598675088 /nfs/dbraw/zinc/67/50/88/598675088.db2.gz PQLUGFMDNPOTJA-UHFFFAOYSA-N -1 1 316.390 1.831 20 0 DDADMM CCCCc1nc(Cn2cccc(-c3nn[n-]n3)c2=O)cs1 ZINC000736465494 598676020 /nfs/dbraw/zinc/67/60/20/598676020.db2.gz FHHLNEKIYYFFQR-UHFFFAOYSA-N -1 1 316.390 1.876 20 0 DDADMM O=c1[nH]c(C=Cc2ccc(-c3nn[n-]n3)s2)nc2cnccc12 ZINC000823657846 607369052 /nfs/dbraw/zinc/36/90/52/607369052.db2.gz VVWZGNBHIJSUMX-DUXPYHPUSA-N -1 1 323.341 1.730 20 0 DDADMM CN(CCOc1ccccc1F)c1cccc(-c2nnn[n-]2)n1 ZINC000736803832 599399712 /nfs/dbraw/zinc/39/97/12/599399712.db2.gz BOPQQFCOGCEFTL-UHFFFAOYSA-N -1 1 314.324 1.916 20 0 DDADMM CN(CCOc1ccccc1F)c1cccc(-c2nn[n-]n2)n1 ZINC000736803832 599399714 /nfs/dbraw/zinc/39/97/14/599399714.db2.gz BOPQQFCOGCEFTL-UHFFFAOYSA-N -1 1 314.324 1.916 20 0 DDADMM c1cc(NCc2ccnc(N3CCCC3)c2)nc(-c2nn[n-]n2)c1 ZINC000738419558 599402862 /nfs/dbraw/zinc/40/28/62/599402862.db2.gz QDMIHOHVSUKCTR-UHFFFAOYSA-N -1 1 322.376 1.869 20 0 DDADMM O=C1CCCCN1CCCNc1cccc(-c2nnn[n-]2)n1 ZINC000738303532 599432738 /nfs/dbraw/zinc/43/27/38/599432738.db2.gz RIZSOHVPSHYDKZ-UHFFFAOYSA-N -1 1 301.354 1.076 20 0 DDADMM O=C1CCCCN1CCCNc1cccc(-c2nn[n-]n2)n1 ZINC000738303532 599432740 /nfs/dbraw/zinc/43/27/40/599432740.db2.gz RIZSOHVPSHYDKZ-UHFFFAOYSA-N -1 1 301.354 1.076 20 0 DDADMM O[C@@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)c1cccnc1 ZINC000823690867 607382998 /nfs/dbraw/zinc/38/29/98/607382998.db2.gz WMARKSLJZVKQRH-JTQLQIEISA-N -1 1 317.740 1.456 20 0 DDADMM O[C@@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)c1cccnc1 ZINC000823690867 607383000 /nfs/dbraw/zinc/38/30/00/607383000.db2.gz WMARKSLJZVKQRH-JTQLQIEISA-N -1 1 317.740 1.456 20 0 DDADMM CCN1CCN(c2ccccc2NC(=O)CCNC(=O)[O-])CC1 ZINC000737121979 599710911 /nfs/dbraw/zinc/71/09/11/599710911.db2.gz FDMINBZTXVJBBU-UHFFFAOYSA-N -1 1 320.393 1.425 20 0 DDADMM C[C@H](NCC(=O)NCCC(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC000736661003 600046960 /nfs/dbraw/zinc/04/69/60/600046960.db2.gz CEFICZZGKMPMKQ-VIFPVBQESA-N -1 1 318.295 1.947 20 0 DDADMM Cc1ccccc1C1CCN([C@@H](C)C(=O)NCC(=O)[O-])CC1 ZINC000738770166 600081642 /nfs/dbraw/zinc/08/16/42/600081642.db2.gz XETNCJCGZVJUEV-ZDUSSCGKSA-N -1 1 304.390 1.764 20 0 DDADMM C[C@@H](O)[C@@H]1CCCCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000736678008 600090416 /nfs/dbraw/zinc/09/04/16/600090416.db2.gz SGQAXULJHVRTJS-RISCZKNCSA-N -1 1 306.362 1.559 20 0 DDADMM C[C@@H](CNC(=O)C(C)(C)NC(=O)[O-])N1CCc2ccccc2C1 ZINC000736593614 600154314 /nfs/dbraw/zinc/15/43/14/600154314.db2.gz RXSOVMMCOOYPFD-LBPRGKRZSA-N -1 1 319.405 1.596 20 0 DDADMM Cc1cc(NS(=O)(=O)c2ccc(Cl)nc2)c(C(=O)[O-])[nH]1 ZINC000738463280 600176942 /nfs/dbraw/zinc/17/69/42/600176942.db2.gz KEKCZRFAJYVMRK-UHFFFAOYSA-N -1 1 315.738 1.871 20 0 DDADMM Cc1[nH]nc(S(=O)(=O)Nc2ccc(F)cc2F)c1C(=O)[O-] ZINC000738828559 600229390 /nfs/dbraw/zinc/22/93/90/600229390.db2.gz FWPFPSCJPQMNMN-UHFFFAOYSA-N -1 1 317.273 1.495 20 0 DDADMM Cc1c(NC(=O)NC[C@H]2C[N@H+](C)CCN2C)cccc1C(=O)[O-] ZINC000320616752 600412360 /nfs/dbraw/zinc/41/23/60/600412360.db2.gz VXJSGIXSBRXHES-LBPRGKRZSA-N -1 1 320.393 1.061 20 0 DDADMM Cc1c(NC(=O)NC[C@H]2CN(C)CCN2C)cccc1C(=O)[O-] ZINC000320616752 600412361 /nfs/dbraw/zinc/41/23/61/600412361.db2.gz VXJSGIXSBRXHES-LBPRGKRZSA-N -1 1 320.393 1.061 20 0 DDADMM CC(C)[C@H](NC(=O)c1cccc(Br)c1O)C(=O)[O-] ZINC000736386551 600441712 /nfs/dbraw/zinc/44/17/12/600441712.db2.gz XUABKKIURIRFMN-VIFPVBQESA-N -1 1 316.151 1.994 20 0 DDADMM O=C([O-])c1occc1CN1CCC[C@H](N2CCCCC2=O)C1 ZINC000740280768 600500212 /nfs/dbraw/zinc/50/02/12/600500212.db2.gz FKBQJHRWMVRNOE-ZDUSSCGKSA-N -1 1 306.362 1.955 20 0 DDADMM C[C@H](C(=O)N[C@](C)(C(=O)[O-])C1CC1)N(C)Cc1ccccc1 ZINC000736213060 600609347 /nfs/dbraw/zinc/60/93/47/600609347.db2.gz YEIQQMCIPWXIFP-PXAZEXFGSA-N -1 1 304.390 1.876 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NCc1cccc(OCC(=O)[O-])c1 ZINC000737516526 600648167 /nfs/dbraw/zinc/64/81/67/600648167.db2.gz BKNIOYGEHVBCBI-CQSZACIVSA-N -1 1 306.362 1.251 20 0 DDADMM O=C([O-])c1ccc(NCc2nc(-c3ccc(F)cc3)n[nH]2)nn1 ZINC000833320863 600803185 /nfs/dbraw/zinc/80/31/85/600803185.db2.gz VAIUOKJYUWBYAG-UHFFFAOYSA-N -1 1 314.280 1.711 20 0 DDADMM O=C([O-])c1ccc(NCc2n[nH]c(-c3ccc(F)cc3)n2)nn1 ZINC000833320863 600803186 /nfs/dbraw/zinc/80/31/86/600803186.db2.gz VAIUOKJYUWBYAG-UHFFFAOYSA-N -1 1 314.280 1.711 20 0 DDADMM C[C@H]1C[C@H](c2cccc(F)c2)N(CC(=O)NCCC(=O)[O-])C1 ZINC000828185170 600836800 /nfs/dbraw/zinc/83/68/00/600836800.db2.gz VNFLLFSKGKFQMQ-SMDDNHRTSA-N -1 1 308.353 1.800 20 0 DDADMM Cc1nnc(CN(CCC(=O)[O-])C2CCOCC2)n1C1CC1 ZINC000833018700 600858822 /nfs/dbraw/zinc/85/88/22/600858822.db2.gz WUKWHEOPXMOUKA-UHFFFAOYSA-N -1 1 308.382 1.377 20 0 DDADMM CC(C)(NCC(=O)Nc1ccnn1CC1CCCCC1)C(=O)[O-] ZINC000736339480 600950078 /nfs/dbraw/zinc/95/00/78/600950078.db2.gz LVAHGCHVQJBUFX-UHFFFAOYSA-N -1 1 322.409 1.855 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN[C@@](C)(C(=O)[O-])C2CC2)cc1 ZINC000831398279 601033030 /nfs/dbraw/zinc/03/30/30/601033030.db2.gz BXVIQDHPIQMOJM-MRXNPFEDSA-N -1 1 320.345 1.255 20 0 DDADMM COC(=O)/C(C)=C\CN1CCN(C/C=C(/C)C(=O)[O-])[C@@H](C)C1 ZINC000831052902 601055249 /nfs/dbraw/zinc/05/52/49/601055249.db2.gz FDPYTYJRFIVODW-CJKGGOLFSA-N -1 1 310.394 1.143 20 0 DDADMM Cc1nc([C@@H]2CN(Cc3cccc(C(=O)[O-])c3)CCO2)n[nH]1 ZINC000738823832 601105576 /nfs/dbraw/zinc/10/55/76/601105576.db2.gz ONCNZFWAXYBBSH-ZDUSSCGKSA-N -1 1 302.334 1.385 20 0 DDADMM O=C([O-])CCN(Cc1ccccc1)C(=O)CCc1nc[nH]n1 ZINC000833234546 601136599 /nfs/dbraw/zinc/13/65/99/601136599.db2.gz ZTUJYDAEMFXMHR-UHFFFAOYSA-N -1 1 302.334 1.241 20 0 DDADMM COc1ccc([C@@H](NC(=O)C(F)(F)C(F)F)C(=O)[O-])cc1 ZINC000832240695 601283906 /nfs/dbraw/zinc/28/39/06/601283906.db2.gz GFKHSRWNARXYME-MRVPVSSYSA-N -1 1 309.215 1.838 20 0 DDADMM COCCN(Cc1ccnc(-c2nnn[n-]2)c1)CC(F)(F)F ZINC000826159809 607500972 /nfs/dbraw/zinc/50/09/72/607500972.db2.gz SXUOUZDUCREDSQ-UHFFFAOYSA-N -1 1 316.287 1.272 20 0 DDADMM COCCN(Cc1ccnc(-c2nn[n-]n2)c1)CC(F)(F)F ZINC000826159809 607500973 /nfs/dbraw/zinc/50/09/73/607500973.db2.gz SXUOUZDUCREDSQ-UHFFFAOYSA-N -1 1 316.287 1.272 20 0 DDADMM CCCc1c(C(=O)N[C@@H](C)c2nnc[nH]2)[nH]c(C)c1C(=O)[O-] ZINC000829556516 601385202 /nfs/dbraw/zinc/38/52/02/601385202.db2.gz OFFYDDVTTUMVFA-QMMMGPOBSA-N -1 1 305.338 1.583 20 0 DDADMM O=C([O-])C[C@H]1CN(CCC(=O)N2CCc3ccccc32)CCO1 ZINC000833223362 601458767 /nfs/dbraw/zinc/45/87/67/601458767.db2.gz YSZZDIGQQQPESF-AWEZNQCLSA-N -1 1 318.373 1.141 20 0 DDADMM CCN1CCCC[C@@H]1CNS(=O)(=O)CC1(CC(=O)[O-])CC1 ZINC000829770723 601514487 /nfs/dbraw/zinc/51/44/87/601514487.db2.gz MPUNWJSIZIGMGI-GFCCVEGCSA-N -1 1 318.439 1.035 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)CN2C[C@H](C(=O)[O-])[C@H](C)C2)c1C ZINC000831218380 601523033 /nfs/dbraw/zinc/52/30/33/601523033.db2.gz ISYLMYROANNHAE-KCJUWKMLSA-N -1 1 322.361 1.253 20 0 DDADMM C[C@@H]1C[N@H+](Cc2cc(=O)oc3cc([O-])ccc23)C[C@H]1C(=O)[O-] ZINC000315665242 601526204 /nfs/dbraw/zinc/52/62/04/601526204.db2.gz ZPDDOXAGSAIUTJ-NOZJJQNGSA-N -1 1 303.314 1.651 20 0 DDADMM C[C@@H]1C[N@@H+](Cc2cc(=O)oc3cc([O-])ccc23)C[C@H]1C(=O)[O-] ZINC000315665242 601526205 /nfs/dbraw/zinc/52/62/05/601526205.db2.gz ZPDDOXAGSAIUTJ-NOZJJQNGSA-N -1 1 303.314 1.651 20 0 DDADMM CCOc1ccccc1NC(=O)CN1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000315412039 601526634 /nfs/dbraw/zinc/52/66/34/601526634.db2.gz LCFWEMPNSQANQZ-VXGBXAGGSA-N -1 1 306.362 1.676 20 0 DDADMM COc1ccccc1N1CC[C@H](N2CC[C@H](C(=O)[O-])C2)C1=O ZINC000178904812 601619751 /nfs/dbraw/zinc/61/97/51/601619751.db2.gz CDWUQTZJERGFRV-AAEUAGOBSA-N -1 1 304.346 1.207 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)CN1CCC[C@H]2COCC[C@@H]21 ZINC000833104441 601662454 /nfs/dbraw/zinc/66/24/54/601662454.db2.gz BDXXCMIQHPUDAG-WFASDCNBSA-N -1 1 318.373 1.824 20 0 DDADMM C[C@@H](CN(C)[C@H]1CCN(c2c(F)cccc2F)C1=O)C(=O)[O-] ZINC000827442195 601826766 /nfs/dbraw/zinc/82/67/66/601826766.db2.gz DQHXCWFJEBYYMM-CABZTGNLSA-N -1 1 312.316 1.723 20 0 DDADMM O=C([O-])N[C@@H](C(=O)N1CCN(CC2CC2)CC1)c1ccccc1 ZINC000740684990 601874297 /nfs/dbraw/zinc/87/42/97/601874297.db2.gz YZDHBXSSMQSUCL-OAHLLOKOSA-N -1 1 317.389 1.550 20 0 DDADMM O=C([O-])NC[C@H]1CCN(CC(=O)N(C2CC2)C2CCCC2)C1 ZINC000740642501 601940052 /nfs/dbraw/zinc/94/00/52/601940052.db2.gz NJIQZUAAPCKNQA-GFCCVEGCSA-N -1 1 309.410 1.510 20 0 DDADMM CCOCCN1CCN(Cc2ccc(C(=O)[O-])s2)C[C@@H]1C ZINC000830011917 601952216 /nfs/dbraw/zinc/95/22/16/601952216.db2.gz QEDLXRQJGQLCKC-LBPRGKRZSA-N -1 1 312.435 1.989 20 0 DDADMM C[C@H](c1ccccc1)N(C)C(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000739060344 601954796 /nfs/dbraw/zinc/95/47/96/601954796.db2.gz SMTQXCGSRAYAHI-ZIAGYGMSSA-N -1 1 319.405 1.796 20 0 DDADMM O=C([O-])[C@H](Cc1ccccc1)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000766938358 602017767 /nfs/dbraw/zinc/01/77/67/602017767.db2.gz MLGXQORGGDFVAP-WFASDCNBSA-N -1 1 313.357 1.642 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)[C@H](C)CC(=O)[O-] ZINC000832891053 602073513 /nfs/dbraw/zinc/07/35/13/602073513.db2.gz JANZDDRCADDRKT-CYBMUJFWSA-N -1 1 319.405 1.796 20 0 DDADMM CCOC(=O)[C@H](CC)SCc1ccnc(-c2nnn[n-]2)c1 ZINC000825486004 607549995 /nfs/dbraw/zinc/54/99/95/607549995.db2.gz LNAYVOKPUNDGTI-NSHDSACASA-N -1 1 307.379 1.837 20 0 DDADMM CCOC(=O)[C@H](CC)SCc1ccnc(-c2nn[n-]n2)c1 ZINC000825486004 607549997 /nfs/dbraw/zinc/54/99/97/607549997.db2.gz LNAYVOKPUNDGTI-NSHDSACASA-N -1 1 307.379 1.837 20 0 DDADMM N=C(NOCc1cc(C(=O)[O-])co1)[C@@H]1COc2ccccc2O1 ZINC000833079044 602160907 /nfs/dbraw/zinc/16/09/07/602160907.db2.gz UVYLFZLHJGDLOU-ZDUSSCGKSA-N -1 1 318.285 1.816 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CN[C@H](C(=O)[O-])C(C)(C)C)c1 ZINC000825917827 602224089 /nfs/dbraw/zinc/22/40/89/602224089.db2.gz XTSBVSSSLDBVMX-CQSZACIVSA-N -1 1 321.377 1.672 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCCN1CC(=O)N1CCC(C)CC1 ZINC000739113411 602267073 /nfs/dbraw/zinc/26/70/73/602267073.db2.gz PFWPWGSDJKYBDY-KBPBESRZSA-N -1 1 311.426 1.756 20 0 DDADMM CC1CCN(CC(=O)NC[C@@H](C(=O)[O-])c2ccccc2)CC1 ZINC000828286688 602385716 /nfs/dbraw/zinc/38/57/16/602385716.db2.gz YMLMUOCGWNKHFX-OAHLLOKOSA-N -1 1 304.390 1.703 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CCCN(C(=O)[O-])CC1 ZINC000737952166 602457818 /nfs/dbraw/zinc/45/78/18/602457818.db2.gz ZQNUWSIOFMCFGY-OAHLLOKOSA-N -1 1 319.405 1.372 20 0 DDADMM CCCN(Cc1cnc2ccnn2c1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739360314 602548049 /nfs/dbraw/zinc/54/80/49/602548049.db2.gz SMOHYKWOCVPOHL-ZDUSSCGKSA-N -1 1 303.366 1.694 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](CNC(=O)[O-])C2CCCCC2)c1C ZINC000740031535 602758397 /nfs/dbraw/zinc/75/83/97/602758397.db2.gz NZLOLKBZNALRFH-GFCCVEGCSA-N -1 1 308.382 1.973 20 0 DDADMM CC(C)[C@H](CNC(=O)N1CCN2CCCC[C@@H]2C1)NC(=O)[O-] ZINC000738788571 602845544 /nfs/dbraw/zinc/84/55/44/602845544.db2.gz YUQUFLIFDHVIQY-OLZOCXBDSA-N -1 1 312.414 1.158 20 0 DDADMM Cc1cc(CNC(=O)N2CCC([C@H](C)NC(=O)[O-])CC2)n[nH]1 ZINC000740072350 602886856 /nfs/dbraw/zinc/88/68/56/602886856.db2.gz GDYAUVCGBNJUTA-JTQLQIEISA-N -1 1 309.370 1.296 20 0 DDADMM CN(C)C(=O)[C@H](NC[C@@H]1CCCCN1C(=O)[O-])c1ccccc1 ZINC000739593093 602929259 /nfs/dbraw/zinc/92/92/59/602929259.db2.gz AOSONTBEHPMTQZ-LSDHHAIUSA-N -1 1 319.405 1.938 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)C[C@H]1CCCN1C(=O)[O-] ZINC000826087394 602957797 /nfs/dbraw/zinc/95/77/97/602957797.db2.gz MBPFSUPEOSWDSC-MCIONIFRSA-N -1 1 309.410 1.604 20 0 DDADMM CC(C)CN1CCO[C@@H](COC(=O)[C@@H]2CCCN2C(=O)[O-])C1 ZINC000738824232 602963906 /nfs/dbraw/zinc/96/39/06/602963906.db2.gz JFDHNFOMURIJQJ-OLZOCXBDSA-N -1 1 314.382 1.029 20 0 DDADMM CC(C)CN1CCN(C(=O)N[C@@H](CNC(=O)[O-])C2CC2)CC1 ZINC000738819085 603133888 /nfs/dbraw/zinc/13/38/88/603133888.db2.gz DZYZBAVXXZNYGU-ZDUSSCGKSA-N -1 1 312.414 1.016 20 0 DDADMM O=C([O-])N1CCC(NC(=O)c2c[nH]c(-c3ccccc3)n2)CC1 ZINC000738486591 603193607 /nfs/dbraw/zinc/19/36/07/603193607.db2.gz KWZUTPRDGVUFCX-UHFFFAOYSA-N -1 1 314.345 1.949 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N[C@@H]1CCCN(C(=O)[O-])C1 ZINC000737359193 603210070 /nfs/dbraw/zinc/21/00/70/603210070.db2.gz UDSUCCZPCSWQNL-HUUCEWRRSA-N -1 1 319.405 1.418 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)N(C)CCCNC(=O)[O-])cc1 ZINC000739917970 603250075 /nfs/dbraw/zinc/25/00/75/603250075.db2.gz ZAHVOYOQEPWISW-LLVKDONJSA-N -1 1 309.366 1.612 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1CCN(C(=O)[O-])C1)c1cccc(F)c1 ZINC000739601499 603268420 /nfs/dbraw/zinc/26/84/20/603268420.db2.gz FWBSQJIRDRJMBS-OCCSQVGLSA-N -1 1 323.368 1.545 20 0 DDADMM Cc1ccc(N2CC[C@H](N3CC[C@@H](CNC(=O)[O-])C3)C2=O)cc1 ZINC000740131618 603333935 /nfs/dbraw/zinc/33/39/35/603333935.db2.gz YAFRPVWWMLBAPP-ZFWWWQNUSA-N -1 1 317.389 1.690 20 0 DDADMM CC(C)(CNC(=O)[O-])NC(=O)CSc1n[nH]c(=S)s1 ZINC000823978866 603443825 /nfs/dbraw/zinc/44/38/25/603443825.db2.gz KQXFWAUJNYBDCX-UHFFFAOYSA-N -1 1 322.437 1.081 20 0 DDADMM CCN(CC1CCN(CC(=O)N(C)CC2CC2)CC1)C(=O)[O-] ZINC000827094105 603507884 /nfs/dbraw/zinc/50/78/84/603507884.db2.gz XAHDNJKOMOTMJT-UHFFFAOYSA-N -1 1 311.426 1.567 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(NC(=O)[O-])c1)c1nn[nH]n1 ZINC000826764955 603565513 /nfs/dbraw/zinc/56/55/13/603565513.db2.gz NBOUSYRTWCVHNI-JTQLQIEISA-N -1 1 304.310 1.561 20 0 DDADMM COc1ccccc1N1CC[C@@H](N(C)CCCNC(=O)[O-])C1=O ZINC000829633188 603607808 /nfs/dbraw/zinc/60/78/08/603607808.db2.gz NXUZTCIJPUFYJQ-CYBMUJFWSA-N -1 1 321.377 1.390 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@H]1CC[C@H](NC(=O)[O-])C1 ZINC000826086661 603630082 /nfs/dbraw/zinc/63/00/82/603630082.db2.gz AGYXTSSANYAQOV-ZOBORPQBSA-N -1 1 309.410 1.508 20 0 DDADMM CN(C(=O)c1cnc(-c2ccccc2)[nH]1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000827927092 603725522 /nfs/dbraw/zinc/72/55/22/603725522.db2.gz KQXDZRWFSFKTBB-GFCCVEGCSA-N -1 1 314.345 1.901 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCN(C[C@H]2CN(c3ccccc3)C(=O)O2)C1 ZINC000827883419 603819589 /nfs/dbraw/zinc/81/95/89/603819589.db2.gz XZZYVBTYMLWWKR-KBPBESRZSA-N -1 1 319.361 1.696 20 0 DDADMM CCC[C@@H]1CC(=O)N(CN2CCC[C@@H]([C@H](C)NC(=O)[O-])C2)C1 ZINC000826770061 604025224 /nfs/dbraw/zinc/02/52/24/604025224.db2.gz YCKHZACHSGGXEH-BFHYXJOUSA-N -1 1 311.426 1.961 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1C[C@@H]1CN(c2ccccc2)C(=O)O1 ZINC000832572748 604065163 /nfs/dbraw/zinc/06/51/63/604065163.db2.gz GUOBKTMBNOZTHE-UONOGXRCSA-N -1 1 319.361 1.744 20 0 DDADMM O=C([O-])C1CCN(C(=O)CN2CCC(C(F)F)CC2)CC1 ZINC000307854257 604392612 /nfs/dbraw/zinc/39/26/12/604392612.db2.gz NEIRIUFELWJTGF-UHFFFAOYSA-N -1 1 304.337 1.287 20 0 DDADMM CC(C)CN(CC(N)=O)Cc1ccc(O[C@@H](C)C(=O)[O-])cc1 ZINC000833489214 604549752 /nfs/dbraw/zinc/54/97/52/604549752.db2.gz WWNSSFREEFOGKC-LBPRGKRZSA-N -1 1 308.378 1.482 20 0 DDADMM CC(C)CN(CC(N)=O)Cc1ccc(O[C@H](C)C(=O)[O-])cc1 ZINC000833489212 604549936 /nfs/dbraw/zinc/54/99/36/604549936.db2.gz WWNSSFREEFOGKC-GFCCVEGCSA-N -1 1 308.378 1.482 20 0 DDADMM Cc1ccc(-c2n[nH]c(SCC(=O)O[C@@H](C)C(=O)[O-])n2)cc1 ZINC000821058283 604603131 /nfs/dbraw/zinc/60/31/31/604603131.db2.gz LBDHCIHJLLGNGX-VIFPVBQESA-N -1 1 321.358 1.889 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@H](NC(=O)[O-])C(C)C)C2)n[nH]1 ZINC000830734539 604644143 /nfs/dbraw/zinc/64/41/43/604644143.db2.gz MSRXWHQUROOYKW-WDEREUQCSA-N -1 1 309.370 1.111 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)C3(NC(=O)[O-])CCCC3)C2)n[nH]1 ZINC000830734667 604644464 /nfs/dbraw/zinc/64/44/64/604644464.db2.gz NQQKAKWHHKOFNY-LLVKDONJSA-N -1 1 321.381 1.400 20 0 DDADMM CCc1[nH]c(C(=O)N[C@@H](C)Cc2cc(C)[nH]n2)c(C)c1C(=O)[O-] ZINC000830192448 604742702 /nfs/dbraw/zinc/74/27/02/604742702.db2.gz PPGGPNNLWWTNEQ-QMMMGPOBSA-N -1 1 318.377 1.976 20 0 DDADMM C[C@H](NC(=O)CN1CCC(C)CC1)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000825944999 604850585 /nfs/dbraw/zinc/85/05/85/604850585.db2.gz RXWXFNQYNYPIIM-UONOGXRCSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000833589380 604854466 /nfs/dbraw/zinc/85/44/66/604854466.db2.gz VTLGLEGYISCAEM-NWDGAFQWSA-N -1 1 305.378 1.348 20 0 DDADMM CCc1nc([C@H](C)NC(=O)c2[nH]c(CC)c(C(=O)[O-])c2C)n[nH]1 ZINC000833674109 605023962 /nfs/dbraw/zinc/02/39/62/605023962.db2.gz PYUNWMXOSREMFY-QMMMGPOBSA-N -1 1 319.365 1.755 20 0 DDADMM CCN1C[C@H](NS(=O)(=O)c2ccccc2C(=O)[O-])[C@@H](C)C1 ZINC000833657701 605041433 /nfs/dbraw/zinc/04/14/33/605041433.db2.gz OGCWXCVXVNOTAQ-JQWIXIFHSA-N -1 1 312.391 1.003 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCC1=CCCC1 ZINC000833625540 605056306 /nfs/dbraw/zinc/05/63/06/605056306.db2.gz MYJZENQJHBJIGE-ZDUSSCGKSA-N -1 1 309.410 1.677 20 0 DDADMM CCc1nc(C)c(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])s1 ZINC000833673661 605081631 /nfs/dbraw/zinc/08/16/31/605081631.db2.gz LOYSUEZJVTYJCR-VIFPVBQESA-N -1 1 308.363 1.163 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cc2ccccc2[nH]1 ZINC000833623076 605105863 /nfs/dbraw/zinc/10/58/63/605105863.db2.gz DJTMFCKMYQOTCV-LBPRGKRZSA-N -1 1 315.373 1.789 20 0 DDADMM CCO[C@H]1C[C@H](O)C12CCN(Cc1ccc(C(=O)[O-])[nH]1)CC2 ZINC000833659728 605110806 /nfs/dbraw/zinc/11/08/06/605110806.db2.gz GCDOUOOFSPJSBH-KBPBESRZSA-N -1 1 308.378 1.465 20 0 DDADMM C[C@@H]1CC[C@H](CCC(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)O1 ZINC000833602654 605111644 /nfs/dbraw/zinc/11/16/44/605111644.db2.gz JWKOVWSOWDGPAW-MGPQQGTHSA-N -1 1 312.410 1.342 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)C2CCC(C(=O)[O-])CC2)n[nH]1 ZINC000833512980 605215501 /nfs/dbraw/zinc/21/55/01/605215501.db2.gz XPSNLMLOZFGLQS-KPPDAEKUSA-N -1 1 308.382 1.996 20 0 DDADMM CC(C)CN1CCO[C@H](CNC(=O)CC(C)(C)CC(=O)[O-])C1 ZINC000833488073 605252499 /nfs/dbraw/zinc/25/24/99/605252499.db2.gz OIEZDRIDDQWEFU-CYBMUJFWSA-N -1 1 314.426 1.350 20 0 DDADMM CC[N@@H+](C)[C@H](C(=O)[N-]OC[C@H](C)NC(=O)[O-])c1ccccc1 ZINC000833856493 605398305 /nfs/dbraw/zinc/39/83/05/605398305.db2.gz KPZFGPAVAFEGGI-AAEUAGOBSA-N -1 1 309.366 1.383 20 0 DDADMM O=C([O-])N1CC[C@@H](COC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000834284451 605440702 /nfs/dbraw/zinc/44/07/02/605440702.db2.gz CGHMTQDWZAFTNQ-SNVBAGLBSA-N -1 1 316.317 1.628 20 0 DDADMM O=C([O-])N[C@@H]1CCN(Cc2ccc(-n3cncn3)c(F)c2)C1 ZINC000834189678 605565178 /nfs/dbraw/zinc/56/51/78/605565178.db2.gz OCTSRQKAYMSSIC-LLVKDONJSA-N -1 1 305.313 1.248 20 0 DDADMM O=C([O-])N1CCC[C@H](NC(=O)N2CCN3CCCC[C@@H]3C2)C1 ZINC000739928356 605665489 /nfs/dbraw/zinc/66/54/89/605665489.db2.gz SYYPNIMPFWJSKR-QWHCGFSZSA-N -1 1 310.398 1.009 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CN2C[C@H](Cc3ccccc3)OC2=O)C1 ZINC000833553285 605786672 /nfs/dbraw/zinc/78/66/72/605786672.db2.gz ARXCBXAEPYNDDZ-YOEHRIQHSA-N -1 1 318.373 1.804 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H](C(=O)N[C@H]2CCc3nc[nH]c3C2)C1 ZINC000834165992 605960040 /nfs/dbraw/zinc/96/00/40/605960040.db2.gz CEJBDKODOPKAQA-MXWKQRLJSA-N -1 1 306.366 1.210 20 0 DDADMM O=C([O-])NCCN1CCC[C@H](n2ccc(C(F)(F)F)n2)C1 ZINC000834239139 605968924 /nfs/dbraw/zinc/96/89/24/605968924.db2.gz DQGZGOMRHFEOQT-VIFPVBQESA-N -1 1 306.288 1.806 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)[O-])C(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1 ZINC000833865157 605970448 /nfs/dbraw/zinc/97/04/48/605970448.db2.gz LWENWYJFCGWVIU-GUBZILKMSA-N -1 1 311.386 1.788 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)[O-])CCN1Cc1ccc(-n2cncn2)cc1 ZINC000833828757 606072993 /nfs/dbraw/zinc/07/29/93/606072993.db2.gz PJCJOOPLBLVYNA-CHWSQXEVSA-N -1 1 315.377 1.840 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@H]2CCCC2(C)C)n1 ZINC000822614603 606163199 /nfs/dbraw/zinc/16/31/99/606163199.db2.gz ONEGJFFWQGYIHP-SECBINFHSA-N -1 1 304.358 1.300 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@H]2CCCC2(C)C)n1 ZINC000822614603 606163201 /nfs/dbraw/zinc/16/32/01/606163201.db2.gz ONEGJFFWQGYIHP-SECBINFHSA-N -1 1 304.358 1.300 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCC2(C)CCCC2)n1 ZINC000822614897 606167317 /nfs/dbraw/zinc/16/73/17/606167317.db2.gz WODWORFTXRJJQV-UHFFFAOYSA-N -1 1 304.358 1.302 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCC2(C)CCCC2)n1 ZINC000822614897 606167320 /nfs/dbraw/zinc/16/73/20/606167320.db2.gz WODWORFTXRJJQV-UHFFFAOYSA-N -1 1 304.358 1.302 20 0 DDADMM O=C(Cc1cccc(O)c1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000823112196 606297037 /nfs/dbraw/zinc/29/70/37/606297037.db2.gz UFIOOAPSSJMPEB-UHFFFAOYSA-N -1 1 311.301 1.459 20 0 DDADMM Clc1nsc(NCCNc2cnccn2)c1-c1nnn[n-]1 ZINC000822591124 606461550 /nfs/dbraw/zinc/46/15/50/606461550.db2.gz RJQHHYMDMAKHOO-UHFFFAOYSA-N -1 1 323.773 1.291 20 0 DDADMM Clc1nsc(NCCNc2cnccn2)c1-c1nn[n-]n1 ZINC000822591124 606461552 /nfs/dbraw/zinc/46/15/52/606461552.db2.gz RJQHHYMDMAKHOO-UHFFFAOYSA-N -1 1 323.773 1.291 20 0 DDADMM Cc1ccccc1SCC(=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000822366130 606532757 /nfs/dbraw/zinc/53/27/57/606532757.db2.gz CMTBCYAIPUVROT-UHFFFAOYSA-N -1 1 315.362 1.629 20 0 DDADMM Cc1ccccc1SCC(=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000822366130 606532759 /nfs/dbraw/zinc/53/27/59/606532759.db2.gz CMTBCYAIPUVROT-UHFFFAOYSA-N -1 1 315.362 1.629 20 0 DDADMM C[C@H](O)CC(C)(C)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820475310 606598404 /nfs/dbraw/zinc/59/84/04/606598404.db2.gz ZWVOKEWPSNOYQP-QMMMGPOBSA-N -1 1 309.395 1.455 20 0 DDADMM C[C@H](O)CC(C)(C)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820475310 606598406 /nfs/dbraw/zinc/59/84/06/606598406.db2.gz ZWVOKEWPSNOYQP-QMMMGPOBSA-N -1 1 309.395 1.455 20 0 DDADMM CC(C)(C)c1csc(Cn2cccc(-c3nn[n-]n3)c2=O)n1 ZINC000819965371 606704400 /nfs/dbraw/zinc/70/44/00/606704400.db2.gz DCZCOHCIUSGTGN-UHFFFAOYSA-N -1 1 316.390 1.831 20 0 DDADMM CCOc1cccc(CCNc2nccnc2-c2nnn[n-]2)n1 ZINC000821427169 606721265 /nfs/dbraw/zinc/72/12/65/606721265.db2.gz NIDJXFGWRAKGRQ-UHFFFAOYSA-N -1 1 312.337 1.105 20 0 DDADMM CCOc1cccc(CCNc2nccnc2-c2nn[n-]n2)n1 ZINC000821427169 606721266 /nfs/dbraw/zinc/72/12/66/606721266.db2.gz NIDJXFGWRAKGRQ-UHFFFAOYSA-N -1 1 312.337 1.105 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)C2=CCCC2)n1 ZINC000819971244 606733703 /nfs/dbraw/zinc/73/37/03/606733703.db2.gz GFHPAORLJGLRMN-UHFFFAOYSA-N -1 1 301.354 1.867 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)C2=CCCC2)n1 ZINC000819971244 606733704 /nfs/dbraw/zinc/73/37/04/606733704.db2.gz GFHPAORLJGLRMN-UHFFFAOYSA-N -1 1 301.354 1.867 20 0 DDADMM Cc1c(Nc2c3ccccc3nnc2-c2nnn[n-]2)cnn1C ZINC000822130860 606809568 /nfs/dbraw/zinc/80/95/68/606809568.db2.gz ZIVUWXVSHTYRLX-UHFFFAOYSA-N -1 1 307.321 1.595 20 0 DDADMM Cc1c(Nc2c3ccccc3nnc2-c2nn[n-]n2)cnn1C ZINC000822130860 606809570 /nfs/dbraw/zinc/80/95/70/606809570.db2.gz ZIVUWXVSHTYRLX-UHFFFAOYSA-N -1 1 307.321 1.595 20 0 DDADMM COc1cc(C)ccc1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821707939 606871436 /nfs/dbraw/zinc/87/14/36/606871436.db2.gz IRNGMGJKKIHCPO-UHFFFAOYSA-N -1 1 324.344 1.509 20 0 DDADMM COc1cc(C)ccc1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821707939 606871438 /nfs/dbraw/zinc/87/14/38/606871438.db2.gz IRNGMGJKKIHCPO-UHFFFAOYSA-N -1 1 324.344 1.509 20 0 DDADMM C[C@H](CCNc1ccc(Cl)c(-c2nnn[n-]2)n1)[S@](C)=O ZINC000820242250 607041231 /nfs/dbraw/zinc/04/12/31/607041231.db2.gz MKWIHLFAQYWTME-GLEHDBDLSA-N -1 1 314.802 1.484 20 0 DDADMM C[C@H](CCNc1ccc(Cl)c(-c2nn[n-]n2)n1)[S@](C)=O ZINC000820242250 607041232 /nfs/dbraw/zinc/04/12/32/607041232.db2.gz MKWIHLFAQYWTME-GLEHDBDLSA-N -1 1 314.802 1.484 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1ccc[nH]c1=S ZINC000823383017 607105574 /nfs/dbraw/zinc/10/55/74/607105574.db2.gz JONVQJNQRZCIKX-UHFFFAOYSA-N -1 1 305.348 1.259 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1ccc[nH]c1=S ZINC000823383017 607105575 /nfs/dbraw/zinc/10/55/75/607105575.db2.gz JONVQJNQRZCIKX-UHFFFAOYSA-N -1 1 305.348 1.259 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(N[C@H]2CCO[C@]3(CCSC3)C2)n1 ZINC000823809964 607177325 /nfs/dbraw/zinc/17/73/25/607177325.db2.gz TZERCVZCLJNCPT-TVQRCGJNSA-N -1 1 319.394 1.123 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(N[C@H]2CCO[C@]3(CCSC3)C2)n1 ZINC000823809964 607177326 /nfs/dbraw/zinc/17/73/26/607177326.db2.gz TZERCVZCLJNCPT-TVQRCGJNSA-N -1 1 319.394 1.123 20 0 DDADMM Nc1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c(F)c1 ZINC000826396056 607857014 /nfs/dbraw/zinc/85/70/14/607857014.db2.gz DXISRIDEDWDZKB-UHFFFAOYSA-N -1 1 314.280 1.340 20 0 DDADMM Nc1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c(F)c1 ZINC000826396056 607857015 /nfs/dbraw/zinc/85/70/15/607857015.db2.gz DXISRIDEDWDZKB-UHFFFAOYSA-N -1 1 314.280 1.340 20 0 DDADMM COCCc1noc(COc2cccc(F)c2-c2nnn[n-]2)n1 ZINC000826163137 607863523 /nfs/dbraw/zinc/86/35/23/607863523.db2.gz HZKFOACMBYXEQX-UHFFFAOYSA-N -1 1 320.284 1.157 20 0 DDADMM COCCc1noc(COc2cccc(F)c2-c2nn[n-]n2)n1 ZINC000826163137 607863524 /nfs/dbraw/zinc/86/35/24/607863524.db2.gz HZKFOACMBYXEQX-UHFFFAOYSA-N -1 1 320.284 1.157 20 0 DDADMM Cc1csc([C@H](Nc2ccc(-c3nnn[n-]3)nn2)C2CC2)n1 ZINC000826320257 607991502 /nfs/dbraw/zinc/99/15/02/607991502.db2.gz KWOSWCKRRSGKRY-LLVKDONJSA-N -1 1 314.378 1.985 20 0 DDADMM Cc1csc([C@H](Nc2ccc(-c3nn[n-]n3)nn2)C2CC2)n1 ZINC000826320257 607991503 /nfs/dbraw/zinc/99/15/03/607991503.db2.gz KWOSWCKRRSGKRY-LLVKDONJSA-N -1 1 314.378 1.985 20 0 DDADMM COc1ccc(-c2noc(Cn3ccnc3-c3nnn[n-]3)n2)cc1 ZINC000826186723 608014340 /nfs/dbraw/zinc/01/43/40/608014340.db2.gz IGZMTMIGAZUJPZ-UHFFFAOYSA-N -1 1 324.304 1.170 20 0 DDADMM COc1ccc(-c2noc(Cn3ccnc3-c3nn[n-]n3)n2)cc1 ZINC000826186723 608014341 /nfs/dbraw/zinc/01/43/41/608014341.db2.gz IGZMTMIGAZUJPZ-UHFFFAOYSA-N -1 1 324.304 1.170 20 0 DDADMM CC[C@@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)C[C@@H]1O ZINC000825212497 608018005 /nfs/dbraw/zinc/01/80/05/608018005.db2.gz RPOQJNWFWMCLJP-SKDRFNHKSA-N -1 1 311.349 1.017 20 0 DDADMM CC[C@@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)C[C@@H]1O ZINC000825212497 608018006 /nfs/dbraw/zinc/01/80/06/608018006.db2.gz RPOQJNWFWMCLJP-SKDRFNHKSA-N -1 1 311.349 1.017 20 0 DDADMM COc1cc(COc2cccc(F)c2-c2nnn[n-]2)ccn1 ZINC000826180104 608095428 /nfs/dbraw/zinc/09/54/28/608095428.db2.gz RYVWWCODBLXSMZ-UHFFFAOYSA-N -1 1 301.281 1.988 20 0 DDADMM COc1cc(COc2cccc(F)c2-c2nn[n-]n2)ccn1 ZINC000826180104 608095429 /nfs/dbraw/zinc/09/54/29/608095429.db2.gz RYVWWCODBLXSMZ-UHFFFAOYSA-N -1 1 301.281 1.988 20 0 DDADMM Fc1cc2c(c(F)c1)[C@@H](NCc1ccc(-c3nnn[n-]3)o1)CO2 ZINC000826372839 608136926 /nfs/dbraw/zinc/13/69/26/608136926.db2.gz NOTMLDQDTQBSHG-JTQLQIEISA-N -1 1 319.271 1.961 20 0 DDADMM Fc1cc2c(c(F)c1)[C@@H](NCc1ccc(-c3nn[n-]n3)o1)CO2 ZINC000826372839 608136927 /nfs/dbraw/zinc/13/69/27/608136927.db2.gz NOTMLDQDTQBSHG-JTQLQIEISA-N -1 1 319.271 1.961 20 0 DDADMM CC(=O)O[C@@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000824005376 608199652 /nfs/dbraw/zinc/19/96/52/608199652.db2.gz PQVBUNUGFZZFKM-SECBINFHSA-N -1 1 321.362 1.096 20 0 DDADMM CC(=O)O[C@@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000824005376 608199654 /nfs/dbraw/zinc/19/96/54/608199654.db2.gz PQVBUNUGFZZFKM-SECBINFHSA-N -1 1 321.362 1.096 20 0 DDADMM CC(C)[C@@H]1C[C@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824213886 608248768 /nfs/dbraw/zinc/24/87/68/608248768.db2.gz DGDBLTZDZFPABD-ZJUUUORDSA-N -1 1 321.406 1.862 20 0 DDADMM CC(C)[C@@H]1C[C@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824213886 608248769 /nfs/dbraw/zinc/24/87/69/608248769.db2.gz DGDBLTZDZFPABD-ZJUUUORDSA-N -1 1 321.406 1.862 20 0 DDADMM COc1ccccc1OCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826221195 608389911 /nfs/dbraw/zinc/38/99/11/608389911.db2.gz TWHQBZFDRFKZAV-UHFFFAOYSA-N -1 1 313.321 1.156 20 0 DDADMM COc1ccccc1OCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826221195 608389913 /nfs/dbraw/zinc/38/99/13/608389913.db2.gz TWHQBZFDRFKZAV-UHFFFAOYSA-N -1 1 313.321 1.156 20 0 DDADMM c1cnn(Cc2cccc(Nc3ccc(-c4nnn[n-]4)nn3)c2)c1 ZINC000826516347 608397516 /nfs/dbraw/zinc/39/75/16/608397516.db2.gz HQIUSELWUYCIKW-UHFFFAOYSA-N -1 1 319.332 1.645 20 0 DDADMM c1cnn(Cc2cccc(Nc3ccc(-c4nn[n-]n4)nn3)c2)c1 ZINC000826516347 608397517 /nfs/dbraw/zinc/39/75/17/608397517.db2.gz HQIUSELWUYCIKW-UHFFFAOYSA-N -1 1 319.332 1.645 20 0 DDADMM Cc1nc(CCOC(=O)c2ccc(-c3nnn[n-]3)nc2)cs1 ZINC000826325840 608459049 /nfs/dbraw/zinc/45/90/49/608459049.db2.gz NUDNOLYHMWQWHO-UHFFFAOYSA-N -1 1 316.346 1.426 20 0 DDADMM Cc1nc(CCOC(=O)c2ccc(-c3nn[n-]n3)nc2)cs1 ZINC000826325840 608459051 /nfs/dbraw/zinc/45/90/51/608459051.db2.gz NUDNOLYHMWQWHO-UHFFFAOYSA-N -1 1 316.346 1.426 20 0 DDADMM O=C(NCCCc1cccs1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826437344 608765032 /nfs/dbraw/zinc/76/50/32/608765032.db2.gz HPVDVRQVBNFGIK-UHFFFAOYSA-N -1 1 314.374 1.686 20 0 DDADMM O=C(NCCCc1cccs1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826437344 608765036 /nfs/dbraw/zinc/76/50/36/608765036.db2.gz HPVDVRQVBNFGIK-UHFFFAOYSA-N -1 1 314.374 1.686 20 0 DDADMM CC1(NC(=O)c2ccc(-c3nnn[n-]3)nc2)CCC(F)(F)CC1 ZINC000824832641 609514740 /nfs/dbraw/zinc/51/47/40/609514740.db2.gz UQXYGAOKZWOYIP-UHFFFAOYSA-N -1 1 322.319 1.960 20 0 DDADMM CC1(NC(=O)c2ccc(-c3nn[n-]n3)nc2)CCC(F)(F)CC1 ZINC000824832641 609514742 /nfs/dbraw/zinc/51/47/42/609514742.db2.gz UQXYGAOKZWOYIP-UHFFFAOYSA-N -1 1 322.319 1.960 20 0 DDADMM C[C@@H]1CC[C@@H](C(F)(F)F)CN1c1ccc(-c2nnn[n-]2)nn1 ZINC000824906672 609576092 /nfs/dbraw/zinc/57/60/92/609576092.db2.gz CRHARULRJYDMBL-HTQZYQBOSA-N -1 1 313.287 1.824 20 0 DDADMM C[C@@H]1CC[C@@H](C(F)(F)F)CN1c1ccc(-c2nn[n-]n2)nn1 ZINC000824906672 609576095 /nfs/dbraw/zinc/57/60/95/609576095.db2.gz CRHARULRJYDMBL-HTQZYQBOSA-N -1 1 313.287 1.824 20 0 DDADMM CCCCCCC(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000122885940 696726242 /nfs/dbraw/zinc/72/62/42/696726242.db2.gz SNTFCWIULLFHEO-UHFFFAOYSA-N -1 1 306.366 1.782 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCCN2CCCCC2=O)[n-]1 ZINC000797083051 699992640 /nfs/dbraw/zinc/99/26/40/699992640.db2.gz IJRHJAPZCBRAAJ-UHFFFAOYSA-N -1 1 308.334 1.361 20 0 DDADMM O=C([O-])[C@@H](NC(=O)N[C@@H]1CCCc2cn[nH]c21)c1cccs1 ZINC000797725927 700021265 /nfs/dbraw/zinc/02/12/65/700021265.db2.gz XNQASPQHYDWZDO-SKDRFNHKSA-N -1 1 320.374 1.974 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975229134 695817220 /nfs/dbraw/zinc/81/72/20/695817220.db2.gz XAUWNRHUVXPJRC-QQFGACLNSA-N -1 1 317.389 1.722 20 0 DDADMM CC(=O)N1CCCC[C@H]1[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000975961938 695885043 /nfs/dbraw/zinc/88/50/43/695885043.db2.gz JSQAIDFDUANMDW-KBPBESRZSA-N -1 1 317.389 1.793 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1ncccc1[O-] ZINC000976282497 695950282 /nfs/dbraw/zinc/95/02/82/695950282.db2.gz QPONASFZYNWZOD-VXGBXAGGSA-N -1 1 305.378 1.600 20 0 DDADMM CCC(Nc1ccc(C)c(F)c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009866012 696038013 /nfs/dbraw/zinc/03/80/13/696038013.db2.gz PMZMYIXVDOVFFR-UHFFFAOYSA-N -1 1 307.350 1.741 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000977129132 696056855 /nfs/dbraw/zinc/05/68/55/696056855.db2.gz RQNSTHJZXKSSFM-TVEHIPJCSA-N -1 1 315.373 1.020 20 0 DDADMM CCCCNC(=S)N[N-]C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000016187238 696061002 /nfs/dbraw/zinc/06/10/02/696061002.db2.gz QTMRDDWCJQYUKG-UHFFFAOYSA-N -1 1 317.418 1.986 20 0 DDADMM Cc1cnc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)nc1C ZINC000798403072 700062396 /nfs/dbraw/zinc/06/23/96/700062396.db2.gz KBVOKDHLBJJTJY-UHFFFAOYSA-N -1 1 316.327 1.988 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CSc2ncc(C)cn2)cc1 ZINC000042089437 696144586 /nfs/dbraw/zinc/14/45/86/696144586.db2.gz FMZKZKJFDPWNCP-UHFFFAOYSA-N -1 1 317.370 1.842 20 0 DDADMM Cc1nnc(COC(=O)c2sccc2[N-]S(C)(=O)=O)o1 ZINC000042775528 696150904 /nfs/dbraw/zinc/15/09/04/696150904.db2.gz FQINAUPRUCUITC-UHFFFAOYSA-N -1 1 317.348 1.168 20 0 DDADMM O=C(CSc1nc2ccccc2s1)NCc1nn[n-]n1 ZINC000044927444 696169726 /nfs/dbraw/zinc/16/97/26/696169726.db2.gz CLAMLKWIZCRSLY-UHFFFAOYSA-N -1 1 306.376 1.218 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCC[C@@H](C(N)=O)C1 ZINC000747400341 700068144 /nfs/dbraw/zinc/06/81/44/700068144.db2.gz ZCADGGUIESFZFL-MNOVXSKESA-N -1 1 320.393 1.388 20 0 DDADMM Cc1nn(C)c(C)c1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747411244 700068777 /nfs/dbraw/zinc/06/87/77/700068777.db2.gz LSZDHJRJBNBBHH-UHFFFAOYSA-N -1 1 317.393 1.894 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccc(Cl)s1)[C@@H]1CC1[N+](=O)[O-] ZINC000048461456 696212666 /nfs/dbraw/zinc/21/26/66/696212666.db2.gz INEYOBQIUJNBRV-RFZPGFLSSA-N -1 1 310.740 1.712 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977618699 696236773 /nfs/dbraw/zinc/23/67/73/696236773.db2.gz NVSIVJUOGXFFCH-CSXHZRMWSA-N -1 1 303.362 1.426 20 0 DDADMM CN(C[C@H]1CCN1C(=O)C1(C)CCC1)C(=O)c1ncccc1[O-] ZINC000977621704 696237841 /nfs/dbraw/zinc/23/78/41/696237841.db2.gz UQIWAJNAPYVTEB-GFCCVEGCSA-N -1 1 317.389 1.650 20 0 DDADMM CCn1c(CNC(=O)CCn2nc(C)cc2C)n[n-]c1=S ZINC000066636381 696354413 /nfs/dbraw/zinc/35/44/13/696354413.db2.gz SDAKGYAIFQKEDY-UHFFFAOYSA-N -1 1 308.411 1.480 20 0 DDADMM O=C([N-]Cc1ccccc1CN1CCOCC1)C(F)(F)F ZINC000070286820 696387656 /nfs/dbraw/zinc/38/76/56/696387656.db2.gz ZIYLTMCDNYCJSP-UHFFFAOYSA-N -1 1 302.296 1.697 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccc3ncccc3c2)s1 ZINC000079585464 696461799 /nfs/dbraw/zinc/46/17/99/696461799.db2.gz RQHYUTOUQDYTAP-LLVKDONJSA-N -1 1 300.343 1.520 20 0 DDADMM CCc1nc2cc(NC(=O)Cc3sc(N)nc3[O-])ccc2o1 ZINC000079642777 696462322 /nfs/dbraw/zinc/46/23/22/696462322.db2.gz CFHGESCRNMSDIH-SNVBAGLBSA-N -1 1 318.358 1.676 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCc3ccc(Cl)cc32)s1 ZINC000079810594 696463886 /nfs/dbraw/zinc/46/38/86/696463886.db2.gz SGFCZOAQULKJLL-SNVBAGLBSA-N -1 1 309.778 1.576 20 0 DDADMM Cc1ccccc1[C@@H](NC(=O)Cc1sc(N)nc1[O-])C1CC1 ZINC000080235433 696531561 /nfs/dbraw/zinc/53/15/61/696531561.db2.gz SRIXZKMBCVHBOM-OCCSQVGLSA-N -1 1 317.414 1.909 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC#Cc1ccccc1 ZINC000080508162 696535372 /nfs/dbraw/zinc/53/53/72/696535372.db2.gz ZFNXBCLWRBNIQS-UHFFFAOYSA-N -1 1 309.369 1.983 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@H]2c2ccc(Cl)cc2)s1 ZINC000080661512 696536487 /nfs/dbraw/zinc/53/64/87/696536487.db2.gz HCSFEGNZWUXKPP-WDEREUQCSA-N -1 1 323.805 1.960 20 0 DDADMM C[C@@H](C(=O)N[N-]C(=O)c1ccc(Cl)cc1F)n1cccn1 ZINC000081030383 696539834 /nfs/dbraw/zinc/53/98/34/696539834.db2.gz OXURGKUQTSHLDH-QMMMGPOBSA-N -1 1 310.716 1.698 20 0 DDADMM CCc1ccc(C(C)(C)NC(=O)Cc2sc(N)nc2[O-])cc1 ZINC000083009599 696549897 /nfs/dbraw/zinc/54/98/97/696549897.db2.gz GJJPHGYYLYQCBD-GFCCVEGCSA-N -1 1 319.430 1.947 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC(C)(C)C[C@@H](C)O ZINC000087424700 696568439 /nfs/dbraw/zinc/56/84/39/696568439.db2.gz PHIAGZDMGAXOML-SNVBAGLBSA-N -1 1 309.410 1.729 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccccc2N2CCCC2)s1 ZINC000120870390 696702958 /nfs/dbraw/zinc/70/29/58/696702958.db2.gz INXYNVHKAVMCAX-GFCCVEGCSA-N -1 1 318.402 1.572 20 0 DDADMM CC(C)CS(=O)(=O)[N-]C(=O)[C@H](C(C)C)N1CCCCC1 ZINC000124286267 696741093 /nfs/dbraw/zinc/74/10/93/696741093.db2.gz UNQXLKVJQRAGMT-ZDUSSCGKSA-N -1 1 304.456 1.599 20 0 DDADMM Cc1cc(CN2CCCN(C(=O)c3ncccc3[O-])CC2)on1 ZINC000981026352 696982829 /nfs/dbraw/zinc/98/28/29/696982829.db2.gz BMZJEQCOPFQYCC-UHFFFAOYSA-N -1 1 316.361 1.432 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2CCCCS2)c1Cl ZINC000158099168 696986595 /nfs/dbraw/zinc/98/65/95/696986595.db2.gz QADFPCONNHPTFI-MRVPVSSYSA-N -1 1 309.844 1.638 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982465622 697134283 /nfs/dbraw/zinc/13/42/83/697134283.db2.gz JGFBOEQDFDOPQK-VXGBXAGGSA-N -1 1 305.378 1.412 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2cc(C)ccc2OC)C1 ZINC000748776847 700134753 /nfs/dbraw/zinc/13/47/53/700134753.db2.gz OPIYNEMFSUAJBH-GFCCVEGCSA-N -1 1 322.361 1.051 20 0 DDADMM C[C@@](O)(CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000163695773 697334109 /nfs/dbraw/zinc/33/41/09/697334109.db2.gz LCFLBIUALBQLJQ-MLGOLLRUSA-N -1 1 315.377 1.204 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2ccc(F)cc2)n1 ZINC000176719820 697405530 /nfs/dbraw/zinc/40/55/30/697405530.db2.gz IZTBHZIBYQBGGL-UHFFFAOYSA-N -1 1 312.326 1.238 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1CC ZINC000984801479 697430860 /nfs/dbraw/zinc/43/08/60/697430860.db2.gz RJJBIZWHJPLUQS-CLFQDTNISA-N -1 1 317.389 1.863 20 0 DDADMM COC(=O)C[C@H]1CN(C(=O)c2n[nH]c(C)c2[O-])c2ccccc21 ZINC000749239730 700152767 /nfs/dbraw/zinc/15/27/67/700152767.db2.gz FVYJOFCWBWTHFH-JTQLQIEISA-N -1 1 315.329 1.731 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@@H]1CSCCS1)c1nn[n-]n1 ZINC000183415644 697488310 /nfs/dbraw/zinc/48/83/10/697488310.db2.gz GNKARQSFHKUCLG-DTWKUNHWSA-N -1 1 301.441 1.000 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCC1CCCC1 ZINC000985928216 697604869 /nfs/dbraw/zinc/60/48/69/697604869.db2.gz SVFFRQACZGGMBZ-WCQYABFASA-N -1 1 321.425 1.560 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)oc1C ZINC000986160116 697711852 /nfs/dbraw/zinc/71/18/52/697711852.db2.gz NEXPRZUPWXOXDH-ONGXEEELSA-N -1 1 319.365 1.113 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@@H](NCc3nccs3)[C@H]2C)c1[O-] ZINC000986162215 697712317 /nfs/dbraw/zinc/71/23/17/697712317.db2.gz SQDYQFMNKUNAIB-NXEZZACHSA-N -1 1 321.406 1.273 20 0 DDADMM COc1ccsc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773156411 697720641 /nfs/dbraw/zinc/72/06/41/697720641.db2.gz AQJPHCIKLAYBEJ-SSDOTTSWSA-N -1 1 311.392 1.200 20 0 DDADMM O=C(COC1CCCCC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773157258 697720675 /nfs/dbraw/zinc/72/06/75/697720675.db2.gz BERDLKGMRYSORA-NSHDSACASA-N -1 1 311.411 1.166 20 0 DDADMM Fc1[n-]c(F)c(F)c(=NNC(=S)NC[C@H]2CCOC2)c1F ZINC000749640282 700174852 /nfs/dbraw/zinc/17/48/52/700174852.db2.gz RZYPLVFWZZILSM-RXMQYKEDSA-N -1 1 324.303 1.466 20 0 DDADMM CNC(=O)Cc1nc(COC(=O)c2ccc([O-])cc2F)cs1 ZINC000773563726 697781834 /nfs/dbraw/zinc/78/18/34/697781834.db2.gz DJQDSFHSIVOZEQ-UHFFFAOYSA-N -1 1 324.333 1.633 20 0 DDADMM COc1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)cc1 ZINC000774884192 697937949 /nfs/dbraw/zinc/93/79/49/697937949.db2.gz UKCWTDHMEDIERX-UHFFFAOYSA-N -1 1 305.252 1.921 20 0 DDADMM CC(=O)c1c[nH]c(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000774894115 697939300 /nfs/dbraw/zinc/93/93/00/697939300.db2.gz BZBZEHBPTFHGHH-UHFFFAOYSA-N -1 1 306.240 1.443 20 0 DDADMM CNc1nc(C)ccc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774915738 697941544 /nfs/dbraw/zinc/94/15/44/697941544.db2.gz WXWDLLZPMVWLDZ-UHFFFAOYSA-N -1 1 319.283 1.657 20 0 DDADMM CC(C)c1n[nH]cc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774913702 697941571 /nfs/dbraw/zinc/94/15/71/697941571.db2.gz LWAZDOSNIFLVCL-UHFFFAOYSA-N -1 1 307.272 1.759 20 0 DDADMM Cc1c(N)cccc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774942410 697945500 /nfs/dbraw/zinc/94/55/00/697945500.db2.gz CIMHDMNZLLYPRL-UHFFFAOYSA-N -1 1 304.268 1.803 20 0 DDADMM CCn1nccc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000775023992 697955293 /nfs/dbraw/zinc/95/52/93/697955293.db2.gz SWRPBCBQBORDEH-UHFFFAOYSA-N -1 1 301.302 1.016 20 0 DDADMM O=C(c1ccc2[nH]ccc2c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776563724 698117178 /nfs/dbraw/zinc/11/71/78/698117178.db2.gz KYBAQNVOFJPEAO-LBPRGKRZSA-N -1 1 314.374 1.611 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OC[C@@H]1CCCCO1 ZINC000778105823 698241836 /nfs/dbraw/zinc/24/18/36/698241836.db2.gz OMLSWPYOPOTZCQ-VIFPVBQESA-N -1 1 319.404 1.846 20 0 DDADMM COC(=O)C(=O)[C@H](C)OC(=O)c1ccc(Cl)c(Cl)c1[O-] ZINC000778310930 698260232 /nfs/dbraw/zinc/26/02/32/698260232.db2.gz JWPBRXRMTNSMCT-YFKPBYRVSA-N -1 1 321.112 1.986 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc(-n3cncn3)nc2)c([O-])c1 ZINC000778491082 698341041 /nfs/dbraw/zinc/34/10/41/698341041.db2.gz AYDHHKROCGHKTN-UHFFFAOYSA-N -1 1 310.317 1.001 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)C1CCCC1 ZINC000778670336 698358403 /nfs/dbraw/zinc/35/84/03/698358403.db2.gz GUWNCMKNCBFHMX-UHFFFAOYSA-N -1 1 304.456 1.887 20 0 DDADMM CN1CC[C@@H](OC(=O)c2ccc(Br)c([O-])c2)C1=O ZINC000778826975 698373066 /nfs/dbraw/zinc/37/30/66/698373066.db2.gz GEOZPHDPBYTSJB-SNVBAGLBSA-N -1 1 314.135 1.542 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2ccc(F)c(Cl)c2F)C1=O ZINC000779540976 698432884 /nfs/dbraw/zinc/43/28/84/698432884.db2.gz KEHGNBXPYQUVSF-UHFFFAOYSA-N -1 1 303.652 1.157 20 0 DDADMM CC(C)CC[C@@H]1CCCCN1CCS(=O)(=O)CC(=O)[O-] ZINC000262308528 698463698 /nfs/dbraw/zinc/46/36/98/698463698.db2.gz NDHKNECHOFOZOX-ZDUSSCGKSA-N -1 1 305.440 1.777 20 0 DDADMM O=C([O-])[C@@H](NC(=O)Cc1[nH]nc2ccccc21)[C@@H]1CCCOC1 ZINC000262704274 698478376 /nfs/dbraw/zinc/47/83/76/698478376.db2.gz BHOPXVQTDOFNAZ-BMIGLBTASA-N -1 1 317.345 1.101 20 0 DDADMM COc1ccc(OS(=O)(=O)c2c[n-]nc2C)cc1C(C)=O ZINC000779951119 698480930 /nfs/dbraw/zinc/48/09/30/698480930.db2.gz QCTZIIHMDMKOIJ-UHFFFAOYSA-N -1 1 310.331 1.697 20 0 DDADMM CN(C)Cc1cc(CNC(=O)N2CCC[C@H]2C(=O)[O-])ccc1F ZINC000780576109 698534669 /nfs/dbraw/zinc/53/46/69/698534669.db2.gz IVEDDWIPNATCEA-AWEZNQCLSA-N -1 1 323.368 1.646 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccco1)c1cccc(F)c1F ZINC000305175045 698638207 /nfs/dbraw/zinc/63/82/07/698638207.db2.gz AGIXIBYSVYHVHQ-SECBINFHSA-N -1 1 303.286 1.570 20 0 DDADMM Cc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cs1 ZINC000989557516 698666538 /nfs/dbraw/zinc/66/65/38/698666538.db2.gz HODSEVSPCDTDIX-GZMMTYOYSA-N -1 1 321.406 1.273 20 0 DDADMM C[C@H](CN1CCOCC1)OC(=O)c1c([O-])cc(F)cc1F ZINC000782261191 698700581 /nfs/dbraw/zinc/70/05/81/698700581.db2.gz OGJBXCSRLGNTMD-SECBINFHSA-N -1 1 301.289 1.548 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2C[C@]2(CO)C1 ZINC000783799283 698882008 /nfs/dbraw/zinc/88/20/08/698882008.db2.gz YVAAVWHPJSELRZ-MGPLVRAMSA-N -1 1 305.378 1.243 20 0 DDADMM C[C@@H]1CSC[C@H]1[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000397865497 699117902 /nfs/dbraw/zinc/11/79/02/699117902.db2.gz ZXLZIJONNVQMOX-VXNVDRBHSA-N -1 1 320.367 1.764 20 0 DDADMM C[C@@H]1CSC[C@@H]1[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000397865486 699118166 /nfs/dbraw/zinc/11/81/66/699118166.db2.gz ZXLZIJONNVQMOX-APPZFPTMSA-N -1 1 320.367 1.764 20 0 DDADMM Cc1cc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)cnn1 ZINC000786400844 699144094 /nfs/dbraw/zinc/14/40/94/699144094.db2.gz AHFDMKWALXRGOH-UHFFFAOYSA-N -1 1 312.281 1.954 20 0 DDADMM O=C(NC1CN(C(=O)C2(F)CCCCC2)C1)c1ncccc1[O-] ZINC000990973253 699196861 /nfs/dbraw/zinc/19/68/61/699196861.db2.gz YOKPOPWMPVITKM-UHFFFAOYSA-N -1 1 321.352 1.400 20 0 DDADMM CC[C@H](NC(=O)c1cnc2nc(C)ccc2c1[O-])C(OC)OC ZINC000788196296 699289089 /nfs/dbraw/zinc/28/90/89/699289089.db2.gz DHAQGPUYNRTGHO-LBPRGKRZSA-N -1 1 319.361 1.771 20 0 DDADMM CC[C@H](NC(=O)c1c[n-]c2nc(C)ccc2c1=O)C(OC)OC ZINC000788196296 699289091 /nfs/dbraw/zinc/28/90/91/699289091.db2.gz DHAQGPUYNRTGHO-LBPRGKRZSA-N -1 1 319.361 1.771 20 0 DDADMM CCOC1CC2(C[C@@H]2[N-]S(=O)(=O)c2ncn(C)c2Cl)C1 ZINC000721272736 699312776 /nfs/dbraw/zinc/31/27/76/699312776.db2.gz OVGMOZPVRMEWOS-XEVUQIKYSA-N -1 1 319.814 1.309 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@H]1CCCOC1 ZINC000723871695 699322634 /nfs/dbraw/zinc/32/26/34/699322634.db2.gz VTXJKMXTPGCHAA-VHSXEESVSA-N -1 1 321.830 1.557 20 0 DDADMM CCCN1Cc2cccc(NC(=O)C(=O)[N-]OCCOC)c2C1 ZINC000789826774 699412888 /nfs/dbraw/zinc/41/28/88/699412888.db2.gz LKNITHYHFCOFJU-UHFFFAOYSA-N -1 1 321.377 1.045 20 0 DDADMM CNC(=O)c1ccc(C(=O)Nc2nc(Cl)ccc2[O-])cn1 ZINC000790056534 699438566 /nfs/dbraw/zinc/43/85/66/699438566.db2.gz OBFDAKBXURXRKC-UHFFFAOYSA-N -1 1 306.709 1.448 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1ccc2c(c1)C(=O)NC2=O ZINC000790062981 699439605 /nfs/dbraw/zinc/43/96/05/699439605.db2.gz LOLKCUSTDUIQHM-UHFFFAOYSA-N -1 1 317.688 1.577 20 0 DDADMM CC(C)Oc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000732089060 699546014 /nfs/dbraw/zinc/54/60/14/699546014.db2.gz AICOSYDQESKXEB-ZDUSSCGKSA-N -1 1 317.349 1.201 20 0 DDADMM COC(=O)NCCC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000733544157 699604469 /nfs/dbraw/zinc/60/44/69/699604469.db2.gz JPRQJGPWDUESEK-UHFFFAOYSA-N -1 1 321.285 1.288 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2n[nH]c(C)c2Cl)o1 ZINC000790984409 699606116 /nfs/dbraw/zinc/60/61/16/699606116.db2.gz JJVQNJYLONWTEF-UHFFFAOYSA-N -1 1 318.742 1.125 20 0 DDADMM COC1(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCC1 ZINC000791514147 699638927 /nfs/dbraw/zinc/63/89/27/699638927.db2.gz GVNFSEOCHGSFGH-UHFFFAOYSA-N -1 1 305.330 1.445 20 0 DDADMM O=C([N-]S(=O)(=O)Cc1ccccc1)c1ccc2cncn2c1 ZINC000793118928 699733673 /nfs/dbraw/zinc/73/36/73/699733673.db2.gz WNCJAUABVHRMQK-UHFFFAOYSA-N -1 1 315.354 1.594 20 0 DDADMM Cc1coc(C)c1C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000793197262 699739715 /nfs/dbraw/zinc/73/97/15/699739715.db2.gz QDMMTWDILHWYQW-UHFFFAOYSA-N -1 1 316.317 1.335 20 0 DDADMM O=C(CC/C=C\c1ccccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000737825086 699745516 /nfs/dbraw/zinc/74/55/16/699745516.db2.gz JVUNMVGIJXSHRC-VCDPDADVSA-N -1 1 313.361 1.593 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](Cc2ccccc2)C(=O)[O-])c1C ZINC000738166861 699754563 /nfs/dbraw/zinc/75/45/63/699754563.db2.gz KXOWCPJXTFOPLH-CYBMUJFWSA-N -1 1 301.346 1.700 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(F)(F)CC2)o1 ZINC000794293668 699800830 /nfs/dbraw/zinc/80/08/30/699800830.db2.gz WTVIHPPCXBWGNS-UHFFFAOYSA-N -1 1 308.306 1.059 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H]2C[C@H]2C)c(F)cc1Cl ZINC000795976407 699904912 /nfs/dbraw/zinc/90/49/12/699904912.db2.gz SGUAHQLGPYRHDA-LHLIQPBNSA-N -1 1 321.757 1.952 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC[C@H](N2CCNC2=O)C1 ZINC000744356647 699946660 /nfs/dbraw/zinc/94/66/60/699946660.db2.gz YCROGPLYBJVERA-JTQLQIEISA-N -1 1 323.780 1.675 20 0 DDADMM CCOCCCOC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000744890548 699964713 /nfs/dbraw/zinc/96/47/13/699964713.db2.gz BDJLOVOSHLCROR-UHFFFAOYSA-N -1 1 321.377 1.954 20 0 DDADMM CC[C@H](OC(=O)c1nn(-c2ccccc2)cc1[O-])C(=O)OC ZINC000801202269 700277471 /nfs/dbraw/zinc/27/74/71/700277471.db2.gz BLRNGECKZLQZIF-LBPRGKRZSA-N -1 1 304.302 1.686 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccnn3C3CCCC3)ccnc1-2 ZINC000801703741 700333040 /nfs/dbraw/zinc/33/30/40/700333040.db2.gz UXJGECYESVBERL-UHFFFAOYSA-N -1 1 310.361 1.906 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]C[C@@H]2CC2(Cl)Cl)s1 ZINC000754793730 700528582 /nfs/dbraw/zinc/52/85/82/700528582.db2.gz XBHBHGVYNRZODI-LURJTMIESA-N -1 1 301.220 1.924 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H](O)c2ccccn2)sc1C ZINC000758514425 700709666 /nfs/dbraw/zinc/70/96/66/700709666.db2.gz INNZHPXOUGKQSB-LLVKDONJSA-N -1 1 313.404 1.167 20 0 DDADMM CCOc1cc(C(=O)NCC2OCCCO2)cc(Cl)c1[O-] ZINC000763078613 700924700 /nfs/dbraw/zinc/92/47/00/700924700.db2.gz CNYBCTPPJAPRKS-UHFFFAOYSA-N -1 1 315.753 1.937 20 0 DDADMM O=C(c1nc(Cl)ccc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000765109722 700999586 /nfs/dbraw/zinc/99/95/86/700999586.db2.gz OCNMNWFXEBDYGV-ZETCQYMHSA-N -1 1 310.720 1.407 20 0 DDADMM CC[C@H](CO)N1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000765256200 701004638 /nfs/dbraw/zinc/00/46/38/701004638.db2.gz GUMBIGVEKGJGPF-LLVKDONJSA-N -1 1 312.797 1.574 20 0 DDADMM Cc1nc2cc(F)ccc2cc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765430801 701012357 /nfs/dbraw/zinc/01/23/57/701012357.db2.gz KAMUHVQBYFXRMN-UHFFFAOYSA-N -1 1 316.292 1.461 20 0 DDADMM O=C(c1nc2ccccc2s1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000766874100 701067255 /nfs/dbraw/zinc/06/72/55/701067255.db2.gz ARVPUEAUTHCZDI-SECBINFHSA-N -1 1 316.346 1.023 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)/C=C/SC)cc1 ZINC000767653394 701126048 /nfs/dbraw/zinc/12/60/48/701126048.db2.gz RQJYPBADMPKERJ-BQYQJAHWSA-N -1 1 309.343 1.372 20 0 DDADMM O=C([O-])c1ccccc1OCCN1CCOC2(CCOCC2)C1 ZINC000768784665 701202146 /nfs/dbraw/zinc/20/21/46/701202146.db2.gz CSQNBTGUHZIJDE-UHFFFAOYSA-N -1 1 321.373 1.645 20 0 DDADMM Cc1cc(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)cn(C)c1=O ZINC000769340294 701241981 /nfs/dbraw/zinc/24/19/81/701241981.db2.gz OARGYVTZSPLKGX-UHFFFAOYSA-N -1 1 316.361 1.873 20 0 DDADMM Cc1nc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)sc1C ZINC000770261395 701273142 /nfs/dbraw/zinc/27/31/42/701273142.db2.gz ZWMQKOIBMLGTJB-LLVKDONJSA-N -1 1 314.374 1.792 20 0 DDADMM O=C(Nc1ccc2c(cnn2C(F)F)c1)NN1CC(=O)[N-]C1=O ZINC000770611881 701285993 /nfs/dbraw/zinc/28/59/93/701285993.db2.gz PPLFDTNCFADVAS-UHFFFAOYSA-N -1 1 324.247 1.020 20 0 DDADMM Cc1cc(C(=O)N2CCc3ncccc32)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000770671594 701289061 /nfs/dbraw/zinc/28/90/61/701289061.db2.gz OTYUFMQVEDZHMZ-UHFFFAOYSA-N -1 1 323.312 1.342 20 0 DDADMM Cc1cc(C(=O)N2CCc3ncccc32)[n-]c2nc(=O)[nH]c(=O)c1-2 ZINC000770671594 701289062 /nfs/dbraw/zinc/28/90/62/701289062.db2.gz OTYUFMQVEDZHMZ-UHFFFAOYSA-N -1 1 323.312 1.342 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2c(F)cccc2F)C1 ZINC000770773526 701294878 /nfs/dbraw/zinc/29/48/78/701294878.db2.gz UYPJSIVQSYXGHE-VIFPVBQESA-N -1 1 314.288 1.012 20 0 DDADMM O=CNc1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000771556912 701323406 /nfs/dbraw/zinc/32/34/06/701323406.db2.gz YXNDGIYQMWONRE-AWEZNQCLSA-N -1 1 322.328 1.287 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1 ZINC000807993019 701493512 /nfs/dbraw/zinc/49/35/12/701493512.db2.gz QURHLLKFSMKYRZ-HAQNSBGRSA-N -1 1 307.398 1.226 20 0 DDADMM C[C@H]1CCC(=CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000830959313 706611681 /nfs/dbraw/zinc/61/16/81/706611681.db2.gz MRUHXJURTBPBHF-VUBLIPMDSA-N -1 1 303.362 1.618 20 0 DDADMM CC[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C(OC)OC ZINC000866112607 706621865 /nfs/dbraw/zinc/62/18/65/706621865.db2.gz BXWHGPXSRIQAIB-SNVBAGLBSA-N -1 1 305.334 1.050 20 0 DDADMM Cn1nc(C(F)(F)F)cc1CNC(=O)c1cncc([O-])c1 ZINC000831033140 706624045 /nfs/dbraw/zinc/62/40/45/706624045.db2.gz UUDSTGTXRGAZNQ-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM CCOC1CC2(C[C@H]2C(=O)N2CCC(c3n[nH]c(=O)o3)CC2)C1 ZINC000868064170 701921734 /nfs/dbraw/zinc/92/17/34/701921734.db2.gz PRWRHTZNPQBDMG-BGMSHATGSA-N -1 1 321.377 1.686 20 0 DDADMM CCOC1CC2(C[C@H]2C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000868064170 701921739 /nfs/dbraw/zinc/92/17/39/701921739.db2.gz PRWRHTZNPQBDMG-BGMSHATGSA-N -1 1 321.377 1.686 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2(CCF)CC2)cc1 ZINC000811340187 701964058 /nfs/dbraw/zinc/96/40/58/701964058.db2.gz SWWHPXQNKATMRE-UHFFFAOYSA-N -1 1 323.320 1.635 20 0 DDADMM O=C(c1ncsc1C1CC1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000879263107 706634048 /nfs/dbraw/zinc/63/40/48/706634048.db2.gz LGNBTPDSGOVZJA-VIFPVBQESA-N -1 1 304.379 1.553 20 0 DDADMM Cc1nc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c(C)s1 ZINC000831130605 706640576 /nfs/dbraw/zinc/64/05/76/706640576.db2.gz ZFSSJTMUMKMTHR-UHFFFAOYSA-N -1 1 321.324 1.510 20 0 DDADMM C[S@](=O)CCN1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000816515060 702103182 /nfs/dbraw/zinc/10/31/82/702103182.db2.gz OGIDDNJHIFNZGO-FQEVSTJZSA-N -1 1 316.854 1.542 20 0 DDADMM O=C(COCC1CC1)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000812276647 702133628 /nfs/dbraw/zinc/13/36/28/702133628.db2.gz LSHDKXRIFATQCR-NSHDSACASA-N -1 1 322.327 1.330 20 0 DDADMM O=C([N-]OC/C=C/Cl)c1cc[nH]c(=O)c1Br ZINC000812402180 702176176 /nfs/dbraw/zinc/17/61/76/702176176.db2.gz CIVVRVNRJBAKDK-HNQUOIGGSA-N -1 1 307.531 1.964 20 0 DDADMM C[C@H]1CC[C@@H](CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868567335 702202168 /nfs/dbraw/zinc/20/21/68/702202168.db2.gz NATHHXPSICMLAN-WDEREUQCSA-N -1 1 320.393 1.490 20 0 DDADMM COC(=O)CCSc1ncccc1[N-]C(=O)c1cnco1 ZINC000813515436 702365083 /nfs/dbraw/zinc/36/50/83/702365083.db2.gz DIGLKAFSECSHES-UHFFFAOYSA-N -1 1 307.331 1.977 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](CCSC)C(=O)OC)[n-]1 ZINC000814025319 702462549 /nfs/dbraw/zinc/46/25/49/702462549.db2.gz YMYYXFCGRJUVRJ-SNVBAGLBSA-N -1 1 315.347 1.253 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)C2=Cc3ccccc3OC2)C(=O)O1 ZINC000841526048 702480102 /nfs/dbraw/zinc/48/01/02/702480102.db2.gz WAMBFCKKZUNGDG-SKDRFNHKSA-N -1 1 309.343 1.043 20 0 DDADMM CO[C@](C)([C@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CC1 ZINC000841705678 702543819 /nfs/dbraw/zinc/54/38/19/702543819.db2.gz PQDJYAIWSMTFQS-MGPLVRAMSA-N -1 1 317.393 1.667 20 0 DDADMM CC(C)[C@@]1(C)C[C@@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869478541 702620223 /nfs/dbraw/zinc/62/02/23/702620223.db2.gz TXDZERIMBICCKQ-ZWNOBZJWSA-N -1 1 323.311 1.850 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc(OC)c1 ZINC000866390788 706687978 /nfs/dbraw/zinc/68/79/78/706687978.db2.gz STFAHCJNZRVEGW-SFHVURJKSA-N -1 1 306.409 1.147 20 0 DDADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)Cc1ccc(F)cc1Cl ZINC000866394212 706688586 /nfs/dbraw/zinc/68/85/86/706688586.db2.gz SWNIJSBYMYWMIC-JTQLQIEISA-N -1 1 307.730 1.214 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H](C)c1cccnc1 ZINC000866417759 706693390 /nfs/dbraw/zinc/69/33/90/706693390.db2.gz DUXJPJDBKYDMQH-SNVBAGLBSA-N -1 1 305.425 1.485 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CC23CCCC3)CCC1 ZINC000843016794 702803803 /nfs/dbraw/zinc/80/38/03/702803803.db2.gz VFUXFAHHSWBLLQ-LBPRGKRZSA-N -1 1 314.451 1.497 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2ccsc2)CCC1 ZINC000843017414 702804147 /nfs/dbraw/zinc/80/41/47/702804147.db2.gz DJIZZATWPJASDH-UHFFFAOYSA-N -1 1 302.421 1.292 20 0 DDADMM C[C@@H](CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C1CC1 ZINC000843017706 702804293 /nfs/dbraw/zinc/80/42/93/702804293.db2.gz AEOHCIDJRFUTKG-NSHDSACASA-N -1 1 302.440 1.353 20 0 DDADMM CCCc1ccc(CCCC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000843767669 702921531 /nfs/dbraw/zinc/92/15/31/702921531.db2.gz LKNAUTGKMYZWSJ-UHFFFAOYSA-N -1 1 303.362 1.545 20 0 DDADMM CC(C)=CCC[C@@H](C)CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000843792910 702924675 /nfs/dbraw/zinc/92/46/75/702924675.db2.gz XBWGNLMBULGYOP-CHWSQXEVSA-N -1 1 307.398 1.872 20 0 DDADMM O=C([N-]Cc1cc(=O)n2ccccc2n1)C(F)(F)C(F)F ZINC000844970007 703091572 /nfs/dbraw/zinc/09/15/72/703091572.db2.gz IPYHVZBYTLJQTH-UHFFFAOYSA-N -1 1 303.215 1.211 20 0 DDADMM CCO[N-]C(=O)CNCc1ccc(OC)c(OC)c1Cl ZINC000846087559 703236006 /nfs/dbraw/zinc/23/60/06/703236006.db2.gz FOFZJIYMQRKXFP-UHFFFAOYSA-N -1 1 302.758 1.515 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@H](C)CO1 ZINC000846435748 703275964 /nfs/dbraw/zinc/27/59/64/703275964.db2.gz ZJGPVTNAIWMADE-KOLCDFICSA-N -1 1 306.391 1.658 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)C(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000846715523 703323174 /nfs/dbraw/zinc/32/31/74/703323174.db2.gz PNSXNCKRRFDCIU-SFYZADRCSA-N -1 1 312.288 1.011 20 0 DDADMM C[C@H]1CCCC[C@H]1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866597496 706740898 /nfs/dbraw/zinc/74/08/98/706740898.db2.gz UFFCWTFIVZKJHI-RYUDHWBXSA-N -1 1 308.469 1.909 20 0 DDADMM CO[C@@H](C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C)C1CC1 ZINC000848339888 703540720 /nfs/dbraw/zinc/54/07/20/703540720.db2.gz SDJOUIHVWACGRF-FBIMIBRVSA-N -1 1 322.327 1.469 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)Cc1cc(F)ccc1Cl ZINC000849380049 703641442 /nfs/dbraw/zinc/64/14/42/703641442.db2.gz UWMTWMDLDFPKIT-UHFFFAOYSA-N -1 1 319.745 1.790 20 0 DDADMM COC(=O)c1c[n-]c(SC[C@@]2(OC(C)C)CCOC2)n1 ZINC000849891429 703687313 /nfs/dbraw/zinc/68/73/13/703687313.db2.gz HUIIONQODLLPMQ-CYBMUJFWSA-N -1 1 300.380 1.873 20 0 DDADMM Cn1[n-]c(COC(=O)C2(c3nccc4ccccc43)CC2)nc1=O ZINC000869721075 703836347 /nfs/dbraw/zinc/83/63/47/703836347.db2.gz AFIGKCLXFKZBJO-UHFFFAOYSA-N -1 1 324.340 1.432 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)OC[C@H]1CCS(=O)(=O)C1 ZINC000869736340 703839490 /nfs/dbraw/zinc/83/94/90/703839490.db2.gz GYSQSXVPNMAQMF-SNVBAGLBSA-N -1 1 318.778 1.566 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CC[C@@H](C)C2)cc1C ZINC000851786267 703855168 /nfs/dbraw/zinc/85/51/68/703855168.db2.gz SZITXAXCXRGFDF-PSASIEDQSA-N -1 1 301.364 1.842 20 0 DDADMM CCn1ncc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1C ZINC000869846735 703865088 /nfs/dbraw/zinc/86/50/88/703865088.db2.gz MDBZLJXWYJHMBP-UHFFFAOYSA-N -1 1 307.272 1.437 20 0 DDADMM CC[C@H](C)NC(=O)CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879762152 706783869 /nfs/dbraw/zinc/78/38/69/706783869.db2.gz BXNZKHGCFNAIEC-CABZTGNLSA-N -1 1 309.332 1.044 20 0 DDADMM CCN1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)OC(C)(C)C ZINC000852521476 704067635 /nfs/dbraw/zinc/06/76/35/704067635.db2.gz YWVWMLRKUPHWIH-VHSXEESVSA-N -1 1 324.343 1.860 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)[O-])CN1CC(=O)Nc1ccc(Cl)nc1 ZINC000852730896 704108511 /nfs/dbraw/zinc/10/85/11/704108511.db2.gz WFOHIAMAOHACBW-VHSXEESVSA-N -1 1 311.769 1.859 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCc2cccnc2OC)[n-]1 ZINC000870780776 704130077 /nfs/dbraw/zinc/13/00/77/704130077.db2.gz MBQQYHCYMVMWKM-UHFFFAOYSA-N -1 1 304.302 1.604 20 0 DDADMM O=C([O-])C1(C(=O)NCc2n[nH]c(-c3ccccc3)n2)CC=CC1 ZINC000852949153 704177467 /nfs/dbraw/zinc/17/74/67/704177467.db2.gz TZDFKEDSUGLHKZ-UHFFFAOYSA-N -1 1 312.329 1.509 20 0 DDADMM O=C([O-])C1(C(=O)NCc2nc(-c3ccccc3)n[nH]2)CC=CC1 ZINC000852949153 704177468 /nfs/dbraw/zinc/17/74/68/704177468.db2.gz TZDFKEDSUGLHKZ-UHFFFAOYSA-N -1 1 312.329 1.509 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCC2(C)OCCO2)c(F)c1 ZINC000819878282 704179716 /nfs/dbraw/zinc/17/97/16/704179716.db2.gz CGLVAMBTQGYZCG-UHFFFAOYSA-N -1 1 321.345 1.705 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCNC(=O)CC12CCOCC2 ZINC000871365861 704276211 /nfs/dbraw/zinc/27/62/11/704276211.db2.gz FUSMZHUNHFCDAJ-UHFFFAOYSA-N -1 1 322.336 1.043 20 0 DDADMM CCc1cnc(Cn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)o1 ZINC000821499468 704417566 /nfs/dbraw/zinc/41/75/66/704417566.db2.gz LFRJOTXIFPECAM-UHFFFAOYSA-N -1 1 322.328 1.780 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1C[C@H](c2ccccc2)OC1=O ZINC000854635740 704430656 /nfs/dbraw/zinc/43/06/56/704430656.db2.gz WQJQHLPJBXGYNO-WDEREUQCSA-N -1 1 301.302 1.667 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC2(CCO2)CC1 ZINC000854969992 704464545 /nfs/dbraw/zinc/46/45/45/704464545.db2.gz PRFBIXBSYKFBGZ-UHFFFAOYSA-N -1 1 304.375 1.413 20 0 DDADMM C[C@@]1(C2CC2)CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000855295127 704477868 /nfs/dbraw/zinc/47/78/68/704477868.db2.gz IHKUIGWWQROMSY-HNNXBMFYSA-N -1 1 318.402 1.659 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@](O)(C2CC2)C1 ZINC000855696891 704498078 /nfs/dbraw/zinc/49/80/78/704498078.db2.gz WTQMEYYXNJGJFG-QGZVFWFLSA-N -1 1 319.405 1.991 20 0 DDADMM C[C@@H](COc1ccccc1)C(=O)[N-]OCCN1CCCC1=O ZINC000856041687 704511266 /nfs/dbraw/zinc/51/12/66/704511266.db2.gz UPYATFWWHVQCGB-ZDUSSCGKSA-N -1 1 306.362 1.372 20 0 DDADMM CN(CC1=CCSC1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000856369926 704525126 /nfs/dbraw/zinc/52/51/26/704525126.db2.gz RZXIJCXEGUTMID-UHFFFAOYSA-N -1 1 306.416 1.763 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)CO1 ZINC000856417029 704528044 /nfs/dbraw/zinc/52/80/44/704528044.db2.gz DMNNNWPRQNVWCM-DBIOUOCHSA-N -1 1 322.327 1.327 20 0 DDADMM CSC1(C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000856417374 704528108 /nfs/dbraw/zinc/52/81/08/704528108.db2.gz SFRQIUOVENPGAF-BDAKNGLRSA-N -1 1 324.368 1.798 20 0 DDADMM CC(=O)CCCC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856419975 704528292 /nfs/dbraw/zinc/52/82/92/704528292.db2.gz NZMPSDOYIFCFHA-KOLCDFICSA-N -1 1 322.327 1.661 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CC1(Cl)Cl)c1c[nH]nc1Cl ZINC000856753811 704537214 /nfs/dbraw/zinc/53/72/14/704537214.db2.gz YEFZQFHQIGXKOR-YFKPBYRVSA-N -1 1 318.613 1.925 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)[C@H]2CCO[C@@H]21 ZINC000866861261 706828579 /nfs/dbraw/zinc/82/85/79/706828579.db2.gz JYBSWCQGYQOTDH-ONOSFVFSSA-N -1 1 318.345 1.452 20 0 DDADMM O=c1nc(N2CCC[C@H]([C@@H](O)C(F)(F)F)C2)cc(Cl)[n-]1 ZINC000858517830 704721368 /nfs/dbraw/zinc/72/13/68/704721368.db2.gz WVFMTRAXSRRREV-IMTBSYHQSA-N -1 1 311.691 1.975 20 0 DDADMM CC(C)(CNc1cc(Cl)[n-]c(=O)n1)N1CCS(=O)CC1 ZINC000858421006 704709155 /nfs/dbraw/zinc/70/91/55/704709155.db2.gz YJQXTPVBFUXXLK-UHFFFAOYSA-N -1 1 318.830 1.090 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCCC1CC1 ZINC000873644279 704815631 /nfs/dbraw/zinc/81/56/31/704815631.db2.gz VSDFJPFLNJZFSP-QWRGUYRKSA-N -1 1 322.327 1.773 20 0 DDADMM CC1=NO[C@@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000867347339 706968891 /nfs/dbraw/zinc/96/88/91/706968891.db2.gz YQLDKLGMVDDVCT-SSDOTTSWSA-N -1 1 308.281 1.547 20 0 DDADMM O=C([N-]OCCC(F)(F)F)[C@@H]1CNC(=O)C[C@H]1C(F)(F)F ZINC000822161742 704888516 /nfs/dbraw/zinc/88/85/16/704888516.db2.gz DDAONMLHKXBWRK-PHDIDXHHSA-N -1 1 322.205 1.301 20 0 DDADMM Cc1cccc(C)c1NCC(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000822293608 704908525 /nfs/dbraw/zinc/90/85/25/704908525.db2.gz DRMUOETUWWWSBX-UHFFFAOYSA-N -1 1 312.337 1.015 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C2CC2)c1C(F)(F)F ZINC000867373249 706979169 /nfs/dbraw/zinc/97/91/69/706979169.db2.gz USGXINUFEINSJR-IUCAKERBSA-N -1 1 323.340 1.763 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(Cc2c(F)ccc(O)c2F)C1 ZINC000874043500 704925113 /nfs/dbraw/zinc/92/51/13/704925113.db2.gz TWVSNXLELNFMOY-AWEZNQCLSA-N -1 1 301.289 1.594 20 0 DDADMM CC(C)(C)O[C@H]1C[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1 ZINC000867450284 707004999 /nfs/dbraw/zinc/00/49/99/707004999.db2.gz HSYDWLADFMYIEM-PHIMTYICSA-N -1 1 324.468 1.429 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@]23CCO[C@H]2CCCC3)n1 ZINC000822547107 704972104 /nfs/dbraw/zinc/97/21/04/704972104.db2.gz HNKBRHIDEUWHED-NHYWBVRUSA-N -1 1 307.350 1.874 20 0 DDADMM CC(C)OC1(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)CCC1 ZINC000822657066 704998610 /nfs/dbraw/zinc/99/86/10/704998610.db2.gz FYURVPJUWMUMCA-NSHDSACASA-N -1 1 309.366 1.829 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)CC1CC1)c1ccc(F)nc1F ZINC000867521260 707027020 /nfs/dbraw/zinc/02/70/20/707027020.db2.gz UGCVEUDEQYLYJK-SECBINFHSA-N -1 1 306.334 1.047 20 0 DDADMM C[C@@H]1CN(C(=O)NCc2ccccc2C(=O)[O-])C[C@H](C)N1C ZINC000874411939 705047939 /nfs/dbraw/zinc/04/79/39/705047939.db2.gz HRUAMZSMYRHCQE-TXEJJXNPSA-N -1 1 305.378 1.619 20 0 DDADMM Cc1cc2ccccc2nc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000874444346 705067663 /nfs/dbraw/zinc/06/76/63/705067663.db2.gz IHUFNJUXRTVVCE-ZDUSSCGKSA-N -1 1 324.344 1.270 20 0 DDADMM C[C@H]1CCC[C@@H](CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)O1 ZINC000823058434 705127317 /nfs/dbraw/zinc/12/73/17/705127317.db2.gz PCRVOOPXJVEGCT-QWRGUYRKSA-N -1 1 303.366 1.421 20 0 DDADMM COC1CC(CCNC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000823061959 705127895 /nfs/dbraw/zinc/12/78/95/705127895.db2.gz HXVZNHNBROLVTQ-UHFFFAOYSA-N -1 1 303.366 1.278 20 0 DDADMM O=C([N-]OCC(F)F)[C@@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC000860811307 705289185 /nfs/dbraw/zinc/28/91/85/705289185.db2.gz AXBJFSKKOOEFKX-SSDOTTSWSA-N -1 1 320.242 1.631 20 0 DDADMM C[C@@H](CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000875149321 705297354 /nfs/dbraw/zinc/29/73/54/705297354.db2.gz RWQXGSDGRMJWDC-QMMMGPOBSA-N -1 1 307.316 1.352 20 0 DDADMM OCCC1(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)CCC1 ZINC000823718515 705301244 /nfs/dbraw/zinc/30/12/44/705301244.db2.gz XNGHKLFQBQJXKF-UHFFFAOYSA-N -1 1 308.773 1.880 20 0 DDADMM OCCC1(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)CCC1 ZINC000823718515 705301247 /nfs/dbraw/zinc/30/12/47/705301247.db2.gz XNGHKLFQBQJXKF-UHFFFAOYSA-N -1 1 308.773 1.880 20 0 DDADMM CC(=O)N1CCC(C(=O)NCc2ccc([O-])c(Cl)c2)CC1 ZINC000834833848 707133874 /nfs/dbraw/zinc/13/38/74/707133874.db2.gz NQMUERSFGHCLKP-UHFFFAOYSA-N -1 1 310.781 1.920 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875661862 705468051 /nfs/dbraw/zinc/46/80/51/705468051.db2.gz TUGQPGDJJIHPEQ-GHMZBOCLSA-N -1 1 321.343 1.741 20 0 DDADMM CSc1nc(CNC(=O)[C@]23C[C@H]2COC32CCC2)cc(=O)[n-]1 ZINC000824611689 705493391 /nfs/dbraw/zinc/49/33/91/705493391.db2.gz RQLCJLZMOOZMDT-VFZGTOFNSA-N -1 1 321.402 1.480 20 0 DDADMM CC[C@@H]1C[C@@H](C)CN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825183239 705619367 /nfs/dbraw/zinc/61/93/67/705619367.db2.gz NZIBTHJHEQSJJM-PSASIEDQSA-N -1 1 304.354 1.353 20 0 DDADMM CC[C@@H]1C[C@@H](C)CN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825183239 705619370 /nfs/dbraw/zinc/61/93/70/705619370.db2.gz NZIBTHJHEQSJJM-PSASIEDQSA-N -1 1 304.354 1.353 20 0 DDADMM CCN(C(=O)Cc1noc(C)c1-c1nnn[n-]1)C1CCCCC1 ZINC000825377176 705657969 /nfs/dbraw/zinc/65/79/69/705657969.db2.gz WDJJVKCUKVXWJG-UHFFFAOYSA-N -1 1 318.381 1.887 20 0 DDADMM CCN(C(=O)Cc1noc(C)c1-c1nn[n-]n1)C1CCCCC1 ZINC000825377176 705657972 /nfs/dbraw/zinc/65/79/72/705657972.db2.gz WDJJVKCUKVXWJG-UHFFFAOYSA-N -1 1 318.381 1.887 20 0 DDADMM COc1ccc(NCc2cnc(C)o2)cc1[N-]S(C)(=O)=O ZINC000862258284 705688986 /nfs/dbraw/zinc/68/89/86/705688986.db2.gz OUANERCLUQUWSR-UHFFFAOYSA-N -1 1 311.363 1.975 20 0 DDADMM COC[C@H](O)CCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876395472 705707656 /nfs/dbraw/zinc/70/76/56/705707656.db2.gz JMXCTDLAVXHRDG-SNVBAGLBSA-N -1 1 302.758 1.242 20 0 DDADMM CCC(NC(=O)CCn1cc[n-]c(=O)c1=O)(C1CC1)C1CC1 ZINC000862685806 705774968 /nfs/dbraw/zinc/77/49/68/705774968.db2.gz JKXZCYOHLSXYOO-UHFFFAOYSA-N -1 1 305.378 1.012 20 0 DDADMM COc1cccc2c1CCN(c1nccnc1-c1nnn[n-]1)C2 ZINC000826218122 705782597 /nfs/dbraw/zinc/78/25/97/705782597.db2.gz PSMPTYTUUZBJTG-UHFFFAOYSA-N -1 1 309.333 1.228 20 0 DDADMM COc1cccc2c1CCN(c1nccnc1-c1nn[n-]n1)C2 ZINC000826218122 705782598 /nfs/dbraw/zinc/78/25/98/705782598.db2.gz PSMPTYTUUZBJTG-UHFFFAOYSA-N -1 1 309.333 1.228 20 0 DDADMM CSC[C@H]1CCCN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826228705 705783476 /nfs/dbraw/zinc/78/34/76/705783476.db2.gz XWSCARRORSWOBG-SECBINFHSA-N -1 1 322.394 1.060 20 0 DDADMM CSC[C@H]1CCCN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826228705 705783479 /nfs/dbraw/zinc/78/34/79/705783479.db2.gz XWSCARRORSWOBG-SECBINFHSA-N -1 1 322.394 1.060 20 0 DDADMM CSCC[C@@H](C)N(C)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826228301 705783741 /nfs/dbraw/zinc/78/37/41/705783741.db2.gz LEMQFOLOIFINBY-MRVPVSSYSA-N -1 1 324.410 1.306 20 0 DDADMM CSCC[C@@H](C)N(C)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826228301 705783746 /nfs/dbraw/zinc/78/37/46/705783746.db2.gz LEMQFOLOIFINBY-MRVPVSSYSA-N -1 1 324.410 1.306 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H]2C2CCC2)c1-c1nnn[n-]1 ZINC000826344970 705793852 /nfs/dbraw/zinc/79/38/52/705793852.db2.gz YJHIZJKTRPQANN-LBPRGKRZSA-N -1 1 316.365 1.497 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H]2C2CCC2)c1-c1nn[n-]n1 ZINC000826344970 705793853 /nfs/dbraw/zinc/79/38/53/705793853.db2.gz YJHIZJKTRPQANN-LBPRGKRZSA-N -1 1 316.365 1.497 20 0 DDADMM COc1cnc(F)c([N-]S(=O)(=O)c2cnc3n2CCC3)c1 ZINC000863449560 705932740 /nfs/dbraw/zinc/93/27/40/705932740.db2.gz NTMPCOPUPXPUOA-UHFFFAOYSA-N -1 1 312.326 1.173 20 0 DDADMM C[Si](C)(C)CCCC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000863862447 706030341 /nfs/dbraw/zinc/03/03/41/706030341.db2.gz SNNLYUQCCUHGDU-UHFFFAOYSA-N -1 1 304.448 1.265 20 0 DDADMM C[Si](C)(C)CCCC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000863862447 706030344 /nfs/dbraw/zinc/03/03/44/706030344.db2.gz SNNLYUQCCUHGDU-UHFFFAOYSA-N -1 1 304.448 1.265 20 0 DDADMM C[C@]1(C2CC2)CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000864071187 706060262 /nfs/dbraw/zinc/06/02/62/706060262.db2.gz ZPIZYKZZXUUEMD-MRXNPFEDSA-N -1 1 303.362 1.701 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(C(=O)c2cc(-c3cccs3)[nH]n2)C1 ZINC000864168632 706087987 /nfs/dbraw/zinc/08/79/87/706087987.db2.gz BOHZQKFRMLCMPM-AWEZNQCLSA-N -1 1 321.358 1.454 20 0 DDADMM O=C([O-])C1CC(NC(=O)[C@H]2CCCN2Cc2ccccc2)C1 ZINC000864206157 706098715 /nfs/dbraw/zinc/09/87/15/706098715.db2.gz DKNRCDCYTXZFLU-YMAMQOFZSA-N -1 1 302.374 1.630 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC[C@@H](CF)C1 ZINC000881595807 707303045 /nfs/dbraw/zinc/30/30/45/707303045.db2.gz OYURDTHQOIMRCT-LBPRGKRZSA-N -1 1 320.368 1.802 20 0 DDADMM Cc1nnc(N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)n1C ZINC000828361314 706166851 /nfs/dbraw/zinc/16/68/51/706166851.db2.gz OWTKZOUHEIQBTK-APPZFPTMSA-N -1 1 305.304 1.017 20 0 DDADMM COc1ccc(CC(=O)N2CCC(c3nn[n-]n3)CC2)c(C)c1 ZINC000828417416 706176002 /nfs/dbraw/zinc/17/60/02/706176002.db2.gz YWURSRLVUVNCFM-UHFFFAOYSA-N -1 1 315.377 1.465 20 0 DDADMM COc1cc(C(=O)[N-]c2nnc([C@@H]3CCCO3)s2)ncn1 ZINC000828658815 706213497 /nfs/dbraw/zinc/21/34/97/706213497.db2.gz AOVORKPFSXPVPS-QMMMGPOBSA-N -1 1 307.335 1.441 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)c1ccnc(C(=O)[O-])c1 ZINC000829159934 706295555 /nfs/dbraw/zinc/29/55/55/706295555.db2.gz APWSYOJQPNDAGB-ZIAGYGMSSA-N -1 1 317.389 1.869 20 0 DDADMM CCC[C@H](NC(=O)C=CCOc1ccccc1)c1nn[n-]n1 ZINC000829801074 706398683 /nfs/dbraw/zinc/39/86/83/706398683.db2.gz MXHRCGFVOTWOOW-PPOCWRSBSA-N -1 1 301.350 1.792 20 0 DDADMM CCC[C@H](NC(=O)/C=C/COc1ccccc1)c1nn[n-]n1 ZINC000829801074 706398686 /nfs/dbraw/zinc/39/86/86/706398686.db2.gz MXHRCGFVOTWOOW-PPOCWRSBSA-N -1 1 301.350 1.792 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2cc(C)cs2)n1 ZINC000829875894 706411900 /nfs/dbraw/zinc/41/19/00/706411900.db2.gz CLIXVNGRFJULEF-UHFFFAOYSA-N -1 1 314.392 1.469 20 0 DDADMM CCC[C@](C)([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC ZINC000829903022 706416922 /nfs/dbraw/zinc/41/69/22/706416922.db2.gz ZBWHZEMWTCTOMZ-JTQLQIEISA-N -1 1 309.775 1.073 20 0 DDADMM O=S(=O)(CCCCF)[N-]c1cccc2c1OCC[C@@H]2O ZINC000829915604 706418907 /nfs/dbraw/zinc/41/89/07/706418907.db2.gz KVAKUVHLECSEOF-LBPRGKRZSA-N -1 1 303.355 1.994 20 0 DDADMM C/C(=C/C1CCC(C)CC1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000865595283 706472721 /nfs/dbraw/zinc/47/27/21/706472721.db2.gz HGAPKSKRHWFDKJ-PLXLHILOSA-N -1 1 319.409 1.872 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](c1ccccc1)C1CCOCC1 ZINC000865897334 706556560 /nfs/dbraw/zinc/55/65/60/706556560.db2.gz ZSDIIGARPUQLLQ-MRXNPFEDSA-N -1 1 315.377 1.416 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]1Cc1ccccc1)c1c[nH]nc1Cl ZINC000830688208 706562210 /nfs/dbraw/zinc/56/22/10/706562210.db2.gz LRAMBZNVUBCBTB-GHMZBOCLSA-N -1 1 311.794 1.973 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)[C@@H]2CCCO[C@@H]21 ZINC000830690748 706563128 /nfs/dbraw/zinc/56/31/28/706563128.db2.gz OQTQCNOSNFVFNC-SFGNSQDASA-N -1 1 319.814 1.545 20 0 DDADMM Cc1ccsc1[C@H](CO)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830790750 706580383 /nfs/dbraw/zinc/58/03/83/706580383.db2.gz BNKBPIYEPKDOGZ-ZETCQYMHSA-N -1 1 321.811 1.445 20 0 DDADMM CCCSCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827666 706588410 /nfs/dbraw/zinc/58/84/10/706588410.db2.gz NFNVHUDYCSNWBD-LLVKDONJSA-N -1 1 312.357 1.799 20 0 DDADMM CS[C@H]1CC[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000866885783 706834746 /nfs/dbraw/zinc/83/47/46/706834746.db2.gz TXWVCHWYXUWNGH-YUMQZZPRSA-N -1 1 308.375 1.922 20 0 DDADMM CCS[C@H]1CCC[C@@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866915771 706843704 /nfs/dbraw/zinc/84/37/04/706843704.db2.gz LDLWBOGFFGMEKR-IUCAKERBSA-N -1 1 300.471 1.223 20 0 DDADMM O=S(=O)([N-]CCOCC1CCC1)c1ccc(F)nc1F ZINC000867112610 706899615 /nfs/dbraw/zinc/89/96/15/706899615.db2.gz MRHZBSARSBVCQC-UHFFFAOYSA-N -1 1 306.334 1.455 20 0 DDADMM CCOC[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C(C)C ZINC000867174094 706915538 /nfs/dbraw/zinc/91/55/38/706915538.db2.gz IEKHEBDOUICOSP-SECBINFHSA-N -1 1 308.350 1.699 20 0 DDADMM Cc1ncsc1CC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867344854 706968055 /nfs/dbraw/zinc/96/80/55/706968055.db2.gz JTQPHLPCOYPINM-UHFFFAOYSA-N -1 1 323.465 1.090 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CCc1ncc(C)cc1C ZINC000867485626 707015607 /nfs/dbraw/zinc/01/56/07/707015607.db2.gz VWFDFLMWCLZIMQ-LJQANCHMSA-N -1 1 319.452 1.193 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]CC1([C@H]2CCCCO2)CCC1 ZINC000867662101 707066809 /nfs/dbraw/zinc/06/68/09/707066809.db2.gz GMFVLUWVCCEFFY-LLVKDONJSA-N -1 1 324.468 1.288 20 0 DDADMM COC(=O)NCCCC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834829619 707132691 /nfs/dbraw/zinc/13/26/91/707132691.db2.gz XWVXGDUSGBROCE-UHFFFAOYSA-N -1 1 300.742 1.798 20 0 DDADMM C[C@H]1CCc2[n-]n(C3=NS(=O)(=O)c4ccccc43)c(=O)c21 ZINC000871947404 707251877 /nfs/dbraw/zinc/25/18/77/707251877.db2.gz YSZIEADEFQPHLN-QPUJVOFHSA-N -1 1 303.343 1.380 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)[C@@H]1CC2CCC1CC2)N(C)C ZINC000835564923 707279660 /nfs/dbraw/zinc/27/96/60/707279660.db2.gz UQBFWASJTZRQEC-PQAZSJQKSA-N -1 1 316.467 1.597 20 0 DDADMM C[C@H](C(=O)N1CCc2[nH]c3ccc(C(=O)[O-])cc3c2C1)N(C)C ZINC000909136025 712943444 /nfs/dbraw/zinc/94/34/44/712943444.db2.gz HPGCPUQVISVQQA-SNVBAGLBSA-N -1 1 315.373 1.701 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-]Cc1cc(C)no1 ZINC000881813421 707375986 /nfs/dbraw/zinc/37/59/86/707375986.db2.gz HCEJVIHENCZKCO-LLVKDONJSA-N -1 1 304.368 1.134 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000909173190 712953956 /nfs/dbraw/zinc/95/39/56/712953956.db2.gz YWIFNSXWUVAXIM-GWCFXTLKSA-N -1 1 304.350 1.633 20 0 DDADMM O=S(=O)(CC=C(Cl)Cl)[N-]Cc1nc(C(F)F)no1 ZINC000882276812 707568576 /nfs/dbraw/zinc/56/85/76/707568576.db2.gz VYIONLZTPNTSDZ-UHFFFAOYSA-N -1 1 322.120 1.746 20 0 DDADMM O=C(NCCOCC1CCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000837215995 707599644 /nfs/dbraw/zinc/59/96/44/707599644.db2.gz LNNWTENYNQVUJK-UHFFFAOYSA-N -1 1 320.418 1.955 20 0 DDADMM CO[C@]1(CNC(=O)NCc2ccc([O-])c(Cl)c2)CCOC1 ZINC000872970211 707677020 /nfs/dbraw/zinc/67/70/20/707677020.db2.gz SSIOROVTIKZQMD-AWEZNQCLSA-N -1 1 314.769 1.650 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C)C(=O)C2CCOCC2)[n-]1 ZINC000837739857 707733462 /nfs/dbraw/zinc/73/34/62/707733462.db2.gz DYXCZDPIHRMYBH-SECBINFHSA-N -1 1 309.318 1.342 20 0 DDADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]c1cc(F)ccc1F ZINC000882660267 707736450 /nfs/dbraw/zinc/73/64/50/707736450.db2.gz PVIFMKPVXUFNLH-GOSISDBHSA-N -1 1 313.351 1.196 20 0 DDADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]c1ccc(Cl)cc1 ZINC000882661121 707737162 /nfs/dbraw/zinc/73/71/62/707737162.db2.gz UMHKHZKCPHAESJ-KRWDZBQOSA-N -1 1 311.816 1.571 20 0 DDADMM CN=[S@@](C)(=O)c1cccc([N-]S(=O)(=O)CCOC)c1 ZINC000882736692 707766773 /nfs/dbraw/zinc/76/67/73/707766773.db2.gz QJONDYDPMHPKEK-SFHVURJKSA-N -1 1 306.409 1.161 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)[C@H]1CCCOC1 ZINC000882760669 707776458 /nfs/dbraw/zinc/77/64/58/707776458.db2.gz JLIWYIUYAOSOAN-NWDGAFQWSA-N -1 1 324.468 1.288 20 0 DDADMM Cn1cc(Cl)c([N-]S(=O)(=O)c2ccc3c(c2)COC3)n1 ZINC000882797331 707800535 /nfs/dbraw/zinc/80/05/35/707800535.db2.gz MPYBLZIUCIOBCT-UHFFFAOYSA-N -1 1 313.766 1.905 20 0 DDADMM C=C/C=C/CCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000883919453 708082512 /nfs/dbraw/zinc/08/25/12/708082512.db2.gz RIUPOAKSOXRPSG-ONEGZZNKSA-N -1 1 305.300 1.439 20 0 DDADMM C=C/C=C\CCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000883919452 708082569 /nfs/dbraw/zinc/08/25/69/708082569.db2.gz RIUPOAKSOXRPSG-ARJAWSKDSA-N -1 1 305.300 1.439 20 0 DDADMM COc1ccccc1C[C@@H](CNC(=O)[C@H]1CCCN1C)C(=O)[O-] ZINC000909384825 713005346 /nfs/dbraw/zinc/00/53/46/713005346.db2.gz NHNZEPGKMOXVFB-UONOGXRCSA-N -1 1 320.389 1.149 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CC3(CC3)c3ccccc32)C1 ZINC000909412182 713011338 /nfs/dbraw/zinc/01/13/38/713011338.db2.gz YSFQAPBUQCZEMP-CYBMUJFWSA-N -1 1 314.385 1.861 20 0 DDADMM CCN(C)C(=O)[C@H](C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897534040 708365148 /nfs/dbraw/zinc/36/51/48/708365148.db2.gz IMXLZQBNDHRXLW-JTQLQIEISA-N -1 1 316.357 1.848 20 0 DDADMM O=S(=O)([N-]C[C@H]1COc2ccccc2C1)c1ccns1 ZINC000884899922 708412367 /nfs/dbraw/zinc/41/23/67/708412367.db2.gz LAHFJQYIVJAQMB-JTQLQIEISA-N -1 1 310.400 1.673 20 0 DDADMM O=S(=O)([N-][C@H]1CCO[C@]2(CCSC2)C1)c1ccns1 ZINC000885065565 708460362 /nfs/dbraw/zinc/46/03/62/708460362.db2.gz QRSSNSATTGKDPY-GXSJLCMTSA-N -1 1 320.461 1.476 20 0 DDADMM O=S(=O)([N-][C@@H]1CC[C@@H]2SCCS[C@@H]12)c1ccns1 ZINC000885078294 708464606 /nfs/dbraw/zinc/46/46/06/708464606.db2.gz GSJXMGDINHKWJI-WEDXCCLWSA-N -1 1 322.502 1.801 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)c1ccc(C)cc1 ZINC000912533648 713031656 /nfs/dbraw/zinc/03/16/56/713031656.db2.gz HGMYAXOJXDFUTP-SNVBAGLBSA-N -1 1 323.374 1.544 20 0 DDADMM CC[C@H](COCC(F)(F)F)[N-]S(=O)(=O)c1ccns1 ZINC000885212461 708495258 /nfs/dbraw/zinc/49/52/58/708495258.db2.gz LNNSIHWHIPQQIY-SSDOTTSWSA-N -1 1 318.342 1.779 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CC[C@H](C)[C@@H](C)C1 ZINC000912548836 713034361 /nfs/dbraw/zinc/03/43/61/713034361.db2.gz YPVXUNBYICPSIP-LPEHRKFASA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)C1(C)CN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000898018963 708519816 /nfs/dbraw/zinc/51/98/16/708519816.db2.gz XHFZOPPHEVHSHZ-UHFFFAOYSA-N -1 1 315.325 1.886 20 0 DDADMM O=C1CCc2cc(S(=O)(=O)[N-]C(CF)CF)c(F)cc2N1 ZINC000885421080 708541044 /nfs/dbraw/zinc/54/10/44/708541044.db2.gz TZWNOGZCIJGQIZ-UHFFFAOYSA-N -1 1 322.308 1.296 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@H]2CCCC2(F)F)n[n-]1 ZINC000898436077 708639019 /nfs/dbraw/zinc/63/90/19/708639019.db2.gz QMVSLYRGFWTMDQ-MRVPVSSYSA-N -1 1 316.308 1.423 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H]2CCCC2(F)F)n1 ZINC000898436077 708639020 /nfs/dbraw/zinc/63/90/20/708639020.db2.gz QMVSLYRGFWTMDQ-MRVPVSSYSA-N -1 1 316.308 1.423 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@@]1(c2ccccc2)CCCO1 ZINC000885948007 708669102 /nfs/dbraw/zinc/66/91/02/708669102.db2.gz UFUANWIPIKMNCI-MRXNPFEDSA-N -1 1 315.377 1.345 20 0 DDADMM O=S(=O)([N-]c1cc([C@H]2CCCOC2)n[nH]1)c1ccns1 ZINC000886403833 708754403 /nfs/dbraw/zinc/75/44/03/708754403.db2.gz UACCUWWRIFOWHO-QMMMGPOBSA-N -1 1 314.392 1.561 20 0 DDADMM C[C@H]1COCCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927780403 713054242 /nfs/dbraw/zinc/05/42/42/713054242.db2.gz CWCWJEWRFSOMCB-VIFPVBQESA-N -1 1 300.305 1.643 20 0 DDADMM CN(C(=O)NCCc1c(F)cc([O-])cc1F)[C@@H]1CCC[C@H]1O ZINC000927789475 713056887 /nfs/dbraw/zinc/05/68/87/713056887.db2.gz VBFISLITRYCABM-ZIAGYGMSSA-N -1 1 314.332 1.768 20 0 DDADMM O=C(NCCCCCO)NCCc1c(F)cc([O-])cc1F ZINC000927787699 713056495 /nfs/dbraw/zinc/05/64/95/713056495.db2.gz DTXWDTFXLXYMPV-UHFFFAOYSA-N -1 1 302.321 1.675 20 0 DDADMM Cc1csc(C[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000886691891 708814791 /nfs/dbraw/zinc/81/47/91/708814791.db2.gz ORVNBRMMQMPNIO-LLVKDONJSA-N -1 1 307.423 1.328 20 0 DDADMM CN1CC[C@H](C(=O)NCc2nc([O-])cc(=O)[nH]2)c2ccccc21 ZINC000898758822 708857257 /nfs/dbraw/zinc/85/72/57/708857257.db2.gz ZZVICDSFUQHNAO-NSHDSACASA-N -1 1 314.345 1.128 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCOc2ccccc2[C@@H]1CO ZINC000887114436 708959441 /nfs/dbraw/zinc/95/94/41/708959441.db2.gz JTPBEUCCXPFYCJ-AWEZNQCLSA-N -1 1 300.314 1.355 20 0 DDADMM O=C(C(=O)N1CCC[C@@H](c2ncccn2)C1)c1ccc([O-])cc1 ZINC000899521347 709105341 /nfs/dbraw/zinc/10/53/41/709105341.db2.gz JSPQYECWVRMCJO-CYBMUJFWSA-N -1 1 311.341 1.771 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@@H]1C[C@H]1CCCO1 ZINC000887845454 709141862 /nfs/dbraw/zinc/14/18/62/709141862.db2.gz YYWJXMFPUDDRFS-QWHCGFSZSA-N -1 1 309.337 1.941 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000899674571 709144129 /nfs/dbraw/zinc/14/41/29/709144129.db2.gz IPFDAQFIIDUBMM-GMSGAONNSA-N -1 1 317.263 1.950 20 0 DDADMM C[C@@H]1Cc2cc(CNCc3cnn(C)c3C(=O)[O-])ccc2O1 ZINC000900047626 709275870 /nfs/dbraw/zinc/27/58/70/709275870.db2.gz QRSISLYTRCAOER-SNVBAGLBSA-N -1 1 301.346 1.732 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CCC[C@H](CO)C1 ZINC000900146882 709306393 /nfs/dbraw/zinc/30/63/93/709306393.db2.gz RSROZRIHDXRDLM-JTQLQIEISA-N -1 1 304.321 1.924 20 0 DDADMM O=C(N[C@@H]1CCCC12OCCO2)c1cnc(C2CC2)[n-]c1=O ZINC000912818414 713099952 /nfs/dbraw/zinc/09/99/52/713099952.db2.gz MINFZXPIFLFSQX-LLVKDONJSA-N -1 1 305.334 1.085 20 0 DDADMM CSCC[C@H](NC(=O)COCc1ccccc1)c1nn[n-]n1 ZINC000912859628 713109121 /nfs/dbraw/zinc/10/91/21/713109121.db2.gz AILNVXAPMUTQRR-LBPRGKRZSA-N -1 1 321.406 1.327 20 0 DDADMM CSCC[C@H](NC(=O)C[C@H]1CC(C)(C)CO1)c1nn[n-]n1 ZINC000912859826 713109590 /nfs/dbraw/zinc/10/95/90/713109590.db2.gz DZZKVUHOLRSXED-UWVGGRQHSA-N -1 1 313.427 1.315 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](C)c1cccs1)c1nn[n-]n1 ZINC000912861405 713110543 /nfs/dbraw/zinc/11/05/43/713110543.db2.gz JPUFDRBINSGVKW-BDAKNGLRSA-N -1 1 311.436 1.975 20 0 DDADMM CSCC[C@H](NC(=O)CC[C@@H](C)SC)c1nn[n-]n1 ZINC000912862593 713110907 /nfs/dbraw/zinc/11/09/07/713110907.db2.gz RICOVWOAKNBJGQ-BDAKNGLRSA-N -1 1 303.457 1.642 20 0 DDADMM CNc1ccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)cc1F ZINC000912863198 713111536 /nfs/dbraw/zinc/11/15/36/713111536.db2.gz OINHEJYTPCZZEU-NSHDSACASA-N -1 1 324.385 1.605 20 0 DDADMM COC[C@H](NC(=O)[C@@H]1CCCCN1Cc1ccccc1)C(=O)[O-] ZINC000909662937 709564284 /nfs/dbraw/zinc/56/42/84/709564284.db2.gz ZIUPRAIFMVUVMB-GJZGRUSLSA-N -1 1 320.389 1.257 20 0 DDADMM CN(CC(=O)NC1(CC(=O)[O-])CCCC1)[C@@H]1CCSC1 ZINC000909708002 709589430 /nfs/dbraw/zinc/58/94/30/709589430.db2.gz APXLADBUEADRDC-LLVKDONJSA-N -1 1 300.424 1.327 20 0 DDADMM CCN(CC(=O)N(CC(=O)[O-])Cc1ccc(F)cc1)C1CC1 ZINC000909735299 709600987 /nfs/dbraw/zinc/60/09/87/709600987.db2.gz ZCLGXGOTKBBBHY-UHFFFAOYSA-N -1 1 308.353 1.723 20 0 DDADMM C[C@@H]1NCCn2c(C(=O)NCc3cccc(C(=O)[O-])c3)ccc21 ZINC000900457736 709604082 /nfs/dbraw/zinc/60/40/82/709604082.db2.gz VTRQSPNEJKJNQH-NSHDSACASA-N -1 1 313.357 1.781 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H]2CC(C)=NO2)[n-]c1=O ZINC000889785480 709634696 /nfs/dbraw/zinc/63/46/96/709634696.db2.gz GDIVFPAOUNPSTJ-NXEZZACHSA-N -1 1 306.322 1.019 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@H](Cc1cccc(Cl)c1)C(=O)[O-] ZINC000909815223 709635397 /nfs/dbraw/zinc/63/53/97/709635397.db2.gz VJIKYRDYYDGNNE-CHWSQXEVSA-N -1 1 310.781 1.546 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2CCCCO2)[n-]c1=O ZINC000889790656 709637396 /nfs/dbraw/zinc/63/73/96/709637396.db2.gz UAHMHPVNWWVLPM-QWRGUYRKSA-N -1 1 307.350 1.423 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H]2CCSC2)[n-]c1=O ZINC000889791966 709638203 /nfs/dbraw/zinc/63/82/03/709638203.db2.gz QAZGFQSTYQOQQE-NXEZZACHSA-N -1 1 309.391 1.607 20 0 DDADMM C[C@H](NC(=O)[C@H]1CCCCN1C)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909819207 709638879 /nfs/dbraw/zinc/63/88/79/709638879.db2.gz ILUVHNNAZDPDJX-NUEKZKHPSA-N -1 1 318.417 1.919 20 0 DDADMM CCO[C@@H]1C[C@@H]1C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889794211 709639655 /nfs/dbraw/zinc/63/96/55/709639655.db2.gz GWMHGNMPXRHUTK-HBNTYKKESA-N -1 1 307.350 1.279 20 0 DDADMM CN(C)C1(C(=O)N2CC[C@@](C(=O)[O-])(c3ccccc3)C2)CC1 ZINC000909853352 709653016 /nfs/dbraw/zinc/65/30/16/709653016.db2.gz BUCGLDJNIWUUDI-INIZCTEOSA-N -1 1 302.374 1.336 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cccc3[nH]cnc32)[C@H](C(=O)[O-])C1 ZINC000909983422 709718845 /nfs/dbraw/zinc/71/88/45/709718845.db2.gz WRBUSDPNBLJQBI-CABZTGNLSA-N -1 1 303.318 1.267 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@H]1CCCCS1(=O)=O ZINC000909984866 709719784 /nfs/dbraw/zinc/71/97/84/709719784.db2.gz APPBNYJJPIHLCS-LLVKDONJSA-N -1 1 305.302 1.576 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1C[C@H](C)CO1 ZINC000900709776 709722070 /nfs/dbraw/zinc/72/20/70/709722070.db2.gz JUILFBFOYRNIQP-QPUJVOFHSA-N -1 1 304.350 1.093 20 0 DDADMM C[C@@]1(C(=O)[O-])CCC[C@@H]1NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000909992863 709726054 /nfs/dbraw/zinc/72/60/54/709726054.db2.gz HQWGXSNGJVZSKK-XJKSGUPXSA-N -1 1 305.378 1.591 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)N[C@@H]1CCC[C@@]1(C)C(=O)[O-] ZINC000910002098 709730959 /nfs/dbraw/zinc/73/09/59/709730959.db2.gz RMRXEXRLGOBTRR-MLGOLLRUSA-N -1 1 312.410 1.104 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)C1CCCCC1 ZINC000910095694 709770143 /nfs/dbraw/zinc/77/01/43/709770143.db2.gz QMFCNDFJJDUQEH-FZMZJTMJSA-N -1 1 305.378 1.664 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910173862 709797904 /nfs/dbraw/zinc/79/79/04/709797904.db2.gz OMAMCVOSAQPUCL-MELADBBJSA-N -1 1 312.410 1.199 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(C(=O)c2cccc3[nH]c(C)nc32)C1 ZINC000910224026 709823620 /nfs/dbraw/zinc/82/36/20/709823620.db2.gz XCHVFQFWKCRCGV-OAHLLOKOSA-N -1 1 303.318 1.187 20 0 DDADMM C/C=C/C[C@@H]1CCCN(C(=O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000910254593 709841863 /nfs/dbraw/zinc/84/18/63/709841863.db2.gz IYBHVLJLFSGUJQ-SWVJOTTGSA-N -1 1 308.422 1.988 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cccc3[nH]c(C)nc32)[C@@](C)(C(=O)[O-])C1 ZINC000910269539 709853852 /nfs/dbraw/zinc/85/38/52/709853852.db2.gz ZTUSMQXPBHZXEN-MGPLVRAMSA-N -1 1 317.345 1.576 20 0 DDADMM C[C@@](CC(=O)[O-])(NC(=O)Cc1n[nH]c2c1CCCC2)C1CC1 ZINC000910320254 709889005 /nfs/dbraw/zinc/88/90/05/709889005.db2.gz WZBDNKGKUAKBFZ-INIZCTEOSA-N -1 1 305.378 1.591 20 0 DDADMM Cc1c(C(=O)[O-])sc2[nH]cnc(=N[C@H]3CC[N@@H+](C)[C@H]3C)c12 ZINC000910355367 709907735 /nfs/dbraw/zinc/90/77/35/709907735.db2.gz KSOWYUWUZRIGJT-IUCAKERBSA-N -1 1 306.391 1.624 20 0 DDADMM Cc1c(C(=O)[O-])sc2[nH]cnc(=N[C@H]3CCN(C)[C@H]3C)c12 ZINC000910355367 709907736 /nfs/dbraw/zinc/90/77/36/709907736.db2.gz KSOWYUWUZRIGJT-IUCAKERBSA-N -1 1 306.391 1.624 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CC[C@]3(C(=O)[O-])CCC[C@H]23)C1 ZINC000910397168 709923062 /nfs/dbraw/zinc/92/30/62/709923062.db2.gz YONHRVUPKAVUOS-FIHUPRISSA-N -1 1 317.389 1.931 20 0 DDADMM C[C@@H]1CO[C@H](C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)C1 ZINC000901316804 710007030 /nfs/dbraw/zinc/00/70/30/710007030.db2.gz DRSRBKJMTRUAPO-UFBFGSQYSA-N -1 1 316.354 1.561 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](c3ccn(C)n3)C2)c([O-])c1 ZINC000928199111 713151151 /nfs/dbraw/zinc/15/11/51/713151151.db2.gz LVTVNSIYOPYOIP-LBPRGKRZSA-N -1 1 300.362 1.849 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CCCC[C@H]1C(=O)[O-] ZINC000901499338 710057500 /nfs/dbraw/zinc/05/75/00/710057500.db2.gz CYSNUDFIYZTPAX-HNNXBMFYSA-N -1 1 304.390 1.627 20 0 DDADMM O=C([O-])CN(C(=O)c1cccc2[nH]cnc21)C1CCOCC1 ZINC000901573879 710079977 /nfs/dbraw/zinc/07/99/77/710079977.db2.gz UHOVRJZRLADPLW-UHFFFAOYSA-N -1 1 303.318 1.269 20 0 DDADMM C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000901600892 710090253 /nfs/dbraw/zinc/09/02/53/710090253.db2.gz AFKGENVOUDTRDO-GLEZIHRCSA-N -1 1 305.309 1.472 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)[O-])CN1C(=O)CN(C)CCc1ccccc1 ZINC000901657607 710111348 /nfs/dbraw/zinc/11/13/48/710111348.db2.gz QCNHTXXQJGQRKW-GOEBONIOSA-N -1 1 318.417 1.873 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)C[C@H]1C(=O)[O-] ZINC000901698533 710123455 /nfs/dbraw/zinc/12/34/55/710123455.db2.gz HEPSYSLJQOHECI-JCRCQARHSA-N -1 1 305.378 1.645 20 0 DDADMM Cn1nc(C(=O)[O-])cc1C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC000901918085 710174170 /nfs/dbraw/zinc/17/41/70/710174170.db2.gz QRGZUOQZBWRTLK-UHFFFAOYSA-N -1 1 322.409 1.312 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N(CC(=O)[O-])CC1CC1 ZINC000902012682 710201647 /nfs/dbraw/zinc/20/16/47/710201647.db2.gz TXZBQJGVDMWLRQ-UHFFFAOYSA-N -1 1 304.390 1.484 20 0 DDADMM COCc1nc(NC[C@H](O)COc2cccc(C)c2)cc(=O)[n-]1 ZINC000891991160 710348348 /nfs/dbraw/zinc/34/83/48/710348348.db2.gz LNPGIYQIGZJDSL-LBPRGKRZSA-N -1 1 319.361 1.489 20 0 DDADMM [O-]c1cc(Br)cnc1CN1CCc2cn[nH]c2C1 ZINC000892090819 710369846 /nfs/dbraw/zinc/36/98/46/710369846.db2.gz BRRJXZGMUOIIME-UHFFFAOYSA-N -1 1 309.167 1.831 20 0 DDADMM CCO[C@H]1C[C@@H](O)C12CCN(c1cc(=O)[n-]c(COC)n1)CC2 ZINC000892659717 710477399 /nfs/dbraw/zinc/47/73/99/710477399.db2.gz VCZDIXQBNWUTLJ-NEPJUHHUSA-N -1 1 323.393 1.085 20 0 DDADMM COc1ccc(C(=O)N(C)c2nn[n-]n2)cc1OC1CCCC1 ZINC000892954650 710531851 /nfs/dbraw/zinc/53/18/51/710531851.db2.gz OUTWNFIFGWHJIQ-UHFFFAOYSA-N -1 1 317.349 1.806 20 0 DDADMM COCc1nc(N(C)Cc2ccc(OC)c(O)c2)cc(=O)[n-]1 ZINC000893019395 710542470 /nfs/dbraw/zinc/54/24/70/710542470.db2.gz TUEUSVHUGCDOFG-UHFFFAOYSA-N -1 1 305.334 1.679 20 0 DDADMM C[C@]1(C(=O)[O-])CCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000902109485 710618684 /nfs/dbraw/zinc/61/86/84/710618684.db2.gz ASUUHBQZHKAKNN-OAHLLOKOSA-N -1 1 300.318 1.551 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)Nc1ccccc1N1CCN(C)CC1 ZINC000911116715 710641635 /nfs/dbraw/zinc/64/16/35/710641635.db2.gz TVWPRZCTDQUQOQ-HNNXBMFYSA-N -1 1 319.405 1.734 20 0 DDADMM Cc1cc(C(=O)NCCCN2C[C@H](C)O[C@@H](C)C2)oc1C(=O)[O-] ZINC000911151769 710656025 /nfs/dbraw/zinc/65/60/25/710656025.db2.gz ZNVYSUVQXQQAHC-RYUDHWBXSA-N -1 1 324.377 1.515 20 0 DDADMM CN1CCc2ccc(NC(=O)CN3CCC[C@@H](C(=O)[O-])C3)cc21 ZINC000911221445 710693483 /nfs/dbraw/zinc/69/34/83/710693483.db2.gz CYFPPUZSMCKTMP-CYBMUJFWSA-N -1 1 317.389 1.414 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000911325058 710742848 /nfs/dbraw/zinc/74/28/48/710742848.db2.gz GAGRCNMXRRSJTP-MNOVXSKESA-N -1 1 306.366 1.499 20 0 DDADMM CC(C)c1cc([C@H]2CCCN(C(=O)COCC(=O)[O-])C2)n[nH]1 ZINC000902626757 710825668 /nfs/dbraw/zinc/82/56/68/710825668.db2.gz AKWZSQNMKNXXHE-NSHDSACASA-N -1 1 309.366 1.340 20 0 DDADMM COCc1nc(N2CC=C(c3ccnn3C)CC2)cc(=O)[n-]1 ZINC000893817701 710841062 /nfs/dbraw/zinc/84/10/62/710841062.db2.gz LWMWOWCJWRUSID-UHFFFAOYSA-N -1 1 301.350 1.356 20 0 DDADMM CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C(=O)[O-])oc1C ZINC000911573523 710860948 /nfs/dbraw/zinc/86/09/48/710860948.db2.gz KWBXJBACLORNEZ-NEPJUHHUSA-N -1 1 306.362 1.985 20 0 DDADMM COCC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC000913436994 713215204 /nfs/dbraw/zinc/21/52/04/713215204.db2.gz JIUZRCMXPVQPAT-GFCCVEGCSA-N -1 1 301.350 1.222 20 0 DDADMM CC[C@@H]1C[N@@H+](CC)CCN1C(=O)[C@@H]1CC[C@H](CCC(=O)[O-])O1 ZINC000911573240 710861072 /nfs/dbraw/zinc/86/10/72/710861072.db2.gz POWIOHDBUAIQIH-MCIONIFRSA-N -1 1 312.410 1.342 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)[C@@H]1CC[C@H](CCC(=O)[O-])O1 ZINC000911573240 710861076 /nfs/dbraw/zinc/86/10/76/710861076.db2.gz POWIOHDBUAIQIH-MCIONIFRSA-N -1 1 312.410 1.342 20 0 DDADMM CC1CCN(CC(=O)N[C@H](c2nc(=O)o[n-]2)C(C)(C)C)CC1 ZINC000911586841 710868794 /nfs/dbraw/zinc/86/87/94/710868794.db2.gz XXAQSOUOMZRTMC-GFCCVEGCSA-N -1 1 310.398 1.298 20 0 DDADMM CCNC(=S)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000911608702 710877973 /nfs/dbraw/zinc/87/79/73/710877973.db2.gz FOWDGYCFVHQCAF-UHFFFAOYSA-N -1 1 303.409 1.373 20 0 DDADMM COCc1nc(NC[C@]2(CO)C[C@@H]2c2ccccc2)cc(=O)[n-]1 ZINC000893908516 710883216 /nfs/dbraw/zinc/88/32/16/710883216.db2.gz RENLXENKPCMQMU-DYVFJYSZSA-N -1 1 315.373 1.907 20 0 DDADMM Cc1nc([C@@H]2CCN(C(=O)[C@H]3CCC[C@H](C(=O)[O-])C3)C2)n[nH]1 ZINC000911771739 710976177 /nfs/dbraw/zinc/97/61/77/710976177.db2.gz DIBJKOMYUHWNCE-SDDRHHMPSA-N -1 1 306.366 1.320 20 0 DDADMM CC(C)(C)c1nnc(CN=c2nn[n-]n2Cc2ccccc2)[nH]1 ZINC000894162018 710993831 /nfs/dbraw/zinc/99/38/31/710993831.db2.gz MJFNQHHPRVQQBC-UHFFFAOYSA-N -1 1 312.381 1.171 20 0 DDADMM CC(C)(C)c1nc(CN=c2nn[n-]n2Cc2ccccc2)n[nH]1 ZINC000894162018 710993834 /nfs/dbraw/zinc/99/38/34/710993834.db2.gz MJFNQHHPRVQQBC-UHFFFAOYSA-N -1 1 312.381 1.171 20 0 DDADMM Cn1cc([C@H]2CN(C(=O)c3c([O-])cccc3Cl)CCN2)cn1 ZINC000913463893 713227329 /nfs/dbraw/zinc/22/73/29/713227329.db2.gz KOSJUVKLZYIEBU-GFCCVEGCSA-N -1 1 320.780 1.566 20 0 DDADMM O=C(CC1COC1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000913482565 713234510 /nfs/dbraw/zinc/23/45/10/713234510.db2.gz QSFWMWPMPABMOE-UHFFFAOYSA-N -1 1 324.808 1.726 20 0 DDADMM CC[C@@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC000913493163 713236430 /nfs/dbraw/zinc/23/64/30/713236430.db2.gz FQECJXGCKAFFDF-CHWSQXEVSA-N -1 1 301.350 1.293 20 0 DDADMM CC(C)(CC(=O)N1CCOC[C@H]1c1nn[n-]n1)CC(F)F ZINC000913493853 713237009 /nfs/dbraw/zinc/23/70/09/713237009.db2.gz KMDVRKSLHPMDOY-QMMMGPOBSA-N -1 1 303.313 1.171 20 0 DDADMM C[C@@H]1[C@H](C(=O)N2CCOC[C@@H]2c2nn[n-]n2)[C@H]1c1ccccc1 ZINC000913497033 713238893 /nfs/dbraw/zinc/23/88/93/713238893.db2.gz HHAXQCBEGJHMFO-ASEORRQLSA-N -1 1 313.361 1.149 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H]1CCCN(c2ccccc2)C1=O ZINC000903711609 711250268 /nfs/dbraw/zinc/25/02/68/711250268.db2.gz DUASDYJGZLXWMN-HNNXBMFYSA-N -1 1 320.389 1.605 20 0 DDADMM CCC[C@@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccn1 ZINC000913498374 713239354 /nfs/dbraw/zinc/23/93/54/713239354.db2.gz PGLDYDLQUXSCOB-YPMHNXCESA-N -1 1 316.365 1.079 20 0 DDADMM Cc1ccccc1C[C@@H](C)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499654 713239793 /nfs/dbraw/zinc/23/97/93/713239793.db2.gz QBUORGBLQMTYHI-TZMCWYRMSA-N -1 1 315.377 1.287 20 0 DDADMM CC[C@@H](COCC1CC1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000912294284 711264935 /nfs/dbraw/zinc/26/49/35/711264935.db2.gz BEQKGQAESDLHPF-LBPRGKRZSA-N -1 1 305.378 1.995 20 0 DDADMM Cc1cc(Br)c(CN[C@@H](CO)C(=O)[O-])cc1Cl ZINC000904053758 711371530 /nfs/dbraw/zinc/37/15/30/711371530.db2.gz VQFDDAILINSDPU-JTQLQIEISA-N -1 1 322.586 1.946 20 0 DDADMM COCc1nc(CN[C@@H]2C[C@@H](NC(=O)[O-])C23CCC3)cs1 ZINC000904241087 711415866 /nfs/dbraw/zinc/41/58/66/711415866.db2.gz OLRSPPXKOQPMLP-GHMZBOCLSA-N -1 1 311.407 1.958 20 0 DDADMM O=C([O-])COCCOCC[NH2+]Cc1cc(Cl)ccc1[O-] ZINC000904271878 711421063 /nfs/dbraw/zinc/42/10/63/711421063.db2.gz DFIMSFUGLPXOBH-UHFFFAOYSA-N -1 1 303.742 1.253 20 0 DDADMM CN1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C[C@@H]1CO ZINC000913546115 713253161 /nfs/dbraw/zinc/25/31/61/713253161.db2.gz HTQHRLKUINYZGW-SNVBAGLBSA-N -1 1 318.295 1.160 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2nc(C)c(C)s2)n1 ZINC000895329916 711486131 /nfs/dbraw/zinc/48/61/31/711486131.db2.gz JMQIRGGXGGNWOT-QMMMGPOBSA-N -1 1 309.395 1.906 20 0 DDADMM c1ccc(-n2[n-]nnc2=N[C@@H]2CCCN3CCSC[C@@H]23)cc1 ZINC000895571461 711546144 /nfs/dbraw/zinc/54/61/44/711546144.db2.gz GLEYFXVRPQNJKT-KGLIPLIRSA-N -1 1 316.434 1.076 20 0 DDADMM O=C(c1ccccc1-n1cccn1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743433 713292589 /nfs/dbraw/zinc/29/25/89/713292589.db2.gz VVROILSVKYGFBF-UHFFFAOYSA-N -1 1 323.360 1.405 20 0 DDADMM CO[C@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1ccc(F)cc1 ZINC000913745485 713293600 /nfs/dbraw/zinc/29/36/00/713293600.db2.gz HBBKRMKCPATMOP-ZDUSSCGKSA-N -1 1 319.340 1.433 20 0 DDADMM Cc1ccccc1COCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745448 713293651 /nfs/dbraw/zinc/29/36/51/713293651.db2.gz FEGGZZKVAOTKAF-UHFFFAOYSA-N -1 1 315.377 1.431 20 0 DDADMM O=C(C[C@H]1OCc2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913746239 713294019 /nfs/dbraw/zinc/29/40/19/713294019.db2.gz YNGQZGQZDNXDRE-CQSZACIVSA-N -1 1 313.361 1.567 20 0 DDADMM O=C([C@H]1CC12CCSCC2)N1CCC(c2nn[n-]n2)CC1 ZINC000913745920 713294064 /nfs/dbraw/zinc/29/40/64/713294064.db2.gz GZAOOZQHLPZTGF-LLVKDONJSA-N -1 1 307.423 1.439 20 0 DDADMM C[C@H](CN(C)C(=O)C(C)(C)Nc1ccccc1)c1nn[n-]n1 ZINC000907350541 712550031 /nfs/dbraw/zinc/55/00/31/712550031.db2.gz QWSBTUVYXSSRJU-LLVKDONJSA-N -1 1 302.382 1.652 20 0 DDADMM CO[C@]1(CNC(=O)c2c([O-])cnc3c(F)cccc32)CCOC1 ZINC000907399548 712560933 /nfs/dbraw/zinc/56/09/33/712560933.db2.gz HFMXLQNWEAFOQC-INIZCTEOSA-N -1 1 320.320 1.615 20 0 DDADMM CC(=O)c1cccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000907475478 712581893 /nfs/dbraw/zinc/58/18/93/712581893.db2.gz OJCUZPJUYNRNEU-GFCCVEGCSA-N -1 1 317.374 1.333 20 0 DDADMM O=C(c1sccc1Cl)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479880 712583478 /nfs/dbraw/zinc/58/34/78/712583478.db2.gz NBHLYVRSKYACJU-ZETCQYMHSA-N -1 1 315.811 1.845 20 0 DDADMM O=C([C@H]1CCCCCC(=O)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481946 712584182 /nfs/dbraw/zinc/58/41/82/712584182.db2.gz ZPJSTIHCTSHLMP-JQWIXIFHSA-N -1 1 323.422 1.356 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2cnn(CCF)c2)sc1C ZINC000907648493 712608537 /nfs/dbraw/zinc/60/85/37/712608537.db2.gz GCZBNAJKMTZOOY-UHFFFAOYSA-N -1 1 318.399 1.404 20 0 DDADMM C[C@@]1(C(=O)Nc2nc(Cl)ccc2[O-])CCCS1(=O)=O ZINC000907667980 712611755 /nfs/dbraw/zinc/61/17/55/712611755.db2.gz ZUXGOECEAZQDOK-NSHDSACASA-N -1 1 304.755 1.346 20 0 DDADMM CCN1CCN(C(=O)[C@]2(C(=O)[O-])C[C@@H]2c2ccccc2)[C@@H](C)C1 ZINC000907827333 712631973 /nfs/dbraw/zinc/63/19/73/712631973.db2.gz ZGSILUQHNWKEPW-JOQOYGCGSA-N -1 1 316.401 1.798 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3cc(F)cc(F)c3)CC2)n1 ZINC000907859421 712637245 /nfs/dbraw/zinc/63/72/45/712637245.db2.gz GTKPWYPPLPSFMW-UHFFFAOYSA-N -1 1 315.301 1.624 20 0 DDADMM CCOC(=O)[C@H]1CSCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000907900936 712644407 /nfs/dbraw/zinc/64/44/07/712644407.db2.gz JJXXUUWKNRGIJU-LLVKDONJSA-N -1 1 309.343 1.040 20 0 DDADMM O=C(/C=C\C1CCCCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907944012 712652847 /nfs/dbraw/zinc/65/28/47/712652847.db2.gz PWCGDMHPPCRNMQ-SREVYHEPSA-N -1 1 305.382 1.146 20 0 DDADMM COCC(COC)S(=O)(=O)[N-]Cc1nc(C(C)(C)C)co1 ZINC000907947322 712653485 /nfs/dbraw/zinc/65/34/85/712653485.db2.gz DUPKAANDXVSDER-UHFFFAOYSA-N -1 1 320.411 1.053 20 0 DDADMM COc1cnc([C@@H]2CCCN2S(=O)(=O)CC(C)C)[n-]c1=O ZINC000908015784 712666639 /nfs/dbraw/zinc/66/66/39/712666639.db2.gz GDZKJPOUARBGPU-JTQLQIEISA-N -1 1 315.395 1.314 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H](c1ccncc1)C1CC1 ZINC000908390830 712759084 /nfs/dbraw/zinc/75/90/84/712759084.db2.gz UBWDEWRVUXKFHN-LLVKDONJSA-N -1 1 303.409 1.095 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2COC[C@@H]2C2CC2)sc1C ZINC000908415173 712765815 /nfs/dbraw/zinc/76/58/15/712765815.db2.gz RHRNULMALUOSGY-MNOVXSKESA-N -1 1 302.421 1.463 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]C[C@H](OC)C(F)(F)F)s1 ZINC000914110224 713344077 /nfs/dbraw/zinc/34/40/77/713344077.db2.gz CUQWDRREODSUMS-YFKPBYRVSA-N -1 1 320.314 1.007 20 0 DDADMM O=C([O-])CC1CCN(C(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC000908677765 712834143 /nfs/dbraw/zinc/83/41/43/712834143.db2.gz CXVGSOBFPLJYGI-UHFFFAOYSA-N -1 1 314.345 1.799 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000908751982 712847782 /nfs/dbraw/zinc/84/77/82/712847782.db2.gz ICDCZSJISGNMGP-ZWNOBZJWSA-N -1 1 311.357 1.543 20 0 DDADMM CC(C)CN(CC(=O)[O-])C(=O)CN(C)CCc1ccccc1 ZINC000908882559 712878609 /nfs/dbraw/zinc/87/86/09/712878609.db2.gz OGTWBJPWXVRZBU-UHFFFAOYSA-N -1 1 306.406 1.730 20 0 DDADMM CC[C@@](NC(=O)CN(C)C1CCC1)(C(=O)[O-])c1ccccc1 ZINC000908942055 712893262 /nfs/dbraw/zinc/89/32/62/712893262.db2.gz DFKZIDGYEGGHGC-KRWDZBQOSA-N -1 1 304.390 1.977 20 0 DDADMM Cc1nc2n(n1)CCN(C[C@H](C)C(=O)c1ccc([O-])cc1)C2 ZINC000929794247 713690409 /nfs/dbraw/zinc/69/04/09/713690409.db2.gz BSFRAOHOPKJBLA-NSHDSACASA-N -1 1 300.362 1.627 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC[C@@H]2C[C@H]2C2CC2)o1 ZINC000920847740 713698067 /nfs/dbraw/zinc/69/80/67/713698067.db2.gz GQZOXTXIEMPDEF-MNOVXSKESA-N -1 1 313.375 1.781 20 0 DDADMM CN1C[C@H](CC[N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1=O ZINC000921060225 713711344 /nfs/dbraw/zinc/71/13/44/713711344.db2.gz WNPAURUAQXPWRX-MRVPVSSYSA-N -1 1 322.333 1.364 20 0 DDADMM COC(=O)c1cnc(C[N-]S(=O)(=O)CC2(F)CCC2)s1 ZINC000921191070 713719990 /nfs/dbraw/zinc/71/99/90/713719990.db2.gz GTUNQQYXLMITOE-UHFFFAOYSA-N -1 1 322.383 1.241 20 0 DDADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)Cc1ccccc1 ZINC000921378684 713749200 /nfs/dbraw/zinc/74/92/00/713749200.db2.gz GARXTMQFDCFWOZ-LBPRGKRZSA-N -1 1 317.432 1.401 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cccc1F)c1cn(C)nn1 ZINC000921487189 713784890 /nfs/dbraw/zinc/78/48/90/713784890.db2.gz DMAPBPOGHDSVCK-ZETCQYMHSA-N -1 1 302.306 1.133 20 0 DDADMM CCC[C@H](O)CC[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000921683619 713841836 /nfs/dbraw/zinc/84/18/36/713841836.db2.gz ILTHOWVTGRCFHM-XTZNXHDOSA-N -1 1 314.473 1.268 20 0 DDADMM CCc1onc(C)c1C[N-]S(=O)(=O)c1cnc(OC)s1 ZINC000922034516 713943081 /nfs/dbraw/zinc/94/30/81/713943081.db2.gz XQJPOXIWXICRNA-UHFFFAOYSA-N -1 1 317.392 1.489 20 0 DDADMM CC(C)C1(O)CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932048967 714246153 /nfs/dbraw/zinc/24/61/53/714246153.db2.gz GGIJFAPAIUBYKC-UHFFFAOYSA-N -1 1 316.361 1.813 20 0 DDADMM C[C@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC[C@H]1O ZINC000932049493 714246185 /nfs/dbraw/zinc/24/61/85/714246185.db2.gz RURJQEUFIXBQNP-SMDDNHRTSA-N -1 1 316.361 1.813 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H]2C[C@@]21C(=O)[O-])[N@@H+](C)Cc1ccccc1 ZINC000923150120 714255619 /nfs/dbraw/zinc/25/56/19/714255619.db2.gz USZVPZFTTXDMAQ-JOQOYGCGSA-N -1 1 316.401 1.973 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H]2C[C@@]21C(=O)[O-])N(C)Cc1ccccc1 ZINC000923150120 714255620 /nfs/dbraw/zinc/25/56/20/714255620.db2.gz USZVPZFTTXDMAQ-JOQOYGCGSA-N -1 1 316.401 1.973 20 0 DDADMM Cc1ncc(C(=O)N2CC[C@H](C(=O)[O-])C[C@H]2[C@H]2CCCO2)[nH]1 ZINC000923161290 714258474 /nfs/dbraw/zinc/25/84/74/714258474.db2.gz VKAYKAHJEUFICU-WCFLWFBJSA-N -1 1 307.350 1.203 20 0 DDADMM CC(C)C[C@](C)(CNC(=O)[C@@H](C)CN1CCOCC1)C(=O)[O-] ZINC000923184406 714264258 /nfs/dbraw/zinc/26/42/58/714264258.db2.gz BDYCSIQVAZLDHI-XJKSGUPXSA-N -1 1 314.426 1.208 20 0 DDADMM CC[C@@]1(C(=O)[O-])CCCN([C@@H](C)C(=O)NCC(F)(F)F)C1 ZINC000923468861 714366247 /nfs/dbraw/zinc/36/62/47/714366247.db2.gz GKVSRUVKBSHGBI-JOYOIKCWSA-N -1 1 310.316 1.630 20 0 DDADMM O=S(=O)([N-]C[C@@]1(C(F)(F)F)CCCN1)c1cscn1 ZINC000923684528 714450745 /nfs/dbraw/zinc/45/07/45/714450745.db2.gz CGQLKWVDZAHALS-MRVPVSSYSA-N -1 1 315.342 1.106 20 0 DDADMM O=C(NCC[C@H]1CNC(=O)C1)NCc1ccc([O-])c(Cl)c1 ZINC000923981800 714499860 /nfs/dbraw/zinc/49/98/60/714499860.db2.gz DXLJVHRZERBPJI-SNVBAGLBSA-N -1 1 311.769 1.371 20 0 DDADMM COC(=O)CC1(CN2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)CC1 ZINC000934272082 714783192 /nfs/dbraw/zinc/78/31/92/714783192.db2.gz IQHCZHHKNAVJQD-NSHDSACASA-N -1 1 321.377 1.671 20 0 DDADMM COc1cnc([C@H]2CCCN2C[C@H]2CC3(CC3)C(=O)O2)[n-]c1=O ZINC000934272547 714783230 /nfs/dbraw/zinc/78/32/30/714783230.db2.gz LAUNHOBYPXNXNN-GHMZBOCLSA-N -1 1 319.361 1.423 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000936680768 715230752 /nfs/dbraw/zinc/23/07/52/715230752.db2.gz ZFSLYIJQWURPJX-NEPJUHHUSA-N -1 1 305.378 1.506 20 0 DDADMM Cc1ccoc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937861192 715617463 /nfs/dbraw/zinc/61/74/63/715617463.db2.gz PUHKIZOAJXNDKU-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1(C2CC2)CC1 ZINC000938118348 715725677 /nfs/dbraw/zinc/72/56/77/715725677.db2.gz ZQZIRMSDEJMVDN-LBPRGKRZSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C(F)F)CCN1C(=O)c1ncccc1[O-] ZINC000955649290 715892357 /nfs/dbraw/zinc/89/23/57/715892357.db2.gz IINJRIAAGGWYOP-DTWKUNHWSA-N -1 1 313.304 1.162 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938922688 716050306 /nfs/dbraw/zinc/05/03/06/716050306.db2.gz WOFSCNHSCJQUSG-GRYCIOLGSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ccco2)C1)c1ncccc1[O-] ZINC000940125585 716637113 /nfs/dbraw/zinc/63/71/13/716637113.db2.gz KJTAQZSEZFBXNR-SNVBAGLBSA-N -1 1 301.302 1.025 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C(C)(C)C)C1 ZINC000959279126 716923699 /nfs/dbraw/zinc/92/36/99/716923699.db2.gz NMYHQAMMWHNQTC-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)C(=O)N1C[C@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000959373740 716988405 /nfs/dbraw/zinc/98/84/05/716988405.db2.gz IOKSIXOTQZRHMO-NWDGAFQWSA-N -1 1 305.378 1.268 20 0 DDADMM CSCC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964851236 717454261 /nfs/dbraw/zinc/45/42/61/717454261.db2.gz WYSITRGJARRICC-NSHDSACASA-N -1 1 323.418 1.261 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC000942754839 717856906 /nfs/dbraw/zinc/85/69/06/717856906.db2.gz QUEMSDYZBBKBSM-JHJVBQTASA-N -1 1 317.389 1.506 20 0 DDADMM O=C(CC1CC1)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943214980 718049809 /nfs/dbraw/zinc/04/98/09/718049809.db2.gz UKYAWAZUTFIGQB-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943438962 718111313 /nfs/dbraw/zinc/11/13/13/718111313.db2.gz NDNCYQCBASYFLA-XQQFMLRXSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)C1CCC1)c1ncccc1[O-] ZINC000943805329 718195842 /nfs/dbraw/zinc/19/58/42/718195842.db2.gz CSLIZHYQOMDJES-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C=C1CCC1 ZINC000966348982 718522676 /nfs/dbraw/zinc/52/26/76/718522676.db2.gz NLLVHFQQDYKTBA-AAEUAGOBSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)CC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886032 719843662 /nfs/dbraw/zinc/84/36/62/719843662.db2.gz AZJCKPLYZOYXRQ-BETUJISGSA-N -1 1 317.389 1.649 20 0 DDADMM O=C([C@H]1CC[C@@H]2C[C@@H]2CC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969428928 720127228 /nfs/dbraw/zinc/12/72/28/720127228.db2.gz HAMPRUSLAODIAI-YVECIDJPSA-N -1 1 319.409 1.027 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949535325 720145468 /nfs/dbraw/zinc/14/54/68/720145468.db2.gz RYEUPGFBWSGTAR-QWRGUYRKSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1cc[nH]c1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949535785 720145571 /nfs/dbraw/zinc/14/55/71/720145571.db2.gz ZPWLUAVEGLNWTB-NSHDSACASA-N -1 1 314.345 1.068 20 0 DDADMM C[C@H](CC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000949541951 720150224 /nfs/dbraw/zinc/15/02/24/720150224.db2.gz SKRLVEMWRHGDCY-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2cc[nH]c2)C1)c1ncccc1[O-] ZINC000951065315 720905631 /nfs/dbraw/zinc/90/56/31/720905631.db2.gz YAUHQXUAYDSIGA-LBPRGKRZSA-N -1 1 314.345 1.150 20 0 DDADMM CC(=O)N1CCC[C@H]1C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953465998 721611186 /nfs/dbraw/zinc/61/11/86/721611186.db2.gz DFMXYMHYRXGSGE-AWEZNQCLSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1cc(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])sn1 ZINC001038185041 732941350 /nfs/dbraw/zinc/94/13/50/732941350.db2.gz UWECBJCTEXFRID-LLVKDONJSA-N -1 1 318.402 1.556 20 0 DDADMM CN1CCN(c2cccnc2Nc2ccc(C(=O)[O-])nc2)CC1 ZINC001210175025 732949972 /nfs/dbraw/zinc/94/99/72/732949972.db2.gz ZRECORGQXOBJBT-UHFFFAOYSA-N -1 1 313.361 1.670 20 0 DDADMM C[C@@H](c1csnn1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010730563 733189508 /nfs/dbraw/zinc/18/95/08/733189508.db2.gz GNLKYAKVOMHIBP-UWVGGRQHSA-N -1 1 319.390 1.204 20 0 DDADMM O=C(NC[C@H]1CC[N@H+]1CC[C@@H]1CCCO1)c1ncccc1[O-] ZINC001038193348 733194576 /nfs/dbraw/zinc/19/45/76/733194576.db2.gz IDXWDALKEJKVTI-OLZOCXBDSA-N -1 1 305.378 1.160 20 0 DDADMM Cc1cc(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])no1 ZINC001038195094 733212480 /nfs/dbraw/zinc/21/24/80/733212480.db2.gz SDWZDPBOCOLCHO-GFCCVEGCSA-N -1 1 302.334 1.088 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)[C@@H](C)O1 ZINC000692878378 738735657 /nfs/dbraw/zinc/73/56/57/738735657.db2.gz PQFNVVDBULQUBV-BWZBUEFSSA-N -1 1 308.762 1.718 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)/C=C\c1ccco1 ZINC000588496329 734677118 /nfs/dbraw/zinc/67/71/18/734677118.db2.gz AHHAZRBZCDFFBC-PLNGDYQASA-N -1 1 314.301 1.118 20 0 DDADMM CCc1ccc2c(c1)CN(c1nnc(-c3nnn[n-]3)n1C)CC2 ZINC001121360135 782470954 /nfs/dbraw/zinc/47/09/54/782470954.db2.gz SRMYJFRLWVBZLB-UHFFFAOYSA-N -1 1 310.365 1.120 20 0 DDADMM CCc1ccc2c(c1)CN(c1nnc(-c3nn[n-]n3)n1C)CC2 ZINC001121360135 782470960 /nfs/dbraw/zinc/47/09/60/782470960.db2.gz SRMYJFRLWVBZLB-UHFFFAOYSA-N -1 1 310.365 1.120 20 0 DDADMM Cc1nccc(NC[C@@H](C)N(C)C(=O)c2ncccc2[O-])n1 ZINC001104536205 736198406 /nfs/dbraw/zinc/19/84/06/736198406.db2.gz JRMAQJGXISJBOR-SNVBAGLBSA-N -1 1 301.350 1.458 20 0 DDADMM Cc1ccc2c(c1)CCN(c1nnc(-c3c[n-][nH]c3=O)n1C)C2 ZINC001121456651 782516022 /nfs/dbraw/zinc/51/60/22/782516022.db2.gz OZJYWJHLPOCSMI-UHFFFAOYSA-N -1 1 310.361 1.782 20 0 DDADMM C[C@H](CC(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001025170052 736337074 /nfs/dbraw/zinc/33/70/74/736337074.db2.gz UMVBVQGNSNZNJA-DGCLKSJQSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1noc([C@H](C)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001020096047 736989800 /nfs/dbraw/zinc/98/98/00/736989800.db2.gz KNUXUYZKLKDVDA-LSJOCFKGSA-N -1 1 317.349 1.090 20 0 DDADMM CC(C)(O)C(C)(C)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692824651 738378483 /nfs/dbraw/zinc/37/84/83/738378483.db2.gz PHQAJENEXVVGGM-UHFFFAOYSA-N -1 1 324.805 1.950 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(Br)ccc2[O-])CCN1C ZINC000223745165 739040881 /nfs/dbraw/zinc/04/08/81/739040881.db2.gz UVPCROUQWLAJPU-SECBINFHSA-N -1 1 313.195 1.931 20 0 DDADMM COc1cc(C)cc([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001211733520 739307664 /nfs/dbraw/zinc/30/76/64/739307664.db2.gz NUTFLQWSCOVWAU-UHFFFAOYSA-N -1 1 307.375 1.969 20 0 DDADMM N=C(Nc1cccc(C2COC2)c1)SCCS(=O)(=O)[O-] ZINC001168092729 741429599 /nfs/dbraw/zinc/42/95/99/741429599.db2.gz GIRXJAHKZRJIEX-UHFFFAOYSA-N -1 1 316.404 1.768 20 0 DDADMM CN(C(=O)CCCc1ncc(-c2ccccc2)o1)c1nn[n-]n1 ZINC001168357534 742261162 /nfs/dbraw/zinc/26/11/62/742261162.db2.gz AAKBJPHGWLLGOC-UHFFFAOYSA-N -1 1 312.333 1.840 20 0 DDADMM O=C([O-])c1cc(Cl)ccc1CN1CCN([C@@H]2CCOC2)CC1 ZINC001142643504 742474421 /nfs/dbraw/zinc/47/44/21/742474421.db2.gz XEMFFQJPPOHIBF-CQSZACIVSA-N -1 1 324.808 1.945 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001060116852 742687092 /nfs/dbraw/zinc/68/70/92/742687092.db2.gz NNZYQASMBIUNFZ-VXGBXAGGSA-N -1 1 323.368 1.504 20 0 DDADMM Cc1ccc(C(C)(C)C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001180601898 742847219 /nfs/dbraw/zinc/84/72/19/742847219.db2.gz FFMHHBMQQXUOHK-UHFFFAOYSA-N -1 1 302.334 1.695 20 0 DDADMM Cc1cccc(CC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1C ZINC001183262642 743811770 /nfs/dbraw/zinc/81/17/70/743811770.db2.gz UOUITMILPSYMKS-UHFFFAOYSA-N -1 1 313.317 1.514 20 0 DDADMM CCOC1CC(CN2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC001030241247 743976982 /nfs/dbraw/zinc/97/69/82/743976982.db2.gz AWNWDDCRGIPMNB-UHFFFAOYSA-N -1 1 305.378 1.016 20 0 DDADMM CSc1nc(NC(=O)c2[nH]c(=O)ccc2Cl)cc(=O)[n-]1 ZINC001184798188 744102756 /nfs/dbraw/zinc/10/27/56/744102756.db2.gz HFPCKBUMHNNGQJ-UHFFFAOYSA-N -1 1 312.738 1.337 20 0 DDADMM CSc1ncc(O)c(C(=O)Nc2cc(=O)[n-]c(Cl)n2)n1 ZINC001185167619 744169168 /nfs/dbraw/zinc/16/91/68/744169168.db2.gz QKUADEWMHBUESV-UHFFFAOYSA-N -1 1 313.726 1.305 20 0 DDADMM O=C(Nc1ccc2c(c1)OCCO2)Nc1c([O-])nc[nH]c1=O ZINC001185938814 744309316 /nfs/dbraw/zinc/30/93/16/744309316.db2.gz QCZFOPHWJUARFB-UHFFFAOYSA-N -1 1 304.262 1.303 20 0 DDADMM O=C(NCC1CC(NC(=O)c2ncccc2[O-])C1)c1ccc[nH]1 ZINC000991915882 744399847 /nfs/dbraw/zinc/39/98/47/744399847.db2.gz FXRMRYGTTWVTMT-UHFFFAOYSA-N -1 1 314.345 1.054 20 0 DDADMM COc1cnc(Cl)c([N-]S(=O)(=O)c2cnoc2C)c1 ZINC001187332978 744541904 /nfs/dbraw/zinc/54/19/04/744541904.db2.gz BOVIGSMDINXFFL-UHFFFAOYSA-N -1 1 303.727 1.841 20 0 DDADMM COc1cc(F)ccc1C[N-]S(=O)(=O)c1cnoc1C ZINC001187401882 744556971 /nfs/dbraw/zinc/55/69/71/744556971.db2.gz ZIYITMURZXUCFY-UHFFFAOYSA-N -1 1 300.311 1.609 20 0 DDADMM O=C(NCCc1c[nH]c2cccnc12)c1n[n-]nc1C(F)(F)F ZINC001187770952 744599272 /nfs/dbraw/zinc/59/92/72/744599272.db2.gz VJLMUNXWYSTJKF-UHFFFAOYSA-N -1 1 324.266 1.672 20 0 DDADMM O=C(NC[C@@H](CO)c1ccccc1)c1n[n-]nc1C(F)(F)F ZINC001187773938 744600367 /nfs/dbraw/zinc/60/03/67/744600367.db2.gz NGVIQOYDJFJRCV-VIFPVBQESA-N -1 1 314.267 1.329 20 0 DDADMM CCOC(=O)[C@H](CNC(=O)c1ccncc1[O-])c1ccccc1 ZINC001188637931 744744161 /nfs/dbraw/zinc/74/41/61/744744161.db2.gz JMVWFMLAVQCZMQ-CQSZACIVSA-N -1 1 314.341 1.864 20 0 DDADMM COC(=O)c1cc(F)cc(F)c1[N-]S(=O)(=O)CC1CC1 ZINC001188976310 744793249 /nfs/dbraw/zinc/79/32/49/744793249.db2.gz GMAOPTQZZGJOFB-UHFFFAOYSA-N -1 1 305.302 1.903 20 0 DDADMM CCOc1ncc(Br)cc1C(=O)[N-]c1nnco1 ZINC001190455092 745227037 /nfs/dbraw/zinc/22/70/37/745227037.db2.gz KOICYHRDGDLEGY-UHFFFAOYSA-N -1 1 313.111 1.878 20 0 DDADMM CCN(CC(C)(C)O)C(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190628440 745278667 /nfs/dbraw/zinc/27/86/67/745278667.db2.gz NXQFSLGDNIOZDG-UHFFFAOYSA-N -1 1 316.361 1.477 20 0 DDADMM C[C@@H](NC(=O)c1cnc(-c2ccccn2)[n-]c1=O)c1cnn(C)c1 ZINC001190629637 745279114 /nfs/dbraw/zinc/27/91/14/745279114.db2.gz UOIUXPYEVLPZAY-SNVBAGLBSA-N -1 1 324.344 1.469 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)CCO1 ZINC001190638301 745282235 /nfs/dbraw/zinc/28/22/35/745282235.db2.gz XCOCYKNKGKFFIX-WDEREUQCSA-N -1 1 314.345 1.542 20 0 DDADMM CCOC(=O)c1ccc(F)c(NS(=O)(=O)c2ncc[n-]2)c1 ZINC001190722187 745306043 /nfs/dbraw/zinc/30/60/43/745306043.db2.gz RIQMFDQXYQFAHD-UHFFFAOYSA-N -1 1 313.310 1.526 20 0 DDADMM CN(C)C(=O)c1cccc([N-]S(=O)(=O)c2ccncc2)c1 ZINC001190887121 745367497 /nfs/dbraw/zinc/36/74/97/745367497.db2.gz KLDNQLXSFRTVJZ-UHFFFAOYSA-N -1 1 305.359 1.584 20 0 DDADMM O=S(=O)([N-]c1ccc(OC2COC2)cc1)c1ccncc1 ZINC001190893803 745369924 /nfs/dbraw/zinc/36/99/24/745369924.db2.gz QMVZPONCEUWTMY-UHFFFAOYSA-N -1 1 306.343 1.660 20 0 DDADMM CSc1ncc(C(=O)N2CCC3(C[C@@H]3CCO)CC2)c(=O)[n-]1 ZINC001191428226 745509380 /nfs/dbraw/zinc/50/93/80/745509380.db2.gz PAZIYLDPWAQPJR-JTQLQIEISA-N -1 1 323.418 1.529 20 0 DDADMM CSc1ncc(C(=O)N2CCc3ncccc3C2)c(=O)[n-]1 ZINC001191431248 745510471 /nfs/dbraw/zinc/51/04/71/745510471.db2.gz SXNAUDIKGBSOJB-UHFFFAOYSA-N -1 1 302.359 1.498 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc3[nH][nH]c(=O)c3c2)c(=O)[n-]1 ZINC001191436927 745512053 /nfs/dbraw/zinc/51/20/53/745512053.db2.gz HTSJCTDGBYRUAE-UHFFFAOYSA-N -1 1 317.330 1.738 20 0 DDADMM CSc1ncc(C(=O)NCc2cn(CC3CC3)cn2)c(=O)[n-]1 ZINC001191441580 745513448 /nfs/dbraw/zinc/51/34/48/745513448.db2.gz XZDXFFZKBGCBNR-UHFFFAOYSA-N -1 1 319.390 1.441 20 0 DDADMM C[C@@H](CCNc1cncc(Cl)n1)NC(=O)c1ncccc1[O-] ZINC001106296254 745562869 /nfs/dbraw/zinc/56/28/69/745562869.db2.gz MBTACCQNBODBNO-VIFPVBQESA-N -1 1 321.768 1.851 20 0 DDADMM CSc1nc(NC(=O)c2ccc(CCO)cc2)cc(=O)[n-]1 ZINC001191762252 745591333 /nfs/dbraw/zinc/59/13/33/745591333.db2.gz YTDZSKWUXOZQIF-UHFFFAOYSA-N -1 1 305.359 1.691 20 0 DDADMM COC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192042765 745680833 /nfs/dbraw/zinc/68/08/33/745680833.db2.gz JQQGJMAJIYRQNU-SYDPRGILSA-N -1 1 310.586 1.185 20 0 DDADMM CN1CCC[C@@](C)([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192053383 745685565 /nfs/dbraw/zinc/68/55/65/745685565.db2.gz CWMGFQNSNKWZCV-SSDOTTSWSA-N -1 1 309.646 1.718 20 0 DDADMM O=C(Nc1cc(=O)[nH]c(=O)n1C1CC1)c1c(F)ccc([O-])c1F ZINC001192551768 745813162 /nfs/dbraw/zinc/81/31/62/745813162.db2.gz FXRWUHFBVUADEH-UHFFFAOYSA-N -1 1 323.255 1.520 20 0 DDADMM CCOC(=O)c1ncc(NC(=O)c2c(F)ccc([O-])c2F)cn1 ZINC001192526531 745821058 /nfs/dbraw/zinc/82/10/58/745821058.db2.gz LMMFWUVKNFEMED-UHFFFAOYSA-N -1 1 323.255 1.889 20 0 DDADMM CC(=O)Nc1cc(NC(=O)c2c(F)ccc([O-])c2F)ncn1 ZINC001192525347 745821323 /nfs/dbraw/zinc/82/13/23/745821323.db2.gz SEFFMGKDGYMORM-UHFFFAOYSA-N -1 1 308.244 1.671 20 0 DDADMM COC(=O)[C@@H]1C[C@H](F)CN1C(=O)c1cc([O-])cc(F)c1F ZINC001192661171 745847745 /nfs/dbraw/zinc/84/77/45/745847745.db2.gz LRSNWIBAQHTMJX-WKEGUHRASA-N -1 1 303.236 1.396 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc(O)cc(F)c1F ZINC001192678904 745859920 /nfs/dbraw/zinc/85/99/20/745859920.db2.gz NKJFWSADXQSWIY-UHFFFAOYSA-N -1 1 307.216 1.295 20 0 DDADMM COC(=O)c1ccnc(CNC(=O)c2cc([O-])cc(F)c2F)c1 ZINC001192678773 745860046 /nfs/dbraw/zinc/86/00/46/745860046.db2.gz KIUZTTABONBEDJ-UHFFFAOYSA-N -1 1 322.267 1.782 20 0 DDADMM CC(=O)NCCCCCNC(=O)c1cc([O-])cc(F)c1F ZINC001192684019 745861874 /nfs/dbraw/zinc/86/18/74/745861874.db2.gz LNTBGLQLAAKCFH-UHFFFAOYSA-N -1 1 300.305 1.707 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cnccc1Cl ZINC001193013736 745960249 /nfs/dbraw/zinc/96/02/49/745960249.db2.gz VCYPEDBKUYUGNU-UHFFFAOYSA-N -1 1 306.771 1.818 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cc(=O)[nH]cc1C ZINC001193023942 745963069 /nfs/dbraw/zinc/96/30/69/745963069.db2.gz ABHXDSRYASFYDN-UHFFFAOYSA-N -1 1 302.352 1.179 20 0 DDADMM CCN(CCNc1nc(C)ncc1C)C(=O)c1ncccc1[O-] ZINC001106726809 745970906 /nfs/dbraw/zinc/97/09/06/745970906.db2.gz AIQNPBDBVOXJEP-UHFFFAOYSA-N -1 1 315.377 1.768 20 0 DDADMM COC(=O)[C@H](COC(C)(C)C)[N-]S(=O)(=O)CC1CCC1 ZINC001193533226 746123259 /nfs/dbraw/zinc/12/32/59/746123259.db2.gz UNADDUYEUVOAAQ-NSHDSACASA-N -1 1 307.412 1.063 20 0 DDADMM COc1ccnc(C(=O)Nc2cnn(C3CCOCC3)c2)c1[O-] ZINC001193524911 746133687 /nfs/dbraw/zinc/13/36/87/746133687.db2.gz PDMANVJZGDOAFR-UHFFFAOYSA-N -1 1 318.333 1.596 20 0 DDADMM Cn1ccnc1S(=O)(=O)[N-][C@@H](c1cccnc1)C(F)(F)F ZINC001193614231 746149259 /nfs/dbraw/zinc/14/92/59/746149259.db2.gz RSSBOIDMCMMNPF-VIFPVBQESA-N -1 1 320.296 1.397 20 0 DDADMM CC(=O)c1nc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1C ZINC001193825723 746209917 /nfs/dbraw/zinc/20/99/17/746209917.db2.gz KORLYNGMOAPUDE-UHFFFAOYSA-N -1 1 302.216 1.012 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)NC(=O)CC2 ZINC001193924100 746239447 /nfs/dbraw/zinc/23/94/47/746239447.db2.gz JCJWKSRYSVNBIV-UHFFFAOYSA-N -1 1 317.370 1.954 20 0 DDADMM O=c1[nH]nccc1[N-]S(=O)(=O)Cc1noc2ccccc12 ZINC001194058093 746267357 /nfs/dbraw/zinc/26/73/57/746267357.db2.gz CRTRFDNKAHPOPX-UHFFFAOYSA-N -1 1 306.303 1.265 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccccc2-c2cc[nH]n2)[n-]n1 ZINC001194288477 746343226 /nfs/dbraw/zinc/34/32/26/746343226.db2.gz FKUDSTDHTRFOFS-UHFFFAOYSA-N -1 1 311.301 1.839 20 0 DDADMM CC(=O)C(C)(C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC001194576906 746412802 /nfs/dbraw/zinc/41/28/02/746412802.db2.gz VSENNOIVOIDITH-UHFFFAOYSA-N -1 1 310.297 1.746 20 0 DDADMM COc1nccnc1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001195078474 746523377 /nfs/dbraw/zinc/52/33/77/746523377.db2.gz TYVZDAFFFINFEO-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM CCc1c(NC(=O)c2cc([O-])cnc2Cl)c(C(N)=O)nn1C ZINC001195299632 746570899 /nfs/dbraw/zinc/57/08/99/746570899.db2.gz QEBJRKHJYRSQDR-UHFFFAOYSA-N -1 1 323.740 1.088 20 0 DDADMM NC(=O)c1ccncc1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001195430919 746611921 /nfs/dbraw/zinc/61/19/21/746611921.db2.gz YTRZEWJJQFCVMC-UHFFFAOYSA-N -1 1 323.312 1.324 20 0 DDADMM O=C(N[C@@H]1C[C@H]1c1ccc(F)cc1)c1c[nH]c(=S)[n-]c1=O ZINC001196024376 746759855 /nfs/dbraw/zinc/75/98/55/746759855.db2.gz RYBKXQPYWHYFBN-GXSJLCMTSA-N -1 1 305.334 1.896 20 0 DDADMM O=C(NCc1ccc2ocnc2c1)c1c[nH]c(=S)[n-]c1=O ZINC001196023571 746760336 /nfs/dbraw/zinc/76/03/36/746760336.db2.gz MGXMFTCCFAMJKC-UHFFFAOYSA-N -1 1 302.315 1.542 20 0 DDADMM Cn1cncc1NC(=O)c1sccc1[N-]S(C)(=O)=O ZINC001196722083 746944417 /nfs/dbraw/zinc/94/44/17/746944417.db2.gz ZBXIZSJQHBYLBR-UHFFFAOYSA-N -1 1 300.365 1.105 20 0 DDADMM O=c1[nH]cncc1[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC001197567929 747202773 /nfs/dbraw/zinc/20/27/73/747202773.db2.gz VGURPKOVISEFHL-UHFFFAOYSA-N -1 1 301.274 1.402 20 0 DDADMM O=C(NCC1C[NH+](C[C@H]2CCCCO2)C1)c1ncccc1[O-] ZINC001031628293 747252843 /nfs/dbraw/zinc/25/28/43/747252843.db2.gz GVMVTNGWGGYFHZ-CYBMUJFWSA-N -1 1 305.378 1.018 20 0 DDADMM CCc1coc(C[N-]S(=O)(=O)c2cccc(Cl)n2)n1 ZINC001198192512 747416814 /nfs/dbraw/zinc/41/68/14/747416814.db2.gz ZTOSQCHUEVZSPX-UHFFFAOYSA-N -1 1 301.755 1.764 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)Nc1cccc2c1OCO2 ZINC001198730110 747582717 /nfs/dbraw/zinc/58/27/17/747582717.db2.gz HAQYHFBCSCUYLQ-UHFFFAOYSA-N -1 1 321.310 1.914 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)c1ccc(O)c(=O)[nH]1 ZINC001199198603 747738308 /nfs/dbraw/zinc/73/83/08/747738308.db2.gz BNJWHZVBEODKHY-UHFFFAOYSA-N -1 1 312.285 1.636 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CC[C@H](CNCc3ccon3)C2)c1[O-] ZINC000994879727 747805689 /nfs/dbraw/zinc/80/56/89/747805689.db2.gz GWLLFDZABXEACE-WDEREUQCSA-N -1 1 319.365 1.100 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc(OC)cc2C)n1 ZINC001199704646 747957689 /nfs/dbraw/zinc/95/76/89/747957689.db2.gz ROOWGEPPXICIFQ-UHFFFAOYSA-N -1 1 304.306 1.551 20 0 DDADMM Cc1cc(CN2CC[C@@H](N(C)C(=O)c3ncccc3[O-])C2)no1 ZINC001033026135 747975000 /nfs/dbraw/zinc/97/50/00/747975000.db2.gz SYRWTVHFXBOWMY-CYBMUJFWSA-N -1 1 316.361 1.430 20 0 DDADMM Cc1ncoc1CN1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001033036556 748012525 /nfs/dbraw/zinc/01/25/25/748012525.db2.gz RGXDLCDPRSLZPO-GFCCVEGCSA-N -1 1 316.361 1.430 20 0 DDADMM COC(=O)CCNC(=S)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001199998684 748098029 /nfs/dbraw/zinc/09/80/29/748098029.db2.gz YNAVWVVQIBXJDX-UHFFFAOYSA-N -1 1 320.374 1.427 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1ccoc1 ZINC001004728431 748685419 /nfs/dbraw/zinc/68/54/19/748685419.db2.gz TWSDVUCRWLOSMI-JQWIXIFHSA-N -1 1 319.365 1.276 20 0 DDADMM CC(C)(F)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC000995597833 748962343 /nfs/dbraw/zinc/96/23/43/748962343.db2.gz SOFLGPSPFLMRHB-VWYCJHECSA-N -1 1 321.352 1.397 20 0 DDADMM CC1(C)CN(C(=O)C2(C3CC3)CC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995932852 749301853 /nfs/dbraw/zinc/30/18/53/749301853.db2.gz JECCMWBMWNBKTE-LLVKDONJSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@H](CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C1CC1 ZINC000996684841 749906615 /nfs/dbraw/zinc/90/66/15/749906615.db2.gz ZVWDKOXQLGUNGI-ZYHUDNBSSA-N -1 1 321.425 1.273 20 0 DDADMM CCOC(=O)c1cnoc1[N-]c1cccnc1N1CCOCC1 ZINC001212349770 750648963 /nfs/dbraw/zinc/64/89/63/750648963.db2.gz HBVIBVGYCWYEEV-UHFFFAOYSA-N -1 1 318.333 1.827 20 0 DDADMM CCCC(=O)N1CC(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000997327524 750762164 /nfs/dbraw/zinc/76/21/64/750762164.db2.gz ZHIIOXXIIDGWNB-UHFFFAOYSA-N -1 1 320.393 1.355 20 0 DDADMM Cc1ccccc1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034846095 750962543 /nfs/dbraw/zinc/96/25/43/750962543.db2.gz GXYMDDOBTQLHLJ-GFCCVEGCSA-N -1 1 315.377 1.213 20 0 DDADMM COC(=O)c1nsnc1[N-]c1cccnc1N1CCOCC1 ZINC001212354268 751078434 /nfs/dbraw/zinc/07/84/34/751078434.db2.gz LFLDQSJEHMIJTL-UHFFFAOYSA-N -1 1 321.362 1.300 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001034974591 751089413 /nfs/dbraw/zinc/08/94/13/751089413.db2.gz XNPJVKWNPJIPDY-ZYHUDNBSSA-N -1 1 307.398 1.027 20 0 DDADMM O=C(C1CC2(CCC2)C1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035018787 751127593 /nfs/dbraw/zinc/12/75/93/751127593.db2.gz OMHQNLJKQQWLDC-GFCCVEGCSA-N -1 1 319.409 1.171 20 0 DDADMM C[C@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000999762037 753128085 /nfs/dbraw/zinc/12/80/85/753128085.db2.gz BRSMRUSZDBBQQN-JTQLQIEISA-N -1 1 315.329 1.125 20 0 DDADMM CC1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC000999827418 753193590 /nfs/dbraw/zinc/19/35/90/753193590.db2.gz QBZKUEJJSBDCKI-NSHDSACASA-N -1 1 307.398 1.171 20 0 DDADMM CCC(=O)N1CC([C@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001006098766 753587961 /nfs/dbraw/zinc/58/79/61/753587961.db2.gz HDYSXGIGTXOIQG-VIFPVBQESA-N -1 1 318.377 1.046 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001010129080 753629822 /nfs/dbraw/zinc/62/98/22/753629822.db2.gz VJZLDKHTLGGWOY-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NCC1(NC(=O)C2CCCC2)CCC1)c1ncccc1[O-] ZINC001062839506 753759860 /nfs/dbraw/zinc/75/98/60/753759860.db2.gz SWXOCTHNXGBMFE-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM CC[C@@H](OC)C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066976051 754051021 /nfs/dbraw/zinc/05/10/21/754051021.db2.gz CFTYXGKFVIMSOS-CYBMUJFWSA-N -1 1 323.393 1.133 20 0 DDADMM Cc1noc([C@@H](C)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001010725328 754124359 /nfs/dbraw/zinc/12/43/59/754124359.db2.gz HJLSGOBIPVTUDQ-KOLCDFICSA-N -1 1 317.349 1.044 20 0 DDADMM C[C@@H](c1ncccn1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010735383 754132318 /nfs/dbraw/zinc/13/23/18/754132318.db2.gz XBNCHVGXAJVJOE-RYUDHWBXSA-N -1 1 313.361 1.143 20 0 DDADMM O=C(N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)C1CCCC1 ZINC001078528528 754160207 /nfs/dbraw/zinc/16/02/07/754160207.db2.gz DZHWUUTYAKYHNX-NEPJUHHUSA-N -1 1 319.409 1.218 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C(C)(C)C)CCN1C(=O)c1ncccc1[O-] ZINC001011676261 754671504 /nfs/dbraw/zinc/67/15/04/754671504.db2.gz DWZGVEPTEVVIEW-QWRGUYRKSA-N -1 1 305.378 1.553 20 0 DDADMM O=C(N[C@@]12CCC[C@H]1N(C(=O)c1ncccc1[O-])CC2)C1CC1 ZINC001014123642 755612977 /nfs/dbraw/zinc/61/29/77/755612977.db2.gz GVETWEIGJYGPHG-CXAGYDPISA-N -1 1 315.373 1.451 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])Nc1nc(C2CC2)ns1 ZINC001097765699 757511353 /nfs/dbraw/zinc/51/13/53/757511353.db2.gz WQAZYLZDFFZCHG-MRVPVSSYSA-N -1 1 319.390 1.747 20 0 DDADMM CC1(CNC(=O)C(=O)NCCc2c(F)cc([O-])cc2F)CC1 ZINC001119749547 758060996 /nfs/dbraw/zinc/06/09/96/758060996.db2.gz PZIFMLMAEISFPF-UHFFFAOYSA-N -1 1 312.316 1.245 20 0 DDADMM CCOc1nccc(C)c1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000823405609 758310329 /nfs/dbraw/zinc/31/03/29/758310329.db2.gz MXEIBVWWUGUEIU-UHFFFAOYSA-N -1 1 316.365 1.322 20 0 DDADMM CC(=O)N[C@@H]1CC[C@H](C)N(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001017882753 758337357 /nfs/dbraw/zinc/33/73/57/758337357.db2.gz DPJWJGAHRHXJGG-JOYOIKCWSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)C1CC1 ZINC001018555960 758970053 /nfs/dbraw/zinc/97/00/53/758970053.db2.gz RPQGZFHMNHHBML-YPMHNXCESA-N -1 1 317.389 1.412 20 0 DDADMM Cc1ccc(C(F)(F)C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000825170423 759227663 /nfs/dbraw/zinc/22/76/63/759227663.db2.gz DECDOPLJUZQMGH-LLVKDONJSA-N -1 1 323.303 1.200 20 0 DDADMM Cc1cccc(C)c1OCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000827916232 759408491 /nfs/dbraw/zinc/40/84/91/759408491.db2.gz NHEZWSPROIKCBO-UHFFFAOYSA-N -1 1 315.377 1.602 20 0 DDADMM Cc1cc(CN2CC[C@@H]2CN(C)C(=O)c2ncccc2[O-])no1 ZINC001085562680 759728725 /nfs/dbraw/zinc/72/87/25/759728725.db2.gz RMAFXNZXZSVGCN-CYBMUJFWSA-N -1 1 316.361 1.430 20 0 DDADMM Cc1cscc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001054536695 759782463 /nfs/dbraw/zinc/78/24/63/759782463.db2.gz FGLAQTFASCEQPA-KWQFWETISA-N -1 1 321.406 1.131 20 0 DDADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2c1ncccn1)c1ncccc1[O-] ZINC001054972556 760246981 /nfs/dbraw/zinc/24/69/81/760246981.db2.gz PCBSFXXYPVAXPP-WOPDTQHZSA-N -1 1 311.345 1.117 20 0 DDADMM CN(CCCN(C)C(=O)C(C)(C)C)C(=O)c1ncccc1[O-] ZINC001067254942 761066988 /nfs/dbraw/zinc/06/69/88/761066988.db2.gz WDBSWJHLUFRNQA-UHFFFAOYSA-N -1 1 307.394 1.754 20 0 DDADMM C[C@@H](C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001001539393 762945248 /nfs/dbraw/zinc/94/52/48/762945248.db2.gz YWSLPTDDUAOPAT-SNVBAGLBSA-N -1 1 303.362 1.022 20 0 DDADMM CCCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001050078011 763100404 /nfs/dbraw/zinc/10/04/04/763100404.db2.gz FRJAWHAIPHXGRW-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(N[C@H](CNc1ncc(F)cn1)C1CC1)c1ncccc1[O-] ZINC001109821925 764106956 /nfs/dbraw/zinc/10/69/56/764106956.db2.gz UQZANVYYXIAYNR-LLVKDONJSA-N -1 1 317.324 1.337 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(CCc2ccns2)C1 ZINC001042645900 764308714 /nfs/dbraw/zinc/30/87/14/764308714.db2.gz GKZVJXCCCAHRHS-UHFFFAOYSA-N -1 1 318.402 1.243 20 0 DDADMM C[C@@H]1CC[C@H](CN2CC(N(C)C(=O)c3ncccc3[O-])C2)O1 ZINC001042646397 764310402 /nfs/dbraw/zinc/31/04/02/764310402.db2.gz QJJQLQBISUQUTK-DGCLKSJQSA-N -1 1 305.378 1.111 20 0 DDADMM Cc1ccc(N[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])nn1 ZINC001112983573 765082676 /nfs/dbraw/zinc/08/26/76/765082676.db2.gz NIMQEORGXJJNGL-WDEREUQCSA-N -1 1 301.350 1.505 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)CC1CC1 ZINC001052420131 765808393 /nfs/dbraw/zinc/80/83/93/765808393.db2.gz CHGLSWAJMKAAKC-YPMHNXCESA-N -1 1 317.389 1.412 20 0 DDADMM CC1(C)Oc2ccc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)cc2O1 ZINC001170217424 766176222 /nfs/dbraw/zinc/17/62/22/766176222.db2.gz CVEOLQCDILRYLD-UHFFFAOYSA-N -1 1 315.289 1.967 20 0 DDADMM CCC(CC)(CC)C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045256265 766189404 /nfs/dbraw/zinc/18/94/04/766189404.db2.gz XGDLUKJNAQPVOZ-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM COC(=O)c1ccnc(Cl)c1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170222825 766195786 /nfs/dbraw/zinc/19/57/86/766195786.db2.gz GHQJDYFTJHSKCR-UHFFFAOYSA-N -1 1 320.696 1.637 20 0 DDADMM CCCC[C@H](CNc1ncccn1)NC(=O)c1ncccc1[O-] ZINC001114241127 766807944 /nfs/dbraw/zinc/80/79/44/766807944.db2.gz MSELAPPIZZRKGT-GFCCVEGCSA-N -1 1 315.377 1.978 20 0 DDADMM Cc1noc(C2CC2)c1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC001129521031 766924375 /nfs/dbraw/zinc/92/43/75/766924375.db2.gz WHMSMNPFZCAGDV-UHFFFAOYSA-N -1 1 314.305 1.152 20 0 DDADMM O=C(N[C@H]1C[C@H](CNc2nccnc2F)C1)c1ncccc1[O-] ZINC001068475528 767353274 /nfs/dbraw/zinc/35/32/74/767353274.db2.gz COTSBORETINBNE-MGCOHNPYSA-N -1 1 317.324 1.337 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC[C@H](c2ccccc2)C1 ZINC001121673728 782619916 /nfs/dbraw/zinc/61/99/16/782619916.db2.gz JGIIPNVAMYSFFY-LBPRGKRZSA-N -1 1 310.365 1.472 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC[C@H](c2ccccc2)C1 ZINC001121673728 782619919 /nfs/dbraw/zinc/61/99/19/782619919.db2.gz JGIIPNVAMYSFFY-LBPRGKRZSA-N -1 1 310.365 1.472 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC[C@@H](c2cccc(C)c2)C1 ZINC001121673847 782620338 /nfs/dbraw/zinc/62/03/38/782620338.db2.gz OMWKMMDYRFAYHC-CYBMUJFWSA-N -1 1 324.392 1.780 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC[C@@H](c2cccc(C)c2)C1 ZINC001121673847 782620346 /nfs/dbraw/zinc/62/03/46/782620346.db2.gz OMWKMMDYRFAYHC-CYBMUJFWSA-N -1 1 324.392 1.780 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1(CF)CC1 ZINC001071952408 770335679 /nfs/dbraw/zinc/33/56/79/770335679.db2.gz LPUSCTRGLHSHEH-MNOVXSKESA-N -1 1 321.352 1.114 20 0 DDADMM C[C@@H](C[C@H](C)NC(=O)c1ncccc1[O-])NCc1cnsn1 ZINC001134159941 770709906 /nfs/dbraw/zinc/70/99/06/770709906.db2.gz FWGCNMAGOMTMEA-UWVGGRQHSA-N -1 1 321.406 1.325 20 0 DDADMM C[S@@](=O)c1ccc(NC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC001136772033 772134800 /nfs/dbraw/zinc/13/48/00/772134800.db2.gz RCZODDZTUGHMRX-OAQYLSRUSA-N -1 1 303.339 1.951 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(C)(C)Br)n1 ZINC001144546080 772559252 /nfs/dbraw/zinc/55/92/52/772559252.db2.gz KDHHDCIAXAEKRQ-UHFFFAOYSA-N -1 1 304.144 1.698 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cnc3ccccc3n2)c1[O-] ZINC001147834670 773262356 /nfs/dbraw/zinc/26/23/56/773262356.db2.gz WMAAZNAXQDYPNJ-UHFFFAOYSA-N -1 1 310.313 1.783 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cccc3nccnc32)c1[O-] ZINC001147841111 773265053 /nfs/dbraw/zinc/26/50/53/773265053.db2.gz OOHCBIWHPWNGLZ-UHFFFAOYSA-N -1 1 310.313 1.783 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCC(=O)Cc2ccccc2)c1[O-] ZINC001147844376 773267515 /nfs/dbraw/zinc/26/75/15/773267515.db2.gz PFMFMURHMHZMHL-UHFFFAOYSA-N -1 1 314.341 1.130 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCc2cncn2C2CCC2)c1[O-] ZINC001147847956 773268762 /nfs/dbraw/zinc/26/87/62/773268762.db2.gz BBHGMIZZIOAHHB-UHFFFAOYSA-N -1 1 316.361 1.439 20 0 DDADMM CC(=O)c1cc(C(=O)n2c(C)cc(=O)c(N)c2C)ccc1[O-] ZINC001148657665 773568967 /nfs/dbraw/zinc/56/89/67/773568967.db2.gz PJGCALDUZNVGEB-UHFFFAOYSA-N -1 1 300.314 1.644 20 0 DDADMM NC(=O)c1n[nH]cc1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001148789985 773588858 /nfs/dbraw/zinc/58/88/58/773588858.db2.gz BCPXGZTYXFPPGT-UHFFFAOYSA-N -1 1 314.223 1.485 20 0 DDADMM O=C(Nc1ncccn1)c1cc([O-])c(Br)c(O)c1 ZINC001148981318 773663378 /nfs/dbraw/zinc/66/33/78/773663378.db2.gz IEBMPLKFQRACLW-UHFFFAOYSA-N -1 1 310.107 1.903 20 0 DDADMM Cn1cnc(NC(=O)c2cc([O-])c(Br)c(O)c2)n1 ZINC001148981867 773663648 /nfs/dbraw/zinc/66/36/48/773663648.db2.gz VHCUZUNJGCSOJP-UHFFFAOYSA-N -1 1 313.111 1.241 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1CC12CCCC2 ZINC001075018596 774301928 /nfs/dbraw/zinc/30/19/28/774301928.db2.gz GMTOXSUCERBRDV-TUAOUCFPSA-N -1 1 319.409 1.170 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])c1cnc2n(c1=O)CCS2 ZINC001171632885 776355711 /nfs/dbraw/zinc/35/57/11/776355711.db2.gz VINNLHNWLPVMSS-UHFFFAOYSA-N -1 1 307.306 1.446 20 0 DDADMM COc1cccc2c1C[C@H](Nc1[n-]c(=O)nc3nc[nH]c31)CO2 ZINC001173156453 777026053 /nfs/dbraw/zinc/02/60/53/777026053.db2.gz MZXHJIVZBPKEEM-QMMMGPOBSA-N -1 1 313.317 1.483 20 0 DDADMM O=C(NCCN(c1ncc(F)cn1)C1CC1)c1ncccc1[O-] ZINC001101323687 777069380 /nfs/dbraw/zinc/06/93/80/777069380.db2.gz WWTZJASUVCVKNP-UHFFFAOYSA-N -1 1 317.324 1.115 20 0 DDADMM CCOC(=O)C[C@@H]1CC[C@H](Nc2[n-]c(=O)nc3nc[nH]c32)C1 ZINC001173636623 777298071 /nfs/dbraw/zinc/29/80/71/777298071.db2.gz MOWQRCMAEAPTQI-BDAKNGLRSA-N -1 1 305.338 1.592 20 0 DDADMM CN(C)c1ccc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cn1 ZINC001175340428 777758452 /nfs/dbraw/zinc/75/84/52/777758452.db2.gz GHJRKKXBMCEJBG-UHFFFAOYSA-N -1 1 303.326 1.851 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2ccc(CO)cc2)c1 ZINC001175570210 777845003 /nfs/dbraw/zinc/84/50/03/777845003.db2.gz QOMPCHVCRBGTOV-UHFFFAOYSA-N -1 1 322.342 1.895 20 0 DDADMM Cn1cc2ccc([N-]S(=O)(=O)c3ccccc3N)cc2n1 ZINC001175618664 777860706 /nfs/dbraw/zinc/86/07/06/777860706.db2.gz SSDAIBTYTZXXCU-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM CO[C@@H]1COCC[C@@H]1N(C)C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001176083196 778018325 /nfs/dbraw/zinc/01/83/25/778018325.db2.gz CZYOGCRTGWEHHG-UONOGXRCSA-N -1 1 307.346 1.389 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cc(F)ccc2N)cc1O ZINC001176261061 778110213 /nfs/dbraw/zinc/11/02/13/778110213.db2.gz UZFNBKZGTNOVSF-UHFFFAOYSA-N -1 1 312.322 1.923 20 0 DDADMM COC(=O)c1nsnc1[N-]c1cnn(Cc2cccnc2)c1 ZINC001176454268 778153360 /nfs/dbraw/zinc/15/33/60/778153360.db2.gz KZXDYGGWRWXOAG-UHFFFAOYSA-N -1 1 316.346 1.708 20 0 DDADMM CN(C)c1nc(Nc2cnc3nonc3c2)c(N=O)c(=O)[n-]1 ZINC001176688972 778213058 /nfs/dbraw/zinc/21/30/58/778213058.db2.gz HSUHYFFINDMMPA-UHFFFAOYSA-N -1 1 302.254 1.321 20 0 DDADMM Cc1cc(NC(=O)Cc2nn[n-]n2)n(Cc2ccccc2F)n1 ZINC001176840051 778271215 /nfs/dbraw/zinc/27/12/15/778271215.db2.gz KEGDDEAMBYDNOS-UHFFFAOYSA-N -1 1 315.312 1.073 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cnc2c(ccc(F)c2F)c1 ZINC001177123530 778436471 /nfs/dbraw/zinc/43/64/71/778436471.db2.gz PWGWLRYSOQQXFY-UHFFFAOYSA-N -1 1 304.260 1.597 20 0 DDADMM O=C(Cc1ccc(C2CC2)cn1)NCCCC[P@@](=O)([O-])O ZINC001177969958 778793513 /nfs/dbraw/zinc/79/35/13/778793513.db2.gz HSSVENFFBOCRMQ-UHFFFAOYSA-N -1 1 312.306 1.576 20 0 DDADMM O=C(NCC1(Nc2cnc(F)cn2)CCC1)c1ncccc1[O-] ZINC001111788496 779482615 /nfs/dbraw/zinc/48/26/15/779482615.db2.gz QEIZMWYCXZQXJC-UHFFFAOYSA-N -1 1 317.324 1.481 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@H]2C=CCCC2)C1 ZINC001118976929 781289390 /nfs/dbraw/zinc/28/93/90/781289390.db2.gz UNUYYQJLLKXOHF-GXFFZTMASA-N -1 1 319.327 1.948 20 0 DDADMM C/C=C(\C)C(=O)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001267943977 839238582 /nfs/dbraw/zinc/23/85/82/839238582.db2.gz IGGSVHYSVVPCGL-QDEBKDIKSA-N -1 1 307.398 1.195 20 0 DDADMM CN1C[C@@H]2COC[C@H](C1)N2C(=O)c1ccc2sccc2c1[O-] ZINC001269047152 841144817 /nfs/dbraw/zinc/14/48/17/841144817.db2.gz DCXXOELRQPNHRQ-PHIMTYICSA-N -1 1 318.398 1.762 20 0 DDADMM CC(C)(C)/C=C\C(=O)N[C@](C)(CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001269607008 841819078 /nfs/dbraw/zinc/81/90/78/841819078.db2.gz VJVMYJLZHURRLH-XITLMJRVSA-N -1 1 321.425 1.487 20 0 DDADMM CCCNC(=O)[C@@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC001364151739 843037676 /nfs/dbraw/zinc/03/76/76/843037676.db2.gz KVAYIINQIIIARC-SSDOTTSWSA-N -1 1 310.828 1.595 20 0 DDADMM COC(=O)C1CC(Oc2[n-]c(=O)ncc2Br)C1 ZINC001227133845 843358493 /nfs/dbraw/zinc/35/84/93/843358493.db2.gz PIVSCLXPNCYLGY-UHFFFAOYSA-N -1 1 303.112 1.275 20 0 DDADMM C/C=C(\C)C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001271729584 843817049 /nfs/dbraw/zinc/81/70/49/843817049.db2.gz FBKUTLYVYHBKTN-WCVPKZOISA-N -1 1 319.409 1.336 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(-c2cn[nH]c2)cn1)c1nn[n-]n1 ZINC001149121595 861472630 /nfs/dbraw/zinc/47/26/30/861472630.db2.gz DQGHZBXWUYCZSV-NSHDSACASA-N -1 1 312.337 1.256 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cncs1 ZINC001409261310 844725746 /nfs/dbraw/zinc/72/57/46/844725746.db2.gz HOXRDCPFRRNHIT-VIFPVBQESA-N -1 1 320.374 1.182 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2cnn(C)c2Cl)c1 ZINC001149228577 861493852 /nfs/dbraw/zinc/49/38/52/861493852.db2.gz GQARHPITZACJPJ-UHFFFAOYSA-N -1 1 308.725 1.990 20 0 DDADMM CCCC(=O)N[C@@H](CNC(=O)c1ncccc1[O-])CC(C)C ZINC001409769009 845689074 /nfs/dbraw/zinc/68/90/74/845689074.db2.gz GBBLSBOMENGDAO-GFCCVEGCSA-N -1 1 307.394 1.848 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cncc(Cl)c1)c1noc(C)n1 ZINC001365679791 846380537 /nfs/dbraw/zinc/38/05/37/846380537.db2.gz NZOBOWPAHWNAKT-JTQLQIEISA-N -1 1 316.770 1.856 20 0 DDADMM CN1CCN(Cc2c(Br)ccc([O-])c2F)CC1=O ZINC001238305748 846768905 /nfs/dbraw/zinc/76/89/05/846768905.db2.gz RJXSXWKHWZUWKE-UHFFFAOYSA-N -1 1 317.158 1.568 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2Cc1nccs1 ZINC001032370118 847223927 /nfs/dbraw/zinc/22/39/27/847223927.db2.gz KLSAXDCJEMYEDN-QWRGUYRKSA-N -1 1 316.386 1.343 20 0 DDADMM O=C(N[C@H]1NC(=O)c2ccccc21)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273312 861921948 /nfs/dbraw/zinc/92/19/48/861921948.db2.gz MSMLEYMZOKOTGC-CQSZACIVSA-N -1 1 308.297 1.441 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccc(Cl)o1 ZINC001410317356 849064102 /nfs/dbraw/zinc/06/41/02/849064102.db2.gz RHZBDPXWPKBIGR-QMMMGPOBSA-N -1 1 323.736 1.582 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](CO)CC1CCCC1 ZINC001155345331 861979793 /nfs/dbraw/zinc/97/97/93/861979793.db2.gz QIRSBXWBCYVHHM-SNVBAGLBSA-N -1 1 311.407 1.450 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc2[nH]ccc2c1F ZINC001155419616 862065541 /nfs/dbraw/zinc/06/55/41/862065541.db2.gz NWVMJBRJBBOMBC-UHFFFAOYSA-N -1 1 314.253 1.995 20 0 DDADMM CC(C)(F)C(=O)NC[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001411085058 850197457 /nfs/dbraw/zinc/19/74/57/850197457.db2.gz ZVTYQUGEZUPTPZ-MRXNPFEDSA-N -1 1 323.368 1.504 20 0 DDADMM CCCC1(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C(C)(C)C)CC1 ZINC001411215594 850420451 /nfs/dbraw/zinc/42/04/51/850420451.db2.gz HKQUPLBZYKZXPA-LLVKDONJSA-N -1 1 323.441 1.711 20 0 DDADMM CO[C@H]1CC[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)C1 ZINC001327549301 862263911 /nfs/dbraw/zinc/26/39/11/862263911.db2.gz DHCCTYISOTWTQL-STQMWFEESA-N -1 1 305.330 1.301 20 0 DDADMM C[C@@H](NC/C=C\CNC(=O)c1ncccc1[O-])c1csnn1 ZINC001273975021 851727052 /nfs/dbraw/zinc/72/70/52/851727052.db2.gz RMLAMTNPFPECMZ-MXQLGCADSA-N -1 1 319.390 1.276 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn3cccc(C)c23)n1 ZINC001155612072 862288819 /nfs/dbraw/zinc/28/88/19/862288819.db2.gz WUTWBHUUKIJHGT-UHFFFAOYSA-N -1 1 313.317 1.795 20 0 DDADMM NC(=O)[C@@H]1CCC[C@]12CCN(C(=O)c1ccc(F)c([O-])c1)C2 ZINC001275408147 853079538 /nfs/dbraw/zinc/07/95/38/853079538.db2.gz LOSRURYTINPCNA-MEDUHNTESA-N -1 1 306.337 1.649 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@]12CCN(C(=O)c1c(F)ccc([O-])c1F)C2 ZINC001275611779 853430808 /nfs/dbraw/zinc/43/08/08/853430808.db2.gz JROHUCCGCBJTTM-FVMDXXJSSA-N -1 1 324.327 1.788 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@@]12CCN(C(=O)c1cncc([O-])c1)C2 ZINC001275624459 853447914 /nfs/dbraw/zinc/44/79/14/853447914.db2.gz ZLYMDQXZTGSXJE-YOEHRIQHSA-N -1 1 317.389 1.508 20 0 DDADMM CSc1nc(NC(=O)c2cn3cccnc3n2)cc(=O)[n-]1 ZINC001150828406 862484218 /nfs/dbraw/zinc/48/42/18/862484218.db2.gz DIKMRHJNLKSCOT-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM CC(C)NC(=O)N1CCC12CN(C(=O)c1ccc([O-])c(F)c1)C2 ZINC001275950509 854007541 /nfs/dbraw/zinc/00/75/41/854007541.db2.gz ZCPPPFKNLBNWRL-UHFFFAOYSA-N -1 1 321.352 1.550 20 0 DDADMM CC(C)(C)NC(=O)[C@@H]1CC12CN(C(=O)c1cccc([O-])c1F)C2 ZINC001275984937 854083173 /nfs/dbraw/zinc/08/31/73/854083173.db2.gz VRCHRABJLGTJDI-NSHDSACASA-N -1 1 320.364 1.908 20 0 DDADMM CCCC[C@@H](C)C(=O)N1C[C@H](NC(=O)c2cnn[nH]2)C(C)(C)C1 ZINC001412083139 854203956 /nfs/dbraw/zinc/20/39/56/854203956.db2.gz WYGZBJZXQXGMEX-YPMHNXCESA-N -1 1 321.425 1.598 20 0 DDADMM CNC(=O)[C@H](CCSC)NC(=O)c1cccc([O-])c1F ZINC001412195117 854319334 /nfs/dbraw/zinc/31/93/34/854319334.db2.gz MBGRJJOUJRLAQE-VIFPVBQESA-N -1 1 300.355 1.129 20 0 DDADMM CC1(C)Oc2ccsc2[C@@H](NC(=O)c2cnncc2[O-])[C@@H]1O ZINC001412230643 854350873 /nfs/dbraw/zinc/35/08/73/854350873.db2.gz QWKPQTKANFWRDB-PWSUYJOCSA-N -1 1 321.358 1.247 20 0 DDADMM COC(=O)c1nc(CNC(=O)c2ccc([O-])cn2)ccc1Cl ZINC001412326808 854443588 /nfs/dbraw/zinc/44/35/88/854443588.db2.gz BVESKTRDWHDNCV-UHFFFAOYSA-N -1 1 321.720 1.552 20 0 DDADMM CSc1ncc(C(=O)N[C@H](c2ccccc2)[C@H](C)O)c(=O)[n-]1 ZINC001412366400 854471567 /nfs/dbraw/zinc/47/15/67/854471567.db2.gz BPXXFFQTFDSINB-CABZTGNLSA-N -1 1 319.386 1.756 20 0 DDADMM COC[C@H](NC(=O)c1cc(SC)ccc1C)c1nn[n-]n1 ZINC001412593338 854785366 /nfs/dbraw/zinc/78/53/66/854785366.db2.gz MDXRFFGUYIMVPC-NSHDSACASA-N -1 1 307.379 1.348 20 0 DDADMM O=C([C@@H]1C[C@H]1C1CCOCC1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001412613026 854812072 /nfs/dbraw/zinc/81/20/72/854812072.db2.gz QYDBKRMYBFNCTQ-KWCYVHTRSA-N -1 1 319.409 1.044 20 0 DDADMM NC(=O)C[C@@H](NC(=O)c1cnncc1[O-])c1ccc(Cl)cc1 ZINC001412628417 854841947 /nfs/dbraw/zinc/84/19/47/854841947.db2.gz JUZHXFKAMHHYIA-LLVKDONJSA-N -1 1 320.736 1.182 20 0 DDADMM O=C(N[C@@H](CO)c1ncc[nH]1)c1ccc(C(F)(F)F)cc1[O-] ZINC001412724702 855026152 /nfs/dbraw/zinc/02/61/52/855026152.db2.gz UTPYTXHCMHBMPQ-VIFPVBQESA-N -1 1 315.251 1.598 20 0 DDADMM CCc1cnccc1[C@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001412754747 855155020 /nfs/dbraw/zinc/15/50/20/855155020.db2.gz YWZHFPYQLHZJHG-QMMMGPOBSA-N -1 1 318.333 1.345 20 0 DDADMM CC[C@]1(CO)CCCN(C(=O)c2cnc(SC)[n-]c2=O)C1 ZINC001412851796 855727086 /nfs/dbraw/zinc/72/70/86/855727086.db2.gz XNPAOCBLGBXXGA-AWEZNQCLSA-N -1 1 311.407 1.529 20 0 DDADMM COC1(C(F)(F)F)CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001413133922 856474937 /nfs/dbraw/zinc/47/49/37/856474937.db2.gz SCIBZXZNCFBJSJ-UHFFFAOYSA-N -1 1 304.268 1.971 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2cccc(C(N)=O)c2)sn1 ZINC001413272847 856600042 /nfs/dbraw/zinc/60/00/42/856600042.db2.gz FTACWTBQIFYKJC-UHFFFAOYSA-N -1 1 311.388 1.029 20 0 DDADMM O=C([N-]CCNc1cncc(N2CCCC2)n1)C(F)(F)F ZINC001156203290 862815258 /nfs/dbraw/zinc/81/52/58/862815258.db2.gz MVDBSTIOSSCQIU-UHFFFAOYSA-N -1 1 303.288 1.167 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C2C[C@H](C)O[C@@H](C)C2)sn1 ZINC001413322103 856651002 /nfs/dbraw/zinc/65/10/02/856651002.db2.gz CVVCYFLSKFXHSM-YUMQZZPRSA-N -1 1 306.409 1.386 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](CO)CCC(C)(C)C)sn1 ZINC001413352431 856705952 /nfs/dbraw/zinc/70/59/52/856705952.db2.gz JSGYETVOTDQNBC-SECBINFHSA-N -1 1 322.452 1.617 20 0 DDADMM CCCC[C@H](C)N(C)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001413358321 856710516 /nfs/dbraw/zinc/71/05/16/856710516.db2.gz LIQBDSXRKLWEBF-VIFPVBQESA-N -1 1 303.384 1.396 20 0 DDADMM CCCC[C@H](C)N(C)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001413358321 856710524 /nfs/dbraw/zinc/71/05/24/856710524.db2.gz LIQBDSXRKLWEBF-VIFPVBQESA-N -1 1 303.384 1.396 20 0 DDADMM CC(C)(C)OC(=O)NCC(C)(C)C[N-]S(=O)(=O)C(F)F ZINC001259962608 856800879 /nfs/dbraw/zinc/80/08/79/856800879.db2.gz LXDZIKDMPSUOKS-UHFFFAOYSA-N -1 1 316.370 1.679 20 0 DDADMM CCCCS(=O)(=O)[N-]c1cc(N2CCOCC2)nc(C)n1 ZINC001253787000 856924612 /nfs/dbraw/zinc/92/46/12/856924612.db2.gz QCSYHGBXKNJYRO-UHFFFAOYSA-N -1 1 314.411 1.163 20 0 DDADMM COC[C@@H](NC(=O)c1cc(C(C)(C)C)oc1C)c1nn[n-]n1 ZINC001413558262 857064684 /nfs/dbraw/zinc/06/46/84/857064684.db2.gz VPMKPKNVDDVVGF-SNVBAGLBSA-N -1 1 307.354 1.516 20 0 DDADMM CC(C)c1nnsc1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001413558271 857065154 /nfs/dbraw/zinc/06/51/54/857065154.db2.gz HWTDVQHGVSWSIY-SECBINFHSA-N -1 1 321.410 1.270 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2CCOC[C@@H]2C)sn1 ZINC001463665489 857299190 /nfs/dbraw/zinc/29/91/90/857299190.db2.gz OFLVAEZATHHHKN-IUCAKERBSA-N -1 1 306.409 1.103 20 0 DDADMM CC[C@@H](F)CN1CCC2(CN(C(=O)c3n[nH]c(C)c3[O-])C2)C1 ZINC001072625411 857524845 /nfs/dbraw/zinc/52/48/45/857524845.db2.gz KALOIMASVZNZNT-LLVKDONJSA-N -1 1 310.373 1.320 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4ncncc4c3)c1-2 ZINC001151767758 862956819 /nfs/dbraw/zinc/95/68/19/862956819.db2.gz WAYJPFQZMFXSNH-UHFFFAOYSA-N -1 1 305.301 1.230 20 0 DDADMM CCc1noc([C@H]2CC[C@H](NC(=O)c3ccc([O-])cn3)C2)n1 ZINC001413744616 858266728 /nfs/dbraw/zinc/26/67/28/858266728.db2.gz FZHDBWCAVPAVGH-UWVGGRQHSA-N -1 1 302.334 1.799 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1Cc2cccc(Cl)c2C1 ZINC001121769612 858592307 /nfs/dbraw/zinc/59/23/07/858592307.db2.gz LEXFKLQSEPPPSB-UHFFFAOYSA-N -1 1 316.756 1.652 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1Cc2cccc(Cl)c2C1 ZINC001121769612 858592314 /nfs/dbraw/zinc/59/23/14/858592314.db2.gz LEXFKLQSEPPPSB-UHFFFAOYSA-N -1 1 316.756 1.652 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1[C@H]2CCO[C@H]2C12CCC2 ZINC001123766366 859404334 /nfs/dbraw/zinc/40/43/34/859404334.db2.gz MKIXOUFKQGVSGX-FBIMIBRVSA-N -1 1 321.402 1.467 20 0 DDADMM Cn1ccc2ccc(C(=O)N3CCC[C@H](Cc4nn[n-]n4)C3)cc21 ZINC001123999148 859518973 /nfs/dbraw/zinc/51/89/73/859518973.db2.gz PPJZJJXKFADKDI-GFCCVEGCSA-N -1 1 324.388 1.786 20 0 DDADMM CCC(=O)N[C@@H]1CCCN(Cc2ccc(OCC(=O)[O-])cc2)C1 ZINC001138930411 860234547 /nfs/dbraw/zinc/23/45/47/860234547.db2.gz LQQUMGQUQFIHGK-CQSZACIVSA-N -1 1 320.389 1.641 20 0 DDADMM CC/C(C)=C/C(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202899156 860300544 /nfs/dbraw/zinc/30/05/44/860300544.db2.gz NEYNGCDTDLVZFH-GJKHTVIGSA-N -1 1 319.409 1.384 20 0 DDADMM COc1cccc(CN2CCCC[C@H]2CO)c1OCC(=O)[O-] ZINC001139266881 860341778 /nfs/dbraw/zinc/34/17/78/860341778.db2.gz RTJJQAVGDHIWJS-ZDUSSCGKSA-N -1 1 309.362 1.505 20 0 DDADMM CCCCCC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001224814995 881544366 /nfs/dbraw/zinc/54/43/66/881544366.db2.gz GAIDCOUTWKCAQF-VXGBXAGGSA-N -1 1 309.414 1.608 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cccc2cc(O)ccc21 ZINC001152036216 863128987 /nfs/dbraw/zinc/12/89/87/863128987.db2.gz LSOZVDJRXMMYCQ-UHFFFAOYSA-N -1 1 312.285 1.581 20 0 DDADMM O=[P@]([O-])(O)CCCCOC[C@H]1COc2cscc2O1 ZINC001225019321 881641557 /nfs/dbraw/zinc/64/15/57/881641557.db2.gz VVZSPGQJEZFGFY-VIFPVBQESA-N -1 1 308.292 1.862 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2scnc2Cl)n1 ZINC001361440660 881657640 /nfs/dbraw/zinc/65/76/40/881657640.db2.gz KPSQDGYDIWEQJZ-UHFFFAOYSA-N -1 1 300.727 1.949 20 0 DDADMM CC(=O)[C@@H]1CCN(C(=O)c2cc(=O)c3cccc(O)c3[n-]2)C1 ZINC001152679813 863487713 /nfs/dbraw/zinc/48/77/13/863487713.db2.gz QKENOZXPTZKGSD-SNVBAGLBSA-N -1 1 300.314 1.697 20 0 DDADMM COC(=O)CCCO[N-]C(=O)[C@H](CCCO)c1ccccc1 ZINC001157227695 863666796 /nfs/dbraw/zinc/66/67/96/863666796.db2.gz RHVYBKQPLNXEGN-CQSZACIVSA-N -1 1 309.362 1.544 20 0 DDADMM CCOC(=O)C[C@@H](C)CNC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001153357924 863847928 /nfs/dbraw/zinc/84/79/28/863847928.db2.gz HSKKTDNCXGFSQF-LLVKDONJSA-N -1 1 307.346 1.832 20 0 DDADMM O=c1[n-]nc([C@H]2CCCN(c3ncnc4scnc43)C2)o1 ZINC001330047026 864045164 /nfs/dbraw/zinc/04/51/64/864045164.db2.gz TZPAQFPJGUFNGH-ZETCQYMHSA-N -1 1 304.335 1.559 20 0 DDADMM O=C(NCc1cccc2n[nH]cc21)c1c[n-]c2cccnc2c1=O ZINC001153862082 864172477 /nfs/dbraw/zinc/17/24/77/864172477.db2.gz OPFQOKXHSSMLEM-UHFFFAOYSA-N -1 1 319.324 1.729 20 0 DDADMM O=C(c1cc(=O)c2cc(Cl)ccc2[nH]1)N1CC[N@@H+]2CC[C@H]2C1 ZINC001153904713 864210118 /nfs/dbraw/zinc/21/01/18/864210118.db2.gz WCYBIPZESDESAV-NSHDSACASA-N -1 1 317.776 1.712 20 0 DDADMM CC1(C)CCN(C(=O)C(=O)c2ccc([O-])cc2)CC[S@@]1=O ZINC001158109184 864433628 /nfs/dbraw/zinc/43/36/28/864433628.db2.gz MHFDIXLXXTUBGZ-NRFANRHFSA-N -1 1 309.387 1.335 20 0 DDADMM CCn1nc(C)c(CC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1C ZINC001361527698 881833142 /nfs/dbraw/zinc/83/31/42/881833142.db2.gz BTOYRKXFNPOIJR-UHFFFAOYSA-N -1 1 312.377 1.356 20 0 DDADMM Cc1nc2ccsc2c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159185293 865151587 /nfs/dbraw/zinc/15/15/87/865151587.db2.gz QUEHFHRPTQPLLN-UHFFFAOYSA-N -1 1 315.318 1.772 20 0 DDADMM CCc1cc(CC)nc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159195954 865160397 /nfs/dbraw/zinc/16/03/97/865160397.db2.gz SLPQLJXTOMBLEL-UHFFFAOYSA-N -1 1 301.310 1.374 20 0 DDADMM O=C([N-]N1CC(=O)NC1=O)c1cc2ccccc2nc1Cl ZINC001160529548 865916593 /nfs/dbraw/zinc/91/65/93/865916593.db2.gz DIHGIYVBXCOIGV-UHFFFAOYSA-N -1 1 304.693 1.085 20 0 DDADMM Cc1cccc2c1O[C@@H](C(=O)N=c1ccnc3n(C)[n-]cc1-3)C2 ZINC001361628617 882042801 /nfs/dbraw/zinc/04/28/01/882042801.db2.gz NTGHPYMYFJLSKA-CQSZACIVSA-N -1 1 308.341 1.592 20 0 DDADMM O=C([N-]CCCSCc1ncnn1CCF)C(F)(F)F ZINC001321350385 867517848 /nfs/dbraw/zinc/51/78/48/867517848.db2.gz QARDZXTYFZFTBS-UHFFFAOYSA-N -1 1 314.308 1.549 20 0 DDADMM COC[C@@H]1CC[C@@H]([C@@H]2COCCN2CCCCCC(=O)[O-])O1 ZINC001334761969 867818716 /nfs/dbraw/zinc/81/87/16/867818716.db2.gz BWLIZDCRQAGLHS-KKUMJFAQSA-N -1 1 315.410 1.526 20 0 DDADMM CC1(C)C[C@@H]1NC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001334967906 867955807 /nfs/dbraw/zinc/95/58/07/867955807.db2.gz PDUBCELLTABUAG-LBPRGKRZSA-N -1 1 312.316 1.244 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)F)c1ccc(NC[C@@H](O)CO)cc1 ZINC001163357455 868393128 /nfs/dbraw/zinc/39/31/28/868393128.db2.gz PAKPUNFHKMTEKX-GZMMTYOYSA-N -1 1 306.284 1.191 20 0 DDADMM CSc1nc(CNC(=O)c2cnc(F)cc2C)cc(=O)[n-]1 ZINC001163577039 868544004 /nfs/dbraw/zinc/54/40/04/868544004.db2.gz IJXWYWUBUIYTHN-UHFFFAOYSA-N -1 1 308.338 1.677 20 0 DDADMM CC[C@@H](F)C(=O)NCCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001336013818 868679994 /nfs/dbraw/zinc/67/99/94/868679994.db2.gz SJVDPRJZHMOKSV-GHMZBOCLSA-N -1 1 311.357 1.550 20 0 DDADMM CCc1nnc2ccc(NCC[N-]C(=O)C(F)(F)F)cn21 ZINC001164245903 869054628 /nfs/dbraw/zinc/05/46/28/869054628.db2.gz DAMAWQOMIPDZFK-UHFFFAOYSA-N -1 1 301.272 1.382 20 0 DDADMM CS(C)(=O)=NCC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC001475698899 869609588 /nfs/dbraw/zinc/60/95/88/869609588.db2.gz PPMGEULXPMHMAE-UHFFFAOYSA-N -1 1 316.404 1.439 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001337947747 869710539 /nfs/dbraw/zinc/71/05/39/869710539.db2.gz RDSZCISBVKPVRQ-LGSVWZNTSA-N -1 1 303.362 1.473 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cscn2)[n-]c1Cl ZINC001361768556 882334345 /nfs/dbraw/zinc/33/43/45/882334345.db2.gz QARGWQQHEAXMJY-UHFFFAOYSA-N -1 1 300.727 1.949 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCCc2sccc2C1 ZINC001338073617 869784796 /nfs/dbraw/zinc/78/47/96/869784796.db2.gz SPJLZJBAMMGMRX-UHFFFAOYSA-N -1 1 302.367 1.010 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCCc2sccc2C1 ZINC001338073617 869784804 /nfs/dbraw/zinc/78/48/04/869784804.db2.gz SPJLZJBAMMGMRX-UHFFFAOYSA-N -1 1 302.367 1.010 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cnc2c(F)cccc21)c1ncnn1C ZINC001297476547 870065831 /nfs/dbraw/zinc/06/58/31/870065831.db2.gz UEJZWBGUBCOKIB-MRVPVSSYSA-N -1 1 315.308 1.699 20 0 DDADMM CCCN(Cc1ccc(F)cc1F)C(=O)CCc1nn[n-]n1 ZINC001361787861 882372374 /nfs/dbraw/zinc/37/23/74/882372374.db2.gz IQGAURGBBOJQGJ-UHFFFAOYSA-N -1 1 309.320 1.849 20 0 DDADMM CS(=O)(=O)c1ccc(NC(=O)c2ccc([O-])cc2F)cn1 ZINC001361804835 882405727 /nfs/dbraw/zinc/40/57/27/882405727.db2.gz QLYIWYITXXFHSW-UHFFFAOYSA-N -1 1 310.306 1.582 20 0 DDADMM O=C([N-]c1nnc2nc[nH]n12)c1c(F)c(F)cc(F)c1F ZINC001298772101 870719724 /nfs/dbraw/zinc/71/97/24/870719724.db2.gz WWNOEQDYDZXVSQ-UHFFFAOYSA-N -1 1 300.175 1.261 20 0 DDADMM CN(Cc1ccccc1)C(=O)CNC(=O)c1cccc([O-])c1F ZINC001361823211 882439981 /nfs/dbraw/zinc/43/99/81/882439981.db2.gz DUKZILYEZXSFGK-UHFFFAOYSA-N -1 1 316.332 1.920 20 0 DDADMM CCC(=CC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])CC ZINC001299011858 870832803 /nfs/dbraw/zinc/83/28/03/870832803.db2.gz DIFWFMNRHROLFG-ZDUSSCGKSA-N -1 1 317.389 1.864 20 0 DDADMM COC[C@H]1CN(Cc2ccc(C(=O)[O-])cc2)Cc2cn(C)nc21 ZINC001204151426 870964592 /nfs/dbraw/zinc/96/45/92/870964592.db2.gz ISVVOJWKWPLDTN-OAHLLOKOSA-N -1 1 315.373 1.864 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCC(C)(C)n2cccn2)c1 ZINC001301632929 871012034 /nfs/dbraw/zinc/01/20/34/871012034.db2.gz IEJPUUKNPJXENP-UHFFFAOYSA-N -1 1 301.346 1.875 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1cc(Br)co1 ZINC001302502823 871072139 /nfs/dbraw/zinc/07/21/39/871072139.db2.gz AXAUJLPPCRQOEX-UHFFFAOYSA-N -1 1 314.124 1.794 20 0 DDADMM CCOC(=O)[C@@H]1CSCCN1C(=O)c1ccc([O-])cc1F ZINC001361845723 882487147 /nfs/dbraw/zinc/48/71/47/882487147.db2.gz HNGKDFLSRAPCNM-LBPRGKRZSA-N -1 1 313.350 1.652 20 0 DDADMM c1ccc(Cn2c(-c3nnn[n-]3)nnc2N2CC=CCC2)cc1 ZINC001340802802 871361759 /nfs/dbraw/zinc/36/17/59/871361759.db2.gz ROZOJVJSNRXTLB-UHFFFAOYSA-N -1 1 308.349 1.273 20 0 DDADMM c1ccc(Cn2c(-c3nn[n-]n3)nnc2N2CC=CCC2)cc1 ZINC001340802802 871361778 /nfs/dbraw/zinc/36/17/78/871361778.db2.gz ROZOJVJSNRXTLB-UHFFFAOYSA-N -1 1 308.349 1.273 20 0 DDADMM C[C@H](O)C(C)(C)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001309922872 871608205 /nfs/dbraw/zinc/60/82/05/871608205.db2.gz QAVNQHXZSBDQIE-ZETCQYMHSA-N -1 1 311.325 1.789 20 0 DDADMM C[C@@H](C1CC1)N(C)c1nnc(-c2nnn[n-]2)n1Cc1ccccc1 ZINC001341435106 871659065 /nfs/dbraw/zinc/65/90/65/871659065.db2.gz REBNOBHRQBGLAM-NSHDSACASA-N -1 1 324.392 1.741 20 0 DDADMM C[C@@H](C1CC1)N(C)c1nnc(-c2nn[n-]n2)n1Cc1ccccc1 ZINC001341435106 871659069 /nfs/dbraw/zinc/65/90/69/871659069.db2.gz REBNOBHRQBGLAM-NSHDSACASA-N -1 1 324.392 1.741 20 0 DDADMM Cc1nnc(CNC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)o1 ZINC001311062190 871672457 /nfs/dbraw/zinc/67/24/57/871672457.db2.gz AIYCAQLKMMRWNK-UHFFFAOYSA-N -1 1 314.305 1.591 20 0 DDADMM C[C@H](c1ncccn1)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001316819545 872109363 /nfs/dbraw/zinc/10/93/63/872109363.db2.gz NNMMBCXKMMAZPG-GFCCVEGCSA-N -1 1 315.377 1.390 20 0 DDADMM C[C@@H]1CN(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)C[C@H]1C ZINC001342284309 872126283 /nfs/dbraw/zinc/12/62/83/872126283.db2.gz HCASQDGHLXBAAN-VXGBXAGGSA-N -1 1 324.392 1.599 20 0 DDADMM C[C@@H]1CN(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)C[C@H]1C ZINC001342284309 872126289 /nfs/dbraw/zinc/12/62/89/872126289.db2.gz HCASQDGHLXBAAN-VXGBXAGGSA-N -1 1 324.392 1.599 20 0 DDADMM O=C([C@H]1CC12CC2)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318838545 872295865 /nfs/dbraw/zinc/29/58/65/872295865.db2.gz UFZIXFTUAVMSOK-NWDGAFQWSA-N -1 1 319.409 1.029 20 0 DDADMM COc1cc(C(=O)N(C)c2nn[n-]n2)ccc1Br ZINC001361902706 882606854 /nfs/dbraw/zinc/60/68/54/882606854.db2.gz FIIIENZLLXMVNO-UHFFFAOYSA-N -1 1 312.127 1.247 20 0 DDADMM C[C@@]1(C(=O)OCCOCC[N-]C(=O)C(F)(F)F)C=CCC1 ZINC001343891281 872796757 /nfs/dbraw/zinc/79/67/57/872796757.db2.gz HFSSLCNIHLRASB-GFCCVEGCSA-N -1 1 309.284 1.581 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(OC(C)C)CC1 ZINC001346415469 873741803 /nfs/dbraw/zinc/74/18/03/873741803.db2.gz MJMAEIRJSADSTD-UHFFFAOYSA-N -1 1 311.407 1.809 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC(=O)C1(C)CCCC1 ZINC001347141086 874029190 /nfs/dbraw/zinc/02/91/90/874029190.db2.gz SLGSMVMIQBTZFP-UHFFFAOYSA-N -1 1 309.391 1.659 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001347661731 874231465 /nfs/dbraw/zinc/23/14/65/874231465.db2.gz SDWGGTQSBMEQJW-YBJDMEARSA-N -1 1 313.361 1.400 20 0 DDADMM O=C(c1cc2cc(F)ccc2[nH]1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347973366 874325100 /nfs/dbraw/zinc/32/51/00/874325100.db2.gz CPHBKJABYHRDCY-QMMMGPOBSA-N -1 1 300.297 1.450 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)CCc1nccs1 ZINC001348149851 874379062 /nfs/dbraw/zinc/37/90/62/874379062.db2.gz UJPFXAAPQOEZPB-UHFFFAOYSA-N -1 1 310.404 1.551 20 0 DDADMM O=C(C=Cc1ccsc1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001348164886 874393691 /nfs/dbraw/zinc/39/36/91/874393691.db2.gz GVUZOSOPLINMQA-AAOUONPWSA-N -1 1 303.391 1.756 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)OCc1ccncc1)c1nn[n-]n1 ZINC001362051347 882919280 /nfs/dbraw/zinc/91/92/80/882919280.db2.gz KQXMPXGTHZNKCC-PWSUYJOCSA-N -1 1 304.354 1.157 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC(C)(C)c1ccccn1 ZINC001349912556 875449425 /nfs/dbraw/zinc/44/94/25/875449425.db2.gz NKBJBWKFEOMERW-UHFFFAOYSA-N -1 1 318.402 1.882 20 0 DDADMM Nc1c(F)cccc1CN1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001350600187 875780217 /nfs/dbraw/zinc/78/02/17/875780217.db2.gz OHYNEMCUXLNBRA-NSHDSACASA-N -1 1 319.340 1.535 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1cnncc1[O-])c1ccc(F)cc1 ZINC001362078578 882979701 /nfs/dbraw/zinc/97/97/01/882979701.db2.gz WKNKACBQHQZORA-LBPRGKRZSA-N -1 1 319.292 1.356 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001351406101 876233050 /nfs/dbraw/zinc/23/30/50/876233050.db2.gz GNOMFEAGBJPEMY-CHWSQXEVSA-N -1 1 319.405 1.658 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCS[C@@H](C(C)C)C1 ZINC001351491377 876283008 /nfs/dbraw/zinc/28/30/08/876283008.db2.gz XUZRBMJYEGWNTK-SECBINFHSA-N -1 1 313.448 1.993 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@]1(C)CCO[C@@H]1C1CC1 ZINC001351774546 876426858 /nfs/dbraw/zinc/42/68/58/876426858.db2.gz AVZGQEQGIZOFQF-ABAIWWIYSA-N -1 1 323.418 1.714 20 0 DDADMM CCC[C@H](C)C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001381962919 883069673 /nfs/dbraw/zinc/06/96/73/883069673.db2.gz NQKWYWVQANKQKP-RYUDHWBXSA-N -1 1 307.394 1.800 20 0 DDADMM Cc1cccc(CCC(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001379403033 876995418 /nfs/dbraw/zinc/99/54/18/876995418.db2.gz JIFGRZQNQCSLGY-GFCCVEGCSA-N -1 1 317.393 1.046 20 0 DDADMM C[C@@H](c1ccccn1)N1CCC[C@H](Oc2nc(=O)[n-]c(=O)[nH]2)C1 ZINC001227570739 883089578 /nfs/dbraw/zinc/08/95/78/883089578.db2.gz NVXYETJNTCWCDL-QWRGUYRKSA-N -1 1 317.349 1.282 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2cc(=O)[nH]c(=S)[nH]2)c1 ZINC001300199264 877133220 /nfs/dbraw/zinc/13/32/20/877133220.db2.gz NXQLIDUVROOALH-UHFFFAOYSA-N -1 1 319.342 1.227 20 0 DDADMM CC[C@@H](C)C(=O)N(C)CCOCCNC(=O)c1ncccc1[O-] ZINC001287400466 912227916 /nfs/dbraw/zinc/22/79/16/912227916.db2.gz LBRTTWZHEXQNGF-GFCCVEGCSA-N -1 1 323.393 1.038 20 0 DDADMM O=C(NC1C(=O)N=CN=C1[O-])[C@H]1CCC[C@H](c2ccccc2)N1 ZINC001218702461 877674764 /nfs/dbraw/zinc/67/47/64/877674764.db2.gz QGVSQCBFIZFLLH-VXGBXAGGSA-N -1 1 314.345 1.710 20 0 DDADMM O=S(=O)(Nc1c2nsnc2ccc1Cl)c1ncc[n-]1 ZINC000311607018 878121368 /nfs/dbraw/zinc/12/13/68/878121368.db2.gz UTMPBTSAFHYNJE-UHFFFAOYSA-N -1 1 315.767 1.869 20 0 DDADMM Nc1cc(N)cc(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)c1 ZINC001219549832 878319172 /nfs/dbraw/zinc/31/91/72/878319172.db2.gz CGHMPSZGAHZQCG-UHFFFAOYSA-N -1 1 309.329 1.995 20 0 DDADMM O=C([O-])[C@@]1(C(=O)Nc2ccc(C(F)F)cc2)CNCCO1 ZINC001355145621 878446630 /nfs/dbraw/zinc/44/66/30/878446630.db2.gz FZMIZXGGHADVIZ-ZDUSSCGKSA-N -1 1 300.261 1.006 20 0 DDADMM CCN(Cc1cccs1)c1nnc(Cc2nnn[n-]2)n1C ZINC001355463701 878569200 /nfs/dbraw/zinc/56/92/00/878569200.db2.gz IHGOTDJOJSQMJO-UHFFFAOYSA-N -1 1 304.383 1.007 20 0 DDADMM CCN(Cc1cccs1)c1nnc(Cc2nn[n-]n2)n1C ZINC001355463701 878569216 /nfs/dbraw/zinc/56/92/16/878569216.db2.gz IHGOTDJOJSQMJO-UHFFFAOYSA-N -1 1 304.383 1.007 20 0 DDADMM CC(C)[C@H](C)C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001416134471 879552294 /nfs/dbraw/zinc/55/22/94/879552294.db2.gz WAOIBKPCLMJUFB-NSHDSACASA-N -1 1 305.378 1.268 20 0 DDADMM COCCOCc1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001357790750 880198630 /nfs/dbraw/zinc/19/86/30/880198630.db2.gz GPCSWFJKELFXSQ-UHFFFAOYSA-N -1 1 303.318 1.598 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC(CN2CCCCC2=O)CC1 ZINC001362160611 883182545 /nfs/dbraw/zinc/18/25/45/883182545.db2.gz KBWHZPIKCYYZNH-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM COc1ccc(NC(=O)c2cnc(C3CC3)[n-]c2=O)c(OC)n1 ZINC001362167191 883197235 /nfs/dbraw/zinc/19/72/35/883197235.db2.gz MBEKTLDYSIBIHQ-UHFFFAOYSA-N -1 1 316.317 1.724 20 0 DDADMM O=C(CCNC(=O)c1cccc([O-])c1F)NCc1ccccc1 ZINC001362180144 883227405 /nfs/dbraw/zinc/22/74/05/883227405.db2.gz PJQFEXKJOOOSHJ-UHFFFAOYSA-N -1 1 316.332 1.968 20 0 DDADMM C[C@H](O)C[C@H](CNC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC001362239100 883381577 /nfs/dbraw/zinc/38/15/77/883381577.db2.gz QNNWPXFJTUQWSD-GXTWGEPZSA-N -1 1 317.393 1.193 20 0 DDADMM COC(=O)CCN1CCN(C(=O)c2cccc([O-])c2F)C[C@H]1C ZINC001362300559 883515812 /nfs/dbraw/zinc/51/58/12/883515812.db2.gz YNMXUMOIPFJKPD-LLVKDONJSA-N -1 1 324.352 1.241 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)C1CCCCCC1 ZINC001362325469 883569733 /nfs/dbraw/zinc/56/97/33/883569733.db2.gz NKTOYNXVUHERJW-SECBINFHSA-N -1 1 309.366 1.985 20 0 DDADMM S=c1nncc(OC2CCN(c3cc(Cl)ncn3)CC2)[n-]1 ZINC001228640362 883586456 /nfs/dbraw/zinc/58/64/56/883586456.db2.gz QKRYGUGRUDHKBK-UHFFFAOYSA-N -1 1 324.797 1.652 20 0 DDADMM Cc1ccccc1OCCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362352877 883629790 /nfs/dbraw/zinc/62/97/90/883629790.db2.gz IVAPAIPPRWMNSA-CYBMUJFWSA-N -1 1 315.377 1.683 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(NC(=O)NC)c1)c1nn[n-]n1 ZINC001362385989 883693959 /nfs/dbraw/zinc/69/39/59/883693959.db2.gz MGBBMITXIPDXER-LLVKDONJSA-N -1 1 317.353 1.222 20 0 DDADMM CC[C@@H](NC(=O)CCCc1nn[n-]n1)[C@@H](O)c1ccccc1F ZINC001362445529 883826386 /nfs/dbraw/zinc/82/63/86/883826386.db2.gz JMKJQKJYTTXREP-DOMZBBRYSA-N -1 1 321.356 1.290 20 0 DDADMM NC(=O)[C@@H](NC(=O)c1cc(Cl)ccc1[O-])c1ccccn1 ZINC001362486006 883916183 /nfs/dbraw/zinc/91/61/83/883916183.db2.gz XUVBMXOGUNASAF-LBPRGKRZSA-N -1 1 305.721 1.397 20 0 DDADMM CCC(CC)(NC(=O)Cc1csc(C2CC2)n1)c1nn[n-]n1 ZINC001362497419 883944640 /nfs/dbraw/zinc/94/46/40/883944640.db2.gz RBQVELLAFPPGMQ-UHFFFAOYSA-N -1 1 320.422 1.908 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc2c([nH]c1=O)CCC[C@@H]2O ZINC001362499438 883948348 /nfs/dbraw/zinc/94/83/48/883948348.db2.gz CYCXIXGEJWKION-JTQLQIEISA-N -1 1 304.306 1.146 20 0 DDADMM COC(=O)Cc1ccccc1CNC(=O)c1ccc([O-])cn1 ZINC001362540050 884042833 /nfs/dbraw/zinc/04/28/33/884042833.db2.gz OUBKUYUHPCDVKQ-UHFFFAOYSA-N -1 1 300.314 1.433 20 0 DDADMM CCC[C@@H](CC)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001229796943 884168356 /nfs/dbraw/zinc/16/83/56/884168356.db2.gz ZWBCLYUUGIXZCP-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2cnncc2[O-])cc1OC ZINC001362604507 884200518 /nfs/dbraw/zinc/20/05/18/884200518.db2.gz FTUVCEWRJIAVQX-UHFFFAOYSA-N -1 1 303.274 1.230 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@H](Oc2nc(=O)[n-]cc2C(=O)OC)C1 ZINC001229873216 884203868 /nfs/dbraw/zinc/20/38/68/884203868.db2.gz QQZZFFQCKWXLGV-ZJUUUORDSA-N -1 1 324.333 1.470 20 0 DDADMM COC(=O)c1cc(NC(=O)CCc2nn[n-]n2)c(C)cc1C ZINC001362619112 884238909 /nfs/dbraw/zinc/23/89/09/884238909.db2.gz AMRQNXXHIDACJR-UHFFFAOYSA-N -1 1 303.322 1.174 20 0 DDADMM COC(=O)c1nc(CNC(=O)c2ncccc2[O-])ccc1Cl ZINC001362653150 884330551 /nfs/dbraw/zinc/33/05/51/884330551.db2.gz WTOXEKBXMQSRKT-UHFFFAOYSA-N -1 1 321.720 1.552 20 0 DDADMM CC(C)(Oc1ccccc1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362662141 884354898 /nfs/dbraw/zinc/35/48/98/884354898.db2.gz HOUPQPQJFYZSID-LBPRGKRZSA-N -1 1 315.377 1.763 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](Oc1nc(C(=O)OC)c[n-]1)C(F)(F)F ZINC001230280546 884406325 /nfs/dbraw/zinc/40/63/25/884406325.db2.gz UYXIYBUPYPNEKA-XPUUQOCRSA-N -1 1 324.255 1.705 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(C)c(C)c1O)c1nn[n-]n1 ZINC001362689247 884416303 /nfs/dbraw/zinc/41/63/03/884416303.db2.gz OMKDSFZNKURMMF-NSHDSACASA-N -1 1 321.406 1.746 20 0 DDADMM O=C(CCC1(O)CCC1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001362716987 884474646 /nfs/dbraw/zinc/47/46/46/884474646.db2.gz QPMPNJWISPHWAR-CYBMUJFWSA-N -1 1 301.350 1.101 20 0 DDADMM COc1ncc(CCNC(=O)c2cc(Cl)ccc2[O-])cn1 ZINC001362738149 884518741 /nfs/dbraw/zinc/51/87/41/884518741.db2.gz AQRFZMCUCAWYBZ-UHFFFAOYSA-N -1 1 307.737 1.817 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)c1ccsn1 ZINC001382767554 884657727 /nfs/dbraw/zinc/65/77/27/884657727.db2.gz QPBATKNGJRLFCE-DTWKUNHWSA-N -1 1 320.374 1.181 20 0 DDADMM COc1ccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c(O)c1 ZINC001362834071 884753866 /nfs/dbraw/zinc/75/38/66/884753866.db2.gz YPPXXYBLQUEXOX-UHFFFAOYSA-N -1 1 305.338 1.212 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C(=O)NCCc1ccccc1 ZINC001362835429 884757777 /nfs/dbraw/zinc/75/77/77/884757777.db2.gz FBZUMDCDZQNGCW-LBPRGKRZSA-N -1 1 313.357 1.264 20 0 DDADMM CCCC[C@H](O)CCC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001362904950 884942685 /nfs/dbraw/zinc/94/26/85/884942685.db2.gz KPSSRNZLYRSNCQ-OLZOCXBDSA-N -1 1 309.414 1.312 20 0 DDADMM CCO[C@H](CC)C(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001382956807 885034255 /nfs/dbraw/zinc/03/42/55/885034255.db2.gz ORQLKLUBOIQONF-WCQYABFASA-N -1 1 323.393 1.179 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)COC2CCCC2)n[n-]1 ZINC001362940950 885040333 /nfs/dbraw/zinc/04/03/33/885040333.db2.gz WJMQCNDMHIFXRX-VIFPVBQESA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)COC2CCCC2)[n-]1 ZINC001362940950 885040342 /nfs/dbraw/zinc/04/03/42/885040342.db2.gz WJMQCNDMHIFXRX-VIFPVBQESA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)COC2CCCC2)n1 ZINC001362940950 885040352 /nfs/dbraw/zinc/04/03/52/885040352.db2.gz WJMQCNDMHIFXRX-VIFPVBQESA-N -1 1 310.354 1.118 20 0 DDADMM COc1cnc(C(=O)NCCc2c(F)cc([O-])cc2F)nc1 ZINC001362971939 885119368 /nfs/dbraw/zinc/11/93/68/885119368.db2.gz LHVFHKMTGOZOJW-UHFFFAOYSA-N -1 1 309.272 1.442 20 0 DDADMM CN1CCO[C@@H](COc2nc3c(cc(Cl)nc3Cl)[n-]2)C1 ZINC001231051377 885165336 /nfs/dbraw/zinc/16/53/36/885165336.db2.gz YONCSITXBIOTIY-SSDOTTSWSA-N -1 1 317.176 1.974 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cnnc(C)c2)[n-]c1=O ZINC001363042788 885309893 /nfs/dbraw/zinc/30/98/93/885309893.db2.gz VWOHDPAHHXFOCF-LLVKDONJSA-N -1 1 315.333 1.267 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](CO)c1cccnc1 ZINC001363084049 885424981 /nfs/dbraw/zinc/42/49/81/885424981.db2.gz RHJQHYNMXRPQRQ-JTQLQIEISA-N -1 1 320.374 1.071 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(Cl)ccn2)n[n-]1 ZINC001363124572 885525816 /nfs/dbraw/zinc/52/58/16/885525816.db2.gz UIFGBMZHJJDIGN-ZETCQYMHSA-N -1 1 323.740 1.521 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(Cl)ccn2)[n-]1 ZINC001363124572 885525833 /nfs/dbraw/zinc/52/58/33/885525833.db2.gz UIFGBMZHJJDIGN-ZETCQYMHSA-N -1 1 323.740 1.521 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(Cl)ccn2)n1 ZINC001363124572 885525844 /nfs/dbraw/zinc/52/58/44/885525844.db2.gz UIFGBMZHJJDIGN-ZETCQYMHSA-N -1 1 323.740 1.521 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CCCF)C1 ZINC001383281877 885539920 /nfs/dbraw/zinc/53/99/20/885539920.db2.gz IIXQLPQJPHYFHK-SNVBAGLBSA-N -1 1 309.341 1.114 20 0 DDADMM NC(=O)C[C@@H]1C[C@@H]2CN(Cc3ccc([O-])c(F)c3F)C[C@@H]2O1 ZINC001277543549 885768143 /nfs/dbraw/zinc/76/81/43/885768143.db2.gz RGHYTHCVINBBEE-SCVCMEIPSA-N -1 1 312.316 1.135 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccnc(OC(C)C)c1 ZINC001363276163 885886275 /nfs/dbraw/zinc/88/62/75/885886275.db2.gz KPXCOXHRTILXHZ-UHFFFAOYSA-N -1 1 318.381 1.680 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc2c(s1)CCCC2 ZINC001363277119 885890500 /nfs/dbraw/zinc/89/05/00/885890500.db2.gz KWVLAGZYVAPTJP-UHFFFAOYSA-N -1 1 303.391 1.949 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1cnncc1[O-])c1cccc(C)c1 ZINC001363301806 885957771 /nfs/dbraw/zinc/95/77/71/885957771.db2.gz WPEJVBSNLPIXLI-CYBMUJFWSA-N -1 1 315.329 1.525 20 0 DDADMM CC(C)(C)C[C@H](O)CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001363343521 886079100 /nfs/dbraw/zinc/07/91/00/886079100.db2.gz HVAMUGVAJGUWNN-TZMCWYRMSA-N -1 1 317.393 1.593 20 0 DDADMM COc1ccc(CCC(=O)N(Cc2nn[n-]n2)CC(C)C)cn1 ZINC001363354928 886113897 /nfs/dbraw/zinc/11/38/97/886113897.db2.gz KMPYGUDTAIHWHB-UHFFFAOYSA-N -1 1 318.381 1.221 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1C[C@]1(F)c1ccccc1 ZINC001363554464 886622208 /nfs/dbraw/zinc/62/22/08/886622208.db2.gz WQVORKHOTMYESO-NHYWBVRUSA-N -1 1 301.325 1.580 20 0 DDADMM C[C@@H](O)c1cn(C2CN(Cc3ccc([O-])c(F)c3F)C2)nn1 ZINC001363575982 886675529 /nfs/dbraw/zinc/67/55/29/886675529.db2.gz KRCOQKYDYPSFRA-MRVPVSSYSA-N -1 1 310.304 1.372 20 0 DDADMM O=C(N1CCN(Cc2cc([O-])c(F)c(F)c2)CC1)C(F)(F)F ZINC001232968447 886701435 /nfs/dbraw/zinc/70/14/35/886701435.db2.gz BIGZRUHIMLCWNX-UHFFFAOYSA-N -1 1 324.249 1.877 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CCCN(c2ncccn2)CC1 ZINC001233034948 886743062 /nfs/dbraw/zinc/74/30/62/886743062.db2.gz TXQSINJOPUMBAN-UHFFFAOYSA-N -1 1 319.796 1.943 20 0 DDADMM CCOC(=O)[C@H]1COCCN1Cc1cc(Cl)ncc1[O-] ZINC001233038124 886746189 /nfs/dbraw/zinc/74/61/89/886746189.db2.gz OHWRMOJLSAXCIW-SNVBAGLBSA-N -1 1 300.742 1.205 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CC[C@H](Oc2cnccn2)C1 ZINC001233041153 886748307 /nfs/dbraw/zinc/74/83/07/886748307.db2.gz SOYGZFLFDORCGW-NSHDSACASA-N -1 1 306.753 1.884 20 0 DDADMM C[C@@H](CCCCNC(=O)[C@@H]1C[C@H]1C)NC(=O)c1ncccc1[O-] ZINC001288824940 913085857 /nfs/dbraw/zinc/08/58/57/913085857.db2.gz WARJOUUCODSDHR-FRRDWIJNSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@H]1C[C@H](NC(=O)CC2(C)CC2)CN1C(=O)c1ncccc1[O-] ZINC001384087086 886940493 /nfs/dbraw/zinc/94/04/93/886940493.db2.gz DVJBGTBKOKVCOZ-RYUDHWBXSA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H]2[C@@H]1C(=O)Nc1c[n-][nH]c1=O ZINC001363706817 887029619 /nfs/dbraw/zinc/02/96/19/887029619.db2.gz KSSIWSHQKVYOJW-OYNCUSHFSA-N -1 1 308.338 1.309 20 0 DDADMM CC[C@](C)(NC(=O)c1c([O-])cnc2c(F)cccc21)C(N)=O ZINC001363728428 887083166 /nfs/dbraw/zinc/08/31/66/887083166.db2.gz IVFNMMWWOQUHAB-HNNXBMFYSA-N -1 1 305.309 1.463 20 0 DDADMM CC(C)c1ccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c(=O)[nH]1 ZINC001363728434 887083534 /nfs/dbraw/zinc/08/35/34/887083534.db2.gz XGHYIFRHENOCDU-SECBINFHSA-N -1 1 302.338 1.053 20 0 DDADMM CC[C@@H](C)Oc1cc(C(=O)NC2(c3nn[n-]n3)CCC2)ccn1 ZINC001363822690 887318307 /nfs/dbraw/zinc/31/83/07/887318307.db2.gz SGGWZNRMJNCELY-SNVBAGLBSA-N -1 1 316.365 1.581 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(CC2CCC2)C(C)C)[n-]n1 ZINC001363859197 887412841 /nfs/dbraw/zinc/41/28/41/887412841.db2.gz INNOSSRWUAXLPQ-UHFFFAOYSA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(CC2CCC2)C(C)C)n[n-]1 ZINC001363859197 887412848 /nfs/dbraw/zinc/41/28/48/887412848.db2.gz INNOSSRWUAXLPQ-UHFFFAOYSA-N -1 1 315.395 1.396 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cccn2C(C)C)n[n-]1 ZINC001363887651 887476033 /nfs/dbraw/zinc/47/60/33/887476033.db2.gz QKYQEKLFTNCUFK-SNVBAGLBSA-N -1 1 319.365 1.855 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cccn2C(C)C)[n-]1 ZINC001363887651 887476043 /nfs/dbraw/zinc/47/60/43/887476043.db2.gz QKYQEKLFTNCUFK-SNVBAGLBSA-N -1 1 319.365 1.855 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cccn2C(C)C)n1 ZINC001363887651 887476050 /nfs/dbraw/zinc/47/60/50/887476050.db2.gz QKYQEKLFTNCUFK-SNVBAGLBSA-N -1 1 319.365 1.855 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-][C@H]1COc2cc(F)cc(F)c21 ZINC001363960537 887618195 /nfs/dbraw/zinc/61/81/95/887618195.db2.gz UEFYKDLNOYBXRJ-JTQLQIEISA-N -1 1 316.285 1.506 20 0 DDADMM CCCc1ccccc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001364050047 887799488 /nfs/dbraw/zinc/79/94/88/887799488.db2.gz TUBNQGBMNUBUFL-UHFFFAOYSA-N -1 1 308.363 1.413 20 0 DDADMM CCCc1ccccc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001364050047 887799497 /nfs/dbraw/zinc/79/94/97/887799497.db2.gz TUBNQGBMNUBUFL-UHFFFAOYSA-N -1 1 308.363 1.413 20 0 DDADMM CC1(C(=O)NCC2(CCNC(=O)c3ncccc3[O-])CC2)CC1 ZINC001384660466 887854074 /nfs/dbraw/zinc/85/40/74/887854074.db2.gz KKRRLQYSMZKJGG-UHFFFAOYSA-N -1 1 317.389 1.604 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2nc(C(C)C)oc2C)n[n-]1 ZINC001364084269 887876990 /nfs/dbraw/zinc/87/69/90/887876990.db2.gz OANWYINNOSWSLM-UHFFFAOYSA-N -1 1 321.337 1.331 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2nc(C(C)C)oc2C)n1 ZINC001364084269 887876995 /nfs/dbraw/zinc/87/69/95/887876995.db2.gz OANWYINNOSWSLM-UHFFFAOYSA-N -1 1 321.337 1.331 20 0 DDADMM CN(Cc1nc(=O)o[n-]1)C(=O)c1c(O)cc(Cl)cc1Cl ZINC001364102033 887921168 /nfs/dbraw/zinc/92/11/68/887921168.db2.gz GWWRNHXCYNSBJK-UHFFFAOYSA-N -1 1 318.116 1.648 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Nc2cccnn2)[n-]c1=O ZINC001364103319 887925881 /nfs/dbraw/zinc/92/58/81/887925881.db2.gz WPDCLOKZAXDDOZ-VIFPVBQESA-N -1 1 316.321 1.350 20 0 DDADMM CC[C@@H](C)CC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001384764717 888006454 /nfs/dbraw/zinc/00/64/54/888006454.db2.gz AUSVKCVPJGJFTF-JHJVBQTASA-N -1 1 319.405 1.800 20 0 DDADMM Cc1cnc(F)c([C@@H](C)Oc2c(C(N)=O)nc(C)[n-]c2=O)c1 ZINC001234477077 888010326 /nfs/dbraw/zinc/01/03/26/888010326.db2.gz LUTIMFDBWCRIOF-SSDOTTSWSA-N -1 1 306.297 1.572 20 0 DDADMM CCc1ccc(N2C[C@@H](C(=O)Nc3c[n-][nH]c3=O)CC2=O)cc1 ZINC001364150326 888029462 /nfs/dbraw/zinc/02/94/62/888029462.db2.gz SKEBBEQTEJHCSM-NSHDSACASA-N -1 1 314.345 1.669 20 0 DDADMM CCS(=O)(=O)c1cccc(CC(=O)Nc2c[n-][nH]c2=O)c1 ZINC001364150472 888029810 /nfs/dbraw/zinc/02/98/10/888029810.db2.gz UJUZBRGYAWCLGW-UHFFFAOYSA-N -1 1 309.347 1.090 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1OC[C@H]1COC(=O)O1 ZINC001234559369 888092456 /nfs/dbraw/zinc/09/24/56/888092456.db2.gz DZVLNJMPZWFCBY-ZETCQYMHSA-N -1 1 312.278 1.006 20 0 DDADMM CCN1CC[C@H](Oc2nc(C)[n-]c(=O)c2Br)C1 ZINC001234571794 888109625 /nfs/dbraw/zinc/10/96/25/888109625.db2.gz HYWANSPIEQSAQK-QMMMGPOBSA-N -1 1 302.172 1.726 20 0 DDADMM O=C(Nc1ccc(CO)c(CO)c1)c1cnc(C2CC2)[n-]c1=O ZINC001364190706 888116746 /nfs/dbraw/zinc/11/67/46/888116746.db2.gz FLIHGTBPSDPRPB-UHFFFAOYSA-N -1 1 315.329 1.297 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1OC[C@H](C)OC[C@@H](C)OC ZINC001234606755 888137789 /nfs/dbraw/zinc/13/77/89/888137789.db2.gz MGEDMRVEBFOXJT-BDAKNGLRSA-N -1 1 317.313 1.532 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)[C@@H]2CCC(=O)[C@@H](C)C2)n[nH]1 ZINC001364290043 888306817 /nfs/dbraw/zinc/30/68/17/888306817.db2.gz QYHGPNRZHBQNBI-VHSXEESVSA-N -1 1 307.350 1.919 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2cnoc2C)c(=O)[n-]1 ZINC001364293486 888316084 /nfs/dbraw/zinc/31/60/84/888316084.db2.gz DZVIJIULSRMYTB-UHFFFAOYSA-N -1 1 322.390 1.758 20 0 DDADMM COc1cc(F)cc(NC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC001364320824 888375617 /nfs/dbraw/zinc/37/56/17/888375617.db2.gz XJMNHMOEQXIJPF-UHFFFAOYSA-N -1 1 320.328 1.759 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)c2ccc(C)o2)n[n-]1 ZINC001364506443 888795879 /nfs/dbraw/zinc/79/58/79/888795879.db2.gz LSBPNVJBZLAGIF-VIFPVBQESA-N -1 1 306.322 1.303 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)c2ccc(C)o2)n1 ZINC001364506443 888795892 /nfs/dbraw/zinc/79/58/92/888795892.db2.gz LSBPNVJBZLAGIF-VIFPVBQESA-N -1 1 306.322 1.303 20 0 DDADMM COC(=O)CN(Cc1ccc([O-])c(F)c1F)C1CCOCC1 ZINC001364678237 889180522 /nfs/dbraw/zinc/18/05/22/889180522.db2.gz PKXMCOAEYUQDBY-UHFFFAOYSA-N -1 1 315.316 1.824 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2ccc(C(N)=O)cc2)c1 ZINC001290006988 913330015 /nfs/dbraw/zinc/33/00/15/913330015.db2.gz CCILEMPSOPYOOU-UHFFFAOYSA-N -1 1 312.325 1.542 20 0 DDADMM CC1=CC[C@](C)(C(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)CC1 ZINC001278017820 889383832 /nfs/dbraw/zinc/38/38/32/889383832.db2.gz PTTVRFKLJZYEMV-LRDDRELGSA-N -1 1 321.425 1.583 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]3CCC[C@@H]3O2)sn1 ZINC001364914872 889658336 /nfs/dbraw/zinc/65/83/36/889658336.db2.gz GWDZNKBHZIMHAX-GUBZILKMSA-N -1 1 318.420 1.388 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](C)C(C)(C)C)n[n-]1 ZINC001364966817 889777428 /nfs/dbraw/zinc/77/74/28/889777428.db2.gz LJRNBKKUNOXBBX-MRVPVSSYSA-N -1 1 303.384 1.157 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](C)C(C)(C)C)[n-]n1 ZINC001364966817 889777418 /nfs/dbraw/zinc/77/74/18/889777418.db2.gz LJRNBKKUNOXBBX-MRVPVSSYSA-N -1 1 303.384 1.157 20 0 DDADMM O=S1(=O)CC(C2CN(Cc3ccc([O-])c(F)c3F)C2)C1 ZINC001364989906 889831439 /nfs/dbraw/zinc/83/14/39/889831439.db2.gz JNLBUYXPBGRDHX-UHFFFAOYSA-N -1 1 303.330 1.147 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Nc2ccncn2)[n-]c1=O ZINC001365049224 889979808 /nfs/dbraw/zinc/97/98/08/889979808.db2.gz AURHSPKQXXEFKM-VIFPVBQESA-N -1 1 316.321 1.350 20 0 DDADMM CCc1noc(NC(=O)NCc2cc(=O)[n-]c(SC)n2)n1 ZINC001365058785 890006420 /nfs/dbraw/zinc/00/64/20/890006420.db2.gz ORNBENATSPUFAC-UHFFFAOYSA-N -1 1 310.339 1.171 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1CCN(c2cncnc2)CC1 ZINC001238146468 890070958 /nfs/dbraw/zinc/07/09/58/890070958.db2.gz WZBUPLSKRIAGIF-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1csnc1OC)c1ccncc1 ZINC001365127841 890159859 /nfs/dbraw/zinc/15/98/59/890159859.db2.gz BWBSBSOOBDFTOP-SNVBAGLBSA-N -1 1 313.404 1.976 20 0 DDADMM C[C@]1(c2ccccc2)C[C@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001365183464 890283337 /nfs/dbraw/zinc/28/33/37/890283337.db2.gz IVSXSGVDUCFXDL-IINYFYTJSA-N -1 1 320.374 1.125 20 0 DDADMM C[C@]1(c2ccccc2)C[C@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001365183464 890283350 /nfs/dbraw/zinc/28/33/50/890283350.db2.gz IVSXSGVDUCFXDL-IINYFYTJSA-N -1 1 320.374 1.125 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC(Oc4ccccc4)C3)ccnc1-2 ZINC001365197796 890320177 /nfs/dbraw/zinc/32/01/77/890320177.db2.gz HFIDOAPDPYGCKE-UHFFFAOYSA-N -1 1 323.356 1.637 20 0 DDADMM O=C(COC1CC1)Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1 ZINC001365198023 890321407 /nfs/dbraw/zinc/32/14/07/890321407.db2.gz XJWHTAIPBLGHJA-UHFFFAOYSA-N -1 1 308.725 1.948 20 0 DDADMM O=C(COC1CC1)Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1 ZINC001365198023 890321428 /nfs/dbraw/zinc/32/14/28/890321428.db2.gz XJWHTAIPBLGHJA-UHFFFAOYSA-N -1 1 308.725 1.948 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2CC[C@]2(C(=O)Nc2c[n-][nH]c2=O)C1 ZINC001365206257 890344998 /nfs/dbraw/zinc/34/49/98/890344998.db2.gz DNCMNKAGFPOWEB-VFZGTOFNSA-N -1 1 322.365 1.701 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nn1cnc2ccccc21 ZINC001290548057 913450753 /nfs/dbraw/zinc/45/07/53/913450753.db2.gz IHDMWHTYAXNPOQ-UHFFFAOYSA-N -1 1 301.331 1.513 20 0 DDADMM CCn1ccnc1[C@H](C)[N-]S(=O)(=O)c1csnc1OC ZINC001365396778 890729420 /nfs/dbraw/zinc/72/94/20/890729420.db2.gz BGISQRFTYVACRE-QMMMGPOBSA-N -1 1 316.408 1.408 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2CCOC23CCCC3)sn1 ZINC001365470770 890857038 /nfs/dbraw/zinc/85/70/38/890857038.db2.gz PSDIJFUAROUOEJ-VIFPVBQESA-N -1 1 318.420 1.532 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2C[C@H](C)N(C3CC3)C2)sn1 ZINC001365680856 891307854 /nfs/dbraw/zinc/30/78/54/891307854.db2.gz VQQPYALPPHSSGW-DTWKUNHWSA-N -1 1 317.436 1.055 20 0 DDADMM CN1CCN(c2ccc(-c3cnn(CC(=O)[O-])c3)cc2)CC1 ZINC001242184659 891364061 /nfs/dbraw/zinc/36/40/61/891364061.db2.gz PWYDHDVOHJTRDF-UHFFFAOYSA-N -1 1 300.362 1.387 20 0 DDADMM O=C(c1cccnc1-c1ccc(F)cc1[O-])N1CCNCC1 ZINC001242215557 891376045 /nfs/dbraw/zinc/37/60/45/891376045.db2.gz CVTRVDHGOLAATM-UHFFFAOYSA-N -1 1 301.321 1.639 20 0 DDADMM CCC(C)(C)CCNS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365724738 891401823 /nfs/dbraw/zinc/40/18/23/891401823.db2.gz AKDUMOIZLCILRK-UHFFFAOYSA-N -1 1 303.384 1.301 20 0 DDADMM CCC(C)(C)CCNS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365724738 891401834 /nfs/dbraw/zinc/40/18/34/891401834.db2.gz AKDUMOIZLCILRK-UHFFFAOYSA-N -1 1 303.384 1.301 20 0 DDADMM O=C1Cc2cc(-c3cnc(N4CCCC4)nc3)ccc2C(=O)[N-]1 ZINC001243314515 891639052 /nfs/dbraw/zinc/63/90/52/891639052.db2.gz SOHDOXHJLCMDAX-UHFFFAOYSA-N -1 1 308.341 1.556 20 0 DDADMM C[C@H](CNC(=O)CC1(C)CCCC1)NC(=O)c1ncccc1[O-] ZINC001386755659 891639140 /nfs/dbraw/zinc/63/91/40/891639140.db2.gz RCEXQXOOTJRDRX-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)c1cncs1 ZINC000340391295 891765100 /nfs/dbraw/zinc/76/51/00/891765100.db2.gz UHXRUXMRFTVQAM-UHFFFAOYSA-N -1 1 302.319 1.488 20 0 DDADMM COc1ncc(-c2ncc3[nH]ccc3n2)cc1[N-]S(C)(=O)=O ZINC001244790594 891924238 /nfs/dbraw/zinc/92/42/38/891924238.db2.gz QHYSJABUHBJWBX-UHFFFAOYSA-N -1 1 319.346 1.400 20 0 DDADMM COc1ncc(-c2ncnc3ccoc32)cc1[N-]S(C)(=O)=O ZINC001244791172 891924375 /nfs/dbraw/zinc/92/43/75/891924375.db2.gz WUJGJENQQOSWKV-UHFFFAOYSA-N -1 1 320.330 1.665 20 0 DDADMM COc1ncc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)cc1C ZINC001244792276 891927037 /nfs/dbraw/zinc/92/70/37/891927037.db2.gz QGBSHTYTSMWHRC-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM COCNC(=O)c1cccc(-c2ccc(-c3nnn[n-]3)nc2)c1 ZINC001245119600 892005397 /nfs/dbraw/zinc/00/53/97/892005397.db2.gz YUBWGJXUZILWQX-UHFFFAOYSA-N -1 1 310.317 1.262 20 0 DDADMM COCNC(=O)c1cccc(-c2ccc(-c3nn[n-]n3)nc2)c1 ZINC001245119600 892005413 /nfs/dbraw/zinc/00/54/13/892005413.db2.gz YUBWGJXUZILWQX-UHFFFAOYSA-N -1 1 310.317 1.262 20 0 DDADMM CCN(CCNC(=O)[C@@H]1CC[C@@H](C)C1)C(=O)c1ncccc1[O-] ZINC001387208277 892619340 /nfs/dbraw/zinc/61/93/40/892619340.db2.gz UEMRZJJKEPTBJS-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)[C@@H](F)C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001387387681 892976331 /nfs/dbraw/zinc/97/63/31/892976331.db2.gz REOVBCIMUXMLOP-DGCLKSJQSA-N -1 1 323.368 1.502 20 0 DDADMM Cc1nc(C(=O)N[C@H](C)CCNC(=O)c2ncccc2[O-])co1 ZINC001387440518 893107562 /nfs/dbraw/zinc/10/75/62/893107562.db2.gz JVWKUAHGELOQKZ-SECBINFHSA-N -1 1 318.333 1.022 20 0 DDADMM CC(C)C(C)(C)C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001387735144 893753486 /nfs/dbraw/zinc/75/34/86/893753486.db2.gz BGZJVWDHZFUNDZ-UHFFFAOYSA-N -1 1 305.378 1.410 20 0 DDADMM COc1ccc(N)cc1S(=O)(=O)[N-]c1c(O)cccc1F ZINC001249997331 894180748 /nfs/dbraw/zinc/18/07/48/894180748.db2.gz WMOXVRWLVRSLCI-UHFFFAOYSA-N -1 1 312.322 1.923 20 0 DDADMM COc1ncc(Cc2c(C)noc2C)cc1[N-]S(C)(=O)=O ZINC001250072641 894192605 /nfs/dbraw/zinc/19/26/05/894192605.db2.gz YBIAYXUODGIOJO-UHFFFAOYSA-N -1 1 311.363 1.657 20 0 DDADMM CC[C@H](CNC(=O)[C@@H](C)C1CCC1)NC(=O)c1ncccc1[O-] ZINC001388100860 894564414 /nfs/dbraw/zinc/56/44/14/894564414.db2.gz GTAPRCJHEXLVNQ-WCQYABFASA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@H](C)CC(=O)N(CC)CCNC(=O)c1ncccc1[O-] ZINC001388239243 894846996 /nfs/dbraw/zinc/84/69/96/894846996.db2.gz CILOPXZWRLUGAG-LBPRGKRZSA-N -1 1 307.394 1.802 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)c1nccs1 ZINC001388239898 894847126 /nfs/dbraw/zinc/84/71/26/894847126.db2.gz MMQUBNDDOSCCLC-UHFFFAOYSA-N -1 1 320.374 1.136 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(C(N)=O)c(O)c2)cc1 ZINC001251938866 894901224 /nfs/dbraw/zinc/90/12/24/894901224.db2.gz BZSOQASJAVAEEU-UHFFFAOYSA-N -1 1 322.342 1.301 20 0 DDADMM CCCC[C@H](CC)COC[C@@H](O)C[NH2+]CCP(=O)([O-])[O-] ZINC001253023473 895611536 /nfs/dbraw/zinc/61/15/36/895611536.db2.gz WFRNULJJAGAVMP-STQMWFEESA-N -1 1 311.359 1.348 20 0 DDADMM CCCS(=O)(=O)[N-]c1c(C(=O)OCC)cnn1C(C)(C)C ZINC001253634530 895947486 /nfs/dbraw/zinc/94/74/86/895947486.db2.gz SGYXEBUQUDCNDI-UHFFFAOYSA-N -1 1 317.411 1.967 20 0 DDADMM CC(C)[C@H](O)CNc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001253771887 896084022 /nfs/dbraw/zinc/08/40/22/896084022.db2.gz UMWXOPMNPYTMKY-GFCCVEGCSA-N -1 1 315.333 1.402 20 0 DDADMM CCC1(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])CCCC1 ZINC001416855866 897262545 /nfs/dbraw/zinc/26/25/45/897262545.db2.gz MBMVOSJYTSMBAW-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CC[C@@H](SC)C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001389629817 897677320 /nfs/dbraw/zinc/67/73/20/897677320.db2.gz GDEICIUNEIXAAU-CMPLNLGQSA-N -1 1 323.418 1.260 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2cc(Cl)c(Cl)s2)CO1 ZINC001257515683 897871482 /nfs/dbraw/zinc/87/14/82/897871482.db2.gz OMVJJDYWWUOVNZ-BYPYZUCNSA-N -1 1 316.187 1.649 20 0 DDADMM O=C(NCC1(NC(=O)c2ncccc2[O-])CC1)C1=CCCC1 ZINC001389828943 898109103 /nfs/dbraw/zinc/10/91/03/898109103.db2.gz HNBVDDYGQCIJJO-UHFFFAOYSA-N -1 1 301.346 1.276 20 0 DDADMM Cc1cc(CNC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C2CC2)on1 ZINC001367892933 898132841 /nfs/dbraw/zinc/13/28/41/898132841.db2.gz XLPGSAXRWDXUJU-GFCCVEGCSA-N -1 1 319.365 1.018 20 0 DDADMM Cc1ncncc1[N-]S(=O)(=O)C[C@@]12CC[C@@H](CC1=O)C2(C)C ZINC001258967138 898389044 /nfs/dbraw/zinc/38/90/44/898389044.db2.gz YEAFNOGEOTYNLI-NHYWBVRUSA-N -1 1 323.418 1.922 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1c(C)ccnc1Br ZINC001259018082 898417119 /nfs/dbraw/zinc/41/71/19/898417119.db2.gz SFUNXHQBRDQISD-UHFFFAOYSA-N -1 1 323.168 1.067 20 0 DDADMM COCCn1cnc([N-]S(=O)(=O)Cc2ccc(C)cc2)c1 ZINC001259259989 898556220 /nfs/dbraw/zinc/55/62/20/898556220.db2.gz OVXKYMQRGPMTJI-UHFFFAOYSA-N -1 1 309.391 1.780 20 0 DDADMM O=c1[nH]nccc1[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC001259464434 898682068 /nfs/dbraw/zinc/68/20/68/898682068.db2.gz NMNUBRHPFFHMPH-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM COC(=O)[C@H]1C[C@H]1C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259462038 898682428 /nfs/dbraw/zinc/68/24/28/898682428.db2.gz KTZASJBRXYRYNY-CBAPKCEASA-N -1 1 321.757 1.567 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnc2cc(C3CCC3)nn2c1 ZINC001259966483 898991931 /nfs/dbraw/zinc/99/19/31/898991931.db2.gz FPUOVJQDZSIIPX-UHFFFAOYSA-N -1 1 310.379 1.385 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1cc(O)c(C)cc1F ZINC001260110919 899059945 /nfs/dbraw/zinc/05/99/45/899059945.db2.gz GHNIPAAFJKIRKA-UHFFFAOYSA-N -1 1 313.354 1.991 20 0 DDADMM Cc1ccc2cccc([N-]S(=O)(=O)CS(C)(=O)=O)c2c1 ZINC001260593113 899179866 /nfs/dbraw/zinc/17/98/66/899179866.db2.gz WVAQSKUFYIARKM-UHFFFAOYSA-N -1 1 313.400 1.892 20 0 DDADMM Fc1cc(Br)cc(OCCOCc2nn[n-]n2)c1 ZINC001334805454 914328787 /nfs/dbraw/zinc/32/87/87/914328787.db2.gz GNIPCULCMXDBMN-UHFFFAOYSA-N -1 1 317.118 1.697 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@@H](C)C1CC1 ZINC001390380005 899294321 /nfs/dbraw/zinc/29/43/21/899294321.db2.gz KRFFNBICHDFOPN-YPMHNXCESA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccccc1 ZINC001390381986 899296930 /nfs/dbraw/zinc/29/69/30/899296930.db2.gz MUCPRSGMRUJONR-CYBMUJFWSA-N -1 1 313.357 1.726 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2cn[nH]c2)c(Cl)c(OC)c1 ZINC001260961459 899302306 /nfs/dbraw/zinc/30/23/06/899302306.db2.gz ZXGLSRKGYJGVOV-UHFFFAOYSA-N -1 1 317.754 1.881 20 0 DDADMM CC(=O)NC[C@]1(NC(=O)c2ncccc2[O-])CCCC[C@@H]1C ZINC001390878718 900423028 /nfs/dbraw/zinc/42/30/28/900423028.db2.gz XVHBIKSIXNDHSR-MEDUHNTESA-N -1 1 305.378 1.602 20 0 DDADMM COCC(COC)[N-]S(=O)(=O)c1sc(Cl)nc1C ZINC000388439385 901170287 /nfs/dbraw/zinc/17/02/87/901170287.db2.gz SKJOTIOJRIGXAY-UHFFFAOYSA-N -1 1 314.816 1.045 20 0 DDADMM CC(C)c1ccsc1C(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001374982520 914523617 /nfs/dbraw/zinc/52/36/17/914523617.db2.gz RVAKFXJAXVAYIU-VIFPVBQESA-N -1 1 323.422 1.603 20 0 DDADMM CC1(CC(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)CC1 ZINC001370582978 903452867 /nfs/dbraw/zinc/45/28/67/903452867.db2.gz KYHCWGRZXIOGIP-YOGCLGLASA-N -1 1 319.409 1.312 20 0 DDADMM Cc1c(Cl)cccc1C(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001371200920 904471409 /nfs/dbraw/zinc/47/14/09/904471409.db2.gz WDIMVECDJMHKMB-MRVPVSSYSA-N -1 1 323.784 1.380 20 0 DDADMM CC(C)C(C)(C)C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001392774037 905213474 /nfs/dbraw/zinc/21/34/74/905213474.db2.gz VAOAAZRSSWPANT-NSHDSACASA-N -1 1 309.414 1.177 20 0 DDADMM CCCCC(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001372050833 906335899 /nfs/dbraw/zinc/33/58/99/906335899.db2.gz GTLLKZRVSRUBSO-GFCCVEGCSA-N -1 1 305.378 1.650 20 0 DDADMM CC(C)CCCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001372531726 907555539 /nfs/dbraw/zinc/55/55/39/907555539.db2.gz UDAZBBCKFHGKNR-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)CC(=O)N(CCCNC(=O)c1ncccc1[O-])C1CC1 ZINC001283785046 907956904 /nfs/dbraw/zinc/95/69/04/907956904.db2.gz FIFFWBIAKCQSIT-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM CCCC[C@@H](C)C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001393983868 908455692 /nfs/dbraw/zinc/45/56/92/908455692.db2.gz FXPFSLGAGMQXKC-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC12CC2 ZINC001373260989 909338711 /nfs/dbraw/zinc/33/87/11/909338711.db2.gz LJYMWHMJEINJGL-MNOVXSKESA-N -1 1 303.362 1.212 20 0 DDADMM CC[C@@H](C)OCC(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001285532719 910748386 /nfs/dbraw/zinc/74/83/86/910748386.db2.gz YUEQPLNVJQOKBN-QMAVJUDZSA-N -1 1 321.377 1.005 20 0 DDADMM C=C/C(C)=C/CC(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001285637198 910938323 /nfs/dbraw/zinc/93/83/23/910938323.db2.gz DRGOGSUVHCQWGG-YTRUQHMWSA-N -1 1 303.362 1.544 20 0 DDADMM CC(C(=O)NCCCN(C)C(=O)c1ncccc1[O-])=C1CCC1 ZINC001294062971 914894613 /nfs/dbraw/zinc/89/46/13/914894613.db2.gz WOZSPZDACDHCQC-UHFFFAOYSA-N -1 1 317.389 1.866 20 0 DDADMM CCC[C@H](NC(=O)c1oc2ccccc2c1CO)c1nn[n-]n1 ZINC001294422719 915128074 /nfs/dbraw/zinc/12/80/74/915128074.db2.gz SXYWFMZYGNCOON-NSHDSACASA-N -1 1 315.333 1.709 20 0 DDADMM CC(C)(C)C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001397089532 915143727 /nfs/dbraw/zinc/14/37/27/915143727.db2.gz UDQUKXFPBXDFEE-LLVKDONJSA-N -1 1 305.378 1.458 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@@H]1CC=CCC1 ZINC001295468531 915823840 /nfs/dbraw/zinc/82/38/40/915823840.db2.gz CASNSOIFSIFVTG-QWHCGFSZSA-N -1 1 317.389 1.768 20 0 DDADMM CC(C)c1ccsc1C(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001376888649 919685769 /nfs/dbraw/zinc/68/57/69/919685769.db2.gz JKZVCOYXPHBKCN-SECBINFHSA-N -1 1 323.422 1.603 20 0 DDADMM CCc1nocc1CN(C)CCNC(=O)c1ncccc1[O-] ZINC001377142561 920453640 /nfs/dbraw/zinc/45/36/40/920453640.db2.gz XNROJRYVEKJOOM-UHFFFAOYSA-N -1 1 304.350 1.199 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1C(C)(C)C1(C)C ZINC001377784107 923027797 /nfs/dbraw/zinc/02/77/97/923027797.db2.gz LRINFRUQAFBHCX-IUCAKERBSA-N -1 1 309.414 1.175 20 0 DDADMM CCS(=O)(=O)C[C@H](C)N(C)C(=O)c1ccc([O-])cc1F ZINC000181219635 199267577 /nfs/dbraw/zinc/26/75/77/199267577.db2.gz LBJYRZVENMDHBT-VIFPVBQESA-N -1 1 303.355 1.427 20 0 DDADMM CC(C)(c1nc(-c2ccc([O-])c(F)c2)no1)S(C)(=O)=O ZINC000278304356 214077388 /nfs/dbraw/zinc/07/73/88/214077388.db2.gz XTNRWMTVJPLTEE-UHFFFAOYSA-N -1 1 300.311 1.861 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cnc(C4CC4)s3)nc2n1 ZINC000622870997 365550760 /nfs/dbraw/zinc/55/07/60/365550760.db2.gz NVCSSZDSSMVODB-UHFFFAOYSA-N -1 1 316.346 1.312 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCC[C@@H](OC)C3)nc2n1 ZINC000622993729 365586403 /nfs/dbraw/zinc/58/64/03/365586403.db2.gz YSRVMKCDAZZQSY-GXSJLCMTSA-N -1 1 319.365 1.124 20 0 DDADMM CCCc1nc(C(=O)Nc2nc3nc(CC)cc(=O)n3[n-]2)co1 ZINC000622994290 365586749 /nfs/dbraw/zinc/58/67/49/365586749.db2.gz JQNROAURJFHYCK-UHFFFAOYSA-N -1 1 316.321 1.173 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3CC(C(C)(C)C)C3)nc2n1 ZINC000622993702 365587606 /nfs/dbraw/zinc/58/76/06/365587606.db2.gz XPQBJBULVWHXSL-UHFFFAOYSA-N -1 1 317.393 1.991 20 0 DDADMM CCn1nc(C)c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1C ZINC000614390661 361833693 /nfs/dbraw/zinc/83/36/93/361833693.db2.gz WWNSHCMYNQNQFB-NSHDSACASA-N -1 1 319.365 1.628 20 0 DDADMM COc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)ccc1O ZINC000614388081 361832704 /nfs/dbraw/zinc/83/27/04/361832704.db2.gz FTIQAYDEBBLSRO-JTQLQIEISA-N -1 1 319.317 1.509 20 0 DDADMM CC(C)(C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1ccccn1 ZINC000614472615 361873516 /nfs/dbraw/zinc/87/35/16/361873516.db2.gz BALRERXXLNBCRI-UHFFFAOYSA-N -1 1 316.361 1.854 20 0 DDADMM CC[C@@H](C)[C@@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)OC ZINC000295730101 529396960 /nfs/dbraw/zinc/39/69/60/529396960.db2.gz MJKRPXMDVGHCNE-MWLCHTKSSA-N -1 1 308.407 1.809 20 0 DDADMM CC[C@@H](C)[C@@H]([N-]S(=O)(=O)c1cnn(C)c1C)C(F)(F)F ZINC000292621270 529401270 /nfs/dbraw/zinc/40/12/70/529401270.db2.gz OMBWREVNFCRGIG-GMSGAONNSA-N -1 1 313.345 1.984 20 0 DDADMM CC[C@H](COC)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000293396135 529398897 /nfs/dbraw/zinc/39/88/97/529398897.db2.gz HFBBAROMHNOXTO-SSDOTTSWSA-N -1 1 315.317 1.142 20 0 DDADMM C[C@H](C[S@@](C)=O)[N-]S(=O)(=O)c1sccc1Cl ZINC000451398604 231085775 /nfs/dbraw/zinc/08/57/75/231085775.db2.gz WXDAXLHIFRDQAI-NPMWZIQKSA-N -1 1 301.842 1.447 20 0 DDADMM CO[C@@H]1COCC[C@H]1C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000451776815 231190025 /nfs/dbraw/zinc/19/00/25/231190025.db2.gz QJJFCAPEIUZARN-GXSJLCMTSA-N -1 1 321.345 1.295 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCC[C@H]2CCOC2)sc1C ZINC000452021337 231272196 /nfs/dbraw/zinc/27/21/96/231272196.db2.gz SLNKAXLPMRQJGY-NSHDSACASA-N -1 1 304.437 1.855 20 0 DDADMM CCOc1cc(C(=O)NC[C@@H]2COCCO2)cc(Cl)c1[O-] ZINC000358129473 299083760 /nfs/dbraw/zinc/08/37/60/299083760.db2.gz KEVNAEJXNVFWQT-SNVBAGLBSA-N -1 1 315.753 1.590 20 0 DDADMM CC[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(OC)OC ZINC000298857727 529671940 /nfs/dbraw/zinc/67/19/40/529671940.db2.gz FUPDZQYDPKFMBO-SECBINFHSA-N -1 1 310.379 1.145 20 0 DDADMM O=C([O-])c1cccc(CNC(=O)[C@H]2Cc3ccccc3CN2)c1 ZINC000321968533 539297570 /nfs/dbraw/zinc/29/75/70/539297570.db2.gz KARUDSUJTBCAHV-MRXNPFEDSA-N -1 1 310.353 1.716 20 0 DDADMM CNC(=O)C[C@@H](C)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451961458 529869570 /nfs/dbraw/zinc/86/95/70/529869570.db2.gz XATJXSJWMPBKHG-MRVPVSSYSA-N -1 1 314.338 1.375 20 0 DDADMM CCc1ccc(CCNS(=O)(=O)c2c(C)o[n-]c2=N)cc1 ZINC000349582437 539395850 /nfs/dbraw/zinc/39/58/50/539395850.db2.gz GPJMCNVMEJYMBU-UHFFFAOYSA-N -1 1 309.391 1.479 20 0 DDADMM CCc1ccc(CC[N-]S(=O)(=O)c2c(C)onc2N)cc1 ZINC000349582437 539395854 /nfs/dbraw/zinc/39/58/54/539395854.db2.gz GPJMCNVMEJYMBU-UHFFFAOYSA-N -1 1 309.391 1.479 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(C3CC(F)(F)C3)no2)o1 ZINC000296581146 530027032 /nfs/dbraw/zinc/02/70/32/530027032.db2.gz VZDHHVDPIXFYMU-UHFFFAOYSA-N -1 1 319.289 1.750 20 0 DDADMM C[C@H](Cc1cnn(C)c1)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000457486532 232065352 /nfs/dbraw/zinc/06/53/52/232065352.db2.gz OZKDMQFAFNVOHB-ZCFIWIBFSA-N -1 1 302.260 1.374 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)C2(c3cccnc3)CC2)c1Br ZINC000616008592 362517550 /nfs/dbraw/zinc/51/75/50/362517550.db2.gz ICNGOYZNSXAWFN-UHFFFAOYSA-N -1 1 321.178 1.968 20 0 DDADMM O=C(c1c([O-])cnc2ccc(Cl)cc21)N1C[C@@H](O)[C@H](CO)C1 ZINC000458040600 232192105 /nfs/dbraw/zinc/19/21/05/232192105.db2.gz RTBCZMBMYOFABE-ISVAXAHUSA-N -1 1 322.748 1.019 20 0 DDADMM CNS(=O)(=O)c1cccc(-c2nc3cccnc3c(=O)[n-]2)c1 ZINC000491580411 539544779 /nfs/dbraw/zinc/54/47/79/539544779.db2.gz BAHUPHLGVAZNPN-UHFFFAOYSA-N -1 1 316.342 1.306 20 0 DDADMM CC(C)C[C@@H]([N-]S(=O)(=O)C[C@H]1CCCO1)C(=O)OC(C)C ZINC000358401946 299143496 /nfs/dbraw/zinc/14/34/96/299143496.db2.gz SKORLPCBPZTTHN-CHWSQXEVSA-N -1 1 321.439 1.451 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCCOCC1CC1 ZINC000080497763 192162590 /nfs/dbraw/zinc/16/25/90/192162590.db2.gz MSLJUDMKRBQXNM-UHFFFAOYSA-N -1 1 321.421 1.922 20 0 DDADMM C[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1)C1CC1 ZINC000032993687 352286727 /nfs/dbraw/zinc/28/67/27/352286727.db2.gz PAPTZAVMDDKKRA-VIFPVBQESA-N -1 1 314.407 1.885 20 0 DDADMM CN(C)c1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)ccn1 ZINC000047078119 352480884 /nfs/dbraw/zinc/48/08/84/352480884.db2.gz BSCMXTDUGDSXMI-UHFFFAOYSA-N -1 1 300.244 1.537 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H](CO)C1CC1 ZINC000331813980 234152787 /nfs/dbraw/zinc/15/27/87/234152787.db2.gz HCFUDOVQNUOPLI-LLVKDONJSA-N -1 1 307.394 1.536 20 0 DDADMM O=C(C=Cc1cccc(F)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000491895509 234328861 /nfs/dbraw/zinc/32/88/61/234328861.db2.gz WYQKOPFDDXIWGN-SREVYHEPSA-N -1 1 301.325 1.938 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)COC1CCCC1 ZINC000074162386 353295948 /nfs/dbraw/zinc/29/59/48/353295948.db2.gz ALTLRUOXJABKBP-UHFFFAOYSA-N -1 1 318.377 1.215 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)Cc1c(F)cccc1F)C(=O)OC ZINC000358601711 299205185 /nfs/dbraw/zinc/20/51/85/299205185.db2.gz QHQUQLAMAJOMAP-LBPRGKRZSA-N -1 1 321.345 1.726 20 0 DDADMM CN(C)C(=O)Cn1cc(NC(=O)c2cc(F)ccc2[O-])cn1 ZINC000080023369 353587289 /nfs/dbraw/zinc/58/72/89/353587289.db2.gz KWSNQBITXRFRHT-UHFFFAOYSA-N -1 1 306.297 1.068 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081944274 353699367 /nfs/dbraw/zinc/69/93/67/353699367.db2.gz OPQATTHOOPXKFY-QGHHPUGFSA-N -1 1 323.437 1.903 20 0 DDADMM O=c1[n-]c(CN2CCC[C@H]2c2n[nH]c(-c3ccccc3)n2)n[nH]1 ZINC000089267147 353763786 /nfs/dbraw/zinc/76/37/86/353763786.db2.gz PWIZQRPXLBJLIZ-NSHDSACASA-N -1 1 311.349 1.220 20 0 DDADMM COc1cccc(-c2cnc(CS(=O)(=O)c3ncn[n-]3)o2)c1 ZINC000086169661 353738515 /nfs/dbraw/zinc/73/85/15/353738515.db2.gz RZERYRBRGRIDFD-UHFFFAOYSA-N -1 1 320.330 1.442 20 0 DDADMM COc1cccc(-c2cnc(CS(=O)(=O)c3nc[n-]n3)o2)c1 ZINC000086169661 353738517 /nfs/dbraw/zinc/73/85/17/353738517.db2.gz RZERYRBRGRIDFD-UHFFFAOYSA-N -1 1 320.330 1.442 20 0 DDADMM NC(=O)NC[C@H]1CCCCN1C(=O)c1cc(Cl)ccc1[O-] ZINC000094089956 353909568 /nfs/dbraw/zinc/90/95/68/353909568.db2.gz TTZMKUACUKMENR-SNVBAGLBSA-N -1 1 311.769 1.709 20 0 DDADMM O=C(NC[C@H]1CCCS1(=O)=O)c1ccc2ccccc2c1[O-] ZINC000127031918 354060116 /nfs/dbraw/zinc/06/01/16/354060116.db2.gz WVISQQDKLYFXHS-GFCCVEGCSA-N -1 1 319.382 1.852 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@@H](O)C(F)(F)C1 ZINC000617819606 363332130 /nfs/dbraw/zinc/33/21/30/363332130.db2.gz JZKJMHVXDHZYEB-LLVKDONJSA-N -1 1 308.284 1.783 20 0 DDADMM C[C@@H](CN(C(=O)CCc1nn[n-]n1)[C@@H](C)CO)c1ccccc1 ZINC000633077130 422745576 /nfs/dbraw/zinc/74/55/76/422745576.db2.gz RKQHHEZSFFUPMT-STQMWFEESA-N -1 1 317.393 1.145 20 0 DDADMM CC(C)(C)c1cc(NCCN2CC=CCC2)nc(C(=O)[O-])n1 ZINC000565034336 304038291 /nfs/dbraw/zinc/03/82/91/304038291.db2.gz DXAZPJGZIGANRQ-UHFFFAOYSA-N -1 1 304.394 1.568 20 0 DDADMM CCC[C@H](NC(=O)c1cnn([C@@H](C)CC)c1C)c1nn[n-]n1 ZINC000358826565 291045608 /nfs/dbraw/zinc/04/56/08/291045608.db2.gz LKZZCVSIGYWPTD-CABZTGNLSA-N -1 1 305.386 1.947 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](OC)C(C)C)o1 ZINC000358865044 291061565 /nfs/dbraw/zinc/06/15/65/291061565.db2.gz YTQNCHUPQDOTIF-NSHDSACASA-N -1 1 319.379 1.406 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCS[C@@H]2C)c1 ZINC000592116526 355504655 /nfs/dbraw/zinc/50/46/55/355504655.db2.gz VQPHSMFFVMOPOG-APPZFPTMSA-N -1 1 305.377 1.239 20 0 DDADMM CCN1C[C@H](C)N(C(=O)N=c2ccc(OC(C)C)n[n-]2)C[C@@H]1C ZINC000346585772 283130793 /nfs/dbraw/zinc/13/07/93/283130793.db2.gz MCXKMOSXFPLAMQ-STQMWFEESA-N -1 1 321.425 1.632 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)C[C@H]1CCCC1(F)F ZINC000593634064 355948878 /nfs/dbraw/zinc/94/88/78/355948878.db2.gz JNDQNDHLBZPNDL-RKDXNWHRSA-N -1 1 317.329 1.242 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](C)c2ccccn2)o1 ZINC000594793304 356318945 /nfs/dbraw/zinc/31/89/45/356318945.db2.gz CJYAYUSXQZSSSX-JTQLQIEISA-N -1 1 324.358 1.543 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCC2CCC2)o1 ZINC000594858674 356340905 /nfs/dbraw/zinc/34/09/05/356340905.db2.gz USMRTULZECYOSM-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2cc(C)c(C(=O)OC)o2)CC1 ZINC000595313506 356444283 /nfs/dbraw/zinc/44/42/83/356444283.db2.gz SGWQVLOBHJRZGJ-UHFFFAOYSA-N -1 1 301.364 1.843 20 0 DDADMM C[S@@](=O)C1(CN=c2nc(C3CCOCC3)[n-]s2)CC1 ZINC000596223843 356821650 /nfs/dbraw/zinc/82/16/50/356821650.db2.gz YOYLCNVFOAQHTF-LJQANCHMSA-N -1 1 301.437 1.177 20 0 DDADMM COC(=O)C1(CNC(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000597532598 357211905 /nfs/dbraw/zinc/21/19/05/357211905.db2.gz VBKMPCZQCIUCJA-UHFFFAOYSA-N -1 1 300.314 1.623 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-][C@@H](CC(C)C)C(=O)OC)C1CC1 ZINC000599209032 357851268 /nfs/dbraw/zinc/85/12/68/357851268.db2.gz FYDQMEXYAFBVME-STQMWFEESA-N -1 1 321.439 1.309 20 0 DDADMM COC(=O)[C@@H](C[C@@H]1CCCOC1)N=c1nc(C(C)C)[n-]s1 ZINC000599166048 357836242 /nfs/dbraw/zinc/83/62/42/357836242.db2.gz RAYMWKKVXGUQRT-WDEREUQCSA-N -1 1 313.423 1.854 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]C2CC=CC2)c1F ZINC000599256538 357864318 /nfs/dbraw/zinc/86/43/18/357864318.db2.gz CMXLHIHBVOIEIA-UHFFFAOYSA-N -1 1 317.313 1.748 20 0 DDADMM Cc1ccc(C(C)C)c(O[C@H](C)C(=O)NCc2nn[n-]n2)c1 ZINC000599334408 357898205 /nfs/dbraw/zinc/89/82/05/357898205.db2.gz KYOYIVUQDSYWGC-LLVKDONJSA-N -1 1 303.366 1.715 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H]3C[C@@H]3C2)o1 ZINC000599369536 357912623 /nfs/dbraw/zinc/91/26/23/357912623.db2.gz DUUKVVIGGFWSIK-MXWKQRLJSA-N -1 1 313.375 1.781 20 0 DDADMM O=C(CSc1ccc(C(F)(F)F)cn1)NCc1nn[n-]n1 ZINC000599376647 357914794 /nfs/dbraw/zinc/91/47/94/357914794.db2.gz FQINDVIUSOKFMA-UHFFFAOYSA-N -1 1 318.284 1.022 20 0 DDADMM Cc1cccc(C)c1CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000347973224 283311868 /nfs/dbraw/zinc/31/18/68/283311868.db2.gz SSYPWVQGWXGCLS-UHFFFAOYSA-N -1 1 308.363 1.006 20 0 DDADMM Cc1cccc(C)c1CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000347973224 283311872 /nfs/dbraw/zinc/31/18/72/283311872.db2.gz SSYPWVQGWXGCLS-UHFFFAOYSA-N -1 1 308.363 1.006 20 0 DDADMM COC(=O)C[C@H](O)CNC(=O)c1cc2ccccc2cc1[O-] ZINC000600460837 358228379 /nfs/dbraw/zinc/22/83/79/358228379.db2.gz FWYDZHLOPBFJOM-LBPRGKRZSA-N -1 1 303.314 1.199 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C)c(C(=O)OC)o2)n1 ZINC000600823163 358326167 /nfs/dbraw/zinc/32/61/67/358326167.db2.gz AERDNCNVXDNBIJ-UHFFFAOYSA-N -1 1 321.289 1.527 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2C[C@H](C)O[C@H]2C)cc1C ZINC000601498933 358576352 /nfs/dbraw/zinc/57/63/52/358576352.db2.gz ICIDRFDLIGUSSK-LPEHRKFASA-N -1 1 317.363 1.219 20 0 DDADMM CSCC[C@H](NC(=O)Cc1ccc([O-])c(Cl)c1)C(N)=O ZINC000601680936 358652558 /nfs/dbraw/zinc/65/25/58/358652558.db2.gz SFZYYDGAKSRLJW-JTQLQIEISA-N -1 1 316.810 1.311 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2=CC[C@@H](C)CC2)n[n-]1 ZINC000603154335 359440634 /nfs/dbraw/zinc/44/06/34/359440634.db2.gz LEEGJTWCAMQHCB-NXEZZACHSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2=CC[C@@H](C)CC2)[n-]1 ZINC000603154335 359440641 /nfs/dbraw/zinc/44/06/41/359440641.db2.gz LEEGJTWCAMQHCB-NXEZZACHSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2=CC[C@@H](C)CC2)n1 ZINC000603154335 359440647 /nfs/dbraw/zinc/44/06/47/359440647.db2.gz LEEGJTWCAMQHCB-NXEZZACHSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCc2ccccc2)n[n-]1 ZINC000603166766 359450746 /nfs/dbraw/zinc/45/07/46/359450746.db2.gz RTALQRHTPHMESX-LLVKDONJSA-N -1 1 316.361 1.791 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCc2ccccc2)[n-]1 ZINC000603166766 359450749 /nfs/dbraw/zinc/45/07/49/359450749.db2.gz RTALQRHTPHMESX-LLVKDONJSA-N -1 1 316.361 1.791 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCc2ccccc2)n1 ZINC000603166766 359450753 /nfs/dbraw/zinc/45/07/53/359450753.db2.gz RTALQRHTPHMESX-LLVKDONJSA-N -1 1 316.361 1.791 20 0 DDADMM CCO[C@H]1C[C@@](O)(CNC(=O)C(=O)c2ccc([O-])cc2)C1(C)C ZINC000281008900 216018677 /nfs/dbraw/zinc/01/86/77/216018677.db2.gz NHNUXYKRQUYYKG-SUMWQHHRSA-N -1 1 321.373 1.257 20 0 DDADMM CSCCNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000603615769 359667754 /nfs/dbraw/zinc/66/77/54/359667754.db2.gz HVWDZYWRXNXSGZ-UHFFFAOYSA-N -1 1 320.436 1.449 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)NCc2cccs2)n1 ZINC000187585104 200131113 /nfs/dbraw/zinc/13/11/13/200131113.db2.gz VBRGBQQHBLBSDV-UHFFFAOYSA-N -1 1 311.388 1.666 20 0 DDADMM O=C(Cc1n[nH]c2ccccc12)NC1(c2nn[n-]n2)CCCC1 ZINC000605531808 359867772 /nfs/dbraw/zinc/86/77/72/359867772.db2.gz PCIWVSFXDJBZJX-UHFFFAOYSA-N -1 1 311.349 1.204 20 0 DDADMM CC(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)s1 ZINC000605531658 359868063 /nfs/dbraw/zinc/86/80/63/359868063.db2.gz LIPLNONERIXZLL-UHFFFAOYSA-N -1 1 305.363 1.663 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2cnc3onc(C)c3c2)on1 ZINC000607362582 360021011 /nfs/dbraw/zinc/02/10/11/360021011.db2.gz ZNLGUOUUPFUBJR-UHFFFAOYSA-N -1 1 322.346 1.560 20 0 DDADMM CO[C@@H]1CC[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000191050052 200621974 /nfs/dbraw/zinc/62/19/74/200621974.db2.gz BGARMVLSGDKNMJ-JGVFFNPUSA-N -1 1 309.309 1.950 20 0 DDADMM O=C(C[C@H]1CC(=O)N1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000612383531 360991868 /nfs/dbraw/zinc/99/18/68/360991868.db2.gz XVPKUGRFHAMKGM-CYBMUJFWSA-N -1 1 316.357 1.092 20 0 DDADMM C[C@H]1Cc2cc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)ccc2O1 ZINC000612814828 361153813 /nfs/dbraw/zinc/15/38/13/361153813.db2.gz FDYQCAXJXXEFPP-CMPLNLGQSA-N -1 1 313.361 1.543 20 0 DDADMM CO[C@H]1COC[C@@H]1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000292729301 223220480 /nfs/dbraw/zinc/22/04/80/223220480.db2.gz ZGUNGCHXKVVGJH-ONGXEEELSA-N -1 1 305.252 1.555 20 0 DDADMM CCN1C[C@H](C)N(C(=O)c2ccc3oc(=O)nc-3[n-]2)C[C@@H]1C ZINC000613148651 361292337 /nfs/dbraw/zinc/29/23/37/361292337.db2.gz MXVFCFTYXIXRFG-UWVGGRQHSA-N -1 1 304.350 1.483 20 0 DDADMM COCC[C@H](CO)NC(=O)c1ccc(Br)cc1[O-] ZINC000281539147 216369307 /nfs/dbraw/zinc/36/93/07/216369307.db2.gz JRMOKIFWKPQBPB-SECBINFHSA-N -1 1 318.167 1.282 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC1=CCCC1 ZINC000620006167 364239131 /nfs/dbraw/zinc/23/91/31/364239131.db2.gz WTYHSHIOMZFTGI-UHFFFAOYSA-N -1 1 300.362 1.821 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CC[C@H](C)CC1 ZINC000247137762 202647295 /nfs/dbraw/zinc/64/72/95/202647295.db2.gz MPQCEZPHTSSMIF-MJHDQNEOSA-N -1 1 316.405 1.877 20 0 DDADMM C[C@@H](O)C1(NC(=O)c2ccc(Br)cc2[O-])CC1 ZINC000620064288 364265893 /nfs/dbraw/zinc/26/58/93/364265893.db2.gz YCFYHGLPYAGHAD-SSDOTTSWSA-N -1 1 300.152 1.798 20 0 DDADMM CN(C)C(=O)N(C)[C@H]1CCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000619980665 364227832 /nfs/dbraw/zinc/22/78/32/364227832.db2.gz QFJGCIRBUBCIGA-NSHDSACASA-N -1 1 309.341 1.359 20 0 DDADMM CN(C)C(=O)N(C)[C@H]1CCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000619980374 364228505 /nfs/dbraw/zinc/22/85/05/364228505.db2.gz MMNLZUPFFWDFAV-JTQLQIEISA-N -1 1 309.341 1.359 20 0 DDADMM CCN1C[C@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CC1=O ZINC000620206252 364335038 /nfs/dbraw/zinc/33/50/38/364335038.db2.gz PTIMYDSECLEAMJ-SECBINFHSA-N -1 1 304.375 1.367 20 0 DDADMM O=C(NC[C@@H]1CCN1Cc1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000620784506 364552824 /nfs/dbraw/zinc/55/28/24/364552824.db2.gz SCGDEOVHKDBUSH-INIZCTEOSA-N -1 1 324.380 1.966 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](O)[C@H](C)c2ccccc2)c([O-])c1 ZINC000620667424 364514711 /nfs/dbraw/zinc/51/47/11/364514711.db2.gz CNCGBLDLPDDZPR-DOMZBBRYSA-N -1 1 300.358 1.990 20 0 DDADMM C[C@@H]1CO[C@@H](c2cccc(Cl)c2)CN1Cc1nc(=O)[n-][nH]1 ZINC000275497500 212373850 /nfs/dbraw/zinc/37/38/50/212373850.db2.gz GOUSZGHBVDFNIX-BXKDBHETSA-N -1 1 308.769 1.713 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC(C2CS(=O)(=O)C2)C1 ZINC000622085188 365241979 /nfs/dbraw/zinc/24/19/79/365241979.db2.gz SAISHOPRIGPBPK-UHFFFAOYSA-N -1 1 315.778 1.162 20 0 DDADMM CCn1cc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2F)cn1 ZINC000091436445 193054946 /nfs/dbraw/zinc/05/49/46/193054946.db2.gz FOAHAQORFJJTCY-UHFFFAOYSA-N -1 1 313.310 1.541 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cc(Cl)ccn1 ZINC000622612526 365452249 /nfs/dbraw/zinc/45/22/49/365452249.db2.gz ZAKFASQSXQBIPY-GFCCVEGCSA-N -1 1 314.736 1.768 20 0 DDADMM CNC(=O)NC1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000622711276 365499441 /nfs/dbraw/zinc/49/94/41/365499441.db2.gz NSXJDNZMKCSVKA-UHFFFAOYSA-N -1 1 313.304 1.204 20 0 DDADMM O=S(=O)([N-][C@@H]1CO[C@H](C2CC2)C1)c1ccc(F)c(F)c1F ZINC000625578298 367027355 /nfs/dbraw/zinc/02/73/55/367027355.db2.gz FIDTZLAOCOBOAB-WPRPVWTQSA-N -1 1 321.320 1.950 20 0 DDADMM Cc1cc(C(=O)Nc2nnn[n-]2)c(C)n1C[C@H]1CCCOC1 ZINC000625706599 367100471 /nfs/dbraw/zinc/10/04/71/367100471.db2.gz DLAMSSOLUXTBHM-LLVKDONJSA-N -1 1 304.354 1.297 20 0 DDADMM Cc1cc(C(=O)Nc2nn[n-]n2)c(C)n1C[C@H]1CCCOC1 ZINC000625706599 367100476 /nfs/dbraw/zinc/10/04/76/367100476.db2.gz DLAMSSOLUXTBHM-LLVKDONJSA-N -1 1 304.354 1.297 20 0 DDADMM COc1ccc([C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cc1F ZINC000626126038 367350476 /nfs/dbraw/zinc/35/04/76/367350476.db2.gz FLVFUDTXYBTJSA-NSHDSACASA-N -1 1 306.341 1.246 20 0 DDADMM Cc1ccccc1C[C@@H](C)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000626443644 367548193 /nfs/dbraw/zinc/54/81/93/367548193.db2.gz OZRQYWAVQKVCCI-CHWSQXEVSA-N -1 1 301.394 1.949 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)CC(C)(C)OC)C(=O)OCC ZINC000349579919 283972159 /nfs/dbraw/zinc/97/21/59/283972159.db2.gz KYXKBPAGPHNURE-IOCXFXADSA-N -1 1 307.412 1.229 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1C[C@@H]1c1ccc(F)cc1 ZINC000349666723 284007949 /nfs/dbraw/zinc/00/79/49/284007949.db2.gz OCRQUWUAFAOWEW-MNOVXSKESA-N -1 1 311.338 1.369 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@@H]1c1ccc(F)cc1 ZINC000349666723 284007950 /nfs/dbraw/zinc/00/79/50/284007950.db2.gz OCRQUWUAFAOWEW-MNOVXSKESA-N -1 1 311.338 1.369 20 0 DDADMM COc1ccc(-c2cc(C(=O)N(C)[C@@H](C)C(=O)[O-])n[nH]2)cc1 ZINC000262117921 203204476 /nfs/dbraw/zinc/20/44/76/203204476.db2.gz JHRWFLOIVRCUAT-VIFPVBQESA-N -1 1 303.318 1.630 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)Nc1cccc(NC(C)=O)c1)C(=O)[O-] ZINC000262265874 203245965 /nfs/dbraw/zinc/24/59/65/203245965.db2.gz HGJPPZMJZFVIQB-YGRLFVJLSA-N -1 1 321.377 1.815 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@@H]1[C@H]1CCC[C@H]1O ZINC000285118918 296181139 /nfs/dbraw/zinc/18/11/39/296181139.db2.gz VIVCBMBXRYAQGR-MRVWCRGKSA-N -1 1 309.337 1.533 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1CCSC1 ZINC000266392374 205313527 /nfs/dbraw/zinc/31/35/27/205313527.db2.gz IMDVWDAUMMFGEJ-NSHDSACASA-N -1 1 320.418 1.606 20 0 DDADMM COCC[C@@H](COC)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633143363 422776112 /nfs/dbraw/zinc/77/61/12/422776112.db2.gz ULCVFKKDKYWZEV-NSHDSACASA-N -1 1 301.770 1.756 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)[C@@H]1CCc2ccc(OC)cc21 ZINC000579489861 422778939 /nfs/dbraw/zinc/77/89/39/422778939.db2.gz GBRRQTMTPOOPIH-LLVKDONJSA-N -1 1 315.329 1.873 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc2c(c1)CCCO2)c1nn[n-]n1 ZINC000566916545 304188107 /nfs/dbraw/zinc/18/81/07/304188107.db2.gz VGEQPQBRPKNBJQ-CYBMUJFWSA-N -1 1 315.377 1.725 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccc2c(c1)NC(=O)CS2 ZINC000336892940 249283624 /nfs/dbraw/zinc/28/36/24/249283624.db2.gz KIDIRGUSURTATP-UHFFFAOYSA-N -1 1 301.327 1.506 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](C)c1ccc(F)c(F)c1 ZINC000337157050 249369676 /nfs/dbraw/zinc/36/96/76/249369676.db2.gz PZYFVPPDHWAYPK-SSDOTTSWSA-N -1 1 322.315 1.520 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1CC=CCC1 ZINC000045629781 183520513 /nfs/dbraw/zinc/52/05/13/183520513.db2.gz LEPXAIAJUBKIKZ-JTQLQIEISA-N -1 1 313.379 1.221 20 0 DDADMM CN(C(=O)c1cccc(C(=O)Nc2nnn[n-]2)c1)c1ccccc1 ZINC000104852483 194046059 /nfs/dbraw/zinc/04/60/59/194046059.db2.gz DOBIUJCXIPEFMY-UHFFFAOYSA-N -1 1 322.328 1.729 20 0 DDADMM CN(C(=O)c1cccc(C(=O)Nc2nn[n-]n2)c1)c1ccccc1 ZINC000104852483 194046061 /nfs/dbraw/zinc/04/60/61/194046061.db2.gz DOBIUJCXIPEFMY-UHFFFAOYSA-N -1 1 322.328 1.729 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)C[C@@H](C)C2)o1 ZINC000046698475 183566384 /nfs/dbraw/zinc/56/63/84/183566384.db2.gz VVKWOTZWSNFIQO-NXEZZACHSA-N -1 1 300.380 1.306 20 0 DDADMM CC(C)COC[C@@H](O)C[N-]S(=O)(=O)c1sccc1F ZINC000338902099 250189069 /nfs/dbraw/zinc/18/90/69/250189069.db2.gz IJGKHBYIBJIOAY-VIFPVBQESA-N -1 1 311.400 1.199 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1ccc2c(c1)CCCN2C ZINC000285108319 218292665 /nfs/dbraw/zinc/29/26/65/218292665.db2.gz NZOUWIRBKBYVHU-UHFFFAOYSA-N -1 1 320.418 1.912 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cccc(Cl)c1O ZINC000340990209 251321145 /nfs/dbraw/zinc/32/11/45/251321145.db2.gz YKAHFULJLBQKKA-UHFFFAOYSA-N -1 1 321.782 1.987 20 0 DDADMM CC[C@@H](O)CCCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000352398739 285024162 /nfs/dbraw/zinc/02/41/62/285024162.db2.gz MTZVKLVCGKVQPK-GFCCVEGCSA-N -1 1 320.393 1.262 20 0 DDADMM COCCNC(=O)C1(NC(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000458354549 532994704 /nfs/dbraw/zinc/99/47/04/532994704.db2.gz WYXSHCUWONEISM-UHFFFAOYSA-N -1 1 312.753 1.071 20 0 DDADMM CCCC[C@@H]1CCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352441341 285052330 /nfs/dbraw/zinc/05/23/30/285052330.db2.gz WMTRPDHPDRPOQY-MNOVXSKESA-N -1 1 303.366 1.506 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCCc2cc(F)ccc21 ZINC000352441071 285052523 /nfs/dbraw/zinc/05/25/23/285052523.db2.gz SHTFTBGTYAMTHP-UHFFFAOYSA-N -1 1 313.292 1.150 20 0 DDADMM CC(C)[C@@H]([N-]C(=O)C(F)(F)c1c(F)cccc1F)C(N)=O ZINC000270269423 208333666 /nfs/dbraw/zinc/33/36/66/208333666.db2.gz XMVKJGAKJPUZPG-SNVBAGLBSA-N -1 1 306.259 1.683 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)C1CC1)c1cc(F)c(F)cc1F ZINC000352559965 285141367 /nfs/dbraw/zinc/14/13/67/285141367.db2.gz MCNXMFCJPDOYSA-QMMMGPOBSA-N -1 1 309.309 1.401 20 0 DDADMM COc1ccsc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000331868649 533092698 /nfs/dbraw/zinc/09/26/98/533092698.db2.gz SXFXMPYAZRTSRW-QMMMGPOBSA-N -1 1 309.347 1.865 20 0 DDADMM O=C([O-])c1coc(NCc2cccc(N3CCOCC3)c2)n1 ZINC000567977820 304257633 /nfs/dbraw/zinc/25/76/33/304257633.db2.gz NHJUCXNMUHIUMH-UHFFFAOYSA-N -1 1 303.318 1.243 20 0 DDADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-]c1cccc2ncccc12 ZINC000430087781 533168859 /nfs/dbraw/zinc/16/88/59/533168859.db2.gz VBMGCCXPKLUSFM-JTQLQIEISA-N -1 1 307.375 1.453 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2cccc(Cl)c2F)CCOC1=O ZINC000289519558 221036905 /nfs/dbraw/zinc/03/69/05/221036905.db2.gz LHFFKYPPVXYJHG-NSHDSACASA-N -1 1 307.730 1.463 20 0 DDADMM O=c1nc([C@H]2CCCN(Cc3nnc(-c4ccco4)o3)C2)[nH][n-]1 ZINC000289556853 221063973 /nfs/dbraw/zinc/06/39/73/221063973.db2.gz XOXWKJZAYCZQJU-VIFPVBQESA-N -1 1 316.321 1.121 20 0 DDADMM O=S(=O)([N-]CC[C@@H]1CCOC1)c1ccc(Br)o1 ZINC000352940626 285400641 /nfs/dbraw/zinc/40/06/41/285400641.db2.gz KEXKXNDBKWFDKZ-MRVPVSSYSA-N -1 1 324.196 1.747 20 0 DDADMM COc1ccc(C)cc1C=CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000492071118 533374646 /nfs/dbraw/zinc/37/46/46/533374646.db2.gz PSNFSCXHHBREOX-SOFGYWHQSA-N -1 1 301.350 1.581 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CC[C@H]2CCCC[C@@H]2C1 ZINC000416131806 533446340 /nfs/dbraw/zinc/44/63/40/533446340.db2.gz ZZDXVXGIFKIGQT-GMTAPVOTSA-N -1 1 321.377 1.985 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@@H]1CC1(F)F ZINC000294991669 533417639 /nfs/dbraw/zinc/41/76/39/533417639.db2.gz QMNGHAUQOJBSKU-ZETCQYMHSA-N -1 1 308.281 1.791 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)N1CCOCC1 ZINC000568649294 304304364 /nfs/dbraw/zinc/30/43/64/304304364.db2.gz DSWHIOSIULQAQI-NSHDSACASA-N -1 1 316.361 1.442 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCSC12CCCCC2 ZINC000579730468 422824739 /nfs/dbraw/zinc/82/47/39/422824739.db2.gz OJHUTGWYJDUOFU-UHFFFAOYSA-N -1 1 319.390 1.267 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCOc1ccc(F)c(Cl)c1 ZINC000633258148 422829114 /nfs/dbraw/zinc/82/91/14/422829114.db2.gz TVXCIAIGXMSDOH-UHFFFAOYSA-N -1 1 313.720 1.120 20 0 DDADMM Cc1ccc(NC(=O)c2cncc([O-])c2)cc1S(C)(=O)=O ZINC000179163410 306690623 /nfs/dbraw/zinc/69/06/23/306690623.db2.gz DAXPPABUAVVHGA-UHFFFAOYSA-N -1 1 306.343 1.751 20 0 DDADMM O=C1COc2cc([N-]S(=O)(=O)c3ccc(F)cc3)ccc2N1 ZINC000011908651 406832379 /nfs/dbraw/zinc/83/23/79/406832379.db2.gz WSBGVQOQRFFUTN-UHFFFAOYSA-N -1 1 322.317 1.957 20 0 DDADMM CN(CCNC(=O)c1nc2ccccc2c(=O)[n-]1)c1ccccc1 ZINC000074273109 406907053 /nfs/dbraw/zinc/90/70/53/406907053.db2.gz GDIYQSOVUOWPOF-UHFFFAOYSA-N -1 1 322.368 1.789 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC[C@@H]1C ZINC000037490233 406989847 /nfs/dbraw/zinc/98/98/47/406989847.db2.gz ZZSSIXRUCLVPNU-GXSJLCMTSA-N -1 1 315.395 1.443 20 0 DDADMM C[C@H](NC(=O)c1cc(Br)ccc1[O-])C(=O)N(C)C ZINC000048624271 407102729 /nfs/dbraw/zinc/10/27/29/407102729.db2.gz ALEIVCRPNDMVKJ-ZETCQYMHSA-N -1 1 315.167 1.361 20 0 DDADMM O=C(CCS(=O)(=O)c1nc[n-]n1)Nc1ccccc1Cl ZINC000086169786 407108681 /nfs/dbraw/zinc/10/86/81/407108681.db2.gz BTRCHPITUKOHLS-UHFFFAOYSA-N -1 1 314.754 1.261 20 0 DDADMM Cc1cc(C)n(-c2ccc(CS(=O)(=O)c3ncn[n-]3)cc2)n1 ZINC000086169929 407108988 /nfs/dbraw/zinc/10/89/88/407108988.db2.gz UKNBHUXOSCCOFS-UHFFFAOYSA-N -1 1 317.374 1.581 20 0 DDADMM Cc1cc(C)n(-c2ccc(CS(=O)(=O)c3nc[n-]n3)cc2)n1 ZINC000086169929 407108991 /nfs/dbraw/zinc/10/89/91/407108991.db2.gz UKNBHUXOSCCOFS-UHFFFAOYSA-N -1 1 317.374 1.581 20 0 DDADMM Cc1ccccc1[C@H](C)NC(=O)[C@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000086169943 407109715 /nfs/dbraw/zinc/10/97/15/407109715.db2.gz UOOZJGOEEMVSMI-QWRGUYRKSA-N -1 1 322.390 1.153 20 0 DDADMM Cc1ccccc1[C@H](C)NC(=O)[C@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000086169943 407109718 /nfs/dbraw/zinc/10/97/18/407109718.db2.gz UOOZJGOEEMVSMI-QWRGUYRKSA-N -1 1 322.390 1.153 20 0 DDADMM O=C(NC[C@@H]1CCS(=O)(=O)C1)c1ccc(Cl)cc1[O-] ZINC000055463671 407204313 /nfs/dbraw/zinc/20/43/13/407204313.db2.gz KBBPRNWUQHMKJO-QMMMGPOBSA-N -1 1 303.767 1.210 20 0 DDADMM CC[C@@H](C)[C@@H](NS(C)(=O)=O)C(=O)Nc1ccc(F)cc1[O-] ZINC000340329648 286798033 /nfs/dbraw/zinc/79/80/33/286798033.db2.gz PBZUOOAYIFHOIA-PRHODGIISA-N -1 1 318.370 1.434 20 0 DDADMM O=C(Cc1ccccc1O)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067119281 407267023 /nfs/dbraw/zinc/26/70/23/407267023.db2.gz MDPPZUJFNONHDC-UHFFFAOYSA-N -1 1 318.402 1.883 20 0 DDADMM Cc1ccc([C@@H]2C[C@H]2C(=O)N(C)C[C@@H](C)c2nn[n-]n2)s1 ZINC000124121479 407349106 /nfs/dbraw/zinc/34/91/06/407349106.db2.gz MIKRYRAGVQTMIQ-FBIMIBRVSA-N -1 1 305.407 1.935 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)Sc1ccccc1)c1nn[n-]n1 ZINC000124637336 407365156 /nfs/dbraw/zinc/36/51/56/407365156.db2.gz ACYAEMZPBVCDFT-QWRGUYRKSA-N -1 1 305.407 1.942 20 0 DDADMM CC[C@H]1CN(CC(=O)[N-]C(=O)c2ccc(OC)cc2)C[C@H](C)O1 ZINC000111276484 407405340 /nfs/dbraw/zinc/40/53/40/407405340.db2.gz GJYHNLPGGWPRKT-JSGCOSHPSA-N -1 1 320.389 1.451 20 0 DDADMM CC[C@@H](O)C[N-]S(=O)(=O)c1sccc1Br ZINC000126169083 407407276 /nfs/dbraw/zinc/40/72/76/407407276.db2.gz UEXCSNCMJBZJGU-ZCFIWIBFSA-N -1 1 314.226 1.560 20 0 DDADMM O=C(NC[C@H](O)COc1ccc(F)c(F)c1)c1cncc([O-])c1 ZINC000111610960 407412290 /nfs/dbraw/zinc/41/22/90/407412290.db2.gz QPSXIIGQNYOTGB-NSHDSACASA-N -1 1 324.283 1.235 20 0 DDADMM O=S(=O)([N-]CC1(CCO)CCC1)c1cc(F)c(F)cc1F ZINC000127367174 407434980 /nfs/dbraw/zinc/43/49/80/407434980.db2.gz JFDYKBXUQVJCGA-UHFFFAOYSA-N -1 1 323.336 1.935 20 0 DDADMM COCC[C@@](C)(O)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000178735479 407571796 /nfs/dbraw/zinc/57/17/96/407571796.db2.gz KJYFTZZCHBNJFU-GFCCVEGCSA-N -1 1 309.334 1.031 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC ZINC000186131556 407583702 /nfs/dbraw/zinc/58/37/02/407583702.db2.gz CCRNEGJDKZJAMG-SNVBAGLBSA-N -1 1 321.345 1.975 20 0 DDADMM Cc1ccc(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)n1C ZINC000114155491 407546645 /nfs/dbraw/zinc/54/66/45/407546645.db2.gz XCFRUKTYJHHASR-UHFFFAOYSA-N -1 1 313.361 1.216 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1CCCCC1 ZINC000114155908 407548852 /nfs/dbraw/zinc/54/88/52/407548852.db2.gz DUYOXWPKBYPDML-UHFFFAOYSA-N -1 1 302.378 1.836 20 0 DDADMM O=C(Nc1cncnc1N1CCCC1)c1ccc(O)cc1[O-] ZINC000186239102 407617859 /nfs/dbraw/zinc/61/78/59/407617859.db2.gz AAYCTENXPJVXCZ-UHFFFAOYSA-N -1 1 300.318 1.740 20 0 DDADMM COc1ccc([N-]S(=O)(=O)CCOC(C)C)c(OC)c1 ZINC000266790595 407635938 /nfs/dbraw/zinc/63/59/38/407635938.db2.gz XCBUGGIXNZMGCO-UHFFFAOYSA-N -1 1 303.380 1.871 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C2Cc3ccccc3C2)c1Cl ZINC000186376363 407649577 /nfs/dbraw/zinc/64/95/77/407649577.db2.gz VBARYRLYCXFFOX-UHFFFAOYSA-N -1 1 311.794 1.519 20 0 DDADMM O=C1NCCc2ccc(S(=O)(=O)[N-]c3ccncc3)cc21 ZINC000116600121 407764628 /nfs/dbraw/zinc/76/46/28/407764628.db2.gz DGXAZCJXDVZZRW-UHFFFAOYSA-N -1 1 303.343 1.168 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CCOC(C)(C)C2)c1Cl ZINC000179292266 407766864 /nfs/dbraw/zinc/76/68/64/407766864.db2.gz LUFSPCKMMNPDJU-MRVPVSSYSA-N -1 1 307.803 1.309 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)[C@H]2CCCCO2)c1 ZINC000272095250 407773020 /nfs/dbraw/zinc/77/30/20/407773020.db2.gz VWBQJKNQEOUOHE-MWLCHTKSSA-N -1 1 317.363 1.302 20 0 DDADMM CCCCCOCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000272149456 407791956 /nfs/dbraw/zinc/79/19/56/407791956.db2.gz QNDVHAGVBBQKGK-UHFFFAOYSA-N -1 1 316.379 1.185 20 0 DDADMM COCCN(CC(F)F)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179471211 407792534 /nfs/dbraw/zinc/79/25/34/407792534.db2.gz LKEHPFUMTJGZQB-UHFFFAOYSA-N -1 1 314.292 1.028 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C=C2CCCCC2)c1 ZINC000272176017 407805163 /nfs/dbraw/zinc/80/51/63/407805163.db2.gz XQZFLMMNMRRDEB-UHFFFAOYSA-N -1 1 310.375 1.869 20 0 DDADMM Cn1cc(NC(=O)c2ncccc2[O-])cc(Br)c1=O ZINC000153385246 407813622 /nfs/dbraw/zinc/81/36/22/407813622.db2.gz NQVIMADUJRLARP-UHFFFAOYSA-N -1 1 324.134 1.501 20 0 DDADMM COc1ccc(C)cc1NC(=O)[C@@H](C)N1CC[C@@H](C(=O)[O-])C1 ZINC000262684703 407900757 /nfs/dbraw/zinc/90/07/57/407900757.db2.gz XIFFERQMMRZDGF-VXGBXAGGSA-N -1 1 306.362 1.737 20 0 DDADMM Cc1ccc(C(F)(F)C(=O)[N-]CC(=O)NCC(F)(F)F)cc1 ZINC000272513680 407955435 /nfs/dbraw/zinc/95/54/35/407955435.db2.gz WKHVMUDBIIUSMM-UHFFFAOYSA-N -1 1 324.249 1.882 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1c[nH]c2cc(F)ccc12 ZINC000181247777 407971035 /nfs/dbraw/zinc/97/10/35/407971035.db2.gz XCFJDHQVSIDBGA-UHFFFAOYSA-N -1 1 303.297 1.081 20 0 DDADMM CO[C@H]1CCCC[C@H]1NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119289688 408033041 /nfs/dbraw/zinc/03/30/41/408033041.db2.gz QZSAARMWRTWYRU-NEPJUHHUSA-N -1 1 304.350 1.361 20 0 DDADMM CC[C@]([N-]S(=O)(=O)c1cc(F)ccc1F)(C(N)=O)C(C)C ZINC000181529317 408009254 /nfs/dbraw/zinc/00/92/54/408009254.db2.gz YYNVMLBYXGGIAE-CYBMUJFWSA-N -1 1 320.361 1.533 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NCc1ccc(OC)cc1)C(=O)[O-] ZINC000263052861 408010873 /nfs/dbraw/zinc/01/08/73/408010873.db2.gz DPDLBJXUYOVEMK-NHYWBVRUSA-N -1 1 308.378 1.400 20 0 DDADMM Cc1cc(C)n(-c2ccc(Cl)c(C(=O)Nc3nn[n-]n3)n2)n1 ZINC000135472975 408019443 /nfs/dbraw/zinc/01/94/43/408019443.db2.gz VOOBRHREALTLMB-UHFFFAOYSA-N -1 1 318.728 1.303 20 0 DDADMM CCOc1ccccc1CS(=O)(=O)c1nnc(COC)[n-]1 ZINC000268500376 408070519 /nfs/dbraw/zinc/07/05/19/408070519.db2.gz RVDDXVFDKRSJEG-UHFFFAOYSA-N -1 1 311.363 1.324 20 0 DDADMM CCOc1ccccc1CS(=O)(=O)c1n[n-]c(COC)n1 ZINC000268500376 408070525 /nfs/dbraw/zinc/07/05/25/408070525.db2.gz RVDDXVFDKRSJEG-UHFFFAOYSA-N -1 1 311.363 1.324 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@]2(C)CCCS2)o1 ZINC000154722246 408075527 /nfs/dbraw/zinc/07/55/27/408075527.db2.gz MAEUIJMPSOXSFZ-LBPRGKRZSA-N -1 1 318.420 1.203 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc([S@@](C)=O)cc1)c1nn[n-]n1 ZINC000136654469 408117325 /nfs/dbraw/zinc/11/73/25/408117325.db2.gz KHBMYLRQZWCLEM-FIKIJFGZSA-N -1 1 307.379 1.208 20 0 DDADMM O=C([O-])[C@@H]1CCCN(C(=O)NCc2ccc3cncn3c2)C1 ZINC000263404058 408130357 /nfs/dbraw/zinc/13/03/57/408130357.db2.gz SRAUEYBQFHPRJV-GFCCVEGCSA-N -1 1 302.334 1.341 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1Oc2ccccc2[C@@H]1C)c1nn[n-]n1 ZINC000246088814 408139427 /nfs/dbraw/zinc/13/94/27/408139427.db2.gz JGVBWFLNWVOFMD-XHVZSJERSA-N -1 1 301.350 1.722 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000263453323 408143826 /nfs/dbraw/zinc/14/38/26/408143826.db2.gz TXQGVBHFQIHMDN-OAHLLOKOSA-N -1 1 300.318 1.409 20 0 DDADMM CCOc1ccccc1C(=O)Nc1nc(SCCO)n[nH]1 ZINC000268710051 408170389 /nfs/dbraw/zinc/17/03/89/408170389.db2.gz LYXAOYXLLODPIK-UHFFFAOYSA-N -1 1 308.363 1.540 20 0 DDADMM CC(C)c1ncc(Cl)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)n1 ZINC000273578660 408252436 /nfs/dbraw/zinc/25/24/36/408252436.db2.gz NSQNPDYSAIDEEX-QMMMGPOBSA-N -1 1 323.788 1.642 20 0 DDADMM NC(=O)[C@@H]1CC[C@@H](CNC(=O)c2ccc3ccccc3c2[O-])O1 ZINC000150895128 408220794 /nfs/dbraw/zinc/22/07/94/408220794.db2.gz RBZGYYHXQKHRDE-FZMZJTMJSA-N -1 1 314.341 1.308 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCCc2c(O)cccc21)c1nn[n-]n1 ZINC000190597899 408287940 /nfs/dbraw/zinc/28/79/40/408287940.db2.gz WFKOUGTUMRIBHY-OLZOCXBDSA-N -1 1 315.377 1.983 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCCc2c(O)cccc21)c1nn[n-]n1 ZINC000190597918 408288250 /nfs/dbraw/zinc/28/82/50/408288250.db2.gz WFKOUGTUMRIBHY-CHWSQXEVSA-N -1 1 315.377 1.983 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCc2ccccc2)co1 ZINC000157280762 408298638 /nfs/dbraw/zinc/29/86/38/408298638.db2.gz BRLZHKSGACJBMF-UHFFFAOYSA-N -1 1 308.359 1.160 20 0 DDADMM COC(=O)[C@@H](C)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000133077759 162051059 /nfs/dbraw/zinc/05/10/59/162051059.db2.gz SHXAEOWTIJQACX-ZETCQYMHSA-N -1 1 309.746 1.567 20 0 DDADMM CO[C@@H]1CC[C@H]2OCCN(C(=O)c3ccc([O-])cc3F)[C@@H]2C1 ZINC000133432840 162059164 /nfs/dbraw/zinc/05/91/64/162059164.db2.gz PNPZDHQJJSDNMT-KCPJHIHWSA-N -1 1 309.337 1.940 20 0 DDADMM O=S(=O)([N-]c1cc(-c2ccncc2)n[nH]1)c1cccnc1 ZINC000151593155 162136202 /nfs/dbraw/zinc/13/62/02/162136202.db2.gz ZVAXOEXTPKRSHX-UHFFFAOYSA-N -1 1 301.331 1.668 20 0 DDADMM COCCn1cc(S(=O)(=O)[N-]c2ccc(C)cc2F)cn1 ZINC000269606141 408358838 /nfs/dbraw/zinc/35/88/38/408358838.db2.gz JBESVSARTTZZLS-UHFFFAOYSA-N -1 1 313.354 1.778 20 0 DDADMM Cc1ccc(CO)cc1[N-]S(=O)(=O)c1cccc(C(N)=O)c1 ZINC000172605376 162285679 /nfs/dbraw/zinc/28/56/79/162285679.db2.gz XDGRYAYMYAAXRJ-UHFFFAOYSA-N -1 1 320.370 1.387 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000183318595 408378608 /nfs/dbraw/zinc/37/86/08/408378608.db2.gz JNNYWKDFBGAULI-DTWKUNHWSA-N -1 1 321.345 1.831 20 0 DDADMM CO[C@@H](C)CCC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000183609025 408453953 /nfs/dbraw/zinc/45/39/53/408453953.db2.gz KDAUZLVNZIMUQK-VIFPVBQESA-N -1 1 306.366 1.071 20 0 DDADMM C[C@H](CN(C)C(=O)/C=C/SCc1ccco1)c1nn[n-]n1 ZINC000183437832 408411050 /nfs/dbraw/zinc/41/10/50/408411050.db2.gz JQINGIWKDSQRTF-BREXMAIKSA-N -1 1 307.379 1.802 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1Cc2cc(Cl)ccc2O1)c1nn[n-]n1 ZINC000274727354 408511062 /nfs/dbraw/zinc/51/10/62/408511062.db2.gz BQEJKWRPXZPCFI-PELKAZGASA-N -1 1 321.768 1.419 20 0 DDADMM CCOC(=O)N[C@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000177072691 408514782 /nfs/dbraw/zinc/51/47/82/408514782.db2.gz OTRJBRCZODEADU-NSHDSACASA-N -1 1 310.325 1.882 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)c2nc(C)cs2)o1 ZINC000264538115 408526071 /nfs/dbraw/zinc/52/60/71/408526071.db2.gz KUPTZNILRGNLBQ-UHFFFAOYSA-N -1 1 315.376 1.229 20 0 DDADMM CC(C)C(=O)N1CCC(NC(=O)c2c([O-])cccc2F)CC1 ZINC000274614535 408470060 /nfs/dbraw/zinc/47/00/60/408470060.db2.gz PRLFCPLRLNLYNS-UHFFFAOYSA-N -1 1 308.353 1.908 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1C[C@H]1c1cc(F)ccc1F)c1nn[n-]n1 ZINC000248423887 408563633 /nfs/dbraw/zinc/56/36/33/408563633.db2.gz FYVYRSAJWZOLSY-QRTLGDNMSA-N -1 1 321.331 1.844 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)c1cc(-c2c(C)nn(C)c2C)[nH]n1 ZINC000184886766 408711109 /nfs/dbraw/zinc/71/11/09/408711109.db2.gz UJVTTZOFGNJSTE-UHFFFAOYSA-N -1 1 319.365 1.364 20 0 DDADMM CC(C)C[C@H](C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000184932095 408719739 /nfs/dbraw/zinc/71/97/39/408719739.db2.gz KYTRZZOUEVIAOI-VIFPVBQESA-N -1 1 300.380 1.660 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)CC1(CO)CC1 ZINC000275806638 408682369 /nfs/dbraw/zinc/68/23/69/408682369.db2.gz IMQBZPBAGRLXKG-UHFFFAOYSA-N -1 1 307.394 1.633 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2cccc(-n3cnnn3)c2)c1 ZINC000194663951 408791670 /nfs/dbraw/zinc/79/16/70/408791670.db2.gz DAHUZFFLPSWITC-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2ccc(C(=O)N(C)C)cc2)c1C ZINC000194796907 408798044 /nfs/dbraw/zinc/79/80/44/408798044.db2.gz NAUMWUGCBQAKBU-UHFFFAOYSA-N -1 1 322.390 1.529 20 0 DDADMM CC(=O)N1CCN(C(=O)c2cncc([O-])c2)CC12CCCCC2 ZINC000270925786 408744893 /nfs/dbraw/zinc/74/48/93/408744893.db2.gz WAPFVEVXKNHDJN-UHFFFAOYSA-N -1 1 317.389 1.794 20 0 DDADMM COc1ccc(F)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1OC ZINC000276566194 408883909 /nfs/dbraw/zinc/88/39/09/408883909.db2.gz UTHHZKMPIUCEQN-QMMMGPOBSA-N -1 1 323.328 1.232 20 0 DDADMM C[C@@H](Sc1nc(C2CC2)cc(=O)[n-]1)C(=O)NC(=O)NC1CC1 ZINC000276136009 408822509 /nfs/dbraw/zinc/82/25/09/408822509.db2.gz APMVOYZAMPNZBS-SSDOTTSWSA-N -1 1 322.390 1.528 20 0 DDADMM Cc1ccc2c([n-]cc(C(=O)NCCOCC(F)F)c2=O)[nH+]1 ZINC000188436465 163053119 /nfs/dbraw/zinc/05/31/19/163053119.db2.gz XPNPMJWNPXPXBU-UHFFFAOYSA-N -1 1 311.288 1.655 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCOCC(F)F)cnc2n1 ZINC000188436465 163053121 /nfs/dbraw/zinc/05/31/21/163053121.db2.gz XPNPMJWNPXPXBU-UHFFFAOYSA-N -1 1 311.288 1.655 20 0 DDADMM COc1ccc(N2C[C@H](C(=O)[N-]OC/C=C/Cl)CC2=O)cc1 ZINC000291754424 408917426 /nfs/dbraw/zinc/91/74/26/408917426.db2.gz HGFIDLRTZVPFBJ-HVUAZKLTSA-N -1 1 324.764 1.848 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1cccc(Br)c1F ZINC000277328432 408920645 /nfs/dbraw/zinc/92/06/45/408920645.db2.gz LYSXVONQJFPHJJ-UHFFFAOYSA-N -1 1 316.130 1.648 20 0 DDADMM C[C@H]1CCNC(=O)[C@@H]1[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000281754507 408925033 /nfs/dbraw/zinc/92/50/33/408925033.db2.gz JEPLTDKVJUZWIG-WRWORJQWSA-N -1 1 318.270 1.697 20 0 DDADMM CCC[C@H](NC(=O)c1csc(C(F)(F)F)n1)c1nn[n-]n1 ZINC000193471887 163256632 /nfs/dbraw/zinc/25/66/32/163256632.db2.gz RJRNWXOTHKFHLK-YFKPBYRVSA-N -1 1 320.300 1.946 20 0 DDADMM COC(=O)c1c[n-]c(SCc2cc(-c3cnn(C)c3)no2)n1 ZINC000193553311 163257663 /nfs/dbraw/zinc/25/76/63/163257663.db2.gz UYXRHTUZTSSLGF-UHFFFAOYSA-N -1 1 319.346 1.877 20 0 DDADMM O=C(N[C@H]1COc2ccccc2C1)c1nc2ccccc2c(=O)[n-]1 ZINC000194610111 163289410 /nfs/dbraw/zinc/28/94/10/163289410.db2.gz NBFPIWOEIIDRSB-GFCCVEGCSA-N -1 1 321.336 1.657 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](O)C(F)F)sc1Cl ZINC000235781471 163354790 /nfs/dbraw/zinc/35/47/90/163354790.db2.gz UTUZYDKMBJZNET-YFKPBYRVSA-N -1 1 305.755 1.614 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(Cc2ccc(C)cc2)C1 ZINC000287631111 409068009 /nfs/dbraw/zinc/06/80/09/409068009.db2.gz BHUIVPHQXIKPMR-OAHLLOKOSA-N -1 1 320.389 1.428 20 0 DDADMM O=C(CSc1nc(C2CC2)cc(=O)[n-]1)NC[C@@H]1CCCO1 ZINC000277762949 409007064 /nfs/dbraw/zinc/00/70/64/409007064.db2.gz RYNVQEUDTIERGQ-JTQLQIEISA-N -1 1 309.391 1.447 20 0 DDADMM C[C@@H](NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)[C@@H]1CCCCO1 ZINC000287649193 409070269 /nfs/dbraw/zinc/07/02/69/409070269.db2.gz OZISHVCZPGOIRV-QAQAYJCJSA-N -1 1 303.366 1.421 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCS1 ZINC000287702311 409078315 /nfs/dbraw/zinc/07/83/15/409078315.db2.gz ROHARKLHHUWUJD-CYUIRQCWSA-N -1 1 319.434 1.947 20 0 DDADMM CO[C@H](CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C(C)(C)C ZINC000287809615 409098156 /nfs/dbraw/zinc/09/81/56/409098156.db2.gz YHZPXEUIGYAHBY-ZDFPAGSVSA-N -1 1 305.382 1.524 20 0 DDADMM COC[C@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000283180767 409105160 /nfs/dbraw/zinc/10/51/60/409105160.db2.gz VHHGSBPXCSDKRJ-JTQLQIEISA-N -1 1 306.391 1.517 20 0 DDADMM O=C(NC[C@H]1CCCS(=O)(=O)C1)c1ccc(Cl)cc1[O-] ZINC000288118148 409149198 /nfs/dbraw/zinc/14/91/98/409149198.db2.gz JCPRPKJWZGWQAO-SECBINFHSA-N -1 1 317.794 1.600 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCCCOC3CCCC3)ccnc1-2 ZINC000279387229 409204027 /nfs/dbraw/zinc/20/40/27/409204027.db2.gz QZZNQGVZSGIFKP-ZHZULCJRSA-N -1 1 317.393 1.813 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncsc1C(F)(F)F)c1nn[n-]n1 ZINC000280195334 409267215 /nfs/dbraw/zinc/26/72/15/409267215.db2.gz DZMFOJQJQHEKNU-YFKPBYRVSA-N -1 1 320.300 1.551 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H](C)C(C)(F)F ZINC000294265308 409269083 /nfs/dbraw/zinc/26/90/83/409269083.db2.gz LOWPTGSNOXOJJE-ZETCQYMHSA-N -1 1 312.320 1.547 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCO[C@H](C(C)C)C1 ZINC000290337467 409366635 /nfs/dbraw/zinc/36/66/35/409366635.db2.gz BEGSVUSGAUDLRL-ZJUUUORDSA-N -1 1 303.384 1.047 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCC(F)(F)C2)o1 ZINC000280662603 409398970 /nfs/dbraw/zinc/39/89/70/409398970.db2.gz DKNXVXNXOFADCO-QMMMGPOBSA-N -1 1 322.333 1.353 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCOC[C@H]1[C@H]1CCCCC1=O ZINC000285398170 409429744 /nfs/dbraw/zinc/42/97/44/409429744.db2.gz VMIJBTPYTBBYHF-KGLIPLIRSA-N -1 1 304.346 1.388 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@H](C)O1 ZINC000295375011 409454692 /nfs/dbraw/zinc/45/46/92/409454692.db2.gz WYYDMPSYTYGNJU-GXSJLCMTSA-N -1 1 306.391 1.658 20 0 DDADMM CO[C@H](C)c1nsc(=N[C@H](C)[C@H](C)N2CCOCC2)[n-]1 ZINC000337935856 164075976 /nfs/dbraw/zinc/07/59/76/164075976.db2.gz MXKXUXZQSQJKQP-OUAUKWLOSA-N -1 1 300.428 1.189 20 0 DDADMM CC[C@H]1CN(C(=O)c2cnc3nc(C)ccc3c2[O-])C[C@@H]1O ZINC000408015435 164198505 /nfs/dbraw/zinc/19/85/05/164198505.db2.gz BSULDQILWFLWJF-GWCFXTLKSA-N -1 1 301.346 1.487 20 0 DDADMM CC[C@H]1CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C[C@@H]1O ZINC000408015435 164198507 /nfs/dbraw/zinc/19/85/07/164198507.db2.gz BSULDQILWFLWJF-GWCFXTLKSA-N -1 1 301.346 1.487 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)N=c2nc(C(C)(C)C)[n-]s2)CCN1C ZINC000408206582 164260162 /nfs/dbraw/zinc/26/01/62/164260162.db2.gz OZJAYCJTXZSTME-NXEZZACHSA-N -1 1 311.455 1.814 20 0 DDADMM CCC1(CC)CN(C(=O)c2ncc(C)cc2[O-])CC[S@]1=O ZINC000408371696 164310676 /nfs/dbraw/zinc/31/06/76/164310676.db2.gz CXRULHCXYAFRMW-OAQYLSRUSA-N -1 1 310.419 1.859 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C(=O)C3CC3)CC2(C)C)c([O-])c1 ZINC000408375585 164311845 /nfs/dbraw/zinc/31/18/45/164311845.db2.gz TVFHPMOYUKERIG-UHFFFAOYSA-N -1 1 317.389 1.569 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCCn3ncnc32)sc1C ZINC000408401390 164319728 /nfs/dbraw/zinc/31/97/28/164319728.db2.gz BTGUJNPYXLZYPX-VIFPVBQESA-N -1 1 313.408 1.165 20 0 DDADMM CC(C)[C@H](C)N(C(=O)CNC(=O)c1ncccc1[O-])C1CC1 ZINC000337948120 409591737 /nfs/dbraw/zinc/59/17/37/409591737.db2.gz KKAKQZCLBDSFOP-NSHDSACASA-N -1 1 305.378 1.553 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1cc(C)cc(C)c1C(=O)[O-] ZINC000349078537 409681833 /nfs/dbraw/zinc/68/18/33/409681833.db2.gz FNTFQDFLDKFKEX-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM CCc1cc(C(=O)N[C@@H](C)CN2CCN(C)CC2)ccc1O ZINC000342509152 409686236 /nfs/dbraw/zinc/68/62/36/409686236.db2.gz UYJQZFHVIKWSSH-ZDUSSCGKSA-N -1 1 305.422 1.320 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2c(F)cc(F)cc2F)[nH]1 ZINC000297036397 409771721 /nfs/dbraw/zinc/77/17/21/409771721.db2.gz LIUUTDPNIFYVJM-UHFFFAOYSA-N -1 1 320.296 1.263 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000315077526 409833187 /nfs/dbraw/zinc/83/31/87/409833187.db2.gz SPHYMRKRFRHVAI-LBPRGKRZSA-N -1 1 308.382 1.547 20 0 DDADMM Cc1cc(Br)cc(C(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000357067639 409836703 /nfs/dbraw/zinc/83/67/03/409836703.db2.gz KFXOJBMSAGMELI-UHFFFAOYSA-N -1 1 322.166 1.690 20 0 DDADMM Cc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1Br ZINC000357073129 409842379 /nfs/dbraw/zinc/84/23/79/409842379.db2.gz HURGJGMRYHBFKR-UHFFFAOYSA-N -1 1 322.166 1.690 20 0 DDADMM Cc1noc([C@H]2CCN(C(=O)c3c(F)ccc([O-])c3F)C2)n1 ZINC000332081638 409858082 /nfs/dbraw/zinc/85/80/82/409858082.db2.gz MNBKSRRHUHKSLS-QMMMGPOBSA-N -1 1 309.272 1.992 20 0 DDADMM CCC(CC)[C@H](CNC(=O)c1ncccc1[O-])N1CCOCC1 ZINC000357118711 409885328 /nfs/dbraw/zinc/88/53/28/409885328.db2.gz QTYYUTOSFAFGRN-AWEZNQCLSA-N -1 1 321.421 1.654 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)Nc2c[nH]c(=O)cc2C)c1 ZINC000342773230 409894013 /nfs/dbraw/zinc/89/40/13/409894013.db2.gz AIOYNMPEJVEMJY-UHFFFAOYSA-N -1 1 310.331 1.611 20 0 DDADMM Cc1nc([C@H]2CCCCN2C(=O)N=c2cc(C)c(C)n[n-]2)n[nH]1 ZINC000338392188 409955395 /nfs/dbraw/zinc/95/53/95/409955395.db2.gz RUPBOJZUHAMBAI-GFCCVEGCSA-N -1 1 315.381 1.701 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(CCc3ccco3)no2)o1 ZINC000357340250 409980946 /nfs/dbraw/zinc/98/09/46/409980946.db2.gz MOFVZTAWSCGGEE-UHFFFAOYSA-N -1 1 323.330 1.616 20 0 DDADMM C[C@H](C1CCCCC1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000338341528 409927214 /nfs/dbraw/zinc/92/72/14/409927214.db2.gz GXQKNGGHSZAWQW-SNVBAGLBSA-N -1 1 303.366 1.458 20 0 DDADMM CCOC(=O)CCCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000338369712 409942016 /nfs/dbraw/zinc/94/20/16/409942016.db2.gz KGILZYHIIGTJFY-UHFFFAOYSA-N -1 1 317.345 1.717 20 0 DDADMM CCOC(=O)CCCNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000338369712 409942023 /nfs/dbraw/zinc/94/20/23/409942023.db2.gz KGILZYHIIGTJFY-UHFFFAOYSA-N -1 1 317.345 1.717 20 0 DDADMM O=S(=O)([N-]c1ncc(C(F)(F)F)[nH]1)c1cncc(F)c1 ZINC000357386439 410007474 /nfs/dbraw/zinc/00/74/74/410007474.db2.gz JSJKXCPWTDTWMW-UHFFFAOYSA-N -1 1 310.232 1.763 20 0 DDADMM CSc1ccsc1C(=O)Nc1n[n-]c(S(C)(=O)=O)n1 ZINC000354724526 410043197 /nfs/dbraw/zinc/04/31/97/410043197.db2.gz HJBBIOOKFQCATO-UHFFFAOYSA-N -1 1 318.405 1.244 20 0 DDADMM CSc1ccsc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000354724526 410043201 /nfs/dbraw/zinc/04/32/01/410043201.db2.gz HJBBIOOKFQCATO-UHFFFAOYSA-N -1 1 318.405 1.244 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)Nc2nn[nH]c2C(=O)NC2CC2)C[C@@H]1C ZINC000297804414 410004739 /nfs/dbraw/zinc/00/47/39/410004739.db2.gz SPTJNIVCNVELHR-UTLUCORTSA-N -1 1 305.382 1.708 20 0 DDADMM Cc1ccnn1-c1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354791002 410089234 /nfs/dbraw/zinc/08/92/34/410089234.db2.gz NDMPSRDQPGSMMA-UHFFFAOYSA-N -1 1 311.349 1.359 20 0 DDADMM CC(C)(NC(=O)[C@@H]1C[C@H]1c1c(F)cccc1F)c1nn[n-]n1 ZINC000354793871 410092355 /nfs/dbraw/zinc/09/23/55/410092355.db2.gz UDBUGFCRJHSHFZ-HTQZYQBOSA-N -1 1 307.304 1.633 20 0 DDADMM COc1ccc(F)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332382780 410114778 /nfs/dbraw/zinc/11/47/78/410114778.db2.gz XIEONXQWXOUSOL-SECBINFHSA-N -1 1 321.308 1.943 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCC1(C)CC1 ZINC000357593189 410124479 /nfs/dbraw/zinc/12/44/79/410124479.db2.gz BGVCMUONCITWDS-UHFFFAOYSA-N -1 1 302.378 1.901 20 0 DDADMM COc1ccc(-c2nnc(SCc3nc(=O)n(C)[n-]3)o2)cc1 ZINC000351652170 410134971 /nfs/dbraw/zinc/13/49/71/410134971.db2.gz RZLQQRULKWMUCC-UHFFFAOYSA-N -1 1 319.346 1.459 20 0 DDADMM COc1cc(NC(=O)c2cncc([O-])c2)cc(S(C)(=O)=O)c1 ZINC000343124739 410164706 /nfs/dbraw/zinc/16/47/06/410164706.db2.gz QVKXABPFVOOPHA-UHFFFAOYSA-N -1 1 322.342 1.452 20 0 DDADMM C[C@H]1[C@H](C)N(C(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)CCN1C ZINC000332456495 410172227 /nfs/dbraw/zinc/17/22/27/410172227.db2.gz NQWQMHCPIHZIFI-QWRGUYRKSA-N -1 1 316.361 1.195 20 0 DDADMM N=c1nc(N2CCN(Cc3ccc(Cl)cc3)CC2)s[n-]1 ZINC000332466619 410178877 /nfs/dbraw/zinc/17/88/77/410178877.db2.gz QUTZEQCDZLCGRH-UHFFFAOYSA-N -1 1 309.826 1.926 20 0 DDADMM C[C@@H]1CC(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@@H](C)O1 ZINC000343186803 410220876 /nfs/dbraw/zinc/22/08/76/410220876.db2.gz WJXRWMUUVINAAE-NXEZZACHSA-N -1 1 318.377 1.607 20 0 DDADMM N=c1[n-]nc(CCNC(=O)c2ccc(Nc3ccncc3)cc2)o1 ZINC000355078342 410296781 /nfs/dbraw/zinc/29/67/81/410296781.db2.gz ATVXZTUTCGBNKB-UHFFFAOYSA-N -1 1 324.344 1.015 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2ccc3c(c2)CCO3)[n-]n1 ZINC000357882807 410313305 /nfs/dbraw/zinc/31/33/05/410313305.db2.gz UAPREOZAAAJZCW-UHFFFAOYSA-N -1 1 312.373 1.238 20 0 DDADMM Cc1cccc(C)c1[C@@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000355176476 410359639 /nfs/dbraw/zinc/35/96/39/410359639.db2.gz ULRICCVODIHQFW-LLVKDONJSA-N -1 1 311.345 1.525 20 0 DDADMM Cn1[n-]c(CSc2cc3c(cc2Cl)OCCO3)nc1=O ZINC000329577451 410370098 /nfs/dbraw/zinc/37/00/98/410370098.db2.gz WBFKNXMTWIDXPH-UHFFFAOYSA-N -1 1 313.766 1.825 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)Cn2cccn2)sc1C ZINC000333187291 410412629 /nfs/dbraw/zinc/41/26/29/410412629.db2.gz UQWHHNOPGUBIBR-MRVPVSSYSA-N -1 1 300.409 1.323 20 0 DDADMM O=C(NCc1cccc(C(=O)NC2CC2)c1)c1cncc([O-])c1 ZINC000339830569 410489010 /nfs/dbraw/zinc/48/90/10/410489010.db2.gz XUUFJTPZSCNDFK-UHFFFAOYSA-N -1 1 311.341 1.609 20 0 DDADMM O=C(N[C@@H]1CCN(Cc2ccccc2)C1=O)c1cncc([O-])c1 ZINC000339829096 410485506 /nfs/dbraw/zinc/48/55/06/410485506.db2.gz OACNNFTWLSUJGU-OAHLLOKOSA-N -1 1 311.341 1.318 20 0 DDADMM CC(C)(C)n1cnc(=NC(=O)N2CCN3CCCC[C@H]3C2)[n-]1 ZINC000329753850 410433675 /nfs/dbraw/zinc/43/36/75/410433675.db2.gz KJJHVEDUJQZGET-LBPRGKRZSA-N -1 1 306.414 1.157 20 0 DDADMM C[C@H](NC(=O)c1cncc([O-])c1)c1ccc2c(c1)NC(=O)CO2 ZINC000339848405 410497254 /nfs/dbraw/zinc/49/72/54/410497254.db2.gz SNSDSBCBCUIOEB-VIFPVBQESA-N -1 1 313.313 1.609 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1ccc(Cl)cc1 ZINC000352130054 410501593 /nfs/dbraw/zinc/50/15/93/410501593.db2.gz WFDQFJXMEJTDBD-UHFFFAOYSA-N -1 1 309.709 1.480 20 0 DDADMM CCN(Cc1nc(=O)n(C)[n-]1)Cc1ccc(OC)c(OC)c1 ZINC000347374804 410504369 /nfs/dbraw/zinc/50/43/69/410504369.db2.gz BAOLTQJBSPMKLY-UHFFFAOYSA-N -1 1 306.366 1.148 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cncc([O-])c1)c1cccc(O)c1 ZINC000339935375 410562347 /nfs/dbraw/zinc/56/23/47/410562347.db2.gz RQVVQHCNSTXCMI-ZDUSSCGKSA-N -1 1 302.286 1.137 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)Oc1ccccc1F)c1nn[n-]n1 ZINC000343716335 410643004 /nfs/dbraw/zinc/64/30/04/410643004.db2.gz CPTFRFXOGQWNDY-ONGXEEELSA-N -1 1 307.329 1.764 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC1(C(F)F)CC1 ZINC000337266753 410682004 /nfs/dbraw/zinc/68/20/04/410682004.db2.gz VSDPHCBJRUMOQO-UHFFFAOYSA-N -1 1 310.304 1.508 20 0 DDADMM CC[C@H](C)OCC(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000359675093 410809853 /nfs/dbraw/zinc/80/98/53/410809853.db2.gz CVIHHFQRYSCRHT-VIFPVBQESA-N -1 1 316.379 1.054 20 0 DDADMM CN(C)c1cc(C(F)(F)F)nc(=NOC[C@H]2CCOC2)[n-]1 ZINC000302739642 410855565 /nfs/dbraw/zinc/85/55/65/410855565.db2.gz CFEQXKPOVGAHQG-QMMMGPOBSA-N -1 1 306.288 1.941 20 0 DDADMM C[C@@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000343987969 410867352 /nfs/dbraw/zinc/86/73/52/410867352.db2.gz YFJRJHYHJZGIRI-MADCSZMMSA-N -1 1 309.309 1.950 20 0 DDADMM CC1(C)CN(CCNC(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000348259166 410881338 /nfs/dbraw/zinc/88/13/38/410881338.db2.gz BLBGALUGXKDWAM-UHFFFAOYSA-N -1 1 314.332 1.511 20 0 DDADMM Cc1cccc(OCCCC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000348272801 410889833 /nfs/dbraw/zinc/88/98/33/410889833.db2.gz CQQOUUDPKJSBMU-UHFFFAOYSA-N -1 1 301.350 1.473 20 0 DDADMM CC(C)CNC(=O)[C@@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000330882664 410902415 /nfs/dbraw/zinc/90/24/15/410902415.db2.gz OGLVUBMILARIKF-JTQLQIEISA-N -1 1 309.391 1.075 20 0 DDADMM COC[C@@H](C)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000353412226 410969306 /nfs/dbraw/zinc/96/93/06/410969306.db2.gz LEVMOUIRKQBXQD-ZETCQYMHSA-N -1 1 312.185 1.603 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCOC1)c1ccc(Br)o1 ZINC000341616992 411051122 /nfs/dbraw/zinc/05/11/22/411051122.db2.gz DFDBNTAXWIZVGD-SSDOTTSWSA-N -1 1 310.169 1.499 20 0 DDADMM CCCc1nc(=Nc2ccc3[nH]nc(C(N)=O)c3c2)s[n-]1 ZINC000360066914 411028461 /nfs/dbraw/zinc/02/84/61/411028461.db2.gz SZUPJBWTUMRXJC-UHFFFAOYSA-N -1 1 302.363 1.631 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N2CCC[C@H](C(N)=O)C2)n1 ZINC000331243183 411038385 /nfs/dbraw/zinc/03/83/85/411038385.db2.gz YRJPCKQTMBPGKS-VIFPVBQESA-N -1 1 324.406 1.611 20 0 DDADMM CSc1n[nH]c([N-]S(=O)(=O)Cc2c(F)cccc2F)n1 ZINC000580032794 422874162 /nfs/dbraw/zinc/87/41/62/422874162.db2.gz RTMSIAGEIMXSSX-UHFFFAOYSA-N -1 1 320.346 1.747 20 0 DDADMM Cc1ccsc1[C@H](CO)[N-]S(=O)(=O)c1cscn1 ZINC000580069712 422883206 /nfs/dbraw/zinc/88/32/06/422883206.db2.gz TYGQZNZXRUZAHU-QMMMGPOBSA-N -1 1 304.418 1.525 20 0 DDADMM CCN(Cc1ccc(OC)cc1)C(=O)CCCc1nn[n-]n1 ZINC000635234159 422891103 /nfs/dbraw/zinc/89/11/03/422891103.db2.gz MCEVCJJBRFVRNL-UHFFFAOYSA-N -1 1 303.366 1.580 20 0 DDADMM Cc1cccc2c1O[C@H](C(=O)N1CCC[C@H](c3nn[n-]n3)C1)C2 ZINC000580397028 422925295 /nfs/dbraw/zinc/92/52/95/422925295.db2.gz XIXNOXDUMCDZPK-STQMWFEESA-N -1 1 313.361 1.218 20 0 DDADMM CC1(C)[C@@H](NC(=O)c2ccccc2O)C[C@@H]1[NH+]1CCOCC1 ZINC000640528016 423013989 /nfs/dbraw/zinc/01/39/89/423013989.db2.gz VQUSLFOBWJDWSC-GJZGRUSLSA-N -1 1 304.390 1.621 20 0 DDADMM Cc1nc(C)c(CCC(=O)N2[C@H](C)C[N@@H+](C)[C@H](C)[C@@H]2C)c(=O)[nH]1 ZINC000650147605 423061874 /nfs/dbraw/zinc/06/18/74/423061874.db2.gz ZAVFFUFRIHRPAW-RTXFEEFZSA-N -1 1 320.437 1.671 20 0 DDADMM CC(C)CCn1ccc([N-]S(=O)(=O)N2CCCOCC2)n1 ZINC000650188922 423079110 /nfs/dbraw/zinc/07/91/10/423079110.db2.gz MSGVLUVSKHQCQS-UHFFFAOYSA-N -1 1 316.427 1.308 20 0 DDADMM Cc1c(CN[C@@]2(C(=O)[O-])CCOc3ccccc32)cnn1C ZINC000417569664 225366336 /nfs/dbraw/zinc/36/63/36/225366336.db2.gz QWBNNOBGYCGKJF-INIZCTEOSA-N -1 1 301.346 1.581 20 0 DDADMM COCCn1cc([N-]S(=O)(=O)[C@@H]2CCCC[C@@H]2OC)cn1 ZINC000647922083 423117300 /nfs/dbraw/zinc/11/73/00/423117300.db2.gz DPOGOSBWAUHOSE-QWHCGFSZSA-N -1 1 317.411 1.229 20 0 DDADMM CCc1cc(C(=O)NCc2cc(=O)[n-]c(SC)n2)n(C)n1 ZINC000640654734 423115384 /nfs/dbraw/zinc/11/53/84/423115384.db2.gz XNPJIRQHLZUDQG-UHFFFAOYSA-N -1 1 307.379 1.130 20 0 DDADMM CSc1nc(CNC(=O)CCCC(=O)C2CC2)cc(=O)[n-]1 ZINC000640655016 423116039 /nfs/dbraw/zinc/11/60/39/423116039.db2.gz HTPKFJWSDDPCRV-UHFFFAOYSA-N -1 1 309.391 1.670 20 0 DDADMM CSc1nc(CNC(=O)CCC(C)(C)C(C)=O)cc(=O)[n-]1 ZINC000640656839 423118329 /nfs/dbraw/zinc/11/83/29/423118329.db2.gz VABPRGZYYXINAI-UHFFFAOYSA-N -1 1 311.407 1.916 20 0 DDADMM CSc1nc(CNC(=O)CCc2nccs2)cc(=O)[n-]1 ZINC000640657598 423119083 /nfs/dbraw/zinc/11/90/83/423119083.db2.gz HNRCLOOPLIMQRM-UHFFFAOYSA-N -1 1 310.404 1.610 20 0 DDADMM CCC[C@@H](C)NC(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000047327913 418455970 /nfs/dbraw/zinc/45/59/70/418455970.db2.gz DVLUVRJRLHJDDA-LLVKDONJSA-N -1 1 314.389 1.988 20 0 DDADMM CSc1ccccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000367110547 418560429 /nfs/dbraw/zinc/56/04/29/418560429.db2.gz NTTBRDMTLYIKCY-JTQLQIEISA-N -1 1 303.391 1.941 20 0 DDADMM Cc1oc(C(C)C)cc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000367522080 418607912 /nfs/dbraw/zinc/60/79/12/418607912.db2.gz BFLKLRNTHUJIDF-GFCCVEGCSA-N -1 1 305.338 1.438 20 0 DDADMM NC(=O)[C@@H]1c2ccccc2CCN1C(=O)c1cc(F)ccc1[O-] ZINC000367528992 418608921 /nfs/dbraw/zinc/60/89/21/418608921.db2.gz LGWQXWHFWVWYLA-HNNXBMFYSA-N -1 1 314.316 1.756 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@@H](Oc2ccccc2)C1 ZINC000368133931 418694346 /nfs/dbraw/zinc/69/43/46/418694346.db2.gz WSFQNAWOMQQDCU-GFCCVEGCSA-N -1 1 323.374 1.238 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(C2CC2)C1)c1c(F)cccc1Cl ZINC000361716467 418700743 /nfs/dbraw/zinc/70/07/43/418700743.db2.gz XOCCQAXJYMGCAX-VIFPVBQESA-N -1 1 318.801 1.994 20 0 DDADMM CNC(=O)c1ccc(NC(=O)c2csc(=NC3CC3)[n-]2)cc1 ZINC000354032263 306769352 /nfs/dbraw/zinc/76/93/52/306769352.db2.gz XQBRTMSQLXOKNC-UHFFFAOYSA-N -1 1 316.386 1.751 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N2CCO[C@H](c3nn[n-]n3)C2)CC(C)(C)C1 ZINC000365009448 418831907 /nfs/dbraw/zinc/83/19/07/418831907.db2.gz FNJPRSIEYQFEAR-UTUOFQBUSA-N -1 1 307.398 1.562 20 0 DDADMM Cc1ccnc(C2CCN(Cc3cc(=O)n4[n-]ccc4n3)CC2)n1 ZINC000371828876 418820403 /nfs/dbraw/zinc/82/04/03/418820403.db2.gz NJZZQCNQMNYKLG-UHFFFAOYSA-N -1 1 324.388 1.501 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)N3CC[C@H](n4cncn4)C3)c[nH]c12 ZINC000372470564 418873993 /nfs/dbraw/zinc/87/39/93/418873993.db2.gz DFZOXRTYWOPJGI-LBPRGKRZSA-N -1 1 323.356 1.515 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(F)ccc2[O-])CC2(CCOCC2)O1 ZINC000365554073 418875796 /nfs/dbraw/zinc/87/57/96/418875796.db2.gz CSQYPLPJDZMAED-NSHDSACASA-N -1 1 309.337 1.941 20 0 DDADMM C[C@@H]1Oc2ccccc2[C@H]1NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000365659112 418890418 /nfs/dbraw/zinc/89/04/18/418890418.db2.gz AJFVBRZNDZXCDP-XPTSAGLGSA-N -1 1 324.340 1.926 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CC[C@@H](O)C2)c(F)c1 ZINC000425182411 228383712 /nfs/dbraw/zinc/38/37/12/228383712.db2.gz YYIGSKXZLNXRHL-VHSXEESVSA-N -1 1 305.346 1.713 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](CO)CC(C)C)c(F)c1 ZINC000425198256 228388358 /nfs/dbraw/zinc/38/83/58/228388358.db2.gz HKCSNXKMVUZTSG-SNVBAGLBSA-N -1 1 307.362 1.959 20 0 DDADMM CCCc1csc(CN2CCN(c3nc(=N)[n-]s3)CC2)n1 ZINC000426403969 419459890 /nfs/dbraw/zinc/45/98/90/419459890.db2.gz YRHHWYVWIBMCSX-UHFFFAOYSA-N -1 1 324.479 1.682 20 0 DDADMM COC1CCC(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000426653507 419523787 /nfs/dbraw/zinc/52/37/87/419523787.db2.gz CKCPGRUBNIRTAJ-UHFFFAOYSA-N -1 1 315.373 1.858 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-]c1ccc(C(N)=O)cc1 ZINC000421135820 419498591 /nfs/dbraw/zinc/49/85/91/419498591.db2.gz BWFHFIPOBCFHQF-CYBMUJFWSA-N -1 1 314.407 1.588 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(C(=O)NC)cc1)OC ZINC000421141063 419500693 /nfs/dbraw/zinc/50/06/93/419500693.db2.gz OAVNEYRUACTIKS-GFCCVEGCSA-N -1 1 300.380 1.213 20 0 DDADMM Cc1nc(Cn2cc(C(=O)Nc3ccc(F)cc3[O-])nn2)no1 ZINC000426991503 419577221 /nfs/dbraw/zinc/57/72/21/419577221.db2.gz QMSMXFPDRSBQCK-UHFFFAOYSA-N -1 1 318.268 1.115 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCOC1 ZINC000354487811 306776714 /nfs/dbraw/zinc/77/67/14/306776714.db2.gz NUCSOZHNJYYSRL-LBPRGKRZSA-N -1 1 309.309 1.809 20 0 DDADMM C[C@H](CCCC(F)(F)F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000427974408 419777979 /nfs/dbraw/zinc/77/79/79/419777979.db2.gz SHLIQRSTGPFIRF-SSDOTTSWSA-N -1 1 317.271 1.269 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccc(-n3cnnn3)cc2)cn1 ZINC000431331878 229023616 /nfs/dbraw/zinc/02/36/16/229023616.db2.gz JVWVQGDCGCQBBJ-UHFFFAOYSA-N -1 1 316.346 1.167 20 0 DDADMM NC(=O)c1csc(=NCc2ccnc(OC3CCC3)c2)[n-]1 ZINC000432336571 229096658 /nfs/dbraw/zinc/09/66/58/229096658.db2.gz QORGGSKAWKLXHL-UHFFFAOYSA-N -1 1 304.375 1.602 20 0 DDADMM Cn1c2ccccc2c(Cl)c1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000430347177 420110480 /nfs/dbraw/zinc/11/04/80/420110480.db2.gz WJCXKHLQAVRAQS-UHFFFAOYSA-N -1 1 316.752 1.764 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(N3CCC3)nc2)n1 ZINC000415631600 420129175 /nfs/dbraw/zinc/12/91/75/420129175.db2.gz QWTBMBCNENDKRQ-UHFFFAOYSA-N -1 1 315.333 1.444 20 0 DDADMM CC(C)OCc1ccccc1CNC(=O)CN(C)CCC(=O)[O-] ZINC000430666105 420174805 /nfs/dbraw/zinc/17/48/05/420174805.db2.gz SKYVYKSSAYCNHX-UHFFFAOYSA-N -1 1 322.405 1.634 20 0 DDADMM CN(CCCC(=O)[O-])Cc1nc(-c2ccc3c(c2)OCO3)no1 ZINC000430675973 420175836 /nfs/dbraw/zinc/17/58/36/420175836.db2.gz GXUXJNATOHGWTN-UHFFFAOYSA-N -1 1 319.317 1.762 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCC[C@H](C)[C@H]1C ZINC000416229291 420287291 /nfs/dbraw/zinc/28/72/91/420287291.db2.gz UTSHIHJCPOPTIO-AEJSXWLSSA-N -1 1 309.366 1.699 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cc(C(N)=O)cs2)c1 ZINC000436503106 420334143 /nfs/dbraw/zinc/33/41/43/420334143.db2.gz JGCRUHPOAQCJOF-UHFFFAOYSA-N -1 1 320.326 1.592 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cccnc2OC)c1 ZINC000436505462 420334925 /nfs/dbraw/zinc/33/49/25/420334925.db2.gz NZSVACNSQWUARK-UHFFFAOYSA-N -1 1 302.286 1.835 20 0 DDADMM C[C@@H](Oc1ccccn1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425292685 420341837 /nfs/dbraw/zinc/34/18/37/420341837.db2.gz AQWRKQDTEQZVAV-GHMZBOCLSA-N -1 1 318.333 1.344 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC(C)(C)c1ccccc1F ZINC000416240220 420291288 /nfs/dbraw/zinc/29/12/88/420291288.db2.gz MMNCVBNAWXDZLX-UHFFFAOYSA-N -1 1 321.308 1.701 20 0 DDADMM O=C(N[C@H](CO)c1cccc(F)c1)C(=O)c1ccc([O-])cc1 ZINC000436997965 420391157 /nfs/dbraw/zinc/39/11/57/420391157.db2.gz JKPHGULNDKHCGU-CQSZACIVSA-N -1 1 303.289 1.564 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CC[C@@H](C)O2)o1 ZINC000416536018 420409675 /nfs/dbraw/zinc/40/96/75/420409675.db2.gz OJRZKJISQYWYTL-NXEZZACHSA-N -1 1 317.363 1.302 20 0 DDADMM C[C@H]1CC[C@@H](C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)O1 ZINC000416537580 420410177 /nfs/dbraw/zinc/41/01/77/420410177.db2.gz UDPWEJGSARULBZ-YUMQZZPRSA-N -1 1 309.309 1.950 20 0 DDADMM COCC[C@]1([N-]S(=O)(=O)c2cc(F)ccc2F)CCOC1 ZINC000445076531 230156412 /nfs/dbraw/zinc/15/64/12/230156412.db2.gz HKMRWXUBHKKUAF-ZDUSSCGKSA-N -1 1 321.345 1.439 20 0 DDADMM CC[C@H]1CCCC[C@@H]1CN=c1ccc(C(=O)NCCO)n[n-]1 ZINC000450616547 420499256 /nfs/dbraw/zinc/49/92/56/420499256.db2.gz BKPGXVZUORFDJS-QWHCGFSZSA-N -1 1 306.410 1.249 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CCC[C@H](O)C1 ZINC000439260966 420502473 /nfs/dbraw/zinc/50/24/73/420502473.db2.gz RMKWRHAYXUTVFZ-NEPJUHHUSA-N -1 1 318.377 1.219 20 0 DDADMM O=C(CCc1ccc2ccccc2c1O)NC1(c2nn[n-]n2)CC1 ZINC000450956558 420569264 /nfs/dbraw/zinc/56/92/64/420569264.db2.gz FTOLOKYZTKIDKL-UHFFFAOYSA-N -1 1 323.356 1.797 20 0 DDADMM COC[C@@H]1CCCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000456964708 420577211 /nfs/dbraw/zinc/57/72/11/420577211.db2.gz NVGIOIAWYRFRTQ-JTQLQIEISA-N -1 1 304.350 1.315 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1ccc(OC)cn1)c1nn[n-]n1 ZINC000492552604 420590744 /nfs/dbraw/zinc/59/07/44/420590744.db2.gz PSQLEWIJGZZAPO-WAFBPQNNSA-N -1 1 302.338 1.274 20 0 DDADMM COc1ccc(OC)c(C=CC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000492759944 420654210 /nfs/dbraw/zinc/65/42/10/420654210.db2.gz BRBDPUQLPYXABC-ZZXKWVIFSA-N -1 1 315.333 1.036 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCCC2(F)F)o1 ZINC000452841977 420669088 /nfs/dbraw/zinc/66/90/88/420669088.db2.gz LGMKMGKGZHPRBF-MRVPVSSYSA-N -1 1 322.333 1.353 20 0 DDADMM O=C(N[C@H]([C@@H](CO)C1CC1)C1CC1)C(=O)c1ccc([O-])cc1 ZINC000452972124 420691808 /nfs/dbraw/zinc/69/18/08/420691808.db2.gz YXXFHMBLJNEUNZ-GJZGRUSLSA-N -1 1 303.358 1.488 20 0 DDADMM COc1cc(C(=O)N[C@@H](CO)CCSC)cc(Cl)c1[O-] ZINC000442671291 420697833 /nfs/dbraw/zinc/69/78/33/420697833.db2.gz LRNOIBDYDZMGJL-SECBINFHSA-N -1 1 319.810 1.898 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccncc1Oc1ccccc1 ZINC000442739992 420706030 /nfs/dbraw/zinc/70/60/30/420706030.db2.gz GOLOBJJIZUGNHO-UHFFFAOYSA-N -1 1 322.328 1.806 20 0 DDADMM CCOC[C@@H](O)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442792883 420716390 /nfs/dbraw/zinc/71/63/90/420716390.db2.gz ADHBPEVIUIQSDR-VIFPVBQESA-N -1 1 303.742 1.181 20 0 DDADMM CCc1ccc(C=CC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)o1 ZINC000492969932 420724376 /nfs/dbraw/zinc/72/43/76/420724376.db2.gz CIQNIDFNCAFOGK-SREVYHEPSA-N -1 1 310.335 1.016 20 0 DDADMM CCc1ccc(C=CC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)o1 ZINC000492969932 420724381 /nfs/dbraw/zinc/72/43/81/420724381.db2.gz CIQNIDFNCAFOGK-SREVYHEPSA-N -1 1 310.335 1.016 20 0 DDADMM O=C(C=Cc1ccccc1-n1cccn1)NC1(c2nn[n-]n2)CC1 ZINC000493346823 420825207 /nfs/dbraw/zinc/82/52/07/420825207.db2.gz BIFDXCCXUUUHJP-VOTSOKGWSA-N -1 1 321.344 1.204 20 0 DDADMM O=C(NCCCC1CCOCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000454258445 420825937 /nfs/dbraw/zinc/82/59/37/420825937.db2.gz CEPGCJGMCNGCSH-UHFFFAOYSA-N -1 1 315.373 1.860 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1Cc2cccc(C)c2O1)c1nn[n-]n1 ZINC000450123584 421146038 /nfs/dbraw/zinc/14/60/38/421146038.db2.gz YVWIDDIBAOTOBG-RYUDHWBXSA-N -1 1 301.350 1.469 20 0 DDADMM O=C(N[C@@H]1CC[C@H]2CCC[C@@H]2C1)c1cc(=O)n2[n-]cnc2n1 ZINC000544744698 421229078 /nfs/dbraw/zinc/22/90/78/421229078.db2.gz UTIFOOLXTVEIRV-GMTAPVOTSA-N -1 1 301.350 1.116 20 0 DDADMM Cc1cc(C)c(C(=O)N=c2ccc([O-])n[nH]2)c(OC2CCC2)n1 ZINC000525828881 421306158 /nfs/dbraw/zinc/30/61/58/421306158.db2.gz TVOBEGVUWMTZHJ-UHFFFAOYSA-N -1 1 314.345 1.800 20 0 DDADMM Cc1cc(C)c(C(=O)N=c2ccc(O)n[n-]2)c(OC2CCC2)n1 ZINC000525828881 421306160 /nfs/dbraw/zinc/30/61/60/421306160.db2.gz TVOBEGVUWMTZHJ-UHFFFAOYSA-N -1 1 314.345 1.800 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@@H](NC(=O)c2c([O-])cccc2F)C1 ZINC000547476739 421335948 /nfs/dbraw/zinc/33/59/48/421335948.db2.gz GRTSEYZWUOVBBV-NXEZZACHSA-N -1 1 315.366 1.617 20 0 DDADMM O=C(c1c[nH]c(=O)c2ccccc12)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000527050828 421351306 /nfs/dbraw/zinc/35/13/06/421351306.db2.gz UMHNDLGMDWJMGK-JTQLQIEISA-N -1 1 324.344 1.473 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)c2ccn[nH]2)n1 ZINC000547738911 421362399 /nfs/dbraw/zinc/36/23/99/421362399.db2.gz HFVUDYJDBZRALE-JTQLQIEISA-N -1 1 320.353 1.222 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCCC[C@@H]2N2CCOCC2)c([O-])c1 ZINC000548312363 421421385 /nfs/dbraw/zinc/42/13/85/421421385.db2.gz VOMWVDVPWDQDBI-KBPBESRZSA-N -1 1 319.405 1.469 20 0 DDADMM CC(C)[C@H]1CC[C@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CC1 ZINC000512804481 421432168 /nfs/dbraw/zinc/43/21/68/421432168.db2.gz PDGJVSATVJUMAP-MGCOHNPYSA-N -1 1 314.411 1.609 20 0 DDADMM CC(C)[C@H]1CC[C@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CC1 ZINC000512804481 421432170 /nfs/dbraw/zinc/43/21/70/421432170.db2.gz PDGJVSATVJUMAP-MGCOHNPYSA-N -1 1 314.411 1.609 20 0 DDADMM O=C(c1cc(=O)n2nc[n-]c2n1)N1Cc2ccccc2C2(CC2)C1 ZINC000548501666 421445472 /nfs/dbraw/zinc/44/54/72/421445472.db2.gz RDDXIUAMZZNGRS-UHFFFAOYSA-N -1 1 321.340 1.105 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@](C)(C(=O)[O-])C3)c[n-]c2[nH+]1 ZINC000563958312 421561040 /nfs/dbraw/zinc/56/10/40/421561040.db2.gz URMTZZYSJPNIIV-MRXNPFEDSA-N -1 1 315.329 1.581 20 0 DDADMM O=C(c1cccc(F)c1)N1CCN(Cc2ncccc2[O-])CC1 ZINC000563778925 421542028 /nfs/dbraw/zinc/54/20/28/421542028.db2.gz BIRREAGSZWPZEZ-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)c2cn(C)nn2)c1 ZINC000554882962 421664390 /nfs/dbraw/zinc/66/43/90/421664390.db2.gz GGRIXMCMKUGSNH-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM C[C@@H](O)[C@H]([N-]S(=O)(=O)c1cscn1)c1ccccc1F ZINC000519551762 421700894 /nfs/dbraw/zinc/70/08/94/421700894.db2.gz VLQNMKPFELIPCX-PELKAZGASA-N -1 1 316.379 1.683 20 0 DDADMM O=C(CCNC(=O)C(=O)c1ccc([O-])cc1)Nc1ccccn1 ZINC000558425355 421806381 /nfs/dbraw/zinc/80/63/81/421806381.db2.gz AXSVLFMZYUKFBE-UHFFFAOYSA-N -1 1 313.313 1.115 20 0 DDADMM C[C@@H](CCc1ccc2c(c1)OCO2)N=c1[nH]c(C(=O)[O-])co1 ZINC000572410262 421785557 /nfs/dbraw/zinc/78/55/57/421785557.db2.gz UVQSNHZHOZNPGI-VIFPVBQESA-N -1 1 304.302 1.957 20 0 DDADMM Cc1cnc(C(=O)N2CC[N@@H+]([C@@H]3C[C@H]3C)C[C@@H](C)C2)c([O-])c1 ZINC000558027370 421797108 /nfs/dbraw/zinc/79/71/08/421797108.db2.gz PCLGOFWPXGOCER-MGPQQGTHSA-N -1 1 303.406 1.898 20 0 DDADMM O=C([O-])COCCNCc1cccc(Br)c1F ZINC000635315652 421902739 /nfs/dbraw/zinc/90/27/39/421902739.db2.gz PSUKUPVLNAKBCO-UHFFFAOYSA-N -1 1 306.131 1.779 20 0 DDADMM CCc1nn(C)c(CC)c1CNCc1cc(C(=O)[O-])nn1C ZINC000635309586 421897681 /nfs/dbraw/zinc/89/76/81/421897681.db2.gz QKSQPZCHYGZADT-UHFFFAOYSA-N -1 1 305.382 1.266 20 0 DDADMM C[C@@H](CNC(=O)CCCc1nn[n-]n1)N1CCc2ccccc21 ZINC000635252693 421859973 /nfs/dbraw/zinc/85/99/73/421859973.db2.gz HJWBORAJNVMOJL-LBPRGKRZSA-N -1 1 314.393 1.090 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630221811 421972673 /nfs/dbraw/zinc/97/26/73/421972673.db2.gz CLUUJIKYVGSGEI-KGLIPLIRSA-N -1 1 314.426 1.445 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@@]1(C(=O)[O-])CCc2ccccc21 ZINC000630131583 421908194 /nfs/dbraw/zinc/90/81/94/421908194.db2.gz RGFNTYFLNHUBRO-DIFFPNOSSA-N -1 1 313.357 1.631 20 0 DDADMM CC[C@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])C[C@@H](C)O1 ZINC000631942379 421958832 /nfs/dbraw/zinc/95/88/32/421958832.db2.gz WLTACBNCEOEMNE-MNOVXSKESA-N -1 1 315.391 1.589 20 0 DDADMM CO[C@@H](C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)C1CCCC1 ZINC000630220020 421971256 /nfs/dbraw/zinc/97/12/56/421971256.db2.gz LCGANBJPDKWFEK-SWLSCSKDSA-N -1 1 312.410 1.199 20 0 DDADMM CCOc1ccccc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630223084 421972016 /nfs/dbraw/zinc/97/20/16/421972016.db2.gz HNNVZNAWSSWXJS-CYBMUJFWSA-N -1 1 320.389 1.706 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cc(C3CC3)on2)CC1 ZINC000630222393 421972574 /nfs/dbraw/zinc/97/25/74/421972574.db2.gz MUKJZNOTVNLIMI-UHFFFAOYSA-N -1 1 307.350 1.173 20 0 DDADMM CC(C)(C)c1n[n-]c(=NC(=O)N2C[C@H]3CCC[C@@H](C2)N3)s1 ZINC000573816029 422023144 /nfs/dbraw/zinc/02/31/44/422023144.db2.gz PFVCTLKTPVHORD-AOOOYVTPSA-N -1 1 309.439 1.616 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C[C@@H](C)OC)C(C)C)c1 ZINC000632021332 422026034 /nfs/dbraw/zinc/02/60/34/422026034.db2.gz FOZHEWFHHSWFCQ-LLVKDONJSA-N -1 1 317.407 1.835 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](CCc1ccccc1)C(F)F ZINC000633678729 422042946 /nfs/dbraw/zinc/04/29/46/422042946.db2.gz JGGXVGXWHFMLSF-NSHDSACASA-N -1 1 309.320 1.515 20 0 DDADMM CCN1C[C@H](CNC(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000633571792 421975431 /nfs/dbraw/zinc/97/54/31/421975431.db2.gz HBNADNRSLOIHIU-NSHDSACASA-N -1 1 310.781 1.573 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cc(Cl)cn2C)CC1 ZINC000630225363 421975870 /nfs/dbraw/zinc/97/58/70/421975870.db2.gz RCBXEOCRSINWSD-JTQLQIEISA-N -1 1 313.785 1.300 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCS[C@H](C)[C@@H]2C)c1 ZINC000631989481 422004068 /nfs/dbraw/zinc/00/40/68/422004068.db2.gz UQKOUSANVQZBCX-VHSXEESVSA-N -1 1 317.432 1.915 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@H]1c1ncon1 ZINC000573749721 422009529 /nfs/dbraw/zinc/00/95/29/422009529.db2.gz WMUOFZRDCCRLNB-JTQLQIEISA-N -1 1 305.363 1.500 20 0 DDADMM CCCCCc1cc(C(=O)N2C[C@H](OC)C[C@@]2(C)C(=O)[O-])n[nH]1 ZINC000630315218 422020104 /nfs/dbraw/zinc/02/01/04/422020104.db2.gz FOQWULBTZKKFEH-WBMJQRKESA-N -1 1 323.393 1.847 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2(CO)CCCCC2)sn1 ZINC000632081605 422072876 /nfs/dbraw/zinc/07/28/76/422072876.db2.gz MNJKXAHNHSOOHF-UHFFFAOYSA-N -1 1 304.437 1.673 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1C[C@@H]2CCCN2c2ccccc21 ZINC000635547140 422097709 /nfs/dbraw/zinc/09/77/09/422097709.db2.gz YKFFEIJOYGZKCA-LBPRGKRZSA-N -1 1 312.377 1.538 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)c2cc(O)cc(F)c2)C[C@H]1C(=O)[O-] ZINC000630444367 422106130 /nfs/dbraw/zinc/10/61/30/422106130.db2.gz DVWGAWHOPSJROH-QPUJVOFHSA-N -1 1 317.338 1.263 20 0 DDADMM CC[C@H](C[C@H](C)CO)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632053637 422052577 /nfs/dbraw/zinc/05/25/77/422052577.db2.gz XHLLRZYUHWRBKQ-WDEREUQCSA-N -1 1 317.407 1.476 20 0 DDADMM COc1ccccc1CC(C)(C)NC(=O)CCCc1nn[n-]n1 ZINC000635504512 422052715 /nfs/dbraw/zinc/05/27/15/422052715.db2.gz WZJNUSFYULINNL-UHFFFAOYSA-N -1 1 317.393 1.669 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](CO)c2ccccc2C)sn1 ZINC000632060266 422059006 /nfs/dbraw/zinc/05/90/06/422059006.db2.gz HNGRLSYVTTWKLH-LBPRGKRZSA-N -1 1 312.416 1.772 20 0 DDADMM COC[C@@]1(C)CN(C(=O)Cc2ccc([O-])c(Cl)c2)CCO1 ZINC000633700588 422059100 /nfs/dbraw/zinc/05/91/00/422059100.db2.gz YSTDOEWVGMNERU-OAHLLOKOSA-N -1 1 313.781 1.852 20 0 DDADMM C[C@@H]1CN(C(=O)CCCc2nn[n-]n2)c2ccc(F)cc2O1 ZINC000635609739 422163072 /nfs/dbraw/zinc/16/30/72/422163072.db2.gz MROWNAPPZSFQGL-SECBINFHSA-N -1 1 305.313 1.476 20 0 DDADMM CC[C@@H](NC(=O)[C@@H](N)c1ccc(C(F)(F)F)cc1)C(=O)[O-] ZINC000630570559 422186440 /nfs/dbraw/zinc/18/64/40/422186440.db2.gz BGLMBBYAPGCMNO-ZJUUUORDSA-N -1 1 304.268 1.685 20 0 DDADMM N[C@@H](C(=O)N1CC[C@H](C(=O)[O-])C1)c1ccc(C(F)(F)F)cc1 ZINC000630571434 422186461 /nfs/dbraw/zinc/18/64/61/422186461.db2.gz NDRDCUDCCDGSPY-GXSJLCMTSA-N -1 1 316.279 1.638 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)C[C@H]1CCC1(F)F)C(F)(F)F ZINC000632155451 422123846 /nfs/dbraw/zinc/12/38/46/422123846.db2.gz ZRAZXQSNFDPBDI-RNFRBKRXSA-N -1 1 311.272 1.264 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCO1 ZINC000632172200 422136757 /nfs/dbraw/zinc/13/67/57/422136757.db2.gz OHIJDEWXJXASMC-BQBZGAKWSA-N -1 1 313.301 1.274 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](C)O[C@@H](C)[C@@H]2C)c1 ZINC000632258831 422200582 /nfs/dbraw/zinc/20/05/82/422200582.db2.gz VTJNMJRYLRYCDH-DCAQKATOSA-N -1 1 315.391 1.587 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(OCC(F)F)cc1 ZINC000635647856 422203641 /nfs/dbraw/zinc/20/36/41/422203641.db2.gz KNAWGMIDTRBYHS-UHFFFAOYSA-N -1 1 311.292 1.805 20 0 DDADMM COc1cc(C(=O)NCC[C@@H](O)C(F)F)cc(Cl)c1[O-] ZINC000633993298 422206232 /nfs/dbraw/zinc/20/62/32/422206232.db2.gz LMJFWRKMGZBMEK-MRVPVSSYSA-N -1 1 309.696 1.800 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CCOC[C@H]2C)c1 ZINC000632278645 422216691 /nfs/dbraw/zinc/21/66/91/422216691.db2.gz WZOYDSPXHVOBFQ-KOLCDFICSA-N -1 1 301.364 1.104 20 0 DDADMM C[C@@H]1CCNC(=O)[C@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632305225 422237670 /nfs/dbraw/zinc/23/76/70/422237670.db2.gz OSUOHDRJJLWYQG-MUWHJKNJSA-N -1 1 308.306 1.020 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCO[C@@H](c2cccs2)C1 ZINC000635688278 422242642 /nfs/dbraw/zinc/24/26/42/422242642.db2.gz PTNZRMRNQXGEBA-SNVBAGLBSA-N -1 1 307.379 1.184 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)[C@H](C)OC)n[n-]1 ZINC000574855253 422195991 /nfs/dbraw/zinc/19/59/91/422195991.db2.gz ASWXSPJTERRADN-VHSXEESVSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)[C@H](C)OC)[n-]1 ZINC000574855253 422195996 /nfs/dbraw/zinc/19/59/96/422195996.db2.gz ASWXSPJTERRADN-VHSXEESVSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)[C@H](C)OC)n1 ZINC000574855253 422195998 /nfs/dbraw/zinc/19/59/98/422195998.db2.gz ASWXSPJTERRADN-VHSXEESVSA-N -1 1 312.370 1.220 20 0 DDADMM O=C(NC[C@@H]1CCCN1CC(F)F)c1ccc([O-])cc1F ZINC000628619061 422261037 /nfs/dbraw/zinc/26/10/37/422261037.db2.gz ZTBCBAQBIVEZIK-VIFPVBQESA-N -1 1 302.296 1.991 20 0 DDADMM COc1nc(NC[C@@H]2[N@@H+](C)CCCC2(C)C)ccc1C(=O)[O-] ZINC000575127946 422263539 /nfs/dbraw/zinc/26/35/39/422263539.db2.gz VRJDHZHJYGSGOG-LBPRGKRZSA-N -1 1 307.394 1.743 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC3(C2)CCOCC3)c1 ZINC000632361621 422275110 /nfs/dbraw/zinc/27/51/10/422275110.db2.gz GNJGNEZQERUHRC-UHFFFAOYSA-N -1 1 313.375 1.202 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)CC1(C)COC1 ZINC000628672295 422280808 /nfs/dbraw/zinc/28/08/08/422280808.db2.gz IGLMCYLKGFIKDU-UHFFFAOYSA-N -1 1 318.377 1.089 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(C2CCOCC2)CC1 ZINC000635729222 422287824 /nfs/dbraw/zinc/28/78/24/422287824.db2.gz KDGUXFSSEJFQTQ-UHFFFAOYSA-N -1 1 307.398 1.188 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(c2ccccn2)CC1 ZINC000635733077 422292107 /nfs/dbraw/zinc/29/21/07/422292107.db2.gz PJAZKSBQDRPPJG-UHFFFAOYSA-N -1 1 300.366 1.324 20 0 DDADMM Cc1cc(C[C@H](C)[N-]S(=O)(=O)c2nc(C)c(C)s2)[nH]n1 ZINC000632394260 422303192 /nfs/dbraw/zinc/30/31/92/422303192.db2.gz MIXTZFOXXJDRBQ-QMMMGPOBSA-N -1 1 314.436 1.701 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccc(F)cc1C(F)(F)F ZINC000630742152 422308758 /nfs/dbraw/zinc/30/87/58/422308758.db2.gz INVFBFQTQOUXSS-UHFFFAOYSA-N -1 1 317.246 1.607 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCC[C@@H]2CCOC2)c1 ZINC000632424677 422332573 /nfs/dbraw/zinc/33/25/73/422332573.db2.gz TVKLNTAQVYAIML-LLVKDONJSA-N -1 1 315.391 1.496 20 0 DDADMM COC[C@@H]1CCCCN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632427380 422333406 /nfs/dbraw/zinc/33/34/06/422333406.db2.gz FBLHWUGAVDJLJX-NSHDSACASA-N -1 1 315.391 1.590 20 0 DDADMM O=C([N-]c1nnc(C(F)(F)F)s1)c1csc(CO)n1 ZINC000630800737 422342892 /nfs/dbraw/zinc/34/28/92/422342892.db2.gz KIDNTCCOCOOLTL-UHFFFAOYSA-N -1 1 310.282 1.758 20 0 DDADMM Cc1cccc(C)c1OC[C@@H](C)NC(=O)CCc1nn[n-]n1 ZINC000630818932 422354525 /nfs/dbraw/zinc/35/45/25/422354525.db2.gz LSTGNXLKTZKPOA-GFCCVEGCSA-N -1 1 303.366 1.333 20 0 DDADMM C[C@@H]1CCN(CC(=O)NC(=O)Nc2ccccc2)C[C@@H]1C(=O)[O-] ZINC000575748304 422319735 /nfs/dbraw/zinc/31/97/35/422319735.db2.gz BLCSCJYVVBQSCK-YPMHNXCESA-N -1 1 319.361 1.377 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCC[C@H](C)O2)c1 ZINC000632557865 422434870 /nfs/dbraw/zinc/43/48/70/422434870.db2.gz CIEFFQMUHIKDIR-JQWIXIFHSA-N -1 1 315.391 1.637 20 0 DDADMM CO[C@H]1C[C@@H](C(=O)[O-])N(Cc2ccc(-n3ccnc3)cc2)C1 ZINC000577856731 422441366 /nfs/dbraw/zinc/44/13/66/422441366.db2.gz GVNRPIYSDKXBFF-GJZGRUSLSA-N -1 1 301.346 1.546 20 0 DDADMM Cn1cc(CCNC(=O)CCc2nn[n-]n2)c2ccc(F)cc21 ZINC000632520110 422407426 /nfs/dbraw/zinc/40/74/26/422407426.db2.gz ARJMUHYVDHZXKU-UHFFFAOYSA-N -1 1 316.340 1.122 20 0 DDADMM O=C(CCc1nn[n-]n1)NC1(c2ccc(F)cc2)CCCC1 ZINC000630908848 422409151 /nfs/dbraw/zinc/40/91/51/422409151.db2.gz QMVPJNGMMTXFBJ-UHFFFAOYSA-N -1 1 303.341 1.857 20 0 DDADMM CC(C)n1cc(C[N-]S(=O)(=O)c2cc(F)ccc2F)nn1 ZINC000632529615 422414214 /nfs/dbraw/zinc/41/42/14/422414214.db2.gz RZYHSAHNVYMHAJ-UHFFFAOYSA-N -1 1 316.333 1.616 20 0 DDADMM O=S(=O)([N-]CCO[C@H]1CC1(F)F)c1ccc(C(F)F)o1 ZINC000632553340 422432008 /nfs/dbraw/zinc/43/20/08/422432008.db2.gz KIEKTNRMTVUXCE-ZETCQYMHSA-N -1 1 317.260 1.920 20 0 DDADMM O=C(NC[C@@H]1CCCCN1CCO)c1s[n-]c(=O)c1Cl ZINC000578152270 422512362 /nfs/dbraw/zinc/51/23/62/422512362.db2.gz ILTJFTHFLJKZFV-QMMMGPOBSA-N -1 1 319.814 1.079 20 0 DDADMM CC(C)(C)c1cccc2c1OCCN2C(=O)CCc1nn[n-]n1 ZINC000632676923 422514896 /nfs/dbraw/zinc/51/48/96/422514896.db2.gz QWARLSNUZARIQS-UHFFFAOYSA-N -1 1 315.377 1.855 20 0 DDADMM C[C@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)[C@@H](C(N)=O)C1 ZINC000632583516 422453305 /nfs/dbraw/zinc/45/33/05/422453305.db2.gz FWDYGDDRSIGINM-JOYOIKCWSA-N -1 1 310.781 1.701 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](CO)C[C@H]2C)c1 ZINC000632602212 422466342 /nfs/dbraw/zinc/46/63/42/422466342.db2.gz BWAWBNRPTQXGBV-GHMZBOCLSA-N -1 1 315.391 1.182 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H](C)C(=O)[C@H](C)C3)cnc2n1 ZINC000622160208 422537887 /nfs/dbraw/zinc/53/78/87/422537887.db2.gz NUPDTLDYPYQOFB-NXEZZACHSA-N -1 1 313.357 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1C[C@@H](C)C(=O)[C@H](C)C1)c2=O ZINC000622160208 422537891 /nfs/dbraw/zinc/53/78/91/422537891.db2.gz NUPDTLDYPYQOFB-NXEZZACHSA-N -1 1 313.357 1.941 20 0 DDADMM O=C([O-])C12CCC(CC1)N2CCS(=O)(=O)c1ccccc1 ZINC000634696110 422559352 /nfs/dbraw/zinc/55/93/52/422559352.db2.gz KKINCFFHMRQCMV-UHFFFAOYSA-N -1 1 309.387 1.542 20 0 DDADMM CCC(F)(F)C(C)(C)C[N-]S(=O)(=O)c1c(C)onc1N ZINC000625494295 422561084 /nfs/dbraw/zinc/56/10/84/422561084.db2.gz ZEIYYLANIBCDBI-UHFFFAOYSA-N -1 1 311.354 1.915 20 0 DDADMM CNC(=O)[C@H]1CCCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000631226541 422615893 /nfs/dbraw/zinc/61/58/93/422615893.db2.gz GNOLCROACLNGRC-NSHDSACASA-N -1 1 310.781 1.573 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1c(C)nn(C)c1N1CCC1)OC ZINC000629353881 422629065 /nfs/dbraw/zinc/62/90/65/422629065.db2.gz XKGGKHRKOLRNQB-NSHDSACASA-N -1 1 316.427 1.105 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@@H+]2CC[C@H](C(=O)[O-])[C@H]3CCC[C@H]32)n1 ZINC000629376852 422639399 /nfs/dbraw/zinc/63/93/99/422639399.db2.gz JVOYQLLFJHLACJ-FRRDWIJNSA-N -1 1 305.378 1.820 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+]2CC[C@H](C(=O)[O-])[C@H]3CCC[C@H]32)n1 ZINC000629376852 422639402 /nfs/dbraw/zinc/63/94/02/422639402.db2.gz JVOYQLLFJHLACJ-FRRDWIJNSA-N -1 1 305.378 1.820 20 0 DDADMM CN(C)C(=O)C1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC1 ZINC000629380310 422640185 /nfs/dbraw/zinc/64/01/85/422640185.db2.gz LTFSPUUWZNDXNE-UHFFFAOYSA-N -1 1 314.345 1.385 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2ccc3c(c2)C(=O)NC3)CCC1 ZINC000634788140 422647498 /nfs/dbraw/zinc/64/74/98/422647498.db2.gz FMHZNBBSQCNPTC-UHFFFAOYSA-N -1 1 310.375 1.241 20 0 DDADMM O=C(CCc1nn[n-]n1)N1C[C@H](c2ccccc2)[C@H]2CCC[C@H]21 ZINC000633016044 422712226 /nfs/dbraw/zinc/71/22/26/422712226.db2.gz CLYFNNJDPOFYAB-RBSFLKMASA-N -1 1 311.389 1.927 20 0 DDADMM C[C@]1(c2cccc(Br)c2)C[C@H]1C(=O)Nc1nnn[n-]1 ZINC000631361778 422714343 /nfs/dbraw/zinc/71/43/43/422714343.db2.gz QFJVFOMRJUKTRQ-JOYOIKCWSA-N -1 1 322.166 1.879 20 0 DDADMM C[C@]1(c2cccc(Br)c2)C[C@H]1C(=O)Nc1nn[n-]n1 ZINC000631361778 422714346 /nfs/dbraw/zinc/71/43/46/422714346.db2.gz QFJVFOMRJUKTRQ-JOYOIKCWSA-N -1 1 322.166 1.879 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000636205890 422715558 /nfs/dbraw/zinc/71/55/58/422715558.db2.gz BVHKNZIPXBNDGW-OLZOCXBDSA-N -1 1 307.398 1.330 20 0 DDADMM C[C@@H]1SCCN(C(=O)CCc2nn[n-]n2)[C@@H]1c1ccccc1 ZINC000631379493 422729212 /nfs/dbraw/zinc/72/92/12/422729212.db2.gz UPHDFNUQBKUOBV-NHYWBVRUSA-N -1 1 317.418 1.838 20 0 DDADMM CCOCCN1CCN(c2ccc(C(=O)[O-])nn2)C[C@@H]1CC ZINC000578871058 422664990 /nfs/dbraw/zinc/66/49/90/422664990.db2.gz HFQGBAORZOXPGI-LBPRGKRZSA-N -1 1 308.382 1.112 20 0 DDADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-]c1cc(F)cc(N(C)C)c1 ZINC000650490891 423177482 /nfs/dbraw/zinc/17/74/82/423177482.db2.gz LPLTXSHBKSWKPS-SECBINFHSA-N -1 1 317.386 1.110 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC/C=C\c2cccnc2)o1 ZINC000646400911 423475590 /nfs/dbraw/zinc/47/55/90/423475590.db2.gz XEDATDBAHGWYGO-HYXAFXHYSA-N -1 1 321.358 1.026 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CN1c2ccccc2O[C@H](C)C1=O ZINC000646412829 423479421 /nfs/dbraw/zinc/47/94/21/423479421.db2.gz CDKYPWURTVRPHI-SECBINFHSA-N -1 1 316.317 1.176 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(=O)N(Cc2ccco2)C1 ZINC000646413439 423480436 /nfs/dbraw/zinc/48/04/36/423480436.db2.gz ZBEDFPOJPQKUOH-SECBINFHSA-N -1 1 304.306 1.004 20 0 DDADMM COC[C@](C)(O)C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000648928925 423546920 /nfs/dbraw/zinc/54/69/20/423546920.db2.gz HSPCFMRZDYQNTR-AWEZNQCLSA-N -1 1 311.288 1.113 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2)c1ccco1 ZINC000641366497 423585584 /nfs/dbraw/zinc/58/55/84/423585584.db2.gz ZNWFYFFBRDJRBG-DJIHRAIXSA-N -1 1 301.364 1.206 20 0 DDADMM CC1(C)[C@@H](CS(=O)(=O)[N-]Cc2noc(C3CC3)n2)C1(F)F ZINC000641401389 423611006 /nfs/dbraw/zinc/61/10/06/423611006.db2.gz CBVRKHBJKBZJNO-MRVPVSSYSA-N -1 1 321.349 1.658 20 0 DDADMM O=C(NC1(c2noc(C(F)F)n2)CCC1)c1ncccc1[O-] ZINC000646823242 423621954 /nfs/dbraw/zinc/62/19/54/423621954.db2.gz XSQMXGFZAXZWLL-UHFFFAOYSA-N -1 1 310.260 1.917 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)Cc2cc(OC)ns2)o1 ZINC000641430911 423631010 /nfs/dbraw/zinc/63/10/10/423631010.db2.gz PSBABOLWERRBFX-UHFFFAOYSA-N -1 1 317.392 1.322 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCO[C@@H]2CCCCO2)sc1C ZINC000641502869 423676126 /nfs/dbraw/zinc/67/61/26/423676126.db2.gz JJMWWEHIQDQTJN-LLVKDONJSA-N -1 1 320.436 1.581 20 0 DDADMM Cn1nccc1[C@@H](NCc1ccc(N2CCCC2)nc1)C(=O)[O-] ZINC000644598185 423718422 /nfs/dbraw/zinc/71/84/22/423718422.db2.gz HHQKBVBRBQTYPK-OAHLLOKOSA-N -1 1 315.377 1.331 20 0 DDADMM NC(=O)N1CC[C@H](C[N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000641596576 423743331 /nfs/dbraw/zinc/74/33/31/423743331.db2.gz YDSZULGSCKKCKP-SSDOTTSWSA-N -1 1 323.827 1.080 20 0 DDADMM CO[C@@H](CS(=O)(=O)Nc1ccc(C(=O)[O-])cc1O)C(C)C ZINC000647124684 423762539 /nfs/dbraw/zinc/76/25/39/423762539.db2.gz UGEFLMJIZZJGFE-LBPRGKRZSA-N -1 1 317.363 1.503 20 0 DDADMM COc1ccccc1[C@H](N)CNC(=O)[C@@]1(C(=O)[O-])CC=CCC1 ZINC000656854115 423794768 /nfs/dbraw/zinc/79/47/68/423794768.db2.gz SONPIKHTRXCCDX-CXAGYDPISA-N -1 1 318.373 1.622 20 0 DDADMM C[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1ncccc1Br ZINC000656876967 423827373 /nfs/dbraw/zinc/82/73/73/423827373.db2.gz NXFDRPJSOGFDBI-SFYZADRCSA-N -1 1 305.197 1.778 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644886845 424015539 /nfs/dbraw/zinc/01/55/39/424015539.db2.gz IQTQCTGPJLEODL-QMMMGPOBSA-N -1 1 319.365 1.625 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H]1OCc2ccccc21)c1nn[n-]n1 ZINC000654997846 424103799 /nfs/dbraw/zinc/10/37/99/424103799.db2.gz NXKXBOWTBTXCIQ-ZWNOBZJWSA-N -1 1 301.350 1.423 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C1(CO)CC1 ZINC000657102698 424110246 /nfs/dbraw/zinc/11/02/46/424110246.db2.gz PDJKCYVWKLLXRG-MRVPVSSYSA-N -1 1 307.774 1.918 20 0 DDADMM Cc1noc(-c2ccc([N-]S(=O)(=O)C[C@@H]3CCCO3)cn2)n1 ZINC000649677640 424130153 /nfs/dbraw/zinc/13/01/53/424130153.db2.gz MZJQZRADVFGABC-NSHDSACASA-N -1 1 324.362 1.361 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2cccc(Cl)c2F)nn1 ZINC000657121067 424133186 /nfs/dbraw/zinc/13/31/86/424133186.db2.gz IJDYTNUGRFWDBJ-UHFFFAOYSA-N -1 1 318.761 1.569 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H](OC)C2CC2)c(F)c1 ZINC000645102973 424159802 /nfs/dbraw/zinc/15/98/02/424159802.db2.gz VZFGDCKQVDRSDD-GFCCVEGCSA-N -1 1 321.345 1.677 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H](O)C12CCC2)c1cc(F)ccc1F ZINC000657185008 424196617 /nfs/dbraw/zinc/19/66/17/424196617.db2.gz PBFVDTHTOHYOHS-NEPJUHHUSA-N -1 1 303.330 1.547 20 0 DDADMM O=C(NCc1nc([C@@H]2CCCO2)n[nH]1)c1c([O-])cccc1F ZINC000655242196 424329980 /nfs/dbraw/zinc/32/99/80/424329980.db2.gz MZRQYXQDCMQGSU-JTQLQIEISA-N -1 1 306.297 1.431 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000640340303 424364833 /nfs/dbraw/zinc/36/48/33/424364833.db2.gz QWVPCLQAHIDQIA-MWLCHTKSSA-N -1 1 316.386 1.461 20 0 DDADMM COC1CCN(S(=O)(=O)[N-]CC(F)(F)C(C)(C)C)CC1 ZINC000660104923 424529489 /nfs/dbraw/zinc/52/94/89/424529489.db2.gz UKYMRDRVRBULRV-UHFFFAOYSA-N -1 1 314.398 1.613 20 0 DDADMM Cn1[n-]c(C(=O)NC[C@@H](c2ccco2)N2CCCCC2)cc1=O ZINC000665314544 424781139 /nfs/dbraw/zinc/78/11/39/424781139.db2.gz KBRBYSOSTWHXTI-ZDUSSCGKSA-N -1 1 318.377 1.676 20 0 DDADMM CC[C@H](C)N1CCN(C(=O)NC[C@@H](C(=O)[O-])C2CCC2)CC1 ZINC000665318217 424781804 /nfs/dbraw/zinc/78/18/04/424781804.db2.gz RQTZSQWSAYYEBV-GXTWGEPZSA-N -1 1 311.426 1.613 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCC1CC1)c1ccsc1 ZINC000345586561 272285136 /nfs/dbraw/zinc/28/51/36/272285136.db2.gz OJWSWGRVSCUJRS-NSHDSACASA-N -1 1 303.405 1.682 20 0 DDADMM C[C@@H](NC(=O)c1cc(F)ccc1[O-])C(=O)NCc1ccco1 ZINC000081957914 281012835 /nfs/dbraw/zinc/01/28/35/281012835.db2.gz ZEOISFXZFBLVJO-SECBINFHSA-N -1 1 306.293 1.559 20 0 DDADMM CCS(=O)(=O)NCCCNC(=O)c1ccc(Cl)cc1[O-] ZINC000126625031 281247527 /nfs/dbraw/zinc/24/75/27/281247527.db2.gz PGNOHDYQTUAZRY-UHFFFAOYSA-N -1 1 320.798 1.105 20 0 DDADMM CNC(=O)c1cccc(CNC(=O)c2c([O-])cccc2F)c1 ZINC000126921820 281257155 /nfs/dbraw/zinc/25/71/55/281257155.db2.gz OSEUUQRUFQYBKV-UHFFFAOYSA-N -1 1 302.305 1.821 20 0 DDADMM O=C(Nc1ccc2nc(-c3ccco3)[nH]c2c1)c1nc(=O)[nH][n-]1 ZINC000130900427 281397726 /nfs/dbraw/zinc/39/77/26/281397726.db2.gz MQMMBVRTDYYWDL-UHFFFAOYSA-N -1 1 310.273 1.487 20 0 DDADMM CO[C@H](C)c1nsc(=N[C@H](C)[C@@H](C)N2CCOCC2)[n-]1 ZINC000337935854 294344489 /nfs/dbraw/zinc/34/44/89/294344489.db2.gz MXKXUXZQSQJKQP-GMTAPVOTSA-N -1 1 300.428 1.189 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)N1CCCC1 ZINC000354544399 298462470 /nfs/dbraw/zinc/46/24/70/298462470.db2.gz NBACLDZKVVTQII-SECBINFHSA-N -1 1 318.345 1.254 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2oc(=O)[nH]c2c1 ZINC000362814458 300147962 /nfs/dbraw/zinc/14/79/62/300147962.db2.gz PWWRJZSSIYWEHR-UHFFFAOYSA-N -1 1 314.305 1.246 20 0 DDADMM CCc1nc(=NC(=O)C(=O)Nc2ccc(Cl)c(F)c2)[n-][nH]1 ZINC000362918788 300178840 /nfs/dbraw/zinc/17/88/40/300178840.db2.gz PSVCSULBKMHAGA-UHFFFAOYSA-N -1 1 311.704 1.159 20 0 DDADMM Cc1n[nH]cc1-c1nnc([N-]C(=O)c2cncc(Cl)n2)s1 ZINC000365306590 300497213 /nfs/dbraw/zinc/49/72/13/300497213.db2.gz TYHNDZDFGWCIKB-UHFFFAOYSA-N -1 1 321.753 1.932 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(c2cccc(OC)c2)C1 ZINC000367814454 300889083 /nfs/dbraw/zinc/88/90/83/300889083.db2.gz LEWHEDGBGBFLEN-GFCCVEGCSA-N -1 1 322.361 1.132 20 0 DDADMM CC(F)(F)C(=O)[N-]Cc1nnc2n1C[C@@H](C(F)(F)F)CC2 ZINC000367966965 300922384 /nfs/dbraw/zinc/92/23/84/300922384.db2.gz AURNPSFZAOXGJM-LURJTMIESA-N -1 1 312.242 1.674 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@H]1OCCc2ccsc21)c1nn[n-]n1 ZINC000368525812 301048194 /nfs/dbraw/zinc/04/81/94/301048194.db2.gz QFDXRYCCDHHBEV-KOLCDFICSA-N -1 1 321.406 1.527 20 0 DDADMM COC[C@@H]1CN(C(=O)c2cnc3nc(C)ccc3c2[O-])CCO1 ZINC000369741190 301219539 /nfs/dbraw/zinc/21/95/39/301219539.db2.gz KTGJILVMELOKFD-NSHDSACASA-N -1 1 317.345 1.131 20 0 DDADMM COC[C@@H]1CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)CCO1 ZINC000369741190 301219541 /nfs/dbraw/zinc/21/95/41/301219541.db2.gz KTGJILVMELOKFD-NSHDSACASA-N -1 1 317.345 1.131 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@@H]1CC(=O)N(Cc2ccco2)C1 ZINC000370270426 301305944 /nfs/dbraw/zinc/30/59/44/301305944.db2.gz IWUZQZFWPCRUOJ-MRVPVSSYSA-N -1 1 306.240 1.238 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@]2(CCOC2)C1 ZINC000375087816 301891724 /nfs/dbraw/zinc/89/17/24/301891724.db2.gz BKODNKNXVYTKFI-AWEZNQCLSA-N -1 1 304.375 1.271 20 0 DDADMM FC(F)(F)c1nsc(=NC[C@@H]2CN3CCCC[C@H]3CO2)[n-]1 ZINC000376401639 302073389 /nfs/dbraw/zinc/07/33/89/302073389.db2.gz CGFQNUFRLSTTOQ-DTWKUNHWSA-N -1 1 322.356 1.644 20 0 DDADMM Cn1cc(-c2cc(NC(=O)c3ccc(O)cc3[O-])n(C)n2)cn1 ZINC000377086950 302141648 /nfs/dbraw/zinc/14/16/48/302141648.db2.gz RDNPQGWBURSEOX-UHFFFAOYSA-N -1 1 313.317 1.484 20 0 DDADMM CCO[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425187758 302386099 /nfs/dbraw/zinc/38/60/99/302386099.db2.gz PLQPPWKIQHMROD-VXGBXAGGSA-N -1 1 321.345 1.355 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC(C)(C)c1nc(C)cs1 ZINC000357362631 306878729 /nfs/dbraw/zinc/87/87/29/306878729.db2.gz KPJQALVIOSXZPE-UHFFFAOYSA-N -1 1 324.362 1.326 20 0 DDADMM CCNC(=O)CC(=O)N=c1cc(-c2ccc(Cl)s2)[n-][nH]1 ZINC000357401237 306881652 /nfs/dbraw/zinc/88/16/52/306881652.db2.gz FRQOPLGJGOXIGB-UHFFFAOYSA-N -1 1 312.782 1.678 20 0 DDADMM COc1ncccc1CCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000357414691 306882764 /nfs/dbraw/zinc/88/27/64/306882764.db2.gz DPVKORPWTSLEOY-UHFFFAOYSA-N -1 1 324.340 1.299 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@@H]1COCCN1CC(F)F ZINC000530004134 303169762 /nfs/dbraw/zinc/16/97/62/303169762.db2.gz BPSIZXPDZHDDGV-JTQLQIEISA-N -1 1 304.268 1.436 20 0 DDADMM CO[C@@H](Cc1ccc(F)cc1)CN1CCOC[C@@H]1CC(=O)[O-] ZINC000530162145 303177623 /nfs/dbraw/zinc/17/76/23/303177623.db2.gz GJKGIYKKFUDCIJ-GJZGRUSLSA-N -1 1 311.353 1.559 20 0 DDADMM CC(C)OC[C@@H](O)CN1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000530168092 303178265 /nfs/dbraw/zinc/17/82/65/303178265.db2.gz HJIJQEBWAHWHMO-NSHDSACASA-N -1 1 311.353 1.668 20 0 DDADMM C[C@](O)(CN1CCc2c(cccc2C(F)(F)F)C1)C(=O)[O-] ZINC000530172434 303179460 /nfs/dbraw/zinc/17/94/60/303179460.db2.gz MIVDBYOPUJFDIV-ZDUSSCGKSA-N -1 1 303.280 1.899 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1Cc2ccccc2S1)c1nn[n-]n1 ZINC000530960163 303222361 /nfs/dbraw/zinc/22/23/61/303222361.db2.gz PWLQCXJMPCJZBA-PWSUYJOCSA-N -1 1 303.391 1.874 20 0 DDADMM O=C1CCc2cc(Cl)c(S(=O)(=O)[N-]CC(F)F)cc2N1 ZINC000531445844 303245471 /nfs/dbraw/zinc/24/54/71/303245471.db2.gz IRFXLOCZTSVQCU-UHFFFAOYSA-N -1 1 324.736 1.768 20 0 DDADMM CC(C)c1nc([C@H](C)NS(=O)(=O)c2cncc(F)c2)n[nH]1 ZINC000534978330 303338600 /nfs/dbraw/zinc/33/86/00/303338600.db2.gz QOQVJLKHCCJKOR-QMMMGPOBSA-N -1 1 313.358 1.502 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cc(C)c(C)s2)[n-]n1C ZINC000547499853 303536957 /nfs/dbraw/zinc/53/69/57/303536957.db2.gz DZCYHWBADNRMRN-UHFFFAOYSA-N -1 1 301.393 1.330 20 0 DDADMM CCn1cc(C=C([O-])Nc2nc(-c3cccnc3)ns2)cn1 ZINC000547751076 303551627 /nfs/dbraw/zinc/55/16/27/303551627.db2.gz XXWZODGKIOGMBK-UHFFFAOYSA-N -1 1 314.374 1.420 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCC2CCOCC2)o1 ZINC000358389443 306940967 /nfs/dbraw/zinc/94/09/67/306940967.db2.gz WRNVKIRJIJCQCM-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM CNC(=O)c1ccc(=NCCc2c(C)oc3ccccc32)[n-]n1 ZINC000361759391 307005600 /nfs/dbraw/zinc/00/56/00/307005600.db2.gz JEWQDWWTJKORJJ-UHFFFAOYSA-N -1 1 310.357 1.967 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H]1C[C@]12CCOC2 ZINC000363846937 307036722 /nfs/dbraw/zinc/03/67/22/307036722.db2.gz CLTCVVQYCGHCGD-MADCSZMMSA-N -1 1 313.151 1.915 20 0 DDADMM O=C(NC1(C2CC2)CCOCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000364028519 307039931 /nfs/dbraw/zinc/03/99/31/307039931.db2.gz JRFHJJQLSJCDEJ-UHFFFAOYSA-N -1 1 313.357 1.612 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCNC(=O)[C@@H]1c1ccccc1F ZINC000368921015 307112643 /nfs/dbraw/zinc/11/26/43/307112643.db2.gz XFDMMIOMOCZSOT-AWEZNQCLSA-N -1 1 315.304 1.240 20 0 DDADMM Cc1ccc(CCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(C)c1 ZINC000370860420 307138558 /nfs/dbraw/zinc/13/85/58/307138558.db2.gz KBTXRWHGRGQIKA-CQSZACIVSA-N -1 1 315.377 1.349 20 0 DDADMM Cc1cccc(CN2CCCC[C@@H]2C(=O)N[C@@H](C)C(=O)[O-])c1 ZINC000370895635 307139426 /nfs/dbraw/zinc/13/94/26/307139426.db2.gz PZCNBBAKDGRXQG-DZGCQCFKSA-N -1 1 304.390 1.939 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@H]1[C@H]1CCCO1)c2=O ZINC000375970373 307236964 /nfs/dbraw/zinc/23/69/64/307236964.db2.gz VWPVKYDAFROEBO-QWHCGFSZSA-N -1 1 316.361 1.458 20 0 DDADMM CC(=O)Oc1ccc(CN2CCC[C@H](c3n[nH]c(=O)[n-]3)C2)cc1 ZINC000377522547 307271721 /nfs/dbraw/zinc/27/17/21/307271721.db2.gz FHUYFHMWOWIPKE-ZDUSSCGKSA-N -1 1 316.361 1.815 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H](c2ccc(C)cc2)C1 ZINC000377889891 307278319 /nfs/dbraw/zinc/27/83/19/307278319.db2.gz QPCDLOLBXORRBD-ZDUSSCGKSA-N -1 1 321.402 1.882 20 0 DDADMM COCCO[N-]C(=O)[C@@]1(C)CCN(C(=O)OC(C)(C)C)C1 ZINC000496466833 307344147 /nfs/dbraw/zinc/34/41/47/307344147.db2.gz BVEMGLNSFXFQAC-AWEZNQCLSA-N -1 1 302.371 1.328 20 0 DDADMM COC[C@]1(NC(=O)c2ncccc2[O-])COc2ccccc2C1 ZINC000529962191 307577390 /nfs/dbraw/zinc/57/73/90/307577390.db2.gz XLCZSRILQNBAIW-KRWDZBQOSA-N -1 1 314.341 1.537 20 0 DDADMM CCN(C[C@@H]1CCCO1)C(=O)c1c(C)[n-]c(=O)nc1SC ZINC000531534616 307618575 /nfs/dbraw/zinc/61/85/75/307618575.db2.gz VKFMLRZBHLTCON-JTQLQIEISA-N -1 1 311.407 1.854 20 0 DDADMM CCCN(CCC)S(=O)(=O)[N-][C@@H](CC(F)F)C(=O)OC ZINC000534901246 307650459 /nfs/dbraw/zinc/65/04/59/307650459.db2.gz NJFKTLVOQCLTJQ-VIFPVBQESA-N -1 1 316.370 1.140 20 0 DDADMM Nc1cccc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000567825010 308096243 /nfs/dbraw/zinc/09/62/43/308096243.db2.gz QOOWHTLORSBYFV-LLVKDONJSA-N -1 1 302.334 1.306 20 0 DDADMM Nc1cccc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000567825011 308096340 /nfs/dbraw/zinc/09/63/40/308096340.db2.gz QOOWHTLORSBYFV-NSHDSACASA-N -1 1 302.334 1.306 20 0 DDADMM Cc1cnc(S[C@@H]2CCN(CC(F)(F)F)C2=O)[n-]c1=O ZINC000569236792 308138035 /nfs/dbraw/zinc/13/80/35/308138035.db2.gz CKJZORHRKPFQJF-SSDOTTSWSA-N -1 1 307.297 1.746 20 0 DDADMM O=C([C@@H]1C[C@]1(F)c1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000571529125 308197737 /nfs/dbraw/zinc/19/77/37/308197737.db2.gz XJPJNRKIJSZNNH-RBOXIYTFSA-N -1 1 315.352 1.791 20 0 DDADMM COCc1nc(C(=O)[N-]c2nc3cc(Cl)ccn3n2)co1 ZINC000571970007 308206985 /nfs/dbraw/zinc/20/69/85/308206985.db2.gz QBSGUVGLFHESNE-UHFFFAOYSA-N -1 1 307.697 1.769 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000573195205 308232751 /nfs/dbraw/zinc/23/27/51/308232751.db2.gz FMWPPUNOEUPZCI-PUYPPJJSSA-N -1 1 315.329 1.995 20 0 DDADMM CCC[C@H](C)[C@@H]1CCCN1S(=O)(=O)c1c(C)o[n-]c1=N ZINC000577325174 308379038 /nfs/dbraw/zinc/37/90/38/308379038.db2.gz ACPCGMVTDLDSQP-ONGXEEELSA-N -1 1 301.412 1.985 20 0 DDADMM O=C(NCCN1CCCC1=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000579243145 308517881 /nfs/dbraw/zinc/51/78/81/308517881.db2.gz HIHWHLRGAANPNQ-UHFFFAOYSA-N -1 1 316.279 1.763 20 0 DDADMM O=c1cnc2cc(S(=O)(=O)[N-]c3cccc(F)c3)ccc2[nH]1 ZINC000580031628 308573838 /nfs/dbraw/zinc/57/38/38/308573838.db2.gz BLIGDHYJHWABDA-UHFFFAOYSA-N -1 1 319.317 1.863 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])c1cn(C2CCOCC2)nn1 ZINC000580653745 308621018 /nfs/dbraw/zinc/62/10/18/308621018.db2.gz QRHOWGITJKYZGD-UHFFFAOYSA-N -1 1 306.297 1.727 20 0 DDADMM CC(C)c1ccccc1OCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000584266493 332176592 /nfs/dbraw/zinc/17/65/92/332176592.db2.gz OXJVBFOGIOIDQI-UHFFFAOYSA-N -1 1 301.350 1.507 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@@H](C[C@@H](C)CC)C(=O)OC)OC ZINC000583497411 335616544 /nfs/dbraw/zinc/61/65/44/335616544.db2.gz MJEBMMSDDBDBBO-TUAOUCFPSA-N -1 1 309.428 1.309 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)N=c1ccc(OC(C)C)n[n-]1 ZINC000582529656 337105349 /nfs/dbraw/zinc/10/53/49/337105349.db2.gz AMGOFXPWUWCUMA-GFCCVEGCSA-N -1 1 307.398 1.244 20 0 DDADMM Cn1cc([C@H](NC(=O)c2cncc([O-])c2)C2(CO)CCC2)cn1 ZINC000582849882 337210132 /nfs/dbraw/zinc/21/01/32/337210132.db2.gz PXBUBEDOHCXJKL-AWEZNQCLSA-N -1 1 316.361 1.154 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC2(CCCC2)C1 ZINC000583073046 337235859 /nfs/dbraw/zinc/23/58/59/337235859.db2.gz SDKSQQQYMVPGHO-UHFFFAOYSA-N -1 1 307.350 1.693 20 0 DDADMM CC(C)[C@H](CNC(=O)N1CCC(c2cnc[nH]2)CC1)C(=O)[O-] ZINC000655893479 483984590 /nfs/dbraw/zinc/98/45/90/483984590.db2.gz OBBAEQIEWGYNMP-LBPRGKRZSA-N -1 1 308.382 1.656 20 0 DDADMM CC(C)OC[C@H](CO)N(C)C(=O)c1cc(Cl)ccc1[O-] ZINC000436954812 484131349 /nfs/dbraw/zinc/13/13/49/484131349.db2.gz XSUCHZMNSIJYSD-NSHDSACASA-N -1 1 301.770 1.904 20 0 DDADMM O=C(C(=O)N1CC[C@H](C2CCOCC2)C1)c1ccc([O-])cc1 ZINC000436986068 484132668 /nfs/dbraw/zinc/13/26/68/484132668.db2.gz FFEXKKYQVRTJDK-AWEZNQCLSA-N -1 1 303.358 1.850 20 0 DDADMM CCO[C@@H]1C[C@](NCc2cn(C3CCC3)nn2)(C(=O)[O-])C1(C)C ZINC000656566356 484213845 /nfs/dbraw/zinc/21/38/45/484213845.db2.gz IUUKAHDAYRSHDY-CJNGLKHVSA-N -1 1 322.409 1.751 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cc(C)c(C)cc1OC ZINC000656633982 484252114 /nfs/dbraw/zinc/25/21/14/484252114.db2.gz RUNBPYXYWYLKHY-UHFFFAOYSA-N -1 1 317.407 1.717 20 0 DDADMM O=c1[nH]ccc2cc([N-]S(=O)(=O)C[C@H]3CCCO3)ccc21 ZINC000656633548 484252868 /nfs/dbraw/zinc/25/28/68/484252868.db2.gz DAZDIKIIUXHWLI-GFCCVEGCSA-N -1 1 308.359 1.449 20 0 DDADMM C[C@H]1CCCN1S(=O)(=O)[N-]c1ccn(C2CCOCC2)n1 ZINC000656636451 484253325 /nfs/dbraw/zinc/25/33/25/484253325.db2.gz GXEANMDEWWDWJG-NSHDSACASA-N -1 1 314.411 1.376 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cccc2c1CCN(C)C2=O ZINC000656635668 484253631 /nfs/dbraw/zinc/25/36/31/484253631.db2.gz BMZGORQSLTZQHT-SNVBAGLBSA-N -1 1 312.391 1.091 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H](C(=O)[O-])C1CC1)c1cccc(F)c1 ZINC000663075218 484646316 /nfs/dbraw/zinc/64/63/16/484646316.db2.gz HBYAOCZUQOQUIE-KBPBESRZSA-N -1 1 308.353 1.655 20 0 DDADMM CC(C)(C)CCN1CCN(C(=O)C2(C(=O)[O-])CC=CC2)CC1 ZINC000663103172 484668363 /nfs/dbraw/zinc/66/83/63/484668363.db2.gz RXRXKWPQGDEOOK-UHFFFAOYSA-N -1 1 308.422 1.988 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)C2(C(=O)[O-])CC=CC2)C[C@@H](C)O1 ZINC000663110159 484675468 /nfs/dbraw/zinc/67/54/68/484675468.db2.gz PXFRGZLIWYXSON-CHWSQXEVSA-N -1 1 310.394 1.023 20 0 DDADMM O=C([O-])[C@H]1CCCN1C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000663271607 484778309 /nfs/dbraw/zinc/77/83/09/484778309.db2.gz OYDZGTGUUJHDFZ-LSDHHAIUSA-N -1 1 317.389 1.520 20 0 DDADMM CC1N=NC(C(=O)Nc2cccc([C@H]3CCC(=O)N3)c2)=C1[O-] ZINC000665661385 484993951 /nfs/dbraw/zinc/99/39/51/484993951.db2.gz YKFZFGHXIGQONW-LLVKDONJSA-N -1 1 300.318 1.627 20 0 DDADMM C[C@@H](c1ccccc1)n1ccc([N-]S(=O)(=O)N2CCC2)n1 ZINC000671660551 485160694 /nfs/dbraw/zinc/16/06/94/485160694.db2.gz AMDVKRLWYAPFFT-LBPRGKRZSA-N -1 1 306.391 1.855 20 0 DDADMM CN1C(=O)CC[C@H]1CC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000666314224 485169366 /nfs/dbraw/zinc/16/93/66/485169366.db2.gz OEVKFGVGOUHYRX-LBPRGKRZSA-N -1 1 314.345 1.732 20 0 DDADMM O=C(N[C@H]1CCCS(=O)(=O)C1)c1ncc2ccccc2c1[O-] ZINC000668602041 485236063 /nfs/dbraw/zinc/23/60/63/485236063.db2.gz PRZFDKWCSJIKOB-NSHDSACASA-N -1 1 320.370 1.247 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NCc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000666536641 485263076 /nfs/dbraw/zinc/26/30/76/485263076.db2.gz FARXZRQHAFVIHD-JTQLQIEISA-N -1 1 316.361 1.847 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000672296799 485278933 /nfs/dbraw/zinc/27/89/33/485278933.db2.gz SWIUMGIHMRQSTG-UHFFFAOYSA-N -1 1 320.242 1.378 20 0 DDADMM CC[C@@]1([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCOC1 ZINC000682936730 485832190 /nfs/dbraw/zinc/83/21/90/485832190.db2.gz WVBYPDMIMRAHHP-GFCCVEGCSA-N -1 1 309.309 1.951 20 0 DDADMM O=C1CCC[C@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)N1 ZINC000683953496 486181554 /nfs/dbraw/zinc/18/15/54/486181554.db2.gz VKMYSKHXAJUJNN-SSDOTTSWSA-N -1 1 322.308 1.051 20 0 DDADMM CCN1C(=O)CCc2cc(NC(=O)C3=C([O-])C(C)N=N3)ccc21 ZINC000680604708 486239751 /nfs/dbraw/zinc/23/97/51/486239751.db2.gz DOWMUEQTCOCOSS-UHFFFAOYSA-N -1 1 314.345 1.975 20 0 DDADMM CCO[C@@H]1C[C@H](O)C12CCN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC000681048575 486331493 /nfs/dbraw/zinc/33/14/93/486331493.db2.gz BNCHBMWLCIEHHM-LSDHHAIUSA-N -1 1 323.364 1.923 20 0 DDADMM CNC(=O)CCOC1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681053602 486334033 /nfs/dbraw/zinc/33/40/33/486334033.db2.gz VUIRPQQWNIHXED-UHFFFAOYSA-N -1 1 324.352 1.289 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H](C)C[C@@H](C)O)c(F)c1 ZINC000676859772 486348268 /nfs/dbraw/zinc/34/82/68/486348268.db2.gz QWHJTSFDRPZBIX-RKDXNWHRSA-N -1 1 323.361 1.659 20 0 DDADMM CCCCOc1cc(OC)ccc1C(=O)NCc1nn[n-]n1 ZINC000681118983 486354007 /nfs/dbraw/zinc/35/40/07/486354007.db2.gz JBXIFTRCDDQYBR-UHFFFAOYSA-N -1 1 305.338 1.317 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCC(F)(F)C1 ZINC000684566613 486384656 /nfs/dbraw/zinc/38/46/56/486384656.db2.gz HTDLIHSIFHVVQQ-MNOVXSKESA-N -1 1 307.304 1.841 20 0 DDADMM Cc1nn(C(C)(C)C)c(C)c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000681353434 486410299 /nfs/dbraw/zinc/41/02/99/486410299.db2.gz MCXZADBOGZNCHV-SECBINFHSA-N -1 1 319.413 1.644 20 0 DDADMM O=C(CN(Cc1ccccc1)c1ccccc1)NCc1nn[n-]n1 ZINC000677424744 486461008 /nfs/dbraw/zinc/46/10/08/486461008.db2.gz JOBGUTWSPKGRSM-UHFFFAOYSA-N -1 1 322.372 1.523 20 0 DDADMM COC1(CNC(=O)c2c(F)ccc([O-])c2F)CCOCC1 ZINC000685452597 486541501 /nfs/dbraw/zinc/54/15/01/486541501.db2.gz BGDBSIQHYMRZBI-UHFFFAOYSA-N -1 1 301.289 1.596 20 0 DDADMM C[C@H](O)C(=O)Nc1ccc(C(=O)NC2CCCCC2)c([O-])c1 ZINC000444354479 534044630 /nfs/dbraw/zinc/04/46/30/534044630.db2.gz UWPIYYUCEBDBSP-JTQLQIEISA-N -1 1 306.362 1.774 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCCC[C@H]2CO)sc1C ZINC000328886201 534518305 /nfs/dbraw/zinc/51/83/05/534518305.db2.gz NUSKATXUUDKFSP-WDEREUQCSA-N -1 1 304.437 1.589 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](CCO)C(C)(C)C)sc1C ZINC000331260467 534519747 /nfs/dbraw/zinc/51/97/47/534519747.db2.gz BIXZPGRNDXKPSI-SNVBAGLBSA-N -1 1 306.453 1.835 20 0 DDADMM Cc1nc(SC(F)(F)F)sc1CC(=O)Nc1nnn[n-]1 ZINC000295832659 534524525 /nfs/dbraw/zinc/52/45/25/534524525.db2.gz MTOYGRHIWYOPFS-UHFFFAOYSA-N -1 1 324.313 1.758 20 0 DDADMM Cc1nc(SC(F)(F)F)sc1CC(=O)Nc1nn[n-]n1 ZINC000295832659 534524531 /nfs/dbraw/zinc/52/45/31/534524531.db2.gz MTOYGRHIWYOPFS-UHFFFAOYSA-N -1 1 324.313 1.758 20 0 DDADMM N=c1nc(N2CCN(c3nnc(C4CC4)s3)CC2)s[n-]1 ZINC000432063497 534841692 /nfs/dbraw/zinc/84/16/92/534841692.db2.gz QENIEVUPSLNABJ-UHFFFAOYSA-N -1 1 309.424 1.006 20 0 DDADMM CC(C)(C)[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000332520388 526735848 /nfs/dbraw/zinc/73/58/48/526735848.db2.gz MAAJSQVJLRUQLD-CYBMUJFWSA-N -1 1 315.373 1.810 20 0 DDADMM CC(C)(C)c1n[nH]cc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000331986213 526848717 /nfs/dbraw/zinc/84/87/17/526848717.db2.gz XEFNVAPZZSXVMJ-UHFFFAOYSA-N -1 1 319.365 1.816 20 0 DDADMM O=C(N[C@@H]1CCO[C@@H](C2CC2)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000157869196 526937248 /nfs/dbraw/zinc/93/72/48/526937248.db2.gz KFOIWGHOYFSYNF-BXUZGUMPSA-N -1 1 313.357 1.611 20 0 DDADMM CC(C)[C@H](O)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330831035 527741485 /nfs/dbraw/zinc/74/14/85/527741485.db2.gz UPRDRXZBMJSSNW-SSDOTTSWSA-N -1 1 312.185 1.337 20 0 DDADMM CCC[C@H](CCO)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000443310453 528125016 /nfs/dbraw/zinc/12/50/16/528125016.db2.gz OYQOSPFOOSHZIV-SNVBAGLBSA-N -1 1 319.379 1.143 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCC[C@H]2OC)o1 ZINC000451872155 528242763 /nfs/dbraw/zinc/24/27/63/528242763.db2.gz MGDBUBAUKGVUBI-NXEZZACHSA-N -1 1 317.363 1.302 20 0 DDADMM CC(C)c1nn(C)cc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000331945316 528395564 /nfs/dbraw/zinc/39/55/64/528395564.db2.gz QBJCDGKYNLSRGQ-UHFFFAOYSA-N -1 1 319.365 1.652 20 0 DDADMM CC(C)Cc1c[nH]c(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000413470610 528629874 /nfs/dbraw/zinc/62/98/74/528629874.db2.gz NWMSZKAHJFLCQS-UHFFFAOYSA-N -1 1 302.382 1.926 20 0 DDADMM CCCc1nc(=NC(=O)N2CCC[C@@H](c3nc[nH]n3)C2)s[n-]1 ZINC000331737533 528686723 /nfs/dbraw/zinc/68/67/23/528686723.db2.gz CHLMJCYOKZOJRY-SECBINFHSA-N -1 1 321.410 1.442 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)Cc1c(F)cccc1Cl ZINC000451186963 528817590 /nfs/dbraw/zinc/81/75/90/528817590.db2.gz DIMMQQXFSSQNGE-UHFFFAOYSA-N -1 1 309.746 1.462 20 0 DDADMM CC1(C)CCC[C@@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331902073 528961710 /nfs/dbraw/zinc/96/17/10/528961710.db2.gz JAQMAUFQBGQHOE-SNVBAGLBSA-N -1 1 309.439 1.426 20 0 DDADMM CCC[C@@H](NCC(=O)NCc1ccccc1COCC)C(=O)[O-] ZINC000296532367 529005265 /nfs/dbraw/zinc/00/52/65/529005265.db2.gz SRCPAONYHAPJOJ-OAHLLOKOSA-N -1 1 322.405 1.682 20 0 DDADMM CCS(=O)(=O)c1cccc(CNC(=O)c2cncc([O-])c2)c1 ZINC000337142366 535682188 /nfs/dbraw/zinc/68/21/88/535682188.db2.gz NZNVFCQDGSKOMM-UHFFFAOYSA-N -1 1 320.370 1.511 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC2(CC2)c2ccccc21 ZINC000738259527 598836795 /nfs/dbraw/zinc/83/67/95/598836795.db2.gz QZEYUNQJXZPSDY-UHFFFAOYSA-N -1 1 318.340 1.954 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC2(CC2)c2ccccc21 ZINC000738259527 598836796 /nfs/dbraw/zinc/83/67/96/598836796.db2.gz QZEYUNQJXZPSDY-UHFFFAOYSA-N -1 1 318.340 1.954 20 0 DDADMM C[C@H]1CCCC[C@@H]1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736270058 598997044 /nfs/dbraw/zinc/99/70/44/598997044.db2.gz IRVSHJAQHONGRB-WDEREUQCSA-N -1 1 300.366 1.818 20 0 DDADMM C[C@H]1CCCC[C@@H]1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736270058 598997045 /nfs/dbraw/zinc/99/70/45/598997045.db2.gz IRVSHJAQHONGRB-WDEREUQCSA-N -1 1 300.366 1.818 20 0 DDADMM c1ccc(Cc2noc(-c3ccc(-c4nnn[n-]4)nc3)n2)nc1 ZINC000738432539 599010725 /nfs/dbraw/zinc/01/07/25/599010725.db2.gz INDKVRPVZDKAMJ-UHFFFAOYSA-N -1 1 306.289 1.298 20 0 DDADMM c1ccc(Cc2noc(-c3ccc(-c4nn[n-]n4)nc3)n2)nc1 ZINC000738432539 599010727 /nfs/dbraw/zinc/01/07/27/599010727.db2.gz INDKVRPVZDKAMJ-UHFFFAOYSA-N -1 1 306.289 1.298 20 0 DDADMM Oc1ccc(-c2noc(-c3ccc(-c4nnn[n-]4)nc3)n2)cc1 ZINC000738398130 599011159 /nfs/dbraw/zinc/01/11/59/599011159.db2.gz UFCUZXHNLNXJEX-UHFFFAOYSA-N -1 1 307.273 1.684 20 0 DDADMM Oc1ccc(-c2noc(-c3ccc(-c4nn[n-]n4)nc3)n2)cc1 ZINC000738398130 599011160 /nfs/dbraw/zinc/01/11/60/599011160.db2.gz UFCUZXHNLNXJEX-UHFFFAOYSA-N -1 1 307.273 1.684 20 0 DDADMM O=C(OCCc1cccs1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738220597 599133179 /nfs/dbraw/zinc/13/31/79/599133179.db2.gz AJHSDGHUIWVEQP-UHFFFAOYSA-N -1 1 301.331 1.723 20 0 DDADMM O=C(OCCc1cccs1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738220597 599133181 /nfs/dbraw/zinc/13/31/81/599133181.db2.gz AJHSDGHUIWVEQP-UHFFFAOYSA-N -1 1 301.331 1.723 20 0 DDADMM O=C(OCCCOC1CCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738219316 599153577 /nfs/dbraw/zinc/15/35/77/599153577.db2.gz CAJDDLAGQZSARU-UHFFFAOYSA-N -1 1 317.349 1.768 20 0 DDADMM O=C(OCCCOC1CCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738219316 599153580 /nfs/dbraw/zinc/15/35/80/599153580.db2.gz CAJDDLAGQZSARU-UHFFFAOYSA-N -1 1 317.349 1.768 20 0 DDADMM O=C([O-])C1CCN(CC(=O)N2CCc3ccccc3C2)CC1 ZINC000032187248 596923837 /nfs/dbraw/zinc/92/38/37/596923837.db2.gz ZSTGFJISPCMSCP-UHFFFAOYSA-N -1 1 302.374 1.368 20 0 DDADMM CC(=O)c1ccc(NC(=O)CNC2(C(=O)[O-])CCCC2)cc1 ZINC000738590712 597080848 /nfs/dbraw/zinc/08/08/48/597080848.db2.gz OZQCAKBESIELJX-UHFFFAOYSA-N -1 1 304.346 1.815 20 0 DDADMM CCc1cc(CNC(=O)Nc2cccc(CC(=O)[O-])c2)[nH]n1 ZINC000737896992 597194370 /nfs/dbraw/zinc/19/43/70/597194370.db2.gz NLMMVPHIGWXSPH-UHFFFAOYSA-N -1 1 302.334 1.921 20 0 DDADMM Cc1ccc(NCc2ccc(-c3nnn[n-]3)o2)cc1-n1cnnn1 ZINC000822255164 607312783 /nfs/dbraw/zinc/31/27/83/607312783.db2.gz XFSJZTVIUDJCPX-UHFFFAOYSA-N -1 1 323.320 1.356 20 0 DDADMM Cc1ccc(NCc2ccc(-c3nn[n-]n3)o2)cc1-n1cnnn1 ZINC000822255164 607312784 /nfs/dbraw/zinc/31/27/84/607312784.db2.gz XFSJZTVIUDJCPX-UHFFFAOYSA-N -1 1 323.320 1.356 20 0 DDADMM COc1ccc(F)cc1CN(CCNC(C)=O)[C@@H](C)C(=O)[O-] ZINC000820841056 597674207 /nfs/dbraw/zinc/67/42/07/597674207.db2.gz GAUDPUCJBHYNCF-JTQLQIEISA-N -1 1 312.341 1.246 20 0 DDADMM C[C@H](NCC(=O)NCC(=O)[O-])c1ccc(-c2cccnc2)cc1 ZINC000820141823 597808724 /nfs/dbraw/zinc/80/87/24/597808724.db2.gz IYWSRLPBDXFIQE-LBPRGKRZSA-N -1 1 313.357 1.600 20 0 DDADMM CCOc1cccc(CCNc2nccnc2-c2nnn[n-]2)c1 ZINC000821426690 607331993 /nfs/dbraw/zinc/33/19/93/607331993.db2.gz GDTQFNBZPFVYHO-UHFFFAOYSA-N -1 1 311.349 1.710 20 0 DDADMM CCOc1cccc(CCNc2nccnc2-c2nn[n-]n2)c1 ZINC000821426690 607331994 /nfs/dbraw/zinc/33/19/94/607331994.db2.gz GDTQFNBZPFVYHO-UHFFFAOYSA-N -1 1 311.349 1.710 20 0 DDADMM COC(=O)c1ccc(CNc2nccnc2-c2nnn[n-]2)cc1 ZINC000736947404 598336513 /nfs/dbraw/zinc/33/65/13/598336513.db2.gz JNAOILGNEMQHFK-UHFFFAOYSA-N -1 1 311.305 1.055 20 0 DDADMM COC(=O)c1ccc(CNc2nccnc2-c2nn[n-]n2)cc1 ZINC000736947404 598336515 /nfs/dbraw/zinc/33/65/15/598336515.db2.gz JNAOILGNEMQHFK-UHFFFAOYSA-N -1 1 311.305 1.055 20 0 DDADMM c1nc(Sc2nccnc2-c2nn[n-]n2)nn1-c1ccccc1 ZINC000738424092 598341859 /nfs/dbraw/zinc/34/18/59/598341859.db2.gz AXVABVGKTGQQAP-UHFFFAOYSA-N -1 1 323.345 1.389 20 0 DDADMM O=C(Cn1cc(-c2nn[n-]n2)c(=O)c2ccccc21)c1ccc[nH]1 ZINC000737980383 598502712 /nfs/dbraw/zinc/50/27/12/598502712.db2.gz DVNHSQPFURNRKH-UHFFFAOYSA-N -1 1 320.312 1.393 20 0 DDADMM CN(Cc1ccccn1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736828162 598746132 /nfs/dbraw/zinc/74/61/32/598746132.db2.gz IGRHFFQZLVJBCN-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM CN(Cc1ccccn1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736828162 598746133 /nfs/dbraw/zinc/74/61/33/598746133.db2.gz IGRHFFQZLVJBCN-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)CCc1cc(Cl)cs1 ZINC000737778450 599495280 /nfs/dbraw/zinc/49/52/80/599495280.db2.gz ZHGBCAOQPUUEHP-UHFFFAOYSA-N -1 1 323.769 1.633 20 0 DDADMM O=C(NCCNc1cc(-c2nnn[n-]2)nc2ccccc21)C1CC1 ZINC000823201354 599498563 /nfs/dbraw/zinc/49/85/63/599498563.db2.gz HSUIGPQUQRUYBW-UHFFFAOYSA-N -1 1 323.360 1.353 20 0 DDADMM O=C(NCCNc1cc(-c2nn[n-]n2)nc2ccccc21)C1CC1 ZINC000823201354 599498564 /nfs/dbraw/zinc/49/85/64/599498564.db2.gz HSUIGPQUQRUYBW-UHFFFAOYSA-N -1 1 323.360 1.353 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]2CCCC[C@@H]2[N@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC000739559333 599714029 /nfs/dbraw/zinc/71/40/29/599714029.db2.gz AYLQWKOHZGKSLD-WXHSDQCUSA-N -1 1 316.361 1.240 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC000736327087 599734860 /nfs/dbraw/zinc/73/48/60/599734860.db2.gz AYDDGJBUQBDOTQ-UHFFFAOYSA-N -1 1 320.393 1.208 20 0 DDADMM O=C([O-])NCCCC(=O)NC1CCN(Cc2ccccn2)CC1 ZINC000739965829 599748460 /nfs/dbraw/zinc/74/84/60/599748460.db2.gz XXEXXPIRGPYXJW-UHFFFAOYSA-N -1 1 320.393 1.210 20 0 DDADMM Cc1cccn2c(=O)cc(CN[C@@H](C(=O)[O-])c3ccccc3)nc12 ZINC000324315386 599785880 /nfs/dbraw/zinc/78/58/80/599785880.db2.gz FRZVLHHNSXLKIA-MRXNPFEDSA-N -1 1 323.352 1.918 20 0 DDADMM CN(C(=O)CN1CCC[C@H]1CCCO)c1ccccc1C(=O)[O-] ZINC000737323554 599812251 /nfs/dbraw/zinc/81/22/51/599812251.db2.gz FRNUVPYTRJGKNY-ZDUSSCGKSA-N -1 1 320.389 1.585 20 0 DDADMM O=C([O-])[C@@H]1CCN(CN2C[C@H](c3cccc(F)c3)CC2=O)C1 ZINC000739599195 599854228 /nfs/dbraw/zinc/85/42/28/599854228.db2.gz USJBOQOTOFHJSE-CHWSQXEVSA-N -1 1 306.337 1.506 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)Nc2n[nH]c(CC(=O)[O-])n2)o1 ZINC000737087782 600180829 /nfs/dbraw/zinc/18/08/29/600180829.db2.gz IEUOZFMCZOPJCZ-UHFFFAOYSA-N -1 1 321.337 1.119 20 0 DDADMM O=C([O-])[C@@H]1CCCN1CCS(=O)(=O)c1ccc(Cl)cc1 ZINC000035302117 600221005 /nfs/dbraw/zinc/22/10/05/600221005.db2.gz KSFWTBZIZKCZPN-LBPRGKRZSA-N -1 1 317.794 1.663 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)NCCSCC(=O)[O-] ZINC000389481124 600330880 /nfs/dbraw/zinc/33/08/80/600330880.db2.gz VIFACZGWZUWHBB-VXGBXAGGSA-N -1 1 315.439 1.072 20 0 DDADMM O=C([O-])c1coc(CN2CCCC[C@H]2CN2CCOCC2)c1 ZINC000740269397 600336113 /nfs/dbraw/zinc/33/61/13/600336113.db2.gz QHIIVYISSNKYNZ-AWEZNQCLSA-N -1 1 308.378 1.665 20 0 DDADMM CC(C)N(C(=O)CN(CCC(=O)[O-])C[C@H]1CCCO1)C(C)C ZINC000736456059 600512981 /nfs/dbraw/zinc/51/29/81/600512981.db2.gz WSUHHZWCKUEBNJ-CQSZACIVSA-N -1 1 314.426 1.588 20 0 DDADMM CC[C@@](C)(NCC(=O)NC(=O)Nc1cccc(C)c1C)C(=O)[O-] ZINC000736861609 600560132 /nfs/dbraw/zinc/56/01/32/600560132.db2.gz PQJXOGROSOGJNE-MRXNPFEDSA-N -1 1 321.377 1.794 20 0 DDADMM O=C([O-])Cc1nc(NC(=O)c2cn[nH]c2-c2ccccc2)n[nH]1 ZINC000739909375 600579479 /nfs/dbraw/zinc/57/94/79/600579479.db2.gz HWGPOFFXSRHLMX-UHFFFAOYSA-N -1 1 312.289 1.074 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N(C)[C@H]1CCCC[C@@H]1C ZINC000737794277 600640651 /nfs/dbraw/zinc/64/06/51/600640651.db2.gz LCSACWDGSLBJKW-KBPBESRZSA-N -1 1 314.426 1.447 20 0 DDADMM C[C@H]1C[C@@H](NS(=O)(=O)c2cc(C(=O)[O-])cs2)CCN1C ZINC000157597795 600766314 /nfs/dbraw/zinc/76/63/14/600766314.db2.gz YLOPNPYQBPOKQV-WPRPVWTQSA-N -1 1 318.420 1.207 20 0 DDADMM Cc1ccccc1[C@H]1CN(CCC(=O)NCC(=O)[O-])[C@H](C)CO1 ZINC000832977943 600835180 /nfs/dbraw/zinc/83/51/80/600835180.db2.gz ZHWIXKZZEIOPNC-UKRRQHHQSA-N -1 1 320.389 1.348 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]1C(=O)Nc1nc2ccc(Br)cc2[nH]1 ZINC000833181381 600936363 /nfs/dbraw/zinc/93/63/63/600936363.db2.gz NQOQDJABUYIESM-RNFRBKRXSA-N -1 1 324.134 1.985 20 0 DDADMM C[C@H](O)CN1CCN([C@H](C(=O)[O-])c2ccccc2F)C[C@H]1C ZINC000827796139 600999526 /nfs/dbraw/zinc/99/95/26/600999526.db2.gz MFKCBXVDWCHGSA-XUJVJEKNSA-N -1 1 310.369 1.338 20 0 DDADMM COc1ccc([C@H](CC(=O)[O-])NC(=O)CCc2nc[nH]n2)cc1 ZINC000832230913 601133395 /nfs/dbraw/zinc/13/33/95/601133395.db2.gz LIBVUVPQMYSSLQ-LBPRGKRZSA-N -1 1 318.333 1.078 20 0 DDADMM CCOc1cccc(CNC(=O)CN[C@](C)(C(=O)[O-])C2CC2)c1 ZINC000830099324 601173718 /nfs/dbraw/zinc/17/37/18/601173718.db2.gz CQASOSLDACPXCT-KRWDZBQOSA-N -1 1 320.389 1.544 20 0 DDADMM Cc1nc([C@H]2CCCN2Cc2ccnc(-c3nnn[n-]3)c2)no1 ZINC000826324820 607493249 /nfs/dbraw/zinc/49/32/49/607493249.db2.gz GAKRHZGWROIZPC-GFCCVEGCSA-N -1 1 312.337 1.290 20 0 DDADMM Cc1nc([C@H]2CCCN2Cc2ccnc(-c3nn[n-]n3)c2)no1 ZINC000826324820 607493251 /nfs/dbraw/zinc/49/32/51/607493251.db2.gz GAKRHZGWROIZPC-GFCCVEGCSA-N -1 1 312.337 1.290 20 0 DDADMM Cc1ccc(C=Cc2n[nH]c(SC[C@H](O)CC(=O)[O-])n2)o1 ZINC000832929850 601208446 /nfs/dbraw/zinc/20/84/46/601208446.db2.gz ROXIBGJIFOXSBM-XNPJLODASA-N -1 1 309.347 1.804 20 0 DDADMM Cc1ccc(C=Cc2n[nH]c(SC[C@H](O)CC(=O)[O-])n2)o1 ZINC000832929851 601208518 /nfs/dbraw/zinc/20/85/18/601208518.db2.gz ROXIBGJIFOXSBM-XRVBUDJMSA-N -1 1 309.347 1.804 20 0 DDADMM C[C@H](O)CN(Cc1ccnc(-c2nnn[n-]2)c1)c1ccccc1 ZINC000824708120 607504331 /nfs/dbraw/zinc/50/43/31/607504331.db2.gz XHZDTTFJOIATIN-LBPRGKRZSA-N -1 1 310.361 1.649 20 0 DDADMM C[C@H](O)CN(Cc1ccnc(-c2nn[n-]n2)c1)c1ccccc1 ZINC000824708120 607504333 /nfs/dbraw/zinc/50/43/33/607504333.db2.gz XHZDTTFJOIATIN-LBPRGKRZSA-N -1 1 310.361 1.649 20 0 DDADMM CCCc1c(C(=O)N[C@H](C)c2nnc[nH]2)[nH]c(C)c1C(=O)[O-] ZINC000829556515 601385226 /nfs/dbraw/zinc/38/52/26/601385226.db2.gz OFFYDDVTTUMVFA-MRVPVSSYSA-N -1 1 305.338 1.583 20 0 DDADMM CC1(C)C(=O)N(CN2CC[C@@H](C(=O)[O-])C2)[C@@H]1c1ccccc1 ZINC000818075803 601397822 /nfs/dbraw/zinc/39/78/22/601397822.db2.gz NGWUCMXYQVRKPK-ZIAGYGMSSA-N -1 1 302.374 1.960 20 0 DDADMM O=C([O-])[C@@H]1c2ccccc2CCN1Cc1cc(=O)n2nccc2[nH]1 ZINC000833195580 601548888 /nfs/dbraw/zinc/54/88/88/601548888.db2.gz LBGXPLUKGOZFEE-INIZCTEOSA-N -1 1 324.340 1.207 20 0 DDADMM Cc1cc(CC(=O)N2C[C@H](C(=O)[O-])Oc3ccccc32)n[nH]1 ZINC000832882137 601651612 /nfs/dbraw/zinc/65/16/12/601651612.db2.gz ZLIACWLIFNOFLK-CYBMUJFWSA-N -1 1 301.302 1.139 20 0 DDADMM Cc1cc(CC(=O)NCc2cccc(OCC(=O)[O-])c2)[nH]n1 ZINC000832882976 601684587 /nfs/dbraw/zinc/68/45/87/601684587.db2.gz FDHXRYZXGWPNMN-UHFFFAOYSA-N -1 1 303.318 1.040 20 0 DDADMM Cc1nnc(SCC(=O)N[C@@H](C(=O)[O-])C2CCCCC2)[nH]1 ZINC000832990953 601786930 /nfs/dbraw/zinc/78/69/30/601786930.db2.gz OFZXRWVUCNNLKD-LLVKDONJSA-N -1 1 312.395 1.355 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@@H](C(=O)[O-])C2CCCCC2)n1 ZINC000832990953 601786933 /nfs/dbraw/zinc/78/69/33/601786933.db2.gz OFZXRWVUCNNLKD-LLVKDONJSA-N -1 1 312.395 1.355 20 0 DDADMM O=C([O-])CN(Cc1ccccc1)C[C@@H](O)COC1CCOCC1 ZINC000833249631 601808062 /nfs/dbraw/zinc/80/80/62/601808062.db2.gz QKPDFRMTEOYXRN-OAHLLOKOSA-N -1 1 323.389 1.130 20 0 DDADMM O=C([O-])N1CCC[C@@H](CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000740412890 601867323 /nfs/dbraw/zinc/86/73/23/601867323.db2.gz FZPARMXHERZIGA-VIFPVBQESA-N -1 1 303.322 1.078 20 0 DDADMM CN(CCn1cncn1)[C@@H](C(=O)[O-])c1cc(F)c(F)c(F)c1 ZINC000830800671 601906483 /nfs/dbraw/zinc/90/64/83/601906483.db2.gz SBITXTLQXLTRKY-GFCCVEGCSA-N -1 1 314.267 1.453 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CCC2(CC1)OCc1ccccc12 ZINC000826000134 601910051 /nfs/dbraw/zinc/91/00/51/601910051.db2.gz MYIYSWKNEKZZQC-LBPRGKRZSA-N -1 1 318.373 1.097 20 0 DDADMM C[C@H](C(=O)NC1CCCC1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091130842 601921653 /nfs/dbraw/zinc/92/16/53/601921653.db2.gz MGTILTPGRDLZTH-PWSUYJOCSA-N -1 1 300.424 1.326 20 0 DDADMM C[C@@H](c1nnnn1-c1ccccc1)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000827966734 601939759 /nfs/dbraw/zinc/93/97/59/601939759.db2.gz UGXKMFKVVDTNEA-XHDPSFHLSA-N -1 1 301.350 1.520 20 0 DDADMM O=C([O-])NC[C@H]1CCN(C[C@@H](O)c2cc(F)ccc2F)C1 ZINC000740643780 601940403 /nfs/dbraw/zinc/94/04/03/601940403.db2.gz QTPZVWBAJPGHPS-NOZJJQNGSA-N -1 1 300.305 1.588 20 0 DDADMM O=C([O-])NC[C@H]1CCN(C[C@H](O)c2cc(F)ccc2F)C1 ZINC000740643782 601940525 /nfs/dbraw/zinc/94/05/25/601940525.db2.gz QTPZVWBAJPGHPS-RNCFNFMXSA-N -1 1 300.305 1.588 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@@H](N3CCNC3=O)C2)s1 ZINC000833312875 601946097 /nfs/dbraw/zinc/94/60/97/601946097.db2.gz IWMLEHJMUMEXRF-SNVBAGLBSA-N -1 1 309.391 1.436 20 0 DDADMM Cc1ccc(CNC(=O)CN2CC[C@H](CNC(=O)[O-])C2)cc1F ZINC000740127323 601948360 /nfs/dbraw/zinc/94/83/60/601948360.db2.gz XGRHSSOUFNHEGG-CYBMUJFWSA-N -1 1 323.368 1.340 20 0 DDADMM C[C@@H](C(=O)NCc1cccs1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738626050 601948989 /nfs/dbraw/zinc/94/89/89/601948989.db2.gz AQSKIUMUXBBCIS-QWRGUYRKSA-N -1 1 311.407 1.342 20 0 DDADMM CN(Cc1ccccc1F)C(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000739711406 601954778 /nfs/dbraw/zinc/95/47/78/601954778.db2.gz RTXMXOPURZFJTQ-LBPRGKRZSA-N -1 1 323.368 1.374 20 0 DDADMM O=C([O-])NC[C@@H]1CCN([C@@H](C(=O)NC2CC2)c2ccccc2)C1 ZINC000740640928 601956053 /nfs/dbraw/zinc/95/60/53/601956053.db2.gz GCAIZHDCMWZCGN-SWLSCSKDSA-N -1 1 317.389 1.596 20 0 DDADMM CNC(=O)c1cccc(NCc2ccnc(-c3nnn[n-]3)c2)c1C ZINC000826073401 607549232 /nfs/dbraw/zinc/54/92/32/607549232.db2.gz QRMPLWGIEKKFLP-UHFFFAOYSA-N -1 1 323.360 1.542 20 0 DDADMM CNC(=O)c1cccc(NCc2ccnc(-c3nn[n-]n3)c2)c1C ZINC000826073401 607549233 /nfs/dbraw/zinc/54/92/33/607549233.db2.gz QRMPLWGIEKKFLP-UHFFFAOYSA-N -1 1 323.360 1.542 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000826472415 607551757 /nfs/dbraw/zinc/55/17/57/607551757.db2.gz KBSDPALWCLXMMZ-VHSXEESVSA-N -1 1 319.390 1.427 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000826472415 607551758 /nfs/dbraw/zinc/55/17/58/607551758.db2.gz KBSDPALWCLXMMZ-VHSXEESVSA-N -1 1 319.390 1.427 20 0 DDADMM O=C1NCCc2ccc(NCc3ccnc(-c4nnn[n-]4)c3)cc21 ZINC000826482389 607552667 /nfs/dbraw/zinc/55/26/67/607552667.db2.gz CENWUXFUNAWFQT-UHFFFAOYSA-N -1 1 321.344 1.160 20 0 DDADMM O=C1NCCc2ccc(NCc3ccnc(-c4nn[n-]n4)c3)cc21 ZINC000826482389 607552670 /nfs/dbraw/zinc/55/26/70/607552670.db2.gz CENWUXFUNAWFQT-UHFFFAOYSA-N -1 1 321.344 1.160 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCCN1CC(=O)N1CCC[C@@H](C)C1 ZINC000739101045 602275585 /nfs/dbraw/zinc/27/55/85/602275585.db2.gz BAFXQGWXCHUUTK-MGPQQGTHSA-N -1 1 311.426 1.756 20 0 DDADMM CN(CCNC(=O)[C@H]1CCCN1Cc1ccccc1)C(=O)[O-] ZINC000739689410 602302138 /nfs/dbraw/zinc/30/21/38/602302138.db2.gz STDUKOMRUXFEEY-CQSZACIVSA-N -1 1 305.378 1.377 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)CN(C)C(=O)[O-] ZINC000740080346 602323695 /nfs/dbraw/zinc/32/36/95/602323695.db2.gz NYKSJCFJNZPKEG-UHFFFAOYSA-N -1 1 320.393 1.295 20 0 DDADMM O=C([O-])N1CCC[C@H]1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000740475445 602326542 /nfs/dbraw/zinc/32/65/42/602326542.db2.gz KTQMEXYMEPEWPS-LBPRGKRZSA-N -1 1 301.306 1.348 20 0 DDADMM O=C([O-])NCCCNS(=O)(=O)c1c(F)cc(F)cc1F ZINC000740652443 602388616 /nfs/dbraw/zinc/38/86/16/602388616.db2.gz OBSVSFAIRGIASZ-UHFFFAOYSA-N -1 1 312.269 1.040 20 0 DDADMM O=C([O-])NCCCNC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000740655422 602406869 /nfs/dbraw/zinc/40/68/69/602406869.db2.gz NEDALMLPCMZUQP-UHFFFAOYSA-N -1 1 322.346 1.012 20 0 DDADMM COC(=O)c1oc(CN2CC[C@H](CN(C)C(=O)[O-])C2)cc1C ZINC000739794054 602529799 /nfs/dbraw/zinc/52/97/99/602529799.db2.gz UFUYLRYGSMGLAD-LLVKDONJSA-N -1 1 310.350 1.806 20 0 DDADMM COc1ccc2c(CN(C)[C@@H](C)CNC(=O)[O-])cc(=O)oc2c1 ZINC000739935555 602556723 /nfs/dbraw/zinc/55/67/23/602556723.db2.gz VEDUFRAQAHHGAR-JTQLQIEISA-N -1 1 320.345 1.890 20 0 DDADMM CN1CCC[C@@H](NC(=O)[C@@H](Cc2ccccc2)NC(=O)[O-])C1 ZINC000739726444 602569198 /nfs/dbraw/zinc/56/91/98/602569198.db2.gz AEPATODZSUGRKF-ZIAGYGMSSA-N -1 1 305.378 1.076 20 0 DDADMM O=C([O-])N1CCC[C@@H](CCNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000740424740 602642481 /nfs/dbraw/zinc/64/24/81/602642481.db2.gz NAWXPCOISXAORB-JTQLQIEISA-N -1 1 317.349 1.468 20 0 DDADMM O=C([O-])N1CC[C@H](CCNC(=O)N2CCN3CCCC[C@H]3C2)C1 ZINC000740502559 602722480 /nfs/dbraw/zinc/72/24/80/602722480.db2.gz TVTIBTBXJPNJBH-KBPBESRZSA-N -1 1 324.425 1.256 20 0 DDADMM C[C@H]1CN(C(=O)[O-])CCN1CCC(=O)Nc1ccc(F)cc1 ZINC000739160122 602737405 /nfs/dbraw/zinc/73/74/05/602737405.db2.gz JRZBVHFRBVZYJX-NSHDSACASA-N -1 1 309.341 1.838 20 0 DDADMM O=C([O-])N1CCCN(C[C@H](O)COc2ccccc2F)CC1 ZINC000740521327 602739928 /nfs/dbraw/zinc/73/99/28/602739928.db2.gz DJFULPKROXLYGD-LBPRGKRZSA-N -1 1 312.341 1.251 20 0 DDADMM C[C@@H]1CN([C@@H]2CCN(c3ccccc3)C2=O)CC[C@H]1NC(=O)[O-] ZINC000739175871 602759557 /nfs/dbraw/zinc/75/95/57/602759557.db2.gz LLQOZASNZPWERE-BPLDGKMQSA-N -1 1 317.389 1.770 20 0 DDADMM C[C@H]1CN(CC(=O)N(C)C2CCCCC2)CC[C@@H]1NC(=O)[O-] ZINC000739174578 602771481 /nfs/dbraw/zinc/77/14/81/602771481.db2.gz PNRPPMGEAAODOT-JSGCOSHPSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc3c[nH]nc32)C[C@H](C)N1C(=O)[O-] ZINC000739164537 602802403 /nfs/dbraw/zinc/80/24/03/602802403.db2.gz FBWUTPZIWJJTPG-AOOOYVTPSA-N -1 1 302.334 1.776 20 0 DDADMM CC(C)[C@@H](CNC(=O)N1CCN2CCCC[C@H]2C1)NC(=O)[O-] ZINC000738788576 602845633 /nfs/dbraw/zinc/84/56/33/602845633.db2.gz YUQUFLIFDHVIQY-QWHCGFSZSA-N -1 1 312.414 1.158 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H](Cc2ccccc2)N(C)C)CCN1C(=O)[O-] ZINC000739124121 602943452 /nfs/dbraw/zinc/94/34/52/602943452.db2.gz OVBNOIPJKVPUBW-HIFRSBDPSA-N -1 1 319.405 1.370 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@@H]1NC(=O)Cc1[nH]nc2ccccc21 ZINC000740627171 602975764 /nfs/dbraw/zinc/97/57/64/602975764.db2.gz ZJXQSBFBBGWMMN-JQWIXIFHSA-N -1 1 316.361 1.658 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCN(Cc3cccs3)CC2)C1 ZINC000740503634 602976952 /nfs/dbraw/zinc/97/69/52/602976952.db2.gz OWIJGBMGENPDQV-ZDUSSCGKSA-N -1 1 309.435 1.866 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)NC1CCN(C(=O)[O-])CC1 ZINC000736820862 602994222 /nfs/dbraw/zinc/99/42/22/602994222.db2.gz HGWOWJZAFROEFU-TZMCWYRMSA-N -1 1 324.425 1.397 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)N[C@H]1CCN(C(=O)[O-])C1 ZINC000739199124 602995744 /nfs/dbraw/zinc/99/57/44/602995744.db2.gz OLXFFMODEPANJX-FRRDWIJNSA-N -1 1 310.398 1.007 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)N[C@H]1CCN(C(=O)[O-])C1 ZINC000739199121 602995778 /nfs/dbraw/zinc/99/57/78/602995778.db2.gz OLXFFMODEPANJX-AGIUHOORSA-N -1 1 310.398 1.007 20 0 DDADMM CN1CCCC[C@H]1C(=O)NC[C@H](NC(=O)[O-])c1ccccc1 ZINC000739726839 603037614 /nfs/dbraw/zinc/03/76/14/603037614.db2.gz LSXOEWBLRGYJFL-KBPBESRZSA-N -1 1 305.378 1.596 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)Nc1ccc(-c2nc(CNC(=O)[O-])n[nH]2)cc1 ZINC000739083132 603055900 /nfs/dbraw/zinc/05/59/00/603055900.db2.gz NZDFFIFXOYYOSX-KWQFWETISA-N -1 1 315.333 1.834 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)Nc1ccc(-c2n[nH]c(CNC(=O)[O-])n2)cc1 ZINC000739083132 603055905 /nfs/dbraw/zinc/05/59/05/603055905.db2.gz NZDFFIFXOYYOSX-KWQFWETISA-N -1 1 315.333 1.834 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)Cc1n[nH]c2ccccc21)C(=O)[O-] ZINC000828197738 603169315 /nfs/dbraw/zinc/16/93/15/603169315.db2.gz FDOMFGSLFFHESY-NSHDSACASA-N -1 1 316.361 1.706 20 0 DDADMM CC(C)C[C@@](C)(CNC(=O)[O-])NC(=O)c1[nH]nc2c1CCC2 ZINC000738803303 603225626 /nfs/dbraw/zinc/22/56/26/603225626.db2.gz BPKDFBHONPRGMK-HNNXBMFYSA-N -1 1 308.382 1.701 20 0 DDADMM CCN(CC(=O)NC[C@H](c1ccc(F)cc1)N(C)C)C(=O)[O-] ZINC000739391373 603425921 /nfs/dbraw/zinc/42/59/21/603425921.db2.gz DNINATJFKRGNJM-CYBMUJFWSA-N -1 1 311.357 1.545 20 0 DDADMM CCN(CC(=O)NCc1n[nH]c(-c2ccccc2)n1)C(=O)[O-] ZINC000739395593 603429321 /nfs/dbraw/zinc/42/93/21/603429321.db2.gz WINWRNNSPXBRSB-UHFFFAOYSA-N -1 1 303.322 1.088 20 0 DDADMM CCN(CC(=O)NCc1nc(-c2ccccc2)n[nH]1)C(=O)[O-] ZINC000739395593 603429322 /nfs/dbraw/zinc/42/93/22/603429322.db2.gz WINWRNNSPXBRSB-UHFFFAOYSA-N -1 1 303.322 1.088 20 0 DDADMM C[N@H+](Cc1nc(=O)c2sccc2[n-]1)[C@H]1CCN(C(=O)[O-])C1 ZINC000828423082 603537833 /nfs/dbraw/zinc/53/78/33/603537833.db2.gz WTKDAFHQZSMLEZ-QMMMGPOBSA-N -1 1 308.363 1.581 20 0 DDADMM C[N@@H+](Cc1nc(=O)c2sccc2[n-]1)[C@H]1CCN(C(=O)[O-])C1 ZINC000828423082 603537835 /nfs/dbraw/zinc/53/78/35/603537835.db2.gz WTKDAFHQZSMLEZ-QMMMGPOBSA-N -1 1 308.363 1.581 20 0 DDADMM CN(CC1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1)C(=O)[O-] ZINC000828244908 603945333 /nfs/dbraw/zinc/94/53/33/603945333.db2.gz ASMXDVTWQXHRRO-LBPRGKRZSA-N -1 1 320.393 1.678 20 0 DDADMM O=C([O-])N[C@H]1CCCN(Cc2ccc(-n3ccnc3)nc2)C1 ZINC000832366711 603960297 /nfs/dbraw/zinc/96/02/97/603960297.db2.gz WTYGBGHLGDVDHB-ZDUSSCGKSA-N -1 1 301.350 1.499 20 0 DDADMM CCCN(C(=O)[C@H]1CCCc2[nH]ncc21)[C@H]1CCN(C(=O)[O-])C1 ZINC000826860003 603982206 /nfs/dbraw/zinc/98/22/06/603982206.db2.gz YDTRCJGECCXSQZ-RYUDHWBXSA-N -1 1 320.393 1.821 20 0 DDADMM O=C([O-])N(CCCNC(=O)[C@@H]1CCCc2[nH]ncc21)C1CC1 ZINC000831671530 603998601 /nfs/dbraw/zinc/99/86/01/603998601.db2.gz ACJVWWXFDVLADE-LLVKDONJSA-N -1 1 306.366 1.478 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(CN2CCSC2=S)C1 ZINC000825266703 604022596 /nfs/dbraw/zinc/02/25/96/604022596.db2.gz WMJIMQSRTJCTGS-NXEZZACHSA-N -1 1 303.453 1.646 20 0 DDADMM C[C@H](CN(C)C(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000824855975 604062427 /nfs/dbraw/zinc/06/24/27/604062427.db2.gz XKEIOPPCUKZWGE-OLZOCXBDSA-N -1 1 323.393 1.779 20 0 DDADMM COC(=O)c1cc(CN2CCC[C@H]2CN(C)C(=O)[O-])c(C)o1 ZINC000828742711 604092765 /nfs/dbraw/zinc/09/27/65/604092765.db2.gz KHRNUDBHLZXFHY-LBPRGKRZSA-N -1 1 310.350 1.949 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)[O-] ZINC000828426658 604127797 /nfs/dbraw/zinc/12/77/97/604127797.db2.gz MTUVOLGMARKBBP-MXWKQRLJSA-N -1 1 307.354 1.074 20 0 DDADMM C[C@@H]1CCCN(C(=O)CN2CCC[C@H](C)[C@@H]2CNC(=O)[O-])C1 ZINC000825905546 604168960 /nfs/dbraw/zinc/16/89/60/604168960.db2.gz MLEPMGMHEOQZFA-RDBSUJKOSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)NC(C2CC2)C2CC2)C1 ZINC000825252976 604195725 /nfs/dbraw/zinc/19/57/25/604195725.db2.gz HGIVAEPPNZFPJZ-SMDDNHRTSA-N -1 1 323.437 1.659 20 0 DDADMM Cc1cc(CC(=O)NCCc2ccc(NC(=O)[O-])cc2)[nH]n1 ZINC000830030322 604248844 /nfs/dbraw/zinc/24/88/44/604248844.db2.gz OCVKDPWEVAMVPT-UHFFFAOYSA-N -1 1 302.334 1.709 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C(=O)[O-])c(F)c1)c1nn[n-]n1 ZINC000829231022 604332817 /nfs/dbraw/zinc/33/28/17/604332817.db2.gz RWKKVIYOUFQNRF-SNVBAGLBSA-N -1 1 307.285 1.308 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C(=O)[O-])c(F)c1)c1nn[nH]n1 ZINC000829231022 604332820 /nfs/dbraw/zinc/33/28/20/604332820.db2.gz RWKKVIYOUFQNRF-SNVBAGLBSA-N -1 1 307.285 1.308 20 0 DDADMM O=C([O-])NCCN1CCN(Cc2cccc3cccnc32)CC1 ZINC000832641837 604360464 /nfs/dbraw/zinc/36/04/64/604360464.db2.gz LQXBOUSZJSAPBQ-UHFFFAOYSA-N -1 1 314.389 1.620 20 0 DDADMM Cc1cnn(CCCCCN2CCO[C@@H]([C@@H](C)NC(=O)[O-])C2)c1 ZINC000830646425 604629287 /nfs/dbraw/zinc/62/92/87/604629287.db2.gz VXPQNYWEHWWRSK-HUUCEWRRSA-N -1 1 324.425 1.719 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)Nc2nc(CC(=O)[O-])cs2)n[nH]1 ZINC000832884090 604653134 /nfs/dbraw/zinc/65/31/34/604653134.db2.gz VTSPYJPVVZSSOQ-SSDOTTSWSA-N -1 1 323.378 1.554 20 0 DDADMM COc1ccc([C@H](C)NCC(=O)N2CCN(C(=O)[O-])CC2)cc1 ZINC000829301666 604772410 /nfs/dbraw/zinc/77/24/10/604772410.db2.gz CKTRSKFVNWLVME-LBPRGKRZSA-N -1 1 321.377 1.168 20 0 DDADMM CC(C)C(=O)N[C@H]1CCCN([C@H](C(=O)[O-])c2cccnc2)C1 ZINC000833463518 604866221 /nfs/dbraw/zinc/86/62/21/604866221.db2.gz NRVPMSNVTOMJGE-KBPBESRZSA-N -1 1 305.378 1.444 20 0 DDADMM C[C@@H](O)[C@H]1CCCN(CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC000833528150 604925451 /nfs/dbraw/zinc/92/54/51/604925451.db2.gz NHAUXVMUXNTONK-OLZOCXBDSA-N -1 1 320.389 1.440 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCCC[C@H](SC)C1)C(=O)[O-] ZINC000833636839 604965012 /nfs/dbraw/zinc/96/50/12/604965012.db2.gz AUYAZLUJBWBWRN-OBJOEFQTSA-N -1 1 316.467 1.819 20 0 DDADMM CC(C)N(C(=O)[C@@H](C)N1CCC([C@H](O)C(=O)[O-])CC1)C(C)C ZINC000833402404 604977476 /nfs/dbraw/zinc/97/74/76/604977476.db2.gz LLSXMFFQBHRQDY-OCCSQVGLSA-N -1 1 314.426 1.178 20 0 DDADMM C[C@]1(c2ccccc2)CC(=O)N(CN2CC[C@@H](C(=O)[O-])C2)C1=O ZINC000820215366 605025991 /nfs/dbraw/zinc/02/59/91/605025991.db2.gz VRBCCQBBOBZTSC-SJKOYZFVSA-N -1 1 316.357 1.067 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cc2ccsc2[nH]1 ZINC000833627002 605095415 /nfs/dbraw/zinc/09/54/15/605095415.db2.gz USBFGECSAHJKRV-JTQLQIEISA-N -1 1 321.402 1.850 20 0 DDADMM CC(C)C(=O)NC[C@H]1CCCN(Cc2ccc(C(=O)[O-])[nH]2)C1 ZINC000833463420 605105522 /nfs/dbraw/zinc/10/55/22/605105522.db2.gz NKCZYMWLBGTDRF-GFCCVEGCSA-N -1 1 307.394 1.697 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1CC1(Cl)Cl ZINC000833626194 605106646 /nfs/dbraw/zinc/10/66/46/605106646.db2.gz YFLYKAOMHGTNOJ-DTWKUNHWSA-N -1 1 309.193 1.188 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833484352 605106670 /nfs/dbraw/zinc/10/66/70/605106670.db2.gz ZJSVXYIJUXXPCM-UONOGXRCSA-N -1 1 314.426 1.445 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN[C@@H](C)c1cccc(O)c1)C(=O)[O-] ZINC000833637186 605122284 /nfs/dbraw/zinc/12/22/84/605122284.db2.gz CRYYDUAMNGHNEO-ZIBATOQPSA-N -1 1 308.378 1.658 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)C1(c2ccccc2)CC1 ZINC000833625649 605156111 /nfs/dbraw/zinc/15/61/11/605156111.db2.gz XAPOVEBEMLGUJT-CQSZACIVSA-N -1 1 316.401 1.726 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCC[C@@H](NC(=O)C(C)C)C2)O1 ZINC000833584600 605328242 /nfs/dbraw/zinc/32/82/42/605328242.db2.gz OHQCNUSUECCOCZ-OLZOCXBDSA-N -1 1 310.394 1.371 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(Cc2cnc(N(C)C)nc2)C1 ZINC000833812469 605599707 /nfs/dbraw/zinc/59/97/07/605599707.db2.gz JWSYNQJLMMEVIT-DGCLKSJQSA-N -1 1 307.398 1.411 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CCN(C(=O)[O-])C[C@H]1C)C2 ZINC000833916326 605856417 /nfs/dbraw/zinc/85/64/17/605856417.db2.gz PUYQVDBOLWFNGS-MWLCHTKSSA-N -1 1 306.366 1.034 20 0 DDADMM O=C(CN(C(=O)[O-])C1CC1)NC[C@@H](c1ccco1)N1CCCC1 ZINC000834000016 605959461 /nfs/dbraw/zinc/95/94/61/605959461.db2.gz SUWYRNGQRYPLIS-ZDUSSCGKSA-N -1 1 321.377 1.675 20 0 DDADMM O=C([O-])NCCCCCCC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000834237419 605960148 /nfs/dbraw/zinc/96/01/48/605960148.db2.gz DWUVVSBFBDPVGL-LLVKDONJSA-N -1 1 308.382 1.601 20 0 DDADMM C[C@@H]1[C@H](C)N(CCCNC(=O)C(F)(F)F)CCN1C(=O)[O-] ZINC000833827659 606043835 /nfs/dbraw/zinc/04/38/35/606043835.db2.gz LWGMJCDMIDSKCR-DTWKUNHWSA-N -1 1 311.304 1.128 20 0 DDADMM C[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)NC(C)(C)C ZINC000820511906 606137334 /nfs/dbraw/zinc/13/73/34/606137334.db2.gz FFVOZNCTVYATJX-SSDOTTSWSA-N -1 1 323.378 1.388 20 0 DDADMM C[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)NC(C)(C)C ZINC000820511906 606137335 /nfs/dbraw/zinc/13/73/35/606137335.db2.gz FFVOZNCTVYATJX-SSDOTTSWSA-N -1 1 323.378 1.388 20 0 DDADMM C/C=C(/C)C(=O)OCC(=O)Nc1oc(C)c(C)c1-c1nn[n-]n1 ZINC000820825774 606191220 /nfs/dbraw/zinc/19/12/20/606191220.db2.gz DBFMKPVDZSLQSB-ALCCZGGFSA-N -1 1 319.321 1.524 20 0 DDADMM CCS[C@H]1CCC[C@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821457117 606325464 /nfs/dbraw/zinc/32/54/64/606325464.db2.gz URPNVSHAZLQPER-PWSUYJOCSA-N -1 1 318.406 1.666 20 0 DDADMM CCS[C@H]1CCC[C@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821457117 606325466 /nfs/dbraw/zinc/32/54/66/606325466.db2.gz URPNVSHAZLQPER-PWSUYJOCSA-N -1 1 318.406 1.666 20 0 DDADMM O=C(Nc1ccc2c(c1)OCO2)c1ccc(-c2nnn[n-]2)s1 ZINC000823356298 606486809 /nfs/dbraw/zinc/48/68/09/606486809.db2.gz KLBDTTFPRLURNT-UHFFFAOYSA-N -1 1 315.314 1.909 20 0 DDADMM O=C(Nc1ccc2c(c1)OCO2)c1ccc(-c2nn[n-]n2)s1 ZINC000823356298 606486810 /nfs/dbraw/zinc/48/68/10/606486810.db2.gz KLBDTTFPRLURNT-UHFFFAOYSA-N -1 1 315.314 1.909 20 0 DDADMM COCCN(CC(F)F)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821689127 606546057 /nfs/dbraw/zinc/54/60/57/606546057.db2.gz LVIATLKQMSUDRG-UHFFFAOYSA-N -1 1 317.321 1.282 20 0 DDADMM COCCN(CC(F)F)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821689127 606546059 /nfs/dbraw/zinc/54/60/59/606546059.db2.gz LVIATLKQMSUDRG-UHFFFAOYSA-N -1 1 317.321 1.282 20 0 DDADMM CC(C)n1cc(NC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000820211070 606546790 /nfs/dbraw/zinc/54/67/90/606546790.db2.gz BSZCKVIBLVUCSO-UHFFFAOYSA-N -1 1 303.351 1.958 20 0 DDADMM CC(C)n1cc(NC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000820211070 606546792 /nfs/dbraw/zinc/54/67/92/606546792.db2.gz BSZCKVIBLVUCSO-UHFFFAOYSA-N -1 1 303.351 1.958 20 0 DDADMM Cc1nc(C)c(CNC(=O)c2ccc(-c3nnn[n-]3)s2)s1 ZINC000822431767 606573557 /nfs/dbraw/zinc/57/35/57/606573557.db2.gz ITWZRVQMECJJST-UHFFFAOYSA-N -1 1 320.403 1.932 20 0 DDADMM Cc1nc(C)c(CNC(=O)c2ccc(-c3nn[n-]n3)s2)s1 ZINC000822431767 606573559 /nfs/dbraw/zinc/57/35/59/606573559.db2.gz ITWZRVQMECJJST-UHFFFAOYSA-N -1 1 320.403 1.932 20 0 DDADMM Cc1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c(=O)[nH]1 ZINC000822227675 606650239 /nfs/dbraw/zinc/65/02/39/606650239.db2.gz RDPBKDUNCJFICT-UHFFFAOYSA-N -1 1 312.289 1.028 20 0 DDADMM Cc1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c(=O)[nH]1 ZINC000822227675 606650240 /nfs/dbraw/zinc/65/02/40/606650240.db2.gz RDPBKDUNCJFICT-UHFFFAOYSA-N -1 1 312.289 1.028 20 0 DDADMM Fc1cc(F)c2c(c1)CN(c1nccnc1-c1nnn[n-]1)CC2 ZINC000822754036 607040729 /nfs/dbraw/zinc/04/07/29/607040729.db2.gz WQYHRSKZRMXIDU-UHFFFAOYSA-N -1 1 315.287 1.498 20 0 DDADMM Fc1cc(F)c2c(c1)CN(c1nccnc1-c1nn[n-]n1)CC2 ZINC000822754036 607040730 /nfs/dbraw/zinc/04/07/30/607040730.db2.gz WQYHRSKZRMXIDU-UHFFFAOYSA-N -1 1 315.287 1.498 20 0 DDADMM C[S@@](=O)Cc1cccc(Nc2cccc(-c3nnn[n-]3)n2)c1 ZINC000826225070 607900200 /nfs/dbraw/zinc/90/02/00/607900200.db2.gz SHDXPYKIJAADHO-JOCHJYFZSA-N -1 1 314.374 1.884 20 0 DDADMM C[S@@](=O)Cc1cccc(Nc2cccc(-c3nn[n-]n3)n2)c1 ZINC000826225070 607900201 /nfs/dbraw/zinc/90/02/01/607900201.db2.gz SHDXPYKIJAADHO-JOCHJYFZSA-N -1 1 314.374 1.884 20 0 DDADMM C[C@@H](C(=O)OC(C)(C)C)N(C)c1cccc(-c2nnn[n-]2)n1 ZINC000824052733 607901411 /nfs/dbraw/zinc/90/14/11/607901411.db2.gz QSJLQXOTKPFSKW-VIFPVBQESA-N -1 1 304.354 1.428 20 0 DDADMM C[C@@H](C(=O)OC(C)(C)C)N(C)c1cccc(-c2nn[n-]n2)n1 ZINC000824052733 607901412 /nfs/dbraw/zinc/90/14/12/607901412.db2.gz QSJLQXOTKPFSKW-VIFPVBQESA-N -1 1 304.354 1.428 20 0 DDADMM CC[C@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)[C@@H]1CCCO1 ZINC000825135000 607912847 /nfs/dbraw/zinc/91/28/47/607912847.db2.gz YEOLKOWSUCUQAW-IUCAKERBSA-N -1 1 307.379 1.616 20 0 DDADMM CC[C@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)[C@@H]1CCCO1 ZINC000825135000 607912848 /nfs/dbraw/zinc/91/28/48/607912848.db2.gz YEOLKOWSUCUQAW-IUCAKERBSA-N -1 1 307.379 1.616 20 0 DDADMM CC1(C)CCC[C@H](CC(=O)n2ncc(-c3nn[n-]n3)c2N)C1 ZINC000824801682 607928569 /nfs/dbraw/zinc/92/85/69/607928569.db2.gz GFHQZHOXAVPTAV-SECBINFHSA-N -1 1 303.370 1.892 20 0 DDADMM Cc1cc(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)ncn1 ZINC000826267232 607935236 /nfs/dbraw/zinc/93/52/36/607935236.db2.gz XCEFCEKAXNHLNW-UHFFFAOYSA-N -1 1 302.729 1.626 20 0 DDADMM Cc1cc(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)ncn1 ZINC000826267232 607935237 /nfs/dbraw/zinc/93/52/37/607935237.db2.gz XCEFCEKAXNHLNW-UHFFFAOYSA-N -1 1 302.729 1.626 20 0 DDADMM c1cn(CCCc2nc(-c3ccccc3)no2)c(-c2nnn[n-]2)n1 ZINC000826519492 608147458 /nfs/dbraw/zinc/14/74/58/608147458.db2.gz APBWRSZOXRJCTB-UHFFFAOYSA-N -1 1 322.332 1.746 20 0 DDADMM c1cn(CCCc2nc(-c3ccccc3)no2)c(-c2nn[n-]n2)n1 ZINC000826519492 608147460 /nfs/dbraw/zinc/14/74/60/608147460.db2.gz APBWRSZOXRJCTB-UHFFFAOYSA-N -1 1 322.332 1.746 20 0 DDADMM O=C(N[C@@H](CO)C1CCCCC1)c1ccc(-c2nnn[n-]2)s1 ZINC000826426602 608186293 /nfs/dbraw/zinc/18/62/93/608186293.db2.gz RGPGMIJRZGSTLQ-JTQLQIEISA-N -1 1 321.406 1.599 20 0 DDADMM O=C(N[C@@H](CO)C1CCCCC1)c1ccc(-c2nn[n-]n2)s1 ZINC000826426602 608186295 /nfs/dbraw/zinc/18/62/95/608186295.db2.gz RGPGMIJRZGSTLQ-JTQLQIEISA-N -1 1 321.406 1.599 20 0 DDADMM CC[C@@H](CSC)N(C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000825122182 608338667 /nfs/dbraw/zinc/33/86/67/608338667.db2.gz XUPFXAXTNLYSNZ-QMMMGPOBSA-N -1 1 324.414 1.206 20 0 DDADMM CC[C@@H](CSC)N(C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000825122182 608338669 /nfs/dbraw/zinc/33/86/69/608338669.db2.gz XUPFXAXTNLYSNZ-QMMMGPOBSA-N -1 1 324.414 1.206 20 0 DDADMM Cc1cc(C)c(CC(=O)n2ncc(-c3nn[n-]n3)c2N)c(C)c1 ZINC000826263318 608355156 /nfs/dbraw/zinc/35/51/56/608355156.db2.gz VNRXSMWNSFADMO-UHFFFAOYSA-N -1 1 311.349 1.454 20 0 DDADMM CCOC(=O)C1CCC(Nc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000825499504 608403022 /nfs/dbraw/zinc/40/30/22/608403022.db2.gz NSSLIIWLVYHCBW-UHFFFAOYSA-N -1 1 317.353 1.191 20 0 DDADMM CCOC(=O)C1CCC(Nc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000825499504 608403024 /nfs/dbraw/zinc/40/30/24/608403024.db2.gz NSSLIIWLVYHCBW-UHFFFAOYSA-N -1 1 317.353 1.191 20 0 DDADMM c1ccc2c(c1)nc(-c1nnn[n-]1)cc2NCCc1cnccn1 ZINC000826523340 608416241 /nfs/dbraw/zinc/41/62/41/608416241.db2.gz JJBZETYNWFDSGV-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM c1ccc2c(c1)nc(-c1nn[n-]n1)cc2NCCc1cnccn1 ZINC000826523340 608416242 /nfs/dbraw/zinc/41/62/42/608416242.db2.gz JJBZETYNWFDSGV-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM O[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)c1ccccc1Cl ZINC000826502719 608423431 /nfs/dbraw/zinc/42/34/31/608423431.db2.gz JGLZGMRRXLAUIN-NSHDSACASA-N -1 1 317.740 1.456 20 0 DDADMM O[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)c1ccccc1Cl ZINC000826502719 608423432 /nfs/dbraw/zinc/42/34/32/608423432.db2.gz JGLZGMRRXLAUIN-NSHDSACASA-N -1 1 317.740 1.456 20 0 DDADMM C[C@H](C[C@@H](O)c1ccccc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824390867 608431136 /nfs/dbraw/zinc/43/11/36/608431136.db2.gz FBAFEBRPYUORBO-ZWNOBZJWSA-N -1 1 311.349 1.581 20 0 DDADMM C[C@H](C[C@@H](O)c1ccccc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824390867 608431137 /nfs/dbraw/zinc/43/11/37/608431137.db2.gz FBAFEBRPYUORBO-ZWNOBZJWSA-N -1 1 311.349 1.581 20 0 DDADMM CC[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)c1c(C)noc1C ZINC000825147334 608438522 /nfs/dbraw/zinc/43/85/22/608438522.db2.gz IJBAKNFTEWSRKO-SECBINFHSA-N -1 1 300.326 1.825 20 0 DDADMM CC[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)c1c(C)noc1C ZINC000825147334 608438524 /nfs/dbraw/zinc/43/85/24/608438524.db2.gz IJBAKNFTEWSRKO-SECBINFHSA-N -1 1 300.326 1.825 20 0 DDADMM Oc1ccc(CN(CC2CC2)c2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826511431 608439175 /nfs/dbraw/zinc/43/91/75/608439175.db2.gz PYGUOVQBSMPBON-UHFFFAOYSA-N -1 1 323.360 1.779 20 0 DDADMM Oc1ccc(CN(CC2CC2)c2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826511431 608439176 /nfs/dbraw/zinc/43/91/76/608439176.db2.gz PYGUOVQBSMPBON-UHFFFAOYSA-N -1 1 323.360 1.779 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NC[C@H](C)CC1(C)OCCO1 ZINC000826280588 608544387 /nfs/dbraw/zinc/54/43/87/608544387.db2.gz HYZHFDXMPIIHJJ-SNVBAGLBSA-N -1 1 318.381 1.771 20 0 DDADMM CN1Cc2cc(Oc3cccc(-c4nnn[n-]4)n3)ccc2C1=O ZINC000826045495 608553782 /nfs/dbraw/zinc/55/37/82/608553782.db2.gz WYFLUDLHZKVOSL-UHFFFAOYSA-N -1 1 308.301 1.640 20 0 DDADMM CN1Cc2cc(Oc3cccc(-c4nn[n-]n4)n3)ccc2C1=O ZINC000826045495 608553784 /nfs/dbraw/zinc/55/37/84/608553784.db2.gz WYFLUDLHZKVOSL-UHFFFAOYSA-N -1 1 308.301 1.640 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1(C)C ZINC000826144866 608591605 /nfs/dbraw/zinc/59/16/05/608591605.db2.gz UMIYJHGDSSZPEZ-JFUSQASVSA-N -1 1 316.365 1.051 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1(C)C ZINC000826144866 608591607 /nfs/dbraw/zinc/59/16/07/608591607.db2.gz UMIYJHGDSSZPEZ-JFUSQASVSA-N -1 1 316.365 1.051 20 0 DDADMM Cc1nc([C@H]2CCCCN2Cc2ccc(-c3nnn[n-]3)o2)no1 ZINC000826324687 608617516 /nfs/dbraw/zinc/61/75/16/608617516.db2.gz AYXPLRIEIACFGB-LLVKDONJSA-N -1 1 315.337 1.878 20 0 DDADMM Cc1nc([C@H]2CCCCN2Cc2ccc(-c3nn[n-]n3)o2)no1 ZINC000826324687 608617519 /nfs/dbraw/zinc/61/75/19/608617519.db2.gz AYXPLRIEIACFGB-LLVKDONJSA-N -1 1 315.337 1.878 20 0 DDADMM Cc1cc2c(cc1NC(=O)c1ccc(-c3nnn[n-]3)nc1)OCO2 ZINC000826273321 609284599 /nfs/dbraw/zinc/28/45/99/609284599.db2.gz YQGXZRIDHPVCIX-UHFFFAOYSA-N -1 1 324.300 1.551 20 0 DDADMM Cc1cc2c(cc1NC(=O)c1ccc(-c3nn[n-]n3)nc1)OCO2 ZINC000826273321 609284601 /nfs/dbraw/zinc/28/46/01/609284601.db2.gz YQGXZRIDHPVCIX-UHFFFAOYSA-N -1 1 324.300 1.551 20 0 DDADMM CC(C)[C@H]1C[C@H](CC(=O)n2ncc(-c3nn[n-]n3)c2N)CCO1 ZINC000824214032 609434563 /nfs/dbraw/zinc/43/45/63/609434563.db2.gz DQINKTXSIUKGKN-MWLCHTKSSA-N -1 1 319.369 1.127 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1ccc[n+]([O-])c1 ZINC000121491367 696711032 /nfs/dbraw/zinc/71/10/32/696711032.db2.gz OKUYNQOXXUSCCT-UHFFFAOYSA-N -1 1 310.107 1.435 20 0 DDADMM CCC(=O)N1CC[C@@H]2[C@H](CCCN2C(=O)c2ncccc2[O-])C1 ZINC000973716621 695518703 /nfs/dbraw/zinc/51/87/03/695518703.db2.gz DQPYRRPVUOXLHS-CHWSQXEVSA-N -1 1 317.389 1.650 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974040911 695626785 /nfs/dbraw/zinc/62/67/85/695626785.db2.gz KSNPDNBKVCQFDV-OUAUKWLOSA-N -1 1 303.362 1.210 20 0 DDADMM O=C(COC(=O)CCc1ccco1)[N-]C(=O)c1ccccc1 ZINC000007290737 696024767 /nfs/dbraw/zinc/02/47/67/696024767.db2.gz ROUYOZMRQOKKAB-UHFFFAOYSA-N -1 1 301.298 1.712 20 0 DDADMM CCC(Nc1cccnc1Cl)=C1C(=O)[N-]C(=S)NC1=O ZINC000009769283 696037496 /nfs/dbraw/zinc/03/74/96/696037496.db2.gz XFTDQVWATMGPFK-UHFFFAOYSA-N -1 1 310.766 1.342 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2ccc(F)cc2)c1Cl ZINC000027939481 696101953 /nfs/dbraw/zinc/10/19/53/696101953.db2.gz CWYBBJGLOAJCPK-UHFFFAOYSA-N -1 1 303.746 1.691 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCN(C(C)C)C1=O ZINC000747395595 700067795 /nfs/dbraw/zinc/06/77/95/700067795.db2.gz PXUQAXVEYCKGSV-LLVKDONJSA-N -1 1 320.393 1.353 20 0 DDADMM CC(NCc1cccc(Cl)c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000048392250 696211507 /nfs/dbraw/zinc/21/15/07/696211507.db2.gz AOVHLVCNLANGEY-UHFFFAOYSA-N -1 1 309.778 1.235 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)[C@H]1CC12CC2)C(=O)c1ncccc1[O-] ZINC000977601942 696230699 /nfs/dbraw/zinc/23/06/99/696230699.db2.gz QCCFGVCLBKGSLY-NWDGAFQWSA-N -1 1 315.373 1.260 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(SC(F)(F)F)cc1 ZINC000053286244 696265505 /nfs/dbraw/zinc/26/55/05/696265505.db2.gz SGCGZIZKPBXXGP-UHFFFAOYSA-N -1 1 319.264 1.495 20 0 DDADMM CCC[C@@H](NC(C)=C1C(=O)[N-]C(=S)NC1=O)c1ccccc1 ZINC000054500539 696276605 /nfs/dbraw/zinc/27/66/05/696276605.db2.gz HYKQOHXWBXKWLV-GFCCVEGCSA-N -1 1 317.414 1.922 20 0 DDADMM O=C(COC(=O)c1ccnc(F)c1)[N-]C(=O)c1ccccc1 ZINC000064730173 696341425 /nfs/dbraw/zinc/34/14/25/696341425.db2.gz JZIORTGXJFJGSH-UHFFFAOYSA-N -1 1 302.261 1.334 20 0 DDADMM Cc1cc(C)cc(OCC(=O)NCc2n[n-]c(=S)n2C)c1 ZINC000066626163 696353861 /nfs/dbraw/zinc/35/38/61/696353861.db2.gz LOGPQDVVIBPEES-UHFFFAOYSA-N -1 1 306.391 1.790 20 0 DDADMM Cc1cc(C)n([C@@H](C)C(=O)NCCn2c(C)n[n-]c2=S)n1 ZINC000073942310 696409216 /nfs/dbraw/zinc/40/92/16/696409216.db2.gz RHHPFGXAOFYEFK-JTQLQIEISA-N -1 1 308.411 1.440 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])C(C)(C)c1ccccc1 ZINC000079989695 696465716 /nfs/dbraw/zinc/46/57/16/696465716.db2.gz ZTLDJDNTURFFNK-JQWIXIFHSA-N -1 1 319.430 1.816 20 0 DDADMM Cc1cccc([C@H](C)NC(=O)Cc2sc(N)nc2[O-])c1C ZINC000080321948 696533185 /nfs/dbraw/zinc/53/31/85/696533185.db2.gz GRRXCFVGPPHLBC-JQWIXIFHSA-N -1 1 305.403 1.827 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cc(F)c(F)c(F)c2)s1 ZINC000080435538 696534530 /nfs/dbraw/zinc/53/45/30/696534530.db2.gz AMDWTBRABGRZFI-SSDOTTSWSA-N -1 1 303.265 1.389 20 0 DDADMM Cc1noc(C)c1CN(C)CC(=O)N[N-]C(=O)c1cccs1 ZINC000080722318 696536999 /nfs/dbraw/zinc/53/69/99/696536999.db2.gz IPHIOZQNOREKRK-UHFFFAOYSA-N -1 1 322.390 1.246 20 0 DDADMM CCc1noc(CC)c1CC(=O)NCc1n[n-]c(=S)n1C ZINC000087298293 696568042 /nfs/dbraw/zinc/56/80/42/696568042.db2.gz DOKFARLGNFABES-UHFFFAOYSA-N -1 1 309.395 1.449 20 0 DDADMM Cc1ccc(C[C@@H](C)NC(=O)Cc2sc(N)nc2[O-])c(C)c1 ZINC000095962114 696603434 /nfs/dbraw/zinc/60/34/34/696603434.db2.gz MYKYTGWMAAMUDB-YPMHNXCESA-N -1 1 319.430 1.697 20 0 DDADMM O=C([N-]c1nnc(C2CC2)s1)c1nnc2ccccc2c1O ZINC000109941335 696638914 /nfs/dbraw/zinc/63/89/14/696638914.db2.gz AIXTXUARLHOPGD-UHFFFAOYSA-N -1 1 313.342 1.904 20 0 DDADMM C[C@@H](Cc1ccc(F)cc1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000124011734 696737645 /nfs/dbraw/zinc/73/76/45/696737645.db2.gz OMECNIQHGUFFET-QWRGUYRKSA-N -1 1 305.357 1.780 20 0 DDADMM O=C([N-]CCCn1c(=O)onc1-c1ccccc1)C(F)(F)F ZINC000151776220 696890652 /nfs/dbraw/zinc/89/06/52/696890652.db2.gz UPHLKTDZTTXKKG-UHFFFAOYSA-N -1 1 315.251 1.572 20 0 DDADMM O=C(NNc1cc(Cl)ccn1)c1cc([N+](=O)[O-])c[n-]c1=O ZINC000183601365 697490844 /nfs/dbraw/zinc/49/08/44/697490844.db2.gz HLKJXEVVBFWPPR-UHFFFAOYSA-N -1 1 309.669 1.501 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C2=CCCC2)CC1 ZINC000985372327 697500506 /nfs/dbraw/zinc/50/05/06/697500506.db2.gz BLHSMORQWFVEFS-UHFFFAOYSA-N -1 1 305.382 1.043 20 0 DDADMM C[C@@H](C(=O)[N-]OC[C@@H]1CCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000188009146 697552786 /nfs/dbraw/zinc/55/27/86/697552786.db2.gz NZBKXUAQAKNWIH-NXEZZACHSA-N -1 1 312.297 1.922 20 0 DDADMM COc1ccc([C@@H](O)CNC(=O)c2ccc(C#N)c([O-])c2)cc1 ZINC000188295642 697556535 /nfs/dbraw/zinc/55/65/35/697556535.db2.gz HMYKBLAIWPEXKW-INIZCTEOSA-N -1 1 312.325 1.736 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC000985689610 697556724 /nfs/dbraw/zinc/55/67/24/697556724.db2.gz SBUWDHFZOFLYHI-VXGBXAGGSA-N -1 1 321.425 1.369 20 0 DDADMM CC(C)(C)S(=O)(=O)CCNC(=O)c1ccc(C#N)c([O-])c1 ZINC000188491464 697560527 /nfs/dbraw/zinc/56/05/27/697560527.db2.gz SCLMPZYYMDTTHP-UHFFFAOYSA-N -1 1 310.375 1.207 20 0 DDADMM N#Cc1ccc(C(=O)NCC(=O)OC2CCCCC2)cc1[O-] ZINC000188607317 697561675 /nfs/dbraw/zinc/56/16/75/697561675.db2.gz RPOKGNGDWYKDQA-UHFFFAOYSA-N -1 1 302.330 1.870 20 0 DDADMM N#Cc1ccc(S(=O)(=O)[N-]c2cc([N+](=O)[O-])ccc2F)cn1 ZINC000189258347 697573932 /nfs/dbraw/zinc/57/39/32/697573932.db2.gz QHGCABQXAXBYIR-UHFFFAOYSA-N -1 1 322.277 1.801 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)s1 ZINC000985894106 697597276 /nfs/dbraw/zinc/59/72/76/697597276.db2.gz UMPGMNVWANBEPY-NXEZZACHSA-N -1 1 321.406 1.273 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc(F)c1 ZINC000985900609 697598791 /nfs/dbraw/zinc/59/87/91/697598791.db2.gz JRYLRTDTMPOFHG-BXKDBHETSA-N -1 1 319.340 1.042 20 0 DDADMM CC(C)c1nc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cs1 ZINC000773147552 697718478 /nfs/dbraw/zinc/71/84/78/697718478.db2.gz BQSXSRICNRBRSF-SECBINFHSA-N -1 1 324.435 1.710 20 0 DDADMM O=C(CC[C@@H]1CCCCO1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773157515 697720583 /nfs/dbraw/zinc/72/05/83/697720583.db2.gz CRLIIDJHBVTIHG-QWRGUYRKSA-N -1 1 311.411 1.166 20 0 DDADMM O=C(NCc1cnc(Br)cn1)c1ncccc1[O-] ZINC000773506695 697769621 /nfs/dbraw/zinc/76/96/21/697769621.db2.gz VHOIMVFVNDWLLH-UHFFFAOYSA-N -1 1 309.123 1.270 20 0 DDADMM O=C(COC(=O)c1ccc([O-])cc1F)N1CCOC[C@@H]1C1CC1 ZINC000773576873 697783098 /nfs/dbraw/zinc/78/30/98/697783098.db2.gz DLQOWBSTYFHIKC-CQSZACIVSA-N -1 1 323.320 1.326 20 0 DDADMM O=C(CCc1cscn1)OCCC[N-]C(=O)C(F)(F)F ZINC000774949528 697945913 /nfs/dbraw/zinc/94/59/13/697945913.db2.gz JTBWPWYWOKLUQE-UHFFFAOYSA-N -1 1 310.297 1.688 20 0 DDADMM CCn1nc(C)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1Cl ZINC000775188827 697970119 /nfs/dbraw/zinc/97/01/19/697970119.db2.gz DWUKUZQPRDSMAK-VIFPVBQESA-N -1 1 323.788 1.398 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2cc3cc(F)ccc3s2)C1=O ZINC000776246085 698087376 /nfs/dbraw/zinc/08/73/76/698087376.db2.gz PHTKONONONZEKQ-UHFFFAOYSA-N -1 1 307.306 1.579 20 0 DDADMM C[C@H](C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C)C1CC1 ZINC000987532563 698141412 /nfs/dbraw/zinc/14/14/12/698141412.db2.gz LQOOKJQKSCVJGN-LOWVWBTDSA-N -1 1 317.389 1.553 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C=C2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC000987569330 698153625 /nfs/dbraw/zinc/15/36/25/698153625.db2.gz YIXCAWWPVQWZDC-YPMHNXCESA-N -1 1 315.373 1.617 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)COC(C)(C)C)cc1 ZINC000778155054 698245706 /nfs/dbraw/zinc/24/57/06/698245706.db2.gz GGXXZYKZLRSNIK-UHFFFAOYSA-N -1 1 323.345 1.310 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)CC1CCC1)N1CCCCC1 ZINC000778693806 698359808 /nfs/dbraw/zinc/35/98/08/698359808.db2.gz AKSPPMVQYPIIJQ-AWEZNQCLSA-N -1 1 316.467 1.743 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCOC[C@@H]1[C@H]1CCCC1=O ZINC000779075228 698393881 /nfs/dbraw/zinc/39/38/81/698393881.db2.gz XAQVICITHXXKSW-DGCLKSJQSA-N -1 1 307.321 1.742 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2cc[nH]c2C)cc1 ZINC000750525617 700228613 /nfs/dbraw/zinc/22/86/13/700228613.db2.gz RUQVFCRTHYNFQU-UHFFFAOYSA-N -1 1 316.313 1.445 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CC(C)(C)C1 ZINC000988778501 698462278 /nfs/dbraw/zinc/46/22/78/698462278.db2.gz QFHQUIQSFMWNLG-MWLCHTKSSA-N -1 1 307.398 1.026 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CCC[C@@H]1C(=O)[O-])c1cccc(F)c1 ZINC000780360423 698511042 /nfs/dbraw/zinc/51/10/42/698511042.db2.gz PRUWMAHWUSEDED-ZIAGYGMSSA-N -1 1 323.368 1.687 20 0 DDADMM C[C@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)c1cnn(C)c1 ZINC000750557078 700231747 /nfs/dbraw/zinc/23/17/47/700231747.db2.gz IBBYLHZTIFXXJI-NSHDSACASA-N -1 1 315.329 1.023 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC000989246228 698566013 /nfs/dbraw/zinc/56/60/13/698566013.db2.gz GKCNEACSXINUAG-KKOKHZNYSA-N -1 1 303.362 1.162 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)C[C@@H](OC)c1ccccc1)C(=O)OC ZINC000296453919 698592959 /nfs/dbraw/zinc/59/29/59/698592959.db2.gz WRPSETCHCHDCQK-QWHCGFSZSA-N -1 1 315.391 1.245 20 0 DDADMM COC1CCC(C(=O)OCCC[N-]C(=O)C(F)(F)F)CC1 ZINC000781244126 698602070 /nfs/dbraw/zinc/60/20/70/698602070.db2.gz OPGLGPZJHHJUOA-UHFFFAOYSA-N -1 1 311.300 1.803 20 0 DDADMM CCO[C@@H]1C[C@@](CO)([N-]C(=O)C(F)(F)C(F)F)C1(C)C ZINC000781872106 698658005 /nfs/dbraw/zinc/65/80/05/698658005.db2.gz GUXSEXAKORTUQH-HQJQHLMTSA-N -1 1 301.280 1.569 20 0 DDADMM CC[C@H](NC(=O)c1cc(Br)ccc1[O-])C(=O)OC ZINC000310886125 698671623 /nfs/dbraw/zinc/67/16/23/698671623.db2.gz WIPGRTRLPSFDEY-VIFPVBQESA-N -1 1 316.151 1.836 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1CCC(CO)CC1 ZINC000319916437 698715724 /nfs/dbraw/zinc/71/57/24/698715724.db2.gz LBPCFKZGBFXYAP-UHFFFAOYSA-N -1 1 307.394 1.895 20 0 DDADMM CCC1(C(=O)OCCOCC[N-]C(=O)C(F)(F)F)CCC1 ZINC000782645152 698757201 /nfs/dbraw/zinc/75/72/01/698757201.db2.gz CLMDOANYKRTQKJ-UHFFFAOYSA-N -1 1 311.300 1.805 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1C[C@@H]2C[C@]2(CO)C1 ZINC000783786724 698879577 /nfs/dbraw/zinc/87/95/77/698879577.db2.gz RGTKVTLHJPTTPF-TVQRCGJNSA-N -1 1 301.264 1.865 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)[C@@H]2CC23CC3)CCN1C(=O)c1ncccc1[O-] ZINC000990409099 699045388 /nfs/dbraw/zinc/04/53/88/699045388.db2.gz VJTUFKUSDUPCPE-WOPDTQHZSA-N -1 1 315.373 1.307 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])COC1 ZINC000394002796 699105470 /nfs/dbraw/zinc/10/54/70/699105470.db2.gz ZZQRWNGUWJHJQY-UHFFFAOYSA-N -1 1 318.326 1.439 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2CCC=CO2)cc1 ZINC000787051716 699187355 /nfs/dbraw/zinc/18/73/55/699187355.db2.gz IIVOOXJCXUNJHA-ZDUSSCGKSA-N -1 1 319.313 1.188 20 0 DDADMM O=C(NC1CN(C(=O)c2cccc(F)c2)C1)c1ncccc1[O-] ZINC000990968470 699195254 /nfs/dbraw/zinc/19/52/54/699195254.db2.gz JLPLTTZWSSXVAV-UHFFFAOYSA-N -1 1 315.304 1.181 20 0 DDADMM O=C(C[C@@H]1C[C@H]1C1CC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990972137 699196503 /nfs/dbraw/zinc/19/65/03/699196503.db2.gz LWXLIJOOBFLIGQ-AAEUAGOBSA-N -1 1 315.373 1.164 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990978340 699197946 /nfs/dbraw/zinc/19/79/46/699197946.db2.gz NFPQSZQAZMKNOM-WDEREUQCSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000701207969 699226173 /nfs/dbraw/zinc/22/61/73/699226173.db2.gz IZLKBUYJZVRTCD-FBSDJGSXSA-N -1 1 322.789 1.964 20 0 DDADMM O=C(NCC[C@@H]1CCCS1(=O)=O)c1cc(Cl)ccc1[O-] ZINC000714383509 699271230 /nfs/dbraw/zinc/27/12/30/699271230.db2.gz UQTKOTSOAKWMDW-JTQLQIEISA-N -1 1 317.794 1.743 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc(C)c1F ZINC000788146180 699284052 /nfs/dbraw/zinc/28/40/52/699284052.db2.gz ABYFJMAAFRSXDX-LLVKDONJSA-N -1 1 321.381 1.886 20 0 DDADMM CCc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)s1 ZINC000788151005 699284495 /nfs/dbraw/zinc/28/44/95/699284495.db2.gz YWQJCITWDVVNAE-SECBINFHSA-N -1 1 309.420 1.754 20 0 DDADMM CNC(=O)C1([N-]S(=O)(=O)c2cccc(F)c2F)CCCC1 ZINC000725837801 699336136 /nfs/dbraw/zinc/33/61/36/699336136.db2.gz KXZNSSKSPRMBOM-UHFFFAOYSA-N -1 1 318.345 1.302 20 0 DDADMM Cc1nc(CC2CC2)sc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000789189013 699368103 /nfs/dbraw/zinc/36/81/03/699368103.db2.gz TXGADYNPCLJHKR-UHFFFAOYSA-N -1 1 308.363 1.183 20 0 DDADMM CSc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000726866557 699386048 /nfs/dbraw/zinc/38/60/48/699386048.db2.gz XYQQGTGJWKMIRY-UHFFFAOYSA-N -1 1 305.359 1.287 20 0 DDADMM C[C@H](c1ccc(F)cc1)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000726865872 699386292 /nfs/dbraw/zinc/38/62/92/699386292.db2.gz JFVPLRLTMBGRLD-LLVKDONJSA-N -1 1 319.336 1.285 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cccc(-c2ncnn2C)c1 ZINC000790941951 699604345 /nfs/dbraw/zinc/60/43/45/699604345.db2.gz JLDCQHAUKCOZFK-UHFFFAOYSA-N -1 1 319.346 1.281 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3occc3Br)ccnc1-2 ZINC000791166004 699613713 /nfs/dbraw/zinc/61/37/13/699613713.db2.gz TTXHLEBMFSFWLD-UHFFFAOYSA-N -1 1 321.134 1.950 20 0 DDADMM O=S(=O)([N-]N=c1nccc[nH]1)c1cc(F)c(F)c(F)c1 ZINC000741647386 699846402 /nfs/dbraw/zinc/84/64/02/699846402.db2.gz CDHDTGWAADRAKY-UHFFFAOYSA-N -1 1 304.253 1.199 20 0 DDADMM CN1CCN(c2ccccc2[N-]S(=O)(=O)c2ccoc2)CC1 ZINC000795558365 699874976 /nfs/dbraw/zinc/87/49/76/699874976.db2.gz UWZGVNSZAYTTIO-UHFFFAOYSA-N -1 1 321.402 1.832 20 0 DDADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1c1ccccc1 ZINC000742896906 699893332 /nfs/dbraw/zinc/89/33/32/699893332.db2.gz FHLNWFHOQNGAFD-WFASDCNBSA-N -1 1 313.357 1.146 20 0 DDADMM Cc1cncc(CCC(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000796125505 699914281 /nfs/dbraw/zinc/91/42/81/699914281.db2.gz CHIHVIOWFZNCRQ-UHFFFAOYSA-N -1 1 318.295 1.934 20 0 DDADMM C[C@H]1C(=O)CC[C@@H]1CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000796226274 699920712 /nfs/dbraw/zinc/92/07/12/699920712.db2.gz JQYXBQDRDRWOEY-DGCLKSJQSA-N -1 1 317.341 1.492 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1ccc[nH]c1=O ZINC000751275918 700277809 /nfs/dbraw/zinc/27/78/09/700277809.db2.gz GMBMGDJRLUCXBP-UHFFFAOYSA-N -1 1 302.743 1.283 20 0 DDADMM CC[C@H](OC(=O)c1nn(-c2cccc(C)c2C)cc1[O-])C(N)=O ZINC000801417294 700305501 /nfs/dbraw/zinc/30/55/01/700305501.db2.gz JGDCPXJVEGECEE-ZDUSSCGKSA-N -1 1 317.345 1.615 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)O[C@@H](CC)C(N)=O)n1 ZINC000801419872 700306287 /nfs/dbraw/zinc/30/62/87/700306287.db2.gz JCUZVWQKYXTXPV-ZDUSSCGKSA-N -1 1 317.345 1.561 20 0 DDADMM Cc1cc(F)ccc1-n1cc([O-])c(C(=O)OC[C@@H](C)CO)n1 ZINC000801428189 700308138 /nfs/dbraw/zinc/30/81/38/700308138.db2.gz UQVPKLSZFVDMLR-VIFPVBQESA-N -1 1 308.309 1.811 20 0 DDADMM CC[C@H](CCOC)OC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000754576707 700511829 /nfs/dbraw/zinc/51/18/29/700511829.db2.gz QHTODVNEKUFNKX-SECBINFHSA-N -1 1 305.352 1.160 20 0 DDADMM CC[C@H](C)c1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000755342691 700562211 /nfs/dbraw/zinc/56/22/11/700562211.db2.gz CIUSVLBPLAUEBR-SMDDNHRTSA-N -1 1 315.377 1.927 20 0 DDADMM NC(=O)c1ccc(C[N-]C(=O)C(F)(F)c2nccs2)o1 ZINC000756728058 700638512 /nfs/dbraw/zinc/63/85/12/700638512.db2.gz WPWHKSAPRPZBHG-UHFFFAOYSA-N -1 1 301.274 1.243 20 0 DDADMM COC(=O)C[C@H](C)C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000759001660 700733022 /nfs/dbraw/zinc/73/30/22/700733022.db2.gz HRWRSOFFAHELGZ-JTQLQIEISA-N -1 1 308.330 1.880 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc([C@]3(C)CCCO3)no2)o1 ZINC000763325770 700934173 /nfs/dbraw/zinc/93/41/73/700934173.db2.gz SUHPGJKUQFHSIF-LBPRGKRZSA-N -1 1 313.335 1.263 20 0 DDADMM COc1ccc2c(CC(=O)OCc3nc(=O)n(C)[n-]3)coc2c1 ZINC000765396126 701009597 /nfs/dbraw/zinc/00/95/97/701009597.db2.gz NGWLKSDUNFNOIT-UHFFFAOYSA-N -1 1 317.301 1.149 20 0 DDADMM C[C@@H](CCCc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765500043 701016482 /nfs/dbraw/zinc/01/64/82/701016482.db2.gz BDUMCXPEIRUNGA-LBPRGKRZSA-N -1 1 303.362 1.811 20 0 DDADMM COc1cc(C)c(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1C ZINC000768106618 701158428 /nfs/dbraw/zinc/15/84/28/701158428.db2.gz UVQNVODCTDWTMH-UHFFFAOYSA-N -1 1 317.345 1.191 20 0 DDADMM CCCCC[C@@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000768346215 701170514 /nfs/dbraw/zinc/17/05/14/701170514.db2.gz QEDQMPYICIZSFA-CYBMUJFWSA-N -1 1 307.394 1.498 20 0 DDADMM Cn1[n-]c(COC(=O)c2cnc(Cl)c3ccccc23)nc1=O ZINC000769193693 701235929 /nfs/dbraw/zinc/23/59/29/701235929.db2.gz MHRKEHAKSQAKDC-UHFFFAOYSA-N -1 1 318.720 1.667 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC[C@@H]1CN1CCOCC1 ZINC000769928153 701261307 /nfs/dbraw/zinc/26/13/07/701261307.db2.gz IJZBBSCYIPUBEA-GFCCVEGCSA-N -1 1 324.808 1.982 20 0 DDADMM CCOC[C@H](C(=O)OC)N(C)C(=O)c1c([O-])cccc1Cl ZINC000769983046 701263195 /nfs/dbraw/zinc/26/31/95/701263195.db2.gz JWWYZDSNOWUFSL-SNVBAGLBSA-N -1 1 315.753 1.696 20 0 DDADMM O=C(Nc1cccnc1-c1ccccc1)NN1CC(=O)[N-]C1=O ZINC000770623809 701286511 /nfs/dbraw/zinc/28/65/11/701286511.db2.gz DHQUQEKEZOKQMN-UHFFFAOYSA-N -1 1 311.301 1.337 20 0 DDADMM Cn1ncc(C[N-]S(=O)(=O)c2c(F)cccc2Cl)n1 ZINC000805104675 701367892 /nfs/dbraw/zinc/36/78/92/701367892.db2.gz YITNENUFTPHPFP-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM Cc1ccccc1[C@H](C)NC(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805603030 701397542 /nfs/dbraw/zinc/39/75/42/701397542.db2.gz FICBBMHTDVEKGJ-WDEREUQCSA-N -1 1 302.334 1.536 20 0 DDADMM CC(=O)c1ccc(NC(=O)[C@H](C)OC(=O)c2cn[n-]n2)cc1 ZINC000805604534 701398024 /nfs/dbraw/zinc/39/80/24/701398024.db2.gz AFVHKITZFKNSOC-VIFPVBQESA-N -1 1 302.290 1.191 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)COC(=O)c2cn[n-]n2)cc1C ZINC000805606632 701399012 /nfs/dbraw/zinc/39/90/12/701399012.db2.gz JMDHDNYUGSOJGC-LLVKDONJSA-N -1 1 302.334 1.456 20 0 DDADMM O=C(OCCCCN1C(=O)c2ccccc2C1=O)c1cn[n-]n1 ZINC000805606522 701399124 /nfs/dbraw/zinc/39/91/24/701399124.db2.gz YILGOUGPTNDKGT-UHFFFAOYSA-N -1 1 314.301 1.038 20 0 DDADMM C[C@@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccc2ccccc2c1 ZINC000805606252 701399192 /nfs/dbraw/zinc/39/91/92/701399192.db2.gz VWCGPYJKBQUUJW-LLVKDONJSA-N -1 1 324.340 1.992 20 0 DDADMM CSc1ccccc1NC(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805608897 701399751 /nfs/dbraw/zinc/39/97/51/701399751.db2.gz KCZKGFOHBKCBFT-MRVPVSSYSA-N -1 1 306.347 1.711 20 0 DDADMM C[C@H]1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCS1 ZINC000806494231 701438084 /nfs/dbraw/zinc/43/80/84/701438084.db2.gz DLYXLVQTVWSENQ-JTQLQIEISA-N -1 1 305.407 1.701 20 0 DDADMM O=C(C(=O)N1CCCC[C@H]1C1OCCO1)c1ccc([O-])cc1 ZINC000806735779 701447156 /nfs/dbraw/zinc/44/71/56/701447156.db2.gz CPEBIKWBJZKQGV-ZDUSSCGKSA-N -1 1 305.330 1.329 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2c(F)cccc2Cl)CCOC1=O ZINC000810103839 701730384 /nfs/dbraw/zinc/73/03/84/701730384.db2.gz SNMRKLNINQXBRD-LLVKDONJSA-N -1 1 307.730 1.463 20 0 DDADMM CCOC(=O)[C@H](CC=C(C)C)[N-]S(=O)(=O)C[C@H](C)OC ZINC000867758553 701734824 /nfs/dbraw/zinc/73/48/24/701734824.db2.gz IKEWNLHMUVQSLH-RYUDHWBXSA-N -1 1 307.412 1.229 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2CCC23CCOCC3)sn1 ZINC000867775717 701742490 /nfs/dbraw/zinc/74/24/90/701742490.db2.gz HKDWOXFLKNBNED-NSHDSACASA-N -1 1 316.448 1.937 20 0 DDADMM CC(=CC(C)(C)C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952492 706609448 /nfs/dbraw/zinc/60/94/48/706609448.db2.gz IQSZMXCLJBMSHD-YFHOEESVSA-N -1 1 305.378 1.864 20 0 DDADMM COCC1(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)CCC1 ZINC000815055796 701790045 /nfs/dbraw/zinc/79/00/45/701790045.db2.gz NZHISQZKWGUYAJ-UHFFFAOYSA-N -1 1 321.830 1.559 20 0 DDADMM CO[C@H]1CC[C@@H](C)N(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000866083160 706613061 /nfs/dbraw/zinc/61/30/61/706613061.db2.gz LRDJOABEASONPR-MNOVXSKESA-N -1 1 301.346 1.563 20 0 DDADMM CC(C)(C)N1CC[C@](F)(C(=O)[N-]S(=O)(=O)C2CCCC2)C1 ZINC000810625144 701804308 /nfs/dbraw/zinc/80/43/08/701804308.db2.gz XPURMXYBZYTTCO-CQSZACIVSA-N -1 1 320.430 1.588 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2cccnc2C)co1 ZINC000810885705 701868520 /nfs/dbraw/zinc/86/85/20/701868520.db2.gz KNFSGFSJTDQACE-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)Cc2cncnc2)c1 ZINC000811329773 701960707 /nfs/dbraw/zinc/96/07/07/701960707.db2.gz GCKAWENJSWXFMX-UHFFFAOYSA-N -1 1 300.314 1.713 20 0 DDADMM O=C(N[C@H]1COc2ccccc2[C@H]1O)c1ccc([O-])c(F)c1 ZINC000811567692 702007852 /nfs/dbraw/zinc/00/78/52/702007852.db2.gz BGHOFKXURPFODQ-SWLSCSKDSA-N -1 1 303.289 1.756 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@H](C2CC2)C1)c1c[nH]nc1Cl ZINC000831115563 706637302 /nfs/dbraw/zinc/63/73/02/706637302.db2.gz IKDGQMBDQSSLPE-BDAKNGLRSA-N -1 1 305.787 1.299 20 0 DDADMM CCc1cc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)ncn1 ZINC000811856863 702072994 /nfs/dbraw/zinc/07/29/94/702072994.db2.gz VNDYWJFUNKUIFB-JTQLQIEISA-N -1 1 316.327 1.934 20 0 DDADMM CC[C@@](O)(CC(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccc1 ZINC000840423153 702105268 /nfs/dbraw/zinc/10/52/68/702105268.db2.gz YYTDSXNHRKETPA-BLLLJJGKSA-N -1 1 317.393 1.450 20 0 DDADMM COc1ccc(CN2C[C@@H](C(=O)[N-]OC3CCC3)CC2=O)cc1 ZINC000812789576 702239042 /nfs/dbraw/zinc/23/90/42/702239042.db2.gz GASDLGSHKIWZSC-ZDUSSCGKSA-N -1 1 318.373 1.644 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@@H]1CCCCC12OCCO2)OC ZINC000817134577 702282924 /nfs/dbraw/zinc/28/29/24/702282924.db2.gz DEWTWWHKAKCWBM-VXGBXAGGSA-N -1 1 307.412 1.017 20 0 DDADMM C[C@@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)c1ccnn1C ZINC000817265678 702319900 /nfs/dbraw/zinc/31/99/00/702319900.db2.gz KFUBXHRQOKMWFV-LLVKDONJSA-N -1 1 315.329 1.023 20 0 DDADMM O=C([N-]OCCC1CC1)[C@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000817412474 702372485 /nfs/dbraw/zinc/37/24/85/702372485.db2.gz BEAOEYSMMWGCIO-ZDUSSCGKSA-N -1 1 303.362 1.278 20 0 DDADMM O=c1[n-]c(CNc2ncnc3ccsc32)nc2c1COCC2 ZINC000866288728 706665927 /nfs/dbraw/zinc/66/59/27/706665927.db2.gz LMPNMVIKZACEEF-UHFFFAOYSA-N -1 1 315.358 1.872 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)c1ccc(C)s1 ZINC000841382630 702430440 /nfs/dbraw/zinc/43/04/40/702430440.db2.gz FMIIVQBKKWIKDF-ZETCQYMHSA-N -1 1 309.347 1.757 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)Cc1cccc(Cl)c1 ZINC000841535965 702486121 /nfs/dbraw/zinc/48/61/21/702486121.db2.gz XSACKUVPZYRDTH-NSHDSACASA-N -1 1 317.794 1.711 20 0 DDADMM CO[C@H]1CC[C@@H](C)N(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000879416403 706674648 /nfs/dbraw/zinc/67/46/48/706674648.db2.gz SOOUUYCYXNHNLL-MNOVXSKESA-N -1 1 303.366 1.373 20 0 DDADMM Cc1[nH]nc(C(=O)N([C@H](C)C(=O)[O-])C2CC2)c1Br ZINC000841772643 702561455 /nfs/dbraw/zinc/56/14/55/702561455.db2.gz MWUCZFRNKPABBB-ZCFIWIBFSA-N -1 1 316.155 1.558 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000869518548 702633173 /nfs/dbraw/zinc/63/31/73/702633173.db2.gz QYYUPLRIQATBBJ-OCAPTIKFSA-N -1 1 305.256 1.899 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc2c(c1)C(=O)OC2)C1CC1 ZINC000842586325 702736922 /nfs/dbraw/zinc/73/69/22/702736922.db2.gz QKVJECNTBFNPNF-CYBMUJFWSA-N -1 1 311.359 1.524 20 0 DDADMM CCC(C)(C)CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843018362 702804334 /nfs/dbraw/zinc/80/43/34/702804334.db2.gz NWTHZQCPOQSIFP-UHFFFAOYSA-N -1 1 304.456 1.743 20 0 DDADMM Cc1ccc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)c(C)c1 ZINC000843019435 702804753 /nfs/dbraw/zinc/80/47/53/702804753.db2.gz RNSZBWLDSAHLTN-UHFFFAOYSA-N -1 1 324.446 1.847 20 0 DDADMM CC(C)(C)OC(=O)CC1(C(=O)[N-]OC(C)(C)CO)CCC1 ZINC000843899067 702939654 /nfs/dbraw/zinc/93/96/54/702939654.db2.gz CXUYVFHNWKFDQW-UHFFFAOYSA-N -1 1 301.383 1.707 20 0 DDADMM CC(C)(C)OC(=O)C1(C[N-]C(=O)C(F)(F)F)CCOCC1 ZINC000843995351 702951036 /nfs/dbraw/zinc/95/10/36/702951036.db2.gz ZKFQFFZBMDKREZ-UHFFFAOYSA-N -1 1 311.300 1.803 20 0 DDADMM C[C@@H]1C[C@@H]1C[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1F ZINC000866496822 706714169 /nfs/dbraw/zinc/71/41/69/706714169.db2.gz YLJIDXJWPIFCFW-QVMDTKBZSA-N -1 1 320.411 1.773 20 0 DDADMM Cc1nn(CC2CC2)c(C)c1CC(=O)[N-]O[C@@H](CO)C(C)C ZINC000846242033 703255856 /nfs/dbraw/zinc/25/58/56/703255856.db2.gz MPDAINYBUFQFOB-HNNXBMFYSA-N -1 1 309.410 1.517 20 0 DDADMM CCON(C)C(=O)CNC(=O)c1cc2ccccc2cc1[O-] ZINC000846502839 703285331 /nfs/dbraw/zinc/28/53/31/703285331.db2.gz NRLASVOSFGTIIR-UHFFFAOYSA-N -1 1 302.330 1.685 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2ccc(C(F)F)cc2F)C1=O ZINC000847639120 703446954 /nfs/dbraw/zinc/44/69/54/703446954.db2.gz VOIAIURDQYCFMW-UHFFFAOYSA-N -1 1 301.224 1.302 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)CC1(O)CCC1 ZINC000848336989 703540258 /nfs/dbraw/zinc/54/02/58/703540258.db2.gz AUCDWQOVJFRWQS-NXEZZACHSA-N -1 1 322.327 1.350 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)[C@H]1CCCCO1 ZINC000848508751 703559146 /nfs/dbraw/zinc/55/91/46/703559146.db2.gz GXXDJZPCMKIADA-RKDXNWHRSA-N -1 1 307.803 1.689 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1C[C@H](C)OC1=O)C1CCCCC1 ZINC000849394650 703643012 /nfs/dbraw/zinc/64/30/12/703643012.db2.gz XTQWOQDZUHSCSO-CYZMBNFOSA-N -1 1 319.423 1.205 20 0 DDADMM CO[C@H](COC(=O)c1c([O-])cc(F)cc1F)[C@H]1CCOC1 ZINC000849718772 703671457 /nfs/dbraw/zinc/67/14/57/703671457.db2.gz ZXDMPQJBBDDRBA-QPUJVOFHSA-N -1 1 302.273 1.879 20 0 DDADMM CCc1nc(SCC(=O)NCc2ccccn2)[n-]c(=O)c1C ZINC000849920861 703689417 /nfs/dbraw/zinc/68/94/17/703689417.db2.gz FSWDZTJEPOKEMO-UHFFFAOYSA-N -1 1 318.402 1.857 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCC2(F)F)o1 ZINC000851317329 703792104 /nfs/dbraw/zinc/79/21/04/703792104.db2.gz IBKXCLACSHRGDE-SSDOTTSWSA-N -1 1 309.290 1.390 20 0 DDADMM C[C@@H]1CCN(C(=O)NC2CCC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852077028 703918417 /nfs/dbraw/zinc/91/84/17/703918417.db2.gz YKLFAIHYIWERGU-SCZZXKLOSA-N -1 1 307.316 1.637 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763513 706784254 /nfs/dbraw/zinc/78/42/54/706784254.db2.gz ODUQQIFFBJSICW-TVQRCGJNSA-N -1 1 323.359 1.433 20 0 DDADMM C[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866741889 706783774 /nfs/dbraw/zinc/78/37/74/706783774.db2.gz MJOADWPQRACJQS-RNFRBKRXSA-N -1 1 308.201 1.639 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(CCO[C@@H]2CC2(F)F)C1 ZINC000879768255 706787688 /nfs/dbraw/zinc/78/76/88/706787688.db2.gz ZOVQHLCVIBYKEQ-SCZZXKLOSA-N -1 1 316.270 1.554 20 0 DDADMM CCOC(=O)[C@H](C)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852757366 704116970 /nfs/dbraw/zinc/11/69/70/704116970.db2.gz CVUPGSZYTDFYMG-UTLUCORTSA-N -1 1 310.316 1.327 20 0 DDADMM C[C@H](C(=O)NC1CC1)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852759105 704117436 /nfs/dbraw/zinc/11/74/36/704117436.db2.gz LNZBHQLRKFHCDT-KKZNHRDASA-N -1 1 321.343 1.042 20 0 DDADMM O=C(c1ccc2cccnn21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000819969961 704194789 /nfs/dbraw/zinc/19/47/89/704194789.db2.gz IUGGDWBJVHQUFC-SNVBAGLBSA-N -1 1 313.317 1.443 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC[C@H]2CCCS2)o1 ZINC000871027027 704203783 /nfs/dbraw/zinc/20/37/83/704203783.db2.gz KXZXSQFBKNGIMG-SECBINFHSA-N -1 1 318.420 1.203 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2nc[nH]n2)c1 ZINC000853226282 704221159 /nfs/dbraw/zinc/22/11/59/704221159.db2.gz VYPYZCMUCKCNJW-AOUSDQRYSA-N -1 1 309.347 1.225 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCOc2ccccc21 ZINC000820791509 704331579 /nfs/dbraw/zinc/33/15/79/704331579.db2.gz VFHXNDBFYBZBEM-JTQLQIEISA-N -1 1 318.420 1.115 20 0 DDADMM O=C(/C=C\[C@H]1CCCO1)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000821424645 704407797 /nfs/dbraw/zinc/40/77/97/704407797.db2.gz CBQWOGATKRJFLO-LJTDUEICSA-N -1 1 303.314 1.221 20 0 DDADMM O=C(NCCO[C@H]1CC1(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000855607852 704493402 /nfs/dbraw/zinc/49/34/02/704493402.db2.gz MPGYFGZGCCQLJG-QMMMGPOBSA-N -1 1 314.317 1.172 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H]2COC[C@H]2C1 ZINC000856146057 704516106 /nfs/dbraw/zinc/51/61/06/704516106.db2.gz BPMBOCDTLWEYCK-GHMZBOCLSA-N -1 1 304.375 1.127 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H](O)C(C)(C)C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418245 704528134 /nfs/dbraw/zinc/52/81/34/704528134.db2.gz VIQYSUWFPSDPNI-UTLUCORTSA-N -1 1 324.343 1.309 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2CCC=CO2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856417425 704528174 /nfs/dbraw/zinc/52/81/74/704528174.db2.gz UWPVOTYZVPKYHC-OUAUKWLOSA-N -1 1 320.311 1.595 20 0 DDADMM CN(Cc1csc(C(C)(C)O)n1)C(=O)c1cncc([O-])c1 ZINC000857530497 704600097 /nfs/dbraw/zinc/60/00/97/704600097.db2.gz UOYCJUPTRYOYSB-UHFFFAOYSA-N -1 1 307.375 1.743 20 0 DDADMM O=S(=O)([N-]CCCCCO)c1cc(Cl)cnc1Cl ZINC000867209143 706925511 /nfs/dbraw/zinc/92/55/11/706925511.db2.gz HETWDLBLXUCQTL-UHFFFAOYSA-N -1 1 313.206 1.829 20 0 DDADMM C[C@H]1CC[C@@H](C[N-]S(=O)(=O)c2nc[nH]c2Br)C1 ZINC000867214906 706927596 /nfs/dbraw/zinc/92/75/96/706927596.db2.gz KOBUGKUIZDPFOH-JGVFFNPUSA-N -1 1 322.228 1.887 20 0 DDADMM C[C@H]1CC[C@@H](C[N-]S(=O)(=O)c2nc[nH]c2Br)O1 ZINC000867379442 706981002 /nfs/dbraw/zinc/98/10/02/706981002.db2.gz OLKJWTBXNCLJOD-BQBZGAKWSA-N -1 1 324.200 1.018 20 0 DDADMM O=S(=O)([N-]C[C@@H]1COCO1)c1c(Cl)ccnc1Cl ZINC000867398660 706987520 /nfs/dbraw/zinc/98/75/20/706987520.db2.gz YYLAVLOYLJMMFH-ZCFIWIBFSA-N -1 1 313.162 1.040 20 0 DDADMM CCN(C)c1ccccc1CNC(=O)CCCc1nn[n-]n1 ZINC000874439770 705065958 /nfs/dbraw/zinc/06/59/58/705065958.db2.gz MBKXSAOEDQDXGR-UHFFFAOYSA-N -1 1 302.382 1.295 20 0 DDADMM CCOC(=O)[C@H](C)C1CN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000874730322 705153644 /nfs/dbraw/zinc/15/36/44/705153644.db2.gz IGLBQKSQVNRSRU-MRVPVSSYSA-N -1 1 313.300 1.942 20 0 DDADMM O=C(Cc1ccc2cnccc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000860350136 705162444 /nfs/dbraw/zinc/16/24/44/705162444.db2.gz WNRKPZOGQYNFBO-OAHLLOKOSA-N -1 1 322.372 1.697 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H](NC=O)C(C)C)c1 ZINC000860381184 705170709 /nfs/dbraw/zinc/17/07/09/705170709.db2.gz DTMYLONOCPQEDA-HNNXBMFYSA-N -1 1 307.346 1.451 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2(C)CC(F)(F)C2)co1 ZINC000874854679 705204607 /nfs/dbraw/zinc/20/46/07/705204607.db2.gz UUDQZXXJNIANDW-UHFFFAOYSA-N -1 1 308.306 1.105 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1c(F)cccc1F ZINC000823379620 705226362 /nfs/dbraw/zinc/22/63/62/705226362.db2.gz AYBBYVCVMQELNB-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1c(F)cccc1F ZINC000823379620 705226364 /nfs/dbraw/zinc/22/63/64/705226364.db2.gz AYBBYVCVMQELNB-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM COCC1(N(C)C(=O)c2ccc3n[n-]c(=S)n3c2)CCC1 ZINC000874940853 705235013 /nfs/dbraw/zinc/23/50/13/705235013.db2.gz VYAQXWHTGCZSHL-UHFFFAOYSA-N -1 1 306.391 1.659 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCc2ccco2)o1 ZINC000861018252 705351386 /nfs/dbraw/zinc/35/13/86/705351386.db2.gz IWMRDIGWQJTGMM-UHFFFAOYSA-N -1 1 313.331 1.570 20 0 DDADMM CC(C)S(=O)(=O)CC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834833550 707134160 /nfs/dbraw/zinc/13/41/60/707134160.db2.gz CHPDRYVAVVJJIN-UHFFFAOYSA-N -1 1 305.783 1.485 20 0 DDADMM Cc1nc([N-]C(=O)c2cnc(C3(C)OCCO3)s2)nn1C ZINC000861812932 705574925 /nfs/dbraw/zinc/57/49/25/705574925.db2.gz KVCYZEBTVDBOLB-UHFFFAOYSA-N -1 1 309.351 1.052 20 0 DDADMM CC[C@H]1CCC[C@@H](NC(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825191589 705621356 /nfs/dbraw/zinc/62/13/56/705621356.db2.gz CTWNBPGIKWJIOC-WDEREUQCSA-N -1 1 318.381 1.791 20 0 DDADMM CC[C@H]1CCC[C@@H](NC(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825191589 705621358 /nfs/dbraw/zinc/62/13/58/705621358.db2.gz CTWNBPGIKWJIOC-WDEREUQCSA-N -1 1 318.381 1.791 20 0 DDADMM CCCC1(CNC(=O)Cc2noc(C)c2-c2nnn[n-]2)CC1 ZINC000825247831 705633086 /nfs/dbraw/zinc/63/30/86/705633086.db2.gz IFERPBGXMOMFGN-UHFFFAOYSA-N -1 1 304.354 1.402 20 0 DDADMM CCCC1(CNC(=O)Cc2noc(C)c2-c2nn[n-]n2)CC1 ZINC000825247831 705633088 /nfs/dbraw/zinc/63/30/88/705633088.db2.gz IFERPBGXMOMFGN-UHFFFAOYSA-N -1 1 304.354 1.402 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc(C)c2O)c1-c1nnn[n-]1 ZINC000826299333 705787417 /nfs/dbraw/zinc/78/74/17/705787417.db2.gz ACJIHNZEQHUGTA-UHFFFAOYSA-N -1 1 314.305 1.358 20 0 DDADMM Cc1onc(CC(=O)Nc2cccc(C)c2O)c1-c1nn[n-]n1 ZINC000826299333 705787418 /nfs/dbraw/zinc/78/74/18/705787418.db2.gz ACJIHNZEQHUGTA-UHFFFAOYSA-N -1 1 314.305 1.358 20 0 DDADMM Cc1ccc(C[C@@H](C)C(=O)n2ncc(-c3nn[n-]n3)c2N)cc1 ZINC000826286792 705787469 /nfs/dbraw/zinc/78/74/69/705787469.db2.gz QCCORQRTEHAZFQ-SNVBAGLBSA-N -1 1 311.349 1.473 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@H](C)C(C)(C)C)c1-c1nnn[n-]1 ZINC000826343306 705792577 /nfs/dbraw/zinc/79/25/77/705792577.db2.gz VMEYFWZJSYRQGJ-SECBINFHSA-N -1 1 306.370 1.599 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@H](C)C(C)(C)C)c1-c1nn[n-]n1 ZINC000826343306 705792580 /nfs/dbraw/zinc/79/25/80/705792580.db2.gz VMEYFWZJSYRQGJ-SECBINFHSA-N -1 1 306.370 1.599 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H]3CCCC[C@@H]3C2)c1-c1nnn[n-]1 ZINC000826343611 705793114 /nfs/dbraw/zinc/79/31/14/705793114.db2.gz HVDHWOHIKJRDGJ-GHMZBOCLSA-N -1 1 316.365 1.354 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H]3CCCC[C@@H]3C2)c1-c1nn[n-]n1 ZINC000826343611 705793118 /nfs/dbraw/zinc/79/31/18/705793118.db2.gz HVDHWOHIKJRDGJ-GHMZBOCLSA-N -1 1 316.365 1.354 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCC[C@H](C)C2)c1-c1nnn[n-]1 ZINC000826346753 705794593 /nfs/dbraw/zinc/79/45/93/705794593.db2.gz UYUKIKVPGOHXEC-WPRPVWTQSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCC[C@H](C)C2)c1-c1nn[n-]n1 ZINC000826346753 705794594 /nfs/dbraw/zinc/79/45/94/705794594.db2.gz UYUKIKVPGOHXEC-WPRPVWTQSA-N -1 1 304.354 1.401 20 0 DDADMM O[C@@H](CCc1ccccc1)CNc1cccc(-c2nnn[n-]2)n1 ZINC000826503339 705805489 /nfs/dbraw/zinc/80/54/89/705805489.db2.gz VRQHIBRYAJRLAA-ZDUSSCGKSA-N -1 1 310.361 1.667 20 0 DDADMM O[C@@H](CCc1ccccc1)CNc1cccc(-c2nn[n-]n2)n1 ZINC000826503339 705805493 /nfs/dbraw/zinc/80/54/93/705805493.db2.gz VRQHIBRYAJRLAA-ZDUSSCGKSA-N -1 1 310.361 1.667 20 0 DDADMM c1ccc(C[C@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCO2)cc1 ZINC000826520271 705807654 /nfs/dbraw/zinc/80/76/54/705807654.db2.gz DUMKOCUNWCUUFR-ZDUSSCGKSA-N -1 1 323.360 1.105 20 0 DDADMM c1ccc(C[C@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCO2)cc1 ZINC000826520271 705807656 /nfs/dbraw/zinc/80/76/56/705807656.db2.gz DUMKOCUNWCUUFR-ZDUSSCGKSA-N -1 1 323.360 1.105 20 0 DDADMM c1ccc(OC[C@@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826522507 705808037 /nfs/dbraw/zinc/80/80/37/705808037.db2.gz DQCCOMXVPQNMOV-GFCCVEGCSA-N -1 1 323.360 1.562 20 0 DDADMM c1ccc(OC[C@@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826522507 705808041 /nfs/dbraw/zinc/80/80/41/705808041.db2.gz DQCCOMXVPQNMOV-GFCCVEGCSA-N -1 1 323.360 1.562 20 0 DDADMM COc1cnc(F)c([N-]S(=O)(=O)c2c(C)nn(C)c2C)c1 ZINC000863449762 705932790 /nfs/dbraw/zinc/93/27/90/705932790.db2.gz YCFZVYKLZSASHE-UHFFFAOYSA-N -1 1 314.342 1.380 20 0 DDADMM O=CN1CCC[C@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000863477223 705936888 /nfs/dbraw/zinc/93/68/88/705936888.db2.gz IOUSPYKFHSGWKM-ZDUSSCGKSA-N -1 1 300.318 1.137 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2C[C@@]2(C)Br)n1 ZINC000863912337 706036349 /nfs/dbraw/zinc/03/63/49/706036349.db2.gz FKMLVJGOXHNPKP-KSBSHMNSSA-N -1 1 316.155 1.698 20 0 DDADMM O=C(N[C@H](C1CCC1)[C@@H]1CCOC1)c1cnc(C2CC2)[n-]c1=O ZINC000864085512 706063919 /nfs/dbraw/zinc/06/39/19/706063919.db2.gz XZHVJSONTATSER-TZMCWYRMSA-N -1 1 317.389 1.995 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cc(Cl)ccn2)CC1 ZINC000864159138 706084258 /nfs/dbraw/zinc/08/42/58/706084258.db2.gz OKSMYOMPSCLUMY-UHFFFAOYSA-N -1 1 311.769 1.356 20 0 DDADMM C/C(=C/c1ccncc1)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000864160174 706084737 /nfs/dbraw/zinc/08/47/37/706084737.db2.gz SSIFWDQZIHBZFA-FZDNWWAKSA-N -1 1 317.389 1.492 20 0 DDADMM C[C@@H]1Cc2cc(C(=O)NC3(c4nn[n-]n4)CCCC3)ccc2O1 ZINC000828083835 706115056 /nfs/dbraw/zinc/11/50/56/706115056.db2.gz SSUNYQPNFAFKFE-SNVBAGLBSA-N -1 1 313.361 1.722 20 0 DDADMM COCCNC(=O)c1ccc(I)cc1[O-] ZINC000864383234 706153852 /nfs/dbraw/zinc/15/38/52/706153852.db2.gz VRTZTEWXCXPYGH-UHFFFAOYSA-N -1 1 321.114 1.373 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1cnnn1-c1ccccc1 ZINC000828388927 706170437 /nfs/dbraw/zinc/17/04/37/706170437.db2.gz QOQKUGUMYACWKN-UHFFFAOYSA-N -1 1 322.390 1.436 20 0 DDADMM CC(C)[C@H]1C[C@@H](C(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000828416408 706175309 /nfs/dbraw/zinc/17/53/09/706175309.db2.gz IYDMAFLTOKRNNG-QWHCGFSZSA-N -1 1 307.398 1.357 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1cc(OC)ccc1F ZINC000872359086 707389400 /nfs/dbraw/zinc/38/94/00/707389400.db2.gz QSXNREDCXUVDIY-UHFFFAOYSA-N -1 1 324.399 1.999 20 0 DDADMM O=C(NCC[C@H]1CCCS1(=O)=O)c1c(F)ccc([O-])c1F ZINC000881900195 707414037 /nfs/dbraw/zinc/41/40/37/707414037.db2.gz OGUSXZOLXIGDSY-MRVPVSSYSA-N -1 1 319.329 1.368 20 0 DDADMM CCOCCC[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872430801 707406545 /nfs/dbraw/zinc/40/65/45/707406545.db2.gz KRQLPUZKWDCCSX-QGZVFWFLSA-N -1 1 300.446 1.144 20 0 DDADMM CC[C@@H](CSC)[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872470148 707430445 /nfs/dbraw/zinc/43/04/45/707430445.db2.gz GCPZFBDDYIUKGR-HUTHGQBESA-N -1 1 316.514 1.859 20 0 DDADMM COCC[C@H]([N-]S(=O)(=O)c1cccnc1F)c1ccco1 ZINC000882054681 707480277 /nfs/dbraw/zinc/48/02/77/707480277.db2.gz YZEOWTJVYGFCRI-JTQLQIEISA-N -1 1 314.338 1.870 20 0 DDADMM C[C@@H](CCc1cccn1C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830705047 706565657 /nfs/dbraw/zinc/56/56/57/706565657.db2.gz ZGJUGLLYMVLNCU-VIFPVBQESA-N -1 1 316.814 1.701 20 0 DDADMM CCCCC[C@H](O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830794724 706580795 /nfs/dbraw/zinc/58/07/95/706580795.db2.gz ANOTZDLGMJSORZ-GXFFZTMASA-N -1 1 324.343 1.597 20 0 DDADMM CO[C@](C)(C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830802404 706582666 /nfs/dbraw/zinc/58/26/66/706582666.db2.gz TWCOIXIBDJPABA-STQMWFEESA-N -1 1 322.327 1.471 20 0 DDADMM CC(C)(C)OCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807737 706583848 /nfs/dbraw/zinc/58/38/48/706583848.db2.gz PMBGGHNMGBXTRP-LBPRGKRZSA-N -1 1 310.316 1.471 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)COC2CCCC2)C1 ZINC000830827450 706588182 /nfs/dbraw/zinc/58/81/82/706588182.db2.gz LTOBYYKSNMEALB-CYBMUJFWSA-N -1 1 322.327 1.615 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827579 706588205 /nfs/dbraw/zinc/58/82/05/706588205.db2.gz MUDSPXATNWJYEK-GXFFZTMASA-N -1 1 324.343 1.717 20 0 DDADMM CC[C@](C)(O)C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866838338 706820794 /nfs/dbraw/zinc/82/07/94/706820794.db2.gz KFOHVPVOMQLVDW-JTQLQIEISA-N -1 1 313.206 1.828 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)C12CCCC2 ZINC000866892301 706836802 /nfs/dbraw/zinc/83/68/02/706836802.db2.gz CJTGLFAMHVNXFF-GHMZBOCLSA-N -1 1 324.468 1.286 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000832075994 706838180 /nfs/dbraw/zinc/83/81/80/706838180.db2.gz MASRTLDQDQYRMI-UWVGGRQHSA-N -1 1 313.350 1.038 20 0 DDADMM C[C@H](C[C@@H]1CCOC1)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866958220 706856217 /nfs/dbraw/zinc/85/62/17/706856217.db2.gz KYRDVURCIJOKCM-BDAKNGLRSA-N -1 1 322.789 1.968 20 0 DDADMM CC(C)[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867051542 706882771 /nfs/dbraw/zinc/88/27/71/706882771.db2.gz DNQDUBIPDBGXOX-UWVGGRQHSA-N -1 1 320.361 1.842 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCOCC1)c1nc[nH]c1Br ZINC000867060181 706885842 /nfs/dbraw/zinc/88/58/42/706885842.db2.gz JSWICXVFEMQOJK-SSDOTTSWSA-N -1 1 324.200 1.020 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)N=S1(=O)CCCC1)c1nccs1 ZINC000867100444 706896290 /nfs/dbraw/zinc/89/62/90/706896290.db2.gz KBKMTASPNZQIGZ-VIFPVBQESA-N -1 1 323.465 1.343 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)N(C)[C@@H](C(=O)[O-])c1ccccc1 ZINC000909000772 712912774 /nfs/dbraw/zinc/91/27/74/712912774.db2.gz QBTWJUOPKJWUKF-DZGCQCFKSA-N -1 1 320.389 1.239 20 0 DDADMM O=S(=O)([N-][C@H]1CCO[C@@H](C2CC2)C1)c1ccc(F)nc1F ZINC000867110859 706899268 /nfs/dbraw/zinc/89/92/68/706899268.db2.gz ABJURSWQORAACL-VHSXEESVSA-N -1 1 318.345 1.596 20 0 DDADMM Cc1c(Br)csc1C(=O)[N-]N1CCCNC1=O ZINC000867252041 706938578 /nfs/dbraw/zinc/93/85/78/706938578.db2.gz QKYJXIHLADHHDA-UHFFFAOYSA-N -1 1 318.196 1.879 20 0 DDADMM CN(CC(=O)N(CC(=O)[O-])C1CCCCC1)[C@H]1CCSC1 ZINC000909008162 712915022 /nfs/dbraw/zinc/91/50/22/712915022.db2.gz NVVYMZZIFWLAHU-ZDUSSCGKSA-N -1 1 314.451 1.670 20 0 DDADMM Cc1ccc(CC[N-]S(=O)(=O)N=S2(=O)CCCC2)nc1 ZINC000867376184 706979822 /nfs/dbraw/zinc/97/98/22/706979822.db2.gz RCCBIAOWXNILJP-UHFFFAOYSA-N -1 1 317.436 1.029 20 0 DDADMM COCc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1F ZINC000867381742 706982211 /nfs/dbraw/zinc/98/22/11/706982211.db2.gz QFVNHFFSLCTXET-SECBINFHSA-N -1 1 307.329 1.361 20 0 DDADMM C[C@@H](CN(C)C(=O)CC(F)(F)C(F)(F)F)c1nn[n-]n1 ZINC000867382255 706982224 /nfs/dbraw/zinc/98/22/24/706982224.db2.gz TZLGNGAKHIULBO-YFKPBYRVSA-N -1 1 301.219 1.349 20 0 DDADMM Cc1cc(C)cc(OC[C@@H](O)CN2CC[C@](F)(C(=O)[O-])C2)c1 ZINC000832878256 706997172 /nfs/dbraw/zinc/99/71/72/706997172.db2.gz ALYHEWWMVLPPAA-XJKSGUPXSA-N -1 1 311.353 1.542 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@@H](O)c2ccccc21 ZINC000867513989 707024371 /nfs/dbraw/zinc/02/43/71/707024371.db2.gz MPGLYEYOIACQCG-CLUVUEOHSA-N -1 1 318.420 1.117 20 0 DDADMM CCCO[N-]C(=O)C(=O)Nc1cccc2c1OCC[C@H]2N(C)C ZINC000834356775 707036138 /nfs/dbraw/zinc/03/61/38/707036138.db2.gz RWWVFIWVRDPHLV-CYBMUJFWSA-N -1 1 321.377 1.468 20 0 DDADMM CSc1nc(CNC(=O)c2ccc(N(C)C)nc2)cc(=O)[n-]1 ZINC000880652361 707048394 /nfs/dbraw/zinc/04/83/94/707048394.db2.gz HCDADVOBJDBVRR-UHFFFAOYSA-N -1 1 319.390 1.295 20 0 DDADMM CC(C)(C)c1cc2n(n1)CCN(C(=O)c1ncccc1[O-])C2 ZINC000834490498 707069166 /nfs/dbraw/zinc/06/91/66/707069166.db2.gz IUAPYENCNLCVHN-UHFFFAOYSA-N -1 1 300.362 1.937 20 0 DDADMM CO[C@@H]1CCn2cc(C(=O)Nc3nc(Cl)ccc3[O-])nc2C1 ZINC000867713578 707082924 /nfs/dbraw/zinc/08/29/24/707082924.db2.gz SSOKIZPWTMYHFY-MRVPVSSYSA-N -1 1 322.752 1.851 20 0 DDADMM CC(C)(O)[C@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000871743441 707185257 /nfs/dbraw/zinc/18/52/57/707185257.db2.gz IDOOOARSOZCJCI-NSHDSACASA-N -1 1 315.373 1.546 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-]C(=O)c1cnn(-c2ccncc2)c1 ZINC000835295951 707216347 /nfs/dbraw/zinc/21/63/47/707216347.db2.gz OKNCQXUTPGCCDU-SNVBAGLBSA-N -1 1 308.363 1.125 20 0 DDADMM COCCn1cc(CN[C@@H](C(=O)[O-])c2ccc(OC)cc2)cn1 ZINC000871883533 707230861 /nfs/dbraw/zinc/23/08/61/707230861.db2.gz QIPIFXCBGPUQOM-OAHLLOKOSA-N -1 1 319.361 1.454 20 0 DDADMM O=C([O-])[C@@H](CC1CC1)NC(=O)NCc1ccc2cncn2c1 ZINC000909170417 712953077 /nfs/dbraw/zinc/95/30/77/712953077.db2.gz LKYMMEQBHOORLE-CYBMUJFWSA-N -1 1 302.334 1.387 20 0 DDADMM CCO[C@H]1C[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)C1 ZINC000872504283 707450848 /nfs/dbraw/zinc/45/08/48/707450848.db2.gz CJUBRJLYLIRURC-RAALSFIWSA-N -1 1 312.457 1.285 20 0 DDADMM CCC(C)(C)OCCNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000836572452 707482434 /nfs/dbraw/zinc/48/24/34/707482434.db2.gz DSVKKFUTXJGLKK-UHFFFAOYSA-N -1 1 305.382 1.669 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)C1CN(C(=O)C2CCCCC2)C1 ZINC000836786040 707515555 /nfs/dbraw/zinc/51/55/55/707515555.db2.gz PZWBPPATVXKTLE-GFCCVEGCSA-N -1 1 310.394 1.109 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-][C@@H]1CC12CCC2)c1ccccc1 ZINC000872650300 707532132 /nfs/dbraw/zinc/53/21/32/707532132.db2.gz SLGNWKJCYYUPOA-BLVKFPJESA-N -1 1 314.432 1.920 20 0 DDADMM CC1(C)[C@H](CS(=O)(=O)[N-][C@H]2C(=O)OCC2(C)C)C1(F)F ZINC000882248721 707558007 /nfs/dbraw/zinc/55/80/07/707558007.db2.gz OVLHSUHZVZTSCX-YUMQZZPRSA-N -1 1 311.350 1.149 20 0 DDADMM C[C@@H]1CN(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C(=O)[C@H]1C ZINC000872751336 707584450 /nfs/dbraw/zinc/58/44/50/707584450.db2.gz BOWLYAYUUVEOLS-NRUUGDAUSA-N -1 1 321.343 1.201 20 0 DDADMM CC(C)NC(=O)CCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872834433 707619315 /nfs/dbraw/zinc/61/93/15/707619315.db2.gz LMQDSLSPPWNYHI-UHFFFAOYSA-N -1 1 313.785 1.760 20 0 DDADMM O=C(NCCCn1cncn1)NCc1ccc([O-])c(Cl)c1 ZINC000872835822 707619743 /nfs/dbraw/zinc/61/97/43/707619743.db2.gz XRYFSNNELMWDOK-UHFFFAOYSA-N -1 1 309.757 1.527 20 0 DDADMM CCN1CC[C@H]1CNC(=O)c1nn(-c2cccc(F)c2)cc1[O-] ZINC000882492543 707663667 /nfs/dbraw/zinc/66/36/67/707663667.db2.gz QSAHDWWEKZWIJS-ZDUSSCGKSA-N -1 1 318.352 1.541 20 0 DDADMM Cc1nc([C@H]2CCN(C(=O)C(=O)c3ccc([O-])cc3)C2)no1 ZINC000927327124 712971928 /nfs/dbraw/zinc/97/19/28/712971928.db2.gz FHYFDZBSLZUVQS-NSHDSACASA-N -1 1 301.302 1.282 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)CN(C)C2CCC2)C(=O)[O-])cc1 ZINC000909251006 712974160 /nfs/dbraw/zinc/97/41/60/712974160.db2.gz TYWWYKRCUSQUMP-OAHLLOKOSA-N -1 1 304.390 1.764 20 0 DDADMM CCc1ccc([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)cc1F ZINC000882710116 707756494 /nfs/dbraw/zinc/75/64/94/707756494.db2.gz BWSZTBARCJIUDY-LJQANCHMSA-N -1 1 323.415 1.619 20 0 DDADMM O=C([N-]CCCOC(=O)c1cnn2c1CCC2)C(F)(F)F ZINC000838076661 707832641 /nfs/dbraw/zinc/83/26/41/707832641.db2.gz KKFVVLUMKKAKNP-UHFFFAOYSA-N -1 1 305.256 1.055 20 0 DDADMM Cn1cc(C=O)cc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000838088606 707837353 /nfs/dbraw/zinc/83/73/53/707837353.db2.gz ASMZMSSCPDTEEV-UHFFFAOYSA-N -1 1 306.240 1.063 20 0 DDADMM O=C(NC[C@H]1CCC2(CCC2)O1)c1nc2ccccc2c(=O)[n-]1 ZINC000883153611 707942222 /nfs/dbraw/zinc/94/22/22/707942222.db2.gz JBUVHUQAPMMAGN-LLVKDONJSA-N -1 1 313.357 1.755 20 0 DDADMM C[C@H](C(=O)N[C@H](CC(=O)[O-])c1ccc(F)cc1F)N(C)C ZINC000909341026 712995756 /nfs/dbraw/zinc/99/57/56/712995756.db2.gz FMYQWGOILROJPB-PRHODGIISA-N -1 1 300.305 1.547 20 0 DDADMM CO[N-]C(=O)CNCc1nc(-c2cccc(OC)c2)cs1 ZINC000896925363 708184273 /nfs/dbraw/zinc/18/42/73/708184273.db2.gz WLSAWPJRJKDDBS-UHFFFAOYSA-N -1 1 307.375 1.586 20 0 DDADMM Cc1cnc(C(=O)N2CCC([C@@H]3COC(=O)N3)CC2)c([O-])c1 ZINC000884909715 708416673 /nfs/dbraw/zinc/41/66/73/708416673.db2.gz GKUWALDJERYONH-NSHDSACASA-N -1 1 305.334 1.056 20 0 DDADMM CC(C)(C)CNC(=O)C(C)(C)[N-]S(=O)(=O)c1ccns1 ZINC000885070956 708462101 /nfs/dbraw/zinc/46/21/01/708462101.db2.gz WDWSPYOJIHCLOM-UHFFFAOYSA-N -1 1 319.452 1.362 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1CCCCC1 ZINC000912531444 713031444 /nfs/dbraw/zinc/03/14/44/713031444.db2.gz MNPWHXFQCUVQSL-UHFFFAOYSA-N -1 1 301.368 1.055 20 0 DDADMM CC(C)OC(=O)CC[C@@H](C)[N-]S(=O)(=O)c1ccns1 ZINC000885156536 708481041 /nfs/dbraw/zinc/48/10/41/708481041.db2.gz WYUDEBMLSXAMQP-SECBINFHSA-N -1 1 306.409 1.542 20 0 DDADMM CCC(CC)(CC)C[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912541698 713033295 /nfs/dbraw/zinc/03/32/95/713033295.db2.gz JWAHOKMWTJJKTD-UHFFFAOYSA-N -1 1 317.411 1.691 20 0 DDADMM CC(C)[C@@H]1C[C@H](C[N-]S(=O)(=O)c2ccns2)CCO1 ZINC000885217590 708496693 /nfs/dbraw/zinc/49/66/93/708496693.db2.gz KDPWYGCPOVWECV-MNOVXSKESA-N -1 1 304.437 1.873 20 0 DDADMM COc1cccc([C@@H]2C[C@H]2[N-]S(=O)(=O)c2ccns2)c1 ZINC000885221341 708497419 /nfs/dbraw/zinc/49/74/19/708497419.db2.gz IOSQTIWFZDIFRZ-NWDGAFQWSA-N -1 1 310.400 1.986 20 0 DDADMM O=S(=O)([N-][C@H](CO)C[C@@H]1CCCO1)c1cc(F)ccc1F ZINC000885530253 708568565 /nfs/dbraw/zinc/56/85/65/708568565.db2.gz QAXYHFYRIRTVIW-QWRGUYRKSA-N -1 1 321.345 1.173 20 0 DDADMM O=S(=O)([N-]C[C@H](O)Cc1ccccc1F)c1ccns1 ZINC000885532504 708569132 /nfs/dbraw/zinc/56/91/32/708569132.db2.gz VWECEJYJCVYDKO-SNVBAGLBSA-N -1 1 316.379 1.164 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CC[C@@H](c3cc(=O)[nH][nH]3)C2)c1 ZINC000898213662 708574752 /nfs/dbraw/zinc/57/47/52/708574752.db2.gz YOEQWNQMMDYCJB-SNVBAGLBSA-N -1 1 311.345 1.930 20 0 DDADMM Cn1cnn(CCC(=O)Nc2cc(F)c([O-])cc2Cl)c1=O ZINC000885677855 708595912 /nfs/dbraw/zinc/59/59/12/708595912.db2.gz BLEWGCSGZPTECG-UHFFFAOYSA-N -1 1 314.704 1.109 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1CCc2nccn2C1 ZINC000886264766 708731901 /nfs/dbraw/zinc/73/19/01/708731901.db2.gz KYUDFNZHXMEXJC-SNVBAGLBSA-N -1 1 321.327 1.788 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCC[C@@H](CO)C1 ZINC000927778462 713053614 /nfs/dbraw/zinc/05/36/14/713053614.db2.gz JWTPCFSATIGUBV-SNVBAGLBSA-N -1 1 314.332 1.627 20 0 DDADMM COC(=O)[C@@H]1C[C@H](NC(=O)c2c([O-])cccc2Cl)[C@H]2C[C@H]21 ZINC000886410283 708755972 /nfs/dbraw/zinc/75/59/72/708755972.db2.gz IBXNZPCDKWHWAP-LOKLDPHHSA-N -1 1 309.749 1.973 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@H](O)C1CC1 ZINC000927781683 713054478 /nfs/dbraw/zinc/05/44/78/713054478.db2.gz SHXYAJDHNWBYPO-ZDUSSCGKSA-N -1 1 300.305 1.283 20 0 DDADMM C[C@H]1C[C@@H](O)CN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782287 713054676 /nfs/dbraw/zinc/05/46/76/713054676.db2.gz BQFHWHVJIPGCQA-WCBMZHEXSA-N -1 1 300.305 1.378 20 0 DDADMM COC[C@H]1CCN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927782958 713054965 /nfs/dbraw/zinc/05/49/65/713054965.db2.gz RSLLPPJASMDCDA-JTQLQIEISA-N -1 1 314.332 1.891 20 0 DDADMM CCN(CCCO)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782603 713054986 /nfs/dbraw/zinc/05/49/86/713054986.db2.gz PRAYWPGJBNGSCD-UHFFFAOYSA-N -1 1 302.321 1.627 20 0 DDADMM CC[C@H](CO)N(C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927788646 713056430 /nfs/dbraw/zinc/05/64/30/713056430.db2.gz LVIAOSBDIQKTFB-SECBINFHSA-N -1 1 302.321 1.625 20 0 DDADMM O=C([N-]Oc1ccc(F)cc1)C1CCC2(CC1)NC(=O)NC2=O ZINC000898573285 708794446 /nfs/dbraw/zinc/79/44/46/708794446.db2.gz KTMDKVLYZZLZCQ-UHFFFAOYSA-N -1 1 321.308 1.004 20 0 DDADMM CC1(C)CNC(=O)[C@@H]1NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000912645969 713059967 /nfs/dbraw/zinc/05/99/67/713059967.db2.gz ZVZOVBSEDZZSSH-ZDUSSCGKSA-N -1 1 317.320 1.334 20 0 DDADMM O=C(N[C@H]1CCS(=O)(=O)C2(CCC2)C1)c1cncc([O-])c1 ZINC000886772723 708841988 /nfs/dbraw/zinc/84/19/88/708841988.db2.gz FFWWLVUINRFNQT-NSHDSACASA-N -1 1 310.375 1.017 20 0 DDADMM C[C@@H](SCc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898750922 708854451 /nfs/dbraw/zinc/85/44/51/708854451.db2.gz JRPWZBLIYKWGEA-SNVBAGLBSA-N -1 1 319.386 1.826 20 0 DDADMM C[C@H]1C(=O)N([N-]C(=O)c2cc3c(s2)CC[C@H](C)C3)C(=O)N1C ZINC000899026948 708957428 /nfs/dbraw/zinc/95/74/28/708957428.db2.gz TVCJRMSEABLLRX-IUCAKERBSA-N -1 1 321.402 1.800 20 0 DDADMM C[C@@H]1CO[C@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000899183977 709013386 /nfs/dbraw/zinc/01/33/86/709013386.db2.gz IDBAMSIURUYASO-HOTUBEGUSA-N -1 1 308.300 1.081 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=S)NC2(C)CC2)[n-]c1=O ZINC000899667743 709142747 /nfs/dbraw/zinc/14/27/47/709142747.db2.gz VDQJXCVBVRHEDR-SECBINFHSA-N -1 1 308.407 1.755 20 0 DDADMM COC(=O)[C@@H]1CCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000888073881 709208453 /nfs/dbraw/zinc/20/84/53/709208453.db2.gz DCPCYQXJMBPTOX-ZDUSSCGKSA-N -1 1 301.298 1.639 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])C[C@H]1C(=O)NCc1cc(N(C)C)ccn1 ZINC000899836653 709211055 /nfs/dbraw/zinc/21/10/55/709211055.db2.gz XNHJVWKMYWJICR-XJKSGUPXSA-N -1 1 305.378 1.511 20 0 DDADMM CCOC(=O)C1([C@H](C)NC(=O)c2ncccc2[O-])CCOCC1 ZINC000888345572 709284330 /nfs/dbraw/zinc/28/43/30/709284330.db2.gz WAYZDPAGULJRDD-NSHDSACASA-N -1 1 322.361 1.265 20 0 DDADMM O=C(NC[C@H]1Cc2ccccc2O1)c1cnc(C2CC2)[n-]c1=O ZINC000900163215 709312671 /nfs/dbraw/zinc/31/26/71/709312671.db2.gz IKOZUXMACROHDN-GFCCVEGCSA-N -1 1 311.341 1.793 20 0 DDADMM O=C(N[C@H](CCO)C1CCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000889115852 709449826 /nfs/dbraw/zinc/44/98/26/709449826.db2.gz QPHXFDIXGMSIPP-GFCCVEGCSA-N -1 1 320.418 1.689 20 0 DDADMM CO[C@H]1CC[C@H]1N(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000889348522 709477378 /nfs/dbraw/zinc/47/73/78/709477378.db2.gz BGJTXUAIEJTQDA-OLZOCXBDSA-N -1 1 318.377 1.230 20 0 DDADMM CSCC[C@H](NC(=O)c1cccnc1C1CC1)c1nn[n-]n1 ZINC000912862684 713110927 /nfs/dbraw/zinc/11/09/27/713110927.db2.gz VCDRPDYWIPYHOS-NSHDSACASA-N -1 1 318.406 1.696 20 0 DDADMM CSCC[C@H](NC(=O)CCCC(F)(F)F)c1nn[n-]n1 ZINC000912862655 713110935 /nfs/dbraw/zinc/11/09/35/713110935.db2.gz UBLAFILWSKJASO-ZETCQYMHSA-N -1 1 311.333 1.843 20 0 DDADMM CSCC[C@H](NC(=O)c1ncccc1SC)c1nn[n-]n1 ZINC000912863477 713111963 /nfs/dbraw/zinc/11/19/63/713111963.db2.gz ZFQBMGVIXLQLCD-QMMMGPOBSA-N -1 1 324.435 1.541 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000909680331 709574096 /nfs/dbraw/zinc/57/40/96/709574096.db2.gz UUPILIUMJXWKFW-KKFJDGPESA-N -1 1 300.318 1.283 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)N[C@H]2CSc3ccccc32)C1 ZINC000909725693 709597335 /nfs/dbraw/zinc/59/73/35/709597335.db2.gz PSYWFNHRKGSDKS-YPMHNXCESA-N -1 1 320.414 1.746 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@H]2CSc3ccccc32)C1 ZINC000909725693 709597339 /nfs/dbraw/zinc/59/73/39/709597339.db2.gz PSYWFNHRKGSDKS-YPMHNXCESA-N -1 1 320.414 1.746 20 0 DDADMM C[C@@H]1NCCn2c(C(=O)N[C@H](C(=O)[O-])c3ccccc3)ccc21 ZINC000900456156 709602797 /nfs/dbraw/zinc/60/27/97/709602797.db2.gz FXQLTBJUKIRFLZ-NHYWBVRUSA-N -1 1 313.357 1.708 20 0 DDADMM Cc1cccc([C@H](NC(=O)CCc2cnc[nH]2)C(=O)[O-])c1C ZINC000909794449 709623806 /nfs/dbraw/zinc/62/38/06/709623806.db2.gz GUANDTYBDAYHRV-HNNXBMFYSA-N -1 1 301.346 1.901 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Cn2nccc2C)[n-]c1=O ZINC000889787110 709635393 /nfs/dbraw/zinc/63/53/93/709635393.db2.gz QGZYWBOJNZGMAX-NSHDSACASA-N -1 1 317.349 1.059 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@@H](NC(=O)[C@@H]2CCCCN2C)C(=O)[O-])C1 ZINC000909912104 709685037 /nfs/dbraw/zinc/68/50/37/709685037.db2.gz RZMIIFMLHWTICR-RFQIPJPRSA-N -1 1 312.410 1.245 20 0 DDADMM CC(C)(CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)CC(F)F ZINC000900627078 709684996 /nfs/dbraw/zinc/68/49/96/709684996.db2.gz WOJBJPFTJLZZBZ-QMMMGPOBSA-N -1 1 303.313 1.171 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N(CC(=O)[O-])C3CCCC3)n[nH]2)c1 ZINC000910061631 709760429 /nfs/dbraw/zinc/76/04/29/709760429.db2.gz OSEOVUNJIHNZLG-UHFFFAOYSA-N -1 1 316.361 1.885 20 0 DDADMM CO[C@H](CC(C)C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910171511 709796917 /nfs/dbraw/zinc/79/69/17/709796917.db2.gz XADSDXRUNUMQEA-CHWSQXEVSA-N -1 1 300.399 1.055 20 0 DDADMM CCCc1[nH]ccc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910175681 709797765 /nfs/dbraw/zinc/79/77/65/709797765.db2.gz RPSPDAHWNNWIJA-GFCCVEGCSA-N -1 1 307.394 1.588 20 0 DDADMM CCc1ccc(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)nc1 ZINC000910175702 709798045 /nfs/dbraw/zinc/79/80/45/709798045.db2.gz SHQWHULYWCSEJG-ZDUSSCGKSA-N -1 1 319.405 1.194 20 0 DDADMM Cc1cccnc1/C=C/C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910175612 709798117 /nfs/dbraw/zinc/79/81/17/709798117.db2.gz PXOSIJRGRCNCIF-GJBLVYBDSA-N -1 1 317.389 1.411 20 0 DDADMM Cc1ccc(CCC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)s1 ZINC000910174819 709798168 /nfs/dbraw/zinc/79/81/68/709798168.db2.gz XOIUOHHMKQEZNP-LBPRGKRZSA-N -1 1 324.446 1.997 20 0 DDADMM O=C([O-])c1ccc(F)c2c1CN(C(=O)Cc1c[nH]cn1)CC2 ZINC000910204090 709812580 /nfs/dbraw/zinc/81/25/80/709812580.db2.gz ABGZIPWIEMLAHS-UHFFFAOYSA-N -1 1 303.293 1.374 20 0 DDADMM O=C([O-])c1cnc(C2CCN(C(=O)c3cnc[nH]3)CC2)s1 ZINC000910209351 709815749 /nfs/dbraw/zinc/81/57/49/709815749.db2.gz NHUINKLDEILBNJ-UHFFFAOYSA-N -1 1 306.347 1.584 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCC2CCC(F)(F)CC2)C1 ZINC000910241817 709835594 /nfs/dbraw/zinc/83/55/94/709835594.db2.gz MUZFLOOJZGUXQW-LBPRGKRZSA-N -1 1 318.364 1.725 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2CCO[C@](C)(C(=O)[O-])C2)[nH]1 ZINC000910322758 709890570 /nfs/dbraw/zinc/89/05/70/709890570.db2.gz LCPZRZIRQVDTHE-HNNXBMFYSA-N -1 1 303.318 1.187 20 0 DDADMM O=C(N[C@@H]1CCCCC12OCCO2)c1cnc(C2CC2)[n-]c1=O ZINC000901151072 709948316 /nfs/dbraw/zinc/94/83/16/709948316.db2.gz DJSOXFIOUNICFG-GFCCVEGCSA-N -1 1 319.361 1.475 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC[C@H](O)[C@H](F)C1 ZINC000890704578 709964270 /nfs/dbraw/zinc/96/42/70/709964270.db2.gz INRUZBVAKCSGSN-KOLCDFICSA-N -1 1 318.142 1.700 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)NCCCCCCC(=O)[O-] ZINC000901436533 710039477 /nfs/dbraw/zinc/03/94/77/710039477.db2.gz VARRKPSFXAKOSR-UHFFFAOYSA-N -1 1 314.426 1.496 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2cc3cc[nH]c3cn2)C1 ZINC000910680427 710045418 /nfs/dbraw/zinc/04/54/18/710045418.db2.gz XKRVYQKNXHJGHO-NSHDSACASA-N -1 1 302.334 1.298 20 0 DDADMM O=C([O-])C[C@@]1(NCc2cn(-c3ccccc3)nn2)CCCOC1 ZINC000901528738 710067106 /nfs/dbraw/zinc/06/71/06/710067106.db2.gz ZQOFQBQHDYQAMQ-INIZCTEOSA-N -1 1 316.361 1.381 20 0 DDADMM COc1cc(OC)c(CN2CC[C@@](OC)(C(=O)[O-])C2)cc1F ZINC000901543035 710070412 /nfs/dbraw/zinc/07/04/12/710070412.db2.gz JXBWEZKTULJRCN-HNNXBMFYSA-N -1 1 313.325 1.518 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)[C@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC000901614928 710096339 /nfs/dbraw/zinc/09/63/39/710096339.db2.gz PVGBJIQHYQXMNB-RDBSUJKOSA-N -1 1 312.410 1.055 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2C[C@H](C(=O)[O-])CC[C@@H]2C)C1 ZINC000901658821 710111491 /nfs/dbraw/zinc/11/14/91/710111491.db2.gz YUKIMIIILCIPHQ-LSCVPOLPSA-N -1 1 305.378 1.787 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc3[nH]cnc3cc2F)C[C@@H]1C(=O)[O-] ZINC000901697847 710123003 /nfs/dbraw/zinc/12/30/03/710123003.db2.gz UJLITBBGAKSZEQ-WPRPVWTQSA-N -1 1 305.309 1.885 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC000901711828 710129444 /nfs/dbraw/zinc/12/94/44/710129444.db2.gz XTLBVHXBJSYYRP-LSDHHAIUSA-N -1 1 304.390 1.530 20 0 DDADMM O=C([O-])[C@]1(O)CCN(Cc2ccc(OC(F)F)c(F)c2)C1 ZINC000901899548 710168059 /nfs/dbraw/zinc/16/80/59/710168059.db2.gz AICJGSKMDOEZBZ-ZDUSSCGKSA-N -1 1 305.252 1.449 20 0 DDADMM O=C([O-])[C@]1(O)CCN(Cc2cc(Cl)c3c(c2)OCCO3)C1 ZINC000901900794 710169157 /nfs/dbraw/zinc/16/91/57/710169157.db2.gz HFTBNSCTAVMDQX-AWEZNQCLSA-N -1 1 313.737 1.133 20 0 DDADMM O=C([O-])C[C@H]1COCCN1Cc1cc(-n2ccnc2)cs1 ZINC000902001380 710198223 /nfs/dbraw/zinc/19/82/23/710198223.db2.gz GLPCRMOWDVYRFV-NSHDSACASA-N -1 1 307.375 1.609 20 0 DDADMM CO[C@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)[C@H]1CCOC1 ZINC000891616098 710249384 /nfs/dbraw/zinc/24/93/84/710249384.db2.gz VAZWSEOOFXOZMS-CABZTGNLSA-N -1 1 307.350 1.051 20 0 DDADMM COCc1nc(N2CC[C@H](C(=O)OC(C)(C)C)C2)cc(=O)[n-]1 ZINC000892837315 710510319 /nfs/dbraw/zinc/51/03/19/710510319.db2.gz AENRQSUFWYUARE-JTQLQIEISA-N -1 1 309.366 1.497 20 0 DDADMM CN(C(=O)C1(c2ccccc2Br)CC1)c1nn[n-]n1 ZINC000892954252 710531356 /nfs/dbraw/zinc/53/13/56/710531356.db2.gz GNVGNLCJFAGLLP-UHFFFAOYSA-N -1 1 322.166 1.657 20 0 DDADMM COCc1nc(N2CCC(C3CCOCC3)CC2)cc(=O)[n-]1 ZINC000892958002 710532274 /nfs/dbraw/zinc/53/22/74/710532274.db2.gz XAYOXYPYCGMLET-UHFFFAOYSA-N -1 1 307.394 1.972 20 0 DDADMM C[C@H](CNCc1ncc(Br)cc1[O-])S(C)(=O)=O ZINC000893240684 710577983 /nfs/dbraw/zinc/57/79/83/710577983.db2.gz GUNIFAYAVAVGST-SSDOTTSWSA-N -1 1 323.212 1.072 20 0 DDADMM CCNC(=O)CN(CC)Cc1cc(C)c(OCC(=O)[O-])c(C)c1 ZINC000902071351 710603614 /nfs/dbraw/zinc/60/36/14/710603614.db2.gz DPHVZKSLVPSRLR-UHFFFAOYSA-N -1 1 322.405 1.725 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NC[C@@H](CC(=O)[O-])C1CC1 ZINC000902086853 710610486 /nfs/dbraw/zinc/61/04/86/710610486.db2.gz HONAPESFDMERBN-MRXNPFEDSA-N -1 1 318.417 1.778 20 0 DDADMM CS(=O)(=O)Cc1ccc(CNCc2ccc(C(=O)[O-])o2)cc1 ZINC000902144214 710633100 /nfs/dbraw/zinc/63/31/00/710633100.db2.gz VZXLZMYFDKKGJB-UHFFFAOYSA-N -1 1 323.370 1.812 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)c2cccc3[nH]c(C)nc32)C1 ZINC000902303067 710705807 /nfs/dbraw/zinc/70/58/07/710705807.db2.gz FNRWEBGYQHKYTJ-INIZCTEOSA-N -1 1 317.345 1.435 20 0 DDADMM COCCN1CC[C@H](NC(=O)c2ccc(C)c(C(=O)[O-])c2)C1 ZINC000911352952 710758147 /nfs/dbraw/zinc/75/81/47/710758147.db2.gz PXPAJNJBGJNIAV-ZDUSSCGKSA-N -1 1 306.362 1.144 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CC1(Cl)Cl ZINC000913437938 713215776 /nfs/dbraw/zinc/21/57/76/713215776.db2.gz LWGDVXJLEKTSJG-IUCAKERBSA-N -1 1 312.160 1.599 20 0 DDADMM COCc1nc(N2CCc3cccc(OC)c3C2)cc(=O)[n-]1 ZINC000894088014 710960236 /nfs/dbraw/zinc/96/02/36/710960236.db2.gz DDPCQAXVJIMSSI-UHFFFAOYSA-N -1 1 301.346 1.900 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CC[C@H](c3nc[nH]n3)C2)c(F)c1 ZINC000911773886 710977381 /nfs/dbraw/zinc/97/73/81/710977381.db2.gz PPDSVQBBMFSDMS-VIFPVBQESA-N -1 1 304.281 1.272 20 0 DDADMM O=C(NC[C@@H]1C=C[C@H]2C[C@@H]1CO2)c1nc2ccccc2c(=O)[n-]1 ZINC000902970744 710979323 /nfs/dbraw/zinc/97/93/23/710979323.db2.gz VIXWJPRNYRCHTJ-TUAOUCFPSA-N -1 1 311.341 1.244 20 0 DDADMM O=C(N[C@]12C[C@H]1COC21CCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000902985601 710985150 /nfs/dbraw/zinc/98/51/50/710985150.db2.gz NVWHLSVKPMEOLB-DYZYQPBXSA-N -1 1 311.341 1.365 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN[C@H](c2cn[nH]c2)C1 ZINC000913453984 713222752 /nfs/dbraw/zinc/22/27/52/713222752.db2.gz WRHUORWEHZYDCS-JTQLQIEISA-N -1 1 308.288 1.180 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)C(=O)c2ccc([O-])cc2)[C@@H](C)C(=O)N1C ZINC000912012598 711120113 /nfs/dbraw/zinc/12/01/13/711120113.db2.gz KGBXINWMDUFHFZ-MXWKQRLJSA-N -1 1 304.346 1.041 20 0 DDADMM O=C(c1nsc2ccccc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913493449 713236918 /nfs/dbraw/zinc/23/69/18/713236918.db2.gz VRXQVJIRTCGQON-VIFPVBQESA-N -1 1 316.346 1.023 20 0 DDADMM CC[C@@H](CC1CCCC1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494674 713237293 /nfs/dbraw/zinc/23/72/93/713237293.db2.gz IRRFZJXZMGNKRN-QWHCGFSZSA-N -1 1 307.398 1.706 20 0 DDADMM C[C@@H](CCC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC000913494415 713237355 /nfs/dbraw/zinc/23/73/55/713237355.db2.gz AEIPBCOOJQYFOX-JSGCOSHPSA-N -1 1 315.377 1.684 20 0 DDADMM C[C@H](Cc1ccc(F)cc1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494600 713237522 /nfs/dbraw/zinc/23/75/22/713237522.db2.gz GEFRWGHKASMNGD-ZWNOBZJWSA-N -1 1 319.340 1.118 20 0 DDADMM O=C(C[C@@H]1CCc2ccccc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495319 713237939 /nfs/dbraw/zinc/23/79/39/713237939.db2.gz VVPCBTRYOODAAL-GXTWGEPZSA-N -1 1 313.361 1.220 20 0 DDADMM COCc1nc(NCc2ccc(CC(=O)OC)cc2)cc(=O)[n-]1 ZINC000894715513 711245910 /nfs/dbraw/zinc/24/59/10/711245910.db2.gz OGLUFMIBGAOKRA-UHFFFAOYSA-N -1 1 317.345 1.656 20 0 DDADMM O=C(C1CCC(C2CC2)CC1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913497418 713239024 /nfs/dbraw/zinc/23/90/24/713239024.db2.gz AVEXWDKXGQBQCZ-WXRRBKDZSA-N -1 1 305.382 1.316 20 0 DDADMM Cc1ccc(C)c(C2CCN(CC(=O)NCC(=O)[O-])CC2)c1 ZINC000903712188 711251220 /nfs/dbraw/zinc/25/12/20/711251220.db2.gz HNJBWEKLSYQQDA-UHFFFAOYSA-N -1 1 304.390 1.684 20 0 DDADMM C/C(=C\C1CCC(C)CC1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499959 713239542 /nfs/dbraw/zinc/23/95/42/713239542.db2.gz TVKPJLHHZAADIS-QYNGSITBSA-N -1 1 319.409 1.872 20 0 DDADMM O=C(c1cccc2ccsc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499289 713239788 /nfs/dbraw/zinc/23/97/88/713239788.db2.gz UYPJZVCZTNCVQE-LLVKDONJSA-N -1 1 315.358 1.628 20 0 DDADMM Cc1cc(CN2CC[C@H](c3ncc(C(=O)[O-])s3)C2)nn1C ZINC000903926221 711335534 /nfs/dbraw/zinc/33/55/34/711335534.db2.gz ZXARJVKWMYVZOR-JTQLQIEISA-N -1 1 306.391 1.873 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2cccnc2Cl)n1 ZINC000895235137 711459749 /nfs/dbraw/zinc/45/97/49/711459749.db2.gz LNCLFEKEVIAQQQ-MRVPVSSYSA-N -1 1 309.757 1.881 20 0 DDADMM CC(C)[C@H](CNC(=O)CCCc1nn[n-]n1)Nc1ccccc1 ZINC000895574295 711547230 /nfs/dbraw/zinc/54/72/30/711547230.db2.gz OEGPEUIFTLLOMY-AWEZNQCLSA-N -1 1 316.409 1.775 20 0 DDADMM COCc1nc(N2CCC([C@]3(C)COC(=O)N3)CC2)cc(=O)[n-]1 ZINC000895616253 711559629 /nfs/dbraw/zinc/55/96/29/711559629.db2.gz JQMCTNZMILPLBL-HNNXBMFYSA-N -1 1 322.365 1.044 20 0 DDADMM CC1(C)CO[C@H](CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000895837257 711621484 /nfs/dbraw/zinc/62/14/84/711621484.db2.gz KDVQFGIQHGJCFO-OCCSQVGLSA-N -1 1 315.377 1.611 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]([N-]c2nnc(C(F)F)o2)CO1 ZINC000896287865 711701138 /nfs/dbraw/zinc/70/11/38/711701138.db2.gz NZXAWVSTTJHWCT-ZCFIWIBFSA-N -1 1 306.269 1.970 20 0 DDADMM C[C@H](Cc1cccc(F)c1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743006 713292116 /nfs/dbraw/zinc/29/21/16/713292116.db2.gz ANKMZGWLAUCHFM-LLVKDONJSA-N -1 1 317.368 1.924 20 0 DDADMM C[C@H](Cc1ccc(F)cc1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743102 713292188 /nfs/dbraw/zinc/29/21/88/713292188.db2.gz FOYYQJXARPXOBH-LLVKDONJSA-N -1 1 317.368 1.924 20 0 DDADMM O=C([C@H]1CCCCCC(=O)C1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744797 713293125 /nfs/dbraw/zinc/29/31/25/713293125.db2.gz FFLXPLHVUBZACI-LBPRGKRZSA-N -1 1 305.382 1.445 20 0 DDADMM O=S(=O)(c1nc(-c2ccc(Cl)cc2)n[n-]1)[C@@H]1CCOC1 ZINC000905252942 711980834 /nfs/dbraw/zinc/98/08/34/711980834.db2.gz ORBMUCMWNODLMB-SNVBAGLBSA-N -1 1 313.766 1.688 20 0 DDADMM O=S(=O)(c1n[n-]c(-c2ccc(Cl)cc2)n1)[C@@H]1CCOC1 ZINC000905252942 711980838 /nfs/dbraw/zinc/98/08/38/711980838.db2.gz ORBMUCMWNODLMB-SNVBAGLBSA-N -1 1 313.766 1.688 20 0 DDADMM Cn1cnc2cccc(C(=O)N3CCC(c4nn[n-]n4)CC3)c21 ZINC000913744939 713293288 /nfs/dbraw/zinc/29/32/88/713293288.db2.gz KXYOZIUIWMVTHO-UHFFFAOYSA-N -1 1 311.349 1.106 20 0 DDADMM Cc1csc([C@H](C)C(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913745306 713293693 /nfs/dbraw/zinc/29/36/93/713293693.db2.gz XZMLADPCTIKGOF-VIFPVBQESA-N -1 1 306.395 1.474 20 0 DDADMM CCCS(=O)(=O)CCN[C@H](C(=O)[O-])c1cccc(OC)c1 ZINC000905393852 712026283 /nfs/dbraw/zinc/02/62/83/712026283.db2.gz BQSWZXVPBLQRCU-ZDUSSCGKSA-N -1 1 315.391 1.235 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3(C)C(C)(C)C3(C)C)nc2n1 ZINC000906057500 712232658 /nfs/dbraw/zinc/23/26/58/712232658.db2.gz LGYVAZVTLSFZPY-UHFFFAOYSA-N -1 1 317.393 1.991 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CCC=C(F)C2)c1 ZINC000906921067 712439412 /nfs/dbraw/zinc/43/94/12/712439412.db2.gz VJIIYWXHFAGKQN-UHFFFAOYSA-N -1 1 301.295 1.338 20 0 DDADMM C[C@@H](C[S@@](C)=O)N(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907342039 712548298 /nfs/dbraw/zinc/54/82/98/712548298.db2.gz NIGWCGOMCZYMFA-GTUYJWLHSA-N -1 1 324.377 1.919 20 0 DDADMM CCc1ccnc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000907479715 712583224 /nfs/dbraw/zinc/58/32/24/712583224.db2.gz MEVDRPIPVIQNIG-LLVKDONJSA-N -1 1 304.379 1.087 20 0 DDADMM O=C([C@@H]1C[C@H]1C1CCCC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480452 712583451 /nfs/dbraw/zinc/58/34/51/712583451.db2.gz PKNVLWUYNVSOEY-QJPTWQEYSA-N -1 1 307.423 1.643 20 0 DDADMM CSc1cccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)n1 ZINC000907479830 712583664 /nfs/dbraw/zinc/58/36/64/712583664.db2.gz IXHJXHOINVDTNJ-VIFPVBQESA-N -1 1 322.419 1.247 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2c(Cl)cccc2Cl)CCNC1=O ZINC000907807648 712629251 /nfs/dbraw/zinc/62/92/51/712629251.db2.gz RNQQZZKOWZNEFV-NSHDSACASA-N -1 1 323.201 1.550 20 0 DDADMM O=C(NCCCO[C@H]1CCCCO1)c1cnc(C2CC2)[n-]c1=O ZINC000907895886 712643446 /nfs/dbraw/zinc/64/34/46/712643446.db2.gz SOBZXFLLRUSOIL-ZDUSSCGKSA-N -1 1 321.377 1.723 20 0 DDADMM CC1=CC[C@@](C)(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1 ZINC000907941205 712652355 /nfs/dbraw/zinc/65/23/55/712652355.db2.gz NDOZEWWLYUOVJI-CQSZACIVSA-N -1 1 305.382 1.146 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H]3C[C@@H]4C[C@@H](C(=O)[O-])C[C@H]34)c2C1 ZINC000907947764 712653575 /nfs/dbraw/zinc/65/35/75/712653575.db2.gz HLLQSJPUMLOQDA-AHMQBVQWSA-N -1 1 317.389 1.764 20 0 DDADMM COCc1cnc(NC(=O)c2cnc(C3CC3)[n-]c2=O)s1 ZINC000908132585 712695036 /nfs/dbraw/zinc/69/50/36/712695036.db2.gz UTCIQMYVBILPTG-UHFFFAOYSA-N -1 1 306.347 1.915 20 0 DDADMM O=C([O-])C[C@H](Cc1ccccc1)NC(=O)c1[nH]nc2c1CCC2 ZINC000908240369 712717922 /nfs/dbraw/zinc/71/79/22/712717922.db2.gz HRPLVNKFMCZOFW-LBPRGKRZSA-N -1 1 313.357 1.714 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N[C@H](CC(=O)[O-])Cc1ccccc1 ZINC000908242461 712718464 /nfs/dbraw/zinc/71/84/64/712718464.db2.gz CCAYESDPAAPATB-LSDHHAIUSA-N -1 1 304.390 1.673 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1C[C@@]2(CCCO2)[C@@H]1C1CC1 ZINC000908449953 712776528 /nfs/dbraw/zinc/77/65/28/712776528.db2.gz GBQWTOPBNOLPCO-GUYCJALGSA-N -1 1 315.373 1.843 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCC3(C[C@H]3C(=O)[O-])CC2)C1 ZINC000908656261 712830456 /nfs/dbraw/zinc/83/04/56/712830456.db2.gz UZJWNILLEBOFAR-RTXFEEFZSA-N -1 1 317.389 1.789 20 0 DDADMM CCOc1cccc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000908713150 712839882 /nfs/dbraw/zinc/83/98/82/712839882.db2.gz PEAUBWLMYRVJOG-GFCCVEGCSA-N -1 1 306.362 1.820 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@H]2CCc3c2cccc3F)C1 ZINC000908754393 712848449 /nfs/dbraw/zinc/84/84/49/712848449.db2.gz UEIUTQNLPPBFFD-ABAIWWIYSA-N -1 1 320.364 1.726 20 0 DDADMM CCN(CC(=O)N[C@H](CC(=O)[O-])c1cccc(OC)c1)C1CC1 ZINC000908856175 712870380 /nfs/dbraw/zinc/87/03/80/712870380.db2.gz PMNMWECEOWQATD-OAHLLOKOSA-N -1 1 320.389 1.812 20 0 DDADMM CN1CCC[C@H](NC(=O)N(CC(=O)[O-])Cc2ccc(F)cc2)C1 ZINC000908869853 712874714 /nfs/dbraw/zinc/87/47/14/712874714.db2.gz HWLXDHFVNZSJNG-AWEZNQCLSA-N -1 1 323.368 1.516 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N(CCC(=O)[O-])C1CC1 ZINC000908873835 712876418 /nfs/dbraw/zinc/87/64/18/712876418.db2.gz KHEWEQWRAKTFGB-UHFFFAOYSA-N -1 1 304.390 1.627 20 0 DDADMM O=C([O-])[C@@H]1Cc2ccccc2CN1C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000908895796 712881991 /nfs/dbraw/zinc/88/19/91/712881991.db2.gz JVNFFLFXAJNYFZ-NFAWXSAZSA-N -1 1 311.341 1.551 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)CC1(F)CCC1)[C@H](O)C(F)(F)F ZINC000915252410 713414194 /nfs/dbraw/zinc/41/41/94/713414194.db2.gz OENLAPSWNMYFKS-IUCAKERBSA-N -1 1 321.336 1.746 20 0 DDADMM CCN1CCC[C@@H](NC(=O)c2ncc3ccccc3c2[O-])C1=O ZINC000928864623 713497028 /nfs/dbraw/zinc/49/70/28/713497028.db2.gz FYUGIDPLTGBIQV-CYBMUJFWSA-N -1 1 313.357 1.681 20 0 DDADMM CC1(CNC(=O)NCCc2c(F)cc([O-])cc2F)COC1 ZINC000918419731 713542283 /nfs/dbraw/zinc/54/22/83/713542283.db2.gz OGZSIUJLVMHYDP-UHFFFAOYSA-N -1 1 300.305 1.549 20 0 DDADMM C[C@H](CN1CCN(C(=O)C2CC2)CC1)C(=O)c1ccc([O-])cc1 ZINC000929128362 713552501 /nfs/dbraw/zinc/55/25/01/713552501.db2.gz DHIDCXBKRUPLKQ-CYBMUJFWSA-N -1 1 316.401 1.765 20 0 DDADMM CN1C[C@@H](CC[N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1=O ZINC000921060226 713711380 /nfs/dbraw/zinc/71/13/80/713711380.db2.gz WNPAURUAQXPWRX-QMMMGPOBSA-N -1 1 322.333 1.364 20 0 DDADMM CN1C[C@H](CC[N-]S(=O)(=O)c2cc3ccccc3o2)CC1=O ZINC000921068681 713711755 /nfs/dbraw/zinc/71/17/55/713711755.db2.gz MDLLVIKCCWCBNU-LLVKDONJSA-N -1 1 322.386 1.580 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)CCS1 ZINC000921717762 713852557 /nfs/dbraw/zinc/85/25/57/713852557.db2.gz MXQXPPCJXIVWAW-HTQZYQBOSA-N -1 1 309.844 1.636 20 0 DDADMM COC[C@@H](C[N-]S(=O)(=O)N=S1(=O)CCCC1)C(C)C ZINC000921897242 713900829 /nfs/dbraw/zinc/90/08/29/713900829.db2.gz ISKKNEIVKHOVRG-LLVKDONJSA-N -1 1 312.457 1.001 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC1(OC)CCCC1)C(C)C ZINC000921977726 713926982 /nfs/dbraw/zinc/92/69/82/713926982.db2.gz OBHSUHRWJLMAJD-LLVKDONJSA-N -1 1 307.412 1.063 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3C=C[C@H]4C[C@@H]3CO4)ccnc1-2 ZINC000931131344 714010526 /nfs/dbraw/zinc/01/05/26/714010526.db2.gz FPWUXNXNQVDYNR-TUAOUCFPSA-N -1 1 313.361 1.054 20 0 DDADMM C[C@@H]1CC2(CC(NC(=O)N=c3ccnc4n(C)[n-]cc3-4)C2)CO1 ZINC000931132455 714010988 /nfs/dbraw/zinc/01/09/88/714010988.db2.gz XGLSKQPQTXWZKB-VHTNMKBUSA-N -1 1 315.377 1.421 20 0 DDADMM COC(=O)[C@@H]1CSCCN1C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000931758629 714178531 /nfs/dbraw/zinc/17/85/31/714178531.db2.gz NNYXYCICIFSNRO-RISCZKNCSA-N -1 1 323.414 1.801 20 0 DDADMM CC[C@@](C)(O)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932041393 714244320 /nfs/dbraw/zinc/24/43/20/714244320.db2.gz BVOKJPWZUFTXEO-OAHLLOKOSA-N -1 1 304.350 1.860 20 0 DDADMM CC1(C)[C@H](NC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C[C@@H]1O ZINC000932048525 714246035 /nfs/dbraw/zinc/24/60/35/714246035.db2.gz LROLENGQUWZPIU-OLZOCXBDSA-N -1 1 316.361 1.859 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CC[C@H](C(=O)[O-])C[C@@H]2C)C1 ZINC000923156611 714256934 /nfs/dbraw/zinc/25/69/34/714256934.db2.gz XFPRVAKIKCGHQQ-BLFANLJRSA-N -1 1 305.378 1.787 20 0 DDADMM CC(C)C1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)COC1 ZINC000924206810 714545061 /nfs/dbraw/zinc/54/50/61/714545061.db2.gz NSYROULFQLJVKS-UHFFFAOYSA-N -1 1 305.330 1.159 20 0 DDADMM COC(=O)[C@@H](C[C@@H]1CCCO1)NC(=O)c1cc(F)ccc1[O-] ZINC000924869673 714683392 /nfs/dbraw/zinc/68/33/92/714683392.db2.gz OUXKVIJNQVKFRI-CMPLNLGQSA-N -1 1 311.309 1.372 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000924909104 714694476 /nfs/dbraw/zinc/69/44/76/714694476.db2.gz ZXRMGSQWUQFHCR-RKDXNWHRSA-N -1 1 317.263 1.950 20 0 DDADMM COc1cnc([C@@H]2CCCN2C[C@H](O)C(F)(F)F)[n-]c1=O ZINC000934274932 714783702 /nfs/dbraw/zinc/78/37/02/714783702.db2.gz XKGLIBUHESCSOD-CBAPKCEASA-N -1 1 307.272 1.251 20 0 DDADMM Cn1cc(O[C@@H]2CCC[C@@H]2NC(=O)c2cncc([O-])c2)cn1 ZINC000926284996 715042501 /nfs/dbraw/zinc/04/25/01/715042501.db2.gz MCQFCTSHXIZIPJ-UONOGXRCSA-N -1 1 302.334 1.251 20 0 DDADMM CC[C@H](NS(=O)(=O)c1occc1Br)C(=O)[O-] ZINC000926452688 715068926 /nfs/dbraw/zinc/06/89/26/715068926.db2.gz GXVVETJCFPHUGC-LURJTMIESA-N -1 1 312.141 1.184 20 0 DDADMM O=C(C[C@H]1CC[C@H](C2CC2)O1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000935828892 715131301 /nfs/dbraw/zinc/13/13/01/715131301.db2.gz AVBFUPUQLWMSTA-CHWSQXEVSA-N -1 1 321.377 1.829 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-][C@@]2(C)CCOC2=O)cnc1Cl ZINC000936051513 715146248 /nfs/dbraw/zinc/14/62/48/715146248.db2.gz RAWBXIUINSHKCM-LBPRGKRZSA-N -1 1 318.707 1.957 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849425 715636334 /nfs/dbraw/zinc/63/63/34/715636334.db2.gz RINNYYQNLDAGQR-APPDUMDISA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)C2CCCC2)C1 ZINC000956849414 715636526 /nfs/dbraw/zinc/63/65/26/715636526.db2.gz QWVMCBODWHTMFP-QGZVFWFLSA-N -1 1 317.389 1.698 20 0 DDADMM CC1(C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)CC1 ZINC000956851630 715638419 /nfs/dbraw/zinc/63/84/19/715638419.db2.gz LDQKOZYHCQDNTQ-INIZCTEOSA-N -1 1 303.362 1.308 20 0 DDADMM CC1(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CCC1 ZINC000938414751 715833331 /nfs/dbraw/zinc/83/33/31/715833331.db2.gz JDEPUYPAIXZNRL-NSHDSACASA-N -1 1 303.362 1.308 20 0 DDADMM Cc1occc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000939308714 716189012 /nfs/dbraw/zinc/18/90/12/716189012.db2.gz JEQIDZQRRAGJKA-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)C)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959595372 716231959 /nfs/dbraw/zinc/23/19/59/716231959.db2.gz YNPVFFXDSWQQBP-VXGBXAGGSA-N -1 1 319.405 1.658 20 0 DDADMM O=C(CC1CCCC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959856657 716322238 /nfs/dbraw/zinc/32/22/38/716322238.db2.gz QYOBADJMLKDDQH-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM CC1(C)C[C@H]1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000940055915 716600894 /nfs/dbraw/zinc/60/08/94/716600894.db2.gz DFUQXUXIVOAJII-MNOVXSKESA-N -1 1 303.362 1.164 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1)C1CCC1 ZINC000964660217 717362815 /nfs/dbraw/zinc/36/28/15/717362815.db2.gz SLLLPXMVVMKJKW-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964848043 717452984 /nfs/dbraw/zinc/45/29/84/717452984.db2.gz IOTSCXKQHORKRE-XQQFMLRXSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CCCN(C(=O)C2CC2)[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000945698761 718536519 /nfs/dbraw/zinc/53/65/19/718536519.db2.gz SIQJCUZPADWMCI-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000945934571 718618468 /nfs/dbraw/zinc/61/84/68/718618468.db2.gz ZDJHYYWJQXLZAO-OLZOCXBDSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(N[C@H]1CCC[C@@H]1CNC(=O)C1CC1)c1ncccc1[O-] ZINC000946196597 718708456 /nfs/dbraw/zinc/70/84/56/718708456.db2.gz UIMLRNLYPTWZNL-NEPJUHHUSA-N -1 1 303.362 1.212 20 0 DDADMM CC1CC(C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000946533131 718820978 /nfs/dbraw/zinc/82/09/78/718820978.db2.gz NKPXHSCKXNWYFJ-UHFFFAOYSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@@H]2C[C@@H]2C)C1 ZINC000967905756 719082106 /nfs/dbraw/zinc/08/21/06/719082106.db2.gz DDTGSJSPLIRAJN-RYUDHWBXSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(C[C@H]1C=CCC1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949530939 720143198 /nfs/dbraw/zinc/14/31/98/720143198.db2.gz JSDGSHXWMGIBQR-QWHCGFSZSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)C1(F)CCCC1)c1ncccc1[O-] ZINC000949537978 720146696 /nfs/dbraw/zinc/14/66/96/720146696.db2.gz DMRDYBKDEYFASO-NSHDSACASA-N -1 1 321.352 1.400 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)C2=CCCC2)C1)c1ncccc1[O-] ZINC000950415690 720623557 /nfs/dbraw/zinc/62/35/57/720623557.db2.gz YFJGRTVAHJCKCT-ZDUSSCGKSA-N -1 1 315.373 1.618 20 0 DDADMM O=C(CC1CC1)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000971046236 721078704 /nfs/dbraw/zinc/07/87/04/721078704.db2.gz HHCLXFCATFVIID-CHWSQXEVSA-N -1 1 315.373 1.260 20 0 DDADMM CCC(=O)NC1C[C@H]2CCC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000952421344 721443883 /nfs/dbraw/zinc/44/38/83/721443883.db2.gz YLKVOANUKORNJI-YHWZYXNKSA-N -1 1 317.389 1.839 20 0 DDADMM CC[C@H](C)CCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167505155 734621820 /nfs/dbraw/zinc/62/18/20/734621820.db2.gz IBDMWBSLWHKWJS-LBPRGKRZSA-N -1 1 323.441 1.713 20 0 DDADMM O=C(Nc1ccc2nccn2c1)c1ccc2n[n-]c(=S)n2c1 ZINC001167598460 734793198 /nfs/dbraw/zinc/79/31/98/734793198.db2.gz SXJAFOFIIOPWSX-UHFFFAOYSA-N -1 1 310.342 1.918 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCC[C@@H]2[C@@H]2CCCN2CCF)c1[O-] ZINC001025221189 736371510 /nfs/dbraw/zinc/37/15/10/736371510.db2.gz MAWHCVNJMODRPB-QWHCGFSZSA-N -1 1 324.400 1.852 20 0 DDADMM CCCC(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059035447 739691527 /nfs/dbraw/zinc/69/15/27/739691527.db2.gz NGUGCZCUQBOHJW-LBPRGKRZSA-N -1 1 305.378 1.556 20 0 DDADMM C[n+]1cccc(NC2(P(=O)([O-])[O-])Cc3ccccc3C2)c1 ZINC001167937263 739740868 /nfs/dbraw/zinc/74/08/68/739740868.db2.gz BEXHWQHELPCKJQ-UHFFFAOYSA-O -1 1 305.294 1.596 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CCC1 ZINC001012145034 740101048 /nfs/dbraw/zinc/10/10/48/740101048.db2.gz WGLXWSSRCHMKGG-CMPLNLGQSA-N -1 1 303.362 1.307 20 0 DDADMM CC/C=C(\C)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075808245 740600984 /nfs/dbraw/zinc/60/09/84/740600984.db2.gz KWMVYAGEZFGQEX-BCMYLCSRSA-N -1 1 305.378 1.768 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)CCC2CCC2)C1 ZINC001029695142 741234278 /nfs/dbraw/zinc/23/42/78/741234278.db2.gz OLXFMGPEPIWXLE-CYBMUJFWSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@@H](CCCNc1nccnc1F)NC(=O)c1ncccc1[O-] ZINC001114906216 751645761 /nfs/dbraw/zinc/64/57/61/751645761.db2.gz ABEVTHKHHCLHLY-JTQLQIEISA-N -1 1 319.340 1.727 20 0 DDADMM Cc1cccc(O)c1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001168692611 743043060 /nfs/dbraw/zinc/04/30/60/743043060.db2.gz FMDMBIRPAKMJCK-CQSZACIVSA-N -1 1 309.329 1.733 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002346090 743065110 /nfs/dbraw/zinc/06/51/10/743065110.db2.gz QBAWBKZCQLFWJN-YKAQBRKTSA-N -1 1 317.389 1.816 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(=O)Cc2ccccc2)c[n-]1 ZINC001181375628 743170673 /nfs/dbraw/zinc/17/06/73/743170673.db2.gz VHQMIUHGNSYUTI-UHFFFAOYSA-N -1 1 301.302 1.337 20 0 DDADMM CC(=O)N[C@@H](CCO)C(=O)Nc1cccc(C(F)(F)F)c1[O-] ZINC001182130924 743494515 /nfs/dbraw/zinc/49/45/15/743494515.db2.gz YYSPPRSPVCYFRX-JTQLQIEISA-N -1 1 320.267 1.237 20 0 DDADMM C[C@]1(C(=O)NCCCC[P@](=O)([O-])O)CC1(Cl)Cl ZINC001182144731 743498507 /nfs/dbraw/zinc/49/85/07/743498507.db2.gz XCDAOSOQOFXLDP-MRVPVSSYSA-N -1 1 304.110 1.644 20 0 DDADMM Cc1ccc([C@@H](O)C(=O)Nc2n[n-]c(C(F)(F)F)n2)cc1 ZINC001183644582 743870292 /nfs/dbraw/zinc/87/02/92/743870292.db2.gz RIMMZTVELRFAIH-MRVPVSSYSA-N -1 1 300.240 1.804 20 0 DDADMM C[C@H](Cc1ccccc1)c1cc(C(=O)NCc2nn[n-]n2)[nH]n1 ZINC001185009028 744136638 /nfs/dbraw/zinc/13/66/38/744136638.db2.gz CPIXDNVNAXKIRX-SNVBAGLBSA-N -1 1 311.349 1.199 20 0 DDADMM O=c1[nH]ccc([N-]S(=O)(=O)c2cnc(Cl)nc2)c1Cl ZINC001185008788 744136855 /nfs/dbraw/zinc/13/68/55/744136855.db2.gz ZSBTYRSEACOLPQ-UHFFFAOYSA-N -1 1 321.145 1.685 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)c2cnc([C@@H]3C[C@H]3C)nc2)n1 ZINC001185119362 744164201 /nfs/dbraw/zinc/16/42/01/744164201.db2.gz ZLVPWAPSBJBJCG-PSASIEDQSA-N -1 1 315.333 1.752 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc([C@@H]3C[C@H]3C)nc2)n1 ZINC001185119362 744164203 /nfs/dbraw/zinc/16/42/03/744164203.db2.gz ZLVPWAPSBJBJCG-PSASIEDQSA-N -1 1 315.333 1.752 20 0 DDADMM CC(C)(CC1CCOCC1)C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001185324951 744207145 /nfs/dbraw/zinc/20/71/45/744207145.db2.gz GDOHVYPCNFWDJW-UHFFFAOYSA-N -1 1 319.365 1.840 20 0 DDADMM Cc1ccccc1[N-]S(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC001185556210 744246822 /nfs/dbraw/zinc/24/68/22/744246822.db2.gz WZAOTHUNAOLKJZ-UHFFFAOYSA-N -1 1 315.358 1.976 20 0 DDADMM COc1ccc(CNC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001185568279 744249042 /nfs/dbraw/zinc/24/90/42/744249042.db2.gz PBEYQFZHGNXSNL-UHFFFAOYSA-N -1 1 314.305 1.389 20 0 DDADMM C[C@@H](CCc1ccccc1)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001186013369 744326629 /nfs/dbraw/zinc/32/66/29/744326629.db2.gz PZNMOPMMMHPQBG-VIFPVBQESA-N -1 1 302.334 1.677 20 0 DDADMM CN(C)c1nc(NC(=O)NCCc2ccc(F)cc2)cc(=O)[n-]1 ZINC001186248720 744363670 /nfs/dbraw/zinc/36/36/70/744363670.db2.gz XAWLMWALVLCHLO-UHFFFAOYSA-N -1 1 319.340 1.752 20 0 DDADMM COC(=O)c1ccc(OC)nc1NC(=O)c1ccc([O-])c(F)c1 ZINC001186208667 744364060 /nfs/dbraw/zinc/36/40/60/744364060.db2.gz FYQSKCYXEJNYRP-UHFFFAOYSA-N -1 1 320.276 1.974 20 0 DDADMM COc1cccc(CNC(=O)Nc2ccc(C(N)=O)c([O-])c2)c1 ZINC001186210823 744364778 /nfs/dbraw/zinc/36/47/78/744364778.db2.gz HIRNPVFXQAVTNI-UHFFFAOYSA-N -1 1 315.329 1.821 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC2CC1(C(=O)N1CCCC1)C2 ZINC001186218108 744365724 /nfs/dbraw/zinc/36/57/24/744365724.db2.gz DQFHBSDYFRBWQP-UHFFFAOYSA-N -1 1 318.348 1.758 20 0 DDADMM CCO[C@@H]1CN(C(=O)c2ccc([O-])c(F)c2)[C@@H]2CCCO[C@H]12 ZINC001186218121 744365944 /nfs/dbraw/zinc/36/59/44/744365944.db2.gz DXJCLWXTBRTVAN-YUELXQCFSA-N -1 1 309.337 1.940 20 0 DDADMM COC(=O)N(C)CCN(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000690812659 744473469 /nfs/dbraw/zinc/47/34/69/744473469.db2.gz KEDLOFKFUVBCRB-UHFFFAOYSA-N -1 1 300.742 1.816 20 0 DDADMM Cc1cccc2nc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)cn21 ZINC000155578884 744574031 /nfs/dbraw/zinc/57/40/31/744574031.db2.gz IKBSUUKVRFTEPT-LLVKDONJSA-N -1 1 311.349 1.176 20 0 DDADMM O=C(NCc1cnc2[nH]ccc2c1)c1n[n-]nc1C(F)(F)F ZINC001187770546 744599107 /nfs/dbraw/zinc/59/91/07/744599107.db2.gz MWLOZJOMMLNLMU-UHFFFAOYSA-N -1 1 310.239 1.630 20 0 DDADMM CC(=O)Nc1cc(NC(=O)c2n[n-]nc2C(F)(F)F)ccn1 ZINC001187758882 744606045 /nfs/dbraw/zinc/60/60/45/744606045.db2.gz MHWSHDXZPWLZJQ-UHFFFAOYSA-N -1 1 314.227 1.429 20 0 DDADMM COc1cc(OC)c(NC(=O)c2n[n-]nc2C(F)(F)F)cn1 ZINC001187759331 744606452 /nfs/dbraw/zinc/60/64/52/744606452.db2.gz YKWLWCYBBMITNU-UHFFFAOYSA-N -1 1 317.227 1.488 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1ccccc1Cl)c1nccs1 ZINC001187920573 744635184 /nfs/dbraw/zinc/63/51/84/744635184.db2.gz MDTMNGMESXSPFI-SNVBAGLBSA-N -1 1 318.807 1.808 20 0 DDADMM Cn1ncc2c1ncnc2NC(=O)c1ncc(C(F)(F)F)[n-]1 ZINC001188277505 744685326 /nfs/dbraw/zinc/68/53/26/744685326.db2.gz FZJJLNSHQBPMBS-UHFFFAOYSA-N -1 1 311.227 1.358 20 0 DDADMM Cn1ncc2c1ncnc2NC(=O)c1nc(C(F)(F)F)c[n-]1 ZINC001188277505 744685328 /nfs/dbraw/zinc/68/53/28/744685328.db2.gz FZJJLNSHQBPMBS-UHFFFAOYSA-N -1 1 311.227 1.358 20 0 DDADMM COC(=O)Cc1ccc(F)c([N-]S(=O)(=O)c2ccoc2)c1 ZINC001188617359 744728274 /nfs/dbraw/zinc/72/82/74/744728274.db2.gz KBTMISGLOYRSNC-UHFFFAOYSA-N -1 1 313.306 1.935 20 0 DDADMM COc1nc(C)nc(Cl)c1[N-]S(=O)(=O)c1ccoc1 ZINC001188577313 744729904 /nfs/dbraw/zinc/72/99/04/744729904.db2.gz ZBIVWGZITGEKKA-UHFFFAOYSA-N -1 1 303.727 1.841 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC000992284215 744749341 /nfs/dbraw/zinc/74/93/41/744749341.db2.gz VDQWWEWJNOIAAJ-HBIQZDMRSA-N -1 1 303.362 1.068 20 0 DDADMM Cc1nsc(N[C@H](C)C[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001089272588 744846708 /nfs/dbraw/zinc/84/67/08/744846708.db2.gz PRZWCJQDVGLECC-DTWKUNHWSA-N -1 1 321.406 1.956 20 0 DDADMM CCOC(=O)CNC(=O)Nc1nc(Br)ccc1[O-] ZINC001189869590 745008315 /nfs/dbraw/zinc/00/83/15/745008315.db2.gz PEFVXICNTXLCFL-UHFFFAOYSA-N -1 1 318.127 1.234 20 0 DDADMM Cc1ncc(C[N-]S(=O)(=O)c2ncc(F)cc2F)s1 ZINC001190136509 745109382 /nfs/dbraw/zinc/10/93/82/745109382.db2.gz XWIFLFXVCYBLHL-UHFFFAOYSA-N -1 1 305.331 1.603 20 0 DDADMM CC(C)(O)[C@H](F)CNC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190270389 745169239 /nfs/dbraw/zinc/16/92/39/745169239.db2.gz OXNKGVJMCGYJBK-GFCCVEGCSA-N -1 1 319.336 1.688 20 0 DDADMM COc1ncc(NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)cn1 ZINC001190618658 745260382 /nfs/dbraw/zinc/26/03/82/745260382.db2.gz VGDWJQPEALAXGI-UHFFFAOYSA-N -1 1 324.300 1.295 20 0 DDADMM CCC1(CNC(=O)c2cnc(-c3ccccn3)[n-]c2=O)COC1 ZINC001190628982 745278861 /nfs/dbraw/zinc/27/88/61/745278861.db2.gz HQCSUXUSSCBPQI-UHFFFAOYSA-N -1 1 314.345 1.401 20 0 DDADMM CC(=O)c1ccnc(Cl)c1NS(=O)(=O)c1ncc[n-]1 ZINC001190689296 745297682 /nfs/dbraw/zinc/29/76/82/745297682.db2.gz FGGJOFDFVQLBJJ-UHFFFAOYSA-N -1 1 300.727 1.462 20 0 DDADMM CCOc1ccc(C(=O)Nc2cc(=O)[n-]c(SC)n2)nc1 ZINC001190778063 745328112 /nfs/dbraw/zinc/32/81/12/745328112.db2.gz RAOFCVPUTIEMDH-UHFFFAOYSA-N -1 1 306.347 1.950 20 0 DDADMM O=S(=O)([N-]c1c(CO)ccc(F)c1F)c1ccncc1 ZINC001190898278 745371408 /nfs/dbraw/zinc/37/14/08/745371408.db2.gz TUMXGLFQVYUAAX-UHFFFAOYSA-N -1 1 300.286 1.653 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2ccncc2)ccc2c1OCCO2 ZINC001190904254 745380891 /nfs/dbraw/zinc/38/08/91/745380891.db2.gz VFNYPJJMYDBIEK-UHFFFAOYSA-N -1 1 306.343 1.962 20 0 DDADMM COc1cc(C(=O)N[C@@]23C[C@@H]2CN(C(C)=O)C3)cc(Cl)c1[O-] ZINC001191147906 745436505 /nfs/dbraw/zinc/43/65/05/745436505.db2.gz BGLDOCYABLWGQV-MEBBXXQBSA-N -1 1 324.764 1.405 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@](F)(CO)CC2)c(=O)[n-]1 ZINC001191426566 745508296 /nfs/dbraw/zinc/50/82/96/745508296.db2.gz DCNZUUYNVGSQJM-CYBMUJFWSA-N -1 1 315.370 1.231 20 0 DDADMM CSc1ncc(C(=O)Nc2cccn3nccc23)c(=O)[n-]1 ZINC001191428134 745509534 /nfs/dbraw/zinc/50/95/34/745509534.db2.gz BLFXFJNIEUYVLF-UHFFFAOYSA-N -1 1 301.331 1.804 20 0 DDADMM CSc1ncc(C(=O)Nc2[nH]nc3nc(C)ccc32)c(=O)[n-]1 ZINC001191429812 745510336 /nfs/dbraw/zinc/51/03/36/745510336.db2.gz UCEXYYHHVRZMDL-UHFFFAOYSA-N -1 1 316.346 1.736 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NS(=O)(=O)CC1CCCC1 ZINC001191488578 745521287 /nfs/dbraw/zinc/52/12/87/745521287.db2.gz KDEIRYATWUYADN-UHFFFAOYSA-N -1 1 302.312 1.218 20 0 DDADMM CCN1CCC[C@H]1C[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001191997677 745662213 /nfs/dbraw/zinc/66/22/13/745662213.db2.gz SIFAGNNXWKBRAR-ZETCQYMHSA-N -1 1 309.646 1.718 20 0 DDADMM CC(=O)N1CCC([N-]S(=O)(=O)C(Cl)(Cl)Cl)CC1 ZINC001192044607 745681519 /nfs/dbraw/zinc/68/15/19/745681519.db2.gz ZZKSOTKSLYBPMC-UHFFFAOYSA-N -1 1 323.629 1.244 20 0 DDADMM O=C([O-])CCc1ccc(S(=O)(=O)Nc2cncc(O)c2)cc1 ZINC001192107038 745692180 /nfs/dbraw/zinc/69/21/80/745692180.db2.gz WKJVVORKUAKVNP-UHFFFAOYSA-N -1 1 322.342 1.605 20 0 DDADMM Cn1cc2c(n1)[C@@H](CNC(=O)c1cc([O-])cc(F)c1F)OCC2 ZINC001192669975 745855965 /nfs/dbraw/zinc/85/59/65/745855965.db2.gz AYOBJLBKATWNAO-GFCCVEGCSA-N -1 1 323.299 1.448 20 0 DDADMM COC(=O)C[C@H]1CN(C(=O)c2cc([O-])cc(F)c2F)CCO1 ZINC001192665256 745868331 /nfs/dbraw/zinc/86/83/31/745868331.db2.gz ULLGWIXSBXAJKE-VIFPVBQESA-N -1 1 315.272 1.075 20 0 DDADMM CCN(CCNc1nc(C)ns1)C(=O)c1ncccc1[O-] ZINC001106727052 745970749 /nfs/dbraw/zinc/97/07/49/745970749.db2.gz HQHXDWUIXGIHMF-UHFFFAOYSA-N -1 1 307.379 1.521 20 0 DDADMM CCOC(=O)[C@H](CC1CCC1)[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC001193288345 746048024 /nfs/dbraw/zinc/04/80/24/746048024.db2.gz KAMNERKLEANZDD-OLZOCXBDSA-N -1 1 319.423 1.207 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)NC(=O)CO2 ZINC001193924900 746239452 /nfs/dbraw/zinc/23/94/52/746239452.db2.gz WPMFLWHHPCAVHO-UHFFFAOYSA-N -1 1 319.342 1.401 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1c(F)cccc1CO ZINC001194719791 746449398 /nfs/dbraw/zinc/44/93/98/746449398.db2.gz GNPXIQVMDWQGTP-UHFFFAOYSA-N -1 1 312.322 1.522 20 0 DDADMM CSc1nc(NC(=O)c2cc(C)c(=O)n(C)c2)cc(=O)[n-]1 ZINC001195105224 746538798 /nfs/dbraw/zinc/53/87/98/746538798.db2.gz VXWYLKRUQBFSKT-UHFFFAOYSA-N -1 1 306.347 1.164 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2ccccc2CC(=O)N(C)C)n1 ZINC001195327032 746566812 /nfs/dbraw/zinc/56/68/12/746566812.db2.gz WRHWTLZOUMYRBF-UHFFFAOYSA-N -1 1 313.357 1.979 20 0 DDADMM O=C(NCc1cn(CC2CC2)cn1)c1cc([O-])cnc1Cl ZINC001195316085 746575361 /nfs/dbraw/zinc/57/53/61/746575361.db2.gz YVLGNUXIPORRQE-UHFFFAOYSA-N -1 1 306.753 1.977 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cccnc1N1CCOCC1 ZINC001195455090 746605301 /nfs/dbraw/zinc/60/53/01/746605301.db2.gz PUBGSHNFQQAJSU-UHFFFAOYSA-N -1 1 310.379 1.361 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(CCO)cc2)cn1 ZINC001195716605 746684243 /nfs/dbraw/zinc/68/42/43/746684243.db2.gz GBXVBDVRFQPFGS-UHFFFAOYSA-N -1 1 308.359 1.426 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cc(O)c(F)c(F)c2)cn1 ZINC001195723080 746685602 /nfs/dbraw/zinc/68/56/02/746685602.db2.gz JRDUDGJATFVYLW-UHFFFAOYSA-N -1 1 316.285 1.875 20 0 DDADMM O=C1OCc2c1cccc2[N-]S(=O)(=O)c1ccc(O)cc1 ZINC001195796915 746708670 /nfs/dbraw/zinc/70/86/70/746708670.db2.gz SAIKXGVTUJJLCV-UHFFFAOYSA-N -1 1 305.311 1.863 20 0 DDADMM CN(C(=O)c1c[nH]c(=S)[n-]c1=O)c1nc(Cl)ccc1N ZINC001196014582 746756395 /nfs/dbraw/zinc/75/63/95/746756395.db2.gz SJPTZVYSYJQPIG-UHFFFAOYSA-N -1 1 311.754 1.378 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC2(C[C@H]2CCO)CC1 ZINC001196014918 746757434 /nfs/dbraw/zinc/75/74/34/746757434.db2.gz VAXTVSHZEUTSHH-SECBINFHSA-N -1 1 309.391 1.096 20 0 DDADMM COC(=O)/C=C\[C@@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC001196100768 746777492 /nfs/dbraw/zinc/77/74/92/746777492.db2.gz QYGOTCGFFXIKTB-FXHNIGACSA-N -1 1 322.745 1.270 20 0 DDADMM CCc1coc(C[N-]S(=O)(=O)c2ccc(Cl)cn2)n1 ZINC001196202518 746796070 /nfs/dbraw/zinc/79/60/70/746796070.db2.gz PLIYLJYOOZFHBC-UHFFFAOYSA-N -1 1 301.755 1.764 20 0 DDADMM O=S(=O)([N-]CCOC(F)(F)F)c1ccc(Cl)cn1 ZINC001196252656 746805748 /nfs/dbraw/zinc/80/57/48/746805748.db2.gz DFRRXHWHBMJOTM-UHFFFAOYSA-N -1 1 304.677 1.550 20 0 DDADMM O=C([N-]c1c(Cl)cnnc1Cl)c1csc(CO)n1 ZINC001196371139 746845868 /nfs/dbraw/zinc/84/58/68/746845868.db2.gz WDFTUEBTDXNYNK-UHFFFAOYSA-N -1 1 305.146 1.985 20 0 DDADMM Cc1cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)nn1C1CCC1 ZINC001197444683 747153032 /nfs/dbraw/zinc/15/30/32/747153032.db2.gz RANQRKWSCXJNJX-UHFFFAOYSA-N -1 1 313.321 1.541 20 0 DDADMM CC(F)(F)C(=O)[N-][C@H]1CCN(CC(F)(F)C(F)F)C[C@H]1O ZINC001090000142 747153958 /nfs/dbraw/zinc/15/39/58/747153958.db2.gz HQCJKSAHVGFIMG-NKWVEPMBSA-N -1 1 322.249 1.093 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)Cc1ccccn1 ZINC001197840693 747275616 /nfs/dbraw/zinc/27/56/16/747275616.db2.gz QTODSNXIHGVYEZ-LBPRGKRZSA-N -1 1 300.380 1.089 20 0 DDADMM NC(=O)c1csc(C(=O)Nc2ccc3[nH][n-]c(=O)c3c2)c1 ZINC001197965478 747326122 /nfs/dbraw/zinc/32/61/22/747326122.db2.gz XITDKBLQNBPNOR-UHFFFAOYSA-N -1 1 302.315 1.681 20 0 DDADMM O=S(=O)([N-]CC1(F)CCOCC1)c1cccc(Cl)n1 ZINC001198246855 747426641 /nfs/dbraw/zinc/42/66/41/747426641.db2.gz RYSGYJCCDRRNED-UHFFFAOYSA-N -1 1 308.762 1.532 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2cc(F)cc(F)c2F)n1C ZINC001198398166 747495463 /nfs/dbraw/zinc/49/54/63/747495463.db2.gz CSJPRPALPMUIGZ-UHFFFAOYSA-N -1 1 305.281 1.947 20 0 DDADMM C=CS(=O)(=O)[N-]c1ccc(Br)nc1C(=O)OC ZINC001198570240 747529968 /nfs/dbraw/zinc/52/99/68/747529968.db2.gz YPZJCFRWWIWWNJ-UHFFFAOYSA-N -1 1 321.152 1.516 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)Nc1ncccc1CCO ZINC001198749330 747589533 /nfs/dbraw/zinc/58/95/33/747589533.db2.gz INUOANATZUDNRL-UHFFFAOYSA-N -1 1 322.342 1.115 20 0 DDADMM CN1C[C@@H]2CCCN(S(=O)(=O)c3ccccc3C(=O)[O-])[C@@H]2C1 ZINC001198762308 747601646 /nfs/dbraw/zinc/60/16/46/747601646.db2.gz DNEKMOPCARCGBD-WCQYABFASA-N -1 1 324.402 1.100 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)NC[C@H]1CCC(C)(C)C[N@@H+]1CCF ZINC001089686294 747656254 /nfs/dbraw/zinc/65/62/54/747656254.db2.gz OXYKMWMPXANWPL-GFCCVEGCSA-N -1 1 324.400 1.681 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCC12CC2 ZINC000994872347 747780161 /nfs/dbraw/zinc/78/01/61/747780161.db2.gz UECZHBSEBXPRQN-GFCCVEGCSA-N -1 1 315.373 1.308 20 0 DDADMM CCCC[C@H](CO)[N-]S(=O)(=O)c1sc(Cl)nc1C ZINC001201766875 748598493 /nfs/dbraw/zinc/59/84/93/748598493.db2.gz LBMDUKJUXOAMMM-MRVPVSSYSA-N -1 1 312.844 1.934 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cccc(O)c1OC ZINC001201915835 748640619 /nfs/dbraw/zinc/64/06/19/748640619.db2.gz IEKLIFXLYHXIOD-UHFFFAOYSA-N -1 1 303.336 1.096 20 0 DDADMM CCn1ncc(C(=O)[N-]c2nc(C3CCOCC3)ns2)n1 ZINC000863264248 749072352 /nfs/dbraw/zinc/07/23/52/749072352.db2.gz CTDIGRFQYIYVGG-UHFFFAOYSA-N -1 1 308.367 1.296 20 0 DDADMM CCC1(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)CCC1 ZINC000996165607 749413631 /nfs/dbraw/zinc/41/36/31/749413631.db2.gz DBHZHPSPAGZZBG-LLVKDONJSA-N -1 1 321.425 1.417 20 0 DDADMM COc1cc(Br)cnc1-c1noc(-c2cn[n-]n2)n1 ZINC001212799959 749523107 /nfs/dbraw/zinc/52/31/07/749523107.db2.gz HARXYLGBDUCWEX-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM Cc1nc(C)c(F)c(NC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001107690256 750384853 /nfs/dbraw/zinc/38/48/53/750384853.db2.gz PTECOFQODRPHBO-MRVPVSSYSA-N -1 1 319.340 1.564 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2ccco2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036490379 752481363 /nfs/dbraw/zinc/48/13/63/752481363.db2.gz GGZNRPSAOPOMAE-MNOVXSKESA-N -1 1 319.365 1.134 20 0 DDADMM C[C@H]1CCCN(C(=O)CC2CC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036495868 752486783 /nfs/dbraw/zinc/48/67/83/752486783.db2.gz QXZZMGZKTSHQFK-CMPLNLGQSA-N -1 1 307.398 1.027 20 0 DDADMM O=C(C[C@@H]1C=CCCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999480158 752946066 /nfs/dbraw/zinc/94/60/66/752946066.db2.gz CVCWHSZXCBFRNU-OLZOCXBDSA-N -1 1 319.409 1.337 20 0 DDADMM CCOC(=O)c1nc(=NCC2OCCO2)c2sccc2[n-]1 ZINC001169060141 762212122 /nfs/dbraw/zinc/21/21/22/762212122.db2.gz MOWHSHKMVPGKEC-UHFFFAOYSA-N -1 1 309.347 1.075 20 0 DDADMM Cc1ccc(N2CC[C@H](N(C)C(=O)c3ncccc3[O-])C2)nn1 ZINC001062922832 753848955 /nfs/dbraw/zinc/84/89/55/753848955.db2.gz ANIOKSNHZCGYPN-LBPRGKRZSA-N -1 1 313.361 1.237 20 0 DDADMM O=C(C=C1CCC1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078593340 754205726 /nfs/dbraw/zinc/20/57/26/754205726.db2.gz BNGURPIONCWKEG-RYUDHWBXSA-N -1 1 317.393 1.138 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001001849311 754538093 /nfs/dbraw/zinc/53/80/93/754538093.db2.gz CBSICCYOZZGKEG-WCQYABFASA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C(C)(F)F)CCN1C(=O)c1ncccc1[O-] ZINC001011673563 754670082 /nfs/dbraw/zinc/67/00/82/754670082.db2.gz MZFCBVTYKXELDV-RKDXNWHRSA-N -1 1 313.304 1.162 20 0 DDADMM CC(=O)N1C[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@H]1C ZINC001012118090 754859823 /nfs/dbraw/zinc/85/98/23/754859823.db2.gz UUHTXCRIMKMECH-BXKDBHETSA-N -1 1 320.393 1.353 20 0 DDADMM C[C@@H](C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-])C1CC1 ZINC001067106662 756217496 /nfs/dbraw/zinc/21/74/96/756217496.db2.gz SNLSPBPIESLHTK-GFCCVEGCSA-N -1 1 319.405 1.754 20 0 DDADMM CC(C)C(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082023051 756586613 /nfs/dbraw/zinc/58/66/13/756586613.db2.gz XPFJBLKMSIDTOZ-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1cocc1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166618305 757343268 /nfs/dbraw/zinc/34/32/68/757343268.db2.gz NDRYWWDLFGLNPR-UHFFFAOYSA-N -1 1 319.365 1.102 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)c2ccoc2)C1 ZINC001017046197 757589641 /nfs/dbraw/zinc/58/96/41/757589641.db2.gz IXYDGHVGRWKSBF-LLVKDONJSA-N -1 1 319.365 1.088 20 0 DDADMM CCC[C@@H](C)NC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001119667427 757994265 /nfs/dbraw/zinc/99/42/65/757994265.db2.gz MTHASUZWNGUUFT-SECBINFHSA-N -1 1 314.332 1.634 20 0 DDADMM O=c1ccc(NCc2ncccc2[O-])nn1C1CCOCC1 ZINC001169731985 762639128 /nfs/dbraw/zinc/63/91/28/762639128.db2.gz JYTFPXYXOAUMMA-UHFFFAOYSA-N -1 1 302.334 1.308 20 0 DDADMM Cc1nnc([C@H](C)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC001018756075 759227777 /nfs/dbraw/zinc/22/77/77/759227777.db2.gz LHVBGFIVKWUGTH-ONGXEEELSA-N -1 1 317.349 1.044 20 0 DDADMM CC(C)=CC(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962668 759454437 /nfs/dbraw/zinc/45/44/37/759454437.db2.gz VZIGKHQFVJMWRW-UHFFFAOYSA-N -1 1 315.373 1.428 20 0 DDADMM Cc1nc(CN[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)co1 ZINC001054584513 759846904 /nfs/dbraw/zinc/84/69/04/759846904.db2.gz ABPFMYICAUKZNP-MFKMUULPSA-N -1 1 316.361 1.334 20 0 DDADMM CC(C)C(=O)N1CCCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001019719302 760202681 /nfs/dbraw/zinc/20/26/81/760202681.db2.gz FHYAOYQIFPPLRV-CYBMUJFWSA-N -1 1 319.405 1.896 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2CC2=CCCCC2)c1[O-] ZINC001038165206 760874267 /nfs/dbraw/zinc/87/42/67/760874267.db2.gz ODBLVGOIFHPUDA-CYBMUJFWSA-N -1 1 304.394 1.728 20 0 DDADMM Cc1nc(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])co1 ZINC001038194202 760909076 /nfs/dbraw/zinc/90/90/76/760909076.db2.gz OHGLADGFFPXYJN-LBPRGKRZSA-N -1 1 302.334 1.088 20 0 DDADMM O=C(NCC1CN(C(=O)[C@@H]2CC23CCC3)C1)c1ncccc1[O-] ZINC001001544740 762948749 /nfs/dbraw/zinc/94/87/49/762948749.db2.gz JFQDXEHRAGJEFX-LBPRGKRZSA-N -1 1 315.373 1.166 20 0 DDADMM CN(CCCNc1cc(F)ncn1)C(=O)c1ncccc1[O-] ZINC001109436666 763705833 /nfs/dbraw/zinc/70/58/33/763705833.db2.gz AQXKFKPTPZXYFP-UHFFFAOYSA-N -1 1 305.313 1.291 20 0 DDADMM CSCC(=O)N[C@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531081 763716996 /nfs/dbraw/zinc/71/69/96/763716996.db2.gz QNCRGKXRNCEYNR-MNOVXSKESA-N -1 1 323.418 1.117 20 0 DDADMM C[C@@H](NC(=O)C(F)F)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532006 763718169 /nfs/dbraw/zinc/71/81/69/763718169.db2.gz VWFRAMRAVCWTLQ-RKDXNWHRSA-N -1 1 313.304 1.019 20 0 DDADMM Cc1nc[nH]c(=O)c1CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@@H+]2CCF ZINC001109484288 763742151 /nfs/dbraw/zinc/74/21/51/763742151.db2.gz OJSDPBDFVJDRRM-XBFCOCLRSA-N -1 1 322.384 1.114 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(CCOCC2CCC2)CC1 ZINC001112782283 764755440 /nfs/dbraw/zinc/75/54/40/764755440.db2.gz LRTHNNYZXKDEIR-UHFFFAOYSA-N -1 1 319.405 1.362 20 0 DDADMM O=C(c1ccc(F)cc1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044897889 765890369 /nfs/dbraw/zinc/89/03/69/765890369.db2.gz BHXINVRBNPMLLA-LBPRGKRZSA-N -1 1 319.340 1.044 20 0 DDADMM O=C(C1CC2(CCC2)C1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045162690 766126557 /nfs/dbraw/zinc/12/65/57/766126557.db2.gz OMHQNLJKQQWLDC-LBPRGKRZSA-N -1 1 319.409 1.171 20 0 DDADMM CC(C)Oc1ncc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cn1 ZINC001170222479 766195624 /nfs/dbraw/zinc/19/56/24/766195624.db2.gz DYCBANPKCLBKRB-UHFFFAOYSA-N -1 1 303.282 1.037 20 0 DDADMM CCCCN(C)c1cncc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001170227612 766201133 /nfs/dbraw/zinc/20/11/33/766201133.db2.gz PTCYWDOTOHEOQW-UHFFFAOYSA-N -1 1 314.353 1.828 20 0 DDADMM Cc1nccn1-c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)nn1 ZINC001170227675 766201303 /nfs/dbraw/zinc/20/13/03/766201303.db2.gz SMYMCOXBJNLEMI-UHFFFAOYSA-N -1 1 309.293 1.086 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2cc(F)cc(-c3nn[nH]n3)c2)[n-]1 ZINC001170230325 766205111 /nfs/dbraw/zinc/20/51/11/766205111.db2.gz OIOXXKFTAOTRCG-UHFFFAOYSA-N -1 1 313.256 1.121 20 0 DDADMM Cc1nccc(N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001058147303 766273265 /nfs/dbraw/zinc/27/32/65/766273265.db2.gz DPUDQVLNBAZCSO-GFCCVEGCSA-N -1 1 313.361 1.284 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N(C)[C@@H](C)C(=O)OC(C)C)c1 ZINC001137486323 766331282 /nfs/dbraw/zinc/33/12/82/766331282.db2.gz XPEMFDBIZVHJJJ-NSHDSACASA-N -1 1 307.346 1.925 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3csc(Cl)c3)nc2n1 ZINC001129520210 766924528 /nfs/dbraw/zinc/92/45/28/766924528.db2.gz MGWUWJHGJXSATH-UHFFFAOYSA-N -1 1 309.738 1.693 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@]2(C)CCN(Cc3ccsc3)C2)c1[O-] ZINC001046239711 767261704 /nfs/dbraw/zinc/26/17/04/767261704.db2.gz MJOKBLRRIMLMCM-HNNXBMFYSA-N -1 1 320.418 1.880 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1C[C@H](c2ccccc2)C[C@@H]1C ZINC001121674182 782621531 /nfs/dbraw/zinc/62/15/31/782621531.db2.gz ZWKGTDLZHPAFOO-WCQYABFASA-N -1 1 324.392 1.861 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1C[C@H](c2ccccc2)C[C@@H]1C ZINC001121674182 782621534 /nfs/dbraw/zinc/62/15/34/782621534.db2.gz ZWKGTDLZHPAFOO-WCQYABFASA-N -1 1 324.392 1.861 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCNC(=O)OC(C)(C)C)c1 ZINC001136453642 771972832 /nfs/dbraw/zinc/97/28/32/771972832.db2.gz PXCIHZDQXZSSPM-UHFFFAOYSA-N -1 1 322.361 1.768 20 0 DDADMM Cc1ccc(OCCC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001144764199 772613675 /nfs/dbraw/zinc/61/36/75/772613675.db2.gz JVAYWDDNDOYPQQ-UHFFFAOYSA-N -1 1 313.317 1.775 20 0 DDADMM Cc1ccnc(-c2cccc(NC(=O)CCc3nn[n-]n3)c2)n1 ZINC001146332318 772840744 /nfs/dbraw/zinc/84/07/44/772840744.db2.gz KCFRXAZSWDBFJK-UHFFFAOYSA-N -1 1 309.333 1.536 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@H](C)c2ncc(F)cn2)c1[O-] ZINC001147843261 773266196 /nfs/dbraw/zinc/26/61/96/773266196.db2.gz CSVDLGVBBFJKSN-MRVPVSSYSA-N -1 1 306.297 1.008 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)c2c(CO)cnc(C)c2[O-])nc1 ZINC001147844809 773267213 /nfs/dbraw/zinc/26/72/13/773267213.db2.gz YJEWDPQIAYXENN-JTQLQIEISA-N -1 1 301.346 1.782 20 0 DDADMM COC(CN(C)C(=O)c1ccc(-n2[n-]c(C)cc2=O)cc1)OC ZINC001148332832 773438352 /nfs/dbraw/zinc/43/83/52/773438352.db2.gz FQJOTJFCCZLDNE-UHFFFAOYSA-N -1 1 319.361 1.490 20 0 DDADMM O=C(Nc1cccnn1)c1cc([O-])c(Br)c(O)c1 ZINC001148981963 773663439 /nfs/dbraw/zinc/66/34/39/773663439.db2.gz UIMWKGZDAASWQO-UHFFFAOYSA-N -1 1 310.107 1.903 20 0 DDADMM Nc1nonc1NC(=O)c1cc([O-])c(Br)c(O)c1 ZINC001148981615 773663825 /nfs/dbraw/zinc/66/38/25/773663825.db2.gz NGVRXUJCERHXDD-UHFFFAOYSA-N -1 1 315.083 1.078 20 0 DDADMM CCC1(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001036814758 774700083 /nfs/dbraw/zinc/70/00/83/774700083.db2.gz SVFBZMVCPJECBH-VXGBXAGGSA-N -1 1 319.409 1.123 20 0 DDADMM Cc1nc(C)c(C)c(NCCCNC(=O)c2ncccc2[O-])n1 ZINC001094375709 775820807 /nfs/dbraw/zinc/82/08/07/775820807.db2.gz LTNAGDQTHLYMED-UHFFFAOYSA-N -1 1 315.377 1.734 20 0 DDADMM CCCc1nsc(NCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001101561149 777250378 /nfs/dbraw/zinc/25/03/78/777250378.db2.gz LHJCJPVEAFFFRK-UHFFFAOYSA-N -1 1 321.406 1.775 20 0 DDADMM CCOC(=O)C[C@H]1CC[C@@H](Nc2[n-]c(=O)nc3nc[nH]c32)C1 ZINC001173636632 777298525 /nfs/dbraw/zinc/29/85/25/777298525.db2.gz MOWQRCMAEAPTQI-DTWKUNHWSA-N -1 1 305.338 1.592 20 0 DDADMM CCOC(=O)c1nc(C)oc1[N-]c1cc(OC)nnc1OC ZINC001174351455 777452552 /nfs/dbraw/zinc/45/25/52/777452552.db2.gz MUIFXUCTNYLEPS-UHFFFAOYSA-N -1 1 308.294 1.711 20 0 DDADMM Cn1ncc2c1cccc2[N-]S(=O)(=O)c1ccc(N)c(N)c1 ZINC001175436927 777791353 /nfs/dbraw/zinc/79/13/53/777791353.db2.gz OUVQIBLUEVJXRP-UHFFFAOYSA-N -1 1 317.374 1.539 20 0 DDADMM O=C(c1ncccc1[O-])N1CC(c2cnc3ccccc3n2)C1 ZINC001176763735 778245932 /nfs/dbraw/zinc/24/59/32/778245932.db2.gz ITPFGHKCZKZTCU-UHFFFAOYSA-N -1 1 306.325 1.970 20 0 DDADMM Nc1ccc2c(c1)Cc1cc(NC(=O)Cc3nn[n-]n3)ccc1-2 ZINC001176842104 778270725 /nfs/dbraw/zinc/27/07/25/778270725.db2.gz CFORMURKJDIEJT-UHFFFAOYSA-N -1 1 306.329 1.534 20 0 DDADMM COc1ccc2cccc(CCNC(=O)Cc3nn[n-]n3)c2c1 ZINC001176847169 778300238 /nfs/dbraw/zinc/30/02/38/778300238.db2.gz KQTJWLCKSXZIDC-UHFFFAOYSA-N -1 1 311.345 1.263 20 0 DDADMM CC[C@H](C)CC(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102913658 778486305 /nfs/dbraw/zinc/48/63/05/778486305.db2.gz STFDTYZBOVYJHB-YNEHKIRRSA-N -1 1 323.441 1.521 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cc2ncccn2n1 ZINC001150677740 778549353 /nfs/dbraw/zinc/54/93/53/778549353.db2.gz KFIARFHQYOBAHI-UHFFFAOYSA-N -1 1 301.262 1.065 20 0 DDADMM Cc1ccnc(NC[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001103917576 779170104 /nfs/dbraw/zinc/17/01/04/779170104.db2.gz ROXXQWXHJUCUJD-SNVBAGLBSA-N -1 1 301.350 1.364 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C/C2CC2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104037095 779261633 /nfs/dbraw/zinc/26/16/33/779261633.db2.gz MTRXFSUECPQQTE-WPCUQIPDSA-N -1 1 319.409 1.051 20 0 DDADMM Cc1nc2nc[nH]c2c(NC(=O)C2(C(=O)[O-])CCCCC2)n1 ZINC001180433969 779759496 /nfs/dbraw/zinc/75/94/96/779759496.db2.gz IOADIWXBXIUFFS-UHFFFAOYSA-N -1 1 303.322 1.635 20 0 DDADMM Cc1cnc(Cl)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001119890693 781667379 /nfs/dbraw/zinc/66/73/79/781667379.db2.gz NWGKVCMLGRQTNO-SECBINFHSA-N -1 1 306.757 1.576 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(F)c([O-])c2)C[C@@H](C)S1(=O)=O ZINC001417886844 836823662 /nfs/dbraw/zinc/82/36/62/836823662.db2.gz FQGSSTDWBGGSRQ-RKDXNWHRSA-N -1 1 301.339 1.179 20 0 DDADMM CCC(CC)C(=O)NCCN(CC)C(=O)c1ncccc1[O-] ZINC001408717334 838538497 /nfs/dbraw/zinc/53/84/97/838538497.db2.gz PUMASHJNPDNMBO-UHFFFAOYSA-N -1 1 307.394 1.802 20 0 DDADMM CC/C(C)=C/C(=O)N1CCC[C@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001268183777 839809367 /nfs/dbraw/zinc/80/93/67/839809367.db2.gz FVJKRTIEHCTLIL-DPGNGVPNSA-N -1 1 321.425 1.583 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-][C@H](C)c1nnc(C)o1 ZINC001414231442 844512370 /nfs/dbraw/zinc/51/23/70/844512370.db2.gz RNAAABAIZLXCCR-SCZZXKLOSA-N -1 1 319.383 1.090 20 0 DDADMM NC(=O)[C@]12C[C@H]1CCN2C(=O)c1cc2cc(O)ccc2cc1[O-] ZINC001269106495 841213504 /nfs/dbraw/zinc/21/35/04/841213504.db2.gz ZKGCZVKBFALCGK-DIFFPNOSSA-N -1 1 312.325 1.341 20 0 DDADMM CSCCO[N-]C(=O)[C@@H]1CC(=O)N(c2cccc(F)c2)C1 ZINC001156649974 863229795 /nfs/dbraw/zinc/22/97/95/863229795.db2.gz GITQLXWYDLJQJS-SNVBAGLBSA-N -1 1 312.366 1.589 20 0 DDADMM CC[C@H](CNC(=O)CCCC(F)(F)F)NC(=O)c1cnn[nH]1 ZINC001409418046 845068467 /nfs/dbraw/zinc/06/84/67/845068467.db2.gz OPKIEXRRJGIATJ-MRVPVSSYSA-N -1 1 321.303 1.162 20 0 DDADMM COC(=O)[C@H]1C[C@@H]1CNC(=O)c1ccc2cccnc2c1[O-] ZINC001149329972 861550317 /nfs/dbraw/zinc/55/03/17/861550317.db2.gz VDRYEKWTFRPXJR-PWSUYJOCSA-N -1 1 300.314 1.479 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1c[nH]c2ncccc12 ZINC001149366341 861572540 /nfs/dbraw/zinc/57/25/40/861572540.db2.gz RVQALMHMFJNJGZ-UHFFFAOYSA-N -1 1 300.234 1.414 20 0 DDADMM O=S(=O)([N-][C@H]1COc2cc(F)cc(F)c21)c1ccns1 ZINC001365699768 846405384 /nfs/dbraw/zinc/40/53/84/846405384.db2.gz QREZWJKXCJZJSE-QMMMGPOBSA-N -1 1 318.326 1.833 20 0 DDADMM O=C(N[C@@H]1C=CS(=O)(=O)C1)c1cc2cccc(O)c2cc1[O-] ZINC001149654318 861786604 /nfs/dbraw/zinc/78/66/04/861786604.db2.gz XTGKQEYPEYAZOW-SNVBAGLBSA-N -1 1 319.338 1.292 20 0 DDADMM Cn1ccc(CNC(=O)c2cc3cccc(O)c3cc2[O-])cc1=O ZINC001149653440 861786955 /nfs/dbraw/zinc/78/69/55/861786955.db2.gz LFNDPMKIUOCCGE-UHFFFAOYSA-N -1 1 324.336 1.880 20 0 DDADMM COC(=O)c1c[n-]c(SCc2ccc(S(C)(=O)=O)o2)n1 ZINC001364615282 847749312 /nfs/dbraw/zinc/74/93/12/847749312.db2.gz WICDCZBRGQFSFL-UHFFFAOYSA-N -1 1 316.360 1.485 20 0 DDADMM C[C@H]1C[C@H]2CN(C(=O)c3[n-][nH]c4cc(=O)ccc3-4)CC[C@H]2O1 ZINC001155264571 861910305 /nfs/dbraw/zinc/91/03/05/861910305.db2.gz GABHGWQHBMPHTD-PKFCDNJMSA-N -1 1 301.346 1.908 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CC[C@@]2(C1)CC(F)(F)CO2 ZINC001155266692 861912850 /nfs/dbraw/zinc/91/28/50/861912850.db2.gz NGDABKRTNYVMFG-AWEZNQCLSA-N -1 1 323.299 1.909 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1[nH]nc2cccc(F)c21 ZINC001155286763 861933329 /nfs/dbraw/zinc/93/33/29/861933329.db2.gz QBOQKNFAGJGMMK-UHFFFAOYSA-N -1 1 313.252 1.326 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3c[nH]c4c3cccc4O)c1-2 ZINC001155291208 861937355 /nfs/dbraw/zinc/93/73/55/861937355.db2.gz FIMVRMYFYRWRKQ-UHFFFAOYSA-N -1 1 308.301 1.474 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCCCC1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001410522302 849441533 /nfs/dbraw/zinc/44/15/33/849441533.db2.gz VUUREFWEBBUQSI-NEPJUHHUSA-N -1 1 323.441 1.663 20 0 DDADMM COC(C)(CNC(=O)c1ccc2ccc(C)nc2c1[O-])OC ZINC001155659885 862350769 /nfs/dbraw/zinc/35/07/69/862350769.db2.gz NKCQTPZWONVKFA-UHFFFAOYSA-N -1 1 304.346 1.988 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cc2occc2s1 ZINC001274918649 852690618 /nfs/dbraw/zinc/69/06/18/852690618.db2.gz BAYKLSRNUCJFET-SSDOTTSWSA-N -1 1 321.362 1.226 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCC=CCCC1 ZINC001275201863 852887098 /nfs/dbraw/zinc/88/70/98/852887098.db2.gz BQPJNAVBJYPBAS-RYUDHWBXSA-N -1 1 307.398 1.241 20 0 DDADMM NC(=O)[C@H]1CCC[C@@]12CCN(C(=O)c1ccc([O-])cc1F)C2 ZINC001275398580 853064384 /nfs/dbraw/zinc/06/43/84/853064384.db2.gz CBSZOODBQIMWNF-WBMJQRKESA-N -1 1 306.337 1.649 20 0 DDADMM Cn1cnnc1[C@@H]1CCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001411640819 853503836 /nfs/dbraw/zinc/50/38/36/853503836.db2.gz JTDNKOMHOQTCHO-SNVBAGLBSA-N -1 1 304.325 1.680 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cnncc1[O-])c1cc(F)ccc1F ZINC001411728729 853644120 /nfs/dbraw/zinc/64/41/20/853644120.db2.gz ABTPAHHUMNIPNE-GFCCVEGCSA-N -1 1 323.255 1.105 20 0 DDADMM CNS(=O)(=O)c1ccc(C(=O)[N-]c2cc(C(C)C)on2)o1 ZINC001411842191 853817624 /nfs/dbraw/zinc/81/76/24/853817624.db2.gz BCPLPQXEQFNCHP-UHFFFAOYSA-N -1 1 313.335 1.551 20 0 DDADMM CCC(=CC(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1)CC ZINC001327848910 862514069 /nfs/dbraw/zinc/51/40/69/862514069.db2.gz PAFXMNZUQGEIIN-PWSUYJOCSA-N -1 1 307.398 1.193 20 0 DDADMM COCCOCN1CCC12CN(C(=O)c1ccc([O-])c(F)c1)C2 ZINC001275950503 854007013 /nfs/dbraw/zinc/00/70/13/854007013.db2.gz YYBMGZKNEABJQU-UHFFFAOYSA-N -1 1 324.352 1.052 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccc(Cl)cn1)c1nn[n-]n1 ZINC001412105468 854221992 /nfs/dbraw/zinc/22/19/92/854221992.db2.gz BUUSIMIUXVYNCL-UHFFFAOYSA-N -1 1 308.773 1.622 20 0 DDADMM CSCC[C@H](NC(=O)c1ncoc1C1CC1)c1nn[n-]n1 ZINC001412370105 854483117 /nfs/dbraw/zinc/48/31/17/854483117.db2.gz RFRNWOQBAIYSII-QMMMGPOBSA-N -1 1 308.367 1.289 20 0 DDADMM C[C@H]1C(=O)CC[C@H](C(=O)NCc2nc([O-])cc(=O)[nH]2)C1(C)C ZINC001412376022 854486558 /nfs/dbraw/zinc/48/65/58/854486558.db2.gz MQXXRQBRGBCEEP-DTWKUNHWSA-N -1 1 307.350 1.145 20 0 DDADMM CCS(=O)(=O)Nc1ccc(NC(=O)c2ccc([O-])cn2)cc1 ZINC001412427095 854537469 /nfs/dbraw/zinc/53/74/69/854537469.db2.gz RHZUPYNKVRBHFG-UHFFFAOYSA-N -1 1 321.358 1.801 20 0 DDADMM O=C(NCC1CC1)[C@@H]1CCCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001412429800 854541604 /nfs/dbraw/zinc/54/16/04/854541604.db2.gz MGOZGXHESWCZLF-CYBMUJFWSA-N -1 1 320.364 1.910 20 0 DDADMM CNC(=O)CN(Cc1ccccc1)C(=O)c1ncc(C)cc1[O-] ZINC001412482002 854602734 /nfs/dbraw/zinc/60/27/34/854602734.db2.gz YWYLEISMNREACD-UHFFFAOYSA-N -1 1 313.357 1.484 20 0 DDADMM CCCCn1ncc(C(=O)NC2(c3nn[n-]n3)CCC2)c1C ZINC001412538226 854679155 /nfs/dbraw/zinc/67/91/55/854679155.db2.gz HNNZZHWTPFETBL-UHFFFAOYSA-N -1 1 303.370 1.314 20 0 DDADMM Cc1cnc(C(=O)NC[C@H]2CCN2C(=O)OC(C)(C)C)c([O-])c1 ZINC001412560165 854724977 /nfs/dbraw/zinc/72/49/77/854724977.db2.gz CHEOPFVSEXVEFH-LLVKDONJSA-N -1 1 321.377 1.835 20 0 DDADMM CO[C@H]1CCC[C@@H]1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001412571406 854737186 /nfs/dbraw/zinc/73/71/86/854737186.db2.gz MKUYGKFJZDTWQS-STQMWFEESA-N -1 1 317.349 1.532 20 0 DDADMM C[C@H]1OCC[C@H]1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001412571874 854742391 /nfs/dbraw/zinc/74/23/91/854742391.db2.gz OERYZJWWJXALNJ-BXKDBHETSA-N -1 1 303.322 1.142 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@@H](C(=O)Nc2nnn[n-]2)C1(C)C ZINC001412581766 854752793 /nfs/dbraw/zinc/75/27/93/854752793.db2.gz XUBQWEVKXCDPAK-YUMQZZPRSA-N -1 1 310.358 1.078 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@@H](C(=O)Nc2nn[n-]n2)C1(C)C ZINC001412581766 854752795 /nfs/dbraw/zinc/75/27/95/854752795.db2.gz XUBQWEVKXCDPAK-YUMQZZPRSA-N -1 1 310.358 1.078 20 0 DDADMM COC[C@@H](NC(=O)[C@H]1CCSc2ccccc21)c1nn[n-]n1 ZINC001412598781 854786024 /nfs/dbraw/zinc/78/60/24/854786024.db2.gz BPLFYMDFIMHERN-WDEREUQCSA-N -1 1 319.390 1.283 20 0 DDADMM COC[C@H](NC(=O)CCOc1ccc(C)cc1C)c1nn[n-]n1 ZINC001412606060 854801473 /nfs/dbraw/zinc/80/14/73/854801473.db2.gz LUEBCXSVSLXKAN-LBPRGKRZSA-N -1 1 319.365 1.089 20 0 DDADMM CC(C)NC(=O)N1C[C@H]2C[C@@H](C1)N(Cc1ccc(C(=O)[O-])o1)C2 ZINC001276165926 854866652 /nfs/dbraw/zinc/86/66/52/854866652.db2.gz BWSFDXNOBOIDIY-RYUDHWBXSA-N -1 1 321.377 1.602 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N=[S@@](C)(=O)c1ccccc1 ZINC001412665999 854905897 /nfs/dbraw/zinc/90/58/97/854905897.db2.gz UYWZNSJGZVMWQP-QFIPXVFZSA-N -1 1 323.330 1.194 20 0 DDADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C=C1CCC1 ZINC001276251661 855050601 /nfs/dbraw/zinc/05/06/01/855050601.db2.gz VCABLQOLDGHVIE-GFCCVEGCSA-N -1 1 307.398 1.385 20 0 DDADMM CC1(C)[C@H](O)C[C@H]1NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001412848242 855722721 /nfs/dbraw/zinc/72/27/21/855722721.db2.gz IEVZBUMPTWKTFP-VXGBXAGGSA-N -1 1 304.321 1.969 20 0 DDADMM CN=[S@](C)(=O)c1cccc(NC(=O)c2cnncc2O)c1 ZINC001412940801 855801366 /nfs/dbraw/zinc/80/13/66/855801366.db2.gz JEMOSLIMVSWHOD-OAQYLSRUSA-N -1 1 306.347 1.521 20 0 DDADMM CN=[S@](C)(=O)c1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001412940801 855801375 /nfs/dbraw/zinc/80/13/75/855801375.db2.gz JEMOSLIMVSWHOD-OAQYLSRUSA-N -1 1 306.347 1.521 20 0 DDADMM CCN(Cc1nc(=O)c2sccc2[n-]1)[C@H](C)CNC(C)=O ZINC001151429819 862773542 /nfs/dbraw/zinc/77/35/42/862773542.db2.gz JBHCUMSMMWERDX-SECBINFHSA-N -1 1 308.407 1.743 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]Cc1c(F)cc(Cl)cc1F ZINC001259030006 856361530 /nfs/dbraw/zinc/36/15/30/856361530.db2.gz LAHNEBCQTZNDPG-UHFFFAOYSA-N -1 1 313.709 1.211 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC001413311768 856631736 /nfs/dbraw/zinc/63/17/36/856631736.db2.gz XDPPNWLGGGPPSV-SZEHBUNVSA-N -1 1 318.420 1.244 20 0 DDADMM COc1ccc(C(=O)C[C@H](C)C(=O)N(C)c2nn[n-]n2)cc1 ZINC001413329680 856669981 /nfs/dbraw/zinc/66/99/81/856669981.db2.gz WSIVCLCIKYSDIA-VIFPVBQESA-N -1 1 303.322 1.080 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC[C@@H]1F ZINC001413406173 856772306 /nfs/dbraw/zinc/77/23/06/856772306.db2.gz VULJGNONEIEUCA-VHSXEESVSA-N -1 1 319.358 1.145 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1ccco1 ZINC001413546800 857044958 /nfs/dbraw/zinc/04/49/58/857044958.db2.gz UITBEVGOHSIPGX-VIFPVBQESA-N -1 1 304.306 1.552 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1ccco1 ZINC001413546800 857044968 /nfs/dbraw/zinc/04/49/68/857044968.db2.gz UITBEVGOHSIPGX-VIFPVBQESA-N -1 1 304.306 1.552 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1ccco1 ZINC001413546800 857044978 /nfs/dbraw/zinc/04/49/78/857044978.db2.gz UITBEVGOHSIPGX-VIFPVBQESA-N -1 1 304.306 1.552 20 0 DDADMM O=C(Nc1nn[nH]c1C(=O)NC1CC1)NC1(C2CCC2)CC1 ZINC001413668700 857308842 /nfs/dbraw/zinc/30/88/42/857308842.db2.gz IDMPSBGEHLSQJP-UHFFFAOYSA-N -1 1 304.354 1.151 20 0 DDADMM CCOC(=O)c1[nH]nc(NC(=O)c2cc(=O)n(C)[n-]2)c1C1CC1 ZINC001413741648 858260598 /nfs/dbraw/zinc/26/05/98/858260598.db2.gz BVXWVHJSOOMCLM-UHFFFAOYSA-N -1 1 319.321 1.155 20 0 DDADMM CCCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001381250461 881368811 /nfs/dbraw/zinc/36/88/11/881368811.db2.gz QTAZEDZKGRNXEN-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@]2(C1)CCCOC2 ZINC001123824038 859430203 /nfs/dbraw/zinc/43/02/03/859430203.db2.gz BSSPDKNBGHICMP-CQSZACIVSA-N -1 1 309.391 1.422 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@H](C(F)(F)F)C1 ZINC001124057268 859554684 /nfs/dbraw/zinc/55/46/84/859554684.db2.gz MYNOHSKIVHKNEE-LURJTMIESA-N -1 1 307.297 1.804 20 0 DDADMM NC(=O)[C@H]1CCCCN1Cc1cn(CC(=O)[O-])c2ccccc12 ZINC001138192992 860039758 /nfs/dbraw/zinc/03/97/58/860039758.db2.gz LJISJDKLSIPTES-OAHLLOKOSA-N -1 1 315.373 1.566 20 0 DDADMM CCOC(=O)c1ncn2c1CN(Cc1ncccc1[O-])CCC2 ZINC001138391472 860087682 /nfs/dbraw/zinc/08/76/82/860087682.db2.gz MLIVATTXOJSMKC-UHFFFAOYSA-N -1 1 316.361 1.566 20 0 DDADMM COC[C@H](CO)NC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC001139704842 860458560 /nfs/dbraw/zinc/45/85/60/860458560.db2.gz NWKUNCVEQUGCDQ-NSHDSACASA-N -1 1 319.313 1.202 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2Cc1ccncc1O ZINC001140272576 860605211 /nfs/dbraw/zinc/60/52/11/860605211.db2.gz BIHWAUPIZKHDKM-STQMWFEESA-N -1 1 305.378 1.981 20 0 DDADMM CCO[C@@H](C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1)C1CCCC1 ZINC001361397233 881566951 /nfs/dbraw/zinc/56/69/51/881566951.db2.gz MPIIDVXDWXKLCD-GFCCVEGCSA-N -1 1 319.365 1.260 20 0 DDADMM Cc1ccnc(O[C@H]2CCN(Cc3ccc(C(=O)[O-])o3)C2)n1 ZINC001140948882 860744009 /nfs/dbraw/zinc/74/40/09/860744009.db2.gz FZEFYSGPJOYBNJ-LBPRGKRZSA-N -1 1 303.318 1.730 20 0 DDADMM CN1CCc2nc(C(=O)[N-]c3noc4cnccc43)sc2C1 ZINC001154216366 860880891 /nfs/dbraw/zinc/88/08/91/860880891.db2.gz CBNXYCGNCLNRQP-UHFFFAOYSA-N -1 1 315.358 1.920 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cccc2c1C(=O)CC2 ZINC001154407854 861077169 /nfs/dbraw/zinc/07/71/69/861077169.db2.gz XQPBCYIEJAHUQY-UHFFFAOYSA-N -1 1 311.274 1.503 20 0 DDADMM Cn1cc(-c2ccc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)o2)cn1 ZINC001361423185 881622580 /nfs/dbraw/zinc/62/25/80/881622580.db2.gz ABWICSLTAKYPHJ-UHFFFAOYSA-N -1 1 322.328 1.588 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)NN3CCCC3=O)c2)o1 ZINC001152107107 863167359 /nfs/dbraw/zinc/16/73/59/863167359.db2.gz UIFCTTMFRWQTPJ-UHFFFAOYSA-N -1 1 314.297 1.732 20 0 DDADMM CCOC(=O)C[C@@H](OCCCC[P@](=O)([O-])O)C(F)(F)F ZINC001225021141 881642120 /nfs/dbraw/zinc/64/21/20/881642120.db2.gz DVRSFINSNRUYOQ-MRVPVSSYSA-N -1 1 322.216 1.845 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1Cc2cncnc2C1 ZINC001152670759 863483219 /nfs/dbraw/zinc/48/32/19/863483219.db2.gz ZLPYZEBGSLELNL-UHFFFAOYSA-N -1 1 308.297 1.592 20 0 DDADMM CO[C@@H]1CCCN(C(=O)c2cc(=O)c3cccc(O)c3[n-]2)C1 ZINC001152671549 863485873 /nfs/dbraw/zinc/48/58/73/863485873.db2.gz HLTRJJMBJUZTGT-SNVBAGLBSA-N -1 1 302.330 1.897 20 0 DDADMM O=C(NC1CC2(CC2(F)F)C1)c1ccc2n[n-]c(=S)n2c1 ZINC001329402615 863646576 /nfs/dbraw/zinc/64/65/76/863646576.db2.gz YRMHHAHYIYNQRQ-UHFFFAOYSA-N -1 1 310.329 1.936 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C(F)F)n(C)n2)n1 ZINC001361552929 881881790 /nfs/dbraw/zinc/88/17/90/881881790.db2.gz RLHIOAPONXOHKV-UHFFFAOYSA-N -1 1 313.264 1.510 20 0 DDADMM Cc1c(Br)ccc(F)c1C(=O)N(C)c1nn[n-]n1 ZINC001361565006 881906559 /nfs/dbraw/zinc/90/65/59/881906559.db2.gz DIIIVUYAAKJHQI-UHFFFAOYSA-N -1 1 314.118 1.686 20 0 DDADMM CCC=C(C)C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001332768517 866127193 /nfs/dbraw/zinc/12/71/93/866127193.db2.gz FXROHYQJTASEPR-PFEDMVJOSA-N -1 1 309.414 1.487 20 0 DDADMM Cc1nc2cc(F)ccc2c(Nc2c(O)[nH]c(=O)[n-]c2=S)n1 ZINC001160849851 866149616 /nfs/dbraw/zinc/14/96/16/866149616.db2.gz UQITXSHVFAASLM-VIFPVBQESA-N -1 1 319.321 1.025 20 0 DDADMM CSc1ccnc(Cl)c1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160854880 866151126 /nfs/dbraw/zinc/15/11/26/866151126.db2.gz ZTWSTGTVGNIWDG-LURJTMIESA-N -1 1 316.795 1.404 20 0 DDADMM COc1ccc2cnc(Nc3c(O)[nH]c(=O)[n-]c3=S)cc2c1 ZINC001160853485 866151175 /nfs/dbraw/zinc/15/11/75/866151175.db2.gz ODDBKPXFZWSSMF-NSHDSACASA-N -1 1 316.342 1.191 20 0 DDADMM CNC(=O)CC1CCN(Cc2ccc(/C=C/C(=O)[O-])o2)CC1 ZINC001333120944 866439333 /nfs/dbraw/zinc/43/93/33/866439333.db2.gz ILIJOCRVRFNYFJ-SNAWJCMRSA-N -1 1 306.362 1.726 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC001333383568 866692094 /nfs/dbraw/zinc/69/20/94/866692094.db2.gz NTORJOIYXMPSIR-JSGCOSHPSA-N -1 1 312.410 1.055 20 0 DDADMM CC(C)OCCOCCOCCOc1cc(=O)[n-]c(=S)[nH]1 ZINC001225765591 882018360 /nfs/dbraw/zinc/01/83/60/882018360.db2.gz NHXCTGQIKOQVMI-UHFFFAOYSA-N -1 1 318.395 1.308 20 0 DDADMM CN(C)c1nc(Nc2ccc3c(c2)OCCN3C)cc(=O)[n-]1 ZINC001213041159 867579166 /nfs/dbraw/zinc/57/91/66/867579166.db2.gz MYGIFUOWUATIDN-UHFFFAOYSA-N -1 1 301.350 1.820 20 0 DDADMM O=C([N-]CCNc1ccc(Cn2cncn2)cc1)C(F)(F)F ZINC001164244027 869050787 /nfs/dbraw/zinc/05/07/87/869050787.db2.gz QOTPCLSTVCQOPO-UHFFFAOYSA-N -1 1 313.283 1.417 20 0 DDADMM CCOC(=O)[C@H]1CC[C@@H](Oc2[n-]c(=S)ncc2OC)CO1 ZINC001226241921 882303041 /nfs/dbraw/zinc/30/30/41/882303041.db2.gz DJSKPLJBOZZJHN-RKDXNWHRSA-N -1 1 314.363 1.263 20 0 DDADMM CC(C)(C)OC(=O)NCC(C)(C)NC(=O)c1cnncc1[O-] ZINC001361766071 882326834 /nfs/dbraw/zinc/32/68/34/882326834.db2.gz ISTGZAIZLQZKIK-UHFFFAOYSA-N -1 1 310.354 1.215 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1ccnn1C[C@H]1CCOC1 ZINC001338340367 869931069 /nfs/dbraw/zinc/93/10/69/869931069.db2.gz IZLISCMORNFWBF-YPMHNXCESA-N -1 1 310.354 1.499 20 0 DDADMM CC[C@H]1c2ccccc2CN1c1nnc(-c2noc(=O)[n-]2)n1C ZINC001338718690 870131570 /nfs/dbraw/zinc/13/15/70/870131570.db2.gz FJOIDHZZDMADGC-NSHDSACASA-N -1 1 312.333 1.630 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@@]2(CC=CCC2)C1 ZINC001338722458 870133439 /nfs/dbraw/zinc/13/34/39/870133439.db2.gz YMSXQJFUXRTDBA-AWEZNQCLSA-N -1 1 300.370 1.322 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@@]2(CC=CCC2)C1 ZINC001338722458 870133449 /nfs/dbraw/zinc/13/34/49/870133449.db2.gz YMSXQJFUXRTDBA-AWEZNQCLSA-N -1 1 300.370 1.322 20 0 DDADMM CN(Cc1ccc(Br)o1)C(=O)c1cnncc1[O-] ZINC001361801248 882400410 /nfs/dbraw/zinc/40/04/10/882400410.db2.gz BNSJKLBFLHFYMV-UHFFFAOYSA-N -1 1 312.123 1.810 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCCc2ccccc2CC1 ZINC001339383281 870469837 /nfs/dbraw/zinc/46/98/37/870469837.db2.gz XAHLVOUGKSLWBC-UHFFFAOYSA-N -1 1 324.392 1.473 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCCc2ccccc2CC1 ZINC001339383281 870469847 /nfs/dbraw/zinc/46/98/47/870469847.db2.gz XAHLVOUGKSLWBC-UHFFFAOYSA-N -1 1 324.392 1.473 20 0 DDADMM C=CC(=O)Nc1ccc([O-])c(C(=O)NO[C@@H](CO)C(C)C)c1 ZINC001298378015 870513459 /nfs/dbraw/zinc/51/34/59/870513459.db2.gz TZGUNEKERABYDK-ZDUSSCGKSA-N -1 1 308.334 1.195 20 0 DDADMM C=C/C(C)=C\CC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001299015311 870837299 /nfs/dbraw/zinc/83/72/99/870837299.db2.gz UAUJCDUPYRAGIB-MVXISAMASA-N -1 1 315.373 1.640 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1ccc(C)s1 ZINC001340048575 870862028 /nfs/dbraw/zinc/86/20/28/870862028.db2.gz OHFSUPFHZQZFBC-UHFFFAOYSA-N -1 1 304.383 1.484 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1ccc(C)s1 ZINC001340048575 870862044 /nfs/dbraw/zinc/86/20/44/870862044.db2.gz OHFSUPFHZQZFBC-UHFFFAOYSA-N -1 1 304.383 1.484 20 0 DDADMM C[C@@H](c1ccc(F)cc1)N(C)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001340190122 870948031 /nfs/dbraw/zinc/94/80/31/870948031.db2.gz HGUGHEROCOXBRI-QMMMGPOBSA-N -1 1 318.312 1.495 20 0 DDADMM Cc1cc(=O)[nH]c2cc(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)ccc12 ZINC001302938664 871089574 /nfs/dbraw/zinc/08/95/74/871089574.db2.gz UQVKFAQTZACMQR-LLVKDONJSA-N -1 1 324.344 1.392 20 0 DDADMM COC[C@H](NC(=O)c1ccc(-c2cccs2)o1)c1nn[n-]n1 ZINC001303579905 871140721 /nfs/dbraw/zinc/14/07/21/871140721.db2.gz JQWJUMVADVWJEN-QMMMGPOBSA-N -1 1 319.346 1.639 20 0 DDADMM COC(=O)c1cc([N-]C(=O)c2nonc2C)c(O)c(OC)c1 ZINC001304505927 871217454 /nfs/dbraw/zinc/21/74/54/871217454.db2.gz UMKJUPASRVAJPN-UHFFFAOYSA-N -1 1 307.262 1.131 20 0 DDADMM Cc1nnc2n1CCN(C(=O)C(=O)c1ccc([O-])cc1)[C@H]2C ZINC001306034999 871317210 /nfs/dbraw/zinc/31/72/10/871317210.db2.gz UFPDKQXDUXHBES-VIFPVBQESA-N -1 1 300.318 1.078 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2nnc(-c3ccc(C)cc3)o2)n[n-]1 ZINC001340957197 871441133 /nfs/dbraw/zinc/44/11/33/871441133.db2.gz CAJNJTRFPWVFIW-UHFFFAOYSA-N -1 1 319.346 1.445 20 0 DDADMM COC(=O)/C=C\[C@@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC001309958615 871613233 /nfs/dbraw/zinc/61/32/33/871613233.db2.gz BFNGQZPSYFDMTJ-KFOINNEUSA-N -1 1 309.796 1.798 20 0 DDADMM C[C@H]1CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC12CCCCC2 ZINC001341811981 871838118 /nfs/dbraw/zinc/83/81/18/871838118.db2.gz RIDPRJXKDPHGKG-SUMWQHHRSA-N -1 1 324.421 1.249 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2cnn3cccnc23)c1 ZINC001205964796 871957261 /nfs/dbraw/zinc/95/72/61/871957261.db2.gz ACUPORBVHIQLHP-UHFFFAOYSA-N -1 1 318.358 1.972 20 0 DDADMM O=C(NC[C@@H]1CCN(CC(F)(F)F)C1)c1ccc([O-])cn1 ZINC001361886585 882576081 /nfs/dbraw/zinc/57/60/81/882576081.db2.gz VDMPVXARCXQOSM-VIFPVBQESA-N -1 1 303.284 1.401 20 0 DDADMM C[C@H](Oc1cc(=O)[n-]c(N2CCOCC2)n1)c1ccccn1 ZINC001226721053 882609848 /nfs/dbraw/zinc/60/98/48/882609848.db2.gz BTLWIYBAXDRABL-NSHDSACASA-N -1 1 302.334 1.554 20 0 DDADMM O=C(c1cnncc1[O-])N1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC001361906294 882615494 /nfs/dbraw/zinc/61/54/94/882615494.db2.gz RHIMQSGDYLXUEK-LLVKDONJSA-N -1 1 315.333 1.810 20 0 DDADMM CC1(C)C(=O)CCC[C@@H]1Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226729563 882616107 /nfs/dbraw/zinc/61/61/07/882616107.db2.gz YJKHJULOOFPSMU-LBPRGKRZSA-N -1 1 321.377 1.545 20 0 DDADMM CSc1ncc(C(=O)Nc2ncc(C(C)=O)s2)c(=O)[n-]1 ZINC001361934284 882669676 /nfs/dbraw/zinc/66/96/76/882669676.db2.gz YFUZRPFLLPGDMT-UHFFFAOYSA-N -1 1 310.360 1.816 20 0 DDADMM Cc1cc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)n[nH]1 ZINC001346029077 873593396 /nfs/dbraw/zinc/59/33/96/873593396.db2.gz RNUHBQDZOOUTDO-UHFFFAOYSA-N -1 1 300.278 1.028 20 0 DDADMM NS(=O)(=O)Cc1cccc(NC(=O)c2ccc(F)c([O-])c2)c1 ZINC001362001945 882798661 /nfs/dbraw/zinc/79/86/61/882798661.db2.gz OEZAWSGGHNMCGJ-UHFFFAOYSA-N -1 1 324.333 1.572 20 0 DDADMM CCCCCCO[C@H](C)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001347660999 874233121 /nfs/dbraw/zinc/23/31/21/874233121.db2.gz NVVWAKNZTCPCQI-ZIAGYGMSSA-N -1 1 323.441 1.966 20 0 DDADMM CCCC[C@@H](C)C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001378336247 874710950 /nfs/dbraw/zinc/71/09/50/874710950.db2.gz RCLUYYKCCMNXDB-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)C1CC(C)(C)C1)C1CC1 ZINC001378393567 874800889 /nfs/dbraw/zinc/80/08/89/874800889.db2.gz AGXNTVJIVQCQKA-MRXNPFEDSA-N -1 1 321.425 1.321 20 0 DDADMM CSc1ncc(C(=O)NC[C@]2(C)CCCC[C@H]2O)c(=O)[n-]1 ZINC001362069656 882958469 /nfs/dbraw/zinc/95/84/69/882958469.db2.gz MLSHASCLUNOPNK-YGRLFVJLSA-N -1 1 311.407 1.575 20 0 DDADMM COc1cc(-c2noc(-c3nccc(OC)c3[O-])n2)ccn1 ZINC001213326474 875899516 /nfs/dbraw/zinc/89/95/16/875899516.db2.gz QIVOAPHVGNROIU-UHFFFAOYSA-N -1 1 300.274 1.916 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C(N)=O ZINC001213693203 876022373 /nfs/dbraw/zinc/02/23/73/876022373.db2.gz RXTCQTQAPHKNOC-RCOVLWMOSA-N -1 1 323.777 1.052 20 0 DDADMM Cc1cnc(CN(C)CCN(C)C(=O)c2ncccc2[O-])s1 ZINC001379043543 876212082 /nfs/dbraw/zinc/21/20/82/876212082.db2.gz QLEGIFIBKGNURC-UHFFFAOYSA-N -1 1 320.418 1.756 20 0 DDADMM O=c1[nH]c2nc(O[C@@H]3COCc4ccccc43)[n-]c2c(=O)[nH]1 ZINC001227429495 883009766 /nfs/dbraw/zinc/00/97/66/883009766.db2.gz DCNCPNMMMMUWHR-SECBINFHSA-N -1 1 300.274 1.414 20 0 DDADMM O=c1[nH]c2[n-]c(O[C@@H]3COCc4ccccc43)nc2c(=O)[nH]1 ZINC001227429495 883009781 /nfs/dbraw/zinc/00/97/81/883009781.db2.gz DCNCPNMMMMUWHR-SECBINFHSA-N -1 1 300.274 1.414 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC[C@@H](C)[S@](C)=O ZINC001362090991 883012266 /nfs/dbraw/zinc/01/22/66/883012266.db2.gz SHGAFVDFOCZASK-GLEHDBDLSA-N -1 1 317.436 1.099 20 0 DDADMM Cc1cnc(F)c([C@@H](C)Oc2nc3[nH]c(=O)[nH]c(=O)c3[n-]2)c1 ZINC001227431373 883017522 /nfs/dbraw/zinc/01/75/22/883017522.db2.gz BUVVRGKABHIJRJ-ZCFIWIBFSA-N -1 1 305.269 1.747 20 0 DDADMM Cc1cnc(F)c([C@@H](C)Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)c1 ZINC001227431373 883017539 /nfs/dbraw/zinc/01/75/39/883017539.db2.gz BUVVRGKABHIJRJ-ZCFIWIBFSA-N -1 1 305.269 1.747 20 0 DDADMM CN(C)C(=O)c1cccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c1F ZINC001215143496 876583309 /nfs/dbraw/zinc/58/33/09/876583309.db2.gz YKBPQCMJQPGMQY-UHFFFAOYSA-N -1 1 323.353 1.638 20 0 DDADMM COc1ccc(CN(C)C(=O)c2c(C)[nH]c(=O)[n-]c2=S)cn1 ZINC001352400285 876727222 /nfs/dbraw/zinc/72/72/22/876727222.db2.gz DEQHNBDOYGSPCF-UHFFFAOYSA-N -1 1 320.374 1.455 20 0 DDADMM Cc1ccc(C(=O)N[C@H](C(=O)Nc2c[n-][nH]c2=O)C(C)C)cc1 ZINC001413850072 876894538 /nfs/dbraw/zinc/89/45/38/876894538.db2.gz XGWMQJOTJQNHDT-ZDUSSCGKSA-N -1 1 316.361 1.817 20 0 DDADMM CC(C)c1ccccc1C(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001379507654 877246576 /nfs/dbraw/zinc/24/65/76/877246576.db2.gz DHKBHXYIJMVXMV-NSHDSACASA-N -1 1 317.393 1.542 20 0 DDADMM C[C@@H](C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C(C)(C)C ZINC001379868435 878192757 /nfs/dbraw/zinc/19/27/57/878192757.db2.gz FIJXEUHOZJTQAR-GXSJLCMTSA-N -1 1 309.414 1.177 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H](C)NC(=O)C1(C)CCCC1 ZINC001380444643 879668758 /nfs/dbraw/zinc/66/87/58/879668758.db2.gz VRGYBYREYJUPFQ-VXGBXAGGSA-N -1 1 319.405 1.991 20 0 DDADMM C[C@H](CNC(=O)[C@@]1(C)C=CCC1)NC(=O)c1ncccc1[O-] ZINC001358129224 880341827 /nfs/dbraw/zinc/34/18/27/880341827.db2.gz KNDKZILCNKSPHE-BZNIZROVSA-N -1 1 303.362 1.378 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2CC[C@H](OCc3nn[n-]n3)[C@@H]2C1 ZINC001223026809 880789027 /nfs/dbraw/zinc/78/90/27/880789027.db2.gz JGWOKBDZDAVQCG-AXFHLTTASA-N -1 1 309.370 1.362 20 0 DDADMM CC(C)(C)OC(=O)N1CCC2(CC[C@@H]2OCc2nn[n-]n2)CC1 ZINC001223029256 880790989 /nfs/dbraw/zinc/79/09/89/880790989.db2.gz JAXHLVRTRPLWOE-NSHDSACASA-N -1 1 323.397 1.896 20 0 DDADMM Cc1nsc(N2CCN(C(=O)c3ccc(F)c([O-])c3)CC2)n1 ZINC001362149858 883157258 /nfs/dbraw/zinc/15/72/58/883157258.db2.gz RNDVKGANCZCZAR-UHFFFAOYSA-N -1 1 322.365 1.654 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)c2ccc([O-])cc2F)C1 ZINC001362165318 883192283 /nfs/dbraw/zinc/19/22/83/883192283.db2.gz OJZOWONUZQWZNB-QWRGUYRKSA-N -1 1 308.353 1.908 20 0 DDADMM CCO[C@@H](C)c1noc(CN(C)C(=O)c2ccc([O-])cn2)n1 ZINC001362177092 883219416 /nfs/dbraw/zinc/21/94/16/883219416.db2.gz LSXHWWBTKZVCEF-VIFPVBQESA-N -1 1 306.322 1.540 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1ccc([O-])cn1)c1ccccc1 ZINC001362213431 883315505 /nfs/dbraw/zinc/31/55/05/883315505.db2.gz BHSACDQPPAMASJ-CYBMUJFWSA-N -1 1 300.314 1.474 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@@H]1COc2cnccc21 ZINC001228553108 883548899 /nfs/dbraw/zinc/54/88/99/883548899.db2.gz ZODWHQDUJPDMIS-LLVKDONJSA-N -1 1 319.342 1.850 20 0 DDADMM CC[C@H](NC(=O)c1cnc(SC)[n-]c1=O)C1CCOCC1 ZINC001362394551 883709384 /nfs/dbraw/zinc/70/93/84/883709384.db2.gz SKXQJAMTMFTUMU-NSHDSACASA-N -1 1 311.407 1.839 20 0 DDADMM Cc1cc(CCC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)no1 ZINC001362432514 883797032 /nfs/dbraw/zinc/79/70/32/883797032.db2.gz DYLQFMOVTIUJJI-SNVBAGLBSA-N -1 1 306.322 1.410 20 0 DDADMM O=C(N[C@H]1CC(=O)N(C2CCCCC2)C1)c1ccc([O-])cn1 ZINC001362475367 883894775 /nfs/dbraw/zinc/89/47/75/883894775.db2.gz SJXQURHAQFSJPI-NSHDSACASA-N -1 1 303.362 1.451 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC(O[C@@H]2CCOC2)CC1 ZINC001362532524 884020421 /nfs/dbraw/zinc/02/04/21/884020421.db2.gz HZOLSCIBSDQYLS-GFCCVEGCSA-N -1 1 309.337 1.941 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC(Cn2ccnn2)CC1 ZINC001362603392 884194838 /nfs/dbraw/zinc/19/48/38/884194838.db2.gz PSHNPRIZXOXBOA-UHFFFAOYSA-N -1 1 304.325 1.675 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(CO)cc1OC)c1nn[n-]n1 ZINC001362622343 884245846 /nfs/dbraw/zinc/24/58/46/884245846.db2.gz AHHMQARGHWSIGE-UHFFFAOYSA-N -1 1 319.365 1.146 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ncoc2CC)n[n-]1 ZINC001362663355 884356727 /nfs/dbraw/zinc/35/67/27/884356727.db2.gz ZFJYJCSDARTDNV-ZETCQYMHSA-N -1 1 307.310 1.023 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ncoc2CC)[n-]1 ZINC001362663355 884356743 /nfs/dbraw/zinc/35/67/43/884356743.db2.gz ZFJYJCSDARTDNV-ZETCQYMHSA-N -1 1 307.310 1.023 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ncoc2CC)n1 ZINC001362663355 884356760 /nfs/dbraw/zinc/35/67/60/884356760.db2.gz ZFJYJCSDARTDNV-ZETCQYMHSA-N -1 1 307.310 1.023 20 0 DDADMM COC(=O)Cn1ncc(NC(=O)c2ccc(F)c([O-])c2)c1C ZINC001362672559 884377796 /nfs/dbraw/zinc/37/77/96/884377796.db2.gz NTYZXBUYMURBIO-UHFFFAOYSA-N -1 1 307.281 1.462 20 0 DDADMM CCC(CC)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001382644554 884397367 /nfs/dbraw/zinc/39/73/67/884397367.db2.gz DDZAHJPHMDDJLV-DGCLKSJQSA-N -1 1 319.405 1.943 20 0 DDADMM COC(=O)c1c[n-]c(O[C@H]2CC(=O)N(Cc3ccccc3)C2)n1 ZINC001230282633 884408025 /nfs/dbraw/zinc/40/80/25/884408025.db2.gz XHINZBHRQMCLNX-LBPRGKRZSA-N -1 1 315.329 1.376 20 0 DDADMM O=C(CCn1cncn1)Nc1cccc([O-])c1Br ZINC001362715237 884472672 /nfs/dbraw/zinc/47/26/72/884472672.db2.gz FUMIEGVYZXHTTC-UHFFFAOYSA-N -1 1 311.139 1.775 20 0 DDADMM CSc1ncc(C(=O)Nc2cccc(CCO)c2)c(=O)[n-]1 ZINC001362737234 884515624 /nfs/dbraw/zinc/51/56/24/884515624.db2.gz QVDHAWHVWWUIQU-UHFFFAOYSA-N -1 1 305.359 1.691 20 0 DDADMM C[C@@H](NC(=O)c1ccccn1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382717310 884548207 /nfs/dbraw/zinc/54/82/07/884548207.db2.gz WGGCVRKIVYFLKJ-MNOVXSKESA-N -1 1 314.345 1.119 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1coc2c1C(=O)CCC2 ZINC001362835811 884759481 /nfs/dbraw/zinc/75/94/81/884759481.db2.gz PAKSFBGQOLCZGV-UHFFFAOYSA-N -1 1 301.306 1.121 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1conc1C ZINC001362888223 884890122 /nfs/dbraw/zinc/89/01/22/884890122.db2.gz MGHOXLUGFZSIKH-SNVBAGLBSA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1conc1C ZINC001362888223 884890132 /nfs/dbraw/zinc/89/01/32/884890132.db2.gz MGHOXLUGFZSIKH-SNVBAGLBSA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1conc1C ZINC001362888223 884890139 /nfs/dbraw/zinc/89/01/39/884890139.db2.gz MGHOXLUGFZSIKH-SNVBAGLBSA-N -1 1 319.321 1.255 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCS(=O)(=O)C[C@H]1C1CC1 ZINC001362906833 884950056 /nfs/dbraw/zinc/95/00/56/884950056.db2.gz WLYXVOOOYQCJTO-LBPRGKRZSA-N -1 1 313.350 1.181 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@H]1CC12CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001374443374 912880890 /nfs/dbraw/zinc/88/08/90/912880890.db2.gz RSGYLRYJWNGJNS-NEPJUHHUSA-N -1 1 321.425 1.321 20 0 DDADMM COCC[C@H](C)C(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001382947388 885015024 /nfs/dbraw/zinc/01/50/24/885015024.db2.gz CKTXZJSAYAQHOV-RYUDHWBXSA-N -1 1 323.393 1.037 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(OC(C)C)CCC2)n[n-]1 ZINC001362937978 885032852 /nfs/dbraw/zinc/03/28/52/885032852.db2.gz RGSUWUUPKXBGMR-JTQLQIEISA-N -1 1 324.381 1.506 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(OC(C)C)CCC2)[n-]1 ZINC001362937978 885032858 /nfs/dbraw/zinc/03/28/58/885032858.db2.gz RGSUWUUPKXBGMR-JTQLQIEISA-N -1 1 324.381 1.506 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(OC(C)C)CCC2)n1 ZINC001362937978 885032877 /nfs/dbraw/zinc/03/28/77/885032877.db2.gz RGSUWUUPKXBGMR-JTQLQIEISA-N -1 1 324.381 1.506 20 0 DDADMM CCc1c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cnn1CC ZINC001363017681 885239504 /nfs/dbraw/zinc/23/95/04/885239504.db2.gz TVWSKNLZKGXBFO-JTQLQIEISA-N -1 1 319.365 1.574 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(OC)cc2)n[n-]1 ZINC001363047249 885322954 /nfs/dbraw/zinc/32/29/54/885322954.db2.gz KAGQSXSBMIFOEC-VIFPVBQESA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(OC)cc2)[n-]1 ZINC001363047249 885322975 /nfs/dbraw/zinc/32/29/75/885322975.db2.gz KAGQSXSBMIFOEC-VIFPVBQESA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(OC)cc2)n1 ZINC001363047249 885322996 /nfs/dbraw/zinc/32/29/96/885322996.db2.gz KAGQSXSBMIFOEC-VIFPVBQESA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(C)c(C)o2)n[n-]1 ZINC001363047966 885326827 /nfs/dbraw/zinc/32/68/27/885326827.db2.gz LYDQMADZKRRXEM-QMMMGPOBSA-N -1 1 306.322 1.682 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(C)c(C)o2)[n-]1 ZINC001363047966 885326845 /nfs/dbraw/zinc/32/68/45/885326845.db2.gz LYDQMADZKRRXEM-QMMMGPOBSA-N -1 1 306.322 1.682 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(C)c(C)o2)n1 ZINC001363047966 885326867 /nfs/dbraw/zinc/32/68/67/885326867.db2.gz LYDQMADZKRRXEM-QMMMGPOBSA-N -1 1 306.322 1.682 20 0 DDADMM C[C@H](NC(=O)c1ccc([O-])cn1)c1noc(C(F)(F)F)n1 ZINC001363106079 885479584 /nfs/dbraw/zinc/47/95/84/885479584.db2.gz MGQKZTLSGDAZNK-YFKPBYRVSA-N -1 1 302.212 1.680 20 0 DDADMM CC(C)(C)C(=O)NC[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001395952973 912946549 /nfs/dbraw/zinc/94/65/49/912946549.db2.gz PTNNURPPJWOFRQ-VXGBXAGGSA-N -1 1 319.405 1.848 20 0 DDADMM CCC(CC)(NC(=O)c1cc(F)c([O-])c(F)c1)c1nn[nH]n1 ZINC001363135947 885553823 /nfs/dbraw/zinc/55/38/23/885553823.db2.gz LKRCTGGSFFVZDU-UHFFFAOYSA-N -1 1 311.292 1.629 20 0 DDADMM COc1cccc([C@@H]2C[C@H]2C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c1 ZINC001363221357 885747324 /nfs/dbraw/zinc/74/73/24/885747324.db2.gz WJRBYZJTTKFGJZ-KWCYVHTRSA-N -1 1 313.361 1.328 20 0 DDADMM CN(C)C(=O)C[C@@H]1C[C@@H]2CN(Cc3ccc(C(=O)[O-])o3)C[C@@H]2O1 ZINC001277560201 885850254 /nfs/dbraw/zinc/85/02/54/885850254.db2.gz SJRVTRLGOIPUFX-OSMZGAPFSA-N -1 1 322.361 1.045 20 0 DDADMM CN(C1CN(Cc2ccccc2OCC(=O)[O-])C1)[C@H]1CCOC1 ZINC001231792504 885877683 /nfs/dbraw/zinc/87/76/83/885877683.db2.gz DESPOIZQIHOILK-AWEZNQCLSA-N -1 1 320.389 1.055 20 0 DDADMM COc1cc(C)sc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363353795 886111499 /nfs/dbraw/zinc/11/14/99/886111499.db2.gz HMNKUNDVUUHVGS-UHFFFAOYSA-N -1 1 309.395 1.877 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@]1(O)CCCC(C)(C)C1 ZINC001363357470 886121925 /nfs/dbraw/zinc/12/19/25/886121925.db2.gz RPFOIFRQSVWSFD-OAHLLOKOSA-N -1 1 309.414 1.516 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCC1CCC2(CC2)CC1 ZINC001363366430 886143149 /nfs/dbraw/zinc/14/31/49/886143149.db2.gz IDAJARDDRMCJCR-UHFFFAOYSA-N -1 1 317.389 1.604 20 0 DDADMM CCC(CC)n1nc(C(=O)N[C@@H](COC)c2nn[n-]n2)cc1C ZINC001363409350 886258407 /nfs/dbraw/zinc/25/84/07/886258407.db2.gz CHSBTELOSQNJLO-LBPRGKRZSA-N -1 1 321.385 1.183 20 0 DDADMM COC[C@H](NC(=O)c1ccc(C(F)F)c(F)c1)c1nn[n-]n1 ZINC001363438033 886335955 /nfs/dbraw/zinc/33/59/55/886335955.db2.gz SXTBOYZJEDMPGW-VIFPVBQESA-N -1 1 315.255 1.394 20 0 DDADMM CSc1nc(CNC(=O)c2noc3c2CCCC3)cc(=O)[n-]1 ZINC001363455772 886388487 /nfs/dbraw/zinc/38/84/87/886388487.db2.gz GMZCSOJLHAFMEE-UHFFFAOYSA-N -1 1 320.374 1.701 20 0 DDADMM CCN(C(=O)[C@@H]1C[C@H]1C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001383718906 886394639 /nfs/dbraw/zinc/39/46/39/886394639.db2.gz SQDLMTQCYABSGT-IJLUTSLNSA-N -1 1 305.378 1.410 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](CO)C[C@H]1CCCO1 ZINC001363596612 886725465 /nfs/dbraw/zinc/72/54/65/886725465.db2.gz ZTEPOJVFRGSXMB-NWDGAFQWSA-N -1 1 323.393 1.274 20 0 DDADMM CSc1ncc(C(=O)NC[C@]23C[C@H]2COC32CCC2)c(=O)[n-]1 ZINC001363671826 886938666 /nfs/dbraw/zinc/93/86/66/886938666.db2.gz FMKQKFAMTJTXPN-LKFCYVNXSA-N -1 1 321.402 1.593 20 0 DDADMM CC(C)c1cc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)no1 ZINC001363690274 886986915 /nfs/dbraw/zinc/98/69/15/886986915.db2.gz QRMZINGMXWPQIV-UHFFFAOYSA-N -1 1 318.333 1.296 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccc(S(=O)(=O)C2CC2)cc1 ZINC001363706117 887029924 /nfs/dbraw/zinc/02/99/24/887029924.db2.gz LCWYKQGZEZLUBP-UHFFFAOYSA-N -1 1 318.354 1.398 20 0 DDADMM C[C@H]1CCC(F)(F)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC001363923105 887547276 /nfs/dbraw/zinc/54/72/76/887547276.db2.gz XNVSQKZAWYFVRP-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM O=S(=O)([N-]C/C=C\Cl)c1ncccc1Br ZINC001364071072 887849276 /nfs/dbraw/zinc/84/92/76/887849276.db2.gz QQIYMQRCGHEARA-RQOWECAXSA-N -1 1 311.588 1.875 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@]12C[C@@H]1CCCC2 ZINC001364071789 887851943 /nfs/dbraw/zinc/85/19/43/887851943.db2.gz RAECJUGDJCSVBV-TVQRCGJNSA-N -1 1 313.379 1.055 20 0 DDADMM Cc1ccc(COc2c(C(N)=O)nc[n-]c2=O)c(OC(C)C)c1 ZINC001234471655 888004930 /nfs/dbraw/zinc/00/49/30/888004930.db2.gz GAQMPIXCKJEPED-UHFFFAOYSA-N -1 1 317.345 1.956 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@@H](C)c2ncccn2)c(=O)[n-]1 ZINC001234560891 888097035 /nfs/dbraw/zinc/09/70/35/888097035.db2.gz IZDYWDPTHBSVLQ-QMMMGPOBSA-N -1 1 303.322 1.335 20 0 DDADMM COC(=O)[C@H]1C[C@@H]2C[C@H](NC(=O)c3ccc(F)c([O-])c3)[C@@H]2C1 ZINC001364369087 888474085 /nfs/dbraw/zinc/47/40/85/888474085.db2.gz WEWPZXFPHHHEQD-XZUYRWCXSA-N -1 1 307.321 1.849 20 0 DDADMM CC(=O)CC1(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCC1 ZINC001364548603 888902559 /nfs/dbraw/zinc/90/25/59/888902559.db2.gz IJAFXPKNDDJFCT-UHFFFAOYSA-N -1 1 319.361 1.021 20 0 DDADMM COc1nc(CN2CC(C[N-]C(=O)C(F)(F)F)C2)ccc1C ZINC001364707080 889241851 /nfs/dbraw/zinc/24/18/51/889241851.db2.gz BHYKOUPESCIUDC-UHFFFAOYSA-N -1 1 317.311 1.509 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1cccc(F)c1)c1c[nH]nc1Cl ZINC001364785755 889410569 /nfs/dbraw/zinc/41/05/69/889410569.db2.gz MSYFNIUWLMILJS-VIFPVBQESA-N -1 1 319.745 1.214 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H]1CCC(C)(C)O1 ZINC001364856029 889555659 /nfs/dbraw/zinc/55/56/59/889555659.db2.gz WEAVOTDNGXHHHY-MRVPVSSYSA-N -1 1 306.409 1.388 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)C1(OC)CCC1 ZINC001364869439 889579825 /nfs/dbraw/zinc/57/98/25/889579825.db2.gz AWEFQWZNPJDITO-QMMMGPOBSA-N -1 1 306.409 1.388 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)c2ncccc2C)o1 ZINC001365154354 890209366 /nfs/dbraw/zinc/20/93/66/890209366.db2.gz YTTHJGQMXOHVPM-SNVBAGLBSA-N -1 1 323.374 1.382 20 0 DDADMM CN(C(=O)Nc1nn[nH]c1C(=O)NC1CC1)c1ccccc1 ZINC001365295149 890526328 /nfs/dbraw/zinc/52/63/28/890526328.db2.gz PUEPTYLXBRMZTQ-UHFFFAOYSA-N -1 1 300.322 1.365 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(F)CCCCC1 ZINC001386245336 890636850 /nfs/dbraw/zinc/63/68/50/890636850.db2.gz WACYCVIVXUCGFF-NSHDSACASA-N -1 1 323.368 1.694 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)C1CCCCC1 ZINC001365434383 890792622 /nfs/dbraw/zinc/79/26/22/890792622.db2.gz SMAQHVHWIXNSPV-SECBINFHSA-N -1 1 315.395 1.443 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cccnc2C)c1 ZINC001365450183 890815163 /nfs/dbraw/zinc/81/51/63/890815163.db2.gz BLVGFRVTWSKDLR-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM [O-]c1ccc(F)cc1-c1nc2c(c(N3CCOCC3)n1)CNC2 ZINC001240695409 891021707 /nfs/dbraw/zinc/02/17/07/891021707.db2.gz KKXAXWIIRGBLLD-UHFFFAOYSA-N -1 1 316.336 1.428 20 0 DDADMM [O-]c1ccc(-c2nc3nc[nH]c3c(N3CCOCC3)n2)cc1F ZINC001240782969 891049377 /nfs/dbraw/zinc/04/93/77/891049377.db2.gz LZZDBPYJRVGKSZ-UHFFFAOYSA-N -1 1 315.308 1.701 20 0 DDADMM COc1cc(-c2c(F)ccc([O-])c2F)nc(S(C)(=O)=O)n1 ZINC001241291936 891142397 /nfs/dbraw/zinc/14/23/97/891142397.db2.gz ZBHUHNMVVUYSEN-UHFFFAOYSA-N -1 1 316.285 1.540 20 0 DDADMM O=C([O-])c1cccc(-c2ccc(S(=O)(=O)C3CNC3)cc2)c1 ZINC001241360307 891152280 /nfs/dbraw/zinc/15/22/80/891152280.db2.gz IFBNIONOYGBRTE-UHFFFAOYSA-N -1 1 317.366 1.797 20 0 DDADMM COC(=O)[C@@H](C[C@@H]1CCCO1)NCc1ccc([O-])c(F)c1F ZINC001365614278 891162687 /nfs/dbraw/zinc/16/26/87/891162687.db2.gz VKTVKHOACNAKOH-WDEREUQCSA-N -1 1 315.316 1.871 20 0 DDADMM C[C@@H]1CC[C@@H](CN2CCN(C(=O)c3ncccc3[O-])CC2)O1 ZINC001365628658 891200214 /nfs/dbraw/zinc/20/02/14/891200214.db2.gz OZRCWPHUKPANSN-OLZOCXBDSA-N -1 1 305.378 1.113 20 0 DDADMM CC[C@H]1CCCCCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365661069 891269895 /nfs/dbraw/zinc/26/98/95/891269895.db2.gz XLCLBPKOCMCDKV-JTQLQIEISA-N -1 1 315.395 1.540 20 0 DDADMM CC[C@H]1CCCCCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365661069 891269909 /nfs/dbraw/zinc/26/99/09/891269909.db2.gz XLCLBPKOCMCDKV-JTQLQIEISA-N -1 1 315.395 1.540 20 0 DDADMM CC[C@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1csnc1OC ZINC001365673795 891289768 /nfs/dbraw/zinc/28/97/68/891289768.db2.gz VMZNGOZTUNXGJY-RKDXNWHRSA-N -1 1 308.425 1.227 20 0 DDADMM Cc1cc(C(=O)[O-])cc(-c2ccnc(N3CCN(C)CC3)n2)c1 ZINC001243179964 891617691 /nfs/dbraw/zinc/61/76/91/891617691.db2.gz QOSITOXPQAZZFA-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM Cc1ccc(-c2nccnc2N2CCN(C)CC2)cc1C(=O)[O-] ZINC001243195836 891620352 /nfs/dbraw/zinc/62/03/52/891620352.db2.gz QSWIIXCXDCVPQH-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)CC(C)(C)C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001365865291 891748095 /nfs/dbraw/zinc/74/80/95/891748095.db2.gz FCBUOUHLODKUPM-NWDGAFQWSA-N -1 1 323.441 1.014 20 0 DDADMM Cc1cc2c(c(-c3ccnc(N4CCOCC4)c3)n1)C(=O)[N-]C2=O ZINC001243901277 891751909 /nfs/dbraw/zinc/75/19/09/891751909.db2.gz VUPCNZSNJPIIHU-UHFFFAOYSA-N -1 1 324.340 1.172 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnn(C(C)(C)CO)c3)nc2[n-]1 ZINC001244103610 891798900 /nfs/dbraw/zinc/79/89/00/891798900.db2.gz QSGHOOBGPKGTNN-UHFFFAOYSA-N -1 1 315.333 1.335 20 0 DDADMM CC[C@]1(C)C[C@H]1C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001386849185 891852431 /nfs/dbraw/zinc/85/24/31/891852431.db2.gz CSDOWPLMSWUKHG-MEDUHNTESA-N -1 1 305.378 1.412 20 0 DDADMM CCC1(C(=O)N(C)CCNC(=O)c2ncccc2[O-])CCC1 ZINC001386853583 891863562 /nfs/dbraw/zinc/86/35/62/891863562.db2.gz WJBDPWZGAHIEJA-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM Cc1cc2c(c(-c3ccc(CO)c(F)c3F)n1)C(=O)[N-]C2=O ZINC001244720322 891898588 /nfs/dbraw/zinc/89/85/88/891898588.db2.gz RCHLVYVKGUDISJ-UHFFFAOYSA-N -1 1 304.252 1.711 20 0 DDADMM CCC[C@H](C)CC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001365929635 891944988 /nfs/dbraw/zinc/94/49/88/891944988.db2.gz QQAAIAMRIDAGRM-QWHCGFSZSA-N -1 1 323.441 1.015 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001387227588 892658364 /nfs/dbraw/zinc/65/83/64/892658364.db2.gz UNXHSJMXHNZCLR-OLZOCXBDSA-N -1 1 319.405 1.658 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CC(C)C1 ZINC001388059878 894478695 /nfs/dbraw/zinc/47/86/95/894478695.db2.gz XDEBCLDACFRYPN-HTAVTVPLSA-N -1 1 305.378 1.458 20 0 DDADMM COC(=O)c1cc(O)cc([N-]S(=O)(=O)c2ccccc2)c1 ZINC001251149457 894646691 /nfs/dbraw/zinc/64/66/91/894646691.db2.gz YLZXTAQHNIMORV-UHFFFAOYSA-N -1 1 307.327 1.980 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)CCCC1CC1 ZINC001388242776 894854665 /nfs/dbraw/zinc/85/46/65/894854665.db2.gz WBXXSIUPGNEFOU-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM C[C@H](CNC(=O)Cc1ccco1)CNC(=O)c1ncccc1[O-] ZINC001388432359 895226590 /nfs/dbraw/zinc/22/65/90/895226590.db2.gz HQJBBQBAPUEBFW-LLVKDONJSA-N -1 1 317.345 1.105 20 0 DDADMM CCC[C@H](O)CNc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001252793334 895462636 /nfs/dbraw/zinc/46/26/36/895462636.db2.gz NHUXGGYJUKCRIC-VIFPVBQESA-N -1 1 315.333 1.546 20 0 DDADMM CC1CC(C(=O)NCCN(C(=O)c2ncccc2[O-])C2CC2)C1 ZINC001388620963 895559260 /nfs/dbraw/zinc/55/92/60/895559260.db2.gz QWCQAGPIGGKVSR-UHFFFAOYSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)Cc1cccc(Cl)c1 ZINC001374809481 913995461 /nfs/dbraw/zinc/99/54/61/913995461.db2.gz VQXXXRMXLYJLMU-SECBINFHSA-N -1 1 323.784 1.001 20 0 DDADMM CCCCS(=O)(=O)[N-]c1cccc(CC(=O)OCC)n1 ZINC001253786585 896092275 /nfs/dbraw/zinc/09/22/75/896092275.db2.gz FUCZPRXQCWVKDD-UHFFFAOYSA-N -1 1 300.380 1.729 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccccc1C)c1ccccn1 ZINC001254632105 896542202 /nfs/dbraw/zinc/54/22/02/896542202.db2.gz QPKOUECDGCYZTH-CQSZACIVSA-N -1 1 320.370 1.583 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NS(=O)(=O)c1cccc(F)c1 ZINC001255581035 897030861 /nfs/dbraw/zinc/03/08/61/897030861.db2.gz XUISNMYSKVZBQS-UHFFFAOYSA-N -1 1 314.254 1.226 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)CN(C)CCC(F)(F)F)c1[O-] ZINC001367792205 897811836 /nfs/dbraw/zinc/81/18/36/897811836.db2.gz DULOUASLUHCLAY-ZETCQYMHSA-N -1 1 308.304 1.426 20 0 DDADMM COC(=O)c1cc(-c2nnc(Cc3cccc(F)c3)o2)[n-]n1 ZINC001258548910 898243274 /nfs/dbraw/zinc/24/32/74/898243274.db2.gz CYURRRIKAKGGCN-UHFFFAOYSA-N -1 1 302.265 1.976 20 0 DDADMM O=S(=O)([N-]CCN1CCCCO1)c1cc(F)c(F)cc1F ZINC001259042090 898437609 /nfs/dbraw/zinc/43/76/09/898437609.db2.gz RHCXCTSPCVOHPS-UHFFFAOYSA-N -1 1 324.324 1.410 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cnc3c(c2)CC(=O)N3)c(C)c1 ZINC001259096933 898467605 /nfs/dbraw/zinc/46/76/05/898467605.db2.gz PTLFFFNLNQQDNL-UHFFFAOYSA-N -1 1 317.370 1.994 20 0 DDADMM Cn1ccc([N-]S(=O)(=O)c2cc(Cl)ccc2F)cc1=O ZINC001259460121 898679072 /nfs/dbraw/zinc/67/90/72/898679072.db2.gz MGTUXYFDESMSCK-UHFFFAOYSA-N -1 1 316.741 1.979 20 0 DDADMM O=S(=O)([N-][C@H](CO)[C@H]1CCOC1)c1cc(Cl)ccc1F ZINC001259461581 898680122 /nfs/dbraw/zinc/68/01/22/898680122.db2.gz ZKLNYTCASUEQHJ-GZMMTYOYSA-N -1 1 323.773 1.155 20 0 DDADMM Cc1ccc2c(c1)[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)N2 ZINC001259874776 898885676 /nfs/dbraw/zinc/88/56/76/898885676.db2.gz ZOYWYYXKMQCCIT-SNVBAGLBSA-N -1 1 322.308 1.860 20 0 DDADMM CN1C(=S)N=NC1[C@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001293166071 914293745 /nfs/dbraw/zinc/29/37/45/914293745.db2.gz WYXWLTKDYCQGJR-VIFPVBQESA-N -1 1 319.390 1.224 20 0 DDADMM COCCS(=O)(=O)[N-]c1nc(Cl)c(Cl)nc1Cl ZINC001259966677 898991965 /nfs/dbraw/zinc/99/19/65/898991965.db2.gz QRNMBNUKHNUQIF-UHFFFAOYSA-N -1 1 320.585 1.825 20 0 DDADMM O=C(c1ccccc1)N1CCC[C@@H]([N-]S(=O)(=O)C(F)F)C1 ZINC001259962106 898983875 /nfs/dbraw/zinc/98/38/75/898983875.db2.gz CNVNXAORXYPENP-LLVKDONJSA-N -1 1 318.345 1.433 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc2c(c1)C(C)(C)CNC2=O ZINC001259973019 898998100 /nfs/dbraw/zinc/99/81/00/898998100.db2.gz MXPANWWBBWSZDM-UHFFFAOYSA-N -1 1 312.391 1.096 20 0 DDADMM C[N@H+]1CCC[C@@H]2CN(S(=O)(=O)c3cccc(C(=O)[O-])c3)C[C@@H]21 ZINC001260187783 899080883 /nfs/dbraw/zinc/08/08/83/899080883.db2.gz ADSCJVGVHLITHS-OCCSQVGLSA-N -1 1 324.402 1.100 20 0 DDADMM CN1CCC[C@@H]2CN(S(=O)(=O)c3cccc(C(=O)[O-])c3)C[C@@H]21 ZINC001260187783 899080900 /nfs/dbraw/zinc/08/09/00/899080900.db2.gz ADSCJVGVHLITHS-OCCSQVGLSA-N -1 1 324.402 1.100 20 0 DDADMM O=S(=O)([N-]CCn1ccnn1)c1cc(F)c(F)cc1Cl ZINC001260277679 899108110 /nfs/dbraw/zinc/10/81/10/899108110.db2.gz TULZMICKRGBSMW-UHFFFAOYSA-N -1 1 322.724 1.188 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@@H](O)C1)c1cc(F)c(F)cc1Cl ZINC001260287393 899111451 /nfs/dbraw/zinc/11/14/51/899111451.db2.gz DTANDPDEFNSBEU-KNVOCYPGSA-N -1 1 311.737 1.667 20 0 DDADMM COc1cccc([N-]S(=O)(=O)CS(C)(=O)=O)c1Cl ZINC001260590872 899178306 /nfs/dbraw/zinc/17/83/06/899178306.db2.gz PUCCHELURALTQN-UHFFFAOYSA-N -1 1 313.784 1.092 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-]c1ccc(S(C)(=O)=O)c(F)c1 ZINC001260739533 899243299 /nfs/dbraw/zinc/24/32/99/899243299.db2.gz IQRNQVNDOFRSMO-MRVPVSSYSA-N -1 1 309.384 1.769 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-]c1cnnc(Cl)c1Cl ZINC001261024272 899324947 /nfs/dbraw/zinc/32/49/47/899324947.db2.gz RWWDVILABVOPMB-UHFFFAOYSA-N -1 1 322.177 1.924 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc2c(c1)CCCC2 ZINC001261267414 899440661 /nfs/dbraw/zinc/44/06/61/899440661.db2.gz NSPVITGDAUUVBT-UHFFFAOYSA-N -1 1 313.357 1.444 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1sc(Cl)nc1C)C(=O)OC ZINC000385341941 900899926 /nfs/dbraw/zinc/89/99/26/900899926.db2.gz XPFGTHBDBHBDSL-ZCFIWIBFSA-N -1 1 312.800 1.335 20 0 DDADMM Cc1cccc(C(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)c1C ZINC001369292931 900993422 /nfs/dbraw/zinc/99/34/22/900993422.db2.gz KVGZSTYKSBISET-NSHDSACASA-N -1 1 317.393 1.377 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)C1(CF)CC1 ZINC001369686181 901774073 /nfs/dbraw/zinc/77/40/73/901774073.db2.gz IJIMTTVZTYEEJG-UWVGGRQHSA-N -1 1 309.341 1.160 20 0 DDADMM CCC(CC)C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001369781486 901932672 /nfs/dbraw/zinc/93/26/72/901932672.db2.gz RWRHYBRZQKZMDS-NSHDSACASA-N -1 1 307.394 1.800 20 0 DDADMM CCN(CCN[C@@H](C)c1nc(C)no1)C(=O)c1ncccc1[O-] ZINC001392439400 904112812 /nfs/dbraw/zinc/11/28/12/904112812.db2.gz JGVMDKXJDGWQME-JTQLQIEISA-N -1 1 319.365 1.292 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CCC1CCCC1 ZINC001392664658 904802491 /nfs/dbraw/zinc/80/24/91/904802491.db2.gz MUOQWDDAJDCIRX-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CC(=O)NC[C@H](NC(=O)c1c(C)nc(C(C)C)[n-]c1=O)C1CC1 ZINC001372333915 907054188 /nfs/dbraw/zinc/05/41/88/907054188.db2.gz NRDUBFXBZUWXAV-LBPRGKRZSA-N -1 1 320.393 1.259 20 0 DDADMM CCC[C@H](C)C(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001283512474 907505063 /nfs/dbraw/zinc/50/50/63/907505063.db2.gz LTTANOOYIBWPHB-LBPRGKRZSA-N -1 1 307.394 1.802 20 0 DDADMM CC(C)=C(C)CC(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001284050296 908393480 /nfs/dbraw/zinc/39/34/80/908393480.db2.gz UGCOUYANZBNUPI-JOCQHMNTSA-N -1 1 317.389 1.911 20 0 DDADMM CCN(CCNC(=O)/C=C/C(C)(C)C)C(=O)c1ncccc1[O-] ZINC001284605489 909242640 /nfs/dbraw/zinc/24/26/40/909242640.db2.gz QXKKESIOFXTGID-CMDGGOBGSA-N -1 1 319.405 1.968 20 0 DDADMM CCCc1occc1C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001394536133 909931745 /nfs/dbraw/zinc/93/17/45/909931745.db2.gz HNGNWNLFVXDLRS-SNVBAGLBSA-N -1 1 321.381 1.306 20 0 DDADMM C/C=C(\C)C(=O)N(CC)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001285016841 909945332 /nfs/dbraw/zinc/94/53/32/909945332.db2.gz LWRQBRLRRMVFDK-VFJIKVCNSA-N -1 1 317.389 1.816 20 0 DDADMM CN(CCNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1)C(=O)c1ncccc1[O-] ZINC001373522599 910080004 /nfs/dbraw/zinc/08/00/04/910080004.db2.gz RJFBQBHWGLFGRL-JHJVBQTASA-N -1 1 317.389 1.412 20 0 DDADMM Cc1cc(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C(C)(C)C)co1 ZINC001394816499 910648267 /nfs/dbraw/zinc/64/82/67/910648267.db2.gz DHFATDLDKWFMEP-LLVKDONJSA-N -1 1 321.381 1.346 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C1(CCF)CC1 ZINC001395065188 911277059 /nfs/dbraw/zinc/27/70/59/911277059.db2.gz INJWHUIQYFVIGX-NSHDSACASA-N -1 1 323.368 1.504 20 0 DDADMM Cc1cnc([C@H](C)NC[C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001374007016 911619436 /nfs/dbraw/zinc/61/94/36/911619436.db2.gz KSAHMDZUGGLVFC-KWQFWETISA-N -1 1 321.381 1.437 20 0 DDADMM C[C@@H](CNC(=O)C(C)(C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001397536832 916241836 /nfs/dbraw/zinc/24/18/36/916241836.db2.gz TVCTWBXYWQMCGY-JTQLQIEISA-N -1 1 305.378 1.458 20 0 DDADMM CO[C@@H](C)CCC(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001375690089 916713291 /nfs/dbraw/zinc/71/32/91/916713291.db2.gz SYKZNGCPSORKFV-NEPJUHHUSA-N -1 1 323.393 1.084 20 0 DDADMM O=C(NCCCc1nc[nH]n1)c1cccc(C(F)(F)F)c1[O-] ZINC000614362800 361823793 /nfs/dbraw/zinc/82/37/93/361823793.db2.gz VKJABLPCVPSAJZ-UHFFFAOYSA-N -1 1 314.267 1.892 20 0 DDADMM CC1(C)CCC[C@@](O)(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)C1 ZINC000614392682 361835897 /nfs/dbraw/zinc/83/58/97/361835897.db2.gz ZUCTWIXIVZMRCX-BZNIZROVSA-N -1 1 323.393 1.813 20 0 DDADMM Cc1cnc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)s1 ZINC000614474135 361875083 /nfs/dbraw/zinc/87/50/83/361875083.db2.gz QLJTWDOFVNRDKQ-UHFFFAOYSA-N -1 1 308.363 1.489 20 0 DDADMM NC(=O)c1cccc(S(=O)(=O)[N-]c2ccc3c(c2)CCO3)c1 ZINC000078982558 185139836 /nfs/dbraw/zinc/13/98/36/185139836.db2.gz RQGLQMFSKCCNFO-UHFFFAOYSA-N -1 1 318.354 1.521 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2c(C(N)=O)[nH]nc2C(C)C)c1 ZINC000451118348 231012341 /nfs/dbraw/zinc/01/23/41/231012341.db2.gz XNYLNWUONPJMQO-UHFFFAOYSA-N -1 1 322.390 1.741 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCc1cc(C)ccc1F ZINC000451928854 231237276 /nfs/dbraw/zinc/23/72/76/231237276.db2.gz OXBKLZPWNQNNBN-UHFFFAOYSA-N -1 1 313.354 1.364 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1cc(C)ccc1F ZINC000451928854 231237280 /nfs/dbraw/zinc/23/72/80/231237280.db2.gz OXBKLZPWNQNNBN-UHFFFAOYSA-N -1 1 313.354 1.364 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2ccc(C(=O)OC)nc2)c1C ZINC000438249381 529470022 /nfs/dbraw/zinc/47/00/22/529470022.db2.gz VPCVRLUZWZJBNV-UHFFFAOYSA-N -1 1 324.362 1.263 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)Nc1ccc[nH]c1=O ZINC000081619592 539167062 /nfs/dbraw/zinc/16/70/62/539167062.db2.gz JKZAAYSRUHWVKN-UHFFFAOYSA-N -1 1 302.334 1.316 20 0 DDADMM COc1ccc2nc(-c3cccc(OCCO)n3)[n-]c(=O)c2c1 ZINC000134853185 539192947 /nfs/dbraw/zinc/19/29/47/539192947.db2.gz CEFZJONQMCGLAF-UHFFFAOYSA-N -1 1 313.313 1.365 20 0 DDADMM Cn1c(CNC(=O)c2ccc([O-])cc2F)nnc1C1CCC1 ZINC000129320357 539184621 /nfs/dbraw/zinc/18/46/21/539184621.db2.gz ZKYOJKRRPDPPGU-UHFFFAOYSA-N -1 1 304.325 1.857 20 0 DDADMM CCc1ccc(C=CC(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)o1 ZINC000294402147 529581528 /nfs/dbraw/zinc/58/15/28/529581528.db2.gz VYQWXHAIHXRGJF-AEZGRPFRSA-N -1 1 316.361 1.673 20 0 DDADMM Cc1csc(CS(=O)(=O)c2nnc(C(C)(C)C)[n-]2)n1 ZINC000195173802 539263262 /nfs/dbraw/zinc/26/32/62/539263262.db2.gz QEELMEQMQILHOV-UHFFFAOYSA-N -1 1 300.409 1.841 20 0 DDADMM Cc1csc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)n1 ZINC000195173802 539263263 /nfs/dbraw/zinc/26/32/63/539263263.db2.gz QEELMEQMQILHOV-UHFFFAOYSA-N -1 1 300.409 1.841 20 0 DDADMM Cc1csc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)n1 ZINC000195173802 539263265 /nfs/dbraw/zinc/26/32/65/539263265.db2.gz QEELMEQMQILHOV-UHFFFAOYSA-N -1 1 300.409 1.841 20 0 DDADMM CC[C@H](O)CC[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330815122 529694495 /nfs/dbraw/zinc/69/44/95/529694495.db2.gz MBQKLKKKMAMXTR-ZETCQYMHSA-N -1 1 312.185 1.481 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000615221491 362201159 /nfs/dbraw/zinc/20/11/59/362201159.db2.gz LSNGKMSTKJCOMW-UHFFFAOYSA-N -1 1 300.318 1.906 20 0 DDADMM COc1ccc2c(c1)C[C@@H](C(=O)Nc1c(C)[n-][nH]c1=O)CO2 ZINC000615226839 362204557 /nfs/dbraw/zinc/20/45/57/362204557.db2.gz LPVOPUNQWHJHKQ-SNVBAGLBSA-N -1 1 303.318 1.622 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)NC[C@H](CC(C)C)C(=O)[O-])n[nH]1 ZINC000424427420 529744771 /nfs/dbraw/zinc/74/47/71/529744771.db2.gz VFIUFBFIGPQTMS-ZJUUUORDSA-N -1 1 311.386 1.474 20 0 DDADMM COc1cc(C(=O)[N-]c2nc[nH]n2)sc1Br ZINC000155620669 290698799 /nfs/dbraw/zinc/69/87/99/290698799.db2.gz QIRPSVDPSJKJQV-UHFFFAOYSA-N -1 1 303.141 1.890 20 0 DDADMM Cc1ccc(O)c(C(=O)Nc2c(C(N)=O)[nH]nc2C(C)C)c1 ZINC000457184404 232009365 /nfs/dbraw/zinc/00/93/65/232009365.db2.gz ZJFYYUXNMHXSMH-UHFFFAOYSA-N -1 1 302.334 1.898 20 0 DDADMM O=c1ccccn1CCc1nc(-c2ccc([O-])c(F)c2)no1 ZINC000350833792 539416835 /nfs/dbraw/zinc/41/68/35/539416835.db2.gz KPLBPPZDPWIBNV-UHFFFAOYSA-N -1 1 301.277 1.986 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2ccnc(C3CC3)n2)c1Br ZINC000616011062 362519880 /nfs/dbraw/zinc/51/98/80/362519880.db2.gz VCWJNLNIZRYGAL-UHFFFAOYSA-N -1 1 322.166 1.822 20 0 DDADMM CC(C)[C@@H](CCO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000228610036 201818608 /nfs/dbraw/zinc/81/86/08/201818608.db2.gz MTGCHANXWZKYKZ-SECBINFHSA-N -1 1 311.325 1.789 20 0 DDADMM CC(C)[C@@H]1C[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000358322588 299120435 /nfs/dbraw/zinc/12/04/35/299120435.db2.gz DEQQUWWJIALRRF-GWCFXTLKSA-N -1 1 318.377 1.607 20 0 DDADMM C[C@H](C[C@@H]1CCCO1)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358331081 299123003 /nfs/dbraw/zinc/12/30/03/299123003.db2.gz LJJHLGSSSRXROP-ZJUUUORDSA-N -1 1 304.350 1.361 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc(C(F)(F)F)cn2)n1 ZINC000447866022 539536751 /nfs/dbraw/zinc/53/67/51/539536751.db2.gz ZPHHHWKKNGIZKS-UHFFFAOYSA-N -1 1 322.268 1.270 20 0 DDADMM Cn1cnc(CCCNC(=O)c2ncc3ccccc3c2[O-])n1 ZINC000616464511 362711257 /nfs/dbraw/zinc/71/12/57/362711257.db2.gz ZQFBVRIULDJAIT-UHFFFAOYSA-N -1 1 311.345 1.432 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)COc1ccccc1)c1nn[n-]n1 ZINC000358381109 299137627 /nfs/dbraw/zinc/13/76/27/299137627.db2.gz HHISXCGAKHDTRD-NEPJUHHUSA-N -1 1 303.366 1.477 20 0 DDADMM O=c1cc(C[N@@H+]2CCC[C@@H]2[C@H](O)C(F)(F)F)nc(C2CC2)[nH]1 ZINC000459358978 233132821 /nfs/dbraw/zinc/13/28/21/233132821.db2.gz XQSHVXJXKNJCJZ-PWSUYJOCSA-N -1 1 317.311 1.947 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCOC3(CCOCC3)C2)c([O-])c1 ZINC000331385895 233261874 /nfs/dbraw/zinc/26/18/74/233261874.db2.gz HXTWHGAFHFDAPC-LBPRGKRZSA-N -1 1 306.362 1.554 20 0 DDADMM O=C(NC[C@@H]1CCN(c2ccccc2Cl)C1)c1cnn[nH]1 ZINC000617117229 362955436 /nfs/dbraw/zinc/95/54/36/362955436.db2.gz UZDCSRJXKLIXLH-JTQLQIEISA-N -1 1 305.769 1.714 20 0 DDADMM O=C(NCC1CC1)[C@@H]1CSCN1C(=O)c1ccc([O-])cc1F ZINC000181930147 186220460 /nfs/dbraw/zinc/22/04/60/186220460.db2.gz XRWOYGPCIXQHLC-ZDUSSCGKSA-N -1 1 324.377 1.573 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3c([O-])cccc3F)[C@@H]2C1 ZINC000579286068 422741034 /nfs/dbraw/zinc/74/10/34/422741034.db2.gz OOFRWMMGFACMBD-SCRDCRAPSA-N -1 1 320.364 1.908 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC(C)(C)C ZINC000042106559 352329799 /nfs/dbraw/zinc/32/97/99/352329799.db2.gz YRKPHFNCBVKPQJ-UHFFFAOYSA-N -1 1 300.362 1.598 20 0 DDADMM COc1cc(C(=O)Nc2ccc(C(N)=O)nc2)cc(Cl)c1[O-] ZINC000358555290 299196656 /nfs/dbraw/zinc/19/66/56/299196656.db2.gz IKHJQMNJKQPLAX-UHFFFAOYSA-N -1 1 321.720 1.800 20 0 DDADMM O=C(CC[C@H]1CCCCO1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000331935880 234297294 /nfs/dbraw/zinc/29/72/94/234297294.db2.gz QKLCNLWWHJOYMP-GFCCVEGCSA-N -1 1 309.366 1.831 20 0 DDADMM CC[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CCOCC1 ZINC000072732853 353213367 /nfs/dbraw/zinc/21/33/67/353213367.db2.gz WFRTYRLEDWRUOE-ZDUSSCGKSA-N -1 1 315.373 1.858 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2sc(C)nc2C)o1 ZINC000081850656 353695993 /nfs/dbraw/zinc/69/59/93/353695993.db2.gz CXNLXTZBENHFOB-UHFFFAOYSA-N -1 1 315.376 1.513 20 0 DDADMM CC[C@H](C)[C@@](C)(O)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081944276 353698879 /nfs/dbraw/zinc/69/88/79/353698879.db2.gz OPQATTHOOPXKFY-BTDLBPIBSA-N -1 1 323.437 1.903 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)CC1(OC)CCC1 ZINC000601299199 358485375 /nfs/dbraw/zinc/48/53/75/358485375.db2.gz KHVZIPPHDHDGKV-NSHDSACASA-N -1 1 307.412 1.063 20 0 DDADMM O=C(N=c1cc(-c2cccs2)[n-][nH]1)C1(C(=O)NC2CC2)CC1 ZINC000624045059 366165019 /nfs/dbraw/zinc/16/50/19/366165019.db2.gz RKXPVRMQUMHHSJ-UHFFFAOYSA-N -1 1 316.386 1.557 20 0 DDADMM C[C@@H]1C[C@H](O)CN(C(=O)c2ccc(Br)c([O-])c2)C1 ZINC000401551507 354664402 /nfs/dbraw/zinc/66/44/02/354664402.db2.gz SPOGBGGVQRXNKK-SCZZXKLOSA-N -1 1 314.179 1.998 20 0 DDADMM CN(CC(=O)N(C)C1CCCCC1)C(=O)c1ncccc1[O-] ZINC000586751400 354855575 /nfs/dbraw/zinc/85/55/75/354855575.db2.gz ZQUQHJLCPBGRGW-UHFFFAOYSA-N -1 1 305.378 1.650 20 0 DDADMM COCCCC[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000588679810 354928264 /nfs/dbraw/zinc/92/82/64/354928264.db2.gz SOIBWJWXBWZBGF-UHFFFAOYSA-N -1 1 309.334 1.678 20 0 DDADMM COC(=O)c1ncccc1S(=O)(=O)[N-][C@H]1CCCC1(F)F ZINC000590757487 355167734 /nfs/dbraw/zinc/16/77/34/355167734.db2.gz LNLISZMXAZPCTF-VIFPVBQESA-N -1 1 320.317 1.334 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2C[C@H](C)N(CC)C[C@H]2C)s1 ZINC000346392918 283082482 /nfs/dbraw/zinc/08/24/82/283082482.db2.gz NIHIABQXUPZCRC-WDEREUQCSA-N -1 1 311.455 1.859 20 0 DDADMM O=C(NCc1cccc(Cn2cccn2)c1)c1ncccc1[O-] ZINC000171266622 306683727 /nfs/dbraw/zinc/68/37/27/306683727.db2.gz QJTNVJZOFSIOKF-UHFFFAOYSA-N -1 1 308.341 1.962 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2ccc(N(C)C)nc2)c1 ZINC000591860418 355395969 /nfs/dbraw/zinc/39/59/69/355395969.db2.gz LTJDOVDWUUGXTQ-UHFFFAOYSA-N -1 1 307.375 1.957 20 0 DDADMM CC1(C(=O)[O-])CCN(CC(=O)NC(=O)Nc2ccccc2)CC1 ZINC000592399555 355576152 /nfs/dbraw/zinc/57/61/52/355576152.db2.gz VVBLMDAAOVPSFP-UHFFFAOYSA-N -1 1 319.361 1.521 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@H]2CCc3ncncc3C2)[n-]1 ZINC000592630969 355648516 /nfs/dbraw/zinc/64/85/16/355648516.db2.gz WDQXOAGVMOWIAY-VIFPVBQESA-N -1 1 315.333 1.120 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCc3ncncc3C2)n1 ZINC000592630969 355648521 /nfs/dbraw/zinc/64/85/21/355648521.db2.gz WDQXOAGVMOWIAY-VIFPVBQESA-N -1 1 315.333 1.120 20 0 DDADMM Cc1c([N-]S(=O)(=O)C[C@H]2CCCO2)cnn1CC(C)C ZINC000346512517 283113168 /nfs/dbraw/zinc/11/31/68/283113168.db2.gz VYHCOHFABBXABF-GFCCVEGCSA-N -1 1 301.412 1.768 20 0 DDADMM CC(C)[C@H](CNc1nc2[nH][n-]cc-2c(=O)n1)C(=O)OC(C)(C)C ZINC000594594814 356259060 /nfs/dbraw/zinc/25/90/60/356259060.db2.gz CHCARKMCHBJUCN-VIFPVBQESA-N -1 1 321.381 1.458 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)Cc1cc(F)ccc1Cl ZINC000594753778 356308593 /nfs/dbraw/zinc/30/85/93/356308593.db2.gz NGDQTSGUUDXRTE-UHFFFAOYSA-N -1 1 309.746 1.462 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](C)C(C)C ZINC000081043275 192218599 /nfs/dbraw/zinc/21/85/99/192218599.db2.gz BMWHRQYATLQUHM-SECBINFHSA-N -1 1 303.384 1.157 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC[C@H]2CCCO2)cc1C ZINC000595306160 356441735 /nfs/dbraw/zinc/44/17/35/356441735.db2.gz TYUQCECWWTUYLL-SNVBAGLBSA-N -1 1 317.363 1.222 20 0 DDADMM COCC[C@@H](C)[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595313214 356444619 /nfs/dbraw/zinc/44/46/19/356444619.db2.gz XXCVQVDORXLLKF-SECBINFHSA-N -1 1 305.352 1.078 20 0 DDADMM COC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000595396325 356477638 /nfs/dbraw/zinc/47/76/38/356477638.db2.gz DCMKVMWJXCLQKE-ZKCHVHJHSA-N -1 1 305.302 1.195 20 0 DDADMM CS[C@H](CO)[C@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000597393097 357161470 /nfs/dbraw/zinc/16/14/70/357161470.db2.gz HKFOKGVBZLNASB-JOYOIKCWSA-N -1 1 306.387 1.783 20 0 DDADMM COCC[C@H](NC(=O)c1ncc2ccccc2c1[O-])C(=O)OC ZINC000597672699 357277954 /nfs/dbraw/zinc/27/79/54/357277954.db2.gz HTNXAFFOWWMVGC-LBPRGKRZSA-N -1 1 318.329 1.248 20 0 DDADMM CC(C)(C)OC(=O)[C@@]1(NC(=O)c2cncc([O-])c2)CCOC1 ZINC000598156586 357476312 /nfs/dbraw/zinc/47/63/12/357476312.db2.gz FACWFXLNEAELCJ-OAHLLOKOSA-N -1 1 308.334 1.018 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2cncc([O-])c2)[C@H]2CCCC[C@@H]12 ZINC000598173310 357482910 /nfs/dbraw/zinc/48/29/10/357482910.db2.gz BQPVXMFEVNRDSG-ZNMIVQPWSA-N -1 1 318.373 1.981 20 0 DDADMM CCOC(=O)c1cc(=NC(=O)[C@@H]2C[C@H](C)Cc3cn[nH]c32)[nH][n-]1 ZINC000598942188 357767376 /nfs/dbraw/zinc/76/73/76/357767376.db2.gz NWUUVQFGWCXJRS-PSASIEDQSA-N -1 1 317.349 1.036 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]C[C@H]2C[C@H]2C)c1F ZINC000599215024 357852853 /nfs/dbraw/zinc/85/28/53/357852853.db2.gz ATCWTBDNTNHLCR-HTQZYQBOSA-N -1 1 319.329 1.686 20 0 DDADMM CCCC[N-]S(=O)(=O)c1cc(F)cc(C(=O)OC)c1F ZINC000599204387 357849499 /nfs/dbraw/zinc/84/94/99/357849499.db2.gz UREYOETXNCYYNG-UHFFFAOYSA-N -1 1 307.318 1.830 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2C[C@H]3CC[C@@H]2C3)co1 ZINC000179412404 199030967 /nfs/dbraw/zinc/03/09/67/199030967.db2.gz PPWFZYVLERNRFS-AXFHLTTASA-N -1 1 312.391 1.354 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2C[C@H]3CC[C@@H]2C3)co1 ZINC000179412413 199031055 /nfs/dbraw/zinc/03/10/55/199031055.db2.gz PPWFZYVLERNRFS-HBNTYKKESA-N -1 1 312.391 1.354 20 0 DDADMM COCCOCCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180436737 199159330 /nfs/dbraw/zinc/15/93/30/199159330.db2.gz POPLBHKYWGQUCQ-UHFFFAOYSA-N -1 1 307.268 1.804 20 0 DDADMM O=C(COC(c1ccccc1)c1ccccc1)NCc1nn[n-]n1 ZINC000600497120 358241102 /nfs/dbraw/zinc/24/11/02/358241102.db2.gz IVNWXZWLPYTKTG-UHFFFAOYSA-N -1 1 323.356 1.622 20 0 DDADMM CSCC[C@H](NC(N)=O)C(=O)Nc1cccc(F)c1[O-] ZINC000600443523 358222817 /nfs/dbraw/zinc/22/28/17/358222817.db2.gz AZHVARHUMAPMAF-VIFPVBQESA-N -1 1 301.343 1.260 20 0 DDADMM CC[C@](COC)([N-]S(=O)(=O)C1CCC(C)CC1)C(=O)OC ZINC000601433938 358551629 /nfs/dbraw/zinc/55/16/29/358551629.db2.gz BYIYABQWTRLGLO-ORHYLEIMSA-N -1 1 321.439 1.453 20 0 DDADMM C[C@@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC[S@]1=O ZINC000601534441 358591344 /nfs/dbraw/zinc/59/13/44/358591344.db2.gz UPCYUUKKAZNYBL-CFMSYZGJSA-N -1 1 315.822 1.958 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(SC)CC2)co1 ZINC000601693797 358656221 /nfs/dbraw/zinc/65/62/21/358656221.db2.gz OHPYQMNHBLFRKO-UHFFFAOYSA-N -1 1 318.420 1.155 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1coc(SC)c1 ZINC000602299172 358919771 /nfs/dbraw/zinc/91/97/71/358919771.db2.gz GFHCOWQQMAAXHG-UHFFFAOYSA-N -1 1 309.347 1.586 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2Cc3ccc(F)cc32)n[n-]1 ZINC000603016950 359359123 /nfs/dbraw/zinc/35/91/23/359359123.db2.gz NGDKKBJNQWSDRP-NSHDSACASA-N -1 1 318.308 1.077 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2Cc3ccc(F)cc32)n1 ZINC000603016950 359359126 /nfs/dbraw/zinc/35/91/26/359359126.db2.gz NGDKKBJNQWSDRP-NSHDSACASA-N -1 1 318.308 1.077 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2sccc2C2CC2)n[n-]1 ZINC000603018519 359359943 /nfs/dbraw/zinc/35/99/43/359359943.db2.gz SKSDBPTUWOORGW-UHFFFAOYSA-N -1 1 320.374 1.850 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2sccc2C2CC2)n1 ZINC000603018519 359359951 /nfs/dbraw/zinc/35/99/51/359359951.db2.gz SKSDBPTUWOORGW-UHFFFAOYSA-N -1 1 320.374 1.850 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2cc(C)ccc2F)n[n-]1 ZINC000603021218 359363508 /nfs/dbraw/zinc/36/35/08/359363508.db2.gz JBGIFFIDAAWQHO-UHFFFAOYSA-N -1 1 320.324 1.288 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2cc(C)ccc2F)n1 ZINC000603021218 359363514 /nfs/dbraw/zinc/36/35/14/359363514.db2.gz JBGIFFIDAAWQHO-UHFFFAOYSA-N -1 1 320.324 1.288 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1C(C)(C)C1(F)F)C1CC1 ZINC000603292795 359546483 /nfs/dbraw/zinc/54/64/83/359546483.db2.gz ACXVVIZSVWQHRH-IUCAKERBSA-N -1 1 311.350 1.149 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@]2(C)CCOC2)c(F)c1 ZINC000603505096 359651797 /nfs/dbraw/zinc/65/17/97/359651797.db2.gz QOAUJUVEVWLOGP-ZDUSSCGKSA-N -1 1 321.345 1.678 20 0 DDADMM COC(=O)[C@H](C)N(C)C(=O)c1cc(Br)ccc1[O-] ZINC000281095559 216076116 /nfs/dbraw/zinc/07/61/16/216076116.db2.gz DCKSMQYARLENJA-ZETCQYMHSA-N -1 1 316.151 1.788 20 0 DDADMM CC(=O)c1csc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000605532246 359867493 /nfs/dbraw/zinc/86/74/93/359867493.db2.gz TZRDIWBJTIULMZ-UHFFFAOYSA-N -1 1 305.363 1.663 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-][C@@H](C)c1nc(C)no1)C1CC1 ZINC000624943802 366629423 /nfs/dbraw/zinc/62/94/23/366629423.db2.gz UCBWMOWHCITJFS-GZMMTYOYSA-N -1 1 303.384 1.174 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC[C@H](C)CC2)o1 ZINC000188928719 200323377 /nfs/dbraw/zinc/32/33/77/200323377.db2.gz XIJJKICKMPNOLC-QWRGUYRKSA-N -1 1 314.407 1.886 20 0 DDADMM Cc1cn(-c2ccccc2)nc1[N-]S(=O)(=O)N1CCCC1 ZINC000607881357 360060079 /nfs/dbraw/zinc/06/00/79/360060079.db2.gz GRWJDDKXPQOHOV-UHFFFAOYSA-N -1 1 306.391 1.933 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)[C@H]1COC(C)(C)C1)c1ccco1 ZINC000625040758 366681277 /nfs/dbraw/zinc/68/12/77/366681277.db2.gz MTKYAIZQEIFHLL-GHMZBOCLSA-N -1 1 303.380 1.454 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)C2=C([O-])C(C)N=N2)c2ccccc21 ZINC000610987140 360590280 /nfs/dbraw/zinc/59/02/80/360590280.db2.gz NFQUXLQQZQCHTG-JTQLQIEISA-N -1 1 301.302 1.341 20 0 DDADMM O=C(NC[C@]1(O)CCSC1)c1ncc2ccccc2c1[O-] ZINC000611870561 360844758 /nfs/dbraw/zinc/84/47/58/360844758.db2.gz UTWBGJPHANXHCU-OAHLLOKOSA-N -1 1 304.371 1.538 20 0 DDADMM CC(C)(O)CC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000281392226 216268744 /nfs/dbraw/zinc/26/87/44/216268744.db2.gz BOEMSRGTADXJMP-UHFFFAOYSA-N -1 1 304.343 1.297 20 0 DDADMM CCc1nc([C@H](C)NC(=O)c2ccc3oc(=O)nc-3[n-]2)n[nH]1 ZINC000613121512 361277883 /nfs/dbraw/zinc/27/78/83/361277883.db2.gz CXQUCUVRPXJNLC-LURJTMIESA-N -1 1 302.294 1.100 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)C[C@H](C)OC)cc1Cl ZINC000193317723 201028757 /nfs/dbraw/zinc/02/87/57/201028757.db2.gz MANBRQZDFWPANH-QMMMGPOBSA-N -1 1 320.798 1.476 20 0 DDADMM Cn1c(CNC(=O)c2ncc3ccccc3c2[O-])nnc1C1CC1 ZINC000613286428 361368288 /nfs/dbraw/zinc/36/82/88/361368288.db2.gz YFFAZMUKNWCGAX-UHFFFAOYSA-N -1 1 323.356 1.876 20 0 DDADMM Cc1cn(C)[nH]c1=NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000620921358 364609781 /nfs/dbraw/zinc/60/97/81/364609781.db2.gz KPLGZLZFIJVRCF-UHFFFAOYSA-N -1 1 303.366 1.504 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)CC1=CCSC1 ZINC000620851995 364574688 /nfs/dbraw/zinc/57/46/88/364574688.db2.gz VGZXGXDLGVXJLN-UHFFFAOYSA-N -1 1 311.432 1.958 20 0 DDADMM O=C([O-])CC1(CNC(=O)c2[nH]nc3c2CCCC3)CCOCC1 ZINC000621594782 364927755 /nfs/dbraw/zinc/92/77/55/364927755.db2.gz MDSJGJUNKOVGPU-UHFFFAOYSA-N -1 1 321.377 1.290 20 0 DDADMM O=C([O-])[C@]12CN(C(=O)c3ccc4[nH]nnc4c3)C[C@H]1CCCC2 ZINC000621612486 364937255 /nfs/dbraw/zinc/93/72/55/364937255.db2.gz BBPFFJCHOQDWFN-BDJLRTHQSA-N -1 1 314.345 1.675 20 0 DDADMM CCn1ncc(Cl)c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000621928831 365119590 /nfs/dbraw/zinc/11/95/90/365119590.db2.gz STDMLPWPZPGJFJ-QMMMGPOBSA-N -1 1 309.761 1.089 20 0 DDADMM CC[C@@H](CSC)N(C)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000091149835 193005421 /nfs/dbraw/zinc/00/54/21/193005421.db2.gz MQTWJFYAPZVICL-VIFPVBQESA-N -1 1 320.436 1.401 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@@H](C)[C@@](C)(CO)C3)c[n-]c2[nH+]1 ZINC000622180949 365317583 /nfs/dbraw/zinc/31/75/83/365317583.db2.gz BESGTQHSOJWDIC-BMLIUANNSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H](C)[C@@](C)(CO)C3)cnc2n1 ZINC000622180949 365317587 /nfs/dbraw/zinc/31/75/87/365317587.db2.gz BESGTQHSOJWDIC-BMLIUANNSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](C)[C@H](CO)C3)cnc2n1 ZINC000622228843 365341814 /nfs/dbraw/zinc/34/18/14/365341814.db2.gz BKOMBOUIRPVWAL-JQWIXIFHSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](C)[C@H](CO)C3)c[n-]c2n1 ZINC000622228843 365341824 /nfs/dbraw/zinc/34/18/24/365341824.db2.gz BKOMBOUIRPVWAL-JQWIXIFHSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc(CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cn1 ZINC000622610558 365451538 /nfs/dbraw/zinc/45/15/38/365451538.db2.gz XSMGHUAWWHNBPK-OAHLLOKOSA-N -1 1 308.345 1.351 20 0 DDADMM O=C(Cc1ccc(F)cc1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622612554 365452670 /nfs/dbraw/zinc/45/26/70/365452670.db2.gz ZMQBUWRSTQLCCE-HNNXBMFYSA-N -1 1 311.320 1.787 20 0 DDADMM CC(C)(CCNC(=O)c1c(F)ccc([O-])c1F)S(C)(=O)=O ZINC000622706445 365496098 /nfs/dbraw/zinc/49/60/98/365496098.db2.gz HQOYFQKUASLBAP-UHFFFAOYSA-N -1 1 321.345 1.614 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC[C@H](O)[C@H](CO)C1 ZINC000622715500 365504530 /nfs/dbraw/zinc/50/45/30/365504530.db2.gz DZHSXVUGCSKHBW-KWQFWETISA-N -1 1 319.279 1.226 20 0 DDADMM O=C([O-])[C@@H]1COCCN1CCc1cccc2c1OC(F)(F)O2 ZINC000635015550 422768372 /nfs/dbraw/zinc/76/83/72/422768372.db2.gz FEGOOORXPFTGAP-JTQLQIEISA-N -1 1 315.272 1.336 20 0 DDADMM O=C(N[C@@H](CO)C[C@H](O)c1ccccc1)c1ncccc1[O-] ZINC000275318339 212269591 /nfs/dbraw/zinc/26/95/91/212269591.db2.gz KOTAOEWUVJNFBV-OCCSQVGLSA-N -1 1 302.330 1.002 20 0 DDADMM Cc1cc(C(=O)Nc2nnn[n-]2)c(C)n1C[C@@H]1CCCOC1 ZINC000625706602 367100659 /nfs/dbraw/zinc/10/06/59/367100659.db2.gz DLAMSSOLUXTBHM-NSHDSACASA-N -1 1 304.354 1.297 20 0 DDADMM Cc1cc(C(=O)Nc2nn[n-]n2)c(C)n1C[C@@H]1CCCOC1 ZINC000625706602 367100663 /nfs/dbraw/zinc/10/06/63/367100663.db2.gz DLAMSSOLUXTBHM-NSHDSACASA-N -1 1 304.354 1.297 20 0 DDADMM O=C([C@@H]1[C@@H]2Cc3ccccc3[C@@H]21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000626238208 367433313 /nfs/dbraw/zinc/43/33/13/367433313.db2.gz ZAFDNOHSWPCTFS-PMOUVXMZSA-N -1 1 309.373 1.492 20 0 DDADMM O=C(Nc1c([O-])cccc1F)c1ccnc(N2CCOCC2)n1 ZINC000626277756 367458268 /nfs/dbraw/zinc/45/82/68/367458268.db2.gz OVFDMGAESRQDFE-UHFFFAOYSA-N -1 1 318.308 1.410 20 0 DDADMM Cc1ccccc1C[C@H](C)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000626443647 367548295 /nfs/dbraw/zinc/54/82/95/367548295.db2.gz OZRQYWAVQKVCCI-STQMWFEESA-N -1 1 301.394 1.949 20 0 DDADMM C[C@H]1CN(C(=O)NCc2n[nH]c(C(C)(C)C)n2)CC[C@@H]1C(=O)[O-] ZINC000626764641 367732190 /nfs/dbraw/zinc/73/21/90/367732190.db2.gz KNQNIYHGRFTTIF-UWVGGRQHSA-N -1 1 323.397 1.354 20 0 DDADMM CC[C@@H](C)[C@@H]1CCCCN1S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349631598 283990278 /nfs/dbraw/zinc/99/02/78/283990278.db2.gz XGCNWIUHFWBMIN-KOLCDFICSA-N -1 1 301.412 1.985 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)c1cc[nH]c(=O)c1 ZINC000349709034 284025576 /nfs/dbraw/zinc/02/55/76/284025576.db2.gz CEUKLJUTHKLTFC-UHFFFAOYSA-N -1 1 313.317 1.082 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)c1csc(=O)[nH]1 ZINC000349826580 284068253 /nfs/dbraw/zinc/06/82/53/284068253.db2.gz DLKXLNIGONDUMF-UHFFFAOYSA-N -1 1 319.346 1.144 20 0 DDADMM CCN(C)S(=O)(=O)[N-]c1ccn(Cc2ccc(OC)cc2)n1 ZINC000275058599 212098841 /nfs/dbraw/zinc/09/88/41/212098841.db2.gz YKRZBPWPROCTCB-UHFFFAOYSA-N -1 1 324.406 1.548 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)C[C@@H]2CCCC(F)(F)C2)n1 ZINC000350183065 284165706 /nfs/dbraw/zinc/16/57/06/284165706.db2.gz DBOWCEKONUJPRF-MRVPVSSYSA-N -1 1 322.333 1.796 20 0 DDADMM C[C@@](NC(=O)c1cc(F)ccc1[O-])(C(N)=O)c1ccccc1 ZINC000094075113 193343888 /nfs/dbraw/zinc/34/38/88/193343888.db2.gz RLDZFVCRRZXUHT-INIZCTEOSA-N -1 1 302.305 1.662 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H](NC(=O)c1cncc([O-])c1)C1CC1 ZINC000265998392 205013071 /nfs/dbraw/zinc/01/30/71/205013071.db2.gz RATOPWWBEIYCIB-ZDUSSCGKSA-N -1 1 321.377 1.820 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)cc1F ZINC000282806274 217263861 /nfs/dbraw/zinc/26/38/61/217263861.db2.gz AWCNMAQBBUGRGU-NSHDSACASA-N -1 1 304.325 1.565 20 0 DDADMM CO[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000266243696 205211034 /nfs/dbraw/zinc/21/10/34/205211034.db2.gz WLSKXYGRARLNSZ-RKDXNWHRSA-N -1 1 307.803 1.309 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1)c1cccs1 ZINC000282811881 217267109 /nfs/dbraw/zinc/26/71/09/217267109.db2.gz BIKKKPSSXHRVIH-VHSXEESVSA-N -1 1 306.391 1.669 20 0 DDADMM C[C@H]1[C@@H](NC(=O)OC(C)(C)C)CCN1C(=O)c1cncc([O-])c1 ZINC000288443278 220143585 /nfs/dbraw/zinc/14/35/85/220143585.db2.gz VRPUTMHBUBMWKY-GWCFXTLKSA-N -1 1 321.377 1.915 20 0 DDADMM O=C(NC[C@@H](CO)c1ccccc1)c1nc2ccccc2c(=O)[n-]1 ZINC000175184333 248396501 /nfs/dbraw/zinc/39/65/01/248396501.db2.gz OLQQSKQGFWSTMY-ZDUSSCGKSA-N -1 1 323.352 1.429 20 0 DDADMM O=S1(=O)CCC[C@H]1CN=c1nc(C2CCCCC2)[n-]s1 ZINC000333637719 249051732 /nfs/dbraw/zinc/05/17/32/249051732.db2.gz HQWJCHIPAIFZSQ-NSHDSACASA-N -1 1 315.464 1.997 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc(C)cc(Cl)c1 ZINC000337163909 249371877 /nfs/dbraw/zinc/37/18/77/249371877.db2.gz WJEGEUBGLJFHIN-UHFFFAOYSA-N -1 1 306.753 1.714 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000274110850 211365100 /nfs/dbraw/zinc/36/51/00/211365100.db2.gz QUTIMGZVVBUXIO-SNVBAGLBSA-N -1 1 301.339 1.181 20 0 DDADMM CC1CCN(CC[N-]S(=O)(=O)c2sccc2F)CC1 ZINC000338863848 250169135 /nfs/dbraw/zinc/16/91/35/250169135.db2.gz MPYULPRFUVUCQX-UHFFFAOYSA-N -1 1 306.428 1.897 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2cnc3onc(C)c3c2)n1 ZINC000338902319 250190384 /nfs/dbraw/zinc/19/03/84/250190384.db2.gz IBHQSXKHDCHSQR-SSDOTTSWSA-N -1 1 323.334 1.262 20 0 DDADMM CC[C@]([N-]S(=O)(=O)c1sccc1F)(C(N)=O)C(C)C ZINC000338950369 250215054 /nfs/dbraw/zinc/21/50/54/250215054.db2.gz WQGCCIHTMODFJO-LLVKDONJSA-N -1 1 308.400 1.456 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCC2(OC)CCC2)o1 ZINC000339007067 250244928 /nfs/dbraw/zinc/24/49/28/250244928.db2.gz KOKYBQRZECPGOP-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM CC(=O)c1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n(C)c1 ZINC000339199642 250339957 /nfs/dbraw/zinc/33/99/57/250339957.db2.gz BIDSHUBCQSMYJK-SNVBAGLBSA-N -1 1 318.333 1.336 20 0 DDADMM CCCNC(=O)CCCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000339202749 250340753 /nfs/dbraw/zinc/34/07/53/250340753.db2.gz ZCVDUOXBTJCKPD-NSHDSACASA-N -1 1 324.381 1.178 20 0 DDADMM CC[C@@H](SC)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000359684179 299513676 /nfs/dbraw/zinc/51/36/76/299513676.db2.gz WOYXGQUFMLFRGH-LLVKDONJSA-N -1 1 318.420 1.380 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCc1ccccc1 ZINC000352133661 284811950 /nfs/dbraw/zinc/81/19/50/284811950.db2.gz DOSMXANIFJBITJ-UHFFFAOYSA-N -1 1 303.318 1.259 20 0 DDADMM CC[C@@H](CCO)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000340530411 251100325 /nfs/dbraw/zinc/10/03/25/251100325.db2.gz XPUHLXRNYHRKQG-JTQLQIEISA-N -1 1 313.423 1.422 20 0 DDADMM CC1(C)NC(=O)N(CCCC(=O)Nc2ccc(F)cc2[O-])C1=O ZINC000268988302 207146566 /nfs/dbraw/zinc/14/65/66/207146566.db2.gz DEUBRSUJCNHBBN-UHFFFAOYSA-N -1 1 323.324 1.580 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CC(F)F)C(C)C)o1 ZINC000269089931 207232084 /nfs/dbraw/zinc/23/20/84/207232084.db2.gz KTGHZZHYKULZOE-UHFFFAOYSA-N -1 1 310.322 1.303 20 0 DDADMM CN(C(=O)CS(=O)(=O)c1ccc([O-])cc1)c1ccccc1 ZINC000063927276 184290380 /nfs/dbraw/zinc/29/03/80/184290380.db2.gz BDKVZZWEFGHLMW-UHFFFAOYSA-N -1 1 305.355 1.829 20 0 DDADMM Cc1cc(CC[N-]S(=O)(=O)c2ccc(F)c(F)c2F)on1 ZINC000352499231 285092821 /nfs/dbraw/zinc/09/28/21/285092821.db2.gz JPCVUTKBZOTPGJ-UHFFFAOYSA-N -1 1 320.292 1.921 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccc(F)cc2)C1)c1cncc([O-])c1 ZINC000412953702 224112244 /nfs/dbraw/zinc/11/22/44/224112244.db2.gz RCTBSDRHBIZMRC-CYBMUJFWSA-N -1 1 301.321 1.935 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1ccc2c(c1)CCC2=O ZINC000451082645 533091617 /nfs/dbraw/zinc/09/16/17/533091617.db2.gz UYGBFMAAQGTUSL-UHFFFAOYSA-N -1 1 313.375 1.220 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCC[C@H](C)[C@H]2C)co1 ZINC000158207621 197253556 /nfs/dbraw/zinc/25/35/56/197253556.db2.gz MKLBEPYRMYDFNR-UMNHJUIQSA-N -1 1 314.407 1.742 20 0 DDADMM CCCNC(=O)OC[C@H]1CCCCN1C(=O)c1cncc([O-])c1 ZINC000288802506 220429951 /nfs/dbraw/zinc/42/99/51/220429951.db2.gz TYOFEDAVRWWAJO-CYBMUJFWSA-N -1 1 321.377 1.918 20 0 DDADMM CCNC(=O)c1ccc(=NCC2([C@H]3CCCCO3)CCC2)[n-]n1 ZINC000631510854 422807036 /nfs/dbraw/zinc/80/70/36/422807036.db2.gz NRZIJGSIMSPKNA-CQSZACIVSA-N -1 1 318.421 1.800 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H](C2CC2)C(C)(C)CO)c1Cl ZINC000289628806 221112902 /nfs/dbraw/zinc/11/29/02/221112902.db2.gz UATINLPEMQIEEL-VIFPVBQESA-N -1 1 321.830 1.149 20 0 DDADMM Cc1csc([C@@H](C)C[N-]S(=O)(=O)c2c(C)onc2N)n1 ZINC000289740023 221193352 /nfs/dbraw/zinc/19/33/52/221193352.db2.gz FUIYKKHTTANPLU-LURJTMIESA-N -1 1 316.408 1.412 20 0 DDADMM CC1(C)CCN(CC(=O)Nc2cccc(-c3n[nH]c(=O)[n-]3)c2)C1 ZINC000568218949 304278111 /nfs/dbraw/zinc/27/81/11/304278111.db2.gz FRYAZLATHNSYHM-UHFFFAOYSA-N -1 1 315.377 1.848 20 0 DDADMM COc1cc(C(=O)NC2(C(=O)N(C)C)CC2)cc(Cl)c1[O-] ZINC000413932288 224277439 /nfs/dbraw/zinc/27/74/39/224277439.db2.gz ULPCVCGODRAAEZ-UHFFFAOYSA-N -1 1 312.753 1.405 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCCc2sccc21 ZINC000289897540 221301724 /nfs/dbraw/zinc/30/17/24/221301724.db2.gz PNVSEDDRRBZHSJ-SECBINFHSA-N -1 1 313.404 1.983 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(OC)cc(OC)c1Cl ZINC000414396374 224332288 /nfs/dbraw/zinc/33/22/88/224332288.db2.gz OQNYNMQHTDYQKA-UHFFFAOYSA-N -1 1 309.771 1.745 20 0 DDADMM C[C@@]1(NC(=O)c2cc3ccccc3cc2[O-])CCS(=O)(=O)C1 ZINC000121641777 195322540 /nfs/dbraw/zinc/32/25/40/195322540.db2.gz FRXSZLASOFNIGF-MRXNPFEDSA-N -1 1 319.382 1.852 20 0 DDADMM CS(=O)(=O)C1CN(C(=O)c2cc3ccccc3cc2[O-])C1 ZINC000456951292 533319482 /nfs/dbraw/zinc/31/94/82/533319482.db2.gz JSTLZGJQPCEDOX-UHFFFAOYSA-N -1 1 305.355 1.414 20 0 DDADMM C[C@@H](CC(=O)[O-])NS(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000417416094 533452591 /nfs/dbraw/zinc/45/25/91/533452591.db2.gz HOPWCLOIABHCJN-YFKPBYRVSA-N -1 1 313.709 1.760 20 0 DDADMM COC(=O)c1[n-]c(=NC[C@@H](O)COCC(C)C)sc1C ZINC000434080276 533645050 /nfs/dbraw/zinc/64/50/50/533645050.db2.gz WWNMQMYKOGLPNB-SNVBAGLBSA-N -1 1 302.396 1.105 20 0 DDADMM Cc1noc([C@@H]2OCC[C@@H]2NC(=O)c2ccc(Cl)cc2[O-])n1 ZINC000629793400 422815647 /nfs/dbraw/zinc/81/56/47/422815647.db2.gz LSFODARDQOGJIC-CMPLNLGQSA-N -1 1 323.736 1.997 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3C[C@@H]4OCCC[C@@H]34)cnc2n1 ZINC000249198674 292305616 /nfs/dbraw/zinc/30/56/16/292305616.db2.gz QNROFIMGCUBHEF-GDLCADMTSA-N -1 1 313.357 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1C[C@@H]3OCCC[C@@H]13)c2=O ZINC000249198674 292305618 /nfs/dbraw/zinc/30/56/18/292305618.db2.gz QNROFIMGCUBHEF-GDLCADMTSA-N -1 1 313.357 1.941 20 0 DDADMM Cc1csc([C@@H](NC(=O)CCc2nn[n-]n2)C(F)(F)F)n1 ZINC000633257283 422829440 /nfs/dbraw/zinc/82/94/40/422829440.db2.gz DWAJPYFQXGOJAA-MRVPVSSYSA-N -1 1 320.300 1.317 20 0 DDADMM COc1ccc(CN(C(=O)CCCc2nn[n-]n2)C2CC2)cc1 ZINC000635137678 422834431 /nfs/dbraw/zinc/83/44/31/422834431.db2.gz QJFGVJAMJRXQCS-UHFFFAOYSA-N -1 1 315.377 1.722 20 0 DDADMM C[C@@H]1CCCC[C@@H]1NC(=O)CS(=O)(=O)c1ccc([O-])cc1 ZINC000069163425 406686287 /nfs/dbraw/zinc/68/62/87/406686287.db2.gz CDXWGPOYVCWLKZ-RISCZKNCSA-N -1 1 311.403 1.861 20 0 DDADMM O=C(COc1ccc(F)cc1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000029388441 406923885 /nfs/dbraw/zinc/92/38/85/406923885.db2.gz AUIFIGGTVSJMEK-UHFFFAOYSA-N -1 1 304.203 1.980 20 0 DDADMM O=C(NCCCN1CCOCC1)c1ccc2ccccc2c1[O-] ZINC000031392560 406939288 /nfs/dbraw/zinc/93/92/88/406939288.db2.gz JJEAHXPPYZKVHZ-UHFFFAOYSA-N -1 1 314.385 1.998 20 0 DDADMM CC(C)OC(=O)CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000048666839 407104194 /nfs/dbraw/zinc/10/41/94/407104194.db2.gz VQVSCRYBMLFQPB-UHFFFAOYSA-N -1 1 307.318 1.585 20 0 DDADMM Cc1c(Cl)cccc1NC(=O)CS(=O)(=O)c1ncn[n-]1 ZINC000086169759 407109726 /nfs/dbraw/zinc/10/97/26/407109726.db2.gz QXBYXIQUFRFNRJ-UHFFFAOYSA-N -1 1 314.754 1.179 20 0 DDADMM Cc1c(Cl)cccc1NC(=O)CS(=O)(=O)c1nc[n-]n1 ZINC000086169759 407109728 /nfs/dbraw/zinc/10/97/28/407109728.db2.gz QXBYXIQUFRFNRJ-UHFFFAOYSA-N -1 1 314.754 1.179 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(CC)(CC)CC ZINC000086642573 407113865 /nfs/dbraw/zinc/11/38/65/407113865.db2.gz IKOOLHNURAQGSL-UHFFFAOYSA-N -1 1 317.411 1.834 20 0 DDADMM Cc1cnccc1[N-]S(=O)(=O)c1cc(C(=O)N(C)C)n(C)c1 ZINC000089266309 407128520 /nfs/dbraw/zinc/12/85/20/407128520.db2.gz SRODZOAURWIUBG-UHFFFAOYSA-N -1 1 322.390 1.231 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1ccc2[nH]nnc2c1)Cc1ccccc1 ZINC000123181999 407321774 /nfs/dbraw/zinc/32/17/74/407321774.db2.gz IMSOEJFWSYOYQA-ZDUSSCGKSA-N -1 1 324.340 1.631 20 0 DDADMM CC(C)N1C(=S)N=NC1CNC(=O)c1c([O-])cccc1F ZINC000123828829 407340042 /nfs/dbraw/zinc/34/00/42/407340042.db2.gz VSZLBXMYLPYARZ-UHFFFAOYSA-N -1 1 310.354 1.922 20 0 DDADMM CN(C)C(=O)CN(C)C(=O)c1cc(Br)ccc1[O-] ZINC000124960696 407374541 /nfs/dbraw/zinc/37/45/41/407374541.db2.gz VZDBBRSAQMSTBR-UHFFFAOYSA-N -1 1 315.167 1.315 20 0 DDADMM CCCN(CC(F)F)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000125404537 407387571 /nfs/dbraw/zinc/38/75/71/407387571.db2.gz ICQNGGBXBKYRDI-UHFFFAOYSA-N -1 1 310.322 1.305 20 0 DDADMM CCOCCN(CC)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000107775099 407379683 /nfs/dbraw/zinc/37/96/83/407379683.db2.gz HOBVNCFXZINKCG-UHFFFAOYSA-N -1 1 320.393 1.067 20 0 DDADMM CCOC(=O)Cc1csc(NC(=O)c2cncc([O-])c2)n1 ZINC000111686327 407414450 /nfs/dbraw/zinc/41/44/50/407414450.db2.gz VFHBPYXMNINDKQ-UHFFFAOYSA-N -1 1 307.331 1.602 20 0 DDADMM CC(C)c1nc2c(n1C)CCN(C(=O)c1ncccc1[O-])C2 ZINC000112725556 407437782 /nfs/dbraw/zinc/43/77/82/407437782.db2.gz RPZLDTWIUXPIQM-UHFFFAOYSA-N -1 1 300.362 1.843 20 0 DDADMM COC[C@@H](C)CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000195490159 407460458 /nfs/dbraw/zinc/46/04/58/407460458.db2.gz SBVKRYVVCHJENJ-JTQLQIEISA-N -1 1 320.393 1.383 20 0 DDADMM CCOC(=O)CN(Cc1ccccc1)C(=O)c1cncc([O-])c1 ZINC000151888186 407520824 /nfs/dbraw/zinc/52/08/24/407520824.db2.gz WMFXAJQGIFPLSQ-UHFFFAOYSA-N -1 1 314.341 1.993 20 0 DDADMM CC(C)C[C@@H](CO)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000186646946 407729758 /nfs/dbraw/zinc/72/97/58/407729758.db2.gz KAMROMFDDWEFSK-JTQLQIEISA-N -1 1 306.366 1.153 20 0 DDADMM CC(C)CC(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000130534121 407694802 /nfs/dbraw/zinc/69/48/02/407694802.db2.gz MRQOAIKBQFQBEX-UHFFFAOYSA-N -1 1 312.391 1.818 20 0 DDADMM CC(C)(C)OC(=O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000115588286 407697185 /nfs/dbraw/zinc/69/71/85/407697185.db2.gz KPCYDBLMDDTPOT-UHFFFAOYSA-N -1 1 307.318 1.585 20 0 DDADMM CC(C)c1nnc(NC(=O)c2cnc3n(C)[n-]cc-3c2=O)s1 ZINC000179445809 407788783 /nfs/dbraw/zinc/78/87/83/407788783.db2.gz YRXMPMPMVDNZFX-UHFFFAOYSA-N -1 1 318.362 1.901 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1ccc(Cl)cc1F ZINC000133405060 407841328 /nfs/dbraw/zinc/84/13/28/407841328.db2.gz BJTINNDKJIYFII-UHFFFAOYSA-N -1 1 311.762 1.884 20 0 DDADMM CCN(C(=O)c1cc2ccccc2cc1[O-])[C@H]1CC(=O)NC1=O ZINC000179495465 407796864 /nfs/dbraw/zinc/79/68/64/407796864.db2.gz OVVJDXBVCQHEFA-ZDUSSCGKSA-N -1 1 312.325 1.423 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)Cc2ccsc2)c1 ZINC000179540676 407804809 /nfs/dbraw/zinc/80/48/09/407804809.db2.gz ZRVRMXFEELXZAS-UHFFFAOYSA-N -1 1 312.372 1.282 20 0 DDADMM C[C@H](CC(=O)NN1CC(=O)[N-]C1=O)C(=O)c1ccc(Cl)cc1 ZINC000272242735 407830620 /nfs/dbraw/zinc/83/06/20/407830620.db2.gz NTGXHTWYPPHPOL-MRVPVSSYSA-N -1 1 323.736 1.132 20 0 DDADMM COC(=O)c1cccc(S(=O)(=O)[N-]c2ncccc2F)c1 ZINC000180263712 407873691 /nfs/dbraw/zinc/87/36/91/407873691.db2.gz CYAZOVZSGFTRKC-UHFFFAOYSA-N -1 1 310.306 1.808 20 0 DDADMM C[C@H](C(=O)Nc1ccc2c(c1)OCCO2)N1CC[C@H](C(=O)[O-])C1 ZINC000262685403 407900189 /nfs/dbraw/zinc/90/01/89/407900189.db2.gz XSGZWHICOQAWEU-MNOVXSKESA-N -1 1 320.345 1.191 20 0 DDADMM COC[C@](C)(NC(=O)c1cc2ccccc2cc1[O-])C(=O)OC ZINC000268230354 407958323 /nfs/dbraw/zinc/95/83/23/407958323.db2.gz KZLLUJFAYRNLJO-KRWDZBQOSA-N -1 1 317.341 1.853 20 0 DDADMM O=C(NCCNC(=O)c1cc2ccccc2cc1[O-])c1ccn[nH]1 ZINC000180953426 407936367 /nfs/dbraw/zinc/93/63/67/407936367.db2.gz NKKMEPPNNKEZGW-UHFFFAOYSA-N -1 1 324.340 1.428 20 0 DDADMM Cc1c([C@@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)cnn1C ZINC000181775884 408037184 /nfs/dbraw/zinc/03/71/84/408037184.db2.gz VWXCZZIMQTYQRQ-MRVPVSSYSA-N -1 1 314.349 1.207 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@H](C)N1CCC[C@H](C(=O)[O-])C1 ZINC000263148583 408040863 /nfs/dbraw/zinc/04/08/63/408040863.db2.gz LGGRTLVYOYWGBU-RYUDHWBXSA-N -1 1 308.382 1.588 20 0 DDADMM CC(C)CO[C@H]1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000119346607 408046988 /nfs/dbraw/zinc/04/69/88/408046988.db2.gz OCRAUFLHJBVJEG-NSHDSACASA-N -1 1 318.377 1.561 20 0 DDADMM Cc1c(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)cnn1C ZINC000119350360 408049343 /nfs/dbraw/zinc/04/93/43/408049343.db2.gz VLCDFPCYVFUTCX-UHFFFAOYSA-N -1 1 317.393 1.348 20 0 DDADMM Cc1ccc2c(c1)[C@H](NC(=O)c1c[n-]c3c(cnn3C)c1=O)CO2 ZINC000119361702 408052006 /nfs/dbraw/zinc/05/20/06/408052006.db2.gz BTWITVKASXEWGT-CYBMUJFWSA-N -1 1 324.340 1.846 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C)nc2c1cnn2C)c1nn[n-]n1 ZINC000136740128 408121669 /nfs/dbraw/zinc/12/16/69/408121669.db2.gz LKBKRZGBYOASAN-LLVKDONJSA-N -1 1 314.353 1.061 20 0 DDADMM C[C@]1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCCC[C@H]1O ZINC000175423802 408127024 /nfs/dbraw/zinc/12/70/24/408127024.db2.gz VFWXNARVNOERBA-CXAGYDPISA-N -1 1 315.373 1.594 20 0 DDADMM CN(CCC(=O)[O-])[C@@H]1CCN(c2ccc(Cl)c(F)c2)C1=O ZINC000263574011 408181219 /nfs/dbraw/zinc/18/12/19/408181219.db2.gz NEPUGRHEYAOTAK-GFCCVEGCSA-N -1 1 314.744 1.991 20 0 DDADMM C[C@@H](CN(C)C(=O)COc1cccc(Cl)c1)c1nn[n-]n1 ZINC000273573049 408251282 /nfs/dbraw/zinc/25/12/82/408251282.db2.gz ZUJOGNFQUZQCRF-VIFPVBQESA-N -1 1 309.757 1.494 20 0 DDADMM CCC[C@@](C)([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC ZINC000263681760 408203698 /nfs/dbraw/zinc/20/36/98/408203698.db2.gz JHOVDIMURIDLQJ-CYBMUJFWSA-N -1 1 321.345 1.975 20 0 DDADMM O=C(COCC1CC1)Nc1nc(Br)ccc1[O-] ZINC000121526415 408231990 /nfs/dbraw/zinc/23/19/90/408231990.db2.gz ZHBWECLYMMDSGA-UHFFFAOYSA-N -1 1 301.140 1.915 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1sccc1-n1cccc1 ZINC000176265321 408326016 /nfs/dbraw/zinc/32/60/16/408326016.db2.gz MKZJTAUMEIUELY-UHFFFAOYSA-N -1 1 317.374 1.313 20 0 DDADMM O=C(NC[C@H]1CCCN(c2ncccn2)C1)c1ncccc1[O-] ZINC000132172797 162030846 /nfs/dbraw/zinc/03/08/46/162030846.db2.gz JQBIZAZSPKOQBA-GFCCVEGCSA-N -1 1 313.361 1.224 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1Cc2cc(F)ccc2O1)c1nn[n-]n1 ZINC000136661829 162120993 /nfs/dbraw/zinc/12/09/93/162120993.db2.gz APRBEHHATZCNGP-PWSUYJOCSA-N -1 1 305.313 1.300 20 0 DDADMM Cn1cc([N-]S(=O)(=O)c2cccc(F)c2F)ccc1=O ZINC000158674043 408355174 /nfs/dbraw/zinc/35/51/74/408355174.db2.gz HGCDHENIEDZQOH-UHFFFAOYSA-N -1 1 300.286 1.464 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2CC(C)(C)OC2(C)C)c1Cl ZINC000183314204 408377513 /nfs/dbraw/zinc/37/75/13/408377513.db2.gz BECYSDYJBZKIKT-QMMMGPOBSA-N -1 1 321.830 1.698 20 0 DDADMM CCN(CC)c1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183408608 408403845 /nfs/dbraw/zinc/40/38/45/408403845.db2.gz MXCVTLYZMPZURE-LBPRGKRZSA-N -1 1 316.409 1.922 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CCOCC1)c1cccc(F)c1F ZINC000269723160 408406092 /nfs/dbraw/zinc/40/60/92/408406092.db2.gz BOBLWIRIXJVGLK-NSHDSACASA-N -1 1 321.345 1.031 20 0 DDADMM C[C@@H](CN(C)C(=O)C1C2CC3CC(C2)CC1C3)c1nn[n-]n1 ZINC000183427359 408408303 /nfs/dbraw/zinc/40/83/03/408408303.db2.gz CEGWHSSPTUKZTK-FTPDJBBVSA-N -1 1 303.410 1.834 20 0 DDADMM CC[C@H](C)[C@H](O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000269740485 408411460 /nfs/dbraw/zinc/41/14/60/408411460.db2.gz DVSINDIOMUDVOM-WRWORJQWSA-N -1 1 311.325 1.789 20 0 DDADMM Cc1ccc(C)c(OCCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183447174 408414057 /nfs/dbraw/zinc/41/40/57/408414057.db2.gz BVHVTMNUYXWECB-ZDUSSCGKSA-N -1 1 317.393 1.848 20 0 DDADMM COc1cccc([C@H](C)CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183454474 408415892 /nfs/dbraw/zinc/41/58/92/408415892.db2.gz XCUKRADXAJRSJV-VXGBXAGGSA-N -1 1 317.393 1.964 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1C[C@@H]1c1cccc(F)c1F)c1nn[n-]n1 ZINC000183453340 408416072 /nfs/dbraw/zinc/41/60/72/408416072.db2.gz QPKFIGPPEXGBJM-IEBDPFPHSA-N -1 1 321.331 1.844 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CCOCC1)c1cc(F)ccc1F ZINC000269789949 408428759 /nfs/dbraw/zinc/42/87/59/408428759.db2.gz QMVGVMCDQWTIDS-GFCCVEGCSA-N -1 1 321.345 1.031 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)Oc1cccc(C)c1)c1nn[n-]n1 ZINC000176752110 408431171 /nfs/dbraw/zinc/43/11/71/408431171.db2.gz YQNYDHZSGLLKKG-YPMHNXCESA-N -1 1 303.366 1.933 20 0 DDADMM CCCc1noc(CCCC(=O)N[C@@H](CCC)c2nn[n-]n2)n1 ZINC000176761724 408433880 /nfs/dbraw/zinc/43/38/80/408433880.db2.gz FKOTXINCGKKILY-JTQLQIEISA-N -1 1 321.385 1.516 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccc(C)cc1C)c1nn[n-]n1 ZINC000176759333 408436263 /nfs/dbraw/zinc/43/62/63/408436263.db2.gz MSLNBMYLIOSZPH-GFCCVEGCSA-N -1 1 303.366 1.853 20 0 DDADMM CCc1nc([C@H]2CN(C(=O)c3c([O-])cccc3F)CCO2)n[nH]1 ZINC000274717089 408506376 /nfs/dbraw/zinc/50/63/76/408506376.db2.gz WVUANGVVWZOVKT-LLVKDONJSA-N -1 1 320.324 1.426 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@@]12CCc1ccccc12)c1nn[n-]n1 ZINC000274756703 408519521 /nfs/dbraw/zinc/51/95/21/408519521.db2.gz ISDIUHKLWOJMJM-HYSWKAIVSA-N -1 1 311.389 1.666 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2)o1 ZINC000274752391 408520941 /nfs/dbraw/zinc/52/09/41/408520941.db2.gz XHRHLPMUEOQGEU-UHFFFAOYSA-N -1 1 302.302 1.994 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H]1CNC(=O)c1cncc([O-])c1 ZINC000264914003 408549690 /nfs/dbraw/zinc/54/96/90/408549690.db2.gz IGMLQWAMQPIYJW-GFCCVEGCSA-N -1 1 321.377 1.917 20 0 DDADMM COC(=O)[C@@]1(C)CCN(C(=O)c2c([O-])cnc3ccccc32)C1 ZINC000177392542 162591788 /nfs/dbraw/zinc/59/17/88/162591788.db2.gz JIUHIVBEFOHNKV-KRWDZBQOSA-N -1 1 314.341 1.966 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)c2ccccn2)o1 ZINC000177327078 408579922 /nfs/dbraw/zinc/57/99/22/408579922.db2.gz RDEJPEZAVBNOEN-SNVBAGLBSA-N -1 1 324.358 1.891 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)c2ccn(C)n2)c(=O)[n-]1 ZINC000274793336 408533379 /nfs/dbraw/zinc/53/33/79/408533379.db2.gz NNOWNSNRYVDEOK-UHFFFAOYSA-N -1 1 321.406 1.542 20 0 DDADMM CC(C)NC(=O)CSc1nc([O-])cc(=O)n1C1CCCC1 ZINC000193038171 408687739 /nfs/dbraw/zinc/68/77/39/408687739.db2.gz FGOLWAQOPJMGMZ-UHFFFAOYSA-N -1 1 311.407 1.681 20 0 DDADMM CC(C)NC(=O)CSc1nc(=O)cc([O-])n1C1CCCC1 ZINC000193038171 408687741 /nfs/dbraw/zinc/68/77/41/408687741.db2.gz FGOLWAQOPJMGMZ-UHFFFAOYSA-N -1 1 311.407 1.681 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cc(F)c(F)cc1F ZINC000164758936 408804884 /nfs/dbraw/zinc/80/48/84/408804884.db2.gz ZQRFKNXFKRHYIE-UHFFFAOYSA-N -1 1 313.297 1.509 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)NCc1cccc(OC)c1)C(=O)[O-] ZINC000185047530 408744620 /nfs/dbraw/zinc/74/46/20/408744620.db2.gz LDSFWTADGKPMTO-RISCZKNCSA-N -1 1 308.378 1.543 20 0 DDADMM CCC[C@@H](NCC(=O)N(C)Cc1cccc(OC)c1)C(=O)[O-] ZINC000185050384 408746577 /nfs/dbraw/zinc/74/65/77/408746577.db2.gz XACKVGFSEPJXMG-CQSZACIVSA-N -1 1 308.378 1.497 20 0 DDADMM O=S(=O)([N-]CCCOC(F)F)c1cccc(F)c1F ZINC000193969375 408755712 /nfs/dbraw/zinc/75/57/12/408755712.db2.gz NYIWXAIOUGLTRH-UHFFFAOYSA-N -1 1 301.261 1.872 20 0 DDADMM Cc1cc(S(=O)(=O)N[C@@H](C)CN(C)C)cc(C(=O)[O-])c1F ZINC000168645985 408818919 /nfs/dbraw/zinc/81/89/19/408818919.db2.gz OMUFCMMRQIEZLY-VIFPVBQESA-N -1 1 318.370 1.061 20 0 DDADMM C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)N1CCCC[C@@H]1C ZINC000280777794 408832487 /nfs/dbraw/zinc/83/24/87/408832487.db2.gz NALVCEGSIMXQJF-RYUDHWBXSA-N -1 1 318.373 1.481 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2ncc(C(F)(F)F)[nH]2)cn1 ZINC000290925297 408852843 /nfs/dbraw/zinc/85/28/43/408852843.db2.gz IVQUOBGYHABOAI-UHFFFAOYSA-N -1 1 309.273 1.446 20 0 DDADMM O=c1nc([C@@H]2CCCN(c3nc4cc(F)ccc4o3)C2)[nH][n-]1 ZINC000285829954 408871863 /nfs/dbraw/zinc/87/18/63/408871863.db2.gz ZWXRCBHOAOJBRZ-MRVPVSSYSA-N -1 1 303.297 1.762 20 0 DDADMM CC(C)(C)[C@@H](O)C[C@H](CO)NC(=O)c1c(F)ccc([O-])c1F ZINC000285964048 408898460 /nfs/dbraw/zinc/89/84/60/408898460.db2.gz QCZWCSMCTNKUFE-KCJUWKMLSA-N -1 1 317.332 1.558 20 0 DDADMM CC[C@@H]1CCCC[C@H]1OCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000187339956 163014554 /nfs/dbraw/zinc/01/45/54/163014554.db2.gz JQTQCRVGPDEHLA-JHJVBQTASA-N -1 1 309.414 1.747 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(Nc2nccs2)CC1 ZINC000189374633 163097637 /nfs/dbraw/zinc/09/76/37/163097637.db2.gz ZWGGAFIHOAYISU-UHFFFAOYSA-N -1 1 304.375 1.960 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2OCCC[C@H]12)c1cccc(F)c1F ZINC000190629865 163149837 /nfs/dbraw/zinc/14/98/37/163149837.db2.gz WVAPLOADJZTZCG-IEBDPFPHSA-N -1 1 303.330 1.811 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)c1nc(Cc2ccccc2)n[n-]1 ZINC000195287082 163314117 /nfs/dbraw/zinc/31/41/17/163314117.db2.gz LVBRSQRBPCRZDZ-LBPRGKRZSA-N -1 1 307.375 1.348 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)c1n[n-]c(Cc2ccccc2)n1 ZINC000195287082 163314119 /nfs/dbraw/zinc/31/41/19/163314119.db2.gz LVBRSQRBPCRZDZ-LBPRGKRZSA-N -1 1 307.375 1.348 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)F)c1cc(Cl)ccc1Cl ZINC000228621102 163342452 /nfs/dbraw/zinc/34/24/52/163342452.db2.gz DONNINLLFYBPLM-SSDOTTSWSA-N -1 1 320.144 1.898 20 0 DDADMM C[C@@H](NC(=O)c1cc2ccccc2cc1[O-])C(=O)NCCF ZINC000281987085 408966311 /nfs/dbraw/zinc/96/63/11/408966311.db2.gz LCQGWNOBLGNLHS-SNVBAGLBSA-N -1 1 304.321 1.749 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(-c2cc[nH]n2)s1)c1nn[n-]n1 ZINC000277880487 409027934 /nfs/dbraw/zinc/02/79/34/409027934.db2.gz GINKUMIYMWOXKT-QMMMGPOBSA-N -1 1 317.378 1.527 20 0 DDADMM CC[C@H](C)Oc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000277900036 409031613 /nfs/dbraw/zinc/03/16/13/409031613.db2.gz NYKXPPAZZVGAAZ-VIFPVBQESA-N -1 1 306.322 1.452 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1)c1cccc(F)c1 ZINC000283039314 409075055 /nfs/dbraw/zinc/07/50/55/409075055.db2.gz ZFZQIUQNOYZWNS-CMPLNLGQSA-N -1 1 318.352 1.747 20 0 DDADMM C[C@H](CO)N(CC1CCC1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287734272 409083973 /nfs/dbraw/zinc/08/39/73/409083973.db2.gz UAQOBXRWLKVKNC-PFMFLNCGSA-N -1 1 317.393 1.357 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000287742561 409085172 /nfs/dbraw/zinc/08/51/72/409085172.db2.gz KZDUSPWIEOFDEQ-LBPRGKRZSA-N -1 1 319.357 1.765 20 0 DDADMM COC(=O)CCCCCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287827873 409099668 /nfs/dbraw/zinc/09/96/68/409099668.db2.gz ZJLGAXDBUPLDSZ-UNOMPAQXSA-N -1 1 319.365 1.197 20 0 DDADMM C[C@@H](O)CCC[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000278848570 409099971 /nfs/dbraw/zinc/09/99/71/409099971.db2.gz WQSFWNXCVOIZEC-SSDOTTSWSA-N -1 1 313.206 1.828 20 0 DDADMM Cn1[n-]cc2/c(=N/C(=O)N3CCCc4occc4C3)ccnc1-2 ZINC000288926258 409197834 /nfs/dbraw/zinc/19/78/34/409197834.db2.gz VFUVDAJOVLLFIM-CPNJWEJPSA-N -1 1 311.345 1.915 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(C)(C)O ZINC000293687349 409161285 /nfs/dbraw/zinc/16/12/85/409161285.db2.gz BMSXWUVNXFYCAI-LLVKDONJSA-N -1 1 311.325 1.932 20 0 DDADMM Cc1ccc(CN2C[C@H](C(=O)[N-]OCC3CC3)CC2=O)cc1 ZINC000293688038 409161558 /nfs/dbraw/zinc/16/15/58/409161558.db2.gz BUSGUXMIUKVZTC-OAHLLOKOSA-N -1 1 302.374 1.801 20 0 DDADMM CCNC(=O)NCCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000293699849 409163001 /nfs/dbraw/zinc/16/30/01/409163001.db2.gz AFEMAWSQZSGINE-UHFFFAOYSA-N -1 1 319.283 1.460 20 0 DDADMM CC(C)(C)n1ncnc1CNC(=O)c1c(F)ccc([O-])c1F ZINC000289311866 409247321 /nfs/dbraw/zinc/24/73/21/409247321.db2.gz JYICIGNIEYXMGB-UHFFFAOYSA-N -1 1 310.304 1.947 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)c1ccco1 ZINC000284145276 409284118 /nfs/dbraw/zinc/28/41/18/409284118.db2.gz DYCRTYAXWZOZKK-MRVPVSSYSA-N -1 1 301.286 1.839 20 0 DDADMM CN1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)CCC1=O ZINC000284186115 409292617 /nfs/dbraw/zinc/29/26/17/409292617.db2.gz GXTQORXLYKTSCA-VIFPVBQESA-N -1 1 320.773 1.378 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc2oc(C)nc21)c1nn[n-]n1 ZINC000280385411 409304303 /nfs/dbraw/zinc/30/43/03/409304303.db2.gz MYSYGIPIEDMNIQ-SNVBAGLBSA-N -1 1 300.322 1.921 20 0 DDADMM O=S(=O)([N-]CC(F)(F)F)c1cnn(Cc2ccccc2)c1 ZINC000284307122 409314928 /nfs/dbraw/zinc/31/49/28/409314928.db2.gz YTMTUFOSOWWIIO-UHFFFAOYSA-N -1 1 319.308 1.772 20 0 DDADMM Cc1cc(S(N)(=O)=O)cc(NC(=O)c2cncc([O-])c2)c1C ZINC000315961180 164020873 /nfs/dbraw/zinc/02/08/73/164020873.db2.gz DAWDTDNACXLEGF-UHFFFAOYSA-N -1 1 321.358 1.304 20 0 DDADMM O=C([C@@H](c1ccccc1)C1CC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000362431090 164157269 /nfs/dbraw/zinc/15/72/69/164157269.db2.gz GPDIKMJAPRZFEE-KGLIPLIRSA-N -1 1 313.361 1.293 20 0 DDADMM CC(C)(O)c1cn(C2CN(C(=O)c3ccc([O-])cc3F)C2)nn1 ZINC000374828978 164174252 /nfs/dbraw/zinc/17/42/52/164174252.db2.gz ROOWOXFZSQXQHH-UHFFFAOYSA-N -1 1 320.324 1.047 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@H]1O)c1ccc(Br)o1 ZINC000408213885 164263370 /nfs/dbraw/zinc/26/33/70/164263370.db2.gz JJBWSYGLJKJUHC-JGVFFNPUSA-N -1 1 324.196 1.481 20 0 DDADMM Cc1cnc(C(=O)N2CCSC[C@@H]2c2cnn(C)c2)c([O-])c1 ZINC000408347239 164303896 /nfs/dbraw/zinc/30/38/96/164303896.db2.gz YCHDYNBRUCSTSV-GFCCVEGCSA-N -1 1 318.402 1.759 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCC(C)(F)F)o1 ZINC000295472133 409497869 /nfs/dbraw/zinc/49/78/69/409497869.db2.gz HZWFGWMKUWRRJF-UHFFFAOYSA-N -1 1 310.322 1.353 20 0 DDADMM C[C@H]1CN(C)C[C@H]1C(=O)N=c1nc(-c2ccccc2)[n-]s1 ZINC000341929129 409514681 /nfs/dbraw/zinc/51/46/81/409514681.db2.gz LSDSKBVZFUTHSK-CMPLNLGQSA-N -1 1 302.403 1.763 20 0 DDADMM C[C@H]1CN(C)C[C@@H]1C(=O)N=c1nc(-c2ccccc2)[n-]s1 ZINC000341929130 409519855 /nfs/dbraw/zinc/51/98/55/409519855.db2.gz LSDSKBVZFUTHSK-JQWIXIFHSA-N -1 1 302.403 1.763 20 0 DDADMM CO[C@H](C)c1nc(=N[C@H](c2nnc[nH]2)c2ccccc2)s[n-]1 ZINC000337874276 409530432 /nfs/dbraw/zinc/53/04/32/409530432.db2.gz PSXZDVRVWDETNU-KOLCDFICSA-N -1 1 316.390 1.987 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@@H]1CC[C@@H](F)C1 ZINC000344972484 409577905 /nfs/dbraw/zinc/57/79/05/409577905.db2.gz ZTOVUWHAHSPTPI-RNFRBKRXSA-N -1 1 308.356 1.099 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc(F)c(F)c1 ZINC000337937319 409585288 /nfs/dbraw/zinc/58/52/88/409585288.db2.gz DOXAWEQQGXLIBD-UHFFFAOYSA-N -1 1 307.256 1.434 20 0 DDADMM C[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCC1(F)F ZINC000356773774 409591483 /nfs/dbraw/zinc/59/14/83/409591483.db2.gz MHZGWLFLHUFMIF-MRVPVSSYSA-N -1 1 310.304 1.791 20 0 DDADMM O=C([O-])CCOc1ccc(NS(=O)(=O)c2ccoc2)cc1 ZINC000349107750 409695975 /nfs/dbraw/zinc/69/59/75/409695975.db2.gz KNAUGUDOKFUXHM-UHFFFAOYSA-N -1 1 311.315 1.934 20 0 DDADMM CCOc1ncc(C)cc1[N-]S(=O)(=O)CCOCCOC ZINC000296789930 409642668 /nfs/dbraw/zinc/64/26/68/409642668.db2.gz SSJIVKBDJQZUEX-UHFFFAOYSA-N -1 1 318.395 1.193 20 0 DDADMM Cc1cnc(C(=O)NCCC(=O)N2CCCCCC2)c([O-])c1 ZINC000331590626 409670400 /nfs/dbraw/zinc/67/04/00/409670400.db2.gz YHEQCOJCKDAIFC-UHFFFAOYSA-N -1 1 305.378 1.618 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)n[nH]1 ZINC000296946067 409720862 /nfs/dbraw/zinc/72/08/62/409720862.db2.gz GXYTYRPGPKNLOV-UHFFFAOYSA-N -1 1 320.296 1.263 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2ccsc2)o1 ZINC000338146947 409752086 /nfs/dbraw/zinc/75/20/86/409752086.db2.gz GQOWPPQUJKPGQJ-UHFFFAOYSA-N -1 1 315.372 1.649 20 0 DDADMM O=C(CCCOc1ccc(Cl)cc1)NC1(c2nn[n-]n2)CC1 ZINC000357076683 409843929 /nfs/dbraw/zinc/84/39/29/409843929.db2.gz RAOISPUKAGRXJL-UHFFFAOYSA-N -1 1 321.768 1.818 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1c2ccccc2CC[C@@H]1C ZINC000331946639 409801352 /nfs/dbraw/zinc/80/13/52/409801352.db2.gz MUEPDNBCRCOBTB-TVQRCGJNSA-N -1 1 321.402 1.997 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1c2ccccc2CC[C@@H]1C ZINC000331946639 409801361 /nfs/dbraw/zinc/80/13/61/409801361.db2.gz MUEPDNBCRCOBTB-TVQRCGJNSA-N -1 1 321.402 1.997 20 0 DDADMM C[C@@H]1[C@H](C(=O)NC2(c3nn[n-]n3)CC2)CCN1c1ccccc1 ZINC000357045378 409815317 /nfs/dbraw/zinc/81/53/17/409815317.db2.gz BZPJGYWHBXHDRD-DGCLKSJQSA-N -1 1 312.377 1.220 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccccc1Oc1ccncc1 ZINC000338226382 409816779 /nfs/dbraw/zinc/81/67/79/409816779.db2.gz UTFGWBIYLSRHNJ-UHFFFAOYSA-N -1 1 322.328 1.806 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(CCc3ccco3)no2)co1 ZINC000357360776 409992489 /nfs/dbraw/zinc/99/24/89/409992489.db2.gz WRXFGYITIRHXNU-UHFFFAOYSA-N -1 1 323.330 1.616 20 0 DDADMM Cc1noc(C)c1COc1cccc(C(=O)Nc2nnn[n-]2)c1 ZINC000342858439 409952930 /nfs/dbraw/zinc/95/29/30/409952930.db2.gz OIBLEIABUAVRST-UHFFFAOYSA-N -1 1 314.305 1.636 20 0 DDADMM Cc1noc(C)c1COc1cccc(C(=O)Nc2nn[n-]n2)c1 ZINC000342858439 409952932 /nfs/dbraw/zinc/95/29/32/409952932.db2.gz OIBLEIABUAVRST-UHFFFAOYSA-N -1 1 314.305 1.636 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@@H](C)CCc1ccccc1F ZINC000297700684 409964462 /nfs/dbraw/zinc/96/44/62/409964462.db2.gz JVMIEVVKUFYUDF-VIFPVBQESA-N -1 1 319.340 1.511 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@]1(O)CCSC1 ZINC000631609545 422852392 /nfs/dbraw/zinc/85/23/92/422852392.db2.gz LTGFVQLIUQSICY-CYBMUJFWSA-N -1 1 301.795 1.572 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)NC(C)(C)c2nn[n-]n2)s1 ZINC000354756036 410063409 /nfs/dbraw/zinc/06/34/09/410063409.db2.gz HUGCCDXWCMNDNV-UHFFFAOYSA-N -1 1 307.379 1.584 20 0 DDADMM CC(C)(NC(=O)[C@@H]1C[C@H]1c1ccc(F)cc1F)c1nn[n-]n1 ZINC000354775792 410078399 /nfs/dbraw/zinc/07/83/99/410078399.db2.gz QOZJYVFWVINHEO-VHSXEESVSA-N -1 1 307.304 1.633 20 0 DDADMM Cc1nc(S[C@H](Cc2ccccc2)C(N)=O)[n-]c(=O)c1C ZINC000351713114 410171906 /nfs/dbraw/zinc/17/19/06/410171906.db2.gz SPHQSFDCJOYHOD-GFCCVEGCSA-N -1 1 303.387 1.988 20 0 DDADMM CN(CC(=O)NCC(=O)[O-])Cc1ccccc1-c1ccccc1 ZINC000357719052 410205906 /nfs/dbraw/zinc/20/59/06/410205906.db2.gz CJCJIKVKRRFROI-UHFFFAOYSA-N -1 1 312.369 1.986 20 0 DDADMM Cc1ccc([C@H](C)[C@@H](O)C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000358030917 410344257 /nfs/dbraw/zinc/34/42/57/410344257.db2.gz YLUUGHCPFUADPK-SGMGOOAPSA-N -1 1 317.393 1.235 20 0 DDADMM CCN(C(C)=O)c1nc(CNCc2ncccc2[O-])cs1 ZINC000355283901 410418173 /nfs/dbraw/zinc/41/81/73/410418173.db2.gz CRYANMBEJIYLPU-UHFFFAOYSA-N -1 1 306.391 1.906 20 0 DDADMM C[C@H]1CCCc2nc(S(=O)(=O)NC[C@@H]3CCC[N@H+]3C)cn21 ZINC000333197914 410418678 /nfs/dbraw/zinc/41/86/78/410418678.db2.gz UOOLVLVTTSXAOJ-RYUDHWBXSA-N -1 1 312.439 1.153 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCOCC2(CCC2)C1 ZINC000329581469 410368314 /nfs/dbraw/zinc/36/83/14/410368314.db2.gz PZWTYQNPPQCITP-UHFFFAOYSA-N -1 1 307.419 1.782 20 0 DDADMM O=C(N[C@H]1C=C[C@H](CO)C1)c1ccc(Br)c([O-])c1 ZINC000343455692 410469012 /nfs/dbraw/zinc/46/90/12/410469012.db2.gz XGTAPOQRRRHOAF-WPRPVWTQSA-N -1 1 312.163 1.822 20 0 DDADMM CC[C@H](C)N1CCN(C(=O)N=c2ccc(C3CC3)n[n-]2)CC1 ZINC000333297318 410469240 /nfs/dbraw/zinc/46/92/40/410469240.db2.gz BSFDNDOQBZXHHK-LBPRGKRZSA-N -1 1 303.410 1.724 20 0 DDADMM O=C(CCn1ccnn1)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000352052226 410443639 /nfs/dbraw/zinc/44/36/39/410443639.db2.gz JCFLSAFZIFSVCH-UHFFFAOYSA-N -1 1 318.287 1.397 20 0 DDADMM CC(C)C(=O)N1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000299246089 410580207 /nfs/dbraw/zinc/58/02/07/410580207.db2.gz XLITWOBSYVVSPW-UHFFFAOYSA-N -1 1 310.781 1.986 20 0 DDADMM C[C@@H]1CN(C(=O)c2csc(=NC3CC3)[nH]2)C[C@H](C)[S@@]1=O ZINC000330124991 410552896 /nfs/dbraw/zinc/55/28/96/410552896.db2.gz COJVBASZAHEEJW-MYSJXLHTSA-N -1 1 313.448 1.121 20 0 DDADMM C[C@@H]1CN(C(=O)c2csc(=NC3CC3)[n-]2)C[C@H](C)[S@@]1=O ZINC000330124991 410552902 /nfs/dbraw/zinc/55/29/02/410552902.db2.gz COJVBASZAHEEJW-MYSJXLHTSA-N -1 1 313.448 1.121 20 0 DDADMM CCC[C@H](NC(=O)CCC1CCN(C(C)=O)CC1)c1nn[n-]n1 ZINC000343714962 410640854 /nfs/dbraw/zinc/64/08/54/410640854.db2.gz AFGVJYYECZHXNU-ZDUSSCGKSA-N -1 1 322.413 1.196 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)CCC(C)(C)C)CCSC1 ZINC000340069760 410653502 /nfs/dbraw/zinc/65/35/02/410653502.db2.gz OEOCUSPZRBSITO-GFCCVEGCSA-N -1 1 309.453 1.391 20 0 DDADMM COc1cc(F)c([C@@H](C)NCc2nc(=O)n(C)[n-]2)cc1OC ZINC000347654628 410655631 /nfs/dbraw/zinc/65/56/31/410655631.db2.gz QCHPFPNRHVANSW-MRVPVSSYSA-N -1 1 310.329 1.116 20 0 DDADMM COc1ccc([C@H](NCc2nc(=O)n(C)[n-]2)C(F)(F)F)cc1 ZINC000347656950 410656968 /nfs/dbraw/zinc/65/69/68/410656968.db2.gz UGPSLHUBXUKYJP-NSHDSACASA-N -1 1 316.283 1.510 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@@H]1CCCC[C@@H]1C(F)(F)F ZINC000631627276 422859732 /nfs/dbraw/zinc/85/97/32/422859732.db2.gz ZHVNYPFIOQPVJK-IUCAKERBSA-N -1 1 305.304 1.617 20 0 DDADMM COCCCCS(=O)(=O)[N-][C@@H](C(=O)OC)c1cccs1 ZINC000355848714 410700467 /nfs/dbraw/zinc/70/04/67/410700467.db2.gz XGOZVVMJLYXUBT-LLVKDONJSA-N -1 1 321.420 1.308 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NCc1nccn1C)c2=O ZINC000343882339 410776255 /nfs/dbraw/zinc/77/62/55/410776255.db2.gz SUGGFZJAORMLQE-UHFFFAOYSA-N -1 1 312.329 1.200 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]c2cc(C(F)(F)F)c[nH]c2=O)n1 ZINC000356084743 410822589 /nfs/dbraw/zinc/82/25/89/410822589.db2.gz OSTOVFXZHSFUFE-UHFFFAOYSA-N -1 1 322.268 1.340 20 0 DDADMM C[C@@H]1CC(C)(C)CC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343938600 410827546 /nfs/dbraw/zinc/82/75/46/410827546.db2.gz FVWLWUNIIBGOHU-VXGBXAGGSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(Nc1nn[nH]n1)c1cc(Br)cc2nc[nH]c21 ZINC000337506080 410833128 /nfs/dbraw/zinc/83/31/28/410833128.db2.gz OWMRCCIEMPQOLA-UHFFFAOYSA-N -1 1 308.099 1.091 20 0 DDADMM CC(C)(NC(=O)Cc1cccc(OC(F)F)c1)c1nn[n-]n1 ZINC000359783421 410876481 /nfs/dbraw/zinc/87/64/81/410876481.db2.gz HRTVJLBRTNLGKK-UHFFFAOYSA-N -1 1 311.292 1.395 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)Nc2cc(C(=O)OC)ccc2[O-])CC(=O)N1 ZINC000337587460 410878123 /nfs/dbraw/zinc/87/81/23/410878123.db2.gz UHKTTZIZGDANKQ-GHMZBOCLSA-N -1 1 320.345 1.422 20 0 DDADMM CCc1nn(C)cc1C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000337593992 410884106 /nfs/dbraw/zinc/88/41/06/410884106.db2.gz ZRBGQIAIDMJSFC-UHFFFAOYSA-N -1 1 303.318 1.727 20 0 DDADMM CCCN(C)c1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348291784 410901552 /nfs/dbraw/zinc/90/15/52/410901552.db2.gz CJEKFXPXJDESKN-UHFFFAOYSA-N -1 1 300.366 1.465 20 0 DDADMM CS(=O)(=O)c1ccc(F)c(CNC(=O)c2ncccc2[O-])c1 ZINC000337651750 410921038 /nfs/dbraw/zinc/92/10/38/410921038.db2.gz LOWCVXKRSOOQPF-UHFFFAOYSA-N -1 1 324.333 1.260 20 0 DDADMM NC(=O)c1cccc(CNC(=O)c2c(F)ccc([O-])c2F)c1 ZINC000341232414 410956228 /nfs/dbraw/zinc/95/62/28/410956228.db2.gz ZDYSDQNFYOUKIC-UHFFFAOYSA-N -1 1 306.268 1.699 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000344123840 410973855 /nfs/dbraw/zinc/97/38/55/410973855.db2.gz HAUXLNLVSKYMKX-HTQZYQBOSA-N -1 1 320.436 1.626 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H](C(F)(F)F)C1 ZINC000344131714 410975799 /nfs/dbraw/zinc/97/57/99/410975799.db2.gz KGBXYMCVOKTJRQ-ZCFIWIBFSA-N -1 1 321.255 1.311 20 0 DDADMM CCOC(=O)[C@@H](C)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331236346 411032982 /nfs/dbraw/zinc/03/29/82/411032982.db2.gz KKSVNPSZMLOPJT-ZETCQYMHSA-N -1 1 306.409 1.237 20 0 DDADMM COc1cc(NC(=O)CNC(=O)c2ncccc2[O-])ccc1F ZINC000353662853 411102597 /nfs/dbraw/zinc/10/25/97/411102597.db2.gz SLRVGRSRMCWFKE-UHFFFAOYSA-N -1 1 319.292 1.303 20 0 DDADMM COc1ccccc1CC[N-]S(=O)(=O)c1cc(C)ns1 ZINC000631663888 422872079 /nfs/dbraw/zinc/87/20/79/422872079.db2.gz ZIXGNVQZZNGUJD-UHFFFAOYSA-N -1 1 312.416 1.981 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2csc(C)n2)c1 ZINC000631763879 422911760 /nfs/dbraw/zinc/91/17/60/422911760.db2.gz CCPWWLSQAHFRJJ-UHFFFAOYSA-N -1 1 314.388 1.644 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)C[C@@H]2CCCCO2)c1 ZINC000631784067 422921641 /nfs/dbraw/zinc/92/16/41/422921641.db2.gz MHUFWRXWLBOEDM-LBPRGKRZSA-N -1 1 315.391 1.590 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](C)[C@H](C)C2)co1 ZINC000131501353 196175448 /nfs/dbraw/zinc/17/54/48/196175448.db2.gz YKASLPCYAXQOIU-NXEZZACHSA-N -1 1 300.380 1.306 20 0 DDADMM CCOc1cc(C(=O)N[C@H]2CCN(C)C2=O)cc(Cl)c1[O-] ZINC000131651560 196187694 /nfs/dbraw/zinc/18/76/94/196187694.db2.gz HPGDCXWKMMFRKQ-JTQLQIEISA-N -1 1 312.753 1.405 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)c1cncnc1 ZINC001192042383 745680324 /nfs/dbraw/zinc/68/03/24/745680324.db2.gz CJXGLCXZLVMBGJ-RXMQYKEDSA-N -1 1 304.586 1.785 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)C(Cl)(Cl)Cl)n(C)n1 ZINC001192042376 745680480 /nfs/dbraw/zinc/68/04/80/745680480.db2.gz CGJPIQDOGKFBPB-UHFFFAOYSA-N -1 1 306.602 1.476 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCC[C@H]1CO ZINC000133222096 196322718 /nfs/dbraw/zinc/32/27/18/196322718.db2.gz REPYOPBIRWGDPR-VIFPVBQESA-N -1 1 300.152 1.752 20 0 DDADMM CCOCCC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645425128 423030970 /nfs/dbraw/zinc/03/09/70/423030970.db2.gz DKALYLKGMNYBGI-UHFFFAOYSA-N -1 1 312.313 1.805 20 0 DDADMM O=S(=O)([N-]C1CCOCC1)c1ncccc1C(F)(F)F ZINC000645482676 423052426 /nfs/dbraw/zinc/05/24/26/423052426.db2.gz YCOKNDQARSDGKF-UHFFFAOYSA-N -1 1 310.297 1.558 20 0 DDADMM Cn1cc(-c2noc(-c3ccc([O-])cc3F)n2)c(=O)[nH]c1=O ZINC000350798897 306757129 /nfs/dbraw/zinc/75/71/29/306757129.db2.gz MNSZWFXKVUYNJW-UHFFFAOYSA-N -1 1 304.237 1.048 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)cc(=O)[n-]1 ZINC000640654758 423115097 /nfs/dbraw/zinc/11/50/97/423115097.db2.gz YKRZPNWDYOTAIH-NWDGAFQWSA-N -1 1 323.418 1.583 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640655392 423115823 /nfs/dbraw/zinc/11/58/23/423115823.db2.gz LQYVGYJJNUXUAY-WDEREUQCSA-N -1 1 311.407 1.726 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)[C@H]2COCCO2)CC1 ZINC000048130746 418465372 /nfs/dbraw/zinc/46/53/72/418465372.db2.gz CCZVMOZTEJGEPU-OAHLLOKOSA-N -1 1 319.357 1.229 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CCCO1)[C@@H](C)CC ZINC000360748346 418507394 /nfs/dbraw/zinc/50/73/94/418507394.db2.gz WDSANQBBIFSUBT-QJPTWQEYSA-N -1 1 307.412 1.063 20 0 DDADMM CC[C@@]1([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCOC1 ZINC000645678851 423138250 /nfs/dbraw/zinc/13/82/50/423138250.db2.gz NNPIEPDAKMEASR-LLVKDONJSA-N -1 1 324.324 1.948 20 0 DDADMM COCc1nsc(=NC[C@H]2CCC[C@@H]2N2CCOCC2)[n-]1 ZINC000361787012 418710578 /nfs/dbraw/zinc/71/05/78/418710578.db2.gz ZJVXTKJCUZSZGD-NEPJUHHUSA-N -1 1 312.439 1.019 20 0 DDADMM CCNC(=O)c1ccc(=NCC[N@H+](C)Cc2ccccc2)[nH]n1 ZINC000386698767 418739701 /nfs/dbraw/zinc/73/97/01/418739701.db2.gz MJAGWEZLURKVHF-UHFFFAOYSA-N -1 1 313.405 1.192 20 0 DDADMM O=C1CC[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCN1 ZINC000396738112 418761123 /nfs/dbraw/zinc/76/11/23/418761123.db2.gz ZCHREFSAPLOJRO-SSDOTTSWSA-N -1 1 322.308 1.051 20 0 DDADMM O=C(CC/C=C/c1ccccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000364634397 418795329 /nfs/dbraw/zinc/79/53/29/418795329.db2.gz JVUNMVGIJXSHRC-PXYYCUNGSA-N -1 1 313.361 1.593 20 0 DDADMM CO[C@@H]1CCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000371681723 418808393 /nfs/dbraw/zinc/80/83/93/418808393.db2.gz SMAVRINXEUNRKC-SNVBAGLBSA-N -1 1 304.350 1.315 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](O)C2)c(F)c1 ZINC000425182404 228383919 /nfs/dbraw/zinc/38/39/19/228383919.db2.gz YYIGSKXZLNXRHL-NXEZZACHSA-N -1 1 305.346 1.713 20 0 DDADMM CC[C@@H]1C[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000421225705 419529097 /nfs/dbraw/zinc/52/90/97/419529097.db2.gz ZNDSDSRSTCOGFS-GHMZBOCLSA-N -1 1 301.346 1.611 20 0 DDADMM COC(=O)[C@@H]1CCc2sc(NC([O-])=Nc3cc[nH]n3)nc2C1 ZINC000421122354 419495427 /nfs/dbraw/zinc/49/54/27/419495427.db2.gz DRXKNJKPCANNGH-SSDOTTSWSA-N -1 1 321.362 1.210 20 0 DDADMM CC[C@H](CS(=O)(=O)Nc1ccc(C(=O)[O-])cc1O)OC ZINC000421160536 419510373 /nfs/dbraw/zinc/51/03/73/419510373.db2.gz YMWLBABHOSPRFM-SECBINFHSA-N -1 1 303.336 1.257 20 0 DDADMM CCOCCCN(C[C@H](C)C(=O)OC)C(=O)c1ncccc1[O-] ZINC000427448664 419662040 /nfs/dbraw/zinc/66/20/40/419662040.db2.gz BWWVYXBAYVGASX-LBPRGKRZSA-N -1 1 324.377 1.465 20 0 DDADMM CNC(=O)c1ccc(=NCCCNc2ccccc2F)[n-]n1 ZINC000428200945 419828962 /nfs/dbraw/zinc/82/89/62/419828962.db2.gz ABQJPFIYIIFXKJ-UHFFFAOYSA-N -1 1 303.341 1.311 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H](CO)C[C@H](C)C3)cnc2n1 ZINC000422104699 419844062 /nfs/dbraw/zinc/84/40/62/419844062.db2.gz IUWPCQHBIQUIHG-CMPLNLGQSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](CO)C[C@H](C)C3)c[n-]c2n1 ZINC000422104699 419844066 /nfs/dbraw/zinc/84/40/66/419844066.db2.gz IUWPCQHBIQUIHG-CMPLNLGQSA-N -1 1 315.373 1.734 20 0 DDADMM CC(C)O[C@@H](C[N@@H+]1CCN2C(=O)NC(=O)[C@@H]2C1)c1ccccc1 ZINC000428293268 419844450 /nfs/dbraw/zinc/84/44/50/419844450.db2.gz ABJLPQQFWDSQEO-GJZGRUSLSA-N -1 1 317.389 1.389 20 0 DDADMM O=C(Nc1cccnc1N1CCOCC1)c1ccc(O)cc1[O-] ZINC000299299159 229036990 /nfs/dbraw/zinc/03/69/90/229036990.db2.gz NKNBXXMNOMFZJG-UHFFFAOYSA-N -1 1 315.329 1.582 20 0 DDADMM CO[C@@H](CC(C)C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000416193332 420275127 /nfs/dbraw/zinc/27/51/27/420275127.db2.gz RNEDRCGIBNJYEW-LBPRGKRZSA-N -1 1 316.379 1.039 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc(O)cc2O)c1 ZINC000436515234 420335707 /nfs/dbraw/zinc/33/57/07/420335707.db2.gz RVVBQSLEOZDYNI-UHFFFAOYSA-N -1 1 303.270 1.842 20 0 DDADMM Cc1ccc(C[C@@H](CO)NC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000436591631 420341052 /nfs/dbraw/zinc/34/10/52/420341052.db2.gz XQILELIHDMZHGN-HNNXBMFYSA-N -1 1 313.353 1.603 20 0 DDADMM CCc1noc(C)c1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425296074 420342460 /nfs/dbraw/zinc/34/24/60/420342460.db2.gz GJVBYQZWCMLJEA-SECBINFHSA-N -1 1 306.322 1.654 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)nc1 ZINC000425299985 420343465 /nfs/dbraw/zinc/34/34/65/420343465.db2.gz KSNQYVLDTXWCIV-VIFPVBQESA-N -1 1 304.306 1.199 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCO[C@@H](C(=O)C2CC2)C1 ZINC000436639575 420348808 /nfs/dbraw/zinc/34/88/08/420348808.db2.gz DJQSZFHHNHNGSD-CYBMUJFWSA-N -1 1 309.749 1.866 20 0 DDADMM CCn1nccc1CN(CCO)C(=O)c1ccc([O-])cc1F ZINC000436890229 420379939 /nfs/dbraw/zinc/37/99/39/420379939.db2.gz SOGFINBLVXRCDN-UHFFFAOYSA-N -1 1 307.325 1.382 20 0 DDADMM COC(=O)c1sc(NC(=O)C(=O)c2ccc([O-])cc2)nc1C ZINC000436883883 420380107 /nfs/dbraw/zinc/38/01/07/420380107.db2.gz CPPGEWXLTMHOHB-UHFFFAOYSA-N -1 1 320.326 1.765 20 0 DDADMM O=C(Nc1cccc(N2CN=NC2=O)c1)C(=O)c1ccc([O-])cc1 ZINC000436894232 420382217 /nfs/dbraw/zinc/38/22/17/420382217.db2.gz DQTWIHMQJDHGNI-UHFFFAOYSA-N -1 1 324.296 1.500 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cn3c(n2)CCCC3)c1C ZINC000438222755 420447183 /nfs/dbraw/zinc/44/71/83/420447183.db2.gz KPUOSBFTSIWLSU-UHFFFAOYSA-N -1 1 309.395 1.614 20 0 DDADMM COc1cccc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000492323974 420522799 /nfs/dbraw/zinc/52/27/99/420522799.db2.gz PFMDABDBOUWUND-MEJMFZKBSA-N -1 1 313.361 1.628 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@H]([C@@H](O)C(F)(F)F)C2)c([O-])c1 ZINC000456676192 420526813 /nfs/dbraw/zinc/52/68/13/420526813.db2.gz FSQQCSHIIBTAMP-GZMMTYOYSA-N -1 1 304.268 1.481 20 0 DDADMM CC(C)c1c[nH]nc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000456722022 420533067 /nfs/dbraw/zinc/53/30/67/420533067.db2.gz BVFQHKPTMHJMTL-UHFFFAOYSA-N -1 1 303.322 1.002 20 0 DDADMM COc1cc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccc1Cl ZINC000450838990 420543464 /nfs/dbraw/zinc/54/34/64/420543464.db2.gz GETVLNBDSIICFT-SECBINFHSA-N -1 1 323.784 1.666 20 0 DDADMM CN(C(=O)c1c[n-]c2c(cnn2C)c1=O)[C@H]1CC[C@H](O)CC1 ZINC000440035283 420551399 /nfs/dbraw/zinc/55/13/99/420551399.db2.gz JZJRNPADEQQQOL-MGCOHNPYSA-N -1 1 304.350 1.049 20 0 DDADMM CCC[C@H]1CCCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000456863596 420556850 /nfs/dbraw/zinc/55/68/50/420556850.db2.gz RHMSVLJCRFLMNQ-RYUDHWBXSA-N -1 1 317.393 1.754 20 0 DDADMM CC(C)NC(=O)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451008860 420579602 /nfs/dbraw/zinc/57/96/02/420579602.db2.gz NEJMRTAGALMQJQ-UHFFFAOYSA-N -1 1 314.338 1.375 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1sccc1Cl)N1CCCC1 ZINC000451008346 420580066 /nfs/dbraw/zinc/58/00/66/420580066.db2.gz FRIMXHSLWCLPCA-UHFFFAOYSA-N -1 1 308.812 1.302 20 0 DDADMM CC(=O)NCCC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451022545 420583052 /nfs/dbraw/zinc/58/30/52/420583052.db2.gz BQVBRUASJZXMEY-UHFFFAOYSA-N -1 1 314.338 1.376 20 0 DDADMM COc1ccc(OC)c(C=CC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000492759943 420652935 /nfs/dbraw/zinc/65/29/35/420652935.db2.gz BRBDPUQLPYXABC-UTCJRWHESA-N -1 1 315.333 1.036 20 0 DDADMM COc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc1Cl ZINC000492944604 420716564 /nfs/dbraw/zinc/71/65/64/420716564.db2.gz AXTNQYAWAQJVAC-HWKANZROSA-N -1 1 319.752 1.680 20 0 DDADMM CN(C)c1ccccc1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000492959130 420721215 /nfs/dbraw/zinc/72/12/15/420721215.db2.gz JIRKPTSNLOUSML-UHFFFAOYSA-N -1 1 322.368 1.919 20 0 DDADMM O=C(NCCC[C@@H]1CCOC1)c1nc2ccccc2c(=O)[n-]1 ZINC000454350436 420843514 /nfs/dbraw/zinc/84/35/14/420843514.db2.gz XJHWUMYMIAYXSR-LLVKDONJSA-N -1 1 301.346 1.470 20 0 DDADMM COC(=O)[C@@](C)(CN=c1nc(C)[n-]s1)c1ccc(F)cc1 ZINC000447669874 420792950 /nfs/dbraw/zinc/79/29/50/420792950.db2.gz HPIYZVHAVZTKNP-AWEZNQCLSA-N -1 1 309.366 1.950 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)c1csc(=NC2CC2)[n-]1)C(=O)OC ZINC000493309449 420815430 /nfs/dbraw/zinc/81/54/30/420815430.db2.gz GEDMLDXAJBTYIJ-KWQFWETISA-N -1 1 311.407 1.457 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)NCc2n[nH]c(CC)n2)s1 ZINC000454236377 420822166 /nfs/dbraw/zinc/82/21/66/420822166.db2.gz FBTVXAUZMIXXCU-UHFFFAOYSA-N -1 1 309.399 1.305 20 0 DDADMM O=C(N=c1cc(C(F)(F)F)[n-][nH]1)c1cnc2ccccc2c1O ZINC000448516052 420857979 /nfs/dbraw/zinc/85/79/79/420857979.db2.gz USROMHOCXCOFGH-UHFFFAOYSA-N -1 1 322.246 1.944 20 0 DDADMM Cc1cccc(S(=O)(=O)N=c2cc(OC(F)F)n(C)[n-]2)c1 ZINC000488383723 421087137 /nfs/dbraw/zinc/08/71/37/421087137.db2.gz XPKIGXAITGCCOQ-UHFFFAOYSA-N -1 1 317.317 1.553 20 0 DDADMM Cc1n[nH]cc1S(=O)(=O)[N-]c1cc2c(cc1F)NC(=O)CC2 ZINC000489004343 421130577 /nfs/dbraw/zinc/13/05/77/421130577.db2.gz BZWKJBWAISZQMZ-UHFFFAOYSA-N -1 1 324.337 1.543 20 0 DDADMM O=C(NCCO)c1ccc(=NCCCCC2CCCC2)[n-]n1 ZINC000489963369 421182568 /nfs/dbraw/zinc/18/25/68/421182568.db2.gz JOFWXYASNKAOLI-UHFFFAOYSA-N -1 1 306.410 1.393 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc(NC2CC2)nc1 ZINC000522855596 421227148 /nfs/dbraw/zinc/22/71/48/421227148.db2.gz KYLQUCMYJATMAK-UHFFFAOYSA-N -1 1 313.365 1.368 20 0 DDADMM CC(C)N(Cc1cccs1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544757622 421228836 /nfs/dbraw/zinc/22/88/36/421228836.db2.gz QSKMUXYRENCIFF-UHFFFAOYSA-N -1 1 317.374 1.530 20 0 DDADMM O=C(N=c1cc2ccccn2[nH]1)c1cccc(-c2nnc[nH]2)c1 ZINC000561150002 421308360 /nfs/dbraw/zinc/30/83/60/421308360.db2.gz WENHMDQZQLMYNB-UHFFFAOYSA-N -1 1 304.313 1.794 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(CCOC(=O)c2cn[n-]n2)CC1 ZINC000496606906 421281329 /nfs/dbraw/zinc/28/13/29/421281329.db2.gz PBYRLWPLIMDNRL-UHFFFAOYSA-N -1 1 324.381 1.999 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1ccnc2ccccc12)c1nn[n-]n1 ZINC000547488882 421337157 /nfs/dbraw/zinc/33/71/57/421337157.db2.gz SEFNSFLHPHXJLQ-LBPRGKRZSA-N -1 1 324.388 1.943 20 0 DDADMM CC[C@@H]1[C@@H](C(=O)Nc2nnn[n-]2)CCN1C(=O)OC(C)(C)C ZINC000496930926 421338970 /nfs/dbraw/zinc/33/89/70/421338970.db2.gz OQSXGJQQACFHMB-DTWKUNHWSA-N -1 1 310.358 1.174 20 0 DDADMM CC[C@@H]1[C@@H](C(=O)Nc2nn[n-]n2)CCN1C(=O)OC(C)(C)C ZINC000496930926 421338972 /nfs/dbraw/zinc/33/89/72/421338972.db2.gz OQSXGJQQACFHMB-DTWKUNHWSA-N -1 1 310.358 1.174 20 0 DDADMM COCCN(C(=O)c1cccc2nn[nH]c21)[C@@H]1CCCSC1 ZINC000548071572 421395684 /nfs/dbraw/zinc/39/56/84/421395684.db2.gz PGUDWJNZZKWVES-LLVKDONJSA-N -1 1 320.418 1.942 20 0 DDADMM O=C(NCCCn1cccnc1=O)c1c(F)ccc([O-])c1F ZINC000562900929 421424964 /nfs/dbraw/zinc/42/49/64/421424964.db2.gz IFNMEUJULAIBSJ-UHFFFAOYSA-N -1 1 309.272 1.047 20 0 DDADMM COc1cc(C)ccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000548049851 421393537 /nfs/dbraw/zinc/39/35/37/421393537.db2.gz GCVZNZVSQQNLKN-NSHDSACASA-N -1 1 301.350 1.537 20 0 DDADMM O=C([O-])[C@H]1CCCN(c2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000563214468 421472948 /nfs/dbraw/zinc/47/29/48/421472948.db2.gz VSQSDCIMAPQDDM-LURJTMIESA-N -1 1 315.255 1.673 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cccc3c2OCO3)c1C ZINC000548865225 421480588 /nfs/dbraw/zinc/48/05/88/421480588.db2.gz TYUFDIGNFNTKQK-UHFFFAOYSA-N -1 1 309.347 1.810 20 0 DDADMM CNC(=O)CC1CCN(Cc2ccc(C(=O)[O-])cc2F)CC1 ZINC000563283154 421481229 /nfs/dbraw/zinc/48/12/29/421481229.db2.gz PFPOYAJRYWAPGT-UHFFFAOYSA-N -1 1 308.353 1.872 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2cc(F)ccc2Cl)n1 ZINC000528445201 421491166 /nfs/dbraw/zinc/49/11/66/421491166.db2.gz JXDQBUMXZDHIIM-UHFFFAOYSA-N -1 1 318.761 1.569 20 0 DDADMM O=C(NCC1CC(c2ccccc2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000549154527 421496154 /nfs/dbraw/zinc/49/61/54/421496154.db2.gz JKYKCKHBCLMBHQ-UHFFFAOYSA-N -1 1 323.356 1.341 20 0 DDADMM CO[C@@H](C(=O)NC1(c2nn[n-]n2)CCCC1)c1ccc(F)cc1 ZINC000528599265 421499977 /nfs/dbraw/zinc/49/99/77/421499977.db2.gz PFKFTJRVMMJJIX-GFCCVEGCSA-N -1 1 319.340 1.612 20 0 DDADMM CC(=O)c1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cs1 ZINC000551903195 421551815 /nfs/dbraw/zinc/55/18/15/421551815.db2.gz MJTDTDXNRVTTHG-VIFPVBQESA-N -1 1 305.363 1.484 20 0 DDADMM C[C@H](NCc1nc(Cc2cccc(F)c2)no1)[C@H](C)C(=O)[O-] ZINC000516657034 421560680 /nfs/dbraw/zinc/56/06/80/421560680.db2.gz SOYNNIDTUVHGQK-UWVGGRQHSA-N -1 1 307.325 1.998 20 0 DDADMM Cc1oc(C2CC2)nc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000552122002 421573993 /nfs/dbraw/zinc/57/39/93/421573993.db2.gz CNAXYIWIQVWIQB-SNVBAGLBSA-N -1 1 302.338 1.393 20 0 DDADMM CC[C@@](C)(CCO)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000554504632 421644490 /nfs/dbraw/zinc/64/44/90/421644490.db2.gz PEORGVKOZZJRMN-INIZCTEOSA-N -1 1 320.393 1.262 20 0 DDADMM Cc1ccn(-c2ccccc2C(=O)NC2(c3nn[n-]n3)CC2)n1 ZINC000570668701 421661171 /nfs/dbraw/zinc/66/11/71/421661171.db2.gz UCADGGIXGXRLPU-UHFFFAOYSA-N -1 1 309.333 1.113 20 0 DDADMM CCOC(=O)C1(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCC1 ZINC000530454000 421601167 /nfs/dbraw/zinc/60/11/67/421601167.db2.gz QPSLIOVZZILBNY-NSHDSACASA-N -1 1 321.381 1.029 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)Cc2cccc(O)c2)co1 ZINC000534467842 421691505 /nfs/dbraw/zinc/69/15/05/421691505.db2.gz GKVDCJFBXJHXIR-UHFFFAOYSA-N -1 1 324.358 1.166 20 0 DDADMM O=C(CC1(C(F)(F)F)CC1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000571354673 421708621 /nfs/dbraw/zinc/70/86/21/421708621.db2.gz XDBVNHQPXRKJIF-MRVPVSSYSA-N -1 1 303.288 1.638 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C[C@@H]1C(=O)[O-] ZINC000571403924 421713005 /nfs/dbraw/zinc/71/30/05/421713005.db2.gz QCIYWUIJJIGLKV-KOLCDFICSA-N -1 1 303.318 1.853 20 0 DDADMM CN(C(=O)c1cc(=O)c2ccccc2[nH]1)[C@@H]1CC[N@H+](C2CC2)C1 ZINC000518955744 421673517 /nfs/dbraw/zinc/67/35/17/421673517.db2.gz GOFPCBHVYIVPIA-CYBMUJFWSA-N -1 1 311.385 1.837 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@H]1CC12CCSCC2 ZINC000518955702 421673571 /nfs/dbraw/zinc/67/35/71/421673571.db2.gz WXNWXGAGDLIKDE-VIFPVBQESA-N -1 1 317.436 1.207 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CC12CCSCC2 ZINC000518955702 421673574 /nfs/dbraw/zinc/67/35/74/421673574.db2.gz WXNWXGAGDLIKDE-VIFPVBQESA-N -1 1 317.436 1.207 20 0 DDADMM O=C(N[C@H]1[C@@H]2COC[C@@H]21)c1c([O-])cnc2ccc(Cl)cc21 ZINC000555318395 421675854 /nfs/dbraw/zinc/67/58/54/421675854.db2.gz AQBSDPSGKXFQIC-MSRIBSCDSA-N -1 1 304.733 1.968 20 0 DDADMM O=C(c1cnc2ccccc2n1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000533572986 421680039 /nfs/dbraw/zinc/68/00/39/421680039.db2.gz OUXPWZJFALRHGH-JTQLQIEISA-N -1 1 309.333 1.163 20 0 DDADMM COC(=O)c1ccccc1NC(=O)CN1CC[C@](C)(C(=O)[O-])C1 ZINC000571687994 421736722 /nfs/dbraw/zinc/73/67/22/421736722.db2.gz LKMYGSMNBGXMQC-INIZCTEOSA-N -1 1 320.345 1.208 20 0 DDADMM O=C(CSCc1cscn1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538223796 421738204 /nfs/dbraw/zinc/73/82/04/421738204.db2.gz VXCOWBTVYANNII-VIFPVBQESA-N -1 1 324.435 1.296 20 0 DDADMM Cc1nc([C@@H]2CCCN(CC(=O)Nc3nnn[n-]3)C2)sc1C ZINC000572002227 421758322 /nfs/dbraw/zinc/75/83/22/421758322.db2.gz IXZMUJPROWATCG-SNVBAGLBSA-N -1 1 321.410 1.091 20 0 DDADMM Cc1nc([C@@H]2CCCN(CC(=O)Nc3nn[n-]n3)C2)sc1C ZINC000572002227 421758326 /nfs/dbraw/zinc/75/83/26/421758326.db2.gz IXZMUJPROWATCG-SNVBAGLBSA-N -1 1 321.410 1.091 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@H]2Cn2ccnn2)c(F)c1 ZINC000571929188 421753706 /nfs/dbraw/zinc/75/37/06/421753706.db2.gz GYMIBTYMKNTZQL-ZDUSSCGKSA-N -1 1 304.325 1.780 20 0 DDADMM O=C(Nc1ncn(Cc2ccccc2)n1)c1ccc(O)cc1[O-] ZINC000542816335 421830816 /nfs/dbraw/zinc/83/08/16/421830816.db2.gz IFYGSCYCNKJXSE-UHFFFAOYSA-N -1 1 310.313 1.990 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cccc2oc(=O)[nH]c21 ZINC000557481164 421772619 /nfs/dbraw/zinc/77/26/19/421772619.db2.gz HOXUGYRNIGGONH-UHFFFAOYSA-N -1 1 313.195 1.923 20 0 DDADMM CCCNC(=O)C1(NCc2cc(C(=O)[O-])nn2C)CCCCC1 ZINC000635307686 421896408 /nfs/dbraw/zinc/89/64/08/421896408.db2.gz FEOONTITUUEHLI-UHFFFAOYSA-N -1 1 322.409 1.437 20 0 DDADMM O=C([O-])c1cccc(CN2CC[C@@H](NC(=O)NC3CC3)C2)c1 ZINC000635308006 421898161 /nfs/dbraw/zinc/89/81/61/421898161.db2.gz IIPQMDFJTGYGTD-CQSZACIVSA-N -1 1 303.362 1.421 20 0 DDADMM CCC[C@@H](O)[C@@H](CO)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633437433 421900539 /nfs/dbraw/zinc/90/05/39/421900539.db2.gz GYCKJQHQZHFPLV-DGCLKSJQSA-N -1 1 301.770 1.226 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@H]1c1ccc(F)cc1F ZINC000633358668 421860479 /nfs/dbraw/zinc/86/04/79/421860479.db2.gz JLZPEXLXBPENCX-LBPRGKRZSA-N -1 1 307.304 1.774 20 0 DDADMM CC(=O)N1CCC[C@@H]1C(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000633444945 421907293 /nfs/dbraw/zinc/90/72/93/421907293.db2.gz NHBWUJPGQZTDHH-LLVKDONJSA-N -1 1 304.375 1.510 20 0 DDADMM O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)c1cccc2n[nH]cc21 ZINC000630131542 421907347 /nfs/dbraw/zinc/90/73/47/421907347.db2.gz RCDBEEOYIJTATE-NSHDSACASA-N -1 1 317.345 1.659 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(OC(F)F)c(F)c1 ZINC000635374844 421938672 /nfs/dbraw/zinc/93/86/72/421938672.db2.gz KQZAKOPLCBPPEF-UHFFFAOYSA-N -1 1 315.255 1.902 20 0 DDADMM Cc1noc([C@@H](NCc2ncccc2[O-])C2CCOCC2)n1 ZINC000573366143 421956368 /nfs/dbraw/zinc/95/63/68/421956368.db2.gz FZRISWQBMGRCQZ-AWEZNQCLSA-N -1 1 304.350 1.736 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)[C@@H]2CCCN2C2CC2)c(F)c1 ZINC000630211513 421964390 /nfs/dbraw/zinc/96/43/90/421964390.db2.gz WTPDANORQLLAIS-AWEZNQCLSA-N -1 1 306.337 1.767 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)c1ccc2c(c1)CCCO2 ZINC000633661820 422031765 /nfs/dbraw/zinc/03/17/65/422031765.db2.gz SIJDOMUASSLLLI-JTQLQIEISA-N -1 1 301.350 1.335 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccc(F)cc2)CC1 ZINC000630225451 421975312 /nfs/dbraw/zinc/97/53/12/421975312.db2.gz UGIJEIPZEABHPE-CYBMUJFWSA-N -1 1 322.380 1.766 20 0 DDADMM CCCCCN(CCO)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632001252 422011185 /nfs/dbraw/zinc/01/11/85/422011185.db2.gz LKGREQPACGTDSQ-UHFFFAOYSA-N -1 1 317.407 1.574 20 0 DDADMM CCC[C@@H]1CN(c2ncc(C(=O)[O-])s2)CCN1CCOC ZINC000630461403 422116644 /nfs/dbraw/zinc/11/66/44/422116644.db2.gz XUTXARMCRITUAO-LLVKDONJSA-N -1 1 313.423 1.778 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(C(=O)[O-])n(-c3ccccc3)n2)CCN1 ZINC000574330981 422094418 /nfs/dbraw/zinc/09/44/18/422094418.db2.gz ZHFSFHMMYSXOFA-NSHDSACASA-N -1 1 314.345 1.004 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@H](C)[C@H]2C)c1 ZINC000632111649 422096734 /nfs/dbraw/zinc/09/67/34/422096734.db2.gz ZWUXYKUALPOBGN-NXEZZACHSA-N -1 1 301.364 1.199 20 0 DDADMM COCCC1(CNS(=O)(=O)c2cc(OC)ccc2[O-])CC1 ZINC000632122083 422101763 /nfs/dbraw/zinc/10/17/63/422101763.db2.gz LFQAJMPGUHWAJP-UHFFFAOYSA-N -1 1 315.391 1.496 20 0 DDADMM COc1cccc(C[C@H](C)NC(=O)CCCc2nn[n-]n2)c1 ZINC000635555219 422103775 /nfs/dbraw/zinc/10/37/75/422103775.db2.gz YTUNEJNIZOSKOQ-NSHDSACASA-N -1 1 303.366 1.278 20 0 DDADMM COc1cc(CN[C@H](C(=O)[O-])c2ccnn2C)ccc1Cl ZINC000630461649 422115805 /nfs/dbraw/zinc/11/58/05/422115805.db2.gz GLDVVCCEYYZRRK-ZDUSSCGKSA-N -1 1 309.753 1.998 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](c1ccccc1)C(C)C ZINC000633691744 422052758 /nfs/dbraw/zinc/05/27/58/422052758.db2.gz KBCYVRTWVCNTJH-ZDUSSCGKSA-N -1 1 314.389 1.878 20 0 DDADMM CCc1ccc([C@H]2CCN(Cc3cn(CC(=O)[O-])nn3)C2)cc1 ZINC000581836217 422053295 /nfs/dbraw/zinc/05/32/95/422053295.db2.gz XQEUXSAJODJKFS-HNNXBMFYSA-N -1 1 314.389 1.915 20 0 DDADMM Cn1ccc2ccc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)cc21 ZINC000632054151 422054650 /nfs/dbraw/zinc/05/46/50/422054650.db2.gz NMQQDZHDINEIKU-CYBMUJFWSA-N -1 1 310.361 1.711 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCSc1ccc(Cl)cc1 ZINC000630538177 422164410 /nfs/dbraw/zinc/16/44/10/422164410.db2.gz JEKSCFGCLYKWCQ-UHFFFAOYSA-N -1 1 311.798 1.694 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1[C@H](C(=O)[O-])C1(C)C)c1cccc(F)c1 ZINC000574518253 422124581 /nfs/dbraw/zinc/12/45/81/422124581.db2.gz YTDDLLHPGKGAET-HZSPNIEDSA-N -1 1 322.380 1.901 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(c2cccc(F)c2)CC1 ZINC000633893291 422135907 /nfs/dbraw/zinc/13/59/07/422135907.db2.gz FFWKMGPEXZJZQX-UHFFFAOYSA-N -1 1 301.321 1.889 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-][C@H](C2CC2)C(F)(F)F)CC1 ZINC000632340020 422258906 /nfs/dbraw/zinc/25/89/06/422258906.db2.gz NFEAAASHXKRSQN-SECBINFHSA-N -1 1 300.346 1.341 20 0 DDADMM CC1(C)Cc2cc(NC(=O)CCCc3nn[n-]n3)ccc2O1 ZINC000635680874 422236106 /nfs/dbraw/zinc/23/61/06/422236106.db2.gz HZPBGBTWQFSWBW-UHFFFAOYSA-N -1 1 301.350 1.875 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1cscn1)[C@H](O)C(F)(F)F ZINC000632305669 422238293 /nfs/dbraw/zinc/23/82/93/422238293.db2.gz ACWKPYPBAAOUHV-YUMQZZPRSA-N -1 1 318.342 1.369 20 0 DDADMM COc1cccc([C@H](N)C(=O)N2C[C@@H](C)C[C@H](C(=O)[O-])C2)c1 ZINC000630574444 422189839 /nfs/dbraw/zinc/18/98/39/422189839.db2.gz PSPWEJJMHDAXQL-JKOKRWQUSA-N -1 1 306.362 1.264 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)c1ccccc1OC(F)F ZINC000630704345 422279596 /nfs/dbraw/zinc/27/95/96/422279596.db2.gz VXCYPRDTXZPRKG-MRVPVSSYSA-N -1 1 311.292 1.611 20 0 DDADMM CCOC1(C)CN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000632378699 422291791 /nfs/dbraw/zinc/29/17/91/422291791.db2.gz DKUZRRDLRCHZSH-UHFFFAOYSA-N -1 1 301.364 1.200 20 0 DDADMM CC[C@@](NC(=O)Cc1ccc([O-])c(Cl)c1)(C(N)=O)C(C)C ZINC000632378191 422291000 /nfs/dbraw/zinc/29/10/00/422291000.db2.gz WJLRSXYRHITFTO-HNNXBMFYSA-N -1 1 312.797 1.994 20 0 DDADMM CCN(CCNC(=O)CCc1nn[n-]n1)c1ccccc1C ZINC000630746815 422310715 /nfs/dbraw/zinc/31/07/15/422310715.db2.gz HMLINYJLAKMGQA-UHFFFAOYSA-N -1 1 302.382 1.083 20 0 DDADMM Cc1cc2c(o1)CC(C)(C)C[C@@H]2NC(=O)CCc1nn[n-]n1 ZINC000630754190 422315869 /nfs/dbraw/zinc/31/58/69/422315869.db2.gz NZHCYDQZZSMKRC-NSHDSACASA-N -1 1 303.366 1.864 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1Cc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000632436564 422342756 /nfs/dbraw/zinc/34/27/56/422342756.db2.gz KKNKIZWNUKKQAM-PELKAZGASA-N -1 1 305.769 1.755 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(c2ccsc2)CC1 ZINC000635820895 422376470 /nfs/dbraw/zinc/37/64/70/422376470.db2.gz HSCAOFNLKYWJSB-UHFFFAOYSA-N -1 1 305.407 1.990 20 0 DDADMM CO[C@@H](c1ccc(F)cc1)[C@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635761150 422319141 /nfs/dbraw/zinc/31/91/41/422319141.db2.gz JSYWJWBABXZASO-ZUZCIYMTSA-N -1 1 321.356 1.554 20 0 DDADMM NC(=O)[C@H]1C[C@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000628777662 422322037 /nfs/dbraw/zinc/32/20/37/422322037.db2.gz WHWVRLQKTPWCDP-FKQCQYRASA-N -1 1 302.252 1.405 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000635767293 422326593 /nfs/dbraw/zinc/32/65/93/422326593.db2.gz YCYCFQLMTFRSHP-OLZOCXBDSA-N -1 1 307.398 1.188 20 0 DDADMM C[C@@]1(c2ccc(F)cc2)CCN(C(=O)CCCc2nn[n-]n2)C1 ZINC000635885657 422440502 /nfs/dbraw/zinc/44/05/02/422440502.db2.gz FWSRRFVLSJHFQZ-MRXNPFEDSA-N -1 1 317.368 1.852 20 0 DDADMM C[C@@H](O)C[C@H]1COCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632491857 422387486 /nfs/dbraw/zinc/38/74/86/422387486.db2.gz QXYLYYRHGJSLSV-PWSUYJOCSA-N -1 1 313.781 1.586 20 0 DDADMM CC1(C)CN(C(=O)CCCc2nn[n-]n2)CC[C@H]1C(F)(F)F ZINC000635846294 422403234 /nfs/dbraw/zinc/40/32/34/422403234.db2.gz YBZQJGYSTCYLDS-SECBINFHSA-N -1 1 319.331 1.959 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncc(C)cc1[O-])c1cccnc1 ZINC000577686657 422405979 /nfs/dbraw/zinc/40/59/79/422405979.db2.gz AWVFMJGNIYYUQX-GFCCVEGCSA-N -1 1 301.302 1.135 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@H](C)[C@@H](O)C(F)(F)F)s1 ZINC000632673442 422509703 /nfs/dbraw/zinc/50/97/03/422509703.db2.gz KKAXYQNVTIZSRW-CLZZGJSISA-N -1 1 304.315 1.042 20 0 DDADMM O=c1c2c([n-]n1[C@H]1CCCS(=O)(=O)C1)-c1ccccc1CC2 ZINC000634633846 422518550 /nfs/dbraw/zinc/51/85/50/422518550.db2.gz HWMNORIASWWQNS-GXTWGEPZSA-N -1 1 318.398 1.373 20 0 DDADMM CCC[C@@H](CCO)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000632620588 422478062 /nfs/dbraw/zinc/47/80/62/422478062.db2.gz RBHIQDFUWFBPFC-VIFPVBQESA-N -1 1 323.361 1.803 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N=c1nc(C2CCOCC2)[n-]s1 ZINC000629105132 422478441 /nfs/dbraw/zinc/47/84/41/422478441.db2.gz NJFFRNCVHVUJIN-SECBINFHSA-N -1 1 321.406 1.394 20 0 DDADMM Cc1ccc(C2(CNC(=O)CCCc3nn[n-]n3)CC2)c(C)c1 ZINC000635985276 422540869 /nfs/dbraw/zinc/54/08/69/422540869.db2.gz FDRPSLBDEJZYRV-UHFFFAOYSA-N -1 1 313.405 1.987 20 0 DDADMM Cc1cnc(SCC(=O)NCCOc2ccccc2)[n-]c1=O ZINC000578380205 422559236 /nfs/dbraw/zinc/55/92/36/422559236.db2.gz WBTQARJRQRTTJS-UHFFFAOYSA-N -1 1 319.386 1.778 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1c(C)nn(C)c1C)C1CC1 ZINC000629285767 422584674 /nfs/dbraw/zinc/58/46/74/422584674.db2.gz YWOMQQUCKFZOGO-LBPRGKRZSA-N -1 1 301.412 1.594 20 0 DDADMM NC(=O)C[C@@H]1CN(C(=O)c2cc3ccccc3cc2[O-])CCO1 ZINC000629403649 422657314 /nfs/dbraw/zinc/65/73/14/422657314.db2.gz VOZFJIGMGXXCRJ-CYBMUJFWSA-N -1 1 314.341 1.262 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1(c2ccccc2Cl)CC1 ZINC000636074913 422619101 /nfs/dbraw/zinc/61/91/01/422619101.db2.gz SQWLUAVZQCHZOA-UHFFFAOYSA-N -1 1 305.769 1.981 20 0 DDADMM CN(C)Cc1cc(NC(=O)CCc2nn[n-]n2)ccc1Cl ZINC000632849895 422625449 /nfs/dbraw/zinc/62/54/49/422625449.db2.gz IHSZQRUVMKPMJJ-UHFFFAOYSA-N -1 1 308.773 1.486 20 0 DDADMM CCC[C@@H](NC(N)=O)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629375454 422637364 /nfs/dbraw/zinc/63/73/64/422637364.db2.gz FSKFLYRVUYARAH-GFCCVEGCSA-N -1 1 317.349 1.353 20 0 DDADMM O=C(c1ncc2ccccn21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000632881874 422640962 /nfs/dbraw/zinc/64/09/62/422640962.db2.gz VJQLVISYQDZPRR-UHFFFAOYSA-N -1 1 313.317 1.443 20 0 DDADMM CC(C)O[C@@]1(CS(=O)(=O)[N-]c2cccc(O)c2)CCOC1 ZINC000634785143 422646093 /nfs/dbraw/zinc/64/60/93/422646093.db2.gz VPSANYALQFVMBR-AWEZNQCLSA-N -1 1 315.391 1.718 20 0 DDADMM CC(C)c1nc(=NC[C@@H]2CS(=O)(=O)c3ccccc32)o[n-]1 ZINC000579076176 422698979 /nfs/dbraw/zinc/69/89/79/422698979.db2.gz ZLPSBRNFUXIMTP-SNVBAGLBSA-N -1 1 307.375 1.598 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1c(F)cccc1F)c1nn[n-]n1 ZINC000183404776 263379504 /nfs/dbraw/zinc/37/95/04/263379504.db2.gz GEXXQLROVQONST-XCODYQFDSA-N -1 1 307.304 1.753 20 0 DDADMM O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)Nc1c([O-])cccc1F ZINC000645926921 423245642 /nfs/dbraw/zinc/24/56/42/423245642.db2.gz QTPGSOYUJDJRIB-AOOOYVTPSA-N -1 1 318.304 1.421 20 0 DDADMM COc1cccc(NCC(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC000653057755 423280616 /nfs/dbraw/zinc/28/06/16/423280616.db2.gz PJNXJEVRVNMHTC-UHFFFAOYSA-N -1 1 315.255 1.883 20 0 DDADMM Cc1cc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)sc1C ZINC000643909276 423401893 /nfs/dbraw/zinc/40/18/93/423401893.db2.gz PCRJRSJCJDUZLW-UHFFFAOYSA-N -1 1 303.347 1.079 20 0 DDADMM CCc1nc(SCCN2C(=O)CN(C)C2=O)[n-]c(=O)c1C ZINC000641486850 423665311 /nfs/dbraw/zinc/66/53/11/423665311.db2.gz IBKNOEGLRAXGBO-UHFFFAOYSA-N -1 1 310.379 1.039 20 0 DDADMM Cn1nnc2cc([N-]S(=O)(=O)C[C@@H]3CCCCO3)ccc21 ZINC000647135795 423774212 /nfs/dbraw/zinc/77/42/12/423774212.db2.gz FPFBYKAJRTVOLX-NSHDSACASA-N -1 1 310.379 1.279 20 0 DDADMM Cc1ccc(-n2[n-]nnc2=NCc2nnc(C(C)(C)C)[nH]2)cc1 ZINC000665735661 423786687 /nfs/dbraw/zinc/78/66/87/423786687.db2.gz PJYNPXJCHIDNIK-UHFFFAOYSA-N -1 1 312.381 1.420 20 0 DDADMM Cc1ccc(-n2[n-]nnc2=NCc2n[nH]c(C(C)(C)C)n2)cc1 ZINC000665735661 423786694 /nfs/dbraw/zinc/78/66/94/423786694.db2.gz PJYNPXJCHIDNIK-UHFFFAOYSA-N -1 1 312.381 1.420 20 0 DDADMM O=C([O-])[C@H]1CC[C@@H](Nc2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000649402704 423863113 /nfs/dbraw/zinc/86/31/13/423863113.db2.gz URAOFOBQGQVOEM-NTSWFWBYSA-N -1 1 315.255 1.459 20 0 DDADMM CCc1c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cnn1C ZINC000644883543 424008774 /nfs/dbraw/zinc/00/87/74/424008774.db2.gz NDZUZPFGABBABY-SECBINFHSA-N -1 1 305.338 1.091 20 0 DDADMM O=C(c1cnc2ccccn21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644886828 424016880 /nfs/dbraw/zinc/01/68/80/424016880.db2.gz FBDOJYBPUHQXFY-UHFFFAOYSA-N -1 1 313.317 1.443 20 0 DDADMM O=C([C@@H]1Cc2ccc(F)cc21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887236 424017074 /nfs/dbraw/zinc/01/70/74/424017074.db2.gz SOLCQXBOXNXXMZ-CYBMUJFWSA-N -1 1 317.320 1.960 20 0 DDADMM CCC[C@@H](NC(=O)c1scc2c1OCCO2)c1nn[n-]n1 ZINC000654871407 423988343 /nfs/dbraw/zinc/98/83/43/423988343.db2.gz QOEQTHVWHWSWAD-SSDOTTSWSA-N -1 1 309.351 1.304 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1cc(F)ccc1F)[C@@H]1CCOC1 ZINC000657047078 424038090 /nfs/dbraw/zinc/03/80/90/424038090.db2.gz OTXVSTJKMGJYAK-SKDRFNHKSA-N -1 1 321.345 1.295 20 0 DDADMM COC[C@@H](CC[N-]S(=O)(=O)c1c(F)cc(C)cc1F)OC ZINC000657052700 424043014 /nfs/dbraw/zinc/04/30/14/424043014.db2.gz YGYUIWCUEGSFGG-SNVBAGLBSA-N -1 1 323.361 1.603 20 0 DDADMM O=C([O-])C[C@H](NC(=O)c1ccc2[nH]nnc2c1)c1cccs1 ZINC000642227317 424133506 /nfs/dbraw/zinc/13/35/06/424133506.db2.gz UNWSAIXGGRBCKI-NSHDSACASA-N -1 1 316.342 1.965 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](O)C12CCC2)c1cc(F)ccc1F ZINC000657185010 424197298 /nfs/dbraw/zinc/19/72/98/424197298.db2.gz PBFVDTHTOHYOHS-RYUDHWBXSA-N -1 1 303.330 1.547 20 0 DDADMM C[C@@H](O)C1([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CC1 ZINC000660046867 424484034 /nfs/dbraw/zinc/48/40/34/424484034.db2.gz NJVFXMPMZFLRIK-SSDOTTSWSA-N -1 1 310.297 1.292 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)CC1 ZINC000655637982 424607644 /nfs/dbraw/zinc/60/76/44/424607644.db2.gz RPRKNSLFNCQIHK-UHFFFAOYSA-N -1 1 305.346 1.978 20 0 DDADMM Nc1cccc(CC(=O)NC2(c3nn[n-]n3)CCCC2)c1Cl ZINC000662615324 424711667 /nfs/dbraw/zinc/71/16/67/424711667.db2.gz MLPAIYGEZRZNNS-UHFFFAOYSA-N -1 1 320.784 1.564 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)N[C@H]1CCc2[nH]cnc2C1)C1CCC1 ZINC000665312252 424779779 /nfs/dbraw/zinc/77/97/79/424779779.db2.gz HRNCDGWGRSCKON-QWRGUYRKSA-N -1 1 306.366 1.067 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)N[C@@H]1CCc2[nH]cnc2C1)C1CCC1 ZINC000665312251 424780098 /nfs/dbraw/zinc/78/00/98/424780098.db2.gz HRNCDGWGRSCKON-MNOVXSKESA-N -1 1 306.366 1.067 20 0 DDADMM CC[C@@H](C)N1CCN(C(=O)NC[C@@H](C(=O)[O-])C2CCC2)CC1 ZINC000665318220 424781588 /nfs/dbraw/zinc/78/15/88/424781588.db2.gz RQTZSQWSAYYEBV-TZMCWYRMSA-N -1 1 311.426 1.613 20 0 DDADMM CN(CC[C@@H]1Sc2ccccc2NC1=O)Cc1n[n-]c(=O)o1 ZINC000660843696 424786288 /nfs/dbraw/zinc/78/62/88/424786288.db2.gz HNVRWRPFWYTVJN-NSHDSACASA-N -1 1 320.374 1.710 20 0 DDADMM COc1ccc(NC(C)=O)cc1S(=O)(=O)[N-]c1c[nH]nc1C ZINC000341778482 271209961 /nfs/dbraw/zinc/20/99/61/271209961.db2.gz XJBNNYSKMAFHGS-UHFFFAOYSA-N -1 1 324.362 1.486 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)Nc2cc(C)cn(C)c2=O)c1 ZINC000342785034 271516229 /nfs/dbraw/zinc/51/62/29/271516229.db2.gz XOCYJBGPAYPBOZ-UHFFFAOYSA-N -1 1 324.358 1.209 20 0 DDADMM COc1ccc(NC(=O)CNC(=O)c2ncccc2[O-])c(C)c1 ZINC000343303193 271724563 /nfs/dbraw/zinc/72/45/63/271724563.db2.gz JZYBJINOVNTKBB-UHFFFAOYSA-N -1 1 315.329 1.473 20 0 DDADMM C[C@@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000343987967 271927413 /nfs/dbraw/zinc/92/74/13/271927413.db2.gz YFJRJHYHJZGIRI-JVXZTZIISA-N -1 1 309.309 1.950 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1c[nH]cn1)c1ccc(C)c(C)c1 ZINC000345652625 272295752 /nfs/dbraw/zinc/29/57/52/272295752.db2.gz RIUVKJFEJRBYPG-CYBMUJFWSA-N -1 1 323.374 1.219 20 0 DDADMM CC(C)(C)[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000332520389 275838419 /nfs/dbraw/zinc/83/84/19/275838419.db2.gz MAAJSQVJLRUQLD-ZDUSSCGKSA-N -1 1 315.373 1.810 20 0 DDADMM CC(C)(C)c1nc(=NC(=O)N2CCN(C3CCC3)CC2)s[n-]1 ZINC000329341294 278568739 /nfs/dbraw/zinc/56/87/39/278568739.db2.gz SANIGYBVUOBSMR-UHFFFAOYSA-N -1 1 323.466 1.960 20 0 DDADMM CC(C)c1ncc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)s1 ZINC000127004314 281260100 /nfs/dbraw/zinc/26/01/00/281260100.db2.gz PZVPFJXMNGZGDX-UHFFFAOYSA-N -1 1 315.380 1.040 20 0 DDADMM CC(C)c1ncc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)s1 ZINC000127004314 281260101 /nfs/dbraw/zinc/26/01/01/281260101.db2.gz PZVPFJXMNGZGDX-UHFFFAOYSA-N -1 1 315.380 1.040 20 0 DDADMM CN1CC[C@H]2OCCN(C(=O)c3cc(F)c([O-])c(F)c3)[C@@H]2C1 ZINC000285899534 290235005 /nfs/dbraw/zinc/23/50/05/290235005.db2.gz STMOFPZICUVCOH-CHWSQXEVSA-N -1 1 312.316 1.216 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)C[C@H](C)[C@@H]2C)o1 ZINC000354730795 306785249 /nfs/dbraw/zinc/78/52/49/306785249.db2.gz KTKNBLAUWGQPEN-VWYCJHECSA-N -1 1 314.407 1.694 20 0 DDADMM CCCOc1ccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000353957667 298323944 /nfs/dbraw/zinc/32/39/44/298323944.db2.gz BGNOUMPACKWZDT-UHFFFAOYSA-N -1 1 313.317 1.459 20 0 DDADMM CC(C)[C@H]1CN(C(=O)N=c2[n-]nc(C(F)F)s2)CCN1C ZINC000362728018 300124877 /nfs/dbraw/zinc/12/48/77/300124877.db2.gz KFVAGOLRLBBZNP-MRVPVSSYSA-N -1 1 319.381 1.702 20 0 DDADMM COc1ccc(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000362781481 300139723 /nfs/dbraw/zinc/13/97/23/300139723.db2.gz NJRFPCSBVWKTDV-GFCCVEGCSA-N -1 1 301.350 1.157 20 0 DDADMM C[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CC[S@@](=O)C1 ZINC000290275346 300182289 /nfs/dbraw/zinc/18/22/89/300182289.db2.gz YMEQBQZUTJTPEV-FFVOIRBGSA-N -1 1 303.330 1.511 20 0 DDADMM CC(=O)c1cc(C(=O)NC(C)(C)c2nn[n-]n2)c(C)nc1C ZINC000362964176 300189761 /nfs/dbraw/zinc/18/97/61/300189761.db2.gz SFHDJKHVZWQZFR-UHFFFAOYSA-N -1 1 302.338 1.079 20 0 DDADMM CO[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000362982612 300193616 /nfs/dbraw/zinc/19/36/16/300193616.db2.gz NRKYLKUNVVQHAR-GHMZBOCLSA-N -1 1 304.437 1.996 20 0 DDADMM O=C([N-]OCc1ccccc1)[C@@H]1CC(=O)N(c2nccs2)C1 ZINC000363115094 300213950 /nfs/dbraw/zinc/21/39/50/300213950.db2.gz WCRNVSYDEQBZLP-GFCCVEGCSA-N -1 1 317.370 1.744 20 0 DDADMM NC(=O)[C@]1(NC(=O)c2cc3ccccc3cc2[O-])CCCOC1 ZINC000366886557 300765649 /nfs/dbraw/zinc/76/56/49/300765649.db2.gz WSNLZGXUJKTQTQ-KRWDZBQOSA-N -1 1 314.341 1.310 20 0 DDADMM COCCn1cc2c(n1)[C@@H](NC(=O)c1ncccc1[O-])CCC2 ZINC000368128064 300959921 /nfs/dbraw/zinc/95/99/21/300959921.db2.gz DDZDWHPSXGWKKX-LBPRGKRZSA-N -1 1 316.361 1.438 20 0 DDADMM Cn1cnnc1C1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000368453918 301031452 /nfs/dbraw/zinc/03/14/52/301031452.db2.gz NIUJKJOHTOJILP-UHFFFAOYSA-N -1 1 304.325 1.680 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC23CCCCC3)co1 ZINC000368894550 301102934 /nfs/dbraw/zinc/10/29/34/301102934.db2.gz MSQGTWZTWWRTCT-UHFFFAOYSA-N -1 1 312.391 1.737 20 0 DDADMM O=C(c1cc(Cl)ccc1F)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370890614 301399743 /nfs/dbraw/zinc/39/97/43/301399743.db2.gz FNLUQXLUAHTWJP-JTQLQIEISA-N -1 1 311.704 1.206 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C12CCC(CC1)C2 ZINC000371745912 301494848 /nfs/dbraw/zinc/49/48/48/301494848.db2.gz ZQLMVFOGUSLBQN-UHFFFAOYSA-N -1 1 313.379 1.197 20 0 DDADMM O=C(c1ccnn1-c1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000376147774 302039852 /nfs/dbraw/zinc/03/98/52/302039852.db2.gz ISQUKXPGUBYEGI-GFCCVEGCSA-N -1 1 323.360 1.405 20 0 DDADMM Cc1cc(C(=O)N=c2nc(-c3c(F)cccc3F)[nH][n-]2)no1 ZINC000377970772 302259263 /nfs/dbraw/zinc/25/92/63/302259263.db2.gz WMJLOPFCYADRMO-UHFFFAOYSA-N -1 1 305.244 1.721 20 0 DDADMM COc1ccc([C@@H]2C[C@@H](C)N(CC(=O)NCC(=O)[O-])C2)cc1 ZINC000378577708 302338288 /nfs/dbraw/zinc/33/82/88/302338288.db2.gz JYRNZOYJOMUIID-DGCLKSJQSA-N -1 1 306.362 1.074 20 0 DDADMM CCc1nc(SCC(=O)NCC(F)(F)F)[n-]c(=O)c1C ZINC000516088689 302783142 /nfs/dbraw/zinc/78/31/42/302783142.db2.gz JBAOPOCGCLCLNF-UHFFFAOYSA-N -1 1 309.313 1.824 20 0 DDADMM Cc1nc(-c2ccc(NC[C@H]3COCCO3)nc2)[n-]c(=O)c1C ZINC000525193402 302909508 /nfs/dbraw/zinc/90/95/08/302909508.db2.gz JEWXTBYCTQLLQG-ZDUSSCGKSA-N -1 1 316.361 1.688 20 0 DDADMM CCN(Cc1ccccc1)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000357215630 306873436 /nfs/dbraw/zinc/87/34/36/306873436.db2.gz FROFIUUUOQQREN-UHFFFAOYSA-N -1 1 303.318 1.559 20 0 DDADMM CC1(C)OC[C@H]2C[C@]21[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000528490758 303034386 /nfs/dbraw/zinc/03/43/86/303034386.db2.gz FAKRYFPYRKNKLV-UHLUBPPHSA-N -1 1 321.320 1.950 20 0 DDADMM CC(C)(C)c1nc(CN2CCc3occc3[C@@H]2C(=O)[O-])n[nH]1 ZINC000530163770 303177523 /nfs/dbraw/zinc/17/75/23/303177523.db2.gz GKKNQMIRVDDHNF-GFCCVEGCSA-N -1 1 304.350 1.879 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2nc(C)c[nH]2)c(=O)[n-]1 ZINC000531624767 303252272 /nfs/dbraw/zinc/25/22/72/303252272.db2.gz NNMRSGRQAQMEIW-UHFFFAOYSA-N -1 1 307.379 1.815 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)c2cnn(C)c2)sc1C ZINC000532496097 303287961 /nfs/dbraw/zinc/28/79/61/303287961.db2.gz KVCSLDHBYWSWRR-MRVPVSSYSA-N -1 1 300.409 1.533 20 0 DDADMM COc1cccc(CN(C)C(=O)CNC2(C(=O)[O-])CCCC2)c1 ZINC000532712595 303294586 /nfs/dbraw/zinc/29/45/86/303294586.db2.gz DKKJWLOZAPUGNI-UHFFFAOYSA-N -1 1 320.389 1.641 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCCc3ccccc32)CCCC1 ZINC000532710734 303294597 /nfs/dbraw/zinc/29/45/97/303294597.db2.gz BKISXWBSFBTTFD-UHFFFAOYSA-N -1 1 302.374 1.953 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCOC(C)(C)C)c1 ZINC000532800785 303298328 /nfs/dbraw/zinc/29/83/28/303298328.db2.gz GKWWRJWFQRJFBV-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM Cc1cc([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)c(C)s1 ZINC000543698971 303461568 /nfs/dbraw/zinc/46/15/68/303461568.db2.gz HADAOWUFBBSLGH-QMMMGPOBSA-N -1 1 317.374 1.587 20 0 DDADMM COc1cc(C(=O)NCC(=O)NC(C)(C)C)cc(Cl)c1[O-] ZINC000358166007 306932362 /nfs/dbraw/zinc/93/23/62/306932362.db2.gz WBJGUTNPKCHTDC-UHFFFAOYSA-N -1 1 314.769 1.699 20 0 DDADMM CC(C)[C@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363571644 307031760 /nfs/dbraw/zinc/03/17/60/307031760.db2.gz RBOPKXDEDGLGLS-KGLIPLIRSA-N -1 1 315.377 1.539 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccc([C@H]2C[C@H]2C)o1 ZINC000363682035 307033504 /nfs/dbraw/zinc/03/35/04/307033504.db2.gz WFWSGLDXXYUGDH-ZJUUUORDSA-N -1 1 317.345 1.987 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@H]1C[C@@]12CCOC2 ZINC000363846932 307036736 /nfs/dbraw/zinc/03/67/36/307036736.db2.gz CLTCVVQYCGHCGD-JMCQJSRRSA-N -1 1 313.151 1.915 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2cc(F)ccc2[O-])CC12CCC2 ZINC000365204023 307062156 /nfs/dbraw/zinc/06/21/56/307062156.db2.gz YUCHRFKUZRPBED-GFCCVEGCSA-N -1 1 307.321 1.947 20 0 DDADMM O=C(c1ccc(F)c(Cl)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370737429 307134705 /nfs/dbraw/zinc/13/47/05/307134705.db2.gz IYWYGGOGAALLLT-SNVBAGLBSA-N -1 1 311.704 1.206 20 0 DDADMM O=C(CCSc1ccccn1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000371296706 307146634 /nfs/dbraw/zinc/14/66/34/307146634.db2.gz BZASFMSGVWUBQA-NSHDSACASA-N -1 1 318.406 1.483 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2c([O-])cnc3ccccc32)C[C@H]1C ZINC000373516722 307189801 /nfs/dbraw/zinc/18/98/01/307189801.db2.gz AVYXYKWFVHMZQJ-ZYHUDNBSSA-N -1 1 314.341 1.822 20 0 DDADMM Cc1nc([C@H](NC(=O)CC(C)(C)C(=O)[O-])c2ccccc2)n[nH]1 ZINC000377588272 307273920 /nfs/dbraw/zinc/27/39/20/307273920.db2.gz RCBKGQMQRFLOTH-CYBMUJFWSA-N -1 1 316.361 1.820 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)C(=O)OC(C)C)c(F)c1 ZINC000425188625 307301842 /nfs/dbraw/zinc/30/18/42/307301842.db2.gz RBYSPTGIQHANRY-VIFPVBQESA-N -1 1 321.345 1.892 20 0 DDADMM Cn1ncc([N-]S(=O)(=O)N2CCCCC2)c1C(F)(F)F ZINC000436168109 307305573 /nfs/dbraw/zinc/30/55/73/307305573.db2.gz XAZMIISLLANFCZ-UHFFFAOYSA-N -1 1 312.317 1.582 20 0 DDADMM CCCN(CCC)S(=O)(=O)[N-]c1ccn(CCCOC)n1 ZINC000530628835 307603215 /nfs/dbraw/zinc/60/32/15/307603215.db2.gz JUMRCDCORMALPL-UHFFFAOYSA-N -1 1 318.443 1.698 20 0 DDADMM O=S(=O)([N-]CCCCn1ccnc1)c1cc(F)ccc1F ZINC000540472769 307686503 /nfs/dbraw/zinc/68/65/03/307686503.db2.gz LOZUQCBOBBQTBG-UHFFFAOYSA-N -1 1 315.345 1.920 20 0 DDADMM CCC[C@@H](C)[C@@H](CO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000565083182 308019497 /nfs/dbraw/zinc/01/94/97/308019497.db2.gz LDDAMTKCYKQFIF-LDYMZIIASA-N -1 1 306.453 1.835 20 0 DDADMM C[C@H]1CCN(CC(=O)Nc2ccc(F)c(F)c2)C[C@@H]1C(=O)[O-] ZINC000570450638 308169616 /nfs/dbraw/zinc/16/96/16/308169616.db2.gz NNSXWYJAJDVBEC-ONGXEEELSA-N -1 1 312.316 1.946 20 0 DDADMM CCc1nc(S[C@H](C)C(=O)Nc2ncccn2)[n-]c(=O)c1C ZINC000572016207 308208525 /nfs/dbraw/zinc/20/85/25/308208525.db2.gz FIUOONWLIYRAIF-SECBINFHSA-N -1 1 319.390 1.962 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1C[C@H](C(=O)[O-])CC[C@H]1C ZINC000576583963 308324915 /nfs/dbraw/zinc/32/49/15/308324915.db2.gz KHJGYBOUXPBDKS-GHMZBOCLSA-N -1 1 324.343 1.971 20 0 DDADMM CO[C@@H]1C[C@@H](C(=O)[O-])N(Cc2ccc(-n3cccn3)cc2)C1 ZINC000576590762 308325682 /nfs/dbraw/zinc/32/56/82/308325682.db2.gz DPXHUFHPYZEZND-CABCVRRESA-N -1 1 301.346 1.546 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@@H]1CCOC1)c1sccc1Cl ZINC000581439842 325854184 /nfs/dbraw/zinc/85/41/84/325854184.db2.gz KVLWFDYPBAHUAM-VXNVDRBHSA-N -1 1 311.812 1.077 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@H]1CCOC1)c1sccc1Cl ZINC000581439840 325854240 /nfs/dbraw/zinc/85/42/40/325854240.db2.gz KVLWFDYPBAHUAM-CBAPKCEASA-N -1 1 311.812 1.077 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)NC[C@H](C(=O)[O-])C(C)C)n[nH]1 ZINC000655895128 483986981 /nfs/dbraw/zinc/98/69/81/483986981.db2.gz QJXGYUSLOJSMEC-ZJUUUORDSA-N -1 1 311.386 1.645 20 0 DDADMM COC(=O)Cn1ncc(NC(=O)c2ccc(O)cc2[O-])c1C ZINC000436991677 484134628 /nfs/dbraw/zinc/13/46/28/484134628.db2.gz SFHAWAHBUSWTOL-UHFFFAOYSA-N -1 1 305.290 1.028 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cncc(F)c1)[C@H]1CCOC1 ZINC000656736530 484308605 /nfs/dbraw/zinc/30/86/05/484308605.db2.gz BXQVJNZROWSRLX-JOYOIKCWSA-N -1 1 304.343 1.014 20 0 DDADMM O=C([O-])C12CC(C1)CN2Cc1ccc(OCC(F)(F)F)nn1 ZINC000656761379 484316708 /nfs/dbraw/zinc/31/67/08/484316708.db2.gz QBQGRDFESLKCPD-UHFFFAOYSA-N -1 1 317.267 1.467 20 0 DDADMM C[C@@H](CNC(=O)[C@@H](C(=O)[O-])C1CC1)N1CCc2ccccc2C1 ZINC000663094677 484661269 /nfs/dbraw/zinc/66/12/69/484661269.db2.gz DQIROQTUWJPFHY-LRDDRELGSA-N -1 1 316.401 1.660 20 0 DDADMM C[C@]1(C(=O)[O-])CCC[C@@H]1NC(=O)Cc1[nH]nc2ccccc21 ZINC000659237827 484704416 /nfs/dbraw/zinc/70/44/16/484704416.db2.gz QXSQJJMVEBTFNF-BBRMVZONSA-N -1 1 301.346 1.865 20 0 DDADMM O=C(c1cn2ccccc2n1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405657 484809141 /nfs/dbraw/zinc/80/91/41/484809141.db2.gz PATWCCZISVTBMQ-UHFFFAOYSA-N -1 1 313.317 1.443 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2cc(Cl)ccc2F)CCC1 ZINC000671177613 484964302 /nfs/dbraw/zinc/96/43/02/484964302.db2.gz YDHDUXILBDEUHP-UHFFFAOYSA-N -1 1 306.746 1.165 20 0 DDADMM O=C(NC[C@@H]1CCS(=O)(=O)C1)c1ncc2ccccc2c1[O-] ZINC000666007913 485043869 /nfs/dbraw/zinc/04/38/69/485043869.db2.gz CCIVOLOCMKCHSQ-JTQLQIEISA-N -1 1 320.370 1.105 20 0 DDADMM CCC[C@@H](O)[C@@H](CO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000666044394 485060474 /nfs/dbraw/zinc/06/04/74/485060474.db2.gz NXRCFOPQQMSLKI-GHMZBOCLSA-N -1 1 321.295 1.663 20 0 DDADMM COc1ccc([N-]S(=O)(=O)CC(C)(C)OC)c(OC)n1 ZINC000666299982 485164986 /nfs/dbraw/zinc/16/49/86/485164986.db2.gz JLTOITUVQVJHTC-UHFFFAOYSA-N -1 1 304.368 1.266 20 0 DDADMM CN1C(=O)CC[C@@H]1CC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000666314223 485169904 /nfs/dbraw/zinc/16/99/04/485169904.db2.gz OEVKFGVGOUHYRX-GFCCVEGCSA-N -1 1 314.345 1.732 20 0 DDADMM C[C@@H](CS(C)(=O)=O)N(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000668630819 485248160 /nfs/dbraw/zinc/24/81/60/485248160.db2.gz JERSYRKGIYMLHW-JTQLQIEISA-N -1 1 322.386 1.446 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ncnc2sccc21 ZINC000668868896 485345266 /nfs/dbraw/zinc/34/52/66/485345266.db2.gz HIIXQKMSOUULCF-UHFFFAOYSA-N -1 1 315.362 1.404 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CC12CCOCC2 ZINC000674011237 485510158 /nfs/dbraw/zinc/51/01/58/485510158.db2.gz QJRFANBORFTEPO-STQMWFEESA-N -1 1 313.361 1.222 20 0 DDADMM COCCN(C(=O)c1nc2ccccc2c(=O)[n-]1)C1CCCC1 ZINC000674072299 485528686 /nfs/dbraw/zinc/52/86/86/485528686.db2.gz CVLUVNVMSYBDHP-UHFFFAOYSA-N -1 1 315.373 1.954 20 0 DDADMM COc1cc(C(=O)N2CC[C@@H](n3cncn3)C2)cc(Cl)c1[O-] ZINC000682363753 485570162 /nfs/dbraw/zinc/57/01/62/485570162.db2.gz WPHQRVFLOVMMQM-SNVBAGLBSA-N -1 1 322.752 1.733 20 0 DDADMM CCC[C@H](NC(=O)c1cnn(CC(F)(F)F)c1)c1nn[n-]n1 ZINC000682509485 485637047 /nfs/dbraw/zinc/63/70/47/485637047.db2.gz GYCQAASYZGARAL-QMMMGPOBSA-N -1 1 317.275 1.230 20 0 DDADMM COc1nnc([N-]C(=O)c2c(C)oc3nc[nH]c(=O)c32)s1 ZINC000682690762 485742998 /nfs/dbraw/zinc/74/29/98/485742998.db2.gz RCGOEFJDFLHZBE-UHFFFAOYSA-N -1 1 307.291 1.349 20 0 DDADMM Cc1cc(C(=O)NCc2nn[n-]n2)nn1-c1cccc(Cl)c1 ZINC000679101487 485777055 /nfs/dbraw/zinc/77/70/55/485777055.db2.gz URCDKVXVPHDXAM-UHFFFAOYSA-N -1 1 317.740 1.277 20 0 DDADMM Cc1cc(C(=O)NCc2nn[n-]n2)nn1-c1cc(C)cc(C)c1 ZINC000679100758 485777112 /nfs/dbraw/zinc/77/71/12/485777112.db2.gz LVVCNQUKMABAMM-UHFFFAOYSA-N -1 1 311.349 1.241 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC2(OC)CCC2)c(F)c1 ZINC000679471701 485896045 /nfs/dbraw/zinc/89/60/45/485896045.db2.gz RJWBIDZRDFXNGN-UHFFFAOYSA-N -1 1 321.345 1.821 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(-c2ccc(Cl)cc2)c[nH]1 ZINC000683850012 486130336 /nfs/dbraw/zinc/13/03/36/486130336.db2.gz MWAKWDYRVGARGF-UHFFFAOYSA-N -1 1 302.725 1.778 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCO[C@H](C(=O)C2CC2)C1 ZINC000683910151 486159076 /nfs/dbraw/zinc/15/90/76/486159076.db2.gz QZRTZJOVLBVYOW-ZDUSSCGKSA-N -1 1 309.749 1.866 20 0 DDADMM C[C@H]1OCC[C@]12CN(C(=O)c1ccc([O-])c(F)c1)C[C@@H](C)O2 ZINC000684158476 486266863 /nfs/dbraw/zinc/26/68/63/486266863.db2.gz BIHCMKLZAAOPAN-UVWXRNBGSA-N -1 1 309.337 1.940 20 0 DDADMM CCn1nc2c(cc1=O)CN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC000681054832 486333594 /nfs/dbraw/zinc/33/35/94/486333594.db2.gz GAWGGYNGVOBSEL-UHFFFAOYSA-N -1 1 317.320 1.306 20 0 DDADMM O=C(c1csc(NC2CC2)n1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000676840469 486340878 /nfs/dbraw/zinc/34/08/78/486340878.db2.gz OPOZESYTDWJTIG-QMMMGPOBSA-N -1 1 319.394 1.250 20 0 DDADMM CC[C@H](C)[C@@H](O)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676860892 486348697 /nfs/dbraw/zinc/34/86/97/486348697.db2.gz XNFDLGWKTWOBFO-UFBFGSQYSA-N -1 1 323.361 1.659 20 0 DDADMM COc1cccc2c1nc(NC(=O)c1n[nH]c(C)c1[O-])n2C ZINC000681206008 486371774 /nfs/dbraw/zinc/37/17/74/486371774.db2.gz PCVNOZJEVCLEJD-UHFFFAOYSA-N -1 1 301.306 1.571 20 0 DDADMM C[C@@]1(O)CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000684537779 486378316 /nfs/dbraw/zinc/37/83/16/486378316.db2.gz ARHOEZXFRGUCTE-MRXNPFEDSA-N -1 1 301.346 1.300 20 0 DDADMM C[C@@H](CN(C)C(=O)CNc1ccccc1Cl)c1nn[n-]n1 ZINC000681352696 486410539 /nfs/dbraw/zinc/41/05/39/486410539.db2.gz CYBRXVATDLHSEZ-VIFPVBQESA-N -1 1 308.773 1.527 20 0 DDADMM CCN1CCN(C(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)C[C@@H]1C ZINC000677216502 486418860 /nfs/dbraw/zinc/41/88/60/486418860.db2.gz JLKSBBZSFJEOCY-NSHDSACASA-N -1 1 316.361 1.196 20 0 DDADMM C[C@H](C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C1CCOCC1 ZINC000677403982 486457881 /nfs/dbraw/zinc/45/78/81/486457881.db2.gz WRCCGUWRFHQBFE-SMDDNHRTSA-N -1 1 315.377 1.468 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCC1=O ZINC000677868655 486561048 /nfs/dbraw/zinc/56/10/48/486561048.db2.gz LLNRKQMRGXIWJI-MPKXVKKWSA-N -1 1 313.361 1.411 20 0 DDADMM COC(=O)N(C)CC(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000492026279 533869079 /nfs/dbraw/zinc/86/90/79/533869079.db2.gz QACZLZFJLOEOJN-UHFFFAOYSA-N -1 1 309.318 1.379 20 0 DDADMM COC(=O)[C@@H](C)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425168650 534216982 /nfs/dbraw/zinc/21/69/82/534216982.db2.gz MACLPFGVHHKJBB-QMMMGPOBSA-N -1 1 307.318 1.361 20 0 DDADMM O=C([O-])CC[C@H]1CCCCN1[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000424264277 534495939 /nfs/dbraw/zinc/49/59/39/534495939.db2.gz TXOMNJTUJHQQEC-GHMZBOCLSA-N -1 1 322.327 1.869 20 0 DDADMM O=C(Nc1ccncc1[O-])c1cn(-c2cccc(Cl)c2)nn1 ZINC000444290877 534772185 /nfs/dbraw/zinc/77/21/85/534772185.db2.gz PDQVCOPKEMSHSH-UHFFFAOYSA-N -1 1 315.720 1.696 20 0 DDADMM CC(C)(C)c1ccc([C@@H](O)CCC(=O)Nc2nnn[n-]2)cc1 ZINC000295722173 526789457 /nfs/dbraw/zinc/78/94/57/526789457.db2.gz DPEIVLGDJUXDSX-LBPRGKRZSA-N -1 1 303.366 1.950 20 0 DDADMM CC(C)(C)c1ccc([C@@H](O)CCC(=O)Nc2nn[n-]n2)cc1 ZINC000295722173 526789462 /nfs/dbraw/zinc/78/94/62/526789462.db2.gz DPEIVLGDJUXDSX-LBPRGKRZSA-N -1 1 303.366 1.950 20 0 DDADMM CCN(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425179395 527782109 /nfs/dbraw/zinc/78/21/09/527782109.db2.gz UKCSDBBXMYCKTK-VIFPVBQESA-N -1 1 320.361 1.418 20 0 DDADMM CCOC(=O)[C@@H](Cc1ccccc1)NC(=O)c1ncccc1[O-] ZINC000426636920 527788809 /nfs/dbraw/zinc/78/88/09/527788809.db2.gz IZNULJGYBHCTML-CYBMUJFWSA-N -1 1 314.341 1.691 20 0 DDADMM CCC[C@H](C)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000292392408 528021407 /nfs/dbraw/zinc/02/14/07/528021407.db2.gz ZKFIFHMLSBVVOU-VIFPVBQESA-N -1 1 300.380 1.675 20 0 DDADMM CC(C)Cn1cc([N-]S(=O)(=O)CCOCC2CC2)cn1 ZINC000424066640 528722520 /nfs/dbraw/zinc/72/25/20/528722520.db2.gz UAZFHGQSSLOJRT-UHFFFAOYSA-N -1 1 301.412 1.707 20 0 DDADMM CCC[C@@H](O)[C@H](CO)NC(=O)c1cc2ccccc2cc1[O-] ZINC000412511751 529070864 /nfs/dbraw/zinc/07/08/64/529070864.db2.gz HVTXHTCKADCVFQ-LSDHHAIUSA-N -1 1 303.358 1.797 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(=O)NC ZINC000452096202 529194390 /nfs/dbraw/zinc/19/43/90/529194390.db2.gz XXGMPYCLGGZDSH-SECBINFHSA-N -1 1 308.762 1.282 20 0 DDADMM CSCCCNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000737181219 598971177 /nfs/dbraw/zinc/97/11/77/598971177.db2.gz IRYBLFFKRJSQKO-UHFFFAOYSA-N -1 1 301.379 1.975 20 0 DDADMM CSCCCNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000737181219 598971178 /nfs/dbraw/zinc/97/11/78/598971178.db2.gz IRYBLFFKRJSQKO-UHFFFAOYSA-N -1 1 301.379 1.975 20 0 DDADMM Cc1ccc2c(c1)N(C(=O)c1ccc(-c3nnn[n-]3)nc1)CC2 ZINC000737376584 598999050 /nfs/dbraw/zinc/99/90/50/598999050.db2.gz ITFBHSVEIVTUAZ-UHFFFAOYSA-N -1 1 306.329 1.773 20 0 DDADMM Cc1ccc2c(c1)N(C(=O)c1ccc(-c3nn[n-]n3)nc1)CC2 ZINC000737376584 598999052 /nfs/dbraw/zinc/99/90/52/598999052.db2.gz ITFBHSVEIVTUAZ-UHFFFAOYSA-N -1 1 306.329 1.773 20 0 DDADMM CCc1ccc(CCn2nc(C)c(C)c(-c3nn[n-]n3)c2=O)cc1 ZINC000736684217 599011254 /nfs/dbraw/zinc/01/12/54/599011254.db2.gz WPNNNCYLVPWTLG-UHFFFAOYSA-N -1 1 324.388 1.845 20 0 DDADMM C[C@@H]1CCC[C@H](C)CN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736244745 599087052 /nfs/dbraw/zinc/08/70/52/599087052.db2.gz MUUKVBZIJHWFRC-WDEREUQCSA-N -1 1 300.366 1.912 20 0 DDADMM C[C@@H]1CCC[C@H](C)CN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736244745 599087055 /nfs/dbraw/zinc/08/70/55/599087055.db2.gz MUUKVBZIJHWFRC-WDEREUQCSA-N -1 1 300.366 1.912 20 0 DDADMM CC[S@](=O)CCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736663303 599204684 /nfs/dbraw/zinc/20/46/84/599204684.db2.gz CTLFFVCOZGNTIQ-IBGZPJMESA-N -1 1 300.775 1.096 20 0 DDADMM CC[S@](=O)CCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736663303 599204686 /nfs/dbraw/zinc/20/46/86/599204686.db2.gz CTLFFVCOZGNTIQ-IBGZPJMESA-N -1 1 300.775 1.096 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)NCCCCCC(=O)[O-] ZINC000316860897 597235291 /nfs/dbraw/zinc/23/52/91/597235291.db2.gz XZZHIMUAAAHVLM-ZIAGYGMSSA-N -1 1 311.426 1.900 20 0 DDADMM c1cc(-c2nnn[n-]2)oc1CNc1ccc(Cn2cncn2)cc1 ZINC000823820653 607312242 /nfs/dbraw/zinc/31/22/42/607312242.db2.gz IWYRMXPDGUSDDJ-UHFFFAOYSA-N -1 1 322.332 1.712 20 0 DDADMM c1cc(-c2nn[n-]n2)oc1CNc1ccc(Cn2cncn2)cc1 ZINC000823820653 607312243 /nfs/dbraw/zinc/31/22/43/607312243.db2.gz IWYRMXPDGUSDDJ-UHFFFAOYSA-N -1 1 322.332 1.712 20 0 DDADMM O=C([O-])[C@@H]1CCN(CN2C[C@@H](Cc3ccccc3)OC2=O)C1 ZINC000821511152 597671210 /nfs/dbraw/zinc/67/12/10/597671210.db2.gz CPURDWKSSDYOTK-ZIAGYGMSSA-N -1 1 304.346 1.414 20 0 DDADMM CN1CCc2ccc(NCc3ccc(-c4nnn[n-]4)o3)cc2C1=O ZINC000821630311 607318488 /nfs/dbraw/zinc/31/84/88/607318488.db2.gz SYJHKJFRVZGOGG-UHFFFAOYSA-N -1 1 324.344 1.700 20 0 DDADMM CN1CCc2ccc(NCc3ccc(-c4nn[n-]n4)o3)cc2C1=O ZINC000821630311 607318489 /nfs/dbraw/zinc/31/84/89/607318489.db2.gz SYJHKJFRVZGOGG-UHFFFAOYSA-N -1 1 324.344 1.700 20 0 DDADMM CC(C)N(CCS(=O)(=O)c1ccc(Cl)cc1)CC(=O)[O-] ZINC000037995019 598081799 /nfs/dbraw/zinc/08/17/99/598081799.db2.gz ODXFKQAPMOWOIV-UHFFFAOYSA-N -1 1 319.810 1.909 20 0 DDADMM CC(C)CC[C@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735797452 598258741 /nfs/dbraw/zinc/25/87/41/598258741.db2.gz POOZNGMPCGWOBQ-JTQLQIEISA-N -1 1 324.410 1.365 20 0 DDADMM CC(C)CC[C@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735797452 598258742 /nfs/dbraw/zinc/25/87/42/598258742.db2.gz POOZNGMPCGWOBQ-JTQLQIEISA-N -1 1 324.410 1.365 20 0 DDADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N1CCc2ccccc21 ZINC000736025588 598341906 /nfs/dbraw/zinc/34/19/06/598341906.db2.gz INKKKFYQPRZHKH-NSHDSACASA-N -1 1 322.376 1.520 20 0 DDADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N1CCc2ccccc21 ZINC000736025588 598341908 /nfs/dbraw/zinc/34/19/08/598341908.db2.gz INKKKFYQPRZHKH-NSHDSACASA-N -1 1 322.376 1.520 20 0 DDADMM Cc1cc(C)cc(OCCNc2nccnc2-c2nnn[n-]2)c1 ZINC000737256086 598346654 /nfs/dbraw/zinc/34/66/54/598346654.db2.gz KFZOPCSPBNDXGV-UHFFFAOYSA-N -1 1 311.349 1.764 20 0 DDADMM Cc1cc(C)cc(OCCNc2nccnc2-c2nn[n-]n2)c1 ZINC000737256086 598346656 /nfs/dbraw/zinc/34/66/56/598346656.db2.gz KFZOPCSPBNDXGV-UHFFFAOYSA-N -1 1 311.349 1.764 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1ccc(F)cc1Cl ZINC000737769676 598405544 /nfs/dbraw/zinc/40/55/44/598405544.db2.gz BTEPDRPXIFCDCX-UHFFFAOYSA-N -1 1 307.676 1.126 20 0 DDADMM C[C@H](c1cccc(O)c1)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820563277 607356957 /nfs/dbraw/zinc/35/69/57/607356957.db2.gz PLJFOFUOABFKLO-SNVBAGLBSA-N -1 1 324.344 1.801 20 0 DDADMM C[C@H](c1cccc(O)c1)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820563277 607356958 /nfs/dbraw/zinc/35/69/58/607356958.db2.gz PLJFOFUOABFKLO-SNVBAGLBSA-N -1 1 324.344 1.801 20 0 DDADMM Cc1nn(Cc2nc3ccccc3o2)c(=O)c(-c2nn[n-]n2)c1C ZINC000737523822 598473455 /nfs/dbraw/zinc/47/34/55/598473455.db2.gz TXOMWINJEWNVER-UHFFFAOYSA-N -1 1 323.316 1.230 20 0 DDADMM Clc1nsc(NCCOCC2CC2)c1-c1nnn[n-]1 ZINC000737583878 598503851 /nfs/dbraw/zinc/50/38/51/598503851.db2.gz MZUZWHJUBGCFGR-UHFFFAOYSA-N -1 1 300.775 1.815 20 0 DDADMM Clc1nsc(NCCOCC2CC2)c1-c1nn[n-]n1 ZINC000737583878 598503852 /nfs/dbraw/zinc/50/38/52/598503852.db2.gz MZUZWHJUBGCFGR-UHFFFAOYSA-N -1 1 300.775 1.815 20 0 DDADMM CC[C@@H](C)NC(=O)CCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736327225 598575783 /nfs/dbraw/zinc/57/57/83/598575783.db2.gz PLVSQDMKXGIGEF-MRVPVSSYSA-N -1 1 323.788 1.632 20 0 DDADMM CC[C@@H](C)NC(=O)CCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736327225 598575785 /nfs/dbraw/zinc/57/57/85/598575785.db2.gz PLVSQDMKXGIGEF-MRVPVSSYSA-N -1 1 323.788 1.632 20 0 DDADMM O=C1NCCCC[C@H]1Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000738315389 598672575 /nfs/dbraw/zinc/67/25/75/598672575.db2.gz HPKXWCIGCILXLH-RXMQYKEDSA-N -1 1 313.774 1.057 20 0 DDADMM O=C1NCCCC[C@H]1Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000738315389 598672576 /nfs/dbraw/zinc/67/25/76/598672576.db2.gz HPKXWCIGCILXLH-RXMQYKEDSA-N -1 1 313.774 1.057 20 0 DDADMM C[C@](O)(CNc1snc(Cl)c1-c1nnn[n-]1)C1CC1 ZINC000736140838 598755808 /nfs/dbraw/zinc/75/58/08/598755808.db2.gz DHKUFMBZSAECAK-JTQLQIEISA-N -1 1 300.775 1.550 20 0 DDADMM C[C@](O)(CNc1snc(Cl)c1-c1nn[n-]n1)C1CC1 ZINC000736140838 598755809 /nfs/dbraw/zinc/75/58/09/598755809.db2.gz DHKUFMBZSAECAK-JTQLQIEISA-N -1 1 300.775 1.550 20 0 DDADMM C[C@@H]1CN(c2cccc(-c3nnn[n-]3)n2)C[C@H](C(F)(F)F)O1 ZINC000820774403 599688010 /nfs/dbraw/zinc/68/80/10/599688010.db2.gz CCHVVFGFRBKDJE-VXNVDRBHSA-N -1 1 314.271 1.418 20 0 DDADMM C[C@@H]1CN(c2cccc(-c3nn[n-]n3)n2)C[C@H](C(F)(F)F)O1 ZINC000820774403 599688011 /nfs/dbraw/zinc/68/80/11/599688011.db2.gz CCHVVFGFRBKDJE-VXNVDRBHSA-N -1 1 314.271 1.418 20 0 DDADMM C[C@H](CNC(=O)Nc1ccccc1N1CCN(C)CC1)C(=O)[O-] ZINC000736599280 599788600 /nfs/dbraw/zinc/78/86/00/599788600.db2.gz UNYUAGQEIDPPTL-GFCCVEGCSA-N -1 1 320.393 1.281 20 0 DDADMM CN(CC(=O)N(C)c1ccccc1C(=O)[O-])C[C@H]1CCCOC1 ZINC000737387188 599792842 /nfs/dbraw/zinc/79/28/42/599792842.db2.gz QGQKFROAUYWRDF-CYBMUJFWSA-N -1 1 320.389 1.706 20 0 DDADMM C[C@@H](CN(CC(=O)N1CCCC1)Cc1ccccc1)C(=O)[O-] ZINC000736580018 599887476 /nfs/dbraw/zinc/88/74/76/599887476.db2.gz WJFIEXVULPRWHI-AWEZNQCLSA-N -1 1 304.390 1.832 20 0 DDADMM C[C@H](O)CN1CCN([C@H](C(=O)[O-])c2ccc(F)cc2)C[C@@H]1C ZINC000736678260 599915698 /nfs/dbraw/zinc/91/56/98/599915698.db2.gz UJBNOZCIJWODRM-HUBLWGQQSA-N -1 1 310.369 1.338 20 0 DDADMM CCOCCN1CCN(Cc2cc(C(=O)[O-])oc2C)C[C@H]1C ZINC000737176665 599961843 /nfs/dbraw/zinc/96/18/43/599961843.db2.gz HVRCZRMBDVEVBX-GFCCVEGCSA-N -1 1 310.394 1.829 20 0 DDADMM CN1CCN(c2ccccc2NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC000737529971 600061682 /nfs/dbraw/zinc/06/16/82/600061682.db2.gz QEOKXBKFQSEZJP-NEPJUHHUSA-N -1 1 303.362 1.098 20 0 DDADMM CCC[C@@](C)(NCC(=O)Nc1ccc(NC(C)=O)cc1)C(=O)[O-] ZINC000736964408 600152994 /nfs/dbraw/zinc/15/29/94/600152994.db2.gz NUMVQAHZXBLCSC-MRXNPFEDSA-N -1 1 321.377 1.817 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)NC[C@H]1CN(C)CCN1C ZINC000320695694 600175067 /nfs/dbraw/zinc/17/50/67/600175067.db2.gz OXTRQTFKMKSSOO-ZDUSSCGKSA-N -1 1 320.393 1.061 20 0 DDADMM CCC[C@@](C)(NCC(=O)N(C)Cc1cccc(OC)c1)C(=O)[O-] ZINC000736962191 600462236 /nfs/dbraw/zinc/46/22/36/600462236.db2.gz ZJCMPLARFRAEJL-QGZVFWFLSA-N -1 1 322.405 1.887 20 0 DDADMM CC(=O)C(C)(C)CCC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000819782014 607447165 /nfs/dbraw/zinc/44/71/65/607447165.db2.gz HPOCYCVILQEFHX-UHFFFAOYSA-N -1 1 317.349 1.906 20 0 DDADMM CCc1cc(CNC(=O)N2CCC3(C[C@@H]3C(=O)[O-])CC2)[nH]n1 ZINC000737252066 600498258 /nfs/dbraw/zinc/49/82/58/600498258.db2.gz DGYIZEHSUOXUQU-GFCCVEGCSA-N -1 1 306.366 1.368 20 0 DDADMM CC(C)(NCC(=O)Nc1nc2ccc(F)cc2s1)C(=O)[O-] ZINC000736339695 600499843 /nfs/dbraw/zinc/49/98/43/600499843.db2.gz NWSZRPDKVZSIPZ-UHFFFAOYSA-N -1 1 311.338 1.827 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2ccccc2NC(=O)[O-])C1 ZINC000737810241 600589067 /nfs/dbraw/zinc/58/90/67/600589067.db2.gz INNKFAVDZOCZAW-GFCCVEGCSA-N -1 1 321.377 1.617 20 0 DDADMM CCN(CCNS(=O)(=O)c1csc(C(=O)[O-])c1)C1CC1 ZINC000217915017 600733262 /nfs/dbraw/zinc/73/32/62/600733262.db2.gz QKIJDOQQFWOYOD-UHFFFAOYSA-N -1 1 318.420 1.209 20 0 DDADMM C[C@@H]1C[C@@H](NS(=O)(=O)c2cc(C(=O)[O-])cs2)CCN1C ZINC000157597646 600765887 /nfs/dbraw/zinc/76/58/87/600765887.db2.gz YLOPNPYQBPOKQV-SCZZXKLOSA-N -1 1 318.420 1.207 20 0 DDADMM CC[C@H](CO)N1CCN(c2nc(Cl)c(C(=O)[O-])s2)CC1 ZINC000828890581 600824846 /nfs/dbraw/zinc/82/48/46/600824846.db2.gz JOWUUPZCOCONGZ-MRVPVSSYSA-N -1 1 319.814 1.388 20 0 DDADMM C[C@@H]1C[C@@H](c2cccc(F)c2)N(CC(=O)NCCC(=O)[O-])C1 ZINC000828185169 600836695 /nfs/dbraw/zinc/83/66/95/600836695.db2.gz VNFLLFSKGKFQMQ-RISCZKNCSA-N -1 1 308.353 1.800 20 0 DDADMM CC(C)(C)c1noc(CN(CCC(=O)[O-])C2CCOCC2)n1 ZINC000826528219 600859041 /nfs/dbraw/zinc/85/90/41/600859041.db2.gz PKRBSVFIMUZFIY-UHFFFAOYSA-N -1 1 311.382 1.823 20 0 DDADMM COc1cc(C(=O)[O-])cc(NC(=O)CN(C)C(C)C)c1OC ZINC000737851630 600932671 /nfs/dbraw/zinc/93/26/71/600932671.db2.gz DJZMGDSSCRXZRR-UHFFFAOYSA-N -1 1 310.350 1.681 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1Cc1noc(CCCC(=O)[O-])n1 ZINC000826741384 601011017 /nfs/dbraw/zinc/01/10/17/601011017.db2.gz CWBGLJFSQOXIAB-GFCCVEGCSA-N -1 1 310.398 1.249 20 0 DDADMM Cc1nc(CN(C)[C@@H]2CCN(Cc3ccoc3C(=O)[O-])C2)no1 ZINC000738854150 601101982 /nfs/dbraw/zinc/10/19/82/601101982.db2.gz GCAPMKBHFQIWOJ-GFCCVEGCSA-N -1 1 320.349 1.376 20 0 DDADMM Cc1ccc([C@@H](C)C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)o1 ZINC000832923853 601105189 /nfs/dbraw/zinc/10/51/89/601105189.db2.gz LBWIDBPWDRXAAZ-WCQYABFASA-N -1 1 308.378 1.699 20 0 DDADMM CC[C@](NC(=O)CCc1nc[nH]n1)(C(=O)[O-])c1ccccc1 ZINC000828933026 601137128 /nfs/dbraw/zinc/13/71/28/601137128.db2.gz MDNSVWVKWPNMDS-OAHLLOKOSA-N -1 1 302.334 1.244 20 0 DDADMM CCN1CC[C@@H](NS(=O)(=O)c2ccc(/C=C\C(=O)[O-])cc2)C1 ZINC000389251507 601255339 /nfs/dbraw/zinc/25/53/39/601255339.db2.gz BEYPPYFELUFCPM-DMTLFAOVSA-N -1 1 324.402 1.157 20 0 DDADMM O=C([O-])C1CCN(C(=O)CN2CC[C@@H]2Cc2ccccc2)CC1 ZINC000833190235 601390092 /nfs/dbraw/zinc/39/00/92/601390092.db2.gz UEELECRNVSCXQJ-MRXNPFEDSA-N -1 1 316.401 1.627 20 0 DDADMM CC[C@@](C)(CC(=O)[O-])NC(=O)CCSc1nnc(C)[nH]1 ZINC000828623090 601460491 /nfs/dbraw/zinc/46/04/91/601460491.db2.gz FGNNWMOUIKLQEO-LBPRGKRZSA-N -1 1 300.384 1.355 20 0 DDADMM Nc1ccc(F)c(NC(=O)CCN2CC[C@@](F)(C(=O)[O-])C2)c1 ZINC000833090697 601550883 /nfs/dbraw/zinc/55/08/83/601550883.db2.gz BAJYQGPRBUNJDF-AWEZNQCLSA-N -1 1 313.304 1.235 20 0 DDADMM C[C@@H]1CN([C@H]2CC(=O)N(c3ccc(F)cc3)C2=O)C[C@H]1C(=O)[O-] ZINC000828430259 601635387 /nfs/dbraw/zinc/63/53/87/601635387.db2.gz APFMMYLIRPMRCT-WQAKAFBOSA-N -1 1 320.320 1.110 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCC[C@@H]2COCC[C@H]21)C(=O)[O-] ZINC000828715035 601662037 /nfs/dbraw/zinc/66/20/37/601662037.db2.gz CIQQTSYIJJPLEP-JLNYLFASSA-N -1 1 312.410 1.103 20 0 DDADMM O=C(CN1CC[C@]2(CCOC2)C1)NC1(C(=O)[O-])CCCCC1 ZINC000833104736 601662332 /nfs/dbraw/zinc/66/23/32/601662332.db2.gz DIPXQLTXLRNDLT-HNNXBMFYSA-N -1 1 310.394 1.003 20 0 DDADMM CC(=O)c1cccc(S(=O)(=O)Nc2cc(C(=O)[O-])n(C)c2)c1 ZINC000825963555 601783196 /nfs/dbraw/zinc/78/31/96/601783196.db2.gz BWNNSHIEPRPKRP-UHFFFAOYSA-N -1 1 322.342 1.727 20 0 DDADMM COC(=O)[C@@]1(C)CCN(Cn2nc(C(=O)[O-])c3ccccc32)C1 ZINC000831089453 601889620 /nfs/dbraw/zinc/88/96/20/601889620.db2.gz LFAAUASTJCXMAX-INIZCTEOSA-N -1 1 317.345 1.577 20 0 DDADMM CC(C)C[C@@]1(C)CC(=O)N(CN2CC[C@](C)(C(=O)[O-])C2)C1=O ZINC000826826293 601891097 /nfs/dbraw/zinc/89/10/97/601891097.db2.gz GKYLRHXRYKODQV-HOTGVXAUSA-N -1 1 310.394 1.552 20 0 DDADMM O=C([O-])c1ccc(CCNC(=O)[C@H]2CCCc3[nH]ncc32)cc1 ZINC000833312973 602019211 /nfs/dbraw/zinc/01/92/11/602019211.db2.gz HFYMCBQQESFVRB-ZDUSSCGKSA-N -1 1 313.357 1.887 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@H]1CCCc2[nH]ncc21)c1cccs1 ZINC000833127352 602024565 /nfs/dbraw/zinc/02/45/65/602024565.db2.gz YWAANDQAHALTDX-UFBFGSQYSA-N -1 1 305.359 1.833 20 0 DDADMM C[C@@H](CN(C)CC(=O)N1CCN(C(=O)[O-])CC1)c1ccccc1 ZINC000737667367 602041540 /nfs/dbraw/zinc/04/15/40/602041540.db2.gz HEIVIHXUMAWNAJ-AWEZNQCLSA-N -1 1 319.405 1.544 20 0 DDADMM O=C([O-])Nc1ccc(CNC(=O)CN2C[C@@H]3CCC[C@@H]32)cc1 ZINC000833286938 602208519 /nfs/dbraw/zinc/20/85/19/602208519.db2.gz ZCLGEYCPZIPKNW-JSGCOSHPSA-N -1 1 303.362 1.877 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)N[C@H]2CCCc3cn[nH]c32)cc1 ZINC000833324959 602224974 /nfs/dbraw/zinc/22/49/74/602224974.db2.gz OYYRRWKIJVDFJA-LBPRGKRZSA-N -1 1 321.358 1.464 20 0 DDADMM C[C@H](O)[C@@H]1CCCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000824690667 607561218 /nfs/dbraw/zinc/56/12/18/607561218.db2.gz UHCATTCOKXQHLU-NKWVEPMBSA-N -1 1 314.802 1.574 20 0 DDADMM C[C@H](O)[C@@H]1CCCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000824690667 607561219 /nfs/dbraw/zinc/56/12/19/607561219.db2.gz UHCATTCOKXQHLU-NKWVEPMBSA-N -1 1 314.802 1.574 20 0 DDADMM CC(C)CCNC(=O)[C@@H](C)N1CCC(CN(C)C(=O)[O-])CC1 ZINC000738817853 602382026 /nfs/dbraw/zinc/38/20/26/602382026.db2.gz HNQDIIMHDUFUEI-CYBMUJFWSA-N -1 1 313.442 1.859 20 0 DDADMM O=C([O-])NCCCNC(=O)c1cccc(Br)c1O ZINC000740655752 602458595 /nfs/dbraw/zinc/45/85/95/602458595.db2.gz RFWLNVSBZHAUTH-UHFFFAOYSA-N -1 1 317.139 1.542 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N(C)[C@H](C)CNC(=O)[O-])o1 ZINC000739411225 602468071 /nfs/dbraw/zinc/46/80/71/602468071.db2.gz QTYLPFASURPAIV-LLVKDONJSA-N -1 1 311.382 1.850 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000739384971 602536027 /nfs/dbraw/zinc/53/60/27/602536027.db2.gz NUAAAQPYJVOCQT-UONOGXRCSA-N -1 1 305.378 1.548 20 0 DDADMM C[C@H](C1CC1)N(C(=O)CN1CC[C@H](CN(C)C(=O)[O-])C1)C1CC1 ZINC000738891941 602542700 /nfs/dbraw/zinc/54/27/00/602542700.db2.gz SURYSEWTRIKUNN-CHWSQXEVSA-N -1 1 323.437 1.708 20 0 DDADMM CC(C)CN(C(=O)CN1CC[C@@H](CN(C)C(=O)[O-])C1)C1CC1 ZINC000738822511 602542981 /nfs/dbraw/zinc/54/29/81/602542981.db2.gz XHLBJMHVSOGBHY-ZDUSSCGKSA-N -1 1 311.426 1.565 20 0 DDADMM Cc1ccc2nc(CN(C)[C@@H](C)CNC(=O)[O-])cc(=O)n2c1 ZINC000740157032 602559605 /nfs/dbraw/zinc/55/96/05/602559605.db2.gz LVERZTLDCKEYNE-NSHDSACASA-N -1 1 304.350 1.091 20 0 DDADMM CCCN(CC(=O)N1CCCC[C@H]1C)[C@H]1CCN(C(=O)[O-])C1 ZINC000739348264 602579226 /nfs/dbraw/zinc/57/92/26/602579226.db2.gz IBIAHVXQUXKPNC-KGLIPLIRSA-N -1 1 311.426 1.852 20 0 DDADMM CN1CCCN(C(=O)[C@@H]2C[C@H]3CCCC[C@@H]3N2C(=O)[O-])CC1 ZINC000739725110 602639283 /nfs/dbraw/zinc/63/92/83/602639283.db2.gz DAPXCFHCYWBPOW-RDBSUJKOSA-N -1 1 309.410 1.462 20 0 DDADMM Cc1cnn(C[C@H]2CN(C[C@H]3CCCN(C(=O)[O-])C3)CCO2)c1 ZINC000740201205 602706885 /nfs/dbraw/zinc/70/68/85/602706885.db2.gz QUIVQVVWLRCJOL-HUUCEWRRSA-N -1 1 322.409 1.282 20 0 DDADMM CCc1cc(CNC(=O)[C@H](Cc2ccccc2)NC(=O)[O-])n[nH]1 ZINC000739536478 602717472 /nfs/dbraw/zinc/71/74/72/602717472.db2.gz TXZXDWNKENEYDQ-AWEZNQCLSA-N -1 1 316.361 1.467 20 0 DDADMM Cc1nc2ccc(NC(=O)[C@@H]3COCCN3C(=O)[O-])cc2[nH]1 ZINC000740230435 602834086 /nfs/dbraw/zinc/83/40/86/602834086.db2.gz VBMVYIFZEYBRMG-LBPRGKRZSA-N -1 1 304.306 1.189 20 0 DDADMM O=C([O-])NC1CCC(C(=O)N2CCN(C3CCC3)CC2)CC1 ZINC000740551607 602853827 /nfs/dbraw/zinc/85/38/27/602853827.db2.gz FKNWCVFOWPPKJE-UHFFFAOYSA-N -1 1 309.410 1.510 20 0 DDADMM O=C([O-])N[C@H](C(=O)N1CCN(C2CCC2)CC1)c1ccccc1 ZINC000740689575 602853771 /nfs/dbraw/zinc/85/37/71/602853771.db2.gz NABPJDCSMMPKHM-HNNXBMFYSA-N -1 1 317.389 1.692 20 0 DDADMM COCCN1CC[C@H](NC(=O)c2ccc(C)c(NC(=O)[O-])c2)C1 ZINC000828985401 602886135 /nfs/dbraw/zinc/88/61/35/602886135.db2.gz PRIOHBWIAKSIKN-ZDUSSCGKSA-N -1 1 321.377 1.535 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)C[C@@H]1CCCN1C(=O)[O-] ZINC000826087396 602957753 /nfs/dbraw/zinc/95/77/53/602957753.db2.gz MBPFSUPEOSWDSC-RDBSUJKOSA-N -1 1 309.410 1.604 20 0 DDADMM O=C([O-])N1CCC(NC(=O)N2CCN(C3CCC3)CC2)CC1 ZINC000738637270 602958421 /nfs/dbraw/zinc/95/84/21/602958421.db2.gz AWYQLGRUWJJDGK-UHFFFAOYSA-N -1 1 310.398 1.009 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000739325370 603032756 /nfs/dbraw/zinc/03/27/56/603032756.db2.gz IVPRXYRXJBJUQZ-LLVKDONJSA-N -1 1 303.322 1.642 20 0 DDADMM O=C([O-])NCc1n[nH]c(-c2ccc(NC(=O)C3CCC3)cc2)n1 ZINC000740681362 603059804 /nfs/dbraw/zinc/05/98/04/603059804.db2.gz HXIUXJAAZZXKJX-UHFFFAOYSA-N -1 1 315.333 1.978 20 0 DDADMM O=C([O-])NCc1nc(-c2ccc(NC(=O)C3CCC3)cc2)n[nH]1 ZINC000740681362 603059810 /nfs/dbraw/zinc/05/98/10/603059810.db2.gz HXIUXJAAZZXKJX-UHFFFAOYSA-N -1 1 315.333 1.978 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](NC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000737568828 603170016 /nfs/dbraw/zinc/17/00/16/603170016.db2.gz YBPITRWORJTMKI-HIFRSBDPSA-N -1 1 319.405 1.766 20 0 DDADMM C[C@H](CNC(=O)[O-])N(C)C(=O)[C@H](C)N(C)Cc1ccccc1 ZINC000738601156 603177931 /nfs/dbraw/zinc/17/79/31/603177931.db2.gz KUGZJKJICVJKPZ-OLZOCXBDSA-N -1 1 307.394 1.621 20 0 DDADMM CN(CCCNC(=O)[O-])[C@@H]1CCCN(c2ccccc2)C1=O ZINC000739677007 603254432 /nfs/dbraw/zinc/25/44/32/603254432.db2.gz RHYFKRWCQSOAKP-CQSZACIVSA-N -1 1 305.378 1.772 20 0 DDADMM C[C@@H](C(=O)N[C@H](CNC(=O)[O-])C1CC1)N(C)Cc1ccccc1 ZINC000738620634 603429543 /nfs/dbraw/zinc/42/95/43/603429543.db2.gz VZBUHJWSVQYPSG-SWLSCSKDSA-N -1 1 319.405 1.669 20 0 DDADMM C[C@@H]1CCCN(CN2C[C@@H]3CN(C(=O)[O-])CCN3C2=O)CC1 ZINC000825926015 603599670 /nfs/dbraw/zinc/59/96/70/603599670.db2.gz SXWCIVHTUYWTRH-OLZOCXBDSA-N -1 1 310.398 1.166 20 0 DDADMM Cc1ccc2nc(CNC(=O)CN(CC3CC3)C(=O)[O-])[nH]c2c1 ZINC000830433441 603764710 /nfs/dbraw/zinc/76/47/10/603764710.db2.gz UJOWVKFNRVXRAZ-UHFFFAOYSA-N -1 1 316.361 1.878 20 0 DDADMM C[C@@H](N(C)CN1C[C@H]2CN(C(=O)[O-])CCN2C1=O)C1(C)CC1 ZINC000825057949 603875326 /nfs/dbraw/zinc/87/53/26/603875326.db2.gz YXAUJYBNERQWDD-VXGBXAGGSA-N -1 1 310.398 1.164 20 0 DDADMM C[C@@H](C(=O)NCc1cnc[nH]1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823701821 603904192 /nfs/dbraw/zinc/90/41/92/603904192.db2.gz HRHIZAFWCCUALF-ZWNOBZJWSA-N -1 1 302.334 1.671 20 0 DDADMM O=C([O-])N[C@H](CCC(=O)NCc1cnc[nH]1)c1ccccc1 ZINC000832119207 603905601 /nfs/dbraw/zinc/90/56/01/603905601.db2.gz HXTNBTKXAAJPNR-CYBMUJFWSA-N -1 1 302.334 1.815 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@@H](C(=O)NCc2nc3ccc(F)cc3[nH]2)C1 ZINC000832278420 603936545 /nfs/dbraw/zinc/93/65/45/603936545.db2.gz NSBUTQORTQEWEK-PSASIEDQSA-N -1 1 320.324 1.755 20 0 DDADMM O=C([O-])NCC1(NC(=O)[C@H]2CCCc3[nH]ncc32)CCCC1 ZINC000832452511 603949505 /nfs/dbraw/zinc/94/95/05/603949505.db2.gz XGDUVAPAIHMNMF-JTQLQIEISA-N -1 1 306.366 1.526 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc(NC(=O)[O-])cc1)c1nn[nH]n1 ZINC000826742774 604002684 /nfs/dbraw/zinc/00/26/84/604002684.db2.gz DRQZGVVHELIEPF-LLVKDONJSA-N -1 1 318.337 1.490 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@H]2CCC[C@@H](NC(=O)[O-])C2)c1 ZINC000828047003 604066399 /nfs/dbraw/zinc/06/63/99/604066399.db2.gz VHKWYKIKZLZQFO-QWHCGFSZSA-N -1 1 320.393 1.908 20 0 DDADMM CN(C[C@@H]1CCCN1CC(=O)N(C)C1CCCCC1)C(=O)[O-] ZINC000828218644 604109047 /nfs/dbraw/zinc/10/90/47/604109047.db2.gz QXXZBTBXVFKKGD-AWEZNQCLSA-N -1 1 311.426 1.852 20 0 DDADMM C[C@H](NC(=O)[O-])c1ccc(NC(=O)NCc2c[nH]nn2)cc1 ZINC000825435030 604137024 /nfs/dbraw/zinc/13/70/24/604137024.db2.gz ZXYKPDRNYAWOCW-QMMMGPOBSA-N -1 1 304.310 1.455 20 0 DDADMM O=C([O-])N1CCc2cc(NC(=O)CCc3nc[nH]n3)ccc21 ZINC000831441118 604153085 /nfs/dbraw/zinc/15/30/85/604153085.db2.gz DSBZJRBMFVXUSG-UHFFFAOYSA-N -1 1 301.306 1.417 20 0 DDADMM O=C([O-])C1(CNC(=O)N[C@@H]2CCCc3cn[nH]c32)CCCC1 ZINC000833134703 604306613 /nfs/dbraw/zinc/30/66/13/604306613.db2.gz ACLHOKAQTWUMKE-LLVKDONJSA-N -1 1 306.366 1.731 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC3(C[C@@H]3C(=O)[O-])CC2)n[nH]1 ZINC000832883798 604306640 /nfs/dbraw/zinc/30/66/40/604306640.db2.gz PWLDEYYCHAZANZ-GXFFZTMASA-N -1 1 320.393 1.545 20 0 DDADMM C[C@@H](CC(=O)OCCc1c[nH]nn1)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000824735405 604418165 /nfs/dbraw/zinc/41/81/65/604418165.db2.gz FRRSSNYOGFUSTC-WDEREUQCSA-N -1 1 310.354 1.307 20 0 DDADMM C[C@@H](CC(=O)OCCc1cnn[nH]1)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000824735405 604418167 /nfs/dbraw/zinc/41/81/67/604418167.db2.gz FRRSSNYOGFUSTC-WDEREUQCSA-N -1 1 310.354 1.307 20 0 DDADMM C[C@H](CC(=O)OCCc1c[nH]nn1)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000824735399 604418253 /nfs/dbraw/zinc/41/82/53/604418253.db2.gz FRRSSNYOGFUSTC-GHMZBOCLSA-N -1 1 310.354 1.307 20 0 DDADMM C[C@H](CC(=O)OCCc1cnn[nH]1)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000824735399 604418255 /nfs/dbraw/zinc/41/82/55/604418255.db2.gz FRRSSNYOGFUSTC-GHMZBOCLSA-N -1 1 310.354 1.307 20 0 DDADMM CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000826996334 604442095 /nfs/dbraw/zinc/44/20/95/604442095.db2.gz XYIILJKOFMZMNS-GXFFZTMASA-N -1 1 309.366 1.166 20 0 DDADMM O=C([O-])N[C@@H]1CCN(C(=O)c2cn[nH]c2-c2ccccc2F)C1 ZINC000832375341 604491309 /nfs/dbraw/zinc/49/13/09/604491309.db2.gz GHEZHMOBGJNJSS-SECBINFHSA-N -1 1 318.308 1.698 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CCC(C(F)F)CC1 ZINC000825977568 604508891 /nfs/dbraw/zinc/50/88/91/604508891.db2.gz JSJQDIXUFMYXCI-JTQLQIEISA-N -1 1 318.364 1.675 20 0 DDADMM CC(=O)N[C@@H]1CCCN(Cc2c(C)nn(CCC(=O)[O-])c2C)C1 ZINC000833396075 604534331 /nfs/dbraw/zinc/53/43/31/604534331.db2.gz DJEXLNYLOYOZPT-CQSZACIVSA-N -1 1 322.409 1.075 20 0 DDADMM C[C@H](CN(CC(=O)NCC(=O)c1cccs1)C1CC1)C(=O)[O-] ZINC000827450338 604746543 /nfs/dbraw/zinc/74/65/43/604746543.db2.gz VUXDKEOUNPBJGO-SNVBAGLBSA-N -1 1 324.402 1.232 20 0 DDADMM O=C([O-])[C@@H](O)C1CCN(Cc2cccc3c2OCCCO3)CC1 ZINC000833770488 604759955 /nfs/dbraw/zinc/75/99/55/604759955.db2.gz HOKYPIQRPYDXIB-HNNXBMFYSA-N -1 1 321.373 1.505 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCC[C@@H](Nc2ccccc2)C1 ZINC000833236377 604799958 /nfs/dbraw/zinc/79/99/58/604799958.db2.gz HIIDIRBZZGRFKA-CQSZACIVSA-N -1 1 305.378 1.154 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)[C@H]3C[C@@H]3C(=O)[O-])[nH]c21 ZINC000833716365 604869791 /nfs/dbraw/zinc/86/97/91/604869791.db2.gz CVHGUUSQILPZSP-YUMQZZPRSA-N -1 1 303.274 1.009 20 0 DDADMM CN(C)C(=O)c1cccc(CN[C@@H](C(=O)[O-])c2cccnc2)c1 ZINC000830575168 604991238 /nfs/dbraw/zinc/99/12/38/604991238.db2.gz LFNABXWFGJQVOM-OAHLLOKOSA-N -1 1 313.357 1.699 20 0 DDADMM CC(C)C1(CNC(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)CC1 ZINC000833626804 605054936 /nfs/dbraw/zinc/05/49/36/605054936.db2.gz RJCUDCMNFLBGMH-CYBMUJFWSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@@H](CC(=O)OCCc1c[nH]nn1)C1CCN(C(=O)[O-])CC1 ZINC000824736604 605082307 /nfs/dbraw/zinc/08/23/07/605082307.db2.gz RKHDNWFVKQJMJZ-JTQLQIEISA-N -1 1 310.354 1.307 20 0 DDADMM C[C@@H](CC(=O)OCCc1cnn[nH]1)C1CCN(C(=O)[O-])CC1 ZINC000824736604 605082314 /nfs/dbraw/zinc/08/23/14/605082314.db2.gz RKHDNWFVKQJMJZ-JTQLQIEISA-N -1 1 310.354 1.307 20 0 DDADMM Cc1nc(C)n(C[C@@H]2CCCN(Cc3ccc(C(=O)[O-])[nH]3)C2)n1 ZINC000833755557 605108245 /nfs/dbraw/zinc/10/82/45/605108245.db2.gz RECDBUACPAASNS-CYBMUJFWSA-N -1 1 317.393 1.833 20 0 DDADMM C[C@@H](CC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C)c1ccncc1 ZINC000833514145 605110288 /nfs/dbraw/zinc/11/02/88/605110288.db2.gz PCKXVBOUOKNJNH-KBPBESRZSA-N -1 1 319.405 1.583 20 0 DDADMM CCN1C[C@H](C)N(C(=O)Cc2cccc(C(=O)[O-])c2)C[C@H]1C ZINC000833657733 605133827 /nfs/dbraw/zinc/13/38/27/605133827.db2.gz OOLWEXFSUWVNTA-OLZOCXBDSA-N -1 1 304.390 1.868 20 0 DDADMM C[C@@H](NCCC(=O)N(C)CC(=O)[O-])c1ccc(F)cc1F ZINC000833739199 605138103 /nfs/dbraw/zinc/13/81/03/605138103.db2.gz QSIBOMYTVSYNMT-SECBINFHSA-N -1 1 300.305 1.549 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(N(C)C)cc1 ZINC000833627299 605166181 /nfs/dbraw/zinc/16/61/81/605166181.db2.gz WQZMSODJVPJHGH-ZDUSSCGKSA-N -1 1 319.405 1.374 20 0 DDADMM C[C@@H]1CN(Cc2ccccc2)[C@@H](C)CN1C(=O)CCC(=O)[O-] ZINC000833618420 605176365 /nfs/dbraw/zinc/17/63/65/605176365.db2.gz NSXWQJZSNOOJPH-UONOGXRCSA-N -1 1 304.390 1.973 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc(N(C)C)c2)CCN1CCC(=O)[O-] ZINC000833619272 605256262 /nfs/dbraw/zinc/25/62/62/605256262.db2.gz BVIMSBLXGLTDLZ-CYBMUJFWSA-N -1 1 319.405 1.374 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2csc(CNC(=O)[O-])n2)n[nH]1 ZINC000830036712 605436512 /nfs/dbraw/zinc/43/65/12/605436512.db2.gz YNYWXNPPTNSDGF-SSDOTTSWSA-N -1 1 323.378 1.303 20 0 DDADMM O=C([O-])N1CC[C@H](N(C(=O)c2cccc3c[nH]nc32)C2CC2)C1 ZINC000831934031 605463559 /nfs/dbraw/zinc/46/35/59/605463559.db2.gz FNQGUSXSVUVHSI-LBPRGKRZSA-N -1 1 314.345 1.920 20 0 DDADMM CC(=O)N1CC[C@H](NC2CN(C(=O)[O-])C2)c2ccccc2C1 ZINC000833393250 605487636 /nfs/dbraw/zinc/48/76/36/605487636.db2.gz IIXPIOSUZBXUJR-HNNXBMFYSA-N -1 1 303.362 1.432 20 0 DDADMM O=C([O-])N1CC[C@H](CCC(=O)N2CCC(c3nc[nH]n3)CC2)C1 ZINC000834071967 605500719 /nfs/dbraw/zinc/50/07/19/605500719.db2.gz MDBWIPNABGOTIF-NSHDSACASA-N -1 1 321.381 1.291 20 0 DDADMM COc1cc(CN(C)[C@H](C)CNC(=O)[O-])cc2c1OCCO2 ZINC000833887833 605592973 /nfs/dbraw/zinc/59/29/73/605592973.db2.gz ZMFWZOFKVKUSQB-SNVBAGLBSA-N -1 1 310.350 1.554 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CC[C@@H](CN(C)C(=O)[O-])C2)n[nH]1 ZINC000830029502 605697347 /nfs/dbraw/zinc/69/73/47/605697347.db2.gz HTPRJZQEDFAXLD-JQWIXIFHSA-N -1 1 323.397 1.290 20 0 DDADMM C[C@@H]1CN(C[C@@H]2CCCN2C(=O)CCCC(=O)[O-])C[C@H](C)O1 ZINC000833622580 605762697 /nfs/dbraw/zinc/76/26/97/605762697.db2.gz IELIHNLFZPTBFU-MJBXVCDLSA-N -1 1 312.410 1.342 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CN2C[C@H](Cc3ccccc3)OC2=O)C1 ZINC000833553283 605786518 /nfs/dbraw/zinc/78/65/18/605786518.db2.gz ARXCBXAEPYNDDZ-WMLDXEAASA-N -1 1 318.373 1.804 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])C[N@H+]1CC(=O)[N-]OCc1ccccc1 ZINC000833833163 605808442 /nfs/dbraw/zinc/80/84/42/605808442.db2.gz WSIUNSYOVDSPBV-GXTWGEPZSA-N -1 1 321.377 1.212 20 0 DDADMM O=C([O-])N1CC[C@@H](NCC(=O)Nc2cccc3cccnc32)C1 ZINC000831493740 605847320 /nfs/dbraw/zinc/84/73/20/605847320.db2.gz SGWKDCPHPJAMGW-GFCCVEGCSA-N -1 1 314.345 1.515 20 0 DDADMM CCCCCNC(=O)CN1CCC2(C1)CCN(C(=O)[O-])CC2 ZINC000833852856 605901926 /nfs/dbraw/zinc/90/19/26/605901926.db2.gz KAVBSCXCPPKACJ-UHFFFAOYSA-N -1 1 311.426 1.759 20 0 DDADMM CC(C)S(=O)(=O)CCCN1C[C@@H](CNC(=O)[O-])C[C@H]1C ZINC000833833839 605907417 /nfs/dbraw/zinc/90/74/17/605907417.db2.gz KYCGTECIQCGJGB-VXGBXAGGSA-N -1 1 306.428 1.178 20 0 DDADMM C[C@@H](C[C@@H]1CCCCCN1C(=O)[O-])NCc1nnnn1C1CC1 ZINC000833802002 605944897 /nfs/dbraw/zinc/94/48/97/605944897.db2.gz ADAPYXITYSNEOG-AAEUAGOBSA-N -1 1 322.413 1.799 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000833864739 606093854 /nfs/dbraw/zinc/09/38/54/606093854.db2.gz VCXCXSUNSXMYFX-MNOVXSKESA-N -1 1 321.381 1.434 20 0 DDADMM Cc1cccc(COC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000822303837 606137679 /nfs/dbraw/zinc/13/76/79/606137679.db2.gz LRQHKRBOFYEEQS-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM Cc1cccc(COC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000822303837 606137681 /nfs/dbraw/zinc/13/76/81/606137681.db2.gz LRQHKRBOFYEEQS-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM C[C@@H]1CCC[C@H](C)CN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820697093 606160892 /nfs/dbraw/zinc/16/08/92/606160892.db2.gz DUZDQBDIMRFVGK-VHSXEESVSA-N -1 1 318.385 1.643 20 0 DDADMM C[C@@H]1CCC[C@H](C)CN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820697093 606160894 /nfs/dbraw/zinc/16/08/94/606160894.db2.gz DUZDQBDIMRFVGK-VHSXEESVSA-N -1 1 318.385 1.643 20 0 DDADMM C[C@H](NCc1ccc(-c2nnn[n-]2)o1)C(=O)OC1CCCC1 ZINC000822000313 606225016 /nfs/dbraw/zinc/22/50/16/606225016.db2.gz HLHKFALBUIWVOF-VIFPVBQESA-N -1 1 305.338 1.424 20 0 DDADMM C[C@H](NCc1ccc(-c2nn[n-]n2)o1)C(=O)OC1CCCC1 ZINC000822000313 606225018 /nfs/dbraw/zinc/22/50/18/606225018.db2.gz HLHKFALBUIWVOF-VIFPVBQESA-N -1 1 305.338 1.424 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)[C@H]2C[C@@H]2C2CC2)n1 ZINC000819971035 606259630 /nfs/dbraw/zinc/25/96/30/606259630.db2.gz BTHYYMGOAAHJRF-ZJUUUORDSA-N -1 1 315.381 1.803 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)[C@H]2C[C@@H]2C2CC2)n1 ZINC000819971035 606259632 /nfs/dbraw/zinc/25/96/32/606259632.db2.gz BTHYYMGOAAHJRF-ZJUUUORDSA-N -1 1 315.381 1.803 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccc2c(c1)COC2 ZINC000823294829 606298848 /nfs/dbraw/zinc/29/88/48/606298848.db2.gz SWNHXJYLPMSSJG-UHFFFAOYSA-N -1 1 323.312 1.855 20 0 DDADMM CO[C@@H](CNC(=O)c1ccc(-c2nnn[n-]2)s1)C(F)(F)F ZINC000821677196 606562083 /nfs/dbraw/zinc/56/20/83/606562083.db2.gz QWRGNDNPEWRTGF-ZETCQYMHSA-N -1 1 321.284 1.235 20 0 DDADMM CO[C@@H](CNC(=O)c1ccc(-c2nn[n-]n2)s1)C(F)(F)F ZINC000821677196 606562084 /nfs/dbraw/zinc/56/20/84/606562084.db2.gz QWRGNDNPEWRTGF-ZETCQYMHSA-N -1 1 321.284 1.235 20 0 DDADMM Cc1cccc(N(C)C(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000822309430 606565585 /nfs/dbraw/zinc/56/55/85/606565585.db2.gz YHOHWBADUYRCRU-UHFFFAOYSA-N -1 1 300.347 1.908 20 0 DDADMM Cc1cccc(N(C)C(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000822309430 606565586 /nfs/dbraw/zinc/56/55/86/606565586.db2.gz YHOHWBADUYRCRU-UHFFFAOYSA-N -1 1 300.347 1.908 20 0 DDADMM CN(CC1CCCC1)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821596358 606597256 /nfs/dbraw/zinc/59/72/56/606597256.db2.gz ICODXQAPXFRRHH-UHFFFAOYSA-N -1 1 304.358 1.254 20 0 DDADMM CN(CC1CCCC1)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821596358 606597257 /nfs/dbraw/zinc/59/72/57/606597257.db2.gz ICODXQAPXFRRHH-UHFFFAOYSA-N -1 1 304.358 1.254 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccnc(F)c1 ZINC000823436265 606651587 /nfs/dbraw/zinc/65/15/87/606651587.db2.gz ODOZOTOJUJLOTR-UHFFFAOYSA-N -1 1 300.253 1.153 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccnc(F)c1 ZINC000823436265 606651588 /nfs/dbraw/zinc/65/15/88/606651588.db2.gz ODOZOTOJUJLOTR-UHFFFAOYSA-N -1 1 300.253 1.153 20 0 DDADMM COC(=O)[C@@H]1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C[C@@H]1C ZINC000821657445 606729401 /nfs/dbraw/zinc/72/94/01/606729401.db2.gz NWVCFDORXPVGFD-JGVFFNPUSA-N -1 1 322.756 1.160 20 0 DDADMM COC(=O)[C@@H]1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C[C@@H]1C ZINC000821657445 606729405 /nfs/dbraw/zinc/72/94/05/606729405.db2.gz NWVCFDORXPVGFD-JGVFFNPUSA-N -1 1 322.756 1.160 20 0 DDADMM CC[C@@H]1CCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820979128 606835586 /nfs/dbraw/zinc/83/55/86/606835586.db2.gz FOIRTXFDFWWPHQ-SCZZXKLOSA-N -1 1 304.358 1.300 20 0 DDADMM CC[C@@H]1CCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820979128 606835588 /nfs/dbraw/zinc/83/55/88/606835588.db2.gz FOIRTXFDFWWPHQ-SCZZXKLOSA-N -1 1 304.358 1.300 20 0 DDADMM Cc1nn(C/C(Cl)=C\Cl)c(=O)c(-c2nn[n-]n2)c1C ZINC000822500846 606838294 /nfs/dbraw/zinc/83/82/94/606838294.db2.gz JISYPVMEKIOBER-XVNBXDOJSA-N -1 1 301.137 1.359 20 0 DDADMM C[C@@H]1N(c2ccc(Cl)c(-c3nnn[n-]3)n2)CCOC1(C)C ZINC000820818644 606876189 /nfs/dbraw/zinc/87/61/89/606876189.db2.gz AFECOTXWOXCQJE-QMMMGPOBSA-N -1 1 308.773 1.919 20 0 DDADMM C[C@@H]1N(c2ccc(Cl)c(-c3nn[n-]n3)n2)CCOC1(C)C ZINC000820818644 606876191 /nfs/dbraw/zinc/87/61/91/606876191.db2.gz AFECOTXWOXCQJE-QMMMGPOBSA-N -1 1 308.773 1.919 20 0 DDADMM CC(C)(C)c1nnc(CNCc2ccc(-c3nnn[n-]3)o2)s1 ZINC000819971313 606950039 /nfs/dbraw/zinc/95/00/39/606950039.db2.gz IGCUEJMNYWYKKV-UHFFFAOYSA-N -1 1 319.394 1.899 20 0 DDADMM CC(C)(C)c1nnc(CNCc2ccc(-c3nn[n-]n3)o2)s1 ZINC000819971313 606950040 /nfs/dbraw/zinc/95/00/40/606950040.db2.gz IGCUEJMNYWYKKV-UHFFFAOYSA-N -1 1 319.394 1.899 20 0 DDADMM O=C(CCc1ccccc1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822982216 607024109 /nfs/dbraw/zinc/02/41/09/607024109.db2.gz CEFGVQVHTQZLOT-UHFFFAOYSA-N -1 1 300.347 1.895 20 0 DDADMM O=C(CCc1ccccc1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822982216 607024111 /nfs/dbraw/zinc/02/41/11/607024111.db2.gz CEFGVQVHTQZLOT-UHFFFAOYSA-N -1 1 300.347 1.895 20 0 DDADMM O=C(CC[C@@H]1CCCCO1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822950641 607105026 /nfs/dbraw/zinc/10/50/26/607105026.db2.gz WAQDJRQMQVIYOP-QMMMGPOBSA-N -1 1 308.367 1.611 20 0 DDADMM O=C(CC[C@@H]1CCCCO1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822950641 607105028 /nfs/dbraw/zinc/10/50/28/607105028.db2.gz WAQDJRQMQVIYOP-QMMMGPOBSA-N -1 1 308.367 1.611 20 0 DDADMM CCc1nn(CCCCCCO)c(=O)c(-c2nn[n-]n2)c1CC ZINC000821517754 607167188 /nfs/dbraw/zinc/16/71/88/607167188.db2.gz NZTFTBGLYJLCCM-UHFFFAOYSA-N -1 1 320.397 1.101 20 0 DDADMM c1ccc(N2CCC(Nc3ccc(-c4nn[n-]n4)nn3)CC2)nc1 ZINC000826522370 607989394 /nfs/dbraw/zinc/98/93/94/607989394.db2.gz XOUHCRNCKJUDAN-UHFFFAOYSA-N -1 1 323.364 1.133 20 0 DDADMM O=C(C=Cc1cccnc1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826403047 607997683 /nfs/dbraw/zinc/99/76/83/607997683.db2.gz IRIMIVHWRNEHRA-UTCJRWHESA-N -1 1 308.301 1.619 20 0 DDADMM CCOC(=O)C[C@@H](C)CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825499842 608018550 /nfs/dbraw/zinc/01/85/50/608018550.db2.gz VMDIFTBWVBYHFQ-MRVPVSSYSA-N -1 1 324.772 1.916 20 0 DDADMM CCOC(=O)C[C@@H](C)CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825499842 608018551 /nfs/dbraw/zinc/01/85/51/608018551.db2.gz VMDIFTBWVBYHFQ-MRVPVSSYSA-N -1 1 324.772 1.916 20 0 DDADMM C[C@H]1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC[C@@H]1C ZINC000824948793 608306184 /nfs/dbraw/zinc/30/61/84/608306184.db2.gz VZGWCLSBNKXCNG-IUCAKERBSA-N -1 1 304.358 1.110 20 0 DDADMM C[C@H]1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC[C@@H]1C ZINC000824948793 608306185 /nfs/dbraw/zinc/30/61/85/608306185.db2.gz VZGWCLSBNKXCNG-IUCAKERBSA-N -1 1 304.358 1.110 20 0 DDADMM Cc1ccc(OCCNc2ccc(-c3nnn[n-]3)nn2)c(C)c1 ZINC000826292559 608392352 /nfs/dbraw/zinc/39/23/52/608392352.db2.gz ZRMDYXZAQLEIKN-UHFFFAOYSA-N -1 1 311.349 1.764 20 0 DDADMM Cc1ccc(OCCNc2ccc(-c3nn[n-]n3)nn2)c(C)c1 ZINC000826292559 608392354 /nfs/dbraw/zinc/39/23/54/608392354.db2.gz ZRMDYXZAQLEIKN-UHFFFAOYSA-N -1 1 311.349 1.764 20 0 DDADMM O=C1COc2cccc(OCc3ccnc(-c4nnn[n-]4)c3)c2N1 ZINC000826482271 608403691 /nfs/dbraw/zinc/40/36/91/608403691.db2.gz YDRFLRHRMWPBRY-UHFFFAOYSA-N -1 1 324.300 1.172 20 0 DDADMM O=C1COc2cccc(OCc3ccnc(-c4nn[n-]n4)c3)c2N1 ZINC000826482271 608403693 /nfs/dbraw/zinc/40/36/93/608403693.db2.gz YDRFLRHRMWPBRY-UHFFFAOYSA-N -1 1 324.300 1.172 20 0 DDADMM c1ccc(O[C@@H]2CCCN(c3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826522505 608418702 /nfs/dbraw/zinc/41/87/02/608418702.db2.gz DORJBMJGQCEHFS-CYBMUJFWSA-N -1 1 323.360 1.705 20 0 DDADMM c1ccc(O[C@@H]2CCCN(c3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826522505 608418703 /nfs/dbraw/zinc/41/87/03/608418703.db2.gz DORJBMJGQCEHFS-CYBMUJFWSA-N -1 1 323.360 1.705 20 0 DDADMM CCO[C@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C12CCC2 ZINC000825583018 608423161 /nfs/dbraw/zinc/42/31/61/608423161.db2.gz QNDNNFNKYAEPAB-MNOVXSKESA-N -1 1 301.354 1.416 20 0 DDADMM CCO[C@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C12CCC2 ZINC000825583018 608423162 /nfs/dbraw/zinc/42/31/62/608423162.db2.gz QNDNNFNKYAEPAB-MNOVXSKESA-N -1 1 301.354 1.416 20 0 DDADMM c1ccc(C[C@H]2COCCN2c2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826520133 608429886 /nfs/dbraw/zinc/42/98/86/608429886.db2.gz BEJWHVHPABZTKB-ZDUSSCGKSA-N -1 1 323.360 1.105 20 0 DDADMM c1ccc(C[C@H]2COCCN2c2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826520133 608429887 /nfs/dbraw/zinc/42/98/87/608429887.db2.gz BEJWHVHPABZTKB-ZDUSSCGKSA-N -1 1 323.360 1.105 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1CCN1CCCc2ccccc21 ZINC000826501198 608476295 /nfs/dbraw/zinc/47/62/95/608476295.db2.gz DXZNVKRLMIBPKT-UHFFFAOYSA-N -1 1 322.372 1.481 20 0 DDADMM C[C@@H]1CN(Cc2ccc(-c3nnn[n-]3)o2)C[C@@H](C(F)(F)F)O1 ZINC000824985534 608727842 /nfs/dbraw/zinc/72/78/42/608727842.db2.gz WXJQSJGTHZDSEM-XCBNKYQSSA-N -1 1 317.271 1.611 20 0 DDADMM C[C@@H]1CN(Cc2ccc(-c3nn[n-]n3)o2)C[C@@H](C(F)(F)F)O1 ZINC000824985534 608727844 /nfs/dbraw/zinc/72/78/44/608727844.db2.gz WXJQSJGTHZDSEM-XCBNKYQSSA-N -1 1 317.271 1.611 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)c1ccc(-c2nn[nH]n2)[nH]1 ZINC000826454932 609254666 /nfs/dbraw/zinc/25/46/66/609254666.db2.gz RAOLJUIVPURUPT-UHFFFAOYSA-N -1 1 304.697 1.806 20 0 DDADMM COCC[C@H](Cc1ccco1)Nc1cccc(-c2nnn[n-]2)n1 ZINC000826154210 609588443 /nfs/dbraw/zinc/58/84/43/609588443.db2.gz KNKWCQJGTVYUBY-LLVKDONJSA-N -1 1 314.349 1.914 20 0 DDADMM COCC[C@H](Cc1ccco1)Nc1cccc(-c2nn[n-]n2)n1 ZINC000826154210 609588444 /nfs/dbraw/zinc/58/84/44/609588444.db2.gz KNKWCQJGTVYUBY-LLVKDONJSA-N -1 1 314.349 1.914 20 0 DDADMM Cc1[nH]nc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1C ZINC000745041748 699969292 /nfs/dbraw/zinc/96/92/92/699969292.db2.gz BTGQURSZHMYAEA-UHFFFAOYSA-N -1 1 301.302 1.140 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971898092 695119309 /nfs/dbraw/zinc/11/93/09/695119309.db2.gz RSHKSPAEZMDFNZ-XKFUZFNKSA-N -1 1 315.373 1.426 20 0 DDADMM Cc1[nH]ccc1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973152842 695433690 /nfs/dbraw/zinc/43/36/90/695433690.db2.gz FPHBKZUWTMGTSK-XYPYZODXSA-N -1 1 314.345 1.115 20 0 DDADMM C[C@H](C(=O)[O-])N(C(=O)N[C@H]1CCCc2cn[nH]c21)C1CCC1 ZINC000797723702 700020885 /nfs/dbraw/zinc/02/08/85/700020885.db2.gz FXVUITHOGHRAGX-SKDRFNHKSA-N -1 1 306.366 1.824 20 0 DDADMM CSc1c(F)cccc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000797865381 700028317 /nfs/dbraw/zinc/02/83/17/700028317.db2.gz VGRANGHHGOGJTA-SNVBAGLBSA-N -1 1 323.353 1.274 20 0 DDADMM CC1(C(=O)N2CCC[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000975261411 695821293 /nfs/dbraw/zinc/82/12/93/695821293.db2.gz VGNLVFANALOYRG-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM O=C(CCC1CC1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976404960 695971728 /nfs/dbraw/zinc/97/17/28/695971728.db2.gz RRFRQEJZWCJGQQ-JYAVWHMHSA-N -1 1 315.373 1.164 20 0 DDADMM CC(Nc1ccc(C)cc1Cl)=C1C(=O)[N-]C(=S)NC1=O ZINC000009879208 696037982 /nfs/dbraw/zinc/03/79/82/696037982.db2.gz NREGJIVYPZXYCU-UHFFFAOYSA-N -1 1 309.778 1.865 20 0 DDADMM Cn1cc(Cl)cc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000026038434 696094413 /nfs/dbraw/zinc/09/44/13/696094413.db2.gz LLSCMGWWCKAPPI-UHFFFAOYSA-N -1 1 320.732 1.792 20 0 DDADMM Cc1nccc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n1 ZINC000747210154 700060120 /nfs/dbraw/zinc/06/01/20/700060120.db2.gz HRTFNAATERTMNE-UHFFFAOYSA-N -1 1 301.350 1.642 20 0 DDADMM Cc1cc([O-])c(C(=O)C=Cc2cccc([N+](=O)[O-])c2)c(=O)o1 ZINC000028605401 696106292 /nfs/dbraw/zinc/10/62/92/696106292.db2.gz CYOBSAXNBSZXQP-GJBLVYBDSA-N -1 1 301.254 1.823 20 0 DDADMM Cc1noc([C@H](C)NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n1 ZINC000747371597 700066308 /nfs/dbraw/zinc/06/63/08/700066308.db2.gz SZEFPCXPNVHQEZ-QMMMGPOBSA-N -1 1 305.338 1.796 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2c(C)nn(C)c2Cl)no1 ZINC000049051375 696219904 /nfs/dbraw/zinc/21/99/04/696219904.db2.gz IYZOSNBYRNABIM-UHFFFAOYSA-N -1 1 304.759 1.157 20 0 DDADMM CCOC(=O)[C@@H](C)[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC000049499820 696224434 /nfs/dbraw/zinc/22/44/34/696224434.db2.gz URDBOQFPSNULBT-SSDOTTSWSA-N -1 1 309.746 1.709 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccc2c(c1)CCO2 ZINC000747474746 700072145 /nfs/dbraw/zinc/07/21/45/700072145.db2.gz ZDFWNDPBIXWQOI-HNNXBMFYSA-N -1 1 321.340 1.654 20 0 DDADMM CCCCSCC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000747474378 700072205 /nfs/dbraw/zinc/07/22/05/700072205.db2.gz RFBMZIDFHNBMKJ-CYBMUJFWSA-N -1 1 305.407 1.939 20 0 DDADMM CCC(Nc1cc(C)ccc1C)=C1C(=O)[N-]C(=S)NC1=O ZINC000054501484 696276481 /nfs/dbraw/zinc/27/64/81/696276481.db2.gz XFXSSJSBMHLGED-UHFFFAOYSA-N -1 1 303.387 1.910 20 0 DDADMM CCC(N[C@@H]1CCC[C@H](C)[C@@H]1C)=C1C(=O)[N-]C(=S)NC1=O ZINC000054501204 696276703 /nfs/dbraw/zinc/27/67/03/696276703.db2.gz QJMUCOFQVFPBSD-ATZCPNFKSA-N -1 1 309.435 1.596 20 0 DDADMM CCCN(CCC)S(=O)(=O)NN=c1nc(OC)cc(C)[n-]1 ZINC000747620434 700078119 /nfs/dbraw/zinc/07/81/19/700078119.db2.gz DRHQPRFTWDRLDV-UHFFFAOYSA-N -1 1 317.415 1.077 20 0 DDADMM CCn1c(CNC(=O)c2ccc3[nH]cnc3c2)n[n-]c1=S ZINC000066636060 696354482 /nfs/dbraw/zinc/35/44/82/696354482.db2.gz YMUJLZBWWDEQCQ-UHFFFAOYSA-N -1 1 302.363 1.767 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1nc2ccccc2[nH]1 ZINC000079380791 696459960 /nfs/dbraw/zinc/45/99/60/696459960.db2.gz ADURZKHSQWULAY-OIBJUYFYSA-N -1 1 317.374 1.087 20 0 DDADMM Cc1cc(NC(=O)Cc2sc(N)nc2[O-])ccc1N(C)C ZINC000079523574 696461506 /nfs/dbraw/zinc/46/15/06/696461506.db2.gz WJYCEHFXEJEWOD-NSHDSACASA-N -1 1 306.391 1.346 20 0 DDADMM O=S(=O)([N-]Cc1cccc(F)n1)c1cccc(F)c1F ZINC000747905034 700091819 /nfs/dbraw/zinc/09/18/19/700091819.db2.gz QXHLUXXNBSKHOW-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCCc2cccc(F)c2)s1 ZINC000080178153 696531279 /nfs/dbraw/zinc/53/12/79/696531279.db2.gz ZDFQVRZRJGSJRX-NSHDSACASA-N -1 1 309.366 1.221 20 0 DDADMM CC(C)[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccn1 ZINC000080386516 696533751 /nfs/dbraw/zinc/53/37/51/696533751.db2.gz RRPFVBDFUCWGTR-ZYHUDNBSSA-N -1 1 306.391 1.242 20 0 DDADMM Cc1ccc(C[C@@H](C)N(C)C(=O)Cc2sc(N)nc2[O-])cc1 ZINC000080397969 696534271 /nfs/dbraw/zinc/53/42/71/696534271.db2.gz KISMAAMRHGJXOF-DGCLKSJQSA-N -1 1 319.430 1.731 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC3(CC3)c3ccccc32)s1 ZINC000080418178 696534512 /nfs/dbraw/zinc/53/45/12/696534512.db2.gz CXPJSZHADLBCJO-NSHDSACASA-N -1 1 301.371 1.412 20 0 DDADMM CC[C@@H](c1ccncc1)N(CC)C(=O)Cc1sc(N)nc1[O-] ZINC000080420500 696534606 /nfs/dbraw/zinc/53/46/06/696534606.db2.gz UDNRMNGIJKEKBK-RYUDHWBXSA-N -1 1 320.418 1.728 20 0 DDADMM Cn1c(CNC(=O)Nc2cnc(C(C)(C)C)nc2)n[n-]c1=S ZINC000085577422 696563662 /nfs/dbraw/zinc/56/36/62/696563662.db2.gz KUOHAPPUVFRLDY-UHFFFAOYSA-N -1 1 321.410 1.887 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)N[N-]C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000092208701 696593146 /nfs/dbraw/zinc/59/31/46/696593146.db2.gz IZSQXBRKXSBVJV-CMPLNLGQSA-N -1 1 314.345 1.263 20 0 DDADMM O=C(NC[C@H]1C[C@H](NC(=O)[C@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000979674731 696624195 /nfs/dbraw/zinc/62/41/95/696624195.db2.gz RYXHXRDHFAWSQG-IJLUTSLNSA-N -1 1 315.373 1.212 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1C[C@@H]1c1cccc2ccccc21 ZINC000107759531 696631834 /nfs/dbraw/zinc/63/18/34/696631834.db2.gz WUYPQNQBPABTPB-ZIAGYGMSSA-N -1 1 309.325 1.526 20 0 DDADMM CCOC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000108399060 696633710 /nfs/dbraw/zinc/63/37/10/696633710.db2.gz VEFKCGYINLYRNC-ZCFIWIBFSA-N -1 1 311.281 1.334 20 0 DDADMM COC(=O)c1c(F)ccc(S(=O)(=O)[N-]CCCF)c1F ZINC000134503230 696837281 /nfs/dbraw/zinc/83/72/81/696837281.db2.gz FUJMZFJXPAEFHX-UHFFFAOYSA-N -1 1 311.281 1.389 20 0 DDADMM CCO[C@@H](CC)C(=O)N[N-]C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000154159814 696927690 /nfs/dbraw/zinc/92/76/90/696927690.db2.gz AILUNQNYYJTDPK-NSHDSACASA-N -1 1 322.390 1.714 20 0 DDADMM Cc1csc(-c2cccc(C(=O)NN3CC(=O)[N-]C3=O)c2)n1 ZINC000154878290 696939062 /nfs/dbraw/zinc/93/90/62/696939062.db2.gz AIIYEPHJSUYTDV-UHFFFAOYSA-N -1 1 316.342 1.315 20 0 DDADMM Cc1nnc([C@H](C)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)o1 ZINC000799172884 700126085 /nfs/dbraw/zinc/12/60/85/700126085.db2.gz FIAICRNCXDCIPJ-QMMMGPOBSA-N -1 1 320.315 1.830 20 0 DDADMM CCc1nc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)no1 ZINC000799175157 700126406 /nfs/dbraw/zinc/12/64/06/700126406.db2.gz YCUKDRNCSDAGKP-UHFFFAOYSA-N -1 1 320.315 1.523 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000982328140 697099888 /nfs/dbraw/zinc/09/98/88/697099888.db2.gz REFHRTZRMDXURB-NSHDSACASA-N -1 1 303.362 1.166 20 0 DDADMM CC(C)CC(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982386133 697119947 /nfs/dbraw/zinc/11/99/47/697119947.db2.gz RDRXMUJWIRUSOE-GFCCVEGCSA-N -1 1 305.378 1.412 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CCCC1 ZINC000982673086 697167722 /nfs/dbraw/zinc/16/77/22/697167722.db2.gz MPAWPTGXYICVAN-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM COc1cc[n-]c(=NNC(=O)Nc2cnc(Cl)c(C)c2)n1 ZINC000162070853 697326288 /nfs/dbraw/zinc/32/62/88/697326288.db2.gz RFQSVZZWQCTCHC-UHFFFAOYSA-N -1 1 308.729 1.991 20 0 DDADMM Cc1ccc(C(=O)[N-]NC(=O)c2cccc(Br)n2)o1 ZINC000182782631 697479601 /nfs/dbraw/zinc/47/96/01/697479601.db2.gz LTSLHZGKKFJGRM-UHFFFAOYSA-N -1 1 324.134 1.820 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H]1CSCCS1)c1nn[n-]n1 ZINC000183415699 697488224 /nfs/dbraw/zinc/48/82/24/697488224.db2.gz GNKARQSFHKUCLG-BDAKNGLRSA-N -1 1 301.441 1.000 20 0 DDADMM C[C@H](C(=O)[N-]OC[C@H]1CCOC1)c1ccc([N+](=O)[O-])cc1F ZINC000188009176 697552902 /nfs/dbraw/zinc/55/29/02/697552902.db2.gz NZBKXUAQAKNWIH-UWVGGRQHSA-N -1 1 312.297 1.922 20 0 DDADMM CC(C)(O)C(=O)N[N-]C(=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC000190070203 697583150 /nfs/dbraw/zinc/58/31/50/697583150.db2.gz UYVCCCFFPTXMBF-UHFFFAOYSA-N -1 1 322.752 1.262 20 0 DDADMM CNC(=O)Cn1cc(NCc2c([O-])ccc(Cl)c2F)cn1 ZINC000190936529 697599548 /nfs/dbraw/zinc/59/95/48/697599548.db2.gz FQRZJZAWLKNTPT-UHFFFAOYSA-N -1 1 312.732 1.739 20 0 DDADMM C[C@H]1[C@@H](NCc2cnsn2)CCN1C(=O)c1ncccc1[O-] ZINC000986169701 697716640 /nfs/dbraw/zinc/71/66/40/697716640.db2.gz KOJNHESSLZAVNY-ONGXEEELSA-N -1 1 319.390 1.032 20 0 DDADMM C[C@@H]1[C@H](NCc2ccon2)CCN1C(=O)c1ncccc1[O-] ZINC000986173547 697719331 /nfs/dbraw/zinc/71/93/31/697719331.db2.gz VUCUSIKKOHMNDV-ZYHUDNBSSA-N -1 1 302.334 1.168 20 0 DDADMM C[C@H]1[C@H](NCc2nncs2)CCN1C(=O)c1ncccc1[O-] ZINC000986174140 697719886 /nfs/dbraw/zinc/71/98/86/697719886.db2.gz XGMFFMVMFJJZAA-VHSXEESVSA-N -1 1 319.390 1.032 20 0 DDADMM O=C([C@H]1CC1(Cl)Cl)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000773312673 697742825 /nfs/dbraw/zinc/74/28/25/697742825.db2.gz CUAVVYGYJGALFN-NKWVEPMBSA-N -1 1 306.149 1.675 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000773316729 697743208 /nfs/dbraw/zinc/74/32/08/697743208.db2.gz NYCGREOKUGCUFH-UFFNRZRYSA-N -1 1 318.308 1.729 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CC(C)(C)C1 ZINC000986229959 697744782 /nfs/dbraw/zinc/74/47/82/697744782.db2.gz NCXHCRJEXUNVIS-ONGXEEELSA-N -1 1 307.398 1.026 20 0 DDADMM CN(Cc1cnn(C)c1)C(=O)COC(=O)c1ccc([O-])cc1F ZINC000773573415 697782907 /nfs/dbraw/zinc/78/29/07/697782907.db2.gz MHMNGZLJQNTABS-UHFFFAOYSA-N -1 1 321.308 1.080 20 0 DDADMM C[C@@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)Cn1cccn1 ZINC000773817358 697808375 /nfs/dbraw/zinc/80/83/75/697808375.db2.gz WHNGUSACWBBTFM-JTQLQIEISA-N -1 1 316.390 1.281 20 0 DDADMM CC(C)CC1(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CCCC1 ZINC000774206155 697854717 /nfs/dbraw/zinc/85/47/17/697854717.db2.gz JVYFQXZHMQEMIJ-UHFFFAOYSA-N -1 1 321.425 1.616 20 0 DDADMM Cc1ccc([C@@H](O)CNC(=O)c2ccc3n[n-]c(=S)n3c2)o1 ZINC000774441321 697879795 /nfs/dbraw/zinc/87/97/95/697879795.db2.gz YBCWWHMNIJMLJB-JTQLQIEISA-N -1 1 318.358 1.383 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2C[C@@H]2C(C)C)o1 ZINC000774858660 697935719 /nfs/dbraw/zinc/93/57/19/697935719.db2.gz FAFLLHPCFSMFBO-VHSXEESVSA-N -1 1 301.364 1.637 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCCCCN(C(=O)c2ncccc2[O-])C1 ZINC000987005308 697969374 /nfs/dbraw/zinc/96/93/74/697969374.db2.gz ZBCWFKXTEGUYSS-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C(C)(C)F)CCN1C(=O)c1ncccc1[O-] ZINC000987204695 698036750 /nfs/dbraw/zinc/03/67/50/698036750.db2.gz NTGPEJRXUNGPEW-ZJUUUORDSA-N -1 1 309.341 1.255 20 0 DDADMM NC(=O)C1(NC(=O)c2ccc(C(F)(F)F)cc2[O-])CCCC1 ZINC000776708190 698132708 /nfs/dbraw/zinc/13/27/08/698132708.db2.gz ZJAVPXKBTOWBBV-UHFFFAOYSA-N -1 1 316.279 1.939 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2cc3occc3[nH]2)c1 ZINC000777444701 698191398 /nfs/dbraw/zinc/19/13/98/698191398.db2.gz VAYWEARRVOGHAO-UHFFFAOYSA-N -1 1 321.314 1.366 20 0 DDADMM O=C(Nn1ccccc1=O)c1cc(Br)ccc1[O-] ZINC000777783458 698221178 /nfs/dbraw/zinc/22/11/78/698221178.db2.gz LFRREUXMLGDQNW-UHFFFAOYSA-N -1 1 309.119 1.700 20 0 DDADMM C[C@@H]1C[C@H](C)[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)O1 ZINC000800305664 700214857 /nfs/dbraw/zinc/21/48/57/700214857.db2.gz KBKCTSGACNFCBH-MISXGVKJSA-N -1 1 305.330 1.300 20 0 DDADMM Cc1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)c(C)o1 ZINC000988048166 698287843 /nfs/dbraw/zinc/28/78/43/698287843.db2.gz HMTWGWKBAREGKE-KCJUWKMLSA-N -1 1 319.365 1.113 20 0 DDADMM CCC(=O)N1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]1C ZINC000988055297 698288762 /nfs/dbraw/zinc/28/87/62/698288762.db2.gz STCMWOMHJQWRFK-SKDRFNHKSA-N -1 1 318.377 1.189 20 0 DDADMM Cc1coc(C)c1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000988753200 698455969 /nfs/dbraw/zinc/45/59/69/698455969.db2.gz AQUMWNXLEBFUSP-KOLCDFICSA-N -1 1 319.365 1.113 20 0 DDADMM CCN(CC)[C@H](C(=O)NC[C@@](C)(O)C(=O)[O-])c1ccccc1 ZINC000262558703 698474658 /nfs/dbraw/zinc/47/46/58/698474658.db2.gz VSNHGFVRIGJAQW-XJKSGUPXSA-N -1 1 308.378 1.021 20 0 DDADMM CN(C)[C@H](CNC(=O)NC(C)(C)C(=O)[O-])c1cccc(F)c1 ZINC000780360496 698511260 /nfs/dbraw/zinc/51/12/60/698511260.db2.gz QYRMLKXRBXMGLW-GFCCVEGCSA-N -1 1 311.357 1.591 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccco1)c1cc(F)c(F)cc1F ZINC000305180068 698638723 /nfs/dbraw/zinc/63/87/23/698638723.db2.gz BTQIUIVVQXMXCP-JTQLQIEISA-N -1 1 321.276 1.709 20 0 DDADMM CCC(C)(C)OCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000308553172 698661937 /nfs/dbraw/zinc/66/19/37/698661937.db2.gz DPUYAMOBMINACF-UHFFFAOYSA-N -1 1 309.819 1.557 20 0 DDADMM COCC[N@@H+](C)CCNc1nc(C(F)(F)F)ccc1C(=O)[O-] ZINC000317224985 698696504 /nfs/dbraw/zinc/69/65/04/698696504.db2.gz MWOUMPJMSVHUNZ-UHFFFAOYSA-N -1 1 321.299 1.789 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@H](CO)OC(C)(C)C1 ZINC000323585689 698741166 /nfs/dbraw/zinc/74/11/66/698741166.db2.gz WMOBTBAYYMCJJM-LLVKDONJSA-N -1 1 323.393 1.226 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H](C2CC2)C2CCC2)c1Cl ZINC000324917804 698745602 /nfs/dbraw/zinc/74/56/02/698745602.db2.gz RGWFDHYQLCDQLP-JTQLQIEISA-N -1 1 303.815 1.931 20 0 DDADMM CC1(C(=O)OCCOCC[N-]C(=O)C(F)(F)F)CCCC1 ZINC000782645409 698757251 /nfs/dbraw/zinc/75/72/51/698757251.db2.gz BUWFBRBOZRAAOZ-UHFFFAOYSA-N -1 1 311.300 1.805 20 0 DDADMM COC[C@@H](C)OC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000783296444 698832084 /nfs/dbraw/zinc/83/20/84/698832084.db2.gz GUMZKQRFYUDTBG-SECBINFHSA-N -1 1 307.350 1.562 20 0 DDADMM O=C(CCCc1ccsc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000783571272 698853062 /nfs/dbraw/zinc/85/30/62/698853062.db2.gz CRRXXHMRDMPWOG-LLVKDONJSA-N -1 1 307.379 1.184 20 0 DDADMM [O-]c1cc2c(cc1-c1nc(COC[C@@H]3CCOC3)no1)OCO2 ZINC000351394252 698878422 /nfs/dbraw/zinc/87/84/22/698878422.db2.gz CNNSXKTVXSXUOO-SECBINFHSA-N -1 1 320.301 1.724 20 0 DDADMM Cc1cnn(CC(=O)Nc2nc(Br)ccc2[O-])c1 ZINC000783905204 698890616 /nfs/dbraw/zinc/89/06/16/698890616.db2.gz QELCCCFYSPOWFV-UHFFFAOYSA-N -1 1 311.139 1.693 20 0 DDADMM Cn1cccc(C(=O)Nc2nc(Br)ccc2[O-])c1=O ZINC000783905187 698890657 /nfs/dbraw/zinc/89/06/57/698890657.db2.gz PANQVKWBRDXGGC-UHFFFAOYSA-N -1 1 324.134 1.501 20 0 DDADMM C[C@H](CO[N-]C(=O)Cc1cncs1)NC(=O)OC(C)(C)C ZINC000783917770 698891920 /nfs/dbraw/zinc/89/19/20/698891920.db2.gz QWZCKEJURPFRES-SECBINFHSA-N -1 1 315.395 1.647 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CCOCC1 ZINC000383401224 699069486 /nfs/dbraw/zinc/06/94/86/699069486.db2.gz YJOBKDLIOIWOFQ-UHFFFAOYSA-N -1 1 321.830 1.559 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)[C@@H]1CCO[C@H]1C)C(C)(C)C ZINC000785505997 699080487 /nfs/dbraw/zinc/08/04/87/699080487.db2.gz OMIBLRJJYBHRDN-HBNTYKKESA-N -1 1 307.412 1.061 20 0 DDADMM O=C([O-])c1cc(C(=O)N[C@@H]2CCN(Cc3ccccc3)C2)no1 ZINC000390735801 699096014 /nfs/dbraw/zinc/09/60/14/699096014.db2.gz IDCMIHKZUWRTPG-GFCCVEGCSA-N -1 1 315.329 1.377 20 0 DDADMM O=C(NC1CN(C(=O)[C@H]2Cc3ccccc32)C1)c1ncccc1[O-] ZINC000990973224 699196822 /nfs/dbraw/zinc/19/68/22/699196822.db2.gz XPOYGLHTGQAACL-AWEZNQCLSA-N -1 1 323.352 1.068 20 0 DDADMM Cc1ccc(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)s1 ZINC000787903710 699252996 /nfs/dbraw/zinc/25/29/96/699252996.db2.gz ZYGFQFBTQWKETD-SNVBAGLBSA-N -1 1 309.420 1.429 20 0 DDADMM CCNC(=O)COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000787956692 699259985 /nfs/dbraw/zinc/25/99/85/699259985.db2.gz VPHGAGVYZUFFEB-UHFFFAOYSA-N -1 1 307.281 1.010 20 0 DDADMM CC1(C)CO[C@@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000717661358 699289748 /nfs/dbraw/zinc/28/97/48/699289748.db2.gz ONTVHSAASKPXCL-MRVPVSSYSA-N -1 1 322.789 1.968 20 0 DDADMM CCc1cc2c(COC(=O)CC(=O)NC)cc(=O)oc2cc1[O-] ZINC000789095904 699361426 /nfs/dbraw/zinc/36/14/26/699361426.db2.gz PFNKOEISNHGGJL-UHFFFAOYSA-N -1 1 319.313 1.240 20 0 DDADMM C[C@H](c1ccccc1F)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727850421 699428066 /nfs/dbraw/zinc/42/80/66/699428066.db2.gz XYECTDAMCIYUMJ-LLVKDONJSA-N -1 1 319.336 1.285 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)Cc1cc(C(C)(C)C)on1 ZINC000790227573 699448232 /nfs/dbraw/zinc/44/82/32/699448232.db2.gz JBBJMUUJGLMEBI-UHFFFAOYSA-N -1 1 314.367 1.283 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)O[C@@H]1CCCCC1=O ZINC000731446712 699528969 /nfs/dbraw/zinc/52/89/69/699528969.db2.gz CSHRCHNIUJEREY-CYBMUJFWSA-N -1 1 317.345 1.649 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc([C@@H]2CCOC2)cc1 ZINC000790940944 699604296 /nfs/dbraw/zinc/60/42/96/699604296.db2.gz ORQAUBPPEBQMTR-GFCCVEGCSA-N -1 1 308.359 1.990 20 0 DDADMM CCO[N-]C(=O)[C@@H]1CCC(=O)N(C)[C@H]1c1ccc(OC)cc1 ZINC000734422009 699650176 /nfs/dbraw/zinc/65/01/76/699650176.db2.gz NOWTZLNOJPWXTA-HIFRSBDPSA-N -1 1 306.362 1.672 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2cc(F)ccc2F)CCCC1 ZINC000735920748 699710754 /nfs/dbraw/zinc/71/07/54/699710754.db2.gz UNRXXVCKPQZJFT-UHFFFAOYSA-N -1 1 304.318 1.041 20 0 DDADMM CC[C@@H](OC1CCCC1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000736378751 699724641 /nfs/dbraw/zinc/72/46/41/699724641.db2.gz SCNDICZWHNTJMA-CYBMUJFWSA-N -1 1 307.398 1.644 20 0 DDADMM O=C(c1ccc(F)cc1Cl)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000738267843 699756117 /nfs/dbraw/zinc/75/61/17/699756117.db2.gz PWEXDBCTTBSCFJ-SNVBAGLBSA-N -1 1 311.704 1.206 20 0 DDADMM COC(=O)c1oc(CN2CC[C@@H](NC(=O)[O-])[C@H](C)C2)cc1C ZINC000739791440 699779889 /nfs/dbraw/zinc/77/98/89/699779889.db2.gz HPKCCANPNGSQJQ-ZYHUDNBSSA-N -1 1 310.350 1.853 20 0 DDADMM CCC(C)(C)NC(=O)[C@@H](C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796369649 699929813 /nfs/dbraw/zinc/92/98/13/699929813.db2.gz QGNNNEJGHZGLAL-SECBINFHSA-N -1 1 310.350 1.651 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)O[C@H](C(N)=O)C(C)C)n2)cc1 ZINC000801367724 700301496 /nfs/dbraw/zinc/30/14/96/700301496.db2.gz MEIATFMMEAIGKO-AWEZNQCLSA-N -1 1 317.345 1.553 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)OCC(=O)NC)n1 ZINC000801419977 700306364 /nfs/dbraw/zinc/30/63/64/700306364.db2.gz LEGHSRORIBMTHJ-UHFFFAOYSA-N -1 1 303.318 1.043 20 0 DDADMM COc1ccc(OCC(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000801703792 700333103 /nfs/dbraw/zinc/33/31/03/700333103.db2.gz WYMMMRTYOHILFA-UHFFFAOYSA-N -1 1 312.329 1.368 20 0 DDADMM CCc1nc(COC(=O)c2ccccc2[N-]S(C)(=O)=O)n[nH]1 ZINC000754768093 700525623 /nfs/dbraw/zinc/52/56/23/700525623.db2.gz NLJCYBQAWZWNJT-UHFFFAOYSA-N -1 1 324.362 1.096 20 0 DDADMM COc1cc(C[C@H](CO)C[N-]C(=O)C(F)(F)F)cc(OC)c1 ZINC000754894602 700536408 /nfs/dbraw/zinc/53/64/08/700536408.db2.gz DTRMPLQEXZBWFV-JTQLQIEISA-N -1 1 321.295 1.533 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2csc(C)n2)co1 ZINC000756401430 700619786 /nfs/dbraw/zinc/61/97/86/700619786.db2.gz DRTMUSLJCRLQMR-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](CCOC)C(C)C)co1 ZINC000756571606 700629722 /nfs/dbraw/zinc/62/97/22/700629722.db2.gz HSZTWFBHVXZFPZ-NSHDSACASA-N -1 1 319.379 1.406 20 0 DDADMM Cc1cc(CC(=O)OCc2cc(=O)oc3cc([O-])ccc23)[nH]n1 ZINC000756699732 700636223 /nfs/dbraw/zinc/63/62/23/700636223.db2.gz HLUZLUXXVKZMES-UHFFFAOYSA-N -1 1 314.297 1.816 20 0 DDADMM C[C@@](O)(C[N-]C(=O)C(F)(F)c1nccs1)C(F)(F)F ZINC000756879463 700647008 /nfs/dbraw/zinc/64/70/08/700647008.db2.gz MCNBMELYOJHPDC-SSDOTTSWSA-N -1 1 304.240 1.664 20 0 DDADMM CN1CCC[C@@H]([N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000759005273 700733311 /nfs/dbraw/zinc/73/33/11/700733311.db2.gz WCZMZTKMKDUSMC-MRVPVSSYSA-N -1 1 323.212 1.415 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2CC23CCC3)cc1 ZINC000759987525 700786481 /nfs/dbraw/zinc/78/64/81/700786481.db2.gz VQTHZCYWCHZXDK-CYBMUJFWSA-N -1 1 317.341 1.685 20 0 DDADMM CNc1ncc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)s1 ZINC000761732313 700868401 /nfs/dbraw/zinc/86/84/01/700868401.db2.gz MDWUPCYRVJZACG-UHFFFAOYSA-N -1 1 319.342 1.298 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)c1cc[nH]c(=O)c1 ZINC000762846574 700910431 /nfs/dbraw/zinc/91/04/31/700910431.db2.gz CEFLRZOTYZPHMZ-UHFFFAOYSA-N -1 1 313.265 1.956 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N(CC(=O)[O-])C(C)C ZINC000763060319 700923031 /nfs/dbraw/zinc/92/30/31/700923031.db2.gz ZTVRZLSEPUUJBS-ZDUSSCGKSA-N -1 1 308.378 1.797 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)s1 ZINC000763363909 700935436 /nfs/dbraw/zinc/93/54/36/700935436.db2.gz HTJHXHHKXBNJCJ-VIFPVBQESA-N -1 1 321.406 1.772 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CCc2nnc[nH]2)c1 ZINC000764001327 700956919 /nfs/dbraw/zinc/95/69/19/700956919.db2.gz BSJZISLSGJKLCH-UHFFFAOYSA-N -1 1 303.318 1.431 20 0 DDADMM O=C(C[C@H]1CSCCS1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000765110449 700999562 /nfs/dbraw/zinc/99/95/62/700999562.db2.gz SIXXSPLLBNSUQG-ZJUUUORDSA-N -1 1 313.452 1.144 20 0 DDADMM CC[C@H](C)c1ccccc1OCC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765410738 701010524 /nfs/dbraw/zinc/01/05/24/701010524.db2.gz TVGIFPWBZCOWKV-NSHDSACASA-N -1 1 319.361 1.744 20 0 DDADMM CCCCN(C)c1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765462133 701013765 /nfs/dbraw/zinc/01/37/65/701013765.db2.gz RRUDNYXDONYUGU-UHFFFAOYSA-N -1 1 318.377 1.702 20 0 DDADMM Cn1[n-]c(COC(=O)C[C@H]2CCCc3ccccc32)nc1=O ZINC000765473972 701014456 /nfs/dbraw/zinc/01/44/56/701014456.db2.gz QNOUIKPODXSEAQ-GFCCVEGCSA-N -1 1 301.346 1.662 20 0 DDADMM C[C@@H](CC(=O)OCc1nc(=O)n(C)[n-]1)C(=O)c1ccccc1 ZINC000765475266 701014567 /nfs/dbraw/zinc/01/45/67/701014567.db2.gz VQSSBWBPBIGFOP-JTQLQIEISA-N -1 1 303.318 1.061 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OC[C@@H](C)CO ZINC000766701939 701059915 /nfs/dbraw/zinc/05/99/15/701059915.db2.gz PFQBOQWKSXKWDT-VIFPVBQESA-N -1 1 307.350 1.156 20 0 DDADMM CCc1ccc2occ(C(=O)OCc3nc(=O)n(C)[n-]3)c2c1 ZINC000803819704 701146870 /nfs/dbraw/zinc/14/68/70/701146870.db2.gz ATWZSDCHJPPERA-UHFFFAOYSA-N -1 1 301.302 1.774 20 0 DDADMM CCS(=O)(=O)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000768244557 701165100 /nfs/dbraw/zinc/16/51/00/701165100.db2.gz RHQHWCOYFUCFTN-UHFFFAOYSA-N -1 1 318.826 1.513 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CC(C)(C)C)OC)o1 ZINC000804585350 701202314 /nfs/dbraw/zinc/20/23/14/701202314.db2.gz JHYQGFBHMPMZFJ-UHFFFAOYSA-N -1 1 304.368 1.237 20 0 DDADMM Cc1cc(OC(C)C)ccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000770246283 701272695 /nfs/dbraw/zinc/27/26/95/701272695.db2.gz RWQQEVZCRVXIHT-UHFFFAOYSA-N -1 1 306.322 1.371 20 0 DDADMM O=C(CCCNC(=O)c1cccnc1)[N-]OCC(F)(F)F ZINC000772106778 701343594 /nfs/dbraw/zinc/34/35/94/701343594.db2.gz MXZBJHPBKVZGLP-UHFFFAOYSA-N -1 1 305.256 1.202 20 0 DDADMM O=C(OCc1cn(-c2ccc(Cl)cc2)nn1)c1cn[n-]n1 ZINC000805610189 701400197 /nfs/dbraw/zinc/40/01/97/701400197.db2.gz YCVNXQSQHIYWPG-UHFFFAOYSA-N -1 1 304.697 1.396 20 0 DDADMM CN(Cc1cccc(O)c1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806484676 701437293 /nfs/dbraw/zinc/43/72/93/701437293.db2.gz FMCPKJIRMLRWGX-UHFFFAOYSA-N -1 1 311.345 1.711 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)CC[C@H](O)c2ccccc2)n[n-]1 ZINC000806648951 701444582 /nfs/dbraw/zinc/44/45/82/701444582.db2.gz PGOVMWFLVBRETN-LBPRGKRZSA-N -1 1 323.418 2.000 20 0 DDADMM CC[C@@H](OC)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807707109 701484347 /nfs/dbraw/zinc/48/43/47/701484347.db2.gz KXWRONZKTVQOFM-MMWGEVLESA-N -1 1 324.343 1.715 20 0 DDADMM C[C@H]1CCN(C(=O)C2=COCC2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000807706073 701484368 /nfs/dbraw/zinc/48/43/68/701484368.db2.gz GMDSVYBCIJZEKL-QXEWZRGKSA-N -1 1 320.311 1.595 20 0 DDADMM CCCOCC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807706810 701484396 /nfs/dbraw/zinc/48/43/96/701484396.db2.gz VGNXMIUWNDADSE-NHCYSSNCSA-N -1 1 324.343 1.717 20 0 DDADMM COCCCC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807708936 701484456 /nfs/dbraw/zinc/48/44/56/701484456.db2.gz WGJHOAVCSPWHMD-NHCYSSNCSA-N -1 1 324.343 1.717 20 0 DDADMM Cc1cc(C)cc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c1 ZINC000830969642 706613112 /nfs/dbraw/zinc/61/31/12/706613112.db2.gz GETCZATXRMKKQE-UHFFFAOYSA-N -1 1 313.357 1.802 20 0 DDADMM CCn1ncc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)n1 ZINC000815428343 701870219 /nfs/dbraw/zinc/87/02/19/701870219.db2.gz GZDTYYGNXJLMKD-UHFFFAOYSA-N -1 1 315.285 1.467 20 0 DDADMM COCCO[N-]C(=O)C1(Cc2ccc(F)cc2)CCOCC1 ZINC000815754760 701926789 /nfs/dbraw/zinc/92/67/89/701926789.db2.gz YFAXILYLPKDOTD-UHFFFAOYSA-N -1 1 311.353 1.859 20 0 DDADMM Cc1nc([C@H](C)OC(=O)c2c[n-]c3nc(C)ccc3c2=O)n[nH]1 ZINC000840203362 702027158 /nfs/dbraw/zinc/02/71/58/702027158.db2.gz GJCOXQDQOFZRTM-QMMMGPOBSA-N -1 1 313.317 1.988 20 0 DDADMM O=C([C@H]1CCCC12OCCO2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000816525467 702105972 /nfs/dbraw/zinc/10/59/72/702105972.db2.gz UXOGSBPENPDBQZ-LLVKDONJSA-N -1 1 323.349 1.024 20 0 DDADMM O=C([N-]OC/C=C\Cl)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000812405117 702176961 /nfs/dbraw/zinc/17/69/61/702176961.db2.gz QXJCGQKDVCTSFY-IBHLPDHGSA-N -1 1 300.786 1.968 20 0 DDADMM CO[C@@H](C(=O)Nc1ccc([O-])c(F)c1F)C(=O)OC(C)(C)C ZINC000868787934 702320563 /nfs/dbraw/zinc/32/05/63/702320563.db2.gz NLFIKIRITCAVNO-NSHDSACASA-N -1 1 317.288 1.966 20 0 DDADMM CO[C@H](CC[N-]S(=O)(=O)c1c[nH]nc1Cl)C(F)(F)F ZINC000831216776 706659976 /nfs/dbraw/zinc/65/99/76/706659976.db2.gz QMTFDNBMKOTCGH-ZCFIWIBFSA-N -1 1 321.708 1.309 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@H]1C[C@@H]2COC[C@@H]2O1 ZINC000831219915 706661000 /nfs/dbraw/zinc/66/10/00/706661000.db2.gz HEXXOHWHBZPMES-GYSYKLTISA-N -1 1 311.765 1.508 20 0 DDADMM CNC(=O)OC[C@H]1CCCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000813726749 702407312 /nfs/dbraw/zinc/40/73/12/702407312.db2.gz BDBNCDUBYJTHFE-LLVKDONJSA-N -1 1 310.325 1.882 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000841533463 702483598 /nfs/dbraw/zinc/48/35/98/702483598.db2.gz BEHCOMSNUCMEEY-SNVBAGLBSA-N -1 1 305.302 1.195 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CCCCC1)c1c[nH]nc1Cl ZINC000841575157 702503277 /nfs/dbraw/zinc/50/32/77/702503277.db2.gz NTWWNCVCFCKUNI-JTQLQIEISA-N -1 1 321.830 1.673 20 0 DDADMM CCc1nc(C)c(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)o1 ZINC000814515967 702536848 /nfs/dbraw/zinc/53/68/48/702536848.db2.gz PZGBAEXUFBGWLY-UHFFFAOYSA-N -1 1 316.313 1.659 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869476523 702619207 /nfs/dbraw/zinc/61/92/07/702619207.db2.gz DNPKFLNYKYOISP-NXEZZACHSA-N -1 1 309.284 1.459 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)CSC1CC1 ZINC000869528605 702636520 /nfs/dbraw/zinc/63/65/20/702636520.db2.gz LHQSWWJJIZGLAU-UHFFFAOYSA-N -1 1 313.297 1.063 20 0 DDADMM CC(C)c1nnc(-c2ccccc2NC(=O)COCC(=O)[O-])[nH]1 ZINC000844247661 702999457 /nfs/dbraw/zinc/99/94/57/702999457.db2.gz QXTQTQWDPITXTN-UHFFFAOYSA-N -1 1 318.333 1.635 20 0 DDADMM CCC[C@H](C)[C@H](CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000844651091 703051559 /nfs/dbraw/zinc/05/15/59/703051559.db2.gz TZBGOJHJDULHQW-GWCFXTLKSA-N -1 1 305.382 1.260 20 0 DDADMM C[C@@H](COc1ccccc1)[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866550006 706727473 /nfs/dbraw/zinc/72/74/73/706727473.db2.gz IWFJRPMEJQGLRA-JTQLQIEISA-N -1 1 306.409 1.016 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@]1(C)C[C@]1(F)Cl ZINC000845973696 703221892 /nfs/dbraw/zinc/22/18/92/703221892.db2.gz BYDRRZGVMVAQIS-NXEZZACHSA-N -1 1 311.766 1.548 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)Cc1ccc(Cl)nc1 ZINC000845975152 703222310 /nfs/dbraw/zinc/22/23/10/703222310.db2.gz GPDIDXAAGWZCQG-SECBINFHSA-N -1 1 324.761 1.056 20 0 DDADMM COc1cc(C(=O)NCCOC(=O)C2CC2)cc(Cl)c1[O-] ZINC000846077250 703235145 /nfs/dbraw/zinc/23/51/45/703235145.db2.gz KYTCOCWLZMGEAK-UHFFFAOYSA-N -1 1 313.737 1.737 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1Cc2ccccc21 ZINC000866563207 706730660 /nfs/dbraw/zinc/73/06/60/706730660.db2.gz YWKXQKFNOXRHPV-BBATYDOGSA-N -1 1 302.421 1.278 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1cnn(Cc2ccccc2)c1 ZINC000846272219 703259076 /nfs/dbraw/zinc/25/90/76/703259076.db2.gz UTGUVDXMAZKNCD-UHFFFAOYSA-N -1 1 317.389 1.855 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H]([N-]C(=O)C(F)F)C(F)(F)F ZINC000847215040 703388518 /nfs/dbraw/zinc/38/85/18/703388518.db2.gz UATWVTWVWODFFD-RXMQYKEDSA-N -1 1 306.231 1.823 20 0 DDADMM COC(=O)CCCC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866615890 706744623 /nfs/dbraw/zinc/74/46/23/706744623.db2.gz JKBMIWLXIOQIFK-UHFFFAOYSA-N -1 1 324.761 1.496 20 0 DDADMM CCn1ncnc1C[N-]c1nnc([C@@H]2Cc3ccccc3O2)o1 ZINC000849166870 703623043 /nfs/dbraw/zinc/62/30/43/703623043.db2.gz TVQOGMCJTKTSEM-LBPRGKRZSA-N -1 1 312.333 1.969 20 0 DDADMM CCc1nc(SC[C@H]2CC(C(=O)OC)=NO2)[n-]c(=O)c1C ZINC000849921932 703689713 /nfs/dbraw/zinc/68/97/13/703689713.db2.gz RNIKTKOPCZWYPQ-MRVPVSSYSA-N -1 1 311.363 1.463 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2ccc(F)s2)CCC1 ZINC000851105531 703780781 /nfs/dbraw/zinc/78/07/81/703780781.db2.gz PJFIRBMHELGSLW-UHFFFAOYSA-N -1 1 320.411 1.431 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2C[C@@H](OCC(F)F)C2)[n-]1 ZINC000851515102 703807000 /nfs/dbraw/zinc/80/70/00/703807000.db2.gz WUFCKYJXMPLOGE-OCAPTIKFSA-N -1 1 303.261 1.771 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)OCc1nnnn1C1CC1 ZINC000869736911 703839429 /nfs/dbraw/zinc/83/94/29/703839429.db2.gz VFWGJYFQNHEBMQ-UHFFFAOYSA-N -1 1 308.725 1.653 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-][C@H]1CCCC12OCCO2 ZINC000851818206 703863524 /nfs/dbraw/zinc/86/35/24/703863524.db2.gz PDKMZINWQQETDN-OLZOCXBDSA-N -1 1 321.439 1.263 20 0 DDADMM CC[C@H](C)C[C@@H](CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000831748939 706770187 /nfs/dbraw/zinc/77/01/87/706770187.db2.gz SGILMRILGVIJFB-QWRGUYRKSA-N -1 1 305.382 1.260 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)N2CC[C@@H](C(=O)[O-])C2)cc1OC ZINC000818599147 703935059 /nfs/dbraw/zinc/93/50/59/703935059.db2.gz RDASVIZUJHDUBO-GHMZBOCLSA-N -1 1 322.361 1.437 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2cc3[nH]cnc3cc2F)C1=O ZINC000819808446 704176020 /nfs/dbraw/zinc/17/60/20/704176020.db2.gz COKFJNVFZXDTMG-CQSZACIVSA-N -1 1 319.296 1.067 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@@H]2CCCOC2)c1 ZINC000819957874 704193102 /nfs/dbraw/zinc/19/31/02/704193102.db2.gz PURMCHQGYONUQF-GTJPDFRWSA-N -1 1 312.387 1.773 20 0 DDADMM CC(C)n1ccc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000819970885 704194801 /nfs/dbraw/zinc/19/48/01/704194801.db2.gz WBYSKUJIYRLIOP-LLVKDONJSA-N -1 1 319.365 1.501 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000820076594 704217378 /nfs/dbraw/zinc/21/73/78/704217378.db2.gz OJTLDABLTBZXKU-QMMMGPOBSA-N -1 1 310.383 1.713 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000820076594 704217380 /nfs/dbraw/zinc/21/73/80/704217380.db2.gz OJTLDABLTBZXKU-QMMMGPOBSA-N -1 1 310.383 1.713 20 0 DDADMM NC(=O)[C@@H](C1CC1)N(CC1CC1)C(=O)c1cc(F)ccc1[O-] ZINC000871391897 704283730 /nfs/dbraw/zinc/28/37/30/704283730.db2.gz GXIQXVSRPFGIRO-CQSZACIVSA-N -1 1 306.337 1.647 20 0 DDADMM O=C(NCC[C@H]1CNC(=O)C1)c1c([O-])cnc2c(F)cccc21 ZINC000831928767 706805441 /nfs/dbraw/zinc/80/54/41/706805441.db2.gz DEHKRBDGOJSPIU-SECBINFHSA-N -1 1 317.320 1.336 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)CC(C)(C)O)c1 ZINC000821058569 704365824 /nfs/dbraw/zinc/36/58/24/704365824.db2.gz FLPREUJDCIDCPW-WSVYEEACSA-N -1 1 314.403 1.896 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2nncn2C)c1 ZINC000821351973 704395129 /nfs/dbraw/zinc/39/51/29/704395129.db2.gz FWCWWVUFKPGEST-MLMJSJRWSA-N -1 1 323.374 1.236 20 0 DDADMM CCC(=O)N1CC[C@@H](C(=O)n2[n-]c(=O)c3ccc(OC)cc32)C1 ZINC000854505795 704409664 /nfs/dbraw/zinc/40/96/64/704409664.db2.gz JJIMSBOTKDDVMA-SNVBAGLBSA-N -1 1 317.345 1.649 20 0 DDADMM CC[C@@H](COC)[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866823684 706814995 /nfs/dbraw/zinc/81/49/95/706814995.db2.gz PMVXANCENQPUOZ-ZETCQYMHSA-N -1 1 315.317 1.142 20 0 DDADMM COc1cc(C(=O)N2CCN(OC)CC2)cc(Cl)c1[O-] ZINC000854862167 704459572 /nfs/dbraw/zinc/45/95/72/704459572.db2.gz QYAFNPOEADXKJV-UHFFFAOYSA-N -1 1 300.742 1.373 20 0 DDADMM COC[C@@H](CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O)OC ZINC000855222201 704473849 /nfs/dbraw/zinc/47/38/49/704473849.db2.gz OZYKHLUBMRCZQP-LLVKDONJSA-N -1 1 311.382 1.395 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417251 704527957 /nfs/dbraw/zinc/52/79/57/704527957.db2.gz MVWIUSDPHBHPDN-RCWTZXSCSA-N -1 1 322.327 1.327 20 0 DDADMM O=C(N[C@H](C1CC1)C1(CO)CCOCC1)c1cc(F)ccc1[O-] ZINC000857549942 704602759 /nfs/dbraw/zinc/60/27/59/704602759.db2.gz JSIAFFNQNCQHRP-OAHLLOKOSA-N -1 1 323.364 1.829 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](Nc3cc(Cl)[n-]c(=O)n3)[C@H]2C1 ZINC000858547601 704725443 /nfs/dbraw/zinc/72/54/43/704725443.db2.gz IYFMVNOAQBFMSK-GARJFASQSA-N -1 1 310.785 1.895 20 0 DDADMM C/C=C/CNC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000858884740 704768338 /nfs/dbraw/zinc/76/83/38/704768338.db2.gz DBZDLWLFNQFXLZ-OKWQPMOJSA-N -1 1 307.316 1.661 20 0 DDADMM CC(=O)NCCN(Cc1ccc(C)c(Cl)n1)[C@H](C)C(=O)[O-] ZINC000873641972 704814731 /nfs/dbraw/zinc/81/47/31/704814731.db2.gz JIFGLPLHJBORPF-SNVBAGLBSA-N -1 1 313.785 1.455 20 0 DDADMM CN(Cc1ccc2cc[nH]c2c1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000873646575 704816469 /nfs/dbraw/zinc/81/64/69/704816469.db2.gz LQRJKFQRKTVNFL-CQSZACIVSA-N -1 1 301.346 1.285 20 0 DDADMM COC1(CS(=O)(=O)[N-][C@H]2CCCC23OCCO3)CCCC1 ZINC000867529256 707030340 /nfs/dbraw/zinc/03/03/40/707030340.db2.gz ZXNXABGVCNOQCZ-LBPRGKRZSA-N -1 1 319.423 1.161 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc2cnccc2c1)c1nn[n-]n1 ZINC000859944765 705048998 /nfs/dbraw/zinc/04/89/98/705048998.db2.gz CNXYUUWJUOQPKZ-AWEZNQCLSA-N -1 1 310.361 1.948 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)c1ccc(C(F)(F)F)nc1 ZINC000874642530 705123848 /nfs/dbraw/zinc/12/38/48/705123848.db2.gz BIMDBPBRRLUZEB-ZETCQYMHSA-N -1 1 314.271 1.424 20 0 DDADMM CC1CCC(O)(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000823058992 705127063 /nfs/dbraw/zinc/12/70/63/705127063.db2.gz VYKCVGXQZDMTDD-UHFFFAOYSA-N -1 1 317.393 1.405 20 0 DDADMM CCn1ncc(C(=O)Nc2c(C)[n-][nH]c2=O)c1Br ZINC000823130909 705148825 /nfs/dbraw/zinc/14/88/25/705148825.db2.gz RLLBKEXECMTVDJ-UHFFFAOYSA-N -1 1 314.143 1.655 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](OC)CC[C@@H]2C)c1 ZINC000867634293 707059366 /nfs/dbraw/zinc/05/93/66/707059366.db2.gz FPHJMJQMBMIODF-CMPLNLGQSA-N -1 1 315.391 1.589 20 0 DDADMM CCc1[nH]nc(Cl)c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000860348174 705161786 /nfs/dbraw/zinc/16/17/86/705161786.db2.gz AMDLXEFVRIQFDP-SSDOTTSWSA-N -1 1 309.761 1.158 20 0 DDADMM O=C(CN1CCC[C@H](n2ccnn2)C1)[N-]OCc1ccccc1 ZINC000823469586 705250198 /nfs/dbraw/zinc/25/01/98/705250198.db2.gz YECHZPRNQWIMBQ-HNNXBMFYSA-N -1 1 315.377 1.163 20 0 DDADMM C[C@H](CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)C(C)(C)C ZINC000875295411 705343266 /nfs/dbraw/zinc/34/32/66/705343266.db2.gz QFKWCRYIPYYHKS-SECBINFHSA-N -1 1 323.359 1.989 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@]2(C)CCCOC2)co1 ZINC000861046268 705358699 /nfs/dbraw/zinc/35/86/99/705358699.db2.gz ZNWQVORCXWLGAK-ZDUSSCGKSA-N -1 1 317.363 1.161 20 0 DDADMM O=C(NCCC1CCC1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875369039 705366593 /nfs/dbraw/zinc/36/65/93/705366593.db2.gz SPBXYXISIGRHRV-UHFFFAOYSA-N -1 1 307.316 1.497 20 0 DDADMM CCOC(=O)CCc1c(C)nc(SCCCO)[n-]c1=O ZINC000871694582 707171660 /nfs/dbraw/zinc/17/16/60/707171660.db2.gz NNHARWUUTQTVOF-UHFFFAOYSA-N -1 1 300.380 1.461 20 0 DDADMM CON(C)CCNC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC000824445865 705453893 /nfs/dbraw/zinc/45/38/93/705453893.db2.gz CLYIOEDNZHWMNO-UHFFFAOYSA-N -1 1 318.329 1.688 20 0 DDADMM CCn1cc(C[N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)nn1 ZINC000824490992 705463644 /nfs/dbraw/zinc/46/36/44/705463644.db2.gz QQKRSHWAMXIDFE-UHFFFAOYSA-N -1 1 316.258 1.984 20 0 DDADMM CC[C@@H]1CCCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825191619 705621147 /nfs/dbraw/zinc/62/11/47/705621147.db2.gz DGMPBWNMYGEAPO-SNVBAGLBSA-N -1 1 304.354 1.354 20 0 DDADMM CC[C@@H]1CCCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825191619 705621149 /nfs/dbraw/zinc/62/11/49/705621149.db2.gz DGMPBWNMYGEAPO-SNVBAGLBSA-N -1 1 304.354 1.354 20 0 DDADMM O=C([C@H]1CC[C@H](C2CCC2)CC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000826834599 705881073 /nfs/dbraw/zinc/88/10/73/705881073.db2.gz UPRUSSPZGWRHEN-MGPQQGTHSA-N -1 1 319.409 1.706 20 0 DDADMM O=C(NCC1(CO)CCCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000826966440 705902130 /nfs/dbraw/zinc/90/21/30/705902130.db2.gz NFKCWAKGVLUAAB-UHFFFAOYSA-N -1 1 320.418 1.691 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2csc(C3CC3)n2)CC1 ZINC000864158562 706084029 /nfs/dbraw/zinc/08/40/29/706084029.db2.gz BXLTVEVWFZMCIE-UHFFFAOYSA-N -1 1 323.418 1.642 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2(C)CC(F)(F)C2)co1 ZINC000881525056 707285728 /nfs/dbraw/zinc/28/57/28/707285728.db2.gz ZDVNVGIRPIMCDJ-UHFFFAOYSA-N -1 1 322.333 1.353 20 0 DDADMM C[C@@H]1[C@H](Cc2ccccc2)CCN1Cn1cc(C(=O)[O-])nn1 ZINC000828150258 706128842 /nfs/dbraw/zinc/12/88/42/706128842.db2.gz PIGIEGHIXGJACM-OCCSQVGLSA-N -1 1 300.362 1.887 20 0 DDADMM CCOc1cccc(CN2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000877776906 706207492 /nfs/dbraw/zinc/20/74/92/706207492.db2.gz GIHXPRHYFANSJA-UHFFFAOYSA-N -1 1 317.311 1.591 20 0 DDADMM CN(CCC(=O)[O-])C(=O)[C@H](N)c1cccc(Br)c1 ZINC000864607343 706210566 /nfs/dbraw/zinc/21/05/66/706210566.db2.gz OUDGLCHNHFZMLF-LLVKDONJSA-N -1 1 315.167 1.382 20 0 DDADMM COc1ccc2cc(CNC(=O)CCc3nn[n-]n3)ccc2c1 ZINC000864662654 706228214 /nfs/dbraw/zinc/22/82/14/706228214.db2.gz HSBDCAUHVXEASM-UHFFFAOYSA-N -1 1 311.345 1.611 20 0 DDADMM C[C@@H](O)CN(Cc1nc2c(c(=O)[n-]1)COCC2)c1ccccc1 ZINC000878130824 706302555 /nfs/dbraw/zinc/30/25/55/706302555.db2.gz VXPNGIZSUOXAGO-GFCCVEGCSA-N -1 1 315.373 1.642 20 0 DDADMM O=C1OCC[C@@H]1CCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000872563964 707482527 /nfs/dbraw/zinc/48/25/27/707482527.db2.gz JXULDKCNKILGBG-QMMMGPOBSA-N -1 1 323.317 1.839 20 0 DDADMM O=S(=O)([N-][C@H](CCO)C1CCCCC1)c1c[nH]nc1Cl ZINC000830632501 706549596 /nfs/dbraw/zinc/54/95/96/706549596.db2.gz AHBDECMKZFNKJG-SNVBAGLBSA-N -1 1 321.830 1.673 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)c1cccs1)c1c[nH]nc1Cl ZINC000830657384 706555316 /nfs/dbraw/zinc/55/53/16/706555316.db2.gz BYZAGWZMNHCQGW-ZETCQYMHSA-N -1 1 321.811 1.527 20 0 DDADMM C[C@@H]1COCC[C@H]1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807080 706583139 /nfs/dbraw/zinc/58/31/39/706583139.db2.gz BOHUFOAFYJCUGG-BREBYQMCSA-N -1 1 322.327 1.329 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2CCOCC2)C1 ZINC000830841957 706590887 /nfs/dbraw/zinc/59/08/87/706590887.db2.gz XKDPWZMXYOQLBF-LBPRGKRZSA-N -1 1 308.300 1.083 20 0 DDADMM CCc1cc(CNC(=O)N(CC(=O)[O-])C2CCCCC2)n[nH]1 ZINC000908980699 712907897 /nfs/dbraw/zinc/90/78/97/712907897.db2.gz DIYHEFVTTUQIFD-UHFFFAOYSA-N -1 1 308.382 1.901 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCCCC23OCCO3)sn1 ZINC000866993058 706866839 /nfs/dbraw/zinc/86/68/39/706866839.db2.gz ZSGXELBPSOPQBQ-SNVBAGLBSA-N -1 1 318.420 1.416 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCC(=O)[C@H]1C[C@@H]1C ZINC000908994013 712911049 /nfs/dbraw/zinc/91/10/49/712911049.db2.gz CTCVDZTUZPKTSO-KWQFWETISA-N -1 1 317.345 1.363 20 0 DDADMM CCC(C)(C)CCS(=O)(=O)[N-][C@@]1(C(=O)OC)CCSC1 ZINC000867020354 706874245 /nfs/dbraw/zinc/87/42/45/706874245.db2.gz KPWSLWJVFWJZKI-ZDUSSCGKSA-N -1 1 323.480 1.781 20 0 DDADMM CCn1ccc(NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000880094135 706877325 /nfs/dbraw/zinc/87/73/25/706877325.db2.gz DKVVHUWIBONPPJ-UHFFFAOYSA-N -1 1 319.287 1.045 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCC[C@@H]1OC ZINC000867058379 706885489 /nfs/dbraw/zinc/88/54/89/706885489.db2.gz QTCCYNDZRRFSBE-QWRGUYRKSA-N -1 1 312.457 1.144 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@H]1CCCOC1 ZINC000867069397 706888537 /nfs/dbraw/zinc/88/85/37/706888537.db2.gz GVCINCJAJMLUEA-IUCAKERBSA-N -1 1 306.334 1.453 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)N(C)[C@H](C(=O)[O-])c1ccccc1 ZINC000909000773 712912667 /nfs/dbraw/zinc/91/26/67/712912667.db2.gz QBTWJUOPKJWUKF-HIFRSBDPSA-N -1 1 320.389 1.239 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)C[C@]2(C)CC2(Cl)Cl)n1 ZINC000867176669 706916344 /nfs/dbraw/zinc/91/63/44/706916344.db2.gz AIYFZWMHNKQTLN-QMMMGPOBSA-N -1 1 314.194 1.381 20 0 DDADMM CCO[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC000867383220 706982808 /nfs/dbraw/zinc/98/28/08/706982808.db2.gz VPRBBWQBIOVQMS-OCAPTIKFSA-N -1 1 308.762 1.720 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@H]1CC2CCC1CC2 ZINC000867446052 707003486 /nfs/dbraw/zinc/00/34/86/707003486.db2.gz HNTFPEGFULQNIA-WXRRBKDZSA-N -1 1 320.480 1.909 20 0 DDADMM COCCn1ccc(C(=O)NCc2ccc([O-])c(Cl)c2)n1 ZINC000834826563 707131925 /nfs/dbraw/zinc/13/19/25/707131925.db2.gz UHTJKBNXCSTGMB-UHFFFAOYSA-N -1 1 309.753 1.819 20 0 DDADMM C[C@H](CS(C)(=O)=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834828988 707132796 /nfs/dbraw/zinc/13/27/96/707132796.db2.gz GVRNDRTYKFTEMT-MRVPVSSYSA-N -1 1 305.783 1.343 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC[C@@H](CF)C2)c(=O)[n-]1 ZINC000881664895 707316157 /nfs/dbraw/zinc/31/61/57/707316157.db2.gz TZNXVWQLPYRPGL-JTQLQIEISA-N -1 1 313.398 1.963 20 0 DDADMM COC(=O)[C@]12CCC[C@H]1CN(C(=O)C(=O)c1ccc([O-])cc1)C2 ZINC000881714176 707330481 /nfs/dbraw/zinc/33/04/81/707330481.db2.gz SWUFBPWUVTZKOT-SJCJKPOMSA-N -1 1 317.341 1.377 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1cccc(OC)c1 ZINC000872330174 707383327 /nfs/dbraw/zinc/38/33/27/707383327.db2.gz BSHFBBRUMRHFTR-UHFFFAOYSA-N -1 1 306.409 1.860 20 0 DDADMM Cc1cncc(C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)c1 ZINC000872465562 707427757 /nfs/dbraw/zinc/42/77/57/707427757.db2.gz OWFIFFUGDCBJDI-LJQANCHMSA-N -1 1 319.452 1.621 20 0 DDADMM CC[C@@H](C)N(CC(=O)[O-])C(=O)NCc1ccc2cncn2c1 ZINC000909172931 712953666 /nfs/dbraw/zinc/95/36/66/712953666.db2.gz WZRCFKMWRHGCJW-LLVKDONJSA-N -1 1 304.350 1.729 20 0 DDADMM CC(C)C[C@@H](C(=O)[O-])N(C)C(=O)NCc1ccc2cncn2c1 ZINC000909173254 712954013 /nfs/dbraw/zinc/95/40/13/712954013.db2.gz ZOKNGLRCOMTUAT-AWEZNQCLSA-N -1 1 318.377 1.975 20 0 DDADMM CCC[C@@H]1CCCC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000837108294 707579271 /nfs/dbraw/zinc/57/92/71/707579271.db2.gz PVQZORYFARFBOL-KGLIPLIRSA-N -1 1 321.421 1.649 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)CC=C(Cl)Cl ZINC000882319361 707582259 /nfs/dbraw/zinc/58/22/59/707582259.db2.gz JRQPAAUKOCXYGA-ZCFIWIBFSA-N -1 1 308.158 1.126 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2c([O-])cccc2F)n(C)c1C ZINC000882387094 707618233 /nfs/dbraw/zinc/61/82/33/707618233.db2.gz UOWOPHQIYFYINA-UHFFFAOYSA-N -1 1 320.320 1.895 20 0 DDADMM C[C@@]1(CS(=O)(=O)[N-][C@H]2CCCC23OCCO3)CC1(F)F ZINC000882412596 707627568 /nfs/dbraw/zinc/62/75/68/707627568.db2.gz OERNRBFTJMNCEL-UWVGGRQHSA-N -1 1 311.350 1.247 20 0 DDADMM CCN1CC[C@H]1CNC(=O)c1cccc(Br)c1[O-] ZINC000882486273 707657086 /nfs/dbraw/zinc/65/70/86/707657086.db2.gz JHBRYQMRVIDKFV-VIFPVBQESA-N -1 1 313.195 1.979 20 0 DDADMM CNC(=O)C(C)(C)CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872945525 707666110 /nfs/dbraw/zinc/66/61/10/707666110.db2.gz OOVLTRINOLYJHX-UHFFFAOYSA-N -1 1 313.785 1.617 20 0 DDADMM CC(Cl)(Cl)C(=O)[N-]C[C@H](O)C(F)(F)C(F)(F)F ZINC000882560349 707697261 /nfs/dbraw/zinc/69/72/61/707697261.db2.gz LTEFFLHHKGKSNA-VKHMYHEASA-N -1 1 304.042 1.855 20 0 DDADMM O=C(NC[C@@H](O)C(F)(F)C(F)(F)F)c1cc(F)ccc1[O-] ZINC000882562179 707698224 /nfs/dbraw/zinc/69/82/24/707698224.db2.gz PRTZKDBTCXAOOA-MRVPVSSYSA-N -1 1 317.185 1.820 20 0 DDADMM CC1(C)CC[C@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)OC1 ZINC000882563768 707699454 /nfs/dbraw/zinc/69/94/54/707699454.db2.gz AMOKJITVWHQKMS-SECBINFHSA-N -1 1 320.361 1.843 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)c(F)c1 ZINC000882660071 707736490 /nfs/dbraw/zinc/73/64/90/707736490.db2.gz KRDCAMVEGDWXRL-SFHVURJKSA-N -1 1 309.388 1.365 20 0 DDADMM CCN1CC[C@@H]1C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000882765731 707781863 /nfs/dbraw/zinc/78/18/63/707781863.db2.gz ILYZEUJFXFFGGB-MRVPVSSYSA-N -1 1 324.233 1.761 20 0 DDADMM CC[C@@H](OC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C)C(C)=O ZINC000873353074 707822098 /nfs/dbraw/zinc/82/20/98/707822098.db2.gz MJUNJKNTYTXVAR-CYBMUJFWSA-N -1 1 319.361 1.895 20 0 DDADMM COC(=O)[C@@H](F)CNC(=O)c1ccc(Br)cc1[O-] ZINC000838070259 707828521 /nfs/dbraw/zinc/82/85/21/707828521.db2.gz BKFIEPUTQJDJDP-QMMMGPOBSA-N -1 1 320.114 1.396 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1C[C@@H](O)CC2(CCOCC2)C1 ZINC000882960992 707874869 /nfs/dbraw/zinc/87/48/69/707874869.db2.gz BIBGQLZGSBFTIW-LBPRGKRZSA-N -1 1 309.337 1.535 20 0 DDADMM CCC(C)(C)OCC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000838411325 707914235 /nfs/dbraw/zinc/91/42/35/707914235.db2.gz MLKPMBJQAJOBCN-UHFFFAOYSA-N -1 1 307.346 1.691 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@@H]2CCCC[C@H]2C(=O)OC)[n-]1 ZINC000909340156 712995552 /nfs/dbraw/zinc/99/55/52/712995552.db2.gz QWHZOHOPRILKAC-WDEREUQCSA-N -1 1 323.345 1.938 20 0 DDADMM O=C([O-])C[C@H](NC(=O)CN1CCCC1)c1ccc(F)cc1F ZINC000909340958 712995629 /nfs/dbraw/zinc/99/56/29/712995629.db2.gz CYCGFPMNLUJDHY-ZDUSSCGKSA-N -1 1 312.316 1.693 20 0 DDADMM COC(=O)CCN(CC(=O)[O-])Cc1ccnc(Cl)c1F ZINC000883519067 708020151 /nfs/dbraw/zinc/02/01/51/708020151.db2.gz HHFABMUSRPKGDL-UHFFFAOYSA-N -1 1 304.705 1.324 20 0 DDADMM COCC[C@@](C)(O)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896835359 708159972 /nfs/dbraw/zinc/15/99/72/708159972.db2.gz QBVAZRIQWVFDLM-QGZVFWFLSA-N -1 1 319.357 1.768 20 0 DDADMM O=C(C[C@H]1CCC2(CCC2)CO1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000897161294 708241713 /nfs/dbraw/zinc/24/17/13/708241713.db2.gz DAXFNIOUAIUPJB-QWHCGFSZSA-N -1 1 319.409 1.645 20 0 DDADMM O=C(C[C@@H]1CCC2(CCC2)CO1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000897161295 708241722 /nfs/dbraw/zinc/24/17/22/708241722.db2.gz DAXFNIOUAIUPJB-STQMWFEESA-N -1 1 319.409 1.645 20 0 DDADMM O=C([N-]CCCOC(=O)c1cncc2[nH]ccc21)C(F)(F)F ZINC000884878799 708405099 /nfs/dbraw/zinc/40/50/99/708405099.db2.gz JRNNHIQGNGDOIK-UHFFFAOYSA-N -1 1 315.251 1.788 20 0 DDADMM COC(OC)[C@H](C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897761721 708442350 /nfs/dbraw/zinc/44/23/50/708442350.db2.gz LYBJHPPRBHITAQ-VIFPVBQESA-N -1 1 305.330 1.988 20 0 DDADMM CC[C@H]1CCC[C@@H]([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)C1 ZINC000912542431 713033141 /nfs/dbraw/zinc/03/31/41/713033141.db2.gz NHLBRQZDYQJBSU-VHSXEESVSA-N -1 1 315.395 1.443 20 0 DDADMM CCOC(=O)[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1ccns1 ZINC000885217275 708496568 /nfs/dbraw/zinc/49/65/68/708496568.db2.gz FCMXMKGVGUFLSC-ZJUUUORDSA-N -1 1 318.420 1.543 20 0 DDADMM O=S(=O)([N-][C@@H](C1CCC1)[C@@H]1CCCO1)c1ccns1 ZINC000885336542 708522274 /nfs/dbraw/zinc/52/22/74/708522274.db2.gz PXWRNTCFRUKVQR-JQWIXIFHSA-N -1 1 302.421 1.769 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1[C@@H](C)CCC[C@@H]1C ZINC000912554290 713035430 /nfs/dbraw/zinc/03/54/30/713035430.db2.gz WSIVPPDHTRZDHB-IUCAKERBSA-N -1 1 315.395 1.299 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2(C)C(C)(C)C2(C)C)n[n-]1 ZINC000898435854 708639232 /nfs/dbraw/zinc/63/92/32/708639232.db2.gz OBLOZKNQQBQULD-UHFFFAOYSA-N -1 1 308.382 1.670 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2(C)C(C)(C)C2(C)C)n1 ZINC000898435854 708639234 /nfs/dbraw/zinc/63/92/34/708639234.db2.gz OBLOZKNQQBQULD-UHFFFAOYSA-N -1 1 308.382 1.670 20 0 DDADMM O=C(CCCn1cncn1)NCCc1c(F)cc([O-])cc1F ZINC000886265005 708731989 /nfs/dbraw/zinc/73/19/89/708731989.db2.gz UOFWXXZPMXNRTL-UHFFFAOYSA-N -1 1 310.304 1.401 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H]1CCc2c[nH]nc2C1 ZINC000886266396 708732492 /nfs/dbraw/zinc/73/24/92/708732492.db2.gz KTJLKNRWRGPOOW-VIFPVBQESA-N -1 1 321.327 1.857 20 0 DDADMM CCN(C(=O)c1cnc(C2CC2)[n-]c1=O)C(C)(C)C(=O)OC ZINC000886432543 708760167 /nfs/dbraw/zinc/76/01/67/708760167.db2.gz ATQPZKAFFUUFOL-UHFFFAOYSA-N -1 1 307.350 1.473 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1C[C@H]2CC[C@@H](C1)O2 ZINC000927782379 713054653 /nfs/dbraw/zinc/05/46/53/713054653.db2.gz MZSUOJMTTWJISP-PHIMTYICSA-N -1 1 312.316 1.786 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NOC1CCOCC1 ZINC000927782713 713054755 /nfs/dbraw/zinc/05/47/55/713054755.db2.gz IIJCPPCDZGUDMU-UHFFFAOYSA-N -1 1 316.304 1.623 20 0 DDADMM CC(C)C[C@@H]1CN(C)CCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000886598052 708788808 /nfs/dbraw/zinc/78/88/08/708788808.db2.gz SNCSVIAROBONIS-CQSZACIVSA-N -1 1 304.390 1.764 20 0 DDADMM COC[C@@H]1CCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927788580 713056655 /nfs/dbraw/zinc/05/66/55/713056655.db2.gz KRHBYTBPSWEWKW-VIFPVBQESA-N -1 1 300.305 1.643 20 0 DDADMM C[C@H]1CS(=O)(=O)CCCN1C(=O)c1cc(F)ccc1[O-] ZINC000886820114 708853340 /nfs/dbraw/zinc/85/33/40/708853340.db2.gz BNCQJAAARUNFHC-VIFPVBQESA-N -1 1 301.339 1.181 20 0 DDADMM C[C@H](Cc1ccc(F)cc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898749632 708854319 /nfs/dbraw/zinc/85/43/19/708854319.db2.gz CTWNXEKEYRVRIA-SECBINFHSA-N -1 1 305.309 1.522 20 0 DDADMM COCC1(C)CN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927790552 713057014 /nfs/dbraw/zinc/05/70/14/713057014.db2.gz ZTHKNMBAYSCBNG-UHFFFAOYSA-N -1 1 314.332 1.891 20 0 DDADMM O=S(=O)([N-][C@H]([C@@H]1CCOC1)C(F)(F)F)c1cccnc1 ZINC000921863556 713889537 /nfs/dbraw/zinc/88/95/37/713889537.db2.gz XKLGWZGLVHVPOE-PSASIEDQSA-N -1 1 310.297 1.327 20 0 DDADMM CON(C)C(=O)[C@@H](C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000899150645 709001461 /nfs/dbraw/zinc/00/14/61/709001461.db2.gz HHPSIPIFQZOTFR-SECBINFHSA-N -1 1 318.329 1.389 20 0 DDADMM Cn1nccc1[C@@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CCO1 ZINC000887606332 709080849 /nfs/dbraw/zinc/08/08/49/709080849.db2.gz XRGSUOHIBUVAKM-AWEZNQCLSA-N -1 1 321.764 1.993 20 0 DDADMM COC(=O)[C@H]1CCN1C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000888059573 709203658 /nfs/dbraw/zinc/20/36/58/709203658.db2.gz GKOHHMSNPZFKGZ-SECBINFHSA-N -1 1 307.350 1.176 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])C[C@@H]1C(=O)NCc1cc(N(C)C)ccn1 ZINC000899836651 709211116 /nfs/dbraw/zinc/21/11/16/709211116.db2.gz XNHJVWKMYWJICR-CJNGLKHVSA-N -1 1 305.378 1.511 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)n[nH]1 ZINC000888120307 709218249 /nfs/dbraw/zinc/21/82/49/709218249.db2.gz IWZOSOBHEMIJQQ-OUJBWJOFSA-N -1 1 307.350 1.729 20 0 DDADMM NC(=O)[C@@H]1CCCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000899961600 709251160 /nfs/dbraw/zinc/25/11/60/709251160.db2.gz UIDWSFHWDDDKFE-SECBINFHSA-N -1 1 317.320 1.417 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](CO)C1)c1c(Cl)ccnc1Cl ZINC000922064184 713950648 /nfs/dbraw/zinc/95/06/48/713950648.db2.gz IUDNLEASPWFTIW-LJGSYFOKSA-N -1 1 311.190 1.438 20 0 DDADMM C[C@]1(NC(=O)c2cc(Br)ccc2[O-])CCNC1=O ZINC000889043009 709439470 /nfs/dbraw/zinc/43/94/70/709439470.db2.gz UPZSUBCMZDNNCF-LBPRGKRZSA-N -1 1 313.151 1.163 20 0 DDADMM CC(C)(C(=O)[O-])[C@@H]1CCCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC000909489782 709486651 /nfs/dbraw/zinc/48/66/51/709486651.db2.gz FRUXFZCXZQBMAQ-VXGBXAGGSA-N -1 1 319.405 1.864 20 0 DDADMM CC(=O)N1CC[C@@](C)(C(=O)[N-]OCCOc2ccccc2)C1 ZINC000889447894 709507678 /nfs/dbraw/zinc/50/76/78/709507678.db2.gz IWIPUYFVCKTNSW-MRXNPFEDSA-N -1 1 306.362 1.372 20 0 DDADMM CSCC[C@H](NC(=O)Cc1ccc(C)s1)c1nn[n-]n1 ZINC000912860158 713109303 /nfs/dbraw/zinc/10/93/03/713109303.db2.gz JAHIVHMYHZCJII-JTQLQIEISA-N -1 1 311.436 1.723 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)[C@H]2CCCc3n[nH]nc32)c2ccccc21 ZINC000909577501 709523235 /nfs/dbraw/zinc/52/32/35/709523235.db2.gz KMKNGMAPRYXSQC-WDEREUQCSA-N -1 1 312.329 1.440 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1CCC(F)(F)C1)c1nn[n-]n1 ZINC000912862380 713111065 /nfs/dbraw/zinc/11/10/65/713111065.db2.gz OEBFIVMXNGZNGE-YUMQZZPRSA-N -1 1 305.354 1.546 20 0 DDADMM CC(=O)c1cn(C2CN(C(=O)c3c(F)ccc([O-])c3F)C2)nn1 ZINC000889543956 709540743 /nfs/dbraw/zinc/54/07/43/709540743.db2.gz YWZYLHMLYUVWRZ-UHFFFAOYSA-N -1 1 322.271 1.162 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N(C)C[C@H](C)C(=O)[O-] ZINC000900462342 709606746 /nfs/dbraw/zinc/60/67/46/709606746.db2.gz KTCDAGWMRGDPQF-WCQYABFASA-N -1 1 308.378 1.654 20 0 DDADMM CC(C)C[C@@H](CNC(=O)c1ccc2n1CCN[C@H]2C)C(=O)[O-] ZINC000900463132 709607331 /nfs/dbraw/zinc/60/73/31/709607331.db2.gz UFFHFYFNVGZQJW-RYUDHWBXSA-N -1 1 307.394 1.629 20 0 DDADMM O=C([O-])[C@@]1(C(F)(F)F)CCN(C(=O)CCc2cnc[nH]2)C1 ZINC000909766006 709608630 /nfs/dbraw/zinc/60/86/30/709608630.db2.gz XHXUTKQBZKXVKI-LLVKDONJSA-N -1 1 305.256 1.208 20 0 DDADMM CC[C@@H](SC)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889790167 709637258 /nfs/dbraw/zinc/63/72/58/709637258.db2.gz OGUULRYGMZSHLM-MWLCHTKSSA-N -1 1 311.407 1.996 20 0 DDADMM COc1c(C)cccc1CNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909916150 709687581 /nfs/dbraw/zinc/68/75/81/709687581.db2.gz DBDGURNFWTZPHY-AWEZNQCLSA-N -1 1 320.389 1.416 20 0 DDADMM CO[C@@H]1COC[C@@H]1NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000909933371 709693851 /nfs/dbraw/zinc/69/38/51/709693851.db2.gz VTEGDFVYBAYMLP-CMPLNLGQSA-N -1 1 306.293 1.223 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCc2c[nH]nn2)[C@@H]2CCCC[C@H]12 ZINC000909965156 709711196 /nfs/dbraw/zinc/71/11/96/709711196.db2.gz XUPUWFRWICGZDK-JHJVBQTASA-N -1 1 306.366 1.229 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCc2cnn[nH]2)[C@@H]2CCCC[C@H]12 ZINC000909965156 709711200 /nfs/dbraw/zinc/71/12/00/709711200.db2.gz XUPUWFRWICGZDK-JHJVBQTASA-N -1 1 306.366 1.229 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)c2[nH]nc3c2CCC3)c(F)c1 ZINC000909986713 709721697 /nfs/dbraw/zinc/72/16/97/709721697.db2.gz TVMSQCOXHPIJBK-UHFFFAOYSA-N -1 1 303.293 1.666 20 0 DDADMM C[C@@H]1COC2(CCCC2)CN1C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909987858 709722251 /nfs/dbraw/zinc/72/22/51/709722251.db2.gz LXEYYCRJIQIIKT-KGLIPLIRSA-N -1 1 324.421 1.343 20 0 DDADMM CCN(CC)[C@H](C(=O)N1CC([C@@H](F)C(=O)[O-])C1)c1ccccc1 ZINC000910128672 709783301 /nfs/dbraw/zinc/78/33/01/709783301.db2.gz KCUAVSSDCAKIFH-CABCVRRESA-N -1 1 322.380 1.951 20 0 DDADMM CC(C)c1nccn1CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910172994 709797271 /nfs/dbraw/zinc/79/72/71/709797271.db2.gz IMTCBIORSFBWGZ-CYBMUJFWSA-N -1 1 322.409 1.014 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3COC[C@H]3C3CC3)cnc2n1 ZINC000900865047 709797368 /nfs/dbraw/zinc/79/73/68/709797368.db2.gz YOKDPMJFHQWUKR-UONOGXRCSA-N -1 1 313.357 1.799 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1COC[C@H]1C1CC1)c2=O ZINC000900865047 709797371 /nfs/dbraw/zinc/79/73/71/709797371.db2.gz YOKDPMJFHQWUKR-UONOGXRCSA-N -1 1 313.357 1.799 20 0 DDADMM COc1cc(F)cc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000910245252 709837181 /nfs/dbraw/zinc/83/71/81/709837181.db2.gz ZYPDEGOVOOKVRJ-LBPRGKRZSA-N -1 1 324.352 1.247 20 0 DDADMM Cc1nc(NCC(C)(C)N2C[C@H](C)O[C@@H](C)C2)ncc1C(=O)[O-] ZINC000910277668 709860682 /nfs/dbraw/zinc/86/06/82/709860682.db2.gz ZDZHTPPIVCZRSJ-QWRGUYRKSA-N -1 1 322.409 1.783 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C(F)(F)F)ccn1)c1nn[n-]n1 ZINC000900981416 709860735 /nfs/dbraw/zinc/86/07/35/709860735.db2.gz BLHKYQVVXSWSSG-QMMMGPOBSA-N -1 1 314.271 1.885 20 0 DDADMM O=C(c1cncc([O-])c1)N1Cc2ncccc2N2CCC[C@H]2C1 ZINC000890626446 709930492 /nfs/dbraw/zinc/93/04/92/709930492.db2.gz LGDBVAVGDCDYHA-ZDUSSCGKSA-N -1 1 310.357 1.807 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@@](C)(Cc1ccc(F)cc1)C(=O)[O-] ZINC000910513233 709977725 /nfs/dbraw/zinc/97/77/25/709977725.db2.gz RFHLKWYABAMJTJ-BBRMVZONSA-N -1 1 308.353 1.422 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@@H]2CCc3nc[nH]c3C2)C[C@@]1(F)C(=O)[O-] ZINC000910548017 709994791 /nfs/dbraw/zinc/99/47/91/709994791.db2.gz AECRRHHLVBGTJW-FCHSOHFDSA-N -1 1 309.341 1.176 20 0 DDADMM Cc1cc(C)c(CC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)s1 ZINC000890962761 710044414 /nfs/dbraw/zinc/04/44/14/710044414.db2.gz FFTCJPFWJCQKMQ-UHFFFAOYSA-N -1 1 317.374 1.586 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)C[C@H]1CC(=O)[O-] ZINC000910857854 710097005 /nfs/dbraw/zinc/09/70/05/710097005.db2.gz LHSBPFBSRFBISG-XKAARJIMSA-N -1 1 305.378 1.645 20 0 DDADMM CC[C@@H](C)C(=O)CSc1nc([O-])cc(=O)n1CCOC ZINC000901654378 710109816 /nfs/dbraw/zinc/10/98/16/710109816.db2.gz PCGSSDHZTYRZEI-SECBINFHSA-N -1 1 300.380 1.303 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)c2cc3[nH]cnc3cc2F)C1 ZINC000901662787 710113417 /nfs/dbraw/zinc/11/34/17/710113417.db2.gz QFBCCCSDHFPKKT-BDAKNGLRSA-N -1 1 305.309 1.885 20 0 DDADMM O=C([O-])CCN(Cc1ccnn1CC1CC1)C[C@H]1CCCO1 ZINC000901680270 710116563 /nfs/dbraw/zinc/11/65/63/710116563.db2.gz XBOZDMHIQCVPDZ-OAHLLOKOSA-N -1 1 307.394 1.749 20 0 DDADMM CC1(C)CCC[C@H](CS(=O)(=O)[N-]c2cnn(CCF)c2)O1 ZINC000901681304 710116993 /nfs/dbraw/zinc/11/69/93/710116993.db2.gz IEHGFSBSQYPSFW-GFCCVEGCSA-N -1 1 319.402 1.942 20 0 DDADMM COc1ccc([C@H](NCc2cnnn2C)C(=O)[O-])cc1Cl ZINC000901847861 710159261 /nfs/dbraw/zinc/15/92/61/710159261.db2.gz IQZCUKMWSXWYHY-LBPRGKRZSA-N -1 1 310.741 1.393 20 0 DDADMM COCc1nc(N(C)CCOc2ccc(OC)cc2)cc(=O)[n-]1 ZINC000891534382 710229097 /nfs/dbraw/zinc/22/90/97/710229097.db2.gz CLUAAHYXKNZMBT-UHFFFAOYSA-N -1 1 319.361 1.852 20 0 DDADMM CCOC(=O)[C@H](COC)NC(=O)c1ccc2ccccc2c1[O-] ZINC000928298269 713167742 /nfs/dbraw/zinc/16/77/42/713167742.db2.gz DKDFZOLYATVIKY-AWEZNQCLSA-N -1 1 317.341 1.853 20 0 DDADMM CC(=O)N1CCC[C@@H]1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891612595 710248122 /nfs/dbraw/zinc/24/81/22/710248122.db2.gz CRSBLJXVKXZWOJ-GFCCVEGCSA-N -1 1 304.350 1.010 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1cnn(CC2CC2)c1 ZINC000891613355 710248631 /nfs/dbraw/zinc/24/86/31/710248631.db2.gz HQTOUWLFPFIUKU-UHFFFAOYSA-N -1 1 313.361 1.920 20 0 DDADMM COCc1nc(N2CCN(C(=O)OCC(C)C)CC2)cc(=O)[n-]1 ZINC000892173229 710387473 /nfs/dbraw/zinc/38/74/73/710387473.db2.gz KGDILHPKQVLFOG-UHFFFAOYSA-N -1 1 324.381 1.243 20 0 DDADMM COCc1nc(N2CCO[C@H](c3ccsc3)C2)cc(=O)[n-]1 ZINC000892903422 710523088 /nfs/dbraw/zinc/52/30/88/710523088.db2.gz ZMELGKKKUQFDPO-NSHDSACASA-N -1 1 307.375 1.968 20 0 DDADMM CCO[C@@H]1C[C@@H](Nc2cc(=O)[n-]c(COC)n2)[C@]12CCCO2 ZINC000893171936 710566152 /nfs/dbraw/zinc/56/61/52/710566152.db2.gz ABZYXOKBUZFPKY-UEKVPHQBSA-N -1 1 309.366 1.467 20 0 DDADMM Cc1ncc(C(=O)N2CCC(C(=O)[O-])(C(F)(F)F)CC2)[nH]1 ZINC000911025577 710600566 /nfs/dbraw/zinc/60/05/66/710600566.db2.gz RQGFRJIIXMQCBR-UHFFFAOYSA-N -1 1 305.256 1.587 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)NC[C@@H](CC(=O)[O-])C3CC3)c2C1 ZINC000902086874 710610447 /nfs/dbraw/zinc/61/04/47/710610447.db2.gz HXMOLCDNAQZXMM-MWLCHTKSSA-N -1 1 305.378 1.765 20 0 DDADMM CCN(CC)CC(=O)Nc1ccc2cnn(CC(=O)[O-])c2c1 ZINC000911073432 710621976 /nfs/dbraw/zinc/62/19/76/710621976.db2.gz XDQDRLWNNRPCHM-UHFFFAOYSA-N -1 1 304.350 1.401 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@H](COC)C(C)C ZINC000902146904 710634181 /nfs/dbraw/zinc/63/41/81/710634181.db2.gz IRISRMDPBSNSFD-NSHDSACASA-N -1 1 316.423 1.606 20 0 DDADMM CC(C)CN1CCN(C(=O)c2ccc(C(=O)[O-])cc2F)CC1 ZINC000911105642 710635753 /nfs/dbraw/zinc/63/57/53/710635753.db2.gz DNKAGFFWNZQEJH-UHFFFAOYSA-N -1 1 308.353 1.938 20 0 DDADMM C[C@H]1CN(CCCNC(=O)c2cncc(C(=O)[O-])c2)C[C@H](C)O1 ZINC000911150343 710655644 /nfs/dbraw/zinc/65/56/44/710655644.db2.gz IHXBGOIMALTJEZ-RYUDHWBXSA-N -1 1 321.377 1.009 20 0 DDADMM O=C([O-])c1coc(/C=C/C(=O)N2CCN(C3CCC3)CC2)c1 ZINC000911379401 710770765 /nfs/dbraw/zinc/77/07/65/710770765.db2.gz YTPMITAKKJSVFV-SNAWJCMRSA-N -1 1 304.346 1.688 20 0 DDADMM O=C([O-])c1ccccc1CCC(=O)N1CCc2[nH]nnc2C1 ZINC000911430531 710795733 /nfs/dbraw/zinc/79/57/33/710795733.db2.gz BYCQLAUWVJKLNA-UHFFFAOYSA-N -1 1 300.318 1.020 20 0 DDADMM Cc1oc(C(=O)[O-])cc1C(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000911580849 710865545 /nfs/dbraw/zinc/86/55/45/710865545.db2.gz URBZRFIIVISYNJ-JTQLQIEISA-N -1 1 324.377 1.203 20 0 DDADMM CC(C)(C)c1ncc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)s1 ZINC000913494247 713236969 /nfs/dbraw/zinc/23/69/69/713236969.db2.gz CBOZVEOJHFTGSF-QMMMGPOBSA-N -1 1 322.394 1.167 20 0 DDADMM Cc1cnc(C(=O)N[C@H]([C@H]2CCCO2)C2(CO)CCC2)c([O-])c1 ZINC000912219652 711228533 /nfs/dbraw/zinc/22/85/33/711228533.db2.gz UEUMHTWGAWWGGG-UKRRQHHQSA-N -1 1 320.389 1.536 20 0 DDADMM COc1ccc2nc(CN3CC[C@H]4[C@@H](C3)[C@H]4C(=O)[O-])[nH]c2n1 ZINC000903627285 711229205 /nfs/dbraw/zinc/22/92/05/711229205.db2.gz HZONDWAXJFUZJZ-RWEMILLDSA-N -1 1 302.334 1.119 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CC(F)(F)F)c(C2CCCC2)n1 ZINC000903628846 711229694 /nfs/dbraw/zinc/22/96/94/711229694.db2.gz KNMHLIDQUMYKQZ-UHFFFAOYSA-N -1 1 311.329 1.918 20 0 DDADMM C[C@H](Cc1ccccc1F)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495669 713237606 /nfs/dbraw/zinc/23/76/06/713237606.db2.gz NMVGBPYIKRLQAD-ZWNOBZJWSA-N -1 1 319.340 1.118 20 0 DDADMM CC[C@@H](Cc1ccccc1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495297 713237727 /nfs/dbraw/zinc/23/77/27/713237727.db2.gz UUEMQRARKBDMGF-KBPBESRZSA-N -1 1 315.377 1.369 20 0 DDADMM O=C(c1nccc2ccsc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913498494 713239323 /nfs/dbraw/zinc/23/93/23/713239323.db2.gz HUSPLAANBQXZIV-SECBINFHSA-N -1 1 316.346 1.023 20 0 DDADMM CC(C)=CCC[C@@H](C)CC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499176 713239571 /nfs/dbraw/zinc/23/95/71/713239571.db2.gz NFIHVJBYLAKCEG-OLZOCXBDSA-N -1 1 307.398 1.872 20 0 DDADMM O=C([O-])[C@]12C[C@H]1CCCN2CCCS(=O)(=O)c1ccccc1 ZINC000903936701 711341593 /nfs/dbraw/zinc/34/15/93/711341593.db2.gz SNWZLKQYGBADQA-CJNGLKHVSA-N -1 1 323.414 1.790 20 0 DDADMM CO[C@@H]1CC[C@@H]1N(C)[C@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000903946777 711344614 /nfs/dbraw/zinc/34/46/14/711344614.db2.gz NOQYNAHSXPINBC-BYCMXARLSA-N -1 1 320.389 1.499 20 0 DDADMM CCCn1cc(CN[C@@]2(C(=O)[O-])C[C@@H](OCC)C2(C)C)nn1 ZINC000904009851 711363345 /nfs/dbraw/zinc/36/33/45/711363345.db2.gz ATPOEKKNLMZDOU-IUODEOHRSA-N -1 1 310.398 1.436 20 0 DDADMM CCOc1ccc(CNCc2cnn(C)c2C(=O)[O-])cc1OC ZINC000904068426 711373806 /nfs/dbraw/zinc/37/38/06/711373806.db2.gz CUVOYDVCDOLYIK-UHFFFAOYSA-N -1 1 319.361 1.815 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)[O-])C(=O)N1CCc2sccc2C1 ZINC000904216526 711412249 /nfs/dbraw/zinc/41/22/49/711412249.db2.gz SSCCGWCDYFJBLF-CMPLNLGQSA-N -1 1 310.419 1.864 20 0 DDADMM CC(C)NC(=O)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895486358 711524339 /nfs/dbraw/zinc/52/43/39/711524339.db2.gz CCMSYCRXMHKUJI-UHFFFAOYSA-N -1 1 302.330 1.505 20 0 DDADMM CC(C)Cn1ccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)n1 ZINC000895614755 711559710 /nfs/dbraw/zinc/55/97/10/711559710.db2.gz UARQBVRJYJSZPC-UHFFFAOYSA-N -1 1 302.260 1.928 20 0 DDADMM CC1(C)CO[C@@H](CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000895837255 711621539 /nfs/dbraw/zinc/62/15/39/711621539.db2.gz KDVQFGIQHGJCFO-GXTWGEPZSA-N -1 1 315.377 1.611 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(Cc2nccn2C2CC2)C1 ZINC000895873106 711631958 /nfs/dbraw/zinc/63/19/58/711631958.db2.gz PUFFNNJXRTZIKS-ZDUSSCGKSA-N -1 1 316.327 1.861 20 0 DDADMM COCc1nc(N2CCn3c(C(C)C)nnc3[C@H]2C)cc(=O)[n-]1 ZINC000895910779 711643836 /nfs/dbraw/zinc/64/38/36/711643836.db2.gz WDVNSZYKDMYBRK-SNVBAGLBSA-N -1 1 318.381 1.625 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@@H]1C[C@@H]2CCC[C@H]12 ZINC000896264632 711698486 /nfs/dbraw/zinc/69/84/86/711698486.db2.gz HYOAFMKHILPLFT-OUJBWJOFSA-N -1 1 318.377 1.243 20 0 DDADMM Cc1cc(Cl)ncc1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000905097316 711935448 /nfs/dbraw/zinc/93/54/48/711935448.db2.gz SDHHKXPASYULNR-UHFFFAOYSA-N -1 1 323.827 1.962 20 0 DDADMM CO[C@@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccc1 ZINC000913743417 713292613 /nfs/dbraw/zinc/29/26/13/713292613.db2.gz USZDRLQEIOUOLR-CYBMUJFWSA-N -1 1 301.350 1.293 20 0 DDADMM O=C(c1ccnc(OC(F)F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746448 713294351 /nfs/dbraw/zinc/29/43/51/713294351.db2.gz UKUJEUNGKCNDKO-UHFFFAOYSA-N -1 1 324.291 1.216 20 0 DDADMM O=C(c1cccnc1C(F)F)N1CCC(c2nn[n-]n2)CC1 ZINC000913746986 713294384 /nfs/dbraw/zinc/29/43/84/713294384.db2.gz NKAZODSHIKNDJU-UHFFFAOYSA-N -1 1 308.292 1.552 20 0 DDADMM Cc1cc(C)cc([C@@H](NCCS(=O)(=O)C(C)C)C(=O)[O-])c1 ZINC000905391294 712025445 /nfs/dbraw/zinc/02/54/45/712025445.db2.gz MSSJHTIVAMROCJ-CQSZACIVSA-N -1 1 313.419 1.842 20 0 DDADMM CCC(C)(C)C(=O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000913780636 713299583 /nfs/dbraw/zinc/29/95/83/713299583.db2.gz YHAALQJVTPAEKA-CYBMUJFWSA-N -1 1 322.327 1.661 20 0 DDADMM O=C(NC[C@@H]1C[C@@H]2CCC[C@H]2O1)c1cnc(C2CC2)[n-]c1=O ZINC000913798295 713302330 /nfs/dbraw/zinc/30/23/30/713302330.db2.gz KPEVNKHNQVJVRV-GMXVVIOVSA-N -1 1 303.362 1.747 20 0 DDADMM O=C([O-])c1cc(N2CCC(CCN3CCOCC3)CC2)ncn1 ZINC000906138607 712252506 /nfs/dbraw/zinc/25/25/06/712252506.db2.gz DAJJLCBQLIJJSL-UHFFFAOYSA-N -1 1 320.393 1.114 20 0 DDADMM O=C(c1ccc(C(F)F)o1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907472010 712580657 /nfs/dbraw/zinc/58/06/57/712580657.db2.gz KUXZXOCBQZECHW-LURJTMIESA-N -1 1 315.305 1.661 20 0 DDADMM COc1cc(C)ccc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477625 712582678 /nfs/dbraw/zinc/58/26/78/712582678.db2.gz GIXQSNVTMBJRSN-LLVKDONJSA-N -1 1 319.390 1.447 20 0 DDADMM O=C(c1nc(Cl)cs1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479698 712583377 /nfs/dbraw/zinc/58/33/77/712583377.db2.gz LZKJMSJRWLBJIM-YFKPBYRVSA-N -1 1 316.799 1.240 20 0 DDADMM CC(C)(C)C[C@@H]1C[C@H]1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480415 712583532 /nfs/dbraw/zinc/58/35/32/712583532.db2.gz OZMRUPMRSBSKOG-HBNTYKKESA-N -1 1 309.439 1.889 20 0 DDADMM CN1CC[C@H](NC(=O)c2c([O-])cnc3c(F)cccc32)CC1=O ZINC000907628428 712605617 /nfs/dbraw/zinc/60/56/17/712605617.db2.gz WWLNJYTXLNMKOU-VIFPVBQESA-N -1 1 317.320 1.430 20 0 DDADMM O=C(NCC1OCCCO1)c1c([O-])cnc2c(F)cccc21 ZINC000907640394 712607309 /nfs/dbraw/zinc/60/73/09/712607309.db2.gz ONSWQAWXHXHMGF-UHFFFAOYSA-N -1 1 306.293 1.572 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3ccc(C)c(F)c3)CC2)n1 ZINC000907866288 712638460 /nfs/dbraw/zinc/63/84/60/712638460.db2.gz YJVUIAGDLDEKIB-UHFFFAOYSA-N -1 1 311.338 1.793 20 0 DDADMM O=C([O-])[C@H]1C[C@@H]2C[C@H](NC(=O)c3[nH]nc4c3CCCC4)[C@@H]2C1 ZINC000907930351 712650635 /nfs/dbraw/zinc/65/06/35/712650635.db2.gz RVHLMRYNFIUEBO-PFWNZEGQSA-N -1 1 303.362 1.518 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2C[C@H]3CCC[C@H]32)cc1C ZINC000907958076 712655204 /nfs/dbraw/zinc/65/52/04/712655204.db2.gz KYQBEUJTDSCTJV-GMTAPVOTSA-N -1 1 313.375 1.842 20 0 DDADMM CCN(C(=O)c1c([O-])cnc2c(F)cccc21)[C@H]1CCNC1=O ZINC000908005923 712664834 /nfs/dbraw/zinc/66/48/34/712664834.db2.gz KXJCDFGNNJJCMI-NSHDSACASA-N -1 1 317.320 1.430 20 0 DDADMM CC(C)[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C1(CO)CCC1 ZINC000908352509 712750057 /nfs/dbraw/zinc/75/00/57/712750057.db2.gz KJDKKUYZGVRZRU-ZDUSSCGKSA-N -1 1 319.405 1.977 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H](c1ccncc1)C1CC1 ZINC000908390660 712759001 /nfs/dbraw/zinc/75/90/01/712759001.db2.gz PLVVKUQCDZODDS-CWTRNNRKSA-N -1 1 317.436 1.485 20 0 DDADMM CCN(CC)S(=O)(=O)[N-]CC(F)(F)C1(O)CCCCC1 ZINC000908498026 712790209 /nfs/dbraw/zinc/79/02/09/712790209.db2.gz OWFFJLFNRRFOOD-UHFFFAOYSA-N -1 1 314.398 1.493 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@@H](CC(=O)[O-])c1ccc(F)cc1 ZINC000908604251 712819591 /nfs/dbraw/zinc/81/95/91/712819591.db2.gz ITNXTJNKLJOQHQ-KBPBESRZSA-N -1 1 308.353 1.942 20 0 DDADMM CCCCOCCCNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908698047 712837367 /nfs/dbraw/zinc/83/73/67/712837367.db2.gz BQTXIIPIMKDYNH-ZDUSSCGKSA-N -1 1 300.399 1.106 20 0 DDADMM C[C@@H](CCc1ccco1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908700951 712837790 /nfs/dbraw/zinc/83/77/90/712837790.db2.gz RVQXZAMCQHRFRA-STQMWFEESA-N -1 1 308.378 1.514 20 0 DDADMM COCCOCC(=O)Nc1cccc([O-])c1Br ZINC000908713459 712839795 /nfs/dbraw/zinc/83/97/95/712839795.db2.gz UTTZLDPDNSGXFE-UHFFFAOYSA-N -1 1 304.140 1.756 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)N2CC[C@@H]2c2ccccc2)C1 ZINC000908735339 712843874 /nfs/dbraw/zinc/84/38/74/712843874.db2.gz MUKZTTUCJJZBPE-HUUCEWRRSA-N -1 1 302.374 1.757 20 0 DDADMM CN(C(=O)NC[C@@H](c1ccco1)N1CCCC1)C(C)(C)C(=O)[O-] ZINC000908747817 712847252 /nfs/dbraw/zinc/84/72/52/712847252.db2.gz QPQKYUXICFHITB-LBPRGKRZSA-N -1 1 323.393 1.921 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2ccc3c(c2)CCO3)C1 ZINC000908750363 712847570 /nfs/dbraw/zinc/84/75/70/712847570.db2.gz FBQCLJKHWIUDBE-LBPRGKRZSA-N -1 1 304.346 1.357 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2CCc3ccc(F)cc32)C1 ZINC000908785975 712854502 /nfs/dbraw/zinc/85/45/02/712854502.db2.gz TXIFIYJTACHYNS-SWLSCSKDSA-N -1 1 320.364 1.726 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1Cc2ccccc2C[C@H]1C(=O)[O-] ZINC000908895710 712881921 /nfs/dbraw/zinc/88/19/21/712881921.db2.gz MLPMFNNDSDWKQD-GJZGRUSLSA-N -1 1 302.374 1.509 20 0 DDADMM CCN(CC(=O)N[C@@](CC)(C(=O)[O-])c1ccccc1)C1CC1 ZINC000908939724 712892524 /nfs/dbraw/zinc/89/25/24/712892524.db2.gz MMGRBASCXIVSAA-QGZVFWFLSA-N -1 1 304.390 1.977 20 0 DDADMM CCc1cc(=O)[n-]c(SC2CCN(S(C)(=O)=O)CC2)n1 ZINC000917508082 713501273 /nfs/dbraw/zinc/50/12/73/713501273.db2.gz RZHHDSRHUKIZFW-UHFFFAOYSA-N -1 1 317.436 1.261 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@H]1CCCCC1(OC)OC)C1CC1 ZINC000918060315 713527157 /nfs/dbraw/zinc/52/71/57/713527157.db2.gz BMFIQTQPNQJYTF-OLZOCXBDSA-N -1 1 321.439 1.263 20 0 DDADMM Cc1cnn(CCNC(=O)NCCc2c(F)cc([O-])cc2F)c1 ZINC000919240063 713591396 /nfs/dbraw/zinc/59/13/96/713591396.db2.gz RGGJFANSXNGIAA-UHFFFAOYSA-N -1 1 324.331 1.717 20 0 DDADMM O=S(=O)(CC1(F)CC1)[N-][C@@H]1c2ccccc2OC[C@@H]1F ZINC000921318585 713732074 /nfs/dbraw/zinc/73/20/74/713732074.db2.gz ZSQGMHPXOTWFEB-CMPLNLGQSA-N -1 1 303.330 1.880 20 0 DDADMM C[C@@H](CO)CCCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000921675765 713838826 /nfs/dbraw/zinc/83/88/26/713838826.db2.gz WSYHNDZEGXWMGS-SNVBAGLBSA-N -1 1 316.348 1.921 20 0 DDADMM O=S(=O)([N-]CCOC1CCOCC1)c1cc(F)ccc1F ZINC000921882546 713895919 /nfs/dbraw/zinc/89/59/19/713895919.db2.gz ONAJEESPWQNHON-UHFFFAOYSA-N -1 1 321.345 1.439 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H](CCO)C3CCCC3)ccnc1-2 ZINC000931132329 714010927 /nfs/dbraw/zinc/01/09/27/714010927.db2.gz WFINZCYOKIGZKN-ZDUSSCGKSA-N -1 1 317.393 1.405 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@H]1C[C@@H](CO)C1 ZINC000932058656 714248600 /nfs/dbraw/zinc/24/86/00/714248600.db2.gz AZMPDRHNYFXHDS-KLPPZKSPSA-N -1 1 302.334 1.470 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](C(=O)[O-])C[C@@H]2[C@H]2CCCO2)n[nH]1 ZINC000923162724 714258685 /nfs/dbraw/zinc/25/86/85/714258685.db2.gz HMRNOEAZMUSSDM-CYZMBNFOSA-N -1 1 307.350 1.203 20 0 DDADMM C[C@@H](C(=O)N[C@@H]1C[C@H](C(=O)[O-])[C@H]2C[C@H]21)N(C)Cc1ccccc1 ZINC000923193294 714267502 /nfs/dbraw/zinc/26/75/02/714267502.db2.gz OATAXBCVYNHAEI-HVQZGBDUSA-N -1 1 316.401 1.732 20 0 DDADMM CC(C)Cc1ncc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)s1 ZINC000932282175 714299940 /nfs/dbraw/zinc/29/99/40/714299940.db2.gz HFSYKUDTVANZFQ-SECBINFHSA-N -1 1 322.394 1.068 20 0 DDADMM NC(=O)C12CCC(CC1)N2Cc1cc(=O)oc2cc([O-])ccc12 ZINC000932932893 714439975 /nfs/dbraw/zinc/43/99/75/714439975.db2.gz BODFGFLTWOOZEW-UHFFFAOYSA-N -1 1 314.341 1.481 20 0 DDADMM O=C(COC(=O)COC(F)(F)F)[N-]C(=O)c1ccccc1 ZINC000923711929 714456602 /nfs/dbraw/zinc/45/66/02/714456602.db2.gz VYYNHFQGIVFZIZ-UHFFFAOYSA-N -1 1 305.208 1.023 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CC[C@@]3(CO[C@@H](C)C3)O2)c([O-])c1 ZINC000924271025 714553852 /nfs/dbraw/zinc/55/38/52/714553852.db2.gz CRBUYMVJXLEKGW-MQIPJXDCSA-N -1 1 306.362 1.552 20 0 DDADMM O=c1[n-]c(CN2CC[C@H](O)c3ccccc32)nc2c1COCC2 ZINC000934070198 714735516 /nfs/dbraw/zinc/73/55/16/714735516.db2.gz GJGYAWCFLDKIPJ-HNNXBMFYSA-N -1 1 313.357 1.699 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2ccc(Cl)nn2)[n-]c1=O ZINC000934270191 714782153 /nfs/dbraw/zinc/78/21/53/714782153.db2.gz OLNCIWFFCSJMCN-JTQLQIEISA-N -1 1 321.768 1.971 20 0 DDADMM O=C(c1cnc(F)c(Cl)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000935037195 714961308 /nfs/dbraw/zinc/96/13/08/714961308.db2.gz PSNQPEVSEMJVOJ-SSDOTTSWSA-N -1 1 310.720 1.407 20 0 DDADMM Cn1cc(O[C@@H]2CCC[C@@H]2NC(=O)c2cc(F)ccc2[O-])cn1 ZINC000926281431 715041417 /nfs/dbraw/zinc/04/14/17/715041417.db2.gz MLYFAHLPGSHHQI-DZGCQCFKSA-N -1 1 319.336 1.995 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C=C2CCC2)C1 ZINC000937126476 715280123 /nfs/dbraw/zinc/28/01/23/715280123.db2.gz AENOHZMQWQIYKS-ZDUSSCGKSA-N -1 1 315.373 1.570 20 0 DDADMM CCCC(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937180092 715289808 /nfs/dbraw/zinc/28/98/08/715289808.db2.gz MUBUECQTIZLYOR-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937865292 715619914 /nfs/dbraw/zinc/61/99/14/715619914.db2.gz GPPQTFGZXNNODL-RYUDHWBXSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CCC1 ZINC000955473480 715804566 /nfs/dbraw/zinc/80/45/66/715804566.db2.gz UWILFGMYQCKHCP-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM CCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1[O-])C2 ZINC000957817872 716067239 /nfs/dbraw/zinc/06/72/39/716067239.db2.gz CUXCOYQSHJSJQU-TUAOUCFPSA-N -1 1 303.362 1.307 20 0 DDADMM CC(C)=CC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000959355900 716980528 /nfs/dbraw/zinc/98/05/28/716980528.db2.gz VWXUNFVNLVNEOL-CHWSQXEVSA-N -1 1 317.389 1.578 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)[C@H]2CC23CC3)CC1 ZINC000940832934 716981276 /nfs/dbraw/zinc/98/12/76/716981276.db2.gz RDFOSJDYWSVLPO-GFCCVEGCSA-N -1 1 315.373 1.262 20 0 DDADMM C[C@@H]1CCN(Cc2ccon2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000965941531 717826719 /nfs/dbraw/zinc/82/67/19/717826719.db2.gz RTKWPXCAPVSVKK-YPMHNXCESA-N -1 1 316.361 1.416 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CC2CC2)C1 ZINC000942754398 717856393 /nfs/dbraw/zinc/85/63/93/717856393.db2.gz AAAVDBSLMPWKOD-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM CC[C@H](F)C(=O)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943204517 718046558 /nfs/dbraw/zinc/04/65/58/718046558.db2.gz UCSBWPHCIZVJNW-NEPJUHHUSA-N -1 1 323.368 1.646 20 0 DDADMM CCC(=O)N1CCC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000943799900 718193083 /nfs/dbraw/zinc/19/30/83/718193083.db2.gz RRKNTVUOSZWCIZ-LLVKDONJSA-N -1 1 318.377 1.190 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)F)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945104504 718397221 /nfs/dbraw/zinc/39/72/21/718397221.db2.gz UVRZZKDGRIXOJU-GHMZBOCLSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@@H]1CN(C(=O)C2(C)CC2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945357074 718447442 /nfs/dbraw/zinc/44/74/42/718447442.db2.gz LSMGKNPWEWEPMH-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946128007 718686728 /nfs/dbraw/zinc/68/67/28/718686728.db2.gz BBBIEXQEEHFZIC-NWDGAFQWSA-N -1 1 305.378 1.458 20 0 DDADMM CC(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])CC[C@@H]2C1 ZINC000967343653 718850796 /nfs/dbraw/zinc/85/07/96/718850796.db2.gz WSFSTLRUFXTBFB-BETUJISGSA-N -1 1 303.362 1.118 20 0 DDADMM CC1(C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)CC1 ZINC000947006559 718981806 /nfs/dbraw/zinc/98/18/06/718981806.db2.gz QOOCZQCAZFWFHF-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CC2CCC2)C1 ZINC000967898779 719078472 /nfs/dbraw/zinc/07/84/72/719078472.db2.gz JYVPRQFDKNUISO-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CC[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886043 719842908 /nfs/dbraw/zinc/84/29/08/719842908.db2.gz BKLKBKYECNJGPK-UPJWGTAASA-N -1 1 317.389 1.649 20 0 DDADMM CC(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886495 719844000 /nfs/dbraw/zinc/84/40/00/719844000.db2.gz OGGMDYRBROZWEM-TXEJJXNPSA-N -1 1 303.362 1.259 20 0 DDADMM O=C(/C=C\C1CC1)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950285491 720555724 /nfs/dbraw/zinc/55/57/24/720555724.db2.gz OXUPBAMFTQCUNJ-WSROAFLRSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)(F)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950539307 720675138 /nfs/dbraw/zinc/67/51/38/720675138.db2.gz MSCWTKJAJKHYJM-JTQLQIEISA-N -1 1 309.341 1.256 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])[C@]12C[C@H]1CCC2 ZINC000951481673 721047293 /nfs/dbraw/zinc/04/72/93/721047293.db2.gz NEZLQDUNAPAKTG-BWACUDIHSA-N -1 1 315.373 1.308 20 0 DDADMM Cc1cc(CN(C)[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)no1 ZINC000971393314 721275591 /nfs/dbraw/zinc/27/55/91/721275591.db2.gz GYUBDCFJSDRHKE-CYBMUJFWSA-N -1 1 316.361 1.430 20 0 DDADMM CCCC(=O)N1CC[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000953058315 721547810 /nfs/dbraw/zinc/54/78/10/721547810.db2.gz TYXUIYOYMBBAHH-KRWDZBQOSA-N -1 1 317.389 1.652 20 0 DDADMM Cc1ccc(C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)o1 ZINC000953658542 721636669 /nfs/dbraw/zinc/63/66/69/721636669.db2.gz ODSRQZCMMGPBJS-UHFFFAOYSA-N -1 1 315.329 1.285 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)C2CCC2)CC1 ZINC000953888035 721674624 /nfs/dbraw/zinc/67/46/24/721674624.db2.gz HXBCOIUJRHRBNT-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@H](C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000954123975 721723694 /nfs/dbraw/zinc/72/36/94/721723694.db2.gz GUDHZNMGIYXMKK-JTQLQIEISA-N -1 1 303.362 1.116 20 0 DDADMM Cc1nc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)oc1C ZINC001167296947 733075068 /nfs/dbraw/zinc/07/50/68/733075068.db2.gz CMUBZRAXOSFPHY-UHFFFAOYSA-N -1 1 315.289 1.602 20 0 DDADMM Cc1nc(NC(=O)c2cc(C)c3c(=O)[nH]c(=O)nc-3[n-]2)oc1C ZINC001167296947 733075073 /nfs/dbraw/zinc/07/50/73/733075073.db2.gz CMUBZRAXOSFPHY-UHFFFAOYSA-N -1 1 315.289 1.602 20 0 DDADMM CSCC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001009989478 738688855 /nfs/dbraw/zinc/68/88/55/738688855.db2.gz XYZMOHHTPIFEMB-WDEREUQCSA-N -1 1 323.418 1.117 20 0 DDADMM CCc1cnc(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038195642 733217177 /nfs/dbraw/zinc/21/71/77/733217177.db2.gz WVYQWAPXZFJAKQ-NSHDSACASA-N -1 1 316.361 1.342 20 0 DDADMM O=c1[n-]cnc(NS(=O)(=O)CCCF)c1Br ZINC001189870044 745008205 /nfs/dbraw/zinc/00/82/05/745008205.db2.gz MXMNPPPWTCQGIB-UHFFFAOYSA-N -1 1 314.136 1.046 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167576739 734753290 /nfs/dbraw/zinc/75/32/90/734753290.db2.gz CPLPXVFIFNUTKW-NWDGAFQWSA-N -1 1 321.425 1.179 20 0 DDADMM Cc1ccc(NS(=O)(=O)c2cncc(N)c2)cc1C(=O)[O-] ZINC001211599157 735168793 /nfs/dbraw/zinc/16/87/93/735168793.db2.gz CLNXWKVVZHQEII-UHFFFAOYSA-N -1 1 307.331 1.471 20 0 DDADMM C[C@@H](C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001025000154 736223436 /nfs/dbraw/zinc/22/34/36/736223436.db2.gz INCUXSBQQHNXPU-NWDGAFQWSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@]1(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C=CCC1 ZINC001025042167 736247515 /nfs/dbraw/zinc/24/75/15/736247515.db2.gz BOXRKMBIQPQLPG-LRDDRELGSA-N -1 1 319.409 1.337 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)CCC1 ZINC000692887470 738944610 /nfs/dbraw/zinc/94/46/10/738944610.db2.gz CELYINOZKVETET-UHFFFAOYSA-N -1 1 322.789 1.969 20 0 DDADMM CC(C)(C)[C@@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692889368 738961945 /nfs/dbraw/zinc/96/19/45/738961945.db2.gz YGDYFYCGAZOKJZ-MRVPVSSYSA-N -1 1 310.778 1.559 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](Nc2cncc(Cl)n2)C1 ZINC001058879006 739006647 /nfs/dbraw/zinc/00/66/47/739006647.db2.gz HUTZCALQLNYZJN-VIFPVBQESA-N -1 1 319.752 1.557 20 0 DDADMM O=C(NC[C@@H]1CCN(Cc2cscn2)C1)c1ncccc1[O-] ZINC001028497717 739588220 /nfs/dbraw/zinc/58/82/20/739588220.db2.gz DCRHBXAVUQHEOC-NSHDSACASA-N -1 1 318.402 1.496 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C(C)(C)C)CN1C(=O)c1ncccc1[O-] ZINC001012512888 741010138 /nfs/dbraw/zinc/01/01/38/741010138.db2.gz SUTNAQPZSMRCFQ-GHMZBOCLSA-N -1 1 305.378 1.553 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088360672 741436957 /nfs/dbraw/zinc/43/69/57/741436957.db2.gz DRDNFACHEWUVAD-IJLUTSLNSA-N -1 1 307.398 1.027 20 0 DDADMM COCCCN=c1ccc(N)cn1-c1ccc([O-])c(F)c1F ZINC001212005762 741941068 /nfs/dbraw/zinc/94/10/68/741941068.db2.gz SYUODXVSDHLSCW-UHFFFAOYSA-N -1 1 309.316 1.981 20 0 DDADMM CC(C)CCC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244147 742623114 /nfs/dbraw/zinc/62/31/14/742623114.db2.gz KGYUEGCQFQIWOJ-LBPRGKRZSA-N -1 1 307.394 1.848 20 0 DDADMM CCC1(C(=O)N[C@@H](C)CCNC(=O)c2ncccc2[O-])CC1 ZINC001076244950 742624607 /nfs/dbraw/zinc/62/46/07/742624607.db2.gz HONGNSHSLUPLPM-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM COC(=O)/C=C\[C@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001181327123 743158838 /nfs/dbraw/zinc/15/88/38/743158838.db2.gz IRZVOXQXTFHFAI-NMTCXDENSA-N -1 1 307.350 1.462 20 0 DDADMM O=C(OC[C@@H]1C[C@@H]2COC[C@@H]2O1)c1c([O-])cc(F)cc1F ZINC000908957688 743608446 /nfs/dbraw/zinc/60/84/46/743608446.db2.gz JTSFJOBAJZEZCU-FDOIEUKUSA-N -1 1 300.257 1.631 20 0 DDADMM O=C(Cc1ccc2c(c1)OCC2)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001182653774 743693242 /nfs/dbraw/zinc/69/32/42/743693242.db2.gz YJJITAWMVUHXDJ-UHFFFAOYSA-N -1 1 311.301 1.175 20 0 DDADMM O=C([N-]C1CN(Cc2nnc(C(F)(F)F)s2)C1)C(F)F ZINC001030037974 743781465 /nfs/dbraw/zinc/78/14/65/743781465.db2.gz GXAGMEHASRDYPR-UHFFFAOYSA-N -1 1 316.255 1.122 20 0 DDADMM CC(C)CC1(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001184172318 743979864 /nfs/dbraw/zinc/97/98/64/743979864.db2.gz WTWOVYLNLJZXGW-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM CCOC(=O)[C@@H](C)C(=O)Nc1nc(Br)ccc1[O-] ZINC001184185514 743983558 /nfs/dbraw/zinc/98/35/58/743983558.db2.gz SOEXSCKGTZWUGY-LURJTMIESA-N -1 1 317.139 1.687 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cnc([C@@H]3C[C@H]3C)nc2)n[nH]1 ZINC001185117693 744163826 /nfs/dbraw/zinc/16/38/26/744163826.db2.gz SFBNYEBZLVFSFI-PSASIEDQSA-N -1 1 315.333 1.752 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)NCc1cccc(F)c1 ZINC001185847346 744299762 /nfs/dbraw/zinc/29/97/62/744299762.db2.gz LZOFOFJOYHTMTH-UHFFFAOYSA-N -1 1 304.258 1.583 20 0 DDADMM O=C(NCc1cccc(F)c1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001185855763 744300602 /nfs/dbraw/zinc/30/06/02/744300602.db2.gz IWALNQMJRXJXGW-UHFFFAOYSA-N -1 1 318.268 1.177 20 0 DDADMM CN1C(=O)CC[C@H]2CN(C(=O)c3ccc([O-])c(F)c3)CC[C@@H]21 ZINC001186218547 744365801 /nfs/dbraw/zinc/36/58/01/744365801.db2.gz GQKOJLOWJLMNPW-AAEUAGOBSA-N -1 1 306.337 1.614 20 0 DDADMM Cc1ncc(CO)c(CNC(=O)c2ccc([O-])cc2F)c1O ZINC001186337201 744388753 /nfs/dbraw/zinc/38/87/53/744388753.db2.gz FOGBPQLGQJNXFC-UHFFFAOYSA-N -1 1 306.293 1.363 20 0 DDADMM COc1cc(C)[nH]c(=O)c1CNC(=O)c1ccc([O-])cc1F ZINC001186339658 744389331 /nfs/dbraw/zinc/38/93/31/744389331.db2.gz NGEBUKMESMERMY-UHFFFAOYSA-N -1 1 306.293 1.879 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc3c(c2)CC(=O)N3)ccn1 ZINC001186892257 744461501 /nfs/dbraw/zinc/46/15/01/744461501.db2.gz VRJFCFPVQAGOMB-UHFFFAOYSA-N -1 1 319.342 1.386 20 0 DDADMM CC[C@@H](CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001187027900 744486429 /nfs/dbraw/zinc/48/64/29/744486429.db2.gz QBYNNSHYLDSPDN-NWDGAFQWSA-N -1 1 323.441 1.663 20 0 DDADMM CCOCc1ccc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001187111788 744499214 /nfs/dbraw/zinc/49/92/14/744499214.db2.gz KDAXBQPNBLDPQA-UHFFFAOYSA-N -1 1 313.317 1.847 20 0 DDADMM COC(=O)c1cccc([C@H](C)[N-]S(=O)(=O)c2cnoc2C)c1 ZINC001187409705 744558243 /nfs/dbraw/zinc/55/82/43/744558243.db2.gz DHMFFQUTDINQMH-VIFPVBQESA-N -1 1 324.358 1.809 20 0 DDADMM Cc1[nH]nc2ncc(NC(=O)c3n[n-]nc3C(F)(F)F)cc12 ZINC001187759074 744605751 /nfs/dbraw/zinc/60/57/51/744605751.db2.gz QXCCWOLVZMSODT-UHFFFAOYSA-N -1 1 311.227 1.656 20 0 DDADMM Nc1cc(Cl)cnc1NC(=O)c1n[n-]nc1C(F)(F)F ZINC001187759265 744606501 /nfs/dbraw/zinc/60/65/01/744606501.db2.gz WQBCHSNDCSBZTN-UHFFFAOYSA-N -1 1 306.635 1.706 20 0 DDADMM COCc1oncc1C(=O)[N-]c1n[nH]c(-c2ccncc2)n1 ZINC001188319496 744689331 /nfs/dbraw/zinc/68/93/31/744689331.db2.gz HWHUMOGDHRLACP-UHFFFAOYSA-N -1 1 300.278 1.253 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cccnc1-c1ccccn1 ZINC001188360749 744700914 /nfs/dbraw/zinc/70/09/14/744700914.db2.gz YMQGXTHUNLPOLP-UHFFFAOYSA-N -1 1 321.358 1.448 20 0 DDADMM CN1CCN(c2ccc([N-]S(=O)(=O)c3ccoc3)cn2)CC1 ZINC001188571141 744719315 /nfs/dbraw/zinc/71/93/15/744719315.db2.gz QEYDFCKWWWOMLY-UHFFFAOYSA-N -1 1 322.390 1.227 20 0 DDADMM COc1ccc2c(c1)OC[C@H](CNC(=O)c1ccncc1[O-])C2 ZINC001188644604 744735199 /nfs/dbraw/zinc/73/51/99/744735199.db2.gz KJYDDJMYNMBZCV-NSHDSACASA-N -1 1 314.341 1.777 20 0 DDADMM COc1cccc(C(C)(C)CNC(=O)c2ccncc2[O-])n1 ZINC001188644885 744735283 /nfs/dbraw/zinc/73/52/83/744735283.db2.gz VNGNXIMCTRTSFA-UHFFFAOYSA-N -1 1 301.346 1.898 20 0 DDADMM O=S(=O)(CCCF)[N-]c1ncccc1CN1CCOCC1 ZINC001189870280 745008973 /nfs/dbraw/zinc/00/89/73/745008973.db2.gz QSQXCJZWTQHYAI-UHFFFAOYSA-N -1 1 317.386 1.015 20 0 DDADMM COc1nc(S(=O)(=O)[N-][C@@H]2CCC(F)(F)C2)ccc1F ZINC001190058030 745092890 /nfs/dbraw/zinc/09/28/90/745092890.db2.gz JMROFCZMCFBJQY-SSDOTTSWSA-N -1 1 310.297 1.695 20 0 DDADMM Cc1ncc(CNC(=O)c2cnc(-c3ccccc3)[n-]c2=O)cn1 ZINC001190268287 745168132 /nfs/dbraw/zinc/16/81/32/745168132.db2.gz WJZTVXHGLVRXBN-UHFFFAOYSA-N -1 1 321.340 1.878 20 0 DDADMM O=C1COc2ccc([N-]S(=O)(=O)c3ccncc3)cc2N1 ZINC001190886593 745367265 /nfs/dbraw/zinc/36/72/65/745367265.db2.gz CYHFWQYRIDHMLO-UHFFFAOYSA-N -1 1 305.315 1.213 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc(=O)[nH]c1Cl ZINC001190908071 745381419 /nfs/dbraw/zinc/38/14/19/745381419.db2.gz VEBZFQOAAJFSPO-UHFFFAOYSA-N -1 1 311.641 1.292 20 0 DDADMM COc1cncc(NC(=O)c2cnc(SC)[n-]c2=O)c1C ZINC001191429407 745509428 /nfs/dbraw/zinc/50/94/28/745509428.db2.gz KMZNFDFZZRGHLH-UHFFFAOYSA-N -1 1 306.347 1.868 20 0 DDADMM CSc1ncc(C(=O)NC(=N)c2ccc(F)cn2)c(=O)[n-]1 ZINC001191436589 745511843 /nfs/dbraw/zinc/51/18/43/745511843.db2.gz DUSPFTIRYCHCTE-UHFFFAOYSA-N -1 1 307.310 1.194 20 0 DDADMM CCCOC(=O)C[N-]S(=O)(=O)Cc1ccc(Cl)cc1F ZINC001191926125 745647373 /nfs/dbraw/zinc/64/73/73/745647373.db2.gz DIWGRFRQSICTLJ-UHFFFAOYSA-N -1 1 323.773 1.852 20 0 DDADMM Cc1cnn(C)c1NS(=O)(=O)c1ccc(CCC(=O)[O-])cc1 ZINC001192109567 745692291 /nfs/dbraw/zinc/69/22/91/745692291.db2.gz FVCUVCYVUXTKPZ-UHFFFAOYSA-N -1 1 323.374 1.547 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC(=O)NC2(CCCC2)C1 ZINC001192541466 745826580 /nfs/dbraw/zinc/82/65/80/745826580.db2.gz WKWYITAPGJUFOF-UHFFFAOYSA-N -1 1 310.300 1.555 20 0 DDADMM CCN(CCNc1ccc(C)nn1)C(=O)c1ncccc1[O-] ZINC001106727417 745971241 /nfs/dbraw/zinc/97/12/41/745971241.db2.gz PKXYWLYEVZBBDF-UHFFFAOYSA-N -1 1 301.350 1.460 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]1O)c1ncccc1C(F)(F)F ZINC001194578493 746413823 /nfs/dbraw/zinc/41/38/23/746413823.db2.gz PTWGSYPYKCPMOY-BDAKNGLRSA-N -1 1 310.297 1.292 20 0 DDADMM COC(=O)[C@@]1(F)CCN(C(=O)c2cc([O-])cnc2Cl)C1 ZINC001195299719 746570421 /nfs/dbraw/zinc/57/04/21/746570421.db2.gz RUXCLMPWAQVGOT-GFCCVEGCSA-N -1 1 302.689 1.168 20 0 DDADMM O=C(NC[C@H]1C(=O)Nc2ccccc21)c1cc([O-])cnc1Cl ZINC001195315867 746574951 /nfs/dbraw/zinc/57/49/51/746574951.db2.gz USBGZBXSXYCJKO-LLVKDONJSA-N -1 1 317.732 1.906 20 0 DDADMM COC(=O)c1cc(C)cnc1NC(=O)c1nc(C)ccc1[O-] ZINC001195317640 746575888 /nfs/dbraw/zinc/57/58/88/746575888.db2.gz CJUXXBZDJBBSLP-UHFFFAOYSA-N -1 1 301.302 1.838 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(OC)c(C(=O)OC)c1 ZINC001195486491 746623100 /nfs/dbraw/zinc/62/31/00/746623100.db2.gz GPKRRBNOWRABCA-UHFFFAOYSA-N -1 1 312.347 1.925 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(OC)c(O)c2)cn1 ZINC001195682927 746671480 /nfs/dbraw/zinc/67/14/80/746671480.db2.gz SSCIPLDNFYUOBU-UHFFFAOYSA-N -1 1 310.331 1.605 20 0 DDADMM CC[C@@H](C[C@H](C)O)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000716955067 746691304 /nfs/dbraw/zinc/69/13/04/746691304.db2.gz SDQHGQOHAMWLMG-YUMQZZPRSA-N -1 1 311.325 1.932 20 0 DDADMM COC(=O)c1ccnc(Cl)c1[N-]C(=O)c1ncc(OC)o1 ZINC001195788580 746704959 /nfs/dbraw/zinc/70/49/59/746704959.db2.gz AWERNGTVNDNPJQ-UHFFFAOYSA-N -1 1 311.681 1.771 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCc2cc(F)c(F)cc2C1 ZINC001196015731 746757226 /nfs/dbraw/zinc/75/72/26/746757226.db2.gz HMBRWEVGVGIVIN-UHFFFAOYSA-N -1 1 323.324 1.948 20 0 DDADMM O=[P@]([O-])(O)CCNC(=S)NCc1cccc(Cl)c1 ZINC001195990126 746761497 /nfs/dbraw/zinc/76/14/97/746761497.db2.gz CJJOGPKPJMVWDC-UHFFFAOYSA-N -1 1 308.727 1.482 20 0 DDADMM CN(C(=O)c1ncccc1O)C1CC(NCc2nncs2)C1 ZINC000998671872 752120558 /nfs/dbraw/zinc/12/05/58/752120558.db2.gz BIZUJWOXJGFKTC-UHFFFAOYSA-N -1 1 319.390 1.032 20 0 DDADMM CC(F)(F)C(=O)[N-][C@H]1CCN(CC(F)(F)C(F)F)C[C@@H]1O ZINC001090000141 747153493 /nfs/dbraw/zinc/15/34/93/747153493.db2.gz HQCJKSAHVGFIMG-BQBZGAKWSA-N -1 1 322.249 1.093 20 0 DDADMM Cc1ccc2c(c1)[C@H]([N-]S(=O)(=O)Cc1cccnc1)C(=O)N2 ZINC001197465961 747167136 /nfs/dbraw/zinc/16/71/36/747167136.db2.gz VSVHHTAETLMPPQ-AWEZNQCLSA-N -1 1 317.370 1.503 20 0 DDADMM Cc1cnc([C@@H](C)N2CC(CNC(=O)c3ncccc3[O-])C2)o1 ZINC001031627461 747248130 /nfs/dbraw/zinc/24/81/30/747248130.db2.gz OBXBIZLBZBORJH-LLVKDONJSA-N -1 1 316.361 1.506 20 0 DDADMM O=S(=O)(Cc1ccccn1)[N-]c1ccnc2cccnc12 ZINC001197788811 747265003 /nfs/dbraw/zinc/26/50/03/747265003.db2.gz HJEFPSOWBKLMSH-UHFFFAOYSA-N -1 1 300.343 1.389 20 0 DDADMM COc1cc(OC)c([N-]S(=O)(=O)Cc2ccccn2)cn1 ZINC001197790331 747265679 /nfs/dbraw/zinc/26/56/79/747265679.db2.gz OZBXBBJEWBBJDC-UHFFFAOYSA-N -1 1 309.347 1.436 20 0 DDADMM O=S(=O)([N-]c1ncncc1Cl)c1ccc2nccnc2c1 ZINC001198108799 747383428 /nfs/dbraw/zinc/38/34/28/747383428.db2.gz CZYFHHFBQBKTNP-UHFFFAOYSA-N -1 1 321.749 1.874 20 0 DDADMM Cc1cc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n(C(C)C)n1 ZINC001199226264 747750101 /nfs/dbraw/zinc/75/01/01/747750101.db2.gz ZMLQHOMZLRPVJK-UHFFFAOYSA-N -1 1 317.309 1.054 20 0 DDADMM CCOC(=O)CCNC(=S)Nc1cc(F)c([O-])cc1F ZINC001200174853 748150694 /nfs/dbraw/zinc/15/06/94/748150694.db2.gz RYXXVMMOEBXSNF-UHFFFAOYSA-N -1 1 304.318 1.910 20 0 DDADMM O=S(=O)([N-]CC1(O)CC1)c1c(F)cccc1Br ZINC001200903335 748378507 /nfs/dbraw/zinc/37/85/07/748378507.db2.gz IAPPNLRIHRHENK-UHFFFAOYSA-N -1 1 324.171 1.391 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cc2ccccc2cn1 ZINC001201905565 748636026 /nfs/dbraw/zinc/63/60/26/748636026.db2.gz WYIVGJOZIWJJLG-UHFFFAOYSA-N -1 1 308.359 1.930 20 0 DDADMM CC(C)=CC(=O)N1CCCC[C@@H]1[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004681964 748645866 /nfs/dbraw/zinc/64/58/66/748645866.db2.gz LYGDKCXCTZYDTM-NWDGAFQWSA-N -1 1 307.398 1.336 20 0 DDADMM CC(=O)N1CC[C@H](C)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001004862536 748876258 /nfs/dbraw/zinc/87/62/58/748876258.db2.gz XGCVMMVSFQLIMP-TVQRCGJNSA-N -1 1 318.377 1.046 20 0 DDADMM Cc1nsc(NC/C=C\CNC(=O)c2ncccc2[O-])n1 ZINC001107140307 749371879 /nfs/dbraw/zinc/37/18/79/749371879.db2.gz ZPGBWGDUZKUJOF-IHWYPQMZSA-N -1 1 305.363 1.345 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996278121 749480478 /nfs/dbraw/zinc/48/04/78/749480478.db2.gz HYLSWFCOIINAFC-IJLUTSLNSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@@H](CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C1CC1 ZINC000996684836 749906316 /nfs/dbraw/zinc/90/63/16/749906316.db2.gz ZVWDKOXQLGUNGI-JQWIXIFHSA-N -1 1 321.425 1.273 20 0 DDADMM COC(OC)c1cc(C)cnc1NCC[N-]C(=O)C(F)(F)F ZINC001156202963 762002869 /nfs/dbraw/zinc/00/28/69/762002869.db2.gz AIRZMLXLZYHLLB-UHFFFAOYSA-N -1 1 321.299 1.772 20 0 DDADMM C[C@H](NC(=O)c1ccco1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005594226 750681244 /nfs/dbraw/zinc/68/12/44/750681244.db2.gz KLLCRXXQBKOWIY-JTQLQIEISA-N -1 1 315.329 1.271 20 0 DDADMM O=C(c1ccccc1F)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034844884 750960465 /nfs/dbraw/zinc/96/04/65/750960465.db2.gz DJLRKESLRKOHIK-SNVBAGLBSA-N -1 1 319.340 1.044 20 0 DDADMM C[C@]1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C[C@H]2C[C@H]2C1 ZINC001035004093 751106304 /nfs/dbraw/zinc/10/63/04/751106304.db2.gz ZOQGBMXTOSKATL-JBBSTSQOSA-N -1 1 319.409 1.027 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](NCc2cscn2)C1 ZINC000999002112 752443321 /nfs/dbraw/zinc/44/33/21/752443321.db2.gz QMBUHJRPUNHPIU-NSHDSACASA-N -1 1 318.402 1.638 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008066420 752530225 /nfs/dbraw/zinc/53/02/25/752530225.db2.gz BECLSMNRAAAYAZ-HQIZRNBFSA-N -1 1 317.389 1.720 20 0 DDADMM CC(C)CC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839614 753759783 /nfs/dbraw/zinc/75/97/83/753759783.db2.gz XXDPMBVEBYWFRF-UHFFFAOYSA-N -1 1 305.378 1.602 20 0 DDADMM CC(C)C(=O)N1CCCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001010626803 754064060 /nfs/dbraw/zinc/06/40/60/754064060.db2.gz BIKSVHDDSZJJCN-UHFFFAOYSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCC1CC1 ZINC001011581307 754614244 /nfs/dbraw/zinc/61/42/44/754614244.db2.gz PNPSZBDMEIQTSB-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)C1CCC1)C(=O)c1ncccc1[O-] ZINC001002011967 754642994 /nfs/dbraw/zinc/64/29/94/754642994.db2.gz SRUZGBFAAQIYPS-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C2CC=CC2)CCN1C(=O)c1ncccc1[O-] ZINC001011671858 754669081 /nfs/dbraw/zinc/66/90/81/754669081.db2.gz KJAQUOVPDIGSNA-AAEUAGOBSA-N -1 1 315.373 1.473 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccco2)CN1C(=O)c1ncccc1[O-] ZINC001012505310 755112274 /nfs/dbraw/zinc/11/22/74/755112274.db2.gz JHAIWPFUFGXXLB-WDEREUQCSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cc[nH]c1 ZINC001012813429 755241147 /nfs/dbraw/zinc/24/11/47/755241147.db2.gz YPDLDQMXIMLXIT-PWSUYJOCSA-N -1 1 314.345 1.148 20 0 DDADMM CCN(C(=O)C(F)=C(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079606029 755484376 /nfs/dbraw/zinc/48/43/76/755484376.db2.gz FKLLGRBQQQEDDT-UHFFFAOYSA-N -1 1 321.352 1.724 20 0 DDADMM CSCC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001014893602 756004563 /nfs/dbraw/zinc/00/45/63/756004563.db2.gz MMHSCODDEUOJJI-MNOVXSKESA-N -1 1 323.418 1.260 20 0 DDADMM CCC(=O)N(CC)CC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001081073846 756207196 /nfs/dbraw/zinc/20/71/96/756207196.db2.gz CRZVUAZRFVPPIV-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM C/C=C(/C)C(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400667 757900021 /nfs/dbraw/zinc/90/00/21/757900021.db2.gz SNRHIQHKWSLSAF-BASWHVEKSA-N -1 1 315.373 1.642 20 0 DDADMM CSCC[C@H](NC(=O)c1nc(C)c(C)s1)c1nn[n-]n1 ZINC000824912936 759212558 /nfs/dbraw/zinc/21/25/58/759212558.db2.gz VKVAWSCNSUWCBU-QMMMGPOBSA-N -1 1 312.424 1.497 20 0 DDADMM CC[C@H](C)C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962327 759454500 /nfs/dbraw/zinc/45/45/00/759454500.db2.gz OZCDNXGNVJXFAK-LBPRGKRZSA-N -1 1 317.389 1.508 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962326 759454540 /nfs/dbraw/zinc/45/45/40/759454540.db2.gz OZCDNXGNVJXFAK-GFCCVEGCSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)C2CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054935865 760202646 /nfs/dbraw/zinc/20/26/46/760202646.db2.gz ATAHYACYLXWTMW-ZYHUDNBSSA-N -1 1 321.425 1.273 20 0 DDADMM CC[C@H](F)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066390857 760961055 /nfs/dbraw/zinc/96/10/55/760961055.db2.gz RMWMWEBAXJPNMF-RYUDHWBXSA-N -1 1 323.368 1.646 20 0 DDADMM Cc1n[nH]c(C(=O)NCC2CCN(C/C=C/Cl)CC2)c1[O-] ZINC001001296021 762736427 /nfs/dbraw/zinc/73/64/27/762736427.db2.gz BTVBMRZECKOFFE-GORDUTHDSA-N -1 1 312.801 1.618 20 0 DDADMM CN(CCCNc1ncncc1Cl)C(=O)c1ncccc1[O-] ZINC001109436785 763705952 /nfs/dbraw/zinc/70/59/52/763705952.db2.gz HTEBNKJUHQNIRH-UHFFFAOYSA-N -1 1 321.768 1.805 20 0 DDADMM Cc1cncc(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)c1 ZINC001042643530 764306066 /nfs/dbraw/zinc/30/60/66/764306066.db2.gz FQBCDDVWWZVJBK-UHFFFAOYSA-N -1 1 312.373 1.447 20 0 DDADMM Cc1nsc(N[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983376 765081089 /nfs/dbraw/zinc/08/10/89/765081089.db2.gz KHOQAGKYURUZKF-SFYZADRCSA-N -1 1 307.379 1.566 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H](C)Nc1ncncc1Cl ZINC001112982721 765098199 /nfs/dbraw/zinc/09/81/99/765098199.db2.gz AWUKRABXKGXMHD-RKDXNWHRSA-N -1 1 321.768 1.850 20 0 DDADMM Cc1ccc(S(C)(=O)=O)cc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170234148 766188673 /nfs/dbraw/zinc/18/86/73/766188673.db2.gz UNEAFODSSUVHSV-UHFFFAOYSA-N -1 1 319.346 1.514 20 0 DDADMM CCc1ccc2c(c1)CN(c1nnc(-c3nnn[n-]3)n1CC)CC2 ZINC001121678298 782623566 /nfs/dbraw/zinc/62/35/66/782623566.db2.gz FPPKGTRHLSQQRR-UHFFFAOYSA-N -1 1 324.392 1.603 20 0 DDADMM CCc1ccc2c(c1)CN(c1nnc(-c3nn[n-]n3)n1CC)CC2 ZINC001121678298 782623581 /nfs/dbraw/zinc/62/35/81/782623581.db2.gz FPPKGTRHLSQQRR-UHFFFAOYSA-N -1 1 324.392 1.603 20 0 DDADMM CC(C)CC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648725 768643795 /nfs/dbraw/zinc/64/37/95/768643795.db2.gz UUCCVDYLMFAMGQ-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)C[C@@H](C)NCc2ccon2)c1[O-] ZINC001134089698 770642380 /nfs/dbraw/zinc/64/23/80/770642380.db2.gz HEHCZHYMISAYMH-BDAKNGLRSA-N -1 1 307.354 1.098 20 0 DDADMM C[C@H](CCC(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001134806602 771186522 /nfs/dbraw/zinc/18/65/22/771186522.db2.gz LXSPTUWMWFZJQX-GFCCVEGCSA-N -1 1 317.393 1.300 20 0 DDADMM CN1C(=S)N=NC1C1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001136676661 772074029 /nfs/dbraw/zinc/07/40/29/772074029.db2.gz JRDSMJWJJMCYOA-UHFFFAOYSA-N -1 1 319.390 1.224 20 0 DDADMM CCOC(=O)[C@@H]1COCCN1Cc1cc(F)c([O-])cc1F ZINC001144659433 772592039 /nfs/dbraw/zinc/59/20/39/772592039.db2.gz IOVWZWZZWADNNA-LBPRGKRZSA-N -1 1 301.289 1.434 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)c1c[nH]cn1)c1ccccc1N ZINC001147260014 773094490 /nfs/dbraw/zinc/09/44/90/773094490.db2.gz WEKNKXZAZITPFA-UHFFFAOYSA-N -1 1 319.346 1.280 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cc(C(C)(C)C)nn2C)c1[O-] ZINC001147834025 773260878 /nfs/dbraw/zinc/26/08/78/773260878.db2.gz JILJBOWKTQUCDG-UHFFFAOYSA-N -1 1 318.377 1.871 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CC[C@H]3O[C@@H](C)C[C@H]3C2)c1[O-] ZINC001147837179 773264070 /nfs/dbraw/zinc/26/40/70/773264070.db2.gz WKIIWPFJDLORSD-XHVZSJERSA-N -1 1 306.362 1.227 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@@H]2CCCc3cccnc32)c1[O-] ZINC001147844860 773267450 /nfs/dbraw/zinc/26/74/50/773267450.db2.gz ZHHPZVZIWZNGCF-CYBMUJFWSA-N -1 1 313.357 1.790 20 0 DDADMM COC(=O)Cc1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1 ZINC001212617541 773311414 /nfs/dbraw/zinc/31/14/14/773311414.db2.gz LSCCFWSUQLKPNP-UHFFFAOYSA-N -1 1 306.347 1.512 20 0 DDADMM NC(=O)N[C@H](CO)C(=O)Nc1cc(Cl)c([O-])c2ccccc12 ZINC001148252998 773412023 /nfs/dbraw/zinc/41/20/23/773412023.db2.gz OBWIVCDWWIZWLA-LLVKDONJSA-N -1 1 323.736 1.167 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3Cc4cnn(C)c4C3)cc2)[n-]1 ZINC001148330404 773438321 /nfs/dbraw/zinc/43/83/21/773438321.db2.gz PIIICSZTUHDLTJ-UHFFFAOYSA-N -1 1 323.356 1.689 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc(F)c(F)c3C)c1-2 ZINC001148594380 773543420 /nfs/dbraw/zinc/54/34/20/773543420.db2.gz VEGNFFSEGKJOLG-UHFFFAOYSA-N -1 1 303.272 1.874 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(OC2CN(CCF)C2)CC1 ZINC001093529824 774782727 /nfs/dbraw/zinc/78/27/27/774782727.db2.gz LLKYKWRRRINUEK-UHFFFAOYSA-N -1 1 323.368 1.062 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])Nc1cc(F)ncn1 ZINC001099105120 774836495 /nfs/dbraw/zinc/83/64/95/774836495.db2.gz RGRGKKDGGGTSAJ-VIFPVBQESA-N -1 1 305.313 1.337 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])c1cnc2ccccc2n1 ZINC001100070837 775645362 /nfs/dbraw/zinc/64/53/62/775645362.db2.gz DHWWUCPSXBASMJ-UHFFFAOYSA-N -1 1 323.356 1.597 20 0 DDADMM CCCOC1CCC(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC1 ZINC001173093409 776974740 /nfs/dbraw/zinc/97/47/40/776974740.db2.gz UYOPDSBPIONHKY-UHFFFAOYSA-N -1 1 307.354 1.865 20 0 DDADMM CC(C)(C)c1nc([N-]c2cnc(N3CCOCC3)nc2)no1 ZINC001174447564 777476315 /nfs/dbraw/zinc/47/63/15/777476315.db2.gz UQVMJGCJEDLTAQ-UHFFFAOYSA-N -1 1 304.354 1.737 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2cnc3n[nH]cc3c2)ccc1N ZINC001175403973 777774535 /nfs/dbraw/zinc/77/45/35/777774535.db2.gz QXWRYLUWIFPZLV-UHFFFAOYSA-N -1 1 303.347 1.649 20 0 DDADMM O=C(CCc1cc(F)ccn1)NCCCC[P@@](=O)([O-])O ZINC001175451827 777808566 /nfs/dbraw/zinc/80/85/66/777808566.db2.gz PITOROQGVRSMPN-UHFFFAOYSA-N -1 1 304.258 1.227 20 0 DDADMM Nc1cc(F)ccc1S(=O)(=O)Nc1cncc(C(=O)[O-])c1 ZINC001175843790 777924641 /nfs/dbraw/zinc/92/46/41/777924641.db2.gz ZNXZHXIFPMPZSX-UHFFFAOYSA-N -1 1 311.294 1.302 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)CC(C)(C)C ZINC001102667564 778287888 /nfs/dbraw/zinc/28/78/88/778287888.db2.gz JOBRMMYHHRLWAL-VXGBXAGGSA-N -1 1 323.441 1.521 20 0 DDADMM O=C(Cc1nn[n-]n1)NCCCc1nc2cc(Cl)ccc2[nH]1 ZINC001176846167 778300304 /nfs/dbraw/zinc/30/03/04/778300304.db2.gz XAPFAPNXPZJJQN-UHFFFAOYSA-N -1 1 319.756 1.021 20 0 DDADMM Cc1nc(C)n(CC(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)n1 ZINC001176896724 778327887 /nfs/dbraw/zinc/32/78/87/778327887.db2.gz PCJKLFFSTJSNBX-UHFFFAOYSA-N -1 1 312.333 1.425 20 0 DDADMM Cc1n[nH]c(C)c1CCC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001177035878 778374239 /nfs/dbraw/zinc/37/42/39/778374239.db2.gz YQEFQFVWIYYGGC-UHFFFAOYSA-N -1 1 306.282 1.197 20 0 DDADMM COC[C@@H](NC(=O)C/C=C/Cc1ccccc1)c1nn[n-]n1 ZINC001177828269 778733580 /nfs/dbraw/zinc/73/35/80/778733580.db2.gz MILYQXFSTWPPEZ-URWSZGRFSA-N -1 1 301.350 1.192 20 0 DDADMM O=C(c1cccc2[nH]ncc21)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001177865453 778747423 /nfs/dbraw/zinc/74/74/23/778747423.db2.gz BFVTWUORKRJVGE-JTQLQIEISA-N -1 1 311.349 1.171 20 0 DDADMM O=C(N[C@@H]1[C@H]2C[C@H]3CO[C@H]1[C@H]3C2)c1ccc2n[n-]c(=S)n2c1 ZINC001118985251 781291302 /nfs/dbraw/zinc/29/13/02/781291302.db2.gz OTNQMYVPQXQJDB-STXZSOOUSA-N -1 1 316.386 1.171 20 0 DDADMM O=Cc1ccccc1S(=O)(=O)[N-][C@H](CCO)C(F)(F)F ZINC001119436082 781453191 /nfs/dbraw/zinc/45/31/91/781453191.db2.gz VYSGMMVBCNBSAK-SNVBAGLBSA-N -1 1 311.281 1.091 20 0 DDADMM CCc1cc(C(=O)N(C)CCNC(=O)c2ccccc2O)n[nH]1 ZINC001408524158 836154258 /nfs/dbraw/zinc/15/42/58/836154258.db2.gz HRCFUUJGLGBJKE-UHFFFAOYSA-N -1 1 316.361 1.180 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@@H](C)[C@H]2C)[n-]n1 ZINC001414185542 839008782 /nfs/dbraw/zinc/00/87/82/839008782.db2.gz DECVSLHVRZANQW-OPRDCNLKSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@@H](C)[C@H]2C)n[n-]1 ZINC001414185542 839008794 /nfs/dbraw/zinc/00/87/94/839008794.db2.gz DECVSLHVRZANQW-OPRDCNLKSA-N -1 1 315.395 1.299 20 0 DDADMM COc1cccc(O)c1C(=O)N1CCC[C@@]2(C1)C[N@H+](C)CCO2 ZINC001270067856 842269084 /nfs/dbraw/zinc/26/90/84/842269084.db2.gz PZMQKXWGCNWRGX-KRWDZBQOSA-N -1 1 320.389 1.338 20 0 DDADMM CC(C)=CC(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001270376717 842526972 /nfs/dbraw/zinc/52/69/72/842526972.db2.gz BBPCGSVPYZITTL-LBPRGKRZSA-N -1 1 307.398 1.241 20 0 DDADMM COC(=O)c1nsnc1[N-]c1cnn(Cc2ccncc2)c1 ZINC001203129517 861346913 /nfs/dbraw/zinc/34/69/13/861346913.db2.gz RHLQCOORHABHGH-UHFFFAOYSA-N -1 1 316.346 1.708 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)NCC3OCCO3)cc2c1 ZINC001154756739 861428350 /nfs/dbraw/zinc/42/83/50/861428350.db2.gz PCFPJCQCVAGJSH-UHFFFAOYSA-N -1 1 303.314 1.657 20 0 DDADMM C[C@H]1C[C@H](OCC[P@](=O)([O-])O)CCN1C(=O)OC(C)(C)C ZINC001224604929 844921516 /nfs/dbraw/zinc/92/15/16/844921516.db2.gz GVOVHTLNALFJDM-WDEREUQCSA-N -1 1 323.326 1.969 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](C)N(C)Cc2cccnc2C)c1[O-] ZINC001409536316 845261409 /nfs/dbraw/zinc/26/14/09/845261409.db2.gz FESSSSJRWDOWKD-SNVBAGLBSA-N -1 1 317.393 1.377 20 0 DDADMM Cc1cnc(CN(C)[C@H](C)CNC(=O)c2ncccc2[O-])o1 ZINC001409536266 845261883 /nfs/dbraw/zinc/26/18/83/845261883.db2.gz KFWOYOWXDAPWCO-SNVBAGLBSA-N -1 1 304.350 1.334 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001409676953 845553102 /nfs/dbraw/zinc/55/31/02/845553102.db2.gz PJNQXLFCSUZREG-NWDGAFQWSA-N -1 1 307.394 1.561 20 0 DDADMM C[C@@H](CNC(=O)CCC(F)F)CNC(=O)c1ncccc1[O-] ZINC001409680228 845560440 /nfs/dbraw/zinc/56/04/40/845560440.db2.gz VHOOCYNUIZXTSY-VIFPVBQESA-N -1 1 315.320 1.315 20 0 DDADMM Cc1cc[nH]c1C(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001409686692 845566325 /nfs/dbraw/zinc/56/63/25/845566325.db2.gz RAWGXYVMIPAUNI-SNVBAGLBSA-N -1 1 316.361 1.220 20 0 DDADMM CCC[C@@H]1CCCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364993802 845984585 /nfs/dbraw/zinc/98/45/85/845984585.db2.gz ZCMIMLCOKQOROE-SECBINFHSA-N -1 1 301.368 1.150 20 0 DDADMM CCC[C@@H]1CCCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364993802 845984589 /nfs/dbraw/zinc/98/45/89/845984589.db2.gz ZCMIMLCOKQOROE-SECBINFHSA-N -1 1 301.368 1.150 20 0 DDADMM CNC(=O)[C@H]1CC12CCN(C(=O)c1cc([O-])cnc1Cl)CC2 ZINC001272386468 846090956 /nfs/dbraw/zinc/09/09/56/846090956.db2.gz IMTHRFSUAHVVKW-LLVKDONJSA-N -1 1 323.780 1.429 20 0 DDADMM CN1C[C@]2(CC1=O)CCCCN2C(=O)c1cc([O-])cnc1Cl ZINC001272386843 846091594 /nfs/dbraw/zinc/09/15/94/846091594.db2.gz NZYVTMYJFPJSAO-OAHLLOKOSA-N -1 1 323.780 1.668 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc2cccnc2s1 ZINC001149539017 861698538 /nfs/dbraw/zinc/69/85/38/861698538.db2.gz MOQDZDGFLHHPCI-UHFFFAOYSA-N -1 1 314.303 1.984 20 0 DDADMM COC[C@H](NC(=O)C=C(C)c1ccc(OC)cc1)c1nn[n-]n1 ZINC001155070451 861699105 /nfs/dbraw/zinc/69/91/05/861699105.db2.gz WMFLYTOMFOHHOO-KJJQSCHISA-N -1 1 317.349 1.116 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CC[C@@H](CO)[C@@H](O)C1 ZINC001149650343 861783745 /nfs/dbraw/zinc/78/37/45/861783745.db2.gz LULPGOVSCCKYPL-ZBEGNZNMSA-N -1 1 317.341 1.066 20 0 DDADMM COc1cc(NC(=O)c2nc3ccc(Cl)nc3[n-]2)ncn1 ZINC001155171720 861797355 /nfs/dbraw/zinc/79/73/55/861797355.db2.gz XJSFYOOFCUODGU-UHFFFAOYSA-N -1 1 304.697 1.662 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nn(C)c3ccccc32)n1 ZINC001149780502 861897447 /nfs/dbraw/zinc/89/74/47/861897447.db2.gz DATXLSWVYVMLNF-UHFFFAOYSA-N -1 1 313.317 1.725 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2n[nH]c3cc(O)ccc32)n1 ZINC001155262011 861906759 /nfs/dbraw/zinc/90/67/59/861906759.db2.gz AZOAWABSYHBATB-UHFFFAOYSA-N -1 1 315.289 1.421 20 0 DDADMM Cn1nc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c2ccccc21 ZINC001149793381 861907480 /nfs/dbraw/zinc/90/74/80/861907480.db2.gz BQVIULIXCGHZJZ-UHFFFAOYSA-N -1 1 309.289 1.198 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCC2(C[C@H]2CCO)CC1 ZINC001155265711 861911418 /nfs/dbraw/zinc/91/14/18/861911418.db2.gz XAPFSZOVXVAKOE-LLVKDONJSA-N -1 1 315.373 1.893 20 0 DDADMM COC(=O)[C@H]1CC[C@H](NC(=O)c2[n-][nH]c3cc(=O)ccc2-3)C1 ZINC001155273104 861920436 /nfs/dbraw/zinc/92/04/36/861920436.db2.gz ISOPEKPFFIAMNL-IUCAKERBSA-N -1 1 303.318 1.340 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1[nH]nc2cccc(F)c21 ZINC001155285851 861934191 /nfs/dbraw/zinc/93/41/91/861934191.db2.gz FCWCLWJVRVWATC-UHFFFAOYSA-N -1 1 315.241 1.390 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NC[C@H](O)C2CCOCC2)c1 ZINC001149942411 861984842 /nfs/dbraw/zinc/98/48/42/861984842.db2.gz ARGUKZYFRYSCEF-AWEZNQCLSA-N -1 1 307.346 1.030 20 0 DDADMM C[C@@H](CN(C)C(=O)CCCC(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001410433183 849259020 /nfs/dbraw/zinc/25/90/20/849259020.db2.gz KLFLSNDXOMAYOR-QMMMGPOBSA-N -1 1 323.319 1.179 20 0 DDADMM C[S@](=O)CCCNC(=S)Nc1ccc([O-])c(F)c1F ZINC001246506400 850767380 /nfs/dbraw/zinc/76/73/80/850767380.db2.gz DHXRFVYXYGIQOJ-IBGZPJMESA-N -1 1 308.375 1.725 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001273520827 851055880 /nfs/dbraw/zinc/05/58/80/851055880.db2.gz HWBQQXYPBHFQOC-XYYAHUGASA-N -1 1 321.425 1.275 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H]([N-]S(=O)(=O)c2nccs2)CC1 ZINC001187912183 851086265 /nfs/dbraw/zinc/08/62/65/851086265.db2.gz DHZDSIXMGJGIKE-MGCOHNPYSA-N -1 1 318.420 1.543 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)c1cnc2cccc(O)c2c1 ZINC001155543626 862210769 /nfs/dbraw/zinc/21/07/69/862210769.db2.gz KWQIIQVTMODVIQ-UHFFFAOYSA-N -1 1 324.273 1.628 20 0 DDADMM Cc1[nH]c(CN2CCC[C@]3(C2)C[N@@H+](C)CCO3)c(C)c1C(=O)[O-] ZINC001275277366 852951456 /nfs/dbraw/zinc/95/14/56/852951456.db2.gz YMZLZYXZAYGWRS-QGZVFWFLSA-N -1 1 321.421 1.626 20 0 DDADMM CC(C)(C)NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1ccc([O-])cc1F)C2 ZINC001275399802 853066570 /nfs/dbraw/zinc/06/65/70/853066570.db2.gz WIOXIGXHPFROPZ-BMLIUANNSA-N -1 1 320.364 1.908 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC2(C[C@H]2C(=O)N2CC=CC2)C1 ZINC001275407592 853078146 /nfs/dbraw/zinc/07/81/46/853078146.db2.gz GJESZKACNUCOBO-LBPRGKRZSA-N -1 1 316.332 1.392 20 0 DDADMM COCCOCN1CCC12CN(C(=O)c1cccc([O-])c1F)C2 ZINC001275409316 853082699 /nfs/dbraw/zinc/08/26/99/853082699.db2.gz YWLXSVZVELHKGD-UHFFFAOYSA-N -1 1 324.352 1.052 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H]1c1cccn1C ZINC001411616891 853467085 /nfs/dbraw/zinc/46/70/85/853467085.db2.gz JIIYKSPOQVBECS-SNVBAGLBSA-N -1 1 318.333 1.212 20 0 DDADMM CCN(C)C(=O)[C@H]1CSCN1C(=O)c1ccc([O-])cc1F ZINC001411744560 853669356 /nfs/dbraw/zinc/66/93/56/853669356.db2.gz ROADCYVXNLDXEK-GFCCVEGCSA-N -1 1 312.366 1.525 20 0 DDADMM CCC[C@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccn1 ZINC001411785222 853733422 /nfs/dbraw/zinc/73/34/22/853733422.db2.gz ZOAVENYZJCJFJS-AAEUAGOBSA-N -1 1 316.365 1.079 20 0 DDADMM CCC[C@H](OCC)C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001411798165 853752739 /nfs/dbraw/zinc/75/27/39/853752739.db2.gz GXYVSCJDAWGSNK-ZDUSSCGKSA-N -1 1 319.365 1.922 20 0 DDADMM CC(C)[C@@H](CCN(C)C(=O)c1ncccc1[O-])NC(=O)C1CC1 ZINC001411815599 853774199 /nfs/dbraw/zinc/77/41/99/853774199.db2.gz WQELNLRGKKVCAR-CYBMUJFWSA-N -1 1 319.405 1.800 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001411942539 854009286 /nfs/dbraw/zinc/00/92/86/854009286.db2.gz AYZYDFARFHLPAT-RKDXNWHRSA-N -1 1 307.350 1.377 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc(F)c([O-])c1)c1cccnc1 ZINC001412151526 854270381 /nfs/dbraw/zinc/27/03/81/854270381.db2.gz ROFSFGNWEHVPKT-CYBMUJFWSA-N -1 1 304.277 1.570 20 0 DDADMM CCC(=O)Nc1cccc(CNC(=O)c2cnncc2[O-])c1 ZINC001412431642 854542773 /nfs/dbraw/zinc/54/27/73/854542773.db2.gz QQYLTUZTQCESFD-UHFFFAOYSA-N -1 1 300.318 1.461 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001412526131 854658426 /nfs/dbraw/zinc/65/84/26/854658426.db2.gz GZVXTYCSIKUTPF-ZDUSSCGKSA-N -1 1 317.393 1.960 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc(O)cc2[nH]ccc21 ZINC001155965956 862600328 /nfs/dbraw/zinc/60/03/28/862600328.db2.gz HUPQCRIISZCRCG-UHFFFAOYSA-N -1 1 310.273 1.498 20 0 DDADMM COC[C@H](NC(=O)c1csc(C(C)(C)C)n1)c1nn[n-]n1 ZINC001412585474 854763138 /nfs/dbraw/zinc/76/31/38/854763138.db2.gz BHYQWGBZEOAJQC-ZETCQYMHSA-N -1 1 310.383 1.071 20 0 DDADMM CSc1ncc(C(=O)N2CCCC[C@H]2[C@H](C)CO)c(=O)[n-]1 ZINC001413263732 856584764 /nfs/dbraw/zinc/58/47/64/856584764.db2.gz IYQPUGUBQYSZIZ-KOLCDFICSA-N -1 1 311.407 1.527 20 0 DDADMM O=C(N[C@H]1CCN(C2CCOCC2)C1=O)c1cccc([O-])c1F ZINC001413374679 856723244 /nfs/dbraw/zinc/72/32/44/856723244.db2.gz ANIZNEXUCSGHKG-LBPRGKRZSA-N -1 1 322.336 1.041 20 0 DDADMM CC(=O)N[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)C(C)(C)C ZINC001413447059 856824657 /nfs/dbraw/zinc/82/46/57/856824657.db2.gz WQXJUKANMMNNFS-LBPRGKRZSA-N -1 1 320.393 1.340 20 0 DDADMM CN(CC(C)(C)S(C)(=O)=O)C(=O)c1cccc([O-])c1F ZINC001413521400 856925780 /nfs/dbraw/zinc/92/57/80/856925780.db2.gz UUQISSCBFGLADU-UHFFFAOYSA-N -1 1 303.355 1.427 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2ccc([O-])c(F)c2)cn1 ZINC001413562959 857077006 /nfs/dbraw/zinc/07/70/06/857077006.db2.gz RDJGHWRJOBTCAS-UHFFFAOYSA-N -1 1 324.333 1.260 20 0 DDADMM CC(C)(C)OC(=O)c1cc(C(=O)N=S2(=O)CCCC2)[n-]n1 ZINC001413622174 857248354 /nfs/dbraw/zinc/24/83/54/857248354.db2.gz IDARCYKFCIHZRT-UHFFFAOYSA-N -1 1 313.379 1.767 20 0 DDADMM CSc1ncc(C(=O)NCc2ccc(CCO)cc2)c(=O)[n-]1 ZINC001413775487 858516825 /nfs/dbraw/zinc/51/68/25/858516825.db2.gz RSJNTPNKFDJPMF-UHFFFAOYSA-N -1 1 319.386 1.369 20 0 DDADMM O=C([O-])[C@@]1(C(=O)Nc2ccc(F)c(C(F)F)c2)CNCCO1 ZINC001122191205 858709123 /nfs/dbraw/zinc/70/91/23/858709123.db2.gz VBLNZXPGJVQCSB-ZDUSSCGKSA-N -1 1 318.251 1.145 20 0 DDADMM C[C@H]1CCN(c2nnc(-c3nnn[n-]3)n2Cc2cccs2)C1 ZINC001123000471 859047898 /nfs/dbraw/zinc/04/78/98/859047898.db2.gz FBVICUWUZHBAJC-VIFPVBQESA-N -1 1 316.394 1.414 20 0 DDADMM C[C@H]1CCN(c2nnc(-c3nn[n-]n3)n2Cc2cccs2)C1 ZINC001123000471 859047902 /nfs/dbraw/zinc/04/79/02/859047902.db2.gz FBVICUWUZHBAJC-VIFPVBQESA-N -1 1 316.394 1.414 20 0 DDADMM CCN(Cc1ccccn1)C(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001123657996 859347662 /nfs/dbraw/zinc/34/76/62/859347662.db2.gz XPNZOQLQOUKZEF-UHFFFAOYSA-N -1 1 304.375 1.837 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H](CO)c1ccccc1 ZINC001123756064 859397032 /nfs/dbraw/zinc/39/70/32/859397032.db2.gz MHNIBENLFNLMER-NSHDSACASA-N -1 1 319.386 1.285 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1CCCn2nccc21 ZINC001123962273 859498784 /nfs/dbraw/zinc/49/87/84/859498784.db2.gz RSAMNBHIBOXEQO-QMMMGPOBSA-N -1 1 305.363 1.241 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@H](C(F)(F)F)C1 ZINC001124057269 859554718 /nfs/dbraw/zinc/55/47/18/859554718.db2.gz MYNOHSKIVHKNEE-ZCFIWIBFSA-N -1 1 307.297 1.804 20 0 DDADMM O=C(OCc1ccccc1)[C@H]1C[C@@H](OCC[P@@](=O)([O-])O)C1 ZINC001224602949 881464169 /nfs/dbraw/zinc/46/41/69/881464169.db2.gz UJDJZUOJQFBMOH-BETUJISGSA-N -1 1 314.274 1.703 20 0 DDADMM CCOC(=O)c1cnc2n1CCN(Cc1ncccc1[O-])[C@H]2C ZINC001138395970 860094892 /nfs/dbraw/zinc/09/48/92/860094892.db2.gz LQJBCRPNNFSLHG-NSHDSACASA-N -1 1 316.361 1.737 20 0 DDADMM Cc1ccc(-c2n[nH]c(=O)[n-]2)cc1NC(=O)CCc1c[nH]nn1 ZINC001138799057 860194989 /nfs/dbraw/zinc/19/49/89/860194989.db2.gz KZMZSBBTBWHJFP-UHFFFAOYSA-N -1 1 313.321 1.175 20 0 DDADMM Cc1ccc(-c2n[nH]c(=O)[n-]2)cc1NC(=O)CCc1cnn[nH]1 ZINC001138799057 860194997 /nfs/dbraw/zinc/19/49/97/860194997.db2.gz KZMZSBBTBWHJFP-UHFFFAOYSA-N -1 1 313.321 1.175 20 0 DDADMM CCOC[C@H]1CN(Cc2ccncc2[O-])Cc2nnn(C)c21 ZINC001140276254 860606651 /nfs/dbraw/zinc/60/66/51/860606651.db2.gz IDSADAXQNLAIEC-GFCCVEGCSA-N -1 1 303.366 1.052 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2C[N@@H+](Cc3ccncc3O)C[C@H]21 ZINC001140282107 860610804 /nfs/dbraw/zinc/61/08/04/860610804.db2.gz MKGVYHKVNOVEQG-CHWSQXEVSA-N -1 1 305.378 1.838 20 0 DDADMM Cc1cc(N2CCN(Cc3ccccc3C(=O)[O-])CC2)ncn1 ZINC001140504109 860648044 /nfs/dbraw/zinc/64/80/44/860648044.db2.gz HXHPZGKBAGGHJA-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM COC(=O)[C@H]1CN(Cc2ccc([O-])c(F)c2F)CCCO1 ZINC001140892586 860726962 /nfs/dbraw/zinc/72/69/62/860726962.db2.gz SSBDBPLLNKRXNB-LLVKDONJSA-N -1 1 301.289 1.434 20 0 DDADMM COc1ncnc(NC(=O)c2ccc3[nH][n-]c(=O)c3c2)c1OC ZINC001154206338 860869792 /nfs/dbraw/zinc/86/97/92/860869792.db2.gz PGQZJAHQGBKTLX-UHFFFAOYSA-N -1 1 315.289 1.328 20 0 DDADMM NC(=O)c1ncccc1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC001141538234 860882452 /nfs/dbraw/zinc/88/24/52/860882452.db2.gz LESOVNQQEPZGMD-UHFFFAOYSA-N -1 1 323.312 1.529 20 0 DDADMM COC(=O)C1(Nc2nc(C)cc3c2C(=O)[N-]C3=O)CCCC1 ZINC001156881387 863411710 /nfs/dbraw/zinc/41/17/10/863411710.db2.gz BOFDDBLQRVFCFQ-UHFFFAOYSA-N -1 1 303.318 1.171 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc2c(=O)cc[nH]c2c1 ZINC001152570439 863437305 /nfs/dbraw/zinc/43/73/05/863437305.db2.gz YXRPTBZHMLDFFC-UHFFFAOYSA-N -1 1 322.284 1.152 20 0 DDADMM CSc1nc(NC(=O)c2ccc3c(c2)CNC3=O)cc(=O)[n-]1 ZINC001152766526 863529243 /nfs/dbraw/zinc/52/92/43/863529243.db2.gz VMBRTWHSPCEJGD-UHFFFAOYSA-N -1 1 316.342 1.400 20 0 DDADMM CCN(Cc1cscn1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152907040 863596765 /nfs/dbraw/zinc/59/67/65/863596765.db2.gz HFANRQRBQVPLKU-LLVKDONJSA-N -1 1 320.418 1.884 20 0 DDADMM Cc1[nH]c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(C)c1C=O ZINC001329416874 863654710 /nfs/dbraw/zinc/65/47/10/863654710.db2.gz NMWFULSCEIRFHL-SNVBAGLBSA-N -1 1 318.333 1.552 20 0 DDADMM COCC[C@H](C)NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001330118788 864090056 /nfs/dbraw/zinc/09/00/56/864090056.db2.gz IMXWFOUPLCDUQI-VIFPVBQESA-N -1 1 314.769 1.203 20 0 DDADMM COc1cc(CCNc2nc(C)cc3c2C(=O)[N-]C3=O)ccn1 ZINC001158342284 864589886 /nfs/dbraw/zinc/58/98/86/864589886.db2.gz ABGJCDHZWVHOKY-UHFFFAOYSA-N -1 1 312.329 1.332 20 0 DDADMM Cc1cc2c(ncnc2Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)s1 ZINC001159185879 865154875 /nfs/dbraw/zinc/15/48/75/865154875.db2.gz ZQMWOOZSHQJQAK-UHFFFAOYSA-N -1 1 315.318 1.772 20 0 DDADMM CCN(CC)c1cncc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159190185 865155915 /nfs/dbraw/zinc/15/59/15/865155915.db2.gz UDJGESPYKMKANX-UHFFFAOYSA-N -1 1 316.325 1.096 20 0 DDADMM COC(=O)c1cnc(Cl)cc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159192476 865158567 /nfs/dbraw/zinc/15/85/67/865158567.db2.gz PVDJAVRJKZAVCY-UHFFFAOYSA-N -1 1 320.696 1.637 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@H]1C=CCC1 ZINC001332757698 866120807 /nfs/dbraw/zinc/12/08/07/866120807.db2.gz SWMDBOUBYZUUJQ-RYUDHWBXSA-N -1 1 321.425 1.487 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2CC[C@H](SC)C2)sn1 ZINC001475041130 866597010 /nfs/dbraw/zinc/59/70/10/866597010.db2.gz XEUWMIGEHVRLBY-YUMQZZPRSA-N -1 1 308.450 1.714 20 0 DDADMM O=C(c1nc(Br)ccc1[O-])N1CCNC2(CC2)C1 ZINC001161553112 866763786 /nfs/dbraw/zinc/76/37/86/866763786.db2.gz XIOZFYZROPQFIH-UHFFFAOYSA-N -1 1 312.167 1.128 20 0 DDADMM C[C@@H](O)C(=O)Nc1ccc(S(=O)(=O)[N-]c2ccccc2)cc1 ZINC001361615325 882013741 /nfs/dbraw/zinc/01/37/41/882013741.db2.gz STZXMKWLIGEQHW-LLVKDONJSA-N -1 1 320.370 1.807 20 0 DDADMM O=C([N-]N1Cc2ccccc2C1=O)c1ccn(-c2ccncc2)n1 ZINC001321193657 867394003 /nfs/dbraw/zinc/39/40/03/867394003.db2.gz HIHKYPBJYZDBTA-UHFFFAOYSA-N -1 1 319.324 1.568 20 0 DDADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)C1CCCC1 ZINC001163127846 868133383 /nfs/dbraw/zinc/13/33/83/868133383.db2.gz BBUQKQWVDLTTMB-UHFFFAOYSA-N -1 1 307.398 1.077 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CC[C@@H](C4CC4)O3)nc2n1 ZINC001361706380 882197557 /nfs/dbraw/zinc/19/75/57/882197557.db2.gz ZBNYGDFPGVUBLO-MNOVXSKESA-N -1 1 317.349 1.012 20 0 DDADMM C[C@@H](CCCNC(=O)c1ncccc1[O-])NC(=O)C1CC=CC1 ZINC001335944981 868640310 /nfs/dbraw/zinc/64/03/10/868640310.db2.gz YZZQUQBWZYFCFW-LBPRGKRZSA-N -1 1 317.389 1.768 20 0 DDADMM C[C@H](c1ccccn1)N1CCC[C@@H](Oc2nncc(=O)[n-]2)C1 ZINC001226168754 882245818 /nfs/dbraw/zinc/24/58/18/882245818.db2.gz AUEPOEXYDCQANQ-VXGBXAGGSA-N -1 1 301.350 1.577 20 0 DDADMM CCN(C)c1nc(NCC[N-]C(=O)C(F)(F)F)ncc1C ZINC001164236873 869043837 /nfs/dbraw/zinc/04/38/37/869043837.db2.gz QQPWOHRVBYYTQF-UHFFFAOYSA-N -1 1 305.304 1.332 20 0 DDADMM O=C([N-]CCNc1ccc(N2CCCC2)nn1)C(F)(F)F ZINC001164237838 869046181 /nfs/dbraw/zinc/04/61/81/869046181.db2.gz JQOCPCLPNNBBAJ-UHFFFAOYSA-N -1 1 303.288 1.167 20 0 DDADMM CNc1cnc(NCC[N-]C(=O)C(F)(F)F)cc1C(=O)OC ZINC001164238318 869046243 /nfs/dbraw/zinc/04/62/43/869046243.db2.gz SMZMUNUBJISIIJ-UHFFFAOYSA-N -1 1 320.271 1.000 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@H](Oc2nc(=O)[n-]cc2C(C)=O)C1 ZINC001226238674 882298127 /nfs/dbraw/zinc/29/81/27/882298127.db2.gz WMWWQAVADWNNRZ-MNOVXSKESA-N -1 1 308.334 1.886 20 0 DDADMM Cc1cc2c(c(N3CC[C@H]4CCCO[C@@H]4C3)n1)C(=O)[N-]C2=O ZINC001165335521 869524587 /nfs/dbraw/zinc/52/45/87/869524587.db2.gz LWSMSWHDQZFREP-ZYHUDNBSSA-N -1 1 301.346 1.279 20 0 DDADMM CN(C[C@@H]1CCOC1)c1nnc(-c2cc(Cl)ncc2[O-])n1C ZINC001338182583 869854890 /nfs/dbraw/zinc/85/48/90/869854890.db2.gz XIGYBZGEUANIFM-VIFPVBQESA-N -1 1 323.784 1.709 20 0 DDADMM Cc1c([C@@H](NCc2cnc(Cl)c(F)c2)C(=O)[O-])cnn1C ZINC001339253445 870423658 /nfs/dbraw/zinc/42/36/58/870423658.db2.gz AUSQYVQCELWQNE-LLVKDONJSA-N -1 1 312.732 1.832 20 0 DDADMM C[C@H](CC(=O)NC/C=C\CNC(=O)c1ncccc1[O-])C1CC1 ZINC001298356508 870497865 /nfs/dbraw/zinc/49/78/65/870497865.db2.gz JMKUDOPIBWNCJE-ZZKXABKFSA-N -1 1 317.389 1.626 20 0 DDADMM CC[C@@H](NC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-])C(N)=O ZINC001298412944 870535622 /nfs/dbraw/zinc/53/56/22/870535622.db2.gz XXZPPLWZBQKGDV-GFCCVEGCSA-N -1 1 316.313 1.458 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(F)cc(F)c2N)n1 ZINC001298980681 870797959 /nfs/dbraw/zinc/79/79/59/870797959.db2.gz OJGHDBGIDNBOAI-UHFFFAOYSA-N -1 1 310.260 1.699 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2ccc(C(=O)[O-])cc2)CCS1 ZINC001204158086 870969665 /nfs/dbraw/zinc/96/96/65/870969665.db2.gz JMZJDRPEZNVFDF-ZDUSSCGKSA-N -1 1 309.387 1.865 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1cccc(Oc2ccccn2)c1 ZINC001306329576 871338125 /nfs/dbraw/zinc/33/81/25/871338125.db2.gz WJNPFBCNSLLTAT-UHFFFAOYSA-N -1 1 312.285 1.071 20 0 DDADMM CCN(CCc1cccs1)c1nnc(-c2nnn[n-]2)n1CC ZINC001340792650 871356773 /nfs/dbraw/zinc/35/67/73/871356773.db2.gz GHQRNQJVQQKLPT-UHFFFAOYSA-N -1 1 318.410 1.609 20 0 DDADMM CCN(CCc1cccs1)c1nnc(-c2nn[n-]n2)n1CC ZINC001340792650 871356795 /nfs/dbraw/zinc/35/67/95/871356795.db2.gz GHQRNQJVQQKLPT-UHFFFAOYSA-N -1 1 318.410 1.609 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cccc(OC[C@H]2CCCO2)c1 ZINC001361856399 882511483 /nfs/dbraw/zinc/51/14/83/882511483.db2.gz PUYDCWSZMVALKB-CYBMUJFWSA-N -1 1 317.349 1.329 20 0 DDADMM Cn1cc(C(N)=O)c(NC(=O)c2ccc3ccccc3c2[O-])n1 ZINC001341683451 871773108 /nfs/dbraw/zinc/77/31/08/871773108.db2.gz IUYXERKTGZMMBC-UHFFFAOYSA-N -1 1 310.313 1.630 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H](c3cc[nH]n3)C2)c(=O)[n-]1 ZINC001361881111 882565097 /nfs/dbraw/zinc/56/50/97/882565097.db2.gz QXXVSWFEJJYNRC-VIFPVBQESA-N -1 1 319.390 1.647 20 0 DDADMM C/C=C(\C)C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001316810256 872086173 /nfs/dbraw/zinc/08/61/73/872086173.db2.gz IAIQAJLLNRYBTD-JVOXIWMLSA-N -1 1 321.425 1.583 20 0 DDADMM CN(C(=O)[C@@H]1CCCC[C@@H]1NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001361903614 882610651 /nfs/dbraw/zinc/61/06/51/882610651.db2.gz ISYMXRSIDRVUHN-ZJUUUORDSA-N -1 1 324.385 1.246 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CCN1CC=CC1 ZINC001319051606 872414429 /nfs/dbraw/zinc/41/44/29/872414429.db2.gz JKPWSSNVHRVSTC-UHFFFAOYSA-N -1 1 318.345 1.124 20 0 DDADMM O=C([O-])C1CCN(c2ccc(CNCCn3cccn3)o2)CC1 ZINC001345148818 873274547 /nfs/dbraw/zinc/27/45/47/873274547.db2.gz KKDYOCJABXMHNA-UHFFFAOYSA-N -1 1 318.377 1.567 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(C(=O)N(C)C)c(C)c2)c1[O-] ZINC001361981804 882757041 /nfs/dbraw/zinc/75/70/41/882757041.db2.gz QPDVFYZOAJJJAF-UHFFFAOYSA-N -1 1 302.334 1.686 20 0 DDADMM COc1ccc(O[C@H](C)CNC(=O)CCCc2nn[n-]n2)cc1 ZINC001361988121 882768605 /nfs/dbraw/zinc/76/86/05/882768605.db2.gz JSGLSBIDNMBPRR-LLVKDONJSA-N -1 1 319.365 1.115 20 0 DDADMM Cc1cccc(CCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)n1 ZINC001347018850 873976636 /nfs/dbraw/zinc/97/66/36/873976636.db2.gz RGUVXAAAHIKQDB-UHFFFAOYSA-N -1 1 304.375 1.455 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2C[C@@H]1C[C@H]2Oc1cnc[n-]c1=O ZINC001227048859 882794512 /nfs/dbraw/zinc/79/45/12/882794512.db2.gz MIPKHZDYFJAQHY-GMTAPVOTSA-N -1 1 307.350 1.959 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2CC[C@@H]1[C@H]2Oc1cnc[n-]c1=O ZINC001227050564 882795880 /nfs/dbraw/zinc/79/58/80/882795880.db2.gz AURKATIKMZIWSA-FOGDFJRCSA-N -1 1 307.350 1.959 20 0 DDADMM O=C(/C=C/SCc1ccco1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347475017 874155446 /nfs/dbraw/zinc/15/54/46/874155446.db2.gz SBNUIMBYLUCRML-LJJSCBMDSA-N -1 1 305.363 1.556 20 0 DDADMM O=C(c1cc2ccccc2cc1O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347974421 874323551 /nfs/dbraw/zinc/32/35/51/874323551.db2.gz JTOKLIBQQUCYIZ-LBPRGKRZSA-N -1 1 309.329 1.688 20 0 DDADMM O=C(Nc1cccc(-n2cnnc2)c1)C(=O)c1ccc([O-])cc1 ZINC001348253826 874452122 /nfs/dbraw/zinc/45/21/22/874452122.db2.gz DMDHEXBONUTNJK-UHFFFAOYSA-N -1 1 308.297 1.794 20 0 DDADMM CCOc1ccc(OCCCC(=O)OCc2nn[n-]n2)cc1 ZINC001348850070 874830230 /nfs/dbraw/zinc/83/02/30/874830230.db2.gz MFRXFWVVXYYHJX-UHFFFAOYSA-N -1 1 306.322 1.501 20 0 DDADMM C[C@@H](Cn1ccc2ccccc21)C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001350222435 875585304 /nfs/dbraw/zinc/58/53/04/875585304.db2.gz FQZKORQXACABOJ-GXTWGEPZSA-N -1 1 324.388 1.807 20 0 DDADMM CCC[C@H](NC(=O)c1cn2c(n1)C[C@@H](C)CC2)c1nn[n-]n1 ZINC001362068202 882956749 /nfs/dbraw/zinc/95/67/49/882956749.db2.gz CRUPPPYLHLIMAE-UWVGGRQHSA-N -1 1 303.370 1.250 20 0 DDADMM COc1ccc(Nc2ccncc2OC)c(S(=O)(=O)[O-])c1 ZINC001211263869 875732828 /nfs/dbraw/zinc/73/28/28/875732828.db2.gz LFBSEHOXAFHFBY-UHFFFAOYSA-N -1 1 310.331 1.511 20 0 DDADMM CCOC(=O)C[C@@H](Oc1[n-]c(=S)ncc1F)C(=O)OCC ZINC001227384093 882991465 /nfs/dbraw/zinc/99/14/65/882991465.db2.gz WJLGDDDSFCJPAG-MRVPVSSYSA-N -1 1 318.326 1.168 20 0 DDADMM O=c1[nH]c([S-])ncc1-c1nc(-c2cccc3ccnn32)no1 ZINC001213868445 876085470 /nfs/dbraw/zinc/08/54/70/876085470.db2.gz IRRUCCXMKIPQKL-UHFFFAOYSA-N -1 1 312.314 1.836 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CC(C)(C)c2ccccc21 ZINC001351336074 876194332 /nfs/dbraw/zinc/19/43/32/876194332.db2.gz OSOKMRIWOGKIIZ-UHFFFAOYSA-N -1 1 312.333 1.588 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)CC1CCC1 ZINC001351405836 876232842 /nfs/dbraw/zinc/23/28/42/876232842.db2.gz CLPWLJZSXGCFSM-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM CO[C@H](C)CCC(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001351407566 876236082 /nfs/dbraw/zinc/23/60/82/876236082.db2.gz UIKXZPGNONLEMM-GFCCVEGCSA-N -1 1 323.393 1.181 20 0 DDADMM C[C@@H](COCc1ccccc1)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227429824 883012958 /nfs/dbraw/zinc/01/29/58/883012958.db2.gz GBYYHXQHSKHJAK-VIFPVBQESA-N -1 1 316.317 1.748 20 0 DDADMM O=S(=O)([N-]CCC1CCOCC1)c1ccc(F)nc1F ZINC001351800987 876438556 /nfs/dbraw/zinc/43/85/56/876438556.db2.gz PFLHZHDSUFLKCB-UHFFFAOYSA-N -1 1 306.334 1.455 20 0 DDADMM C[C@H]1CN(CCCNC(=O)NCCCCC(=O)[O-])C[C@H](C)O1 ZINC001352217286 876650234 /nfs/dbraw/zinc/65/02/34/876650234.db2.gz ZRHYGIIMJTTXQE-STQMWFEESA-N -1 1 315.414 1.040 20 0 DDADMM COCNC(=O)c1cccc(Nc2cc(=O)[n-]c(SC)n2)c1 ZINC001216462617 876949465 /nfs/dbraw/zinc/94/94/65/876949465.db2.gz DBQYFTAAADLFNT-UHFFFAOYSA-N -1 1 320.374 1.981 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2C[C@@H]1C[C@@H]2Oc1nc(=O)[nH]c(=O)[n-]1 ZINC001227566526 883084323 /nfs/dbraw/zinc/08/43/23/883084323.db2.gz HELXMOGBZQWHRG-HLTSFMKQSA-N -1 1 324.337 1.060 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(Cl)cc1 ZINC001379461910 877134112 /nfs/dbraw/zinc/13/41/12/877134112.db2.gz PNOWHQFLNUPRBJ-MRVPVSSYSA-N -1 1 309.757 1.072 20 0 DDADMM COCCCn1cc(C)c(NC([O-])=NO[C@@H]2CCCCO2)n1 ZINC001218204396 877417754 /nfs/dbraw/zinc/41/77/54/877417754.db2.gz FLVSOQPOBOAGCP-GFCCVEGCSA-N -1 1 312.370 1.808 20 0 DDADMM COCCCn1cc(C)c(NC(=O)[N-]O[C@@H]2CCCCO2)n1 ZINC001218204396 877417766 /nfs/dbraw/zinc/41/77/66/877417766.db2.gz FLVSOQPOBOAGCP-GFCCVEGCSA-N -1 1 312.370 1.808 20 0 DDADMM Nc1cncc(S(=O)(=O)[N-]c2ccc(F)c(F)c2F)c1 ZINC000312384947 878258603 /nfs/dbraw/zinc/25/86/03/878258603.db2.gz CEPHXVBKYRNMGK-UHFFFAOYSA-N -1 1 303.265 1.882 20 0 DDADMM CC1(C)CC[C@H](C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001379900965 878269123 /nfs/dbraw/zinc/26/91/23/878269123.db2.gz HOQSSERUMVUQMZ-RYUDHWBXSA-N -1 1 321.425 1.321 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)[C@@H]1CCNc2ccccc21 ZINC001220375411 878959152 /nfs/dbraw/zinc/95/91/52/878959152.db2.gz QIRYNTISYJEORB-GFCCVEGCSA-N -1 1 312.306 1.660 20 0 DDADMM CN(C)C(=O)CN(C)Cc1c(Br)ccc([O-])c1F ZINC001238307021 890162896 /nfs/dbraw/zinc/16/28/96/890162896.db2.gz NKTUNLYDIMVEIL-UHFFFAOYSA-N -1 1 319.174 1.814 20 0 DDADMM NC(=O)[C@H]1CCC[C@@]12CCN(C(=O)c1cc([O-])cc(F)c1F)C2 ZINC001276826780 880187122 /nfs/dbraw/zinc/18/71/22/880187122.db2.gz DRHQFPYYXPFKCT-BZNIZROVSA-N -1 1 324.327 1.788 20 0 DDADMM O=C(C[C@@H]1C=CCC1)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358584224 880557540 /nfs/dbraw/zinc/55/75/40/880557540.db2.gz JNAJOQCGTGUELO-OLZOCXBDSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@]2(CCC[C@@H]2OCc2nn[n-]n2)C1 ZINC001223029961 880790337 /nfs/dbraw/zinc/79/03/37/880790337.db2.gz RGBCZOPFVWGDIF-NHYWBVRUSA-N -1 1 323.397 1.896 20 0 DDADMM O=C(c1coc2c1C(=O)CCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362206278 883296086 /nfs/dbraw/zinc/29/60/86/883296086.db2.gz HGJNAPBSVAGKDC-SECBINFHSA-N -1 1 315.333 1.332 20 0 DDADMM O=C(Cc1c(Cl)cccc1Br)Nc1nnn[n-]1 ZINC001362255177 883418206 /nfs/dbraw/zinc/41/82/06/883418206.db2.gz ITOKTEXWQKDIHZ-UHFFFAOYSA-N -1 1 316.546 1.797 20 0 DDADMM O=C(Cc1c(Cl)cccc1Br)Nc1nn[n-]n1 ZINC001362255177 883418213 /nfs/dbraw/zinc/41/82/13/883418213.db2.gz ITOKTEXWQKDIHZ-UHFFFAOYSA-N -1 1 316.546 1.797 20 0 DDADMM C[C@@H]1CN=C(N2CCN(C(=O)c3ccc(F)c([O-])c3)CC2)S1 ZINC001362291666 883495172 /nfs/dbraw/zinc/49/51/72/883495172.db2.gz LWMMGLFXGXYRDK-SNVBAGLBSA-N -1 1 323.393 1.780 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)NC(=O)c1cnc(SC)[n-]c1=O ZINC001362352257 883627398 /nfs/dbraw/zinc/62/73/98/883627398.db2.gz JYZGXPILRPKREH-SFYZADRCSA-N -1 1 313.379 1.222 20 0 DDADMM COC(=O)c1ccccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362358961 883641381 /nfs/dbraw/zinc/64/13/81/883641381.db2.gz UCILXIUBLFSXDP-JTQLQIEISA-N -1 1 315.333 1.006 20 0 DDADMM NC(=O)C[C@@H](NC(=O)c1cnncc1[O-])c1ccccc1Cl ZINC001362393895 883707992 /nfs/dbraw/zinc/70/79/92/883707992.db2.gz MOJOWQLXWHABHP-LLVKDONJSA-N -1 1 320.736 1.182 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(NC(C)C)nc1)c1nn[n-]n1 ZINC001362421380 883772869 /nfs/dbraw/zinc/77/28/69/883772869.db2.gz DQOIOIODLMLOBG-UHFFFAOYSA-N -1 1 317.397 1.860 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)[C@H]1COC(=O)N1 ZINC001362466518 883876755 /nfs/dbraw/zinc/87/67/55/883876755.db2.gz ZYFBHISOZNUDJZ-ZCFIWIBFSA-N -1 1 301.096 1.202 20 0 DDADMM CCC[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccccn1 ZINC001362496271 883939458 /nfs/dbraw/zinc/93/94/58/883939458.db2.gz GREUOOAQOXKLIZ-VXGBXAGGSA-N -1 1 302.382 1.741 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(O)cc2C)n1 ZINC001362533359 884024475 /nfs/dbraw/zinc/02/44/75/884024475.db2.gz JYFKWGFJTQHIKD-UHFFFAOYSA-N -1 1 303.318 1.782 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1cc(-c2cccc(Cl)c2)[nH]n1 ZINC001362602643 884195570 /nfs/dbraw/zinc/19/55/70/884195570.db2.gz BAAOCUOQABEHLE-UHFFFAOYSA-N -1 1 319.752 1.790 20 0 DDADMM Cn1nc(C(=O)Nc2cccc([O-])c2Br)ccc1=O ZINC001362615176 884227694 /nfs/dbraw/zinc/22/76/94/884227694.db2.gz ZDRYADMJGSEQBC-UHFFFAOYSA-N -1 1 324.134 1.501 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@](O)(C(F)(F)F)C2)c(=O)[n-]1 ZINC001362642001 884301887 /nfs/dbraw/zinc/30/18/87/884301887.db2.gz DKJQRGCDBLDWEN-SNVBAGLBSA-N -1 1 323.296 1.043 20 0 DDADMM COC(=O)c1c[n-]c(OC2CCN(C(=O)OC(C)C)CC2)n1 ZINC001230280409 884406277 /nfs/dbraw/zinc/40/62/77/884406277.db2.gz RYXOZXGPSVBNJT-UHFFFAOYSA-N -1 1 311.338 1.585 20 0 DDADMM C[C@H](NC(=O)CCC1CC1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382745884 884614368 /nfs/dbraw/zinc/61/43/68/884614368.db2.gz JOONZKMRLFDAQI-QWRGUYRKSA-N -1 1 305.378 1.600 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1nc(C)ncc1Br ZINC001362797050 884665539 /nfs/dbraw/zinc/66/55/39/884665539.db2.gz RTNSMVWIUTWHDI-UHFFFAOYSA-N -1 1 312.127 1.537 20 0 DDADMM CCCC(=O)N[C@]12CCC[C@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001382786821 884689572 /nfs/dbraw/zinc/68/95/72/884689572.db2.gz NOCZDQRWGBFJSC-DYVFJYSZSA-N -1 1 317.389 1.841 20 0 DDADMM CNC(=O)[C@@H]1Cc2ccccc2CN1C(=O)c1ccc([O-])cn1 ZINC001362820190 884719180 /nfs/dbraw/zinc/71/91/80/884719180.db2.gz MNZOSSSAAWWOSH-HNNXBMFYSA-N -1 1 311.341 1.100 20 0 DDADMM CSc1nc(CNC(=O)c2ncc(Cl)s2)cc(=O)[n-]1 ZINC001362961960 885094842 /nfs/dbraw/zinc/09/48/42/885094842.db2.gz QXPMZNBMFKFPAX-UHFFFAOYSA-N -1 1 316.795 1.944 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H](NC(=O)c2ccc([O-])cn2)CO1 ZINC001363043868 885314397 /nfs/dbraw/zinc/31/43/97/885314397.db2.gz WMUYBTJINDYDDG-SECBINFHSA-N -1 1 309.322 1.068 20 0 DDADMM O=C(NCC[S@](=O)CC(F)(F)F)c1cccc([O-])c1F ZINC001363055281 885347644 /nfs/dbraw/zinc/34/76/44/885347644.db2.gz ORYDEYIQECPGHA-FQEVSTJZSA-N -1 1 313.272 1.572 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1cnccn1 ZINC001363068548 885383104 /nfs/dbraw/zinc/38/31/04/885383104.db2.gz MQSPAFIAXMAFBF-UHFFFAOYSA-N -1 1 301.350 1.508 20 0 DDADMM CN1Cc2ccccc2[C@@H](NC(=O)c2cc(F)ccc2[O-])C1=O ZINC001363089490 885437016 /nfs/dbraw/zinc/43/70/16/885437016.db2.gz QURSGWVOGJMTBY-OAHLLOKOSA-N -1 1 314.316 1.974 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1nc(C)cc(C(F)(F)F)n1 ZINC001363141932 885566954 /nfs/dbraw/zinc/56/69/54/885566954.db2.gz WFWAALLHOIFQOM-UHFFFAOYSA-N -1 1 301.228 1.793 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2cccc([O-])c2F)CS1(=O)=O ZINC001363220779 885743868 /nfs/dbraw/zinc/74/38/68/885743868.db2.gz XQYBBMSKISIJNX-DTWKUNHWSA-N -1 1 301.339 1.227 20 0 DDADMM COC[C@H]1c2nnn(C)c2CCN1Cc1ccc(Cl)c([O-])c1 ZINC001231731793 885838497 /nfs/dbraw/zinc/83/84/97/885838497.db2.gz UXRAQOIIBDOHBJ-ZDUSSCGKSA-N -1 1 322.796 1.920 20 0 DDADMM CCC(=O)NC1CCN(Cc2ccccc2OCC(=O)[O-])CC1 ZINC001231792650 885876196 /nfs/dbraw/zinc/87/61/96/885876196.db2.gz IXFFQHVIQCPQMR-UHFFFAOYSA-N -1 1 320.389 1.641 20 0 DDADMM CN(C)C(=O)[C@@H]1CCCN1Cc1ccccc1OCC(=O)[O-] ZINC001231796383 885880886 /nfs/dbraw/zinc/88/08/86/885880886.db2.gz IZWJBWKGECBGHS-ZDUSSCGKSA-N -1 1 306.362 1.203 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cn2c(n1)CCCC2 ZINC001363276799 885889470 /nfs/dbraw/zinc/88/94/70/885889470.db2.gz PLMJNGLNAZHJHZ-UHFFFAOYSA-N -1 1 303.370 1.031 20 0 DDADMM CCC[C@@H](OC)C(=O)N1CCC[C@H]1c1nn[n-]c1C(=O)OCC ZINC001363349683 886100656 /nfs/dbraw/zinc/10/06/56/886100656.db2.gz JDPICCQFWKDGGL-WDEREUQCSA-N -1 1 324.381 1.460 20 0 DDADMM CCC[C@@H](OC)C(=O)N1CCC[C@H]1c1[n-]nnc1C(=O)OCC ZINC001363349683 886100671 /nfs/dbraw/zinc/10/06/71/886100671.db2.gz JDPICCQFWKDGGL-WDEREUQCSA-N -1 1 324.381 1.460 20 0 DDADMM CCC[C@@H](OC)C(=O)N1CCC[C@H]1c1n[n-]nc1C(=O)OCC ZINC001363349683 886100680 /nfs/dbraw/zinc/10/06/80/886100680.db2.gz JDPICCQFWKDGGL-WDEREUQCSA-N -1 1 324.381 1.460 20 0 DDADMM COc1c(C)[nH]cc(CN(C)C(=O)c2ccc(F)c([O-])c2)c1=O ZINC001363353184 886108146 /nfs/dbraw/zinc/10/81/46/886108146.db2.gz YXSUQZIELAXWEX-UHFFFAOYSA-N -1 1 320.320 1.809 20 0 DDADMM O=C(C[C@H]1CC[C@@H](C(F)(F)F)O1)NC1(c2nn[n-]n2)CCC1 ZINC001363375840 886169829 /nfs/dbraw/zinc/16/98/29/886169829.db2.gz GQXKACOSVNXGTA-SFYZADRCSA-N -1 1 319.287 1.195 20 0 DDADMM CC(C)(C)Oc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)nc1 ZINC001363376565 886173281 /nfs/dbraw/zinc/17/32/81/886173281.db2.gz XFKKVWPUFOWCFI-UHFFFAOYSA-N -1 1 316.365 1.581 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(OC(C)C)cc1)c1nn[n-]n1 ZINC001363409389 886257294 /nfs/dbraw/zinc/25/72/94/886257294.db2.gz CSDOVMTZCVVKJY-GFCCVEGCSA-N -1 1 305.338 1.104 20 0 DDADMM C[C@H](NC(=O)c1cncc([O-])c1)c1noc(C(F)(F)F)n1 ZINC001363449571 886371462 /nfs/dbraw/zinc/37/14/62/886371462.db2.gz MCLUFIZSUSPICR-YFKPBYRVSA-N -1 1 302.212 1.680 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c(O)c1 ZINC001363513808 886526931 /nfs/dbraw/zinc/52/69/31/886526931.db2.gz SEYQXGKCGZELKK-GFCCVEGCSA-N -1 1 317.349 1.242 20 0 DDADMM COC(=O)c1ccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)s1 ZINC001363541980 886590779 /nfs/dbraw/zinc/59/07/79/886590779.db2.gz FIWJQASCTVQOEK-UHFFFAOYSA-N -1 1 323.378 1.346 20 0 DDADMM C[C@H](Oc1cccc(Cl)c1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363546939 886603290 /nfs/dbraw/zinc/60/32/90/886603290.db2.gz FMEANGRBNIDMSI-VIFPVBQESA-N -1 1 321.768 1.816 20 0 DDADMM CCOC(=O)CN1CCN(Cc2cc(Cl)ncc2[O-])CC1 ZINC001233032730 886739277 /nfs/dbraw/zinc/73/92/77/886739277.db2.gz QTIFBWWNUASLKQ-UHFFFAOYSA-N -1 1 313.785 1.121 20 0 DDADMM O=C(N1CCN(Cc2cc(Cl)ncc2[O-])CC1)C(F)(F)F ZINC001233032966 886740217 /nfs/dbraw/zinc/74/02/17/886740217.db2.gz WUHFCKKZSGQFJS-UHFFFAOYSA-N -1 1 323.702 1.647 20 0 DDADMM CC(C)C(=O)NCCCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001288824397 913083329 /nfs/dbraw/zinc/08/33/29/913083329.db2.gz JPQNLSRIFJEDTD-LBPRGKRZSA-N -1 1 307.394 1.848 20 0 DDADMM C[C@@H]1CO[C@@H](C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)C1 ZINC001363643084 886861263 /nfs/dbraw/zinc/86/12/63/886861263.db2.gz PXEWRYYLZUVSGW-XWLWVQCSSA-N -1 1 322.327 1.469 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)[C@H]2CCC[C@@H](C(N)=O)C2)n[nH]1 ZINC001363669963 886935142 /nfs/dbraw/zinc/93/51/42/886935142.db2.gz AQWXMFFFBQHIKI-ZJUUUORDSA-N -1 1 322.365 1.205 20 0 DDADMM Cn1nccc1[C@H]1COCCN1C(=O)c1ccc(F)c([O-])c1 ZINC001363717716 887057487 /nfs/dbraw/zinc/05/74/87/887057487.db2.gz OFQPLELPWINDPE-CYBMUJFWSA-N -1 1 305.309 1.479 20 0 DDADMM CCCCn1cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c(C)n1 ZINC001363806858 887271799 /nfs/dbraw/zinc/27/17/99/887271799.db2.gz SIEQHKJFGWBZFC-UHFFFAOYSA-N -1 1 319.413 1.803 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H]1CCc2ccccc2C1 ZINC001233757389 887280814 /nfs/dbraw/zinc/28/08/14/887280814.db2.gz CLKCJGCOUDDEFP-GFCCVEGCSA-N -1 1 300.314 1.905 20 0 DDADMM CC/C=C\CCOC(=O)[C@H](C)Oc1c(=O)[n-]cnc1C(=O)OC ZINC001233757571 887281629 /nfs/dbraw/zinc/28/16/29/887281629.db2.gz FAESTFRFCFXLOZ-OMMCCPJFSA-N -1 1 324.333 1.636 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc([S@](C)=O)cc1 ZINC001363813026 887291139 /nfs/dbraw/zinc/29/11/39/887291139.db2.gz YYJKZQGNTNLMDF-QFIPXVFZSA-N -1 1 321.406 1.236 20 0 DDADMM CC(C)(C)/C=C/C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001277824282 887538035 /nfs/dbraw/zinc/53/80/35/887538035.db2.gz WDXGIFXCLSHWQO-XUIVZRPNSA-N -1 1 307.398 1.193 20 0 DDADMM CCC[C@@](C)([N-]S(=O)(=O)Cc1nocc1C)C(=O)OC ZINC001363924122 887550516 /nfs/dbraw/zinc/55/05/16/887550516.db2.gz ANMQJYWGVNCEGL-GFCCVEGCSA-N -1 1 304.368 1.134 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)C[C@H](C)C2CC2)CCSC1 ZINC001363963627 887624392 /nfs/dbraw/zinc/62/43/92/887624392.db2.gz BPAWYXHHRJGSAX-JOYOIKCWSA-N -1 1 307.437 1.001 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@@H](c2ncon2)C1 ZINC001363975579 887650249 /nfs/dbraw/zinc/65/02/49/887650249.db2.gz LFDAWEKPOKQMJY-SECBINFHSA-N -1 1 301.306 1.072 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC[C@H]2CCSC2)sn1 ZINC001364025682 887743987 /nfs/dbraw/zinc/74/39/87/887743987.db2.gz HSRFRGFBSCZJME-QMMMGPOBSA-N -1 1 308.450 1.573 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)[C@@H](C)C(C)(C)C)n[n-]1 ZINC001364062492 887831748 /nfs/dbraw/zinc/83/17/48/887831748.db2.gz MBFBNDZURHDFGP-VHSXEESVSA-N -1 1 310.398 1.916 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)[C@@H](C)C(C)(C)C)n1 ZINC001364062492 887831752 /nfs/dbraw/zinc/83/17/52/887831752.db2.gz MBFBNDZURHDFGP-VHSXEESVSA-N -1 1 310.398 1.916 20 0 DDADMM COCC[C@@H](CO)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001364152676 888031504 /nfs/dbraw/zinc/03/15/04/888031504.db2.gz HWPOMMVBAIHOII-LBPRGKRZSA-N -1 1 320.349 1.097 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@@H]1CCn2ccnc21 ZINC001234554657 888087131 /nfs/dbraw/zinc/08/71/31/888087131.db2.gz OVXWBWAFLKUPSB-SECBINFHSA-N -1 1 318.333 1.813 20 0 DDADMM COC(=O)c1nc(-c2ccccc2)[n-]c(=O)c1O[C@H](C)C(C)=O ZINC001234556541 888088903 /nfs/dbraw/zinc/08/89/03/888088903.db2.gz FTYUWHCLIMLIFO-SNVBAGLBSA-N -1 1 316.313 1.992 20 0 DDADMM Cc1noc(C2CC2)c1NC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001364331341 888398853 /nfs/dbraw/zinc/39/88/53/888398853.db2.gz AWLVIYDXDDQQAS-UHFFFAOYSA-N -1 1 317.353 1.785 20 0 DDADMM O=C(c1ccc([O-])cn1)N1Cc2cccnc2N2CCC[C@H]2C1 ZINC001364504188 888788906 /nfs/dbraw/zinc/78/89/06/888788906.db2.gz GZJSIAGEHLKGCG-ZDUSSCGKSA-N -1 1 310.357 1.807 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CCCF)C1 ZINC001385389245 889017316 /nfs/dbraw/zinc/01/73/16/889017316.db2.gz MWAZLHMJYISYMA-NSHDSACASA-N -1 1 309.341 1.210 20 0 DDADMM COCOc1ccc(-c2ccc3nc(C(=O)OC)[n-]c3n2)cn1 ZINC001235955616 889028693 /nfs/dbraw/zinc/02/86/93/889028693.db2.gz ARFINDFHGRYYBR-UHFFFAOYSA-N -1 1 314.301 1.789 20 0 DDADMM CO[C@H](C(=O)NC1(c2nn[n-]n2)CCC1)c1ccc(F)cc1 ZINC001364662379 889147022 /nfs/dbraw/zinc/14/70/22/889147022.db2.gz HYJVFGVAWASRDE-NSHDSACASA-N -1 1 305.313 1.222 20 0 DDADMM CN(C(=O)CN1CCC(c2n[n-]c(=O)o2)CC1)c1nccs1 ZINC001364693148 889211519 /nfs/dbraw/zinc/21/15/19/889211519.db2.gz YNGHYQUYPGCRPI-UHFFFAOYSA-N -1 1 323.378 1.074 20 0 DDADMM O=S(=O)([N-]Cc1cccs1)c1nc[nH]c1Br ZINC001364735707 889306764 /nfs/dbraw/zinc/30/67/64/889306764.db2.gz MKVDHZQSANDXKL-UHFFFAOYSA-N -1 1 322.209 1.712 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@H]1CCCS1 ZINC001364767745 889371772 /nfs/dbraw/zinc/37/17/72/889371772.db2.gz HVJMVHGZDLQKMI-NXEZZACHSA-N -1 1 324.406 1.541 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@H]1CCCS1 ZINC001364767745 889371779 /nfs/dbraw/zinc/37/17/79/889371779.db2.gz HVJMVHGZDLQKMI-NXEZZACHSA-N -1 1 324.406 1.541 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@H]1CCCS1 ZINC001364767745 889371790 /nfs/dbraw/zinc/37/17/90/889371790.db2.gz HVJMVHGZDLQKMI-NXEZZACHSA-N -1 1 324.406 1.541 20 0 DDADMM COC[C@H](NC(=O)C[C@H](C)c1ccccc1F)c1nn[n-]n1 ZINC001364814196 889469155 /nfs/dbraw/zinc/46/91/55/889469155.db2.gz MPEIOOCLUAKWEG-CABZTGNLSA-N -1 1 307.329 1.336 20 0 DDADMM C[C@]1(C2CCN(C(=O)c3cccc([O-])c3F)CC2)COC(=O)N1 ZINC001364865541 889572972 /nfs/dbraw/zinc/57/29/72/889572972.db2.gz XSFXCZZUPVDYTF-MRXNPFEDSA-N -1 1 322.336 1.882 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H]3CCCC[C@@H]32)[n-]n1 ZINC001364870110 889580052 /nfs/dbraw/zinc/58/00/52/889580052.db2.gz QKBNRPIOWHWJGL-ONGXEEELSA-N -1 1 313.379 1.150 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H]3CCCC[C@@H]32)n[n-]1 ZINC001364870110 889580065 /nfs/dbraw/zinc/58/00/65/889580065.db2.gz QKBNRPIOWHWJGL-ONGXEEELSA-N -1 1 313.379 1.150 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H]3CCCC[C@@]32C)[n-]n1 ZINC001364914408 889658661 /nfs/dbraw/zinc/65/86/61/889658661.db2.gz XYTJPMLOOYGTSU-ZANVPECISA-N -1 1 313.379 1.150 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H]3CCCC[C@@]32C)n[n-]1 ZINC001364914408 889658666 /nfs/dbraw/zinc/65/86/66/889658666.db2.gz XYTJPMLOOYGTSU-ZANVPECISA-N -1 1 313.379 1.150 20 0 DDADMM Cc1nn2cccnc2c1S(=O)(=O)[N-][C@@H]1CCCC[C@@H]1F ZINC001364918001 889665040 /nfs/dbraw/zinc/66/50/40/889665040.db2.gz AWHFFTYSVXERDO-WDEREUQCSA-N -1 1 312.370 1.597 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](CC(=O)Nc2c[n-][nH]c2=O)C1 ZINC001365206396 890347470 /nfs/dbraw/zinc/34/74/70/890347470.db2.gz FLTQPXWXGVLSGA-SECBINFHSA-N -1 1 310.354 1.701 20 0 DDADMM COc1ccc(CN2CC[C@H]2C(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001365211941 890360726 /nfs/dbraw/zinc/36/07/26/890360726.db2.gz QYNWYOLPCSMIPV-ZDUSSCGKSA-N -1 1 302.334 1.337 20 0 DDADMM C[C@H](CNC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)NC(=O)c1ncccc1[O-] ZINC001386213984 890572637 /nfs/dbraw/zinc/57/26/37/890572637.db2.gz NPZHOPLZQCOESU-NMKXLXIOSA-N -1 1 317.389 1.458 20 0 DDADMM Cc1cc(NC(=O)N2CCC(c3nn[n-]n3)CC2)c(C)cn1 ZINC001365490168 890902970 /nfs/dbraw/zinc/90/29/70/890902970.db2.gz LZMXDBPDXMVWJE-UHFFFAOYSA-N -1 1 301.354 1.045 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](OC)CCN1Cc1ccc([O-])c(F)c1F ZINC001365553075 891043066 /nfs/dbraw/zinc/04/30/66/891043066.db2.gz MDSFUZDKKNSQOY-QWRGUYRKSA-N -1 1 315.316 1.823 20 0 DDADMM C[C@@H](CNC(=O)C(C1CC1)C1CC1)NC(=O)c1ncccc1[O-] ZINC001386510943 891118714 /nfs/dbraw/zinc/11/87/14/891118714.db2.gz PUVUVSKOBFESJC-JTQLQIEISA-N -1 1 317.389 1.458 20 0 DDADMM CCOC(=O)c1cc2ccc(-c3cnn(CC(=O)[O-])c3)[nH]c-2n1 ZINC001242181721 891362823 /nfs/dbraw/zinc/36/28/23/891362823.db2.gz IYVHCFSOIINVHQ-UHFFFAOYSA-N -1 1 314.301 1.688 20 0 DDADMM O=C(c1c[nH]nc1-c1cccnc1)N1CCC(c2nn[n-]n2)CC1 ZINC000738248480 913550059 /nfs/dbraw/zinc/55/00/59/913550059.db2.gz ZGNWCVFKEOWDIO-UHFFFAOYSA-N -1 1 324.348 1.005 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)Cc2nocc2C)s1 ZINC001365711532 891379473 /nfs/dbraw/zinc/37/94/73/891379473.db2.gz UWUILFLDENQDIW-UHFFFAOYSA-N -1 1 302.381 1.017 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C/C=C\c2cccnc2)c1Cl ZINC001365720116 891392073 /nfs/dbraw/zinc/39/20/73/891392073.db2.gz BHYUPPWSCHHBNT-HYXAFXHYSA-N -1 1 312.782 1.460 20 0 DDADMM COC(=O)c1nc2ccc(-c3cc(OC)ncc3OC)nc2[n-]1 ZINC001242427060 891424336 /nfs/dbraw/zinc/42/43/36/891424336.db2.gz APDIBRQBTYTQAR-UHFFFAOYSA-N -1 1 314.301 1.824 20 0 DDADMM Cc1cccnc1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365777605 891529211 /nfs/dbraw/zinc/52/92/11/891529211.db2.gz SFLKZCPSOPAJBN-UHFFFAOYSA-N -1 1 315.333 1.280 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCC(C)(C)C1 ZINC001386745781 891617037 /nfs/dbraw/zinc/61/70/37/891617037.db2.gz WJYBNHQRWCNOSY-VXGBXAGGSA-N -1 1 319.405 1.848 20 0 DDADMM COc1ncc(-c2ncc(C3CC3)cn2)cc1[N-]S(C)(=O)=O ZINC001244789790 891922358 /nfs/dbraw/zinc/92/23/58/891922358.db2.gz GCIQDYGVVJPHMS-UHFFFAOYSA-N -1 1 320.374 1.796 20 0 DDADMM COc1ncc(-c2nc(C)cnc2C)cc1[N-]S(C)(=O)=O ZINC001244789879 891924874 /nfs/dbraw/zinc/92/48/74/891924874.db2.gz KWZRFBXSAYYFGR-UHFFFAOYSA-N -1 1 308.363 1.536 20 0 DDADMM COc1ncc(-c2ccn3ncnc3c2)cc1[N-]S(C)(=O)=O ZINC001244794183 891928983 /nfs/dbraw/zinc/92/89/83/891928983.db2.gz LWRIJLCLNBOBCS-UHFFFAOYSA-N -1 1 319.346 1.171 20 0 DDADMM COc1cc(C)c(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)cn1 ZINC001244791733 891929338 /nfs/dbraw/zinc/92/93/38/891929338.db2.gz KSRCNAISDQUUQQ-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM CCn1cccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c1=O ZINC001244795148 891931634 /nfs/dbraw/zinc/93/16/34/891931634.db2.gz XOGNTTZEJODDDG-UHFFFAOYSA-N -1 1 323.374 1.310 20 0 DDADMM Cc1c(Br)cccc1-c1noc(Cc2nnn[n-]2)n1 ZINC001247139211 893121919 /nfs/dbraw/zinc/12/19/19/893121919.db2.gz CZLQXHARCXQHFR-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM Cc1c(Br)cccc1-c1noc(Cc2nn[n-]n2)n1 ZINC001247139211 893121927 /nfs/dbraw/zinc/12/19/27/893121927.db2.gz CZLQXHARCXQHFR-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM C[C@H](CNC(=O)C(C)(C)CC(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001366358183 893303766 /nfs/dbraw/zinc/30/37/66/893303766.db2.gz SUQPDNXNOFNFQS-SSDOTTSWSA-N -1 1 323.319 1.083 20 0 DDADMM CCCC[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001366389375 893411430 /nfs/dbraw/zinc/41/14/30/893411430.db2.gz MYQQQSXAWAJMHC-QWHCGFSZSA-N -1 1 323.441 1.663 20 0 DDADMM CC(=O)Nc1ccc(Nc2cc(S(C)(=O)=O)ccc2[O-])cn1 ZINC001249749362 894116368 /nfs/dbraw/zinc/11/63/68/894116368.db2.gz KHBLANUFSDMMFM-UHFFFAOYSA-N -1 1 321.358 1.893 20 0 DDADMM CCOC(=O)c1cc(Cl)cnc1[N-]S(=O)(=O)N(C)C ZINC001251052189 894609655 /nfs/dbraw/zinc/60/96/55/894609655.db2.gz YRAKVKHRYBCBFY-UHFFFAOYSA-N -1 1 307.759 1.130 20 0 DDADMM O=C1Cc2c(cccc2[N-]S(=O)(=O)c2ccccc2)CN1 ZINC001251151505 894647246 /nfs/dbraw/zinc/64/72/46/894647246.db2.gz BPXULJIPJRJEDF-UHFFFAOYSA-N -1 1 302.355 1.660 20 0 DDADMM CC[C@@H](CNC(=O)c1ccc(C)cc1C)NCc1n[nH]c(=O)[n-]1 ZINC001367134737 895967333 /nfs/dbraw/zinc/96/73/33/895967333.db2.gz DNVCTABEQDLURH-LBPRGKRZSA-N -1 1 317.393 1.425 20 0 DDADMM CCCC(C)(C)C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001389481781 897325403 /nfs/dbraw/zinc/32/54/03/897325403.db2.gz JQRUCOWHQWMEEN-NSHDSACASA-N -1 1 307.394 1.848 20 0 DDADMM CC(=O)NCCCCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258950386 898378230 /nfs/dbraw/zinc/37/82/30/898378230.db2.gz YPWMJSWLTUCNBB-UHFFFAOYSA-N -1 1 320.361 1.550 20 0 DDADMM O=c1oc2cc([O-])ccc2cc1NS(=O)(=O)c1ccccn1 ZINC001259282591 898578241 /nfs/dbraw/zinc/57/82/41/898578241.db2.gz CPDVBYAOYHJXKG-UHFFFAOYSA-N -1 1 318.310 1.694 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)c(=O)[nH]1 ZINC001259496483 898699777 /nfs/dbraw/zinc/69/97/77/898699777.db2.gz LIJUIAZCQZSBOC-UHFFFAOYSA-N -1 1 319.264 1.709 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC[C@H]1F)c1cc(F)c(F)c(F)c1 ZINC001259503037 898703821 /nfs/dbraw/zinc/70/38/21/898703821.db2.gz CRJNOFZOJUOYQV-ZJUUUORDSA-N -1 1 313.272 1.509 20 0 DDADMM O=c1cc([N-]S(=O)(=O)CCC(F)(F)F)nc(C2CC2)[nH]1 ZINC001259866193 898877611 /nfs/dbraw/zinc/87/76/11/898877611.db2.gz RFRICNANLIAIJQ-UHFFFAOYSA-N -1 1 311.285 1.754 20 0 DDADMM Cn1ccc(S(=O)(=O)Nc2cc3ccc([O-])cc3oc2=O)n1 ZINC001259937943 898956017 /nfs/dbraw/zinc/95/60/17/898956017.db2.gz ABGQFMQLWMEIMC-UHFFFAOYSA-N -1 1 321.314 1.033 20 0 DDADMM COCC1(C[N-]S(=O)(=O)C(F)F)CCC(F)(F)CC1 ZINC001259964268 898989107 /nfs/dbraw/zinc/98/91/07/898989107.db2.gz JXFLDYIVEXBHKU-UHFFFAOYSA-N -1 1 307.309 1.971 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(C)c(C(=O)OC)cc1C ZINC001259972449 898997600 /nfs/dbraw/zinc/99/76/00/898997600.db2.gz FAEGMHMLFZDOSH-UHFFFAOYSA-N -1 1 301.364 1.478 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1cc(F)nc(F)c1F ZINC001260106763 899056768 /nfs/dbraw/zinc/05/67/68/899056768.db2.gz LWAMWNMWCXMEGR-UHFFFAOYSA-N -1 1 320.296 1.650 20 0 DDADMM O=S(=O)([N-]C1(CO)COC1)c1cc(Cl)sc1Cl ZINC001260473286 899149391 /nfs/dbraw/zinc/14/93/91/899149391.db2.gz IAYIGLADSHUMHO-UHFFFAOYSA-N -1 1 318.203 1.095 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2cc(O)c(F)c(F)c2)cn1C ZINC001260547972 899164223 /nfs/dbraw/zinc/16/42/23/899164223.db2.gz HXYWKLIXBIRPJA-UHFFFAOYSA-N -1 1 303.290 1.513 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C2(C(F)(F)F)COC2)s1 ZINC001260688663 899220077 /nfs/dbraw/zinc/22/00/77/899220077.db2.gz IPVNPWGDPWMGFK-UHFFFAOYSA-N -1 1 301.311 1.666 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-]CC(F)(F)C(F)(F)F ZINC001261030744 899331811 /nfs/dbraw/zinc/33/18/11/899331811.db2.gz HYLMLEUTEODPIF-UHFFFAOYSA-N -1 1 307.244 1.502 20 0 DDADMM O=S(=O)([N-]C1(CF)CC1)c1ccc(Cl)c2nonc21 ZINC001261065691 899339960 /nfs/dbraw/zinc/33/99/60/899339960.db2.gz BMXAFVHLFVNKDF-UHFFFAOYSA-N -1 1 305.718 1.657 20 0 DDADMM O=C(NCCCNC(=O)[C@@]12C[C@@H]1CCCC2)c1ncccc1[O-] ZINC001293261677 914375514 /nfs/dbraw/zinc/37/55/14/914375514.db2.gz ATPTUUFELRKASN-SJCJKPOMSA-N -1 1 317.389 1.604 20 0 DDADMM O=C(NCC1(NC(=O)C(F)F)CCCC1)c1ncccc1[O-] ZINC001390690106 899950981 /nfs/dbraw/zinc/95/09/81/899950981.db2.gz MFQRCXILDTWFRT-UHFFFAOYSA-N -1 1 313.304 1.211 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC001262158983 899992399 /nfs/dbraw/zinc/99/23/99/899992399.db2.gz FBUBPZBDDORMTK-CYBMUJFWSA-N -1 1 312.410 1.057 20 0 DDADMM Cc1ccc2nc(C(=O)N3CC[C@H](c4nn[n-]n4)C3)ccc2c1 ZINC001263886383 900799940 /nfs/dbraw/zinc/79/99/40/900799940.db2.gz IGNICOKMSUYBQW-LBPRGKRZSA-N -1 1 308.345 1.686 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001265180711 901673309 /nfs/dbraw/zinc/67/33/09/901673309.db2.gz ZCQOJJOXKVFPAA-QWHCGFSZSA-N -1 1 321.425 1.273 20 0 DDADMM CC(=O)NCC[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C(C)C ZINC001370355979 902994928 /nfs/dbraw/zinc/99/49/28/902994928.db2.gz TVJSQKKJPNRLKG-CYBMUJFWSA-N -1 1 320.393 1.340 20 0 DDADMM CC/C=C(/C)C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001281341637 904698182 /nfs/dbraw/zinc/69/81/82/904698182.db2.gz QEUDHROCKJZPTO-WDZFZDKYSA-N -1 1 317.389 1.863 20 0 DDADMM CC(C)(C)CC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001393363762 906806883 /nfs/dbraw/zinc/80/68/83/906806883.db2.gz BPVXCEUTAUTSRI-TUAOUCFPSA-N -1 1 321.425 1.416 20 0 DDADMM CC1(C(=O)NC[C@@H](NC(=O)c2ncccc2[O-])C2CC2)CCC1 ZINC001372417109 907251942 /nfs/dbraw/zinc/25/19/42/907251942.db2.gz WBELIRMKKDDHEQ-GFCCVEGCSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@H]1CCC[C@]1(C)C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001393554098 907315155 /nfs/dbraw/zinc/31/51/55/907315155.db2.gz HBUCIPWAUDWLJU-NHYWBVRUSA-N -1 1 321.425 1.465 20 0 DDADMM Cc1occc1C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001283525820 907538057 /nfs/dbraw/zinc/53/80/57/907538057.db2.gz LZWGQOADAZMSQJ-UHFFFAOYSA-N -1 1 317.345 1.581 20 0 DDADMM C[C@H](CNC(=O)C=C1CCCCC1)NC(=O)c1ncccc1[O-] ZINC001284203142 908638537 /nfs/dbraw/zinc/63/85/37/908638537.db2.gz DGWUFPAZKLQIRS-GFCCVEGCSA-N -1 1 317.389 1.912 20 0 DDADMM CN(CCNC(=O)CCC(C)(F)F)C(=O)c1ncccc1[O-] ZINC001373545673 910142593 /nfs/dbraw/zinc/14/25/93/910142593.db2.gz PPOBGPPYFCRGRL-UHFFFAOYSA-N -1 1 315.320 1.411 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1ccccn1 ZINC001395068024 911284420 /nfs/dbraw/zinc/28/44/20/911284420.db2.gz OTXPYGJMEKRWHG-NSHDSACASA-N -1 1 314.345 1.073 20 0 DDADMM CC1(C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])CCC1 ZINC001374050907 911739758 /nfs/dbraw/zinc/73/97/58/911739758.db2.gz YBIUWBKDTXDXHP-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM CC[C@H](C)CC(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001397010651 914952297 /nfs/dbraw/zinc/95/22/97/914952297.db2.gz MZMKLDSPHNBBRT-AAEUAGOBSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](CNC(=O)CCC1CCCC1)NC(=O)c1ncccc1[O-] ZINC001397366803 915826654 /nfs/dbraw/zinc/82/66/54/915826654.db2.gz MORGBCBAETZUJW-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CCc1nnc(CN[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001377780631 923014320 /nfs/dbraw/zinc/01/43/20/923014320.db2.gz IWUZMTLUOPYIHR-UWVGGRQHSA-N -1 1 319.365 1.029 20 0 DDADMM NC(=O)NC1CCN(C(=O)c2cc3ccccc3cc2[O-])CC1 ZINC000081780581 192323446 /nfs/dbraw/zinc/32/34/46/192323446.db2.gz NHJULAUPKGUCIH-UHFFFAOYSA-N -1 1 313.357 1.818 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CCc3cccs3)nc2n1 ZINC000622995687 365589156 /nfs/dbraw/zinc/58/91/56/365589156.db2.gz LFRYUOADKUREDC-UHFFFAOYSA-N -1 1 317.374 1.613 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3csc(C)c3)nc2n1 ZINC000622997623 365590989 /nfs/dbraw/zinc/59/09/89/365590989.db2.gz FKZGLVVSYZZIJC-UHFFFAOYSA-N -1 1 317.374 1.992 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)[C@@H]1CCCO1 ZINC000614392679 361835384 /nfs/dbraw/zinc/83/53/84/361835384.db2.gz ZTMKEWXQFBKTDH-UTUOFQBUSA-N -1 1 309.366 1.686 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1cncnc1 ZINC000614389755 361832312 /nfs/dbraw/zinc/83/23/12/361832312.db2.gz PBWMZLMHCZVPHY-NXEZZACHSA-N -1 1 303.322 1.075 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1cc(F)cc2nccnc21 ZINC000614482098 361879735 /nfs/dbraw/zinc/87/97/35/361879735.db2.gz IXYDAAPDLBSRAL-UHFFFAOYSA-N -1 1 315.293 1.520 20 0 DDADMM O=S(=O)([N-]Cc1nnc(C2CC2)[nH]1)c1sccc1Cl ZINC000451681589 231162108 /nfs/dbraw/zinc/16/21/08/231162108.db2.gz XRRNPDYKFJROIG-UHFFFAOYSA-N -1 1 318.811 1.876 20 0 DDADMM CNC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000101571745 539178440 /nfs/dbraw/zinc/17/84/40/539178440.db2.gz TVCUVLMPJHPGHT-JTQLQIEISA-N -1 1 320.361 1.404 20 0 DDADMM Cc1cc(C=C(C#N)C(=O)Nc2nn[n-]n2)c(C)n1CC(C)C ZINC000135475156 539194491 /nfs/dbraw/zinc/19/44/91/539194491.db2.gz AGMWDAVIRQYCDN-MLPAPPSSSA-N -1 1 313.365 1.820 20 0 DDADMM CCc1ccccc1N1C[C@H](C(=O)[N-]OC(C)(C)CO)CC1=O ZINC000297325844 529588253 /nfs/dbraw/zinc/58/82/53/529588253.db2.gz WNKWWNXXNAGYQV-CYBMUJFWSA-N -1 1 320.389 1.421 20 0 DDADMM COc1cc(C=CC(=O)Nc2nnn[n-]2)cc(Cl)c1OC ZINC000255290760 282243877 /nfs/dbraw/zinc/24/38/77/282243877.db2.gz UVLWITUTKRXTPV-ARJAWSKDSA-N -1 1 309.713 1.522 20 0 DDADMM COc1cc(C=CC(=O)Nc2nn[n-]n2)cc(Cl)c1OC ZINC000255290760 282243882 /nfs/dbraw/zinc/24/38/82/282243882.db2.gz UVLWITUTKRXTPV-ARJAWSKDSA-N -1 1 309.713 1.522 20 0 DDADMM CN1CC[C@@H](C[N-]S(=O)(=O)c2cc(F)ccc2F)CC1=O ZINC000425182929 529794480 /nfs/dbraw/zinc/79/44/80/529794480.db2.gz LDYPIIKNMVMWFB-SECBINFHSA-N -1 1 318.345 1.112 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)c2cccs2)n[n-]1 ZINC000615918565 362486240 /nfs/dbraw/zinc/48/62/40/362486240.db2.gz UUPZFQVPJODQDR-QMMMGPOBSA-N -1 1 308.363 1.463 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)c2cccs2)n1 ZINC000615918565 362486248 /nfs/dbraw/zinc/48/62/48/362486248.db2.gz UUPZFQVPJODQDR-QMMMGPOBSA-N -1 1 308.363 1.463 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCC2CCC2)c1 ZINC000292390161 530031882 /nfs/dbraw/zinc/03/18/82/530031882.db2.gz ZEWDBPGMHBFCSR-UHFFFAOYSA-N -1 1 312.391 1.819 20 0 DDADMM CCN1C(=S)N=NC1CNC(=O)c1ccc(Cl)cc1[O-] ZINC000108896054 185539296 /nfs/dbraw/zinc/53/92/96/185539296.db2.gz NSXHZSXKKANHHH-UHFFFAOYSA-N -1 1 312.782 1.876 20 0 DDADMM C[C@@H](O)[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CCO1 ZINC000616323349 362637940 /nfs/dbraw/zinc/63/79/40/362637940.db2.gz ZXFGQUHBINOZIC-MFKMUULPSA-N -1 1 302.330 1.162 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000358332869 299123316 /nfs/dbraw/zinc/12/33/16/299123316.db2.gz DVPACWNETAZUAJ-GFCCVEGCSA-N -1 1 304.350 1.171 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@@H](Cc2ccc(F)cc2)C1 ZINC000631395526 422738219 /nfs/dbraw/zinc/73/82/19/422738219.db2.gz RGYSKCZZBIAHBV-LBPRGKRZSA-N -1 1 303.341 1.363 20 0 DDADMM C[C@](CO)(NC(=O)c1csc(=NC2CC2)[n-]1)c1ccccc1 ZINC000616805483 362827554 /nfs/dbraw/zinc/82/75/54/362827554.db2.gz KXVCGWKCUAKHIG-MRXNPFEDSA-N -1 1 317.414 1.777 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@](C)(C(=O)[N-]OCCCO)C1 ZINC000495995430 302511579 /nfs/dbraw/zinc/51/15/79/302511579.db2.gz YQBPHLSQDDYNQE-CQSZACIVSA-N -1 1 302.371 1.064 20 0 DDADMM O=S(=O)([N-]CCOC(F)(F)F)c1cc(F)c(F)c(F)c1 ZINC000353816054 290845091 /nfs/dbraw/zinc/84/50/91/290845091.db2.gz NUPYLFMLQRAKNB-UHFFFAOYSA-N -1 1 323.214 1.919 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2cnoc2C2CC2)n1 ZINC000287120740 219326749 /nfs/dbraw/zinc/32/67/49/219326749.db2.gz BHHDDJPOSGRCRY-UHFFFAOYSA-N -1 1 311.319 1.036 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2(C(C)C)CC2)co1 ZINC000155674779 186102065 /nfs/dbraw/zinc/10/20/65/186102065.db2.gz LOTZJDYCFRCHSV-UHFFFAOYSA-N -1 1 300.380 1.354 20 0 DDADMM Cc1c(=O)[n-]c(SCCOCCO)nc1-c1ccccc1 ZINC000163946398 186143634 /nfs/dbraw/zinc/14/36/34/186143634.db2.gz WVSXKOPHGGNHTO-UHFFFAOYSA-N -1 1 306.387 1.846 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2c(C)cnn2C)c(=O)[n-]1 ZINC000617282294 363035804 /nfs/dbraw/zinc/03/58/04/363035804.db2.gz YCCKKVYZAXUQNU-UHFFFAOYSA-N -1 1 321.406 1.826 20 0 DDADMM Cc1ccc(C(=O)C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000623738757 366031636 /nfs/dbraw/zinc/03/16/36/366031636.db2.gz DZUSLKLVWXRRPD-AWEZNQCLSA-N -1 1 321.340 1.597 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncc3ccccc3c2[O-])c2ncnn21 ZINC000617424544 363099714 /nfs/dbraw/zinc/09/97/14/363099714.db2.gz ATDAUKGKCLOFHY-BXKDBHETSA-N -1 1 309.329 1.968 20 0 DDADMM NC(=O)c1ccc(S(=O)(=O)[N-]c2ccc(F)c(F)c2)cc1 ZINC000016726440 352163162 /nfs/dbraw/zinc/16/31/62/352163162.db2.gz CMHWNUSWXUIVFB-UHFFFAOYSA-N -1 1 312.297 1.865 20 0 DDADMM Cc1nc(SCC(=O)N2C[C@@H](C)C[C@H](C)C2)[n-]c(=O)c1C ZINC000019974379 352170181 /nfs/dbraw/zinc/17/01/81/352170181.db2.gz HTNMKHYISYOUJT-UWVGGRQHSA-N -1 1 309.435 1.983 20 0 DDADMM CC[C@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000032633371 352282391 /nfs/dbraw/zinc/28/23/91/352282391.db2.gz GKQNSSYJHVQTLB-VIFPVBQESA-N -1 1 302.396 1.885 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cnn(C)c1 ZINC000065843744 352965269 /nfs/dbraw/zinc/96/52/69/352965269.db2.gz MMCYBDFNGVNRNJ-UHFFFAOYSA-N -1 1 308.363 1.434 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-][C@H]2CC[C@@H](F)C2)s1 ZINC000344903524 282865939 /nfs/dbraw/zinc/86/59/39/282865939.db2.gz JRXSFGHJUYKWBB-SFYZADRCSA-N -1 1 307.372 1.270 20 0 DDADMM Cc1cnc(C(=O)N([C@H](C)CS(C)(=O)=O)C2CC2)c([O-])c1 ZINC000331951094 234311144 /nfs/dbraw/zinc/31/11/44/234311144.db2.gz KCUHCVLPUHQTSP-SNVBAGLBSA-N -1 1 312.391 1.133 20 0 DDADMM COc1ccc(O[C@H](C)CNC(=O)c2cncc([O-])c2)cc1 ZINC000174566373 198353619 /nfs/dbraw/zinc/35/36/19/198353619.db2.gz DVJZDMAYQMXMMN-LLVKDONJSA-N -1 1 302.330 1.993 20 0 DDADMM O=c1[n-]c(CN2CCCCC[C@H]2C[C@H](O)c2ccccc2)n[nH]1 ZINC000091590991 353831266 /nfs/dbraw/zinc/83/12/66/353831266.db2.gz WEGWSCCOLXYAJW-GJZGRUSLSA-N -1 1 316.405 1.966 20 0 DDADMM O=C(CN1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1)C1CC1 ZINC000094151401 353911248 /nfs/dbraw/zinc/91/12/48/353911248.db2.gz NXQRAHSGEHWXLX-UHFFFAOYSA-N -1 1 322.792 1.783 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2csc(C)n2)o1 ZINC000107699469 353945802 /nfs/dbraw/zinc/94/58/02/353945802.db2.gz BKTUEQIZGRHXGV-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2Cc3ccccc32)o1 ZINC000107699746 353945813 /nfs/dbraw/zinc/94/58/13/353945813.db2.gz ASCXLKWKZVPCAQ-LLVKDONJSA-N -1 1 321.354 1.684 20 0 DDADMM COC[C@H](NC(=O)c1ncccc1[O-])c1cccc(OC)c1 ZINC000617969808 363413980 /nfs/dbraw/zinc/41/39/80/363413980.db2.gz WBKWYQOUFWAGPM-ZDUSSCGKSA-N -1 1 302.330 1.913 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2cccnc2)c(F)c1 ZINC000588672082 354927601 /nfs/dbraw/zinc/92/76/01/354927601.db2.gz IXGIEECWEUIFAM-UHFFFAOYSA-N -1 1 314.313 1.847 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C[C@H]3C=CCC3)nc2n1 ZINC000588744153 354932522 /nfs/dbraw/zinc/93/25/22/354932522.db2.gz BYHYRMCLCPUHRM-JTQLQIEISA-N -1 1 301.350 1.665 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2cccc(C(N)=O)c2)c1 ZINC000589429095 354989552 /nfs/dbraw/zinc/98/95/52/354989552.db2.gz OETUAQKBZBUSNK-UHFFFAOYSA-N -1 1 306.343 1.595 20 0 DDADMM CC(C)(C)n1ncc(C(=O)Nc2nnn[n-]2)c1C(F)(F)F ZINC000346358100 283073934 /nfs/dbraw/zinc/07/39/34/283073934.db2.gz ZQJKCEKHCIFCIS-UHFFFAOYSA-N -1 1 303.248 1.422 20 0 DDADMM CC(C)(C)n1ncc(C(=O)Nc2nn[n-]n2)c1C(F)(F)F ZINC000346358100 283073935 /nfs/dbraw/zinc/07/39/35/283073935.db2.gz ZQJKCEKHCIFCIS-UHFFFAOYSA-N -1 1 303.248 1.422 20 0 DDADMM Cc1nc(C)c(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)s1 ZINC000590960535 355224833 /nfs/dbraw/zinc/22/48/33/355224833.db2.gz KZOCKXCMGDDYKM-LLVKDONJSA-N -1 1 314.374 1.792 20 0 DDADMM Cn1ccnc1[C@@H](Nc1nc2[nH][n-]cc-2c(=O)n1)c1ccccc1 ZINC000592454702 355588235 /nfs/dbraw/zinc/58/82/35/355588235.db2.gz MGDNOCULWUQIJK-LBPRGKRZSA-N -1 1 321.344 1.367 20 0 DDADMM CC(C)(C)OC(=O)C(C)(C)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000592779400 355687147 /nfs/dbraw/zinc/68/71/47/355687147.db2.gz CMOKOUHVSCEMHJ-JTQLQIEISA-N -1 1 323.397 1.274 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CSCc2ccncc2)n1 ZINC000593900862 356048070 /nfs/dbraw/zinc/04/80/70/356048070.db2.gz HCMHPCNCVZJQRQ-UHFFFAOYSA-N -1 1 320.374 1.853 20 0 DDADMM CN(C)C(=O)C(C)(C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000594773696 356313686 /nfs/dbraw/zinc/31/36/86/356313686.db2.gz DMRXLZAIDFBEKY-UHFFFAOYSA-N -1 1 306.334 1.110 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCOC2(C)C)cc1C ZINC000595334682 356453253 /nfs/dbraw/zinc/45/32/53/356453253.db2.gz QCXPMDSIRHSBCK-SECBINFHSA-N -1 1 317.363 1.220 20 0 DDADMM CCSC1(C[N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CC1 ZINC000595348651 356458468 /nfs/dbraw/zinc/45/84/68/356458468.db2.gz KZGPJQYHEQJRSP-UHFFFAOYSA-N -1 1 319.404 1.630 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC2CCOCC2)cc1C ZINC000595310370 356443664 /nfs/dbraw/zinc/44/36/64/356443664.db2.gz FMHJXUSAXSBACP-UHFFFAOYSA-N -1 1 317.363 1.080 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C(C)C)C2CC2)o1 ZINC000595364357 356466334 /nfs/dbraw/zinc/46/63/34/356466334.db2.gz ONQUUJOAMFOCRG-LBPRGKRZSA-N -1 1 301.364 1.779 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)N=c1nc(C2CCOCC2)[n-]s1 ZINC000596194778 356808573 /nfs/dbraw/zinc/80/85/73/356808573.db2.gz QMOATFGYZANLCZ-VHSXEESVSA-N -1 1 313.423 1.854 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)CCCF)CC2)n1 ZINC000597573158 357233795 /nfs/dbraw/zinc/23/37/95/357233795.db2.gz BTZABANBEBXZQK-UHFFFAOYSA-N -1 1 312.345 1.437 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1CCCC2(CC2)C1 ZINC000598823332 357736248 /nfs/dbraw/zinc/73/62/48/357736248.db2.gz FKKTYISOLDGXNN-NSHDSACASA-N -1 1 305.378 1.928 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cc(SC)oc1C ZINC000598823897 357736802 /nfs/dbraw/zinc/73/68/02/357736802.db2.gz KSGMHNDUSRWNGV-UHFFFAOYSA-N -1 1 323.374 1.894 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])C[C@H]1C ZINC000348071607 283354069 /nfs/dbraw/zinc/35/40/69/283354069.db2.gz IPIWOBTVHUZTAR-UTUOFQBUSA-N -1 1 305.378 1.458 20 0 DDADMM CC(C)[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])C(F)(F)F ZINC000348116852 283376762 /nfs/dbraw/zinc/37/67/62/283376762.db2.gz LHVYLHHUNNBQAN-LLVKDONJSA-N -1 1 319.283 1.220 20 0 DDADMM CCSCCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000601410074 358540205 /nfs/dbraw/zinc/54/02/05/358540205.db2.gz GGQGCWJEMZPKJP-UHFFFAOYSA-N -1 1 317.358 1.850 20 0 DDADMM C[C@H](CN=c1[n-]c(-c2ccccc2)no1)N1CCN(C)CC1 ZINC000601519288 358585872 /nfs/dbraw/zinc/58/58/72/358585872.db2.gz GXORAIKZQHNHGQ-CYBMUJFWSA-N -1 1 301.394 1.206 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@H]2CCC[C@H](C)C2)n[n-]1 ZINC000603019316 359361587 /nfs/dbraw/zinc/36/15/87/359361587.db2.gz UPXDDLYWYIAOFU-QWRGUYRKSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H]2CCC[C@H](C)C2)n1 ZINC000603019316 359361588 /nfs/dbraw/zinc/36/15/88/359361588.db2.gz UPXDDLYWYIAOFU-QWRGUYRKSA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cccc(F)c2F)n[n-]1 ZINC000603159312 359445678 /nfs/dbraw/zinc/44/56/78/359445678.db2.gz AGBBYDCEOSHDBH-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cccc(F)c2F)[n-]1 ZINC000603159312 359445683 /nfs/dbraw/zinc/44/56/83/359445683.db2.gz AGBBYDCEOSHDBH-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cccc(F)c2F)n1 ZINC000603159312 359445688 /nfs/dbraw/zinc/44/56/88/359445688.db2.gz AGBBYDCEOSHDBH-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2)C(=O)OC ZINC000603365830 359595763 /nfs/dbraw/zinc/59/57/63/359595763.db2.gz HZYUQDKRGQPNER-JZRPKSSGSA-N -1 1 319.423 1.204 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3ccccc3c2[O-])CCN1CCO ZINC000187290354 200089367 /nfs/dbraw/zinc/08/93/67/200089367.db2.gz JKPDRVGTQKEIHM-ZDUSSCGKSA-N -1 1 314.385 1.684 20 0 DDADMM O=C(Cc1cn2ccsc2n1)NC1(c2nn[n-]n2)CCCC1 ZINC000605531596 359867649 /nfs/dbraw/zinc/86/76/49/359867649.db2.gz AQRQQPQSPYMXBC-UHFFFAOYSA-N -1 1 317.378 1.037 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(F)cc2[O-])CCN1CC(C)(C)O ZINC000188731217 200296267 /nfs/dbraw/zinc/29/62/67/200296267.db2.gz ZWTQKOAVWUKDHE-LLVKDONJSA-N -1 1 310.369 1.449 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H](CCF)C2)co1 ZINC000618699642 363724188 /nfs/dbraw/zinc/72/41/88/363724188.db2.gz XWUKOSJFNFREPY-SNVBAGLBSA-N -1 1 318.370 1.400 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)C[C@@H]2CCOC2)o1 ZINC000610739557 360522333 /nfs/dbraw/zinc/52/23/33/360522333.db2.gz CILZWXDIZNOBSY-UWVGGRQHSA-N -1 1 317.363 1.160 20 0 DDADMM COCC(C)(C)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000292727630 223220637 /nfs/dbraw/zinc/22/06/37/223220637.db2.gz SIDSNZFONQZBAS-UHFFFAOYSA-N -1 1 305.352 1.017 20 0 DDADMM CN(CC(C)(CO)CO)C(=O)c1ncc2ccccc2c1[O-] ZINC000613034510 361247841 /nfs/dbraw/zinc/24/78/41/361247841.db2.gz FEMRGOAQHGLUIE-UHFFFAOYSA-N -1 1 304.346 1.003 20 0 DDADMM CCC(F)(F)C(C)(C)CS(=O)(=O)[N-]Cc1nc(C)no1 ZINC000625148779 366742655 /nfs/dbraw/zinc/74/26/55/366742655.db2.gz GZYMYDUVDSUCAB-UHFFFAOYSA-N -1 1 311.354 1.869 20 0 DDADMM CCO[C@H]1COCC[C@@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000613497351 361443089 /nfs/dbraw/zinc/44/30/89/361443089.db2.gz DRTCDVAAFIGXLM-KBPBESRZSA-N -1 1 316.357 1.864 20 0 DDADMM COC(=O)[C@H](COC(C)C)N(C)C(=O)c1ccc([O-])c(F)c1 ZINC000613516505 361452083 /nfs/dbraw/zinc/45/20/83/361452083.db2.gz DHNYGLUYTLAWOW-LBPRGKRZSA-N -1 1 313.325 1.570 20 0 DDADMM O=C(N[C@]1(CCO)CCOC1)c1ncc2ccccc2c1[O-] ZINC000614079424 361692489 /nfs/dbraw/zinc/69/24/89/361692489.db2.gz XLTLDLDSKFWDNA-MRXNPFEDSA-N -1 1 302.330 1.212 20 0 DDADMM O=S(=O)(CCCCCO)c1nnc(Cc2cccs2)[n-]1 ZINC000195159658 201349683 /nfs/dbraw/zinc/34/96/83/201349683.db2.gz RBZYYICFAIJQGQ-UHFFFAOYSA-N -1 1 315.420 1.393 20 0 DDADMM O=S(=O)(CCCCCO)c1nc(Cc2cccs2)n[n-]1 ZINC000195159658 201349686 /nfs/dbraw/zinc/34/96/86/201349686.db2.gz RBZYYICFAIJQGQ-UHFFFAOYSA-N -1 1 315.420 1.393 20 0 DDADMM O=S(=O)(CCCCCO)c1n[n-]c(Cc2cccs2)n1 ZINC000195159658 201349690 /nfs/dbraw/zinc/34/96/90/201349690.db2.gz RBZYYICFAIJQGQ-UHFFFAOYSA-N -1 1 315.420 1.393 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H](CCc1ccccc1)C(F)F ZINC000636269302 422765317 /nfs/dbraw/zinc/76/53/17/422765317.db2.gz BQVJXFLQIQJREZ-GFCCVEGCSA-N -1 1 323.347 1.905 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@H](O)C12CCC2 ZINC000619084286 363892103 /nfs/dbraw/zinc/89/21/03/363892103.db2.gz XTZBENFCNQIBBW-MNOVXSKESA-N -1 1 319.405 1.821 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@@H]2[C@H](C)[C@H]2c2ccccc2)n[nH]1 ZINC000619543518 364053960 /nfs/dbraw/zinc/05/39/60/364053960.db2.gz ALGBDVLMNJQRIF-WKPIXPDZSA-N -1 1 313.357 1.997 20 0 DDADMM CCS(=O)(=O)C1(CNC(=O)c2c([O-])cccc2F)CC1 ZINC000620051615 364259310 /nfs/dbraw/zinc/25/93/10/364259310.db2.gz IVCPIOPORHIUFU-UHFFFAOYSA-N -1 1 301.339 1.228 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN(C[C@@H]2CCCO2)CC1 ZINC000620337465 364378087 /nfs/dbraw/zinc/37/80/87/364378087.db2.gz KKJKQALPNZPOMW-ZDUSSCGKSA-N -1 1 324.808 1.982 20 0 DDADMM Cn1c([N-]S(=O)(=O)CCOCC2CC2)nc2cccnc21 ZINC000566095352 304116703 /nfs/dbraw/zinc/11/67/03/304116703.db2.gz DYEQKFGJSNUOHB-UHFFFAOYSA-N -1 1 310.379 1.137 20 0 DDADMM C[C@@H](O)[C@H](NC(=O)c1csc(=NC2CC2)[n-]1)c1ccccc1 ZINC000620991013 364640123 /nfs/dbraw/zinc/64/01/23/364640123.db2.gz HRNWJUSTQYVIHI-YGRLFVJLSA-N -1 1 317.414 1.991 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)C[C@@H]2CC2(C)C)co1 ZINC000621048826 364657049 /nfs/dbraw/zinc/65/70/49/364657049.db2.gz AVZYAXFFDQCHPB-JTQLQIEISA-N -1 1 300.380 1.306 20 0 DDADMM Cc1ncc(C(=O)[N-]N2CC(=O)N(C)C2=O)c(Cl)c1Cl ZINC000271807423 209231620 /nfs/dbraw/zinc/23/16/20/209231620.db2.gz KGWVBCPTYUTKNX-UHFFFAOYSA-N -1 1 317.132 1.236 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H](C)[C@@H](CO)C3)cnc2n1 ZINC000622228845 365341333 /nfs/dbraw/zinc/34/13/33/365341333.db2.gz BKOMBOUIRPVWAL-ZYHUDNBSSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](C)[C@@H](CO)C3)c[n-]c2n1 ZINC000622228845 365341334 /nfs/dbraw/zinc/34/13/34/365341334.db2.gz BKOMBOUIRPVWAL-ZYHUDNBSSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ncccc1NC(=O)[C@H](C)NC(=O)c1cc(F)ccc1[O-] ZINC000622682360 365483790 /nfs/dbraw/zinc/48/37/90/365483790.db2.gz WLGSVTHZVOQQSI-JTQLQIEISA-N -1 1 317.320 1.992 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](CO)CCC(C)C)sc1C ZINC000625414167 366908650 /nfs/dbraw/zinc/90/86/50/366908650.db2.gz JWLGEFDPLWAYGF-LLVKDONJSA-N -1 1 306.453 1.835 20 0 DDADMM NC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C1 ZINC000625427005 366919538 /nfs/dbraw/zinc/91/95/38/366919538.db2.gz HOBWHHSRLRZNAV-LJGSYFOKSA-N -1 1 306.746 1.021 20 0 DDADMM CO[C@H]1C[C@@H](C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC000625667338 367080139 /nfs/dbraw/zinc/08/01/39/367080139.db2.gz KNABXBPEIYNLIQ-DTORHVGOSA-N -1 1 324.324 1.804 20 0 DDADMM CN(CC[N-]S(=O)(=O)c1cc(F)ccc1F)CC(F)F ZINC000625676281 367084641 /nfs/dbraw/zinc/08/46/41/367084641.db2.gz PHZJIRDBPBIUFM-UHFFFAOYSA-N -1 1 314.304 1.440 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1ccc2ncccc2c1 ZINC000349656650 284001535 /nfs/dbraw/zinc/00/15/35/284001535.db2.gz NYHKBUZPRVSIJZ-UHFFFAOYSA-N -1 1 318.358 1.422 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc2ncccc2c1 ZINC000349656650 284001538 /nfs/dbraw/zinc/00/15/38/284001538.db2.gz NYHKBUZPRVSIJZ-UHFFFAOYSA-N -1 1 318.358 1.422 20 0 DDADMM O=C(N[C@H](CO)c1ccsc1)c1nc2ccccc2c(=O)[n-]1 ZINC000349831645 284070407 /nfs/dbraw/zinc/07/04/07/284070407.db2.gz VAWIHXKNGFTCJY-GFCCVEGCSA-N -1 1 315.354 1.448 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](C)c1ccc2n[nH]cc2c1 ZINC000349867493 284084326 /nfs/dbraw/zinc/08/43/26/284084326.db2.gz ASZXVTGBBRRNKI-ZETCQYMHSA-N -1 1 321.362 1.311 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)c1ccc2n[nH]cc2c1 ZINC000349867493 284084329 /nfs/dbraw/zinc/08/43/29/284084329.db2.gz ASZXVTGBBRRNKI-ZETCQYMHSA-N -1 1 321.362 1.311 20 0 DDADMM Cc1cc(C(=O)N=c2nc[nH][n-]2)nn1-c1ccc(Cl)cc1 ZINC000350558903 284217420 /nfs/dbraw/zinc/21/74/20/284217420.db2.gz CVMNRRPQOFURRS-UHFFFAOYSA-N -1 1 302.725 1.626 20 0 DDADMM Cc1n[nH]c(C(F)F)c1[N-]S(=O)(=O)c1cc(C)cnc1N ZINC000350740525 284252690 /nfs/dbraw/zinc/25/26/90/284252690.db2.gz DJFVFDRHDYCGIG-UHFFFAOYSA-N -1 1 317.321 1.742 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)cc1C ZINC000282925096 217328789 /nfs/dbraw/zinc/32/87/89/217328789.db2.gz OCTHFHZHWAQPIK-CYBMUJFWSA-N -1 1 300.362 1.735 20 0 DDADMM O=C(c1ccc2ncccc2c1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282944464 217342190 /nfs/dbraw/zinc/34/21/90/217342190.db2.gz PXQQFYVNBLZSNN-ZDUSSCGKSA-N -1 1 323.356 1.666 20 0 DDADMM C/C=C\C[C@@H](CO)[N-]S(=O)(=O)c1ccc(C(=O)OCC)o1 ZINC000351583553 284393730 /nfs/dbraw/zinc/39/37/30/284393730.db2.gz UCZGAKAVSPPACS-ATPLWMGHSA-N -1 1 317.363 1.062 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCC[C@@H]2C)o1 ZINC000031489490 182614617 /nfs/dbraw/zinc/61/46/17/182614617.db2.gz MRAOJPWZGCQGAF-VHSXEESVSA-N -1 1 300.380 1.496 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cccc(F)c2F)c(=O)n(C)c1 ZINC000360627423 306985637 /nfs/dbraw/zinc/98/56/37/306985637.db2.gz AORDUPJDQOJAAY-UHFFFAOYSA-N -1 1 314.313 1.773 20 0 DDADMM CN(C)c1nc([O-])c(CC(=O)Nc2ccc(F)cc2F)s1 ZINC000028757250 248181753 /nfs/dbraw/zinc/18/17/53/248181753.db2.gz PHHXOKBOJPWWMW-JTQLQIEISA-N -1 1 313.329 1.853 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC(C)(C)CCO)c(F)c1 ZINC000425207333 307301946 /nfs/dbraw/zinc/30/19/46/307301946.db2.gz RGJBDAGGUCMMLE-UHFFFAOYSA-N -1 1 307.362 1.960 20 0 DDADMM O=C(c1ncccc1[O-])N1CCNC(=O)[C@@H]1CC1CCCCC1 ZINC000333781628 249104605 /nfs/dbraw/zinc/10/46/05/249104605.db2.gz UPKPBKYIATWXSK-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-][C@H]1CCC[C@H]1OC(F)F ZINC000337331473 249447990 /nfs/dbraw/zinc/44/79/90/249447990.db2.gz HGQIVWHPCRTMBT-DTWKUNHWSA-N -1 1 310.322 1.730 20 0 DDADMM O=C(NC1(CO)CCOCC1)c1cc2ccccc2cc1[O-] ZINC000274015825 211272395 /nfs/dbraw/zinc/27/23/95/211272395.db2.gz OVSDKPDNOUZIMS-UHFFFAOYSA-N -1 1 301.342 1.817 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C2CC2)cc1C(=O)OC ZINC000273976301 211233758 /nfs/dbraw/zinc/23/37/58/211233758.db2.gz YGGVGZPVIYWHPM-UHFFFAOYSA-N -1 1 313.331 1.164 20 0 DDADMM CCNC(=O)[C@@H](C)NC(=O)c1cc(Cl)c([O-])c(OCC)c1 ZINC000273918988 211173172 /nfs/dbraw/zinc/17/31/72/211173172.db2.gz FHCRDIRHODRJCV-MRVPVSSYSA-N -1 1 314.769 1.699 20 0 DDADMM CCOc1cc(C(=O)NCc2ncn(C)n2)cc(Cl)c1[O-] ZINC000273902972 211158392 /nfs/dbraw/zinc/15/83/92/211158392.db2.gz XRWHLQDCCQEMBR-UHFFFAOYSA-N -1 1 310.741 1.503 20 0 DDADMM CCOc1cc(C(=O)NC[C@H](O)COC)cc(Cl)c1[O-] ZINC000273841941 211106733 /nfs/dbraw/zinc/10/67/33/211106733.db2.gz JRVXJRMNNWJGHT-VIFPVBQESA-N -1 1 303.742 1.181 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cnc(C(C)(C)C)nc1 ZINC000339076736 250283497 /nfs/dbraw/zinc/28/34/97/250283497.db2.gz CMQIGPBASASTGM-UHFFFAOYSA-N -1 1 317.411 1.179 20 0 DDADMM COc1cccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1F ZINC000339196194 250338122 /nfs/dbraw/zinc/33/81/22/250338122.db2.gz SECATCTTXNPRPU-UHFFFAOYSA-N -1 1 321.308 1.943 20 0 DDADMM C[S@@](=O)CCCNC(=O)c1ccc(Br)cc1[O-] ZINC000109916277 194298065 /nfs/dbraw/zinc/29/80/65/194298065.db2.gz IEQBRFIIUWYBOX-KRWDZBQOSA-N -1 1 320.208 1.653 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCOC(C)C)o1 ZINC000341126983 251395931 /nfs/dbraw/zinc/39/59/31/251395931.db2.gz MBTHOOHABIKCEW-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM CC(C)[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1 ZINC000352421174 285039063 /nfs/dbraw/zinc/03/90/63/285039063.db2.gz AZNMJXCRQKFEMG-AWEZNQCLSA-N -1 1 311.345 1.545 20 0 DDADMM Cc1cc([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)c(C)o1 ZINC000352432491 285046730 /nfs/dbraw/zinc/04/67/30/285046730.db2.gz QEVMEXAZJKZOSG-QMMMGPOBSA-N -1 1 301.306 1.118 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cncc(Cl)c1)c1ccco1 ZINC000270002165 208054400 /nfs/dbraw/zinc/05/44/00/208054400.db2.gz ROEUVHDCWZUNSX-LLVKDONJSA-N -1 1 316.766 1.994 20 0 DDADMM C[C@@H](CN(C)C(=O)COCCc1ccccc1)c1nn[n-]n1 ZINC000352466297 285070857 /nfs/dbraw/zinc/07/08/57/285070857.db2.gz WFWLVXILUCJZRM-LBPRGKRZSA-N -1 1 303.366 1.021 20 0 DDADMM COCC[C@@H](COC)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000352515409 285106393 /nfs/dbraw/zinc/10/63/93/285106393.db2.gz QIKGODSTJCPFHL-JTQLQIEISA-N -1 1 309.334 1.295 20 0 DDADMM CCO[C@@H]1C[C@H](O)C12CCN(C(=O)c1cncc([O-])c1)CC2 ZINC000157232314 197171803 /nfs/dbraw/zinc/17/18/03/197171803.db2.gz MUMKMJCYDMBXSO-UONOGXRCSA-N -1 1 306.362 1.179 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(-c2cccs2)n[nH]1)c1nn[n-]n1 ZINC000156560224 197123484 /nfs/dbraw/zinc/12/34/84/197123484.db2.gz ULNJDYGMPRLDFL-QMMMGPOBSA-N -1 1 317.378 1.527 20 0 DDADMM C[C@@H](CN(C)C(=O)C(C)(C)Oc1ccc(F)cc1)c1nn[n-]n1 ZINC000156546753 197121973 /nfs/dbraw/zinc/12/19/73/197121973.db2.gz NTAJZFYJJAKFSA-JTQLQIEISA-N -1 1 321.356 1.758 20 0 DDADMM CO[C@H]1COCC[C@@H]1CC(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000294681256 224163782 /nfs/dbraw/zinc/16/37/82/224163782.db2.gz UAHDIEGSWDXDCI-KOLCDFICSA-N -1 1 306.322 1.445 20 0 DDADMM NC(=O)c1ccc(=NCC2(c3c(F)cccc3F)CCC2)[n-]n1 ZINC000413510949 224209991 /nfs/dbraw/zinc/20/99/91/224209991.db2.gz IDXWVBAXBWLHRE-UHFFFAOYSA-N -1 1 318.327 1.809 20 0 DDADMM CCOCc1nc([C@H](C)[N-]S(=O)(=O)c2ccco2)no1 ZINC000289593878 221090211 /nfs/dbraw/zinc/09/02/11/221090211.db2.gz PVYGLXMMLSUQDR-QMMMGPOBSA-N -1 1 301.324 1.239 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H](C2CC2)C(C)(C)CO)c1Cl ZINC000289628804 221113452 /nfs/dbraw/zinc/11/34/52/221113452.db2.gz UATINLPEMQIEEL-SECBINFHSA-N -1 1 321.830 1.149 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1cc(NC(C)=O)ccc1F ZINC000414376135 224328877 /nfs/dbraw/zinc/32/88/77/224328877.db2.gz ZCFJHSBBLHHODO-UHFFFAOYSA-N -1 1 318.370 1.951 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(-c2ccoc2)n[nH]1)c1nn[n-]n1 ZINC000579660919 422809562 /nfs/dbraw/zinc/80/95/62/422809562.db2.gz UGYONFFIWHXTLO-SECBINFHSA-N -1 1 301.310 1.454 20 0 DDADMM C[C@@H](CCO)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330834673 533631059 /nfs/dbraw/zinc/63/10/59/533631059.db2.gz WJPQPOVIVPGLRO-ZETCQYMHSA-N -1 1 312.185 1.339 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H](N)C2CCC2)c1Br ZINC000568507246 304296513 /nfs/dbraw/zinc/29/65/13/304296513.db2.gz NRTGSANCIIYQRW-VIFPVBQESA-N -1 1 301.188 1.222 20 0 DDADMM C[C@@H]1COCC[C@H]1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000353332211 285666648 /nfs/dbraw/zinc/66/66/48/285666648.db2.gz PCBHAMGKWOJYDD-MNOVXSKESA-N -1 1 301.346 1.326 20 0 DDADMM CCC[C@@H]1C[C@@H]1C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000360616515 299706379 /nfs/dbraw/zinc/70/63/79/299706379.db2.gz FPNFHBYXOYJRGR-KOLCDFICSA-N -1 1 312.391 1.675 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1ccc(OC(F)F)cc1 ZINC000635123345 422825803 /nfs/dbraw/zinc/82/58/03/422825803.db2.gz VNRKFCSYFWGUFM-UHFFFAOYSA-N -1 1 311.292 1.440 20 0 DDADMM Cc1ccc2c(c1)CC[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)O2 ZINC000281524908 292311127 /nfs/dbraw/zinc/31/11/27/292311127.db2.gz IPBPDUDRGSVQCX-RISCZKNCSA-N -1 1 315.377 1.464 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)c2ccccc21 ZINC000570358287 304407917 /nfs/dbraw/zinc/40/79/17/304407917.db2.gz DCFLMHCHHSPTAW-LBPRGKRZSA-N -1 1 323.356 1.818 20 0 DDADMM Cc1nc2ccc(Br)cn2c1C(=O)Nc1nn[n-]n1 ZINC000071459659 406840380 /nfs/dbraw/zinc/84/03/80/406840380.db2.gz DMMDJXOCNDHKKD-UHFFFAOYSA-N -1 1 322.126 1.171 20 0 DDADMM CC[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000027154677 406907923 /nfs/dbraw/zinc/90/79/23/406907923.db2.gz IIZPAMCJPPDASW-JTQLQIEISA-N -1 1 300.380 1.450 20 0 DDADMM Cn1c(CCNC(=O)[C@H]2Cc3cc(F)ccc3O2)n[n-]c1=S ZINC000076992976 406976743 /nfs/dbraw/zinc/97/67/43/406976743.db2.gz IDHLCYVGQIODPH-LLVKDONJSA-N -1 1 322.365 1.279 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCS(=O)(=O)CC1 ZINC000036163127 406977471 /nfs/dbraw/zinc/97/74/71/406977471.db2.gz GMEYOCIMCICVSV-UHFFFAOYSA-N -1 1 305.355 1.416 20 0 DDADMM COC(=O)[C@@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000044490035 407024149 /nfs/dbraw/zinc/02/41/49/407024149.db2.gz SDSFUFYMQXAHSE-ZCFIWIBFSA-N -1 1 302.124 1.446 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CCC(C)C ZINC000037490198 406989688 /nfs/dbraw/zinc/98/96/88/406989688.db2.gz WMDPURZELHZMAV-SNVBAGLBSA-N -1 1 317.411 1.689 20 0 DDADMM C[C@@H](NC(=O)c1cc(Br)ccc1[O-])C(=O)N(C)C ZINC000048624273 407101983 /nfs/dbraw/zinc/10/19/83/407101983.db2.gz ALEIVCRPNDMVKJ-SSDOTTSWSA-N -1 1 315.167 1.361 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc(Br)c([O-])c2)C[C@H]1O ZINC000089461340 407135994 /nfs/dbraw/zinc/13/59/94/407135994.db2.gz NEOVSFJBBBFTAN-PRHODGIISA-N -1 1 314.179 1.998 20 0 DDADMM Cc1noc([N-]C(=O)c2cn(Cc3cccc(F)c3)nn2)n1 ZINC000123076960 407318509 /nfs/dbraw/zinc/31/85/09/407318509.db2.gz IJLKCALVLMOPNF-UHFFFAOYSA-N -1 1 302.269 1.409 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1ccc2[nH]nnc2c1)Cc1ccccc1 ZINC000123182189 407321952 /nfs/dbraw/zinc/32/19/52/407321952.db2.gz IMSOEJFWSYOYQA-CYBMUJFWSA-N -1 1 324.340 1.631 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)c2ccc(F)cc2)cc1F ZINC000102632579 407324630 /nfs/dbraw/zinc/32/46/30/407324630.db2.gz PKCJWOSGVUYKBP-UHFFFAOYSA-N -1 1 312.297 1.865 20 0 DDADMM Cn1cc(C(=O)CSc2nc(C(F)(F)F)cc(=O)[n-]2)cn1 ZINC000123383152 407327528 /nfs/dbraw/zinc/32/75/28/407327528.db2.gz PAOIGLRKIBKDOB-UHFFFAOYSA-N -1 1 318.280 1.910 20 0 DDADMM Cc1ccc(C(=O)NCCc2n[n-]c(=S)n2C2CC2)n1C ZINC000067079289 407267759 /nfs/dbraw/zinc/26/77/59/407267759.db2.gz MSMSZPCUHQETGS-UHFFFAOYSA-N -1 1 305.407 1.895 20 0 DDADMM Cc1ccccc1CCC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000103521246 407341765 /nfs/dbraw/zinc/34/17/65/407341765.db2.gz XQGKOCWINRHYKD-UHFFFAOYSA-N -1 1 308.363 1.088 20 0 DDADMM Cc1ccccc1CCC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000103521246 407341767 /nfs/dbraw/zinc/34/17/67/407341767.db2.gz XQGKOCWINRHYKD-UHFFFAOYSA-N -1 1 308.363 1.088 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@](C)(O)c1ccccc1)c1nn[n-]n1 ZINC000124068786 407347809 /nfs/dbraw/zinc/34/78/09/407347809.db2.gz CRHYSJRWITVNMQ-ABAIWWIYSA-N -1 1 303.366 1.059 20 0 DDADMM CC(C)C[C@@H](CO)N(C)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000185627661 407464928 /nfs/dbraw/zinc/46/49/28/407464928.db2.gz ZAUWVJRHFRQSPV-NSHDSACASA-N -1 1 303.362 1.402 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cccc(F)c2)c1 ZINC000151793207 407497432 /nfs/dbraw/zinc/49/74/32/407497432.db2.gz IPUFPXPSVYYNFM-UHFFFAOYSA-N -1 1 313.306 1.684 20 0 DDADMM Cc1cccc2[nH]cc(CC(=O)N3CCC[C@H](c4nn[n-]n4)C3)c21 ZINC000129459513 407611957 /nfs/dbraw/zinc/61/19/57/407611957.db2.gz XCXFVNYLHDQXNY-LBPRGKRZSA-N -1 1 324.388 1.938 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@H]1CO)c1cc(F)ccc1F ZINC000226415403 407616994 /nfs/dbraw/zinc/61/69/94/407616994.db2.gz UDWLMDMTQCKSBP-JOYOIKCWSA-N -1 1 305.346 1.794 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)[C@@H](N)Cc1c[nH]c2ccccc12 ZINC000262007015 407708950 /nfs/dbraw/zinc/70/89/50/407708950.db2.gz VWQMWDIIDCVEIQ-ZDUSSCGKSA-N -1 1 303.362 1.361 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000354245261 298380316 /nfs/dbraw/zinc/38/03/16/298380316.db2.gz BSVJPPILEBCRLK-NEPJUHHUSA-N -1 1 305.378 1.602 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccc3c(c2)C(=O)NCC3)ccn1 ZINC000116601232 407763686 /nfs/dbraw/zinc/76/36/86/407763686.db2.gz GKJZTSCZSYPTBB-UHFFFAOYSA-N -1 1 317.370 1.477 20 0 DDADMM CC[C@@H](NC(=O)c1coc(S(=O)(=O)[N-]C)c1)c1ccncc1 ZINC000153200229 407775354 /nfs/dbraw/zinc/77/53/54/407775354.db2.gz MLXXOGXWPSBYSQ-GFCCVEGCSA-N -1 1 323.374 1.464 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCCOCC1CC1)c2=O ZINC000179395023 407782014 /nfs/dbraw/zinc/78/20/14/407782014.db2.gz IQBYCQKBVONODC-UHFFFAOYSA-N -1 1 304.350 1.220 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCO[C@@H]3CCCC[C@H]31)c2=O ZINC000179436848 407789054 /nfs/dbraw/zinc/78/90/54/407789054.db2.gz RGELPFFJXKXNHN-CHWSQXEVSA-N -1 1 316.361 1.458 20 0 DDADMM CC[C@]1(CO)CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000187120997 407820584 /nfs/dbraw/zinc/82/05/84/407820584.db2.gz ZGFZCXXDTALAHJ-KRWDZBQOSA-N -1 1 315.373 1.548 20 0 DDADMM CN(C)C(=O)[C@H](Cc1ccccc1)NC(=O)c1ncccc1[O-] ZINC000153799953 407905501 /nfs/dbraw/zinc/90/55/01/407905501.db2.gz ILYBYXSKLZSNFE-ZDUSSCGKSA-N -1 1 313.357 1.217 20 0 DDADMM CC(C)Sc1ccccc1C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000174586976 407967385 /nfs/dbraw/zinc/96/73/85/407967385.db2.gz DDINHHAVNJZILH-UHFFFAOYSA-N -1 1 320.418 1.961 20 0 DDADMM COC(=O)[C@@](C)(CNC(=O)c1ncccc1[O-])c1ccccc1 ZINC000188754560 407974888 /nfs/dbraw/zinc/97/48/88/407974888.db2.gz CYWXLFULVNGEAF-KRWDZBQOSA-N -1 1 314.341 1.648 20 0 DDADMM CCC(CC)(CO)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000153889455 407926669 /nfs/dbraw/zinc/92/66/69/407926669.db2.gz DNEYTCMWDNRMPJ-UHFFFAOYSA-N -1 1 323.437 1.904 20 0 DDADMM O=C(CN1CCC[C@@H](c2cc[nH]n2)C1)[N-]OCc1ccccc1 ZINC000119350065 408050170 /nfs/dbraw/zinc/05/01/70/408050170.db2.gz FTBZXILOQCOYJX-OAHLLOKOSA-N -1 1 314.389 1.837 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2cc(C3CC3)n[nH]2)cc1 ZINC000268492242 408066857 /nfs/dbraw/zinc/06/68/57/408066857.db2.gz OTLXIUIJAQTIHU-UHFFFAOYSA-N -1 1 321.358 1.875 20 0 DDADMM CNC(=O)[C@H]1CCCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000182196143 408089182 /nfs/dbraw/zinc/08/91/82/408089182.db2.gz TTWUDEYLQXDEPQ-CQSZACIVSA-N -1 1 316.357 1.599 20 0 DDADMM CCC[C@@H](NC(=O)CCNC(=O)C1CCCCC1)c1nn[n-]n1 ZINC000136643450 408115881 /nfs/dbraw/zinc/11/58/81/408115881.db2.gz HKFSGTLWNHWFRU-GFCCVEGCSA-N -1 1 322.413 1.244 20 0 DDADMM CCC[C@@H](NC(=O)CSC[C@@H]1CCCCO1)c1nn[n-]n1 ZINC000136629669 408116918 /nfs/dbraw/zinc/11/69/18/408116918.db2.gz RQCLXXZHBGLWOX-WDEREUQCSA-N -1 1 313.427 1.459 20 0 DDADMM CC(C)(C)[C@@H](CO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000190132445 408173403 /nfs/dbraw/zinc/17/34/03/408173403.db2.gz OQGOVYHRNLYRHY-SECBINFHSA-N -1 1 311.325 1.789 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC([C@H](O)C(F)(F)F)CC1 ZINC000151038454 408244922 /nfs/dbraw/zinc/24/49/22/408244922.db2.gz LCNIEMLBGKLUDF-NSHDSACASA-N -1 1 304.268 1.563 20 0 DDADMM CO[C@@H](C)CCNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000263792195 408259408 /nfs/dbraw/zinc/25/94/08/408259408.db2.gz JZBXRUURYIEQCM-JTQLQIEISA-N -1 1 320.393 1.526 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOCC2(CCOCC2)C1 ZINC000182639155 408210582 /nfs/dbraw/zinc/21/05/82/408210582.db2.gz GQPYPFBWHXMPHZ-UHFFFAOYSA-N -1 1 309.337 1.801 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2ccc(OC)cc2)co1 ZINC000157897331 408318867 /nfs/dbraw/zinc/31/88/67/408318867.db2.gz RUAYFEUNWOGPSV-UHFFFAOYSA-N -1 1 324.358 1.126 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CC[C@H]1CCOC1 ZINC000176080183 408282830 /nfs/dbraw/zinc/28/28/30/408282830.db2.gz YFCBKSJRKWSLFC-LBPRGKRZSA-N -1 1 318.377 1.072 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)Nc2nnn[n-]2)cc1 ZINC000264005057 408342138 /nfs/dbraw/zinc/34/21/38/408342138.db2.gz INSWNFWPSPVOQA-UHFFFAOYSA-N -1 1 304.310 1.799 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)Nc2nn[n-]n2)cc1 ZINC000264005057 408342142 /nfs/dbraw/zinc/34/21/42/408342142.db2.gz INSWNFWPSPVOQA-UHFFFAOYSA-N -1 1 304.310 1.799 20 0 DDADMM CCC[C@H](NC(=O)c1cncc(OC(C)C)c1)c1nn[n-]n1 ZINC000133210513 162054413 /nfs/dbraw/zinc/05/44/13/162054413.db2.gz GCAKCBZLAMDEOJ-LBPRGKRZSA-N -1 1 304.354 1.653 20 0 DDADMM O=C(N[C@@H]1CCN(c2ncccc2Cl)C1)c1cncc([O-])c1 ZINC000176815318 408450201 /nfs/dbraw/zinc/45/02/01/408450201.db2.gz RWFJCBRHWKKRHH-LLVKDONJSA-N -1 1 318.764 1.844 20 0 DDADMM CCCC(=O)N1CCC[C@@H](C(=O)N[C@H](CCC)c2nn[n-]n2)C1 ZINC000176761981 408434772 /nfs/dbraw/zinc/43/47/72/408434772.db2.gz NNXRKTLORNOHNO-VXGBXAGGSA-N -1 1 322.413 1.196 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(F)cc2[O-])CCN1C[C@H](C)O ZINC000247247803 408439438 /nfs/dbraw/zinc/43/94/38/408439438.db2.gz XASJIDGFLKFWDQ-WCQYABFASA-N -1 1 310.369 1.449 20 0 DDADMM C[C@@H]1CCS(=O)(=O)CCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000191763743 408487039 /nfs/dbraw/zinc/48/70/39/408487039.db2.gz CDMBWLPSQXMNQJ-SECBINFHSA-N -1 1 317.794 1.695 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1ccc(N(C)C)cc1)c1nn[n-]n1 ZINC000274753417 408519427 /nfs/dbraw/zinc/51/94/27/408519427.db2.gz ICIIQRQJYAAZPM-GFCCVEGCSA-N -1 1 316.409 1.460 20 0 DDADMM CO[C@@H]1CC[C@@H]2OCCN(C(=O)c3ccc([O-])cc3F)[C@H]2C1 ZINC000248458053 408572267 /nfs/dbraw/zinc/57/22/67/408572267.db2.gz PNPZDHQJJSDNMT-UGFHNGPFSA-N -1 1 309.337 1.940 20 0 DDADMM CCc1onc(C)c1NS(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000270432593 408533043 /nfs/dbraw/zinc/53/30/43/408533043.db2.gz OWSRIOPFMPZXTH-UHFFFAOYSA-N -1 1 313.335 1.383 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(Br)cc2[O-])C[C@H]1O ZINC000270678697 408634713 /nfs/dbraw/zinc/63/47/13/408634713.db2.gz URXJTBYXMKUZDJ-QPUJVOFHSA-N -1 1 314.179 1.998 20 0 DDADMM CC1(C)CCCC[C@@H]1CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000161949753 408654774 /nfs/dbraw/zinc/65/47/74/408654774.db2.gz QBDCSYYWQIKJER-SECBINFHSA-N -1 1 314.411 1.753 20 0 DDADMM CC1(C)CCCC[C@@H]1CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000161949753 408654779 /nfs/dbraw/zinc/65/47/79/408654779.db2.gz QBDCSYYWQIKJER-SECBINFHSA-N -1 1 314.411 1.753 20 0 DDADMM O=C([N-]CC1CCN(C(=O)c2ccon2)CC1)C(F)(F)F ZINC000281823103 408935333 /nfs/dbraw/zinc/93/53/33/408935333.db2.gz VUOCTRAOCLSRFA-UHFFFAOYSA-N -1 1 305.256 1.205 20 0 DDADMM O=C(NCCCO)c1ccc(I)c([O-])c1 ZINC000230888305 163348472 /nfs/dbraw/zinc/34/84/72/163348472.db2.gz RCJXEHSILRSIRA-UHFFFAOYSA-N -1 1 321.114 1.109 20 0 DDADMM C[C@H](O)CNC(=O)c1ccc(I)c([O-])c1 ZINC000230888374 163348557 /nfs/dbraw/zinc/34/85/57/163348557.db2.gz BRYMUSJGZWRUER-LURJTMIESA-N -1 1 321.114 1.107 20 0 DDADMM CC1(C2CCN(C(=O)C(=O)c3ccc([O-])cc3)CC2)OCCO1 ZINC000287531948 409051033 /nfs/dbraw/zinc/05/10/33/409051033.db2.gz BWYVGFQNYVYNPW-UHFFFAOYSA-N -1 1 319.357 1.577 20 0 DDADMM CCC(O)(CC)CCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287590041 409060482 /nfs/dbraw/zinc/06/04/82/409060482.db2.gz LXPVRAJUCMUPIL-UNOMPAQXSA-N -1 1 305.382 1.405 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCC3CCSCC3)ccnc1-2 ZINC000287613541 409064315 /nfs/dbraw/zinc/06/43/15/409064315.db2.gz NCVNHNJAOAUJJT-PDGQHHTCSA-N -1 1 305.407 1.607 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H]3CCC[C@@H]3CCO)ccnc1-2 ZINC000287662275 409072156 /nfs/dbraw/zinc/07/21/56/409072156.db2.gz PSOMRUVZMGUVET-IIFYYKQXSA-N -1 1 303.366 1.014 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000287736776 409084072 /nfs/dbraw/zinc/08/40/72/409084072.db2.gz UCQILBWHHWJJLG-NGZWOASGSA-N -1 1 303.366 1.278 20 0 DDADMM CCC[C@H](CNC(=O)C(=O)c1ccc([O-])cc1)C(=O)OCC ZINC000287945240 409119823 /nfs/dbraw/zinc/11/98/23/409119823.db2.gz GCIRYDCPTHBRJX-GFCCVEGCSA-N -1 1 307.346 1.671 20 0 DDADMM CCCCCN(CCO)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283270907 409125454 /nfs/dbraw/zinc/12/54/54/409125454.db2.gz NIJKOHMWHQAIKW-UHFFFAOYSA-N -1 1 308.407 1.643 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(C)(C)[C@@H]2C(C)C)o1 ZINC000293828279 409188946 /nfs/dbraw/zinc/18/89/46/409188946.db2.gz HXIODTOCYQEDAS-LBPRGKRZSA-N -1 1 314.407 1.694 20 0 DDADMM O=C(C(=O)N1CC[C@]2(O)CCCC[C@H]2C1)c1ccc([O-])cc1 ZINC000283824639 409222699 /nfs/dbraw/zinc/22/26/99/409222699.db2.gz YYVUNHIKQGHQBO-SUMWQHHRSA-N -1 1 303.358 1.729 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCCOC1CCCC1 ZINC000289315587 409247159 /nfs/dbraw/zinc/24/71/59/409247159.db2.gz KIDFLKLSOJMSHV-UHFFFAOYSA-N -1 1 303.384 1.193 20 0 DDADMM COCC1(CCNC(=O)c2ccc3n[n-]c(=S)n3c2)CCC1 ZINC000293902903 409204215 /nfs/dbraw/zinc/20/42/15/409204215.db2.gz MZYLUKCNXLLXCX-UHFFFAOYSA-N -1 1 320.418 1.955 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1ccc(C)cc1 ZINC000294377107 409289370 /nfs/dbraw/zinc/28/93/70/409289370.db2.gz HPUGAWWBFCDVRW-UHFFFAOYSA-N -1 1 323.374 1.373 20 0 DDADMM Cc1nc(C)c(S(=O)(=O)/N=c2\ccnc3n(C)[n-]cc2-3)s1 ZINC000284060896 409267589 /nfs/dbraw/zinc/26/75/89/409267589.db2.gz MBBGPFZAQOWTPX-MHWRWJLKSA-N -1 1 323.403 1.216 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)c1cccc(F)c1F ZINC000290337583 409367424 /nfs/dbraw/zinc/36/74/24/409367424.db2.gz BFTZVTYEIATHIY-LURJTMIESA-N -1 1 317.317 1.883 20 0 DDADMM O=C(NC[C@@H](CO)[C@@H]1CCOC1)c1ccc2ccccc2c1[O-] ZINC000280583837 409369742 /nfs/dbraw/zinc/36/97/42/409369742.db2.gz XBWUTTXKRQQDHN-KGLIPLIRSA-N -1 1 315.369 1.920 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000280710712 409420185 /nfs/dbraw/zinc/42/01/85/409420185.db2.gz BFVFIPDHGFDQPU-OQQHHNTDSA-N -1 1 323.414 1.771 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@@H](C2OCCO2)C1 ZINC000280731870 409431379 /nfs/dbraw/zinc/43/13/79/409431379.db2.gz IOOBAQGHGOPFRX-SECBINFHSA-N -1 1 313.300 1.896 20 0 DDADMM O=C(NCc1nnc2n1CCCC2)c1c(F)ccc([O-])c1F ZINC000280745384 409436962 /nfs/dbraw/zinc/43/69/62/409436962.db2.gz KCJJARWXJNCWCT-UHFFFAOYSA-N -1 1 308.288 1.528 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](C(=O)N2CCCC2)C1 ZINC000408134983 164238849 /nfs/dbraw/zinc/23/88/49/164238849.db2.gz WPTKTOAPZDESML-LBPRGKRZSA-N -1 1 303.362 1.262 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCO[C@@H](CF)C3)cnc2n1 ZINC000408466124 164340240 /nfs/dbraw/zinc/34/02/40/164340240.db2.gz ILMFPAPYLITEIX-JTQLQIEISA-N -1 1 305.309 1.454 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCO[C@@H](CF)C3)c[n-]c2n1 ZINC000408466124 164340241 /nfs/dbraw/zinc/34/02/41/164340241.db2.gz ILMFPAPYLITEIX-JTQLQIEISA-N -1 1 305.309 1.454 20 0 DDADMM CO[C@@H](C)c1nsc(=NC[C@H]2CN(CC(C)C)CCO2)[n-]1 ZINC000337906392 409556413 /nfs/dbraw/zinc/55/64/13/409556413.db2.gz CNFIIVZWZBPWHM-RYUDHWBXSA-N -1 1 314.455 1.436 20 0 DDADMM CO[C@@H](C)c1nc(=NC[C@H]2CN(CC(C)C)CCO2)s[n-]1 ZINC000337906392 409556421 /nfs/dbraw/zinc/55/64/21/409556421.db2.gz CNFIIVZWZBPWHM-RYUDHWBXSA-N -1 1 314.455 1.436 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2c(C)cc(C)cc2C)[n-]1 ZINC000353891478 409543604 /nfs/dbraw/zinc/54/36/04/409543604.db2.gz GCRUXJQGZPLENH-UHFFFAOYSA-N -1 1 309.391 1.850 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2c(C)cc(C)cc2C)n[n-]1 ZINC000353891478 409543614 /nfs/dbraw/zinc/54/36/14/409543614.db2.gz GCRUXJQGZPLENH-UHFFFAOYSA-N -1 1 309.391 1.850 20 0 DDADMM C[C@@H](c1ccccc1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337943250 409588597 /nfs/dbraw/zinc/58/85/97/409588597.db2.gz AVNFVOGPMDJSME-LBPRGKRZSA-N -1 1 313.357 1.737 20 0 DDADMM Cc1ccccc1[C@@H](C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337945357 409592005 /nfs/dbraw/zinc/59/20/05/409592005.db2.gz IZLUFJLNIBIKAN-GFCCVEGCSA-N -1 1 313.357 1.703 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]c1nn(CCCOC)cc1C ZINC000296868471 409673417 /nfs/dbraw/zinc/67/34/17/409673417.db2.gz ZLXSAZCPUAKAMV-UHFFFAOYSA-N -1 1 304.416 1.227 20 0 DDADMM Cc1noc(C(C)(C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)n1 ZINC000356928583 409729105 /nfs/dbraw/zinc/72/91/05/409729105.db2.gz VXZKTKYNPLMGBS-UHFFFAOYSA-N -1 1 316.321 1.030 20 0 DDADMM NC(=O)c1cc(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)co1 ZINC000354393699 409822004 /nfs/dbraw/zinc/82/20/04/409822004.db2.gz LLMQIRCYRNUDEH-UHFFFAOYSA-N -1 1 302.315 1.504 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CC3CCC2CC3)c1 ZINC000338231001 409823063 /nfs/dbraw/zinc/82/30/63/409823063.db2.gz SKKPFJXSZHCNAN-RTYFJBAXSA-N -1 1 313.375 1.923 20 0 DDADMM CCCOc1cc(OC)ccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357054016 409824424 /nfs/dbraw/zinc/82/44/24/409824424.db2.gz LMFGAXXFQPCUQY-UHFFFAOYSA-N -1 1 317.349 1.416 20 0 DDADMM O=C(CCc1ccc(-c2ccccc2)[nH]1)NC1(c2nn[n-]n2)CC1 ZINC000357067518 409838152 /nfs/dbraw/zinc/83/81/52/409838152.db2.gz JYXZANKGUCGNJZ-UHFFFAOYSA-N -1 1 322.372 1.933 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)NC2(c3nn[n-]n3)CC2)c1C(C)C ZINC000357041354 409810243 /nfs/dbraw/zinc/81/02/43/409810243.db2.gz DXYFTVDUXGBKMW-UHFFFAOYSA-N -1 1 316.365 1.581 20 0 DDADMM COCC[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(C)(C)C ZINC000338318277 409903550 /nfs/dbraw/zinc/90/35/50/409903550.db2.gz VXWDNWMYVZUNJD-LBPRGKRZSA-N -1 1 320.393 1.855 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cccc(O)c2)cc1C(N)=O ZINC000342806999 409918831 /nfs/dbraw/zinc/91/88/31/409918831.db2.gz MIMMTUVZIQIIOO-UHFFFAOYSA-N -1 1 322.342 1.301 20 0 DDADMM COC(=O)c1c(F)cccc1S(=O)(=O)[N-][C@H]1CCC[C@H]1F ZINC000339122093 410057737 /nfs/dbraw/zinc/05/77/37/410057737.db2.gz HRTQWABMZUFTGL-SCZZXKLOSA-N -1 1 319.329 1.781 20 0 DDADMM CCn1cc([C@H]2CN(C(=O)c3ncc(C)cc3[O-])CCO2)cn1 ZINC000332309266 410056802 /nfs/dbraw/zinc/05/68/02/410056802.db2.gz JFJUZPQARKIIBX-CQSZACIVSA-N -1 1 316.361 1.526 20 0 DDADMM CC(C)Cc1ccc(CC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354785301 410085510 /nfs/dbraw/zinc/08/55/10/410085510.db2.gz HHUIRDAGYHSTOT-UHFFFAOYSA-N -1 1 301.394 1.992 20 0 DDADMM O=C(Cc1ccc(F)cc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332362297 410096099 /nfs/dbraw/zinc/09/60/99/410096099.db2.gz CYZSDBVUABVDEQ-LLVKDONJSA-N -1 1 305.309 1.863 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1cccnc1 ZINC000332382284 410112140 /nfs/dbraw/zinc/11/21/40/410112140.db2.gz AZYQBZXAOJKZAZ-NSHDSACASA-N -1 1 316.361 1.854 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C[C@@H](C)OC)C(C)C)co1 ZINC000339358000 410126655 /nfs/dbraw/zinc/12/66/55/410126655.db2.gz KPKFYHPVFFQPJM-SNVBAGLBSA-N -1 1 318.395 1.073 20 0 DDADMM O=C([C@H]1CCCc2[nH]ncc21)N1CCCC[C@H]1c1n[nH]c(=O)[n-]1 ZINC000329159445 410133545 /nfs/dbraw/zinc/13/35/45/410133545.db2.gz DUCPYHZWPUKNKX-CABZTGNLSA-N -1 1 316.365 1.407 20 0 DDADMM C[C@@H](SCC(=O)Nc1nnn[n-]1)c1nc2ccccc2[nH]1 ZINC000174248783 287123502 /nfs/dbraw/zinc/12/35/02/287123502.db2.gz ALPMPQMBOVWFBH-SSDOTTSWSA-N -1 1 303.351 1.509 20 0 DDADMM C[C@@H](SCC(=O)Nc1nn[n-]n1)c1nc2ccccc2[nH]1 ZINC000174248783 287123504 /nfs/dbraw/zinc/12/35/04/287123504.db2.gz ALPMPQMBOVWFBH-SSDOTTSWSA-N -1 1 303.351 1.509 20 0 DDADMM O=C(CCc1ccccc1O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339438089 410187697 /nfs/dbraw/zinc/18/76/97/410187697.db2.gz AYTBBEFDZFHIKA-UHFFFAOYSA-N -1 1 317.345 1.820 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)CNC(=O)c2ncccc2[O-])cc1 ZINC000343169787 410204226 /nfs/dbraw/zinc/20/42/26/410204226.db2.gz ULGKXEGJEGWDHJ-GFCCVEGCSA-N -1 1 313.357 1.703 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCc1ccc(F)c(F)c1 ZINC000343170708 410205525 /nfs/dbraw/zinc/20/55/25/410205525.db2.gz NOCAVPFFBDLCJI-UHFFFAOYSA-N -1 1 321.283 1.112 20 0 DDADMM C[C@@H](CN(C)C(=O)C(=O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000358003328 410337959 /nfs/dbraw/zinc/33/79/59/410337959.db2.gz VOWXKTGQCUEQPV-QMMMGPOBSA-N -1 1 307.741 1.298 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-][C@@H](CO)CC(F)(F)F ZINC000339784241 410458597 /nfs/dbraw/zinc/45/85/97/410458597.db2.gz CJLVKQFLEWKPNI-ZCFIWIBFSA-N -1 1 319.326 1.348 20 0 DDADMM C[C@@H]1[C@@H](N=c2ccc(C(=O)NC3CCCC3)n[nH]2)CCC[N@@H+]1C ZINC000343448736 410460834 /nfs/dbraw/zinc/46/08/34/410460834.db2.gz CAORBZIYEZQVBF-OCCSQVGLSA-N -1 1 317.437 1.466 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2c(C)cccc2C(=O)[O-])c1 ZINC000358558971 410481866 /nfs/dbraw/zinc/48/18/66/410481866.db2.gz ZLZUQEAPGXJAKF-UHFFFAOYSA-N -1 1 321.358 1.780 20 0 DDADMM Cc1cnc(C(=O)N(CC(N)=O)[C@@H](C)c2ccccc2)c([O-])c1 ZINC000358560330 410483680 /nfs/dbraw/zinc/48/36/80/410483680.db2.gz SBJGJRXBPQLNNM-LBPRGKRZSA-N -1 1 313.357 1.784 20 0 DDADMM Cc1c(C(=O)N=c2cc(-c3ccc(Cl)s3)[n-][nH]2)nnn1C ZINC000339846715 410497655 /nfs/dbraw/zinc/49/76/55/410497655.db2.gz KFVQECBQYJWYMI-UHFFFAOYSA-N -1 1 322.781 1.903 20 0 DDADMM O=C(N[C@@H](Cc1ccccc1)[C@@H](O)C(F)F)c1cncc([O-])c1 ZINC000339958583 410577728 /nfs/dbraw/zinc/57/77/28/410577728.db2.gz IFZNWGFOZPBZMQ-UONOGXRCSA-N -1 1 322.311 1.754 20 0 DDADMM CCCN(CC(C)(C)O)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000355493621 410546543 /nfs/dbraw/zinc/54/65/43/410546543.db2.gz CWLOGVLEZTYKKJ-UHFFFAOYSA-N -1 1 303.362 1.546 20 0 DDADMM O=C(Nc1cccn(CC(F)(F)F)c1=O)c1cncc([O-])c1 ZINC000339913508 410549748 /nfs/dbraw/zinc/54/97/48/410549748.db2.gz OLQHHSKPJMOURP-UHFFFAOYSA-N -1 1 313.235 1.764 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCC[C@@H]2C)co1 ZINC000339999766 410608753 /nfs/dbraw/zinc/60/87/53/410608753.db2.gz SMSXMVDCBKNQAA-UWVGGRQHSA-N -1 1 300.380 1.354 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CCC[C@H]2C)co1 ZINC000339999765 410609105 /nfs/dbraw/zinc/60/91/05/410609105.db2.gz SMSXMVDCBKNQAA-NXEZZACHSA-N -1 1 300.380 1.354 20 0 DDADMM C[C@@H](NC(=O)C(C)(C)C)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000330453049 410701893 /nfs/dbraw/zinc/70/18/93/410701893.db2.gz LAEQNMKGWTXDMK-ZJUUUORDSA-N -1 1 324.381 1.032 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2cncc(F)c2)s1 ZINC000352979512 410716296 /nfs/dbraw/zinc/71/62/96/410716296.db2.gz CRAISYVJTLRBPE-LURJTMIESA-N -1 1 302.356 1.420 20 0 DDADMM CC(C)Oc1cncc(C(=O)Nc2nc(SCCO)n[nH]2)c1 ZINC000343842379 410741186 /nfs/dbraw/zinc/74/11/86/410741186.db2.gz BGDSDRYAGHVONF-UHFFFAOYSA-N -1 1 323.378 1.324 20 0 DDADMM C[C@@H]1CC[C@]2(CCN(C(=O)CNC(=O)c3ncccc3[O-])C2)C1 ZINC000359576568 410742712 /nfs/dbraw/zinc/74/27/12/410742712.db2.gz AMFBZMPUVVRHCC-PXAZEXFGSA-N -1 1 317.389 1.556 20 0 DDADMM COc1cccc(-c2noc(NCCCc3nc(=O)[n-][nH]3)n2)c1 ZINC000301556167 410745625 /nfs/dbraw/zinc/74/56/25/410745625.db2.gz SCLRZFRWHJFDMD-UHFFFAOYSA-N -1 1 316.321 1.201 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)Oc1ccc(F)cc1)c1nn[n-]n1 ZINC000343771267 410684934 /nfs/dbraw/zinc/68/49/34/410684934.db2.gz XSLZTXRORNGGFT-CABZTGNLSA-N -1 1 307.329 1.764 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CC=CC[C@@H]2C)c1 ZINC000359699907 410822441 /nfs/dbraw/zinc/82/24/41/410822441.db2.gz MRVUJQAZOVUKDE-JQWIXIFHSA-N -1 1 324.402 1.841 20 0 DDADMM CNC(=O)c1ccc(=NCCc2ccc3ccccc3n2)[n-]n1 ZINC000348174095 410835479 /nfs/dbraw/zinc/83/54/79/410835479.db2.gz LGFOHUGOBGSULW-UHFFFAOYSA-N -1 1 307.357 1.461 20 0 DDADMM Cc1cc(F)ccc1S(=O)(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000340371303 410846515 /nfs/dbraw/zinc/84/65/15/410846515.db2.gz KADMKNOJRBVZQE-UHFFFAOYSA-N -1 1 320.349 1.590 20 0 DDADMM Cc1cc(Br)ccc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359790967 410881455 /nfs/dbraw/zinc/88/14/55/410881455.db2.gz PSKBYBQXEADBGZ-UHFFFAOYSA-N -1 1 324.182 1.936 20 0 DDADMM CC(C)CNC(=O)[C@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000330882666 410901949 /nfs/dbraw/zinc/90/19/49/410901949.db2.gz OGLVUBMILARIKF-SNVBAGLBSA-N -1 1 309.391 1.075 20 0 DDADMM CN(C)S(=O)(=O)Cc1noc(-c2cc(-c3ccco3)n[nH]2)n1 ZINC000356311446 410960047 /nfs/dbraw/zinc/96/00/47/410960047.db2.gz ZMPQEHKKNXHSRG-UHFFFAOYSA-N -1 1 323.334 1.111 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCOC1)c1ccc(Br)o1 ZINC000353429617 410981184 /nfs/dbraw/zinc/98/11/84/410981184.db2.gz PTVUOUOGDBUVHD-ZETCQYMHSA-N -1 1 310.169 1.357 20 0 DDADMM CO[C@H](C)c1nc(=NCCCOC2CCOCC2)s[n-]1 ZINC000337786337 411000630 /nfs/dbraw/zinc/00/06/30/411000630.db2.gz BLSGETKRXQICOD-SNVBAGLBSA-N -1 1 301.412 1.665 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cncc(F)c1)c1ccc(C)o1 ZINC000353492158 411027101 /nfs/dbraw/zinc/02/71/01/411027101.db2.gz LFITXQJOZDGABS-GFCCVEGCSA-N -1 1 314.338 1.788 20 0 DDADMM CC1(C)CN(C(=O)CNC(=O)c2ncccc2[O-])[C@H]2CCC[C@H]21 ZINC000360244238 411110615 /nfs/dbraw/zinc/11/06/15/411110615.db2.gz ZGTRWXIEQZZADS-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1ccccc1C(F)(F)F ZINC000635224956 422887039 /nfs/dbraw/zinc/88/70/39/422887039.db2.gz JOJLJZNHNXKUSK-UHFFFAOYSA-N -1 1 313.283 1.858 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](C)[C@H]2CCCC[C@H]21 ZINC000580170787 422896523 /nfs/dbraw/zinc/89/65/23/422896523.db2.gz YULAFGCVEIJQKA-HBNTYKKESA-N -1 1 321.377 1.937 20 0 DDADMM O=C([O-])CCCN1CCN(c2ncnc3ccsc32)CC1 ZINC000130907010 196121601 /nfs/dbraw/zinc/12/16/01/196121601.db2.gz AYEKQQHEBCBQHO-UHFFFAOYSA-N -1 1 306.391 1.678 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000131711875 196192753 /nfs/dbraw/zinc/19/27/53/196192753.db2.gz KOEWLBZBTIZOCG-UHFFFAOYSA-N -1 1 309.265 1.088 20 0 DDADMM O=C([O-])CCN(CCS(=O)(=O)c1ccccc1)CC1CC1 ZINC000652466776 423030516 /nfs/dbraw/zinc/03/05/16/423030516.db2.gz SFLNAQOYVGLSHN-UHFFFAOYSA-N -1 1 311.403 1.647 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1csc(-c2cnccn2)n1 ZINC000647816842 423060985 /nfs/dbraw/zinc/06/09/85/423060985.db2.gz XRXKEJAENXYEOK-UHFFFAOYSA-N -1 1 302.319 1.590 20 0 DDADMM CC(C)[C@H]1C[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000271638008 209082681 /nfs/dbraw/zinc/08/26/81/209082681.db2.gz GBIWCOPJELALJR-NXEZZACHSA-N -1 1 321.830 1.555 20 0 DDADMM COC[C@H](C)NC(=O)Cc1noc(-c2cc(F)ccc2[O-])n1 ZINC000350672405 306755048 /nfs/dbraw/zinc/75/50/48/306755048.db2.gz UXSUDVDOAZHGPV-QMMMGPOBSA-N -1 1 309.297 1.275 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H]1c1ccsc1 ZINC000416135104 224806400 /nfs/dbraw/zinc/80/64/00/224806400.db2.gz OLTPSIIGLMNWGQ-SECBINFHSA-N -1 1 321.358 1.935 20 0 DDADMM COC[C@@H](C)S(=O)(=O)[N-][C@H](C(C)=O)c1ccccc1F ZINC000416641122 225015938 /nfs/dbraw/zinc/01/59/38/225015938.db2.gz DARGBBFOIKLSGW-NOZJJQNGSA-N -1 1 303.355 1.410 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1COc2cccc(C)c2C1 ZINC000625349011 417145434 /nfs/dbraw/zinc/14/54/34/417145434.db2.gz KAYBGOOHLXKDMW-SNVBAGLBSA-N -1 1 323.374 1.156 20 0 DDADMM COc1ccc(NC(=O)[C@@H]2CC23CC3)cc1[N-]S(C)(=O)=O ZINC000643331365 423114825 /nfs/dbraw/zinc/11/48/25/423114825.db2.gz ZKACNKUIZCORQA-JTQLQIEISA-N -1 1 310.375 1.805 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)cc(=O)[n-]1 ZINC000640654567 423116648 /nfs/dbraw/zinc/11/66/48/423116648.db2.gz UWTLLEVCWHDVTC-ARHDFHRDSA-N -1 1 311.407 1.580 20 0 DDADMM CSc1nc(CNC(=O)CCc2cscn2)cc(=O)[n-]1 ZINC000640658289 423118643 /nfs/dbraw/zinc/11/86/43/423118643.db2.gz MCZIEAJNQJXKQH-UHFFFAOYSA-N -1 1 310.404 1.610 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)C1CC(OC(C)(C)C)C1 ZINC000420744683 226538111 /nfs/dbraw/zinc/53/81/11/226538111.db2.gz YHKXYFJHIIMJIR-UHFFFAOYSA-N -1 1 317.411 1.362 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCN(C(=O)C2CC2)CC1 ZINC000046561913 418448128 /nfs/dbraw/zinc/44/81/28/418448128.db2.gz OYYZSFCTZBADNA-UHFFFAOYSA-N -1 1 308.765 1.740 20 0 DDADMM O=C(Cc1cc(F)ccc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366638744 418499728 /nfs/dbraw/zinc/49/97/28/418499728.db2.gz JQUYMHBYVXWTSF-SECBINFHSA-N -1 1 307.304 1.427 20 0 DDADMM CC[C@]1(CO)CCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000189177798 222038367 /nfs/dbraw/zinc/03/83/67/222038367.db2.gz OGLOUDFKTYFNIR-OAHLLOKOSA-N -1 1 304.350 1.051 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1ccc(C)cc1OC ZINC000361130711 418589625 /nfs/dbraw/zinc/58/96/25/418589625.db2.gz HABWGMVATHHACC-UHFFFAOYSA-N -1 1 315.391 1.945 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H](C)C(=O)Nc2ncccn2)n1 ZINC000195074826 222190414 /nfs/dbraw/zinc/19/04/14/222190414.db2.gz PCRWQBQZJTYKIK-QMMMGPOBSA-N -1 1 305.363 1.242 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCN(c2cccnn2)CC1 ZINC000195379619 222200196 /nfs/dbraw/zinc/20/01/96/222200196.db2.gz YYUGMAURKWPJQV-UHFFFAOYSA-N -1 1 318.764 1.798 20 0 DDADMM C[C@@H]1CCC[C@@H](CNC(=O)CNC(=O)c2ncccc2[O-])[C@@H]1C ZINC000361248580 418612262 /nfs/dbraw/zinc/61/22/62/418612262.db2.gz PJAOLKZCQAGEOG-UPJWGTAASA-N -1 1 319.405 1.706 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000316561478 418600749 /nfs/dbraw/zinc/60/07/49/418600749.db2.gz ZPXDIZWIWBDXID-ZJUUUORDSA-N -1 1 300.314 1.924 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2OC[C@H]3CCC[C@@H]23)c1 ZINC000368109845 418691745 /nfs/dbraw/zinc/69/17/45/418691745.db2.gz CGKOUHOLOXTIKS-JTNHKYCSSA-N -1 1 305.330 1.932 20 0 DDADMM COCC1(CN=c2nc([C@H](C)OC)[n-]s2)CCOCC1 ZINC000368193633 418701414 /nfs/dbraw/zinc/70/14/14/418701414.db2.gz MWPBUWBISJCNOS-JTQLQIEISA-N -1 1 301.412 1.523 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)N3CC[C@@H](n4cncn4)C3)c[nH]c12 ZINC000372470562 418873861 /nfs/dbraw/zinc/87/38/61/418873861.db2.gz DFZOXRTYWOPJGI-GFCCVEGCSA-N -1 1 323.356 1.515 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1CCC[C@H](OC)C1 ZINC000410664875 418837511 /nfs/dbraw/zinc/83/75/11/418837511.db2.gz TVDXEXLHLSWFFS-MNOVXSKESA-N -1 1 309.366 1.162 20 0 DDADMM CCOc1cc(C(=O)[N-]c2ccncc2C(=O)C(C)C)on1 ZINC000410723883 418841790 /nfs/dbraw/zinc/84/17/90/418841790.db2.gz IOJMRXLPQJDHNL-UHFFFAOYSA-N -1 1 303.318 1.981 20 0 DDADMM CC(C)C[C@H](NC(=O)C(C)(C)C)C(=O)N=c1ccc(O)n[n-]1 ZINC000410714518 418842469 /nfs/dbraw/zinc/84/24/69/418842469.db2.gz BCUKTNFOEGIVBE-JTQLQIEISA-N -1 1 308.382 1.120 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1ccccc1-c1nnc[nH]1 ZINC000373086757 418927844 /nfs/dbraw/zinc/92/78/44/418927844.db2.gz FFYVEYUZPXEKED-UHFFFAOYSA-N -1 1 319.346 1.877 20 0 DDADMM CCCCc1cc(=NC(=O)c2csc(=NC3CC3)[n-]2)[nH][nH]1 ZINC000426133556 419391123 /nfs/dbraw/zinc/39/11/23/419391123.db2.gz LITDOKQBOPEBQR-UHFFFAOYSA-N -1 1 305.407 1.879 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cccnc1-c1cnn(C)c1)OC ZINC000421143958 419499496 /nfs/dbraw/zinc/49/94/96/419499496.db2.gz BEVXEXKVWSENDU-GFCCVEGCSA-N -1 1 324.406 1.649 20 0 DDADMM CC(C)(C)OC(=O)CC[C@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000412065002 419614719 /nfs/dbraw/zinc/61/47/19/419614719.db2.gz KETQESCOTMUNDR-LLVKDONJSA-N -1 1 310.398 1.844 20 0 DDADMM Cc1cscc1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000427602054 419691629 /nfs/dbraw/zinc/69/16/29/419691629.db2.gz NUHGCHUTWCBTNG-UHFFFAOYSA-N -1 1 302.359 1.974 20 0 DDADMM Cc1cnc(C(=O)NC2(C(N)=O)CCC(C)(C)CC2)c([O-])c1 ZINC000427646551 419707113 /nfs/dbraw/zinc/70/71/13/419707113.db2.gz RULRFLJDHBDPCO-UHFFFAOYSA-N -1 1 305.378 1.650 20 0 DDADMM Cc1nn(C)c(C)c1-c1cc(C(=O)N(C)CCCC(=O)[O-])n[nH]1 ZINC000427761973 419730459 /nfs/dbraw/zinc/73/04/59/419730459.db2.gz PBOKYOUTFLYQAR-UHFFFAOYSA-N -1 1 319.365 1.364 20 0 DDADMM Cc1nsc(C)c1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000298867863 228802760 /nfs/dbraw/zinc/80/27/60/228802760.db2.gz GNMNEWJXDCOGNJ-SECBINFHSA-N -1 1 307.379 1.191 20 0 DDADMM Cc1nnc(N(C)C(=O)c2cnc3n(C)[n-]cc-3c2=O)s1 ZINC000427727995 419722258 /nfs/dbraw/zinc/72/22/58/419722258.db2.gz NRFJZZBJGIYIAS-UHFFFAOYSA-N -1 1 304.335 1.110 20 0 DDADMM COc1cc(C(=O)NC2CCN(OC)CC2)cc(Cl)c1[O-] ZINC000422038109 419821270 /nfs/dbraw/zinc/82/12/70/419821270.db2.gz AQYZCRJFIJJPPB-UHFFFAOYSA-N -1 1 314.769 1.810 20 0 DDADMM NC(=O)c1csc(=NCc2ccc(OC(F)F)cn2)[n-]1 ZINC000432142117 229083122 /nfs/dbraw/zinc/08/31/22/229083122.db2.gz YINRBHHPFVAKNQ-UHFFFAOYSA-N -1 1 300.290 1.272 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)C2(C)CCCC2)co1 ZINC000428661526 419910058 /nfs/dbraw/zinc/91/00/58/419910058.db2.gz UNJZULQJGQMXEY-UHFFFAOYSA-N -1 1 300.380 1.592 20 0 DDADMM O=C(N=c1[n-]nc(Cc2cccc(F)c2)s1)N1CCNCC1 ZINC000415162710 419971545 /nfs/dbraw/zinc/97/15/45/419971545.db2.gz XARKXVCSFYJLMY-UHFFFAOYSA-N -1 1 321.381 1.127 20 0 DDADMM COC[C@@H](CCO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436751561 229522054 /nfs/dbraw/zinc/52/20/54/229522054.db2.gz MKVPQOGMNIERAK-SECBINFHSA-N -1 1 307.268 1.538 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1CCCC[C@@H]1C(F)(F)F ZINC000430328437 420107881 /nfs/dbraw/zinc/10/78/81/420107881.db2.gz ZZARTQPBOVPJFZ-YUMQZZPRSA-N -1 1 303.288 1.674 20 0 DDADMM CC(C)[C@@H]1CC[C@@H](C)C[C@@H]1OCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000430335772 420108831 /nfs/dbraw/zinc/10/88/31/420108831.db2.gz XPGZPVGBVOAEET-AGIUHOORSA-N -1 1 321.425 1.782 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cc3sccc3[nH]2)n1 ZINC000430642009 420169494 /nfs/dbraw/zinc/16/94/94/420169494.db2.gz JTWLWZHNQRTZDN-UHFFFAOYSA-N -1 1 311.348 1.003 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cc3sccc3[nH]2)[n-]1 ZINC000430642009 420169497 /nfs/dbraw/zinc/16/94/97/420169497.db2.gz JTWLWZHNQRTZDN-UHFFFAOYSA-N -1 1 311.348 1.003 20 0 DDADMM Cc1ccc([C@H](NC(=O)CN2CC[C@@H](C(=O)[O-])C2)C2CC2)cc1 ZINC000430675088 420175709 /nfs/dbraw/zinc/17/57/09/420175709.db2.gz DJBHIBZHJPZPJD-WBVHZDCISA-N -1 1 316.401 1.969 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2sccc2[C@@H]1C ZINC000416122638 420249698 /nfs/dbraw/zinc/24/96/98/420249698.db2.gz JXQVAZRZHXISRR-ZETCQYMHSA-N -1 1 321.358 1.717 20 0 DDADMM O=C(NC[C@@H](O)[C@H]1CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000436750882 420363211 /nfs/dbraw/zinc/36/32/11/420363211.db2.gz IDBDSCOFIZFLFG-QPUJVOFHSA-N -1 1 319.279 1.538 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc(=O)n(C)c2)c1 ZINC000436505829 420335282 /nfs/dbraw/zinc/33/52/82/420335282.db2.gz OVYWGMHWVNLUTC-UHFFFAOYSA-N -1 1 302.286 1.130 20 0 DDADMM Cc1ccn(C2CCN(C(=O)C(=O)c3ccc([O-])cc3)CC2)n1 ZINC000436628753 420345899 /nfs/dbraw/zinc/34/58/99/420345899.db2.gz IXMIOJJUIVOMES-UHFFFAOYSA-N -1 1 313.357 1.944 20 0 DDADMM O=C(NC[C@@H](CO)C1CC1)c1cc(Br)ccc1[O-] ZINC000436617483 420346505 /nfs/dbraw/zinc/34/65/05/420346505.db2.gz IQHRIVHWGMBAKB-VIFPVBQESA-N -1 1 314.179 1.903 20 0 DDADMM O=C(N[C@@H]1COc2ccc(F)cc21)C(=O)c1ccc([O-])cc1 ZINC000436905645 420381967 /nfs/dbraw/zinc/38/19/67/420381967.db2.gz IFAWUPSDVXJNGV-CYBMUJFWSA-N -1 1 301.273 1.964 20 0 DDADMM O=C(CNC(=O)c1ccc([O-])cc1F)N1Cc2ccccc2C1 ZINC000436895774 420382998 /nfs/dbraw/zinc/38/29/98/420382998.db2.gz YWVMXAOHZZGCOA-UHFFFAOYSA-N -1 1 314.316 1.804 20 0 DDADMM CCOC(=O)[C@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@@H](C)CC ZINC000436924661 420383803 /nfs/dbraw/zinc/38/38/03/420383803.db2.gz DJGFHYXSTYEJJF-GXFFZTMASA-N -1 1 307.346 1.669 20 0 DDADMM O=C(NCc1nc(C(F)(F)F)n[nH]1)C(=O)c1ccc([O-])cc1 ZINC000436916145 420384669 /nfs/dbraw/zinc/38/46/69/420384669.db2.gz RVDXMKHNRXWJNW-UHFFFAOYSA-N -1 1 314.223 1.028 20 0 DDADMM CCOC(=O)[C@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@H](C)CC ZINC000436924664 420385449 /nfs/dbraw/zinc/38/54/49/420385449.db2.gz DJGFHYXSTYEJJF-ZWNOBZJWSA-N -1 1 307.346 1.669 20 0 DDADMM CC(C)(NC(=O)c1ccc2c(c1O)CCCC2)c1nn[n-]n1 ZINC000436973800 420389902 /nfs/dbraw/zinc/38/99/02/420389902.db2.gz ZGYXZWZUSWZBIQ-UHFFFAOYSA-N -1 1 301.350 1.449 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2cc(Cl)ccc2F)CCC(=O)N1 ZINC000416504124 420399632 /nfs/dbraw/zinc/39/96/32/420399632.db2.gz ZBOMZJYBPUWKLC-LBPRGKRZSA-N -1 1 320.773 1.426 20 0 DDADMM C[C@](CO)(CNC(=O)C(=O)c1ccc([O-])cc1)c1ccccc1 ZINC000436789798 420366463 /nfs/dbraw/zinc/36/64/63/420366463.db2.gz DPMNOBHCODVAKV-GOSISDBHSA-N -1 1 313.353 1.641 20 0 DDADMM COC(=O)c1cc(C(C)(C)NC(=O)c2ccc([O-])cc2F)no1 ZINC000436799732 420367391 /nfs/dbraw/zinc/36/73/91/420367391.db2.gz ZFEWKJPRPVMXKZ-UHFFFAOYSA-N -1 1 322.292 1.971 20 0 DDADMM O=c1[n-]nc(C2CCN(Cc3nc(-c4ccoc4)no3)CC2)o1 ZINC000450912356 420558537 /nfs/dbraw/zinc/55/85/37/420558537.db2.gz GZFHPLFMFVMIDQ-UHFFFAOYSA-N -1 1 317.305 1.798 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@H]3CC(=O)[C@@H]2C3)c1 ZINC000457776933 420636783 /nfs/dbraw/zinc/63/67/83/420636783.db2.gz MXVOJRPOZKZRQK-GDPRMGEGSA-N -1 1 303.314 1.733 20 0 DDADMM O=C(N[C@@H]([C@H](CO)C1CC1)C1CC1)C(=O)c1ccc([O-])cc1 ZINC000452972708 420692620 /nfs/dbraw/zinc/69/26/20/420692620.db2.gz YXXFHMBLJNEUNZ-HUUCEWRRSA-N -1 1 303.358 1.488 20 0 DDADMM CCCS(=O)(=O)[N-][C@]1(C(=O)OC)C[C@H](OCC)C1(C)C ZINC000444282076 420758143 /nfs/dbraw/zinc/75/81/43/420758143.db2.gz XFHJBHCTDMDKCZ-GWCFXTLKSA-N -1 1 307.412 1.063 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](CCO)C(C)C)o1 ZINC000442838375 420722646 /nfs/dbraw/zinc/72/26/46/420722646.db2.gz PYXGVEPVUUHYBE-SNVBAGLBSA-N -1 1 319.379 1.142 20 0 DDADMM COc1cc(NC(=O)c2cc(=O)n3[n-]cnc3n2)ccc1Cl ZINC000447720301 420796060 /nfs/dbraw/zinc/79/60/60/420796060.db2.gz RMFZPEKUIXUMMK-UHFFFAOYSA-N -1 1 319.708 1.332 20 0 DDADMM C[C@H](O)C[C@H]1CCCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000447893151 420811898 /nfs/dbraw/zinc/81/18/98/420811898.db2.gz LBMPTASVXIEEPL-NWDGAFQWSA-N -1 1 315.373 1.689 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC000493376000 420833044 /nfs/dbraw/zinc/83/30/44/420833044.db2.gz BGPFXZIDMRDNLC-DTWKUNHWSA-N -1 1 312.374 1.070 20 0 DDADMM CCCCn1ncc([N-]S(=O)(=O)C[C@H]2CCCO2)c1C ZINC000448132362 420837174 /nfs/dbraw/zinc/83/71/74/420837174.db2.gz KSLJQMNGUXMFRC-GFCCVEGCSA-N -1 1 301.412 1.912 20 0 DDADMM CCNc1ncc(C(=O)N=c2cc(C(F)(F)F)[n-][nH]2)s1 ZINC000448515878 420857869 /nfs/dbraw/zinc/85/78/69/420857869.db2.gz GUVJNTXBQQVLHE-UHFFFAOYSA-N -1 1 305.285 1.413 20 0 DDADMM COc1ccc(O)c(C(=O)N=c2cc(C(F)(F)F)[n-][nH]2)c1 ZINC000448514337 420858076 /nfs/dbraw/zinc/85/80/76/420858076.db2.gz MGZVQUJMQINPBE-UHFFFAOYSA-N -1 1 301.224 1.817 20 0 DDADMM CCNC(=O)c1ccc(=NCCOc2ncccc2Cl)[n-]n1 ZINC000471726545 420946434 /nfs/dbraw/zinc/94/64/34/420946434.db2.gz MKJGECCUWFJKAA-UHFFFAOYSA-N -1 1 321.768 1.188 20 0 DDADMM CC[C@@H](NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C)[C@H](C)O ZINC000456218651 421124934 /nfs/dbraw/zinc/12/49/34/421124934.db2.gz MLKDFSDAPHWUNX-WCQYABFASA-N -1 1 320.393 1.260 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)CC1(O)CCC1 ZINC000450595693 421215227 /nfs/dbraw/zinc/21/52/27/421215227.db2.gz FODNSGDJNHGPGY-UHFFFAOYSA-N -1 1 316.354 1.441 20 0 DDADMM CC(C)[C@@H]1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000544737248 421228889 /nfs/dbraw/zinc/22/88/89/421228889.db2.gz HUVGHAFUWMIXMM-GHMZBOCLSA-N -1 1 303.366 1.362 20 0 DDADMM Cn1[n-]c(CN2CCn3c(cc4ccc(Cl)cc43)C2)nc1=O ZINC000559977588 421231240 /nfs/dbraw/zinc/23/12/40/421231240.db2.gz XQTIGBIESZBUAR-UHFFFAOYSA-N -1 1 317.780 1.732 20 0 DDADMM O=S(=O)(CC1CCCC1)[N-]Cc1nc(C(F)(F)F)no1 ZINC000545183663 421241256 /nfs/dbraw/zinc/24/12/56/421241256.db2.gz WBSVJAFKEBLPJA-UHFFFAOYSA-N -1 1 313.301 1.698 20 0 DDADMM COCCO[N-]C(=O)C1(CNC(=O)OC(C)(C)C)CCCC1 ZINC000496660239 421293930 /nfs/dbraw/zinc/29/39/30/421293930.db2.gz FAUFDRAJHWDGNR-UHFFFAOYSA-N -1 1 316.398 1.766 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1CCc2ccccc2O1 ZINC000560823998 421294673 /nfs/dbraw/zinc/29/46/73/421294673.db2.gz ZOIZGKYYPDGEQI-CYBMUJFWSA-N -1 1 313.361 1.479 20 0 DDADMM O=C(CN1CCC(c2ccccc2F)CC1)Nc1nnn[n-]1 ZINC000562702101 421400869 /nfs/dbraw/zinc/40/08/69/421400869.db2.gz BHDVAUUFVXYOOA-UHFFFAOYSA-N -1 1 304.329 1.157 20 0 DDADMM O=C(CN1CCC(c2ccccc2F)CC1)Nc1nn[n-]n1 ZINC000562702101 421400870 /nfs/dbraw/zinc/40/08/70/421400870.db2.gz BHDVAUUFVXYOOA-UHFFFAOYSA-N -1 1 304.329 1.157 20 0 DDADMM Cc1cc(C)n([C@@H](C)CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)n1 ZINC000548137493 421405834 /nfs/dbraw/zinc/40/58/34/421405834.db2.gz PJTOTEHVOOZZSZ-SKDRFNHKSA-N -1 1 305.386 1.226 20 0 DDADMM Cc1oc2nc(CN3CC[C@@H](C)[C@@H](C(=O)[O-])C3)nc(N)c2c1C ZINC000563126885 421459069 /nfs/dbraw/zinc/45/90/69/421459069.db2.gz UUZBTCQCEFRHEB-KCJUWKMLSA-N -1 1 318.377 1.964 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2cccc(F)c2F)n1 ZINC000528445140 421490750 /nfs/dbraw/zinc/49/07/50/421490750.db2.gz HRVOIJOJWZCHFX-UHFFFAOYSA-N -1 1 302.306 1.055 20 0 DDADMM CC1(c2nc(=NC(=O)[C@@H]3CCc4[nH]cnc4C3)s[n-]2)CC1 ZINC000529697449 421552222 /nfs/dbraw/zinc/55/22/22/421552222.db2.gz OLPWVRIXEQUFDP-MRVPVSSYSA-N -1 1 303.391 1.478 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCO[C@H](CC(C)C)C1 ZINC000563949440 421559601 /nfs/dbraw/zinc/55/96/01/421559601.db2.gz XXEJWBUVULJIRF-WDEREUQCSA-N -1 1 317.411 1.268 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCO[C@H](CC(C)C)C1 ZINC000563949440 421559603 /nfs/dbraw/zinc/55/96/03/421559603.db2.gz XXEJWBUVULJIRF-WDEREUQCSA-N -1 1 317.411 1.268 20 0 DDADMM CCOC[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000529893178 421566073 /nfs/dbraw/zinc/56/60/73/421566073.db2.gz HPKRMRFNZSDVFA-VIFPVBQESA-N -1 1 301.289 1.548 20 0 DDADMM O=C([O-])Cn1cc(C[N@H+]2CCCC[C@H]2Cc2ccccc2)nn1 ZINC000563723729 421538084 /nfs/dbraw/zinc/53/80/84/421538084.db2.gz NZTFLIROISOVPS-INIZCTEOSA-N -1 1 314.389 1.960 20 0 DDADMM O=C([O-])Cn1cc(CN2CCCC[C@H]2Cc2ccccc2)nn1 ZINC000563723729 421538085 /nfs/dbraw/zinc/53/80/85/421538085.db2.gz NZTFLIROISOVPS-INIZCTEOSA-N -1 1 314.389 1.960 20 0 DDADMM CCCc1nc(=NC(=O)N[C@@H](C)c2n[nH]c(CC)n2)s[n-]1 ZINC000518261559 421645430 /nfs/dbraw/zinc/64/54/30/421645430.db2.gz NWXJEAJSYDZJPM-ZETCQYMHSA-N -1 1 309.399 1.476 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H](C)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538225800 421738169 /nfs/dbraw/zinc/73/81/69/421738169.db2.gz WZFJUSJXKZABDW-SKDRFNHKSA-N -1 1 317.397 1.060 20 0 DDADMM CCC1(CC)[C@@H](NS(=O)(=O)c2c(C)o[n-]c2=N)C[C@@H]1OC ZINC000520291045 421745338 /nfs/dbraw/zinc/74/53/38/421745338.db2.gz NMZNJCKJTHYPEJ-UWVGGRQHSA-N -1 1 317.411 1.268 20 0 DDADMM CCC1(CC)[C@@H]([N-]S(=O)(=O)c2c(C)onc2N)C[C@@H]1OC ZINC000520291045 421745340 /nfs/dbraw/zinc/74/53/40/421745340.db2.gz NMZNJCKJTHYPEJ-UWVGGRQHSA-N -1 1 317.411 1.268 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCc3cccc(=O)[nH]3)cnc2n1 ZINC000539752503 421750726 /nfs/dbraw/zinc/75/07/26/421750726.db2.gz IJRRUHDVLYWFFQ-UHFFFAOYSA-N -1 1 310.313 1.674 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCc1cccc(=O)[nH]1)c2=O ZINC000539752503 421750731 /nfs/dbraw/zinc/75/07/31/421750731.db2.gz IJRRUHDVLYWFFQ-UHFFFAOYSA-N -1 1 310.313 1.674 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2C[C@H](C)O[C@@H]2C)sc1C ZINC000557088577 421758232 /nfs/dbraw/zinc/75/82/32/421758232.db2.gz PLWZXVXNGJQJEM-NMLBEHRDSA-N -1 1 304.437 1.852 20 0 DDADMM CC(C)CC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCCC1 ZINC000541834512 421807815 /nfs/dbraw/zinc/80/78/15/421807815.db2.gz KCNQHRJAKBXXJA-UHFFFAOYSA-N -1 1 317.393 1.754 20 0 DDADMM CO[C@H](C)c1nc(=N[C@H]2CCC[C@@H](S(C)(=O)=O)C2)s[n-]1 ZINC000558687742 421821361 /nfs/dbraw/zinc/82/13/61/421821361.db2.gz BFBDRMVGKOBRLM-KXUCPTDWSA-N -1 1 319.452 1.435 20 0 DDADMM COc1ccc(CNC(=O)[C@H]2CCCN2C2CC2)cc1C(=O)[O-] ZINC000630097561 421887400 /nfs/dbraw/zinc/88/74/00/421887400.db2.gz JOLUGVBGLZPCDP-CQSZACIVSA-N -1 1 318.373 1.636 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H]2CCC[C@@H]3CN(C(C)=O)C[C@H]32)s[n-]1 ZINC000581034154 421888343 /nfs/dbraw/zinc/88/83/43/421888343.db2.gz ZVBCIWXXNNZECS-OJAKKHQRSA-N -1 1 324.450 1.726 20 0 DDADMM CC(C)[C@@H]1C[C@@H](CC(=O)NC2(c3nn[n-]n3)CCCC2)CCO1 ZINC000543822690 421842055 /nfs/dbraw/zinc/84/20/55/421842055.db2.gz CJKFRPOFVXINIM-STQMWFEESA-N -1 1 321.425 1.927 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)Cc2cccc(F)c2)CC1 ZINC000630223129 421973044 /nfs/dbraw/zinc/97/30/44/421973044.db2.gz HZXKLYMQEHYQLG-LBPRGKRZSA-N -1 1 308.353 1.376 20 0 DDADMM CCCN1C[C@@H](CNC(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000633457021 421913528 /nfs/dbraw/zinc/91/35/28/421913528.db2.gz LYZAIXWNEMVNRR-GFCCVEGCSA-N -1 1 324.808 1.963 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1F ZINC000630222240 421973233 /nfs/dbraw/zinc/97/32/33/421973233.db2.gz FEXCOLTYDYBDGF-LBPRGKRZSA-N -1 1 308.353 1.755 20 0 DDADMM Cc1cccc(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000630221868 421973616 /nfs/dbraw/zinc/97/36/16/421973616.db2.gz DEQYEWCZBNHAGB-CQSZACIVSA-N -1 1 304.390 1.545 20 0 DDADMM COC1(CNC(=O)Cc2ccc([O-])c(Cl)c2)CCOCC1 ZINC000632023005 422029164 /nfs/dbraw/zinc/02/91/64/422029164.db2.gz AIKFEEGHCOLYBR-UHFFFAOYSA-N -1 1 313.781 1.900 20 0 DDADMM Cc1cnc(SC[C@@H]2CCCN(S(C)(=O)=O)C2)[n-]c1=O ZINC000573936254 422038152 /nfs/dbraw/zinc/03/81/52/422038152.db2.gz XPCOAQLIHARLLC-SNVBAGLBSA-N -1 1 317.436 1.254 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cc(C(C)(C)C)n[nH]2)CC1 ZINC000630223986 421976176 /nfs/dbraw/zinc/97/61/76/421976176.db2.gz MZYPHBDPLRELKP-NSHDSACASA-N -1 1 322.409 1.328 20 0 DDADMM COc1ccc(O)c(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1 ZINC000630225189 421976362 /nfs/dbraw/zinc/97/63/62/421976362.db2.gz HNLOIMXPXVKRRM-UHFFFAOYSA-N -1 1 322.361 1.022 20 0 DDADMM Cc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c(O)c1 ZINC000630227152 421978698 /nfs/dbraw/zinc/97/86/98/421978698.db2.gz ZXAJYRPZOVIOSI-LBPRGKRZSA-N -1 1 306.362 1.322 20 0 DDADMM CC(C)Oc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)nc1 ZINC000574144424 422063579 /nfs/dbraw/zinc/06/35/79/422063579.db2.gz RTFAHURRXYLGKU-JTQLQIEISA-N -1 1 304.354 1.258 20 0 DDADMM C[C@@H]1Cc2cccc(C(=O)NC3(c4nn[n-]n4)CCCC3)c2O1 ZINC000581924813 422069039 /nfs/dbraw/zinc/06/90/39/422069039.db2.gz HLPPMBNQRLBQCK-SNVBAGLBSA-N -1 1 313.361 1.722 20 0 DDADMM CN(Cc1ccc(C(F)(F)F)cc1)C(=O)CCc1nn[n-]n1 ZINC000630453500 422111241 /nfs/dbraw/zinc/11/12/41/422111241.db2.gz QLXRUHDEKXGIDA-UHFFFAOYSA-N -1 1 313.283 1.810 20 0 DDADMM CCOC[C@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)CCO1 ZINC000633684654 422047044 /nfs/dbraw/zinc/04/70/44/422047044.db2.gz WOQHWBBHEKWYKG-GFCCVEGCSA-N -1 1 313.781 1.852 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1Cc2ccc(Cl)cc21 ZINC000633690499 422052582 /nfs/dbraw/zinc/05/25/82/422052582.db2.gz FJSFSOGFOSIRFA-NSHDSACASA-N -1 1 318.764 1.431 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(Cc1cn(-c3ccccc3)nn1)CC2 ZINC000574816776 422188297 /nfs/dbraw/zinc/18/82/97/422188297.db2.gz UOAQILBUWQDLAY-HNNXBMFYSA-N -1 1 312.373 1.954 20 0 DDADMM COCC1=CCN(S(=O)(=O)c2cc(OC)ccc2[O-])CC1 ZINC000632234221 422185014 /nfs/dbraw/zinc/18/50/14/422185014.db2.gz NVLJDCXQVITHIV-UHFFFAOYSA-N -1 1 313.375 1.368 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)c1ccc(Br)cc1 ZINC000630476394 422124685 /nfs/dbraw/zinc/12/46/85/422124685.db2.gz PURBNCHBLVIZKK-QMMMGPOBSA-N -1 1 324.182 1.772 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3CCC=CCC3)nc2n1 ZINC000637418645 422202266 /nfs/dbraw/zinc/20/22/66/422202266.db2.gz CXEJFXQYFRNAON-UHFFFAOYSA-N -1 1 301.350 1.665 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)C(=O)CCCc2nn[n-]n2)C1(CC)CC ZINC000635659441 422214935 /nfs/dbraw/zinc/21/49/35/422214935.db2.gz OHFLUIBRZWSPGM-CHWSQXEVSA-N -1 1 323.441 1.965 20 0 DDADMM CCSc1nccnc1C(=O)Nc1[nH]cnc1C(=O)OC ZINC000574925094 422217000 /nfs/dbraw/zinc/21/70/00/422217000.db2.gz QABMEGXFZGZNTA-UHFFFAOYSA-N -1 1 307.335 1.351 20 0 DDADMM O=C1C[C@@H](S(=O)(=O)[N-]C2(C(F)F)CCCC2)CN1C1CC1 ZINC000632340333 422258819 /nfs/dbraw/zinc/25/88/19/422258819.db2.gz CNLDPEVLIGGUFO-SNVBAGLBSA-N -1 1 322.377 1.247 20 0 DDADMM O=C(Cn1ccc2ccccc21)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000583980531 422230680 /nfs/dbraw/zinc/23/06/80/422230680.db2.gz KBVCBTSPPIFHDK-UHFFFAOYSA-N -1 1 324.344 1.290 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H]1c1ccc(O)cc1 ZINC000635684679 422238983 /nfs/dbraw/zinc/23/89/83/422238983.db2.gz XVSSTMVDKCYEAP-CYBMUJFWSA-N -1 1 301.350 1.592 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)[C@H](C)OC)n[n-]1 ZINC000574855252 422195888 /nfs/dbraw/zinc/19/58/88/422195888.db2.gz ASWXSPJTERRADN-UWVGGRQHSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)[C@H](C)OC)[n-]1 ZINC000574855252 422195893 /nfs/dbraw/zinc/19/58/93/422195893.db2.gz ASWXSPJTERRADN-UWVGGRQHSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)[C@H](C)OC)n1 ZINC000574855252 422195896 /nfs/dbraw/zinc/19/58/96/422195896.db2.gz ASWXSPJTERRADN-UWVGGRQHSA-N -1 1 312.370 1.220 20 0 DDADMM Cc1nc2c(F)cccc2cc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000584118258 422265631 /nfs/dbraw/zinc/26/56/31/422265631.db2.gz ONGAAZVGRCGDAT-UHFFFAOYSA-N -1 1 312.308 1.615 20 0 DDADMM CCN(C(=O)CCc1nn[n-]n1)c1cccc(Br)c1 ZINC000630712495 422284253 /nfs/dbraw/zinc/28/42/53/422284253.db2.gz CGMXIIVDAZVYMB-UHFFFAOYSA-N -1 1 324.182 1.948 20 0 DDADMM CC[C@H]1[C@H](CO)CCN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632390394 422298192 /nfs/dbraw/zinc/29/81/92/422298192.db2.gz AEUYAKHQZJCKAK-JQWIXIFHSA-N -1 1 315.391 1.182 20 0 DDADMM CN1CCN(c2ccnc(NC(=O)c3ncccc3[O-])c2)CC1 ZINC000634193076 422315048 /nfs/dbraw/zinc/31/50/48/422315048.db2.gz AQWXTUOLDBBXAR-UHFFFAOYSA-N -1 1 313.361 1.186 20 0 DDADMM Cc1ccc(C[C@@H]2CCCN(C(=O)CCc3nn[n-]n3)C2)cc1 ZINC000630862558 422380557 /nfs/dbraw/zinc/38/05/57/422380557.db2.gz UHZJPRAEFQNFMQ-HNNXBMFYSA-N -1 1 313.405 1.922 20 0 DDADMM C[C@H]1CC[C@@H](C(F)(F)F)CN1C(=O)CCCc1nn[n-]n1 ZINC000635783946 422339084 /nfs/dbraw/zinc/33/90/84/422339084.db2.gz NVBMYYDPNXJFGV-DTWKUNHWSA-N -1 1 305.304 1.712 20 0 DDADMM N[C@]1(C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)CC1(F)F ZINC000634252002 422345295 /nfs/dbraw/zinc/34/52/95/422345295.db2.gz VFSLCGVVKOOCGC-HNNXBMFYSA-N -1 1 324.327 1.550 20 0 DDADMM C[C@@H](c1ccsc1)N1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC000632485302 422383777 /nfs/dbraw/zinc/38/37/77/422383777.db2.gz ZMPUQPHQBMOXQI-NSHDSACASA-N -1 1 320.422 1.099 20 0 DDADMM COc1ccc(C2(NC(=O)CCc3nn[n-]n3)CCCC2)cc1 ZINC000630884000 422393324 /nfs/dbraw/zinc/39/33/24/422393324.db2.gz QVXVBXZTIJODLH-UHFFFAOYSA-N -1 1 315.377 1.727 20 0 DDADMM C[C@@H]1CCN([C@H]2CCN(CC(F)(F)F)C2=O)C[C@@H]1C(=O)[O-] ZINC000578131513 422506419 /nfs/dbraw/zinc/50/64/19/422506419.db2.gz FNNWYEWXJAMQNQ-UTLUCORTSA-N -1 1 308.300 1.192 20 0 DDADMM O=c1c2c([n-]n1C1CCS(=O)(=O)CC1)-c1ccccc1CC2 ZINC000634619745 422510344 /nfs/dbraw/zinc/51/03/44/422510344.db2.gz IYCLJFJRMZZATE-AWEZNQCLSA-N -1 1 318.398 1.373 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCO[C@@H](c3cnn(C)c3)C2)c([O-])c1 ZINC000577885857 422452287 /nfs/dbraw/zinc/45/22/87/422452287.db2.gz WOWKIXKURGUSAZ-TZMCWYRMSA-N -1 1 316.361 1.479 20 0 DDADMM O=C(NC[C@H]1C[C@@H](O)C1)c1ccc(Br)cc1[O-] ZINC000629072028 422465037 /nfs/dbraw/zinc/46/50/37/422465037.db2.gz AJHRUTMBGFMKAS-OTSSQURYSA-N -1 1 300.152 1.655 20 0 DDADMM CC(C)(C(=O)N=c1nc(C2CCOCC2)[n-]s1)c1cnc[nH]1 ZINC000629103833 422477635 /nfs/dbraw/zinc/47/76/35/422477635.db2.gz GKXZIDBKBRSINY-UHFFFAOYSA-N -1 1 321.406 1.493 20 0 DDADMM C[C@@H]1CN(CCCOCCc2ccccc2)C[C@@H](C(=O)[O-])O1 ZINC000629372904 422635662 /nfs/dbraw/zinc/63/56/62/422635662.db2.gz ZHYDLRMSJWLRKR-ZBFHGGJFSA-N -1 1 307.390 1.810 20 0 DDADMM CCN(CCOCCO)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632885172 422643172 /nfs/dbraw/zinc/64/31/72/422643172.db2.gz UNCPQQOYKANMRQ-UHFFFAOYSA-N -1 1 301.770 1.446 20 0 DDADMM Cn1cc([N-]S(=O)(=O)CCOCC2CCC2)c(C2CC2)n1 ZINC000634792800 422650974 /nfs/dbraw/zinc/65/09/74/422650974.db2.gz IHMMFJWTTHQDOS-UHFFFAOYSA-N -1 1 313.423 1.856 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC[C@@H](n2ccnn2)CC1 ZINC000636188548 422701678 /nfs/dbraw/zinc/70/16/78/422701678.db2.gz FLXQUGZPKMTQHE-LLVKDONJSA-N -1 1 304.325 1.990 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1C[C@H]1c1ccccc1Cl ZINC000636205525 422716216 /nfs/dbraw/zinc/71/62/16/422716216.db2.gz VZANTPOHUXQACL-CMPLNLGQSA-N -1 1 305.769 1.848 20 0 DDADMM Cc1cc(F)ccc1C[C@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000636159307 422684805 /nfs/dbraw/zinc/68/48/05/422684805.db2.gz XTZICGPHHQYZSH-NSHDSACASA-N -1 1 305.357 1.717 20 0 DDADMM Cn1nccc1[C@H]1COCCN1C(=O)c1cc(Cl)ccc1[O-] ZINC000650880589 423298564 /nfs/dbraw/zinc/29/85/64/423298564.db2.gz SGFATOFOHDFQGJ-CYBMUJFWSA-N -1 1 321.764 1.993 20 0 DDADMM CCN(CC)CCS(=O)(=O)N1CCC[C@H](CCC(=O)[O-])C1 ZINC000646034178 423302003 /nfs/dbraw/zinc/30/20/03/423302003.db2.gz CVBLLELQCGDJLP-CYBMUJFWSA-N -1 1 320.455 1.235 20 0 DDADMM CCC[C@@H](NC(=O)c1cc2cc[nH]c(=O)c2s1)c1nn[n-]n1 ZINC000648815472 423476878 /nfs/dbraw/zinc/47/68/78/423476878.db2.gz SCOGZMJRXJCYFS-MRVPVSSYSA-N -1 1 318.362 1.374 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@@H](C)NC(=O)c1ccccc1 ZINC000646409172 423477552 /nfs/dbraw/zinc/47/75/52/423477552.db2.gz BIVZTHIFDWFYHH-SECBINFHSA-N -1 1 302.334 1.571 20 0 DDADMM CC(=O)N(CCc1ccccc1)CC(=O)Nc1c(C)[n-][nH]c1=O ZINC000646412702 423479720 /nfs/dbraw/zinc/47/97/20/423479720.db2.gz KGRXXTHDLOKZKY-UHFFFAOYSA-N -1 1 316.361 1.453 20 0 DDADMM CSc1cccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000648838061 423490940 /nfs/dbraw/zinc/49/09/40/423490940.db2.gz RKJUCSKLCRNIHP-VIFPVBQESA-N -1 1 304.379 1.336 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@@H]3C[C@H]3C3CCCC3)CC2)s[n-]1 ZINC000651467559 423555203 /nfs/dbraw/zinc/55/52/03/423555203.db2.gz OAOKIDXPRPDGJT-NWDGAFQWSA-N -1 1 321.450 1.426 20 0 DDADMM O=C(c1c([O-])cnc2ccc(Cl)cc21)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000646651301 423560697 /nfs/dbraw/zinc/56/06/97/423560697.db2.gz NUKLQYVFJKPIGQ-GDNZZTSVSA-N -1 1 318.760 1.904 20 0 DDADMM CC[C@@H](CNC(=O)[C@H]1CCCN1Cc1ccccc1)C(=O)[O-] ZINC000649263416 423705796 /nfs/dbraw/zinc/70/57/96/423705796.db2.gz ADDJCBYHKMECEU-LSDHHAIUSA-N -1 1 304.390 1.878 20 0 DDADMM COCC1(CNC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CC1 ZINC000654093064 423705851 /nfs/dbraw/zinc/70/58/51/423705851.db2.gz FLYDTYUHMZGELQ-UHFFFAOYSA-N -1 1 318.377 1.137 20 0 DDADMM C[C@H]1C(=O)N(C)CN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000649158636 423654581 /nfs/dbraw/zinc/65/45/81/423654581.db2.gz XKULWHHPAKBKJG-ZETCQYMHSA-N -1 1 302.252 1.671 20 0 DDADMM CN1CC(=O)N(CCSc2nc(C3CC3)cc(=O)[n-]2)C1=O ZINC000641476740 423661224 /nfs/dbraw/zinc/66/12/24/423661224.db2.gz PTBOVNAPEZYWEG-UHFFFAOYSA-N -1 1 308.363 1.046 20 0 DDADMM N=c1[n-]nc(C2CCN(C[C@@H](O)COc3ccccc3)CC2)o1 ZINC000639728037 423693787 /nfs/dbraw/zinc/69/37/87/423693787.db2.gz YREYQNZDYLWHTC-CYBMUJFWSA-N -1 1 318.377 1.101 20 0 DDADMM O=S(=O)([N-]CCC1(CO)CCC1)c1cc(F)ccc1F ZINC000651908078 423735411 /nfs/dbraw/zinc/73/54/11/423735411.db2.gz RLKNZFQCCQNYQY-UHFFFAOYSA-N -1 1 305.346 1.796 20 0 DDADMM CC(=O)N1CC[C@H](C[N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000641598403 423745620 /nfs/dbraw/zinc/74/56/20/423745620.db2.gz UHZDHQJJSFGNLS-SNVBAGLBSA-N -1 1 318.345 1.112 20 0 DDADMM N=c1[n-]nc([C@@H]2CCCN([C@@H]3C[C@@H]4CCCC[C@@H]4NC3=O)C2)o1 ZINC000639822366 423759742 /nfs/dbraw/zinc/75/97/42/423759742.db2.gz NWYJBDGMIMPGNK-QNWHQSFQSA-N -1 1 319.409 1.109 20 0 DDADMM C[C@H](C(=O)N1CCCCCC1)N1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639827485 423767866 /nfs/dbraw/zinc/76/78/66/423767866.db2.gz ZEODKUOGHCUIBZ-OLZOCXBDSA-N -1 1 321.425 1.453 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc2c(c1)nnn2C)C(C)C ZINC000647136647 423775095 /nfs/dbraw/zinc/77/50/95/423775095.db2.gz YMMUYSCVBORTDI-ZDUSSCGKSA-N -1 1 312.395 1.381 20 0 DDADMM CNc1ccc(Cl)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000644869234 423997548 /nfs/dbraw/zinc/99/75/48/423997548.db2.gz XXZACAUXCYLGON-VIFPVBQESA-N -1 1 320.784 1.915 20 0 DDADMM COc1ccnc(N2CCN(c3ncccc3C(=O)[O-])CC2)c1 ZINC000647449370 424029195 /nfs/dbraw/zinc/02/91/95/424029195.db2.gz BKXKGKKMNYHOQV-UHFFFAOYSA-N -1 1 314.345 1.510 20 0 DDADMM COCc1nc(CNS(=O)(=O)c2ccccc2Cl)n[nH]1 ZINC000657104983 424111669 /nfs/dbraw/zinc/11/16/69/424111669.db2.gz WJXAGOFRZKPTLA-UHFFFAOYSA-N -1 1 316.770 1.083 20 0 DDADMM Cc1ccccc1OCCCN(C)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662203221 424469568 /nfs/dbraw/zinc/46/95/68/424469568.db2.gz PIXYGFSWTMCXOS-AWEZNQCLSA-N -1 1 320.389 1.381 20 0 DDADMM CN(CCCOc1ccccc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662202713 424469881 /nfs/dbraw/zinc/46/98/81/424469881.db2.gz ORZSGJHUAGNKKK-AWEZNQCLSA-N -1 1 306.362 1.073 20 0 DDADMM C[C@]1(c2ccccc2)CCCN([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662204528 424471201 /nfs/dbraw/zinc/47/12/01/424471201.db2.gz HYDBKQALAWJMSF-QAPCUYQASA-N -1 1 316.401 1.726 20 0 DDADMM CC(C)[C@@H](O)[C@@H](Nc1nc2[nH][n-]cc-2c(=O)n1)c1ccccc1 ZINC000664532358 424627450 /nfs/dbraw/zinc/62/74/50/424627450.db2.gz RSUSTUTVTJEMOU-QWHCGFSZSA-N -1 1 313.361 1.602 20 0 DDADMM O=C(NCCCN1CCCC1=O)c1ncc2ccccc2c1[O-] ZINC000665096943 424742532 /nfs/dbraw/zinc/74/25/32/424742532.db2.gz FJUUSWXMPGELTO-UHFFFAOYSA-N -1 1 313.357 1.683 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)NC[C@@H](C(=O)[O-])C1CCC1 ZINC000665317746 424781975 /nfs/dbraw/zinc/78/19/75/424781975.db2.gz GSEJEFJXFSWSNG-ZIAGYGMSSA-N -1 1 311.426 1.613 20 0 DDADMM CN(CC[C@H]1Sc2ccccc2NC1=O)Cc1n[n-]c(=O)o1 ZINC000660843694 424785517 /nfs/dbraw/zinc/78/55/17/424785517.db2.gz HNVRWRPFWYTVJN-LLVKDONJSA-N -1 1 320.374 1.710 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](N3CCOCC3)C[C@H]2C)c([O-])c1 ZINC000342245650 271353033 /nfs/dbraw/zinc/35/30/33/271353033.db2.gz JYLAFMKDXBIASM-CHWSQXEVSA-N -1 1 305.378 1.031 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)c2ccon2)c1 ZINC000342360085 271390028 /nfs/dbraw/zinc/39/00/28/271390028.db2.gz NDTCTJOLNIKBGT-SSDOTTSWSA-N -1 1 300.292 1.094 20 0 DDADMM CC(C)c1cccnc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000344651584 272080797 /nfs/dbraw/zinc/08/07/97/272080797.db2.gz CCALGLMJMBYYHG-UHFFFAOYSA-N -1 1 314.345 1.674 20 0 DDADMM CCNC(=O)c1ccc(=NCC[C@H](OC)C(F)(F)F)[n-]n1 ZINC000345040265 272161181 /nfs/dbraw/zinc/16/11/81/272161181.db2.gz UWKLJALIAUMYFS-VIFPVBQESA-N -1 1 306.288 1.028 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCC2(F)CCC2)co1 ZINC000345711463 272311269 /nfs/dbraw/zinc/31/12/69/272311269.db2.gz MKDMEYXMRGSVDD-UHFFFAOYSA-N -1 1 304.343 1.200 20 0 DDADMM CCC[C@H](NCC(=O)Nc1cccc2nsnc21)C(=O)[O-] ZINC000036838624 276044504 /nfs/dbraw/zinc/04/45/04/276044504.db2.gz OLJBAKPRDGPLQM-JTQLQIEISA-N -1 1 308.363 1.473 20 0 DDADMM CC1(C)[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)[C@@H]2CCO[C@@H]21 ZINC000154156322 278443340 /nfs/dbraw/zinc/44/33/40/278443340.db2.gz PKHLUDLCDPLNCT-UHTWSYAYSA-N -1 1 313.357 1.466 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCCN(c3ncccn3)C2)c([O-])c1 ZINC000331897193 279009513 /nfs/dbraw/zinc/00/95/13/279009513.db2.gz RUSDQWSRUCRPDO-LBPRGKRZSA-N -1 1 313.361 1.284 20 0 DDADMM NC(=O)CCCCNC(=O)c1cc(Br)ccc1[O-] ZINC000089357725 281019966 /nfs/dbraw/zinc/01/99/66/281019966.db2.gz VLPHJBMIHXFCHZ-UHFFFAOYSA-N -1 1 315.167 1.540 20 0 DDADMM O=C(NCCNC(=O)c1c([O-])cccc1F)c1ccccc1F ZINC000120516870 281119749 /nfs/dbraw/zinc/11/97/49/281119749.db2.gz FLTMOJDRTCQFLL-UHFFFAOYSA-N -1 1 320.295 1.830 20 0 DDADMM COc1ccc(C)cc1C=CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000124545120 281191420 /nfs/dbraw/zinc/19/14/20/281191420.db2.gz QHCXWOYRUUINIY-WAFBPQNNSA-N -1 1 315.377 1.792 20 0 DDADMM C[C@H](NC(=O)c1ccc(Cl)cc1[O-])C(=O)N1CCOCC1 ZINC000130142510 281369116 /nfs/dbraw/zinc/36/91/16/281369116.db2.gz KSHRQDBINJXJTL-VIFPVBQESA-N -1 1 312.753 1.023 20 0 DDADMM CCc1ccc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000340371285 281410568 /nfs/dbraw/zinc/41/05/68/281410568.db2.gz JKKDPBGHRQXGRZ-UHFFFAOYSA-N -1 1 316.386 1.705 20 0 DDADMM NC(=O)CO[N-]C(=O)[C@]1(Cc2ccccc2)C[C@H]2CC[C@@H]1C2 ZINC000180363908 295048616 /nfs/dbraw/zinc/04/86/16/295048616.db2.gz MLFPWLKOBXYDKK-JJRVBVJISA-N -1 1 302.374 1.569 20 0 DDADMM COc1ccc(CN[C@H](C(=O)[O-])c2ccnn2C)cc1Cl ZINC000424614460 533694567 /nfs/dbraw/zinc/69/45/67/533694567.db2.gz CHOLZTJKTWPITN-ZDUSSCGKSA-N -1 1 309.753 1.998 20 0 DDADMM CS(=O)(=O)Cc1cccc(CNC(=O)c2ncccc2[O-])c1 ZINC000171298151 298230995 /nfs/dbraw/zinc/23/09/95/298230995.db2.gz NKYPOPHFDCNTNA-UHFFFAOYSA-N -1 1 320.370 1.262 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCCOCC1)c2=O ZINC000363436546 300266827 /nfs/dbraw/zinc/26/68/27/300266827.db2.gz MERZIABBRXAPJX-UHFFFAOYSA-N -1 1 302.330 1.399 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)[C@@H]3COc4ccccc4C3)ccnc1-2 ZINC000370483279 301348797 /nfs/dbraw/zinc/34/87/97/301348797.db2.gz GIOFSHSUKUDTTD-SXPRPLFSSA-N -1 1 308.341 1.532 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@@H]2c2cnn(C)c2)c1 ZINC000374474012 301809441 /nfs/dbraw/zinc/80/94/41/301809441.db2.gz BQNSYZGURVOCGT-VXGBXAGGSA-N -1 1 315.329 1.655 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2Cc3ccccc3CO2)co1 ZINC000377190736 302153960 /nfs/dbraw/zinc/15/39/60/302153960.db2.gz NUHXZPQABLMHBA-UHFFFAOYSA-N -1 1 322.342 1.275 20 0 DDADMM Cc1csc2c1CN(S(=O)(=O)c1c(C)o[n-]c1=N)CC2 ZINC000377858380 302243668 /nfs/dbraw/zinc/24/36/68/302243668.db2.gz YHRFIDFPBPFDOD-UHFFFAOYSA-N -1 1 313.404 1.513 20 0 DDADMM CCOC(=O)c1cnc(/C=C\c2cc(=O)n3[n-]cnc3n2)s1 ZINC000357174605 306872356 /nfs/dbraw/zinc/87/23/56/306872356.db2.gz QJWYXWYQLRFLQY-ARJAWSKDSA-N -1 1 317.330 1.221 20 0 DDADMM CC(C)[C@H]1CNCCN1C(=O)N=c1[n-]nc(-c2ccco2)s1 ZINC000528676219 303055483 /nfs/dbraw/zinc/05/54/83/303055483.db2.gz VMEVNWMCSVJQFK-SNVBAGLBSA-N -1 1 321.406 1.682 20 0 DDADMM CC1(C)C[C@@H](C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C(C)(C)O1 ZINC000528882801 303069281 /nfs/dbraw/zinc/06/92/81/303069281.db2.gz NLSHPHRWBGIYTN-MNOVXSKESA-N -1 1 307.398 1.499 20 0 DDADMM CN1CC(=O)N(CCCC(=O)Nc2cccc(F)c2[O-])C1=O ZINC000530007533 303170005 /nfs/dbraw/zinc/17/00/05/303170005.db2.gz WYIFXLVTZFPSHP-UHFFFAOYSA-N -1 1 309.297 1.144 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)Cc2cnc(C(=O)[O-])cn2)C12CCC2 ZINC000530162103 303177449 /nfs/dbraw/zinc/17/74/49/303177449.db2.gz FPOSSEOJGOIBJS-KGLIPLIRSA-N -1 1 305.378 1.954 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(C[C@@H](O)c2ccc(F)cc2Cl)C1 ZINC000530169335 303178618 /nfs/dbraw/zinc/17/86/18/303178618.db2.gz KGPROOIDJZNKHC-OCCSQVGLSA-N -1 1 317.744 1.688 20 0 DDADMM CC(C)C(=O)NCC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000530772033 303211266 /nfs/dbraw/zinc/21/12/66/303211266.db2.gz UHNLGSQTBWVTEP-UHFFFAOYSA-N -1 1 310.375 1.483 20 0 DDADMM Cc1nnc(-c2cccc(C(=O)NC(C)(C)c3nn[n-]n3)c2)o1 ZINC000531336209 303240914 /nfs/dbraw/zinc/24/09/14/303240914.db2.gz SMQUHMDBHHBALD-UHFFFAOYSA-N -1 1 313.321 1.223 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)C[C@@H]2CCCO2)sc1C ZINC000532932159 303302094 /nfs/dbraw/zinc/30/20/94/303302094.db2.gz DFVCYVYMWNGZBK-KCJUWKMLSA-N -1 1 304.437 1.996 20 0 DDADMM O=C([O-])C1(NCC(=O)N[C@H]2CCOc3ccccc32)CCCC1 ZINC000533202578 303313489 /nfs/dbraw/zinc/31/34/89/303313489.db2.gz BAOKXXHKTKZIHF-ZDUSSCGKSA-N -1 1 318.373 1.613 20 0 DDADMM Cc1nc(S(=O)(=O)Nc2c(F)ccc(C)c2C(=O)[O-])c[nH]1 ZINC000534147210 303327261 /nfs/dbraw/zinc/32/72/61/303327261.db2.gz NSYDNYZHHODMCE-UHFFFAOYSA-N -1 1 313.310 1.665 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]Cc1nc([C@@H](C)C2CC2)no1 ZINC000357599340 306897362 /nfs/dbraw/zinc/89/73/62/306897362.db2.gz SUJVTBLEPDERNR-JTQLQIEISA-N -1 1 317.411 1.428 20 0 DDADMM C[C@@H]1CC(C)(C)CC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544808679 303478556 /nfs/dbraw/zinc/47/85/56/303478556.db2.gz ZBBMLRAFLVRVBU-ZJUUUORDSA-N -1 1 303.366 1.362 20 0 DDADMM C[C@@H](CCN=c1ccc(C(=O)NCCO)n[n-]1)c1ccccc1 ZINC000358670972 306947019 /nfs/dbraw/zinc/94/70/19/306947019.db2.gz BVQSPQWFBMDPCB-ZDUSSCGKSA-N -1 1 314.389 1.226 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)Cc1cc(C)no1)c1ccc(C)o1 ZINC000362584620 307021272 /nfs/dbraw/zinc/02/12/72/307021272.db2.gz WQEKAFRJGFOAFO-LBPRGKRZSA-N -1 1 314.363 1.692 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](C)[C@H]1COc2ccccc21 ZINC000367674149 307101266 /nfs/dbraw/zinc/10/12/66/307101266.db2.gz VNHXDQFABSRHMO-LDYMZIIASA-N -1 1 323.374 1.239 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)[C@H]1COc2ccccc21 ZINC000367674149 307101267 /nfs/dbraw/zinc/10/12/67/307101267.db2.gz VNHXDQFABSRHMO-LDYMZIIASA-N -1 1 323.374 1.239 20 0 DDADMM CN(C)c1noc([C@@H]2CCCN2C(=O)c2cncc([O-])c2)n1 ZINC000370576176 307130166 /nfs/dbraw/zinc/13/01/66/307130166.db2.gz QBQALNTXTJIHIZ-NSHDSACASA-N -1 1 303.322 1.214 20 0 DDADMM O=C(Cc1ccc(Cl)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000371019659 307141155 /nfs/dbraw/zinc/14/11/55/307141155.db2.gz TWZCIIVZYRAZKJ-LLVKDONJSA-N -1 1 305.769 1.802 20 0 DDADMM CC[C@@]1(C(=O)Nc2nnn[n-]2)CCN(C(=O)OC(C)(C)C)C1 ZINC000372168878 307164962 /nfs/dbraw/zinc/16/49/62/307164962.db2.gz JSUTYGXBDNZIEB-CYBMUJFWSA-N -1 1 310.358 1.175 20 0 DDADMM CC[C@@]1(C(=O)Nc2nn[n-]n2)CCN(C(=O)OC(C)(C)C)C1 ZINC000372168878 307164963 /nfs/dbraw/zinc/16/49/63/307164963.db2.gz JSUTYGXBDNZIEB-CYBMUJFWSA-N -1 1 310.358 1.175 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@@H]1[C@H]1CCCO1)c2=O ZINC000375970370 307236798 /nfs/dbraw/zinc/23/67/98/307236798.db2.gz VWPVKYDAFROEBO-CHWSQXEVSA-N -1 1 316.361 1.458 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H]3Cc4ccccc4[C@@H]3O)ccnc1-2 ZINC000376621601 307249931 /nfs/dbraw/zinc/24/99/31/307249931.db2.gz LCWAOZTZBIMOPT-YIGQXFKFSA-N -1 1 323.356 1.122 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(C)n1C(C)C ZINC000377596235 307274215 /nfs/dbraw/zinc/27/42/15/307274215.db2.gz XDZFVHIUSMWJFD-ZDUSSCGKSA-N -1 1 318.381 1.413 20 0 DDADMM CCC(=O)N1CCCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000377620237 307274880 /nfs/dbraw/zinc/27/48/80/307274880.db2.gz FYXMYXYNNWKYMP-UHFFFAOYSA-N -1 1 312.316 1.755 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](CO)C1CCCC1 ZINC000395117876 307295923 /nfs/dbraw/zinc/29/59/23/307295923.db2.gz JZRCVBRNDWJDGA-JTQLQIEISA-N -1 1 311.407 1.493 20 0 DDADMM CC1(C)CCC[C@@H](CN=c2ccc(C(=O)NCCO)n[n-]2)C1 ZINC000450927368 307306598 /nfs/dbraw/zinc/30/65/98/307306598.db2.gz PBOQLFPNGFOYLP-GFCCVEGCSA-N -1 1 306.410 1.249 20 0 DDADMM CNC(=O)[C@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000534288754 307646791 /nfs/dbraw/zinc/64/67/91/307646791.db2.gz BQIQXUNHMZNTKB-RYUDHWBXSA-N -1 1 322.386 1.626 20 0 DDADMM CCC[C@@H]1CCCC[C@@H]1NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000562776764 307944869 /nfs/dbraw/zinc/94/48/69/307944869.db2.gz OFYRESRNQWJBDB-ZJUUUORDSA-N -1 1 309.366 1.985 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(O)(Cc2ccncc2)CC1 ZINC000564732371 308004411 /nfs/dbraw/zinc/00/44/11/308004411.db2.gz ZZEPCDOFYOAHES-UHFFFAOYSA-N -1 1 313.357 1.392 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC(C)(C)[C@@H]2COC[C@@H]21 ZINC000579714472 308552907 /nfs/dbraw/zinc/55/29/07/308552907.db2.gz WFMFSJAQACXOGW-ZJUUUORDSA-N -1 1 323.418 1.710 20 0 DDADMM C[C@@H](CN(C)C(=O)COCc1cccc(Cl)c1)c1nn[n-]n1 ZINC000457702118 533714566 /nfs/dbraw/zinc/71/45/66/533714566.db2.gz BGRZXTYYSJJNPD-JTQLQIEISA-N -1 1 323.784 1.632 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2csc(C3CC3)n2)n1 ZINC000583148705 337246361 /nfs/dbraw/zinc/24/63/61/337246361.db2.gz MJRASOOCGZBSCY-UHFFFAOYSA-N -1 1 310.360 1.911 20 0 DDADMM CCO[C@@H]1C[C@@](NCc2cn(C3CCC3)nn2)(C(=O)[O-])C1(C)C ZINC000656566357 484213888 /nfs/dbraw/zinc/21/38/88/484213888.db2.gz IUUKAHDAYRSHDY-CZUORRHYSA-N -1 1 322.409 1.751 20 0 DDADMM CC[C@H]1CCN([C@H]2CC(=O)N(c3cccc(C(=O)[O-])c3)C2=O)C1 ZINC000531264899 484298313 /nfs/dbraw/zinc/29/83/13/484298313.db2.gz VUTCXKFSZOGRTE-FZMZJTMJSA-N -1 1 316.357 1.749 20 0 DDADMM CCn1nc(C)c(S(=O)(=O)[N-]c2cnc3ccccn23)c1C ZINC000656741069 484310965 /nfs/dbraw/zinc/31/09/65/484310965.db2.gz CMBIHEBTNCVXDK-UHFFFAOYSA-N -1 1 319.390 1.968 20 0 DDADMM COCCCNC(=O)[C@H](C)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000321022365 484405338 /nfs/dbraw/zinc/40/53/38/484405338.db2.gz PJUXZUXHHSEAJS-WFASDCNBSA-N -1 1 320.389 1.212 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(C[C@@H](C)COC)no2)o1 ZINC000351469714 484488594 /nfs/dbraw/zinc/48/85/94/484488594.db2.gz VTAIAWTUYOVFBE-MRVPVSSYSA-N -1 1 315.351 1.063 20 0 DDADMM CC(C)(C)[C@@H](C(=O)[O-])C(=O)N1CCN(C2CCOCC2)CC1 ZINC000663102209 484667408 /nfs/dbraw/zinc/66/74/08/484667408.db2.gz OITDBGHJBPBRGU-CYBMUJFWSA-N -1 1 312.410 1.057 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC000663102886 484667685 /nfs/dbraw/zinc/66/76/85/484667685.db2.gz PTNVMTRAKXEDAS-OAHLLOKOSA-N -1 1 300.399 1.057 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC000663104101 484670108 /nfs/dbraw/zinc/67/01/08/484670108.db2.gz HLNLMJOFJQWZTD-ZUEPYMLJSA-N -1 1 312.410 1.199 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)N1CCN(CC2CCCCC2)CC1 ZINC000663108200 484673183 /nfs/dbraw/zinc/67/31/83/484673183.db2.gz PKJZIIZEBQKOKT-MRXNPFEDSA-N -1 1 312.410 1.201 20 0 DDADMM CC1CCN(CC(=O)N[C@](C)(C(=O)[O-])c2ccccc2)CC1 ZINC000663140724 484699374 /nfs/dbraw/zinc/69/93/74/484699374.db2.gz GNLQERSHFMVSCQ-KRWDZBQOSA-N -1 1 304.390 1.835 20 0 DDADMM O=C(CCOc1ccccc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670383299 484801297 /nfs/dbraw/zinc/80/12/97/484801297.db2.gz BBDAXAMGLVTAOT-GFCCVEGCSA-N -1 1 317.345 1.950 20 0 DDADMM O=C([O-])C1(CNC(=O)Nc2cnn(-c3ccncc3)c2)CCC1 ZINC000663347407 484819368 /nfs/dbraw/zinc/81/93/68/484819368.db2.gz ZGXWQYBYLFIEHG-UHFFFAOYSA-N -1 1 315.333 1.644 20 0 DDADMM CNc1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cn1 ZINC000672770994 485346237 /nfs/dbraw/zinc/34/62/37/485346237.db2.gz YBKZNBLPLQUUKV-CYBMUJFWSA-N -1 1 309.333 1.156 20 0 DDADMM NC(=O)[C@H]1CCC[C@@H](NC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000669002285 485376418 /nfs/dbraw/zinc/37/64/18/485376418.db2.gz XWAYOECVJPKKRY-CMPLNLGQSA-N -1 1 313.357 1.714 20 0 DDADMM O=C([O-])CCCCNC(=O)Nc1cnn(-c2ccncc2)c1 ZINC000669500519 485455007 /nfs/dbraw/zinc/45/50/07/485455007.db2.gz IBLYVHUDFZYMKV-UHFFFAOYSA-N -1 1 303.322 1.644 20 0 DDADMM Cc1ccc(CC(=O)NCc2nn[n-]n2)cc1Br ZINC000682158628 485507238 /nfs/dbraw/zinc/50/72/38/485507238.db2.gz PHGUVWUWSVHAIM-UHFFFAOYSA-N -1 1 310.155 1.130 20 0 DDADMM O=C(Nc1c([O-])cccc1F)c1cnc2c(c1)NC(=O)CO2 ZINC000678374462 485557044 /nfs/dbraw/zinc/55/70/44/485557044.db2.gz LINAZWDKXOWYCB-UHFFFAOYSA-N -1 1 303.249 1.510 20 0 DDADMM COc1ccc(C(=O)N2CCOc3c2c(C)nn3C)c([O-])c1 ZINC000678933405 485733070 /nfs/dbraw/zinc/73/30/70/485733070.db2.gz IZRHBXOKTOKXCQ-UHFFFAOYSA-N -1 1 303.318 1.482 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1[nH]nc2c1CCCC2)c1ccccc1F ZINC000678986059 485749126 /nfs/dbraw/zinc/74/91/26/485749126.db2.gz SNYPEYBWSQQOGF-AWEZNQCLSA-N -1 1 317.320 1.983 20 0 DDADMM CCO[C@H]1C[C@@](O)(CNC(=O)c2ccc([O-])c(F)c2)C1(C)C ZINC000682820671 485787268 /nfs/dbraw/zinc/78/72/68/485787268.db2.gz FUJHEZMIQQYGNK-XJKSGUPXSA-N -1 1 311.353 1.827 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C)[C@@H](F)C2)o1 ZINC000679253417 485822345 /nfs/dbraw/zinc/82/23/45/485822345.db2.gz SXYWLKOKIQEFFF-BDAKNGLRSA-N -1 1 304.343 1.008 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccoc1Br)c1nn[n-]n1 ZINC000683630452 486063786 /nfs/dbraw/zinc/06/37/86/486063786.db2.gz NASBECOVVQXPBY-LURJTMIESA-N -1 1 314.143 1.431 20 0 DDADMM CC1(C)CCN(C(=O)c2ccc([O-])c(F)c2)CCS1(=O)=O ZINC000683662418 486073347 /nfs/dbraw/zinc/07/33/47/486073347.db2.gz GGKRUVZGRLLVMN-UHFFFAOYSA-N -1 1 315.366 1.571 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)N1CC(C)(F)C1 ZINC000684323407 486322286 /nfs/dbraw/zinc/32/22/86/486322286.db2.gz IOSJQSQQZGWLJF-UHFFFAOYSA-N -1 1 317.324 1.061 20 0 DDADMM Cn1nnnc1-c1cccc(NC(=O)c2ccc([O-])c(F)c2)c1 ZINC000681016218 486323945 /nfs/dbraw/zinc/32/39/45/486323945.db2.gz RSVIMBWIAWQWSM-UHFFFAOYSA-N -1 1 313.292 1.974 20 0 DDADMM CCN(C)C(=O)[C@@H]1CSCN1C(=O)c1ccc([O-])c(F)c1 ZINC000681039879 486328415 /nfs/dbraw/zinc/32/84/15/486328415.db2.gz QNUHMXLCENISEJ-NSHDSACASA-N -1 1 312.366 1.525 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC[C@@H](N2CCCC2=O)C1 ZINC000681043692 486330197 /nfs/dbraw/zinc/33/01/97/486330197.db2.gz AGXXORAHDSZOCL-GFCCVEGCSA-N -1 1 306.337 1.758 20 0 DDADMM C[C@@H](C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C1CCOCC1 ZINC000677403981 486457769 /nfs/dbraw/zinc/45/77/69/486457769.db2.gz WRCCGUWRFHQBFE-RISCZKNCSA-N -1 1 315.377 1.468 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(C(=O)Nc2ccccc2)cc1 ZINC000677547275 486494427 /nfs/dbraw/zinc/49/44/27/486494427.db2.gz UMOSEQWRCLUMIY-UHFFFAOYSA-N -1 1 322.328 1.382 20 0 DDADMM CC[C@H]1CN(C(=O)C2CC2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000681738444 486507008 /nfs/dbraw/zinc/50/70/08/486507008.db2.gz LKMUFNDUVPNAKW-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(c1ccc(O)cc1)N1CCN(Cc2ncccc2[O-])CC1 ZINC000685410614 486532491 /nfs/dbraw/zinc/53/24/91/486532491.db2.gz NAPRYUZSXNUYLY-UHFFFAOYSA-N -1 1 313.357 1.451 20 0 DDADMM CS[C@H](CO)[C@@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000451336608 534071315 /nfs/dbraw/zinc/07/13/15/534071315.db2.gz FDDXETQJKOAYQV-HTRCEHHLSA-N -1 1 315.869 1.792 20 0 DDADMM COC(=O)[C@@H](CF)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451562809 534255644 /nfs/dbraw/zinc/25/56/44/534255644.db2.gz JNPWVEWEFCTPIX-SECBINFHSA-N -1 1 319.285 1.361 20 0 DDADMM O=C(NC[C@H]1CC(=O)N(C2CC2)C1)c1c(F)ccc([O-])c1F ZINC000331378771 534629910 /nfs/dbraw/zinc/62/99/10/534629910.db2.gz HZKUZLCVVKYSHZ-MRVPVSSYSA-N -1 1 310.300 1.411 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)COC1 ZINC000451952090 527749747 /nfs/dbraw/zinc/74/97/47/527749747.db2.gz NUTPXRAULANJNR-UHFFFAOYSA-N -1 1 309.309 1.809 20 0 DDADMM CCOC(=O)[C@@H](CC)C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000412321429 527766616 /nfs/dbraw/zinc/76/66/16/527766616.db2.gz PDPFEXXOABZCQY-JTQLQIEISA-N -1 1 309.318 1.707 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)S(=O)(=O)c2c(C)o[n-]c2=N)C1(C)C ZINC000331750141 527903738 /nfs/dbraw/zinc/90/37/38/527903738.db2.gz FKBIDOJIBROIRJ-VHSXEESVSA-N -1 1 317.411 1.220 20 0 DDADMM CCC[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(C(=O)OCC)o1 ZINC000443301482 527913880 /nfs/dbraw/zinc/91/38/80/527913880.db2.gz AQMDDHRSNYEIHO-CYBMUJFWSA-N -1 1 319.379 1.286 20 0 DDADMM CC(C)C[C@@H](CNC(=O)NCc1n[nH]c(C2CC2)n1)C(=O)[O-] ZINC000424400766 528324197 /nfs/dbraw/zinc/32/41/97/528324197.db2.gz VIWNTBPRLKFLKZ-JTQLQIEISA-N -1 1 309.370 1.228 20 0 DDADMM CCOC(=O)c1csc([N-]C(=O)c2cc(OCC)no2)n1 ZINC000294563113 528359501 /nfs/dbraw/zinc/35/95/01/528359501.db2.gz JNXANWJLIDYPEC-UHFFFAOYSA-N -1 1 311.319 1.959 20 0 DDADMM CCC(=O)N1CSC[C@@H]1C(=O)Nc1ccc([O-])c(F)c1F ZINC000456792245 528386796 /nfs/dbraw/zinc/38/67/96/528386796.db2.gz HYFDFSZZJFQFOB-MRVPVSSYSA-N -1 1 316.329 1.920 20 0 DDADMM CC(C)C[C@H](CNC(=O)c1cccc(-c2nnc[nH]2)c1)C(=O)[O-] ZINC000424260278 528529268 /nfs/dbraw/zinc/52/92/68/528529268.db2.gz ZGRJAWNJHCXCDD-CYBMUJFWSA-N -1 1 316.361 1.948 20 0 DDADMM CCC(CC)(CCO)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294805211 528644737 /nfs/dbraw/zinc/64/47/37/528644737.db2.gz BLVMTBKXFFNPRN-UHFFFAOYSA-N -1 1 322.434 1.937 20 0 DDADMM CC[C@H](C)[C@H](C)NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000494557033 529240173 /nfs/dbraw/zinc/24/01/73/529240173.db2.gz HTORDGJUDVAONP-UWVGGRQHSA-N -1 1 312.443 1.217 20 0 DDADMM CC[C@@H](C)CO[N-]C(=O)C(=O)Nc1cc2cn[nH]c2cc1C ZINC000293066278 529328306 /nfs/dbraw/zinc/32/83/06/529328306.db2.gz APLJHUIZNLUJPC-SECBINFHSA-N -1 1 304.350 1.904 20 0 DDADMM CC[C@@H](C)n1ncc(C(=O)N=c2[nH][n-]c(C)c2C(=O)NC)c1C ZINC000337138115 535720795 /nfs/dbraw/zinc/72/07/95/535720795.db2.gz FSVIBIVENACAGS-MRVPVSSYSA-N -1 1 318.381 1.228 20 0 DDADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H](c2ccc(F)cc2)O1 ZINC000329565881 536298266 /nfs/dbraw/zinc/29/82/66/536298266.db2.gz HHXKTASYLRJXOI-ZWNOBZJWSA-N -1 1 306.341 1.210 20 0 DDADMM COc1cc(CCNc2nccnc2-c2nnn[n-]2)ccc1O ZINC000737045896 598943131 /nfs/dbraw/zinc/94/31/31/598943131.db2.gz SDLKTNYTYCLMMX-UHFFFAOYSA-N -1 1 313.321 1.026 20 0 DDADMM COc1cc(CCNc2nccnc2-c2nn[n-]n2)ccc1O ZINC000737045896 598943133 /nfs/dbraw/zinc/94/31/33/598943133.db2.gz SDLKTNYTYCLMMX-UHFFFAOYSA-N -1 1 313.321 1.026 20 0 DDADMM C[C@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)CCCO1 ZINC000736291339 598965318 /nfs/dbraw/zinc/96/53/18/598965318.db2.gz VWIGTXREACPVNR-JTQLQIEISA-N -1 1 311.349 1.425 20 0 DDADMM C[C@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)CCCO1 ZINC000736291339 598965319 /nfs/dbraw/zinc/96/53/19/598965319.db2.gz VWIGTXREACPVNR-JTQLQIEISA-N -1 1 311.349 1.425 20 0 DDADMM CC(=O)c1ccc(OC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000735496012 598978803 /nfs/dbraw/zinc/97/88/03/598978803.db2.gz RXXZLKLDQYUOLI-UHFFFAOYSA-N -1 1 309.285 1.684 20 0 DDADMM CC(=O)c1ccc(OC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000735496012 598978805 /nfs/dbraw/zinc/97/88/05/598978805.db2.gz RXXZLKLDQYUOLI-UHFFFAOYSA-N -1 1 309.285 1.684 20 0 DDADMM O=C(N[C@H]1C[C@H]1c1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738018974 598997855 /nfs/dbraw/zinc/99/78/55/598997855.db2.gz HAJBRRLRGGVUKQ-JSGCOSHPSA-N -1 1 306.329 1.548 20 0 DDADMM O=C(N[C@H]1C[C@H]1c1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738018974 598997857 /nfs/dbraw/zinc/99/78/57/598997857.db2.gz HAJBRRLRGGVUKQ-JSGCOSHPSA-N -1 1 306.329 1.548 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccccc1 ZINC000736978367 599001503 /nfs/dbraw/zinc/00/15/03/599001503.db2.gz PRLLFMCZCQHBNK-CQSZACIVSA-N -1 1 324.344 1.379 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccccc1 ZINC000736978367 599001505 /nfs/dbraw/zinc/00/15/05/599001505.db2.gz PRLLFMCZCQHBNK-CQSZACIVSA-N -1 1 324.344 1.379 20 0 DDADMM CCN(C(=O)c1ccc(-c2nnn[n-]2)nc1)[C@H](C)c1cccnc1 ZINC000736505470 599015808 /nfs/dbraw/zinc/01/58/08/599015808.db2.gz JHPZOYFIZURVIW-LLVKDONJSA-N -1 1 323.360 1.880 20 0 DDADMM CCN(C(=O)c1ccc(-c2nn[n-]n2)nc1)[C@H](C)c1cccnc1 ZINC000736505470 599015809 /nfs/dbraw/zinc/01/58/09/599015809.db2.gz JHPZOYFIZURVIW-LLVKDONJSA-N -1 1 323.360 1.880 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCCc2ncccc21 ZINC000738259232 599021968 /nfs/dbraw/zinc/02/19/68/599021968.db2.gz OQULVNHOZWQEDR-UHFFFAOYSA-N -1 1 307.317 1.250 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCCc2ncccc21 ZINC000738259232 599021970 /nfs/dbraw/zinc/02/19/70/599021970.db2.gz OQULVNHOZWQEDR-UHFFFAOYSA-N -1 1 307.317 1.250 20 0 DDADMM CC(C)[C@]1(C)CC(=O)N(CN2CCC[C@@H](CC(=O)[O-])C2)C1=O ZINC000817858622 597047531 /nfs/dbraw/zinc/04/75/31/597047531.db2.gz LVDSXXUMHIEYNQ-LRDDRELGSA-N -1 1 310.394 1.552 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)NCCC(=O)[O-])N2CCCC2)o1 ZINC000818879418 597218009 /nfs/dbraw/zinc/21/80/09/597218009.db2.gz ZGOKEFBGYRPHIT-GFCCVEGCSA-N -1 1 309.366 1.499 20 0 DDADMM c1cn(-c2ccc(NCc3ccc(-c4nnn[n-]4)o3)cc2)nn1 ZINC000823809845 607315540 /nfs/dbraw/zinc/31/55/40/607315540.db2.gz QZHYYRUJHYEUCR-UHFFFAOYSA-N -1 1 308.305 1.653 20 0 DDADMM c1cn(-c2ccc(NCc3ccc(-c4nn[n-]n4)o3)cc2)nn1 ZINC000823809845 607315541 /nfs/dbraw/zinc/31/55/41/607315541.db2.gz QZHYYRUJHYEUCR-UHFFFAOYSA-N -1 1 308.305 1.653 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CC[C@@H](C(=O)[O-])C1)c1cccs1 ZINC000818374857 597505875 /nfs/dbraw/zinc/50/58/75/597505875.db2.gz SQOPUKAJVSHYDN-MNOVXSKESA-N -1 1 311.407 1.467 20 0 DDADMM CN1CCOc2ccc(NCc3ccc(-c4nnn[n-]4)o3)cc21 ZINC000821630331 607316848 /nfs/dbraw/zinc/31/68/48/607316848.db2.gz URLQTLVGJYBGNT-UHFFFAOYSA-N -1 1 312.333 1.900 20 0 DDADMM CN1CCOc2ccc(NCc3ccc(-c4nn[n-]n4)o3)cc21 ZINC000821630331 607316849 /nfs/dbraw/zinc/31/68/49/607316849.db2.gz URLQTLVGJYBGNT-UHFFFAOYSA-N -1 1 312.333 1.900 20 0 DDADMM CC(=O)c1ccc(C(=O)OC[C@@H]2CCCN2CC(=O)[O-])c(F)c1 ZINC000819804704 597732348 /nfs/dbraw/zinc/73/23/48/597732348.db2.gz MIOHIRRHBDZBLP-LBPRGKRZSA-N -1 1 323.320 1.734 20 0 DDADMM Cc1ccn(Cc2cccc3cccnc32)c(=O)c1-c1nn[n-]n1 ZINC000822373869 607348289 /nfs/dbraw/zinc/34/82/89/607348289.db2.gz FQUPLCLWOVSOHP-UHFFFAOYSA-N -1 1 318.340 1.933 20 0 DDADMM O=C(Nc1ccc(Nc2nccnc2-c2nnn[n-]2)cc1)C1CC1 ZINC000738175401 598340923 /nfs/dbraw/zinc/34/09/23/598340923.db2.gz YCWXKCXJIBEXKA-UHFFFAOYSA-N -1 1 322.332 1.749 20 0 DDADMM O=C(Nc1ccc(Nc2nccnc2-c2nn[n-]n2)cc1)C1CC1 ZINC000738175401 598340925 /nfs/dbraw/zinc/34/09/25/598340925.db2.gz YCWXKCXJIBEXKA-UHFFFAOYSA-N -1 1 322.332 1.749 20 0 DDADMM COc1ccnc(COC(=O)c2sccc2-c2nn[n-]n2)c1 ZINC000737155653 598410672 /nfs/dbraw/zinc/41/06/72/598410672.db2.gz LLYDECIBCGLJHS-UHFFFAOYSA-N -1 1 317.330 1.689 20 0 DDADMM O=c1c(-c2nn[n-]n2)cn(CCn2cccn2)c2ccccc12 ZINC000738372689 598720659 /nfs/dbraw/zinc/72/06/59/598720659.db2.gz SHIREJZYDWPFSC-UHFFFAOYSA-N -1 1 307.317 1.078 20 0 DDADMM C[C@H](CNc1snc(Cl)c1-c1nnn[n-]1)Cn1cccn1 ZINC000736027184 598744418 /nfs/dbraw/zinc/74/44/18/598744418.db2.gz ZCOFJWSVRQOFTD-SSDOTTSWSA-N -1 1 324.801 1.921 20 0 DDADMM C[C@H](CNc1snc(Cl)c1-c1nn[n-]n1)Cn1cccn1 ZINC000736027184 598744420 /nfs/dbraw/zinc/74/44/20/598744420.db2.gz ZCOFJWSVRQOFTD-SSDOTTSWSA-N -1 1 324.801 1.921 20 0 DDADMM CN(Cc1ccc2c(c1)OCCO2)c1cccc(-c2nnn[n-]2)n1 ZINC000736821493 599395630 /nfs/dbraw/zinc/39/56/30/599395630.db2.gz XBKMWBBVKJXGGA-UHFFFAOYSA-N -1 1 324.344 1.669 20 0 DDADMM CN(Cc1ccc2c(c1)OCCO2)c1cccc(-c2nn[n-]n2)n1 ZINC000736821493 599395631 /nfs/dbraw/zinc/39/56/31/599395631.db2.gz XBKMWBBVKJXGGA-UHFFFAOYSA-N -1 1 324.344 1.669 20 0 DDADMM CCCC(=O)NC1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000736414109 599409786 /nfs/dbraw/zinc/40/97/86/599409786.db2.gz VGYMSUARHILRNI-UHFFFAOYSA-N -1 1 315.381 1.147 20 0 DDADMM CCCC(=O)NC1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000736414109 599409789 /nfs/dbraw/zinc/40/97/89/599409789.db2.gz VGYMSUARHILRNI-UHFFFAOYSA-N -1 1 315.381 1.147 20 0 DDADMM O=C(CCNc1cccc(-c2nnn[n-]2)n1)NC1CCCCC1 ZINC000737848740 599414998 /nfs/dbraw/zinc/41/49/98/599414998.db2.gz SHWDJZJAYGBBRS-UHFFFAOYSA-N -1 1 315.381 1.513 20 0 DDADMM O=C(CCNc1cccc(-c2nn[n-]n2)n1)NC1CCCCC1 ZINC000737848740 599414999 /nfs/dbraw/zinc/41/49/99/599414999.db2.gz SHWDJZJAYGBBRS-UHFFFAOYSA-N -1 1 315.381 1.513 20 0 DDADMM CC(C)(O)CN1CCN([C@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000736341368 599810001 /nfs/dbraw/zinc/81/00/01/599810001.db2.gz YMEOZNONESKPGM-AWEZNQCLSA-N -1 1 310.369 1.340 20 0 DDADMM CC(C)C(=O)NC[C@H]1CCCN(Cc2cc(C(=O)[O-])co2)C1 ZINC000736346767 599851760 /nfs/dbraw/zinc/85/17/60/599851760.db2.gz JYEIXPIYKIXWRE-GFCCVEGCSA-N -1 1 308.378 1.962 20 0 DDADMM CC(C)(NCC(=O)N1CCC(Cc2ccccc2)CC1)C(=O)[O-] ZINC000736338786 599926491 /nfs/dbraw/zinc/92/64/91/599926491.db2.gz BPXLYBTXJOJJDY-UHFFFAOYSA-N -1 1 318.417 1.921 20 0 DDADMM Cc1nn(C)c(NS(=O)(=O)c2cccc(C(=O)[O-])c2C)c1C ZINC000738919312 599967113 /nfs/dbraw/zinc/96/71/13/599967113.db2.gz UOZRTRVWHLIERW-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM CN1CCCC[C@H]1C(=O)NC[C@H](Cc1ccc(O)cc1)C(=O)[O-] ZINC000737518515 600180624 /nfs/dbraw/zinc/18/06/24/600180624.db2.gz PRCORYUAFJEXDG-ZFWWWQNUSA-N -1 1 320.389 1.236 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@H]2C(=O)[O-])c1Br ZINC000738821906 600235965 /nfs/dbraw/zinc/23/59/65/600235965.db2.gz RBFZZEWXWJFDOR-LURJTMIESA-N -1 1 302.128 1.170 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2cc(C(=O)[O-])ccc2C)n[nH]1 ZINC000737254678 600445942 /nfs/dbraw/zinc/44/59/42/600445942.db2.gz SDFAZLJEMKXPTB-UHFFFAOYSA-N -1 1 323.374 1.457 20 0 DDADMM Cc1ccc2c([n-]cc(C(=O)Nc3cc(C(=O)[O-])ccn3)c2=O)[nH+]1 ZINC000738666786 600452227 /nfs/dbraw/zinc/45/22/27/600452227.db2.gz XQIHCOAQNBODQM-UHFFFAOYSA-N -1 1 324.296 1.989 20 0 DDADMM CC(C)(NCC(=O)Nc1ccnn1Cc1cccs1)C(=O)[O-] ZINC000736339413 600459147 /nfs/dbraw/zinc/45/91/47/600459147.db2.gz JJOYBMCVYANQAH-UHFFFAOYSA-N -1 1 322.390 1.384 20 0 DDADMM Cc1cc(CN2CCN([C@@H]3CCC[C@H]3O)CC2)oc1C(=O)[O-] ZINC000738385451 600509015 /nfs/dbraw/zinc/50/90/15/600509015.db2.gz OKQLBCSBFHAUHQ-ZIAGYGMSSA-N -1 1 308.378 1.317 20 0 DDADMM CC(C)c1cc(C(=O)Nc2nnc(CCC(=O)[O-])s2)on1 ZINC000736515680 600630153 /nfs/dbraw/zinc/63/01/53/600630153.db2.gz PQECGRHHJMNJGH-UHFFFAOYSA-N -1 1 310.335 1.919 20 0 DDADMM CCN1CCN(CC(=O)Nc2ccc(N(C)C(=O)[O-])cc2)CC1 ZINC000737115027 600661324 /nfs/dbraw/zinc/66/13/24/600661324.db2.gz GECFHRUZBGVXJH-UHFFFAOYSA-N -1 1 320.393 1.377 20 0 DDADMM C[C@@H]1C(=O)N(CN2CCC[C@@H](C(=O)[O-])C2)[C@@H]1c1ccccc1 ZINC000828144572 600713148 /nfs/dbraw/zinc/71/31/48/600713148.db2.gz YIFCGNLGDWMJGA-CFVMTHIKSA-N -1 1 302.374 1.960 20 0 DDADMM C[C@@H]1C[C@@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)CCN1C ZINC000157599729 600765956 /nfs/dbraw/zinc/76/59/56/600765956.db2.gz JISJLQCUOJUOQQ-PWSUYJOCSA-N -1 1 312.391 1.146 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)NCc2n[nH]c(-c3ccccc3)n2)C1 ZINC000739592880 600813517 /nfs/dbraw/zinc/81/35/17/600813517.db2.gz FVTJTEGPWNFSBW-LLVKDONJSA-N -1 1 315.333 1.088 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)NCc2nc(-c3ccccc3)n[nH]2)C1 ZINC000739592880 600813519 /nfs/dbraw/zinc/81/35/19/600813519.db2.gz FVTJTEGPWNFSBW-LLVKDONJSA-N -1 1 315.333 1.088 20 0 DDADMM O=C([O-])c1sccc1NC(=O)CN1CCN2CCCC[C@H]2C1 ZINC000833108848 600827318 /nfs/dbraw/zinc/82/73/18/600827318.db2.gz YUMSIFPDHMJIMT-NSHDSACASA-N -1 1 323.418 1.555 20 0 DDADMM Cc1ccc(CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)C2CC2)o1 ZINC000738593266 600843845 /nfs/dbraw/zinc/84/38/45/600843845.db2.gz VWQBMBBFQZRYOD-ZDUSSCGKSA-N -1 1 320.389 1.876 20 0 DDADMM C[C@@H](C(=O)N[C@H](C(=O)[O-])c1ccccn1)N1CCCCCC1 ZINC000825995111 600976093 /nfs/dbraw/zinc/97/60/93/600976093.db2.gz MXDVOYQZAKYMMW-JSGCOSHPSA-N -1 1 305.378 1.588 20 0 DDADMM CC[C@@H]1CN([C@H](C)C(=O)N2CCC(C(=O)[O-])CC2)CCS1 ZINC000829140497 601043004 /nfs/dbraw/zinc/04/30/04/601043004.db2.gz DRBOONKXWGOCFU-DGCLKSJQSA-N -1 1 314.451 1.526 20 0 DDADMM Cc1nc([C@@H]2CN(Cc3cc(C(=O)[O-])oc3C)CCO2)n[nH]1 ZINC000738823572 601105476 /nfs/dbraw/zinc/10/54/76/601105476.db2.gz NKHAFXKFFWLVMG-LBPRGKRZSA-N -1 1 306.322 1.286 20 0 DDADMM Cc1nc([C@H]2CN([C@H](C)c3ccc(C(=O)[O-])o3)CCO2)n[nH]1 ZINC000738823969 601105502 /nfs/dbraw/zinc/10/55/02/601105502.db2.gz RVAJOYNBOJGDKN-PRHODGIISA-N -1 1 306.322 1.539 20 0 DDADMM CCN1C[C@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)[C@@H](C)C1 ZINC000737111555 601110972 /nfs/dbraw/zinc/11/09/72/601110972.db2.gz KLOKAALNAMTTMC-GWCFXTLKSA-N -1 1 312.391 1.003 20 0 DDADMM CCCn1nccc1NC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000829590827 601126745 /nfs/dbraw/zinc/12/67/45/601126745.db2.gz GNYHBGUHXDQBQL-LBPRGKRZSA-N -1 1 323.397 1.306 20 0 DDADMM COCc1cccc(CNC(=O)CN[C@@](C)(C(=O)[O-])C2CC2)c1 ZINC000831817808 601176954 /nfs/dbraw/zinc/17/69/54/601176954.db2.gz XMNLBGGTPPOTJP-QGZVFWFLSA-N -1 1 320.389 1.292 20 0 DDADMM Cc1ccc(O)c(NS(=O)(=O)c2ccc(O)c(C(=O)[O-])c2)c1 ZINC000832952948 601251800 /nfs/dbraw/zinc/25/18/00/601251800.db2.gz IGEHODDZBHYCBS-UHFFFAOYSA-N -1 1 323.326 1.905 20 0 DDADMM COc1ccc([C@H](NC(=O)C(F)(F)C(F)F)C(=O)[O-])cc1 ZINC000832240697 601283940 /nfs/dbraw/zinc/28/39/40/601283940.db2.gz GFKHSRWNARXYME-QMMMGPOBSA-N -1 1 309.215 1.838 20 0 DDADMM CCOC[C@@H]1CCN(CC(=O)NC2(C(=O)[O-])CCCCC2)C1 ZINC000829989649 601378540 /nfs/dbraw/zinc/37/85/40/601378540.db2.gz IRAGUFOOQKKFAH-CYBMUJFWSA-N -1 1 312.410 1.249 20 0 DDADMM C/C(=C/COC(=O)c1ccccc1NS(C)(=O)=O)C(=O)[O-] ZINC000825780713 601410356 /nfs/dbraw/zinc/41/03/56/601410356.db2.gz HKTYBVCOJTXQFL-CLFYSBASSA-N -1 1 313.331 1.246 20 0 DDADMM O=C([O-])C[C@@H]1CN(CCC(=O)Nc2ccc(F)cc2)CCO1 ZINC000833220090 601461109 /nfs/dbraw/zinc/46/11/09/601461109.db2.gz RTLHHMBUFYEIHD-CYBMUJFWSA-N -1 1 310.325 1.330 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)CN1CCCC[C@@H]1CCO ZINC000833104404 601544412 /nfs/dbraw/zinc/54/44/12/601544412.db2.gz ANDXHSXGWISVTP-GFCCVEGCSA-N -1 1 306.362 1.560 20 0 DDADMM Cc1nnc(SCC(=O)N[C@H](C(=O)[O-])C2CCCCC2)[nH]1 ZINC000832990954 601786778 /nfs/dbraw/zinc/78/67/78/601786778.db2.gz OFZXRWVUCNNLKD-NSHDSACASA-N -1 1 312.395 1.355 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@H](C(=O)[O-])C2CCCCC2)n1 ZINC000832990954 601786779 /nfs/dbraw/zinc/78/67/79/601786779.db2.gz OFZXRWVUCNNLKD-NSHDSACASA-N -1 1 312.395 1.355 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CN[C@H](C(=O)[O-])C(C)C)c1 ZINC000825917760 601823632 /nfs/dbraw/zinc/82/36/32/601823632.db2.gz VBFHFPVLYXPXOW-AWEZNQCLSA-N -1 1 307.350 1.282 20 0 DDADMM O=C([O-])c1nscc1S(=O)(=O)Nc1cccc(F)c1F ZINC000833346321 601838941 /nfs/dbraw/zinc/83/89/41/601838941.db2.gz NMZHJXPRRATTEA-UHFFFAOYSA-N -1 1 320.298 1.920 20 0 DDADMM COC(=O)C[C@H]1CSCCN1Cc1ccc(C(=O)[O-])s1 ZINC000831147963 601888642 /nfs/dbraw/zinc/88/86/42/601888642.db2.gz MYXUBIICUNJCJU-VIFPVBQESA-N -1 1 315.416 1.927 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C[C@@H](O)c1ccc(F)c(F)c1 ZINC000833220978 601894367 /nfs/dbraw/zinc/89/43/67/601894367.db2.gz GDFNQLRNIHOHIT-ZWNOBZJWSA-N -1 1 317.357 1.890 20 0 DDADMM C[C@]1(C(=O)[O-])CCN([C@@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000828019744 601937822 /nfs/dbraw/zinc/93/78/22/601937822.db2.gz BRWWAHANAGAYNQ-PBHICJAKSA-N -1 1 320.364 1.942 20 0 DDADMM CC(C)(C)c1noc(OCc2ccnc(-c3nnn[n-]3)c2)n1 ZINC000824141727 607545341 /nfs/dbraw/zinc/54/53/41/607545341.db2.gz MOMNTNCZEVIFRU-UHFFFAOYSA-N -1 1 301.310 1.521 20 0 DDADMM CC(C)(C)c1noc(OCc2ccnc(-c3nn[n-]n3)c2)n1 ZINC000824141727 607545343 /nfs/dbraw/zinc/54/53/43/607545343.db2.gz MOMNTNCZEVIFRU-UHFFFAOYSA-N -1 1 301.310 1.521 20 0 DDADMM Cc1cccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1F ZINC000832963694 602192504 /nfs/dbraw/zinc/19/25/04/602192504.db2.gz HTDBFJQLYYOVKG-LBPRGKRZSA-N -1 1 308.353 1.755 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCCN(C(=O)[O-])CC2)c1 ZINC000738201471 602246651 /nfs/dbraw/zinc/24/66/51/602246651.db2.gz MAKCNLWUMXILQL-UHFFFAOYSA-N -1 1 302.334 1.697 20 0 DDADMM Cc1csc(=O)n1CCN1CCCC[C@@H]1[C@H](C)NC(=O)[O-] ZINC000740203973 602260451 /nfs/dbraw/zinc/26/04/51/602260451.db2.gz IGRBDFYIUPBDNJ-NWDGAFQWSA-N -1 1 313.423 1.729 20 0 DDADMM CCN(C)[C@@H](C(=O)NCC[C@@H](C)NC(=O)[O-])c1ccccc1 ZINC000739386282 602318731 /nfs/dbraw/zinc/31/87/31/602318731.db2.gz YNHSOLZKPSAGQF-TZMCWYRMSA-N -1 1 307.394 1.842 20 0 DDADMM CC1CCN(CC(=O)Nc2cccc(OCC(=O)[O-])c2)CC1 ZINC000828289511 602325371 /nfs/dbraw/zinc/32/53/71/602325371.db2.gz HCZYCZZLFZHRJW-UHFFFAOYSA-N -1 1 306.362 1.820 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000739530874 602340820 /nfs/dbraw/zinc/34/08/20/602340820.db2.gz NMSDPAVVKNOIJG-NWDGAFQWSA-N -1 1 309.366 1.579 20 0 DDADMM CC(C)CO[C@H]1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC000826895250 602342323 /nfs/dbraw/zinc/34/23/23/602342323.db2.gz JAJVGJHTONCMAH-AWEZNQCLSA-N -1 1 312.410 1.057 20 0 DDADMM O=C([O-])CNC(=O)CN1CCCCC[C@@H]1C[C@H](O)c1ccco1 ZINC000821530527 602349081 /nfs/dbraw/zinc/34/90/81/602349081.db2.gz HVWZEESLIODOEO-OLZOCXBDSA-N -1 1 324.377 1.149 20 0 DDADMM CC1CCN(CC(=O)NCc2cccc(OCC(=O)[O-])c2)CC1 ZINC000828284691 602365374 /nfs/dbraw/zinc/36/53/74/602365374.db2.gz HADDRSHRKUBFIS-UHFFFAOYSA-N -1 1 320.389 1.498 20 0 DDADMM CC1CCN(C(=O)CN2CCC(CN(C)C(=O)[O-])CC2)CC1 ZINC000739113265 602388024 /nfs/dbraw/zinc/38/80/24/602388024.db2.gz NSUFBAGFGJXSEG-UHFFFAOYSA-N -1 1 311.426 1.567 20 0 DDADMM CCN(CC)[C@@H](C(=O)N1CCN(C(=O)[O-])CC1)c1ccccc1 ZINC000737086120 602448678 /nfs/dbraw/zinc/44/86/78/602448678.db2.gz VPKMBPUVEFWETB-OAHLLOKOSA-N -1 1 319.405 1.892 20 0 DDADMM CCCN(C(=O)N[C@@H]1CCCN(C)C1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739352603 602622469 /nfs/dbraw/zinc/62/24/69/602622469.db2.gz XBPJUZNMDGJUQW-CHWSQXEVSA-N -1 1 312.414 1.255 20 0 DDADMM CNc1cc2c(nn1)CCN(C[C@H]1CCCN(C(=O)[O-])C1)C2 ZINC000739756426 602706865 /nfs/dbraw/zinc/70/68/65/602706865.db2.gz WTUXGWWCCBJXDR-LLVKDONJSA-N -1 1 305.382 1.266 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)CCN1C(=O)[O-] ZINC000739167954 602921181 /nfs/dbraw/zinc/92/11/81/602921181.db2.gz SIQYEXNSLOUHBI-SECBINFHSA-N -1 1 304.306 1.494 20 0 DDADMM CC(C)[C@H](CN[C@H](C(=O)N(C)C)c1ccccc1)NC(=O)[O-] ZINC000738795972 602929860 /nfs/dbraw/zinc/92/98/60/602929860.db2.gz ZRDSQSBWJCVTET-KBPBESRZSA-N -1 1 307.394 1.698 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCN(Cc3cccs3)CC2)C1 ZINC000740503631 602976773 /nfs/dbraw/zinc/97/67/73/602976773.db2.gz OWIJGBMGENPDQV-CYBMUJFWSA-N -1 1 309.435 1.866 20 0 DDADMM CCN(CCNC(=O)N1C[C@H]2CCCCN2C[C@@H]1C)C(=O)[O-] ZINC000739427159 602994493 /nfs/dbraw/zinc/99/44/93/602994493.db2.gz PFXWLLDNGVKOAP-QWHCGFSZSA-N -1 1 312.414 1.255 20 0 DDADMM C[C@@H](CNC(=O)[O-])N(C)C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000738934501 603198073 /nfs/dbraw/zinc/19/80/73/603198073.db2.gz TVLGSDZKTAVLSL-JTQLQIEISA-N -1 1 302.334 1.805 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@H]1CCC[C@@H](NC(=O)[O-])C1 ZINC000739199159 603208528 /nfs/dbraw/zinc/20/85/28/603208528.db2.gz OSPHNFINDPFNEJ-LXTVHRRPSA-N -1 1 323.437 1.898 20 0 DDADMM COc1ccc(C)cc1NC(=O)[C@H](C)N(C)CCCNC(=O)[O-] ZINC000739890902 603250098 /nfs/dbraw/zinc/25/00/98/603250098.db2.gz IXJUPSDJMNKUAK-LBPRGKRZSA-N -1 1 323.393 1.920 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)[C@@H]1CCN(C(=O)[O-])C1)c1ccco1 ZINC000739405854 603288854 /nfs/dbraw/zinc/28/88/54/603288854.db2.gz DYEXIXDSEITZMR-OLZOCXBDSA-N -1 1 323.393 1.779 20 0 DDADMM CC(C)CN(C(=O)CN1CCC[C@H]1CN(C)C(=O)[O-])C1CC1 ZINC000824252256 603577593 /nfs/dbraw/zinc/57/75/93/603577593.db2.gz WZRAUCAGUFKPGG-AWEZNQCLSA-N -1 1 311.426 1.708 20 0 DDADMM CC(C)C[C@@H]1CC[N@@H+](CN2CC3(CN(C(=O)[O-])C3)CC2=O)C1 ZINC000824233517 603592333 /nfs/dbraw/zinc/59/23/33/603592333.db2.gz ZLFYHPFIVVFADK-ZDUSSCGKSA-N -1 1 309.410 1.524 20 0 DDADMM CCC1CCN(CN2C[C@@H]3CN(C(=O)[O-])CCN3C2=O)CC1 ZINC000826675159 603596735 /nfs/dbraw/zinc/59/67/35/603596735.db2.gz FPVBDVCJELDEIZ-ZDUSSCGKSA-N -1 1 310.398 1.166 20 0 DDADMM CN(CC1CCCC1)CN1C[C@@H]2CN(C(=O)[O-])CCN2C1=O ZINC000828167997 603600283 /nfs/dbraw/zinc/60/02/83/603600283.db2.gz DRNFEKGVRAVCGY-ZDUSSCGKSA-N -1 1 310.398 1.166 20 0 DDADMM CN(C(=O)c1cc(-c2cccs2)[nH]n1)[C@H]1CCN(C(=O)[O-])C1 ZINC000827926796 603675859 /nfs/dbraw/zinc/67/58/59/603675859.db2.gz IXLWMHJVHQZQNP-VIFPVBQESA-N -1 1 320.374 1.963 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N(C)[C@H]2CCN(C(=O)[O-])C2)o1 ZINC000827045304 603682147 /nfs/dbraw/zinc/68/21/47/603682147.db2.gz GSUCJRJOFVNXER-LBPRGKRZSA-N -1 1 323.393 1.946 20 0 DDADMM O=C([O-])N1CC(CC(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000831369640 603739722 /nfs/dbraw/zinc/73/97/22/603739722.db2.gz HJCSOUPCHWXRKH-UHFFFAOYSA-N -1 1 301.306 1.206 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC000826758983 603762717 /nfs/dbraw/zinc/76/27/17/603762717.db2.gz UKRTWKHUTYAUEJ-LLVKDONJSA-N -1 1 304.350 1.924 20 0 DDADMM CC(C)[C@H](CCN(C)Cc1cnc2ccnn2c1)NC(=O)[O-] ZINC000824105022 603823287 /nfs/dbraw/zinc/82/32/87/603823287.db2.gz MFPJTEMRDPXYGG-ZDUSSCGKSA-N -1 1 305.382 1.843 20 0 DDADMM C[C@H](CN1CCCC[C@H]1[C@H](C)NC(=O)[O-])CS(C)(=O)=O ZINC000824908261 603900497 /nfs/dbraw/zinc/90/04/97/603900497.db2.gz SBZFSQYTDRFLKP-WOPDTQHZSA-N -1 1 306.428 1.178 20 0 DDADMM CCCN(C[C@H](C)CS(C)(=O)=O)[C@@H]1CCN(C(=O)[O-])C1 ZINC000826904587 603905702 /nfs/dbraw/zinc/90/57/02/603905702.db2.gz XBUATYORZUWYFN-NWDGAFQWSA-N -1 1 306.428 1.131 20 0 DDADMM O=C([O-])N1CC(N2CCC(C(=O)NC3CCCCC3)CC2)C1 ZINC000831584013 603922880 /nfs/dbraw/zinc/92/28/80/603922880.db2.gz HVZPTBQIGBEOBV-UHFFFAOYSA-N -1 1 309.410 1.510 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000832529343 603943002 /nfs/dbraw/zinc/94/30/02/603943002.db2.gz YEPULIYJCHTOAJ-QWRGUYRKSA-N -1 1 306.366 1.336 20 0 DDADMM CC(C)C[C@@H](CNC(=O)[O-])NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000824182831 603947169 /nfs/dbraw/zinc/94/71/69/603947169.db2.gz MKEIFHKAAORIRG-WDEREUQCSA-N -1 1 308.382 1.628 20 0 DDADMM O=C([O-])NCc1ccc(C(=O)Nc2ccc3[nH]nnc3c2)cc1 ZINC000832705723 603950785 /nfs/dbraw/zinc/95/07/85/603950785.db2.gz PCPSNZXACVZUBP-UHFFFAOYSA-N -1 1 311.301 1.978 20 0 DDADMM CCC[C@H](C)NC(=O)[C@@H](C)N1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000826734231 603986060 /nfs/dbraw/zinc/98/60/60/603986060.db2.gz HEWSSVFPMREUFN-DGAVXFQQSA-N -1 1 311.426 1.896 20 0 DDADMM C[C@@H]1C[N@@H+](C2CC2)C[C@@H]1NC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000826047213 604011862 /nfs/dbraw/zinc/01/18/62/604011862.db2.gz CKKUCCJLQLLSGF-RNCFNFMXSA-N -1 1 319.361 1.695 20 0 DDADMM C[C@@H]1C[N@H+](C2CC2)C[C@@H]1NC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000826047213 604011866 /nfs/dbraw/zinc/01/18/66/604011866.db2.gz CKKUCCJLQLLSGF-RNCFNFMXSA-N -1 1 319.361 1.695 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1C[C@@H]1CN(c2ccccc2)C(=O)O1 ZINC000832572749 604064271 /nfs/dbraw/zinc/06/42/71/604064271.db2.gz GUOBKTMBNOZTHE-ZIAGYGMSSA-N -1 1 319.361 1.744 20 0 DDADMM Cc1cc(CNC(=O)N2CCC[C@@H]([C@H](C)NC(=O)[O-])C2)[nH]n1 ZINC000830042129 604263378 /nfs/dbraw/zinc/26/33/78/604263378.db2.gz XWKBGLDJQXBUPP-WDEREUQCSA-N -1 1 309.370 1.296 20 0 DDADMM C[C@H](NCCN1CCN(C(=O)[O-])CC1)c1c(F)cccc1F ZINC000825497087 604267854 /nfs/dbraw/zinc/26/78/54/604267854.db2.gz YWQXNEQIJIJXBF-NSHDSACASA-N -1 1 313.348 1.911 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC3(C[C@H]3C(=O)[O-])CC2)n[nH]1 ZINC000832883799 604306919 /nfs/dbraw/zinc/30/69/19/604306919.db2.gz PWLDEYYCHAZANZ-MFKMUULPSA-N -1 1 320.393 1.545 20 0 DDADMM CCc1[nH]c(C(=O)N2CCN(CC)[C@@H](C)C2)c(C)c1C(=O)[O-] ZINC000833666647 604470156 /nfs/dbraw/zinc/47/01/56/604470156.db2.gz CATUQXIQPHRCCI-JTQLQIEISA-N -1 1 307.394 1.750 20 0 DDADMM CN(Cc1c[nH]c(C(=O)[O-])c1)C[C@@H]1COc2ccccc2O1 ZINC000833694382 604521143 /nfs/dbraw/zinc/52/11/43/604521143.db2.gz IRYVLSOSHZJMMM-GFCCVEGCSA-N -1 1 302.330 1.985 20 0 DDADMM C[C@H]1OC(C)(C)N(C(=O)[O-])[C@H]1C(=O)Nc1ccc2[nH]nnc2c1 ZINC000826116190 604525919 /nfs/dbraw/zinc/52/59/19/604525919.db2.gz PTRQXWRJYFIFDK-RDDDGLTNSA-N -1 1 319.321 1.400 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CN(CCCCCn2cccn2)CCO1 ZINC000825350897 604620202 /nfs/dbraw/zinc/62/02/02/604620202.db2.gz LUAHKXZCIGJHGQ-ZIAGYGMSSA-N -1 1 310.398 1.410 20 0 DDADMM O=C([O-])c1cc(CN2CCC[C@@H](N3CCCCC3=O)C2)c[nH]1 ZINC000833780408 604634320 /nfs/dbraw/zinc/63/43/20/604634320.db2.gz XVRBFWQZNZOYAG-CYBMUJFWSA-N -1 1 305.378 1.690 20 0 DDADMM Cc1cnc(CN(C)[C@H]2CCN(Cc3c[nH]c(C(=O)[O-])c3)C2)o1 ZINC000832985503 604686584 /nfs/dbraw/zinc/68/65/84/604686584.db2.gz FTHNRXHWBQOCKW-ZDUSSCGKSA-N -1 1 318.377 1.716 20 0 DDADMM CCOC[C@H](C(=O)[O-])N(C)C(=O)c1cc(C)cc2c[nH]nc21 ZINC000829960442 604733095 /nfs/dbraw/zinc/73/30/95/604733095.db2.gz IMPIYTKYCDXDDZ-GFCCVEGCSA-N -1 1 305.334 1.433 20 0 DDADMM O=C([O-])C[C@H]1CCCN1C(=O)CSc1n[nH]c(=S)s1 ZINC000833208067 604752934 /nfs/dbraw/zinc/75/29/34/604752934.db2.gz UUSXRVPEZNFVIC-ZCFIWIBFSA-N -1 1 319.433 1.385 20 0 DDADMM CCN(CC)[C@H](C(=O)NC1CN(C(=O)[O-])C1)c1ccccc1 ZINC000739406189 604763050 /nfs/dbraw/zinc/76/30/50/604763050.db2.gz HUBSKQYUGAXQNU-AWEZNQCLSA-N -1 1 305.378 1.548 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCN(c3ccccn3)CC2)O1 ZINC000833580261 604823363 /nfs/dbraw/zinc/82/33/63/604823363.db2.gz OUJGJJJRQFWELD-ZDUSSCGKSA-N -1 1 303.362 1.351 20 0 DDADMM CC(C)[C@@H](C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])c1ccccc1 ZINC000833466391 604896869 /nfs/dbraw/zinc/89/68/69/604896869.db2.gz YDVGKDXZTIXXAX-LSDHHAIUSA-N -1 1 315.373 1.961 20 0 DDADMM COc1ccccc1N1CC[C@@H](N2CCC[C@@H]2CC(=O)[O-])C1=O ZINC000833736726 604966384 /nfs/dbraw/zinc/96/63/84/604966384.db2.gz VPCWDNULGPJQCP-TZMCWYRMSA-N -1 1 318.373 1.740 20 0 DDADMM COC(=O)C[C@@H]1CCCN1Cn1nc(C(=O)[O-])c2ccccc21 ZINC000831144823 604982274 /nfs/dbraw/zinc/98/22/74/604982274.db2.gz TXDVFVRIDMURQC-NSHDSACASA-N -1 1 317.345 1.720 20 0 DDADMM O=C([O-])[C@@H](O)C1CCN(Cc2cccc3nsnc32)CC1 ZINC000833771239 604987297 /nfs/dbraw/zinc/98/72/97/604987297.db2.gz YNOQHYBKEVWAIS-ZDUSSCGKSA-N -1 1 307.375 1.349 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)[C@H]2CCC[C@H](C(=O)[O-])C2)n[nH]1 ZINC000833511888 605023271 /nfs/dbraw/zinc/02/32/71/605023271.db2.gz DPUQIDIRFHYAGS-DCAQKATOSA-N -1 1 308.382 1.996 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)c2cncc(C(=O)[O-])c2)n[nH]1 ZINC000833512887 605032707 /nfs/dbraw/zinc/03/27/07/605032707.db2.gz VTILUJSQUGCHNY-MRVPVSSYSA-N -1 1 303.322 1.512 20 0 DDADMM CC(C)C[C@H](CNC(=O)CN(C)[C@H]1CCSC1)C(=O)[O-] ZINC000833477463 605049750 /nfs/dbraw/zinc/04/97/50/605049750.db2.gz GEBKYRSPEAKWIY-NEPJUHHUSA-N -1 1 302.440 1.287 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C\c1ccccc1 ZINC000833621838 605095914 /nfs/dbraw/zinc/09/59/14/605095914.db2.gz OBKWNTATGGXLRB-WBTMPAOCSA-N -1 1 302.374 1.707 20 0 DDADMM Cc1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)c(C)s1 ZINC000833741858 605104637 /nfs/dbraw/zinc/10/46/37/605104637.db2.gz APOQZNHYXTZAJZ-JTQLQIEISA-N -1 1 310.419 1.986 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1CC1(Cl)Cl ZINC000833626193 605107160 /nfs/dbraw/zinc/10/71/60/605107160.db2.gz YFLYKAOMHGTNOJ-BDAKNGLRSA-N -1 1 309.193 1.188 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C/c1ccccc1F ZINC000833621097 605111792 /nfs/dbraw/zinc/11/17/92/605111792.db2.gz MDYMJVIVIAIROS-YBJDMEARSA-N -1 1 320.364 1.846 20 0 DDADMM CCO[C@@H]1C[C@H](N(C)CN2C[C@@H](C(=O)[O-])CC2=O)C12CCC2 ZINC000833659461 605175961 /nfs/dbraw/zinc/17/59/61/605175961.db2.gz AYFRHGLSYLKFFE-RWMBFGLXSA-N -1 1 310.394 1.157 20 0 DDADMM C[C@]1(O)CCN(Cc2coc(-c3ccc(C(=O)[O-])cc3)n2)C1 ZINC000833570693 605214264 /nfs/dbraw/zinc/21/42/64/605214264.db2.gz BQZDFVPHWVBYDU-INIZCTEOSA-N -1 1 302.330 1.997 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H](F)Cc1ccccc1 ZINC000833618487 605302604 /nfs/dbraw/zinc/30/26/04/605302604.db2.gz BLEKCVWCPJQTMH-HIFRSBDPSA-N -1 1 322.380 1.575 20 0 DDADMM CCCN(C[C@H]1CC(C(=O)[O-])=C(C)O1)[C@@H]1CCS(=O)(=O)C1 ZINC000833651086 605321103 /nfs/dbraw/zinc/32/11/03/605321103.db2.gz MJVGOPOFYSEZAL-VXGBXAGGSA-N -1 1 317.407 1.033 20 0 DDADMM Cc1cc(CNC(=O)N(C2CC2)[C@@H]2CCN(C(=O)[O-])C2)n[nH]1 ZINC000830041333 605399502 /nfs/dbraw/zinc/39/95/02/605399502.db2.gz KLMHNBIZPIHWAA-GFCCVEGCSA-N -1 1 307.354 1.144 20 0 DDADMM CCc1cc(CNC(=O)N2CC[C@@H](NC(=O)[O-])C[C@H]2C)[nH]n1 ZINC000827557730 605553681 /nfs/dbraw/zinc/55/36/81/605553681.db2.gz WSBZGWIPAUBSCJ-MWLCHTKSSA-N -1 1 309.370 1.302 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)Nc1cncc(C(=O)[O-])c1 ZINC000833472800 605557004 /nfs/dbraw/zinc/55/70/04/605557004.db2.gz ZZTNSMPYCMSYTQ-CYBMUJFWSA-N -1 1 306.366 1.584 20 0 DDADMM Cc1nn2c(CN3CC[C@H]([C@H](C)NC(=O)[O-])C3)c(C)nc2s1 ZINC000833919929 605654909 /nfs/dbraw/zinc/65/49/09/605654909.db2.gz BHNYUGWFFOAZOK-KWQFWETISA-N -1 1 323.422 1.886 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CN(C[C@H]2CCOc3ccccc32)CCO1 ZINC000833816416 605675410 /nfs/dbraw/zinc/67/54/10/605675410.db2.gz CKBUXJMZVOKREP-WOSRLPQWSA-N -1 1 320.389 1.910 20 0 DDADMM O=C([O-])N1CCC[C@H](NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000739386527 605709766 /nfs/dbraw/zinc/70/97/66/605709766.db2.gz IHUQOXWFBXCBSQ-JTQLQIEISA-N -1 1 315.329 1.400 20 0 DDADMM CCC[C@@H](NC(=O)CN(C(=O)[O-])c1ccccc1)c1nn[nH]n1 ZINC000826742848 605772184 /nfs/dbraw/zinc/77/21/84/605772184.db2.gz GCPZLQUVFNBLHH-LLVKDONJSA-N -1 1 318.337 1.342 20 0 DDADMM O=C([O-])N1CC[C@@H](N(Cc2cnc3ccnn3c2)C2CC2)C1 ZINC000834085236 605777324 /nfs/dbraw/zinc/77/73/24/605777324.db2.gz QQKZCXZNKAGCCI-CYBMUJFWSA-N -1 1 301.350 1.446 20 0 DDADMM CC(C)c1cc(C(=O)N(C2CC2)[C@H]2CCN(C(=O)[O-])C2)n[nH]1 ZINC000824453793 605789643 /nfs/dbraw/zinc/78/96/43/605789643.db2.gz WPJPMHHMNGQANM-NSHDSACASA-N -1 1 306.366 1.890 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NCc1ccc(F)cc1 ZINC000833829730 605886583 /nfs/dbraw/zinc/88/65/83/605886583.db2.gz JAVKSJJHTMHZDO-AAEUAGOBSA-N -1 1 323.368 1.420 20 0 DDADMM CCOc1ccc(OC[C@@H](O)CN2CC[C@H](NC(=O)[O-])C2)cc1 ZINC000739505739 605926588 /nfs/dbraw/zinc/92/65/88/605926588.db2.gz SYOCATLHEXVDMD-STQMWFEESA-N -1 1 324.377 1.167 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)N2CC[C@@H]1CCS(=O)(=O)C1 ZINC000834096033 605966730 /nfs/dbraw/zinc/96/67/30/605966730.db2.gz RNNSIFQRFVJKBX-UPJWGTAASA-N -1 1 316.423 1.028 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000834092655 605978331 /nfs/dbraw/zinc/97/83/31/605978331.db2.gz VRFWDXVVJBJHEV-WOPDTQHZSA-N -1 1 318.377 1.573 20 0 DDADMM Cn1cc(CN[C@@H]2CN(C(=O)[O-])CC[C@@H]2c2ccccc2)nn1 ZINC000833946151 606052270 /nfs/dbraw/zinc/05/22/70/606052270.db2.gz IFZMKHYNTBTVST-HUUCEWRRSA-N -1 1 315.377 1.441 20 0 DDADMM CCCCOC(=O)[C@@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821071360 606132412 /nfs/dbraw/zinc/13/24/12/606132412.db2.gz BVDLFJFNOCJAGB-MRVPVSSYSA-N -1 1 324.362 1.817 20 0 DDADMM CCCCOC(=O)[C@@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821071360 606132413 /nfs/dbraw/zinc/13/24/13/606132413.db2.gz BVDLFJFNOCJAGB-MRVPVSSYSA-N -1 1 324.362 1.817 20 0 DDADMM CCCCOC(=O)[C@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821071361 606132583 /nfs/dbraw/zinc/13/25/83/606132583.db2.gz BVDLFJFNOCJAGB-QMMMGPOBSA-N -1 1 324.362 1.817 20 0 DDADMM CCCCOC(=O)[C@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821071361 606132584 /nfs/dbraw/zinc/13/25/84/606132584.db2.gz BVDLFJFNOCJAGB-QMMMGPOBSA-N -1 1 324.362 1.817 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC[C@]3(CCCOC3)C2)c1 ZINC000823743119 606142855 /nfs/dbraw/zinc/14/28/55/606142855.db2.gz UALCDECCMYWPSX-HNNXBMFYSA-N -1 1 300.366 1.659 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC[C@]3(CCCOC3)C2)c1 ZINC000823743119 606142858 /nfs/dbraw/zinc/14/28/58/606142858.db2.gz UALCDECCMYWPSX-HNNXBMFYSA-N -1 1 300.366 1.659 20 0 DDADMM C[C@@H]1CCC[C@H](N(C)C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820697364 606164938 /nfs/dbraw/zinc/16/49/38/606164938.db2.gz GGVHSFRRPYBNIJ-ZJUUUORDSA-N -1 1 318.385 1.643 20 0 DDADMM C[C@@H]1CCC[C@H](N(C)C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820697364 606164940 /nfs/dbraw/zinc/16/49/40/606164940.db2.gz GGVHSFRRPYBNIJ-ZJUUUORDSA-N -1 1 318.385 1.643 20 0 DDADMM Cc1cccc(CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)c1 ZINC000822304093 606171252 /nfs/dbraw/zinc/17/12/52/606171252.db2.gz PTQJMODEZGJZMV-UHFFFAOYSA-N -1 1 312.337 1.230 20 0 DDADMM Cc1cccc(CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)c1 ZINC000822304093 606171253 /nfs/dbraw/zinc/17/12/53/606171253.db2.gz PTQJMODEZGJZMV-UHFFFAOYSA-N -1 1 312.337 1.230 20 0 DDADMM CO[C@H](C)c1nc(Cn2cccc(-c3nn[n-]n3)c2=O)cs1 ZINC000821675323 606177486 /nfs/dbraw/zinc/17/74/86/606177486.db2.gz IUDPTJWTDYVSSL-MRVPVSSYSA-N -1 1 318.362 1.241 20 0 DDADMM CCCC(=O)N[C@H]1CCCN(c2cccc(-c3nnn[n-]3)n2)C1 ZINC000821000734 606216024 /nfs/dbraw/zinc/21/60/24/606216024.db2.gz IOQZENDFGNEEMK-NSHDSACASA-N -1 1 315.381 1.147 20 0 DDADMM CCCC(=O)N[C@H]1CCCN(c2cccc(-c3nn[n-]n3)n2)C1 ZINC000821000734 606216025 /nfs/dbraw/zinc/21/60/25/606216025.db2.gz IOQZENDFGNEEMK-NSHDSACASA-N -1 1 315.381 1.147 20 0 DDADMM C[C@H](Cn1cccn1)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820307830 606410078 /nfs/dbraw/zinc/41/00/78/606410078.db2.gz PFIKDQZDWYXPFX-MRVPVSSYSA-N -1 1 304.335 1.370 20 0 DDADMM C[C@H](Cn1cccn1)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820307830 606410081 /nfs/dbraw/zinc/41/00/81/606410081.db2.gz PFIKDQZDWYXPFX-MRVPVSSYSA-N -1 1 304.335 1.370 20 0 DDADMM O[C@]1(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)CCSC1 ZINC000823699177 606471395 /nfs/dbraw/zinc/47/13/95/606471395.db2.gz SZYVHSIXGLELMV-NSHDSACASA-N -1 1 312.786 1.195 20 0 DDADMM O[C@]1(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)CCSC1 ZINC000823699177 606471397 /nfs/dbraw/zinc/47/13/97/606471397.db2.gz SZYVHSIXGLELMV-NSHDSACASA-N -1 1 312.786 1.195 20 0 DDADMM O=C(Nc1nnc(C2CC2)s1)c1ccc(-c2nn[n-]n2)s1 ZINC000823383484 606490022 /nfs/dbraw/zinc/49/00/22/606490022.db2.gz SIONQUVISDZBCJ-UHFFFAOYSA-N -1 1 319.375 1.909 20 0 DDADMM CC[C@@H]1CO[C@H](C)CN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821000843 606491681 /nfs/dbraw/zinc/49/16/81/606491681.db2.gz KCOUKNZBMVUNBN-RKDXNWHRSA-N -1 1 307.379 1.568 20 0 DDADMM CC[C@@H]1CO[C@H](C)CN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821000843 606491682 /nfs/dbraw/zinc/49/16/82/606491682.db2.gz KCOUKNZBMVUNBN-RKDXNWHRSA-N -1 1 307.379 1.568 20 0 DDADMM Cc1cc(C)cc(OCC(=O)Nc2n[nH]cc2-c2nnn[n-]2)c1 ZINC000822197555 606530671 /nfs/dbraw/zinc/53/06/71/606530671.db2.gz FSURCZIVBMPAHU-UHFFFAOYSA-N -1 1 313.321 1.224 20 0 DDADMM Cc1cc(C)cc(OCC(=O)Nc2n[nH]cc2-c2nn[n-]n2)c1 ZINC000822197555 606530673 /nfs/dbraw/zinc/53/06/73/606530673.db2.gz FSURCZIVBMPAHU-UHFFFAOYSA-N -1 1 313.321 1.224 20 0 DDADMM CO[C@]1(C)C[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1(C)C ZINC000821678439 606581344 /nfs/dbraw/zinc/58/13/44/606581344.db2.gz NTSSEVMGDBMSFC-QMTHXVAHSA-N -1 1 321.406 1.862 20 0 DDADMM CO[C@]1(C)C[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1(C)C ZINC000821678439 606581345 /nfs/dbraw/zinc/58/13/45/606581345.db2.gz NTSSEVMGDBMSFC-QMTHXVAHSA-N -1 1 321.406 1.862 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CC(OCC(F)F)C1 ZINC000823483157 606600951 /nfs/dbraw/zinc/60/09/51/606600951.db2.gz DMEGNCBXGGIBSJ-UHFFFAOYSA-N -1 1 315.305 1.034 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CC(OCC(F)F)C1 ZINC000823483157 606600953 /nfs/dbraw/zinc/60/09/53/606600953.db2.gz DMEGNCBXGGIBSJ-UHFFFAOYSA-N -1 1 315.305 1.034 20 0 DDADMM Cc1nn(C/C(Cl)=C/Cl)c(=O)c(-c2nn[n-]n2)c1C ZINC000822500845 606838088 /nfs/dbraw/zinc/83/80/88/606838088.db2.gz JISYPVMEKIOBER-CLTKARDFSA-N -1 1 301.137 1.359 20 0 DDADMM O=C(NC1(C(F)(F)F)CC1)c1ccc(-c2nnn[n-]2)s1 ZINC000823140774 606850595 /nfs/dbraw/zinc/85/05/95/606850595.db2.gz ZZOQWTSDJOFWLW-UHFFFAOYSA-N -1 1 303.269 1.753 20 0 DDADMM O=C(NC1(C(F)(F)F)CC1)c1ccc(-c2nn[n-]n2)s1 ZINC000823140774 606850597 /nfs/dbraw/zinc/85/05/97/606850597.db2.gz ZZOQWTSDJOFWLW-UHFFFAOYSA-N -1 1 303.269 1.753 20 0 DDADMM O[C@]12CCCC[C@@H]1CN(c1cccc(-c3nnn[n-]3)n1)CC2 ZINC000823697460 607034473 /nfs/dbraw/zinc/03/44/73/607034473.db2.gz LKBLIAPIKQWXLQ-ABAIWWIYSA-N -1 1 300.366 1.393 20 0 DDADMM O[C@]12CCCC[C@@H]1CN(c1cccc(-c3nn[n-]n3)n1)CC2 ZINC000823697460 607034475 /nfs/dbraw/zinc/03/44/75/607034475.db2.gz LKBLIAPIKQWXLQ-ABAIWWIYSA-N -1 1 300.366 1.393 20 0 DDADMM C[C@H](CCNc1snc(Cl)c1-c1nnn[n-]1)[S@@](C)=O ZINC000820241902 607041299 /nfs/dbraw/zinc/04/12/99/607041299.db2.gz JSBUBRYHOYUHBJ-WZNIZKBGSA-N -1 1 320.831 1.546 20 0 DDADMM C[C@H](CCNc1snc(Cl)c1-c1nn[n-]n1)[S@@](C)=O ZINC000820241902 607041300 /nfs/dbraw/zinc/04/13/00/607041300.db2.gz JSBUBRYHOYUHBJ-WZNIZKBGSA-N -1 1 320.831 1.546 20 0 DDADMM CCNc1nnc(Sc2cccc(-c3nnn[n-]3)n2)s1 ZINC000825486214 607895576 /nfs/dbraw/zinc/89/55/76/607895576.db2.gz OHAAMINYAAGKCA-UHFFFAOYSA-N -1 1 306.380 1.696 20 0 DDADMM CCNc1nnc(Sc2cccc(-c3nn[n-]n3)n2)s1 ZINC000825486214 607895577 /nfs/dbraw/zinc/89/55/77/607895577.db2.gz OHAAMINYAAGKCA-UHFFFAOYSA-N -1 1 306.380 1.696 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)[C@H]1CCCO1 ZINC000825135001 607912770 /nfs/dbraw/zinc/91/27/70/607912770.db2.gz YEOLKOWSUCUQAW-RKDXNWHRSA-N -1 1 307.379 1.616 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)[C@H]1CCCO1 ZINC000825135001 607912771 /nfs/dbraw/zinc/91/27/71/607912771.db2.gz YEOLKOWSUCUQAW-RKDXNWHRSA-N -1 1 307.379 1.616 20 0 DDADMM CN(Cc1nc2ccccc2s1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825992124 607988375 /nfs/dbraw/zinc/98/83/75/607988375.db2.gz PXABBGQENBQYEN-UHFFFAOYSA-N -1 1 324.373 1.903 20 0 DDADMM CN(Cc1nc2ccccc2s1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825992124 607988376 /nfs/dbraw/zinc/98/83/76/607988376.db2.gz PXABBGQENBQYEN-UHFFFAOYSA-N -1 1 324.373 1.903 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1NCCCOC1CCCCC1 ZINC000826515284 607988581 /nfs/dbraw/zinc/98/85/81/607988581.db2.gz UABJHXYAXBJIAB-UHFFFAOYSA-N -1 1 303.370 1.808 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1NCCCOC1CCCCC1 ZINC000826515284 607988582 /nfs/dbraw/zinc/98/85/82/607988582.db2.gz UABJHXYAXBJIAB-UHFFFAOYSA-N -1 1 303.370 1.808 20 0 DDADMM Cc1nn(C)c(C)c1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826333186 607996950 /nfs/dbraw/zinc/99/69/50/607996950.db2.gz XSERTEVYLSDWNJ-UHFFFAOYSA-N -1 1 313.321 1.175 20 0 DDADMM CCn1c2cccc(F)c2nc1Cn1ccnc1-c1nnn[n-]1 ZINC000825787360 608014284 /nfs/dbraw/zinc/01/42/84/608014284.db2.gz DPEYBTRPHFNFPZ-UHFFFAOYSA-N -1 1 312.312 1.620 20 0 DDADMM CCn1c2cccc(F)c2nc1Cn1ccnc1-c1nn[n-]n1 ZINC000825787360 608014285 /nfs/dbraw/zinc/01/42/85/608014285.db2.gz DPEYBTRPHFNFPZ-UHFFFAOYSA-N -1 1 312.312 1.620 20 0 DDADMM CC(=O)c1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)nc1 ZINC000824024512 608030889 /nfs/dbraw/zinc/03/08/89/608030889.db2.gz HVVPBUFJNDZABV-UHFFFAOYSA-N -1 1 309.289 1.112 20 0 DDADMM CC(=O)c1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)nc1 ZINC000824024512 608030890 /nfs/dbraw/zinc/03/08/90/608030890.db2.gz HVVPBUFJNDZABV-UHFFFAOYSA-N -1 1 309.289 1.112 20 0 DDADMM CCc1nnc(COC(=O)c2sccc2-c2nn[n-]n2)s1 ZINC000825767331 608146038 /nfs/dbraw/zinc/14/60/38/608146038.db2.gz KKOFHDWSGJYGMK-UHFFFAOYSA-N -1 1 322.375 1.699 20 0 DDADMM COC(=O)C(C)(C)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000826098797 608188942 /nfs/dbraw/zinc/18/89/42/608188942.db2.gz PEISYUONPRPYEL-UHFFFAOYSA-N -1 1 316.774 1.588 20 0 DDADMM COC(=O)C(C)(C)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000826098797 608188943 /nfs/dbraw/zinc/18/89/43/608188943.db2.gz PEISYUONPRPYEL-UHFFFAOYSA-N -1 1 316.774 1.588 20 0 DDADMM C[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000824656895 608399357 /nfs/dbraw/zinc/39/93/57/608399357.db2.gz NTSKGUJTQZNMPX-SSDOTTSWSA-N -1 1 323.320 1.412 20 0 DDADMM C[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000824656895 608399358 /nfs/dbraw/zinc/39/93/58/608399358.db2.gz NTSKGUJTQZNMPX-SSDOTTSWSA-N -1 1 323.320 1.412 20 0 DDADMM Cc1nn(C)c(C)c1CCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826333543 608415622 /nfs/dbraw/zinc/41/56/22/608415622.db2.gz LODXZGNKYFUZLO-UHFFFAOYSA-N -1 1 313.369 1.052 20 0 DDADMM Cc1nn(C)c(C)c1CCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826333543 608415624 /nfs/dbraw/zinc/41/56/24/608415624.db2.gz LODXZGNKYFUZLO-UHFFFAOYSA-N -1 1 313.369 1.052 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1Sc1nnc(C2CC2)s1 ZINC000826515049 608428415 /nfs/dbraw/zinc/42/84/15/608428415.db2.gz KYDJXQWRXWGDSB-UHFFFAOYSA-N -1 1 304.364 1.537 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1Sc1nnc(C2CC2)s1 ZINC000826515049 608428416 /nfs/dbraw/zinc/42/84/16/608428416.db2.gz KYDJXQWRXWGDSB-UHFFFAOYSA-N -1 1 304.364 1.537 20 0 DDADMM Cc1ccc([C@@H]2COCCN2c2ccc(-c3nnn[n-]3)nn2)o1 ZINC000826286026 608429592 /nfs/dbraw/zinc/42/95/92/608429592.db2.gz QHBYOTIREIDKGO-NSHDSACASA-N -1 1 313.321 1.136 20 0 DDADMM Cc1ccc([C@@H]2COCCN2c2ccc(-c3nn[n-]n3)nn2)o1 ZINC000826286026 608429594 /nfs/dbraw/zinc/42/95/94/608429594.db2.gz QHBYOTIREIDKGO-NSHDSACASA-N -1 1 313.321 1.136 20 0 DDADMM CCC(CC)(CNc1ccc(-c2nn[n-]n2)nn1)C(=O)OC ZINC000825090118 608435459 /nfs/dbraw/zinc/43/54/59/608435459.db2.gz UDDFSWLOTTXXDZ-UHFFFAOYSA-N -1 1 305.342 1.048 20 0 DDADMM c1ccc2c(c1)C[C@H]1[C@@H]2[C@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826523118 608435125 /nfs/dbraw/zinc/43/51/25/608435125.db2.gz BAZCTUYEGCIZGZ-TYNCELHUSA-N -1 1 305.345 1.655 20 0 DDADMM c1ccc2c(c1)C[C@H]1[C@@H]2[C@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826523118 608435127 /nfs/dbraw/zinc/43/51/27/608435127.db2.gz BAZCTUYEGCIZGZ-TYNCELHUSA-N -1 1 305.345 1.655 20 0 DDADMM CCC(CC)(CNc1ccc(-c2nnn[n-]2)nn1)C(=O)OC ZINC000825090118 608435458 /nfs/dbraw/zinc/43/54/58/608435458.db2.gz UDDFSWLOTTXXDZ-UHFFFAOYSA-N -1 1 305.342 1.048 20 0 DDADMM C[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)[C@@H]1C ZINC000824937927 608607676 /nfs/dbraw/zinc/60/76/76/608607676.db2.gz RYHVLCKTKQHLAX-RKDXNWHRSA-N -1 1 304.358 1.253 20 0 DDADMM C[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)[C@@H]1C ZINC000824937927 608607677 /nfs/dbraw/zinc/60/76/77/608607677.db2.gz RYHVLCKTKQHLAX-RKDXNWHRSA-N -1 1 304.358 1.253 20 0 DDADMM COCCN(Cc1ccc(-c2nn[n-]n2)s1)CC(F)F ZINC000826158837 608675502 /nfs/dbraw/zinc/67/55/02/608675502.db2.gz FNDVKWGJPSADKP-UHFFFAOYSA-N -1 1 303.338 1.642 20 0 DDADMM CC(C)C(=O)Nc1ccn(-c2cccc(F)c2-c2nn[n-]n2)n1 ZINC000824184938 609289857 /nfs/dbraw/zinc/28/98/57/609289857.db2.gz OKVPRMSSPSLIBS-UHFFFAOYSA-N -1 1 315.312 1.786 20 0 DDADMM O=C(CC1CC1)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971896155 695118911 /nfs/dbraw/zinc/11/89/11/695118911.db2.gz UGCPKBPMPCUZFC-CHWSQXEVSA-N -1 1 315.373 1.260 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCc2nccs2)co1 ZINC000796945988 699987639 /nfs/dbraw/zinc/98/76/39/699987639.db2.gz JHQJXIDUBNVSTK-UHFFFAOYSA-N -1 1 316.360 1.044 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2csc3nccn23)[n-]1 ZINC000797277055 700002685 /nfs/dbraw/zinc/00/26/85/700002685.db2.gz WKGDHDDXZCXFRH-UHFFFAOYSA-N -1 1 305.315 1.868 20 0 DDADMM O=C(C[C@@H]1C=CCC1)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000973858546 695569967 /nfs/dbraw/zinc/56/99/67/695569967.db2.gz AYBDKCMIJXYEJF-UPJWGTAASA-N -1 1 315.373 1.521 20 0 DDADMM CC(C)C[C@H](C(=O)[O-])N(C)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000797723466 700020648 /nfs/dbraw/zinc/02/06/48/700020648.db2.gz ATQDFMLMUBVJKU-VXGBXAGGSA-N -1 1 308.382 1.928 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000797724759 700021061 /nfs/dbraw/zinc/02/10/61/700021061.db2.gz NYNZZTGTZOHPPQ-BYNQJWBRSA-N -1 1 318.377 1.682 20 0 DDADMM CCC[C@H](NC(=O)Cc1cccc(OCC)n1)c1nn[n-]n1 ZINC000797914864 700031569 /nfs/dbraw/zinc/03/15/69/700031569.db2.gz BAAWVAQLSYNHJV-NSHDSACASA-N -1 1 304.354 1.194 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3coc(Br)c3)ccnc1-2 ZINC000798234000 700051660 /nfs/dbraw/zinc/05/16/60/700051660.db2.gz HZIGSWMJVYDLCR-UHFFFAOYSA-N -1 1 321.134 1.950 20 0 DDADMM CS[C@@H](C)C(=O)N[N-]C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000033225790 696126832 /nfs/dbraw/zinc/12/68/32/696126832.db2.gz BGRJYYAOCUVXEX-VIFPVBQESA-N -1 1 304.375 1.589 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977601173 696230361 /nfs/dbraw/zinc/23/03/61/696230361.db2.gz NLXNMRODSRPEIQ-LBPRGKRZSA-N -1 1 303.362 1.426 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977601948 696230719 /nfs/dbraw/zinc/23/07/19/696230719.db2.gz QHZUUCXZNVPHHR-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H]([C@H](C)O)C1 ZINC000747463794 700071844 /nfs/dbraw/zinc/07/18/44/700071844.db2.gz RSGVXBFJEAKMFX-NWDGAFQWSA-N -1 1 307.394 1.847 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)CCC1CC1)C(=O)c1ncccc1[O-] ZINC000977615764 696235586 /nfs/dbraw/zinc/23/55/86/696235586.db2.gz GJDQSTMGOUBBND-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)[C@H]1CC1(C)C)C(=O)c1ncccc1[O-] ZINC000977623717 696238424 /nfs/dbraw/zinc/23/84/24/696238424.db2.gz ZKUBRRRXUBDXJR-NWDGAFQWSA-N -1 1 317.389 1.506 20 0 DDADMM CCS(=O)(=O)NCCCNC(=O)c1c([O-])cccc1Cl ZINC000051445622 696241119 /nfs/dbraw/zinc/24/11/19/696241119.db2.gz LMLHSGLWQRVGIP-UHFFFAOYSA-N -1 1 320.798 1.105 20 0 DDADMM CCC(Nc1cc(F)ccc1F)=C1C(=O)[N-]C(=S)NC1=O ZINC000073139104 696401303 /nfs/dbraw/zinc/40/13/03/696401303.db2.gz PAMWBSPIPQPGMT-UHFFFAOYSA-N -1 1 311.313 1.572 20 0 DDADMM Cc1c2ccccc2oc1C(=O)[N-]NC(=O)C1CCOCC1 ZINC000078938317 696456645 /nfs/dbraw/zinc/45/66/45/696456645.db2.gz ZGTBUKXVBSMRPW-UHFFFAOYSA-N -1 1 302.330 1.929 20 0 DDADMM C[C@H](CCNC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000079881953 696464698 /nfs/dbraw/zinc/46/46/98/696464698.db2.gz ZWCWQYUDTRMEKY-ZYHUDNBSSA-N -1 1 305.403 1.643 20 0 DDADMM Cn1ccc2c1cccc2NC(=O)Cc1sc(N)nc1[O-] ZINC000079939087 696465279 /nfs/dbraw/zinc/46/52/79/696465279.db2.gz XLRRJSJNPCDRCM-NSHDSACASA-N -1 1 302.359 1.464 20 0 DDADMM CC[C@@](C)(NC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000080117108 696530637 /nfs/dbraw/zinc/53/06/37/696530637.db2.gz QDZGNHAZRUVTEG-IAQYHMDHSA-N -1 1 305.403 1.775 20 0 DDADMM Cc1ccc([C@H](C)CNC(=O)Cc2sc(N)nc2[O-])cc1 ZINC000080396714 696534276 /nfs/dbraw/zinc/53/42/76/696534276.db2.gz OIPKNUWFULTHQS-PWSUYJOCSA-N -1 1 305.403 1.562 20 0 DDADMM Cc1ccccc1S(=O)(=O)CCC[N-]C(=O)C(F)(F)F ZINC000091574585 696589229 /nfs/dbraw/zinc/58/92/29/696589229.db2.gz OBPIXUAHPDQXPI-UHFFFAOYSA-N -1 1 309.309 1.837 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@@H](C(=O)[N-]O[C@@H]2CCCCO2)C1 ZINC000101517251 696607366 /nfs/dbraw/zinc/60/73/66/696607366.db2.gz XKTIDVGTZVBFLT-BFHYXJOUSA-N -1 1 312.410 1.846 20 0 DDADMM O=C(NC[C@H]1C[C@H](NC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000979666550 696622377 /nfs/dbraw/zinc/62/23/77/696622377.db2.gz WGCIGRMMDAKRBQ-UMSPYCQHSA-N -1 1 303.362 1.212 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)c(C)o1 ZINC000798849101 700101680 /nfs/dbraw/zinc/10/16/80/700101680.db2.gz RPKKHHPEMLIOFQ-GFCCVEGCSA-N -1 1 302.396 1.288 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cccc3c2CCCC3)s1 ZINC000120859683 696702216 /nfs/dbraw/zinc/70/22/16/696702216.db2.gz MTKMRIBCLYOGQQ-GFCCVEGCSA-N -1 1 303.387 1.851 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC[C@@H]2CCCc3ccccc32)s1 ZINC000120879887 696703347 /nfs/dbraw/zinc/70/33/47/696703347.db2.gz HSLMSDZVOAFGLD-WCQYABFASA-N -1 1 317.414 1.570 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)c2ccc(C)cc2)co1 ZINC000127171240 696769018 /nfs/dbraw/zinc/76/90/18/696769018.db2.gz DIQXZLHGEMMDAH-UHFFFAOYSA-N -1 1 308.359 1.773 20 0 DDADMM CC[C@](C)(CC(=O)OC)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000748656939 700130298 /nfs/dbraw/zinc/13/02/98/700130298.db2.gz VZFDNZOMWWRHBB-LLVKDONJSA-N -1 1 323.802 1.084 20 0 DDADMM CC1CC(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000982617101 697159354 /nfs/dbraw/zinc/15/93/54/697159354.db2.gz HNYQKBFQTJGKKC-CPCZMJQVSA-N -1 1 317.389 1.412 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982675200 697167884 /nfs/dbraw/zinc/16/78/84/697167884.db2.gz JYYMNIRWWSSBPI-TUAOUCFPSA-N -1 1 303.362 1.022 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000983585996 697281850 /nfs/dbraw/zinc/28/18/50/697281850.db2.gz QQPFJTLQZLBGPY-VXGBXAGGSA-N -1 1 319.405 1.991 20 0 DDADMM CN(C(=O)C(C)(C)F)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984106083 697340908 /nfs/dbraw/zinc/34/09/08/697340908.db2.gz OEFXRHUVVPFZQP-NSHDSACASA-N -1 1 323.368 1.598 20 0 DDADMM CN(C(=O)C(C)(C)C)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984114150 697341919 /nfs/dbraw/zinc/34/19/19/697341919.db2.gz MPJQOERDENVDJI-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM Cc1nn(C)cc1[C@H](C)NC(=O)c1nnc2ccccc2c1O ZINC000171869780 697363117 /nfs/dbraw/zinc/36/31/17/697363117.db2.gz TYDRSMQPRHOBHY-VIFPVBQESA-N -1 1 311.345 1.456 20 0 DDADMM CSc1nsc([N-]C(=O)c2nnc3ccccc3c2O)n1 ZINC000175530301 697397246 /nfs/dbraw/zinc/39/72/46/697397246.db2.gz NYCNUHMRZHKQTF-UHFFFAOYSA-N -1 1 319.371 1.749 20 0 DDADMM CCN(c1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1)C(C)C ZINC000749262412 700154121 /nfs/dbraw/zinc/15/41/21/700154121.db2.gz WFTZYXKYIHYBFF-UHFFFAOYSA-N -1 1 304.350 1.118 20 0 DDADMM CCOCCO[N-]C(=O)[C@H](C(C)C)N1C[C@@H](C)O[C@H](C)C1 ZINC000184785698 697507935 /nfs/dbraw/zinc/50/79/35/697507935.db2.gz KDSFBULBALGIBC-MCIONIFRSA-N -1 1 302.415 1.205 20 0 DDADMM Cn1nccc1CC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000186421367 697533516 /nfs/dbraw/zinc/53/35/16/697533516.db2.gz DZOFWYCZELOMRD-UHFFFAOYSA-N -1 1 319.308 1.358 20 0 DDADMM Nc1nc(Cl)cc(=N[N-]S(=O)(=O)c2ccccc2F)[nH]1 ZINC000187576030 697547325 /nfs/dbraw/zinc/54/73/25/697547325.db2.gz DRTIQLDHCNVIGS-UHFFFAOYSA-N -1 1 317.733 1.157 20 0 DDADMM O=C(C[NH2+]Cc1c(O)ccc(Cl)c1F)NCC(F)(F)F ZINC000190790982 697596397 /nfs/dbraw/zinc/59/63/97/697596397.db2.gz VOZSRLRCYGZOBG-UHFFFAOYSA-N -1 1 314.666 1.953 20 0 DDADMM Cc1oc2ccc(NC(=O)NN3CC(=O)[N-]C3=O)cc2c1C ZINC000194033580 697688934 /nfs/dbraw/zinc/68/89/34/697688934.db2.gz CGFDONBUZHFULQ-UHFFFAOYSA-N -1 1 302.290 1.638 20 0 DDADMM O=C(c1ccc(F)cc1F)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773147297 697718335 /nfs/dbraw/zinc/71/83/35/697718335.db2.gz BNPAGWYDTJSIHE-JTQLQIEISA-N -1 1 311.317 1.408 20 0 DDADMM O=C(c1ccnc(Cl)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773158433 697720469 /nfs/dbraw/zinc/72/04/69/697720469.db2.gz ONQQLNTZCOMASI-MRVPVSSYSA-N -1 1 310.770 1.178 20 0 DDADMM COc1cccc(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000773159784 697721304 /nfs/dbraw/zinc/72/13/04/697721304.db2.gz SIDLPKMWHFFJDW-GFCCVEGCSA-N -1 1 319.390 1.068 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773159396 697721459 /nfs/dbraw/zinc/72/14/59/697721459.db2.gz FIQPGKQHQIJSQC-GMSGAONNSA-N -1 1 322.394 1.225 20 0 DDADMM Cc1cncc(C=CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000773314220 697742718 /nfs/dbraw/zinc/74/27/18/697742718.db2.gz HWWYFTJUIQGFMB-MUBLQREKSA-N -1 1 314.345 1.898 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc(C2OCCO2)c1)c1nn[n-]n1 ZINC000773998445 697832168 /nfs/dbraw/zinc/83/21/68/697832168.db2.gz MIHXOLSOQKVGBI-SNVBAGLBSA-N -1 1 317.349 1.121 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)nc1Cl ZINC000774008571 697833381 /nfs/dbraw/zinc/83/33/81/697833381.db2.gz YYOQKLMIYHLMPG-SSDOTTSWSA-N -1 1 310.745 1.132 20 0 DDADMM CC(C)(C)CS(=O)(=O)[N-]C(=O)CCCCc1cn[nH]n1 ZINC000774092678 697842245 /nfs/dbraw/zinc/84/22/45/697842245.db2.gz AKURZAVVOORRMA-UHFFFAOYSA-N -1 1 302.400 1.010 20 0 DDADMM COC(=O)Cc1csc(NC([O-])=NO[C@H]2CCCCO2)n1 ZINC000775384603 697990740 /nfs/dbraw/zinc/99/07/40/697990740.db2.gz ZSPBAOICCXFKJE-JTQLQIEISA-N -1 1 315.351 1.438 20 0 DDADMM COC(=O)Cc1csc(NC(=O)[N-]O[C@H]2CCCCO2)n1 ZINC000775384603 697990745 /nfs/dbraw/zinc/99/07/45/697990745.db2.gz ZSPBAOICCXFKJE-JTQLQIEISA-N -1 1 315.351 1.438 20 0 DDADMM CN1CC[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)CC1=O ZINC000800129080 700191369 /nfs/dbraw/zinc/19/13/69/700191369.db2.gz LJKRYTUIBHGWJU-NSHDSACASA-N -1 1 308.359 1.332 20 0 DDADMM O=C([C@H]1CC1(Cl)Cl)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776562512 698117182 /nfs/dbraw/zinc/11/71/82/698117182.db2.gz DUGGNLCWIMZLAU-PHDIDXHHSA-N -1 1 308.194 1.010 20 0 DDADMM O=C(CSc1ccncc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776565277 698117734 /nfs/dbraw/zinc/11/77/34/698117734.db2.gz RLRSGCFJMWYPSI-JTQLQIEISA-N -1 1 322.419 1.004 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987671469 698192679 /nfs/dbraw/zinc/19/26/79/698192679.db2.gz JYKNZIASZIENPF-MNOVXSKESA-N -1 1 321.352 1.770 20 0 DDADMM CSCC(=O)N1CC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987720546 698210503 /nfs/dbraw/zinc/21/05/03/698210503.db2.gz LSHXHZBLWFYVTM-NSHDSACASA-N -1 1 323.418 1.071 20 0 DDADMM O=S(=O)([N-]CCc1nnc[nH]1)c1c(Cl)ccnc1Cl ZINC000778017676 698238020 /nfs/dbraw/zinc/23/80/20/698238020.db2.gz KUWOEDUCIXENHN-UHFFFAOYSA-N -1 1 322.177 1.028 20 0 DDADMM Cc1cccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)c1 ZINC000987939231 698269966 /nfs/dbraw/zinc/26/99/66/698269966.db2.gz PRKWTKQFJDKFQA-WCQYABFASA-N -1 1 315.377 1.212 20 0 DDADMM CN1CCc2cc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)ccc21 ZINC000779018281 698391085 /nfs/dbraw/zinc/39/10/85/698391085.db2.gz NRLGEANEBZWGJX-ZDUSSCGKSA-N -1 1 312.377 1.212 20 0 DDADMM Cc1cc(C)c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)o1 ZINC000988514254 698395441 /nfs/dbraw/zinc/39/54/41/698395441.db2.gz COPISCXRNVNGHI-KOLCDFICSA-N -1 1 319.365 1.113 20 0 DDADMM Cc1sccc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000988796984 698467602 /nfs/dbraw/zinc/46/76/02/698467602.db2.gz HXXLCCIVMNDIQC-WPRPVWTQSA-N -1 1 321.406 1.273 20 0 DDADMM CC[C@H](NC(=O)NCc1n[nH]c(-c2ccccc2)n1)C(=O)[O-] ZINC000780506209 698525845 /nfs/dbraw/zinc/52/58/45/698525845.db2.gz GCMZAQGNBXNZJD-JTQLQIEISA-N -1 1 303.322 1.134 20 0 DDADMM CC[C@H](NC(=O)NCc1nc(-c2ccccc2)n[nH]1)C(=O)[O-] ZINC000780506209 698525846 /nfs/dbraw/zinc/52/58/46/698525846.db2.gz GCMZAQGNBXNZJD-JTQLQIEISA-N -1 1 303.322 1.134 20 0 DDADMM CC[C@H](NC(=O)NCc1ccc(F)c(CN(C)C)c1)C(=O)[O-] ZINC000780577222 698534588 /nfs/dbraw/zinc/53/45/88/698534588.db2.gz NVAOHNMDWAOSOE-ZDUSSCGKSA-N -1 1 311.357 1.550 20 0 DDADMM O=C(NC[C@@H]1CC(=O)N(C2CC2)C1)c1c([O-])cccc1Cl ZINC000780813076 698561438 /nfs/dbraw/zinc/56/14/38/698561438.db2.gz XWGUCJKLKNJKDH-VIFPVBQESA-N -1 1 308.765 1.786 20 0 DDADMM O=C(Cc1ccco1)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000290430805 698570764 /nfs/dbraw/zinc/57/07/64/698570764.db2.gz SKJFLFROORNCLE-JTQLQIEISA-N -1 1 318.295 1.739 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H]1C[C@@H](C)O ZINC000319031488 698709057 /nfs/dbraw/zinc/70/90/57/698709057.db2.gz RGQQMXXIPREBSX-PWSUYJOCSA-N -1 1 307.394 1.990 20 0 DDADMM COC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1cc(C)cc(C)c1 ZINC000750954778 700260117 /nfs/dbraw/zinc/26/01/17/700260117.db2.gz MSMRKZJJXGMQIT-UHFFFAOYSA-N -1 1 324.358 1.889 20 0 DDADMM C[C@@H]1CN(C)C(=O)CN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000783756820 698874502 /nfs/dbraw/zinc/87/45/02/698874502.db2.gz KQKHAYIFVPTGCD-MRVPVSSYSA-N -1 1 316.279 1.714 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1C[C@H]2C[C@@]2(CO)C1 ZINC000783782388 698878539 /nfs/dbraw/zinc/87/85/39/698878539.db2.gz MBRAAJIMVUOPNF-OQPBUACISA-N -1 1 312.163 1.609 20 0 DDADMM Cn1c(=O)cccc1C(=O)Nc1nc(Br)ccc1[O-] ZINC000783901695 698890646 /nfs/dbraw/zinc/89/06/46/698890646.db2.gz NIXSKHUJHSPUQU-UHFFFAOYSA-N -1 1 324.134 1.501 20 0 DDADMM C[C@@H]1C[C@H](C(=O)Nc2nc(Br)ccc2[O-])CO1 ZINC000784790944 699040143 /nfs/dbraw/zinc/04/01/43/699040143.db2.gz ADNFJOULYNDXCE-RQJHMYQMSA-N -1 1 301.140 1.913 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-])C(C)(C)O ZINC000394005922 699105373 /nfs/dbraw/zinc/10/53/73/699105373.db2.gz DRFNGBUZMLUYMH-SSDOTTSWSA-N -1 1 306.315 1.172 20 0 DDADMM O=C(CC1=CCCCC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990970927 699195930 /nfs/dbraw/zinc/19/59/30/699195930.db2.gz ZZZKUNRHBTWRGM-UHFFFAOYSA-N -1 1 315.373 1.618 20 0 DDADMM CC[C@@]1(C)C[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990972422 699196215 /nfs/dbraw/zinc/19/62/15/699196215.db2.gz OWVFEDUAAXDOLB-ZBEGNZNMSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1CN(Cc2cn(CC(=O)[O-])nn2)CC[C@@H]1c1ccccc1 ZINC000517241934 699208735 /nfs/dbraw/zinc/20/87/35/699208735.db2.gz XLLRWEDSZZRABB-CJNGLKHVSA-N -1 1 314.389 1.988 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000788146560 699284243 /nfs/dbraw/zinc/28/42/43/699284243.db2.gz CWOFFLIDNFVTJB-GHMZBOCLSA-N -1 1 313.427 1.267 20 0 DDADMM C[C@@H](CCc1ccccc1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000726865277 699386167 /nfs/dbraw/zinc/38/61/67/699386167.db2.gz WIKOJNPDMSLWDW-ZDUSSCGKSA-N -1 1 315.373 1.064 20 0 DDADMM Cc1cc(Cl)ccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000726865640 699386238 /nfs/dbraw/zinc/38/62/38/699386238.db2.gz FARZKEITMMNYCD-UHFFFAOYSA-N -1 1 307.737 1.527 20 0 DDADMM Cc1nc2n(n1)C[C@@H](NC(=O)c1c([O-])cccc1Cl)CC2 ZINC000727175708 699402354 /nfs/dbraw/zinc/40/23/54/699402354.db2.gz FNXUXINYYOLWLW-VIFPVBQESA-N -1 1 306.753 1.690 20 0 DDADMM Cc1cc(F)cc(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)c1 ZINC000789803033 699411382 /nfs/dbraw/zinc/41/13/82/699411382.db2.gz LTKZQWXBVIIXJK-ZDUSSCGKSA-N -1 1 316.398 1.525 20 0 DDADMM Cc1cc2cc(NC(=O)CCn3cc[n-]c(=O)c3=O)ccc2[nH]1 ZINC000727849612 699428303 /nfs/dbraw/zinc/42/83/03/699428303.db2.gz ISDZYZOIYRAJOL-UHFFFAOYSA-N -1 1 312.329 1.355 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])C1(O)CCOCC1 ZINC000790042002 699436645 /nfs/dbraw/zinc/43/66/45/699436645.db2.gz CKAOSDTZUMQPLE-UHFFFAOYSA-N -1 1 317.139 1.030 20 0 DDADMM CC(C)[C@@H]1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000728981937 699461605 /nfs/dbraw/zinc/46/16/05/699461605.db2.gz MXBHSJPVBVZXTG-CHWSQXEVSA-N -1 1 307.394 1.258 20 0 DDADMM C[C@@H](CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000731855483 699538127 /nfs/dbraw/zinc/53/81/27/699538127.db2.gz PCAJULWAGUDHLI-GWCFXTLKSA-N -1 1 319.340 1.433 20 0 DDADMM O=C(c1cc2sccc2s1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732089225 699545944 /nfs/dbraw/zinc/54/59/44/699545944.db2.gz LOGLOZHXBFUSHV-SSDOTTSWSA-N -1 1 321.387 1.690 20 0 DDADMM CC(C)=C[C@@H]1[C@@H](C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)C1(C)C ZINC000732090355 699546133 /nfs/dbraw/zinc/54/61/33/699546133.db2.gz HYVJYNSXQRMLHY-UTUOFQBUSA-N -1 1 305.382 1.338 20 0 DDADMM COc1cccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1OC ZINC000791169258 699614096 /nfs/dbraw/zinc/61/40/96/699614096.db2.gz WLWHVHOSXGIJNO-UHFFFAOYSA-N -1 1 312.329 1.611 20 0 DDADMM CC(=O)c1cccc(OCC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000791284721 699619599 /nfs/dbraw/zinc/61/95/99/699619599.db2.gz CNAODFDYXUHZCW-UHFFFAOYSA-N -1 1 324.340 1.562 20 0 DDADMM CC(C)(C)[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000734819649 699662957 /nfs/dbraw/zinc/66/29/57/699662957.db2.gz LVFABVHFGIGKIC-ZDUSSCGKSA-N -1 1 321.421 1.602 20 0 DDADMM CC(C)(NC(=O)CCn1cc[n-]c(=O)c1=O)c1cccs1 ZINC000735067365 699675519 /nfs/dbraw/zinc/67/55/19/699675519.db2.gz XXUZWVFUMRRSRB-UHFFFAOYSA-N -1 1 307.375 1.040 20 0 DDADMM CCC[C@@H](O)CC(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000735951750 699713173 /nfs/dbraw/zinc/71/31/73/699713173.db2.gz WKRVYFWHHJNKPX-GFCCVEGCSA-N -1 1 323.345 1.046 20 0 DDADMM C[C@@H](CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccc(F)cc1 ZINC000735978161 699715220 /nfs/dbraw/zinc/71/52/20/699715220.db2.gz ZBFBBICPYIJFGR-GWCFXTLKSA-N -1 1 319.340 1.433 20 0 DDADMM Cc1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c(C)n1C(C)C ZINC000737233351 699737866 /nfs/dbraw/zinc/73/78/66/699737866.db2.gz IZCYTPSXLQEZMK-CYBMUJFWSA-N -1 1 318.381 1.413 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-]C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000793202859 699740166 /nfs/dbraw/zinc/74/01/66/699740166.db2.gz BYRVLCKHNSVRDM-VIFPVBQESA-N -1 1 308.363 1.330 20 0 DDADMM O=C([C@H]1CCCc2ccccc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737792293 699744945 /nfs/dbraw/zinc/74/49/45/699744945.db2.gz OWXVFBDCIOODAR-UONOGXRCSA-N -1 1 313.361 1.220 20 0 DDADMM COc1cccc([C@@H](CC(=O)[O-])NC(=O)[C@@H]2CCCN2C)c1 ZINC000738115161 699752804 /nfs/dbraw/zinc/75/28/04/699752804.db2.gz OTVGVUSMXARQFI-KGLIPLIRSA-N -1 1 306.362 1.421 20 0 DDADMM COC(=O)c1oc(CN2CC[C@H](NC(=O)[O-])[C@H](C)C2)cc1C ZINC000739791436 699779777 /nfs/dbraw/zinc/77/97/77/699779777.db2.gz HPKCCANPNGSQJQ-PWSUYJOCSA-N -1 1 310.350 1.853 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCCC[C@@H](C)O ZINC000795478557 699870710 /nfs/dbraw/zinc/87/07/10/699870710.db2.gz ZWSILHXPTWFIIF-SNVBAGLBSA-N -1 1 321.377 1.689 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2ccc(C(=O)OC)o2)[n-]1 ZINC000796345253 699927918 /nfs/dbraw/zinc/92/79/18/699927918.db2.gz NMNLNXRDZMABCI-UHFFFAOYSA-N -1 1 307.258 1.538 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)c2c(C)noc2C)[n-]1 ZINC000796366055 699929474 /nfs/dbraw/zinc/92/94/74/699929474.db2.gz PQLRPLHUXUIVRB-UHFFFAOYSA-N -1 1 306.274 1.446 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2ccccc2C(=O)OC)[n-]1 ZINC000796716496 699948680 /nfs/dbraw/zinc/94/86/80/699948680.db2.gz ZDQAGRWJNPNUET-UHFFFAOYSA-N -1 1 303.270 1.807 20 0 DDADMM CN1CC[C@H](OC(=O)c2nn(-c3ccc(F)cc3)cc2[O-])C1=O ZINC000801326402 700297760 /nfs/dbraw/zinc/29/77/60/700297760.db2.gz QPTWPVKCCPXESC-LBPRGKRZSA-N -1 1 319.292 1.105 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cc(=O)c4ccccc4o3)ccnc1-2 ZINC000801701934 700332571 /nfs/dbraw/zinc/33/25/71/700332571.db2.gz JFKMHMDFYCMMTD-UHFFFAOYSA-N -1 1 320.308 1.701 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H]2CCC[C@H](OC)C2)co1 ZINC000756525613 700625654 /nfs/dbraw/zinc/62/56/54/700625654.db2.gz AGGURYOEZCIHJA-QWRGUYRKSA-N -1 1 317.363 1.302 20 0 DDADMM CCC[C@@H](C)c1noc(C[N-]S(=O)(=O)CCOC(C)C)n1 ZINC000761845245 700870899 /nfs/dbraw/zinc/87/08/99/700870899.db2.gz PPTMHKMJOYMUNZ-LLVKDONJSA-N -1 1 319.427 1.818 20 0 DDADMM O=C(OCC1(CO)COC1)c1ccc(Cl)c(Cl)c1[O-] ZINC000763687925 700944715 /nfs/dbraw/zinc/94/47/15/700944715.db2.gz WPZFGUSGTRYJCM-UHFFFAOYSA-N -1 1 307.129 1.865 20 0 DDADMM O=C(C[C@@H]1CSCCS1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000765110448 700999512 /nfs/dbraw/zinc/99/95/12/700999512.db2.gz SIXXSPLLBNSUQG-VHSXEESVSA-N -1 1 313.452 1.144 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2COc3ccc(Cl)cc3C2)nc1=O ZINC000765409018 701010241 /nfs/dbraw/zinc/01/02/41/701010241.db2.gz KSGDQWSASRSLRJ-VIFPVBQESA-N -1 1 323.736 1.056 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2csc3ccccc23)nc1=O ZINC000765445913 701012974 /nfs/dbraw/zinc/01/29/74/701012974.db2.gz IOJLPDHQVFXDSO-UHFFFAOYSA-N -1 1 303.343 1.609 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCC(C)(C)O ZINC000765464402 701014161 /nfs/dbraw/zinc/01/41/61/701014161.db2.gz GNPCQYVDNFFWAQ-UHFFFAOYSA-N -1 1 307.350 1.298 20 0 DDADMM Cc1cccc2c(CC(=O)OCc3nc(=O)n(C)[n-]3)c[nH]c21 ZINC000765479789 701014856 /nfs/dbraw/zinc/01/48/56/701014856.db2.gz HSBITTMDJGRDPV-UHFFFAOYSA-N -1 1 300.318 1.184 20 0 DDADMM C[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(Cl)s1 ZINC000765491970 701015584 /nfs/dbraw/zinc/01/55/84/701015584.db2.gz IFOUPHJNIJVJQX-ZCFIWIBFSA-N -1 1 301.755 1.670 20 0 DDADMM CC(C)=CCOc1cccc(C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765488761 701015661 /nfs/dbraw/zinc/01/56/61/701015661.db2.gz MUXMYXLDSWHQAH-UHFFFAOYSA-N -1 1 317.345 1.810 20 0 DDADMM C[C@H]1CCN(Cc2cn[nH]c2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000809769882 701688108 /nfs/dbraw/zinc/68/81/08/701688108.db2.gz VGNSYVSPUWONCC-QXEWZRGKSA-N -1 1 304.316 1.687 20 0 DDADMM O=C(NCCS(=O)(=O)CC1CC1)c1ccc(Cl)cc1[O-] ZINC000768289325 701167277 /nfs/dbraw/zinc/16/72/77/701167277.db2.gz WZXNWBRBICQWQG-UHFFFAOYSA-N -1 1 317.794 1.600 20 0 DDADMM Cn1nccc1CC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000769312521 701240638 /nfs/dbraw/zinc/24/06/38/701240638.db2.gz LEJLJZHSKLJTIA-UHFFFAOYSA-N -1 1 314.297 1.518 20 0 DDADMM COc1ccc(C(C)(C)C)cc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000770055260 701266047 /nfs/dbraw/zinc/26/60/47/701266047.db2.gz WAESJFDDDHBJDL-UHFFFAOYSA-N -1 1 320.349 1.581 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H](CO)c2ccsc2)c1Cl ZINC000770274395 701273299 /nfs/dbraw/zinc/27/32/99/701273299.db2.gz HASASTSTMOJHPQ-MRVPVSSYSA-N -1 1 321.811 1.147 20 0 DDADMM Cc1onc(-c2ccccc2)c1C(=O)[N-]N1CC(=O)N(C)C1=O ZINC000770455088 701278603 /nfs/dbraw/zinc/27/86/03/701278603.db2.gz LNHZZJVMAAHJTB-UHFFFAOYSA-N -1 1 314.301 1.189 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)CCc1cnccn1 ZINC000771292419 701315670 /nfs/dbraw/zinc/31/56/70/701315670.db2.gz VTJLAXUWUGOKPA-UHFFFAOYSA-N -1 1 315.377 1.719 20 0 DDADMM COc1ccc(-c2nnc(COC(=O)c3cn[n-]n3)o2)cc1 ZINC000805606175 701399035 /nfs/dbraw/zinc/39/90/35/701399035.db2.gz ULSWRNNGMXECCN-UHFFFAOYSA-N -1 1 301.262 1.220 20 0 DDADMM COc1cccc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC000806491210 701437720 /nfs/dbraw/zinc/43/77/20/701437720.db2.gz FKQVCTDUUMYTIT-UHFFFAOYSA-N -1 1 312.333 1.067 20 0 DDADMM CC1=C(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)SCCO1 ZINC000806547633 701441224 /nfs/dbraw/zinc/44/12/24/701441224.db2.gz MQPCMTZKOUOGHC-NSHDSACASA-N -1 1 317.374 1.400 20 0 DDADMM O=C1OCc2ccc([N-]S(=O)(=O)C[C@H]3CCCCO3)cc21 ZINC000808126222 701499695 /nfs/dbraw/zinc/49/96/95/701499695.db2.gz WGQPNVGOOMPLQE-GFCCVEGCSA-N -1 1 311.359 1.668 20 0 DDADMM O=C(COC(=O)CCc1cncs1)[N-]C(=O)c1ccccc1 ZINC000814588443 701727155 /nfs/dbraw/zinc/72/71/55/701727155.db2.gz BFBCEOJWSQGBLF-UHFFFAOYSA-N -1 1 318.354 1.576 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)c2ccnn2C)c1 ZINC000867854209 701794263 /nfs/dbraw/zinc/79/42/63/701794263.db2.gz PPEMYJZAUFTJCF-VIFPVBQESA-N -1 1 311.363 1.174 20 0 DDADMM CCOC(=O)[C@@]1(CNc2cc(Cl)[n-]c(=O)n2)CCCOC1 ZINC000866095712 706616303 /nfs/dbraw/zinc/61/63/03/706616303.db2.gz NELQARFXDRLESG-CYBMUJFWSA-N -1 1 315.757 1.607 20 0 DDADMM O=C([N-]N1CN=NC1=O)c1cc(Br)cc2[nH]cnc21 ZINC000810861719 701864448 /nfs/dbraw/zinc/86/44/48/701864448.db2.gz DEIGIPRGJCMJIV-UHFFFAOYSA-N -1 1 323.110 1.006 20 0 DDADMM Cn1nc(C(F)(F)F)cc1CNC(=O)c1ncccc1[O-] ZINC000831035508 706624477 /nfs/dbraw/zinc/62/44/77/706624477.db2.gz TVJUQNVSDOVGSY-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM Cc1c(Cl)cccc1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000816492971 702096764 /nfs/dbraw/zinc/09/67/64/702096764.db2.gz KOOZFSGRDPSTHL-UHFFFAOYSA-N -1 1 321.768 1.285 20 0 DDADMM CCc1oc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cc1C ZINC000831139839 706642668 /nfs/dbraw/zinc/64/26/68/706642668.db2.gz OTVBHBGWOHDRCS-UHFFFAOYSA-N -1 1 318.295 1.901 20 0 DDADMM Cc1ocnc1C(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000812276782 702133409 /nfs/dbraw/zinc/13/34/09/702133409.db2.gz MZUKIZPFPNHYEH-VIFPVBQESA-N -1 1 319.283 1.514 20 0 DDADMM Cn1[n-]c(COC(=O)c2csc(C3CCCCC3)n2)nc1=O ZINC000840665705 702192046 /nfs/dbraw/zinc/19/20/46/702192046.db2.gz LQLUFAQARLOYOZ-UHFFFAOYSA-N -1 1 322.390 1.970 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1C=C[C@H]2C[C@@H]1CO2 ZINC000831177148 706651127 /nfs/dbraw/zinc/65/11/27/706651127.db2.gz SJONEIJVCHAWCC-XQQFMLRXSA-N -1 1 317.389 1.935 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC000868696621 702271274 /nfs/dbraw/zinc/27/12/74/702271274.db2.gz DPAIPNOYPPSWER-SCVCMEIPSA-N -1 1 318.377 1.243 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCCC2(O)CCC2)c1Cl ZINC000817146586 702289209 /nfs/dbraw/zinc/28/92/09/702289209.db2.gz RFSMZNOJGROXMZ-UHFFFAOYSA-N -1 1 307.803 1.047 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)COc3cc(F)cc(F)c3)ccnc1-2 ZINC000813235590 702315318 /nfs/dbraw/zinc/31/53/18/702315318.db2.gz ROSJHBQLQKDOAM-UHFFFAOYSA-N -1 1 318.283 1.638 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccnc(C(F)(F)F)c1)c1nn[n-]n1 ZINC000813515930 702365294 /nfs/dbraw/zinc/36/52/94/702365294.db2.gz DKCKJOKZHULXHW-ZETCQYMHSA-N -1 1 314.271 1.489 20 0 DDADMM CCS(=O)(=O)CCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872882440 707637897 /nfs/dbraw/zinc/63/78/97/707637897.db2.gz GXPNSLAGGZUCDR-UHFFFAOYSA-N -1 1 320.798 1.280 20 0 DDADMM COc1cccc(CS(=O)(=O)[N-][C@H]2C(=O)OCC2(C)C)c1 ZINC000841534691 702485469 /nfs/dbraw/zinc/48/54/69/702485469.db2.gz MGSQODLFXUHJJN-LBPRGKRZSA-N -1 1 313.375 1.066 20 0 DDADMM CO[C@H](CNC(=O)N=c1ccnc2n(C)[n-]cc1-2)CC(C)C ZINC000841705781 702543838 /nfs/dbraw/zinc/54/38/38/702543838.db2.gz QKOXRXVLJGKQHL-NSHDSACASA-N -1 1 305.382 1.524 20 0 DDADMM O=C([O-])C1(NCc2ccccc2I)CC1 ZINC000841794768 702568648 /nfs/dbraw/zinc/56/86/48/702568648.db2.gz JCOLEWCUVIEHFN-UHFFFAOYSA-N -1 1 317.126 1.998 20 0 DDADMM C[C@H]1CCC[N@@H+](CCOc2ccccc2C(=O)[O-])[C@H]1C(=O)[O-] ZINC000818329714 702636581 /nfs/dbraw/zinc/63/65/81/702636581.db2.gz CIVQUYJYWGKYGG-SMDDNHRTSA-N -1 1 307.346 1.949 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H]1Cc2ccccc2O1)c1nn[n-]n1 ZINC000866420036 706694828 /nfs/dbraw/zinc/69/48/28/706694828.db2.gz MLXUUOFTWUIMDE-RYUDHWBXSA-N -1 1 301.350 1.551 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H]1Cc2ccccc2O1)c1nn[n-]n1 ZINC000866420035 706694689 /nfs/dbraw/zinc/69/46/89/706694689.db2.gz MLXUUOFTWUIMDE-NWDGAFQWSA-N -1 1 301.350 1.551 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2(F)CCCC2)CCC1 ZINC000843016444 702803634 /nfs/dbraw/zinc/80/36/34/702803634.db2.gz RZZGZIFBVTXJQW-UHFFFAOYSA-N -1 1 306.403 1.199 20 0 DDADMM CC(C)C[C@H](C)CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843018397 702804323 /nfs/dbraw/zinc/80/43/23/702804323.db2.gz PEMGOWSXHGBYAO-ZDUSSCGKSA-N -1 1 318.483 1.989 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2ccccc2F)CCC1 ZINC000843019719 702804779 /nfs/dbraw/zinc/80/47/79/702804779.db2.gz ZACRDJWOVRODLX-UHFFFAOYSA-N -1 1 314.382 1.370 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CC1(Cl)Cl ZINC000866469244 706706653 /nfs/dbraw/zinc/70/66/53/706706653.db2.gz KIPHLXXJZAFROH-BAHMLBHCSA-N -1 1 309.240 1.132 20 0 DDADMM CO[C@H](CC(=O)N=c1ccnc2n(C)[n-]cc1-2)C(F)(F)F ZINC000844503509 703039318 /nfs/dbraw/zinc/03/93/18/703039318.db2.gz UXUJOKBZNYUSGI-SECBINFHSA-N -1 1 302.256 1.248 20 0 DDADMM CC[C@H]1COC(C)(C)CN1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000844650227 703051283 /nfs/dbraw/zinc/05/12/83/703051283.db2.gz IQIFKRJABUUNEM-NSHDSACASA-N -1 1 317.393 1.763 20 0 DDADMM CCO[N-]C(=O)CNCc1c(F)cccc1OC(F)(F)F ZINC000846080634 703235417 /nfs/dbraw/zinc/23/54/17/703235417.db2.gz JCUROPUWTVEADM-UHFFFAOYSA-N -1 1 310.247 1.882 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cnn(C)c2C(F)(F)F)no1 ZINC000866595476 706740223 /nfs/dbraw/zinc/74/02/23/706740223.db2.gz RALSNNKDCDBNJA-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM O=C(c1nccc2occc21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847094274 703377188 /nfs/dbraw/zinc/37/71/88/703377188.db2.gz YXLHVGAOIYKUNH-SECBINFHSA-N -1 1 314.301 1.936 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)NC1CC=CC1 ZINC000847337551 703403683 /nfs/dbraw/zinc/40/36/83/703403683.db2.gz LHIWNYHBWPVHPM-MWLCHTKSSA-N -1 1 319.327 1.946 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@]2(C[C@H]2C(=O)Nc2nnn[n-]2)C1 ZINC000847506205 703428732 /nfs/dbraw/zinc/42/87/32/703428732.db2.gz YTUUEGLPCVABPX-LKFCYVNXSA-N -1 1 322.369 1.175 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@]2(C[C@H]2C(=O)Nc2nn[n-]n2)C1 ZINC000847506205 703428734 /nfs/dbraw/zinc/42/87/34/703428734.db2.gz YTUUEGLPCVABPX-LKFCYVNXSA-N -1 1 322.369 1.175 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@H]1CCCOC1 ZINC000848341659 703541023 /nfs/dbraw/zinc/54/10/23/703541023.db2.gz OQLLZQQZLRSCSB-OUAUKWLOSA-N -1 1 322.327 1.471 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2coc(C)n2)c1 ZINC000848767875 703586311 /nfs/dbraw/zinc/58/63/11/703586311.db2.gz RAJARKQVFJTBHI-NRFANRHFSA-N -1 1 309.343 1.843 20 0 DDADMM COC(=O)N(C)CC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000849471519 703652161 /nfs/dbraw/zinc/65/21/61/703652161.db2.gz JBGOQELSUOVJEP-UHFFFAOYSA-N -1 1 312.347 1.409 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC2(CCC2)O1 ZINC000831748814 706770193 /nfs/dbraw/zinc/77/01/93/706770193.db2.gz OJURCSGDJHIROG-LLVKDONJSA-N -1 1 315.377 1.517 20 0 DDADMM Cc1ccc(C(C)(C)C[N-]S(=O)(=O)N=S(C)(C)=O)cc1 ZINC000866732455 706778996 /nfs/dbraw/zinc/77/89/96/706778996.db2.gz TZONNRGHYSWAPG-UHFFFAOYSA-N -1 1 318.464 1.835 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@]12C[C@@H]1CCCC2 ZINC000870278140 703983287 /nfs/dbraw/zinc/98/32/87/703983287.db2.gz GOZQERCSKDAMDZ-ZBEGNZNMSA-N -1 1 316.361 1.392 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C[C@@H](O)c2ccccn2)C1 ZINC000879766888 706786631 /nfs/dbraw/zinc/78/66/31/706786631.db2.gz CTLPVHNKIRIDMS-YPMHNXCESA-N -1 1 317.311 1.258 20 0 DDADMM CC1(C)CCCC[C@H]1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000819619440 704151474 /nfs/dbraw/zinc/15/14/74/704151474.db2.gz BDZKMAMFMQWQRS-NSHDSACASA-N -1 1 307.398 1.226 20 0 DDADMM O=CNc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1 ZINC000820063688 704214345 /nfs/dbraw/zinc/21/43/45/704214345.db2.gz MOTVPSCTSDMQAJ-UHFFFAOYSA-N -1 1 316.317 1.363 20 0 DDADMM CCn1ncn([N-]C(=O)c2cc(-c3ccc(C)cc3)[nH]n2)c1=O ZINC000820388385 704270316 /nfs/dbraw/zinc/27/03/16/704270316.db2.gz PBKYXQAEPLSKNX-UHFFFAOYSA-N -1 1 312.333 1.147 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@](F)(c2ccccc2)C1 ZINC000871370635 704277292 /nfs/dbraw/zinc/27/72/92/704277292.db2.gz UQGRVLBWPPSFKH-OAHLLOKOSA-N -1 1 303.341 1.620 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2ccnn2C)c1 ZINC000820927078 704347210 /nfs/dbraw/zinc/34/72/10/704347210.db2.gz YEIRHJCBWIWTLS-XFNZEKPQSA-N -1 1 322.386 1.841 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)CN1CCCC1 ZINC000821706948 704431840 /nfs/dbraw/zinc/43/18/40/704431840.db2.gz XJCMJVDBCHMFDY-UHFFFAOYSA-N -1 1 315.370 1.232 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccncc1Cl ZINC000855132927 704469970 /nfs/dbraw/zinc/46/99/70/704469970.db2.gz KKAMGFRBWYWNAC-UHFFFAOYSA-N -1 1 300.742 1.667 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)c1nccn1C ZINC000856276476 704521217 /nfs/dbraw/zinc/52/12/17/704521217.db2.gz BEWCAGGZBMFMPO-JTQLQIEISA-N -1 1 303.366 1.839 20 0 DDADMM CC1=NO[C@H](C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)C1 ZINC000856415041 704527863 /nfs/dbraw/zinc/52/78/63/704527863.db2.gz FNDQHPKXUSXOPE-JEZHCXPESA-N -1 1 321.299 1.067 20 0 DDADMM CC[C@](C)(OC)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856415151 704527868 /nfs/dbraw/zinc/52/78/68/704527868.db2.gz APZYSJHQFNVVCN-NRUUGDAUSA-N -1 1 324.343 1.717 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3COC[C@H]3c3ccccc3)ccnc1-2 ZINC000857614704 704607639 /nfs/dbraw/zinc/60/76/39/704607639.db2.gz JDJHGZBXZBUFBU-LSDHHAIUSA-N -1 1 322.368 1.711 20 0 DDADMM CC1(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)CCC(F)(F)CC1 ZINC000859279144 704846617 /nfs/dbraw/zinc/84/66/17/704846617.db2.gz ZLCIFCREBWIOMS-VIFPVBQESA-N -1 1 315.324 1.315 20 0 DDADMM O=C([N-]OC1CCCCC1)[C@@H]1CNC(=O)C[C@@H]1C(F)(F)F ZINC000822155175 704886647 /nfs/dbraw/zinc/88/66/47/704886647.db2.gz LBZSOISDTPLKDR-ZJUUUORDSA-N -1 1 308.300 1.682 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC2(COC(=O)N2)CC1 ZINC000867364310 706975742 /nfs/dbraw/zinc/97/57/42/706975742.db2.gz QTZDYDHELSFUJQ-UHFFFAOYSA-N -1 1 324.764 1.689 20 0 DDADMM CCn1cnnc1C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000874052801 704927831 /nfs/dbraw/zinc/92/78/31/704927831.db2.gz RZMHVHOKPNFRGT-UHFFFAOYSA-N -1 1 316.258 1.984 20 0 DDADMM COC(=O)CC[C@H]1CCCN(Cc2cc(C(=O)[O-])nn2C)C1 ZINC000874055561 704928460 /nfs/dbraw/zinc/92/84/60/704928460.db2.gz CSEMCBUCJKZUQQ-LLVKDONJSA-N -1 1 309.366 1.284 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC2CCCCCCC2)n1 ZINC000822613725 704988038 /nfs/dbraw/zinc/98/80/38/704988038.db2.gz FYEIFCIVFBQIQU-UHFFFAOYSA-N -1 1 318.385 1.835 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC2CCCCCCC2)n1 ZINC000822613725 704988042 /nfs/dbraw/zinc/98/80/42/704988042.db2.gz FYEIFCIVFBQIQU-UHFFFAOYSA-N -1 1 318.385 1.835 20 0 DDADMM CC[C@@H]1[C@@H](CO)CCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000834092693 707019403 /nfs/dbraw/zinc/01/94/03/707019403.db2.gz CWZDSXODBRKPGP-GHMZBOCLSA-N -1 1 306.391 1.251 20 0 DDADMM Cc1nc[nH]c1C(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000823023436 705114717 /nfs/dbraw/zinc/11/47/17/705114717.db2.gz BSHNREUPYCWGDM-VIFPVBQESA-N -1 1 318.299 1.249 20 0 DDADMM CC(C)CC(CC(C)C)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000823191952 705167604 /nfs/dbraw/zinc/16/76/04/705167604.db2.gz RUMOITCDKBNSIA-ZDUSSCGKSA-N -1 1 309.414 1.808 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCCc2ccco2)C1)C(F)(F)F ZINC000875008038 705255084 /nfs/dbraw/zinc/25/50/84/705255084.db2.gz RJSUHJMEGJNWBM-UHFFFAOYSA-N -1 1 319.283 1.142 20 0 DDADMM CC[C@H](C(=O)[N-]OCCO)c1ccc(Br)cc1 ZINC000860939259 705324324 /nfs/dbraw/zinc/32/43/24/705324324.db2.gz QIZPVCNUZAOKNY-NSHDSACASA-N -1 1 302.168 1.983 20 0 DDADMM COC[C@@H](CNC(=O)NCc1ccc([O-])c(Cl)c1)OC ZINC000875475217 705400493 /nfs/dbraw/zinc/40/04/93/705400493.db2.gz OMNLRZLLYQROEE-SNVBAGLBSA-N -1 1 302.758 1.506 20 0 DDADMM CC1(C)CC(NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000875752944 705499911 /nfs/dbraw/zinc/49/99/11/705499911.db2.gz IVTFFWKHCIOODP-UHFFFAOYSA-N -1 1 307.316 1.495 20 0 DDADMM COc1cc2[n-]cc(C(=O)NOCC3CC3)c(=O)c2c(OC)c1 ZINC000861822920 705577772 /nfs/dbraw/zinc/57/77/72/705577772.db2.gz COMZPROCNKMKFD-UHFFFAOYSA-N -1 1 318.329 1.617 20 0 DDADMM CCC(CC)N(C(=O)Cc1noc(C)c1-c1nnn[n-]1)C1CC1 ZINC000825108578 705602100 /nfs/dbraw/zinc/60/21/00/705602100.db2.gz WZVHTYBOIQMYLN-UHFFFAOYSA-N -1 1 318.381 1.885 20 0 DDADMM CCC(CC)N(C(=O)Cc1noc(C)c1-c1nn[n-]n1)C1CC1 ZINC000825108578 705602103 /nfs/dbraw/zinc/60/21/03/705602103.db2.gz WZVHTYBOIQMYLN-UHFFFAOYSA-N -1 1 318.381 1.885 20 0 DDADMM O=C(NC[C@@H]1CC2(CO1)CCOCC2)c1ccc([O-])cc1F ZINC000825433074 705670492 /nfs/dbraw/zinc/67/04/92/705670492.db2.gz SLZMYFBLWKKHCY-LBPRGKRZSA-N -1 1 309.337 1.847 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC(F)(F)C[C@H]1CO ZINC000876395890 705707735 /nfs/dbraw/zinc/70/77/35/705707735.db2.gz RFPWHUOSAJNAQF-VIFPVBQESA-N -1 1 320.723 1.957 20 0 DDADMM CCc1ccc(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)cc1 ZINC000825711859 705719718 /nfs/dbraw/zinc/71/97/18/705719718.db2.gz HNCYYUDFGWFMDS-UHFFFAOYSA-N -1 1 312.333 1.907 20 0 DDADMM CCc1ccc(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)cc1 ZINC000825711859 705719722 /nfs/dbraw/zinc/71/97/22/705719722.db2.gz HNCYYUDFGWFMDS-UHFFFAOYSA-N -1 1 312.333 1.907 20 0 DDADMM C[C@H]1CCCC[C@@H]1NC(=O)CN(C)CC(C)(C)CNC(=O)[O-] ZINC000825893835 705742383 /nfs/dbraw/zinc/74/23/83/705742383.db2.gz BKGMGEQMQWVAJG-STQMWFEESA-N -1 1 313.442 1.907 20 0 DDADMM CC1(C)CC(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CC(C)(C)C1 ZINC000826834036 705880949 /nfs/dbraw/zinc/88/09/49/705880949.db2.gz LMNYELLTFBYTDE-GFCCVEGCSA-N -1 1 321.425 1.952 20 0 DDADMM CN1CC[C@H](C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC1=O ZINC000863476236 705936938 /nfs/dbraw/zinc/93/69/38/705936938.db2.gz XZZUOKIHGPEZBO-NSHDSACASA-N -1 1 314.345 1.385 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1CCCCC12OCCO2)C(C)C ZINC000827369927 705987048 /nfs/dbraw/zinc/98/70/48/705987048.db2.gz SCQZVLKKZRCPTF-CHWSQXEVSA-N -1 1 321.439 1.263 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1C[C@H]1c1ccco1 ZINC000863860787 706030516 /nfs/dbraw/zinc/03/05/16/706030516.db2.gz IVHPHPZPAOQXTO-BZPMIXESSA-N -1 1 309.329 1.802 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC(CCCO)CC1 ZINC000828223339 706141588 /nfs/dbraw/zinc/14/15/88/706141588.db2.gz ORXCLLUTSPZBIC-UHFFFAOYSA-N -1 1 320.418 1.643 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC[C@H]2CCCO2)C1 ZINC000864429701 706166427 /nfs/dbraw/zinc/16/64/27/706166427.db2.gz YVMKYXYIKBAHEV-SKDRFNHKSA-N -1 1 323.315 1.018 20 0 DDADMM O=C([N-]S(=O)(=O)CCC1CCCC1)c1ccc2cncn2c1 ZINC000835949282 707356037 /nfs/dbraw/zinc/35/60/37/707356037.db2.gz CYKCHEZACZOSEW-UHFFFAOYSA-N -1 1 321.402 1.974 20 0 DDADMM CCC(C)(C)CCS(=O)(=O)N(CCN(C)C)CC(=O)[O-] ZINC000864495008 706181735 /nfs/dbraw/zinc/18/17/35/706181735.db2.gz ZNTFQEWIOVBNCP-UHFFFAOYSA-N -1 1 308.444 1.091 20 0 DDADMM CC1(C[N-]S(=O)(=O)N=[S@](C)(=O)C2CCCCC2)COC1 ZINC000881887797 707406976 /nfs/dbraw/zinc/40/69/76/707406976.db2.gz VIWYYQKLQPMBRU-LJQANCHMSA-N -1 1 324.468 1.288 20 0 DDADMM CN(CC(=O)OC(C)(C)C)Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878029912 706268570 /nfs/dbraw/zinc/26/85/70/706268570.db2.gz RVBOVCWGMMQNLX-UHFFFAOYSA-N -1 1 309.366 1.028 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1C[C@H](CO)[C@H](CO)C1 ZINC000829190997 706300357 /nfs/dbraw/zinc/30/03/57/706300357.db2.gz BBRZEDGTEFDQLZ-AOOOYVTPSA-N -1 1 319.279 1.084 20 0 DDADMM CCC[C@@H](NC(=O)CCc1cccnc1Cl)c1nn[n-]n1 ZINC000829799884 706397942 /nfs/dbraw/zinc/39/79/42/706397942.db2.gz ASRKFXJXRIOILW-SNVBAGLBSA-N -1 1 308.773 1.838 20 0 DDADMM COCC(C)(C)CC[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000872547360 707471759 /nfs/dbraw/zinc/47/17/59/707471759.db2.gz ZGJWVGCYJYNIKO-UHFFFAOYSA-N -1 1 319.379 1.407 20 0 DDADMM O=C(Cc1cc(C(F)(F)F)cc(Cl)n1)NCc1nn[n-]n1 ZINC000865708933 706502005 /nfs/dbraw/zinc/50/20/05/706502005.db2.gz GREHMIJYRQPPGY-UHFFFAOYSA-N -1 1 320.662 1.126 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)Cc1c(F)cccc1Cl ZINC000830714814 706567292 /nfs/dbraw/zinc/56/72/92/706567292.db2.gz OROCISDBWLVWST-UHFFFAOYSA-N -1 1 319.745 1.790 20 0 DDADMM COc1cccc2c1CN(Cc1nc3c(c(=O)[n-]1)COCC3)C2 ZINC000879054180 706575031 /nfs/dbraw/zinc/57/50/31/706575031.db2.gz LAMRQOMDDHQMKJ-UHFFFAOYSA-N -1 1 313.357 1.779 20 0 DDADMM CO[C@@H](C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CCC1 ZINC000830788392 706580081 /nfs/dbraw/zinc/58/00/81/706580081.db2.gz CLUIMKHEMWKLCH-MFKMUULPSA-N -1 1 322.327 1.471 20 0 DDADMM CCC[C@@](C)(O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816888 706585706 /nfs/dbraw/zinc/58/57/06/706585706.db2.gz VEGSLJPTNISYLB-VXGBXAGGSA-N -1 1 310.316 1.207 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)n1C ZINC000830832423 706588926 /nfs/dbraw/zinc/58/89/26/706588926.db2.gz FISIREDAAIOBET-CYBMUJFWSA-N -1 1 317.311 1.617 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)Cc2ccsc2)C1 ZINC000830842095 706590670 /nfs/dbraw/zinc/59/06/70/706590670.db2.gz YKJXNLNHHBLGQU-LBPRGKRZSA-N -1 1 320.336 1.960 20 0 DDADMM CO[C@@]1(C)C[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1(C)C ZINC000866905379 706841312 /nfs/dbraw/zinc/84/13/12/706841312.db2.gz XCXKRVRMGJUSCE-PWSUYJOCSA-N -1 1 324.468 1.286 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CCC[C@@H]2OCC[C@H]21 ZINC000866964403 706857792 /nfs/dbraw/zinc/85/77/92/706857792.db2.gz ZELZAWYBXXKSEI-TUAOUCFPSA-N -1 1 324.468 1.286 20 0 DDADMM O=C([O-])CN(C(=O)[C@@H]1CCc2[nH]cnc2C1)C1CCCCC1 ZINC000909009727 712915494 /nfs/dbraw/zinc/91/54/94/712915494.db2.gz VQQCGNQMOKMWBR-LLVKDONJSA-N -1 1 305.378 1.761 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCCCC1 ZINC000867122058 706903154 /nfs/dbraw/zinc/90/31/54/706903154.db2.gz HWVRLKKTGYGFIU-UHFFFAOYSA-N -1 1 320.361 1.987 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C(C)(C)C(=O)N2CCCC2)sn1 ZINC000867217152 706928052 /nfs/dbraw/zinc/92/80/52/706928052.db2.gz AWORSCHPHXZZGC-UHFFFAOYSA-N -1 1 317.436 1.131 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCSC(C)C ZINC000867268588 706942645 /nfs/dbraw/zinc/94/26/45/706942645.db2.gz YGIBBQILWZVCER-UHFFFAOYSA-N -1 1 302.487 1.470 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1ccc(C)cn1 ZINC000867378698 706980768 /nfs/dbraw/zinc/98/07/68/706980768.db2.gz XBVVNFVEIHDITM-UHFFFAOYSA-N -1 1 319.452 1.275 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867381691 706982613 /nfs/dbraw/zinc/98/26/13/706982613.db2.gz QEEMIYYWLRHBCM-MRVPVSSYSA-N -1 1 322.745 1.104 20 0 DDADMM CCC(O)(CC)C(C)(C)C[N-]S(=O)(=O)N=S(C)(C)=O ZINC000867502474 707021179 /nfs/dbraw/zinc/02/11/79/707021179.db2.gz SIWFATGSWANRLJ-UHFFFAOYSA-N -1 1 314.473 1.126 20 0 DDADMM CCc1cc(=O)[nH]c(C[N@@H+]2C[C@H](O)CC3(CCOCC3)C2)n1 ZINC000880581615 707025603 /nfs/dbraw/zinc/02/56/03/707025603.db2.gz FFZRIPQHTWUPMA-CYBMUJFWSA-N -1 1 307.394 1.108 20 0 DDADMM CCNC(=O)CNc1cc(C)cc(C[N-]C(=O)C(F)(F)F)c1 ZINC000834669204 707100786 /nfs/dbraw/zinc/10/07/86/707100786.db2.gz SZLROPNBUJLYFS-UHFFFAOYSA-N -1 1 317.311 1.722 20 0 DDADMM CCOC(=O)[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000834851856 707139032 /nfs/dbraw/zinc/13/90/32/707139032.db2.gz BVXLXFUTWWYZGY-SNVBAGLBSA-N -1 1 305.334 1.085 20 0 DDADMM Cn1cnc2c1ncnc2SCCC[N-]C(=O)C(F)(F)F ZINC000871700870 707172614 /nfs/dbraw/zinc/17/26/14/707172614.db2.gz PMQDKOFESHNEME-UHFFFAOYSA-N -1 1 319.312 1.524 20 0 DDADMM COCc1nc(C(=O)NCc2ccc([O-])c(Cl)c2)n(C)n1 ZINC000871750782 707187483 /nfs/dbraw/zinc/18/74/83/707187483.db2.gz HAYSLUJXYYAMRP-UHFFFAOYSA-N -1 1 310.741 1.251 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@H](CC(=O)[O-])C1CC1 ZINC000909080361 712933138 /nfs/dbraw/zinc/93/31/38/712933138.db2.gz SYGFEOCAWQEUNR-OAHLLOKOSA-N -1 1 304.390 1.530 20 0 DDADMM CCCNC(=O)[C@@H](C)O[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871799594 707208556 /nfs/dbraw/zinc/20/85/56/707208556.db2.gz QARWWVKYBFZKDY-GFCCVEGCSA-N -1 1 308.378 1.590 20 0 DDADMM CCCCC[C@@H](NC(=O)NCCN1CCCOCC1)C(=O)[O-] ZINC000909107028 712937839 /nfs/dbraw/zinc/93/78/39/712937839.db2.gz HTZDEKLFWRPBCP-CYBMUJFWSA-N -1 1 315.414 1.041 20 0 DDADMM CN(Cc1ccc(C(=O)[O-])cc1)C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000909133462 712942675 /nfs/dbraw/zinc/94/26/75/712942675.db2.gz FORWWYMFYQKXQU-CYBMUJFWSA-N -1 1 313.357 1.871 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC[C@H](CF)C1 ZINC000881666489 707316734 /nfs/dbraw/zinc/31/67/34/707316734.db2.gz CKVCAPLKDFIFIZ-GFCCVEGCSA-N -1 1 320.368 1.802 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1C2)N(C)C ZINC000835741660 707317328 /nfs/dbraw/zinc/31/73/28/707317328.db2.gz YVQHOIGBAJOYPI-VOAKCMCISA-N -1 1 302.440 1.207 20 0 DDADMM Cn1ncc([N-]S(=O)(=O)CCOCC2CCC2)c1C1CC1 ZINC000872364533 707391356 /nfs/dbraw/zinc/39/13/56/707391356.db2.gz NEMWAVMXCCHDRO-UHFFFAOYSA-N -1 1 313.423 1.856 20 0 DDADMM CCOC1CC2(C[C@H]2[N-]S(=O)(=O)N=S2(=O)CCCC2)C1 ZINC000872658450 707538774 /nfs/dbraw/zinc/53/87/74/707538774.db2.gz ZOQYIPBGYHKGDO-MOENNCHZSA-N -1 1 322.452 1.040 20 0 DDADMM C[C@]1(CS(=O)(=O)[N-][C@]2(C)CC(C)(C)OC2=O)CC1(F)F ZINC000882224456 707546469 /nfs/dbraw/zinc/54/64/69/707546469.db2.gz XTFGADOFGDNWSY-GHMZBOCLSA-N -1 1 311.350 1.435 20 0 DDADMM CC1(C)C[C@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000837221148 707600299 /nfs/dbraw/zinc/60/02/99/707600299.db2.gz RPNFNIBKERVSBB-SNVBAGLBSA-N -1 1 320.418 1.953 20 0 DDADMM CC(C)C(=O)NCCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872811953 707611710 /nfs/dbraw/zinc/61/17/10/707611710.db2.gz WOQFLYWUIFQIOF-UHFFFAOYSA-N -1 1 313.785 1.617 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCc2cscn2)o1 ZINC000837422923 707643504 /nfs/dbraw/zinc/64/35/04/707643504.db2.gz CJEFOEZLQBYTGL-UHFFFAOYSA-N -1 1 316.360 1.044 20 0 DDADMM CCN(OC)C(=O)CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873053933 707711034 /nfs/dbraw/zinc/71/10/34/707711034.db2.gz PNZVKWLDSOLCLQ-UHFFFAOYSA-N -1 1 315.757 1.255 20 0 DDADMM Cc1ccc(F)c([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)c1 ZINC000882662101 707737190 /nfs/dbraw/zinc/73/71/90/707737190.db2.gz KPNAAEACEUBDHS-SFHVURJKSA-N -1 1 309.388 1.365 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)CCC(=O)OCc1ccccc1)C(F)F ZINC000882871020 707830178 /nfs/dbraw/zinc/83/01/78/707830178.db2.gz XATRODAOJVAJSQ-SNVBAGLBSA-N -1 1 321.345 1.693 20 0 DDADMM CCC[C@H](CC)C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000873517748 707882615 /nfs/dbraw/zinc/88/26/15/707882615.db2.gz RZRPGAUUBYBXMA-NSHDSACASA-N -1 1 306.366 1.638 20 0 DDADMM O=C([O-])c1ccc(F)c(NC(=O)[C@@H]2CCc3[nH]cnc3C2)c1 ZINC000909320324 712990854 /nfs/dbraw/zinc/99/08/54/712990854.db2.gz IDYOWNCQMDBIJS-MRVPVSSYSA-N -1 1 303.293 1.991 20 0 DDADMM COCCOC1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000896798228 708152208 /nfs/dbraw/zinc/15/22/08/708152208.db2.gz NCIJQKJELIKYFO-UHFFFAOYSA-N -1 1 317.341 1.738 20 0 DDADMM O=C(C=CC=C(Cl)Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000897162024 708241690 /nfs/dbraw/zinc/24/16/90/708241690.db2.gz RZOVSSPNHJFBKT-LUJFTGFFSA-N -1 1 302.165 1.781 20 0 DDADMM COC[C@H](O)CCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897268563 708274644 /nfs/dbraw/zinc/27/46/44/708274644.db2.gz MLSPNOUUQCBXPM-GFCCVEGCSA-N -1 1 305.330 1.378 20 0 DDADMM Cn1[n-]c(COC(=O)CC[C@H]2CCc3ccccc32)nc1=O ZINC000884639092 708346147 /nfs/dbraw/zinc/34/61/47/708346147.db2.gz PVQGINGHCJDVTL-GFCCVEGCSA-N -1 1 301.346 1.662 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N(CCO)CC(F)F ZINC000897621951 708398138 /nfs/dbraw/zinc/39/81/38/708398138.db2.gz AGAVBNZPAKCQLY-UHFFFAOYSA-N -1 1 311.284 1.951 20 0 DDADMM CN(CCC[N-]S(=O)(=O)c1ccns1)c1ccccc1 ZINC000884882394 708406143 /nfs/dbraw/zinc/40/61/43/708406143.db2.gz BKHHKNKLIXCOIZ-UHFFFAOYSA-N -1 1 311.432 1.948 20 0 DDADMM O=S(=O)([N-]CCCOC[C@H]1CCCO1)c1ccns1 ZINC000884898177 708411631 /nfs/dbraw/zinc/41/16/31/708411631.db2.gz VQMRREYSBSUWLE-SNVBAGLBSA-N -1 1 306.409 1.007 20 0 DDADMM CCN(CC)C(=O)CCC[N-]S(=O)(=O)c1ccns1 ZINC000884940842 708425699 /nfs/dbraw/zinc/42/56/99/708425699.db2.gz QPVIXLBUGSCDGH-UHFFFAOYSA-N -1 1 305.425 1.070 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC000885047226 708454918 /nfs/dbraw/zinc/45/49/18/708454918.db2.gz OEFJWBITGCQJQI-RAALSFIWSA-N -1 1 322.477 1.501 20 0 DDADMM COC(=O)[C@H]1CCCC[C@@]1(C)[N-]S(=O)(=O)c1ccns1 ZINC000885076829 708464159 /nfs/dbraw/zinc/46/41/59/708464159.db2.gz FNBWMLVUSFQFHX-BXKDBHETSA-N -1 1 318.420 1.543 20 0 DDADMM O=S(=O)([N-]CCOCC(F)(F)C(F)F)c1ccns1 ZINC000885143589 708479753 /nfs/dbraw/zinc/47/97/53/708479753.db2.gz JXHINFWMSSVNIT-UHFFFAOYSA-N -1 1 322.305 1.338 20 0 DDADMM Cc1cccc2c1OC[C@H]([N-]S(=O)(=O)c1ccns1)C2 ZINC000885196682 708491526 /nfs/dbraw/zinc/49/15/26/708491526.db2.gz IJEBURVGFMCNQP-LLVKDONJSA-N -1 1 310.400 1.734 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccns1)[C@@](C)(O)c1ccccc1 ZINC000885272151 708507243 /nfs/dbraw/zinc/50/72/43/708507243.db2.gz FPTBAUVRVQXRLS-GXFFZTMASA-N -1 1 312.416 1.718 20 0 DDADMM COC(=O)[C@H]1C[C@@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000897977899 708509131 /nfs/dbraw/zinc/50/91/31/708509131.db2.gz YZBTUBZNFVBDAB-PHIMTYICSA-N -1 1 315.325 1.932 20 0 DDADMM O=S(=O)([N-][C@H](C1CCC1)[C@@H]1CCOC1)c1ccns1 ZINC000885340161 708522763 /nfs/dbraw/zinc/52/27/63/708522763.db2.gz OHWBEXUJVKJHGO-ZYHUDNBSSA-N -1 1 302.421 1.627 20 0 DDADMM CCC(CC)(C[N-]S(=O)(=O)c1ccns1)C(=O)OC ZINC000885463294 708551472 /nfs/dbraw/zinc/55/14/72/708551472.db2.gz GSOFBDZZDVNVEQ-UHFFFAOYSA-N -1 1 306.409 1.401 20 0 DDADMM CN1C[C@H](O)C[C@]1(C)C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885679529 708596163 /nfs/dbraw/zinc/59/61/63/708596163.db2.gz BTBJOBFFHGZAOY-FUXBKTLASA-N -1 1 302.733 1.578 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000885776443 708625875 /nfs/dbraw/zinc/62/58/75/708625875.db2.gz PVPKFGOLNOISEQ-RNFRBKRXSA-N -1 1 309.290 1.305 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C1(C(=O)NC2CC2)CC1 ZINC000886264581 708732110 /nfs/dbraw/zinc/73/21/10/708732110.db2.gz CUOOJFXWQWXNFF-UHFFFAOYSA-N -1 1 324.327 1.388 20 0 DDADMM COc1cccnc1CC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886268284 708732758 /nfs/dbraw/zinc/73/27/58/708732758.db2.gz KPMMIBBBGAMEJO-UHFFFAOYSA-N -1 1 322.311 1.975 20 0 DDADMM CCc1cc(CC(=O)NCCc2c(F)cc([O-])cc2F)n(C)n1 ZINC000886271244 708733790 /nfs/dbraw/zinc/73/37/90/708733790.db2.gz BQBZTKWJNFRTMX-UHFFFAOYSA-N -1 1 323.343 1.868 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1C[C@@H]2C[C@]2(CO)C1 ZINC000927785019 713055662 /nfs/dbraw/zinc/05/56/62/713055662.db2.gz WGCJGIHKIDFSKY-BJOHPYRUSA-N -1 1 312.316 1.237 20 0 DDADMM Cc1nnc2n1C[C@@H](C(=O)[N-]OCc1cccc(C)n1)CC2 ZINC000912630161 713055739 /nfs/dbraw/zinc/05/57/39/713055739.db2.gz FEWYKCKZKPNNBZ-LBPRGKRZSA-N -1 1 301.350 1.100 20 0 DDADMM Cc1ccccc1C[C@H](C)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898754338 708855498 /nfs/dbraw/zinc/85/54/98/708855498.db2.gz GQKHYXYUWULBNK-NSHDSACASA-N -1 1 301.346 1.691 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CCCc2sccc21 ZINC000898758801 708857347 /nfs/dbraw/zinc/85/73/47/708857347.db2.gz ZIWAKJFGOUUPQQ-VIFPVBQESA-N -1 1 305.359 1.686 20 0 DDADMM COC[C@@H]1COCCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000886866868 708871762 /nfs/dbraw/zinc/87/17/62/708871762.db2.gz RIMNZLZEACJZHX-SNVBAGLBSA-N -1 1 319.279 1.898 20 0 DDADMM O=c1cc(CN[C@H](CCO)C(F)(F)F)c2ccc([O-])cc2o1 ZINC000887080796 708949927 /nfs/dbraw/zinc/94/99/27/708949927.db2.gz FXSMUSBOMYWOJC-GFCCVEGCSA-N -1 1 317.263 1.902 20 0 DDADMM C[C@@H]1C(=O)N([N-]C(=O)c2cc3c(s2)CCCCC3)C(=O)N1C ZINC000899025610 708956772 /nfs/dbraw/zinc/95/67/72/708956772.db2.gz LWMHJZIBWKZBBH-SECBINFHSA-N -1 1 321.402 1.944 20 0 DDADMM C[C@@H]1C(=O)N([N-]C(=O)c2cc3sccc3s2)C(=O)N1C ZINC000899029426 708958413 /nfs/dbraw/zinc/95/84/13/708958413.db2.gz OUBLXDBSXJKUEC-ZCFIWIBFSA-N -1 1 309.372 1.890 20 0 DDADMM Cn1nccc1[C@@H]1CN(C(=O)c2ccc([O-])c(F)c2)CCO1 ZINC000887594691 709077358 /nfs/dbraw/zinc/07/73/58/709077358.db2.gz XFZTVSOJMAMEEE-AWEZNQCLSA-N -1 1 305.309 1.479 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@H]1C[C@H]1CCCO1 ZINC000887845473 709141633 /nfs/dbraw/zinc/14/16/33/709141633.db2.gz ZCUYHTGXENGWTI-DGCLKSJQSA-N -1 1 309.337 1.941 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@@H]1C[C@H]1CCCO1 ZINC000887845474 709141665 /nfs/dbraw/zinc/14/16/65/709141665.db2.gz ZCUYHTGXENGWTI-WCQYABFASA-N -1 1 309.337 1.941 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCc3ncc(C)cc32)o1 ZINC000888143034 709223209 /nfs/dbraw/zinc/22/32/09/709223209.db2.gz DTAUILMCIIJPLP-UHFFFAOYSA-N -1 1 321.358 1.094 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCC(=O)N2CCC[C@@H]21 ZINC000888172303 709232993 /nfs/dbraw/zinc/23/29/93/709232993.db2.gz IYEQBFQOPACYFV-VIFPVBQESA-N -1 1 322.390 1.007 20 0 DDADMM C[C@@]1([C@@H]2CCCN(C(=O)c3cc(F)ccc3[O-])C2)COC(=O)N1 ZINC000928023214 713105456 /nfs/dbraw/zinc/10/54/56/713105456.db2.gz GQEBTURGACHYBG-HWPZZCPQSA-N -1 1 322.336 1.882 20 0 DDADMM CC(C)CSCCCNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909555928 709514245 /nfs/dbraw/zinc/51/42/45/709514245.db2.gz YXKREOWNNNEEGZ-CYBMUJFWSA-N -1 1 316.467 1.679 20 0 DDADMM CSCC[C@H](NC(=O)Cc1ccc(C)c(C)c1)c1nn[n-]n1 ZINC000912860435 713110031 /nfs/dbraw/zinc/11/00/31/713110031.db2.gz SZWTUFLBQRJNKQ-ZDUSSCGKSA-N -1 1 319.434 1.970 20 0 DDADMM C[C@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)Cc1cccs1 ZINC000909584668 709527944 /nfs/dbraw/zinc/52/79/44/709527944.db2.gz IKOMKNSTOXHEGN-QWHCGFSZSA-N -1 1 324.446 1.840 20 0 DDADMM CN(CC(=O)NC[C@@](C)(C(=O)[O-])c1ccccc1)C1CCC1 ZINC000909598984 709535183 /nfs/dbraw/zinc/53/51/83/709535183.db2.gz JDIKIJATVNFYQE-QGZVFWFLSA-N -1 1 304.390 1.629 20 0 DDADMM CSCC[C@H](NC(=O)CCCOC(C)C)c1nn[n-]n1 ZINC000912862319 713110873 /nfs/dbraw/zinc/11/08/73/713110873.db2.gz MHDBWFRCCGCUSY-JTQLQIEISA-N -1 1 301.416 1.315 20 0 DDADMM CSCC[C@H](NC(=O)C=CC=C(Cl)Cl)c1nn[n-]n1 ZINC000912863320 713111388 /nfs/dbraw/zinc/11/13/88/713111388.db2.gz TUFTYERFQASVLF-XBBYQOBLSA-N -1 1 322.221 1.985 20 0 DDADMM CSCC[C@H](NC(=O)C(C)=Cc1ccccn1)c1nn[n-]n1 ZINC000912863026 713111551 /nfs/dbraw/zinc/11/15/51/713111551.db2.gz ISSSYMUGMMLFNN-VMPCVLLUSA-N -1 1 318.406 1.609 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](C)CC(F)(F)F)c1nn[n-]n1 ZINC000912863181 713111700 /nfs/dbraw/zinc/11/17/00/713111700.db2.gz NXQWEPUBROEXJI-RQJHMYQMSA-N -1 1 311.333 1.699 20 0 DDADMM O=C([O-])[C@@H](CC(F)(F)F)NC(=O)c1[nH]nc2c1CCCCC2 ZINC000909666270 709565943 /nfs/dbraw/zinc/56/59/43/709565943.db2.gz AYYUITBKMLYUJT-SECBINFHSA-N -1 1 319.283 1.814 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2C[C@@H]3CCC[C@H]3[C@@H]2C(=O)[O-])C1 ZINC000909680282 709574141 /nfs/dbraw/zinc/57/41/41/709574141.db2.gz TVNDZMFUKCCWGR-PFZXPDKNSA-N -1 1 317.389 1.787 20 0 DDADMM CC1(C)C[C@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)C(C)(C)O1 ZINC000909724299 709596928 /nfs/dbraw/zinc/59/69/28/709596928.db2.gz POKBRNLLEXEZEE-RYUDHWBXSA-N -1 1 312.410 1.245 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N1CCC[C@@H]1C(=O)[O-] ZINC000900452280 709601980 /nfs/dbraw/zinc/60/19/80/709601980.db2.gz BKNBLLBABSLBFT-CHWSQXEVSA-N -1 1 306.362 1.551 20 0 DDADMM CCC(CC)(CNC(=O)c1ccc2n1CCN[C@H]2C)C(=O)[O-] ZINC000900462432 709606810 /nfs/dbraw/zinc/60/68/10/709606810.db2.gz HQDUXMPRFAEXOO-NSHDSACASA-N -1 1 307.394 1.773 20 0 DDADMM Cc1cc(CC(=O)N(C)c2ccc(OCC(=O)[O-])cc2)n[nH]1 ZINC000909786062 709620211 /nfs/dbraw/zinc/62/02/11/709620211.db2.gz HUCZWKBZPHCWCV-UHFFFAOYSA-N -1 1 303.318 1.387 20 0 DDADMM C[C@@H]1[C@@H](C(=O)[O-])CCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000909804156 709629604 /nfs/dbraw/zinc/62/96/04/709629604.db2.gz HQOMYCNVSPJGKO-SKDRFNHKSA-N -1 1 300.318 1.407 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H](CC(C)C)OC)[n-]c1=O ZINC000889785257 709635065 /nfs/dbraw/zinc/63/50/65/709635065.db2.gz CUIKKYGKYLRXGS-NWDGAFQWSA-N -1 1 323.393 1.915 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](Cc2cccc(Cl)c2)C(=O)[O-])[nH]n1 ZINC000909814551 709635616 /nfs/dbraw/zinc/63/56/16/709635616.db2.gz OXGQUNAWGVBGIM-ZDUSSCGKSA-N -1 1 321.764 1.726 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Cc2ccc(C)o2)[n-]c1=O ZINC000889789880 709637075 /nfs/dbraw/zinc/63/70/75/709637075.db2.gz LFCXASJDPRMYDI-LBPRGKRZSA-N -1 1 317.345 1.998 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H]2CCC=CO2)[n-]c1=O ZINC000889789617 709637080 /nfs/dbraw/zinc/63/70/80/709637080.db2.gz HXRMYDRRZPJGIC-GHMZBOCLSA-N -1 1 305.334 1.547 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccnc(C)c2)[n-]c1=O ZINC000889791435 709637957 /nfs/dbraw/zinc/63/79/57/709637957.db2.gz ZKOPFFUONONVOQ-LBPRGKRZSA-N -1 1 314.345 1.872 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cncc(C)c2)[n-]c1=O ZINC000889792240 709638218 /nfs/dbraw/zinc/63/82/18/709638218.db2.gz UXSPQLUIWMPXCI-GFCCVEGCSA-N -1 1 314.345 1.872 20 0 DDADMM O=C(c1nn(-c2ccccc2)cc1[O-])N1CC[C@H](N2CCC2)C1 ZINC000912907067 713119950 /nfs/dbraw/zinc/11/99/50/713119950.db2.gz LGPPGLYDCGFOGI-AWEZNQCLSA-N -1 1 312.373 1.498 20 0 DDADMM CC[C@@H](C)C[C@H](NC(=O)Cc1n[nH]c2c1CCCC2)C(=O)[O-] ZINC000909867936 709661121 /nfs/dbraw/zinc/66/11/21/709661121.db2.gz PJQIDFLXOPLEFT-YGRLFVJLSA-N -1 1 307.394 1.837 20 0 DDADMM CN(CC(=O)N[C@@H](CC(C)(C)C)C(=O)[O-])[C@@H]1CCSC1 ZINC000909877831 709665359 /nfs/dbraw/zinc/66/53/59/709665359.db2.gz KPAADLUZVALZES-MNOVXSKESA-N -1 1 302.440 1.429 20 0 DDADMM CS[C@@H]1CCCC[C@H]1NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909878545 709666029 /nfs/dbraw/zinc/66/60/29/709666029.db2.gz LYESOOLLQOGAEX-YNEHKIRRSA-N -1 1 314.451 1.573 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCC[C@@H](OC3CCC3)C2)C1 ZINC000909917427 709688364 /nfs/dbraw/zinc/68/83/64/709688364.db2.gz ZVDFALMGYHOORX-UKRRQHHQSA-N -1 1 324.421 1.343 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)[C@H]2CCCc3[nH]ncc32)CC12CCC2 ZINC000909935706 709694413 /nfs/dbraw/zinc/69/44/13/709694413.db2.gz MEPNKCAMJIIWFQ-QFYYESIMSA-N -1 1 321.352 1.635 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)c2cccc3[nH]cnc32)CC12CCC2 ZINC000909937121 709695381 /nfs/dbraw/zinc/69/53/81/709695381.db2.gz BLXPCDJRAVHTGV-MRXNPFEDSA-N -1 1 317.320 1.982 20 0 DDADMM CN(C)c1noc(CCC(=O)Nc2cc([O-])c(F)cc2F)n1 ZINC000909985875 709720743 /nfs/dbraw/zinc/72/07/43/709720743.db2.gz RMQPUYWJLRLDKH-UHFFFAOYSA-N -1 1 312.276 1.691 20 0 DDADMM CCC/C=C\[C@H](O)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000900709028 709722057 /nfs/dbraw/zinc/72/20/57/709722057.db2.gz GDECVYPJFKHSDV-FWWRYZNZSA-N -1 1 318.377 1.385 20 0 DDADMM CCC(C)(C)C(=O)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000900709501 709722234 /nfs/dbraw/zinc/72/22/34/709722234.db2.gz IZDDCBWGCJPACE-UHFFFAOYSA-N -1 1 318.377 1.673 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1CCC[C@@]1(Cc1ccccc1)C(=O)[O-] ZINC000910103120 709773209 /nfs/dbraw/zinc/77/32/09/709773209.db2.gz PSNGZDWWGPYAAV-CRAIPNDOSA-N -1 1 316.401 1.769 20 0 DDADMM CC1(C)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]2CCO[C@@H]21 ZINC000900812712 709773593 /nfs/dbraw/zinc/77/35/93/709773593.db2.gz AJNUGFLSCYHJHV-WCQGTBRESA-N -1 1 303.362 1.603 20 0 DDADMM CCN(CC(=O)N1CCC[C@H]1c1nc(C(=O)[O-])cs1)C1CC1 ZINC000910104747 709773717 /nfs/dbraw/zinc/77/37/17/709773717.db2.gz TXXQPJATBVRFPY-LBPRGKRZSA-N -1 1 323.418 1.989 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3COC[C@H]3C3CC3)cnc2n1 ZINC000900865045 709797205 /nfs/dbraw/zinc/79/72/05/709797205.db2.gz YOKDPMJFHQWUKR-KBPBESRZSA-N -1 1 313.357 1.799 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1COC[C@H]1C1CC1)c2=O ZINC000900865045 709797206 /nfs/dbraw/zinc/79/72/06/709797206.db2.gz YOKDPMJFHQWUKR-KBPBESRZSA-N -1 1 313.357 1.799 20 0 DDADMM CC(C)n1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)cn1 ZINC000910176484 709798730 /nfs/dbraw/zinc/79/87/30/709798730.db2.gz CFXQLCGUEGGJOD-UHFFFAOYSA-N -1 1 308.382 1.085 20 0 DDADMM O=C([O-])[C@@H]1c2ccoc2CCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000910205223 709813126 /nfs/dbraw/zinc/81/31/26/709813126.db2.gz MIPOBPAYZNTIGW-OTYXRUKQSA-N -1 1 315.329 1.633 20 0 DDADMM O=C(N[C@@H](CCO)c1ccccc1)c1cnc(C2CC2)[n-]c1=O ZINC000901093760 709922328 /nfs/dbraw/zinc/92/23/28/709922328.db2.gz GRDBODRJXYZUAT-AWEZNQCLSA-N -1 1 313.357 1.913 20 0 DDADMM O=C(c1ncccc1[O-])N1Cc2ncccc2N2CCC[C@H]2C1 ZINC000890626135 709930305 /nfs/dbraw/zinc/93/03/05/709930305.db2.gz XPNXQTZNGHFSBN-LBPRGKRZSA-N -1 1 310.357 1.807 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N2C[C@@H]3CCC[C@]3(C(=O)[O-])C2)C1 ZINC000910419609 709931742 /nfs/dbraw/zinc/93/17/42/709931742.db2.gz XGAZSUNWDXXEBK-AKENFEQQSA-N -1 1 317.389 1.789 20 0 DDADMM O=C(NCc1cnc2n1CCOC2)c1c(F)ccc([O-])c1F ZINC000890846582 710006447 /nfs/dbraw/zinc/00/64/47/710006447.db2.gz JCQMXXWBSOARTP-UHFFFAOYSA-N -1 1 309.272 1.327 20 0 DDADMM CCN(CC(=O)[O-])Cc1cc(Br)cnc1OC ZINC000901427056 710035741 /nfs/dbraw/zinc/03/57/41/710035741.db2.gz ZUUXEXADMCEEJE-UHFFFAOYSA-N -1 1 303.156 1.759 20 0 DDADMM CC[C@@H]1CCC[C@]1(C)C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000890963596 710044558 /nfs/dbraw/zinc/04/45/58/710044558.db2.gz LTAALVRFEIHFMD-BMIGLBTASA-N -1 1 303.366 1.881 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CCCC[C@@H]1C(=O)[O-] ZINC000901499339 710057573 /nfs/dbraw/zinc/05/75/73/710057573.db2.gz CYSNUDFIYZTPAX-OAHLLOKOSA-N -1 1 304.390 1.627 20 0 DDADMM COc1ccccc1CN1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC000901522774 710064719 /nfs/dbraw/zinc/06/47/19/710064719.db2.gz ARMFWFHSWCIRBT-CYBMUJFWSA-N -1 1 316.361 1.822 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(Cc2c(C3CC3)nc3sccn23)C1 ZINC000901543776 710070791 /nfs/dbraw/zinc/07/07/91/710070791.db2.gz PKTMTISSSMSHRH-OAHLLOKOSA-N -1 1 321.402 1.949 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC000910800294 710080447 /nfs/dbraw/zinc/08/04/47/710080447.db2.gz TXVQKBNMXVRJNU-BDINMYNLSA-N -1 1 303.240 1.140 20 0 DDADMM O=C([O-])[C@H]1[C@@H]2CN(C(=O)c3ccc(Nc4ccncc4)cc3)C[C@@H]21 ZINC000910799950 710080647 /nfs/dbraw/zinc/08/06/47/710080647.db2.gz RDLGNBNURTWYKP-ZSHCYNCHSA-N -1 1 323.352 1.650 20 0 DDADMM CCCOc1cccc([N-]S(=O)(=O)N=S(C)(C)=O)c1 ZINC000901602858 710091154 /nfs/dbraw/zinc/09/11/54/710091154.db2.gz NDNVVSKROVEISJ-UHFFFAOYSA-N -1 1 306.409 1.860 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCN(C(=O)c3cn[nH]c3-c3ccccn3)C[C@@H]21 ZINC000910853220 710096307 /nfs/dbraw/zinc/09/63/07/710096307.db2.gz ZSATXIGQYSYNFC-SUZMYJTESA-N -1 1 312.329 1.264 20 0 DDADMM COc1ccc([C@@H](NCc2cnc(Cl)n2C)C(=O)[O-])cc1 ZINC000901650240 710107749 /nfs/dbraw/zinc/10/77/49/710107749.db2.gz VQJDSQOQLKLZSW-GFCCVEGCSA-N -1 1 309.753 1.998 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1ccc(Br)o1 ZINC000901664805 710113746 /nfs/dbraw/zinc/11/37/46/710113746.db2.gz YEQJVFAPWMNBNS-UHFFFAOYSA-N -1 1 306.156 1.965 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)C[C@@H]1C(=O)[O-] ZINC000901696820 710122577 /nfs/dbraw/zinc/12/25/77/710122577.db2.gz AAXJSCJQKXSSTE-WFFHOREQSA-N -1 1 305.378 1.645 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)C[C@@H]1C(=O)[O-] ZINC000901698532 710123499 /nfs/dbraw/zinc/12/34/99/710123499.db2.gz HEPSYSLJQOHECI-IHOMSZDFSA-N -1 1 305.378 1.645 20 0 DDADMM O=C(Cn1nc2n(c1=O)CCCC2)Nc1cc(F)cc(F)c1[O-] ZINC000910986771 710141499 /nfs/dbraw/zinc/14/14/99/710141499.db2.gz NDEJJLPHXNUFLO-UHFFFAOYSA-N -1 1 324.287 1.004 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(Cc2ccc(OC(F)F)c(F)c2)C1 ZINC000901899547 710167997 /nfs/dbraw/zinc/16/79/97/710167997.db2.gz AICJGSKMDOEZBZ-CYBMUJFWSA-N -1 1 305.252 1.449 20 0 DDADMM O=C([O-])[C@]1(O)CCN(Cc2ccc(CSC(F)F)o2)C1 ZINC000901903731 710169862 /nfs/dbraw/zinc/16/98/62/710169862.db2.gz VQBWJAIZZMFCLJ-LBPRGKRZSA-N -1 1 307.318 1.757 20 0 DDADMM COc1ncc(Br)cc1CN(CC(=O)[O-])C1CC1 ZINC000901971118 710189238 /nfs/dbraw/zinc/18/92/38/710189238.db2.gz GTSLSCWZMNRFGU-UHFFFAOYSA-N -1 1 315.167 1.902 20 0 DDADMM COc1cc(C(=O)N(C)c2nn[n-]n2)cc(OC)c1C(C)C ZINC000892955177 710531817 /nfs/dbraw/zinc/53/18/17/710531817.db2.gz XOZHXEQLADNTKQ-UHFFFAOYSA-N -1 1 305.338 1.617 20 0 DDADMM CCc1cc(C(=O)N2CC[C@H](c3ncc(C(=O)[O-])s3)C2)n[nH]1 ZINC000911035906 710604910 /nfs/dbraw/zinc/60/49/10/710604910.db2.gz XGTCCIPOZSJDKG-QMMMGPOBSA-N -1 1 320.374 1.757 20 0 DDADMM CN(C)[C@H](CNC(=O)c1cc(C(=O)[O-])on1)c1cccc(F)c1 ZINC000911104977 710635031 /nfs/dbraw/zinc/63/50/31/710635031.db2.gz MBNJTMVHVAELER-GFCCVEGCSA-N -1 1 321.308 1.545 20 0 DDADMM O=C([O-])Cn1cc(CNCC2(Sc3ccccc3)CC2)nn1 ZINC000902251576 710682359 /nfs/dbraw/zinc/68/23/59/710682359.db2.gz YOCJIYOSYWGADK-UHFFFAOYSA-N -1 1 318.402 1.777 20 0 DDADMM CC(C)N1CC[C@H](NCc2ccc(OCC(=O)[O-])cc2)C1=O ZINC000902255680 710683831 /nfs/dbraw/zinc/68/38/31/710683831.db2.gz OMYSYVDQSJPXAK-AWEZNQCLSA-N -1 1 306.362 1.249 20 0 DDADMM Cc1cc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)ccc1N(C)C ZINC000911222307 710695248 /nfs/dbraw/zinc/69/52/48/710695248.db2.gz KMQWUPYCZUKQSS-CYBMUJFWSA-N -1 1 319.405 1.796 20 0 DDADMM CCCc1cc(C(=O)N(CCC(=O)[O-])Cc2cccnc2)n[nH]1 ZINC000911276301 710722317 /nfs/dbraw/zinc/72/23/17/710722317.db2.gz FLWLITTVJPPVCC-UHFFFAOYSA-N -1 1 316.361 1.874 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2coc(/C=C\C(=O)[O-])c2)CCN1C ZINC000902487426 710768844 /nfs/dbraw/zinc/76/88/44/710768844.db2.gz CRDHKHKAOYNSEL-ZRUQZJFASA-N -1 1 306.362 1.790 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)C23CCC(C(=O)[O-])(CC2)C3)n[nH]1 ZINC000911388527 710774513 /nfs/dbraw/zinc/77/45/13/710774513.db2.gz BORVWFJZYOEWOA-CPONVNJPSA-N -1 1 305.378 1.800 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2cccc(CCCO)c2)C1 ZINC000911395454 710778013 /nfs/dbraw/zinc/77/80/13/710778013.db2.gz PXANBYPNZQYVLX-CQSZACIVSA-N -1 1 320.389 1.347 20 0 DDADMM O=C([O-])C1CCC(CC(=O)N2CCC(c3nc[nH]n3)CC2)CC1 ZINC000911436947 710798226 /nfs/dbraw/zinc/79/82/26/710798226.db2.gz GLTHIDVSIBCVLA-UHFFFAOYSA-N -1 1 320.393 1.792 20 0 DDADMM CN(Cc1nc(=O)o[n-]1)C(=O)c1cccc(C(F)(F)F)c1O ZINC000911451964 710805223 /nfs/dbraw/zinc/80/52/23/710805223.db2.gz IEBFUUVIBGTORE-UHFFFAOYSA-N -1 1 317.223 1.360 20 0 DDADMM CN(CCNC(=O)c1cc(C(=O)[O-])on1)Cc1ccc(F)cc1 ZINC000911492407 710821407 /nfs/dbraw/zinc/82/14/07/710821407.db2.gz FKZDYQGUYZFQKW-UHFFFAOYSA-N -1 1 321.308 1.374 20 0 DDADMM CN(CCNC(=O)C12CC(C(=O)[O-])(C1)C2)Cc1ccc(F)cc1 ZINC000911492080 710821459 /nfs/dbraw/zinc/82/14/59/710821459.db2.gz AUVUJWFQHSJDSO-UHFFFAOYSA-N -1 1 320.364 1.629 20 0 DDADMM Cc1oc(C(=O)[O-])cc1C(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000911499096 710824689 /nfs/dbraw/zinc/82/46/89/710824689.db2.gz BOTJTHNJTDDGRT-SNVBAGLBSA-N -1 1 324.377 1.203 20 0 DDADMM CC1(C)OC[C@@H]2C[C@@]21C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913437559 713215637 /nfs/dbraw/zinc/21/56/37/713215637.db2.gz MTVJQMMVWLXABX-OZVIIMIRSA-N -1 1 313.361 1.220 20 0 DDADMM Cc1cc(C2CCN(C(=O)c3cccc(C(=O)[O-])n3)CC2)n[nH]1 ZINC000911650816 710906164 /nfs/dbraw/zinc/90/61/64/710906164.db2.gz IBFQBTVSCDKBJK-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM O=C(C=CC1CCOCC1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913438871 713215944 /nfs/dbraw/zinc/21/59/44/713215944.db2.gz XXEMQOUYYGFHBZ-XHPSBEMXSA-N -1 1 313.361 1.388 20 0 DDADMM O=C(/C=C\C1CCOCC1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913438871 713215945 /nfs/dbraw/zinc/21/59/45/713215945.db2.gz XXEMQOUYYGFHBZ-XHPSBEMXSA-N -1 1 313.361 1.388 20 0 DDADMM COCc1ccc([C@H]2COCCN2C(=O)c2cncc([O-])c2)o1 ZINC000928518152 713216229 /nfs/dbraw/zinc/21/62/29/713216229.db2.gz MKVCOQOJEYOMFA-CQSZACIVSA-N -1 1 318.329 1.740 20 0 DDADMM COCc1nc(N(C)CC(=O)N(C)C2CCCCC2)cc(=O)[n-]1 ZINC000894498184 711150044 /nfs/dbraw/zinc/15/00/44/711150044.db2.gz FEIQUHWBJBPVFM-UHFFFAOYSA-N -1 1 322.409 1.556 20 0 DDADMM O=C(c1cc2sccc2s1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494919 713237287 /nfs/dbraw/zinc/23/72/87/713237287.db2.gz ONVMERILNBAMLA-SSDOTTSWSA-N -1 1 321.387 1.690 20 0 DDADMM CSc1nc(CNC(=O)CCc2ccc(O)cc2)cc(=O)[n-]1 ZINC000912246908 711243237 /nfs/dbraw/zinc/24/32/37/711243237.db2.gz GSTORXFZLPIWJT-UHFFFAOYSA-N -1 1 319.386 1.859 20 0 DDADMM CCc1csc(CC(=O)NCc2cc(=O)[n-]c(SC)n2)n1 ZINC000912250798 711245943 /nfs/dbraw/zinc/24/59/43/711245943.db2.gz QVPFRQFOLHKUAP-UHFFFAOYSA-N -1 1 324.431 1.782 20 0 DDADMM CCn1nc(C)c(C(=O)NCc2cc(=O)[n-]c(SC)n2)c1C ZINC000912251911 711246657 /nfs/dbraw/zinc/24/66/57/711246657.db2.gz WCRMMZNSALQWJK-UHFFFAOYSA-N -1 1 321.406 1.667 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496858 713238956 /nfs/dbraw/zinc/23/89/56/713238956.db2.gz FMYPSJPASVEBIE-JGVFFNPUSA-N -1 1 307.276 1.078 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N(C)CC1CCCCC1 ZINC000903711845 711250426 /nfs/dbraw/zinc/25/04/26/711250426.db2.gz MLZDIRQNBJQVNH-UHFFFAOYSA-N -1 1 314.426 1.448 20 0 DDADMM O=C(Cc1cccc(C2CC2)c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913498135 713239302 /nfs/dbraw/zinc/23/93/02/713239302.db2.gz FRJZMTGIIMBQSW-CQSZACIVSA-N -1 1 313.361 1.220 20 0 DDADMM CSc1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c(C)o1 ZINC000913499949 713239736 /nfs/dbraw/zinc/23/97/36/713239736.db2.gz SWJDMOPZPUVNNL-VIFPVBQESA-N -1 1 309.351 1.037 20 0 DDADMM C[S@@](=O)CCCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896285272 711700688 /nfs/dbraw/zinc/70/06/88/711700688.db2.gz MMAPTWUIVSLBIW-OAQYLSRUSA-N -1 1 307.371 1.749 20 0 DDADMM Cc1ccc(O[C@@H](C)C(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000913742401 713291676 /nfs/dbraw/zinc/29/16/76/713291676.db2.gz XXZVTULVXFOZKB-LBPRGKRZSA-N -1 1 315.377 1.682 20 0 DDADMM O=C(Cc1ccc(Cl)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742264 713291874 /nfs/dbraw/zinc/29/18/74/713291874.db2.gz REEYTYOLQRGWOB-UHFFFAOYSA-N -1 1 305.769 1.802 20 0 DDADMM Cc1cc2ccc(C(=O)N3CCC(c4nn[n-]n4)CC3)cn2c1 ZINC000913744925 713293309 /nfs/dbraw/zinc/29/33/09/713293309.db2.gz KOWWUEWGXGHOEP-UHFFFAOYSA-N -1 1 310.361 1.781 20 0 DDADMM CC(=O)c1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)ccc1C ZINC000913745257 713293533 /nfs/dbraw/zinc/29/35/33/713293533.db2.gz WDMWCEAVXBYLBM-UHFFFAOYSA-N -1 1 313.361 1.731 20 0 DDADMM CCCS(=O)(=O)CCN[C@H](C(=O)[O-])c1ccc(F)c(C)c1 ZINC000905385536 712023911 /nfs/dbraw/zinc/02/39/11/712023911.db2.gz NHAZTWFIORVNIB-ZDUSSCGKSA-N -1 1 317.382 1.674 20 0 DDADMM CCO[C@@H]1C[C@H](NCc2ccc(C(=O)[O-])cn2)[C@]12CCCO2 ZINC000905726936 712132944 /nfs/dbraw/zinc/13/29/44/712132944.db2.gz AXPCPWXYDYEUGA-SQWLQELKSA-N -1 1 306.362 1.596 20 0 DDADMM C[C@@](Cn1cccn1)(NCc1cn2cccc(F)c2n1)C(=O)[O-] ZINC000905806381 712150955 /nfs/dbraw/zinc/15/09/55/712150955.db2.gz DZWLSJJDQZIQBM-HNNXBMFYSA-N -1 1 317.324 1.303 20 0 DDADMM O=C([O-])[C@@H](CN1CCCCC1)NS(=O)(=O)CCCC1CC1 ZINC000905986941 712210894 /nfs/dbraw/zinc/21/08/94/712210894.db2.gz DZQAUTBBTFHEJL-CYBMUJFWSA-N -1 1 318.439 1.035 20 0 DDADMM CSC[C@@H](NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000906004686 712218386 /nfs/dbraw/zinc/21/83/86/712218386.db2.gz JGVBAUUVRFWMKZ-MRVPVSSYSA-N -1 1 311.331 1.059 20 0 DDADMM CN(C(=O)c1c(Cl)cccc1OCC1CC1)c1nn[n-]n1 ZINC000906045447 712229597 /nfs/dbraw/zinc/22/95/97/712229597.db2.gz NIVQVMZMSLRRBX-UHFFFAOYSA-N -1 1 307.741 1.919 20 0 DDADMM O=C(C1Cc2ccccc2C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479677 712583350 /nfs/dbraw/zinc/58/33/50/712583350.db2.gz LTXBMYYATQVTMT-CYBMUJFWSA-N -1 1 315.402 1.231 20 0 DDADMM CC1=C(C)C[C@H](C(=O)N2CCSC[C@H]2c2nn[n-]n2)CC1 ZINC000907481396 712583809 /nfs/dbraw/zinc/58/38/09/712583809.db2.gz WDFHFOMLWULQQT-NEPJUHHUSA-N -1 1 307.423 1.953 20 0 DDADMM O=C([C@@H](F)Cc1ccccc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480645 712583902 /nfs/dbraw/zinc/58/39/02/712583902.db2.gz VIDPWMAZHNSDRI-RYUDHWBXSA-N -1 1 321.381 1.397 20 0 DDADMM C[C@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)CC[C@H]1O ZINC000907537050 712591362 /nfs/dbraw/zinc/59/13/62/712591362.db2.gz HROFQRZGWDZFRC-JOYOIKCWSA-N -1 1 304.321 1.922 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC000907704710 712616607 /nfs/dbraw/zinc/61/66/07/712616607.db2.gz WZORTWDSQUGLDS-LLVKDONJSA-N -1 1 308.762 1.411 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1sccc1Cl ZINC000907760798 712623096 /nfs/dbraw/zinc/62/30/96/712623096.db2.gz UNSYONVSJAOCCI-JSKNTUGKSA-N -1 1 305.808 1.856 20 0 DDADMM CN1CCCN(C(=O)[C@@]2(C(=O)[O-])C[C@@H]2c2ccccc2)CC1 ZINC000907768046 712624164 /nfs/dbraw/zinc/62/41/64/712624164.db2.gz FJCJTSTUMNXSLU-RHSMWYFYSA-N -1 1 302.374 1.409 20 0 DDADMM CO[C@@H]1CCOC[C@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000907840711 712633998 /nfs/dbraw/zinc/63/39/98/712633998.db2.gz FBFFMJJQDMSFGK-RKDXNWHRSA-N -1 1 311.812 1.484 20 0 DDADMM C[C@H]1C[C@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C[C@@H](C)C1 ZINC000907944537 712652828 /nfs/dbraw/zinc/65/28/28/712652828.db2.gz VDAMDOSGYOPJFY-GDNZZTSVSA-N -1 1 307.398 1.082 20 0 DDADMM O=C([O-])c1cccc2c1CCN2C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000907983219 712660023 /nfs/dbraw/zinc/66/00/23/712660023.db2.gz PHWRULVWUHOOFJ-SNVBAGLBSA-N -1 1 311.341 1.802 20 0 DDADMM CC1(C)CCC[C@@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)O1 ZINC000908097890 712686385 /nfs/dbraw/zinc/68/63/85/712686385.db2.gz ABBBOXCQZXNZMS-VIFPVBQESA-N -1 1 320.361 1.986 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCOC23CCOCC3)sn1 ZINC000908194816 712707099 /nfs/dbraw/zinc/70/70/99/712707099.db2.gz UWTVHRCYFHBROD-JTQLQIEISA-N -1 1 318.420 1.068 20 0 DDADMM O=C([O-])C[C@H](Cc1ccccc1)NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000908237881 712716950 /nfs/dbraw/zinc/71/69/50/712716950.db2.gz RCBTYZNPCYUSBR-BFHYXJOUSA-N -1 1 313.357 1.715 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2COC[C@@H]2C2CC2)c(F)c1 ZINC000908405733 712762875 /nfs/dbraw/zinc/76/28/75/712762875.db2.gz KYRSDRQMKXAGHD-MFKMUULPSA-N -1 1 317.357 1.977 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2COC[C@H]2C2CC2)c1 ZINC000908411088 712764826 /nfs/dbraw/zinc/76/48/26/712764826.db2.gz YDYOTRXINQTKAD-NWDGAFQWSA-N -1 1 313.375 1.104 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2ccc3c(c2)CCO3)C1 ZINC000908750362 712847396 /nfs/dbraw/zinc/84/73/96/712847396.db2.gz FBQCLJKHWIUDBE-GFCCVEGCSA-N -1 1 304.346 1.357 20 0 DDADMM CO[C@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000908750860 712847750 /nfs/dbraw/zinc/84/77/50/712847750.db2.gz DUIJWNNOTYXIPS-LSDHHAIUSA-N -1 1 320.389 1.287 20 0 DDADMM O=C([O-])C1(NC(=O)NC[C@H](c2ccco2)N2CCCC2)CCC1 ZINC000908751475 712847772 /nfs/dbraw/zinc/84/77/72/712847772.db2.gz OWAKVTFBFNZWAS-GFCCVEGCSA-N -1 1 321.377 1.723 20 0 DDADMM CC[C@](C)(NC(=O)NC[C@@H](c1ccsc1)N(C)C)C(=O)[O-] ZINC000908814773 712860362 /nfs/dbraw/zinc/86/03/62/712860362.db2.gz UKJIDMOVLDBNLP-FZMZJTMJSA-N -1 1 313.423 1.903 20 0 DDADMM CCN(CC(=O)N[C@@H](CC(=O)[O-])c1cccc(OC)c1)C1CC1 ZINC000908856173 712870358 /nfs/dbraw/zinc/87/03/58/712870358.db2.gz PMNMWECEOWQATD-HNNXBMFYSA-N -1 1 320.389 1.812 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CC[C@@H](O)CC1 ZINC000914195513 713356981 /nfs/dbraw/zinc/35/69/81/713356981.db2.gz QESKIJZCADGXAO-PHIMTYICSA-N -1 1 307.394 1.821 20 0 DDADMM Cn1cc(CNC(=O)NCCc2c(F)cc([O-])cc2F)cn1 ZINC000914338729 713381040 /nfs/dbraw/zinc/38/10/40/713381040.db2.gz ZVICWSYFVOPQNA-UHFFFAOYSA-N -1 1 310.304 1.446 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2cccc(Cl)c2F)C(=O)O1 ZINC000915290624 713415723 /nfs/dbraw/zinc/41/57/23/713415723.db2.gz ZGVUYNIEKLSDTC-XPUUQOCRSA-N -1 1 307.730 1.461 20 0 DDADMM Cc1[nH][n-]c(=O)c1Sc1nnc(NCC(F)(F)F)s1 ZINC000916807681 713467289 /nfs/dbraw/zinc/46/72/89/713467289.db2.gz IPWCEJXLXCKTIF-BYPYZUCNSA-N -1 1 311.314 1.479 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(F)F)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966049535 717910491 /nfs/dbraw/zinc/91/04/91/717910491.db2.gz CJTHGFZTLYBKKX-BDAKNGLRSA-N -1 1 313.304 1.019 20 0 DDADMM COC1(OC)CC([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000920073877 713649116 /nfs/dbraw/zinc/64/91/16/713649116.db2.gz DZBNOCYXAWKBIL-UHFFFAOYSA-N -1 1 311.812 1.831 20 0 DDADMM CC(C)[C@H]1C(=O)NCCN1C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000929606470 713650636 /nfs/dbraw/zinc/65/06/36/713650636.db2.gz NNQZWUUJNNTGAJ-WFASDCNBSA-N -1 1 304.390 1.667 20 0 DDADMM C[C@H](CN1CC[C@H](S(C)(=O)=O)C1)C(=O)c1ccc([O-])cc1 ZINC000930338806 713808101 /nfs/dbraw/zinc/80/81/01/713808101.db2.gz QRZPXYVRDJCWNL-RISCZKNCSA-N -1 1 311.403 1.330 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-]CC[C@@H](N(C)C)C(F)(F)F ZINC000921633313 713827107 /nfs/dbraw/zinc/82/71/07/713827107.db2.gz KGUKVBSSRLIGEQ-SECBINFHSA-N -1 1 315.317 1.144 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCSC[C@@H]1c1ccccc1 ZINC000921639124 713828540 /nfs/dbraw/zinc/82/85/40/713828540.db2.gz GJLRLCIASOHSKA-CYBMUJFWSA-N -1 1 317.418 1.839 20 0 DDADMM O=S(=O)([N-]C[C@]12C[C@H]1CCC2)c1c[nH]nc1C(F)(F)F ZINC000921920139 713908346 /nfs/dbraw/zinc/90/83/46/713908346.db2.gz GPZCVSNORGOIDW-XCBNKYQSSA-N -1 1 309.313 1.897 20 0 DDADMM CCN(C(=O)CCCc1nn[n-]n1)[C@H](CO)c1ccccc1 ZINC000922081959 713955550 /nfs/dbraw/zinc/95/55/50/713955550.db2.gz BWXCHGLQRQZEMO-CYBMUJFWSA-N -1 1 303.366 1.105 20 0 DDADMM O=C(N[C@@H]1CCCCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000943020417 717958194 /nfs/dbraw/zinc/95/81/94/717958194.db2.gz BXHWIJSRPFQZNH-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM C[C@H](O)[C@H]1CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932045935 714245264 /nfs/dbraw/zinc/24/52/64/714245264.db2.gz JZDRHYSVOZEQFE-RYUDHWBXSA-N -1 1 316.361 1.813 20 0 DDADMM CC1(C)[C@H](O)C[C@@H]1NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932048526 714246235 /nfs/dbraw/zinc/24/62/35/714246235.db2.gz LROLENGQUWZPIU-QWHCGFSZSA-N -1 1 316.361 1.859 20 0 DDADMM O=C(NC[C@@H](O)C1CCC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932053881 714247535 /nfs/dbraw/zinc/24/75/35/714247535.db2.gz JENZZVGDXWGKBE-CQSZACIVSA-N -1 1 316.361 1.860 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@@H]1CCC[C@H]1O ZINC000932054807 714247966 /nfs/dbraw/zinc/24/79/66/714247966.db2.gz SIWGKTZNLITFLF-CHWSQXEVSA-N -1 1 302.334 1.613 20 0 DDADMM O=C(NCC[C@H](O)C1CC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932063869 714249877 /nfs/dbraw/zinc/24/98/77/714249877.db2.gz YJBXUANTTNFJDR-AWEZNQCLSA-N -1 1 316.361 1.860 20 0 DDADMM Cc1ncc(C(=O)N2CC[C@H](C(=O)[O-])C[C@H]2[C@@H]2CCCO2)[nH]1 ZINC000923161285 714258559 /nfs/dbraw/zinc/25/85/59/714258559.db2.gz VKAYKAHJEUFICU-DRZSPHRISA-N -1 1 307.350 1.203 20 0 DDADMM CC(C)(F)C(=O)N[C@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943032735 717968586 /nfs/dbraw/zinc/96/85/86/717968586.db2.gz AJNBVKBBBVUWKK-NSHDSACASA-N -1 1 323.368 1.646 20 0 DDADMM O=C(NCC1(C2(O)CCC2)CCC1)c1cnc(C2CC2)[n-]c1=O ZINC000924960103 714708517 /nfs/dbraw/zinc/70/85/17/714708517.db2.gz YGRQRMZPKPKYFW-UHFFFAOYSA-N -1 1 317.389 1.875 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2nccnc2C)[n-]c1=O ZINC000934269344 714781135 /nfs/dbraw/zinc/78/11/35/714781135.db2.gz GFMDXAPXYIHLOF-LBPRGKRZSA-N -1 1 301.350 1.626 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2ccnc(N)c2)[n-]c1=O ZINC000934274241 714783900 /nfs/dbraw/zinc/78/39/00/714783900.db2.gz RZCHUDNRGZHWKT-LLVKDONJSA-N -1 1 301.350 1.505 20 0 DDADMM C[C@]1(CCF)NC(=O)N(CCc2c(F)cc([O-])cc2F)C1=O ZINC000925464909 714865240 /nfs/dbraw/zinc/86/52/40/714865240.db2.gz XNLDGTMQYWCZGF-CQSZACIVSA-N -1 1 316.279 1.883 20 0 DDADMM Cc1nn(C)cc1C1=NO[C@@H](C(=O)Nc2ccc(F)cc2[O-])C1 ZINC000935098916 714975477 /nfs/dbraw/zinc/97/54/77/714975477.db2.gz FGPNKHZPSAZVDA-CQSZACIVSA-N -1 1 318.308 1.705 20 0 DDADMM CC(C)S(=O)(=O)C=C([O-])N=[S@@]1(=O)CCc2ccccc21 ZINC000926041527 714996030 /nfs/dbraw/zinc/99/60/30/714996030.db2.gz UXJGACLZNPECKD-LJQANCHMSA-N -1 1 315.416 1.419 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(F)c2occc21)c1nn[n-]n1 ZINC000935368840 715039116 /nfs/dbraw/zinc/03/91/16/715039116.db2.gz UUHNMJJXXVWZSA-QMMMGPOBSA-N -1 1 303.297 1.961 20 0 DDADMM O=C(N1CCC(c2n[n-]c(=O)o2)CC1)[C@@]12CCO[C@@H]1CCCC2 ZINC000935830121 715131398 /nfs/dbraw/zinc/13/13/98/715131398.db2.gz UBCONLCNQWIXTM-WBMJQRKESA-N -1 1 321.377 1.831 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CCC2CC2)C1 ZINC000936932199 715260300 /nfs/dbraw/zinc/26/03/00/715260300.db2.gz YVDQRGUYDGERSE-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM CC1CC(C(=O)N2CC[C@H](N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000937101410 715276876 /nfs/dbraw/zinc/27/68/76/715276876.db2.gz AFFKJIKUWZCLJV-BPCQOVAHSA-N -1 1 317.389 1.506 20 0 DDADMM CN(C(=O)C1CCCC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937219008 715294634 /nfs/dbraw/zinc/29/46/34/715294634.db2.gz CGYSEWPIVUMZER-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956154398 715336733 /nfs/dbraw/zinc/33/67/33/715336733.db2.gz HQBHEIUXADWMIJ-SRVKXCTJSA-N -1 1 303.362 1.164 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000937797031 715579674 /nfs/dbraw/zinc/57/96/74/715579674.db2.gz HNEYYNTYWVDROL-CIQGVGRVSA-N -1 1 315.373 1.164 20 0 DDADMM CC1CC(C(=O)N[C@]2(C)CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000956812756 715615017 /nfs/dbraw/zinc/61/50/17/715615017.db2.gz IAWMDIQOANWASG-VCMHEYGDSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)CC2CCC2)C1 ZINC000956848692 715635834 /nfs/dbraw/zinc/63/58/34/715635834.db2.gz DPSDXZPELTWKHH-KRWDZBQOSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CC1 ZINC000955463837 715796867 /nfs/dbraw/zinc/79/68/67/715796867.db2.gz KPMWDHPQUZVCHZ-JQWIXIFHSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957325991 715836560 /nfs/dbraw/zinc/83/65/60/715836560.db2.gz CTRTXVIIOWODSF-MMPTUQATSA-N -1 1 303.362 1.164 20 0 DDADMM CCC1(C(=O)N2CC[C@@H](N(C)C(=O)c3ncccc3[O-])C2)CC1 ZINC000939491761 716285880 /nfs/dbraw/zinc/28/58/80/716285880.db2.gz AIBVIXQWZNZBST-GFCCVEGCSA-N -1 1 317.389 1.650 20 0 DDADMM CC1(C)CC(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000940132347 716642713 /nfs/dbraw/zinc/64/27/13/716642713.db2.gz UYQDWWDWTIQXKK-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1c[nH]cc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940139120 716647081 /nfs/dbraw/zinc/64/70/81/716647081.db2.gz RMVWXTCYPVTQQW-LLVKDONJSA-N -1 1 314.345 1.068 20 0 DDADMM CC[C@@H](F)C(=O)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943204518 718046642 /nfs/dbraw/zinc/04/66/42/718046642.db2.gz UCSBWPHCIZVJNW-NWDGAFQWSA-N -1 1 323.368 1.646 20 0 DDADMM O=C(N[C@H]1CC[C@H](NC(=O)C2CC2)CC1)c1ncccc1[O-] ZINC000943588075 718153798 /nfs/dbraw/zinc/15/37/98/718153798.db2.gz LDFNSSMDVFXWGA-HAQNSBGRSA-N -1 1 303.362 1.354 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)c1ccco1)c1ncccc1[O-] ZINC000943830193 718203582 /nfs/dbraw/zinc/20/35/82/718203582.db2.gz VJJUYFPKWCTAOW-LLVKDONJSA-N -1 1 315.329 1.415 20 0 DDADMM CC(C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC000945277162 718435010 /nfs/dbraw/zinc/43/50/10/718435010.db2.gz FECAFLAQNIBGLB-NEPJUHHUSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H]1CN(C(=O)C2(C)CC2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945357075 718447423 /nfs/dbraw/zinc/44/74/23/718447423.db2.gz LSMGKNPWEWEPMH-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1(C)CC1 ZINC000966325302 718515801 /nfs/dbraw/zinc/51/58/01/718515801.db2.gz CYKAHELHRGYMJN-MNOVXSKESA-N -1 1 303.362 1.164 20 0 DDADMM CC(=O)N[C@@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@@H]1C ZINC000966654781 718618047 /nfs/dbraw/zinc/61/80/47/718618047.db2.gz FUXBDBMZFRTXBJ-JOYOIKCWSA-N -1 1 320.393 1.211 20 0 DDADMM CCC(C)(C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000966750197 718649506 /nfs/dbraw/zinc/64/95/06/718649506.db2.gz PCLZTKVJVBOSQF-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM CCC(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946328092 718746858 /nfs/dbraw/zinc/74/68/58/718746858.db2.gz CLXVDCJNCMBRMX-VXGBXAGGSA-N -1 1 305.378 1.602 20 0 DDADMM CC[C@@H](C)C(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC000967901446 719079941 /nfs/dbraw/zinc/07/99/41/719079941.db2.gz QRCVMCUXLSFRRY-LLVKDONJSA-N -1 1 305.378 1.506 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1CCC1 ZINC000948630090 719601199 /nfs/dbraw/zinc/60/11/99/719601199.db2.gz KSFLVDSVBWIWEU-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM CC1CC(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949534761 720145443 /nfs/dbraw/zinc/14/54/43/720145443.db2.gz FUNACARZFJDCQH-HTAVTVPLSA-N -1 1 303.362 1.164 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2nccs2)C1 ZINC000949948003 720426770 /nfs/dbraw/zinc/42/67/70/720426770.db2.gz GTPMTQYRBGFOJV-UHFFFAOYSA-N -1 1 318.402 1.590 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)C2=CCCC2)C1)c1ncccc1[O-] ZINC000950415689 720623540 /nfs/dbraw/zinc/62/35/40/720623540.db2.gz YFJGRTVAHJCKCT-CYBMUJFWSA-N -1 1 315.373 1.618 20 0 DDADMM O=C(c1ccccc1Cl)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970548836 720810792 /nfs/dbraw/zinc/81/07/92/720810792.db2.gz KQCHCSGTVZTEBS-SECBINFHSA-N -1 1 321.768 1.168 20 0 DDADMM CN(C(=O)C1CCCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953489099 721614174 /nfs/dbraw/zinc/61/41/74/721614174.db2.gz OVSJYUGABDDGEU-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@@H](CCNC(=O)c1cccs1)NC(=O)c1ncccc1[O-] ZINC001075476262 735394278 /nfs/dbraw/zinc/39/42/78/735394278.db2.gz XFCUNSGYIOZQCC-JTQLQIEISA-N -1 1 319.386 1.787 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1CNC(=O)C1CC1 ZINC001020734847 732649796 /nfs/dbraw/zinc/64/97/96/732649796.db2.gz DTROBQLPRFHQQG-AAEUAGOBSA-N -1 1 317.389 1.412 20 0 DDADMM CCC(CC)CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210358680 733138431 /nfs/dbraw/zinc/13/84/31/733138431.db2.gz MZASSBWRYORUMP-GFCCVEGCSA-N -1 1 309.414 1.417 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036622 734379559 /nfs/dbraw/zinc/37/95/59/734379559.db2.gz VXABOWCEOKNZSI-GFCCVEGCSA-N -1 1 305.378 1.364 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2CC23CC3)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087218782 734786059 /nfs/dbraw/zinc/78/60/59/734786059.db2.gz UDYWYPCVTBQDGK-GRYCIOLGSA-N -1 1 319.409 1.027 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C(C)(C)O ZINC000692888242 738958674 /nfs/dbraw/zinc/95/86/74/738958674.db2.gz JOOVVPVTMBZNOX-MRVPVSSYSA-N -1 1 310.778 1.702 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2ccc(CO)nc2)c1 ZINC001212388140 751480639 /nfs/dbraw/zinc/48/06/39/751480639.db2.gz WUWSCJSABGHNSY-UHFFFAOYSA-N -1 1 323.330 1.290 20 0 DDADMM CCc1nsc(N(C)CCN(C)C(=O)c2ncccc2[O-])n1 ZINC001105376133 739804694 /nfs/dbraw/zinc/80/46/94/739804694.db2.gz GHHOKVBEKGVFPG-UHFFFAOYSA-N -1 1 321.406 1.410 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC(C)(C)C ZINC001012300463 740551700 /nfs/dbraw/zinc/55/17/00/740551700.db2.gz CDLLYALEOPNGOY-RYUDHWBXSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CCC(F)F ZINC001076248259 742620196 /nfs/dbraw/zinc/62/01/96/742620196.db2.gz GCLPHZMQRPKJMX-VIFPVBQESA-N -1 1 315.320 1.457 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@@H]1C[C@@H]1c1ccccc1F ZINC001182290201 743553307 /nfs/dbraw/zinc/55/33/07/743553307.db2.gz IYCGUYBHXVOGLQ-RKDXNWHRSA-N -1 1 313.292 1.940 20 0 DDADMM O=C(CCC1CCCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998438685 751845222 /nfs/dbraw/zinc/84/52/22/751845222.db2.gz SZBPSZGXVQQUBO-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM CC(C)c1cnc(CN2CC(NC(=O)c3ncccc3[O-])C2)o1 ZINC001030241530 743977304 /nfs/dbraw/zinc/97/73/04/743977304.db2.gz GQDVBLANCZOJBX-UHFFFAOYSA-N -1 1 316.361 1.513 20 0 DDADMM CCOC(=O)c1sc(S(=O)(=O)N[C@@H]2[CH]CC=C2)nc1C ZINC001184988064 744139418 /nfs/dbraw/zinc/13/94/18/744139418.db2.gz PTXZHIWJSHEGNO-VIFPVBQESA-N -1 1 315.396 1.439 20 0 DDADMM O=S(=O)([N-]c1ccnnc1Cl)c1ccc(Cl)nc1 ZINC001185650483 744263412 /nfs/dbraw/zinc/26/34/12/744263412.db2.gz WKKKAWJROSMINK-UHFFFAOYSA-N -1 1 305.146 1.979 20 0 DDADMM COc1cccc(CNC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001186174976 744357600 /nfs/dbraw/zinc/35/76/00/744357600.db2.gz MATRDNCRDARNDS-UHFFFAOYSA-N -1 1 319.277 1.616 20 0 DDADMM CN(C(=O)c1ccc([O-])c(F)c1)C1CCS(=O)(=O)CC1 ZINC001186219221 744366573 /nfs/dbraw/zinc/36/65/73/744366573.db2.gz OXPANNZILKRKFN-UHFFFAOYSA-N -1 1 301.339 1.181 20 0 DDADMM Cc1ccnc(N2CCN(C(=O)c3ccc([O-])cc3F)CC2)n1 ZINC001186326682 744375201 /nfs/dbraw/zinc/37/52/01/744375201.db2.gz GHQIKKSMCLZDPZ-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM O=[P@]([O-])(O)CCNS(=O)(=O)c1csc2ccccc21 ZINC001186509641 744406867 /nfs/dbraw/zinc/40/68/67/744406867.db2.gz GHRWUIZBYWZGMK-UHFFFAOYSA-N -1 1 321.316 1.357 20 0 DDADMM C[C@@H](CCC(C)(C)C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187106335 744497645 /nfs/dbraw/zinc/49/76/45/744497645.db2.gz FCTQMMBJYNMCIZ-NWDGAFQWSA-N -1 1 323.441 1.663 20 0 DDADMM O=C(Cc1[nH]nc2ccc(O)cc21)Nc1cc(=O)[n-]c(Cl)n1 ZINC001187233421 744521509 /nfs/dbraw/zinc/52/15/09/744521509.db2.gz ZVJNYJXNXUUXDU-UHFFFAOYSA-N -1 1 319.708 1.599 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc2c(c1)CCN(C)C2=O ZINC001187291727 744535265 /nfs/dbraw/zinc/53/52/65/744535265.db2.gz DBTOWXXXJZVWGF-UHFFFAOYSA-N -1 1 312.391 1.093 20 0 DDADMM Cc1oncc1S(=O)(=O)Nc1cc2ccc([O-])cc2oc1=O ZINC001187337599 744542577 /nfs/dbraw/zinc/54/25/77/744542577.db2.gz KVSHDOFKKWPBNY-UHFFFAOYSA-N -1 1 322.298 1.596 20 0 DDADMM O=C(Cc1ccc2[nH]ccc2n1)Nc1n[n-]c(C(F)(F)F)n1 ZINC001187413833 744558924 /nfs/dbraw/zinc/55/89/24/744558924.db2.gz GXJHQQYHPRZWAZ-UHFFFAOYSA-N -1 1 310.239 1.881 20 0 DDADMM COCc1ccc(CNC(=O)c2n[n-]nc2C(F)(F)F)cc1 ZINC001187773771 744600344 /nfs/dbraw/zinc/60/03/44/744600344.db2.gz LWQGVRBKQOWNAG-UHFFFAOYSA-N -1 1 314.267 1.900 20 0 DDADMM CCCCCCOC(=O)C[N-]S(=O)(=O)c1nccs1 ZINC001187918441 744634837 /nfs/dbraw/zinc/63/48/37/744634837.db2.gz FAKMKJYCFHNZIZ-UHFFFAOYSA-N -1 1 306.409 1.545 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1nccs1)c1cc(F)ccc1F ZINC001187920593 744635236 /nfs/dbraw/zinc/63/52/36/744635236.db2.gz MUBOKDWALFMRAQ-UHFFFAOYSA-N -1 1 318.326 1.583 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@H]([N-]S(=O)(=O)c2nccs2)C1 ZINC001187921078 744635879 /nfs/dbraw/zinc/63/58/79/744635879.db2.gz WTOLSILVGJWIKW-ZJUUUORDSA-N -1 1 318.420 1.543 20 0 DDADMM COC(=O)c1cc(Cl)ncc1[N-]S(=O)(=O)C1CCC1 ZINC001188105497 744657320 /nfs/dbraw/zinc/65/73/20/744657320.db2.gz YCJZNSWFCVUVJD-UHFFFAOYSA-N -1 1 304.755 1.816 20 0 DDADMM O=C([O-])CCC(=O)CNC(=O)c1ccc(O)c(C(F)(F)F)c1 ZINC001188588575 744732786 /nfs/dbraw/zinc/73/27/86/744732786.db2.gz TUQPODGKWDMIQV-UHFFFAOYSA-N -1 1 319.235 1.575 20 0 DDADMM O=C(NCc1cn(Cc2ccncc2)cn1)c1ccncc1[O-] ZINC001188644357 744735401 /nfs/dbraw/zinc/73/54/01/744735401.db2.gz BWVCEGQLGGITDP-UHFFFAOYSA-N -1 1 309.329 1.357 20 0 DDADMM COc1cc(C(=O)Nc2ccc3[nH][n-]c(=O)c3c2)nc(OC)n1 ZINC001189091038 744826376 /nfs/dbraw/zinc/82/63/76/744826376.db2.gz LWKBJCZXCXXXCI-UHFFFAOYSA-N -1 1 315.289 1.328 20 0 DDADMM CSc1nc(NC(=O)c2cc(F)nc(F)c2F)cc(=O)[n-]1 ZINC001189165840 744831275 /nfs/dbraw/zinc/83/12/75/744831275.db2.gz CINCIXRCJDULTE-UHFFFAOYSA-N -1 1 316.264 1.969 20 0 DDADMM O=C([N-]c1n[nH]c(-c2ccncc2)n1)c1cc(F)nc(F)c1F ZINC001189165795 744831385 /nfs/dbraw/zinc/83/13/85/744831385.db2.gz ADYLGNNEMXNBBK-UHFFFAOYSA-N -1 1 320.234 1.931 20 0 DDADMM CSc1nc(NC(=O)c2cc(C3CC3)nn2C)cc(=O)[n-]1 ZINC001189402460 744889483 /nfs/dbraw/zinc/88/94/83/744889483.db2.gz GKBAZUXQJWYTSM-UHFFFAOYSA-N -1 1 305.363 1.767 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(OC[C@H]2CCCO2)CC1 ZINC000176399361 744900400 /nfs/dbraw/zinc/90/04/00/744900400.db2.gz WCPHHRSQOURUFF-OAHLLOKOSA-N -1 1 306.362 1.587 20 0 DDADMM COC(=O)c1ccncc1C(=O)Nc1ccc2[nH][n-]c(=O)c2c1 ZINC001189800685 745000667 /nfs/dbraw/zinc/00/06/67/745000667.db2.gz LJFKWQSIKHPMTH-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCCF)c1ccccc1Cl ZINC001189931826 745055372 /nfs/dbraw/zinc/05/53/72/745055372.db2.gz LYDBUQAKWNIWDP-NSHDSACASA-N -1 1 323.773 1.833 20 0 DDADMM O=C(NCCc1cc[nH]n1)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190267907 745168385 /nfs/dbraw/zinc/16/83/85/745168385.db2.gz NTIMPWXBVCBJSH-UHFFFAOYSA-N -1 1 309.329 1.545 20 0 DDADMM COC(=O)[C@H](C)NC(=O)Nc1cccc([O-])c1Br ZINC001190553748 745250628 /nfs/dbraw/zinc/25/06/28/745250628.db2.gz SOSBBZZLZFRNOU-LURJTMIESA-N -1 1 317.139 1.838 20 0 DDADMM CN1c2ccc([N-]S(=O)(=O)c3ccncc3)cc2CNC1=O ZINC001190904324 745380903 /nfs/dbraw/zinc/38/09/03/745380903.db2.gz YBKTXNMYMCRVTO-UHFFFAOYSA-N -1 1 318.358 1.542 20 0 DDADMM COc1cc(C(=O)NC[C@H](C)CNC(C)=O)cc(Cl)c1[O-] ZINC001191157940 745438583 /nfs/dbraw/zinc/43/85/83/745438583.db2.gz HBCXVUMAGWGBKW-MRVPVSSYSA-N -1 1 314.769 1.556 20 0 DDADMM CCN(CCn1cccn1)C(=O)c1cnc(SC)[n-]c1=O ZINC001191429970 745510526 /nfs/dbraw/zinc/51/05/26/745510526.db2.gz BLVJCEJAOZMGKA-UHFFFAOYSA-N -1 1 307.379 1.263 20 0 DDADMM CSc1ncc(C(=O)NCc2cnc(Cl)cn2)c(=O)[n-]1 ZINC001191440336 745513033 /nfs/dbraw/zinc/51/30/33/745513033.db2.gz KXRVKDSOOPNALE-UHFFFAOYSA-N -1 1 311.754 1.278 20 0 DDADMM COc1cc(C)c(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1OC ZINC001191676670 745568296 /nfs/dbraw/zinc/56/82/96/745568296.db2.gz ASSDSYXSBSWCIZ-UHFFFAOYSA-N -1 1 320.305 1.048 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2cnn(C3COC3)c2)c1 ZINC001205577806 745682947 /nfs/dbraw/zinc/68/29/47/745682947.db2.gz XTIHIXXEJQVTKI-UHFFFAOYSA-N -1 1 309.347 1.307 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@]12CCCOC2)C(Cl)(Cl)Cl ZINC001192054302 745686380 /nfs/dbraw/zinc/68/63/80/745686380.db2.gz RPSPDVGDYHTICD-RNFRBKRXSA-N -1 1 308.614 1.803 20 0 DDADMM CC(C)(C)c1ccc(C(=O)n2cc(F)c(=O)nc2N)c([O-])c1 ZINC001192336500 745757398 /nfs/dbraw/zinc/75/73/98/745757398.db2.gz YNUXKTQVXZHBSL-UHFFFAOYSA-N -1 1 305.309 1.899 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(C)cc(=O)oc2C)n1 ZINC001192513551 745802749 /nfs/dbraw/zinc/80/27/49/745802749.db2.gz VCRVUOZUCSBJEI-UHFFFAOYSA-N -1 1 305.290 1.409 20 0 DDADMM O=c1ccc2c([N-]S(=O)(=O)C[C@@H]3CCCO3)cccc2[nH]1 ZINC001193260785 746034969 /nfs/dbraw/zinc/03/49/69/746034969.db2.gz GHTTUIOXLWACEX-JTQLQIEISA-N -1 1 308.359 1.861 20 0 DDADMM O=S(=O)(Cc1noc2ccccc12)[N-]c1cccnc1CO ZINC001194059239 746267338 /nfs/dbraw/zinc/26/73/38/746267338.db2.gz QTUPAOMVSKKIFD-UHFFFAOYSA-N -1 1 319.342 1.657 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccc3[nH][nH]c(=O)c3c2)[n-]n1 ZINC001194288494 746343856 /nfs/dbraw/zinc/34/38/56/746343856.db2.gz FTCZDBXYJQWIBQ-UHFFFAOYSA-N -1 1 301.262 1.031 20 0 DDADMM CCCC(=O)N[C@H]1CC[C@H](CNC(=O)c2ncccc2[O-])CC1 ZINC001060644414 746491122 /nfs/dbraw/zinc/49/11/22/746491122.db2.gz DNKXWQDXEJFIAX-JOCQHMNTSA-N -1 1 319.405 1.992 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)CC[Si](C)(C)C)n1 ZINC001195041321 746514874 /nfs/dbraw/zinc/51/48/74/746514874.db2.gz YDBBZZDUJNNGDO-UHFFFAOYSA-N -1 1 316.455 1.948 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2ccc3c(c2)C(=O)NCCO3)n1 ZINC001195315780 746575384 /nfs/dbraw/zinc/57/53/84/746575384.db2.gz SMTXOZQFXRSJMH-UHFFFAOYSA-N -1 1 313.313 1.470 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(F)nc2F)cn1 ZINC001195694673 746680622 /nfs/dbraw/zinc/68/06/22/746680622.db2.gz GGHARBPWKLEFSY-UHFFFAOYSA-N -1 1 301.274 1.564 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1c(F)cc(F)cc1Cl ZINC001195973037 746743091 /nfs/dbraw/zinc/74/30/91/746743091.db2.gz HXKYBEZFWIBFEE-UHFFFAOYSA-N -1 1 313.709 1.923 20 0 DDADMM CC(=O)c1cccc(O)c1NC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196021606 746759273 /nfs/dbraw/zinc/75/92/73/746759273.db2.gz SRUDDGNEKKQUJC-UHFFFAOYSA-N -1 1 305.315 1.631 20 0 DDADMM O=C(NC1CC2(C1)CC(F)(F)C2)c1c[nH]c(=S)[n-]c1=O ZINC001196027171 746766185 /nfs/dbraw/zinc/76/61/85/746766185.db2.gz SJUDJMYDDQBYAT-UHFFFAOYSA-N -1 1 301.318 1.779 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc2sccc2[nH]1 ZINC001152267901 746866059 /nfs/dbraw/zinc/86/60/59/746866059.db2.gz MSJFJDWEFPOXGX-UHFFFAOYSA-N -1 1 302.292 1.917 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cc(C)cc(C)n1 ZINC001196913773 747008605 /nfs/dbraw/zinc/00/86/05/747008605.db2.gz WRNWLJBLEZMSCG-UHFFFAOYSA-N -1 1 300.380 1.783 20 0 DDADMM Cc1nn(C)cc1[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC001197564868 747201692 /nfs/dbraw/zinc/20/16/92/747201692.db2.gz OOCKUWKKTNDIIT-UHFFFAOYSA-N -1 1 301.318 1.949 20 0 DDADMM O=C(NCC1CN(Cc2cncc(F)c2)C1)c1ncccc1[O-] ZINC001031627412 747248414 /nfs/dbraw/zinc/24/84/14/747248414.db2.gz LSPSOVGEJMZNCH-UHFFFAOYSA-N -1 1 316.336 1.183 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)c1ncc(OC)cc1F ZINC001197803739 747269337 /nfs/dbraw/zinc/26/93/37/747269337.db2.gz YHYKJCDLJZMIQU-UHFFFAOYSA-N -1 1 308.269 1.381 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)Cc2ccccn2)cc1F ZINC001197835741 747273394 /nfs/dbraw/zinc/27/33/94/747273394.db2.gz WKZROWSSBPYPCH-UHFFFAOYSA-N -1 1 309.322 1.262 20 0 DDADMM CCCCCCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001198027577 747352532 /nfs/dbraw/zinc/35/25/32/747352532.db2.gz YLOHHAPOVYTMNH-UHFFFAOYSA-N -1 1 323.441 1.113 20 0 DDADMM O=S(=O)([N-]c1nccnc1Cl)c1ccc2nccnc2c1 ZINC001198105051 747381330 /nfs/dbraw/zinc/38/13/30/747381330.db2.gz KUHAVKSBBWGKBF-UHFFFAOYSA-N -1 1 321.749 1.874 20 0 DDADMM COc1ncnc(Cl)c1[N-]S(=O)(=O)c1ccc(C)nc1 ZINC001198271792 747444357 /nfs/dbraw/zinc/44/43/57/747444357.db2.gz DXRCTKAVGXRSAC-UHFFFAOYSA-N -1 1 314.754 1.643 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2c(CO)ccc(F)c2F)cn1 ZINC001198320834 747451485 /nfs/dbraw/zinc/45/14/85/747451485.db2.gz DQMGVFUFMKFQLB-UHFFFAOYSA-N -1 1 314.313 1.961 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc(=O)n(C(C)C)c3)c1-2 ZINC001199509172 747880214 /nfs/dbraw/zinc/88/02/14/747880214.db2.gz SXTWRXNTWWNPDU-UHFFFAOYSA-N -1 1 312.333 1.030 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]c1ccn[nH]c1=O ZINC001201733573 748602969 /nfs/dbraw/zinc/60/29/69/748602969.db2.gz VPHQDSWBXLCXPO-UHFFFAOYSA-N -1 1 306.756 1.401 20 0 DDADMM CC1(C)CN(C(=O)[C@H]2CC=CCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995446838 748754113 /nfs/dbraw/zinc/75/41/13/748754113.db2.gz IVSLXCIDWMPNGU-RYUDHWBXSA-N -1 1 319.409 1.193 20 0 DDADMM CC[C@H](F)C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996140441 749392992 /nfs/dbraw/zinc/39/29/92/749392992.db2.gz BDDXAVKAALWQIP-RHYQMDGZSA-N -1 1 321.352 1.397 20 0 DDADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2Cc1cccnc1)c1ncccc1[O-] ZINC001095345505 749584901 /nfs/dbraw/zinc/58/49/01/749584901.db2.gz VMSIJNSLZXIKPP-ILXRZTDVSA-N -1 1 324.384 1.718 20 0 DDADMM Cc1ccsc1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034843101 750958926 /nfs/dbraw/zinc/95/89/26/750958926.db2.gz GAPVSQLLCZLIMK-SNVBAGLBSA-N -1 1 321.406 1.275 20 0 DDADMM O=C(CCC1CCCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034846538 750962262 /nfs/dbraw/zinc/96/22/62/750962262.db2.gz CNKXMBOJXXZFST-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM CCC(C)(CC)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035129985 751219155 /nfs/dbraw/zinc/21/91/55/751219155.db2.gz PQGWMQBYGHTOEW-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM CCC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001009754921 753341749 /nfs/dbraw/zinc/34/17/49/753341749.db2.gz BYKWRPSUSNIFLZ-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C1CCC1 ZINC001009909768 753459596 /nfs/dbraw/zinc/45/95/96/753459596.db2.gz KXUBFEFPOGNSFR-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)=CC(=O)N1C[C@H](CNC(=O)c2ncccc2[O-])C[C@H]1C ZINC001009986014 753522871 /nfs/dbraw/zinc/52/28/71/753522871.db2.gz QDTLCTUIGALUJF-OLZOCXBDSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(C)(F)F ZINC001011376848 754484164 /nfs/dbraw/zinc/48/41/64/754484164.db2.gz GIPYSBNXPVFZJH-RKDXNWHRSA-N -1 1 313.304 1.162 20 0 DDADMM C[C@H]1[C@H](NC(=O)C(C)(F)F)CCN1C(=O)c1ncccc1[O-] ZINC001011673560 754669624 /nfs/dbraw/zinc/66/96/24/754669624.db2.gz MZFCBVTYKXELDV-DTWKUNHWSA-N -1 1 313.304 1.162 20 0 DDADMM CCC(=O)N1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1C ZINC001012107273 754848491 /nfs/dbraw/zinc/84/84/91/754848491.db2.gz HMIJPZVSUGOOBT-ONGXEEELSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(C)(C)F ZINC001012160549 754899204 /nfs/dbraw/zinc/89/92/04/754899204.db2.gz QVBPKQMPEWGSAZ-VHSXEESVSA-N -1 1 309.341 1.255 20 0 DDADMM CCC(=O)N(CC)C1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001079174486 755136444 /nfs/dbraw/zinc/13/64/44/755136444.db2.gz VCLUYUXWNMSRSN-UHFFFAOYSA-N -1 1 318.377 1.143 20 0 DDADMM Cc1cnc(CN2C[C@@H](C)[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001079609571 755472466 /nfs/dbraw/zinc/47/24/66/755472466.db2.gz MGFTXHDECNGMIQ-ZYHUDNBSSA-N -1 1 316.361 1.334 20 0 DDADMM CC[C@H](C)CC(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079674264 755515536 /nfs/dbraw/zinc/51/55/36/755515536.db2.gz JOIQFQNBVCUJGD-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2CCCC23CC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082591184 756859714 /nfs/dbraw/zinc/85/97/14/756859714.db2.gz FKEPMZYWCRHNCE-GRYCIOLGSA-N -1 1 319.409 1.027 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(Cc3ccccn3)C[C@H]21 ZINC001084299066 757502074 /nfs/dbraw/zinc/50/20/74/757502074.db2.gz BBRPYTPKSZZSQP-UKRRQHHQSA-N -1 1 324.384 1.529 20 0 DDADMM CCC(CC)C(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017051549 757597126 /nfs/dbraw/zinc/59/71/26/757597126.db2.gz MJIVYUFCRPHVQF-LBPRGKRZSA-N -1 1 323.441 1.617 20 0 DDADMM CCC(=O)N1CCC(C2(NC(=O)c3ncccc3[O-])CC2)CC1 ZINC001017154268 757676959 /nfs/dbraw/zinc/67/69/59/757676959.db2.gz MSDNHSMSQITWFG-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CCC1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCOCC1 ZINC000822687535 758230497 /nfs/dbraw/zinc/23/04/97/758230497.db2.gz YVSRNWLTMLNJEY-UHFFFAOYSA-N -1 1 305.378 1.996 20 0 DDADMM CC(=O)N1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CC[C@@H]1C ZINC001018159348 758589457 /nfs/dbraw/zinc/58/94/57/758589457.db2.gz FNXLURABHXCHPL-CABZTGNLSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)C(C)(C)C)CN1C(=O)c1ncccc1[O-] ZINC001018411149 758800056 /nfs/dbraw/zinc/80/00/56/758800056.db2.gz YBOPMFUSKNKWNX-VXGBXAGGSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@H]1CN(C(=O)CCC2CCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054347728 759522818 /nfs/dbraw/zinc/52/28/18/759522818.db2.gz XJZKUHHOIXOYNC-AAEUAGOBSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(c1csc(C2CC2)n1)N1CCC(c2nn[n-]n2)CC1 ZINC000828207939 759541226 /nfs/dbraw/zinc/54/12/26/759541226.db2.gz UFSZFLDMRDLITN-UHFFFAOYSA-N -1 1 304.379 1.553 20 0 DDADMM CCc1ccccc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019303041 759781033 /nfs/dbraw/zinc/78/10/33/759781033.db2.gz KYMOSDRKPVPALD-LBPRGKRZSA-N -1 1 315.377 1.077 20 0 DDADMM C[C@@H]1CN(C(=O)CC2CC(C)(C)C2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001055001005 760279091 /nfs/dbraw/zinc/27/90/91/760279091.db2.gz BVYVKJRDLUMSQB-PWSUYJOCSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@H](c1ncccn1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038195877 760910256 /nfs/dbraw/zinc/91/02/56/760910256.db2.gz XOWOGMDNLLPKOG-NEPJUHHUSA-N -1 1 313.361 1.143 20 0 DDADMM CN(CCCN(C)C(=O)[C@H]1CC12CC2)C(=O)c1ncccc1[O-] ZINC001067254500 761055621 /nfs/dbraw/zinc/05/56/21/761055621.db2.gz AGWZLBMLRDCHNY-GFCCVEGCSA-N -1 1 317.389 1.508 20 0 DDADMM Cc1ccc(N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC001057623875 764157576 /nfs/dbraw/zinc/15/75/76/764157576.db2.gz REXDRVPXVAKJFX-LBPRGKRZSA-N -1 1 313.361 1.602 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1cccc(F)n1 ZINC001112982695 765097420 /nfs/dbraw/zinc/09/74/20/765097420.db2.gz AQCQFNLDURSORZ-UWVGGRQHSA-N -1 1 304.325 1.940 20 0 DDADMM CCc1nsc(N[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983078 765099258 /nfs/dbraw/zinc/09/92/58/765099258.db2.gz FUNJSFAKWIJXHA-BDAKNGLRSA-N -1 1 321.406 1.820 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3c(Cl)cncc3Cl)c2[nH]1 ZINC001170217310 766176730 /nfs/dbraw/zinc/17/67/30/766176730.db2.gz QQRNPZYLKIDBTH-UHFFFAOYSA-N -1 1 313.104 1.583 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc(F)c4nccn4c3)c2[nH]1 ZINC001170222418 766195347 /nfs/dbraw/zinc/19/53/47/766195347.db2.gz CVWBKIADLKBADT-UHFFFAOYSA-N -1 1 301.241 1.246 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccc(-c3cccnc3)nn2)[n-]1 ZINC001170226375 766199939 /nfs/dbraw/zinc/19/99/39/766199939.db2.gz JTKQFEWPTYGYMH-UHFFFAOYSA-N -1 1 306.289 1.654 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3cccnc3F)nc2n1 ZINC001131778906 768362631 /nfs/dbraw/zinc/36/26/31/768362631.db2.gz USAIULACDKFHSL-UHFFFAOYSA-N -1 1 316.296 1.157 20 0 DDADMM C[C@@H]1NCCn2c(C(=O)NCc3ccccc3C(=O)[O-])ccc21 ZINC000900464351 766864652 /nfs/dbraw/zinc/86/46/52/766864652.db2.gz BNIGFVIJKWYATA-NSHDSACASA-N -1 1 313.357 1.781 20 0 DDADMM CC(C)CCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071951761 770334478 /nfs/dbraw/zinc/33/44/78/770334478.db2.gz CXIWGPKFEZTAFV-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM CCC[C@H](CC1CCCC1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134693205 771126376 /nfs/dbraw/zinc/12/63/76/771126376.db2.gz PEAKVLQHGFWIPF-CYBMUJFWSA-N -1 1 323.441 1.713 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)c1cccnc1F ZINC001147001296 772997911 /nfs/dbraw/zinc/99/79/11/772997911.db2.gz ZIQUQXNLOAEKDV-UHFFFAOYSA-N -1 1 314.280 1.565 20 0 DDADMM O=C(Nc1ccc2c(c1)CCNC2=O)C(=O)c1ccc([O-])cc1 ZINC001147039320 773005617 /nfs/dbraw/zinc/00/56/17/773005617.db2.gz LEXJBMYVLZQBST-UHFFFAOYSA-N -1 1 310.309 1.500 20 0 DDADMM COc1nc(Cl)ccc1NC(=O)c1c([O-])c(C)ncc1CO ZINC001147833584 773259883 /nfs/dbraw/zinc/25/98/83/773259883.db2.gz IKUVFRXMJACXJG-UHFFFAOYSA-N -1 1 323.736 1.897 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cnc3c(cnn3C)c2)c1[O-] ZINC001147834043 773260727 /nfs/dbraw/zinc/26/07/27/773260727.db2.gz KUYIIXDQOOOEBD-UHFFFAOYSA-N -1 1 313.317 1.122 20 0 DDADMM COC(=O)[C@@H]1CCCN(C(=O)c2c(CO)cnc(C)c2[O-])[C@@H]1C ZINC001147840495 773265296 /nfs/dbraw/zinc/26/52/96/773265296.db2.gz KIIGSDBIUJYEGD-ZYHUDNBSSA-N -1 1 322.361 1.002 20 0 DDADMM CCOC(=O)C1(CNC(=O)c2c(CO)cnc(C)c2[O-])CCC1 ZINC001147844727 773267555 /nfs/dbraw/zinc/26/75/55/773267555.db2.gz WZGHHMBXEOUSBI-UHFFFAOYSA-N -1 1 322.361 1.051 20 0 DDADMM COC1CCC(CCNC(=O)c2c(CO)cnc(C)c2[O-])CC1 ZINC001147844281 773267771 /nfs/dbraw/zinc/26/77/71/773267771.db2.gz NDNONKGBTFPOIU-UHFFFAOYSA-N -1 1 322.405 1.913 20 0 DDADMM Nc1nonc1[N-]C(=O)c1nc(Cl)ccc1Br ZINC001148017740 773318139 /nfs/dbraw/zinc/31/81/39/773318139.db2.gz BIWVZRUTONGQIG-UHFFFAOYSA-N -1 1 318.518 1.715 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CCC(=O)C[C@@H]3C)cc2)[n-]1 ZINC001148333215 773439227 /nfs/dbraw/zinc/43/92/27/773439227.db2.gz QYXYYFBIYBDMJL-LBPRGKRZSA-N -1 1 313.357 1.993 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCc3nnc(C)[nH]3)cc2)[n-]1 ZINC001148344650 773444501 /nfs/dbraw/zinc/44/45/01/773444501.db2.gz MVSJUCAHVILEQJ-UHFFFAOYSA-N -1 1 312.333 1.156 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)CCCF ZINC001074113002 773639402 /nfs/dbraw/zinc/63/94/02/773639402.db2.gz BNBDHXBELXSFFU-NWDGAFQWSA-N -1 1 323.368 1.504 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CCC1(C)C ZINC001074817653 774164491 /nfs/dbraw/zinc/16/44/91/774164491.db2.gz JTSRTZHHQMXSJZ-DCAQKATOSA-N -1 1 307.398 1.026 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])Nc1ncc(Cl)cn1 ZINC001099105343 774836643 /nfs/dbraw/zinc/83/66/43/774836643.db2.gz YHZIURGDWNKNJS-SECBINFHSA-N -1 1 321.768 1.851 20 0 DDADMM O=C(NCCNc1ncnc2scnc21)c1ncccc1[O-] ZINC001093563138 774891277 /nfs/dbraw/zinc/89/12/77/774891277.db2.gz WGGNZLDDJGTYHA-UHFFFAOYSA-N -1 1 316.346 1.029 20 0 DDADMM Cc1nc(C)c(F)c(N(C)CCNC(=O)c2ncccc2[O-])n1 ZINC001100071083 775645456 /nfs/dbraw/zinc/64/54/56/775645456.db2.gz RTNZWAXBGSGTKG-UHFFFAOYSA-N -1 1 319.340 1.199 20 0 DDADMM CC(=O)Nc1ccccc1[N-]S(=O)(=O)c1ccc(N)c(N)c1 ZINC001173996185 777390924 /nfs/dbraw/zinc/39/09/24/777390924.db2.gz LVOHHYNVSDRBHU-UHFFFAOYSA-N -1 1 320.374 1.610 20 0 DDADMM CCOC(=O)c1nnsc1[N-]c1ccc(-c2nn[nH]n2)cc1 ZINC001175429494 777787931 /nfs/dbraw/zinc/78/79/31/777787931.db2.gz ZLYQBGBDSRIEDQ-UHFFFAOYSA-N -1 1 317.334 1.639 20 0 DDADMM CC(C)C[C@@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102485844 778166354 /nfs/dbraw/zinc/16/63/54/778166354.db2.gz GGEUUZAKFKEFRG-JHJVBQTASA-N -1 1 323.441 1.377 20 0 DDADMM O=C(Cc1nn[n-]n1)N1CCC2(CCCc3ccccc32)CC1 ZINC001176840062 778270984 /nfs/dbraw/zinc/27/09/84/778270984.db2.gz KHYPYSBWVCLHNJ-UHFFFAOYSA-N -1 1 311.389 1.639 20 0 DDADMM CCc1[nH+]ccn1CCNC(=O)c1cc(NC(C)=O)ccc1O ZINC001177513513 778629518 /nfs/dbraw/zinc/62/95/18/778629518.db2.gz DXUDBKYCBDLZOW-UHFFFAOYSA-N -1 1 316.361 1.540 20 0 DDADMM CN1C[C@H](C(=O)Nc2cccc(C(F)(F)F)c2[O-])CC1=O ZINC001178523472 779078474 /nfs/dbraw/zinc/07/84/74/779078474.db2.gz OPHVNUJAVCKIRM-SSDOTTSWSA-N -1 1 302.252 1.828 20 0 DDADMM COc1ccc2c(c1)CC[C@H](CC(=O)NCc1nn[n-]n1)C2 ZINC001178920358 779228174 /nfs/dbraw/zinc/22/81/74/779228174.db2.gz WWUQOYPPZWYRNW-JTQLQIEISA-N -1 1 301.350 1.020 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCC(C)(C)C ZINC001179755415 779481297 /nfs/dbraw/zinc/48/12/97/779481297.db2.gz RYXFTEVSTMSGSW-QWRGUYRKSA-N -1 1 309.414 1.416 20 0 DDADMM CCCCCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001180055224 779605573 /nfs/dbraw/zinc/60/55/73/779605573.db2.gz OFTANWDBFYHUPF-RYUDHWBXSA-N -1 1 309.414 1.560 20 0 DDADMM COc1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)ccn1 ZINC001115880507 780459163 /nfs/dbraw/zinc/45/91/63/780459163.db2.gz MZRYYYCCRKFXGZ-UHFFFAOYSA-N -1 1 314.297 1.204 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C(=O)c2cccc3cn[nH]c32)cn1 ZINC001116749994 780553318 /nfs/dbraw/zinc/55/33/18/780553318.db2.gz CPKQAEGZWHYVJZ-UHFFFAOYSA-N -1 1 316.342 1.385 20 0 DDADMM O=C([O-])[C@@H](O)CNCc1cc(Br)cnc1Cl ZINC001119613936 781536247 /nfs/dbraw/zinc/53/62/47/781536247.db2.gz RRRPFYYGBATGHN-ZETCQYMHSA-N -1 1 309.547 1.033 20 0 DDADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)C1CCCCCCC1 ZINC001267400120 837990684 /nfs/dbraw/zinc/99/06/84/837990684.db2.gz BBMKQGSIHMEPAY-UHFFFAOYSA-N -1 1 309.414 1.419 20 0 DDADMM Cc1cc(C)c(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001267465642 838112903 /nfs/dbraw/zinc/11/29/03/838112903.db2.gz LOCBIMFGIYWDMI-UHFFFAOYSA-N -1 1 317.393 1.297 20 0 DDADMM CC[C@H](C)N1CC[C@]2(CCN(C(=O)c3ccc([O-])cn3)C2)C1=O ZINC001269354298 841557417 /nfs/dbraw/zinc/55/74/17/841557417.db2.gz FWNKSIXQQVNYEO-SJCJKPOMSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CC4(C[C@H]4C(N)=O)C3)c([O-])c2n1 ZINC001270530717 842645006 /nfs/dbraw/zinc/64/50/06/842645006.db2.gz XNVFTQQQTIBZNU-LBPRGKRZSA-N -1 1 311.341 1.196 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1CCOC(C)(C)C1 ZINC001363975466 842912380 /nfs/dbraw/zinc/91/23/80/842912380.db2.gz IGKMZAPCMOINCU-QMMMGPOBSA-N -1 1 306.409 1.388 20 0 DDADMM COc1cc2n[nH]cc2cc1C(=O)N=c1ncnc2[nH][n-]c(C)c1-2 ZINC001154787213 861454762 /nfs/dbraw/zinc/45/47/62/861454762.db2.gz YDFOASLXFOFYPA-UHFFFAOYSA-N -1 1 323.316 1.172 20 0 DDADMM O=C(NCCCc1c[nH][nH]c1=O)c1ccc2cccnc2c1[O-] ZINC001149327015 861548236 /nfs/dbraw/zinc/54/82/36/861548236.db2.gz OSCLGTSBPZPMMH-LLVKDONJSA-N -1 1 312.329 1.182 20 0 DDADMM CSc1ncc(C(=O)NC[C@H]2CC2(Cl)Cl)c(=O)[n-]1 ZINC001290735345 846364901 /nfs/dbraw/zinc/36/49/01/846364901.db2.gz NVFNQEQUMGYFDF-RXMQYKEDSA-N -1 1 308.190 1.828 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)c1cc2cc(O)ccc2[nH]1 ZINC001149510749 861670164 /nfs/dbraw/zinc/67/01/64/861670164.db2.gz SOHHNTWGIPEFBS-UHFFFAOYSA-N -1 1 312.262 1.561 20 0 DDADMM O=S(=O)([N-]Cc1nccc(C(F)F)n1)c1c[nH]nc1Cl ZINC001365711060 846445671 /nfs/dbraw/zinc/44/56/71/846445671.db2.gz DESAFOJKQUYEEK-UHFFFAOYSA-N -1 1 323.712 1.269 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc2cccnc2s1 ZINC001149539481 861697507 /nfs/dbraw/zinc/69/75/07/861697507.db2.gz PHHMANXGQGLTFD-UHFFFAOYSA-N -1 1 312.314 1.921 20 0 DDADMM O=Nc1c(=O)[nH]c(=O)[nH]c1NC(=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155261121 861907854 /nfs/dbraw/zinc/90/78/54/861907854.db2.gz FOWIPCHAGIAGTB-UHFFFAOYSA-N -1 1 316.233 1.120 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1[nH]nc2cc(O)ccc21 ZINC001155261121 861907870 /nfs/dbraw/zinc/90/78/70/861907870.db2.gz FOWIPCHAGIAGTB-UHFFFAOYSA-N -1 1 316.233 1.120 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](NC(=O)c2[n-][nH]c3cc(=O)ccc2-3)C1 ZINC001155273102 861919348 /nfs/dbraw/zinc/91/93/48/861919348.db2.gz ISOPEKPFFIAMNL-BDAKNGLRSA-N -1 1 303.318 1.340 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001411045439 850152892 /nfs/dbraw/zinc/15/28/92/850152892.db2.gz XQMCAOMJXFGTND-SJCJKPOMSA-N -1 1 319.405 1.802 20 0 DDADMM Cc1ccc2ccc(C(=O)NC3CC(CO)(CO)C3)c([O-])c2n1 ZINC001155659588 862349915 /nfs/dbraw/zinc/34/99/15/862349915.db2.gz ISJPJRPPXPDOKE-UHFFFAOYSA-N -1 1 316.357 1.112 20 0 DDADMM CN1C[C@@]2(F)CN(Cc3cc(Cl)ncc3[O-])C[C@@]2(F)C1=O ZINC001274851885 852640060 /nfs/dbraw/zinc/64/00/60/852640060.db2.gz BZHAHJOJLDHSAA-CHWSQXEVSA-N -1 1 317.723 1.145 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@H]2C[C@]21C(=O)N1CC=CC1 ZINC001275361082 853015853 /nfs/dbraw/zinc/01/58/53/853015853.db2.gz NEYMZLOVQMMXNO-APPDUMDISA-N -1 1 316.332 1.534 20 0 DDADMM CN(C)C(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1cc(F)ccc1[O-] ZINC001275361069 853015933 /nfs/dbraw/zinc/01/59/33/853015933.db2.gz MSQKPHAQVHMTRY-KTJYFRGRSA-N -1 1 320.364 1.764 20 0 DDADMM COC(=O)c1c(F)ccc(Cl)c1[N-]C(=O)c1nnn(C)n1 ZINC001411407049 853055894 /nfs/dbraw/zinc/05/58/94/853055894.db2.gz KUCQEZWOETVZAL-UHFFFAOYSA-N -1 1 313.676 1.042 20 0 DDADMM NC(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)c1c([O-])cccc1Cl)C2 ZINC001275466388 853161394 /nfs/dbraw/zinc/16/13/94/853161394.db2.gz FCQUYLRHUVYMJG-BZBKMWRSSA-N -1 1 308.765 1.629 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CN(C(=O)c1c([O-])cccc1Cl)C2 ZINC001275466736 853162611 /nfs/dbraw/zinc/16/26/11/853162611.db2.gz PXXYBXMWCZWGNP-SECBINFHSA-N -1 1 308.765 1.596 20 0 DDADMM CN(C(=O)c1ccccc1[S@](=O)C(F)(F)F)c1nn[n-]n1 ZINC001411480757 853193407 /nfs/dbraw/zinc/19/34/07/853193407.db2.gz RQWXNWSCMZUARF-NRFANRHFSA-N -1 1 319.268 1.104 20 0 DDADMM CCOc1cc(C(=O)Nc2[n-]c(C(=O)OC)cc2C(C)=O)on1 ZINC001411535027 853321916 /nfs/dbraw/zinc/32/19/16/853321916.db2.gz HCZBWRWKJUUQML-UHFFFAOYSA-N -1 1 321.289 1.643 20 0 DDADMM CN(C)C(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1cncc([O-])c1 ZINC001275624369 853448156 /nfs/dbraw/zinc/44/81/56/853448156.db2.gz WZVQIMSDAXORFW-XXSPCDMZSA-N -1 1 303.362 1.020 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc2c(c1)OC(F)(F)O2 ZINC001411616393 853465441 /nfs/dbraw/zinc/46/54/41/853465441.db2.gz HDOIKUXVNAZUAF-UHFFFAOYSA-N -1 1 311.248 1.483 20 0 DDADMM COC(=O)c1cc(CN(C)C(=O)c2cnncc2[O-])oc1C ZINC001411617147 853467135 /nfs/dbraw/zinc/46/71/35/853467135.db2.gz JPOHLUBZAWKPKE-UHFFFAOYSA-N -1 1 305.290 1.142 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)c1cnc(SC)[n-]c1=O)C(=O)OC ZINC001411699197 853600220 /nfs/dbraw/zinc/60/02/20/853600220.db2.gz WDRKMGODUNCNEP-CBAPKCEASA-N -1 1 313.379 1.222 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(OC(F)F)nc1)c1nn[n-]n1 ZINC001411853382 853838429 /nfs/dbraw/zinc/83/84/29/853838429.db2.gz DSRWDDKAHBXNBW-QMMMGPOBSA-N -1 1 312.280 1.467 20 0 DDADMM COC[C@@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C(=O)OC ZINC001411950263 854026727 /nfs/dbraw/zinc/02/67/27/854026727.db2.gz ASBPRFICPSUZOA-CYBMUJFWSA-N -1 1 319.313 1.169 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC[C@@H](SC)C1 ZINC001411955862 854033233 /nfs/dbraw/zinc/03/32/33/854033233.db2.gz NEEPXZLHFCXOMV-MRVPVSSYSA-N -1 1 313.379 1.254 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC2(C[C@@H]2C(=O)N2CC=CC2)C1 ZINC001275984189 854080761 /nfs/dbraw/zinc/08/07/61/854080761.db2.gz MKQWMWVTMVNEKM-GFCCVEGCSA-N -1 1 316.332 1.392 20 0 DDADMM CC[C@@H](C)C(=O)NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001412055406 854160223 /nfs/dbraw/zinc/16/02/23/854160223.db2.gz CCOPNIUVZNSRGF-LPWJVIDDSA-N -1 1 317.389 1.268 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc(=O)[nH]1 ZINC001412056241 854165775 /nfs/dbraw/zinc/16/57/75/854165775.db2.gz GNRWQXGKDBAFKW-JTQLQIEISA-N -1 1 316.365 1.273 20 0 DDADMM Nc1ccnn1CC(=O)Nc1cccc([O-])c1Br ZINC001412158121 854276095 /nfs/dbraw/zinc/27/60/95/854276095.db2.gz QCLQBLNVHFJKQB-UHFFFAOYSA-N -1 1 311.139 1.572 20 0 DDADMM COC(=O)c1ccc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)o1 ZINC001412166075 854282526 /nfs/dbraw/zinc/28/25/26/854282526.db2.gz ZVEFAMDKWBWASD-UHFFFAOYSA-N -1 1 317.301 1.693 20 0 DDADMM CC(=O)[C@@H]1C[C@H](C(=O)N(Cc2nn[n-]n2)CC(C)C)C1(C)C ZINC001412529003 854664237 /nfs/dbraw/zinc/66/42/37/854664237.db2.gz MCSMQRABHLKVDP-NWDGAFQWSA-N -1 1 307.398 1.436 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccnc(OCC(F)F)c1 ZINC001412546957 854699684 /nfs/dbraw/zinc/69/96/84/854699684.db2.gz WLEIYEKGMKOLKK-UHFFFAOYSA-N -1 1 324.291 1.048 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)C1CCSCC1 ZINC001412569002 854734583 /nfs/dbraw/zinc/73/45/83/854734583.db2.gz BBJJNNFIFKWZMM-UHFFFAOYSA-N -1 1 319.390 1.860 20 0 DDADMM COC[C@@H](NC(=O)[C@H](C)Cc1ccccc1Cl)c1nn[n-]n1 ZINC001412612701 854811329 /nfs/dbraw/zinc/81/13/29/854811329.db2.gz TTWUGLYQTZIOMW-BXKDBHETSA-N -1 1 323.784 1.536 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cnc(Cl)cc1Cl)[C@@H](C)O ZINC001328000505 862623850 /nfs/dbraw/zinc/62/38/50/862623850.db2.gz LRFQBJJFISHOPO-HTRCEHHLSA-N -1 1 313.206 1.826 20 0 DDADMM C[C@@H]1CN(C(=O)c2cnncc2[O-])C[C@H]1NC(=O)OC(C)(C)C ZINC001412728618 855037294 /nfs/dbraw/zinc/03/72/94/855037294.db2.gz HLPRAQIEGWODGH-MWLCHTKSSA-N -1 1 322.365 1.167 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@@H]1O)c1cccc([O-])c1F ZINC001412886151 855755002 /nfs/dbraw/zinc/75/50/02/855755002.db2.gz QDJZMVXWRHQSOT-IAQYHMDHSA-N -1 1 323.364 1.829 20 0 DDADMM COC(=O)[C@@H](C)N(Cc1ccccc1)C(=O)c1ccc([O-])cn1 ZINC001412929713 855794163 /nfs/dbraw/zinc/79/41/63/855794163.db2.gz IXVSZFONPQOFGZ-GFCCVEGCSA-N -1 1 314.341 1.991 20 0 DDADMM C[C@H](NC(=O)c1ccc([O-])cn1)C(=O)NCCc1ccccc1 ZINC001412972312 855833404 /nfs/dbraw/zinc/83/34/04/855833404.db2.gz XPESJKRWPRREDR-LBPRGKRZSA-N -1 1 313.357 1.264 20 0 DDADMM CC[C@@H]1CCCC[C@H]1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC001413029373 855992317 /nfs/dbraw/zinc/99/23/17/855992317.db2.gz ZIFPGMHDYUGETB-OLZOCXBDSA-N -1 1 319.405 1.850 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC[C@H](Nc2ncccn2)C1 ZINC001413176438 856520719 /nfs/dbraw/zinc/52/07/19/856520719.db2.gz DNJSJICHHWBAIY-NSHDSACASA-N -1 1 302.309 1.648 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](CC(C)C)OC)n[n-]1 ZINC001413268660 856594810 /nfs/dbraw/zinc/59/48/10/856594810.db2.gz IIDAIQHENRIFRT-ZJUUUORDSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](CC(C)C)OC)[n-]1 ZINC001413268660 856594820 /nfs/dbraw/zinc/59/48/20/856594820.db2.gz IIDAIQHENRIFRT-ZJUUUORDSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](CC(C)C)OC)n1 ZINC001413268660 856594823 /nfs/dbraw/zinc/59/48/23/856594823.db2.gz IIDAIQHENRIFRT-ZJUUUORDSA-N -1 1 312.370 1.220 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1ccccc1CO ZINC001413283828 856610855 /nfs/dbraw/zinc/61/08/55/856610855.db2.gz JMBXWVBGHQRCON-UHFFFAOYSA-N -1 1 314.388 1.123 20 0 DDADMM CCc1cc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c(C)nn1 ZINC001413301811 856621937 /nfs/dbraw/zinc/62/19/37/856621937.db2.gz SILSXQXZCRNULU-NSHDSACASA-N -1 1 321.410 1.085 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H](O)[C@H]3CCCC[C@@H]32)c(=O)[n-]1 ZINC001413438731 856814151 /nfs/dbraw/zinc/81/41/51/856814151.db2.gz SPPMKXFVDIEADW-DLOVCJGASA-N -1 1 323.418 1.670 20 0 DDADMM C[N@H+]1C[C@H](NS(=O)(=O)c2cccc(F)c2F)C(C)(C)C1 ZINC001413463284 856844667 /nfs/dbraw/zinc/84/46/67/856844667.db2.gz ZDNZRIJILWQJEF-NSHDSACASA-N -1 1 304.362 1.583 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@@H](CO)c1ccc(Cl)cc1 ZINC001413514434 856915588 /nfs/dbraw/zinc/91/55/88/856915588.db2.gz DRHBNWQBOXRFKR-NSHDSACASA-N -1 1 323.784 1.068 20 0 DDADMM COC[C@H](NC(=O)c1cc(C(C)(C)C)oc1C)c1nn[n-]n1 ZINC001413558258 857063914 /nfs/dbraw/zinc/06/39/14/857063914.db2.gz VPMKPKNVDDVVGF-JTQLQIEISA-N -1 1 307.354 1.516 20 0 DDADMM O=C(Nc1ccnn1[C@@H]1CCOC1)c1cnc(C2CC2)[n-]c1=O ZINC001413706309 857378434 /nfs/dbraw/zinc/37/84/34/857378434.db2.gz YFJMPMKYVSPOGY-SNVBAGLBSA-N -1 1 315.333 1.470 20 0 DDADMM CCc1nnc([C@@H]2C[C@@H](O)CN2C(=O)c2cccc([O-])c2F)[nH]1 ZINC001413806284 858553198 /nfs/dbraw/zinc/55/31/98/858553198.db2.gz XYVBZIBAAXEBAE-SCZZXKLOSA-N -1 1 320.324 1.160 20 0 DDADMM O=C(c1ccn(-c2ccccc2)n1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123962649 859498560 /nfs/dbraw/zinc/49/85/60/859498560.db2.gz VNRYUMCFEKCPME-LLVKDONJSA-N -1 1 309.333 1.015 20 0 DDADMM NC(=O)[C@@H]1CCCN1Cc1cn(CC(=O)[O-])c2ccccc12 ZINC001138187668 860036947 /nfs/dbraw/zinc/03/69/47/860036947.db2.gz VTZJLRYVMVPJBY-AWEZNQCLSA-N -1 1 301.346 1.176 20 0 DDADMM CC/C(C)=C\C(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202899155 860301507 /nfs/dbraw/zinc/30/15/07/860301507.db2.gz NEYNGCDTDLVZFH-DXGKCEBASA-N -1 1 319.409 1.384 20 0 DDADMM Cc1onc(OC(F)F)c1[N-]C(=O)c1cc2n(n1)CCCO2 ZINC001361378786 881531374 /nfs/dbraw/zinc/53/13/74/881531374.db2.gz XLTSWRFIWYUBCD-UHFFFAOYSA-N -1 1 314.248 1.816 20 0 DDADMM CCC(CC)(NC(=O)c1ncnc2sccc21)c1nn[n-]n1 ZINC001140064734 860568062 /nfs/dbraw/zinc/56/80/62/860568062.db2.gz WFCMSZJEGBZWMC-UHFFFAOYSA-N -1 1 317.378 1.650 20 0 DDADMM CON(C)C(=O)c1cc(F)ccc1NC(=O)c1ccc([O-])cn1 ZINC001140231883 860595511 /nfs/dbraw/zinc/59/55/11/860595511.db2.gz VBYQMHXVGUEHHL-UHFFFAOYSA-N -1 1 319.292 1.812 20 0 DDADMM COC[C@@H]1c2nnn(C)c2CCN1Cc1cc(F)c([O-])c(F)c1 ZINC001140992997 860754584 /nfs/dbraw/zinc/75/45/84/860754584.db2.gz DMKQEIWGUKEZKG-CYBMUJFWSA-N -1 1 324.331 1.545 20 0 DDADMM O=S(=O)([O-])CCCO[C@H]1CCN2Cc3ccccc3N=C12 ZINC001224899603 881577352 /nfs/dbraw/zinc/57/73/52/881577352.db2.gz PKMBGSODNVCVEW-ZDUSSCGKSA-N -1 1 310.375 1.599 20 0 DDADMM COc1nc(Cl)cc(NC(=O)c2ccc3[nH][n-]c(=O)c3c2)n1 ZINC001154204789 860868416 /nfs/dbraw/zinc/86/84/16/860868416.db2.gz PPDBQTXQXUNUNP-UHFFFAOYSA-N -1 1 319.708 1.973 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)c1ccc2[nH]c(=O)[nH]c2n1 ZINC001141834122 860952657 /nfs/dbraw/zinc/95/26/57/860952657.db2.gz SRWPGODADBVTHH-UHFFFAOYSA-N -1 1 306.228 1.900 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1nnc2ccccn21 ZINC001141876203 860961646 /nfs/dbraw/zinc/96/16/46/860961646.db2.gz YHTYKPNASOXQHX-UHFFFAOYSA-N -1 1 302.319 1.074 20 0 DDADMM Cc1cccc2nc(C(=O)n3[n-]c4ccnc(=O)c-4c3N)[nH]c21 ZINC001151995757 863099937 /nfs/dbraw/zinc/09/99/37/863099937.db2.gz ULTKORWNDJGZMN-UHFFFAOYSA-N -1 1 308.301 1.132 20 0 DDADMM COc1ccc2nc([N-]C(=O)c3nnc4cc[nH]cc3-4)nnc2c1 ZINC001152087457 863152450 /nfs/dbraw/zinc/15/24/50/863152450.db2.gz SMTUKXHDVYRSAF-UHFFFAOYSA-N -1 1 321.300 1.557 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2nnc3cc[nH]cc2-3)n[nH]1 ZINC001152087390 863153214 /nfs/dbraw/zinc/15/32/14/863153214.db2.gz RANDMSRHBKDBHE-UHFFFAOYSA-N -1 1 300.278 1.110 20 0 DDADMM CCOC(=O)C(F)(F)[C@@H](CC)OCCCC[P@](=O)([O-])O ZINC001225019888 881641573 /nfs/dbraw/zinc/64/15/73/881641573.db2.gz BTFPETIKICCVKO-SECBINFHSA-N -1 1 318.253 1.938 20 0 DDADMM CC(C)c1nc(CNCCCNC(=O)c2ncccc2[O-])no1 ZINC001156949751 863469937 /nfs/dbraw/zinc/46/99/37/863469937.db2.gz TVCNHIUSZSWCDH-UHFFFAOYSA-N -1 1 319.365 1.203 20 0 DDADMM CCCc1nc(CNCCCNC(=O)c2ncccc2[O-])no1 ZINC001156948608 863470464 /nfs/dbraw/zinc/47/04/64/863470464.db2.gz CXFYBIWHHATZNI-UHFFFAOYSA-N -1 1 319.365 1.032 20 0 DDADMM CCC1(CNC(=O)c2cc(=O)c3cccc(O)c3[n-]2)COC1 ZINC001152679991 863488573 /nfs/dbraw/zinc/48/85/73/863488573.db2.gz INEURCPXOSUKGT-UHFFFAOYSA-N -1 1 302.330 1.802 20 0 DDADMM COc1ccc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)nn1 ZINC001157157427 863619809 /nfs/dbraw/zinc/61/98/09/863619809.db2.gz WYJDZPBACROXJY-UHFFFAOYSA-N -1 1 321.273 1.570 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cccc2sccc21 ZINC001153427591 863887276 /nfs/dbraw/zinc/88/72/76/863887276.db2.gz GTMPGVWDCBYRKU-UHFFFAOYSA-N -1 1 302.315 1.937 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3nc4ccccc4n3C)c1-2 ZINC001153632970 863986774 /nfs/dbraw/zinc/98/67/74/863986774.db2.gz PEZXFDXIBPEULO-UHFFFAOYSA-N -1 1 307.317 1.174 20 0 DDADMM Cn1ncc2cccc(C(=O)NCCCC[P@](=O)([O-])O)c21 ZINC001153687668 864020116 /nfs/dbraw/zinc/02/01/16/864020116.db2.gz LJRUJFMZTZHURB-UHFFFAOYSA-N -1 1 311.278 1.261 20 0 DDADMM CCOC(CCNC(=O)c1c[n-]c2cccnc2c1=O)OCC ZINC001153861813 864171500 /nfs/dbraw/zinc/17/15/00/864171500.db2.gz JTMQWFMCUBTIGN-UHFFFAOYSA-N -1 1 319.361 1.442 20 0 DDADMM O=C(NCc1ncn2ccccc12)c1c[n-]c2cccnc2c1=O ZINC001153863469 864176404 /nfs/dbraw/zinc/17/64/04/864176404.db2.gz OWCMFNTZJHEWSO-UHFFFAOYSA-N -1 1 319.324 1.501 20 0 DDADMM COc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)c(Cl)n1 ZINC001153874751 864184667 /nfs/dbraw/zinc/18/46/67/864184667.db2.gz LUYGVFIHOAIVNM-UHFFFAOYSA-N -1 1 308.729 1.066 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc(O)c2ccccc2c1 ZINC001153872105 864185069 /nfs/dbraw/zinc/18/50/69/864185069.db2.gz KICAFWINSIENMC-UHFFFAOYSA-N -1 1 309.329 1.868 20 0 DDADMM CN(C)C1(CS(=O)(=O)Oc2ccccc2CC(=O)[O-])CC1 ZINC001330274309 864204546 /nfs/dbraw/zinc/20/45/46/864204546.db2.gz JEZXRPZPACJDBF-UHFFFAOYSA-N -1 1 313.375 1.117 20 0 DDADMM CC(C)C(=O)N1CC(Oc2[n-]c(=S)nc3cnccc32)C1 ZINC001227886276 883216670 /nfs/dbraw/zinc/21/66/70/883216670.db2.gz RRGMCTRTUPJCEA-UHFFFAOYSA-N -1 1 304.375 1.933 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(C)(C)NC(C)=O)[n-]c1Cl ZINC001361529664 881835822 /nfs/dbraw/zinc/83/58/22/881835822.db2.gz PFGLVJPZCVNMCX-UHFFFAOYSA-N -1 1 316.745 1.093 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@H]1C=CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001331490551 865113977 /nfs/dbraw/zinc/11/39/77/865113977.db2.gz PPVKCOAUQJPKRX-VXGBXAGGSA-N -1 1 307.398 1.193 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H]2CCO[C@@H](C)C2)[n-]c1Cl ZINC001361530383 881838109 /nfs/dbraw/zinc/83/81/09/881838109.db2.gz UTGYUSJVUFDEGW-YUMQZZPRSA-N -1 1 315.757 1.993 20 0 DDADMM CC(C)(C)c1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nn1 ZINC001159195903 865160347 /nfs/dbraw/zinc/16/03/47/865160347.db2.gz RNKCGZDZXLUWFR-UHFFFAOYSA-N -1 1 301.310 1.547 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccnc(N3CCCCC3)n2)[n-]1 ZINC001159199501 865164153 /nfs/dbraw/zinc/16/41/53/865164153.db2.gz NCLYDIDQTZCUAO-UHFFFAOYSA-N -1 1 312.337 1.582 20 0 DDADMM CC(C)[C@H](CNC(=O)C1(C)CC=CC1)NCc1n[nH]c(=O)[n-]1 ZINC001319942271 866394473 /nfs/dbraw/zinc/39/44/73/866394473.db2.gz BIAVKFARKXCBBS-NSHDSACASA-N -1 1 307.398 1.097 20 0 DDADMM C/C=C/C[C@H](CO)[N-]S(=O)(=O)c1c(F)cc(F)cc1OC ZINC001320467343 866760460 /nfs/dbraw/zinc/76/04/60/866760460.db2.gz YAROWFLJBHSAOL-HMDXOVGESA-N -1 1 321.345 1.579 20 0 DDADMM CC(C)=CC(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001333631960 866885926 /nfs/dbraw/zinc/88/59/26/866885926.db2.gz GDRXXTJTFLFCBK-UHFFFAOYSA-N -1 1 303.362 1.378 20 0 DDADMM COC(=O)CC1CCN(C(=O)c2cc(C)cc(C=O)c2[O-])CC1 ZINC001162066199 867226134 /nfs/dbraw/zinc/22/61/34/867226134.db2.gz YTEZJGCSQXJBJM-UHFFFAOYSA-N -1 1 319.357 1.928 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C2=COCCO2)[n-]c1Cl ZINC001361670712 882126418 /nfs/dbraw/zinc/12/64/18/882126418.db2.gz AZRNCZPSVCAZPR-UHFFFAOYSA-N -1 1 301.686 1.067 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ccsn2)[n-]c1Cl ZINC001361670874 882126581 /nfs/dbraw/zinc/12/65/81/882126581.db2.gz HNITWYYITUGHNQ-UHFFFAOYSA-N -1 1 300.727 1.949 20 0 DDADMM CC(=O)NC1CCC(C(=O)Nc2nc(-c3ccco3)n[nH]2)CC1 ZINC001361672446 882130066 /nfs/dbraw/zinc/13/00/66/882130066.db2.gz WKWXTXLRXJPPTK-UHFFFAOYSA-N -1 1 317.349 1.698 20 0 DDADMM C[C@H](CCCNC(=O)c1ncccc1[O-])NC(=O)C1CC=CC1 ZINC001335944979 868639840 /nfs/dbraw/zinc/63/98/40/868639840.db2.gz YZZQUQBWZYFCFW-GFCCVEGCSA-N -1 1 317.389 1.768 20 0 DDADMM O=C([N-]CCNc1cccc2c1NC(=O)NC2)C(F)(F)F ZINC001164251164 869059265 /nfs/dbraw/zinc/05/92/65/869059265.db2.gz NZRPYOFBLQSPBB-UHFFFAOYSA-N -1 1 302.256 1.412 20 0 DDADMM O=S(=O)([N-]C1(CO)CCC1)c1cnc(Cl)cc1Cl ZINC001337814452 869641904 /nfs/dbraw/zinc/64/19/04/869641904.db2.gz OGMZTTGYXNZXDD-UHFFFAOYSA-N -1 1 311.190 1.582 20 0 DDADMM Cc1cnc(SCc2nnnn2Cc2ccccc2)[n-]c1=O ZINC001166227370 869912047 /nfs/dbraw/zinc/91/20/47/869912047.db2.gz DZUZCWBRUJAMRI-UHFFFAOYSA-N -1 1 314.374 1.818 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361781072 882361408 /nfs/dbraw/zinc/36/14/08/882361408.db2.gz DXSLRSWSNAUBOY-SFYZADRCSA-N -1 1 307.276 1.078 20 0 DDADMM CC1(C)CC[C@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166748113 870219566 /nfs/dbraw/zinc/21/95/66/870219566.db2.gz WXSWSXYTYRIULT-NSHDSACASA-N -1 1 321.425 1.323 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC[C@H](c2nnc3n2CCC3)C1 ZINC001361798217 882393197 /nfs/dbraw/zinc/39/31/97/882393197.db2.gz OKOLKOYZJOOGEK-NSHDSACASA-N -1 1 313.361 1.345 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cnn(C2CCOCC2)c1 ZINC001203872815 870809172 /nfs/dbraw/zinc/80/91/72/870809172.db2.gz JAJLOWGEQPLVQO-UHFFFAOYSA-N -1 1 308.367 1.345 20 0 DDADMM COC(=O)C[C@H](CNC(=O)c1cc(C)cc(C=O)c1[O-])C1CC1 ZINC001300811721 870960804 /nfs/dbraw/zinc/96/08/04/870960804.db2.gz RAUKHELSNHFAJM-GFCCVEGCSA-N -1 1 319.357 1.832 20 0 DDADMM C[C@H](NC(=O)c1ccc(F)c([O-])c1)C(=O)NCC(F)(F)F ZINC001361833870 882461857 /nfs/dbraw/zinc/46/18/57/882461857.db2.gz NIBQAUSCNWKTPU-LURJTMIESA-N -1 1 308.231 1.328 20 0 DDADMM O=C(Cc1ccccc1CO)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001301648755 871014605 /nfs/dbraw/zinc/01/46/05/871014605.db2.gz FKROGQYUYFUJSW-MRXNPFEDSA-N -1 1 323.356 1.140 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc(-c2ccco2)n[nH]1 ZINC001303199829 871107698 /nfs/dbraw/zinc/10/76/98/871107698.db2.gz RCQYMQUKKNCPIA-UHFFFAOYSA-N -1 1 315.337 1.481 20 0 DDADMM C/C=C/[C@H](O)C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001304136055 871193696 /nfs/dbraw/zinc/19/36/96/871193696.db2.gz QHBXRGDBVFMGBM-YVGDHZEHSA-N -1 1 307.350 1.013 20 0 DDADMM O=C(CNC(=O)c1cc(Cl)ccn1)Nc1ccc(F)cc1[O-] ZINC001340602821 871224821 /nfs/dbraw/zinc/22/48/21/871224821.db2.gz WXMIIVIUMKUMCV-UHFFFAOYSA-N -1 1 323.711 1.948 20 0 DDADMM O=C([O-])[C@]1(C(=O)N2CCCC3(C2)CCCCCC3)CNCCO1 ZINC001340745089 871325757 /nfs/dbraw/zinc/32/57/57/871325757.db2.gz CYHPIFNUBUKXDF-QGZVFWFLSA-N -1 1 324.421 1.393 20 0 DDADMM CNS(=O)(=O)c1cc(NC(=O)c2ccc([O-])cn2)ccc1C ZINC001361856494 882508516 /nfs/dbraw/zinc/50/85/16/882508516.db2.gz PCYSFYHEOKZBNQ-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM CC(C)S(=O)(=O)c1ccc(C(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001413841720 871682504 /nfs/dbraw/zinc/68/25/04/871682504.db2.gz ASFXTYRAUMKSND-UHFFFAOYSA-N -1 1 309.347 1.550 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1C[C@@H](C)C[C@@H]1C ZINC001342472701 872225872 /nfs/dbraw/zinc/22/58/72/872225872.db2.gz CZXQKORMCHTKCH-QWRGUYRKSA-N -1 1 320.401 1.120 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1C[C@@H](C)C[C@@H]1C ZINC001342472701 872225880 /nfs/dbraw/zinc/22/58/80/872225880.db2.gz CZXQKORMCHTKCH-QWRGUYRKSA-N -1 1 320.401 1.120 20 0 DDADMM CSc1ncc(C(=O)N(CCO)Cc2ccccc2)c(=O)[n-]1 ZINC001361905030 882614004 /nfs/dbraw/zinc/61/40/04/882614004.db2.gz HZTZRZFQXDIAIJ-UHFFFAOYSA-N -1 1 319.386 1.539 20 0 DDADMM CN(C[C@@H]1CC=CCC1)c1nnc(-c2nnn[n-]2)n1CC1CC1 ZINC001346078025 873607944 /nfs/dbraw/zinc/60/79/44/873607944.db2.gz ZUGHCMMOBHOMAJ-LLVKDONJSA-N -1 1 314.397 1.661 20 0 DDADMM CN(C[C@@H]1CC=CCC1)c1nnc(-c2nn[n-]n2)n1CC1CC1 ZINC001346078025 873607948 /nfs/dbraw/zinc/60/79/48/873607948.db2.gz ZUGHCMMOBHOMAJ-LLVKDONJSA-N -1 1 314.397 1.661 20 0 DDADMM CC(C)(NC(=O)C(=O)c1ccc([O-])cc1)[C@@H](O)C(F)(F)F ZINC001347268345 874077705 /nfs/dbraw/zinc/07/77/05/874077705.db2.gz NMYKDGFCGUVYSJ-LLVKDONJSA-N -1 1 305.252 1.393 20 0 DDADMM O=C(/C=C/SCc1ccco1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347475019 874155338 /nfs/dbraw/zinc/15/53/38/874155338.db2.gz SBNUIMBYLUCRML-QBBOHKLWSA-N -1 1 305.363 1.556 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@H]([C@@H]2CCCO2)C1 ZINC001347765497 874268146 /nfs/dbraw/zinc/26/81/46/874268146.db2.gz UKBLEEUFNIZXDZ-UWVGGRQHSA-N -1 1 309.391 1.420 20 0 DDADMM CN(C(=O)[C@@H]1CSCN1C(=O)c1ccc([O-])cc1F)C1CC1 ZINC001362022827 882848969 /nfs/dbraw/zinc/84/89/69/882848969.db2.gz LZAFHNTUZDKEHZ-ZDUSSCGKSA-N -1 1 324.377 1.667 20 0 DDADMM CON(CC1CCOCC1)C(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001348612284 874670655 /nfs/dbraw/zinc/67/06/55/874670655.db2.gz PNHLJPZKKIHZHP-UHFFFAOYSA-N -1 1 313.379 1.210 20 0 DDADMM CCOC(=O)C[C@@H](C)Oc1[n-]c(=O)ncc1Br ZINC001227134326 882866218 /nfs/dbraw/zinc/86/62/18/882866218.db2.gz ZLYALZOZWNSQLF-ZCFIWIBFSA-N -1 1 305.128 1.665 20 0 DDADMM Cc1ccc(N)cc1S(=O)(=O)[N-]c1ccc2[nH]nc(N)c2c1 ZINC001209858426 875146150 /nfs/dbraw/zinc/14/61/50/875146150.db2.gz JGRLXDKWGKQCIL-UHFFFAOYSA-N -1 1 317.374 1.837 20 0 DDADMM Cn1cncc1C1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC001349870252 875423241 /nfs/dbraw/zinc/42/32/41/875423241.db2.gz SMMBUHYUIPEYCR-UHFFFAOYSA-N -1 1 313.357 1.715 20 0 DDADMM CC[C@@H](CC(C)C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210561368 875438808 /nfs/dbraw/zinc/43/88/08/875438808.db2.gz YAQJSXFWUPHMFP-STQMWFEESA-N -1 1 323.441 1.663 20 0 DDADMM CN(C)c1nc(Nc2cnn(C(C)(C)CO)c2)c(N=O)c(=O)[n-]1 ZINC001213400586 875923809 /nfs/dbraw/zinc/92/38/09/875923809.db2.gz YWONTSRHTSYUTJ-UHFFFAOYSA-N -1 1 321.341 1.314 20 0 DDADMM Cc1[nH]ccc1C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001381941449 883010009 /nfs/dbraw/zinc/01/00/09/883010009.db2.gz OQCFQSPHGDCPGI-JTQLQIEISA-N -1 1 316.361 1.314 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)CC1CCC1 ZINC001381958577 883057091 /nfs/dbraw/zinc/05/70/91/883057091.db2.gz CPOHDHFKNFLKAI-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM Cn1cc(CC(=O)N2CC[C@H](c3nn[n-]n3)C2)c2ccccc21 ZINC001352596489 876823796 /nfs/dbraw/zinc/82/37/96/876823796.db2.gz PHZYJYURTFLEFF-NSHDSACASA-N -1 1 310.361 1.250 20 0 DDADMM O=C(c1cc(F)c(F)c(F)c1F)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001352595834 876823946 /nfs/dbraw/zinc/82/39/46/876823946.db2.gz GJSRMMLPUHKVRW-RXMQYKEDSA-N -1 1 315.230 1.386 20 0 DDADMM Cc1ccc(C(=O)N[C@@H](C(=O)Nc2c[n-][nH]c2=O)C(C)C)cc1 ZINC001413850069 876894324 /nfs/dbraw/zinc/89/43/24/876894324.db2.gz XGWMQJOTJQNHDT-CYBMUJFWSA-N -1 1 316.361 1.817 20 0 DDADMM C/C(=C\C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001352759124 876913059 /nfs/dbraw/zinc/91/30/59/876913059.db2.gz WCFDNKAIWUREJP-STRFDMGBSA-N -1 1 315.373 1.474 20 0 DDADMM O=c1nc(O[C@@H](c2ccccc2)C2CCOCC2)[n-]c(=O)[nH]1 ZINC001227571432 883089884 /nfs/dbraw/zinc/08/98/84/883089884.db2.gz UJILSRPHQCMYSD-LBPRGKRZSA-N -1 1 303.318 1.830 20 0 DDADMM O=C([O-])[C@@H](CSCCOc1ccccc1)NCc1cc[nH]n1 ZINC001353945544 877654580 /nfs/dbraw/zinc/65/45/80/877654580.db2.gz LKTKWMXOPPFKHS-CQSZACIVSA-N -1 1 321.402 1.765 20 0 DDADMM O=C(Nc1ccc(CO)cc1)c1cnc(-c2ccccn2)[n-]c1=O ZINC001219054311 877890572 /nfs/dbraw/zinc/89/05/72/877890572.db2.gz HGYILEFAHZMQAH-UHFFFAOYSA-N -1 1 322.324 1.989 20 0 DDADMM CC(C)OCCCC(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001354346562 877908745 /nfs/dbraw/zinc/90/87/45/877908745.db2.gz QOZFREABGOYNRO-GFCCVEGCSA-N -1 1 323.393 1.227 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cnc(F)cc2C)n[n-]1 ZINC001300603235 877964917 /nfs/dbraw/zinc/96/49/17/877964917.db2.gz QQFNATGRYSBUDG-MRVPVSSYSA-N -1 1 321.312 1.315 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cnc(F)cc2C)[n-]1 ZINC001300603235 877964921 /nfs/dbraw/zinc/96/49/21/877964921.db2.gz QQFNATGRYSBUDG-MRVPVSSYSA-N -1 1 321.312 1.315 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cnc(F)cc2C)n1 ZINC001300603235 877964927 /nfs/dbraw/zinc/96/49/27/877964927.db2.gz QQFNATGRYSBUDG-MRVPVSSYSA-N -1 1 321.312 1.315 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCN2C[C@@H](C)OC[C@H]2C)c1 ZINC001300610088 877976513 /nfs/dbraw/zinc/97/65/13/877976513.db2.gz FKDBAKIFMCBZBA-CHWSQXEVSA-N -1 1 320.389 1.352 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)c2cc(F)ccc2N)c1 ZINC000315341676 879045171 /nfs/dbraw/zinc/04/51/71/879045171.db2.gz WVTLWXJEHMZCOW-UHFFFAOYSA-N -1 1 324.333 1.995 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)C(C)(C)C ZINC001380213093 879065807 /nfs/dbraw/zinc/06/58/07/879065807.db2.gz AJBLOZJHZRGSRY-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2CNc3ccccc3C2)c(=O)[n-]1 ZINC001220602461 879129310 /nfs/dbraw/zinc/12/93/10/879129310.db2.gz WSUOLNGBWFESGC-SNVBAGLBSA-N -1 1 316.386 1.671 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCCC[C@@H]1O ZINC000315989278 879238527 /nfs/dbraw/zinc/23/85/27/879238527.db2.gz WIUKYMMACNARGB-NEPJUHHUSA-N -1 1 307.394 1.895 20 0 DDADMM c1ccc(CCn2c(-c3nnn[n-]3)nnc2N2CCCC2)cc1 ZINC001357969885 880268917 /nfs/dbraw/zinc/26/89/17/880268917.db2.gz QFNOHDGTLNYJOC-UHFFFAOYSA-N -1 1 310.365 1.301 20 0 DDADMM c1ccc(CCn2c(-c3nn[n-]n3)nnc2N2CCCC2)cc1 ZINC001357969885 880268934 /nfs/dbraw/zinc/26/89/34/880268934.db2.gz QFNOHDGTLNYJOC-UHFFFAOYSA-N -1 1 310.365 1.301 20 0 DDADMM C[C@@H](CNC(=O)C1(CCF)CC1)N(C)C(=O)c1ncccc1[O-] ZINC001380825558 880472419 /nfs/dbraw/zinc/47/24/19/880472419.db2.gz BECXTAKRAANVDM-NSHDSACASA-N -1 1 323.368 1.504 20 0 DDADMM Brc1cccc2c1O[C@@H](COCc1nn[n-]n1)C2 ZINC001223026658 880787977 /nfs/dbraw/zinc/78/79/77/880787977.db2.gz FISKZHPSVADICN-MRVPVSSYSA-N -1 1 311.139 1.483 20 0 DDADMM O=C(NCC[C@@H]1CCCCN1C(=O)c1ncccc1[O-])C1CC1 ZINC001416544273 881228833 /nfs/dbraw/zinc/22/88/33/881228833.db2.gz YBRVGZXHVKNPIO-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM CCNS(=O)(=O)[C@@H]1CCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001414133678 881265585 /nfs/dbraw/zinc/26/55/85/881265585.db2.gz HCPJVXONWQNVTB-SNVBAGLBSA-N -1 1 320.361 1.184 20 0 DDADMM CC(C)CCCC(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001381985188 883122746 /nfs/dbraw/zinc/12/27/46/883122746.db2.gz NAJBWNAWXCTLPV-UHFFFAOYSA-N -1 1 323.441 1.759 20 0 DDADMM CC/C=C\CCOC(=O)[C@@H](C)Oc1[n-]c(=O)nc2c1COC2 ZINC001227691972 883134170 /nfs/dbraw/zinc/13/41/70/883134170.db2.gz ZINVONIWUQQHQJ-UMCURTJPSA-N -1 1 308.334 1.879 20 0 DDADMM CCOC(=O)[C@H](Oc1[n-]c(=O)nc2c1CO[C@@H]2C)C(F)(F)F ZINC001227717787 883144827 /nfs/dbraw/zinc/14/48/27/883144827.db2.gz ZPLAZNFJDQREOK-XRGYYRRGSA-N -1 1 322.239 1.646 20 0 DDADMM O=C(CCCC1CC1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228112590 883343647 /nfs/dbraw/zinc/34/36/47/883343647.db2.gz LOZMHXGOLOUVRS-CHWSQXEVSA-N -1 1 321.425 1.608 20 0 DDADMM COc1cc(NC(=O)c2ccc([O-])cn2)ccc1NC(N)=O ZINC001362263308 883435028 /nfs/dbraw/zinc/43/50/28/883435028.db2.gz NBGVUUSAKUYZDE-UHFFFAOYSA-N -1 1 302.290 1.539 20 0 DDADMM NC(=O)N[C@H]1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC001362278683 883466976 /nfs/dbraw/zinc/46/69/76/883466976.db2.gz NBYUGBCREWLNSX-QMMMGPOBSA-N -1 1 317.267 1.294 20 0 DDADMM CC(C)(C)NC(=O)[C@@H]1CCC2(CN(Cc3ncccc3[O-])C2)O1 ZINC001277315295 883563276 /nfs/dbraw/zinc/56/32/76/883563276.db2.gz HJCCMKIBKLSSOI-AWEZNQCLSA-N -1 1 319.405 1.435 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CC(Oc3cnnc(=S)[n-]3)C2)C1 ZINC001228639911 883585634 /nfs/dbraw/zinc/58/56/34/883585634.db2.gz NGCOZPDTDBFKML-UHFFFAOYSA-N -1 1 324.406 1.939 20 0 DDADMM COC[C@H](C)OC[C@@H](C)OC[C@H](C)Oc1cnnc(=S)[n-]1 ZINC001228641515 883587807 /nfs/dbraw/zinc/58/78/07/883587807.db2.gz FQYFOZGDGKYFFB-AXFHLTTASA-N -1 1 317.411 1.384 20 0 DDADMM CC(C)(C)OC(=O)N1CC=C[C@H](Oc2cnnc(=S)[n-]2)C1 ZINC001228643233 883589755 /nfs/dbraw/zinc/58/97/55/883589755.db2.gz YWJWFRGJKMZUNR-VIFPVBQESA-N -1 1 310.379 1.715 20 0 DDADMM COc1cc(OC)cc([C@@H](O)CNC(=O)c2ccc([O-])cn2)c1 ZINC001362504965 883962733 /nfs/dbraw/zinc/96/27/33/883962733.db2.gz KUGMORHMCRLNMO-HNNXBMFYSA-N -1 1 318.329 1.268 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn3c(n2)CC[C@@H](C)C3)n1 ZINC001362537194 884034712 /nfs/dbraw/zinc/03/47/12/884034712.db2.gz VYAHETPHSYWMQU-SECBINFHSA-N -1 1 317.349 1.618 20 0 DDADMM COC(=O)[C@H](C)c1cccc(NC(=O)CCc2nn[n-]n2)c1 ZINC001362563146 884105067 /nfs/dbraw/zinc/10/50/67/884105067.db2.gz SSMINRBVBHPPJD-SECBINFHSA-N -1 1 303.322 1.048 20 0 DDADMM Cc1nc(CNC(=O)c2cccc([O-])c2F)sc1C(N)=O ZINC001362612722 884220997 /nfs/dbraw/zinc/22/09/97/884220997.db2.gz QJDCBUBAVXIPGH-UHFFFAOYSA-N -1 1 309.322 1.325 20 0 DDADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCCCCC1 ZINC001362628879 884265406 /nfs/dbraw/zinc/26/54/06/884265406.db2.gz IFNUDEQYFCPXAL-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCCC[C@@H]1C1CCC1 ZINC001362650237 884320195 /nfs/dbraw/zinc/32/01/95/884320195.db2.gz SXXLPIPKIXNARK-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)[C@H](CNC(=O)C1C=CC=CC=C1)NCc1n[nH]c(=O)[n-]1 ZINC001374422730 912812485 /nfs/dbraw/zinc/81/24/85/912812485.db2.gz RUFUOSZHXJTPQU-ZDUSSCGKSA-N -1 1 317.393 1.039 20 0 DDADMM COC(=O)c1c[n-]c(O[C@@H]2C=CO[C@@H]3COC(C)(C)O[C@@H]23)n1 ZINC001230281584 884408575 /nfs/dbraw/zinc/40/85/75/884408575.db2.gz AGMYWVBHTXZMAS-MXWKQRLJSA-N -1 1 310.306 1.008 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2COc3cc(F)ccc3C2)c([O-])c1 ZINC001362690849 884423510 /nfs/dbraw/zinc/42/35/10/884423510.db2.gz QJKZUHWINVCQTI-GFCCVEGCSA-N -1 1 302.305 1.968 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2nc(C)c[nH]2)c(=O)[n-]1 ZINC001362720010 884482050 /nfs/dbraw/zinc/48/20/50/884482050.db2.gz GTYPFWFZTQOXLM-UHFFFAOYSA-N -1 1 321.406 1.493 20 0 DDADMM CN(C(=O)c1ccc2ccc(O)cc2c1[O-])[C@H]1C[C@@H](C(N)=O)C1 ZINC001362769831 884595788 /nfs/dbraw/zinc/59/57/88/884595788.db2.gz MKUBIWNNYLSIOK-PHIMTYICSA-N -1 1 314.341 1.587 20 0 DDADMM Cc1ccc([C@@H]2C[C@H]2C(=O)N2CC[C@H](c3nn[n-]n3)C2)cc1C ZINC001362805184 884685457 /nfs/dbraw/zinc/68/54/57/884685457.db2.gz GDYDMKFWJAKVJA-SOUVJXGZSA-N -1 1 311.389 1.936 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1nc(C2CCOCC2)cs1 ZINC001362866299 884834545 /nfs/dbraw/zinc/83/45/45/884834545.db2.gz FQQGIYMRDGPYLQ-UHFFFAOYSA-N -1 1 322.394 1.512 20 0 DDADMM CCOC(=O)Cn1ccc(NC(=O)c2cc(F)ccc2[O-])n1 ZINC001362871717 884844599 /nfs/dbraw/zinc/84/45/99/884844599.db2.gz DLWAXFBPGNDWJK-UHFFFAOYSA-N -1 1 307.281 1.543 20 0 DDADMM CSc1ncc(C(=O)N[C@H]([C@H](CO)C2CC2)C2CC2)c(=O)[n-]1 ZINC001362896915 884915046 /nfs/dbraw/zinc/91/50/46/884915046.db2.gz YGHDHDQZCAOKBL-NEPJUHHUSA-N -1 1 323.418 1.431 20 0 DDADMM O=C([C@@H]1CC12CCSCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362996164 885181978 /nfs/dbraw/zinc/18/19/78/885181978.db2.gz ZRRBORHCZPBHPN-QWRGUYRKSA-N -1 1 307.423 1.439 20 0 DDADMM Cc1ccc(CC(=O)NCc2nc([O-])cc(=O)[nH]2)cc1Cl ZINC001363041335 885301716 /nfs/dbraw/zinc/30/17/16/885301716.db2.gz ULGAOJUTBWGGMP-UHFFFAOYSA-N -1 1 307.737 1.709 20 0 DDADMM CN(C(=O)[C@H]1C[C@@H](NC(=O)OC(C)(C)C)C1(C)C)c1nn[n-]n1 ZINC001363057548 885353058 /nfs/dbraw/zinc/35/30/58/885353058.db2.gz WSXITNDJMJBHBJ-RKDXNWHRSA-N -1 1 324.385 1.102 20 0 DDADMM CSCC[C@H](NC(=O)c1n[nH]c2c1CCCC2)c1nn[n-]n1 ZINC001363099694 885460774 /nfs/dbraw/zinc/46/07/74/885460774.db2.gz MDENNDOZSIPXAF-JTQLQIEISA-N -1 1 321.410 1.026 20 0 DDADMM Cn1ncc(NC(=O)OC(C)(C)C)c1C(=O)Nc1c[n-][nH]c1=O ZINC001363119538 885511306 /nfs/dbraw/zinc/51/13/06/885511306.db2.gz NIHJHPWQNUAXHT-UHFFFAOYSA-N -1 1 322.325 1.448 20 0 DDADMM CCC[C@H]1C[C@H](C(=O)NCc2n[n-]c(C(=O)OCC)n2)CCO1 ZINC001363120572 885515409 /nfs/dbraw/zinc/51/54/09/885515409.db2.gz XAPLYQHOBLAGGC-MNOVXSKESA-N -1 1 324.381 1.193 20 0 DDADMM CCC[C@H]1C[C@H](C(=O)NCc2nc(C(=O)OCC)n[n-]2)CCO1 ZINC001363120572 885515418 /nfs/dbraw/zinc/51/54/18/885515418.db2.gz XAPLYQHOBLAGGC-MNOVXSKESA-N -1 1 324.381 1.193 20 0 DDADMM O=C(Nc1cccc(C(=O)Nc2c[n-][nH]c2=O)c1)NC1CC1 ZINC001363125569 885527738 /nfs/dbraw/zinc/52/77/38/885527738.db2.gz DTBIQHJUBYMSMW-UHFFFAOYSA-N -1 1 301.306 1.652 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCc2[nH]cnc2C12CCOCC2 ZINC001363133783 885549264 /nfs/dbraw/zinc/54/92/64/885549264.db2.gz APQSLINHTDZWPU-UHFFFAOYSA-N -1 1 314.345 1.215 20 0 DDADMM O=C(N[C@@H](CO)Cc1cncs1)c1ccc(Cl)cc1[O-] ZINC001363163172 885615146 /nfs/dbraw/zinc/61/51/46/885615146.db2.gz SXMGUOXLSHWYBM-SECBINFHSA-N -1 1 312.778 1.836 20 0 DDADMM Nc1nnc(C2CCN(C(=O)c3ccc(F)c([O-])c3)CC2)o1 ZINC001363193369 885682912 /nfs/dbraw/zinc/68/29/12/885682912.db2.gz GIYUGTBUTUROGS-UHFFFAOYSA-N -1 1 306.297 1.516 20 0 DDADMM CC[C@@H](C(=O)N1CC[C@H](c2nn[n-]n2)C1)c1c(C)noc1C ZINC001363217731 885737167 /nfs/dbraw/zinc/73/71/67/885737167.db2.gz LPUGTFXYVUJGCP-WDEREUQCSA-N -1 1 304.354 1.314 20 0 DDADMM COc1ccc(CN2CCC(NC(N)=O)CC2)cc1C(=O)[O-] ZINC001231750070 885854206 /nfs/dbraw/zinc/85/42/06/885854206.db2.gz VUMCCSOYEILKSG-UHFFFAOYSA-N -1 1 307.350 1.026 20 0 DDADMM Cc1nn(C(C)(C)C)cc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363356095 886116652 /nfs/dbraw/zinc/11/66/52/886116652.db2.gz NXEPMKFDQQYSMJ-UHFFFAOYSA-N -1 1 319.413 1.758 20 0 DDADMM Cc1cc(C)n(CCCC(=O)N(Cc2nn[n-]n2)CC(C)C)n1 ZINC001363355995 886116666 /nfs/dbraw/zinc/11/66/66/886116666.db2.gz NMXGIGXJAXCTSA-UHFFFAOYSA-N -1 1 319.413 1.478 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(Cl)cc1Cl)c1nn[n-]n1 ZINC001363428844 886316027 /nfs/dbraw/zinc/31/60/27/886316027.db2.gz ATIQMNIBDTXCMT-SECBINFHSA-N -1 1 316.148 1.624 20 0 DDADMM COC(=O)Cc1ccc(NC(=O)c2cncc([O-])c2)cc1F ZINC001363456365 886390081 /nfs/dbraw/zinc/39/00/81/886390081.db2.gz VLHHATXJXVFFHU-UHFFFAOYSA-N -1 1 304.277 1.894 20 0 DDADMM O=C(NCc1nc2c(c(=O)[nH]1)COCC2)c1cccc([O-])c1F ZINC001363521049 886545883 /nfs/dbraw/zinc/54/58/83/886545883.db2.gz GMGHZBVBSNCYRW-UHFFFAOYSA-N -1 1 319.292 1.030 20 0 DDADMM CSc1ncc(C(=O)N2C[C@@H](C)Cn3nccc32)c(=O)[n-]1 ZINC001363525401 886550237 /nfs/dbraw/zinc/55/02/37/886550237.db2.gz DXCBDDKWMIQGGV-MRVPVSSYSA-N -1 1 305.363 1.397 20 0 DDADMM COc1ccc(C)cc1CC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363549070 886610948 /nfs/dbraw/zinc/61/09/48/886610948.db2.gz LNBMDTOFLKMQDC-UHFFFAOYSA-N -1 1 301.350 1.255 20 0 DDADMM CS(=O)(=O)C1CCN(Cc2cc([O-])c(F)c(F)c2)CC1 ZINC001232971782 886702759 /nfs/dbraw/zinc/70/27/59/886702759.db2.gz ANXIYWMFIVOFIS-UHFFFAOYSA-N -1 1 305.346 1.679 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@H]1CCSC1 ZINC001363640545 886850915 /nfs/dbraw/zinc/85/09/15/886850915.db2.gz HKMKZMJAKAICMV-AEJSXWLSSA-N -1 1 324.368 1.798 20 0 DDADMM COC(=O)c1cnc(CCNC(=O)c2ccc(F)c([O-])c2)s1 ZINC001363804945 887264655 /nfs/dbraw/zinc/26/46/55/887264655.db2.gz NNAXETUZSPKHIP-UHFFFAOYSA-N -1 1 324.333 1.747 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H](c1cccnc1)C1CC1 ZINC001233760227 887289894 /nfs/dbraw/zinc/28/98/94/887289894.db2.gz VQHKTZQPYZQJGX-GFCCVEGCSA-N -1 1 301.302 1.894 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@@H](F)C(C)C ZINC001363964717 887625939 /nfs/dbraw/zinc/62/59/39/887625939.db2.gz MMPMYOCPUHMJPS-ZJUUUORDSA-N -1 1 312.345 1.639 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@@H](F)C(C)C ZINC001363964717 887625945 /nfs/dbraw/zinc/62/59/45/887625945.db2.gz MMPMYOCPUHMJPS-ZJUUUORDSA-N -1 1 312.345 1.639 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@@H](F)C(C)C ZINC001363964717 887625952 /nfs/dbraw/zinc/62/59/52/887625952.db2.gz MMPMYOCPUHMJPS-ZJUUUORDSA-N -1 1 312.345 1.639 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1ccc(Cl)c(F)c1 ZINC001364043219 887785562 /nfs/dbraw/zinc/78/55/62/887785562.db2.gz WRYGYQPVPASLRM-UHFFFAOYSA-N -1 1 305.718 1.649 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)[C@H](C)C(C)(C)C)n[n-]1 ZINC001364062501 887831500 /nfs/dbraw/zinc/83/15/00/887831500.db2.gz MBFBNDZURHDFGP-ZJUUUORDSA-N -1 1 310.398 1.916 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)[C@H](C)C(C)(C)C)n1 ZINC001364062501 887831510 /nfs/dbraw/zinc/83/15/10/887831510.db2.gz MBFBNDZURHDFGP-ZJUUUORDSA-N -1 1 310.398 1.916 20 0 DDADMM O=S(=O)([N-]C/C=C/Cl)c1ncccc1Br ZINC001364071068 887849108 /nfs/dbraw/zinc/84/91/08/887849108.db2.gz QQIYMQRCGHEARA-DUXPYHPUSA-N -1 1 311.588 1.875 20 0 DDADMM COc1cccc2c1[C@H](Oc1c(C(N)=O)nc[n-]c1=O)CCO2 ZINC001234464734 887992939 /nfs/dbraw/zinc/99/29/39/887992939.db2.gz BFAKYCZTKYTVRH-SNVBAGLBSA-N -1 1 317.301 1.192 20 0 DDADMM NC(=O)c1nc[n-]c(=O)c1O[C@H](c1ccccc1)c1ccccn1 ZINC001234466985 887997750 /nfs/dbraw/zinc/99/77/50/887997750.db2.gz KLMTXQJRLJASPH-CQSZACIVSA-N -1 1 322.324 1.845 20 0 DDADMM Cc1cccc(OC[C@@H](C)Oc2c(C(N)=O)nc(C)[n-]c2=O)c1 ZINC001234477542 888009730 /nfs/dbraw/zinc/00/97/30/888009730.db2.gz NJQAYTZDOLHEAF-SNVBAGLBSA-N -1 1 317.345 1.744 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H](C)c1ccc(OC)nc1 ZINC001234565567 888104448 /nfs/dbraw/zinc/10/44/48/888104448.db2.gz WVMRFXOWTHXWPF-QMMMGPOBSA-N -1 1 319.317 1.821 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@@H]2CCC(=O)C[C@@H]2C)[n-]c1=O ZINC001364231519 888184718 /nfs/dbraw/zinc/18/47/18/888184718.db2.gz BNZJFXGMXSNNRT-GXSJLCMTSA-N -1 1 321.377 1.547 20 0 DDADMM O=C(CC[N@H+]1CC[C@H](F)C1)Nc1ccc(-n2ccc(=O)[nH]2)cc1 ZINC001364262985 888246783 /nfs/dbraw/zinc/24/67/83/888246783.db2.gz RANQZRIUQPLZQN-LBPRGKRZSA-N -1 1 318.352 1.950 20 0 DDADMM O=C(N[C@H]1CC[C@@H](O)[C@@H](O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC001364326033 888385343 /nfs/dbraw/zinc/38/53/43/888385343.db2.gz SYJKBOOMPUISOI-XRNSZHNASA-N -1 1 319.279 1.415 20 0 DDADMM CS[C@@H](C)CC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001385073533 888452530 /nfs/dbraw/zinc/45/25/30/888452530.db2.gz UDLQVLHZRSVKGY-NSHDSACASA-N -1 1 323.418 1.213 20 0 DDADMM COCC1(C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)CC1 ZINC001364518476 888830467 /nfs/dbraw/zinc/83/04/67/888830467.db2.gz MUHWHTFWPZKASG-UWVGGRQHSA-N -1 1 322.327 1.471 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccc(Cl)cc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001364569849 888946446 /nfs/dbraw/zinc/94/64/46/888946446.db2.gz XVJBEFSFDWOSTA-KGYLQXTDSA-N -1 1 317.780 1.973 20 0 DDADMM COC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)c1cccc([O-])c1F ZINC001364749731 889334618 /nfs/dbraw/zinc/33/46/18/889334618.db2.gz VUISAYPKHQIZQB-ONGXEEELSA-N -1 1 311.309 1.372 20 0 DDADMM CCSC1(C[N-]S(=O)(=O)c2csnc2OC)CC1 ZINC001364891800 889617554 /nfs/dbraw/zinc/61/75/54/889617554.db2.gz YMKRKBPMRYCEHY-UHFFFAOYSA-N -1 1 308.450 1.716 20 0 DDADMM CCN(CC)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001364892773 889619970 /nfs/dbraw/zinc/61/99/70/889619970.db2.gz BXVJPPYBANPSND-UWVGGRQHSA-N -1 1 309.332 1.980 20 0 DDADMM COc1ccc([S@](C)(=O)=NC(=O)CCCc2nn[n-]n2)cc1 ZINC001364921544 889672590 /nfs/dbraw/zinc/67/25/90/889672590.db2.gz XNNGVLKZQIWXSI-QFIPXVFZSA-N -1 1 323.378 1.215 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1cccc(F)c1 ZINC001365014339 889894851 /nfs/dbraw/zinc/89/48/51/889894851.db2.gz CEZCQXZTEDDXRH-UHFFFAOYSA-N -1 1 302.352 1.769 20 0 DDADMM CC(C)(C)OC(=O)NC1(CNC(=O)c2cncc([O-])c2)CC1 ZINC001365044839 889969107 /nfs/dbraw/zinc/96/91/07/889969107.db2.gz VBDJDOMAHXDXMK-UHFFFAOYSA-N -1 1 307.350 1.574 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1CCC[C@@H]2OCC[C@H]21 ZINC001365132191 890168510 /nfs/dbraw/zinc/16/85/10/890168510.db2.gz LAEDKIQYMVACHP-AEJSXWLSSA-N -1 1 318.420 1.388 20 0 DDADMM O=S(=O)([N-][C@H]1CNCC(F)(F)C1)C(Cl)(Cl)Cl ZINC001238499656 890250729 /nfs/dbraw/zinc/25/07/29/890250729.db2.gz LYWDQWKETHUYBE-SCSAIBSYSA-N -1 1 317.572 1.231 20 0 DDADMM O=C(Nc1noc2c1CCCC2)N1CCC(c2nn[n-]n2)CC1 ZINC001365296956 890531718 /nfs/dbraw/zinc/53/17/18/890531718.db2.gz XHQXQSCDARSCPE-UHFFFAOYSA-N -1 1 317.353 1.478 20 0 DDADMM COc1cc(C(=O)[O-])ccc1-c1nc2nc[nH]c2c(OC)n1 ZINC001240734521 891028974 /nfs/dbraw/zinc/02/89/74/891028974.db2.gz ZFNVLUMSLWQUAU-UHFFFAOYSA-N -1 1 300.274 1.735 20 0 DDADMM C[C@]1(c2cccnc2-c2c(F)ccc([O-])c2F)NC(=O)NC1=O ZINC001241291604 891142737 /nfs/dbraw/zinc/14/27/37/891142737.db2.gz SJCOMNOMLSOQMM-OAHLLOKOSA-N -1 1 319.267 1.787 20 0 DDADMM COc1ncc(-c2c(N)nccc2F)cc1[N-]S(C)(=O)=O ZINC001244790474 891924023 /nfs/dbraw/zinc/92/40/23/891924023.db2.gz AIFLRILWFNDBCO-UHFFFAOYSA-N -1 1 312.326 1.245 20 0 DDADMM COc1ncc(-c2cccc3ncnn32)cc1[N-]S(C)(=O)=O ZINC001244795242 891932038 /nfs/dbraw/zinc/93/20/38/891932038.db2.gz ZWSORDUGPKGELD-UHFFFAOYSA-N -1 1 319.346 1.171 20 0 DDADMM O=C(CCc1ccncc1)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC000345901940 894107289 /nfs/dbraw/zinc/10/72/89/894107289.db2.gz LTQSYFQNXWAFLQ-UHFFFAOYSA-N -1 1 324.344 1.745 20 0 DDADMM CCOC(=O)[C@H](C)c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001249858096 894155530 /nfs/dbraw/zinc/15/55/30/894155530.db2.gz QEXPVNIEQOMCLV-MRVPVSSYSA-N -1 1 302.352 1.128 20 0 DDADMM CC[N@@H+](CCNC(=O)c1ncccc1[O-])Cc1cnccc1C ZINC001366607314 894237565 /nfs/dbraw/zinc/23/75/65/894237565.db2.gz NFPCPYUXAMVLHW-UHFFFAOYSA-N -1 1 314.389 1.743 20 0 DDADMM O=C([O-])CCC(=O)CNC[C@@H](O)COc1ccc(Cl)cc1 ZINC001250689949 894363877 /nfs/dbraw/zinc/36/38/77/894363877.db2.gz DQUYJTOJVFOXTI-GFCCVEGCSA-N -1 1 315.753 1.103 20 0 DDADMM CCS(=O)(=O)[N-][C@@H]1CCN(Cc2ccccc2)CC1(F)F ZINC001253421454 895841726 /nfs/dbraw/zinc/84/17/26/895841726.db2.gz FAWYTTUXJYXZHK-CYBMUJFWSA-N -1 1 318.389 1.836 20 0 DDADMM CC(C)(C)OC[C@@H](O)CNc1[nH]c(=S)[n-]c(=O)c1N=O ZINC001253445428 895848968 /nfs/dbraw/zinc/84/89/68/895848968.db2.gz IOSDDDZRRDDPRI-LURJTMIESA-N -1 1 302.356 1.457 20 0 DDADMM CC[C@@H](CNC(=O)C1(CC)CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001367141619 895985864 /nfs/dbraw/zinc/98/58/64/895985864.db2.gz VEBNQWMONPVZJI-LBPRGKRZSA-N -1 1 323.441 1.855 20 0 DDADMM CC(C)[C@H](CNC(=O)C(C)(C)F)NC(=O)c1ncccc1[O-] ZINC001388853238 896053376 /nfs/dbraw/zinc/05/33/76/896053376.db2.gz FNWFMYQJJADZAJ-JTQLQIEISA-N -1 1 311.357 1.406 20 0 DDADMM CCC(CC)[C@@H](C)C(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367366718 896576004 /nfs/dbraw/zinc/57/60/04/896576004.db2.gz FSHCZGLDLLRRDQ-WDEREUQCSA-N -1 1 311.430 1.519 20 0 DDADMM CCOc1ccc(-c2nnc(Cc3nnn[n-]3)o2)cc1OCC ZINC001255001292 896692868 /nfs/dbraw/zinc/69/28/68/896692868.db2.gz QTZKPFXERGEQRU-UHFFFAOYSA-N -1 1 316.321 1.638 20 0 DDADMM CCOc1ccc(-c2nnc(Cc3nn[n-]n3)o2)cc1OCC ZINC001255001292 896692875 /nfs/dbraw/zinc/69/28/75/896692875.db2.gz QTZKPFXERGEQRU-UHFFFAOYSA-N -1 1 316.321 1.638 20 0 DDADMM CC(C)C[C@@H](C)CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001389301573 896874936 /nfs/dbraw/zinc/87/49/36/896874936.db2.gz BCSOFKPZUVUGEJ-GFCCVEGCSA-N -1 1 319.405 1.800 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cccc(C)c1)c1ccncc1 ZINC001256985395 897656631 /nfs/dbraw/zinc/65/66/31/897656631.db2.gz SFSNGOUACVDMGT-AWEZNQCLSA-N -1 1 320.370 1.583 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nnc(-c3ccccn3)o2)co1 ZINC001257652112 897902348 /nfs/dbraw/zinc/90/23/48/897902348.db2.gz HTHSETBLXVFSEY-UHFFFAOYSA-N -1 1 306.303 1.300 20 0 DDADMM Cc1ccc(F)cc1S(=O)(=O)[N-]c1cc(O)cc(C(N)=O)c1 ZINC001258927846 898362280 /nfs/dbraw/zinc/36/22/80/898362280.db2.gz YMRHKEFYBSPTAS-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM O=c1cc(NS(=O)(=O)c2cc(F)ccc2F)nc(Cl)[n-]1 ZINC001258942491 898371844 /nfs/dbraw/zinc/37/18/44/898371844.db2.gz RWCDUODBUSUASK-UHFFFAOYSA-N -1 1 321.692 1.915 20 0 DDADMM O=S(=O)([N-]Cc1cncc(Cl)n1)c1cc(F)ccc1F ZINC001258949033 898375540 /nfs/dbraw/zinc/37/55/40/898375540.db2.gz HPBSREJHUZWXRI-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM O=S(=O)([N-]CCOc1ccncc1)c1cc(F)ccc1F ZINC001258951282 898376634 /nfs/dbraw/zinc/37/66/34/898376634.db2.gz AZTANIOBKUPWHA-UHFFFAOYSA-N -1 1 314.313 1.717 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(Cc2ccncc2)cc1 ZINC001259024403 898421627 /nfs/dbraw/zinc/42/16/27/898421627.db2.gz OSBCAIZMPVEPGA-UHFFFAOYSA-N -1 1 320.370 1.587 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)nc1 ZINC001259034275 898433755 /nfs/dbraw/zinc/43/37/55/898433755.db2.gz NIKWSOKTQWZRNK-UHFFFAOYSA-N -1 1 317.292 1.681 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(C(N)=O)c(O)c2)c(C)c1 ZINC001259103623 898471020 /nfs/dbraw/zinc/47/10/20/898471020.db2.gz POZMDBNVSKKGIP-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2c(Cl)cccc2CO)cn1 ZINC001259826269 898836648 /nfs/dbraw/zinc/83/66/48/898836648.db2.gz FKVKSLNALSXGPN-UHFFFAOYSA-N -1 1 301.755 1.367 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc3c(c2)OCO3)cc1O ZINC001259843355 898858288 /nfs/dbraw/zinc/85/82/88/898858288.db2.gz LYZPSIDFRNWSOT-UHFFFAOYSA-N -1 1 323.326 1.930 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc2c(c1)CN(C1CC1)C2=O ZINC001259972830 898997946 /nfs/dbraw/zinc/99/79/46/898997946.db2.gz BGRWNBRENKBVIT-UHFFFAOYSA-N -1 1 310.375 1.193 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(C(=O)OC)cc1Cl ZINC001259972371 898997978 /nfs/dbraw/zinc/99/79/78/898997978.db2.gz ADMCZNJFXSZPKN-UHFFFAOYSA-N -1 1 307.755 1.515 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2ccn3ccnc3c2)cc1 ZINC001260223548 899098570 /nfs/dbraw/zinc/09/85/70/899098570.db2.gz UFVJYTBZBDNNFH-UHFFFAOYSA-N -1 1 317.326 1.833 20 0 DDADMM O=S(=O)(Cc1ccccc1F)[N-]c1ccn2cnnc2c1 ZINC001260622917 899184978 /nfs/dbraw/zinc/18/49/78/899184978.db2.gz ZEJIVBDAJYQHCE-UHFFFAOYSA-N -1 1 306.322 1.810 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-]c1ccc(S(C)(=O)=O)c(F)c1 ZINC001260739534 899241838 /nfs/dbraw/zinc/24/18/38/899241838.db2.gz IQRNQVNDOFRSMO-QMMMGPOBSA-N -1 1 309.384 1.769 20 0 DDADMM CC[C@H](CNC(=O)Cc1ccoc1)NC(=O)c1ncccc1[O-] ZINC001390380458 899297425 /nfs/dbraw/zinc/29/74/25/899297425.db2.gz LJVQBKGFHILKEO-GFCCVEGCSA-N -1 1 317.345 1.248 20 0 DDADMM Cc1cccc(S(=O)(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1C ZINC001260992312 899315144 /nfs/dbraw/zinc/31/51/44/899315144.db2.gz PKZLEHQPEWIOAC-UHFFFAOYSA-N -1 1 324.318 1.703 20 0 DDADMM CC(C)(C)OCCC[NH+]1CCN([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001261835575 899782362 /nfs/dbraw/zinc/78/23/62/899782362.db2.gz ZTNIFDBSFUYDRT-INIZCTEOSA-N -1 1 314.426 1.053 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)[C@H](C)c1ccccc1F ZINC001263012211 900466992 /nfs/dbraw/zinc/46/69/92/900466992.db2.gz BYHLWWCVQWKARL-SECBINFHSA-N -1 1 316.344 1.815 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)[C@H](C)c1ccccc1F ZINC001263012211 900466999 /nfs/dbraw/zinc/46/69/99/900466999.db2.gz BYHLWWCVQWKARL-SECBINFHSA-N -1 1 316.344 1.815 20 0 DDADMM c1ccc2c(c1)CCN(c1nnc(-c3nnn[n-]3)n1CC1CC1)C2 ZINC001263742552 900691337 /nfs/dbraw/zinc/69/13/37/900691337.db2.gz VPZRRCRIAUEYOF-UHFFFAOYSA-N -1 1 322.376 1.431 20 0 DDADMM c1ccc2c(c1)CCN(c1nnc(-c3nn[n-]n3)n1CC1CC1)C2 ZINC001263742552 900691347 /nfs/dbraw/zinc/69/13/47/900691347.db2.gz VPZRRCRIAUEYOF-UHFFFAOYSA-N -1 1 322.376 1.431 20 0 DDADMM O=C1Cc2cc(S(=O)(=O)[N-]c3ccccc3CO)ccc2N1 ZINC000386949992 901030511 /nfs/dbraw/zinc/03/05/11/901030511.db2.gz SYFCEOLLBGMUSP-UHFFFAOYSA-N -1 1 318.354 1.474 20 0 DDADMM CCC[C@@H](C)CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369441980 901302837 /nfs/dbraw/zinc/30/28/37/901302837.db2.gz OKMNPYNSXWNYLG-VXGBXAGGSA-N -1 1 323.441 1.663 20 0 DDADMM COC(=O)c1ccc(N)cc1S(=O)(=O)[N-]c1ccccc1F ZINC000389610175 901344085 /nfs/dbraw/zinc/34/40/85/901344085.db2.gz OKOYLZPEQMZMTP-UHFFFAOYSA-N -1 1 324.333 1.995 20 0 DDADMM CC(C)=C(C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001293604300 914576615 /nfs/dbraw/zinc/57/66/15/914576615.db2.gz SPYSRIWSIIDCTL-CYBMUJFWSA-N -1 1 317.389 1.864 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2sc(Cl)nc2C)COC1 ZINC000393992286 902906752 /nfs/dbraw/zinc/90/67/52/902906752.db2.gz QVJALWYCHBWHSJ-UHFFFAOYSA-N -1 1 310.828 1.810 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)CC1CCCC1 ZINC001283525752 907536624 /nfs/dbraw/zinc/53/66/24/907536624.db2.gz JPECJVLGBCXPCS-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM C[C@@H](NC(=O)CC(C)(F)F)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001394956655 911015781 /nfs/dbraw/zinc/01/57/81/911015781.db2.gz AYIZSSWNVILMMS-RKDXNWHRSA-N -1 1 315.320 1.456 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ccccc3OC)nc2n1 ZINC001287286091 912160100 /nfs/dbraw/zinc/16/01/00/912160100.db2.gz VJCHKAYYZMVIGZ-UHFFFAOYSA-N -1 1 313.317 1.241 20 0 DDADMM Nc1c(F)cc(F)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001295411676 915764787 /nfs/dbraw/zinc/76/47/87/915764787.db2.gz PUBNFXUTTPYXNM-SSDOTTSWSA-N -1 1 308.292 1.080 20 0 DDADMM CC(C)C[C@H](CNC(=O)C(C)C)NC(=O)c1ncccc1[O-] ZINC001375822160 917050323 /nfs/dbraw/zinc/05/03/23/917050323.db2.gz KEXFDMFNMBYYMY-GFCCVEGCSA-N -1 1 307.394 1.704 20 0 DDADMM CCC(C)(CC)C(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001377726596 922820092 /nfs/dbraw/zinc/82/00/92/922820092.db2.gz PILGWYZWMKSKGY-LBPRGKRZSA-N -1 1 323.441 1.665 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCC(C)(C)C1 ZINC001378001947 923651803 /nfs/dbraw/zinc/65/18/03/923651803.db2.gz WJYBNHQRWCNOSY-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc(C)c4c3CCC4)nc2n1 ZINC000622869900 365549142 /nfs/dbraw/zinc/54/91/42/365549142.db2.gz LDHRFHFSPIAHNX-UHFFFAOYSA-N -1 1 323.356 1.775 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CC[C@@H](C)C3)nc2n1 ZINC000622994430 365586851 /nfs/dbraw/zinc/58/68/51/365586851.db2.gz OTGZWQPJQDNICM-NXEZZACHSA-N -1 1 303.366 1.745 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCO[C@H](CC)C3)nc2n1 ZINC000622994071 365587591 /nfs/dbraw/zinc/58/75/91/365587591.db2.gz CMFZARRTDFRKQY-GXSJLCMTSA-N -1 1 319.365 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3CC(OCC)C3)nc2n1 ZINC000622998061 365591609 /nfs/dbraw/zinc/59/16/09/365591609.db2.gz WRHZKEKAPRTGJO-UHFFFAOYSA-N -1 1 319.365 1.124 20 0 DDADMM CC(=O)N[C@@H](CC(C)C)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614390620 361833803 /nfs/dbraw/zinc/83/38/03/361833803.db2.gz VYWLFVULUBRATN-NEPJUHHUSA-N -1 1 324.381 1.032 20 0 DDADMM CS(=O)(=O)[C@@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000614490478 361884524 /nfs/dbraw/zinc/88/45/24/361884524.db2.gz YEKGPRPXHPFESW-LLVKDONJSA-N -1 1 320.370 1.200 20 0 DDADMM O=C1CC[C@@H]([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)CN1 ZINC000451211060 231034910 /nfs/dbraw/zinc/03/49/10/231034910.db2.gz SSTKBBWDOKKIHN-SNVBAGLBSA-N -1 1 312.322 1.129 20 0 DDADMM Cn1cc([C@@H]2CN(C(=O)c3cc(F)ccc3[O-])C[C@H]2CO)cn1 ZINC000278231466 214036647 /nfs/dbraw/zinc/03/66/47/214036647.db2.gz VLKWWIKGNXTWPO-FZMZJTMJSA-N -1 1 319.336 1.113 20 0 DDADMM CC[C@@H]1[C@@H](CO)CCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000424093940 529480565 /nfs/dbraw/zinc/48/05/65/529480565.db2.gz SMPOTAMSCLUSPL-ZWNOBZJWSA-N -1 1 301.346 1.156 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cn(C(C)C)cn1)c1ccco1 ZINC000153745469 290652295 /nfs/dbraw/zinc/65/22/95/290652295.db2.gz BBHVUOBZCJUGQH-LLVKDONJSA-N -1 1 313.379 1.723 20 0 DDADMM CCc1cc(CCCN2CCN(c3nc(=N)[n-]s3)CC2)on1 ZINC000331372940 529527725 /nfs/dbraw/zinc/52/77/25/529527725.db2.gz AOBBKXLKQWNZNX-UHFFFAOYSA-N -1 1 322.438 1.256 20 0 DDADMM Cc1noc(CNC(=O)c2cc(Br)ccc2[O-])n1 ZINC000221977488 539265329 /nfs/dbraw/zinc/26/53/29/539265329.db2.gz ICWKVSFNHJTKIC-UHFFFAOYSA-N -1 1 312.123 1.776 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Cl)cc1Br ZINC000230192202 539266772 /nfs/dbraw/zinc/26/67/72/539266772.db2.gz HGGBHIIOXQUBNX-UHFFFAOYSA-N -1 1 316.546 1.546 20 0 DDADMM O=C([O-])Cc1csc(NC(=O)c2cccc3c[nH]nc32)n1 ZINC000238141312 539268084 /nfs/dbraw/zinc/26/80/84/539268084.db2.gz RKLSZLUYARUJPL-UHFFFAOYSA-N -1 1 302.315 1.899 20 0 DDADMM CCCCn1nc(C)c(C=CC(=O)Nc2nnn[n-]2)c1Cl ZINC000255070413 282230193 /nfs/dbraw/zinc/23/01/93/282230193.db2.gz ZETQVIFEVCPFFS-WAYWQWQTSA-N -1 1 309.761 1.810 20 0 DDADMM CCCCn1nc(C)c(C=CC(=O)Nc2nn[n-]n2)c1Cl ZINC000255070413 282230195 /nfs/dbraw/zinc/23/01/95/282230195.db2.gz ZETQVIFEVCPFFS-WAYWQWQTSA-N -1 1 309.761 1.810 20 0 DDADMM O=C(NCCCOCCO)c1ccc(C(F)(F)F)cc1[O-] ZINC000181353183 199283966 /nfs/dbraw/zinc/28/39/66/199283966.db2.gz PBPRTNZYUBAMTM-UHFFFAOYSA-N -1 1 307.268 1.540 20 0 DDADMM CC(C)[C@@H](O)C(=O)Nc1nc(-c2ccc3ccccc3n2)n[nH]1 ZINC000615119879 362161656 /nfs/dbraw/zinc/16/16/56/362161656.db2.gz RHSPMYBLFVFNFI-CYBMUJFWSA-N -1 1 311.345 1.975 20 0 DDADMM CC(C)[C@H](O)C(=O)Nc1nc(-c2ccc3ccccc3n2)n[nH]1 ZINC000615119880 362161884 /nfs/dbraw/zinc/16/18/84/362161884.db2.gz RHSPMYBLFVFNFI-ZDUSSCGKSA-N -1 1 311.345 1.975 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1(C(=O)Nc2ccc(F)cc2)CC1 ZINC000615226929 362203915 /nfs/dbraw/zinc/20/39/15/362203915.db2.gz NCDAKIKRYOZRJH-UHFFFAOYSA-N -1 1 318.308 1.920 20 0 DDADMM CCc1ccc(NC(=O)c2ccccc2[O-])cc1S(N)(=O)=O ZINC000155078409 290685236 /nfs/dbraw/zinc/68/52/36/290685236.db2.gz JMRCWBKYTNBJBR-UHFFFAOYSA-N -1 1 320.370 1.854 20 0 DDADMM CCCC(=O)N1CCC[C@H]1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279201964 214699299 /nfs/dbraw/zinc/69/92/99/214699299.db2.gz LDTCOWTTYLDGPX-QXGSTRBFSA-N -1 1 315.377 1.071 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(CC3CCCC3)no2)co1 ZINC000293069011 530024156 /nfs/dbraw/zinc/02/41/56/530024156.db2.gz AVDQLEACYLZCJU-UHFFFAOYSA-N -1 1 311.363 1.970 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(Cc3cnccn3)no2)c1 ZINC000350997784 539420322 /nfs/dbraw/zinc/42/03/22/539420322.db2.gz KGNAIAFINKNIJN-UHFFFAOYSA-N -1 1 311.301 1.781 20 0 DDADMM CCCn1cc(C(=O)N=c2[nH][n-]c(C)c2Br)nn1 ZINC000616006773 362517597 /nfs/dbraw/zinc/51/75/97/362517597.db2.gz LBMMUISDGRYXAI-UHFFFAOYSA-N -1 1 313.159 1.156 20 0 DDADMM C[C@](CO)(CNC(=O)c1cncc([O-])c1)Cc1ccccc1 ZINC000355172210 539456145 /nfs/dbraw/zinc/45/61/45/539456145.db2.gz HAAYHMWXSBAVTF-QGZVFWFLSA-N -1 1 300.358 1.758 20 0 DDADMM COc1ccc([C@H](CO)CNC(=O)c2ncccc2[O-])cc1 ZINC000458200242 232279472 /nfs/dbraw/zinc/27/94/72/232279472.db2.gz ADOZMDWFOPEHFJ-LBPRGKRZSA-N -1 1 302.330 1.302 20 0 DDADMM C[C@@H](CN(C)C)NS(=O)(=O)c1ccc(C(=O)[O-])cc1Cl ZINC000286781381 219162671 /nfs/dbraw/zinc/16/26/71/219162671.db2.gz IXNFEKYIECESIE-QMMMGPOBSA-N -1 1 320.798 1.267 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-][C@H](C(C)(C)C)C(F)(F)F ZINC000564130300 303988328 /nfs/dbraw/zinc/98/83/28/303988328.db2.gz CDTWKZUDAHTXQK-SECBINFHSA-N -1 1 313.345 1.984 20 0 DDADMM CN1CC[C@@H](CNC(=O)c2ncc3ccccc3c2[O-])CC1=O ZINC000616410171 362681025 /nfs/dbraw/zinc/68/10/25/362681025.db2.gz BKMRVYKVKDDCMQ-LLVKDONJSA-N -1 1 313.357 1.539 20 0 DDADMM C[C@H](CS(C)(=O)=O)N(C(=O)c1ccc([O-])cc1F)C1CC1 ZINC000181974659 199369936 /nfs/dbraw/zinc/36/99/36/199369936.db2.gz BBSLSVWVBWVTND-SECBINFHSA-N -1 1 315.366 1.569 20 0 DDADMM Cn1ccnc1[C@@H](NC(=O)c1ncccc1[O-])C(C)(C)CO ZINC000616865279 362851696 /nfs/dbraw/zinc/85/16/96/362851696.db2.gz SCYBSSSEKNSNGJ-GFCCVEGCSA-N -1 1 304.350 1.010 20 0 DDADMM CC(C)n1ncc2c1C[C@@H](Nc1nc3[nH][n-]cc-3c(=O)n1)CC2 ZINC000564773575 304012281 /nfs/dbraw/zinc/01/22/81/304012281.db2.gz ZNOFGCGQDNVWGT-JTQLQIEISA-N -1 1 313.365 1.179 20 0 DDADMM CC(C)(C)n1cc(CN=c2[n-]ncn2Cc2ccccc2)nn1 ZINC000564776665 304012551 /nfs/dbraw/zinc/01/25/51/304012551.db2.gz CHKAESBKIYCQLA-UHFFFAOYSA-N -1 1 311.393 1.707 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CC[C@H]2CCC[C@@H]2C1 ZINC000343494775 282680903 /nfs/dbraw/zinc/68/09/03/282680903.db2.gz NHMUJDVBVZPWRE-JHJVBQTASA-N -1 1 317.389 1.602 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCS[C@H](C)[C@@H]2C)co1 ZINC000152465638 186075772 /nfs/dbraw/zinc/07/57/72/186075772.db2.gz IORUEOLGUZLHHT-DTWKUNHWSA-N -1 1 318.420 1.154 20 0 DDADMM O=C(NCC1CC1)[C@H]1CSCN1C(=O)c1ccc([O-])cc1F ZINC000181930126 186220528 /nfs/dbraw/zinc/22/05/28/186220528.db2.gz XRWOYGPCIXQHLC-CYBMUJFWSA-N -1 1 324.377 1.573 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC(=O)OCC1CC1 ZINC000080614806 192173236 /nfs/dbraw/zinc/17/32/36/192173236.db2.gz JIMSFWFNHAIKSS-UHFFFAOYSA-N -1 1 321.377 1.059 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@H](Cn2ccnn2)C1 ZINC000617255606 363018989 /nfs/dbraw/zinc/01/89/89/363018989.db2.gz HCBDSACKCSGPHK-VIFPVBQESA-N -1 1 308.288 1.424 20 0 DDADMM CSCCO[N-]C(=O)[C@H](c1ccccc1)N1CCC(O)CC1 ZINC000280082299 215362150 /nfs/dbraw/zinc/36/21/50/215362150.db2.gz SKZOXEJPJSKMJN-HNNXBMFYSA-N -1 1 324.446 1.595 20 0 DDADMM O=S(=O)(C[C@@H]1CCCCO1)[N-]c1ccccc1-n1ccnn1 ZINC000172590519 198120534 /nfs/dbraw/zinc/12/05/34/198120534.db2.gz NBFBQWRUCMDTDX-LBPRGKRZSA-N -1 1 322.390 1.578 20 0 DDADMM COc1cc(NC(=O)c2cccnc2)ccc1[N-]S(C)(=O)=O ZINC000029967874 352248128 /nfs/dbraw/zinc/24/81/28/352248128.db2.gz CDOJXWKQYGTUJM-UHFFFAOYSA-N -1 1 321.358 1.714 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1CCCCC1 ZINC000047343469 352491634 /nfs/dbraw/zinc/49/16/34/352491634.db2.gz HJXQBLVUEKORNC-UHFFFAOYSA-N -1 1 312.373 1.695 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H](C(F)F)C1CCCCC1 ZINC000636242906 422742430 /nfs/dbraw/zinc/74/24/30/422742430.db2.gz BWZHRFQWNGGLJO-GFCCVEGCSA-N -1 1 301.341 1.853 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC2(OC)CCC2)o1 ZINC000355737816 290989184 /nfs/dbraw/zinc/98/91/84/290989184.db2.gz ZIENCYPXZKLPOP-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)CCS1 ZINC000174770682 198382304 /nfs/dbraw/zinc/38/23/04/198382304.db2.gz WJNZKCIQYPBMBP-VIFPVBQESA-N -1 1 318.420 1.155 20 0 DDADMM Cc1noc(CN2CCN(C(=O)c3cc(F)ccc3[O-])CC2)n1 ZINC000080022800 353587707 /nfs/dbraw/zinc/58/77/07/353587707.db2.gz ZWYKWYMUAOHQIM-UHFFFAOYSA-N -1 1 320.324 1.181 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(Cc3ccncc3)no2)co1 ZINC000355788904 291007820 /nfs/dbraw/zinc/00/78/20/291007820.db2.gz GGRCBVWKLYTNEY-UHFFFAOYSA-N -1 1 320.330 1.224 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000089307697 353765233 /nfs/dbraw/zinc/76/52/33/353765233.db2.gz AGLDDRXFWHGBSY-NWDGAFQWSA-N -1 1 323.784 1.934 20 0 DDADMM CCn1ccnc(NCCc2n[n-]c(=S)n2C2CC2)c1=O ZINC000091010792 353802439 /nfs/dbraw/zinc/80/24/39/353802439.db2.gz YHCCUUBRWYJYGA-UHFFFAOYSA-N -1 1 306.395 1.507 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC ZINC000091052652 353807885 /nfs/dbraw/zinc/80/78/85/353807885.db2.gz MTPRJVGJIVHRMR-VIFPVBQESA-N -1 1 307.318 1.585 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2cc(C)no2)c(=O)[n-]1 ZINC000150251718 354176145 /nfs/dbraw/zinc/17/61/45/354176145.db2.gz YVDIAQZICPJVBX-UHFFFAOYSA-N -1 1 322.390 1.346 20 0 DDADMM COc1cc(C(=O)N2CC[C@H](O)C(F)(F)C2)cc(Cl)c1[O-] ZINC000617815742 363330120 /nfs/dbraw/zinc/33/01/20/363330120.db2.gz FFFKMHLAMVVWSR-JTQLQIEISA-N -1 1 321.707 1.896 20 0 DDADMM COC(=O)C[C@H](C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000312792806 354478256 /nfs/dbraw/zinc/47/82/56/354478256.db2.gz POUUMOPOABDMCN-LURJTMIESA-N -1 1 311.281 1.334 20 0 DDADMM CCCCNC(=O)NC(=O)CN1CCC(CCC(=O)[O-])CC1 ZINC000315738314 354496642 /nfs/dbraw/zinc/49/66/42/354496642.db2.gz GNJZNCJLIFGOOA-UHFFFAOYSA-N -1 1 313.398 1.189 20 0 DDADMM Cc1ccccc1CN1CCN(c2ncc(C(=O)[O-])cn2)CC1 ZINC000565038883 304038798 /nfs/dbraw/zinc/03/87/98/304038798.db2.gz VKDVRNFOFXWIRA-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCS(=O)(=O)[C@H]2CCC[C@@H]21 ZINC000584823103 354775697 /nfs/dbraw/zinc/77/56/97/354775697.db2.gz BYCCYKRMEPAONY-AAEUAGOBSA-N -1 1 313.350 1.323 20 0 DDADMM O=C(Cc1ccccc1O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000586754277 354857066 /nfs/dbraw/zinc/85/70/66/354857066.db2.gz ACLXWQAUEINCPX-OAHLLOKOSA-N -1 1 309.329 1.354 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3cnc(C)s3)nc2n1 ZINC000588745501 354932816 /nfs/dbraw/zinc/93/28/16/354932816.db2.gz RJXZOOOEGZMWEZ-UHFFFAOYSA-N -1 1 318.362 1.387 20 0 DDADMM Cc1cnc(C(=O)NC2CCN(c3cccnn3)CC2)c([O-])c1 ZINC000332527175 235061267 /nfs/dbraw/zinc/06/12/67/235061267.db2.gz FTPDZGQOSGFIIR-UHFFFAOYSA-N -1 1 313.361 1.284 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)c2c(C)noc2C)n1 ZINC000590355579 355075933 /nfs/dbraw/zinc/07/59/33/355075933.db2.gz WABFWAFGRKEEOF-SSDOTTSWSA-N -1 1 306.322 1.933 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccc3c(c2)oc(=O)n3C)ccn1 ZINC000074932192 191389354 /nfs/dbraw/zinc/38/93/54/191389354.db2.gz DRTKYUIWTJNVJB-UHFFFAOYSA-N -1 1 319.342 1.636 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H](CC(C)C)OC)C(C)(C)C ZINC000591465440 355316968 /nfs/dbraw/zinc/31/69/68/355316968.db2.gz FQPHFUTUQWCONT-NWDGAFQWSA-N -1 1 323.455 1.555 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CC(C)(C)C(=O)OCC)[n-]1 ZINC000593901335 356048003 /nfs/dbraw/zinc/04/80/03/356048003.db2.gz MCCAGFHXKOCSET-UHFFFAOYSA-N -1 1 311.338 1.504 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CC(C)(C)C(=O)OCC)n1 ZINC000593901335 356048004 /nfs/dbraw/zinc/04/80/04/356048004.db2.gz MCCAGFHXKOCSET-UHFFFAOYSA-N -1 1 311.338 1.504 20 0 DDADMM Cc1nn(C)c(C)c1[N-]S(=O)(=O)CCOCC(F)(F)F ZINC000346629053 283137589 /nfs/dbraw/zinc/13/75/89/283137589.db2.gz DJTBBOMPBNKWAH-UHFFFAOYSA-N -1 1 315.317 1.358 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCOCC2CC2)c(F)c1 ZINC000594774694 356314004 /nfs/dbraw/zinc/31/40/04/356314004.db2.gz GVVYFPKKEBLCGA-UHFFFAOYSA-N -1 1 321.345 1.678 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2COC(C)(C)C2)cc1C ZINC000595340542 356455007 /nfs/dbraw/zinc/45/50/07/356455007.db2.gz BQPALMOZJMOAQL-VIFPVBQESA-N -1 1 317.363 1.220 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)[C@@H]1CCC[C@H]1OC)C(C)(C)C ZINC000601347309 358509830 /nfs/dbraw/zinc/50/98/30/358509830.db2.gz FTHQJANDTSDHAM-GMTAPVOTSA-N -1 1 307.412 1.061 20 0 DDADMM CCOC(=O)Cc1nnc([N-]C(=O)c2conc2CC)s1 ZINC000597430434 357173337 /nfs/dbraw/zinc/17/33/37/357173337.db2.gz NQWNEOLKMZXCAO-UHFFFAOYSA-N -1 1 310.335 1.446 20 0 DDADMM COC(=O)C(C)(C)n1cc(NC(=O)c2ccc(O)cc2[O-])cn1 ZINC000598371329 357554596 /nfs/dbraw/zinc/55/45/96/357554596.db2.gz YOCUPJOPJDYVAN-UHFFFAOYSA-N -1 1 319.317 1.455 20 0 DDADMM CCS(=O)(=O)[N-]c1n[nH]c(C)c1-c1ccc2c(c1)OCO2 ZINC000358801754 299261210 /nfs/dbraw/zinc/26/12/10/299261210.db2.gz NMIVFGQSPRMPLS-UHFFFAOYSA-N -1 1 309.347 1.875 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1oc(SC)cc1C ZINC000598823630 357736403 /nfs/dbraw/zinc/73/64/03/357736403.db2.gz HUNQATWPKZQIDJ-UHFFFAOYSA-N -1 1 323.374 1.894 20 0 DDADMM CCCc1nc(=N[C@H](C[C@@H]2CCCOC2)C(=O)OC)s[n-]1 ZINC000599166951 357836916 /nfs/dbraw/zinc/83/69/16/357836916.db2.gz WYTVRMVKZFXCMT-WDEREUQCSA-N -1 1 313.423 1.683 20 0 DDADMM Cc1ccc(-c2cnc(CCC(=O)NCc3nn[n-]n3)o2)cc1 ZINC000599334316 357898036 /nfs/dbraw/zinc/89/80/36/357898036.db2.gz LEUBZDPYCROPBF-UHFFFAOYSA-N -1 1 312.333 1.412 20 0 DDADMM C[C@@H]1c2nncn2CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000276723042 213067970 /nfs/dbraw/zinc/06/79/70/213067970.db2.gz AUILPTQNKSVPOZ-SNVBAGLBSA-N -1 1 312.329 1.667 20 0 DDADMM CCCNC(=O)CCC(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000600050734 358109470 /nfs/dbraw/zinc/10/94/70/358109470.db2.gz YFONSVQTUMKVAN-UHFFFAOYSA-N -1 1 302.338 1.112 20 0 DDADMM CO[N-]C(=O)[C@H]1CC(=O)N(C2CC2)[C@H]1c1cccc(OC)c1 ZINC000180364890 199150237 /nfs/dbraw/zinc/15/02/37/199150237.db2.gz JJISBLAVSGDORI-ZFWWWQNUSA-N -1 1 304.346 1.425 20 0 DDADMM Cc1nc(-c2ccccc2)sc1C(=O)NCc1nn[n-]n1 ZINC000600497162 358240968 /nfs/dbraw/zinc/24/09/68/358240968.db2.gz JOVHCVRNLOFASD-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM O=C(CC1CCC(C(F)(F)F)CC1)NN1CC(=O)[N-]C1=O ZINC000180641989 199189597 /nfs/dbraw/zinc/18/95/97/199189597.db2.gz ATLSTMKHWCIRCP-UHFFFAOYSA-N -1 1 307.272 1.328 20 0 DDADMM Cn1cnc(CNC(=O)c2ccc(C(F)(F)F)cc2[O-])n1 ZINC000180874595 199222164 /nfs/dbraw/zinc/22/21/64/199222164.db2.gz XGQLQIYLCAULSY-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM CS[C@@H](C)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000601375443 358522523 /nfs/dbraw/zinc/52/25/23/358522523.db2.gz LBZHUWRQORTHMB-YFKPBYRVSA-N -1 1 303.331 1.458 20 0 DDADMM COC(=O)[C@@](C)(CCF)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601466414 358566657 /nfs/dbraw/zinc/56/66/57/358566657.db2.gz DYLCIBILSOBAKM-SNVBAGLBSA-N -1 1 310.372 1.021 20 0 DDADMM CCOC(=O)[C@]1(C)CN(C(=O)c2ccc([O-])c(F)c2)CCO1 ZINC000601907860 358736504 /nfs/dbraw/zinc/73/65/04/358736504.db2.gz SZDFILZJNFEFIS-HNNXBMFYSA-N -1 1 311.309 1.326 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cc(F)c(C)cc2F)n1 ZINC000358947216 299288305 /nfs/dbraw/zinc/28/83/05/299288305.db2.gz DCJSRPWAJYPQHI-UHFFFAOYSA-N -1 1 302.306 1.755 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2CC3CCC2CC3)n[n-]1 ZINC000603152748 359439378 /nfs/dbraw/zinc/43/93/78/359439378.db2.gz FLNXTMNWKZXVBQ-HBIQZDMRSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2CC3CCC2CC3)[n-]1 ZINC000603152748 359439380 /nfs/dbraw/zinc/43/93/80/359439380.db2.gz FLNXTMNWKZXVBQ-HBIQZDMRSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2CC3CCC2CC3)n1 ZINC000603152748 359439384 /nfs/dbraw/zinc/43/93/84/359439384.db2.gz FLNXTMNWKZXVBQ-HBIQZDMRSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2CCCC23CC3)n[n-]1 ZINC000603157256 359443916 /nfs/dbraw/zinc/44/39/16/359443916.db2.gz WAPSMCSWJXXSKB-ZJUUUORDSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2CCCC23CC3)[n-]1 ZINC000603157256 359443920 /nfs/dbraw/zinc/44/39/20/359443920.db2.gz WAPSMCSWJXXSKB-ZJUUUORDSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2CCCC23CC3)n1 ZINC000603157256 359443922 /nfs/dbraw/zinc/44/39/22/359443922.db2.gz WAPSMCSWJXXSKB-ZJUUUORDSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(F)cc2C)n[n-]1 ZINC000603157227 359444488 /nfs/dbraw/zinc/44/44/88/359444488.db2.gz VACCZQYVLJOAHC-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(F)cc2C)[n-]1 ZINC000603157227 359444490 /nfs/dbraw/zinc/44/44/90/359444490.db2.gz VACCZQYVLJOAHC-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(F)cc2C)n1 ZINC000603157227 359444496 /nfs/dbraw/zinc/44/44/96/359444496.db2.gz VACCZQYVLJOAHC-SECBINFHSA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCc2cccs2)n[n-]1 ZINC000603163456 359448282 /nfs/dbraw/zinc/44/82/82/359448282.db2.gz IUFSVGDPOSSKPF-SECBINFHSA-N -1 1 322.390 1.853 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCc2cccs2)[n-]1 ZINC000603163456 359448286 /nfs/dbraw/zinc/44/82/86/359448286.db2.gz IUFSVGDPOSSKPF-SECBINFHSA-N -1 1 322.390 1.853 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCc2cccs2)n1 ZINC000603163456 359448288 /nfs/dbraw/zinc/44/82/88/359448288.db2.gz IUFSVGDPOSSKPF-SECBINFHSA-N -1 1 322.390 1.853 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2CCSCC2)n[n-]1 ZINC000603167434 359450621 /nfs/dbraw/zinc/45/06/21/359450621.db2.gz XEHZCZTZJHBQHK-MRVPVSSYSA-N -1 1 312.395 1.302 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2CCSCC2)[n-]1 ZINC000603167434 359450628 /nfs/dbraw/zinc/45/06/28/359450628.db2.gz XEHZCZTZJHBQHK-MRVPVSSYSA-N -1 1 312.395 1.302 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2CCSCC2)n1 ZINC000603167434 359450632 /nfs/dbraw/zinc/45/06/32/359450632.db2.gz XEHZCZTZJHBQHK-MRVPVSSYSA-N -1 1 312.395 1.302 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cccc(C)c2)n[n-]1 ZINC000603167110 359451250 /nfs/dbraw/zinc/45/12/50/359451250.db2.gz VCUPAKIQEBOAMN-JTQLQIEISA-N -1 1 302.334 1.781 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cccc(C)c2)[n-]1 ZINC000603167110 359451252 /nfs/dbraw/zinc/45/12/52/359451252.db2.gz VCUPAKIQEBOAMN-JTQLQIEISA-N -1 1 302.334 1.781 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cccc(C)c2)n1 ZINC000603167110 359451254 /nfs/dbraw/zinc/45/12/54/359451254.db2.gz VCUPAKIQEBOAMN-JTQLQIEISA-N -1 1 302.334 1.781 20 0 DDADMM CCOc1cc(C(=O)N(C)CCC(=O)NC)cc(Cl)c1[O-] ZINC000186775171 200008354 /nfs/dbraw/zinc/00/83/54/200008354.db2.gz YLNVTPCTKKDJNM-UHFFFAOYSA-N -1 1 314.769 1.652 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]S(=O)(=O)C[C@H]1C(C)(C)C1(F)F ZINC000603371383 359599005 /nfs/dbraw/zinc/59/90/05/359599005.db2.gz ORODJWKLQYYYPW-SFYZADRCSA-N -1 1 317.329 1.098 20 0 DDADMM COC(=O)C1(CS(=O)(=O)[N-][C@@H](C)C(F)F)CCCCC1 ZINC000603424930 359632857 /nfs/dbraw/zinc/63/28/57/359632857.db2.gz UJBBTGRLGIUAQT-VIFPVBQESA-N -1 1 313.366 1.683 20 0 DDADMM O=C(NCCCOC1CCOCC1)C(=O)c1ccc([O-])cc1 ZINC000281020582 216027176 /nfs/dbraw/zinc/02/71/76/216027176.db2.gz UCKMFPKPJAADPF-UHFFFAOYSA-N -1 1 307.346 1.277 20 0 DDADMM CCO[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccc1 ZINC000187330847 200096441 /nfs/dbraw/zinc/09/64/41/200096441.db2.gz BCAZSISHRQEPDE-WCQYABFASA-N -1 1 303.366 1.539 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1COc2ccccc2C1)c1nn[n-]n1 ZINC000187344761 200099308 /nfs/dbraw/zinc/09/93/08/200099308.db2.gz LEQRUIIRHFVFIT-CMPLNLGQSA-N -1 1 301.350 1.013 20 0 DDADMM Cc1cc(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)c(C)[nH]1 ZINC000603910646 359701761 /nfs/dbraw/zinc/70/17/61/359701761.db2.gz HLRVRVOIUQUHPN-UHFFFAOYSA-N -1 1 313.361 1.927 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc(Br)cc2[O-])[C@@H]1CO ZINC000189395853 200394429 /nfs/dbraw/zinc/39/44/29/200394429.db2.gz DGLPLKTWNSJLJA-GZMMTYOYSA-N -1 1 314.179 1.998 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(=O)OC)c(F)c1)c1nn[n-]n1 ZINC000610886215 360563175 /nfs/dbraw/zinc/56/31/75/360563175.db2.gz SZYQOCRLYSUWLF-NSHDSACASA-N -1 1 321.312 1.397 20 0 DDADMM CCC[C@H](NC(=O)CC1CCN(OCC)CC1)c1nn[n-]n1 ZINC000612059615 360904123 /nfs/dbraw/zinc/90/41/23/360904123.db2.gz CFJIIYFKLMIQTO-LBPRGKRZSA-N -1 1 310.402 1.211 20 0 DDADMM C[C@H]1SCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@@H]1C ZINC000282993115 291257798 /nfs/dbraw/zinc/25/77/98/291257798.db2.gz HVUPZVBYNXHOLK-RKDXNWHRSA-N -1 1 308.432 1.984 20 0 DDADMM Cc1cc(NC(=O)NN2CC(=O)[N-]C2=O)ccc1C(F)(F)F ZINC000193611812 201085254 /nfs/dbraw/zinc/08/52/54/201085254.db2.gz WKHCEWUHOMOTNP-UHFFFAOYSA-N -1 1 316.239 1.602 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncnc2sccc21)c1nn[n-]n1 ZINC000613471973 361433117 /nfs/dbraw/zinc/43/31/17/361433117.db2.gz IFGZMHKOEFLMOB-SSDOTTSWSA-N -1 1 303.351 1.080 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1Cc2ccc(C)cc2C1 ZINC000565998963 304109082 /nfs/dbraw/zinc/10/90/82/304109082.db2.gz SJXAHLGZEZVXAE-UHFFFAOYSA-N -1 1 301.302 1.361 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H](CO)CC1CC1 ZINC000619042547 363867934 /nfs/dbraw/zinc/86/79/34/363867934.db2.gz VHRGJVCUFIQGCH-GFCCVEGCSA-N -1 1 321.421 1.927 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H]2C[C@H]2C1 ZINC000619356823 363986487 /nfs/dbraw/zinc/98/64/87/363986487.db2.gz QZMWVCDFLIDZOL-RYUDHWBXSA-N -1 1 300.362 1.463 20 0 DDADMM O=C(NCCN1C[C@H]2CCCCN2C1=O)c1ccc([O-])c(F)c1 ZINC000620103585 364285737 /nfs/dbraw/zinc/28/57/37/364285737.db2.gz NYQLOPSUUJOZOR-GFCCVEGCSA-N -1 1 321.352 1.551 20 0 DDADMM O=C(NCCN1C[C@@H]2CCCCN2C1=O)c1cc(F)ccc1[O-] ZINC000620103969 364285804 /nfs/dbraw/zinc/28/58/04/364285804.db2.gz VCJWAKZJMDCKCN-LBPRGKRZSA-N -1 1 321.352 1.551 20 0 DDADMM C[C@H](O)[C@@H](NC(=O)c1csc(=NC2CC2)[n-]1)c1ccccc1 ZINC000620991011 364640026 /nfs/dbraw/zinc/64/00/26/364640026.db2.gz HRNWJUSTQYVIHI-IINYFYTJSA-N -1 1 317.414 1.991 20 0 DDADMM O=C([O-])C1(CNC(=O)[C@@H]2CCCN2Cc2ccccc2)CC1 ZINC000621572662 364920062 /nfs/dbraw/zinc/92/00/62/364920062.db2.gz UVIBGLRQOWFYJH-AWEZNQCLSA-N -1 1 302.374 1.632 20 0 DDADMM C[C@@H]1COCC[N@H+]1C1CCN(C(=O)c2c(O)cccc2O)CC1 ZINC000622059828 365218225 /nfs/dbraw/zinc/21/82/25/365218225.db2.gz DRSYDHHGWSYKNL-GFCCVEGCSA-N -1 1 320.389 1.423 20 0 DDADMM C[C@@H]1COCCN1C1CCN(C(=O)c2c(O)cccc2O)CC1 ZINC000622059828 365218230 /nfs/dbraw/zinc/21/82/30/365218230.db2.gz DRSYDHHGWSYKNL-GFCCVEGCSA-N -1 1 320.389 1.423 20 0 DDADMM COC1(C(F)(F)F)CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000622720396 365509358 /nfs/dbraw/zinc/50/93/58/365509358.db2.gz XURKAATVRYXPIK-UHFFFAOYSA-N -1 1 304.268 1.971 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1COc2cc(F)ccc2C1)c1nn[n-]n1 ZINC000626024652 367280668 /nfs/dbraw/zinc/28/06/68/367280668.db2.gz RAGBELMQBPUDLP-PWSUYJOCSA-N -1 1 319.340 1.548 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)[C@@H](C)C1CCCCC1 ZINC000349509018 283946238 /nfs/dbraw/zinc/94/62/38/283946238.db2.gz YKXPJKFAQHGFRV-VIFPVBQESA-N -1 1 301.412 1.985 20 0 DDADMM CC1(C)CN(C(=O)CNC(=O)c2ncccc2[O-])[C@@H]1C1CC1 ZINC000359267203 299386516 /nfs/dbraw/zinc/38/65/16/299386516.db2.gz HJYFRUJALOKXOO-CQSZACIVSA-N -1 1 303.362 1.164 20 0 DDADMM Cn1cnc(C[N-]S(=O)(=O)c2c(Cl)cccc2Cl)n1 ZINC000092265725 193182677 /nfs/dbraw/zinc/18/26/77/193182677.db2.gz OUJMHLLWRDPMDH-UHFFFAOYSA-N -1 1 321.189 1.600 20 0 DDADMM C[C@@H](C(=O)Nc1nccs1)N1C[C@@H]2CCC[C@@]2(C(=O)[O-])C1 ZINC000262119860 203204955 /nfs/dbraw/zinc/20/49/55/203204955.db2.gz JMPLHTKBIDMNCN-PKFCDNJMSA-N -1 1 309.391 1.657 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)Nc1cccc(NC(C)=O)c1)C(=O)[O-] ZINC000262265868 203245958 /nfs/dbraw/zinc/24/59/58/203245958.db2.gz HGJPPZMJZFVIQB-IINYFYTJSA-N -1 1 321.377 1.815 20 0 DDADMM CN(CCc1nccs1)CC(=O)[N-]OCc1ccccc1 ZINC000093208320 193216701 /nfs/dbraw/zinc/21/67/01/193216701.db2.gz BELXDNORMZRDMJ-UHFFFAOYSA-N -1 1 305.403 1.865 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cccc(OC(C)(C)C)n2)n[n-]1 ZINC000350440272 284197076 /nfs/dbraw/zinc/19/70/76/284197076.db2.gz RIKLLLIAKZMHKB-UHFFFAOYSA-N -1 1 324.406 1.913 20 0 DDADMM CCc1ccccc1NC(=O)CN1CC[C@@](COC)(C(=O)[O-])C1 ZINC000635019380 422773342 /nfs/dbraw/zinc/77/33/42/422773342.db2.gz VOYANTXSKWUPLT-QGZVFWFLSA-N -1 1 320.389 1.611 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(OCCO)c(C)c2)cn1 ZINC000350804677 284262972 /nfs/dbraw/zinc/26/29/72/284262972.db2.gz ZITYDOHAPDHPSV-UHFFFAOYSA-N -1 1 322.386 1.870 20 0 DDADMM CSCC[C@@H](NC(N)=O)C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000264927006 204277138 /nfs/dbraw/zinc/27/71/38/204277138.db2.gz MCNXFNMXWDZNNE-SECBINFHSA-N -1 1 317.798 1.774 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)C2CCCC2)o1 ZINC000264994107 204329766 /nfs/dbraw/zinc/32/97/66/204329766.db2.gz CGRCNOGVEOJYCN-SECBINFHSA-N -1 1 301.364 1.923 20 0 DDADMM CC(=O)NCCCNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000282513040 217052838 /nfs/dbraw/zinc/05/28/38/217052838.db2.gz SHSNGRSHGLCCRO-UHFFFAOYSA-N -1 1 321.764 1.850 20 0 DDADMM O=S(=O)([N-][C@]1(C(F)(F)F)CCOC1)c1ccsc1 ZINC000351168389 284306655 /nfs/dbraw/zinc/30/66/55/284306655.db2.gz MYUCUKUCNABHCP-MRVPVSSYSA-N -1 1 301.311 1.748 20 0 DDADMM COC(=O)[C@H]1CC[C@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000351352586 284320766 /nfs/dbraw/zinc/32/07/66/284320766.db2.gz NGQJFJJLMVEODO-NEPJUHHUSA-N -1 1 315.329 1.920 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)N1CCC[C@@H]1C)c1cccs1 ZINC000351471896 284328643 /nfs/dbraw/zinc/32/86/43/284328643.db2.gz MUFUZZFUVXNLLN-GXSJLCMTSA-N -1 1 318.420 1.281 20 0 DDADMM O=C(Nc1cc([C@@H]2CCCOC2)[nH]n1)C(=O)c1ccc([O-])cc1 ZINC000288286286 220063740 /nfs/dbraw/zinc/06/37/40/220063740.db2.gz QRTFFLPRXZSLAQ-LLVKDONJSA-N -1 1 315.329 1.831 20 0 DDADMM CCc1ccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)cc1 ZINC000282921184 217325253 /nfs/dbraw/zinc/32/52/53/217325253.db2.gz NTHNJURJRKLASK-ZDUSSCGKSA-N -1 1 300.362 1.680 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCNC(=O)[C@@H]1CC1CCCCC1 ZINC000333777596 249102184 /nfs/dbraw/zinc/10/21/84/249102184.db2.gz SYHNYZIUPQNRCW-HNNXBMFYSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)[C@H]1CCOC1 ZINC000334004145 249175532 /nfs/dbraw/zinc/17/55/32/249175532.db2.gz ZJJSPLULKVTCLR-ONGXEEELSA-N -1 1 307.394 1.943 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccn(C2CCCC2)n1 ZINC000337145496 249364243 /nfs/dbraw/zinc/36/42/43/249364243.db2.gz HRBJWVLXDRRVOY-UHFFFAOYSA-N -1 1 316.365 1.064 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(F)cc1Cl ZINC000337164407 249372241 /nfs/dbraw/zinc/37/22/41/249372241.db2.gz WUGMXZBLZMZHDJ-UHFFFAOYSA-N -1 1 310.716 1.544 20 0 DDADMM O=C([O-])[C@]1(NC(=O)c2ccc(O)c(Cl)c2)CCSC1 ZINC000043503709 183368045 /nfs/dbraw/zinc/36/80/45/183368045.db2.gz CRQPFSXGOVOSRF-LBPRGKRZSA-N -1 1 301.751 1.736 20 0 DDADMM CC1(C)[C@H](O)C[C@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284485612 218047944 /nfs/dbraw/zinc/04/79/44/218047944.db2.gz ZHQPYLSBOHVHMO-GHMZBOCLSA-N -1 1 307.774 1.917 20 0 DDADMM CC[C@@H](c1ccncc1)N(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000352056332 284743948 /nfs/dbraw/zinc/74/39/48/284743948.db2.gz BOQMFQNFRVYSJJ-JTQLQIEISA-N -1 1 318.333 1.515 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)c3cnc(OCC4CC4)cn3)ccnc1-2 ZINC000284870229 218214884 /nfs/dbraw/zinc/21/48/84/218214884.db2.gz KMEUYEDQJOFASN-MTJSOVHGSA-N -1 1 324.344 1.173 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1sccc1F)N1CCOCC1 ZINC000338849605 250161906 /nfs/dbraw/zinc/16/19/06/250161906.db2.gz GUXVQWWEVNTJSH-UHFFFAOYSA-N -1 1 322.427 1.276 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCn2ncnc21)c1sccc1F ZINC000338927831 250200822 /nfs/dbraw/zinc/20/08/22/250200822.db2.gz ZMNLSBPUIKXSQU-MRVPVSSYSA-N -1 1 302.356 1.292 20 0 DDADMM Cc1[nH]ncc1CNC(=O)N=c1[n-]nc(-c2ccccc2)s1 ZINC000339066118 250277973 /nfs/dbraw/zinc/27/79/73/250277973.db2.gz BRMUAGJUDFNIQD-UHFFFAOYSA-N -1 1 314.374 1.980 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCOC2CCOCC2)[n-]1 ZINC000339174398 250328270 /nfs/dbraw/zinc/32/82/70/250328270.db2.gz VQEJXXWZKHDQJJ-UHFFFAOYSA-N -1 1 311.338 1.111 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCOC2CCOCC2)n1 ZINC000339174398 250328278 /nfs/dbraw/zinc/32/82/78/250328278.db2.gz VQEJXXWZKHDQJJ-UHFFFAOYSA-N -1 1 311.338 1.111 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CSCc2ccccn2)n1 ZINC000339163392 250322654 /nfs/dbraw/zinc/32/26/54/250322654.db2.gz DHELSGTVMMWRDE-UHFFFAOYSA-N -1 1 320.374 1.853 20 0 DDADMM CCc1cnccc1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000352105145 284785774 /nfs/dbraw/zinc/78/57/74/284785774.db2.gz FSXAVUFZYBECNR-UHFFFAOYSA-N -1 1 308.341 1.811 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1ccc(N(C)C)c(C)c1 ZINC000285216223 218336978 /nfs/dbraw/zinc/33/69/78/218336978.db2.gz XNQKOYNCEJXTGF-UHFFFAOYSA-N -1 1 308.407 1.904 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC[C@@H](F)C2)o1 ZINC000567473146 304222012 /nfs/dbraw/zinc/22/20/12/304222012.db2.gz AJUMIRIDVTYVTI-BDAKNGLRSA-N -1 1 304.343 1.198 20 0 DDADMM O=C(N[C@H](Cc1ccccc1)[C@H](O)C(F)F)c1cncc([O-])c1 ZINC000339958581 250774943 /nfs/dbraw/zinc/77/49/43/250774943.db2.gz IFZNWGFOZPBZMQ-KGLIPLIRSA-N -1 1 322.311 1.754 20 0 DDADMM Cc1ccc2snc(C(=O)NC(C)(C)c3nn[n-]n3)c2c1 ZINC000340733587 251183294 /nfs/dbraw/zinc/18/32/94/251183294.db2.gz GTOCLXFFWXKFDP-UHFFFAOYSA-N -1 1 302.363 1.783 20 0 DDADMM Cc1oc(C(=O)[O-])cc1S(=O)(=O)N1CCC[C@H]1CN(C)C ZINC000061643591 184180574 /nfs/dbraw/zinc/18/05/74/184180574.db2.gz PSEFCXQCHHJDCL-JTQLQIEISA-N -1 1 316.379 1.001 20 0 DDADMM Cc1ccc(CNC(=O)CS(=O)(=O)c2ccc([O-])cc2)cc1 ZINC000063927420 184290589 /nfs/dbraw/zinc/29/05/89/184290589.db2.gz MTBSNJILCQOOAX-UHFFFAOYSA-N -1 1 319.382 1.791 20 0 DDADMM CC(C)Cc1ccc([C@H](C)C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000159069963 197324939 /nfs/dbraw/zinc/32/49/39/197324939.db2.gz SWPJSGPAYMOAMQ-NSHDSACASA-N -1 1 303.362 1.572 20 0 DDADMM O=c1nc(CN2CCC[C@H](n3ccc(C(F)(F)F)n3)C2)[nH][n-]1 ZINC000272881134 210271565 /nfs/dbraw/zinc/27/15/65/210271565.db2.gz CLCLVUIYTFOSAB-QMMMGPOBSA-N -1 1 316.287 1.150 20 0 DDADMM CC(C)S(=O)(=O)CCC[N@@H+](CC(=O)[O-])Cc1ccccc1 ZINC000568067306 304266266 /nfs/dbraw/zinc/26/62/66/304266266.db2.gz RINIGFCHSIYEEQ-UHFFFAOYSA-N -1 1 313.419 1.787 20 0 DDADMM CC(C)S(=O)(=O)CCCN(CC(=O)[O-])Cc1ccccc1 ZINC000568067306 304266267 /nfs/dbraw/zinc/26/62/67/304266267.db2.gz RINIGFCHSIYEEQ-UHFFFAOYSA-N -1 1 313.419 1.787 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1CCCS(=O)(=O)c1ccccc1 ZINC000568065047 304266386 /nfs/dbraw/zinc/26/63/86/304266386.db2.gz ZBSRGFYAFCVTMO-ZDUSSCGKSA-N -1 1 311.403 1.790 20 0 DDADMM CCNc1cc(C)ccc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000360077333 299589023 /nfs/dbraw/zinc/58/90/23/299589023.db2.gz BETLMSGZTANHHW-UHFFFAOYSA-N -1 1 312.333 1.410 20 0 DDADMM CO[C@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)CC(C)C ZINC000417140565 533191048 /nfs/dbraw/zinc/19/10/48/533191048.db2.gz PTWPHIWLPBIBGY-NSHDSACASA-N -1 1 303.362 1.714 20 0 DDADMM Cc1noc(CCCNC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC000295032578 224391776 /nfs/dbraw/zinc/39/17/76/224391776.db2.gz INUJJUGITACWER-UHFFFAOYSA-N -1 1 318.362 1.072 20 0 DDADMM CO[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000292988537 533355570 /nfs/dbraw/zinc/35/55/70/533355570.db2.gz FWQCMHDGOPHIFU-BQBZGAKWSA-N -1 1 315.317 1.141 20 0 DDADMM COc1ccc(C)cc1N1C[C@@H](C(=O)[N-]OC(C)C)CC1=O ZINC000295736596 533390285 /nfs/dbraw/zinc/39/02/85/533390285.db2.gz SEHVWIGOGSGQCT-LBPRGKRZSA-N -1 1 306.362 1.813 20 0 DDADMM COC(=O)CSCCC(=O)Nc1ccc([O-])c(F)c1F ZINC000456779948 533577176 /nfs/dbraw/zinc/57/71/76/533577176.db2.gz ATSLPYFGBTVGIC-UHFFFAOYSA-N -1 1 305.302 1.905 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1[C@@H]2CCC[C@@H]21 ZINC000568346677 304286611 /nfs/dbraw/zinc/28/66/11/304286611.db2.gz LXMHXVUBJIBDCM-CULMDFNYSA-N -1 1 300.362 1.509 20 0 DDADMM CN(Cc1c(F)cccc1Cl)C(=O)CCCc1nn[n-]n1 ZINC000635106322 422814008 /nfs/dbraw/zinc/81/40/08/422814008.db2.gz KLNDXXYFNRSDLH-UHFFFAOYSA-N -1 1 311.748 1.974 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCSc2ccccc21 ZINC000635122903 422823986 /nfs/dbraw/zinc/82/39/86/422823986.db2.gz SJRSUUZOUHQWEL-LLVKDONJSA-N -1 1 303.391 1.876 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3C[C@H]4OCCC[C@@H]34)cnc2n1 ZINC000249198675 292305516 /nfs/dbraw/zinc/30/55/16/292305516.db2.gz QNROFIMGCUBHEF-ZLKJLUDKSA-N -1 1 313.357 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1C[C@H]3OCCC[C@@H]13)c2=O ZINC000249198675 292305517 /nfs/dbraw/zinc/30/55/17/292305517.db2.gz QNROFIMGCUBHEF-ZLKJLUDKSA-N -1 1 313.357 1.941 20 0 DDADMM COC(=O)CCCCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000008500548 406787350 /nfs/dbraw/zinc/78/73/50/406787350.db2.gz ZLRSQIGZZJAEDH-UHFFFAOYSA-N -1 1 321.345 1.977 20 0 DDADMM CC(C)NC(=O)CNC(=O)c1cc(Br)ccc1[O-] ZINC000028813821 406920024 /nfs/dbraw/zinc/92/00/24/406920024.db2.gz XERMKYWSUVQCEH-UHFFFAOYSA-N -1 1 315.167 1.409 20 0 DDADMM COc1cc(NC(=O)[C@H](C)SC)ccc1[N-]S(C)(=O)=O ZINC000032652357 406951388 /nfs/dbraw/zinc/95/13/88/406951388.db2.gz QCALRYULZJHFST-QMMMGPOBSA-N -1 1 318.420 1.757 20 0 DDADMM CN(C)C(=O)c1cc([N-]S(=O)(=O)c2ccc(F)cc2)ccn1 ZINC000077888478 407014477 /nfs/dbraw/zinc/01/44/77/407014477.db2.gz GNPCOORMDYHHIW-UHFFFAOYSA-N -1 1 323.349 1.723 20 0 DDADMM O=C(c1ccc([O-])c(Cl)c1)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000085635402 407103292 /nfs/dbraw/zinc/10/32/92/407103292.db2.gz VSRCQSFBPVVXOV-LBPRGKRZSA-N -1 1 324.808 1.840 20 0 DDADMM CCc1nc(S(=O)(=O)[C@@H](C)c2nc(C(C)(C)C)no2)n[n-]1 ZINC000086170337 407108583 /nfs/dbraw/zinc/10/85/83/407108583.db2.gz WDZGUXLRCXRXSO-ZETCQYMHSA-N -1 1 313.383 1.583 20 0 DDADMM NC(=O)[C@@H]1CCN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000053892161 407175036 /nfs/dbraw/zinc/17/50/36/407175036.db2.gz PHWRWCVGSNMWPQ-SSDOTTSWSA-N -1 1 313.151 1.102 20 0 DDADMM COc1ncccc1C(=O)NCc1n[n-]c(=S)n1C(C)C ZINC000066640880 407256109 /nfs/dbraw/zinc/25/61/09/407256109.db2.gz SZKXMQLYSCYCHK-UHFFFAOYSA-N -1 1 307.379 1.855 20 0 DDADMM C[C@H]1C[N@@H+](C2CCN(C(=O)c3ccc(F)cc3O)CC2)CCO1 ZINC000123453737 407328962 /nfs/dbraw/zinc/32/89/62/407328962.db2.gz KIJVRTLJWXGHAM-LBPRGKRZSA-N -1 1 322.380 1.857 20 0 DDADMM CNC(=O)c1ccc(CS(=O)(=O)c2ccc([O-])cc2)cc1 ZINC000066979091 407265978 /nfs/dbraw/zinc/26/59/78/407265978.db2.gz SUOMLZRXLGIMIR-UHFFFAOYSA-N -1 1 305.355 1.726 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2nc3ccccc3c(=O)[n-]2)[nH]n1 ZINC000067804175 407285318 /nfs/dbraw/zinc/28/53/18/407285318.db2.gz PICKHHUOMCPUIF-SECBINFHSA-N -1 1 311.345 1.316 20 0 DDADMM O=C(NCCO)c1ccc(S(=O)(=O)[N-]c2ccccc2)cc1 ZINC000098067149 407304844 /nfs/dbraw/zinc/30/48/44/407304844.db2.gz PBWKNFFPUAZQBN-UHFFFAOYSA-N -1 1 320.370 1.210 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCCC[C@@H]1C ZINC000103938553 407344491 /nfs/dbraw/zinc/34/44/91/407344491.db2.gz BDWCJVOCGOGCME-HZMBPMFUSA-N -1 1 316.405 1.877 20 0 DDADMM Cn1nncc1CN1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000124029190 407346388 /nfs/dbraw/zinc/34/63/88/407346388.db2.gz DCTWNZYKDGGQMK-UHFFFAOYSA-N -1 1 300.362 1.616 20 0 DDADMM C[C@@H]1CCC[N@@H+](CCNC(=O)c2nc3ccccc3c(=O)[nH]2)C1 ZINC000107324122 407372876 /nfs/dbraw/zinc/37/28/76/407372876.db2.gz NFYVZTZHSRUONI-GFCCVEGCSA-N -1 1 314.389 1.385 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCC[C@@H](C)C2)c1 ZINC000151783821 407497995 /nfs/dbraw/zinc/49/79/95/407497995.db2.gz DABXJIBOUAQRAO-KOLCDFICSA-N -1 1 301.364 1.923 20 0 DDADMM CCc1ccc(S(=O)(=O)Nc2cnn(C)c2C)cc1C(=O)[O-] ZINC000178619579 407513475 /nfs/dbraw/zinc/51/34/75/407513475.db2.gz WRSNYPAYIWPJAF-UHFFFAOYSA-N -1 1 323.374 1.790 20 0 DDADMM CCOc1cc(C(=O)NCC(=O)NC2CC2)cc(Cl)c1[O-] ZINC000171100449 407598211 /nfs/dbraw/zinc/59/82/11/407598211.db2.gz FZGIBZXRYALDJB-UHFFFAOYSA-N -1 1 312.753 1.453 20 0 DDADMM CCOc1ccc(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000129342913 407604673 /nfs/dbraw/zinc/60/46/73/407604673.db2.gz UMIMBPADOBEYTM-ZDUSSCGKSA-N -1 1 315.377 1.547 20 0 DDADMM O=C([C@@H]1CSc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129400034 407606922 /nfs/dbraw/zinc/60/69/22/407606922.db2.gz UGUKUHVCDPTWAT-CMPLNLGQSA-N -1 1 315.402 1.795 20 0 DDADMM COC(=O)C1(O)CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000271300105 407622992 /nfs/dbraw/zinc/62/29/92/407622992.db2.gz UCENWMIHCDZPPU-UHFFFAOYSA-N -1 1 313.737 1.186 20 0 DDADMM O=C([N-]Cc1cccc(CN2CCCC2=O)c1)C(F)(F)F ZINC000171252221 407640218 /nfs/dbraw/zinc/64/02/18/407640218.db2.gz XELAWXPNEIGJDQ-UHFFFAOYSA-N -1 1 300.280 1.988 20 0 DDADMM CC(C)CC(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000171278022 407645569 /nfs/dbraw/zinc/64/55/69/407645569.db2.gz GONJSMOSLIYZGT-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)c2cccc(O)c2)o1 ZINC000171387697 407676829 /nfs/dbraw/zinc/67/68/29/407676829.db2.gz KFKKVMUAOXHBNW-SECBINFHSA-N -1 1 324.358 1.384 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)C[C@@H]1CCCCO1 ZINC000267016187 407717628 /nfs/dbraw/zinc/71/76/28/407717628.db2.gz OJDXJOPPISWWGL-JTQLQIEISA-N -1 1 311.407 1.854 20 0 DDADMM CCc1ccc(S(=O)(=O)NCCN(C)CC)cc1C(=O)[O-] ZINC000152967927 407724304 /nfs/dbraw/zinc/72/43/04/407724304.db2.gz VVWHKFLMJUNQHH-UHFFFAOYSA-N -1 1 314.407 1.177 20 0 DDADMM Cc1ccc(NC(=O)[C@@H](C)S(=O)(=O)c2ncn[n-]2)cc1F ZINC000153041237 407740843 /nfs/dbraw/zinc/74/08/43/407740843.db2.gz IAMOTDIXACBXCE-MRVPVSSYSA-N -1 1 312.326 1.053 20 0 DDADMM Cc1ccc(NC(=O)[C@@H](C)S(=O)(=O)c2nc[n-]n2)cc1F ZINC000153041237 407740850 /nfs/dbraw/zinc/74/08/50/407740850.db2.gz IAMOTDIXACBXCE-MRVPVSSYSA-N -1 1 312.326 1.053 20 0 DDADMM CC(C)COCCCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179397098 407782541 /nfs/dbraw/zinc/78/25/41/407782541.db2.gz LTMKQXPQESFXQK-UHFFFAOYSA-N -1 1 306.366 1.466 20 0 DDADMM COc1cc(NC(=O)CN(C)CCCC(=O)[O-])cc(OC)c1 ZINC000262296067 407786819 /nfs/dbraw/zinc/78/68/19/407786819.db2.gz YOICMZHHSHYOFA-UHFFFAOYSA-N -1 1 310.350 1.439 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NOC1CCCC1 ZINC000272155970 407798048 /nfs/dbraw/zinc/79/80/48/407798048.db2.gz YFHLGZYRPJKIOU-UHFFFAOYSA-N -1 1 318.377 1.975 20 0 DDADMM CN1C[C@@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)CCC1=O ZINC000132750112 407801757 /nfs/dbraw/zinc/80/17/57/407801757.db2.gz WDFQRPLDXJKOID-QMMMGPOBSA-N -1 1 320.773 1.378 20 0 DDADMM CS[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccc1 ZINC000187257756 407836827 /nfs/dbraw/zinc/83/68/27/407836827.db2.gz YOEPNACXEISXSP-JQWIXIFHSA-N -1 1 305.407 1.866 20 0 DDADMM CC[C@@H]1c2ccsc2CCN1C(=O)CN(C)CCC(=O)[O-] ZINC000262652906 407890629 /nfs/dbraw/zinc/89/06/29/407890629.db2.gz LQOWBEGEKOXMCJ-GFCCVEGCSA-N -1 1 310.419 1.990 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2(C)CCCCC2)o1 ZINC000268159376 407919860 /nfs/dbraw/zinc/91/98/60/407919860.db2.gz OYEJVQYLZDHJLN-UHFFFAOYSA-N -1 1 314.407 1.888 20 0 DDADMM N#CCCn1cc(C=CC(=O)Nc2nnn[n-]2)c2ccccc21 ZINC000118685553 407935020 /nfs/dbraw/zinc/93/50/20/407935020.db2.gz AVLBQBOSSOMDAM-VOTSOKGWSA-N -1 1 307.317 1.720 20 0 DDADMM N#CCCn1cc(C=CC(=O)Nc2nn[n-]n2)c2ccccc21 ZINC000118685553 407935021 /nfs/dbraw/zinc/93/50/21/407935021.db2.gz AVLBQBOSSOMDAM-VOTSOKGWSA-N -1 1 307.317 1.720 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H]([C@@H](C)O)C3)cnc2n1 ZINC000180989301 407943165 /nfs/dbraw/zinc/94/31/65/407943165.db2.gz BVUAPVJMCQRGLL-MNOVXSKESA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H]([C@@H](C)O)C3)c[n-]c2n1 ZINC000180989301 407943170 /nfs/dbraw/zinc/94/31/70/407943170.db2.gz BVUAPVJMCQRGLL-MNOVXSKESA-N -1 1 301.346 1.487 20 0 DDADMM Cc1c([C@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)cnn1C ZINC000181775906 408037113 /nfs/dbraw/zinc/03/71/13/408037113.db2.gz VWXCZZIMQTYQRQ-QMMMGPOBSA-N -1 1 314.349 1.207 20 0 DDADMM O=S(=O)([N-]c1cc(C2CC2)n[nH]1)c1cccc2c1OCCO2 ZINC000268451025 408050895 /nfs/dbraw/zinc/05/08/95/408050895.db2.gz AONOXBRFYQXJMM-UHFFFAOYSA-N -1 1 321.358 1.859 20 0 DDADMM C[C@@H]1CN(C(=O)Cc2n[nH]c3ccccc32)CC[C@H]1C(=O)[O-] ZINC000262965072 407988575 /nfs/dbraw/zinc/98/85/75/407988575.db2.gz MHKONNLTNPKVBG-GHMZBOCLSA-N -1 1 301.346 1.675 20 0 DDADMM O=C(CCOc1ccc(Cl)c(Cl)c1)Nc1nnn[n-]1 ZINC000135472660 408018099 /nfs/dbraw/zinc/01/80/99/408018099.db2.gz MAHUAEMGMJWVBF-UHFFFAOYSA-N -1 1 302.121 1.914 20 0 DDADMM O=C(CCOc1ccc(Cl)c(Cl)c1)Nc1nn[n-]n1 ZINC000135472660 408018108 /nfs/dbraw/zinc/01/81/08/408018108.db2.gz MAHUAEMGMJWVBF-UHFFFAOYSA-N -1 1 302.121 1.914 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(Cl)ccc1-n1cccn1 ZINC000154342174 408020082 /nfs/dbraw/zinc/02/00/82/408020082.db2.gz HAUUAZADGUSFPJ-UHFFFAOYSA-N -1 1 315.782 1.914 20 0 DDADMM CS(=O)(=O)C1CCC(NC(=O)c2cc(F)ccc2[O-])CC1 ZINC000175250892 408086384 /nfs/dbraw/zinc/08/63/84/408086384.db2.gz PYHQHXSRIBLXGW-UHFFFAOYSA-N -1 1 315.366 1.617 20 0 DDADMM CN(C)CCNS(=O)(=O)c1cc(C(F)(F)F)ccc1F ZINC000136438491 408107330 /nfs/dbraw/zinc/10/73/30/408107330.db2.gz ODKTUVSEAJACSA-UHFFFAOYSA-N -1 1 314.304 1.684 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)c1cccc(OC)c1)c1nn[n-]n1 ZINC000136731906 408122875 /nfs/dbraw/zinc/12/28/75/408122875.db2.gz NSVMZNFTMHMQLY-GXFFZTMASA-N -1 1 303.366 1.969 20 0 DDADMM CN(C)c1ccncc1C(=O)N1CCC[C@H](CCC(=O)[O-])C1 ZINC000263410622 408132557 /nfs/dbraw/zinc/13/25/57/408132557.db2.gz IWDJVGJDZPTZPV-GFCCVEGCSA-N -1 1 305.378 1.865 20 0 DDADMM COc1cccc([C@H](C)C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000155629427 408189424 /nfs/dbraw/zinc/18/94/24/408189424.db2.gz XZLXZBAYLLGZJS-WCQYABFASA-N -1 1 315.377 1.718 20 0 DDADMM COCCN1CC[C@H](NC(=O)c2cc(F)c(F)c([O-])c2F)C1 ZINC000273575629 408252278 /nfs/dbraw/zinc/25/22/78/408252278.db2.gz YYTQWINTMXDYCT-QMMMGPOBSA-N -1 1 318.295 1.260 20 0 DDADMM CCC1CCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)CC1 ZINC000121449020 408214919 /nfs/dbraw/zinc/21/49/19/408214919.db2.gz PGFVMKQRSAXSJK-UHFFFAOYSA-N -1 1 300.380 1.450 20 0 DDADMM C[C@@H](CN(C)C(=O)c1occc1Br)c1nn[n-]n1 ZINC000273504868 408227317 /nfs/dbraw/zinc/22/73/17/408227317.db2.gz MSGJUMLNDBMWKL-LURJTMIESA-N -1 1 314.143 1.431 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]c2ccc(=O)n(C)c2)cc1F ZINC000121548542 408235664 /nfs/dbraw/zinc/23/56/64/408235664.db2.gz UXEKXZPMJNBUDM-UHFFFAOYSA-N -1 1 314.313 1.773 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@@H]1CSc2ccccc21 ZINC000176271919 408329331 /nfs/dbraw/zinc/32/93/31/408329331.db2.gz IHFBSSBIUROJAY-SNVBAGLBSA-N -1 1 304.375 1.036 20 0 DDADMM COC(=O)[C@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1cc(F)ccc1[O-] ZINC000190676841 408299863 /nfs/dbraw/zinc/29/98/63/408299863.db2.gz LGQFPMKNSLYBME-OLUVUFQESA-N -1 1 307.321 1.945 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](O)CC(C)(C)C)o1 ZINC000269841164 408445565 /nfs/dbraw/zinc/44/55/65/408445565.db2.gz YPPSCCUFNFRNHY-VIFPVBQESA-N -1 1 319.379 1.142 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C)nc2onc(C)c21)c1nn[n-]n1 ZINC000176787169 408445796 /nfs/dbraw/zinc/44/57/96/408445796.db2.gz PMNDZZCIARYPSK-JTQLQIEISA-N -1 1 315.337 1.624 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccccc1OCC1CC1)c1nn[n-]n1 ZINC000183413241 408404066 /nfs/dbraw/zinc/40/40/66/408404066.db2.gz JQFHCVGRWUHEKI-LLVKDONJSA-N -1 1 315.377 1.864 20 0 DDADMM CCC(O)(CC)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000269818979 408438063 /nfs/dbraw/zinc/43/80/63/408438063.db2.gz RVAPOSAEEYTVRT-UHFFFAOYSA-N -1 1 311.325 1.933 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(C(=O)OC)c1)c1nn[n-]n1 ZINC000176772852 408439516 /nfs/dbraw/zinc/43/95/16/408439516.db2.gz GPDPUGJCHYEFLM-LLVKDONJSA-N -1 1 303.322 1.258 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1ccc(C(C)=O)c(C)c1 ZINC000270424744 408530795 /nfs/dbraw/zinc/53/07/95/408530795.db2.gz MJKLUXOOXQAFEN-UHFFFAOYSA-N -1 1 315.391 1.602 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1C[C@]12CCc1ccccc12)c1nn[n-]n1 ZINC000274756712 408519637 /nfs/dbraw/zinc/51/96/37/408519637.db2.gz ISDIUHKLWOJMJM-ZLENFMNRSA-N -1 1 311.389 1.666 20 0 DDADMM Cc1cc(NC(=O)C[N@@H+]2[C@H](C(=O)[O-])C[C@H]3CCCC[C@@H]32)n(C)n1 ZINC000248558977 408586233 /nfs/dbraw/zinc/58/62/33/408586233.db2.gz MLFOFYFCXJMVAS-AGIUHOORSA-N -1 1 320.393 1.385 20 0 DDADMM COc1cc(C)cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1O ZINC000274815510 408542928 /nfs/dbraw/zinc/54/29/28/408542928.db2.gz WLEVMACDFMXCKB-SECBINFHSA-N -1 1 305.338 1.098 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)CC2CC2)o1 ZINC000177496290 408639716 /nfs/dbraw/zinc/63/97/16/408639716.db2.gz HRLCTLLRBHOTEK-SECBINFHSA-N -1 1 301.364 1.923 20 0 DDADMM CC[C@H]1[C@@H](C)CCN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000185373214 408800730 /nfs/dbraw/zinc/80/07/30/408800730.db2.gz DOGHMWYLRSTGKR-ONGXEEELSA-N -1 1 300.380 1.448 20 0 DDADMM CCC[C@H](NCC(=O)N(C)Cc1ccc(OC)cc1)C(=O)[O-] ZINC000185061197 408746308 /nfs/dbraw/zinc/74/63/08/408746308.db2.gz WNKRSPHUOZOGDJ-AWEZNQCLSA-N -1 1 308.378 1.497 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H](C)c2nc(-c3nc[nH]n3)no2)n1 ZINC000276160697 408823422 /nfs/dbraw/zinc/82/34/22/408823422.db2.gz FKKMOHPZQNQGQX-YFKPBYRVSA-N -1 1 321.322 1.218 20 0 DDADMM CS(=O)(=O)c1cc(S(=O)(=O)[N-]CCF)c(Cl)s1 ZINC000280792763 408835948 /nfs/dbraw/zinc/83/59/48/408835948.db2.gz QURRGYLUSLLJEF-UHFFFAOYSA-N -1 1 321.804 1.053 20 0 DDADMM CC[C@](NCc1nc(=O)[n-][nH]1)(C(=O)OC)c1ccc(Cl)cc1 ZINC000285688284 408843577 /nfs/dbraw/zinc/84/35/77/408843577.db2.gz VHLXOKZKKDLUIX-CQSZACIVSA-N -1 1 324.768 1.320 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC[C@H]2C(=O)OC)c1 ZINC000286016787 408908951 /nfs/dbraw/zinc/90/89/51/408908951.db2.gz RNXVRXCTLYZRDZ-NXEZZACHSA-N -1 1 307.302 1.317 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CC2CC[NH+](C)CC2)c1 ZINC000291724006 408912663 /nfs/dbraw/zinc/91/26/63/408912663.db2.gz QYYOUQVBMSQXQD-UHFFFAOYSA-N -1 1 306.362 1.849 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000189331396 163096434 /nfs/dbraw/zinc/09/64/34/163096434.db2.gz JVGGLYTUKOYTTD-IJLUTSLNSA-N -1 1 319.405 1.673 20 0 DDADMM CC(C)(C)n1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)nn1 ZINC000189911850 163124942 /nfs/dbraw/zinc/12/49/42/163124942.db2.gz KUOPPHZNZCJSCF-UHFFFAOYSA-N -1 1 303.248 1.422 20 0 DDADMM Cc1csc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)n1 ZINC000192754871 163234890 /nfs/dbraw/zinc/23/48/90/163234890.db2.gz YLWUOMYHZOBYAZ-UHFFFAOYSA-N -1 1 300.343 1.618 20 0 DDADMM CC(C)[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)c1nncn1C ZINC000286387051 408975265 /nfs/dbraw/zinc/97/52/65/408975265.db2.gz KNYFMIGGCKJSNY-GFCCVEGCSA-N -1 1 302.334 1.217 20 0 DDADMM CCN1CCN(C(=O)c2cc(F)cc3nn[nH]c32)C(C)(C)C1=O ZINC000282854571 409067488 /nfs/dbraw/zinc/06/74/88/409067488.db2.gz WSAPZQFJNFUKPM-UHFFFAOYSA-N -1 1 319.340 1.180 20 0 DDADMM CCCCCN(CCO)C(=O)c1c(C)[n-]c(=O)nc1SC ZINC000292296120 409019657 /nfs/dbraw/zinc/01/96/57/409019657.db2.gz VMCHXKLSNMDAKZ-UHFFFAOYSA-N -1 1 313.423 1.837 20 0 DDADMM CSC[C@H]1CCCN1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287525923 409050745 /nfs/dbraw/zinc/05/07/45/409050745.db2.gz IMQLGJFMHKCDOL-IQHDWMNZSA-N -1 1 305.407 1.701 20 0 DDADMM CC(C)(C)C[C@H](O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283188571 409107599 /nfs/dbraw/zinc/10/75/99/409107599.db2.gz VTSCDSWJLYZTOA-JTQLQIEISA-N -1 1 308.407 1.545 20 0 DDADMM C[C@@H](CN1CCOCC1)N(C)C(=O)c1cc(Cl)ccc1[O-] ZINC000279039554 409136360 /nfs/dbraw/zinc/13/63/60/409136360.db2.gz BMZIUCYREZYTLX-NSHDSACASA-N -1 1 312.797 1.838 20 0 DDADMM O=C([N-]c1n[nH]c(C2CCC2)n1)c1cc(Cn2cccn2)on1 ZINC000293599740 409145259 /nfs/dbraw/zinc/14/52/59/409145259.db2.gz VSDMDJKJHSOVRV-UHFFFAOYSA-N -1 1 313.321 1.557 20 0 DDADMM Cc1nnsc1CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000293628615 409150306 /nfs/dbraw/zinc/15/03/06/409150306.db2.gz GHUPARJCNKLDPL-UHFFFAOYSA-N -1 1 321.406 1.878 20 0 DDADMM C[C@H](CF)NC(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000288156392 409155238 /nfs/dbraw/zinc/15/52/38/409155238.db2.gz JXYOENBEDRSTQT-SECBINFHSA-N -1 1 305.309 1.144 20 0 DDADMM O=c1nc(C2CC[NH+](C[C@@H](O)c3ccc(F)cc3F)CC2)[nH][n-]1 ZINC000288787052 409178668 /nfs/dbraw/zinc/17/86/68/409178668.db2.gz CCGXXFDOOJYMRL-CYBMUJFWSA-N -1 1 324.331 1.289 20 0 DDADMM CCOC(=O)[C@H](F)C1CN(C(=O)c2cc(F)cc3nn[nH]c32)C1 ZINC000294195810 409257326 /nfs/dbraw/zinc/25/73/26/409257326.db2.gz OKPPSMCGWGAFKQ-LLVKDONJSA-N -1 1 324.287 1.070 20 0 DDADMM C[C@H]1OCC[C@@]12CN(C(=O)c1ccc([O-])cc1F)C[C@@H](C)O2 ZINC000279408320 409208938 /nfs/dbraw/zinc/20/89/38/409208938.db2.gz SFTHUQOLKRNGQK-GLKRBJQHSA-N -1 1 309.337 1.940 20 0 DDADMM O=C([N-][C@@H]1CCO[C@H]1c1ccc(=O)[nH]c1)C(F)(F)C(F)F ZINC000280228554 409274761 /nfs/dbraw/zinc/27/47/61/409274761.db2.gz LYDCDLHVXZQVJM-APPZFPTMSA-N -1 1 308.231 1.634 20 0 DDADMM O=C(c1cccc2n[nH]cc21)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000579836967 422841151 /nfs/dbraw/zinc/84/11/51/422841151.db2.gz OTOVHWJMUCKFDJ-UHFFFAOYSA-N -1 1 312.333 1.406 20 0 DDADMM C[C@@H](O)C[N-]S(=O)(=O)c1c(Cl)cc(F)cc1Cl ZINC000280647429 409394263 /nfs/dbraw/zinc/39/42/63/409394263.db2.gz VOZVVYBNESGEFW-RXMQYKEDSA-N -1 1 302.154 1.792 20 0 DDADMM CO[C@H]1C[C@@H](CC(=O)[O-])N(C(=O)c2cccc3c[nH]nc32)C1 ZINC000263201505 163929113 /nfs/dbraw/zinc/92/91/13/163929113.db2.gz YPOQIFKIRWSATB-QWRGUYRKSA-N -1 1 303.318 1.267 20 0 DDADMM COCC1(C(=O)Nc2nc(Cl)ccc2[O-])CCOCC1 ZINC000290305879 409356240 /nfs/dbraw/zinc/35/62/40/409356240.db2.gz AFMNDYIISDCESJ-UHFFFAOYSA-N -1 1 300.742 1.822 20 0 DDADMM COCCN(Cc1ccco1)C(=O)C(=O)c1ccc([O-])cc1 ZINC000280708061 409419175 /nfs/dbraw/zinc/41/91/75/409419175.db2.gz GAOMSLXFGAVJGB-UHFFFAOYSA-N -1 1 303.314 1.843 20 0 DDADMM CC[C@@](C)(OC)c1noc(-c2ccc(S(=O)(=O)[N-]C)o2)n1 ZINC000290489021 409419503 /nfs/dbraw/zinc/41/95/03/409419503.db2.gz OHWHJFCPWLADTR-GFCCVEGCSA-N -1 1 315.351 1.509 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ccc(C(=O)OC)c(C)c1 ZINC000290522582 409432642 /nfs/dbraw/zinc/43/26/42/409432642.db2.gz NAMNQMLGGDFKTC-UHFFFAOYSA-N -1 1 315.347 1.086 20 0 DDADMM CN(C)C(=O)O[C@@H]1CCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000295399349 409466785 /nfs/dbraw/zinc/46/67/85/409466785.db2.gz IKMGTLXGZHNVTE-SNVBAGLBSA-N -1 1 312.753 1.958 20 0 DDADMM C[C@@H](CC(N)=O)[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000360507627 164149324 /nfs/dbraw/zinc/14/93/24/164149324.db2.gz LCQXRTFPSCJYJE-BYPYZUCNSA-N -1 1 317.219 1.597 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3CCO[C@H](C)C3)cnc2n1 ZINC000408080893 164221550 /nfs/dbraw/zinc/22/15/50/164221550.db2.gz LNSBJINDNFHCCA-GHMZBOCLSA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1CCO[C@H](C)C1)c2=O ZINC000408080893 164221553 /nfs/dbraw/zinc/22/15/53/164221553.db2.gz LNSBJINDNFHCCA-GHMZBOCLSA-N -1 1 301.346 1.941 20 0 DDADMM CO[C@@H](C)c1nc(=NCCCOC[C@@H]2CCOC2)s[n-]1 ZINC000337879323 409534261 /nfs/dbraw/zinc/53/42/61/409534261.db2.gz STBUYWAXNKGVGE-QWRGUYRKSA-N -1 1 301.412 1.523 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc(Cl)cc1F ZINC000337938831 409583688 /nfs/dbraw/zinc/58/36/88/409583688.db2.gz KYXULRYIXNARED-UHFFFAOYSA-N -1 1 323.711 1.948 20 0 DDADMM Cc1cc(F)ccc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337946467 409594243 /nfs/dbraw/zinc/59/42/43/409594243.db2.gz CVVIENWUZVZDAU-UHFFFAOYSA-N -1 1 303.293 1.603 20 0 DDADMM CCC[C@@H](NC(=O)c1[nH]c2ccccc2c1OC)c1nn[n-]n1 ZINC000354012349 409602173 /nfs/dbraw/zinc/60/21/73/409602173.db2.gz ZCCDHVUVRYGEGZ-LLVKDONJSA-N -1 1 314.349 1.961 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)C(=O)c1ccc(F)cc1 ZINC000349104810 409696014 /nfs/dbraw/zinc/69/60/14/409696014.db2.gz FNAJXGBOOVTQJE-UHFFFAOYSA-N -1 1 303.297 1.107 20 0 DDADMM CO[C@H]1CCC[C@H]1CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000342459902 409651457 /nfs/dbraw/zinc/65/14/57/409651457.db2.gz KPQAUAHPJHMQMQ-UWVGGRQHSA-N -1 1 311.407 1.757 20 0 DDADMM COCC[C@H](C)S(=O)(=O)[N-][C@@H](C(=O)OC)c1ccsc1 ZINC000345641437 409726948 /nfs/dbraw/zinc/72/69/48/409726948.db2.gz GSMFBZVPMQKTMZ-GXSJLCMTSA-N -1 1 321.420 1.307 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cnc(-c2ccco2)s1 ZINC000357082080 409855310 /nfs/dbraw/zinc/85/53/10/409855310.db2.gz WXSPTXXJNPFVLQ-UHFFFAOYSA-N -1 1 302.319 1.335 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2C[C@@H]2c2ccccc2)c1 ZINC000338212131 409805515 /nfs/dbraw/zinc/80/55/15/409805515.db2.gz NNSJNDXBTSYMAW-CHWSQXEVSA-N -1 1 321.354 1.901 20 0 DDADMM Cc1ccc(Br)c(C(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000357048396 409820067 /nfs/dbraw/zinc/82/00/67/409820067.db2.gz MOPHEXJOMZVWEG-UHFFFAOYSA-N -1 1 322.166 1.690 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000323740160 409923747 /nfs/dbraw/zinc/92/37/47/409923747.db2.gz BGPTVXWOUNQFGG-CHWSQXEVSA-N -1 1 320.389 1.985 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(Cc2ccn(C3CCCC3)n2)C1 ZINC000319574937 409896227 /nfs/dbraw/zinc/89/62/27/409896227.db2.gz UEAUOYMEZIMATB-INIZCTEOSA-N -1 1 307.394 1.921 20 0 DDADMM CCNC(=O)c1ccc(=NCC2([C@H](C)CCO)CCC2)[n-]n1 ZINC000342782762 409899535 /nfs/dbraw/zinc/89/95/35/409899535.db2.gz JGEKHCZZONDPSI-GFCCVEGCSA-N -1 1 306.410 1.249 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C(C)(C)O)o1 ZINC000346498565 409993781 /nfs/dbraw/zinc/99/37/81/409993781.db2.gz CBPVJUPZVFGBPQ-UHFFFAOYSA-N -1 1 319.379 1.284 20 0 DDADMM C[C@@H](CC(N)=O)NC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338385407 409950326 /nfs/dbraw/zinc/95/03/26/409950326.db2.gz KFEFTKRYKQECIY-ZETCQYMHSA-N -1 1 307.737 1.588 20 0 DDADMM CC(=O)c1cc(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)cs1 ZINC000297846246 410020981 /nfs/dbraw/zinc/02/09/81/410020981.db2.gz XDBSOLMMJCCQDB-UHFFFAOYSA-N -1 1 319.346 1.213 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)c1csc(C(C)(C)C)n1 ZINC000297870313 410030187 /nfs/dbraw/zinc/03/01/87/410030187.db2.gz ZLWBYNHIRGJNIK-UHFFFAOYSA-N -1 1 308.367 1.171 20 0 DDADMM CC[C@H](NC(=O)c1coc(S(=O)(=O)[N-]C)c1)C(C)(C)C ZINC000339350796 410120082 /nfs/dbraw/zinc/12/00/82/410120082.db2.gz YKOJDKNBBAKTCR-JTQLQIEISA-N -1 1 302.396 1.742 20 0 DDADMM Cc1ccc(CN2CCN(c3nc(=N)[n-]s3)CC2)c(C)n1 ZINC000332435065 410156099 /nfs/dbraw/zinc/15/60/99/410156099.db2.gz GMFXEJXLMQWGCG-UHFFFAOYSA-N -1 1 304.423 1.285 20 0 DDADMM NC(=O)c1ccc(=NCCn2ccc3cc(Cl)ccc32)[n-]n1 ZINC000343243731 410269591 /nfs/dbraw/zinc/26/95/91/410269591.db2.gz ALFDWXUJNCSCSN-UHFFFAOYSA-N -1 1 315.764 1.718 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@@H]2CC[C@H](C)C2)o1 ZINC000346972779 410285139 /nfs/dbraw/zinc/28/51/39/410285139.db2.gz IAWJDLNAVFKZDJ-VHSXEESVSA-N -1 1 300.380 1.448 20 0 DDADMM O=C1NC(=O)[C@]2(CCC[N@@H+](Cc3c[nH]c4nccnc34)C2)N1 ZINC000329706260 410419918 /nfs/dbraw/zinc/41/99/18/410419918.db2.gz JVKHBICVGRTNML-CQSZACIVSA-N -1 1 300.322 1.128 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000333139629 410381382 /nfs/dbraw/zinc/38/13/82/410381382.db2.gz YKIUNPQSNSYWCN-WDEREUQCSA-N -1 1 307.419 1.638 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2ccc(C(=O)[O-])cc2)c1 ZINC000347237812 410410943 /nfs/dbraw/zinc/41/09/43/410410943.db2.gz AAYPPUBMQWRCBH-UHFFFAOYSA-N -1 1 307.331 1.471 20 0 DDADMM O=c1nc(CN2C[C@@H]3CC[C@H](O)C[C@H]3C2)[n-]c2ccsc21 ZINC000333331149 410486686 /nfs/dbraw/zinc/48/66/86/410486686.db2.gz WFCVKUGOWMGQNE-DCAQKATOSA-N -1 1 305.403 1.990 20 0 DDADMM O=c1cc(/C=C\c2cc(-n3ccnc3)cs2)nc2nc[n-]n21 ZINC000352029337 410428262 /nfs/dbraw/zinc/42/82/62/410428262.db2.gz YMASVTAPLQXYFR-UPHRSURJSA-N -1 1 310.342 1.835 20 0 DDADMM O=c1cc(/C=C\c2nccn2-c2ccccc2)nc2nc[n-]n21 ZINC000352028744 410428891 /nfs/dbraw/zinc/42/88/91/410428891.db2.gz VSAUGFQLUZBIGS-SREVYHEPSA-N -1 1 304.313 1.774 20 0 DDADMM O=c1cc(/C=C/c2cccc3c2OCCCO3)nc2nc[n-]n21 ZINC000352036425 410434028 /nfs/dbraw/zinc/43/40/28/410434028.db2.gz ZOFJEAVJCNYRJM-AATRIKPKSA-N -1 1 310.313 1.749 20 0 DDADMM Cc1ccc(CNC(=O)c2cncc([O-])c2)cc1S(C)(=O)=O ZINC000355328399 410446096 /nfs/dbraw/zinc/44/60/96/410446096.db2.gz ZLWUENNBGQFCQQ-UHFFFAOYSA-N -1 1 320.370 1.429 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000339902254 410542376 /nfs/dbraw/zinc/54/23/76/410542376.db2.gz UWXAXYGOQSVZQB-AWEZNQCLSA-N -1 1 300.314 1.474 20 0 DDADMM O=S(=O)([N-][C@H]1CCc2c[nH]nc2C1)c1sccc1Cl ZINC000333451682 410555990 /nfs/dbraw/zinc/55/59/90/410555990.db2.gz RVXPAUYEDZAQAU-QMMMGPOBSA-N -1 1 317.823 1.960 20 0 DDADMM CC[C@@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343677203 410614342 /nfs/dbraw/zinc/61/43/42/410614342.db2.gz WJHOVNZMEWMFCE-GFCCVEGCSA-N -1 1 305.378 1.556 20 0 DDADMM C[C@H](NCc1nc(=O)n(C)[n-]1)c1ccc(OCC2CC2)c(F)c1 ZINC000347651598 410652826 /nfs/dbraw/zinc/65/28/26/410652826.db2.gz KWYASKFAKYWTMN-JTQLQIEISA-N -1 1 320.368 1.887 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cnc(C)s2)o1 ZINC000337287897 410693426 /nfs/dbraw/zinc/69/34/26/410693426.db2.gz HYXBYHGBXCFALF-UHFFFAOYSA-N -1 1 301.349 1.205 20 0 DDADMM COc1ccc(Cl)cc1NCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000359787484 410878425 /nfs/dbraw/zinc/87/84/25/410878425.db2.gz MAJGRXHITZSFBD-UHFFFAOYSA-N -1 1 324.772 1.325 20 0 DDADMM Cc1ccc(OCCC(=O)NC(C)(C)c2nn[n-]n2)c(C)c1 ZINC000359786254 410880696 /nfs/dbraw/zinc/88/06/96/410880696.db2.gz KLFAKGLFEQJSMS-UHFFFAOYSA-N -1 1 303.366 1.637 20 0 DDADMM CC(C)c1noc2ncc(C(=O)NC3(c4nn[n-]n4)CC3)cc21 ZINC000348276107 410890605 /nfs/dbraw/zinc/89/06/05/410890605.db2.gz NLXOQGYEPDFAMH-UHFFFAOYSA-N -1 1 313.321 1.278 20 0 DDADMM O=C(CCSc1ccc(F)cc1)NC1(c2nn[n-]n2)CC1 ZINC000348284214 410895795 /nfs/dbraw/zinc/89/57/95/410895795.db2.gz TVBISTNPOWTISX-UHFFFAOYSA-N -1 1 307.354 1.627 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)C[C@H]2CCCO2)o1 ZINC000341147715 410897000 /nfs/dbraw/zinc/89/70/00/410897000.db2.gz SPDPKFXDLHCQDN-NXEZZACHSA-N -1 1 317.363 1.302 20 0 DDADMM COCc1ccsc1C(=O)Nc1nc(SCCO)n[nH]1 ZINC000353476653 411014060 /nfs/dbraw/zinc/01/40/60/411014060.db2.gz MTPBWZVZHGILAO-UHFFFAOYSA-N -1 1 314.392 1.349 20 0 DDADMM CSC[C@](C)(O)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331287531 411060152 /nfs/dbraw/zinc/06/01/52/411060152.db2.gz UUTXTBQMPMFEHC-SNVBAGLBSA-N -1 1 310.466 1.152 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331289869 411060334 /nfs/dbraw/zinc/06/03/34/411060334.db2.gz OEOOVFBQAZMLSQ-PELKAZGASA-N -1 1 306.453 1.835 20 0 DDADMM CCN(C)C(=O)[C@@H]1CSCN1C(=O)c1ncc(C)cc1[O-] ZINC000331212348 411020572 /nfs/dbraw/zinc/02/05/72/411020572.db2.gz SFCXGXCLFJKXDO-JTQLQIEISA-N -1 1 309.391 1.089 20 0 DDADMM CCC1(CC)CN(C(=O)C(=O)c2ccc([O-])cc2)CC[S@]1=O ZINC000331243543 411039364 /nfs/dbraw/zinc/03/93/64/411039364.db2.gz QCMZSADWAXWAHZ-JOCHJYFZSA-N -1 1 323.414 1.725 20 0 DDADMM CCC[C@@](C)(O)CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000353797001 411131267 /nfs/dbraw/zinc/13/12/67/411131267.db2.gz WBXLHBHAAVQJLE-MRXNPFEDSA-N -1 1 303.362 1.925 20 0 DDADMM CCC[C@@](C)(O)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000353797001 411131270 /nfs/dbraw/zinc/13/12/70/411131270.db2.gz WBXLHBHAAVQJLE-MRXNPFEDSA-N -1 1 303.362 1.925 20 0 DDADMM CCC[C@@]1(CO)CCN(C(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000356567283 411091931 /nfs/dbraw/zinc/09/19/31/411091931.db2.gz YFVPCSLAEOSQBC-OAHLLOKOSA-N -1 1 309.435 1.764 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC[C@H]1CCc2ccccc2N1 ZINC000638388704 422878338 /nfs/dbraw/zinc/87/83/38/422878338.db2.gz HLRHZUOPUCBBRK-GFCCVEGCSA-N -1 1 300.366 1.066 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCCSCC2)c1 ZINC000631761022 422911475 /nfs/dbraw/zinc/91/14/75/422911475.db2.gz GMZPWBJOMMOOPQ-UHFFFAOYSA-N -1 1 303.405 1.528 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCCO1)c1c[nH]nc1C(F)(F)F ZINC000631799234 422927192 /nfs/dbraw/zinc/92/71/92/422927192.db2.gz MROFPEMLDRJMJJ-SSDOTTSWSA-N -1 1 313.301 1.276 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc([C@H]3C[C@H]3C)[nH]n2)co1 ZINC000131135986 196142673 /nfs/dbraw/zinc/14/26/73/196142673.db2.gz KPMGNYAFCAHMCU-APPZFPTMSA-N -1 1 324.362 1.287 20 0 DDADMM CC(C)(C)n1cnc([N-]C(=O)c2coc(S(C)(=O)=O)c2)n1 ZINC000649919601 422993535 /nfs/dbraw/zinc/99/35/35/422993535.db2.gz MDDNVOMVUZJNRH-UHFFFAOYSA-N -1 1 312.351 1.282 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](c2nnc(C3CC3)[nH]2)C1 ZINC000132243686 196238581 /nfs/dbraw/zinc/23/85/81/196238581.db2.gz VBEKIWXYSQFMMJ-NSHDSACASA-N -1 1 313.361 1.803 20 0 DDADMM CN(Cc1cc(Br)ccc1F)C[C@@](C)(O)C(=O)[O-] ZINC000652405539 423003561 /nfs/dbraw/zinc/00/35/61/423003561.db2.gz MCWXEUWHFMUTQZ-GFCCVEGCSA-N -1 1 320.158 1.856 20 0 DDADMM CC(C)(CN=c1nc(C(F)(F)F)[n-]s1)[C@@]1(O)CCOC1 ZINC000645365899 423007842 /nfs/dbraw/zinc/00/78/42/423007842.db2.gz KOZDCPAYGAQROL-SNVBAGLBSA-N -1 1 311.329 1.568 20 0 DDADMM COCC(C)(C)N1CCN(Cc2ccc(C(=O)[O-])s2)CC1 ZINC000652429698 423012467 /nfs/dbraw/zinc/01/24/67/423012467.db2.gz MFLFSPQSXPOPKU-UHFFFAOYSA-N -1 1 312.435 1.989 20 0 DDADMM COCC1CN(C(=O)c2ccc(Br)cc2[O-])C1 ZINC000640556541 423026543 /nfs/dbraw/zinc/02/65/43/423026543.db2.gz BHSWXMCTTSQABI-UHFFFAOYSA-N -1 1 300.152 1.873 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1C[C@H](C(=O)[O-])[C@@H](c2ccccc2)C1 ZINC000647759036 423035015 /nfs/dbraw/zinc/03/50/15/423035015.db2.gz GJEVAVVZFHUFKR-OWCLPIDISA-N -1 1 316.401 1.798 20 0 DDADMM COc1ccc(OCCCN2C[C@@H](C)O[C@@H](C(=O)[O-])C2)cc1 ZINC000652508938 423049166 /nfs/dbraw/zinc/04/91/66/423049166.db2.gz ODSIMMBDCNAURG-IUODEOHRSA-N -1 1 309.362 1.638 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(S(C)(=O)=O)cs1 ZINC000647816779 423062013 /nfs/dbraw/zinc/06/20/13/423062013.db2.gz VIMCCQFCPOWZBQ-UHFFFAOYSA-N -1 1 301.349 1.141 20 0 DDADMM O=S(=O)([N-]c1ccn(-c2ccccc2)n1)N1CCCOCC1 ZINC000650159683 423068755 /nfs/dbraw/zinc/06/87/55/423068755.db2.gz ONIHIOPZRXJFFB-UHFFFAOYSA-N -1 1 322.390 1.251 20 0 DDADMM CCC[C@H](O)C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645557848 423080881 /nfs/dbraw/zinc/08/08/81/423080881.db2.gz HMSXDTXWVWONSF-QMMMGPOBSA-N -1 1 312.313 1.540 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)[C@H](C)CO ZINC000645560215 423082936 /nfs/dbraw/zinc/08/29/36/423082936.db2.gz WRQXQXPZVVILJT-HTQZYQBOSA-N -1 1 312.313 1.396 20 0 DDADMM Cc1ccnc2nc(C(=O)[N-]c3ncn(C(C)(C)C)n3)nn21 ZINC000544112156 416651150 /nfs/dbraw/zinc/65/11/50/416651150.db2.gz RVYFZVAJZJWYNW-UHFFFAOYSA-N -1 1 300.326 1.032 20 0 DDADMM CC(C)(C)n1nnc(C(=O)[N-]c2nncn2-c2ccccc2)n1 ZINC000613172781 416675926 /nfs/dbraw/zinc/67/59/26/416675926.db2.gz JEIDEIAHHRITIB-UHFFFAOYSA-N -1 1 312.337 1.261 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(C)(C)CC(C)(C)C1 ZINC000416205534 224833017 /nfs/dbraw/zinc/83/30/17/224833017.db2.gz SSZYZTFFQRUWKZ-UHFFFAOYSA-N -1 1 309.366 1.795 20 0 DDADMM CSc1nc(CNC(=O)c2cnn(CC3CC3)c2)cc(=O)[n-]1 ZINC000640653425 423112859 /nfs/dbraw/zinc/11/28/59/423112859.db2.gz DDVPRDQKWRGREV-UHFFFAOYSA-N -1 1 319.390 1.441 20 0 DDADMM CCC1(C(=O)NCc2cc(=O)[n-]c(SC)n2)CCOCC1 ZINC000640655481 423116548 /nfs/dbraw/zinc/11/65/48/423116548.db2.gz DTHHCMQGIMQDKY-UHFFFAOYSA-N -1 1 311.407 1.727 20 0 DDADMM CN(c1cccc(CCC(=O)[O-])c1)S(=O)(=O)c1ncc[nH]1 ZINC000647923883 423118043 /nfs/dbraw/zinc/11/80/43/423118043.db2.gz BROBNNZVUBPTSY-UHFFFAOYSA-N -1 1 309.347 1.252 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@H]2CCC(C)(C)C2)o1 ZINC000358061927 299062084 /nfs/dbraw/zinc/06/20/84/299062084.db2.gz MKCWQOURVZCFEJ-JTQLQIEISA-N -1 1 314.407 1.838 20 0 DDADMM COCc1cc(C(=O)[N-]c2n[nH]c(-c3ccncc3)n2)no1 ZINC000366623390 418497815 /nfs/dbraw/zinc/49/78/15/418497815.db2.gz WINHUTHHGSMEGK-UHFFFAOYSA-N -1 1 300.278 1.253 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CCCCO1)[C@@H](C)CC ZINC000360747934 418507096 /nfs/dbraw/zinc/50/70/96/418507096.db2.gz URHBZQJHZNZISJ-RWMBFGLXSA-N -1 1 321.439 1.453 20 0 DDADMM Cc1noc2ncnc(N3CCC[C@H](c4n[n-]c(=O)o4)C3)c12 ZINC000367363725 418590871 /nfs/dbraw/zinc/59/08/71/418590871.db2.gz SGELKTDORCSWJJ-QMMMGPOBSA-N -1 1 302.294 1.399 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N[C@H]2CCCc3cn[nH]c32)[n-]n1 ZINC000375495020 418648676 /nfs/dbraw/zinc/64/86/76/418648676.db2.gz NMLKOKAQFRWYRG-NSHDSACASA-N -1 1 316.365 1.608 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2nc(-c3cccc(C)c3)no2)n[n-]1 ZINC000375648799 418668430 /nfs/dbraw/zinc/66/84/30/418668430.db2.gz ZRCIAHDVRZQHNL-UHFFFAOYSA-N -1 1 319.346 1.445 20 0 DDADMM O=C(NC[C@H]1C[N@@H+]2CCC[C@@H]2CO1)c1c(F)ccc([O-])c1F ZINC000368018897 418675414 /nfs/dbraw/zinc/67/54/14/418675414.db2.gz KIHLOGMCJTZSIF-ZJUUUORDSA-N -1 1 312.316 1.263 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC(C)(C)O[C@@H]2CCC[C@H]21 ZINC000368141767 418694989 /nfs/dbraw/zinc/69/49/89/418694989.db2.gz GEIPRNFJACOMIL-NXEZZACHSA-N -1 1 315.395 1.116 20 0 DDADMM O=C([O-])COCCNCc1ccc(Br)cc1F ZINC000383449150 418733385 /nfs/dbraw/zinc/73/33/85/418733385.db2.gz AKEYDDGZUIXRKQ-UHFFFAOYSA-N -1 1 306.131 1.779 20 0 DDADMM O=C(CC/C=C/c1ccccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000364634398 418795057 /nfs/dbraw/zinc/79/50/57/418795057.db2.gz JVUNMVGIJXSHRC-YOIVXCQUSA-N -1 1 313.361 1.593 20 0 DDADMM C[C@H](CSCc1ccccc1)C(=O)Nc1nn[nH]c1C(N)=O ZINC000410863572 418852598 /nfs/dbraw/zinc/85/25/98/418852598.db2.gz YNIXYEJNRWLZSI-SECBINFHSA-N -1 1 319.390 1.412 20 0 DDADMM C[C@@H]1Oc2ccccc2[C@@H]1NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000365659109 418889566 /nfs/dbraw/zinc/88/95/66/418889566.db2.gz AJFVBRZNDZXCDP-LKFCYVNXSA-N -1 1 324.340 1.926 20 0 DDADMM O=C(CCCc1cccs1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000372156968 418840984 /nfs/dbraw/zinc/84/09/84/418840984.db2.gz MOPWLFACSSDRMU-NSHDSACASA-N -1 1 305.407 1.990 20 0 DDADMM C[C@H]1CCCC[C@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000424759876 228314194 /nfs/dbraw/zinc/31/41/94/228314194.db2.gz HUEYDLGPQDKVMU-GXSJLCMTSA-N -1 1 312.391 1.804 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCOCC(F)F)c(F)c1 ZINC000425174969 228381234 /nfs/dbraw/zinc/38/12/34/228381234.db2.gz GDEJCXORELCLNQ-UHFFFAOYSA-N -1 1 315.288 1.833 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H](C)CCCO)c(F)c1 ZINC000425183931 228383327 /nfs/dbraw/zinc/38/33/27/228383327.db2.gz HPTWKSDDYHJVMZ-VIFPVBQESA-N -1 1 307.362 1.960 20 0 DDADMM CC[C@@H](CS(=O)(=O)Nc1ccc(C(=O)[O-])cc1O)OC ZINC000421160537 419510300 /nfs/dbraw/zinc/51/03/00/419510300.db2.gz YMWLBABHOSPRFM-VIFPVBQESA-N -1 1 303.336 1.257 20 0 DDADMM O=C(NCc1ccc(Cl)cc1F)c1cc(=O)n2[n-]cnc2n1 ZINC000428268523 419841757 /nfs/dbraw/zinc/84/17/57/419841757.db2.gz MMFYVBRWRZZRGH-UHFFFAOYSA-N -1 1 321.699 1.140 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H](C)C[C@H](CO)C3)cnc2n1 ZINC000422104704 419844179 /nfs/dbraw/zinc/84/41/79/419844179.db2.gz IUWPCQHBIQUIHG-PWSUYJOCSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](C)C[C@H](CO)C3)c[n-]c2n1 ZINC000422104704 419844185 /nfs/dbraw/zinc/84/41/85/419844185.db2.gz IUWPCQHBIQUIHG-PWSUYJOCSA-N -1 1 315.373 1.734 20 0 DDADMM CC(C)(C)C(=O)N1CCN(C(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000428023585 419790866 /nfs/dbraw/zinc/79/08/66/419790866.db2.gz LQEMJFAOYWFGLI-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM Cc1cnc(C(=O)N2CCC(CC(=O)N(C)C)CC2)c([O-])c1 ZINC000428031046 419794364 /nfs/dbraw/zinc/79/43/64/419794364.db2.gz KEJNWUPHOPYDED-UHFFFAOYSA-N -1 1 305.378 1.426 20 0 DDADMM CC(C)N(C(=O)c1c[n-]c2c(cnn2C)c1=O)[C@@H]1CCOC1 ZINC000428499382 419880342 /nfs/dbraw/zinc/88/03/42/419880342.db2.gz ZFTASHNMEZZWOI-SNVBAGLBSA-N -1 1 304.350 1.313 20 0 DDADMM C[C@H]1CN(C(=O)N=c2[n-]nc(/C=C/c3ccco3)s2)CCN1 ZINC000415382295 420044025 /nfs/dbraw/zinc/04/40/25/420044025.db2.gz RXVLGLYPFUFMNI-YEZKRMTDSA-N -1 1 319.390 1.549 20 0 DDADMM CN(CCCC(=O)[O-])[C@@H](C(=O)NC1CC1)c1ccc(F)cc1 ZINC000430670552 420174742 /nfs/dbraw/zinc/17/47/42/420174742.db2.gz NJZRHCPZLROVKV-OAHLLOKOSA-N -1 1 308.353 1.942 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]1c1ccsc1 ZINC000416135108 420252996 /nfs/dbraw/zinc/25/29/96/420252996.db2.gz OLTPSIIGLMNWGQ-VIFPVBQESA-N -1 1 321.358 1.935 20 0 DDADMM CCC(C)(C)[C@H]1CCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416178591 420269500 /nfs/dbraw/zinc/26/95/00/420269500.db2.gz KUVDKZLDHILERS-SECBINFHSA-N -1 1 309.366 1.937 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1(CC(C)C)CCC1 ZINC000416191357 420273706 /nfs/dbraw/zinc/27/37/06/420273706.db2.gz NDHVVMKZYBIHSE-UHFFFAOYSA-N -1 1 309.366 1.843 20 0 DDADMM C[C@H](CN=c1nc(C2CCCC2)[n-]s1)N1CCN(C)CC1 ZINC000420584891 420322899 /nfs/dbraw/zinc/32/28/99/420322899.db2.gz NDAIOVFFGQDDEJ-GFCCVEGCSA-N -1 1 309.483 1.665 20 0 DDADMM O=C(NC[C@@H]1CCCS(=O)(=O)C1)c1ccc([O-])cc1F ZINC000436738855 420363473 /nfs/dbraw/zinc/36/34/73/420363473.db2.gz VAILCJFTMLSTFO-VIFPVBQESA-N -1 1 301.339 1.086 20 0 DDADMM O=C(c1occ2c1CCC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425317362 420347466 /nfs/dbraw/zinc/34/74/66/420347466.db2.gz FPWDSMRSLSGKOK-UHFFFAOYSA-N -1 1 303.318 1.877 20 0 DDADMM COCC[C@H](NC(=O)c1ccc2ccccc2c1[O-])C(N)=O ZINC000436685668 420356230 /nfs/dbraw/zinc/35/62/30/420356230.db2.gz CAQHRYOTFWTRAN-ZDUSSCGKSA-N -1 1 302.330 1.166 20 0 DDADMM CCOC(=O)[C@@H](CO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436734474 420360100 /nfs/dbraw/zinc/36/01/00/420360100.db2.gz ASSXMESXQGHPNY-SECBINFHSA-N -1 1 321.251 1.065 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@@H](C)CC(N)=O)[nH][n-]2)c1 ZINC000416250222 420295263 /nfs/dbraw/zinc/29/52/63/420295263.db2.gz CVNJEHVHNKFTEV-VIFPVBQESA-N -1 1 304.325 1.396 20 0 DDADMM CC[C@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@@H](C)S1(=O)=O ZINC000436799419 420368300 /nfs/dbraw/zinc/36/83/00/420368300.db2.gz XZPSPJZUCULJHC-KOLCDFICSA-N -1 1 315.366 1.569 20 0 DDADMM O=C(N[C@@H](CO)[C@H]1CCCO1)c1cc2ccccc2cc1[O-] ZINC000436806383 420370118 /nfs/dbraw/zinc/37/01/18/420370118.db2.gz HMTWODSGZDMTII-GOEBONIOSA-N -1 1 301.342 1.815 20 0 DDADMM O=C(N=c1cc(-c2cccnc2)[nH][nH]1)C(=O)c1ccc([O-])cc1 ZINC000436966951 420386968 /nfs/dbraw/zinc/38/69/68/420386968.db2.gz XLAHWSHPJCDJNE-UHFFFAOYSA-N -1 1 308.297 1.421 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-][C@@H](CO)c1c(F)cccc1F ZINC000443261629 230001477 /nfs/dbraw/zinc/00/14/77/230001477.db2.gz KXEHAZDBNPICDN-CABZTGNLSA-N -1 1 321.345 1.097 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]1O)c1ccc(Br)o1 ZINC000416524402 420406225 /nfs/dbraw/zinc/40/62/25/420406225.db2.gz MAIZFJQLNJCMJH-RNFRBKRXSA-N -1 1 310.169 1.234 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](C)CCCc1cccnc1 ZINC000416540037 420411791 /nfs/dbraw/zinc/41/17/91/420411791.db2.gz TVMZGGYNNVJNAL-JTQLQIEISA-N -1 1 324.406 1.480 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)CCCc1cccnc1 ZINC000416540037 420411797 /nfs/dbraw/zinc/41/17/97/420411797.db2.gz TVMZGGYNNVJNAL-JTQLQIEISA-N -1 1 324.406 1.480 20 0 DDADMM Cc1cccc(C)c1NC(=O)[C@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000444409636 230097237 /nfs/dbraw/zinc/09/72/37/230097237.db2.gz YAFBRHYZDNTNPZ-JTQLQIEISA-N -1 1 308.363 1.222 20 0 DDADMM Cc1cccc(C)c1NC(=O)[C@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000444409636 230097242 /nfs/dbraw/zinc/09/72/42/230097242.db2.gz YAFBRHYZDNTNPZ-JTQLQIEISA-N -1 1 308.363 1.222 20 0 DDADMM CC[C@@](COC)([N-]S(=O)(=O)CCC1CCCC1)C(=O)OC ZINC000416513760 420402957 /nfs/dbraw/zinc/40/29/57/420402957.db2.gz DVPRPFIJSAKROM-AWEZNQCLSA-N -1 1 321.439 1.454 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1cc(F)ccc1F)c1nncn1C ZINC000439197259 420499946 /nfs/dbraw/zinc/49/99/46/420499946.db2.gz IYSUGMUAWZHECX-MRVPVSSYSA-N -1 1 316.333 1.175 20 0 DDADMM CC[C@H](C[C@@H](C)CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000440762959 420605808 /nfs/dbraw/zinc/60/58/08/420605808.db2.gz RSFIZHQORYFWBM-GHMZBOCLSA-N -1 1 303.362 1.450 20 0 DDADMM COc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CCCC2)nc1 ZINC000492441997 420559613 /nfs/dbraw/zinc/55/96/13/420559613.db2.gz OCBUNOMKBAUVPF-FNORWQNLSA-N -1 1 314.349 1.202 20 0 DDADMM CC(C)(C)OC(=O)NCC1(C(=O)[N-]OCCO)CCCC1 ZINC000492512846 420579869 /nfs/dbraw/zinc/57/98/69/420579869.db2.gz SGUZTXKUVMQXDO-UHFFFAOYSA-N -1 1 302.371 1.112 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCC[C@@H](C)C1 ZINC000457000106 420584364 /nfs/dbraw/zinc/58/43/64/420584364.db2.gz KWLGHTRHVAZLJS-VWYCJHECSA-N -1 1 303.366 1.362 20 0 DDADMM C[C@@H]1CN(CCO)CCN1C(=O)c1ccc2ccccc2c1[O-] ZINC000457102719 420615105 /nfs/dbraw/zinc/61/51/05/420615105.db2.gz BQVNQSFLIWKMSU-CYBMUJFWSA-N -1 1 314.385 1.684 20 0 DDADMM CCO/C=C/C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000493452116 420853254 /nfs/dbraw/zinc/85/32/54/420853254.db2.gz LPMHGFZGBCJUAH-VOTSOKGWSA-N -1 1 302.327 1.686 20 0 DDADMM COc1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)c(OC)c1 ZINC000493462582 420857355 /nfs/dbraw/zinc/85/73/55/420857355.db2.gz NYXSDQKHHGOGIN-VURMDHGXSA-N -1 1 317.349 1.282 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)N=c1ccc(OC(C)C)n[n-]1 ZINC000455238206 420988042 /nfs/dbraw/zinc/98/80/42/420988042.db2.gz OXGQZRHKWXQZEF-CYBMUJFWSA-N -1 1 321.425 1.634 20 0 DDADMM COC(=O)C1(CNC(=O)c2cnc3nc(C)ccc3c2[O-])CC1 ZINC000487201898 421009996 /nfs/dbraw/zinc/00/99/96/421009996.db2.gz JPNFDKNEQADNCI-UHFFFAOYSA-N -1 1 315.329 1.327 20 0 DDADMM COC(=O)C1(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)CC1 ZINC000487201898 421009999 /nfs/dbraw/zinc/00/99/99/421009999.db2.gz JPNFDKNEQADNCI-UHFFFAOYSA-N -1 1 315.329 1.327 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)OC)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000495910002 421089487 /nfs/dbraw/zinc/08/94/87/421089487.db2.gz HRCUECZICRQHPO-WDSKDSINSA-N -1 1 323.275 1.533 20 0 DDADMM COc1cccc(OCCC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000450006963 421126818 /nfs/dbraw/zinc/12/68/18/421126818.db2.gz WUNXSGPFJOIBJG-UHFFFAOYSA-N -1 1 305.338 1.029 20 0 DDADMM COc1ccccc1C=CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000492223193 421215774 /nfs/dbraw/zinc/21/57/74/421215774.db2.gz UIQOKHZWLGKXLN-YXVBKTCISA-N -1 1 313.361 1.628 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc2c(s1)CCC2 ZINC000524577029 421262781 /nfs/dbraw/zinc/26/27/81/421262781.db2.gz AEUSRXGGWBEVSA-UHFFFAOYSA-N -1 1 303.391 1.949 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCN2CC=C(C)CC2)sc1C ZINC000545830747 421264996 /nfs/dbraw/zinc/26/49/96/421264996.db2.gz FXKYROWIZHUBJW-UHFFFAOYSA-N -1 1 315.464 1.690 20 0 DDADMM C[C@H]1c2ccsc2CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546080395 421282962 /nfs/dbraw/zinc/28/29/62/421282962.db2.gz BKJDGQWTACVPAH-QMMMGPOBSA-N -1 1 315.358 1.239 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1c[nH]c(=O)c2ccccc12 ZINC000526053765 421326960 /nfs/dbraw/zinc/32/69/60/421326960.db2.gz CUDDPBWGZRLKHB-UHFFFAOYSA-N -1 1 324.344 1.653 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3ccc([O-])cc3F)[C@H]2C1 ZINC000562223165 421344438 /nfs/dbraw/zinc/34/44/38/421344438.db2.gz HTVYDWMTWFTODO-HZUKXOBISA-N -1 1 320.364 1.908 20 0 DDADMM Cc1cc(C)cc(C2=CCN(CC(=O)Nc3nnn[n-]3)CC2)c1 ZINC000562703585 421401392 /nfs/dbraw/zinc/40/13/92/421401392.db2.gz SVQHMHXLQXBKIK-UHFFFAOYSA-N -1 1 312.377 1.544 20 0 DDADMM Cc1cc(C)cc(C2=CCN(CC(=O)Nc3nn[n-]n3)CC2)c1 ZINC000562703585 421401395 /nfs/dbraw/zinc/40/13/95/421401395.db2.gz SVQHMHXLQXBKIK-UHFFFAOYSA-N -1 1 312.377 1.544 20 0 DDADMM C[C@H](CCCCC(=O)[O-])NS(=O)(=O)[C@@H](C)C(F)(F)F ZINC000548220241 421412328 /nfs/dbraw/zinc/41/23/28/421412328.db2.gz ZGZIIAHTUXVAPG-SFYZADRCSA-N -1 1 305.318 1.890 20 0 DDADMM CCO[C@@H]1C[C@@](NCc2ncccc2[O-])(C(=O)OC)C1(C)C ZINC000514612653 421440829 /nfs/dbraw/zinc/44/08/29/421440829.db2.gz SDGYAGDNLDBCMT-CZUORRHYSA-N -1 1 308.378 1.624 20 0 DDADMM CC(C)[C@H](CN=c1[n-]c(C(F)(F)F)ns1)N1CCOCC1 ZINC000515729333 421515860 /nfs/dbraw/zinc/51/58/60/421515860.db2.gz GNVIEYLXHZMARE-VIFPVBQESA-N -1 1 324.372 1.748 20 0 DDADMM CO[C@H]1C[C@@H](C(=O)[O-])N(CCOCCOc2ccccc2)C1 ZINC000515855931 421519664 /nfs/dbraw/zinc/51/96/64/421519664.db2.gz MMPRVTNIGRBFHN-GJZGRUSLSA-N -1 1 309.362 1.256 20 0 DDADMM C[C@@](O)(CNC(=O)c1c(O)cc(Cl)cc1Cl)C(=O)[O-] ZINC000563958306 421561574 /nfs/dbraw/zinc/56/15/74/421561574.db2.gz URLNQIRCXXJUKL-LLVKDONJSA-N -1 1 308.117 1.264 20 0 DDADMM O=C(Cc1nsc2ccccc12)NC1(c2nn[n-]n2)CC1 ZINC000571397642 421711608 /nfs/dbraw/zinc/71/16/08/421711608.db2.gz NLQOZOZDIWETJE-UHFFFAOYSA-N -1 1 300.347 1.157 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)C1(Cc2ccccc2F)CC1 ZINC000556806629 421738935 /nfs/dbraw/zinc/73/89/35/421738935.db2.gz KQXBZAKABJKHAP-UHFFFAOYSA-N -1 1 317.324 1.265 20 0 DDADMM CCc1noc(CC)c1CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538223830 421737911 /nfs/dbraw/zinc/73/79/11/421737911.db2.gz CTXWDJSFODFFJD-SNVBAGLBSA-N -1 1 318.381 1.261 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2C[C@@H](C)O[C@@H]2C)sc1C ZINC000557088578 421758582 /nfs/dbraw/zinc/75/85/82/421758582.db2.gz PLWZXVXNGJQJEM-ZOFUNIGCSA-N -1 1 304.437 1.852 20 0 DDADMM CC(C)Cn1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)cn1 ZINC000542895041 421832211 /nfs/dbraw/zinc/83/22/11/421832211.db2.gz JFLXCZAWUSLYCE-UHFFFAOYSA-N -1 1 303.370 1.252 20 0 DDADMM NC(=O)CCCCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000540699245 421767765 /nfs/dbraw/zinc/76/77/65/421767765.db2.gz QVWXZQFRMNYRQM-UHFFFAOYSA-N -1 1 304.268 1.796 20 0 DDADMM CC[C@@H]1CCCC[C@H]1N(C)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000572564695 421799763 /nfs/dbraw/zinc/79/97/63/421799763.db2.gz SVTYKLWIYQLEKK-GHMZBOCLSA-N -1 1 301.412 1.985 20 0 DDADMM Cc1oc(CN(C)CCN2C[C@H]3CC[C@@H](C2)O3)cc1C(=O)[O-] ZINC000572609194 421805938 /nfs/dbraw/zinc/80/59/38/421805938.db2.gz FQNWKUPLMMCCQK-BETUJISGSA-N -1 1 308.378 1.581 20 0 DDADMM C[C@@H](NCc1cc(C(=O)[O-])nn1C)c1ccc2c(c1)OCO2 ZINC000635305994 421895805 /nfs/dbraw/zinc/89/58/05/421895805.db2.gz UUGZSZDWNVZKTG-SECBINFHSA-N -1 1 303.318 1.698 20 0 DDADMM Cc1nc([C@H](C)NC(=O)[C@@H](C)Cc2cnc[nH]2)sc1C(=O)[O-] ZINC000630157800 421925745 /nfs/dbraw/zinc/92/57/45/421925745.db2.gz AMIPSFDXWXWDDV-CBAPKCEASA-N -1 1 322.390 1.929 20 0 DDADMM O=C([O-])C1(C(=O)NCCN2CCc3ccccc3C2)CCC1 ZINC000581253228 421930756 /nfs/dbraw/zinc/93/07/56/421930756.db2.gz BUJWXBPOCYHMEF-UHFFFAOYSA-N -1 1 302.374 1.416 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NC[C@](C)(C(=O)[O-])c1ccccc1 ZINC000630169127 421933512 /nfs/dbraw/zinc/93/35/12/421933512.db2.gz FNBJVQGVXIUGRQ-SJCJKPOMSA-N -1 1 315.373 1.747 20 0 DDADMM CCO[C@@H]1C[C@](NC(=O)[C@@H](C)Cc2cnc[nH]2)(C(=O)[O-])C1(C)C ZINC000630170809 421935460 /nfs/dbraw/zinc/93/54/60/421935460.db2.gz PFYDMJDWYBBSJU-IETSOEAISA-N -1 1 323.393 1.363 20 0 DDADMM Cc1ccccc1OCC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630224583 421974806 /nfs/dbraw/zinc/97/48/06/421974806.db2.gz PJYVCWMNKPUPQX-AWEZNQCLSA-N -1 1 320.389 1.381 20 0 DDADMM CCc1nc(SCc2noc(CCOC)n2)[n-]c(=O)c1C ZINC000573505635 421971884 /nfs/dbraw/zinc/97/18/84/421971884.db2.gz BNMQRPKRUPEJDE-UHFFFAOYSA-N -1 1 310.379 1.917 20 0 DDADMM Cc1ccc(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1C ZINC000630223356 421972185 /nfs/dbraw/zinc/97/21/85/421972185.db2.gz IOSJPPWNBRMKDG-HNNXBMFYSA-N -1 1 318.417 1.853 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630221816 421972256 /nfs/dbraw/zinc/97/22/56/421972256.db2.gz CLUUJIKYVGSGEI-ZIAGYGMSSA-N -1 1 314.426 1.445 20 0 DDADMM CN(c1ccccc1)[C@H]1CCN(Cc2cc(C(=O)[O-])nn2C)C1 ZINC000635314910 421904717 /nfs/dbraw/zinc/90/47/17/421904717.db2.gz OXBJQUBKLVOZID-AWEZNQCLSA-N -1 1 314.389 1.829 20 0 DDADMM COC[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CCO1 ZINC000630349747 422037624 /nfs/dbraw/zinc/03/76/24/422037624.db2.gz RYWFCSQOLWBPKR-JTQLQIEISA-N -1 1 307.375 1.597 20 0 DDADMM COc1ccc(O)c(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000581623696 422017415 /nfs/dbraw/zinc/01/74/15/422017415.db2.gz YHWPGVUUIXWCJK-UHFFFAOYSA-N -1 1 303.322 1.113 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2ccc(C(F)F)o2)o1 ZINC000632099505 422086798 /nfs/dbraw/zinc/08/67/98/422086798.db2.gz VMZIKAKAPPVXEG-YFKPBYRVSA-N -1 1 307.278 1.948 20 0 DDADMM COc1cc(Cl)ccc1CNC(=O)CCCc1nn[n-]n1 ZINC000635539374 422089778 /nfs/dbraw/zinc/08/97/78/422089778.db2.gz PDBFIABGCTVFPN-UHFFFAOYSA-N -1 1 309.757 1.501 20 0 DDADMM C[C@H]1c2ccc(F)cc2CCN1C(=O)CCCc1nn[n-]n1 ZINC000635590680 422143219 /nfs/dbraw/zinc/14/32/19/422143219.db2.gz OYPSOCHZRPIOBD-JTQLQIEISA-N -1 1 303.341 1.807 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2([C@H](O)C(C)C)CC2)sn1 ZINC000632183798 422145067 /nfs/dbraw/zinc/14/50/67/422145067.db2.gz FXTIOVBGKQQWPN-LLVKDONJSA-N -1 1 304.437 1.527 20 0 DDADMM CCc1ncc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)s1 ZINC000583436909 422165800 /nfs/dbraw/zinc/16/58/00/422165800.db2.gz WMMVGVBRBFZAHL-MRVPVSSYSA-N -1 1 308.363 1.814 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3sc(C)cc3C)nc2n1 ZINC000637419244 422203005 /nfs/dbraw/zinc/20/30/05/422203005.db2.gz ZJFIBSGNGMZRFI-UHFFFAOYSA-N -1 1 317.374 1.333 20 0 DDADMM CC[C@]1([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCOC1 ZINC000632271431 422212884 /nfs/dbraw/zinc/21/28/84/422212884.db2.gz SOAIYHWWEIMQPI-VIFPVBQESA-N -1 1 313.301 1.276 20 0 DDADMM O=S(=O)([N-]c1c[nH]nc1C1CC1)c1cccc2c1OCCO2 ZINC000575002791 422232106 /nfs/dbraw/zinc/23/21/06/422232106.db2.gz XGSSSHQTMFNQSV-UHFFFAOYSA-N -1 1 321.358 1.859 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(N2CCCCC2)nc1 ZINC000630631993 422232322 /nfs/dbraw/zinc/23/23/22/422232322.db2.gz PYQOAWSYJYYLES-UHFFFAOYSA-N -1 1 301.354 1.156 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CSC[C@H]2C)c1 ZINC000632331556 422253199 /nfs/dbraw/zinc/25/31/99/422253199.db2.gz OVTQXEPCZPJYHF-PSASIEDQSA-N -1 1 303.405 1.431 20 0 DDADMM O=C(NC[C@@H]1CCCN1CC(F)F)c1ccc([O-])c(F)c1 ZINC000628619955 422263335 /nfs/dbraw/zinc/26/33/35/422263335.db2.gz GZFQOVAEPGKDHM-JTQLQIEISA-N -1 1 302.296 1.991 20 0 DDADMM C[N@@H+](CC(=O)NCCNC(=O)c1ccc(O)cc1)CC(C)(C)C ZINC000635708792 422265246 /nfs/dbraw/zinc/26/52/46/422265246.db2.gz IKBSEWOTAYGHSW-UHFFFAOYSA-N -1 1 321.421 1.216 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1ccc(F)cc1F)C1CC1 ZINC000630693991 422272010 /nfs/dbraw/zinc/27/20/10/422272010.db2.gz KODJWIDZDNXQMH-UHFFFAOYSA-N -1 1 307.304 1.602 20 0 DDADMM CC[C@@H](O)CN(Cc1ccccc1)C(=O)CCCc1nn[n-]n1 ZINC000635716366 422274848 /nfs/dbraw/zinc/27/48/48/422274848.db2.gz RJKCYVKVULUOJK-CQSZACIVSA-N -1 1 317.393 1.322 20 0 DDADMM CC[C@H](NS(=O)(=O)c1cc(OC)ccc1[O-])C(C)(C)O ZINC000632372688 422284706 /nfs/dbraw/zinc/28/47/06/422284706.db2.gz ALEVDHUTXJVPLU-LBPRGKRZSA-N -1 1 303.380 1.229 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCCC[C@@H]2C(C)=O)c1 ZINC000632383388 422295307 /nfs/dbraw/zinc/29/53/07/422295307.db2.gz IFINMGMQCSVOST-GFCCVEGCSA-N -1 1 313.375 1.533 20 0 DDADMM COc1cccc(C[C@@H]2CCCN2C(=O)CCc2nn[n-]n2)c1 ZINC000630788252 422336998 /nfs/dbraw/zinc/33/69/98/422336998.db2.gz HFARBMWHRMGXFU-ZDUSSCGKSA-N -1 1 315.377 1.375 20 0 DDADMM COc1cccc(CN(CC(C)C)C(=O)CCc2nn[n-]n2)c1 ZINC000630850615 422372527 /nfs/dbraw/zinc/37/25/27/422372527.db2.gz UJVVOAVQLNVJHI-UHFFFAOYSA-N -1 1 317.393 1.826 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCC[C@H]2OC)c1 ZINC000632413082 422321574 /nfs/dbraw/zinc/32/15/74/422321574.db2.gz SDLCOQNWFNASSL-ZYHUDNBSSA-N -1 1 301.364 1.247 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CC1(F)F ZINC000632558846 422435495 /nfs/dbraw/zinc/43/54/95/422435495.db2.gz ZHELOUMZRKSZOF-ZETCQYMHSA-N -1 1 319.255 1.752 20 0 DDADMM CO[C@@H]1C[C@@H](C(=O)[O-])N(Cc2ccc(-n3ccnc3)cc2)C1 ZINC000577856728 422442350 /nfs/dbraw/zinc/44/23/50/422442350.db2.gz GVNRPIYSDKXBFF-CABCVRRESA-N -1 1 301.346 1.546 20 0 DDADMM COc1ccccc1C1([N-]S(=O)(=O)c2conc2C)CC1 ZINC000632498557 422392091 /nfs/dbraw/zinc/39/20/91/422392091.db2.gz QCQJWWJMZFHUFP-UHFFFAOYSA-N -1 1 308.359 1.959 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)CC2CCC2)co1 ZINC000634369083 422409257 /nfs/dbraw/zinc/40/92/57/422409257.db2.gz SDVHJXAHCAOOBD-VIFPVBQESA-N -1 1 300.380 1.496 20 0 DDADMM CC1(C)CN(C(=O)CCCc2nn[n-]n2)[C@@H]1c1ccncc1 ZINC000635962664 422517848 /nfs/dbraw/zinc/51/78/48/422517848.db2.gz WVDMHKXAAHJHLQ-CQSZACIVSA-N -1 1 300.366 1.527 20 0 DDADMM Cc1c(-c2ccccc2)[n-]n([C@@H]2CCCS(=O)(=O)C2)c1=O ZINC000634633775 422518955 /nfs/dbraw/zinc/51/89/55/422518955.db2.gz GFHFZQWZBMJYDJ-DGCLKSJQSA-N -1 1 306.387 1.446 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](C)[C@H](CO)C2)c1 ZINC000632585960 422454844 /nfs/dbraw/zinc/45/48/44/422454844.db2.gz HAVICDGYQAKDID-QWRGUYRKSA-N -1 1 315.391 1.040 20 0 DDADMM Cn1cc(CCSc2nc([O-])cc(=O)n2C2CCCC2)nn1 ZINC000629125096 422485162 /nfs/dbraw/zinc/48/51/62/422485162.db2.gz PLCSGVLGKKBUEZ-UHFFFAOYSA-N -1 1 321.406 1.527 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2c3c(nn2C)CCCC3)c1C ZINC000634656586 422539638 /nfs/dbraw/zinc/53/96/38/422539638.db2.gz VATLUHLXYNGZLK-UHFFFAOYSA-N -1 1 309.395 1.440 20 0 DDADMM COC[C@H](c1ccc(Cl)cc1)N(C)C(=O)CCc1nn[n-]n1 ZINC000632787221 422576207 /nfs/dbraw/zinc/57/62/07/422576207.db2.gz PVPVCNZFEKVKAU-GFCCVEGCSA-N -1 1 323.784 1.632 20 0 DDADMM CCn1nnc(C)c1CN1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000578660854 422621904 /nfs/dbraw/zinc/62/19/04/422621904.db2.gz YGMHVHACCOIYIC-OAHLLOKOSA-N -1 1 300.362 1.790 20 0 DDADMM Cc1cc(C)n(C[C@@H]2CN(Cc3ncccc3[O-])CCO2)n1 ZINC000578679934 422624147 /nfs/dbraw/zinc/62/41/47/422624147.db2.gz XDTPFIGVQXOJHM-AWEZNQCLSA-N -1 1 302.378 1.502 20 0 DDADMM COc1ncc(CN2CC[C@H](C(=O)[O-])[C@H]3CCC[C@@H]32)c(C)n1 ZINC000629376546 422640661 /nfs/dbraw/zinc/64/06/61/422640661.db2.gz IORABKSMGLJWTJ-RDBSUJKOSA-N -1 1 305.378 1.869 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2cc(C)cn(C)c2=O)CCC1 ZINC000634790726 422651117 /nfs/dbraw/zinc/65/11/17/422651117.db2.gz SLSDFFVVQXDUMS-UHFFFAOYSA-N -1 1 300.380 1.005 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1c[nH]c2ccc(F)cc12 ZINC000631339613 422697281 /nfs/dbraw/zinc/69/72/81/422697281.db2.gz DWFOTALLUNFRFP-UHFFFAOYSA-N -1 1 302.313 1.112 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC000629495582 422701433 /nfs/dbraw/zinc/70/14/33/422701433.db2.gz JDZAGQOCOKPYBG-WDEREUQCSA-N -1 1 324.343 1.828 20 0 DDADMM COC(=O)c1ccc(C)c(NC(=O)CN2CC[C@@H](C(=O)[O-])C2)c1 ZINC000579126574 422712176 /nfs/dbraw/zinc/71/21/76/422712176.db2.gz PCGHYZZPQQRKGQ-GFCCVEGCSA-N -1 1 320.345 1.127 20 0 DDADMM C[C@@H]1CN(C(=O)CCCc2nn[n-]n2)CC2(CCCCC2)O1 ZINC000636142157 422673053 /nfs/dbraw/zinc/67/30/53/422673053.db2.gz GCIKBPDZVGDGPI-GFCCVEGCSA-N -1 1 307.398 1.473 20 0 DDADMM CC1(C)[C@H](C(=O)[O-])[C@@H]1C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000634846576 422681575 /nfs/dbraw/zinc/68/15/75/422681575.db2.gz LYWYSJIRZFOGPH-ZNMIVQPWSA-N -1 1 316.401 1.734 20 0 DDADMM CO[C@@H](c1ccc(Cl)cc1)[C@@H](C)NC(=O)CCc1nn[n-]n1 ZINC000631383912 422730627 /nfs/dbraw/zinc/73/06/27/422730627.db2.gz MYZVAOMZAIMDMT-YMTOWFKASA-N -1 1 323.784 1.678 20 0 DDADMM Cn1nccc1CNC(=O)c1cc(Br)ccc1[O-] ZINC000029666564 263251174 /nfs/dbraw/zinc/25/11/74/263251174.db2.gz MAKDPUXYPKSWHG-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM CC1(C)COCC[N@@H+]1CCNC(=O)/C=C/c1cncc(O)c1 ZINC000181975881 263371427 /nfs/dbraw/zinc/37/14/27/263371427.db2.gz IGKYILMXDIFFLX-ONEGZZNKSA-N -1 1 305.378 1.027 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@]3(CC3(F)F)C2)co1 ZINC000653004309 423255942 /nfs/dbraw/zinc/25/59/42/423255942.db2.gz OGDPYUAAGCMLLH-NSHDSACASA-N -1 1 320.317 1.059 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc(F)c(F)c3)nc2n1 ZINC000643909460 423402035 /nfs/dbraw/zinc/40/20/35/423402035.db2.gz ZNFATFFNXCQOHK-UHFFFAOYSA-N -1 1 305.244 1.257 20 0 DDADMM O=C([O-])[C@@]1(C(=O)Nc2ccn(-c3ccncc3)n2)CC=CCC1 ZINC000653306347 423432550 /nfs/dbraw/zinc/43/25/50/423432550.db2.gz KTMSSXPPKPHOGM-INIZCTEOSA-N -1 1 312.329 1.439 20 0 DDADMM CC[C@@H](OCCN=c1ccc(C(N)=O)n[n-]1)c1ccccc1 ZINC000651392567 423521134 /nfs/dbraw/zinc/52/11/34/423521134.db2.gz VBKYFRSUPDSXAI-CQSZACIVSA-N -1 1 300.362 1.577 20 0 DDADMM O=C(N[C@@H]1CC[C@@](O)(C(F)(F)F)C1)C(=O)c1ccc([O-])cc1 ZINC000646724147 423588215 /nfs/dbraw/zinc/58/82/15/423588215.db2.gz OHWYNRGQEZBFJP-RNCFNFMXSA-N -1 1 317.263 1.537 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2(C(F)F)CCCC2)co1 ZINC000644560650 423705673 /nfs/dbraw/zinc/70/56/73/423705673.db2.gz ZTLZCJYNIFHJCW-UHFFFAOYSA-N -1 1 322.333 1.495 20 0 DDADMM CC(=O)Nc1ccc(CN[C@H](C(=O)[O-])c2ccnn2C)cc1 ZINC000644598221 423718494 /nfs/dbraw/zinc/71/84/94/423718494.db2.gz QZVTXLYNTJMJOS-AWEZNQCLSA-N -1 1 302.334 1.294 20 0 DDADMM COc1cc(C)nc(CN2CCC[C@@H](c3n[n-]c(=N)o3)C2)c1 ZINC000639821833 423759891 /nfs/dbraw/zinc/75/98/91/423759891.db2.gz KUJPVQMVQPJGQW-LLVKDONJSA-N -1 1 303.366 1.574 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCS(=O)(=O)[C@@H]2CCC[C@H]21 ZINC000654343122 423775224 /nfs/dbraw/zinc/77/52/24/423775224.db2.gz BLFGHKUBONTEOO-DGCLKSJQSA-N -1 1 313.350 1.323 20 0 DDADMM CCOc1ncc(C)cc1[N-]S(=O)(=O)C1(COC)CC1 ZINC000641687434 423860240 /nfs/dbraw/zinc/86/02/40/423860240.db2.gz BRZBTKFRNRRNLM-UHFFFAOYSA-N -1 1 300.380 1.709 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccc(-c3ncn(C)n3)cc2)CC1 ZINC000641685526 423861046 /nfs/dbraw/zinc/86/10/46/423861046.db2.gz PYKDWTRGADXQAH-UHFFFAOYSA-N -1 1 322.390 1.403 20 0 DDADMM CCC[C@@H]1CN(C(=O)C(C)(C)C(=O)[O-])CCN1CCOC ZINC000649421483 423894929 /nfs/dbraw/zinc/89/49/29/423894929.db2.gz BQVJEXNEZAMQMA-GFCCVEGCSA-N -1 1 300.399 1.057 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000647368888 424001072 /nfs/dbraw/zinc/00/10/72/424001072.db2.gz RGWUNYQRXJYDQJ-OLZOCXBDSA-N -1 1 320.389 1.985 20 0 DDADMM O=C(N[C@@H]1CCOC1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000644979844 424094292 /nfs/dbraw/zinc/09/42/92/424094292.db2.gz AGRHKRCSJJUCKZ-CQSZACIVSA-N -1 1 318.373 1.785 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnc(N(C)C)c(Cl)c1)c1nn[n-]n1 ZINC000654997831 424104479 /nfs/dbraw/zinc/10/44/79/424104479.db2.gz NAWQVSWIDWFBPN-MRVPVSSYSA-N -1 1 323.788 1.190 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C1(CO)CC1 ZINC000657101531 424106439 /nfs/dbraw/zinc/10/64/39/424106439.db2.gz AZNMBXFNISZQAW-SSDOTTSWSA-N -1 1 309.309 1.543 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCC3(C(=O)[O-])CCC3)c[n-]c2[nH+]1 ZINC000659786090 424254303 /nfs/dbraw/zinc/25/43/03/424254303.db2.gz ZIZLJHJATPSVOU-UHFFFAOYSA-N -1 1 315.329 1.629 20 0 DDADMM CCCCn1nnnc1CN1C[C@@H]2CCCC[C@]2(C(=O)[O-])C1 ZINC000659798771 424260669 /nfs/dbraw/zinc/26/06/69/424260669.db2.gz QGECJILCFHNTEZ-WFASDCNBSA-N -1 1 307.398 1.550 20 0 DDADMM COC[C@H](CCNC(=O)N=c1[n-]sc2ccccc21)OC ZINC000640338386 424360900 /nfs/dbraw/zinc/36/09/00/424360900.db2.gz VUTHLKWJEBTOJS-JTQLQIEISA-N -1 1 309.391 1.891 20 0 DDADMM CC(C)N1CC[C@@H](NC(=O)N=c2[n-]sc3ccccc32)C1=O ZINC000640341837 424364183 /nfs/dbraw/zinc/36/41/83/424364183.db2.gz ZEKOKYSPOABRDN-LLVKDONJSA-N -1 1 318.402 1.849 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2ccccc2SC)on1 ZINC000660012524 424456208 /nfs/dbraw/zinc/45/62/08/424456208.db2.gz MEAYNAGDDYMMTA-UHFFFAOYSA-N -1 1 314.388 1.884 20 0 DDADMM O=C(N=c1ccc(-c2ccccc2)n[n-]1)NCCCc1nc[nH]n1 ZINC000345539255 272277099 /nfs/dbraw/zinc/27/70/99/272277099.db2.gz NLOGMCSFPPTFEQ-UHFFFAOYSA-N -1 1 323.360 1.438 20 0 DDADMM COc1ccc(O)c(NS(=O)(=O)c2cccc(C(=O)[O-])c2)c1 ZINC000345676814 272302298 /nfs/dbraw/zinc/30/22/98/272302298.db2.gz NZEAGHMZYNZHME-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM C[C@@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])c1cccnc1 ZINC000347712467 272742752 /nfs/dbraw/zinc/74/27/52/272742752.db2.gz FFRAWOPIAGEJIC-SECBINFHSA-N -1 1 321.358 1.177 20 0 DDADMM O=C(N[C@@H]1[C@H]2Cc3ccccc3[C@@H]12)c1nc2ccccc2c(=O)[n-]1 ZINC000133832041 274990062 /nfs/dbraw/zinc/99/00/62/274990062.db2.gz NFVQXMFTDYZNAM-NUEKZKHPSA-N -1 1 317.348 1.991 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1(C)C ZINC000440328578 277350649 /nfs/dbraw/zinc/35/06/49/277350649.db2.gz LLMKPNOOTXRNBC-RYUDHWBXSA-N -1 1 301.346 1.466 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCCc2ccccc2CC1 ZINC000333076463 279268021 /nfs/dbraw/zinc/26/80/21/279268021.db2.gz LAZBWGWNAFRZHK-UHFFFAOYSA-N -1 1 321.402 1.575 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCN(c2cnccn2)CC1 ZINC000125088687 281201370 /nfs/dbraw/zinc/20/13/70/281201370.db2.gz PYEFIDRYXBCIEL-UHFFFAOYSA-N -1 1 318.764 1.798 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1c2ccccc2CC[C@@H]1C ZINC000331946643 294016315 /nfs/dbraw/zinc/01/63/15/294016315.db2.gz MUEPDNBCRCOBTB-ZANVPECISA-N -1 1 321.402 1.997 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1c2ccccc2CC[C@@H]1C ZINC000331946643 294016316 /nfs/dbraw/zinc/01/63/16/294016316.db2.gz MUEPDNBCRCOBTB-ZANVPECISA-N -1 1 321.402 1.997 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCCOc2ccccc21 ZINC000289591004 294063420 /nfs/dbraw/zinc/06/34/20/294063420.db2.gz VVONUQNRHNMHJG-LLVKDONJSA-N -1 1 323.374 1.757 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC(=O)N(C3CC3)C2)c1 ZINC000573108742 304579664 /nfs/dbraw/zinc/57/96/64/304579664.db2.gz HQGFEFNRLGXJNQ-SNVBAGLBSA-N -1 1 318.329 1.128 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@H]1CCC[C@@H](F)C1 ZINC000573364678 304592354 /nfs/dbraw/zinc/59/23/54/304592354.db2.gz HONINIFBDWLELP-SFYZADRCSA-N -1 1 322.383 1.489 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H]2CCN(c3ccn(C)n3)C2=O)n1 ZINC000368915994 301105486 /nfs/dbraw/zinc/10/54/86/301105486.db2.gz WDNCIULKBRSOHA-JTQLQIEISA-N -1 1 319.390 1.376 20 0 DDADMM FC(F)(F)c1csc(NC[C@H]2C[N@H+]3CCC[C@@H]3CO2)n1 ZINC000370749687 301384015 /nfs/dbraw/zinc/38/40/15/301384015.db2.gz VZKBFYLTPOJDMM-BDAKNGLRSA-N -1 1 307.341 1.859 20 0 DDADMM CCC(C)(C)NC(=O)[C@H](C)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000373839617 301736205 /nfs/dbraw/zinc/73/62/05/301736205.db2.gz QLQIDOCNVYPJBL-JTQLQIEISA-N -1 1 309.414 1.383 20 0 DDADMM NC(=O)[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)c1cc(F)ccc1[O-] ZINC000376824811 302108855 /nfs/dbraw/zinc/10/88/55/302108855.db2.gz XZIWWVXWCWKFDL-BIMULSAOSA-N -1 1 306.337 1.790 20 0 DDADMM CCN(C(=O)c1cncc([O-])c1)C1CN(C(=O)OC(C)(C)C)C1 ZINC000495801973 302468900 /nfs/dbraw/zinc/46/89/00/302468900.db2.gz ZPMNJYBCVZCMMP-UHFFFAOYSA-N -1 1 321.377 1.869 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2)on1 ZINC000525460489 302911735 /nfs/dbraw/zinc/91/17/35/302911735.db2.gz CXLFUTIZXPSUAT-UHFFFAOYSA-N -1 1 302.302 1.994 20 0 DDADMM CC1(C)C[C@@H](C(=O)N2CCC[C@H](c3nn[n-]n3)C2)C(C)(C)O1 ZINC000528882802 303069292 /nfs/dbraw/zinc/06/92/92/303069292.db2.gz NLSHPHRWBGIYTN-QWRGUYRKSA-N -1 1 307.398 1.499 20 0 DDADMM CC1(C)NC(=O)N(CCCC(=O)Nc2cccc(F)c2[O-])C1=O ZINC000530006044 303170121 /nfs/dbraw/zinc/17/01/21/303170121.db2.gz MYKIGDDADDEXPT-UHFFFAOYSA-N -1 1 323.324 1.580 20 0 DDADMM O=C([O-])[C@H]1c2ccoc2CCN1C[C@H](O)COc1ccccc1 ZINC000530168134 303178287 /nfs/dbraw/zinc/17/82/87/303178287.db2.gz ILHREOCGLNHXSB-BLLLJJGKSA-N -1 1 317.341 1.703 20 0 DDADMM CO[C@@]1(C(=O)[O-])CC[N@H+]([C@@H](C)c2nc(-c3ccccc3)no2)C1 ZINC000530168552 303178839 /nfs/dbraw/zinc/17/88/39/303178839.db2.gz DJSISSZECMWFAO-ZBEGNZNMSA-N -1 1 317.345 1.973 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN([C@@H](C)c2nc(-c3ccccc3)no2)C1 ZINC000530168552 303178840 /nfs/dbraw/zinc/17/88/40/303178840.db2.gz DJSISSZECMWFAO-ZBEGNZNMSA-N -1 1 317.345 1.973 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@H](OCc3ccncc3)C2)c([O-])c1 ZINC000530298727 303191334 /nfs/dbraw/zinc/19/13/34/303191334.db2.gz SGWIEZQBPIZURC-AWEZNQCLSA-N -1 1 313.357 1.922 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)C[C@H]2CCCO2)sc1C ZINC000532932161 303302187 /nfs/dbraw/zinc/30/21/87/303302187.db2.gz DFVCYVYMWNGZBK-LDYMZIIASA-N -1 1 304.437 1.996 20 0 DDADMM COc1cc[nH]c(=O)c1C(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000357605318 306897940 /nfs/dbraw/zinc/89/79/40/306897940.db2.gz INUXRJBCAZVDDH-UHFFFAOYSA-N -1 1 316.342 1.922 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@H]1C1CCCC1 ZINC000543700753 303461820 /nfs/dbraw/zinc/46/18/20/303461820.db2.gz XSMAACSKARDDKT-LBPRGKRZSA-N -1 1 301.350 1.212 20 0 DDADMM O=C(N[C@H]1C[C@H]1C1CCCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000544678524 303475648 /nfs/dbraw/zinc/47/56/48/303475648.db2.gz AHLAGEHYOIDSEL-QWRGUYRKSA-N -1 1 301.350 1.116 20 0 DDADMM O=C(CN1CCC2(CC1)OCCCO2)[N-]OCc1ccccc1 ZINC000293234747 303604065 /nfs/dbraw/zinc/60/40/65/303604065.db2.gz ZJEVEAMWFUKJRJ-UHFFFAOYSA-N -1 1 320.389 1.463 20 0 DDADMM CC(C)(C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000364530490 307047226 /nfs/dbraw/zinc/04/72/26/307047226.db2.gz YTTKHRCRPPUIMZ-LBPRGKRZSA-N -1 1 301.350 1.077 20 0 DDADMM COC(=O)c1[n-]c(=NCC2(O)Cc3ccccc3C2)sc1C ZINC000365038305 307057337 /nfs/dbraw/zinc/05/73/37/307057337.db2.gz CTWGAFPVCOSLBQ-UHFFFAOYSA-N -1 1 318.398 1.602 20 0 DDADMM Cc1c[nH]nc1NC(=O)N=c1[n-]nc(-c2c[nH]nc2C)s1 ZINC000367548770 307097047 /nfs/dbraw/zinc/09/70/47/307097047.db2.gz FUQYIOLRDUUHLH-UHFFFAOYSA-N -1 1 304.339 1.334 20 0 DDADMM FC(F)(F)c1nc(=N[C@H]2CCO[C@@]3(CCOC3)C2)s[n-]1 ZINC000368545945 307105362 /nfs/dbraw/zinc/10/53/62/307105362.db2.gz OTASSQYXMVPFMD-XVKPBYJWSA-N -1 1 309.313 1.729 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCNC(=O)[C@H]1c1ccccc1F ZINC000368921017 307112682 /nfs/dbraw/zinc/11/26/82/307112682.db2.gz XFDMMIOMOCZSOT-CQSZACIVSA-N -1 1 315.304 1.240 20 0 DDADMM CN1CCN(C2(C(=O)[N-]OCc3cccnc3)CCCC2)CC1 ZINC000372168780 307164935 /nfs/dbraw/zinc/16/49/35/307164935.db2.gz JGURMQCBORWYRG-UHFFFAOYSA-N -1 1 318.421 1.190 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@@H]1[C@@H]1CCCO1)c2=O ZINC000375970372 307236958 /nfs/dbraw/zinc/23/69/58/307236958.db2.gz VWPVKYDAFROEBO-OLZOCXBDSA-N -1 1 316.361 1.458 20 0 DDADMM Cc1nc([C@H](NC(=O)CCCCC(=O)[O-])c2ccccc2)n[nH]1 ZINC000377583715 307273592 /nfs/dbraw/zinc/27/35/92/307273592.db2.gz HGQJRBULYUWHGV-OAHLLOKOSA-N -1 1 316.361 1.964 20 0 DDADMM N=c1nc(N2CCN(c3nccc4ccccc43)CC2)s[n-]1 ZINC000527921525 307521924 /nfs/dbraw/zinc/52/19/24/307521924.db2.gz ZXYPTINRTOLNCU-UHFFFAOYSA-N -1 1 312.402 1.825 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@H]1CCCO1 ZINC000535898277 307661819 /nfs/dbraw/zinc/66/18/19/307661819.db2.gz IFSTWVDXDVPXTG-GHMZBOCLSA-N -1 1 304.437 1.996 20 0 DDADMM C[S@](=O)C1(C[N-]S(=O)(=O)c2cc3ccccc3o2)CC1 ZINC000559797955 307904643 /nfs/dbraw/zinc/90/46/43/307904643.db2.gz XJDVEZRMUWZKBU-IBGZPJMESA-N -1 1 313.400 1.622 20 0 DDADMM Cn1c(CN2CCC[C@@H]2CC(=O)[O-])nnc1-c1ccccc1 ZINC000566920296 308066470 /nfs/dbraw/zinc/06/64/70/308066470.db2.gz JVUDHZHYNXQHAB-CYBMUJFWSA-N -1 1 300.362 1.921 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC[C@@H](F)C1 ZINC000567467716 308081428 /nfs/dbraw/zinc/08/14/28/308081428.db2.gz KGBGMOBQYAWMLO-BDAKNGLRSA-N -1 1 319.358 1.145 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)N=c2ncn(C(C)(C)C)[n-]2)n[nH]1 ZINC000569906333 308153867 /nfs/dbraw/zinc/15/38/67/308153867.db2.gz FTZNHOCIYJAWNR-UHFFFAOYSA-N -1 1 320.401 1.192 20 0 DDADMM Cc1ccc(C[C@@H](C)N(C)Cc2cn(CC(=O)[O-])nn2)cc1 ZINC000571768006 308201502 /nfs/dbraw/zinc/20/15/02/308201502.db2.gz HDAFSICVEIFNSR-CYBMUJFWSA-N -1 1 302.378 1.734 20 0 DDADMM CC(C)c1n[n-]c(=NC(=O)c2ccn(CCN(C)C)n2)s1 ZINC000574411173 308270112 /nfs/dbraw/zinc/27/01/12/308270112.db2.gz AXLVTDSCKDZVQV-UHFFFAOYSA-N -1 1 308.411 1.094 20 0 DDADMM COc1nc(=NCc2c(C(C)C)nc3sc(C)nn23)[n-][nH]1 ZINC000576933197 308350173 /nfs/dbraw/zinc/35/01/73/308350173.db2.gz BWDBALCXHNKUOX-UHFFFAOYSA-N -1 1 307.383 1.383 20 0 DDADMM CC(C)Oc1ccc([C@H](CN=c2nn[n-]n2C)N(C)C)cc1 ZINC000581153420 308657512 /nfs/dbraw/zinc/65/75/12/308657512.db2.gz SHHCBEMLXTYYIM-AWEZNQCLSA-N -1 1 304.398 1.134 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Br)cc2[O-])C[C@@H]1O ZINC000387764810 325767658 /nfs/dbraw/zinc/76/76/58/325767658.db2.gz AVCANVBSSKREFH-CPCISQLKSA-N -1 1 300.152 1.608 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1nc2ccccc2s1)OC ZINC000583081741 337237218 /nfs/dbraw/zinc/23/72/18/337237218.db2.gz YBMMUWLXAJJDOR-SECBINFHSA-N -1 1 300.405 2.000 20 0 DDADMM COc1cccc(NC(=O)CN2C[C@H](C(=O)[O-])CC[C@@H]2C)c1 ZINC000397029906 337242395 /nfs/dbraw/zinc/24/23/95/337242395.db2.gz OLERSZHXEURSKC-NWDGAFQWSA-N -1 1 306.362 1.819 20 0 DDADMM C[C@H]1CN(c2ncc(C(=O)[O-])cn2)CCN1Cc1ccccc1 ZINC000396396798 337243974 /nfs/dbraw/zinc/24/39/74/337243974.db2.gz WCKBXXCSLZJGQH-ZDUSSCGKSA-N -1 1 312.373 1.886 20 0 DDADMM O=C(COC[C@@H]1CCOC1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000583724014 337319067 /nfs/dbraw/zinc/31/90/67/337319067.db2.gz RZOCBAQWLZRYQI-LLVKDONJSA-N -1 1 317.345 1.774 20 0 DDADMM COC(=O)c1cncc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)c1 ZINC000583789928 337333483 /nfs/dbraw/zinc/33/34/83/337333483.db2.gz FPEJDBFYIBXQJX-UHFFFAOYSA-N -1 1 310.335 1.009 20 0 DDADMM CCCCCc1cc(C(=O)N2C[C@@H](C)O[C@@H](C(=O)[O-])C2)n[nH]1 ZINC000659163254 484664951 /nfs/dbraw/zinc/66/49/51/484664951.db2.gz QFEAHJHKLVMWMJ-ZWNOBZJWSA-N -1 1 309.366 1.457 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)C2(C(=O)[O-])CC=CC2)C[C@H](C)O1 ZINC000663110158 484675107 /nfs/dbraw/zinc/67/51/07/484675107.db2.gz PXFRGZLIWYXSON-BETUJISGSA-N -1 1 310.394 1.023 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1n[nH]nc1-c1ccccc1)C1CCC1 ZINC000663154346 484707727 /nfs/dbraw/zinc/70/77/27/484707727.db2.gz UQLJEYCMVXYZIM-LBPRGKRZSA-N -1 1 314.345 1.702 20 0 DDADMM CC[C@@](C)(NC(=O)NC[C@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663271317 484777217 /nfs/dbraw/zinc/77/72/17/484777217.db2.gz MBWINTBQWKFXKT-RHSMWYFYSA-N -1 1 319.405 1.813 20 0 DDADMM O=C(CCOc1ccccc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670383300 484801190 /nfs/dbraw/zinc/80/11/90/484801190.db2.gz BBDAXAMGLVTAOT-LBPRGKRZSA-N -1 1 317.345 1.950 20 0 DDADMM CCO[C@H]1C[C@@H]([N-]S(=O)(=O)c2c(F)cc(OC)cc2F)C1 ZINC000668242417 485051050 /nfs/dbraw/zinc/05/10/50/485051050.db2.gz KAIHNKSFMODJQY-WAAGHKOSSA-N -1 1 321.345 1.819 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)c2ccn(C)n2)sc1C ZINC000668279395 485074329 /nfs/dbraw/zinc/07/43/29/485074329.db2.gz ONEBWXXKQJWEIB-MRVPVSSYSA-N -1 1 300.409 1.533 20 0 DDADMM O=C(Cc1ccncc1Cl)NC1(c2nn[n-]n2)CCCC1 ZINC000668872681 485346675 /nfs/dbraw/zinc/34/66/75/485346675.db2.gz VQBQMGKXAPILKY-UHFFFAOYSA-N -1 1 306.757 1.376 20 0 DDADMM COc1cc(CCNC(=O)C(=O)c2ccc([O-])cc2)ccc1O ZINC000672897602 485364931 /nfs/dbraw/zinc/36/49/31/485364931.db2.gz AXMCVYQULKQIRU-UHFFFAOYSA-N -1 1 315.325 1.648 20 0 DDADMM CCC[C@H](O)CC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000678654896 485657557 /nfs/dbraw/zinc/65/75/57/485657557.db2.gz AETMWZVQWOBQRD-JTQLQIEISA-N -1 1 318.370 1.687 20 0 DDADMM COC[C@@H]1CCCN1C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000674592156 485674137 /nfs/dbraw/zinc/67/41/37/485674137.db2.gz XNTCGFOJGDJJKL-LBPRGKRZSA-N -1 1 318.377 1.232 20 0 DDADMM Cc1ccc(OCCCC(=O)NCc2nn[n-]n2)c(Cl)c1 ZINC000675790922 486038038 /nfs/dbraw/zinc/03/80/38/486038038.db2.gz KEWXBACKTFUDTO-UHFFFAOYSA-N -1 1 309.757 1.637 20 0 DDADMM Cn1[n-]c(CN2CCC(c3ccc(F)c(F)c3)CC2)nc1=O ZINC000680372620 486166102 /nfs/dbraw/zinc/16/61/02/486166102.db2.gz KLOJNNHUGUPBTG-UHFFFAOYSA-N -1 1 308.332 1.766 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC(=O)C(C)(C)C)c(F)c1 ZINC000680976585 486316566 /nfs/dbraw/zinc/31/65/66/486316566.db2.gz BXAJAGOJYWZUKG-UHFFFAOYSA-N -1 1 321.345 1.867 20 0 DDADMM Cc1ncc2c(n1)CC[C@H](NC(=O)c1ccc([O-])c(F)c1)C2 ZINC000681017332 486323698 /nfs/dbraw/zinc/32/36/98/486323698.db2.gz RSPAGBPGJMQVGF-LBPRGKRZSA-N -1 1 301.321 1.917 20 0 DDADMM Cc1nsc(N2CCN(C(=O)c3ccc([O-])c(F)c3)CC2)n1 ZINC000681051260 486332025 /nfs/dbraw/zinc/33/20/25/486332025.db2.gz XMRNCSMQOAKYNJ-UHFFFAOYSA-N -1 1 322.365 1.654 20 0 DDADMM COc1ncc(NC(=O)c2ccc([O-])c(F)c2)cc1C(N)=O ZINC000681055527 486333581 /nfs/dbraw/zinc/33/35/81/486333581.db2.gz ALDYZVUEIKDYII-UHFFFAOYSA-N -1 1 305.265 1.286 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC[C@H](Cn2ccnn2)C1 ZINC000681058280 486334640 /nfs/dbraw/zinc/33/46/40/486334640.db2.gz KCVLHYYFJVAVMR-NSHDSACASA-N -1 1 304.325 1.675 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc2nc(-c3ccccc3)oc2c1 ZINC000684439722 486354214 /nfs/dbraw/zinc/35/42/14/486354214.db2.gz DXQVQNBPUDBHFV-UHFFFAOYSA-N -1 1 320.312 1.938 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2cc(Cl)cnc2N)o1 ZINC000676891253 486355965 /nfs/dbraw/zinc/35/59/65/486355965.db2.gz FJCZFPIYWDBWKX-RXMQYKEDSA-N -1 1 317.758 1.048 20 0 DDADMM O=C(NCc1nnnn1-c1ccccc1)c1ccc([O-])cc1F ZINC000681517962 486440072 /nfs/dbraw/zinc/44/00/72/486440072.db2.gz NEVUWLCYHLIYCD-UHFFFAOYSA-N -1 1 313.292 1.437 20 0 DDADMM CC[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCCO1 ZINC000685004975 486486711 /nfs/dbraw/zinc/48/67/11/486486711.db2.gz ALLVQIWUJIKZEY-NSHDSACASA-N -1 1 301.346 1.564 20 0 DDADMM CC(C)CN1CCN(C(=O)c2ccc([O-])c(F)c2)[C@H](C)C1=O ZINC000681762733 486518184 /nfs/dbraw/zinc/51/81/84/486518184.db2.gz PWEXUSMSUAPMLZ-LLVKDONJSA-N -1 1 308.353 1.860 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2nc3ccccn3n2)c1 ZINC000677803256 486544717 /nfs/dbraw/zinc/54/47/17/486544717.db2.gz HRUBUOPVXQUULF-UHFFFAOYSA-N -1 1 311.301 1.646 20 0 DDADMM CCN1C(=O)N=NC1C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000677913946 486575648 /nfs/dbraw/zinc/57/56/48/486575648.db2.gz BICIKIHUEQLOJF-UHFFFAOYSA-N -1 1 317.349 1.124 20 0 DDADMM O=C(Nc1c([O-])cccc1F)c1cn(C2CCOCC2)nn1 ZINC000685742943 486590636 /nfs/dbraw/zinc/59/06/36/486590636.db2.gz KCHDAENYRQBMQE-UHFFFAOYSA-N -1 1 306.297 1.727 20 0 DDADMM Cc1cc(CN[C@@]2(C(=O)[O-])CCc3c2cccc3F)n(C)n1 ZINC000417566459 533727474 /nfs/dbraw/zinc/72/74/74/533727474.db2.gz MHRNCAFQBWFXLW-INIZCTEOSA-N -1 1 303.337 1.884 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cc(C)cs2)o1 ZINC000451802093 534239529 /nfs/dbraw/zinc/23/95/29/534239529.db2.gz NDNZJOOKVHTMAF-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCCC[C@H]1F ZINC000412670331 534644579 /nfs/dbraw/zinc/64/45/79/534644579.db2.gz IPEVWUSIYWJRSG-CHWSQXEVSA-N -1 1 320.368 1.991 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCOC[C@H](O)C1 ZINC000295775579 534695150 /nfs/dbraw/zinc/69/51/50/534695150.db2.gz CZLQZQXIYTYTQF-SECBINFHSA-N -1 1 305.252 1.244 20 0 DDADMM CC(C)(C)OC(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000299683516 526397581 /nfs/dbraw/zinc/39/75/81/526397581.db2.gz WFQDDIYTVLTLSM-UHFFFAOYSA-N -1 1 321.377 1.870 20 0 DDADMM CCN(CC)C(=O)[C@@H]1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000436894971 528052299 /nfs/dbraw/zinc/05/22/99/528052299.db2.gz SNNSGMGTEFIOSP-AWEZNQCLSA-N -1 1 318.373 1.434 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc(NC(C)=O)cc1)c1nn[n-]n1 ZINC000434788786 528179742 /nfs/dbraw/zinc/17/97/42/528179742.db2.gz XQWPMDYTFKAASA-ZDUSSCGKSA-N -1 1 316.365 1.358 20 0 DDADMM CCNC(=O)c1ccc(=NCCCCNc2ccccn2)[n-]n1 ZINC000413111878 528228261 /nfs/dbraw/zinc/22/82/61/528228261.db2.gz JHUBXHNREUXBNB-UHFFFAOYSA-N -1 1 314.393 1.347 20 0 DDADMM CC(C)C[C@H](CNC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000424400884 528527017 /nfs/dbraw/zinc/52/70/17/528527017.db2.gz WIAVVAMSLQIDMG-CYBMUJFWSA-N -1 1 318.377 1.880 20 0 DDADMM CC1(C)CC[C@@H](C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000331847473 529015696 /nfs/dbraw/zinc/01/56/96/529015696.db2.gz AEFOAFMSAGNRBW-SNVBAGLBSA-N -1 1 309.439 1.426 20 0 DDADMM CCC(C)(CC)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736314133 598834435 /nfs/dbraw/zinc/83/44/35/598834435.db2.gz LDGJCHWYKXUTSB-UHFFFAOYSA-N -1 1 310.383 1.119 20 0 DDADMM CCC(C)(CC)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736314133 598834436 /nfs/dbraw/zinc/83/44/36/598834436.db2.gz LDGJCHWYKXUTSB-UHFFFAOYSA-N -1 1 310.383 1.119 20 0 DDADMM CC(C)N(C(=O)c1ccc(-c2nnn[n-]2)nc1)C1CCOCC1 ZINC000735856467 598940412 /nfs/dbraw/zinc/94/04/12/598940412.db2.gz HKNFUTDLWDKYLB-UHFFFAOYSA-N -1 1 316.365 1.291 20 0 DDADMM CC(C)N(C(=O)c1ccc(-c2nn[n-]n2)nc1)C1CCOCC1 ZINC000735856467 598940414 /nfs/dbraw/zinc/94/04/14/598940414.db2.gz HKNFUTDLWDKYLB-UHFFFAOYSA-N -1 1 316.365 1.291 20 0 DDADMM COC(=O)[C@@H](C)Sc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736894277 598955960 /nfs/dbraw/zinc/95/59/60/598955960.db2.gz UJZCVYCEJZYOES-SSDOTTSWSA-N -1 1 316.346 1.464 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NCC1CCOCC1 ZINC000738438356 598964072 /nfs/dbraw/zinc/96/40/72/598964072.db2.gz ZCRJLRODSZTASS-UHFFFAOYSA-N -1 1 311.349 1.648 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NCC1CCOCC1 ZINC000738438356 598964074 /nfs/dbraw/zinc/96/40/74/598964074.db2.gz ZCRJLRODSZTASS-UHFFFAOYSA-N -1 1 311.349 1.648 20 0 DDADMM C[C@@H](C1CC1)n1nccc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735963983 598981374 /nfs/dbraw/zinc/98/13/74/598981374.db2.gz TUKGRGWXVWCBQN-VIFPVBQESA-N -1 1 324.348 1.682 20 0 DDADMM C[C@@H](C1CC1)n1nccc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735963983 598981375 /nfs/dbraw/zinc/98/13/75/598981375.db2.gz TUKGRGWXVWCBQN-VIFPVBQESA-N -1 1 324.348 1.682 20 0 DDADMM C[C@H]1C[C@H]1c1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)o1 ZINC000736236146 598993827 /nfs/dbraw/zinc/99/38/27/598993827.db2.gz IDWZLJXMUNIRRM-JOYOIKCWSA-N -1 1 324.344 1.908 20 0 DDADMM C[C@H]1C[C@H]1c1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)o1 ZINC000736236146 598993829 /nfs/dbraw/zinc/99/38/29/598993829.db2.gz IDWZLJXMUNIRRM-JOYOIKCWSA-N -1 1 324.344 1.908 20 0 DDADMM CC(C)(CNC(=O)CSc1n[nH]c(=S)s1)C(=O)[O-] ZINC000826561610 601706918 /nfs/dbraw/zinc/70/69/18/601706918.db2.gz FBUOLTJTLPGTQQ-UHFFFAOYSA-N -1 1 307.422 1.146 20 0 DDADMM CC(C)N1C(=O)CN(c2cccc(-c3nnn[n-]3)n2)CC1(C)C ZINC000820127535 599082838 /nfs/dbraw/zinc/08/28/38/599082838.db2.gz JICFTUFKAKBBSD-UHFFFAOYSA-N -1 1 315.381 1.097 20 0 DDADMM CC(C)N1C(=O)CN(c2cccc(-c3nn[n-]n3)n2)CC1(C)C ZINC000820127535 599082841 /nfs/dbraw/zinc/08/28/41/599082841.db2.gz JICFTUFKAKBBSD-UHFFFAOYSA-N -1 1 315.381 1.097 20 0 DDADMM CN(Cc1ccc(O)cc1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736819476 599105916 /nfs/dbraw/zinc/10/59/16/599105916.db2.gz MLAJCNXWXAOHNQ-UHFFFAOYSA-N -1 1 310.317 1.240 20 0 DDADMM CN(Cc1ccc(O)cc1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736819476 599105918 /nfs/dbraw/zinc/10/59/18/599105918.db2.gz MLAJCNXWXAOHNQ-UHFFFAOYSA-N -1 1 310.317 1.240 20 0 DDADMM CN(C)Cc1cc(CNC(=O)N(C)CCC(=O)[O-])ccc1F ZINC000818385377 596995647 /nfs/dbraw/zinc/99/56/47/596995647.db2.gz HJCSZOGRXNHNGU-UHFFFAOYSA-N -1 1 311.357 1.503 20 0 DDADMM CC(C)C[C@@H](NC(=O)CSc1n[nH]c(=S)s1)C(=O)[O-] ZINC000817865872 597033449 /nfs/dbraw/zinc/03/34/49/597033449.db2.gz WCGZCKUEUSGHMT-ZCFIWIBFSA-N -1 1 321.449 1.534 20 0 DDADMM CCn1nc(C)c(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1C ZINC000736731308 599249499 /nfs/dbraw/zinc/24/94/99/599249499.db2.gz NPICSECPHUCZCF-UHFFFAOYSA-N -1 1 312.337 1.347 20 0 DDADMM CCn1nc(C)c(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1C ZINC000736731308 599249501 /nfs/dbraw/zinc/24/95/01/599249501.db2.gz NPICSECPHUCZCF-UHFFFAOYSA-N -1 1 312.337 1.347 20 0 DDADMM CS[C@H](CO)[C@H](C)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000821950084 607326598 /nfs/dbraw/zinc/32/65/98/607326598.db2.gz KTRGOABAJIQCGE-CRCLSJGQSA-N -1 1 320.831 1.501 20 0 DDADMM CS[C@H](CO)[C@H](C)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000821950084 607326599 /nfs/dbraw/zinc/32/65/99/607326599.db2.gz KTRGOABAJIQCGE-CRCLSJGQSA-N -1 1 320.831 1.501 20 0 DDADMM C[C@@H]1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC(C)(C)O1 ZINC000736289746 598276689 /nfs/dbraw/zinc/27/66/89/598276689.db2.gz NAFBGQPLXLXTEI-MRVPVSSYSA-N -1 1 308.773 1.919 20 0 DDADMM C[C@@H]1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC(C)(C)O1 ZINC000736289746 598276690 /nfs/dbraw/zinc/27/66/90/598276690.db2.gz NAFBGQPLXLXTEI-MRVPVSSYSA-N -1 1 308.773 1.919 20 0 DDADMM CC(C)[C@@H](OC(=O)c1sccc1-c1nn[n-]n1)C(=O)N(C)C ZINC000735735784 598287327 /nfs/dbraw/zinc/28/73/27/598287327.db2.gz VIYPGADRQNBCSO-SECBINFHSA-N -1 1 323.378 1.198 20 0 DDADMM C[C@H](Nc1nccnc1-c1nnn[n-]1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000736135499 598345056 /nfs/dbraw/zinc/34/50/56/598345056.db2.gz APCMWXSQSBKMLV-ZETCQYMHSA-N -1 1 323.320 1.412 20 0 DDADMM C[C@H](Nc1nccnc1-c1nn[n-]n1)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000736135499 598345058 /nfs/dbraw/zinc/34/50/58/598345058.db2.gz APCMWXSQSBKMLV-ZETCQYMHSA-N -1 1 323.320 1.412 20 0 DDADMM CN(CCOc1ccccc1F)c1cnc(-c2nnn[n-]2)cn1 ZINC000736804559 598385558 /nfs/dbraw/zinc/38/55/58/598385558.db2.gz PTXJRTDNRNKTQW-UHFFFAOYSA-N -1 1 315.312 1.311 20 0 DDADMM CN(CCOc1ccccc1F)c1cnc(-c2nn[n-]n2)cn1 ZINC000736804559 598385559 /nfs/dbraw/zinc/38/55/59/598385559.db2.gz PTXJRTDNRNKTQW-UHFFFAOYSA-N -1 1 315.312 1.311 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1cc(-c2ccccc2)on1 ZINC000738371887 598677598 /nfs/dbraw/zinc/67/75/98/598677598.db2.gz LITLUZUKJFBWEO-UHFFFAOYSA-N -1 1 320.312 1.732 20 0 DDADMM Cc1cc(Cn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)no1 ZINC000737261840 598719439 /nfs/dbraw/zinc/71/94/39/598719439.db2.gz AMDFSFJQGJZSMG-UHFFFAOYSA-N -1 1 308.301 1.526 20 0 DDADMM Cn1cc(CCNc2ccc(Cl)c(-c3nnn[n-]3)n2)cn1 ZINC000737600902 598744922 /nfs/dbraw/zinc/74/49/22/598744922.db2.gz BFNANXHNTUUYOJ-UHFFFAOYSA-N -1 1 304.745 1.303 20 0 DDADMM Cn1cc(CCNc2ccc(Cl)c(-c3nn[n-]n3)n2)cn1 ZINC000737600902 598744924 /nfs/dbraw/zinc/74/49/24/598744924.db2.gz BFNANXHNTUUYOJ-UHFFFAOYSA-N -1 1 304.745 1.303 20 0 DDADMM C[C@@H]1CC[C@@H](C)N(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000820684276 599327482 /nfs/dbraw/zinc/32/74/82/599327482.db2.gz NXOQXGQPOQYPMR-NXEZZACHSA-N -1 1 322.394 1.071 20 0 DDADMM C[C@@H]1CC[C@@H](C)N(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000820684276 599327484 /nfs/dbraw/zinc/32/74/84/599327484.db2.gz NXOQXGQPOQYPMR-NXEZZACHSA-N -1 1 322.394 1.071 20 0 DDADMM CN(C)C(=O)c1ccc(CNc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000736754077 599401511 /nfs/dbraw/zinc/40/15/11/599401511.db2.gz URYUFZFVTBPJGS-UHFFFAOYSA-N -1 1 323.360 1.576 20 0 DDADMM CN(C)C(=O)c1ccc(CNc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000736754077 599401514 /nfs/dbraw/zinc/40/15/14/599401514.db2.gz URYUFZFVTBPJGS-UHFFFAOYSA-N -1 1 323.360 1.576 20 0 DDADMM CC(=O)Nc1ccc(CNc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000735483878 599401548 /nfs/dbraw/zinc/40/15/48/599401548.db2.gz ASDOUACHWPXMTO-UHFFFAOYSA-N -1 1 309.333 1.832 20 0 DDADMM CC(=O)Nc1ccc(CNc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000735483878 599401550 /nfs/dbraw/zinc/40/15/50/599401550.db2.gz ASDOUACHWPXMTO-UHFFFAOYSA-N -1 1 309.333 1.832 20 0 DDADMM CO[C@H](CNC(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)(C)C ZINC000821677069 599654525 /nfs/dbraw/zinc/65/45/25/599654525.db2.gz NSPXBPSRQPLWBT-LLVKDONJSA-N -1 1 304.354 1.053 20 0 DDADMM CO[C@H](CNC(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)(C)C ZINC000821677069 599654526 /nfs/dbraw/zinc/65/45/26/599654526.db2.gz NSPXBPSRQPLWBT-LLVKDONJSA-N -1 1 304.354 1.053 20 0 DDADMM Cc1ccc(OCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1C ZINC000320742786 599778766 /nfs/dbraw/zinc/77/87/66/599778766.db2.gz ZBZSOMSBDSOCHQ-AWEZNQCLSA-N -1 1 317.345 1.217 20 0 DDADMM Cc1cc(CN2CCCN(c3cccnn3)CC2)oc1C(=O)[O-] ZINC000738382955 599915277 /nfs/dbraw/zinc/91/52/77/599915277.db2.gz QMWOOLUAFILQFD-UHFFFAOYSA-N -1 1 316.361 1.789 20 0 DDADMM C[C@@H](c1ccc(F)c(F)c1)N(C)C(=O)CNC(C)(C)C(=O)[O-] ZINC000736719535 599923615 /nfs/dbraw/zinc/92/36/15/599923615.db2.gz WLJGRCXKAVHYFZ-VIFPVBQESA-N -1 1 314.332 1.937 20 0 DDADMM COc1ccc([C@@H](NC(=O)CNC(C)(C)C(=O)[O-])C2CC2)cc1 ZINC000737960701 599925514 /nfs/dbraw/zinc/92/55/14/599925514.db2.gz OHJAEWPCYUUVPL-HNNXBMFYSA-N -1 1 320.389 1.715 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)N[C@H]1CCN(Cc2ccccc2)C1 ZINC000736327546 600151097 /nfs/dbraw/zinc/15/10/97/600151097.db2.gz FETGVKIWKKRQFP-ZDUSSCGKSA-N -1 1 305.378 1.423 20 0 DDADMM CN(C)Cc1cc(CNC(=O)N2CC[C@H](C(=O)[O-])C2)ccc1F ZINC000737368931 600190078 /nfs/dbraw/zinc/19/00/78/600190078.db2.gz XAUWEWYVWZPZDX-LBPRGKRZSA-N -1 1 323.368 1.503 20 0 DDADMM Cc1cccc(NS(=O)(=O)c2ccc(CC(=O)[O-])cc2)n1 ZINC000035296003 600246167 /nfs/dbraw/zinc/24/61/67/600246167.db2.gz ZQZKPBCUOUYRQL-UHFFFAOYSA-N -1 1 306.343 1.818 20 0 DDADMM CN(CC(=O)[O-])C(=O)CCN1CCc2[nH]c3ccccc3c2C1 ZINC000737401457 600299998 /nfs/dbraw/zinc/29/99/98/600299998.db2.gz OPDCKEHCDCGMQY-UHFFFAOYSA-N -1 1 315.373 1.459 20 0 DDADMM Cc1ccc(COC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000822238896 607446241 /nfs/dbraw/zinc/44/62/41/607446241.db2.gz YWYGMOISLUHNDY-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM Cc1ccc(COC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000822238896 607446242 /nfs/dbraw/zinc/44/62/42/607446242.db2.gz YWYGMOISLUHNDY-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM Cc1cc(CN2CCN([C@H]3CCC[C@@H]3O)CC2)oc1C(=O)[O-] ZINC000738385448 600509059 /nfs/dbraw/zinc/50/90/59/600509059.db2.gz OKQLBCSBFHAUHQ-KBPBESRZSA-N -1 1 308.378 1.317 20 0 DDADMM COC(=O)CCN(Cc1ccc(C(=O)[O-])o1)C[C@@H]1CCCO1 ZINC000737598740 600515016 /nfs/dbraw/zinc/51/50/16/600515016.db2.gz JXEGAFUOSRALOX-NSHDSACASA-N -1 1 311.334 1.522 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN(CCCCO)C1CC1 ZINC000738531619 600557150 /nfs/dbraw/zinc/55/71/50/600557150.db2.gz JICDFRWJMRAJQD-UHFFFAOYSA-N -1 1 320.389 1.869 20 0 DDADMM COC(=O)c1nn(CN(CCC(=O)[O-])C(C)C)c(C)c1Cl ZINC000737712693 600610401 /nfs/dbraw/zinc/61/04/01/600610401.db2.gz DLPJHJIVVDOQDX-UHFFFAOYSA-N -1 1 317.773 1.774 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N[C@@H]1CCC[C@@H](C)[C@H]1C ZINC000737795031 600641015 /nfs/dbraw/zinc/64/10/15/600641015.db2.gz VWEFVZHKYGHDTG-MGPQQGTHSA-N -1 1 314.426 1.350 20 0 DDADMM CCN1CCN(CC(=O)Nc2ccc(F)c(NC(=O)[O-])c2)CC1 ZINC000737114638 600665838 /nfs/dbraw/zinc/66/58/38/600665838.db2.gz CMSLJXQFAFEUGW-UHFFFAOYSA-N -1 1 324.356 1.492 20 0 DDADMM Cc1cc(CNS(=O)(=O)c2ccc(C(=O)[O-])c(C)c2)n[nH]1 ZINC000832884442 600754426 /nfs/dbraw/zinc/75/44/26/600754426.db2.gz DFZNJTRUHCGUOS-UHFFFAOYSA-N -1 1 309.347 1.203 20 0 DDADMM CCO[C@H]1C[C@@H](O)C12CCN(Cc1cc(C(=O)[O-])co1)CC2 ZINC000737167387 600795301 /nfs/dbraw/zinc/79/53/01/600795301.db2.gz ZWCBWCTZIOYRHK-KGLIPLIRSA-N -1 1 309.362 1.730 20 0 DDADMM Cc1ccc(C(C)(C)CN(C)CC(=O)NCCC(=O)[O-])cc1 ZINC000832924878 600838626 /nfs/dbraw/zinc/83/86/26/600838626.db2.gz TYXOAZXBIMMVIW-UHFFFAOYSA-N -1 1 306.406 1.795 20 0 DDADMM O=C([O-])c1cncc(NCc2nc(-c3ccc(F)cc3)n[nH]2)n1 ZINC000833339094 601000996 /nfs/dbraw/zinc/00/09/96/601000996.db2.gz FFCVNWKNTGRJPB-UHFFFAOYSA-N -1 1 314.280 1.711 20 0 DDADMM O=C([O-])c1cncc(NCc2n[nH]c(-c3ccc(F)cc3)n2)n1 ZINC000833339094 601000997 /nfs/dbraw/zinc/00/09/97/601000997.db2.gz FFCVNWKNTGRJPB-UHFFFAOYSA-N -1 1 314.280 1.711 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccccn2)CC1 ZINC000827362777 601085284 /nfs/dbraw/zinc/08/52/84/601085284.db2.gz VLEPQHWYXDLKLN-ZDUSSCGKSA-N -1 1 305.378 1.022 20 0 DDADMM Cc1cc(C)n([C@@H](C)C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)n1 ZINC000832880932 601092379 /nfs/dbraw/zinc/09/23/79/601092379.db2.gz VEIDFNPVLOSPHE-JSGCOSHPSA-N -1 1 322.409 1.068 20 0 DDADMM C[C@@H]1CCN(CC(=O)NCCC(=O)[O-])[C@@H]1c1cccc(F)c1 ZINC000828284902 601118141 /nfs/dbraw/zinc/11/81/41/601118141.db2.gz JIHMGZWCIUXTSG-BZNIZROVSA-N -1 1 308.353 1.800 20 0 DDADMM C[C@@H](CN(C)C(=O)CSc1n[nH]c(=S)s1)C(=O)[O-] ZINC000827436983 601285286 /nfs/dbraw/zinc/28/52/86/601285286.db2.gz PHQZTCVAZMQRCD-YFKPBYRVSA-N -1 1 307.422 1.098 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)c1ccnc(C(=O)[O-])c1 ZINC000317118596 601288111 /nfs/dbraw/zinc/28/81/11/601288111.db2.gz ZTKMSDKSFGSNLL-DGCLKSJQSA-N -1 1 303.362 1.479 20 0 DDADMM O=C([O-])Nc1cccc(C(=O)N2CCC(c3nc[nH]n3)CC2)c1 ZINC000833288606 601295210 /nfs/dbraw/zinc/29/52/10/601295210.db2.gz BNURJBMCJIBTHW-UHFFFAOYSA-N -1 1 315.333 1.914 20 0 DDADMM Cn1cc(NC(=O)c2cn[nH]c2-c2ccncc2)cc1C(=O)[O-] ZINC000833048675 601312370 /nfs/dbraw/zinc/31/23/70/601312370.db2.gz BTZUJQKGFDCOOU-UHFFFAOYSA-N -1 1 311.301 1.761 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CCSC(C)(C)C1 ZINC000825977767 601328180 /nfs/dbraw/zinc/32/81/80/601328180.db2.gz OFDRWKBIBUXEND-LLVKDONJSA-N -1 1 314.451 1.526 20 0 DDADMM CC(=O)c1cccc(NC(=O)[C@H](C)N2C[C@H](C)[C@@H](C(=O)[O-])C2)c1 ZINC000825960873 601506630 /nfs/dbraw/zinc/50/66/30/601506630.db2.gz SIHAZVKPMNXSBB-PGUXBMHVSA-N -1 1 318.373 1.869 20 0 DDADMM Cc1nc(NC(=O)[C@H](C)N2C[C@H](C)[C@H](C(=O)[O-])C2)sc1C ZINC000833003294 601513668 /nfs/dbraw/zinc/51/36/68/601513668.db2.gz JHHUIVMBRWRIDV-OVYXKVPISA-N -1 1 311.407 1.739 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C(=O)c2cc(-c3cccs3)[nH]n2)C1 ZINC000262202898 601655736 /nfs/dbraw/zinc/65/57/36/601655736.db2.gz RCHSISUKTVWROV-CYBMUJFWSA-N -1 1 309.322 1.777 20 0 DDADMM O=C([O-])[C@H](NC(=O)CCc1nc[nH]n1)c1ccc(Cl)cc1 ZINC000833104707 601698897 /nfs/dbraw/zinc/69/88/97/601698897.db2.gz SCONEUYLXKTFLR-GFCCVEGCSA-N -1 1 308.725 1.333 20 0 DDADMM Cn1nc(C(C)(C)C)cc1NC(=O)CN1CC[C@@](C)(C(=O)[O-])C1 ZINC000833060116 601939092 /nfs/dbraw/zinc/93/90/92/601939092.db2.gz QSGRRAXYNNNNLZ-MRXNPFEDSA-N -1 1 322.409 1.453 20 0 DDADMM O=C([O-])NC[C@H]1CCN(CC(=O)NCc2ccccc2F)C1 ZINC000740644502 601954309 /nfs/dbraw/zinc/95/43/09/601954309.db2.gz YSTBUNAJWIKJRL-LLVKDONJSA-N -1 1 309.341 1.031 20 0 DDADMM Cc1ccccc1NC(=O)[C@H](C)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000740186566 601958240 /nfs/dbraw/zinc/95/82/40/601958240.db2.gz PYABFPUNAOZFOU-STQMWFEESA-N -1 1 305.378 1.912 20 0 DDADMM O=C([O-])c1csc(NS(=O)(=O)C[C@@H]2CCCCO2)c1 ZINC000833343775 602116616 /nfs/dbraw/zinc/11/66/16/602116616.db2.gz NRQQFXFASHEXBF-VIFPVBQESA-N -1 1 305.377 1.757 20 0 DDADMM C[C@H](c1cn(-c2ccccc2)nn1)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828424620 602222951 /nfs/dbraw/zinc/22/29/51/602222951.db2.gz RFIUBJYPUVCKRH-BZPMIXESSA-N -1 1 300.362 1.981 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)N[C@@H]2CCCc3cn[nH]c32)cc1 ZINC000833324956 602225196 /nfs/dbraw/zinc/22/51/96/602225196.db2.gz OYYRRWKIJVDFJA-GFCCVEGCSA-N -1 1 321.358 1.464 20 0 DDADMM Cc1csc(=O)n1CCN1CCCC[C@H]1[C@H](C)NC(=O)[O-] ZINC000740203974 602260581 /nfs/dbraw/zinc/26/05/81/602260581.db2.gz IGRBDFYIUPBDNJ-RYUDHWBXSA-N -1 1 313.423 1.729 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCCN1Cc1cccc(C(N)=O)c1 ZINC000738999875 602262468 /nfs/dbraw/zinc/26/24/68/602262468.db2.gz IMGKQWIIOCYBJM-SMDDNHRTSA-N -1 1 305.378 1.796 20 0 DDADMM COc1ccc(O)c(NS(=O)(=O)c2ccccc2C(=O)[O-])c1 ZINC000832425237 602309745 /nfs/dbraw/zinc/30/97/45/602309745.db2.gz RYWBSJBSCWJKGS-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)NC[C@H](c1cccs1)N(C)C ZINC000739315009 602339969 /nfs/dbraw/zinc/33/99/69/602339969.db2.gz PDUNCYUCUMKHSX-WDEREUQCSA-N -1 1 313.423 1.903 20 0 DDADMM Clc1ccc(N2CCc3c[nH]nc3C2)nc1-c1nnn[n-]1 ZINC000826352352 607564496 /nfs/dbraw/zinc/56/44/96/607564496.db2.gz XXKATDAGLXOASW-UHFFFAOYSA-N -1 1 302.729 1.201 20 0 DDADMM Clc1ccc(N2CCc3c[nH]nc3C2)nc1-c1nn[n-]n1 ZINC000826352352 607564498 /nfs/dbraw/zinc/56/44/98/607564498.db2.gz XXKATDAGLXOASW-UHFFFAOYSA-N -1 1 302.729 1.201 20 0 DDADMM CN(C[C@@H]1CCCN(CC(=O)NC(C2CC2)C2CC2)C1)C(=O)[O-] ZINC000739638475 602399901 /nfs/dbraw/zinc/39/99/01/602399901.db2.gz VRSNTISYYHLPOC-LBPRGKRZSA-N -1 1 323.437 1.613 20 0 DDADMM CCCN(CC(=O)NCC(F)(F)F)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739352637 602546191 /nfs/dbraw/zinc/54/61/91/602546191.db2.gz FCGVPCZUTSKSGR-SECBINFHSA-N -1 1 311.304 1.129 20 0 DDADMM CCCN(CC(=O)NCc1cccnc1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739355299 602553099 /nfs/dbraw/zinc/55/30/99/602553099.db2.gz XTQQJUASKXCMHG-AWEZNQCLSA-N -1 1 320.393 1.162 20 0 DDADMM C[C@H]1CCCC[C@@]1(CNC(=O)[O-])NCc1nnc(C2CC2)n1C ZINC000739103156 602553908 /nfs/dbraw/zinc/55/39/08/602553908.db2.gz TUMKZJVZVKNNNU-ZBEGNZNMSA-N -1 1 321.425 1.999 20 0 DDADMM O=C([O-])N1CCC[C@@H](CN2CCN(C(=O)N3CCCC3)CC2)C1 ZINC000740512521 602702779 /nfs/dbraw/zinc/70/27/79/602702779.db2.gz PDXUJLPFJUHVSC-AWEZNQCLSA-N -1 1 324.425 1.210 20 0 DDADMM O=C([O-])NC[C@H]1CCN([C@H]2CCCN(c3ccccc3)C2=O)C1 ZINC000740642724 602782585 /nfs/dbraw/zinc/78/25/85/602782585.db2.gz PGZOHCGOAZDARZ-HIFRSBDPSA-N -1 1 317.389 1.772 20 0 DDADMM COc1ccccc1[C@H](NC(=O)[O-])C(=O)Nc1nnc(C)[nH]1 ZINC000739967696 602903512 /nfs/dbraw/zinc/90/35/12/602903512.db2.gz JAHGRTLYSOUNTJ-JTQLQIEISA-N -1 1 305.294 1.069 20 0 DDADMM COc1ccccc1[C@H](NC(=O)[O-])C(=O)Nc1nc(C)n[nH]1 ZINC000739967696 602903515 /nfs/dbraw/zinc/90/35/15/602903515.db2.gz JAHGRTLYSOUNTJ-JTQLQIEISA-N -1 1 305.294 1.069 20 0 DDADMM O=C([O-])N1CCC[C@@H]1COC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000740723099 602920999 /nfs/dbraw/zinc/92/09/99/602920999.db2.gz WCUADEVVDJNWER-SECBINFHSA-N -1 1 305.290 1.969 20 0 DDADMM C[C@@H]1CN(C(=O)Cc2n[nH]c3ccccc32)CCN1C(=O)[O-] ZINC000739132180 602949144 /nfs/dbraw/zinc/94/91/44/602949144.db2.gz DTQFNRNMDVFAEN-SNVBAGLBSA-N -1 1 302.334 1.316 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000832561302 603124700 /nfs/dbraw/zinc/12/47/00/603124700.db2.gz GWBXJMJQECLOOV-LBPRGKRZSA-N -1 1 314.345 1.949 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@H](C(=O)Nc2nc(-c3ccco3)n[nH]2)C1 ZINC000740560766 603257598 /nfs/dbraw/zinc/25/75/98/603257598.db2.gz AVKLNHVLKYEIBE-IUCAKERBSA-N -1 1 319.321 1.830 20 0 DDADMM C[C@@H](CC(=O)NC[C@H](C)N1CCc2ccccc2C1)NC(=O)[O-] ZINC000824630875 603305969 /nfs/dbraw/zinc/30/59/69/603305969.db2.gz YOATUSNTXJDKOK-STQMWFEESA-N -1 1 319.405 1.596 20 0 DDADMM O=C([O-])NCCN1CCC[C@H](c2nnc3n2CCCCC3)C1 ZINC000832642244 603453516 /nfs/dbraw/zinc/45/35/16/603453516.db2.gz WOQVEYAGQQVNSR-LBPRGKRZSA-N -1 1 307.398 1.452 20 0 DDADMM CC[C@@H]1CC(=O)N(CN(C2CC2)C2CCN(C(=O)[O-])CC2)C1 ZINC000826662580 603489988 /nfs/dbraw/zinc/48/99/88/603489988.db2.gz YWSWGIMGVNNKLB-GFCCVEGCSA-N -1 1 309.410 1.809 20 0 DDADMM CN(C[C@@H](O)c1ccc(F)cc1F)[C@H]1CCN(C(=O)[O-])C1 ZINC000828168218 603491772 /nfs/dbraw/zinc/49/17/72/603491772.db2.gz FXQHAEUWGZELDA-GXFFZTMASA-N -1 1 300.305 1.682 20 0 DDADMM NC(=O)c1ccc(CNCc2ccc(NC(=O)[O-])nc2)cc1 ZINC000831229975 603533183 /nfs/dbraw/zinc/53/31/83/603533183.db2.gz ZEYDTRACYUDKQR-UHFFFAOYSA-N -1 1 300.318 1.560 20 0 DDADMM O=C(CC1CN(C(=O)[O-])C1)NC[C@H](c1ccco1)N1CCCC1 ZINC000831354846 603635490 /nfs/dbraw/zinc/63/54/90/603635490.db2.gz CYLKVZLASNIKQF-CYBMUJFWSA-N -1 1 321.377 1.533 20 0 DDADMM CN(C(=O)c1cc(-c2ccccc2)[nH]n1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000827927554 603681713 /nfs/dbraw/zinc/68/17/13/603681713.db2.gz RWENSRWBVWQSIR-GFCCVEGCSA-N -1 1 314.345 1.901 20 0 DDADMM CC1CCN(C(=O)CN2CCC[C@H](C)[C@H]2CNC(=O)[O-])CC1 ZINC000825937131 603711753 /nfs/dbraw/zinc/71/17/53/603711753.db2.gz HFSMPCKWARKENO-UONOGXRCSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@H](NC(=O)[C@H](NC(=O)[O-])c1cccc(Cl)c1)c1nnc[nH]1 ZINC000825077372 603798906 /nfs/dbraw/zinc/79/89/06/603798906.db2.gz YLRVXQMHZIJGDH-OIBJUYFYSA-N -1 1 323.740 1.644 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])CC[N@@H+]1C ZINC000825852595 603823186 /nfs/dbraw/zinc/82/31/86/603823186.db2.gz OUXCDRWCRCPROP-ONGXEEELSA-N -1 1 307.350 1.695 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])CC[N@H+]1C ZINC000825852595 603823188 /nfs/dbraw/zinc/82/31/88/603823188.db2.gz OUXCDRWCRCPROP-ONGXEEELSA-N -1 1 307.350 1.695 20 0 DDADMM CCC(CC)(CN[C@H](C(N)=O)c1ccc(F)cc1)NC(=O)[O-] ZINC000826560942 603882947 /nfs/dbraw/zinc/88/29/47/603882947.db2.gz CXTFRWZJLVMIKI-LBPRGKRZSA-N -1 1 311.357 1.768 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000829832936 603939594 /nfs/dbraw/zinc/93/95/94/603939594.db2.gz DAJUMBSWBUEMOM-ZETCQYMHSA-N -1 1 320.271 1.467 20 0 DDADMM Cc1cnc(CN2CCC(OCCCNC(=O)[O-])CC2)cn1 ZINC000830630638 604105606 /nfs/dbraw/zinc/10/56/06/604105606.db2.gz RPVSCMIGLQAMRA-UHFFFAOYSA-N -1 1 308.382 1.424 20 0 DDADMM COc1ccccc1[C@H](CNC(=O)[C@H](C)N(C)C)NC(=O)[O-] ZINC000829600113 604150241 /nfs/dbraw/zinc/15/02/41/604150241.db2.gz YBJSIVJCOKQEJW-JQWIXIFHSA-N -1 1 309.366 1.070 20 0 DDADMM O=C([O-])NC[C@@H](NC(=O)CCc1nc[nH]n1)C1CCCCC1 ZINC000832422749 604155386 /nfs/dbraw/zinc/15/53/86/604155386.db2.gz ZEPJBKUUOLQDMM-LLVKDONJSA-N -1 1 309.370 1.070 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@H]1NC(=O)N1CCN2CCCC[C@H]2C1 ZINC000832469912 604182382 /nfs/dbraw/zinc/18/23/82/604182382.db2.gz ZAWNBLRZCKDHRE-MELADBBJSA-N -1 1 324.425 1.302 20 0 DDADMM C[C@H](C(=O)NC1CCCC1)N1CCC[C@H]([C@H](C)NC(=O)[O-])C1 ZINC000825252703 604185304 /nfs/dbraw/zinc/18/53/04/604185304.db2.gz GDMPBONOXYVQEU-XQQFMLRXSA-N -1 1 311.426 1.802 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000825201060 604248957 /nfs/dbraw/zinc/24/89/57/604248957.db2.gz JMFMMTDQOQLVAY-SRVKXCTJSA-N -1 1 320.393 1.724 20 0 DDADMM Cc1cc(CNC(=O)N2CCC[C@H]([C@@H](C)NC(=O)[O-])C2)[nH]n1 ZINC000830042126 604263171 /nfs/dbraw/zinc/26/31/71/604263171.db2.gz XWKBGLDJQXBUPP-MNOVXSKESA-N -1 1 309.370 1.296 20 0 DDADMM CC[N@H+]1CCCN(C(=O)NCCc2ccc(C(=O)[O-])cc2)CC1 ZINC000307800973 604374516 /nfs/dbraw/zinc/37/45/16/604374516.db2.gz AVUWWTNBXSCOTG-UHFFFAOYSA-N -1 1 319.405 1.665 20 0 DDADMM CC(C)(C)c1nnc(NC(=O)CNC(C)(C)C(=O)[O-])s1 ZINC000826630533 604459273 /nfs/dbraw/zinc/45/92/73/604459273.db2.gz JBMKXKUQPJPAOX-UHFFFAOYSA-N -1 1 300.384 1.227 20 0 DDADMM Cc1cccc(C)c1NC(=O)CCCN1CCO[C@H](C(=O)[O-])C1 ZINC000818939344 604466663 /nfs/dbraw/zinc/46/66/63/604466663.db2.gz QZCHOGLJYNNYNB-AWEZNQCLSA-N -1 1 320.389 1.808 20 0 DDADMM CCc1noc(C)c1NC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000833679311 604519043 /nfs/dbraw/zinc/51/90/43/604519043.db2.gz PAVCDPJMEBBTCL-JTQLQIEISA-N -1 1 324.381 1.558 20 0 DDADMM O=C([O-])N[C@H](C(=O)Nc1ccc2[nH]nnc2c1)c1ccccc1 ZINC000832789517 604526981 /nfs/dbraw/zinc/52/69/81/604526981.db2.gz OFFOBKDEAPBLHU-ZDUSSCGKSA-N -1 1 311.301 1.905 20 0 DDADMM CCN(CC)[C@H](CNC(=O)NC1CN(C(=O)[O-])C1)c1ccco1 ZINC000827036348 604579221 /nfs/dbraw/zinc/57/92/21/604579221.db2.gz SLINEYVVDYCWDT-GFCCVEGCSA-N -1 1 324.381 1.324 20 0 DDADMM CN(C)C(=O)c1ccc(CN(CCC(=O)[O-])C[C@@H]2CCCO2)[nH]1 ZINC000833687032 604656065 /nfs/dbraw/zinc/65/60/65/604656065.db2.gz AOETZQXORVSEBQ-ZDUSSCGKSA-N -1 1 323.393 1.172 20 0 DDADMM COc1ccccc1NC(=O)CN(C[C@H](C)C(=O)[O-])C1CC1 ZINC000832623701 604740214 /nfs/dbraw/zinc/74/02/14/604740214.db2.gz UHBITYJCUAZILA-NSHDSACASA-N -1 1 306.362 1.819 20 0 DDADMM C[C@@H](C[N@@H+](CC(=O)NC(=O)Nc1ccccc1)C1CC1)C(=O)[O-] ZINC000827449660 604744780 /nfs/dbraw/zinc/74/47/80/604744780.db2.gz NJISAQJSYPLCTG-NSHDSACASA-N -1 1 319.361 1.520 20 0 DDADMM COc1ccc(NC(=O)CN(C[C@H](C)C(=O)[O-])C2CC2)cc1 ZINC000832394695 604746769 /nfs/dbraw/zinc/74/67/69/604746769.db2.gz WGKASJLBVXEHQM-NSHDSACASA-N -1 1 306.362 1.819 20 0 DDADMM CC(C)(CO)N1CCN(Cc2ccc(C(=O)[O-])cc2F)CC1 ZINC000833462127 604765652 /nfs/dbraw/zinc/76/56/52/604765652.db2.gz YRTWVCJNUQMIBU-UHFFFAOYSA-N -1 1 310.369 1.412 20 0 DDADMM O=C([O-])CCCN(CC(=O)Nc1ccon1)Cc1ccccc1 ZINC000833225952 604784850 /nfs/dbraw/zinc/78/48/50/604784850.db2.gz RTZZYINLAYPFJM-UHFFFAOYSA-N -1 1 317.345 1.980 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)c2ccc(OCC(=O)[O-])cc2)CCN1C ZINC000833587830 604838618 /nfs/dbraw/zinc/83/86/18/604838618.db2.gz NJIVXDQVACAVFD-NEPJUHHUSA-N -1 1 306.362 1.315 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCCN1C(=O)CN1CCC(C)CC1 ZINC000825943795 604851167 /nfs/dbraw/zinc/85/11/67/604851167.db2.gz HMEMRKJBQWGTSW-KBPBESRZSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@H]1[C@H](C)N(CC(=O)N(C)c2ccccc2C(=O)[O-])CCN1C ZINC000833585909 604852261 /nfs/dbraw/zinc/85/22/61/604852261.db2.gz CGVQWAAIRCHXAW-STQMWFEESA-N -1 1 319.405 1.372 20 0 DDADMM CC(C)C(=O)N[C@H]1CCCN([C@@H](C(=O)[O-])c2cccnc2)C1 ZINC000833463520 604866119 /nfs/dbraw/zinc/86/61/19/604866119.db2.gz NRVPMSNVTOMJGE-UONOGXRCSA-N -1 1 305.378 1.444 20 0 DDADMM CN(CC(=O)NCc1ccc(C(=O)[O-])cc1)[C@@H]1CCSC1 ZINC000820562637 604924809 /nfs/dbraw/zinc/92/48/09/604924809.db2.gz OMDDZUAKNCDWCD-CYBMUJFWSA-N -1 1 308.403 1.438 20 0 DDADMM CC(C)C[C@H]1CCC(=O)N(CN2CCC[C@@H]2CC(=O)[O-])C1=O ZINC000826831794 604981540 /nfs/dbraw/zinc/98/15/40/604981540.db2.gz HEKBOMZKRFTPMZ-CHWSQXEVSA-N -1 1 310.394 1.694 20 0 DDADMM Cc1cc(CNC(=O)N2CCC[C@@H](C(C)(C)C(=O)[O-])C2)n[nH]1 ZINC000833745550 604985452 /nfs/dbraw/zinc/98/54/52/604985452.db2.gz NQDJGWJDPJSDOW-LLVKDONJSA-N -1 1 308.382 1.751 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCC(F)(F)F ZINC000833626404 605053255 /nfs/dbraw/zinc/05/32/55/605053255.db2.gz QSUXUNUBXVXMEF-SECBINFHSA-N -1 1 311.304 1.129 20 0 DDADMM C[C@H](NC1CN(C(=O)[O-])C1)c1cccc(N2CCCC2=O)c1 ZINC000825484743 605099391 /nfs/dbraw/zinc/09/93/91/605099391.db2.gz KOWGOAPTDRBGIG-NSHDSACASA-N -1 1 303.362 1.826 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)C1CCSCC1 ZINC000833625687 605102649 /nfs/dbraw/zinc/10/26/49/605102649.db2.gz XKCKCCAZNVIMMY-LLVKDONJSA-N -1 1 300.424 1.137 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1CC1(Cl)Cl ZINC000833626196 605106711 /nfs/dbraw/zinc/10/67/11/605106711.db2.gz YFLYKAOMHGTNOJ-RKDXNWHRSA-N -1 1 309.193 1.188 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)CN2C[C@H](C(=O)[O-])CC2=O)C12CCC2 ZINC000833659460 605175818 /nfs/dbraw/zinc/17/58/18/605175818.db2.gz AYFRHGLSYLKFFE-JHJVBQTASA-N -1 1 310.394 1.157 20 0 DDADMM CC(=O)N[C@H]1CCCN(Cc2ccc(OCC(=O)[O-])cc2)C1 ZINC000833395811 605294440 /nfs/dbraw/zinc/29/44/40/605294440.db2.gz CCFTVFIUWKTQQW-AWEZNQCLSA-N -1 1 306.362 1.251 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC[C@H]2CN(C)C(=O)[O-])n[nH]1 ZINC000830029745 605301026 /nfs/dbraw/zinc/30/10/26/605301026.db2.gz IVFNFTMFCQMYEH-MFKMUULPSA-N -1 1 323.397 1.433 20 0 DDADMM O=C(CCC1CCN(C(=O)[O-])CC1)N[C@@H]1CCc2nc[nH]c2C1 ZINC000833990790 605324254 /nfs/dbraw/zinc/32/42/54/605324254.db2.gz GQVPOVCEGAVEJE-GFCCVEGCSA-N -1 1 320.393 1.553 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@H](F)Cc1ccccc1 ZINC000833768051 605405879 /nfs/dbraw/zinc/40/58/79/605405879.db2.gz XKSJYWPZLJZPFJ-OLZOCXBDSA-N -1 1 305.309 1.102 20 0 DDADMM O=C([O-])N1CCC[C@@H]1COC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000834284732 605440290 /nfs/dbraw/zinc/44/02/90/605440290.db2.gz HYHVTODTJSFDDH-GFCCVEGCSA-N -1 1 316.317 1.771 20 0 DDADMM O=C([O-])N1CCCN(Cc2ccc(C(=O)NC3CC3)cc2)CC1 ZINC000834014334 605476352 /nfs/dbraw/zinc/47/63/52/605476352.db2.gz BBAJUGALXKQZCN-UHFFFAOYSA-N -1 1 317.389 1.765 20 0 DDADMM CCc1cc(CNC(=O)N2CC[C@H](NC(=O)[O-])C[C@@H]2C)[nH]n1 ZINC000827557731 605553328 /nfs/dbraw/zinc/55/33/28/605553328.db2.gz WSBZGWIPAUBSCJ-ONGXEEELSA-N -1 1 309.370 1.302 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@@H](NC(=O)[O-])C[C@@H]1C ZINC000829835069 605561653 /nfs/dbraw/zinc/56/16/53/605561653.db2.gz XQTJZTMPJBBQAD-GXFFZTMASA-N -1 1 323.397 1.481 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@@H]1NCc1n[nH]cc1Br ZINC000834214578 605615943 /nfs/dbraw/zinc/61/59/43/605615943.db2.gz NEVYVIXBDTZDTI-APPZFPTMSA-N -1 1 317.187 1.698 20 0 DDADMM Cc1nn2c(CN3C[C@H](CNC(=O)[O-])C[C@@H]3C)c(C)nc2s1 ZINC000833922020 605684752 /nfs/dbraw/zinc/68/47/52/605684752.db2.gz XOKZCJHAESAPEQ-KWQFWETISA-N -1 1 323.422 1.886 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC[C@@H](N(C)C(=O)[O-])C2)n[nH]1 ZINC000830029753 605699103 /nfs/dbraw/zinc/69/91/03/605699103.db2.gz IXKYJYQTWVCMAJ-GXFFZTMASA-N -1 1 323.397 1.433 20 0 DDADMM O=C([O-])N1CCC[C@H](NC(=O)c2cc(F)cc3nc[nH]c32)C1 ZINC000739389713 605711776 /nfs/dbraw/zinc/71/17/76/605711776.db2.gz WDDMAHWHRPSRSG-VIFPVBQESA-N -1 1 306.297 1.574 20 0 DDADMM CC(C)(CO)N1CCN(Cc2cc(C(=O)[O-])ccc2F)CC1 ZINC000833461972 605839904 /nfs/dbraw/zinc/83/99/04/605839904.db2.gz OHMOCGXRRIHLIY-UHFFFAOYSA-N -1 1 310.369 1.412 20 0 DDADMM Cc1nc2c([nH]1)C[C@H](C(=O)N1CCC(NC(=O)[O-])CC1)CC2 ZINC000833915677 605847193 /nfs/dbraw/zinc/84/71/93/605847193.db2.gz JIMADZOMOFHRRS-SNVBAGLBSA-N -1 1 306.366 1.082 20 0 DDADMM Cc1ccc(C)c(OC[C@@H](O)CN2CC[C@H](NC(=O)[O-])C2)c1 ZINC000740118116 605936884 /nfs/dbraw/zinc/93/68/84/605936884.db2.gz AEGYPCDMLHIGNQ-KBPBESRZSA-N -1 1 308.378 1.385 20 0 DDADMM O=C([O-])N1CCC[C@H]1C(=O)OC[C@H]1CCCN1Cc1ccco1 ZINC000834284865 606093184 /nfs/dbraw/zinc/09/31/84/606093184.db2.gz INFHHLRYBBWTIQ-OCCSQVGLSA-N -1 1 322.361 1.930 20 0 DDADMM CC(C)C1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000820037561 606163366 /nfs/dbraw/zinc/16/33/66/606163366.db2.gz DOZRSQFQJWXQMW-UHFFFAOYSA-N -1 1 318.385 1.500 20 0 DDADMM CC(C)C1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000820037561 606163368 /nfs/dbraw/zinc/16/33/68/606163368.db2.gz DOZRSQFQJWXQMW-UHFFFAOYSA-N -1 1 318.385 1.500 20 0 DDADMM COc1ccc(NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)cc1 ZINC000821831471 606165936 /nfs/dbraw/zinc/16/59/36/606165936.db2.gz ALYRDXHXAFUJBR-UHFFFAOYSA-N -1 1 314.309 1.253 20 0 DDADMM COc1ccc(NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)cc1 ZINC000821831471 606165937 /nfs/dbraw/zinc/16/59/37/606165937.db2.gz ALYRDXHXAFUJBR-UHFFFAOYSA-N -1 1 314.309 1.253 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)[C@H](C)C1 ZINC000820690637 606170566 /nfs/dbraw/zinc/17/05/66/606170566.db2.gz PHBRNVWGMDVJFU-KKZNHRDASA-N -1 1 318.385 1.546 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)[C@H](C)C1 ZINC000820690637 606170567 /nfs/dbraw/zinc/17/05/67/606170567.db2.gz PHBRNVWGMDVJFU-KKZNHRDASA-N -1 1 318.385 1.546 20 0 DDADMM O=C(Cc1ccccc1F)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000823115062 606194682 /nfs/dbraw/zinc/19/46/82/606194682.db2.gz IKTRVIPBZHJTAX-UHFFFAOYSA-N -1 1 313.292 1.893 20 0 DDADMM O=C(Nc1ncc(Cl)s1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823382616 606284919 /nfs/dbraw/zinc/28/49/19/606284919.db2.gz AWMALWPZCOUVGU-UHFFFAOYSA-N -1 1 307.726 1.624 20 0 DDADMM O=C(Nc1ncc(Cl)s1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823382616 606284920 /nfs/dbraw/zinc/28/49/20/606284920.db2.gz AWMALWPZCOUVGU-UHFFFAOYSA-N -1 1 307.726 1.624 20 0 DDADMM CCN(C[C@H]1CCCO1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821198410 606531350 /nfs/dbraw/zinc/53/13/50/606531350.db2.gz UDZOVLANUZYJPM-SECBINFHSA-N -1 1 307.379 1.569 20 0 DDADMM CCN(C[C@H]1CCCO1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821198410 606531352 /nfs/dbraw/zinc/53/13/52/606531352.db2.gz UDZOVLANUZYJPM-SECBINFHSA-N -1 1 307.379 1.569 20 0 DDADMM O=C(Cc1ccc2c(c1)CCCC2)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000823082144 606532957 /nfs/dbraw/zinc/53/29/57/606532957.db2.gz BNOQYNPYSJLYDP-UHFFFAOYSA-N -1 1 323.360 1.650 20 0 DDADMM O=C(Cc1ccc2c(c1)CCCC2)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000823082144 606532958 /nfs/dbraw/zinc/53/29/58/606532958.db2.gz BNOQYNPYSJLYDP-UHFFFAOYSA-N -1 1 323.360 1.650 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)c1cccnc1 ZINC000820397330 606555120 /nfs/dbraw/zinc/55/51/20/606555120.db2.gz OGTYELMASHKLSG-QMMMGPOBSA-N -1 1 300.347 1.814 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)c1cccnc1 ZINC000820397330 606555123 /nfs/dbraw/zinc/55/51/23/606555123.db2.gz OGTYELMASHKLSG-QMMMGPOBSA-N -1 1 300.347 1.814 20 0 DDADMM CCn1cc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000821565271 606563372 /nfs/dbraw/zinc/56/33/72/606563372.db2.gz LRFGTCVTDCEOLE-UHFFFAOYSA-N -1 1 303.351 1.075 20 0 DDADMM CCn1cc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000821565271 606563374 /nfs/dbraw/zinc/56/33/74/606563374.db2.gz LRFGTCVTDCEOLE-UHFFFAOYSA-N -1 1 303.351 1.075 20 0 DDADMM CCCC[C@@H](COC)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821043364 606568199 /nfs/dbraw/zinc/56/81/99/606568199.db2.gz OFDAGFQFNMKSHQ-VIFPVBQESA-N -1 1 309.395 1.863 20 0 DDADMM CCCC[C@@H](COC)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821043364 606568200 /nfs/dbraw/zinc/56/82/00/606568200.db2.gz OFDAGFQFNMKSHQ-VIFPVBQESA-N -1 1 309.395 1.863 20 0 DDADMM Cn1cc(Cl)cc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822680849 606652052 /nfs/dbraw/zinc/65/20/52/606652052.db2.gz PYXVOUIZUCHVAV-UHFFFAOYSA-N -1 1 318.724 1.611 20 0 DDADMM Cn1cc(Cl)cc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822680849 606652053 /nfs/dbraw/zinc/65/20/53/606652053.db2.gz PYXVOUIZUCHVAV-UHFFFAOYSA-N -1 1 318.724 1.611 20 0 DDADMM Cc1ccc(NC(=O)Cn2ccnc2-c2nnn[n-]2)cc1Cl ZINC000822249043 606655182 /nfs/dbraw/zinc/65/51/82/606655182.db2.gz ZNVVOGNGEZVNGZ-UHFFFAOYSA-N -1 1 317.740 1.664 20 0 DDADMM Cc1ccc(NC(=O)Cn2ccnc2-c2nn[n-]n2)cc1Cl ZINC000822249043 606655183 /nfs/dbraw/zinc/65/51/83/606655183.db2.gz ZNVVOGNGEZVNGZ-UHFFFAOYSA-N -1 1 317.740 1.664 20 0 DDADMM Cc1nc(CCNc2nccnc2-c2nnn[n-]2)sc1C ZINC000822439360 606875003 /nfs/dbraw/zinc/87/50/03/606875003.db2.gz AEGXMXYVVUSLND-UHFFFAOYSA-N -1 1 302.367 1.385 20 0 DDADMM Cc1nc(CCNc2nccnc2-c2nn[n-]n2)sc1C ZINC000822439360 606875004 /nfs/dbraw/zinc/87/50/04/606875004.db2.gz AEGXMXYVVUSLND-UHFFFAOYSA-N -1 1 302.367 1.385 20 0 DDADMM O=C1OC(c2cccnc2)=NC1=Cc1ccc(-c2nnn[n-]2)o1 ZINC000823551180 606976047 /nfs/dbraw/zinc/97/60/47/606976047.db2.gz ICORAEFEMIEVOH-POHAHGRESA-N -1 1 308.257 1.199 20 0 DDADMM O=C1OC(c2cccnc2)=NC1=Cc1ccc(-c2nn[n-]n2)o1 ZINC000823551180 606976048 /nfs/dbraw/zinc/97/60/48/606976048.db2.gz ICORAEFEMIEVOH-POHAHGRESA-N -1 1 308.257 1.199 20 0 DDADMM CO[C@H](C(=O)Nc1ncc(-c2nnn[n-]2)s1)c1ccccc1 ZINC000821672611 607023603 /nfs/dbraw/zinc/02/36/03/607023603.db2.gz QYECTYVPKLOGDS-JTQLQIEISA-N -1 1 316.346 1.649 20 0 DDADMM CO[C@H](C(=O)Nc1ncc(-c2nn[n-]n2)s1)c1ccccc1 ZINC000821672611 607023605 /nfs/dbraw/zinc/02/36/05/607023605.db2.gz QYECTYVPKLOGDS-JTQLQIEISA-N -1 1 316.346 1.649 20 0 DDADMM CN(c1ccc(Cl)c(-c2nnn[n-]2)n1)C1CCC(CO)CC1 ZINC000821619297 607044660 /nfs/dbraw/zinc/04/46/60/607044660.db2.gz QHVKSGHCANEGBB-UHFFFAOYSA-N -1 1 322.800 1.902 20 0 DDADMM CN(c1ccc(Cl)c(-c2nn[n-]n2)n1)C1CCC(CO)CC1 ZINC000821619297 607044661 /nfs/dbraw/zinc/04/46/61/607044661.db2.gz QHVKSGHCANEGBB-UHFFFAOYSA-N -1 1 322.800 1.902 20 0 DDADMM C[C@H]1CCCC[C@@H]1OCC(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000820703182 607104207 /nfs/dbraw/zinc/10/42/07/607104207.db2.gz DGBQCKMKFTYJSE-IUCAKERBSA-N -1 1 322.394 1.857 20 0 DDADMM C[C@H]1CCCC[C@@H]1OCC(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000820703182 607104209 /nfs/dbraw/zinc/10/42/09/607104209.db2.gz DGBQCKMKFTYJSE-IUCAKERBSA-N -1 1 322.394 1.857 20 0 DDADMM C[C@@H](O)C[C@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000824699720 607779526 /nfs/dbraw/zinc/77/95/26/607779526.db2.gz UTMGKVFDZPYZSB-RKDXNWHRSA-N -1 1 307.379 1.304 20 0 DDADMM C[C@@H](O)C[C@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000824699720 607779527 /nfs/dbraw/zinc/77/95/27/607779527.db2.gz UTMGKVFDZPYZSB-RKDXNWHRSA-N -1 1 307.379 1.304 20 0 DDADMM Cc1noc(C)c1[C@@H](C)CC(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000826338442 607836105 /nfs/dbraw/zinc/83/61/05/607836105.db2.gz VPPSVWRTYJVIPF-LURJTMIESA-N -1 1 316.325 1.084 20 0 DDADMM Cc1cccc(C(=O)n2ncc(-c3nn[n-]n3)c2N)c1Cl ZINC000826296274 607866261 /nfs/dbraw/zinc/86/62/61/607866261.db2.gz PSDYTTLJBCKSJU-UHFFFAOYSA-N -1 1 303.713 1.296 20 0 DDADMM CC[C@@H]1CN(C(C)=O)CC[C@H]1Nc1cccc(-c2nnn[n-]2)n1 ZINC000825212227 607904742 /nfs/dbraw/zinc/90/47/42/607904742.db2.gz QKTLHOQFHPWTCE-VXGBXAGGSA-N -1 1 315.381 1.321 20 0 DDADMM CC[C@@H]1CN(C(C)=O)CC[C@H]1Nc1cccc(-c2nn[n-]n2)n1 ZINC000825212227 607904743 /nfs/dbraw/zinc/90/47/43/607904743.db2.gz QKTLHOQFHPWTCE-VXGBXAGGSA-N -1 1 315.381 1.321 20 0 DDADMM CN(CCCNc1ccc(-c2nnn[n-]2)nn1)c1ccccc1 ZINC000825918241 607987849 /nfs/dbraw/zinc/98/78/49/607987849.db2.gz BUPCGDSUFBKNLQ-UHFFFAOYSA-N -1 1 310.365 1.595 20 0 DDADMM CN(CCCNc1ccc(-c2nn[n-]n2)nn1)c1ccccc1 ZINC000825918241 607987850 /nfs/dbraw/zinc/98/78/50/607987850.db2.gz BUPCGDSUFBKNLQ-UHFFFAOYSA-N -1 1 310.365 1.595 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@H]1CC1(Cl)Cl ZINC000826450868 607999565 /nfs/dbraw/zinc/99/95/65/607999565.db2.gz HGGPHMGMWOFRLF-ZCFIWIBFSA-N -1 1 314.132 1.705 20 0 DDADMM O=C(NCc1noc(C2CC2)n1)c1ccc(-c2nnn[n-]2)s1 ZINC000826443733 608157311 /nfs/dbraw/zinc/15/73/11/608157311.db2.gz ASORPONMVCWYJQ-UHFFFAOYSA-N -1 1 317.334 1.119 20 0 DDADMM O=C(NCc1noc(C2CC2)n1)c1ccc(-c2nn[n-]n2)s1 ZINC000826443733 608157312 /nfs/dbraw/zinc/15/73/12/608157312.db2.gz ASORPONMVCWYJQ-UHFFFAOYSA-N -1 1 317.334 1.119 20 0 DDADMM O[C@]1(CNc2snc(Cl)c2-c2nnn[n-]2)CCSC1 ZINC000826505882 608188101 /nfs/dbraw/zinc/18/81/01/608188101.db2.gz HQMBZVMCTQQGMM-VIFPVBQESA-N -1 1 318.815 1.257 20 0 DDADMM O[C@]1(CNc2snc(Cl)c2-c2nn[n-]n2)CCSC1 ZINC000826505882 608188102 /nfs/dbraw/zinc/18/81/02/608188102.db2.gz HQMBZVMCTQQGMM-VIFPVBQESA-N -1 1 318.815 1.257 20 0 DDADMM Clc1ccc(N2CCOC3(CCC3)C2)nc1-c1nnn[n-]1 ZINC000826351800 608234828 /nfs/dbraw/zinc/23/48/28/608234828.db2.gz BDXMCDKAUHDMQH-UHFFFAOYSA-N -1 1 306.757 1.674 20 0 DDADMM Clc1ccc(N2CCOC3(CCC3)C2)nc1-c1nn[n-]n1 ZINC000826351800 608234829 /nfs/dbraw/zinc/23/48/29/608234829.db2.gz BDXMCDKAUHDMQH-UHFFFAOYSA-N -1 1 306.757 1.674 20 0 DDADMM CCn1c(C)nnc1COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825782581 608254617 /nfs/dbraw/zinc/25/46/17/608254617.db2.gz HOPSRYAFUYBFCF-UHFFFAOYSA-N -1 1 319.350 1.205 20 0 DDADMM CCn1c(C)nnc1COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825782581 608254618 /nfs/dbraw/zinc/25/46/18/608254618.db2.gz HOPSRYAFUYBFCF-UHFFFAOYSA-N -1 1 319.350 1.205 20 0 DDADMM Cc1ccc(OCCN(C)c2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826292081 608393651 /nfs/dbraw/zinc/39/36/51/608393651.db2.gz HFWFPADARNFNHC-UHFFFAOYSA-N -1 1 311.349 1.480 20 0 DDADMM Cc1ccc(OCCN(C)c2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826292081 608393652 /nfs/dbraw/zinc/39/36/52/608393652.db2.gz HFWFPADARNFNHC-UHFFFAOYSA-N -1 1 311.349 1.480 20 0 DDADMM O[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)c1cccc(Cl)c1 ZINC000826502523 608399413 /nfs/dbraw/zinc/39/94/13/608399413.db2.gz FKHJTNTXRYCWSN-NSHDSACASA-N -1 1 317.740 1.456 20 0 DDADMM O[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)c1cccc(Cl)c1 ZINC000826502523 608399415 /nfs/dbraw/zinc/39/94/15/608399415.db2.gz FKHJTNTXRYCWSN-NSHDSACASA-N -1 1 317.740 1.456 20 0 DDADMM CCCOc1ncccc1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000825341885 608405176 /nfs/dbraw/zinc/40/51/76/608405176.db2.gz MBYDAXQAARXULG-UHFFFAOYSA-N -1 1 312.337 1.453 20 0 DDADMM CCCOc1ncccc1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000825341885 608405177 /nfs/dbraw/zinc/40/51/77/608405177.db2.gz MBYDAXQAARXULG-UHFFFAOYSA-N -1 1 312.337 1.453 20 0 DDADMM Cc1ccccc1O[C@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826307523 608424676 /nfs/dbraw/zinc/42/46/76/608424676.db2.gz LTIFTUULABEIAY-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM Cc1ccccc1O[C@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826307523 608424678 /nfs/dbraw/zinc/42/46/78/608424678.db2.gz LTIFTUULABEIAY-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC000825669451 608429138 /nfs/dbraw/zinc/42/91/38/608429138.db2.gz YCBGOXVWSAAODE-BNMUBRSASA-N -1 1 321.410 1.148 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC000825669451 608429140 /nfs/dbraw/zinc/42/91/40/608429140.db2.gz YCBGOXVWSAAODE-BNMUBRSASA-N -1 1 321.410 1.148 20 0 DDADMM Cc1nn(C)cc1[C@H]1CCCN1c1ccc(-c2nnn[n-]2)nn1 ZINC000826334215 608432144 /nfs/dbraw/zinc/43/21/44/608432144.db2.gz LZHATBWHWKYDNY-GFCCVEGCSA-N -1 1 311.353 1.040 20 0 DDADMM Cc1nn(C)cc1[C@H]1CCCN1c1ccc(-c2nn[n-]n2)nn1 ZINC000826334215 608432145 /nfs/dbraw/zinc/43/21/45/608432145.db2.gz LZHATBWHWKYDNY-GFCCVEGCSA-N -1 1 311.353 1.040 20 0 DDADMM Cn1c(Sc2nccnc2-c2nn[n-]n2)nnc1C1CCC1 ZINC000826356540 609387481 /nfs/dbraw/zinc/38/74/81/609387481.db2.gz VREDFJXHAPSTPX-UHFFFAOYSA-N -1 1 315.366 1.204 20 0 DDADMM Cc1cc(F)ccc1Cn1nc(C)c(C)c(-c2nn[n-]n2)c1=O ZINC000826268906 609486998 /nfs/dbraw/zinc/48/69/98/609486998.db2.gz SJSIIGUPHGRPNL-UHFFFAOYSA-N -1 1 314.324 1.536 20 0 DDADMM C[C@H](CN(C)c1ccc(-c2nnn[n-]2)nn1)c1nccs1 ZINC000824412652 609606383 /nfs/dbraw/zinc/60/63/83/609606383.db2.gz CTQAPQSCZPGKIZ-MRVPVSSYSA-N -1 1 302.367 1.353 20 0 DDADMM C[C@H](CN(C)c1ccc(-c2nn[n-]n2)nn1)c1nccs1 ZINC000824412652 609606385 /nfs/dbraw/zinc/60/63/85/609606385.db2.gz CTQAPQSCZPGKIZ-MRVPVSSYSA-N -1 1 302.367 1.353 20 0 DDADMM Cc1cc(=O)c(C(=O)Nc2nc(Br)ccc2[O-])c[nH]1 ZINC000121521064 696711292 /nfs/dbraw/zinc/71/12/92/696711292.db2.gz VZWOWAGRNQCOGS-UHFFFAOYSA-N -1 1 324.134 1.799 20 0 DDADMM CCc1nnc(SCc2cc(=O)oc3cc([O-])ccc23)n1N ZINC000121568463 696712416 /nfs/dbraw/zinc/71/24/16/696712416.db2.gz VDEHTDZVXQXJEG-UHFFFAOYSA-N -1 1 318.358 1.659 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000973196120 695443498 /nfs/dbraw/zinc/44/34/98/695443498.db2.gz BPKSZIVWZRZSBL-CYDGBPFRSA-N -1 1 317.389 1.600 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)[C@H]2CC[C@H](F)C2)C1)c1ncccc1[O-] ZINC000973197289 695443809 /nfs/dbraw/zinc/44/38/09/695443809.db2.gz BBIFREKEWJDRKA-BJDJZHNGSA-N -1 1 321.352 1.302 20 0 DDADMM Cc1cc(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)co1 ZINC000973200681 695444614 /nfs/dbraw/zinc/44/46/14/695444614.db2.gz DNAOFTKYISHBFF-HAQNSBGRSA-N -1 1 315.329 1.379 20 0 DDADMM COc1ccc([C@@H](O)CNC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000798159406 700045262 /nfs/dbraw/zinc/04/52/62/700045262.db2.gz QGSJBMCJQZOPJT-HNNXBMFYSA-N -1 1 315.325 1.433 20 0 DDADMM O=C(NCc1ccnc(-n2ccnc2)c1)C(=O)c1ccc([O-])cc1 ZINC000798163778 700045738 /nfs/dbraw/zinc/04/57/38/700045738.db2.gz HNXZVIGNCIGSMN-UHFFFAOYSA-N -1 1 322.324 1.472 20 0 DDADMM COc1ccc(S(=O)(=O)N[N-]C(=O)c2ccccn2)cc1C ZINC000032585373 696124533 /nfs/dbraw/zinc/12/45/33/696124533.db2.gz SUFGHFCDUAMXDT-UHFFFAOYSA-N -1 1 321.358 1.022 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCNc1ncccn1 ZINC000747365929 700065953 /nfs/dbraw/zinc/06/59/53/700065953.db2.gz RJUZLYLOJBOVNR-UHFFFAOYSA-N -1 1 316.365 1.246 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCn1cncn1 ZINC000747358783 700066201 /nfs/dbraw/zinc/06/62/01/700066201.db2.gz VESKMBZXCZNAKI-UHFFFAOYSA-N -1 1 304.354 1.026 20 0 DDADMM Cc1csc(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)n1 ZINC000045807950 696177240 /nfs/dbraw/zinc/17/72/40/696177240.db2.gz OWXLLRCYRKTEDI-UHFFFAOYSA-N -1 1 320.827 1.359 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCc2n[nH]cc2C1 ZINC000747395246 700067890 /nfs/dbraw/zinc/06/78/90/700067890.db2.gz JWSOGYREPFIHEG-NSHDSACASA-N -1 1 315.377 1.624 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCc2nc(C)cs2)n1 ZINC000046942849 696192593 /nfs/dbraw/zinc/19/25/93/696192593.db2.gz JZQOAZIBUFNMBX-UHFFFAOYSA-N -1 1 308.367 1.119 20 0 DDADMM CN(C[C@H]1CCN1C(=O)CCC1CC1)C(=O)c1ncccc1[O-] ZINC000977615758 696235267 /nfs/dbraw/zinc/23/52/67/696235267.db2.gz GJDQSTMGOUBBND-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977617090 696236350 /nfs/dbraw/zinc/23/63/50/696236350.db2.gz LAZYFJKUXRPXOF-MNOVXSKESA-N -1 1 309.341 1.208 20 0 DDADMM CCn1c(CNC(=O)CCCC(=O)NC(C)C)n[n-]c1=S ZINC000066636112 696354394 /nfs/dbraw/zinc/35/43/94/696354394.db2.gz FNCFTFSAIKUNDJ-UHFFFAOYSA-N -1 1 313.427 1.272 20 0 DDADMM CC(C)(C)c1ncc(C(=O)[N-]NC(=O)c2ccccn2)s1 ZINC000067777939 696364094 /nfs/dbraw/zinc/36/40/94/696364094.db2.gz PWYRPNANSRQXQW-UHFFFAOYSA-N -1 1 304.375 1.910 20 0 DDADMM Cc1sc(C(=O)[O-])cc1S(=O)(=O)NC1CCN(C)CC1 ZINC000071415482 696392276 /nfs/dbraw/zinc/39/22/76/696392276.db2.gz DRKSEDZELZDIHA-UHFFFAOYSA-N -1 1 318.420 1.127 20 0 DDADMM CCCS(=O)(=O)c1ccccc1C(=O)[N-]NC(=O)C1CC1 ZINC000075486836 696420981 /nfs/dbraw/zinc/42/09/81/696420981.db2.gz TWNJWSZCUHXFQG-UHFFFAOYSA-N -1 1 310.375 1.041 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741129 696450371 /nfs/dbraw/zinc/45/03/71/696450371.db2.gz HMFQRUJKPNXJOC-YFKTTZPYSA-N -1 1 303.362 1.068 20 0 DDADMM O=C(N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1)C1=CCCC1 ZINC000978743615 696450927 /nfs/dbraw/zinc/45/09/27/696450927.db2.gz QPKQYWDLVCVQLF-BJHJDKERSA-N -1 1 315.373 1.522 20 0 DDADMM C[C@H](c1ccco1)N(C(=O)Cc1sc(N)nc1[O-])C1CC1 ZINC000079720559 696462926 /nfs/dbraw/zinc/46/29/26/696462926.db2.gz BJSHTIRYJYHYCY-LDYMZIIASA-N -1 1 307.375 1.678 20 0 DDADMM CC(C)(CNC(=O)Cc1sc(N)nc1[O-])c1ccccc1F ZINC000079881714 696464680 /nfs/dbraw/zinc/46/46/80/696464680.db2.gz PNJXJJBJOUGACV-NSHDSACASA-N -1 1 323.393 1.566 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCC2(c3cccc(F)c3)CC2)s1 ZINC000080417920 696534660 /nfs/dbraw/zinc/53/46/60/696534660.db2.gz PINHLCCVYZNBJR-LLVKDONJSA-N -1 1 321.377 1.320 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H](C)C(=O)OC(C)C ZINC000081955128 696548738 /nfs/dbraw/zinc/54/87/38/696548738.db2.gz DVUKJDFDXCAFLY-JTQLQIEISA-N -1 1 323.393 1.519 20 0 DDADMM N#CCN1CCC([N-]S(=O)(=O)c2cc3ccccc3o2)CC1 ZINC000084937909 696562260 /nfs/dbraw/zinc/56/22/60/696562260.db2.gz AERJMDWTGLZKMH-UHFFFAOYSA-N -1 1 319.386 1.699 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)sc1C ZINC000798847745 700101560 /nfs/dbraw/zinc/10/15/60/700101560.db2.gz SXZLGWXZBAFOCK-LBPRGKRZSA-N -1 1 318.464 1.756 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@@H]2c2ccccc2)s1 ZINC000120847811 696702371 /nfs/dbraw/zinc/70/23/71/696702371.db2.gz YFSBHMKJPLTSGK-NEPJUHHUSA-N -1 1 303.387 1.697 20 0 DDADMM CCc1ccc([C@H](C)C(=O)N[N-]C(=O)c2cc(C)[nH]n2)cc1 ZINC000153292996 696912818 /nfs/dbraw/zinc/91/28/18/696912818.db2.gz IPKGSNOFJLEFID-NSHDSACASA-N -1 1 300.362 1.845 20 0 DDADMM CCC[C@H](C)N1C[C@@H](C(=O)[N-]OCc2cccnc2)CC1=O ZINC000155851729 696954763 /nfs/dbraw/zinc/95/47/63/696954763.db2.gz HSZXDALQLIDLGH-JSGCOSHPSA-N -1 1 305.378 1.667 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2c(Cl)cccc2Cl)C(=O)O1 ZINC000748861923 700139451 /nfs/dbraw/zinc/13/94/51/700139451.db2.gz IOXACRYALAHSLT-MUWHJKNJSA-N -1 1 324.185 1.976 20 0 DDADMM O=C(N[C@@H]1CCC[C@H](NC(=O)C2CC2)C1)c1ncccc1[O-] ZINC000983407867 697257382 /nfs/dbraw/zinc/25/73/82/697257382.db2.gz QRMWYYCFFAHJAM-NWDGAFQWSA-N -1 1 303.362 1.354 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000983489875 697271054 /nfs/dbraw/zinc/27/10/54/697271054.db2.gz HSGDSRUGUBTPNO-NEPJUHHUSA-N -1 1 305.378 1.600 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000983585994 697281817 /nfs/dbraw/zinc/28/18/17/697281817.db2.gz QQPFJTLQZLBGPY-NWDGAFQWSA-N -1 1 319.405 1.991 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000983585995 697281846 /nfs/dbraw/zinc/28/18/46/697281846.db2.gz QQPFJTLQZLBGPY-RYUDHWBXSA-N -1 1 319.405 1.991 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC000162218578 697327296 /nfs/dbraw/zinc/32/72/96/697327296.db2.gz YXXSMRCHASIDDP-UHFFFAOYSA-N -1 1 307.318 1.336 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(F)(F)C(F)F)o1 ZINC000174808242 697392468 /nfs/dbraw/zinc/39/24/68/697392468.db2.gz HOMDHILHHNUXIZ-UHFFFAOYSA-N -1 1 319.232 1.245 20 0 DDADMM C[C@@H](Oc1ccccc1F)C(=O)N[N-]C(=O)c1ccc(F)cn1 ZINC000181513880 697461722 /nfs/dbraw/zinc/46/17/22/697461722.db2.gz XKPOSDNTCXJADX-SECBINFHSA-N -1 1 321.283 1.588 20 0 DDADMM CC[C@@]1(C)C[C@H]1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985728862 697564114 /nfs/dbraw/zinc/56/41/14/697564114.db2.gz RHXURLDARHCFLT-LRDDRELGSA-N -1 1 321.425 1.369 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)Cc2ccoc2)cc1 ZINC000772558002 697648268 /nfs/dbraw/zinc/64/82/68/697648268.db2.gz JQNOBUZDRLPMRI-UHFFFAOYSA-N -1 1 317.297 1.331 20 0 DDADMM Cc1cnc(CN[C@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)o1 ZINC000986171217 697717711 /nfs/dbraw/zinc/71/77/11/697717711.db2.gz PPGLGHYNUCPWJT-NEPJUHHUSA-N -1 1 316.361 1.476 20 0 DDADMM CCc1ncc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)s1 ZINC000773157223 697720664 /nfs/dbraw/zinc/72/06/64/697720664.db2.gz ADMUCPCHVLWRQK-ZETCQYMHSA-N -1 1 310.408 1.149 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NN2[C@H](C)CCC[C@H]2C)co1 ZINC000773386211 697753145 /nfs/dbraw/zinc/75/31/45/697753145.db2.gz BZKJNKHGRIGEMZ-NXEZZACHSA-N -1 1 315.395 1.095 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(C)(C)SC)o1 ZINC000775318660 697981232 /nfs/dbraw/zinc/98/12/32/697981232.db2.gz ZKGAFRRLXBAWNG-UHFFFAOYSA-N -1 1 307.393 1.486 20 0 DDADMM Cc1ccc(N(C)Cc2nc(=O)n(C)[n-]2)cc1Br ZINC000775872392 698046619 /nfs/dbraw/zinc/04/66/19/698046619.db2.gz QQWLRKMYKUXNGE-UHFFFAOYSA-N -1 1 311.183 1.816 20 0 DDADMM Cc1ccc(/C=C\S(=O)(=O)Nc2cc(C(=O)[O-])n(C)n2)cc1 ZINC000776428281 698106444 /nfs/dbraw/zinc/10/64/44/698106444.db2.gz WWNKNSXZQVEGMH-FPLPWBNLSA-N -1 1 321.358 1.839 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC(C)(C)C ZINC000987669846 698192285 /nfs/dbraw/zinc/19/22/85/698192285.db2.gz BEOKBWKZXZIHIA-NEPJUHHUSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CCC1 ZINC000987685222 698197710 /nfs/dbraw/zinc/19/77/10/698197710.db2.gz PRDUUNMCJDHQRD-PWSUYJOCSA-N -1 1 303.362 1.307 20 0 DDADMM O=C(CN1CCC(O)(C(F)F)CC1)[N-]OCc1ccccc1 ZINC000778307057 698259806 /nfs/dbraw/zinc/25/98/06/698259806.db2.gz BYCQAYYBDVPRKS-UHFFFAOYSA-N -1 1 314.332 1.326 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCC1CCCC1 ZINC000987903841 698262860 /nfs/dbraw/zinc/26/28/60/698262860.db2.gz DTUPREMOYBQSHU-AAEUAGOBSA-N -1 1 321.425 1.560 20 0 DDADMM CCc1occc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000988748640 698454063 /nfs/dbraw/zinc/45/40/63/698454063.db2.gz CTMOFFIUEMJIFE-VHSXEESVSA-N -1 1 319.365 1.059 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NCCc1ccccc1OC)C(=O)[O-] ZINC000263172623 698494318 /nfs/dbraw/zinc/49/43/18/698494318.db2.gz SGOQQNLODJNFHC-LRDDRELGSA-N -1 1 322.405 1.443 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CCC=CO2)c(F)c1 ZINC000780898634 698568854 /nfs/dbraw/zinc/56/88/54/698568854.db2.gz HJEFFKFRAYJODU-VIFPVBQESA-N -1 1 319.329 1.944 20 0 DDADMM CNC(=O)CCCC(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000781228261 698599562 /nfs/dbraw/zinc/59/95/62/698599562.db2.gz VDBDJDYGLKYKKM-UHFFFAOYSA-N -1 1 307.346 1.597 20 0 DDADMM CN1CCC[C@H](NC(=O)N2Cc3ccccc3C[C@H]2C(=O)[O-])C1 ZINC000320299829 698719225 /nfs/dbraw/zinc/71/92/25/698719225.db2.gz BIHJWWXYMJILPD-GJZGRUSLSA-N -1 1 317.389 1.302 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(Cc2cnn(-c3ccccc3)n2)C1 ZINC000324139551 698743754 /nfs/dbraw/zinc/74/37/54/698743754.db2.gz VEKZIXWXOLILEG-INIZCTEOSA-N -1 1 316.361 1.190 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H]2CCN(C3CCOCC3)C2=O)n1 ZINC000333223895 698763026 /nfs/dbraw/zinc/76/30/26/698763026.db2.gz FHSGNQSECQVXMH-LBPRGKRZSA-N -1 1 323.418 1.617 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H]2COc2ccc(F)cc2)nc1=O ZINC000338372055 698781094 /nfs/dbraw/zinc/78/10/94/698781094.db2.gz PPEJSDMHHXVBCD-LBPRGKRZSA-N -1 1 306.341 1.291 20 0 DDADMM COCC[C@@H](C)OC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000783027372 698799115 /nfs/dbraw/zinc/79/91/15/698799115.db2.gz WXHUKOOGRZJPMQ-MRVPVSSYSA-N -1 1 307.393 1.701 20 0 DDADMM COCC[C@@H](C)OC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000783297761 698831875 /nfs/dbraw/zinc/83/18/75/698831875.db2.gz LWHYRIZNSBDKER-SNVBAGLBSA-N -1 1 321.377 1.953 20 0 DDADMM O=C([N-]C1CN(C(=O)c2ncc(Cl)cc2Cl)C1)C(F)F ZINC000990023141 698902153 /nfs/dbraw/zinc/90/21/53/698902153.db2.gz QQMARFGQVUPLHJ-UHFFFAOYSA-N -1 1 324.114 1.594 20 0 DDADMM COc1cc(C(=O)NC[C@H](O)c2ccccn2)cc(Cl)c1[O-] ZINC000785416602 699075247 /nfs/dbraw/zinc/07/52/47/699075247.db2.gz VSRKFXYFLNKYCI-LBPRGKRZSA-N -1 1 322.748 1.913 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])CS1 ZINC000397894799 699118080 /nfs/dbraw/zinc/11/80/80/699118080.db2.gz QOKQPQAWRYCKIA-HTQZYQBOSA-N -1 1 320.367 1.906 20 0 DDADMM COC(=O)C[C@H]([N-]C(=O)C(F)(F)C(F)F)c1ccncc1 ZINC000786675452 699162076 /nfs/dbraw/zinc/16/20/76/699162076.db2.gz CTQDTNQRMLDLPA-QMMMGPOBSA-N -1 1 308.231 1.702 20 0 DDADMM Cc1cc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)cs1 ZINC000990969877 699195664 /nfs/dbraw/zinc/19/56/64/699195664.db2.gz QWDHAYYEGJORAR-UHFFFAOYSA-N -1 1 317.370 1.412 20 0 DDADMM O=C(NC1CN(C(=O)C23CCC(CC2)C3)C1)c1ncccc1[O-] ZINC000990975460 699197575 /nfs/dbraw/zinc/19/75/75/699197575.db2.gz SFEAVGCASMFNBZ-UHFFFAOYSA-N -1 1 315.373 1.308 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cnc(Cl)cn1 ZINC000787872914 699250549 /nfs/dbraw/zinc/25/05/49/699250549.db2.gz HPFHJNKIFWEHBJ-LLVKDONJSA-N -1 1 315.724 1.163 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2CCC3(CCC3)O2)c1Cl ZINC000711517392 699258554 /nfs/dbraw/zinc/25/85/54/699258554.db2.gz BNMPMMKHMFSCQF-SECBINFHSA-N -1 1 319.814 1.454 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000788146561 699284122 /nfs/dbraw/zinc/28/41/22/699284122.db2.gz CWOFFLIDNFVTJB-MNOVXSKESA-N -1 1 313.427 1.267 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000788274677 699295869 /nfs/dbraw/zinc/29/58/69/699295869.db2.gz QIOGKODSPQWQRE-STQMWFEESA-N -1 1 310.394 1.252 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@@H]1CCCOC1 ZINC000723871594 699322626 /nfs/dbraw/zinc/32/26/26/699322626.db2.gz VTXJKMXTPGCHAA-NXEZZACHSA-N -1 1 321.830 1.557 20 0 DDADMM O=S(=O)([N-]c1cnn(CCO)c1)C1=Cc2ccccc2OC1 ZINC000788745329 699337456 /nfs/dbraw/zinc/33/74/56/699337456.db2.gz DXKHRUGEELUNAE-UHFFFAOYSA-N -1 1 321.358 1.051 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]N=c2ccc(C(F)(F)F)c[nH]2)n1 ZINC000727350508 699410320 /nfs/dbraw/zinc/41/03/20/699410320.db2.gz OTBKLDOCHUTBCZ-UHFFFAOYSA-N -1 1 321.284 1.139 20 0 DDADMM CC(C)(C)NS(=O)(=O)CC(=O)Nc1nc(Cl)ccc1[O-] ZINC000790047307 699437366 /nfs/dbraw/zinc/43/73/66/699437366.db2.gz JSDRKBYHBXJFMF-UHFFFAOYSA-N -1 1 321.786 1.097 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCn1cccn1 ZINC000729287363 699475886 /nfs/dbraw/zinc/47/58/86/699475886.db2.gz IWUJACFEFIOBME-UHFFFAOYSA-N -1 1 315.333 1.034 20 0 DDADMM CCCCc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000732089483 699545911 /nfs/dbraw/zinc/54/59/11/699545911.db2.gz IRBBLEGVKFSDLO-CQSZACIVSA-N -1 1 315.377 1.756 20 0 DDADMM CCOC(=O)c1ccc(OS(=O)(=O)c2c[n-]nc2C)cc1 ZINC000732215826 699550433 /nfs/dbraw/zinc/55/04/33/699550433.db2.gz YDIMFYISZAWULD-UHFFFAOYSA-N -1 1 310.331 1.663 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc(-n2ccnc2)cc1 ZINC000732215322 699550545 /nfs/dbraw/zinc/55/05/45/699550545.db2.gz MUBBGRXMWKCLPF-UHFFFAOYSA-N -1 1 304.331 1.672 20 0 DDADMM COc1ccc(Cl)c(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000733139789 699580282 /nfs/dbraw/zinc/58/02/82/699580282.db2.gz RLRAINGJSCOPFG-UHFFFAOYSA-N -1 1 323.736 1.227 20 0 DDADMM C[C@H]1c2ccccc2CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733151377 699581122 /nfs/dbraw/zinc/58/11/22/699581122.db2.gz ILIRLKPVSSTKMS-LBPRGKRZSA-N -1 1 313.357 1.073 20 0 DDADMM COc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(C)c1 ZINC000737065910 699736327 /nfs/dbraw/zinc/73/63/27/699736327.db2.gz JHRGRXRSRNSWPW-UHFFFAOYSA-N -1 1 301.350 1.537 20 0 DDADMM COCCN1CCN([C@H](C(=O)[O-])c2ccc(F)cc2)C[C@H]1C ZINC000737814664 699745157 /nfs/dbraw/zinc/74/51/57/699745157.db2.gz XSVBGYAVMZFPGE-DOMZBBRYSA-N -1 1 310.369 1.604 20 0 DDADMM COCCN1CCN([C@@H](C(=O)[O-])c2ccc(F)cc2)C[C@H]1C ZINC000737814666 699745197 /nfs/dbraw/zinc/74/51/97/699745197.db2.gz XSVBGYAVMZFPGE-IUODEOHRSA-N -1 1 310.369 1.604 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NC[C@H](Cc1ccccc1)C(=O)[O-] ZINC000738014351 699749814 /nfs/dbraw/zinc/74/98/14/699749814.db2.gz BCRAZBCBXZKQJF-LSDHHAIUSA-N -1 1 304.390 1.530 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC2(CC2)c2ccccc21 ZINC000739766834 699779244 /nfs/dbraw/zinc/77/92/44/699779244.db2.gz UMHRSTKBWMUZLK-UHFFFAOYSA-N -1 1 311.341 1.005 20 0 DDADMM CSCCO[N-]C(=O)[C@H](C(C)C)N1C[C@H](C)O[C@@H](C)C1 ZINC000794191534 699796804 /nfs/dbraw/zinc/79/68/04/699796804.db2.gz VXADRGUAVFOZQK-AVGNSLFASA-N -1 1 304.456 1.531 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1ccc(Cl)cc1F ZINC000742325160 699873595 /nfs/dbraw/zinc/87/35/95/699873595.db2.gz KRRRWPLWXYUKBI-UHFFFAOYSA-N -1 1 305.718 1.649 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CC[C@H](SC)C2)o1 ZINC000742329428 699874008 /nfs/dbraw/zinc/87/40/08/699874008.db2.gz OWBMXJPAEJSTMN-BDAKNGLRSA-N -1 1 319.404 1.629 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](Cc2ccccc2)C(N)=O)[n-]1 ZINC000796346238 699928196 /nfs/dbraw/zinc/92/81/96/699928196.db2.gz SVHCLMOLVIOSPY-CYBMUJFWSA-N -1 1 316.313 1.055 20 0 DDADMM CC(=O)N[C@@H](C)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000801186805 700275434 /nfs/dbraw/zinc/27/54/34/700275434.db2.gz ZNNPNGHDYHDOHK-QMMMGPOBSA-N -1 1 305.286 1.066 20 0 DDADMM CC(=O)c1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)n(C)c1 ZINC000751379379 700283650 /nfs/dbraw/zinc/28/36/50/700283650.db2.gz HRZJQRHOKBROCH-CQSZACIVSA-N -1 1 324.344 1.260 20 0 DDADMM CCN(C)C(=O)COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801323645 700297035 /nfs/dbraw/zinc/29/70/35/700297035.db2.gz BLGTWQIUEVHZNP-UHFFFAOYSA-N -1 1 321.308 1.352 20 0 DDADMM Cn1nccc1COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801324904 700297242 /nfs/dbraw/zinc/29/72/42/700297242.db2.gz IMTPFQYPTIPVRO-UHFFFAOYSA-N -1 1 316.292 1.808 20 0 DDADMM CCC(=O)COC(=O)c1nn(-c2ccc(OC)cc2)cc1[O-] ZINC000801366595 700300732 /nfs/dbraw/zinc/30/07/32/700300732.db2.gz VEWRWCMBVGSJLS-UHFFFAOYSA-N -1 1 304.302 1.722 20 0 DDADMM CNC(=O)c1cccc(CCC(=O)[N-]OCC(F)(F)F)c1 ZINC000752126686 700345733 /nfs/dbraw/zinc/34/57/33/700345733.db2.gz FKYYMDQFHHUQKN-UHFFFAOYSA-N -1 1 304.268 1.589 20 0 DDADMM Cc1ccc(N2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)cc1C ZINC000754052815 700479145 /nfs/dbraw/zinc/47/91/45/700479145.db2.gz ZXCMKGLMAUAITD-CQSZACIVSA-N -1 1 315.421 1.436 20 0 DDADMM CCC(=O)CCCOC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000759476264 700753639 /nfs/dbraw/zinc/75/36/39/700753639.db2.gz KCRUUGWPGNUKSH-UHFFFAOYSA-N -1 1 303.336 1.104 20 0 DDADMM C[C@H](C[C@@H]1CCOC1)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000759793121 700773115 /nfs/dbraw/zinc/77/31/15/700773115.db2.gz MXSFOZXXDZKSOF-BDAKNGLRSA-N -1 1 307.803 1.167 20 0 DDADMM CC(C)CC[C@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000761151877 700847406 /nfs/dbraw/zinc/84/74/06/700847406.db2.gz NXIPMNVKPFUXQX-CQSZACIVSA-N -1 1 321.421 1.744 20 0 DDADMM CCC[C@H](C)c1noc(C[N-]S(=O)(=O)c2cnn(C)c2)n1 ZINC000761844004 700870887 /nfs/dbraw/zinc/87/08/87/700870887.db2.gz CRMVBCOYTVIGSA-VIFPVBQESA-N -1 1 313.383 1.185 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-]N=c2nc(C)cc(C)[nH]2)s1 ZINC000763375226 700935837 /nfs/dbraw/zinc/93/58/37/700935837.db2.gz ZVZHCBYRUGTZRN-UHFFFAOYSA-N -1 1 313.408 1.418 20 0 DDADMM CC(=O)CCCCCCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000765108149 700999576 /nfs/dbraw/zinc/99/95/76/700999576.db2.gz ABHPQWKVZYPLDI-ZDUSSCGKSA-N -1 1 307.398 1.835 20 0 DDADMM Cn1[n-]c(COC(=O)CCC(=O)c2ccc(Cl)cc2)nc1=O ZINC000765391185 701009519 /nfs/dbraw/zinc/00/95/19/701009519.db2.gz VLAUWABLLWOAGJ-UHFFFAOYSA-N -1 1 323.736 1.468 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc3c(s2)CCCCC3)nc1=O ZINC000765391143 701009527 /nfs/dbraw/zinc/00/95/27/701009527.db2.gz MTTPKTHQYIRMRM-UHFFFAOYSA-N -1 1 307.375 1.796 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Cl)c(Cl)n2C)nc1=O ZINC000765435154 701012659 /nfs/dbraw/zinc/01/26/59/701012659.db2.gz QQCLUHXXDUBTMP-UHFFFAOYSA-N -1 1 305.121 1.111 20 0 DDADMM Cc1cccc(OCCC(=O)OCc2nc(=O)n(C)[n-]2)c1C ZINC000765434724 701012689 /nfs/dbraw/zinc/01/26/89/701012689.db2.gz NKSFIWXDLRQRES-UHFFFAOYSA-N -1 1 305.334 1.238 20 0 DDADMM C/C(=C/C(=O)OCc1nc(=O)n(C)[n-]1)c1ccccc1Cl ZINC000765461890 701013902 /nfs/dbraw/zinc/01/39/02/701013902.db2.gz BWZJENOTMUYVEC-CLFYSBASSA-N -1 1 307.737 1.909 20 0 DDADMM Cn1[n-]c(COC(=O)C(C)(C)c2ccccc2Cl)nc1=O ZINC000765469532 701014353 /nfs/dbraw/zinc/01/43/53/701014353.db2.gz ZOYLZHQSMRQFKF-UHFFFAOYSA-N -1 1 309.753 1.783 20 0 DDADMM Cn1[n-]c(COC(=O)c2scnc2C2CCCC2)nc1=O ZINC000765507588 701016865 /nfs/dbraw/zinc/01/68/65/701016865.db2.gz JQFHXZNQXRBDIZ-UHFFFAOYSA-N -1 1 308.363 1.580 20 0 DDADMM O=C(CCc1nc2ccccc2oc1=O)[N-]OCC(F)(F)F ZINC000766764254 701062285 /nfs/dbraw/zinc/06/22/85/701062285.db2.gz ZQSFGGIPGYOYBN-UHFFFAOYSA-N -1 1 316.235 1.731 20 0 DDADMM O=C(CCc1nc2ccccc2c(=O)[nH]1)[N-]OCC(F)(F)F ZINC000766764921 701062378 /nfs/dbraw/zinc/06/23/78/701062378.db2.gz MEODAGZBOKIDQC-UHFFFAOYSA-N -1 1 315.251 1.878 20 0 DDADMM O=C(CNC(=O)c1ccc([O-])c(F)c1)NCc1ccccc1 ZINC000767298805 701096196 /nfs/dbraw/zinc/09/61/96/701096196.db2.gz UCWMWYRWULCIMS-UHFFFAOYSA-N -1 1 302.305 1.578 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2Cc3ccccc32)co1 ZINC000803408026 701111701 /nfs/dbraw/zinc/11/17/01/701111701.db2.gz WJLQODXEXYBQKE-NSHDSACASA-N -1 1 321.354 1.684 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](CCO)c1cccs1 ZINC000809820096 701692960 /nfs/dbraw/zinc/69/29/60/701692960.db2.gz MSOPFMGMDOOZGP-MRVPVSSYSA-N -1 1 317.392 1.029 20 0 DDADMM O=C(NCC1N=NC(=S)N1C1CC1)c1ccc([O-])c(F)c1 ZINC000767961866 701147996 /nfs/dbraw/zinc/14/79/96/701147996.db2.gz GEZRNCBGIGDHLZ-UHFFFAOYSA-N -1 1 308.338 1.676 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCc1ccc(OC)cc1 ZINC000809825253 701693376 /nfs/dbraw/zinc/69/33/76/701693376.db2.gz CNVYYGFVMJDSNU-UHFFFAOYSA-N -1 1 322.386 1.896 20 0 DDADMM O=C([N-]N1CCCC1=O)c1cc(N2CCCC2=O)ccc1F ZINC000770547641 701283299 /nfs/dbraw/zinc/28/32/99/701283299.db2.gz RARIYIDYNUEEKT-UHFFFAOYSA-N -1 1 305.309 1.220 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCCOC1CCC1 ZINC000805410137 701380350 /nfs/dbraw/zinc/38/03/50/701380350.db2.gz XJLBTOWZJUUTCH-UHFFFAOYSA-N -1 1 319.404 1.846 20 0 DDADMM O=C(O[C@H]1CCCN(c2cccc(F)c2)C1=O)c1cn[n-]n1 ZINC000805603644 701397656 /nfs/dbraw/zinc/39/76/56/701397656.db2.gz MFPAYURPCUUHRR-LBPRGKRZSA-N -1 1 304.281 1.296 20 0 DDADMM CCOc1ccc(-c2noc(COC(=O)c3cn[n-]n3)n2)cc1 ZINC000805606560 701399076 /nfs/dbraw/zinc/39/90/76/701399076.db2.gz ZNCNYHPLSWZAJW-UHFFFAOYSA-N -1 1 315.289 1.610 20 0 DDADMM COC(=O)c1oc2ccccc2c1COC(=O)c1cn[n-]n1 ZINC000805607929 701399423 /nfs/dbraw/zinc/39/94/23/701399423.db2.gz UKKUPTQXOFENCW-UHFFFAOYSA-N -1 1 301.258 1.695 20 0 DDADMM CC[C@H](C)[C@@](C)(O)CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806480932 701436987 /nfs/dbraw/zinc/43/69/87/701436987.db2.gz CPKSTLXATWEEFF-BONVTDFDSA-N -1 1 305.382 1.260 20 0 DDADMM C[C@@H](CNc1ccccc1)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806481606 701436989 /nfs/dbraw/zinc/43/69/89/701436989.db2.gz FZPAXQDDILEONX-LBPRGKRZSA-N -1 1 324.388 1.964 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3Cc4ccccc4O3)ccnc1-2 ZINC000806489140 701437773 /nfs/dbraw/zinc/43/77/73/701437773.db2.gz NNCFJEZVDOADNP-LBPRGKRZSA-N -1 1 323.356 1.467 20 0 DDADMM Cc1cccnc1C=CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000807995543 701493735 /nfs/dbraw/zinc/49/37/35/701493735.db2.gz GVSGPQDUOKHXCV-DGMVEKRQSA-N -1 1 314.345 1.898 20 0 DDADMM Cc1nc([C@H](C)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)no1 ZINC000810002522 701711726 /nfs/dbraw/zinc/71/17/26/701711726.db2.gz ZNIWUTKYEYHEFZ-QMMMGPOBSA-N -1 1 320.315 1.830 20 0 DDADMM O=S(=O)([N-][C@H](C1CCC1)[C@@H]1CCOC1)c1c[nH]nc1Cl ZINC000867732905 701719034 /nfs/dbraw/zinc/71/90/34/701719034.db2.gz ICAHZDMSVFZUNP-MWLCHTKSSA-N -1 1 319.814 1.547 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@H]2CCO[C@H]2C12CCC2)c1c[nH]nc1Cl ZINC000830944887 706607678 /nfs/dbraw/zinc/60/76/78/706607678.db2.gz RMBWVCFPZOWYSP-SZEHBUNVSA-N -1 1 317.798 1.299 20 0 DDADMM CCOC(=O)[C@H](CC=C(C)C)[N-]S(=O)(=O)[C@H]1CCO[C@H]1C ZINC000867759295 701734809 /nfs/dbraw/zinc/73/48/09/701734809.db2.gz NUDRISKCXDBGHI-AVGNSLFASA-N -1 1 319.423 1.371 20 0 DDADMM CC(C)[C@@]1(C)C[C@@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952868 706610065 /nfs/dbraw/zinc/61/00/65/706610065.db2.gz PBRBSCZVHFYSFX-BDJLRTHQSA-N -1 1 305.378 1.553 20 0 DDADMM CCCC1(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCC1 ZINC000830959295 706611690 /nfs/dbraw/zinc/61/16/90/706611690.db2.gz MJHXRRVPLDRNQD-UHFFFAOYSA-N -1 1 305.378 1.842 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@]23CCC[C@H]2OCC3)c1 ZINC000867883747 701810340 /nfs/dbraw/zinc/81/03/40/701810340.db2.gz JYZFRNQMWKEWLY-KGLIPLIRSA-N -1 1 313.375 1.391 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@]12CCC[C@H]1OCC2 ZINC000867885237 701810776 /nfs/dbraw/zinc/81/07/76/701810776.db2.gz JHGVRKMWVNIMCZ-SKDRFNHKSA-N -1 1 319.814 1.372 20 0 DDADMM CCC[C@H](C)S(=O)(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000867902349 701818496 /nfs/dbraw/zinc/81/84/96/701818496.db2.gz HWMOXEKZLVSNKY-QMMMGPOBSA-N -1 1 316.345 1.115 20 0 DDADMM CC(C)(C)N1CC[C@@](F)(C(=O)[N-]S(=O)(=O)C2CCC2)C1 ZINC000810722160 701829506 /nfs/dbraw/zinc/82/95/06/701829506.db2.gz OBBDWYMJCRAHTK-ZDUSSCGKSA-N -1 1 306.403 1.197 20 0 DDADMM Cc1nocc1C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000868014528 701892910 /nfs/dbraw/zinc/89/29/10/701892910.db2.gz ADENHACILWKPJW-UHFFFAOYSA-N -1 1 319.283 1.514 20 0 DDADMM O=C(C[C@H]1CCNC1=O)Nc1nc(Br)ccc1[O-] ZINC000879240155 706625736 /nfs/dbraw/zinc/62/57/36/706625736.db2.gz AZXKAFZYNDQAQA-ZCFIWIBFSA-N -1 1 314.139 1.014 20 0 DDADMM CC[C@H](C)C[C@@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868318973 702063674 /nfs/dbraw/zinc/06/36/74/702063674.db2.gz KFPMVECGVZJJEP-WDEREUQCSA-N -1 1 322.409 1.879 20 0 DDADMM CN(C(=O)c1[n-]cnc1C(=O)c1ccccc1)c1nccn1C ZINC000816346603 702068640 /nfs/dbraw/zinc/06/86/40/702068640.db2.gz XOBZZLCHFORNPO-UHFFFAOYSA-N -1 1 309.329 1.651 20 0 DDADMM Cc1cccc(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c1 ZINC000866181136 706642291 /nfs/dbraw/zinc/64/22/91/706642291.db2.gz WAMOHPGFRFMOOC-UHFFFAOYSA-N -1 1 314.345 1.885 20 0 DDADMM CCS(=O)(=O)N1CCC(C(=O)[N-]Oc2ccccc2)CC1 ZINC000816684571 702149490 /nfs/dbraw/zinc/14/94/90/702149490.db2.gz HHQQDRXNTIYYCC-UHFFFAOYSA-N -1 1 312.391 1.158 20 0 DDADMM CC(C)COCCC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831153892 706645267 /nfs/dbraw/zinc/64/52/67/706645267.db2.gz FAIMEKJMQLGHJK-UHFFFAOYSA-N -1 1 310.316 1.186 20 0 DDADMM CCc1nnc(C[N-]C(=O)C(F)(F)c2nccs2)s1 ZINC000812369290 702170139 /nfs/dbraw/zinc/17/01/39/702170139.db2.gz ISSYWMLTBZFULN-UHFFFAOYSA-N -1 1 304.347 1.965 20 0 DDADMM O=C(Cn1c(=O)c2ccccc2[nH]c1=S)[N-]OC1CCC1 ZINC000812789858 702239330 /nfs/dbraw/zinc/23/93/30/702239330.db2.gz NLARJPOAXHRGSI-UHFFFAOYSA-N -1 1 305.359 1.286 20 0 DDADMM CC(C)OCCO[N-]C(=O)Cc1cc2ccccc2[nH]c1=O ZINC000812801681 702241978 /nfs/dbraw/zinc/24/19/78/702241978.db2.gz MKUQJSHQRYFQHD-UHFFFAOYSA-N -1 1 304.346 1.956 20 0 DDADMM CCC[C@H](C)N1C[C@H](C(=O)[N-]OCCOC(C)C)CC1=O ZINC000812805022 702243861 /nfs/dbraw/zinc/24/38/61/702243861.db2.gz WETURIRCSIKKAF-QWHCGFSZSA-N -1 1 300.399 1.496 20 0 DDADMM CN(C(=O)c1ccc2n[n-]c(=S)n2c1)[C@H]1CC(C)(C)OC1=O ZINC000817070474 702264535 /nfs/dbraw/zinc/26/45/35/702264535.db2.gz WRSYRTJHZVULOK-VIFPVBQESA-N -1 1 320.374 1.184 20 0 DDADMM CC(C)[C@]1(C)C[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868698626 702273532 /nfs/dbraw/zinc/27/35/32/702273532.db2.gz JJOWCRGFMNWEMK-WBMJQRKESA-N -1 1 320.393 1.489 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@](C)(OC)C2CC2)co1 ZINC000813193214 702310007 /nfs/dbraw/zinc/31/00/07/702310007.db2.gz OAVOVCJSRGRTCJ-CYBMUJFWSA-N -1 1 317.363 1.160 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCCn2ccccc2=O)[n-]1 ZINC000841221937 702393298 /nfs/dbraw/zinc/39/32/98/702393298.db2.gz NHJIMKCPQNVCMJ-UHFFFAOYSA-N -1 1 318.333 1.167 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCCn2ccccc2=O)n1 ZINC000841221937 702393304 /nfs/dbraw/zinc/39/33/04/702393304.db2.gz NHJIMKCPQNVCMJ-UHFFFAOYSA-N -1 1 318.333 1.167 20 0 DDADMM CO[C@@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)CC(C)C ZINC000841446448 702452365 /nfs/dbraw/zinc/45/23/65/702452365.db2.gz TZNNSCDSBBWSJD-LLVKDONJSA-N -1 1 308.407 1.809 20 0 DDADMM CO[C@](C)([C@@H](C)[N-]S(=O)(=O)c1c[nH]nc1Cl)C1CC1 ZINC000841562037 702499047 /nfs/dbraw/zinc/49/90/47/702499047.db2.gz TXYPQQDZIMAKFY-RDDDGLTNSA-N -1 1 307.803 1.545 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@H]1CC12CCC2 ZINC000869435714 702606043 /nfs/dbraw/zinc/60/60/43/702606043.db2.gz VXLJWLDXXPKCOJ-SECBINFHSA-N -1 1 307.268 1.358 20 0 DDADMM CC[C@@H](SC)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869441932 702608264 /nfs/dbraw/zinc/60/82/64/702608264.db2.gz BBCFRTJIJLBBBB-MRVPVSSYSA-N -1 1 315.313 1.309 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C1(CCF)CC1 ZINC000869520459 702633902 /nfs/dbraw/zinc/63/39/02/702633902.db2.gz CSMGYKRLDLUNFA-UHFFFAOYSA-N -1 1 313.247 1.307 20 0 DDADMM CC(C)C[C@@H](C)CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843018396 702804258 /nfs/dbraw/zinc/80/42/58/702804258.db2.gz PEMGOWSXHGBYAO-CYBMUJFWSA-N -1 1 318.483 1.989 20 0 DDADMM CCCCSCC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843019658 702804680 /nfs/dbraw/zinc/80/46/80/702804680.db2.gz UHSVYLBWKATBEW-UHFFFAOYSA-N -1 1 322.496 1.450 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCCO1)c1nc[nH]c1Br ZINC000866451947 706701622 /nfs/dbraw/zinc/70/16/22/706701622.db2.gz RHLTWQDBUHNKHB-SSDOTTSWSA-N -1 1 324.200 1.020 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2ccc(F)nc2F)CCCC1 ZINC000866466680 706705958 /nfs/dbraw/zinc/70/59/58/706705958.db2.gz GSIGWEISIVEYLA-UHFFFAOYSA-N -1 1 320.317 1.124 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC[C@@]1(O)CCCOC1 ZINC000846605920 703302880 /nfs/dbraw/zinc/30/28/80/703302880.db2.gz XAGDFBBKMPQIGP-INIZCTEOSA-N -1 1 323.393 1.275 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@]2(C[C@@H]2C(=O)Nc2nnn[n-]2)C1 ZINC000847506207 703428872 /nfs/dbraw/zinc/42/88/72/703428872.db2.gz YTUUEGLPCVABPX-OTYXRUKQSA-N -1 1 322.369 1.175 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@]2(C[C@@H]2C(=O)Nc2nn[n-]n2)C1 ZINC000847506207 703428873 /nfs/dbraw/zinc/42/88/73/703428873.db2.gz YTUUEGLPCVABPX-OTYXRUKQSA-N -1 1 322.369 1.175 20 0 DDADMM Cc1cccc(C(C)(C)C[N-]S(=O)(=O)N=S(C)(C)=O)c1 ZINC000866647107 706751012 /nfs/dbraw/zinc/75/10/12/706751012.db2.gz CAPIEHBHUQNMBO-UHFFFAOYSA-N -1 1 318.464 1.835 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000869891405 703872103 /nfs/dbraw/zinc/87/21/03/703872103.db2.gz KQCCWVLUODUUDS-SAXRGWBVSA-N -1 1 301.342 1.778 20 0 DDADMM CC(F)(F)C(=O)[N-]CC(=O)c1cncc(Br)c1 ZINC000870237356 703968792 /nfs/dbraw/zinc/96/87/92/703968792.db2.gz LLYQZSDUCNQEOE-UHFFFAOYSA-N -1 1 307.094 1.798 20 0 DDADMM CC[C@@H]1C[C@H]1NC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852306511 704005909 /nfs/dbraw/zinc/00/59/09/704005909.db2.gz NMHZLAZZJWBSCK-DBIOUOCHSA-N -1 1 321.343 1.883 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C)Cc2cn(C(C)C)nn2)[n-]1 ZINC000870590476 704081043 /nfs/dbraw/zinc/08/10/43/704081043.db2.gz TXFAARFZGZDPJW-JTQLQIEISA-N -1 1 320.349 1.762 20 0 DDADMM CCCNC(=O)[C@@H](C)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852758281 704117402 /nfs/dbraw/zinc/11/74/02/704117402.db2.gz CQDHSIFPHDTDDE-MXWKQRLJSA-N -1 1 323.359 1.290 20 0 DDADMM C[C@H](C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cnn(C)c1 ZINC000819865331 704179092 /nfs/dbraw/zinc/17/90/92/704179092.db2.gz CNPPETLTSQHSLI-QMMMGPOBSA-N -1 1 313.321 1.048 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC2(C)OCCCO2)c(F)c1 ZINC000819878157 704179840 /nfs/dbraw/zinc/17/98/40/704179840.db2.gz CNRBSBWFDOLTJN-UHFFFAOYSA-N -1 1 321.345 1.705 20 0 DDADMM CC(C)n1ccc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000819970886 704194610 /nfs/dbraw/zinc/19/46/10/704194610.db2.gz WBYSKUJIYRLIOP-NSHDSACASA-N -1 1 319.365 1.501 20 0 DDADMM CC(C)c1cc([C@H]2CCCN(C(=O)c3cc(=O)n(C)[n-]3)C2)n[nH]1 ZINC000831885020 706798249 /nfs/dbraw/zinc/79/82/49/706798249.db2.gz LEPVCFGJAAITMH-NSHDSACASA-N -1 1 317.393 1.992 20 0 DDADMM CC(=Cc1cccnc1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342153 704382110 /nfs/dbraw/zinc/38/21/10/704382110.db2.gz JDVJESCNRLKFFF-PKNBQFBNSA-N -1 1 314.345 1.980 20 0 DDADMM O=C(Cc1ccccc1CO)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342605 704382479 /nfs/dbraw/zinc/38/24/79/704382479.db2.gz YZMAWVCILMSJMA-UHFFFAOYSA-N -1 1 317.345 1.216 20 0 DDADMM CC1(C)OCC([N-]S(=O)(=O)c2ccc(Cl)nc2F)CO1 ZINC000867099818 706895702 /nfs/dbraw/zinc/89/57/02/706895702.db2.gz ASCLVLGBAOUSKB-UHFFFAOYSA-N -1 1 324.761 1.304 20 0 DDADMM CC(C)[C@H]([N-]C(=O)C(F)(F)F)C(=O)N(C)Cc1cnc[nH]1 ZINC000855136174 704470279 /nfs/dbraw/zinc/47/02/79/704470279.db2.gz NECVHPYPUCJZBV-VIFPVBQESA-N -1 1 306.288 1.071 20 0 DDADMM O=C(NCC[S@@](=O)CC(F)(F)F)c1ccc([O-])c(F)c1 ZINC000855269586 704476375 /nfs/dbraw/zinc/47/63/75/704476375.db2.gz AGGSZURJFYHSQP-HXUWFJFHSA-N -1 1 313.272 1.572 20 0 DDADMM COC(=O)N(C)CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000855940958 704508079 /nfs/dbraw/zinc/50/80/79/704508079.db2.gz YMFKFDQWFNUIGI-UHFFFAOYSA-N -1 1 310.354 1.042 20 0 DDADMM C[C@@H](CCNc1nc2[nH][n-]cc-2c(=O)n1)NC(=O)OC(C)(C)C ZINC000856799000 704539413 /nfs/dbraw/zinc/53/94/13/704539413.db2.gz YIMGGOGLQYFFMG-QMMMGPOBSA-N -1 1 322.369 1.147 20 0 DDADMM CC[C@H](C)[C@H](O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866850124 706824635 /nfs/dbraw/zinc/82/46/35/706824635.db2.gz VMXGSTKHQOJHSJ-JGVFFNPUSA-N -1 1 310.778 1.559 20 0 DDADMM C[C@H](N1CCN(c2cc(Cl)[n-]c(=O)n2)CC1)C(F)(F)F ZINC000858437071 704710956 /nfs/dbraw/zinc/71/09/56/704710956.db2.gz ULDKDDDJWRTWSZ-ZETCQYMHSA-N -1 1 310.707 1.908 20 0 DDADMM C[C@@H]1CN(c2cc(Cl)[n-]c(=O)n2)CCN1CC(F)(F)F ZINC000858460748 704714020 /nfs/dbraw/zinc/71/40/20/704714020.db2.gz HTNNYRBPAXNBFA-SSDOTTSWSA-N -1 1 310.707 1.908 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(Cl)cnc1Cl)[C@@H](C)O ZINC000867353364 706972053 /nfs/dbraw/zinc/97/20/53/706972053.db2.gz LSSVORPUKPORJB-HTRCEHHLSA-N -1 1 313.206 1.826 20 0 DDADMM CC(=O)N(C)c1ccc(CNCc2cc(C(=O)[O-])nn2C)cc1 ZINC000874042152 704924575 /nfs/dbraw/zinc/92/45/75/704924575.db2.gz WDGXFGGJLSLNGX-UHFFFAOYSA-N -1 1 316.361 1.391 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1nc2c(s1)CCCC2 ZINC000874291781 704999016 /nfs/dbraw/zinc/99/90/16/704999016.db2.gz HMWBCXAUMAFPJJ-UHFFFAOYSA-N -1 1 306.395 1.174 20 0 DDADMM O=C(NCCN1CCc2ccccc2C1)N[C@H]1C[C@H](C(=O)[O-])C1 ZINC000874387239 705031993 /nfs/dbraw/zinc/03/19/93/705031993.db2.gz QKTDXAPZVJLQLP-SHTZXODSSA-N -1 1 317.389 1.207 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2c(C=O)c[nH]c2c1)c1nn[n-]n1 ZINC000859945222 705049335 /nfs/dbraw/zinc/04/93/35/705049335.db2.gz NOBKQEYYENOSML-LBPRGKRZSA-N -1 1 312.333 1.765 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)c1cc(F)cc(F)c1)C(=O)N(C)C ZINC000874467896 705080601 /nfs/dbraw/zinc/08/06/01/705080601.db2.gz BLPJDUJMOFYKPA-ZETCQYMHSA-N -1 1 306.259 1.650 20 0 DDADMM Cn1ncc(C[N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)n1 ZINC000822919474 705082178 /nfs/dbraw/zinc/08/21/78/705082178.db2.gz UURQXOOBTSTZNH-UHFFFAOYSA-N -1 1 302.231 1.502 20 0 DDADMM C[C@@]1(CC(=O)Nc2cc(F)cc(F)c2[O-])CCS(=O)(=O)N1 ZINC000822971624 705097535 /nfs/dbraw/zinc/09/75/35/705097535.db2.gz GRAXWWQXVRXRRY-LBPRGKRZSA-N -1 1 320.317 1.081 20 0 DDADMM CCN(C(=O)CCCc1nn[n-]n1)[C@H](C)[C@@H](O)c1ccccc1 ZINC000823057603 705126753 /nfs/dbraw/zinc/12/67/53/705126753.db2.gz VQZARVKDOWPJLJ-MLGOLLRUSA-N -1 1 317.393 1.493 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCCS1)c1ccc(F)nc1F ZINC000867657977 707065473 /nfs/dbraw/zinc/06/54/73/707065473.db2.gz FGOQYEZWKKMJNN-MRVPVSSYSA-N -1 1 308.375 1.924 20 0 DDADMM Cn1cnnc1COCC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834821805 707129972 /nfs/dbraw/zinc/12/99/72/707129972.db2.gz HEZMNIKRDROYSP-UHFFFAOYSA-N -1 1 310.741 1.007 20 0 DDADMM CC(C)C(NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C(C)C ZINC000875399445 705375595 /nfs/dbraw/zinc/37/55/95/705375595.db2.gz ZWBFOKPDNDLVET-UHFFFAOYSA-N -1 1 322.409 1.735 20 0 DDADMM CCn1ncc(C[N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)n1 ZINC000824852979 705542970 /nfs/dbraw/zinc/54/29/70/705542970.db2.gz WKGOTKJBJWSRLI-UHFFFAOYSA-N -1 1 316.258 1.984 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)c1c(F)cccc1F)N1CCCO1 ZINC000862084081 705646707 /nfs/dbraw/zinc/64/67/07/705646707.db2.gz GJVMLHDMALNMJL-UHFFFAOYSA-N -1 1 320.242 1.337 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC1(C2CCC2)CC1 ZINC000876301205 705683499 /nfs/dbraw/zinc/68/34/99/705683499.db2.gz VXSPAMYRISNTOX-UHFFFAOYSA-N -1 1 318.377 1.387 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)NC[C@@]1(O)CCSC1 ZINC000876392854 705707533 /nfs/dbraw/zinc/70/75/33/705707533.db2.gz BBNJADKTDFJVMH-ZDUSSCGKSA-N -1 1 316.810 1.713 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CCO[C@@H](CF)C1 ZINC000876396374 705708387 /nfs/dbraw/zinc/70/83/87/705708387.db2.gz CNBQNKWKVJVQMA-JTQLQIEISA-N -1 1 302.733 1.926 20 0 DDADMM O=C(NCC[C@H](O)C(F)F)NCc1ccc([O-])c(Cl)c1 ZINC000876400229 705708589 /nfs/dbraw/zinc/70/85/89/705708589.db2.gz WKMNHNSWCZCQOK-JTQLQIEISA-N -1 1 308.712 1.861 20 0 DDADMM Cc1cnc(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)s1 ZINC000826319211 705790909 /nfs/dbraw/zinc/79/09/09/705790909.db2.gz SJSOENXVCNRBLE-UHFFFAOYSA-N -1 1 305.323 1.109 20 0 DDADMM Cc1cnc(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)s1 ZINC000826319211 705790911 /nfs/dbraw/zinc/79/09/11/705790911.db2.gz SJSOENXVCNRBLE-UHFFFAOYSA-N -1 1 305.323 1.109 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H](C)CC2)c1-c1nnn[n-]1 ZINC000826344373 705793904 /nfs/dbraw/zinc/79/39/04/705793904.db2.gz GGNKWYXEAWICQQ-SECBINFHSA-N -1 1 304.354 1.354 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H](C)CC2)c1-c1nn[n-]n1 ZINC000826344373 705793907 /nfs/dbraw/zinc/79/39/07/705793907.db2.gz GGNKWYXEAWICQQ-SECBINFHSA-N -1 1 304.354 1.354 20 0 DDADMM Cc1onc(CC(=O)N[C@H](C)C2CCCC2)c1-c1nnn[n-]1 ZINC000826345347 705793961 /nfs/dbraw/zinc/79/39/61/705793961.db2.gz JRTAIJACLSKEMT-MRVPVSSYSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@H](C)C2CCCC2)c1-c1nn[n-]n1 ZINC000826345347 705793963 /nfs/dbraw/zinc/79/39/63/705793963.db2.gz JRTAIJACLSKEMT-MRVPVSSYSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)NCCCCOC(C)C)c1-c1nnn[n-]1 ZINC000826347632 705794372 /nfs/dbraw/zinc/79/43/72/705794372.db2.gz YGFMXKGCSGBJLN-UHFFFAOYSA-N -1 1 322.369 1.027 20 0 DDADMM Cc1onc(CC(=O)NCCCCOC(C)C)c1-c1nn[n-]n1 ZINC000826347632 705794375 /nfs/dbraw/zinc/79/43/75/705794375.db2.gz YGFMXKGCSGBJLN-UHFFFAOYSA-N -1 1 322.369 1.027 20 0 DDADMM Cc1onc(CC(=O)NC[C@@]2(C)CCCS2)c1-c1nnn[n-]1 ZINC000826347031 705794696 /nfs/dbraw/zinc/79/46/96/705794696.db2.gz BZHKIOOFOATHNF-CYBMUJFWSA-N -1 1 322.394 1.108 20 0 DDADMM Cc1onc(CC(=O)NC[C@@]2(C)CCCS2)c1-c1nn[n-]n1 ZINC000826347031 705794700 /nfs/dbraw/zinc/79/47/00/705794700.db2.gz BZHKIOOFOATHNF-CYBMUJFWSA-N -1 1 322.394 1.108 20 0 DDADMM Fc1ccccc1NCCCNc1nccnc1-c1nnn[n-]1 ZINC000826378667 705796398 /nfs/dbraw/zinc/79/63/98/705796398.db2.gz VLMKAMJOZYLGQL-UHFFFAOYSA-N -1 1 314.328 1.710 20 0 DDADMM Fc1ccccc1NCCCNc1nccnc1-c1nn[n-]n1 ZINC000826378667 705796402 /nfs/dbraw/zinc/79/64/02/705796402.db2.gz VLMKAMJOZYLGQL-UHFFFAOYSA-N -1 1 314.328 1.710 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccnc(C2CC2)c1 ZINC000826467244 705802730 /nfs/dbraw/zinc/80/27/30/705802730.db2.gz NIPBDCGTQKRKLI-UHFFFAOYSA-N -1 1 322.328 1.891 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccnc(C2CC2)c1 ZINC000826467244 705802734 /nfs/dbraw/zinc/80/27/34/705802734.db2.gz NIPBDCGTQKRKLI-UHFFFAOYSA-N -1 1 322.328 1.891 20 0 DDADMM CSCC[C@H](NC(=O)C[C@H]1CCCC1(F)F)c1nn[n-]n1 ZINC000826927404 705892405 /nfs/dbraw/zinc/89/24/05/705892405.db2.gz ANDXWCZUGXZIKD-BDAKNGLRSA-N -1 1 319.381 1.936 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cc3ccsc3[nH]2)CC1 ZINC000827375136 705989507 /nfs/dbraw/zinc/98/95/07/705989507.db2.gz QZFCEMYMGRSNEX-SNVBAGLBSA-N -1 1 321.402 1.850 20 0 DDADMM Cc1c(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)cnn1C(C)C ZINC000864159506 706084487 /nfs/dbraw/zinc/08/44/87/706084487.db2.gz VQYMMIIZCANUAY-UHFFFAOYSA-N -1 1 322.409 1.393 20 0 DDADMM O=C([O-])C1CC(NC(=O)[C@@H]2CCCN2Cc2ccccc2)C1 ZINC000864206155 706099001 /nfs/dbraw/zinc/09/90/01/706099001.db2.gz DKNRCDCYTXZFLU-NRXISQOPSA-N -1 1 302.374 1.630 20 0 DDADMM CC(C)(C)OC(=O)NC1(C(=O)Nc2nnn[n-]2)CC2(CCC2)C1 ZINC000828074915 706114043 /nfs/dbraw/zinc/11/40/43/706114043.db2.gz ZKUCZPXABIOZLE-UHFFFAOYSA-N -1 1 322.369 1.366 20 0 DDADMM CC(C)(C)OC(=O)NC1(C(=O)Nc2nn[n-]n2)CC2(CCC2)C1 ZINC000828074915 706114047 /nfs/dbraw/zinc/11/40/47/706114047.db2.gz ZKUCZPXABIOZLE-UHFFFAOYSA-N -1 1 322.369 1.366 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC2CCC(F)CC2)c1Cl ZINC000828277462 706152640 /nfs/dbraw/zinc/15/26/40/706152640.db2.gz LWKAUWGJPXXJFW-UHFFFAOYSA-N -1 1 309.794 1.880 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@]1(C)CCOC1 ZINC000872449669 707417619 /nfs/dbraw/zinc/41/76/19/707417619.db2.gz RHTUKVZEWNLSRJ-VOJFVSQTSA-N -1 1 312.457 1.144 20 0 DDADMM C[C@@H](CSc1nc(C(F)(F)F)cc(=O)[n-]1)S(C)(=O)=O ZINC000829184261 706299629 /nfs/dbraw/zinc/29/96/29/706299629.db2.gz ZFXVPWISBVQLQG-YFKPBYRVSA-N -1 1 316.326 1.726 20 0 DDADMM CO[C@]1(C)C[C@@H]([N@@H+](C)Cc2nc3c(c(=O)[nH]2)COCC3)C1(C)C ZINC000878153769 706308021 /nfs/dbraw/zinc/30/80/21/706308021.db2.gz QQYJDMWOXHTVKV-CXAGYDPISA-N -1 1 321.421 1.890 20 0 DDADMM COc1ccc(C(=O)Nc2cc3n(n2)CCN(C)C3)c([O-])c1 ZINC000829463683 706338421 /nfs/dbraw/zinc/33/84/21/706338421.db2.gz WWZMNKYKIVKHMB-UHFFFAOYSA-N -1 1 302.334 1.295 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@H]2CCCO2)C1 ZINC000830789686 706579986 /nfs/dbraw/zinc/57/99/86/706579986.db2.gz KJKUIRYZXLYORX-BXKDBHETSA-N -1 1 308.300 1.225 20 0 DDADMM Cc1cc[nH]c1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830801698 706582317 /nfs/dbraw/zinc/58/23/17/706582317.db2.gz MXOMRJQHLMVFPJ-LBPRGKRZSA-N -1 1 303.284 1.606 20 0 DDADMM CC1=C(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CCCO1 ZINC000830815447 706584680 /nfs/dbraw/zinc/58/46/80/706584680.db2.gz BQSYGFDAOBPCSA-CYBMUJFWSA-N -1 1 320.311 1.740 20 0 DDADMM CCO[C@@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)C1CCCC1 ZINC000866901224 706839906 /nfs/dbraw/zinc/83/99/06/706839906.db2.gz ASABYAAECKLSBG-CHWSQXEVSA-N -1 1 307.398 1.501 20 0 DDADMM CCS[C@H]1CCCC[C@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866929293 706847177 /nfs/dbraw/zinc/84/71/77/706847177.db2.gz VXBVBWAGJXFTCU-ZJUUUORDSA-N -1 1 314.498 1.613 20 0 DDADMM CCN(CCS(C)(=O)=O)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000866931746 706848001 /nfs/dbraw/zinc/84/80/01/706848001.db2.gz IKLDPRUEGVGXIM-UHFFFAOYSA-N -1 1 319.810 1.481 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1CCC(F)(F)C1 ZINC000866970643 706860062 /nfs/dbraw/zinc/86/00/62/706860062.db2.gz UBRJDCKFRITUDT-MRVPVSSYSA-N -1 1 302.368 1.270 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1ccncc1C ZINC000867014004 706872566 /nfs/dbraw/zinc/87/25/66/706872566.db2.gz SNNLKUWSRWCHDH-UHFFFAOYSA-N -1 1 319.452 1.275 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCO[C@H](C(C)C)C1 ZINC000867053150 706882942 /nfs/dbraw/zinc/88/29/42/706882942.db2.gz ZYRJAHUITZDFMH-DDBGAENHSA-N -1 1 312.457 1.142 20 0 DDADMM CO[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867352416 706971537 /nfs/dbraw/zinc/97/15/37/706971537.db2.gz MXLXEXKUOKJUQT-RNFRBKRXSA-N -1 1 324.200 1.018 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccnc(-n2cccc2)c1)c1nn[n-]n1 ZINC000867381486 706981870 /nfs/dbraw/zinc/98/18/70/706981870.db2.gz PMWQMLJSDZSTJS-LLVKDONJSA-N -1 1 311.349 1.261 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CCCC1 ZINC000867565854 707041285 /nfs/dbraw/zinc/04/12/85/707041285.db2.gz VWBWODOJPZSLHH-UHFFFAOYSA-N -1 1 320.773 1.912 20 0 DDADMM CSc1nc(CNC(=O)CCc2csc(C)n2)cc(=O)[n-]1 ZINC000880652810 707048398 /nfs/dbraw/zinc/04/83/98/707048398.db2.gz MUGQVNJSYPCVIV-UHFFFAOYSA-N -1 1 324.431 1.918 20 0 DDADMM CSc1nc(CNC(=O)c2cc(Cl)n(C)n2)cc(=O)[n-]1 ZINC000880651500 707048582 /nfs/dbraw/zinc/04/85/82/707048582.db2.gz OXDXRRNHYGHWMS-UHFFFAOYSA-N -1 1 313.770 1.221 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C(C)(C)C(C)(F)F)n[n-]1 ZINC000880666306 707052416 /nfs/dbraw/zinc/05/24/16/707052416.db2.gz MXGCICIGZJWDFN-SSDOTTSWSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C(C)(C)C(C)(F)F)[n-]1 ZINC000880666306 707052417 /nfs/dbraw/zinc/05/24/17/707052417.db2.gz MXGCICIGZJWDFN-SSDOTTSWSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C(C)(C)C(C)(F)F)n1 ZINC000880666306 707052419 /nfs/dbraw/zinc/05/24/19/707052419.db2.gz MXGCICIGZJWDFN-SSDOTTSWSA-N -1 1 318.324 1.840 20 0 DDADMM CC1(C)OCC(CO)(NC(=O)c2ccc(Cl)cc2[O-])CO1 ZINC000834479099 707063415 /nfs/dbraw/zinc/06/34/15/707063415.db2.gz LBZVZWOYKJZDTQ-UHFFFAOYSA-N -1 1 315.753 1.289 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C(C)(C)[C@@H]1CCCCO1 ZINC000867682464 707073420 /nfs/dbraw/zinc/07/34/20/707073420.db2.gz KKZCDRDKEVEZOP-YPMLDQLKSA-N -1 1 312.457 1.286 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCc2cccnc21 ZINC000867719058 707084830 /nfs/dbraw/zinc/08/48/30/707084830.db2.gz OCYLNKMVMYLTTM-WLRWDXFRSA-N -1 1 317.436 1.064 20 0 DDADMM C[C@@H]1CCCN(C(=O)C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000834826001 707132202 /nfs/dbraw/zinc/13/22/02/707132202.db2.gz PKFUHWWHHCWEQJ-SNVBAGLBSA-N -1 1 310.781 1.920 20 0 DDADMM CCc1nnc(CO[N-]C(=O)CCc2ccc(OC)cc2)o1 ZINC000871797817 707207903 /nfs/dbraw/zinc/20/79/03/707207903.db2.gz DYHYSLMRIZZHND-UHFFFAOYSA-N -1 1 305.334 1.821 20 0 DDADMM C[C@H](NCc1nc2c(c(=O)[n-]1)COCC2)C(=O)OC(C)(C)C ZINC000871932559 707248736 /nfs/dbraw/zinc/24/87/36/707248736.db2.gz UWGWAUSDYILNQG-VIFPVBQESA-N -1 1 309.366 1.075 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C2CC2)C2CCC2)co1 ZINC000836046871 707384601 /nfs/dbraw/zinc/38/46/01/707384601.db2.gz XGCUQGWZRKCYIC-CYBMUJFWSA-N -1 1 313.375 1.923 20 0 DDADMM Cc1nc(C(C)(C)[N-]S(=O)(=O)c2cccnc2F)no1 ZINC000881851536 707392588 /nfs/dbraw/zinc/39/25/88/707392588.db2.gz MHXKJQXEIALGMG-UHFFFAOYSA-N -1 1 300.315 1.126 20 0 DDADMM CO[C@@H](C)CC[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872446047 707415384 /nfs/dbraw/zinc/41/53/84/707415384.db2.gz XNEYDRKUZDVQJJ-XYZCENFISA-N -1 1 300.446 1.142 20 0 DDADMM C[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872479988 707436671 /nfs/dbraw/zinc/43/66/71/707436671.db2.gz SFGZIQQGLBXHCW-AUSHCKSDSA-N -1 1 312.457 1.142 20 0 DDADMM Cc1ccsc1[C@@H](CO)[N-]S(=O)(=O)c1cccnc1F ZINC000881968575 707449102 /nfs/dbraw/zinc/44/91/02/707449102.db2.gz CEHYKWIEMOFWCG-SECBINFHSA-N -1 1 316.379 1.603 20 0 DDADMM COCC1([N-]S(=O)(=O)N=[S@](C)(=O)C2CCCCC2)CC1 ZINC000881995601 707458899 /nfs/dbraw/zinc/45/88/99/707458899.db2.gz WVHBMBTVFVLCBK-LJQANCHMSA-N -1 1 324.468 1.430 20 0 DDADMM COC[C@@H]1CCCCN1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000836573651 707482477 /nfs/dbraw/zinc/48/24/77/707482477.db2.gz JMLRACWUFUPAMJ-NSHDSACASA-N -1 1 303.366 1.375 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@@]4(CCOC4)C3)ccnc1-2 ZINC000836576295 707482856 /nfs/dbraw/zinc/48/28/56/707482856.db2.gz WBOGFYLWLRPDAC-MRXNPFEDSA-N -1 1 315.377 1.376 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@@H](C)N1CCc2ccccc2C1 ZINC000836896041 707542842 /nfs/dbraw/zinc/54/28/42/707542842.db2.gz HCTSBYCWVHXXDS-CZUORRHYSA-N -1 1 306.406 1.498 20 0 DDADMM CCCc1nc(C)c(C[N-]S(=O)(=O)c2cccnc2F)o1 ZINC000882219334 707544266 /nfs/dbraw/zinc/54/42/66/707544266.db2.gz PFNCXWJXBWZIIB-UHFFFAOYSA-N -1 1 313.354 1.948 20 0 DDADMM CC[S@@](=O)CCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872896211 707642818 /nfs/dbraw/zinc/64/28/18/707642818.db2.gz QWIRKCBTPGAKDJ-LJQANCHMSA-N -1 1 304.799 1.613 20 0 DDADMM CCN(CC(=O)NC[C@H](Cc1cccc(C)c1)C(=O)[O-])C1CC1 ZINC000909242769 712971594 /nfs/dbraw/zinc/97/15/94/712971594.db2.gz XMXYYDSOXRRDTR-HNNXBMFYSA-N -1 1 318.417 1.839 20 0 DDADMM Cc1nc([C@@H]2CCN(C(=O)c3c(F)ccc([O-])c3F)C2)no1 ZINC000927328446 712972223 /nfs/dbraw/zinc/97/22/23/712972223.db2.gz MIBFNECVLFZYSE-MRVPVSSYSA-N -1 1 309.272 1.992 20 0 DDADMM CCOC(=O)[C@H](F)[C@H]1CCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000882570581 707703687 /nfs/dbraw/zinc/70/36/87/707703687.db2.gz FNKPEEXGHSNINV-OIBJUYFYSA-N -1 1 303.721 1.563 20 0 DDADMM CC(C)O[C@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000837730565 707730352 /nfs/dbraw/zinc/73/03/52/707730352.db2.gz RZWQECUPLZGPLV-PHIMTYICSA-N -1 1 306.391 1.704 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CC[C@@](F)(C(=O)[O-])C1 ZINC000909309641 712987907 /nfs/dbraw/zinc/98/79/07/712987907.db2.gz OBRFZIPCLZEHFD-INIZCTEOSA-N -1 1 308.353 1.186 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)NC3(C(=O)[O-])CCSCC3)c2C1 ZINC000909347584 712996848 /nfs/dbraw/zinc/99/68/48/712996848.db2.gz PEAKGWVVTZRVOI-SECBINFHSA-N -1 1 323.418 1.615 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CC[C@H](C(F)(F)F)O2)c([O-])c1 ZINC000927566912 713010921 /nfs/dbraw/zinc/01/09/21/713010921.db2.gz BBDJXELXQJRILY-WCBMZHEXSA-N -1 1 304.268 1.935 20 0 DDADMM O=C([O-])CC[C@@H]1CCCN(C(=O)[C@H]2CCc3nc[nH]c3C2)C1 ZINC000909475993 713027969 /nfs/dbraw/zinc/02/79/69/713027969.db2.gz CHSZKDMXNWRUSR-RYUDHWBXSA-N -1 1 305.378 1.618 20 0 DDADMM Cc1noc(C)c1CCC[N-]S(=O)(=O)c1ccns1 ZINC000885051383 708456567 /nfs/dbraw/zinc/45/65/67/708456567.db2.gz VSHMLYIBKBQZAT-UHFFFAOYSA-N -1 1 301.393 1.659 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC1(C)C ZINC000912543915 713033580 /nfs/dbraw/zinc/03/35/80/713033580.db2.gz UQAUUFXWMQCOEW-SECBINFHSA-N -1 1 301.368 1.053 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)c1ccns1)C(=O)OC ZINC000885269090 708506559 /nfs/dbraw/zinc/50/65/59/708506559.db2.gz UDLIRZNDQJXPIY-DTWKUNHWSA-N -1 1 306.409 1.399 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@H](c2ccccc2)CO1)c1ccns1 ZINC000885300540 708514665 /nfs/dbraw/zinc/51/46/65/708514665.db2.gz WFCRLBFIHLHWPQ-STQMWFEESA-N -1 1 324.427 1.994 20 0 DDADMM O=S(=O)([N-][C@H](C1CCC1)[C@H]1CCCO1)c1ccns1 ZINC000885336544 708522280 /nfs/dbraw/zinc/52/22/80/708522280.db2.gz PXWRNTCFRUKVQR-ZYHUDNBSSA-N -1 1 302.421 1.769 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000885383179 708531967 /nfs/dbraw/zinc/53/19/67/708531967.db2.gz NYFOWCSEPCKZDY-JGVFFNPUSA-N -1 1 324.349 1.799 20 0 DDADMM CS(=O)(=O)N1CC(C(=O)Nc2cc(F)c([O-])cc2Cl)C1 ZINC000885680324 708596478 /nfs/dbraw/zinc/59/64/78/708596478.db2.gz PGNPOLANGPVDEU-UHFFFAOYSA-N -1 1 322.745 1.015 20 0 DDADMM O=C(NCCO[C@@H]1CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000885724615 708608873 /nfs/dbraw/zinc/60/88/73/708608873.db2.gz HESHPNIROFYELC-SNVBAGLBSA-N -1 1 319.279 1.946 20 0 DDADMM CCOCCOCCNC(=O)c1ncc2ccccc2c1[O-] ZINC000885752193 708617955 /nfs/dbraw/zinc/61/79/55/708617955.db2.gz KFHHGBLMIGXTCU-UHFFFAOYSA-N -1 1 304.346 1.723 20 0 DDADMM CCN(CCOC)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927780338 713054140 /nfs/dbraw/zinc/05/41/40/713054140.db2.gz AERCUQPKIGIVHO-UHFFFAOYSA-N -1 1 302.321 1.891 20 0 DDADMM O=S(=O)([N-]c1ccnc(F)c1F)c1cnn2c1OCCC2 ZINC000886418876 708757813 /nfs/dbraw/zinc/75/78/13/708757813.db2.gz XRKBPBQUIWOZIV-UHFFFAOYSA-N -1 1 316.289 1.140 20 0 DDADMM C[C@H](O)[C@H]1CCN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927785029 713055540 /nfs/dbraw/zinc/05/55/40/713055540.db2.gz WNUWGHRWNGMNOA-UWVGGRQHSA-N -1 1 314.332 1.625 20 0 DDADMM O=C(NC1(c2ncccn2)CCOCC1)c1c([O-])cccc1F ZINC000886943135 708898797 /nfs/dbraw/zinc/89/87/97/708898797.db2.gz OMYRPBAUNCEHIY-UHFFFAOYSA-N -1 1 317.320 1.757 20 0 DDADMM CCC[C@H](C)N1C[C@H](C(=O)[N-]OCc2cccc(C)n2)CC1=O ZINC000898936185 708929032 /nfs/dbraw/zinc/92/90/32/708929032.db2.gz PCMXJVWYTAKTPZ-UONOGXRCSA-N -1 1 319.405 1.975 20 0 DDADMM CN1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])[C@@H](CO)C1 ZINC000912740121 713080068 /nfs/dbraw/zinc/08/00/68/713080068.db2.gz PEYGCVVVRYHERW-SNVBAGLBSA-N -1 1 318.295 1.160 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2c([O-])cccc2F)CCS1(=O)=O ZINC000888385474 709295517 /nfs/dbraw/zinc/29/55/17/709295517.db2.gz WXYDOBHVUOOYBY-SECBINFHSA-N -1 1 315.366 1.617 20 0 DDADMM CC[C@](C)(NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(N)=O ZINC000888548673 709338242 /nfs/dbraw/zinc/33/82/42/709338242.db2.gz XKNVMLCJKHGZCW-LBPRGKRZSA-N -1 1 304.268 1.795 20 0 DDADMM CSCC[C@H](NC(=O)c1ncsc1C1CC1)c1nn[n-]n1 ZINC000912860433 713109878 /nfs/dbraw/zinc/10/98/78/713109878.db2.gz SWWPGGDZWZNGIB-QMMMGPOBSA-N -1 1 324.435 1.758 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)N[C@@H](CCSC)c2nn[n-]n2)CCO1 ZINC000912862143 713110297 /nfs/dbraw/zinc/11/02/97/713110297.db2.gz JNKWMGWFZFYTRP-MXWKQRLJSA-N -1 1 313.427 1.315 20 0 DDADMM CN(CC(=O)NC[C@](C)(C(=O)[O-])c1ccccc1)C1CCC1 ZINC000909598983 709535339 /nfs/dbraw/zinc/53/53/39/709535339.db2.gz JDIKIJATVNFYQE-KRWDZBQOSA-N -1 1 304.390 1.629 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](C)c1ccccn1)c1nn[n-]n1 ZINC000912862553 713111150 /nfs/dbraw/zinc/11/11/50/713111150.db2.gz PRDKPMSYLLVUIB-ONGXEEELSA-N -1 1 306.395 1.309 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)CCc2cnc[nH]2)C[C@H]1c1ccccc1 ZINC000909624970 709545498 /nfs/dbraw/zinc/54/54/98/709545498.db2.gz UQOOSMPKEFISKV-LSDHHAIUSA-N -1 1 313.357 1.669 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000909709750 709590569 /nfs/dbraw/zinc/59/05/69/709590569.db2.gz AGRHFYTWZFBJKR-MEDUHNTESA-N -1 1 321.377 1.169 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCc3cccc(F)c3C2)C1 ZINC000909724161 709596538 /nfs/dbraw/zinc/59/65/38/709596538.db2.gz HPEYJIUVNNZNDE-ZDUSSCGKSA-N -1 1 320.364 1.507 20 0 DDADMM C[C@@H](C(=O)[O-])N(Cc1ccccc1)C(=O)[C@@H]1CCCCN1C ZINC000909746862 709603502 /nfs/dbraw/zinc/60/35/02/709603502.db2.gz HCWHUQQBINOFLF-ZFWWWQNUSA-N -1 1 304.390 1.973 20 0 DDADMM O=C([O-])[C@@H]1CC[C@@H]1C(=O)N1CCNC[C@@H]1c1cccc(Cl)c1 ZINC000900459335 709604527 /nfs/dbraw/zinc/60/45/27/709604527.db2.gz YOKWGZRNRCYBQR-BFHYXJOUSA-N -1 1 322.792 1.924 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N1[C@@H](C)CC[C@H]1C(=O)[O-] ZINC000900463412 709607283 /nfs/dbraw/zinc/60/72/83/709607283.db2.gz VZJGGURISXLKLX-UBHSHLNASA-N -1 1 320.389 1.939 20 0 DDADMM CN(CC(=O)N[C@H](C(=O)[O-])c1ccc2c(c1)CCO2)C1CCC1 ZINC000909791719 709622660 /nfs/dbraw/zinc/62/26/60/709622660.db2.gz GUTHJFFOKBWCQK-INIZCTEOSA-N -1 1 318.373 1.348 20 0 DDADMM CCN(CC(=O)N[C@@H](C(=O)[O-])c1ccc2c(c1)CCO2)C1CC1 ZINC000909793752 709623774 /nfs/dbraw/zinc/62/37/74/709623774.db2.gz NLEZVVZMZNBWHF-MRXNPFEDSA-N -1 1 318.373 1.348 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)o1 ZINC000889787640 709636373 /nfs/dbraw/zinc/63/63/73/709636373.db2.gz YKLJVIQGWZJIOV-VIFPVBQESA-N -1 1 319.317 1.770 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C[C@@H]2CCCO2)[n-]c1=O ZINC000889794601 709639322 /nfs/dbraw/zinc/63/93/22/709639322.db2.gz MKYAHVAGGUQUOD-WDEREUQCSA-N -1 1 307.350 1.423 20 0 DDADMM C[C@@H](NC(=O)CN1CCCC1)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909822086 709639889 /nfs/dbraw/zinc/63/98/89/709639889.db2.gz XZAFHIUMYZSPJF-UKRRQHHQSA-N -1 1 304.390 1.530 20 0 DDADMM C[C@@H](NC(=O)CCc1cnc[nH]1)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909823896 709641340 /nfs/dbraw/zinc/64/13/40/709641340.db2.gz QBTZQIUXPUHIMS-IUODEOHRSA-N -1 1 315.373 1.791 20 0 DDADMM CC[C@@](CNC(=O)Cc1cnc[nH]1)(C(=O)[O-])c1ccccc1 ZINC000909845383 709649414 /nfs/dbraw/zinc/64/94/14/709649414.db2.gz MJTKGFKISKQKBE-INIZCTEOSA-N -1 1 301.346 1.501 20 0 DDADMM O=C(N[C@H]1CCCN2CCSC[C@@H]12)C(=O)c1ccc([O-])cc1 ZINC000928085056 713122480 /nfs/dbraw/zinc/12/24/80/713122480.db2.gz GJYWMEGQXZFGGW-KBPBESRZSA-N -1 1 320.414 1.271 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CC[C@H](C(=O)[O-])[C@H]2CCCC[C@H]21 ZINC000909955573 709707103 /nfs/dbraw/zinc/70/71/03/709707103.db2.gz AEBNEPHJTNEOAU-BARDWOONSA-N -1 1 308.422 1.963 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CC[C@H](C(=O)[O-])[C@@H]2CCCC[C@@H]21 ZINC000909955571 709707280 /nfs/dbraw/zinc/70/72/80/709707280.db2.gz AEBNEPHJTNEOAU-AJNGGQMLSA-N -1 1 308.422 1.963 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCCCC[C@@H]2C(=O)[O-])C1 ZINC000910047508 709752418 /nfs/dbraw/zinc/75/24/18/709752418.db2.gz YGOZSNHOIQBJKG-KGYLQXTDSA-N -1 1 305.378 1.931 20 0 DDADMM CC[C@H](C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1)[C@H](C)O ZINC000900791958 709760822 /nfs/dbraw/zinc/76/08/22/709760822.db2.gz KREXERQCDACTLD-GARJFASQSA-N -1 1 309.366 1.261 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)N[C@H](C(=O)[O-])C1CCCCC1 ZINC000910089477 709767220 /nfs/dbraw/zinc/76/72/20/709767220.db2.gz DBYHPWFOHOHPRU-OCCSQVGLSA-N -1 1 312.410 1.104 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)CCN1C ZINC000900846744 709788837 /nfs/dbraw/zinc/78/88/37/709788837.db2.gz JZESCSVXJUAMQA-SNVBAGLBSA-N -1 1 303.337 1.856 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@H](Cc2nccs2)C1 ZINC000890208201 709789044 /nfs/dbraw/zinc/78/90/44/709789044.db2.gz OHHBKCUMKJIHJI-JTQLQIEISA-N -1 1 306.395 1.070 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@]23CCO[C@H]2CCCC3)CC1 ZINC000910170301 709796733 /nfs/dbraw/zinc/79/67/33/709796733.db2.gz JHGWEGIDLXTMBI-JKIFEVAISA-N -1 1 324.421 1.343 20 0 DDADMM CC(C)CC[C@@](C)(O)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900900308 709818197 /nfs/dbraw/zinc/81/81/97/709818197.db2.gz LHEQTXPSLIVXPA-MRXNPFEDSA-N -1 1 307.394 1.977 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C1CCCCC1 ZINC000910234282 709829906 /nfs/dbraw/zinc/82/99/06/709829906.db2.gz QRJVAJPSSWCHSV-JHJVBQTASA-N -1 1 305.378 1.911 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC[C@@H]2COc3ccccc32)C1 ZINC000910240560 709834115 /nfs/dbraw/zinc/83/41/15/709834115.db2.gz ARHJWIVCPPYHNH-QWHCGFSZSA-N -1 1 318.373 1.075 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc(O)c(Cl)c2)[C@@](C)(C(=O)[O-])C1 ZINC000910260845 709844964 /nfs/dbraw/zinc/84/49/64/709844964.db2.gz AODKUOLCBQSQTM-LKFCYVNXSA-N -1 1 313.737 1.750 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C(=O)c1ccn[nH]1)CC2 ZINC000910384844 709918113 /nfs/dbraw/zinc/91/81/13/709918113.db2.gz JFACCZBFJAYAPI-UHFFFAOYSA-N -1 1 301.302 1.315 20 0 DDADMM COC(=O)C1CC2(C1)C[C@@H]([N-]C(=O)C(F)(F)F)CCS2 ZINC000890605415 709926790 /nfs/dbraw/zinc/92/67/90/709926790.db2.gz GTAUUYITRRZJNA-KAIZJQOQSA-N -1 1 311.325 1.882 20 0 DDADMM COC(=O)C[C@@H]1CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901149659 709947629 /nfs/dbraw/zinc/94/76/29/709947629.db2.gz MBZFLTIQTVCQTL-JTQLQIEISA-N -1 1 305.334 1.227 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@@H](Cc1cc2ccccc2o1)C(=O)[O-] ZINC000910581206 710008964 /nfs/dbraw/zinc/00/89/64/710008964.db2.gz PKTYBMVWTBDZGI-KBPBESRZSA-N -1 1 316.357 1.639 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2cc3cc[nH]c3cn2)C1 ZINC000910680426 710045233 /nfs/dbraw/zinc/04/52/33/710045233.db2.gz XKRVYQKNXHJGHO-LLVKDONJSA-N -1 1 302.334 1.298 20 0 DDADMM CCCC[C@@H](NC(=O)CN(C)CCc1ccccc1)C(=O)[O-] ZINC000901488464 710053770 /nfs/dbraw/zinc/05/37/70/710053770.db2.gz GWPRBRFKKZPOFE-OAHLLOKOSA-N -1 1 306.406 1.921 20 0 DDADMM CCc1ccc(CN2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)o1 ZINC000901525203 710065725 /nfs/dbraw/zinc/06/57/25/710065725.db2.gz OPGHOEULHAVQKY-NSHDSACASA-N -1 1 304.350 1.969 20 0 DDADMM CSC[C@@H](NC(=O)c1c(C)n[nH]c1C(F)(F)F)C(=O)[O-] ZINC000910875027 710103537 /nfs/dbraw/zinc/10/35/37/710103537.db2.gz GQIHWMKFTCDHBA-RXMQYKEDSA-N -1 1 311.285 1.283 20 0 DDADMM CCOC(=O)[C@H](CC)Sc1nc([O-])cc(=O)n1CCOC ZINC000901653544 710109220 /nfs/dbraw/zinc/10/92/20/710109220.db2.gz JWECKOYXELSJLC-VIFPVBQESA-N -1 1 316.379 1.029 20 0 DDADMM COc1cc([N-]S(=O)(=O)N=S(C)(C)=O)c(C)c(OC)c1 ZINC000901665673 710114198 /nfs/dbraw/zinc/11/41/98/710114198.db2.gz JHEPWCKKWZKTQI-UHFFFAOYSA-N -1 1 322.408 1.397 20 0 DDADMM CC[C@H](C)C[C@H](NC(=O)C(C)(C)CN1CCOCC1)C(=O)[O-] ZINC000910909700 710115752 /nfs/dbraw/zinc/11/57/52/710115752.db2.gz AMMJPXATYRFNGZ-STQMWFEESA-N -1 1 314.426 1.350 20 0 DDADMM C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000901712087 710129241 /nfs/dbraw/zinc/12/92/41/710129241.db2.gz VGCBJTWWISYQMK-KDOFPFPSSA-N -1 1 316.401 1.832 20 0 DDADMM Cc1ccc(CN2CC[C@](O)(C(=O)[O-])C2)c(Br)c1 ZINC000901900409 710168373 /nfs/dbraw/zinc/16/83/73/710168373.db2.gz GDIUDQMHWVTHFC-CYBMUJFWSA-N -1 1 314.179 1.779 20 0 DDADMM Cn1nnc2cc(CN3CC4(CCC4)[C@](F)(C(=O)[O-])C3)ccc21 ZINC000901915682 710173621 /nfs/dbraw/zinc/17/36/21/710173621.db2.gz PRQKEZOQJGKYNE-MRXNPFEDSA-N -1 1 318.352 1.747 20 0 DDADMM Cn1ncc2cc(C[N@H+]3Cc4ccccc4C[C@H]3C(=O)[O-])cnc21 ZINC000901974243 710190157 /nfs/dbraw/zinc/19/01/57/710190157.db2.gz AWULKEZMXWCCNC-INIZCTEOSA-N -1 1 322.368 1.980 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1Cc1ccc2oc(=O)oc2c1 ZINC000901982002 710192257 /nfs/dbraw/zinc/19/22/57/710192257.db2.gz MDNIAWUWJQZVIK-SNVBAGLBSA-N -1 1 309.343 1.778 20 0 DDADMM CCCNC(=O)[C@@H]1CCCN(c2cc(=O)[n-]c(COC)n2)C1 ZINC000892150362 710381323 /nfs/dbraw/zinc/38/13/23/710381323.db2.gz LGQFJOOZSWJQQL-LLVKDONJSA-N -1 1 308.382 1.071 20 0 DDADMM Cc1csc(C(=O)N(C)c2nn[n-]n2)c1Br ZINC000892955303 710531680 /nfs/dbraw/zinc/53/16/80/710531680.db2.gz ZGZOEYYBOKFVFF-UHFFFAOYSA-N -1 1 302.157 1.609 20 0 DDADMM O=C([O-])c1cccc(CC(=O)N2CCN(CC3CC3)CC2)c1 ZINC000911221262 710693658 /nfs/dbraw/zinc/69/36/58/710693658.db2.gz SWASSUJGMRDXDM-UHFFFAOYSA-N -1 1 302.374 1.482 20 0 DDADMM COc1cccc([C@@H](CNCc2cc(C(=O)[O-])no2)OC)c1 ZINC000902492518 710770103 /nfs/dbraw/zinc/77/01/03/710770103.db2.gz RRVUPINCJHGLSD-CQSZACIVSA-N -1 1 306.318 1.859 20 0 DDADMM CCCCN(Cc1cn(CC(=O)[O-])nn1)[C@@H]1CCCC[C@H]1OC ZINC000902552999 710792564 /nfs/dbraw/zinc/79/25/64/710792564.db2.gz BNMABVDRBUNTRD-HUUCEWRRSA-N -1 1 324.425 1.922 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOC[C@H]1C1CCC1 ZINC000911541656 710843580 /nfs/dbraw/zinc/84/35/80/710843580.db2.gz HQBSTZIWYDIAKU-ZDUSSCGKSA-N -1 1 303.362 1.701 20 0 DDADMM O=C([O-])c1ccccc1CCC(=O)NCCN1CC=CCC1 ZINC000911717451 710947396 /nfs/dbraw/zinc/94/73/96/710947396.db2.gz JGJYIRSQWWRYEU-UHFFFAOYSA-N -1 1 302.374 1.696 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)OCC1CC1 ZINC000911771685 710975897 /nfs/dbraw/zinc/97/58/97/710975897.db2.gz CCXPSMYZTKOJLH-ZDUSSCGKSA-N -1 1 312.410 1.104 20 0 DDADMM Cc1ccncc1S(=O)(=O)[N-]c1cc([C@@H]2CCCOC2)n[nH]1 ZINC000903122997 711039108 /nfs/dbraw/zinc/03/91/08/711039108.db2.gz IOGFIJYCKVIRML-LLVKDONJSA-N -1 1 322.390 1.808 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cnccc1N1CCCC1 ZINC000903130036 711042073 /nfs/dbraw/zinc/04/20/73/711042073.db2.gz NSTYBGAPTIJOGM-UHFFFAOYSA-N -1 1 318.424 1.066 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1cncc(N(C)C)c1 ZINC000903194591 711060453 /nfs/dbraw/zinc/06/04/53/711060453.db2.gz GDJWUCNPCJJPCS-UHFFFAOYSA-N -1 1 320.440 1.312 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1C[C@@H]2C[C@H]1C[S@@]2=O ZINC000911910453 711063787 /nfs/dbraw/zinc/06/37/87/711063787.db2.gz DTDKIDDXDCQUPK-QTYUKPITSA-N -1 1 320.345 1.425 20 0 DDADMM Cc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c(F)c1F ZINC000913493947 713236733 /nfs/dbraw/zinc/23/67/33/713236733.db2.gz NZRADFGXIZLSAK-SECBINFHSA-N -1 1 309.276 1.000 20 0 DDADMM Cc1ccccc1[C@H](C)CC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494302 713237333 /nfs/dbraw/zinc/23/73/33/713237333.db2.gz DPXNUZJAJFSVRN-TZMCWYRMSA-N -1 1 315.377 1.602 20 0 DDADMM Cc1cc(Cl)ccc1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494516 713237338 /nfs/dbraw/zinc/23/73/38/713237338.db2.gz BJCUQNSMADMDGR-NSHDSACASA-N -1 1 307.741 1.375 20 0 DDADMM O=C([O-])[C@]1(O)CCN(CCOc2ccc(F)cc2Cl)C1 ZINC000903624053 711228681 /nfs/dbraw/zinc/22/86/81/711228681.db2.gz WMRROPNCUNVJGD-ZDUSSCGKSA-N -1 1 303.717 1.379 20 0 DDADMM Cc1cc(C)c(CC(=O)N2CCOC[C@H]2c2nn[n-]n2)c(C)c1 ZINC000913495591 713237653 /nfs/dbraw/zinc/23/76/53/713237653.db2.gz LOJJHNQEYNCELU-AWEZNQCLSA-N -1 1 315.377 1.268 20 0 DDADMM C[C@H](CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000913495436 713237978 /nfs/dbraw/zinc/23/79/78/713237978.db2.gz XZDYRDYOZQAONB-MFKMUULPSA-N -1 1 319.340 1.433 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]c1cccnc1C1CC1 ZINC000903679623 711243369 /nfs/dbraw/zinc/24/33/69/711243369.db2.gz ILQSORQJWJMBAH-UHFFFAOYSA-N -1 1 315.420 1.878 20 0 DDADMM O=C(Cc1coc2ccccc12)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913497070 713238707 /nfs/dbraw/zinc/23/87/07/713238707.db2.gz HYZQPJSIMAQIHN-LBPRGKRZSA-N -1 1 313.317 1.089 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496857 713238986 /nfs/dbraw/zinc/23/89/86/713238986.db2.gz FMYPSJPASVEBIE-HTQZYQBOSA-N -1 1 307.276 1.078 20 0 DDADMM CC1=C(C)C(=O)N(CCC(=O)[N-]Oc2ccc(F)cc2)C1=O ZINC000912257005 711249023 /nfs/dbraw/zinc/24/90/23/711249023.db2.gz GGDYIMSEPQFYSJ-UHFFFAOYSA-N -1 1 306.293 1.331 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N(C)[C@@H](C)c1ccccc1 ZINC000903712287 711250845 /nfs/dbraw/zinc/25/08/45/711250845.db2.gz VXHDNDFGHIYDGF-AWEZNQCLSA-N -1 1 322.405 1.629 20 0 DDADMM C[C@H](CO)[C@@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000912397604 711310990 /nfs/dbraw/zinc/31/09/90/711310990.db2.gz VFFGKFPLQJKTFR-MFKMUULPSA-N -1 1 305.378 1.683 20 0 DDADMM O=C([O-])c1cccc2c1OCCN(C[C@@H]1C[C@@H]3COC[C@@H]3O1)C2 ZINC000903926682 711335553 /nfs/dbraw/zinc/33/55/53/711335553.db2.gz FETDYXJGDITESD-IPYPFGDCSA-N -1 1 319.357 1.383 20 0 DDADMM Cn1cc(CCN2CCOc3cc(C(=O)[O-])ccc3C2)cn1 ZINC000903958815 711347919 /nfs/dbraw/zinc/34/79/19/711347919.db2.gz OGIXVSOWTRETEY-UHFFFAOYSA-N -1 1 301.346 1.555 20 0 DDADMM Cn1nc(CN[C@H]2C[C@H](NC(=O)[O-])C23CCC3)cc1C1CC1 ZINC000904261852 711420062 /nfs/dbraw/zinc/42/00/62/711420062.db2.gz PXPZSPCKTSGXKE-KBPBESRZSA-N -1 1 304.394 1.966 20 0 DDADMM CN1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C[C@H]1CO ZINC000913546114 713253053 /nfs/dbraw/zinc/25/30/53/713253053.db2.gz HTQHRLKUINYZGW-JTQLQIEISA-N -1 1 318.295 1.160 20 0 DDADMM C[C@@H]1CCc2[nH]nc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)c2C1 ZINC000895614810 711559842 /nfs/dbraw/zinc/55/98/42/711559842.db2.gz WAJGUAVXEMBZQL-RXMQYKEDSA-N -1 1 314.271 1.924 20 0 DDADMM C[C@H](COCC1CCCCC1)Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000895832069 711620130 /nfs/dbraw/zinc/62/01/30/711620130.db2.gz FFYYXCDMJDBZPO-SNVBAGLBSA-N -1 1 305.382 1.829 20 0 DDADMM CN(C)c1ccnc(CNC(=O)[C@@H]2CCCC[C@@H]2C(=O)[O-])c1 ZINC000905128707 711945004 /nfs/dbraw/zinc/94/50/04/711945004.db2.gz NCADBBKZYQWPAA-KGLIPLIRSA-N -1 1 305.378 1.655 20 0 DDADMM CN1CC[C@H]1CNC(=O)C[C@]1(C(=O)[O-])CCc2ccccc21 ZINC000905130695 711945958 /nfs/dbraw/zinc/94/59/58/711945958.db2.gz IGRWKOXSHMKEEU-SUMWQHHRSA-N -1 1 302.374 1.166 20 0 DDADMM O=C(Cc1ccccc1Cl)N1CCC(c2nn[n-]n2)CC1 ZINC000913742372 713291749 /nfs/dbraw/zinc/29/17/49/713291749.db2.gz XEHFCQMUFMKSEQ-UHFFFAOYSA-N -1 1 305.769 1.802 20 0 DDADMM O=C(c1cccc2ncccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913742865 713292083 /nfs/dbraw/zinc/29/20/83/713292083.db2.gz YOPHWFNGGYPAGK-UHFFFAOYSA-N -1 1 308.345 1.768 20 0 DDADMM COc1cccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1F ZINC000913744335 713293073 /nfs/dbraw/zinc/29/30/73/713293073.db2.gz JZRXFUDYXALHMG-UHFFFAOYSA-N -1 1 305.313 1.367 20 0 DDADMM Cc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)nn1C(C)C ZINC000913746319 713293929 /nfs/dbraw/zinc/29/39/29/713293929.db2.gz OANQBRTVMMEIRL-UHFFFAOYSA-N -1 1 303.370 1.305 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@H]2CSC[C@@H]2C1 ZINC000913759559 713296764 /nfs/dbraw/zinc/29/67/64/713296764.db2.gz QCIWDIGRJXTMRV-QWRGUYRKSA-N -1 1 305.403 1.885 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CC[C@H](C3CC3)C2)c1 ZINC000906910977 712436216 /nfs/dbraw/zinc/43/62/16/712436216.db2.gz CYWZDLGTWLEUIT-NSHDSACASA-N -1 1 311.359 1.511 20 0 DDADMM CN(CCN(C)S(=O)(=O)c1cccc(C(=O)[O-])c1)C1CC1 ZINC000906935686 712442729 /nfs/dbraw/zinc/44/27/29/712442729.db2.gz UBVUPEVJWQBRCG-UHFFFAOYSA-N -1 1 312.391 1.100 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477059 712582404 /nfs/dbraw/zinc/58/24/04/712582404.db2.gz DYAVNDYCMHAAHS-RYBZSIHZSA-N -1 1 317.374 1.235 20 0 DDADMM Cc1cncc(CCC(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000907481269 712583767 /nfs/dbraw/zinc/58/37/67/712583767.db2.gz UVQIMPGNAMJYES-GFCCVEGCSA-N -1 1 318.406 1.152 20 0 DDADMM CC(C)(C)n1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)n1 ZINC000907480969 712583955 /nfs/dbraw/zinc/58/39/55/712583955.db2.gz REOGLBMADAIYKD-JTQLQIEISA-N -1 1 321.410 1.082 20 0 DDADMM C[C@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)CC[C@@H]1O ZINC000907537049 712591443 /nfs/dbraw/zinc/59/14/43/712591443.db2.gz HROFQRZGWDZFRC-CABZTGNLSA-N -1 1 304.321 1.922 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)[C@@H]2CC[C@H]2OC)c1 ZINC000907892121 712642985 /nfs/dbraw/zinc/64/29/85/712642985.db2.gz YCFRBZSAGAVAQF-ZYHUDNBSSA-N -1 1 301.364 1.199 20 0 DDADMM CC(C)=CCC[C@H](C)CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907939561 712652094 /nfs/dbraw/zinc/65/20/94/712652094.db2.gz DNVVFZJPVCHALL-ZDUSSCGKSA-N -1 1 321.425 1.782 20 0 DDADMM O=C(C1[C@@H]2CCCCCC[C@@H]12)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907942359 712652666 /nfs/dbraw/zinc/65/26/66/712652666.db2.gz WQHNTUDAQZSDJQ-VXGBXAGGSA-N -1 1 319.409 1.226 20 0 DDADMM C[C@@H]1CC(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C[C@@H](C)C1 ZINC000907944539 712652910 /nfs/dbraw/zinc/65/29/10/712652910.db2.gz VDAMDOSGYOPJFY-QWRGUYRKSA-N -1 1 307.398 1.082 20 0 DDADMM O=C([O-])c1cccc2c1CCN2C(=O)[C@H]1CCCc2n[nH]nc21 ZINC000907981561 712659661 /nfs/dbraw/zinc/65/96/61/712659661.db2.gz AXCYEKRVNDSFIC-NSHDSACASA-N -1 1 312.329 1.512 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)Cc2cccnc2)c1 ZINC000907981243 712659683 /nfs/dbraw/zinc/65/96/83/712659683.db2.gz HEXRTHJZHWBURS-NSHDSACASA-N -1 1 322.386 1.705 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)Cc2cccnc2)o1 ZINC000907981854 712659720 /nfs/dbraw/zinc/65/97/20/712659720.db2.gz BZRHYZUKVYCFTE-JTQLQIEISA-N -1 1 324.358 1.371 20 0 DDADMM O=S(=O)([N-]C1CC(CCO)C1)c1cc(F)c(F)cc1F ZINC000908056440 712672840 /nfs/dbraw/zinc/67/28/40/712672840.db2.gz UZOPXQKEOKUIQI-UHFFFAOYSA-N -1 1 309.309 1.543 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1[nH]nc2c1CCC2)CC1CCCC1 ZINC000908288135 712728768 /nfs/dbraw/zinc/72/87/68/712728768.db2.gz KDMGCUKRZXOIJG-NSHDSACASA-N -1 1 305.378 1.909 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2cccc3[nH]ccc32)C1 ZINC000908710093 712839207 /nfs/dbraw/zinc/83/92/07/712839207.db2.gz WUBLUCDKJRWROY-LLVKDONJSA-N -1 1 301.346 1.903 20 0 DDADMM CN(C)[C@H](CNC(=O)N(C)C(C)(C)C(=O)[O-])c1ccsc1 ZINC000908811931 712859437 /nfs/dbraw/zinc/85/94/37/712859437.db2.gz BZFZCLOFMNGGGO-LLVKDONJSA-N -1 1 313.423 1.855 20 0 DDADMM CCCC[C@@H](COC)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908813326 712859816 /nfs/dbraw/zinc/85/98/16/712859816.db2.gz UTDSWOOJJZWEPB-OLZOCXBDSA-N -1 1 300.399 1.104 20 0 DDADMM CC(C)[C@H]1CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)CCS1 ZINC000908835662 712864797 /nfs/dbraw/zinc/86/47/97/712864797.db2.gz QNFQPHPBOCWSQN-QWHCGFSZSA-N -1 1 314.451 1.383 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N(CCC(=O)[O-])CC1CC1 ZINC000908875429 712876776 /nfs/dbraw/zinc/87/67/76/712876776.db2.gz GPYYKCQLOPQHOD-UHFFFAOYSA-N -1 1 318.417 1.874 20 0 DDADMM C[C@H](Cn1ccnc1)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000914198095 713357707 /nfs/dbraw/zinc/35/77/07/713357707.db2.gz SELDLDFPOAHFAC-SNVBAGLBSA-N -1 1 324.331 1.797 20 0 DDADMM COC[C@@](C)([N-]S(=O)(=O)CCC(C)(C)C1CC1)C(=O)OC ZINC000914240957 713364307 /nfs/dbraw/zinc/36/43/07/713364307.db2.gz AHHYTXQVDWJTDS-CQSZACIVSA-N -1 1 321.439 1.310 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NN2[C@H](C)CCC[C@H]2C)o1 ZINC000916741130 713465588 /nfs/dbraw/zinc/46/55/88/713465588.db2.gz ZNEAQWFFLTWNEZ-NXEZZACHSA-N -1 1 315.395 1.095 20 0 DDADMM C[C@@H]1CN(C(=O)CC2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966041673 717901904 /nfs/dbraw/zinc/90/19/04/717901904.db2.gz DLBLFDFTROTHJY-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM CCN1CCC[C@H](NC(=O)Cc2ccc([O-])c(Cl)c2)C1=O ZINC000928862805 713496238 /nfs/dbraw/zinc/49/62/38/713496238.db2.gz BIVKRIPADHRBQO-LBPRGKRZSA-N -1 1 310.781 1.715 20 0 DDADMM COC(=O)c1c[n-]c(SCC[S@@](=O)C(F)(F)F)n1 ZINC000917497437 713500873 /nfs/dbraw/zinc/50/08/73/713500873.db2.gz VSIQYSAPZOEYOX-GOSISDBHSA-N -1 1 302.299 1.557 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC000918056149 713526967 /nfs/dbraw/zinc/52/69/67/713526967.db2.gz PXSKPGHCRDFMHH-NEPJUHHUSA-N -1 1 307.412 1.017 20 0 DDADMM Cc1nc2n(n1)CCN(C[C@@H](C)C(=O)c1ccc([O-])cc1)C2 ZINC000929794246 713690387 /nfs/dbraw/zinc/69/03/87/713690387.db2.gz BSFRAOHOPKJBLA-LLVKDONJSA-N -1 1 300.362 1.627 20 0 DDADMM CCN1CCC[C@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1=O ZINC000921490558 713786249 /nfs/dbraw/zinc/78/62/49/713786249.db2.gz MPOKQYJMYSRNQP-QMMMGPOBSA-N -1 1 322.333 1.506 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@@H]2COC[C@@H]2O1)c1ccc(C(F)F)o1 ZINC000921610496 713821206 /nfs/dbraw/zinc/82/12/06/713821206.db2.gz AMNMXKFIKFTRJW-MRTMQBJTSA-N -1 1 323.317 1.299 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCC2(CCC2)O1)c1c[nH]nc1Cl ZINC000921669088 713837179 /nfs/dbraw/zinc/83/71/79/713837179.db2.gz GWGJKRKGZGCHIC-VIFPVBQESA-N -1 1 319.814 1.833 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCS[C@H](C)C2)o1 ZINC000921714957 713852006 /nfs/dbraw/zinc/85/20/06/713852006.db2.gz GLQAYBAOQHSDJU-RKDXNWHRSA-N -1 1 319.404 1.629 20 0 DDADMM CC(C)(O)CCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922065243 713951229 /nfs/dbraw/zinc/95/12/29/713951229.db2.gz ZGVUAQJXNZRGIH-UHFFFAOYSA-N -1 1 302.321 1.673 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C[C@H]2CC[C@H](C3CC3)O2)CC1 ZINC000923104491 714238235 /nfs/dbraw/zinc/23/82/35/714238235.db2.gz YBFYSNVDPGTQOQ-BPLDGKMQSA-N -1 1 324.421 1.342 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC[C@@H]2/C=C\c2ccccc2)C1=O ZINC000923272377 714292430 /nfs/dbraw/zinc/29/24/30/714292430.db2.gz HSCQUZJNKMHYJD-AISUJJHZSA-N -1 1 314.385 1.850 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@@]2(CO)CCC[C@@H]12 ZINC000924127970 714532768 /nfs/dbraw/zinc/53/27/68/714532768.db2.gz ARRHCJAURGDWRC-MLGOLLRUSA-N -1 1 303.362 1.437 20 0 DDADMM O=C(C[N@H+]1CCC[C@@H]1C1OCCO1)[N-]OCc1ccccc1 ZINC000933899755 714696471 /nfs/dbraw/zinc/69/64/71/714696471.db2.gz FMFARZIXRBSKRE-CQSZACIVSA-N -1 1 306.362 1.072 20 0 DDADMM O=C(CN1CCC[C@@H]1C1OCCO1)[N-]OCc1ccccc1 ZINC000933899755 714696473 /nfs/dbraw/zinc/69/64/73/714696473.db2.gz FMFARZIXRBSKRE-CQSZACIVSA-N -1 1 306.362 1.072 20 0 DDADMM O=c1nc(CN2CCCCCNC(=O)CC2)[n-]c2ccsc21 ZINC000934160027 714757108 /nfs/dbraw/zinc/75/71/08/714757108.db2.gz PZLLZIHJAFQRCB-UHFFFAOYSA-N -1 1 320.418 1.889 20 0 DDADMM O=C1N[C@H](CCF)C(=O)N1CCc1c(F)cc([O-])cc1F ZINC000925464769 714864965 /nfs/dbraw/zinc/86/49/65/714864965.db2.gz WQOJSSBKUROYQG-LLVKDONJSA-N -1 1 302.252 1.493 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2cc(F)cc(F)c2O)C1=O ZINC000935751613 715126156 /nfs/dbraw/zinc/12/61/56/715126156.db2.gz UXBBBLONNLMEKR-CYBMUJFWSA-N -1 1 313.260 1.036 20 0 DDADMM CN(C(=O)c1ccoc1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211454 715292997 /nfs/dbraw/zinc/29/29/97/715292997.db2.gz JWVJWRPCVNSTNO-GFCCVEGCSA-N -1 1 315.329 1.367 20 0 DDADMM CC1(C(=O)N2CCCC[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000943202968 718045613 /nfs/dbraw/zinc/04/56/13/718045613.db2.gz FFEKKYUZDOAOCM-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)[C@@H]1CC12CC2)c1ncccc1[O-] ZINC000956251689 715369114 /nfs/dbraw/zinc/36/91/14/715369114.db2.gz WMCPEWNIWPBFMH-RYUDHWBXSA-N -1 1 315.373 1.308 20 0 DDADMM Cc1cocc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937863538 715618628 /nfs/dbraw/zinc/61/86/28/715618628.db2.gz JEZDZRPXKDAPOV-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM CC(=O)N1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1C ZINC000955462369 715794649 /nfs/dbraw/zinc/79/46/49/715794649.db2.gz WQISECUZGGGOSY-CABZTGNLSA-N -1 1 318.377 1.189 20 0 DDADMM O=C(CC1CC1)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955851027 715974795 /nfs/dbraw/zinc/97/47/95/715974795.db2.gz OYCWRKMSBSEGNQ-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM O=C([N-][C@@H]1CCN(C(=O)c2c(F)ccc(F)c2F)C1)C(F)F ZINC000939013890 716087015 /nfs/dbraw/zinc/08/70/15/716087015.db2.gz LWSQBANUMSIXED-ZCFIWIBFSA-N -1 1 322.233 1.700 20 0 DDADMM CC(C)(F)C(=O)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943212754 718048644 /nfs/dbraw/zinc/04/86/44/718048644.db2.gz INAKMGMOFWTEMM-LLVKDONJSA-N -1 1 323.368 1.646 20 0 DDADMM C[C@H]1CN(C(=O)C2CCC2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945089577 718391802 /nfs/dbraw/zinc/39/18/02/718391802.db2.gz MAASFEIQISYYLD-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C(C)(C)F ZINC000945276768 718435088 /nfs/dbraw/zinc/43/50/88/718435088.db2.gz DNCQNVBNWUHKCT-WDEREUQCSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C1(C)CC1 ZINC000945287845 718436442 /nfs/dbraw/zinc/43/64/42/718436442.db2.gz IUFODIRCSUTSNQ-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2[C@H](CCN2C(=O)C2CC2)C1 ZINC000945930209 718617474 /nfs/dbraw/zinc/61/74/74/718617474.db2.gz OGHNWNSUJUZSMN-OLZOCXBDSA-N -1 1 315.373 1.260 20 0 DDADMM O=C(NC[C@H]1CCC[C@@H]1NC(=O)C(F)F)c1ncccc1[O-] ZINC000946076081 718666680 /nfs/dbraw/zinc/66/66/80/718666680.db2.gz CXHMPGWUWXVYFU-BDAKNGLRSA-N -1 1 313.304 1.067 20 0 DDADMM O=C(NC[C@@H]1CCC[C@H]1NC(=O)C1CC1)c1ncccc1[O-] ZINC000946076244 718666747 /nfs/dbraw/zinc/66/67/47/718666747.db2.gz FKQKKBYMWPTWQL-NWDGAFQWSA-N -1 1 303.362 1.212 20 0 DDADMM O=C(N[C@H]1CCCC[C@H]1CNC(=O)C1CC1)c1ncccc1[O-] ZINC000946379610 718764891 /nfs/dbraw/zinc/76/48/91/718764891.db2.gz JDVJVBIZUFWRRY-STQMWFEESA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946536222 718823215 /nfs/dbraw/zinc/82/32/15/718823215.db2.gz VIBFIKFJUHGSNT-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CC[C@@H](C1)N2C(=O)C1CCC1 ZINC000968014766 719123858 /nfs/dbraw/zinc/12/38/58/719123858.db2.gz SLTFLVDMSSDLGW-BETUJISGSA-N -1 1 315.373 1.403 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc[nH]2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000947480732 719179038 /nfs/dbraw/zinc/17/90/38/719179038.db2.gz FBCCVYNUHPSRLT-ZYHUDNBSSA-N -1 1 314.345 1.006 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CC1CCC1 ZINC000948618695 719592272 /nfs/dbraw/zinc/59/22/72/719592272.db2.gz BNXPFVJRGDMJOV-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949535697 720145213 /nfs/dbraw/zinc/14/52/13/720145213.db2.gz YLVIVEXCROAILW-JTQLQIEISA-N -1 1 307.325 1.381 20 0 DDADMM O=C(CCC1CCC1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949535143 720145471 /nfs/dbraw/zinc/14/54/71/720145471.db2.gz OKBPMZABSDTYTO-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC000949541735 720149557 /nfs/dbraw/zinc/14/95/57/720149557.db2.gz ONDXEDANXIIAOP-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949542015 720150111 /nfs/dbraw/zinc/15/01/11/720150111.db2.gz UOXZEJYXMGXREV-JQWIXIFHSA-N -1 1 309.341 1.112 20 0 DDADMM Cc1ncc(CN2CC([C@@H](C)NC(=O)c3ncccc3[O-])C2)o1 ZINC000969544440 720182586 /nfs/dbraw/zinc/18/25/86/720182586.db2.gz WVETUXYTSFNDFF-SNVBAGLBSA-N -1 1 316.361 1.334 20 0 DDADMM Cc1cc(CN2CC([C@@H](C)NC(=O)c3ncccc3[O-])C2)on1 ZINC000969546194 720183332 /nfs/dbraw/zinc/18/33/32/720183332.db2.gz HXIDRSAENJMCIK-LLVKDONJSA-N -1 1 316.361 1.334 20 0 DDADMM CC1(C)CCC[C@@H]1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969630123 720223190 /nfs/dbraw/zinc/22/31/90/720223190.db2.gz KXAAVYKOFZKYCC-GHMZBOCLSA-N -1 1 307.398 1.027 20 0 DDADMM CC(C)CC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000949812225 720358024 /nfs/dbraw/zinc/35/80/24/720358024.db2.gz QCXQPDPVAKKGCR-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542401 720676289 /nfs/dbraw/zinc/67/62/89/720676289.db2.gz AWHMUXVREDELSH-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C)C(C)(C)C1(C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970882968 720972417 /nfs/dbraw/zinc/97/24/17/720972417.db2.gz ILUQALUATQNBOD-SNVBAGLBSA-N -1 1 321.425 1.273 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000951549599 721068601 /nfs/dbraw/zinc/06/86/01/721068601.db2.gz YGEMKLHFZJXXRW-IJLUTSLNSA-N -1 1 303.362 1.164 20 0 DDADMM CC[C@H](F)C(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC000953897317 721675905 /nfs/dbraw/zinc/67/59/05/721675905.db2.gz IEOLOCQLYOGHAK-LBPRGKRZSA-N -1 1 323.368 1.598 20 0 DDADMM Cc1n[nH]c(C(=O)NCCNCc2c(C)nsc2C)c1[O-] ZINC001125733846 735447095 /nfs/dbraw/zinc/44/70/95/735447095.db2.gz CMDXVCCIPHQMJJ-UHFFFAOYSA-N -1 1 309.395 1.017 20 0 DDADMM CC(C)C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001021101570 732943023 /nfs/dbraw/zinc/94/30/23/732943023.db2.gz JKGARVFTFBMPEM-NWDGAFQWSA-N -1 1 303.362 1.116 20 0 DDADMM Cc1conc1CN1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001027843748 738726390 /nfs/dbraw/zinc/72/63/90/738726390.db2.gz GSAOEBDCSPRWFX-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM CCN(Cc1cccs1)c1nnc(-c2c[n-][nH]c2=O)n1C ZINC001121362227 782473066 /nfs/dbraw/zinc/47/30/66/782473066.db2.gz ICXHVVQYMOOCOB-UHFFFAOYSA-N -1 1 304.379 1.999 20 0 DDADMM CC(C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001007898675 737272033 /nfs/dbraw/zinc/27/20/33/737272033.db2.gz KIZWCXIRGFFMDG-GFCCVEGCSA-N -1 1 305.378 1.410 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)C2(F)CCCC2)C1)c1ncccc1[O-] ZINC000974057862 737352060 /nfs/dbraw/zinc/35/20/60/737352060.db2.gz UAJVHVQLKKGPOE-PHIMTYICSA-N -1 1 321.352 1.447 20 0 DDADMM CC(C)(C)[C@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692889370 738961961 /nfs/dbraw/zinc/96/19/61/738961961.db2.gz YGDYFYCGAZOKJZ-QMMMGPOBSA-N -1 1 310.778 1.559 20 0 DDADMM Cc1cnc(C[NH2+]C[C@H]2CCN(C(=O)c3ncccc3O)C2)o1 ZINC001026798766 737889605 /nfs/dbraw/zinc/88/96/05/737889605.db2.gz USVMBTJBCBUCTA-GFCCVEGCSA-N -1 1 316.361 1.336 20 0 DDADMM Cc1cc(=O)n(-c2ccc(NCCN3CCSCC3)nn2)[n-]1 ZINC001167835341 738990384 /nfs/dbraw/zinc/99/03/84/738990384.db2.gz SVWUJHMWPFQCDT-UHFFFAOYSA-N -1 1 320.422 1.050 20 0 DDADMM CNc1ccnc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)n1 ZINC001167934384 739723385 /nfs/dbraw/zinc/72/33/85/739723385.db2.gz RUBPTYSUEWFBIB-UHFFFAOYSA-N -1 1 320.289 1.603 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)C2=CCCC2)C1 ZINC001017101078 751508405 /nfs/dbraw/zinc/50/84/05/751508405.db2.gz ZNQAXFJKXMJLMA-LBPRGKRZSA-N -1 1 319.409 1.291 20 0 DDADMM CSCC(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514840 741088572 /nfs/dbraw/zinc/08/85/72/741088572.db2.gz LAAIHRUCBRUBAE-NSHDSACASA-N -1 1 323.418 1.119 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001029769865 741284900 /nfs/dbraw/zinc/28/49/00/741284900.db2.gz PRVNREQZHWXJJV-VXGBXAGGSA-N -1 1 323.441 1.473 20 0 DDADMM C[C@H](CCCNc1cc(F)ncn1)NC(=O)c1ncccc1[O-] ZINC001114906307 751646554 /nfs/dbraw/zinc/64/65/54/751646554.db2.gz CVZOGQKAUKFQEU-SNVBAGLBSA-N -1 1 319.340 1.727 20 0 DDADMM CC(C)[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076245059 742624569 /nfs/dbraw/zinc/62/45/69/742624569.db2.gz MOISXDUZJGDYJA-NWDGAFQWSA-N -1 1 307.394 1.704 20 0 DDADMM CSCC(=O)N1CCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002121096 742879619 /nfs/dbraw/zinc/87/96/19/742879619.db2.gz ZNFGUWMNMGNPPB-LLVKDONJSA-N -1 1 323.418 1.213 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N(C)C[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001002413270 743119044 /nfs/dbraw/zinc/11/90/44/743119044.db2.gz SUWKBHHDEITEJA-AVGNSLFASA-N -1 1 317.389 1.506 20 0 DDADMM Cc1cncc(C=CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC001168696957 743213202 /nfs/dbraw/zinc/21/32/02/743213202.db2.gz YTEPVUBHGHAJAU-KXPUMZMLSA-N -1 1 320.356 1.822 20 0 DDADMM O=C(Cc1ccc2[nH]c3ccccc3c2c1)NCc1nn[n-]n1 ZINC001182068938 743469033 /nfs/dbraw/zinc/46/90/33/743469033.db2.gz TZDFVMYZGKDSGX-UHFFFAOYSA-N -1 1 306.329 1.693 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@H]1C[C@H]1c1ccccc1F ZINC001182290200 743553447 /nfs/dbraw/zinc/55/34/47/743553447.db2.gz IYCGUYBHXVOGLQ-IUCAKERBSA-N -1 1 313.292 1.940 20 0 DDADMM COc1cc(CC(=O)NCCCC[P@](=O)([O-])O)ccc1C ZINC001182304098 743560015 /nfs/dbraw/zinc/56/00/15/743560015.db2.gz YVPQXNDOWYUOEK-UHFFFAOYSA-N -1 1 315.306 1.620 20 0 DDADMM O=C(Cc1cn2cccnc2n1)Nc1n[n-]c(C(F)(F)F)n1 ZINC001182712411 743703082 /nfs/dbraw/zinc/70/30/82/743703082.db2.gz XQQLSMKISSPWCG-UHFFFAOYSA-N -1 1 311.227 1.047 20 0 DDADMM CCOC(=O)CC(=N)[N-]C(=O)C(F)(F)OCC(F)(F)F ZINC001183195139 743794620 /nfs/dbraw/zinc/79/46/20/743794620.db2.gz UMJABDDVCLEOEJ-UHFFFAOYSA-N -1 1 306.187 1.205 20 0 DDADMM O=C([N-]Cc1nc2cc[nH]cc-2n1)C(F)(F)OCC(F)(F)F ZINC001183197996 743795303 /nfs/dbraw/zinc/79/53/03/743795303.db2.gz TXFYBPNSSPPTAD-UHFFFAOYSA-N -1 1 324.209 1.746 20 0 DDADMM CCc1ccccc1CN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001030240162 743973948 /nfs/dbraw/zinc/97/39/48/743973948.db2.gz WGIHSGBMWSHIKR-UHFFFAOYSA-N -1 1 311.385 1.964 20 0 DDADMM CSc1nc(NC(=O)c2cc(C(C)=O)ccn2)cc(=O)[n-]1 ZINC001184827892 744109838 /nfs/dbraw/zinc/10/98/38/744109838.db2.gz IZLJAFPIPKEQQX-UHFFFAOYSA-N -1 1 304.331 1.754 20 0 DDADMM Nc1ccc(Nc2nc[n-]c(=O)c2Br)c(F)n1 ZINC001203613808 744198078 /nfs/dbraw/zinc/19/80/78/744198078.db2.gz ZUUXSIFQLLQXLD-UHFFFAOYSA-N -1 1 300.091 1.805 20 0 DDADMM O=C([N-]C1CCN(C(=O)Nc2cccnc2)CC1)C(F)(F)F ZINC001185677585 744273683 /nfs/dbraw/zinc/27/36/83/744273683.db2.gz OJYDWWTZVWACJS-UHFFFAOYSA-N -1 1 316.283 1.756 20 0 DDADMM CC(C)(C)C(=O)Nc1ccc(C(=O)NCc2nn[n-]n2)cc1 ZINC001185841439 744297971 /nfs/dbraw/zinc/29/79/71/744297971.db2.gz VUIJQSYQZCCVFD-UHFFFAOYSA-N -1 1 302.338 1.114 20 0 DDADMM Cc1cc(C(=O)Nc2[n-]c(=O)nc3[nH]c(=O)[nH]c32)c(F)cc1F ZINC001186395052 744391712 /nfs/dbraw/zinc/39/17/12/744391712.db2.gz QGTZAKSRPWMFRN-UHFFFAOYSA-N -1 1 321.243 1.555 20 0 DDADMM Cc1cc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(F)cc1F ZINC001186395052 744391714 /nfs/dbraw/zinc/39/17/14/744391714.db2.gz QGTZAKSRPWMFRN-UHFFFAOYSA-N -1 1 321.243 1.555 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cc(OC(C)=O)ccc1F ZINC001187291996 744535361 /nfs/dbraw/zinc/53/53/61/744535361.db2.gz MAVOVELJQFTUIU-UHFFFAOYSA-N -1 1 305.327 1.529 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1cc(F)cc(F)c1)c1nccs1 ZINC001187920892 744635103 /nfs/dbraw/zinc/63/51/03/744635103.db2.gz SAFPRSINPJQEQK-JTQLQIEISA-N -1 1 320.342 1.433 20 0 DDADMM O=S(=O)([N-]Cc1cc(F)cnc1Cl)c1nccs1 ZINC001187918740 744635327 /nfs/dbraw/zinc/63/53/27/744635327.db2.gz NJNJXQYHNSEUGE-UHFFFAOYSA-N -1 1 307.759 1.809 20 0 DDADMM Cc1cc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)cn1C ZINC001188065866 744655539 /nfs/dbraw/zinc/65/55/39/744655539.db2.gz MPASUAULMSDMLA-UHFFFAOYSA-N -1 1 304.310 1.545 20 0 DDADMM O=S(=O)(Oc1cccnc1F)c1c[n-]nc1C(F)(F)F ZINC001188070317 744655936 /nfs/dbraw/zinc/65/59/36/744655936.db2.gz WHGBJUKYQQWFLX-UHFFFAOYSA-N -1 1 311.216 1.730 20 0 DDADMM COC(=O)c1ncc(Cl)cc1[N-]S(=O)(=O)C1CCC1 ZINC001188108948 744661473 /nfs/dbraw/zinc/66/14/73/744661473.db2.gz OYEJEENFMNTDNS-UHFFFAOYSA-N -1 1 304.755 1.816 20 0 DDADMM CCCCOC(=O)CNC(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC001188277544 744685510 /nfs/dbraw/zinc/68/55/10/744685510.db2.gz JENACCVBEKUJCL-UHFFFAOYSA-N -1 1 324.333 1.644 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccnn3-c3ccccc3)c1-2 ZINC001188423881 744702742 /nfs/dbraw/zinc/70/27/42/744702742.db2.gz CZQWHHMBDDSALB-UHFFFAOYSA-N -1 1 319.328 1.473 20 0 DDADMM O=c1ccn(-c2ccc(NS(=O)(=O)c3ccoc3)cc2)[n-]1 ZINC001188617040 744728588 /nfs/dbraw/zinc/72/85/88/744728588.db2.gz GGPHTUMKWSGZGV-UHFFFAOYSA-N -1 1 305.315 1.972 20 0 DDADMM COC(=O)c1nc(Cl)ccc1[N-]S(=O)(=O)CC1CC1 ZINC001188938800 744795569 /nfs/dbraw/zinc/79/55/69/744795569.db2.gz XAHIMNXXCQNEON-UHFFFAOYSA-N -1 1 304.755 1.673 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1cc(F)ccc1F)C(=O)OC ZINC001189700418 744957134 /nfs/dbraw/zinc/95/71/34/744957134.db2.gz NSQRPFKNZDMEHN-NSHDSACASA-N -1 1 307.318 1.336 20 0 DDADMM COc1nn(C)cc1NC(=O)c1c([O-])cc(OC)cc1OC ZINC001190113601 745119104 /nfs/dbraw/zinc/11/91/04/745119104.db2.gz LTNUECZHCHINKY-UHFFFAOYSA-N -1 1 307.306 1.404 20 0 DDADMM C[C@@]1(NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)CCCOC1 ZINC001190637306 745282012 /nfs/dbraw/zinc/28/20/12/745282012.db2.gz CUNHNWHBHRMNIR-MRXNPFEDSA-N -1 1 314.345 1.543 20 0 DDADMM COC1CC(CNC(=O)c2cnc(-c3ccccn3)[n-]c2=O)C1 ZINC001190637785 745282168 /nfs/dbraw/zinc/28/21/68/745282168.db2.gz NOVHNSUTPZOAII-UHFFFAOYSA-N -1 1 314.345 1.399 20 0 DDADMM Cc1c(=O)[n-]n(-c2ccccc2)c1NS(=O)(=O)c1ncc[nH]1 ZINC001190762581 745304237 /nfs/dbraw/zinc/30/42/37/745304237.db2.gz NPJRUXZHUGYBEF-UHFFFAOYSA-N -1 1 319.346 1.410 20 0 DDADMM O=S(=O)([N-]c1ccc(OC2COC2)c(F)c1)c1ccncc1 ZINC001190893050 745370369 /nfs/dbraw/zinc/37/03/69/745370369.db2.gz BBMKNKQNSJHNGB-UHFFFAOYSA-N -1 1 324.333 1.799 20 0 DDADMM O=C1NCCc2ccc([N-]S(=O)(=O)c3ccncc3)cc21 ZINC001190896132 745371457 /nfs/dbraw/zinc/37/14/57/745371457.db2.gz CVHFGCAIFOXWRI-UHFFFAOYSA-N -1 1 303.343 1.168 20 0 DDADMM CC1(C)NC(=O)c2cc([N-]S(=O)(=O)c3ccncc3)ccc21 ZINC001190903638 745380066 /nfs/dbraw/zinc/38/00/66/745380066.db2.gz JTVSNXLOTSHGNH-UHFFFAOYSA-N -1 1 317.370 1.861 20 0 DDADMM COc1cc(C(=O)N[C@H]2CNC(=O)C2(C)C)cc(Cl)c1[O-] ZINC001191158884 745438699 /nfs/dbraw/zinc/43/86/99/745438699.db2.gz YCQDFZAVQPTUFV-JTQLQIEISA-N -1 1 312.753 1.309 20 0 DDADMM CSc1ncc(C(=O)NCc2n[nH]c3ccccc23)c(=O)[n-]1 ZINC001191438251 745512907 /nfs/dbraw/zinc/51/29/07/745512907.db2.gz WIQKUNIHTVSCQQ-UHFFFAOYSA-N -1 1 315.358 1.710 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2NC(=O)c3ccccc32)c(=O)[n-]1 ZINC001191441343 745513555 /nfs/dbraw/zinc/51/35/55/745513555.db2.gz VEECRCMEZHAOBZ-JTQLQIEISA-N -1 1 316.342 1.076 20 0 DDADMM Cc1nc(C(=O)[N-]c2oncc2C(N)=O)c(Br)o1 ZINC001191621993 745556312 /nfs/dbraw/zinc/55/63/12/745556312.db2.gz UADKZFIBKGDWTK-UHFFFAOYSA-N -1 1 315.083 1.085 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cccc(C(=O)OC)n1 ZINC001193393648 746088523 /nfs/dbraw/zinc/08/85/23/746088523.db2.gz RYAYGRDYTXERBX-UHFFFAOYSA-N -1 1 319.273 1.204 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2[nH]c(=O)cnc2c1 ZINC001193938421 746228311 /nfs/dbraw/zinc/22/83/11/746228311.db2.gz UKDVKGHHPPWGFV-UHFFFAOYSA-N -1 1 316.342 1.306 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc(OC)cc3[nH]ccc32)[n-]n1 ZINC001194288411 746343494 /nfs/dbraw/zinc/34/34/94/746343494.db2.gz BFBLQEZNBIYGGJ-UHFFFAOYSA-N -1 1 314.301 1.939 20 0 DDADMM O=C1CCC(S(=O)(=O)[N-]c2cc(F)cc(F)c2O)CC1 ZINC001194809093 746465144 /nfs/dbraw/zinc/46/51/44/746465144.db2.gz JATWYVVQJAPUOG-UHFFFAOYSA-N -1 1 305.302 1.924 20 0 DDADMM CCCCOC(=O)[C@H]1CCN(C(=O)c2nc(C)ccc2[O-])C1 ZINC001195326658 746566557 /nfs/dbraw/zinc/56/65/57/746566557.db2.gz SMRYWHDHZQDUGL-LBPRGKRZSA-N -1 1 306.362 1.901 20 0 DDADMM O=C(NCc1ccc2c(c1)OCO2)c1c[nH]c(=S)[n-]c1=O ZINC001196008987 746754994 /nfs/dbraw/zinc/75/49/94/746754994.db2.gz POWDKMRYXCYEQU-UHFFFAOYSA-N -1 1 305.315 1.130 20 0 DDADMM O=C(NC1(C(F)(F)F)CCOCC1)c1c[nH]c(=S)[n-]c1=O ZINC001196025228 746760540 /nfs/dbraw/zinc/76/05/40/746760540.db2.gz YJNPHCFSLXGLGZ-UHFFFAOYSA-N -1 1 323.296 1.312 20 0 DDADMM O=C(NCc1cn(CC2CC2)cn1)c1c[nH]c(=S)[n-]c1=O ZINC001196027702 746765719 /nfs/dbraw/zinc/76/57/19/746765719.db2.gz ZNRMVUUGNBHMSY-UHFFFAOYSA-N -1 1 305.363 1.007 20 0 DDADMM O=C(N[C@@H]1CCCc2cnccc21)c1c[nH]c(=S)[n-]c1=O ZINC001196027599 746765947 /nfs/dbraw/zinc/76/59/47/746765947.db2.gz YLDIUXBQHRWVNH-LLVKDONJSA-N -1 1 302.359 1.673 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccc(C(F)F)nc1 ZINC001196099752 746777098 /nfs/dbraw/zinc/77/70/98/746777098.db2.gz PQLFJAGBGZOXGB-UHFFFAOYSA-N -1 1 322.231 1.301 20 0 DDADMM O=C([N-]c1nnc(Br)s1)c1csc(CO)n1 ZINC001196370401 746846211 /nfs/dbraw/zinc/84/62/11/746846211.db2.gz HZBKYJXELHSYOT-UHFFFAOYSA-N -1 1 321.181 1.502 20 0 DDADMM O=C(NCc1ccc2[nH]nnc2c1)c1cc(Cl)ncc1[O-] ZINC001196400419 746857124 /nfs/dbraw/zinc/85/71/24/746857124.db2.gz PJLWYGNNEQXDMT-UHFFFAOYSA-N -1 1 303.709 1.642 20 0 DDADMM O=C(NCc1ccc2nn[nH]c2c1)c1cc(Cl)ncc1[O-] ZINC001196400419 746857129 /nfs/dbraw/zinc/85/71/29/746857129.db2.gz PJLWYGNNEQXDMT-UHFFFAOYSA-N -1 1 303.709 1.642 20 0 DDADMM CSc1cc(C(=O)NCc2nn[n-]n2)cc(C(F)(F)F)c1 ZINC001196999233 747029575 /nfs/dbraw/zinc/02/95/75/747029575.db2.gz WIKLVWAEYQDISO-UHFFFAOYSA-N -1 1 317.296 1.870 20 0 DDADMM O=S(=O)(Cc1cccnc1)[N-]c1ccccc1C1=NCCO1 ZINC001197465186 747166044 /nfs/dbraw/zinc/16/60/44/747166044.db2.gz RPJPSDHGNFDATC-UHFFFAOYSA-N -1 1 317.370 1.800 20 0 DDADMM CCc1cnc(CN2CC(CNC(=O)c3ncccc3[O-])C2)o1 ZINC001031628185 747252174 /nfs/dbraw/zinc/25/21/74/747252174.db2.gz AEVWTIPEFWOYHG-UHFFFAOYSA-N -1 1 316.361 1.199 20 0 DDADMM CC(=O)Nc1ccccc1[N-]S(=O)(=O)Cc1ccccn1 ZINC001197821063 747288798 /nfs/dbraw/zinc/28/87/98/747288798.db2.gz NVJVNBDDDMPGOT-UHFFFAOYSA-N -1 1 305.359 1.982 20 0 DDADMM CC1(C)CC[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001003740711 747429582 /nfs/dbraw/zinc/42/95/82/747429582.db2.gz CSFOVSURQVMQTN-LBPRGKRZSA-N -1 1 317.389 1.412 20 0 DDADMM Cc1cccc(C)c1NC(=S)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001198381573 747471472 /nfs/dbraw/zinc/47/14/72/747471472.db2.gz QPUMNWHUKBGFGN-VIFPVBQESA-N -1 1 322.415 1.125 20 0 DDADMM C[C@H]1CCc2c(C(=O)Nc3[nH]c(=O)[n-]c(=O)c3N=O)n[nH]c21 ZINC001198433809 747494139 /nfs/dbraw/zinc/49/41/39/747494139.db2.gz GFODKFDJTDRJGI-BYPYZUCNSA-N -1 1 304.266 1.311 20 0 DDADMM CC(C)(CC(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001152452242 747502209 /nfs/dbraw/zinc/50/22/09/747502209.db2.gz XYTHODGFBBCFGK-UHFFFAOYSA-N -1 1 317.393 1.084 20 0 DDADMM C=CS(=O)(=O)[N-]c1cccc2c(=O)cc(C(=O)OCC)oc12 ZINC001198620769 747566705 /nfs/dbraw/zinc/56/67/05/747566705.db2.gz MVDMLPAICMHPNB-UHFFFAOYSA-N -1 1 323.326 1.855 20 0 DDADMM COc1cc(C)c(NS(=O)(=O)c2ccccc2C(=O)[O-])cn1 ZINC001198741056 747586048 /nfs/dbraw/zinc/58/60/48/747586048.db2.gz NJIPGGZLWKKMNC-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccncc3OC(C)C)c1-2 ZINC001199157079 747721808 /nfs/dbraw/zinc/72/18/08/747721808.db2.gz UMGJJWDTAVXGCG-UHFFFAOYSA-N -1 1 312.333 1.469 20 0 DDADMM Cc1nocc1CN1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001033024372 747961342 /nfs/dbraw/zinc/96/13/42/747961342.db2.gz CWDSGCXMXDJWKW-CYBMUJFWSA-N -1 1 316.361 1.430 20 0 DDADMM CCOC(=O)[C@H](C)NC(=S)Nc1ccc([O-])c(F)c1F ZINC001199836291 748013326 /nfs/dbraw/zinc/01/33/26/748013326.db2.gz LWVCJWNMPZCKHN-LURJTMIESA-N -1 1 304.318 1.908 20 0 DDADMM Cc1ccc(CNC(=S)NCCCC[P@](=O)([O-])O)cc1 ZINC001200321168 748202088 /nfs/dbraw/zinc/20/20/88/748202088.db2.gz SWDIHZLIGOAETG-UHFFFAOYSA-N -1 1 316.363 1.917 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cccc2ncn(C)c21 ZINC001201914819 748640468 /nfs/dbraw/zinc/64/04/68/748640468.db2.gz OPIYYUULGPRGQD-UHFFFAOYSA-N -1 1 311.363 1.268 20 0 DDADMM CC1(C)CN(C(=O)[C@H]2CC=CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995446837 748753920 /nfs/dbraw/zinc/75/39/20/748753920.db2.gz IVSLXCIDWMPNGU-NWDGAFQWSA-N -1 1 319.409 1.193 20 0 DDADMM CN(CCCN(C)C(=O)C1CCC1)C(=O)c1ncccc1[O-] ZINC001066589182 748904307 /nfs/dbraw/zinc/90/43/07/748904307.db2.gz VWYFJTRWKNFTNT-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCCC1 ZINC001000675674 762079508 /nfs/dbraw/zinc/07/95/08/762079508.db2.gz OUIOFKFADOOAIT-AAEUAGOBSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H](CC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001035135901 751221757 /nfs/dbraw/zinc/22/17/57/751221757.db2.gz OUUHAMCYKXHREP-CMPLNLGQSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1[nH]nc(C(=O)N2C[C@H]3CCC[C@@H](C2)N3CC[C@@H](C)F)c1[O-] ZINC001039545877 762111578 /nfs/dbraw/zinc/11/15/78/762111578.db2.gz PTUAVQJHQGNAEQ-RTXFEEFZSA-N -1 1 324.400 1.851 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC000999475040 752941390 /nfs/dbraw/zinc/94/13/90/752941390.db2.gz LIVSVDULFMWORK-PWSUYJOCSA-N -1 1 307.398 1.027 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999960910 753277895 /nfs/dbraw/zinc/27/78/95/753277895.db2.gz SQDFXJRKOWOXHH-MNOVXSKESA-N -1 1 307.398 1.027 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H](C)C2CC2)C1 ZINC001005953680 753415538 /nfs/dbraw/zinc/41/55/38/753415538.db2.gz VYHMDHIOOQGIOE-WDEREUQCSA-N -1 1 317.389 1.410 20 0 DDADMM CC[C@H](SC)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011011326 754304062 /nfs/dbraw/zinc/30/40/62/754304062.db2.gz QLPVAOUIQYSMJK-LBPRGKRZSA-N -1 1 323.418 1.213 20 0 DDADMM CCCC(=O)N1CC(CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001001951592 754591994 /nfs/dbraw/zinc/59/19/94/754591994.db2.gz GRSJYSIDSAXSSD-UHFFFAOYSA-N -1 1 318.377 1.048 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)C(F)F)C(=O)c1ncccc1[O-] ZINC001001998914 754634034 /nfs/dbraw/zinc/63/40/34/754634034.db2.gz MWDRZTCQLXIQFJ-VIFPVBQESA-N -1 1 313.304 1.115 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C(C)(C)F)CCN1C(=O)c1ncccc1[O-] ZINC001011673682 754670051 /nfs/dbraw/zinc/67/00/51/754670051.db2.gz NTGPEJRXUNGPEW-NXEZZACHSA-N -1 1 309.341 1.255 20 0 DDADMM Cc1nccc(N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)n1 ZINC001067075490 755685293 /nfs/dbraw/zinc/68/52/93/755685293.db2.gz HHFRLQSNDNGONA-JQWIXIFHSA-N -1 1 313.361 1.458 20 0 DDADMM CCC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001014497299 755761387 /nfs/dbraw/zinc/76/13/87/755761387.db2.gz NMWGBBAFUMVIOU-XQQFMLRXSA-N -1 1 317.389 1.554 20 0 DDADMM O=C([N-]CCNc1cn(C2CCC2)c(=O)cn1)C(F)(F)F ZINC001164251004 756237244 /nfs/dbraw/zinc/23/72/44/756237244.db2.gz LAIIPLCUNMDUKP-UHFFFAOYSA-N -1 1 304.272 1.059 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)C=C1CCC1 ZINC001067158213 757086958 /nfs/dbraw/zinc/08/69/58/757086958.db2.gz JKTUSVVNZDPSCL-UHFFFAOYSA-N -1 1 317.389 1.818 20 0 DDADMM CC(C)=CCN1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001083059768 757109601 /nfs/dbraw/zinc/10/96/01/757109601.db2.gz VQEMBUKNFAFQKV-DZGCQCFKSA-N -1 1 317.389 1.279 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])Nc1nc(C2CC2)ns1 ZINC001097765700 757512329 /nfs/dbraw/zinc/51/23/29/757512329.db2.gz WQAZYLZDFFZCHG-QMMMGPOBSA-N -1 1 319.390 1.747 20 0 DDADMM CCC(CC)C(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017051546 757595607 /nfs/dbraw/zinc/59/56/07/757595607.db2.gz MJIVYUFCRPHVQF-GFCCVEGCSA-N -1 1 323.441 1.617 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001017073848 757616581 /nfs/dbraw/zinc/61/65/81/757616581.db2.gz QBZUZGZLMVQVIC-IKWCTNDRSA-N -1 1 317.389 1.553 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)[C@@H]2CC2(C)C)C1 ZINC001017107986 757643610 /nfs/dbraw/zinc/64/36/10/757643610.db2.gz ZJBIMBOFMXQPPB-NEPJUHHUSA-N -1 1 321.425 1.227 20 0 DDADMM CCC(=O)N1CCC[C@H]([C@H]2CCCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001052995988 758056936 /nfs/dbraw/zinc/05/69/36/758056936.db2.gz DBHJXZVZOYXFPT-STQMWFEESA-N -1 1 321.425 1.371 20 0 DDADMM C/C=C\[C@@H](O)C(=O)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000822521866 758215214 /nfs/dbraw/zinc/21/52/14/758215214.db2.gz BKPRVIGQUAKHLQ-MPNWYJEXSA-N -1 1 324.808 1.627 20 0 DDADMM CC[C@H](Cc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000822555772 758222175 /nfs/dbraw/zinc/22/21/75/758222175.db2.gz KAYAONJSTWTATK-GFCCVEGCSA-N -1 1 301.346 1.773 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001017904610 758368981 /nfs/dbraw/zinc/36/89/81/758368981.db2.gz WKWTXXZICUHOSF-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM CN(C)C(=O)NC1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000827868996 759391668 /nfs/dbraw/zinc/39/16/68/759391668.db2.gz BTZRACHBVUENOM-UHFFFAOYSA-N -1 1 309.341 1.407 20 0 DDADMM O=C(N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)[C@]12C[C@H]1CCCC2 ZINC001054298173 759416291 /nfs/dbraw/zinc/41/62/91/759416291.db2.gz WTUKOOMDNDRYFA-XHBSWPGZSA-N -1 1 319.409 1.171 20 0 DDADMM Cc1ncoc1CN1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001085561055 759697283 /nfs/dbraw/zinc/69/72/83/759697283.db2.gz BSZZJVOTEPSDRC-LBPRGKRZSA-N -1 1 316.361 1.430 20 0 DDADMM Cc1ccc(C)c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001019701582 760186952 /nfs/dbraw/zinc/18/69/52/760186952.db2.gz GQANGBDFJVAZPG-LBPRGKRZSA-N -1 1 315.377 1.131 20 0 DDADMM CCc1nc(CNCCCNC(=O)c2[nH]nc(C)c2[O-])cs1 ZINC001156634637 763530752 /nfs/dbraw/zinc/53/07/52/763530752.db2.gz RBERIKQZLAKICI-UHFFFAOYSA-N -1 1 323.422 1.352 20 0 DDADMM C[C@H](NC(=O)C(C)(C)F)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530371 763715735 /nfs/dbraw/zinc/71/57/35/763715735.db2.gz MNFUADMKEDFONK-WDEREUQCSA-N -1 1 323.368 1.502 20 0 DDADMM Cc1cc(N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)ncn1 ZINC001043148241 764713186 /nfs/dbraw/zinc/71/31/86/764713186.db2.gz HWJBWOKLOCPIIA-ZYHUDNBSSA-N -1 1 313.361 1.458 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1Nc1cnc(F)cn1 ZINC001043148331 764713918 /nfs/dbraw/zinc/71/39/18/764713918.db2.gz MXBTUUFWDYQBIF-NXEZZACHSA-N -1 1 317.324 1.289 20 0 DDADMM Cc1ccnc(N[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983716 765082360 /nfs/dbraw/zinc/08/23/60/765082360.db2.gz PLTNDHAQOUDTQO-QWRGUYRKSA-N -1 1 301.350 1.505 20 0 DDADMM C[C@@H](Nc1cnc(F)cn1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001112983842 765083739 /nfs/dbraw/zinc/08/37/39/765083739.db2.gz QUIFDFCTTUWITF-BDAKNGLRSA-N -1 1 305.313 1.335 20 0 DDADMM COc1ccnc(N[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112984814 765084194 /nfs/dbraw/zinc/08/41/94/765084194.db2.gz YJMRCOXYEAYQEU-ZJUUUORDSA-N -1 1 317.349 1.205 20 0 DDADMM CC(C)CC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052422096 765810633 /nfs/dbraw/zinc/81/06/33/765810633.db2.gz PSBTZTZAVCBMIU-STQMWFEESA-N -1 1 319.405 1.658 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@H]2CNCc2nccs2)c1[O-] ZINC001044999180 766015550 /nfs/dbraw/zinc/01/55/50/766015550.db2.gz RSWWDPCEQJUCFM-JTQLQIEISA-N -1 1 321.406 1.275 20 0 DDADMM CCc1occc1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045018909 766038121 /nfs/dbraw/zinc/03/81/21/766038121.db2.gz CVQOXIMWTPYFHY-JTQLQIEISA-N -1 1 319.365 1.060 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cnc(C(F)(F)F)cn3)c2[nH]1 ZINC001170212828 766172414 /nfs/dbraw/zinc/17/24/14/766172414.db2.gz CHVJDQVTOTZGHL-UHFFFAOYSA-N -1 1 313.199 1.268 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cccc4ccc(=O)oc43)c2[nH]1 ZINC001170216894 766174933 /nfs/dbraw/zinc/17/49/33/766174933.db2.gz FMZOHPFKZPWPEV-UHFFFAOYSA-N -1 1 311.257 1.566 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cccc4c3OC(F)(F)O4)c2[nH]1 ZINC001170216354 766175537 /nfs/dbraw/zinc/17/55/37/766175537.db2.gz JMUVXZIGSDIIQP-UHFFFAOYSA-N -1 1 323.215 1.781 20 0 DDADMM CC(=O)Nc1ncc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1C ZINC001170217448 766176381 /nfs/dbraw/zinc/17/63/81/766176381.db2.gz ICVBPHDBCHGXSA-UHFFFAOYSA-N -1 1 315.293 1.121 20 0 DDADMM COCc1ccc(Cl)nc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170224531 766198196 /nfs/dbraw/zinc/19/81/96/766198196.db2.gz ZDCZWSRSJACBOF-UHFFFAOYSA-N -1 1 306.713 1.997 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccc(N3CCOCC3)cn2)[n-]1 ZINC001170226747 766200103 /nfs/dbraw/zinc/20/01/03/766200103.db2.gz SJODZISICAPZDN-UHFFFAOYSA-N -1 1 313.321 1.034 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3c(C)cccc3F)nc2n1 ZINC001129521067 766925917 /nfs/dbraw/zinc/92/59/17/766925917.db2.gz WMDOQUQNGTUOCM-UHFFFAOYSA-N -1 1 301.281 1.426 20 0 DDADMM Cn1cc(C[N@H+]2CC[C@](C)(NC(=O)c3ccccc3O)C2)cn1 ZINC001046146087 766996595 /nfs/dbraw/zinc/99/65/95/766996595.db2.gz CUJKVKSDKKJIMB-KRWDZBQOSA-N -1 1 314.389 1.520 20 0 DDADMM O=C(N[C@H]1C[C@H](CNc2ncc(F)cn2)C1)c1ncccc1[O-] ZINC001068476392 767355472 /nfs/dbraw/zinc/35/54/72/767355472.db2.gz XHFYWNSGQIJYFZ-HOMQSWHASA-N -1 1 317.324 1.337 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CCc2cc(C)c(C)cc21 ZINC001121683561 782626766 /nfs/dbraw/zinc/62/67/66/782626766.db2.gz OZLCESGGELOTRU-UHFFFAOYSA-N -1 1 324.392 1.713 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CCc2cc(C)c(C)cc21 ZINC001121683561 782626771 /nfs/dbraw/zinc/62/67/71/782626771.db2.gz OZLCESGGELOTRU-UHFFFAOYSA-N -1 1 324.392 1.713 20 0 DDADMM Cc1nccc(N[C@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)n1 ZINC001069062884 767810788 /nfs/dbraw/zinc/81/07/88/767810788.db2.gz KPLHKILHQLMWLG-PWSUYJOCSA-N -1 1 313.361 1.601 20 0 DDADMM CC/C=C(\C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001071734081 769970581 /nfs/dbraw/zinc/97/05/81/769970581.db2.gz QMAOMCVLLODRAV-NHFJXKHHSA-N -1 1 317.389 1.720 20 0 DDADMM O=C(NC[C@H](Nc1nccnc1F)C1CC1)c1ncccc1[O-] ZINC001096650874 771372816 /nfs/dbraw/zinc/37/28/16/771372816.db2.gz BCUJTYNTIHUBPR-JTQLQIEISA-N -1 1 317.324 1.337 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(Oc2ccccc2)nc1 ZINC001136353612 771944128 /nfs/dbraw/zinc/94/41/28/771944128.db2.gz NJUHGAOWPPZHDA-UHFFFAOYSA-N -1 1 310.317 1.958 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](O)c2ccc(OC)cc2)n1 ZINC001144771873 772617406 /nfs/dbraw/zinc/61/74/06/772617406.db2.gz BJSWVJNUQLVUST-GFCCVEGCSA-N -1 1 319.317 1.267 20 0 DDADMM CC(C)c1cc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)no1 ZINC001147487413 773161211 /nfs/dbraw/zinc/16/12/11/773161211.db2.gz IPEPCAZUJMCWOP-UHFFFAOYSA-N -1 1 304.266 1.079 20 0 DDADMM COC(=O)c1cc([O-])c(F)cc1NC(=O)c1cc(=O)cco1 ZINC001147604540 773181793 /nfs/dbraw/zinc/18/17/93/773181793.db2.gz BBDFYLQZOABCMI-UHFFFAOYSA-N -1 1 307.233 1.523 20 0 DDADMM CC(=O)Nc1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)ccn1 ZINC001147813922 773239048 /nfs/dbraw/zinc/23/90/48/773239048.db2.gz FVAAOJPSTOOLCH-UHFFFAOYSA-N -1 1 314.227 1.429 20 0 DDADMM C[C@H]1C(=O)N([N-]C(=O)c2nccc3ccsc32)C(=O)N1C ZINC001148186563 773386653 /nfs/dbraw/zinc/38/66/53/773386653.db2.gz GKQIKSQSKFEFID-ZETCQYMHSA-N -1 1 304.331 1.224 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N(C)CC3COC3)cc2)[n-]1 ZINC001148332892 773438143 /nfs/dbraw/zinc/43/81/43/773438143.db2.gz IMBOIZLJPUKHQJ-UHFFFAOYSA-N -1 1 301.346 1.518 20 0 DDADMM CCCCN1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001074219291 773742418 /nfs/dbraw/zinc/74/24/18/773742418.db2.gz NOPZOAMOWUZKKB-DZGCQCFKSA-N -1 1 319.405 1.503 20 0 DDADMM C[C@@H](CCNC(=O)C1CC1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001075275968 774468662 /nfs/dbraw/zinc/46/86/62/774468662.db2.gz YPRHMCRZPALMIE-VIFPVBQESA-N -1 1 318.377 1.094 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@]23CCC[C@H]2CN(C/C=C/Cl)C3)c1[O-] ZINC001098789465 774675247 /nfs/dbraw/zinc/67/52/47/774675247.db2.gz ZEQYODBHWHAVNN-MKVWIWARSA-N -1 1 324.812 1.761 20 0 DDADMM Cc1nc(C)c(F)c(NCCCNC(=O)c2ncccc2[O-])n1 ZINC001094375995 775821975 /nfs/dbraw/zinc/82/19/75/775821975.db2.gz ZHAYMUABPWGBCD-UHFFFAOYSA-N -1 1 319.340 1.565 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2ccnc3ccccc23)cc1N ZINC001174039799 777404049 /nfs/dbraw/zinc/40/40/49/777404049.db2.gz DJDGZFXUMCSWRH-UHFFFAOYSA-N -1 1 314.370 1.622 20 0 DDADMM O=C(CCc1ccc(F)nc1)Nc1n[n-]c(C(F)(F)F)n1 ZINC001175358607 777762198 /nfs/dbraw/zinc/76/21/98/777762198.db2.gz QDFLDBAJFUGIPT-UHFFFAOYSA-N -1 1 303.219 1.929 20 0 DDADMM Cn1ncc2c1cccc2[N-]S(=O)(=O)c1ccc(N)cc1 ZINC001175437693 777791795 /nfs/dbraw/zinc/79/17/95/777791795.db2.gz DYJKWJNNYDYQAX-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM COc1cccnc1CCC(=O)Nc1cc(=O)[n-]c(SC)n1 ZINC001175459046 777812607 /nfs/dbraw/zinc/81/26/07/777812607.db2.gz UMFVHMIRFXYIDI-UHFFFAOYSA-N -1 1 320.374 1.879 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2ccncc2C)n1 ZINC001175844527 777924465 /nfs/dbraw/zinc/92/44/65/777924465.db2.gz KQHLZLVDXMSWEU-UHFFFAOYSA-N -1 1 302.334 1.861 20 0 DDADMM O=C([O-])CNC(=O)c1ccc(Nc2ccc3nn[nH]c3c2)cc1 ZINC001176005708 777997638 /nfs/dbraw/zinc/99/76/38/777997638.db2.gz XYOPZLHYRLVSAD-UHFFFAOYSA-N -1 1 311.301 1.516 20 0 DDADMM O=C([O-])CNC(=O)c1ccc(Nc2ccc3n[nH]nc3c2)cc1 ZINC001176005708 777997646 /nfs/dbraw/zinc/99/76/46/777997646.db2.gz XYOPZLHYRLVSAD-UHFFFAOYSA-N -1 1 311.301 1.516 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2ccc3c(c2)CCC3=O)ccc1O ZINC001176233363 778086407 /nfs/dbraw/zinc/08/64/07/778086407.db2.gz GOQJUKFERUGPRL-UHFFFAOYSA-N -1 1 318.354 1.904 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1nc(Cl)ccc1Cl ZINC001176726174 778222046 /nfs/dbraw/zinc/22/20/46/778222046.db2.gz OPYCORROHCWJBX-UHFFFAOYSA-N -1 1 313.148 1.711 20 0 DDADMM C[C@H](C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)C1CC1 ZINC001102828570 778431060 /nfs/dbraw/zinc/43/10/60/778431060.db2.gz VMTGQPIURLYTKZ-NTZNESFSSA-N -1 1 321.425 1.131 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)[C@@H]1CC1(C)C ZINC001102872695 778464662 /nfs/dbraw/zinc/46/46/62/778464662.db2.gz RFRQYCLJEGCHNH-UTUOFQBUSA-N -1 1 321.425 1.131 20 0 DDADMM O=C(Nc1cccc(-n2cnnc2)c1)c1cnc(C2CC2)[n-]c1=O ZINC001177896086 778775722 /nfs/dbraw/zinc/77/57/22/778775722.db2.gz ABEAJYGKSNTEJF-UHFFFAOYSA-N -1 1 322.328 1.893 20 0 DDADMM CCOCCCCCC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC001118913056 781270443 /nfs/dbraw/zinc/27/04/43/781270443.db2.gz CONTYVLGYYLDIM-AWEZNQCLSA-N -1 1 314.426 1.591 20 0 DDADMM CC1=NO[C@@H](C[N-]S(=O)(=O)c2cnc(Cl)cc2Cl)C1 ZINC001118937991 781278489 /nfs/dbraw/zinc/27/84/89/781278489.db2.gz SSGUQTGPCAGWDK-SSDOTTSWSA-N -1 1 324.189 1.832 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCCOc1ccccc1 ZINC001119094860 781319785 /nfs/dbraw/zinc/31/97/85/781319785.db2.gz YXGDKIGIUUKKIB-UHFFFAOYSA-N -1 1 303.366 1.498 20 0 DDADMM CC/C(C)=C\C(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001267556378 838300333 /nfs/dbraw/zinc/30/03/33/838300333.db2.gz PBJPJMKTJAGWLT-NXIHDVOMSA-N -1 1 307.398 1.195 20 0 DDADMM CN1CCO[C@H]2CN(C(=O)c3ccc4sccc4c3[O-])C[C@H]21 ZINC001269048352 841145726 /nfs/dbraw/zinc/14/57/26/841145726.db2.gz RWEKQNWHJVSMBD-OLZOCXBDSA-N -1 1 318.398 1.762 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)CC1COC1 ZINC001362615034 841719257 /nfs/dbraw/zinc/71/92/57/841719257.db2.gz WJCVYJKQFYNPGY-WPRPVWTQSA-N -1 1 308.300 1.081 20 0 DDADMM CN1C[C@@H]2COC[C@H](C1)N2C(=O)c1ccc2cccnc2c1[O-] ZINC001269621197 841837058 /nfs/dbraw/zinc/83/70/58/841837058.db2.gz SZCLKQONARQPBK-BETUJISGSA-N -1 1 313.357 1.095 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCC2(CCC2)O1)C(C)C ZINC001363925343 842855480 /nfs/dbraw/zinc/85/54/80/842855480.db2.gz CLJAYAQAEGEXNJ-RYUDHWBXSA-N -1 1 319.423 1.205 20 0 DDADMM Cc1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)ccc1C1CC1 ZINC001154730639 861400146 /nfs/dbraw/zinc/40/01/46/861400146.db2.gz YFCGQBTXFGILQJ-UHFFFAOYSA-N -1 1 313.357 1.751 20 0 DDADMM COc1cc(Br)c([O-])c(NC(=O)C(=O)N(C)C)c1 ZINC001143277389 861448277 /nfs/dbraw/zinc/44/82/77/861448277.db2.gz ZXJBORLWPQQCGG-UHFFFAOYSA-N -1 1 317.139 1.190 20 0 DDADMM CN1CCO[C@@H](CNC(=O)c2ccc3cccnc3c2[O-])C1 ZINC001149326992 861547642 /nfs/dbraw/zinc/54/76/42/861547642.db2.gz NXORIMASQJEKPX-LBPRGKRZSA-N -1 1 301.346 1.001 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ncn3ccc(C)cc23)n1 ZINC001272342927 846051822 /nfs/dbraw/zinc/05/18/22/846051822.db2.gz ZIBZMLWDXHZTNN-UHFFFAOYSA-N -1 1 313.317 1.795 20 0 DDADMM O=S(=O)([N-]Cc1ccns1)c1ccc(Br)o1 ZINC001365454818 846251499 /nfs/dbraw/zinc/25/14/99/846251499.db2.gz VZYJTBJTWCBVQE-UHFFFAOYSA-N -1 1 323.193 1.977 20 0 DDADMM COC[C@@H](NC(=O)c1cc2ccc(Cl)cc2[nH]1)c1nn[n-]n1 ZINC001155070366 861699734 /nfs/dbraw/zinc/69/97/34/861699734.db2.gz VQUDUGVHJWNZQP-LLVKDONJSA-N -1 1 320.740 1.452 20 0 DDADMM Cc1oncc1CN1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001032369555 847200102 /nfs/dbraw/zinc/20/01/02/847200102.db2.gz IIYHKSGJFZVNAE-STQMWFEESA-N -1 1 314.345 1.183 20 0 DDADMM CO[C@@H]1COCC[C@H]1NC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149654011 861786836 /nfs/dbraw/zinc/78/68/36/861786836.db2.gz RUJOIMVXSUHOTE-CZUORRHYSA-N -1 1 317.341 1.785 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H]1CCc2nccn2C1 ZINC001149657794 861789598 /nfs/dbraw/zinc/78/95/98/861789598.db2.gz PMNDSAHIRXKKNJ-GFCCVEGCSA-N -1 1 323.356 1.976 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H](NC(=O)c2[n-][nH]c3cc(=O)ccc2-3)C1 ZINC001155273105 861921058 /nfs/dbraw/zinc/92/10/58/861921058.db2.gz ISOPEKPFFIAMNL-RKDXNWHRSA-N -1 1 303.318 1.340 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001411215876 850419783 /nfs/dbraw/zinc/41/97/83/850419783.db2.gz IZPUZTYJCXOXJW-MXWKQRLJSA-N -1 1 309.414 1.177 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@]3(C)COc4ccccc4O3)ccnc1-2 ZINC001411357608 850936349 /nfs/dbraw/zinc/93/63/49/850936349.db2.gz XAHVIWNJQZVHPW-KRWDZBQOSA-N -1 1 324.340 1.510 20 0 DDADMM C[C@@H](CNC(=O)c1ccco1)N(C)C(=O)c1ncccc1[O-] ZINC001411477356 853187301 /nfs/dbraw/zinc/18/73/01/853187301.db2.gz GSQQUHGBNXBWHJ-JTQLQIEISA-N -1 1 303.318 1.271 20 0 DDADMM CC[C@@H](O)Cn1cc(C(=O)[N-]c2nnc(C(F)F)s2)nn1 ZINC001411688491 853578778 /nfs/dbraw/zinc/57/87/78/853578778.db2.gz QJVXRTZZRFAIKU-RXMQYKEDSA-N -1 1 318.309 1.090 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCCN(c2nccs2)CC1 ZINC001411695008 853595333 /nfs/dbraw/zinc/59/53/33/853595333.db2.gz YDYMBHPFIWMIPO-UHFFFAOYSA-N -1 1 304.375 1.596 20 0 DDADMM C[S@@](=O)C[C@H](NC(=O)c1ccc([O-])cn1)c1ccccc1 ZINC001411793419 853746183 /nfs/dbraw/zinc/74/61/83/853746183.db2.gz IUSUKUWTBOQJRH-LHSJRXKWSA-N -1 1 304.371 1.637 20 0 DDADMM C[C@H](CC(N)=O)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC001411882506 853900635 /nfs/dbraw/zinc/90/06/35/853900635.db2.gz AIBLCVOPHKRIAR-LLVKDONJSA-N -1 1 318.373 1.325 20 0 DDADMM NC(=O)[C@@H]1CCC[C@]12CCN(C(=O)c1cccc([O-])c1F)C2 ZINC001275984167 854081201 /nfs/dbraw/zinc/08/12/01/854081201.db2.gz LKDWJXJPVYCTDJ-MEDUHNTESA-N -1 1 306.337 1.649 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C(F)F)n[nH]2)cc(=O)[n-]1 ZINC001412284858 854406320 /nfs/dbraw/zinc/40/63/20/854406320.db2.gz JGAWHPMBJJTTHC-UHFFFAOYSA-N -1 1 315.305 1.495 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](OCC)C(C)C)n[n-]1 ZINC001412328833 854438461 /nfs/dbraw/zinc/43/84/61/854438461.db2.gz XQBBVMKFONMYPE-ZJUUUORDSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](OCC)C(C)C)[n-]1 ZINC001412328833 854438466 /nfs/dbraw/zinc/43/84/66/854438466.db2.gz XQBBVMKFONMYPE-ZJUUUORDSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](OCC)C(C)C)n1 ZINC001412328833 854438467 /nfs/dbraw/zinc/43/84/67/854438467.db2.gz XQBBVMKFONMYPE-ZJUUUORDSA-N -1 1 312.370 1.220 20 0 DDADMM COc1ccc(CN(C)C(=O)c2cnc(SC)[n-]c2=O)cn1 ZINC001412326854 854444040 /nfs/dbraw/zinc/44/40/40/854444040.db2.gz OJUCXZXMMQKLRF-UHFFFAOYSA-N -1 1 320.374 1.580 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(CC)CCOCC2)n[n-]1 ZINC001412327092 854444887 /nfs/dbraw/zinc/44/48/87/854444887.db2.gz LJKOIXVMEJQZQV-SNVBAGLBSA-N -1 1 324.381 1.365 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(CC)CCOCC2)[n-]1 ZINC001412327092 854444888 /nfs/dbraw/zinc/44/48/88/854444888.db2.gz LJKOIXVMEJQZQV-SNVBAGLBSA-N -1 1 324.381 1.365 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(CC)CCOCC2)n1 ZINC001412327092 854444889 /nfs/dbraw/zinc/44/48/89/854444889.db2.gz LJKOIXVMEJQZQV-SNVBAGLBSA-N -1 1 324.381 1.365 20 0 DDADMM CSCC[C@H](NC(=O)C[C@H](C)n1ccc(C)n1)c1nn[n-]n1 ZINC001412370212 854483127 /nfs/dbraw/zinc/48/31/27/854483127.db2.gz RZOYMZYILAGQOZ-QWRGUYRKSA-N -1 1 323.426 1.266 20 0 DDADMM O=C(N[C@H](CO)[C@H](O)c1ccccn1)c1cc(Cl)ccc1[O-] ZINC001412462865 854581575 /nfs/dbraw/zinc/58/15/75/854581575.db2.gz PSBDOZQKVOLDSR-TZMCWYRMSA-N -1 1 322.748 1.265 20 0 DDADMM COC[C@H](NC(=O)C[C@H]1CCc2ccccc2C1)c1nn[n-]n1 ZINC001412599360 854790911 /nfs/dbraw/zinc/79/09/11/854790911.db2.gz WNPICSCCWQPAAI-FZMZJTMJSA-N -1 1 315.377 1.199 20 0 DDADMM COC(=O)N1CC(C)(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC001412618980 854826656 /nfs/dbraw/zinc/82/66/56/854826656.db2.gz QJHXYMKVGXWAQR-UHFFFAOYSA-N -1 1 322.365 1.185 20 0 DDADMM CC(=O)N1Cc2ccc(NC(=O)CCCc3nn[n-]n3)cc2C1 ZINC001412625144 854836588 /nfs/dbraw/zinc/83/65/88/854836588.db2.gz CKUGBBGNJBUQHB-UHFFFAOYSA-N -1 1 314.349 1.023 20 0 DDADMM O=C(NC[C@@H](O)COc1ccc(F)cc1)c1ccc(F)c([O-])c1 ZINC001412659834 854893988 /nfs/dbraw/zinc/89/39/88/854893988.db2.gz GNVWQOJUVPIIKQ-GFCCVEGCSA-N -1 1 323.295 1.840 20 0 DDADMM CSc1ncc(C(=O)NCc2nc3c([nH]2)CCCC3)c(=O)[n-]1 ZINC001412675442 854924177 /nfs/dbraw/zinc/92/41/77/854924177.db2.gz FRAJGNVZOWZFOW-UHFFFAOYSA-N -1 1 319.390 1.436 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cncc(C(C)C)c2)co1 ZINC001412727722 855032991 /nfs/dbraw/zinc/03/29/91/855032991.db2.gz GCSYKBHULYAUOW-UHFFFAOYSA-N -1 1 323.374 1.958 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)CCCF ZINC001413128800 856453983 /nfs/dbraw/zinc/45/39/83/856453983.db2.gz CNNVXPDIXSYHBM-NEPJUHHUSA-N -1 1 323.368 1.646 20 0 DDADMM CCOC(=O)c1ccc(C)c(NCC[N-]C(=O)C(F)(F)F)n1 ZINC001156196910 862802355 /nfs/dbraw/zinc/80/23/55/862802355.db2.gz KKMRJWLLPRDVGZ-UHFFFAOYSA-N -1 1 319.283 1.657 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ccc(F)c([O-])c1)c1ccncc1 ZINC001413194546 856536436 /nfs/dbraw/zinc/53/64/36/856536436.db2.gz AGEAJVMMDJMXPY-CYBMUJFWSA-N -1 1 318.304 1.961 20 0 DDADMM COc1cc(CC(=O)NCc2nc([O-])cc(=O)[nH]2)ccc1C ZINC001413323663 856653074 /nfs/dbraw/zinc/65/30/74/856653074.db2.gz CHDVLMNGRZIWKC-UHFFFAOYSA-N -1 1 303.318 1.064 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2cc(C3CC3)no2)sn1 ZINC001413430879 856799664 /nfs/dbraw/zinc/79/96/64/856799664.db2.gz ICNWSMVZZHTILN-UHFFFAOYSA-N -1 1 315.376 1.496 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC=C(Cl)Cl)c1 ZINC001413435185 856807419 /nfs/dbraw/zinc/80/74/19/856807419.db2.gz GYAYBGRANOZEBB-UHFFFAOYSA-N -1 1 312.174 1.998 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-][C@@H](CO)C(C)C ZINC001413488494 856881237 /nfs/dbraw/zinc/88/12/37/856881237.db2.gz FCVSDNAOSIDZTH-JTQLQIEISA-N -1 1 309.334 1.269 20 0 DDADMM CCc1nn(C)cc1NC(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001413543167 857040067 /nfs/dbraw/zinc/04/00/67/857040067.db2.gz VHCROCFRXNHMBE-UHFFFAOYSA-N -1 1 322.394 1.522 20 0 DDADMM COC(=O)c1cnc(CNC(=O)c2cccc([O-])c2F)s1 ZINC001413578269 857122327 /nfs/dbraw/zinc/12/23/27/857122327.db2.gz AQPMSOVRTXHJAM-UHFFFAOYSA-N -1 1 310.306 1.704 20 0 DDADMM COc1cccc(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)c1 ZINC001413655100 857290981 /nfs/dbraw/zinc/29/09/81/857290981.db2.gz NZKXRYYOGUSLRL-UHFFFAOYSA-N -1 1 317.345 1.520 20 0 DDADMM CC(C)Cn1c(-c2nnn[n-]2)nnc1N(C)CC1=CCSC1 ZINC001122747101 858957548 /nfs/dbraw/zinc/95/75/48/858957548.db2.gz PEAQOZSLKRIJIL-UHFFFAOYSA-N -1 1 320.426 1.224 20 0 DDADMM CC(C)Cn1c(-c2nn[n-]n2)nnc1N(C)CC1=CCSC1 ZINC001122747101 858957551 /nfs/dbraw/zinc/95/75/51/858957551.db2.gz PEAQOZSLKRIJIL-UHFFFAOYSA-N -1 1 320.426 1.224 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(c2cn[nH]c2)CC1 ZINC001123574085 859305734 /nfs/dbraw/zinc/30/57/34/859305734.db2.gz LVQMZQBDRXYVCC-UHFFFAOYSA-N -1 1 319.390 1.522 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CCOC2(CCC2)C1 ZINC001123769378 859405337 /nfs/dbraw/zinc/40/53/37/859405337.db2.gz JUBJTRNZEBXXNS-SECBINFHSA-N -1 1 309.391 1.611 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(Cc2ncccc2[O-])[C@@H](CO)C1 ZINC001138390542 860085540 /nfs/dbraw/zinc/08/55/40/860085540.db2.gz AVYLVJAJLXMEBN-GFCCVEGCSA-N -1 1 323.393 1.201 20 0 DDADMM COC(=O)[C@H]1COCCC12CN(Cc1ccccc1C(=O)[O-])C2 ZINC001140503250 860648033 /nfs/dbraw/zinc/64/80/33/860648033.db2.gz RKADPCQUNOBOBM-CQSZACIVSA-N -1 1 319.357 1.396 20 0 DDADMM COC(=O)c1scnc1NC(=O)c1ccc2[nH][n-]c(=O)c2c1 ZINC001154206088 860869833 /nfs/dbraw/zinc/86/98/33/860869833.db2.gz IUDAXRGMPAUJKP-UHFFFAOYSA-N -1 1 318.314 1.764 20 0 DDADMM Cc1cccnc1NC(=O)c1ccc(-n2[n-]c(=O)[nH]c2=O)cc1 ZINC001141702194 860916481 /nfs/dbraw/zinc/91/64/81/860916481.db2.gz LHDILBHHKZBGBU-UHFFFAOYSA-N -1 1 311.301 1.222 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/c2ccoc2)c1 ZINC001142262237 861092347 /nfs/dbraw/zinc/09/23/47/861092347.db2.gz UARRYGFGURDVSJ-GORDUTHDSA-N -1 1 322.342 1.545 20 0 DDADMM Cc1cc(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cc(=O)[nH]1 ZINC001142567755 861182599 /nfs/dbraw/zinc/18/25/99/861182599.db2.gz KRRQCOIDHUDEBC-UHFFFAOYSA-N -1 1 306.347 1.118 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3[nH]c(=O)[nH]c3c2)n1 ZINC001152367408 863302066 /nfs/dbraw/zinc/30/20/66/863302066.db2.gz CPFAVUPNWSVMBZ-UHFFFAOYSA-N -1 1 315.289 1.421 20 0 DDADMM O=C(c1ccn(Cc2ccccc2)c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001153002594 863644485 /nfs/dbraw/zinc/64/44/85/863644485.db2.gz VBBJDDCWVGGTND-AWEZNQCLSA-N -1 1 322.372 1.679 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1c[nH]c2cnccc12 ZINC001153002573 863644705 /nfs/dbraw/zinc/64/47/05/863644705.db2.gz XFWLTMPUBWVRSY-UHFFFAOYSA-N -1 1 300.234 1.414 20 0 DDADMM CCC=C(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225153000 881706153 /nfs/dbraw/zinc/70/61/53/881706153.db2.gz HVSJWQUCIAGLFO-CIYHXPSUSA-N -1 1 307.398 1.384 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc3cnn(C)c32)n1 ZINC001153675062 864011944 /nfs/dbraw/zinc/01/19/44/864011944.db2.gz DXEDYIIJHISNQT-UHFFFAOYSA-N -1 1 313.317 1.725 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3c(F)nc(F)nc3Cl)c2[nH]1 ZINC001159188899 865154057 /nfs/dbraw/zinc/15/40/57/865154057.db2.gz FOUOJAPWUOVANZ-UHFFFAOYSA-N -1 1 315.627 1.181 20 0 DDADMM COc1ccc2ncnc(Nc3[n-]c(=O)nc4nc[nH]c43)c2c1 ZINC001159192779 865158472 /nfs/dbraw/zinc/15/84/72/865158472.db2.gz YSECUXLEKBSVQZ-UHFFFAOYSA-N -1 1 309.289 1.754 20 0 DDADMM CSCc1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc1 ZINC001159196576 865160089 /nfs/dbraw/zinc/16/00/89/865160089.db2.gz VSWJIJAGHFATMZ-UHFFFAOYSA-N -1 1 304.335 1.717 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(-c4ccco4)nn3)c2[nH]1 ZINC001159196646 865160196 /nfs/dbraw/zinc/16/01/96/865160196.db2.gz WZVWEXXBCMNLPD-UHFFFAOYSA-N -1 1 311.261 1.509 20 0 DDADMM COC(=O)/C=C\[C@H](C)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC001159781927 865518877 /nfs/dbraw/zinc/51/88/77/865518877.db2.gz BFTJPYYLVULASV-FUOZMLNRSA-N -1 1 321.377 1.636 20 0 DDADMM Cc1cc(C(=O)OC(C)(C)C)cc(C(=O)N(C)c2nn[n-]n2)c1 ZINC001361554257 881882648 /nfs/dbraw/zinc/88/26/48/881882648.db2.gz AQDIBUFULBKUGZ-UHFFFAOYSA-N -1 1 317.349 1.740 20 0 DDADMM CCCC[C@@H](NC(=O)OC(C)(C)C)C(=O)N(C)c1nn[n-]n1 ZINC001361555699 881886154 /nfs/dbraw/zinc/88/61/54/881886154.db2.gz YQKWEQANBWJGLI-SECBINFHSA-N -1 1 312.374 1.246 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(CN2C(=O)CC23CCC3)C1 ZINC001332261556 865705537 /nfs/dbraw/zinc/70/55/37/865705537.db2.gz OLRJZCLTMZFSEQ-LBPRGKRZSA-N -1 1 319.327 1.242 20 0 DDADMM CN(C(=O)COc1ccccc1Br)c1nn[n-]n1 ZINC001361566261 881907165 /nfs/dbraw/zinc/90/71/65/881907165.db2.gz SEUDKPPKSRZRHA-UHFFFAOYSA-N -1 1 312.127 1.004 20 0 DDADMM CCOC(=O)C1(C(=O)OCC(=O)c2ccc([O-])cc2O)CCC1 ZINC001319800634 866298219 /nfs/dbraw/zinc/29/82/19/866298219.db2.gz KWUVWZFRMINAPG-UHFFFAOYSA-N -1 1 322.313 1.557 20 0 DDADMM CCCCCC(=O)N1CCC[C@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001319837548 866326753 /nfs/dbraw/zinc/32/67/53/866326753.db2.gz PHTFHQTWQLDDEU-QWHCGFSZSA-N -1 1 323.441 1.807 20 0 DDADMM CCCCCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001319837549 866327305 /nfs/dbraw/zinc/32/73/05/866327305.db2.gz PHTFHQTWQLDDEU-STQMWFEESA-N -1 1 323.441 1.807 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001323304832 866513343 /nfs/dbraw/zinc/51/33/43/866513343.db2.gz XSIOMYZEPLLSMR-UHFFFAOYSA-N -1 1 313.162 1.230 20 0 DDADMM COC(=O)[C@@H](Oc1cc(=O)[n-]c(=S)[nH]1)c1ccc(F)cc1 ZINC001225765212 882016666 /nfs/dbraw/zinc/01/66/66/882016666.db2.gz ITMLLSZPQUWYJD-NSHDSACASA-N -1 1 310.306 1.903 20 0 DDADMM CCOc1cccc(CNc2nc(C)cc3c2C(=O)[N-]C3=O)n1 ZINC001161891905 867074773 /nfs/dbraw/zinc/07/47/73/867074773.db2.gz CWUZYWYSKDHTOQ-UHFFFAOYSA-N -1 1 312.329 1.679 20 0 DDADMM CCOC(=O)CCC(=O)Nc1nc(C(=O)OCC)c(Cl)[n-]1 ZINC001361642004 882071417 /nfs/dbraw/zinc/07/14/17/882071417.db2.gz MYKUDEYUWHLANR-UHFFFAOYSA-N -1 1 317.729 1.522 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H]2CCSC2)[n-]c1Cl ZINC001361673373 882132211 /nfs/dbraw/zinc/13/22/11/882132211.db2.gz YEHMJAXZAMQQAY-LURJTMIESA-N -1 1 303.771 1.931 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2cc(OCC(C)C)ncn2)no1 ZINC001361701708 882190034 /nfs/dbraw/zinc/19/00/34/882190034.db2.gz BXFGPLLNWAEMQV-UHFFFAOYSA-N -1 1 320.305 1.538 20 0 DDADMM Cc1cc(C)n2ccc(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)c2n1 ZINC001335861302 868582085 /nfs/dbraw/zinc/58/20/85/868582085.db2.gz IRQGCCGFGVRJEL-SECBINFHSA-N -1 1 313.365 1.340 20 0 DDADMM NC(=O)[C@H]1CCSC12CN(Cc1ccc(C(=O)[O-])cc1)C2 ZINC001277110284 882297488 /nfs/dbraw/zinc/29/74/88/882297488.db2.gz SFSKZPCFKUKVSG-GFCCVEGCSA-N -1 1 306.387 1.178 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H](CC)OCC)[n-]c1Cl ZINC001361764196 882323142 /nfs/dbraw/zinc/32/31/42/882323142.db2.gz CDNZPRZRUPNTFE-ZETCQYMHSA-N -1 1 303.746 1.993 20 0 DDADMM C[C@H]1CN(c2nnc(-c3nnn[n-]3)n2C)CCc2ccccc21 ZINC001338072059 869785017 /nfs/dbraw/zinc/78/50/17/869785017.db2.gz AIHKJJNEKGVWLO-JTQLQIEISA-N -1 1 310.365 1.161 20 0 DDADMM C[C@H]1CN(c2nnc(-c3nn[n-]n3)n2C)CCc2ccccc21 ZINC001338072059 869785024 /nfs/dbraw/zinc/78/50/24/869785024.db2.gz AIHKJJNEKGVWLO-JTQLQIEISA-N -1 1 310.365 1.161 20 0 DDADMM C[C@]1(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)C=CCC1 ZINC001166654064 870129239 /nfs/dbraw/zinc/12/92/39/870129239.db2.gz DOYDVMMKPZXOKX-HNNXBMFYSA-N -1 1 319.409 1.243 20 0 DDADMM C/C(=C\c1ccccc1)CN(C)c1nnc(Cc2nnn[n-]2)n1C ZINC001338911252 870237652 /nfs/dbraw/zinc/23/76/52/870237652.db2.gz ZRQONCSSZWYPDM-FMIVXFBMSA-N -1 1 324.392 1.459 20 0 DDADMM C/C(=C\c1ccccc1)CN(C)c1nnc(Cc2nn[n-]n2)n1C ZINC001338911252 870237661 /nfs/dbraw/zinc/23/76/61/870237661.db2.gz ZRQONCSSZWYPDM-FMIVXFBMSA-N -1 1 324.392 1.459 20 0 DDADMM CCOCCN(CCOCC)c1nc(C)cc2c1C(=O)[N-]C2=O ZINC001166880510 870339266 /nfs/dbraw/zinc/33/92/66/870339266.db2.gz BDJHNYSNDIOVHP-UHFFFAOYSA-N -1 1 321.377 1.153 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cccc2n[nH]nc21 ZINC001298215012 870354584 /nfs/dbraw/zinc/35/45/84/870354584.db2.gz XODPVYORWDGDKU-UHFFFAOYSA-N -1 1 302.319 1.303 20 0 DDADMM CCSCC[C@H](C)N(C)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001339351627 870456377 /nfs/dbraw/zinc/45/63/77/870456377.db2.gz NASNJFCDXMUOBJ-QMMMGPOBSA-N -1 1 312.399 1.126 20 0 DDADMM CCN(CC(=O)OC(C)(C)C)c1nc(C)cc2c1C(=O)[N-]C2=O ZINC001167024810 870481357 /nfs/dbraw/zinc/48/13/57/870481357.db2.gz AYCAJSDWBJDGLE-UHFFFAOYSA-N -1 1 319.361 1.442 20 0 DDADMM CCC1(C(=O)NC/C=C\CNC(=O)c2ncccc2[O-])CCC1 ZINC001298357315 870498206 /nfs/dbraw/zinc/49/82/06/870498206.db2.gz UBEDVPZGNVOHHY-ARJAWSKDSA-N -1 1 317.389 1.770 20 0 DDADMM CCC[C@@H](OC)C(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001298357117 870498397 /nfs/dbraw/zinc/49/83/97/870498397.db2.gz RBNWCQSPZZUFCY-MUBLQREKSA-N -1 1 321.377 1.005 20 0 DDADMM Cc1cccc(C)c1[C@H]1CCCN1c1nnc(-c2nnn[n-]2)n1C ZINC001339543279 870547607 /nfs/dbraw/zinc/54/76/07/870547607.db2.gz IOFPDTIYBGPAKV-GFCCVEGCSA-N -1 1 324.392 1.954 20 0 DDADMM Cc1cccc(C)c1[C@H]1CCCN1c1nnc(-c2nn[n-]n2)n1C ZINC001339543279 870547618 /nfs/dbraw/zinc/54/76/18/870547618.db2.gz IOFPDTIYBGPAKV-GFCCVEGCSA-N -1 1 324.392 1.954 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCC[C@H]1c1ccsc1 ZINC001340047617 870862917 /nfs/dbraw/zinc/86/29/17/870862917.db2.gz HADPXFPUASLYOD-JTQLQIEISA-N -1 1 316.394 1.881 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCC[C@H]1c1ccsc1 ZINC001340047617 870862928 /nfs/dbraw/zinc/86/29/28/870862928.db2.gz HADPXFPUASLYOD-JTQLQIEISA-N -1 1 316.394 1.881 20 0 DDADMM O=C(c1onc2ccccc21)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001303594039 871144019 /nfs/dbraw/zinc/14/40/19/871144019.db2.gz ORYHSEWCMDWOFL-JTQLQIEISA-N -1 1 312.333 1.436 20 0 DDADMM CO[C@@H](C)C(=O)Nc1cccc(NC(=O)c2n[nH]c(C)c2[O-])c1 ZINC001361852527 882500774 /nfs/dbraw/zinc/50/07/74/882500774.db2.gz DJNLBUPJMKMFBE-VIFPVBQESA-N -1 1 318.333 1.649 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC2CC1(c1ccccc1)C2 ZINC001340917861 871425077 /nfs/dbraw/zinc/42/50/77/871425077.db2.gz CDFCLIZUMBQQNV-UHFFFAOYSA-N -1 1 322.376 1.604 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC2CC1(c1ccccc1)C2 ZINC001340917861 871425084 /nfs/dbraw/zinc/42/50/84/871425084.db2.gz CDFCLIZUMBQQNV-UHFFFAOYSA-N -1 1 322.376 1.604 20 0 DDADMM COc1ccc(C(N)=O)cc1-c1ccc2c(c1)CC(=O)[N-]C2=O ZINC001205237507 871451332 /nfs/dbraw/zinc/45/13/32/871451332.db2.gz GXZLLQRIVZNOBC-UHFFFAOYSA-N -1 1 310.309 1.274 20 0 DDADMM CCN(Cc1ccccc1)c1nnc(Cc2nnn[n-]2)n1CC ZINC001341051936 871481836 /nfs/dbraw/zinc/48/18/36/871481836.db2.gz MCLNPICIMFCLSL-UHFFFAOYSA-N -1 1 312.381 1.428 20 0 DDADMM CCN(Cc1ccccc1)c1nnc(Cc2nn[n-]n2)n1CC ZINC001341051936 871481839 /nfs/dbraw/zinc/48/18/39/871481839.db2.gz MCLNPICIMFCLSL-UHFFFAOYSA-N -1 1 312.381 1.428 20 0 DDADMM CCO[C@@H](C(=O)Nc1ccc(OCc2nn[n-]n2)cc1)C1CC1 ZINC001361871688 882542343 /nfs/dbraw/zinc/54/23/43/882542343.db2.gz RZOVJSDRWBWOOA-CQSZACIVSA-N -1 1 317.349 1.532 20 0 DDADMM CCC(CC)CCCN(C)C(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC001342164980 872045136 /nfs/dbraw/zinc/04/51/36/872045136.db2.gz PXOVPRAYZLIFGE-OAHLLOKOSA-N -1 1 300.399 1.104 20 0 DDADMM CC/C(C)=C\C(=O)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318793653 872277283 /nfs/dbraw/zinc/27/72/83/872277283.db2.gz JQHIBTJZIQGTEK-KIWPFMIBSA-N -1 1 321.425 1.585 20 0 DDADMM CCc1ncoc1C(=O)Nc1[n-]c(C(=O)OC)cc1C(C)=O ZINC001361896313 882596890 /nfs/dbraw/zinc/59/68/90/882596890.db2.gz YGQCSFSTNPHISW-UHFFFAOYSA-N -1 1 305.290 1.807 20 0 DDADMM CC1=CCCN(c2nnc(Cc3nnn[n-]3)n2CC(C)C)C1 ZINC001344423304 872999947 /nfs/dbraw/zinc/99/99/47/872999947.db2.gz QQRKTVJEWRQZSN-UHFFFAOYSA-N -1 1 302.386 1.194 20 0 DDADMM CC1=CCCN(c2nnc(Cc3nn[n-]n3)n2CC(C)C)C1 ZINC001344423304 872999950 /nfs/dbraw/zinc/99/99/50/872999950.db2.gz QQRKTVJEWRQZSN-UHFFFAOYSA-N -1 1 302.386 1.194 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCOCC1CCCC1 ZINC001347160702 874037789 /nfs/dbraw/zinc/03/77/89/874037789.db2.gz KSQUVEVHZNORBV-UHFFFAOYSA-N -1 1 311.407 1.716 20 0 DDADMM COC1(C(F)(F)F)CN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001347477036 874156740 /nfs/dbraw/zinc/15/67/40/874156740.db2.gz JESRFPVNOILGDM-UHFFFAOYSA-N -1 1 323.296 1.183 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@]1(C)C=CCC1 ZINC001347958547 874319230 /nfs/dbraw/zinc/31/92/30/874319230.db2.gz NKYZORRMAIIPLG-OAHLLOKOSA-N -1 1 302.334 1.168 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](c2nn[n-]n2)C1)n1cnc2ccccc21 ZINC001347974803 874324416 /nfs/dbraw/zinc/32/44/16/874324416.db2.gz OGSAAFYXCIALHT-MNOVXSKESA-N -1 1 311.349 1.127 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCCOC2CC2)c(=O)[n-]1 ZINC001348878041 874851960 /nfs/dbraw/zinc/85/19/60/874851960.db2.gz IGVFGXQABOOHLA-UHFFFAOYSA-N -1 1 312.391 1.867 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCNC(=O)[C@@H]1c1cccs1 ZINC001362035145 882883601 /nfs/dbraw/zinc/88/36/01/882883601.db2.gz MREGTAQRKRVSMY-ZDUSSCGKSA-N -1 1 320.345 1.906 20 0 DDADMM COCC(=O)c1ccc([O-])cc1OC1CN(C(=O)C(C)C)C1 ZINC001227173015 882889289 /nfs/dbraw/zinc/88/92/89/882889289.db2.gz UJTNGVAEQAZIFD-UHFFFAOYSA-N -1 1 307.346 1.467 20 0 DDADMM CCNC(=O)NCc1ccc(NC(=O)c2ccc([O-])cn2)cc1 ZINC001362038829 882894150 /nfs/dbraw/zinc/89/41/50/882894150.db2.gz BTBSRFOGVWUVCQ-UHFFFAOYSA-N -1 1 314.345 1.859 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@H]2OCCC[C@@H]2C1 ZINC001349331895 875115628 /nfs/dbraw/zinc/11/56/28/875115628.db2.gz VRVQJBTZVNDETC-NXEZZACHSA-N -1 1 309.391 1.420 20 0 DDADMM Nc1n[nH]c2ccc([N-]S(=O)(=O)c3ccc(F)cc3N)cc12 ZINC001209863928 875147483 /nfs/dbraw/zinc/14/74/83/875147483.db2.gz PCOHQSZBIRZMBI-UHFFFAOYSA-N -1 1 321.337 1.667 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc(CO)c(F)c2)c1 ZINC001210488743 875407939 /nfs/dbraw/zinc/40/79/39/875407939.db2.gz FOVJHLJVZOMBRN-UHFFFAOYSA-N -1 1 311.338 1.283 20 0 DDADMM CC1(C(=O)NCCCNC(=O)c2ncccc2[O-])CC=CC1 ZINC001349939837 875465206 /nfs/dbraw/zinc/46/52/06/875465206.db2.gz HBENHGBXZRQWFN-UHFFFAOYSA-N -1 1 303.362 1.380 20 0 DDADMM CCS(=O)(=O)CCNCc1ccc(O[C@@H](C)C(=O)[O-])cc1 ZINC001350621648 875789302 /nfs/dbraw/zinc/78/93/02/875789302.db2.gz NXGNFNFGJYVQQX-NSHDSACASA-N -1 1 315.391 1.063 20 0 DDADMM COc1ccc(Cl)cc1CNCCn1cc(C(=O)[O-])nn1 ZINC001350669025 875820494 /nfs/dbraw/zinc/82/04/94/875820494.db2.gz WKQZLDJWRHAAQT-UHFFFAOYSA-N -1 1 310.741 1.428 20 0 DDADMM Cc1cc(C)n(Cc2cccc(C(=O)N(C)c3nn[n-]n3)c2)n1 ZINC001350818682 875906831 /nfs/dbraw/zinc/90/68/31/875906831.db2.gz MSBLRGDDPWSRMR-UHFFFAOYSA-N -1 1 311.349 1.338 20 0 DDADMM Cc1ncsc1CN(C)c1nnc(-c2c[n-][nH]c2=O)n1C ZINC001350911068 875954128 /nfs/dbraw/zinc/95/41/28/875954128.db2.gz XINXUTVZAJEYFK-UHFFFAOYSA-N -1 1 305.367 1.312 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2ccc(F)nc2F)c(C)c1 ZINC001213660742 876014180 /nfs/dbraw/zinc/01/41/80/876014180.db2.gz FVCRCKHMUGGBAE-UHFFFAOYSA-N -1 1 313.329 1.850 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCCOC1CCCC1 ZINC001351100200 876057211 /nfs/dbraw/zinc/05/72/11/876057211.db2.gz FWEUTIVHIXZFPJ-UHFFFAOYSA-N -1 1 311.407 1.858 20 0 DDADMM COc1ccc(O[C@H](C)CNC(=O)c2ccncc2[O-])cc1 ZINC000177351154 876086521 /nfs/dbraw/zinc/08/65/21/876086521.db2.gz IQVRGAWKENQMID-LLVKDONJSA-N -1 1 302.330 1.993 20 0 DDADMM C[C@H](COc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)OCc1ccccc1 ZINC001227431028 883018066 /nfs/dbraw/zinc/01/80/66/883018066.db2.gz QZZUYYHKOSBBAD-SECBINFHSA-N -1 1 316.317 1.748 20 0 DDADMM COCCC[C@H](C)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC001351855740 876468250 /nfs/dbraw/zinc/46/82/50/876468250.db2.gz BHTHPMTYNSVKLN-RYUDHWBXSA-N -1 1 323.393 1.917 20 0 DDADMM Cc1ccc(C(=O)N[C@H](C)CN(C)C(=O)c2ncccc2[O-])o1 ZINC001381953324 883043905 /nfs/dbraw/zinc/04/39/05/883043905.db2.gz ZYSFQEPKUAOAMR-SNVBAGLBSA-N -1 1 317.345 1.579 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCC[C@H]1c1cccs1 ZINC001352345782 876700427 /nfs/dbraw/zinc/70/04/27/876700427.db2.gz KXXZYIDOXGTRDI-VIFPVBQESA-N -1 1 316.394 1.881 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCC[C@H]1c1cccs1 ZINC001352345782 876700439 /nfs/dbraw/zinc/70/04/39/876700439.db2.gz KXXZYIDOXGTRDI-VIFPVBQESA-N -1 1 316.394 1.881 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H](Oc3nc(=O)[nH]c(=O)[n-]3)[C@H]1C2 ZINC001227570255 883084387 /nfs/dbraw/zinc/08/43/87/883084387.db2.gz OWBNWAIIHWTRHR-DJLDLDEBSA-N -1 1 324.337 1.060 20 0 DDADMM COC(=O)c1cccc2[n-]c(O[C@@H]3CCNCC3(F)F)nc21 ZINC001218011121 877370300 /nfs/dbraw/zinc/37/03/00/877370300.db2.gz FOOGUMVPFHUNDK-SNVBAGLBSA-N -1 1 311.288 1.726 20 0 DDADMM O=C([O-])/C=C/c1ccc(CN2CCC[C@H](n3ccnn3)C2)o1 ZINC001353923642 877648577 /nfs/dbraw/zinc/64/85/77/877648577.db2.gz ZVHQGWRLLLJSGD-FYJFLYSWSA-N -1 1 302.334 1.806 20 0 DDADMM Cc1cccc(OC[C@H](O)CN(Cc2n[nH]c(=O)[n-]2)C(C)C)c1 ZINC001413965110 878484892 /nfs/dbraw/zinc/48/48/92/878484892.db2.gz PPEBDRVATGATNR-CYBMUJFWSA-N -1 1 320.393 1.469 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1(C2CC2)CC1 ZINC001380068184 878709100 /nfs/dbraw/zinc/70/91/00/878709100.db2.gz CLSPDKUUYJMVPZ-LLVKDONJSA-N -1 1 321.425 1.321 20 0 DDADMM CC[C@H](CNC(=O)C=C1CCC1)NC(=O)c1ncccc1[O-] ZINC001356878147 879523640 /nfs/dbraw/zinc/52/36/40/879523640.db2.gz OWMDAMUCNXSETG-GFCCVEGCSA-N -1 1 303.362 1.522 20 0 DDADMM CCc1ccc(N2CCN(C(=O)c3cnncc3[O-])CC2)cc1 ZINC001358006222 880288415 /nfs/dbraw/zinc/28/84/15/880288415.db2.gz KWVBTSTYDGJFSE-UHFFFAOYSA-N -1 1 312.373 1.707 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](F)[C@H](OCc2nn[n-]n2)CC1 ZINC001223030176 880791304 /nfs/dbraw/zinc/79/13/04/880791304.db2.gz ULSGAGYTKAFOKW-NXEZZACHSA-N -1 1 315.349 1.454 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CCC1CC1 ZINC001416211388 881005499 /nfs/dbraw/zinc/00/54/99/881005499.db2.gz VKWNYAGMHYPUDA-LBPRGKRZSA-N -1 1 305.378 1.602 20 0 DDADMM CCCC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1C[C@H]1C ZINC001381096369 881011762 /nfs/dbraw/zinc/01/17/62/881011762.db2.gz YCYRAUADXQRCHJ-JHJVBQTASA-N -1 1 319.405 1.848 20 0 DDADMM COCCOCN1CC2(C1)CN(Cc1ccc([S-])cc1)C2 ZINC001276910963 881054913 /nfs/dbraw/zinc/05/49/13/881054913.db2.gz GTURQRZZRVCCAY-UHFFFAOYSA-N -1 1 308.447 1.713 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1cccc2c1OCCCO2 ZINC001362226483 883350635 /nfs/dbraw/zinc/35/06/35/883350635.db2.gz AUGBPVLDJNRUMU-UHFFFAOYSA-N -1 1 317.349 1.000 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](O)c2ccccc2C)c(=O)[n-]1 ZINC001362228059 883354185 /nfs/dbraw/zinc/35/41/85/883354185.db2.gz NWAPGKOCDNUKOO-GFCCVEGCSA-N -1 1 319.386 1.676 20 0 DDADMM COC(=O)CCc1csc(NC(=O)c2ccc([O-])cn2)n1 ZINC001362261107 883430664 /nfs/dbraw/zinc/43/06/64/883430664.db2.gz NXQUOZGGEUIKKT-UHFFFAOYSA-N -1 1 307.331 1.602 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H](Oc2cnnc(=S)[n-]2)CC1=O ZINC001228642896 883589939 /nfs/dbraw/zinc/58/99/39/883589939.db2.gz VVICJRUFTBDPDS-SSDOTTSWSA-N -1 1 312.351 1.075 20 0 DDADMM Cc1cc(F)c(Br)cc1C(=O)Nc1nnn[n-]1 ZINC001362337106 883596233 /nfs/dbraw/zinc/59/62/33/883596233.db2.gz KIHZZUAXTIXORN-UHFFFAOYSA-N -1 1 300.091 1.662 20 0 DDADMM Cc1cc(F)c(Br)cc1C(=O)Nc1nn[n-]n1 ZINC001362337106 883596244 /nfs/dbraw/zinc/59/62/44/883596244.db2.gz KIHZZUAXTIXORN-UHFFFAOYSA-N -1 1 300.091 1.662 20 0 DDADMM COc1c(Br)ccc(F)c1C(=O)Nc1nnn[n-]1 ZINC001362342677 883605876 /nfs/dbraw/zinc/60/58/76/883605876.db2.gz YVHOMSPCZIEZHJ-UHFFFAOYSA-N -1 1 316.090 1.362 20 0 DDADMM COc1c(Br)ccc(F)c1C(=O)Nc1nn[n-]n1 ZINC001362342677 883605881 /nfs/dbraw/zinc/60/58/81/883605881.db2.gz YVHOMSPCZIEZHJ-UHFFFAOYSA-N -1 1 316.090 1.362 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC[S@@]1=O ZINC001362356750 883637104 /nfs/dbraw/zinc/63/71/04/883637104.db2.gz RXPVIKWGCMXWIS-BTKVJGODSA-N -1 1 309.391 1.043 20 0 DDADMM CC[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)[C@H]1C ZINC001362369085 883661046 /nfs/dbraw/zinc/66/10/46/883661046.db2.gz PTRSVFISOYTZFM-UWVGGRQHSA-N -1 1 300.380 1.448 20 0 DDADMM Cn1nccc1C1=C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc([O-])cn1 ZINC001362372677 883666268 /nfs/dbraw/zinc/66/62/68/883666268.db2.gz FNZMQJXOSCUZON-OLZOCXBDSA-N -1 1 310.357 1.981 20 0 DDADMM CCC[C@H](NC(=O)c1[nH]nc(C(F)(F)F)c1C)c1nn[n-]n1 ZINC001362391800 883703857 /nfs/dbraw/zinc/70/38/57/883703857.db2.gz ICZZCBBHUSNMJR-LURJTMIESA-N -1 1 317.275 1.521 20 0 DDADMM CC(C)(C)Oc1ccc(CNC(=O)CCCc2nn[n-]n2)cn1 ZINC001362393081 883704897 /nfs/dbraw/zinc/70/48/97/883704897.db2.gz ISCMPJZKNHZMLA-UHFFFAOYSA-N -1 1 318.381 1.411 20 0 DDADMM CC(C)(C)OC(=O)N1CC(CNC(=O)c2ccc([O-])cn2)C1 ZINC001362406132 883739849 /nfs/dbraw/zinc/73/98/49/883739849.db2.gz ANOPAEHKXSFRHJ-UHFFFAOYSA-N -1 1 307.350 1.384 20 0 DDADMM CC[C@@H](C)c1nnc([C@@H](C)NC(=O)CCCc2nn[n-]n2)[nH]1 ZINC001362422090 883772660 /nfs/dbraw/zinc/77/26/60/883772660.db2.gz DNBDYUWSWPVJFJ-RKDXNWHRSA-N -1 1 306.374 1.031 20 0 DDADMM Cc1cc(CCC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)no1 ZINC001362432512 883796048 /nfs/dbraw/zinc/79/60/48/883796048.db2.gz DYLQFMOVTIUJJI-JTQLQIEISA-N -1 1 306.322 1.410 20 0 DDADMM O=C(N[C@@H]1CCCC[C@@H]1N1CCOCC1)c1ccc([O-])cn1 ZINC001362442800 883821995 /nfs/dbraw/zinc/82/19/95/883821995.db2.gz TXDSJKUGOVGFCK-HIFRSBDPSA-N -1 1 305.378 1.160 20 0 DDADMM CCc1ccc2c(c1)[C@H](NC(=O)CCc1nn[n-]n1)CCO2 ZINC001362503818 883958147 /nfs/dbraw/zinc/95/81/47/883958147.db2.gz LHERIBSEIDASMX-GFCCVEGCSA-N -1 1 301.350 1.335 20 0 DDADMM Cc1cc(NC(=O)c2ccc([O-])cn2)n(C2CCOCC2)n1 ZINC001362510842 883972949 /nfs/dbraw/zinc/97/29/49/883972949.db2.gz RKQNRZMNTPAXSH-UHFFFAOYSA-N -1 1 302.334 1.896 20 0 DDADMM Cc1cccnc1NC(=O)CCNC(=O)c1ccc([O-])cn1 ZINC001362536230 884030927 /nfs/dbraw/zinc/03/09/27/884030927.db2.gz TWVDBLYLFMHMFX-UHFFFAOYSA-N -1 1 300.318 1.249 20 0 DDADMM O=C(NC[C@H]1CCCS(=O)(=O)C1)c1cccc([O-])c1F ZINC001362675650 884385303 /nfs/dbraw/zinc/38/53/03/884385303.db2.gz YGSGBIJOKDHFTE-SECBINFHSA-N -1 1 301.339 1.086 20 0 DDADMM CCOC(=O)[C@@H](Oc1nc(C(=O)OC)c[n-]1)c1ccccc1 ZINC001230280371 884405135 /nfs/dbraw/zinc/40/51/35/884405135.db2.gz RGFAVSLFNKLCDY-LBPRGKRZSA-N -1 1 304.302 1.880 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](CO)c1ccccn1 ZINC001362746565 884538141 /nfs/dbraw/zinc/53/81/41/884538141.db2.gz IAJQCWIQBBQSIE-GFCCVEGCSA-N -1 1 316.361 1.472 20 0 DDADMM COC(=O)[C@@H](Cc1ccncc1)NC(=O)c1cccc([O-])c1F ZINC001362747157 884540073 /nfs/dbraw/zinc/54/00/73/884540073.db2.gz LVSFBUJCSOZPBU-GFCCVEGCSA-N -1 1 318.304 1.440 20 0 DDADMM O=C(NC1CC1)OC[C@@H]1CCCCN1C(=O)c1cnncc1O ZINC001362799786 884672852 /nfs/dbraw/zinc/67/28/52/884672852.db2.gz QSPXZQIUZYVUGD-NSHDSACASA-N -1 1 320.349 1.066 20 0 DDADMM O=C(NC1CC1)OC[C@@H]1CCCCN1C(=O)c1cnncc1[O-] ZINC001362799786 884672859 /nfs/dbraw/zinc/67/28/59/884672859.db2.gz QSPXZQIUZYVUGD-NSHDSACASA-N -1 1 320.349 1.066 20 0 DDADMM CCCC(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001382842573 884789416 /nfs/dbraw/zinc/78/94/16/884789416.db2.gz MPUXAWZZCVSNQJ-NEPJUHHUSA-N -1 1 305.378 1.697 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC001362963570 885099455 /nfs/dbraw/zinc/09/94/55/885099455.db2.gz UERYTMFVNXZWQV-LLVKDONJSA-N -1 1 306.337 1.616 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Cc2ccc(O)cc2)[n-]c1=O ZINC001362967937 885110536 /nfs/dbraw/zinc/11/05/36/885110536.db2.gz ANZXMDOJZBYTEF-UHFFFAOYSA-N -1 1 317.345 1.490 20 0 DDADMM C[C@H]1C[C@H](C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)CO1 ZINC001362971626 885116528 /nfs/dbraw/zinc/11/65/28/885116528.db2.gz PKUHOMIHAOIIQI-NAKRPEOUSA-N -1 1 322.327 1.469 20 0 DDADMM COC(=O)c1ccccc1CCNC(=O)c1ccc([O-])cn1 ZINC001362983719 885144238 /nfs/dbraw/zinc/14/42/38/885144238.db2.gz BXVCHDXWMWVOFS-UHFFFAOYSA-N -1 1 300.314 1.546 20 0 DDADMM O=C([C@H]1CC12CCSCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362996166 885182836 /nfs/dbraw/zinc/18/28/36/885182836.db2.gz ZRRBORHCZPBHPN-WDEREUQCSA-N -1 1 307.423 1.439 20 0 DDADMM CSCC[C@@H](NC(=O)c1ccco1)C(=O)Nc1c[n-][nH]c1=O ZINC001363129995 885538403 /nfs/dbraw/zinc/53/84/03/885538403.db2.gz UMXPXZPZEOANPV-MRVPVSSYSA-N -1 1 324.362 1.199 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CC(=O)N(c2ccccc2Cl)C1 ZINC001363129716 885538528 /nfs/dbraw/zinc/53/85/28/885538528.db2.gz RRVXZWAACULBPY-QMMMGPOBSA-N -1 1 320.736 1.760 20 0 DDADMM CCC(CC)(NC(=O)c1cccc(SC)n1)c1nn[n-]n1 ZINC001363134791 885550429 /nfs/dbraw/zinc/55/04/29/885550429.db2.gz FILPJKHLEILAFX-UHFFFAOYSA-N -1 1 306.395 1.762 20 0 DDADMM CS(=O)(=O)c1cc(NC(=O)c2ccc([O-])cn2)ccc1F ZINC001363205150 885709706 /nfs/dbraw/zinc/70/97/06/885709706.db2.gz BPJQCKJGLUHWCT-UHFFFAOYSA-N -1 1 310.306 1.582 20 0 DDADMM [O-]c1cc(CN2CCN(c3ncncn3)CC2)ccc1Cl ZINC001231735297 885841580 /nfs/dbraw/zinc/84/15/80/885841580.db2.gz LYXFHIJPFQNBSI-UHFFFAOYSA-N -1 1 305.769 1.553 20 0 DDADMM COc1ccc(CN2CCC[C@H](n3ccnn3)C2)cc1C(=O)[O-] ZINC001231755962 885859331 /nfs/dbraw/zinc/85/93/31/885859331.db2.gz FXKPTOIMYQJNHP-ZDUSSCGKSA-N -1 1 316.361 1.822 20 0 DDADMM O=C([O-])COc1ccccc1CN1CCC[C@@H](n2cncn2)C1 ZINC001231792797 885877267 /nfs/dbraw/zinc/87/72/67/885877267.db2.gz JTDBRWWJLNNAGR-CQSZACIVSA-N -1 1 316.361 1.579 20 0 DDADMM O=C(C[C@@H]1CCC2(CCC2)CO1)NCc1nc([O-])cc(=O)[nH]1 ZINC001363326859 886030466 /nfs/dbraw/zinc/03/04/66/886030466.db2.gz LJOPZMVDYUVTKX-JTQLQIEISA-N -1 1 307.350 1.243 20 0 DDADMM Cn1cc([C@H]2C[C@@H](NC(=O)c3ccc([O-])cn3)CCO2)cn1 ZINC001363344552 886085975 /nfs/dbraw/zinc/08/59/75/886085975.db2.gz MDLIETSHWZGZRI-SMDDNHRTSA-N -1 1 302.334 1.171 20 0 DDADMM CCS(=O)(=O)Cc1ccc(NC(=O)c2ccc([O-])cn2)cc1 ZINC001363348493 886094045 /nfs/dbraw/zinc/09/40/45/886094045.db2.gz FDEMZIGXBPDBQC-UHFFFAOYSA-N -1 1 320.370 1.974 20 0 DDADMM CC[C@H]1CN(C(=O)c2cnc(SC)[n-]c2=O)C[C@H](CC)O1 ZINC001363448217 886363934 /nfs/dbraw/zinc/36/39/34/886363934.db2.gz AIPFLRQBMJQLCR-UWVGGRQHSA-N -1 1 311.407 1.934 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)[C@@H](C)C1CC1 ZINC001383714352 886385790 /nfs/dbraw/zinc/38/57/90/886385790.db2.gz JGXYPJWBORTCHS-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@H]2OC(C)(C)C)n[n-]1 ZINC001363477532 886444631 /nfs/dbraw/zinc/44/46/31/886444631.db2.gz YQHHVAAPAFZJQZ-IVZWLZJFSA-N -1 1 324.381 1.362 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@H]2OC(C)(C)C)[n-]1 ZINC001363477532 886444634 /nfs/dbraw/zinc/44/46/34/886444634.db2.gz YQHHVAAPAFZJQZ-IVZWLZJFSA-N -1 1 324.381 1.362 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@H]2OC(C)(C)C)n1 ZINC001363477532 886444641 /nfs/dbraw/zinc/44/46/41/886444641.db2.gz YQHHVAAPAFZJQZ-IVZWLZJFSA-N -1 1 324.381 1.362 20 0 DDADMM CC[C@H](C(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccc(OC)cc1 ZINC001363486923 886466387 /nfs/dbraw/zinc/46/63/87/886466387.db2.gz QLAAIWGAIPTSOM-LBPRGKRZSA-N -1 1 317.345 1.706 20 0 DDADMM CC[C@@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1c(C)noc1C ZINC001363518531 886536108 /nfs/dbraw/zinc/53/61/08/886536108.db2.gz GTTCYLHVWAAPAG-GFCCVEGCSA-N -1 1 318.381 1.704 20 0 DDADMM CC[C@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1c(C)noc1C ZINC001363518533 886536994 /nfs/dbraw/zinc/53/69/94/886536994.db2.gz GTTCYLHVWAAPAG-LBPRGKRZSA-N -1 1 318.381 1.704 20 0 DDADMM CC(C)(C)[C@H](NC(=O)CCN1CC[C@H](F)C1)c1nc(=O)o[n-]1 ZINC001363526759 886555974 /nfs/dbraw/zinc/55/59/74/886555974.db2.gz MCYYYUDZSRYXKG-GXSJLCMTSA-N -1 1 314.361 1.000 20 0 DDADMM COC[C@@H]1c2nnn(C)c2CCN1Cc1cccc([O-])c1Cl ZINC001232787008 886585541 /nfs/dbraw/zinc/58/55/41/886585541.db2.gz HIMSCMJOVFHWGO-GFCCVEGCSA-N -1 1 322.796 1.920 20 0 DDADMM C[S@](=O)(=NC(=O)CCCc1nn[n-]n1)c1ccc(F)cc1 ZINC001363580995 886687268 /nfs/dbraw/zinc/68/72/68/886687268.db2.gz PILKBHVXFPXFJP-OAQYLSRUSA-N -1 1 311.342 1.345 20 0 DDADMM COC[C@@H](NC(=O)COc1c(C)cc(C)cc1C)c1nn[n-]n1 ZINC001363584472 886695365 /nfs/dbraw/zinc/69/53/65/886695365.db2.gz FNTAXVWEIWOZRG-GFCCVEGCSA-N -1 1 319.365 1.008 20 0 DDADMM COC[C@H](NC(=O)[C@H](C)Cc1cccc(Cl)c1)c1nn[n-]n1 ZINC001363585007 886697564 /nfs/dbraw/zinc/69/75/64/886697564.db2.gz GGIWSCBLDUCZAT-SKDRFNHKSA-N -1 1 323.784 1.536 20 0 DDADMM [O-]c1cc(CN2CCN(c3cccnn3)CC2)cc(F)c1F ZINC001232973271 886700904 /nfs/dbraw/zinc/70/09/04/886700904.db2.gz RIMHHOPVLCYAKU-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM C[C@@H]1CN(C2CN(Cc3cc([O-])c(F)c(F)c3)C2)C[C@@H](C)O1 ZINC001232974739 886704524 /nfs/dbraw/zinc/70/45/24/886704524.db2.gz YKPGORQFHUQGDE-GHMZBOCLSA-N -1 1 312.360 1.964 20 0 DDADMM CC[C@H](C(=O)N[C@@H](COC)c1nn[n-]n1)c1ccc(OC)cc1 ZINC001363593356 886717757 /nfs/dbraw/zinc/71/77/57/886717757.db2.gz WJJTYJQIXFFWSP-STQMWFEESA-N -1 1 319.365 1.206 20 0 DDADMM O=C(NC1CCN(Cc2cc(Cl)ncc2[O-])CC1)C1CC1 ZINC001233037183 886742910 /nfs/dbraw/zinc/74/29/10/886742910.db2.gz ZGMFGTJJYYLTLB-UHFFFAOYSA-N -1 1 309.797 1.931 20 0 DDADMM COC(=O)c1cn2c(n1)CN(Cc1cc(Cl)ncc1[O-])CC2 ZINC001233039148 886746705 /nfs/dbraw/zinc/74/67/05/886746705.db2.gz NUDRVRKVYLZIIJ-UHFFFAOYSA-N -1 1 322.752 1.440 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@H]1CCC2(CCC2)O1 ZINC001363621381 886788761 /nfs/dbraw/zinc/78/87/61/886788761.db2.gz LNAPBOHVJFGAPO-SECBINFHSA-N -1 1 323.349 1.118 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@H]2CCC(=O)[C@@H](C)C2)[n-]c1=O ZINC001363631028 886817794 /nfs/dbraw/zinc/81/77/94/886817794.db2.gz NAJPMOWNCZDEIW-UWVGGRQHSA-N -1 1 321.377 1.547 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@@H]2CCC(=O)[C@@H](C)C2)[n-]c1=O ZINC001363631036 886818606 /nfs/dbraw/zinc/81/86/06/886818606.db2.gz NAJPMOWNCZDEIW-VHSXEESVSA-N -1 1 321.377 1.547 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)O1 ZINC001363651681 886887773 /nfs/dbraw/zinc/88/77/73/886887773.db2.gz IXZOUGLWVRMEDB-WDEREUQCSA-N -1 1 309.366 1.155 20 0 DDADMM COC(=O)c1cc2n(n1)CCC[C@@H]2NC(=O)c1ccc([O-])cn1 ZINC001363677466 886953958 /nfs/dbraw/zinc/95/39/58/886953958.db2.gz JCJHWZOSSPGYFV-JTQLQIEISA-N -1 1 316.317 1.035 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1CCC[C@H](C)C1 ZINC001363756389 887151709 /nfs/dbraw/zinc/15/17/09/887151709.db2.gz XGAQVVSDBFIAHN-UWVGGRQHSA-N -1 1 315.395 1.301 20 0 DDADMM O=C(c1cc(F)cc(F)c1[O-])N1CCC[C@@H](c2nc[nH]n2)C1 ZINC001363821496 887315147 /nfs/dbraw/zinc/31/51/47/887315147.db2.gz MMLABKXLEOEAKS-MRVPVSSYSA-N -1 1 308.288 1.808 20 0 DDADMM CCOCC(=O)N[C@H](CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001384351357 887318407 /nfs/dbraw/zinc/31/84/07/887318407.db2.gz YDTLBRNUDAFLDG-GFCCVEGCSA-N -1 1 323.393 1.084 20 0 DDADMM CSc1ncc(C(=O)N2CCOC[C@]2(C)C2CC2)c(=O)[n-]1 ZINC001363887839 887475983 /nfs/dbraw/zinc/47/59/83/887475983.db2.gz USMDLPGDLHDRAI-CQSZACIVSA-N -1 1 309.391 1.545 20 0 DDADMM COc1nscc1S(=O)(=O)N[C@H]1CC[N@H+](C(C)(C)C)C1 ZINC001364005611 887709116 /nfs/dbraw/zinc/70/91/16/887709116.db2.gz CPKZSPIOWPIJAF-VIFPVBQESA-N -1 1 319.452 1.303 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1ccno1)C(=O)OC(C)(C)C ZINC001364007592 887713268 /nfs/dbraw/zinc/71/32/68/887713268.db2.gz CVHFVSMBXKJUQX-JTQLQIEISA-N -1 1 304.368 1.214 20 0 DDADMM C[C@@H](C[NH+]1CCOCC1)Oc1ccc(OP(=O)([O-])[O-])cc1 ZINC001234341579 887882609 /nfs/dbraw/zinc/88/26/09/887882609.db2.gz ZWIMYHZPRKFLEL-NSHDSACASA-N -1 1 317.278 1.258 20 0 DDADMM COC(=O)C[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(C)(C)C ZINC001364113688 887950595 /nfs/dbraw/zinc/95/05/95/887950595.db2.gz HTBXISFMCTYTQA-QMMMGPOBSA-N -1 1 323.802 1.319 20 0 DDADMM COC[C@H](C)OC[C@@H](C)Oc1[n-]c(=O)c(F)cc1C(=O)OC ZINC001234606623 888136684 /nfs/dbraw/zinc/13/66/84/888136684.db2.gz HHQXCJKFYIGBLD-DTWKUNHWSA-N -1 1 317.313 1.532 20 0 DDADMM COC(=O)[C@]12C[C@H]1CCCN2C(=O)c1cnc(SC)[n-]c1=O ZINC001364215433 888158042 /nfs/dbraw/zinc/15/80/42/888158042.db2.gz HNKNWTFEBWCTLX-CLAHSXSESA-N -1 1 323.374 1.072 20 0 DDADMM CC[C@H](O)[C@H](C)C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001364322344 888377558 /nfs/dbraw/zinc/37/75/58/888377558.db2.gz SCPCFEGIXBONKS-QWRGUYRKSA-N -1 1 323.393 1.483 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cnns2)[n-]c1=O ZINC001364416302 888587474 /nfs/dbraw/zinc/58/74/74/888587474.db2.gz MZJIHYSTNAMAAC-SSDOTTSWSA-N -1 1 307.335 1.020 20 0 DDADMM CC(C)[C@@H](F)C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385250939 888734667 /nfs/dbraw/zinc/73/46/67/888734667.db2.gz BCXROUXAGAHMPB-WCQYABFASA-N -1 1 323.368 1.454 20 0 DDADMM CC(C)(C)OC(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001364516691 888824721 /nfs/dbraw/zinc/82/47/21/888824721.db2.gz MZGKAFJQILBDAI-SNVBAGLBSA-N -1 1 311.386 1.247 20 0 DDADMM Cc1nn(C)c(Cl)c1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001364652270 889124764 /nfs/dbraw/zinc/12/47/64/889124764.db2.gz SNVYELRMQMCDTE-UHFFFAOYSA-N -1 1 311.777 1.193 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC2CCSCC2)sn1 ZINC001364767659 889371966 /nfs/dbraw/zinc/37/19/66/889371966.db2.gz PUEKLJRVQATPNV-UHFFFAOYSA-N -1 1 308.450 1.573 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1CCOC[C@H]1C ZINC001364841965 889525186 /nfs/dbraw/zinc/52/51/86/889525186.db2.gz VWOYSLNMTOWNFW-BDAKNGLRSA-N -1 1 306.409 1.103 20 0 DDADMM COCC1(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)CCCC1 ZINC001364972571 889788121 /nfs/dbraw/zinc/78/81/21/889788121.db2.gz ANTLHQFEBHZTEV-UHFFFAOYSA-N -1 1 323.393 1.404 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C2([C@H]3CCCO3)CCC2)sn1 ZINC001364986982 889822883 /nfs/dbraw/zinc/82/28/83/889822883.db2.gz DNMJAUOKMAHBOS-SECBINFHSA-N -1 1 318.420 1.532 20 0 DDADMM CCC1(C(=O)N[C@H](CNC(=O)c2ncccc2[O-])C2CC2)CC1 ZINC001385865403 889888730 /nfs/dbraw/zinc/88/87/30/889888730.db2.gz LIDIAWHYFWVSRZ-GFCCVEGCSA-N -1 1 317.389 1.602 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@H](C)c1nn(C)cc1[O-])c1ccccc1 ZINC001365118801 890137648 /nfs/dbraw/zinc/13/76/48/890137648.db2.gz CTTDDLRXOOFJDE-MLGOLLRUSA-N -1 1 316.405 1.996 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)CC[C@H]2C)[n-]n1 ZINC001365143994 890189140 /nfs/dbraw/zinc/18/91/40/890189140.db2.gz RKZDOZDFJMJSFK-DTWKUNHWSA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)CC[C@H]2C)n[n-]1 ZINC001365143994 890189148 /nfs/dbraw/zinc/18/91/48/890189148.db2.gz RKZDOZDFJMJSFK-DTWKUNHWSA-N -1 1 301.368 1.005 20 0 DDADMM CS(C)(=O)=Nc1cccc(C(=O)Nc2ccncc2[O-])c1 ZINC001365185835 890289448 /nfs/dbraw/zinc/28/94/48/890289448.db2.gz HZXNHJWFPHQOLT-UHFFFAOYSA-N -1 1 305.359 1.821 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(C)CCCCC1 ZINC001386247054 890638341 /nfs/dbraw/zinc/63/83/41/890638341.db2.gz ZVMQEIBGIZXDMG-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CC(=O)Nc1nc2nc[nH]c2c(-c2ccc(F)c(C(=O)[O-])c2)n1 ZINC001241242989 891136855 /nfs/dbraw/zinc/13/68/55/891136855.db2.gz FNUJVGTZLGRMBO-UHFFFAOYSA-N -1 1 315.264 1.767 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)c2cc(C)cc(C)c2)[n-]n1 ZINC001365635041 891216887 /nfs/dbraw/zinc/21/68/87/891216887.db2.gz ANJQCSYUBYKNGC-UHFFFAOYSA-N -1 1 323.374 1.638 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)c2cc(C)cc(C)c2)n[n-]1 ZINC001365635041 891216904 /nfs/dbraw/zinc/21/69/04/891216904.db2.gz ANJQCSYUBYKNGC-UHFFFAOYSA-N -1 1 323.374 1.638 20 0 DDADMM Cc1ccsc1[C@H](CO)[N-]S(=O)(=O)c1ccns1 ZINC001365680080 891303684 /nfs/dbraw/zinc/30/36/84/891303684.db2.gz XWTPOINYGJWKBV-QMMMGPOBSA-N -1 1 304.418 1.525 20 0 DDADMM CCC[C@H](C)[C@H](CO)[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001365719354 891392782 /nfs/dbraw/zinc/39/27/82/891392782.db2.gz OXSAGOQSLSYUKK-IUCAKERBSA-N -1 1 308.425 1.227 20 0 DDADMM Cc1nc(S(C)(=O)=O)ncc1-c1cc(F)cc(F)c1[O-] ZINC001243505060 891670148 /nfs/dbraw/zinc/67/01/48/891670148.db2.gz ARLCQSLHUWJAKG-UHFFFAOYSA-N -1 1 300.286 1.839 20 0 DDADMM CC(C)=CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001292028137 913604338 /nfs/dbraw/zinc/60/43/38/913604338.db2.gz XHABWMDZOAFJTL-UPJWGTAASA-N -1 1 315.373 1.615 20 0 DDADMM CCOc1cnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nc1 ZINC001244790033 891924154 /nfs/dbraw/zinc/92/41/54/891924154.db2.gz OLMWRKUSAVLSBJ-UHFFFAOYSA-N -1 1 324.362 1.317 20 0 DDADMM NS(=O)(=O)c1cccc(-n2[n-]c(CC3CCCC3)cc2=O)c1 ZINC001245932105 892275419 /nfs/dbraw/zinc/27/54/19/892275419.db2.gz OUOJUSYOLMFSGD-UHFFFAOYSA-N -1 1 321.402 1.958 20 0 DDADMM CC[C@H](O)Cn1[n-]c(-c2ccc(N3CCOCC3)cc2)cc1=O ZINC001245942054 892281070 /nfs/dbraw/zinc/28/10/70/892281070.db2.gz GVQHZARQECPADY-HNNXBMFYSA-N -1 1 317.389 1.863 20 0 DDADMM C[S@](=O)CCCNC(=S)Nc1cc(Cl)ncc1[O-] ZINC001246401258 892602937 /nfs/dbraw/zinc/60/29/37/892602937.db2.gz ZVRNORDVUDXELR-SFHVURJKSA-N -1 1 307.828 1.496 20 0 DDADMM CCOc1cccc(NC(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC001366159110 892614130 /nfs/dbraw/zinc/61/41/30/892614130.db2.gz VLEOJURTUHOVCO-UHFFFAOYSA-N -1 1 317.353 1.405 20 0 DDADMM COC(=O)c1cc(Nc2cc(=O)[n-]c(N(C)C)n2)cc(OC)c1 ZINC001248496416 893644867 /nfs/dbraw/zinc/64/48/67/893644867.db2.gz VABHZSZSPGIRJT-UHFFFAOYSA-N -1 1 318.333 1.787 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1coc(C)n1 ZINC001388054054 894462390 /nfs/dbraw/zinc/46/23/90/894462390.db2.gz DBFCYPLVNQOGRF-JTQLQIEISA-N -1 1 318.333 1.022 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CC(C)(F)F ZINC001388055766 894466703 /nfs/dbraw/zinc/46/67/03/894466703.db2.gz KWROYDBTHKTETH-SECBINFHSA-N -1 1 315.320 1.457 20 0 DDADMM COc1cc2nc(C)sc2cc1NC(=O)CCc1nn[n-]n1 ZINC001292667155 913910832 /nfs/dbraw/zinc/91/08/32/913910832.db2.gz DQYZHUVEQSVFNP-UHFFFAOYSA-N -1 1 318.362 1.698 20 0 DDADMM CC(C)CCC(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001388385190 895120476 /nfs/dbraw/zinc/12/04/76/895120476.db2.gz COQABBWQGNKUNF-LBPRGKRZSA-N -1 1 307.394 1.706 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)C(C)C)c1C(=O)OC ZINC001252522731 895242360 /nfs/dbraw/zinc/24/23/60/895242360.db2.gz MPRBRQFNRVWGHY-UHFFFAOYSA-N -1 1 315.347 1.410 20 0 DDADMM CN(C)c1nc(NC[C@H](O)COC(C)(C)C)c(N=O)c(=O)[n-]1 ZINC001253445055 895848662 /nfs/dbraw/zinc/84/86/62/895848662.db2.gz CWNSAQQKALBMJJ-QMMMGPOBSA-N -1 1 313.358 1.234 20 0 DDADMM Cc1ccc(OC[C@H](O)CNc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001253843668 896139697 /nfs/dbraw/zinc/13/96/97/896139697.db2.gz SHNXVYTXTXBWOA-SNVBAGLBSA-N -1 1 315.333 1.170 20 0 DDADMM O=[P@]([O-])(O)CCCCNS(=O)(=O)c1ccccc1F ZINC001255522056 896983017 /nfs/dbraw/zinc/98/30/17/896983017.db2.gz RIMZSHSEEKYSMC-UHFFFAOYSA-N -1 1 311.271 1.062 20 0 DDADMM C[C@H](C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-])C1CC1 ZINC001389613219 897638413 /nfs/dbraw/zinc/63/84/13/897638413.db2.gz AHJSAOWYSJMQMU-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2cccc3c2CC(=O)NC3)c1 ZINC001256958621 897645184 /nfs/dbraw/zinc/64/51/84/897645184.db2.gz NJZTXDXFFZPCRC-UHFFFAOYSA-N -1 1 316.382 1.968 20 0 DDADMM Cc1cccc(S(=O)(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001256979408 897654144 /nfs/dbraw/zinc/65/41/44/897654144.db2.gz LCYJXXBZJFCRBL-UHFFFAOYSA-N -1 1 307.308 1.231 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CCC2(CC2)CC1 ZINC001367779126 897755146 /nfs/dbraw/zinc/75/51/46/897755146.db2.gz ZBVFECWIGSJCJW-JTQLQIEISA-N -1 1 307.398 1.075 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)CF)c1c(Cl)cccc1Cl ZINC001257794936 897949764 /nfs/dbraw/zinc/94/97/64/897949764.db2.gz IGIFOKJSYXFPBL-LURJTMIESA-N -1 1 302.154 1.602 20 0 DDADMM CCCCC(=O)[C@H](C)[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC001258466448 898203022 /nfs/dbraw/zinc/20/30/22/898203022.db2.gz YNEUBTBETHGOOV-QMMMGPOBSA-N -1 1 321.830 1.808 20 0 DDADMM O=S(=O)([N-]Cc1cncn1CCF)c1cc(F)ccc1F ZINC001258950867 898376967 /nfs/dbraw/zinc/37/69/67/898376967.db2.gz AWXVTFPCHKRPAS-UHFFFAOYSA-N -1 1 319.308 1.609 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1cc(Cl)c(Cl)cc1O ZINC001259025017 898421347 /nfs/dbraw/zinc/42/13/47/898421347.db2.gz MOGGOLIXJQHGLH-UHFFFAOYSA-N -1 1 314.146 1.614 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(CC(F)(F)F)cc1 ZINC001259025317 898424445 /nfs/dbraw/zinc/42/44/45/898424445.db2.gz ROEAPHZBCVLQRR-UHFFFAOYSA-N -1 1 311.281 1.706 20 0 DDADMM COc1ccnc(C[N-]S(=O)(=O)c2c(F)cccc2F)c1 ZINC001259071000 898447987 /nfs/dbraw/zinc/44/79/87/898447987.db2.gz VXHLEAMACUQWDA-UHFFFAOYSA-N -1 1 314.313 1.847 20 0 DDADMM Cc1ccnc(C[N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)n1 ZINC001259494769 898699494 /nfs/dbraw/zinc/69/94/94/898699494.db2.gz ZKNMEVGFQRLOCK-UHFFFAOYSA-N -1 1 317.292 1.681 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001299599239 898725649 /nfs/dbraw/zinc/72/56/49/898725649.db2.gz SEXXCIMMMCABTH-IXNMTGGESA-N -1 1 317.389 1.722 20 0 DDADMM COc1ncnc(Cl)c1[N-]S(=O)(=O)CCC(F)(F)F ZINC001259865794 898878950 /nfs/dbraw/zinc/87/89/50/898878950.db2.gz GRYDKEJHJOZLKX-UHFFFAOYSA-N -1 1 319.692 1.833 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)CCOC)c(C)c1 ZINC001259971044 898995090 /nfs/dbraw/zinc/99/50/90/898995090.db2.gz QZOOBYALILCUQK-UHFFFAOYSA-N -1 1 301.364 1.560 20 0 DDADMM CC(C)(F)C(=O)N1CC[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001390259058 899027836 /nfs/dbraw/zinc/02/78/36/899027836.db2.gz MQKNDZNIROWZMF-INIZCTEOSA-N -1 1 323.368 1.504 20 0 DDADMM O=C1NCCC[C@H]1[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260205869 899088584 /nfs/dbraw/zinc/08/85/84/899088584.db2.gz STEFHBGCHBCIEO-SECBINFHSA-N -1 1 324.736 1.175 20 0 DDADMM O=S(=O)([N-][C@H]1[C@@H]2COC[C@@H]21)c1cc(Cl)c(F)cc1F ZINC001260204737 899088914 /nfs/dbraw/zinc/08/89/14/899088914.db2.gz JDJZWGHIXKMXLR-ZVVJDGAVSA-N -1 1 309.721 1.541 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2ncc(F)s2)ccc1O ZINC001260393001 899134571 /nfs/dbraw/zinc/13/45/71/899134571.db2.gz GVPRZMVWCLHDCE-UHFFFAOYSA-N -1 1 318.307 1.487 20 0 DDADMM O=C(CNS(=O)(=O)Cc1ccccc1F)c1ccc([O-])cc1 ZINC001260631937 899189238 /nfs/dbraw/zinc/18/92/38/899189238.db2.gz UCBXFGQBAIOGHY-UHFFFAOYSA-N -1 1 323.345 1.834 20 0 DDADMM CCc1nnc([C@H](C)N[C@@H](C)CNC(=O)c2ncccc2[O-])o1 ZINC001390347279 899222168 /nfs/dbraw/zinc/22/21/68/899222168.db2.gz UZYNJHOBKMEWNQ-UWVGGRQHSA-N -1 1 319.365 1.202 20 0 DDADMM O=C1OCC=C1[N-]S(=O)(=O)Cc1ccc(Cl)c(Cl)c1 ZINC001260827355 899277778 /nfs/dbraw/zinc/27/77/78/899277778.db2.gz USUSPPXYMWKPRH-UHFFFAOYSA-N -1 1 322.169 1.854 20 0 DDADMM O=S(=O)([N-][C@H](c1ccccc1)C(F)(F)F)c1cn[nH]c1 ZINC001260962629 899304721 /nfs/dbraw/zinc/30/47/21/899304721.db2.gz BGWYTVJPYGQAOW-SNVBAGLBSA-N -1 1 305.281 1.992 20 0 DDADMM CC(C)c1ccoc1C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001390449207 899426748 /nfs/dbraw/zinc/42/67/48/899426748.db2.gz BIUQBLSPVGVNNU-VIFPVBQESA-N -1 1 307.354 1.135 20 0 DDADMM CCCC[C@@H](C)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001396743732 914368685 /nfs/dbraw/zinc/36/86/85/914368685.db2.gz QMTYNSHVWFQCGV-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H](c1ccc(Cl)cc1)N(C)c1nnc(-c2nnn[n-]2)n1C ZINC001262852579 900396192 /nfs/dbraw/zinc/39/61/92/900396192.db2.gz XINJDCPIJGMBBW-MRVPVSSYSA-N -1 1 318.772 1.846 20 0 DDADMM C[C@H](c1ccc(Cl)cc1)N(C)c1nnc(-c2nn[n-]n2)n1C ZINC001262852579 900396204 /nfs/dbraw/zinc/39/62/04/900396204.db2.gz XINJDCPIJGMBBW-MRVPVSSYSA-N -1 1 318.772 1.846 20 0 DDADMM CCOC[C@@H]1CCCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001263731988 900688812 /nfs/dbraw/zinc/68/88/12/900688812.db2.gz VBBDWFCCPKIDTD-SNVBAGLBSA-N -1 1 311.407 1.668 20 0 DDADMM COC(CNC(=O)c1ncccc1[O-])(OC)c1ccccn1 ZINC001263873601 900790645 /nfs/dbraw/zinc/79/06/45/900790645.db2.gz ZMLVXXUGRNSGDQ-UHFFFAOYSA-N -1 1 303.318 1.058 20 0 DDADMM CCCCC(=O)N1CCCC[C@H]1[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001369736243 901844429 /nfs/dbraw/zinc/84/44/29/901844429.db2.gz FVESYXUQCSGENT-RYUDHWBXSA-N -1 1 309.414 1.560 20 0 DDADMM CC(C)[C@@H](CNC(=O)CCC(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001392217574 903568500 /nfs/dbraw/zinc/56/85/00/903568500.db2.gz RBVOVAYFZXXJMQ-MRVPVSSYSA-N -1 1 323.319 1.083 20 0 DDADMM Cc1c(C(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)ccn1C(C)C ZINC001392502826 904290543 /nfs/dbraw/zinc/29/05/43/904290543.db2.gz JMJCRUQNYBWNSM-SNVBAGLBSA-N -1 1 320.397 1.109 20 0 DDADMM CCc1nc(C)c(CNC[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001392556336 904457034 /nfs/dbraw/zinc/45/70/34/904457034.db2.gz SJVHYBUFCVEUEY-QMMMGPOBSA-N -1 1 321.381 1.191 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CC(C)(C)C ZINC001375059641 914819168 /nfs/dbraw/zinc/81/91/68/914819168.db2.gz MJDJCRJAJISPTB-LLVKDONJSA-N -1 1 307.394 1.848 20 0 DDADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C1CCCCC1 ZINC001392757829 905160086 /nfs/dbraw/zinc/16/00/86/905160086.db2.gz BHHCPVPPRKVMSF-GFCCVEGCSA-N -1 1 307.398 1.075 20 0 DDADMM CC[C@@H](C)C(=O)NC[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001392815804 905334897 /nfs/dbraw/zinc/33/48/97/905334897.db2.gz JPDBMTANLHEJQT-ZJUUUORDSA-N -1 1 320.393 1.340 20 0 DDADMM CC[C@@]1(C(C)C)C[C@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282981399 906393377 /nfs/dbraw/zinc/39/33/77/906393377.db2.gz KUEZJCIKHCYLPD-SJCJKPOMSA-N -1 1 319.405 1.706 20 0 DDADMM CC1(C)CCCC[C@@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282982782 906396183 /nfs/dbraw/zinc/39/61/83/906396183.db2.gz AQRMUHMARLQHFP-GFCCVEGCSA-N -1 1 319.405 1.850 20 0 DDADMM CCCC1(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])CC1 ZINC001393460378 907050991 /nfs/dbraw/zinc/05/09/91/907050991.db2.gz GYTQZULVOBXYIO-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N(CCCNC(=O)c1ncccc1[O-])C1CC1 ZINC001283785516 907957554 /nfs/dbraw/zinc/95/75/54/907957554.db2.gz LPNNHYGEJJPMSB-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CSC[C@@H](C)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001393984270 908456403 /nfs/dbraw/zinc/45/64/03/908456403.db2.gz XNNHLUBASTUEPL-SNVBAGLBSA-N -1 1 323.418 1.069 20 0 DDADMM CCC(C)(C)C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC001394709121 910363821 /nfs/dbraw/zinc/36/38/21/910363821.db2.gz LBYHSPIBHVLATA-RYUDHWBXSA-N -1 1 323.441 1.014 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccccc1 ZINC001394813659 910637502 /nfs/dbraw/zinc/63/75/02/910637502.db2.gz KPNPNOAEJKQXEY-LBPRGKRZSA-N -1 1 317.393 1.445 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])on1 ZINC001394934402 910946281 /nfs/dbraw/zinc/94/62/81/910946281.db2.gz LBLCLEOUJJZXTB-UWVGGRQHSA-N -1 1 318.333 1.020 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C1CC(C)C1 ZINC001395072202 911298307 /nfs/dbraw/zinc/29/83/07/911298307.db2.gz ZYMUNXFLKYHMEY-CXQJBGSLSA-N -1 1 305.378 1.410 20 0 DDADMM CCN(CCNC(=O)CCC1(C)CC1)C(=O)c1ncccc1[O-] ZINC001373936963 911407181 /nfs/dbraw/zinc/40/71/81/911407181.db2.gz FSCZNZUCGLCMEL-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM C/C=C(\C)C(=O)NCCCN(CC)C(=O)c1ncccc1[O-] ZINC001294421149 915126626 /nfs/dbraw/zinc/12/66/26/915126626.db2.gz FHIYQJUGMXGICW-UUILKARUSA-N -1 1 305.378 1.722 20 0 DDADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C1CCCCCC1 ZINC001375206981 915256095 /nfs/dbraw/zinc/25/60/95/915256095.db2.gz OGAUNGFXRTWNNW-ZDUSSCGKSA-N -1 1 321.425 1.465 20 0 DDADMM CC(C)C(=O)Nc1ccc(OC(=O)CCCc2nn[n-]n2)cc1 ZINC001294915037 915458004 /nfs/dbraw/zinc/45/80/04/915458004.db2.gz ARDSZQZJUBVOSO-UHFFFAOYSA-N -1 1 317.349 1.723 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)CC=CCC1 ZINC001295469118 915823667 /nfs/dbraw/zinc/82/36/67/915823667.db2.gz HUVKMSSHLXOICE-PXAZEXFGSA-N -1 1 317.389 1.768 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)c1cnc[nH]1 ZINC001296143860 916252438 /nfs/dbraw/zinc/25/24/38/916252438.db2.gz XLZPCWHHPYCRCX-SNVBAGLBSA-N -1 1 321.703 1.235 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C12CCC(CC1)C2 ZINC001377885960 923330880 /nfs/dbraw/zinc/33/08/80/923330880.db2.gz NWIQBMFYELMGAY-ZNPWSYNXSA-N -1 1 307.398 1.073 20 0 DDADMM O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)c1ccc([O-])cc1F ZINC000181217590 199267148 /nfs/dbraw/zinc/26/71/48/199267148.db2.gz UNBFUSRPZLOOIM-SNVBAGLBSA-N -1 1 320.242 1.424 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CC[C@@H]3CC[C@H](C)O3)nc2n1 ZINC000622870859 365550132 /nfs/dbraw/zinc/55/01/32/365550132.db2.gz JQHXDHKSAXMUEX-UWVGGRQHSA-N -1 1 305.338 1.012 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H](C)CC3CCCC3)nc2n1 ZINC000622870742 365550360 /nfs/dbraw/zinc/55/03/60/365550360.db2.gz FIJDDHXAXPSRIJ-SECBINFHSA-N -1 1 303.366 1.881 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@]3(C)CC=C(C)CC3)nc2n1 ZINC000622870241 365550829 /nfs/dbraw/zinc/55/08/29/365550829.db2.gz VJTDDODATOQOFO-OAHLLOKOSA-N -1 1 301.350 1.801 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3c(C)coc3C)nc2n1 ZINC000622997999 365591792 /nfs/dbraw/zinc/59/17/92/365591792.db2.gz ULKIZPQBBDHIIV-UHFFFAOYSA-N -1 1 315.333 1.832 20 0 DDADMM CCc1c(C)[n-]n(-c2ccc(S(=O)(=O)NC3CC3)cn2)c1=O ZINC000614186578 361742087 /nfs/dbraw/zinc/74/20/87/361742087.db2.gz GRMRDNIOENNMCT-LBPRGKRZSA-N -1 1 322.390 1.271 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)Cc2c(F)cccc2F)no1 ZINC000163682120 197644925 /nfs/dbraw/zinc/64/49/25/197644925.db2.gz BORZPIPCRCMWLV-UHFFFAOYSA-N -1 1 303.290 1.276 20 0 DDADMM COC(=O)c1cnc(S[C@H](C)C(=O)Nc2ncccn2)[n-]1 ZINC000278778629 214394744 /nfs/dbraw/zinc/39/47/44/214394744.db2.gz YZANBEOXGBSFOK-SSDOTTSWSA-N -1 1 307.335 1.106 20 0 DDADMM COC(=O)c1c[n-]c(S[C@H](C)C(=O)Nc2ncccn2)n1 ZINC000278778629 214394747 /nfs/dbraw/zinc/39/47/47/214394747.db2.gz YZANBEOXGBSFOK-SSDOTTSWSA-N -1 1 307.335 1.106 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@]2(C)CCOC2)c1Br ZINC000448315371 529459968 /nfs/dbraw/zinc/45/99/68/529459968.db2.gz BFNKXAHMZDZTHY-LLVKDONJSA-N -1 1 302.172 1.522 20 0 DDADMM CC[C@@]1(C(C)C)C[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000457307956 529521006 /nfs/dbraw/zinc/52/10/06/529521006.db2.gz WFMZJXNOVPKPCZ-RISCZKNCSA-N -1 1 314.407 1.742 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C\c2ccccc2)c1 ZINC000254960110 282220928 /nfs/dbraw/zinc/22/09/28/282220928.db2.gz YVNUHLVZZPHQFP-TWGQIWQCSA-N -1 1 318.354 1.692 20 0 DDADMM Cc1nc(CNC(=O)c2n[nH]c3ccccc32)sc1C(=O)[O-] ZINC000315976779 539295592 /nfs/dbraw/zinc/29/55/92/539295592.db2.gz GIIKJUNTBLSQCY-UHFFFAOYSA-N -1 1 316.342 1.956 20 0 DDADMM O=C(Nc1nc(-c2ccc3ccccc3n2)n[nH]1)C1(O)CCC1 ZINC000615119945 362161603 /nfs/dbraw/zinc/16/16/03/362161603.db2.gz SOWXICRBNZBXIH-UHFFFAOYSA-N -1 1 309.329 1.873 20 0 DDADMM Cc1nn(CC2CC2)c(C)c1CC(=O)Nc1c(C)[n-][nH]c1=O ZINC000615229042 362204403 /nfs/dbraw/zinc/20/44/03/362204403.db2.gz NXQOSBOFAGRVQH-UHFFFAOYSA-N -1 1 303.366 1.828 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-][C@H](CC)[C@H](O)C(F)F)s1 ZINC000451708251 529759318 /nfs/dbraw/zinc/75/93/18/529759318.db2.gz JCFCYCKOQNEEIP-MUWHJKNJSA-N -1 1 314.379 1.388 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(Cl)s2)n[n-]1 ZINC000615916907 362484448 /nfs/dbraw/zinc/48/44/48/362484448.db2.gz HKWBQUPLAVHSQY-UHFFFAOYSA-N -1 1 314.754 1.626 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(Cl)s2)n1 ZINC000615916907 362484453 /nfs/dbraw/zinc/48/44/53/362484453.db2.gz HKWBQUPLAVHSQY-UHFFFAOYSA-N -1 1 314.754 1.626 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2C[C@H]2c2ccco2)o1 ZINC000412919629 530028510 /nfs/dbraw/zinc/02/85/10/530028510.db2.gz ACRMVMVCDQKEKL-RKDXNWHRSA-N -1 1 310.331 1.067 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1cc(F)cc2cccnc21 ZINC000158301773 290740300 /nfs/dbraw/zinc/74/03/00/290740300.db2.gz UVIRNGCXVIIKLK-UHFFFAOYSA-N -1 1 315.308 1.148 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(C)(C)C[C@@H](C)O)sc1C ZINC000330840497 232106709 /nfs/dbraw/zinc/10/67/09/232106709.db2.gz MEDRUUYYAOVMIN-MRVPVSSYSA-N -1 1 306.453 1.835 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCc3c[nH]nc3C2)c1Br ZINC000616006312 362518155 /nfs/dbraw/zinc/51/81/55/362518155.db2.gz JAUMPRYFYDZQFC-SSDOTTSWSA-N -1 1 324.182 1.369 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cnc3n2CCC3)c1Br ZINC000616011751 362520538 /nfs/dbraw/zinc/52/05/38/362520538.db2.gz SHKDOCQUNHHYBB-UHFFFAOYSA-N -1 1 310.155 1.298 20 0 DDADMM O=C(N[C@H](CO)[C@H]1CCCOC1)c1ncc2ccccc2c1[O-] ZINC000616284040 362616561 /nfs/dbraw/zinc/61/65/61/362616561.db2.gz AWYJIFQBQIFZFC-GXTWGEPZSA-N -1 1 316.357 1.458 20 0 DDADMM CC[C@H]1CO[C@@H](C)CN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358340549 299125521 /nfs/dbraw/zinc/12/55/21/299125521.db2.gz JAZYCMCPGLQVID-UWVGGRQHSA-N -1 1 304.350 1.313 20 0 DDADMM CCc1cnccc1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358348361 299128004 /nfs/dbraw/zinc/12/80/04/299128004.db2.gz FUDGUOLMZUOYIX-UHFFFAOYSA-N -1 1 311.345 1.561 20 0 DDADMM CCCN(CCC)C(=O)CCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000358373536 299133921 /nfs/dbraw/zinc/13/39/21/299133921.db2.gz CODZUIBJMDUULS-LBPRGKRZSA-N -1 1 324.429 1.190 20 0 DDADMM CO[C@@H](C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1)[C@H]1CCOC1 ZINC000616811139 362829826 /nfs/dbraw/zinc/82/98/26/362829826.db2.gz KPQPQEITIGDZPL-IINYFYTJSA-N -1 1 321.402 1.859 20 0 DDADMM C[C@H](CN(C)C(=O)CCCc1nc(C2CC2)no1)c1nn[n-]n1 ZINC000358393571 299141597 /nfs/dbraw/zinc/14/15/97/299141597.db2.gz ROJWRFJHBHOTRG-SECBINFHSA-N -1 1 319.369 1.045 20 0 DDADMM CC(C)C[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)C(=O)OC(C)C ZINC000358401948 299143472 /nfs/dbraw/zinc/14/34/72/299143472.db2.gz SKORLPCBPZTTHN-QWHCGFSZSA-N -1 1 321.439 1.451 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3[C@@H]4Cc5ccccc5[C@@H]43)ccnc1-2 ZINC000287175157 219359364 /nfs/dbraw/zinc/35/93/64/219359364.db2.gz NEQZSBHPHBBCDK-DUFJNAJTSA-N -1 1 319.368 1.802 20 0 DDADMM CCC(CC)N1C[C@@H](C(=O)[N-]OCc2cccnc2)CC1=O ZINC000155849151 186117354 /nfs/dbraw/zinc/11/73/54/186117354.db2.gz KUQMAAUEKMJGSX-ZDUSSCGKSA-N -1 1 305.378 1.667 20 0 DDADMM O=C([N-]N1C(=O)NC2(CCCCC2)C1=O)c1cc(C2CC2)[nH]n1 ZINC000030513251 352253562 /nfs/dbraw/zinc/25/35/62/352253562.db2.gz CPIXGIRIFPKVGC-UHFFFAOYSA-N -1 1 317.349 1.187 20 0 DDADMM CCC(C)(C)NC(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC000042106566 352329726 /nfs/dbraw/zinc/32/97/26/352329726.db2.gz HKTRLEDFCIFUCT-UHFFFAOYSA-N -1 1 314.389 1.988 20 0 DDADMM COc1ccccc1NC(=O)CS(=O)(=O)c1ccc([O-])cc1 ZINC000063927473 352928338 /nfs/dbraw/zinc/92/83/38/352928338.db2.gz SOILJJLWADDERY-UHFFFAOYSA-N -1 1 321.354 1.813 20 0 DDADMM CN(CCN1CCOCC1)C(=O)c1cc2ccccc2cc1[O-] ZINC000066118206 352978337 /nfs/dbraw/zinc/97/83/37/352978337.db2.gz XCSJAEOHJKDJGU-UHFFFAOYSA-N -1 1 314.385 1.950 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1ccn(C)n1 ZINC000065841609 352965540 /nfs/dbraw/zinc/96/55/40/352965540.db2.gz PGVPNOIGJZYEEQ-UHFFFAOYSA-N -1 1 308.363 1.434 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@H](C)COCC(F)(F)F)n1 ZINC000067720526 353065136 /nfs/dbraw/zinc/06/51/36/353065136.db2.gz MDXFXJYUHPCEHU-SSDOTTSWSA-N -1 1 323.275 1.079 20 0 DDADMM Cn1c(=S)[n-]nc1C1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC000068429157 353111083 /nfs/dbraw/zinc/11/10/83/353111083.db2.gz RMZXITKWOVCMGJ-UHFFFAOYSA-N -1 1 319.390 1.186 20 0 DDADMM COc1ccc(F)c([N-]S(=O)(=O)c2cc(C)cnc2N)c1 ZINC000358569362 299200590 /nfs/dbraw/zinc/20/05/90/299200590.db2.gz WFKFJDPGGDNGHI-UHFFFAOYSA-N -1 1 311.338 1.921 20 0 DDADMM CCC[C@@H]1C[C@@H]1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000080285559 353600600 /nfs/dbraw/zinc/60/06/00/353600600.db2.gz XPDMZWVYWSGZAV-NEPJUHHUSA-N -1 1 302.378 1.692 20 0 DDADMM CN(CCOCC1CC1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000088563841 353757201 /nfs/dbraw/zinc/75/72/01/353757201.db2.gz NNDDUAAWUWCBLV-UHFFFAOYSA-N -1 1 301.346 1.834 20 0 DDADMM CN(CCCc1cc(-c2ccccc2)n[nH]1)Cc1n[nH]c(=O)[n-]1 ZINC000091553276 353829486 /nfs/dbraw/zinc/82/94/86/353829486.db2.gz MJAMMUJBAYUSFG-UHFFFAOYSA-N -1 1 312.377 1.553 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCCC[C@H]2O)o1 ZINC000358634269 299210231 /nfs/dbraw/zinc/21/02/31/299210231.db2.gz GEYMKQQSMZTXIF-NXEZZACHSA-N -1 1 317.363 1.038 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)C[C@H]1CCC1(F)F ZINC000601300045 358485688 /nfs/dbraw/zinc/48/56/88/358485688.db2.gz UWPFPRDHCMGJJD-NXEZZACHSA-N -1 1 313.366 1.539 20 0 DDADMM COC[C@@H](CNC(=O)c1cnc2nc(C)ccc2c1[O-])OC ZINC000358657914 299215113 /nfs/dbraw/zinc/21/51/13/299215113.db2.gz ZVGXUQRUCHMXKV-SNVBAGLBSA-N -1 1 305.334 1.035 20 0 DDADMM COC[C@@H](CNC(=O)c1c[n-]c2nc(C)ccc2c1=O)OC ZINC000358657914 299215117 /nfs/dbraw/zinc/21/51/17/299215117.db2.gz ZVGXUQRUCHMXKV-SNVBAGLBSA-N -1 1 305.334 1.035 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000316413954 354502751 /nfs/dbraw/zinc/50/27/51/354502751.db2.gz OKKOCFDLKDRLIR-MRXNPFEDSA-N -1 1 300.314 1.877 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)COc3ccccc3C)nc2n1 ZINC000588006268 354892845 /nfs/dbraw/zinc/89/28/45/354892845.db2.gz AHJOJBAUCPKEMP-UHFFFAOYSA-N -1 1 313.317 1.052 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)C[C@@H](OC)C(C)C)C(=O)OC ZINC000592068049 355481556 /nfs/dbraw/zinc/48/15/56/355481556.db2.gz HBECAGPUUHUOIB-RWMBFGLXSA-N -1 1 323.455 1.555 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@H]2CC(=O)OC(C)(C)C)nc1=O ZINC000593094803 355793922 /nfs/dbraw/zinc/79/39/22/355793922.db2.gz IESVGBAXUFKWDZ-NSHDSACASA-N -1 1 310.398 1.195 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COCc2cc(C)no2)n1 ZINC000593902112 356048749 /nfs/dbraw/zinc/04/87/49/356048749.db2.gz ZWWWGQOONUUZAP-UHFFFAOYSA-N -1 1 308.294 1.038 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COCc2ncc(C)o2)n1 ZINC000593901748 356048906 /nfs/dbraw/zinc/04/89/06/356048906.db2.gz RQFYKPLGPSJMSS-UHFFFAOYSA-N -1 1 308.294 1.038 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCc3cccnc32)n1 ZINC000593901892 356048933 /nfs/dbraw/zinc/04/89/33/356048933.db2.gz WWHMAGMBMLVGBO-JTQLQIEISA-N -1 1 300.318 1.650 20 0 DDADMM CCC[C@H](C(=O)OCC)n1ccc([N-]S(=O)(=O)N(C)C)n1 ZINC000594699674 356289415 /nfs/dbraw/zinc/28/94/15/356289415.db2.gz AJEUHNYSZJMCMW-SNVBAGLBSA-N -1 1 318.399 1.006 20 0 DDADMM FC(F)(F)c1nc(=NCCCOC2CCOCC2)s[n-]1 ZINC000347056085 283161688 /nfs/dbraw/zinc/16/16/88/283161688.db2.gz RBLMYJUKROZEHC-UHFFFAOYSA-N -1 1 311.329 1.976 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)C(C)(C)O ZINC000595336000 356453318 /nfs/dbraw/zinc/45/33/18/356453318.db2.gz VWTWSZHIGKXBCF-VIFPVBQESA-N -1 1 319.379 1.202 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](C)c2cccnc2)cc1C ZINC000595299808 356439465 /nfs/dbraw/zinc/43/94/65/356439465.db2.gz DRFFQMYYPWOACF-JTQLQIEISA-N -1 1 324.358 1.809 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2nccs2)cc1C ZINC000595313818 356445329 /nfs/dbraw/zinc/44/53/29/356445329.db2.gz ZSIQCBBZVMWKAT-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CCOC(=O)CCCS(=O)(=O)[N-]CC(F)(F)C(C)(C)C ZINC000595383948 356473880 /nfs/dbraw/zinc/47/38/80/356473880.db2.gz RSUFEFIDEHRNNN-UHFFFAOYSA-N -1 1 315.382 1.931 20 0 DDADMM C[C@@H](CCNC(=O)c1ncc2ccccc2c1[O-])[S@](C)=O ZINC000597397097 357163618 /nfs/dbraw/zinc/16/36/18/357163618.db2.gz PBVLPCVSEBPEPC-CWKPULSASA-N -1 1 306.387 1.827 20 0 DDADMM C[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@H](C)S1=O ZINC000597560320 357228018 /nfs/dbraw/zinc/22/80/18/357228018.db2.gz YFKFAPKTIJIMQI-QWRGUYRKSA-N -1 1 318.398 1.922 20 0 DDADMM CCOC(=O)[C@@H](CC)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000597731162 357307640 /nfs/dbraw/zinc/30/76/40/357307640.db2.gz FQZBZIISPDECDD-ZETCQYMHSA-N -1 1 318.171 1.430 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2cc(Cl)ccc2[O-])CS1(=O)=O ZINC000618314251 363579886 /nfs/dbraw/zinc/57/98/86/363579886.db2.gz GCJLKUSRTBDWCS-WPRPVWTQSA-N -1 1 317.794 1.741 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cn2cc(C3CC3)cn2)n1 ZINC000598728772 357705855 /nfs/dbraw/zinc/70/58/55/357705855.db2.gz MZGKXNWYOGFMJK-UHFFFAOYSA-N -1 1 303.322 1.299 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ccc([O-])cc2F)CS1(=O)=O ZINC000618324224 363587737 /nfs/dbraw/zinc/58/77/37/363587737.db2.gz RZNJFIBOUYDTEH-RKDXNWHRSA-N -1 1 301.339 1.227 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]CC2(C)CC2)c1F ZINC000599248141 357861153 /nfs/dbraw/zinc/86/11/53/357861153.db2.gz IUKABXDPFOXLOY-UHFFFAOYSA-N -1 1 319.329 1.830 20 0 DDADMM Cc1oc(-c2cccs2)nc1CC(=O)NCc1nn[n-]n1 ZINC000599334282 357897703 /nfs/dbraw/zinc/89/77/03/357897703.db2.gz JTVYWHGOWQLOKV-UHFFFAOYSA-N -1 1 304.335 1.084 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)Cc2c(F)cccc2F)on1 ZINC000179524244 199050927 /nfs/dbraw/zinc/05/09/27/199050927.db2.gz VAYHBHJMPNWZPA-UHFFFAOYSA-N -1 1 302.302 1.881 20 0 DDADMM C[C@H](Oc1ccc(C(=O)NCc2nn[n-]n2)cn1)c1ccccc1 ZINC000600506447 358243116 /nfs/dbraw/zinc/24/31/16/358243116.db2.gz XTJCKSSVPFBEEM-NSHDSACASA-N -1 1 324.344 1.665 20 0 DDADMM CSCC1(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)CC1 ZINC000600868620 358332792 /nfs/dbraw/zinc/33/27/92/358332792.db2.gz IJNYAKPABBELOZ-LBPRGKRZSA-N -1 1 314.451 1.527 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)N1CCCCC1)c1cccs1 ZINC000348108827 283372531 /nfs/dbraw/zinc/37/25/31/283372531.db2.gz KPMPVBMRKQTHJV-NSHDSACASA-N -1 1 318.420 1.283 20 0 DDADMM CC[C@@H](C)C[C@H]([N-]S(=O)(=O)CC1(OC)CCC1)C(=O)OC ZINC000601451449 358559344 /nfs/dbraw/zinc/55/93/44/358559344.db2.gz BRNFYDKABWBRRJ-NEPJUHHUSA-N -1 1 321.439 1.453 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C2CCCCCC2)c1 ZINC000181034813 199243095 /nfs/dbraw/zinc/24/30/95/199243095.db2.gz ZJKIKRLLAFLMKI-UHFFFAOYSA-N -1 1 312.391 1.949 20 0 DDADMM C[C@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)CC[S@@](=O)C1 ZINC000601534300 358591055 /nfs/dbraw/zinc/59/10/55/358591055.db2.gz APUONVPSGBABHY-WVDJIFEKSA-N -1 1 315.822 1.815 20 0 DDADMM CCCNC(=O)[C@@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000181194112 199263311 /nfs/dbraw/zinc/26/33/11/199263311.db2.gz GBMMPKNMBMBQKX-LLVKDONJSA-N -1 1 308.353 1.910 20 0 DDADMM COC(=O)c1csc(CNC2(c3nnn[n-]3)CCCC2)c1 ZINC000602585795 359056870 /nfs/dbraw/zinc/05/68/70/359056870.db2.gz URIAMJPGVJAZBI-UHFFFAOYSA-N -1 1 307.379 1.607 20 0 DDADMM COC(=O)c1csc(CNC2(c3nn[n-]n3)CCCC2)c1 ZINC000602585795 359056875 /nfs/dbraw/zinc/05/68/75/359056875.db2.gz URIAMJPGVJAZBI-UHFFFAOYSA-N -1 1 307.379 1.607 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1[nH]nc2ccccc21 ZINC000618487445 363648933 /nfs/dbraw/zinc/64/89/33/363648933.db2.gz CSZOFQGVHZOCRO-ZDUSSCGKSA-N -1 1 319.328 1.595 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2cccc(C)c2)n[n-]1 ZINC000603164760 359448542 /nfs/dbraw/zinc/44/85/42/359448542.db2.gz KRPCSRTUTQWCIP-LLVKDONJSA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2cccc(C)c2)[n-]1 ZINC000603164760 359448547 /nfs/dbraw/zinc/44/85/47/359448547.db2.gz KRPCSRTUTQWCIP-LLVKDONJSA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2cccc(C)c2)n1 ZINC000603164760 359448552 /nfs/dbraw/zinc/44/85/52/359448552.db2.gz KRPCSRTUTQWCIP-LLVKDONJSA-N -1 1 316.361 1.710 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)C[C@]1(C)CC1(F)F ZINC000603275188 359534570 /nfs/dbraw/zinc/53/45/70/359534570.db2.gz QZTMSMBZLOMBHE-KOLCDFICSA-N -1 1 313.366 1.539 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@]1(C)CC1(F)F ZINC000603356954 359591195 /nfs/dbraw/zinc/59/11/95/359591195.db2.gz FFNORRUXAWZDNI-KOLCDFICSA-N -1 1 311.350 1.293 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)c1ccc(F)cc1F ZINC000281235937 216172882 /nfs/dbraw/zinc/17/28/82/216172882.db2.gz SLJLFFPWCGLWNG-ZCFIWIBFSA-N -1 1 317.317 1.883 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)C[C@@H]2CCOC2)c1 ZINC000611082995 360617794 /nfs/dbraw/zinc/61/77/94/360617794.db2.gz GBKOPKYHHWAFDU-ZJUUUORDSA-N -1 1 317.363 1.160 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccc(OC)cc1)c1nn[n-]n1 ZINC000612060276 360905712 /nfs/dbraw/zinc/90/57/12/360905712.db2.gz IZEXAIHHSYJPJR-BFHYXJOUSA-N -1 1 315.377 1.969 20 0 DDADMM O=C(NC[C@H]1CCCS1(=O)=O)c1ncc2ccccc2c1[O-] ZINC000612521668 361050342 /nfs/dbraw/zinc/05/03/42/361050342.db2.gz BUAKELRVNRIQQC-LLVKDONJSA-N -1 1 320.370 1.247 20 0 DDADMM CCC1(Cn2cc(C(=O)Nc3c([O-])cccc3F)nn2)COC1 ZINC000613029364 361246386 /nfs/dbraw/zinc/24/63/86/361246386.db2.gz QIOYFTCCPIWEBI-UHFFFAOYSA-N -1 1 320.324 1.802 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)[C@@H]3C[C@]34CCOC4)[nH]c21 ZINC000613113799 361272458 /nfs/dbraw/zinc/27/24/58/361272458.db2.gz AFGAWIAPQVSNHA-QFYYESIMSA-N -1 1 315.329 1.715 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]Cc1noc(C2CC2)n1)C1CC1 ZINC000625107935 366714950 /nfs/dbraw/zinc/71/49/50/366714950.db2.gz OWZAFESGSARXPD-NSHDSACASA-N -1 1 315.395 1.182 20 0 DDADMM O=C(N=c1ncn(Cc2ccccc2)[n-]1)c1[nH]nc2c1CCCC2 ZINC000618820329 363769457 /nfs/dbraw/zinc/76/94/57/363769457.db2.gz FSBHEEBIMWHUJN-UHFFFAOYSA-N -1 1 322.372 1.603 20 0 DDADMM CCN(Cc1cn(C)nn1)C(=O)c1ncc2ccccc2c1[O-] ZINC000613393377 361402933 /nfs/dbraw/zinc/40/29/33/361402933.db2.gz UYICLGHCESPXIL-UHFFFAOYSA-N -1 1 311.345 1.731 20 0 DDADMM O=C(CCn1ccccc1=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000613667910 361511813 /nfs/dbraw/zinc/51/18/13/361511813.db2.gz LMYFUDLXRWOPPF-UHFFFAOYSA-N -1 1 324.340 1.973 20 0 DDADMM COCCO[C@@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000613779155 361563971 /nfs/dbraw/zinc/56/39/71/361563971.db2.gz ZOJZATXVENVUDE-CYBMUJFWSA-N -1 1 316.357 1.818 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](C)[C@@](C)(CO)C1 ZINC000620459141 364428446 /nfs/dbraw/zinc/42/84/46/364428446.db2.gz ZFLQETYHDHCPIO-DYZYQPBXSA-N -1 1 321.421 1.879 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-]C[C@H](n1cccn1)C(F)(F)F ZINC000625331348 366854275 /nfs/dbraw/zinc/85/42/75/366854275.db2.gz REQYPBGHYCTWMJ-VIFPVBQESA-N -1 1 324.284 1.094 20 0 DDADMM CC[C@@]1(CNC(=O)CCc2nn[n-]n2)OCCc2ccccc21 ZINC000633126290 422767301 /nfs/dbraw/zinc/76/73/01/422767301.db2.gz AMPGLKBCGOIMID-INIZCTEOSA-N -1 1 315.377 1.127 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc2c(c1)C[C@@H](C)O2 ZINC000621992274 365174012 /nfs/dbraw/zinc/17/40/12/365174012.db2.gz RVEQFGIIXOUCHJ-MRVPVSSYSA-N -1 1 314.345 1.075 20 0 DDADMM CCCN(C)C(=O)[C@@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000275284566 212248163 /nfs/dbraw/zinc/24/81/63/212248163.db2.gz KTLCNQYJMZXIJH-JTQLQIEISA-N -1 1 309.391 1.171 20 0 DDADMM CCN(CCOCC(=O)[O-])[C@H]1CCN(c2ccccc2)C1=O ZINC000566359072 304148500 /nfs/dbraw/zinc/14/85/00/304148500.db2.gz PAFCMXUNJAASHE-AWEZNQCLSA-N -1 1 306.362 1.215 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(Cc2cn(-c3ccccc3)nn2)C1 ZINC000566360594 304148754 /nfs/dbraw/zinc/14/87/54/304148754.db2.gz VWSQIVGOEFXRKW-MRXNPFEDSA-N -1 1 316.361 1.190 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)C(=O)c2ccccc2)c1Br ZINC000350152917 284159847 /nfs/dbraw/zinc/15/98/47/284159847.db2.gz KFQYCRVCQGWPKA-UHFFFAOYSA-N -1 1 322.162 1.978 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ncc(C3CC3)o2)n1 ZINC000350225942 284171270 /nfs/dbraw/zinc/17/12/70/284171270.db2.gz ZDLQOELTFXJRNI-UHFFFAOYSA-N -1 1 311.319 1.036 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1O[C@@H](C)C[C@@H]1C ZINC000282484569 217031008 /nfs/dbraw/zinc/03/10/08/217031008.db2.gz HHJWIVRIYVHMME-KOSWAMCASA-N -1 1 318.377 1.069 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCc3n[nH]cc32)c1 ZINC000282696084 217182994 /nfs/dbraw/zinc/18/29/94/217182994.db2.gz FFXDXYGUSCSHSG-JTQLQIEISA-N -1 1 315.329 1.961 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1)c1cccs1 ZINC000282811877 217267095 /nfs/dbraw/zinc/26/70/95/217267095.db2.gz BIKKKPSSXHRVIH-NXEZZACHSA-N -1 1 306.391 1.669 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(C)c(Cl)c1 ZINC000337154948 249368045 /nfs/dbraw/zinc/36/80/45/249368045.db2.gz OJYMHEAASDNJNS-UHFFFAOYSA-N -1 1 306.753 1.714 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](C)c1cccc(C)c1 ZINC000337165512 249371445 /nfs/dbraw/zinc/37/14/45/249371445.db2.gz XRHQLQOEZWNJSM-SNVBAGLBSA-N -1 1 300.362 1.550 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000274059607 211317341 /nfs/dbraw/zinc/31/73/41/211317341.db2.gz IGWXJXXNQRZSIG-SNVBAGLBSA-N -1 1 317.794 1.695 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1ccccc1)c1sccc1F ZINC000338902901 250190285 /nfs/dbraw/zinc/19/02/85/250190285.db2.gz SAFJLJDXWLHZJH-LLVKDONJSA-N -1 1 301.364 1.899 20 0 DDADMM CCO[C@H]1COCC[C@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338952977 250216427 /nfs/dbraw/zinc/21/64/27/250216427.db2.gz RYQFGOYOYRTWEY-ZJUUUORDSA-N -1 1 309.384 1.360 20 0 DDADMM C[C@@H]1CC[C@H]([N-]S(=O)(=O)c2cn(C)nc2C(F)(F)F)C1 ZINC000338966412 250222786 /nfs/dbraw/zinc/22/27/86/250222786.db2.gz VOYMVDNJAHOABG-SFYZADRCSA-N -1 1 311.329 1.906 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1sccc1F)C(=O)N1CCCC1 ZINC000338978236 250230817 /nfs/dbraw/zinc/23/08/17/250230817.db2.gz OGQQRVCOTDBRCO-QMMMGPOBSA-N -1 1 306.384 1.176 20 0 DDADMM Cc1ccc(N2CCCN(C(=O)c3ncccc3[O-])CC2)nn1 ZINC000105867982 194151550 /nfs/dbraw/zinc/15/15/50/194151550.db2.gz AUDORHHPMOQEGN-UHFFFAOYSA-N -1 1 313.361 1.238 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]CC[C@@H](O)C(F)(F)F)s1 ZINC000339039502 250262989 /nfs/dbraw/zinc/26/29/89/250262989.db2.gz JHKBWSAXUFHYIK-ZCFIWIBFSA-N -1 1 304.315 1.043 20 0 DDADMM C[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)c1cncs1 ZINC000339109650 250297931 /nfs/dbraw/zinc/29/79/31/250297931.db2.gz CDQGHGNVHGQXDN-QMMMGPOBSA-N -1 1 300.343 1.871 20 0 DDADMM O=C(CS(=O)(=O)c1ccc([O-])cc1)NC(C1CC1)C1CC1 ZINC000339109588 250298137 /nfs/dbraw/zinc/29/81/37/250298137.db2.gz BTAKPOINGAZDOF-UHFFFAOYSA-N -1 1 309.387 1.471 20 0 DDADMM CCCC(=O)N1CCC[C@@H]1C(=O)Nc1nc(C(=O)OCC)c[n-]1 ZINC000339172754 250326753 /nfs/dbraw/zinc/32/67/53/250326753.db2.gz OYCQQQRKCQSLCS-LLVKDONJSA-N -1 1 322.365 1.316 20 0 DDADMM CC[C@@H](C)n1ncc([N-]S(=O)(=O)CCOCCOC)c1C ZINC000359660879 299509355 /nfs/dbraw/zinc/50/93/55/299509355.db2.gz MCOHDMSVGTYBKM-LLVKDONJSA-N -1 1 319.427 1.567 20 0 DDADMM CNC(=O)CCCCC(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000352168277 284836913 /nfs/dbraw/zinc/83/69/13/284836913.db2.gz DHZCCNPHBAPPQX-UHFFFAOYSA-N -1 1 306.391 1.805 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2c(F)cccc2F)nc(C)n1 ZINC000340775585 251205807 /nfs/dbraw/zinc/20/58/07/251205807.db2.gz SXFPDYUBXYRMBA-UHFFFAOYSA-N -1 1 313.329 1.850 20 0 DDADMM CN(C)C[C@H]1CCCN1S(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000061643346 184180124 /nfs/dbraw/zinc/18/01/24/184180124.db2.gz SKSXSPZROMMJPE-GFCCVEGCSA-N -1 1 312.391 1.100 20 0 DDADMM Cn1c2cc([N-]S(=O)(=O)c3ccccc3)ccc2oc1=O ZINC000062179623 184212899 /nfs/dbraw/zinc/21/28/99/184212899.db2.gz CJPQFYBLZXAEIC-UHFFFAOYSA-N -1 1 304.327 1.932 20 0 DDADMM CC(C)(C)[C@H]1CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352353517 284987231 /nfs/dbraw/zinc/98/72/31/284987231.db2.gz YIKLDFQGFWYZEV-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM CS[C@H](CO)[C@@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000119973721 195073318 /nfs/dbraw/zinc/07/33/18/195073318.db2.gz PFPUVUYEQKYMGG-VXNVDRBHSA-N -1 1 311.375 1.356 20 0 DDADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)c1cc(C)cc(C)c1 ZINC000352441609 285053184 /nfs/dbraw/zinc/05/31/84/285053184.db2.gz ZULRAQOYYLHPTI-UHFFFAOYSA-N -1 1 311.345 1.701 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)CCCOC(C)C)co1 ZINC000270174639 208238863 /nfs/dbraw/zinc/23/88/63/208238863.db2.gz LUXVFXGPQYWAST-UHFFFAOYSA-N -1 1 318.395 1.075 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1ccc(Br)o1)OC ZINC000352592063 285162036 /nfs/dbraw/zinc/16/20/36/285162036.db2.gz AQAIHGPMLGZKAC-ZETCQYMHSA-N -1 1 312.185 1.745 20 0 DDADMM O=C(c1coc2ccccc12)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000289778628 221220229 /nfs/dbraw/zinc/22/02/29/221220229.db2.gz WUDGEJQTZAIYFW-JTQLQIEISA-N -1 1 312.329 1.864 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc3c(c2)N(C)CC3)ccn1 ZINC000414455091 224340086 /nfs/dbraw/zinc/34/00/86/224340086.db2.gz RIZYEEHPXAURJG-UHFFFAOYSA-N -1 1 319.386 1.883 20 0 DDADMM Cc1ccc(CN[C@@H]2CCN([C@H](C(=O)[O-])C(C)C)C2=O)cc1F ZINC000414647401 224367525 /nfs/dbraw/zinc/36/75/25/224367525.db2.gz CCFZMGAWANVOAJ-CABCVRRESA-N -1 1 322.380 1.934 20 0 DDADMM COc1ccc2c(c1)[C@@H](C(=O)NC(C)(C)c1nn[n-]n1)CC2 ZINC000431834104 533288051 /nfs/dbraw/zinc/28/80/51/533288051.db2.gz LKDZYNUKMOGCRI-NSHDSACASA-N -1 1 301.350 1.290 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C1CC1 ZINC000425202214 533349928 /nfs/dbraw/zinc/34/99/28/533349928.db2.gz MJPMLUJYTCTDNN-LLVKDONJSA-N -1 1 319.329 1.503 20 0 DDADMM COc1cccc(CCNC(=O)c2csc(=NC3CC3)[n-]2)n1 ZINC000426669094 533465845 /nfs/dbraw/zinc/46/58/45/533465845.db2.gz JVNNCDKKZSKWRU-UHFFFAOYSA-N -1 1 318.402 1.515 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc2oc(=O)[nH]c2cc1F)C(C)(F)F ZINC000414459356 533482141 /nfs/dbraw/zinc/48/21/41/533482141.db2.gz ZNFGXVQNUXNVNF-RXMQYKEDSA-N -1 1 324.280 1.582 20 0 DDADMM COc1cc(C)c(CN[C@H](C(=O)[O-])c2ccnn2C)cc1OC ZINC000424629445 533574301 /nfs/dbraw/zinc/57/43/01/533574301.db2.gz XTEWUBYKZLSJBP-HNNXBMFYSA-N -1 1 319.361 1.661 20 0 DDADMM O=S(=O)(Cc1ccccn1)c1nc(-c2ccccc2)n[n-]1 ZINC000568353697 304287286 /nfs/dbraw/zinc/28/72/86/304287286.db2.gz SHUAZKUYHOHVJJ-UHFFFAOYSA-N -1 1 300.343 1.841 20 0 DDADMM O=S(=O)(Cc1ccccn1)c1n[n-]c(-c2ccccc2)n1 ZINC000568353697 304287287 /nfs/dbraw/zinc/28/72/87/304287287.db2.gz SHUAZKUYHOHVJJ-UHFFFAOYSA-N -1 1 300.343 1.841 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)[N-]S(=O)(=O)CCC1CC1 ZINC000360844499 306989273 /nfs/dbraw/zinc/98/92/73/306989273.db2.gz FIWKZUWHSGCOLK-MRVPVSSYSA-N -1 1 303.302 1.200 20 0 DDADMM C[C@@H]1C[C@H](c2ccccc2F)N(C(=O)CCc2nn[n-]n2)C1 ZINC000631541102 422821867 /nfs/dbraw/zinc/82/18/67/422821867.db2.gz FXGIDASUQGUWSK-ZWNOBZJWSA-N -1 1 303.341 1.881 20 0 DDADMM O=C([O-])C[C@H]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000569430622 304352515 /nfs/dbraw/zinc/35/25/15/304352515.db2.gz MYYWYONFVNICPW-LLVKDONJSA-N -1 1 314.345 1.799 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCC[C@H]1[C@H]1CCCOC1 ZINC000569458820 304356017 /nfs/dbraw/zinc/35/60/17/304356017.db2.gz HPQALXSQYWOEIW-FZMZJTMJSA-N -1 1 300.362 1.989 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CC1)c1cc(F)c(F)cc1F ZINC000677243574 486424193 /nfs/dbraw/zinc/42/41/93/486424193.db2.gz YGFKWAGHKQYKAI-QMMMGPOBSA-N -1 1 309.309 1.543 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@@H](O)[C@@H]3C)cnc2n1 ZINC000452711836 292305022 /nfs/dbraw/zinc/30/50/22/292305022.db2.gz ZNCBIOOPHFSYJK-GXFFZTMASA-N -1 1 301.346 1.629 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@@H](O)[C@@H]3C)c[n-]c2n1 ZINC000452711836 292305023 /nfs/dbraw/zinc/30/50/23/292305023.db2.gz ZNCBIOOPHFSYJK-GXFFZTMASA-N -1 1 301.346 1.629 20 0 DDADMM O=c1cc(C(F)F)nc(SCCC2CS(=O)(=O)C2)[n-]1 ZINC000579767744 422829316 /nfs/dbraw/zinc/82/93/16/422829316.db2.gz XECVTWCMWPMFKR-UHFFFAOYSA-N -1 1 310.347 1.647 20 0 DDADMM C[C@@H]1CN(C(=O)CCc2nn[n-]n2)[C@H](c2ccccc2)CO1 ZINC000631561472 422831934 /nfs/dbraw/zinc/83/19/34/422831934.db2.gz FUFXZEUJQFKWFE-YPMHNXCESA-N -1 1 301.350 1.121 20 0 DDADMM C[C@H](C(=O)N1CCC(C)CC1)S(=O)(=O)c1ccc([O-])cc1 ZINC000069163664 406687049 /nfs/dbraw/zinc/68/70/49/406687049.db2.gz UDRHTYPEXDEFCG-GFCCVEGCSA-N -1 1 311.403 1.813 20 0 DDADMM Cc1noc(CNC(=O)c2ccc(Br)cc2[O-])n1 ZINC000045010608 407037075 /nfs/dbraw/zinc/03/70/75/407037075.db2.gz XTRNYOKGCBXBPP-UHFFFAOYSA-N -1 1 312.123 1.776 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H]1CC=CCC1 ZINC000045629784 407046259 /nfs/dbraw/zinc/04/62/59/407046259.db2.gz LEPXAIAJUBKIKZ-SNVBAGLBSA-N -1 1 313.379 1.221 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2ccc(-n3cncn3)nc2)c1 ZINC000045713246 407047414 /nfs/dbraw/zinc/04/74/14/407047414.db2.gz SIMRKBQBFMNHDQ-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1ccccc1 ZINC000037489857 406989910 /nfs/dbraw/zinc/98/99/10/406989910.db2.gz PFAXDKJCKKXKSK-UHFFFAOYSA-N -1 1 309.347 1.065 20 0 DDADMM O=C([O-])C1(NS(=O)(=O)c2c(F)cc(F)cc2F)CCCC1 ZINC000037908965 406994660 /nfs/dbraw/zinc/99/46/60/406994660.db2.gz NQPDEYXBRYZPHM-UHFFFAOYSA-N -1 1 323.292 1.780 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2cscn2)c(=O)[n-]1 ZINC000050405916 407130460 /nfs/dbraw/zinc/13/04/60/407130460.db2.gz UGZZULNECZXPBQ-UHFFFAOYSA-N -1 1 324.431 1.918 20 0 DDADMM CN(C)C(=O)c1ccc(C(=O)NCc2n[n-]c(=S)n2C)cc1 ZINC000066625821 407256554 /nfs/dbraw/zinc/25/65/54/407256554.db2.gz XFSMLMPTEMALNQ-UHFFFAOYSA-N -1 1 319.390 1.109 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCCC[C@@H]1CN1CCOCC1 ZINC000094128809 407203944 /nfs/dbraw/zinc/20/39/44/407203944.db2.gz LIWSWLBBNFQPML-CQSZACIVSA-N -1 1 322.380 1.858 20 0 DDADMM CCOc1cccc([N-]S(=O)(=O)c2ccc(C(N)=O)cc2)c1 ZINC000055652132 407206147 /nfs/dbraw/zinc/20/61/47/407206147.db2.gz GPQULKFJPBXADB-UHFFFAOYSA-N -1 1 320.370 1.985 20 0 DDADMM Cn1c(CCNC(=O)C=Cc2cccc(F)c2)n[n-]c1=S ZINC000067051009 407266622 /nfs/dbraw/zinc/26/66/22/407266622.db2.gz NICBKIQZTVIVOT-AATRIKPKSA-N -1 1 306.366 1.989 20 0 DDADMM COCCOCCCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000101576487 407312205 /nfs/dbraw/zinc/31/22/05/407312205.db2.gz PBAUKMIUKVGOFE-UHFFFAOYSA-N -1 1 323.361 1.686 20 0 DDADMM C[C@H](CN(C)C(=O)C1=Cc2cc(F)ccc2OC1)c1nn[n-]n1 ZINC000124613034 407364116 /nfs/dbraw/zinc/36/41/16/407364116.db2.gz WRCULPMRZNVCMM-SECBINFHSA-N -1 1 317.324 1.377 20 0 DDADMM Cc1ccc(NC(=O)CS(=O)(=O)c2n[n-]c(C3CC3)n2)cc1 ZINC000127172469 407429992 /nfs/dbraw/zinc/42/99/92/407429992.db2.gz JAQIWPHTJHNGSX-UHFFFAOYSA-N -1 1 320.374 1.403 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)N(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000112850343 407440011 /nfs/dbraw/zinc/44/00/11/407440011.db2.gz WKMMCQKSOQLXQW-SECBINFHSA-N -1 1 319.810 1.941 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC(C)(C)C2)o1 ZINC000112683679 407435769 /nfs/dbraw/zinc/43/57/69/407435769.db2.gz FEKCSBRNRVLVSU-UHFFFAOYSA-N -1 1 300.380 1.450 20 0 DDADMM Cc1ccc(O)c(C(=O)NC[C@@H]2C[N@H+]3CCCC[C@@H]3CO2)c1 ZINC000127957320 407482333 /nfs/dbraw/zinc/48/23/33/407482333.db2.gz UCKYMFYDZULOHG-ZIAGYGMSSA-N -1 1 304.390 1.684 20 0 DDADMM C[C@H]1C[C@H](NS(=O)(=O)c2c[nH]cn2)CN1Cc1ccccc1 ZINC000128171124 407503643 /nfs/dbraw/zinc/50/36/43/407503643.db2.gz QSJWTGVVTBTICM-JSGCOSHPSA-N -1 1 320.418 1.351 20 0 DDADMM CCN(CC(=O)OC)C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000271085333 407505463 /nfs/dbraw/zinc/50/54/63/407505463.db2.gz SCCVMYXMBRUTEO-UHFFFAOYSA-N -1 1 309.366 1.423 20 0 DDADMM CC[C@H](C)[N@H+](C)CC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000221861327 407551177 /nfs/dbraw/zinc/55/11/77/407551177.db2.gz YAURIEUMCWNIJG-VIFPVBQESA-N -1 1 308.835 1.082 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](CO)CC1)c1cc(F)c(F)cc1F ZINC000152218363 407585712 /nfs/dbraw/zinc/58/57/12/407585712.db2.gz MDOILASEBBMKCO-DTORHVGOSA-N -1 1 323.336 1.933 20 0 DDADMM Cc1cc(NC(=O)c2cc(C)ccc2[N-]S(C)(=O)=O)n(C)n1 ZINC000128312673 407520760 /nfs/dbraw/zinc/52/07/60/407520760.db2.gz APSHKQIELBXQPR-UHFFFAOYSA-N -1 1 322.390 1.661 20 0 DDADMM CCC[C@@H](NC(=O)c1sc2nccn2c1C)c1nn[n-]n1 ZINC000271248483 407597079 /nfs/dbraw/zinc/59/70/79/407597079.db2.gz RDECRRHKTWYHFG-MRVPVSSYSA-N -1 1 305.367 1.489 20 0 DDADMM O=C(c1ccc(-n2cccn2)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129437499 407608298 /nfs/dbraw/zinc/60/82/98/407608298.db2.gz WSOMRBXVBFFRAL-ZDUSSCGKSA-N -1 1 323.360 1.405 20 0 DDADMM CCc1ccccc1OCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129515508 407616405 /nfs/dbraw/zinc/61/64/05/407616405.db2.gz PGDZRNHAIGDKQW-ZDUSSCGKSA-N -1 1 315.377 1.547 20 0 DDADMM O=C(NC[C@@H](O)C1CC1)c1cc(Br)ccc1[O-] ZINC000226654316 407618814 /nfs/dbraw/zinc/61/88/14/407618814.db2.gz PPFNGYNAOPEUPN-LLVKDONJSA-N -1 1 300.152 1.655 20 0 DDADMM COC[C@H](CNC(=O)c1ccc(Br)cc1[O-])OC ZINC000227699033 407637975 /nfs/dbraw/zinc/63/79/75/407637975.db2.gz NOEBNKGXAPMLBV-VIFPVBQESA-N -1 1 318.167 1.546 20 0 DDADMM COC[C@H](CNC(=O)c1cc(Br)ccc1[O-])OC ZINC000227699892 407639109 /nfs/dbraw/zinc/63/91/09/407639109.db2.gz OZHMGRSHWSEYJX-VIFPVBQESA-N -1 1 318.167 1.546 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@@H](O)C1)c1ccc(F)c(F)c1F ZINC000228596404 407649995 /nfs/dbraw/zinc/64/99/95/407649995.db2.gz COHXETMXJKRTKQ-RKDXNWHRSA-N -1 1 323.336 1.933 20 0 DDADMM CC1N=NC(=S)N1CCNC(=O)c1ccc(Cl)cc1[O-] ZINC000266662232 407594286 /nfs/dbraw/zinc/59/42/86/407594286.db2.gz XPLFYUVUSPWITD-UHFFFAOYSA-N -1 1 312.782 1.664 20 0 DDADMM O=C([O-])C[C@H]1COCCN1C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000261733587 407596122 /nfs/dbraw/zinc/59/61/22/407596122.db2.gz NDBRPZAGNPFMNG-LBPRGKRZSA-N -1 1 315.329 1.392 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2C[C@@H]3CCC[C@@H]3C2)c(=O)[n-]1 ZINC000152964253 407724516 /nfs/dbraw/zinc/72/45/16/407724516.db2.gz SEKGLLPAAJATTB-TXEJJXNPSA-N -1 1 321.446 1.991 20 0 DDADMM Cn1c2ccc(S(=O)(=O)[N-]c3ncccc3F)cc2oc1=O ZINC000180258013 407868906 /nfs/dbraw/zinc/86/89/06/407868906.db2.gz FSYQOSLGIHJJKQ-UHFFFAOYSA-N -1 1 323.305 1.466 20 0 DDADMM CCCCNC(=O)[C@@H]1CC[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC000153661773 407870241 /nfs/dbraw/zinc/87/02/41/407870241.db2.gz XCWQFHMYBCDNDS-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H]1[C@H](C)[S@@](=O)CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000272361228 407883234 /nfs/dbraw/zinc/88/32/34/407883234.db2.gz YRQHVJUMLVWKPL-AUWXTCHYSA-N -1 1 321.398 1.840 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(Br)ccc2[O-])[C@H]1CO ZINC000189482918 408033275 /nfs/dbraw/zinc/03/32/75/408033275.db2.gz OVZMVLHKPMSLGK-KWQFWETISA-N -1 1 314.179 1.998 20 0 DDADMM Cc1nnc(NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)n1C ZINC000273169476 408091274 /nfs/dbraw/zinc/09/12/74/408091274.db2.gz LOBAWNMMRAYFGR-UHFFFAOYSA-N -1 1 304.354 1.477 20 0 DDADMM NC(=O)[C@H]1CCCC[C@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000175294593 408100112 /nfs/dbraw/zinc/10/01/12/408100112.db2.gz XJTAROCUODXNGM-GXSJLCMTSA-N -1 1 318.345 1.287 20 0 DDADMM CCC[C@H](NC(=O)c1cnn(C(C)(C)C)c1C)c1nn[n-]n1 ZINC000136627514 408112419 /nfs/dbraw/zinc/11/24/19/408112419.db2.gz UNQICUFXRSKIFK-NSHDSACASA-N -1 1 305.386 1.731 20 0 DDADMM CCC[C@@H](NC(=O)CCNC(=O)c1cccs1)c1nn[n-]n1 ZINC000136680821 408119873 /nfs/dbraw/zinc/11/98/73/408119873.db2.gz PKOGGNKSAXKJIO-SECBINFHSA-N -1 1 322.394 1.039 20 0 DDADMM O=C([O-])C1CCC(NC(=O)NCc2ccc3cncn3c2)CC1 ZINC000263431650 408138416 /nfs/dbraw/zinc/13/84/16/408138416.db2.gz ZAGWUSHQZZBRKT-UHFFFAOYSA-N -1 1 316.361 1.777 20 0 DDADMM CCC(CC)c1cc(C(=O)[N-]c2n[nH]c(CC(=O)OC)n2)on1 ZINC000121199612 408159945 /nfs/dbraw/zinc/15/99/45/408159945.db2.gz FLFMZIBQGOIINV-UHFFFAOYSA-N -1 1 321.337 1.664 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)[C@@H]1CCCc2ccccc21 ZINC000268710022 408171123 /nfs/dbraw/zinc/17/11/23/408171123.db2.gz LYEGPNFALHEXCK-GFCCVEGCSA-N -1 1 318.402 1.948 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)[C@H]1CCN(C(=O)OC(C)(C)C)C1 ZINC000268770155 408186517 /nfs/dbraw/zinc/18/65/17/408186517.db2.gz YBNNZNVHEVDCFH-LBPRGKRZSA-N -1 1 321.377 1.869 20 0 DDADMM C[C@@H](CN(C)C(=O)CSCc1ccncc1)c1nn[n-]n1 ZINC000273598632 408259597 /nfs/dbraw/zinc/25/95/97/408259597.db2.gz XQXFKPFRMKXFFA-JTQLQIEISA-N -1 1 306.395 1.090 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@@H](C)C2(C)CC2)o1 ZINC000175799487 408211734 /nfs/dbraw/zinc/21/17/34/408211734.db2.gz IYPQBVQXVIJROJ-VIFPVBQESA-N -1 1 300.380 1.448 20 0 DDADMM COc1cc(F)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1OC ZINC000273470348 408213645 /nfs/dbraw/zinc/21/36/45/408213645.db2.gz GQQDUJCWTXDEQI-MRVPVSSYSA-N -1 1 323.328 1.232 20 0 DDADMM O=S(=O)([N-]Cc1ncccc1F)c1cccc(F)c1F ZINC000156760359 408272233 /nfs/dbraw/zinc/27/22/33/408272233.db2.gz BPGWIAMGRKMUCM-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM CC(C)[C@@H]1OCC[C@H]1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132822961 162045169 /nfs/dbraw/zinc/04/51/69/162045169.db2.gz FGAQBZUFSBHCAW-HZMBPMFUSA-N -1 1 318.377 1.465 20 0 DDADMM CCOC(=O)c1cc([N-]S(=O)(=O)c2cc(C)oc2C)n[nH]1 ZINC000158735356 408358354 /nfs/dbraw/zinc/35/83/54/408358354.db2.gz RUNBDRNJJGNEBP-UHFFFAOYSA-N -1 1 313.335 1.597 20 0 DDADMM Cc1nc(C)c(S(=O)(=O)NC2CCN(C3CC3)CC2)s1 ZINC000176824200 408451546 /nfs/dbraw/zinc/45/15/46/408451546.db2.gz LOKNNJDTNDQVOC-UHFFFAOYSA-N -1 1 315.464 1.665 20 0 DDADMM CC[C@@H](Oc1ccccc1C)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183437749 408410509 /nfs/dbraw/zinc/41/05/09/408410509.db2.gz LXNLCHVBBTUITJ-QWHCGFSZSA-N -1 1 317.393 1.928 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(NC(C)=O)cc1)c1nn[n-]n1 ZINC000176769451 408437623 /nfs/dbraw/zinc/43/76/23/408437623.db2.gz MWMHJDVQQFCRBN-GFCCVEGCSA-N -1 1 302.338 1.429 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1C[C@@H]1c1cccc(OC)c1)c1nn[n-]n1 ZINC000176776304 408441427 /nfs/dbraw/zinc/44/14/27/408441427.db2.gz XCHSEOWSJZUPQJ-MCIONIFRSA-N -1 1 315.377 1.969 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000176782843 408442163 /nfs/dbraw/zinc/44/21/63/408442163.db2.gz XQZCUKDACLWDOT-OKPNEXGHSA-N -1 1 315.333 1.599 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)c2c([O-])cccc2F)C1 ZINC000274706793 408502190 /nfs/dbraw/zinc/50/21/90/408502190.db2.gz SBLVLKKDGVZXGY-GHMZBOCLSA-N -1 1 308.353 1.908 20 0 DDADMM C[C@]12CCC(=O)N1[C@@H](C(=O)Nc1ccc(F)cc1[O-])CS2 ZINC000270396702 408518099 /nfs/dbraw/zinc/51/80/99/408518099.db2.gz ULYHPHFKJRYEAO-YGRLFVJLSA-N -1 1 310.350 1.924 20 0 DDADMM CNC(=O)[C@@H]1CN(C(=O)c2cc3ccccc3cc2[O-])CCO1 ZINC000183994189 408524520 /nfs/dbraw/zinc/52/45/20/408524520.db2.gz VVNPNUWNKXMZDO-HNNXBMFYSA-N -1 1 314.341 1.132 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC1(C(C)C)CC1 ZINC000177395502 408600726 /nfs/dbraw/zinc/60/07/26/408600726.db2.gz ZGWSVPDAEFEAIE-UHFFFAOYSA-N -1 1 316.405 1.734 20 0 DDADMM COc1cccc(C=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000274795952 408535170 /nfs/dbraw/zinc/53/51/70/408535170.db2.gz RBOMOJJNQMOHKW-WSKFYRRCSA-N -1 1 301.350 1.484 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](C)c1ncn(C)n1 ZINC000275582992 408610035 /nfs/dbraw/zinc/61/00/35/408610035.db2.gz ONINUUWSLWRKIK-SECBINFHSA-N -1 1 318.381 1.408 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2c3ccccc3C[C@H]2C)co1 ZINC000161616445 408619690 /nfs/dbraw/zinc/61/96/90/408619690.db2.gz MEXTYJLPTJJIHK-SNVBAGLBSA-N -1 1 320.370 1.779 20 0 DDADMM C[C@@]1(NC(=O)c2ccc3ccccc3c2[O-])CCS(=O)(=O)C1 ZINC000192698867 408636027 /nfs/dbraw/zinc/63/60/27/408636027.db2.gz FSYDGLGPQMZNEC-MRXNPFEDSA-N -1 1 319.382 1.852 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H]1CCCC(=O)N1 ZINC000275732744 408662000 /nfs/dbraw/zinc/66/20/00/408662000.db2.gz ADINPULNMHUXNH-LURJTMIESA-N -1 1 314.139 1.157 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)[C@@H]1CCSC1 ZINC000163535987 408781508 /nfs/dbraw/zinc/78/15/08/408781508.db2.gz RVKBJECMJLDSJN-LLVKDONJSA-N -1 1 320.418 1.146 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)[C@H]1CCSC1 ZINC000163536078 408781612 /nfs/dbraw/zinc/78/16/12/408781612.db2.gz RVKBJECMJLDSJN-NSHDSACASA-N -1 1 320.418 1.146 20 0 DDADMM C[C@@H]1CN(C(C)(C)CNC(=O)c2ncccc2[O-])C[C@H](C)O1 ZINC000194652062 408789230 /nfs/dbraw/zinc/78/92/30/408789230.db2.gz MNWAOSASTXYYDE-TXEJJXNPSA-N -1 1 307.394 1.405 20 0 DDADMM CC(C)[C@@H](NC(=O)CN1CC[C@@H](C(=O)[O-])C1)c1ccccc1 ZINC000252671452 408807778 /nfs/dbraw/zinc/80/77/78/408807778.db2.gz VUSSJUQOKXVZCA-GDBMZVCRSA-N -1 1 304.390 1.906 20 0 DDADMM CO[C@H]1CN(C(=O)c2c(C)[n-]c(=O)nc2SC)CC[C@@H]1C ZINC000276264736 408828028 /nfs/dbraw/zinc/82/80/28/408828028.db2.gz NDVRRRDWCFAESB-WPRPVWTQSA-N -1 1 311.407 1.710 20 0 DDADMM CC(C)C(=O)N1CCC(NC(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000280761289 408829092 /nfs/dbraw/zinc/82/90/92/408829092.db2.gz LONJKCYUIGMLNE-UHFFFAOYSA-N -1 1 318.373 1.338 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCOc2ccccc21 ZINC000290770935 408829446 /nfs/dbraw/zinc/82/94/46/408829446.db2.gz OMMKYIHJBFBWBU-JTQLQIEISA-N -1 1 323.374 1.410 20 0 DDADMM C[C@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000285720896 408850673 /nfs/dbraw/zinc/85/06/73/408850673.db2.gz UKPBGCSTHWAOHQ-VHSXEESVSA-N -1 1 305.346 1.916 20 0 DDADMM CC(C)c1nnc2n1CCN(C(=O)c1ncccc1[O-])[C@H]2C ZINC000188798881 163069638 /nfs/dbraw/zinc/06/96/38/163069638.db2.gz RFRTYAXXCUQBME-JTQLQIEISA-N -1 1 301.350 1.719 20 0 DDADMM COC(=O)C[N-]S(=O)(=O)c1ccc(Cl)c(F)c1Cl ZINC000189482351 163102333 /nfs/dbraw/zinc/10/23/33/163102333.db2.gz UHVAUGCDSAFXJP-UHFFFAOYSA-N -1 1 316.137 1.584 20 0 DDADMM O=C(NCc1ccc(Cn2cccn2)cc1)c1ncccc1[O-] ZINC000194658519 163292606 /nfs/dbraw/zinc/29/26/06/163292606.db2.gz QKXSOYMSPRCKKM-UHFFFAOYSA-N -1 1 308.341 1.962 20 0 DDADMM C[C@H](O)[C@@H]1CCN(C(=O)c2ccc(Br)c([O-])c2)C1 ZINC000227522130 163339320 /nfs/dbraw/zinc/33/93/20/163339320.db2.gz HBPGJFQILNUMFQ-WCBMZHEXSA-N -1 1 314.179 1.998 20 0 DDADMM CCOCCCC(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000292201167 409000704 /nfs/dbraw/zinc/00/07/04/409000704.db2.gz KUVIIRWPMUYXER-UHFFFAOYSA-N -1 1 316.379 1.056 20 0 DDADMM Cc1c(S(=O)(=O)Nc2cc(C)c(C)cc2C(=O)[O-])cnn1C ZINC000292016537 408964258 /nfs/dbraw/zinc/96/42/58/408964258.db2.gz ZIZPKKWBZJLSES-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM CN1CCO[C@@H]2CCN(C(=O)c3c(F)ccc([O-])c3F)C[C@H]21 ZINC000286413818 408980514 /nfs/dbraw/zinc/98/05/14/408980514.db2.gz OOACPRIWXQXGJR-ZYHUDNBSSA-N -1 1 312.316 1.216 20 0 DDADMM CC(C)CC[C@@H](C)NC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287634310 409067821 /nfs/dbraw/zinc/06/78/21/409067821.db2.gz ZRDDXNIXTJQEDD-RGWKGUTDSA-N -1 1 317.393 1.221 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H](C)CC(C)C)c1 ZINC000292313471 409023559 /nfs/dbraw/zinc/02/35/59/409023559.db2.gz TVWPPGVZCIIDKW-JTQLQIEISA-N -1 1 314.407 1.921 20 0 DDADMM CCC[C@@H](NC(=O)CCc1cccc(OC)c1F)c1nn[n-]n1 ZINC000287438147 409037132 /nfs/dbraw/zinc/03/71/32/409037132.db2.gz KWPZEVUUVVDRLK-LLVKDONJSA-N -1 1 321.356 1.938 20 0 DDADMM CC(C)Cn1cc(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)cn1 ZINC000287496951 409045979 /nfs/dbraw/zinc/04/59/79/409045979.db2.gz GYRHUCUIKLZWPM-MOSHPQCFSA-N -1 1 313.365 1.838 20 0 DDADMM COC(=O)[C@@H](CF)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000287528629 409050466 /nfs/dbraw/zinc/05/04/66/409050466.db2.gz UJACVWSMQXVWCW-MRVPVSSYSA-N -1 1 313.709 1.269 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)C)C[C@H](C)N1C(=O)c1cncc([O-])c1 ZINC000293663282 409157196 /nfs/dbraw/zinc/15/71/96/409157196.db2.gz JPPRJEQPFPEHGE-RYUDHWBXSA-N -1 1 319.405 1.895 20 0 DDADMM Cn1cc(CC[N-]S(=O)(=O)c2cc(Cl)ccc2F)cn1 ZINC000283787314 409216231 /nfs/dbraw/zinc/21/62/31/409216231.db2.gz DYCKJICMJUVKOD-UHFFFAOYSA-N -1 1 317.773 1.734 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1ccc(C(F)(F)F)cc1[O-])OC ZINC000294029490 409229157 /nfs/dbraw/zinc/22/91/57/409229157.db2.gz NVWJHRLXSVHYCT-SNVBAGLBSA-N -1 1 321.251 1.329 20 0 DDADMM CN(C)[C@@H](CNC(=O)C(=O)c1ccc([O-])cc1)c1ccco1 ZINC000280664549 409401523 /nfs/dbraw/zinc/40/15/23/409401523.db2.gz AUFSDFMBPDVZJT-ZDUSSCGKSA-N -1 1 302.330 1.587 20 0 DDADMM CC(C)c1nc(CNC(=O)c2cc(F)c(F)c([O-])c2F)n[nH]1 ZINC000296067029 409415721 /nfs/dbraw/zinc/41/57/21/409415721.db2.gz NUDLGWJTRCXGPN-UHFFFAOYSA-N -1 1 314.267 1.981 20 0 DDADMM CCC[C@@H](CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)OC ZINC000295335365 409439658 /nfs/dbraw/zinc/43/96/58/409439658.db2.gz FHFOAKLIDISBNH-LBPRGKRZSA-N -1 1 320.393 1.113 20 0 DDADMM Cc1nn(CC(C)C)c(C)c1CC(=O)NC1(c2nn[n-]n2)CC1 ZINC000357053537 164137799 /nfs/dbraw/zinc/13/77/99/164137799.db2.gz SPTLADCRGXBKDF-UHFFFAOYSA-N -1 1 317.397 1.017 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC2)o1 ZINC000408090334 164225026 /nfs/dbraw/zinc/22/50/26/164225026.db2.gz LYWOHFFJTSXCKI-UHFFFAOYSA-N -1 1 300.380 1.592 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000408206432 164260067 /nfs/dbraw/zinc/26/00/67/164260067.db2.gz TZWWMXYHCJBUEE-HNNXBMFYSA-N -1 1 310.300 1.413 20 0 DDADMM CCC1(S(=O)(=O)[N-]C(=O)c2[nH]nc3c2CCCCC3)CC1 ZINC000408494786 164349283 /nfs/dbraw/zinc/34/92/83/164349283.db2.gz NKDQVZVSJXQTRA-UHFFFAOYSA-N -1 1 311.407 1.681 20 0 DDADMM CC(=O)Nc1nnc(Sc2nc3c(cnn3C)c(=O)[n-]2)s1 ZINC000356737019 409561460 /nfs/dbraw/zinc/56/14/60/409561460.db2.gz PCQYYCNWJGUVOO-UHFFFAOYSA-N -1 1 323.363 1.030 20 0 DDADMM Cc1nn(C)c(C)c1[C@H]1OCC[C@H]1NC(=O)c1cncc([O-])c1 ZINC000348864286 409562904 /nfs/dbraw/zinc/56/29/04/409562904.db2.gz CKSBYGRUYXJRTO-HIFRSBDPSA-N -1 1 316.361 1.398 20 0 DDADMM CC[NH+]1CCN([C@H](C)CN=c2[n-]c([C@@H](C)OC)ns2)CC1 ZINC000337920892 409570542 /nfs/dbraw/zinc/57/05/42/409570542.db2.gz ZUKKXHMOQWNNEB-VXGBXAGGSA-N -1 1 313.471 1.105 20 0 DDADMM Cc1ccc(CN(C)C(=O)CNC(=O)c2ncccc2[O-])o1 ZINC000337944145 409588065 /nfs/dbraw/zinc/58/80/65/409588065.db2.gz FCPGAIFDXWINIJ-UHFFFAOYSA-N -1 1 303.318 1.077 20 0 DDADMM C[C@@H](c1ccccn1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337944855 409588612 /nfs/dbraw/zinc/58/86/12/409588612.db2.gz JDZVWLDYVCIRHL-NSHDSACASA-N -1 1 314.345 1.132 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCc1ccc(Cl)cc1 ZINC000337947762 409592802 /nfs/dbraw/zinc/59/28/02/409592802.db2.gz SVKQFKJSIJBDPJ-UHFFFAOYSA-N -1 1 319.748 1.487 20 0 DDADMM CCOCCO[C@@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000349097285 409693811 /nfs/dbraw/zinc/69/38/11/409693811.db2.gz RDHHSAUXBQSVDD-SNVBAGLBSA-N -1 1 315.316 1.938 20 0 DDADMM C[C@@H](NC(=O)c1cncc([O-])c1)c1nnc2n1CCCCC2 ZINC000315503233 409842332 /nfs/dbraw/zinc/84/23/32/409842332.db2.gz DYAKDDBMXWVBLT-SNVBAGLBSA-N -1 1 301.350 1.596 20 0 DDADMM O=C(COc1ccccc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332202438 409973798 /nfs/dbraw/zinc/97/37/98/409973798.db2.gz QTZXZBDNRJSBDW-NSHDSACASA-N -1 1 303.318 1.560 20 0 DDADMM COc1ccc(NC(=O)c2c[nH]cn2)cc1[N-]S(C)(=O)=O ZINC000357209516 409942667 /nfs/dbraw/zinc/94/26/67/409942667.db2.gz YBDDXZSVOOAAFY-UHFFFAOYSA-N -1 1 310.335 1.042 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@@H]1C[C@@H]1c1ccc(F)cc1 ZINC000297866346 410029703 /nfs/dbraw/zinc/02/97/03/410029703.db2.gz ZEKXJXTYIURDHB-NXEZZACHSA-N -1 1 303.297 1.046 20 0 DDADMM Cc1cc(O[C@H](C)C(=O)NC(C)(C)c2nn[n-]n2)ccc1Cl ZINC000354774856 410077314 /nfs/dbraw/zinc/07/73/14/410077314.db2.gz PZQJTYAYWPZDIN-SECBINFHSA-N -1 1 323.784 1.980 20 0 DDADMM COCc1c2ccccc2oc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354790657 410089176 /nfs/dbraw/zinc/08/91/76/410089176.db2.gz SZNUZJXZSJBBIZ-UHFFFAOYSA-N -1 1 315.333 1.757 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CC(c2ccccc2)C1 ZINC000357600426 410126908 /nfs/dbraw/zinc/12/69/08/410126908.db2.gz OZIYALWQONDVPO-UHFFFAOYSA-N -1 1 315.329 1.572 20 0 DDADMM CCCN(CCO)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000298348930 410188553 /nfs/dbraw/zinc/18/85/53/410188553.db2.gz RDUBBMUAAUGDRD-UHFFFAOYSA-N -1 1 313.423 1.376 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC[C@@H]2CCCCO2)sc1C ZINC000329378199 410265195 /nfs/dbraw/zinc/26/51/95/410265195.db2.gz MKMGGETYZVPVGY-NSHDSACASA-N -1 1 304.437 1.997 20 0 DDADMM COC(=O)[C@H](C)CN(C)C(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000357834077 410279877 /nfs/dbraw/zinc/27/98/77/410279877.db2.gz YRXXSLVWCBVZHL-SECBINFHSA-N -1 1 317.345 1.525 20 0 DDADMM COC(=O)[C@H](C)CN(C)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000357834077 410279893 /nfs/dbraw/zinc/27/98/93/410279893.db2.gz YRXXSLVWCBVZHL-SECBINFHSA-N -1 1 317.345 1.525 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351859410 410297483 /nfs/dbraw/zinc/29/74/83/410297483.db2.gz OKOYXTXVTGXBOU-NSHDSACASA-N -1 1 311.382 1.932 20 0 DDADMM CNC(=O)CC[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000298818288 410412201 /nfs/dbraw/zinc/41/22/01/410412201.db2.gz NVOSZEPJIPFJJB-UHFFFAOYSA-N -1 1 317.219 1.469 20 0 DDADMM CCN1C[C@H](C)N(C(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@H]1C ZINC000358354073 410454697 /nfs/dbraw/zinc/45/46/97/410454697.db2.gz NKFZXMDOAOMOLC-MNOVXSKESA-N -1 1 317.393 1.229 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 ZINC000343440035 410455682 /nfs/dbraw/zinc/45/56/82/410455682.db2.gz BHNKMGWNNNTZBV-XVKPBYJWSA-N -1 1 311.704 1.709 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1CCO[C@H]1c1ccc(Cl)c(F)c1 ZINC000343440035 410455691 /nfs/dbraw/zinc/45/56/91/410455691.db2.gz BHNKMGWNNNTZBV-XVKPBYJWSA-N -1 1 311.704 1.709 20 0 DDADMM O=c1nc(CN2C[C@@H]3CC[C@H](O)C[C@@H]3C2)[n-]c2ccsc21 ZINC000333331146 410487244 /nfs/dbraw/zinc/48/72/44/410487244.db2.gz WFCVKUGOWMGQNE-AXFHLTTASA-N -1 1 305.403 1.990 20 0 DDADMM CCOC(=O)c1coc(/C=C/c2cc(=O)n3[n-]cnc3n2)c1 ZINC000352025693 410425141 /nfs/dbraw/zinc/42/51/41/410425141.db2.gz GHQYJBJOBDTOEE-ONEGZZNKSA-N -1 1 300.274 1.358 20 0 DDADMM CCOc1ccc(/C=C\c2cc(=O)n3[n-]cnc3n2)cc1OC ZINC000352028804 410427050 /nfs/dbraw/zinc/42/70/50/410427050.db2.gz WRGPSQUHVNMGTM-XQRVVYSFSA-N -1 1 312.329 1.995 20 0 DDADMM O=C(NCCCO[C@H]1CCCCO1)C(=O)c1ccc([O-])cc1 ZINC000298878146 410435818 /nfs/dbraw/zinc/43/58/18/410435818.db2.gz HHDWPAQRLCZMTE-AWEZNQCLSA-N -1 1 307.346 1.624 20 0 DDADMM COc1ccc2c(c1)C1(CC1)CN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000329806784 410448465 /nfs/dbraw/zinc/44/84/65/410448465.db2.gz BGCIOZJYYWNQGG-UHFFFAOYSA-N -1 1 300.362 1.164 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)Cc1cccc(C(F)(F)F)c1 ZINC000347391861 410517391 /nfs/dbraw/zinc/51/73/91/410517391.db2.gz KGPKNWZKWNEMBO-UHFFFAOYSA-N -1 1 300.284 1.759 20 0 DDADMM CC(C)c1nc(=NC[C@H]2CCCC[C@@H]2NS(C)(=O)=O)o[n-]1 ZINC000343618026 410574128 /nfs/dbraw/zinc/57/41/28/410574128.db2.gz BVYDNCHPGWAHEF-MNOVXSKESA-N -1 1 316.427 1.135 20 0 DDADMM COC[C@@]1(C)CN(C(=O)c2csc(=NC3CC3)[n-]2)CCO1 ZINC000629900655 422858678 /nfs/dbraw/zinc/85/86/78/422858678.db2.gz CXDNPHKWOCVHAJ-CQSZACIVSA-N -1 1 311.407 1.017 20 0 DDADMM CCC1(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCOCC1 ZINC000343748968 410668377 /nfs/dbraw/zinc/66/83/77/410668377.db2.gz YQRWTBGCXGKUSM-UHFFFAOYSA-N -1 1 318.377 1.611 20 0 DDADMM CN(C)c1cc(C(=O)NC2(c3nn[n-]n3)CC2)nc2ccccc21 ZINC000356059329 410805596 /nfs/dbraw/zinc/80/55/96/410805596.db2.gz WFQFULFAAWCQHE-UHFFFAOYSA-N -1 1 323.360 1.233 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CSCCN1C(=O)c1cncc([O-])c1 ZINC000330594709 410807817 /nfs/dbraw/zinc/80/78/17/410807817.db2.gz BBBXCMNSOLGXFK-LBPRGKRZSA-N -1 1 324.402 1.687 20 0 DDADMM CCCc1noc2nc(CC)cc(C(=O)Nc3nn[n-]n3)c12 ZINC000337503981 410829335 /nfs/dbraw/zinc/82/93/35/410829335.db2.gz NJXXKBCEYUZOIP-UHFFFAOYSA-N -1 1 301.310 1.503 20 0 DDADMM CN(C)C(=O)Nc1ccc(Cl)c(C(=O)Nc2nnn[n-]2)c1 ZINC000337565640 410866478 /nfs/dbraw/zinc/86/64/78/410866478.db2.gz YBPURJZKKVSHIB-UHFFFAOYSA-N -1 1 309.717 1.199 20 0 DDADMM CN(C)C(=O)Nc1ccc(Cl)c(C(=O)Nc2nn[n-]n2)c1 ZINC000337565640 410866485 /nfs/dbraw/zinc/86/64/85/410866485.db2.gz YBPURJZKKVSHIB-UHFFFAOYSA-N -1 1 309.717 1.199 20 0 DDADMM CCOCCO[C@H](C)C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000337585966 410877975 /nfs/dbraw/zinc/87/79/75/410877975.db2.gz SFAMUXKYPBPKHN-SNVBAGLBSA-N -1 1 311.334 1.559 20 0 DDADMM O=C(CCCOc1ccc(F)cc1)NC1(c2nn[n-]n2)CC1 ZINC000348274025 410890237 /nfs/dbraw/zinc/89/02/37/410890237.db2.gz IGUGOKGXPYPACG-UHFFFAOYSA-N -1 1 305.313 1.303 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc2ccc(Cl)cc2[nH]1 ZINC000348281184 410894699 /nfs/dbraw/zinc/89/46/99/410894699.db2.gz KCKLPXXBMUXYGY-UHFFFAOYSA-N -1 1 302.725 1.754 20 0 DDADMM Cc1ccc(Cl)c(O[C@@H](C)C(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000348284196 410897251 /nfs/dbraw/zinc/89/72/51/410897251.db2.gz SXZRPWMVJUXMPF-VIFPVBQESA-N -1 1 321.768 1.734 20 0 DDADMM Cc1c(Br)cccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348299701 410905863 /nfs/dbraw/zinc/90/58/63/410905863.db2.gz ZGRPOXOSXVGQBC-UHFFFAOYSA-N -1 1 322.166 1.690 20 0 DDADMM C[C@H]1COCC[C@H]1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000353332212 410923516 /nfs/dbraw/zinc/92/35/16/410923516.db2.gz PCBHAMGKWOJYDD-QWRGUYRKSA-N -1 1 301.346 1.326 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H](CO)c1ccsc1)c2=O ZINC000356255278 410926827 /nfs/dbraw/zinc/92/68/27/410926827.db2.gz QXHRCMRIUAGPQB-LLVKDONJSA-N -1 1 318.358 1.199 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(=O)N(C)C ZINC000360036326 411013785 /nfs/dbraw/zinc/01/37/85/411013785.db2.gz FQZVYRHOKWPPSS-SSDOTTSWSA-N -1 1 308.762 1.234 20 0 DDADMM Cn1nnc2c1nccc2C(=O)[N-]c1nnc(C(F)F)s1 ZINC000337784752 410999624 /nfs/dbraw/zinc/99/96/24/410999624.db2.gz APXJDQYRIXZZGV-UHFFFAOYSA-N -1 1 311.277 1.405 20 0 DDADMM Cc1ccc([C@H](NCc2nc(=O)n(C)[n-]2)c2ccccn2)cc1 ZINC000348383943 410945150 /nfs/dbraw/zinc/94/51/50/410945150.db2.gz ZQDILXZLKJHACP-INIZCTEOSA-N -1 1 309.373 1.691 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(Cc1cccc(F)c1)C1CC1 ZINC000635229323 422889110 /nfs/dbraw/zinc/88/91/10/422889110.db2.gz MSILMINTJYWZLO-UHFFFAOYSA-N -1 1 303.341 1.853 20 0 DDADMM O=C1NCCCC[C@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631733428 422896853 /nfs/dbraw/zinc/89/68/53/422896853.db2.gz FEABOPWXASTKMX-SSDOTTSWSA-N -1 1 308.306 1.164 20 0 DDADMM O=C([O-])C1(C(=O)N2CCC[C@@H](c3n[nH]c(C4CC4)n3)C2)CC1 ZINC000580777254 422953681 /nfs/dbraw/zinc/95/36/81/422953681.db2.gz NIACDVASKYSXBN-SNVBAGLBSA-N -1 1 304.350 1.253 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1C[C@H](C)[C@@H]1C ZINC000580592856 422943442 /nfs/dbraw/zinc/94/34/42/422943442.db2.gz REJXNIMQKBEQEP-JQWIXIFHSA-N -1 1 312.373 1.550 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2cncc([O-])c2)[C@H]1n1cccn1 ZINC000647599594 422967350 /nfs/dbraw/zinc/96/73/50/422967350.db2.gz UKZLLBCIARGYJA-MGPQQGTHSA-N -1 1 302.334 1.132 20 0 DDADMM NC(=O)c1csc(=N[C@@H]2CCO[C@@H](c3cccnc3)C2)[n-]1 ZINC000645356544 423003208 /nfs/dbraw/zinc/00/32/08/423003208.db2.gz YNWLPURVMIBBJQ-ZYHUDNBSSA-N -1 1 304.375 1.391 20 0 DDADMM O=C([O-])[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000647737861 423022642 /nfs/dbraw/zinc/02/26/42/423022642.db2.gz DHWCIOHPBGDIIS-GMTAPVOTSA-N -1 1 304.350 1.109 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc2c(cc1F)NC(=O)CC2 ZINC000647815577 423059359 /nfs/dbraw/zinc/05/93/59/423059359.db2.gz CCSKJJFFUWZNPP-UHFFFAOYSA-N -1 1 304.281 1.700 20 0 DDADMM O=S(=O)(Nc1cccc(Cl)c1[O-])N1CCCOCC1 ZINC000650180970 423073107 /nfs/dbraw/zinc/07/31/07/423073107.db2.gz PVGPNBFAKZGIPA-UHFFFAOYSA-N -1 1 306.771 1.425 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C(C)C)c1ccc(C)o1 ZINC000645574950 423094894 /nfs/dbraw/zinc/09/48/94/423094894.db2.gz FNCUAZVLXCLWTO-TZMCWYRMSA-N -1 1 319.423 1.866 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)Cc2cnn(C)c2)sc1C ZINC000650244438 423098977 /nfs/dbraw/zinc/09/89/77/423098977.db2.gz PJXWUEDKFDAVGX-QMMMGPOBSA-N -1 1 314.436 1.403 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](CO)CC1CCCCC1 ZINC000416669476 225026774 /nfs/dbraw/zinc/02/67/74/225026774.db2.gz ZWYYESLKJMLJLT-NSHDSACASA-N -1 1 317.411 1.005 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](CO)CC1CCCCC1 ZINC000416669476 225026777 /nfs/dbraw/zinc/02/67/77/225026777.db2.gz ZWYYESLKJMLJLT-NSHDSACASA-N -1 1 317.411 1.005 20 0 DDADMM FC(F)(F)CN=c1[n-]nc(SCCc2c[nH]nn2)s1 ZINC000647916449 423114338 /nfs/dbraw/zinc/11/43/38/423114338.db2.gz JITDHHYUYWMWFM-UHFFFAOYSA-N -1 1 310.330 1.387 20 0 DDADMM FC(F)(F)CN=c1[n-]nc(SCCc2cnn[nH]2)s1 ZINC000647916449 423114343 /nfs/dbraw/zinc/11/43/43/423114343.db2.gz JITDHHYUYWMWFM-UHFFFAOYSA-N -1 1 310.330 1.387 20 0 DDADMM CSc1nc(CNC(=O)c2cc3n(n2)CCCC3)cc(=O)[n-]1 ZINC000640655974 423116563 /nfs/dbraw/zinc/11/65/63/423116563.db2.gz UAZMPHUDZIITEL-UHFFFAOYSA-N -1 1 319.390 1.367 20 0 DDADMM CSc1nc(CNC(=O)C[C@@H](C)n2cccn2)cc(=O)[n-]1 ZINC000640655764 423115636 /nfs/dbraw/zinc/11/56/36/423115636.db2.gz RSTKBGCXXLDBNB-SECBINFHSA-N -1 1 307.379 1.368 20 0 DDADMM COc1ccccc1[C@H](CNC(=O)C(=O)[O-])N1CCCCC1 ZINC000360421127 418430424 /nfs/dbraw/zinc/43/04/24/418430424.db2.gz RHGBQWNZLHIJMV-ZDUSSCGKSA-N -1 1 306.362 1.423 20 0 DDADMM Cc1ccc(F)c(S(=O)(=O)N[C@@H]2CC[N@@H+](C)[C@@H](C)C2)c1 ZINC000360655902 418485120 /nfs/dbraw/zinc/48/51/20/418485120.db2.gz ZMJZTXFOIFFPDZ-NWDGAFQWSA-N -1 1 300.399 1.895 20 0 DDADMM CO[C@@H](C)c1nc(=NC[C@@H]2COC3(CCOCC3)O2)s[n-]1 ZINC000367173041 418568278 /nfs/dbraw/zinc/56/82/78/418568278.db2.gz OTTKGOHHCJFXOS-VHSXEESVSA-N -1 1 315.395 1.001 20 0 DDADMM O=S(=O)(Cc1ccn(-c2ccccc2F)n1)c1ncn[n-]1 ZINC000187833302 222000191 /nfs/dbraw/zinc/00/01/91/222000191.db2.gz XPEBNZAWIHRBCQ-UHFFFAOYSA-N -1 1 307.310 1.103 20 0 DDADMM O=S(=O)(Cc1ccn(-c2ccccc2F)n1)c1nc[n-]n1 ZINC000187833302 222000194 /nfs/dbraw/zinc/00/01/94/222000194.db2.gz XPEBNZAWIHRBCQ-UHFFFAOYSA-N -1 1 307.310 1.103 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N(C)CC(F)(F)F)n1 ZINC000188089704 222006766 /nfs/dbraw/zinc/00/67/66/222006766.db2.gz AJLMVKGUPHTSAW-UHFFFAOYSA-N -1 1 309.313 1.445 20 0 DDADMM O=C1NCCN1CCSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000361086072 418580167 /nfs/dbraw/zinc/58/01/67/418580167.db2.gz HNNVUDWVDJREEA-UHFFFAOYSA-N -1 1 308.285 1.318 20 0 DDADMM O=c1ccc([N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)n[nH]1 ZINC000192623579 222131291 /nfs/dbraw/zinc/13/12/91/222131291.db2.gz BGJOYULYEXQZSV-UHFFFAOYSA-N -1 1 305.237 1.400 20 0 DDADMM CCN(CC)C(=O)[C@H](C)S(=O)(=O)c1nnc(C(C)(C)C)[n-]1 ZINC000195171896 222192680 /nfs/dbraw/zinc/19/26/80/222192680.db2.gz GEVQFAXFGXCWME-VIFPVBQESA-N -1 1 316.427 1.133 20 0 DDADMM CCN(CC)C(=O)[C@H](C)S(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000195171896 222192683 /nfs/dbraw/zinc/19/26/83/222192683.db2.gz GEVQFAXFGXCWME-VIFPVBQESA-N -1 1 316.427 1.133 20 0 DDADMM CCN(CC)C(=O)[C@H](C)S(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195171896 222192685 /nfs/dbraw/zinc/19/26/85/222192685.db2.gz GEVQFAXFGXCWME-VIFPVBQESA-N -1 1 316.427 1.133 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H]2CCC(=O)N[C@@H]2C2CC2)sc1C ZINC000367417681 418599403 /nfs/dbraw/zinc/59/94/03/418599403.db2.gz IDUCYQRUKWEAGF-BXKDBHETSA-N -1 1 309.391 1.129 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(Cc2ccc(Br)o2)C1 ZINC000310932175 418591157 /nfs/dbraw/zinc/59/11/57/418591157.db2.gz JBAFMFPJOCWINT-LBPRGKRZSA-N -1 1 318.167 1.965 20 0 DDADMM COCc1nsc(=NC[C@@H]2CCC[C@@H]2N2CCOCC2)[n-]1 ZINC000361787014 418711058 /nfs/dbraw/zinc/71/10/58/418711058.db2.gz ZJVXTKJCUZSZGD-RYUDHWBXSA-N -1 1 312.439 1.019 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](c3ccco3)C2)co1 ZINC000371057099 418759965 /nfs/dbraw/zinc/75/99/65/418759965.db2.gz VUJXPVMWHYBSCS-SNVBAGLBSA-N -1 1 324.358 1.410 20 0 DDADMM C[C@@H]1CC[S@@](=O)CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000365445142 418862339 /nfs/dbraw/zinc/86/23/39/418862339.db2.gz BZMDMQYXYYUONU-RKFFSXRUSA-N -1 1 321.398 1.842 20 0 DDADMM CCCN1C[C@@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)CC1=O ZINC000411293335 418889641 /nfs/dbraw/zinc/88/96/41/418889641.db2.gz QKERTKZAEBGQDC-LURJTMIESA-N -1 1 305.260 1.021 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2cccc(F)c2-c2nc[nH]n2)c[nH]1 ZINC000365825019 418913687 /nfs/dbraw/zinc/91/36/87/418913687.db2.gz BQJNCABODCEFJT-UHFFFAOYSA-N -1 1 322.325 1.443 20 0 DDADMM CCn1nc(C)c([N-]S(=O)(=O)CCOCC2CC2)c1C ZINC000424057997 228223601 /nfs/dbraw/zinc/22/36/01/228223601.db2.gz CHZBJZHCZPJBFL-UHFFFAOYSA-N -1 1 301.412 1.688 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C2(CC(N)=O)CCC2)c(F)c1 ZINC000425169249 228378781 /nfs/dbraw/zinc/37/87/81/228378781.db2.gz NSOCADJLQVHUOL-UHFFFAOYSA-N -1 1 318.345 1.350 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)Cn2ccnc2)c(F)c1 ZINC000425143574 228372314 /nfs/dbraw/zinc/37/23/14/228372314.db2.gz PAYAKVNEKNSQIZ-SNVBAGLBSA-N -1 1 315.345 1.837 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC(=O)NC(C)C ZINC000298156375 228385342 /nfs/dbraw/zinc/38/53/42/228385342.db2.gz DWNHJVNKRYHMHY-UHFFFAOYSA-N -1 1 308.382 1.043 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C2(C(=O)N(C)C)CC2)c(F)c1 ZINC000425190784 228386155 /nfs/dbraw/zinc/38/61/55/228386155.db2.gz WAUQVRSOFQEIOQ-UHFFFAOYSA-N -1 1 318.345 1.172 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1ccc(C(N)=O)cc1F ZINC000425974702 419375748 /nfs/dbraw/zinc/37/57/48/419375748.db2.gz FDWBLQFPVYXNPT-UHFFFAOYSA-N -1 1 304.343 1.091 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C)c2nnnn2-c2ccccc2)c([O-])c1 ZINC000427472069 419670057 /nfs/dbraw/zinc/67/00/57/419670057.db2.gz ONEWTGMMIDFIAG-LLVKDONJSA-N -1 1 324.344 1.562 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-]c1nc2ccc(C)cc2[nH]1 ZINC000427654345 419706446 /nfs/dbraw/zinc/70/64/46/419706446.db2.gz BORWLSIXUWHTEW-UHFFFAOYSA-N -1 1 305.363 1.714 20 0 DDADMM CCN(C[C@@H](O)C(F)(F)F)C(=O)c1csc(=NC2CC2)[n-]1 ZINC000428496315 419877242 /nfs/dbraw/zinc/87/72/42/419877242.db2.gz FGSLRQPQIRFYHX-SECBINFHSA-N -1 1 323.340 1.525 20 0 DDADMM Cc1noc(CCC[N-]S(=O)(=O)c2nc(C)c(C)s2)n1 ZINC000435226795 229363233 /nfs/dbraw/zinc/36/32/33/229363233.db2.gz NZTFHKSHPSMSBP-UHFFFAOYSA-N -1 1 316.408 1.362 20 0 DDADMM O=c1nc(N[C@@H]2C[C@H]2c2c(F)cccc2F)nc2[nH][n-]cc1-2 ZINC000435567943 229396206 /nfs/dbraw/zinc/39/62/06/229396206.db2.gz FSKKQONKZXECPA-LHLIQPBNSA-N -1 1 303.272 1.678 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccnc2N(C)C)n1 ZINC000415637297 420131200 /nfs/dbraw/zinc/13/12/00/420131200.db2.gz MCMVUGJFXWULQO-UHFFFAOYSA-N -1 1 303.322 1.300 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCC[C@@H](C)[C@H]1C ZINC000416229292 420288740 /nfs/dbraw/zinc/28/87/40/420288740.db2.gz UTSHIHJCPOPTIO-BBBLOLIVSA-N -1 1 309.366 1.699 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCCS(=O)(=O)N(C)C)n1 ZINC000436125563 420310911 /nfs/dbraw/zinc/31/09/11/420310911.db2.gz BOLGVTVRFPKOFQ-UHFFFAOYSA-N -1 1 319.452 1.508 20 0 DDADMM C[C@@H]1CO[C@H](CO)CN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436751725 420363308 /nfs/dbraw/zinc/36/33/08/420363308.db2.gz NLMOWXOOSMIUOT-SCZZXKLOSA-N -1 1 319.279 1.633 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C(C)(C)c2cnn(C)c2)c1 ZINC000436504222 420335575 /nfs/dbraw/zinc/33/55/75/420335575.db2.gz KHBAAALVPYLMQS-UHFFFAOYSA-N -1 1 317.345 1.829 20 0 DDADMM C[C@@H]1COCCN1CCNC(=O)c1ccc2ccccc2c1[O-] ZINC000436562151 420339553 /nfs/dbraw/zinc/33/95/53/420339553.db2.gz KFZWZUUIMIBVHR-CYBMUJFWSA-N -1 1 314.385 1.996 20 0 DDADMM Cn1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(C2CC2)n1 ZINC000425294964 420341332 /nfs/dbraw/zinc/34/13/32/420341332.db2.gz FDOMFHYJSTWKGI-JTQLQIEISA-N -1 1 317.349 1.406 20 0 DDADMM C[C@@H](CNC(=O)C(=O)c1ccc([O-])cc1)N1CCCCC1=O ZINC000436590631 420341863 /nfs/dbraw/zinc/34/18/63/420341863.db2.gz UACQZFREDCOHQY-NSHDSACASA-N -1 1 304.346 1.092 20 0 DDADMM COC[C@](C)(CC(=O)OC)NC(=O)c1ccc(Cl)cc1[O-] ZINC000436575864 420342661 /nfs/dbraw/zinc/34/26/61/420342661.db2.gz NZZZEEVKZGWXAI-AWEZNQCLSA-N -1 1 315.753 1.744 20 0 DDADMM O=C(CCc1cncc(F)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425325217 420351366 /nfs/dbraw/zinc/35/13/66/420351366.db2.gz RISZJKQPQVRXGG-UHFFFAOYSA-N -1 1 320.324 1.648 20 0 DDADMM NC(=O)COc1ccc(NC(=O)c2ccc(O)cc2[O-])cc1 ZINC000436675813 420352942 /nfs/dbraw/zinc/35/29/42/420352942.db2.gz YGCPDVVPBSFPJZ-UHFFFAOYSA-N -1 1 302.286 1.214 20 0 DDADMM CNC(=O)COc1ccc(NC(=O)c2ccc(O)cc2[O-])cc1 ZINC000436708230 420359170 /nfs/dbraw/zinc/35/91/70/420359170.db2.gz WYVYZPAIDVNGDM-UHFFFAOYSA-N -1 1 316.313 1.475 20 0 DDADMM CC[C@@H]1CCCC[C@H]1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416242333 420294268 /nfs/dbraw/zinc/29/42/68/420294268.db2.gz RPZNMJFLWHEGRG-ZJUUUORDSA-N -1 1 309.366 1.843 20 0 DDADMM Cn1cc([C@@H](CO)NC(=O)c2ccc3ccccc3c2[O-])cn1 ZINC000436888567 420378771 /nfs/dbraw/zinc/37/87/71/420378771.db2.gz NWHAXUPCZQPNDO-OAHLLOKOSA-N -1 1 311.341 1.742 20 0 DDADMM CCc1ccc([C@H](CO)NC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000437000227 420391372 /nfs/dbraw/zinc/39/13/72/420391372.db2.gz OSVGGKKUNLKBEE-INIZCTEOSA-N -1 1 313.353 1.987 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@H]2CCC(=O)OC(C)(C)C)nc1=O ZINC000425481171 420394982 /nfs/dbraw/zinc/39/49/82/420394982.db2.gz RQPYTKNLFYHMEK-LBPRGKRZSA-N -1 1 324.425 1.585 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCc2ncsc2C1 ZINC000438682988 420462965 /nfs/dbraw/zinc/46/29/65/420462965.db2.gz QILDBYSKVWLNLH-UHFFFAOYSA-N -1 1 306.416 1.794 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cc(C(=O)OC)cs2)n1 ZINC000451028470 420581712 /nfs/dbraw/zinc/58/17/12/420581712.db2.gz OUMYLIOGSTWODR-UHFFFAOYSA-N -1 1 316.364 1.016 20 0 DDADMM COC(=O)N1CCC([C@H](C)NC(=O)c2cncc([O-])c2)CC1 ZINC000492573311 420596059 /nfs/dbraw/zinc/59/60/59/420596059.db2.gz WDQGDXFMXUZUOS-JTQLQIEISA-N -1 1 307.350 1.384 20 0 DDADMM COc1cc(C=CC(=O)N=c2ccc([O-])n[nH]2)cc(OC)c1 ZINC000492716546 420638192 /nfs/dbraw/zinc/63/81/92/420638192.db2.gz NLIGCJMTXVMDFB-HYXAFXHYSA-N -1 1 301.302 1.273 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCCN(C(=O)OCC)C1)c1nn[n-]n1 ZINC000492885608 420699214 /nfs/dbraw/zinc/69/92/14/420699214.db2.gz XPFXADKSAIVSEE-WDEREUQCSA-N -1 1 324.385 1.026 20 0 DDADMM CCOC(=O)c1n[n-]c(-c2cccc(CNC(=O)C(C)C)c2)n1 ZINC000447688558 420794027 /nfs/dbraw/zinc/79/40/27/420794027.db2.gz MABLBSRBTSWYCW-UHFFFAOYSA-N -1 1 316.361 1.921 20 0 DDADMM CC(C)(C)OC(=O)NCC1(C(=O)Nc2nnn[n-]2)CCCC1 ZINC000493243737 420796547 /nfs/dbraw/zinc/79/65/47/420796547.db2.gz IWBGFQNSVZSLOU-UHFFFAOYSA-N -1 1 310.358 1.223 20 0 DDADMM CC(C)(C)OC(=O)NCC1(C(=O)Nc2nn[n-]n2)CCCC1 ZINC000493243737 420796549 /nfs/dbraw/zinc/79/65/49/420796549.db2.gz IWBGFQNSVZSLOU-UHFFFAOYSA-N -1 1 310.358 1.223 20 0 DDADMM CCN1C[C@H](NC(=O)c2cc(Cl)c([O-])c(OC)c2)CC1=O ZINC000456169013 421111987 /nfs/dbraw/zinc/11/19/87/421111987.db2.gz PCFRNOOKDYOZCR-SECBINFHSA-N -1 1 312.753 1.405 20 0 DDADMM C[C@H](OCCc1ccccc1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000450001749 421126769 /nfs/dbraw/zinc/12/67/69/421126769.db2.gz APYYSGYPEYQBCO-NSHDSACASA-N -1 1 303.366 1.199 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N(Cc1cccs1)C1CC1 ZINC000544685496 421227014 /nfs/dbraw/zinc/22/70/14/421227014.db2.gz CDXKJWOJAMDYGL-UHFFFAOYSA-N -1 1 315.358 1.284 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1Cc2ccccc2S1 ZINC000522854048 421227053 /nfs/dbraw/zinc/22/70/53/421227053.db2.gz RTDORGVVAFEFPC-GFCCVEGCSA-N -1 1 315.402 1.802 20 0 DDADMM O=C(N=c1nc(C(F)(F)F)[n-][nH]1)c1nc2c(s1)CCC2 ZINC000561074312 421303354 /nfs/dbraw/zinc/30/33/54/421303354.db2.gz CFIKCKQWTXQMSU-UHFFFAOYSA-N -1 1 303.269 1.443 20 0 DDADMM Cc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(C2CC2)n1 ZINC000562925612 421425742 /nfs/dbraw/zinc/42/57/42/421425742.db2.gz OXBQLKJOAGODNC-SECBINFHSA-N -1 1 300.366 1.656 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)nn1[C@H](C)C1CC1 ZINC000548467156 421442488 /nfs/dbraw/zinc/44/24/88/421442488.db2.gz OYXSLZWESJGUTD-GXSJLCMTSA-N -1 1 317.397 1.551 20 0 DDADMM CC(C)(C)c1n[n-]c(S(=O)(=O)Cc2nnsc2Cl)n1 ZINC000562594081 421385455 /nfs/dbraw/zinc/38/54/55/421385455.db2.gz HZVCWQQGACSRRW-UHFFFAOYSA-N -1 1 321.815 1.581 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2nnsc2Cl)n[n-]1 ZINC000562594081 421385458 /nfs/dbraw/zinc/38/54/58/421385458.db2.gz HZVCWQQGACSRRW-UHFFFAOYSA-N -1 1 321.815 1.581 20 0 DDADMM Cc1oc2nc(CN3CC[C@H](C)[C@@H](C(=O)[O-])C3)nc(N)c2c1C ZINC000563126886 421459228 /nfs/dbraw/zinc/45/92/28/421459228.db2.gz UUZBTCQCEFRHEB-KWQFWETISA-N -1 1 318.377 1.964 20 0 DDADMM O=C(CN1CCCC[C@@H]1c1ccc(F)cc1F)Nc1nnn[n-]1 ZINC000563198172 421470066 /nfs/dbraw/zinc/47/00/66/421470066.db2.gz CGPAVIHWPGJETF-GFCCVEGCSA-N -1 1 322.319 1.644 20 0 DDADMM O=C(CN1CCCC[C@@H]1c1ccc(F)cc1F)Nc1nn[n-]n1 ZINC000563198172 421470068 /nfs/dbraw/zinc/47/00/68/421470068.db2.gz CGPAVIHWPGJETF-GFCCVEGCSA-N -1 1 322.319 1.644 20 0 DDADMM C[C@H](CN(CCCS(C)(=O)=O)Cc1ccccc1)C(=O)[O-] ZINC000563285173 421481830 /nfs/dbraw/zinc/48/18/30/421481830.db2.gz YETYQLAOKSGDNX-CYBMUJFWSA-N -1 1 313.419 1.644 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1CCc2ccccc2C1 ZINC000563813662 421544907 /nfs/dbraw/zinc/54/49/07/421544907.db2.gz NEZRVYSZCZVSCB-CQSZACIVSA-N -1 1 311.389 1.890 20 0 DDADMM CCc1nc(SC[C@H]2CCCS(=O)(=O)C2)[n-]c(=O)c1C ZINC000517246598 421604773 /nfs/dbraw/zinc/60/47/73/421604773.db2.gz BLZWCMJEPQMTDT-SNVBAGLBSA-N -1 1 316.448 1.970 20 0 DDADMM CC(=O)Nc1cccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000537121890 421725989 /nfs/dbraw/zinc/72/59/89/421725989.db2.gz RNXXSEHEXCGAQZ-LBPRGKRZSA-N -1 1 314.349 1.178 20 0 DDADMM C[C@@H]1Cc2cccc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)c2O1 ZINC000571032439 421682908 /nfs/dbraw/zinc/68/29/08/421682908.db2.gz RRFGMYBCOWFZNY-ZYHUDNBSSA-N -1 1 313.361 1.543 20 0 DDADMM O=C1NC(=O)N2CCN(C3c4ccccc4-c4ccccc43)C[C@@H]12 ZINC000534216951 421684833 /nfs/dbraw/zinc/68/48/33/421684833.db2.gz JACJPJVTVCJMCD-INIZCTEOSA-N -1 1 319.364 1.992 20 0 DDADMM O=C([C@@H]1OCCc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538225195 421737459 /nfs/dbraw/zinc/73/74/59/421737459.db2.gz GGAGMFAMQGPXSI-TZMCWYRMSA-N -1 1 313.361 1.220 20 0 DDADMM COc1ccc(C)cc1CS(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000520356469 421747188 /nfs/dbraw/zinc/74/71/88/421747188.db2.gz ZLASEOVFMXJZEZ-UHFFFAOYSA-N -1 1 307.375 1.973 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2sc(C)nc2C)s1 ZINC000541870014 421810364 /nfs/dbraw/zinc/81/03/64/421810364.db2.gz MFLCYWAOWJCLRS-UHFFFAOYSA-N -1 1 304.422 1.398 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)N=c2[n-]nc(C(F)F)s2)CCN1C ZINC000541705816 421801782 /nfs/dbraw/zinc/80/17/82/421801782.db2.gz HJBICEQSVNYZJF-RQJHMYQMSA-N -1 1 305.354 1.454 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CNCc1ccc(OC(C)(C)C)nc1 ZINC000635309587 421897157 /nfs/dbraw/zinc/89/71/57/421897157.db2.gz QLASQRGYZHCELI-UHFFFAOYSA-N -1 1 318.377 1.980 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H]1CCc2nnnn2CC1 ZINC000633460409 421917317 /nfs/dbraw/zinc/91/73/17/421917317.db2.gz LVYKCYPBOMKSSC-SNVBAGLBSA-N -1 1 321.768 1.096 20 0 DDADMM CCc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1 ZINC000630221971 421973399 /nfs/dbraw/zinc/97/33/99/421973399.db2.gz CGOKHRJOBKFVBS-CYBMUJFWSA-N -1 1 304.390 1.870 20 0 DDADMM CCc1noc(C)c1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630221914 421973760 /nfs/dbraw/zinc/97/37/60/421973760.db2.gz FKWZQKKRJHBCIC-UHFFFAOYSA-N -1 1 309.366 1.166 20 0 DDADMM COC1(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)CCCCC1 ZINC000630220121 421970099 /nfs/dbraw/zinc/97/00/99/421970099.db2.gz MITGDNKQVZHNOA-ZDUSSCGKSA-N -1 1 312.410 1.343 20 0 DDADMM C[C@@H](NC(=O)c1cnccc1N(C)C)c1ncc(C(=O)[O-])s1 ZINC000630220481 421970182 /nfs/dbraw/zinc/97/01/82/421970182.db2.gz UHPUOSYZDPEFPM-MRVPVSSYSA-N -1 1 320.374 1.793 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H](NC(=O)N=c2[n-]sc3ccccc32)C1 ZINC000630351880 422040230 /nfs/dbraw/zinc/04/02/30/422040230.db2.gz SQSPQTRWKHBXDD-NXEZZACHSA-N -1 1 318.402 1.884 20 0 DDADMM Cc1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c(C)s1 ZINC000630224263 421975787 /nfs/dbraw/zinc/97/57/87/421975787.db2.gz QCKVDVISELLHHZ-UHFFFAOYSA-N -1 1 310.419 1.986 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C2(C(F)F)CCC2)CC1 ZINC000630227654 421979237 /nfs/dbraw/zinc/97/92/37/421979237.db2.gz PXGYGKRSKMBEPW-UHFFFAOYSA-N -1 1 304.337 1.429 20 0 DDADMM C[C@@H](O)CN1Cc2cccc(Br)c2C[C@@H]1C(=O)[O-] ZINC000581889754 422064028 /nfs/dbraw/zinc/06/40/28/422064028.db2.gz KWWLBKKPVNYYGN-PRHODGIISA-N -1 1 314.179 1.641 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@H]2C(C)(C)O)c1 ZINC000632126761 422106433 /nfs/dbraw/zinc/10/64/33/422106433.db2.gz BGESWTDKISKEHG-CYBMUJFWSA-N -1 1 315.391 1.325 20 0 DDADMM Cc1cc(Br)ccc1NC(=O)CCc1nn[n-]n1 ZINC000630448013 422110640 /nfs/dbraw/zinc/11/06/40/422110640.db2.gz VDELGPWNMOWETB-UHFFFAOYSA-N -1 1 310.155 1.842 20 0 DDADMM COc1cccc(C(C)(C)CNC(=O)CCc2nn[n-]n2)c1 ZINC000632050981 422051725 /nfs/dbraw/zinc/05/17/25/422051725.db2.gz YPNUOJCGNOFJRJ-UHFFFAOYSA-N -1 1 303.366 1.235 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccccc1CC(F)(F)F ZINC000633696641 422054634 /nfs/dbraw/zinc/05/46/34/422054634.db2.gz IKJLULWDXYVRAC-UHFFFAOYSA-N -1 1 313.283 1.554 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCO[C@@H](C)C2)c1 ZINC000632175542 422139422 /nfs/dbraw/zinc/13/94/22/422139422.db2.gz WMVHILIGDLDPDP-WDEREUQCSA-N -1 1 315.391 1.494 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)[C@@H]2CCCOC2)c1 ZINC000632192356 422152602 /nfs/dbraw/zinc/15/26/02/422152602.db2.gz ASOLJGFOWSKNKD-WDEREUQCSA-N -1 1 315.391 1.494 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](C)c2nccs2)sn1 ZINC000632207549 422164566 /nfs/dbraw/zinc/16/45/66/422164566.db2.gz KAFGTHQKDTXXSK-ZETCQYMHSA-N -1 1 303.434 1.990 20 0 DDADMM Cc1ccccc1C[C@@H](C)N(C)Cc1cn(CC(=O)[O-])nn1 ZINC000583504833 422175776 /nfs/dbraw/zinc/17/57/76/422175776.db2.gz HIJGBNIZPOHUDB-CYBMUJFWSA-N -1 1 302.378 1.734 20 0 DDADMM C[C@@]12CN(C(=O)c3ccc(Cl)cc3[O-])C[C@@]1(C)C(=O)NC2=O ZINC000633876751 422126751 /nfs/dbraw/zinc/12/67/51/422126751.db2.gz NQCKKAFRRKZJGF-GJZGRUSLSA-N -1 1 322.748 1.170 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCO1 ZINC000632172201 422135845 /nfs/dbraw/zinc/13/58/45/422135845.db2.gz OHIJDEWXJXASMC-NKWVEPMBSA-N -1 1 313.301 1.274 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C[C@H](C)O[C@@H]1C ZINC000634022110 422226092 /nfs/dbraw/zinc/22/60/92/422226092.db2.gz OKECGSPRCWFXCA-RLCGTCKNSA-N -1 1 318.377 1.276 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CSC2(C)C)c1 ZINC000632314578 422241992 /nfs/dbraw/zinc/24/19/92/422241992.db2.gz BPSMNDFWGGBMKZ-NSHDSACASA-N -1 1 303.405 1.573 20 0 DDADMM Cc1ccc2[nH]c3c(c2c1)C[C@H](NC(=O)CCc1nn[n-]n1)CC3 ZINC000632334267 422254720 /nfs/dbraw/zinc/25/47/20/422254720.db2.gz BDPFLJXXMYGBPY-LLVKDONJSA-N -1 1 324.388 1.596 20 0 DDADMM CCC1(CC)[C@@H](OC)C[C@@H]1N(C)C(=O)CCCc1nn[n-]n1 ZINC000635702905 422255517 /nfs/dbraw/zinc/25/55/17/422255517.db2.gz FXBFJWWCNRGTEC-RYUDHWBXSA-N -1 1 309.414 1.575 20 0 DDADMM COc1cccc([C@H](N)C(=O)N2CC[C@@H](C)[C@H](C(=O)[O-])C2)c1 ZINC000630573196 422188902 /nfs/dbraw/zinc/18/89/02/422188902.db2.gz FJLQKHPDCOSFPI-HONMWMINSA-N -1 1 306.362 1.264 20 0 DDADMM COc1cccc([C@H](N)C(=O)N2C[C@H](C)C[C@H](C(=O)[O-])C2)c1 ZINC000630574445 422190267 /nfs/dbraw/zinc/19/02/67/422190267.db2.gz PSPWEJJMHDAXQL-OSMZGAPFSA-N -1 1 306.362 1.264 20 0 DDADMM CCN([C@@H]1CCOC1)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632352792 422269124 /nfs/dbraw/zinc/26/91/24/422269124.db2.gz MHTLHNIFAQKEBQ-SNVBAGLBSA-N -1 1 301.364 1.200 20 0 DDADMM CC[C@@H](C)[C@@H](CNS(=O)(=O)c1cc(OC)ccc1[O-])OC ZINC000632389879 422299212 /nfs/dbraw/zinc/29/92/12/422299212.db2.gz JKPGMMNHLCWUNA-ZWNOBZJWSA-N -1 1 317.407 1.740 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)[C@H](O)C(F)F ZINC000632390777 422301228 /nfs/dbraw/zinc/30/12/28/422301228.db2.gz KAWBXZIMOOUCQO-SVRRBLITSA-N -1 1 300.352 1.134 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2CCOC(C)(C)C2)sn1 ZINC000632432426 422339247 /nfs/dbraw/zinc/33/92/47/422339247.db2.gz OXRATEXKJXJNOH-SNVBAGLBSA-N -1 1 304.437 1.935 20 0 DDADMM C[C@H]1CN(C(=O)CCc2nn[n-]n2)C[C@@H](c2ccccc2)O1 ZINC000630826583 422357510 /nfs/dbraw/zinc/35/75/10/422357510.db2.gz KIWAOVVBUAPIRK-AAEUAGOBSA-N -1 1 301.350 1.121 20 0 DDADMM Cc1ccc(-c2n[n-]c(S(=O)(=O)CC3CCOCC3)n2)cc1 ZINC000576070629 422364812 /nfs/dbraw/zinc/36/48/12/422364812.db2.gz VYQZOTQOSZZUGT-UHFFFAOYSA-N -1 1 321.402 1.980 20 0 DDADMM Cc1ccc(-c2nc(S(=O)(=O)CC3CCOCC3)n[n-]2)cc1 ZINC000576070629 422364815 /nfs/dbraw/zinc/36/48/15/422364815.db2.gz VYQZOTQOSZZUGT-UHFFFAOYSA-N -1 1 321.402 1.980 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H](CC(C)C)OC)c1 ZINC000632468367 422370453 /nfs/dbraw/zinc/37/04/53/422370453.db2.gz SUPGTBDKJJFZAN-LBPRGKRZSA-N -1 1 317.407 1.740 20 0 DDADMM CC[C@H]1CN(C(=O)CCc2nn[n-]n2)c2cccc(Cl)c2O1 ZINC000632413044 422322370 /nfs/dbraw/zinc/32/23/70/422322370.db2.gz RNNGCJFUOXRQFZ-VIFPVBQESA-N -1 1 321.768 1.990 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H](c1ccccc1)[C@@H]1CCOC1 ZINC000635765618 422326368 /nfs/dbraw/zinc/32/63/68/422326368.db2.gz SBEPHBBFRGEOMF-CZUORRHYSA-N -1 1 315.377 1.416 20 0 DDADMM CO[C@@]1(C(F)(F)F)CCCN(C(=O)CCCc2nn[n-]n2)C1 ZINC000635833252 422387375 /nfs/dbraw/zinc/38/73/75/422387375.db2.gz TZXIPBVMAYLITR-NSHDSACASA-N -1 1 321.303 1.092 20 0 DDADMM CCOc1ccccc1[C@H](CC)NC(=O)CCc1nn[n-]n1 ZINC000630885647 422394897 /nfs/dbraw/zinc/39/48/97/422394897.db2.gz UNBSMBRJTXVUQJ-LBPRGKRZSA-N -1 1 303.366 1.799 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCO[C@H](c2ccccc2)C1 ZINC000630934435 422426344 /nfs/dbraw/zinc/42/63/44/422426344.db2.gz NGVZUKDNXZCQAO-ZDUSSCGKSA-N -1 1 301.350 1.123 20 0 DDADMM O=S(=O)([N-]CC[C@@H]1CC1(F)F)c1c[nH]nc1C(F)(F)F ZINC000632586852 422455730 /nfs/dbraw/zinc/45/57/30/422455730.db2.gz WSVRBAIXLSKGEB-RXMQYKEDSA-N -1 1 319.255 1.752 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(OC(F)F)cc1F ZINC000635903370 422459033 /nfs/dbraw/zinc/45/90/33/422459033.db2.gz ZKAOZEXAIDONFI-UHFFFAOYSA-N -1 1 315.255 1.902 20 0 DDADMM CCC[C@H](CCO)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632619336 422478865 /nfs/dbraw/zinc/47/88/65/422478865.db2.gz IVJHKTDGIHKJHF-SNVBAGLBSA-N -1 1 303.380 1.230 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2CCc3cccnc32)sn1 ZINC000632731808 422548832 /nfs/dbraw/zinc/54/88/32/422548832.db2.gz QXXGXLBIGOOGOJ-LLVKDONJSA-N -1 1 309.416 1.855 20 0 DDADMM C[C@H](CCCc1cccnc1)NC(=O)CCCc1nn[n-]n1 ZINC000636016080 422567444 /nfs/dbraw/zinc/56/74/44/422567444.db2.gz MHGQJVVPIPYSJQ-GFCCVEGCSA-N -1 1 302.382 1.445 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCO[C@@H](C(=O)C2CC2)C1 ZINC000632773496 422568951 /nfs/dbraw/zinc/56/89/51/422568951.db2.gz PYQDTHKANOTKPI-CQSZACIVSA-N -1 1 323.776 1.795 20 0 DDADMM CC(C)(C)c1ccncc1CNC(=O)CCCc1nn[n-]n1 ZINC000636024614 422573648 /nfs/dbraw/zinc/57/36/48/422573648.db2.gz WCBKWRIQBLYOHD-UHFFFAOYSA-N -1 1 302.382 1.531 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2cc(CC(C)(C)C)n[nH]2)n1C ZINC000629349838 422625208 /nfs/dbraw/zinc/62/52/08/422625208.db2.gz VIWJVCVEUKSEGG-UHFFFAOYSA-N -1 1 311.411 1.841 20 0 DDADMM CCNC(=O)CCCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629378073 422640352 /nfs/dbraw/zinc/64/03/52/422640352.db2.gz NEJDPRMTBKFYCK-UHFFFAOYSA-N -1 1 316.361 1.823 20 0 DDADMM CCN(C)S(=O)(=O)[N-]c1ccn(C2CCSCC2)n1 ZINC000634795303 422650496 /nfs/dbraw/zinc/65/04/96/422650496.db2.gz QPWIPQANHYWFME-UHFFFAOYSA-N -1 1 304.441 1.560 20 0 DDADMM CC(C)(C(=O)Nc1nnn[n-]1)c1cccc(C(F)(F)F)n1 ZINC000631361662 422715361 /nfs/dbraw/zinc/71/53/61/422715361.db2.gz PHALTCMXCBNYBM-UHFFFAOYSA-N -1 1 300.244 1.530 20 0 DDADMM CC(C)(C(=O)Nc1nn[n-]n1)c1cccc(C(F)(F)F)n1 ZINC000631361662 422715366 /nfs/dbraw/zinc/71/53/66/422715366.db2.gz PHALTCMXCBNYBM-UHFFFAOYSA-N -1 1 300.244 1.530 20 0 DDADMM O=C(N[C@H](CO)CCF)c1ccc(Br)c([O-])c1 ZINC000652912404 423210288 /nfs/dbraw/zinc/21/02/88/423210288.db2.gz FGHCDNMROGYORA-QMMMGPOBSA-N -1 1 306.131 1.605 20 0 DDADMM O=S(=O)([N-][C@H](CCO)c1ccco1)c1cc(F)ccc1F ZINC000184280514 263385953 /nfs/dbraw/zinc/38/59/53/263385953.db2.gz JXAOGEBQDQZHBR-LLVKDONJSA-N -1 1 317.313 1.960 20 0 DDADMM O=C(c1ccnc(C2CC2)n1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000646066240 423322525 /nfs/dbraw/zinc/32/25/25/423322525.db2.gz WVUXWYJYZZPLCY-SNVBAGLBSA-N -1 1 315.333 1.462 20 0 DDADMM O=C1COc2ccc(CNC3(c4nnn[n-]4)CCCC3)cc2N1 ZINC000646150036 423369284 /nfs/dbraw/zinc/36/92/84/423369284.db2.gz NRXZXVIWZSPBFL-UHFFFAOYSA-N -1 1 314.349 1.090 20 0 DDADMM O=C1COc2ccc(CNC3(c4nn[n-]n4)CCCC3)cc2N1 ZINC000646150036 423369289 /nfs/dbraw/zinc/36/92/89/423369289.db2.gz NRXZXVIWZSPBFL-UHFFFAOYSA-N -1 1 314.349 1.090 20 0 DDADMM Cc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1N ZINC000646520351 423520724 /nfs/dbraw/zinc/52/07/24/423520724.db2.gz IOLQLFQOKZXQND-UHFFFAOYSA-N -1 1 302.334 1.686 20 0 DDADMM CCC[C@H](NC(=O)c1cnc2n1[C@H](C)CCC2)c1nn[n-]n1 ZINC000651461792 423552156 /nfs/dbraw/zinc/55/21/56/423552156.db2.gz ILDLEBGQMMDWLD-ZJUUUORDSA-N -1 1 303.370 1.565 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)[C@H](C)O1 ZINC000639572289 423583894 /nfs/dbraw/zinc/58/38/94/423583894.db2.gz YBYWGDYBXILGLH-URBCHYCLSA-N -1 1 301.350 1.219 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2)c1ccco1 ZINC000641366502 423586274 /nfs/dbraw/zinc/58/62/74/423586274.db2.gz ZNWFYFFBRDJRBG-XKAARJIMSA-N -1 1 301.364 1.206 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCO[C@@H]3C[C@@H]32)c(=O)[n-]1 ZINC000646748128 423595408 /nfs/dbraw/zinc/59/54/08/423595408.db2.gz FUGYRJJFHGBXAA-WDEREUQCSA-N -1 1 309.391 1.145 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CC[C@@](O)(C(F)(F)F)C2)c([O-])c1 ZINC000646704909 423581397 /nfs/dbraw/zinc/58/13/97/423581397.db2.gz GVINOKKFLPZSBV-PELKAZGASA-N -1 1 304.268 1.671 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC[C@]2(O)CCOC2)c(F)c1 ZINC000651840487 423705200 /nfs/dbraw/zinc/70/52/00/423705200.db2.gz OAMBQZSTYGDDBN-ZDUSSCGKSA-N -1 1 321.345 1.093 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H]1CCCN1Cc1ccccc1)C(=O)[O-] ZINC000649263414 423706537 /nfs/dbraw/zinc/70/65/37/423706537.db2.gz ADDJCBYHKMECEU-GJZGRUSLSA-N -1 1 304.390 1.878 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@@H]3CCc4ncncc4C3)n2)o1 ZINC000649214205 423681711 /nfs/dbraw/zinc/68/17/11/423681711.db2.gz OENVPKOTOJLZEB-SNVBAGLBSA-N -1 1 324.344 1.907 20 0 DDADMM Cn1nccc1[C@@H]1C[C@@H](NC(=O)c2cc(F)ccc2[O-])CCO1 ZINC000654049154 423682504 /nfs/dbraw/zinc/68/25/04/423682504.db2.gz QPIIDJBTMUKJDU-NHYWBVRUSA-N -1 1 319.336 1.915 20 0 DDADMM COc1ccccc1[C@@H](N)CNC(=O)[C@@]1(C(=O)[O-])CC=CCC1 ZINC000656854118 423794997 /nfs/dbraw/zinc/79/49/97/423794997.db2.gz SONPIKHTRXCCDX-SUMWQHHRSA-N -1 1 318.373 1.622 20 0 DDADMM CO[C@H]1CCCC[C@H]1S(=O)(=O)[N-]c1csc(C(N)=O)c1 ZINC000641690492 423869685 /nfs/dbraw/zinc/86/96/85/423869685.db2.gz XLIISTFAGGPXOX-GXSJLCMTSA-N -1 1 318.420 1.546 20 0 DDADMM C[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1ncccc1Br ZINC000656876965 423824384 /nfs/dbraw/zinc/82/43/84/423824384.db2.gz NXFDRPJSOGFDBI-HTQZYQBOSA-N -1 1 305.197 1.778 20 0 DDADMM CO[C@@H](C)CC[N-]S(=O)(=O)c1ncccc1Br ZINC000656891197 423838738 /nfs/dbraw/zinc/83/87/38/423838738.db2.gz AXEADOVEFVOHNR-QMMMGPOBSA-N -1 1 323.212 1.547 20 0 DDADMM C[C@@H](NC(=O)c1csc(=NC2CC2)[n-]1)[C@@H](O)C(F)(F)F ZINC000644755436 423855844 /nfs/dbraw/zinc/85/58/44/423855844.db2.gz WRYBEHIPURENRI-SVGQVSJJSA-N -1 1 309.313 1.181 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]Cc1nc(C)no1)C1CCCC1 ZINC000656969803 423941316 /nfs/dbraw/zinc/94/13/16/423941316.db2.gz CWAYXDGICFMGIN-LLVKDONJSA-N -1 1 303.384 1.003 20 0 DDADMM O=S(=O)(N[C@H]1CCCN2CCCC[C@@H]12)c1ccccc1[O-] ZINC000657022189 424003833 /nfs/dbraw/zinc/00/38/33/424003833.db2.gz JZLVNXIUPUWMGB-STQMWFEESA-N -1 1 310.419 1.687 20 0 DDADMM O=C(c1c[nH]c2ncccc12)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644884213 424008975 /nfs/dbraw/zinc/00/89/75/424008975.db2.gz QWHAAJAFWUHEPC-VIFPVBQESA-N -1 1 313.317 1.671 20 0 DDADMM CCn1nc(C)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644884015 424012776 /nfs/dbraw/zinc/01/27/76/424012776.db2.gz MWNGWCSKUFCHKS-SNVBAGLBSA-N -1 1 305.338 1.320 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cc(CCc2ccccc2)[nH]n1 ZINC000649588884 424061452 /nfs/dbraw/zinc/06/14/52/424061452.db2.gz OLNOCIKUAHNXSW-LBPRGKRZSA-N -1 1 323.418 1.972 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@]23C[C@@H]2CCC3)c(C(F)(F)F)n1 ZINC000657049345 424036050 /nfs/dbraw/zinc/03/60/50/424036050.db2.gz NVZSERGICPIFLG-XVKPBYJWSA-N -1 1 309.313 1.660 20 0 DDADMM C[C@@]1(CNC(=O)c2ccc(C(F)(F)F)c([O-])c2)CCC(=O)N1 ZINC000654608503 424098959 /nfs/dbraw/zinc/09/89/59/424098959.db2.gz FOSAEDJGZVCHNU-ZDUSSCGKSA-N -1 1 316.279 1.810 20 0 DDADMM O=C(COCC1CCCC1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000663968434 424310254 /nfs/dbraw/zinc/31/02/54/424310254.db2.gz LEPKZLHEPMKPSG-HNNXBMFYSA-N -1 1 315.377 1.612 20 0 DDADMM CO[C@]1(CO)CCCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640338381 424359897 /nfs/dbraw/zinc/35/98/97/424359897.db2.gz VNZKARYROAXCKH-OAHLLOKOSA-N -1 1 321.402 1.723 20 0 DDADMM CO[C@@]1(CO)CCCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640338380 424360520 /nfs/dbraw/zinc/36/05/20/424360520.db2.gz VNZKARYROAXCKH-HNNXBMFYSA-N -1 1 321.402 1.723 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCC[C@H](O)C(F)F ZINC000640342082 424364003 /nfs/dbraw/zinc/36/40/03/424364003.db2.gz FXQZUSXUGPNRPO-QMMMGPOBSA-N -1 1 301.318 1.856 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1c(C)onc1N)C1CCCC1 ZINC000660055004 424491702 /nfs/dbraw/zinc/49/17/02/424491702.db2.gz YWNVAFBONSKEOB-SNVBAGLBSA-N -1 1 303.384 1.049 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC(c3ccc(O)cc3)CC2)C1=O ZINC000662201314 424465879 /nfs/dbraw/zinc/46/58/79/424465879.db2.gz HQBAZMKHDBEZKK-OAHLLOKOSA-N -1 1 318.373 1.257 20 0 DDADMM CC[C@H](C)N1CCN(C(=O)NC[C@H](C(=O)[O-])C2CCC2)CC1 ZINC000665318218 424781253 /nfs/dbraw/zinc/78/12/53/424781253.db2.gz RQTZSQWSAYYEBV-JSGCOSHPSA-N -1 1 311.426 1.613 20 0 DDADMM O=C([O-])CC[C@H]1CCCN(C(=O)[C@@H]2NCCc3ccccc32)C1 ZINC000321596413 271028394 /nfs/dbraw/zinc/02/83/94/271028394.db2.gz QPXABVHLLCCYSO-CXAGYDPISA-N -1 1 316.401 1.977 20 0 DDADMM CNC(=O)C[C@@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000323056984 271030359 /nfs/dbraw/zinc/03/03/59/271030359.db2.gz IVVSLZCKVMPOFU-SSDOTTSWSA-N -1 1 315.167 1.409 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H](C)C[C@H](C)O)c(=O)[n-]1 ZINC000341955130 271259326 /nfs/dbraw/zinc/25/93/26/271259326.db2.gz MRQYTVHYIYAGDO-BDAKNGLRSA-N -1 1 313.423 1.421 20 0 DDADMM Cc1ccc([C@H](C)[C@H](O)C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000344544749 272055637 /nfs/dbraw/zinc/05/56/37/272055637.db2.gz NJWFXRCPIYSBJT-JQWIXIFHSA-N -1 1 303.366 1.024 20 0 DDADMM COc1ccc(CCC(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000345479837 272261121 /nfs/dbraw/zinc/26/11/21/272261121.db2.gz NPYTVWMOJSBBFZ-UHFFFAOYSA-N -1 1 315.377 1.727 20 0 DDADMM FC(F)(F)c1nc(=NCCCOC[C@H]2CCCO2)s[n-]1 ZINC000347046868 272607639 /nfs/dbraw/zinc/60/76/39/272607639.db2.gz ACLVVNMXCRTGGS-MRVPVSSYSA-N -1 1 311.329 1.976 20 0 DDADMM CCC[C@H](NC(=O)c1ccnc(OC2CCC2)c1)c1nn[n-]n1 ZINC000090060996 281035172 /nfs/dbraw/zinc/03/51/72/281035172.db2.gz YPMVCTVKTIQUHE-LBPRGKRZSA-N -1 1 316.365 1.797 20 0 DDADMM CN(C[C@H]1CCCCO1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000128045954 281296425 /nfs/dbraw/zinc/29/64/25/281296425.db2.gz BJDVOHNRHUOMHI-LLVKDONJSA-N -1 1 301.346 1.564 20 0 DDADMM C[C@H](C(=O)N1CCSc2ccccc21)N1CC[C@H](C(=O)[O-])C1 ZINC000430664169 289296832 /nfs/dbraw/zinc/29/68/32/289296832.db2.gz VFYDEJWGOANPDF-NEPJUHHUSA-N -1 1 320.414 1.920 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccn(C)c1=O)c1ccccc1 ZINC000296051742 293551459 /nfs/dbraw/zinc/55/14/59/293551459.db2.gz UEOSYUOLOCSKCK-AWEZNQCLSA-N -1 1 322.386 1.515 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCCC[C@H]1CN1CCOCC1 ZINC000181244983 304526894 /nfs/dbraw/zinc/52/68/94/304526894.db2.gz NUPXBCSMHSIPLG-ZDUSSCGKSA-N -1 1 322.380 1.858 20 0 DDADMM Cc1noc([C@@H](NC(=O)c2cncc([O-])c2)C2CCOCC2)n1 ZINC000572906245 304564245 /nfs/dbraw/zinc/56/42/45/304564245.db2.gz BIEZZPUWYSVXGV-ZDUSSCGKSA-N -1 1 318.333 1.376 20 0 DDADMM CCOC(=O)[C@@H](Cc1ccccn1)NC(=O)c1cncc([O-])c1 ZINC000355319062 298711133 /nfs/dbraw/zinc/71/11/33/298711133.db2.gz NHKWBFIHOOJGMR-CQSZACIVSA-N -1 1 315.329 1.086 20 0 DDADMM O=C(c1cccc(-n2cccn2)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000362127268 300012287 /nfs/dbraw/zinc/01/22/87/300012287.db2.gz GPZBATKIDIVFQU-ZDUSSCGKSA-N -1 1 323.360 1.405 20 0 DDADMM C[C@H]1CCS(=O)(=O)CCN1C(=O)c1cc(F)ccc1[O-] ZINC000277453394 300017944 /nfs/dbraw/zinc/01/79/44/300017944.db2.gz HMSCUERKCJFOQR-VIFPVBQESA-N -1 1 301.339 1.181 20 0 DDADMM COC(=O)C[C@@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000362477902 300074080 /nfs/dbraw/zinc/07/40/80/300074080.db2.gz YXHZVHJXCNCLOK-SECBINFHSA-N -1 1 303.318 1.325 20 0 DDADMM COC(=O)C[C@@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000362477902 300074082 /nfs/dbraw/zinc/07/40/82/300074082.db2.gz YXHZVHJXCNCLOK-SECBINFHSA-N -1 1 303.318 1.325 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@H]3CC[C@@H]2O3)o1 ZINC000363123882 300214957 /nfs/dbraw/zinc/21/49/57/300214957.db2.gz KKYYJANUNDQHEB-BBBLOLIVSA-N -1 1 315.347 1.055 20 0 DDADMM CN(C)c1cc2c(nn1)CCN(C(=O)c1cc(F)ccc1[O-])C2 ZINC000363320719 300250696 /nfs/dbraw/zinc/25/06/96/300250696.db2.gz HAJJBVCEABLVNR-UHFFFAOYSA-N -1 1 316.336 1.586 20 0 DDADMM CCCCn1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(C)n1 ZINC000363927225 300327331 /nfs/dbraw/zinc/32/73/31/300327331.db2.gz LLXJPWMIYNTGMX-LBPRGKRZSA-N -1 1 317.397 1.525 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3c4ccccc4C[C@@H]3O)ccnc1-2 ZINC000365766398 300577692 /nfs/dbraw/zinc/57/76/92/300577692.db2.gz ORVRRJBRLOSVFV-DYDKSKQESA-N -1 1 323.356 1.122 20 0 DDADMM CCC[C@@H](C)NC(=O)[C@@H](C)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000367359854 300835744 /nfs/dbraw/zinc/83/57/44/300835744.db2.gz CGTCZBHSHJDNEW-GHMZBOCLSA-N -1 1 309.414 1.383 20 0 DDADMM CO[C@H](C)C(=O)N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000368505151 301043538 /nfs/dbraw/zinc/04/35/38/301043538.db2.gz XNLCISVGBOGCHX-LDWIPMOCSA-N -1 1 313.720 1.233 20 0 DDADMM CCCS(=O)(=O)[N-][C@@H](CO)c1nc(-c2ccccc2)no1 ZINC000369604997 301203467 /nfs/dbraw/zinc/20/34/67/301203467.db2.gz CPRCVKCQKSPMJM-NSHDSACASA-N -1 1 311.363 1.099 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@@H]1[C@@H]1CCCO1 ZINC000375064240 301887195 /nfs/dbraw/zinc/88/71/95/301887195.db2.gz IJBHEXHTAPVFNS-KGLIPLIRSA-N -1 1 313.357 1.707 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC(O)(c2ccccc2)C1 ZINC000375851726 302004791 /nfs/dbraw/zinc/00/47/91/302004791.db2.gz MAYMVOXWVOFGGZ-UHFFFAOYSA-N -1 1 321.336 1.267 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@H](CN2CCOC2=O)C1 ZINC000496310667 302551970 /nfs/dbraw/zinc/55/19/70/302551970.db2.gz NQTALXXBOLFIOI-JTQLQIEISA-N -1 1 308.309 1.446 20 0 DDADMM CC(C)(C)OC(=O)N1CC([C@H](F)C(=O)[N-]C2=NCCS2)C1 ZINC000497141925 302675866 /nfs/dbraw/zinc/67/58/66/302675866.db2.gz AWJKLYDIGHGPLT-VIFPVBQESA-N -1 1 317.386 1.410 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCCc2cccc(F)c21 ZINC000516117631 302783586 /nfs/dbraw/zinc/78/35/86/302783586.db2.gz JIJPHRBKUYHPLG-UHFFFAOYSA-N -1 1 311.338 1.676 20 0 DDADMM Cc1cccc(C)c1NC(=O)CNc1nc2[nH][n-]cc-2c(=O)n1 ZINC000357299801 306875041 /nfs/dbraw/zinc/87/50/41/306875041.db2.gz JCXIJCLUJVLUBM-UHFFFAOYSA-N -1 1 312.333 1.099 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000529273139 303105890 /nfs/dbraw/zinc/10/58/90/303105890.db2.gz SJRMDGGSRKUGJT-UXCLJVHYSA-N -1 1 309.366 1.541 20 0 DDADMM CO[C@H](Cc1ccc(F)cc1)CN1CCOC[C@@H]1CC(=O)[O-] ZINC000530162147 303177536 /nfs/dbraw/zinc/17/75/36/303177536.db2.gz GJKGIYKKFUDCIJ-LSDHHAIUSA-N -1 1 311.353 1.559 20 0 DDADMM CN(c1ccc(O)cc1)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000357491800 306888926 /nfs/dbraw/zinc/88/89/26/306888926.db2.gz JUNIQFAMDCUKBI-UHFFFAOYSA-N -1 1 323.326 1.621 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(C(=O)c1cc(-c3ccoc3)[nH]n1)CC2 ZINC000531743644 303254355 /nfs/dbraw/zinc/25/43/55/303254355.db2.gz UKMSPEUQVVQDIM-NSHDSACASA-N -1 1 315.329 1.997 20 0 DDADMM C[C@H]1CCCC[C@@H]1CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000531817847 303258944 /nfs/dbraw/zinc/25/89/44/303258944.db2.gz RIDRJXUGHAHAON-NWDGAFQWSA-N -1 1 323.466 1.816 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@H]1CCOC1)c1cc2ccccc2o1 ZINC000532771461 303295753 /nfs/dbraw/zinc/29/57/53/303295753.db2.gz MXLNCILXGMWFKR-RYUDHWBXSA-N -1 1 311.359 1.109 20 0 DDADMM NC(=O)CCCC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000537170065 303371297 /nfs/dbraw/zinc/37/12/97/303371297.db2.gz VIVGYGVNPMHFPA-UHFFFAOYSA-N -1 1 310.297 1.038 20 0 DDADMM COc1ccc(-c2cc(N(C)Cc3nc(=O)n(C)[n-]3)n[nH]2)cc1 ZINC000543706953 303462215 /nfs/dbraw/zinc/46/22/15/303462215.db2.gz HAQWGCZDQANZME-UHFFFAOYSA-N -1 1 314.349 1.144 20 0 DDADMM CN1CCN(c2ccc(CN=c3[n-]nc(C(F)F)o3)cn2)CC1 ZINC000555756677 303722671 /nfs/dbraw/zinc/72/26/71/303722671.db2.gz OIAKMMIXENZOCR-UHFFFAOYSA-N -1 1 324.335 1.188 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](CC3CC3)C2)o1 ZINC000359703376 306960723 /nfs/dbraw/zinc/96/07/23/306960723.db2.gz BRZAKYBHSCTREU-NSHDSACASA-N -1 1 312.391 1.450 20 0 DDADMM CC(C)C[C@@H]([N-]S(=O)(=O)Cc1ccon1)C(=O)OC(C)C ZINC000360286444 306980382 /nfs/dbraw/zinc/98/03/82/306980382.db2.gz XFLZVPABOJJKRB-GFCCVEGCSA-N -1 1 318.395 1.460 20 0 DDADMM O=C([C@@H]1C[C@]12CCc1ccccc12)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000371298398 307146763 /nfs/dbraw/zinc/14/67/63/307146763.db2.gz VJSRSWPPVMRLFX-YEWWUXTCSA-N -1 1 323.400 1.810 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@]3(C)CCCNC3=O)[nH][n-]2)s1 ZINC000372863011 307175221 /nfs/dbraw/zinc/17/52/21/307175221.db2.gz RDPWSMXHHLPDAM-HNNXBMFYSA-N -1 1 318.402 1.723 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@@H]1Cc1ccccc1 ZINC000542771528 307700610 /nfs/dbraw/zinc/70/06/10/307700610.db2.gz GOUHMEGQYHCOKN-CYBMUJFWSA-N -1 1 323.356 1.265 20 0 DDADMM C[C@H]1CCN(C(=O)c2sccc2CN(C)C)C[C@@H]1C(=O)[O-] ZINC000565481497 308032317 /nfs/dbraw/zinc/03/23/17/308032317.db2.gz WVWLPVWLEBSULB-JQWIXIFHSA-N -1 1 310.419 1.993 20 0 DDADMM CO[C@@H]1C[C@@H](CC(=O)[O-])N(Cc2cc(-c3ccco3)on2)C1 ZINC000565485625 308032953 /nfs/dbraw/zinc/03/29/53/308032953.db2.gz GIRWHASGAILKNZ-NWDGAFQWSA-N -1 1 306.318 1.999 20 0 DDADMM O=C([O-])[C@@H]1CCCN(c2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000569021580 308132507 /nfs/dbraw/zinc/13/25/07/308132507.db2.gz VSQSDCIMAPQDDM-ZCFIWIBFSA-N -1 1 315.255 1.673 20 0 DDADMM CC(C)(C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)C1CCOCC1 ZINC000569301405 308139750 /nfs/dbraw/zinc/13/97/50/308139750.db2.gz SIWDQTSPHVZUIB-LLVKDONJSA-N -1 1 307.398 1.359 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)N=c1ncn(C2CCCCC2)[n-]1 ZINC000570255252 308164464 /nfs/dbraw/zinc/16/44/64/308164464.db2.gz MKFIURGRRSZRKT-ZDUSSCGKSA-N -1 1 320.441 1.763 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)c2ccn(CCN(C)C)n2)s1 ZINC000577290724 308377684 /nfs/dbraw/zinc/37/76/84/308377684.db2.gz VYPSNOUJBKITIT-UHFFFAOYSA-N -1 1 322.438 1.313 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCc2cc(F)c(F)cc21 ZINC000581754018 325911228 /nfs/dbraw/zinc/91/12/28/325911228.db2.gz WTQDTOMAFOVINW-UHFFFAOYSA-N -1 1 315.301 1.425 20 0 DDADMM CCCc1nc(C)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)o1 ZINC000582316621 326012164 /nfs/dbraw/zinc/01/21/64/326012164.db2.gz MILOAXCNNIOKFF-SNVBAGLBSA-N -1 1 304.354 1.468 20 0 DDADMM CC1(C)[C@@H](O)C[C@@H]1[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000583379011 332374128 /nfs/dbraw/zinc/37/41/28/332374128.db2.gz ZOOJOUXEJYYKBH-QWRGUYRKSA-N -1 1 312.416 1.734 20 0 DDADMM COc1cc(CN2CC[C@@](C(=O)[O-])(C(F)(F)F)C2)ccn1 ZINC000583386785 332379108 /nfs/dbraw/zinc/37/91/08/332379108.db2.gz MLYHWXSHMWJDNF-GFCCVEGCSA-N -1 1 304.268 1.929 20 0 DDADMM O=C(NCC1(OCCO)CCCCC1)C(=O)c1ccc([O-])cc1 ZINC001120712170 782102930 /nfs/dbraw/zinc/10/29/30/782102930.db2.gz ZXRSGHZVEWAKBW-UHFFFAOYSA-N -1 1 321.373 1.403 20 0 DDADMM CCOc1nccc(C)c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000584388432 337244356 /nfs/dbraw/zinc/24/43/56/337244356.db2.gz SGRDBYVZGLQJLR-SNVBAGLBSA-N -1 1 304.354 1.178 20 0 DDADMM O=C(COC[C@H]1CCOC1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000583724015 337319094 /nfs/dbraw/zinc/31/90/94/337319094.db2.gz RZOCBAQWLZRYQI-NSHDSACASA-N -1 1 317.345 1.774 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ccnn1C ZINC000425302550 484116304 /nfs/dbraw/zinc/11/63/04/484116304.db2.gz NRBMQEBCPNQNME-VHSXEESVSA-N -1 1 305.338 1.018 20 0 DDADMM CN1CC[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CC1=O ZINC000192657150 484123404 /nfs/dbraw/zinc/12/34/04/484123404.db2.gz SYMSBEQRVFRFJW-SSDOTTSWSA-N -1 1 322.308 1.003 20 0 DDADMM NC(=O)c1cccc([N-]S(=O)(=O)c2ccc(F)c(F)c2)c1 ZINC000198584288 484131311 /nfs/dbraw/zinc/13/13/11/484131311.db2.gz LQTRAIGNXQYBHH-UHFFFAOYSA-N -1 1 312.297 1.865 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccc(F)c1)[C@H]1CCOC1 ZINC000656613806 484243294 /nfs/dbraw/zinc/24/32/94/484243294.db2.gz SEKXWDUEXMTTNT-GXFFZTMASA-N -1 1 303.355 1.619 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2c(c1)CCCC2=O)c1nn[n-]n1 ZINC000293614949 484330842 /nfs/dbraw/zinc/33/08/42/484330842.db2.gz WUKZHROIAGRVQJ-JTQLQIEISA-N -1 1 313.361 1.594 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(C[C@H](C)COC)no2)co1 ZINC000351481364 484490198 /nfs/dbraw/zinc/49/01/98/484490198.db2.gz VRSOLFBVFVTXOJ-QMMMGPOBSA-N -1 1 315.351 1.063 20 0 DDADMM O=C(COc1ccsc1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000669755586 484635681 /nfs/dbraw/zinc/63/56/81/484635681.db2.gz COEIVZMGNBLSHI-CYBMUJFWSA-N -1 1 315.358 1.546 20 0 DDADMM C[C@@H]1CN(CCNC(=O)c2ncc3ccccc3c2[O-])CCO1 ZINC000667816487 484809921 /nfs/dbraw/zinc/80/99/21/484809921.db2.gz UYWCFMAVKPEIGO-GFCCVEGCSA-N -1 1 315.373 1.391 20 0 DDADMM CN(C)[C@H](CNC(=O)c1cc(=O)n(C)[n-]1)c1ccc(F)cc1 ZINC000666828431 485362180 /nfs/dbraw/zinc/36/21/80/485362180.db2.gz SKMOLYXLQRLWBO-CYBMUJFWSA-N -1 1 306.341 1.298 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1ccccc1-n1cncn1 ZINC000674003450 485506940 /nfs/dbraw/zinc/50/69/40/485506940.db2.gz FPVLHHNLKVEYHJ-UHFFFAOYSA-N -1 1 310.379 1.434 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)C2(C(N)=O)CC2)c1 ZINC000679242955 485819354 /nfs/dbraw/zinc/81/93/54/485819354.db2.gz COTIMFJYYCSZQR-UHFFFAOYSA-N -1 1 313.151 1.667 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccnc(C2CC2)c1 ZINC000680009024 486073461 /nfs/dbraw/zinc/07/34/61/486073461.db2.gz MPIYVLOCEQZMLF-OAHLLOKOSA-N -1 1 320.356 1.992 20 0 DDADMM CC(C)(C)NS(=O)(=O)CC(=O)Nc1ccc(F)cc1[O-] ZINC000676055255 486099707 /nfs/dbraw/zinc/09/97/07/486099707.db2.gz GVKKTWKIFSAIDM-UHFFFAOYSA-N -1 1 304.343 1.188 20 0 DDADMM Nc1ncc(Cl)cc1S(=O)(=O)[N-]c1c(O)cccc1F ZINC000676103149 486112402 /nfs/dbraw/zinc/11/24/02/486112402.db2.gz NHWDQVQIGATAHI-UHFFFAOYSA-N -1 1 317.729 1.963 20 0 DDADMM Cc1cc(CN2CCN(C(=O)c3ccc([O-])c(F)c3)CC2)no1 ZINC000681016596 486324015 /nfs/dbraw/zinc/32/40/15/486324015.db2.gz ULBWRRWZPIGOKU-UHFFFAOYSA-N -1 1 319.336 1.786 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-][C@@H](CO)c1cc(F)ccc1F ZINC000676849567 486346035 /nfs/dbraw/zinc/34/60/35/486346035.db2.gz WBOIJMWHQCWCLB-LBPRGKRZSA-N -1 1 318.301 1.106 20 0 DDADMM C[C@H](C(=O)NCc1nn[n-]n1)c1ccc(Br)cc1 ZINC000678042555 486615161 /nfs/dbraw/zinc/61/51/61/486615161.db2.gz YYZMJDUKZKCLAM-ZETCQYMHSA-N -1 1 310.155 1.382 20 0 DDADMM COC(=O)NC(C)(C)C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000491798916 534020986 /nfs/dbraw/zinc/02/09/86/534020986.db2.gz VKKRTYMKAMSXQW-UHFFFAOYSA-N -1 1 323.345 1.815 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCCN(c3cccnn3)C2)c([O-])c1 ZINC000331840468 534531618 /nfs/dbraw/zinc/53/16/18/534531618.db2.gz KQGVRWQHUSEYAV-GFCCVEGCSA-N -1 1 313.361 1.284 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC(CF)CF ZINC000452318413 534645970 /nfs/dbraw/zinc/64/59/70/534645970.db2.gz AZGHUTXTHYWBIV-UHFFFAOYSA-N -1 1 312.320 1.408 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCCO1 ZINC000156142980 525268645 /nfs/dbraw/zinc/26/86/45/525268645.db2.gz CSXFWQROBYECSX-GFCCVEGCSA-N -1 1 309.309 1.951 20 0 DDADMM O=C(Nc1cccc(-n2cn[nH]c2=O)c1)c1cc(F)ccc1[O-] ZINC000156570737 527321779 /nfs/dbraw/zinc/32/17/79/527321779.db2.gz UFNPQEXFQIQDMP-UHFFFAOYSA-N -1 1 314.276 1.658 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1CCO[C@H](C3CC3)C1)c2=O ZINC000156989250 527584348 /nfs/dbraw/zinc/58/43/48/527584348.db2.gz HUUYLFCANUQDML-MFKMUULPSA-N -1 1 316.361 1.361 20 0 DDADMM CCOC(=O)[C@@H]1CCCC[C@@H]1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000456148330 527823242 /nfs/dbraw/zinc/82/32/42/527823242.db2.gz JJKZDWMDYLWWNT-KGLIPLIRSA-N -1 1 319.357 1.813 20 0 DDADMM CC(C)O[C@H]1C[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000414407945 528085617 /nfs/dbraw/zinc/08/56/17/528085617.db2.gz XWDVTNBCVWZZSL-PHIMTYICSA-N -1 1 301.346 1.609 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccccc1NC(C)=O)c1nn[n-]n1 ZINC000444271821 528180673 /nfs/dbraw/zinc/18/06/73/528180673.db2.gz URCGDHYTNBWKAA-ZDUSSCGKSA-N -1 1 316.365 1.358 20 0 DDADMM CCOc1cc(CN[C@H](C(=O)[O-])c2cnn(C)c2)ccc1OC ZINC000417592713 528282567 /nfs/dbraw/zinc/28/25/67/528282567.db2.gz HILHFMHIYVLTNA-HNNXBMFYSA-N -1 1 319.361 1.743 20 0 DDADMM CCCC[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(=O)OC ZINC000295002622 528846281 /nfs/dbraw/zinc/84/62/81/528846281.db2.gz RSEYITGCMKHQFE-SNVBAGLBSA-N -1 1 322.390 1.480 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)[C@@H](O)CC ZINC000451973836 529195476 /nfs/dbraw/zinc/19/54/76/529195476.db2.gz HZRHUDZGVNCGGP-MNOVXSKESA-N -1 1 311.325 1.932 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(F)cc1F)[C@H](O)C(F)F ZINC000295699706 529196318 /nfs/dbraw/zinc/19/63/18/529196318.db2.gz JXQGJNXLKNMMNQ-SCZZXKLOSA-N -1 1 315.288 1.648 20 0 DDADMM c1cnn(CCCNc2c3ccccc3nnc2-c2nnn[n-]2)c1 ZINC000738435877 598959650 /nfs/dbraw/zinc/95/96/50/598959650.db2.gz CYERGFNOFZGJFU-UHFFFAOYSA-N -1 1 321.348 1.509 20 0 DDADMM c1cnn(CCCNc2c3ccccc3nnc2-c2nn[n-]n2)c1 ZINC000738435877 598959651 /nfs/dbraw/zinc/95/96/51/598959651.db2.gz CYERGFNOFZGJFU-UHFFFAOYSA-N -1 1 321.348 1.509 20 0 DDADMM COc1ccc([C@H](C)NC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737073140 598987965 /nfs/dbraw/zinc/98/79/65/598987965.db2.gz PUEZAICOTUYAJG-JTQLQIEISA-N -1 1 324.344 1.761 20 0 DDADMM COc1ccc([C@H](C)NC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737073140 598987967 /nfs/dbraw/zinc/98/79/67/598987967.db2.gz PUEZAICOTUYAJG-JTQLQIEISA-N -1 1 324.344 1.761 20 0 DDADMM O=C(N[C@@H]1CCc2c1cccc2F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738034036 598998054 /nfs/dbraw/zinc/99/80/54/598998054.db2.gz FEFPCRPDLFSWCI-CYBMUJFWSA-N -1 1 324.319 1.818 20 0 DDADMM O=C(N[C@@H]1CCc2c1cccc2F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738034036 598998056 /nfs/dbraw/zinc/99/80/56/598998056.db2.gz FEFPCRPDLFSWCI-CYBMUJFWSA-N -1 1 324.319 1.818 20 0 DDADMM OCC[C@@H]1CCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000823717248 599037762 /nfs/dbraw/zinc/03/77/62/599037762.db2.gz BPCPKCPZQKWFCE-NSHDSACASA-N -1 1 310.361 1.624 20 0 DDADMM OCC[C@@H]1CCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000823717248 599037765 /nfs/dbraw/zinc/03/77/65/599037765.db2.gz BPCPKCPZQKWFCE-NSHDSACASA-N -1 1 310.361 1.624 20 0 DDADMM O=C(Nc1cnn(CC2CC2)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823375586 599219897 /nfs/dbraw/zinc/21/98/97/599219897.db2.gz ANSUFWIOVLENHK-UHFFFAOYSA-N -1 1 310.321 1.121 20 0 DDADMM O=C(Nc1cnn(CC2CC2)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823375586 599219898 /nfs/dbraw/zinc/21/98/98/599219898.db2.gz ANSUFWIOVLENHK-UHFFFAOYSA-N -1 1 310.321 1.121 20 0 DDADMM CC(C)[C@H]1CCCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735747114 599226035 /nfs/dbraw/zinc/22/60/35/599226035.db2.gz BIEDGLBSDIKQKF-CYBMUJFWSA-N -1 1 300.366 1.912 20 0 DDADMM CC(C)[C@H]1CCCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735747114 599226037 /nfs/dbraw/zinc/22/60/37/599226037.db2.gz BIEDGLBSDIKQKF-CYBMUJFWSA-N -1 1 300.366 1.912 20 0 DDADMM O=C([O-])CCCNC(=O)NC1CCN(Cc2ccccn2)CC1 ZINC000819434525 597285726 /nfs/dbraw/zinc/28/57/26/597285726.db2.gz XEMMELHGYYQNGP-UHFFFAOYSA-N -1 1 320.393 1.210 20 0 DDADMM CCN1CCN(c2ccccc2NC(=O)N[C@@H](C)C(=O)[O-])CC1 ZINC000739456744 597301633 /nfs/dbraw/zinc/30/16/33/597301633.db2.gz OVRVVFFISOPRHY-LBPRGKRZSA-N -1 1 320.393 1.423 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)Nc1cnn(-c2ccncc2)c1)C(=O)[O-] ZINC000820449185 597404226 /nfs/dbraw/zinc/40/42/26/597404226.db2.gz NSYWWPMQYVODGD-GWCFXTLKSA-N -1 1 317.349 1.888 20 0 DDADMM CC(=O)NCc1ccc(NCc2ccc(-c3nnn[n-]3)o2)cc1 ZINC000819811090 607316699 /nfs/dbraw/zinc/31/66/99/607316699.db2.gz WHDKLTMAZQDBCB-UHFFFAOYSA-N -1 1 312.333 1.708 20 0 DDADMM CC(=O)NCc1ccc(NCc2ccc(-c3nn[n-]n3)o2)cc1 ZINC000819811090 607316700 /nfs/dbraw/zinc/31/67/00/607316700.db2.gz WHDKLTMAZQDBCB-UHFFFAOYSA-N -1 1 312.333 1.708 20 0 DDADMM Cc1nc(C)c(Cn2ccc(C)c(-c3nn[n-]n3)c2=O)s1 ZINC000822432140 607348718 /nfs/dbraw/zinc/34/87/18/607348718.db2.gz YGVXSVXSGYEPCP-UHFFFAOYSA-N -1 1 302.363 1.458 20 0 DDADMM Clc1ccc(OCCNc2nccnc2-c2nnn[n-]2)cc1 ZINC000737573570 598339419 /nfs/dbraw/zinc/33/94/19/598339419.db2.gz MMKPIDSFDBQNOL-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM Clc1ccc(OCCNc2nccnc2-c2nn[n-]n2)cc1 ZINC000737573570 598339421 /nfs/dbraw/zinc/33/94/21/598339421.db2.gz MMKPIDSFDBQNOL-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM c1ccc2c(c1)C[C@H](CNc1nccnc1-c1nnn[n-]1)CO2 ZINC000738436441 598340014 /nfs/dbraw/zinc/34/00/14/598340014.db2.gz IKSGASBSGUSIER-SNVBAGLBSA-N -1 1 309.333 1.320 20 0 DDADMM c1ccc2c(c1)C[C@H](CNc1nccnc1-c1nn[n-]n1)CO2 ZINC000738436441 598340016 /nfs/dbraw/zinc/34/00/16/598340016.db2.gz IKSGASBSGUSIER-SNVBAGLBSA-N -1 1 309.333 1.320 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(NCc2ccnc(N3CCCC3)c2)n1 ZINC000738413842 598341305 /nfs/dbraw/zinc/34/13/05/598341305.db2.gz YPGIYWHWOREBMI-UHFFFAOYSA-N -1 1 323.364 1.264 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(NCc2ccnc(N3CCCC3)c2)n1 ZINC000738413842 598341307 /nfs/dbraw/zinc/34/13/07/598341307.db2.gz YPGIYWHWOREBMI-UHFFFAOYSA-N -1 1 323.364 1.264 20 0 DDADMM CCOc1ccc(Nc2nccnc2-c2nnn[n-]2)cc1F ZINC000736646396 598346636 /nfs/dbraw/zinc/34/66/36/598346636.db2.gz GDOLYPQGNOJQMG-UHFFFAOYSA-N -1 1 301.285 1.938 20 0 DDADMM CCOc1ccc(Nc2nccnc2-c2nn[n-]n2)cc1F ZINC000736646396 598346637 /nfs/dbraw/zinc/34/66/37/598346637.db2.gz GDOLYPQGNOJQMG-UHFFFAOYSA-N -1 1 301.285 1.938 20 0 DDADMM c1cnc(N2CCC(OC3CCCC3)CC2)c(-c2nnn[n-]2)n1 ZINC000738446402 598352333 /nfs/dbraw/zinc/35/23/33/598352333.db2.gz YJKXIENANSVWBG-UHFFFAOYSA-N -1 1 315.381 1.585 20 0 DDADMM c1cnc(N2CCC(OC3CCCC3)CC2)c(-c2nn[n-]n2)n1 ZINC000738446402 598352335 /nfs/dbraw/zinc/35/23/35/598352335.db2.gz YJKXIENANSVWBG-UHFFFAOYSA-N -1 1 315.381 1.585 20 0 DDADMM CC(C)CN(C)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000820081465 599339844 /nfs/dbraw/zinc/33/98/44/599339844.db2.gz HQOBIRPZCUOZLO-UHFFFAOYSA-N -1 1 313.358 1.282 20 0 DDADMM CC(C)CN(C)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000820081465 599339846 /nfs/dbraw/zinc/33/98/46/599339846.db2.gz HQOBIRPZCUOZLO-UHFFFAOYSA-N -1 1 313.358 1.282 20 0 DDADMM O=C(CCNc1cccc(-c2nnn[n-]2)n1)N1CCCCC1 ZINC000737848250 599413004 /nfs/dbraw/zinc/41/30/04/599413004.db2.gz QLAQYIOOBVBRSH-UHFFFAOYSA-N -1 1 301.354 1.076 20 0 DDADMM O=C(CCNc1cccc(-c2nn[n-]n2)n1)N1CCCCC1 ZINC000737848250 599413005 /nfs/dbraw/zinc/41/30/05/599413005.db2.gz QLAQYIOOBVBRSH-UHFFFAOYSA-N -1 1 301.354 1.076 20 0 DDADMM O[C@@H]1CCCC[C@@H]1Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000823706613 599514849 /nfs/dbraw/zinc/51/48/49/599514849.db2.gz MSXRJFFQEVHRTD-NTSWFWBYSA-N -1 1 300.775 1.692 20 0 DDADMM O[C@@H]1CCCC[C@@H]1Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000823706613 599514852 /nfs/dbraw/zinc/51/48/52/599514852.db2.gz MSXRJFFQEVHRTD-NTSWFWBYSA-N -1 1 300.775 1.692 20 0 DDADMM OC1(CNc2cccc(-c3nnn[n-]3)n2)Cc2ccccc2C1 ZINC000738388214 599536048 /nfs/dbraw/zinc/53/60/48/599536048.db2.gz CWJZLTKMIDMTFT-UHFFFAOYSA-N -1 1 308.345 1.204 20 0 DDADMM OC1(CNc2cccc(-c3nn[n-]n3)n2)Cc2ccccc2C1 ZINC000738388214 599536051 /nfs/dbraw/zinc/53/60/51/599536051.db2.gz CWJZLTKMIDMTFT-UHFFFAOYSA-N -1 1 308.345 1.204 20 0 DDADMM O=C(Oc1cccc2[nH]ccc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000823454732 599626766 /nfs/dbraw/zinc/62/67/66/599626766.db2.gz SHTWJIFUCXVBSA-UHFFFAOYSA-N -1 1 306.285 1.962 20 0 DDADMM O=C(Oc1cccc2[nH]ccc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000823454732 599626767 /nfs/dbraw/zinc/62/67/67/599626767.db2.gz SHTWJIFUCXVBSA-UHFFFAOYSA-N -1 1 306.285 1.962 20 0 DDADMM CC(C)OCc1ccc(CNC(=O)CNC(C)(C)C(=O)[O-])cc1 ZINC000736486532 599924849 /nfs/dbraw/zinc/92/48/49/599924849.db2.gz XWVAHTBMQVVDFM-UHFFFAOYSA-N -1 1 322.405 1.681 20 0 DDADMM CC(C)[C@H](CNC(=O)CNC(C)(C)C(=O)[O-])c1ccccc1 ZINC000736351414 599924880 /nfs/dbraw/zinc/92/48/80/599924880.db2.gz FKEQOYWJKOMFHN-AWEZNQCLSA-N -1 1 306.406 1.995 20 0 DDADMM CC(C)(NCC(=O)N1CCc2[nH]c3ccccc3c2C1)C(=O)[O-] ZINC000736339599 599925702 /nfs/dbraw/zinc/92/57/02/599925702.db2.gz UAVCNCLJIDMWBV-UHFFFAOYSA-N -1 1 315.373 1.505 20 0 DDADMM CC(C)[C@]1(C)CC(=O)N(CN2CCCC[C@@H]2CC(=O)[O-])C1=O ZINC000736390988 600259692 /nfs/dbraw/zinc/25/96/92/600259692.db2.gz MNIMXJZLCVUCIO-WBMJQRKESA-N -1 1 310.394 1.694 20 0 DDADMM O=C([O-])CCSCCNC(=O)Cc1[nH]nc2ccccc21 ZINC000739743502 600261400 /nfs/dbraw/zinc/26/14/00/600261400.db2.gz JMAUMIOYHYHWPL-UHFFFAOYSA-N -1 1 307.375 1.430 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1)N1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000736215551 600310675 /nfs/dbraw/zinc/31/06/75/600310675.db2.gz PNMAAVXXDRXZQW-HIFRSBDPSA-N -1 1 316.401 1.878 20 0 DDADMM CCC[C@](C)(NCC(=O)N[C@@H]1CCOc2ccccc21)C(=O)[O-] ZINC000736964270 600324070 /nfs/dbraw/zinc/32/40/70/600324070.db2.gz MGIDJLVWMNUVPS-DYVFJYSZSA-N -1 1 320.389 1.859 20 0 DDADMM CC[C@@H](O)[C@@H]1CCCCN1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000736924256 600392135 /nfs/dbraw/zinc/39/21/35/600392135.db2.gz MNKDCKQHUJYRSE-LSDHHAIUSA-N -1 1 320.389 1.949 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@@H](N3CCCCC3=O)C2)o1 ZINC000740124358 600501374 /nfs/dbraw/zinc/50/13/74/600501374.db2.gz RGWPRNLDWAUEHD-GFCCVEGCSA-N -1 1 306.362 1.955 20 0 DDADMM Cc1conc1[N-]C(=O)c1c(F)cc(-c2nn[nH]n2)cc1F ZINC000822402492 607449993 /nfs/dbraw/zinc/44/99/93/607449993.db2.gz BJSGDJADPRSKFI-UHFFFAOYSA-N -1 1 306.232 1.694 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)CN1CCC[C@@H]1CCCO ZINC000738464343 600554678 /nfs/dbraw/zinc/55/46/78/600554678.db2.gz AUFHNRIHBVWDPC-GFCCVEGCSA-N -1 1 306.362 1.560 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CN2C(=O)OC[C@@H]2Cc2ccccc2)C1 ZINC000738538572 600559702 /nfs/dbraw/zinc/55/97/02/600559702.db2.gz UVISJWVEZSHZAE-CABCVRRESA-N -1 1 318.373 1.804 20 0 DDADMM O=C([O-])[C@H]1CCN(CN2C(=O)C[C@H]2c2ccc(Cl)cc2)C1 ZINC000819286607 600711322 /nfs/dbraw/zinc/71/13/22/600711322.db2.gz CQIAIPAYAFGPTN-AAEUAGOBSA-N -1 1 308.765 1.977 20 0 DDADMM O=C([O-])[C@H]1CCCN(CN2C[C@H](c3ccccn3)CC2=O)C1 ZINC000738537280 600715463 /nfs/dbraw/zinc/71/54/63/600715463.db2.gz DTBRGOVOKGMWRO-QWHCGFSZSA-N -1 1 303.362 1.152 20 0 DDADMM C[C@@H]1C[C@H](N(C)S(=O)(=O)c2ccc(C(=O)[O-])o2)CCN1C ZINC000828167349 600760162 /nfs/dbraw/zinc/76/01/62/600760162.db2.gz FSDSEQHUBAESAH-NXEZZACHSA-N -1 1 316.379 1.081 20 0 DDADMM O=C([O-])CCNC(=O)CN1CC[C@@H](CSc2ccccc2)C1 ZINC000833236239 600847871 /nfs/dbraw/zinc/84/78/71/600847871.db2.gz BFBVTEFWUWVFFY-CYBMUJFWSA-N -1 1 322.430 1.692 20 0 DDADMM Cc1nnc(SCC(=O)NC2(C(=O)[O-])CCCCCC2)[nH]1 ZINC000832990633 600880113 /nfs/dbraw/zinc/88/01/13/600880113.db2.gz HULUDVMGCBDSIM-UHFFFAOYSA-N -1 1 312.395 1.499 20 0 DDADMM Cc1n[nH]c(SCC(=O)NC2(C(=O)[O-])CCCCCC2)n1 ZINC000832990633 600880115 /nfs/dbraw/zinc/88/01/15/600880115.db2.gz HULUDVMGCBDSIM-UHFFFAOYSA-N -1 1 312.395 1.499 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@H]1CCC[C@@H](C(=O)[O-])C1 ZINC000317205886 600958515 /nfs/dbraw/zinc/95/85/15/600958515.db2.gz KEYRHAFQXFCFCI-LXTVHRRPSA-N -1 1 308.422 1.963 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H](C(=O)N2CC[C@H](CN3CCOCC3)C2)C1 ZINC000833186019 600971864 /nfs/dbraw/zinc/97/18/64/600971864.db2.gz PZQGFXWTVVYWFZ-QLFBSQMISA-N -1 1 324.421 1.058 20 0 DDADMM C[C@H](NC(=O)CN[C@@](C)(C(=O)[O-])C1CC1)c1ccc(F)cc1 ZINC000827637747 601028865 /nfs/dbraw/zinc/02/88/65/601028865.db2.gz WXLYHIKSTQKIDB-MGPLVRAMSA-N -1 1 308.353 1.846 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN[C@@](C)(C(=O)[O-])C2CC2)cc1 ZINC000825903059 601036093 /nfs/dbraw/zinc/03/60/93/601036093.db2.gz QOUSIJMBHKUMAJ-MRXNPFEDSA-N -1 1 319.361 1.426 20 0 DDADMM CC[C@](C)(NCCC(=O)N(C)CC(=O)[O-])c1nc(C)cs1 ZINC000828692551 601042596 /nfs/dbraw/zinc/04/25/96/601042596.db2.gz JHRLZJISJREBHJ-AWEZNQCLSA-N -1 1 313.423 1.599 20 0 DDADMM CC[C@H]1CN([C@@H](C)C(=O)N2CCC(C(=O)[O-])CC2)CCS1 ZINC000829140357 601042662 /nfs/dbraw/zinc/04/26/62/601042662.db2.gz DRBOONKXWGOCFU-AAEUAGOBSA-N -1 1 314.451 1.526 20 0 DDADMM C/C(=C/CN1CCCN(C(=O)c2ccsc2)CC1)C(=O)[O-] ZINC000825741790 601052692 /nfs/dbraw/zinc/05/26/92/601052692.db2.gz SDYKOVSELUPJJC-BASWHVEKSA-N -1 1 308.403 1.927 20 0 DDADMM CC(C)c1cc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)n(C)n1 ZINC000827102019 601084906 /nfs/dbraw/zinc/08/49/06/601084906.db2.gz MJSARLMAYHPRDM-GFCCVEGCSA-N -1 1 322.409 1.165 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cccnc2Cl)CC1 ZINC000827378849 601102613 /nfs/dbraw/zinc/10/26/13/601102613.db2.gz ATGXTNDRHFOTMH-JTQLQIEISA-N -1 1 311.769 1.356 20 0 DDADMM CCCN(CC(=O)OCC)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000825307883 607490070 /nfs/dbraw/zinc/49/00/70/607490070.db2.gz PWEUVJUVDJFRCQ-UHFFFAOYSA-N -1 1 304.354 1.037 20 0 DDADMM C/C(=C\CN1CCO[C@@H](c2nc(C(C)(C)C)n[nH]2)C1)C(=O)[O-] ZINC000825746866 601177748 /nfs/dbraw/zinc/17/77/48/601177748.db2.gz LWGCFPFEXYMHQD-IGLBNKAOSA-N -1 1 308.382 1.506 20 0 DDADMM C/C(=C\CN1CCO[C@@H](c2nnc(C(C)(C)C)[nH]2)C1)C(=O)[O-] ZINC000825746866 601177749 /nfs/dbraw/zinc/17/77/49/601177749.db2.gz LWGCFPFEXYMHQD-IGLBNKAOSA-N -1 1 308.382 1.506 20 0 DDADMM CN(C)[C@@H]1CCN(S(=O)(=O)c2ccc(/C=C\C(=O)[O-])cc2)C1 ZINC000316030421 601235330 /nfs/dbraw/zinc/23/53/30/601235330.db2.gz HHOQZYCBLJAFLA-DMTLFAOVSA-N -1 1 324.402 1.109 20 0 DDADMM CN(C)CCN(C)S(=O)(=O)c1cc(Cl)cc(C(=O)[O-])c1 ZINC000830603953 601256974 /nfs/dbraw/zinc/25/69/74/601256974.db2.gz BFAMXVBPYNHSNR-UHFFFAOYSA-N -1 1 320.798 1.220 20 0 DDADMM O=C([O-])Cn1ccc(NC(=O)N2CCC(c3cnc[nH]3)CC2)n1 ZINC000833275716 601338191 /nfs/dbraw/zinc/33/81/91/601338191.db2.gz MOVNYKMIRSBZBB-UHFFFAOYSA-N -1 1 318.337 1.102 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C[C@H](O)COc2ccc(F)cc2)C1 ZINC000833175217 601447637 /nfs/dbraw/zinc/44/76/37/601447637.db2.gz OCXIXKAQKQVBAI-FZMZJTMJSA-N -1 1 301.289 1.064 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCC(=O)Nc1c(F)cccc1F ZINC000831579248 601490635 /nfs/dbraw/zinc/49/06/35/601490635.db2.gz YIPDARKRUMZJHL-CQSZACIVSA-N -1 1 316.304 1.373 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCc1ccn(-c2ccc(F)cc2)n1 ZINC000831584022 601491535 /nfs/dbraw/zinc/49/15/35/601491535.db2.gz CBRYIKYAYGFOAX-MRXNPFEDSA-N -1 1 321.352 1.981 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccc(C#N)c(Cl)c2)C[C@@H]1C(=O)[O-] ZINC000315074918 601532599 /nfs/dbraw/zinc/53/25/99/601532599.db2.gz XOPZFXGHVSDHSO-SKDRFNHKSA-N -1 1 321.764 1.803 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CCOC[C@@H]2C(=O)[O-])o1 ZINC000316769369 601606878 /nfs/dbraw/zinc/60/68/78/601606878.db2.gz OLHNIAFJHFRNMC-GFCCVEGCSA-N -1 1 310.350 1.047 20 0 DDADMM Cc1cc(CC(=O)N[C@H](c2ccccc2)[C@H](C)C(=O)[O-])[nH]n1 ZINC000832883988 601689211 /nfs/dbraw/zinc/68/92/11/601689211.db2.gz RUXKQWJTYYVTFZ-NHYWBVRUSA-N -1 1 301.346 1.839 20 0 DDADMM Cc1cccc(S(=O)(=O)Cc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000826300693 607530932 /nfs/dbraw/zinc/53/09/32/607530932.db2.gz DVLFFBYZEVLUGC-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM Cc1cccc(S(=O)(=O)Cc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000826300693 607530934 /nfs/dbraw/zinc/53/09/34/607530934.db2.gz DVLFFBYZEVLUGC-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CC(=O)Nc2ccccc2[N+](=O)[O-])C1 ZINC000316686865 601923153 /nfs/dbraw/zinc/92/31/53/601923153.db2.gz NPSQJVQEMMJGLJ-AWEZNQCLSA-N -1 1 307.306 1.330 20 0 DDADMM C[C@@H]1CCCC[C@H]1N(C)C(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000739097477 601939277 /nfs/dbraw/zinc/93/92/77/601939277.db2.gz HUWYNSBDRBLFGS-HZSPNIEDSA-N -1 1 311.426 1.613 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@H](N3CCNC3=O)C2)s1 ZINC000833312873 601946210 /nfs/dbraw/zinc/94/62/10/601946210.db2.gz IWMLEHJMUMEXRF-JTQLQIEISA-N -1 1 309.391 1.436 20 0 DDADMM O=C([O-])COc1ccc(NC(=O)[C@@H]2CCCc3[nH]ncc32)cc1 ZINC000833256899 602020937 /nfs/dbraw/zinc/02/09/37/602020937.db2.gz TXKRDGIWWWQGIK-GFCCVEGCSA-N -1 1 315.329 1.932 20 0 DDADMM CCN1CCN(c2ccccc2NC(=O)[C@H](C)CC(=O)[O-])CC1 ZINC000829780179 602085258 /nfs/dbraw/zinc/08/52/58/602085258.db2.gz DKTIJLWNSXERAS-CYBMUJFWSA-N -1 1 319.405 1.878 20 0 DDADMM CC[C@@H](C(=O)Nc1cc(C(=O)[O-])cc(C(=O)OC)c1)N(C)C ZINC000828587608 602147889 /nfs/dbraw/zinc/14/78/89/602147889.db2.gz VGJRNSUJYIXQMA-LBPRGKRZSA-N -1 1 308.334 1.450 20 0 DDADMM CC(C)CO[C@@H]1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC000826895251 602342265 /nfs/dbraw/zinc/34/22/65/602342265.db2.gz JAJVGJHTONCMAH-CQSZACIVSA-N -1 1 312.410 1.057 20 0 DDADMM CN(CC1CCN(C(=O)c2ccc3[nH]nnc3c2)CC1)C(=O)[O-] ZINC000739658648 602369735 /nfs/dbraw/zinc/36/97/35/602369735.db2.gz YLIFRIPXEKYTIX-UHFFFAOYSA-N -1 1 317.349 1.420 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(Cn2nccc2-c2ccncc2)C1 ZINC000740646932 602694118 /nfs/dbraw/zinc/69/41/18/602694118.db2.gz UEWBORGCXWVRFU-LBPRGKRZSA-N -1 1 301.350 1.492 20 0 DDADMM Cc1ccccc1OC[C@H](O)CN1C[C@H](C)N(C(=O)[O-])[C@@H](C)C1 ZINC000740193200 602835121 /nfs/dbraw/zinc/83/51/21/602835121.db2.gz GPJKPZCDABIYOI-SOUVJXGZSA-N -1 1 322.405 1.807 20 0 DDADMM C[N@@H+]1CCC[C@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739728583 602862889 /nfs/dbraw/zinc/86/28/89/602862889.db2.gz KHMMUSWQFXWSOI-SNVBAGLBSA-N -1 1 307.350 1.554 20 0 DDADMM C[N@H+]1CCC[C@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739728583 602862892 /nfs/dbraw/zinc/86/28/92/602862892.db2.gz KHMMUSWQFXWSOI-SNVBAGLBSA-N -1 1 307.350 1.554 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)N(C)CCCNC(=O)[O-])cc1 ZINC000739917973 603250344 /nfs/dbraw/zinc/25/03/44/603250344.db2.gz ZAHVOYOQEPWISW-NSHDSACASA-N -1 1 309.366 1.612 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc3c[nH]nc32)CC[C@@H]1NC(=O)[O-] ZINC000739163543 603331863 /nfs/dbraw/zinc/33/18/63/603331863.db2.gz ARUBKUWZUCNFCI-CABZTGNLSA-N -1 1 302.334 1.681 20 0 DDADMM Cc1nc(CN2CCN(C[C@@H]3CCN(C(=O)[O-])C3)CC2)oc1C ZINC000740218178 603336162 /nfs/dbraw/zinc/33/61/62/603336162.db2.gz HZQJNDMADULJQW-AWEZNQCLSA-N -1 1 322.409 1.409 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@@H](C(=O)N2CCN(C3CCCC3)CC2)C1 ZINC000832254871 603458989 /nfs/dbraw/zinc/45/89/89/603458989.db2.gz NHIRIJYSXLEICE-CHWSQXEVSA-N -1 1 309.410 1.510 20 0 DDADMM O=C([O-])N1CC(OCC(=O)Nc2cccc(Cl)c2O)C1 ZINC000831541729 603473853 /nfs/dbraw/zinc/47/38/53/603473853.db2.gz DZUYOXOJCCNRDG-UHFFFAOYSA-N -1 1 300.698 1.363 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N[C@H]1CCCN(C(=O)[O-])C1 ZINC000828046382 603535918 /nfs/dbraw/zinc/53/59/18/603535918.db2.gz LVMCFAAEDMQNAI-NSHDSACASA-N -1 1 311.407 1.682 20 0 DDADMM O=C([O-])N1CCC[C@@H]1[C@H]1CCCN(C(=O)Cc2ccn[nH]2)C1 ZINC000831562701 603543680 /nfs/dbraw/zinc/54/36/80/603543680.db2.gz SFHSGRUKKJHBCP-WCQYABFASA-N -1 1 306.366 1.333 20 0 DDADMM O=C([O-])N[C@H]1CC[C@H](C(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000832247694 603549325 /nfs/dbraw/zinc/54/93/25/603549325.db2.gz RPAZCUIIYJXNIF-RYUDHWBXSA-N -1 1 306.366 1.552 20 0 DDADMM CC(C)N(C(=O)CN1CCC[C@@H]1CNC(=O)[O-])C1CCCC1 ZINC000824323724 603571280 /nfs/dbraw/zinc/57/12/80/603571280.db2.gz KGVXPSBPSRCMTN-CQSZACIVSA-N -1 1 311.426 1.898 20 0 DDADMM O=C([O-])N1CC[C@H](NC(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000831948267 603635338 /nfs/dbraw/zinc/63/53/38/603635338.db2.gz RNPUDWGZDZSZRL-NSHDSACASA-N -1 1 307.354 1.051 20 0 DDADMM O=C(CC1CN(C(=O)[O-])C1)NCc1n[nH]c(-c2ccccc2)n1 ZINC000831366533 603723415 /nfs/dbraw/zinc/72/34/15/603723415.db2.gz MLMYFRGXYQGJHZ-UHFFFAOYSA-N -1 1 315.333 1.088 20 0 DDADMM O=C(CC1CN(C(=O)[O-])C1)NCc1nc(-c2ccccc2)n[nH]1 ZINC000831366533 603723419 /nfs/dbraw/zinc/72/34/19/603723419.db2.gz MLMYFRGXYQGJHZ-UHFFFAOYSA-N -1 1 315.333 1.088 20 0 DDADMM Cc1cc(CNC(=O)N[C@H]2CCCC[C@@H]2CNC(=O)[O-])[nH]n1 ZINC000830041153 603809947 /nfs/dbraw/zinc/80/99/47/603809947.db2.gz IDJGGTXLUUGBNR-PWSUYJOCSA-N -1 1 309.370 1.344 20 0 DDADMM Cc1cnc(N2CCN(C[C@@H]3CCCN(C(=O)[O-])C3)CC2)s1 ZINC000830634434 603833461 /nfs/dbraw/zinc/83/34/61/603833461.db2.gz VBPREHDBQNEEPA-ZDUSSCGKSA-N -1 1 324.450 1.964 20 0 DDADMM CC(C)[C@H](CCN(C)[C@@H](C)C(=O)NC(C)(C)C)NC(=O)[O-] ZINC000824097721 603850969 /nfs/dbraw/zinc/85/09/69/603850969.db2.gz ADTRGOMVRNDGRQ-RYUDHWBXSA-N -1 1 301.431 1.904 20 0 DDADMM C[C@@H](CC(=O)NCCc1nc2ccc(F)cc2[nH]1)NC(=O)[O-] ZINC000824656657 603937218 /nfs/dbraw/zinc/93/72/18/603937218.db2.gz UORDVTPKLAMWPD-QMMMGPOBSA-N -1 1 308.313 1.407 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NCCN(C(=O)[O-])C1CC1 ZINC000829834600 603939452 /nfs/dbraw/zinc/93/94/52/603939452.db2.gz UPVOKDQVXDVBQF-UHFFFAOYSA-N -1 1 320.271 1.609 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCN(C(=O)[O-])[C@@H](C)C1 ZINC000829835271 603943118 /nfs/dbraw/zinc/94/31/18/603943118.db2.gz ZRBGOJQOJJEHHK-LURJTMIESA-N -1 1 320.271 1.561 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@]3(F)CCN(C(=O)[O-])C3)[nH]c2c1 ZINC000830432159 603944971 /nfs/dbraw/zinc/94/49/71/603944971.db2.gz ATOGXDQYLZBUPA-OAHLLOKOSA-N -1 1 320.324 1.580 20 0 DDADMM O=C([O-])N[C@@H](CCC(=O)NCc1c[nH]nn1)c1ccccc1 ZINC000832120393 603952339 /nfs/dbraw/zinc/95/23/39/603952339.db2.gz OEKXNGORBGWCAV-LBPRGKRZSA-N -1 1 303.322 1.210 20 0 DDADMM O=C([O-])N1Cc2ccccc2C[C@@H]1C(=O)NCc1cnc[nH]1 ZINC000831709698 604051382 /nfs/dbraw/zinc/05/13/82/604051382.db2.gz YNZOQDOUTJBAJC-CYBMUJFWSA-N -1 1 300.318 1.131 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000825201055 604249283 /nfs/dbraw/zinc/24/92/83/604249283.db2.gz JMFMMTDQOQLVAY-QJPTWQEYSA-N -1 1 320.393 1.724 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2c(C)[nH]c(C(=O)[O-])c2C)C1 ZINC000399673115 604308715 /nfs/dbraw/zinc/30/87/15/604308715.db2.gz SVOSTWBRLFONNZ-GFCCVEGCSA-N -1 1 323.393 1.170 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(CCCCS(C)(=O)=O)C1 ZINC000825264475 604350267 /nfs/dbraw/zinc/35/02/67/604350267.db2.gz KNVXRYLYWBBRKC-NEPJUHHUSA-N -1 1 306.428 1.179 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CCCCS(C)(=O)=O)C1 ZINC000825264476 604350318 /nfs/dbraw/zinc/35/03/18/604350318.db2.gz KNVXRYLYWBBRKC-NWDGAFQWSA-N -1 1 306.428 1.179 20 0 DDADMM Cc1cc(C(=O)NC2CCN(CCNC(=O)[O-])CC2)c(C)o1 ZINC000829940110 604363705 /nfs/dbraw/zinc/36/37/05/604363705.db2.gz JYFLXTRHVVSDID-UHFFFAOYSA-N -1 1 309.366 1.358 20 0 DDADMM O=C([O-])NC[C@@H]1CCCC[N@@H+]1CCC[N-]C(=O)C(F)(F)F ZINC000832527447 604561311 /nfs/dbraw/zinc/56/13/11/604561311.db2.gz JSKIOMKMPQBIMR-VIFPVBQESA-N -1 1 311.304 1.177 20 0 DDADMM O=C([O-])NC[C@@H]1CCCC[N@H+]1CCC[N-]C(=O)C(F)(F)F ZINC000832527447 604561313 /nfs/dbraw/zinc/56/13/13/604561313.db2.gz JSKIOMKMPQBIMR-VIFPVBQESA-N -1 1 311.304 1.177 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccc(C(=O)[O-])cc2)[C@H](C)CN1C ZINC000833627937 604572118 /nfs/dbraw/zinc/57/21/18/604572118.db2.gz XZLUEVKTSMIJIK-VXGBXAGGSA-N -1 1 305.378 1.348 20 0 DDADMM C[C@@H]1CN(C)[C@@H](C)CN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000833627931 604573976 /nfs/dbraw/zinc/57/39/76/604573976.db2.gz XZJHKOYQIQHOLX-NWDGAFQWSA-N -1 1 305.378 1.348 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H]1CC(=O)[O-])N1CCc2ccccc2C1 ZINC000825982962 604670927 /nfs/dbraw/zinc/67/09/27/604670927.db2.gz OMMMEPJBFFGBOV-BBRMVZONSA-N -1 1 316.401 1.899 20 0 DDADMM CSC[C@@H]1CCCN1CC(=O)N[C@@H](CC(C)C)C(=O)[O-] ZINC000832758369 604701355 /nfs/dbraw/zinc/70/13/55/604701355.db2.gz BBYAQSUZRNWYQN-RYUDHWBXSA-N -1 1 302.440 1.429 20 0 DDADMM CC(=O)Nc1nc(CNC2(CC(=O)[O-])CCOCC2)cs1 ZINC000825922861 604793366 /nfs/dbraw/zinc/79/33/66/604793366.db2.gz KJBICHLZVLHNTR-UHFFFAOYSA-N -1 1 313.379 1.215 20 0 DDADMM CC(C)=C[C@@H]1[C@@H](C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C1(C)C ZINC000833462200 604896670 /nfs/dbraw/zinc/89/66/70/604896670.db2.gz CBKIMIWMJKRVLN-AGIUHOORSA-N -1 1 305.378 1.760 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1CN1C(=O)OC[C@@H]1Cc1ccccc1 ZINC000833210048 604982658 /nfs/dbraw/zinc/98/26/58/604982658.db2.gz DMGSQTDCYWGRPD-GJZGRUSLSA-N -1 1 318.373 1.947 20 0 DDADMM O=C([O-])[C@H](O)C1CCN(Cc2ccc3c(c2)OCCO3)CC1 ZINC000833771057 604987379 /nfs/dbraw/zinc/98/73/79/604987379.db2.gz UKSOABJXGFKCQJ-OAHLLOKOSA-N -1 1 307.346 1.115 20 0 DDADMM CC(C)(C)SCCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833447182 605080472 /nfs/dbraw/zinc/08/04/72/605080472.db2.gz PAAYSWFKAPXVLU-NSHDSACASA-N -1 1 313.423 1.834 20 0 DDADMM O=C(CN(C[C@@H]1CCCO1)C(=O)[O-])N[C@@H]1CCCc2cn[nH]c21 ZINC000831473963 605126418 /nfs/dbraw/zinc/12/64/18/605126418.db2.gz IORWHMKEQFFIMW-NWDGAFQWSA-N -1 1 322.365 1.062 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)C1(C(F)(F)F)CC1 ZINC000833620654 605158566 /nfs/dbraw/zinc/15/85/66/605158566.db2.gz LVUNANVIMRUTRU-VIFPVBQESA-N -1 1 308.300 1.336 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C\c1cncc(F)c1 ZINC000833618719 605161290 /nfs/dbraw/zinc/16/12/90/605161290.db2.gz DXOHJIQXOQEKNY-ZZKXABKFSA-N -1 1 321.352 1.241 20 0 DDADMM COCc1ccc(S(=O)(=O)Nc2ccc(C(=O)[O-])nc2)cc1 ZINC000833725799 605165380 /nfs/dbraw/zinc/16/53/80/605165380.db2.gz GQLNRNXVBZQYON-UHFFFAOYSA-N -1 1 322.342 1.727 20 0 DDADMM CN1CCCN(C(=O)Nc2cc(C(=O)[O-])c(F)cc2F)CC1 ZINC000315286562 605167647 /nfs/dbraw/zinc/16/76/47/605167647.db2.gz NCMUFFSFYAYMPK-UHFFFAOYSA-N -1 1 313.304 1.832 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C/c2cccnc2)CCN1CCC(=O)[O-] ZINC000833620984 605276780 /nfs/dbraw/zinc/27/67/80/605276780.db2.gz YXUSGTFCXUTTEI-MUBLQREKSA-N -1 1 303.362 1.102 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@H]2CCCc3[nH]ncc32)CC[C@@H]1NC(=O)[O-] ZINC000833847163 605358432 /nfs/dbraw/zinc/35/84/32/605358432.db2.gz HVVUUSLOYQCVKT-MDZLAQPJSA-N -1 1 320.393 1.724 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)[C@@H]2CCC[C@@H](NC(=O)[O-])C2)n[nH]1 ZINC000830031171 605436210 /nfs/dbraw/zinc/43/62/10/605436210.db2.gz SWXMWXCSSGWZKZ-MVWJERBFSA-N -1 1 308.382 1.592 20 0 DDADMM O=C(CC[C@@H]1CCCN1C(=O)[O-])Nc1nc(-c2ccco2)n[nH]1 ZINC000833996810 605456830 /nfs/dbraw/zinc/45/68/30/605456830.db2.gz KMIVKCVAROYPID-VIFPVBQESA-N -1 1 319.321 1.926 20 0 DDADMM C[C@H](C(=O)NCC(F)(F)F)N(C)CC(C)(C)CNC(=O)[O-] ZINC000823688128 605472029 /nfs/dbraw/zinc/47/20/29/605472029.db2.gz ZYSVKUXVQQEAPO-MRVPVSSYSA-N -1 1 313.320 1.279 20 0 DDADMM O=C([O-])N1CCC[C@H]1CCC(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000833994353 605499973 /nfs/dbraw/zinc/49/99/73/605499973.db2.gz AYLFWAQBQAKMPB-LBPRGKRZSA-N -1 1 321.381 1.433 20 0 DDADMM Cc1cc(C(=O)N2CCN(CCC(=O)[O-])[C@@H](C)C2)ccc1O ZINC000833742383 605532748 /nfs/dbraw/zinc/53/27/48/605532748.db2.gz LAYAUEWVZYULPA-LBPRGKRZSA-N -1 1 306.362 1.322 20 0 DDADMM Cc1nc2n(n1)CCC[C@@H]2N[C@@H](C)[C@@H]1CCCCN1C(=O)[O-] ZINC000833914865 605687158 /nfs/dbraw/zinc/68/71/58/605687158.db2.gz GJPLVBXRQSDCNA-DRZSPHRISA-N -1 1 307.398 1.932 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC[C@@H](CNC(=O)[O-])C2)n[nH]1 ZINC000830031276 605699182 /nfs/dbraw/zinc/69/91/82/605699182.db2.gz UQFMHBMKTBNVKH-JQWIXIFHSA-N -1 1 323.397 1.338 20 0 DDADMM O=C([O-])N[C@H]1C[C@@H](NCC(=O)NCC2CC2)c2ccccc21 ZINC000834161161 605811567 /nfs/dbraw/zinc/81/15/67/605811567.db2.gz YMPXUCGVGSTVQK-KGLIPLIRSA-N -1 1 303.362 1.556 20 0 DDADMM O=C([O-])NC[C@H]1CCCCN1C(=O)c1cccc2nn[nH]c21 ZINC000834221295 605854496 /nfs/dbraw/zinc/85/44/96/605854496.db2.gz URWFGJSSSIZNST-SECBINFHSA-N -1 1 303.322 1.220 20 0 DDADMM O=C([O-])N[C@H]1CCN(C[C@H]2CN(c3ccccc3)C(=O)O2)C1 ZINC000740592767 605921428 /nfs/dbraw/zinc/92/14/28/605921428.db2.gz BFMQMNODHVRXDO-AAEUAGOBSA-N -1 1 305.334 1.354 20 0 DDADMM O=C([O-])N[C@H](CCC(=O)NCCN1CCC1)c1ccccc1 ZINC000834136858 605932397 /nfs/dbraw/zinc/93/23/97/605932397.db2.gz HOTYOGDFZGSFBG-CQSZACIVSA-N -1 1 305.378 1.597 20 0 DDADMM CC(C)C[C@H](NC(=O)[O-])C(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1 ZINC000833478756 605969935 /nfs/dbraw/zinc/96/99/35/605969935.db2.gz HWAXTESCUXRYMH-UWVGGRQHSA-N -1 1 311.386 1.788 20 0 DDADMM CC[C@H]1CCCCCN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820981688 606161023 /nfs/dbraw/zinc/16/10/23/606161023.db2.gz VUNDZMPUUWXLBC-JTQLQIEISA-N -1 1 318.385 1.787 20 0 DDADMM CC[C@H]1CCCCCN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820981688 606161026 /nfs/dbraw/zinc/16/10/26/606161026.db2.gz VUNDZMPUUWXLBC-JTQLQIEISA-N -1 1 318.385 1.787 20 0 DDADMM Clc1ccc(NCCNc2cnccn2)nc1-c1nnn[n-]1 ZINC000822578354 606460993 /nfs/dbraw/zinc/46/09/93/606460993.db2.gz HOLBBJFBKYANRU-UHFFFAOYSA-N -1 1 317.744 1.229 20 0 DDADMM Clc1ccc(NCCNc2cnccn2)nc1-c1nn[n-]n1 ZINC000822578354 606460994 /nfs/dbraw/zinc/46/09/94/606460994.db2.gz HOLBBJFBKYANRU-UHFFFAOYSA-N -1 1 317.744 1.229 20 0 DDADMM C[C@H](Cc1ccco1)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820299248 606507946 /nfs/dbraw/zinc/50/79/46/606507946.db2.gz FOBPIGGWQBNCNZ-MRVPVSSYSA-N -1 1 303.347 1.882 20 0 DDADMM C[C@H](Cc1ccco1)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820299248 606507947 /nfs/dbraw/zinc/50/79/47/606507947.db2.gz FOBPIGGWQBNCNZ-MRVPVSSYSA-N -1 1 303.347 1.882 20 0 DDADMM O=C(CCc1ccccc1Cl)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000822983591 606532960 /nfs/dbraw/zinc/53/29/60/606532960.db2.gz QXUWEOYQBGSXDZ-UHFFFAOYSA-N -1 1 317.740 1.815 20 0 DDADMM O=C(CCc1ccccc1Cl)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000822983591 606532961 /nfs/dbraw/zinc/53/29/61/606532961.db2.gz QXUWEOYQBGSXDZ-UHFFFAOYSA-N -1 1 317.740 1.815 20 0 DDADMM Cc1nc(C(C)(C)NC(=O)c2ccc(-c3nnn[n-]3)s2)no1 ZINC000822423422 606544650 /nfs/dbraw/zinc/54/46/50/606544650.db2.gz LAWCFRWVRBHBBL-UHFFFAOYSA-N -1 1 319.350 1.285 20 0 DDADMM Cc1nc(C(C)(C)NC(=O)c2ccc(-c3nn[n-]n3)s2)no1 ZINC000822423422 606544652 /nfs/dbraw/zinc/54/46/52/606544652.db2.gz LAWCFRWVRBHBBL-UHFFFAOYSA-N -1 1 319.350 1.285 20 0 DDADMM CCn1cc(CN(C)C(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000821565306 606563309 /nfs/dbraw/zinc/56/33/09/606563309.db2.gz NFKAKGCXFHLITO-UHFFFAOYSA-N -1 1 317.378 1.417 20 0 DDADMM CCn1cc(CN(C)C(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000821565306 606563310 /nfs/dbraw/zinc/56/33/10/606563310.db2.gz NFKAKGCXFHLITO-UHFFFAOYSA-N -1 1 317.378 1.417 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820862939 606573949 /nfs/dbraw/zinc/57/39/49/606573949.db2.gz RYXDVMXMUJOIMT-OQPBUACISA-N -1 1 309.395 1.455 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820862939 606573950 /nfs/dbraw/zinc/57/39/50/606573950.db2.gz RYXDVMXMUJOIMT-OQPBUACISA-N -1 1 309.395 1.455 20 0 DDADMM CCC1(CNC(=O)c2ccc(-c3nnn[n-]3)s2)CCOCC1 ZINC000820962654 606581023 /nfs/dbraw/zinc/58/10/23/606581023.db2.gz XKRZQTXGZQPGBR-UHFFFAOYSA-N -1 1 321.406 1.865 20 0 DDADMM CCC1(CNC(=O)c2ccc(-c3nn[n-]n3)s2)CCOCC1 ZINC000820962654 606581024 /nfs/dbraw/zinc/58/10/24/606581024.db2.gz XKRZQTXGZQPGBR-UHFFFAOYSA-N -1 1 321.406 1.865 20 0 DDADMM Clc1nsc(N[C@H]2CCCn3ncnc32)c1-c1nnn[n-]1 ZINC000822591483 606592639 /nfs/dbraw/zinc/59/26/39/606592639.db2.gz SUCPZJRBEOTCIB-YFKPBYRVSA-N -1 1 323.773 1.515 20 0 DDADMM Clc1nsc(N[C@H]2CCCn3ncnc32)c1-c1nn[n-]n1 ZINC000822591483 606592641 /nfs/dbraw/zinc/59/26/41/606592641.db2.gz SUCPZJRBEOTCIB-YFKPBYRVSA-N -1 1 323.773 1.515 20 0 DDADMM O=C(CC[C@@H]1CCCCO1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822950338 606648980 /nfs/dbraw/zinc/64/89/80/606648980.db2.gz KKRNERRQQJOYHC-LBPRGKRZSA-N -1 1 317.349 1.654 20 0 DDADMM O=C(CC[C@@H]1CCCCO1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822950338 606648981 /nfs/dbraw/zinc/64/89/81/606648981.db2.gz KKRNERRQQJOYHC-LBPRGKRZSA-N -1 1 317.349 1.654 20 0 DDADMM CCc1ocnc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000821563974 606653542 /nfs/dbraw/zinc/65/35/42/606653542.db2.gz NYCVAJBAZBRMQN-UHFFFAOYSA-N -1 1 300.278 1.169 20 0 DDADMM CCc1ocnc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000821563974 606653543 /nfs/dbraw/zinc/65/35/43/606653543.db2.gz NYCVAJBAZBRMQN-UHFFFAOYSA-N -1 1 300.278 1.169 20 0 DDADMM CC[C@@H](C)c1ccc(C(=O)n2ncc(-c3nn[n-]n3)c2N)cc1 ZINC000820882513 606694725 /nfs/dbraw/zinc/69/47/25/606694725.db2.gz SDKDTZVWVYGUQD-SECBINFHSA-N -1 1 311.349 1.847 20 0 DDADMM CN(C)c1ccccc1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821590892 606802628 /nfs/dbraw/zinc/80/26/28/606802628.db2.gz KPPNUFXFIDKUCT-UHFFFAOYSA-N -1 1 323.360 1.258 20 0 DDADMM CN(C)c1ccccc1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821590892 606802630 /nfs/dbraw/zinc/80/26/30/606802630.db2.gz KPPNUFXFIDKUCT-UHFFFAOYSA-N -1 1 323.360 1.258 20 0 DDADMM CC[C@@H]1CC[C@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)O1 ZINC000820971323 606840222 /nfs/dbraw/zinc/84/02/22/606840222.db2.gz BTGMCSYEDRBVIZ-ZYHUDNBSSA-N -1 1 303.322 1.263 20 0 DDADMM CC[C@@H]1CC[C@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)O1 ZINC000820971323 606840223 /nfs/dbraw/zinc/84/02/23/606840223.db2.gz BTGMCSYEDRBVIZ-ZYHUDNBSSA-N -1 1 303.322 1.263 20 0 DDADMM C[C@H](CC(=O)Nc1ncc(-c2nnn[n-]2)s1)n1cccc1 ZINC000820225232 607105965 /nfs/dbraw/zinc/10/59/65/607105965.db2.gz DVUNOUWIYAOLSA-MRVPVSSYSA-N -1 1 303.351 1.715 20 0 DDADMM C[C@H](CC(=O)Nc1ncc(-c2nn[n-]n2)s1)n1cccc1 ZINC000820225232 607105967 /nfs/dbraw/zinc/10/59/67/607105967.db2.gz DVUNOUWIYAOLSA-MRVPVSSYSA-N -1 1 303.351 1.715 20 0 DDADMM C[C@]1(c2ccccc2)CN(c2nccnc2-c2nnn[n-]2)CCO1 ZINC000820635751 607116174 /nfs/dbraw/zinc/11/61/74/607116174.db2.gz MWETZHQFLYFKRB-MRXNPFEDSA-N -1 1 323.360 1.409 20 0 DDADMM C[C@]1(c2ccccc2)CN(c2nccnc2-c2nn[n-]n2)CCO1 ZINC000820635751 607116175 /nfs/dbraw/zinc/11/61/75/607116175.db2.gz MWETZHQFLYFKRB-MRXNPFEDSA-N -1 1 323.360 1.409 20 0 DDADMM CCCC1(CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000821033837 607137620 /nfs/dbraw/zinc/13/76/20/607137620.db2.gz FPKZHORBKYVZHS-UHFFFAOYSA-N -1 1 304.358 1.302 20 0 DDADMM CCCC1(CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000821033837 607137621 /nfs/dbraw/zinc/13/76/21/607137621.db2.gz FPKZHORBKYVZHS-UHFFFAOYSA-N -1 1 304.358 1.302 20 0 DDADMM c1cc(Sc2nnc(NC3CC3)s2)nc(-c2nnn[n-]2)c1 ZINC000826517851 607895933 /nfs/dbraw/zinc/89/59/33/607895933.db2.gz QSJXEMCOEVZXCM-UHFFFAOYSA-N -1 1 318.391 1.839 20 0 DDADMM c1cc(Sc2nnc(NC3CC3)s2)nc(-c2nn[n-]n2)c1 ZINC000826517851 607895934 /nfs/dbraw/zinc/89/59/34/607895934.db2.gz QSJXEMCOEVZXCM-UHFFFAOYSA-N -1 1 318.391 1.839 20 0 DDADMM COCCN(Cc1ccco1)c1cccc(-c2nnn[n-]2)n1 ZINC000826159863 607902300 /nfs/dbraw/zinc/90/23/00/607902300.db2.gz VPVMQPDOTIJKMC-UHFFFAOYSA-N -1 1 300.322 1.508 20 0 DDADMM COCCN(Cc1ccco1)c1cccc(-c2nn[n-]n2)n1 ZINC000826159863 607902301 /nfs/dbraw/zinc/90/23/01/607902301.db2.gz VPVMQPDOTIJKMC-UHFFFAOYSA-N -1 1 300.322 1.508 20 0 DDADMM O[C@]1(CNc2cccc(-c3nnn[n-]3)n2)CCc2ccccc21 ZINC000826506516 607903167 /nfs/dbraw/zinc/90/31/67/607903167.db2.gz WCFXVQFIYLEUBD-INIZCTEOSA-N -1 1 308.345 1.508 20 0 DDADMM O[C@]1(CNc2cccc(-c3nn[n-]n3)n2)CCc2ccccc21 ZINC000826506516 607903168 /nfs/dbraw/zinc/90/31/68/607903168.db2.gz WCFXVQFIYLEUBD-INIZCTEOSA-N -1 1 308.345 1.508 20 0 DDADMM Cc1c(CNc2c3ccccc3nnc2-c2nnn[n-]2)cnn1C ZINC000826236707 607966692 /nfs/dbraw/zinc/96/66/92/607966692.db2.gz ZKQRVVILEPDMMB-UHFFFAOYSA-N -1 1 321.348 1.464 20 0 DDADMM Cc1c(CNc2c3ccccc3nnc2-c2nn[n-]n2)cnn1C ZINC000826236707 607966693 /nfs/dbraw/zinc/96/66/93/607966693.db2.gz ZKQRVVILEPDMMB-UHFFFAOYSA-N -1 1 321.348 1.464 20 0 DDADMM CCC[C@@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)CCO1 ZINC000825258401 607969399 /nfs/dbraw/zinc/96/93/99/607969399.db2.gz CFCPEEQVEKWQHL-SSDOTTSWSA-N -1 1 314.802 1.982 20 0 DDADMM CCC[C@@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)CCO1 ZINC000825258401 607969400 /nfs/dbraw/zinc/96/94/00/607969400.db2.gz CFCPEEQVEKWQHL-SSDOTTSWSA-N -1 1 314.802 1.982 20 0 DDADMM C[C@@](O)(CNc1ccc(-c2nnn[n-]2)nn1)c1ccsc1 ZINC000824677552 607990208 /nfs/dbraw/zinc/99/02/08/607990208.db2.gz FYUNPEBAIPGDLM-GFCCVEGCSA-N -1 1 303.351 1.038 20 0 DDADMM C[C@@](O)(CNc1ccc(-c2nn[n-]n2)nn1)c1ccsc1 ZINC000824677552 607990209 /nfs/dbraw/zinc/99/02/09/607990209.db2.gz FYUNPEBAIPGDLM-GFCCVEGCSA-N -1 1 303.351 1.038 20 0 DDADMM CCOC(=O)C(C)(C)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000825486215 608107659 /nfs/dbraw/zinc/10/76/59/608107659.db2.gz OICPYMKKOPBZJH-UHFFFAOYSA-N -1 1 319.321 1.100 20 0 DDADMM CN(C[C@H](O)C1CC1)c1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000825902216 608122752 /nfs/dbraw/zinc/12/27/52/608122752.db2.gz QQVILPIDYQVMAI-HNNXBMFYSA-N -1 1 310.361 1.622 20 0 DDADMM CN(C[C@H](O)C1CC1)c1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000825902216 608122753 /nfs/dbraw/zinc/12/27/53/608122753.db2.gz QQVILPIDYQVMAI-HNNXBMFYSA-N -1 1 310.361 1.622 20 0 DDADMM COC(=O)[C@H](C)Sc1snc(Cl)c1-c1nnn[n-]1 ZINC000826103871 608174080 /nfs/dbraw/zinc/17/40/80/608174080.db2.gz MTBAZVDUTVBMOV-VKHMYHEASA-N -1 1 305.772 1.630 20 0 DDADMM COC(=O)[C@H](C)Sc1snc(Cl)c1-c1nn[n-]n1 ZINC000826103871 608174082 /nfs/dbraw/zinc/17/40/82/608174082.db2.gz MTBAZVDUTVBMOV-VKHMYHEASA-N -1 1 305.772 1.630 20 0 DDADMM CC[C@H](CSC)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825121189 608335668 /nfs/dbraw/zinc/33/56/68/608335668.db2.gz QNQIQTBQNGTOAM-SNVBAGLBSA-N -1 1 306.395 1.475 20 0 DDADMM CC[C@H](CSC)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825121189 608335670 /nfs/dbraw/zinc/33/56/70/608335670.db2.gz QNQIQTBQNGTOAM-SNVBAGLBSA-N -1 1 306.395 1.475 20 0 DDADMM Fc1ccc2c(CCNc3ccc(-c4nnn[n-]4)nn3)c[nH]c2c1 ZINC000826376188 608408587 /nfs/dbraw/zinc/40/85/87/608408587.db2.gz SALOEWGFIRVKDF-UHFFFAOYSA-N -1 1 324.323 1.932 20 0 DDADMM Fc1ccc2c(CCNc3ccc(-c4nn[n-]n4)nn3)c[nH]c2c1 ZINC000826376188 608408588 /nfs/dbraw/zinc/40/85/88/608408588.db2.gz SALOEWGFIRVKDF-UHFFFAOYSA-N -1 1 324.323 1.932 20 0 DDADMM Cc1nn(C)cc1[C@@H]1CCCN1c1ccc(-c2nn[n-]n2)nn1 ZINC000826334216 608432112 /nfs/dbraw/zinc/43/21/12/608432112.db2.gz LZHATBWHWKYDNY-LBPRGKRZSA-N -1 1 311.353 1.040 20 0 DDADMM Cc1nn(C)cc1[C@@H]1CCCN1c1ccc(-c2nnn[n-]2)nn1 ZINC000826334216 608432111 /nfs/dbraw/zinc/43/21/11/608432111.db2.gz LZHATBWHWKYDNY-LBPRGKRZSA-N -1 1 311.353 1.040 20 0 DDADMM c1ccc2c(c1)CC[C@@H]1[C@@H](Nc3ccc(-c4nnn[n-]4)nn3)[C@H]21 ZINC000826523678 608435005 /nfs/dbraw/zinc/43/50/05/608435005.db2.gz TWGRNOOOIZIIFD-NILFDRSVSA-N -1 1 305.345 1.797 20 0 DDADMM c1ccc2c(c1)CC[C@@H]1[C@@H](Nc3ccc(-c4nn[n-]n4)nn3)[C@H]21 ZINC000826523678 608435006 /nfs/dbraw/zinc/43/50/06/608435006.db2.gz TWGRNOOOIZIIFD-NILFDRSVSA-N -1 1 305.345 1.797 20 0 DDADMM Cc1ccc([C@H]2COCCN2Cc2ccc(-c3nnn[n-]3)o2)o1 ZINC000826285727 608710899 /nfs/dbraw/zinc/71/08/99/608710899.db2.gz ICPXMCGJFURHHG-GFCCVEGCSA-N -1 1 315.333 1.935 20 0 DDADMM Cc1ccc([C@H]2COCCN2Cc2ccc(-c3nn[n-]n3)o2)o1 ZINC000826285727 608710901 /nfs/dbraw/zinc/71/09/01/608710901.db2.gz ICPXMCGJFURHHG-GFCCVEGCSA-N -1 1 315.333 1.935 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)N[C@H]1CCCc2ccccc21 ZINC000826425343 608891760 /nfs/dbraw/zinc/89/17/60/608891760.db2.gz YXKDMSFVWPQKRT-ZDUSSCGKSA-N -1 1 323.360 1.257 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)N[C@H]1CCCc2ccccc21 ZINC000826425343 608891761 /nfs/dbraw/zinc/89/17/61/608891761.db2.gz YXKDMSFVWPQKRT-ZDUSSCGKSA-N -1 1 323.360 1.257 20 0 DDADMM CCOc1ccccc1OCCn1ccnc1-c1nnn[n-]1 ZINC000825658307 608894995 /nfs/dbraw/zinc/89/49/95/608894995.db2.gz DHRVCAGVASUVJO-UHFFFAOYSA-N -1 1 300.322 1.541 20 0 DDADMM CCOc1ccccc1OCCn1ccnc1-c1nn[n-]n1 ZINC000825658307 608894998 /nfs/dbraw/zinc/89/49/98/608894998.db2.gz DHRVCAGVASUVJO-UHFFFAOYSA-N -1 1 300.322 1.541 20 0 DDADMM C[C@@H]1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)C[C@@H]1F ZINC000824962678 609320683 /nfs/dbraw/zinc/32/06/83/609320683.db2.gz WTAVGJBAJINEMN-KOLCDFICSA-N -1 1 313.340 1.994 20 0 DDADMM C[C@@H]1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)C[C@@H]1F ZINC000824962678 609320685 /nfs/dbraw/zinc/32/06/85/609320685.db2.gz WTAVGJBAJINEMN-KOLCDFICSA-N -1 1 313.340 1.994 20 0 DDADMM CC[C@@H]1C[C@H](CC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCO1 ZINC000825180969 609392646 /nfs/dbraw/zinc/39/26/46/609392646.db2.gz BLUILKITNNUITP-NXEZZACHSA-N -1 1 319.369 1.134 20 0 DDADMM CC[C@@H]1C[C@H](CC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCO1 ZINC000825180969 609392649 /nfs/dbraw/zinc/39/26/49/609392649.db2.gz BLUILKITNNUITP-NXEZZACHSA-N -1 1 319.369 1.134 20 0 DDADMM CC(C)[C@@H]1C[C@H](CC(=O)n2ncc(-c3nn[n-]n3)c2N)CCO1 ZINC000824214031 609434621 /nfs/dbraw/zinc/43/46/21/609434621.db2.gz DQINKTXSIUKGKN-KOLCDFICSA-N -1 1 319.369 1.127 20 0 DDADMM O=C(C=Cc1cncc(O)c1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826402706 609501246 /nfs/dbraw/zinc/50/12/46/609501246.db2.gz ABKLQPPZCBYAMK-DAFODLJHSA-N -1 1 324.300 1.325 20 0 DDADMM O=C(CC1CCCC1)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000972915212 695362653 /nfs/dbraw/zinc/36/26/53/695362653.db2.gz LFJQVIQGGULVHF-JOCQHMNTSA-N -1 1 317.389 1.745 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCC[C@@H](C(=O)OC)C2)[n-]1 ZINC000797141970 699996114 /nfs/dbraw/zinc/99/61/14/699996114.db2.gz LRAHWHOKRKTRHM-NXEZZACHSA-N -1 1 309.318 1.690 20 0 DDADMM CC[C@H](C)CCC(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000797273390 700002461 /nfs/dbraw/zinc/00/24/61/700002461.db2.gz FPHMQTAXXHJHIF-JTQLQIEISA-N -1 1 306.366 1.638 20 0 DDADMM CCC(=O)N1CCC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000973684340 695511214 /nfs/dbraw/zinc/51/12/14/695511214.db2.gz RZGAGMIVZZUTJQ-OLZOCXBDSA-N -1 1 317.389 1.650 20 0 DDADMM CN1CCN(C)[C@@H](CNC(=O)c2ccc3[n-]c(=S)oc3c2)C1 ZINC000746247412 700013348 /nfs/dbraw/zinc/01/33/48/700013348.db2.gz PLVARJZNOCORCJ-NSHDSACASA-N -1 1 320.418 1.092 20 0 DDADMM CC(C)(C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000974054918 695629214 /nfs/dbraw/zinc/62/92/14/695629214.db2.gz SLPHWHUBVYYWQG-TXEJJXNPSA-N -1 1 317.389 1.600 20 0 DDADMM CO[C@@H]1CCN(C(=O)N[C@@H]2CCCc3cn[nH]c32)[C@@H](C(=O)[O-])C1 ZINC000797725940 700021219 /nfs/dbraw/zinc/02/12/19/700021219.db2.gz XPGNWBOKDGARGK-IJLUTSLNSA-N -1 1 322.365 1.061 20 0 DDADMM CCCN(C(=O)N[C@H]1CCCc2cn[nH]c21)[C@@H](COC)C(=O)[O-] ZINC000797724567 700020915 /nfs/dbraw/zinc/02/09/15/700020915.db2.gz MKGOLTLKFNYCCS-RYUDHWBXSA-N -1 1 324.381 1.308 20 0 DDADMM C[C@@H](C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)C1CC1 ZINC000976319307 695955926 /nfs/dbraw/zinc/95/59/26/695955926.db2.gz FJCIOPRXQVFPEY-WUWHUORYSA-N -1 1 315.373 1.020 20 0 DDADMM CC1(CC(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])CC1 ZINC000976394210 695970592 /nfs/dbraw/zinc/97/05/92/695970592.db2.gz LXDLXYIXGSXREI-YABSGUDNSA-N -1 1 315.373 1.164 20 0 DDADMM Cc1cc(Cl)ccc1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798271113 700054102 /nfs/dbraw/zinc/05/41/02/700054102.db2.gz YHIQDLTVZCLOBI-UHFFFAOYSA-N -1 1 321.768 1.285 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H](C)Cn1cccn1 ZINC000747357568 700066108 /nfs/dbraw/zinc/06/61/08/700066108.db2.gz GOJZPGXIOJEVSP-LLVKDONJSA-N -1 1 317.393 1.877 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2C[C@@H]2c2ccccc2)c1Cl ZINC000044414585 696164368 /nfs/dbraw/zinc/16/43/68/696164368.db2.gz WAIXCRFTPRITTR-MNOVXSKESA-N -1 1 311.794 1.908 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@H](C)c1ccccn1 ZINC000047331994 696197041 /nfs/dbraw/zinc/19/70/41/696197041.db2.gz TWCQDSDAXLOZNY-MRVPVSSYSA-N -1 1 314.798 1.816 20 0 DDADMM CC1CCN(CC(=O)N[C@@H](Cc2ccc(F)cc2)C(=O)[O-])CC1 ZINC000049477794 696224066 /nfs/dbraw/zinc/22/40/66/696224066.db2.gz KBCDNCPUHOZHAL-HNNXBMFYSA-N -1 1 322.380 1.670 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)CC1(C)CC1)C(=O)c1ncccc1[O-] ZINC000977604952 696231605 /nfs/dbraw/zinc/23/16/05/696231605.db2.gz YIDPVEXSCAWLLI-LBPRGKRZSA-N -1 1 317.389 1.650 20 0 DDADMM CCN1CCC(NS(=O)(=O)c2cc(C(=O)[O-])oc2C)CC1 ZINC000055312214 696283417 /nfs/dbraw/zinc/28/34/17/696283417.db2.gz YJWGHMPTBMOAEB-UHFFFAOYSA-N -1 1 316.379 1.049 20 0 DDADMM CC(C)(C)C(=O)N1CCC[C@H](C(=O)[N-]O[C@H]2CCCCO2)C1 ZINC000060336435 696309709 /nfs/dbraw/zinc/30/97/09/696309709.db2.gz GHUIAPPSDOIWIE-STQMWFEESA-N -1 1 312.410 1.846 20 0 DDADMM CC(=O)Nc1cc([N-]S(=O)(=O)c2cn[nH]c2)c(F)cc1F ZINC000066306453 696351025 /nfs/dbraw/zinc/35/10/25/696351025.db2.gz SOERJQBJYVAHOT-UHFFFAOYSA-N -1 1 316.289 1.447 20 0 DDADMM CCn1c(CNC(=O)c2ccc3c(c2)COC3)n[n-]c1=S ZINC000066636639 696354350 /nfs/dbraw/zinc/35/43/50/696354350.db2.gz GXFFQNVWMWVOTK-UHFFFAOYSA-N -1 1 304.375 1.921 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CC12CC2 ZINC000978504645 696397519 /nfs/dbraw/zinc/39/75/19/696397519.db2.gz JZLVXBREORVUJQ-VXGBXAGGSA-N -1 1 315.373 1.260 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@@H]2c2cccc(F)c2)s1 ZINC000079663852 696462318 /nfs/dbraw/zinc/46/23/18/696462318.db2.gz XECMQMQCYOMXGH-VXGBXAGGSA-N -1 1 321.377 1.836 20 0 DDADMM CCOc1c(F)cccc1C(=O)NCc1n[n-]c(=S)n1C ZINC000080026552 696465970 /nfs/dbraw/zinc/46/59/70/696465970.db2.gz IYIRTRLCSGJRCP-UHFFFAOYSA-N -1 1 310.354 1.945 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC[C@H]2C[C@@H]2c2ccccc2)s1 ZINC000080205579 696531801 /nfs/dbraw/zinc/53/18/01/696531801.db2.gz WNRGZIAHXQSHDU-IJLUTSLNSA-N -1 1 303.387 1.253 20 0 DDADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)c1ccc[nH]1 ZINC000979465882 696565868 /nfs/dbraw/zinc/56/58/68/696565868.db2.gz FXRMRYGTTWVTMT-XYPYZODXSA-N -1 1 314.345 1.054 20 0 DDADMM CCOC(=O)C[C@H](C)[S@@](=O)CCC[N-]C(=O)C(F)(F)F ZINC000091537986 696588865 /nfs/dbraw/zinc/58/88/65/696588865.db2.gz WGQRVXNQVPAGOY-FFVOIRBGSA-N -1 1 317.329 1.145 20 0 DDADMM Cc1ccc(-c2n[n-]c(=S)n2CCC(=O)NCCCO)cc1 ZINC000097177768 696605350 /nfs/dbraw/zinc/60/53/50/696605350.db2.gz MBHWMFGTKBIVMX-UHFFFAOYSA-N -1 1 320.418 1.805 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)OCC2CC2)o1 ZINC000103332433 696613607 /nfs/dbraw/zinc/61/36/07/696613607.db2.gz XXWQPLUQWVBPCR-UHFFFAOYSA-N -1 1 301.364 1.923 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979667263 696622584 /nfs/dbraw/zinc/62/25/84/696622584.db2.gz HMFQRUJKPNXJOC-DDHJBXDOSA-N -1 1 303.362 1.068 20 0 DDADMM Cc1cc([O-])c(C(=O)C=Cc2ccc(-n3cncn3)cc2)c(=O)o1 ZINC000123827350 696735506 /nfs/dbraw/zinc/73/55/06/696735506.db2.gz RZRGITSOQGXABD-IEXSPKMKSA-N -1 1 323.308 1.496 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C[C@@H](C)CC(C)C ZINC000125607941 696754460 /nfs/dbraw/zinc/75/44/60/696754460.db2.gz DHPSGWVBIPJGGB-NSHDSACASA-N -1 1 320.393 1.884 20 0 DDADMM COc1ccccc1CO[N-]C(=O)[C@H]1CC(=O)N(C2CC2)C1 ZINC000799096013 700117694 /nfs/dbraw/zinc/11/76/94/700117694.db2.gz BWLAXGFJCRKFBI-LBPRGKRZSA-N -1 1 304.346 1.254 20 0 DDADMM COc1ccccc1CO[N-]C(=O)c1cccn2c(=O)[nH]nc12 ZINC000799094413 700117699 /nfs/dbraw/zinc/11/76/99/700117699.db2.gz LLGNGUJDGGMSEO-UHFFFAOYSA-N -1 1 314.301 1.305 20 0 DDADMM C[C@@H]1CCc2ccccc2[C@@H]1NC(=O)Cc1sc(N)nc1[O-] ZINC000155502151 696949028 /nfs/dbraw/zinc/94/90/28/696949028.db2.gz HYUCXNRYFHTZDQ-GAJTVXKRSA-N -1 1 317.414 1.773 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@@H]1CCCO1 ZINC000157762086 696980789 /nfs/dbraw/zinc/98/07/89/696980789.db2.gz AGJNZSLWONJNTP-BDAKNGLRSA-N -1 1 307.803 1.309 20 0 DDADMM CC[C@@](C)(CC(=O)OC)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000748657513 700130552 /nfs/dbraw/zinc/13/05/52/700130552.db2.gz IRPBWJJGRNTWHK-ZDUSSCGKSA-N -1 1 321.345 1.975 20 0 DDADMM C[C@@H]1CC(C)(C)CC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000748723494 700131957 /nfs/dbraw/zinc/13/19/57/700131957.db2.gz QGHUAFGENNSXQF-NEPJUHHUSA-N -1 1 307.394 1.258 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H]2CCCC2(C)C)o1 ZINC000799468901 700142982 /nfs/dbraw/zinc/14/29/82/700142982.db2.gz DPPUTHFGTSYXHD-JTQLQIEISA-N -1 1 301.364 1.923 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000984065146 697335242 /nfs/dbraw/zinc/33/52/42/697335242.db2.gz ORGLMQOPGCYHLZ-TWEXNZEWSA-N -1 1 317.389 1.816 20 0 DDADMM COC[C@H]1CN(Cn2[n-]c(-c3ccccn3)nc2=S)CCO1 ZINC000172921342 697372917 /nfs/dbraw/zinc/37/29/17/697372917.db2.gz KYIASAZARLNGOQ-LLVKDONJSA-N -1 1 321.406 1.307 20 0 DDADMM Nc1nc(Cl)cc(NNC(=O)c2c([O-])cc(F)cc2F)n1 ZINC000183521965 697489271 /nfs/dbraw/zinc/48/92/71/697489271.db2.gz MZMFGXFEAFBCFN-UHFFFAOYSA-N -1 1 315.667 1.453 20 0 DDADMM C[C@@](O)(CNC(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1)c1ccccc1 ZINC000187094813 697541318 /nfs/dbraw/zinc/54/13/18/697541318.db2.gz CUKPWZLXGPQQMZ-OAHLLOKOSA-N -1 1 317.301 1.333 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1(C)CCCC1 ZINC000986222080 697741242 /nfs/dbraw/zinc/74/12/42/697741242.db2.gz LECSEKPJFNQTFZ-NWDGAFQWSA-N -1 1 321.425 1.560 20 0 DDADMM O=C(CCn1cnc2sccc2c1=O)[N-]Oc1ccccc1 ZINC000773466349 697763803 /nfs/dbraw/zinc/76/38/03/697763803.db2.gz JNLCQLAUQBPCPJ-UHFFFAOYSA-N -1 1 315.354 1.958 20 0 DDADMM CO[C@H]1CCC[C@@H]1C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000774796825 697929864 /nfs/dbraw/zinc/92/98/64/697929864.db2.gz CUESKQMVEMHUTO-BDAKNGLRSA-N -1 1 307.803 1.167 20 0 DDADMM CS(=O)(=O)CCCCCNC(=O)c1c([O-])cccc1Cl ZINC000775983925 698058156 /nfs/dbraw/zinc/05/81/56/698058156.db2.gz PYTSMOYVUXRJHA-UHFFFAOYSA-N -1 1 319.810 1.990 20 0 DDADMM C/C(=C/C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C(C)(C)C ZINC000777443749 698191379 /nfs/dbraw/zinc/19/13/79/698191379.db2.gz CYIVACTZHJBPKH-CLFYSBASSA-N -1 1 312.391 1.971 20 0 DDADMM CN1CCC[C@@H]([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)C1 ZINC000777976514 698234948 /nfs/dbraw/zinc/23/49/48/698234948.db2.gz PYMJLYMNTHTQQQ-MRVPVSSYSA-N -1 1 324.233 1.761 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CCO[C@H](C)C2)co1 ZINC000778363351 698268838 /nfs/dbraw/zinc/26/88/38/698268838.db2.gz CBTFFJUSAVPTSG-NXEZZACHSA-N -1 1 317.363 1.160 20 0 DDADMM CCC(=O)N1CCC(CN(C)C(=O)c2ncccc2[O-])CC1 ZINC000988397989 698351435 /nfs/dbraw/zinc/35/14/35/698351435.db2.gz SLHORGURWSMPHO-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM Cc1cc(C)cc(CS(=O)(=O)[N-]C(=O)CCc2nc[nH]n2)c1 ZINC000800443720 700224056 /nfs/dbraw/zinc/22/40/56/700224056.db2.gz GOEJNCAFINIHCR-UHFFFAOYSA-N -1 1 322.390 1.000 20 0 DDADMM COc1ccccc1C(C)=CC(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000255671030 698422516 /nfs/dbraw/zinc/42/25/16/698422516.db2.gz SQQAQPSUCLZONG-KHPPLWFESA-N -1 1 316.361 1.259 20 0 DDADMM CC(C)COC(=O)[C@H](C)NC(=O)c1c(F)ccc([O-])c1F ZINC000289405833 698568148 /nfs/dbraw/zinc/56/81/48/698568148.db2.gz HDRDMZCHTHWFRX-QMMMGPOBSA-N -1 1 301.289 1.988 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CC(C)(C)C1 ZINC000989337822 698589928 /nfs/dbraw/zinc/58/99/28/698589928.db2.gz KGBKZYDHCOIZPZ-ZYHUDNBSSA-N -1 1 321.425 1.416 20 0 DDADMM CCc1ccoc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000989418616 698610115 /nfs/dbraw/zinc/61/01/15/698610115.db2.gz QAMIZTBFRVMVGU-MWLCHTKSSA-N -1 1 319.365 1.059 20 0 DDADMM O=C(COC(=O)c1ccc2cc[nH]c2n1)[N-]C(=O)c1ccccc1 ZINC000782373677 698716196 /nfs/dbraw/zinc/71/61/96/698716196.db2.gz BNQOQTKZSHWNSQ-UHFFFAOYSA-N -1 1 323.308 1.676 20 0 DDADMM COC(=O)[C@H]1C[C@@H](O)CN1C(=O)c1ccc2ccccc2c1[O-] ZINC000322082409 698732141 /nfs/dbraw/zinc/73/21/41/698732141.db2.gz YHOFYEFKAUETCC-BXUZGUMPSA-N -1 1 315.325 1.294 20 0 DDADMM CON(CC1CCOCC1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000800917001 700254460 /nfs/dbraw/zinc/25/44/60/700254460.db2.gz LJPCOAKJZQWPBX-UHFFFAOYSA-N -1 1 317.345 1.353 20 0 DDADMM CCOC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1ccc(C)cc1 ZINC000750943544 700259819 /nfs/dbraw/zinc/25/98/19/700259819.db2.gz JCZKTXSFWSLRIL-UHFFFAOYSA-N -1 1 324.358 1.971 20 0 DDADMM CNc1nc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)cs1 ZINC000384708779 699073494 /nfs/dbraw/zinc/07/34/94/699073494.db2.gz CVSOZJNXTVSHIA-UHFFFAOYSA-N -1 1 315.358 1.955 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](NC(C)=O)C(C)(C)C)n1 ZINC000415645975 699129715 /nfs/dbraw/zinc/12/97/15/699129715.db2.gz JBZMKXOTSYAURS-SNVBAGLBSA-N -1 1 310.354 1.076 20 0 DDADMM Cn1[n-]c(COC(=O)c2coc(C3CCCCC3)n2)nc1=O ZINC000786428647 699145393 /nfs/dbraw/zinc/14/53/93/699145393.db2.gz MPYLEGGBMASRBY-UHFFFAOYSA-N -1 1 306.322 1.501 20 0 DDADMM C[C@@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000990976472 699197474 /nfs/dbraw/zinc/19/74/74/699197474.db2.gz FSNGEISFBDNTSW-SNVBAGLBSA-N -1 1 303.362 1.164 20 0 DDADMM O=C([O-])[C@]1(O)CCN(Cc2ccc(Br)cc2)C1 ZINC000706471151 699243837 /nfs/dbraw/zinc/24/38/37/699243837.db2.gz UXIHPPRBZPAUSJ-LBPRGKRZSA-N -1 1 300.152 1.471 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)C1CCCCC1)c1ccns1 ZINC000725029616 699329406 /nfs/dbraw/zinc/32/94/06/699329406.db2.gz KZYVVVINOJSRQT-NSHDSACASA-N -1 1 304.437 1.753 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2(SC)CC2)cc1 ZINC000789211082 699370181 /nfs/dbraw/zinc/37/01/81/699370181.db2.gz ZOIYUPHYOJULTP-UHFFFAOYSA-N -1 1 323.370 1.390 20 0 DDADMM CC(C)Oc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000726868106 699386757 /nfs/dbraw/zinc/38/67/57/699386757.db2.gz WQWPUDGCHKCQTI-UHFFFAOYSA-N -1 1 317.345 1.353 20 0 DDADMM Cc1ccc2c(c1)CC[C@@H]2NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727851903 699428054 /nfs/dbraw/zinc/42/80/54/699428054.db2.gz PDDNBFPLSMICED-AWEZNQCLSA-N -1 1 313.357 1.039 20 0 DDADMM Cc1noc(C(C)(C)[N-]S(=O)(=O)c2cccnc2Cl)n1 ZINC000790440896 699459730 /nfs/dbraw/zinc/45/97/30/699459730.db2.gz OMORZVPBSXTPSY-UHFFFAOYSA-N -1 1 316.770 1.640 20 0 DDADMM CC(C)(O)CN1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000730086884 699499623 /nfs/dbraw/zinc/49/96/23/699499623.db2.gz QONBWNODVACAQB-UHFFFAOYSA-N -1 1 312.797 1.574 20 0 DDADMM CC(C)C(=O)COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000730845062 699517154 /nfs/dbraw/zinc/51/71/54/699517154.db2.gz ASUBHYCGEGOGJB-UHFFFAOYSA-N -1 1 305.377 1.502 20 0 DDADMM Cn1c(C(=O)NCc2nn[n-]n2)ccc1-c1ccc(F)cc1 ZINC000732158402 699548183 /nfs/dbraw/zinc/54/81/83/699548183.db2.gz CMSRQTRAUATUGZ-UHFFFAOYSA-N -1 1 300.297 1.274 20 0 DDADMM CCOCCN1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000732291912 699554566 /nfs/dbraw/zinc/55/45/66/699554566.db2.gz HNXSNNNDCPIVAF-UHFFFAOYSA-N -1 1 312.797 1.840 20 0 DDADMM CC(C)c1cccc(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000733140687 699580520 /nfs/dbraw/zinc/58/05/20/699580520.db2.gz UDAKGBYMROQOJC-UHFFFAOYSA-N -1 1 315.373 1.713 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccccn1)c1cccc2cn[nH]c21 ZINC000734965779 699670462 /nfs/dbraw/zinc/67/04/62/699670462.db2.gz WBJDMBYVSHJCRK-UHFFFAOYSA-N -1 1 302.315 1.077 20 0 DDADMM c1nn(-c2ccccc2)nc1CNC1(c2nn[n-]n2)CCCC1 ZINC000735407455 699693824 /nfs/dbraw/zinc/69/38/24/699693824.db2.gz IUIVGZTTZNAGHR-UHFFFAOYSA-N -1 1 310.365 1.340 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C)nc2cc(C)nn21)c1nn[n-]n1 ZINC000736431963 699725788 /nfs/dbraw/zinc/72/57/88/699725788.db2.gz YOLNPZVGMMTHMS-JTQLQIEISA-N -1 1 314.353 1.130 20 0 DDADMM O=C([O-])N1CC[C@H](C(=O)NC[C@@H](c2ccco2)N2CCCC2)C1 ZINC000740405979 699793004 /nfs/dbraw/zinc/79/30/04/699793004.db2.gz LRGXXVZARMWIBH-STQMWFEESA-N -1 1 321.377 1.533 20 0 DDADMM Cc1cc(C(=O)NOC/C=C\Cl)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000794295598 699801100 /nfs/dbraw/zinc/80/11/00/699801100.db2.gz JJDSWGNSKWYKMY-IHWYPQMZSA-N -1 1 310.697 1.158 20 0 DDADMM O=S(=O)([N-][C@H]1[C@@H]2COC[C@@H]21)c1c(Cl)ccnc1Cl ZINC000795300525 699857012 /nfs/dbraw/zinc/85/70/12/699857012.db2.gz ALLHTLPRCZYAPF-BDINMYNLSA-N -1 1 309.174 1.312 20 0 DDADMM O=S(=O)([N-]c1ccn(Cc2ccccn2)n1)N1CCCCC1 ZINC000742030093 699858419 /nfs/dbraw/zinc/85/84/19/699858419.db2.gz QCLVEMRYOCTTQA-UHFFFAOYSA-N -1 1 321.406 1.469 20 0 DDADMM CCC[C@@H](C)NC(=O)[C@H](C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796347759 699928218 /nfs/dbraw/zinc/92/82/18/699928218.db2.gz WOLHADYSQANTJN-ZJUUUORDSA-N -1 1 310.350 1.651 20 0 DDADMM CCO[C@H](C)c1noc(COC(=O)c2ccc(C(=O)OC)[n-]2)n1 ZINC000796350128 699928832 /nfs/dbraw/zinc/92/88/32/699928832.db2.gz JTKZLHUAIRYGES-MRVPVSSYSA-N -1 1 323.305 1.639 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](O)CC(C)C)o1 ZINC000751276396 700277702 /nfs/dbraw/zinc/27/77/02/700277702.db2.gz ANISLLPJQVXIEN-SNVBAGLBSA-N -1 1 319.379 1.142 20 0 DDADMM CCCCN(C(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000751414842 700286581 /nfs/dbraw/zinc/28/65/81/700286581.db2.gz ZJISAERKZODAHA-UHFFFAOYSA-N -1 1 315.373 1.760 20 0 DDADMM COC(=O)[C@@H](C)OC(=O)c1nn(-c2ccc(C)cc2)cc1[O-] ZINC000801369505 700301280 /nfs/dbraw/zinc/30/12/80/700301280.db2.gz PSIPEGLQBRIXKV-SNVBAGLBSA-N -1 1 304.302 1.605 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCO[C@@H](C(F)F)C1 ZINC000801422057 700306629 /nfs/dbraw/zinc/30/66/29/700306629.db2.gz HXWAGBZYTZJZCC-SSDOTTSWSA-N -1 1 319.333 1.319 20 0 DDADMM C[C@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C1CCOCC1 ZINC000756409967 700620280 /nfs/dbraw/zinc/62/02/80/700620280.db2.gz JXNOTTNAFVABSH-LBPRGKRZSA-N -1 1 319.357 1.549 20 0 DDADMM O=C([N-]Cc1nc(C2CC2)no1)C(F)(F)c1nccs1 ZINC000756715661 700637901 /nfs/dbraw/zinc/63/79/01/700637901.db2.gz NIVYLHDJSXYYLK-UHFFFAOYSA-N -1 1 300.290 1.812 20 0 DDADMM NC(=O)c1coc(C[N-]C(=O)C(F)(F)c2nccs2)c1 ZINC000756759635 700639478 /nfs/dbraw/zinc/63/94/78/700639478.db2.gz IPMVROXNUMOFEY-UHFFFAOYSA-N -1 1 301.274 1.243 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C(=O)O1 ZINC000809382145 701662203 /nfs/dbraw/zinc/66/22/03/701662203.db2.gz CLGRIRGTMMAIQZ-MLUIRONXSA-N -1 1 309.265 1.086 20 0 DDADMM CC(=O)c1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1C ZINC000760888803 700828036 /nfs/dbraw/zinc/82/80/36/700828036.db2.gz LPUGQBQCFBWAAA-UHFFFAOYSA-N -1 1 315.329 1.076 20 0 DDADMM O=c1[nH]cccc1[N-]S(=O)(=O)c1cnc(Cl)c(F)c1 ZINC000761949375 700874061 /nfs/dbraw/zinc/87/40/61/700874061.db2.gz HRGDQCDBVVAOQN-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM C[C@H]1CN(C(=O)c2c([O-])cccc2Cl)CCS(=O)(=O)C1 ZINC000762669952 700902241 /nfs/dbraw/zinc/90/22/41/700902241.db2.gz DRRKJWYASLFFRS-VIFPVBQESA-N -1 1 317.794 1.552 20 0 DDADMM CC[C@H](NC(=O)[C@@H](N)c1cccc(C(F)(F)F)c1)C(=O)[O-] ZINC000763030863 700920943 /nfs/dbraw/zinc/92/09/43/700920943.db2.gz XLVQXXVTLLBOSR-UWVGGRQHSA-N -1 1 304.268 1.685 20 0 DDADMM COc1cccc([C@@H](O)CNC(=O)c2ncc(C)cc2[O-])c1 ZINC000763257846 700932183 /nfs/dbraw/zinc/93/21/83/700932183.db2.gz HXGMGZWFTIXVFR-AWEZNQCLSA-N -1 1 302.330 1.568 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-]C[C@@H](OC)C(F)(F)F)s1 ZINC000763580578 700941214 /nfs/dbraw/zinc/94/12/14/700941214.db2.gz SFZPZAFLLPMEKN-ZCFIWIBFSA-N -1 1 318.342 1.561 20 0 DDADMM CCCN(CC)c1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cn1 ZINC000765464537 701014056 /nfs/dbraw/zinc/01/40/56/701014056.db2.gz YLYYEBHJXHGHPA-UHFFFAOYSA-N -1 1 319.365 1.097 20 0 DDADMM CCN(CC)[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000765473913 701014578 /nfs/dbraw/zinc/01/45/78/701014578.db2.gz PTJCMESRQJUSOH-AWEZNQCLSA-N -1 1 318.377 1.235 20 0 DDADMM CC(C)C[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000765480278 701014754 /nfs/dbraw/zinc/01/47/54/701014754.db2.gz KEQGQRVFMAHBTD-CYBMUJFWSA-N -1 1 303.362 1.982 20 0 DDADMM Cn1[n-]c(COC(=O)c2c(F)c(F)cc(F)c2F)nc1=O ZINC000765485868 701015374 /nfs/dbraw/zinc/01/53/74/701015374.db2.gz CADFVFDQSPMBPH-UHFFFAOYSA-N -1 1 305.187 1.022 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OCC(F)(F)F)N1C[C@@H](C)O[C@H](C)C1 ZINC000766763413 701062185 /nfs/dbraw/zinc/06/21/85/701062185.db2.gz QXVNWXKERZYGIX-MXWKQRLJSA-N -1 1 312.332 1.730 20 0 DDADMM O=C(Nc1ccncc1[O-])c1cc(=O)c2ccc(F)cc2o1 ZINC000802912306 701067613 /nfs/dbraw/zinc/06/76/13/701067613.db2.gz CTEPOMGMQIJJSZ-UHFFFAOYSA-N -1 1 300.245 1.707 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)C[C@H]2COC(=O)C2)c1 ZINC000767617283 701123569 /nfs/dbraw/zinc/12/35/69/701123569.db2.gz YOJDTEVGIZSUHP-LLVKDONJSA-N -1 1 306.314 1.634 20 0 DDADMM C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)c1c([O-])cccc1Cl ZINC000804436358 701189198 /nfs/dbraw/zinc/18/91/98/701189198.db2.gz PCAGAKTZHAJEIP-RYUDHWBXSA-N -1 1 324.808 1.981 20 0 DDADMM CCN1CCO[C@H](C(=O)OCCc2cc(C(C)=O)ccc2[O-])C1 ZINC000805472388 701383846 /nfs/dbraw/zinc/38/38/46/701383846.db2.gz IFDOWTYSTPAIHE-INIZCTEOSA-N -1 1 321.373 1.401 20 0 DDADMM C[C@](O)(C(=O)OCc1cc(=O)oc2cc([O-])ccc12)C1CC1 ZINC000805583233 701396667 /nfs/dbraw/zinc/39/66/67/701396667.db2.gz NBXBYVIVWKMBFL-MRXNPFEDSA-N -1 1 304.298 1.703 20 0 DDADMM CCC[C@@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccccc1 ZINC000805604643 701398106 /nfs/dbraw/zinc/39/81/06/701398106.db2.gz NFJFUPLBJVWLKR-GFCCVEGCSA-N -1 1 302.334 1.619 20 0 DDADMM O=C(OCc1nc(-c2ccc(Cl)cc2)no1)c1cn[n-]n1 ZINC000805605187 701398649 /nfs/dbraw/zinc/39/86/49/701398649.db2.gz WBRQDTFUVBGGHF-UHFFFAOYSA-N -1 1 305.681 1.865 20 0 DDADMM CCCC(=O)Nc1ccc(C(=O)COC(=O)c2cn[n-]n2)cc1 ZINC000805608711 701399987 /nfs/dbraw/zinc/39/99/87/701399987.db2.gz HAVNLGRIFDJCFF-UHFFFAOYSA-N -1 1 316.317 1.583 20 0 DDADMM CN(CCOC(C)(C)C)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806482114 701436944 /nfs/dbraw/zinc/43/69/44/701436944.db2.gz JXCULBFQMYMOHV-UHFFFAOYSA-N -1 1 305.382 1.621 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC(O)(c4ccccc4)C3)ccnc1-2 ZINC000806483461 701437008 /nfs/dbraw/zinc/43/70/08/701437008.db2.gz FWAHKNKGCFXQIO-UHFFFAOYSA-N -1 1 323.356 1.077 20 0 DDADMM Cc1ncc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000806488209 701437529 /nfs/dbraw/zinc/43/75/29/701437529.db2.gz DIOCXFFXYFQLHE-UHFFFAOYSA-N -1 1 302.363 1.428 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3cnn4ccccc34)ccnc1-2 ZINC000806494412 701438018 /nfs/dbraw/zinc/43/80/18/701438018.db2.gz GXTTWYWUCUAGHK-UHFFFAOYSA-N -1 1 321.344 1.311 20 0 DDADMM Cc1cccc2cc(C(=O)OCc3nc(=O)n(C)[n-]3)sc21 ZINC000808629927 701527209 /nfs/dbraw/zinc/52/72/09/701527209.db2.gz BNOWXGLNNDHTDB-UHFFFAOYSA-N -1 1 303.343 1.989 20 0 DDADMM COC(=O)C1CC([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000867772132 701740772 /nfs/dbraw/zinc/74/07/72/701740772.db2.gz MGLCFIKIHFRMBO-UHFFFAOYSA-N -1 1 323.292 1.334 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-]C(=O)[C@]1(F)CCN(C(C)(C)C)C1 ZINC000810671023 701816712 /nfs/dbraw/zinc/81/67/12/701816712.db2.gz PLOKRGVXEZGWCL-MFKMUULPSA-N -1 1 308.419 1.443 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@H](Oc2ccc(F)cc2)C1 ZINC000867916866 701828820 /nfs/dbraw/zinc/82/88/20/701828820.db2.gz FBJMYJFKLBXKAY-ZDUSSCGKSA-N -1 1 319.340 1.341 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2ccsc2COC)C1=O ZINC000867919831 701829840 /nfs/dbraw/zinc/82/98/40/701829840.db2.gz RWUNSTVMFRBAMO-ZDUSSCGKSA-N -1 1 311.363 1.260 20 0 DDADMM CCn1nc(C)c(C(=O)OCCc2cc(C(C)=O)ccc2[O-])n1 ZINC000815294665 701842287 /nfs/dbraw/zinc/84/22/87/701842287.db2.gz AYHRPRZKYQWGBZ-UHFFFAOYSA-N -1 1 317.345 1.914 20 0 DDADMM Cc1ccc2sc(C(=O)[N-]N3CC(=O)N(C)C3=O)cc2c1 ZINC000810838495 701858310 /nfs/dbraw/zinc/85/83/10/701858310.db2.gz WDNHACKHESTAQU-UHFFFAOYSA-N -1 1 303.343 1.749 20 0 DDADMM COc1cc(Cl)cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000815519193 701886285 /nfs/dbraw/zinc/88/62/85/701886285.db2.gz ONDHQTSMEUUTHA-LLVKDONJSA-N -1 1 323.740 1.075 20 0 DDADMM CC(C)S(=O)(=O)CCNC(=O)c1c([O-])cccc1Cl ZINC000811555987 702003448 /nfs/dbraw/zinc/00/34/48/702003448.db2.gz SLIZFAXFVSWWRY-UHFFFAOYSA-N -1 1 305.783 1.599 20 0 DDADMM CC(C)O[C@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1(C)C ZINC000831116082 706637618 /nfs/dbraw/zinc/63/76/18/706637618.db2.gz VDIFERFBCQUCNC-ZJUUUORDSA-N -1 1 321.830 1.934 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc3c(cc2O)OCO3)n1 ZINC000816535915 702109038 /nfs/dbraw/zinc/10/90/38/702109038.db2.gz FQVSBMBRIRJVOG-UHFFFAOYSA-N -1 1 319.273 1.273 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)CCO1 ZINC000831135378 706641737 /nfs/dbraw/zinc/64/17/37/706641737.db2.gz WWCPUFMLKYHWFF-GHMZBOCLSA-N -1 1 322.327 1.329 20 0 DDADMM CO[C@@]1(C)C[C@H]1NC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868788832 702321246 /nfs/dbraw/zinc/32/12/46/702321246.db2.gz AFDLFYQZSFKWNO-FXAINCCUSA-N -1 1 323.315 1.016 20 0 DDADMM O=C([N-]Cc1nc(C(F)F)no1)C(F)(F)C1(O)CCCC1 ZINC000868942528 702394628 /nfs/dbraw/zinc/39/46/28/702394628.db2.gz WQHLUGYVWFFCTM-UHFFFAOYSA-N -1 1 311.235 1.564 20 0 DDADMM CC(C)O[C@H]1C[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1 ZINC000841537514 702487944 /nfs/dbraw/zinc/48/79/44/702487944.db2.gz VJCJLCKACMNCFZ-DTORHVGOSA-N -1 1 307.803 1.308 20 0 DDADMM CO[C@@](C)([C@H](C)[N-]S(=O)(=O)c1ncn(C)c1Cl)C1CC1 ZINC000841557800 702497306 /nfs/dbraw/zinc/49/73/06/702497306.db2.gz GUBCBNZASAPZNT-UFBFGSQYSA-N -1 1 321.830 1.555 20 0 DDADMM C[C@@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C[C@@H]1C ZINC000869212952 702512745 /nfs/dbraw/zinc/51/27/45/702512745.db2.gz BPJCSGIOEHCHJW-MNOVXSKESA-N -1 1 320.393 1.442 20 0 DDADMM CCC/C(C)=C\C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869367573 702584145 /nfs/dbraw/zinc/58/41/45/702584145.db2.gz OLUZZDKNQXBHIQ-CLFYSBASSA-N -1 1 309.284 1.914 20 0 DDADMM Cc1cccnc1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869429309 702604465 /nfs/dbraw/zinc/60/44/65/702604465.db2.gz XJUJIWUDJZWUHA-UHFFFAOYSA-N -1 1 318.251 1.185 20 0 DDADMM CC[C@@H](C)[C@@H](C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016210 702803784 /nfs/dbraw/zinc/80/37/84/702803784.db2.gz REVDGNUNLBQPPL-VXGBXAGGSA-N -1 1 304.456 1.599 20 0 DDADMM COCC1(CCNC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000843962534 702947199 /nfs/dbraw/zinc/94/71/99/702947199.db2.gz XABYBFIGPMHTLP-UHFFFAOYSA-N -1 1 306.391 1.565 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H](C1CCC1)C1CCCC1 ZINC000843993530 702950328 /nfs/dbraw/zinc/95/03/28/702950328.db2.gz OJKOTACBOGUBEC-OAHLLOKOSA-N -1 1 319.405 1.402 20 0 DDADMM CC[C@@H](CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O)C(=O)OC ZINC000844022888 702957519 /nfs/dbraw/zinc/95/75/19/702957519.db2.gz FDWHJKHIHALJTG-JTQLQIEISA-N -1 1 309.366 1.543 20 0 DDADMM CC(C)(C)OC(=O)N1[C@@H](C(=O)Nc2nnn[n-]2)CC[C@@H]1C1CC1 ZINC000845554974 703173743 /nfs/dbraw/zinc/17/37/43/703173743.db2.gz NDIVXZZQIHXJHI-NXEZZACHSA-N -1 1 322.369 1.316 20 0 DDADMM CC(C)(C)OC(=O)N1[C@@H](C(=O)Nc2nn[n-]n2)CC[C@@H]1C1CC1 ZINC000845554974 703173744 /nfs/dbraw/zinc/17/37/44/703173744.db2.gz NDIVXZZQIHXJHI-NXEZZACHSA-N -1 1 322.369 1.316 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CC[C@H]3C[C@H]3C2)co1 ZINC000845773224 703198729 /nfs/dbraw/zinc/19/87/29/703198729.db2.gz JIVCFOKXNLJGJM-OUAUKWLOSA-N -1 1 313.375 1.781 20 0 DDADMM C[C@@H](COc1cccc(F)c1)[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866578734 706734219 /nfs/dbraw/zinc/73/42/19/706734219.db2.gz WTGIJLJLRBPCDN-VIFPVBQESA-N -1 1 324.399 1.155 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@](C)(C(=O)[O-])c2ccccc2)c1C ZINC000846710942 703322448 /nfs/dbraw/zinc/32/24/48/703322448.db2.gz IAAHJIAYVMTEFN-MRXNPFEDSA-N -1 1 301.346 1.799 20 0 DDADMM O=Cc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cs1 ZINC000847076986 703375041 /nfs/dbraw/zinc/37/50/41/703375041.db2.gz DYSOTADELXZARI-MRVPVSSYSA-N -1 1 307.331 1.669 20 0 DDADMM O=C(NC[C@H]1CN(C2CC2)C(=O)O1)c1cc(Cl)ccc1[O-] ZINC000847263606 703392047 /nfs/dbraw/zinc/39/20/47/703392047.db2.gz FINOXJFXWAVZPL-JTQLQIEISA-N -1 1 310.737 1.759 20 0 DDADMM COC[C@H](C)C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866609581 706744158 /nfs/dbraw/zinc/74/41/58/706744158.db2.gz LDYHVMLAHIETFM-SSDOTTSWSA-N -1 1 313.206 1.949 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C(=O)O1 ZINC000848073891 703503524 /nfs/dbraw/zinc/50/35/24/703503524.db2.gz MZFCFFDRIBURAZ-IMTBSYHQSA-N -1 1 303.236 1.845 20 0 DDADMM COC(C)(C)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341657 703540940 /nfs/dbraw/zinc/54/09/40/703540940.db2.gz OPZUDOWKUGDNMC-RKDXNWHRSA-N -1 1 310.316 1.469 20 0 DDADMM CC[C@H](C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C)[C@H](C)O ZINC000848391359 703545523 /nfs/dbraw/zinc/54/55/23/703545523.db2.gz GEXAJZREMBQSAY-VPOLOUISSA-N -1 1 324.343 1.451 20 0 DDADMM C[C@@H](CNCc1nc2ccccn2c1Br)C(=O)[O-] ZINC000848640179 703570810 /nfs/dbraw/zinc/57/08/10/703570810.db2.gz OQQOXESFYJZVHZ-QMMMGPOBSA-N -1 1 312.167 1.907 20 0 DDADMM CC(C)N(C)S(=O)(=O)[N-]c1ccn(C2CCOCC2)n1 ZINC000849311697 703634111 /nfs/dbraw/zinc/63/41/11/703634111.db2.gz PLWPNELGXPCWQQ-UHFFFAOYSA-N -1 1 302.400 1.232 20 0 DDADMM CO[C@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C1CCC1 ZINC000850172131 703710421 /nfs/dbraw/zinc/71/04/21/703710421.db2.gz FRMKCLADVACNKL-AWEZNQCLSA-N -1 1 305.330 1.301 20 0 DDADMM C[C@@]12CN(C(=O)c3c([O-])cccc3Cl)C[C@@]1(C)C(=O)NC2=O ZINC000869999652 703892406 /nfs/dbraw/zinc/89/24/06/703892406.db2.gz NKDWIQZRJBDPMQ-GJZGRUSLSA-N -1 1 322.748 1.170 20 0 DDADMM C[C@@H]1CCN(Cc2ccn(C)n2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852756902 704116573 /nfs/dbraw/zinc/11/65/73/704116573.db2.gz YHZHYWRLXLQITK-KOLCDFICSA-N -1 1 304.316 1.309 20 0 DDADMM O=C([C@@H]1OC[C@@H]2CCC[C@@H]21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000879855360 706802653 /nfs/dbraw/zinc/80/26/53/706802653.db2.gz WDDFAXPOJBKCQU-NOOOWODRSA-N -1 1 307.350 1.296 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2ccnn2C)c1 ZINC000820927076 704347215 /nfs/dbraw/zinc/34/72/15/704347215.db2.gz YEIRHJCBWIWTLS-RKFFSXRUSA-N -1 1 322.386 1.841 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)N=S(C)(C)=O)c1cccc(F)c1 ZINC000866810255 706809924 /nfs/dbraw/zinc/80/99/24/706809924.db2.gz SPPNLZGFXHMVOH-UHFFFAOYSA-N -1 1 322.427 1.665 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCC[C@H](C)O)c1 ZINC000821353399 704395473 /nfs/dbraw/zinc/39/54/73/704395473.db2.gz UADBLQPSYSLKKL-YBTHPKLGSA-N -1 1 300.376 1.507 20 0 DDADMM CCc1noc(COC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000821559131 704422012 /nfs/dbraw/zinc/42/20/12/704422012.db2.gz UXLOGQCFSFFNLU-UHFFFAOYSA-N -1 1 306.307 1.231 20 0 DDADMM CCc1noc(COC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000821559131 704422014 /nfs/dbraw/zinc/42/20/14/704422014.db2.gz UXLOGQCFSFFNLU-UHFFFAOYSA-N -1 1 306.307 1.231 20 0 DDADMM CCOC(=O)C(C)(C)ONC(=O)c1cc(=O)c2ccccc2[n-]1 ZINC000855131825 704469838 /nfs/dbraw/zinc/46/98/38/704469838.db2.gz CBBRIOSWAXKSSA-UHFFFAOYSA-N -1 1 318.329 1.531 20 0 DDADMM C[C@@H]1CCN(C(=O)CC(C)(C)O)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856415606 704528002 /nfs/dbraw/zinc/52/80/02/704528002.db2.gz HYMOIEMDASMPLM-BDAKNGLRSA-N -1 1 310.316 1.063 20 0 DDADMM O=C(N[C@H](C1CC1)C1(CO)CCOCC1)c1cncc([O-])c1 ZINC000857551447 704603313 /nfs/dbraw/zinc/60/33/13/704603313.db2.gz XYERVVJUUVKAAR-CQSZACIVSA-N -1 1 306.362 1.085 20 0 DDADMM O=S(=O)(Cc1c(F)cccc1Cl)[N-]CC1OCCCO1 ZINC000867161959 706913487 /nfs/dbraw/zinc/91/34/87/706913487.db2.gz XRIDZKHKULXYHB-UHFFFAOYSA-N -1 1 323.773 1.662 20 0 DDADMM CC[C@H]1CN(c2cc(Cl)[n-]c(=O)n2)C[C@@H](C)S1(=O)=O ZINC000858546748 704725352 /nfs/dbraw/zinc/72/53/52/704725352.db2.gz ZRKXYEIGACZBQM-SFYZADRCSA-N -1 1 305.787 1.238 20 0 DDADMM CC[C@H]1C[N@H+](CC)CCN1C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000821781274 704805601 /nfs/dbraw/zinc/80/56/01/704805601.db2.gz GOELIGNFJFOKOS-HNNXBMFYSA-N -1 1 322.453 1.318 20 0 DDADMM CC(C)(O)C(C)(C)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867369588 706978000 /nfs/dbraw/zinc/97/80/00/706978000.db2.gz YLKORABEGSDXGF-UHFFFAOYSA-N -1 1 308.350 1.435 20 0 DDADMM CC1(C)CN(CC(=O)[N-]OCc2ccccc2)[C@@H]2COC[C@@H]21 ZINC000832775601 706981305 /nfs/dbraw/zinc/98/13/05/706981305.db2.gz VUNJUOGSGFTORJ-LSDHHAIUSA-N -1 1 304.390 1.591 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)[C@H](O)C(C)C ZINC000867405047 706990359 /nfs/dbraw/zinc/99/03/59/706990359.db2.gz DLFLTVPBFFLQOC-LDYMZIIASA-N -1 1 324.805 1.948 20 0 DDADMM CCN(CC)Cc1csc(NC(=O)CCCc2nn[n-]n2)n1 ZINC000874149159 704953241 /nfs/dbraw/zinc/95/32/41/704953241.db2.gz DLDXIFMDQOQRRC-UHFFFAOYSA-N -1 1 323.426 1.459 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2CC[C@@H]3C[C@@H]3C2)c1C(F)(F)F ZINC000867453341 707005838 /nfs/dbraw/zinc/00/58/38/707005838.db2.gz MEPKARGGQQUSFF-IWSPIJDZSA-N -1 1 323.340 1.906 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H](C1CC1)C1CCOCC1 ZINC000867459905 707008313 /nfs/dbraw/zinc/00/83/13/707008313.db2.gz ZROJJOMLXVPPCI-BLVKFPJESA-N -1 1 324.468 1.144 20 0 DDADMM CCN(CC)[C@H](CNC(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)c1ccco1 ZINC000874387593 705032465 /nfs/dbraw/zinc/03/24/65/705032465.db2.gz FIXHYKICQVHJOX-FRRDWIJNSA-N -1 1 323.393 1.825 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)[C@H]2C[C@@H](C)Cc3c[nH]nc32)C1 ZINC000902322578 710716678 /nfs/dbraw/zinc/71/66/78/710716678.db2.gz LUSMUNVLSZONTE-PKWAYOAASA-N -1 1 321.377 1.025 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(F)CCCCC2)n[n-]1 ZINC000880665586 707051636 /nfs/dbraw/zinc/05/16/36/707051636.db2.gz FXHZUBDPGWOWFM-VIFPVBQESA-N -1 1 312.345 1.831 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(F)CCCCC2)[n-]1 ZINC000880665586 707051638 /nfs/dbraw/zinc/05/16/38/707051638.db2.gz FXHZUBDPGWOWFM-VIFPVBQESA-N -1 1 312.345 1.831 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(F)CCCCC2)n1 ZINC000880665586 707051639 /nfs/dbraw/zinc/05/16/39/707051639.db2.gz FXHZUBDPGWOWFM-VIFPVBQESA-N -1 1 312.345 1.831 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@H]2C(C)(C)C)n[n-]1 ZINC000880665373 707051671 /nfs/dbraw/zinc/05/16/71/707051671.db2.gz CXXNVIJNGAXJIN-IVZWLZJFSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@H]2C(C)(C)C)[n-]1 ZINC000880665373 707051672 /nfs/dbraw/zinc/05/16/72/707051672.db2.gz CXXNVIJNGAXJIN-IVZWLZJFSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@H]2C(C)(C)C)n1 ZINC000880665373 707051674 /nfs/dbraw/zinc/05/16/74/707051674.db2.gz CXXNVIJNGAXJIN-IVZWLZJFSA-N -1 1 308.382 1.841 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc2scnc21)c1nn[n-]n1 ZINC000860786177 705282283 /nfs/dbraw/zinc/28/22/83/705282283.db2.gz XUNIQBLXSIIKNR-MRVPVSSYSA-N -1 1 302.363 1.685 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1ccc2cnccc2c1)c1nn[n-]n1 ZINC000860786017 705282317 /nfs/dbraw/zinc/28/23/17/705282317.db2.gz RDQJKWPPXLSKRL-NSHDSACASA-N -1 1 310.361 1.553 20 0 DDADMM O=S(=O)([N-][C@H]1CCCN(CCF)C1)c1cccc(F)c1F ZINC000867711017 707081907 /nfs/dbraw/zinc/08/19/07/707081907.db2.gz HRPIJLZUVVJRMC-JTQLQIEISA-N -1 1 322.352 1.677 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@@H]1CCc2nncn2C1 ZINC000834822450 707130119 /nfs/dbraw/zinc/13/01/19/707130119.db2.gz OEBRZOYNLXBNIH-SNVBAGLBSA-N -1 1 306.753 1.516 20 0 DDADMM CCCCC[C@@H](C)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825268154 705636509 /nfs/dbraw/zinc/63/65/09/705636509.db2.gz RAIOXFYGLICZRX-SECBINFHSA-N -1 1 306.370 1.791 20 0 DDADMM CCCCC[C@@H](C)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825268154 705636511 /nfs/dbraw/zinc/63/65/11/705636511.db2.gz RAIOXFYGLICZRX-SECBINFHSA-N -1 1 306.370 1.791 20 0 DDADMM O=C(c1ccc(F)cc1[O-])N1CCC(N2CCOCC2)CC1 ZINC000862216268 705680094 /nfs/dbraw/zinc/68/00/94/705680094.db2.gz GPCLPNQPVRKWEZ-UHFFFAOYSA-N -1 1 308.353 1.468 20 0 DDADMM CCOC(=O)c1cnn(Cc2ccccc2F)c1-c1nn[n-]n1 ZINC000825570014 705694785 /nfs/dbraw/zinc/69/47/85/705694785.db2.gz DPNSLGPTTYWIJX-UHFFFAOYSA-N -1 1 316.296 1.427 20 0 DDADMM C[C@@H](O)CN(C(=O)NCc1ccc([O-])c(Cl)c1)[C@H](C)CO ZINC000876394783 705707624 /nfs/dbraw/zinc/70/76/24/705707624.db2.gz AVNKSEWPMWZRCU-NXEZZACHSA-N -1 1 316.785 1.319 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)NC[C@]1(O)CCSC1 ZINC000876392853 705707727 /nfs/dbraw/zinc/70/77/27/705707727.db2.gz BBNJADKTDFJVMH-CYBMUJFWSA-N -1 1 316.810 1.713 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC[C@H](O)C(F)(F)C1 ZINC000876399657 705708395 /nfs/dbraw/zinc/70/83/95/705708395.db2.gz RURZDYAUYNJTNE-NSHDSACASA-N -1 1 320.723 1.957 20 0 DDADMM CC(C)(C)NC(=O)CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000825713928 705720440 /nfs/dbraw/zinc/72/04/40/705720440.db2.gz LKGXHJZWUQSOGT-UHFFFAOYSA-N -1 1 321.406 1.053 20 0 DDADMM CCOC(=O)c1ccc(C(=O)[N-]c2nc(C3CC3)no2)nc1 ZINC000825888513 705742089 /nfs/dbraw/zinc/74/20/89/705742089.db2.gz XAVDMLKBKDRJEQ-UHFFFAOYSA-N -1 1 302.290 1.771 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(C)ccc2F)c1-c1nnn[n-]1 ZINC000826289132 705787421 /nfs/dbraw/zinc/78/74/21/705787421.db2.gz LNUNQMFYHJSBHY-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(C)ccc2F)c1-c1nn[n-]n1 ZINC000826289132 705787423 /nfs/dbraw/zinc/78/74/23/705787423.db2.gz LNUNQMFYHJSBHY-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM Cc1onc(CC(=O)N(C)c2ccc(F)cc2)c1-c1nnn[n-]1 ZINC000826343303 705792660 /nfs/dbraw/zinc/79/26/60/705792660.db2.gz VCGHKVOQPKLAQH-UHFFFAOYSA-N -1 1 316.296 1.508 20 0 DDADMM Cc1onc(CC(=O)N(C)c2ccc(F)cc2)c1-c1nn[n-]n1 ZINC000826343303 705792663 /nfs/dbraw/zinc/79/26/63/705792663.db2.gz VCGHKVOQPKLAQH-UHFFFAOYSA-N -1 1 316.296 1.508 20 0 DDADMM Cc1onc(CC(=O)NC[C@@H]2CCCC[C@H]2C)c1-c1nnn[n-]1 ZINC000826347224 705794398 /nfs/dbraw/zinc/79/43/98/705794398.db2.gz JDMSONSKFJLHBY-KOLCDFICSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)NC[C@@H]2CCCC[C@H]2C)c1-c1nn[n-]n1 ZINC000826347224 705794400 /nfs/dbraw/zinc/79/44/00/705794400.db2.gz JDMSONSKFJLHBY-KOLCDFICSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)NC2CCC(C)(C)CC2)c1-c1nnn[n-]1 ZINC000826346356 705794556 /nfs/dbraw/zinc/79/45/56/705794556.db2.gz KULACDXBCCPKCJ-UHFFFAOYSA-N -1 1 318.381 1.791 20 0 DDADMM Cc1onc(CC(=O)NC2CCC(C)(C)CC2)c1-c1nn[n-]n1 ZINC000826346356 705794560 /nfs/dbraw/zinc/79/45/60/705794560.db2.gz KULACDXBCCPKCJ-UHFFFAOYSA-N -1 1 318.381 1.791 20 0 DDADMM CC1(C)CC(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)CC(C)(C)C1 ZINC000826834037 705880860 /nfs/dbraw/zinc/88/08/60/705880860.db2.gz LMNYELLTFBYTDE-LBPRGKRZSA-N -1 1 321.425 1.952 20 0 DDADMM O=C([C@H]1[C@@H]2CCCCCC[C@@H]21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000826833427 705881010 /nfs/dbraw/zinc/88/10/10/705881010.db2.gz CUNGVOXYIMLINN-XQHKEYJVSA-N -1 1 305.382 1.316 20 0 DDADMM O=C(N[C@@H]1CO[C@H](C2CC2)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000863284803 705889820 /nfs/dbraw/zinc/88/98/20/705889820.db2.gz KAMFBTODKVKANE-QWRGUYRKSA-N -1 1 304.375 1.315 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C2(C(F)(F)F)CC2)CC1 ZINC000827355758 705984333 /nfs/dbraw/zinc/98/43/33/705984333.db2.gz MEYVTGGIBSBDJB-SECBINFHSA-N -1 1 308.300 1.336 20 0 DDADMM CC1(C[N-]S(=O)(=O)Cc2cccc(F)c2F)OCCO1 ZINC000827415520 705995791 /nfs/dbraw/zinc/99/57/91/705995791.db2.gz YFJOZFMUHNPWDW-UHFFFAOYSA-N -1 1 307.318 1.147 20 0 DDADMM COc1cc(C(=O)N(C)c2nn[n-]n2)sc1Br ZINC000863947481 706043615 /nfs/dbraw/zinc/04/36/15/706043615.db2.gz SCPHXAPJVAMXMB-UHFFFAOYSA-N -1 1 318.156 1.309 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@](O)(C2CC2)C1 ZINC000864069076 706059408 /nfs/dbraw/zinc/05/94/08/706059408.db2.gz XREBVQRVTWUNJQ-MRXNPFEDSA-N -1 1 303.362 1.437 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CC2(CCC2)[C@@](F)(C(=O)[O-])C1 ZINC000864157371 706083827 /nfs/dbraw/zinc/08/38/27/706083827.db2.gz YCPKWROKLGMLQA-BMIGLBTASA-N -1 1 309.341 1.394 20 0 DDADMM CSCC[N-]S(=O)(=O)N=[S@@](C)(=O)C1CCCCC1 ZINC000881749825 707350334 /nfs/dbraw/zinc/35/03/34/707350334.db2.gz RORKXADUDJCBQF-KRWDZBQOSA-N -1 1 314.498 1.614 20 0 DDADMM O=C(N1CCC(c2nn[n-]n2)CC1)[C@]12CCO[C@@H]1CCCC2 ZINC000828416366 706175465 /nfs/dbraw/zinc/17/54/65/706175465.db2.gz HTRUTWUJLNFHHV-IUODEOHRSA-N -1 1 305.382 1.255 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2cnn(C(C)(C)C)c2)CCC1 ZINC000872345065 707386811 /nfs/dbraw/zinc/38/68/11/707386811.db2.gz LSUPZAAPYVCAFH-UHFFFAOYSA-N -1 1 301.412 1.949 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(Cc2cc3n(n2)CCC3)C1 ZINC000877773186 706204767 /nfs/dbraw/zinc/20/47/67/706204767.db2.gz HWZAMZMZHFAHNI-ZDUSSCGKSA-N -1 1 316.327 1.472 20 0 DDADMM CC[C@@H]1OCC[C@H]1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000828680773 706216494 /nfs/dbraw/zinc/21/64/94/706216494.db2.gz PREUGFKSVQVYRQ-NRUUGDAUSA-N -1 1 322.327 1.471 20 0 DDADMM Cc1ccc(OCCN(C)Cc2cn(C)nc2C(=O)[O-])cc1 ZINC000864778265 706259817 /nfs/dbraw/zinc/25/98/17/706259817.db2.gz QXXSRUHGJDGIAP-UHFFFAOYSA-N -1 1 303.362 1.938 20 0 DDADMM CCO[C@@H]1C[C@H]([N@H+](C)Cc2nc3c(c(=O)[nH]2)COCC3)C1(C)C ZINC000878124864 706300885 /nfs/dbraw/zinc/30/08/85/706300885.db2.gz IZMARUJYIIHVHH-UONOGXRCSA-N -1 1 321.421 1.890 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000864974686 706316762 /nfs/dbraw/zinc/31/67/62/706316762.db2.gz KAAMQWXWOFPYLD-NKWVEPMBSA-N -1 1 320.271 1.741 20 0 DDADMM C[C@@H](CCc1ccco1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000829759220 706391278 /nfs/dbraw/zinc/39/12/78/706391278.db2.gz VVIXMNMTZOCOSB-QMMMGPOBSA-N -1 1 303.771 1.956 20 0 DDADMM CC(C)CNC(=S)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000865275682 706394339 /nfs/dbraw/zinc/39/43/39/706394339.db2.gz NTVQZYHQRLYERM-NSHDSACASA-N -1 1 311.373 1.660 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(C[C@@H]3CCC4(CCOCC4)O3)C2)n[nH]1 ZINC000878621796 706448178 /nfs/dbraw/zinc/44/81/78/706448178.db2.gz ICFWMLVYZLAHLB-OLZOCXBDSA-N -1 1 322.409 1.418 20 0 DDADMM CN(CC(=O)N[C@@]1(C(=O)[O-])CCc2ccccc21)C1CCC1 ZINC000908977031 712907220 /nfs/dbraw/zinc/90/72/20/712907220.db2.gz HRWCZLBPUPFNEX-KRWDZBQOSA-N -1 1 302.374 1.513 20 0 DDADMM COC[C@H](C)[C@@H](C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830802197 706582620 /nfs/dbraw/zinc/58/26/20/706582620.db2.gz QZQORNDDJJLHFB-OPQQBVKSSA-N -1 1 324.343 1.575 20 0 DDADMM CO[C@](C)(C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830802402 706582779 /nfs/dbraw/zinc/58/27/79/706582779.db2.gz TWCOIXIBDJPABA-OLZOCXBDSA-N -1 1 322.327 1.471 20 0 DDADMM CCOC1CC2(C[C@H]2C(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1 ZINC000866901651 706839621 /nfs/dbraw/zinc/83/96/21/706839621.db2.gz LBPFDFPFTZLXCY-BVWOZKJXSA-N -1 1 305.382 1.111 20 0 DDADMM CCO[C@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)C1CCCC1 ZINC000866901225 706839674 /nfs/dbraw/zinc/83/96/74/706839674.db2.gz ASABYAAECKLSBG-OLZOCXBDSA-N -1 1 307.398 1.501 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](C)c1ccncc1 ZINC000866922974 706846013 /nfs/dbraw/zinc/84/60/13/706846013.db2.gz KTBHNHHCFSYRMD-MGNBDDOMSA-N -1 1 305.425 1.137 20 0 DDADMM CCOC(=O)[C@@H](C)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866965931 706858217 /nfs/dbraw/zinc/85/82/17/706858217.db2.gz QDIKJFNBQXOABR-ZETCQYMHSA-N -1 1 324.761 1.352 20 0 DDADMM CC(C)(CO)C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866989946 706865936 /nfs/dbraw/zinc/86/59/36/706865936.db2.gz HVKXDNTXQKIPKR-UHFFFAOYSA-N -1 1 313.206 1.685 20 0 DDADMM CS[C@H](C)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867001104 706869153 /nfs/dbraw/zinc/86/91/53/706869153.db2.gz PDEBRDQCOIKFNK-RXMQYKEDSA-N -1 1 314.230 1.202 20 0 DDADMM C[C@@]1(CS(=O)(=O)[N-]CC2(C)OCCO2)CC1(Cl)Cl ZINC000867039641 706879396 /nfs/dbraw/zinc/87/93/96/706879396.db2.gz FMXZLAZUVFJFEJ-QMMMGPOBSA-N -1 1 318.222 1.253 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)O1)c1c([O-])cccc1F ZINC000832397730 706904802 /nfs/dbraw/zinc/90/48/02/706904802.db2.gz YWICFAGADXFKPT-NSHDSACASA-N -1 1 309.337 1.989 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)c2nccn21 ZINC000867356739 706973006 /nfs/dbraw/zinc/97/30/06/706973006.db2.gz DNPWVGOGWLCIPI-HTQZYQBOSA-N -1 1 314.317 1.541 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C(C)(C)COC(C)C ZINC000867407378 706991023 /nfs/dbraw/zinc/99/10/23/706991023.db2.gz PZSJSDXJXUYDMW-QGZVFWFLSA-N -1 1 300.446 1.142 20 0 DDADMM O=C([O-])[C@]1(F)CCN(CCC(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000833100630 707000470 /nfs/dbraw/zinc/00/04/70/707000470.db2.gz XWVDRCPKGDCJQK-HNNXBMFYSA-N -1 1 324.308 1.243 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@H]1CCCCO1 ZINC000867487806 707016368 /nfs/dbraw/zinc/01/63/68/707016368.db2.gz TULKRACQTFAXSX-NXEZZACHSA-N -1 1 320.361 1.986 20 0 DDADMM COc1ccc(CCC(=O)[N-]O[C@@H]2CCN(C(C)C)C2=O)cc1 ZINC000871798068 707207856 /nfs/dbraw/zinc/20/78/56/707207856.db2.gz NZIUPATWXRDFKQ-OAHLLOKOSA-N -1 1 320.389 1.685 20 0 DDADMM COc1ccc(CCC(=O)[N-]O[C@@H]2CCCN(C)C2=O)cc1 ZINC000871797815 707207964 /nfs/dbraw/zinc/20/79/64/707207964.db2.gz DWDVIDWJURFNKS-CQSZACIVSA-N -1 1 306.362 1.296 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H](C(C)(C)O)C3)cnc2n1 ZINC000881466943 707266692 /nfs/dbraw/zinc/26/66/92/707266692.db2.gz OVUOPJIINBJTJR-LLVKDONJSA-N -1 1 315.373 1.877 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](C(C)(C)O)C3)c[n-]c2n1 ZINC000881466943 707266695 /nfs/dbraw/zinc/26/66/95/707266695.db2.gz OVUOPJIINBJTJR-LLVKDONJSA-N -1 1 315.373 1.877 20 0 DDADMM COC[C@H](CN1CCc2c(C(=O)[O-])ccc(OC)c2C1)OC ZINC000872168792 707312663 /nfs/dbraw/zinc/31/26/63/707312663.db2.gz YXIVUWHEYWAVII-NSHDSACASA-N -1 1 309.362 1.413 20 0 DDADMM CC[C@@](C)(COC(=O)c1ccc(S(=O)(=O)[N-]C)o1)OC ZINC000835967198 707359892 /nfs/dbraw/zinc/35/98/92/707359892.db2.gz ODXFPEZJDNBRLE-LBPRGKRZSA-N -1 1 305.352 1.160 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCCOC1 ZINC000872438776 707410857 /nfs/dbraw/zinc/41/08/57/707410857.db2.gz GMTFCBYSMWPXGO-XTZNXHDOSA-N -1 1 312.457 1.144 20 0 DDADMM C[C@@]1(C(=O)[O-])CCCN1C(=O)NCc1ccc2cncn2c1 ZINC000909172953 712953755 /nfs/dbraw/zinc/95/37/55/712953755.db2.gz XFWSJLPGWFYSLQ-HNNXBMFYSA-N -1 1 302.334 1.483 20 0 DDADMM CC1(C)C[C@@](C)([N-]S(=O)(=O)c2ccc(F)nc2F)C(=O)O1 ZINC000882223717 707545766 /nfs/dbraw/zinc/54/57/66/707545766.db2.gz QFLLIVNBODNGGG-GFCCVEGCSA-N -1 1 320.317 1.122 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-]CC(=O)C1CCCC1 ZINC000882456035 707640525 /nfs/dbraw/zinc/64/05/25/707640525.db2.gz IASLRWMRSAGBRU-ZDUSSCGKSA-N -1 1 319.423 1.397 20 0 DDADMM CNC(=O)C[C@@H](C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000909248803 712973033 /nfs/dbraw/zinc/97/30/33/712973033.db2.gz OHGZYUBEVUENNX-MRVPVSSYSA-N -1 1 305.309 1.334 20 0 DDADMM O=C(OC[C@@H]1COCCO1)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000837682443 707715036 /nfs/dbraw/zinc/71/50/36/707715036.db2.gz SOHMOBUGQRCXBZ-LBPRGKRZSA-N -1 1 322.292 1.289 20 0 DDADMM CCOc1cccc([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)c1 ZINC000882680005 707746198 /nfs/dbraw/zinc/74/61/98/707746198.db2.gz INUJYSKHONWFTD-LJQANCHMSA-N -1 1 321.424 1.316 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000909304572 712986795 /nfs/dbraw/zinc/98/67/95/712986795.db2.gz GFLYIRHIHCRQQM-CQSZACIVSA-N -1 1 304.281 1.111 20 0 DDADMM CCn1ncc(CN2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000839108467 708025185 /nfs/dbraw/zinc/02/51/85/708025185.db2.gz ZMCDVOGFFCNXLP-SNVBAGLBSA-N -1 1 319.331 1.189 20 0 DDADMM C=C/C=C\CCNC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000883830172 708069488 /nfs/dbraw/zinc/06/94/88/708069488.db2.gz DJJOYKQDGMULNA-DSYXLKISSA-N -1 1 319.327 1.971 20 0 DDADMM C[C@@H]1COC[C@@H]1[N-]S(=O)(=O)c1ncccc1Br ZINC000921499597 713790593 /nfs/dbraw/zinc/79/05/93/713790593.db2.gz CJSBVPDOFFPWKR-APPZFPTMSA-N -1 1 321.196 1.157 20 0 DDADMM C[C@@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C(=O)NCCF ZINC000897670676 708413753 /nfs/dbraw/zinc/41/37/53/708413753.db2.gz GMLVARZDSIIMAQ-SECBINFHSA-N -1 1 320.320 1.455 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)C[C@@H]2CCNC2=O)c1 ZINC000884922563 708421093 /nfs/dbraw/zinc/42/10/93/708421093.db2.gz YQJHXEUYPNKERH-ZDUSSCGKSA-N -1 1 305.330 1.207 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCOc2ccccc21)c1ccns1 ZINC000885005090 708439778 /nfs/dbraw/zinc/43/97/78/708439778.db2.gz RMOXNYZXSFITSX-JTQLQIEISA-N -1 1 310.400 1.988 20 0 DDADMM COCC1(O)CN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000897889888 708483374 /nfs/dbraw/zinc/48/33/74/708483374.db2.gz ZLWJSGMZRVWROM-UHFFFAOYSA-N -1 1 303.314 1.084 20 0 DDADMM COC(=O)C1(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1 ZINC000885398965 708535927 /nfs/dbraw/zinc/53/59/27/708535927.db2.gz UMOQBOXSYFWGOX-UHFFFAOYSA-N -1 1 309.290 1.449 20 0 DDADMM COC(=O)c1c(F)ccc(S(=O)(=O)[N-][C@@H](C)CF)c1F ZINC000885410110 708537989 /nfs/dbraw/zinc/53/79/89/708537989.db2.gz BJJKTURVOOXRKF-LURJTMIESA-N -1 1 311.281 1.388 20 0 DDADMM COc1ccc(F)cc1CC[N-]S(=O)(=O)c1ccns1 ZINC000885678884 708596157 /nfs/dbraw/zinc/59/61/57/708596157.db2.gz OOLSYZVDSMTXSH-UHFFFAOYSA-N -1 1 316.379 1.812 20 0 DDADMM CN1CC[C@@H](C(=O)Nc2cc(F)c([O-])cc2Cl)S1(=O)=O ZINC000885680481 708596468 /nfs/dbraw/zinc/59/64/68/708596468.db2.gz RLHPCDQPEDMLSM-JTQLQIEISA-N -1 1 322.745 1.157 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1C[C@@H]2C[C@H]1C[S@@]2=O ZINC000898293267 708599791 /nfs/dbraw/zinc/59/97/91/708599791.db2.gz MCOYRTWECXWBBJ-HFGRPIQASA-N -1 1 317.366 1.596 20 0 DDADMM CSc1nc(CNC(=O)c2ccn(CC(C)C)n2)cc(=O)[n-]1 ZINC000898524228 708667762 /nfs/dbraw/zinc/66/77/62/708667762.db2.gz FLDDDXIMBNKHHX-UHFFFAOYSA-N -1 1 321.406 1.687 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC000886268523 708732854 /nfs/dbraw/zinc/73/28/54/708732854.db2.gz SEKXSHOIVDNSGW-AXTRIDKLSA-N -1 1 309.312 1.944 20 0 DDADMM CN(C(=O)CC[C@@H](O)c1ccc(C(C)(C)C)cc1)c1nn[n-]n1 ZINC000912617857 713052689 /nfs/dbraw/zinc/05/26/89/713052689.db2.gz KLDJFTIAVDXPOP-CYBMUJFWSA-N -1 1 317.393 1.974 20 0 DDADMM CN(C(=O)CCSc1nc2ccccc2s1)c1nn[n-]n1 ZINC000912618493 713053015 /nfs/dbraw/zinc/05/30/15/713053015.db2.gz WFBYPSZCEKZFHM-UHFFFAOYSA-N -1 1 320.403 1.955 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)c1cc(Cl)cc2c1OCC2 ZINC000886700330 708817261 /nfs/dbraw/zinc/81/72/61/708817261.db2.gz WVVDJXJEMMLZDC-QMMMGPOBSA-N -1 1 321.768 1.598 20 0 DDADMM Cn1nnc2c1C[C@@H](NC(=O)c1cc3ccccc3cc1[O-])CC2 ZINC000886759087 708838909 /nfs/dbraw/zinc/83/89/09/708838909.db2.gz WVKDFLSJNBRBIY-ZDUSSCGKSA-N -1 1 322.368 1.961 20 0 DDADMM C[C@H]1C(=O)N([N-]C(=O)c2cc3c(s2)CCCC3)C(=O)N1C ZINC000899025389 708956794 /nfs/dbraw/zinc/95/67/94/708956794.db2.gz HGCVATYTTMBPPF-QMMMGPOBSA-N -1 1 307.375 1.554 20 0 DDADMM CC[C@H](O)[C@H](C)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000912732219 713077364 /nfs/dbraw/zinc/07/73/64/713077364.db2.gz VJLJRXRZNGAVNL-RCWTZXSCSA-N -1 1 324.343 1.309 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC(N2CCCOC2=O)CC1 ZINC000887716257 709110412 /nfs/dbraw/zinc/11/04/12/709110412.db2.gz YUUBTBSIUGXKFR-UHFFFAOYSA-N -1 1 322.336 1.978 20 0 DDADMM COC(=O)[C@H]1CCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000888073880 709208475 /nfs/dbraw/zinc/20/84/75/709208475.db2.gz DCPCYQXJMBPTOX-CYBMUJFWSA-N -1 1 301.298 1.639 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC(N2CCCC2=O)C1 ZINC000888746701 709371183 /nfs/dbraw/zinc/37/11/83/709371183.db2.gz PGQIBYRPEUOMDO-UHFFFAOYSA-N -1 1 308.765 1.421 20 0 DDADMM CSCC[C@H](NC(=O)c1c(C)oc(C)c1C)c1nn[n-]n1 ZINC000912860243 713109337 /nfs/dbraw/zinc/10/93/37/713109337.db2.gz PEULYRMHQOBPKL-JTQLQIEISA-N -1 1 309.395 1.942 20 0 DDADMM CCC[C@@H](NC(=O)N[C@@H]1CCCN2CCSC[C@H]12)C(=O)[O-] ZINC000900295744 709526088 /nfs/dbraw/zinc/52/60/88/709526088.db2.gz NCYMHRMATWZQSQ-IJLUTSLNSA-N -1 1 315.439 1.119 20 0 DDADMM CCO[C@H](CC(=O)N[C@@H](CCSC)c1nn[n-]n1)C(C)C ZINC000912861682 713110566 /nfs/dbraw/zinc/11/05/66/713110566.db2.gz UTWSVMHNRCBJCD-WDEREUQCSA-N -1 1 315.443 1.561 20 0 DDADMM CSCC[C@H](NC(=O)CC1CCSCC1)c1nn[n-]n1 ZINC000912861839 713110575 /nfs/dbraw/zinc/11/05/75/713110575.db2.gz ZRULCOKULJITPO-JTQLQIEISA-N -1 1 315.468 1.643 20 0 DDADMM CSCC[C@H](NC(=O)Cc1cnccc1C)c1nn[n-]n1 ZINC000912862918 713110960 /nfs/dbraw/zinc/11/09/60/713110960.db2.gz DSPVDVZPCYDVAL-NSHDSACASA-N -1 1 306.395 1.056 20 0 DDADMM C[C@@](CC(=O)[O-])(NC(=O)CN1CCCC1)c1cccc(F)c1 ZINC000909679638 709573671 /nfs/dbraw/zinc/57/36/71/709573671.db2.gz AZQUCORJGSQPFU-INIZCTEOSA-N -1 1 308.353 1.728 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)N[C@@H]2CSc3ccccc32)C1 ZINC000909725687 709597113 /nfs/dbraw/zinc/59/71/13/709597113.db2.gz PSYWFNHRKGSDKS-DGCLKSJQSA-N -1 1 320.414 1.746 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@@H]2CSc3ccccc32)C1 ZINC000909725687 709597118 /nfs/dbraw/zinc/59/71/18/709597118.db2.gz PSYWFNHRKGSDKS-DGCLKSJQSA-N -1 1 320.414 1.746 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N1CCCC[C@@H]1C(=O)[O-] ZINC000900457720 709603964 /nfs/dbraw/zinc/60/39/64/709603964.db2.gz URXYFLRDOMDCQV-ZIAGYGMSSA-N -1 1 320.389 1.941 20 0 DDADMM CC(C)C[C@@H](CNC(=O)c1ccc2c(n1)CNCC2)C(=O)[O-] ZINC000900462668 709606982 /nfs/dbraw/zinc/60/69/82/709606982.db2.gz NYRMTCFYTBINOQ-LBPRGKRZSA-N -1 1 305.378 1.204 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H]2CCCS2)[n-]c1=O ZINC000889792471 709638132 /nfs/dbraw/zinc/63/81/32/709638132.db2.gz ZNSVTDCCYHZYMY-KOLCDFICSA-N -1 1 309.391 1.750 20 0 DDADMM C[C@H](NC(=O)CN(C)C1CCC1)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909819988 709638788 /nfs/dbraw/zinc/63/87/88/709638788.db2.gz LSIZGVFASQTFHY-BBRMVZONSA-N -1 1 318.417 1.919 20 0 DDADMM C[C@H](NC(=O)[C@@H]1CCCCN1C)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909819204 709639117 /nfs/dbraw/zinc/63/91/17/709639117.db2.gz ILUVHNNAZDPDJX-IMJJTQAJSA-N -1 1 318.417 1.919 20 0 DDADMM CC(C)C[C@H](CNC(=O)CN(C)[C@@H]1CCSC1)CC(=O)[O-] ZINC000909875860 709665024 /nfs/dbraw/zinc/66/50/24/709665024.db2.gz SPPMFTCYNQHEPJ-QWHCGFSZSA-N -1 1 316.467 1.677 20 0 DDADMM CCN(CC(=O)N[C@H](C(=O)[O-])[C@H]1CCC[C@@H](OC)C1)C1CC1 ZINC000909915769 709687287 /nfs/dbraw/zinc/68/72/87/709687287.db2.gz VQHIJWZRVZXPQW-LNSITVRQSA-N -1 1 312.410 1.245 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCC[C@H](OC3CCC3)C2)C1 ZINC000909917425 709688202 /nfs/dbraw/zinc/68/82/02/709688202.db2.gz ZVDFALMGYHOORX-HIFRSBDPSA-N -1 1 324.421 1.343 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@@H]1CCc2nncn2CC1 ZINC000909972866 709713986 /nfs/dbraw/zinc/71/39/86/709713986.db2.gz RAKAUBWPQXKQEY-MRVPVSSYSA-N -1 1 308.288 1.853 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H]3CCC[C@]3(C)C(=O)[O-])c2C1 ZINC000909991753 709724897 /nfs/dbraw/zinc/72/48/97/709724897.db2.gz IVLCMTUOPUSZJU-ULMHFPJVSA-N -1 1 305.378 1.908 20 0 DDADMM C[C@]1(C(=O)NCCc2c(F)cc([O-])cc2F)CCNC(=O)C1 ZINC000900717867 709726142 /nfs/dbraw/zinc/72/61/42/709726142.db2.gz FZHDZHXKBMBHKL-HNNXBMFYSA-N -1 1 312.316 1.245 20 0 DDADMM COCC1(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)CCCC1 ZINC000910173918 709797835 /nfs/dbraw/zinc/79/78/35/709797835.db2.gz ORBMBGSYSCFSDG-ZDUSSCGKSA-N -1 1 312.410 1.201 20 0 DDADMM COCC(C)(C)CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910175330 709798074 /nfs/dbraw/zinc/79/80/74/709798074.db2.gz MWYJCVQPSAUYQO-GFCCVEGCSA-N -1 1 300.399 1.057 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C2(c3ccccn3)CC2)CC1 ZINC000910177177 709799245 /nfs/dbraw/zinc/79/92/45/709799245.db2.gz JEXJWWDEDLUGEI-UHFFFAOYSA-N -1 1 317.389 1.121 20 0 DDADMM COc1ccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)c(F)c1 ZINC000910250400 709840859 /nfs/dbraw/zinc/84/08/59/709840859.db2.gz IWEJDPZTCOAFEP-LBPRGKRZSA-N -1 1 324.352 1.247 20 0 DDADMM CO[C@H]1CN(C(=O)c2cc(C(F)(F)F)[nH]n2)[C@](C)(C(=O)[O-])C1 ZINC000910263249 709847711 /nfs/dbraw/zinc/84/77/11/709847711.db2.gz KJMYGNHLGUWGTK-KBUNVGBDSA-N -1 1 321.255 1.133 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCO[C@H](C2CCC2)C1 ZINC000910403150 709925438 /nfs/dbraw/zinc/92/54/38/709925438.db2.gz ZICGOPWNCHCMCM-ZDUSSCGKSA-N -1 1 303.362 1.701 20 0 DDADMM CC1(C)CC[C@@H](CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)OC1 ZINC000910409599 709927092 /nfs/dbraw/zinc/92/70/92/709927092.db2.gz VBDJRYVKEYCWPP-STQMWFEESA-N -1 1 312.410 1.104 20 0 DDADMM CC1(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)CC(F)(F)C1 ZINC000910409613 709927353 /nfs/dbraw/zinc/92/73/53/709927353.db2.gz BTCMZZDCTVJLEM-JTQLQIEISA-N -1 1 304.337 1.335 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@@H](C2CCOCC2)C1 ZINC000901204639 709967614 /nfs/dbraw/zinc/96/76/14/709967614.db2.gz RZOPNBHMTBFYAL-CYBMUJFWSA-N -1 1 317.389 1.948 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(Cc2conc2Cc2ccccc2)C1 ZINC000901544417 710071048 /nfs/dbraw/zinc/07/10/48/710071048.db2.gz VCMMORYSFCEFBL-QGZVFWFLSA-N -1 1 316.357 1.941 20 0 DDADMM O=C([O-])c1csc(CC2CCN(C(=O)c3cnc[nH]3)CC2)n1 ZINC000910788540 710077200 /nfs/dbraw/zinc/07/72/00/710077200.db2.gz SBTWMLJOLZCHDA-UHFFFAOYSA-N -1 1 320.374 1.659 20 0 DDADMM CN(C)CC(=O)N1CCC(Cc2nc(C(=O)[O-])cs2)CC1 ZINC000910790828 710077642 /nfs/dbraw/zinc/07/76/42/710077642.db2.gz OEQGWKNDAPMCBW-UHFFFAOYSA-N -1 1 311.407 1.184 20 0 DDADMM CC[C@H](C)[C@@H](CN(C)CC(=O)N1CCC(C(=O)[O-])CC1)OC ZINC000901626017 710100596 /nfs/dbraw/zinc/10/05/96/710100596.db2.gz IKVXYEZLPUNKFY-GXTWGEPZSA-N -1 1 314.426 1.303 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cc(F)cc(F)c1O ZINC000901674511 710116115 /nfs/dbraw/zinc/11/61/15/710116115.db2.gz HVEUMZSUNKUQIH-UHFFFAOYSA-N -1 1 300.308 1.055 20 0 DDADMM Cc1nnc(COCC(=O)Nc2cc(F)cc(F)c2[O-])s1 ZINC000910985695 710141705 /nfs/dbraw/zinc/14/17/05/710141705.db2.gz BHNTZXYRXUFHBM-UHFFFAOYSA-N -1 1 315.301 1.986 20 0 DDADMM O=C([O-])[C@H]1CSCCN(Cc2ccnn2CC2CCC2)C1 ZINC000901887918 710165843 /nfs/dbraw/zinc/16/58/43/710165843.db2.gz PIFNHLARHADCLT-CYBMUJFWSA-N -1 1 309.435 1.933 20 0 DDADMM [O-]c1cc(Br)cnc1CN1CCN(C2CC2)CC1 ZINC000891579311 710239887 /nfs/dbraw/zinc/23/98/87/710239887.db2.gz XRQFADSYBZCQTA-UHFFFAOYSA-N -1 1 312.211 1.830 20 0 DDADMM CCOC(=O)[C@H](COC)NC(=O)c1cc(Cl)ccc1[O-] ZINC000928298675 713167670 /nfs/dbraw/zinc/16/76/70/713167670.db2.gz KSGLCIIDLOLFRV-JTQLQIEISA-N -1 1 301.726 1.354 20 0 DDADMM CCCN1C[C@H](C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)CC1=O ZINC000891612766 710248315 /nfs/dbraw/zinc/24/83/15/710248315.db2.gz BQIIJLREXBNLJM-SNVBAGLBSA-N -1 1 318.377 1.258 20 0 DDADMM COCc1nc(N2CCN(C(=O)C(C)(C)C)CC2)cc(=O)[n-]1 ZINC000891725391 710276618 /nfs/dbraw/zinc/27/66/18/710276618.db2.gz QHJCMSJZUOPZTO-UHFFFAOYSA-N -1 1 308.382 1.023 20 0 DDADMM COCc1nc(N[C@H](C)C(=O)Nc2ccccc2)cc(=O)[n-]1 ZINC000892474883 710443504 /nfs/dbraw/zinc/44/35/04/710443504.db2.gz CPEJJSPBPJCWJD-SNVBAGLBSA-N -1 1 302.334 1.768 20 0 DDADMM COCc1nc(N2CCC[C@H](N3CCCCC3=O)C2)cc(=O)[n-]1 ZINC000892492849 710447111 /nfs/dbraw/zinc/44/71/11/710447111.db2.gz VHMXUJRWIZZTHC-LBPRGKRZSA-N -1 1 320.393 1.310 20 0 DDADMM CCOc1cccc2[nH]cc(CC(=O)N(C)c3nn[n-]n3)c21 ZINC000892954689 710531772 /nfs/dbraw/zinc/53/17/72/710531772.db2.gz QNJDBJVFSHDJGO-UHFFFAOYSA-N -1 1 300.322 1.285 20 0 DDADMM C[C@@H](NCc1ccoc1C(=O)[O-])C(=O)NCc1ccccc1 ZINC000902176576 710649564 /nfs/dbraw/zinc/64/95/64/710649564.db2.gz MLIPIYUZVSPNNZ-LLVKDONJSA-N -1 1 302.330 1.772 20 0 DDADMM COCc1nc(N2CCOc3cc(OC)ccc3C2)cc(=O)[n-]1 ZINC000893727165 710798122 /nfs/dbraw/zinc/79/81/22/710798122.db2.gz WVVHMVKWQMTATB-UHFFFAOYSA-N -1 1 317.345 1.736 20 0 DDADMM CC(C)c1cc([C@@H]2CCCN(C(=O)COCC(=O)[O-])C2)n[nH]1 ZINC000902626756 710825636 /nfs/dbraw/zinc/82/56/36/710825636.db2.gz AKWZSQNMKNXXHE-LLVKDONJSA-N -1 1 309.366 1.340 20 0 DDADMM C[C@H]1C(=O)N(C)CN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000911602127 710875135 /nfs/dbraw/zinc/87/51/35/710875135.db2.gz WXVWSKSFNOSBKL-QMMMGPOBSA-N -1 1 303.293 1.340 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@@H]([C@@H]2CCCO2)C1 ZINC000902780634 710899357 /nfs/dbraw/zinc/89/93/57/710899357.db2.gz MYYJMAACJOIHGO-RISCZKNCSA-N -1 1 313.357 1.564 20 0 DDADMM COc1ccc([C@@H](NC(=O)[C@@H]2CCCCN2C)C(=O)[O-])cc1O ZINC000911674319 710921941 /nfs/dbraw/zinc/92/19/41/710921941.db2.gz NUNPMXZSYPSHFE-SMDDNHRTSA-N -1 1 322.361 1.127 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1nc(C2CC2)no1 ZINC000902986236 710985720 /nfs/dbraw/zinc/98/57/20/710985720.db2.gz URVPYFGYGYMYAB-UHFFFAOYSA-N -1 1 309.272 1.903 20 0 DDADMM O=S(=O)(CCc1ccccc1F)[N-]c1nc(C(F)F)n[nH]1 ZINC000903149604 711048244 /nfs/dbraw/zinc/04/82/44/711048244.db2.gz BGSCSFKKZZYFKP-UHFFFAOYSA-N -1 1 320.296 1.866 20 0 DDADMM O=C(N[C@H]([C@H]1CCCO1)C1(CO)CCC1)c1ccc([O-])cc1F ZINC000912205308 711220359 /nfs/dbraw/zinc/22/03/59/711220359.db2.gz FYSGTBTWHZNDFG-HUUCEWRRSA-N -1 1 323.364 1.971 20 0 DDADMM CSc1ccncc1[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC000903609844 711222669 /nfs/dbraw/zinc/22/26/69/711222669.db2.gz GVJSLYHFBMRCOV-SFHVURJKSA-N -1 1 324.453 1.035 20 0 DDADMM Cc1c(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cnc2ccccc12 ZINC000913496050 713238425 /nfs/dbraw/zinc/23/84/25/713238425.db2.gz ROTWQVDVKORBDT-AWEZNQCLSA-N -1 1 324.344 1.270 20 0 DDADMM C[C@H]1[C@@H](c2ccccc2)[C@H]1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913497036 713238827 /nfs/dbraw/zinc/23/88/27/713238827.db2.gz HHAXQCBEGJHMFO-PYJNHQTQSA-N -1 1 313.361 1.149 20 0 DDADMM CC[C@@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)C1CCC(C)CC1 ZINC000913496690 713239039 /nfs/dbraw/zinc/23/90/39/713239039.db2.gz AHUZDDCKHATESU-PQAZSJQKSA-N -1 1 321.425 1.952 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H]1CCN(c2ccc(C)cc2)C1=O ZINC000903711865 711250464 /nfs/dbraw/zinc/25/04/64/711250464.db2.gz NOCYCESWUGERKK-HNNXBMFYSA-N -1 1 320.389 1.523 20 0 DDADMM COCC1(C2CCC2)CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000912402362 711313677 /nfs/dbraw/zinc/31/36/77/711313677.db2.gz BZYYZVQOYORCIE-UHFFFAOYSA-N -1 1 317.389 1.948 20 0 DDADMM CC(C)OC[C@@H](O)CN1CCOc2cc(C(=O)[O-])ccc2C1 ZINC000903957209 711348193 /nfs/dbraw/zinc/34/81/93/711348193.db2.gz NOFVVSOTISBZJP-AWEZNQCLSA-N -1 1 309.362 1.365 20 0 DDADMM CCc1ncc(C[N-]S(=O)(=O)c2cnc(OC)s2)o1 ZINC000916125832 713443946 /nfs/dbraw/zinc/44/39/46/713443946.db2.gz KHAMZIGKQHWLJQ-UHFFFAOYSA-N -1 1 303.365 1.181 20 0 DDADMM COCc1nc(N2CCC[C@@H]([C@]3(C)COC(=O)N3)C2)cc(=O)[n-]1 ZINC000895530699 711535059 /nfs/dbraw/zinc/53/50/59/711535059.db2.gz JUOQAFVPEWCRHH-BMIGLBTASA-N -1 1 322.365 1.044 20 0 DDADMM CC(C)(C)c1nsc([N-]C(=O)c2cccn3nnnc23)n1 ZINC000896138813 711681491 /nfs/dbraw/zinc/68/14/91/711681491.db2.gz YBAILCAEUBOFLH-UHFFFAOYSA-N -1 1 303.351 1.526 20 0 DDADMM CN1C[C@@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])CCC1=O ZINC000896494715 711732982 /nfs/dbraw/zinc/73/29/82/711732982.db2.gz BZQLUFCOKCXHRH-NSHDSACASA-N -1 1 314.341 1.602 20 0 DDADMM O=c1nc(NCC[C@H]2OCCc3ccccc32)nc2[nH][n-]cc1-2 ZINC000896536142 711743168 /nfs/dbraw/zinc/74/31/68/711743168.db2.gz PTKVZCFFXFLCFI-CYBMUJFWSA-N -1 1 311.345 1.548 20 0 DDADMM CCc1cc(CNC(=O)[C@@H]2C[C@@]2(C(=O)[O-])c2ccccc2)n[nH]1 ZINC000905121481 711942555 /nfs/dbraw/zinc/94/25/55/711942555.db2.gz YGVKWWFQXLAHMT-WMLDXEAASA-N -1 1 313.357 1.631 20 0 DDADMM O=C([C@H]1C[C@H]1c1ccc(F)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742808 713292068 /nfs/dbraw/zinc/29/20/68/713292068.db2.gz VUFGUHOLCYIUPJ-KBPBESRZSA-N -1 1 315.352 1.849 20 0 DDADMM CCC[C@@H]1C[C@H](C(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000913744347 713292908 /nfs/dbraw/zinc/29/29/08/713292908.db2.gz KKCNVWHXKCTRCN-CHWSQXEVSA-N -1 1 307.398 1.501 20 0 DDADMM O=C(Cc1cccc(Cl)c1F)N1CCC(c2nn[n-]n2)CC1 ZINC000913744894 713293285 /nfs/dbraw/zinc/29/32/85/713293285.db2.gz JJYJYGIBDPYKTL-UHFFFAOYSA-N -1 1 323.759 1.941 20 0 DDADMM O=C(c1nsc2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913745546 713293642 /nfs/dbraw/zinc/29/36/42/713293642.db2.gz JFIMSJBQKYJCHK-UHFFFAOYSA-N -1 1 314.374 1.829 20 0 DDADMM CN(C[C@@H](O)COCc1ccco1)[C@H](C(=O)[O-])c1ccccc1 ZINC000905369303 712018166 /nfs/dbraw/zinc/01/81/66/712018166.db2.gz KOYVPPQYKISEKS-ZBFHGGJFSA-N -1 1 319.357 1.915 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC=CCCC3)nc2n1 ZINC000905634479 712102038 /nfs/dbraw/zinc/10/20/38/712102038.db2.gz QSLUECGPUWGBAQ-LLVKDONJSA-N -1 1 301.350 1.801 20 0 DDADMM Cn1nc(CN[C@@](C)(Cn2cccn2)C(=O)[O-])c2ccccc21 ZINC000905807029 712151627 /nfs/dbraw/zinc/15/16/27/712151627.db2.gz IQPRXTLRHUWOBK-INIZCTEOSA-N -1 1 313.361 1.403 20 0 DDADMM COc1cc(F)c(S(=O)(=O)NCC[NH+]2CCCC2)cc1F ZINC000913866051 713312003 /nfs/dbraw/zinc/31/20/03/713312003.db2.gz HIORMLMQKSMKAD-UHFFFAOYSA-N -1 1 320.361 1.348 20 0 DDADMM C[C@H](C[S@@](C)=O)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907336553 712547089 /nfs/dbraw/zinc/54/70/89/712547089.db2.gz RKPNCVPXIUXZLH-IJSAXESFSA-N -1 1 310.350 1.576 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnc(NC2CC2)s1)c1nn[n-]n1 ZINC000907350083 712549949 /nfs/dbraw/zinc/54/99/49/712549949.db2.gz MBRZRZVVEKIODG-SSDOTTSWSA-N -1 1 307.383 1.106 20 0 DDADMM Cc1ccccc1CCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907472305 712581018 /nfs/dbraw/zinc/58/10/18/712581018.db2.gz AZCDEGAJZSLKHC-ZDUSSCGKSA-N -1 1 317.418 1.757 20 0 DDADMM O=C([C@@H](F)c1ccccc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479882 712583439 /nfs/dbraw/zinc/58/34/39/712583439.db2.gz NCCSXNQQLOTECD-MNOVXSKESA-N -1 1 307.354 1.527 20 0 DDADMM O=C(C=CC=C(Cl)Cl)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481610 712584154 /nfs/dbraw/zinc/58/41/54/712584154.db2.gz JJOPDTNPMWLFQN-YYHMEYGGSA-N -1 1 320.205 1.691 20 0 DDADMM CO[C@@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)C1CCCC1 ZINC000907481834 712584157 /nfs/dbraw/zinc/58/41/57/712584157.db2.gz LPXQKQUVOMFAAM-GHMZBOCLSA-N -1 1 311.411 1.021 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1c(F)cccc1Cl)C(N)=O ZINC000907703033 712616090 /nfs/dbraw/zinc/61/60/90/712616090.db2.gz MCXWKHSAMNJQGH-NSHDSACASA-N -1 1 308.762 1.411 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CC23CC(O)C3)c(F)c1 ZINC000907785935 712626322 /nfs/dbraw/zinc/62/63/22/712626322.db2.gz RGDGESWZPSCAAF-UHLWVNKISA-N -1 1 303.330 1.465 20 0 DDADMM CCCN1CCN(C(=O)[C@@]2(C(=O)[O-])C[C@@H]2c2ccccc2)CC1 ZINC000907798083 712628003 /nfs/dbraw/zinc/62/80/03/712628003.db2.gz JURSYXZZVDZKRU-CRAIPNDOSA-N -1 1 316.401 1.799 20 0 DDADMM O=C([O-])C[N@@H+]1CCCN(C(=O)c2coc3cc(O)ccc23)CC1 ZINC000907800949 712628476 /nfs/dbraw/zinc/62/84/76/712628476.db2.gz VVWWHPJKQALCHI-UHFFFAOYSA-N -1 1 318.329 1.371 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2cccc(Cl)c2F)CCNC1=O ZINC000907805792 712629032 /nfs/dbraw/zinc/62/90/32/712629032.db2.gz HRXTXRWTSFEXES-NSHDSACASA-N -1 1 306.746 1.036 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2CCC[C@H]21)c1c[nH]nc1C(F)(F)F ZINC000907964375 712656233 /nfs/dbraw/zinc/65/62/33/712656233.db2.gz DBBLEPDLPLBTQV-BWZBUEFSSA-N -1 1 309.313 1.895 20 0 DDADMM CC1(C)CC2(CC([N-]S(=O)(=O)c3c[nH]nc3Cl)C2)CO1 ZINC000907999276 712663298 /nfs/dbraw/zinc/66/32/98/712663298.db2.gz GGNQHELNKPQZII-UHFFFAOYSA-N -1 1 319.814 1.689 20 0 DDADMM C/C=C\[C@@H](O)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000908207158 712710339 /nfs/dbraw/zinc/71/03/39/712710339.db2.gz ZSNQZNNNEHRXEN-QHQCSSIGSA-N -1 1 322.327 1.227 20 0 DDADMM O=S(=O)([N-]CC[C@@H](O)C1CC1)c1ccc(F)c(F)c1F ZINC000908305737 712734744 /nfs/dbraw/zinc/73/47/44/712734744.db2.gz IXSICUIQLRLRLD-SECBINFHSA-N -1 1 309.309 1.543 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C/C=C\Cl)c(Br)n1 ZINC000908461197 712779557 /nfs/dbraw/zinc/77/95/57/712779557.db2.gz LMWXAVQAQQUDMZ-IHWYPQMZSA-N -1 1 314.592 1.213 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@@H]1C[C@@H]1C1CCCCC1 ZINC000908826901 712862983 /nfs/dbraw/zinc/86/29/83/712862983.db2.gz ZOUWCJVRFPLZSU-RRFJBIMHSA-N -1 1 308.422 1.868 20 0 DDADMM CO[C@]1(C)C[C@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)C1(C)C ZINC000908835220 712864915 /nfs/dbraw/zinc/86/49/15/712864915.db2.gz LPFPSIFLISUFEN-MQIPJXDCSA-N -1 1 312.410 1.103 20 0 DDADMM Cn1ccnc1CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000916644613 713462149 /nfs/dbraw/zinc/46/21/49/713462149.db2.gz YOZOIBAXOHLVIW-UHFFFAOYSA-N -1 1 310.304 1.446 20 0 DDADMM COC(=O)/C(C)=C\C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000921236112 713724566 /nfs/dbraw/zinc/72/45/66/713724566.db2.gz DIUVTZPOJBLUEY-YVMONPNESA-N -1 1 305.302 1.362 20 0 DDADMM C[C@H]1COC[C@H]1[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000921497365 713789564 /nfs/dbraw/zinc/78/95/64/713789564.db2.gz MRBSRBRRUPRJIE-POYBYMJQSA-N -1 1 311.190 1.702 20 0 DDADMM COC[C@H](C[N-]S(=O)(=O)c1cc(C(=O)OC)co1)C(C)C ZINC000921896171 713900430 /nfs/dbraw/zinc/90/04/30/713900430.db2.gz ADFKNZTZZDQBJC-NSHDSACASA-N -1 1 319.379 1.263 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CCCC(C)(C)O1)C(C)C ZINC000921977220 713926518 /nfs/dbraw/zinc/92/65/18/713926518.db2.gz SWHJDPGZGNTBNI-NWDGAFQWSA-N -1 1 321.439 1.451 20 0 DDADMM CCOC[C@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922079579 713955060 /nfs/dbraw/zinc/95/50/60/713955060.db2.gz PBWAWIUBNIKQTB-VIFPVBQESA-N -1 1 302.321 1.937 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](O)C(F)(F)C(F)(F)F)c([O-])c1 ZINC000922242658 713999795 /nfs/dbraw/zinc/99/97/95/713999795.db2.gz MPNRPVGXUWAQQO-SSDOTTSWSA-N -1 1 314.210 1.384 20 0 DDADMM Cc1cc(CNC(=O)NCCc2c(F)cc([O-])cc2F)n(C)n1 ZINC000922387051 714036599 /nfs/dbraw/zinc/03/65/99/714036599.db2.gz JSUXDOIDKGIPII-UHFFFAOYSA-N -1 1 324.331 1.754 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCC(CO)CC1 ZINC000932040892 714244174 /nfs/dbraw/zinc/24/41/74/714244174.db2.gz SSSYCKHVVSSSTB-UHFFFAOYSA-N -1 1 316.361 1.814 20 0 DDADMM C[C@H]1C[C@H](O)CN1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932049227 714246285 /nfs/dbraw/zinc/24/62/85/714246285.db2.gz HNDHFRIBQOSPTI-GWCFXTLKSA-N -1 1 302.334 1.565 20 0 DDADMM CC[C@@H](CO)N(C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932054694 714247847 /nfs/dbraw/zinc/24/78/47/714247847.db2.gz UJHBDJNBANRWKA-LBPRGKRZSA-N -1 1 304.350 1.813 20 0 DDADMM CC[C@@]1(C(=O)[O-])CCCN([C@@H](C(N)=O)c2ccc(F)cc2)C1 ZINC000923467278 714365878 /nfs/dbraw/zinc/36/58/78/714365878.db2.gz QWSSKTQBTQMGNH-CZUORRHYSA-N -1 1 308.353 1.929 20 0 DDADMM COc1cccc(C[C@@H](C)CC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000924299871 714560190 /nfs/dbraw/zinc/56/01/90/714560190.db2.gz HCNCRCZIWXAONV-LLVKDONJSA-N -1 1 319.361 1.429 20 0 DDADMM COC(=O)/C=C(\C)CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934271198 714782769 /nfs/dbraw/zinc/78/27/69/714782769.db2.gz ZHIZCHLXNWNVBS-PFEDMVJOSA-N -1 1 307.350 1.447 20 0 DDADMM O=C1N[C@@H](CC2CC2)C(=O)N1CCc1c(F)cc([O-])cc1F ZINC000925459393 714863641 /nfs/dbraw/zinc/86/36/41/714863641.db2.gz BGDXMYAOKZQGQC-ZDUSSCGKSA-N -1 1 310.300 1.933 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)Cc2cc(=O)n(CC(C)C)[n-]2)n[nH]1 ZINC000934925938 714931037 /nfs/dbraw/zinc/93/10/37/714931037.db2.gz VIQJLVIJLPDWNU-LLVKDONJSA-N -1 1 319.409 1.154 20 0 DDADMM CS(=O)(=O)c1ccc(CCC(=O)[N-]OCC(F)F)cc1 ZINC000935389027 715044039 /nfs/dbraw/zinc/04/40/39/715044039.db2.gz RJBHEWQOWWMFGG-UHFFFAOYSA-N -1 1 307.318 1.336 20 0 DDADMM CC(C)C[C@](C)(CNC(=O)OC(C)(C)C)C(=O)[N-]OCCO ZINC000935454496 715059380 /nfs/dbraw/zinc/05/93/80/715059380.db2.gz ACKUOFWAPZCTCM-OAHLLOKOSA-N -1 1 318.414 1.604 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1cccc(O)c1 ZINC000935457454 715060521 /nfs/dbraw/zinc/06/05/21/715060521.db2.gz SFPQZOGWYVKIGI-SKDRFNHKSA-N -1 1 319.390 1.326 20 0 DDADMM O=C([N-]OCCC(F)(F)F)[C@H]1C[C@@]12C(=O)Nc1ccccc12 ZINC000935502676 715072647 /nfs/dbraw/zinc/07/26/47/715072647.db2.gz XTUNJMIDHWHWIT-RNCFNFMXSA-N -1 1 314.263 1.897 20 0 DDADMM C/C=C(\C)C(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937329088 715335366 /nfs/dbraw/zinc/33/53/66/715335366.db2.gz SIAASNJNWADCKU-QQFGACLNSA-N -1 1 317.389 1.864 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1CC1 ZINC000955486150 715814552 /nfs/dbraw/zinc/81/45/52/715814552.db2.gz MGVKPGZGZNEBMY-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC=CCC1 ZINC000939371874 716209294 /nfs/dbraw/zinc/20/92/94/716209294.db2.gz FBISIMHGUSUDHB-CHWSQXEVSA-N -1 1 315.373 1.474 20 0 DDADMM CC1CC(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000939954681 716524649 /nfs/dbraw/zinc/52/46/49/716524649.db2.gz RRTBBQFEDOQCGQ-HTAVTVPLSA-N -1 1 303.362 1.164 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940130485 716641179 /nfs/dbraw/zinc/64/11/79/716641179.db2.gz OFPJPFIKBHMZIG-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cc[nH]c1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132418 716642462 /nfs/dbraw/zinc/64/24/62/716642462.db2.gz XRSATTWEJQPDJO-LLVKDONJSA-N -1 1 314.345 1.068 20 0 DDADMM O=C(CC1CC1)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964400809 717247987 /nfs/dbraw/zinc/24/79/87/717247987.db2.gz XFPYBPJABRHYSQ-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM CCC(=O)N1CCC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000965715646 717738760 /nfs/dbraw/zinc/73/87/60/717738760.db2.gz FHHXFYGRCDOZNC-LBPRGKRZSA-N -1 1 305.378 1.508 20 0 DDADMM CCC(CC)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943876388 718213667 /nfs/dbraw/zinc/21/36/67/718213667.db2.gz LMHZJYFZDGDAIX-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(CCC1CC1)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943914918 718223202 /nfs/dbraw/zinc/22/32/02/718223202.db2.gz DZIPHDVEBMEJKJ-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000945129388 718405367 /nfs/dbraw/zinc/40/53/67/718405367.db2.gz VZQWVEXTFDOPOA-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H]1CN(C(=O)CC2CCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966273431 718494596 /nfs/dbraw/zinc/49/45/96/718494596.db2.gz QZEQABONDDHPIQ-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CCCC(=O)N1CCC[C@H](C)[C@H]1CNC(=O)c1ncccc1[O-] ZINC000945720275 718545777 /nfs/dbraw/zinc/54/57/77/718545777.db2.gz NLAJXKSJEGUNEJ-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CCC1(C(=O)N2C[C@H](C)[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000966787678 718659871 /nfs/dbraw/zinc/65/98/71/718659871.db2.gz PLUSQAAVGHOWBB-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946533328 718821247 /nfs/dbraw/zinc/82/12/47/718821247.db2.gz RWRYELQNNHNGGL-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM CC1(CC(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000949541178 720148808 /nfs/dbraw/zinc/14/88/08/720148808.db2.gz BONVQGYMKBLJHX-LLVKDONJSA-N -1 1 303.362 1.308 20 0 DDADMM CCC1(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CCC1 ZINC000949541774 720149452 /nfs/dbraw/zinc/14/94/52/720149452.db2.gz PGEKNWNDWZBDCT-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)c1ccoc1 ZINC000950539283 720675249 /nfs/dbraw/zinc/67/52/49/720675249.db2.gz MGAXYEBJIJVGHS-GFCCVEGCSA-N -1 1 315.329 1.415 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@]23C[C@H]2CCC3)C1 ZINC000954124093 721723642 /nfs/dbraw/zinc/72/36/42/721723642.db2.gz KUPKOCLEIOJOBS-PIGZYNQJSA-N -1 1 315.373 1.260 20 0 DDADMM C[C@@H]1CN(C(=O)C2CC2)CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001020653434 732601969 /nfs/dbraw/zinc/60/19/69/732601969.db2.gz DTQACNFLTOEZFE-YPMHNXCESA-N -1 1 317.389 1.412 20 0 DDADMM Cc1oncc1CN1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038193739 733198583 /nfs/dbraw/zinc/19/85/83/733198583.db2.gz KWBCOULTHJZSMM-LBPRGKRZSA-N -1 1 302.334 1.088 20 0 DDADMM CC1CC(C(=O)N2CC[C@@H](C)C[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087147012 733546870 /nfs/dbraw/zinc/54/68/70/733546870.db2.gz CFIHZSICCZGKMP-XVSSEFHLSA-N -1 1 321.425 1.273 20 0 DDADMM O=C(NC[C@@H]1CCCN1Cc1csnn1)c1ncccc1[O-] ZINC001027844135 738726417 /nfs/dbraw/zinc/72/64/17/738726417.db2.gz MQDQGUIXQGCRAI-NSHDSACASA-N -1 1 319.390 1.033 20 0 DDADMM C[C@H]1[C@@H](c2ccccc2)CCN1c1nnc(-c2nnn[n-]2)n1C ZINC001121359448 782470894 /nfs/dbraw/zinc/47/08/94/782470894.db2.gz ISNVIVFQFNMQMZ-JQWIXIFHSA-N -1 1 310.365 1.378 20 0 DDADMM C[C@H]1[C@@H](c2ccccc2)CCN1c1nnc(-c2nn[n-]n2)n1C ZINC001121359448 782470900 /nfs/dbraw/zinc/47/09/00/782470900.db2.gz ISNVIVFQFNMQMZ-JQWIXIFHSA-N -1 1 310.365 1.378 20 0 DDADMM O=C(NC[C@H]1CCCCN1Cc1ccon1)c1ncccc1[O-] ZINC001024408035 735808228 /nfs/dbraw/zinc/80/82/28/735808228.db2.gz VRDYQNJZXNZQOJ-CYBMUJFWSA-N -1 1 316.361 1.560 20 0 DDADMM N=C(Nc1cncc2cc[nH]c21)SCCS(=O)(=O)[O-] ZINC001168091243 741417402 /nfs/dbraw/zinc/41/74/02/741417402.db2.gz XEDVTXJMGCBIAG-UHFFFAOYSA-N -1 1 300.365 1.531 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)C[C@H]2C=CCC2)C1 ZINC001029642296 741170577 /nfs/dbraw/zinc/17/05/77/741170577.db2.gz ZYVVKGYQJNONLJ-STQMWFEESA-N -1 1 319.409 1.147 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@@H]2CCC2(C)C)C1 ZINC001029812368 741340605 /nfs/dbraw/zinc/34/06/05/741340605.db2.gz IDYBZYYFNNWJGX-RYUDHWBXSA-N -1 1 321.425 1.227 20 0 DDADMM CCc1nsc(N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001059614347 741415604 /nfs/dbraw/zinc/41/56/04/741415604.db2.gz XRTVCVSWLIIVPR-DTORHVGOSA-N -1 1 319.390 1.574 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1cscn1 ZINC001076244243 742623279 /nfs/dbraw/zinc/62/32/79/742623279.db2.gz NSOMTEWBOKDQAZ-VIFPVBQESA-N -1 1 320.374 1.182 20 0 DDADMM CC/C(C)=C/C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076387984 742702107 /nfs/dbraw/zinc/70/21/07/742702107.db2.gz CKSQTTIHMIOLTH-IIANPFDCSA-N -1 1 305.378 1.768 20 0 DDADMM CCOC(CC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O)OCC ZINC001181790928 743343786 /nfs/dbraw/zinc/34/37/86/743343786.db2.gz QRMCJIDNAQSXBS-UHFFFAOYSA-N -1 1 300.271 1.013 20 0 DDADMM O=C(C[C@@H](c1cccs1)n1cccc1)NN1CC(=O)[N-]C1=O ZINC001182303621 743559253 /nfs/dbraw/zinc/55/92/53/743559253.db2.gz WZJUTNQISBWCSF-JTQLQIEISA-N -1 1 318.358 1.112 20 0 DDADMM CSc1nc(NC(=O)Cc2cn3cccnc3n2)cc(=O)[n-]1 ZINC001182710921 743702904 /nfs/dbraw/zinc/70/29/04/743702904.db2.gz IOFPLPWYHAYBQY-UHFFFAOYSA-N -1 1 316.346 1.128 20 0 DDADMM Cc1csc(CN2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC001030239907 743976490 /nfs/dbraw/zinc/97/64/90/743976490.db2.gz PLFCTBYSHFHJEB-UHFFFAOYSA-N -1 1 304.375 1.166 20 0 DDADMM COC(=O)c1scnc1[N-]C(=O)c1c(F)ccnc1OC ZINC001185544302 744252448 /nfs/dbraw/zinc/25/24/48/744252448.db2.gz JISDUDZNVKDXBV-UHFFFAOYSA-N -1 1 311.294 1.725 20 0 DDADMM COC(=O)[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ccc([O-])c(F)c1)C2 ZINC001186219618 744366288 /nfs/dbraw/zinc/36/62/88/744366288.db2.gz RVEKCNZHCJEQFR-WCQGTBRESA-N -1 1 307.321 1.945 20 0 DDADMM C[C@H]1CN(C2CN(C(=O)c3ccc([O-])cc3F)C2)C[C@H](C)O1 ZINC001186327518 744374967 /nfs/dbraw/zinc/37/49/67/744374967.db2.gz PLLOLKFGKWGFHC-QWRGUYRKSA-N -1 1 308.353 1.465 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CCN(C(=O)c1ccc([O-])cc1F)CC2 ZINC001186327816 744375421 /nfs/dbraw/zinc/37/54/21/744375421.db2.gz SDCDVVLJJGIRFS-CYBMUJFWSA-N -1 1 320.364 1.862 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1c[nH]c(C(F)(F)F)cc1=O ZINC001187245815 744524807 /nfs/dbraw/zinc/52/48/07/744524807.db2.gz HBBIWHODHAALFO-UHFFFAOYSA-N -1 1 314.285 1.584 20 0 DDADMM COC(=O)c1cccc([C@@H](C)[N-]S(=O)(=O)c2cnoc2C)c1 ZINC001187409704 744557969 /nfs/dbraw/zinc/55/79/69/744557969.db2.gz DHMFFQUTDINQMH-SECBINFHSA-N -1 1 324.358 1.809 20 0 DDADMM CCc1nnc2ccc(C[N-]S(=O)(=O)c3cnoc3C)cn21 ZINC001187413612 744558981 /nfs/dbraw/zinc/55/89/81/744558981.db2.gz FPUQBLVXTIXKLP-UHFFFAOYSA-N -1 1 321.362 1.067 20 0 DDADMM COc1cnccc1[C@H]([N-]S(=O)(=O)c1cnoc1C)C1CC1 ZINC001187420548 744560746 /nfs/dbraw/zinc/56/07/46/744560746.db2.gz HMKIQKSLWCCKLX-CQSZACIVSA-N -1 1 323.374 1.816 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2nccs2)CCCCC1 ZINC001187902189 744626964 /nfs/dbraw/zinc/62/69/64/744626964.db2.gz NOEOKWPYSVAZJP-UHFFFAOYSA-N -1 1 304.393 1.297 20 0 DDADMM O=S(=O)([N-]Cc1cncn1CC1CCC1)c1nccs1 ZINC001187920528 744635191 /nfs/dbraw/zinc/63/51/91/744635191.db2.gz JYANBJWSXIQWRO-UHFFFAOYSA-N -1 1 312.420 1.618 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc(-c4cn[nH]c4)c3)c1-2 ZINC001189233788 744850555 /nfs/dbraw/zinc/85/05/55/744850555.db2.gz MOXIVKKVQNBHPG-UHFFFAOYSA-N -1 1 319.328 1.677 20 0 DDADMM COc1ncc([N-]S(=O)(=O)Cc2ccc(F)cc2F)cn1 ZINC001189782244 744980627 /nfs/dbraw/zinc/98/06/27/744980627.db2.gz OKKBOEWHWOWQDJ-UHFFFAOYSA-N -1 1 315.301 1.705 20 0 DDADMM Cc1nn(C)cc1[N-]S(=O)(=O)Cc1ccc(F)cc1F ZINC001189780618 744980685 /nfs/dbraw/zinc/98/06/85/744980685.db2.gz OOPJSORXQNGVFN-UHFFFAOYSA-N -1 1 301.318 1.949 20 0 DDADMM CN(C(N)=O)c1cccc([N-]S(=O)(=O)c2ccccc2N)c1 ZINC001189879079 745013555 /nfs/dbraw/zinc/01/35/55/745013555.db2.gz MSGFSIXHWZTEKW-UHFFFAOYSA-N -1 1 320.374 1.585 20 0 DDADMM CN(C)C(=O)c1cccc([N-]S(=O)(=O)CCCF)c1O ZINC001189919795 745035930 /nfs/dbraw/zinc/03/59/30/745035930.db2.gz MJUHZVZHMJBEAH-UHFFFAOYSA-N -1 1 304.343 1.195 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(Br)ccc1[S-] ZINC001189969090 745051906 /nfs/dbraw/zinc/05/19/06/745051906.db2.gz FOGKKYQZVXVGJC-UHFFFAOYSA-N -1 1 314.168 1.181 20 0 DDADMM O=C(NCc1nn[nH]n1)c1cc(Br)ccc1[S-] ZINC001189969090 745051913 /nfs/dbraw/zinc/05/19/13/745051913.db2.gz FOGKKYQZVXVGJC-UHFFFAOYSA-N -1 1 314.168 1.181 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NS(=O)(=O)c1ccncc1 ZINC001190855449 745347107 /nfs/dbraw/zinc/34/71/07/745347107.db2.gz IJPQDZODBPLLQT-UHFFFAOYSA-N -1 1 313.320 1.065 20 0 DDADMM CSc1ncc(C(=O)Nc2cnn(C(F)F)c2)c(=O)[n-]1 ZINC001191429486 745509491 /nfs/dbraw/zinc/50/94/91/745509491.db2.gz NHBMACUIBSGOCQ-UHFFFAOYSA-N -1 1 301.278 1.748 20 0 DDADMM Cc1nccc(NCC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001106296558 745561562 /nfs/dbraw/zinc/56/15/62/745561562.db2.gz VOXJWLHFYBOFRW-SNVBAGLBSA-N -1 1 301.350 1.506 20 0 DDADMM CSCC[C@H](NC(=O)c1c(F)ccc([O-])c1F)C(N)=O ZINC001192556498 745814870 /nfs/dbraw/zinc/81/48/70/745814870.db2.gz WJFBNCDETCYATJ-ZETCQYMHSA-N -1 1 304.318 1.007 20 0 DDADMM Cc1nc(Cl)c(N)c(=N)n1C(=O)c1cc([O-])cc(F)c1F ZINC001192651451 745845980 /nfs/dbraw/zinc/84/59/80/745845980.db2.gz IYGUBISIFYPXOI-UHFFFAOYSA-N -1 1 314.679 1.579 20 0 DDADMM CN1C(=O)CC[C@@H]2CN(C(=O)c3cc([O-])cc(F)c3F)CC[C@H]21 ZINC001192665770 745869153 /nfs/dbraw/zinc/86/91/53/745869153.db2.gz WBHOASUNPZXYEO-NOZJJQNGSA-N -1 1 324.327 1.753 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)[n-]n1 ZINC001194288828 746343877 /nfs/dbraw/zinc/34/38/77/746343877.db2.gz OQXDQAIDBJNJGI-UHFFFAOYSA-N -1 1 301.262 1.031 20 0 DDADMM NC(=O)Cc1cccc(NC(=O)c2c[n-]c(C(F)(F)F)n2)c1 ZINC001194782092 746453272 /nfs/dbraw/zinc/45/32/72/746453272.db2.gz NCFNQZWDUZYEDN-UHFFFAOYSA-N -1 1 312.251 1.709 20 0 DDADMM Nc1cnc(Cl)c(NC(=O)c2c[n-]c(C(F)(F)F)n2)n1 ZINC001194772176 746462290 /nfs/dbraw/zinc/46/22/90/746462290.db2.gz DXWLMPKPJRLHAR-UHFFFAOYSA-N -1 1 306.635 1.706 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2ccnn2Cc2cccnc2)n1 ZINC001195319926 746576932 /nfs/dbraw/zinc/57/69/32/746576932.db2.gz VKTYKDXDKWCNCY-UHFFFAOYSA-N -1 1 309.329 1.988 20 0 DDADMM Cc1ccn2c(CNC(=O)c3c[nH]c(=S)[n-]c3=O)cnc2c1 ZINC001196022118 746759168 /nfs/dbraw/zinc/75/91/68/746759168.db2.gz XANIZXGBBWOGAO-UHFFFAOYSA-N -1 1 315.358 1.357 20 0 DDADMM CSc1nc(NC(=O)c2nccnc2OC(C)C)cc(=O)[n-]1 ZINC001198048284 747347145 /nfs/dbraw/zinc/34/71/45/747347145.db2.gz GGTRNEYECWWTQP-UHFFFAOYSA-N -1 1 321.362 1.734 20 0 DDADMM C[C@H](CN1CCCC1)NS(=O)(=O)c1ccccc1C(=O)[O-] ZINC001198799619 747615492 /nfs/dbraw/zinc/61/54/92/747615492.db2.gz UZPLZYNTRLBYEL-LLVKDONJSA-N -1 1 312.391 1.147 20 0 DDADMM Cc1cc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)nn1CC1CCC1 ZINC001199578032 747901845 /nfs/dbraw/zinc/90/18/45/747901845.db2.gz SAKIACIIRGVQKR-UHFFFAOYSA-N -1 1 318.337 1.027 20 0 DDADMM CC[C@]1(C)C[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001004051699 747944988 /nfs/dbraw/zinc/94/49/88/747944988.db2.gz PLDVMSJPXVSHFG-SJKOYZFVSA-N -1 1 317.389 1.412 20 0 DDADMM CN(C)c1nc(NC(=S)NCc2ccco2)c(N=O)c(=O)[n-]1 ZINC001200555712 748277917 /nfs/dbraw/zinc/27/79/17/748277917.db2.gz DSKAKKJKAKYDRK-UHFFFAOYSA-N -1 1 322.350 1.726 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(C)(C)F ZINC001004383650 748391668 /nfs/dbraw/zinc/39/16/68/748391668.db2.gz FYGMTGDHVRHISX-MNOVXSKESA-N -1 1 323.368 1.502 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1CCSC1=O ZINC001201766126 748598212 /nfs/dbraw/zinc/59/82/12/748598212.db2.gz BJUHLQQVNRECOV-YFKPBYRVSA-N -1 1 312.825 1.415 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)/C=C/C1CC1 ZINC001004772288 748789258 /nfs/dbraw/zinc/78/92/58/748789258.db2.gz LHNUKFHVQWOPFG-DDOQPMFUSA-N -1 1 319.409 1.336 20 0 DDADMM Cc1cc(NC(=O)c2n[nH]c(C)c2[O-])n(Cc2ccccn2)n1 ZINC001137149671 749365007 /nfs/dbraw/zinc/36/50/07/749365007.db2.gz DFULJDINQJDKNV-UHFFFAOYSA-N -1 1 312.333 1.624 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])c1cnc(F)cn1 ZINC001095862724 750601111 /nfs/dbraw/zinc/60/11/11/750601111.db2.gz AOCMFNYSYWCHJL-UHFFFAOYSA-N -1 1 319.340 1.363 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])c1ccnc(C)n1 ZINC001095862841 750601617 /nfs/dbraw/zinc/60/16/17/750601617.db2.gz HXEKZLYPUVFTAS-UHFFFAOYSA-N -1 1 315.377 1.532 20 0 DDADMM C[C@H](NC(=O)c1ccc[nH]1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005711075 750871876 /nfs/dbraw/zinc/87/18/76/750871876.db2.gz OXZLWSXUKAHTHP-JTQLQIEISA-N -1 1 314.345 1.006 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])Nc1ncc(Cl)cn1 ZINC001115719202 750892370 /nfs/dbraw/zinc/89/23/70/750892370.db2.gz KEULMQIZZMWZLH-VIFPVBQESA-N -1 1 321.768 1.803 20 0 DDADMM C[C@H]1CCCN(C(=O)CC(C)(C)C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036514481 752501265 /nfs/dbraw/zinc/50/12/65/752501265.db2.gz VFMUAIHHFBFVNA-RYUDHWBXSA-N -1 1 323.441 1.663 20 0 DDADMM Cc1sccc1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999117658 752542686 /nfs/dbraw/zinc/54/26/86/752542686.db2.gz PLWSFMRUDGDESZ-SNVBAGLBSA-N -1 1 321.406 1.275 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)c1cccs1 ZINC001000734996 762140259 /nfs/dbraw/zinc/14/02/59/762140259.db2.gz ANWVITGNMPCADG-UHFFFAOYSA-N -1 1 317.370 1.351 20 0 DDADMM C/C=C(\C)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005950197 753410263 /nfs/dbraw/zinc/41/02/63/753410263.db2.gz IFAWBGABGPVTEG-LLMHMKPQSA-N -1 1 303.362 1.330 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@H](CNC(=O)c2ncccc2[O-])C[C@H]1C ZINC001010099950 753612644 /nfs/dbraw/zinc/61/26/44/753612644.db2.gz WCGBYWQAOGRILE-VVBALGDRSA-N -1 1 317.389 1.720 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001078265443 753922613 /nfs/dbraw/zinc/92/26/13/753922613.db2.gz PIAGGCAQTXBVHD-YNEHKIRRSA-N -1 1 319.405 1.848 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(c2ncccn2)C1 ZINC001063105597 753980088 /nfs/dbraw/zinc/98/00/88/753980088.db2.gz VZEMYEQJNIVDIE-GFCCVEGCSA-N -1 1 313.361 1.318 20 0 DDADMM Cc1nc(CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)cs1 ZINC001010721001 754122560 /nfs/dbraw/zinc/12/25/60/754122560.db2.gz BDDGCMIYPBDKAX-NSHDSACASA-N -1 1 318.402 1.556 20 0 DDADMM CCC1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC001011035657 754319316 /nfs/dbraw/zinc/31/93/16/754319316.db2.gz MLPXPMCYIVICNH-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM C[C@@H]1C[C@H](Nc2ncccn2)CCN1C(=O)c1ncccc1[O-] ZINC001064765325 754833594 /nfs/dbraw/zinc/83/35/94/754833594.db2.gz HRVDOFNIKFMMPF-VXGBXAGGSA-N -1 1 313.361 1.682 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1(C)CC1 ZINC001013293169 755367715 /nfs/dbraw/zinc/36/77/15/755367715.db2.gz MLBBOPNXPDMCRX-NEPJUHHUSA-N -1 1 317.389 1.697 20 0 DDADMM Cc1cc(CN2C[C@@H](C)[C@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001079609816 755472249 /nfs/dbraw/zinc/47/22/49/755472249.db2.gz ZCDNYUPETVCWTD-ZWNOBZJWSA-N -1 1 316.361 1.334 20 0 DDADMM CC(=O)N[C@@H]1CCC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001014571410 755803325 /nfs/dbraw/zinc/80/33/25/755803325.db2.gz LMEINDKCALSVNP-JHJVBQTASA-N -1 1 303.362 1.164 20 0 DDADMM CC(=O)N[C@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]1C ZINC001014649127 755851449 /nfs/dbraw/zinc/85/14/49/755851449.db2.gz FCVWWUQEYVKZJQ-RNCFNFMXSA-N -1 1 318.377 1.189 20 0 DDADMM CC(C)c1cc(N[C@@H](C)CNC(=O)c2ncccc2[O-])ncn1 ZINC001097765275 757511799 /nfs/dbraw/zinc/51/17/99/757511799.db2.gz QIZFGLCSTDQIMI-NSHDSACASA-N -1 1 315.377 1.931 20 0 DDADMM CC[C@@H](C)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001065868368 758873676 /nfs/dbraw/zinc/87/36/76/758873676.db2.gz FZNRFDNHBYVQSC-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM COC(=O)[C@]1(C)CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000824115800 759151704 /nfs/dbraw/zinc/15/17/04/759151704.db2.gz BSQCJIIDTDKNKP-MRXNPFEDSA-N -1 1 319.361 1.475 20 0 DDADMM CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@H]3CN2C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000824289341 759170003 /nfs/dbraw/zinc/17/00/03/759170003.db2.gz LCYKQEGFDFGKDJ-FFNLUWKOSA-N -1 1 315.373 1.555 20 0 DDADMM O=C(CCOCc1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000827916315 759409056 /nfs/dbraw/zinc/40/90/56/759409056.db2.gz XIXWJUIKDKGDPH-UHFFFAOYSA-N -1 1 315.377 1.513 20 0 DDADMM O=C(N[C@@H]1CCc2c[nH]nc2C1)c1ccc2n[n-]c(=S)n2c1 ZINC000826889842 759427034 /nfs/dbraw/zinc/42/70/34/759427034.db2.gz CPNVGMCBOZOXMT-SNVBAGLBSA-N -1 1 314.374 1.028 20 0 DDADMM C[C@@H]1CN(C(=O)CC2CCCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054362976 759550479 /nfs/dbraw/zinc/55/04/79/759550479.db2.gz JVWVQZVRMGVPCS-YPMHNXCESA-N -1 1 321.425 1.417 20 0 DDADMM CN(C[C@@H]1CCN1Cc1nccs1)C(=O)c1ncccc1[O-] ZINC001085562689 759729236 /nfs/dbraw/zinc/72/92/36/759729236.db2.gz RRWAKCBLUSIIMB-NSHDSACASA-N -1 1 318.402 1.590 20 0 DDADMM Cc1conc1CN[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001054603063 759875867 /nfs/dbraw/zinc/87/58/67/759875867.db2.gz FZRFPVNAHSQTOB-ZWNOBZJWSA-N -1 1 316.361 1.334 20 0 DDADMM Cc1csc(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001038190759 760905730 /nfs/dbraw/zinc/90/57/30/760905730.db2.gz DZKWTKWYOGSEFF-NSHDSACASA-N -1 1 318.402 1.556 20 0 DDADMM O=C(NC[C@@H]1CCN1Cc1cncs1)c1ncccc1[O-] ZINC001038195504 760910677 /nfs/dbraw/zinc/91/06/77/760910677.db2.gz VBTASBJBTTYHRS-JTQLQIEISA-N -1 1 304.375 1.248 20 0 DDADMM CC1(Nc2ncccn2)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001067256305 761090379 /nfs/dbraw/zinc/09/03/79/761090379.db2.gz MMTGYYJUZJOFMA-UHFFFAOYSA-N -1 1 313.361 1.684 20 0 DDADMM CC1(C)CC[C@@H](C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001000124925 761524306 /nfs/dbraw/zinc/52/43/06/761524306.db2.gz XVXZSNUXUBWQSW-NEPJUHHUSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cs1 ZINC001000460618 761763307 /nfs/dbraw/zinc/76/33/07/761763307.db2.gz IERIIZSMBRDIER-LLVKDONJSA-N -1 1 321.406 1.275 20 0 DDADMM CC1(C)CC(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001001542788 762947236 /nfs/dbraw/zinc/94/72/36/762947236.db2.gz ZXSDHFUTSPJVQP-UHFFFAOYSA-N -1 1 317.389 1.412 20 0 DDADMM CC1(C)CC(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC(C)(C)N1O ZINC001169980598 763401855 /nfs/dbraw/zinc/40/18/55/763401855.db2.gz CPNWKOZSNAOXDR-UHFFFAOYSA-N -1 1 322.369 1.538 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)C(C)(C)F ZINC001052423218 765811301 /nfs/dbraw/zinc/81/13/01/765811301.db2.gz VHPNDIXSMKTZFW-MNOVXSKESA-N -1 1 323.368 1.360 20 0 DDADMM O=C(NC1CCN(c2cccc(F)n2)CC1)c1ncccc1[O-] ZINC001057861559 766104220 /nfs/dbraw/zinc/10/42/20/766104220.db2.gz CSMKDEHKGNFVFJ-UHFFFAOYSA-N -1 1 316.336 1.720 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nccc(C(F)(F)F)n3)c2[nH]1 ZINC001170216194 766175054 /nfs/dbraw/zinc/17/50/54/766175054.db2.gz GRDWFAFTWLVMNN-UHFFFAOYSA-N -1 1 313.199 1.268 20 0 DDADMM CC(C)Cn1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1=O ZINC001170217430 766176718 /nfs/dbraw/zinc/17/67/18/766176718.db2.gz CVMLLXOYGFSKSL-UHFFFAOYSA-N -1 1 316.321 1.277 20 0 DDADMM CCOC(=O)c1ccncc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170220330 766177905 /nfs/dbraw/zinc/17/79/05/766177905.db2.gz VCCLRIGOVQBTDP-UHFFFAOYSA-N -1 1 316.277 1.031 20 0 DDADMM Cn1c(=O)cnc2ccc(Nc3[n-]c(=O)nc4nc[nH]c43)cc21 ZINC001170234758 766189548 /nfs/dbraw/zinc/18/95/48/766189548.db2.gz ZGYSNNJIJMBWOG-UHFFFAOYSA-N -1 1 309.289 1.049 20 0 DDADMM COC(=O)c1ccc(C)c(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001170222501 766196169 /nfs/dbraw/zinc/19/61/69/766196169.db2.gz FIHMCTNZIFOZPZ-UHFFFAOYSA-N -1 1 300.278 1.292 20 0 DDADMM O=C1CC[C@H](c2ccc(Nc3[n-]c(=O)nc4nc[nH]c43)cc2)N1 ZINC001170230351 766204525 /nfs/dbraw/zinc/20/45/25/766204525.db2.gz PMPSMJRZTKJNRQ-SNVBAGLBSA-N -1 1 310.317 1.753 20 0 DDADMM Cc1nsc(NCC2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC001067843556 766747829 /nfs/dbraw/zinc/74/78/29/766747829.db2.gz OUHVOZUJXBDVGO-UHFFFAOYSA-N -1 1 319.390 1.568 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@]2(C)CCN(Cc3ccsc3)C2)c1[O-] ZINC001046239713 767261642 /nfs/dbraw/zinc/26/16/42/767261642.db2.gz MJOKBLRRIMLMCM-OAHLLOKOSA-N -1 1 320.418 1.880 20 0 DDADMM Cc1cc(CN2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)on1 ZINC001046262371 767320098 /nfs/dbraw/zinc/32/00/98/767320098.db2.gz PWNAQRJALYBKDP-INIZCTEOSA-N -1 1 316.361 1.478 20 0 DDADMM CCC(CC)C(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131834424 768413945 /nfs/dbraw/zinc/41/39/45/768413945.db2.gz OVPDFQSFHFXYRY-AAEUAGOBSA-N -1 1 323.441 1.014 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@]2(CCN(CCF)C2)O1 ZINC001071162620 769077549 /nfs/dbraw/zinc/07/75/49/769077549.db2.gz WFPBDRMZGRWGKH-MLGOLLRUSA-N -1 1 323.368 1.062 20 0 DDADMM CC/C(C)=C\C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071951626 770335041 /nfs/dbraw/zinc/33/50/41/770335041.db2.gz BLAQKXLYMQBDSB-ZBNJQPBLSA-N -1 1 317.389 1.720 20 0 DDADMM O=C(CC(C1CCC1)C1CCC1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001133946635 770495180 /nfs/dbraw/zinc/49/51/80/770495180.db2.gz AGSRROFMRMSCGQ-UHFFFAOYSA-N -1 1 321.425 1.323 20 0 DDADMM COc1ccc(C(=O)[N-]c2nc(-c3cnn(C)c3)ns2)o1 ZINC001134869884 771220452 /nfs/dbraw/zinc/22/04/52/771220452.db2.gz XDCGKEBXFKTUDM-UHFFFAOYSA-N -1 1 305.319 1.793 20 0 DDADMM CSc1ncc(C(=O)Nc2ccnc3ccnn32)c(=O)[n-]1 ZINC001136948213 772220334 /nfs/dbraw/zinc/22/03/34/772220334.db2.gz WTXDICJICLPTHI-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM CON(C)C(=O)c1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001143799933 772309389 /nfs/dbraw/zinc/30/93/89/772309389.db2.gz SMQVTXLAWLFHOE-UHFFFAOYSA-N -1 1 302.290 1.068 20 0 DDADMM O=C(/C(F)=C/C1CCCCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001144255148 772459092 /nfs/dbraw/zinc/45/90/92/772459092.db2.gz PQZLJAYRXKCPBV-NXIHDVOMSA-N -1 1 309.345 1.533 20 0 DDADMM O=C(Nc1c([O-])cccc1F)[C@@H]1CC12CCS(=O)(=O)CC2 ZINC001146492905 772877728 /nfs/dbraw/zinc/87/77/28/772877728.db2.gz URPJIUFLNGHTQM-VIFPVBQESA-N -1 1 313.350 1.685 20 0 DDADMM O=C(Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12)C1CC=CC1 ZINC001146698631 772913058 /nfs/dbraw/zinc/91/30/58/772913058.db2.gz VNPKSBQMHOLSPI-UHFFFAOYSA-N -1 1 323.312 1.878 20 0 DDADMM CSc1nc(NC(=O)c2ccnc(NC(C)=O)c2)cc(=O)[n-]1 ZINC001147814451 773246752 /nfs/dbraw/zinc/24/67/52/773246752.db2.gz OFZFLQXKIYVPCY-UHFFFAOYSA-N -1 1 319.346 1.510 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cnc3c(c2)COCC3)c1[O-] ZINC001147831911 773258929 /nfs/dbraw/zinc/25/89/29/773258929.db2.gz HDEPNPXAPITUTF-UHFFFAOYSA-N -1 1 315.329 1.308 20 0 DDADMM CCn1cc(NC(=O)c2c(CO)cnc(C)c2[O-])ccc1=O ZINC001147848924 773269719 /nfs/dbraw/zinc/26/97/19/773269719.db2.gz USDOCJJCIOAFKR-UHFFFAOYSA-N -1 1 303.318 1.022 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCc3nccn3C)cc2)[n-]1 ZINC001148326326 773436302 /nfs/dbraw/zinc/43/63/02/773436302.db2.gz LFOSNLDKGBJBMY-UHFFFAOYSA-N -1 1 311.345 1.463 20 0 DDADMM O=C(NC1CN(C2COC2)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC001148805618 773605781 /nfs/dbraw/zinc/60/57/81/773605781.db2.gz BXDWMBQWQKAHKC-UHFFFAOYSA-N -1 1 316.279 1.224 20 0 DDADMM Cc1ccc(N[C@H](C)CCNC(=O)c2ncccc2[O-])nn1 ZINC001099105190 774836216 /nfs/dbraw/zinc/83/62/16/774836216.db2.gz SYZNOSCTFNRYIN-SNVBAGLBSA-N -1 1 301.350 1.506 20 0 DDADMM CC(C)c1nccc(N(C)CCNC(=O)c2ncccc2[O-])n1 ZINC001100071111 775645439 /nfs/dbraw/zinc/64/54/39/775645439.db2.gz ULAINOGSNHDTAI-UHFFFAOYSA-N -1 1 315.377 1.567 20 0 DDADMM CCn1cnc2c1nc(Cl)nc2[N-]c1nccnc1CN ZINC001171449448 776098670 /nfs/dbraw/zinc/09/86/70/776098670.db2.gz FJRNCJVFSZKFAN-UHFFFAOYSA-N -1 1 304.745 1.492 20 0 DDADMM CN(C)c1nc(=O)cc(Nc2ccc(CN3CC(O)C3)cc2)[nH]1 ZINC001212666397 776805581 /nfs/dbraw/zinc/80/55/81/776805581.db2.gz MSWZBSUNTWDUSL-UHFFFAOYSA-N -1 1 315.377 1.168 20 0 DDADMM Cc1nccc(N(CCNC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001101323376 777068348 /nfs/dbraw/zinc/06/83/48/777068348.db2.gz DVRFIPKPTCRYCG-UHFFFAOYSA-N -1 1 313.361 1.284 20 0 DDADMM CNC(=O)c1cccc(Nc2[nH]c(=S)[n-]c(=O)c2N=O)c1 ZINC001174141754 777414591 /nfs/dbraw/zinc/41/45/91/777414591.db2.gz UJIBAVVXWPPBTH-UHFFFAOYSA-N -1 1 305.319 1.972 20 0 DDADMM CC/C(C)=C/C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101942644 777706916 /nfs/dbraw/zinc/70/69/16/777706916.db2.gz CDQRXMGXUQLMIQ-VDUSXYPOSA-N -1 1 307.398 1.051 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccc(OCC(F)(F)F)cc1 ZINC001176844751 778299428 /nfs/dbraw/zinc/29/94/28/778299428.db2.gz IYSRFNXGNYHACR-UHFFFAOYSA-N -1 1 301.228 1.322 20 0 DDADMM Cc1nc(CC(=O)NCCCC[P@](=O)([O-])O)c(C)s1 ZINC001177274976 778528623 /nfs/dbraw/zinc/52/86/23/778528623.db2.gz IZUNDJWRFKBCQZ-UHFFFAOYSA-N -1 1 306.324 1.377 20 0 DDADMM COC[C@H](NC(=O)C/C=C\Cc1ccccc1)c1nn[n-]n1 ZINC001177828265 778733519 /nfs/dbraw/zinc/73/35/19/778733519.db2.gz MILYQXFSTWPPEZ-SZZPACECSA-N -1 1 301.350 1.192 20 0 DDADMM C[N@H+]1CCCC[C@H]1C(=O)Nc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC001178696980 779146958 /nfs/dbraw/zinc/14/69/58/779146958.db2.gz RAGWIYKCRFKRDH-NSHDSACASA-N -1 1 302.334 1.464 20 0 DDADMM CCOC(=O)[C@@H]1CCN(C(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001179516128 779393047 /nfs/dbraw/zinc/39/30/47/779393047.db2.gz NIJULFKPRIHYKB-LLVKDONJSA-N -1 1 305.330 1.538 20 0 DDADMM O=C(CO[C@H]1CCOC1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC001116194114 780483564 /nfs/dbraw/zinc/48/35/64/780483564.db2.gz KCUOVTZQQUTUFJ-LBPRGKRZSA-N -1 1 320.297 1.347 20 0 DDADMM CCS(=O)(=O)CCCCCN1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC001118259039 781077690 /nfs/dbraw/zinc/07/76/90/781077690.db2.gz JPARQAQISJNFFI-CYBMUJFWSA-N -1 1 317.451 1.778 20 0 DDADMM O=C([O-])[C@@]1(N2CCN(C(=O)/C=C\C3CCCC3)CC2)CCOC1 ZINC001118916017 781271821 /nfs/dbraw/zinc/27/18/21/781271821.db2.gz XXZBKDDJPYIKPO-KEGWNNHHSA-N -1 1 322.405 1.121 20 0 DDADMM CC(C)N(CCCNC(=O)[C@@H](C)C1CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001267503797 838200266 /nfs/dbraw/zinc/20/02/66/838200266.db2.gz KHMVEUOVEZUXTL-LBPRGKRZSA-N -1 1 323.441 1.663 20 0 DDADMM CCC[C@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001409190931 844490244 /nfs/dbraw/zinc/49/02/44/844490244.db2.gz FQHAGQDOVVCIMK-AVGNSLFASA-N -1 1 317.389 1.649 20 0 DDADMM Nc1ccc(-c2nc([C@H]3CC(=O)N(C4CC4)C3)no2)c([O-])c1 ZINC001326213524 861200547 /nfs/dbraw/zinc/20/05/47/861200547.db2.gz HJLWGZLDTHVWBL-QMMMGPOBSA-N -1 1 300.318 1.503 20 0 DDADMM CN1CC[C@]2(CCCN2C(=O)c2ccc([O-])cc2Cl)C1=O ZINC001269983372 842202745 /nfs/dbraw/zinc/20/27/45/842202745.db2.gz PKSPRGKTCOIMDW-OAHLLOKOSA-N -1 1 308.765 1.883 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2[C@H](C1)OCCN2C1CCCC1 ZINC001270258060 842433408 /nfs/dbraw/zinc/43/34/08/842433408.db2.gz KBOACWQXCKBGAU-HIFRSBDPSA-N -1 1 317.389 1.255 20 0 DDADMM CCCCN1CC[C@@]2(CCN(C(=O)c3cncc([O-])c3)C2)C1=O ZINC001271386120 843548699 /nfs/dbraw/zinc/54/86/99/843548699.db2.gz KHVIEIGFFXDXJO-KRWDZBQOSA-N -1 1 317.389 1.652 20 0 DDADMM CC[C@H](CNC(=O)c1coc(C)n1)NC(=O)c1ncccc1[O-] ZINC001409261686 844726899 /nfs/dbraw/zinc/72/68/99/844726899.db2.gz QBLSNZYMASDJJY-SNVBAGLBSA-N -1 1 318.333 1.022 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(OCC[P@](=O)([O-])O)CC1 ZINC001224604980 844922054 /nfs/dbraw/zinc/92/20/54/844922054.db2.gz MRAVJBGGUBATHC-UHFFFAOYSA-N -1 1 309.299 1.580 20 0 DDADMM Cc1c(C(=O)NCCCC[P@](=O)([O-])O)nc2ccccn12 ZINC001149274601 861512671 /nfs/dbraw/zinc/51/26/71/861512671.db2.gz KSGIRUKAPLDDNY-UHFFFAOYSA-N -1 1 311.278 1.330 20 0 DDADMM CO[C@@H](C)C(=O)NC[C@H](CC(C)C)NC(=O)c1ncccc1[O-] ZINC001409769437 845689648 /nfs/dbraw/zinc/68/96/48/845689648.db2.gz HTBBNNXUMKBEHU-RYUDHWBXSA-N -1 1 323.393 1.083 20 0 DDADMM COC[C@H](NC(=O)C(C)=Cc1ccccc1Cl)c1nn[n-]n1 ZINC001155068393 861700662 /nfs/dbraw/zinc/70/06/62/861700662.db2.gz HJSJHPFQRJTGSA-UPZNUWHASA-N -1 1 321.768 1.760 20 0 DDADMM CN1C[C@@]2(CCN(Cc3ccc([O-])c(F)c3F)C2)OCC1=O ZINC001272724296 846722272 /nfs/dbraw/zinc/72/22/72/846722272.db2.gz FKQJRBBHGVLQAV-OAHLLOKOSA-N -1 1 312.316 1.104 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)c1cccc2cc(O)cnc21 ZINC001155110027 861742098 /nfs/dbraw/zinc/74/20/98/861742098.db2.gz PRQWHIUBHLWFAG-UHFFFAOYSA-N -1 1 324.273 1.628 20 0 DDADMM CCOC(=O)CC(=N)NC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149654343 861785167 /nfs/dbraw/zinc/78/51/67/861785167.db2.gz ZGOPXIJIMBHDDY-UHFFFAOYSA-N -1 1 316.313 1.911 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C(C)(C)c1noc(C)n1 ZINC001364770982 848602424 /nfs/dbraw/zinc/60/24/24/848602424.db2.gz YNJYDOJORFMZJG-UHFFFAOYSA-N -1 1 318.380 1.057 20 0 DDADMM CC(C)(C)NC(=O)CCNC(=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273720 861921974 /nfs/dbraw/zinc/92/19/74/861921974.db2.gz VCTXFSRMFUEYMS-UHFFFAOYSA-N -1 1 304.350 1.303 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC[C@H]1CCO[C@H](C)C1 ZINC001434562149 848690984 /nfs/dbraw/zinc/69/09/84/848690984.db2.gz IPVHOHJBTCAKKG-ZJUUUORDSA-N -1 1 320.436 1.635 20 0 DDADMM CSC[C@@H](C)C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001410866181 849885750 /nfs/dbraw/zinc/88/57/50/849885750.db2.gz PKVPXTZCLNGKLH-SNVBAGLBSA-N -1 1 323.418 1.069 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1c2cc[nH]c2ccc1F ZINC001155438272 862087814 /nfs/dbraw/zinc/08/78/14/862087814.db2.gz XYLPUSDCKHJMKO-UHFFFAOYSA-N -1 1 314.253 1.995 20 0 DDADMM CN1C[C@]2(CCN(C(=O)c3cccc([O-])c3Cl)C2)OCC1=O ZINC001273545185 851080635 /nfs/dbraw/zinc/08/06/35/851080635.db2.gz CHCNRXQXQYDXJZ-HNNXBMFYSA-N -1 1 324.764 1.119 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CC[C@H](C)CC1 ZINC001273716483 851266834 /nfs/dbraw/zinc/26/68/34/851266834.db2.gz MYFQPPBDDRPPKF-HAQNSBGRSA-N -1 1 309.414 1.275 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nc(CC)ncc2Cl)n1 ZINC001411371824 851773929 /nfs/dbraw/zinc/77/39/29/851773929.db2.gz PRWQTRCVGBPBOX-UHFFFAOYSA-N -1 1 323.740 1.845 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]CC1(CO)CCC1 ZINC000717663471 852246994 /nfs/dbraw/zinc/24/69/94/852246994.db2.gz QPXBROJWULAYOI-UHFFFAOYSA-N -1 1 310.828 1.546 20 0 DDADMM CCN=c1ncc(N)cn1C(=O)c1ccc2ccc(C)nc2c1[O-] ZINC001155654797 862345233 /nfs/dbraw/zinc/34/52/33/862345233.db2.gz XVCHDODYKOGILI-UHFFFAOYSA-N -1 1 323.356 1.637 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC2(C[C@@H]2C(=O)N2CC=CC2)C1 ZINC001275378277 853035727 /nfs/dbraw/zinc/03/57/27/853035727.db2.gz ZSSWIDZUGPXCMN-GFCCVEGCSA-N -1 1 316.332 1.392 20 0 DDADMM CC(C)OC(=O)[C@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001411864098 853854926 /nfs/dbraw/zinc/85/49/26/853854926.db2.gz HOQBWXOUNQBMOR-SECBINFHSA-N -1 1 307.350 1.377 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001411942538 854009947 /nfs/dbraw/zinc/00/99/47/854009947.db2.gz AYZYDFARFHLPAT-IUCAKERBSA-N -1 1 307.350 1.377 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCc2cncs2)n[n-]1 ZINC001412326376 854444092 /nfs/dbraw/zinc/44/40/92/854444092.db2.gz IYYFOZINFLCIPK-MRVPVSSYSA-N -1 1 323.378 1.248 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCc2cncs2)[n-]1 ZINC001412326376 854444095 /nfs/dbraw/zinc/44/40/95/854444095.db2.gz IYYFOZINFLCIPK-MRVPVSSYSA-N -1 1 323.378 1.248 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCc2cncs2)n1 ZINC001412326376 854444096 /nfs/dbraw/zinc/44/40/96/854444096.db2.gz IYYFOZINFLCIPK-MRVPVSSYSA-N -1 1 323.378 1.248 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(C)c(C)n2)n[n-]1 ZINC001412327206 854444484 /nfs/dbraw/zinc/44/44/84/854444484.db2.gz NHGNIPDTRXRYCH-JTQLQIEISA-N -1 1 317.349 1.484 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(C)c(C)n2)[n-]1 ZINC001412327206 854444494 /nfs/dbraw/zinc/44/44/94/854444494.db2.gz NHGNIPDTRXRYCH-JTQLQIEISA-N -1 1 317.349 1.484 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(C)c(C)n2)n1 ZINC001412327206 854444502 /nfs/dbraw/zinc/44/45/02/854444502.db2.gz NHGNIPDTRXRYCH-JTQLQIEISA-N -1 1 317.349 1.484 20 0 DDADMM O=C(c1nc[n-]c(=O)c1Br)N1CCC[C@@H](CF)C1 ZINC001412510746 854641529 /nfs/dbraw/zinc/64/15/29/854641529.db2.gz MUVUFCWQTRJJAQ-ZETCQYMHSA-N -1 1 318.146 1.766 20 0 DDADMM COc1ccccc1OCC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001412529778 854665096 /nfs/dbraw/zinc/66/50/96/854665096.db2.gz PBAPECPYWLFXNT-UHFFFAOYSA-N -1 1 319.365 1.272 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1[nH]ccc1C ZINC001412582503 854753704 /nfs/dbraw/zinc/75/37/04/854753704.db2.gz FWJBPUSMQJBQNR-JTQLQIEISA-N -1 1 317.349 1.595 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1[nH]ccc1C ZINC001412582503 854753708 /nfs/dbraw/zinc/75/37/08/854753708.db2.gz FWJBPUSMQJBQNR-JTQLQIEISA-N -1 1 317.349 1.595 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1[nH]ccc1C ZINC001412582503 854753711 /nfs/dbraw/zinc/75/37/11/854753711.db2.gz FWJBPUSMQJBQNR-JTQLQIEISA-N -1 1 317.349 1.595 20 0 DDADMM C[C@@H](CN(C)C(=O)CCC(F)F)NC(=O)c1ncccc1[O-] ZINC001412598407 854786400 /nfs/dbraw/zinc/78/64/00/854786400.db2.gz AOUFKLYQKWUHIB-VIFPVBQESA-N -1 1 315.320 1.409 20 0 DDADMM COC[C@@H](NC(=O)[C@H](C)c1ccc(F)cc1F)c1nn[n-]n1 ZINC001412598558 854786624 /nfs/dbraw/zinc/78/66/24/854786624.db2.gz AOZSETXHYAKNJW-RDDDGLTNSA-N -1 1 311.292 1.085 20 0 DDADMM CC[C@H](C)c1ccc(C(=O)N[C@@H](COC)c2nn[n-]n2)cc1 ZINC001412605958 854801421 /nfs/dbraw/zinc/80/14/21/854801421.db2.gz LOIZUXIBPOTTDT-GWCFXTLKSA-N -1 1 303.366 1.831 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@H]2CN(C)CC2(C)C)c1 ZINC001412671667 854913001 /nfs/dbraw/zinc/91/30/01/854913001.db2.gz CKTDTDSZDNLGPU-RCDICMHDSA-N -1 1 324.446 1.259 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@]12CCN(C(=O)c1cc([O-])cc(F)c1)C2 ZINC001276183050 854920272 /nfs/dbraw/zinc/92/02/72/854920272.db2.gz IPAXVFMTTJHBAJ-BBRMVZONSA-N -1 1 306.337 1.649 20 0 DDADMM CSc1ncc(C(=O)NCc2c[nH]c(C(C)C)n2)c(=O)[n-]1 ZINC001412686457 854948795 /nfs/dbraw/zinc/94/87/95/854948795.db2.gz HWIQNTJAAKBFRO-UHFFFAOYSA-N -1 1 307.379 1.681 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1CC12CCOCC2 ZINC001412981526 855869964 /nfs/dbraw/zinc/86/99/64/855869964.db2.gz JIVUCDCHMTWONC-SECBINFHSA-N -1 1 309.391 1.511 20 0 DDADMM CSc1ncc(C(=O)N2CCC(=O)CC23CCC3)c(=O)[n-]1 ZINC001413203109 856543619 /nfs/dbraw/zinc/54/36/19/856543619.db2.gz QLGBSZISTZBAMF-UHFFFAOYSA-N -1 1 307.375 1.632 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCc2ccoc2)n[n-]1 ZINC001413268546 856595216 /nfs/dbraw/zinc/59/52/16/856595216.db2.gz HOCBWYIMUCKPJT-SECBINFHSA-N -1 1 306.322 1.384 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCc2ccoc2)[n-]1 ZINC001413268546 856595220 /nfs/dbraw/zinc/59/52/20/856595220.db2.gz HOCBWYIMUCKPJT-SECBINFHSA-N -1 1 306.322 1.384 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCc2ccoc2)n1 ZINC001413268546 856595227 /nfs/dbraw/zinc/59/52/27/856595227.db2.gz HOCBWYIMUCKPJT-SECBINFHSA-N -1 1 306.322 1.384 20 0 DDADMM COc1cccc2cnc(NCC[N-]C(=O)C(F)(F)F)nc21 ZINC001156204041 862814585 /nfs/dbraw/zinc/81/45/85/862814585.db2.gz ZAESNCZYONQEOH-UHFFFAOYSA-N -1 1 314.267 1.729 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2C[C@@H](C)O[C@@H](C)C2)sn1 ZINC001413322099 856651009 /nfs/dbraw/zinc/65/10/09/856651009.db2.gz CVVCYFLSKFXHSM-AYMMMOKOSA-N -1 1 306.409 1.386 20 0 DDADMM Cc1conc1CS(=O)(=O)[N-][C@H]1CCCCC12OCCO2 ZINC001413322229 856651108 /nfs/dbraw/zinc/65/11/08/856651108.db2.gz SYBSGFNLYCZZLZ-LBPRGKRZSA-N -1 1 316.379 1.088 20 0 DDADMM CNC(=O)c1c(C)[nH]nc1NC(=O)c1ccc(OC)cc1[O-] ZINC001413376529 856730129 /nfs/dbraw/zinc/73/01/29/856730129.db2.gz YITIBMGJFBUMOF-UHFFFAOYSA-N -1 1 304.306 1.044 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)Nc1cnns1 ZINC001413567056 857081747 /nfs/dbraw/zinc/08/17/47/857081747.db2.gz LLKCTWYLWWYFLA-UHFFFAOYSA-N -1 1 300.290 1.886 20 0 DDADMM CC[C@H](NC(=O)c1c(C)[n-]c(=O)nc1SC)C(OC)OC ZINC001328290761 862872415 /nfs/dbraw/zinc/87/24/15/862872415.db2.gz YEJZHFUZVQQUER-QMMMGPOBSA-N -1 1 315.395 1.340 20 0 DDADMM CC(C)C(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072969312 857948601 /nfs/dbraw/zinc/94/86/01/857948601.db2.gz UZFLODRHPGUEAQ-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cc2n(n1)CCC2 ZINC001151929220 863060970 /nfs/dbraw/zinc/06/09/70/863060970.db2.gz OKKVAENKHBYNSE-UHFFFAOYSA-N -1 1 309.329 1.973 20 0 DDADMM Cc1cc2ccccc2n1CC(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123962329 859498752 /nfs/dbraw/zinc/49/87/52/859498752.db2.gz SWZMABVJBHTISS-ZDUSSCGKSA-N -1 1 310.361 1.479 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC1CCC2(COC2)CC1 ZINC001123992056 859514255 /nfs/dbraw/zinc/51/42/55/859514255.db2.gz YRIPGQIWUWNRNC-UHFFFAOYSA-N -1 1 309.391 1.468 20 0 DDADMM CN(C(=O)OC(C)(C)C)[C@H]1C[C@H](OCC[P@](=O)([O-])O)C1 ZINC001224604329 881465996 /nfs/dbraw/zinc/46/59/96/881465996.db2.gz KRBZWMATOQGJAM-MGCOHNPYSA-N -1 1 309.299 1.579 20 0 DDADMM O=C([O-])COc1ccc(CN2CCN([C@H]3CCOC3)CC2)cc1 ZINC001138920953 860230201 /nfs/dbraw/zinc/23/02/01/860230201.db2.gz OZGQOEGLOYQZTB-HNNXBMFYSA-N -1 1 320.389 1.057 20 0 DDADMM COC(=O)[C@H]1[C@@H]2CN(Cc3ccc(OCC(=O)[O-])cc3)C[C@@H]21 ZINC001138927355 860232762 /nfs/dbraw/zinc/23/27/62/860232762.db2.gz GLAWVPQZGKHYNT-NHAGDIPZSA-N -1 1 305.330 1.001 20 0 DDADMM COc1cccc(CN2CC[C@H](O)[C@H](C)C2)c1OCC(=O)[O-] ZINC001139268787 860345157 /nfs/dbraw/zinc/34/51/57/860345157.db2.gz UBKQLVYUFJHEAW-YPMHNXCESA-N -1 1 309.362 1.361 20 0 DDADMM CCC1(O)CCN(Cc2cccc(OC)c2OCC(=O)[O-])CC1 ZINC001139271621 860346806 /nfs/dbraw/zinc/34/68/06/860346806.db2.gz MNDBFCIPZAZPNJ-UHFFFAOYSA-N -1 1 323.389 1.896 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H]1CN(Cc2ccncc2[O-])CCO1 ZINC001140274215 860605058 /nfs/dbraw/zinc/60/50/58/860605058.db2.gz XEABMFFIMLLAFM-CYBMUJFWSA-N -1 1 323.393 1.513 20 0 DDADMM O=C([O-])c1ccccc1CN1CCN(C[C@@H]2CCCO2)CC1 ZINC001140506348 860648054 /nfs/dbraw/zinc/64/80/54/860648054.db2.gz GKJMWXNRZAVMHB-HNNXBMFYSA-N -1 1 304.390 1.681 20 0 DDADMM CCOc1cc(C)c([N-]S(=O)(=O)c2ccc(N)c(N)c2)cn1 ZINC001203046035 860654530 /nfs/dbraw/zinc/65/45/30/860654530.db2.gz BAHNLCPXHHOWIU-UHFFFAOYSA-N -1 1 322.390 1.754 20 0 DDADMM COc1cnc(O[C@H]2CCN(Cc3ccc(C(=O)[O-])o3)C2)nc1 ZINC001140949023 860744043 /nfs/dbraw/zinc/74/40/43/860744043.db2.gz KPYIUTBOPBHBMZ-NSHDSACASA-N -1 1 319.317 1.430 20 0 DDADMM O=C(N[C@@H]1CCn2cncc21)c1ccc2n[n-]c(=S)n2c1 ZINC001149163487 860778126 /nfs/dbraw/zinc/77/81/26/860778126.db2.gz JIDUKRFBTULPJE-SECBINFHSA-N -1 1 300.347 1.089 20 0 DDADMM COc1cc(OC)c(NC(=O)c2ccc3[nH][n-]c(=O)c3c2)cn1 ZINC001154205740 860867940 /nfs/dbraw/zinc/86/79/40/860867940.db2.gz DSPWWSJCNPFSOS-UHFFFAOYSA-N -1 1 314.301 1.933 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccnc4cccnc43)c1-2 ZINC001154299118 860963232 /nfs/dbraw/zinc/96/32/32/860963232.db2.gz MKTKMXVPLQGJDU-UHFFFAOYSA-N -1 1 305.301 1.230 20 0 DDADMM O=C(Nc1nccn1C1CC1)c1ccc2n[n-]c(=S)n2c1 ZINC001142077947 861011566 /nfs/dbraw/zinc/01/15/66/861011566.db2.gz MCGSDHDOBVJIMO-UHFFFAOYSA-N -1 1 300.347 1.802 20 0 DDADMM COc1cc(CCC(=O)NCCCC[P@](=O)([O-])O)ccn1 ZINC001142236815 861085255 /nfs/dbraw/zinc/08/52/55/861085255.db2.gz OYWXOBJOZXUQKG-UHFFFAOYSA-N -1 1 316.294 1.097 20 0 DDADMM O=C(Cc1ccc(Cl)s1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001142245162 861088057 /nfs/dbraw/zinc/08/80/57/861088057.db2.gz SYHLZVOQWYDBQY-UHFFFAOYSA-N -1 1 309.738 1.955 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nccc3c[nH]nc32)n1 ZINC001156479207 863084272 /nfs/dbraw/zinc/08/42/72/863084272.db2.gz SWEKXDZEXYCVBV-UHFFFAOYSA-N -1 1 300.278 1.110 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NC1CCN(Cc2ccncc2)CC1 ZINC001328606721 863103932 /nfs/dbraw/zinc/10/39/32/863103932.db2.gz PLVJUEKFZONZOY-HNNXBMFYSA-N -1 1 319.405 1.519 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCC[C@@H](F)C(=O)C1 ZINC001152670450 863482825 /nfs/dbraw/zinc/48/28/25/863482825.db2.gz DCUTVTKBYAHHOM-SNVBAGLBSA-N -1 1 318.304 1.789 20 0 DDADMM CCOC(=O)[C@H](C)CNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681778 863491090 /nfs/dbraw/zinc/49/10/90/863491090.db2.gz QEGBIKQIUKHQTK-SECBINFHSA-N -1 1 318.329 1.575 20 0 DDADMM COc1ccc(COCCNC(=O)c2ccc([O-])cn2)cc1 ZINC001152797369 863542186 /nfs/dbraw/zinc/54/21/86/863542186.db2.gz NFOLLDBSPAFHEG-UHFFFAOYSA-N -1 1 302.330 1.742 20 0 DDADMM CC(C)(NC(=O)c1ccc2n[n-]c(=S)n2c1)c1ncon1 ZINC001157150169 863613205 /nfs/dbraw/zinc/61/32/05/863613205.db2.gz NAEMLIKHNLCPFY-UHFFFAOYSA-N -1 1 304.335 1.066 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)c1nc2ccccc2n1C ZINC001153633052 863989448 /nfs/dbraw/zinc/98/94/48/863989448.db2.gz TWXKLGKNJZFXNY-UHFFFAOYSA-N -1 1 313.317 1.725 20 0 DDADMM Cc1nc(Cl)ccc1CC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001153812324 864126347 /nfs/dbraw/zinc/12/63/47/864126347.db2.gz VBSVGHAFSALGPU-UHFFFAOYSA-N -1 1 322.800 1.784 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1cnc2n1CCOC2 ZINC001361496301 881766252 /nfs/dbraw/zinc/76/62/52/881766252.db2.gz WNGHWNDNDJQFGJ-UHFFFAOYSA-N -1 1 315.333 1.040 20 0 DDADMM Cc1nc([C@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)no1 ZINC001330575349 864461872 /nfs/dbraw/zinc/46/18/72/864461872.db2.gz LXUDHSMZVHOPHI-LURJTMIESA-N -1 1 304.335 1.200 20 0 DDADMM CCC1(CNC(=O)C(=O)NCc2ccc([O-])c(Cl)c2)CC1 ZINC001330611926 864487522 /nfs/dbraw/zinc/48/75/22/864487522.db2.gz QNUGPLDQKNHFFH-UHFFFAOYSA-N -1 1 310.781 1.968 20 0 DDADMM CC[C@H]([NH2+]Cc1cc(=O)n2nc(N)[nH]c2n1)c1ccc(F)cc1 ZINC001331034628 864792769 /nfs/dbraw/zinc/79/27/69/864792769.db2.gz LIINAKDKDAORQZ-LBPRGKRZSA-N -1 1 316.340 1.380 20 0 DDADMM CC(C)([NH2+]Cc1cc(=O)n2nc(N)[nH]c2n1)c1cccc(F)c1 ZINC001331328322 865014841 /nfs/dbraw/zinc/01/48/41/865014841.db2.gz PTUKNXDCZFTTGS-UHFFFAOYSA-N -1 1 316.340 1.164 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H]2CCO[C@H](C)C2)[n-]c1Cl ZINC001361530380 881839523 /nfs/dbraw/zinc/83/95/23/881839523.db2.gz UTGYUSJVUFDEGW-SFYZADRCSA-N -1 1 315.757 1.993 20 0 DDADMM Cc1cc2ccncc2nc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001159195012 865160160 /nfs/dbraw/zinc/16/01/60/865160160.db2.gz KXMLKYJPEJAJHH-UHFFFAOYSA-N -1 1 309.289 1.711 20 0 DDADMM Cc1nc2c(nc(Cl)nc2[N-]c2nccnc2CN)n1C ZINC001160246036 865744957 /nfs/dbraw/zinc/74/49/57/865744957.db2.gz SXKTWVVOHMHZKJ-UHFFFAOYSA-N -1 1 304.745 1.317 20 0 DDADMM Cc1cc(Nc2c(O)[nH]c(=O)[n-]c2=S)nc2ccccc12 ZINC001160847789 866148196 /nfs/dbraw/zinc/14/81/96/866148196.db2.gz DWUPRXDWDVVMLJ-NSHDSACASA-N -1 1 300.343 1.491 20 0 DDADMM COc1cccc2ccc(Nc3c(O)[nH]c(=O)[n-]c3=S)nc21 ZINC001160850238 866149572 /nfs/dbraw/zinc/14/95/72/866149572.db2.gz VWEJRIIVGWXMEI-NSHDSACASA-N -1 1 316.342 1.191 20 0 DDADMM Cc1cc2ncnc(Nc3c(O)[nH]c(=O)[n-]c3=S)c2cc1C ZINC001160857187 866152633 /nfs/dbraw/zinc/15/26/33/866152633.db2.gz BNEGTXVHOHOJGW-SNVBAGLBSA-N -1 1 315.358 1.194 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCN(c2cnccn2)CC1 ZINC001361623612 882030764 /nfs/dbraw/zinc/03/07/64/882030764.db2.gz ZXQBOOKNJUOGAD-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)C(C)=C1CCCC1 ZINC001320705269 866966905 /nfs/dbraw/zinc/96/69/05/866966905.db2.gz PHDMUBLQALLQQG-UHFFFAOYSA-N -1 1 307.398 1.339 20 0 DDADMM Cc1onc(-c2ccccn2)c1C(=O)[N-]c1nnc2[nH]cnn12 ZINC001324335867 867210767 /nfs/dbraw/zinc/21/07/67/867210767.db2.gz SUQDUVSJSCZRGT-UHFFFAOYSA-N -1 1 310.277 1.063 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H]2OCCC[C@H]2C1 ZINC001324475141 867300268 /nfs/dbraw/zinc/30/02/68/867300268.db2.gz LUAMYYMGCLJQKI-JQWIXIFHSA-N -1 1 318.402 1.659 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@H]1COc2ccccc2O1 ZINC001324805248 867536780 /nfs/dbraw/zinc/53/67/80/867536780.db2.gz BYOQNERNRIORDK-NSHDSACASA-N -1 1 323.345 1.606 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCN(CCCF)CC2)c1 ZINC001162942297 867930096 /nfs/dbraw/zinc/93/00/96/867930096.db2.gz DTOGQEGVZLGIDR-UHFFFAOYSA-N -1 1 308.353 1.631 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NCCC[C@H]1CCCCO1 ZINC001334981088 867966524 /nfs/dbraw/zinc/96/65/24/867966524.db2.gz PVIHVVITQNBFKX-ZIAGYGMSSA-N -1 1 312.410 1.249 20 0 DDADMM COc1ccccc1-c1nnc(COC(=O)c2cn[n-]n2)o1 ZINC001322670005 868313777 /nfs/dbraw/zinc/31/37/77/868313777.db2.gz GDNHHVYXDPAXAP-UHFFFAOYSA-N -1 1 301.262 1.220 20 0 DDADMM CN(CCN(C)C(=O)c1ncccc1[O-])C(=O)CCC1CC1 ZINC001416651280 869620576 /nfs/dbraw/zinc/62/05/76/869620576.db2.gz NXKMEMOGGPBUDN-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM Cc1ccc(C2=CCN(c3nnc(-c4nnn[n-]4)n3C)CC2)cc1 ZINC001338073034 869784995 /nfs/dbraw/zinc/78/49/95/869784995.db2.gz NWPRQASPJMTPNX-UHFFFAOYSA-N -1 1 322.376 1.597 20 0 DDADMM Cc1ccc(C2=CCN(c3nnc(-c4nn[n-]n4)n3C)CC2)cc1 ZINC001338073034 869785003 /nfs/dbraw/zinc/78/50/03/869785003.db2.gz NWPRQASPJMTPNX-UHFFFAOYSA-N -1 1 322.376 1.597 20 0 DDADMM CCCCO[C@@H](C)C(=O)N[C@@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC001166125792 869848209 /nfs/dbraw/zinc/84/82/09/869848209.db2.gz CYMUJWCFBARYEE-UWVGGRQHSA-N -1 1 312.370 1.364 20 0 DDADMM CCCCO[C@@H](C)C(=O)N[C@@H](C)c1nnc(C(=O)OCC)[n-]1 ZINC001166125792 869848221 /nfs/dbraw/zinc/84/82/21/869848221.db2.gz CYMUJWCFBARYEE-UWVGGRQHSA-N -1 1 312.370 1.364 20 0 DDADMM CCCCO[C@@H](C)C(=O)N[C@@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC001166125792 869848237 /nfs/dbraw/zinc/84/82/37/869848237.db2.gz CYMUJWCFBARYEE-UWVGGRQHSA-N -1 1 312.370 1.364 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1ccnn1C[C@@H]1CCOC1 ZINC001338340366 869930562 /nfs/dbraw/zinc/93/05/62/869930562.db2.gz IZLISCMORNFWBF-WCQYABFASA-N -1 1 310.354 1.499 20 0 DDADMM Cc1cc([C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)c(C)o1 ZINC001338591293 870061403 /nfs/dbraw/zinc/06/14/03/870061403.db2.gz GNFKUCHKWXFAQR-JTQLQIEISA-N -1 1 305.334 1.014 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC001338628911 870082345 /nfs/dbraw/zinc/08/23/45/870082345.db2.gz MTLRUPDTUZYQJR-GXSJLCMTSA-N -1 1 310.781 1.967 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(-c3cnn(C)c3)c(C)n2)c1[O-] ZINC001298339599 870483213 /nfs/dbraw/zinc/48/32/13/870483213.db2.gz NFAXYTZNCNKZNB-UHFFFAOYSA-N -1 1 312.333 1.780 20 0 DDADMM O=C(NC/C=C\CNC(=O)[C@H]1C[C@H]2C[C@H]2C1)c1ncccc1[O-] ZINC001298356593 870498474 /nfs/dbraw/zinc/49/84/74/870498474.db2.gz KZRJOPVCBBUQJV-DNXSZICHSA-N -1 1 315.373 1.236 20 0 DDADMM COc1cc(NCCCNC(=O)c2cccc([O-])c2F)ncn1 ZINC001299786591 870937980 /nfs/dbraw/zinc/93/79/80/870937980.db2.gz AHBQCIVLAZLVSU-UHFFFAOYSA-N -1 1 320.324 1.562 20 0 DDADMM CC(C)(O)Cn1cc(Nc2[nH]c(=S)[n-]c(=O)c2N=O)cn1 ZINC001204163322 870974222 /nfs/dbraw/zinc/97/42/22/870974222.db2.gz YWPAPWBVSKLCLE-UHFFFAOYSA-N -1 1 310.339 1.580 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc(-c2ccco2)on1 ZINC001303199862 871107373 /nfs/dbraw/zinc/10/73/73/871107373.db2.gz SJLRIWPMCSRRRG-UHFFFAOYSA-N -1 1 316.321 1.746 20 0 DDADMM CCOC(=O)c1n[nH]c([C@@H](C)NCc2cccc(F)c2N)n1 ZINC001308840190 871531633 /nfs/dbraw/zinc/53/16/33/871531633.db2.gz IKLBYQWONONANU-MRVPVSSYSA-N -1 1 307.329 1.554 20 0 DDADMM O=S(=O)([N-]Cc1ccc2nonc2c1)c1c[nH]nc1Cl ZINC001309931058 871608890 /nfs/dbraw/zinc/60/88/90/871608890.db2.gz DTPIDXLGBWIGGM-UHFFFAOYSA-N -1 1 313.726 1.078 20 0 DDADMM C[C@@H]([C@H](Oc1c([O-])c(=O)c1=O)c1ccccc1)N1CCCC1 ZINC001226601996 882533765 /nfs/dbraw/zinc/53/37/65/882533765.db2.gz JCDXKRSLFUUKNV-ZBEGNZNMSA-N -1 1 301.342 1.593 20 0 DDADMM O=C(NCCn1ccnc1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001311067372 871672509 /nfs/dbraw/zinc/67/25/09/871672509.db2.gz UHGUXEJPCBTHQJ-UHFFFAOYSA-N -1 1 312.333 1.596 20 0 DDADMM Cc1cc(C(=O)Nc2c[n-][nH]c2=O)ccc1N1CCNC1=O ZINC001413844807 871888532 /nfs/dbraw/zinc/88/85/32/871888532.db2.gz JSXMDZBYFHONBA-UHFFFAOYSA-N -1 1 301.306 1.206 20 0 DDADMM CCN(CCCNC(=O)c1cc(C)oc1C)Cc1n[nH]c(=O)[n-]1 ZINC001316884513 872210726 /nfs/dbraw/zinc/21/07/26/872210726.db2.gz NXBQBQGZWPQOSN-UHFFFAOYSA-N -1 1 321.381 1.362 20 0 DDADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001381755602 882602781 /nfs/dbraw/zinc/60/27/81/882602781.db2.gz ZUBSSSUSBLDNIR-MROQNXINSA-N -1 1 321.425 1.321 20 0 DDADMM COc1ccc(CNC(=O)c2cnncc2[O-])c(OC)c1OC ZINC001361905938 882615553 /nfs/dbraw/zinc/61/55/53/882615553.db2.gz NDLPLSZWIVKJRH-UHFFFAOYSA-N -1 1 319.317 1.138 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccnc1)NC(=O)c1ncccc1[O-] ZINC001381813071 882728336 /nfs/dbraw/zinc/72/83/36/882728336.db2.gz ABGAHFLNPBLUCJ-LLVKDONJSA-N -1 1 314.345 1.073 20 0 DDADMM Cc1csc(CCCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)n1 ZINC001346392559 873729548 /nfs/dbraw/zinc/72/95/48/873729548.db2.gz YCQBUDFIQGDMLQ-UHFFFAOYSA-N -1 1 324.431 1.907 20 0 DDADMM O=C(c1cccc2cccnc21)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001347307061 874092539 /nfs/dbraw/zinc/09/25/39/874092539.db2.gz QOFXXICHRNQAEO-LBPRGKRZSA-N -1 1 322.372 1.843 20 0 DDADMM NC(=O)C1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])CC1 ZINC001362006345 882809149 /nfs/dbraw/zinc/80/91/49/882809149.db2.gz XDTZQRWILYZWBN-UHFFFAOYSA-N -1 1 314.341 1.589 20 0 DDADMM COc1ccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c2ccccc12 ZINC001347975839 874325031 /nfs/dbraw/zinc/32/50/31/874325031.db2.gz VGZLBFOYMXUIET-LLVKDONJSA-N -1 1 323.356 1.991 20 0 DDADMM C[C@@H](Cn1cncn1)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC001209376035 874851215 /nfs/dbraw/zinc/85/12/15/874851215.db2.gz HDINVSZEXVPLQP-VIFPVBQESA-N -1 1 324.337 1.530 20 0 DDADMM Cc1cnccc1CCNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001349368765 875137182 /nfs/dbraw/zinc/13/71/82/875137182.db2.gz OBUWGYQEENSKSN-UHFFFAOYSA-N -1 1 304.375 1.455 20 0 DDADMM Nc1cc(F)ccc1S(=O)(=O)[N-]c1ccc(CO)c(F)c1 ZINC001210488580 875407593 /nfs/dbraw/zinc/40/75/93/875407593.db2.gz ADZYJDZQVXWWOC-UHFFFAOYSA-N -1 1 314.313 1.840 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H](c1ccncc1)C1CCCCC1 ZINC001362060087 882938048 /nfs/dbraw/zinc/93/80/48/882938048.db2.gz RCTJQBBGGPDNOY-AWEZNQCLSA-N -1 1 300.366 1.575 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](C1CC1)[C@H]1CCCOC1 ZINC001350679552 875830962 /nfs/dbraw/zinc/83/09/62/875830962.db2.gz IBSSIIMXTJMQCW-CMPLNLGQSA-N -1 1 323.418 1.714 20 0 DDADMM C[C@H]1CN(CCCNC(=O)c2ccoc2CC(=O)[O-])C[C@H](C)O1 ZINC001350795036 875897265 /nfs/dbraw/zinc/89/72/65/875897265.db2.gz CJTWXISRIADTKM-RYUDHWBXSA-N -1 1 324.377 1.136 20 0 DDADMM CN(CC(=O)NCc1cccs1)C(=O)c1ccc([O-])cn1 ZINC001362082792 882989323 /nfs/dbraw/zinc/98/93/23/882989323.db2.gz MSRHYWBXJIAYBQ-UHFFFAOYSA-N -1 1 305.359 1.237 20 0 DDADMM C[C@@H](C(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-])C1CC1 ZINC001381935275 882993038 /nfs/dbraw/zinc/99/30/38/882993038.db2.gz TWIXUAOUAUZSJF-WDEREUQCSA-N -1 1 305.378 1.410 20 0 DDADMM CC[C@H](C)OCC(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001351392252 876227782 /nfs/dbraw/zinc/22/77/82/876227782.db2.gz MBHZSPDMDIBSJM-LBPRGKRZSA-N -1 1 323.393 1.181 20 0 DDADMM C[C@@H](COc1ccccc1)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227433575 883016589 /nfs/dbraw/zinc/01/65/89/883016589.db2.gz FHWKYACRPOHEHX-QMMMGPOBSA-N -1 1 302.290 1.610 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cc[nH]c1 ZINC001381961407 883064961 /nfs/dbraw/zinc/06/49/61/883064961.db2.gz JIDIACFAYGSBLR-SNVBAGLBSA-N -1 1 302.334 1.006 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc(N)cc2)c2c[nH]nc21 ZINC001215751509 876801459 /nfs/dbraw/zinc/80/14/59/876801459.db2.gz OBMRXUKQGQUEFI-UHFFFAOYSA-N -1 1 318.358 1.955 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)c2c[nH]nc21 ZINC001215757991 876801579 /nfs/dbraw/zinc/80/15/79/876801579.db2.gz CNRBWIXXMQUDLC-UHFFFAOYSA-N -1 1 318.358 1.960 20 0 DDADMM CC(C)C[C@H](C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216099185 876862844 /nfs/dbraw/zinc/86/28/44/876862844.db2.gz JYSTWWASWDVVJH-QJPTWQEYSA-N -1 1 309.414 1.129 20 0 DDADMM COc1ncc(Nc2ncc(C)c(C)n2)cc1[N-]S(C)(=O)=O ZINC001216151172 876876986 /nfs/dbraw/zinc/87/69/86/876876986.db2.gz HLMMTMFCDPURCI-UHFFFAOYSA-N -1 1 323.378 1.612 20 0 DDADMM CSCCCCC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001352972826 877049707 /nfs/dbraw/zinc/04/97/07/877049707.db2.gz WMAHWSWKGXTTFM-UHFFFAOYSA-N -1 1 311.407 1.404 20 0 DDADMM O=c1nc(O[C@H]2C[C@@H](O)C2)c2c([n-]1)CN(Cc1ccccc1)C2 ZINC001218413443 877478800 /nfs/dbraw/zinc/47/88/00/877478800.db2.gz XLPBMJGWMCPEJQ-BETUJISGSA-N -1 1 313.357 1.600 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCN([C@H]3CCOC3)CC2)c1 ZINC001300404881 877550585 /nfs/dbraw/zinc/55/05/85/877550585.db2.gz JZVXRTUAJYKXGQ-AWEZNQCLSA-N -1 1 318.373 1.060 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)CN(C)Cc2cccnc2)c1[O-] ZINC001379647398 877635595 /nfs/dbraw/zinc/63/55/95/877635595.db2.gz RRPMJFUGVYCSBJ-JTQLQIEISA-N -1 1 303.366 1.069 20 0 DDADMM CCCn1cc(CNCCc2ccc(OCC(=O)[O-])cc2)nn1 ZINC001353910213 877642425 /nfs/dbraw/zinc/64/24/25/877642425.db2.gz REOUODMDZJIPKZ-UHFFFAOYSA-N -1 1 318.377 1.484 20 0 DDADMM Nc1cccc(NC(=O)c2cc(S(=O)(=O)[O-])ccc2O)c1 ZINC001218828755 877754947 /nfs/dbraw/zinc/75/49/47/877754947.db2.gz RNSWYRVOJAWADP-UHFFFAOYSA-N -1 1 308.315 1.473 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@@H](O)c1cccc2ccccc21 ZINC001300617763 877993645 /nfs/dbraw/zinc/99/36/45/877993645.db2.gz RZAKGUOYJSXEIG-CQSZACIVSA-N -1 1 311.345 1.135 20 0 DDADMM O=S(=O)([N-]c1ccccc1CN1CCCC1)c1ncc[nH]1 ZINC000314077677 878581351 /nfs/dbraw/zinc/58/13/51/878581351.db2.gz CXUHAMBHGRUPJI-UHFFFAOYSA-N -1 1 306.391 1.806 20 0 DDADMM CCC1(C(=O)N[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])CCC1 ZINC001380433183 879644259 /nfs/dbraw/zinc/64/42/59/879644259.db2.gz IKNGFKCVCVTTAU-VXGBXAGGSA-N -1 1 319.405 1.991 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)C1CC2(CC2)C1 ZINC001380446450 879670940 /nfs/dbraw/zinc/67/09/40/879670940.db2.gz ZFTPXIQYTVKUCT-WDEREUQCSA-N -1 1 317.389 1.600 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H]2C[C@@H](OCc3nn[n-]n3)C[C@H]21 ZINC001223029736 880791043 /nfs/dbraw/zinc/79/10/43/880791043.db2.gz OSDKLNVUMOOREI-GMTAPVOTSA-N -1 1 309.370 1.504 20 0 DDADMM COC(=O)[C@@H](Oc1[n-]c(=O)nc2c1CO[C@H]2C)C(F)(F)F ZINC001227710077 883141001 /nfs/dbraw/zinc/14/10/01/883141001.db2.gz HXNZVZDTHFQRCM-MHTLYPKNSA-N -1 1 308.212 1.256 20 0 DDADMM COc1ncc(NC(=O)c2ccc(F)c([O-])c2)cc1C(N)=O ZINC001362265767 883440376 /nfs/dbraw/zinc/44/03/76/883440376.db2.gz DOOIECCYRDCAPL-UHFFFAOYSA-N -1 1 305.265 1.286 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC(OC[C@@H]2CCOC2)CC1 ZINC001362272304 883454609 /nfs/dbraw/zinc/45/46/09/883454609.db2.gz WOHZSRDFPUHKIN-GFCCVEGCSA-N -1 1 306.362 1.445 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(F)CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001382144659 883510396 /nfs/dbraw/zinc/51/03/96/883510396.db2.gz VINZVSVSUTTYLY-JTQLQIEISA-N -1 1 313.377 1.119 20 0 DDADMM CCC(=O)c1c(O)cc([O-])cc1O[C@H]1CCS(=O)(=O)C1 ZINC001228557144 883550600 /nfs/dbraw/zinc/55/06/00/883550600.db2.gz VJOIVWWRSUVLCP-VIFPVBQESA-N -1 1 300.332 1.256 20 0 DDADMM C[C@H](CNC(=O)c1ccc2ccc(O)cc2c1[O-])S(C)(=O)=O ZINC001362324562 883567453 /nfs/dbraw/zinc/56/74/53/883567453.db2.gz DAXWSXVFTOFTKM-SECBINFHSA-N -1 1 323.370 1.414 20 0 DDADMM CCc1ncc(Cl)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC001362372935 883666303 /nfs/dbraw/zinc/66/63/03/883666303.db2.gz FQIQNQFISRLKQW-MRVPVSSYSA-N -1 1 321.772 1.225 20 0 DDADMM CCC[C@]1(CO)CCCN1C(=O)c1cnc(SC)[n-]c1=O ZINC001362401921 883728706 /nfs/dbraw/zinc/72/87/06/883728706.db2.gz HQOFMKSTIOLPFY-CQSZACIVSA-N -1 1 311.407 1.671 20 0 DDADMM CCO[C@@H](C(=O)NC(CC)(CC)c1nn[n-]n1)C1CCCC1 ZINC001362420575 883771085 /nfs/dbraw/zinc/77/10/85/883771085.db2.gz DJVFSNUKNXRWCU-GFCCVEGCSA-N -1 1 309.414 1.927 20 0 DDADMM Cc1cccc(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)C)c1 ZINC001374411598 912766498 /nfs/dbraw/zinc/76/64/98/912766498.db2.gz QLDXOGLJFYJYHP-CYBMUJFWSA-N -1 1 317.393 1.363 20 0 DDADMM CC(C)[C@H](CNC(=O)CCC1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001374412223 912769417 /nfs/dbraw/zinc/76/94/17/912769417.db2.gz UNRYXOKLYHIOMT-ZDUSSCGKSA-N -1 1 323.441 1.711 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccc(F)cc1)c1ccc([O-])cn1 ZINC001362555644 884085515 /nfs/dbraw/zinc/08/55/15/884085515.db2.gz AUWLAQFIQPYJKE-GFCCVEGCSA-N -1 1 304.321 1.507 20 0 DDADMM C[C@H]1OCC[C@]1(O)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362578012 884135301 /nfs/dbraw/zinc/13/53/01/884135301.db2.gz BJKYVNXKSJXMDT-OQPBUACISA-N -1 1 319.279 1.681 20 0 DDADMM COC(=O)c1c[n-]c(=O)nc1O[C@H]1CC[C@H](C(=O)OC)CC1 ZINC001229873204 884204348 /nfs/dbraw/zinc/20/43/48/884204348.db2.gz QNXNKYQXHKWYQF-KYZUINATSA-N -1 1 310.306 1.079 20 0 DDADMM CC(C)[C@@H](NC(=O)CCCc1nn[n-]n1)C1(CO)CCCC1 ZINC001362621204 884244319 /nfs/dbraw/zinc/24/43/19/884244319.db2.gz BKZZMLMVUXNOIQ-CQSZACIVSA-N -1 1 309.414 1.216 20 0 DDADMM Cc1nc([C@@H]2COCCN2C(=O)c2cc(Cl)ccc2[O-])no1 ZINC001362647195 884311699 /nfs/dbraw/zinc/31/16/99/884311699.db2.gz FJGDWZHDRGVAOX-NSHDSACASA-N -1 1 323.736 1.951 20 0 DDADMM CSc1ncc(C(=O)Nc2cnc(CC3CC3)nc2)c(=O)[n-]1 ZINC001362809815 884695708 /nfs/dbraw/zinc/69/57/08/884695708.db2.gz FPAUFZLRFSTDGI-UHFFFAOYSA-N -1 1 317.374 1.899 20 0 DDADMM CC(C)C[C@@H](C(N)=O)N(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362814508 884705947 /nfs/dbraw/zinc/70/59/47/884705947.db2.gz ZNCVWECYIIMIPB-NSHDSACASA-N -1 1 306.366 1.032 20 0 DDADMM CSc1ncc(C(=O)Nc2nc3n(n2)CCCC3)c(=O)[n-]1 ZINC001362852586 884797520 /nfs/dbraw/zinc/79/75/20/884797520.db2.gz VGQUVJFWSWMWOF-UHFFFAOYSA-N -1 1 306.351 1.084 20 0 DDADMM NC(=O)c1ccc(CC(=O)NCc2ccc([O-])c(Cl)c2)cn1 ZINC001362853613 884799780 /nfs/dbraw/zinc/79/97/80/884799780.db2.gz JVIFGUYWRQXWJZ-UHFFFAOYSA-N -1 1 319.748 1.398 20 0 DDADMM CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)c1cccc([O-])c1F ZINC001362865980 884832079 /nfs/dbraw/zinc/83/20/79/884832079.db2.gz VLOAJYAYYGTMBS-OAHLLOKOSA-N -1 1 313.325 1.354 20 0 DDADMM COc1cccc(O)c1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001362901399 884930683 /nfs/dbraw/zinc/93/06/83/884930683.db2.gz BENMFRULEDZAMA-SNVBAGLBSA-N -1 1 317.349 1.009 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CC2(OC)CCC2)n[n-]1 ZINC001362939711 885037570 /nfs/dbraw/zinc/03/75/70/885037570.db2.gz UNWPJAHOFCNSSS-SECBINFHSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CC2(OC)CCC2)[n-]1 ZINC001362939711 885037586 /nfs/dbraw/zinc/03/75/86/885037586.db2.gz UNWPJAHOFCNSSS-SECBINFHSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CC2(OC)CCC2)n1 ZINC001362939711 885037599 /nfs/dbraw/zinc/03/75/99/885037599.db2.gz UNWPJAHOFCNSSS-SECBINFHSA-N -1 1 310.354 1.118 20 0 DDADMM CC[C@H](O[C@H]1CCC[C@H](C)C1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363035373 885285684 /nfs/dbraw/zinc/28/56/84/885285684.db2.gz CQKOUKUKQUABHH-SRVKXCTJSA-N -1 1 323.393 1.878 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cccc(O)c2)n[n-]1 ZINC001363046343 885319504 /nfs/dbraw/zinc/31/95/04/885319504.db2.gz GFFVVVANECOBJC-QMMMGPOBSA-N -1 1 304.306 1.178 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cccc(O)c2)n1 ZINC001363046343 885319523 /nfs/dbraw/zinc/31/95/23/885319523.db2.gz GFFVVVANECOBJC-QMMMGPOBSA-N -1 1 304.306 1.178 20 0 DDADMM NC(=O)OC[C@@H](Cc1ccccc1)NC(=O)c1ccc([O-])cn1 ZINC001363051546 885335964 /nfs/dbraw/zinc/33/59/64/885335964.db2.gz LWKQGQPFYGXKKI-GFCCVEGCSA-N -1 1 315.329 1.638 20 0 DDADMM Cc1noc(C(C)C)c1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363196047 885689061 /nfs/dbraw/zinc/68/90/61/885689061.db2.gz NIZCFZMYZJUTKO-UHFFFAOYSA-N -1 1 304.354 1.639 20 0 DDADMM COC(=O)[C@@H]1C[C@H]1c1ccc(NC(=O)C2=C([O-])C(C)N=N2)cc1 ZINC001363207354 885713577 /nfs/dbraw/zinc/71/35/77/885713577.db2.gz XSASDMFFHMJWOP-NWDGAFQWSA-N -1 1 315.329 1.953 20 0 DDADMM CC[C@@H]1CC[C@@H](C)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001363267053 885862954 /nfs/dbraw/zinc/86/29/54/885862954.db2.gz QPOYMQBARXBWTH-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM O=C([C@@H]1CC12CN(Cc1cc(F)c([O-])c(F)c1)C2)N1CC=CC1 ZINC001277565700 885871478 /nfs/dbraw/zinc/87/14/78/885871478.db2.gz ROIBEUBNXXAZJB-LBPRGKRZSA-N -1 1 320.339 1.891 20 0 DDADMM Cc1ccc([C@@H](C)[C@H](O)C(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001363278452 885893229 /nfs/dbraw/zinc/89/32/29/885893229.db2.gz SUJJNBMNCXYNIL-YPMHNXCESA-N -1 1 315.377 1.168 20 0 DDADMM COc1ccc(CCC(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC001363324259 886025182 /nfs/dbraw/zinc/02/51/82/886025182.db2.gz NQCJFYABZDUMLB-UHFFFAOYSA-N -1 1 303.318 1.145 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc2c([nH]c1=O)CCC2 ZINC001363357471 886121761 /nfs/dbraw/zinc/12/17/61/886121761.db2.gz RPIAMRWAPYVPIE-UHFFFAOYSA-N -1 1 316.365 1.087 20 0 DDADMM O=C([N-][C@@H]1Cc2cccnc2NC1=O)C(F)(F)c1nccs1 ZINC001363358038 886122403 /nfs/dbraw/zinc/12/24/03/886122403.db2.gz DUBURVCCFKNLRY-MRVPVSSYSA-N -1 1 324.312 1.310 20 0 DDADMM CC[C@H](C(=O)NC1(c2nn[n-]n2)CCC1)c1ccc(OC)cc1 ZINC001363376016 886169915 /nfs/dbraw/zinc/16/99/15/886169915.db2.gz JUFZOIYTERXHLF-ZDUSSCGKSA-N -1 1 315.377 1.898 20 0 DDADMM COC[C@H](NC(=O)c1ccc(C2=CCCC2)cc1)c1nn[n-]n1 ZINC001363412446 886268131 /nfs/dbraw/zinc/26/81/31/886268131.db2.gz JJCZJKGRKBVIBL-AWEZNQCLSA-N -1 1 313.361 1.885 20 0 DDADMM CCOc1ccc(CCC(=O)N[C@@H](COC)c2nn[n-]n2)cc1 ZINC001363421280 886291511 /nfs/dbraw/zinc/29/15/11/886291511.db2.gz ZZMSNOUSVYJJRB-ZDUSSCGKSA-N -1 1 319.365 1.035 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(SC(C)C)cc1)c1nn[n-]n1 ZINC001363438043 886336038 /nfs/dbraw/zinc/33/60/38/886336038.db2.gz TVQDWWFFWQINPX-GFCCVEGCSA-N -1 1 321.406 1.818 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cncc(F)c2)n[n-]1 ZINC001363471899 886430601 /nfs/dbraw/zinc/43/06/01/886430601.db2.gz ADVJCPNLQCIXSL-SSDOTTSWSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cncc(F)c2)[n-]1 ZINC001363471899 886430609 /nfs/dbraw/zinc/43/06/09/886430609.db2.gz ADVJCPNLQCIXSL-SSDOTTSWSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cncc(F)c2)n1 ZINC001363471899 886430618 /nfs/dbraw/zinc/43/06/18/886430618.db2.gz ADVJCPNLQCIXSL-SSDOTTSWSA-N -1 1 307.285 1.007 20 0 DDADMM Cc1cnc(C(=O)N2CC(=O)NC[C@H]2c2ccccc2)c([O-])c1 ZINC001363592715 886714378 /nfs/dbraw/zinc/71/43/78/886714378.db2.gz BATGHYJUEZNDDU-ZDUSSCGKSA-N -1 1 311.341 1.409 20 0 DDADMM CCOC(=O)[C@@H]1COCCN1Cc1cc(Cl)ncc1[O-] ZINC001233038123 886747189 /nfs/dbraw/zinc/74/71/89/886747189.db2.gz OHWRMOJLSAXCIW-JTQLQIEISA-N -1 1 300.742 1.205 20 0 DDADMM CCOC(=O)[C@H]1CSCCN1Cc1cc(Cl)ncc1[O-] ZINC001233038026 886748378 /nfs/dbraw/zinc/74/83/78/886748378.db2.gz MAPQJTUSOFHCIR-SNVBAGLBSA-N -1 1 316.810 1.921 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H]1CCc2ccccc2C1 ZINC001233757390 887282267 /nfs/dbraw/zinc/28/22/67/887282267.db2.gz CLKCJGCOUDDEFP-LBPRGKRZSA-N -1 1 300.314 1.905 20 0 DDADMM COC(=O)[C@@H]1C[C@H](NC(=O)c2cnncc2[O-])c2ccccc21 ZINC001363856511 887409480 /nfs/dbraw/zinc/40/94/80/887409480.db2.gz LBZKLMBSVVGLJH-YPMHNXCESA-N -1 1 313.313 1.314 20 0 DDADMM CCOC(=O)c1nc(NC(=O)/C=C\[C@@H]2CCOC2)[n-]c1Cl ZINC001289091701 913148209 /nfs/dbraw/zinc/14/82/09/913148209.db2.gz ZXFJYKOSDCHYBP-TUUFMJSCSA-N -1 1 313.741 1.771 20 0 DDADMM C[C@@H](C[C@H](C)NC(=O)C(C)(C)F)NC(=O)c1ncccc1[O-] ZINC001384500122 887581596 /nfs/dbraw/zinc/58/15/96/887581596.db2.gz NPAVSJIDDVVXMF-UWVGGRQHSA-N -1 1 311.357 1.548 20 0 DDADMM CC(=O)N[C@@H](CC(=O)Nc1c[n-][nH]c1=O)c1ccc(Cl)cc1 ZINC001364095380 887907904 /nfs/dbraw/zinc/90/79/04/887907904.db2.gz SIEVTKHHCNZCDS-NSHDSACASA-N -1 1 322.752 1.975 20 0 DDADMM COC(=O)c1c(NC(=O)C2CCCCC2)n[n-]c1OCCO ZINC001364097828 887912176 /nfs/dbraw/zinc/91/21/76/887912176.db2.gz CLAZNAXIPSNZPN-UHFFFAOYSA-N -1 1 311.338 1.086 20 0 DDADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)C1CCCCC1 ZINC001364097828 887912178 /nfs/dbraw/zinc/91/21/78/887912178.db2.gz CLAZNAXIPSNZPN-UHFFFAOYSA-N -1 1 311.338 1.086 20 0 DDADMM Cc1ccc(OC(C)C)c(COc2c(C(N)=O)nc[n-]c2=O)c1 ZINC001234466308 887994878 /nfs/dbraw/zinc/99/48/78/887994878.db2.gz HUOJYVKTHRLOLN-UHFFFAOYSA-N -1 1 317.345 1.956 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H](C)c1ncccc1F ZINC001234564620 888105776 /nfs/dbraw/zinc/10/57/76/888105776.db2.gz MNCIPZPUPIOBNN-ZETCQYMHSA-N -1 1 307.281 1.951 20 0 DDADMM CC[C@H]1OC(=O)C(Oc2[n-]c(=O)c(F)cc2C(=O)OC)=C1C ZINC001234609050 888138394 /nfs/dbraw/zinc/13/83/94/888138394.db2.gz NZRSXVRQMUSSEB-SECBINFHSA-N -1 1 311.265 1.701 20 0 DDADMM COc1cnc([C@@H]2CCCN2c2cc(Cl)nc(=O)[nH]2)[n-]c1=O ZINC001364251271 888222126 /nfs/dbraw/zinc/22/21/26/888222126.db2.gz QRPWJFQXMLVCRN-ZETCQYMHSA-N -1 1 323.740 1.681 20 0 DDADMM CC1(CC(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001385143353 888560250 /nfs/dbraw/zinc/56/02/50/888560250.db2.gz NMONWXQYHJPRRA-LLVKDONJSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(N[C@H]1Cc2ccccc2NC1=O)c1ccc([O-])cc1F ZINC001364413961 888581441 /nfs/dbraw/zinc/58/14/41/888581441.db2.gz KBLWXBJZDPPSQV-AWEZNQCLSA-N -1 1 300.289 1.825 20 0 DDADMM CC[C@@H](C)CCC(=O)Nc1n[n-]c(OCCO)c1C(=O)OC ZINC001364507006 888796239 /nfs/dbraw/zinc/79/62/39/888796239.db2.gz FGPHQBILZBZHRU-SECBINFHSA-N -1 1 313.354 1.332 20 0 DDADMM CC[C@@H](C)CCC(=O)Nc1[n-]nc(OCCO)c1C(=O)OC ZINC001364507006 888796244 /nfs/dbraw/zinc/79/62/44/888796244.db2.gz FGPHQBILZBZHRU-SECBINFHSA-N -1 1 313.354 1.332 20 0 DDADMM Cc1cc2c(c(-c3cnn(Cc4ccccn4)c3)n1)C(=O)[N-]C2=O ZINC001236433007 889231849 /nfs/dbraw/zinc/23/18/49/889231849.db2.gz KTBYMXNMBBIRAE-UHFFFAOYSA-N -1 1 319.324 1.580 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1Cc1cn(C)cn1 ZINC001364740559 889317627 /nfs/dbraw/zinc/31/76/27/889317627.db2.gz IYZWUPNZSZZDRS-ONGXEEELSA-N -1 1 304.316 1.452 20 0 DDADMM CCC(CC)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385601948 889398605 /nfs/dbraw/zinc/39/86/05/889398605.db2.gz QYYSNFPBFLWVKX-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)[C@H]1CCC[C@H]1OC)c1ccco1 ZINC001364815607 889471842 /nfs/dbraw/zinc/47/18/42/889471842.db2.gz PKAPWHGPBCYTBU-UHTWSYAYSA-N -1 1 303.380 1.454 20 0 DDADMM O=c1[nH]cccc1C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC001364898026 889629265 /nfs/dbraw/zinc/62/92/65/889629265.db2.gz ZXKABOUBOANYIM-UHFFFAOYSA-N -1 1 322.268 1.008 20 0 DDADMM O=S(=O)([N-][C@@H](c1ncccn1)C1CC1)c1c[nH]nc1Cl ZINC001364976208 889800364 /nfs/dbraw/zinc/80/03/64/889800364.db2.gz SPMDRHPRXZTZIP-SECBINFHSA-N -1 1 313.770 1.283 20 0 DDADMM C[C@@H]1CCCN(C(=O)CC[N-]S(=O)(=O)c2ccns2)C1 ZINC001364982808 889815334 /nfs/dbraw/zinc/81/53/34/889815334.db2.gz LAZWBWLPRHBMHA-SNVBAGLBSA-N -1 1 317.436 1.070 20 0 DDADMM C[C@H]1CCCN(C(=O)CC[N-]S(=O)(=O)c2ccns2)C1 ZINC001364982805 889815686 /nfs/dbraw/zinc/81/56/86/889815686.db2.gz LAZWBWLPRHBMHA-JTQLQIEISA-N -1 1 317.436 1.070 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccns1)C(=O)Nc1ccccc1 ZINC001365031272 889938555 /nfs/dbraw/zinc/93/85/55/889938555.db2.gz MJUNRJXTHLJAJE-VIFPVBQESA-N -1 1 311.388 1.449 20 0 DDADMM CCc1nc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)co1 ZINC001365052313 889988961 /nfs/dbraw/zinc/98/89/61/889988961.db2.gz YLTGHVZFUWUHHD-UHFFFAOYSA-N -1 1 300.322 1.214 20 0 DDADMM O=C(N[C@@H]1Cc2ccccc2[C@H]1O)c1cnc(C2CC2)[n-]c1=O ZINC001365160414 890222852 /nfs/dbraw/zinc/22/28/52/890222852.db2.gz JWDDJKXPXMPPFW-ZIAGYGMSSA-N -1 1 311.341 1.448 20 0 DDADMM COc1nccc(NC(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC001365179527 890274380 /nfs/dbraw/zinc/27/43/80/890274380.db2.gz FWLQAQWCQLCQMD-UHFFFAOYSA-N -1 1 324.287 1.833 20 0 DDADMM CN1CCOc2cc(-c3ccc4c(c3)CC(=O)[N-]C4=O)cnc21 ZINC001239623173 890693639 /nfs/dbraw/zinc/69/36/39/890693639.db2.gz VXRQXXKOWYSZEW-UHFFFAOYSA-N -1 1 309.325 1.390 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)OCC(F)(F)F)n[n-]1 ZINC001365435811 890793254 /nfs/dbraw/zinc/79/32/54/890793254.db2.gz FBTIOTUZIAHGCL-RXMQYKEDSA-N -1 1 310.232 1.331 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)OCC(F)(F)F)[n-]1 ZINC001365435811 890793267 /nfs/dbraw/zinc/79/32/67/890793267.db2.gz FBTIOTUZIAHGCL-RXMQYKEDSA-N -1 1 310.232 1.331 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)OCC(F)(F)F)n1 ZINC001365435811 890793281 /nfs/dbraw/zinc/79/32/81/890793281.db2.gz FBTIOTUZIAHGCL-RXMQYKEDSA-N -1 1 310.232 1.331 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1ccc(F)cc1F)c1ccns1 ZINC001365444109 890805295 /nfs/dbraw/zinc/80/52/95/890805295.db2.gz VGEFUADBSOQJPU-SNVBAGLBSA-N -1 1 320.342 1.433 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC2(C3(O)CCC3)CC2)sn1 ZINC001365468037 890852766 /nfs/dbraw/zinc/85/27/66/890852766.db2.gz MYMBWAKKQFMWGW-UHFFFAOYSA-N -1 1 318.420 1.125 20 0 DDADMM C[NH+]1CCN(Cc2ccc(-c3ccc(C(=O)[O-])nn3)cc2)CC1 ZINC001240398486 890921296 /nfs/dbraw/zinc/92/12/96/890921296.db2.gz WGOPYFOSVMIBCY-UHFFFAOYSA-N -1 1 312.373 1.589 20 0 DDADMM CC(C)[C@@H](NC(=O)Nc1nn[nH]c1C(=O)NC1CC1)C1CC1 ZINC001365539481 891020185 /nfs/dbraw/zinc/02/01/85/891020185.db2.gz UZCBFNLJKBRFIQ-SNVBAGLBSA-N -1 1 306.370 1.253 20 0 DDADMM CN1CCN(c2nccc(-c3ccc(CC(=O)[O-])cc3)n2)CC1 ZINC001240974536 891104453 /nfs/dbraw/zinc/10/44/53/891104453.db2.gz TUARRGFRAQRAFL-UHFFFAOYSA-N -1 1 312.373 1.523 20 0 DDADMM CNS(=O)(=O)c1ccc(-c2c(F)ccc([O-])c2F)nc1 ZINC001241290836 891141953 /nfs/dbraw/zinc/14/19/53/891141953.db2.gz VGWULJMAYOBVIY-UHFFFAOYSA-N -1 1 300.286 1.641 20 0 DDADMM COC(=O)[C@@](C)([N-]S(=O)(=O)Cc1ccno1)c1ccccc1 ZINC001365645716 891243626 /nfs/dbraw/zinc/24/36/26/891243626.db2.gz WWAUGIKCRBTURC-AWEZNQCLSA-N -1 1 324.358 1.182 20 0 DDADMM CN1CCN(c2nccnc2-c2cc(F)cc(F)c2[O-])CC1 ZINC001243501595 891670950 /nfs/dbraw/zinc/67/09/50/891670950.db2.gz KMAAMKLRCKNSSE-UHFFFAOYSA-N -1 1 306.316 1.879 20 0 DDADMM CC(C)CC(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001365901565 891854945 /nfs/dbraw/zinc/85/49/45/891854945.db2.gz CNCZCLSMJMMDAV-GFCCVEGCSA-N -1 1 323.441 1.663 20 0 DDADMM COc1ncc(-c2c(C)ncnc2C)cc1[N-]S(C)(=O)=O ZINC001244794239 891928652 /nfs/dbraw/zinc/92/86/52/891928652.db2.gz MSYUOPOTIZNXKM-UHFFFAOYSA-N -1 1 308.363 1.536 20 0 DDADMM COc1ncc(-c2cn3ccnc3cn2)cc1[N-]S(C)(=O)=O ZINC001244795114 891931041 /nfs/dbraw/zinc/93/10/41/891931041.db2.gz XLOBAVBJZUAIRG-UHFFFAOYSA-N -1 1 319.346 1.171 20 0 DDADMM CC(C)OC(=O)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001366263831 892937810 /nfs/dbraw/zinc/93/78/10/892937810.db2.gz MSLVSQCBOFTMPI-UHFFFAOYSA-N -1 1 318.333 1.651 20 0 DDADMM CC(C)C[C@@H](C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001387719536 893724039 /nfs/dbraw/zinc/72/40/39/893724039.db2.gz WZZPZFRPTUNGLS-LLVKDONJSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CCCC1 ZINC001388202813 894778972 /nfs/dbraw/zinc/77/89/72/894778972.db2.gz MVHVKRFVXIORFD-RYUDHWBXSA-N -1 1 317.389 1.602 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(NC[C@H](O)c3ccc(F)cc3)c2[nH]1 ZINC001252865418 895499774 /nfs/dbraw/zinc/49/97/74/895499774.db2.gz ZMQOTAAMKDYAQO-QMMMGPOBSA-N -1 1 305.269 1.000 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCO1)c1cnc(Cl)cc1Cl ZINC001253997503 896203525 /nfs/dbraw/zinc/20/35/25/896203525.db2.gz OQESCINQQMGFKX-SSDOTTSWSA-N -1 1 311.190 1.846 20 0 DDADMM O=C(NCc1nc2ccccc2[nH]1)c1cnc(C2CC2)[n-]c1=O ZINC001292873106 914060121 /nfs/dbraw/zinc/06/01/21/914060121.db2.gz VELLTPLBYAUTNF-UHFFFAOYSA-N -1 1 309.329 1.866 20 0 DDADMM CC[C@@H](C)N1CCC[C@H]1C(=O)[N-]S(=O)(=O)c1cccs1 ZINC001257445344 897853314 /nfs/dbraw/zinc/85/33/14/897853314.db2.gz ANNYEIFMVNQWAD-MNOVXSKESA-N -1 1 316.448 1.816 20 0 DDADMM O=C(NC1(CNC(=O)c2ncccc2[O-])CC1)c1ccco1 ZINC001389830741 898111727 /nfs/dbraw/zinc/11/17/27/898111727.db2.gz UUMRUBPRJQIWKP-UHFFFAOYSA-N -1 1 301.302 1.073 20 0 DDADMM COC(=O)c1ccccc1C[N-]S(=O)(=O)c1c(C)noc1C ZINC001258720359 898301026 /nfs/dbraw/zinc/30/10/26/898301026.db2.gz AIHVQCOHTVIRGU-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM CCC[C@@](C)(CC)C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001367967306 898346409 /nfs/dbraw/zinc/34/64/09/898346409.db2.gz KOJFPSLFJKSUTL-BLLLJJGKSA-N -1 1 323.441 1.015 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]c2c[nH]c(=O)c(C(F)(F)F)c2)c1 ZINC001258994144 898398215 /nfs/dbraw/zinc/39/82/15/898398215.db2.gz PRPJPEMNTRKOLU-UHFFFAOYSA-N -1 1 322.268 1.340 20 0 DDADMM CCCOC(=O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259045146 898439907 /nfs/dbraw/zinc/43/99/07/898439907.db2.gz PEDSKGVWYSOMDR-UHFFFAOYSA-N -1 1 311.281 1.335 20 0 DDADMM C=C/C(C)=C\CC(=O)N1CC[C@@](C)(NC(=O)c2cnn[nH]2)C1 ZINC001299557515 898473871 /nfs/dbraw/zinc/47/38/71/898473871.db2.gz GKMIOFIZFOZJCE-NVWZYQMFSA-N -1 1 303.366 1.048 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cc(O)cc(C(N)=O)c2)cc1C ZINC001259167836 898500289 /nfs/dbraw/zinc/50/02/89/898500289.db2.gz IWHFRFWXUXSMII-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM O=S(=O)([N-]c1ccnc(CO)c1)c1ccc2ncsc2c1 ZINC001259391630 898649507 /nfs/dbraw/zinc/64/95/07/898649507.db2.gz DVLNRQSIDUVOIK-UHFFFAOYSA-N -1 1 321.383 1.984 20 0 DDADMM O=C1OCCN1CC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259460488 898679134 /nfs/dbraw/zinc/67/91/34/898679134.db2.gz HYDCOKNGKKJVCZ-UHFFFAOYSA-N -1 1 322.745 1.210 20 0 DDADMM O=S(=O)([N-]CCc1nncs1)c1cc(Cl)ccc1F ZINC001259462068 898681936 /nfs/dbraw/zinc/68/19/36/898681936.db2.gz IBWDFLDNMVCQGH-UHFFFAOYSA-N -1 1 321.786 1.852 20 0 DDADMM Cc1cnc([N-]S(=O)(=O)c2cccc(Cl)c2F)c(=O)[nH]1 ZINC001259473851 898686705 /nfs/dbraw/zinc/68/67/05/898686705.db2.gz OLOXOGSUBANVHT-UHFFFAOYSA-N -1 1 317.729 1.672 20 0 DDADMM CC(=O)Oc1ccc(F)c([N-]S(=O)(=O)c2cnn(C)c2)c1 ZINC001259827831 898838949 /nfs/dbraw/zinc/83/89/49/898838949.db2.gz SALKKCNZGDJCKN-UHFFFAOYSA-N -1 1 313.310 1.285 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C2CCOCC2)nc1OC ZINC001259907745 898915847 /nfs/dbraw/zinc/91/58/47/898915847.db2.gz BXIGHUNXABWNLY-UHFFFAOYSA-N -1 1 302.352 1.020 20 0 DDADMM C[C@@H]1[C@H]([N-]S(=O)(=O)C(F)F)CCN1C(=O)OC(C)(C)C ZINC001259959363 898980865 /nfs/dbraw/zinc/98/08/65/898980865.db2.gz SJOSKOZMEKNWPH-HTQZYQBOSA-N -1 1 314.354 1.526 20 0 DDADMM Cc1ccnc(C2(C[N-]S(=O)(=O)C(F)F)CCOCC2)c1 ZINC001259963256 898986375 /nfs/dbraw/zinc/98/63/75/898986375.db2.gz DQUCXEKTWMBFAD-UHFFFAOYSA-N -1 1 320.361 1.580 20 0 DDADMM COCCS(=O)(=O)[N-]c1c[nH]c(C(F)(F)F)cc1=O ZINC001259966204 898991197 /nfs/dbraw/zinc/99/11/97/898991197.db2.gz QBLPXBGYQBZEMW-UHFFFAOYSA-N -1 1 300.258 1.194 20 0 DDADMM O=S(=O)([N-]CC(F)(F)CO)c1ccc(-c2cnco2)s1 ZINC001259999541 899005722 /nfs/dbraw/zinc/00/57/22/899005722.db2.gz GNLRLUHKOTULTP-UHFFFAOYSA-N -1 1 324.330 1.309 20 0 DDADMM O=C1OCC=C1[N-]S(=O)(=O)Cc1cccc(C(F)(F)F)c1 ZINC001260036605 899020855 /nfs/dbraw/zinc/02/08/55/899020855.db2.gz CXIOTFLELGVIJL-UHFFFAOYSA-N -1 1 321.276 1.566 20 0 DDADMM O=S(=O)([N-][C@@H](c1ccccc1)C(F)(F)F)c1cn[nH]c1 ZINC001260962628 899304651 /nfs/dbraw/zinc/30/46/51/899304651.db2.gz BGWYTVJPYGQAOW-JTQLQIEISA-N -1 1 305.281 1.992 20 0 DDADMM Cc1cc(C(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)c(C)s1 ZINC001390434693 899396788 /nfs/dbraw/zinc/39/67/88/899396788.db2.gz IEBAGYCKWFEZHT-SSDOTTSWSA-N -1 1 309.395 1.097 20 0 DDADMM CCn1nc(C)c(CNCC[C@H](CC(=O)[O-])C(F)(F)F)n1 ZINC001262163466 899993873 /nfs/dbraw/zinc/99/38/73/899993873.db2.gz UHMDPAJSNRIVGY-SECBINFHSA-N -1 1 308.304 1.739 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N[C@@H]1C=CCC1 ZINC001262293176 900081190 /nfs/dbraw/zinc/08/11/90/900081190.db2.gz QIKXOWCWKJRMAA-SECBINFHSA-N -1 1 310.300 1.164 20 0 DDADMM CCN(CCNC(=O)C1(C)CCCCC1)Cc1nc(=O)n(C)[n-]1 ZINC001390954286 900578437 /nfs/dbraw/zinc/57/84/37/900578437.db2.gz GISOOGKYLXOCGT-UHFFFAOYSA-N -1 1 323.441 1.017 20 0 DDADMM O=C(c1ccc2scnc2c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001263885242 900799763 /nfs/dbraw/zinc/79/97/63/900799763.db2.gz QFEYGNUQUDNTTE-VIFPVBQESA-N -1 1 300.347 1.439 20 0 DDADMM O=C(C=Cc1cccnc1)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001293568936 914557862 /nfs/dbraw/zinc/55/78/62/914557862.db2.gz RPCVDGXFWKEBOZ-FPYGCLRLSA-N -1 1 322.328 1.826 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@@H]1CC12CC2 ZINC001369820754 902033430 /nfs/dbraw/zinc/03/34/30/902033430.db2.gz FURLBEGLKDXZTB-MNOVXSKESA-N -1 1 303.362 1.164 20 0 DDADMM CCCCC(=O)NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001370184331 902702601 /nfs/dbraw/zinc/70/26/01/902702601.db2.gz FFBRKWABOBZSPD-XYYAHUGASA-N -1 1 317.389 1.412 20 0 DDADMM CC/C(C)=C/C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001266135181 903000502 /nfs/dbraw/zinc/00/05/02/903000502.db2.gz AOOMPPJVTABYAH-PKNBQFBNSA-N -1 1 307.398 1.337 20 0 DDADMM CC[C@H](CNC(=O)c1cscn1)NC(=O)c1ncccc1[O-] ZINC001375025938 914685224 /nfs/dbraw/zinc/68/52/24/914685224.db2.gz KFCHXYPZQHHKCQ-SECBINFHSA-N -1 1 320.374 1.182 20 0 DDADMM C[C@H](CCCNC(=O)C(C)(C)F)NC(=O)c1ncccc1[O-] ZINC001280811223 904071854 /nfs/dbraw/zinc/07/18/54/904071854.db2.gz BSGFQLNYZNNBMU-SNVBAGLBSA-N -1 1 311.357 1.550 20 0 DDADMM CCN(CCN[C@H](C)c1nc(C)no1)C(=O)c1ncccc1[O-] ZINC001392439650 904112252 /nfs/dbraw/zinc/11/22/52/904112252.db2.gz JGVMDKXJDGWQME-SNVBAGLBSA-N -1 1 319.365 1.292 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CCCc1ccccc1 ZINC001392506163 904302968 /nfs/dbraw/zinc/30/29/68/904302968.db2.gz UICNOAFNSJOFTH-GFCCVEGCSA-N -1 1 317.393 1.128 20 0 DDADMM CC(C)c1nc(CNC[C@H](C)NC(=O)c2ncccc2[O-])no1 ZINC001392553101 904446698 /nfs/dbraw/zinc/44/66/98/904446698.db2.gz CWBYCDZVKCQRCD-JTQLQIEISA-N -1 1 319.365 1.202 20 0 DDADMM CC[C@@]1(C(C)C)C[C@@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282981398 906393225 /nfs/dbraw/zinc/39/32/25/906393225.db2.gz KUEZJCIKHCYLPD-PXAZEXFGSA-N -1 1 319.405 1.706 20 0 DDADMM CCO[C@@H](CC)C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001283525665 907536822 /nfs/dbraw/zinc/53/68/22/907536822.db2.gz IRECMXYAQUCYOT-ZDUSSCGKSA-N -1 1 323.393 1.181 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)C1CCCCCC1 ZINC001393920499 908251306 /nfs/dbraw/zinc/25/13/06/908251306.db2.gz DEXNQIVDPVOSSO-GHMZBOCLSA-N -1 1 309.414 1.464 20 0 DDADMM CC[C@H](C)CC(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001394171658 909011322 /nfs/dbraw/zinc/01/13/22/909011322.db2.gz BQSAJEWGSFXGHF-LBPRGKRZSA-N -1 1 323.441 1.855 20 0 DDADMM C/C=C(\C)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001284858653 909581247 /nfs/dbraw/zinc/58/12/47/909581247.db2.gz FNBCTZOKTMJMKO-JPOQUURFSA-N -1 1 303.362 1.474 20 0 DDADMM CN(CCNC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)C1(C)CC1 ZINC001373452460 909894090 /nfs/dbraw/zinc/89/40/90/909894090.db2.gz XRZIMDIQMXGUIT-UHFFFAOYSA-N -1 1 318.377 1.048 20 0 DDADMM CC[C@@H](CC(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1)C(C)C ZINC001394536234 909930560 /nfs/dbraw/zinc/93/05/60/909930560.db2.gz HQWDLRFYWAUGDV-NEPJUHHUSA-N -1 1 311.430 1.519 20 0 DDADMM CCC(=O)NC/C=C/CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001285444954 910532184 /nfs/dbraw/zinc/53/21/84/910532184.db2.gz IJUHIMBWWMSFKH-VOTSOKGWSA-N -1 1 320.393 1.426 20 0 DDADMM CC/C(C)=C/C(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001285654248 910977332 /nfs/dbraw/zinc/97/73/32/910977332.db2.gz DPVKKMACXZRUHE-LMMOQWNQSA-N -1 1 305.378 1.720 20 0 DDADMM CC1CC(C(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCCC2)C1 ZINC001373841971 911169294 /nfs/dbraw/zinc/16/92/94/911169294.db2.gz UPCKYVDQMHBHJW-UHFFFAOYSA-N -1 1 307.398 1.075 20 0 DDADMM O=C(c1ccnc(-c2ccco2)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001295410872 915764076 /nfs/dbraw/zinc/76/40/76/915764076.db2.gz IVALHCGZZNVXMR-LBPRGKRZSA-N -1 1 324.344 1.875 20 0 DDADMM Cc1cc(NC(=O)c2cnncc2[O-])cnc1Br ZINC001295545938 915887389 /nfs/dbraw/zinc/88/73/89/915887389.db2.gz UXMPPACFZGXLPH-UHFFFAOYSA-N -1 1 309.123 1.900 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)/C=C\C(C)(C)C ZINC001296045206 916207006 /nfs/dbraw/zinc/20/70/06/916207006.db2.gz NGEFTJHHODOWQY-FPLPWBNLSA-N -1 1 305.378 1.578 20 0 DDADMM C/C=C\C[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)OCC ZINC001296212525 916279099 /nfs/dbraw/zinc/27/90/99/916279099.db2.gz IPVSZRHQXKNHBJ-KTLBGCOUSA-N -1 1 319.361 1.687 20 0 DDADMM Nc1cc(F)c(F)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001296865053 916604466 /nfs/dbraw/zinc/60/44/66/916604466.db2.gz YWBZBBMHNUYUSF-SSDOTTSWSA-N -1 1 324.287 1.655 20 0 DDADMM O=C(NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1)C1=CCCCCC1 ZINC001376204444 917956751 /nfs/dbraw/zinc/95/67/51/917956751.db2.gz IFDYVTBNFAESFO-CYBMUJFWSA-N -1 1 319.409 1.385 20 0 DDADMM CC[C@H](C)C(=O)NC[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001376960765 919907783 /nfs/dbraw/zinc/90/77/83/919907783.db2.gz JPDBMTANLHEJQT-VHSXEESVSA-N -1 1 320.393 1.340 20 0 DDADMM CC[C@@H](F)CN(C)C[C@@H]1CCCN1C(=O)c1n[nH]c(C)c1[O-] ZINC001377637718 922537136 /nfs/dbraw/zinc/53/71/36/922537136.db2.gz WNMNXFSHUQBDCH-NEPJUHHUSA-N -1 1 312.389 1.708 20 0 DDADMM C[C@@H](NC(=O)C12CCC(CC1)C2)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001377885957 923330466 /nfs/dbraw/zinc/33/04/66/923330466.db2.gz NWIQBMFYELMGAY-WZAYZJRCSA-N -1 1 307.398 1.073 20 0 DDADMM C[C@@H](NC(=O)C(C)(C)C(C)(F)F)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001377923727 923430575 /nfs/dbraw/zinc/43/05/75/923430575.db2.gz YBAOLHRAEWDIPJ-JGVFFNPUSA-N -1 1 319.356 1.175 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)NC(C)C)CC2)n1 ZINC000278321149 214089399 /nfs/dbraw/zinc/08/93/99/214089399.db2.gz SOCOMXKGYSIIJI-UHFFFAOYSA-N -1 1 309.370 1.279 20 0 DDADMM CC[C@H]1C[C@H](CC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)CCO1 ZINC000622871128 365552059 /nfs/dbraw/zinc/55/20/59/365552059.db2.gz RIVGWDLEYKEGGD-MNOVXSKESA-N -1 1 319.365 1.260 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCO[C@H](CC)C3)nc2n1 ZINC000622994073 365586918 /nfs/dbraw/zinc/58/69/18/365586918.db2.gz CMFZARRTDFRKQY-MWLCHTKSSA-N -1 1 319.365 1.124 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)C3CCCC3)nc2n1 ZINC000622994316 365587535 /nfs/dbraw/zinc/58/75/35/365587535.db2.gz KMARBDORRJAPPM-SECBINFHSA-N -1 1 303.366 1.745 20 0 DDADMM CCCCO[C@H](C)C(=O)Nc1nc2nc(CCC)cc(=O)n2[n-]1 ZINC000622996573 365590509 /nfs/dbraw/zinc/59/05/09/365590509.db2.gz RSUOSGFCMMKJDX-SNVBAGLBSA-N -1 1 321.381 1.514 20 0 DDADMM CCn1ncc(Cl)c1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000623019493 365601210 /nfs/dbraw/zinc/60/12/10/365601210.db2.gz WPAKPWIIFVZEOH-UHFFFAOYSA-N -1 1 309.761 1.269 20 0 DDADMM O=C(C(=O)N1CCn2c(nnc2C(F)F)C1)c1ccc([O-])cc1 ZINC000614233971 361765290 /nfs/dbraw/zinc/76/52/90/361765290.db2.gz QPGJADSDKWCJSC-UHFFFAOYSA-N -1 1 322.271 1.146 20 0 DDADMM CO[C@@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)C1CCCC1 ZINC000614391121 361834428 /nfs/dbraw/zinc/83/44/28/361834428.db2.gz OJOGUJLYEAACPK-NWDGAFQWSA-N -1 1 309.366 1.686 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1sccc1Cl)C(=O)NC(C)(C)C ZINC000451119224 231012965 /nfs/dbraw/zinc/01/29/65/231012965.db2.gz ZRMUBMWAVHLTNL-ZETCQYMHSA-N -1 1 324.855 1.983 20 0 DDADMM CC[S@@](=O)CC(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000431859480 529446804 /nfs/dbraw/zinc/44/68/04/529446804.db2.gz RHKQOXGMPMVAFU-OAQYLSRUSA-N -1 1 313.329 1.484 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCC[C@@H]2CCOC2)sc1C ZINC000452021336 231272165 /nfs/dbraw/zinc/27/21/65/231272165.db2.gz SLNKAXLPMRQJGY-LLVKDONJSA-N -1 1 304.437 1.855 20 0 DDADMM CC[C@@](C)(CNC(=O)OC(C)(C)C)C(=O)[N-]O[C@H]1CCOC1 ZINC000495046033 529498889 /nfs/dbraw/zinc/49/88/89/529498889.db2.gz IDDHVCHGDTUIRP-NHYWBVRUSA-N -1 1 316.398 1.764 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1ccc(C(=O)OC)cc1)C(F)F ZINC000451086896 529641403 /nfs/dbraw/zinc/64/14/03/529641403.db2.gz UAEWZTVBODBPOH-NSHDSACASA-N -1 1 321.345 1.936 20 0 DDADMM CC[C@H](C)CO[N-]C(=O)C(=O)Nc1cc2cn[nH]c2cc1C ZINC000293066279 529670019 /nfs/dbraw/zinc/67/00/19/529670019.db2.gz APLJHUIZNLUJPC-VIFPVBQESA-N -1 1 304.350 1.904 20 0 DDADMM C[C@@H](CCO)CNC(=O)c1cc(Br)ccc1[O-] ZINC000154264775 290665295 /nfs/dbraw/zinc/66/52/95/290665295.db2.gz GRXKHRSMMHJLTF-QMMMGPOBSA-N -1 1 302.168 1.903 20 0 DDADMM COc1cc(C=CC(=O)Nc2nnn[n-]2)ccc1OC(F)F ZINC000255125435 282235121 /nfs/dbraw/zinc/23/51/21/282235121.db2.gz ANRLXOAUSLLOQR-HYXAFXHYSA-N -1 1 311.248 1.462 20 0 DDADMM COc1cc(C=CC(=O)Nc2nn[n-]n2)ccc1OC(F)F ZINC000255125435 282235123 /nfs/dbraw/zinc/23/51/23/282235123.db2.gz ANRLXOAUSLLOQR-HYXAFXHYSA-N -1 1 311.248 1.462 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccco1)C(=O)c1ccc([O-])cc1 ZINC000563830929 303977038 /nfs/dbraw/zinc/97/70/38/303977038.db2.gz UTPQXUIRMXKNOK-LLVKDONJSA-N -1 1 303.314 1.135 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CO[C@@H]2CCC[C@H](C)C2)n[n-]1 ZINC000615918495 362485648 /nfs/dbraw/zinc/48/56/48/362485648.db2.gz TZVKGSMHRBOIOR-WDEREUQCSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CO[C@@H]2CCC[C@H](C)C2)n1 ZINC000615918495 362485655 /nfs/dbraw/zinc/48/56/55/362485655.db2.gz TZVKGSMHRBOIOR-WDEREUQCSA-N -1 1 324.381 1.193 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2ccc(C)c(C)n2)co1 ZINC000458006523 530025850 /nfs/dbraw/zinc/02/58/50/530025850.db2.gz LVFSEWULCOFSNG-UHFFFAOYSA-N -1 1 323.374 1.130 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCCN2C2CC2)c1Br ZINC000616009631 362519158 /nfs/dbraw/zinc/51/91/58/362519158.db2.gz XWVDMIAGNDVUQG-VIFPVBQESA-N -1 1 313.199 1.468 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H](C)OCC2CC2)c1Br ZINC000616010781 362520467 /nfs/dbraw/zinc/52/04/67/362520467.db2.gz RDOWXQZBUXNICD-SSDOTTSWSA-N -1 1 302.172 1.656 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC(C)(C)C(F)(F)F)co1 ZINC000616203960 362585438 /nfs/dbraw/zinc/58/54/38/362585438.db2.gz MSKVSKKXKGZOMB-UHFFFAOYSA-N -1 1 314.285 1.258 20 0 DDADMM COc1ccccc1SCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000358377419 299135930 /nfs/dbraw/zinc/13/59/30/299135930.db2.gz JNJCAMJLAWVLKB-SNVBAGLBSA-N -1 1 321.406 1.563 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc2c1OCC2(C)C)c1nn[n-]n1 ZINC000279723476 215093006 /nfs/dbraw/zinc/09/30/06/215093006.db2.gz LTIPIYJNRBXEHM-JTQLQIEISA-N -1 1 315.377 1.745 20 0 DDADMM C[C@@H](N=c1ccc(N2CCN(C)C(=O)C2)n[n-]1)c1ccccc1 ZINC000564561267 304001085 /nfs/dbraw/zinc/00/10/85/304001085.db2.gz PWPLWHWUMSWVDJ-CYBMUJFWSA-N -1 1 311.389 1.350 20 0 DDADMM FC(F)(F)c1nsc(=NCCN2C[C@H]3CC[C@@H](C2)O3)[n-]1 ZINC000564705783 304008421 /nfs/dbraw/zinc/00/84/21/304008421.db2.gz GYTVEKRAEMICRW-OCAPTIKFSA-N -1 1 308.329 1.254 20 0 DDADMM COC[C@@H](C)CNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000149770930 186052398 /nfs/dbraw/zinc/05/23/98/186052398.db2.gz YQBDYYGYMKDGLR-VIFPVBQESA-N -1 1 313.423 1.132 20 0 DDADMM C[C@H](NC(=O)[C@H](C)N1CCC(Cc2ccccc2)CC1)C(=O)[O-] ZINC000237493409 186297493 /nfs/dbraw/zinc/29/74/93/186297493.db2.gz ZUBJEZKLLFYPNW-KBPBESRZSA-N -1 1 318.417 1.919 20 0 DDADMM C[C@@H](Cn1ccnc1)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000264248778 186326950 /nfs/dbraw/zinc/32/69/50/186326950.db2.gz ABWIEPSRPHZEOH-QMMMGPOBSA-N -1 1 319.308 1.667 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)Cc1ccccc1F)C(F)(F)F ZINC000270470158 186399972 /nfs/dbraw/zinc/39/99/72/186399972.db2.gz HHEKXVAEKVDKQF-JTQLQIEISA-N -1 1 315.288 1.558 20 0 DDADMM CC(C)n1cnnc1[C@@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000172229455 198061205 /nfs/dbraw/zinc/06/12/05/198061205.db2.gz ZGLMUTDJOXWRMT-GFCCVEGCSA-N -1 1 315.377 1.979 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2csc(=NC3CC3)[n-]2)c2ncnn21 ZINC000617442790 363111833 /nfs/dbraw/zinc/11/18/33/363111833.db2.gz RBFCOHDZKUWHIE-APPZFPTMSA-N -1 1 304.379 1.167 20 0 DDADMM CCN(C(=O)c1nn(-c2ccccc2)cc1[O-])[C@H]1CCN(C)C1 ZINC000617550737 363171654 /nfs/dbraw/zinc/17/16/54/363171654.db2.gz BSBWHIFEIQMIBT-AWEZNQCLSA-N -1 1 314.389 1.744 20 0 DDADMM O=C([N-]C[C@@H]1CN(Cc2ccccc2)CCO1)C(F)(F)F ZINC000034382629 352292100 /nfs/dbraw/zinc/29/21/00/352292100.db2.gz SGYDZKNULWQYIA-GFCCVEGCSA-N -1 1 302.296 1.566 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN(c2ncccn2)CC1 ZINC000072869640 191245184 /nfs/dbraw/zinc/24/51/84/191245184.db2.gz ITYKKJAAGFKGHR-UHFFFAOYSA-N -1 1 318.764 1.798 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CC(=O)N1 ZINC000331888494 234239676 /nfs/dbraw/zinc/23/96/76/234239676.db2.gz DXSBNUUURQUHHU-RKDXNWHRSA-N -1 1 304.375 1.413 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2C[C@@H]2c2ccccc2)o1 ZINC000067811121 353068365 /nfs/dbraw/zinc/06/83/65/353068365.db2.gz JJLURAFCDBQGGM-VXGBXAGGSA-N -1 1 320.370 1.474 20 0 DDADMM O=S(=O)([N-]CCOC[C@H]1CCOC1)c1cc(F)ccc1F ZINC000068942944 353138265 /nfs/dbraw/zinc/13/82/65/353138265.db2.gz RHHINDSIABCIAI-JTQLQIEISA-N -1 1 321.345 1.296 20 0 DDADMM C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)c2ccc(Cl)cc2[O-])CC1=O ZINC000075251125 353356021 /nfs/dbraw/zinc/35/60/21/353356021.db2.gz DTBMAZUHEBIKGO-UISBYWKRSA-N -1 1 308.765 1.785 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H](O)c1cccc(F)c1)c1nn[n-]n1 ZINC000089307680 353765082 /nfs/dbraw/zinc/76/50/82/353765082.db2.gz VENMJBZEWFARNF-NEPJUHHUSA-N -1 1 307.329 1.420 20 0 DDADMM C[C@H](CN(C)C(=O)CSc1ccc(F)cc1)c1nn[n-]n1 ZINC000124269031 354037737 /nfs/dbraw/zinc/03/77/37/354037737.db2.gz WDBUYRPXXOIJQA-SECBINFHSA-N -1 1 309.370 1.693 20 0 DDADMM O=C(NC[C@H]1CCCS1(=O)=O)c1cc2ccccc2cc1[O-] ZINC000127032440 354060498 /nfs/dbraw/zinc/06/04/98/354060498.db2.gz HDANAWATPNZZCZ-CYBMUJFWSA-N -1 1 319.382 1.852 20 0 DDADMM CCO[C@H]1C[C@@H](NC(=O)c2ncc(C)cc2[O-])C12CCOCC2 ZINC000333015634 354574735 /nfs/dbraw/zinc/57/47/35/354574735.db2.gz RLCQCZWCJHCUCU-KGLIPLIRSA-N -1 1 320.389 1.800 20 0 DDADMM COC(=O)[C@@]1(NC(=O)Cc2ccc([O-])c(Cl)c2)CCOC1 ZINC000601304454 358487688 /nfs/dbraw/zinc/48/76/88/358487688.db2.gz NIGKHLNGIAKZGK-CQSZACIVSA-N -1 1 313.737 1.036 20 0 DDADMM CC(C)N(CCOCCO)C(=O)c1cc(Cl)ccc1[O-] ZINC000394473915 354648619 /nfs/dbraw/zinc/64/86/19/354648619.db2.gz LIBHIGCYYSJMJE-UHFFFAOYSA-N -1 1 301.770 1.905 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)C(=O)[N-]O[C@@H]1CCCCO1 ZINC000276998172 213228554 /nfs/dbraw/zinc/22/85/54/213228554.db2.gz OAVGLFKJADDWNG-CYBMUJFWSA-N -1 1 318.333 1.384 20 0 DDADMM COC(=O)CCCCCS(=O)(=O)[N-][C@H]1CCCC1(F)F ZINC000588144674 354904532 /nfs/dbraw/zinc/90/45/32/354904532.db2.gz RGURLAKWNWHWDN-JTQLQIEISA-N -1 1 313.366 1.827 20 0 DDADMM COc1ccc(-c2nc([N-]C(=O)c3cocn3)n[nH]2)cc1OC ZINC000591425641 355308390 /nfs/dbraw/zinc/30/83/90/355308390.db2.gz GSFBKTSUCVPALA-UHFFFAOYSA-N -1 1 315.289 1.729 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCOCC2CC2)o1 ZINC000358870073 291063830 /nfs/dbraw/zinc/06/38/30/291063830.db2.gz ZGAKIEDQONVFHU-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)C[C@@H](OC)C1CC1 ZINC000592072995 355483186 /nfs/dbraw/zinc/48/31/86/355483186.db2.gz CHHBQCBDUFYCDT-VXGBXAGGSA-N -1 1 321.439 1.309 20 0 DDADMM COc1cc(C(=O)NCCCc2nncn2C)cc(Cl)c1[O-] ZINC000565202370 304052013 /nfs/dbraw/zinc/05/20/13/304052013.db2.gz AQRKVTADBMWFHZ-UHFFFAOYSA-N -1 1 324.768 1.545 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CC[C@H](C)C2)c1 ZINC000360347005 306980713 /nfs/dbraw/zinc/98/07/13/306980713.db2.gz RBZYXSIQXRNHIL-VHSXEESVSA-N -1 1 301.364 1.781 20 0 DDADMM O=C([O-])CCN1Cc2ccccc2C[C@@H]1C(=O)N1CCCC1 ZINC000262263810 304053665 /nfs/dbraw/zinc/05/36/65/304053665.db2.gz RPFVMYLYIZNQJN-OAHLLOKOSA-N -1 1 302.374 1.510 20 0 DDADMM CC[C@]1([N-]S(=O)(=O)c2cc(C)c(C(=O)OC)o2)CCOC1 ZINC000595323899 356449369 /nfs/dbraw/zinc/44/93/69/356449369.db2.gz BYMIEFVGDJUGMB-ZDUSSCGKSA-N -1 1 317.363 1.222 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](C)CCCCO)cc1C ZINC000595335795 356453261 /nfs/dbraw/zinc/45/32/61/356453261.db2.gz VQTISKWADZFNBG-JTQLQIEISA-N -1 1 319.379 1.204 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ccnc(C)c2)cc1C ZINC000595333598 356453285 /nfs/dbraw/zinc/45/32/85/356453285.db2.gz KUIFQELERPGYJN-UHFFFAOYSA-N -1 1 324.358 1.557 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H](C)C2CC2)cc1C ZINC000595305278 356441822 /nfs/dbraw/zinc/44/18/22/356441822.db2.gz DIHPIHKSECRMJM-VIFPVBQESA-N -1 1 301.364 1.699 20 0 DDADMM CCn1cc(CNC(=O)c2ccc(C(F)(F)F)c([O-])c2)nn1 ZINC000618151245 363478051 /nfs/dbraw/zinc/47/80/51/363478051.db2.gz RNPIFSYXGCCIBT-UHFFFAOYSA-N -1 1 314.267 1.952 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C)C(=O)OC(C)(C)C)sn1 ZINC000601340648 358507110 /nfs/dbraw/zinc/50/71/10/358507110.db2.gz TYGKNWNFFCPWFB-MRVPVSSYSA-N -1 1 306.409 1.460 20 0 DDADMM CSCCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000601350535 358511636 /nfs/dbraw/zinc/51/16/36/358511636.db2.gz RRQRZRHOJFFZQX-UHFFFAOYSA-N -1 1 303.331 1.460 20 0 DDADMM CCOC(=O)Cc1nnc([N-]C(=O)c2ncccc2F)s1 ZINC000597430102 357173184 /nfs/dbraw/zinc/17/31/84/357173184.db2.gz HQDYXNOVCNPMCX-UHFFFAOYSA-N -1 1 310.310 1.430 20 0 DDADMM CCSC1(CNC(=O)c2coc(S(=O)(=O)[N-]C)c2)CC1 ZINC000598331438 357541314 /nfs/dbraw/zinc/54/13/14/357541314.db2.gz ZPNJZTRCDQQTBW-UHFFFAOYSA-N -1 1 318.420 1.203 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2c([O-])cccc2F)CS1(=O)=O ZINC000618325831 363588631 /nfs/dbraw/zinc/58/86/31/363588631.db2.gz NPUPWPMDKVGPLP-IUCAKERBSA-N -1 1 301.339 1.227 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2c(F)ccc([O-])c2F)CS1(=O)=O ZINC000618325162 363588704 /nfs/dbraw/zinc/58/87/04/363588704.db2.gz FFMRWBFDASCRDM-JGVFFNPUSA-N -1 1 319.329 1.366 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H](C)c2ccccn2)co1 ZINC000179375990 199024037 /nfs/dbraw/zinc/02/40/37/199024037.db2.gz IFPRXSNWSXZKKI-JTQLQIEISA-N -1 1 323.374 1.416 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(-c2ccc(Cl)cc2)n[nH]1 ZINC000599377758 357916118 /nfs/dbraw/zinc/91/61/18/357916118.db2.gz ZZQPSWABWOUCMK-UHFFFAOYSA-N -1 1 303.713 1.173 20 0 DDADMM C[C@@H](Oc1ccc(Cl)c(Cl)c1)C(=O)NCc1nn[n-]n1 ZINC000600493443 358239277 /nfs/dbraw/zinc/23/92/77/358239277.db2.gz ATOITYPKNSZXCX-ZCFIWIBFSA-N -1 1 316.148 1.590 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc2[nH]c3c(c2c1)CCCCC3 ZINC000600500983 358242122 /nfs/dbraw/zinc/24/21/22/358242122.db2.gz IOTXCEMUEAGKBO-UHFFFAOYSA-N -1 1 310.361 1.880 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccccc1)c1cccs1 ZINC000348112885 283374989 /nfs/dbraw/zinc/37/49/89/283374989.db2.gz DELBYOJZANQLIA-GFCCVEGCSA-N -1 1 311.384 1.941 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccc(C(=O)OC)c(F)c2)c1=O ZINC000601949144 358749737 /nfs/dbraw/zinc/74/97/37/358749737.db2.gz RZMHJIICCOOCRK-MRVPVSSYSA-N -1 1 308.265 1.124 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccc(Br)cc2)c1=O ZINC000601930947 358742963 /nfs/dbraw/zinc/74/29/63/358742963.db2.gz LFJBLPXQHUBBCF-QMMMGPOBSA-N -1 1 311.135 1.961 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCSCc1ccccc1F ZINC000602046338 358790313 /nfs/dbraw/zinc/79/03/13/358790313.db2.gz SGJXXQIZXKPXDM-UHFFFAOYSA-N -1 1 323.397 1.711 20 0 DDADMM CC(C)=C[C@H]1[C@@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1(C)C ZINC000624722493 366521294 /nfs/dbraw/zinc/52/12/94/366521294.db2.gz JVEWQLDFBIBMOQ-IUCAKERBSA-N -1 1 312.395 1.385 20 0 DDADMM CC(C)=C[C@H]1[C@@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1(C)C ZINC000624722493 366521300 /nfs/dbraw/zinc/52/13/00/366521300.db2.gz JVEWQLDFBIBMOQ-IUCAKERBSA-N -1 1 312.395 1.385 20 0 DDADMM COC(=O)c1ccc(CN2CCC(c3n[n-]c(=N)o3)CC2)cc1 ZINC000602863606 359248905 /nfs/dbraw/zinc/24/89/05/359248905.db2.gz OWDSEUSRNWKHCV-UHFFFAOYSA-N -1 1 316.361 1.648 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2c(F)cc(C)cc2F)n[n-]1 ZINC000603019565 359361167 /nfs/dbraw/zinc/36/11/67/359361167.db2.gz ASGMTMMFIFVLGZ-UHFFFAOYSA-N -1 1 324.287 1.498 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2c(F)cc(C)cc2F)n1 ZINC000603019565 359361172 /nfs/dbraw/zinc/36/11/72/359361172.db2.gz ASGMTMMFIFVLGZ-UHFFFAOYSA-N -1 1 324.287 1.498 20 0 DDADMM COCc1ccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000618487297 363649544 /nfs/dbraw/zinc/64/95/44/363649544.db2.gz PMVDLFJHMNWTER-OAHLLOKOSA-N -1 1 323.356 1.866 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2CC3(CCC3)C2)n[n-]1 ZINC000603152224 359439188 /nfs/dbraw/zinc/43/91/88/359439188.db2.gz BPPBXPZNMPZCKR-VIFPVBQESA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2CC3(CCC3)C2)[n-]1 ZINC000603152224 359439192 /nfs/dbraw/zinc/43/91/92/359439192.db2.gz BPPBXPZNMPZCKR-VIFPVBQESA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2CC3(CCC3)C2)n1 ZINC000603152224 359439195 /nfs/dbraw/zinc/43/91/95/359439195.db2.gz BPPBXPZNMPZCKR-VIFPVBQESA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(C)cc(F)c2)n[n-]1 ZINC000603153058 359440601 /nfs/dbraw/zinc/44/06/01/359440601.db2.gz GESWMTSPAZXQED-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(C)cc(F)c2)[n-]1 ZINC000603153058 359440607 /nfs/dbraw/zinc/44/06/07/359440607.db2.gz GESWMTSPAZXQED-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(C)cc(F)c2)n1 ZINC000603153058 359440613 /nfs/dbraw/zinc/44/06/13/359440613.db2.gz GESWMTSPAZXQED-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)c1nccs1 ZINC000186689258 199991876 /nfs/dbraw/zinc/99/18/76/199991876.db2.gz XIJGGMZZQLVCDG-SSDOTTSWSA-N -1 1 320.827 1.612 20 0 DDADMM CCc1oc(C(=O)OC)cc1S(=O)(=O)[N-][C@H](C)C(F)F ZINC000603424919 359633220 /nfs/dbraw/zinc/63/32/20/359633220.db2.gz UHTSZNTUZXTGEV-ZCFIWIBFSA-N -1 1 311.306 1.561 20 0 DDADMM CCN(C)C(=O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000187252455 200083166 /nfs/dbraw/zinc/08/31/66/200083166.db2.gz RLYPQXKKZJXXHV-UHFFFAOYSA-N -1 1 304.268 1.619 20 0 DDADMM COCCOCCOCCN(C)C(=O)c1ccc([O-])cc1F ZINC000276269408 212765805 /nfs/dbraw/zinc/76/58/05/212765805.db2.gz SWJXICVNFDGXJX-UHFFFAOYSA-N -1 1 315.341 1.283 20 0 DDADMM C[C@@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)c1cccnc1 ZINC000604601103 359774103 /nfs/dbraw/zinc/77/41/03/359774103.db2.gz HGXDRBLFLPSREM-BLLLJJGKSA-N -1 1 322.372 1.994 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605381579 359848396 /nfs/dbraw/zinc/84/83/96/359848396.db2.gz BKMNBMUBTXNOQN-LLVKDONJSA-N -1 1 301.350 1.553 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@]1(C)CC1(F)F ZINC000618604088 363688830 /nfs/dbraw/zinc/68/88/30/363688830.db2.gz DOMLCDVQEDTJJA-CQSZACIVSA-N -1 1 324.331 1.756 20 0 DDADMM CC(C)CN1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1=O ZINC000188630143 200277883 /nfs/dbraw/zinc/27/78/83/200277883.db2.gz GAIRNPLDYJTUBM-UHFFFAOYSA-N -1 1 310.781 1.986 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-][C@H](C)c1nc(C)no1)C1CC1 ZINC000624943803 366628777 /nfs/dbraw/zinc/62/87/77/366628777.db2.gz UCBWMOWHCITJFS-KCJUWKMLSA-N -1 1 303.384 1.174 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H]1C[C@@H]1c1cc(Cl)cc(Cl)c1 ZINC000607905734 360065017 /nfs/dbraw/zinc/06/50/17/360065017.db2.gz JJCYYGTTWJYKDJ-ZJUUUORDSA-N -1 1 312.160 1.926 20 0 DDADMM Cc1c(C(=O)[N-]c2nn3cnnc3s2)oc2c1C(=O)CCC2 ZINC000612182426 360941208 /nfs/dbraw/zinc/94/12/08/360941208.db2.gz LHWGVWWGLCAOMH-UHFFFAOYSA-N -1 1 317.330 1.280 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])[C@H](C(N)=O)C1 ZINC000613690265 361522074 /nfs/dbraw/zinc/52/20/74/361522074.db2.gz GABNIOZQZHJPKP-MFKMUULPSA-N -1 1 313.357 1.666 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)c1nnc(Cc2cccs2)[n-]1 ZINC000195160801 201348762 /nfs/dbraw/zinc/34/87/62/201348762.db2.gz ZOMNJXKORJZXQX-VIFPVBQESA-N -1 1 313.404 1.410 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)c1nc(Cc2cccs2)n[n-]1 ZINC000195160801 201348765 /nfs/dbraw/zinc/34/87/65/201348765.db2.gz ZOMNJXKORJZXQX-VIFPVBQESA-N -1 1 313.404 1.410 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)c1n[n-]c(Cc2cccs2)n1 ZINC000195160801 201348768 /nfs/dbraw/zinc/34/87/68/201348768.db2.gz ZOMNJXKORJZXQX-VIFPVBQESA-N -1 1 313.404 1.410 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2Cc2ccccc2)c1[O-] ZINC000620771974 364545153 /nfs/dbraw/zinc/54/51/53/364545153.db2.gz JPLQDRXPOZBDOB-CYBMUJFWSA-N -1 1 300.362 1.428 20 0 DDADMM NS(=O)(=O)[N-]CC(F)(F)c1ccc(Br)cc1 ZINC000621305728 364786603 /nfs/dbraw/zinc/78/66/03/364786603.db2.gz GZZODTMBCWBRJF-UHFFFAOYSA-N -1 1 315.139 1.334 20 0 DDADMM O=C([O-])[C@@H]1CCCC[C@@H]1NC(=O)Cc1[nH]nc2ccccc21 ZINC000621598326 364929953 /nfs/dbraw/zinc/92/99/53/364929953.db2.gz DTKDQVGXUHBCCT-NEPJUHHUSA-N -1 1 301.346 1.865 20 0 DDADMM CO[C@H](C)[C@H](C)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000292988539 223339905 /nfs/dbraw/zinc/33/99/05/223339905.db2.gz FWQCMHDGOPHIFU-NKWVEPMBSA-N -1 1 315.317 1.141 20 0 DDADMM CO[C@@H]1CC[C@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000621708931 364995006 /nfs/dbraw/zinc/99/50/06/364995006.db2.gz FOAPJLVKJDAWLU-XQQFMLRXSA-N -1 1 301.350 1.220 20 0 DDADMM CN(C)Cc1cc(CNC(=O)N2CC(C(=O)[O-])C2)ccc1F ZINC000621830487 365048427 /nfs/dbraw/zinc/04/84/27/365048427.db2.gz LFBFWZGXSYSENN-UHFFFAOYSA-N -1 1 309.341 1.113 20 0 DDADMM CCc1nc(S(=O)(=O)CCOc2ccccc2OC)n[n-]1 ZINC000621994102 365175833 /nfs/dbraw/zinc/17/58/33/365175833.db2.gz GSMCUAVZXPAHCU-UHFFFAOYSA-N -1 1 311.363 1.228 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](C)[C@@](C)(CO)C3)c[n-]c2[nH+]1 ZINC000622180951 365317407 /nfs/dbraw/zinc/31/74/07/365317407.db2.gz BESGTQHSOJWDIC-DYZYQPBXSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H](C)[C@@](C)(CO)C3)cnc2n1 ZINC000622180951 365317409 /nfs/dbraw/zinc/31/74/09/365317409.db2.gz BESGTQHSOJWDIC-DYZYQPBXSA-N -1 1 315.373 1.734 20 0 DDADMM Cc1cc(F)ccc1C1CCN(CC(=O)Nc2nnn[n-]2)CC1 ZINC000579446699 422768215 /nfs/dbraw/zinc/76/82/15/422768215.db2.gz NOPJUKPXTAECHW-UHFFFAOYSA-N -1 1 318.356 1.465 20 0 DDADMM Cc1cc(F)ccc1C1CCN(CC(=O)Nc2nn[n-]n2)CC1 ZINC000579446699 422768220 /nfs/dbraw/zinc/76/82/20/422768220.db2.gz NOPJUKPXTAECHW-UHFFFAOYSA-N -1 1 318.356 1.465 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000625493732 366963868 /nfs/dbraw/zinc/96/38/68/366963868.db2.gz VUZAPHTUZOJPPF-SECBINFHSA-N -1 1 307.730 1.115 20 0 DDADMM CN1CCOC[C@H]1C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000625515429 366979229 /nfs/dbraw/zinc/97/92/29/366979229.db2.gz DAXFRFBVJNJLTA-SNVBAGLBSA-N -1 1 322.789 1.088 20 0 DDADMM O=S(=O)([N-][C@H]1CO[C@@H](C2CC2)C1)c1cc(F)c(F)cc1F ZINC000625584653 367033394 /nfs/dbraw/zinc/03/33/94/367033394.db2.gz XXHGCDCUHIGGJH-PRHODGIISA-N -1 1 321.320 1.950 20 0 DDADMM CN(CC[N-]S(=O)(=O)c1cccc(F)c1F)CC(F)F ZINC000625675309 367083569 /nfs/dbraw/zinc/08/35/69/367083569.db2.gz ICXVXNSESSTXEQ-UHFFFAOYSA-N -1 1 314.304 1.440 20 0 DDADMM CC(=O)N[C@H](CC(C)C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000626907262 367829091 /nfs/dbraw/zinc/82/90/91/367829091.db2.gz XGZQOKZPGGCKRK-GFCCVEGCSA-N -1 1 324.381 1.032 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC[C@H]1C(F)F ZINC000626911837 367832768 /nfs/dbraw/zinc/83/27/68/367832768.db2.gz DWFFTMFFUANJPT-LURJTMIESA-N -1 1 303.265 1.156 20 0 DDADMM CCCn1nccc1NC(=O)CN1CCCC[C@@H]1CCC(=O)[O-] ZINC000635018598 422770377 /nfs/dbraw/zinc/77/03/77/422770377.db2.gz OWXWPQOYFOZCFK-CYBMUJFWSA-N -1 1 322.409 1.951 20 0 DDADMM O=C([O-])[C@@H]1C=C[C@@H](NS(=O)(=O)c2c(F)cccc2F)C1 ZINC000092181582 193171445 /nfs/dbraw/zinc/17/14/45/193171445.db2.gz GDDIXXGJULPMHQ-HTQZYQBOSA-N -1 1 303.286 1.272 20 0 DDADMM Cc1ccc(CCC2CCN(CC(=O)NCC(=O)[O-])CC2)cc1 ZINC000262188534 203224404 /nfs/dbraw/zinc/22/44/04/203224404.db2.gz GJIMILWODHJVAP-UHFFFAOYSA-N -1 1 318.417 1.840 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)Nc1ccc2c(c1)OCO2)C(=O)[O-] ZINC000262239342 203238647 /nfs/dbraw/zinc/23/86/47/203238647.db2.gz BCCABHYGWGEJAH-KOLCDFICSA-N -1 1 308.334 1.585 20 0 DDADMM Cn1cnnc1-c1cncc(NC(=O)c2cc(F)ccc2[O-])c1 ZINC000093244893 193221128 /nfs/dbraw/zinc/22/11/28/193221128.db2.gz VLEKKZYYCIKLDQ-UHFFFAOYSA-N -1 1 313.292 1.974 20 0 DDADMM CC[C@@H](C)n1nc(C(=O)N=c2nc(C(F)(F)F)[n-][nH]2)cc1C ZINC000350565085 284219087 /nfs/dbraw/zinc/21/90/87/284219087.db2.gz WEAHGFNDCAXVPR-ZCFIWIBFSA-N -1 1 316.287 1.974 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000264702206 204090430 /nfs/dbraw/zinc/09/04/30/204090430.db2.gz AFLRLFVTMFZUIT-SNVBAGLBSA-N -1 1 307.350 1.526 20 0 DDADMM COc1ccc(OC)c([C@@H](O)CNC(=O)c2cncc([O-])c2)c1 ZINC000264736084 204112749 /nfs/dbraw/zinc/11/27/49/204112749.db2.gz QSZGDMGGBJFNPO-AWEZNQCLSA-N -1 1 318.329 1.268 20 0 DDADMM CC(C)(C)OC(=O)N1CCCN(C(=O)c2cncc([O-])c2)CC1 ZINC000264708668 204093586 /nfs/dbraw/zinc/09/35/86/204093586.db2.gz CZPRIELGZHSUJG-UHFFFAOYSA-N -1 1 321.377 1.870 20 0 DDADMM CCc1nc(SC[C@H]2CCS(=O)(=O)C2)[n-]c(=O)c1C ZINC000566499570 304164351 /nfs/dbraw/zinc/16/43/51/304164351.db2.gz YMPFFZRAAUMGDB-SECBINFHSA-N -1 1 302.421 1.580 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCc2cnn(C)c2C)c1 ZINC000282530125 217066734 /nfs/dbraw/zinc/06/67/34/217066734.db2.gz BYTOERSYRHVZBF-UHFFFAOYSA-N -1 1 317.345 1.792 20 0 DDADMM CCCc1csc(NC(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000351482272 284333713 /nfs/dbraw/zinc/33/37/13/284333713.db2.gz ICVMWAPTHRZVII-UHFFFAOYSA-N -1 1 320.374 1.565 20 0 DDADMM C[C@@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@H]1n1ccnc1 ZINC000288295824 220067779 /nfs/dbraw/zinc/06/77/79/220067779.db2.gz HMSLPPUISMVVMU-DOMZBBRYSA-N -1 1 313.357 1.881 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1ccc(F)cc1F ZINC000288392940 220129557 /nfs/dbraw/zinc/12/95/57/220129557.db2.gz JXHWAGZEYFXMRE-UHFFFAOYSA-N -1 1 307.318 1.906 20 0 DDADMM CC[C@H]1C[C@H](CC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000282971866 217359726 /nfs/dbraw/zinc/35/97/26/217359726.db2.gz AZQJBNCLVLZCNS-OMLJAZAOSA-N -1 1 302.378 1.876 20 0 DDADMM CC[C@H]1C[C@@H](CC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000282971858 217360809 /nfs/dbraw/zinc/36/08/09/217360809.db2.gz AZQJBNCLVLZCNS-MLXUZTMQSA-N -1 1 302.378 1.876 20 0 DDADMM COC(=O)CCCCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000101572566 193823990 /nfs/dbraw/zinc/82/39/90/193823990.db2.gz JLQVTWGBSLWBNX-UHFFFAOYSA-N -1 1 321.345 1.977 20 0 DDADMM CC(C)N(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@H]1CCOC1 ZINC000579540666 422785454 /nfs/dbraw/zinc/78/54/54/422785454.db2.gz GULBSXOJZFPEKD-NSHDSACASA-N -1 1 301.346 1.563 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@H]1C[C@H]1c1ccccc1Cl ZINC000174580400 248369919 /nfs/dbraw/zinc/36/99/19/248369919.db2.gz BQXIDPHUYQAFRK-QWRGUYRKSA-N -1 1 320.780 1.604 20 0 DDADMM COCC[C@@](C)(O)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425208265 307302005 /nfs/dbraw/zinc/30/20/05/307302005.db2.gz SHRWIIWSHPZRFZ-CYBMUJFWSA-N -1 1 323.361 1.339 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1C[C@H]1c1sccc1C ZINC000337135983 249361782 /nfs/dbraw/zinc/36/17/82/249361782.db2.gz OFHKUMPPTBZVLO-NXEZZACHSA-N -1 1 318.402 1.612 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1oc(CC2CC2)nc1C ZINC000337162321 249370691 /nfs/dbraw/zinc/37/06/91/249370691.db2.gz VDNSZRRUAUNEPH-UHFFFAOYSA-N -1 1 317.349 1.001 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](C)c1cccc(C)c1 ZINC000337165511 249371582 /nfs/dbraw/zinc/37/15/82/249371582.db2.gz XRHQLQOEZWNJSM-JTQLQIEISA-N -1 1 300.362 1.550 20 0 DDADMM C[C@H](CO)[N-]S(=O)(=O)c1sccc1Br ZINC000042524476 183280227 /nfs/dbraw/zinc/28/02/27/183280227.db2.gz RBKYBBDAFFTNCH-RXMQYKEDSA-N -1 1 300.199 1.170 20 0 DDADMM COCC[N-]S(=O)(=O)c1sccc1Br ZINC000042351011 183257558 /nfs/dbraw/zinc/25/75/58/183257558.db2.gz YPEAVASWWVOWTL-UHFFFAOYSA-N -1 1 300.199 1.435 20 0 DDADMM C[C@H](CN(C)CC(=O)Nc1cccc2nsnc21)C(=O)[O-] ZINC000042707101 183299481 /nfs/dbraw/zinc/29/94/81/183299481.db2.gz TWXRVBJHXNDBCZ-MRVPVSSYSA-N -1 1 308.363 1.282 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)c2cc(F)ccc2F)[C@@H]1OC ZINC000274000334 211258542 /nfs/dbraw/zinc/25/85/42/211258542.db2.gz JSEMDBPVPCFTKQ-LOWVWBTDSA-N -1 1 321.345 1.436 20 0 DDADMM C[C@@H]1CN(C2CC2)C[C@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338932149 250203293 /nfs/dbraw/zinc/20/32/93/250203293.db2.gz ZTZZLDXLPJBZPV-LDYMZIIASA-N -1 1 304.412 1.648 20 0 DDADMM CC(=O)N1CCC[C@H](C[N-]S(=O)(=O)c2sccc2F)C1 ZINC000338973243 250228031 /nfs/dbraw/zinc/22/80/31/250228031.db2.gz MFQLNRYVLLDBAJ-SNVBAGLBSA-N -1 1 320.411 1.424 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCn2cnnc2C1 ZINC000105875923 194152983 /nfs/dbraw/zinc/15/29/83/194152983.db2.gz MHTWDLCOZHEBHI-UHFFFAOYSA-N -1 1 323.150 1.402 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2cccc(C(N)=O)c2)c1 ZINC000339161998 250321710 /nfs/dbraw/zinc/32/17/10/250321710.db2.gz ZZSRYDAWBZBREP-UHFFFAOYSA-N -1 1 313.313 1.702 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(OC)ccc2OC)n1 ZINC000339177658 250330022 /nfs/dbraw/zinc/33/00/22/250330022.db2.gz FWAUCJIKTAJYRQ-UHFFFAOYSA-N -1 1 319.317 1.856 20 0 DDADMM Cc1ncc(C(=O)N(C)Cc2nc(=O)c3sccc3[n-]2)[nH]1 ZINC000339183547 250332814 /nfs/dbraw/zinc/33/28/14/250332814.db2.gz PGZZEWHCCWULPD-UHFFFAOYSA-N -1 1 303.347 1.701 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2c(C)ccn2C)c1 ZINC000359701361 299517652 /nfs/dbraw/zinc/51/76/52/299517652.db2.gz SWKCIUWPSJMLAW-UHFFFAOYSA-N -1 1 323.374 1.200 20 0 DDADMM O=C(NCCNC(=O)c1c(F)cccc1F)c1ncccc1[O-] ZINC000110027600 194304359 /nfs/dbraw/zinc/30/43/59/194304359.db2.gz FOPPSNILKYSYBV-UHFFFAOYSA-N -1 1 321.283 1.225 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1c[nH]c(C(F)(F)F)c1 ZINC000340463533 251070876 /nfs/dbraw/zinc/07/08/76/251070876.db2.gz NCFGMFAWPFHBON-UHFFFAOYSA-N -1 1 314.271 1.746 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)OC)cc1[N-]S(C)(=O)=O ZINC000056061885 184003952 /nfs/dbraw/zinc/00/39/52/184003952.db2.gz VMCDMRKHVQCEPF-QMMMGPOBSA-N -1 1 302.352 1.040 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCO[C@H](C(F)F)CC1 ZINC000631499213 422800914 /nfs/dbraw/zinc/80/09/14/422800914.db2.gz GRQCXRVKVNGNDN-NSHDSACASA-N -1 1 323.299 1.419 20 0 DDADMM O=C([O-])[C@@H]1Cn2c(=O)[nH]nc2CN1C/C=C\c1ccc(F)cc1 ZINC000567675858 304233280 /nfs/dbraw/zinc/23/32/80/304233280.db2.gz MDECSXNKXBYDGA-BIOMNHJASA-N -1 1 318.308 1.105 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@H]1O)c1cc(F)c(F)cc1F ZINC000269239857 207367198 /nfs/dbraw/zinc/36/71/98/207367198.db2.gz AUZZNBNFYAVGHL-RDDDGLTNSA-N -1 1 309.309 1.543 20 0 DDADMM O=C(Nc1ccc2c(c1)C(=O)CCC2)c1cc(=O)n2[n-]cnc2n1 ZINC000352401331 285026213 /nfs/dbraw/zinc/02/62/13/285026213.db2.gz ZVTGQNIOYLVRKS-UHFFFAOYSA-N -1 1 323.312 1.189 20 0 DDADMM CC(C)c1nnc(CCC(=O)NC2(c3nn[n-]n3)CCCC2)o1 ZINC000352437785 285050792 /nfs/dbraw/zinc/05/07/92/285050792.db2.gz HPSAAAXUOQGNSG-UHFFFAOYSA-N -1 1 319.369 1.224 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cncc(Cl)c1)c1ccco1 ZINC000270002166 208054694 /nfs/dbraw/zinc/05/46/94/208054694.db2.gz ROEUVHDCWZUNSX-NSHDSACASA-N -1 1 316.766 1.994 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCOCC(C)C)c1 ZINC000270070777 208128125 /nfs/dbraw/zinc/12/81/25/208128125.db2.gz ZGNFULUBWDMTFW-UHFFFAOYSA-N -1 1 319.379 1.407 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC(C)(C)c2ccccc2)co1 ZINC000270110321 208169997 /nfs/dbraw/zinc/16/99/97/208169997.db2.gz SHVHRIDYRGYXPT-UHFFFAOYSA-N -1 1 322.386 1.853 20 0 DDADMM CCNC(=O)[C@@H](C)[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000270147905 208207416 /nfs/dbraw/zinc/20/74/16/208207416.db2.gz QOHKTTDMKJDRAZ-SSDOTTSWSA-N -1 1 306.259 1.697 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@H](C)S1(=O)=O ZINC000288636034 220310136 /nfs/dbraw/zinc/31/01/36/220310136.db2.gz KQRCTFWNKSYXIM-ONGXEEELSA-N -1 1 315.366 1.569 20 0 DDADMM CN(C)C(=O)c1ccc(=NC[C@@H]2CCCO[C@@H]2C(C)(C)C)[n-]n1 ZINC000413160214 224145872 /nfs/dbraw/zinc/14/58/72/224145872.db2.gz ULZJZZKWXMPQAA-WFASDCNBSA-N -1 1 320.437 1.854 20 0 DDADMM COCCN(C)S(=O)(=O)[N-]CC(F)(F)c1ccccc1 ZINC000352728803 285259275 /nfs/dbraw/zinc/25/92/75/285259275.db2.gz JJMJDBCDOOMPPS-UHFFFAOYSA-N -1 1 308.350 1.191 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cnc(Cl)s1 ZINC000289706835 221169225 /nfs/dbraw/zinc/16/92/25/221169225.db2.gz DYQUUXKNDGDFPW-UHFFFAOYSA-N -1 1 308.772 1.154 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@H](C)C1 ZINC000332559700 533410115 /nfs/dbraw/zinc/41/01/15/533410115.db2.gz VRHICDGHKCBDFF-GHMZBOCLSA-N -1 1 301.346 1.563 20 0 DDADMM COc1cc(C(=O)N2C[C@@H](C)O[C@@H](CO)C2)cc(Cl)c1[O-] ZINC000330986235 533526597 /nfs/dbraw/zinc/52/65/97/533526597.db2.gz LFIADOOCLIITOM-PSASIEDQSA-N -1 1 315.753 1.276 20 0 DDADMM C[C@@H](CC(N)=O)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451242739 533498531 /nfs/dbraw/zinc/49/85/31/533498531.db2.gz OFGRCTBMQBOUSP-ZETCQYMHSA-N -1 1 300.311 1.114 20 0 DDADMM CO[C@@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000451776819 533503227 /nfs/dbraw/zinc/50/32/27/533503227.db2.gz QJJFCAPEIUZARN-MWLCHTKSSA-N -1 1 321.345 1.295 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cscn2)c2c(n1)CCCC2 ZINC000568883254 304319686 /nfs/dbraw/zinc/31/96/86/304319686.db2.gz UVWFTFZVDOHDAD-UHFFFAOYSA-N -1 1 309.416 1.948 20 0 DDADMM CCCc1nc(=NC(=O)N[C@@H]2CCc3[nH]cnc3C2)s[n-]1 ZINC000569039643 304332085 /nfs/dbraw/zinc/33/20/85/304332085.db2.gz FXEQKVCLWBHFOR-MRVPVSSYSA-N -1 1 306.395 1.315 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCc1nnc(C2CC2)[nH]1 ZINC000633259810 422830503 /nfs/dbraw/zinc/83/05/03/422830503.db2.gz SUPBMOBIRNLNNN-UHFFFAOYSA-N -1 1 306.753 1.900 20 0 DDADMM COc1ccc2[nH+]cc(C(=O)[O-])c(N3CCN(C)CC3)c2c1 ZINC000004226438 406724090 /nfs/dbraw/zinc/72/40/90/406724090.db2.gz UCMRJSIPWWVTPT-UHFFFAOYSA-N -1 1 301.346 1.693 20 0 DDADMM O=C(C=Cc1csc(Br)c1)Nc1nnn[n-]1 ZINC000078767838 407044388 /nfs/dbraw/zinc/04/43/88/407044388.db2.gz FZMQWPODBCGFPM-OWOJBTEDSA-N -1 1 300.141 1.676 20 0 DDADMM O=C(C=Cc1csc(Br)c1)Nc1nn[n-]n1 ZINC000078767838 407044390 /nfs/dbraw/zinc/04/43/90/407044390.db2.gz FZMQWPODBCGFPM-OWOJBTEDSA-N -1 1 300.141 1.676 20 0 DDADMM CCOc1cccc(NS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)c1 ZINC000037789732 406993329 /nfs/dbraw/zinc/99/33/29/406993329.db2.gz DWLNEKMZBYTKRT-UHFFFAOYSA-N -1 1 310.331 1.912 20 0 DDADMM CCc1nc(S(=O)(=O)[C@H](C)c2nc(C(C)(C)C)no2)n[n-]1 ZINC000086170338 407108731 /nfs/dbraw/zinc/10/87/31/407108731.db2.gz WDZGUXLRCXRXSO-SSDOTTSWSA-N -1 1 313.383 1.583 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc(Br)cc2[O-])C[C@H]1O ZINC000089425936 407134314 /nfs/dbraw/zinc/13/43/14/407134314.db2.gz QFVQDDNGFLTRQE-QPUJVOFHSA-N -1 1 314.179 1.998 20 0 DDADMM CCn1c(CNC(=O)c2ccc3c(c2)nnn3C)n[n-]c1=S ZINC000066636738 407256482 /nfs/dbraw/zinc/25/64/82/407256482.db2.gz HMAKUCTVIJZSQO-UHFFFAOYSA-N -1 1 317.378 1.172 20 0 DDADMM C[C@@H](CN1CCCC1=O)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000055280191 407199146 /nfs/dbraw/zinc/19/91/46/407199146.db2.gz ZYOMHGRMBFAXCO-VIFPVBQESA-N -1 1 318.345 1.254 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cc(Cl)ccc2F)n1 ZINC000064348017 407238966 /nfs/dbraw/zinc/23/89/66/407238966.db2.gz SEWCSJKJIKLQBO-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cc(Cl)ccc2F)[n-]1 ZINC000064348017 407238968 /nfs/dbraw/zinc/23/89/68/407238968.db2.gz SEWCSJKJIKLQBO-UHFFFAOYSA-N -1 1 318.717 1.253 20 0 DDADMM C[C@@H]1CCC[C@@H](CC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1 ZINC000101664088 407314533 /nfs/dbraw/zinc/31/45/33/407314533.db2.gz KOTAUHWXTLWQKY-RKDXNWHRSA-N -1 1 300.384 1.363 20 0 DDADMM C[C@@H]1CCC[C@@H](CC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1 ZINC000101664088 407314535 /nfs/dbraw/zinc/31/45/35/407314535.db2.gz KOTAUHWXTLWQKY-RKDXNWHRSA-N -1 1 300.384 1.363 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccc(C)nc2)o1 ZINC000107699465 407378855 /nfs/dbraw/zinc/37/88/55/407378855.db2.gz PRSFLNDKUGNQIQ-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM O=S(=O)(Cc1nnsc1Cl)c1n[n-]c(C2CC2)n1 ZINC000108591609 407388970 /nfs/dbraw/zinc/38/89/70/407388970.db2.gz NOXZCMSUCQNRFP-UHFFFAOYSA-N -1 1 305.772 1.161 20 0 DDADMM CC[C@H](O)CCNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000125155894 407380396 /nfs/dbraw/zinc/38/03/96/407380396.db2.gz JYMJEMCFBARRKW-LBPRGKRZSA-N -1 1 320.393 1.262 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC(C)(C)C ZINC000125875919 407400164 /nfs/dbraw/zinc/40/01/64/407400164.db2.gz RUZNFLPWTSESTG-MRVPVSSYSA-N -1 1 321.345 1.973 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C23CC4CC(CC(C4)C2)C3)n1 ZINC000113421576 407503693 /nfs/dbraw/zinc/50/36/93/407503693.db2.gz WUUFVWQQQYQTKG-UHFFFAOYSA-N -1 1 324.406 1.363 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C23CC4CC(CC(C4)C2)C3)[n-]1 ZINC000113421576 407503697 /nfs/dbraw/zinc/50/36/97/407503697.db2.gz WUUFVWQQQYQTKG-UHFFFAOYSA-N -1 1 324.406 1.363 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)Cc1ccccc1F)C(=O)OC ZINC000186121984 407581161 /nfs/dbraw/zinc/58/11/61/407581161.db2.gz SXFKGWRKPRMAOE-ZDUSSCGKSA-N -1 1 317.382 1.977 20 0 DDADMM CCC1(CNC(=O)c2ccc(S(=O)(=O)[N-]C)o2)CCCC1 ZINC000128866514 407581879 /nfs/dbraw/zinc/58/18/79/407581879.db2.gz OMHMVQBIIXYZIT-UHFFFAOYSA-N -1 1 314.407 1.888 20 0 DDADMM C[C@@H](NC(=O)c1cc(Br)ccc1[O-])[C@H](C)CO ZINC000225897658 407613386 /nfs/dbraw/zinc/61/33/86/407613386.db2.gz UXMGNQPRYPEMPP-HTQZYQBOSA-N -1 1 302.168 1.901 20 0 DDADMM CN(C[C@H]1COc2ccccc2O1)C(=O)c1ncccc1[O-] ZINC000171234983 407634929 /nfs/dbraw/zinc/63/49/29/407634929.db2.gz XOWHNOZWJNVXPA-NSHDSACASA-N -1 1 300.314 1.699 20 0 DDADMM O=C(NCC1CC1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000171257653 407639670 /nfs/dbraw/zinc/63/96/70/407639670.db2.gz DRDBWQVNLBCKGU-GFCCVEGCSA-N -1 1 303.362 1.166 20 0 DDADMM CC(C)[C@H](NC(=O)CN1CC[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000178895248 407644631 /nfs/dbraw/zinc/64/46/31/407644631.db2.gz VUSSJUQOKXVZCA-HOCLYGCPSA-N -1 1 304.390 1.906 20 0 DDADMM CCn1cnnc1CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000266996286 407707328 /nfs/dbraw/zinc/70/73/28/407707328.db2.gz KURYBVDIZJJPSR-UHFFFAOYSA-N -1 1 318.381 1.330 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H]2CCCOC2)c(=O)[n-]1 ZINC000171689095 407742647 /nfs/dbraw/zinc/74/26/47/407742647.db2.gz RVVGUMYHASLIPQ-SNVBAGLBSA-N -1 1 311.407 1.028 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)c1nccs1 ZINC000186689236 407744618 /nfs/dbraw/zinc/74/46/18/407744618.db2.gz XIJGGMZZQLVCDG-ZETCQYMHSA-N -1 1 320.827 1.612 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC[C@@H]2[C@H](CCC(=O)N2C2CC2)C1 ZINC000179228672 407758106 /nfs/dbraw/zinc/75/81/06/407758106.db2.gz KRRPLORMICCNOA-IAQYHMDHSA-N -1 1 315.373 1.403 20 0 DDADMM O=S(=O)([N-]C1CCOCC1)c1c(Cl)ccnc1Cl ZINC000272095666 407771393 /nfs/dbraw/zinc/77/13/93/407771393.db2.gz JYOGJXMDDOPSEZ-UHFFFAOYSA-N -1 1 311.190 1.846 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCCSC1 ZINC000267173957 407779135 /nfs/dbraw/zinc/77/91/35/407779135.db2.gz ABGBJZZLNBCUDV-NSHDSACASA-N -1 1 320.418 1.194 20 0 DDADMM C[S@@](=O)CCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000116902033 407794894 /nfs/dbraw/zinc/79/48/94/407794894.db2.gz UPDDPFAWYYNKPP-SFHVURJKSA-N -1 1 315.338 1.151 20 0 DDADMM COCC[C@@H](NC(=O)[C@@H]1CCCN1Cc1ccccc1)C(=O)[O-] ZINC000262351717 407808601 /nfs/dbraw/zinc/80/86/01/407808601.db2.gz YZMDJGGKELDPGK-CABCVRRESA-N -1 1 320.389 1.257 20 0 DDADMM CCC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000133199812 407823561 /nfs/dbraw/zinc/82/35/61/407823561.db2.gz JFIOOYSSEKPSDV-GFCCVEGCSA-N -1 1 322.386 1.722 20 0 DDADMM C[C@@H](C[S@@](C)=O)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000133369418 407834500 /nfs/dbraw/zinc/83/45/00/407834500.db2.gz RNSPONZMRMWQTM-SKLCBMLGSA-N -1 1 313.803 1.524 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)c2ccccn2)c1 ZINC000134110210 407883823 /nfs/dbraw/zinc/88/38/23/407883823.db2.gz ZUQVHOSLYJXREQ-VIFPVBQESA-N -1 1 310.331 1.501 20 0 DDADMM COc1cc(NC(=O)CN2CC[C@H](C(=O)[O-])C2)cc(OC)c1 ZINC000262621153 407884403 /nfs/dbraw/zinc/88/44/03/407884403.db2.gz DXJDHOKMHKKNOC-JTQLQIEISA-N -1 1 308.334 1.049 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)N2CCC(C(=O)[O-])CC2)cc1 ZINC000262658851 407894066 /nfs/dbraw/zinc/89/40/66/407894066.db2.gz NCEMOAOMLHTREY-NSHDSACASA-N -1 1 306.362 1.819 20 0 DDADMM C[C@@H](C(=O)Nc1ccc2c(c1)OCCCO2)N(C)CCC(=O)[O-] ZINC000262659782 407895310 /nfs/dbraw/zinc/89/53/10/407895310.db2.gz NKXIZJDEHUPKJG-NSHDSACASA-N -1 1 322.361 1.581 20 0 DDADMM O=C(NCc1nc(-c2ccncc2)no1)c1ccc([O-])cc1F ZINC000154055028 407962224 /nfs/dbraw/zinc/96/22/24/407962224.db2.gz IIKKXQLWTGXEHS-UHFFFAOYSA-N -1 1 314.276 1.906 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H]1OC(=O)c2ccccc21)c1nn[n-]n1 ZINC000268243953 407968871 /nfs/dbraw/zinc/96/88/71/407968871.db2.gz VNMRGUUVDMJCHQ-RYUDHWBXSA-N -1 1 315.333 1.459 20 0 DDADMM Cc1nc(-c2ccc(NCC(=O)NC(C)C)nc2)[n-]c(=O)c1C ZINC000174673532 407977260 /nfs/dbraw/zinc/97/72/60/407977260.db2.gz KASWPLRADDKDAW-UHFFFAOYSA-N -1 1 315.377 1.385 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NCCOc1ccccc1)C(=O)[O-] ZINC000263103250 408027482 /nfs/dbraw/zinc/02/74/82/408027482.db2.gz KICGQYMTUXHAPP-WFASDCNBSA-N -1 1 308.378 1.271 20 0 DDADMM C[S@](=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)cc1 ZINC000174741689 407985345 /nfs/dbraw/zinc/98/53/45/407985345.db2.gz ZWOGAKVUZHYCEP-ZMZPIMSZSA-N -1 1 303.405 1.345 20 0 DDADMM Cc1ccsc1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119182335 408009212 /nfs/dbraw/zinc/00/92/12/408009212.db2.gz VXSRUEMITCIPKL-UHFFFAOYSA-N -1 1 302.359 1.974 20 0 DDADMM Cc1nn(C)c(NC(=O)c2cnc3n(C)[n-]cc-3c2=O)c1C ZINC000119230192 408017565 /nfs/dbraw/zinc/01/75/65/408017565.db2.gz SMQFZAOURPOQRO-UHFFFAOYSA-N -1 1 300.322 1.277 20 0 DDADMM CC(C)(CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1[O-] ZINC000175339081 408110068 /nfs/dbraw/zinc/11/00/68/408110068.db2.gz HFLVSAGBASQWLZ-UHFFFAOYSA-N -1 1 319.810 1.989 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)c1cccc(OC)c1)c1nn[n-]n1 ZINC000136731867 408124064 /nfs/dbraw/zinc/12/40/64/408124064.db2.gz NSVMZNFTMHMQLY-GWCFXTLKSA-N -1 1 303.366 1.969 20 0 DDADMM CC1CCN(CC(=O)N2[C@H](C(=O)[O-])C[C@H]3CCCC[C@@H]32)CC1 ZINC000263393487 408128002 /nfs/dbraw/zinc/12/80/02/408128002.db2.gz FBHAYSUQGYFWIP-ILXRZTDVSA-N -1 1 308.422 1.963 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCC[C@@H]1O)c1cccc(F)c1F ZINC000121283668 408178334 /nfs/dbraw/zinc/17/83/34/408178334.db2.gz DNUQXDMHBIAYJA-KOLCDFICSA-N -1 1 305.346 1.794 20 0 DDADMM Cc1ccc2cccc(C(=O)NCCCc3nc(=O)[n-][nH]3)c2n1 ZINC000176272081 408328680 /nfs/dbraw/zinc/32/86/80/408328680.db2.gz YSUWOZRRIVVTTE-UHFFFAOYSA-N -1 1 311.345 1.317 20 0 DDADMM CCOCCNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000132157294 162029836 /nfs/dbraw/zinc/02/98/36/162029836.db2.gz NVVLXXGMDVILID-UHFFFAOYSA-N -1 1 306.366 1.137 20 0 DDADMM CC(C)(C)OC(=O)NCc1ccc(C(=O)Nc2nnn[n-]2)cc1 ZINC000264016943 408344036 /nfs/dbraw/zinc/34/40/36/408344036.db2.gz NDJOVBSJTUUNQX-UHFFFAOYSA-N -1 1 318.337 1.477 20 0 DDADMM CC(C)(C)OC(=O)NCc1ccc(C(=O)Nc2nn[n-]n2)cc1 ZINC000264016943 408344039 /nfs/dbraw/zinc/34/40/39/408344039.db2.gz NDJOVBSJTUUNQX-UHFFFAOYSA-N -1 1 318.337 1.477 20 0 DDADMM CCc1ccc(C(=O)Nc2ccn(CC(=O)OC)n2)c([O-])c1 ZINC000190989402 408353618 /nfs/dbraw/zinc/35/36/18/408353618.db2.gz DTWAHLTVGDBDNY-UHFFFAOYSA-N -1 1 303.318 1.576 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)Cc1ccccc1 ZINC000172221730 162265677 /nfs/dbraw/zinc/26/56/77/162265677.db2.gz ZGDNJRSWQVFYHV-UHFFFAOYSA-N -1 1 310.357 1.498 20 0 DDADMM CO[C@H]1CC[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000191048269 408364529 /nfs/dbraw/zinc/36/45/29/408364529.db2.gz OXUJWQIOEQSOIH-SFYZADRCSA-N -1 1 309.309 1.950 20 0 DDADMM C[C@@H](Oc1cccc(Br)c1)C(=O)Nc1nnn[n-]1 ZINC000173809475 162366565 /nfs/dbraw/zinc/36/65/65/162366565.db2.gz TUQHDHBEESETHQ-ZCFIWIBFSA-N -1 1 312.127 1.368 20 0 DDADMM C[C@@H](Oc1cccc(Br)c1)C(=O)Nc1nn[n-]n1 ZINC000173809475 162366568 /nfs/dbraw/zinc/36/65/68/162366568.db2.gz TUQHDHBEESETHQ-ZCFIWIBFSA-N -1 1 312.127 1.368 20 0 DDADMM C[C@@H](CN(C)C(=O)c1csc(-c2ccoc2)n1)c1nn[n-]n1 ZINC000183394280 408398460 /nfs/dbraw/zinc/39/84/60/408398460.db2.gz VDSZTNSFMAQZTQ-QMMMGPOBSA-N -1 1 318.362 1.792 20 0 DDADMM C[C@@H](CN(C)C(=O)C(C)(C)c1ccc(F)cc1)c1nn[n-]n1 ZINC000183421193 408407944 /nfs/dbraw/zinc/40/79/44/408407944.db2.gz PSKJIVICDSQWCM-JTQLQIEISA-N -1 1 305.357 1.879 20 0 DDADMM Cc1ccc(-c2cc(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)[nH]n2)o1 ZINC000183428399 408408882 /nfs/dbraw/zinc/40/88/82/408408882.db2.gz RBZXOBLZEDOVAR-MRVPVSSYSA-N -1 1 315.337 1.367 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnc2ccc(F)cc2c1)c1nn[n-]n1 ZINC000183438381 408413989 /nfs/dbraw/zinc/41/39/89/408413989.db2.gz ZVDJTECLXJAQOE-VIFPVBQESA-N -1 1 314.324 1.763 20 0 DDADMM C[C@@H](CN(C)C(=O)CCOc1ccc(Cl)cc1)c1nn[n-]n1 ZINC000183442945 408414406 /nfs/dbraw/zinc/41/44/06/408414406.db2.gz MPLAPIVZLLZFLI-JTQLQIEISA-N -1 1 323.784 1.884 20 0 DDADMM CCC[C@H](NC(=O)c1cc(-c2ccc(C)o2)n[nH]1)c1nn[n-]n1 ZINC000176743051 408430395 /nfs/dbraw/zinc/43/03/95/408430395.db2.gz SUWJTECSQOTTRR-VIFPVBQESA-N -1 1 315.337 1.762 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)[C@H]1CCCO1 ZINC000264417285 408482586 /nfs/dbraw/zinc/48/25/86/408482586.db2.gz RRQLGMWBODVXGT-WRWORJQWSA-N -1 1 309.309 1.950 20 0 DDADMM Cn1cc(-c2csc(NC(=O)c3cncc([O-])c3)n2)cn1 ZINC000176870786 408462121 /nfs/dbraw/zinc/46/21/21/408462121.db2.gz NDIMUNBZENREPH-UHFFFAOYSA-N -1 1 301.331 1.897 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cc2ccccc2cc1[O-])[C@@H](C)O ZINC000274617780 408471977 /nfs/dbraw/zinc/47/19/77/408471977.db2.gz YTEAMRZZNNQEAD-YMTOWFKASA-N -1 1 303.314 1.198 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1OCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000248534842 408582300 /nfs/dbraw/zinc/58/23/00/408582300.db2.gz JQTQCRVGPDEHLA-XQQFMLRXSA-N -1 1 309.414 1.747 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)C[C@@H]2CCCO2)cc1OC ZINC000265360269 408622537 /nfs/dbraw/zinc/62/25/37/408622537.db2.gz BAXYTHBSIIIBEF-VIFPVBQESA-N -1 1 319.354 1.764 20 0 DDADMM CCO[C@@H]1C[C@@](CO)(NC(=O)c2c([O-])cccc2F)C1(C)C ZINC000275672279 408642958 /nfs/dbraw/zinc/64/29/58/408642958.db2.gz RTQRRCCBFOQATL-WBMJQRKESA-N -1 1 311.353 1.827 20 0 DDADMM C[S@](=O)C1(C[N-]S(=O)(=O)c2cccc(F)c2F)CCC1 ZINC000280783536 408832555 /nfs/dbraw/zinc/83/25/55/408832555.db2.gz PTSWIELLCUPBPP-IBGZPJMESA-N -1 1 323.386 1.544 20 0 DDADMM Cc1nnc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)s1 ZINC000290801937 408835278 /nfs/dbraw/zinc/83/52/78/408835278.db2.gz YSRDFJCPOQTPOE-MRVPVSSYSA-N -1 1 308.329 1.741 20 0 DDADMM Cn1cncc1[C@H]1C[C@H](NC(=O)c2c([O-])cccc2F)CCO1 ZINC000276322949 408836498 /nfs/dbraw/zinc/83/64/98/408836498.db2.gz PHESQSDKHSFKFO-QMTHXVAHSA-N -1 1 319.336 1.915 20 0 DDADMM CCn1cnnc1NC(=O)c1ccccc1[N-]S(=O)(=O)CC ZINC000285756051 408856898 /nfs/dbraw/zinc/85/68/98/408856898.db2.gz KENGWLNPFFGBKD-UHFFFAOYSA-N -1 1 323.378 1.312 20 0 DDADMM O=C(N[C@@H](CO)C[C@@H](O)c1ccccc1)c1cncc([O-])c1 ZINC000285819298 408870912 /nfs/dbraw/zinc/87/09/12/408870912.db2.gz ZFDYWBTYVJTADH-UKRRQHHQSA-N -1 1 302.330 1.002 20 0 DDADMM O=C(NC[C@H]1CCC[N@H+](Cc2cncnc2)C1)C(F)(F)F ZINC000291483623 408872460 /nfs/dbraw/zinc/87/24/60/408872460.db2.gz FZYZXGGBTJKDEJ-SNVBAGLBSA-N -1 1 302.300 1.367 20 0 DDADMM COC(=O)c1c[n-]c(SCc2cc(=O)n3ccsc3n2)n1 ZINC000187592501 163023481 /nfs/dbraw/zinc/02/34/81/163023481.db2.gz AKLXLIFKYFIHIN-UHFFFAOYSA-N -1 1 322.371 1.558 20 0 DDADMM CC(C)(C)[C@@H](O)C[C@H](CO)NC(=O)c1ccc(Cl)cc1[O-] ZINC000286055956 408916058 /nfs/dbraw/zinc/91/60/58/408916058.db2.gz VTDWWBAXYMNSHO-MFKMUULPSA-N -1 1 315.797 1.933 20 0 DDADMM CCc1cc(C(=O)N2CC[N@H+](C[C@@H](C)O)C[C@H]2C)ccc1O ZINC000291749895 408916411 /nfs/dbraw/zinc/91/64/11/408916411.db2.gz UJEHMUOHBGOANW-CHWSQXEVSA-N -1 1 306.406 1.482 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3C[C@@H]4OCCC[C@H]34)cnc2n1 ZINC000189910241 163125150 /nfs/dbraw/zinc/12/51/50/163125150.db2.gz QNROFIMGCUBHEF-HONMWMINSA-N -1 1 313.357 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1C[C@@H]3OCCC[C@H]13)c2=O ZINC000189910241 163125152 /nfs/dbraw/zinc/12/51/52/163125152.db2.gz QNROFIMGCUBHEF-HONMWMINSA-N -1 1 313.357 1.941 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CC[S@@](=O)C1 ZINC000277548053 408963463 /nfs/dbraw/zinc/96/34/63/408963463.db2.gz MLSKNIKIUULIOL-AYLIAGHASA-N -1 1 301.795 1.886 20 0 DDADMM Cn1c2cc([O-])c(NC(=O)C(=O)C(C)(C)C)cc2n(C)c1=O ZINC000292037054 408966462 /nfs/dbraw/zinc/96/64/62/408966462.db2.gz QZMWWHSBWQISMB-UHFFFAOYSA-N -1 1 305.334 1.136 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000277636611 408982442 /nfs/dbraw/zinc/98/24/42/408982442.db2.gz HGXHNDNYZDCFLW-LLVKDONJSA-N -1 1 315.366 1.571 20 0 DDADMM C[C@H](Sc1nc(C2CC2)cc(=O)[n-]1)C(=O)NC[C@H]1CCCO1 ZINC000277704921 408995539 /nfs/dbraw/zinc/99/55/39/408995539.db2.gz MYVUCCJEIAWVQU-GXSJLCMTSA-N -1 1 323.418 1.835 20 0 DDADMM COC(=O)[C@H](CF)[N-]S(=O)(=O)c1ccc(Cl)cc1F ZINC000287403606 409031866 /nfs/dbraw/zinc/03/18/66/409031866.db2.gz KUCZCHOGPXVVSR-QMMMGPOBSA-N -1 1 313.709 1.269 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@H](O)CC(C)(C)C)ccnc1-2 ZINC000287597632 409062192 /nfs/dbraw/zinc/06/21/92/409062192.db2.gz MGQRNUHPTIMXAN-IFYDOICBSA-N -1 1 305.382 1.260 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)C(=O)c2ccc([O-])cc2)[C@@]12CCCO2 ZINC000287707956 409080101 /nfs/dbraw/zinc/08/01/01/409080101.db2.gz GGJHFCPCAMWSQT-CPUCHLNUSA-N -1 1 319.357 1.418 20 0 DDADMM CCOC[C@@H]1CCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000287777048 409090767 /nfs/dbraw/zinc/09/07/67/409090767.db2.gz WMGVKRQWZRTTIZ-NODXGKJPSA-N -1 1 317.393 1.622 20 0 DDADMM COCC[C@H](C)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000293360261 409098271 /nfs/dbraw/zinc/09/82/71/409098271.db2.gz FBIZTLMNKQYJHD-ZETCQYMHSA-N -1 1 315.317 1.142 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(N(C)C(C)=O)cc1)c1nn[n-]n1 ZINC000278976420 409124404 /nfs/dbraw/zinc/12/44/04/409124404.db2.gz DBEICKHWKIELCJ-ZDUSSCGKSA-N -1 1 316.365 1.454 20 0 DDADMM Cc1nc(NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)nn1C ZINC000293610121 409147240 /nfs/dbraw/zinc/14/72/40/409147240.db2.gz DRTOOGICYFUVIM-UHFFFAOYSA-N -1 1 304.354 1.477 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000293765047 409176023 /nfs/dbraw/zinc/17/60/23/409176023.db2.gz QJCOVQSDZNFMEN-SECBINFHSA-N -1 1 317.794 1.552 20 0 DDADMM C[C@@H](c1ccccn1)N(C)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279288987 409184889 /nfs/dbraw/zinc/18/48/89/409184889.db2.gz KSRFCONKYWXVGQ-CJJSWDBDSA-N -1 1 310.361 1.962 20 0 DDADMM CC(C)(C)[C@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(N)=O ZINC000283791295 409218025 /nfs/dbraw/zinc/21/80/25/409218025.db2.gz FRFNRHBHDACRRQ-SNVBAGLBSA-N -1 1 322.789 1.657 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1ccc(F)c(F)c1F)C1CC1 ZINC000293898633 409202942 /nfs/dbraw/zinc/20/29/42/409202942.db2.gz VTIKBGVQQVQIPC-SECBINFHSA-N -1 1 309.309 1.807 20 0 DDADMM Cc1cnn([C@@H]2CCN(CC(=O)[N-]OCc3ccccc3)C2)c1 ZINC000289747059 409285834 /nfs/dbraw/zinc/28/58/34/409285834.db2.gz FFUNCDBGVHIYLL-MRXNPFEDSA-N -1 1 314.389 1.686 20 0 DDADMM C[C@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)CC[S@@]1=O ZINC000290075973 409297942 /nfs/dbraw/zinc/29/79/42/409297942.db2.gz GBHAOVSTEUVEAE-FHZGZLOMSA-N -1 1 303.330 1.654 20 0 DDADMM CCN(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284320401 409319429 /nfs/dbraw/zinc/31/94/29/409319429.db2.gz OEBLHKTVNMGOHV-QMMMGPOBSA-N -1 1 322.789 1.624 20 0 DDADMM CC[C@H](C)[C@H](C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1)OC ZINC000295213278 409379531 /nfs/dbraw/zinc/37/95/31/409379531.db2.gz GUHPVDMMOIEVQH-ONGXEEELSA-N -1 1 319.379 1.406 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1cnn(CC(F)F)c1)C(F)(F)F ZINC000295227062 409385852 /nfs/dbraw/zinc/38/58/52/409385852.db2.gz SEBSTDMNOQJIAZ-UHFFFAOYSA-N -1 1 321.271 1.767 20 0 DDADMM O=c1nc([C@@H]2CCCCN2CCc2ccc3c(c2)CCO3)[nH][nH]1 ZINC000295148490 409345603 /nfs/dbraw/zinc/34/56/03/409345603.db2.gz DYTWFUUKUNLOJL-AWEZNQCLSA-N -1 1 314.389 1.803 20 0 DDADMM CO[C@H]1CCCC[C@@H]1C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000295279207 409411392 /nfs/dbraw/zinc/41/13/92/409411392.db2.gz ITDGEIHRBHNVHP-JQWIXIFHSA-N -1 1 303.366 1.954 20 0 DDADMM COC(=O)c1coc(CN2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000295344278 409444059 /nfs/dbraw/zinc/44/40/59/409444059.db2.gz NICJZSZMBWFAQL-SECBINFHSA-N -1 1 307.306 1.122 20 0 DDADMM CC[C@H]1C[C@H](C)CN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000408250177 164275790 /nfs/dbraw/zinc/27/57/90/164275790.db2.gz IYZHSNJCWWOORC-UWVGGRQHSA-N -1 1 300.380 1.448 20 0 DDADMM Cc1cnc(C(=O)N2CC[NH+](C3CCOCC3)CC2)c([O-])c1 ZINC000408370433 164309927 /nfs/dbraw/zinc/30/99/27/164309927.db2.gz KSXNVCJWUZRQJZ-UHFFFAOYSA-N -1 1 305.378 1.032 20 0 DDADMM CN(CCOc1ccccc1Cl)C(=O)CCCc1nn[n-]n1 ZINC000635152066 422845654 /nfs/dbraw/zinc/84/56/54/422845654.db2.gz KNVCWOJZFLSOSP-UHFFFAOYSA-N -1 1 323.784 1.713 20 0 DDADMM CC[NH+]1CCN([C@H](C)CN=c2[n-]c([C@H](C)OC)ns2)CC1 ZINC000337920889 409567271 /nfs/dbraw/zinc/56/72/71/409567271.db2.gz ZUKKXHMOQWNNEB-NEPJUHHUSA-N -1 1 313.471 1.105 20 0 DDADMM Cc1ccc2ncnc(N3CCC[C@H](c4nc(=O)[n-][nH]4)C3)c2c1 ZINC000285586108 409500562 /nfs/dbraw/zinc/50/05/62/409500562.db2.gz KULOWQCMVQEJIC-NSHDSACASA-N -1 1 310.361 1.734 20 0 DDADMM CO[C@@H](C)c1nc(=NCCCCCS(C)(=O)=O)s[n-]1 ZINC000337858634 409515466 /nfs/dbraw/zinc/51/54/66/409515466.db2.gz IAUSDHKJIQHLDV-VIFPVBQESA-N -1 1 307.441 1.294 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CCc2ccccc21 ZINC000337948618 409591926 /nfs/dbraw/zinc/59/19/26/409591926.db2.gz IPJGESDYMVEFEF-CYBMUJFWSA-N -1 1 311.341 1.321 20 0 DDADMM CCCC[C@H](CC)CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000337950750 409597596 /nfs/dbraw/zinc/59/75/96/409597596.db2.gz OJNFOOXWANWYTH-LBPRGKRZSA-N -1 1 307.394 1.850 20 0 DDADMM CCOc1cc(C(=O)N(C)[C@@H]2COC[C@@H]2O)cc(Cl)c1[O-] ZINC000342457206 409646421 /nfs/dbraw/zinc/64/64/21/409646421.db2.gz YVZUSEQBMFQXGL-MNOVXSKESA-N -1 1 315.753 1.276 20 0 DDADMM CC[C@@H](C)N(CC(=O)OC)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000296959408 409727968 /nfs/dbraw/zinc/72/79/68/409727968.db2.gz PNHROJDKDVLIJI-SECBINFHSA-N -1 1 322.390 1.432 20 0 DDADMM COc1ccc(CCCCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357082648 409854744 /nfs/dbraw/zinc/85/47/44/409854744.db2.gz XZNGMMWYDSVTDS-UHFFFAOYSA-N -1 1 315.377 1.727 20 0 DDADMM COc1ccc2nc(C)cc(C(=O)NC3(c4nn[n-]n4)CC3)c2c1 ZINC000357058991 409827159 /nfs/dbraw/zinc/82/71/59/409827159.db2.gz RMGDVELPRDPAMG-UHFFFAOYSA-N -1 1 324.344 1.484 20 0 DDADMM O=C([O-])CC1(NC(=O)c2ccc3[nH]nnc3c2)CCCCC1 ZINC000315744389 409845773 /nfs/dbraw/zinc/84/57/73/409845773.db2.gz YBENVYJZSKTRLO-UHFFFAOYSA-N -1 1 302.334 1.865 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2C[C@H](OC)C2(C)C)c1 ZINC000338227687 409818314 /nfs/dbraw/zinc/81/83/14/409818314.db2.gz VBHRKBUJHKIOIK-ZJUUUORDSA-N -1 1 317.363 1.158 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCCOc1ccc(F)cc1 ZINC000635159462 422849834 /nfs/dbraw/zinc/84/98/34/422849834.db2.gz XAONHMUGGFJQAG-UHFFFAOYSA-N -1 1 321.356 1.637 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1C[C@@H](OC(C)C)C1(C)C ZINC000349552812 409868555 /nfs/dbraw/zinc/86/85/55/409868555.db2.gz HMRLIQFVDHBDLN-VHSXEESVSA-N -1 1 317.411 1.266 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@@H](OC(C)C)C1(C)C ZINC000349552812 409868564 /nfs/dbraw/zinc/86/85/64/409868564.db2.gz HMRLIQFVDHBDLN-VHSXEESVSA-N -1 1 317.411 1.266 20 0 DDADMM C[C@H]1CN(CC(=O)NCc2ccccc2F)CC[C@H]1C(=O)[O-] ZINC000318788086 409883275 /nfs/dbraw/zinc/88/32/75/409883275.db2.gz RZYZEACFGAQPDV-WCQYABFASA-N -1 1 308.353 1.485 20 0 DDADMM Nc1c([O-])[nH]c(=O)nc1C(=O)Nc1cccc(-c2ncco2)c1 ZINC000338351451 409930525 /nfs/dbraw/zinc/93/05/25/409930525.db2.gz MISSTOTZZBXBFP-UHFFFAOYSA-N -1 1 313.273 1.377 20 0 DDADMM Cn1ccc(CC[N-]S(=O)(=O)c2cc(F)ccc2F)n1 ZINC000354712224 410035176 /nfs/dbraw/zinc/03/51/76/410035176.db2.gz PICGYTORVWHMNI-UHFFFAOYSA-N -1 1 301.318 1.219 20 0 DDADMM CC(C)OCc1ccc(C(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000297926958 410047711 /nfs/dbraw/zinc/04/77/11/410047711.db2.gz YGDZILZZFCWOOD-UHFFFAOYSA-N -1 1 303.322 1.081 20 0 DDADMM CCS(=O)(=O)N(C)Cc1nc(-c2ccc([O-])c(F)c2)no1 ZINC000350839720 410004693 /nfs/dbraw/zinc/00/46/93/410004693.db2.gz SJCQCPBZXJEHKR-UHFFFAOYSA-N -1 1 315.326 1.363 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(O)cc2F)co1 ZINC000357514116 410078322 /nfs/dbraw/zinc/07/83/22/410078322.db2.gz DPOOSZJGZFPRRF-UHFFFAOYSA-N -1 1 314.294 1.285 20 0 DDADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])c1cccs1 ZINC000343043860 410099113 /nfs/dbraw/zinc/09/91/13/410099113.db2.gz PJNAKZMJCVSINY-VIFPVBQESA-N -1 1 305.359 1.456 20 0 DDADMM CC1=NN(c2ccc(C(=O)NC(C)(C)c3nn[n-]n3)cc2)CC1 ZINC000354804879 410099974 /nfs/dbraw/zinc/09/99/74/410099974.db2.gz QMXJMSPQRQIKAE-UHFFFAOYSA-N -1 1 313.365 1.451 20 0 DDADMM Cc1ccsc1[C@H](CO)NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000351660410 410137912 /nfs/dbraw/zinc/13/79/12/410137912.db2.gz WSPYKNZKPZIXSH-JTQLQIEISA-N -1 1 323.443 1.973 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc2n[nH]cc2c1 ZINC000343169763 410204613 /nfs/dbraw/zinc/20/46/13/410204613.db2.gz SXQBDEHHCOYWTJ-UHFFFAOYSA-N -1 1 311.301 1.032 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@@H](O)CC(C)(C)C3)ccnc1-2 ZINC000298468836 410243203 /nfs/dbraw/zinc/24/32/03/410243203.db2.gz OUELICARMBXQEJ-ZNCULLJESA-N -1 1 317.393 1.357 20 0 DDADMM COc1ccc(F)cc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000351844135 410283090 /nfs/dbraw/zinc/28/30/90/410283090.db2.gz JIHWKMWTQOYIPU-UHFFFAOYSA-N -1 1 319.292 1.303 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCCO[C@@H]1CC(C)C ZINC000329439911 410298922 /nfs/dbraw/zinc/29/89/22/410298922.db2.gz MTGDXTDOBPPHAY-WDEREUQCSA-N -1 1 317.411 1.268 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCCO[C@@H]1CC(C)C ZINC000329439911 410298926 /nfs/dbraw/zinc/29/89/26/410298926.db2.gz MTGDXTDOBPPHAY-WDEREUQCSA-N -1 1 317.411 1.268 20 0 DDADMM C[C@H](CSc1nc(C(F)F)cc(=O)[n-]1)CS(C)(=O)=O ZINC000351872451 410312067 /nfs/dbraw/zinc/31/20/67/410312067.db2.gz ACGLUQCWHVOQLD-ZCFIWIBFSA-N -1 1 312.363 1.893 20 0 DDADMM C[C@H]1CCCC[C@H]1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000343324871 410358166 /nfs/dbraw/zinc/35/81/66/410358166.db2.gz RKXDDNFDXGIMRJ-RYUDHWBXSA-N -1 1 305.378 1.460 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)(F)F)c1ccc(F)cc1F ZINC000339785425 410457708 /nfs/dbraw/zinc/45/77/08/410457708.db2.gz CWXHDYVOPDBARZ-SSDOTTSWSA-N -1 1 319.251 1.556 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cnn(Cc2ccccc2)c1 ZINC000298852466 410423624 /nfs/dbraw/zinc/42/36/24/410423624.db2.gz LYPYBZKGUIGFAP-UHFFFAOYSA-N -1 1 323.374 1.236 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2nc(C)sc2C)n1 ZINC000352164747 410519813 /nfs/dbraw/zinc/51/98/13/410519813.db2.gz WNSFGWARSBYXBB-UHFFFAOYSA-N -1 1 308.363 1.841 20 0 DDADMM CC[C@H](c1ccccc1)N(CC(=O)OC)Cc1nc(=O)n(C)[n-]1 ZINC000347486597 410578940 /nfs/dbraw/zinc/57/89/40/410578940.db2.gz LAQSBBBHLFIFKP-CYBMUJFWSA-N -1 1 318.377 1.235 20 0 DDADMM CC[C@H](NC(=O)CNC(=O)c1ncccc1[O-])c1nccs1 ZINC000339977298 410593109 /nfs/dbraw/zinc/59/31/09/410593109.db2.gz BJACNNZRKBTYCZ-VIFPVBQESA-N -1 1 320.374 1.241 20 0 DDADMM CCOc1ccc([C@@H](C)NCc2nc(=O)n(C)[n-]2)cc1OC ZINC000347536178 410604753 /nfs/dbraw/zinc/60/47/53/410604753.db2.gz QCYBSBHAECDGSM-SNVBAGLBSA-N -1 1 306.366 1.367 20 0 DDADMM CC(C)(C)CC(=O)NCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000330460905 410708708 /nfs/dbraw/zinc/70/87/08/410708708.db2.gz RMJUKTDSVVPKGC-SNVBAGLBSA-N -1 1 324.381 1.034 20 0 DDADMM CCC1([N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CCOCC1 ZINC000330520125 410745525 /nfs/dbraw/zinc/74/55/25/410745525.db2.gz GVBYBZLJTFFRTB-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2[nH]ccc2C)c1 ZINC000359686237 410814679 /nfs/dbraw/zinc/81/46/79/410814679.db2.gz VKODYUPKJXPWIY-UHFFFAOYSA-N -1 1 309.347 1.189 20 0 DDADMM C[C@@H](Oc1cccc(Cl)c1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348273344 410888870 /nfs/dbraw/zinc/88/88/70/410888870.db2.gz GKNBMLCSMAVNTP-MRVPVSSYSA-N -1 1 307.741 1.426 20 0 DDADMM COc1cccc([C@@H](C)CC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000348298065 410905728 /nfs/dbraw/zinc/90/57/28/410905728.db2.gz NWPYCVHATMFIFF-JTQLQIEISA-N -1 1 301.350 1.507 20 0 DDADMM C[C@@H]1[C@H](C(=O)NC(C)(C)c2nn[n-]n2)CCN1c1ccccc1 ZINC000356322147 410965560 /nfs/dbraw/zinc/96/55/60/410965560.db2.gz OECLZIMFQLIQED-DGCLKSJQSA-N -1 1 314.393 1.466 20 0 DDADMM Cc1cnc(C(=O)N2CCN(Cc3ccco3)CC2)c([O-])c1 ZINC000331140847 410976285 /nfs/dbraw/zinc/97/62/85/410976285.db2.gz FKXJTWHVWUJCKX-UHFFFAOYSA-N -1 1 301.346 1.647 20 0 DDADMM CC(C)CC[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C(C)(C)C ZINC000353459221 410997186 /nfs/dbraw/zinc/99/71/86/410997186.db2.gz LLGHAHVGIIOMRV-LBPRGKRZSA-N -1 1 319.409 1.998 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@]1(C)CCOC1 ZINC000353579739 411071762 /nfs/dbraw/zinc/07/17/62/411071762.db2.gz LLSNSHOOEKSOJW-INIZCTEOSA-N -1 1 318.377 1.137 20 0 DDADMM CC[C@@H](C)[C@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353489873 411026583 /nfs/dbraw/zinc/02/65/83/411026583.db2.gz QATFSLSNVXZJCA-ZYHUDNBSSA-N -1 1 303.366 1.458 20 0 DDADMM O=C(C[C@@H]1CCCN1C(=O)CCc1nn[n-]n1)c1ccccc1 ZINC000631713895 422891871 /nfs/dbraw/zinc/89/18/71/422891871.db2.gz YPWRSWXJYLLPGY-ZDUSSCGKSA-N -1 1 313.361 1.396 20 0 DDADMM O=C([N-]c1nc(-c2ccccc2)no1)c1cn(C2CCC2)nn1 ZINC000629955500 422894099 /nfs/dbraw/zinc/89/40/99/422894099.db2.gz DBFSENDSVMGEBW-UHFFFAOYSA-N -1 1 310.317 1.727 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2ccc(C)o2)c1 ZINC000631742415 422900314 /nfs/dbraw/zinc/90/03/14/422900314.db2.gz SZKWGSQVSKVWLV-UHFFFAOYSA-N -1 1 311.359 1.823 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCn2nncc2C1 ZINC000580211383 422903403 /nfs/dbraw/zinc/90/34/03/422903403.db2.gz VCXJELAZLSVIER-UHFFFAOYSA-N -1 1 312.251 1.659 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1sccc1Cl)[C@H]1CN(C)CCO1 ZINC000649860802 422962923 /nfs/dbraw/zinc/96/29/23/422962923.db2.gz BCPOKLWFWNZKPZ-WCBMZHEXSA-N -1 1 324.855 1.399 20 0 DDADMM CC(=O)N[C@H]1CCCN(CCOc2cccc(C(=O)[O-])c2)C1 ZINC000652389813 422996268 /nfs/dbraw/zinc/99/62/68/422996268.db2.gz IJSIOFSNSSXYSM-AWEZNQCLSA-N -1 1 306.362 1.364 20 0 DDADMM O=C(Nc1nnc2nc[nH]n21)c1ccc(C(F)(F)F)cc1[O-] ZINC000647737644 423022583 /nfs/dbraw/zinc/02/25/83/423022583.db2.gz RWDLFNXTDDJPQO-UHFFFAOYSA-N -1 1 312.211 1.429 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCCO1)c1ncccc1C(F)(F)F ZINC000645491862 423053047 /nfs/dbraw/zinc/05/30/47/423053047.db2.gz FAOUUZKFCQEERD-SECBINFHSA-N -1 1 324.324 1.948 20 0 DDADMM O=C([O-])[C@@H]1CN(Cc2nnnn2-c2ccccc2)C[C@H]1C1CC1 ZINC000652514828 423051450 /nfs/dbraw/zinc/05/14/50/423051450.db2.gz DCAGYBGYASJNCN-UONOGXRCSA-N -1 1 313.361 1.205 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCC2(CO)CC2)c(F)c1 ZINC000650242854 423096933 /nfs/dbraw/zinc/09/69/33/423096933.db2.gz RFAKCOCKJCUGAX-UHFFFAOYSA-N -1 1 321.345 1.414 20 0 DDADMM Cc1ocnc1C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000647904991 423109409 /nfs/dbraw/zinc/10/94/09/423109409.db2.gz ZXJQTLGWCPBXSI-UHFFFAOYSA-N -1 1 310.257 1.203 20 0 DDADMM C[C@@]1(C(=O)Nc2nnn[n-]2)CC(c2ccccc2Cl)=NO1 ZINC000295807900 225261503 /nfs/dbraw/zinc/26/15/03/225261503.db2.gz KZNHWWUCWSRGEV-LBPRGKRZSA-N -1 1 306.713 1.375 20 0 DDADMM C[C@@]1(C(=O)Nc2nn[n-]n2)CC(c2ccccc2Cl)=NO1 ZINC000295807900 225261507 /nfs/dbraw/zinc/26/15/07/225261507.db2.gz KZNHWWUCWSRGEV-LBPRGKRZSA-N -1 1 306.713 1.375 20 0 DDADMM C/C=C/C[C@@H](CO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645652865 423127479 /nfs/dbraw/zinc/12/74/79/423127479.db2.gz HLDGJGNCYLPVFB-HPOULIHZSA-N -1 1 324.324 1.706 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](n4cccn4)C3)cnc2n1 ZINC000366006633 418416959 /nfs/dbraw/zinc/41/69/59/418416959.db2.gz SBVWYLOCQKLLJT-LBPRGKRZSA-N -1 1 323.356 1.928 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](n4cccn4)C3)c[n-]c2n1 ZINC000366006633 418416962 /nfs/dbraw/zinc/41/69/62/418416962.db2.gz SBVWYLOCQKLLJT-LBPRGKRZSA-N -1 1 323.356 1.928 20 0 DDADMM CCCC[C@H](NC(=O)C1(N(C)C)Cc2ccccc2C1)C(=O)[O-] ZINC000373421054 418426175 /nfs/dbraw/zinc/42/61/75/418426175.db2.gz ZUTWBJWFGRTISY-HNNXBMFYSA-N -1 1 318.417 1.845 20 0 DDADMM CCc1nc(=NC[C@H]2CCCN(C)[C@H]2c2cnn(C)c2)s[n-]1 ZINC000360481730 418445550 /nfs/dbraw/zinc/44/55/50/418445550.db2.gz AOCUSIHVVGAKBE-BXUZGUMPSA-N -1 1 320.466 1.751 20 0 DDADMM C[C@H]1[C@@H](c2ccccc2)[C@H]1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000373751098 418456959 /nfs/dbraw/zinc/45/69/59/418456959.db2.gz JTZDWCGLMXYLLO-GHYVTOPFSA-N -1 1 313.361 1.149 20 0 DDADMM C=Cc1ccc(CCNC(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000360745614 418506263 /nfs/dbraw/zinc/50/62/63/418506263.db2.gz MPTDPEVIIGNJID-UHFFFAOYSA-N -1 1 309.329 1.033 20 0 DDADMM C[C@@H](C(=O)NC1CCCCC1)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000366714072 418507365 /nfs/dbraw/zinc/50/73/65/418507365.db2.gz OXHBHMZZYQANAQ-NSHDSACASA-N -1 1 321.425 1.527 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2nccc(C)n2)c(=O)[n-]1 ZINC000192843265 418526637 /nfs/dbraw/zinc/52/66/37/418526637.db2.gz XCNUWWMJDVXVEP-UHFFFAOYSA-N -1 1 319.390 1.470 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@@H](O)C1)c1ncccc1C(F)(F)F ZINC000645676609 423138729 /nfs/dbraw/zinc/13/87/29/423138729.db2.gz YSOZETDXUFVYOS-RKDXNWHRSA-N -1 1 324.324 1.540 20 0 DDADMM COCc1nc(=NC[C@H]2CCC3(CCOCC3)[C@@H]2O)s[n-]1 ZINC000374635821 418550174 /nfs/dbraw/zinc/55/01/74/418550174.db2.gz GQLCWAQELPVPBW-ZYHUDNBSSA-N -1 1 313.423 1.086 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3C[C@H](O)C3(C)C)cnc2n1 ZINC000189395275 222043641 /nfs/dbraw/zinc/04/36/41/222043641.db2.gz FYQZBOCAYUMFHU-RYUDHWBXSA-N -1 1 301.346 1.533 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1C[C@H](O)C1(C)C)c2=O ZINC000189395275 222043643 /nfs/dbraw/zinc/04/36/43/222043643.db2.gz FYQZBOCAYUMFHU-RYUDHWBXSA-N -1 1 301.346 1.533 20 0 DDADMM CCC[C@H](C)NC(=O)[C@H](C)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000367359856 418590625 /nfs/dbraw/zinc/59/06/25/418590625.db2.gz CGTCZBHSHJDNEW-QWRGUYRKSA-N -1 1 309.414 1.383 20 0 DDADMM CC(C)c1nn(C)cc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000361371903 418639111 /nfs/dbraw/zinc/63/91/11/418639111.db2.gz JHDQLIKJGINJRW-UHFFFAOYSA-N -1 1 317.349 1.013 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(Cl)n1 ZINC000368004573 418672179 /nfs/dbraw/zinc/67/21/79/418672179.db2.gz GFEJMPPLQVWENW-VIFPVBQESA-N -1 1 322.756 1.079 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H](CC2CCCC2)C1 ZINC000368134442 418694107 /nfs/dbraw/zinc/69/41/07/418694107.db2.gz XVMYUXKBZCWZTO-GFCCVEGCSA-N -1 1 313.423 1.986 20 0 DDADMM COc1ccccc1CNC(=O)CN1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC000391946665 418758046 /nfs/dbraw/zinc/75/80/46/418758046.db2.gz FAEIGULLAQKVRX-JSGCOSHPSA-N -1 1 320.389 1.354 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2CC[C@@H](O)[C@H]2C1 ZINC000408189915 418792428 /nfs/dbraw/zinc/79/24/28/418792428.db2.gz ZENLVYODTJXHOV-SDDRHHMPSA-N -1 1 319.405 1.631 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CC[C@@H]2C(=O)NC[C@@H]2C1 ZINC000365675419 418893112 /nfs/dbraw/zinc/89/31/12/418893112.db2.gz FMNWIXOHGBTZOB-MNOVXSKESA-N -1 1 311.341 1.149 20 0 DDADMM CO[N-]C(=O)[C@@H]1CC(=O)N(C2CC2)[C@H]1c1ccc(OC)cc1 ZINC000372142040 418838717 /nfs/dbraw/zinc/83/87/17/418838717.db2.gz AWAPOFAPFJTEBH-HIFRSBDPSA-N -1 1 304.346 1.425 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000365873606 418918366 /nfs/dbraw/zinc/91/83/66/418918366.db2.gz ZBGDEVOJQJNGAZ-QGZVFWFLSA-N -1 1 311.341 1.293 20 0 DDADMM CCN(C)C(=O)N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000365896172 418920633 /nfs/dbraw/zinc/92/06/33/418920633.db2.gz RIGAGBUTYLHTKZ-JTQLQIEISA-N -1 1 312.736 1.743 20 0 DDADMM O=C(NC1(CF)CCOCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000424089551 228227038 /nfs/dbraw/zinc/22/70/38/228227038.db2.gz JDIWKPWDJMWSDK-UHFFFAOYSA-N -1 1 305.309 1.172 20 0 DDADMM C[C@H]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)[C@H]1C(=O)[O-] ZINC000424249479 228251388 /nfs/dbraw/zinc/25/13/88/228251388.db2.gz IUHZYNNRLZNDBD-GXFFZTMASA-N -1 1 314.345 1.797 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC[C@@H](O)C(C)C)c(F)c1 ZINC000425174709 228381032 /nfs/dbraw/zinc/38/10/32/228381032.db2.gz DCLWFBATBOWSCN-GFCCVEGCSA-N -1 1 307.362 1.959 20 0 DDADMM O=S(=O)([N-]c1ccc2[nH]nnc2c1)c1c[nH]c2ncccc12 ZINC000426311064 419430005 /nfs/dbraw/zinc/43/00/05/419430005.db2.gz MAICWZIYVDBJFI-UHFFFAOYSA-N -1 1 314.330 1.635 20 0 DDADMM C[C@H](NC(=O)c1ccc(CN2CCSCC2)cc1)C(=O)[O-] ZINC000427298121 419635493 /nfs/dbraw/zinc/63/54/93/419635493.db2.gz ZSKXCMWPUFGCKE-NSHDSACASA-N -1 1 308.403 1.438 20 0 DDADMM COc1cc(C(=O)N2CCn3cncc3C2)cc(Cl)c1[O-] ZINC000427500917 419673028 /nfs/dbraw/zinc/67/30/28/419673028.db2.gz ATXDWNNSLUQJAX-UHFFFAOYSA-N -1 1 307.737 1.907 20 0 DDADMM O=C(N[C@H](Cc1ccccc1)[C@@H](O)C(F)F)c1ncccc1[O-] ZINC000427752467 419728744 /nfs/dbraw/zinc/72/87/44/419728744.db2.gz BVFAVXGMFCQORG-BXUZGUMPSA-N -1 1 322.311 1.754 20 0 DDADMM COc1ccccc1[C@@H](C)N(C)C(=O)CN(C)CCC(=O)[O-] ZINC000430657887 420172867 /nfs/dbraw/zinc/17/28/67/420172867.db2.gz ILIWAZQECALWHT-GFCCVEGCSA-N -1 1 308.378 1.621 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)Cc1ccccc1C ZINC000416138004 420254576 /nfs/dbraw/zinc/25/45/76/420254576.db2.gz XKNRUMDCDXENKQ-SNVBAGLBSA-N -1 1 317.345 1.566 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCc2cc(C)ccc21 ZINC000416137278 420255352 /nfs/dbraw/zinc/25/53/52/420255352.db2.gz VOMXLCYRPXJVPH-NSHDSACASA-N -1 1 315.329 1.622 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCCC1CCCC1 ZINC000416157175 420261163 /nfs/dbraw/zinc/26/11/63/420261163.db2.gz CQYLYEYPFCPKGC-UHFFFAOYSA-N -1 1 309.366 1.987 20 0 DDADMM Cc1ccc(CS(=O)(=O)N[C@H]2C[NH2+]CCC2(F)F)cc1C ZINC000420437424 420285137 /nfs/dbraw/zinc/28/51/37/420285137.db2.gz CLDFKPLSOWZIFO-ZDUSSCGKSA-N -1 1 318.389 1.720 20 0 DDADMM COc1c(NC(=O)c2cc(F)ccc2[O-])cccc1C(N)=O ZINC000436746196 420363780 /nfs/dbraw/zinc/36/37/80/420363780.db2.gz GKUNGSZLOQALBO-UHFFFAOYSA-N -1 1 304.277 1.891 20 0 DDADMM NC(=O)c1ccc(CNC(=O)c2cc(Cl)ccc2[O-])cn1 ZINC000436581668 420341468 /nfs/dbraw/zinc/34/14/68/420341468.db2.gz BIDPCTKEEIELEH-UHFFFAOYSA-N -1 1 305.721 1.470 20 0 DDADMM Cc1c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cnn1C(C)C ZINC000425316548 420348722 /nfs/dbraw/zinc/34/87/22/420348722.db2.gz CXEDQKWHPNFLGX-UHFFFAOYSA-N -1 1 319.365 1.881 20 0 DDADMM O=C(NCc1ccc(C(F)F)cc1)c1cc(=O)n2[n-]cnc2n1 ZINC000361365888 299853879 /nfs/dbraw/zinc/85/38/79/299853879.db2.gz PQUHMEMNKQJPBR-UHFFFAOYSA-N -1 1 319.271 1.285 20 0 DDADMM NC(=O)[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)c1ccc(F)cc1 ZINC000436708648 420359098 /nfs/dbraw/zinc/35/90/98/420359098.db2.gz SNURPHCVYZBAJP-ZDUSSCGKSA-N -1 1 316.288 1.057 20 0 DDADMM COC(=O)C1(C)CN(C(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000425342574 420359278 /nfs/dbraw/zinc/35/92/78/420359278.db2.gz ZITWZQPMUJSHEN-UHFFFAOYSA-N -1 1 315.329 1.279 20 0 DDADMM COC(=O)C1(C)CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000425342574 420359283 /nfs/dbraw/zinc/35/92/83/420359283.db2.gz ZITWZQPMUJSHEN-UHFFFAOYSA-N -1 1 315.329 1.279 20 0 DDADMM COCC[C@H](NC(=O)c1ccc(C(F)(F)F)cc1[O-])C(N)=O ZINC000436770684 420364505 /nfs/dbraw/zinc/36/45/05/420364505.db2.gz SPRRSMYPCAYTIN-VIFPVBQESA-N -1 1 320.267 1.031 20 0 DDADMM CC[C@H]1CCCC[C@H]1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416242331 420293549 /nfs/dbraw/zinc/29/35/49/420293549.db2.gz RPZNMJFLWHEGRG-UWVGGRQHSA-N -1 1 309.366 1.843 20 0 DDADMM CC[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cc(C(=O)OC)co2)CCO1 ZINC000420721225 420369454 /nfs/dbraw/zinc/36/94/54/420369454.db2.gz HVPSWKWYZJZDPQ-WDEREUQCSA-N -1 1 317.363 1.302 20 0 DDADMM Cc1c([C@H]2OCC[C@@H]2NC(=O)c2cc(F)ccc2[O-])cnn1C ZINC000436886881 420380449 /nfs/dbraw/zinc/38/04/49/420380449.db2.gz MJYJPIWBDGHXJQ-DZGCQCFKSA-N -1 1 319.336 1.833 20 0 DDADMM COCC[C@@]1([N-]S(=O)(=O)c2cc(F)ccc2F)CCOC1 ZINC000445076529 230156032 /nfs/dbraw/zinc/15/60/32/230156032.db2.gz HKMRWXUBHKKUAF-CYBMUJFWSA-N -1 1 321.345 1.439 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000416576128 420422187 /nfs/dbraw/zinc/42/21/87/420422187.db2.gz CQEDEXRORFYHQE-SNVBAGLBSA-N -1 1 318.420 1.380 20 0 DDADMM O=c1c(Br)c[nH]cc1[N-]S(=O)(=O)c1ccco1 ZINC000437664507 420417284 /nfs/dbraw/zinc/41/72/84/420417284.db2.gz ZXTKIOVBNSULER-UHFFFAOYSA-N -1 1 319.136 1.531 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2cc(F)ccc2F)c[nH]1 ZINC000438810530 420465567 /nfs/dbraw/zinc/46/55/67/420465567.db2.gz XDHFDQVIADVXSL-UHFFFAOYSA-N -1 1 316.285 1.880 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C\c2cccnc2)c1 ZINC000492317029 420521466 /nfs/dbraw/zinc/52/14/66/420521466.db2.gz AMXRSGRGMAPKAH-UTCJRWHESA-N -1 1 319.342 1.087 20 0 DDADMM CCC[C@H]1CCCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000456863595 420556225 /nfs/dbraw/zinc/55/62/25/420556225.db2.gz RHMSVLJCRFLMNQ-NWDGAFQWSA-N -1 1 317.393 1.754 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)/C=C/c2cncc(O)c2)c1 ZINC000492860527 420689275 /nfs/dbraw/zinc/68/92/75/420689275.db2.gz OZQXMBSUTWAOLP-GORDUTHDSA-N -1 1 314.297 1.931 20 0 DDADMM COCCOC1CN(C(=O)c2cc(Cl)c([O-])c(OC)c2)C1 ZINC000442669762 420698282 /nfs/dbraw/zinc/69/82/82/420698282.db2.gz HOPLNVBOIHIAHU-UHFFFAOYSA-N -1 1 315.753 1.542 20 0 DDADMM CCCCS(=O)(=O)[N-][C@@]1(C(=O)OC)C[C@@H](OCC)C1(C)C ZINC000444274967 420757562 /nfs/dbraw/zinc/75/75/62/420757562.db2.gz GNCNCXXELCWNRD-BXUZGUMPSA-N -1 1 321.439 1.453 20 0 DDADMM C[C@@H]1CC[C@@H](N(CCO)C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000454343904 420842930 /nfs/dbraw/zinc/84/29/30/420842930.db2.gz ODERUJNYOAKLLK-VXGBXAGGSA-N -1 1 315.373 1.546 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)C1COC1)C(=O)OC(C)(C)C ZINC000493961395 420972791 /nfs/dbraw/zinc/97/27/91/420972791.db2.gz PVDWGHQILQUDSI-ONGXEEELSA-N -1 1 307.412 1.061 20 0 DDADMM COCC(COC)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000456238588 421132032 /nfs/dbraw/zinc/13/20/32/421132032.db2.gz UBKFGVGOLKMHRY-UHFFFAOYSA-N -1 1 307.268 1.802 20 0 DDADMM Cc1nn(C)c(C)c1CCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000522856207 421227007 /nfs/dbraw/zinc/22/70/07/421227007.db2.gz LMPZSDWWAGHMMW-UHFFFAOYSA-N -1 1 317.397 1.068 20 0 DDADMM Cc1nn2c(CNC3(c4nn[n-]n4)CCCC3)c(C)nc2s1 ZINC000545328906 421255392 /nfs/dbraw/zinc/25/53/92/421255392.db2.gz SVNNRYQUEAFJDH-UHFFFAOYSA-N -1 1 318.410 1.480 20 0 DDADMM Cc1nn2c(CNC3(c4nnn[n-]4)CCCC3)c(C)nc2s1 ZINC000545328906 421255394 /nfs/dbraw/zinc/25/53/94/421255394.db2.gz SVNNRYQUEAFJDH-UHFFFAOYSA-N -1 1 318.410 1.480 20 0 DDADMM C[C@@H](CN(C)C(=O)c1c[nH]c(=O)c2ccccc12)c1nn[n-]n1 ZINC000526057265 421327739 /nfs/dbraw/zinc/32/77/39/421327739.db2.gz BENMYSWVTGKMPL-VIFPVBQESA-N -1 1 312.333 1.329 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(Cl)cc2c1OCC2)c1nn[n-]n1 ZINC000547488768 421337671 /nfs/dbraw/zinc/33/76/71/421337671.db2.gz LVKKDBMHYGKWNA-MRVPVSSYSA-N -1 1 321.768 1.664 20 0 DDADMM O=C([O-])[C@H](CO)NC(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000562433816 421366148 /nfs/dbraw/zinc/36/61/48/421366148.db2.gz OCQCWCCTVIYGNR-QMMMGPOBSA-N -1 1 314.248 1.068 20 0 DDADMM CC(C)(C)c1nc(=NC[C@H]2CCCCS2(=O)=O)s[n-]1 ZINC000548284746 421417528 /nfs/dbraw/zinc/41/75/28/421417528.db2.gz XSBFYOSXCWBIRG-SECBINFHSA-N -1 1 303.453 1.637 20 0 DDADMM C[C@@H]1CCN2[C@H](c3n[nH]c4cc(C(=O)[O-])ccc43)NC(=O)[C@@H]2C1 ZINC000548290853 421418955 /nfs/dbraw/zinc/41/89/55/421418955.db2.gz IZGXZSDJAXZTCV-CWONEXCFSA-N -1 1 314.345 1.490 20 0 DDADMM Cc1nc2ccc(C(=O)NC3(c4nn[n-]n4)CC3)cc2s1 ZINC000562926964 421427033 /nfs/dbraw/zinc/42/70/33/421427033.db2.gz UADIMXFTAFJTRR-UHFFFAOYSA-N -1 1 300.347 1.537 20 0 DDADMM C[C@H]1CCN(C(=O)NCc2ccc3cncn3c2)C[C@H]1C(=O)[O-] ZINC000563078416 421451047 /nfs/dbraw/zinc/45/10/47/421451047.db2.gz ZDTSVAWPKHCXMA-SMDDNHRTSA-N -1 1 316.361 1.587 20 0 DDADMM C[C@@H]1CN(CC[N-]S(=O)(=O)c2cc3ccccc3o2)CCO1 ZINC000548041634 421393727 /nfs/dbraw/zinc/39/37/27/421393727.db2.gz JNLOZPFPYROCDA-GFCCVEGCSA-N -1 1 324.402 1.432 20 0 DDADMM O=C([O-])c1ccc(NC[C@H](c2ccco2)N2CCCCC2)nn1 ZINC000516070859 421525795 /nfs/dbraw/zinc/52/57/95/421525795.db2.gz RZWCIPKXTDFUMQ-CYBMUJFWSA-N -1 1 316.361 1.829 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](CO)CC3CCC3)cnc2n1 ZINC000552235360 421581558 /nfs/dbraw/zinc/58/15/58/421581558.db2.gz RSGPAFJQXVUIIB-LBPRGKRZSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H](CO)CC3CCC3)c[n-]c2n1 ZINC000552235360 421581561 /nfs/dbraw/zinc/58/15/61/421581561.db2.gz RSGPAFJQXVUIIB-LBPRGKRZSA-N -1 1 315.373 1.925 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1CCc2cccc(O)c21 ZINC000551256646 421529898 /nfs/dbraw/zinc/52/98/98/421529898.db2.gz HPKRSNADYJHENT-LLVKDONJSA-N -1 1 313.361 1.521 20 0 DDADMM CC(C)[N@H+]1CC[C@@H](N(C)C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000563787879 421541285 /nfs/dbraw/zinc/54/12/85/421541285.db2.gz KHYYEZVQRBDKLR-GFCCVEGCSA-N -1 1 314.389 1.478 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2(CF)CCOCC2)sc1C ZINC000556330654 421708328 /nfs/dbraw/zinc/70/83/28/421708328.db2.gz GXTYTSDWYSRVOR-UHFFFAOYSA-N -1 1 322.427 1.805 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCc1ccc(F)cc1F ZINC000571448690 421717041 /nfs/dbraw/zinc/71/70/41/421717041.db2.gz RTFNMJGARNOAPA-UHFFFAOYSA-N -1 1 317.317 1.195 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1ccc(F)cc1F ZINC000571448690 421717042 /nfs/dbraw/zinc/71/70/42/421717042.db2.gz RTFNMJGARNOAPA-UHFFFAOYSA-N -1 1 317.317 1.195 20 0 DDADMM Cc1cncc(CCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000556681391 421721383 /nfs/dbraw/zinc/72/13/83/421721383.db2.gz NCXHCRJIDGTPGU-ZDUSSCGKSA-N -1 1 300.366 1.242 20 0 DDADMM CC(C)c1ncncc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000537122575 421728406 /nfs/dbraw/zinc/72/84/06/421728406.db2.gz DUOKUVFXFLAHQJ-JTQLQIEISA-N -1 1 301.354 1.133 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@@H](C(=O)[O-])C1 ZINC000570818275 421671743 /nfs/dbraw/zinc/67/17/43/421671743.db2.gz PXOCDYFAOKUCFG-ZWNOBZJWSA-N -1 1 319.317 1.374 20 0 DDADMM O=C(COc1ccc(Cl)c(F)c1)NC1(c2nn[n-]n2)CC1 ZINC000556769184 421735120 /nfs/dbraw/zinc/73/51/20/421735120.db2.gz JIJWTAJSEAHMNT-UHFFFAOYSA-N -1 1 311.704 1.177 20 0 DDADMM CC(C)(NC(=O)c1ccc(Cl)c(Cl)c1[O-])c1nn[nH]n1 ZINC000556975686 421753983 /nfs/dbraw/zinc/75/39/83/421753983.db2.gz YPRKQVIZQNEIGE-UHFFFAOYSA-N -1 1 316.148 1.877 20 0 DDADMM Cc1cnc(C(=O)N2CC[N@@H+]([C@@H]3C[C@@H]3C)C[C@@H](C)C2)c([O-])c1 ZINC000558027366 421796493 /nfs/dbraw/zinc/79/64/93/421796493.db2.gz PCLGOFWPXGOCER-HZSPNIEDSA-N -1 1 303.406 1.898 20 0 DDADMM C[C@@H]1CN(Cc2nnnn2Cc2ccccc2)C[C@]1(C)C(=O)[O-] ZINC000572609390 421805702 /nfs/dbraw/zinc/80/57/02/421805702.db2.gz NXHAVOSESHRXLB-WBMJQRKESA-N -1 1 315.377 1.264 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000630072974 421872315 /nfs/dbraw/zinc/87/23/15/421872315.db2.gz OLZOSDXCOVDHDE-WFASDCNBSA-N -1 1 307.394 1.899 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CNCc1ccc2c(c1)OCCCO2 ZINC000635305209 421895118 /nfs/dbraw/zinc/89/51/18/421895118.db2.gz FHOHMHYOYGKLHH-UHFFFAOYSA-N -1 1 317.345 1.569 20 0 DDADMM CC(=O)N1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)[C@@H](C)C1 ZINC000631832786 421866625 /nfs/dbraw/zinc/86/66/25/421866625.db2.gz NJMYFZKVWGUUEW-JTQLQIEISA-N -1 1 310.781 1.667 20 0 DDADMM C[C@H](NC(=O)c1cncc([O-])c1)c1nc(C(F)(F)F)no1 ZINC000627821453 421904763 /nfs/dbraw/zinc/90/47/63/421904763.db2.gz PRNWNFDTRBYGBK-YFKPBYRVSA-N -1 1 302.212 1.680 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cccc3c2OCO3)CC1 ZINC000630222863 421972789 /nfs/dbraw/zinc/97/27/89/421972789.db2.gz PWKBBEQEHFBKRE-UHFFFAOYSA-N -1 1 320.345 1.036 20 0 DDADMM CCCOCc1ccccc1CNC(=O)CCCc1nn[n-]n1 ZINC000635356319 421934289 /nfs/dbraw/zinc/93/42/89/421934289.db2.gz NEEHXCMYFITPIC-UHFFFAOYSA-N -1 1 317.393 1.765 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(CC(F)F)C2CC2)c1 ZINC000631915414 421937061 /nfs/dbraw/zinc/93/70/61/421937061.db2.gz IXLZKVCKUBTZEG-UHFFFAOYSA-N -1 1 307.318 1.819 20 0 DDADMM Cc1cccc(OCC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000630221766 421974214 /nfs/dbraw/zinc/97/42/14/421974214.db2.gz BXDVEPJJCRJLMH-AWEZNQCLSA-N -1 1 320.389 1.381 20 0 DDADMM Cn1cncc1CC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000573417607 421959559 /nfs/dbraw/zinc/95/95/59/421959559.db2.gz MIIQYLOGWIAMHQ-UHFFFAOYSA-N -1 1 317.773 1.734 20 0 DDADMM Cn1cnc(CCCNC(=O)Cc2ccc([O-])c(Cl)c2)n1 ZINC000633550831 421961071 /nfs/dbraw/zinc/96/10/71/421961071.db2.gz IDRIITGHQNPWDJ-UHFFFAOYSA-N -1 1 308.769 1.466 20 0 DDADMM CO[C@@H](C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1ccccc1 ZINC000630219987 421969832 /nfs/dbraw/zinc/96/98/32/421969832.db2.gz KOOROLONHUVCEM-XJKSGUPXSA-N -1 1 320.389 1.382 20 0 DDADMM CC(C)[C@H]1CNCCN1S(=O)(=O)c1cccc(Cl)c1[O-] ZINC000631953889 421970905 /nfs/dbraw/zinc/97/09/05/421970905.db2.gz VSHGXWIGQCUCNX-LLVKDONJSA-N -1 1 318.826 1.664 20 0 DDADMM CCn1cc([C@H]2C[S@](=O)CCN2Cc2ncccc2[O-])cn1 ZINC000581694017 422028496 /nfs/dbraw/zinc/02/84/96/422028496.db2.gz ZSQOIAGPMYCDIN-JLCFBVMHSA-N -1 1 320.418 1.309 20 0 DDADMM C[C@H]1C[C@@H](NS(=O)(=O)c2cccc(Cl)c2[O-])CCN1C ZINC000632030213 422036644 /nfs/dbraw/zinc/03/66/44/422036644.db2.gz PJECMLCHNSIBFL-UWVGGRQHSA-N -1 1 318.826 1.807 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)CC(C)(C)OC)c1 ZINC000632034172 422038732 /nfs/dbraw/zinc/03/87/32/422038732.db2.gz MYACBFWIEQVDMT-SNVBAGLBSA-N -1 1 317.407 1.883 20 0 DDADMM Cc1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c(O)c1 ZINC000630226347 421976315 /nfs/dbraw/zinc/97/63/15/421976315.db2.gz OIOWDFWRNDWTLB-UHFFFAOYSA-N -1 1 306.362 1.322 20 0 DDADMM CO[C@H](CNC(=O)Cc1ccc([O-])c(Cl)c1)[C@H]1CCOC1 ZINC000633607571 421997094 /nfs/dbraw/zinc/99/70/94/421997094.db2.gz XMTNNKDXWRNPJA-SMDDNHRTSA-N -1 1 313.781 1.756 20 0 DDADMM CO[C@H]1CN(C(=O)c2cccc3c[nH]nc32)[C@](C)(C(=O)[O-])C1 ZINC000630310732 422015533 /nfs/dbraw/zinc/01/55/33/422015533.db2.gz KEFPBCYLRVNFBW-BMIGLBTASA-N -1 1 303.318 1.267 20 0 DDADMM Cc1csc(C2(NC(=O)CCCc3nn[n-]n3)CCCC2)n1 ZINC000635555989 422107877 /nfs/dbraw/zinc/10/78/77/422107877.db2.gz DALGUJKMJGSWOQ-UHFFFAOYSA-N -1 1 320.422 1.873 20 0 DDADMM CCn1nncc1CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000628268100 422111301 /nfs/dbraw/zinc/11/13/01/422111301.db2.gz LMRSRYXGXMISFK-UHFFFAOYSA-N -1 1 314.267 1.952 20 0 DDADMM O=C([O-])c1cnc(NCCN2CCc3sccc3C2)nc1 ZINC000574043702 422053119 /nfs/dbraw/zinc/05/31/19/422053119.db2.gz PEHVCWLUJQLFSS-UHFFFAOYSA-N -1 1 304.375 1.128 20 0 DDADMM NC(=O)c1csc(=NCc2cnn(CC(F)(F)F)c2)[n-]1 ZINC000581861663 422055878 /nfs/dbraw/zinc/05/58/78/422055878.db2.gz XHXMRCDMRAXMCN-UHFFFAOYSA-N -1 1 305.285 1.035 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H]([N-]S(=O)(=O)c2cc(C)ns2)C1(C)C ZINC000632184672 422147467 /nfs/dbraw/zinc/14/74/67/422147467.db2.gz WDMJBBDUQOQVNE-INTQDDNPSA-N -1 1 304.437 1.789 20 0 DDADMM CCN(CC)C(=O)CN(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630563223 422180759 /nfs/dbraw/zinc/18/07/59/422180759.db2.gz GTCLRFMTLPBWBL-UHFFFAOYSA-N -1 1 312.797 1.915 20 0 DDADMM CC[C@@H](C)n1ncc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1C ZINC000574573065 422134885 /nfs/dbraw/zinc/13/48/85/422134885.db2.gz WGVYKGXFIUDERQ-PWSUYJOCSA-N -1 1 317.397 1.695 20 0 DDADMM O=C(NC[C@H](n1cncn1)C(F)(F)F)c1c([O-])cccc1F ZINC000633985014 422198682 /nfs/dbraw/zinc/19/86/82/422198682.db2.gz UUBXDGIPUHDBFQ-VIFPVBQESA-N -1 1 318.230 1.656 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)C(=O)CCCc2nn[n-]n2)C1(CC)CC ZINC000635659451 422213732 /nfs/dbraw/zinc/21/37/32/422213732.db2.gz OHFLUIBRZWSPGM-OLZOCXBDSA-N -1 1 323.441 1.965 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCSC2)c1 ZINC000632289337 422223891 /nfs/dbraw/zinc/22/38/91/422223891.db2.gz SQDFXBYISJUNHB-SECBINFHSA-N -1 1 303.405 1.432 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-][C@@H](C(C)C)[C@H](O)C(F)(F)F ZINC000632305995 422236878 /nfs/dbraw/zinc/23/68/78/422236878.db2.gz JYGZDLCOKBPVAJ-IUCAKERBSA-N -1 1 316.301 1.209 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCC[C@H](F)C1 ZINC000584001099 422237501 /nfs/dbraw/zinc/23/75/01/422237501.db2.gz YBMKCSAIPWUJRL-LBPRGKRZSA-N -1 1 320.368 1.945 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCN(c2ccc(Cl)cc2)C1 ZINC000632312068 422242921 /nfs/dbraw/zinc/24/29/21/422242921.db2.gz LTDLMDDBWLYZBS-LLVKDONJSA-N -1 1 320.784 1.181 20 0 DDADMM Cc1ccsc1CNC(=O)CN1CC[C@H](C)[C@H](C(=O)[O-])C1 ZINC000575059518 422249166 /nfs/dbraw/zinc/24/91/66/422249166.db2.gz ZLBUFXRYXIUTDI-CMPLNLGQSA-N -1 1 310.419 1.715 20 0 DDADMM CO[C@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)[C@](C)(CO)C1 ZINC000634077053 422257352 /nfs/dbraw/zinc/25/73/52/422257352.db2.gz ZTJNSINOADLFCX-ABAIWWIYSA-N -1 1 313.781 1.586 20 0 DDADMM CC(=O)C[C@@H]1COCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632393168 422301973 /nfs/dbraw/zinc/30/19/73/422301973.db2.gz HUKAHAATEFWEDB-GFCCVEGCSA-N -1 1 311.765 1.795 20 0 DDADMM CCC(CC)[C@H]1C[C@H](NC(=O)CCCc2nn[n-]n2)CCO1 ZINC000635775856 422335071 /nfs/dbraw/zinc/33/50/71/422335071.db2.gz GLZPQTDSZIJOOB-CHWSQXEVSA-N -1 1 309.414 1.622 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)CCC(=O)N1 ZINC000632432208 422339223 /nfs/dbraw/zinc/33/92/23/422339223.db2.gz HISJCEUCCFWXGJ-LLVKDONJSA-N -1 1 308.306 1.164 20 0 DDADMM Cn1cnnc1CCC[N-]S(=O)(=O)c1sccc1Cl ZINC000632435227 422340181 /nfs/dbraw/zinc/34/01/81/422340181.db2.gz TWUGUNLEBVAGSW-UHFFFAOYSA-N -1 1 320.827 1.441 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)c2ccn(C)n2)c1 ZINC000632441632 422345778 /nfs/dbraw/zinc/34/57/78/422345778.db2.gz HADGMNKOFJLTJF-VIFPVBQESA-N -1 1 311.363 1.174 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCc2cc(Br)ccc21 ZINC000630835335 422364128 /nfs/dbraw/zinc/36/41/28/422364128.db2.gz IABIFPHHCAGYGV-UHFFFAOYSA-N -1 1 322.166 1.484 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](CO)C[C@H](C)C2)c1 ZINC000632473922 422372175 /nfs/dbraw/zinc/37/21/75/422372175.db2.gz PQVKXSCHTDBAKX-WDEREUQCSA-N -1 1 315.391 1.040 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](OC)[C@@H](C)C2)c1 ZINC000632411311 422319067 /nfs/dbraw/zinc/31/90/67/422319067.db2.gz YLCMIZSGNWFMNP-GWCFXTLKSA-N -1 1 315.391 1.446 20 0 DDADMM NC(=O)[C@H]1C[C@H](NC(=O)c2cc(Br)ccc2[O-])C1 ZINC000628781105 422325982 /nfs/dbraw/zinc/32/59/82/422325982.db2.gz HTPNFPGAWYDAEW-FKQCQYRASA-N -1 1 313.151 1.148 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](C)C(O)[C@@H](C)C2)c1 ZINC000632555901 422434353 /nfs/dbraw/zinc/43/43/53/422434353.db2.gz URRQJZXCJSYMOF-UWVGGRQHSA-N -1 1 315.391 1.038 20 0 DDADMM O=C(N[C@@H]1COCC[C@H]1O)c1ccc(Br)c([O-])c1 ZINC000634464489 422442340 /nfs/dbraw/zinc/44/23/40/422442340.db2.gz NSRHEWXGMRHSGE-NXEZZACHSA-N -1 1 316.151 1.034 20 0 DDADMM COCC1(CNS(=O)(=O)c2cc(OC)ccc2[O-])CC1 ZINC000632539033 422419932 /nfs/dbraw/zinc/41/99/32/422419932.db2.gz YHRDVMACSBQNFZ-UHFFFAOYSA-N -1 1 301.364 1.106 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@@H]1CCCN1c1ncccn1 ZINC000628961198 422421197 /nfs/dbraw/zinc/42/11/97/422421197.db2.gz VGTPXSQMKNUMIP-LBPRGKRZSA-N -1 1 302.309 1.929 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1c(F)cc(F)c(F)c1F ZINC000635870526 422428709 /nfs/dbraw/zinc/42/87/09/422428709.db2.gz AFGZSHZBTCMHLN-UHFFFAOYSA-N -1 1 317.246 1.395 20 0 DDADMM Cc1c(-c2ccccc2)[n-]n(C2CCS(=O)(=O)CC2)c1=O ZINC000634619750 422510135 /nfs/dbraw/zinc/51/01/35/422510135.db2.gz JAHZMPXUESQPRC-NSHDSACASA-N -1 1 306.387 1.446 20 0 DDADMM O=C([O-])CSCC(=O)N[C@H](Cc1nc[nH]n1)c1ccccc1 ZINC000578192427 422519775 /nfs/dbraw/zinc/51/97/75/422519775.db2.gz PPSDVSVNKZVUKQ-LLVKDONJSA-N -1 1 320.374 1.023 20 0 DDADMM CC1([N-]S(=O)(=O)c2sccc2Br)COC1 ZINC000632665451 422503592 /nfs/dbraw/zinc/50/35/92/422503592.db2.gz RNTZKWWLBRAVCH-UHFFFAOYSA-N -1 1 312.210 1.578 20 0 DDADMM CO[C@@](C)(CNC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC000635988729 422542541 /nfs/dbraw/zinc/54/25/41/422542541.db2.gz SRVIAYWVLNRKCK-HNNXBMFYSA-N -1 1 303.366 1.200 20 0 DDADMM CC[C@@](C)(O)CN(Cc1ccccc1)C(=O)CCc1nn[n-]n1 ZINC000632691523 422525014 /nfs/dbraw/zinc/52/50/14/422525014.db2.gz ORRIJZYWRBYKGC-MRXNPFEDSA-N -1 1 317.393 1.322 20 0 DDADMM CC(=O)N1CCC[C@H](CNC(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000632815917 422599178 /nfs/dbraw/zinc/59/91/78/422599178.db2.gz WMJTVFKXBSDAGK-CYBMUJFWSA-N -1 1 324.808 1.963 20 0 DDADMM C[C@@H]1CC[C@H](O)[C@H]([C@H]2CCCCN2C(=O)CCc2nn[n-]n2)C1 ZINC000632820041 422603817 /nfs/dbraw/zinc/60/38/17/422603817.db2.gz CDUCUANDMUAJNK-RQJABVFESA-N -1 1 321.425 1.311 20 0 DDADMM COc1ccccc1C1(NC(=O)CCCc2nn[n-]n2)CC1 ZINC000636063897 422609053 /nfs/dbraw/zinc/60/90/53/422609053.db2.gz RSJIBIBAHKWBEM-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM CNC(=O)[C@@]1(C)CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000632839503 422618786 /nfs/dbraw/zinc/61/87/86/422618786.db2.gz WFFBWPGCUJFCCH-HNNXBMFYSA-N -1 1 310.781 1.573 20 0 DDADMM Cc1nc(C)n(C2CN(C(=O)Cc3ccc([O-])c(Cl)c3)C2)n1 ZINC000632868032 422632621 /nfs/dbraw/zinc/63/26/21/422632621.db2.gz MRFMGHNYTPYYAQ-UHFFFAOYSA-N -1 1 320.780 1.880 20 0 DDADMM CS(=O)(=O)CCCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629377225 422639475 /nfs/dbraw/zinc/63/94/75/422639475.db2.gz GVWHOBBPFFYOEM-UHFFFAOYSA-N -1 1 323.374 1.341 20 0 DDADMM CC(=O)NC(C)(C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629378685 422639836 /nfs/dbraw/zinc/63/98/36/422639836.db2.gz UGSZHLMIVVTKCO-UHFFFAOYSA-N -1 1 302.334 1.431 20 0 DDADMM O=C(C[C@H]1CCCC(=O)N1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629380579 422640204 /nfs/dbraw/zinc/64/02/04/422640204.db2.gz SNBDDRJJINVDDO-GFCCVEGCSA-N -1 1 314.345 1.575 20 0 DDADMM Cc1cc(C)cc(-c2cc(=NC(=O)[C@H]3CNCCO3)[nH][nH]2)c1 ZINC000645842141 423210382 /nfs/dbraw/zinc/21/03/82/423210382.db2.gz UTRBHGPJSZFJIG-CQSZACIVSA-N -1 1 300.362 1.042 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1nc2ccccc2o1)c1nn[n-]n1 ZINC000183448227 263379310 /nfs/dbraw/zinc/37/93/10/263379310.db2.gz AXTBPVIGUCWRRO-QROSGCPLSA-N -1 1 312.333 1.616 20 0 DDADMM C[C@H](CCO)N(C)C(=O)c1ccc(Br)cc1[O-] ZINC000652959483 423234770 /nfs/dbraw/zinc/23/47/70/423234770.db2.gz FITFDZBGRFRDKR-MRVPVSSYSA-N -1 1 302.168 1.998 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@@](COC)(C(=O)[O-])C2)n[nH]1 ZINC000648344260 423325645 /nfs/dbraw/zinc/32/56/45/423325645.db2.gz DSMQQWIWVILYNW-OAHLLOKOSA-N -1 1 309.366 1.316 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cn(Cc2cccs2)nn1 ZINC000646413060 423480135 /nfs/dbraw/zinc/48/01/35/423480135.db2.gz QUGSEAPOVUNEHO-UHFFFAOYSA-N -1 1 304.335 1.377 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCCN(C(=O)N2CCCC2)C1 ZINC000646410839 423480668 /nfs/dbraw/zinc/48/06/68/423480668.db2.gz ZRDMVDXQQBDBMW-LLVKDONJSA-N -1 1 321.381 1.290 20 0 DDADMM COCCN(CC(=O)[O-])C(=O)c1cc(C2CCCCC2)[nH]n1 ZINC000653537171 423517419 /nfs/dbraw/zinc/51/74/19/423517419.db2.gz KECIEZQMAHARSW-UHFFFAOYSA-N -1 1 309.366 1.631 20 0 DDADMM CCC[C@H](NC(=O)c1cnc2n1[C@@H](C)CCC2)c1nn[n-]n1 ZINC000651461790 423551706 /nfs/dbraw/zinc/55/17/06/423551706.db2.gz ILDLEBGQMMDWLD-UWVGGRQHSA-N -1 1 303.370 1.565 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2ccncc2c1 ZINC000651353834 423503849 /nfs/dbraw/zinc/50/38/49/423503849.db2.gz YFOPCCQUZAJAGE-UHFFFAOYSA-N -1 1 308.345 1.947 20 0 DDADMM CC(C)(CNC(=O)c1cc(Cl)ccc1[O-])[C@]1(O)CCOC1 ZINC000649094780 423625502 /nfs/dbraw/zinc/62/55/02/423625502.db2.gz HJRXMSQMLGGHMR-HNNXBMFYSA-N -1 1 313.781 1.953 20 0 DDADMM Cn1nccc1[C@@H](NCc1ccc2c(c1)OCCO2)C(=O)[O-] ZINC000644598219 423718633 /nfs/dbraw/zinc/71/86/33/423718633.db2.gz QFESBTRPJKEONQ-CQSZACIVSA-N -1 1 303.318 1.107 20 0 DDADMM CCC(C)(C)NC(=O)[C@@H](C)N1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639825979 423762527 /nfs/dbraw/zinc/76/25/27/423762527.db2.gz QWFAMALEKJQHFM-GHMZBOCLSA-N -1 1 309.414 1.355 20 0 DDADMM C[C@@H](NC(=O)[C@H](N)c1ccccc1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000656856030 423798268 /nfs/dbraw/zinc/79/82/68/423798268.db2.gz XIZCLHLQEBJUPV-YXCITZCRSA-N -1 1 304.390 1.938 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncccc1Br)[C@H](C)CO ZINC000656913527 423865561 /nfs/dbraw/zinc/86/55/61/423865561.db2.gz GRGGLBLSSLHKQP-HTQZYQBOSA-N -1 1 323.212 1.139 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cnn(Cc3ccccn3)c2)CC1 ZINC000641682227 423851877 /nfs/dbraw/zinc/85/18/77/423851877.db2.gz BXIZLITVOJBKAD-UHFFFAOYSA-N -1 1 322.390 1.247 20 0 DDADMM C[C@H](O)CCC[N-]S(=O)(=O)c1ncccc1Br ZINC000656974982 423949502 /nfs/dbraw/zinc/94/95/02/423949502.db2.gz KMXPWHXHNOSECD-QMMMGPOBSA-N -1 1 323.212 1.283 20 0 DDADMM O=C([C@@H]1C[C@H]2CC(=O)[C@@H]1C2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887596 424016810 /nfs/dbraw/zinc/01/68/10/424016810.db2.gz PIUIQVLWXPRBHX-JMJZKYOTSA-N -1 1 305.334 1.096 20 0 DDADMM O=C(c1cnc2[nH]cnc2c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644886870 424017264 /nfs/dbraw/zinc/01/72/64/424017264.db2.gz QABPFGLKOJPNRZ-UHFFFAOYSA-N -1 1 314.305 1.066 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCCN(C)C2)c(F)c1 ZINC000644855485 423977922 /nfs/dbraw/zinc/97/79/22/423977922.db2.gz IEODIDHGTLDDNR-SNVBAGLBSA-N -1 1 304.362 1.646 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc(N(C)C)c(Cl)c1)c1nn[n-]n1 ZINC000654871204 423986693 /nfs/dbraw/zinc/98/66/93/423986693.db2.gz OEXXOABPXSUHFO-SNVBAGLBSA-N -1 1 323.788 1.585 20 0 DDADMM CC1(C)C[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CO1 ZINC000657041868 424029051 /nfs/dbraw/zinc/02/90/51/424029051.db2.gz MQQMWYYEQNPNFP-SSDOTTSWSA-N -1 1 309.309 1.950 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1cc(F)ccc1F)[C@@H]1CCOC1 ZINC000657047075 424038149 /nfs/dbraw/zinc/03/81/49/424038149.db2.gz OTXVSTJKMGJYAK-BXKDBHETSA-N -1 1 321.345 1.295 20 0 DDADMM CCN(C)c1ccc(CN[C@H](C(=O)[O-])c2ccnn2C)cn1 ZINC000647467878 424048972 /nfs/dbraw/zinc/04/89/72/424048972.db2.gz YVZWHMBUIVIUHV-AWEZNQCLSA-N -1 1 303.366 1.187 20 0 DDADMM CC(C)(C)C(=O)N1CCC(N=c2[n-]c(C(N)=O)cs2)CC1 ZINC000644934407 424054904 /nfs/dbraw/zinc/05/49/04/424054904.db2.gz KWMIHWVPFNJOSX-UHFFFAOYSA-N -1 1 310.423 1.113 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc2c1OC(C)(C)C2)c1nn[n-]n1 ZINC000654998062 424102430 /nfs/dbraw/zinc/10/24/30/424102430.db2.gz ZFKSEZBEPBOHDU-SNVBAGLBSA-N -1 1 315.377 1.789 20 0 DDADMM CC(C)CN1CCN(C(=O)c2cccc(OCC(=O)[O-])c2)CC1 ZINC000659752988 424221110 /nfs/dbraw/zinc/22/11/10/424221110.db2.gz JKPXZCBZHGMFFO-UHFFFAOYSA-N -1 1 320.389 1.564 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C[C@@H](CO)O1 ZINC000649824258 424225380 /nfs/dbraw/zinc/22/53/80/424225380.db2.gz BDKNWLAHLSVRBI-SCZZXKLOSA-N -1 1 319.279 1.633 20 0 DDADMM CCOc1cc(N2CCC[C@@H](c3n[n-]c(=O)o3)C2)nc(C)n1 ZINC000663871169 424241425 /nfs/dbraw/zinc/24/14/25/424241425.db2.gz PAHZEAUIZCEOTM-SNVBAGLBSA-N -1 1 305.338 1.656 20 0 DDADMM CCN1C[C@H](CNC(=O)N=c2[n-]sc3ccccc32)CC1=O ZINC000640336789 424356421 /nfs/dbraw/zinc/35/64/21/424356421.db2.gz AFBRLOLADQVUER-JTQLQIEISA-N -1 1 318.402 1.708 20 0 DDADMM CN(C(=O)N=c1[n-]sc2ccccc21)[C@H]1CCN(C)C1=O ZINC000640339095 424360189 /nfs/dbraw/zinc/36/01/89/424360189.db2.gz KWTGUTPWZHLMSG-JTQLQIEISA-N -1 1 304.375 1.413 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCCCN1CCCC1=O ZINC000640341041 424363522 /nfs/dbraw/zinc/36/35/22/424363522.db2.gz BQXCQFZFCWEPIH-UHFFFAOYSA-N -1 1 318.402 1.852 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCc1nnnn1C1CC1 ZINC000640340787 424364679 /nfs/dbraw/zinc/36/46/79/424364679.db2.gz OJKBUJHPUYHPCB-UHFFFAOYSA-N -1 1 315.362 1.361 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC(F)(F)c2ccc(F)cc2)c1 ZINC000660057841 424491203 /nfs/dbraw/zinc/49/12/03/424491203.db2.gz ZTVDFQJCINNAOE-UHFFFAOYSA-N -1 1 319.308 1.630 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2ccc3c(c2)C(=O)CC3)n1 ZINC000655493288 424513823 /nfs/dbraw/zinc/51/38/23/424513823.db2.gz DZGFJDKKWNJOGV-MRVPVSSYSA-N -1 1 321.358 1.546 20 0 DDADMM Cc1ccc2c(c1Br)CN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000662191000 424464263 /nfs/dbraw/zinc/46/42/63/424464263.db2.gz NNRAXPAUXKRDSK-UHFFFAOYSA-N -1 1 323.194 1.695 20 0 DDADMM C[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@@H](C)C1O ZINC000664370865 424563403 /nfs/dbraw/zinc/56/34/03/424563403.db2.gz RYXIXRALMSTITF-NXEZZACHSA-N -1 1 301.346 1.012 20 0 DDADMM Cc1nn(C)c2nc(C(=O)Nc3nc(-c4ccco4)n[nH]3)ccc12 ZINC000342331423 271378988 /nfs/dbraw/zinc/37/89/88/271378988.db2.gz FTFSKDSDXLMMEE-UHFFFAOYSA-N -1 1 323.316 1.907 20 0 DDADMM C[C@@H](C(=O)Nc1ccncc1[O-])n1nnc(-c2ccccc2)n1 ZINC000345375104 272234228 /nfs/dbraw/zinc/23/42/28/272234228.db2.gz BEOARLFICJIXPP-JTQLQIEISA-N -1 1 310.317 1.062 20 0 DDADMM CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)Nc1ccc(F)cc1[O-] ZINC000340329651 287761151 /nfs/dbraw/zinc/76/11/51/287761151.db2.gz PBZUOOAYIFHOIA-UFBFGSQYSA-N -1 1 318.370 1.434 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3ccccc3n2)n1 ZINC000339176025 276301648 /nfs/dbraw/zinc/30/16/48/276301648.db2.gz ZVOWXWQQGUUDLR-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM CN(C)c1noc([C@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000194482677 276908939 /nfs/dbraw/zinc/90/89/39/276908939.db2.gz KZZHJHSLAONRAB-JTQLQIEISA-N -1 1 317.349 1.256 20 0 DDADMM C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1ccc(Cl)cc1[O-] ZINC000287520465 277974979 /nfs/dbraw/zinc/97/49/79/277974979.db2.gz VFRIDWHMRSHXNX-DGCLKSJQSA-N -1 1 324.808 1.981 20 0 DDADMM Cc1cccc2ncnc(N3CCC(c4n[n-]c(=O)o4)CC3)c12 ZINC000285474455 278945749 /nfs/dbraw/zinc/94/57/49/278945749.db2.gz CNIUNBNZERXERO-UHFFFAOYSA-N -1 1 311.345 1.999 20 0 DDADMM CC[C@@H](C)[C@H](NS(C)(=O)=O)C(=O)Nc1ccc(F)cc1[O-] ZINC000340329647 280125747 /nfs/dbraw/zinc/12/57/47/280125747.db2.gz PBZUOOAYIFHOIA-PELKAZGASA-N -1 1 318.370 1.434 20 0 DDADMM CCO[C@H](CC)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000114412718 281073512 /nfs/dbraw/zinc/07/35/12/281073512.db2.gz BLIYKEGWEUUHQB-GFCCVEGCSA-N -1 1 306.366 1.071 20 0 DDADMM CSC[C@@H](CCO)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000121202423 281133654 /nfs/dbraw/zinc/13/36/54/281133654.db2.gz LLZNBKGLYKMHQJ-SECBINFHSA-N -1 1 311.375 1.357 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)c2cnn(C)c2)cc1F ZINC000126457464 281240269 /nfs/dbraw/zinc/24/02/69/281240269.db2.gz DUHSTHJIZDIBQJ-UHFFFAOYSA-N -1 1 303.290 1.508 20 0 DDADMM C[C@@H]1[C@H](C)SCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132737316 289027682 /nfs/dbraw/zinc/02/76/82/289027682.db2.gz XGEZOLLRLBMAHS-BDAKNGLRSA-N -1 1 306.391 1.640 20 0 DDADMM NC(=O)[C@H]1CCCCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180352770 295146320 /nfs/dbraw/zinc/14/63/20/295146320.db2.gz MSMHZSRFUXWPDX-SNVBAGLBSA-N -1 1 316.279 1.891 20 0 DDADMM O=C([O-])C1(NS(=O)(=O)c2c(F)cc(F)cc2F)CCC1 ZINC000164924445 298214265 /nfs/dbraw/zinc/21/42/65/298214265.db2.gz JGFOMHCWNBRBQV-UHFFFAOYSA-N -1 1 309.265 1.390 20 0 DDADMM O=C([O-])C1(CNS(=O)(=O)c2c(F)cccc2F)CCCC1 ZINC000165344379 298216022 /nfs/dbraw/zinc/21/60/22/298216022.db2.gz PIKWKMSKXAMXKK-UHFFFAOYSA-N -1 1 319.329 1.888 20 0 DDADMM CO[C@H]1CCCC[C@@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000362433537 300061877 /nfs/dbraw/zinc/06/18/77/300061877.db2.gz CLZZVBDHUHQSMO-STQMWFEESA-N -1 1 301.346 1.611 20 0 DDADMM O=C(c1sccc1C1CC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363395816 300262368 /nfs/dbraw/zinc/26/23/68/300262368.db2.gz NOLDXMUHFVHANG-SNVBAGLBSA-N -1 1 305.363 1.352 20 0 DDADMM CCCCCNC(=O)[C@H](C)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000367362238 300836329 /nfs/dbraw/zinc/83/63/29/300836329.db2.gz VPELHZUIDKUFSN-NSHDSACASA-N -1 1 309.414 1.385 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@@](CO)(c2ccccc2)C1 ZINC000370509670 301354562 /nfs/dbraw/zinc/35/45/62/301354562.db2.gz VUKAZNCTJHOLNA-GOSISDBHSA-N -1 1 312.369 1.954 20 0 DDADMM CC(=O)N1CCC[C@H]1[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000370891112 301399858 /nfs/dbraw/zinc/39/98/58/301399858.db2.gz IFCQLACWGOMGDT-QWHCGFSZSA-N -1 1 303.362 1.403 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC3(C1)CCOCC3)c2=O ZINC000376852517 302113139 /nfs/dbraw/zinc/11/31/39/302113139.db2.gz SZOLOSLJAZPBTM-UHFFFAOYSA-N -1 1 316.361 1.317 20 0 DDADMM Cn1ccc(N2CC[C@@H](NC(=O)c3c(F)ccc([O-])c3F)C2)n1 ZINC000377690022 302213153 /nfs/dbraw/zinc/21/31/53/302213153.db2.gz GAPYOCJWSDBGKZ-SECBINFHSA-N -1 1 322.315 1.413 20 0 DDADMM Cc1ccccc1[C@H]1C(=O)NCCN1C(=O)c1ncccc1[O-] ZINC000153342263 302213333 /nfs/dbraw/zinc/21/33/33/302213333.db2.gz DXIIENTYJXZHAH-HNNXBMFYSA-N -1 1 311.341 1.409 20 0 DDADMM CC1(C)OC[C@@H]2C[C@@]21[N-]S(=O)(=O)c1cccc(F)c1F ZINC000528493861 303034504 /nfs/dbraw/zinc/03/45/04/303034504.db2.gz ISHUQFOXVLPTRV-ISVAXAHUSA-N -1 1 303.330 1.811 20 0 DDADMM CCn1ncc(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)n1 ZINC000529588849 303139254 /nfs/dbraw/zinc/13/92/54/303139254.db2.gz QURPNPFLSROWGZ-UHFFFAOYSA-N -1 1 318.381 1.330 20 0 DDADMM CCOC[C@H]1CN(C(=O)c2csc(=NC3CC3)[n-]2)CCO1 ZINC000529895867 303161263 /nfs/dbraw/zinc/16/12/63/303161263.db2.gz BPKDDPLZLLWJAP-LLVKDONJSA-N -1 1 311.407 1.017 20 0 DDADMM CCO[C@H]1C[C@H](N(C)Cc2cnc(C(=O)[O-])cn2)C12CCC2 ZINC000530162100 303177756 /nfs/dbraw/zinc/17/77/56/303177756.db2.gz FPOSSEOJGOIBJS-KBPBESRZSA-N -1 1 305.378 1.954 20 0 DDADMM CCc1nc(CN2CCc3c(F)ccc(C(=O)[O-])c3C2)n[nH]1 ZINC000530171659 303179639 /nfs/dbraw/zinc/17/96/39/303179639.db2.gz YWUBDOLEFTZFDR-UHFFFAOYSA-N -1 1 304.325 1.763 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@]2(CC=CCC2)C1 ZINC000357366709 306878979 /nfs/dbraw/zinc/87/89/79/306878979.db2.gz RFDPRYNHQASXGM-INIZCTEOSA-N -1 1 319.361 1.859 20 0 DDADMM CC[C@@H]1CCN([C@@H]2CC(=O)N(c3ccc(C(=O)[O-])cc3)C2=O)C1 ZINC000531264859 303237383 /nfs/dbraw/zinc/23/73/83/303237383.db2.gz MRWYMHLPKQLYEH-BXUZGUMPSA-N -1 1 316.357 1.749 20 0 DDADMM Cc1cc(NC(=O)C(=O)c2ccc([O-])cc2)ccc1-n1cnnn1 ZINC000554300455 303691797 /nfs/dbraw/zinc/69/17/97/303691797.db2.gz JLMDXPBYFACWCO-UHFFFAOYSA-N -1 1 323.312 1.498 20 0 DDADMM O=C(NCCc1ccc2c(c1)OCO2)C(=O)c1ccc([O-])cc1 ZINC000558351141 303766945 /nfs/dbraw/zinc/76/69/45/303766945.db2.gz HNDPFKPTLPNLJA-UHFFFAOYSA-N -1 1 313.309 1.663 20 0 DDADMM Cc1nscc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000358354123 306940493 /nfs/dbraw/zinc/94/04/93/306940493.db2.gz OCGDZGHDVXBIRH-UHFFFAOYSA-N -1 1 313.360 1.057 20 0 DDADMM O=c1cc(CN2CCC[C@@H](OCC3CC3)C2)nc2cc[n-]n21 ZINC000368797290 307110011 /nfs/dbraw/zinc/11/00/11/307110011.db2.gz BBLVLTXIXZGSMC-CQSZACIVSA-N -1 1 302.378 1.414 20 0 DDADMM COC(=O)[C@H]1C[C@@H](OC)CCN1C(=O)c1ccc([O-])cc1F ZINC000370699020 307133144 /nfs/dbraw/zinc/13/31/44/307133144.db2.gz KTSCODROXGUDQA-GXFFZTMASA-N -1 1 311.309 1.324 20 0 DDADMM CO[C@H]1CCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000376592942 307248803 /nfs/dbraw/zinc/24/88/03/307248803.db2.gz OANVPOUFZFJAKC-AFSRSGBESA-N -1 1 303.366 1.375 20 0 DDADMM COC(=O)Nc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000496176897 307330179 /nfs/dbraw/zinc/33/01/79/307330179.db2.gz FSQOEWOSKLCVCY-VIFPVBQESA-N -1 1 318.337 1.254 20 0 DDADMM CCN(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000530388777 307596052 /nfs/dbraw/zinc/59/60/52/307596052.db2.gz RZLZBINVUKLORX-JTQLQIEISA-N -1 1 310.375 1.578 20 0 DDADMM O=C(N[C@@H]1CC[C@H]2CCC[C@H]2C1)c1cc(=O)n2[n-]cnc2n1 ZINC000544744700 307718947 /nfs/dbraw/zinc/71/89/47/307718947.db2.gz UTIFOOLXTVEIRV-OUAUKWLOSA-N -1 1 301.350 1.116 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccc(C)cc2Cl)[n-]n1C ZINC000548150308 307759104 /nfs/dbraw/zinc/75/91/04/307759104.db2.gz CBKNBFXBOKTNRM-UHFFFAOYSA-N -1 1 315.782 1.613 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CCC(C)(C)O2)sc1C ZINC000548294339 307762976 /nfs/dbraw/zinc/76/29/76/307762976.db2.gz FDXMBQBNQRPIQW-JTQLQIEISA-N -1 1 304.437 1.996 20 0 DDADMM NC(=O)CCCC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000551510314 307804663 /nfs/dbraw/zinc/80/46/63/307804663.db2.gz DFGSXXOEGGBNAC-UHFFFAOYSA-N -1 1 308.762 1.413 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)C[C@H](C)OC)cn1 ZINC000563872635 307984433 /nfs/dbraw/zinc/98/44/33/307984433.db2.gz DMYAGLOJMYIBHD-VIFPVBQESA-N -1 1 302.352 1.035 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2nc(C(C)C)oc2C)n1 ZINC000565660594 308036160 /nfs/dbraw/zinc/03/61/60/308036160.db2.gz LVNZHXZUIDJRIS-UHFFFAOYSA-N -1 1 310.335 1.997 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCC(=O)CC1)c2=O ZINC000566631484 308059001 /nfs/dbraw/zinc/05/90/01/308059001.db2.gz YNRYXHGGGJAVPL-UHFFFAOYSA-N -1 1 300.314 1.342 20 0 DDADMM C[C@@H](N=c1ccc(N2CC(=O)N[C@@H](C)C2)n[n-]1)c1ccccc1 ZINC000568622394 308124966 /nfs/dbraw/zinc/12/49/66/308124966.db2.gz LTRKDSGGWYJERT-QWHCGFSZSA-N -1 1 311.389 1.396 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2cncnc2CC)c1Br ZINC000573910770 308254821 /nfs/dbraw/zinc/25/48/21/308254821.db2.gz VMMKJFIYHDHQNP-UHFFFAOYSA-N -1 1 324.182 1.761 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1ccc2ccccc2n1)c1nn[n-]n1 ZINC000574106198 308260997 /nfs/dbraw/zinc/26/09/97/308260997.db2.gz RIJFWBFHPQVWNG-LBPRGKRZSA-N -1 1 324.388 1.943 20 0 DDADMM CC(C)[C@]1(C)C[C@H]1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000576362803 308309956 /nfs/dbraw/zinc/30/99/56/308309956.db2.gz VZJJSKIRBOFLTA-YGRLFVJLSA-N -1 1 324.454 1.217 20 0 DDADMM Cc1cnc(SCC(=O)NCC(=O)OC(C)(C)C)[n-]c1=O ZINC000576836769 308343660 /nfs/dbraw/zinc/34/36/60/308343660.db2.gz BAZQQROLPPHVJU-UHFFFAOYSA-N -1 1 313.379 1.041 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCC(C)(F)F)c(=O)[n-]1 ZINC000576850279 308344521 /nfs/dbraw/zinc/34/45/21/308344521.db2.gz FOLVAZJNKOBGCY-UHFFFAOYSA-N -1 1 305.350 1.917 20 0 DDADMM C[C@@H]1CCc2onc(C(=O)N=c3nc(-c4ccccn4)[nH][n-]3)c2C1 ZINC000577044951 308358302 /nfs/dbraw/zinc/35/83/02/308358302.db2.gz ARPVNEBYWFSSAU-SECBINFHSA-N -1 1 324.344 1.654 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cc2c(cn1)OCCO2 ZINC000582900048 337212760 /nfs/dbraw/zinc/21/27/60/337212760.db2.gz AHXPPADKVSTNCF-UHFFFAOYSA-N -1 1 315.211 1.242 20 0 DDADMM C[C@H](C(=O)NC(C)(C)C)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000387679028 483970878 /nfs/dbraw/zinc/97/08/78/483970878.db2.gz GIURAIPEVSAJSM-RISCZKNCSA-N -1 1 304.390 1.974 20 0 DDADMM Cc1nc([C@H](C)NC(=O)N[C@@H](C)[C@@H]2C[C@H](C(=O)[O-])C2(C)C)n[nH]1 ZINC000655900731 483992249 /nfs/dbraw/zinc/99/22/49/483992249.db2.gz WGRUVUQFWZADNG-MPKXCIJOSA-N -1 1 323.397 1.609 20 0 DDADMM O=C(N[C@H]1CCCC(F)(F)[C@@H]1O)c1nc2ccccc2c(=O)[n-]1 ZINC000414413286 484059413 /nfs/dbraw/zinc/05/94/13/484059413.db2.gz LYYSZPCPNNSPMA-WDEREUQCSA-N -1 1 323.299 1.202 20 0 DDADMM Cn1cccc1S(=O)(=O)[N-]c1ccc(OCCCO)cc1 ZINC000414381615 484059455 /nfs/dbraw/zinc/05/94/55/484059455.db2.gz IYCHQZXIFAEOMP-UHFFFAOYSA-N -1 1 310.375 1.587 20 0 DDADMM CC(C)c1noc(CCCNC(=O)C(=O)c2ccc([O-])cc2)n1 ZINC000436934021 484127636 /nfs/dbraw/zinc/12/76/36/484127636.db2.gz FHUGLCQFPRMKMQ-UHFFFAOYSA-N -1 1 317.345 1.830 20 0 DDADMM COc1cc(/C=C/c2cc(=O)n3[n-]cnc3n2)cc(OC)c1O ZINC000436932582 484127893 /nfs/dbraw/zinc/12/78/93/484127893.db2.gz OJSZBNAFCLZWRI-ONEGZZNKSA-N -1 1 314.301 1.311 20 0 DDADMM O=S(=O)([N-]C1(CO)CC1)c1ccc(Cl)c(F)c1Cl ZINC000231731246 484170335 /nfs/dbraw/zinc/17/03/35/484170335.db2.gz HTKFBRRWANFVBA-UHFFFAOYSA-N -1 1 314.165 1.936 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2ccsc2Cl)[n-]1 ZINC000656555831 484207703 /nfs/dbraw/zinc/20/77/03/484207703.db2.gz KIXOWQNQPAXFOP-UHFFFAOYSA-N -1 1 307.784 1.640 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2ccsc2Cl)n[n-]1 ZINC000656555831 484207714 /nfs/dbraw/zinc/20/77/14/484207714.db2.gz KIXOWQNQPAXFOP-UHFFFAOYSA-N -1 1 307.784 1.640 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(C)c1C)C1CCCC1 ZINC000656624429 484248443 /nfs/dbraw/zinc/24/84/43/484248443.db2.gz OLWJJUWZJWVAHY-ZDUSSCGKSA-N -1 1 301.412 1.675 20 0 DDADMM CCC[C@@H]1CN(C(=O)C2(C(=O)[O-])CC=CC2)CCN1CCOC ZINC000663063256 484638615 /nfs/dbraw/zinc/63/86/15/484638615.db2.gz WKXDPAQCKKREKG-CQSZACIVSA-N -1 1 324.421 1.367 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000663103121 484668728 /nfs/dbraw/zinc/66/87/28/484668728.db2.gz WIKIBVKBNJVVLX-PBHICJAKSA-N -1 1 320.389 1.257 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@]1(C(=O)[O-])CC1(C)C)c1ccsc1 ZINC000663112842 484678584 /nfs/dbraw/zinc/67/85/84/484678584.db2.gz BUMCIIYYMQHLII-NHYWBVRUSA-N -1 1 310.419 1.968 20 0 DDADMM O=C([C@@H]1Cc2ccccc2O1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405696 484808737 /nfs/dbraw/zinc/80/87/37/484808737.db2.gz XNURJEJAVZKPQM-ZDUSSCGKSA-N -1 1 315.329 1.485 20 0 DDADMM CC[C@H](C)c1nc([C@H](C)NC(=O)NCCCCC(=O)[O-])n[nH]1 ZINC000666559725 485271694 /nfs/dbraw/zinc/27/16/94/485271694.db2.gz ZQWIZOSJKDPXNF-UWVGGRQHSA-N -1 1 311.386 1.933 20 0 DDADMM CSc1ccc(O)c(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000668869106 485345611 /nfs/dbraw/zinc/34/56/11/485345611.db2.gz KMTIFEODCMKMPR-UHFFFAOYSA-N -1 1 319.390 1.827 20 0 DDADMM C[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@H](C(F)(F)F)O1 ZINC000672952503 485372088 /nfs/dbraw/zinc/37/20/88/485372088.db2.gz QRMABFNWWMYZNH-LDYMZIIASA-N -1 1 317.263 1.753 20 0 DDADMM CCCN(CC(=O)N(C)C)C(=O)c1ncc2ccccc2c1[O-] ZINC000666927398 485381929 /nfs/dbraw/zinc/38/19/29/485381929.db2.gz ODRHOSJIGCEIQH-UHFFFAOYSA-N -1 1 315.373 1.881 20 0 DDADMM O=C(Cn1cc(C2CC2)cn1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000667013638 485394865 /nfs/dbraw/zinc/39/48/65/485394865.db2.gz DFLWBNAHKLQNJF-UHFFFAOYSA-N -1 1 300.244 1.536 20 0 DDADMM CNS(=O)(=O)c1ccc(NC(=O)c2cc(F)ccc2[O-])cc1 ZINC000678299580 485532532 /nfs/dbraw/zinc/53/25/32/485532532.db2.gz ZLRTVTTXPLATAT-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM Cc1[nH]c(=O)c(C(=O)NCc2nn[n-]n2)cc1-c1ccccc1 ZINC000674241856 485566001 /nfs/dbraw/zinc/56/60/01/485566001.db2.gz FJQDOKLGJSFRBX-UHFFFAOYSA-N -1 1 310.317 1.206 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CC23CCCC3)c1 ZINC000679113839 485780549 /nfs/dbraw/zinc/78/05/49/485780549.db2.gz KVLRLGCOROPIHK-SNVBAGLBSA-N -1 1 310.375 1.558 20 0 DDADMM O=S(=O)(Cc1cc(-c2ccccc2)no1)[N-]Cc1ccno1 ZINC000680509584 486208252 /nfs/dbraw/zinc/20/82/52/486208252.db2.gz AHHPSKRJUAQAMN-UHFFFAOYSA-N -1 1 319.342 1.949 20 0 DDADMM C[C@@]1(CCCC(=O)Nc2c([O-])cccc2F)NC(=O)NC1=O ZINC000676476578 486232029 /nfs/dbraw/zinc/23/20/29/486232029.db2.gz WRNNPOIJWLWCRR-AWEZNQCLSA-N -1 1 309.297 1.238 20 0 DDADMM COc1cc(NC(=O)c2n[nH]c(C)c2[O-])cc(OC)c1OC ZINC000676630204 486272015 /nfs/dbraw/zinc/27/20/15/486272015.db2.gz CJTNDPMUPSQJHS-UHFFFAOYSA-N -1 1 307.306 1.702 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cccc(NS(C)(=O)=O)c2)c1[O-] ZINC000676691648 486289786 /nfs/dbraw/zinc/28/97/86/486289786.db2.gz RULBPNSALDPZJF-UHFFFAOYSA-N -1 1 310.335 1.048 20 0 DDADMM O=C(N[C@@H]1CN(c2ccc(F)cc2)C1=O)c1ccc([O-])c(F)c1 ZINC000681066648 486336269 /nfs/dbraw/zinc/33/62/69/486336269.db2.gz FAQWQEYHNVGISD-CYBMUJFWSA-N -1 1 318.279 1.816 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2ccccc2CO)sc1C ZINC000676847591 486344909 /nfs/dbraw/zinc/34/49/09/486344909.db2.gz JXQMIFOXPGWLCA-UHFFFAOYSA-N -1 1 312.416 1.731 20 0 DDADMM C[C@@H]1C(=O)CC[C@H]1CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000684567907 486384436 /nfs/dbraw/zinc/38/44/36/486384436.db2.gz SJOHRXDKGSZPGS-ITDIGPHOSA-N -1 1 313.361 1.411 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)c2ccccc2OC)co1 ZINC000677301002 486434797 /nfs/dbraw/zinc/43/47/97/486434797.db2.gz INMUQRYKRWZZHJ-UHFFFAOYSA-N -1 1 324.358 1.473 20 0 DDADMM CS(=O)(=O)CCCCCNC(=O)c1ccc([O-])c(F)c1 ZINC000681492218 486435963 /nfs/dbraw/zinc/43/59/63/486435963.db2.gz PBVFDXKCZNNNMO-UHFFFAOYSA-N -1 1 303.355 1.476 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H](C)c2ccncc2)co1 ZINC000677312317 486437899 /nfs/dbraw/zinc/43/78/99/486437899.db2.gz IIZQNSABNMVBLF-SNVBAGLBSA-N -1 1 323.374 1.116 20 0 DDADMM CC(C)(C(=O)NCc1nn[n-]n1)c1ccccc1Br ZINC000684968711 486471738 /nfs/dbraw/zinc/47/17/38/486471738.db2.gz RQZYIQZDHVCHOV-UHFFFAOYSA-N -1 1 324.182 1.556 20 0 DDADMM CC(C)(CNC(=O)c1c(F)ccc([O-])c1F)S(C)(=O)=O ZINC000685445008 486540293 /nfs/dbraw/zinc/54/02/93/486540293.db2.gz MNWUOOKZGDXFOV-UHFFFAOYSA-N -1 1 307.318 1.223 20 0 DDADMM O=C(c1ccc2[nH]c(=O)oc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677928680 486582176 /nfs/dbraw/zinc/58/21/76/486582176.db2.gz HQZHQKOJROAFLJ-VIFPVBQESA-N -1 1 314.305 1.066 20 0 DDADMM C[C@H](NC(=O)c1ccc2ccccc2c1[O-])[C@H]1CN(C)CCO1 ZINC000119025117 490599096 /nfs/dbraw/zinc/59/90/96/490599096.db2.gz GOULWJGRUHZOAL-BLLLJJGKSA-N -1 1 314.385 1.994 20 0 DDADMM COC(=O)c1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)o1 ZINC000331813597 533984416 /nfs/dbraw/zinc/98/44/16/533984416.db2.gz OBYMWKJODRTHJR-QMMMGPOBSA-N -1 1 321.289 1.175 20 0 DDADMM Cc1cc(O)c(C(=O)[O-])cc1S(=O)(=O)Nc1ccccc1O ZINC000433804169 534007773 /nfs/dbraw/zinc/00/77/73/534007773.db2.gz XLRTXXIFPFCQNY-UHFFFAOYSA-N -1 1 323.326 1.905 20 0 DDADMM C[C@H]1NC(=O)N(CCSc2nc(C(F)F)cc(=O)[n-]2)C1=O ZINC000413002583 534119514 /nfs/dbraw/zinc/11/95/14/534119514.db2.gz ODQMBWLFWHMMOM-RXMQYKEDSA-N -1 1 318.305 1.152 20 0 DDADMM NC(=O)c1csc(=N[C@@H]2CCCN(c3ccccc3F)C2)[n-]1 ZINC000432329094 534523057 /nfs/dbraw/zinc/52/30/57/534523057.db2.gz ZMWNMCRREKCTNH-SNVBAGLBSA-N -1 1 320.393 1.884 20 0 DDADMM O=C(NC[C@@H]1CC(=O)N(C2CC2)C1)c1c(F)ccc([O-])c1F ZINC000331378773 534545402 /nfs/dbraw/zinc/54/54/02/534545402.db2.gz HZKUZLCVVKYSHZ-QMMMGPOBSA-N -1 1 310.300 1.411 20 0 DDADMM CC(C)(CC(N)=O)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451395013 526982284 /nfs/dbraw/zinc/98/22/84/526982284.db2.gz MOTIFUTUQZBVIL-UHFFFAOYSA-N -1 1 314.338 1.504 20 0 DDADMM CC(=O)[C@H]([N-]S(=O)(=O)[C@H]1CCO[C@@H]1C)c1ccccc1F ZINC000416650124 527149151 /nfs/dbraw/zinc/14/91/51/527149151.db2.gz QHNNKJLHYKJQBR-SWHYSGLUSA-N -1 1 315.366 1.553 20 0 DDADMM CC(C)OC[C@@H](CO)N(C)C(=O)c1cc(Cl)ccc1[O-] ZINC000436954811 528006733 /nfs/dbraw/zinc/00/67/33/528006733.db2.gz XSUCHZMNSIJYSD-LLVKDONJSA-N -1 1 301.770 1.904 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H]2CCCn3cc(C)nc32)[n-]n1 ZINC000443878383 528231094 /nfs/dbraw/zinc/23/10/94/528231094.db2.gz LXPRLKQTOJYELK-LBPRGKRZSA-N -1 1 314.393 1.143 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2COCC2(C)C)o1 ZINC000451963146 528242934 /nfs/dbraw/zinc/24/29/34/528242934.db2.gz HDSCPOJWQWNYGN-JTQLQIEISA-N -1 1 317.363 1.160 20 0 DDADMM CCCc1nsc([N-]c2cnn(CCN3CCOCC3)c2)n1 ZINC000292646456 528799247 /nfs/dbraw/zinc/79/92/47/528799247.db2.gz PAFOSNWBETUHLB-UHFFFAOYSA-N -1 1 322.438 1.763 20 0 DDADMM CC1(C)CCCC[C@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332479720 528918592 /nfs/dbraw/zinc/91/85/92/528918592.db2.gz XZDMBVRSRVFVGC-NSHDSACASA-N -1 1 323.466 1.816 20 0 DDADMM CC1(C)COC[C@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000451962953 529134857 /nfs/dbraw/zinc/13/48/57/529134857.db2.gz GQKPZBVDFYKMDJ-SECBINFHSA-N -1 1 309.309 1.807 20 0 DDADMM CCn1ccc(CNC(=O)c2cnc3nc(C)ccc3c2[O-])n1 ZINC000412490745 529169319 /nfs/dbraw/zinc/16/93/19/529169319.db2.gz VFWDCGIJMYAYKV-UHFFFAOYSA-N -1 1 311.345 1.790 20 0 DDADMM CCn1ccc(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)n1 ZINC000412490745 529169320 /nfs/dbraw/zinc/16/93/20/529169320.db2.gz VFWDCGIJMYAYKV-UHFFFAOYSA-N -1 1 311.345 1.790 20 0 DDADMM Cc1cc(CN(C)c2c3ccccc3nnc2-c2nnn[n-]2)no1 ZINC000737262246 598958971 /nfs/dbraw/zinc/95/89/71/598958971.db2.gz LGGABBHZJSNFBL-UHFFFAOYSA-N -1 1 322.332 1.743 20 0 DDADMM Cc1cc(CN(C)c2c3ccccc3nnc2-c2nn[n-]n2)no1 ZINC000737262246 598958972 /nfs/dbraw/zinc/95/89/72/598958972.db2.gz LGGABBHZJSNFBL-UHFFFAOYSA-N -1 1 322.332 1.743 20 0 DDADMM C[C@@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)CCS1 ZINC000736290951 598964788 /nfs/dbraw/zinc/96/47/88/598964788.db2.gz SFCTWNLWGWMAID-SECBINFHSA-N -1 1 313.390 1.752 20 0 DDADMM C[C@@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)CCS1 ZINC000736290951 598964790 /nfs/dbraw/zinc/96/47/90/598964790.db2.gz SFCTWNLWGWMAID-SECBINFHSA-N -1 1 313.390 1.752 20 0 DDADMM CN(C[C@@H]1CCCO1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736790161 598964850 /nfs/dbraw/zinc/96/48/50/598964850.db2.gz GBYKNXARVYTNND-JTQLQIEISA-N -1 1 311.349 1.425 20 0 DDADMM CN(C[C@@H]1CCCO1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736790161 598964853 /nfs/dbraw/zinc/96/48/53/598964853.db2.gz GBYKNXARVYTNND-JTQLQIEISA-N -1 1 311.349 1.425 20 0 DDADMM O[C@@H]1CCCC[C@@H]1CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000738389323 598977133 /nfs/dbraw/zinc/97/71/33/598977133.db2.gz KQUMXXGHGZSOHN-RNFRBKRXSA-N -1 1 314.802 1.940 20 0 DDADMM O[C@@H]1CCCC[C@@H]1CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000738389323 598977135 /nfs/dbraw/zinc/97/71/35/598977135.db2.gz KQUMXXGHGZSOHN-RNFRBKRXSA-N -1 1 314.802 1.940 20 0 DDADMM O=C(Nc1ccc(Cl)nc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738162430 598986955 /nfs/dbraw/zinc/98/69/55/598986955.db2.gz FHFOQCHNUKVWSA-UHFFFAOYSA-N -1 1 301.697 1.562 20 0 DDADMM O=C(Nc1ccc(Cl)nc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738162430 598986956 /nfs/dbraw/zinc/98/69/56/598986956.db2.gz FHFOQCHNUKVWSA-UHFFFAOYSA-N -1 1 301.697 1.562 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)[C@@H](C)C1 ZINC000736241469 599005639 /nfs/dbraw/zinc/00/56/39/599005639.db2.gz ODLUZMNEPDOTDX-SCVCMEIPSA-N -1 1 300.366 1.816 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)[C@@H](C)C1 ZINC000736241469 599005641 /nfs/dbraw/zinc/00/56/41/599005641.db2.gz ODLUZMNEPDOTDX-SCVCMEIPSA-N -1 1 300.366 1.816 20 0 DDADMM Cc1ccccc1CN(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737427200 599020428 /nfs/dbraw/zinc/02/04/28/599020428.db2.gz AHQPGRCKFGXUFC-UHFFFAOYSA-N -1 1 308.345 1.842 20 0 DDADMM Cc1ccccc1CN(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737427200 599020430 /nfs/dbraw/zinc/02/04/30/599020430.db2.gz AHQPGRCKFGXUFC-UHFFFAOYSA-N -1 1 308.345 1.842 20 0 DDADMM OCC[C@H]1CCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000823717479 599037945 /nfs/dbraw/zinc/03/79/45/599037945.db2.gz HQFHQLFPCWNUAG-ZCFIWIBFSA-N -1 1 300.775 1.185 20 0 DDADMM OCC[C@H]1CCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000823717479 599037948 /nfs/dbraw/zinc/03/79/48/599037948.db2.gz HQFHQLFPCWNUAG-ZCFIWIBFSA-N -1 1 300.775 1.185 20 0 DDADMM C[C@@](O)(CNc1ccc(Cl)c(-c2nnn[n-]2)n1)c1ccco1 ZINC000820468092 599041096 /nfs/dbraw/zinc/04/10/96/599041096.db2.gz QOFMXVACNGIPHB-CYBMUJFWSA-N -1 1 320.740 1.828 20 0 DDADMM C[C@@](O)(CNc1ccc(Cl)c(-c2nn[n-]n2)n1)c1ccco1 ZINC000820468092 599041099 /nfs/dbraw/zinc/04/10/99/599041099.db2.gz QOFMXVACNGIPHB-CYBMUJFWSA-N -1 1 320.740 1.828 20 0 DDADMM O=C(OC[C@@H]1CC1(Cl)Cl)c1ccc(-c2nnn[n-]2)nc1 ZINC000738219423 599139486 /nfs/dbraw/zinc/13/94/86/599139486.db2.gz KDVCJUUGWHODNO-ZETCQYMHSA-N -1 1 314.132 1.612 20 0 DDADMM O=C(OC[C@@H]1CC1(Cl)Cl)c1ccc(-c2nn[n-]n2)nc1 ZINC000738219423 599139487 /nfs/dbraw/zinc/13/94/87/599139487.db2.gz KDVCJUUGWHODNO-ZETCQYMHSA-N -1 1 314.132 1.612 20 0 DDADMM CC(C)N1CCN(C(=O)Nc2ccccc2CC(=O)[O-])CC1 ZINC000314813537 597324128 /nfs/dbraw/zinc/32/41/28/597324128.db2.gz BZAUDLMTLFELFL-UHFFFAOYSA-N -1 1 305.378 1.872 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@H](C(=O)[O-])C2)c1Br ZINC000738822517 600027695 /nfs/dbraw/zinc/02/76/95/600027695.db2.gz ZIXJFTDGSLJMNB-ZETCQYMHSA-N -1 1 316.155 1.417 20 0 DDADMM COc1ccc2c(c1)CCC[C@H]2N(C)CC(=O)NCC(=O)[O-] ZINC000820853347 597773494 /nfs/dbraw/zinc/77/34/94/597773494.db2.gz IZKYXBOHZBZPKF-CQSZACIVSA-N -1 1 306.362 1.205 20 0 DDADMM C[S@](=O)c1ccc(NCc2ccc(-c3nnn[n-]3)o2)cc1F ZINC000821942788 607318902 /nfs/dbraw/zinc/31/89/02/607318902.db2.gz RFDIUDMTJFBCTD-QFIPXVFZSA-N -1 1 321.337 1.948 20 0 DDADMM C[S@](=O)c1ccc(NCc2ccc(-c3nn[n-]n3)o2)cc1F ZINC000821942788 607318903 /nfs/dbraw/zinc/31/89/03/607318903.db2.gz RFDIUDMTJFBCTD-QFIPXVFZSA-N -1 1 321.337 1.948 20 0 DDADMM O=C([O-])[C@H]1CCN([C@@H]2CCCN(c3ccccc3F)C2=O)C1 ZINC000821511829 598044697 /nfs/dbraw/zinc/04/46/97/598044697.db2.gz RQUZHUJXYSARAS-SMDDNHRTSA-N -1 1 306.337 1.728 20 0 DDADMM c1nn(Cc2ccccc2)cc1Nc1nccnc1-c1nnn[n-]1 ZINC000738433647 598347583 /nfs/dbraw/zinc/34/75/83/598347583.db2.gz VTVFEEDBUIMRAY-UHFFFAOYSA-N -1 1 319.332 1.645 20 0 DDADMM c1nn(Cc2ccccc2)cc1Nc1nccnc1-c1nn[n-]n1 ZINC000738433647 598347585 /nfs/dbraw/zinc/34/75/85/598347585.db2.gz VTVFEEDBUIMRAY-UHFFFAOYSA-N -1 1 319.332 1.645 20 0 DDADMM Clc1nsc(N2CCCSCC2)c1-c1nnn[n-]1 ZINC000737582516 598744905 /nfs/dbraw/zinc/74/49/05/598744905.db2.gz BQZCPCNYNSCQNA-UHFFFAOYSA-N -1 1 302.816 1.920 20 0 DDADMM Clc1nsc(N2CCCSCC2)c1-c1nn[n-]n1 ZINC000737582516 598744906 /nfs/dbraw/zinc/74/49/06/598744906.db2.gz BQZCPCNYNSCQNA-UHFFFAOYSA-N -1 1 302.816 1.920 20 0 DDADMM CC(C)c1noc(CCCNc2cccc(-c3nnn[n-]3)n2)n1 ZINC000735953168 599418005 /nfs/dbraw/zinc/41/80/05/599418005.db2.gz PFXMDKAPBAQIHV-UHFFFAOYSA-N -1 1 314.353 1.813 20 0 DDADMM CC(C)c1noc(CCCNc2cccc(-c3nn[n-]n3)n2)n1 ZINC000735953168 599418006 /nfs/dbraw/zinc/41/80/06/599418006.db2.gz PFXMDKAPBAQIHV-UHFFFAOYSA-N -1 1 314.353 1.813 20 0 DDADMM CNC(=O)[C@@H](Nc1ccc(Cl)c(-c2nnn[n-]2)n1)C(C)C ZINC000821631231 607382893 /nfs/dbraw/zinc/38/28/93/607382893.db2.gz BXLAKYRJVFHADC-VIFPVBQESA-N -1 1 309.761 1.098 20 0 DDADMM CNC(=O)[C@@H](Nc1ccc(Cl)c(-c2nn[n-]n2)n1)C(C)C ZINC000821631231 607382895 /nfs/dbraw/zinc/38/28/95/607382895.db2.gz BXLAKYRJVFHADC-VIFPVBQESA-N -1 1 309.761 1.098 20 0 DDADMM COc1ccccc1[C@]1(C)C[C@@H]1Nc1nccnc1-c1nnn[n-]1 ZINC000821883169 599583006 /nfs/dbraw/zinc/58/30/06/599583006.db2.gz RKEJTJBWQPJGLA-LRDDRELGSA-N -1 1 323.360 1.807 20 0 DDADMM COc1ccccc1[C@]1(C)C[C@@H]1Nc1nccnc1-c1nn[n-]n1 ZINC000821883169 599583008 /nfs/dbraw/zinc/58/30/08/599583008.db2.gz RKEJTJBWQPJGLA-LRDDRELGSA-N -1 1 323.360 1.807 20 0 DDADMM CSc1nc(C2CC2)cc(C(=O)OCCF)c1-c1nnn[n-]1 ZINC000821988752 599652033 /nfs/dbraw/zinc/65/20/33/599652033.db2.gz GREUNHJRPWBDGS-UHFFFAOYSA-N -1 1 323.353 1.987 20 0 DDADMM CSc1nc(C2CC2)cc(C(=O)OCCF)c1-c1nn[n-]n1 ZINC000821988752 599652034 /nfs/dbraw/zinc/65/20/34/599652034.db2.gz GREUNHJRPWBDGS-UHFFFAOYSA-N -1 1 323.353 1.987 20 0 DDADMM CCCCOC(=O)[C@H](C)OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821071731 599661613 /nfs/dbraw/zinc/66/16/13/599661613.db2.gz KTKHJROGQIWYGN-VIFPVBQESA-N -1 1 319.321 1.150 20 0 DDADMM CCCCOC(=O)[C@H](C)OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821071731 599661614 /nfs/dbraw/zinc/66/16/14/599661614.db2.gz KTKHJROGQIWYGN-VIFPVBQESA-N -1 1 319.321 1.150 20 0 DDADMM CC[C@H](C)N(C)CC(=O)Nc1cc(C(=O)[O-])cc(C(=O)OC)c1 ZINC000736881949 599756522 /nfs/dbraw/zinc/75/65/22/599756522.db2.gz DGXANDBOGIKDTL-JTQLQIEISA-N -1 1 322.361 1.840 20 0 DDADMM COc1ccc(C=CC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000319842485 599775703 /nfs/dbraw/zinc/77/57/03/599775703.db2.gz OUHFLWPEZSFXCB-GBXSZLQWSA-N -1 1 315.329 1.244 20 0 DDADMM Cc1ccc(N2CCCN(Cc3ccc(C(=O)[O-])o3)CC2)nn1 ZINC000738616366 599915229 /nfs/dbraw/zinc/91/52/29/599915229.db2.gz SJTBCBQEXMUBKF-UHFFFAOYSA-N -1 1 316.361 1.789 20 0 DDADMM O=C([O-])c1ccoc1CN1CCC(Nc2cccnn2)CC1 ZINC000740249206 599915796 /nfs/dbraw/zinc/91/57/96/599915796.db2.gz QMUNENNADLFBQR-UHFFFAOYSA-N -1 1 302.334 1.844 20 0 DDADMM CC(C)OC(=O)Cc1nc(CNC(C)(C)C(=O)[O-])cs1 ZINC000736484186 599925874 /nfs/dbraw/zinc/92/58/74/599925874.db2.gz UTDCEIBEEZUSLF-UHFFFAOYSA-N -1 1 300.380 1.590 20 0 DDADMM CCC[C@](C)(NCC(=O)Nc1cccc(C(=O)OC)c1)C(=O)[O-] ZINC000736965546 600154578 /nfs/dbraw/zinc/15/45/78/600154578.db2.gz WJHNFYUIWDAMEM-INIZCTEOSA-N -1 1 322.361 1.645 20 0 DDADMM CCC[C@](C)(NCC(=O)Nc1nc2c(s1)CCC2)C(=O)[O-] ZINC000736963009 600208464 /nfs/dbraw/zinc/20/84/64/600208464.db2.gz JFVNPFJMDJTJSO-AWEZNQCLSA-N -1 1 311.407 1.803 20 0 DDADMM CCc1cc(CNC(=O)NCCc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000737255092 600267183 /nfs/dbraw/zinc/26/71/83/600267183.db2.gz UEUQIJZMDPRGBC-UHFFFAOYSA-N -1 1 316.361 1.712 20 0 DDADMM C[C@H](C(=O)Nc1ccc(F)cc1F)N(C)C[C@H](C)C(=O)[O-] ZINC000736570160 600362425 /nfs/dbraw/zinc/36/24/25/600362425.db2.gz BMKALARFNZXSJE-DTWKUNHWSA-N -1 1 300.305 1.944 20 0 DDADMM COc1ccc([C@@H](CC(=O)[O-])NC(=O)[C@H]2CCCN2C)cc1 ZINC000737953337 600376938 /nfs/dbraw/zinc/37/69/38/600376938.db2.gz WHJZSRLSSFDAGH-ZIAGYGMSSA-N -1 1 306.362 1.421 20 0 DDADMM CCN(C[C@@H]1CCOC1)Cn1nc(C(=O)[O-])c(Cl)c1C ZINC000737093511 600422952 /nfs/dbraw/zinc/42/29/52/600422952.db2.gz GAGGLARRKKHWGK-JTQLQIEISA-N -1 1 301.774 1.859 20 0 DDADMM CC(C)N(CCC(=O)[O-])CC(=O)NCC(=O)c1cccs1 ZINC000736465482 600446295 /nfs/dbraw/zinc/44/62/95/600446295.db2.gz ICWYTUBOHIBPSN-UHFFFAOYSA-N -1 1 312.391 1.232 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)Nc2cnn(CC(=O)[O-])c2)o1 ZINC000390890537 600524420 /nfs/dbraw/zinc/52/44/20/600524420.db2.gz KYPDOFUJXQHUDW-UHFFFAOYSA-N -1 1 320.349 1.655 20 0 DDADMM C[C@H]1CCCCN1C(=O)CN(CCC(=O)[O-])C[C@H]1CCCO1 ZINC000736785645 600539664 /nfs/dbraw/zinc/53/96/64/600539664.db2.gz URVWOHVLEHBFLQ-UONOGXRCSA-N -1 1 312.410 1.343 20 0 DDADMM CC(C)[N@@H+](CCC(=O)[O-])Cc1c([O-])nnn1-c1ccccc1 ZINC000736468317 600609526 /nfs/dbraw/zinc/60/95/26/600609526.db2.gz NOZKPNDPZCSGIG-UHFFFAOYSA-N -1 1 304.350 1.658 20 0 DDADMM COC(=O)c1cc(NC(=O)CN2CCC[C@@H]2C)cc(C(=O)[O-])c1 ZINC000737664284 600681096 /nfs/dbraw/zinc/68/10/96/600681096.db2.gz AVOPZUJWGXYYBJ-JTQLQIEISA-N -1 1 320.345 1.594 20 0 DDADMM O=C([O-])[C@H](c1ccccc1F)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000833174032 600998072 /nfs/dbraw/zinc/99/80/72/600998072.db2.gz LHAWMRTZDSYMBB-JYJNAYRXSA-N -1 1 322.380 1.482 20 0 DDADMM CCCCC[C@@H](O)CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000829349253 601084436 /nfs/dbraw/zinc/08/44/36/601084436.db2.gz VQVMZQZHWAWPTJ-ZIAGYGMSSA-N -1 1 314.426 1.325 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])c1ccc(Cl)cc1 ZINC000830580671 601108630 /nfs/dbraw/zinc/10/86/30/601108630.db2.gz JFWGXUWUJGERPR-FRRDWIJNSA-N -1 1 310.781 1.780 20 0 DDADMM NC(=O)c1cc([C@H]2CCCN(Cc3cc(C(=O)[O-])co3)C2)n[nH]1 ZINC000739176786 601119436 /nfs/dbraw/zinc/11/94/36/601119436.db2.gz ICGCYXPENDZOJA-VIFPVBQESA-N -1 1 318.333 1.179 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cccc(N(C)C)c2)CC1 ZINC000827378898 601122743 /nfs/dbraw/zinc/12/27/43/601122743.db2.gz CEOVUASDUCHJGI-CYBMUJFWSA-N -1 1 319.405 1.374 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCC(=O)Nc1cccc(C(C)=O)c1 ZINC000831577780 601135360 /nfs/dbraw/zinc/13/53/60/601135360.db2.gz JGLCRNKGDUZLPM-INIZCTEOSA-N -1 1 322.361 1.297 20 0 DDADMM C/C(=C/CN1CCN(Cc2c(C)nn(C)c2C)CC1)C(=O)[O-] ZINC000825741237 601164106 /nfs/dbraw/zinc/16/41/06/601164106.db2.gz KMYSSZLQCIJGLN-XGICHPGQSA-N -1 1 306.410 1.185 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(CN1CC3(CCCC3)CC1=O)CC2 ZINC000833177899 601301007 /nfs/dbraw/zinc/30/10/07/601301007.db2.gz OAWJZYPVPCCXFL-ZDUSSCGKSA-N -1 1 306.406 1.923 20 0 DDADMM Cc1[nH]c(C(=O)[C@H](C)N(C)C[C@H]2CCOC2)c(C)c1C(=O)[O-] ZINC000832843721 601384224 /nfs/dbraw/zinc/38/42/24/601384224.db2.gz ZVLUIPNPVLKDQT-NWDGAFQWSA-N -1 1 308.378 1.869 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)CN2C[C@H](C)[C@@H](C(=O)[O-])C2)c1C ZINC000831218381 601522957 /nfs/dbraw/zinc/52/29/57/601522957.db2.gz ISYLMYROANNHAE-KWQFWETISA-N -1 1 322.361 1.253 20 0 DDADMM CC(C)CN(CC(F)(F)F)C(=O)CN1C[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000826871103 601525356 /nfs/dbraw/zinc/52/53/56/601525356.db2.gz MFSSZKXVTSBJHP-QWRGUYRKSA-N -1 1 324.343 1.686 20 0 DDADMM O=C([O-])[C@@H]1c2ccccc2CCN1Cc1cnc2ccnn2c1 ZINC000833195768 601546846 /nfs/dbraw/zinc/54/68/46/601546846.db2.gz ONUGPNJADBUSGE-INIZCTEOSA-N -1 1 308.341 1.913 20 0 DDADMM CN(C)[C@H](CNC(=O)NCC(C)(C)C(=O)[O-])c1cccs1 ZINC000830579676 601683101 /nfs/dbraw/zinc/68/31/01/601683101.db2.gz AQLQPNCJVMXQAG-SNVBAGLBSA-N -1 1 313.423 1.761 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N[C@@](C)(COC)C(=O)[O-])o1 ZINC000328546423 601697779 /nfs/dbraw/zinc/69/77/79/601697779.db2.gz NTJRONOEVMXFHN-HNNXBMFYSA-N -1 1 312.366 1.341 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CC[C@@](F)(C(=O)[O-])C1)c1ccccc1 ZINC000829608236 601717600 /nfs/dbraw/zinc/71/76/00/601717600.db2.gz HEDPYUNLSAGHEA-CJNGLKHVSA-N -1 1 308.353 1.705 20 0 DDADMM Cc1nnc(SCC(=O)Nc2ccccc2CC(=O)[O-])[nH]1 ZINC000818981549 601728940 /nfs/dbraw/zinc/72/89/40/601728940.db2.gz ZHOGPOBSHMXHQJ-UHFFFAOYSA-N -1 1 306.347 1.471 20 0 DDADMM CC(C)[C@H](NCC(=O)NC1C2CC3CC(C2)CC1C3)C(=O)[O-] ZINC000827091769 601823688 /nfs/dbraw/zinc/82/36/88/601823688.db2.gz BJYBNCHAHPNRHP-ZNJNVTAHSA-N -1 1 308.422 1.626 20 0 DDADMM CN(C[C@H]1CCCN(CC(=O)NCc2ccccc2)C1)C(=O)[O-] ZINC000739637680 601855222 /nfs/dbraw/zinc/85/52/22/601855222.db2.gz QOUWHFPHVRHFHW-OAHLLOKOSA-N -1 1 319.405 1.625 20 0 DDADMM O=C([O-])c1ccsc1N1CC[C@H](Sc2c[nH]nn2)C1=O ZINC000833338025 601897056 /nfs/dbraw/zinc/89/70/56/601897056.db2.gz GZLZYAANIDTSGH-ZETCQYMHSA-N -1 1 310.360 1.462 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CC(=O)Nc2ccnn2C2CCCC2)C1 ZINC000828024835 601911984 /nfs/dbraw/zinc/91/19/84/601911984.db2.gz YBWGQVSYNYHAJX-INIZCTEOSA-N -1 1 320.393 1.733 20 0 DDADMM C[C@@H](C(=O)N1CCCCCC1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091131717 601916725 /nfs/dbraw/zinc/91/67/25/601916725.db2.gz AESSHIIBVLGQRJ-STQMWFEESA-N -1 1 314.451 1.670 20 0 DDADMM CCC(C)(C)NC(=O)[C@H](C)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091131898 601921457 /nfs/dbraw/zinc/92/14/57/601921457.db2.gz QOLXGZQTYIJLBU-QWRGUYRKSA-N -1 1 302.440 1.572 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)N2CC[C@@H](CNC(=O)[O-])C2)cc1 ZINC000739916227 601952221 /nfs/dbraw/zinc/95/22/21/601952221.db2.gz MKSVYCAUDSVNQV-RYUDHWBXSA-N -1 1 321.377 1.612 20 0 DDADMM CC1CCC(N(C)C(=O)CN2CC[C@H](CNC(=O)[O-])C2)CC1 ZINC000739086404 601954895 /nfs/dbraw/zinc/95/48/95/601954895.db2.gz PYINNCMELRQQEV-ROKHWSDSSA-N -1 1 311.426 1.613 20 0 DDADMM Cc1cccn2c(=O)cc(CN3CC[C@H](CNC(=O)[O-])C3)nc12 ZINC000740191997 601958509 /nfs/dbraw/zinc/95/85/09/601958509.db2.gz UKMYXUOSPVBQIR-GFCCVEGCSA-N -1 1 316.361 1.092 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)c1ccccn1 ZINC000833126767 602043829 /nfs/dbraw/zinc/04/38/29/602043829.db2.gz SWYCPETZMIBGAC-RNCFNFMXSA-N -1 1 300.318 1.167 20 0 DDADMM CCN(CC)CC(=O)N1CCN(C(=O)[O-])Cc2ccccc21 ZINC000739409163 602250716 /nfs/dbraw/zinc/25/07/16/602250716.db2.gz WVUKLGSDQYQWBY-UHFFFAOYSA-N -1 1 305.378 1.855 20 0 DDADMM COC(C)(C)CN(C)CC(=O)N(C)c1ccccc1C(=O)[O-] ZINC000831483857 602284057 /nfs/dbraw/zinc/28/40/57/602284057.db2.gz DWSXSPNKPVPNOX-UHFFFAOYSA-N -1 1 308.378 1.704 20 0 DDADMM C[C@@H]1CCCC[C@@]1(CNC(=O)[O-])NCc1nnnn1C1CC1 ZINC000739105557 602433225 /nfs/dbraw/zinc/43/32/25/602433225.db2.gz YMPIOKUTQVNQRR-YGRLFVJLSA-N -1 1 308.386 1.314 20 0 DDADMM Cc1csc(N2CCN(C[C@@H]3CCCN(C(=O)[O-])C3)CC2)n1 ZINC000740209363 602452398 /nfs/dbraw/zinc/45/23/98/602452398.db2.gz ROOKFGKCBRFKMX-ZDUSSCGKSA-N -1 1 324.450 1.964 20 0 DDADMM O=C([O-])NC[C@H]1CCCN(CC[S@@](=O)c2ccccc2)C1 ZINC000740633078 602527494 /nfs/dbraw/zinc/52/74/94/602527494.db2.gz MZOQDFKCSSHBQR-LRTDBIEQSA-N -1 1 310.419 1.774 20 0 DDADMM CCn1c(C)nnc1CN[C@]1(CNC(=O)[O-])CCCC[C@@H]1C ZINC000739565556 602554389 /nfs/dbraw/zinc/55/43/89/602554389.db2.gz XLQKBBNEBCTQSH-NHYWBVRUSA-N -1 1 309.414 1.913 20 0 DDADMM O=C([O-])N1CCC[C@H]1CNC(=O)c1cc(F)cc2nc[nH]c21 ZINC000740413262 602579513 /nfs/dbraw/zinc/57/95/13/602579513.db2.gz ADJOEOPBPKRVAR-VIFPVBQESA-N -1 1 306.297 1.574 20 0 DDADMM CCN(C)[C@H](C(=O)NC[C@@H]1CCCN1C(=O)[O-])c1ccccc1 ZINC000739385692 602606460 /nfs/dbraw/zinc/60/64/60/602606460.db2.gz TUZYUHWUPYHUCA-GJZGRUSLSA-N -1 1 319.405 1.938 20 0 DDADMM O=C([O-])N1CCC[C@H]1CNC(=O)N1CCN2CCCC[C@H]2C1 ZINC000740420699 602615388 /nfs/dbraw/zinc/61/53/88/602615388.db2.gz SIZZQHDJQBVNOG-STQMWFEESA-N -1 1 310.398 1.009 20 0 DDADMM Cc1cccc(CNC(=O)CN2CC[C@H](NC(=O)[O-])[C@@H](C)C2)c1 ZINC000740169345 602784059 /nfs/dbraw/zinc/78/40/59/602784059.db2.gz HPVFKEPYBKKZGP-ZFWWWQNUSA-N -1 1 319.405 1.589 20 0 DDADMM C[C@H]1CN(CC(=O)N(C2CC2)C2CCCC2)CC[C@@H]1NC(=O)[O-] ZINC000739178278 602794387 /nfs/dbraw/zinc/79/43/87/602794387.db2.gz YKDZSOYYIDGKRQ-WFASDCNBSA-N -1 1 323.437 1.898 20 0 DDADMM Cc1cccc(OC[C@@H](O)CN2C[C@@H](C)N(C(=O)[O-])[C@@H](C)C2)c1 ZINC000740172635 602875603 /nfs/dbraw/zinc/87/56/03/602875603.db2.gz RCBPQKIYTMHYDQ-ZNMIVQPWSA-N -1 1 322.405 1.807 20 0 DDADMM CCc1cc(CNC(=O)N2C[C@@H](C)N(C(=O)[O-])[C@@H](C)C2)[nH]n1 ZINC000739536893 602885916 /nfs/dbraw/zinc/88/59/16/602885916.db2.gz VTFPCVCLXDIKOA-AOOOYVTPSA-N -1 1 309.370 1.254 20 0 DDADMM Cc1cccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1O ZINC000826296219 607599561 /nfs/dbraw/zinc/59/95/61/607599561.db2.gz NMKANEKVGAOUOB-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM Cc1cccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1O ZINC000826296219 607599562 /nfs/dbraw/zinc/59/95/62/607599562.db2.gz NMKANEKVGAOUOB-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM CCOCC(=O)Nc1ccc(-c2nc(CNC(=O)[O-])n[nH]2)cc1 ZINC000739490812 603058327 /nfs/dbraw/zinc/05/83/27/603058327.db2.gz QUCMCUORZMEZMF-UHFFFAOYSA-N -1 1 319.321 1.214 20 0 DDADMM CCOCC(=O)Nc1ccc(-c2n[nH]c(CNC(=O)[O-])n2)cc1 ZINC000739490812 603058333 /nfs/dbraw/zinc/05/83/33/603058333.db2.gz QUCMCUORZMEZMF-UHFFFAOYSA-N -1 1 319.321 1.214 20 0 DDADMM CCc1nnc([C@@H]2CN(CC3CCN(C(=O)[O-])CC3)CCO2)[nH]1 ZINC000739551419 603073121 /nfs/dbraw/zinc/07/31/21/603073121.db2.gz COVOJXHJDLAKIS-LBPRGKRZSA-N -1 1 323.397 1.130 20 0 DDADMM C[C@H](CNC(=O)c1ccc(NC(=O)[O-])cc1)N1CCN(C)CC1 ZINC000736605928 603117727 /nfs/dbraw/zinc/11/77/27/603117727.db2.gz VDIJKXUFBRFMBR-GFCCVEGCSA-N -1 1 320.393 1.142 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1CCN(C(=O)[O-])C1)c1cccs1 ZINC000739603043 603265528 /nfs/dbraw/zinc/26/55/28/603265528.db2.gz RWOUGUBSWMRGIC-GHMZBOCLSA-N -1 1 311.407 1.467 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CC[C@H](NC(=O)[O-])[C@@H](C)C2)c1 ZINC000740054973 603346118 /nfs/dbraw/zinc/34/61/18/603346118.db2.gz WVAZRWOBAOXQSH-GWCFXTLKSA-N -1 1 316.361 1.990 20 0 DDADMM C[C@H](C(=O)N1CCCCCC1)N1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000739177279 603414063 /nfs/dbraw/zinc/41/40/63/603414063.db2.gz OODDUGAFSGOJPW-MCIONIFRSA-N -1 1 311.426 1.756 20 0 DDADMM Cc1csc2nc(CN(C)[C@H]3CCN(C(=O)[O-])C3)cc(=O)n12 ZINC000830673883 603538820 /nfs/dbraw/zinc/53/88/20/603538820.db2.gz ZNHCYUHLHSRGHB-NSHDSACASA-N -1 1 322.390 1.249 20 0 DDADMM Cc1cccc(CNC(=O)CN(C)CC(C)(C)CNC(=O)[O-])c1 ZINC000830499192 603545900 /nfs/dbraw/zinc/54/59/00/603545900.db2.gz XOONQDQLADFGTO-UHFFFAOYSA-N -1 1 321.421 1.837 20 0 DDADMM O=C([O-])N1CCC(C(=O)N2CCC(c3cnc[nH]3)CC2)CC1 ZINC000831864544 603549671 /nfs/dbraw/zinc/54/96/71/603549671.db2.gz VXTITISTQKPHLD-UHFFFAOYSA-N -1 1 306.366 1.506 20 0 DDADMM COc1cccc(NC(=O)CCN2CCN(C(=O)[O-])C[C@H]2C)c1 ZINC000829570297 603561406 /nfs/dbraw/zinc/56/14/06/603561406.db2.gz JBAVVLDGOOWSDJ-GFCCVEGCSA-N -1 1 321.377 1.708 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H](C(=O)N2CCC(c3nc[nH]n3)CC2)C1 ZINC000832318059 603562828 /nfs/dbraw/zinc/56/28/28/603562828.db2.gz ICPDAKBZFUWQEB-NWDGAFQWSA-N -1 1 321.381 1.337 20 0 DDADMM CC(C)(CNC(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000823955430 603624465 /nfs/dbraw/zinc/62/44/65/603624465.db2.gz DSVZTVKFMKDTSC-UHFFFAOYSA-N -1 1 319.405 1.455 20 0 DDADMM CC(C)(CNC(=O)[O-])C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000823960785 603691819 /nfs/dbraw/zinc/69/18/19/603691819.db2.gz RYVQMPOXMXZEES-UHFFFAOYSA-N -1 1 308.313 1.612 20 0 DDADMM Cc1ccc2nc(CNC(=O)CC(C)(C)NC(=O)[O-])[nH]c2c1 ZINC000830434038 603764712 /nfs/dbraw/zinc/76/47/12/603764712.db2.gz YYFXUYREKOINIT-UHFFFAOYSA-N -1 1 304.350 1.924 20 0 DDADMM CN(CC(=O)Nc1ccc(O)cc1)C1CCC(NC(=O)[O-])CC1 ZINC000828114350 603782364 /nfs/dbraw/zinc/78/23/64/603782364.db2.gz FEEGZFJGGMFZRU-UHFFFAOYSA-N -1 1 321.377 1.841 20 0 DDADMM CC(C)[C@H](CCN(C)CC(=O)N1CCC(C)CC1)NC(=O)[O-] ZINC000825937544 603824261 /nfs/dbraw/zinc/82/42/61/603824261.db2.gz JODQACZVIHRIKC-AWEZNQCLSA-N -1 1 313.442 1.859 20 0 DDADMM CCCN(C[C@@H](C)CS(C)(=O)=O)[C@H]1CCN(C(=O)[O-])C1 ZINC000826904586 603906188 /nfs/dbraw/zinc/90/61/88/603906188.db2.gz XBUATYORZUWYFN-NEPJUHHUSA-N -1 1 306.428 1.131 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCC(NC(=O)[O-])CC1 ZINC000829833386 603934779 /nfs/dbraw/zinc/93/47/79/603934779.db2.gz IVOIOVFYHCMODP-UHFFFAOYSA-N -1 1 320.271 1.609 20 0 DDADMM O=C([O-])NCC1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000832583503 603944433 /nfs/dbraw/zinc/94/44/33/603944433.db2.gz OYZPERJUSDUKDB-NSHDSACASA-N -1 1 306.366 1.336 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)[C@H]1CCCc2[nH]ncc21)C(=O)[O-] ZINC000828186692 603952974 /nfs/dbraw/zinc/95/29/74/603952974.db2.gz RLCUYNMHBGFVTO-QWRGUYRKSA-N -1 1 306.366 1.430 20 0 DDADMM C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCC[C@H]2CN(C)C(=O)[O-])C1 ZINC000825783750 604096527 /nfs/dbraw/zinc/09/65/27/604096527.db2.gz NSNNJVQLVCZPMP-MCIONIFRSA-N -1 1 311.426 1.565 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H](C)CN(C)C(=O)[O-])[nH]c2c1 ZINC000830432755 604203233 /nfs/dbraw/zinc/20/32/33/604203233.db2.gz KOCGUGFCEFAHTO-JTQLQIEISA-N -1 1 304.350 1.733 20 0 DDADMM CC(C)N1CC[C@H](N2CCC(N(CC3CC3)C(=O)[O-])CC2)C1=O ZINC000824356599 604229582 /nfs/dbraw/zinc/22/95/82/604229582.db2.gz HCPJAFNXMBITQP-HNNXBMFYSA-N -1 1 323.437 1.850 20 0 DDADMM O=C([O-])N1CC[C@H](CCNC(=O)N[C@@H]2CCCc3cn[nH]c32)C1 ZINC000831659610 604270683 /nfs/dbraw/zinc/27/06/83/604270683.db2.gz KYFNTBWFVKWSSI-CMPLNLGQSA-N -1 1 321.381 1.476 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCC(c3nc[nH]n3)CC2)cc1F ZINC000833309342 604305522 /nfs/dbraw/zinc/30/55/22/604305522.db2.gz QJLGFLMWAHLZIU-UHFFFAOYSA-N -1 1 318.308 1.662 20 0 DDADMM Cc1ccc(NS(=O)(=O)c2ccc(CC(=O)[O-])cc2)cn1 ZINC000164581224 604403076 /nfs/dbraw/zinc/40/30/76/604403076.db2.gz GNNKCWDWYOSEOM-UHFFFAOYSA-N -1 1 306.343 1.818 20 0 DDADMM Cn1ccnc1[C@@H](O)[C@@H]1CCCN(Cc2cc(C(=O)[O-])co2)C1 ZINC000833059417 604424892 /nfs/dbraw/zinc/42/48/92/604424892.db2.gz SRPTXLCRPRGSJN-RISCZKNCSA-N -1 1 319.361 1.657 20 0 DDADMM CC(C)OC[C@H](C(=O)[O-])N(C)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000826996336 604442066 /nfs/dbraw/zinc/44/20/66/604442066.db2.gz XYIILJKOFMZMNS-ZWNOBZJWSA-N -1 1 309.366 1.166 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CCC(C(F)F)CC1 ZINC000825977569 604509059 /nfs/dbraw/zinc/50/90/59/604509059.db2.gz JSJQDIXUFMYXCI-SNVBAGLBSA-N -1 1 318.364 1.675 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@@H]3CCCN(C(=O)[O-])C3)C2)n[nH]1 ZINC000830735606 604645663 /nfs/dbraw/zinc/64/56/63/604645663.db2.gz SGPXULJGMKTYOS-NWDGAFQWSA-N -1 1 321.381 1.209 20 0 DDADMM CC(C)CN(CCC(=O)[O-])C(=O)CN(C)[C@H]1CCSC1 ZINC000833487719 604662303 /nfs/dbraw/zinc/66/23/03/604662303.db2.gz GRIJYTDJKWKRQV-LBPRGKRZSA-N -1 1 302.440 1.383 20 0 DDADMM Cc1nc(COC(=O)c2cc(C)c(OCC(=O)[O-])c(C)c2)n[nH]1 ZINC000832999058 604669560 /nfs/dbraw/zinc/66/95/60/604669560.db2.gz AJLABBBSTYIOBD-UHFFFAOYSA-N -1 1 319.317 1.550 20 0 DDADMM CC(=O)NCC1CCN(Cc2ccc(C(=O)[O-])cc2F)CC1 ZINC000833397060 604752168 /nfs/dbraw/zinc/75/21/68/604752168.db2.gz VPAJUHZZDGKWGL-UHFFFAOYSA-N -1 1 308.353 1.872 20 0 DDADMM CCc1[nH]c(C(=O)N2CCN(C)[C@@H](C)[C@H]2C)c(C)c1C(=O)[O-] ZINC000833667042 604839188 /nfs/dbraw/zinc/83/91/88/604839188.db2.gz JBFWVOJXFGRAHS-WDEREUQCSA-N -1 1 307.394 1.748 20 0 DDADMM C[C@@H]1[C@H](C)N(CC(=O)Nc2ccccc2C(=O)[O-])CCN1C ZINC000833586809 604852030 /nfs/dbraw/zinc/85/20/30/604852030.db2.gz HAXKHVVFOJTLAO-NEPJUHHUSA-N -1 1 305.378 1.348 20 0 DDADMM CC[C@]1(C)CC(=O)N(CN2CCC[C@@H](C(C)(C)C(=O)[O-])C2)C1=O ZINC000833646532 604865026 /nfs/dbraw/zinc/86/50/26/604865026.db2.gz PHSPIPMNPPNWEG-SJKOYZFVSA-N -1 1 324.421 1.942 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)[C@H]2CCC[C@@H](C(=O)[O-])C2)n[nH]1 ZINC000833511889 605023018 /nfs/dbraw/zinc/02/30/18/605023018.db2.gz DPUQIDIRFHYAGS-GARJFASQSA-N -1 1 308.382 1.996 20 0 DDADMM CC(C)C[C@@H](CNC(=O)CN(C)[C@H]1CCSC1)C(=O)[O-] ZINC000833477465 605049551 /nfs/dbraw/zinc/04/95/51/605049551.db2.gz GEBKYRSPEAKWIY-RYUDHWBXSA-N -1 1 302.440 1.287 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)C1CCC(C(=O)[O-])CC1 ZINC000317188657 605087360 /nfs/dbraw/zinc/08/73/60/605087360.db2.gz HXXDRDOYMDRZGU-URGYJCLVSA-N -1 1 308.422 1.963 20 0 DDADMM CCO[C@H]1C[C@H](NCc2cn(CC(=O)[O-])nn2)C12CCCC2 ZINC000833662235 605091816 /nfs/dbraw/zinc/09/18/16/605091816.db2.gz ZAKSDIDGVUNIAM-STQMWFEESA-N -1 1 308.382 1.190 20 0 DDADMM C[C@@H]1[C@H](c2ccccc2)CCN1CN1C[C@@H](C(=O)[O-])CC1=O ZINC000833586359 605176536 /nfs/dbraw/zinc/17/65/36/605176536.db2.gz FLIYFURGKVXYEY-VHDGCEQUSA-N -1 1 302.374 1.755 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3c(c2)CCO3)CCN1CCC(=O)[O-] ZINC000833619739 605254550 /nfs/dbraw/zinc/25/45/50/605254550.db2.gz DQMYZXVJOLEODM-LBPRGKRZSA-N -1 1 318.373 1.243 20 0 DDADMM O=C([O-])N(CC(=O)N1CCN2CCCC[C@H]2C1)c1ccccc1 ZINC000831441406 605260649 /nfs/dbraw/zinc/26/06/49/605260649.db2.gz KXYIPKJLXBKFPG-HNNXBMFYSA-N -1 1 317.389 1.868 20 0 DDADMM COCCC[C@H](C)C(=O)N1CCN(CCC(=O)[O-])[C@H](C)C1 ZINC000833723187 605408223 /nfs/dbraw/zinc/40/82/23/605408223.db2.gz FEKHGSFQPHINPC-QWHCGFSZSA-N -1 1 300.399 1.057 20 0 DDADMM O=C([O-])N1CCCN1C(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000834112043 605789945 /nfs/dbraw/zinc/78/99/45/605789945.db2.gz HLZDMBPTOAOOQB-UHFFFAOYSA-N -1 1 320.330 1.365 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1CN1CC2(CCCC2)CC1=O ZINC000833829156 605956490 /nfs/dbraw/zinc/95/64/90/605956490.db2.gz FWMZESDNZZFTHZ-OLZOCXBDSA-N -1 1 309.410 1.715 20 0 DDADMM Cc1nc(NC(=O)[O-])sc1C(=O)N(C)CCN1CCCC1 ZINC000833913626 605974831 /nfs/dbraw/zinc/97/48/31/605974831.db2.gz HNDZPWNBKUMBIM-UHFFFAOYSA-N -1 1 312.395 1.709 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2n[nH]cc21 ZINC000834095500 605986613 /nfs/dbraw/zinc/98/66/13/605986613.db2.gz RJBXNGJQKXJRBB-MNOVXSKESA-N -1 1 314.345 1.920 20 0 DDADMM CN(c1c2ccccc2nnc1-c1nnn[n-]1)C1CCOCC1 ZINC000821618916 606043579 /nfs/dbraw/zinc/04/35/79/606043579.db2.gz BDRBQOYYRANVEU-UHFFFAOYSA-N -1 1 311.349 1.425 20 0 DDADMM CN(c1c2ccccc2nnc1-c1nn[n-]n1)C1CCOCC1 ZINC000821618916 606043584 /nfs/dbraw/zinc/04/35/84/606043584.db2.gz BDRBQOYYRANVEU-UHFFFAOYSA-N -1 1 311.349 1.425 20 0 DDADMM CCCc1nc(COC(=O)c2ccc(-c3nnn[n-]3)s2)no1 ZINC000821142410 606138754 /nfs/dbraw/zinc/13/87/54/606138754.db2.gz IRTCPKITHPVONQ-UHFFFAOYSA-N -1 1 320.334 1.621 20 0 DDADMM CCCc1nc(COC(=O)c2ccc(-c3nn[n-]n3)s2)no1 ZINC000821142410 606138756 /nfs/dbraw/zinc/13/87/56/606138756.db2.gz IRTCPKITHPVONQ-UHFFFAOYSA-N -1 1 320.334 1.621 20 0 DDADMM CC[C@@H](C)C[C@H](C)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820870511 606169513 /nfs/dbraw/zinc/16/95/13/606169513.db2.gz UEZZVPSXIRUKJR-BDAKNGLRSA-N -1 1 306.374 1.546 20 0 DDADMM CC[C@@H](C)C[C@H](C)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820870511 606169514 /nfs/dbraw/zinc/16/95/14/606169514.db2.gz UEZZVPSXIRUKJR-BDAKNGLRSA-N -1 1 306.374 1.546 20 0 DDADMM CC(C)CO[C@H](C)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000820097934 606294860 /nfs/dbraw/zinc/29/48/60/606294860.db2.gz TYOSVLBZNKQHGO-SECBINFHSA-N -1 1 305.338 1.572 20 0 DDADMM O=C(COCC(F)(F)F)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000823032424 606298103 /nfs/dbraw/zinc/29/81/03/606298103.db2.gz UAXLNLSPBIKCEO-UHFFFAOYSA-N -1 1 317.227 1.090 20 0 DDADMM CC(C)[C@@H]1OCCC[C@H]1CNc1nccnc1-c1nnn[n-]1 ZINC000820050852 606427030 /nfs/dbraw/zinc/42/70/30/606427030.db2.gz HDTZSFSCIVTIEU-JQWIXIFHSA-N -1 1 303.370 1.520 20 0 DDADMM CC(C)[C@@H]1OCCC[C@H]1CNc1nccnc1-c1nn[n-]n1 ZINC000820050852 606427032 /nfs/dbraw/zinc/42/70/32/606427032.db2.gz HDTZSFSCIVTIEU-JQWIXIFHSA-N -1 1 303.370 1.520 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820875746 606461966 /nfs/dbraw/zinc/46/19/66/606461966.db2.gz DUVHOXWWVQPRNE-YUMQZZPRSA-N -1 1 323.788 1.630 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820875746 606461967 /nfs/dbraw/zinc/46/19/67/606461967.db2.gz DUVHOXWWVQPRNE-YUMQZZPRSA-N -1 1 323.788 1.630 20 0 DDADMM COCC[C@@](C)(O)CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821685446 606467464 /nfs/dbraw/zinc/46/74/64/606467464.db2.gz CZXQXOMEHIMONT-GFCCVEGCSA-N -1 1 312.761 1.115 20 0 DDADMM COCC[C@@](C)(O)CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821685446 606467465 /nfs/dbraw/zinc/46/74/65/606467465.db2.gz CZXQXOMEHIMONT-GFCCVEGCSA-N -1 1 312.761 1.115 20 0 DDADMM CC(C)OC(=O)CSc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820142285 606468159 /nfs/dbraw/zinc/46/81/59/606468159.db2.gz JEWYHMVJHACSSJ-UHFFFAOYSA-N -1 1 313.770 1.959 20 0 DDADMM CC(C)OC(=O)CSc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820142285 606468161 /nfs/dbraw/zinc/46/81/61/606468161.db2.gz JEWYHMVJHACSSJ-UHFFFAOYSA-N -1 1 313.770 1.959 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822492110 606495731 /nfs/dbraw/zinc/49/57/31/606495731.db2.gz RCEWZAGYHNDKCX-UHFFFAOYSA-N -1 1 303.351 1.531 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822492110 606495732 /nfs/dbraw/zinc/49/57/32/606495732.db2.gz RCEWZAGYHNDKCX-UHFFFAOYSA-N -1 1 303.351 1.531 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)C[C@H](C)O1 ZINC000820988331 606557973 /nfs/dbraw/zinc/55/79/73/606557973.db2.gz FPCSGXVKVMZWLJ-IUCAKERBSA-N -1 1 307.379 1.568 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)C[C@H](C)O1 ZINC000820988331 606557974 /nfs/dbraw/zinc/55/79/74/606557974.db2.gz FPCSGXVKVMZWLJ-IUCAKERBSA-N -1 1 307.379 1.568 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)C(F)F)c1cccc(-c2nn[nH]n2)c1 ZINC000820314784 606578250 /nfs/dbraw/zinc/57/82/50/606578250.db2.gz KAWJGMJVUVTGNL-LURJTMIESA-N -1 1 317.246 1.944 20 0 DDADMM CC(C)[C@@H]1OCC[C@@H]1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820046792 606584066 /nfs/dbraw/zinc/58/40/66/606584066.db2.gz UHCPKHBSVQIGID-MFKMUULPSA-N -1 1 316.365 1.053 20 0 DDADMM CC(C)[C@@H]1OCC[C@@H]1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820046792 606584068 /nfs/dbraw/zinc/58/40/68/606584068.db2.gz UHCPKHBSVQIGID-MFKMUULPSA-N -1 1 316.365 1.053 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCC2CCCCC2)n1 ZINC000822614942 606598000 /nfs/dbraw/zinc/59/80/00/606598000.db2.gz XVOAJOOJPZQMEQ-UHFFFAOYSA-N -1 1 304.358 1.302 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCC2CCCCC2)n1 ZINC000822614942 606598001 /nfs/dbraw/zinc/59/80/01/606598001.db2.gz XVOAJOOJPZQMEQ-UHFFFAOYSA-N -1 1 304.358 1.302 20 0 DDADMM O=C(/C=C/C1CCOCC1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822923279 606649597 /nfs/dbraw/zinc/64/95/97/606649597.db2.gz PLZAMCMYMKWJNU-OWOJBTEDSA-N -1 1 315.333 1.288 20 0 DDADMM O=C(/C=C/C1CCOCC1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822923279 606649598 /nfs/dbraw/zinc/64/95/98/606649598.db2.gz PLZAMCMYMKWJNU-OWOJBTEDSA-N -1 1 315.333 1.288 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)[C@]2(C)CCCO2)n1 ZINC000820577075 606684103 /nfs/dbraw/zinc/68/41/03/606684103.db2.gz IUMMVTKDXKPCFL-AWEZNQCLSA-N -1 1 319.369 1.326 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)[C@]2(C)CCCO2)n1 ZINC000820577075 606684104 /nfs/dbraw/zinc/68/41/04/606684104.db2.gz IUMMVTKDXKPCFL-AWEZNQCLSA-N -1 1 319.369 1.326 20 0 DDADMM OC[C@H]1CC[C@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000823727097 607046313 /nfs/dbraw/zinc/04/63/13/607046313.db2.gz NTIYNVHLMIDZFV-KYZUINATSA-N -1 1 308.773 1.878 20 0 DDADMM OC[C@H]1CC[C@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000823727097 607046315 /nfs/dbraw/zinc/04/63/15/607046315.db2.gz NTIYNVHLMIDZFV-KYZUINATSA-N -1 1 308.773 1.878 20 0 DDADMM CC(C)n1ccc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)n1 ZINC000820214808 607107433 /nfs/dbraw/zinc/10/74/33/607107433.db2.gz PEESOXDPYGAIQD-UHFFFAOYSA-N -1 1 304.339 1.353 20 0 DDADMM CC(C)n1ccc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)n1 ZINC000820214808 607107435 /nfs/dbraw/zinc/10/74/35/607107435.db2.gz PEESOXDPYGAIQD-UHFFFAOYSA-N -1 1 304.339 1.353 20 0 DDADMM CCCC1(CNS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000821034898 607127342 /nfs/dbraw/zinc/12/73/42/607127342.db2.gz WFSIWBTYGVGKIY-UHFFFAOYSA-N -1 1 322.394 1.120 20 0 DDADMM CCCC1(CNS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000821034898 607127344 /nfs/dbraw/zinc/12/73/44/607127344.db2.gz WFSIWBTYGVGKIY-UHFFFAOYSA-N -1 1 322.394 1.120 20 0 DDADMM CSC[C@@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821962479 607166381 /nfs/dbraw/zinc/16/63/81/607166381.db2.gz QJJUWHARGSMBQP-QMMMGPOBSA-N -1 1 309.420 1.896 20 0 DDADMM CSC[C@@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821962479 607166380 /nfs/dbraw/zinc/16/63/80/607166380.db2.gz QJJUWHARGSMBQP-QMMMGPOBSA-N -1 1 309.420 1.896 20 0 DDADMM CCCCC[C@@H]1CCCN1C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821049662 607225077 /nfs/dbraw/zinc/22/50/77/607225077.db2.gz MMEMVOYWHQCRRL-LLVKDONJSA-N -1 1 318.385 1.030 20 0 DDADMM CC(C)(C)SCCCC(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000824123950 607835668 /nfs/dbraw/zinc/83/56/68/607835668.db2.gz NZNNQXLKNVNJRA-UHFFFAOYSA-N -1 1 309.399 1.598 20 0 DDADMM Cc1cnc(CCN(C)c2cccc(-c3nnn[n-]3)n2)s1 ZINC000826318265 607850373 /nfs/dbraw/zinc/85/03/73/607850373.db2.gz ATVCEWCECOYFAM-UHFFFAOYSA-N -1 1 301.379 1.706 20 0 DDADMM Cc1cnc(CCN(C)c2cccc(-c3nn[n-]n3)n2)s1 ZINC000826318265 607850374 /nfs/dbraw/zinc/85/03/74/607850374.db2.gz ATVCEWCECOYFAM-UHFFFAOYSA-N -1 1 301.379 1.706 20 0 DDADMM O=C(CCn1ccnc1-c1nnn[n-]1)Nc1ccccc1F ZINC000826409736 607868682 /nfs/dbraw/zinc/86/86/82/607868682.db2.gz NEYSCJATTSUTKJ-UHFFFAOYSA-N -1 1 301.285 1.231 20 0 DDADMM O=C(CCn1ccnc1-c1nn[n-]n1)Nc1ccccc1F ZINC000826409736 607868683 /nfs/dbraw/zinc/86/86/83/607868683.db2.gz NEYSCJATTSUTKJ-UHFFFAOYSA-N -1 1 301.285 1.231 20 0 DDADMM Oc1ccc2c(c1)CCN(c1cccc(-c3nnn[n-]3)n1)CC2 ZINC000826511367 607898465 /nfs/dbraw/zinc/89/84/65/607898465.db2.gz MTQBCJYLQCCDMB-UHFFFAOYSA-N -1 1 308.345 1.573 20 0 DDADMM Oc1ccc2c(c1)CCN(c1cccc(-c3nn[n-]n3)n1)CC2 ZINC000826511367 607898466 /nfs/dbraw/zinc/89/84/66/607898466.db2.gz MTQBCJYLQCCDMB-UHFFFAOYSA-N -1 1 308.345 1.573 20 0 DDADMM OC[C@@H](Nc1cccc(-c2nnn[n-]2)n1)c1cccc(F)c1 ZINC000826508685 607900094 /nfs/dbraw/zinc/90/00/94/607900094.db2.gz ZAZVUXAFALXICH-GFCCVEGCSA-N -1 1 300.297 1.546 20 0 DDADMM OC[C@@H](Nc1cccc(-c2nn[n-]n2)n1)c1cccc(F)c1 ZINC000826508685 607900095 /nfs/dbraw/zinc/90/00/95/607900095.db2.gz ZAZVUXAFALXICH-GFCCVEGCSA-N -1 1 300.297 1.546 20 0 DDADMM O=C1C[C@H](c2cccc(Nc3cccc(-c4nnn[n-]4)n3)c2)CN1 ZINC000826479097 607903309 /nfs/dbraw/zinc/90/33/09/607903309.db2.gz QGDZNULFRUQRSW-NSHDSACASA-N -1 1 321.344 1.609 20 0 DDADMM O=C1C[C@H](c2cccc(Nc3cccc(-c4nn[n-]n4)n3)c2)CN1 ZINC000826479097 607903310 /nfs/dbraw/zinc/90/33/10/607903310.db2.gz QGDZNULFRUQRSW-NSHDSACASA-N -1 1 321.344 1.609 20 0 DDADMM Cc1c(CNc2snc(Cl)c2-c2nnn[n-]2)cnn1C ZINC000826236666 607966774 /nfs/dbraw/zinc/96/67/74/607966774.db2.gz XARYYUHCCAJGJC-UHFFFAOYSA-N -1 1 310.774 1.631 20 0 DDADMM Cc1c(CNc2snc(Cl)c2-c2nn[n-]n2)cnn1C ZINC000826236666 607966775 /nfs/dbraw/zinc/96/67/75/607966775.db2.gz XARYYUHCCAJGJC-UHFFFAOYSA-N -1 1 310.774 1.631 20 0 DDADMM C[C@@](O)(CNc1cccc(-c2nnn[n-]2)n1)c1ccc(F)cc1 ZINC000824679993 607967998 /nfs/dbraw/zinc/96/79/98/607967998.db2.gz XOJMZQZPTSIWHV-OAHLLOKOSA-N -1 1 314.324 1.720 20 0 DDADMM C[C@@](O)(CNc1cccc(-c2nn[n-]n2)n1)c1ccc(F)cc1 ZINC000824679993 607967999 /nfs/dbraw/zinc/96/79/99/607967999.db2.gz XOJMZQZPTSIWHV-OAHLLOKOSA-N -1 1 314.324 1.720 20 0 DDADMM CC1(C)OC[C@H](CCOC(=O)c2ccc(-c3nnn[n-]3)s2)O1 ZINC000824813122 607993502 /nfs/dbraw/zinc/99/35/02/607993502.db2.gz ULEOPCCLHWVCDS-QMMMGPOBSA-N -1 1 324.362 1.627 20 0 DDADMM CC1(C)OC[C@H](CCOC(=O)c2ccc(-c3nn[n-]n3)s2)O1 ZINC000824813122 607993503 /nfs/dbraw/zinc/99/35/03/607993503.db2.gz ULEOPCCLHWVCDS-QMMMGPOBSA-N -1 1 324.362 1.627 20 0 DDADMM C[C@H](NC(=O)Cn1ccnc1-c1nnn[n-]1)c1cccs1 ZINC000824479428 608013344 /nfs/dbraw/zinc/01/33/44/608013344.db2.gz NGZKIIFEVQJHSH-QMMMGPOBSA-N -1 1 303.351 1.002 20 0 DDADMM C[C@H](NC(=O)Cn1ccnc1-c1nn[n-]n1)c1cccs1 ZINC000824479428 608013345 /nfs/dbraw/zinc/01/33/45/608013345.db2.gz NGZKIIFEVQJHSH-QMMMGPOBSA-N -1 1 303.351 1.002 20 0 DDADMM CC[C@@H]1CN(c2cc(-c3nnn[n-]3)nc3ccccc32)C[C@H]1O ZINC000825212966 608018040 /nfs/dbraw/zinc/01/80/40/608018040.db2.gz VGUZLBAROPOZAZ-MEBBXXQBSA-N -1 1 310.361 1.622 20 0 DDADMM CC[C@@H]1CN(c2cc(-c3nn[n-]n3)nc3ccccc32)C[C@H]1O ZINC000825212966 608018041 /nfs/dbraw/zinc/01/80/41/608018041.db2.gz VGUZLBAROPOZAZ-MEBBXXQBSA-N -1 1 310.361 1.622 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cccnc1C1CC1 ZINC000826467214 608143220 /nfs/dbraw/zinc/14/32/20/608143220.db2.gz LZEQMQRSABQQLN-UHFFFAOYSA-N -1 1 322.328 1.891 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cccnc1C1CC1 ZINC000826467214 608143221 /nfs/dbraw/zinc/14/32/21/608143221.db2.gz LZEQMQRSABQQLN-UHFFFAOYSA-N -1 1 322.328 1.891 20 0 DDADMM COC[C@@H](O)CCNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000826151932 608230247 /nfs/dbraw/zinc/23/02/47/608230247.db2.gz MNBHVPNFJWQEES-JTQLQIEISA-N -1 1 314.349 1.224 20 0 DDADMM COC[C@@H](O)CCNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000826151932 608230248 /nfs/dbraw/zinc/23/02/48/608230248.db2.gz MNBHVPNFJWQEES-JTQLQIEISA-N -1 1 314.349 1.224 20 0 DDADMM CCn1c(C)nnc1COC(=O)c1sccc1-c1nn[n-]n1 ZINC000825782970 608253200 /nfs/dbraw/zinc/25/32/00/608253200.db2.gz XNKMCTFQBOXKNJ-UHFFFAOYSA-N -1 1 319.350 1.205 20 0 DDADMM Cc1n[nH]c(C)c1CCOC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000826321363 608276414 /nfs/dbraw/zinc/27/64/14/608276414.db2.gz CBNJDCUELJVLIB-UHFFFAOYSA-N -1 1 318.362 1.668 20 0 DDADMM Cc1n[nH]c(C)c1CCOC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000826321363 608276415 /nfs/dbraw/zinc/27/64/15/608276415.db2.gz CBNJDCUELJVLIB-UHFFFAOYSA-N -1 1 318.362 1.668 20 0 DDADMM CN(C[C@@H]1OCCc2ccccc21)c1ccc(-c2nnn[n-]2)nn1 ZINC000825915312 608410271 /nfs/dbraw/zinc/41/02/71/608410271.db2.gz XNJDIVDDWBOADA-AWEZNQCLSA-N -1 1 323.360 1.407 20 0 DDADMM CN(C[C@@H]1OCCc2ccccc21)c1ccc(-c2nn[n-]n2)nn1 ZINC000825915312 608410272 /nfs/dbraw/zinc/41/02/72/608410272.db2.gz XNJDIVDDWBOADA-AWEZNQCLSA-N -1 1 323.360 1.407 20 0 DDADMM c1coc([C@H]2CN(Cc3ccc(-c4nnn[n-]4)o3)CCO2)c1 ZINC000826526354 608746794 /nfs/dbraw/zinc/74/67/94/608746794.db2.gz VKKOCUIBGPSXTE-CYBMUJFWSA-N -1 1 301.306 1.626 20 0 DDADMM c1coc([C@H]2CN(Cc3ccc(-c4nn[n-]n4)o3)CCO2)c1 ZINC000826526354 608746797 /nfs/dbraw/zinc/74/67/97/608746797.db2.gz VKKOCUIBGPSXTE-CYBMUJFWSA-N -1 1 301.306 1.626 20 0 DDADMM CC(C)n1ncc2cc(Cn3ccnc3-c3nnn[n-]3)cnc21 ZINC000824377921 608889814 /nfs/dbraw/zinc/88/98/14/608889814.db2.gz SPPSDPCWIXUYBX-UHFFFAOYSA-N -1 1 309.337 1.437 20 0 DDADMM CC(C)n1ncc2cc(Cn3ccnc3-c3nn[n-]n3)cnc21 ZINC000824377921 608889816 /nfs/dbraw/zinc/88/98/16/608889816.db2.gz SPPSDPCWIXUYBX-UHFFFAOYSA-N -1 1 309.337 1.437 20 0 DDADMM Cc1ncc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c(C)n1 ZINC000826329887 609246221 /nfs/dbraw/zinc/24/62/21/609246221.db2.gz WKBCPLWFLJSERJ-UHFFFAOYSA-N -1 1 311.305 1.026 20 0 DDADMM Cc1ncc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c(C)n1 ZINC000826329887 609246224 /nfs/dbraw/zinc/24/62/24/609246224.db2.gz WKBCPLWFLJSERJ-UHFFFAOYSA-N -1 1 311.305 1.026 20 0 DDADMM CN(C[C@H]1CCCC[C@H]1O)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825908129 609323880 /nfs/dbraw/zinc/32/38/80/609323880.db2.gz FLBNTYNZQBAIGO-MWLCHTKSSA-N -1 1 322.800 1.902 20 0 DDADMM CN(C[C@H]1CCCC[C@H]1O)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825908129 609323882 /nfs/dbraw/zinc/32/38/82/609323882.db2.gz FLBNTYNZQBAIGO-MWLCHTKSSA-N -1 1 322.800 1.902 20 0 DDADMM Cc1onc(CC(=O)NCCSCC(C)C)c1-c1nnn[n-]1 ZINC000826348103 609468128 /nfs/dbraw/zinc/46/81/28/609468128.db2.gz UTXSXTADEHWNCD-UHFFFAOYSA-N -1 1 324.410 1.211 20 0 DDADMM Cc1onc(CC(=O)NCCSCC(C)C)c1-c1nn[n-]n1 ZINC000826348103 609468129 /nfs/dbraw/zinc/46/81/29/609468129.db2.gz UTXSXTADEHWNCD-UHFFFAOYSA-N -1 1 324.410 1.211 20 0 DDADMM COc1ccc2c(c1)CC[C@H](Nc1nccnc1-c1nnn[n-]1)C2 ZINC000826212561 609590705 /nfs/dbraw/zinc/59/07/05/609590705.db2.gz UOLWPGHEUPTQOR-LBPRGKRZSA-N -1 1 323.360 1.635 20 0 DDADMM COc1ccc2c(c1)CC[C@H](Nc1nccnc1-c1nn[n-]n1)C2 ZINC000826212561 609590706 /nfs/dbraw/zinc/59/07/06/609590706.db2.gz UOLWPGHEUPTQOR-LBPRGKRZSA-N -1 1 323.360 1.635 20 0 DDADMM O=C(COC(=O)c1cc(F)ccc1O)[N-]C(=O)c1ccccc1 ZINC000745727138 699991142 /nfs/dbraw/zinc/99/11/42/699991142.db2.gz PWJHOQAWRVUIKB-UHFFFAOYSA-N -1 1 317.272 1.645 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)[C@H]2CC23CCC3)C1)c1ncccc1[O-] ZINC000973197065 695443789 /nfs/dbraw/zinc/44/37/89/695443789.db2.gz KKVYVWAHNLOUCS-IJLUTSLNSA-N -1 1 315.373 1.354 20 0 DDADMM CNC(=O)C[C@H]([N-]C(=O)C(F)(F)C(F)F)c1ccccc1 ZINC000797362335 700006222 /nfs/dbraw/zinc/00/62/22/700006222.db2.gz CZFHYAXKUJEOHE-VIFPVBQESA-N -1 1 306.259 1.880 20 0 DDADMM CC(=O)CSCC(=O)[N-]C(=O)c1ccc(OC(F)F)cc1 ZINC000746140030 700007153 /nfs/dbraw/zinc/00/71/53/700007153.db2.gz WFIISAHEQFTYAC-UHFFFAOYSA-N -1 1 317.313 1.867 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1)c1ncccc1[O-] ZINC000974055035 695629099 /nfs/dbraw/zinc/62/90/99/695629099.db2.gz YNNZPFFVXLMTLI-PNWMVKDVSA-N -1 1 315.373 1.210 20 0 DDADMM CC[C@H](F)CN1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000974491356 695679321 /nfs/dbraw/zinc/67/93/21/695679321.db2.gz PSARBUNSMKFHNU-AAEUAGOBSA-N -1 1 309.385 1.976 20 0 DDADMM O=C([O-])[C@@H](CC(F)(F)F)NC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000797724099 700021055 /nfs/dbraw/zinc/02/10/55/700021055.db2.gz JISAHCDCFCTUMX-HTQZYQBOSA-N -1 1 320.271 1.492 20 0 DDADMM O=C(NC[C@@H]1CCCN(C(=O)C2CC2)C1)c1ncccc1[O-] ZINC000975219726 695816285 /nfs/dbraw/zinc/81/62/85/695816285.db2.gz LELNDNRBQYNWGR-NSHDSACASA-N -1 1 303.362 1.166 20 0 DDADMM C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@H](O)c1ccc(F)cc1 ZINC000798301092 700055900 /nfs/dbraw/zinc/05/59/00/700055900.db2.gz NRTVWHYUXAVWTE-BMIGLBTASA-N -1 1 317.316 1.952 20 0 DDADMM NC(=S)c1ccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cc1 ZINC000798320603 700056069 /nfs/dbraw/zinc/05/60/69/700056069.db2.gz VFEBFCPWQJOBIO-UHFFFAOYSA-N -1 1 315.280 1.710 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)C1CCCC1 ZINC000977240088 696084466 /nfs/dbraw/zinc/08/44/66/696084466.db2.gz QPECKIYJWQTDJQ-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCOc2cccc(C)c2)n1 ZINC000031049576 696117241 /nfs/dbraw/zinc/11/72/41/696117241.db2.gz OHFATZVZASBCFD-UHFFFAOYSA-N -1 1 317.349 1.499 20 0 DDADMM O=C(N[N-]C(=O)c1ccc(Br)cc1F)NC1CC1 ZINC000049129142 696220765 /nfs/dbraw/zinc/22/07/65/696220765.db2.gz ZTGMWXFTUVWZNF-UHFFFAOYSA-N -1 1 316.130 1.695 20 0 DDADMM N#CC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCCCC1 ZINC000064203292 696337105 /nfs/dbraw/zinc/33/71/05/696337105.db2.gz QPACHWLXPHNGON-UHFFFAOYSA-N -1 1 314.341 1.960 20 0 DDADMM CCn1c(CNC(=O)C2(C(=O)NC3CC3)CCC2)n[n-]c1=S ZINC000066636434 696354274 /nfs/dbraw/zinc/35/42/74/696354274.db2.gz OISPSPNYOGAZSI-UHFFFAOYSA-N -1 1 323.422 1.026 20 0 DDADMM Cn1c(CCNC(=O)c2cccc3c2OCO3)n[n-]c1=S ZINC000067051557 696358607 /nfs/dbraw/zinc/35/86/07/696358607.db2.gz LHUTZQDOYSMJOF-UHFFFAOYSA-N -1 1 306.347 1.179 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978738756 696449916 /nfs/dbraw/zinc/44/99/16/696449916.db2.gz FWONDVTUSXSHHP-FVCCEPFGSA-N -1 1 317.389 1.458 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H](c2ccccc2)C2CCC2)s1 ZINC000080034458 696466192 /nfs/dbraw/zinc/46/61/92/696466192.db2.gz REFWTTOOTCYGAS-JSGCOSHPSA-N -1 1 317.414 1.991 20 0 DDADMM CCN(C[C@@H]1CCCO1)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000080590482 696535811 /nfs/dbraw/zinc/53/58/11/696535811.db2.gz OVTLZKBTVUSGSA-LBPRGKRZSA-N -1 1 307.394 1.843 20 0 DDADMM Cc1ccccc1CC1CN(C(=O)Cc2sc(N)nc2[O-])C1 ZINC000085479798 696563430 /nfs/dbraw/zinc/56/34/30/696563430.db2.gz YRFVWBSHABAOPA-ZDUSSCGKSA-N -1 1 317.414 1.343 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979663861 696621687 /nfs/dbraw/zinc/62/16/87/696621687.db2.gz IAVXOEUUCGJANM-IJLUTSLNSA-N -1 1 317.389 1.458 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(N)=O ZINC000130988726 696803117 /nfs/dbraw/zinc/80/31/17/696803117.db2.gz DZMVQUQQQGDDKI-XVKPBYJWSA-N -1 1 322.789 1.657 20 0 DDADMM CCOC(=O)[C@H](C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131313989 696805974 /nfs/dbraw/zinc/80/59/74/696805974.db2.gz JCEOEOFGRCLLHX-ZETCQYMHSA-N -1 1 309.746 1.709 20 0 DDADMM CCO[C@H](CC)C(=O)N[N-]C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000154159935 696927409 /nfs/dbraw/zinc/92/74/09/696927409.db2.gz AILUNQNYYJTDPK-LLVKDONJSA-N -1 1 322.390 1.714 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983145001 697218339 /nfs/dbraw/zinc/21/83/39/697218339.db2.gz ZTPQGGCUITUIMU-YPMHNXCESA-N -1 1 323.368 1.360 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NOCc2ccccc2)co1 ZINC000160258266 697316052 /nfs/dbraw/zinc/31/60/52/697316052.db2.gz KQLJAFNTYPBZBC-UHFFFAOYSA-N -1 1 310.331 1.049 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2nc(C)c(C)o2)co1 ZINC000163540874 697333735 /nfs/dbraw/zinc/33/37/35/697333735.db2.gz QULVLXXCFKUBPN-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCc2ccncc2)co1 ZINC000165701247 697340050 /nfs/dbraw/zinc/34/00/50/697340050.db2.gz AUGKHNZXEOCEQG-UHFFFAOYSA-N -1 1 324.358 1.372 20 0 DDADMM COCC[N@H+]1[C@H](C)CN(C(=O)c2ccc(O)cc2O)C[C@@H]1C ZINC000182891852 697480839 /nfs/dbraw/zinc/48/08/39/697480839.db2.gz VZVRHZXVCJYCNH-TXEJJXNPSA-N -1 1 308.378 1.279 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@H]1C[C@H]1C(C)C ZINC000184213794 697500787 /nfs/dbraw/zinc/50/07/87/697500787.db2.gz XTEXJUSSDUFBKC-RYUDHWBXSA-N -1 1 304.350 1.104 20 0 DDADMM C/C=C/C[C@@H](CO)[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000185272460 697515925 /nfs/dbraw/zinc/51/59/25/697515925.db2.gz RBODQMMXXVSDLL-HPOULIHZSA-N -1 1 318.326 1.339 20 0 DDADMM C[C@@](O)(CNC(=O)c1ccc(C#N)c([O-])c1)c1ccsc1 ZINC000188545965 697561216 /nfs/dbraw/zinc/56/12/16/697561216.db2.gz DQUJROMDQUHMBR-OAHLLOKOSA-N -1 1 302.355 1.963 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985735395 697565227 /nfs/dbraw/zinc/56/52/27/697565227.db2.gz MPJAGTFJPXGISW-STQMWFEESA-N -1 1 321.425 1.225 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CCCCC1 ZINC000985890411 697596700 /nfs/dbraw/zinc/59/67/00/697596700.db2.gz NBPFCNFQMUTWET-PWSUYJOCSA-N -1 1 307.398 1.170 20 0 DDADMM O=C([N-]NC(=O)NCc1ccccc1)c1cccnc1Cl ZINC000190874657 697598460 /nfs/dbraw/zinc/59/84/60/697598460.db2.gz GVFJTDVOXZCONJ-UHFFFAOYSA-N -1 1 304.737 1.879 20 0 DDADMM CCOc1ccccc1C(=O)N1CCN([C@@H](CC)C(=O)[O-])CC1 ZINC000191403305 697605729 /nfs/dbraw/zinc/60/57/29/697605729.db2.gz XQSBZLOYGQAQKO-AWEZNQCLSA-N -1 1 320.389 1.706 20 0 DDADMM Cn1cncc1CC(=O)Nc1nc(Br)ccc1[O-] ZINC000194572249 697714057 /nfs/dbraw/zinc/71/40/57/697714057.db2.gz HZSIEIJTWZBADU-UHFFFAOYSA-N -1 1 311.139 1.464 20 0 DDADMM C[C@@H]1[C@H](NCc2nncs2)CCN1C(=O)c1ncccc1[O-] ZINC000986174137 697719785 /nfs/dbraw/zinc/71/97/85/697719785.db2.gz XGMFFMVMFJJZAA-NXEZZACHSA-N -1 1 319.390 1.032 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]N=c2nc[nH]c3ccsc32)o1 ZINC000773231456 697731941 /nfs/dbraw/zinc/73/19/41/697731941.db2.gz LMPINBABNIKINW-UHFFFAOYSA-N -1 1 310.360 1.898 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC2(C)CCOCC2)o1 ZINC000774813883 697931628 /nfs/dbraw/zinc/93/16/28/697931628.db2.gz AEZZVEDTUBZGJZ-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM O=C([N-]CCCOC(=O)c1ccc2c(c1)COC2)C(F)(F)F ZINC000774934167 697944017 /nfs/dbraw/zinc/94/40/17/697944017.db2.gz KYCLULPAKAOPEI-UHFFFAOYSA-N -1 1 317.263 1.942 20 0 DDADMM O=C(CCC1CCOCC1)OCCC[N-]C(=O)C(F)(F)F ZINC000774960427 697947551 /nfs/dbraw/zinc/94/75/51/697947551.db2.gz OXLDCLDFUWYBHH-UHFFFAOYSA-N -1 1 311.300 1.805 20 0 DDADMM CCOCCO[N-]C(=O)c1c[nH]c2cc(OC)ccc2c1=O ZINC000776263656 698089227 /nfs/dbraw/zinc/08/92/27/698089227.db2.gz HPLZHDVFGNBXMQ-UHFFFAOYSA-N -1 1 306.318 1.235 20 0 DDADMM COCc1nc(C(=O)[N-]c2c3ccccc3oc2C(N)=O)co1 ZINC000776306774 698094620 /nfs/dbraw/zinc/09/46/20/698094620.db2.gz QIKYHYOFKOKSHU-UHFFFAOYSA-N -1 1 315.285 1.918 20 0 DDADMM CSc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)ccn1 ZINC000776567052 698118361 /nfs/dbraw/zinc/11/83/61/698118361.db2.gz ZVSRBCOGCDHSRF-VIFPVBQESA-N -1 1 322.419 1.247 20 0 DDADMM Cc1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cc(=O)[nH]1 ZINC000777138356 698168662 /nfs/dbraw/zinc/16/86/62/698168662.db2.gz SKVLITOVMALZTP-UHFFFAOYSA-N -1 1 314.297 1.209 20 0 DDADMM C[C@H](CCCO)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000778020922 698237860 /nfs/dbraw/zinc/23/78/60/698237860.db2.gz WZGKWNWJJVXWLB-SSDOTTSWSA-N -1 1 313.206 1.828 20 0 DDADMM COCCC[C@H](C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000778194127 698248554 /nfs/dbraw/zinc/24/85/54/698248554.db2.gz GSBDZXDULPGQLS-LBPRGKRZSA-N -1 1 307.346 1.549 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C=C1CCCCC1 ZINC000988288849 698322964 /nfs/dbraw/zinc/32/29/64/698322964.db2.gz GPMLCFOCSDJMEQ-YPMHNXCESA-N -1 1 319.409 1.480 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)NC(C)C)c1cc(F)ccc1F ZINC000778620479 698353356 /nfs/dbraw/zinc/35/33/56/698353356.db2.gz DSFZFXICFDUUGS-NSHDSACASA-N -1 1 322.333 1.011 20 0 DDADMM CCCC[C@@H](C)C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000800403694 700222379 /nfs/dbraw/zinc/22/23/79/700222379.db2.gz ARHJDFKGGLOMEA-SNVBAGLBSA-N -1 1 306.366 1.638 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](C)CNC(=O)OC(C)(C)C)c([O-])c1 ZINC000779019889 698390972 /nfs/dbraw/zinc/39/09/72/698390972.db2.gz ULCIXTDCDUUJJF-LLVKDONJSA-N -1 1 323.393 1.986 20 0 DDADMM CS(=O)(=O)[C@H]1CCN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000800631295 700235721 /nfs/dbraw/zinc/23/57/21/700235721.db2.gz MVZLJORHPCKTNA-QMMMGPOBSA-N -1 1 303.767 1.305 20 0 DDADMM CS(=O)(=O)[C@@H]1CCN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000800631294 700235781 /nfs/dbraw/zinc/23/57/81/700235781.db2.gz MVZLJORHPCKTNA-MRVPVSSYSA-N -1 1 303.767 1.305 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc2c(c1)NC(=O)CC2 ZINC000780872599 698566986 /nfs/dbraw/zinc/56/69/86/698566986.db2.gz BUTRLHYTFCPPOH-UHFFFAOYSA-N -1 1 307.331 1.371 20 0 DDADMM COc1cccc([C@@H](CNC(=O)C[C@@H](C)CC(=O)[O-])N(C)C)c1 ZINC000321077331 698724984 /nfs/dbraw/zinc/72/49/84/698724984.db2.gz HFEVGGCQLQYMQS-IUODEOHRSA-N -1 1 322.405 1.915 20 0 DDADMM CCn1ncc([N-]S(=O)(=O)N(C)C(C)C)c1C(F)(F)F ZINC000337183224 698772447 /nfs/dbraw/zinc/77/24/47/698772447.db2.gz NFEVEZNPSCENMU-UHFFFAOYSA-N -1 1 314.333 1.919 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@]1(O)CCC[C@@H]1C ZINC000392929237 699101468 /nfs/dbraw/zinc/10/14/68/699101468.db2.gz JJMIKVDTZSSCGE-QFYYESIMSA-N -1 1 307.394 1.895 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-][C@@H]1C[C@H]2C[C@H]2C1 ZINC000397637801 699116505 /nfs/dbraw/zinc/11/65/05/699116505.db2.gz QEICOXMPHDXTMY-AYMMMOKOSA-N -1 1 300.311 1.811 20 0 DDADMM CC(C)n1ccc(COC(=O)c2cc(=O)[n-]c(N(C)C)n2)n1 ZINC000786496255 699150747 /nfs/dbraw/zinc/15/07/47/699150747.db2.gz HWAYOOGSNQEIPS-UHFFFAOYSA-N -1 1 305.338 1.383 20 0 DDADMM CC(C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)=C1CCCC1 ZINC000990968343 699195133 /nfs/dbraw/zinc/19/51/33/699195133.db2.gz GIDRYXROBUOKCU-UHFFFAOYSA-N -1 1 315.373 1.618 20 0 DDADMM O=C(CCC1CCC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969875 699195634 /nfs/dbraw/zinc/19/56/34/699195634.db2.gz QURUATSYDAQPNB-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM CC[C@]1(C)C[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990972412 699196510 /nfs/dbraw/zinc/19/65/10/699196510.db2.gz OWVFEDUAAXDOLB-BDJLRTHQSA-N -1 1 303.362 1.164 20 0 DDADMM CCN1CC[C@H]1C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000712352554 699261867 /nfs/dbraw/zinc/26/18/67/699261867.db2.gz DWXIUBDMYCAOKM-QMMMGPOBSA-N -1 1 307.778 1.247 20 0 DDADMM O=S(=O)([N-]Cc1nc(C2CC2)no1)c1cccc(F)c1F ZINC000725826114 699335293 /nfs/dbraw/zinc/33/52/93/699335293.db2.gz NPQKSPHDVPDBLP-UHFFFAOYSA-N -1 1 315.301 1.704 20 0 DDADMM O=S(=O)(CCC(F)(F)F)[N-][C@H]1CCCCC12OCCO2 ZINC000790242901 699448924 /nfs/dbraw/zinc/44/89/24/699448924.db2.gz SEXYQZZHFBCLEV-VIFPVBQESA-N -1 1 317.329 1.544 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCC(=O)C1CC1 ZINC000731713187 699534567 /nfs/dbraw/zinc/53/45/67/699534567.db2.gz WEEGDKQRVHVJDB-UHFFFAOYSA-N -1 1 303.361 1.256 20 0 DDADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)c1ccc(C)cc1 ZINC000733133786 699579916 /nfs/dbraw/zinc/57/99/16/699579916.db2.gz ZGUDTKNVEJNBCN-UHFFFAOYSA-N -1 1 301.346 1.288 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C(=O)c3ccc4c(c3)CCC4)ccnc1-2 ZINC000791165841 699613872 /nfs/dbraw/zinc/61/38/72/699613872.db2.gz FPBIPLGCRTWLCI-UHFFFAOYSA-N -1 1 320.352 1.652 20 0 DDADMM CCc1n[nH]cc1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000733983597 699630721 /nfs/dbraw/zinc/63/07/21/699630721.db2.gz QDZUHELBQWFXSL-UHFFFAOYSA-N -1 1 314.349 1.267 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C)nc2cc(C)nn21)c1nn[n-]n1 ZINC000736431964 699725811 /nfs/dbraw/zinc/72/58/11/699725811.db2.gz YOLNPZVGMMTHMS-SNVBAGLBSA-N -1 1 314.353 1.130 20 0 DDADMM Cc1ccc([C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000737942866 699748443 /nfs/dbraw/zinc/74/84/43/699748443.db2.gz NKLGGLKGYXJFOG-CYBMUJFWSA-N -1 1 315.373 1.155 20 0 DDADMM Cc1cc(F)c(C(=O)[O-])cc1S(=O)(=O)Nc1cnn(C)c1 ZINC000793368513 699752795 /nfs/dbraw/zinc/75/27/95/699752795.db2.gz NBEIUZAXIAUEHA-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM O=C(c1cccc(-n2cccc2)c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000738267400 699756228 /nfs/dbraw/zinc/75/62/28/699756228.db2.gz JEKNFOAZFIJSEQ-CQSZACIVSA-N -1 1 324.344 1.204 20 0 DDADMM Cc1ccc([C@@H](O)CNC(=O)c2nc3ccccc3c(=O)[n-]2)o1 ZINC000741261980 699825945 /nfs/dbraw/zinc/82/59/45/699825945.db2.gz CXWPFXXQHAMBDE-LBPRGKRZSA-N -1 1 313.313 1.288 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(C2CCCC2)CC1 ZINC000742791526 699890798 /nfs/dbraw/zinc/89/07/98/699890798.db2.gz OYIXHZVIQZHPKV-UHFFFAOYSA-N -1 1 319.405 1.356 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N2C[C@H](C)C[C@@H](C)C2)[n-]1 ZINC000796367406 699929527 /nfs/dbraw/zinc/92/95/27/699929527.db2.gz ZNNIXGHZOHRGNB-GHMZBOCLSA-N -1 1 322.361 1.463 20 0 DDADMM C[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)[C@H]1OCCc2sccc21 ZINC000796505771 699936472 /nfs/dbraw/zinc/93/64/72/699936472.db2.gz PKWDJDZEUVLJOL-QPUJVOFHSA-N -1 1 323.374 1.163 20 0 DDADMM Nc1cc(F)ccc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000744527059 699952811 /nfs/dbraw/zinc/95/28/11/699952811.db2.gz WYZJEWQINLLIQF-UHFFFAOYSA-N -1 1 316.288 1.521 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CNC(=O)C2CC2)c1 ZINC000744710884 699958836 /nfs/dbraw/zinc/95/88/36/699958836.db2.gz KYEJFCJGAUHSBC-UHFFFAOYSA-N -1 1 305.330 1.207 20 0 DDADMM Cc1cccc(-n2cc([O-])c(C(=O)O[C@@H]3CCOC3=O)n2)c1C ZINC000801418406 700305669 /nfs/dbraw/zinc/30/56/69/700305669.db2.gz MGGCBRRJJKOZJD-CYBMUJFWSA-N -1 1 316.313 1.667 20 0 DDADMM Cc1ccc(N2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)cc1C ZINC000754052814 700479037 /nfs/dbraw/zinc/47/90/37/700479037.db2.gz ZXCMKGLMAUAITD-AWEZNQCLSA-N -1 1 315.421 1.436 20 0 DDADMM CC(C)(C)SCCCC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000754461699 700504079 /nfs/dbraw/zinc/50/40/79/700504079.db2.gz HCOMOEDPWOCMCC-UHFFFAOYSA-N -1 1 320.440 1.459 20 0 DDADMM CC(C)(C)SCCCC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000754461699 700504081 /nfs/dbraw/zinc/50/40/81/700504081.db2.gz HCOMOEDPWOCMCC-UHFFFAOYSA-N -1 1 320.440 1.459 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@@H]1OC ZINC000754717737 700521880 /nfs/dbraw/zinc/52/18/80/700521880.db2.gz XMLPYAUXIFRTAU-PHIMTYICSA-N -1 1 309.366 1.100 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](COC)C(C)C)co1 ZINC000756565924 700629133 /nfs/dbraw/zinc/62/91/33/700629133.db2.gz JZTARZTZVNDPRT-SNVBAGLBSA-N -1 1 305.352 1.016 20 0 DDADMM CCc1ccc(N2C[C@@H](C(=O)[N-]OCC(F)F)CC2=O)cc1 ZINC000759342921 700747532 /nfs/dbraw/zinc/74/75/32/700747532.db2.gz MGMVCQYOUBBYDT-NSHDSACASA-N -1 1 312.316 1.915 20 0 DDADMM CS(=O)(=O)CCCCOC(=O)c1c([O-])cc(F)cc1F ZINC000759884905 700779575 /nfs/dbraw/zinc/77/95/75/700779575.db2.gz ZZBKJWFLGWSJFL-UHFFFAOYSA-N -1 1 308.302 1.652 20 0 DDADMM O=S(=O)([N-][C@H](C1CCC1)[C@H]1CCOC1)c1c[nH]nc1Cl ZINC000867732903 701719222 /nfs/dbraw/zinc/71/92/22/701719222.db2.gz ICAHZDMSVFZUNP-GXSJLCMTSA-N -1 1 319.814 1.547 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](N)c1cccc(C(F)(F)F)c1)C(=O)[O-] ZINC000763084923 700924912 /nfs/dbraw/zinc/92/49/12/700924912.db2.gz QOYCOFFNTJEBDO-LDYMZIIASA-N -1 1 318.295 1.884 20 0 DDADMM Cc1cnc(C(=O)NCC2N=NC(=S)N2C2CC2)c([O-])c1 ZINC000763283551 700932992 /nfs/dbraw/zinc/93/29/92/700932992.db2.gz MTZQPVDNUGZFSN-UHFFFAOYSA-N -1 1 305.363 1.241 20 0 DDADMM C[C@H]1CCc2c(C(=O)OCc3nc(=O)n(C)[n-]3)csc2C1 ZINC000765387535 701009109 /nfs/dbraw/zinc/00/91/09/701009109.db2.gz VFWDICFLMFKFGT-QMMMGPOBSA-N -1 1 307.375 1.652 20 0 DDADMM CC[C@@H](C)[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000765388411 701009162 /nfs/dbraw/zinc/00/91/62/701009162.db2.gz BAXBKXYCZJRZHU-BXUZGUMPSA-N -1 1 303.362 1.982 20 0 DDADMM Cc1nc(CC(C)C)c(C(=O)OCc2nc(=O)n(C)[n-]2)s1 ZINC000765494442 701015978 /nfs/dbraw/zinc/01/59/78/701015978.db2.gz FERHWNXFPVOGNW-UHFFFAOYSA-N -1 1 310.379 1.429 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(F)c(Cl)c2F)nc1=O ZINC000765500041 701016425 /nfs/dbraw/zinc/01/64/25/701016425.db2.gz BDTCBPVCQFNHMJ-UHFFFAOYSA-N -1 1 303.652 1.397 20 0 DDADMM CN1C(=O)C2(CCCC2)N[C@H]1c1n[nH]c2cc(C(=O)[O-])ccc21 ZINC000765507842 701016760 /nfs/dbraw/zinc/01/67/60/701016760.db2.gz JLQBQPUNKPQTOP-CYBMUJFWSA-N -1 1 314.345 1.634 20 0 DDADMM Cc1oc2ccccc2c1CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000767721262 701131929 /nfs/dbraw/zinc/13/19/29/701131929.db2.gz JUTBCWZECSLCOO-UHFFFAOYSA-N -1 1 301.302 1.449 20 0 DDADMM Cn1[n-]c(COC(=O)c2cscc2Br)nc1=O ZINC000803773592 701142742 /nfs/dbraw/zinc/14/27/42/701142742.db2.gz MWJWAVGKGZKWMQ-UHFFFAOYSA-N -1 1 318.152 1.289 20 0 DDADMM O=C([C@H]1CCCO1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000768180703 701162165 /nfs/dbraw/zinc/16/21/65/701162165.db2.gz OEVMWEMSWUAIQS-OAHLLOKOSA-N -1 1 324.808 1.869 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc([O-])c(F)c1)c1ccccn1 ZINC000768199297 701162906 /nfs/dbraw/zinc/16/29/06/701162906.db2.gz LJTQGSCHRBKKSW-CYBMUJFWSA-N -1 1 304.277 1.570 20 0 DDADMM Cc1[nH]c(=O)[nH]c(=O)c1CCC(=O)Nc1ccc(F)cc1[O-] ZINC000768260597 701165966 /nfs/dbraw/zinc/16/59/66/701165966.db2.gz ZDZSQOLFYBRFJV-UHFFFAOYSA-N -1 1 307.281 1.612 20 0 DDADMM O=C(N[C@H](CO)C1CC1)c1cc(Br)ccc1[O-] ZINC000770998799 701303046 /nfs/dbraw/zinc/30/30/46/701303046.db2.gz LQLUUUROKIDKAW-SNVBAGLBSA-N -1 1 300.152 1.655 20 0 DDADMM Cc1ccc([C@H](NC(=O)COC(=O)c2cn[n-]n2)C2CC2)cc1 ZINC000805605797 701398508 /nfs/dbraw/zinc/39/85/08/701398508.db2.gz OAEVJXLUKRNQPW-HNNXBMFYSA-N -1 1 314.345 1.537 20 0 DDADMM CC(C)C[C@@H](NC(=O)COC(=O)c1cn[n-]n1)c1ccccc1 ZINC000805608047 701399435 /nfs/dbraw/zinc/39/94/35/701399435.db2.gz WZSTYPDYOHOFKK-CYBMUJFWSA-N -1 1 316.361 1.865 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC3(CCO)CCCCC3)ccnc1-2 ZINC000806486257 701437230 /nfs/dbraw/zinc/43/72/30/701437230.db2.gz OOWDEWZRJGIFCE-UHFFFAOYSA-N -1 1 317.393 1.549 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3COc4ccccc4C3)ccnc1-2 ZINC000806485505 701437262 /nfs/dbraw/zinc/43/72/62/701437262.db2.gz UPNJWEFTOCMFRM-GFCCVEGCSA-N -1 1 323.356 1.467 20 0 DDADMM Cn1c(Cl)nc(Cl)c1/C=C\c1cc(=O)n2[n-]cnc2n1 ZINC000806570975 701441607 /nfs/dbraw/zinc/44/16/07/701441607.db2.gz OLAOSDXVSHTVTQ-IHWYPQMZSA-N -1 1 311.132 1.628 20 0 DDADMM O=C(Nc1c2c(cc3c1CCC3)CCC2)NN1CC(=O)[N-]C1=O ZINC000810320571 701754489 /nfs/dbraw/zinc/75/44/89/701754489.db2.gz DAYNZUODSROKJH-UHFFFAOYSA-N -1 1 314.345 1.252 20 0 DDADMM C[C@H]1CCC[C@@H]1CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830959511 706611617 /nfs/dbraw/zinc/61/16/17/706611617.db2.gz PDMDOWAPWUGKKT-WDEREUQCSA-N -1 1 305.378 1.697 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830965965 706612912 /nfs/dbraw/zinc/61/29/12/706612912.db2.gz MDTXRLJTPSYHCH-LLVKDONJSA-N -1 1 323.393 1.322 20 0 DDADMM O=S(=O)([N-]C1([C@@H]2CCCCO2)CCC1)c1c[nH]nc1Cl ZINC000867881074 701808092 /nfs/dbraw/zinc/80/80/92/701808092.db2.gz IEKXDSLALSVTPI-JTQLQIEISA-N -1 1 319.814 1.833 20 0 DDADMM CCCOCCOC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000867900194 701816824 /nfs/dbraw/zinc/81/68/24/701816824.db2.gz FXFJFEOWZBBKQJ-UHFFFAOYSA-N -1 1 312.288 1.160 20 0 DDADMM COCC1CCC(NC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000839855131 701845305 /nfs/dbraw/zinc/84/53/05/701845305.db2.gz ZHKRQBCOXBBSMT-UHFFFAOYSA-N -1 1 320.418 1.953 20 0 DDADMM Cc1c[nH]nc1C(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000810905805 701872416 /nfs/dbraw/zinc/87/24/16/701872416.db2.gz DPRNREMBXWNFPO-SECBINFHSA-N -1 1 318.299 1.249 20 0 DDADMM CC(C)[C@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO1 ZINC000831019866 706621599 /nfs/dbraw/zinc/62/15/99/706621599.db2.gz JJQMLFIKQZKAFO-DTWKUNHWSA-N -1 1 307.803 1.545 20 0 DDADMM O=C(NCCC1CCCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868031214 701901319 /nfs/dbraw/zinc/90/13/19/701901319.db2.gz BHAQCEWLJMJVTA-UHFFFAOYSA-N -1 1 320.393 1.634 20 0 DDADMM C[C@@H]1C[C@H]1CNC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868054516 701916384 /nfs/dbraw/zinc/91/63/84/701916384.db2.gz JIYDKUSHQBTYHZ-PTRXPTGYSA-N -1 1 307.316 1.495 20 0 DDADMM CC1(C)C[C@@H](O)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000866157316 706635943 /nfs/dbraw/zinc/63/59/43/706635943.db2.gz AAXPIFLFWFZVMM-SNVBAGLBSA-N -1 1 301.346 1.156 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831121111 706638914 /nfs/dbraw/zinc/63/89/14/706638914.db2.gz HMZWJPTZUSUPKQ-GHMZBOCLSA-N -1 1 306.328 1.806 20 0 DDADMM Cc1cc(C)nc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1 ZINC000831124670 706639202 /nfs/dbraw/zinc/63/92/02/706639202.db2.gz AUVUNOQFTOCUNJ-UHFFFAOYSA-N -1 1 315.295 1.449 20 0 DDADMM CCCn1cc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cn1 ZINC000831135301 706641740 /nfs/dbraw/zinc/64/17/40/706641740.db2.gz VWKCAYZCMDVTAS-UHFFFAOYSA-N -1 1 318.299 1.044 20 0 DDADMM CCCCO[C@H](C)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831149042 706644542 /nfs/dbraw/zinc/64/45/42/706644542.db2.gz ILYXYVJAHRFGIX-SECBINFHSA-N -1 1 310.316 1.329 20 0 DDADMM O=C([N-]OC/C=C\Cl)[C@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000812399403 702175331 /nfs/dbraw/zinc/17/53/31/702175331.db2.gz NVOYZHPVTUOKDZ-XRHZSYRXSA-N -1 1 300.664 1.198 20 0 DDADMM CC1(C)CC(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868526307 702178435 /nfs/dbraw/zinc/17/84/35/702178435.db2.gz KBDFWJCOMQJJJR-UHFFFAOYSA-N -1 1 320.393 1.490 20 0 DDADMM CON(C[C@H]1CCC[C@H](C)C1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000812425768 702180050 /nfs/dbraw/zinc/18/00/50/702180050.db2.gz SONPQFPWFPPBGA-STQMWFEESA-N -1 1 323.393 1.143 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@@H](C(C)C)N1C[C@@H](C)O[C@@H](C)C1 ZINC000812803025 702242999 /nfs/dbraw/zinc/24/29/99/702242999.db2.gz PMZQJBJAHMTJAM-QLFBSQMISA-N -1 1 316.442 1.593 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)C(=O)[N-]OCCOC(C)C ZINC000813054059 702277845 /nfs/dbraw/zinc/27/78/45/702277845.db2.gz DWAHNXYTZUXDNR-UHFFFAOYSA-N -1 1 320.349 1.283 20 0 DDADMM CN(C)C(=O)N1c2ccccc2C[C@@H]1C[N-]C(=O)C(F)(F)F ZINC000817151703 702290986 /nfs/dbraw/zinc/29/09/86/702290986.db2.gz YDXGNECQTKHWGQ-SNVBAGLBSA-N -1 1 315.295 1.778 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CO1 ZINC000868741692 702296787 /nfs/dbraw/zinc/29/67/87/702296787.db2.gz RZNSNWXYIMUULX-LNLATYFQSA-N -1 1 323.315 1.016 20 0 DDADMM CC(C)Cc1nsc(NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC000866289957 706666352 /nfs/dbraw/zinc/66/63/52/706666352.db2.gz OAUBJDWODVMGJB-UHFFFAOYSA-N -1 1 321.406 1.917 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)C[C@@H]2CCCCC2(F)F)C(=O)O1 ZINC000841520171 702476668 /nfs/dbraw/zinc/47/66/68/702476668.db2.gz GAFVNWVJPVLMTN-UTLUCORTSA-N -1 1 311.350 1.435 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)C2=Cc3ccccc3OC2)C(=O)O1 ZINC000841526045 702480321 /nfs/dbraw/zinc/48/03/21/702480321.db2.gz WAMBFCKKZUNGDG-BXKDBHETSA-N -1 1 309.343 1.043 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000841533008 702482652 /nfs/dbraw/zinc/48/26/52/702482652.db2.gz BGUYYPIHVQIDCZ-JTQLQIEISA-N -1 1 321.757 1.709 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)C[C@@H]1CCCC1(F)F ZINC000841533315 702483463 /nfs/dbraw/zinc/48/34/63/702483463.db2.gz FFAUKHFQPYUDME-IUCAKERBSA-N -1 1 311.350 1.293 20 0 DDADMM O=S(=O)([N-][C@H]1COCc2ccccc21)c1c[nH]nc1Cl ZINC000841569925 702501331 /nfs/dbraw/zinc/50/13/31/702501331.db2.gz FHEUCOUBPCCDKZ-JTQLQIEISA-N -1 1 313.766 1.613 20 0 DDADMM CCn1ncc(C(=O)CSc2nc(C(F)F)cc(=O)[n-]2)n1 ZINC000841671126 702528207 /nfs/dbraw/zinc/52/82/07/702528207.db2.gz SSJVJJXWYQIQJG-UHFFFAOYSA-N -1 1 315.305 1.706 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@]2(C)Cc2ccc(F)c(F)c2)nc1=O ZINC000879453757 706684445 /nfs/dbraw/zinc/68/44/45/706684445.db2.gz PGOOIEGZQSVEBP-INIZCTEOSA-N -1 1 322.359 1.984 20 0 DDADMM CC1(C)CC[C@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869469893 702617171 /nfs/dbraw/zinc/61/71/71/702617171.db2.gz GZMYYZQNYIULIT-VIFPVBQESA-N -1 1 323.311 1.994 20 0 DDADMM C[C@@H]1CCC[N@@H+](CCOc2ccccc2C(=O)[O-])[C@H]1C(=O)[O-] ZINC000818329711 702636751 /nfs/dbraw/zinc/63/67/51/702636751.db2.gz CIVQUYJYWGKYGG-BXUZGUMPSA-N -1 1 307.346 1.949 20 0 DDADMM O=C([O-])CS(=O)(=O)CCNC1(c2cccc(F)c2)CCC1 ZINC000842939360 702792331 /nfs/dbraw/zinc/79/23/31/702792331.db2.gz PJFXTEXSYKPZMJ-UHFFFAOYSA-N -1 1 315.366 1.294 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CCC(C)(F)F)CCC1 ZINC000843019821 702804660 /nfs/dbraw/zinc/80/46/60/702804660.db2.gz YAVRHAAMVBCBTA-UHFFFAOYSA-N -1 1 312.382 1.352 20 0 DDADMM CCNCc1noc([C@@H](C)[N-]S(=O)(=O)c2cccs2)n1 ZINC000843143687 702828385 /nfs/dbraw/zinc/82/83/85/702828385.db2.gz JSJUTRVQVYOSAS-MRVPVSSYSA-N -1 1 316.408 1.280 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]C[C@H]2C=CCC2)c1C(F)(F)F ZINC000882557517 707694797 /nfs/dbraw/zinc/69/47/97/707694797.db2.gz BNVFEEVTZZTCEI-QMMMGPOBSA-N -1 1 309.313 1.683 20 0 DDADMM C[C@H](CNC(=O)CCCC(=O)[O-])N1CCc2ccccc2C1 ZINC000844249526 702999923 /nfs/dbraw/zinc/99/99/23/702999923.db2.gz BZSWSBKFNMVDCR-CYBMUJFWSA-N -1 1 304.390 1.804 20 0 DDADMM CC(C)O[C@H]1CCCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000844649960 703051383 /nfs/dbraw/zinc/05/13/83/703051383.db2.gz FMWMPYQTOGRHGQ-LBPRGKRZSA-N -1 1 317.393 1.763 20 0 DDADMM O=C([O-])CCN(Cc1cnn(-c2ccccc2)n1)CC1CC1 ZINC000846269905 703258612 /nfs/dbraw/zinc/25/86/12/703258612.db2.gz WZPCIOYUASWPRM-UHFFFAOYSA-N -1 1 300.362 1.954 20 0 DDADMM Cc1c(CN(C)Cc2ccc(OCC(=O)[O-])cc2)cnn1C ZINC000846280916 703260512 /nfs/dbraw/zinc/26/05/12/703260512.db2.gz SRIQSTGEESKCRI-UHFFFAOYSA-N -1 1 303.362 1.824 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)C2CCCC2)co1 ZINC000847801646 703470982 /nfs/dbraw/zinc/47/09/82/703470982.db2.gz BQCNXZAKWMSHKG-SECBINFHSA-N -1 1 301.364 1.923 20 0 DDADMM COCC(C)(C)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341284 703540798 /nfs/dbraw/zinc/54/07/98/703540798.db2.gz RYUWRKUAVFLWAL-NXEZZACHSA-N -1 1 324.343 1.717 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1CN1CCCC1=O ZINC000848502031 703558295 /nfs/dbraw/zinc/55/82/95/703558295.db2.gz OKYLBPDTNDDNPK-NXEZZACHSA-N -1 1 307.316 1.098 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000848874662 703596563 /nfs/dbraw/zinc/59/65/63/703596563.db2.gz IILINHJTKTWMIF-JTQLQIEISA-N -1 1 302.334 1.589 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000848874662 703596565 /nfs/dbraw/zinc/59/65/65/703596565.db2.gz IILINHJTKTWMIF-JTQLQIEISA-N -1 1 302.334 1.589 20 0 DDADMM CCc1nc(SCC(=O)c2nn(CC)nc2C)[n-]c(=O)c1C ZINC000849921585 703689620 /nfs/dbraw/zinc/68/96/20/703689620.db2.gz MLALKQOPVJHWFV-UHFFFAOYSA-N -1 1 321.406 1.948 20 0 DDADMM O=C([O-])[C@H]1CSCC[N@@H+]1C[C@H](O)c1ccc(F)cc1F ZINC000851706646 703833599 /nfs/dbraw/zinc/83/35/99/703833599.db2.gz WIWHQLZMKNLYRZ-NEPJUHHUSA-N -1 1 303.330 1.500 20 0 DDADMM C[C@@H]1CCN(C(=O)NCC2CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000851932434 703885281 /nfs/dbraw/zinc/88/52/81/703885281.db2.gz GUXGFSNAZYXOBI-SCZZXKLOSA-N -1 1 307.316 1.495 20 0 DDADMM CN(CCCN(C)C(=O)OC(C)(C)C)Cc1n[n-]c(=O)o1 ZINC000852189199 703961790 /nfs/dbraw/zinc/96/17/90/703961790.db2.gz ZLBDGIMGVGEKQS-UHFFFAOYSA-N -1 1 300.359 1.464 20 0 DDADMM Cc1noc(CCCN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)n1 ZINC000879764548 706785364 /nfs/dbraw/zinc/78/53/64/706785364.db2.gz WTWNGNZMABNUBL-GFCCVEGCSA-N -1 1 320.315 1.454 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C)Cc2cn(C(C)C)nn2)[n-]1 ZINC000870590477 704081005 /nfs/dbraw/zinc/08/10/05/704081005.db2.gz TXFAARFZGZDPJW-SNVBAGLBSA-N -1 1 320.349 1.762 20 0 DDADMM Cc1cc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)nn1C ZINC000852756658 704116378 /nfs/dbraw/zinc/11/63/78/704116378.db2.gz RSEPLHZEWFTIKX-SKDRFNHKSA-N -1 1 318.343 1.617 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000819627360 704153254 /nfs/dbraw/zinc/15/32/54/704153254.db2.gz APGKAOZBCTXXQK-NNNHXZLVSA-N -1 1 315.329 1.900 20 0 DDADMM O=C(c1ccc2[n-]c(=S)oc2c1)N1CC[C@H](c2nc[nH]n2)C1 ZINC000819725194 704165962 /nfs/dbraw/zinc/16/59/62/704165962.db2.gz TYJVVGQMPYQLPT-VIFPVBQESA-N -1 1 315.358 1.864 20 0 DDADMM O=C([O-])[C@H](C(=O)NCc1n[nH]c(-c2ccccc2)n1)C1CC1 ZINC000852948985 704177464 /nfs/dbraw/zinc/17/74/64/704177464.db2.gz QZAGXIQGUGFZLZ-LBPRGKRZSA-N -1 1 300.318 1.199 20 0 DDADMM O=C([O-])[C@H](C(=O)NCc1nc(-c2ccccc2)n[nH]1)C1CC1 ZINC000852948985 704177465 /nfs/dbraw/zinc/17/74/65/704177465.db2.gz QZAGXIQGUGFZLZ-LBPRGKRZSA-N -1 1 300.318 1.199 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2C[C@@H](C)OC2=O)c(F)c1 ZINC000819881010 704180047 /nfs/dbraw/zinc/18/00/47/704180047.db2.gz AIMFIZSMOTXQOA-XCBNKYQSSA-N -1 1 305.302 1.256 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2C(=O)OCC2(C)C)c(F)c1 ZINC000819884796 704180657 /nfs/dbraw/zinc/18/06/57/704180657.db2.gz UMBBLKCACPCYBM-LLVKDONJSA-N -1 1 319.329 1.503 20 0 DDADMM C[C@@H](O)CN(C)C(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC000820002428 704200758 /nfs/dbraw/zinc/20/07/58/704200758.db2.gz PPTUAEJCHMOJMG-SNVBAGLBSA-N -1 1 303.314 1.918 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC2([C@@H]3CCOC3)CC2)c1 ZINC000820084515 704218711 /nfs/dbraw/zinc/21/87/11/704218711.db2.gz KUCNTAOWFNZCLJ-VERVWZFWSA-N -1 1 324.398 1.915 20 0 DDADMM O=C(N[C@H](C1CCC1)[C@@H]1CCOC1)C(=O)c1ccc([O-])cc1 ZINC000871305078 704263160 /nfs/dbraw/zinc/26/31/60/704263160.db2.gz ATAPAWHRIYXYAI-UKRRQHHQSA-N -1 1 303.358 1.896 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCCc2ccccc21 ZINC000820783710 704330606 /nfs/dbraw/zinc/33/06/06/704330606.db2.gz KFDWWTBKDFXGKB-LBPRGKRZSA-N -1 1 316.448 1.669 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]Cc2nccn2C(F)F)s1 ZINC000820792789 704331567 /nfs/dbraw/zinc/33/15/67/704331567.db2.gz IAMYDKMVPVFUKN-UHFFFAOYSA-N -1 1 324.334 1.222 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@@H]2CCOC2)c1 ZINC000821260784 704384416 /nfs/dbraw/zinc/38/44/16/704384416.db2.gz XSVYFCYTHSSXRY-QKVFXAPYSA-N -1 1 312.387 1.773 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCC(C)(C)O)c1 ZINC000821354020 704395776 /nfs/dbraw/zinc/39/57/76/704395776.db2.gz WYUOQQPJTKHLPF-FQEVSTJZSA-N -1 1 300.376 1.507 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](NC(=O)OC(C)(C)C)C(C)C ZINC000854634918 704430690 /nfs/dbraw/zinc/43/06/90/704430690.db2.gz JDAMSFQMGWACRV-VIFPVBQESA-N -1 1 312.370 1.912 20 0 DDADMM C[C@@H]1CCN(C(=O)C[C@@H]2CCCO2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856419863 704528244 /nfs/dbraw/zinc/52/82/44/704528244.db2.gz UJFGBSWPPUWNRA-VWYCJHECSA-N -1 1 322.327 1.471 20 0 DDADMM CC[C@@H](CCO)[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866857902 706827216 /nfs/dbraw/zinc/82/72/16/706827216.db2.gz UVKBRXBZAYICNQ-QMMMGPOBSA-N -1 1 313.206 1.828 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3CC(C)(C)C3)nc2n1 ZINC000857683169 704613414 /nfs/dbraw/zinc/61/34/14/704613414.db2.gz ARWWQRFOCNIACH-UHFFFAOYSA-N -1 1 303.366 1.745 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)N=[S@@](C)(=O)CC)c1ccncc1 ZINC000866865216 706829440 /nfs/dbraw/zinc/82/94/40/706829440.db2.gz VNQQWFUKTOTRNA-ZMZPIMSZSA-N -1 1 305.425 1.485 20 0 DDADMM CCCc1ncc(C[N-]S(=O)(=O)c2c[nH]nc2Cl)o1 ZINC000832500097 706926656 /nfs/dbraw/zinc/92/66/56/706926656.db2.gz FTZGGVPZJLNWOD-UHFFFAOYSA-N -1 1 304.759 1.482 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@@H](Nc2cc(Cl)[n-]c(=O)n2)C1 ZINC000858419418 704709169 /nfs/dbraw/zinc/70/91/69/704709169.db2.gz KVAIYXGHUUUSFJ-HTQZYQBOSA-N -1 1 305.787 1.603 20 0 DDADMM CCO[C@H]1C[C@@](O)(CNc2cc(Cl)[n-]c(=O)n2)C1(C)C ZINC000858512822 704720831 /nfs/dbraw/zinc/72/08/31/704720831.db2.gz DLYNCNKZJVLONH-ISVAXAHUSA-N -1 1 301.774 1.814 20 0 DDADMM C[C@@H]1CN(c2cc(Cl)[n-]c(=O)n2)C[C@]2(CCO[C@H](C)C2)O1 ZINC000858526183 704722521 /nfs/dbraw/zinc/72/25/21/704722521.db2.gz VGRWWSIJHUKHGZ-GPCCPHFNSA-N -1 1 313.785 1.998 20 0 DDADMM O=C(OCCF)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000859146120 704804294 /nfs/dbraw/zinc/80/42/94/704804294.db2.gz KUSJXEKKELBIIS-UHFFFAOYSA-N -1 1 300.252 1.483 20 0 DDADMM O=CN1CCC[C@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000821826393 704819686 /nfs/dbraw/zinc/81/96/86/704819686.db2.gz NIPNKLUDCZOEPK-ZDUSSCGKSA-N -1 1 300.318 1.342 20 0 DDADMM O=C(C[C@H]1CCC(=O)N1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000821826751 704819870 /nfs/dbraw/zinc/81/98/70/704819870.db2.gz YNPRKXOJWXEMLA-LLVKDONJSA-N -1 1 300.318 1.390 20 0 DDADMM CON(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000859402657 704891678 /nfs/dbraw/zinc/89/16/78/704891678.db2.gz COISKEAHWKEOSD-LURJTMIESA-N -1 1 312.800 1.088 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cnc(C)cc1C ZINC000867369310 706977616 /nfs/dbraw/zinc/97/76/16/706977616.db2.gz QCIXBJZPIAZDPR-GOSISDBHSA-N -1 1 305.425 1.151 20 0 DDADMM CCO[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867370701 706978117 /nfs/dbraw/zinc/97/81/17/706978117.db2.gz FCCFJULSOWDJEZ-RKDXNWHRSA-N -1 1 306.334 1.596 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)c1ccn(C)n1 ZINC000867386114 706983697 /nfs/dbraw/zinc/98/36/97/706983697.db2.gz CBTAHMLPQSSEHK-ZETCQYMHSA-N -1 1 302.306 1.133 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@]2(C)CC2(C)C)c1C(F)(F)F ZINC000867529982 707030053 /nfs/dbraw/zinc/03/00/53/707030053.db2.gz QQYDVNPXBCAIJM-SNVBAGLBSA-N -1 1 311.329 1.906 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H]1C[C@H](C(=O)[O-])C1)c1cccc(F)c1 ZINC000874382700 705028897 /nfs/dbraw/zinc/02/88/97/705028897.db2.gz KZRZPJUCRYZKKP-MRVWCRGKSA-N -1 1 323.368 1.591 20 0 DDADMM CN1CCCc2cc(NC(=O)CCCc3nn[n-]n3)ccc21 ZINC000874452494 705072169 /nfs/dbraw/zinc/07/21/69/705072169.db2.gz KYESNNNCUHMBHQ-UHFFFAOYSA-N -1 1 300.366 1.544 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@H]1CC12CCOCC2 ZINC000823289234 705195972 /nfs/dbraw/zinc/19/59/72/705195972.db2.gz GUVWZXSXGNEEMW-SNVBAGLBSA-N -1 1 315.333 1.328 20 0 DDADMM CC(C)C[C@@H](C)NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875411289 705379036 /nfs/dbraw/zinc/37/90/36/705379036.db2.gz FVMLUVGJCJDQJH-SECBINFHSA-N -1 1 309.332 1.741 20 0 DDADMM O=C(NCCOCC(F)(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000824209915 705400757 /nfs/dbraw/zinc/40/07/57/705400757.db2.gz ZTXOMQNTZADEOZ-UHFFFAOYSA-N -1 1 320.296 1.327 20 0 DDADMM CSc1nc(CNC(=O)C[C@@H]2CC[C@@H](C3CC3)O2)cc(=O)[n-]1 ZINC000824602802 705491313 /nfs/dbraw/zinc/49/13/13/705491313.db2.gz JILCXKBMUOGCQA-RYUDHWBXSA-N -1 1 323.418 1.868 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@@H]1CC=CC[C@H]1C(F)(F)F ZINC000825482089 705679223 /nfs/dbraw/zinc/67/92/23/705679223.db2.gz SDJGTKMDDFPABH-VHSXEESVSA-N -1 1 317.315 1.783 20 0 DDADMM COCCC1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000862428472 705716536 /nfs/dbraw/zinc/71/65/36/705716536.db2.gz QZRCWXIDYCHGKS-UHFFFAOYSA-N -1 1 320.418 1.907 20 0 DDADMM Cc1onc(CC(=O)N(C)c2cccc(C)c2)c1-c1nnn[n-]1 ZINC000826299071 705786985 /nfs/dbraw/zinc/78/69/85/705786985.db2.gz PWIFFBFHNIFDIC-UHFFFAOYSA-N -1 1 312.333 1.677 20 0 DDADMM Cc1onc(CC(=O)N(C)c2cccc(C)c2)c1-c1nn[n-]n1 ZINC000826299071 705786989 /nfs/dbraw/zinc/78/69/89/705786989.db2.gz PWIFFBFHNIFDIC-UHFFFAOYSA-N -1 1 312.333 1.677 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(C)ccc2C)c1-c1nnn[n-]1 ZINC000826284767 705787859 /nfs/dbraw/zinc/78/78/59/705787859.db2.gz DZXBODHIUWXHBC-UHFFFAOYSA-N -1 1 312.333 1.961 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(C)ccc2C)c1-c1nn[n-]n1 ZINC000826284767 705787865 /nfs/dbraw/zinc/78/78/65/705787865.db2.gz DZXBODHIUWXHBC-UHFFFAOYSA-N -1 1 312.333 1.961 20 0 DDADMM Cc1onc(CC(=O)N2CCC(C(C)C)CC2)c1-c1nnn[n-]1 ZINC000826343776 705792683 /nfs/dbraw/zinc/79/26/83/705792683.db2.gz NFIZXLCAGQBLCO-UHFFFAOYSA-N -1 1 318.381 1.600 20 0 DDADMM Cc1onc(CC(=O)N2CCC(C(C)C)CC2)c1-c1nn[n-]n1 ZINC000826343776 705792686 /nfs/dbraw/zinc/79/26/86/705792686.db2.gz NFIZXLCAGQBLCO-UHFFFAOYSA-N -1 1 318.381 1.600 20 0 DDADMM Cc1onc(CC(=O)N(C(C)C)C2CCCC2)c1-c1nnn[n-]1 ZINC000826342971 705792851 /nfs/dbraw/zinc/79/28/51/705792851.db2.gz HNYUTSVHIUHETJ-UHFFFAOYSA-N -1 1 318.381 1.885 20 0 DDADMM Cc1onc(CC(=O)N(C(C)C)C2CCCC2)c1-c1nn[n-]n1 ZINC000826342971 705792852 /nfs/dbraw/zinc/79/28/52/705792852.db2.gz HNYUTSVHIUHETJ-UHFFFAOYSA-N -1 1 318.381 1.885 20 0 DDADMM Cc1onc(CC(=O)NC2C[C@@H](C)C[C@H](C)C2)c1-c1nnn[n-]1 ZINC000826346019 705793749 /nfs/dbraw/zinc/79/37/49/705793749.db2.gz AQUGBBMGYXEKCR-IUCAKERBSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)NC2C[C@@H](C)C[C@H](C)C2)c1-c1nn[n-]n1 ZINC000826346019 705793752 /nfs/dbraw/zinc/79/37/52/705793752.db2.gz AQUGBBMGYXEKCR-IUCAKERBSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)CCC[C@H]2C)c1-c1nnn[n-]1 ZINC000826343949 705793924 /nfs/dbraw/zinc/79/39/24/705793924.db2.gz RWQHDMBFQHZPAX-VHSXEESVSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)CCC[C@H]2C)c1-c1nn[n-]n1 ZINC000826343949 705793926 /nfs/dbraw/zinc/79/39/26/705793926.db2.gz RWQHDMBFQHZPAX-VHSXEESVSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)Nc2nc3ccccc3[nH]2)c1-c1nnn[n-]1 ZINC000826349801 705795578 /nfs/dbraw/zinc/79/55/78/705795578.db2.gz VGPFNLOUWNIKGN-UHFFFAOYSA-N -1 1 324.304 1.221 20 0 DDADMM Cc1onc(CC(=O)Nc2nc3ccccc3[nH]2)c1-c1nn[n-]n1 ZINC000826349801 705795582 /nfs/dbraw/zinc/79/55/82/705795582.db2.gz VGPFNLOUWNIKGN-UHFFFAOYSA-N -1 1 324.304 1.221 20 0 DDADMM CC(C)CC[C@@H](CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000862778451 705796834 /nfs/dbraw/zinc/79/68/34/705796834.db2.gz IHDTWDVWCDBSOJ-NSHDSACASA-N -1 1 308.407 1.545 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2c([O-])cccc2Cl)C[C@@H](C)O1 ZINC000862866886 705814623 /nfs/dbraw/zinc/81/46/23/705814623.db2.gz FNZNIEREDBEVKA-KCJUWKMLSA-N -1 1 313.737 1.448 20 0 DDADMM CC[C@@H]1CN2CCCC[C@H]2CN1C(=O)[C@H](C)CN(C)C(=O)[O-] ZINC000826690904 705847173 /nfs/dbraw/zinc/84/71/73/705847173.db2.gz MNQUDVJYXIGNGR-MCIONIFRSA-N -1 1 311.426 1.708 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@@H](C)CN(C)C(=O)[O-] ZINC000826690903 705847574 /nfs/dbraw/zinc/84/75/74/705847574.db2.gz MNQUDVJYXIGNGR-BFHYXJOUSA-N -1 1 311.426 1.708 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnnn1C)c1ccc(F)cc1 ZINC000863439147 705929115 /nfs/dbraw/zinc/92/91/15/705929115.db2.gz JWPZAGMFOGTSOJ-NSHDSACASA-N -1 1 314.342 1.084 20 0 DDADMM Cc1cnn(C)c1CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000827843572 706075326 /nfs/dbraw/zinc/07/53/26/706075326.db2.gz FJHYVEOUYWJMHC-KOLCDFICSA-N -1 1 318.343 1.617 20 0 DDADMM Cc1cc(C)c(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)s1 ZINC000835511194 707266463 /nfs/dbraw/zinc/26/64/63/707266463.db2.gz GMIHQSFLCYJGMA-NSHDSACASA-N -1 1 318.464 1.756 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000827901679 706084341 /nfs/dbraw/zinc/08/43/41/706084341.db2.gz NWKJFNIPFHCKBR-RHTUOURWSA-N -1 1 309.373 1.697 20 0 DDADMM Cn1nc(CS(=O)(=O)c2n[n-]c(C3CC3)n2)cc1Cl ZINC000864430804 706166684 /nfs/dbraw/zinc/16/66/84/706166684.db2.gz DCUFHEGUJHERTM-UHFFFAOYSA-N -1 1 301.759 1.043 20 0 DDADMM COc1cc(C(=O)[N-]c2nnc([C@H]3CCCO3)s2)ncn1 ZINC000828658806 706213476 /nfs/dbraw/zinc/21/34/76/706213476.db2.gz AOVORKPFSXPVPS-MRVPVSSYSA-N -1 1 307.335 1.441 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1C[C@H](CO)[C@H](CO)C1 ZINC000829160906 706296195 /nfs/dbraw/zinc/29/61/95/706296195.db2.gz JKUJYSCNNASLQQ-OKILXGFUSA-N -1 1 301.342 1.218 20 0 DDADMM CCOC1CC2(C[C@H]2C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000864975191 706316772 /nfs/dbraw/zinc/31/67/72/706316772.db2.gz QORNIQYFXWFWTP-KKOGVWMFSA-N -1 1 304.272 1.967 20 0 DDADMM C[C@@H](Nc1ccc2[nH]c(CCN3CCOCC3)nc2c1)C(=O)[O-] ZINC000904229742 711414337 /nfs/dbraw/zinc/41/43/37/711414337.db2.gz OVSOHJZYTHSBLQ-LLVKDONJSA-N -1 1 318.377 1.323 20 0 DDADMM CCn1c(C)nnc1SCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000865355302 706411839 /nfs/dbraw/zinc/41/18/39/706411839.db2.gz LMHFBZJSHBOWOA-UHFFFAOYSA-N -1 1 324.328 1.336 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1c[nH]nc1Cl)OC1CCCCC1 ZINC000830779894 706578567 /nfs/dbraw/zinc/57/85/67/706578567.db2.gz YYYNQTUAOYHKNC-UHFFFAOYSA-N -1 1 321.786 1.217 20 0 DDADMM COC1CC(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000830790668 706580571 /nfs/dbraw/zinc/58/05/71/706580571.db2.gz ZIHOPFPHFUQYIM-SHVIVCPWSA-N -1 1 308.300 1.081 20 0 DDADMM CCOC1CC(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000830801535 706582293 /nfs/dbraw/zinc/58/22/93/706582293.db2.gz LXSITFGXRWRRJA-SRHKJQAYSA-N -1 1 322.327 1.471 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CC2(O)CCCC2)C1 ZINC000830821543 706586649 /nfs/dbraw/zinc/58/66/49/706586649.db2.gz KCYAQZRFJMUICD-GFCCVEGCSA-N -1 1 322.327 1.351 20 0 DDADMM C[C@H]1Cc2cc(CNC(=O)CCCc3nn[n-]n3)ccc2O1 ZINC000830822026 706586997 /nfs/dbraw/zinc/58/69/97/706586997.db2.gz NBUJAWABZZMHRR-JTQLQIEISA-N -1 1 301.350 1.162 20 0 DDADMM O=C(c1scnc1C(F)F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000866901654 706839481 /nfs/dbraw/zinc/83/94/81/706839481.db2.gz LDIKTUZXLOVTAV-LURJTMIESA-N -1 1 314.321 1.614 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC2CCC(C)(O)CC2)c1 ZINC000866922614 706845548 /nfs/dbraw/zinc/84/55/48/706845548.db2.gz AFCXPJFBNJWXPH-UHFFFAOYSA-N -1 1 315.391 1.373 20 0 DDADMM C[C@@H](CCCO)[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866947099 706852488 /nfs/dbraw/zinc/85/24/88/706852488.db2.gz LXGJLFBHIIVFDZ-ZETCQYMHSA-N -1 1 313.206 1.828 20 0 DDADMM COC(=O)CCCONC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000880021958 706855274 /nfs/dbraw/zinc/85/52/74/706855274.db2.gz MPWXBCFEZFABPK-UHFFFAOYSA-N -1 1 301.726 1.589 20 0 DDADMM O=C([O-])CCN(C[C@H]1CCCO1)C(=O)c1cc(C(F)F)[nH]n1 ZINC000908990859 712910540 /nfs/dbraw/zinc/91/05/40/712910540.db2.gz YCYWAVFNVBYURW-MRVPVSSYSA-N -1 1 317.292 1.443 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CC1)c1ccc(Cl)nc1F ZINC000867012845 706872134 /nfs/dbraw/zinc/87/21/34/706872134.db2.gz JQEPBHSWMOMPHM-QMMMGPOBSA-N -1 1 308.762 1.313 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc2cc[nH]c21 ZINC000867163263 706913243 /nfs/dbraw/zinc/91/32/43/706913243.db2.gz PJHFYDDYYFLCJQ-IBGZPJMESA-N -1 1 315.420 1.620 20 0 DDADMM CC1(C)C[C@H](C[N-]S(=O)(=O)N=S2(=O)CCCC2)CCO1 ZINC000867376374 706979739 /nfs/dbraw/zinc/97/97/39/706979739.db2.gz AWXDSMKOJKXABV-LLVKDONJSA-N -1 1 324.468 1.288 20 0 DDADMM C[C@@H](CN(C)C(=O)c1scnc1C(F)F)c1nn[n-]n1 ZINC000867381854 706982283 /nfs/dbraw/zinc/98/22/83/706982283.db2.gz RPXWOBUUIYZMBD-YFKPBYRVSA-N -1 1 302.310 1.470 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)[C@H](C)N1C[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000833014464 706999652 /nfs/dbraw/zinc/99/96/52/706999652.db2.gz XCTGIHKOSWHBGL-UWJYBYFXSA-N -1 1 308.382 1.016 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@H]1CCCc2[nH]ncc21)c1ccccc1F ZINC000833125273 707001055 /nfs/dbraw/zinc/00/10/55/707001055.db2.gz UOXQWVNYXJNSMW-LKFCYVNXSA-N -1 1 317.320 1.911 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@H]1CCCc2[nH]ncc21)C1CCCCC1 ZINC000833124009 707001236 /nfs/dbraw/zinc/00/12/36/707001236.db2.gz DBWSLEIQFQTVPD-SMDDNHRTSA-N -1 1 305.378 1.979 20 0 DDADMM COc1c(C)cccc1C[N-]S(=O)(=O)N=S(C)(C)=O ZINC000867485027 707015786 /nfs/dbraw/zinc/01/57/86/707015786.db2.gz MASIOYQJUBQJES-UHFFFAOYSA-N -1 1 306.409 1.066 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1[C@@H]2Cc3cc(F)ccc3[C@@H]21 ZINC000867533971 707031362 /nfs/dbraw/zinc/03/13/62/707031362.db2.gz VLLPJAVDPFMJRM-GRYCIOLGSA-N -1 1 318.395 1.026 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CSC(C)(C)C)n[n-]1 ZINC000880667141 707052337 /nfs/dbraw/zinc/05/23/37/707052337.db2.gz FRVMMPABQAJOSY-QMMMGPOBSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CSC(C)(C)C)[n-]1 ZINC000880667141 707052339 /nfs/dbraw/zinc/05/23/39/707052339.db2.gz FRVMMPABQAJOSY-QMMMGPOBSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CSC(C)(C)C)n1 ZINC000880667141 707052342 /nfs/dbraw/zinc/05/23/42/707052342.db2.gz FRVMMPABQAJOSY-QMMMGPOBSA-N -1 1 314.411 1.690 20 0 DDADMM CCN(CC(=O)Nc1cc(C(=O)[O-])cc(OC)c1OC)C1CC1 ZINC000909045287 712925071 /nfs/dbraw/zinc/92/50/71/712925071.db2.gz OMNXAQNVLUGICI-UHFFFAOYSA-N -1 1 322.361 1.825 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)c1cn(CC2CC2)nn1 ZINC000834823376 707130519 /nfs/dbraw/zinc/13/05/19/707130519.db2.gz WOBHARRVLDBJDY-UHFFFAOYSA-N -1 1 306.753 1.977 20 0 DDADMM CCc1noc(CO[N-]C(=O)CCc2ccc(OC)cc2)n1 ZINC000871798430 707208431 /nfs/dbraw/zinc/20/84/31/707208431.db2.gz VRCVWJWYIYBWQS-UHFFFAOYSA-N -1 1 305.334 1.821 20 0 DDADMM CCc1c(=O)n(CCS(C)(=O)=O)[n-]c1-c1cccc(OC)c1 ZINC000871950682 707252802 /nfs/dbraw/zinc/25/28/02/707252802.db2.gz FHNYLOHIZJUIPM-ZDUSSCGKSA-N -1 1 324.402 1.312 20 0 DDADMM CC[C@H](C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)[C@H](C)O ZINC000881446891 707260894 /nfs/dbraw/zinc/26/08/94/707260894.db2.gz QICOUOKKTZMZSQ-AUTRQRHGSA-N -1 1 310.316 1.063 20 0 DDADMM COCCOCCN1CCc2c(C(=O)[O-])ccc(OC)c2C1 ZINC000872166247 707311612 /nfs/dbraw/zinc/31/16/12/707311612.db2.gz FGTCANNGXDUNAV-UHFFFAOYSA-N -1 1 309.362 1.415 20 0 DDADMM CN(C)c1cc(CN2C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C2)ccn1 ZINC000872167778 707312194 /nfs/dbraw/zinc/31/21/94/707312194.db2.gz JCQHYOPGJOAVPT-QWRGUYRKSA-N -1 1 317.311 1.843 20 0 DDADMM CC(=O)N1C[C@@H]2CN(C(=O)c3ccc(Cl)cc3[O-])C[C@@H]2C1 ZINC000881690997 707323774 /nfs/dbraw/zinc/32/37/74/707323774.db2.gz OBAOGRVJIMZTOH-PHIMTYICSA-N -1 1 308.765 1.596 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)CCO1 ZINC000872468474 707429749 /nfs/dbraw/zinc/42/97/49/707429749.db2.gz VLUPMLRGVLPPHW-JJQCHNSYSA-N -1 1 312.457 1.285 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2C[C@H]3CCC[C@H]3O2)c1Cl ZINC000872558473 707479365 /nfs/dbraw/zinc/47/93/65/707479365.db2.gz NBTMYBVFJNYYRN-KXUCPTDWSA-N -1 1 319.814 1.309 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)Cc1ccccc1 ZINC000909181043 712956110 /nfs/dbraw/zinc/95/61/10/712956110.db2.gz UNNKWXGPCZQADN-BFHYXJOUSA-N -1 1 313.357 1.573 20 0 DDADMM CS(C)(=O)=NC(=O)CSc1nc(C(F)F)cc(=O)[n-]1 ZINC000882170888 707526226 /nfs/dbraw/zinc/52/62/26/707526226.db2.gz ZGJJDALUCVPHMY-UHFFFAOYSA-N -1 1 311.335 1.466 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]2CC3(CC[C@@H]21)OCCO3 ZINC000836963803 707555279 /nfs/dbraw/zinc/55/52/79/707555279.db2.gz NTEBGWQCDQYIRR-OLZOCXBDSA-N -1 1 318.373 1.935 20 0 DDADMM C[C@]12CN(c3cc(Cl)[n-]c(=O)n3)C[C@@]1(C)CS(=O)(=O)C2 ZINC000872736915 707578201 /nfs/dbraw/zinc/57/82/01/707578201.db2.gz GXALNAKZWZQCIJ-TXEJJXNPSA-N -1 1 317.798 1.097 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)O[C@@H]1CCOC1 ZINC000837456669 707653471 /nfs/dbraw/zinc/65/34/71/707653471.db2.gz WPLXSPXSFRYDFJ-LLVKDONJSA-N -1 1 313.375 1.784 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)O[C@H]1CCOC1 ZINC000837456671 707653532 /nfs/dbraw/zinc/65/35/32/707653532.db2.gz WPLXSPXSFRYDFJ-NSHDSACASA-N -1 1 313.375 1.784 20 0 DDADMM CN(C)C1(C(=O)NC[C@@H](Cc2cccc(F)c2)C(=O)[O-])CC1 ZINC000909234545 712969690 /nfs/dbraw/zinc/96/96/90/712969690.db2.gz ATPVNASSJIQCMK-GFCCVEGCSA-N -1 1 308.353 1.279 20 0 DDADMM CO[C@@]1(CNC(=O)NCc2ccc([O-])c(Cl)c2)CCOC1 ZINC000872970212 707677255 /nfs/dbraw/zinc/67/72/55/707677255.db2.gz SSIOROVTIKZQMD-CQSZACIVSA-N -1 1 314.769 1.650 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)NOC[C@H]1CCOC1 ZINC000872984953 707682965 /nfs/dbraw/zinc/68/29/65/707682965.db2.gz WEWAEBRNXRNWED-JTQLQIEISA-N -1 1 300.742 1.813 20 0 DDADMM CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2ccc3ccccc3c2[O-])C1 ZINC000882678945 707746319 /nfs/dbraw/zinc/74/63/19/707746319.db2.gz KSGLHIFUICUUBM-HAQNSBGRSA-N -1 1 319.382 1.851 20 0 DDADMM CON1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)CC1 ZINC000909286807 712982502 /nfs/dbraw/zinc/98/25/02/712982502.db2.gz LBXXOZXSWCBPNS-UHFFFAOYSA-N -1 1 305.309 1.399 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2(C)CC(F)(F)C2)CCC1 ZINC000873542742 707891878 /nfs/dbraw/zinc/89/18/78/707891878.db2.gz YUMOFCPNAZHVDI-UHFFFAOYSA-N -1 1 324.393 1.352 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@]3(C(=O)[O-])CCSC3)c2C1 ZINC000909354726 712998766 /nfs/dbraw/zinc/99/87/66/712998766.db2.gz ZQNCGBCYWIVSGC-RMLUDKJBSA-N -1 1 309.391 1.225 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC[C@H]2C=CCC2)C1 ZINC000883785519 708064075 /nfs/dbraw/zinc/06/40/75/708064075.db2.gz UWBAHGAIUXEPEC-GWCFXTLKSA-N -1 1 319.327 1.805 20 0 DDADMM C[C@H](C(=O)Nc1ccc(OCC(=O)[O-])c(Cl)c1)N(C)C ZINC000909364720 713001108 /nfs/dbraw/zinc/00/11/08/713001108.db2.gz AYGSMNHANPUPIP-MRVPVSSYSA-N -1 1 300.742 1.692 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2ccc3c(c2)CCCO3)C1 ZINC000909407595 713010292 /nfs/dbraw/zinc/01/02/92/713010292.db2.gz UGHJDCXUQRMUGU-ZDUSSCGKSA-N -1 1 318.373 1.747 20 0 DDADMM COc1cnc([C@@H]2CCCN2c2ncnc3c2CCC3)[n-]c1=O ZINC000897224521 708260976 /nfs/dbraw/zinc/26/09/76/708260976.db2.gz RDWWKIFIEQZCIZ-LBPRGKRZSA-N -1 1 313.361 1.811 20 0 DDADMM CCOc1ccc(N(C)C(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000909415146 713012267 /nfs/dbraw/zinc/01/22/67/713012267.db2.gz SGOGESVYIALHKQ-CYBMUJFWSA-N -1 1 320.389 1.845 20 0 DDADMM COCc1nc(N2C[C@@H](Cc3ccccc3)[C@H](O)C2)cc(=O)[n-]1 ZINC000897337512 708295962 /nfs/dbraw/zinc/29/59/62/708295962.db2.gz VHAUPPYBEISDDJ-ZIAGYGMSSA-N -1 1 315.373 1.368 20 0 DDADMM CO[C@]1(CNC(=O)c2ccc3ccc(O)cc3c2[O-])CCOC1 ZINC000897405228 708317211 /nfs/dbraw/zinc/31/72/11/708317211.db2.gz WJFMEKQQYNKASH-KRWDZBQOSA-N -1 1 317.341 1.786 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CCCC(C)(C)O1)C(C)C ZINC000884877041 708404198 /nfs/dbraw/zinc/40/41/98/708404198.db2.gz SWHJDPGZGNTBNI-NEPJUHHUSA-N -1 1 321.439 1.451 20 0 DDADMM CC(C)(CNC(=O)c1ccc2ccc(O)cc2c1[O-])OCCO ZINC000897789636 708451475 /nfs/dbraw/zinc/45/14/75/708451475.db2.gz UZCUQCSAQCHAKV-UHFFFAOYSA-N -1 1 319.357 1.768 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCCC(=O)[C@H]1C ZINC000885044798 708453805 /nfs/dbraw/zinc/45/38/05/708453805.db2.gz KTPWTYNSDQOEOY-SNVBAGLBSA-N -1 1 305.378 1.982 20 0 DDADMM O=S(=O)([N-]C[C@@]1(CO)CCc2ccccc21)c1ccns1 ZINC000885272489 708507623 /nfs/dbraw/zinc/50/76/23/708507623.db2.gz KHBFFXABUBOGSO-CQSZACIVSA-N -1 1 324.427 1.298 20 0 DDADMM COC(=O)C(C)(C)CC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000885431659 708544176 /nfs/dbraw/zinc/54/41/76/708544176.db2.gz PUJSVRPUPLPXTC-UHFFFAOYSA-N -1 1 320.436 1.628 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]2[C@@H]2C[C@@H]2C)o1 ZINC000885622275 708586215 /nfs/dbraw/zinc/58/62/15/708586215.db2.gz DEGDUZZUUJAEFF-MMWGEVLESA-N -1 1 313.375 1.637 20 0 DDADMM C[C@@H](C(=O)Nc1cc(F)c([O-])cc1Cl)N1C(=O)CCC1=O ZINC000885674602 708594525 /nfs/dbraw/zinc/59/45/25/708594525.db2.gz RWDMAZPEPFEKBV-LURJTMIESA-N -1 1 314.700 1.661 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC2(CCC2)[C@H]1c1cccnc1 ZINC000898421249 708634568 /nfs/dbraw/zinc/63/45/68/708634568.db2.gz ZREDKAHHJXQVPG-OAHLLOKOSA-N -1 1 312.377 1.671 20 0 DDADMM CC[C@](C)(O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927781375 713054482 /nfs/dbraw/zinc/05/44/82/713054482.db2.gz OATVIECRDMMEPR-AWEZNQCLSA-N -1 1 302.321 1.673 20 0 DDADMM CC(C)(CCO)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782203 713054606 /nfs/dbraw/zinc/05/46/06/713054606.db2.gz JCYARHCNIMOYFL-UHFFFAOYSA-N -1 1 316.348 1.921 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCC[C@@H]1CCO ZINC000927783397 713054804 /nfs/dbraw/zinc/05/48/04/713054804.db2.gz KADUTJYXANXBKB-SNVBAGLBSA-N -1 1 314.332 1.769 20 0 DDADMM C[C@H](O)C[C@H](C)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927783378 713054982 /nfs/dbraw/zinc/05/49/82/713054982.db2.gz ZOIDLRKKNFIITC-UWVGGRQHSA-N -1 1 316.348 1.919 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@H]1CCC[C@H]1CO ZINC000927784366 713055190 /nfs/dbraw/zinc/05/51/90/713055190.db2.gz FSSRWWQPSZBWDD-XPTSAGLGSA-N -1 1 314.332 1.673 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@H]1C[C@@H](CO)C1 ZINC000927783419 713055403 /nfs/dbraw/zinc/05/54/03/713055403.db2.gz AIMBPZSSUQRBAV-DTORHVGOSA-N -1 1 300.305 1.283 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CC[C@](F)(CO)C1 ZINC000927788314 713056577 /nfs/dbraw/zinc/05/65/77/713056577.db2.gz HIJQYXWDBOTMMP-CQSZACIVSA-N -1 1 318.295 1.329 20 0 DDADMM CN(C(=O)CN[C@H](c1ccccc1)C(F)(F)F)c1nn[n-]n1 ZINC000912618378 713052527 /nfs/dbraw/zinc/05/25/27/713052527.db2.gz RNZAGLTXLYCAQN-SNVBAGLBSA-N -1 1 314.271 1.056 20 0 DDADMM CN(C(=O)[C@@H]1CCCN1Cc1ccc(Cl)cc1)c1nn[n-]n1 ZINC000912618367 713052576 /nfs/dbraw/zinc/05/25/76/713052576.db2.gz QWMAEYHGKJVTHB-LBPRGKRZSA-N -1 1 320.784 1.481 20 0 DDADMM CN(C(=O)[C@](C)(O)c1cccc(C(F)(F)F)c1)c1nn[n-]n1 ZINC000912617767 713052609 /nfs/dbraw/zinc/05/26/09/713052609.db2.gz JKJKOYTZUXVIRW-LLVKDONJSA-N -1 1 315.255 1.089 20 0 DDADMM COc1ccc(Cl)cc1NCCC(=O)N(C)c1nn[n-]n1 ZINC000912617696 713052737 /nfs/dbraw/zinc/05/27/37/713052737.db2.gz IXJZIMLZVXVQMJ-UHFFFAOYSA-N -1 1 310.745 1.327 20 0 DDADMM C[C@H](SCc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898750921 708854593 /nfs/dbraw/zinc/85/45/93/708854593.db2.gz JRPWZBLIYKWGEA-JTQLQIEISA-N -1 1 319.386 1.826 20 0 DDADMM COC[C@H]1COCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000886860824 708868456 /nfs/dbraw/zinc/86/84/56/708868456.db2.gz LHDBVLKEQLPFNE-LBPRGKRZSA-N -1 1 302.330 1.428 20 0 DDADMM C[C@@H]1CO[C@H](C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)C1 ZINC000898989906 708946194 /nfs/dbraw/zinc/94/61/94/708946194.db2.gz ADDNKWJCDPXKBJ-VLEAKVRGSA-N -1 1 322.327 1.327 20 0 DDADMM C[C@@H]1CO[C@@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000899183980 709013121 /nfs/dbraw/zinc/01/31/21/709013121.db2.gz IDBAMSIURUYASO-YGOYTEALSA-N -1 1 308.300 1.081 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1CC[C@H](C2CC2)O1 ZINC000887486774 709054301 /nfs/dbraw/zinc/05/43/01/709054301.db2.gz ACRCRHSTSPUSGH-WDEREUQCSA-N -1 1 323.418 1.900 20 0 DDADMM C[C@@H]1[C@H](C)OC[C@]12CC[C@@H](CNC(=O)c1cncc([O-])c1)O2 ZINC000899482734 709095161 /nfs/dbraw/zinc/09/51/61/709095161.db2.gz ICQYLZQMZOHCQY-PSHZPRKYSA-N -1 1 306.362 1.490 20 0 DDADMM COC[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@@H]1CCCOC1 ZINC000899656570 709141200 /nfs/dbraw/zinc/14/12/00/709141200.db2.gz VMFLOHSEKBZCQS-TZMCWYRMSA-N -1 1 307.346 1.133 20 0 DDADMM CO[C@@]1(C(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)CCSC1 ZINC000888120507 709218139 /nfs/dbraw/zinc/21/81/39/709218139.db2.gz PDWWFNFOVAOIQV-ZDUSSCGKSA-N -1 1 313.379 1.436 20 0 DDADMM Cc1c([C@H](NCc2cccc3c2OCC3)C(=O)[O-])cnn1C ZINC000900046951 709275942 /nfs/dbraw/zinc/27/59/42/709275942.db2.gz XUWDSOGSDNWWER-AWEZNQCLSA-N -1 1 301.346 1.579 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC[C@H]1C1OCCO1 ZINC000888443209 709312843 /nfs/dbraw/zinc/31/28/43/709312843.db2.gz DAFXQLSJELLSTA-ZDUSSCGKSA-N -1 1 314.341 1.918 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]([C@@]3(C)COC(=O)N3)C2)c([O-])c1 ZINC000928019795 713104094 /nfs/dbraw/zinc/10/40/94/713104094.db2.gz MNHZTMITYXOXKD-BDJLRTHQSA-N -1 1 319.361 1.446 20 0 DDADMM CO[C@@H]1CC[C@H]1N(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000889348521 709477428 /nfs/dbraw/zinc/47/74/28/709477428.db2.gz BGJTXUAIEJTQDA-CHWSQXEVSA-N -1 1 318.377 1.230 20 0 DDADMM O=C([O-])CC[C@@H]1CCCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC000909480209 709482530 /nfs/dbraw/zinc/48/25/30/709482530.db2.gz AIHLQGHWUHPRRY-LBPRGKRZSA-N -1 1 308.382 1.621 20 0 DDADMM CSCC[C@H](NC(=O)c1cc2ccccc2[nH]1)c1nn[n-]n1 ZINC000912859859 713109585 /nfs/dbraw/zinc/10/95/85/713109585.db2.gz GSLHXZXQIPTITM-NSHDSACASA-N -1 1 316.390 1.905 20 0 DDADMM COCc1ccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)cc1 ZINC000912860273 713109635 /nfs/dbraw/zinc/10/96/35/713109635.db2.gz QNBBVOWANFTDET-LBPRGKRZSA-N -1 1 321.406 1.570 20 0 DDADMM O=C([O-])[C@H]1CN(C(=O)CCCCc2cn[nH]n2)c2ccccc21 ZINC000909578970 709524168 /nfs/dbraw/zinc/52/41/68/709524168.db2.gz QZPAMNCXAFDQQS-ZDUSSCGKSA-N -1 1 314.345 1.733 20 0 DDADMM CSCC[C@H](NC(=O)CCc1ccncc1)c1nn[n-]n1 ZINC000912860354 713109900 /nfs/dbraw/zinc/10/99/00/713109900.db2.gz MUXFGNVWIDKSMN-NSHDSACASA-N -1 1 306.395 1.138 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)[C@@H]2CCc3nc[nH]c3C2)c2ccccc21 ZINC000909581963 709525960 /nfs/dbraw/zinc/52/59/60/709525960.db2.gz UCIMSMBMVYRPDJ-ZYHUDNBSSA-N -1 1 311.341 1.730 20 0 DDADMM COC(=O)[C@@](C)(CO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000909591492 709532396 /nfs/dbraw/zinc/53/23/96/709532396.db2.gz ZEXAUYKULGYKAN-GFCCVEGCSA-N -1 1 321.251 1.065 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1C[C@@H](c2ccccc2)[C@H](C(=O)[O-])C1 ZINC000909626134 709546335 /nfs/dbraw/zinc/54/63/35/709546335.db2.gz GJEVAVVZFHUFKR-ARFHVFGLSA-N -1 1 316.401 1.798 20 0 DDADMM O=C(N[C@@H]1CCO[C@H]1C1CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000928054832 713112391 /nfs/dbraw/zinc/11/23/91/713112391.db2.gz KOPRXZGVENUDPH-PWSUYJOCSA-N -1 1 304.375 1.315 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@@H](CC(F)(F)F)C(=O)[O-])c2C1 ZINC000909673506 709569862 /nfs/dbraw/zinc/56/98/62/709569862.db2.gz TUZLVRQYCCSNMT-RCOVLWMOSA-N -1 1 319.283 1.670 20 0 DDADMM CC(C)[C@@](C)(CC(=O)[O-])NC(=O)CN(C)[C@H]1CCSC1 ZINC000909688635 709579066 /nfs/dbraw/zinc/57/90/66/709579066.db2.gz PMFGOWFFMXQNEQ-SMDDNHRTSA-N -1 1 302.440 1.429 20 0 DDADMM CS[C@H]1CCCCN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000909729580 709598978 /nfs/dbraw/zinc/59/89/78/709598978.db2.gz OYUCSRRYYDKVKV-OLZOCXBDSA-N -1 1 314.451 1.527 20 0 DDADMM Cc1cc(C)cc([C@@H](NC(=O)CCc2cnc[nH]2)C(=O)[O-])c1 ZINC000909795292 709624572 /nfs/dbraw/zinc/62/45/72/709624572.db2.gz XHMYKBRQYWZTRJ-OAHLLOKOSA-N -1 1 301.346 1.901 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2CC(C)=NO2)[n-]c1=O ZINC000889785482 709635039 /nfs/dbraw/zinc/63/50/39/709635039.db2.gz GDIVFPAOUNPSTJ-VHSXEESVSA-N -1 1 306.322 1.019 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C[C@H]2CCCO2)[n-]c1=O ZINC000889786435 709635595 /nfs/dbraw/zinc/63/55/95/709635595.db2.gz MKYAHVAGGUQUOD-MNOVXSKESA-N -1 1 307.350 1.423 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H](OC)C2CC2)[n-]c1=O ZINC000889787833 709636338 /nfs/dbraw/zinc/63/63/38/709636338.db2.gz QFNPNRHLZBLOMR-ZYHUDNBSSA-N -1 1 307.350 1.279 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cncs2)[n-]c1=O ZINC000889789631 709636807 /nfs/dbraw/zinc/63/68/07/709636807.db2.gz IDDFTJQCUAAPGD-MRVPVSSYSA-N -1 1 306.347 1.625 20 0 DDADMM C[C@H](NC(=O)CN1CCCC1)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909821824 709640201 /nfs/dbraw/zinc/64/02/01/709640201.db2.gz XZAFHIUMYZSPJF-DZGCQCFKSA-N -1 1 304.390 1.530 20 0 DDADMM CC[C@@H]1CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)[C@H](CC)CO1 ZINC000909874732 709664068 /nfs/dbraw/zinc/66/40/68/709664068.db2.gz KWQGNSPCHPJMLR-BFHYXJOUSA-N -1 1 312.410 1.199 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)c2n[nH]cc2C(F)(F)F)C[C@H]1C1CC1 ZINC000909903397 709679377 /nfs/dbraw/zinc/67/93/77/709679377.db2.gz PJFDLFLFFXXRBV-JGVFFNPUSA-N -1 1 317.267 1.611 20 0 DDADMM O=C([O-])C12CC(C1)CN2C(=O)c1ccc(Nc2ccncc2)cc1 ZINC000909949249 709703960 /nfs/dbraw/zinc/70/39/60/709703960.db2.gz YKCSXTDGKSZKSS-UHFFFAOYSA-N -1 1 323.352 1.936 20 0 DDADMM CCC(=O)N1CSC[C@H]1C(=O)Nc1cc([O-])c(F)cc1F ZINC000909976500 709715676 /nfs/dbraw/zinc/71/56/76/709715676.db2.gz KFJVUSDFHIOKHP-JTQLQIEISA-N -1 1 316.329 1.920 20 0 DDADMM O=C([O-])[C@]12CN(C(=O)CCCCc3cn[nH]n3)C[C@H]1CCCC2 ZINC000910165003 709795681 /nfs/dbraw/zinc/79/56/81/709795681.db2.gz DEGJWCJVAYKRAT-MLGOLLRUSA-N -1 1 320.393 1.621 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C2(C(F)(F)F)CCC2)CC1 ZINC000910182677 709801230 /nfs/dbraw/zinc/80/12/30/709801230.db2.gz ZLQIWRRLPRUXAO-UHFFFAOYSA-N -1 1 322.327 1.726 20 0 DDADMM CC[C@H](C(=O)Nc1ccc(O[C@H](C)C(=O)[O-])c(F)c1)N(C)C ZINC000910232769 709829343 /nfs/dbraw/zinc/82/93/43/709829343.db2.gz KHVYJAYSOWJOAR-BXKDBHETSA-N -1 1 312.341 1.956 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2c[nH]cc3ncnc2-3)[C@H]2CCC[C@H]21 ZINC000910246927 709838375 /nfs/dbraw/zinc/83/83/75/709838375.db2.gz PEYXSKBKUHYUBJ-CWSCBRNRSA-N -1 1 314.345 1.673 20 0 DDADMM CCN(Cc1occc1C)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000910251967 709841231 /nfs/dbraw/zinc/84/12/31/709841231.db2.gz BYFMANJJOVLDMD-CYBMUJFWSA-N -1 1 308.378 1.733 20 0 DDADMM O=C(N[C@H]1CCOC12CCCC2)c1cnc(C2CC2)[n-]c1=O ZINC000890405726 709853964 /nfs/dbraw/zinc/85/39/64/709853964.db2.gz NODBZINPEMCPRW-LBPRGKRZSA-N -1 1 303.362 1.891 20 0 DDADMM CC(C)(C)c1ccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)o1 ZINC000910349143 709905139 /nfs/dbraw/zinc/90/51/39/709905139.db2.gz PVEATVLQLRDIAA-GFCCVEGCSA-N -1 1 322.405 1.990 20 0 DDADMM O=C(N[C@H](CCO)C1CC1)c1c([O-])cnc2c(F)cccc21 ZINC000901095176 709922772 /nfs/dbraw/zinc/92/27/72/709922772.db2.gz SZAHUFXJUHYHDQ-GFCCVEGCSA-N -1 1 304.321 1.970 20 0 DDADMM CN(CC(=O)N1CC[C@]2(C(=O)[O-])CCC[C@H]12)[C@H]1CCSC1 ZINC000910398352 709923522 /nfs/dbraw/zinc/92/35/22/709923522.db2.gz PBHUHDZEZHUWCL-SLEUVZQESA-N -1 1 312.435 1.280 20 0 DDADMM C[C@@H]1CO[C@@H](C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)C1 ZINC000901316802 710007551 /nfs/dbraw/zinc/00/75/51/710007551.db2.gz DRSRBKJMTRUAPO-QPUJVOFHSA-N -1 1 316.354 1.561 20 0 DDADMM CC[C@H](O)[C@@H](C)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000901319339 710008439 /nfs/dbraw/zinc/00/84/39/710008439.db2.gz FMSGLZLAGBMPAW-PELKAZGASA-N -1 1 318.370 1.543 20 0 DDADMM Cn1nc2c(c1/C=C\c1cc(=O)n3[n-]cnc3n1)CSCC2 ZINC000901441505 710040932 /nfs/dbraw/zinc/04/09/32/710040932.db2.gz JCCNIQITQGYUIM-IHWYPQMZSA-N -1 1 314.374 1.111 20 0 DDADMM O=C([O-])[C@@H](NCc1ccc(Cl)nn1)c1ccc2c(c1)CCO2 ZINC000901531017 710067735 /nfs/dbraw/zinc/06/77/35/710067735.db2.gz SBTIQPFTAGOLOZ-AWEZNQCLSA-N -1 1 319.748 1.980 20 0 DDADMM O=C([O-])c1ccccc1C1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC000901550335 710072518 /nfs/dbraw/zinc/07/25/18/710072518.db2.gz BYDQEPJOPHDJQP-UHFFFAOYSA-N -1 1 314.345 1.452 20 0 DDADMM CCn1cc(Cl)c([N-]S(=O)(=O)CC2(OC)CCC2)n1 ZINC000901717524 710131170 /nfs/dbraw/zinc/13/11/70/710131170.db2.gz YURPIAFDCCTWNQ-UHFFFAOYSA-N -1 1 307.803 1.867 20 0 DDADMM CC(C)COc1ccccc1CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901743477 710138500 /nfs/dbraw/zinc/13/85/00/710138500.db2.gz MHHBEYYAKDISEM-STQMWFEESA-N -1 1 322.405 1.789 20 0 DDADMM O=C(C[N@@H+]1CCC[C@H](C(=O)[O-])C1)NC[C@@H]1CCCC2(CCC2)O1 ZINC000910997514 710147425 /nfs/dbraw/zinc/14/74/25/710147425.db2.gz KGZWLQCDSZLSDI-KBPBESRZSA-N -1 1 324.421 1.391 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC[C@@H]1CCCC2(CCC2)O1 ZINC000910997514 710147427 /nfs/dbraw/zinc/14/74/27/710147427.db2.gz KGZWLQCDSZLSDI-KBPBESRZSA-N -1 1 324.421 1.391 20 0 DDADMM C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000901796966 710150069 /nfs/dbraw/zinc/15/00/69/710150069.db2.gz WNYNQZCESPSKFR-ZXIHIIQKSA-N -1 1 308.353 1.655 20 0 DDADMM CCn1nncc1CN[C@@H](C(=O)[O-])c1ccc(OC)c(Cl)c1 ZINC000901846911 710159137 /nfs/dbraw/zinc/15/91/37/710159137.db2.gz DOOXADMQOMOACF-CYBMUJFWSA-N -1 1 324.768 1.876 20 0 DDADMM O=C([O-])[C@]1(O)CCN(Cc2ccc(Sc3ccccn3)o2)C1 ZINC000901902982 710169798 /nfs/dbraw/zinc/16/97/98/710169798.db2.gz QJOMPDFTXLMECZ-HNNXBMFYSA-N -1 1 320.370 1.847 20 0 DDADMM Cn1nnc2cc(CN3CC4(CCC4)[C@@](F)(C(=O)[O-])C3)ccc21 ZINC000901915680 710173696 /nfs/dbraw/zinc/17/36/96/710173696.db2.gz PRQKEZOQJGKYNE-INIZCTEOSA-N -1 1 318.352 1.747 20 0 DDADMM C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000901930920 710177602 /nfs/dbraw/zinc/17/76/02/710177602.db2.gz KZPOHNGDKZOSIB-BZNIZROVSA-N -1 1 308.353 1.485 20 0 DDADMM C[C@]1(C(=O)[O-])CCCN1Cc1cnc(N2CCOCC2)s1 ZINC000902005255 710199722 /nfs/dbraw/zinc/19/97/22/710199722.db2.gz CWFRSRPZUKZSOG-CQSZACIVSA-N -1 1 311.407 1.419 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1cnn(CCF)c1 ZINC000891613861 710248621 /nfs/dbraw/zinc/24/86/21/710248621.db2.gz JEHRXFJRADFAGR-UHFFFAOYSA-N -1 1 305.313 1.479 20 0 DDADMM COCc1nc(NC[C@@H](O)c2ccc(OC)cc2)cc(=O)[n-]1 ZINC000891782136 710293490 /nfs/dbraw/zinc/29/34/90/710293490.db2.gz NRWUPVXBJJZPMM-GFCCVEGCSA-N -1 1 305.334 1.483 20 0 DDADMM CCOC(=O)N[C@@H]1CCCN(c2cc(=O)[n-]c(COC)n2)C1 ZINC000891862356 710312286 /nfs/dbraw/zinc/31/22/86/710312286.db2.gz VAMIKPFURIMDNW-SNVBAGLBSA-N -1 1 310.354 1.044 20 0 DDADMM COCc1nc(NC2CCN(CC(F)F)CC2)cc(=O)[n-]1 ZINC000892138607 710378645 /nfs/dbraw/zinc/37/86/45/710378645.db2.gz OPZYAWOAVFKBDZ-UHFFFAOYSA-N -1 1 302.325 1.470 20 0 DDADMM CN1C(=O)CCC[C@@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000913268786 713186948 /nfs/dbraw/zinc/18/69/48/713186948.db2.gz OYMYPEUDIOGTEZ-CYBMUJFWSA-N -1 1 314.345 1.527 20 0 DDADMM COc1ccc(CCCC(=O)N(C)c2nn[n-]n2)c(OC)c1 ZINC000892955017 710531579 /nfs/dbraw/zinc/53/15/79/710531579.db2.gz VCLXRLJDDYUTCQ-UHFFFAOYSA-N -1 1 305.338 1.203 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3C[C@H]3C3CC3)nc2n1 ZINC000893072572 710550434 /nfs/dbraw/zinc/55/04/34/710550434.db2.gz NZKBSNJJELWFHW-JQWIXIFHSA-N -1 1 315.377 1.745 20 0 DDADMM Cc1ncc(C(=O)N2CC[C@H](c3ncc(C(=O)[O-])s3)C2)[nH]1 ZINC000911047640 710609738 /nfs/dbraw/zinc/60/97/38/710609738.db2.gz UPUKBTCGEARPMT-QMMMGPOBSA-N -1 1 306.347 1.503 20 0 DDADMM O=C([O-])[C@H]1c2ccccc2OCCN1C(=O)CN1CCCC1 ZINC000911055651 710613299 /nfs/dbraw/zinc/61/32/99/710613299.db2.gz DFEJVLUJRFFLCF-OAHLLOKOSA-N -1 1 304.346 1.129 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)C(C)(C)CCC(=O)[O-])C[C@@H](C)O1 ZINC000911151318 710656099 /nfs/dbraw/zinc/65/60/99/710656099.db2.gz WLBWMZBAOLVLHK-CHWSQXEVSA-N -1 1 314.426 1.493 20 0 DDADMM Cc1ncc(C(=O)[O-])cc1C(=O)N1CCN(C2CCCC2)CC1 ZINC000911169834 710667614 /nfs/dbraw/zinc/66/76/14/710667614.db2.gz ZNFXIGQOEAMDSN-UHFFFAOYSA-N -1 1 317.389 1.789 20 0 DDADMM CN1CCN(C(=O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)c2ccccc21 ZINC000911240580 710704983 /nfs/dbraw/zinc/70/49/83/710704983.db2.gz BHSMSHUYFHUKJU-CYBMUJFWSA-N -1 1 317.389 1.266 20 0 DDADMM CN1CCN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)c2ccccc21 ZINC000911240580 710704988 /nfs/dbraw/zinc/70/49/88/710704988.db2.gz BHSMSHUYFHUKJU-CYBMUJFWSA-N -1 1 317.389 1.266 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000911304611 710735359 /nfs/dbraw/zinc/73/53/59/710735359.db2.gz WIFVMMBBQKSWDD-SWLSCSKDSA-N -1 1 304.390 1.660 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000911325899 710742831 /nfs/dbraw/zinc/74/28/31/710742831.db2.gz WOYZOFMUIBSQCS-KOLCDFICSA-N -1 1 306.366 1.499 20 0 DDADMM COc1ccnc(N2CCN(C(=O)C[C@@H](C)CC(=O)[O-])CC2)c1 ZINC000911342450 710751488 /nfs/dbraw/zinc/75/14/88/710751488.db2.gz ABWDCJKWZWFIQP-GFCCVEGCSA-N -1 1 321.377 1.240 20 0 DDADMM CN(C)c1ccnc(NC(=O)CC2(C(=O)[O-])CCOCC2)c1 ZINC000911401501 710781639 /nfs/dbraw/zinc/78/16/39/710781639.db2.gz RLIDSOGCIQCEMY-UHFFFAOYSA-N -1 1 307.350 1.358 20 0 DDADMM CCN1CCN(C(=O)c2ccc(OCC(=O)[O-])cc2)[C@H](C)C1 ZINC000911406539 710783832 /nfs/dbraw/zinc/78/38/32/710783832.db2.gz DJYWHAWDZIXCRD-GFCCVEGCSA-N -1 1 306.362 1.316 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)N[C@@H](C)C1(N2CCOCC2)CCCC1 ZINC000911450497 710804378 /nfs/dbraw/zinc/80/43/78/710804378.db2.gz DCNCFTIKQDEOCF-STQMWFEESA-N -1 1 312.410 1.247 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)C1(C(F)F)CCC1 ZINC000913437880 713215604 /nfs/dbraw/zinc/21/56/04/713215604.db2.gz PALGVIZRYKIGQH-SNVBAGLBSA-N -1 1 307.304 1.841 20 0 DDADMM CN(C)c1ccnc(CNC(=O)C2(C(=O)[O-])CCSCC2)c1 ZINC000902813889 710913113 /nfs/dbraw/zinc/91/31/13/710913113.db2.gz JIXZIOKPFBZNBO-UHFFFAOYSA-N -1 1 323.418 1.362 20 0 DDADMM CN(C)c1ccnc(CNC(=O)C2(C(=O)[O-])C(C)(C)C2(C)C)c1 ZINC000902815326 710913848 /nfs/dbraw/zinc/91/38/48/710913848.db2.gz UTJUJHDCSJLUIJ-UHFFFAOYSA-N -1 1 319.405 1.901 20 0 DDADMM O=C(N[C@H](c1nnn[n-]1)c1ccccc1)[C@H]1CCCN1C1CC1 ZINC000913439145 713216491 /nfs/dbraw/zinc/21/64/91/713216491.db2.gz ZQBNOWQIMFCCCQ-KGLIPLIRSA-N -1 1 312.377 1.032 20 0 DDADMM COCc1nc(N2CCN(c3ccnc(F)c3)CC2)cc(=O)[n-]1 ZINC000894061167 710947057 /nfs/dbraw/zinc/94/70/57/710947057.db2.gz FSKABWGRQILIDG-UHFFFAOYSA-N -1 1 319.340 1.189 20 0 DDADMM O=C([O-])CCc1ccccc1C(=O)NCCN1CC=CCC1 ZINC000911717108 710947686 /nfs/dbraw/zinc/94/76/86/710947686.db2.gz DODRPJNLBRCOOM-UHFFFAOYSA-N -1 1 302.374 1.696 20 0 DDADMM O=C(NCc1cc2n(n1)CCCC2)c1cnc(C2CC2)[n-]c1=O ZINC000912033505 711135868 /nfs/dbraw/zinc/13/58/68/711135868.db2.gz QKHNXIPCFDWNOC-UHFFFAOYSA-N -1 1 313.361 1.522 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2ccnn2CC2CCC2)c1C ZINC000903610643 711223483 /nfs/dbraw/zinc/22/34/83/711223483.db2.gz AAHJAGOTPOGAKR-UHFFFAOYSA-N -1 1 309.395 1.824 20 0 DDADMM O=C(N[C@H]([C@@H]1CCCO1)C1(CO)CCC1)c1ncccc1[O-] ZINC000912214975 711225954 /nfs/dbraw/zinc/22/59/54/711225954.db2.gz INTQHHZUFDOJDL-GXTWGEPZSA-N -1 1 306.362 1.227 20 0 DDADMM O=C(c1nc2ccccc2s1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496303 713238440 /nfs/dbraw/zinc/23/84/40/713238440.db2.gz XJGWWPBLRZZXHG-SECBINFHSA-N -1 1 316.346 1.023 20 0 DDADMM CN(CCc1ccc2c(c1)OCO2)Cc1cc(C(=O)[O-])nn1C ZINC000903695133 711246948 /nfs/dbraw/zinc/24/69/48/711246948.db2.gz PNGAEUZZFCQUDK-UHFFFAOYSA-N -1 1 317.345 1.522 20 0 DDADMM CCN(CC)C(=O)N1CCN(CCC2(C(=O)[O-])CCC2)CC1 ZINC000903696117 711247132 /nfs/dbraw/zinc/24/71/32/711247132.db2.gz BFUBAGSVXXLRRN-UHFFFAOYSA-N -1 1 311.426 1.711 20 0 DDADMM CC[C@@H]1C(=O)NCCCN1CCOc1ccccc1C(=O)[O-] ZINC000903712747 711251551 /nfs/dbraw/zinc/25/15/51/711251551.db2.gz RSFWADVXMCEUAD-CYBMUJFWSA-N -1 1 306.362 1.364 20 0 DDADMM Cc1ccc(CCC(=O)N2CCOC[C@H]2c2nn[n-]n2)s1 ZINC000913498677 713239290 /nfs/dbraw/zinc/23/92/90/713239290.db2.gz QENRGBHALJGTQU-NSHDSACASA-N -1 1 307.379 1.102 20 0 DDADMM O=C([C@H]1CC[C@H](C2CCC2)CC1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499645 713239626 /nfs/dbraw/zinc/23/96/26/713239626.db2.gz PYIHLKRXSYAJKP-IHRRRGAJSA-N -1 1 319.409 1.706 20 0 DDADMM O=C([O-])c1ccc(F)c2c1CN(C[C@@H]1C[C@@H]3COC[C@H]3O1)CC2 ZINC000903910333 711327263 /nfs/dbraw/zinc/32/72/63/711327263.db2.gz UDYHEXKZNHGLBF-OHUAYANFSA-N -1 1 321.348 1.686 20 0 DDADMM CC(C)C[C@@H]1CN(C)CCN1Cc1noc(CCCC(=O)[O-])n1 ZINC000903943380 711343920 /nfs/dbraw/zinc/34/39/20/711343920.db2.gz JFKWYOJJPNUSHQ-CYBMUJFWSA-N -1 1 324.425 1.639 20 0 DDADMM CC(=O)N1CCO[C@H](c2nc(-c3ccc([O-])c(F)c3)no2)C1 ZINC000904048894 711370007 /nfs/dbraw/zinc/37/00/07/711370007.db2.gz FHRMKVADBJGVCZ-LBPRGKRZSA-N -1 1 307.281 1.501 20 0 DDADMM Cn1nc(CN[C@H]2C[C@@H](NC(=O)[O-])C23CCC3)cc1C1CC1 ZINC000904261854 711419793 /nfs/dbraw/zinc/41/97/93/711419793.db2.gz PXPZSPCKTSGXKE-UONOGXRCSA-N -1 1 304.394 1.966 20 0 DDADMM CN1CCC[C@H]1C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[n-]1 ZINC000913559791 713260587 /nfs/dbraw/zinc/26/05/87/713260587.db2.gz PHBWTZNWUKXFAR-STQMWFEESA-N -1 1 315.377 1.005 20 0 DDADMM O=C(C[C@@H]1CCC2(CCOCC2)CO1)[N-]O[C@H]1CCCCO1 ZINC000896028456 711665836 /nfs/dbraw/zinc/66/58/36/711665836.db2.gz XTTKXLVJDTVOBB-ZFWWWQNUSA-N -1 1 313.394 1.927 20 0 DDADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H]1CCCCN(C)C1=O ZINC000913663411 713277323 /nfs/dbraw/zinc/27/73/23/713277323.db2.gz HXAZZFYGXVXODA-GFCCVEGCSA-N -1 1 318.377 1.143 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(-c3ccc[nH]c3=O)no2)c1 ZINC000904747068 711889512 /nfs/dbraw/zinc/88/95/12/711889512.db2.gz PVRSPIGGNUXNEQ-SNVBAGLBSA-N -1 1 312.285 1.651 20 0 DDADMM Cc1ccc(OCC(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000913742231 713291789 /nfs/dbraw/zinc/29/17/89/713291789.db2.gz PDDYIOPDKYTRSU-UHFFFAOYSA-N -1 1 301.350 1.293 20 0 DDADMM CC(C)(C)c1ncc(C(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC000913743248 713292152 /nfs/dbraw/zinc/29/21/52/713292152.db2.gz MOIWQFNJPLFPSN-UHFFFAOYSA-N -1 1 320.422 1.974 20 0 DDADMM O=C(Cc1ccc(F)c(F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743985 713292361 /nfs/dbraw/zinc/29/23/61/713292361.db2.gz VBVYNNDQLQQNAL-UHFFFAOYSA-N -1 1 307.304 1.427 20 0 DDADMM CC(C)C[C@H](C(=O)N1CCC(c2nn[n-]n2)CC1)n1ccnc1 ZINC000913746024 713293911 /nfs/dbraw/zinc/29/39/11/713293911.db2.gz UBHHIXIIYUEBFV-CYBMUJFWSA-N -1 1 317.397 1.390 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745877 713293917 /nfs/dbraw/zinc/29/39/17/713293917.db2.gz DPJIZTLJZMMWON-QMMMGPOBSA-N -1 1 305.304 1.884 20 0 DDADMM CC(C)(O)C1CCC(C(=O)N2CCC(c3nn[n-]n3)CC2)CC1 ZINC000913746059 713294089 /nfs/dbraw/zinc/29/40/89/713294089.db2.gz VCEJHLXLPKFIML-UHFFFAOYSA-N -1 1 321.425 1.483 20 0 DDADMM COc1cc(C)cc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1C ZINC000913746970 713294206 /nfs/dbraw/zinc/29/42/06/713294206.db2.gz MXLQNAWZWOUGLI-UHFFFAOYSA-N -1 1 315.377 1.845 20 0 DDADMM O=C([O-])c1ccc(CNC[C@H]2COc3ccccc3O2)nc1 ZINC000905720221 712130822 /nfs/dbraw/zinc/13/08/22/712130822.db2.gz ZOMBPKLTNXBQBS-ZDUSSCGKSA-N -1 1 300.314 1.709 20 0 DDADMM COc1ccc(CNCc2cn(C)nc2C(=O)[O-])c(C)c1OC ZINC000905754705 712139259 /nfs/dbraw/zinc/13/92/59/712139259.db2.gz DLKJIDNXRVARDZ-UHFFFAOYSA-N -1 1 319.361 1.734 20 0 DDADMM CCc1ccc(CS(=O)(=O)NCC(F)(F)C(=O)[O-])cc1 ZINC000905999673 712216419 /nfs/dbraw/zinc/21/64/19/712216419.db2.gz NPPUOTDJDVARCA-UHFFFAOYSA-N -1 1 307.318 1.388 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccnc(NC2CCC2)c1)c1nn[n-]n1 ZINC000907350036 712550131 /nfs/dbraw/zinc/55/01/31/712550131.db2.gz LGDCIHWQUJWPFX-SNVBAGLBSA-N -1 1 315.381 1.435 20 0 DDADMM O=C(c1ccc2c(c1)CCO2)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907472976 712581135 /nfs/dbraw/zinc/58/11/35/712581135.db2.gz AKZUPJGIAHHYCL-LLVKDONJSA-N -1 1 317.374 1.065 20 0 DDADMM O=C(C[C@@H]1CCC2(CCC2)O1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907474340 712581601 /nfs/dbraw/zinc/58/16/01/712581601.db2.gz GWXPPMWHDCNGPL-WDEREUQCSA-N -1 1 323.422 1.308 20 0 DDADMM O=C(NOC1CCOCC1)c1c([O-])cnc2c(F)cccc21 ZINC000907476898 712582402 /nfs/dbraw/zinc/58/24/02/712582402.db2.gz JHQDJGYEOHWHPX-UHFFFAOYSA-N -1 1 306.293 1.920 20 0 DDADMM CC(C)c1[nH]ccc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479693 712583215 /nfs/dbraw/zinc/58/32/15/712583215.db2.gz LWXJJSBNIAKALT-JTQLQIEISA-N -1 1 306.395 1.582 20 0 DDADMM CCc1ccnc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000907479716 712583320 /nfs/dbraw/zinc/58/33/20/712583320.db2.gz MEVDRPIPVIQNIG-NSHDSACASA-N -1 1 304.379 1.087 20 0 DDADMM CC(C)(C)C1CC(C(=O)N2CCSC[C@H]2c2nn[n-]n2)C1 ZINC000907479470 712583368 /nfs/dbraw/zinc/58/33/68/712583368.db2.gz FDCLAFGGMFWSKA-ILDUYXDCSA-N -1 1 309.439 1.889 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N2CCSC[C@H]2c2nn[n-]n2)C[C@@H]1C ZINC000907480256 712583526 /nfs/dbraw/zinc/58/35/26/712583526.db2.gz NXJOXPGREDNYST-RHYQMDGZSA-N -1 1 309.439 1.889 20 0 DDADMM CC(C)(CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)C(F)(F)F ZINC000907479893 712583580 /nfs/dbraw/zinc/58/35/80/712583580.db2.gz NIGZDQIDEJKENP-SSDOTTSWSA-N -1 1 323.344 1.795 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-]Cc1ocnc1C ZINC000907597684 712600518 /nfs/dbraw/zinc/60/05/18/712600518.db2.gz UPWXOEKAYAEXMO-LLVKDONJSA-N -1 1 304.368 1.134 20 0 DDADMM C[C@H]1CCCC[C@H]1CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907942843 712652599 /nfs/dbraw/zinc/65/25/99/712652599.db2.gz CDPCFZIDMKLUQC-RYUDHWBXSA-N -1 1 307.398 1.226 20 0 DDADMM O=C([O-])[C@H]1C[C@@H]2C[C@H](NC(=O)[C@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC000907965785 712656775 /nfs/dbraw/zinc/65/67/75/712656775.db2.gz OMWOFWKHHRSXFB-SLVJWFQDSA-N -1 1 303.362 1.445 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2CCOC23CCCC3)c1Cl ZINC000908185778 712704954 /nfs/dbraw/zinc/70/49/54/712704954.db2.gz BELKNTZHKKEBEZ-VIFPVBQESA-N -1 1 319.814 1.454 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NC[C@H](CC1CCCC1)C(=O)[O-] ZINC000908294689 712730727 /nfs/dbraw/zinc/73/07/27/712730727.db2.gz YQRYYLASEIUFNS-YPMHNXCESA-N -1 1 307.394 1.986 20 0 DDADMM O=S(=O)([N-]CC[C@@H](O)C1CC1)c1c(F)cccc1Cl ZINC000908304642 712734347 /nfs/dbraw/zinc/73/43/47/712734347.db2.gz TVCPPKXAGZVRBX-LLVKDONJSA-N -1 1 307.774 1.918 20 0 DDADMM COc1cnc([C@@H]2CCCN2c2nnc(C(C)C)n2C)[n-]c1=O ZINC000908617888 712823704 /nfs/dbraw/zinc/82/37/04/712823704.db2.gz CPANQNGFZUCWGC-JTQLQIEISA-N -1 1 318.381 1.784 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C(=O)Nc1cccc([O-])c1Br ZINC000908716757 712840322 /nfs/dbraw/zinc/84/03/22/712840322.db2.gz NIQORSCBOHWWOD-NKWVEPMBSA-N -1 1 314.135 1.902 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CC2CC1(C(=O)[O-])C2)c1cccs1 ZINC000908747120 712846740 /nfs/dbraw/zinc/84/67/40/712846740.db2.gz NJRZJKMXJKGEGZ-LOIXOFCESA-N -1 1 323.418 1.609 20 0 DDADMM COc1cc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)ccc1C ZINC000908754984 712848455 /nfs/dbraw/zinc/84/84/55/712848455.db2.gz UGQSBHMSFBFNLY-AWEZNQCLSA-N -1 1 320.389 1.416 20 0 DDADMM COC[C@H](NC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccc(C)o1 ZINC000908801329 712857293 /nfs/dbraw/zinc/85/72/93/712857293.db2.gz FNKPSYBTVCYKTR-STQMWFEESA-N -1 1 324.377 1.188 20 0 DDADMM COC[C@@H](NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccc(C)o1 ZINC000908801326 712857385 /nfs/dbraw/zinc/85/73/85/712857385.db2.gz FNKPSYBTVCYKTR-CHWSQXEVSA-N -1 1 324.377 1.188 20 0 DDADMM CCCCC[C@@H]([N-]S(=O)(=O)CC1(F)CCC1)C(=O)OC ZINC000914230018 713362947 /nfs/dbraw/zinc/36/29/47/713362947.db2.gz BZGMXFUKEXVSNN-LLVKDONJSA-N -1 1 309.403 1.920 20 0 DDADMM COC(=O)[C@H](CC(F)F)[N-]S(=O)(=O)CCCC(C)(F)F ZINC000914305480 713374091 /nfs/dbraw/zinc/37/40/91/713374091.db2.gz FRMVLJIPNXKFAM-ZETCQYMHSA-N -1 1 323.308 1.538 20 0 DDADMM CCOc1cc(C(=O)N(CC)CCC(N)=O)cc(Cl)c1[O-] ZINC000928825254 713486782 /nfs/dbraw/zinc/48/67/82/713486782.db2.gz PMYTYQITDVIEAR-UHFFFAOYSA-N -1 1 314.769 1.782 20 0 DDADMM COC(=O)CC(C)(C)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000921211745 713722064 /nfs/dbraw/zinc/72/20/64/713722064.db2.gz FYIRPSQIHARFPO-UHFFFAOYSA-N -1 1 322.333 1.227 20 0 DDADMM COC[C@H](NC(=O)NCCc1c(F)cc([O-])cc1F)C1CC1 ZINC000921596107 713817416 /nfs/dbraw/zinc/81/74/16/713817416.db2.gz XCLMQSPMFFPGPB-AWEZNQCLSA-N -1 1 314.332 1.937 20 0 DDADMM O=S(=O)([N-]CC1CC2(C1)OCCO2)c1sccc1Cl ZINC000921659797 713833426 /nfs/dbraw/zinc/83/34/26/713833426.db2.gz IZDBKUSUWCOEBS-UHFFFAOYSA-N -1 1 323.823 1.833 20 0 DDADMM CCC[C@@H](O)CC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000921684697 713842631 /nfs/dbraw/zinc/84/26/31/713842631.db2.gz RBJWXLUGPAQZTR-SSDOTTSWSA-N -1 1 315.317 1.258 20 0 DDADMM Cc1ccc(-c2cc(C(=O)OCCO[C@H]3CCOC3)[nH]n2)o1 ZINC000922357960 714029205 /nfs/dbraw/zinc/02/92/05/714029205.db2.gz FVWZKKDDRBOTFU-NSHDSACASA-N -1 1 306.318 1.940 20 0 DDADMM CO[N-]C(=O)CNCc1csc(-c2ccc3c(c2)OCO3)n1 ZINC000922830287 714161513 /nfs/dbraw/zinc/16/15/13/714161513.db2.gz LVODVJVJZHBERE-UHFFFAOYSA-N -1 1 321.358 1.306 20 0 DDADMM C[C@@H](CN1CCCn2nncc2C1)C(=O)c1ccc([O-])cc1 ZINC000931743436 714173373 /nfs/dbraw/zinc/17/33/73/714173373.db2.gz FXNAGJXWVLXNCN-LBPRGKRZSA-N -1 1 300.362 1.708 20 0 DDADMM C[S@@](=O)CCCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932042260 714244999 /nfs/dbraw/zinc/24/49/99/714244999.db2.gz GCLAKVPAGBQUEP-JOCHJYFZSA-N -1 1 322.390 1.468 20 0 DDADMM O=C(NCC1(CO)CCC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932046605 714245749 /nfs/dbraw/zinc/24/57/49/714245749.db2.gz PNWADSVVGRAOHR-UHFFFAOYSA-N -1 1 316.361 1.862 20 0 DDADMM CC[C@H](CO)N(C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932054692 714247940 /nfs/dbraw/zinc/24/79/40/714247940.db2.gz UJHBDJNBANRWKA-GFCCVEGCSA-N -1 1 304.350 1.813 20 0 DDADMM Cn1[n-]c(COC(=O)c2nc3ccccc3cc2C2CC2)nc1=O ZINC000923212861 714273735 /nfs/dbraw/zinc/27/37/35/714273735.db2.gz AQWONICDFZBTFE-UHFFFAOYSA-N -1 1 324.340 1.891 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CC[C@]3(CO[C@@H](C)C3)O2)c([O-])c1 ZINC000924271023 714554027 /nfs/dbraw/zinc/55/40/27/714554027.db2.gz CRBUYMVJXLEKGW-MKBNYLNASA-N -1 1 306.362 1.552 20 0 DDADMM Cn1[n-]c(COC(=O)C[C@@H]2CCC[C@@H](C(F)(F)F)O2)nc1=O ZINC000924325141 714563647 /nfs/dbraw/zinc/56/36/47/714563647.db2.gz OUGQKGMOEOEWRK-YUMQZZPRSA-N -1 1 323.271 1.042 20 0 DDADMM O=C(C[C@@H]1CC[C@H](C2CC2)O1)OCCC[N-]C(=O)C(F)(F)F ZINC000924353405 714568454 /nfs/dbraw/zinc/56/84/54/714568454.db2.gz RWRYPFLWQUPPBL-WDEREUQCSA-N -1 1 323.311 1.946 20 0 DDADMM CCn1nnc(C)c1CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934280152 714785846 /nfs/dbraw/zinc/78/58/46/714785846.db2.gz SPLKXZFZJZTXRE-LLVKDONJSA-N -1 1 318.381 1.448 20 0 DDADMM CC[S@@](C)(=O)=NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000925846039 714949453 /nfs/dbraw/zinc/94/94/53/714949453.db2.gz NQBZZPOZMITQJS-OAQYLSRUSA-N -1 1 310.379 1.239 20 0 DDADMM O=C([O-])[C@H]1C[C@H](NS(=O)(=O)c2occc2Br)C1 ZINC000926484344 715075062 /nfs/dbraw/zinc/07/50/62/715075062.db2.gz TVNYOIDSRMRKIU-IZLXSQMJSA-N -1 1 324.152 1.184 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2CCC2)C1 ZINC000936330592 715181115 /nfs/dbraw/zinc/18/11/15/715181115.db2.gz WDWMOLHGUXWTQF-LBPRGKRZSA-N -1 1 303.362 1.260 20 0 DDADMM CC1(C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])CCC1 ZINC000956139333 715331552 /nfs/dbraw/zinc/33/15/52/715331552.db2.gz SNRKQCSJKLMWIB-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)c2ccc[nH]2)C1 ZINC000956848899 715635869 /nfs/dbraw/zinc/63/58/69/715635869.db2.gz HINAGKPAHVZUKG-INIZCTEOSA-N -1 1 314.345 1.150 20 0 DDADMM CCCCN1CC(N(CC)C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC000957132185 715762295 /nfs/dbraw/zinc/76/22/95/715762295.db2.gz MGAGQLUUDYGOKO-UHFFFAOYSA-N -1 1 318.377 1.875 20 0 DDADMM CCN(C(=O)c1ccc2oc(=O)nc-2[n-]1)C1CN(CC2CC2)C1 ZINC000957132293 715762354 /nfs/dbraw/zinc/76/23/54/715762354.db2.gz NNHUVJDVDSAGGV-UHFFFAOYSA-N -1 1 316.361 1.485 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955872405 715987167 /nfs/dbraw/zinc/98/71/67/715987167.db2.gz SIVURZWKECRRAN-LBPRGKRZSA-N -1 1 303.362 1.474 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CCCCC1 ZINC000939186203 716163901 /nfs/dbraw/zinc/16/39/01/716163901.db2.gz DJIRYLDQEGUABK-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132435 716642516 /nfs/dbraw/zinc/64/25/16/716642516.db2.gz YUXIKCICONVJTC-SNVBAGLBSA-N -1 1 307.325 1.381 20 0 DDADMM CCCC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964847343 717452295 /nfs/dbraw/zinc/45/22/95/717452295.db2.gz DWDMHQYTNLNXEL-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000943934372 718227056 /nfs/dbraw/zinc/22/70/56/718227056.db2.gz MHKMZGMBKYKSNA-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C1CC1 ZINC000945291712 718436968 /nfs/dbraw/zinc/43/69/68/718436968.db2.gz ZJEQVKQRBJYSPE-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1CN(C(=O)C2(C)CC2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945357079 718447307 /nfs/dbraw/zinc/44/73/07/718447307.db2.gz LSMGKNPWEWEPMH-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1=CCCC1 ZINC000966350455 718523388 /nfs/dbraw/zinc/52/33/88/718523388.db2.gz QTRISWISPLXSTR-YPMHNXCESA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946536224 718823119 /nfs/dbraw/zinc/82/31/19/718823119.db2.gz VIBFIKFJUHGSNT-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C(=O)N1CCC[C@H](N(C)C(=O)c2ncccc2[O-])CC1 ZINC000967523001 718923913 /nfs/dbraw/zinc/92/39/13/718923913.db2.gz FBRGPMYVIQSUIN-ZDUSSCGKSA-N -1 1 319.405 1.896 20 0 DDADMM CC1(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCCCC1 ZINC000969811008 720302449 /nfs/dbraw/zinc/30/24/49/720302449.db2.gz GZCIUZKJRZKJEC-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1CC12CCC2 ZINC000949975673 720435866 /nfs/dbraw/zinc/43/58/66/720435866.db2.gz APYIELZUTBMFQC-VXGBXAGGSA-N -1 1 315.373 1.308 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@@H]([N@@H+](C)Cc3cccnc3)C2)c1[O-] ZINC000971360578 721256855 /nfs/dbraw/zinc/25/68/55/721256855.db2.gz LHQBHYCHBPLIOO-CYBMUJFWSA-N -1 1 315.377 1.165 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@@H](N(C)Cc3cccnc3)C2)c1[O-] ZINC000971360578 721256859 /nfs/dbraw/zinc/25/68/59/721256859.db2.gz LHQBHYCHBPLIOO-CYBMUJFWSA-N -1 1 315.377 1.165 20 0 DDADMM Cc1nc(CN(C)[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)co1 ZINC000971395435 721276266 /nfs/dbraw/zinc/27/62/66/721276266.db2.gz VXNJJCXMOBCPNB-CYBMUJFWSA-N -1 1 316.361 1.430 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])C1CC2(CC2)C1 ZINC000952022226 721287333 /nfs/dbraw/zinc/28/73/33/721287333.db2.gz VJXRYYNXWHMLKC-GFCCVEGCSA-N -1 1 315.373 1.308 20 0 DDADMM O=C(c1ncccc1[O-])N1[C@@H]2CC[C@H]1CN(C(=O)C1CC1)CC2 ZINC000952529434 721469155 /nfs/dbraw/zinc/46/91/55/721469155.db2.gz XDQGTSFWYOHBSK-OLZOCXBDSA-N -1 1 315.373 1.403 20 0 DDADMM CC(=O)N1CC[C@@]2(C1)CCCN(C(=O)c1ncccc1[O-])C2 ZINC000952813507 721511306 /nfs/dbraw/zinc/51/13/06/721511306.db2.gz YAHFFBJMXURLCI-MRXNPFEDSA-N -1 1 303.362 1.262 20 0 DDADMM Cc1n[nH]c(C(=O)NCCNCc2c(C)cccc2Cl)c1[O-] ZINC001125734253 735447677 /nfs/dbraw/zinc/44/76/77/735447677.db2.gz LYJINPROJODFEQ-UHFFFAOYSA-N -1 1 322.796 1.905 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CCOC2(C)C)c1Cl ZINC000693129835 733098644 /nfs/dbraw/zinc/09/86/44/733098644.db2.gz QDMAGKXGPLZIRU-QMMMGPOBSA-N -1 1 307.803 1.167 20 0 DDADMM CO[C@H](C[N@@H+]1CC[C@@H]1CNC(=O)c1ncccc1[O-])C1CCC1 ZINC001038190719 733153018 /nfs/dbraw/zinc/15/30/18/733153018.db2.gz DJAXCHXLTCPURK-UKRRQHHQSA-N -1 1 319.405 1.406 20 0 DDADMM Cc1ncoc1CN1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038193465 733196140 /nfs/dbraw/zinc/19/61/40/733196140.db2.gz JNONKUSSHMWLLC-NSHDSACASA-N -1 1 302.334 1.088 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CCOCC1 ZINC000692878410 738735679 /nfs/dbraw/zinc/73/56/79/738735679.db2.gz FPDKCIXRVYAZAF-QMMMGPOBSA-N -1 1 322.789 1.968 20 0 DDADMM CC(=O)N1CCC([C@H]2CCCN(Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001075443140 734656983 /nfs/dbraw/zinc/65/69/83/734656983.db2.gz IFTOFJSMNZCHTB-ZDUSSCGKSA-N -1 1 321.425 1.371 20 0 DDADMM Cc1cc2c(cc1C)N(c1nnc(Cc3nnn[n-]3)n1C)CC2 ZINC001121408426 782496214 /nfs/dbraw/zinc/49/62/14/782496214.db2.gz HZLLYAZERCHARU-UHFFFAOYSA-N -1 1 310.365 1.230 20 0 DDADMM Cc1cc2c(cc1C)N(c1nnc(Cc3nn[n-]n3)n1C)CC2 ZINC001121408426 782496217 /nfs/dbraw/zinc/49/62/17/782496217.db2.gz HZLLYAZERCHARU-UHFFFAOYSA-N -1 1 310.365 1.230 20 0 DDADMM Cc1ccnc(NC[C@H](C)N(C)C(=O)c2ncccc2[O-])n1 ZINC001104535942 736198982 /nfs/dbraw/zinc/19/89/82/736198982.db2.gz DGVBYCWQBXUEIJ-NSHDSACASA-N -1 1 301.350 1.458 20 0 DDADMM O=C(N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1(C2CC2)CC1 ZINC001024994687 736221801 /nfs/dbraw/zinc/22/18/01/736221801.db2.gz JTKJQDZXVZUJCY-GFCCVEGCSA-N -1 1 319.409 1.171 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025048836 736254834 /nfs/dbraw/zinc/25/48/34/736254834.db2.gz LYIRQUQHINWIGJ-VXGBXAGGSA-N -1 1 321.425 1.417 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025048835 736254986 /nfs/dbraw/zinc/25/49/86/736254986.db2.gz LYIRQUQHINWIGJ-NEPJUHHUSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(N[C@@H]1C[C@@H](NC(=O)C2CC2)C12CCC2)c1ncccc1[O-] ZINC001025852361 736897295 /nfs/dbraw/zinc/89/72/95/736897295.db2.gz SCEUKKMAZNQRIY-CHWSQXEVSA-N -1 1 315.373 1.354 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974057941 737352046 /nfs/dbraw/zinc/35/20/46/737352046.db2.gz TVDAOQDHJWNEPK-WHOHXGKFSA-N -1 1 303.362 1.210 20 0 DDADMM O=C(NCCNCc1nnc(C2CC2)s1)c1ncccc1[O-] ZINC001126124543 738342657 /nfs/dbraw/zinc/34/26/57/738342657.db2.gz BOSGWVUIHHCRCE-UHFFFAOYSA-N -1 1 319.390 1.036 20 0 DDADMM Cc1nsc(N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001058879026 739007085 /nfs/dbraw/zinc/00/70/85/739007085.db2.gz JHMZRBYHYDJIRS-VIFPVBQESA-N -1 1 305.363 1.274 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2ccc(C(N)=O)nc2)c1 ZINC001203435681 739903122 /nfs/dbraw/zinc/90/31/22/739903122.db2.gz MWNLEPQXJATTPX-UHFFFAOYSA-N -1 1 321.358 1.423 20 0 DDADMM O=C(C1CC=CC1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088285583 741328621 /nfs/dbraw/zinc/32/86/21/741328621.db2.gz HXHQIQIRVXXDBY-CYBMUJFWSA-N -1 1 319.409 1.337 20 0 DDADMM CN(C(=O)c1c(Cl)ccnc1Br)c1nn[n-]n1 ZINC001168358585 742288322 /nfs/dbraw/zinc/28/83/22/742288322.db2.gz JGEXFTCIYCZSQT-UHFFFAOYSA-N -1 1 317.534 1.287 20 0 DDADMM CC/C=C(/C)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244264 742623414 /nfs/dbraw/zinc/62/34/14/742623414.db2.gz OMHUWRGXXHLCQT-DSDFTUOUSA-N -1 1 305.378 1.768 20 0 DDADMM Cc1cc(C(=O)NCC[C@H](C)NC(=O)c2ncccc2[O-])co1 ZINC001076270546 742636343 /nfs/dbraw/zinc/63/63/43/742636343.db2.gz PHEFZMJUTLNXRT-JTQLQIEISA-N -1 1 317.345 1.627 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)Cc1ccc(O)cc1F ZINC001180648367 742872706 /nfs/dbraw/zinc/87/27/06/742872706.db2.gz QFDFMZLTFQAODB-UHFFFAOYSA-N -1 1 308.225 1.312 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)CCn1ccc2ccccc21 ZINC001180665990 742886307 /nfs/dbraw/zinc/88/63/07/742886307.db2.gz WTTLNAQPSCWAEC-UHFFFAOYSA-N -1 1 313.317 1.454 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002826871 743482529 /nfs/dbraw/zinc/48/25/29/743482529.db2.gz WHYANKATYXNUSA-NWDGAFQWSA-N -1 1 323.368 1.598 20 0 DDADMM C[C@@]1(C(=O)NCCCC[P@](=O)([O-])O)CC1(Cl)Cl ZINC001182144732 743498294 /nfs/dbraw/zinc/49/82/94/743498294.db2.gz XCDAOSOQOFXLDP-QMMMGPOBSA-N -1 1 304.110 1.644 20 0 DDADMM CSc1nc(NC(=O)[C@H]2NC(=O)c3ccccc32)cc(=O)[n-]1 ZINC001182418723 743621156 /nfs/dbraw/zinc/62/11/56/743621156.db2.gz UTTDEPZRZDBXIG-NSHDSACASA-N -1 1 316.342 1.327 20 0 DDADMM CC[C@H](C)CCCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001182873240 743741917 /nfs/dbraw/zinc/74/19/17/743741917.db2.gz CQWFFHFKOXVUII-QWHCGFSZSA-N -1 1 323.441 1.807 20 0 DDADMM CC(C)(C(=O)NCCCC[P@](=O)([O-])O)c1ccc(O)cc1 ZINC001183780645 743909748 /nfs/dbraw/zinc/90/97/48/743909748.db2.gz OACKAALKJNYQIX-UHFFFAOYSA-N -1 1 315.306 1.744 20 0 DDADMM COC(=O)N[C@H](C(=O)Nc1cc(=O)[n-]c(SC)n1)C(C)C ZINC001183942906 743932681 /nfs/dbraw/zinc/93/26/81/743932681.db2.gz CLJYQLKSOGSUDF-VIFPVBQESA-N -1 1 314.367 1.223 20 0 DDADMM O=C(NC1CN(Cc2cnc(Cl)s2)C1)c1ncccc1[O-] ZINC001030239186 743974623 /nfs/dbraw/zinc/97/46/23/743974623.db2.gz DVGMNZBKNVFCNA-UHFFFAOYSA-N -1 1 324.793 1.511 20 0 DDADMM O=C(NCCNC(=O)c1ccc([O-])c(F)c1)c1ccncc1 ZINC001186237610 744362348 /nfs/dbraw/zinc/36/23/48/744362348.db2.gz UIFRUWNVRXQQAO-UHFFFAOYSA-N -1 1 303.293 1.086 20 0 DDADMM CCOC(=O)c1ncc(NC(=O)c2ccc([O-])cc2F)cn1 ZINC001186318816 744373505 /nfs/dbraw/zinc/37/35/05/744373505.db2.gz QELFYFWXMXKFHO-UHFFFAOYSA-N -1 1 305.265 1.750 20 0 DDADMM COc1ccc2c(c1)[C@H]([N-]S(=O)(=O)c1cnoc1C)CCO2 ZINC001187412480 744558627 /nfs/dbraw/zinc/55/86/27/744558627.db2.gz ZVHITODSTWYFQL-GFCCVEGCSA-N -1 1 324.358 1.794 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992055290 744565124 /nfs/dbraw/zinc/56/51/24/744565124.db2.gz FWONDVTUSXSHHP-POOIEITISA-N -1 1 317.389 1.458 20 0 DDADMM O=C(N[C@H]1CCc2c1cccc2O)c1n[n-]nc1C(F)(F)F ZINC001187774776 744600759 /nfs/dbraw/zinc/60/07/59/744600759.db2.gz XFBBTLUJDUNPQJ-QMMMGPOBSA-N -1 1 312.251 1.946 20 0 DDADMM CCOC(=O)[C@H](CC1CCC1)[N-]S(=O)(=O)c1nccs1 ZINC001187917578 744629646 /nfs/dbraw/zinc/62/96/46/744629646.db2.gz CMFWPBOUNSQUTQ-JTQLQIEISA-N -1 1 318.420 1.543 20 0 DDADMM Cc1cccnc1C1(C[N-]S(=O)(=O)c2nccs2)CC1 ZINC001187920575 744635381 /nfs/dbraw/zinc/63/53/81/744635381.db2.gz MFDNMKDGJKXTDM-UHFFFAOYSA-N -1 1 309.416 1.857 20 0 DDADMM CN(C)c1nc(NC(=O)c2cc(C3CC3)n[nH]2)c(N=O)c(=O)[n-]1 ZINC001188388772 744697177 /nfs/dbraw/zinc/69/71/77/744697177.db2.gz SDPWQHFCVHWSFA-UHFFFAOYSA-N -1 1 317.309 1.499 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cccc2ncc(F)cc12 ZINC001188393736 744698039 /nfs/dbraw/zinc/69/80/39/744698039.db2.gz MOGDJJYHZUQOER-UHFFFAOYSA-N -1 1 312.322 1.679 20 0 DDADMM COC(=O)c1scnc1[N-]C(=O)c1cc(F)nc(F)c1F ZINC001189166598 744844329 /nfs/dbraw/zinc/84/43/29/744844329.db2.gz NAQNSUXNAGWCMM-UHFFFAOYSA-N -1 1 317.248 1.994 20 0 DDADMM Cc1nn(C)cc1[N-]S(=O)(=O)Cc1cccc(F)c1F ZINC001189419423 744892509 /nfs/dbraw/zinc/89/25/09/744892509.db2.gz GQFOMUVCAHULGP-UHFFFAOYSA-N -1 1 301.318 1.949 20 0 DDADMM O=C(Nc1c[nH]ccc1=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190260678 745166129 /nfs/dbraw/zinc/16/61/29/745166129.db2.gz KDSSNIBJRKIHIF-UHFFFAOYSA-N -1 1 308.297 1.790 20 0 DDADMM O=C(NCc1cccnn1)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190268251 745167964 /nfs/dbraw/zinc/16/79/64/745167964.db2.gz UQIWXWKEUDEZCM-UHFFFAOYSA-N -1 1 307.313 1.569 20 0 DDADMM Cn1ccnc1CCNC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190268913 745168545 /nfs/dbraw/zinc/16/85/45/745168545.db2.gz BIWXJDZDNJTGBZ-UHFFFAOYSA-N -1 1 323.356 1.555 20 0 DDADMM Cc1ncncc1C[N-]S(=O)(=O)c1cc(F)cc(F)c1F ZINC001190419217 745214711 /nfs/dbraw/zinc/21/47/11/745214711.db2.gz CUPWYLNPAAMJSL-UHFFFAOYSA-N -1 1 317.292 1.681 20 0 DDADMM CSc1nc(NC(=O)c2cncc(N(C)C)n2)cc(=O)[n-]1 ZINC001190719212 745304389 /nfs/dbraw/zinc/30/43/89/745304389.db2.gz NYBJDOAKOHZGNJ-UHFFFAOYSA-N -1 1 306.351 1.012 20 0 DDADMM COC(=O)c1cc(F)c(NS(=O)(=O)c2ncc[n-]2)cc1F ZINC001190730161 745309827 /nfs/dbraw/zinc/30/98/27/745309827.db2.gz SSAIUHWJAXOWLO-UHFFFAOYSA-N -1 1 317.273 1.275 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccncc2)cc(OC)c1OC ZINC001190893056 745370108 /nfs/dbraw/zinc/37/01/08/745370108.db2.gz BNFBSKFRXFMGTL-UHFFFAOYSA-N -1 1 324.358 1.908 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@](C)(F)[C@@H](F)C2)c(=O)[n-]1 ZINC001191429172 745509357 /nfs/dbraw/zinc/50/93/57/745509357.db2.gz WGONFBAUQOKDSH-QPUJVOFHSA-N -1 1 303.334 1.816 20 0 DDADMM CSc1ncc(C(=O)NC2(c3ncccn3)CC2)c(=O)[n-]1 ZINC001191441231 745513818 /nfs/dbraw/zinc/51/38/18/745513818.db2.gz RAWRSEAAMTYRDV-UHFFFAOYSA-N -1 1 303.347 1.113 20 0 DDADMM CSc1nc(NS(=O)(=O)CC2CCCC2)cc(=O)[n-]1 ZINC001191490573 745522313 /nfs/dbraw/zinc/52/23/13/745522313.db2.gz XQZLWEVUOZGBGR-UHFFFAOYSA-N -1 1 303.409 1.836 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@H](O)C1)C(Cl)(Cl)Cl ZINC001192049958 745671801 /nfs/dbraw/zinc/67/18/01/745671801.db2.gz OIQDQVJAHWUTLM-BQBZGAKWSA-N -1 1 310.630 1.785 20 0 DDADMM Cn1ccc(C[N-]S(=O)(=O)C(Cl)(Cl)Cl)cc1=O ZINC001192043426 745680825 /nfs/dbraw/zinc/68/08/25/745680825.db2.gz VBEJUYXCWFIPRN-UHFFFAOYSA-N -1 1 319.597 1.132 20 0 DDADMM NS(=O)(=O)c1cccc(NC(=O)c2ccc([O-])cc2F)c1 ZINC000232385272 745682694 /nfs/dbraw/zinc/68/26/94/745682694.db2.gz SQUGZNIHXNRNRZ-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cccc(-c2cccnc2)n1 ZINC001192177138 745720043 /nfs/dbraw/zinc/72/00/43/745720043.db2.gz WNYQSRNRWKAGKW-UHFFFAOYSA-N -1 1 324.300 1.179 20 0 DDADMM C[C@H]1COCCN1CCNC(=O)c1cc([O-])cc(F)c1F ZINC001192683487 745862024 /nfs/dbraw/zinc/86/20/24/745862024.db2.gz DNHYRKFTQNUABW-VIFPVBQESA-N -1 1 300.305 1.121 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(C)nc(Cl)n3)c1-2 ZINC001192803564 745898974 /nfs/dbraw/zinc/89/89/74/745898974.db2.gz YDYNMDLEOSZUAB-UHFFFAOYSA-N -1 1 303.713 1.039 20 0 DDADMM CCN(CCNc1ncc(F)cn1)C(=O)c1ncccc1[O-] ZINC001106727502 745971449 /nfs/dbraw/zinc/97/14/49/745971449.db2.gz RULYZSDSRVWTPH-UHFFFAOYSA-N -1 1 305.313 1.291 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)c(F)c1 ZINC001193275169 746042022 /nfs/dbraw/zinc/04/20/22/746042022.db2.gz RZGDPTBLCCLQFO-JTQLQIEISA-N -1 1 316.354 1.106 20 0 DDADMM Cc1ccc2c(c1)[C@@H]([N-]S(=O)(=O)C[C@H]1CCCO1)C(=O)N2 ZINC001193280180 746045696 /nfs/dbraw/zinc/04/56/96/746045696.db2.gz OHHIWMMWINPEKW-ZWNOBZJWSA-N -1 1 310.375 1.087 20 0 DDADMM COc1nc(C)c(C(=O)Nc2cc(=O)[n-]c(SC)n2)s1 ZINC001193483261 746117240 /nfs/dbraw/zinc/11/72/40/746117240.db2.gz SJVPNHADYJUFLK-UHFFFAOYSA-N -1 1 312.376 1.930 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(=O)[nH][n-]2)[C@@H](C)CN1Cc1ccccc1 ZINC001193456782 746121155 /nfs/dbraw/zinc/12/11/55/746121155.db2.gz KLIHNNDHGYOXPB-OLZOCXBDSA-N -1 1 314.389 1.850 20 0 DDADMM COc1ccnc(C(=O)Nc2cccc(CC(N)=O)c2)c1[O-] ZINC001193531647 746121574 /nfs/dbraw/zinc/12/15/74/746121574.db2.gz MSZIGJYNRDFOEN-UHFFFAOYSA-N -1 1 301.302 1.076 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000993930990 746379352 /nfs/dbraw/zinc/37/93/52/746379352.db2.gz RNBILCUPTBVJKT-UDLQBDOPSA-N -1 1 317.389 1.578 20 0 DDADMM COc1c(Cl)c(Cl)sc1C(=O)NCc1nn[n-]n1 ZINC001194563009 746399696 /nfs/dbraw/zinc/39/96/96/746399696.db2.gz AGHGCSDEWWLQJO-UHFFFAOYSA-N -1 1 308.150 1.507 20 0 DDADMM C[C@@H]1COC[C@H]1NC(=O)c1ccc(Br)c([O-])c1 ZINC000699900434 746522320 /nfs/dbraw/zinc/52/23/20/746522320.db2.gz FIQOILVNTKWLHA-GMSGAONNSA-N -1 1 300.152 1.919 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc(Cl)[nH]c1=O ZINC001195127932 746534098 /nfs/dbraw/zinc/53/40/98/746534098.db2.gz NEJIBIQJQIZBES-UHFFFAOYSA-N -1 1 307.619 1.830 20 0 DDADMM COc1nc(NC(=O)c2cc([O-])cnc2Cl)c(C)c(OC)n1 ZINC001195299381 746570678 /nfs/dbraw/zinc/57/06/78/746570678.db2.gz OCNKOJKGRLSKGZ-UHFFFAOYSA-N -1 1 324.724 1.809 20 0 DDADMM CSc1nc(NC(=O)c2cc([O-])cnc2Cl)cc(=O)[nH]1 ZINC001195298276 746570791 /nfs/dbraw/zinc/57/07/91/746570791.db2.gz UCJKREFSUBKURU-UHFFFAOYSA-N -1 1 312.738 1.910 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1C[C@@H](CO)CC(F)(F)C1 ZINC001195299881 746570883 /nfs/dbraw/zinc/57/08/83/746570883.db2.gz VGNTZZKFKMNCQO-ZETCQYMHSA-N -1 1 306.696 1.530 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2ccc(S(C)(=O)=O)nc2C)n1 ZINC001195319525 746576263 /nfs/dbraw/zinc/57/62/63/746576263.db2.gz SQFBIPHSSDAGCH-UHFFFAOYSA-N -1 1 321.358 1.455 20 0 DDADMM O=C(Nc1n[nH]cc1Br)c1c[nH]c(=S)[n-]c1=O ZINC001196008430 746754219 /nfs/dbraw/zinc/75/42/19/746754219.db2.gz CJVXONKBQPVYDB-UHFFFAOYSA-N -1 1 316.140 1.209 20 0 DDADMM COc1cc(OC)c(NC(=O)c2c[nH]c(=S)[n-]c2=O)cn1 ZINC001196014178 746756596 /nfs/dbraw/zinc/75/65/96/746756596.db2.gz NXFPKOCCAYHHCS-UHFFFAOYSA-N -1 1 308.319 1.135 20 0 DDADMM CC1(C)OCCC12CCN(C(=O)c1c[nH]c(=S)[n-]c1=O)CC2 ZINC001196015723 746757627 /nfs/dbraw/zinc/75/76/27/746757627.db2.gz HDXKIAWKBPVVIG-UHFFFAOYSA-N -1 1 323.418 1.892 20 0 DDADMM Cc1ccc2c(c1NC(=O)c1c[nH]c(=S)[n-]c1=O)CNC2=O ZINC001196015734 746757703 /nfs/dbraw/zinc/75/77/03/746757703.db2.gz HNRYDBSAEANXMI-UHFFFAOYSA-N -1 1 316.342 1.275 20 0 DDADMM O=C(Nc1ccc(C2OCCO2)cc1)c1c[nH]c(=S)[n-]c1=O ZINC001196021502 746759192 /nfs/dbraw/zinc/75/91/92/746759192.db2.gz RCKBLJYIFQBSHN-UHFFFAOYSA-N -1 1 319.342 1.769 20 0 DDADMM CS(=O)(=O)c1cccc(C(=O)[N-]c2noc3cccnc23)n1 ZINC001196404786 746862184 /nfs/dbraw/zinc/86/21/84/746862184.db2.gz AKJCSRYWSOYWJW-UHFFFAOYSA-N -1 1 318.314 1.274 20 0 DDADMM CC(C)C(=O)NC[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC001060716095 746881689 /nfs/dbraw/zinc/88/16/89/746881689.db2.gz WORJRFSBGZXRMJ-JOCQHMNTSA-N -1 1 319.405 1.848 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cnccc1OC ZINC001196914978 747008491 /nfs/dbraw/zinc/00/84/91/747008491.db2.gz PIKMGEGBDSOPOG-UHFFFAOYSA-N -1 1 302.352 1.175 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)Cc2cccnc2)cc1F ZINC001197463743 747165827 /nfs/dbraw/zinc/16/58/27/747165827.db2.gz CVWXLQYYBLDXNE-UHFFFAOYSA-N -1 1 323.349 1.522 20 0 DDADMM O=C1OCc2c1cccc2[N-]S(=O)(=O)Cc1cccnc1 ZINC001197459058 747179450 /nfs/dbraw/zinc/17/94/50/747179450.db2.gz UXCGMMAKKIJCKJ-UHFFFAOYSA-N -1 1 304.327 1.694 20 0 DDADMM O=C(NCC1C[NH+](C[C@@H]2CCCCO2)C1)c1ncccc1[O-] ZINC001031628294 747252828 /nfs/dbraw/zinc/25/28/28/747252828.db2.gz GVMVTNGWGGYFHZ-ZDUSSCGKSA-N -1 1 305.378 1.018 20 0 DDADMM COc1cc(NS(=O)(=O)c2cnc(C)n2C)ccc1[S-] ZINC001198404189 747481060 /nfs/dbraw/zinc/48/10/60/747481060.db2.gz QBVJUHXXADMAEB-UHFFFAOYSA-N -1 1 313.404 1.827 20 0 DDADMM CCN(c1cccc(F)n1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001089637169 747530392 /nfs/dbraw/zinc/53/03/92/747530392.db2.gz MJCLIXLXZNUGED-LLVKDONJSA-N -1 1 318.352 1.966 20 0 DDADMM CSc1nc(NC(=O)c2c(C)nc3ncccn32)cc(=O)[n-]1 ZINC001198716475 747594386 /nfs/dbraw/zinc/59/43/86/747594386.db2.gz CIEFESFTSNLDRV-UHFFFAOYSA-N -1 1 316.346 1.508 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)N1CCC(N2CCC2)CC1 ZINC001198771272 747603830 /nfs/dbraw/zinc/60/38/30/747603830.db2.gz GDXHHEQKTVHIHQ-UHFFFAOYSA-N -1 1 324.402 1.244 20 0 DDADMM O=C(NC[C@H]1CCCN1c1cccc(F)n1)c1ncccc1[O-] ZINC001061345010 747684213 /nfs/dbraw/zinc/68/42/13/747684213.db2.gz XZFGZLWUEKBFGA-LLVKDONJSA-N -1 1 316.336 1.720 20 0 DDADMM O=C([N-]CCNC(=O)C1=CC=CC1)C(F)(F)Br ZINC001199701968 747973607 /nfs/dbraw/zinc/97/36/07/747973607.db2.gz XEHXKHVRDATZDF-UHFFFAOYSA-N -1 1 309.110 1.093 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CC[N@@H+](Cc2ccccn2)C1 ZINC001033026286 747974927 /nfs/dbraw/zinc/97/49/27/747974927.db2.gz VAMAXWZJKALLGA-CQSZACIVSA-N -1 1 312.373 1.529 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(Cc2cncs2)C1 ZINC001033036895 748005714 /nfs/dbraw/zinc/00/57/14/748005714.db2.gz VYIUXPVCHJONLU-NSHDSACASA-N -1 1 318.402 1.590 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-]c2cn(C)nc2C)cc1 ZINC001201095555 748409402 /nfs/dbraw/zinc/40/94/02/748409402.db2.gz VCAYTQAXBBQFHS-UHFFFAOYSA-N -1 1 323.374 1.457 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@@H]1CC(C)(C)O1 ZINC001201766124 748598245 /nfs/dbraw/zinc/59/82/45/748598245.db2.gz BERKSFDILIICPJ-ZETCQYMHSA-N -1 1 310.828 1.951 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)C1=CCCC1 ZINC001004817623 748827985 /nfs/dbraw/zinc/82/79/85/748827985.db2.gz OXQAYJXKVWBMQC-WCQYABFASA-N -1 1 319.409 1.480 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1(C)CC1 ZINC001004929633 748935740 /nfs/dbraw/zinc/93/57/40/748935740.db2.gz PTXIJGFAGKUYHB-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1nsc(N(C)[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001056900392 761992968 /nfs/dbraw/zinc/99/29/68/761992968.db2.gz GDHKRMZMVZJKLY-JTQLQIEISA-N -1 1 319.390 1.298 20 0 DDADMM Cc1nsc(N(C)CCCNC(=O)c2ncccc2[O-])n1 ZINC001095526910 750011169 /nfs/dbraw/zinc/01/11/69/750011169.db2.gz OHWKSJGVFSSOPE-UHFFFAOYSA-N -1 1 307.379 1.203 20 0 DDADMM CCOc1cc(NC[C@@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001107690034 750383741 /nfs/dbraw/zinc/38/37/41/750383741.db2.gz IAYJGZLBLVIJHS-SNVBAGLBSA-N -1 1 317.349 1.206 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CC[C@H](NCc3ccon3)CC2)c1[O-] ZINC001034626226 750620771 /nfs/dbraw/zinc/62/07/71/750620771.db2.gz MCGKDOPSEKRHLZ-XYPYZODXSA-N -1 1 319.365 1.243 20 0 DDADMM C[C@H]1C[C@@H](NCC(=O)CCC(=O)[O-])CN1C(=O)OC(C)(C)C ZINC001168828469 762058183 /nfs/dbraw/zinc/05/81/83/762058183.db2.gz HARCPHKTKPNQNQ-WDEREUQCSA-N -1 1 314.382 1.408 20 0 DDADMM CN(C)c1nc(Nc2cccnc2N2CCOCC2)cc(=O)[n-]1 ZINC001212354092 751079741 /nfs/dbraw/zinc/07/97/41/751079741.db2.gz BEDGWTLBARRZTQ-UHFFFAOYSA-N -1 1 316.365 1.223 20 0 DDADMM CC(=O)N[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1(C)C ZINC001007761139 752325693 /nfs/dbraw/zinc/32/56/93/752325693.db2.gz JZQDOJXUPRRPEP-GFCCVEGCSA-N -1 1 318.377 1.046 20 0 DDADMM CC[C@H](F)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008063197 752528158 /nfs/dbraw/zinc/52/81/58/752528158.db2.gz JTIRIVWBCDAAFC-JQWIXIFHSA-N -1 1 323.368 1.502 20 0 DDADMM O=C(C1CCCC1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036622258 752578731 /nfs/dbraw/zinc/57/87/31/752578731.db2.gz HWVPUYRVGUYWFU-STQMWFEESA-N -1 1 319.409 1.123 20 0 DDADMM O=C(CC1CC1)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062388203 752942967 /nfs/dbraw/zinc/94/29/67/752942967.db2.gz HQBQGRDHEMHFCO-UHFFFAOYSA-N -1 1 303.362 1.356 20 0 DDADMM O=C(NCC1(NC(=O)c2ncccc2[O-])CCC1)c1ccco1 ZINC001062409385 752972278 /nfs/dbraw/zinc/97/22/78/752972278.db2.gz BFQBRORQSNWXOE-UHFFFAOYSA-N -1 1 315.329 1.463 20 0 DDADMM Cc1cc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)on1 ZINC001010724032 754123490 /nfs/dbraw/zinc/12/34/90/754123490.db2.gz YISRBGQNAAUFCZ-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM CCc1nocc1CN1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010730000 754127961 /nfs/dbraw/zinc/12/79/61/754127961.db2.gz FTBUDFDWNKVLPK-LBPRGKRZSA-N -1 1 316.361 1.342 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035332 754318206 /nfs/dbraw/zinc/31/82/06/754318206.db2.gz FCEWJMFKAAXDNE-UHFFFAOYSA-N -1 1 319.405 1.754 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC001011036124 754319033 /nfs/dbraw/zinc/31/90/33/754319033.db2.gz CISXAZRXLMZKPO-ITGUQSILSA-N -1 1 315.373 1.118 20 0 DDADMM CCC(=O)N[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]1C ZINC001011759245 754705004 /nfs/dbraw/zinc/70/50/04/754705004.db2.gz BAABINMMQFKCRQ-CABZTGNLSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)CC2CC2)CN1C(=O)c1ncccc1[O-] ZINC001012507899 755113747 /nfs/dbraw/zinc/11/37/47/755113747.db2.gz XFIOMCGEGKPWOV-CMPLNLGQSA-N -1 1 303.362 1.307 20 0 DDADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])C1CC1 ZINC001000942156 762390236 /nfs/dbraw/zinc/39/02/36/762390236.db2.gz OGXAWUWPLYAJMP-UTUOFQBUSA-N -1 1 301.346 1.059 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(C)=O)CC1 ZINC001016058205 756744584 /nfs/dbraw/zinc/74/45/84/756744584.db2.gz WZHBQJZXJDYQGX-ZDUSSCGKSA-N -1 1 305.378 1.650 20 0 DDADMM CSc1ccnc(N[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097765078 757510888 /nfs/dbraw/zinc/51/08/88/757510888.db2.gz NESWDBFWKNMIMN-SECBINFHSA-N -1 1 319.390 1.530 20 0 DDADMM CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001018175077 758602955 /nfs/dbraw/zinc/60/29/55/758602955.db2.gz LCXDDIRMLLYPDQ-AOOOYVTPSA-N -1 1 302.334 1.237 20 0 DDADMM Cc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c(Cl)c1 ZINC000825159221 759227631 /nfs/dbraw/zinc/22/76/31/759227631.db2.gz SKKCDQXSVUIVPR-LLVKDONJSA-N -1 1 307.741 1.375 20 0 DDADMM Cc1ccsc1C(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054341301 759511782 /nfs/dbraw/zinc/51/17/82/759511782.db2.gz YXZFZSOPHAJWPG-ZJUUUORDSA-N -1 1 321.406 1.131 20 0 DDADMM CN(C(=O)CCNC(=O)c1ccc2ccccc2c1)c1nn[n-]n1 ZINC001131169366 767916810 /nfs/dbraw/zinc/91/68/10/767916810.db2.gz HHKJUNMKEVXAPT-UHFFFAOYSA-N -1 1 324.344 1.136 20 0 DDADMM Cc1cc(N2CCN(C(=O)c3ncccc3[O-])CC2)nc(C)n1 ZINC001055776669 760716012 /nfs/dbraw/zinc/71/60/12/760716012.db2.gz DVDAMABFUSSVTC-UHFFFAOYSA-N -1 1 313.361 1.156 20 0 DDADMM Cc1cc(CN[C@H]2C[C@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)on1 ZINC001086341705 761448730 /nfs/dbraw/zinc/44/87/30/761448730.db2.gz DUKUKFRNRQMLET-XYPYZODXSA-N -1 1 319.365 1.018 20 0 DDADMM O=C(NCC1CN(C(=O)c2ccsc2)C1)c1ncccc1[O-] ZINC001001536279 762943219 /nfs/dbraw/zinc/94/32/19/762943219.db2.gz HZYXYLSULSCGEG-UHFFFAOYSA-N -1 1 317.370 1.351 20 0 DDADMM O=C(CCC1CC1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001538249 762944999 /nfs/dbraw/zinc/94/49/99/762944999.db2.gz SHMDONPTVKCVRF-UHFFFAOYSA-N -1 1 303.362 1.166 20 0 DDADMM Cc1cc(N(C)C[C@H](C)NC(=O)c2ncccc2[O-])nc(C)n1 ZINC001108933973 763144312 /nfs/dbraw/zinc/14/43/12/763144312.db2.gz ZUYDPDSSSPMXKL-NSHDSACASA-N -1 1 315.377 1.449 20 0 DDADMM Cc1nsc(N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C)n1 ZINC001050206641 763321516 /nfs/dbraw/zinc/32/15/16/763321516.db2.gz TYCLNMLEVBDBAM-SCZZXKLOSA-N -1 1 319.390 1.344 20 0 DDADMM O=C(C[C@H]1C=CCCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045088150 766086967 /nfs/dbraw/zinc/08/69/67/766086967.db2.gz DPSVVPMQWSIDGZ-STQMWFEESA-N -1 1 319.409 1.337 20 0 DDADMM CC1(C)CC(CC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001045167455 766131191 /nfs/dbraw/zinc/13/11/91/766131191.db2.gz XVBXHQCHKPVIQG-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1csc2c1ncnc2Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170213444 766172788 /nfs/dbraw/zinc/17/27/88/766172788.db2.gz IMNIBSWEWHNBKZ-UHFFFAOYSA-N -1 1 315.318 1.772 20 0 DDADMM CN(C)c1nc(Nc2[n-]c(=O)nc3nc[nH]c32)nc2ccccc21 ZINC001170226144 766200135 /nfs/dbraw/zinc/20/01/35/766200135.db2.gz DAPLDIMEPAUXAU-UHFFFAOYSA-N -1 1 322.332 1.811 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CC(C)(C)C ZINC001071953282 770338951 /nfs/dbraw/zinc/33/89/51/770338951.db2.gz XJQQNPZGSVAIIX-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM CO[C@@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)C(=O)OC(C)(C)C ZINC001133906750 770431175 /nfs/dbraw/zinc/43/11/75/770431175.db2.gz AAHGPYQUGGMEFD-YFKPBYRVSA-N -1 1 324.259 1.119 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc2sccc2c1 ZINC001136091873 771882362 /nfs/dbraw/zinc/88/23/62/771882362.db2.gz SGLKCJKWWGXART-UHFFFAOYSA-N -1 1 315.354 1.780 20 0 DDADMM Cc1ncc(CNC2CC(CNC(=O)c3[nH]nc(C)c3[O-])C2)o1 ZINC001090983332 772406987 /nfs/dbraw/zinc/40/69/87/772406987.db2.gz VZHOXQLDMYBBIF-UHFFFAOYSA-N -1 1 319.365 1.018 20 0 DDADMM Cc1ccc([C@@H](C)C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001144456395 772538830 /nfs/dbraw/zinc/53/88/30/772538830.db2.gz KIBMPTAEJKPTKH-MRVPVSSYSA-N -1 1 313.317 1.766 20 0 DDADMM O=C(CCc1ccccc1O)NCCCC[P@](=O)([O-])O ZINC001144518318 772555557 /nfs/dbraw/zinc/55/55/57/772555557.db2.gz QUPREDVIHIKNIE-UHFFFAOYSA-N -1 1 301.279 1.399 20 0 DDADMM Cc1ccccc1[C@@H](O)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001144522296 772556578 /nfs/dbraw/zinc/55/65/78/772556578.db2.gz CXKKCJSVAJYFPE-MRVPVSSYSA-N -1 1 300.240 1.804 20 0 DDADMM O=C([O-])c1ccsc1CN1CCN2CC(F)(F)C[C@H]2C1 ZINC001144677633 772593251 /nfs/dbraw/zinc/59/32/51/772593251.db2.gz XSUMSMOVNUFZMI-VIFPVBQESA-N -1 1 302.346 1.972 20 0 DDADMM COc1ccc(F)c(C(=O)[N-]c2ncn(C)c3ncnc2-3)n1 ZINC001147765335 773220960 /nfs/dbraw/zinc/22/09/60/773220960.db2.gz MFLMPKPHJOJERT-UHFFFAOYSA-N -1 1 302.269 1.110 20 0 DDADMM CCCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073738042 773359243 /nfs/dbraw/zinc/35/92/43/773359243.db2.gz RISOJANQXLUYMI-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM COc1ccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cc1O ZINC001148259348 773413785 /nfs/dbraw/zinc/41/37/85/773413785.db2.gz WZKXRIQNGQOXGG-UHFFFAOYSA-N -1 1 302.212 1.790 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CCn4cnnc4C3)cc2)[n-]1 ZINC001148326585 773436770 /nfs/dbraw/zinc/43/67/70/773436770.db2.gz SLDWDSOAMFITNZ-UHFFFAOYSA-N -1 1 324.344 1.047 20 0 DDADMM O=C(N[C@@H]1CCC(=O)NC1=O)c1cccc(-c2ccccc2)c1[O-] ZINC001148870281 773622464 /nfs/dbraw/zinc/62/24/64/773622464.db2.gz RDZWSHGPSXNBGZ-CQSZACIVSA-N -1 1 324.336 1.594 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CCCC1(C)C ZINC001074809388 774157487 /nfs/dbraw/zinc/15/74/87/774157487.db2.gz HZKWNLGCBXCVGX-SRVKXCTJSA-N -1 1 321.425 1.416 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)C1CC(C)(C)C1 ZINC001092586205 774179180 /nfs/dbraw/zinc/17/91/80/774179180.db2.gz AMZNUKPFRKYZRU-ZYHUDNBSSA-N -1 1 321.425 1.131 20 0 DDADMM c1[nH]nc2ncc([N-]c3nonc3-c3cn4ccncc4n3)cc12 ZINC001175402983 777773586 /nfs/dbraw/zinc/77/35/86/777773586.db2.gz JYMACJKJNBNMFY-UHFFFAOYSA-N -1 1 319.288 1.794 20 0 DDADMM Cn1ncc2c1cccc2[N-]S(=O)(=O)c1ccc(O)c(N)c1 ZINC001175436322 777791933 /nfs/dbraw/zinc/79/19/33/777791933.db2.gz CEGYPSMBLOGRTR-UHFFFAOYSA-N -1 1 318.358 1.662 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cnn(Cc2cccnc2)c1 ZINC001176453583 778153163 /nfs/dbraw/zinc/15/31/63/778153163.db2.gz AZTLSRZISLOHOK-UHFFFAOYSA-N -1 1 315.362 1.437 20 0 DDADMM CC(C)CC[C@H](NC(=O)Cc1nn[n-]n1)C(=O)OC(C)(C)C ZINC001176846153 778301084 /nfs/dbraw/zinc/30/10/84/778301084.db2.gz WWGGODSBYUEQFZ-JTQLQIEISA-N -1 1 311.386 1.005 20 0 DDADMM Cn1cnnc1CCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001177430094 778605901 /nfs/dbraw/zinc/60/59/01/778605901.db2.gz RSQWFITWKLVEJY-UHFFFAOYSA-N -1 1 312.333 1.278 20 0 DDADMM COC[C@H](NC(=O)c1cc(F)cc2cccnc21)c1nn[n-]n1 ZINC001177828986 778741091 /nfs/dbraw/zinc/74/10/91/778741091.db2.gz UGCKRQORTSDKCI-NSHDSACASA-N -1 1 316.296 1.005 20 0 DDADMM CC(C)(C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O)c1ncccc1F ZINC001178085529 778891484 /nfs/dbraw/zinc/89/14/84/778891484.db2.gz LXMFGGRMYONODV-UHFFFAOYSA-N -1 1 321.268 1.736 20 0 DDADMM CC(C)(C)NC(=O)CC[N-]C(=O)C(F)(F)C(F)(F)Cl ZINC001179781757 779477052 /nfs/dbraw/zinc/47/70/52/779477052.db2.gz OWEKKNCLRBPTKJ-UHFFFAOYSA-N -1 1 306.687 1.874 20 0 DDADMM C[C@H]1CC[C@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)O1 ZINC000692861916 779491087 /nfs/dbraw/zinc/49/10/87/779491087.db2.gz NKQQMJOMMTXBGO-JGVFFNPUSA-N -1 1 308.762 1.720 20 0 DDADMM CCc1ccc(OCC(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001180517530 779797820 /nfs/dbraw/zinc/79/78/20/779797820.db2.gz HYBSDWCYQCKKQK-UHFFFAOYSA-N -1 1 315.306 1.702 20 0 DDADMM Cc1csc(CCC[N-]S(=O)(=O)c2c(C)onc2N)n1 ZINC001117655709 780874094 /nfs/dbraw/zinc/87/40/94/780874094.db2.gz VVWUQIOYOCZJNR-UHFFFAOYSA-N -1 1 316.408 1.241 20 0 DDADMM CC[C@H](NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1)C1CC1 ZINC001119244897 781377455 /nfs/dbraw/zinc/37/74/55/781377455.db2.gz HWXDIPMAYQJCIB-LBPRGKRZSA-N -1 1 310.781 1.967 20 0 DDADMM O=C([O-])[C@@H]1CC=C[C@@H](NCc2cn(Cc3ccccc3)nn2)C1 ZINC001120002863 781715950 /nfs/dbraw/zinc/71/59/50/781715950.db2.gz ZSHNBSRZVARFLR-HUUCEWRRSA-N -1 1 312.373 1.835 20 0 DDADMM CC(C)N(CCCNC(=O)c1ccoc1)Cc1n[nH]c(=O)[n-]1 ZINC001267231596 837572031 /nfs/dbraw/zinc/57/20/31/837572031.db2.gz FEYPCMBSRMNUFT-UHFFFAOYSA-N -1 1 307.354 1.134 20 0 DDADMM C/C(=C/C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C(C)C)C1CC1 ZINC001267235940 837578988 /nfs/dbraw/zinc/57/89/88/837578988.db2.gz MBASWAVIXMCOJH-XFXZXTDPSA-N -1 1 321.425 1.583 20 0 DDADMM O=S(=O)(Cc1ccccc1F)[N-]c1nccnc1Cl ZINC000396545506 836022655 /nfs/dbraw/zinc/02/26/55/836022655.db2.gz QJQUNWQWZAHVQU-UHFFFAOYSA-N -1 1 301.730 1.633 20 0 DDADMM Cc1coc(C)c1C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001408554315 837241389 /nfs/dbraw/zinc/24/13/89/837241389.db2.gz KDDQOWGVALCNJM-UHFFFAOYSA-N -1 1 317.345 1.499 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CC12CCCC2 ZINC001268487779 840287256 /nfs/dbraw/zinc/28/72/56/840287256.db2.gz KHRGOIKVZWAPFJ-NSHDSACASA-N -1 1 307.398 1.029 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)c1cc2c(cccc2F)[nH]1 ZINC001149284209 861518299 /nfs/dbraw/zinc/51/82/99/861518299.db2.gz PDFVJKQHUUFHLB-UHFFFAOYSA-N -1 1 302.265 1.438 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2cc(O)ccc2[nH]1 ZINC001149495252 861652967 /nfs/dbraw/zinc/65/29/67/861652967.db2.gz JOUOWWJSRPVPDB-UHFFFAOYSA-N -1 1 315.245 1.725 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001149500337 861662390 /nfs/dbraw/zinc/66/23/90/861662390.db2.gz KBWFYGSUWFEKJP-LFAOLKIESA-N -1 1 324.319 1.653 20 0 DDADMM CCC[C@H](C)CC(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001410881290 849906100 /nfs/dbraw/zinc/90/61/00/849906100.db2.gz LETXKMUGNRVFFE-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CC12CCC2 ZINC001411211323 850413378 /nfs/dbraw/zinc/41/33/78/850413378.db2.gz BFRSXOBODZJKGD-GHMZBOCLSA-N -1 1 321.425 1.321 20 0 DDADMM O=S(=O)([N-][C@H]1CCc2ccccc2[C@@H]1O)c1nccs1 ZINC001187912330 851086281 /nfs/dbraw/zinc/08/62/81/851086281.db2.gz FKSCTAAUUFRSHI-RYUDHWBXSA-N -1 1 310.400 1.470 20 0 DDADMM O=C(CCO)C[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC001260472703 899148911 /nfs/dbraw/zinc/14/89/11/899148911.db2.gz ACYOQXJTLMKGGX-UHFFFAOYSA-N -1 1 318.203 1.285 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc2cnccc2nc1C(F)(F)F ZINC001150574976 862327034 /nfs/dbraw/zinc/32/70/34/862327034.db2.gz HVXIDAOXDRFKME-UHFFFAOYSA-N -1 1 323.238 1.092 20 0 DDADMM CCCN1Cc2cccc(NC(=O)c3n[nH]c(=O)[n-]c3=O)c2C1 ZINC001411736179 853656024 /nfs/dbraw/zinc/65/60/24/853656024.db2.gz LYEZHKLQFGLHOY-UHFFFAOYSA-N -1 1 315.333 1.261 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCC[C@@H](OC)C2)n[n-]1 ZINC001412326455 854444061 /nfs/dbraw/zinc/44/40/61/854444061.db2.gz JJMRJHLXOMLSCV-HBNTYKKESA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCC[C@@H](OC)C2)[n-]1 ZINC001412326455 854444064 /nfs/dbraw/zinc/44/40/64/854444064.db2.gz JJMRJHLXOMLSCV-HBNTYKKESA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCC[C@@H](OC)C2)n1 ZINC001412326455 854444069 /nfs/dbraw/zinc/44/40/69/854444069.db2.gz JJMRJHLXOMLSCV-HBNTYKKESA-N -1 1 324.381 1.364 20 0 DDADMM Cc1cc(NC(=O)CCc2nn[n-]n2)ccc1N1CCCC1=O ZINC001412386267 854494435 /nfs/dbraw/zinc/49/44/35/854494435.db2.gz SXQVLAKVBVQGPQ-UHFFFAOYSA-N -1 1 314.349 1.206 20 0 DDADMM CNC(=O)c1ccccc1NC(=O)c1cnc(SC)[n-]c1=O ZINC001412388316 854497978 /nfs/dbraw/zinc/49/79/78/854497978.db2.gz DYJAWAYPRGNTHJ-UHFFFAOYSA-N -1 1 318.358 1.516 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cncc(OC(C)C)c1 ZINC001412526992 854660579 /nfs/dbraw/zinc/66/05/79/854660579.db2.gz HWHIAEYFGPYUQV-UHFFFAOYSA-N -1 1 318.381 1.680 20 0 DDADMM O=C(N[C@@H]1Cc2cccnc2NC1=O)c1cccc([O-])c1F ZINC001412553958 854715463 /nfs/dbraw/zinc/71/54/63/854715463.db2.gz VWCQRNRYXJLAFX-SNVBAGLBSA-N -1 1 301.277 1.220 20 0 DDADMM COC[C@@H](NC(=O)Cc1ccc(C)c(Cl)c1)c1nn[n-]n1 ZINC001412603519 854796764 /nfs/dbraw/zinc/79/67/64/854796764.db2.gz HRBNRZQDGRDOAG-LLVKDONJSA-N -1 1 309.757 1.208 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)COc2cccnc2)[n-]c1=O ZINC001412658444 854893126 /nfs/dbraw/zinc/89/31/26/854893126.db2.gz MGTJTNNBVUEXIA-UHFFFAOYSA-N -1 1 318.333 1.016 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@@H]2CN(C)CC2(C)C)c1 ZINC001412671666 854912807 /nfs/dbraw/zinc/91/28/07/854912807.db2.gz CKTDTDSZDNLGPU-PEBXRYMYSA-N -1 1 324.446 1.259 20 0 DDADMM COCCc1noc(CN(C)C(=O)c2cccc([O-])c2F)n1 ZINC001412676529 854927527 /nfs/dbraw/zinc/92/75/27/854927527.db2.gz BDSOMRLJQQMCMI-UHFFFAOYSA-N -1 1 309.297 1.375 20 0 DDADMM O=C(N[C@@H]1CC[C@@H](O)[C@@H](O)C1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001412710632 854994349 /nfs/dbraw/zinc/99/43/49/854994349.db2.gz YEJFYDGXYBEVPG-UISBYWKRSA-N -1 1 319.279 1.415 20 0 DDADMM O=C(Cc1nc(C2CC2)cs1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001412736578 855047110 /nfs/dbraw/zinc/04/71/10/855047110.db2.gz VDLJSLYVJQKECN-SNVBAGLBSA-N -1 1 318.406 1.482 20 0 DDADMM COC(=O)c1c[n-]c(SCc2nc(COC(C)C)no2)n1 ZINC001412933283 855797555 /nfs/dbraw/zinc/79/75/55/855797555.db2.gz QWEJJPKGEGEPDS-UHFFFAOYSA-N -1 1 312.351 1.797 20 0 DDADMM CS(=O)(=O)C[C@H]1CCCCN1C(=O)c1cccc([O-])c1F ZINC001412981721 855870706 /nfs/dbraw/zinc/87/07/06/855870706.db2.gz KASSKQIYOODZKZ-SNVBAGLBSA-N -1 1 315.366 1.571 20 0 DDADMM CC(C)(NC(=O)CCCc1nn[n-]n1)C(=O)c1ccccc1 ZINC001412997502 855894574 /nfs/dbraw/zinc/89/45/74/855894574.db2.gz FRHNGSZVCUVXNG-UHFFFAOYSA-N -1 1 301.350 1.300 20 0 DDADMM Cc1c(NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)nnn1C ZINC001413104044 856435286 /nfs/dbraw/zinc/43/52/86/856435286.db2.gz KUNPCEZCIUKDSV-UHFFFAOYSA-N -1 1 304.354 1.477 20 0 DDADMM CCOC(=O)Cc1ccnc(NCC[N-]C(=O)C(F)(F)F)c1 ZINC001156204743 862816511 /nfs/dbraw/zinc/81/65/11/862816511.db2.gz IJBCUTUPTWUANC-UHFFFAOYSA-N -1 1 319.283 1.278 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)c2csnn2)sn1 ZINC001413372700 856727103 /nfs/dbraw/zinc/72/71/03/856727103.db2.gz GLYRTLWTCMHESJ-RXMQYKEDSA-N -1 1 306.394 1.043 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C(C)(C)C ZINC001413463750 856844247 /nfs/dbraw/zinc/84/42/47/856844247.db2.gz PPROAWIQPQIZQL-WZRBSPASSA-N -1 1 319.405 1.704 20 0 DDADMM Cc1n[nH]c(C)c1CCC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001413506520 856903558 /nfs/dbraw/zinc/90/35/58/856903558.db2.gz UNPXWXFWZYYUPM-UHFFFAOYSA-N -1 1 305.386 1.157 20 0 DDADMM COc1ccccc1[C@@H]1C[C@H]1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001413508767 856908957 /nfs/dbraw/zinc/90/89/57/856908957.db2.gz KWQARDZULGXEBY-NWDGAFQWSA-N -1 1 313.361 1.507 20 0 DDADMM CC[C@H]1COCCN1CCNC(=O)c1cc(Cl)ccc1[O-] ZINC001413529895 856937146 /nfs/dbraw/zinc/93/71/46/856937146.db2.gz JROMLHZFGONTAQ-LBPRGKRZSA-N -1 1 312.797 1.886 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1cccc(F)c1)NC(=O)c1cnn[nH]1 ZINC001413664126 857302846 /nfs/dbraw/zinc/30/28/46/857302846.db2.gz JGUCBPHWLJLGRZ-VHSXEESVSA-N -1 1 319.340 1.271 20 0 DDADMM C[C@H](CC(N)=O)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001413697734 857368416 /nfs/dbraw/zinc/36/84/16/857368416.db2.gz FZFZEKRKGPAEEP-SNVBAGLBSA-N -1 1 316.365 1.140 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N1CCc2ccccc2C1 ZINC001121780415 858594691 /nfs/dbraw/zinc/59/46/91/858594691.db2.gz PUPNJZMFUYKZAE-UHFFFAOYSA-N -1 1 310.361 1.956 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)C1=CCOCC1 ZINC001123298397 859166085 /nfs/dbraw/zinc/16/60/85/859166085.db2.gz SLFUDWPEYGRINB-CYBMUJFWSA-N -1 1 324.421 1.272 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CCO[C@@H](C(C)C)C1 ZINC001123680328 859358232 /nfs/dbraw/zinc/35/82/32/859358232.db2.gz HUNZVKOEELVXMN-NXEZZACHSA-N -1 1 311.407 1.713 20 0 DDADMM Cc1cc2ccccc2n1CC(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123962328 859498633 /nfs/dbraw/zinc/49/86/33/859498633.db2.gz SWZMABVJBHTISS-CYBMUJFWSA-N -1 1 310.361 1.479 20 0 DDADMM O=C(OCc1ccccc1)C1CC(OCC[P@@](=O)([O-])O)C1 ZINC001224607686 881465554 /nfs/dbraw/zinc/46/55/54/881465554.db2.gz UJDJZUOJQFBMOH-UHFFFAOYSA-N -1 1 314.274 1.703 20 0 DDADMM C[NH+](C)CC(=O)N[C@@H]1CCC[N@H+](Cc2ccccc2C(=O)[O-])C1 ZINC001140504485 860648283 /nfs/dbraw/zinc/64/82/83/860648283.db2.gz VALQKBQZFVSRST-CQSZACIVSA-N -1 1 319.405 1.027 20 0 DDADMM O=C1COCC2(CCN(Cc3ccc([O-])c(F)c3F)CC2)N1 ZINC001140888101 860725308 /nfs/dbraw/zinc/72/53/08/860725308.db2.gz OCDPOLOICMEPLA-UHFFFAOYSA-N -1 1 312.316 1.151 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](OCCCC[P@](=O)([O-])O)C1 ZINC001225021292 881641895 /nfs/dbraw/zinc/64/18/95/881641895.db2.gz FYTCLHXWYJGZSN-LLVKDONJSA-N -1 1 323.326 1.970 20 0 DDADMM CCOC(=O)[C@H]1CSCN1C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001156762081 863328244 /nfs/dbraw/zinc/32/82/44/863328244.db2.gz JGMUYRIBELJEQJ-GFCCVEGCSA-N -1 1 323.370 1.591 20 0 DDADMM CCC(CC)(NC(=O)c1cc(N)nc(Cl)c1)c1nn[n-]n1 ZINC001156919990 863447068 /nfs/dbraw/zinc/44/70/68/863447068.db2.gz BHDUYIOXRGBBMC-UHFFFAOYSA-N -1 1 309.761 1.276 20 0 DDADMM CC[C@@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccc(F)cc1 ZINC001152802591 863544758 /nfs/dbraw/zinc/54/47/58/863544758.db2.gz JYGDQLJREJZGJO-GFCCVEGCSA-N -1 1 321.356 1.049 20 0 DDADMM CSc1nc(NC(=O)c2ncn3ccccc23)cc(=O)[n-]1 ZINC001153028768 863664195 /nfs/dbraw/zinc/66/41/95/863664195.db2.gz FJTPXMRAWLGZDV-UHFFFAOYSA-N -1 1 301.331 1.804 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCOC[C@@H]2[C@@H]2CCCO2)c1 ZINC001157427648 863813705 /nfs/dbraw/zinc/81/37/05/863813705.db2.gz HBYHCCFLIVGOHN-CABCVRRESA-N -1 1 319.357 1.533 20 0 DDADMM Cc1cc(NC(=O)c2c([O-])ccc3ccccc32)n(N)c(=O)c1 ZINC001153511282 863923284 /nfs/dbraw/zinc/92/32/84/863923284.db2.gz ZUSZCVVZDYPGTF-UHFFFAOYSA-N -1 1 309.325 1.982 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)COc1ccccc1C=O ZINC001153811396 864125758 /nfs/dbraw/zinc/12/57/58/864125758.db2.gz KXQPZZKSRLEFLH-UHFFFAOYSA-N -1 1 317.349 1.076 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cccc4cc(=O)[nH]cc43)ccnc1-2 ZINC001361527883 881833989 /nfs/dbraw/zinc/83/39/89/881833989.db2.gz IGRDCOQNTHUCNG-UHFFFAOYSA-N -1 1 319.324 1.848 20 0 DDADMM C[C@@H]1CC(=O)Nc2ncnc(Nc3[n-]c(=O)nc4nc[nH]c43)c21 ZINC001159198808 865161464 /nfs/dbraw/zinc/16/14/64/865161464.db2.gz YUIUZOZPELIHJL-RXMQYKEDSA-N -1 1 312.293 1.038 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2[nH]cnc3nc(=S)sc2-3)[n-]1 ZINC001159199219 865162418 /nfs/dbraw/zinc/16/24/18/865162418.db2.gz GLRWYCXUVYMZFN-UHFFFAOYSA-N -1 1 318.347 1.491 20 0 DDADMM C=Cc1nc(Cl)nc(Nc2[n-]c(=O)nc3nc[nH]c32)c1OC ZINC001159199657 865164639 /nfs/dbraw/zinc/16/46/39/865164639.db2.gz PROCSGNKMRNENB-UHFFFAOYSA-N -1 1 319.712 1.897 20 0 DDADMM COc1cc(Br)ccc1C(=O)N(C)c1nn[n-]n1 ZINC001361555766 881886498 /nfs/dbraw/zinc/88/64/98/881886498.db2.gz ZPBOVOOWYGWAJX-UHFFFAOYSA-N -1 1 312.127 1.247 20 0 DDADMM CCOC(=O)Nc1ccc(C(=O)N(C)c2nn[n-]n2)c(Cl)c1 ZINC001361566888 881911012 /nfs/dbraw/zinc/91/10/12/881911012.db2.gz YMGXQMCOTNJWFG-UHFFFAOYSA-N -1 1 324.728 1.698 20 0 DDADMM CN(C)S(=O)(=O)c1ccsc1C(=O)[N-]c1ccsn1 ZINC001361604180 881987559 /nfs/dbraw/zinc/98/75/59/881987559.db2.gz MPVJRNWLGPLCMY-UHFFFAOYSA-N -1 1 317.417 1.707 20 0 DDADMM CCOC(=O)c1nc(NC(=O)CO[C@@H](C)CC)[n-]c1Cl ZINC001361642056 882070046 /nfs/dbraw/zinc/07/00/46/882070046.db2.gz NKNZVQSSAKNJKO-ZETCQYMHSA-N -1 1 303.746 1.993 20 0 DDADMM Cn1ccc([N-]C(=O)C(F)(F)c2ccc(Cl)cc2)nc1=O ZINC001361646874 882079391 /nfs/dbraw/zinc/07/93/91/882079391.db2.gz FIJNZSLVVIUGLH-UHFFFAOYSA-N -1 1 313.691 1.998 20 0 DDADMM CSc1ncc(C(=O)NCc2ccccc2N(C)C)c(=O)[n-]1 ZINC001361693713 882173770 /nfs/dbraw/zinc/17/37/70/882173770.db2.gz JAHCEVQQBFBMHJ-UHFFFAOYSA-N -1 1 318.402 1.900 20 0 DDADMM O=C(C=C1CCC1)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001335652354 868454048 /nfs/dbraw/zinc/45/40/48/868454048.db2.gz MAHFAXBVHCZHRB-JOCQHMNTSA-N -1 1 315.373 1.522 20 0 DDADMM C[C@H](CCCNC(=O)C1(CF)CC1)NC(=O)c1ncccc1[O-] ZINC001336013142 868678922 /nfs/dbraw/zinc/67/89/22/868678922.db2.gz MGBMUXUSTNOZKO-LLVKDONJSA-N -1 1 323.368 1.552 20 0 DDADMM O=C([N-]CCNc1ccc2c(c1)[C@@H](O)CCO2)C(F)(F)F ZINC001164245844 869054551 /nfs/dbraw/zinc/05/45/51/869054551.db2.gz AGPLILXIZSDPND-JTQLQIEISA-N -1 1 304.268 1.593 20 0 DDADMM O=C(c1ccco1)N1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001361742158 882276889 /nfs/dbraw/zinc/27/68/89/882276889.db2.gz HMJNLFUAEKWHON-UHFFFAOYSA-N -1 1 318.304 1.723 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@](O)(CC(=O)[N-]OC2CCC2)C1 ZINC001337893630 869672984 /nfs/dbraw/zinc/67/29/84/869672984.db2.gz QELIHWLPFZESOQ-HNNXBMFYSA-N -1 1 314.382 1.349 20 0 DDADMM CO[C@@H]1CC[C@H]1N(C)c1nnc(-c2cc(Cl)ncc2[O-])n1C ZINC001338337937 869928785 /nfs/dbraw/zinc/92/87/85/869928785.db2.gz GZSMEOSVQKTGLJ-MWLCHTKSSA-N -1 1 323.784 1.850 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)/C=C\[C@@H]2CCCO2)[n-]1 ZINC001339113048 870352236 /nfs/dbraw/zinc/35/22/36/870352236.db2.gz HOPNMLMLRVFZDQ-OMMCCPJFSA-N -1 1 306.318 1.678 20 0 DDADMM CC(C)[C@@H](C)NC(=O)[C@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001361826367 882445935 /nfs/dbraw/zinc/44/59/35/882445935.db2.gz OTVKUNIMMIDTKF-ZWNOBZJWSA-N -1 1 323.418 1.463 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1nccc2occc21 ZINC001301655937 871013531 /nfs/dbraw/zinc/01/35/31/871013531.db2.gz WXXVAXZPORQCAM-ZDUSSCGKSA-N -1 1 320.312 1.860 20 0 DDADMM Cc1nc(C2(NC(=O)c3cnncc3[O-])CCCCC2)no1 ZINC001361840245 882476595 /nfs/dbraw/zinc/47/65/95/882476595.db2.gz BYGKVSOKVKXTFM-UHFFFAOYSA-N -1 1 303.322 1.463 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)Nc1nn2cnnc2s1 ZINC001302502741 871072030 /nfs/dbraw/zinc/07/20/30/871072030.db2.gz AGEOVUHBSHXQGU-UHFFFAOYSA-N -1 1 309.738 1.726 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cnn(-c2ccccc2)c1 ZINC001303222527 871111152 /nfs/dbraw/zinc/11/11/52/871111152.db2.gz SIYPNKKXXXBJNO-UHFFFAOYSA-N -1 1 309.333 1.195 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1csc(-c2ccncc2)n1 ZINC001306823365 871362770 /nfs/dbraw/zinc/36/27/70/871362770.db2.gz CFYAYYLMLFXLJX-UHFFFAOYSA-N -1 1 315.362 1.680 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc3c(c2)NC(=O)CS3)c1[O-] ZINC001361878885 882560258 /nfs/dbraw/zinc/56/02/58/882560258.db2.gz SXZBCENUXVZNDP-UHFFFAOYSA-N -1 1 304.331 1.720 20 0 DDADMM CC(C)S(=O)(=O)c1ccc(CC(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001413846101 871949472 /nfs/dbraw/zinc/94/94/72/871949472.db2.gz LWSUITURZWZKPV-UHFFFAOYSA-N -1 1 323.374 1.479 20 0 DDADMM C[C@H]1CN(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)C[C@H]1C ZINC001342284308 872126646 /nfs/dbraw/zinc/12/66/46/872126646.db2.gz HCASQDGHLXBAAN-TXEJJXNPSA-N -1 1 324.392 1.599 20 0 DDADMM C[C@H]1CN(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)C[C@H]1C ZINC001342284308 872126650 /nfs/dbraw/zinc/12/66/50/872126650.db2.gz HCASQDGHLXBAAN-TXEJJXNPSA-N -1 1 324.392 1.599 20 0 DDADMM CC[C@H](C)CC(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001479686876 872785618 /nfs/dbraw/zinc/78/56/18/872785618.db2.gz VFVNSAVEKBEBOJ-QWHCGFSZSA-N -1 1 323.441 1.807 20 0 DDADMM O=C(OCc1nn[n-]n1)c1nn(-c2ccccc2)c2c1CCC2 ZINC001345157267 873279877 /nfs/dbraw/zinc/27/98/77/873279877.db2.gz OQDVRTKPRGKOAC-UHFFFAOYSA-N -1 1 310.317 1.231 20 0 DDADMM CCC[C@@H](C)CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207651222 873442375 /nfs/dbraw/zinc/44/23/75/873442375.db2.gz PHZGMEKRMGPZPX-VXGBXAGGSA-N -1 1 309.414 1.417 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H](C)c1nccs1 ZINC001346560917 873804223 /nfs/dbraw/zinc/80/42/23/873804223.db2.gz GKCIRBQVNHGPBU-ZCFIWIBFSA-N -1 1 310.404 1.769 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2C[C@H]3C[C@@H](C(=O)OC)C[C@H]32)[n-]1 ZINC001346693504 873853124 /nfs/dbraw/zinc/85/31/24/873853124.db2.gz VRCZDNTUBJODLK-PRFIWBCESA-N -1 1 321.329 1.546 20 0 DDADMM CC[C@@]1(CO)CCCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001346768434 873886742 /nfs/dbraw/zinc/88/67/42/873886742.db2.gz YARSWCMXULXGLL-CQSZACIVSA-N -1 1 311.407 1.404 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H](Oc3cnc[n-]c3=O)[C@H]1C2 ZINC001227050656 882796897 /nfs/dbraw/zinc/79/68/97/882796897.db2.gz CPILPVMKHZPQNB-HBNTYKKESA-N -1 1 307.350 1.959 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC2(CCCCO2)CC1 ZINC001348132232 874371074 /nfs/dbraw/zinc/37/10/74/874371074.db2.gz WWBWGBUTVKWEMR-UHFFFAOYSA-N -1 1 323.418 1.955 20 0 DDADMM CC1(C)COC(=O)[C@H]1Oc1[n-]c(=O)ncc1Br ZINC001227133453 882864327 /nfs/dbraw/zinc/86/43/27/882864327.db2.gz JTRYKNBQPYRNIM-ZCFIWIBFSA-N -1 1 303.112 1.275 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001378397859 874810046 /nfs/dbraw/zinc/81/00/46/874810046.db2.gz IVAAYHNMPAYKQP-LLVKDONJSA-N -1 1 321.425 1.465 20 0 DDADMM Cc1nc(C(=O)NCCNC(=O)c2ncccc2[O-])sc1C ZINC001348838945 874820479 /nfs/dbraw/zinc/82/04/79/874820479.db2.gz NKCSUNALGQROES-UHFFFAOYSA-N -1 1 320.374 1.020 20 0 DDADMM O=c1ncc(Br)c(O[C@H]2CO[C@H]3OCC[C@@H]23)[n-]1 ZINC001227136871 882866479 /nfs/dbraw/zinc/86/64/79/882866479.db2.gz DHHVJLRVAKVTSC-XWDQLLGVSA-N -1 1 303.112 1.085 20 0 DDADMM CC[C@@](C)(CC(=O)OC)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362029803 882869175 /nfs/dbraw/zinc/86/91/75/882869175.db2.gz PXZPCDRAAAVWCZ-HNNXBMFYSA-N -1 1 307.350 1.521 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1C[C@H]2CCC[C@@H](C1)C2O ZINC001348878846 874851710 /nfs/dbraw/zinc/85/17/10/874851710.db2.gz IRWAWSMEIZYSGU-SLHIUPAKSA-N -1 1 309.391 1.012 20 0 DDADMM C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)c2cc(Cl)ccc2[O-])CC1=O ZINC001362031982 882875612 /nfs/dbraw/zinc/87/56/12/882875612.db2.gz VBNAKXQHIQSVJP-QRTLGDNMSA-N -1 1 308.765 1.785 20 0 DDADMM CC[C@H](C)C(=O)NC[C@H]1CCCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001378458590 874914069 /nfs/dbraw/zinc/91/40/69/874914069.db2.gz YGFSWLUCMYPPFA-QWHCGFSZSA-N -1 1 323.441 1.015 20 0 DDADMM Cc1cnc(Cl)cc1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001349214293 875038303 /nfs/dbraw/zinc/03/83/03/875038303.db2.gz SDINNELIEDXOIU-JTQLQIEISA-N -1 1 320.784 1.651 20 0 DDADMM Cc1ccc(CO)cc1[N-]S(=O)(=O)c1cc(N)ccc1N ZINC001210682604 875486439 /nfs/dbraw/zinc/48/64/39/875486439.db2.gz JLSLMOSYQSIGBM-UHFFFAOYSA-N -1 1 307.375 1.453 20 0 DDADMM CS(=O)(=O)CC1(CNC(=O)c2cccc([O-])c2F)CC1 ZINC001362060780 882938095 /nfs/dbraw/zinc/93/80/95/882938095.db2.gz UMFVGTMYIUCWAB-UHFFFAOYSA-N -1 1 301.339 1.086 20 0 DDADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H](F)C(C)C ZINC001378876094 875824810 /nfs/dbraw/zinc/82/48/10/875824810.db2.gz UNUPGVKACNUHPQ-ZYHUDNBSSA-N -1 1 315.393 1.125 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](C1CC1)[C@H]1CCCOC1 ZINC001350679564 875830081 /nfs/dbraw/zinc/83/00/81/875830081.db2.gz IBSSIIMXTJMQCW-JQWIXIFHSA-N -1 1 323.418 1.714 20 0 DDADMM CC1(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CCCCC2)CC1 ZINC001378883966 875842419 /nfs/dbraw/zinc/84/24/19/875842419.db2.gz JSIBRJOIKATYBU-GFCCVEGCSA-N -1 1 321.425 1.465 20 0 DDADMM CC(=O)[C@H](Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1)c1ccccc1 ZINC001227431234 883017598 /nfs/dbraw/zinc/01/75/98/883017598.db2.gz SSZMMJBYOPEPLX-JTQLQIEISA-N -1 1 300.274 1.473 20 0 DDADMM CC(=O)[C@H](Oc1nc2[nH]c(=O)[nH]c([O-])c-2n1)c1ccccc1 ZINC001227431234 883017617 /nfs/dbraw/zinc/01/76/17/883017617.db2.gz SSZMMJBYOPEPLX-JTQLQIEISA-N -1 1 300.274 1.473 20 0 DDADMM CC(=O)[C@H](Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)c1ccccc1 ZINC001227431234 883017633 /nfs/dbraw/zinc/01/76/33/883017633.db2.gz SSZMMJBYOPEPLX-JTQLQIEISA-N -1 1 300.274 1.473 20 0 DDADMM COC(=O)c1cc(Nc2c(N)[nH]c(=S)[n-]c2=O)ccc1OC ZINC001215085002 876560970 /nfs/dbraw/zinc/56/09/70/876560970.db2.gz OENPNWUZGLOVBU-UHFFFAOYSA-N -1 1 322.346 1.592 20 0 DDADMM Cc1cccc(CCCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)n1 ZINC001352190665 876639298 /nfs/dbraw/zinc/63/92/98/876639298.db2.gz UUQYBHLTTHUJIV-UHFFFAOYSA-N -1 1 318.402 1.845 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)CCC(F)F ZINC001381959263 883060259 /nfs/dbraw/zinc/06/02/59/883060259.db2.gz FCDNDUBVSCGDRK-SECBINFHSA-N -1 1 315.320 1.409 20 0 DDADMM CSc1cc2c(cc1NC(=O)c1cnncc1[O-])OCCO2 ZINC001362114998 883075181 /nfs/dbraw/zinc/07/51/81/883075181.db2.gz XKGBTULNTPPUCR-UHFFFAOYSA-N -1 1 319.342 1.928 20 0 DDADMM COc1cnc(F)c([N-]S(=O)(=O)c2cc(N)ccc2C)c1 ZINC001216408944 876934366 /nfs/dbraw/zinc/93/43/66/876934366.db2.gz UPKPVNXOVZDCCN-UHFFFAOYSA-N -1 1 311.338 1.921 20 0 DDADMM O=c1ccn(-c2ccc(NC3=CCS(=O)(=O)CC3)cc2)[n-]1 ZINC001216571420 876984411 /nfs/dbraw/zinc/98/44/11/876984411.db2.gz PBMILRQBJBYRGZ-UHFFFAOYSA-N -1 1 305.359 1.692 20 0 DDADMM COC(=O)[C@H](CCO)Oc1cc([O-])cc2oc(=O)cc(C)c12 ZINC001218215965 877422551 /nfs/dbraw/zinc/42/25/51/877422551.db2.gz DBDWNBVGAIESFB-JTQLQIEISA-N -1 1 308.286 1.110 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC(=O)C1CCCC1 ZINC001364923648 889676354 /nfs/dbraw/zinc/67/63/54/889676354.db2.gz OKBUAKZDWQMJCC-UHFFFAOYSA-N -1 1 304.393 1.189 20 0 DDADMM C[C@H]1COC[C@H]1[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001354160253 877784193 /nfs/dbraw/zinc/78/41/93/877784193.db2.gz MFLKAKWCEHABMX-POYBYMJQSA-N -1 1 311.190 1.702 20 0 DDADMM COCCn1c(-c2nc(Cl)n[n-]2)nnc1N1CC=CCC1 ZINC001355759614 878704453 /nfs/dbraw/zinc/70/44/53/878704453.db2.gz CKRUOBXKCCSVAU-UHFFFAOYSA-N -1 1 309.761 1.129 20 0 DDADMM Cc1nocc1C(=O)N[C@@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001380431994 879640982 /nfs/dbraw/zinc/64/09/82/879640982.db2.gz GAKRJBVEKWBTMP-IUCAKERBSA-N -1 1 318.333 1.020 20 0 DDADMM CC/C=C\CCOC(=O)[C@H](C)Oc1[n-]c(=O)nc2c1COC2 ZINC001227691971 883133807 /nfs/dbraw/zinc/13/38/07/883133807.db2.gz ZINVONIWUQQHQJ-LWTINBJPSA-N -1 1 308.334 1.879 20 0 DDADMM CCC(C)(C)CC(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001382017307 883182171 /nfs/dbraw/zinc/18/21/71/883182171.db2.gz IGGMPIQRLDEMPJ-LBPRGKRZSA-N -1 1 323.441 1.663 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)N(C)C(=O)c1ccc(F)c([O-])c1 ZINC001362197875 883273159 /nfs/dbraw/zinc/27/31/59/883273159.db2.gz JMSGHDIMQMNATF-SECBINFHSA-N -1 1 303.355 1.427 20 0 DDADMM CSc1ncc(C(=O)NCCc2nc(C(C)C)no2)c(=O)[n-]1 ZINC001362240381 883385081 /nfs/dbraw/zinc/38/50/81/883385081.db2.gz UKGRACYPWHYZGO-UHFFFAOYSA-N -1 1 323.378 1.383 20 0 DDADMM C[C@@H](c1ccccn1)N1CCC[C@@H](Oc2nc(O)cc(=O)[n-]2)C1 ZINC001228390234 883477647 /nfs/dbraw/zinc/47/76/47/883477647.db2.gz FHLNABBSNVLEMA-NWDGAFQWSA-N -1 1 316.361 1.887 20 0 DDADMM CSc1ncc(C(=O)NC[C@]2(C)CCO[C@H]2C2CC2)c(=O)[n-]1 ZINC001362322709 883563776 /nfs/dbraw/zinc/56/37/76/883563776.db2.gz DPIOVEPIKZNYAS-NHYWBVRUSA-N -1 1 323.418 1.839 20 0 DDADMM CCOC(=O)Cc1cnc(=S)[n-]c1OC1=C(C)[C@@H](C)OC1=O ZINC001228712600 883635037 /nfs/dbraw/zinc/63/50/37/883635037.db2.gz BLESOFHVASXXJM-MRVPVSSYSA-N -1 1 324.358 1.469 20 0 DDADMM CCC(CC)(NC(=O)COc1ccc(OC)cc1)c1nn[n-]n1 ZINC001362420166 883769294 /nfs/dbraw/zinc/76/92/94/883769294.db2.gz AJDWBNNILJDADE-UHFFFAOYSA-N -1 1 319.365 1.419 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)CCCC(F)(F)F ZINC001362498129 883945092 /nfs/dbraw/zinc/94/50/92/883945092.db2.gz STQICPGMEMJJKJ-ZCFIWIBFSA-N -1 1 323.271 1.747 20 0 DDADMM O=C(N[C@H]1CCC[C@H](F)C1)c1nc[n-]c(=O)c1Br ZINC001362608637 884210689 /nfs/dbraw/zinc/21/06/89/884210689.db2.gz ZKHJUIKYJMALOS-BQBZGAKWSA-N -1 1 318.146 1.955 20 0 DDADMM COc1ccc(C(=O)NCc2cc(=O)[n-]c(SC)n2)cn1 ZINC001362611831 884215560 /nfs/dbraw/zinc/21/55/60/884215560.db2.gz OYUHIMGESKWQFK-UHFFFAOYSA-N -1 1 306.347 1.238 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1CSCCS1)c1nn[n-]n1 ZINC001362629995 884269091 /nfs/dbraw/zinc/26/90/91/884269091.db2.gz DNKBRTUBVSTCKR-MRVPVSSYSA-N -1 1 301.441 1.180 20 0 DDADMM COC(=O)C(C)(C)CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362637462 884288984 /nfs/dbraw/zinc/28/89/84/884288984.db2.gz GWEWZFFAPJGUJH-UHFFFAOYSA-N -1 1 307.350 1.379 20 0 DDADMM O=C(Nc1nc(CC(F)(F)F)cs1)c1cnncc1[O-] ZINC001362650094 884321774 /nfs/dbraw/zinc/32/17/74/884321774.db2.gz RRPHPJOWXHORGE-UHFFFAOYSA-N -1 1 304.253 1.996 20 0 DDADMM Cc1cc(O)cc(=O)n1CCC(=O)Nc1cc([O-])c(F)cc1F ZINC001362677727 884394063 /nfs/dbraw/zinc/39/40/63/884394063.db2.gz NDGLLJQJIZAUCD-UHFFFAOYSA-N -1 1 324.283 1.875 20 0 DDADMM COC(=O)c1c[n-]c(O[C@H]2CN(C(=O)OC(C)(C)C)[C@@H]2C)n1 ZINC001230282819 884408532 /nfs/dbraw/zinc/40/85/32/884408532.db2.gz ZAYOSAQDEOVVIZ-SCZZXKLOSA-N -1 1 311.338 1.583 20 0 DDADMM Cc1c(NC(=O)c2cnncc2[O-])cccc1N1CCNC1=O ZINC001362759232 884570369 /nfs/dbraw/zinc/57/03/69/884570369.db2.gz VYYFWTCJPYSFFZ-UHFFFAOYSA-N -1 1 313.317 1.273 20 0 DDADMM CSc1ncc(C(=O)NCCc2nnc(C)s2)c(=O)[n-]1 ZINC001362786325 884634907 /nfs/dbraw/zinc/63/49/07/884634907.db2.gz NQZATQACMUHCTH-UHFFFAOYSA-N -1 1 311.392 1.037 20 0 DDADMM O=C(NC[C@@H]1CC2(CO1)CCOCC2)c1ccc(F)c([O-])c1 ZINC001362791246 884648736 /nfs/dbraw/zinc/64/87/36/884648736.db2.gz KSCRXKYQEUMXLK-LBPRGKRZSA-N -1 1 309.337 1.847 20 0 DDADMM CSc1ncc(C(=O)NC2(c3nc(C)c[nH]3)CCC2)c(=O)[n-]1 ZINC001362808812 884692614 /nfs/dbraw/zinc/69/26/14/884692614.db2.gz DOSLBZGJVJCHGK-UHFFFAOYSA-N -1 1 319.390 1.745 20 0 DDADMM CC(C)c1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(=O)[nH]1 ZINC001362827483 884735132 /nfs/dbraw/zinc/73/51/32/884735132.db2.gz ZYYSYOKALUUJIN-SECBINFHSA-N -1 1 304.354 1.299 20 0 DDADMM NC(=O)c1coc(C[N-]C(=O)C(F)(F)c2ccccc2F)n1 ZINC001363049278 885331444 /nfs/dbraw/zinc/33/14/44/885331444.db2.gz QSHDFNDVOKMBJE-UHFFFAOYSA-N -1 1 313.235 1.321 20 0 DDADMM COc1ccc(C(=O)Nc2n[nH]cc2C(=O)NC2CC2)c([O-])c1 ZINC001363074657 885399947 /nfs/dbraw/zinc/39/99/47/885399947.db2.gz NUJRZUCOJWCGQD-UHFFFAOYSA-N -1 1 316.317 1.268 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H]2[C@H]2CCCOC2)c(=O)[n-]1 ZINC001363080738 885417200 /nfs/dbraw/zinc/41/72/00/885417200.db2.gz NRGHTMFMZPQNNR-GXSJLCMTSA-N -1 1 309.391 1.545 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](CO)c1cccnc1 ZINC001363084054 885425096 /nfs/dbraw/zinc/42/50/96/885425096.db2.gz RHJQHYNMXRPQRQ-SNVBAGLBSA-N -1 1 320.374 1.071 20 0 DDADMM CC(=O)Nc1ccc(CNC(=O)c2ccc(F)c([O-])c2)cn1 ZINC001363090050 885437266 /nfs/dbraw/zinc/43/72/66/885437266.db2.gz IFUGKTISJDDOTJ-UHFFFAOYSA-N -1 1 303.293 1.815 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](C)C[C@@H](CO)C1 ZINC001363094844 885448620 /nfs/dbraw/zinc/44/86/20/885448620.db2.gz ZMQWAQUQPOKRGH-ZYHUDNBSSA-N -1 1 321.421 1.879 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363132102 885544346 /nfs/dbraw/zinc/54/43/46/885544346.db2.gz YSFSQJPSSRPFGF-KOLCDFICSA-N -1 1 305.334 1.131 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC001363132988 885547049 /nfs/dbraw/zinc/54/70/49/885547049.db2.gz XNQWDCQBPGTJEJ-ZJUUUORDSA-N -1 1 313.350 1.323 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C2CC2)[C@H]2CCCCO2)c(=O)[n-]1 ZINC001363166644 885624190 /nfs/dbraw/zinc/62/41/90/885624190.db2.gz DLFHGNYFIVODSW-NEPJUHHUSA-N -1 1 323.418 1.982 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C2CC2)[C@@H]2CCCCO2)c(=O)[n-]1 ZINC001363166646 885625590 /nfs/dbraw/zinc/62/55/90/885625590.db2.gz DLFHGNYFIVODSW-RYUDHWBXSA-N -1 1 323.418 1.982 20 0 DDADMM Cc1cc(C2(C(=O)N3CCC(c4n[n-]c(=O)o4)CC3)CC2)on1 ZINC001363189989 885674914 /nfs/dbraw/zinc/67/49/14/885674914.db2.gz FMZMYCNPVOJMNQ-UHFFFAOYSA-N -1 1 318.333 1.509 20 0 DDADMM CNC(=O)N[C@H]1CCCN(Cc2ccccc2OCC(=O)[O-])C1 ZINC001231794251 885876504 /nfs/dbraw/zinc/87/65/04/885876504.db2.gz YRERZWSWPNOOTJ-ZDUSSCGKSA-N -1 1 321.377 1.043 20 0 DDADMM COc1ccc(O)c(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001363275431 885883334 /nfs/dbraw/zinc/88/33/34/885883334.db2.gz HBNUNTNGXQWAIB-UHFFFAOYSA-N -1 1 305.338 1.212 20 0 DDADMM CCc1ncc(Cl)c(C(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC001363281257 885904369 /nfs/dbraw/zinc/90/43/69/885904369.db2.gz FGEHOIHLDMHCKG-UHFFFAOYSA-N -1 1 321.772 1.225 20 0 DDADMM Cn1cc([C@H]2C[C@H](NC(=O)c3ccc([O-])cn3)CCO2)cn1 ZINC001363344542 886083090 /nfs/dbraw/zinc/08/30/90/886083090.db2.gz MDLIETSHWZGZRI-BXUZGUMPSA-N -1 1 302.334 1.171 20 0 DDADMM O=C(C[C@@H]1CCC2(CCC2)CO1)NC1(c2nn[n-]n2)CCC1 ZINC001363376083 886170742 /nfs/dbraw/zinc/17/07/42/886170742.db2.gz LFCKFHDTGYJMLW-NSHDSACASA-N -1 1 305.382 1.435 20 0 DDADMM CN(C)C(=O)c1ccc(NC(=O)c2ccc(O)cc2[O-])cn1 ZINC001363386269 886193529 /nfs/dbraw/zinc/19/35/29/886193529.db2.gz CQKZFORGQAAMAR-UHFFFAOYSA-N -1 1 301.302 1.447 20 0 DDADMM Cn1ccnc1[C@H]1C[C@@H](NC(=O)c2ccc([O-])cn2)CCO1 ZINC001363443900 886351552 /nfs/dbraw/zinc/35/15/52/886351552.db2.gz LAVLAFAULAMPFO-GXFFZTMASA-N -1 1 302.334 1.171 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cccc(OC)c2C)co1 ZINC001363476588 886441771 /nfs/dbraw/zinc/44/17/71/886441771.db2.gz QINIJJGMRDPDCV-UHFFFAOYSA-N -1 1 324.358 1.757 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(Cl)[nH]2)n[n-]1 ZINC001363477516 886444922 /nfs/dbraw/zinc/44/49/22/886444922.db2.gz XIMVOSAZBZVBBX-ZCFIWIBFSA-N -1 1 311.729 1.454 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(Cl)[nH]2)[n-]1 ZINC001363477516 886444926 /nfs/dbraw/zinc/44/49/26/886444926.db2.gz XIMVOSAZBZVBBX-ZCFIWIBFSA-N -1 1 311.729 1.454 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(Cl)[nH]2)n1 ZINC001363477516 886444929 /nfs/dbraw/zinc/44/49/29/886444929.db2.gz XIMVOSAZBZVBBX-ZCFIWIBFSA-N -1 1 311.729 1.454 20 0 DDADMM CO[C@@H]1CCCN(NC(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC001363530785 886562798 /nfs/dbraw/zinc/56/27/98/886562798.db2.gz JUSMTHFLMUKCGW-SNVBAGLBSA-N -1 1 319.336 1.835 20 0 DDADMM COC(=O)[C@H]1CN(Cc2cc([O-])c(F)c(F)c2)CCCO1 ZINC001232974290 886705276 /nfs/dbraw/zinc/70/52/76/886705276.db2.gz SFYKYNIKVDPKCO-GFCCVEGCSA-N -1 1 301.289 1.434 20 0 DDADMM COC(=O)CO[C@H]1CCN(Cc2cc(Cl)ncc2[O-])C1 ZINC001233040085 886747135 /nfs/dbraw/zinc/74/71/35/886747135.db2.gz ITPVZSFFJORZHH-JTQLQIEISA-N -1 1 300.742 1.205 20 0 DDADMM CNC(=O)c1cccc(N(C)C(=O)c2ccc(O)cc2[O-])c1 ZINC001363642182 886858800 /nfs/dbraw/zinc/85/88/00/886858800.db2.gz VJYGRCGGJVLTBN-UHFFFAOYSA-N -1 1 300.314 1.734 20 0 DDADMM Cc1cc(C(=O)Nc2c[n-][nH]c2=O)ccc1N1CCOCC1 ZINC001363704670 887025448 /nfs/dbraw/zinc/02/54/48/887025448.db2.gz HIPLUHJIZPDHOL-UHFFFAOYSA-N -1 1 302.334 1.513 20 0 DDADMM Cc1ncc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c(C(C)C)n1 ZINC001363726095 887079379 /nfs/dbraw/zinc/07/93/79/887079379.db2.gz UBJFMUZWEWIKJG-SNVBAGLBSA-N -1 1 301.354 1.051 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1C[C@@H]1C1CCCC1 ZINC001363956831 887610481 /nfs/dbraw/zinc/61/04/81/887610481.db2.gz LACCTJDJHOTAKJ-NXEZZACHSA-N -1 1 307.350 1.453 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C/C=C/c2cccnc2)sn1 ZINC001364013400 887723878 /nfs/dbraw/zinc/72/38/78/887723878.db2.gz UHGVCZJYEDIYIJ-HWKANZROSA-N -1 1 311.388 1.538 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C/C=C\c2cccnc2)sn1 ZINC001364013403 887724217 /nfs/dbraw/zinc/72/42/17/887724217.db2.gz UHGVCZJYEDIYIJ-HYXAFXHYSA-N -1 1 311.388 1.538 20 0 DDADMM CC1(C)CO[C@H](CC(=O)Nc2nc(SCCO)n[nH]2)C1 ZINC001364051587 887802232 /nfs/dbraw/zinc/80/22/32/887802232.db2.gz GIUVBWMJHLIYQN-MRVPVSSYSA-N -1 1 300.384 1.033 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C)c(C)c2O)n1 ZINC001364086197 887881750 /nfs/dbraw/zinc/88/17/50/887881750.db2.gz XUBCSPUQVNXNRS-UHFFFAOYSA-N -1 1 318.333 1.234 20 0 DDADMM COc1cccc2c1[C@@H](Oc1c(C(N)=O)nc[n-]c1=O)CCO2 ZINC001234464732 887992111 /nfs/dbraw/zinc/99/21/11/887992111.db2.gz BFAKYCZTKYTVRH-JTQLQIEISA-N -1 1 317.301 1.192 20 0 DDADMM COc1ccc([C@@H](C)Oc2c(C(N)=O)nc[n-]c2=O)cc1OC ZINC001234471502 888005347 /nfs/dbraw/zinc/00/53/47/888005347.db2.gz FIMIBXSEFFMWPT-MRVPVSSYSA-N -1 1 319.317 1.438 20 0 DDADMM CN(C(=O)[C@H](O)c1cc(Cl)cc(Cl)c1)c1nn[n-]n1 ZINC001364203788 888139071 /nfs/dbraw/zinc/13/90/71/888139071.db2.gz WEOICWVIWZVHJW-MRVPVSSYSA-N -1 1 302.121 1.203 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2nc3c(c(=O)[nH]2)COCC3)n1 ZINC001364290609 888309814 /nfs/dbraw/zinc/30/98/14/888309814.db2.gz VUAMAVULYFFMDD-UHFFFAOYSA-N -1 1 320.374 1.605 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H](NC(=O)c2cncc([O-])c2)CO1 ZINC001364386111 888511256 /nfs/dbraw/zinc/51/12/56/888511256.db2.gz APPLCJZAXPOADD-SNVBAGLBSA-N -1 1 309.322 1.068 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)no1 ZINC001364417273 888590566 /nfs/dbraw/zinc/59/05/66/888590566.db2.gz SLHUBFZLOUIOIS-LLVKDONJSA-N -1 1 318.333 1.719 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NCc2nn(C)cc2Cl)n[n-]1 ZINC001364694538 889212577 /nfs/dbraw/zinc/21/25/77/889212577.db2.gz GFQUZJNQYGFVJJ-ZETCQYMHSA-N -1 1 312.761 1.219 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NCc2nn(C)cc2Cl)[n-]1 ZINC001364694538 889212589 /nfs/dbraw/zinc/21/25/89/889212589.db2.gz GFQUZJNQYGFVJJ-ZETCQYMHSA-N -1 1 312.761 1.219 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2nn(C)cc2Cl)n1 ZINC001364694538 889212598 /nfs/dbraw/zinc/21/25/98/889212598.db2.gz GFQUZJNQYGFVJJ-ZETCQYMHSA-N -1 1 312.761 1.219 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2scnc2C)sn1 ZINC001364765946 889365612 /nfs/dbraw/zinc/36/56/12/889365612.db2.gz DWDPWUWOYTYPBT-UHFFFAOYSA-N -1 1 305.406 1.395 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CC[C@H](C)OC ZINC001364769355 889372910 /nfs/dbraw/zinc/37/29/10/889372910.db2.gz NYPRLFCKFLSIJN-QWRGUYRKSA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CC[C@H](C)OC ZINC001364769355 889372921 /nfs/dbraw/zinc/37/29/21/889372921.db2.gz NYPRLFCKFLSIJN-QWRGUYRKSA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CC[C@H](C)OC ZINC001364769355 889372931 /nfs/dbraw/zinc/37/29/31/889372931.db2.gz NYPRLFCKFLSIJN-QWRGUYRKSA-N -1 1 324.381 1.460 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2cnn(C3CCC3)c2)n1 ZINC001364794709 889431366 /nfs/dbraw/zinc/43/13/66/889431366.db2.gz GWFUNWWGVMKGGS-MRVPVSSYSA-N -1 1 311.367 1.339 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCC(C)(C)C2)[n-]n1 ZINC001364824349 889487447 /nfs/dbraw/zinc/48/74/47/889487447.db2.gz QZWVURAVEUQWEM-SECBINFHSA-N -1 1 315.395 1.443 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCC(C)(C)C2)n[n-]1 ZINC001364824349 889487454 /nfs/dbraw/zinc/48/74/54/889487454.db2.gz QZWVURAVEUQWEM-SECBINFHSA-N -1 1 315.395 1.443 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2cccc(F)c2F)c1Cl ZINC001364913594 889657109 /nfs/dbraw/zinc/65/71/09/889657109.db2.gz AWJWOFXPQOAOCQ-UHFFFAOYSA-N -1 1 321.736 1.830 20 0 DDADMM C[C@H](Cc1cn(C)nn1)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC001364933857 889697704 /nfs/dbraw/zinc/69/77/04/889697704.db2.gz VCMGEMULFSOMNT-SSDOTTSWSA-N -1 1 320.321 1.255 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)CCC[C@@H]2C)[n-]n1 ZINC001365036076 889947813 /nfs/dbraw/zinc/94/78/13/889947813.db2.gz XLPNSWBFSUQGJQ-UWVGGRQHSA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)CCC[C@@H]2C)n[n-]1 ZINC001365036076 889947823 /nfs/dbraw/zinc/94/78/23/889947823.db2.gz XLPNSWBFSUQGJQ-UWVGGRQHSA-N -1 1 315.395 1.396 20 0 DDADMM CC[C@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)c1noc(C)n1 ZINC001365051764 889985987 /nfs/dbraw/zinc/98/59/87/889985987.db2.gz PNMLMAPZFJIZQA-JTQLQIEISA-N -1 1 315.337 1.306 20 0 DDADMM CC(=O)N1CCC2(CN(Cc3ccc(C(=O)[O-])cc3C)C2)C1 ZINC001238140645 890064516 /nfs/dbraw/zinc/06/45/16/890064516.db2.gz TWOZBVRXMLJYEW-UHFFFAOYSA-N -1 1 302.374 1.747 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CCc2ncc[nH]2)[n-]c1=O ZINC001365146343 890194010 /nfs/dbraw/zinc/19/40/10/890194010.db2.gz NNWRLXNSYZDAKL-SNVBAGLBSA-N -1 1 317.349 1.210 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)c2ncccc2C)co1 ZINC001365150399 890199298 /nfs/dbraw/zinc/19/92/98/890199298.db2.gz NZUNIKUFSLLJAJ-SNVBAGLBSA-N -1 1 323.374 1.382 20 0 DDADMM CC[C@@H](SC)C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001386166501 890462001 /nfs/dbraw/zinc/46/20/01/890462001.db2.gz NUNYZIZONCDEEA-CKYFFXLPSA-N -1 1 323.418 1.306 20 0 DDADMM C[C@@]1(c2cccnc2-c2cc(F)ccc2[O-])NC(=O)NC1=O ZINC001240696183 891023037 /nfs/dbraw/zinc/02/30/37/891023037.db2.gz FZUWFFJKMKSWKX-HNNXBMFYSA-N -1 1 301.277 1.648 20 0 DDADMM COCCCn1cc(-c2nc(C)cc3c2C(=O)[N-]C3=O)cn1 ZINC001242486756 891439119 /nfs/dbraw/zinc/43/91/19/891439119.db2.gz UFIZNAYEXIQMIX-UHFFFAOYSA-N -1 1 300.318 1.174 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)[C@@H](C)COC ZINC001396297117 913558399 /nfs/dbraw/zinc/55/83/99/913558399.db2.gz MWVNENQCAIKZTF-NWDGAFQWSA-N -1 1 323.393 1.037 20 0 DDADMM O=C1Cc2cc(-c3ccc(CO)c(F)c3F)ccc2C(=O)[N-]1 ZINC001244722619 891897630 /nfs/dbraw/zinc/89/76/30/891897630.db2.gz DCECZMBNVBLCBT-UHFFFAOYSA-N -1 1 303.264 1.937 20 0 DDADMM CN1CCN(c2ccc(-c3cnc(F)c(C(=O)[O-])c3)nc2)CC1 ZINC001244754998 891905140 /nfs/dbraw/zinc/90/51/40/891905140.db2.gz HHARVZGSJLSCAC-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM CCOc1ncccc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244793703 891927076 /nfs/dbraw/zinc/92/70/76/891927076.db2.gz HOVXWSXLKUIYJI-UHFFFAOYSA-N -1 1 323.374 1.922 20 0 DDADMM Cc1cc(=NC(=O)c2cnc3c(Cl)cccc3c2[O-])[nH][nH]1 ZINC000341875844 892819021 /nfs/dbraw/zinc/81/90/21/892819021.db2.gz KSOYNMJIEXPALM-UHFFFAOYSA-N -1 1 302.721 1.887 20 0 DDADMM CC(C)[C@H](F)C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001387387693 892976412 /nfs/dbraw/zinc/97/64/12/892976412.db2.gz REOVBCIMUXMLOP-YPMHNXCESA-N -1 1 323.368 1.502 20 0 DDADMM NC(=O)Cc1ccccc1[N-]S(=O)(=O)c1cccc(N)c1 ZINC001249607313 894056629 /nfs/dbraw/zinc/05/66/29/894056629.db2.gz GSDZOSNSOQLKPD-UHFFFAOYSA-N -1 1 305.359 1.097 20 0 DDADMM COc1ncc(Cc2cccc(C)n2)cc1[N-]S(C)(=O)=O ZINC001250425282 894287632 /nfs/dbraw/zinc/28/76/32/894287632.db2.gz FUSSLFAEEGSBCQ-UHFFFAOYSA-N -1 1 307.375 1.756 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccnc(NC(C)=O)c2)cc1 ZINC001251889260 894869241 /nfs/dbraw/zinc/86/92/41/894869241.db2.gz KEZSYIGEBNMLBQ-UHFFFAOYSA-N -1 1 321.358 1.849 20 0 DDADMM CCS(=O)(=O)[N-]c1c(CO)cc(Br)cc1OC ZINC001253344009 895789051 /nfs/dbraw/zinc/78/90/51/895789051.db2.gz FZLOFJWFEKGGFV-UHFFFAOYSA-N -1 1 324.196 1.712 20 0 DDADMM CCCC(=O)NC[C@@H]1CCC[C@H]1NC(=O)c1ncccc1[O-] ZINC001389031793 896382391 /nfs/dbraw/zinc/38/23/91/896382391.db2.gz GJEYQWBLOUFTPJ-NWDGAFQWSA-N -1 1 305.378 1.602 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CC1CCCCC1 ZINC001367340738 896484454 /nfs/dbraw/zinc/48/44/54/896484454.db2.gz HYXHLSODQIIWNN-LLVKDONJSA-N -1 1 309.414 1.417 20 0 DDADMM O=S(=O)([O-])c1ccc(O)c(-c2nnc([C@@H]3CCOC3)o2)c1 ZINC001255517339 896983585 /nfs/dbraw/zinc/98/35/85/896983585.db2.gz KFOCKVMGPUUCFL-SSDOTTSWSA-N -1 1 312.303 1.193 20 0 DDADMM CC1(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])CCC1 ZINC001389709880 897839193 /nfs/dbraw/zinc/83/91/93/897839193.db2.gz DRFKMBDDXBXGJY-NSHDSACASA-N -1 1 303.362 1.308 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)C1(F)CCCC1 ZINC001389796492 898034152 /nfs/dbraw/zinc/03/41/52/898034152.db2.gz CVXVFJJVLDHYMI-UHFFFAOYSA-N -1 1 321.352 1.258 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccsc1Br ZINC001259020301 898420210 /nfs/dbraw/zinc/42/02/10/898420210.db2.gz OFSFNQQGJNGEDS-UHFFFAOYSA-N -1 1 314.182 1.425 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccccn2)n(-c2ccncc2)n1 ZINC001259283056 898579818 /nfs/dbraw/zinc/57/98/18/898579818.db2.gz VIDYXUFLJUOTCY-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM CC1(C)C(=O)NC[C@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259463118 898682300 /nfs/dbraw/zinc/68/23/00/898682300.db2.gz SGMHLKOHDNJYIB-SNVBAGLBSA-N -1 1 320.773 1.282 20 0 DDADMM COc1cnc(Cl)c([N-]S(=O)(=O)CCC(F)(F)F)n1 ZINC001259866966 898878768 /nfs/dbraw/zinc/87/87/68/898878768.db2.gz AOIQFFWTMCEDKW-UHFFFAOYSA-N -1 1 319.692 1.833 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@@H](O)C1)c1cc(Cl)c(F)cc1F ZINC001260204230 899087288 /nfs/dbraw/zinc/08/72/88/899087288.db2.gz WRMMLKXOHDLPHV-NKWVEPMBSA-N -1 1 311.737 1.810 20 0 DDADMM CC(C)(C)Oc1cccc([N-]S(=O)(=O)CS(C)(=O)=O)c1 ZINC001260593426 899179541 /nfs/dbraw/zinc/17/95/41/899179541.db2.gz LZYOBACNPDWZOV-UHFFFAOYSA-N -1 1 321.420 1.608 20 0 DDADMM Cc1ccc2c(cccc2[N-]S(=O)(=O)CS(C)(=O)=O)c1 ZINC001260593177 899179810 /nfs/dbraw/zinc/17/98/10/899179810.db2.gz AGSUXZYKAULORQ-UHFFFAOYSA-N -1 1 313.400 1.892 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2cccc(C)c2C)ccn1 ZINC001260991260 899314378 /nfs/dbraw/zinc/31/43/78/899314378.db2.gz KHSZFASZMPYZKU-UHFFFAOYSA-N -1 1 320.370 1.708 20 0 DDADMM CSc1nc(NS(=O)(=O)c2c(C)n[nH]c2C)cc(=O)[n-]1 ZINC001261023551 899324001 /nfs/dbraw/zinc/32/40/01/899324001.db2.gz NXFDOBOYTVDLHP-UHFFFAOYSA-N -1 1 315.380 1.045 20 0 DDADMM COC(=O)c1sc(Cl)cc1S(=O)(=O)[N-]CCF ZINC001261061837 899339989 /nfs/dbraw/zinc/33/99/89/899339989.db2.gz NZJVNDUMWVYZPV-UHFFFAOYSA-N -1 1 301.748 1.436 20 0 DDADMM CCc1noc([C@@H](C)N(C)CCNC(=O)c2ncccc2[O-])n1 ZINC001390751529 900097802 /nfs/dbraw/zinc/09/78/02/900097802.db2.gz QKQCVGTZELEWST-SNVBAGLBSA-N -1 1 319.365 1.155 20 0 DDADMM CC[C@@H]1c2ccccc2CN1c1nnc(-c2nnn[n-]2)n1CC ZINC001263012685 900468669 /nfs/dbraw/zinc/46/86/69/900468669.db2.gz UEASNXNTKCQKJO-GFCCVEGCSA-N -1 1 310.365 1.949 20 0 DDADMM CC[C@@H]1c2ccccc2CN1c1nnc(-c2nn[n-]n2)n1CC ZINC001263012685 900468672 /nfs/dbraw/zinc/46/86/72/900468672.db2.gz UEASNXNTKCQKJO-GFCCVEGCSA-N -1 1 310.365 1.949 20 0 DDADMM O=S(=O)(Nc1ncccc1Br)c1ncc[n-]1 ZINC000381223823 900638746 /nfs/dbraw/zinc/63/87/46/900638746.db2.gz HUHDZUXSNGXZSR-UHFFFAOYSA-N -1 1 303.141 1.368 20 0 DDADMM Cc1cnc(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c(C)c1 ZINC001263943144 900829625 /nfs/dbraw/zinc/82/96/25/900829625.db2.gz LOXJTEVFDYAJOE-UHFFFAOYSA-N -1 1 304.375 1.721 20 0 DDADMM CCc1nnc([C@H](C)NCCN(C)C(=O)c2ncccc2[O-])o1 ZINC001391217034 901252041 /nfs/dbraw/zinc/25/20/41/901252041.db2.gz XRTFIYABFWAQAJ-JTQLQIEISA-N -1 1 319.365 1.155 20 0 DDADMM Cc1cc(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)c(C)o1 ZINC001370121717 902585228 /nfs/dbraw/zinc/58/52/28/902585228.db2.gz BQLBZWPJICDFEX-LBPRGKRZSA-N -1 1 319.365 1.018 20 0 DDADMM C[C@@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)c1ccsc1)C1CC1 ZINC001391787725 902602612 /nfs/dbraw/zinc/60/26/12/902602612.db2.gz ZFNONNFALXAKMV-AWEZNQCLSA-N -1 1 321.406 1.260 20 0 DDADMM Cc1csc(C(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001392055531 903186744 /nfs/dbraw/zinc/18/67/44/903186744.db2.gz NKKPTEHIQWLCBH-SECBINFHSA-N -1 1 309.395 1.131 20 0 DDADMM CC[C@@H](OC)C(=O)NCCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001280813828 904076113 /nfs/dbraw/zinc/07/61/13/904076113.db2.gz YAPFQGHMQPUTIR-WCQYABFASA-N -1 1 323.393 1.227 20 0 DDADMM C[C@@H](C[C@H](C)NC(=O)C1(CF)CC1)NC(=O)c1ncccc1[O-] ZINC001371274607 904619984 /nfs/dbraw/zinc/61/99/84/904619984.db2.gz KSPBSXXYHQMJAJ-QWRGUYRKSA-N -1 1 323.368 1.550 20 0 DDADMM CC(C)=CC(=O)N[C@H](C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001281438211 904847128 /nfs/dbraw/zinc/84/71/28/904847128.db2.gz QTNYUDSIUOBNPC-NEPJUHHUSA-N -1 1 305.378 1.767 20 0 DDADMM CCN(C(=O)/C=C\C1CC1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001281482812 904921174 /nfs/dbraw/zinc/92/11/74/904921174.db2.gz SCZPUNHSBKOXIA-JLVNDZCYSA-N -1 1 317.389 1.720 20 0 DDADMM CCC[C@H](C)C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001393056376 906070430 /nfs/dbraw/zinc/07/04/30/906070430.db2.gz FGMYOWUYVIKMDM-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM CCC(=O)NC[C@]12CCC[C@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC001371957723 906099656 /nfs/dbraw/zinc/09/96/56/906099656.db2.gz MNSLIQNRCZQNRM-SJCJKPOMSA-N -1 1 317.389 1.556 20 0 DDADMM CC[C@@H]1CCC[C@@]1(C)C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282983927 906400909 /nfs/dbraw/zinc/40/09/09/906400909.db2.gz YDDYKKYRCJZUQG-SJKOYZFVSA-N -1 1 319.405 1.850 20 0 DDADMM O=C(NCCCNC(=O)C1CC2(CC2)C1)c1ncccc1[O-] ZINC001283231494 906948262 /nfs/dbraw/zinc/94/82/62/906948262.db2.gz OOKRHDYUEDHWHR-UHFFFAOYSA-N -1 1 303.362 1.214 20 0 DDADMM O=C(NC1(CNC(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC1)c1ncccc1[O-] ZINC001393587982 907403393 /nfs/dbraw/zinc/40/33/93/907403393.db2.gz XWVGOBSIUGWHAE-PJXYFTJBSA-N -1 1 315.373 1.212 20 0 DDADMM C[C@H]1CCN(C(=O)NCCc2c(F)cc([O-])cc2F)C[C@H]1O ZINC001372727925 908073076 /nfs/dbraw/zinc/07/30/76/908073076.db2.gz DFRKLLUJNQAETC-LKFCYVNXSA-N -1 1 314.332 1.625 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001373822815 911110423 /nfs/dbraw/zinc/11/04/23/911110423.db2.gz JJSPAFUGGVJPNH-IMRBUKKESA-N -1 1 317.389 1.412 20 0 DDADMM O=C(NCC1(NC(=O)C2CCC2)CCCC1)c1ncccc1[O-] ZINC001375261479 915413621 /nfs/dbraw/zinc/41/36/21/915413621.db2.gz JUSFHZTZKXGPBQ-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM Cc1oc(C=O)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001296864837 916604542 /nfs/dbraw/zinc/60/45/42/916604542.db2.gz QOQIKKGTSQDBQH-SECBINFHSA-N -1 1 305.290 1.509 20 0 DDADMM C[C@@H](CNC(=O)CCC1CCC1)CNC(=O)c1ncccc1[O-] ZINC001375674315 916669095 /nfs/dbraw/zinc/66/90/95/916669095.db2.gz NYNIVJYLPPHUNS-LBPRGKRZSA-N -1 1 319.405 1.850 20 0 DDADMM O=C(NCc1noc(C(F)(F)F)n1)C(=O)c1ccc([O-])cc1 ZINC001297165699 916771996 /nfs/dbraw/zinc/77/19/96/916771996.db2.gz CTEWBZUBXYPKBV-UHFFFAOYSA-N -1 1 315.207 1.293 20 0 DDADMM CCC(=O)NCC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001375889518 917206570 /nfs/dbraw/zinc/20/65/70/917206570.db2.gz SLRVEZSGWNZUSY-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1(C2CCC2)CCC1 ZINC001377814599 923119323 /nfs/dbraw/zinc/11/93/23/923119323.db2.gz FIJJZPGSARWBNV-QWRGUYRKSA-N -1 1 321.425 1.464 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc(C4CC4)nc3C)nc2n1 ZINC000622870149 365550583 /nfs/dbraw/zinc/55/05/83/365550583.db2.gz SASWKUDMUHSATL-UHFFFAOYSA-N -1 1 324.344 1.559 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H](CC)C(C)C)nc2n1 ZINC000622995357 365588731 /nfs/dbraw/zinc/58/87/31/365588731.db2.gz YQQCXCQGSSMQOH-SNVBAGLBSA-N -1 1 305.382 1.991 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@H](CC)O3)nc2n1 ZINC000622996979 365589360 /nfs/dbraw/zinc/58/93/60/365589360.db2.gz HQMNJWMMTSYCPM-QWRGUYRKSA-N -1 1 319.365 1.266 20 0 DDADMM COC(=O)[C@@]1(C(F)(F)F)CCN(C(=O)c2ncccc2[O-])C1 ZINC000286543653 219044593 /nfs/dbraw/zinc/04/45/93/219044593.db2.gz ZBDFVDKCRHUPGG-GFCCVEGCSA-N -1 1 318.251 1.355 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@H](C)C3)nc2n1 ZINC000622997051 365590180 /nfs/dbraw/zinc/59/01/80/365590180.db2.gz KAVFDLQRYHJRRV-VHSXEESVSA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3ccn(C)c3C)nc2n1 ZINC000622997985 365591898 /nfs/dbraw/zinc/59/18/98/365591898.db2.gz SYQKEQMJYURSJI-UHFFFAOYSA-N -1 1 314.349 1.269 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H](C)C[C@@H](C)O)cnc2n1 ZINC000081796527 192326294 /nfs/dbraw/zinc/32/62/94/192326294.db2.gz BATNNEBLLCALGS-GXSJLCMTSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@H](C)C[C@@H](C)O)c2=O ZINC000081796527 192326295 /nfs/dbraw/zinc/32/62/95/192326295.db2.gz BATNNEBLLCALGS-GXSJLCMTSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCCC[C@@H]1O ZINC000081826958 192329211 /nfs/dbraw/zinc/32/92/11/192329211.db2.gz GYKBFCXXXGVCLL-NEPJUHHUSA-N -1 1 321.421 1.657 20 0 DDADMM Cc1ccccc1COCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000623023239 365603145 /nfs/dbraw/zinc/60/31/45/365603145.db2.gz ARGPVHRQMQHJPR-GFCCVEGCSA-N -1 1 303.366 1.287 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCC(C)C)c(Br)n1C ZINC000286548155 219048064 /nfs/dbraw/zinc/04/80/64/219048064.db2.gz PBSXFPXCPOFQSD-UHFFFAOYSA-N -1 1 324.244 1.815 20 0 DDADMM CC1(C)OC[C@@H]2C[C@@]21C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474904 361876470 /nfs/dbraw/zinc/87/64/70/361876470.db2.gz FLCKXRIZMIXLSP-BONVTDFDSA-N -1 1 307.350 1.296 20 0 DDADMM CN(C)C(=O)CC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451074852 231003073 /nfs/dbraw/zinc/00/30/73/231003073.db2.gz YSBFMJKCQFXBQT-UHFFFAOYSA-N -1 1 314.338 1.329 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451285146 231057008 /nfs/dbraw/zinc/05/70/08/231057008.db2.gz MRVLDJCMOLAHPU-VXNVDRBHSA-N -1 1 322.839 1.724 20 0 DDADMM C[C@@H](C[N@H+]1CCC[C@@H](C)C1)NS(=O)(=O)[N-]CC(F)(F)F ZINC000249423921 282153377 /nfs/dbraw/zinc/15/33/77/282153377.db2.gz DLZOZIWVZGXGBI-ZJUUUORDSA-N -1 1 317.377 1.093 20 0 DDADMM COCC[C@H](COC)[N-]S(=O)(=O)c1sccc1Cl ZINC000451573845 231129392 /nfs/dbraw/zinc/12/93/92/231129392.db2.gz UYBWLXNNUPSIBY-MRVPVSSYSA-N -1 1 313.828 1.731 20 0 DDADMM C[C@@H](Sc1nnnn1C1CC1)C(=O)Nc1c([O-])cccc1F ZINC000092551425 539176480 /nfs/dbraw/zinc/17/64/80/539176480.db2.gz LAZURLOKFCHQKW-SSDOTTSWSA-N -1 1 323.353 1.972 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1cc2c(s1)CCCCC2 ZINC000176265071 539229219 /nfs/dbraw/zinc/22/92/19/539229219.db2.gz JLNAJUOIANIEEA-UHFFFAOYSA-N -1 1 320.418 1.791 20 0 DDADMM CCc1ccc(C=CC(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)o1 ZINC000294402150 529581602 /nfs/dbraw/zinc/58/16/02/529581602.db2.gz VYQWXHAIHXRGJF-WSKFYRRCSA-N -1 1 316.361 1.673 20 0 DDADMM O=S(=O)([N-]Cc1nnc2ccccn21)c1cccc(F)c1F ZINC000088767758 185264805 /nfs/dbraw/zinc/26/48/05/185264805.db2.gz WWJBYDLEEYHOFF-UHFFFAOYSA-N -1 1 324.312 1.486 20 0 DDADMM Cc1noc([C@H]2CCCN2C(=O)c2ccc3[nH][n-]c(=O)c3c2)n1 ZINC000614805069 362033340 /nfs/dbraw/zinc/03/33/40/362033340.db2.gz FKDQWNILMHZIDQ-GFCCVEGCSA-N -1 1 313.317 1.937 20 0 DDADMM Cn1cnn(-c2ccc(NC(=O)c3ccccc3[O-])cc2)c1=O ZINC000092525728 185324093 /nfs/dbraw/zinc/32/40/93/185324093.db2.gz UFIZYSUZWDMNOQ-UHFFFAOYSA-N -1 1 310.313 1.529 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1CN(C(=O)C2CCCCC2)C1 ZINC000615226634 362204410 /nfs/dbraw/zinc/20/44/10/362204410.db2.gz AYSORPCVLMGOJB-UHFFFAOYSA-N -1 1 306.366 1.401 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cc(-c3ccccc3)no2)n[n-]1 ZINC000431036584 529744528 /nfs/dbraw/zinc/74/45/28/529744528.db2.gz JSSOJGRHNKMKJY-UHFFFAOYSA-N -1 1 318.358 1.996 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2CC2)c(Br)n1C ZINC000286655485 219105746 /nfs/dbraw/zinc/10/57/46/219105746.db2.gz OQWJMRNAFHRPRK-UHFFFAOYSA-N -1 1 308.201 1.179 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@@H](C2CC2)[C@H]1C1CC1 ZINC000456296666 231836192 /nfs/dbraw/zinc/83/61/92/231836192.db2.gz PYVZAIGJNKLDMS-BLLLJJGKSA-N -1 1 315.373 1.164 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(OCC)cc2)n[n-]1 ZINC000615916875 362484746 /nfs/dbraw/zinc/48/47/46/362484746.db2.gz GXPNQMOWZJASJY-UHFFFAOYSA-N -1 1 318.333 1.310 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(OCC)cc2)n1 ZINC000615916875 362484749 /nfs/dbraw/zinc/48/47/49/362484749.db2.gz GXPNQMOWZJASJY-UHFFFAOYSA-N -1 1 318.333 1.310 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC(C)(C)COC(C)C)co1 ZINC000413993243 530025254 /nfs/dbraw/zinc/02/52/54/530025254.db2.gz CSVFGHDEVOTHQC-UHFFFAOYSA-N -1 1 318.395 1.121 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)C2(C)CCC(=O)CC2)c1Br ZINC000616011118 362520082 /nfs/dbraw/zinc/52/00/82/362520082.db2.gz JUYUGMXLBHPXBK-UHFFFAOYSA-N -1 1 314.183 1.990 20 0 DDADMM CC(C)[C@@H]1C[C@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000358322590 299120687 /nfs/dbraw/zinc/12/06/87/299120687.db2.gz DEQQUWWJIALRRF-MFKMUULPSA-N -1 1 318.377 1.607 20 0 DDADMM Cc1cc(C(=O)N2CCN(CC3CCOCC3)CC2)ccc1O ZINC000272687268 210093293 /nfs/dbraw/zinc/09/32/93/210093293.db2.gz SZVBGHVHNVESRW-UHFFFAOYSA-N -1 1 318.417 1.885 20 0 DDADMM C[C@@H](Oc1ccccc1F)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000358391172 299140039 /nfs/dbraw/zinc/14/00/39/299140039.db2.gz OHACNSBJBDSYOX-VHSXEESVSA-N -1 1 307.329 1.368 20 0 DDADMM Cc1onc(-c2ccccc2)c1C(=O)[N-]N1CCCNC1=O ZINC000272614268 210014662 /nfs/dbraw/zinc/01/46/62/210014662.db2.gz SEPCHQGOEUYYQS-UHFFFAOYSA-N -1 1 300.318 1.710 20 0 DDADMM O=C(c1cc(=O)[nH]cn1)N1CCCN(Cc2ccccc2)CC1 ZINC000623611314 365956704 /nfs/dbraw/zinc/95/67/04/365956704.db2.gz GFXSIXKGRWWQSJ-UHFFFAOYSA-N -1 1 312.373 1.530 20 0 DDADMM Cn1ccnc1[C@@H](NC(=O)c1c([O-])cccc1F)C(C)(C)CO ZINC000616865634 362852057 /nfs/dbraw/zinc/85/20/57/362852057.db2.gz WEHTYWQONZIMTO-CYBMUJFWSA-N -1 1 321.352 1.754 20 0 DDADMM Cc1ccc(S(=O)(=O)N[C@H](C)CN(C)C)c(C)c1C(=O)[O-] ZINC000342903921 282618797 /nfs/dbraw/zinc/61/87/97/282618797.db2.gz RVFYUAXFUDPCBE-SNVBAGLBSA-N -1 1 314.407 1.230 20 0 DDADMM CC[C@H]1CCC[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000155920159 186123450 /nfs/dbraw/zinc/12/34/50/186123450.db2.gz CPYUMGCQDMZKJF-VHSXEESVSA-N -1 1 300.380 1.496 20 0 DDADMM C[C@@H](Cn1cccn1)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000264250329 186327214 /nfs/dbraw/zinc/32/72/14/186327214.db2.gz AQVCRXSZXUMBGU-QMMMGPOBSA-N -1 1 319.308 1.667 20 0 DDADMM Cn1c(C2CC2)n[n-]c1=NC(=O)c1cc(-c2cccs2)n[nH]1 ZINC000617290343 363039710 /nfs/dbraw/zinc/03/97/10/363039710.db2.gz IQXJUDNZCQLDIR-UHFFFAOYSA-N -1 1 314.374 1.818 20 0 DDADMM Cc1[nH]ncc1CNC(=O)N=c1ccc(C(F)(F)F)n[n-]1 ZINC000471958548 233711708 /nfs/dbraw/zinc/71/17/08/233711708.db2.gz NNXAJWFKVNPLRB-UHFFFAOYSA-N -1 1 300.244 1.271 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1cnn(Cc2ccncc2)c1 ZINC000172367991 198086443 /nfs/dbraw/zinc/08/64/43/198086443.db2.gz NBBZPFQXHDVFBR-CQSZACIVSA-N -1 1 322.390 1.247 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncc3ccccc3c2[O-])c2ncnn21 ZINC000617424546 363099605 /nfs/dbraw/zinc/09/96/05/363099605.db2.gz ATDAUKGKCLOFHY-JOYOIKCWSA-N -1 1 309.329 1.968 20 0 DDADMM Cc1nnsc1C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000490424976 234010428 /nfs/dbraw/zinc/01/04/28/234010428.db2.gz DWKMQISQWMOMTC-UHFFFAOYSA-N -1 1 305.331 1.603 20 0 DDADMM COc1ccccc1-c1nc(C(=O)Nc2nn[n-]n2)cs1 ZINC000034613540 352293303 /nfs/dbraw/zinc/29/33/03/352293303.db2.gz DPKKVVDWIMMTDW-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1c2ccccc2C[C@H]1C ZINC000331721763 234045343 /nfs/dbraw/zinc/04/53/43/234045343.db2.gz KXPVEEVWBAMBMN-PELKAZGASA-N -1 1 307.375 1.607 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1c2ccccc2C[C@H]1C ZINC000331721763 234045345 /nfs/dbraw/zinc/04/53/45/234045345.db2.gz KXPVEEVWBAMBMN-PELKAZGASA-N -1 1 307.375 1.607 20 0 DDADMM C[C@H](Oc1cccc(C(C)(C)C)c1)C(=O)NN1CC(=O)[N-]C1=O ZINC000044946339 352397918 /nfs/dbraw/zinc/39/79/18/352397918.db2.gz YWFUYDQVBZDCFW-JTQLQIEISA-N -1 1 319.361 1.334 20 0 DDADMM COc1ccc(NC(=O)[C@@H]2CCOC2)cc1[N-]S(C)(=O)=O ZINC000066913493 353024017 /nfs/dbraw/zinc/02/40/17/353024017.db2.gz JJYSCNHSUHUTPM-SECBINFHSA-N -1 1 314.363 1.042 20 0 DDADMM Cc1cc(C(=O)Nc2nnn[n-]2)ccc1NC(=O)CC(C)C ZINC000173809377 198255184 /nfs/dbraw/zinc/25/51/84/198255184.db2.gz VYWUICMJNITTBW-UHFFFAOYSA-N -1 1 302.338 1.745 20 0 DDADMM Cc1cc(C(=O)Nc2nn[n-]n2)ccc1NC(=O)CC(C)C ZINC000173809377 198255186 /nfs/dbraw/zinc/25/51/86/198255186.db2.gz VYWUICMJNITTBW-UHFFFAOYSA-N -1 1 302.338 1.745 20 0 DDADMM Cc1nc(-c2ccc(N[C@@H](C)[C@@H](C)CO)nc2)[n-]c(=O)c1C ZINC000078298618 353509628 /nfs/dbraw/zinc/50/96/28/353509628.db2.gz FAKKBLFAYRXWBS-ONGXEEELSA-N -1 1 302.378 1.878 20 0 DDADMM O=C(N[C@H]1c2ccccc2C[C@H]1O)c1nc2ccccc2c(=O)[n-]1 ZINC000083064874 353705525 /nfs/dbraw/zinc/70/55/25/353705525.db2.gz MMAHDZBROMBLLL-CABCVRRESA-N -1 1 321.336 1.311 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000089307695 353765131 /nfs/dbraw/zinc/76/51/31/353765131.db2.gz AGLDDRXFWHGBSY-NEPJUHHUSA-N -1 1 323.784 1.934 20 0 DDADMM CCN1CCC([N-]S(=O)(=O)c2c(F)cc(F)cc2F)CC1 ZINC000345153312 282940632 /nfs/dbraw/zinc/94/06/32/282940632.db2.gz VFICYGDFNWJVFW-UHFFFAOYSA-N -1 1 322.352 1.867 20 0 DDADMM O=C(NCc1n[nH]c(=O)[n-]1)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000093352948 353899141 /nfs/dbraw/zinc/89/91/41/353899141.db2.gz XEXYDCGFQKWJKC-UHFFFAOYSA-N -1 1 323.263 1.234 20 0 DDADMM Cn1cc(Br)cc(NC(=O)c2cncc([O-])c2)c1=O ZINC000176424502 354258920 /nfs/dbraw/zinc/25/89/20/354258920.db2.gz KIGLWMXIOOIPQJ-UHFFFAOYSA-N -1 1 324.134 1.501 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)[C@@H]1CCCC[C@@H]1OC ZINC000601300420 358485912 /nfs/dbraw/zinc/48/59/12/358485912.db2.gz XUMTYXCUMTVBOU-FRRDWIJNSA-N -1 1 321.439 1.451 20 0 DDADMM C[C@@H](NS(=O)(=O)c1c(F)cccc1[N+](=O)[O-])[C@H](C)C(=O)[O-] ZINC000314321586 354484736 /nfs/dbraw/zinc/48/47/36/354484736.db2.gz YRJJQBISJRAKAP-NKWVEPMBSA-N -1 1 320.298 1.121 20 0 DDADMM CC(C)CN1CCN(c2ncc(C(=O)[O-])cc2[N+](=O)[O-])CC1 ZINC000317561849 354512151 /nfs/dbraw/zinc/51/21/51/354512151.db2.gz KMPZCGALNSPTOL-UHFFFAOYSA-N -1 1 308.338 1.466 20 0 DDADMM O=C([O-])C1CN(C(=O)NC[C@@H]2CC[N@@H+](Cc3ccccc3)C2)C1 ZINC000390782498 354641376 /nfs/dbraw/zinc/64/13/76/354641376.db2.gz SCHXBYYSBDJEMN-AWEZNQCLSA-N -1 1 317.389 1.235 20 0 DDADMM CC(C)(C)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000586751859 354856916 /nfs/dbraw/zinc/85/69/16/354856916.db2.gz IDZORJTXXVYTJM-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM CCn1cc(S(=O)(=O)[N-][C@](C)(C2CC2)C(F)(F)F)cn1 ZINC000358826589 291046040 /nfs/dbraw/zinc/04/60/40/291046040.db2.gz LOBDMXHNAYWQEO-SNVBAGLBSA-N -1 1 311.329 1.912 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CCCOC2)c(F)c1 ZINC000588683116 354928077 /nfs/dbraw/zinc/92/80/77/354928077.db2.gz TZLHGSZIWUPUIR-SECBINFHSA-N -1 1 321.345 1.678 20 0 DDADMM CN=c1[n-]nc(SC[C@H](O)CN(C)Cc2ccccc2)s1 ZINC000588687793 354928901 /nfs/dbraw/zinc/92/89/01/354928901.db2.gz GEEVGQIXWQOQGG-GFCCVEGCSA-N -1 1 324.475 1.587 20 0 DDADMM C[C@H]1CCCC[C@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332474064 235007788 /nfs/dbraw/zinc/00/77/88/235007788.db2.gz VZDKBVQPHFSRGE-WDEREUQCSA-N -1 1 309.439 1.426 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC2CCCC2)o1 ZINC000358853025 291055630 /nfs/dbraw/zinc/05/56/30/291055630.db2.gz XOXQRZKNEIAAKH-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM COC(=O)c1ccc(CCNC(=O)c2ncccc2[O-])cc1 ZINC000171242557 306683603 /nfs/dbraw/zinc/68/36/03/306683603.db2.gz YUCRCCNFYOKBTA-UHFFFAOYSA-N -1 1 300.314 1.546 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)Cc1csc(C)n1 ZINC000591331684 355291296 /nfs/dbraw/zinc/29/12/96/355291296.db2.gz VVKRFDRHKJVCOZ-NSHDSACASA-N -1 1 318.420 1.213 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)NC(=O)C2CCCC2)n1 ZINC000591302408 355284949 /nfs/dbraw/zinc/28/49/49/355284949.db2.gz WKCGSFOABBEZMJ-VIFPVBQESA-N -1 1 322.365 1.220 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCc1cocn1 ZINC000593982919 356079689 /nfs/dbraw/zinc/07/96/89/356079689.db2.gz FMGWBRITCDQJME-UHFFFAOYSA-N -1 1 315.333 1.289 20 0 DDADMM FC(F)(F)c1nsc(=NCCCCN2CCOCC2)[n-]1 ZINC000347056945 283162291 /nfs/dbraw/zinc/16/22/91/283162291.db2.gz RUWSKQXOPWMEIS-UHFFFAOYSA-N -1 1 310.345 1.503 20 0 DDADMM FC(F)(F)c1nc(=NCCCCN2CCOCC2)s[n-]1 ZINC000347056945 283162294 /nfs/dbraw/zinc/16/22/94/283162294.db2.gz RUWSKQXOPWMEIS-UHFFFAOYSA-N -1 1 310.345 1.503 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCOC(C)(C)C)cc1C ZINC000595312205 356444717 /nfs/dbraw/zinc/44/47/17/356444717.db2.gz RFESHDZYYBSIAL-UHFFFAOYSA-N -1 1 319.379 1.468 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)C(C)C ZINC000595314122 356445728 /nfs/dbraw/zinc/44/57/28/356445728.db2.gz GANLNVPVNNMCBK-SNVBAGLBSA-N -1 1 319.379 1.324 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC(C)(C)CCO)cc1C ZINC000595319175 356447519 /nfs/dbraw/zinc/44/75/19/356447519.db2.gz YVWPGEFKMYVAHO-UHFFFAOYSA-N -1 1 319.379 1.062 20 0 DDADMM COc1cc(C(=O)N2CCC(O)(CO)CC2)cc(Cl)c1[O-] ZINC000618243409 363543176 /nfs/dbraw/zinc/54/31/76/363543176.db2.gz VOUVUMVWZSREDW-UHFFFAOYSA-N -1 1 315.753 1.014 20 0 DDADMM COC(=O)[C@](C)([N-]S(=O)(=O)c1cc(C)ns1)C1CC1 ZINC000601349499 358510814 /nfs/dbraw/zinc/51/08/14/358510814.db2.gz DDDLNBHLIAGIAA-LLVKDONJSA-N -1 1 304.393 1.072 20 0 DDADMM CCOC(=O)c1csc(=N[C@@H]2CCC[C@@H]([S@](C)=O)C2)[n-]1 ZINC000596367327 356865002 /nfs/dbraw/zinc/86/50/02/356865002.db2.gz RUNYSARGEAYVKM-ZLMDCXFVSA-N -1 1 316.448 1.843 20 0 DDADMM COC(=O)c1ccc(CNC2(c3nnn[n-]3)CCCC2)cc1F ZINC000597072907 357053563 /nfs/dbraw/zinc/05/35/63/357053563.db2.gz BAVJJEGSEPMSKP-UHFFFAOYSA-N -1 1 319.340 1.685 20 0 DDADMM COC(=O)c1ccc(CNC2(c3nn[n-]n3)CCCC2)cc1F ZINC000597072907 357053565 /nfs/dbraw/zinc/05/35/65/357053565.db2.gz BAVJJEGSEPMSKP-UHFFFAOYSA-N -1 1 319.340 1.685 20 0 DDADMM COC(=O)[C@@H](C)CN(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000597225293 357101084 /nfs/dbraw/zinc/10/10/84/357101084.db2.gz AYKXZRKRMAGQRR-JTQLQIEISA-N -1 1 302.330 1.822 20 0 DDADMM COC(=O)[C@H](CC(F)F)NC(=O)c1c(F)ccc([O-])c1F ZINC000598790800 357729926 /nfs/dbraw/zinc/72/99/26/357729926.db2.gz XARISMWAZSJOHJ-LURJTMIESA-N -1 1 309.215 1.597 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N([C@H](C)C(C)C)C2CC2)co1 ZINC000179355286 199019893 /nfs/dbraw/zinc/01/98/93/199019893.db2.gz VTUURAUPBQWSBI-SNVBAGLBSA-N -1 1 314.407 1.837 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N2CCc3nc[nH]c3C2)c1 ZINC000599952007 358088551 /nfs/dbraw/zinc/08/85/51/358088551.db2.gz KGOODXNOUPGDAF-JOCHJYFZSA-N -1 1 319.386 1.111 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccccc1OCc1ccncc1 ZINC000600494722 358240165 /nfs/dbraw/zinc/24/01/65/358240165.db2.gz JUZGNNRUYLHSTI-UHFFFAOYSA-N -1 1 310.317 1.104 20 0 DDADMM CCOC(=O)C[C@H](O)CSc1nc(C(F)F)cc(=O)[n-]1 ZINC000600548043 358254105 /nfs/dbraw/zinc/25/41/05/358254105.db2.gz AEYLJTCEICGZHZ-LURJTMIESA-N -1 1 308.306 1.526 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@@H]2CCCCO2)c1Cl ZINC000180764115 199206208 /nfs/dbraw/zinc/20/62/08/199206208.db2.gz BJGGCPPMYJPSOC-VIFPVBQESA-N -1 1 307.803 1.311 20 0 DDADMM COC(=O)[C@H](CC(F)F)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601389621 358530686 /nfs/dbraw/zinc/53/06/86/358530686.db2.gz CGBXLHPWZFOEFU-VIFPVBQESA-N -1 1 321.707 1.901 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601389147 358530863 /nfs/dbraw/zinc/53/08/63/358530863.db2.gz FLTPRTUWBWAZNW-IUCAKERBSA-N -1 1 306.409 1.318 20 0 DDADMM C[C@@H](C[S@@](C)=O)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601392056 358531974 /nfs/dbraw/zinc/53/19/74/358531974.db2.gz WRAZMCHMZRJHJX-QVHKOIDPSA-N -1 1 301.336 1.263 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)[C@@H](C)S[C@@H](C)C(=O)[O-])CC1 ZINC000602001512 358771957 /nfs/dbraw/zinc/77/19/57/358771957.db2.gz FNQPLKKNLDRDIG-NEPJUHHUSA-N -1 1 316.467 1.914 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CC[C@H](C)SC)n[n-]1 ZINC000603014949 359357989 /nfs/dbraw/zinc/35/79/89/359357989.db2.gz ILORYPCIFKYOLC-QMMMGPOBSA-N -1 1 300.384 1.129 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CC[C@H](C)SC)n1 ZINC000603014949 359357990 /nfs/dbraw/zinc/35/79/90/359357990.db2.gz ILORYPCIFKYOLC-QMMMGPOBSA-N -1 1 300.384 1.129 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)n[n-]1 ZINC000603022735 359364721 /nfs/dbraw/zinc/36/47/21/359364721.db2.gz PRUVWMGYXGFGNO-PJXYFTJBSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)n1 ZINC000603022735 359364723 /nfs/dbraw/zinc/36/47/23/359364723.db2.gz PRUVWMGYXGFGNO-PJXYFTJBSA-N -1 1 320.393 1.814 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](CC)SC)n[n-]1 ZINC000603154910 359441795 /nfs/dbraw/zinc/44/17/95/359441795.db2.gz MOLQRPYPUZJDLX-HTQZYQBOSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](CC)SC)[n-]1 ZINC000603154910 359441799 /nfs/dbraw/zinc/44/17/99/359441799.db2.gz MOLQRPYPUZJDLX-HTQZYQBOSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](CC)SC)n1 ZINC000603154910 359441803 /nfs/dbraw/zinc/44/18/03/359441803.db2.gz MOLQRPYPUZJDLX-HTQZYQBOSA-N -1 1 300.384 1.300 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cc(F)cc(F)c1O ZINC000603416042 359627850 /nfs/dbraw/zinc/62/78/50/359627850.db2.gz FCWOWHXVUQNTRE-UHFFFAOYSA-N -1 1 323.317 1.611 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N2C[C@H](C)C[C@@H](C)C2)n1 ZINC000187592319 200132312 /nfs/dbraw/zinc/13/23/12/200132312.db2.gz ZIMUDMCFUQUOPO-NXEZZACHSA-N -1 1 311.407 1.793 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCC[C@H]1CCO ZINC000187807541 200159638 /nfs/dbraw/zinc/15/96/38/200159638.db2.gz NLUOOBZDANINGW-RYUDHWBXSA-N -1 1 321.421 1.657 20 0 DDADMM COc1ccnc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000604788046 359801571 /nfs/dbraw/zinc/80/15/71/359801571.db2.gz DKQKBVUXMNRDQP-ZDUSSCGKSA-N -1 1 310.317 1.123 20 0 DDADMM CCOC(C)(C)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000188351669 200232184 /nfs/dbraw/zinc/23/21/84/200232184.db2.gz FCLNGXBHIAJXAL-UHFFFAOYSA-N -1 1 320.393 1.113 20 0 DDADMM CCO[C@H]1C[C@@](CO)(NC(=O)c2ccc([O-])cc2F)C1(C)C ZINC000188879340 200315933 /nfs/dbraw/zinc/31/59/33/200315933.db2.gz YXHOJTQZDUVKGA-BBRMVZONSA-N -1 1 311.353 1.827 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]1CCO)c1ccc(F)c(F)c1F ZINC000188925309 200322339 /nfs/dbraw/zinc/32/23/39/200322339.db2.gz ADKQXKIXXKDKNT-PSASIEDQSA-N -1 1 323.336 1.933 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)[C@@H]2CCOC2)c(F)c1 ZINC000608033325 360107444 /nfs/dbraw/zinc/10/74/44/360107444.db2.gz FQMIKBHHCXZRCB-RKDXNWHRSA-N -1 1 321.345 1.677 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CCCCO1)C(C)(C)C ZINC000609850841 360356020 /nfs/dbraw/zinc/35/60/20/360356020.db2.gz JYLYPMQVHLRTTJ-GHMZBOCLSA-N -1 1 307.412 1.063 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1CC1)c1ccccc1F ZINC000610702583 360510271 /nfs/dbraw/zinc/51/02/71/360510271.db2.gz UNXBPYRUSIHBBU-LBPRGKRZSA-N -1 1 301.339 1.369 20 0 DDADMM O=C(c1ncnc2ccsc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612817376 361156471 /nfs/dbraw/zinc/15/64/71/361156471.db2.gz RAAGUVTUWZECEE-QMMMGPOBSA-N -1 1 315.362 1.224 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2coc3ccccc23)C1=O ZINC000193174851 201001982 /nfs/dbraw/zinc/00/19/82/201001982.db2.gz PUBPURUENMAECW-OAHLLOKOSA-N -1 1 301.302 1.798 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc(C(N)=O)c(Cl)c1 ZINC000193310974 201026929 /nfs/dbraw/zinc/02/69/29/201026929.db2.gz NBVIPZJADGNHHW-SSDOTTSWSA-N -1 1 306.771 1.216 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2c(c1)C[C@@H](C)O2)c1nn[n-]n1 ZINC000613471979 361432782 /nfs/dbraw/zinc/43/27/82/361432782.db2.gz IGPQLZGCKPGEEL-VHSXEESVSA-N -1 1 301.350 1.399 20 0 DDADMM C[C@H](CNC(=O)c1ncc2ccccc2c1[O-])c1nncn1C ZINC000613840444 361596944 /nfs/dbraw/zinc/59/69/44/361596944.db2.gz QGCRBOKSKUNUNA-SNVBAGLBSA-N -1 1 311.345 1.602 20 0 DDADMM COc1cc(C(=O)N2CCO[C@@H](OC)C2)cc(Cl)c1[O-] ZINC000613817790 361587517 /nfs/dbraw/zinc/58/75/17/361587517.db2.gz ISGNYVTYXHSWOS-LLVKDONJSA-N -1 1 301.726 1.499 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](C)c1ncnn1C ZINC000613894084 361622250 /nfs/dbraw/zinc/62/22/50/361622250.db2.gz DLDDIBKRMFPMCN-SECBINFHSA-N -1 1 318.381 1.408 20 0 DDADMM COC(=O)[C@H]1CCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000194635273 201265970 /nfs/dbraw/zinc/26/59/70/201265970.db2.gz RGJASBYLSLHWLA-CYBMUJFWSA-N -1 1 303.314 1.636 20 0 DDADMM CCOc1cc(C(=O)NC[C@@H]2CCC(=O)N2)cc(Cl)c1[O-] ZINC000619145052 363914613 /nfs/dbraw/zinc/91/46/13/363914613.db2.gz VKMNKHCSGGHETQ-VIFPVBQESA-N -1 1 312.753 1.453 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCc2nccnc2C1 ZINC000620420450 364409474 /nfs/dbraw/zinc/40/94/74/364409474.db2.gz HSLZLLZJINSEDC-UHFFFAOYSA-N -1 1 301.375 1.128 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](O)[C@@H](C)c2ccccc2)c([O-])c1 ZINC000620667427 364514806 /nfs/dbraw/zinc/51/48/06/364514806.db2.gz CNCGBLDLPDDZPR-WFASDCNBSA-N -1 1 300.358 1.990 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1CCc2cc(F)ccc21 ZINC000621991535 365173962 /nfs/dbraw/zinc/17/39/62/365173962.db2.gz WRTDUDKZHHISKI-GFCCVEGCSA-N -1 1 316.336 1.307 20 0 DDADMM CS[C@H](C)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091160562 193008893 /nfs/dbraw/zinc/00/88/93/193008893.db2.gz GEPRCONUMASRAO-SSDOTTSWSA-N -1 1 306.384 1.887 20 0 DDADMM COC[C@H](CCNC(=O)c1cnc2nc(C)ccc2c1[O-])OC ZINC000622135494 365284144 /nfs/dbraw/zinc/28/41/44/365284144.db2.gz HPHHKUVERHZSRW-NSHDSACASA-N -1 1 319.361 1.425 20 0 DDADMM COC[C@H](CCNC(=O)c1c[n-]c2nc(C)ccc2c1=O)OC ZINC000622135494 365284150 /nfs/dbraw/zinc/28/41/50/365284150.db2.gz HPHHKUVERHZSRW-NSHDSACASA-N -1 1 319.361 1.425 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)Cc3cn(C)nn3)cnc2n1 ZINC000622173357 365310438 /nfs/dbraw/zinc/31/04/38/365310438.db2.gz SJMYJPOXEQRQSR-UHFFFAOYSA-N -1 1 312.333 1.045 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)Cc3cn(C)nn3)c[n-]c2n1 ZINC000622173357 365310444 /nfs/dbraw/zinc/31/04/44/365310444.db2.gz SJMYJPOXEQRQSR-UHFFFAOYSA-N -1 1 312.333 1.045 20 0 DDADMM COc1cc(NC(=O)N2CC(C)C2)ccc1[N-]S(C)(=O)=O ZINC000349326398 283899819 /nfs/dbraw/zinc/89/98/19/283899819.db2.gz GGHHTRVETKUTQS-UHFFFAOYSA-N -1 1 313.379 1.550 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)Cc1cccc2cccnc21 ZINC000625491611 366962313 /nfs/dbraw/zinc/96/23/13/366962313.db2.gz RNKYYTGWWDPETL-CYBMUJFWSA-N -1 1 320.370 1.012 20 0 DDADMM O=S(=O)([N-][C@H]1CO[C@H](C2CC2)C1)c1cc(F)c(F)cc1F ZINC000625584652 367033659 /nfs/dbraw/zinc/03/36/59/367033659.db2.gz XXHGCDCUHIGGJH-PELKAZGASA-N -1 1 321.320 1.950 20 0 DDADMM COc1cc2[n-]cc(C(=O)NCC(C)(C)O)c(=O)c2c(OC)c1 ZINC000625926210 367222670 /nfs/dbraw/zinc/22/26/70/367222670.db2.gz ZKILUIXEYVNMJH-UHFFFAOYSA-N -1 1 320.345 1.046 20 0 DDADMM O=S(=O)(Cc1ncn(-c2ccccc2)n1)c1ccc([O-])cc1 ZINC000092210562 193173517 /nfs/dbraw/zinc/17/35/17/193173517.db2.gz PPNXTEXMVJTNTA-UHFFFAOYSA-N -1 1 315.354 1.947 20 0 DDADMM O=C(CSc1n[nH]c(=S)s1)[N-]OCCC(F)(F)F ZINC000275161610 212161045 /nfs/dbraw/zinc/16/10/45/212161045.db2.gz CGLPZCUMZJYVDS-UHFFFAOYSA-N -1 1 319.355 1.919 20 0 DDADMM O=S(=O)([N-]CC[C@@H](O)C(F)(F)F)c1ccc(Cl)s1 ZINC000349971402 284123744 /nfs/dbraw/zinc/12/37/44/284123744.db2.gz JNLOYFGFKDFBJV-RXMQYKEDSA-N -1 1 323.745 1.993 20 0 DDADMM O=C([O-])[C@@H]1Cn2c(=O)[nH]nc2CN1CCC1CCCCCC1 ZINC000333623352 304154081 /nfs/dbraw/zinc/15/40/81/304154081.db2.gz URAPGJRQACXCFA-LBPRGKRZSA-N -1 1 308.382 1.613 20 0 DDADMM CC[C@@](C)(NCC(=O)Nc1cccc2nsnc21)C(=O)[O-] ZINC000094228642 193352155 /nfs/dbraw/zinc/35/21/55/193352155.db2.gz XDPFHEMGANXPMD-CYBMUJFWSA-N -1 1 308.363 1.473 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CSC1(C)C ZINC000282563732 217092013 /nfs/dbraw/zinc/09/20/13/217092013.db2.gz AILWNDIDAKESLW-LLVKDONJSA-N -1 1 320.418 1.192 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H](C)N2CCSCC2)c1 ZINC000282773496 217241802 /nfs/dbraw/zinc/24/18/02/217241802.db2.gz LPXPHDNDYRJHCE-JTQLQIEISA-N -1 1 324.402 1.555 20 0 DDADMM O=C(N[C@H](c1ccccc1)C(F)F)c1cc(=O)n2[n-]cnc2n1 ZINC000351511051 284354071 /nfs/dbraw/zinc/35/40/71/284354071.db2.gz IPNGUHUZAFZNTM-LLVKDONJSA-N -1 1 319.271 1.154 20 0 DDADMM Cc1nc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c(C)s1 ZINC000283005281 217381542 /nfs/dbraw/zinc/38/15/42/217381542.db2.gz VVVJFPSSPKASOF-SECBINFHSA-N -1 1 307.379 1.191 20 0 DDADMM Cc1nc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c(C)s1 ZINC000283005283 217381653 /nfs/dbraw/zinc/38/16/53/217381653.db2.gz VVVJFPSSPKASOF-VIFPVBQESA-N -1 1 307.379 1.191 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc(C)c(OC)c1)c1nn[n-]n1 ZINC000267550637 206138441 /nfs/dbraw/zinc/13/84/41/206138441.db2.gz CTKQWXDIFINBDI-LBPRGKRZSA-N -1 1 303.366 1.717 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)c1ccncc1C(N)=O ZINC000579538577 422782876 /nfs/dbraw/zinc/78/28/76/422782876.db2.gz PRTDNQPJBUZZQD-UHFFFAOYSA-N -1 1 315.358 1.527 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1ccc(O)c(F)c1 ZINC000427952659 307303340 /nfs/dbraw/zinc/30/33/40/307303340.db2.gz UCZXHQFCGLMPGR-UHFFFAOYSA-N -1 1 323.349 1.987 20 0 DDADMM O=S(=O)([N-]Cc1nnc2ccccn21)c1sccc1F ZINC000338851674 250161488 /nfs/dbraw/zinc/16/14/88/250161488.db2.gz PJAHTCYEABIMDS-UHFFFAOYSA-N -1 1 312.351 1.408 20 0 DDADMM CCOC(=O)C[C@@H](C)C[N-]S(=O)(=O)c1sccc1F ZINC000338940377 250209026 /nfs/dbraw/zinc/20/90/26/250209026.db2.gz YLTPPOZVTMMBGH-MRVPVSSYSA-N -1 1 309.384 1.755 20 0 DDADMM NC(=O)COc1cccc(NC(=O)c2c(F)ccc([O-])c2F)c1 ZINC000339243134 250362150 /nfs/dbraw/zinc/36/21/50/250362150.db2.gz WOECLLLRYBVQAE-UHFFFAOYSA-N -1 1 322.267 1.787 20 0 DDADMM O=C(c1ncccc1[O-])N1CCn2c(Br)cnc2C1 ZINC000283736619 284771860 /nfs/dbraw/zinc/77/18/60/284771860.db2.gz GHFMVBSDAKNFHX-UHFFFAOYSA-N -1 1 323.150 1.402 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)Cc2ccco2)c1 ZINC000359684494 299513596 /nfs/dbraw/zinc/51/35/96/299513596.db2.gz SASIBMHJUBKYTH-UHFFFAOYSA-N -1 1 310.331 1.075 20 0 DDADMM CC(C)[C@](C)([N-]S(=O)(=O)c1cccc(Cl)c1F)C(N)=O ZINC000285285238 218365771 /nfs/dbraw/zinc/36/57/71/218365771.db2.gz JUFLDEGHSIWOPK-LBPRGKRZSA-N -1 1 322.789 1.657 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)c3cccc(F)c3F)ccnc1-2 ZINC000340364260 251026811 /nfs/dbraw/zinc/02/68/11/251026811.db2.gz CSSVILUXDGDGAI-UHFFFAOYSA-N -1 1 324.312 1.421 20 0 DDADMM CS(=O)(=O)CC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000340743188 251188067 /nfs/dbraw/zinc/18/80/67/251188067.db2.gz LPMCJMJQSVMZRD-LLVKDONJSA-N -1 1 312.391 1.217 20 0 DDADMM C[C@@H](NC(=O)N=c1[n-]nc(-c2ccccc2)s1)c1nnc[nH]1 ZINC000340848035 251242018 /nfs/dbraw/zinc/24/20/18/251242018.db2.gz OGSJXVQPIPUBJU-MRVPVSSYSA-N -1 1 315.362 1.628 20 0 DDADMM O=S(=O)([N-][C@@H](CO)[C@H]1CCCO1)c1cc(Cl)ccc1F ZINC000341075062 251366645 /nfs/dbraw/zinc/36/66/45/251366645.db2.gz GNLDNKUKLZVFPT-WDEREUQCSA-N -1 1 323.773 1.297 20 0 DDADMM O=C([O-])c1cncc(NS(=O)(=O)c2ccc(F)c(F)c2)c1 ZINC000062946998 184262079 /nfs/dbraw/zinc/26/20/79/184262079.db2.gz MBNJEUPGIAMZOT-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM C[C@@H](c1ccc(F)cc1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352422351 285039913 /nfs/dbraw/zinc/03/99/13/285039913.db2.gz IQDBTCNPIDTUMM-VIFPVBQESA-N -1 1 315.308 1.390 20 0 DDADMM CCCC[C@@H](COC)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000119648851 195009799 /nfs/dbraw/zinc/00/97/99/195009799.db2.gz UUITXKFZODGSTA-JTQLQIEISA-N -1 1 318.395 1.123 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2C[C@@H](O)C[C@@H]2C)c(=O)[n-]1 ZINC000331013726 533072471 /nfs/dbraw/zinc/07/24/71/533072471.db2.gz OIFBCRIGOIZZGW-WPRPVWTQSA-N -1 1 311.407 1.127 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2oc(C3CC3)nc2C)n1 ZINC000567992027 304259888 /nfs/dbraw/zinc/25/98/88/304259888.db2.gz GMDNXOFDVDYVOB-UHFFFAOYSA-N -1 1 308.319 1.751 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCCC(=O)OC(C)(C)C)[n-]n1 ZINC000413182212 224149869 /nfs/dbraw/zinc/14/98/69/224149869.db2.gz KNKIBGLSWHMRMY-UHFFFAOYSA-N -1 1 308.382 1.134 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCCC1)c1ccc(F)c(F)c1F ZINC000289499323 221020998 /nfs/dbraw/zinc/02/09/98/221020998.db2.gz KSGJDPZAMXQXPC-JTQLQIEISA-N -1 1 323.336 1.933 20 0 DDADMM Cc1ccc(F)cc1CN[C@H]1CCN([C@@H](C(=O)[O-])C(C)C)C1=O ZINC000414661531 224369438 /nfs/dbraw/zinc/36/94/38/224369438.db2.gz QITMJLJQPWHBJH-LSDHHAIUSA-N -1 1 322.380 1.934 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@](O)(C(F)(F)F)C2)c([O-])c1 ZINC000408371153 292872418 /nfs/dbraw/zinc/87/24/18/292872418.db2.gz VTLPXRMNFCZWIH-LBPRGKRZSA-N -1 1 304.268 1.625 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@@H](COCc2ccccc2)C1 ZINC000631530618 422813630 /nfs/dbraw/zinc/81/36/30/422813630.db2.gz XTVJXGIJSGUOFJ-CQSZACIVSA-N -1 1 315.377 1.198 20 0 DDADMM O=c1nc([C@H]2CCCCN2Cc2nnc3n2CCCCC3)[nH][n-]1 ZINC000295349376 296534366 /nfs/dbraw/zinc/53/43/66/296534366.db2.gz QPRSDOYMDUKUSK-LLVKDONJSA-N -1 1 317.397 1.143 20 0 DDADMM CCOC(=O)c1ccc(NC(=O)CN2CC[C@H](C(=O)[O-])C2)cc1 ZINC000579718168 422821499 /nfs/dbraw/zinc/82/14/99/422821499.db2.gz PGRWEOBZZWGDTH-LBPRGKRZSA-N -1 1 320.345 1.208 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ncc(C)cc2[O-])[C@@H]1n1cccn1 ZINC000569267106 304344900 /nfs/dbraw/zinc/34/49/00/304344900.db2.gz VMGQPGLWQXJGON-KYOSRNDESA-N -1 1 316.361 1.441 20 0 DDADMM COCC(C)(C)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338888196 292203367 /nfs/dbraw/zinc/20/33/67/292203367.db2.gz WQIDEJIBNRJJSB-UHFFFAOYSA-N -1 1 315.317 1.142 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)N=c1[n-]nc(-c2ccco2)s1 ZINC000569547917 304361310 /nfs/dbraw/zinc/36/13/10/304361310.db2.gz VLQVPACXYADTJS-SNVBAGLBSA-N -1 1 321.406 1.778 20 0 DDADMM C[C@@H](CCC(=O)[O-])NS(=O)(=O)c1c(F)cc(F)cc1F ZINC000070312196 406813108 /nfs/dbraw/zinc/81/31/08/406813108.db2.gz USDZECBDIFUOLT-LURJTMIESA-N -1 1 311.281 1.636 20 0 DDADMM O=C(NC[C@@H]1CCC(=O)N1)c1ccc(Br)cc1[O-] ZINC000071030747 406830966 /nfs/dbraw/zinc/83/09/66/406830966.db2.gz QKMQYTHXVKWCCN-QMMMGPOBSA-N -1 1 313.151 1.163 20 0 DDADMM O=C(NC[C@H]1Cc2ccccc2O1)c1nc2ccccc2c(=O)[n-]1 ZINC000073891505 406896551 /nfs/dbraw/zinc/89/65/51/406896551.db2.gz BHKUWCFAQZSXDX-GFCCVEGCSA-N -1 1 321.336 1.657 20 0 DDADMM COc1ccccc1OCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000029388783 406923297 /nfs/dbraw/zinc/92/32/97/406923297.db2.gz IBQMEXAQPYOUDX-UHFFFAOYSA-N -1 1 316.239 1.850 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)NCCc2n[n-]c(=S)n2C)c1C ZINC000078502408 407031134 /nfs/dbraw/zinc/03/11/34/407031134.db2.gz KATPFZFCEOMJTA-UHFFFAOYSA-N -1 1 321.406 1.598 20 0 DDADMM Cc1n[nH]cc1C[N-]S(=O)(=O)c1c(F)cc(F)cc1F ZINC000038012287 406997266 /nfs/dbraw/zinc/99/72/66/406997266.db2.gz NNTQNILKIWLXAG-UHFFFAOYSA-N -1 1 305.281 1.614 20 0 DDADMM Cc1n[nH]cc1CCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000050183053 407129116 /nfs/dbraw/zinc/12/91/16/407129116.db2.gz FSXVDXFMLXZUNC-UHFFFAOYSA-N -1 1 317.802 1.016 20 0 DDADMM CC(=O)c1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)n(C)c1 ZINC000050603682 407131671 /nfs/dbraw/zinc/13/16/71/407131671.db2.gz UHHXUWWFONXROS-UHFFFAOYSA-N -1 1 301.228 1.617 20 0 DDADMM O=C(Cc1csc(Cc2ccc(F)cc2)n1)Nc1nnn[n-]1 ZINC000102895235 407328746 /nfs/dbraw/zinc/32/87/46/407328746.db2.gz ZAIMWRYNVJMSOQ-UHFFFAOYSA-N -1 1 318.337 1.567 20 0 DDADMM O=C(Cc1csc(Cc2ccc(F)cc2)n1)Nc1nn[n-]n1 ZINC000102895235 407328747 /nfs/dbraw/zinc/32/87/47/407328747.db2.gz ZAIMWRYNVJMSOQ-UHFFFAOYSA-N -1 1 318.337 1.567 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2C[C@@H]2c2ccccc2)co1 ZINC000122852001 407312264 /nfs/dbraw/zinc/31/22/64/407312264.db2.gz ZHRBMBPIOYUTSR-CHWSQXEVSA-N -1 1 320.370 1.474 20 0 DDADMM O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)c1c([O-])cccc1F ZINC000124125780 407348917 /nfs/dbraw/zinc/34/89/17/407348917.db2.gz IRSCGFCZBHZKEY-MRVPVSSYSA-N -1 1 320.242 1.424 20 0 DDADMM CCS(=O)(=O)C[C@H](C)NC(=O)c1ccc(Cl)cc1[O-] ZINC000113134204 407467728 /nfs/dbraw/zinc/46/77/28/407467728.db2.gz QEBIMPSCZRKBID-QMMMGPOBSA-N -1 1 305.783 1.599 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2c[nH]nc2C)c(=O)[n-]1 ZINC000195294827 407449597 /nfs/dbraw/zinc/44/95/97/407449597.db2.gz HIZXWQQGFQJRJN-UHFFFAOYSA-N -1 1 321.406 1.081 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCOC2(CCCC2)C1 ZINC000151607223 407462348 /nfs/dbraw/zinc/46/23/48/407462348.db2.gz WWFBRRFFZSYTKI-UHFFFAOYSA-N -1 1 313.357 1.708 20 0 DDADMM NC(=O)[C@H]1CCCC[C@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000152162642 407573077 /nfs/dbraw/zinc/57/30/77/407573077.db2.gz XRARMXRBXUCXEC-NWDGAFQWSA-N -1 1 322.386 1.755 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@@H]1CO)c1cc(F)ccc1F ZINC000226415398 407616598 /nfs/dbraw/zinc/61/65/98/407616598.db2.gz UDWLMDMTQCKSBP-SKDRFNHKSA-N -1 1 305.346 1.794 20 0 DDADMM CC(C)[C@@H](NC(=O)CN1CC[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000178895258 407642932 /nfs/dbraw/zinc/64/29/32/407642932.db2.gz VUSSJUQOKXVZCA-GOEBONIOSA-N -1 1 304.390 1.906 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H](CO)C(C)C)c(=O)[n-]1 ZINC000271422287 407679528 /nfs/dbraw/zinc/67/95/28/407679528.db2.gz YXXPPLFUKWGMRX-LLVKDONJSA-N -1 1 313.423 1.278 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC[C@H](C)CC1 ZINC000171472729 407693239 /nfs/dbraw/zinc/69/32/39/407693239.db2.gz DORYFDQOMBTAGT-NSHDSACASA-N -1 1 316.405 1.831 20 0 DDADMM CCc1noc2ncc(S(=O)(=O)[N-]c3[nH]nc(C)c3C)cc12 ZINC000186608891 407717943 /nfs/dbraw/zinc/71/79/43/407717943.db2.gz AXBNDMUFVUYOPJ-UHFFFAOYSA-N -1 1 321.362 1.926 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(N)=O ZINC000131638497 407743045 /nfs/dbraw/zinc/74/30/45/407743045.db2.gz GTGCUTXEGNYJKJ-VIFPVBQESA-N -1 1 308.762 1.267 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccc(F)c(F)c1F)OCC1CC1 ZINC000171765973 407765474 /nfs/dbraw/zinc/76/54/74/407765474.db2.gz QBZWHZRBBOIVHO-UHFFFAOYSA-N -1 1 323.292 1.335 20 0 DDADMM NC(=O)[C@@H](NC(=O)c1c([O-])cccc1F)c1ccc(F)cc1 ZINC000132047877 407768395 /nfs/dbraw/zinc/76/83/95/407768395.db2.gz DVMRMAHMHQKUIB-ZDUSSCGKSA-N -1 1 306.268 1.627 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1cccc(F)c1)c2=O ZINC000179417772 407786723 /nfs/dbraw/zinc/78/67/23/407786723.db2.gz PNCNXJFICFJCQH-UHFFFAOYSA-N -1 1 300.293 1.743 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2[C@@H]3CCO[C@@H]3C2(C)C)c1Cl ZINC000153399942 407814296 /nfs/dbraw/zinc/81/42/96/407814296.db2.gz YESGMHMEGYMYLD-CIUDSAMLSA-N -1 1 319.814 1.165 20 0 DDADMM COCCn1nc(C(=O)Nc2ccc(Cl)cc2[O-])ccc1=O ZINC000153422654 407821217 /nfs/dbraw/zinc/82/12/17/407821217.db2.gz XFNYEBMWJYROQF-UHFFFAOYSA-N -1 1 323.736 1.501 20 0 DDADMM CN(C)C(=O)CCNC(=O)c1ccc(Br)c([O-])c1 ZINC000133159355 407822110 /nfs/dbraw/zinc/82/21/10/407822110.db2.gz ICORLNYEQJQFAJ-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)[nH]n1 ZINC000179719631 407827123 /nfs/dbraw/zinc/82/71/23/407827123.db2.gz IRADVEOEKOGREE-MRVPVSSYSA-N -1 1 314.349 1.066 20 0 DDADMM CCc1nnc([N-]C(=O)c2ncn(-c3ccccc3)n2)s1 ZINC000133312898 407829032 /nfs/dbraw/zinc/82/90/32/407829032.db2.gz WJJQSSJJHUTJIJ-UHFFFAOYSA-N -1 1 300.347 1.934 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CC1)c1c(Cl)ccnc1Cl ZINC000272266175 407840747 /nfs/dbraw/zinc/84/07/47/407840747.db2.gz VTXYVFYWIQESMX-MRVPVSSYSA-N -1 1 311.190 1.438 20 0 DDADMM COC(=O)CN(Cc1cc(=O)oc2cc([O-])ccc12)C1CC1 ZINC000272332795 407870487 /nfs/dbraw/zinc/87/04/87/407870487.db2.gz VDFXPTYHMCFMLH-UHFFFAOYSA-N -1 1 303.314 1.636 20 0 DDADMM O=C(Nc1nn[n-]n1)c1csc(Cc2ccccc2F)n1 ZINC000117971019 407874696 /nfs/dbraw/zinc/87/46/96/407874696.db2.gz RJKIGCPTVARDKW-UHFFFAOYSA-N -1 1 304.310 1.638 20 0 DDADMM COCCNc1ccc(CNC(=O)c2cncc([O-])c2)cc1 ZINC000117981522 407875040 /nfs/dbraw/zinc/87/50/40/407875040.db2.gz JSHNYYFOTNRYQK-UHFFFAOYSA-N -1 1 301.346 1.776 20 0 DDADMM O=C([O-])[C@@H]1CCN(CC(=O)NCc2ccc(Cl)s2)C1 ZINC000262660511 407894643 /nfs/dbraw/zinc/89/46/43/407894643.db2.gz NPCVQJWKLCOCDV-MRVPVSSYSA-N -1 1 302.783 1.424 20 0 DDADMM C[C@H](NC(=O)c1ccc([O-])cc1F)C(=O)NCc1ccco1 ZINC000181188470 407964655 /nfs/dbraw/zinc/96/46/55/407964655.db2.gz SVSKBOLYPBLJHL-VIFPVBQESA-N -1 1 306.293 1.559 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CCC[C@@H](CO)C1 ZINC000135570190 408034521 /nfs/dbraw/zinc/03/45/21/408034521.db2.gz WANYQJWEVFSPAJ-SECBINFHSA-N -1 1 314.179 1.999 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cc(OC)ccc1OC)c1nn[n-]n1 ZINC000136637827 408115250 /nfs/dbraw/zinc/11/52/50/408115250.db2.gz QIKMOOPFTDFVFN-GFCCVEGCSA-N -1 1 319.365 1.417 20 0 DDADMM CCC[C@@H](NC(=O)c1ccnc(-n2cccn2)c1)c1nn[n-]n1 ZINC000136675196 408120515 /nfs/dbraw/zinc/12/05/15/408120515.db2.gz VLZCRCBRMOZKJT-LLVKDONJSA-N -1 1 312.337 1.052 20 0 DDADMM CC(C)(C)CC[C@H](NC(=O)c1ccc2[nH]nnc2c1)C(=O)[O-] ZINC000263205239 408055795 /nfs/dbraw/zinc/05/57/95/408055795.db2.gz VTNITUUCSXFTLD-NSHDSACASA-N -1 1 304.350 1.967 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCCOC(C)C)co1 ZINC000182378545 408142472 /nfs/dbraw/zinc/14/24/72/408142472.db2.gz WWOOBDKGTVXJAR-UHFFFAOYSA-N -1 1 318.395 1.123 20 0 DDADMM NC(=O)N1CCCN(C(=O)c2cc3ccccc3cc2[O-])CC1 ZINC000263640794 408185331 /nfs/dbraw/zinc/18/53/31/408185331.db2.gz BATKJJPXZFHUPP-UHFFFAOYSA-N -1 1 313.357 1.772 20 0 DDADMM O=C(NCC1CCN(C(=O)c2ccccc2)CC1)c1cnn[nH]1 ZINC000150787569 408202845 /nfs/dbraw/zinc/20/28/45/408202845.db2.gz NROUOASFYGURAG-UHFFFAOYSA-N -1 1 313.361 1.087 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000263727872 408225389 /nfs/dbraw/zinc/22/53/89/408225389.db2.gz XWQGBXNNJQFVBY-LLVKDONJSA-N -1 1 321.377 1.917 20 0 DDADMM O=C(CN1CCCC1=O)Nc1nc(Br)ccc1[O-] ZINC000121560931 408236444 /nfs/dbraw/zinc/23/64/44/408236444.db2.gz OGDAQRBINFBRLF-UHFFFAOYSA-N -1 1 314.139 1.111 20 0 DDADMM C[C@@H](NC(=O)c1nn(-c2ccccc2F)cc1[O-])c1nnc[nH]1 ZINC000176234985 408320321 /nfs/dbraw/zinc/32/03/21/408320321.db2.gz FZHOOOCZXCUHHO-MRVPVSSYSA-N -1 1 316.296 1.326 20 0 DDADMM O=C([O-])C(=O)N[C@@H]1CCN(Cc2ccc(C(F)(F)F)cc2)C1 ZINC000274216507 408326747 /nfs/dbraw/zinc/32/67/47/408326747.db2.gz XUCQOCZLCKAENF-LLVKDONJSA-N -1 1 316.279 1.481 20 0 DDADMM C[C@H]1C[C@H](CC(=O)NCCCc2nc(=O)[n-][nH]2)CC(C)(C)C1 ZINC000176269249 408328734 /nfs/dbraw/zinc/32/87/34/408328734.db2.gz OVMWGUYKWWWEND-NWDGAFQWSA-N -1 1 308.426 1.999 20 0 DDADMM O=C(Cc1cc(Cl)ccc1Br)Nc1nnn[n-]1 ZINC000151186540 408272216 /nfs/dbraw/zinc/27/22/16/408272216.db2.gz VGANAKFZMIJYLF-UHFFFAOYSA-N -1 1 316.546 1.797 20 0 DDADMM O=C(Cc1cc(Cl)ccc1Br)Nc1nn[n-]n1 ZINC000151186540 408272221 /nfs/dbraw/zinc/27/22/21/408272221.db2.gz VGANAKFZMIJYLF-UHFFFAOYSA-N -1 1 316.546 1.797 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ncccc2F)o1 ZINC000156737112 408273393 /nfs/dbraw/zinc/27/33/93/408273393.db2.gz JXWVCMQEWWUWDJ-UHFFFAOYSA-N -1 1 314.294 1.079 20 0 DDADMM O=C([O-])[C@@H]1CCN([C@@H]2CCN(c3ccc(Cl)cc3)C2=O)C1 ZINC000246561490 408292232 /nfs/dbraw/zinc/29/22/32/408292232.db2.gz ULNONJVBQLNCDR-ZWNOBZJWSA-N -1 1 308.765 1.852 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(OC[C@H]2CCCCO2)CC1 ZINC000176214785 408311330 /nfs/dbraw/zinc/31/13/30/408311330.db2.gz QZTDZFAISAFGBD-CQSZACIVSA-N -1 1 320.389 1.978 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1ccccc1-n1ccnn1 ZINC000176463995 408373461 /nfs/dbraw/zinc/37/34/61/408373461.db2.gz HGAWSABUMNCEHE-UHFFFAOYSA-N -1 1 310.379 1.434 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1c[nH]c2cc(F)ccc12)c1nn[n-]n1 ZINC000183405801 408402378 /nfs/dbraw/zinc/40/23/78/408402378.db2.gz SDJUFGHGZCJGPB-VIFPVBQESA-N -1 1 316.340 1.625 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](O)C(F)(F)F)c(F)cc1F ZINC000269737818 408410589 /nfs/dbraw/zinc/41/05/89/408410589.db2.gz SYASRFUAXKJMLY-SECBINFHSA-N -1 1 319.251 1.475 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1SCCc2sccc21)c1nn[n-]n1 ZINC000183437488 408411746 /nfs/dbraw/zinc/41/17/46/408411746.db2.gz NIRKPWRGVNRVPH-KCJUWKMLSA-N -1 1 323.447 1.854 20 0 DDADMM Cc1cscc1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000176692912 408418674 /nfs/dbraw/zinc/41/86/74/408418674.db2.gz BCCDVNFTFMETEB-UHFFFAOYSA-N -1 1 316.386 1.939 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)Oc1cccc(C)c1)c1nn[n-]n1 ZINC000176752128 408431661 /nfs/dbraw/zinc/43/16/61/408431661.db2.gz YQNYDHZSGLLKKG-DGCLKSJQSA-N -1 1 303.366 1.933 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)NC(=O)c1cccs1)c1nn[n-]n1 ZINC000176772635 408439498 /nfs/dbraw/zinc/43/94/98/408439498.db2.gz PXGAPYPDLAWHGI-DTWKUNHWSA-N -1 1 322.394 1.037 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CCCO[C@H]1C ZINC000191797119 408493621 /nfs/dbraw/zinc/49/36/21/408493621.db2.gz WEJRMRZGLWVTEZ-NWDGAFQWSA-N -1 1 318.377 1.071 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1CCC[C@@H]3OCC[C@H]31)c2=O ZINC000248407657 408561913 /nfs/dbraw/zinc/56/19/13/408561913.db2.gz UQPMWSOICKIEMB-BIMULSAOSA-N -1 1 316.361 1.361 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2CCCC[C@H]2N1CC(=O)Nc1nncs1 ZINC000248573514 408589486 /nfs/dbraw/zinc/58/94/86/408589486.db2.gz TVJPMMIKMCEMEH-AEJSXWLSSA-N -1 1 310.379 1.194 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(COC(C)(C)C)on1)c1nn[n-]n1 ZINC000274791120 408534371 /nfs/dbraw/zinc/53/43/71/408534371.db2.gz QAKDXYDOOUHWIM-VIFPVBQESA-N -1 1 322.369 1.379 20 0 DDADMM C[C@H](CN1CCN(c2ccccn2)CC1)[N-]C(=O)C(F)(F)F ZINC000265436944 408652604 /nfs/dbraw/zinc/65/26/04/408652604.db2.gz HDDARQNVGACIAF-LLVKDONJSA-N -1 1 316.327 1.271 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)c1ccc2[nH]nnc2c1 ZINC000248891386 408656295 /nfs/dbraw/zinc/65/62/95/408656295.db2.gz BRCIXXUFYXXHDY-FZZIBODNSA-N -1 1 314.345 1.816 20 0 DDADMM O=c1[n-]c(=O)n(CCOCC(F)(F)C(F)(F)F)cc1Cl ZINC000276059905 408765757 /nfs/dbraw/zinc/76/57/57/408765757.db2.gz KOCCLQFWCOUDPN-UHFFFAOYSA-N -1 1 322.617 1.817 20 0 DDADMM CCN1CCCN(C(=O)c2c([O-])cnc3ccccc32)CC1=O ZINC000190616751 163148364 /nfs/dbraw/zinc/14/83/64/163148364.db2.gz VRDBIGZLHHQKGK-UHFFFAOYSA-N -1 1 313.357 1.635 20 0 DDADMM O=S(=O)(Cc1cc(-c2ccco2)on1)c1n[n-]c(C2CC2)n1 ZINC000194817453 163297542 /nfs/dbraw/zinc/29/75/42/163297542.db2.gz XIEQCONFERNQFS-UHFFFAOYSA-N -1 1 320.330 1.904 20 0 DDADMM O=C(NCCc1nnc2n1CCCCC2)c1ncccc1[O-] ZINC000195143259 163308365 /nfs/dbraw/zinc/30/83/65/163308365.db2.gz APEDHJYFUQCJDS-UHFFFAOYSA-N -1 1 301.350 1.078 20 0 DDADMM Cn1ccnc1CNC(=O)c1ccc(Br)c([O-])c1 ZINC000228317040 163341673 /nfs/dbraw/zinc/34/16/73/163341673.db2.gz NKXCQYWFDYDTQC-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM Cc1nnc(CN2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)[nH]1 ZINC000292060665 408971928 /nfs/dbraw/zinc/97/19/28/408971928.db2.gz CMJHITLFIXYHCE-VXNVDRBHSA-N -1 1 305.304 1.145 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCC[C@@H]3[C@@H]3CCCO3)ccnc1-2 ZINC000287286307 409014255 /nfs/dbraw/zinc/01/42/55/409014255.db2.gz UKHBHTSSVZKVQS-XYCUDVCBSA-N -1 1 315.377 1.517 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]CC2CC(F)(F)C2)oc1C ZINC000292284740 409016791 /nfs/dbraw/zinc/01/67/91/409016791.db2.gz LXSMJBVQWJGKID-UHFFFAOYSA-N -1 1 323.317 1.698 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2CCC3(CC3)CC2)o1 ZINC000278050875 409057850 /nfs/dbraw/zinc/05/78/50/409057850.db2.gz VPNUZDJELWLYLG-UHFFFAOYSA-N -1 1 312.391 1.640 20 0 DDADMM CO[C@@]1(C)C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1(C)C ZINC000283271091 409126662 /nfs/dbraw/zinc/12/66/62/409126662.db2.gz DRNXRFFODBKEEX-BONVTDFDSA-N -1 1 320.418 1.951 20 0 DDADMM Cc1ccc2nc(C(=O)N(C)C[C@H](C)c3nn[n-]n3)ccc2c1 ZINC000293514209 409128215 /nfs/dbraw/zinc/12/82/15/409128215.db2.gz NZSRPNBPUAGXLU-NSHDSACASA-N -1 1 310.361 1.932 20 0 DDADMM O=C(C(=O)N1CCC[C@H]1[C@@H](O)C(F)(F)F)c1ccc([O-])cc1 ZINC000288155867 409155962 /nfs/dbraw/zinc/15/59/62/409155962.db2.gz LSQXJZVRBLKANF-CMPLNLGQSA-N -1 1 317.263 1.489 20 0 DDADMM COC(=O)[C@@H](c1ccsc1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000289398718 409262802 /nfs/dbraw/zinc/26/28/02/409262802.db2.gz KVDZXKFNXZCXLY-MWLCHTKSSA-N -1 1 322.390 1.253 20 0 DDADMM CC(C)(C)OC(=O)NCC(C)(C)NC(=O)c1cncc([O-])c1 ZINC000284131986 409281920 /nfs/dbraw/zinc/28/19/20/409281920.db2.gz WCZZDBTUIGPUCG-UHFFFAOYSA-N -1 1 309.366 1.820 20 0 DDADMM COC(=O)[C@H](C)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284307555 409315721 /nfs/dbraw/zinc/31/57/21/409315721.db2.gz NJIBLMRNYFRVMR-SSDOTTSWSA-N -1 1 309.746 1.567 20 0 DDADMM C[C@@H]1CSCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000295605410 409357554 /nfs/dbraw/zinc/35/75/54/409357554.db2.gz CTQGVXXFYZWGCA-VIFPVBQESA-N -1 1 308.432 1.843 20 0 DDADMM CCCC[C@@H](C)N(C)C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000290419126 409394371 /nfs/dbraw/zinc/39/43/71/409394371.db2.gz OMDCQHGSSKWXJC-ZNCULLJESA-N -1 1 317.393 1.317 20 0 DDADMM CCCn1ncnc1CS(=O)(=O)c1nnc(C(C)(C)C)[n-]1 ZINC000280698161 409415235 /nfs/dbraw/zinc/41/52/35/409415235.db2.gz RWMODQODHWWLGW-UHFFFAOYSA-N -1 1 312.399 1.078 20 0 DDADMM CCCn1ncnc1CS(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000280698161 409415238 /nfs/dbraw/zinc/41/52/38/409415238.db2.gz RWMODQODHWWLGW-UHFFFAOYSA-N -1 1 312.399 1.078 20 0 DDADMM CCCn1ncnc1CS(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000280698161 409415240 /nfs/dbraw/zinc/41/52/40/409415240.db2.gz RWMODQODHWWLGW-UHFFFAOYSA-N -1 1 312.399 1.078 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2CC(C(C)(C)C)C2)co1 ZINC000356069625 164131583 /nfs/dbraw/zinc/13/15/83/164131583.db2.gz UQKHJHHAYRRIHQ-UHFFFAOYSA-N -1 1 314.407 1.742 20 0 DDADMM COCc1n[n-]c(=NC2CCN(Cc3ccccn3)CC2)s1 ZINC000357030709 164136313 /nfs/dbraw/zinc/13/63/13/164136313.db2.gz BXHXIUHACJTEFZ-UHFFFAOYSA-N -1 1 319.434 1.578 20 0 DDADMM Cc1ccc(O)c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000407994098 164191539 /nfs/dbraw/zinc/19/15/39/164191539.db2.gz AFGSAIOPCYMYQO-JTQLQIEISA-N -1 1 303.318 1.809 20 0 DDADMM CC[C@@H]1C[C@H](C)CN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000407995010 164192993 /nfs/dbraw/zinc/19/29/93/164192993.db2.gz FIPUCHYFQRNLRT-GXSJLCMTSA-N -1 1 300.380 1.448 20 0 DDADMM CC(C)(C)n1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000407999902 164194034 /nfs/dbraw/zinc/19/40/34/164194034.db2.gz WIOVTMSAHOGAOE-JTQLQIEISA-N -1 1 319.365 1.747 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]2[C@H]2COCC[C@@]2(C)O)c([O-])c1 ZINC000408065668 164215045 /nfs/dbraw/zinc/21/50/45/164215045.db2.gz PLSYXPREHNZXAG-PBFPGSCMSA-N -1 1 320.389 1.488 20 0 DDADMM CO[C@]1(C)CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000296443523 409557815 /nfs/dbraw/zinc/55/78/15/409557815.db2.gz WEXZUWYHFWLPSP-CQSZACIVSA-N -1 1 306.391 1.659 20 0 DDADMM Cc1cc(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)n(C)n1 ZINC000285607328 409505998 /nfs/dbraw/zinc/50/59/98/409505998.db2.gz GFBJSEKHWUXBFG-UHFFFAOYSA-N -1 1 317.393 1.760 20 0 DDADMM COCCCOCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000344818219 409520037 /nfs/dbraw/zinc/52/00/37/409520037.db2.gz ZIYZVFJWZDBHKT-UHFFFAOYSA-N -1 1 305.334 1.774 20 0 DDADMM CCC[C@@H](OC)c1noc(-c2ccc(S(=O)(=O)[N-]C)o2)n1 ZINC000296472057 409572505 /nfs/dbraw/zinc/57/25/05/409572505.db2.gz OMMAXWFGMVZNSP-MRVPVSSYSA-N -1 1 315.351 1.725 20 0 DDADMM CCC[C@H](OC)c1noc(-c2ccc(S(=O)(=O)[N-]C)o2)n1 ZINC000296472060 409574423 /nfs/dbraw/zinc/57/44/23/409574423.db2.gz OMMAXWFGMVZNSP-QMMMGPOBSA-N -1 1 315.351 1.725 20 0 DDADMM COCc1ncc(C(=O)[N-]c2nc3ccc(F)cn3n2)s1 ZINC000342512915 409689883 /nfs/dbraw/zinc/68/98/83/409689883.db2.gz IMNNRMJZMKFWAJ-UHFFFAOYSA-N -1 1 307.310 1.724 20 0 DDADMM Cc1cccc2[nH]c(CCC(=O)NC3(c4nn[n-]n4)CC3)nc21 ZINC000357058283 409828937 /nfs/dbraw/zinc/82/89/37/409828937.db2.gz ZKRPIBMWZHDGJW-UHFFFAOYSA-N -1 1 311.349 1.123 20 0 DDADMM CC[C@@H](C)Oc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357078373 409852229 /nfs/dbraw/zinc/85/22/29/409852229.db2.gz OTYVFTQMPOEXRQ-SNVBAGLBSA-N -1 1 301.350 1.796 20 0 DDADMM CC[C@H](C)c1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)on1 ZINC000357079548 409852313 /nfs/dbraw/zinc/85/23/13/409852313.db2.gz SYOZJEZJNABSIA-VIFPVBQESA-N -1 1 304.354 1.901 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cncc2ccccc21 ZINC000342652044 409787952 /nfs/dbraw/zinc/78/79/52/409787952.db2.gz MPFCJGBAMKVOQO-UHFFFAOYSA-N -1 1 324.340 1.819 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)c3coc(C(N)=O)c3)[nH][n-]2)s1 ZINC000354351680 409789321 /nfs/dbraw/zinc/78/93/21/409789321.db2.gz DZSDHZGUKWAXAU-UHFFFAOYSA-N -1 1 316.342 1.813 20 0 DDADMM C[C@H](NC(=O)c1cncc([O-])c1)c1ccc(NC(N)=O)cc1 ZINC000316379805 409853713 /nfs/dbraw/zinc/85/37/13/409853713.db2.gz WPAQTAAZZXCWTN-VIFPVBQESA-N -1 1 300.318 1.769 20 0 DDADMM Cc1nc(CC(C)C)sc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357039523 409810953 /nfs/dbraw/zinc/81/09/53/409810953.db2.gz CGAYVUYGOOLYNZ-UHFFFAOYSA-N -1 1 306.395 1.582 20 0 DDADMM CCc1oncc1NS(=O)(=O)Cc1ccccc1CC(=O)[O-] ZINC000357086599 409859484 /nfs/dbraw/zinc/85/94/84/409859484.db2.gz MFEQDTIUMMGTCS-UHFFFAOYSA-N -1 1 324.358 1.806 20 0 DDADMM COc1cc(-c2nc([C@@H](C)NC(N)=O)no2)cc(Cl)c1[O-] ZINC000350583500 409967261 /nfs/dbraw/zinc/96/72/61/409967261.db2.gz NRGSPYWHXXUEQM-RXMQYKEDSA-N -1 1 312.713 1.834 20 0 DDADMM Cc1cnc(C(=O)N2CCN(c3ccc(F)cn3)CC2)c([O-])c1 ZINC000332408115 410133768 /nfs/dbraw/zinc/13/37/68/410133768.db2.gz ZOTAPOKIHAGGBD-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM CSc1ccccc1CN1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332445657 410164175 /nfs/dbraw/zinc/16/41/75/410164175.db2.gz KQJIIDDMHSBSRE-UHFFFAOYSA-N -1 1 321.475 1.995 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@H](C(=O)c3ccc(F)cc3)C2)nc1=O ZINC000329245473 410188663 /nfs/dbraw/zinc/18/86/63/410188663.db2.gz AFOTWDFKCFMSQE-GFCCVEGCSA-N -1 1 318.352 1.342 20 0 DDADMM C[C@H]1CCC[C@@H](CNC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343154955 410194372 /nfs/dbraw/zinc/19/43/72/410194372.db2.gz UVYOEJTUEFJQSM-NWDGAFQWSA-N -1 1 305.378 1.460 20 0 DDADMM COC(=O)C(C)(C)NC(=O)c1cc(Br)ccc1[O-] ZINC000298387659 410207411 /nfs/dbraw/zinc/20/74/11/410207411.db2.gz YQWHROCKAQYYEG-UHFFFAOYSA-N -1 1 316.151 1.836 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H]1c1ccc[nH]1 ZINC000343231226 410256130 /nfs/dbraw/zinc/25/61/30/410256130.db2.gz DYRRZVHSJRNSFW-LBPRGKRZSA-N -1 1 314.345 1.209 20 0 DDADMM C[C@@H](Cn1ccnc1)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000298516424 410268238 /nfs/dbraw/zinc/26/82/38/410268238.db2.gz SOLXOGIIKOOKQG-QMMMGPOBSA-N -1 1 319.308 1.667 20 0 DDADMM Cn1ccnc1C[N-]S(=O)(=O)c1c(F)cc(F)cc1F ZINC000355104889 410317770 /nfs/dbraw/zinc/31/77/70/410317770.db2.gz XAQVJKJMEWHDHS-UHFFFAOYSA-N -1 1 305.281 1.316 20 0 DDADMM Cc1ccc(NS(=O)(=O)c2cc(C)cnc2N)c(C(=O)[O-])c1 ZINC000347295725 410451939 /nfs/dbraw/zinc/45/19/39/410451939.db2.gz BWMKSJIPPPYAGO-UHFFFAOYSA-N -1 1 321.358 1.780 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1C[C@@H]2CC[C@@H](O)C[C@H]2C1 ZINC000333287099 410463494 /nfs/dbraw/zinc/46/34/94/410463494.db2.gz NQOIQTWEGHXGJH-JBLDHEPKSA-N -1 1 307.419 1.372 20 0 DDADMM CC(C)c1nnc([C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC000329782540 410443058 /nfs/dbraw/zinc/44/30/58/410443058.db2.gz NYXBMHRBLLMYMY-JTQLQIEISA-N -1 1 305.386 1.077 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccoc2CC(=O)OC)n1 ZINC000352158733 410517092 /nfs/dbraw/zinc/51/70/92/410517092.db2.gz MYOCCXIFGATRIB-UHFFFAOYSA-N -1 1 321.289 1.147 20 0 DDADMM CCOc1ccccc1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000352179179 410527414 /nfs/dbraw/zinc/52/74/14/410527414.db2.gz VVFJAAAOKXVORL-UHFFFAOYSA-N -1 1 319.317 1.225 20 0 DDADMM CC(C)C[C@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000339992148 410604340 /nfs/dbraw/zinc/60/43/40/410604340.db2.gz DOFVMYJGYRSSNQ-GFCCVEGCSA-N -1 1 305.378 1.412 20 0 DDADMM C[C@@H](Cc1ccccc1Cl)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000352209280 410548437 /nfs/dbraw/zinc/54/84/37/410548437.db2.gz AITIGOJSCDFRHO-VIFPVBQESA-N -1 1 305.769 1.837 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cncc([O-])c1)c1ccc(OC)cc1 ZINC000339924635 410554408 /nfs/dbraw/zinc/55/44/08/410554408.db2.gz BUKVAFUVFXVKDC-AWEZNQCLSA-N -1 1 316.313 1.440 20 0 DDADMM CC[C@@H]1C(=O)N(CC)CCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000330264647 410606305 /nfs/dbraw/zinc/60/63/05/410606305.db2.gz YEJKLUIKRQOJTC-GFCCVEGCSA-N -1 1 322.434 1.222 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N[C@H]1CCSC1)c2=O ZINC000352982942 410716860 /nfs/dbraw/zinc/71/68/60/410716860.db2.gz AUIOCYDEVRZTRA-VIFPVBQESA-N -1 1 304.371 1.772 20 0 DDADMM CNC(=O)[C@@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(C)C ZINC000330543182 410762215 /nfs/dbraw/zinc/76/22/15/410762215.db2.gz YTEZPUYEOAYGQM-NSHDSACASA-N -1 1 322.789 1.528 20 0 DDADMM O=S(=O)([N-][C@@]1(C(F)(F)F)CCOC1)c1cccc(F)c1 ZINC000330576476 410792266 /nfs/dbraw/zinc/79/22/66/410792266.db2.gz GMAYXDMHVCLLOI-JTQLQIEISA-N -1 1 313.272 1.825 20 0 DDADMM Cc1ccc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000340366143 410846672 /nfs/dbraw/zinc/84/66/72/410846672.db2.gz CUOIHWAWGQBIKO-UHFFFAOYSA-N -1 1 308.388 1.513 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCC[C@@H](O)C2)c(=O)[n-]1 ZINC000330657455 410852723 /nfs/dbraw/zinc/85/27/23/410852723.db2.gz OKKQFALLMBKFJP-SNVBAGLBSA-N -1 1 311.407 1.128 20 0 DDADMM CCOC(=O)Cc1nnc([N-]C(=O)c2c(C)onc2CC)s1 ZINC000337550803 410859291 /nfs/dbraw/zinc/85/92/91/410859291.db2.gz GDLJPGBDGGGUBN-UHFFFAOYSA-N -1 1 324.362 1.755 20 0 DDADMM C[C@@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000344001909 410882256 /nfs/dbraw/zinc/88/22/56/410882256.db2.gz BWPMLLLKICSTON-JVXZTZIISA-N -1 1 309.309 1.950 20 0 DDADMM CCOc1ccc(CCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348290830 410901017 /nfs/dbraw/zinc/90/10/17/410901017.db2.gz GIZINZBWSSLVRH-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCC[C@@H]2OC)o1 ZINC000341161628 410908943 /nfs/dbraw/zinc/90/89/43/410908943.db2.gz COGUGNFRAMDSJO-ZJUUUORDSA-N -1 1 317.363 1.160 20 0 DDADMM CCC[C@@H](C)[C@H]1CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000344155749 410996429 /nfs/dbraw/zinc/99/64/29/410996429.db2.gz FGEWORUNYNIFPZ-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CC(=O)Nc1ccncc1[N-]S(=O)(=O)Cc1ccccc1F ZINC000356500563 411061178 /nfs/dbraw/zinc/06/11/78/411061178.db2.gz XXATYCCJYNYQQI-UHFFFAOYSA-N -1 1 323.349 1.543 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H](C)C(=O)OC(C)C)sc1C ZINC000331243441 411038490 /nfs/dbraw/zinc/03/84/90/411038490.db2.gz OBTKZLAGODCBRC-QMMMGPOBSA-N -1 1 320.436 1.626 20 0 DDADMM CCC(=O)N[C@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000331245291 411039064 /nfs/dbraw/zinc/03/90/64/411039064.db2.gz RKKLSSIAIIZMQJ-LBPRGKRZSA-N -1 1 304.346 1.092 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2cc(C3CC3)n[nH]2)cn1C ZINC000353850629 411135800 /nfs/dbraw/zinc/13/58/00/411135800.db2.gz JDZWWHAYWJECLO-UHFFFAOYSA-N -1 1 324.362 1.213 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@](C)(OC)C2CC2)o1 ZINC000360248717 411112631 /nfs/dbraw/zinc/11/26/31/411112631.db2.gz AGHNWECFFMAIKQ-CYBMUJFWSA-N -1 1 317.363 1.160 20 0 DDADMM COC[C@H](O)CCNC(=O)c1cc(Br)ccc1[O-] ZINC000130786436 196110020 /nfs/dbraw/zinc/11/00/20/196110020.db2.gz AEAFSMCHQKBCCI-SECBINFHSA-N -1 1 318.167 1.282 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCCCO2)c1 ZINC000631799112 422927148 /nfs/dbraw/zinc/92/71/48/422927148.db2.gz JDAFNXIFJBYTNI-LLVKDONJSA-N -1 1 301.364 1.248 20 0 DDADMM CO[C@@H]1C[C@H](C(=O)[O-])N(Cc2cccc(-n3cccn3)c2)C1 ZINC000580456565 422934886 /nfs/dbraw/zinc/93/48/86/422934886.db2.gz IDBKZMBOEYQHCL-HUUCEWRRSA-N -1 1 301.346 1.546 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1sccc1Cl)[C@H]1CN(C)CCO1 ZINC000649860800 422964182 /nfs/dbraw/zinc/96/41/82/422964182.db2.gz BCPOKLWFWNZKPZ-PSASIEDQSA-N -1 1 324.855 1.399 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](C)[C@@H](C)C2)co1 ZINC000131500981 196175750 /nfs/dbraw/zinc/17/57/50/196175750.db2.gz YKASLPCYAXQOIU-ZJUUUORDSA-N -1 1 300.380 1.306 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H](C)c2ccco2)c1 ZINC000642992832 423018596 /nfs/dbraw/zinc/01/85/96/423018596.db2.gz NFQPSBKWTKEVJG-VIFPVBQESA-N -1 1 324.358 1.636 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CCNC(=O)CC1 ZINC000133760773 196335089 /nfs/dbraw/zinc/33/50/89/196335089.db2.gz LHJBONJLKVXXGG-UHFFFAOYSA-N -1 1 313.151 1.117 20 0 DDADMM O=C([O-])C[C@H]1COCCN1CCCOc1ccc2c(c1)OCO2 ZINC000652476002 423034383 /nfs/dbraw/zinc/03/43/83/423034383.db2.gz NVZLUASEVXOWBT-LBPRGKRZSA-N -1 1 323.345 1.360 20 0 DDADMM C[C@@H]1CN(CCCOCCc2ccccc2)C[C@H](C(=O)[O-])O1 ZINC000652509386 423049769 /nfs/dbraw/zinc/04/97/69/423049769.db2.gz ZHYDLRMSJWLRKR-GDBMZVCRSA-N -1 1 307.390 1.810 20 0 DDADMM FC(F)CN1CC[C@@H](N=c2nc(C(F)(F)F)[n-]s2)C1 ZINC000419024618 226007869 /nfs/dbraw/zinc/00/78/69/226007869.db2.gz RBITXDDKWDUKMN-RXMQYKEDSA-N -1 1 302.272 1.730 20 0 DDADMM FC(F)(F)c1nnc([N-]Cc2cn(C[C@@H]3CCOC3)nn2)o1 ZINC000630953581 417829685 /nfs/dbraw/zinc/82/96/85/417829685.db2.gz JMAOSYNTKBFOHS-ZETCQYMHSA-N -1 1 318.259 1.329 20 0 DDADMM O=C(c1nccc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366661469 418501712 /nfs/dbraw/zinc/50/17/12/418501712.db2.gz ZRCVNFSVJVPSAF-GFCCVEGCSA-N -1 1 308.345 1.768 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(Cl)ccc2[O-])C[C@H](C)S1(=O)=O ZINC000291300422 222297457 /nfs/dbraw/zinc/29/74/57/222297457.db2.gz PWPNFSSDVTVRGW-IUCAKERBSA-N -1 1 317.794 1.693 20 0 DDADMM O=C(Cc1cc(Cl)c2c(c1)OCCO2)N=c1ccc(O)n[n-]1 ZINC000361958047 418730637 /nfs/dbraw/zinc/73/06/37/418730637.db2.gz PBKFKQGJWXERPL-UHFFFAOYSA-N -1 1 321.720 1.210 20 0 DDADMM O=C(Cc1cc(Cl)c2c(c1)OCCO2)N=c1ccc([O-])n[nH]1 ZINC000361958047 418730640 /nfs/dbraw/zinc/73/06/40/418730640.db2.gz PBKFKQGJWXERPL-UHFFFAOYSA-N -1 1 321.720 1.210 20 0 DDADMM C[C@H]1C[C@@H](O)CN1C(=O)c1cc(Br)ccc1[O-] ZINC000384875113 418735523 /nfs/dbraw/zinc/73/55/23/418735523.db2.gz YXSBBFXJOVISPX-IONNQARKSA-N -1 1 300.152 1.750 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)c1ccc(C(=O)[O-])cn1 ZINC000387871888 418744098 /nfs/dbraw/zinc/74/40/98/418744098.db2.gz BKDYMXWHEWMCMK-YPMHNXCESA-N -1 1 303.362 1.479 20 0 DDADMM CCN(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H]1CCCOC1 ZINC000650366275 423143473 /nfs/dbraw/zinc/14/34/73/423143473.db2.gz GDTDRUZNCGEEQU-LLVKDONJSA-N -1 1 301.346 1.564 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cccnc1-n1cccn1)OC ZINC000421222477 419529614 /nfs/dbraw/zinc/52/96/14/419529614.db2.gz CIEZZGWOLASZGM-LLVKDONJSA-N -1 1 310.379 1.434 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(-c2nc[nH]n2)cc1)OC ZINC000421156703 419506399 /nfs/dbraw/zinc/50/63/99/419506399.db2.gz PMBDSDHYVHDRJP-GFCCVEGCSA-N -1 1 310.379 1.638 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](C)C(F)(F)C2)co1 ZINC000427656099 419711898 /nfs/dbraw/zinc/71/18/98/419711898.db2.gz VIMJJZSWBPRFHU-QMMMGPOBSA-N -1 1 322.333 1.305 20 0 DDADMM CO[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645719294 423154171 /nfs/dbraw/zinc/15/41/71/423154171.db2.gz QCHFTJFAJIWIQB-SFYZADRCSA-N -1 1 312.313 1.802 20 0 DDADMM COCCN(C(=O)c1csc(=NC2CC2)[n-]1)[C@H]1CCOC1 ZINC000428542604 419891313 /nfs/dbraw/zinc/89/13/13/419891313.db2.gz FAEJYSCMHFLYBZ-NSHDSACASA-N -1 1 311.407 1.017 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@](CO)(c3ccccc3)C2)c([O-])c1 ZINC000428567460 419893114 /nfs/dbraw/zinc/89/31/14/419893114.db2.gz OMMBOHKKASMKDS-GOSISDBHSA-N -1 1 312.369 1.872 20 0 DDADMM Cn1[n-]c(CNC(C)(C)c2cc(C(F)(F)F)on2)nc1=O ZINC000429255394 419994301 /nfs/dbraw/zinc/99/43/01/419994301.db2.gz PPLYIEPDXYQWOF-UHFFFAOYSA-N -1 1 305.260 1.140 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@@](O)(C(F)(F)F)C1)c1cscn1 ZINC000645726653 423156387 /nfs/dbraw/zinc/15/63/87/423156387.db2.gz XCOGAEUGOCYUKI-XPUUQOCRSA-N -1 1 316.326 1.267 20 0 DDADMM CC[C@@H](C(=O)Nc1nc2cccc(C(=O)OC)c2[nH]1)[C@@H](C)O ZINC000419943185 420068092 /nfs/dbraw/zinc/06/80/92/420068092.db2.gz HZHREELBBCFTCT-RKDXNWHRSA-N -1 1 305.334 1.695 20 0 DDADMM C[C@@H]1CNCCN1C(=O)N=c1[n-]nc(/C=C\c2ccco2)s1 ZINC000415384613 420045094 /nfs/dbraw/zinc/04/50/94/420045094.db2.gz UYMANTMDMKCYAP-UMCURTJPSA-N -1 1 319.390 1.549 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](c1cccs1)C1CC1 ZINC000416137525 420254793 /nfs/dbraw/zinc/25/47/93/420254793.db2.gz NBZLUGNDMUXJHO-SECBINFHSA-N -1 1 321.358 1.839 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC(C)(C)c1ccccc1 ZINC000416147635 420256408 /nfs/dbraw/zinc/25/64/08/420256408.db2.gz XXERPHWDLQTMDB-UHFFFAOYSA-N -1 1 303.318 1.562 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000416197711 420276951 /nfs/dbraw/zinc/27/69/51/420276951.db2.gz ISMXSUWVLUBEHO-PSLIRLAXSA-N -1 1 307.350 1.549 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)CC1CCCCC1 ZINC000416219463 420284005 /nfs/dbraw/zinc/28/40/05/420284005.db2.gz HRLCAQROVBRMFZ-VIFPVBQESA-N -1 1 309.366 1.985 20 0 DDADMM CCNC(=O)[C@@H](C)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436747784 420363004 /nfs/dbraw/zinc/36/30/04/420363004.db2.gz CMMNZNZNIPVEOX-SSDOTTSWSA-N -1 1 300.742 1.309 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cccc(C(N)=O)c2)c1 ZINC000436501334 420332690 /nfs/dbraw/zinc/33/26/90/420332690.db2.gz CJXCHQQRPVOYST-UHFFFAOYSA-N -1 1 314.297 1.530 20 0 DDADMM C[C@@H](NCc1nc(=O)n(C)[n-]1)c1ccc(-n2cccn2)c(F)c1 ZINC000436544650 420338909 /nfs/dbraw/zinc/33/89/09/420338909.db2.gz LPPHCCOELVTJKY-SNVBAGLBSA-N -1 1 316.340 1.284 20 0 DDADMM CC[C@H](C)[C@@H]([N-]S(=O)(=O)C[C@@H](CC(C)C)OC)C(=O)OC ZINC000420661385 420346614 /nfs/dbraw/zinc/34/66/14/420346614.db2.gz ZXKXPLKTPYHKPG-YNEHKIRRSA-N -1 1 323.455 1.555 20 0 DDADMM CC(=O)N[C@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)C(C)(C)C ZINC000425320335 420347581 /nfs/dbraw/zinc/34/75/81/420347581.db2.gz KOOXCPJXBJDQRY-LLVKDONJSA-N -1 1 324.381 1.032 20 0 DDADMM O=C(NCc1nncn1-c1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000436662311 420351591 /nfs/dbraw/zinc/35/15/91/420351591.db2.gz YISZWACIKOOIBZ-UHFFFAOYSA-N -1 1 322.324 1.472 20 0 DDADMM O=C(NCCOc1cccc(F)c1)C(=O)c1ccc([O-])cc1 ZINC000436661846 420351628 /nfs/dbraw/zinc/35/16/28/420351628.db2.gz XERQCJPGYCYISD-UHFFFAOYSA-N -1 1 303.289 1.909 20 0 DDADMM NC(=O)C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)c1ccccc1 ZINC000436682635 420354843 /nfs/dbraw/zinc/35/48/43/420354843.db2.gz WOSHZVMXRWEJMN-AWEZNQCLSA-N -1 1 312.325 1.308 20 0 DDADMM C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)Nc1ccccc1 ZINC000436694475 420356712 /nfs/dbraw/zinc/35/67/12/420356712.db2.gz AWAWKQUEPPSMBY-NSHDSACASA-N -1 1 312.325 1.718 20 0 DDADMM O=C(C(=O)N1CCC[C@H]([C@H]2CCOC2)C1)c1ccc([O-])cc1 ZINC000436967373 420386203 /nfs/dbraw/zinc/38/62/03/420386203.db2.gz SFXWZGRTKCUXAQ-KBPBESRZSA-N -1 1 303.358 1.850 20 0 DDADMM O=C(NCc1ccc2c(c1)C(=O)NCC2)c1cc(F)ccc1[O-] ZINC000436980220 420388361 /nfs/dbraw/zinc/38/83/61/420388361.db2.gz MCTPUFXJXOLAAW-UHFFFAOYSA-N -1 1 314.316 1.747 20 0 DDADMM CCC(C)(C)OCC[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000416523394 420405733 /nfs/dbraw/zinc/40/57/33/420405733.db2.gz IXPURWKHYPCEFN-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2(Cc3ccccc3)CC2)n1 ZINC000438932306 420470683 /nfs/dbraw/zinc/47/06/83/420470683.db2.gz BTRWPZZSVHGRGA-UHFFFAOYSA-N -1 1 320.374 1.170 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C2(Cc3ccccc3)CC2)[n-]1 ZINC000438932306 420470686 /nfs/dbraw/zinc/47/06/86/420470686.db2.gz BTRWPZZSVHGRGA-UHFFFAOYSA-N -1 1 320.374 1.170 20 0 DDADMM O=C(C=Cc1ccc(Cl)nc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000492363104 420534238 /nfs/dbraw/zinc/53/42/38/420534238.db2.gz FZRAHARRMIRRRE-DUMNWFOQSA-N -1 1 318.768 1.668 20 0 DDADMM O=C(C=Cc1ccc(Cl)nc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000492363107 420535051 /nfs/dbraw/zinc/53/50/51/420535051.db2.gz FZRAHARRMIRRRE-VLWUPBBWSA-N -1 1 318.768 1.668 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C\c2ccsc2)c1 ZINC000492363755 420536014 /nfs/dbraw/zinc/53/60/14/420536014.db2.gz KWLKOFVIJRNVEI-RJRFIUFISA-N -1 1 324.383 1.753 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCCC[C@H]2CCOC2)[n-]n1 ZINC000450887350 420551673 /nfs/dbraw/zinc/55/16/73/420551673.db2.gz IBJGDEBRNILISK-ZDUSSCGKSA-N -1 1 318.421 1.800 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1sccc1Cl)C(C)C ZINC000450975433 420573608 /nfs/dbraw/zinc/57/36/08/420573608.db2.gz XMKNMFQRNHWTPP-QMMMGPOBSA-N -1 1 311.812 1.877 20 0 DDADMM O=C(N[C@@H]([C@@H](CO)C1CC1)C1CC1)c1csc(=NC2CC2)[n-]1 ZINC000452937026 420683588 /nfs/dbraw/zinc/68/35/88/420683588.db2.gz OWDVYSSNVXHROH-GXTWGEPZSA-N -1 1 321.446 1.666 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC000492881323 420697232 /nfs/dbraw/zinc/69/72/32/420697232.db2.gz BGPFXZIDMRDNLC-BDAKNGLRSA-N -1 1 312.374 1.070 20 0 DDADMM CC[C@@H](O)CS(=O)(=O)c1nc(-c2ccc(OC)cc2)n[n-]1 ZINC000453030317 420704787 /nfs/dbraw/zinc/70/47/87/420704787.db2.gz QOILKEFPXSITAH-SNVBAGLBSA-N -1 1 311.363 1.025 20 0 DDADMM CC[C@@H](O)CS(=O)(=O)c1n[n-]c(-c2ccc(OC)cc2)n1 ZINC000453030317 420704792 /nfs/dbraw/zinc/70/47/92/420704792.db2.gz QOILKEFPXSITAH-SNVBAGLBSA-N -1 1 311.363 1.025 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC2(O)CCCC2)o1 ZINC000443161502 420746689 /nfs/dbraw/zinc/74/66/89/420746689.db2.gz LBPGFCSISKLZBN-UHFFFAOYSA-N -1 1 317.363 1.040 20 0 DDADMM COc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc1Cl ZINC000492944605 420716901 /nfs/dbraw/zinc/71/69/01/420716901.db2.gz AXTNQYAWAQJVAC-HYXAFXHYSA-N -1 1 319.752 1.680 20 0 DDADMM O=C(C=Cc1ccc(F)c(Cl)c1)NC1(c2nn[n-]n2)CC1 ZINC000493749624 420931815 /nfs/dbraw/zinc/93/18/15/420931815.db2.gz FVZIONHFGSUFJT-RQOWECAXSA-N -1 1 307.716 1.811 20 0 DDADMM Cn1[n-]c(=NS(=O)(=O)c2ccccc2)cc1OC(F)F ZINC000488326974 421083638 /nfs/dbraw/zinc/08/36/38/421083638.db2.gz XTUOFEAVPBEQBK-UHFFFAOYSA-N -1 1 303.290 1.244 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@@H]2C[C@@H](O)CC[C@@H]2C1 ZINC000456320284 421141356 /nfs/dbraw/zinc/14/13/56/421141356.db2.gz LVFKANCNGAMDJT-WZRBSPASSA-N -1 1 321.446 1.762 20 0 DDADMM CO[C@@H]1C[C@H](N(C)C(=O)C(=O)c2ccc([O-])cc2)C12CCC2 ZINC000456559941 421184028 /nfs/dbraw/zinc/18/40/28/421184028.db2.gz GYXNKBXCEAEKKC-UONOGXRCSA-N -1 1 303.358 1.991 20 0 DDADMM CC(C)c1n[n-]c(=NCc2n[nH]c(COc3ccccc3)n2)o1 ZINC000450459171 421200881 /nfs/dbraw/zinc/20/08/81/421200881.db2.gz JTDXKZDYGRZZAH-UHFFFAOYSA-N -1 1 314.349 1.924 20 0 DDADMM CC(C)c1n[n-]c(=NC[C@@H]2CCCN(C)[C@H]2c2ccnn2C)o1 ZINC000450517998 421206009 /nfs/dbraw/zinc/20/60/09/421206009.db2.gz HSGAWOSMLQVZBC-GXTWGEPZSA-N -1 1 318.425 1.843 20 0 DDADMM CC(=O)c1[n-]c(=N[C@@H]2CCC(=O)N[C@H]2[C@H]2CCCO2)sc1C ZINC000450531576 421207880 /nfs/dbraw/zinc/20/78/80/421207880.db2.gz JHYLECYGVPCKNB-JTNHKYCSSA-N -1 1 323.418 1.314 20 0 DDADMM CO[C@@H]1CCC[C@H](CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1 ZINC000527250875 421370811 /nfs/dbraw/zinc/37/08/11/421370811.db2.gz RHQXOIDOEWEVPX-RWMBFGLXSA-N -1 1 307.398 1.501 20 0 DDADMM CCNC(=O)CN1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000507141389 421425489 /nfs/dbraw/zinc/42/54/89/421425489.db2.gz FSIAMYYARMVHPU-UHFFFAOYSA-N -1 1 311.813 1.299 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1n[nH]c(SC(C)C)n1)C1CC1 ZINC000514602874 421438896 /nfs/dbraw/zinc/43/88/96/421438896.db2.gz GTGBAUQITQIGGD-VIFPVBQESA-N -1 1 320.440 1.472 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)nn1[C@@H](C)C1CC1 ZINC000548467159 421442278 /nfs/dbraw/zinc/44/22/78/421442278.db2.gz OYXSLZWESJGUTD-ONGXEEELSA-N -1 1 317.397 1.551 20 0 DDADMM COc1cc(NC(=O)c2ccc(C(F)(F)F)cc2[O-])nn1C ZINC000548471519 421443621 /nfs/dbraw/zinc/44/36/21/421443621.db2.gz NFJKSQDZVNYHQV-UHFFFAOYSA-N -1 1 315.251 1.827 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(-c2ccccn2)c1)C1CC1 ZINC000563136024 421461640 /nfs/dbraw/zinc/46/16/40/421461640.db2.gz PWGCJEIAGGKGCM-CYBMUJFWSA-N -1 1 322.390 1.434 20 0 DDADMM Cn1cc([C@H]2N(C(=O)C(=O)c3ccc([O-])cc3)CC2(C)C)cn1 ZINC000563273924 421481303 /nfs/dbraw/zinc/48/13/03/421481303.db2.gz ZQSRNDOANBIHKD-OAHLLOKOSA-N -1 1 313.357 1.918 20 0 DDADMM CCO[C@H](C(=O)NC1(c2nn[n-]n2)CCCC1)c1ccccc1 ZINC000563317738 421484861 /nfs/dbraw/zinc/48/48/61/421484861.db2.gz YZMXPCUTFGCFLD-ZDUSSCGKSA-N -1 1 315.377 1.863 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2nccnc2C)sc1C ZINC000549531398 421502741 /nfs/dbraw/zinc/50/27/41/421502741.db2.gz IKOIURKQQBOJBR-UHFFFAOYSA-N -1 1 312.420 1.379 20 0 DDADMM COc1ccc(=NC(=O)N[C@@H](C)c2n[nH]c(C(C)C)n2)[n-]n1 ZINC000551865615 421546546 /nfs/dbraw/zinc/54/65/46/421546546.db2.gz XVQIKZLPKMXVON-QMMMGPOBSA-N -1 1 305.342 1.031 20 0 DDADMM O=C([C@H]1CC12CCOCC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000552073325 421571408 /nfs/dbraw/zinc/57/14/08/421571408.db2.gz HWHICMCGLFRMGI-LLVKDONJSA-N -1 1 307.350 1.298 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCOC1CCCC1 ZINC000532298613 421654571 /nfs/dbraw/zinc/65/45/71/421654571.db2.gz GRKKQKDNUYFQDW-UHFFFAOYSA-N -1 1 311.407 1.902 20 0 DDADMM [O-]c1cccnc1CN1CCn2c(Cc3ccccc3)nnc2C1 ZINC000571447726 421717392 /nfs/dbraw/zinc/71/73/92/421717392.db2.gz GXFIAUNFBNPTFQ-UHFFFAOYSA-N -1 1 321.384 1.985 20 0 DDADMM O=C(CCc1cncc(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000537125128 421728022 /nfs/dbraw/zinc/72/80/22/421728022.db2.gz YGTOWALWEVZASV-LLVKDONJSA-N -1 1 304.329 1.073 20 0 DDADMM O=C(c1ccc2nsnc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000537397375 421729151 /nfs/dbraw/zinc/72/91/51/421729151.db2.gz YWWVECVLDOAQNN-VIFPVBQESA-N -1 1 315.362 1.224 20 0 DDADMM O=C(COc1cccc(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538226272 421737087 /nfs/dbraw/zinc/73/70/87/421737087.db2.gz NZAVQKZCDNWDNA-SNVBAGLBSA-N -1 1 305.313 1.124 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(c2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000557082681 421758242 /nfs/dbraw/zinc/75/82/42/421758242.db2.gz RUSMTVAURZKUAB-LLVKDONJSA-N -1 1 315.255 1.673 20 0 DDADMM O=C(c1ccn(C2CCCC2)n1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000540427661 421762867 /nfs/dbraw/zinc/76/28/67/421762867.db2.gz VZXABZZOAGENEH-LLVKDONJSA-N -1 1 315.381 1.531 20 0 DDADMM CC(=O)Nc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccc1C ZINC000541842544 421807259 /nfs/dbraw/zinc/80/72/59/421807259.db2.gz UVPHBJZXKJCHMJ-SNVBAGLBSA-N -1 1 316.365 1.342 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1CCOCC(F)(F)C(F)F ZINC000522007830 421813238 /nfs/dbraw/zinc/81/32/38/421813238.db2.gz LRHDNDGLBVPDKL-MRVPVSSYSA-N -1 1 319.320 1.796 20 0 DDADMM CN(C[C@@H]1COc2ccccc2O1)[C@@H](C(=O)[O-])c1cccnc1 ZINC000520823781 421764151 /nfs/dbraw/zinc/76/41/51/421764151.db2.gz MXYNYUSICHYWAG-CZUORRHYSA-N -1 1 314.341 1.979 20 0 DDADMM C[C@@H](CN(C)C(=O)COc1ccsc1Cl)c1nn[n-]n1 ZINC000557399135 421770093 /nfs/dbraw/zinc/77/00/93/421770093.db2.gz IEZRNWWDCBOQHA-ZETCQYMHSA-N -1 1 315.786 1.556 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC(=O)NC2CCCCC2)sn1 ZINC000631836366 421870286 /nfs/dbraw/zinc/87/02/86/421870286.db2.gz WDKIOUYRHPEWHW-UHFFFAOYSA-N -1 1 317.436 1.179 20 0 DDADMM CCOCCOc1ccc(S(=O)(=O)[N-]c2cnc[nH]2)cc1 ZINC000581104140 421899812 /nfs/dbraw/zinc/89/98/12/421899812.db2.gz JEYZIEBYIFXEJR-UHFFFAOYSA-N -1 1 311.363 1.626 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)Cc1ccc(F)cc1F)C(=O)OC ZINC000543728519 421840651 /nfs/dbraw/zinc/84/06/51/421840651.db2.gz FJTKRFKYEPLFDY-GFCCVEGCSA-N -1 1 321.345 1.726 20 0 DDADMM CC(C)COC[C@@H](O)CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631811176 421849320 /nfs/dbraw/zinc/84/93/20/421849320.db2.gz SOYGYDPSGMKEPV-LBPRGKRZSA-N -1 1 315.797 1.738 20 0 DDADMM Cn1cnnc1S(=O)(=O)[N-]Cc1ccccc1C(F)(F)F ZINC000631815193 421851875 /nfs/dbraw/zinc/85/18/75/421851875.db2.gz KGQBUDVTCJSKLW-UHFFFAOYSA-N -1 1 320.296 1.312 20 0 DDADMM COc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c(F)c1 ZINC000630222603 421973066 /nfs/dbraw/zinc/97/30/66/421973066.db2.gz GLYUUXOUEGLZHX-LLVKDONJSA-N -1 1 324.352 1.455 20 0 DDADMM O=C([C@H]1COc2ccccc21)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000573938455 422036363 /nfs/dbraw/zinc/03/63/63/422036363.db2.gz JDRTZGHQSKIEMY-LBPRGKRZSA-N -1 1 315.329 1.657 20 0 DDADMM O=C([C@H]1COc2ccccc21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000573938455 422036368 /nfs/dbraw/zinc/03/63/68/422036368.db2.gz JDRTZGHQSKIEMY-LBPRGKRZSA-N -1 1 315.329 1.657 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@]2(C)CCOC2)c1 ZINC000631960483 421975727 /nfs/dbraw/zinc/97/57/27/421975727.db2.gz QAPNOZXPFZOVLB-CYBMUJFWSA-N -1 1 301.364 1.106 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN(CCCF)CC1 ZINC000627962033 421975793 /nfs/dbraw/zinc/97/57/93/421975793.db2.gz XSEVJAADYDIAOP-UHFFFAOYSA-N -1 1 302.296 1.788 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CC[C@@H]2CCCCO2)CC1 ZINC000630224936 421975929 /nfs/dbraw/zinc/97/59/29/421975929.db2.gz PUENMFINHQGFDG-KGLIPLIRSA-N -1 1 312.410 1.343 20 0 DDADMM CO[C@H](C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1)c1ccccc1 ZINC000630224776 421976968 /nfs/dbraw/zinc/97/69/68/421976968.db2.gz VNKGOAOQVNYVAB-AWEZNQCLSA-N -1 1 320.389 1.382 20 0 DDADMM O=C([O-])Cc1ccc(CNC(=O)[C@H]2CCCN2C2CC2)cc1 ZINC000630232989 421980799 /nfs/dbraw/zinc/98/07/99/421980799.db2.gz OZWZVGJRZMKKSW-OAHLLOKOSA-N -1 1 302.374 1.557 20 0 DDADMM O=C([O-])[C@H]1CSCCN1CCOCC(F)(F)C(F)(F)F ZINC000581500026 421988625 /nfs/dbraw/zinc/98/86/25/421988625.db2.gz BGCRUOVDRVUNNU-SSDOTTSWSA-N -1 1 323.283 1.703 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC[C@H]1CC12CC2 ZINC000581537119 421995065 /nfs/dbraw/zinc/99/50/65/421995065.db2.gz HMCJHOXLLNULAV-GFCCVEGCSA-N -1 1 314.389 1.901 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCSC[C@@H]2C)c1 ZINC000631997882 422009564 /nfs/dbraw/zinc/00/95/64/422009564.db2.gz KVDMRYCDRDBFTL-VIFPVBQESA-N -1 1 303.405 1.527 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@@H]2NC(=O)CC[C@@H]2C1 ZINC000633624824 422010435 /nfs/dbraw/zinc/01/04/35/422010435.db2.gz JHJLIWVHJABHKF-YPMHNXCESA-N -1 1 322.792 1.715 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cccc3c[nH]nc32)[C@](C)(C(=O)[O-])C1 ZINC000630310733 422014597 /nfs/dbraw/zinc/01/45/97/422014597.db2.gz KEFPBCYLRVNFBW-BONVTDFDSA-N -1 1 303.318 1.267 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)C[C@@]1(C)C(=O)[O-] ZINC000573805758 422018230 /nfs/dbraw/zinc/01/82/30/422018230.db2.gz NQWRMTRESKVCRS-RFAUZJTJSA-N -1 1 303.318 1.853 20 0 DDADMM CC[C@H](CSc1ccccc1)NC(=O)CCc1nn[n-]n1 ZINC000632072867 422067312 /nfs/dbraw/zinc/06/73/12/422067312.db2.gz OLNBCAPPFLWUHZ-LLVKDONJSA-N -1 1 305.407 1.819 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2[C@H]3CCO[C@H]3C23CCC3)sn1 ZINC000632140444 422116313 /nfs/dbraw/zinc/11/63/13/422116313.db2.gz HEGVYXKPOKDLOR-YUSALJHKSA-N -1 1 314.432 1.687 20 0 DDADMM N=c1nc(N2CCN(Cc3cnc(C4CC4)o3)CC2)s[n-]1 ZINC000628190061 422075607 /nfs/dbraw/zinc/07/56/07/422075607.db2.gz UZWWHVFOWRGRMZ-UHFFFAOYSA-N -1 1 306.395 1.138 20 0 DDADMM CSC[C@@H](O)CN(CCC(=O)[O-])Cc1cccc(F)c1F ZINC000574283577 422090023 /nfs/dbraw/zinc/09/00/23/422090023.db2.gz FTXGXXONOXCTMK-NSHDSACASA-N -1 1 319.373 1.965 20 0 DDADMM CN(C(=O)Cc1ccc([O-])c(Cl)c1)C1(C(N)=O)CCCC1 ZINC000632128156 422106916 /nfs/dbraw/zinc/10/69/16/422106916.db2.gz RRODSBIFIQCFBP-UHFFFAOYSA-N -1 1 310.781 1.845 20 0 DDADMM CO[C@@H]1COC[C@@H]1[N-]S(=O)(=O)Cc1c(F)cccc1Cl ZINC000582110962 422111235 /nfs/dbraw/zinc/11/12/35/422111235.db2.gz KKGVLCXDTDJYLO-NWDGAFQWSA-N -1 1 323.773 1.312 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](CCO)CC(C)C)sn1 ZINC000632054615 422054711 /nfs/dbraw/zinc/05/47/11/422054711.db2.gz CSKBIVVKGCBZJX-NSHDSACASA-N -1 1 306.453 1.775 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@H](C(C)C)C2)c1 ZINC000632185756 422146972 /nfs/dbraw/zinc/14/69/72/422146972.db2.gz CGHSZYACIJUXKC-ZDUSSCGKSA-N -1 1 315.391 1.446 20 0 DDADMM C[C@@H](NS(=O)(=O)c1ncc[nH]1)[C@H]1CCC[N@@H+](C2CCC2)C1 ZINC000632197873 422155612 /nfs/dbraw/zinc/15/56/12/422155612.db2.gz YXEUAXSTMAIYIV-NEPJUHHUSA-N -1 1 312.439 1.341 20 0 DDADMM CC[C@@](C)(NC(=O)CCCc1nn[n-]n1)c1nc(C)cs1 ZINC000635607078 422160594 /nfs/dbraw/zinc/16/05/94/422160594.db2.gz NIPUYKJQOFXAQK-CYBMUJFWSA-N -1 1 308.411 1.729 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@]2(C)CCO[C@H]2C2CC2)sn1 ZINC000632205960 422162153 /nfs/dbraw/zinc/16/21/53/422162153.db2.gz YGDBRDKOYBFSNY-NWDGAFQWSA-N -1 1 302.421 1.687 20 0 DDADMM Cc1cccnc1[C@@H](NC(=O)CCCc1nn[n-]n1)C(C)C ZINC000635570049 422124721 /nfs/dbraw/zinc/12/47/21/422124721.db2.gz ZFWOHFJSYPIJMX-AWEZNQCLSA-N -1 1 302.382 1.739 20 0 DDADMM CC[C@@H](C)n1ncc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1C ZINC000574573066 422135430 /nfs/dbraw/zinc/13/54/30/422135430.db2.gz WGVYKGXFIUDERQ-ZYHUDNBSSA-N -1 1 317.397 1.695 20 0 DDADMM CCC(O)(CC)CCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632266384 422205314 /nfs/dbraw/zinc/20/53/14/422205314.db2.gz VVCQCXWWHVFLDG-UHFFFAOYSA-N -1 1 317.407 1.620 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(CC1CCC1)CC(F)(F)F ZINC000635651667 422205394 /nfs/dbraw/zinc/20/53/94/422205394.db2.gz FUMFRCNBXUZUOP-UHFFFAOYSA-N -1 1 305.304 1.713 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1c(F)c(F)cc(F)c1F ZINC000635661497 422214605 /nfs/dbraw/zinc/21/46/05/422214605.db2.gz VYGRLWZQFVIJNF-UHFFFAOYSA-N -1 1 317.246 1.395 20 0 DDADMM CN(CC(=O)N1CCCC1)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630613984 422223622 /nfs/dbraw/zinc/22/36/22/422223622.db2.gz TWPZOFQUCYHVEW-UHFFFAOYSA-N -1 1 310.781 1.669 20 0 DDADMM CN(C(=O)C(=O)c1ccc([O-])cc1)[C@@H]1CCCCN(C)C1=O ZINC000634136445 422277998 /nfs/dbraw/zinc/27/79/98/422277998.db2.gz FEOVWUYRWWOHNW-CYBMUJFWSA-N -1 1 304.346 1.044 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C(C)C)C2COC2)c1 ZINC000632377904 422292610 /nfs/dbraw/zinc/29/26/10/422292610.db2.gz PKVFJMOECWSOFN-UHFFFAOYSA-N -1 1 301.364 1.199 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)C1CCC1 ZINC000575843817 422332982 /nfs/dbraw/zinc/33/29/82/422332982.db2.gz VFPLFRJEJSYWBX-NSHDSACASA-N -1 1 307.394 1.679 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCc1nnc2n1CCC2 ZINC000630783952 422333899 /nfs/dbraw/zinc/33/38/99/422333899.db2.gz YIODZPPREZLOEV-UHFFFAOYSA-N -1 1 320.780 1.485 20 0 DDADMM COc1cc2c(cc1F)CN(C(=O)CCCc1nn[n-]n1)CC2 ZINC000635775016 422335060 /nfs/dbraw/zinc/33/50/60/422335060.db2.gz AXRQZKIZCNYMQF-UHFFFAOYSA-N -1 1 319.340 1.255 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cccnc1N1CCCCC1 ZINC000630804132 422346019 /nfs/dbraw/zinc/34/60/19/422346019.db2.gz MESZANWHICVQDI-UHFFFAOYSA-N -1 1 301.354 1.156 20 0 DDADMM COc1ccccc1C1(CNC(=O)CCc2nn[n-]n2)CCC1 ZINC000632459820 422361221 /nfs/dbraw/zinc/36/12/21/422361221.db2.gz LRDUJGCKZIZCSB-UHFFFAOYSA-N -1 1 315.377 1.379 20 0 DDADMM COCCC1(CNC(=O)CCCc2nn[n-]n2)CCCCC1 ZINC000635817547 422374064 /nfs/dbraw/zinc/37/40/64/422374064.db2.gz MHOJBAJGPBOTIT-UHFFFAOYSA-N -1 1 309.414 1.626 20 0 DDADMM O=C(CNC(=O)Cc1ccc([O-])c(Cl)c1)NC1CCCC1 ZINC000630853591 422374840 /nfs/dbraw/zinc/37/48/40/422374840.db2.gz WUHJHHIJKMPCGA-UHFFFAOYSA-N -1 1 310.781 1.763 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C)Cc2cnn(C)c2)sn1 ZINC000632419211 422325986 /nfs/dbraw/zinc/32/59/86/422325986.db2.gz ZSAAGSWUJDBPSH-VIFPVBQESA-N -1 1 300.409 1.095 20 0 DDADMM COc1cc(C(=O)NC[C@H]2COCCN2C)cc(Cl)c1[O-] ZINC000628922444 422399408 /nfs/dbraw/zinc/39/94/08/422399408.db2.gz NQUWWBZIZIJJOG-JTQLQIEISA-N -1 1 314.769 1.115 20 0 DDADMM CCN([C@@H]1CCCOC1)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632510510 422402234 /nfs/dbraw/zinc/40/22/34/422402234.db2.gz HOXSNVJQGZOUDW-LLVKDONJSA-N -1 1 315.391 1.590 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(c2ccc(F)c(F)c2)CC1 ZINC000630928767 422419940 /nfs/dbraw/zinc/41/99/40/422419940.db2.gz GVUMEPFFDVWGKL-UHFFFAOYSA-N -1 1 321.331 1.817 20 0 DDADMM COC[C@H](C)n1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634611055 422504588 /nfs/dbraw/zinc/50/45/88/422504588.db2.gz MJMDBJZPONMVNB-ZFWWWQNUSA-N -1 1 301.390 1.742 20 0 DDADMM CC(C)C[C@H]1C(=O)NCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632681990 422519316 /nfs/dbraw/zinc/51/93/16/422519316.db2.gz IBNYPNVBWRFPHB-ZDUSSCGKSA-N -1 1 324.808 1.961 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@H]2CC2(F)F)c1 ZINC000632586672 422456321 /nfs/dbraw/zinc/45/63/21/422456321.db2.gz VJNVMNVCWOPJNW-QMMMGPOBSA-N -1 1 307.318 1.724 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](CO)CCC(C)C)c1 ZINC000632593646 422459509 /nfs/dbraw/zinc/45/95/09/422459509.db2.gz BDPOEACKELCSFX-LLVKDONJSA-N -1 1 317.407 1.476 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1ccccc1OC(F)F ZINC000632722228 422541943 /nfs/dbraw/zinc/54/19/43/422541943.db2.gz HEPNIOWQSCJFLY-UHFFFAOYSA-N -1 1 311.292 1.093 20 0 DDADMM COc1cccc(SC)c1NC(=O)CCCc1nn[n-]n1 ZINC000636034567 422585361 /nfs/dbraw/zinc/58/53/61/422585361.db2.gz SRFQFMLTDTZMAN-UHFFFAOYSA-N -1 1 307.379 1.892 20 0 DDADMM Cc1ncc(S(=O)(=O)Nc2cc(C(=O)[O-])c(C)cc2C)n1C ZINC000629293865 422589016 /nfs/dbraw/zinc/58/90/16/422589016.db2.gz PYZKCSKJTVKGBV-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM O=C([C@@H]1C[C@H]1C1CCOCC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000632876747 422639670 /nfs/dbraw/zinc/63/96/70/422639670.db2.gz KMYFSUXDBAEBEL-QWHCGFSZSA-N -1 1 321.377 1.544 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC000629495581 422700339 /nfs/dbraw/zinc/70/03/39/422700339.db2.gz JDZAGQOCOKPYBG-QWRGUYRKSA-N -1 1 324.343 1.828 20 0 DDADMM CC[C@H](Cc1ccc(F)cc1)NC(=O)CCCc1nn[n-]n1 ZINC000636158563 422682410 /nfs/dbraw/zinc/68/24/10/422682410.db2.gz XVZKHMLNWVVKPE-CYBMUJFWSA-N -1 1 305.357 1.799 20 0 DDADMM COC(=O)N1CC([N-]S(=O)(=O)c2c(F)cccc2Cl)C1 ZINC000185864147 263394617 /nfs/dbraw/zinc/39/46/17/263394617.db2.gz QBJPFNJAYOQWAV-UHFFFAOYSA-N -1 1 322.745 1.208 20 0 DDADMM CO[C@H](CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)C(F)(F)F ZINC000653030720 423268133 /nfs/dbraw/zinc/26/81/33/423268133.db2.gz YVPQFSDFFMIRFQ-MRVPVSSYSA-N -1 1 323.271 1.449 20 0 DDADMM O=C(NCC[C@@]1(O)CCCOC1)c1c(F)ccc([O-])c1F ZINC000652953570 423231041 /nfs/dbraw/zinc/23/10/41/423231041.db2.gz OPYJFGIJOVJUPF-AWEZNQCLSA-N -1 1 301.289 1.332 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000646067670 423323379 /nfs/dbraw/zinc/32/33/79/423323379.db2.gz XPJWGOBFPWUTKN-GZMMTYOYSA-N -1 1 320.349 1.890 20 0 DDADMM C[C@@H]1[C@H](C(=O)N=c2nc(-c3ccccc3)[n-]s2)CCN1C ZINC000648746281 423440982 /nfs/dbraw/zinc/44/09/82/423440982.db2.gz SNHNNMLVRPGHNX-ZYHUDNBSSA-N -1 1 302.403 1.906 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cn(Cc2cccc(F)c2)nn1 ZINC000646410710 423479757 /nfs/dbraw/zinc/47/97/57/423479757.db2.gz UQQQASDJSPJBHC-UHFFFAOYSA-N -1 1 316.296 1.455 20 0 DDADMM CC(C)CN1CCO[C@H](CNC(=O)[C@]2(C(=O)[O-])CC=CCC2)C1 ZINC000653303667 423429201 /nfs/dbraw/zinc/42/92/01/423429201.db2.gz WTCOJEQJGHWRKX-PBHICJAKSA-N -1 1 324.421 1.271 20 0 DDADMM CC[C@@H](OCCN=c1ccc(C(=O)NC)n[n-]1)c1ccccc1 ZINC000651392509 423520422 /nfs/dbraw/zinc/52/04/22/423520422.db2.gz SVOARZMWZILWID-OAHLLOKOSA-N -1 1 314.389 1.838 20 0 DDADMM CCC[C@@H](NC(=O)c1cn(-c2ccccc2)cn1)c1nn[n-]n1 ZINC000651461581 423552635 /nfs/dbraw/zinc/55/26/35/423552635.db2.gz BYDHMDJPWTZVDJ-GFCCVEGCSA-N -1 1 311.349 1.657 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000646659741 423566275 /nfs/dbraw/zinc/56/62/75/423566275.db2.gz UCQARDVEMKSDGX-URLYPYJESA-N -1 1 312.163 1.465 20 0 DDADMM CCOc1cc(C(=O)N2CN(C)C(=O)[C@@H]2C)cc(Cl)c1[O-] ZINC000649160301 423653931 /nfs/dbraw/zinc/65/39/31/423653931.db2.gz HZYQZMCUXCWWHR-QMMMGPOBSA-N -1 1 312.753 1.705 20 0 DDADMM N=c1[n-]nc(C2CCN(Cc3ccc4c(c3)OCCO4)CC2)o1 ZINC000639738072 423700647 /nfs/dbraw/zinc/70/06/47/423700647.db2.gz HOMCVWLJSSRNLN-UHFFFAOYSA-N -1 1 316.361 1.633 20 0 DDADMM N=c1[n-]nc([C@@H]2CCCN([C@@H]3C[C@H]4CCCC[C@H]4NC3=O)C2)o1 ZINC000639822364 423758859 /nfs/dbraw/zinc/75/88/59/423758859.db2.gz NWYJBDGMIMPGNK-FDYHWXHSSA-N -1 1 319.409 1.109 20 0 DDADMM CCCCCNC(=O)[C@@H](C)N1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639823607 423760160 /nfs/dbraw/zinc/76/01/60/423760160.db2.gz CJIYANNRJTVLJM-VXGBXAGGSA-N -1 1 309.414 1.356 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@@H](OC)C2CC2)c(OC)n1 ZINC000647125777 423763974 /nfs/dbraw/zinc/76/39/74/423763974.db2.gz XBMXLNUGGQCVAU-LLVKDONJSA-N -1 1 316.379 1.266 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnn(-c2cccc(C)c2)c1C ZINC000647135270 423772260 /nfs/dbraw/zinc/77/22/60/423772260.db2.gz JQMGOSKUADAKPR-UHFFFAOYSA-N -1 1 309.391 1.877 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)CC[C@@H]1CCc2ccccc21 ZINC000641632825 423782073 /nfs/dbraw/zinc/78/20/73/423782073.db2.gz QJTDTBWEKPUBFC-ZFWWWQNUSA-N -1 1 323.414 1.384 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1csc(C(N)=O)c1)C(C)C ZINC000641687421 423863671 /nfs/dbraw/zinc/86/36/71/423863671.db2.gz AYWKCJYGPWIGSA-VIFPVBQESA-N -1 1 306.409 1.260 20 0 DDADMM CC(C)(C)c1cc(N2CCN(C3CC3)CC2)nc(C(=O)[O-])n1 ZINC000649384734 423830901 /nfs/dbraw/zinc/83/09/01/423830901.db2.gz YBZAAAMUUFYASJ-UHFFFAOYSA-N -1 1 304.394 1.757 20 0 DDADMM Cc1cccc(NC[C@H]2CCN(c3ccc(C(=O)[O-])nn3)C2)n1 ZINC000649388107 423836618 /nfs/dbraw/zinc/83/66/18/423836618.db2.gz FZRAOYUGVCTSGE-GFCCVEGCSA-N -1 1 313.361 1.817 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)N1CCc2ccccc2C1)C(=O)[O-] ZINC000647250661 423909892 /nfs/dbraw/zinc/90/98/92/423909892.db2.gz PJZSUKGKWNYVKE-OLZOCXBDSA-N -1 1 304.390 1.612 20 0 DDADMM Cc1cc(C2CCN(S(=O)(=O)c3ccccc3[O-])CC2)n[nH]1 ZINC000656956412 423923145 /nfs/dbraw/zinc/92/31/45/423923145.db2.gz IEYBWXZRNMRDDO-UHFFFAOYSA-N -1 1 321.402 1.992 20 0 DDADMM C[C@H]1C[N@H+](C2CC2)C[C@H]1NC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC000654887340 424001373 /nfs/dbraw/zinc/00/13/73/424001373.db2.gz FKZWBTQUGCOMEI-TVQRCGJNSA-N -1 1 302.378 1.272 20 0 DDADMM CC1(C(=O)[O-])CCN([C@H]2CCN(c3ccccc3)C2=O)CC1 ZINC000647373703 424008119 /nfs/dbraw/zinc/00/81/19/424008119.db2.gz MZPNFZOZPKTDMM-AWEZNQCLSA-N -1 1 302.374 1.979 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1C[C@H]1c1ccc(Cl)c(Cl)c1 ZINC000654965969 424065288 /nfs/dbraw/zinc/06/52/88/424065288.db2.gz RZBQCZHJIYWVHZ-JGVFFNPUSA-N -1 1 312.160 1.926 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@]23C[C@H]2CCC3)c(C(F)(F)F)n1 ZINC000657049342 424037656 /nfs/dbraw/zinc/03/76/56/424037656.db2.gz NVZSERGICPIFLG-GMSGAONNSA-N -1 1 309.313 1.660 20 0 DDADMM Cc1nn2c(CN3CC[C@H](C)[C@H](C(=O)[O-])C3)c(C)nc2s1 ZINC000647461110 424046623 /nfs/dbraw/zinc/04/66/23/424046623.db2.gz FXEZRBHDGDHKRA-GZMMTYOYSA-N -1 1 308.407 1.950 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H](O)C12CCC2)c1sccc1Cl ZINC000657189050 424203218 /nfs/dbraw/zinc/20/32/18/424203218.db2.gz TXNWMMOTIBJIKQ-BDAKNGLRSA-N -1 1 307.824 1.983 20 0 DDADMM Cn1[n-]c(CN[C@@H](CO)c2cccc(Cl)c2Cl)nc1=O ZINC000662051984 424355040 /nfs/dbraw/zinc/35/50/40/424355040.db2.gz PKVJHGYIASDBOU-VIFPVBQESA-N -1 1 317.176 1.238 20 0 DDADMM C[C@H](O)[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CCO1 ZINC000640336893 424357457 /nfs/dbraw/zinc/35/74/57/424357457.db2.gz DABXDEWWPQYGFB-ONGXEEELSA-N -1 1 307.375 1.332 20 0 DDADMM C[C@@H]1C(=O)N[C@@H](C)[C@@H](C)N1C(=O)N=c1[n-]sc2ccccc21 ZINC000640338437 424358767 /nfs/dbraw/zinc/35/87/67/424358767.db2.gz XLPANIBDMSWSNN-IVZWLZJFSA-N -1 1 318.402 1.847 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCCN1CCOCC1 ZINC000640342375 424363806 /nfs/dbraw/zinc/36/38/06/424363806.db2.gz PABJAQBGOFNARE-UHFFFAOYSA-N -1 1 306.391 1.172 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC[C@H]2c2ccc(O)cc2)C1=O ZINC000662200656 424466066 /nfs/dbraw/zinc/46/60/66/424466066.db2.gz BZKSVGKSYMFHPF-UONOGXRCSA-N -1 1 304.346 1.215 20 0 DDADMM COc1ccc([C@H]2CCCN2[C@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662201383 424467496 /nfs/dbraw/zinc/46/74/96/424467496.db2.gz QZXCWITWRGSTAM-CABCVRRESA-N -1 1 318.373 1.518 20 0 DDADMM O=c1nc(NC[C@@H]2C[C@H](c3ccccc3)CO2)nc2[nH][n-]cc1-2 ZINC000664383068 424569179 /nfs/dbraw/zinc/56/91/79/424569179.db2.gz FOBRFULLYKJDNE-RYUDHWBXSA-N -1 1 311.345 1.417 20 0 DDADMM O=C([C@@H]1CCc2ccccc2O1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000665381065 424796640 /nfs/dbraw/zinc/79/66/40/424796640.db2.gz NBPPIXNIBLOEES-JSGCOSHPSA-N -1 1 313.361 1.300 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCCO1 ZINC000342148638 271319482 /nfs/dbraw/zinc/31/94/82/271319482.db2.gz XJNGSNXXEUCUBJ-CYBMUJFWSA-N -1 1 318.377 1.561 20 0 DDADMM FC(F)(F)c1nc(=NCCCOC[C@@H]2CCOC2)s[n-]1 ZINC000342367772 271392915 /nfs/dbraw/zinc/39/29/15/271392915.db2.gz KPBVGFAULXSHHE-QMMMGPOBSA-N -1 1 311.329 1.834 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C23CCC(CC2)C3)c1 ZINC000345284888 272208414 /nfs/dbraw/zinc/20/84/14/272208414.db2.gz WONXCHZBNRPWQC-UHFFFAOYSA-N -1 1 310.375 1.558 20 0 DDADMM CO[C@@H](CC[N-]S(=O)(=O)c1ccc(C)o1)C(F)(F)F ZINC000345351184 272228025 /nfs/dbraw/zinc/22/80/25/272228025.db2.gz MSWCHRNAFJRARE-QMMMGPOBSA-N -1 1 301.286 1.834 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](CCO)c2ccco2)sc1C ZINC000345633000 272293887 /nfs/dbraw/zinc/29/38/87/272293887.db2.gz NBYRPUDVXKSOQI-JTQLQIEISA-N -1 1 316.404 1.755 20 0 DDADMM CC[C@@H]1CCC[C@@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331982107 280289266 /nfs/dbraw/zinc/28/92/66/280289266.db2.gz WOFNNUPNJDTCIQ-MNOVXSKESA-N -1 1 309.439 1.426 20 0 DDADMM O=C(NCCNC(=O)c1c([O-])cccc1F)c1cccs1 ZINC000120012620 281111498 /nfs/dbraw/zinc/11/14/98/281111498.db2.gz ARDWWOGROXKKKU-UHFFFAOYSA-N -1 1 308.334 1.753 20 0 DDADMM CCCCN(CC(N)=O)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000134943945 281565656 /nfs/dbraw/zinc/56/56/56/281565656.db2.gz QJMAXYFGYVHBJM-UHFFFAOYSA-N -1 1 314.769 1.782 20 0 DDADMM CCC[C@@H](C)[C@@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353993962 298333673 /nfs/dbraw/zinc/33/36/73/298333673.db2.gz YAYRXMZDKOIVEN-PWSUYJOCSA-N -1 1 303.366 1.458 20 0 DDADMM CCCCC[C@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000354000155 298335474 /nfs/dbraw/zinc/33/54/74/298335474.db2.gz AMJMLGUZFSBRNV-NSHDSACASA-N -1 1 303.366 1.603 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)COC(F)F ZINC000354548750 298463883 /nfs/dbraw/zinc/46/38/83/298463883.db2.gz XVLUTQXWPVENAH-UHFFFAOYSA-N -1 1 312.269 1.375 20 0 DDADMM Cn1ncc2c1nc(Oc1cccc(S(C)(=O)=O)c1)[n-]c2=O ZINC000356511427 298812120 /nfs/dbraw/zinc/81/21/20/298812120.db2.gz WWSOFGYPGFYDHQ-UHFFFAOYSA-N -1 1 320.330 1.265 20 0 DDADMM COCCOCc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000362817676 300149130 /nfs/dbraw/zinc/14/91/30/300149130.db2.gz NGXWUIWXQYZJGT-UHFFFAOYSA-N -1 1 319.365 1.028 20 0 DDADMM O=C(N=c1nc(-c2cccc(OC(F)F)c2)[nH][n-]1)c1ccon1 ZINC000363638602 300291302 /nfs/dbraw/zinc/29/13/02/300291302.db2.gz JWHMXFRBKXVRIZ-UHFFFAOYSA-N -1 1 321.243 1.735 20 0 DDADMM FC(F)c1n[n-]c(=NC[C@H]2CCC[C@H]2N2CCOCC2)o1 ZINC000368387761 301016994 /nfs/dbraw/zinc/01/69/94/301016994.db2.gz MLNFXYULMNRFLU-NXEZZACHSA-N -1 1 302.325 1.342 20 0 DDADMM O=C([C@@H](F)CC1CCCCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000369141526 301136221 /nfs/dbraw/zinc/13/62/21/301136221.db2.gz CDAJSTBABYXKLV-RYUDHWBXSA-N -1 1 311.361 1.408 20 0 DDADMM Cc1nc([C@@H]2COCCN2C(=O)c2cc(F)ccc2[O-])no1 ZINC000369467351 301189113 /nfs/dbraw/zinc/18/91/13/301189113.db2.gz MUPUSGJTSHYNOZ-NSHDSACASA-N -1 1 307.281 1.436 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(C)n1C1CC1 ZINC000370858221 301397959 /nfs/dbraw/zinc/39/79/59/301397959.db2.gz BWPXDBVCNWTMEW-ZDUSSCGKSA-N -1 1 316.365 1.167 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(OC[C@H]2CCCO2)CC1 ZINC000374197554 301777073 /nfs/dbraw/zinc/77/70/73/301777073.db2.gz HLYRRURKLYTESD-CYBMUJFWSA-N -1 1 306.362 1.587 20 0 DDADMM Cc1nc(-c2ccc(NCCCOCCO)nc2)[n-]c(=O)c1C ZINC000355566847 306810513 /nfs/dbraw/zinc/81/05/13/306810513.db2.gz OQTDTKDJJONJLX-UHFFFAOYSA-N -1 1 318.377 1.094 20 0 DDADMM COC(=O)C[C@H]1COCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000377445281 302180765 /nfs/dbraw/zinc/18/07/65/302180765.db2.gz BFHKMNFGYLDSQV-JTQLQIEISA-N -1 1 313.737 1.450 20 0 DDADMM Cc1cn2c(n1)CC[C@H](NC(=O)c1c(F)ccc([O-])c1F)C2 ZINC000377688601 302213039 /nfs/dbraw/zinc/21/30/39/302213039.db2.gz ACFUFNXPEMMNSZ-VIFPVBQESA-N -1 1 307.300 1.920 20 0 DDADMM O=S(=O)([N-][C@H]1CCO[C@@H]1C1CC1)c1cc(F)c(F)cc1F ZINC000378323728 302312326 /nfs/dbraw/zinc/31/23/26/302312326.db2.gz NQYCQRXNVQVMSX-WCQYABFASA-N -1 1 321.320 1.950 20 0 DDADMM CC(C)COC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000495573945 302427544 /nfs/dbraw/zinc/42/75/44/302427544.db2.gz IECPLSYSCMYQBU-UHFFFAOYSA-N -1 1 307.350 1.338 20 0 DDADMM O=C(NC1CC1)OC[C@@H]1CCCCN1C(=O)c1cncc([O-])c1 ZINC000496826092 302632589 /nfs/dbraw/zinc/63/25/89/302632589.db2.gz DQKDYUHCQAIQBF-ZDUSSCGKSA-N -1 1 319.361 1.671 20 0 DDADMM COC[C@](C)(O)CNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000525192573 302909397 /nfs/dbraw/zinc/90/93/97/302909397.db2.gz KWURGKCMDUWQNU-MRXNPFEDSA-N -1 1 318.377 1.670 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1[C@@H]2CCCO[C@H]12 ZINC000357286222 306874163 /nfs/dbraw/zinc/87/41/63/306874163.db2.gz GTTFKDCRANEMHI-VQISRLSMSA-N -1 1 316.361 1.093 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCC23CC3)c1 ZINC000528950217 303074673 /nfs/dbraw/zinc/07/46/73/303074673.db2.gz AMUNNXWZVRJMSP-SNVBAGLBSA-N -1 1 310.375 1.558 20 0 DDADMM O=C(c1cnn(C2CC2)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000529253319 303104984 /nfs/dbraw/zinc/10/49/84/303104984.db2.gz ZZRVBLHKEYEIHH-SECBINFHSA-N -1 1 303.322 1.326 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CC[C@H]3C[C@H]3C2)co1 ZINC000529583454 303138804 /nfs/dbraw/zinc/13/88/04/303138804.db2.gz NZHNPTZLJUWEHK-OUAUKWLOSA-N -1 1 312.391 1.354 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)[C@@H]1CCCO1 ZINC000529771028 303153257 /nfs/dbraw/zinc/15/32/57/303153257.db2.gz QVTCTHIABHFBGS-MNOVXSKESA-N -1 1 323.393 1.058 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CC[C@H]2CC[C@@H](C1)[S@@]2=O ZINC000530064720 303172452 /nfs/dbraw/zinc/17/24/52/303172452.db2.gz JYGGAHKUONRGLO-QONUSYMYSA-N -1 1 304.375 1.084 20 0 DDADMM O=C([O-])[C@@H](CC1CCC1)NS(=O)(=O)c1c(F)cccc1F ZINC000530473260 303198612 /nfs/dbraw/zinc/19/86/12/303198612.db2.gz DAGHOQCRPHXBPN-LLVKDONJSA-N -1 1 319.329 1.887 20 0 DDADMM COCCOC[C@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000531010476 303224928 /nfs/dbraw/zinc/22/49/28/303224928.db2.gz WETRVYFCXMZHOZ-NSHDSACASA-N -1 1 313.375 1.763 20 0 DDADMM O=C(Cc1cccc(F)c1F)NC1(c2nn[n-]n2)CCCC1 ZINC000531268181 303237363 /nfs/dbraw/zinc/23/73/63/303237363.db2.gz ABZKZIVIFYQQLR-UHFFFAOYSA-N -1 1 307.304 1.606 20 0 DDADMM CC(=O)N1CCC([N-]S(=O)(=O)c2cc3ccccc3o2)CC1 ZINC000531485583 303247131 /nfs/dbraw/zinc/24/71/31/303247131.db2.gz SJONLNGVCRYABX-UHFFFAOYSA-N -1 1 322.386 1.722 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCCC[C@H]2C(N)=O)sc1C ZINC000532496263 303287953 /nfs/dbraw/zinc/28/79/53/303287953.db2.gz HRJDHDOIFKCTPG-NXEZZACHSA-N -1 1 317.436 1.082 20 0 DDADMM Cc1ccccc1CCNC(=O)CNC1(C(=O)[O-])CCCC1 ZINC000533202482 303313607 /nfs/dbraw/zinc/31/36/07/303313607.db2.gz BXMOTQCQMWYBCP-UHFFFAOYSA-N -1 1 304.390 1.641 20 0 DDADMM C[C@@H](COc1ccccc1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000539324945 303394805 /nfs/dbraw/zinc/39/48/05/303394805.db2.gz FFJLTEVUIUQQRY-STQMWFEESA-N -1 1 315.377 1.621 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)[C@@H]2CCCOC2)sc1C ZINC000541871395 303431348 /nfs/dbraw/zinc/43/13/48/303431348.db2.gz RCZJWHXKXDHSMR-MWLCHTKSSA-N -1 1 304.437 1.853 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@@H](NC(=O)c2c([O-])cccc2F)C1 ZINC000547476742 303534507 /nfs/dbraw/zinc/53/45/07/303534507.db2.gz GRTSEYZWUOVBBV-ZJUUUORDSA-N -1 1 315.366 1.617 20 0 DDADMM Cc1cccc(C2(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)CC2)c1 ZINC000370849488 307138425 /nfs/dbraw/zinc/13/84/25/307138425.db2.gz DFNJMHQTWIOENA-ZDUSSCGKSA-N -1 1 313.361 1.140 20 0 DDADMM O=C(c1ccc2c(c1)CCCC2)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370860514 307138577 /nfs/dbraw/zinc/13/85/77/307138577.db2.gz VTUHTSORWBRCSP-CQSZACIVSA-N -1 1 313.361 1.292 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)NC(C)(C)C)CC2)n1 ZINC000375945539 307234950 /nfs/dbraw/zinc/23/49/50/307234950.db2.gz DLPISOLYEOLGGA-UHFFFAOYSA-N -1 1 323.397 1.669 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H](O)c2ccccc2C1 ZINC000377889950 307278427 /nfs/dbraw/zinc/27/84/27/307278427.db2.gz RFHBOPTWSVYAMU-LBPRGKRZSA-N -1 1 323.374 1.024 20 0 DDADMM CC[C@@H](CN=c1ccc(C(=O)N(C)C)n[n-]1)CC(F)(F)F ZINC000402805382 307297457 /nfs/dbraw/zinc/29/74/57/307297457.db2.gz ZMSJVBXXLACTJG-SECBINFHSA-N -1 1 304.316 1.991 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1C[C@@H]1NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC000497062423 307379036 /nfs/dbraw/zinc/37/90/36/307379036.db2.gz JZMJVOJWRLNFNN-UTLUCORTSA-N -1 1 324.385 1.070 20 0 DDADMM COc1cccc(C(=O)N=c2nc(C3(C)CC3)[n-]s2)c1O ZINC000529702366 307567388 /nfs/dbraw/zinc/56/73/88/307567388.db2.gz COAGXTVQTGWLTN-UHFFFAOYSA-N -1 1 305.359 1.978 20 0 DDADMM Cc1nc(-c2ccc(N(C)[C@@H]3COC[C@H]3O)nc2)[n-]c(=O)c1C ZINC000557736785 307882977 /nfs/dbraw/zinc/88/29/77/307882977.db2.gz RUCZXVGRZCGRDE-CHWSQXEVSA-N -1 1 316.361 1.057 20 0 DDADMM O=C([O-])c1cn([C@H]2CCCN(Cc3ccccc3F)C2)nn1 ZINC000565110926 308021297 /nfs/dbraw/zinc/02/12/97/308021297.db2.gz HDLLKHJTMYSVBC-LBPRGKRZSA-N -1 1 304.325 1.953 20 0 DDADMM CC(C)n1ccc(CNC(=O)c2csc(=NC3CC3)[n-]2)n1 ZINC000569994370 308155751 /nfs/dbraw/zinc/15/57/51/308155751.db2.gz ZJODMSTXSSOMIE-UHFFFAOYSA-N -1 1 305.407 1.847 20 0 DDADMM Cn1cncc1CS(=O)(=O)c1nc(-c2ccccc2)n[n-]1 ZINC000570318589 308165914 /nfs/dbraw/zinc/16/59/14/308165914.db2.gz OWDHOXIABMCIFH-UHFFFAOYSA-N -1 1 303.347 1.179 20 0 DDADMM Cn1cncc1CS(=O)(=O)c1n[n-]c(-c2ccccc2)n1 ZINC000570318589 308165916 /nfs/dbraw/zinc/16/59/16/308165916.db2.gz OWDHOXIABMCIFH-UHFFFAOYSA-N -1 1 303.347 1.179 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1CC[C@H](C(=O)[O-])O1)c1cccc(F)c1 ZINC000575179824 308272289 /nfs/dbraw/zinc/27/22/89/308272289.db2.gz UDGVEHOZHWPGQM-MELADBBJSA-N -1 1 324.352 1.177 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccc2c1CNC2=O)C1CC1 ZINC000575922695 308282452 /nfs/dbraw/zinc/28/24/52/308282452.db2.gz FOQMCVREIWSSMN-ZDUSSCGKSA-N -1 1 310.375 1.097 20 0 DDADMM OCC[C@H]1CCN(c2ccc(=NCc3ccccc3F)[n-]n2)C1 ZINC000578307272 308452121 /nfs/dbraw/zinc/45/21/21/308452121.db2.gz AKDCICKEAKKBRB-CYBMUJFWSA-N -1 1 316.380 1.858 20 0 DDADMM CCc1nc(SCC(=O)Nc2cc(C)on2)[n-]c(=O)c1C ZINC000582976799 337222349 /nfs/dbraw/zinc/22/23/49/337222349.db2.gz UDSGYAIYRLUWBW-UHFFFAOYSA-N -1 1 308.363 1.502 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccccc1F)[C@@H]1CCOC1 ZINC000656613489 484243660 /nfs/dbraw/zinc/24/36/60/484243660.db2.gz KINOBFRAVWPWFB-MFKMUULPSA-N -1 1 303.355 1.619 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(C)cc1F)[C@@H]1CCOC1 ZINC000656614807 484244711 /nfs/dbraw/zinc/24/47/11/484244711.db2.gz POXIIANUOCYLKM-BXUZGUMPSA-N -1 1 317.382 1.927 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(C)cc1O)[C@H]1CCOC1 ZINC000656614762 484244908 /nfs/dbraw/zinc/24/49/08/484244908.db2.gz OIOXJFDIBWBBDS-FZMZJTMJSA-N -1 1 315.391 1.494 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc2c(cc[nH]c2=O)c1)C(C)C ZINC000656633729 484252150 /nfs/dbraw/zinc/25/21/50/484252150.db2.gz GRFPHWSXKFAZKX-AWEZNQCLSA-N -1 1 324.402 1.941 20 0 DDADMM C[C@@](O)(CN1C[C@H](C(=O)[O-])C[C@H](C(F)(F)F)C1)C(F)(F)F ZINC000656756792 484315043 /nfs/dbraw/zinc/31/50/43/484315043.db2.gz HPKZEIADSCIHSO-BKPPORCPSA-N -1 1 323.233 1.885 20 0 DDADMM O=C([O-])C12CC(C1)CN2CCCS(=O)(=O)c1ccccc1 ZINC000656761945 484317200 /nfs/dbraw/zinc/31/72/00/484317200.db2.gz ZYONRMYTCQGASX-UHFFFAOYSA-N -1 1 309.387 1.399 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3nccn3c2)cn1 ZINC000669606027 484583552 /nfs/dbraw/zinc/58/35/52/484583552.db2.gz DBYRSHGDDXTBOX-UHFFFAOYSA-N -1 1 304.331 1.539 20 0 DDADMM C[C@@H]1C[C@H](C)[C@@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000669755649 484635501 /nfs/dbraw/zinc/63/55/01/484635501.db2.gz UNFQWINVGBRBCI-YGNMPJRFSA-N -1 1 301.350 1.219 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2c(F)c(F)cc(F)c2F)[nH]n1 ZINC000669836784 484661325 /nfs/dbraw/zinc/66/13/25/484661325.db2.gz VGBIWNUTRLVCTM-UHFFFAOYSA-N -1 1 323.271 1.753 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000663106111 484670558 /nfs/dbraw/zinc/67/05/58/484670558.db2.gz OFONOZIBIQJYEH-BLLLJJGKSA-N -1 1 324.377 1.331 20 0 DDADMM O=C([O-])[C@]1(C(=O)N[C@@H]2CCC[N@H+]3CCCC[C@H]23)CC=CCC1 ZINC000663120227 484684518 /nfs/dbraw/zinc/68/45/18/484684518.db2.gz UKRNOEOWSHQEJW-CKEIUWERSA-N -1 1 306.406 1.931 20 0 DDADMM CCCN(C(=O)c1ccc([O-])c(F)c1)[C@H]1CC(=O)N(C)C1=O ZINC000669926734 484686643 /nfs/dbraw/zinc/68/66/43/484686643.db2.gz RMQSYIVWEHTCMT-NSHDSACASA-N -1 1 308.309 1.141 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1CCc2cc(F)ccc21 ZINC000668868822 485344947 /nfs/dbraw/zinc/34/49/47/485344947.db2.gz FXBFNOVVBYYENL-ZDUSSCGKSA-N -1 1 315.352 1.954 20 0 DDADMM O=C(N[C@H]1CCc2cc(O)ccc2C1)C(=O)c1ccc([O-])cc1 ZINC000673137869 485391302 /nfs/dbraw/zinc/39/13/02/485391302.db2.gz XKNZGNHBHJVRDG-AWEZNQCLSA-N -1 1 311.337 1.954 20 0 DDADMM CCN(C)[C@H](C(=O)N(C)Cc1n[n-]c(=O)o1)c1ccccc1 ZINC000673478287 485416656 /nfs/dbraw/zinc/41/66/56/485416656.db2.gz KFPSJAOMUHHVRH-ZDUSSCGKSA-N -1 1 304.350 1.427 20 0 DDADMM CO[C@]1(C(F)(F)F)CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000682052139 485472041 /nfs/dbraw/zinc/47/20/41/485472041.db2.gz MKMVCRXSRDTCER-GFCCVEGCSA-N -1 1 304.268 1.971 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cnn(-c3ccncc3)c2)o1 ZINC000678613073 485648296 /nfs/dbraw/zinc/64/82/96/485648296.db2.gz VGNLSBBQHXSRSW-UHFFFAOYSA-N -1 1 304.331 1.970 20 0 DDADMM C[C@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C1CCCC1 ZINC000679114008 485780243 /nfs/dbraw/zinc/78/02/43/485780243.db2.gz OMDTZCCHLOXPJF-VIFPVBQESA-N -1 1 312.391 1.804 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](C)[C@@H](F)C2)co1 ZINC000679248490 485821326 /nfs/dbraw/zinc/82/13/26/485821326.db2.gz COJLOANLJCSADN-SCZZXKLOSA-N -1 1 304.343 1.008 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCCc2n[nH]cc21 ZINC000675143671 485863872 /nfs/dbraw/zinc/86/38/72/485863872.db2.gz GVDKNTGWHIYGNK-BXUZGUMPSA-N -1 1 323.360 1.249 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)Cc1csc(C)n1)[C@H]1CCCO1 ZINC000684108000 486252467 /nfs/dbraw/zinc/25/24/67/486252467.db2.gz XZNGUTXJGYBKAU-VXGBXAGGSA-N -1 1 320.436 1.065 20 0 DDADMM CCOc1cc(C(=O)NC2(C(N)=O)CCC2)cc(Cl)c1[O-] ZINC000680817928 486272605 /nfs/dbraw/zinc/27/26/05/486272605.db2.gz WUTFLTRWVYQUAP-UHFFFAOYSA-N -1 1 312.753 1.582 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)c2[nH]nc(C)c2[O-])N2CCCC2)o1 ZINC000676631257 486273193 /nfs/dbraw/zinc/27/31/93/486273193.db2.gz QVIPILDJRQMGMW-GFCCVEGCSA-N -1 1 318.377 1.892 20 0 DDADMM COCc1cncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC000680928049 486304548 /nfs/dbraw/zinc/30/45/48/486304548.db2.gz SZVQKKRTRMCOLJ-UHFFFAOYSA-N -1 1 301.228 1.617 20 0 DDADMM O=C(NC[C@@H](O)COc1ccc(F)cc1)c1ccc([O-])c(F)c1 ZINC000681056032 486333255 /nfs/dbraw/zinc/33/32/55/486333255.db2.gz IIQXLKLXEQSGPI-GFCCVEGCSA-N -1 1 323.295 1.840 20 0 DDADMM O=C(NCc1ccc2c(c1)C(=O)NCC2)c1ccc([O-])c(F)c1 ZINC000681067631 486336326 /nfs/dbraw/zinc/33/63/26/486336326.db2.gz DJVOOWOPKOUPCG-UHFFFAOYSA-N -1 1 314.316 1.747 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2C[C@H](O)C2(C)C)c(F)c1 ZINC000681690730 486492442 /nfs/dbraw/zinc/49/24/42/486492442.db2.gz KZUWMAKMUGUUDL-MNOVXSKESA-N -1 1 321.345 1.411 20 0 DDADMM O=C(CSc1nc(C(F)F)cc(=O)[n-]1)Nc1ccon1 ZINC000685575031 486567816 /nfs/dbraw/zinc/56/78/16/486567816.db2.gz HYEBGMIURQQQOO-UHFFFAOYSA-N -1 1 302.262 1.839 20 0 DDADMM C[C@@H](Oc1cccnc1)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000685637298 486579547 /nfs/dbraw/zinc/57/95/47/486579547.db2.gz FRBLRHJAYMUTOR-RISCZKNCSA-N -1 1 324.344 1.268 20 0 DDADMM COCc1nc(=NC(=O)c2ccc(-c3nnc[nH]3)cc2)s[n-]1 ZINC000353587000 490753524 /nfs/dbraw/zinc/75/35/24/490753524.db2.gz AKRMARLWDVOPES-UHFFFAOYSA-N -1 1 316.346 1.144 20 0 DDADMM O=C(N=c1[n-]ncs1)c1ccc(-c2nnc(C3CC3)[nH]2)cc1 ZINC000359860001 490763176 /nfs/dbraw/zinc/76/31/76/490763176.db2.gz UQOAJSPMBJCWNS-UHFFFAOYSA-N -1 1 312.358 1.875 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-][C@H](C(=O)OC(C)C)C(C)C)no1 ZINC000451844648 533745803 /nfs/dbraw/zinc/74/58/03/533745803.db2.gz SVPBSRVPQQXYSH-LBPRGKRZSA-N -1 1 318.395 1.379 20 0 DDADMM CS[C@@H](CO)[C@@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000451336610 533935177 /nfs/dbraw/zinc/93/51/77/533935177.db2.gz FDDXETQJKOAYQV-SVRRBLITSA-N -1 1 315.869 1.792 20 0 DDADMM C[C@@H](Cc1ccc(OC(F)(F)F)cc1)C(=O)Nc1nnn[n-]1 ZINC000411457511 534238566 /nfs/dbraw/zinc/23/85/66/534238566.db2.gz OXINUVNDABIFMA-ZETCQYMHSA-N -1 1 315.255 1.916 20 0 DDADMM C[C@@H](Cc1ccc(OC(F)(F)F)cc1)C(=O)Nc1nn[n-]n1 ZINC000411457511 534238569 /nfs/dbraw/zinc/23/85/69/534238569.db2.gz OXINUVNDABIFMA-ZETCQYMHSA-N -1 1 315.255 1.916 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1sccc1Cl)C1CC1 ZINC000451276313 534281344 /nfs/dbraw/zinc/28/13/44/534281344.db2.gz SOFNHCIOHHKEQM-QMMMGPOBSA-N -1 1 309.796 1.631 20 0 DDADMM NC(=O)c1csc(=N[C@@H]2CCN(C(=O)C3CCCCC3)C2)[n-]1 ZINC000432331900 534523310 /nfs/dbraw/zinc/52/33/10/534523310.db2.gz RPAITRLVVLQVDY-LLVKDONJSA-N -1 1 322.434 1.257 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](c2cnn(C)c2)C(F)(F)F)c([O-])c1 ZINC000447263796 534532170 /nfs/dbraw/zinc/53/21/70/534532170.db2.gz JUEYWZSGTLLRGS-NSHDSACASA-N -1 1 314.267 1.863 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC1CC(C)(F)C1 ZINC000452275521 534644557 /nfs/dbraw/zinc/64/45/57/534644557.db2.gz IMXMMRQDQGMQSL-UHFFFAOYSA-N -1 1 306.341 1.601 20 0 DDADMM CC[C@H](OC1CCCCC1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000156529423 522853505 /nfs/dbraw/zinc/85/35/05/522853505.db2.gz UQJFTTMTVLGEHH-AAEUAGOBSA-N -1 1 309.414 1.890 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCC[C@](O)(CO)C1 ZINC000457907843 534695996 /nfs/dbraw/zinc/69/59/96/534695996.db2.gz DPVVXNDSSIYEBB-CYBMUJFWSA-N -1 1 319.279 1.370 20 0 DDADMM C/C=C\C[C@H](CO)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425176596 526330410 /nfs/dbraw/zinc/33/04/10/526330410.db2.gz NDMYRRKOYFWAFV-UMBAGQNISA-N -1 1 305.346 1.879 20 0 DDADMM CC(C)(C)c1n[nH]cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000331844383 526848884 /nfs/dbraw/zinc/84/88/84/526848884.db2.gz PRSORDJYSIKTQE-SECBINFHSA-N -1 1 319.365 1.816 20 0 DDADMM CC(C)(NC(=O)C=Cc1cnc2ccccc2n1)c1nn[n-]n1 ZINC000491928545 527261866 /nfs/dbraw/zinc/26/18/66/527261866.db2.gz HWZROPLCCVLXNY-BQYQJAHWSA-N -1 1 309.333 1.208 20 0 DDADMM CC(C)[C@](C)([N-]S(=O)(=O)c1sccc1Cl)C(N)=O ZINC000451529723 527951566 /nfs/dbraw/zinc/95/15/66/527951566.db2.gz HBLOHJXNEDIGSX-JTQLQIEISA-N -1 1 310.828 1.580 20 0 DDADMM CCNC(=O)c1ccc(=NCCOc2cc(C)cc(C)c2)[n-]n1 ZINC000413068037 528228709 /nfs/dbraw/zinc/22/87/09/528228709.db2.gz HNJUREBBYAVDKF-UHFFFAOYSA-N -1 1 314.389 1.756 20 0 DDADMM CCOC(=O)c1c[n-]nc1S(=O)(=O)Oc1cc(C)ccc1C ZINC000298121844 528285968 /nfs/dbraw/zinc/28/59/68/528285968.db2.gz SMMNZVLZAKBRMY-UHFFFAOYSA-N -1 1 324.358 1.971 20 0 DDADMM CC(C)C[C@@H](CNC(=O)N1CCN(C)[C@@H](C(C)C)C1)C(=O)[O-] ZINC000424428714 528321149 /nfs/dbraw/zinc/32/11/49/528321149.db2.gz XXWQOBHMFYFHGH-UONOGXRCSA-N -1 1 313.442 1.715 20 0 DDADMM CC(C)C[C@H](CN=c1[n-]c(C2CCC2)no1)N1CCOCC1 ZINC000450239105 528521071 /nfs/dbraw/zinc/52/10/71/528521071.db2.gz CSXQVYRGPFCBHG-CQSZACIVSA-N -1 1 308.426 1.918 20 0 DDADMM CC(C)n1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000331858733 528589866 /nfs/dbraw/zinc/58/98/66/528589866.db2.gz RSHZCGFMAJGHOZ-JTQLQIEISA-N -1 1 305.338 1.572 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000332527469 528736841 /nfs/dbraw/zinc/73/68/41/528736841.db2.gz POESFAPSRHOWJO-ZDUSSCGKSA-N -1 1 301.346 1.420 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(=O)OC ZINC000425165452 529017503 /nfs/dbraw/zinc/01/75/03/529017503.db2.gz CNPIXFYGTRXCHM-LLVKDONJSA-N -1 1 321.345 1.893 20 0 DDADMM CC(C)N1C[C@@H]([N-]S(=O)(=O)c2sccc2Cl)CC1=O ZINC000451157684 529095906 /nfs/dbraw/zinc/09/59/06/529095906.db2.gz XJPHCBNOVAFMKN-QMMMGPOBSA-N -1 1 322.839 1.689 20 0 DDADMM CCN(C(=O)c1ccc(-c2nnn[n-]2)nc1)c1nc(C)cs1 ZINC000736505752 598832426 /nfs/dbraw/zinc/83/24/26/598832426.db2.gz MHQUVFSVLFJTBS-UHFFFAOYSA-N -1 1 315.362 1.693 20 0 DDADMM CCN(C(=O)c1ccc(-c2nn[n-]n2)nc1)c1nc(C)cs1 ZINC000736505752 598832427 /nfs/dbraw/zinc/83/24/27/598832427.db2.gz MHQUVFSVLFJTBS-UHFFFAOYSA-N -1 1 315.362 1.693 20 0 DDADMM C[C@@H](Nc1ccc(Cl)c(-c2nnn[n-]2)n1)C(=O)NC(C)(C)C ZINC000736138571 598869122 /nfs/dbraw/zinc/86/91/22/598869122.db2.gz NIYUPCACSIYVLW-SSDOTTSWSA-N -1 1 323.788 1.630 20 0 DDADMM C[C@@H](Nc1ccc(Cl)c(-c2nn[n-]n2)n1)C(=O)NC(C)(C)C ZINC000736138571 598869125 /nfs/dbraw/zinc/86/91/25/598869125.db2.gz NIYUPCACSIYVLW-SSDOTTSWSA-N -1 1 323.788 1.630 20 0 DDADMM OCC1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)CC1 ZINC000738393455 598937104 /nfs/dbraw/zinc/93/71/04/598937104.db2.gz LXOFXEIRCLBFBF-UHFFFAOYSA-N -1 1 311.349 1.019 20 0 DDADMM OCC1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)CC1 ZINC000738393455 598937105 /nfs/dbraw/zinc/93/71/05/598937105.db2.gz LXOFXEIRCLBFBF-UHFFFAOYSA-N -1 1 311.349 1.019 20 0 DDADMM Cc1cc(CNc2c3ccccc3nnc2-c2nnn[n-]2)no1 ZINC000737263060 598962333 /nfs/dbraw/zinc/96/23/33/598962333.db2.gz APHVIRSRIAFOIS-UHFFFAOYSA-N -1 1 308.305 1.718 20 0 DDADMM Cc1cc(CNc2c3ccccc3nnc2-c2nn[n-]n2)no1 ZINC000737263060 598962334 /nfs/dbraw/zinc/96/23/34/598962334.db2.gz APHVIRSRIAFOIS-UHFFFAOYSA-N -1 1 308.305 1.718 20 0 DDADMM CN(Cc1ccon1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736829395 598971119 /nfs/dbraw/zinc/97/11/19/598971119.db2.gz BRHIFPRZZDOLCP-UHFFFAOYSA-N -1 1 308.305 1.434 20 0 DDADMM CN(Cc1ccon1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736829395 598971120 /nfs/dbraw/zinc/97/11/20/598971120.db2.gz BRHIFPRZZDOLCP-UHFFFAOYSA-N -1 1 308.305 1.434 20 0 DDADMM O=C(Oc1ccc2c(c1)CCC2)c1ccc(-c2nnn[n-]2)nc1 ZINC000738248005 598982172 /nfs/dbraw/zinc/98/21/72/598982172.db2.gz UWDUDNFDUOQMRM-UHFFFAOYSA-N -1 1 307.313 1.970 20 0 DDADMM O=C(Oc1ccc2c(c1)CCC2)c1ccc(-c2nn[n-]n2)nc1 ZINC000738248005 598982174 /nfs/dbraw/zinc/98/21/74/598982174.db2.gz UWDUDNFDUOQMRM-UHFFFAOYSA-N -1 1 307.313 1.970 20 0 DDADMM C[S@@](=O)CCCNC(=O)c1cc(Br)ccc1[O-] ZINC000225458297 697765668 /nfs/dbraw/zinc/76/56/68/697765668.db2.gz ISKZZDITNFDVOG-QGZVFWFLSA-N -1 1 320.208 1.653 20 0 DDADMM CC(C)(NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccccc1 ZINC000735671903 598998240 /nfs/dbraw/zinc/99/82/40/598998240.db2.gz SKKMUFLPHVGZAV-UHFFFAOYSA-N -1 1 308.345 1.927 20 0 DDADMM CC(C)(NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccccc1 ZINC000735671903 598998241 /nfs/dbraw/zinc/99/82/41/598998241.db2.gz SKKMUFLPHVGZAV-UHFFFAOYSA-N -1 1 308.345 1.927 20 0 DDADMM CCc1nc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)cs1 ZINC000736704480 599030817 /nfs/dbraw/zinc/03/08/17/599030817.db2.gz OYAGQHMZWOPPGO-UHFFFAOYSA-N -1 1 315.362 1.211 20 0 DDADMM CCc1nc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)cs1 ZINC000736704480 599030819 /nfs/dbraw/zinc/03/08/19/599030819.db2.gz OYAGQHMZWOPPGO-UHFFFAOYSA-N -1 1 315.362 1.211 20 0 DDADMM Cc1ccc2c(c1)OCCN2C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737374930 599094361 /nfs/dbraw/zinc/09/43/61/599094361.db2.gz AOAXWZRNDDBPDZ-UHFFFAOYSA-N -1 1 322.328 1.609 20 0 DDADMM Cc1ccc2c(c1)OCCN2C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737374930 599094365 /nfs/dbraw/zinc/09/43/65/599094365.db2.gz AOAXWZRNDDBPDZ-UHFFFAOYSA-N -1 1 322.328 1.609 20 0 DDADMM C[C@H]1C[C@@H]1c1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n[nH]1 ZINC000736241246 599107716 /nfs/dbraw/zinc/10/77/16/599107716.db2.gz WPESKDPTURXXQP-CBAPKCEASA-N -1 1 310.321 1.361 20 0 DDADMM C[C@H]1C[C@@H]1c1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n[nH]1 ZINC000736241246 599107718 /nfs/dbraw/zinc/10/77/18/599107718.db2.gz WPESKDPTURXXQP-CBAPKCEASA-N -1 1 310.321 1.361 20 0 DDADMM CC(C)C(NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)C ZINC000820026992 607259302 /nfs/dbraw/zinc/25/93/02/607259302.db2.gz OTDYRTIFUCXCFR-UHFFFAOYSA-N -1 1 324.410 1.221 20 0 DDADMM CC(C)C(NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)C ZINC000820026992 607259303 /nfs/dbraw/zinc/25/93/03/607259303.db2.gz OTDYRTIFUCXCFR-UHFFFAOYSA-N -1 1 324.410 1.221 20 0 DDADMM CCN(C[C@@H]1CCN(C(=O)[O-])C1)[C@@H](C)CS(=O)(=O)CC ZINC000739414407 603345087 /nfs/dbraw/zinc/34/50/87/603345087.db2.gz LZDKCISXEVQWDF-RYUDHWBXSA-N -1 1 306.428 1.131 20 0 DDADMM COc1ccc(CN(C)C(=O)CN(C)CCC(=O)[O-])cc1F ZINC000738091398 596997547 /nfs/dbraw/zinc/99/75/47/596997547.db2.gz SMNQVQNSXVLLFH-UHFFFAOYSA-N -1 1 312.341 1.199 20 0 DDADMM CCc1noc([C@H](C)N2CCN(CCCCC(=O)[O-])CC2)n1 ZINC000737911781 597775994 /nfs/dbraw/zinc/77/59/94/597775994.db2.gz BNJYTIXDHKUDDO-LBPRGKRZSA-N -1 1 310.398 1.566 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)NC[C@H]1C[N@H+]2CCC[C@H]2CO1 ZINC000321663144 598178132 /nfs/dbraw/zinc/17/81/32/598178132.db2.gz REMXODPYOPRMDH-RYUDHWBXSA-N -1 1 319.361 1.370 20 0 DDADMM CCCN(CCC)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736474226 598259479 /nfs/dbraw/zinc/25/94/79/598259479.db2.gz YKDBDBACOOUUET-UHFFFAOYSA-N -1 1 310.383 1.072 20 0 DDADMM CCCN(CCC)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736474226 598259481 /nfs/dbraw/zinc/25/94/81/598259481.db2.gz YKDBDBACOOUUET-UHFFFAOYSA-N -1 1 310.383 1.072 20 0 DDADMM CCN(C)c1ccccc1CNc1nccnc1-c1nnn[n-]1 ZINC000736512256 598389427 /nfs/dbraw/zinc/38/94/27/598389427.db2.gz OBYDYSZFKKFPQT-UHFFFAOYSA-N -1 1 310.365 1.725 20 0 DDADMM CCN(C)c1ccccc1CNc1nccnc1-c1nn[n-]n1 ZINC000736512256 598389428 /nfs/dbraw/zinc/38/94/28/598389428.db2.gz OBYDYSZFKKFPQT-UHFFFAOYSA-N -1 1 310.365 1.725 20 0 DDADMM O[C@@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)c1ccco1 ZINC000738385858 598573555 /nfs/dbraw/zinc/57/35/55/598573555.db2.gz ZFEGKJBZTCVZAT-QMMMGPOBSA-N -1 1 306.713 1.654 20 0 DDADMM O[C@@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)c1ccco1 ZINC000738385858 598573556 /nfs/dbraw/zinc/57/35/56/598573556.db2.gz ZFEGKJBZTCVZAT-QMMMGPOBSA-N -1 1 306.713 1.654 20 0 DDADMM Clc1nsc(N2CCn3ccnc3C2)c1-c1nnn[n-]1 ZINC000737585048 598669652 /nfs/dbraw/zinc/66/96/52/598669652.db2.gz XIHALVQLOFYKFZ-UHFFFAOYSA-N -1 1 308.758 1.193 20 0 DDADMM Clc1nsc(N2CCn3ccnc3C2)c1-c1nn[n-]n1 ZINC000737585048 598669654 /nfs/dbraw/zinc/66/96/54/598669654.db2.gz XIHALVQLOFYKFZ-UHFFFAOYSA-N -1 1 308.758 1.193 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)C[C@@H]1CCc2ccccc2C1 ZINC000737777980 598750969 /nfs/dbraw/zinc/75/09/69/598750969.db2.gz VCEAVNIQEUCELM-SNVBAGLBSA-N -1 1 323.360 1.481 20 0 DDADMM C[C@@H]1SCCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)[C@H]1C ZINC000736305058 599301704 /nfs/dbraw/zinc/30/17/04/599301704.db2.gz WUSUEUIIFTWRFD-IUCAKERBSA-N -1 1 304.379 1.228 20 0 DDADMM C[C@@H]1SCCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)[C@H]1C ZINC000736305058 599301707 /nfs/dbraw/zinc/30/17/07/599301707.db2.gz WUSUEUIIFTWRFD-IUCAKERBSA-N -1 1 304.379 1.228 20 0 DDADMM C[C@H](CNc1cccc(-c2nnn[n-]2)n1)CC1(C)OCCO1 ZINC000820280279 599685575 /nfs/dbraw/zinc/68/55/75/599685575.db2.gz CUIQCZVZCZPAEF-JTQLQIEISA-N -1 1 304.354 1.463 20 0 DDADMM C[C@H](CNc1cccc(-c2nn[n-]n2)n1)CC1(C)OCCO1 ZINC000820280279 599685576 /nfs/dbraw/zinc/68/55/76/599685576.db2.gz CUIQCZVZCZPAEF-JTQLQIEISA-N -1 1 304.354 1.463 20 0 DDADMM O=C1C[C@@H](c2cccc(Nc3nccnc3-c3nnn[n-]3)c2)CN1 ZINC000823519757 607388532 /nfs/dbraw/zinc/38/85/32/607388532.db2.gz IDCGLERURMDATL-SNVBAGLBSA-N -1 1 322.332 1.004 20 0 DDADMM O=C1C[C@@H](c2cccc(Nc3nccnc3-c3nn[n-]n3)c2)CN1 ZINC000823519757 607388534 /nfs/dbraw/zinc/38/85/34/607388534.db2.gz IDCGLERURMDATL-SNVBAGLBSA-N -1 1 322.332 1.004 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)Nc2ccn(CC(=O)[O-])n2)o1 ZINC000737088079 599734233 /nfs/dbraw/zinc/73/42/33/599734233.db2.gz MUTZFYCNJSDCQC-UHFFFAOYSA-N -1 1 320.349 1.655 20 0 DDADMM CC(C)(O)CN1CCN([C@@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000736341369 599810056 /nfs/dbraw/zinc/81/00/56/599810056.db2.gz YMEOZNONESKPGM-CQSZACIVSA-N -1 1 310.369 1.340 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])N1CCc2ccccc2C1 ZINC000736592646 600069407 /nfs/dbraw/zinc/06/94/07/600069407.db2.gz GYCQHKHXKDSDRU-DFBGVHRSSA-N -1 1 302.374 1.270 20 0 DDADMM CCC[C@@](C)(NCC(=O)N1CC(=O)Nc2ccccc21)C(=O)[O-] ZINC000736960134 600153909 /nfs/dbraw/zinc/15/39/09/600153909.db2.gz LJLQEOJFESNPIS-MRXNPFEDSA-N -1 1 319.361 1.205 20 0 DDADMM CC(C)OC1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)CC1 ZINC000736484442 600168190 /nfs/dbraw/zinc/16/81/90/600168190.db2.gz BXRVOFIPDYXLOV-UHFFFAOYSA-N -1 1 312.410 1.199 20 0 DDADMM O=C([O-])C1(NC(=O)CN2CCCC[C@H]2CCO)CCCCC1 ZINC000739286553 600318528 /nfs/dbraw/zinc/31/85/28/600318528.db2.gz ZVKXQCCNDPQUHN-ZDUSSCGKSA-N -1 1 312.410 1.127 20 0 DDADMM C[C@H](CN(C)[C@@H](C)C(=O)Nc1ccc(F)cc1F)C(=O)[O-] ZINC000736570155 600363061 /nfs/dbraw/zinc/36/30/61/600363061.db2.gz BMKALARFNZXSJE-BDAKNGLRSA-N -1 1 300.305 1.944 20 0 DDADMM CCN(CC(=O)Nc1cc(C(=O)[O-])ccc1C)CC(C)(C)O ZINC000737069551 600396637 /nfs/dbraw/zinc/39/66/37/600396637.db2.gz JGFMFYRJLOHKOC-UHFFFAOYSA-N -1 1 308.378 1.725 20 0 DDADMM NC(=O)c1cccc(CN[C@H](C(=O)[O-])c2ccc(F)cc2)c1 ZINC000739182145 600421360 /nfs/dbraw/zinc/42/13/60/600421360.db2.gz FLQWLCBSWGTWPC-AWEZNQCLSA-N -1 1 302.305 1.840 20 0 DDADMM CN(Cc1ccco1)C(=O)CN(CCC(=O)[O-])C[C@H]1CCCO1 ZINC000737494139 600513358 /nfs/dbraw/zinc/51/33/58/600513358.db2.gz LDHPHSJSGCWEGD-CQSZACIVSA-N -1 1 324.377 1.194 20 0 DDADMM O=C([O-])CCN(Cc1cn2cccnc2n1)C[C@@H]1CCCO1 ZINC000739723555 600515467 /nfs/dbraw/zinc/51/54/67/600515467.db2.gz MBWPJONNTMWLKR-ZDUSSCGKSA-N -1 1 304.350 1.185 20 0 DDADMM Cc1ccc(-c2cc(C(=O)Nc3cnn(CC(=O)[O-])c3)[nH]n2)o1 ZINC000738487577 600530751 /nfs/dbraw/zinc/53/07/51/600530751.db2.gz BJUNVYRWEQJAOH-UHFFFAOYSA-N -1 1 315.289 1.512 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H](C(=O)NCCCCN2CCOCC2)C1 ZINC000739568860 600657380 /nfs/dbraw/zinc/65/73/80/600657380.db2.gz PQDZSYPWTRXECU-ZIAGYGMSSA-N -1 1 312.410 1.106 20 0 DDADMM CCCN(Cn1cnc(C(=O)[O-])n1)[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000737021580 600706088 /nfs/dbraw/zinc/70/60/88/600706088.db2.gz XRTSTECKJHUGDM-QJPTWQEYSA-N -1 1 308.382 1.459 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2csc(C(=O)[O-])c2)n[nH]1 ZINC000829317988 600754101 /nfs/dbraw/zinc/75/41/01/600754101.db2.gz BZYJUDANGKXDPX-UHFFFAOYSA-N -1 1 315.376 1.210 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCC[C@H]1C[C@@H](O)c1ccccc1 ZINC000833236348 600852838 /nfs/dbraw/zinc/85/28/38/600852838.db2.gz FXGFCXFANZWPLQ-LSDHHAIUSA-N -1 1 320.389 1.165 20 0 DDADMM Cc1nnc(SCC(=O)Nc2ccc(CCC(=O)[O-])cc2)[nH]1 ZINC000832991631 600933705 /nfs/dbraw/zinc/93/37/05/600933705.db2.gz YFFYGVDIRAVOLF-UHFFFAOYSA-N -1 1 320.374 1.861 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2ccc(CCC(=O)[O-])cc2)n1 ZINC000832991631 600933708 /nfs/dbraw/zinc/93/37/08/600933708.db2.gz YFFYGVDIRAVOLF-UHFFFAOYSA-N -1 1 320.374 1.861 20 0 DDADMM COc1ccc(NS(=O)(=O)c2cccc(C)c2C(=O)[O-])nc1 ZINC000832419662 600985869 /nfs/dbraw/zinc/98/58/69/600985869.db2.gz WWVYIRISEFJLPN-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM C[C@](NCC(=O)NC12CC3CC(CC(C3)C1)C2)(C(=O)[O-])C1CC1 ZINC000827729006 601036595 /nfs/dbraw/zinc/03/65/95/601036595.db2.gz WXTBBZVECAAVDW-FQWGAIOXSA-N -1 1 320.433 1.914 20 0 DDADMM CC(C)c1cc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)n(C)n1 ZINC000827102021 601084695 /nfs/dbraw/zinc/08/46/95/601084695.db2.gz MJSARLMAYHPRDM-LBPRGKRZSA-N -1 1 322.409 1.165 20 0 DDADMM Cc1nc(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cs1 ZINC000832998243 601091640 /nfs/dbraw/zinc/09/16/40/601091640.db2.gz JFUSYCAJUMKKRR-JTQLQIEISA-N -1 1 311.407 1.001 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCCc2ccccn2)CC1 ZINC000827362781 601094561 /nfs/dbraw/zinc/09/45/61/601094561.db2.gz VQMQQHDUQLRUAR-CQSZACIVSA-N -1 1 319.405 1.412 20 0 DDADMM CCOc1cc(N2CCN(CCC(=O)[O-])CC2)ccc1[N+](=O)[O-] ZINC000320559855 601250744 /nfs/dbraw/zinc/25/07/44/601250744.db2.gz KEWXTUMTXFXXFW-UHFFFAOYSA-N -1 1 323.349 1.590 20 0 DDADMM O=C([O-])c1sc(N2CCC(c3nc[nH]n3)CC2)nc1Cl ZINC000833346012 601255819 /nfs/dbraw/zinc/25/58/19/601255819.db2.gz HOUFVRAAEXATAO-UHFFFAOYSA-N -1 1 313.770 1.997 20 0 DDADMM CCOC[C@@H]1CCN(CC(=O)Nc2cc(C(=O)[O-])ccc2C)C1 ZINC000829990392 601378279 /nfs/dbraw/zinc/37/82/79/601378279.db2.gz ZFESOJFBWVGZFB-CYBMUJFWSA-N -1 1 320.389 1.990 20 0 DDADMM CCOC[C@@H]1CCN(CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC000829989933 601379699 /nfs/dbraw/zinc/37/96/99/601379699.db2.gz NUOAEGMTDYHRBL-GFCCVEGCSA-N -1 1 306.362 1.682 20 0 DDADMM Cc1[nH]c(C(=O)[C@H](C)N(C)C[C@@H]2CCOC2)c(C)c1C(=O)[O-] ZINC000832843724 601384371 /nfs/dbraw/zinc/38/43/71/601384371.db2.gz ZVLUIPNPVLKDQT-RYUDHWBXSA-N -1 1 308.378 1.869 20 0 DDADMM O=C([O-])[C@H]1C[C@H](C2CCCCC2)CN1CC(=O)N1CCCC1 ZINC000833180373 601432076 /nfs/dbraw/zinc/43/20/76/601432076.db2.gz UDRVIWITMLQRMD-LSDHHAIUSA-N -1 1 308.422 1.964 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCc1cn2cc(Cl)ccc2n1 ZINC000831586423 601487415 /nfs/dbraw/zinc/48/74/15/601487415.db2.gz WSFQBKZYWGPXEA-AWEZNQCLSA-N -1 1 311.769 1.957 20 0 DDADMM CCC1CCC(NCC(=O)Nc2c(C)n[nH]c2C)(C(=O)[O-])CC1 ZINC000829101676 601493908 /nfs/dbraw/zinc/49/39/08/601493908.db2.gz UGOWBUDQWXOUFS-UHFFFAOYSA-N -1 1 322.409 1.978 20 0 DDADMM C[C@H](c1nnnn1-c1ccccc1)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828424853 601508851 /nfs/dbraw/zinc/50/88/51/601508851.db2.gz TXMBBNIFLMKZSM-NQBHXWOUSA-N -1 1 301.350 1.376 20 0 DDADMM Cc1cc(CC(=O)NCCOc2ccc(C(=O)[O-])cc2)[nH]n1 ZINC000832883000 601681491 /nfs/dbraw/zinc/68/14/91/601681491.db2.gz FPYYLZMWRSBQEA-UHFFFAOYSA-N -1 1 303.318 1.154 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](C(=O)[O-])c2ccc(Cl)cc2)[nH]n1 ZINC000832882803 601686873 /nfs/dbraw/zinc/68/68/73/601686873.db2.gz CKCAQSBDZVQPFW-CYBMUJFWSA-N -1 1 307.737 1.856 20 0 DDADMM CCN(C)[C@H](C(=O)N1CCO[C@H](CC(=O)[O-])C1)c1ccccc1 ZINC000829608836 601742883 /nfs/dbraw/zinc/74/28/83/601742883.db2.gz RGYPHTWGTKTIDJ-ZBFHGGJFSA-N -1 1 320.389 1.382 20 0 DDADMM CC(C)C[C@H]1CCC(=O)N(CN2CC[C@@](C)(C(=O)[O-])C2)C1=O ZINC000826832544 601888091 /nfs/dbraw/zinc/88/80/91/601888091.db2.gz SZHPCCSNJMMOMB-MLGOLLRUSA-N -1 1 310.394 1.552 20 0 DDADMM O=C([O-])c1c2c(sc1NC(=O)CSc1c[nH]nn1)CCC2 ZINC000833115850 601897170 /nfs/dbraw/zinc/89/71/70/601897170.db2.gz FMLOHUSWHNKWLS-UHFFFAOYSA-N -1 1 324.387 1.784 20 0 DDADMM CC(C)CN(CC(F)(F)F)C(=O)CN1CC[C@](C)(C(=O)[O-])C1 ZINC000826870931 601917659 /nfs/dbraw/zinc/91/76/59/601917659.db2.gz IBAPHTLXIBWDQT-ZDUSSCGKSA-N -1 1 324.343 1.830 20 0 DDADMM CCC[C@H](C)NC(=O)[C@H](C)N1CCSC[C@@H]1CC(=O)[O-] ZINC000091132646 601925875 /nfs/dbraw/zinc/92/58/75/601925875.db2.gz WPEMJCIFMKQVHR-SRVKXCTJSA-N -1 1 302.440 1.572 20 0 DDADMM CN(Cc1ccc(F)cc1)C(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000739710483 602044691 /nfs/dbraw/zinc/04/46/91/602044691.db2.gz UXQGFMVBANFIAS-CYBMUJFWSA-N -1 1 323.368 1.374 20 0 DDADMM CCOC(=O)C[C@H](C)SCc1ccnc(-c2nnn[n-]2)c1 ZINC000825507336 607547421 /nfs/dbraw/zinc/54/74/21/607547421.db2.gz QDGFGFCCGLAJQV-VIFPVBQESA-N -1 1 307.379 1.837 20 0 DDADMM CCOC(=O)C[C@H](C)SCc1ccnc(-c2nn[n-]n2)c1 ZINC000825507336 607547422 /nfs/dbraw/zinc/54/74/22/607547422.db2.gz QDGFGFCCGLAJQV-VIFPVBQESA-N -1 1 307.379 1.837 20 0 DDADMM CCOCCN1CCN(C(=O)[C@H](C)CC(=O)[O-])C[C@@H]1CC ZINC000830011279 602165487 /nfs/dbraw/zinc/16/54/87/602165487.db2.gz BVVKSLLCJMJFQM-OLZOCXBDSA-N -1 1 300.399 1.057 20 0 DDADMM CC(C)S(=O)(=O)CCCN1CCC(CCC(=O)[O-])CC1 ZINC000696707319 602237399 /nfs/dbraw/zinc/23/73/99/602237399.db2.gz ZGADNEWDORLOBN-UHFFFAOYSA-N -1 1 305.440 1.777 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)C1CCC(NC(=O)[O-])CC1 ZINC000740034063 602256488 /nfs/dbraw/zinc/25/64/88/602256488.db2.gz VUABFWJWPXZADS-UHFFFAOYSA-N -1 1 308.382 1.593 20 0 DDADMM Cc1nc2ccc(NC(=O)[C@H]3CSCN3C(=O)[O-])cc2[nH]1 ZINC000740228800 602361274 /nfs/dbraw/zinc/36/12/74/602361274.db2.gz BRXNBBXDGRZIAA-LLVKDONJSA-N -1 1 306.347 1.863 20 0 DDADMM Clc1ccc(N2CCc3ncccc3C2)nc1-c1nnn[n-]1 ZINC000826351903 607564508 /nfs/dbraw/zinc/56/45/08/607564508.db2.gz GKVJWGHIQCRJDD-UHFFFAOYSA-N -1 1 313.752 1.873 20 0 DDADMM Clc1ccc(N2CCc3ncccc3C2)nc1-c1nn[n-]n1 ZINC000826351903 607564510 /nfs/dbraw/zinc/56/45/10/607564510.db2.gz GKVJWGHIQCRJDD-UHFFFAOYSA-N -1 1 313.752 1.873 20 0 DDADMM CCN(CCNC(=O)c1c[nH]c2ccccc2c1=O)C(=O)[O-] ZINC000739434920 602472586 /nfs/dbraw/zinc/47/25/86/602472586.db2.gz IHOKQFLEPHOCSY-UHFFFAOYSA-N -1 1 303.318 1.258 20 0 DDADMM C[C@@H]1CCCC[C@@]1(CNC(=O)[O-])NCc1nnc2n1CCCC2 ZINC000739104772 602552923 /nfs/dbraw/zinc/55/29/23/602552923.db2.gz WVDJWGIZFWDMOD-WBMJQRKESA-N -1 1 321.425 1.921 20 0 DDADMM CC(C)n1nnnc1CN[C@@]1(CNC(=O)[O-])CCCC[C@@H]1C ZINC000738891212 602553491 /nfs/dbraw/zinc/55/34/91/602553491.db2.gz QVPWSPMUNZXHGK-SMDDNHRTSA-N -1 1 310.402 1.560 20 0 DDADMM CCC(CC)NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825108005 607573835 /nfs/dbraw/zinc/57/38/35/607573835.db2.gz TWEYOJCOPGSLPL-UHFFFAOYSA-N -1 1 313.358 1.473 20 0 DDADMM CCC(CC)NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825108005 607573837 /nfs/dbraw/zinc/57/38/37/607573837.db2.gz TWEYOJCOPGSLPL-UHFFFAOYSA-N -1 1 313.358 1.473 20 0 DDADMM CN(C(=O)c1csc(CNC(=O)[O-])n1)[C@H]1CN2CCC1CC2 ZINC000739593116 602714428 /nfs/dbraw/zinc/71/44/28/602714428.db2.gz BJRNQURUCNHBSV-NSHDSACASA-N -1 1 324.406 1.077 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])CCN1CCC(=O)Nc1cccc(F)c1 ZINC000739161193 602740581 /nfs/dbraw/zinc/74/05/81/602740581.db2.gz OMZIXUOKNNJMPG-LLVKDONJSA-N -1 1 309.341 1.838 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)C[C@@H](C)N1C(=O)[O-] ZINC000739170435 602806739 /nfs/dbraw/zinc/80/67/39/602806739.db2.gz SAWKZWWZNBMZCR-RKDXNWHRSA-N -1 1 303.322 1.171 20 0 DDADMM CC(C)[C@@H](CNC(=O)N1CCN2CCCC[C@@H]2C1)NC(=O)[O-] ZINC000738788567 602845664 /nfs/dbraw/zinc/84/56/64/602845664.db2.gz YUQUFLIFDHVIQY-CHWSQXEVSA-N -1 1 312.414 1.158 20 0 DDADMM C[NH+](C)[C@H]1CCCN(C(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739604212 602858901 /nfs/dbraw/zinc/85/89/01/602858901.db2.gz PFWCQEIJTWNPHV-NSHDSACASA-N -1 1 307.350 1.648 20 0 DDADMM C[C@@H]1CC(=O)N(CN2CCC[C@H]([C@@H]3CCCN3C(=O)[O-])C2)C1 ZINC000739076236 602860006 /nfs/dbraw/zinc/86/00/06/602860006.db2.gz OBKIWKZVPYUGEF-RDBSUJKOSA-N -1 1 309.410 1.667 20 0 DDADMM O=C([O-])N1CCC[C@@H](CNCc2n[nH]cc2Br)C1 ZINC000740510635 602881718 /nfs/dbraw/zinc/88/17/18/602881718.db2.gz BOEDUSTXINXHPZ-QMMMGPOBSA-N -1 1 317.187 1.652 20 0 DDADMM CCCC(=O)Nc1ccc(-c2nc(CNC(=O)[O-])n[nH]2)cc1 ZINC000739281749 603059352 /nfs/dbraw/zinc/05/93/52/603059352.db2.gz JTNWFIUDKADFTC-UHFFFAOYSA-N -1 1 303.322 1.978 20 0 DDADMM CCCC(=O)Nc1ccc(-c2n[nH]c(CNC(=O)[O-])n2)cc1 ZINC000739281749 603059355 /nfs/dbraw/zinc/05/93/55/603059355.db2.gz JTNWFIUDKADFTC-UHFFFAOYSA-N -1 1 303.322 1.978 20 0 DDADMM CCc1cc(CNC(=O)NC2CCC(NC(=O)[O-])CC2)n[nH]1 ZINC000739538947 603100718 /nfs/dbraw/zinc/10/07/18/603100718.db2.gz MFJLVCICCDULMK-UHFFFAOYSA-N -1 1 309.370 1.350 20 0 DDADMM CC(C)[C@](C)(CNC(=O)[O-])NC(=O)c1ccc2[nH]nnc2c1 ZINC000738767592 603126892 /nfs/dbraw/zinc/12/68/92/603126892.db2.gz QQRASAMBALPXOR-AWEZNQCLSA-N -1 1 305.338 1.370 20 0 DDADMM C[C@@H](C(=O)NCCN(C(=O)[O-])C1CC1)N(C)Cc1ccccc1 ZINC000738626059 603174498 /nfs/dbraw/zinc/17/44/98/603174498.db2.gz ARMPKVOEOMIHRL-ZDUSSCGKSA-N -1 1 319.405 1.766 20 0 DDADMM C[C@H](C(=O)N[C@H]1CCC[C@@H](C)[C@@H]1C)N(C)CCCNC(=O)[O-] ZINC000739094333 603248680 /nfs/dbraw/zinc/24/86/80/603248680.db2.gz QXOPUCNAXACDCD-RQJABVFESA-N -1 1 313.442 1.905 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H](C(=O)Nc2nc(-c3ccco3)n[nH]2)C1 ZINC000740560767 603257747 /nfs/dbraw/zinc/25/77/47/603257747.db2.gz AVKLNHVLKYEIBE-RKDXNWHRSA-N -1 1 319.321 1.830 20 0 DDADMM C[C@@H](CC(=O)NC1CCN(Cc2ccncc2)CC1)NC(=O)[O-] ZINC000824624301 603357235 /nfs/dbraw/zinc/35/72/35/603357235.db2.gz YUIYLEIACUTTAL-LBPRGKRZSA-N -1 1 320.393 1.208 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CCC(N(CC2CC2)C(=O)[O-])CC1 ZINC000828488235 603464795 /nfs/dbraw/zinc/46/47/95/603464795.db2.gz LWJOURHKESIUSH-OAHLLOKOSA-N -1 1 323.437 1.852 20 0 DDADMM CCN(CC1CCN(CC(=O)N2CCCCC2)CC1)C(=O)[O-] ZINC000827092411 603513035 /nfs/dbraw/zinc/51/30/35/603513035.db2.gz BXSOHKISRJRYIF-UHFFFAOYSA-N -1 1 311.426 1.711 20 0 DDADMM C[C@@]1(C(=O)N2CCC(c3cnc[nH]3)CC2)CCN(C(=O)[O-])C1 ZINC000825651701 603548490 /nfs/dbraw/zinc/54/84/90/603548490.db2.gz ZBCMZAPSAGJVGL-OAHLLOKOSA-N -1 1 306.366 1.506 20 0 DDADMM CN1CCN(C(=O)[C@H]2CCN(C(=O)[O-])C2)C2(CCCCC2)C1 ZINC000828496765 603739548 /nfs/dbraw/zinc/73/95/48/603739548.db2.gz ZCDSDFPRMVCZPZ-ZDUSSCGKSA-N -1 1 309.410 1.463 20 0 DDADMM CC(C)[C@@H](CCN(C)CC(=O)N1CCC[C@@H](C)C1)NC(=O)[O-] ZINC000825906891 603824924 /nfs/dbraw/zinc/82/49/24/603824924.db2.gz UUIPCULGHDCDRM-ZIAGYGMSSA-N -1 1 313.442 1.859 20 0 DDADMM CC1CC(NS(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)C1 ZINC000824893838 607658629 /nfs/dbraw/zinc/65/86/29/607658629.db2.gz VKEKYTRCCVWVLL-UHFFFAOYSA-N -1 1 311.342 1.083 20 0 DDADMM CC1CC(NS(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)C1 ZINC000824893838 607658631 /nfs/dbraw/zinc/65/86/31/607658631.db2.gz VKEKYTRCCVWVLL-UHFFFAOYSA-N -1 1 311.342 1.083 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000826757427 603937357 /nfs/dbraw/zinc/93/73/57/603937357.db2.gz GHKHKSARMHDOJL-NSHDSACASA-N -1 1 322.340 1.797 20 0 DDADMM C[C@H](NC(=O)[C@@H](C)[C@H](NC(=O)[O-])c1ccccc1)c1nnc[nH]1 ZINC000825074429 603939017 /nfs/dbraw/zinc/93/90/17/603939017.db2.gz HOTRSRJTJAYHQU-NHCYSSNCSA-N -1 1 317.349 1.627 20 0 DDADMM O=C([O-])NC[C@H]1CCCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000832522479 603947214 /nfs/dbraw/zinc/94/72/14/603947214.db2.gz WILZUMUIWSVUAT-MNOVXSKESA-N -1 1 306.366 1.478 20 0 DDADMM CC1(C)CN(C(=O)[O-])CCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000825724697 603952191 /nfs/dbraw/zinc/95/21/91/603952191.db2.gz NOBBPOJCKINXSG-SNVBAGLBSA-N -1 1 306.366 1.430 20 0 DDADMM CN(Cc1nnc(-c2ccco2)o1)C1CCN(C(=O)[O-])CC1 ZINC000828426349 603985335 /nfs/dbraw/zinc/98/53/35/603985335.db2.gz BIYPVOMIKOSMTH-UHFFFAOYSA-N -1 1 306.322 1.904 20 0 DDADMM Cc1[nH]ncc1C(=O)Nc1cc2c(cc1NC(=O)[O-])OCO2 ZINC000829834869 604006039 /nfs/dbraw/zinc/00/60/39/604006039.db2.gz WTMOXXIANJWPQS-UHFFFAOYSA-N -1 1 304.262 1.789 20 0 DDADMM CCCN(CCCS(=O)(=O)C(C)C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000826911741 604126345 /nfs/dbraw/zinc/12/63/45/604126345.db2.gz DSCSXVIRWRIQBC-CYBMUJFWSA-N -1 1 320.455 1.664 20 0 DDADMM CN(C)Cc1ccc(NC(=O)[C@H]2CCN(C(=O)[O-])C2)cc1F ZINC000828046618 604132815 /nfs/dbraw/zinc/13/28/15/604132815.db2.gz OYZNUUPWECTTQD-NSHDSACASA-N -1 1 309.341 1.826 20 0 DDADMM O=C([O-])N1CCC[C@@H]1[C@H]1CCCN(C(=O)CCc2nc[nH]n2)C1 ZINC000831441107 604153122 /nfs/dbraw/zinc/15/31/22/604153122.db2.gz DNZNDDVXAYALLL-NWDGAFQWSA-N -1 1 321.381 1.118 20 0 DDADMM C[C@H](CN1CCC[C@H]([C@H](C)NC(=O)[O-])C1)CS(C)(=O)=O ZINC000824902998 604230334 /nfs/dbraw/zinc/23/03/34/604230334.db2.gz SOSCWUOUGBUMIX-WOPDTQHZSA-N -1 1 306.428 1.035 20 0 DDADMM O=C([O-])N1CCc2cc(NC(=O)NCCc3nc[nH]n3)ccc21 ZINC000831709438 604280405 /nfs/dbraw/zinc/28/04/05/604280405.db2.gz SVCHCRWZCJIUQU-UHFFFAOYSA-N -1 1 316.321 1.209 20 0 DDADMM CC(=O)c1ccc(NC(=O)CCN2CC[C@@H](NC(=O)[O-])C2)cc1 ZINC000738591206 604428751 /nfs/dbraw/zinc/42/87/51/604428751.db2.gz QKAYVLSZAFVBCM-CQSZACIVSA-N -1 1 319.361 1.560 20 0 DDADMM CSCC[C@H](NC(=O)[O-])C(=O)Nc1ccc2[nH]nnc2c1 ZINC000829731951 604525914 /nfs/dbraw/zinc/52/59/14/604525914.db2.gz SOKRRNBMFXEZIX-VIFPVBQESA-N -1 1 309.351 1.286 20 0 DDADMM CSCC[C@@H](NC(=O)[O-])C(=O)Nc1ccc2[nH]nnc2c1 ZINC000829731949 604526169 /nfs/dbraw/zinc/52/61/69/604526169.db2.gz SOKRRNBMFXEZIX-SECBINFHSA-N -1 1 309.351 1.286 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@H]3CCCCN3C(=O)[O-])C2)n[nH]1 ZINC000830735044 604644010 /nfs/dbraw/zinc/64/40/10/604644010.db2.gz PEDYMOXCRLZACX-VXGBXAGGSA-N -1 1 321.381 1.352 20 0 DDADMM O=C([O-])c1cncc(NC(=O)N2CCN(C3CCCC3)CC2)c1 ZINC000390000081 604666533 /nfs/dbraw/zinc/66/65/33/604666533.db2.gz JFLOEQIGHRZPQQ-UHFFFAOYSA-N -1 1 318.377 1.872 20 0 DDADMM CSc1ccc([C@H](CC(=O)[O-])NC(=O)c2ncn[nH]2)cc1 ZINC000832777162 604770662 /nfs/dbraw/zinc/77/06/62/604770662.db2.gz FNGCAAVDDWGVFR-JTQLQIEISA-N -1 1 306.347 1.472 20 0 DDADMM CSc1ccc([C@H](CC(=O)[O-])NC(=O)c2nc[nH]n2)cc1 ZINC000832777162 604770665 /nfs/dbraw/zinc/77/06/65/604770665.db2.gz FNGCAAVDDWGVFR-JTQLQIEISA-N -1 1 306.347 1.472 20 0 DDADMM O=C([O-])[C@@H](O)C1CCN(Cc2cc(-c3ccccc3)no2)CC1 ZINC000833770486 604985649 /nfs/dbraw/zinc/98/56/49/604985649.db2.gz HMPQGKNYQVUUQG-INIZCTEOSA-N -1 1 316.357 1.999 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)[C@@H]2CCC[C@@H](C(=O)[O-])C2)n[nH]1 ZINC000833511890 605022934 /nfs/dbraw/zinc/02/29/34/605022934.db2.gz DPUQIDIRFHYAGS-HBNTYKKESA-N -1 1 308.382 1.996 20 0 DDADMM CN(C(=O)c1cnc2nc[nH]c2c1)c1nc(CC(=O)[O-])cs1 ZINC000833688090 605047092 /nfs/dbraw/zinc/04/70/92/605047092.db2.gz BWNUWFJQTXDIMC-UHFFFAOYSA-N -1 1 317.330 1.318 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCC(CC)(CO)CC1)C(=O)[O-] ZINC000833639938 605052809 /nfs/dbraw/zinc/05/28/09/605052809.db2.gz SADVPBWQUPTXDW-JSGCOSHPSA-N -1 1 314.426 1.086 20 0 DDADMM C[C@@H](C[C@H](O)c1cccs1)Nc1nccnc1-c1nnn[n-]1 ZINC000824394175 607733153 /nfs/dbraw/zinc/73/31/53/607733153.db2.gz WDHKORFSGLYFBY-IUCAKERBSA-N -1 1 317.378 1.642 20 0 DDADMM C[C@@H](C[C@H](O)c1cccs1)Nc1nccnc1-c1nn[n-]n1 ZINC000824394175 607733155 /nfs/dbraw/zinc/73/31/55/607733155.db2.gz WDHKORFSGLYFBY-IUCAKERBSA-N -1 1 317.378 1.642 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)C1CCC(C(=O)[O-])CC1 ZINC000317188659 605087596 /nfs/dbraw/zinc/08/75/96/605087596.db2.gz HXXDRDOYMDRZGU-XSCHDIRWSA-N -1 1 308.422 1.963 20 0 DDADMM C[C@H](CN(CN1C[C@@H](c2cccnc2)CC1=O)C1CC1)C(=O)[O-] ZINC000833516011 605120751 /nfs/dbraw/zinc/12/07/51/605120751.db2.gz DJPHLPKHMCUHCF-OCCSQVGLSA-N -1 1 317.389 1.540 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2c1CCC2 ZINC000833626084 605168638 /nfs/dbraw/zinc/16/86/38/605168638.db2.gz MUQMOXMOSMFBEW-CYBMUJFWSA-N -1 1 316.401 1.796 20 0 DDADMM CCc1nc([C@H](C)NC(=O)Nc2cncc(C(=O)[O-])c2)n[nH]1 ZINC000833673215 605170740 /nfs/dbraw/zinc/17/07/40/605170740.db2.gz IHXSMMDSJQLFIJ-ZETCQYMHSA-N -1 1 304.310 1.343 20 0 DDADMM C[C@@]1(CCCO)CCCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000833564022 605193435 /nfs/dbraw/zinc/19/34/35/605193435.db2.gz QZXIRRDYOLBUEK-KRWDZBQOSA-N -1 1 320.389 1.950 20 0 DDADMM CC(C)Cc1noc(CN2CCN(CCC(=O)[O-])C[C@@H]2C)n1 ZINC000833495049 605195560 /nfs/dbraw/zinc/19/55/60/605195560.db2.gz WISRITSSQLBFFD-LBPRGKRZSA-N -1 1 310.398 1.249 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc2[nH]ncc21 ZINC000833624401 605206172 /nfs/dbraw/zinc/20/61/72/605206172.db2.gz HZUYFUWVCGZZKS-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM Cc1nc(COC(=O)C[C@@H](C)[C@@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000830761248 605263338 /nfs/dbraw/zinc/26/33/38/605263338.db2.gz PUKIULNREBBLJW-MWLCHTKSSA-N -1 1 310.354 1.573 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC[C@H]2CN(C)C(=O)[O-])n[nH]1 ZINC000830029743 605301036 /nfs/dbraw/zinc/30/10/36/605301036.db2.gz IVFNFTMFCQMYEH-GWCFXTLKSA-N -1 1 323.397 1.433 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(Cn3cccnc3=S)C[C@H]21 ZINC000834186788 605330816 /nfs/dbraw/zinc/33/08/16/605330816.db2.gz XMOLPXWYOPFLIT-IJLUTSLNSA-N -1 1 308.407 1.938 20 0 DDADMM O=C([O-])N1CCC(OC(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC000834283106 605421220 /nfs/dbraw/zinc/42/12/20/605421220.db2.gz LPNQHLAAXXKAPS-UHFFFAOYSA-N -1 1 316.317 1.771 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]2CN(CC(=O)NCc3ccco3)C[C@@H]21 ZINC000834191068 605440833 /nfs/dbraw/zinc/44/08/33/605440833.db2.gz YSGAYQZQIMAUNQ-FPMFFAJLSA-N -1 1 321.377 1.264 20 0 DDADMM Cc1nc([C@H](C)NCCCN2CCN(C(=O)[O-])CC2)cs1 ZINC000830709561 605480830 /nfs/dbraw/zinc/48/08/30/605480830.db2.gz MAXGCXCIPFGRMD-NSHDSACASA-N -1 1 312.439 1.788 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2cc[nH]c2n1 ZINC000833626926 605533014 /nfs/dbraw/zinc/53/30/14/605533014.db2.gz SJUYVBBIMGOAIE-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCC2(C3CC3)CCC2)n1 ZINC000826357314 607764402 /nfs/dbraw/zinc/76/44/02/607764402.db2.gz ZXFSMJSJZNYYID-UHFFFAOYSA-N -1 1 316.369 1.302 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCC2(C3CC3)CCC2)n1 ZINC000826357314 607764403 /nfs/dbraw/zinc/76/44/03/607764403.db2.gz ZXFSMJSJZNYYID-UHFFFAOYSA-N -1 1 316.369 1.302 20 0 DDADMM O=C([O-])N1CCN(C(=O)Cc2ccn[nH]2)C2(CCCCC2)C1 ZINC000832024990 605751178 /nfs/dbraw/zinc/75/11/78/605751178.db2.gz SPKXQUOCJMDXTA-UHFFFAOYSA-N -1 1 306.366 1.477 20 0 DDADMM O=C([O-])N1CC(CNC(=O)[C@H]2CCCN2Cc2ccccc2)C1 ZINC000834034311 605751343 /nfs/dbraw/zinc/75/13/43/605751343.db2.gz SRHBWDZENRLAGV-OAHLLOKOSA-N -1 1 317.389 1.377 20 0 DDADMM O=C([O-])Nc1cccc(CC(=O)NCCN2CCSCC2)c1 ZINC000834267680 605768518 /nfs/dbraw/zinc/76/85/18/605768518.db2.gz ZDGSPRCHZXDPHE-UHFFFAOYSA-N -1 1 323.418 1.484 20 0 DDADMM O=C([O-])N[C@@H]1C[C@@H](NC[C@H](O)C(F)(F)F)c2ccccc21 ZINC000834157836 605794419 /nfs/dbraw/zinc/79/44/19/605794419.db2.gz INROIHCQIURLCH-MXWKQRLJSA-N -1 1 304.268 1.953 20 0 DDADMM O=C([O-])N1CC[C@H](N(C(=O)[C@@H]2CCCc3[nH]ncc32)C2CC2)C1 ZINC000831920982 605798029 /nfs/dbraw/zinc/79/80/29/605798029.db2.gz ABNYRBSFKHVJST-NWDGAFQWSA-N -1 1 318.377 1.573 20 0 DDADMM C[C@@H](C[C@H]1CCCCCN1C(=O)[O-])NCc1nnnn1C1CC1 ZINC000833802012 605944692 /nfs/dbraw/zinc/94/46/92/605944692.db2.gz ADAPYXITYSNEOG-WCQYABFASA-N -1 1 322.413 1.799 20 0 DDADMM O=C([O-])Nc1ccc(CCNC(=O)NCCN2CCC2)cc1 ZINC000834259400 605953836 /nfs/dbraw/zinc/95/38/36/605953836.db2.gz YCGPNSIPPMUZSL-UHFFFAOYSA-N -1 1 306.366 1.324 20 0 DDADMM O=C([O-])Nc1ccccc1CC(=O)N1CCN(CC2CC2)CC1 ZINC000834270430 606050310 /nfs/dbraw/zinc/05/03/10/606050310.db2.gz HENQHAOFJPSEGV-UHFFFAOYSA-N -1 1 317.389 1.873 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)s1)NCC1CCC1 ZINC000823026748 606136762 /nfs/dbraw/zinc/13/67/62/606136762.db2.gz DWHCSHFPRSHXNT-UHFFFAOYSA-N -1 1 321.362 1.001 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)s1)NCC1CCC1 ZINC000823026748 606136763 /nfs/dbraw/zinc/13/67/63/606136763.db2.gz DWHCSHFPRSHXNT-UHFFFAOYSA-N -1 1 321.362 1.001 20 0 DDADMM Cc1ncc(C=CC(=O)Nc2nn(C)cc2-c2nnn[n-]2)s1 ZINC000822468275 606155329 /nfs/dbraw/zinc/15/53/29/606155329.db2.gz ZLCWKNSGRJFTIO-ARJAWSKDSA-N -1 1 316.350 1.017 20 0 DDADMM Cc1ncc(C=CC(=O)Nc2nn(C)cc2-c2nn[n-]n2)s1 ZINC000822468275 606155330 /nfs/dbraw/zinc/15/53/30/606155330.db2.gz ZLCWKNSGRJFTIO-ARJAWSKDSA-N -1 1 316.350 1.017 20 0 DDADMM COC(=O)[C@@H](CC(C)C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821640444 606178838 /nfs/dbraw/zinc/17/88/38/606178838.db2.gz INQXEUQWNFISOE-MRVPVSSYSA-N -1 1 324.362 1.673 20 0 DDADMM COC(=O)[C@@H](CC(C)C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821640444 606178839 /nfs/dbraw/zinc/17/88/39/606178839.db2.gz INQXEUQWNFISOE-MRVPVSSYSA-N -1 1 324.362 1.673 20 0 DDADMM COc1ncccc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821920056 606513633 /nfs/dbraw/zinc/51/36/33/606513633.db2.gz LVMNLHPFJJZSIZ-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM COc1ncccc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821920056 606513635 /nfs/dbraw/zinc/51/36/35/606513635.db2.gz LVMNLHPFJJZSIZ-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM COC(=O)[C@@]1(C)CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000821653772 607120566 /nfs/dbraw/zinc/12/05/66/607120566.db2.gz KMVYKNYILOTHQR-ZDUSSCGKSA-N -1 1 322.756 1.305 20 0 DDADMM COC(=O)[C@@]1(C)CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000821653772 607120568 /nfs/dbraw/zinc/12/05/68/607120568.db2.gz KMVYKNYILOTHQR-ZDUSSCGKSA-N -1 1 322.756 1.305 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCC2)C1)c1ccc(-c2nnn[n-]2)s1 ZINC000823155136 607169180 /nfs/dbraw/zinc/16/91/80/607169180.db2.gz OQXYKMWWIPHEGX-SECBINFHSA-N -1 1 319.390 1.760 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCC2)C1)c1ccc(-c2nn[n-]n2)s1 ZINC000823155136 607169181 /nfs/dbraw/zinc/16/91/81/607169181.db2.gz OQXYKMWWIPHEGX-SECBINFHSA-N -1 1 319.390 1.760 20 0 DDADMM COC(=O)[C@H](CNc1cccc(-c2nnn[n-]2)n1)CC(C)C ZINC000826103404 607900734 /nfs/dbraw/zinc/90/07/34/607900734.db2.gz GAOOJFCVGUHVKB-JTQLQIEISA-N -1 1 304.354 1.509 20 0 DDADMM COC(=O)[C@H](CNc1cccc(-c2nn[n-]n2)n1)CC(C)C ZINC000826103404 607900735 /nfs/dbraw/zinc/90/07/35/607900735.db2.gz GAOOJFCVGUHVKB-JTQLQIEISA-N -1 1 304.354 1.509 20 0 DDADMM COCCN(Cc1ccc(C)o1)c1cccc(-c2nn[n-]n2)n1 ZINC000826158900 607902331 /nfs/dbraw/zinc/90/23/31/607902331.db2.gz HTLUYZYYGYIYHN-UHFFFAOYSA-N -1 1 314.349 1.816 20 0 DDADMM COCCN(Cc1ccc(C)o1)c1cccc(-c2nnn[n-]2)n1 ZINC000826158900 607902330 /nfs/dbraw/zinc/90/23/30/607902330.db2.gz HTLUYZYYGYIYHN-UHFFFAOYSA-N -1 1 314.349 1.816 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@H]1Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000826060528 608233718 /nfs/dbraw/zinc/23/37/18/608233718.db2.gz NVTLBOOSPBDYOD-VXNVDRBHSA-N -1 1 321.772 1.242 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@H]1Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000826060528 608233720 /nfs/dbraw/zinc/23/37/20/608233720.db2.gz NVTLBOOSPBDYOD-VXNVDRBHSA-N -1 1 321.772 1.242 20 0 DDADMM CC[C@@H](CSC)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825121187 608335347 /nfs/dbraw/zinc/33/53/47/608335347.db2.gz QNQIQTBQNGTOAM-JTQLQIEISA-N -1 1 306.395 1.475 20 0 DDADMM CC[C@@H](CSC)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825121187 608335350 /nfs/dbraw/zinc/33/53/50/608335350.db2.gz QNQIQTBQNGTOAM-JTQLQIEISA-N -1 1 306.395 1.475 20 0 DDADMM CCc1nn(C)cc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825762731 608335802 /nfs/dbraw/zinc/33/58/02/608335802.db2.gz HHYXJIPMKNHLLO-UHFFFAOYSA-N -1 1 303.351 1.476 20 0 DDADMM CCc1nn(C)cc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825762731 608335804 /nfs/dbraw/zinc/33/58/04/608335804.db2.gz HHYXJIPMKNHLLO-UHFFFAOYSA-N -1 1 303.351 1.476 20 0 DDADMM COc1ccc([C@H](C)N(C)c2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826188224 608395294 /nfs/dbraw/zinc/39/52/94/608395294.db2.gz QRXYWXSXRIYZOC-JTQLQIEISA-N -1 1 311.349 1.863 20 0 DDADMM COc1ccc([C@H](C)N(C)c2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826188224 608395296 /nfs/dbraw/zinc/39/52/96/608395296.db2.gz QRXYWXSXRIYZOC-JTQLQIEISA-N -1 1 311.349 1.863 20 0 DDADMM COc1cccc(C[C@H](C)Nc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826215902 608418364 /nfs/dbraw/zinc/41/83/64/608418364.db2.gz BSIPCWMAUBGKOS-JTQLQIEISA-N -1 1 311.349 1.708 20 0 DDADMM COc1cccc(C[C@H](C)Nc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826215902 608418365 /nfs/dbraw/zinc/41/83/65/608418365.db2.gz BSIPCWMAUBGKOS-JTQLQIEISA-N -1 1 311.349 1.708 20 0 DDADMM OCC[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)C1CCCCC1 ZINC000826509518 608419987 /nfs/dbraw/zinc/41/99/87/608419987.db2.gz XVCUVHOZOUDFSH-LLVKDONJSA-N -1 1 303.370 1.400 20 0 DDADMM OCC[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)C1CCCCC1 ZINC000826509518 608419988 /nfs/dbraw/zinc/41/99/88/608419988.db2.gz XVCUVHOZOUDFSH-LLVKDONJSA-N -1 1 303.370 1.400 20 0 DDADMM C[C@H](Nc1ccc(-c2nnn[n-]2)nn1)[C@@H](O)Cc1ccccc1 ZINC000824649867 608435260 /nfs/dbraw/zinc/43/52/60/608435260.db2.gz NWBWVJWTORGITP-GWCFXTLKSA-N -1 1 311.349 1.061 20 0 DDADMM C[C@H](Nc1ccc(-c2nn[n-]n2)nn1)[C@@H](O)Cc1ccccc1 ZINC000824649867 608435261 /nfs/dbraw/zinc/43/52/61/608435261.db2.gz NWBWVJWTORGITP-GWCFXTLKSA-N -1 1 311.349 1.061 20 0 DDADMM C[C@@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)C[C@H](C)O1 ZINC000826233087 609167674 /nfs/dbraw/zinc/16/76/74/609167674.db2.gz TYPALMDQTHEIKA-OLQVQODUSA-N -1 1 300.775 1.590 20 0 DDADMM C[C@@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)C[C@H](C)O1 ZINC000826233087 609167675 /nfs/dbraw/zinc/16/76/75/609167675.db2.gz TYPALMDQTHEIKA-OLQVQODUSA-N -1 1 300.775 1.590 20 0 DDADMM O=C(NCc1cccc(-c2nn[nH]n2)c1)c1ccc([O-])cc1F ZINC000826442885 609289217 /nfs/dbraw/zinc/28/92/17/609289217.db2.gz OGUMVFHEWHOCQG-UHFFFAOYSA-N -1 1 313.292 1.641 20 0 DDADMM COC[C@@H](CNc1snc(Cl)c1-c1nnn[n-]1)OC ZINC000826149743 609314765 /nfs/dbraw/zinc/31/47/65/609314765.db2.gz NSTDLEDAODBUQR-RXMQYKEDSA-N -1 1 304.763 1.050 20 0 DDADMM COC[C@@H](CNc1snc(Cl)c1-c1nn[n-]n1)OC ZINC000826149743 609314767 /nfs/dbraw/zinc/31/47/67/609314767.db2.gz NSTDLEDAODBUQR-RXMQYKEDSA-N -1 1 304.763 1.050 20 0 DDADMM C[C@@H]1CC[C@]2(CCN(C(=O)c3ccc(-c4nnn[n-]4)nc3)C2)C1 ZINC000824916477 609397073 /nfs/dbraw/zinc/39/70/73/609397073.db2.gz KFBFIWWDTQSKFM-BZNIZROVSA-N -1 1 312.377 1.914 20 0 DDADMM C[C@@H]1CC[C@]2(CCN(C(=O)c3ccc(-c4nn[n-]n4)nc3)C2)C1 ZINC000824916477 609397074 /nfs/dbraw/zinc/39/70/74/609397074.db2.gz KFBFIWWDTQSKFM-BZNIZROVSA-N -1 1 312.377 1.914 20 0 DDADMM NC(=O)c1cccc(CSc2cccc(-c3nnn[n-]3)n2)n1 ZINC000826393756 609579930 /nfs/dbraw/zinc/57/99/30/609579930.db2.gz IFRUQJPPCNPYHL-UHFFFAOYSA-N -1 1 313.346 1.048 20 0 DDADMM NC(=O)c1cccc(CSc2cccc(-c3nn[n-]n3)n2)n1 ZINC000826393756 609579931 /nfs/dbraw/zinc/57/99/31/609579931.db2.gz IFRUQJPPCNPYHL-UHFFFAOYSA-N -1 1 313.346 1.048 20 0 DDADMM C[C@H](C[S@](C)=O)Nc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000824447869 609597122 /nfs/dbraw/zinc/59/71/22/609597122.db2.gz CLKNICYZCWYTQV-CLTRCRFRSA-N -1 1 316.390 1.594 20 0 DDADMM C[C@H](C[S@](C)=O)Nc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000824447869 609597123 /nfs/dbraw/zinc/59/71/23/609597123.db2.gz CLKNICYZCWYTQV-CLTRCRFRSA-N -1 1 316.390 1.594 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000121567416 696712324 /nfs/dbraw/zinc/71/23/24/696712324.db2.gz APDSJRXGSOHHQZ-BQBZGAKWSA-N -1 1 301.140 1.913 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCO[C@@H]([C@@H]3CCOC3)C2)[n-]1 ZINC000797086111 699993010 /nfs/dbraw/zinc/99/30/10/699993010.db2.gz RABJBBMBPKZYRH-JTNHKYCSSA-N -1 1 323.345 1.542 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C(=O)N[C@@H]1CCCc2cn[nH]c21)C1CC1 ZINC000797725371 700021432 /nfs/dbraw/zinc/02/14/32/700021432.db2.gz UCHYSXMGBCSINR-NEPJUHHUSA-N -1 1 306.366 1.824 20 0 DDADMM CC(C)(C)CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976404951 695971614 /nfs/dbraw/zinc/97/16/14/695971614.db2.gz RPUPWSQECGSGCP-YABSGUDNSA-N -1 1 317.389 1.410 20 0 DDADMM O=C(N[C@@H]1[C@H]2CN(C(=O)C3CCCC3)C[C@H]21)c1ncccc1[O-] ZINC000976405187 695971619 /nfs/dbraw/zinc/97/16/19/695971619.db2.gz TYLNWGSDGRJDEI-IMRBUKKESA-N -1 1 315.373 1.164 20 0 DDADMM Cc1cccc(C(=O)OCC(=O)N[N-]C(=O)c2cccs2)n1 ZINC000027509598 696100345 /nfs/dbraw/zinc/10/03/45/696100345.db2.gz NVPRBOCZFLLMQZ-UHFFFAOYSA-N -1 1 319.342 1.070 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccccc1)c1cc(F)ccc1F ZINC000035102081 696127909 /nfs/dbraw/zinc/12/79/09/696127909.db2.gz AYXSVOLFPYRJII-ZDUSSCGKSA-N -1 1 313.325 1.977 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977621793 696238028 /nfs/dbraw/zinc/23/80/28/696238028.db2.gz VBAMLMDMUGFTBR-NSHDSACASA-N -1 1 321.352 1.724 20 0 DDADMM CCS(=O)(=O)c1ccccc1C(=O)[N-]NC(=O)c1ccoc1 ZINC000061500169 696320136 /nfs/dbraw/zinc/32/01/36/696320136.db2.gz BGQLKSAFCXLECH-UHFFFAOYSA-N -1 1 322.342 1.148 20 0 DDADMM CC(C)[C@@H](C)NC(=O)COC(=O)c1c([O-])cc(F)cc1F ZINC000063543413 696328975 /nfs/dbraw/zinc/32/89/75/696328975.db2.gz VDKHNWGWZUUPAQ-MRVPVSSYSA-N -1 1 301.289 1.988 20 0 DDADMM C[C@H](OCC1CC1)C(=O)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067078404 696358798 /nfs/dbraw/zinc/35/87/98/696358798.db2.gz WPKSECWPQIZWBH-VIFPVBQESA-N -1 1 310.423 1.749 20 0 DDADMM C#CCN(Cc1ccc(F)cc1)C(=O)Cc1sc(N)nc1[O-] ZINC000079786545 696463457 /nfs/dbraw/zinc/46/34/57/696463457.db2.gz SSJSYNWOQNTGIU-GFCCVEGCSA-N -1 1 319.361 1.134 20 0 DDADMM CC1CCC(N(C(=O)Cc2sc(N)nc2[O-])C2CC2)CC1 ZINC000079783254 696463552 /nfs/dbraw/zinc/46/35/52/696463552.db2.gz AQGRJBCTMQLXLH-RTYFJBAXSA-N -1 1 309.435 1.903 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2coc3ccccc23)s1 ZINC000080293843 696532638 /nfs/dbraw/zinc/53/26/38/696532638.db2.gz JSYNMHWLYSHSQY-NSHDSACASA-N -1 1 303.343 1.396 20 0 DDADMM COc1cccc(C(=O)NCc2n[n-]c(=S)n2C2CC2)n1 ZINC000084188575 696556883 /nfs/dbraw/zinc/55/68/83/696556883.db2.gz YAUNUGPTLHSMRC-UHFFFAOYSA-N -1 1 305.363 1.609 20 0 DDADMM CS/C=C\C(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799021737 700110173 /nfs/dbraw/zinc/11/01/73/700110173.db2.gz NDUPSDUKNQBOHW-DAXSKMNVSA-N -1 1 310.341 1.780 20 0 DDADMM CCC(C)(CC)C(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000133008116 696821574 /nfs/dbraw/zinc/82/15/74/696821574.db2.gz FDVJNMXZPARFPN-UHFFFAOYSA-N -1 1 306.366 1.638 20 0 DDADMM CC[S@](=O)CCNC(=O)c1ccc(Br)cc1[O-] ZINC000134677128 696838605 /nfs/dbraw/zinc/83/86/05/696838605.db2.gz IEEBPHUHYKKZJH-QGZVFWFLSA-N -1 1 320.208 1.653 20 0 DDADMM CCCCCC[C@H](C)NC(=O)CS(=O)(=O)c1nc[n-]n1 ZINC000153048915 696909139 /nfs/dbraw/zinc/90/91/39/696909139.db2.gz WCWIHVJYGQOHSR-JTQLQIEISA-N -1 1 302.400 1.054 20 0 DDADMM C[C@@H]1CC(C)(C)CC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000748723497 700131990 /nfs/dbraw/zinc/13/19/90/700131990.db2.gz QGHUAFGENNSXQF-VXGBXAGGSA-N -1 1 307.394 1.258 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(Cc2ccc(C)cc2)C1 ZINC000748772499 700134196 /nfs/dbraw/zinc/13/41/96/700134196.db2.gz GRKZXFXWLZUPDA-AWEZNQCLSA-N -1 1 306.362 1.038 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(SC)cc2)C1 ZINC000748774122 700134482 /nfs/dbraw/zinc/13/44/82/700134482.db2.gz NIAVXUFYKKWVSC-LLVKDONJSA-N -1 1 324.402 1.456 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000983745527 697294945 /nfs/dbraw/zinc/29/49/45/697294945.db2.gz ATMABCCKXYUYEI-LBPRGKRZSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N(C)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984109712 697341372 /nfs/dbraw/zinc/34/13/72/697341372.db2.gz DOJVLQRSYPIZHD-AVGNSLFASA-N -1 1 317.389 1.506 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1C[C@@H]1C ZINC000984803016 697431251 /nfs/dbraw/zinc/43/12/51/697431251.db2.gz NYMCASPZEHCHGT-ZDEQEGDKSA-N -1 1 317.389 1.553 20 0 DDADMM O=C([N-]Cc1ccc(-n2ccnc2)nc1)C(F)(F)C(F)F ZINC000749340986 700157553 /nfs/dbraw/zinc/15/75/53/700157553.db2.gz DSPXKXMLDZALPD-UHFFFAOYSA-N -1 1 302.231 1.784 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC2(C(C)C)CC2)o1 ZINC000799670324 700157706 /nfs/dbraw/zinc/15/77/06/700157706.db2.gz BWCBHSFWBXLCIY-UHFFFAOYSA-N -1 1 301.364 1.781 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)CCc1ccco1 ZINC000184903448 697510295 /nfs/dbraw/zinc/51/02/95/697510295.db2.gz DPUMXVKAOFEWNQ-UHFFFAOYSA-N -1 1 316.317 1.038 20 0 DDADMM C[C@H](C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1)C1CCC1 ZINC000985494725 697519141 /nfs/dbraw/zinc/51/91/41/697519141.db2.gz WKPHSNIUGCWHDZ-NSHDSACASA-N -1 1 321.425 1.369 20 0 DDADMM Cc1cccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)c1 ZINC000985926902 697604550 /nfs/dbraw/zinc/60/45/50/697604550.db2.gz YFWYYPJIIIIINB-YPMHNXCESA-N -1 1 315.377 1.212 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000772705971 697664024 /nfs/dbraw/zinc/66/40/24/697664024.db2.gz NIIOIEFVIPRSBJ-AWEZNQCLSA-N -1 1 318.373 1.262 20 0 DDADMM Cc1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc(C)c1Cl ZINC000799822913 700170229 /nfs/dbraw/zinc/17/02/29/700170229.db2.gz QVEGPHWIWNVNAQ-UHFFFAOYSA-N -1 1 321.764 1.836 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1(F)CCCC1 ZINC000772989524 697701738 /nfs/dbraw/zinc/70/17/38/697701738.db2.gz VBZJYNCDOYXVEZ-UHFFFAOYSA-N -1 1 306.341 1.950 20 0 DDADMM O=C(CSc1ccccc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773157512 697720344 /nfs/dbraw/zinc/72/03/44/697720344.db2.gz KXDDCZCQTLOSMH-NSHDSACASA-N -1 1 321.431 1.609 20 0 DDADMM C[C@H](OC(=O)c1ccc([O-])cc1F)C(=O)NC(=O)NC1CC1 ZINC000773569214 697782162 /nfs/dbraw/zinc/78/21/62/697782162.db2.gz KEBOTVNCSGOWIN-ZETCQYMHSA-N -1 1 310.281 1.065 20 0 DDADMM O=C(NCc1cccc(CO)c1)c1ccc2n[n-]c(=S)n2c1 ZINC000774038494 697836109 /nfs/dbraw/zinc/83/61/09/697836109.db2.gz AQZNZTKCNDGYDX-UHFFFAOYSA-N -1 1 314.370 1.440 20 0 DDADMM CCOC(=O)CCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774350549 697871454 /nfs/dbraw/zinc/87/14/54/697871454.db2.gz QKDNODSPLAZRKE-UHFFFAOYSA-N -1 1 308.363 1.091 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2C[C@@H]2C(C)C)co1 ZINC000774860787 697935542 /nfs/dbraw/zinc/93/55/42/697935542.db2.gz NUKGORZFJAIJTB-MWLCHTKSSA-N -1 1 301.364 1.637 20 0 DDADMM O=C(OCCC[N-]C(=O)C(F)(F)F)[C@H]1CSCCS1 ZINC000774899606 697939774 /nfs/dbraw/zinc/93/97/74/697939774.db2.gz ABMWCJCFJPTHRX-SSDOTTSWSA-N -1 1 317.354 1.447 20 0 DDADMM O=C(c1cccc(Cl)c1[O-])N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000775725171 698026299 /nfs/dbraw/zinc/02/62/99/698026299.db2.gz PWCOWGLMRFCWNE-UONOGXRCSA-N -1 1 324.808 1.717 20 0 DDADMM CC[C@H](C)n1nc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1C ZINC000775876649 698047311 /nfs/dbraw/zinc/04/73/11/698047311.db2.gz ZXTCBIZJLQMHAZ-JTQLQIEISA-N -1 1 319.365 1.041 20 0 DDADMM O=C(C=Cc1cccc(F)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776562403 698117230 /nfs/dbraw/zinc/11/72/30/698117230.db2.gz CWDYZQDYCMXRHA-ITKZLYELSA-N -1 1 319.365 1.669 20 0 DDADMM Cc1ncc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c(C)n1 ZINC000777197315 698172771 /nfs/dbraw/zinc/17/27/71/698172771.db2.gz VDADRZXTUJVFQJ-UHFFFAOYSA-N -1 1 313.313 1.207 20 0 DDADMM CCC(CC)[C@@H](C)C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000777361655 698182525 /nfs/dbraw/zinc/18/25/25/698182525.db2.gz XUZURXJTALSNCE-SNVBAGLBSA-N -1 1 320.393 1.884 20 0 DDADMM CC[C@H](CCO)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000778010916 698237548 /nfs/dbraw/zinc/23/75/48/698237548.db2.gz ZKJJYCINGDDVBQ-SSDOTTSWSA-N -1 1 313.206 1.828 20 0 DDADMM COC(=O)C(=O)[C@@H](C)OC(=O)c1cc(F)cc(Cl)c1[O-] ZINC000778317573 698261432 /nfs/dbraw/zinc/26/14/32/698261432.db2.gz OBCJWVGELXMTTJ-RXMQYKEDSA-N -1 1 304.657 1.472 20 0 DDADMM Cc1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)c(C)o1 ZINC000988048167 698287920 /nfs/dbraw/zinc/28/79/20/698287920.db2.gz HMTWGWKBAREGKE-KWQFWETISA-N -1 1 319.365 1.113 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)CC(C)(C)C ZINC000778639481 698355010 /nfs/dbraw/zinc/35/50/10/698355010.db2.gz JZWIEMGJCHOHDR-UHFFFAOYSA-N -1 1 306.472 1.991 20 0 DDADMM Cc1ncoc1CN[C@@H]1C[C@@H](C)N(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC000988631431 698424230 /nfs/dbraw/zinc/42/42/30/698424230.db2.gz GVUKABCITQMTPG-LDYMZIIASA-N -1 1 319.365 1.113 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000780343720 698508745 /nfs/dbraw/zinc/50/87/45/698508745.db2.gz CHQATJLNHGINMQ-GFCCVEGCSA-N -1 1 309.366 1.533 20 0 DDADMM CC(C)[C@@H](NC(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000780382132 698513645 /nfs/dbraw/zinc/51/36/45/698513645.db2.gz UWXWDRLSRGOCHM-OAHLLOKOSA-N -1 1 319.405 1.453 20 0 DDADMM CN1CCC[C@@H](NC(=O)N2Cc3ccccc3C[C@H]2C(=O)[O-])C1 ZINC000320299826 698719206 /nfs/dbraw/zinc/71/92/06/698719206.db2.gz BIHJWWXYMJILPD-CABCVRRESA-N -1 1 317.389 1.302 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1ccc(Cl)o1 ZINC000990248755 699010445 /nfs/dbraw/zinc/01/04/45/699010445.db2.gz RDVXHENZOPJNLQ-UHFFFAOYSA-N -1 1 321.720 1.288 20 0 DDADMM COC[C@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@@H](C)O1 ZINC000784670806 699033813 /nfs/dbraw/zinc/03/38/13/699033813.db2.gz CYXUYUVZASCKGZ-ZYHUDNBSSA-N -1 1 323.393 1.490 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CCCC(=O)N(C)C)c1 ZINC000785833001 699104857 /nfs/dbraw/zinc/10/48/57/699104857.db2.gz NNVUMVMCDHMTFA-UHFFFAOYSA-N -1 1 321.373 1.939 20 0 DDADMM O=S(=O)([N-]CCOC(F)(F)F)c1cccnc1Cl ZINC000398457842 699120788 /nfs/dbraw/zinc/12/07/88/699120788.db2.gz QUEBYANMSJEYKG-UHFFFAOYSA-N -1 1 304.677 1.550 20 0 DDADMM C[C@H](O)C(=O)Nc1cccc(S(=O)(=O)[N-]c2ccccc2)c1 ZINC000786766502 699166367 /nfs/dbraw/zinc/16/63/67/699166367.db2.gz BDOCWXQGZZOZJW-NSHDSACASA-N -1 1 320.370 1.807 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990978336 699197857 /nfs/dbraw/zinc/19/78/57/699197857.db2.gz NFPQSZQAZMKNOM-MNOVXSKESA-N -1 1 303.362 1.164 20 0 DDADMM O=C([O-])[C@@H]1CN(CCn2cc(Cl)cn2)C[C@H]1C(F)(F)F ZINC000720367930 699305682 /nfs/dbraw/zinc/30/56/82/699305682.db2.gz OEWNVZXPERPFDR-RKDXNWHRSA-N -1 1 311.691 1.731 20 0 DDADMM C[C@H](OCc1ccccc1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000720668039 699308340 /nfs/dbraw/zinc/30/83/40/699308340.db2.gz FSLZSCXZVZUWOK-LBPRGKRZSA-N -1 1 315.377 1.511 20 0 DDADMM O=S(=O)(Cc1noc2ccccc21)[N-]CC1OCCCO1 ZINC000788416836 699312309 /nfs/dbraw/zinc/31/23/09/699312309.db2.gz QHYQFGBIAVYHCP-UHFFFAOYSA-N -1 1 312.347 1.010 20 0 DDADMM Cc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1Cl ZINC000726865130 699385866 /nfs/dbraw/zinc/38/58/66/699385866.db2.gz RMVJPRXSNQDKJB-UHFFFAOYSA-N -1 1 307.737 1.527 20 0 DDADMM CCN(C)C(=O)[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000789871668 699416036 /nfs/dbraw/zinc/41/60/36/699416036.db2.gz GIPVZDABYVNZFR-SNVBAGLBSA-N -1 1 308.382 1.211 20 0 DDADMM Cc1cccc2c1CC[C@@H]2NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000729540480 699482004 /nfs/dbraw/zinc/48/20/04/699482004.db2.gz IAMUWOWSRFAQCI-AWEZNQCLSA-N -1 1 313.357 1.039 20 0 DDADMM O=C(C=Cc1ccccc1OC(F)(F)F)NCc1nn[n-]n1 ZINC000731887388 699539846 /nfs/dbraw/zinc/53/98/46/699539846.db2.gz JZUMXYFWIWIPKA-AATRIKPKSA-N -1 1 313.239 1.428 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H](OC1CCCC1)c1ccccc1 ZINC000732157806 699548257 /nfs/dbraw/zinc/54/82/57/699548257.db2.gz HKVVKVSUCUUQMY-AWEZNQCLSA-N -1 1 301.350 1.516 20 0 DDADMM CCOc1cc(C=O)ccc1OS(=O)(=O)c1c[n-]nc1C ZINC000732215268 699550479 /nfs/dbraw/zinc/55/04/79/699550479.db2.gz KSMJOFCMXRKBHX-UHFFFAOYSA-N -1 1 310.331 1.697 20 0 DDADMM COc1cc(C(C)=O)ccc1OS(=O)(=O)c1c[n-]nc1C ZINC000732215100 699550575 /nfs/dbraw/zinc/55/05/75/699550575.db2.gz CZPGLUFKUPTHRW-UHFFFAOYSA-N -1 1 310.331 1.697 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000735922174 699710827 /nfs/dbraw/zinc/71/08/27/699710827.db2.gz HMMARWXVJODQLO-CYBMUJFWSA-N -1 1 301.350 1.537 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000735922175 699710864 /nfs/dbraw/zinc/71/08/64/699710864.db2.gz HMMARWXVJODQLO-ZDUSSCGKSA-N -1 1 301.350 1.537 20 0 DDADMM O=C(Nc1sccc1C(=O)Nc1nnn[n-]1)c1ccco1 ZINC000736156867 699719505 /nfs/dbraw/zinc/71/95/05/699719505.db2.gz OWVJDBHXUBODAI-UHFFFAOYSA-N -1 1 304.291 1.359 20 0 DDADMM O=C(Nc1sccc1C(=O)Nc1nn[n-]n1)c1ccco1 ZINC000736156867 699719507 /nfs/dbraw/zinc/71/95/07/699719507.db2.gz OWVJDBHXUBODAI-UHFFFAOYSA-N -1 1 304.291 1.359 20 0 DDADMM O=C(CCc1cc(F)ccc1F)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737854415 699746708 /nfs/dbraw/zinc/74/67/08/699746708.db2.gz ZXJZGOUYNLFEEA-LBPRGKRZSA-N -1 1 323.303 1.011 20 0 DDADMM CC[C@@H](C)[C@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000737869336 699747120 /nfs/dbraw/zinc/74/71/20/699747120.db2.gz ZIFUQWZZNMEGJM-CHWSQXEVSA-N -1 1 307.394 1.354 20 0 DDADMM O=C(Cc1ccc2ccccc2c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737967076 699749000 /nfs/dbraw/zinc/74/90/00/699749000.db2.gz UROFIDZBJNURRA-HNNXBMFYSA-N -1 1 323.356 1.496 20 0 DDADMM O=C(c1cc(Cl)ccc1F)N1CCOC[C@H]1c1nn[n-]n1 ZINC000738246817 699755964 /nfs/dbraw/zinc/75/59/64/699755964.db2.gz JVZOIXYZEZHCFO-JTQLQIEISA-N -1 1 311.704 1.206 20 0 DDADMM O=C(c1coc2cc(F)ccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000793462422 699758837 /nfs/dbraw/zinc/75/88/37/699758837.db2.gz DUIPVVHSFWVMFG-GFCCVEGCSA-N -1 1 317.280 1.299 20 0 DDADMM C[C@H](C(=O)N1CCCc2ccccc21)N(C)CCCNC(=O)[O-] ZINC000738619590 699763698 /nfs/dbraw/zinc/76/36/98/699763698.db2.gz MIEYPNZRYHYWCT-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H](C(=O)NCc1ccc(F)cc1)N(C)CCCNC(=O)[O-] ZINC000738631599 699764196 /nfs/dbraw/zinc/76/41/96/699764196.db2.gz WSIHGSMEPYMJKB-LLVKDONJSA-N -1 1 311.357 1.420 20 0 DDADMM CC/C(C)=C(\C)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000794127898 699794540 /nfs/dbraw/zinc/79/45/40/699794540.db2.gz ZEIQIMPXTUXXND-MDZDMXLPSA-N -1 1 312.391 1.985 20 0 DDADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1c1ccccc1 ZINC000742896903 699893358 /nfs/dbraw/zinc/89/33/58/699893358.db2.gz FHLNWFHOQNGAFD-DOMZBBRYSA-N -1 1 313.357 1.146 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N(C)[C@@H](C)CC(C)C)[n-]1 ZINC000796363619 699929466 /nfs/dbraw/zinc/92/94/66/699929466.db2.gz UZYVNLOYMOIPRI-NSHDSACASA-N -1 1 324.377 1.851 20 0 DDADMM Cc1ccc(N)c(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1 ZINC000744717235 699959082 /nfs/dbraw/zinc/95/90/82/699959082.db2.gz MOSTXLWXTIXACK-UHFFFAOYSA-N -1 1 312.325 1.691 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCc1ccon1 ZINC000744795980 699961326 /nfs/dbraw/zinc/96/13/26/699961326.db2.gz PJYZMJRMJVRJEA-UHFFFAOYSA-N -1 1 302.333 1.465 20 0 DDADMM CCOC(=O)COC(=O)c1ccccc1[N-]S(=O)(=O)CC ZINC000744880562 699964652 /nfs/dbraw/zinc/96/46/52/699964652.db2.gz LGOQNRVAFNGJTH-UHFFFAOYSA-N -1 1 315.347 1.168 20 0 DDADMM O=C(NC[C@@H](O)COc1cccc(F)c1)c1cc(F)ccc1[O-] ZINC000751066099 700267807 /nfs/dbraw/zinc/26/78/07/700267807.db2.gz WOQPYGAFQHVKPV-GFCCVEGCSA-N -1 1 323.295 1.840 20 0 DDADMM CS(=O)(=O)CCCOC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801203058 700277602 /nfs/dbraw/zinc/27/76/02/700277602.db2.gz DTAIQZDIDCLWJE-UHFFFAOYSA-N -1 1 324.358 1.169 20 0 DDADMM CC[C@@H](OC(=O)c1nn(-c2ccc(F)cc2)cc1[O-])C(=O)OC ZINC000801326443 700297574 /nfs/dbraw/zinc/29/75/74/700297574.db2.gz SBWJHYWMUAGELB-GFCCVEGCSA-N -1 1 322.292 1.825 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)O[C@@H]3CCCNC3=O)n2)cc1 ZINC000801368044 700301584 /nfs/dbraw/zinc/30/15/84/700301584.db2.gz SGOYAWROWNEGPK-CYBMUJFWSA-N -1 1 315.329 1.322 20 0 DDADMM O=c1cc(CN2CCO[C@@H](C(F)F)C2)c2ccc([O-])cc2o1 ZINC000801568662 700322639 /nfs/dbraw/zinc/32/26/39/700322639.db2.gz UHQIVDHPYNNANZ-CYBMUJFWSA-N -1 1 311.284 1.965 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)COCCc3ccccc3)ccnc1-2 ZINC000801703869 700333045 /nfs/dbraw/zinc/33/30/45/700333045.db2.gz TYJUVACWFRBYCM-UHFFFAOYSA-N -1 1 310.357 1.540 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cc4ccccc4c(=O)o3)ccnc1-2 ZINC000801703697 700333232 /nfs/dbraw/zinc/33/32/32/700333232.db2.gz RYQJGYWVKZSDBQ-UHFFFAOYSA-N -1 1 320.308 1.701 20 0 DDADMM Cc1cccc(C2(O)CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1C ZINC000754048021 700478751 /nfs/dbraw/zinc/47/87/51/700478751.db2.gz CYGNANHGUCPDAB-UHFFFAOYSA-N -1 1 316.405 1.209 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@H]2[C@H](O)c2ccccc2)nc1=O ZINC000754058138 700479293 /nfs/dbraw/zinc/47/92/93/700479293.db2.gz QESUHCUFDKIEMS-DZGCQCFKSA-N -1 1 302.378 1.197 20 0 DDADMM CCOC(=O)c1sc(NC(=O)c2cncc([O-])c2)nc1C ZINC000755688780 700577822 /nfs/dbraw/zinc/57/78/22/700577822.db2.gz HBBNPPMXIFBVMZ-UHFFFAOYSA-N -1 1 307.331 1.981 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CSC2CCOCC2)cc1 ZINC000755862735 700588425 /nfs/dbraw/zinc/58/84/25/700588425.db2.gz RNUGEFXUTHNJFK-UHFFFAOYSA-N -1 1 309.387 1.864 20 0 DDADMM CO[C@H](C[N-]C(=O)C(F)(F)c1nccs1)C(F)(F)F ZINC000756696366 700635971 /nfs/dbraw/zinc/63/59/71/700635971.db2.gz NEVVOKRWWCRCCK-RXMQYKEDSA-N -1 1 304.240 1.928 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C(=O)[N-]OC2CCCCC2)CN1C1CC1 ZINC000809361757 701661428 /nfs/dbraw/zinc/66/14/28/701661428.db2.gz JQOXPXMBVXIDGI-VXGBXAGGSA-N -1 1 309.410 1.108 20 0 DDADMM COCCC(C)(C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000759676913 700765958 /nfs/dbraw/zinc/76/59/58/700765958.db2.gz KAMBTTMXRSXMHB-UHFFFAOYSA-N -1 1 307.346 1.549 20 0 DDADMM Cn1cc(C(=O)[N-]O[C@@H]2CCCCO2)c2ccccc2c1=O ZINC000760208304 700797663 /nfs/dbraw/zinc/79/76/63/700797663.db2.gz NOTGYJGPOYKMCI-CQSZACIVSA-N -1 1 302.330 1.727 20 0 DDADMM O=C(c1ccc(-n2cccc2)nc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000765111323 700999693 /nfs/dbraw/zinc/99/96/93/700999693.db2.gz YRIGAYZCBCXTHM-CYBMUJFWSA-N -1 1 323.360 1.405 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2C[C@H]2c2cccc(Cl)c2)nc1=O ZINC000765463230 701013773 /nfs/dbraw/zinc/01/37/73/701013773.db2.gz SQVQLCBKVLYRAG-QWRGUYRKSA-N -1 1 307.737 1.609 20 0 DDADMM Cn1[n-]c(COC(=O)c2sccc2OC(F)F)nc1=O ZINC000765466554 701014149 /nfs/dbraw/zinc/01/41/49/701014149.db2.gz NQKWVUZNKBXHEB-UHFFFAOYSA-N -1 1 305.262 1.128 20 0 DDADMM C[C@@H](CC(=O)c1ccccc1F)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765490230 701015778 /nfs/dbraw/zinc/01/57/78/701015778.db2.gz YKAPADXQIGQZSG-VIFPVBQESA-N -1 1 321.308 1.200 20 0 DDADMM COc1ccc(/C=C(/C)C(=O)OCc2nc(=O)n(C)[n-]2)cc1F ZINC000765507970 701016885 /nfs/dbraw/zinc/01/68/85/701016885.db2.gz LODQOPUJJLXJEQ-TWGQIWQCSA-N -1 1 321.308 1.403 20 0 DDADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1cccc(F)c1F ZINC000766862897 701066429 /nfs/dbraw/zinc/06/64/29/701066429.db2.gz UEEQPXQSINKKOD-SECBINFHSA-N -1 1 323.299 1.082 20 0 DDADMM O=C(NC1CC1)[C@@H]1CCCN1C(=O)c1c([O-])cccc1Cl ZINC000769700726 701253193 /nfs/dbraw/zinc/25/31/93/701253193.db2.gz BCKMELRHQUJVOM-NSHDSACASA-N -1 1 308.765 1.929 20 0 DDADMM CC(C)C1CCC(CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000770334011 701274543 /nfs/dbraw/zinc/27/45/43/701274543.db2.gz BDUKSFIZOMSPKR-UHFFFAOYSA-N -1 1 321.421 1.505 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2ncc(Cl)n2C)sc1C ZINC000771943950 701337812 /nfs/dbraw/zinc/33/78/12/701337812.db2.gz USTQTMMSDWRJTI-UHFFFAOYSA-N -1 1 320.827 1.625 20 0 DDADMM O=C(NCC[C@H]1CCSC1)c1nc2ccccc2c(=O)[n-]1 ZINC000866027157 706598688 /nfs/dbraw/zinc/59/86/88/706598688.db2.gz AWZBBOXTPHWQQC-JTQLQIEISA-N -1 1 303.387 1.796 20 0 DDADMM O=C(OC[C@@H]1CCN(C(=O)c2ccccc2)C1)c1cn[n-]n1 ZINC000805605853 701398591 /nfs/dbraw/zinc/39/85/91/701398591.db2.gz QTAWSCJTMSIQIC-LLVKDONJSA-N -1 1 300.318 1.124 20 0 DDADMM CC(C)[C@H](OC(=O)c1cn[n-]n1)C(=O)N[C@@H]1CCCC[C@H]1C ZINC000805606219 701399084 /nfs/dbraw/zinc/39/90/84/701399084.db2.gz UZKZKIBSAFDOQJ-WZRBSPASSA-N -1 1 308.382 1.681 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)N1c2ccccc2C[C@H]1C ZINC000805606761 701399128 /nfs/dbraw/zinc/39/91/28/701399128.db2.gz MNMHPDBSQUGIJC-NXEZZACHSA-N -1 1 300.318 1.328 20 0 DDADMM COc1ccc(C)cc1NC(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805607042 701399314 /nfs/dbraw/zinc/39/93/14/701399314.db2.gz FPSWLYJEPGDDAP-VIFPVBQESA-N -1 1 304.306 1.306 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)N[C@@H](C)c1ccc(Cl)cc1 ZINC000805607617 701399371 /nfs/dbraw/zinc/39/93/71/701399371.db2.gz MVBHAJMOYIOFDK-DTWKUNHWSA-N -1 1 322.752 1.881 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)Nc1cccc(C(F)(F)F)c1 ZINC000805608735 701399717 /nfs/dbraw/zinc/39/97/17/701399717.db2.gz IRGIUZBAIDVECY-UHFFFAOYSA-N -1 1 314.223 1.619 20 0 DDADMM O=C(O[C@H]1CCN(c2ccc(F)c(Cl)c2)C1=O)c1cn[n-]n1 ZINC000805609845 701399866 /nfs/dbraw/zinc/39/98/66/701399866.db2.gz VIBZXVCQXWIMRN-NSHDSACASA-N -1 1 324.699 1.560 20 0 DDADMM Cc1cccc(C)c1NC(=O)CCCOC(=O)c1cn[n-]n1 ZINC000805609187 701399885 /nfs/dbraw/zinc/39/98/85/701399885.db2.gz NXEXYJXQLUYSRO-UHFFFAOYSA-N -1 1 302.334 1.997 20 0 DDADMM CCN(C[C@@H]1CCOC1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806482591 701436991 /nfs/dbraw/zinc/43/69/91/701436991.db2.gz ZQDLEJODVYBBJZ-NSHDSACASA-N -1 1 303.366 1.232 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3CCCCS3)ccnc1-2 ZINC000806485898 701437240 /nfs/dbraw/zinc/43/72/40/701437240.db2.gz DZIBYKPMGQKLIV-JTQLQIEISA-N -1 1 305.407 1.749 20 0 DDADMM O=C(N[C@H]1CCCCC12OCCO2)C(=O)c1ccc([O-])cc1 ZINC000807114080 701464755 /nfs/dbraw/zinc/46/47/55/701464755.db2.gz JHXWYGNBGPRMPO-ZDUSSCGKSA-N -1 1 305.330 1.377 20 0 DDADMM O=S(=O)([N-][C@@H](C1CC1)C(F)(F)F)c1cnn(CC2CC2)c1 ZINC000808327895 701513831 /nfs/dbraw/zinc/51/38/31/701513831.db2.gz ICHSGMRIFMUGPP-NSHDSACASA-N -1 1 323.340 1.912 20 0 DDADMM Cc1cnc(CN2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)nc1 ZINC000810068621 701721023 /nfs/dbraw/zinc/72/10/23/701721023.db2.gz ABDGUYWYCFBBPK-NSHDSACASA-N -1 1 316.327 1.676 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000810268319 701748867 /nfs/dbraw/zinc/74/88/67/701748867.db2.gz DPWUBBIMOLITQC-CSKARUKUSA-N -1 1 320.374 1.559 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000810268319 701748874 /nfs/dbraw/zinc/74/88/74/701748874.db2.gz DPWUBBIMOLITQC-CSKARUKUSA-N -1 1 320.374 1.559 20 0 DDADMM C[C@@H]1CCCC[C@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830953776 706610083 /nfs/dbraw/zinc/61/00/83/706610083.db2.gz ZMYYLLBPGIAEFQ-GHMZBOCLSA-N -1 1 305.378 1.697 20 0 DDADMM C[C@@H]1CCC[C@@H]1CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830959506 706611400 /nfs/dbraw/zinc/61/14/00/706611400.db2.gz PDMDOWAPWUGKKT-GHMZBOCLSA-N -1 1 305.378 1.697 20 0 DDADMM Cc1cc(F)ncc1C(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000868063909 701921156 /nfs/dbraw/zinc/92/11/56/701921156.db2.gz HPJYPSCSRWTQCS-UHFFFAOYSA-N -1 1 306.297 1.638 20 0 DDADMM Cc1cc(F)ncc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000868063909 701921158 /nfs/dbraw/zinc/92/11/58/701921158.db2.gz HPJYPSCSRWTQCS-UHFFFAOYSA-N -1 1 306.297 1.638 20 0 DDADMM COC(=O)CCCO[N-]C(=O)[C@@H]1CCCc2c(O)cccc21 ZINC000811560358 702005364 /nfs/dbraw/zinc/00/53/64/702005364.db2.gz NJSBKMZNBKTXAT-CYBMUJFWSA-N -1 1 307.346 1.813 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@H]2CCCOC2)C1 ZINC000868282558 702039726 /nfs/dbraw/zinc/03/97/26/702039726.db2.gz CHQORBNULRVWLP-JOYOIKCWSA-N -1 1 323.315 1.018 20 0 DDADMM CC1=C(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)SCCO1 ZINC000816498972 702098289 /nfs/dbraw/zinc/09/82/89/702098289.db2.gz ZEGVIEPIHZIZER-VIFPVBQESA-N -1 1 311.363 1.476 20 0 DDADMM O=C([N-]CC1CN(C(=O)Nc2ccccn2)C1)C(F)(F)F ZINC000866183923 706642742 /nfs/dbraw/zinc/64/27/42/706642742.db2.gz FRJXXCRAZYYTSK-UHFFFAOYSA-N -1 1 302.256 1.224 20 0 DDADMM Cc1nc([C@H](C)OC(=O)C(C)(C)[N-]C(=O)C(F)(F)F)n[nH]1 ZINC000840492610 702128630 /nfs/dbraw/zinc/12/86/30/702128630.db2.gz DIEKMOWEZDNYRQ-YFKPBYRVSA-N -1 1 308.260 1.174 20 0 DDADMM CS(=O)(=O)c1ccccc1C[N-]C(=O)C(F)(F)C(F)F ZINC000840511631 702136143 /nfs/dbraw/zinc/13/61/43/702136143.db2.gz CLKHXSRFWSWHBG-UHFFFAOYSA-N -1 1 313.272 1.607 20 0 DDADMM CC[C@@](C)(CO)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000840580317 702161228 /nfs/dbraw/zinc/16/12/28/702161228.db2.gz HHEZCUYBXVSVHC-INIZCTEOSA-N -1 1 306.314 1.950 20 0 DDADMM O=C(COc1ccccc1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162192 706646861 /nfs/dbraw/zinc/64/68/61/706646861.db2.gz DXKYLOIFXAUANV-UHFFFAOYSA-N -1 1 316.279 1.202 20 0 DDADMM CC(F)(F)CNS(=O)(=O)c1cc(C(=O)[O-])c(F)cc1F ZINC000840890750 702272281 /nfs/dbraw/zinc/27/22/81/702272281.db2.gz OLVIYUJEKJPVNW-UHFFFAOYSA-N -1 1 315.244 1.597 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@@H]1CCCCC12OCCO2)OC ZINC000817134566 702282840 /nfs/dbraw/zinc/28/28/40/702282840.db2.gz DEWTWWHKAKCWBM-NWDGAFQWSA-N -1 1 307.412 1.017 20 0 DDADMM C[C@@](O)(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1ccccc1 ZINC000817256162 702317355 /nfs/dbraw/zinc/31/73/55/702317355.db2.gz PURJEQDNZFPYHK-ZDUSSCGKSA-N -1 1 319.279 1.506 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(F)(F)C2CCOCC2)n1 ZINC000841222484 702393130 /nfs/dbraw/zinc/39/31/30/702393130.db2.gz WWZGRWDEGCJNON-UHFFFAOYSA-N -1 1 317.292 1.587 20 0 DDADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CCC[C@@H]1C(C)(C)C ZINC000841457168 702456751 /nfs/dbraw/zinc/45/67/51/702456751.db2.gz OYULCVSJKJHWQM-STQMWFEESA-N -1 1 321.421 1.600 20 0 DDADMM COc1cccc(CNC(=O)CO[N-]C(=O)CC(C)(C)C)c1 ZINC000842060380 702665285 /nfs/dbraw/zinc/66/52/85/702665285.db2.gz IQCSDCZVULEEJU-UHFFFAOYSA-N -1 1 308.378 1.795 20 0 DDADMM COCn1nc(C)c(S(=O)(=O)[N-]c2cccnc2F)c1C ZINC000842825126 702780407 /nfs/dbraw/zinc/78/04/07/702780407.db2.gz JIVLISITVXODAW-UHFFFAOYSA-N -1 1 314.342 1.439 20 0 DDADMM O=C(NCC[C@@H](O)C1CCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000831396252 706699672 /nfs/dbraw/zinc/69/96/72/706699672.db2.gz FILMBVJWWFLDGU-GFCCVEGCSA-N -1 1 320.418 1.689 20 0 DDADMM O=C([O-])CCCC(=O)N[C@H](Cc1nc[nH]n1)c1ccccc1 ZINC000843331346 702861157 /nfs/dbraw/zinc/86/11/57/702861157.db2.gz OECSDEHYVWEJFF-GFCCVEGCSA-N -1 1 302.334 1.460 20 0 DDADMM C[C@]1(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)CCc2ccccc21 ZINC000843790927 702924527 /nfs/dbraw/zinc/92/45/27/702924527.db2.gz JGAYKWBPKNTOCJ-BBRMVZONSA-N -1 1 313.361 1.004 20 0 DDADMM CC(C)(CNC(=O)c1c([O-])cccc1Cl)[C@]1(O)CCOC1 ZINC000844040617 702961350 /nfs/dbraw/zinc/96/13/50/702961350.db2.gz NANCDIXKWMOSAX-HNNXBMFYSA-N -1 1 313.781 1.953 20 0 DDADMM CCCO[N-]C(=O)[C@@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC000844090562 702970431 /nfs/dbraw/zinc/97/04/31/702970431.db2.gz WKCULWWSOBTTGO-CYBMUJFWSA-N -1 1 308.353 1.675 20 0 DDADMM O=C([O-])CN1CCN(c2nccc(Oc3ccccc3)n2)CC1 ZINC000844214194 702993535 /nfs/dbraw/zinc/99/35/35/702993535.db2.gz SOLHYLLSKCXZIQ-UHFFFAOYSA-N -1 1 314.345 1.476 20 0 DDADMM Cn1[n-]c(CN2CCC(c3nc(C4CCC4)no3)CC2)nc1=O ZINC000879551606 706715779 /nfs/dbraw/zinc/71/57/79/706715779.db2.gz GWLUFVISPJZMMW-UHFFFAOYSA-N -1 1 318.381 1.139 20 0 DDADMM CCC[C@@H](C)[C@@H](CO)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000845967845 703220841 /nfs/dbraw/zinc/22/08/41/703220841.db2.gz DZTUEZOQIZMDAY-RKDXNWHRSA-N -1 1 309.819 1.149 20 0 DDADMM O=S(=O)([N-][C@@H](C1CC1)C1CCOCC1)c1c[nH]nc1Cl ZINC000845981332 703223053 /nfs/dbraw/zinc/22/30/53/703223053.db2.gz VTPNMBDJRLGYBV-NSHDSACASA-N -1 1 319.814 1.547 20 0 DDADMM C[S@](=O)CCN(CCC(=O)[O-])Cc1ccc(F)c(F)c1 ZINC000846272394 703259189 /nfs/dbraw/zinc/25/91/89/703259189.db2.gz NKDBFZIJEKODIG-FQEVSTJZSA-N -1 1 305.346 1.620 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1nc[nH]c1Br)C1CC1 ZINC000866594947 706739964 /nfs/dbraw/zinc/73/99/64/706739964.db2.gz SDXDNINGKCRQFA-LURJTMIESA-N -1 1 308.201 1.497 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cnn(C)c2C(F)(F)F)on1 ZINC000866606021 706743387 /nfs/dbraw/zinc/74/33/87/706743387.db2.gz RADQZIXVYORKLJ-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc3c2OC(C)(C)C3)nc1=O ZINC000848855750 703594802 /nfs/dbraw/zinc/59/48/02/703594802.db2.gz LHQOMBFAWHBPHG-UHFFFAOYSA-N -1 1 303.318 1.179 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)C[C@H](OC)C1CCCC1)C(=O)OC ZINC000849384598 703641975 /nfs/dbraw/zinc/64/19/75/703641975.db2.gz PJNFXFSXECDKGO-OLZOCXBDSA-N -1 1 321.439 1.453 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Br)o1)C1(CO)CC1 ZINC000849434013 703647560 /nfs/dbraw/zinc/64/75/60/703647560.db2.gz SCNVRWLNHLAUGZ-SSDOTTSWSA-N -1 1 324.196 1.481 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C)c2cn(C(C)C)nn2)[n-]1 ZINC000851232182 703787373 /nfs/dbraw/zinc/78/73/73/703787373.db2.gz IRKONRSETIJCIG-VIFPVBQESA-N -1 1 306.322 1.892 20 0 DDADMM C[C@@H](O)C1([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)CC1 ZINC000851831316 703866440 /nfs/dbraw/zinc/86/64/40/703866440.db2.gz RVIDTYUMRJLJDX-ZCFIWIBFSA-N -1 1 311.190 1.580 20 0 DDADMM CCCC[C@@](C)(CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000831749075 706770405 /nfs/dbraw/zinc/77/04/05/706770405.db2.gz XOPMYPPFRVJBOG-HNNXBMFYSA-N -1 1 305.382 1.405 20 0 DDADMM COC(=O)/C(C)=C/CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879765517 706785553 /nfs/dbraw/zinc/78/55/53/706785553.db2.gz OSNYPNFXVLQEGY-KFRNIWOLSA-N -1 1 308.300 1.249 20 0 DDADMM CO[C@H]1CC[C@H](C)N(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000870723499 704113225 /nfs/dbraw/zinc/11/32/25/704113225.db2.gz FHJQIHLIZMPANN-ONGXEEELSA-N -1 1 306.391 1.658 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C(F)=C2CCC2)c1 ZINC000819537945 704139119 /nfs/dbraw/zinc/13/91/19/704139119.db2.gz QKJXAVJFZXJHPA-UHFFFAOYSA-N -1 1 314.338 1.646 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)C1(O)CCC1)c1noc(C(F)F)n1 ZINC000819569630 704143441 /nfs/dbraw/zinc/14/34/41/704143441.db2.gz AMJURBPHPGNNNX-YFKPBYRVSA-N -1 1 311.235 1.735 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2C[C@@H](C)OC2=O)c(F)c1 ZINC000819881008 704180322 /nfs/dbraw/zinc/18/03/22/704180322.db2.gz AIMFIZSMOTXQOA-GMSGAONNSA-N -1 1 305.302 1.256 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2nc(C)no2)c1 ZINC000819983822 704197488 /nfs/dbraw/zinc/19/74/88/704197488.db2.gz ASFNUYDNHFOWKD-OAQYLSRUSA-N -1 1 310.331 1.238 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@@H]1C[C@]12c1ccccc1NC2=O ZINC000820013612 704203080 /nfs/dbraw/zinc/20/30/80/704203080.db2.gz BSDVYQSXVQIROJ-LRDDRELGSA-N -1 1 304.346 1.369 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H]2CCC(C)(C)C2)o1 ZINC000820250883 704246331 /nfs/dbraw/zinc/24/63/31/704246331.db2.gz LQFMKJRKOABQQU-VIFPVBQESA-N -1 1 301.364 1.923 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)CC1(OC)CCC1)C(F)(F)F ZINC000866786357 706800763 /nfs/dbraw/zinc/80/07/63/706800763.db2.gz REDCBFYSJUJGDQ-QMMMGPOBSA-N -1 1 305.318 1.052 20 0 DDADMM C[C@@H](NCc1ccc(-c2nnn[n-]2)o1)c1nnc2n1CCCC2 ZINC000820431546 704277602 /nfs/dbraw/zinc/27/76/02/704277602.db2.gz GRCLOUCOJGAZEF-SECBINFHSA-N -1 1 314.353 1.238 20 0 DDADMM C[C@@H](NCc1ccc(-c2nn[n-]n2)o1)c1nnc2n1CCCC2 ZINC000820431546 704277604 /nfs/dbraw/zinc/27/76/04/704277604.db2.gz GRCLOUCOJGAZEF-SECBINFHSA-N -1 1 314.353 1.238 20 0 DDADMM Cn1nc(NS(=O)(=O)CCc2ccccc2)cc1C(=O)[O-] ZINC000821090467 704370736 /nfs/dbraw/zinc/37/07/36/704370736.db2.gz YHHCBKHGNKNFFB-UHFFFAOYSA-N -1 1 309.347 1.103 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC2CCN(C#N)CC2)c1 ZINC000821241110 704383319 /nfs/dbraw/zinc/38/33/19/704383319.db2.gz JVINWVWGDGSZEE-JOCHJYFZSA-N -1 1 322.386 1.291 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CC1(NC(=O)OC(C)(C)C)CC1 ZINC000854635743 704430868 /nfs/dbraw/zinc/43/08/68/704430868.db2.gz WSRIFZFQFBFLSQ-UHFFFAOYSA-N -1 1 310.354 1.810 20 0 DDADMM CCOc1cc(C(=O)N2CCN(OC)CC2)cc(Cl)c1[O-] ZINC000854865530 704459838 /nfs/dbraw/zinc/45/98/38/704459838.db2.gz PUZQMNARNHIMHO-UHFFFAOYSA-N -1 1 314.769 1.764 20 0 DDADMM O=C(NCCS(=O)(=O)C1CC1)c1ncc2ccccc2c1[O-] ZINC000854939355 704462867 /nfs/dbraw/zinc/46/28/67/704462867.db2.gz ZDZLXZOANQDQTQ-UHFFFAOYSA-N -1 1 320.370 1.247 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@H](C)[C@H]1O ZINC000855693719 704497871 /nfs/dbraw/zinc/49/78/71/704497871.db2.gz ZQQUMVTYYQKUJN-GDGBQDQQSA-N -1 1 306.391 1.107 20 0 DDADMM CCN(C)[C@@H](C(=O)[N-]OCCN1CCCC1=O)c1ccccc1 ZINC000856038743 704510877 /nfs/dbraw/zinc/51/08/77/704510877.db2.gz HSEKVYIBRFJDPA-MRXNPFEDSA-N -1 1 319.405 1.350 20 0 DDADMM COC(C)(C)C[C@@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866875964 706831896 /nfs/dbraw/zinc/83/18/96/706831896.db2.gz AENQRKRYSZHVEM-MRVPVSSYSA-N -1 1 308.350 1.842 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C(C)(C)CC(F)(F)F ZINC000867153825 706910760 /nfs/dbraw/zinc/91/07/60/706910760.db2.gz HTTPEPRJILSXRW-QGZVFWFLSA-N -1 1 310.363 1.670 20 0 DDADMM CC(C)[C@H](NC=O)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000858446296 704712031 /nfs/dbraw/zinc/71/20/31/704712031.db2.gz DGLGXGTWGFVDOT-AWEZNQCLSA-N -1 1 302.334 1.491 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCSC1)c1ccc(F)nc1F ZINC000867271076 706943428 /nfs/dbraw/zinc/94/34/28/706943428.db2.gz VEXCQHPKECUYMW-MRVPVSSYSA-N -1 1 308.375 1.781 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1cccc2ncccc12)c1nn[n-]n1 ZINC000821893181 704836750 /nfs/dbraw/zinc/83/67/50/704836750.db2.gz DGIIVXSYVOVKGW-NSHDSACASA-N -1 1 310.361 1.553 20 0 DDADMM CNc1ccc(Cl)c(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000859277920 704846579 /nfs/dbraw/zinc/84/65/79/704846579.db2.gz FZRACYFRSYCIKW-NSHDSACASA-N -1 1 322.756 1.109 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H](C(F)(F)F)O1 ZINC000874562677 705106065 /nfs/dbraw/zinc/10/60/65/705106065.db2.gz IODAUGBSKLQNEX-WPRPVWTQSA-N -1 1 304.268 1.969 20 0 DDADMM Cc1scc(C[N-]S(=O)(=O)N=S2(=O)CCCC2)c1C ZINC000867601627 707051076 /nfs/dbraw/zinc/05/10/76/707051076.db2.gz PGKIXDXWDVRMLL-UHFFFAOYSA-N -1 1 322.477 1.961 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](OC)CC[C@H]2C)c1 ZINC000867634296 707059354 /nfs/dbraw/zinc/05/93/54/707059354.db2.gz FPHJMJQMBMIODF-ZYHUDNBSSA-N -1 1 315.391 1.589 20 0 DDADMM Cc1ccc2nc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)cn2c1 ZINC000860349471 705162363 /nfs/dbraw/zinc/16/23/63/705162363.db2.gz NETNKBRMFRKYSX-NSHDSACASA-N -1 1 311.349 1.176 20 0 DDADMM CCCCCCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000874981575 705246428 /nfs/dbraw/zinc/24/64/28/705246428.db2.gz BKWKHCBNFQYOMU-UHFFFAOYSA-N -1 1 309.332 1.887 20 0 DDADMM Cc1ccoc1CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875615857 705449405 /nfs/dbraw/zinc/44/94/05/705449405.db2.gz XHBRPKGZNODVGE-UHFFFAOYSA-N -1 1 319.283 1.408 20 0 DDADMM O=C(NC1CC2(CCC2)C1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875701816 705482865 /nfs/dbraw/zinc/48/28/65/705482865.db2.gz NTKZMJBQAYCSBU-UHFFFAOYSA-N -1 1 319.327 1.639 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)c2cccnn2)C1)C(F)(F)F ZINC000861772727 705564780 /nfs/dbraw/zinc/56/47/80/705564780.db2.gz ZCVQUGFCQXTAHY-SECBINFHSA-N -1 1 316.283 1.007 20 0 DDADMM C[C@@H]1CN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C(C)(C)C1 ZINC000876299973 705682824 /nfs/dbraw/zinc/68/28/24/705682824.db2.gz GRPHQBOVKRCJMW-JTQLQIEISA-N -1 1 320.393 1.585 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000876395099 705707817 /nfs/dbraw/zinc/70/78/17/705707817.db2.gz STMQNFDBQXXLBY-SNVBAGLBSA-N -1 1 312.753 1.750 20 0 DDADMM Cc1onc(CC(=O)N(C)c2ccc(C)cc2)c1-c1nnn[n-]1 ZINC000826289701 705787328 /nfs/dbraw/zinc/78/73/28/705787328.db2.gz JTPQOVIVFZUNIV-UHFFFAOYSA-N -1 1 312.333 1.677 20 0 DDADMM Cc1onc(CC(=O)N(C)c2ccc(C)cc2)c1-c1nn[n-]n1 ZINC000826289701 705787334 /nfs/dbraw/zinc/78/73/34/705787334.db2.gz JTPQOVIVFZUNIV-UHFFFAOYSA-N -1 1 312.333 1.677 20 0 DDADMM Cc1ccc(C(F)(F)C(=O)n2ncc(-c3nn[n-]n3)c2N)cc1 ZINC000826283980 705787430 /nfs/dbraw/zinc/78/74/30/705787430.db2.gz GSKJFXHQSXVIHW-UHFFFAOYSA-N -1 1 319.275 1.386 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@@H]2CCC[C@@H](C)C2)c1-c1nnn[n-]1 ZINC000826343008 705793103 /nfs/dbraw/zinc/79/31/03/705793103.db2.gz IXOUULKTCRKGRI-MWLCHTKSSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@@H]2CCC[C@@H](C)C2)c1-c1nn[n-]n1 ZINC000826343008 705793106 /nfs/dbraw/zinc/79/31/06/705793106.db2.gz IXOUULKTCRKGRI-MWLCHTKSSA-N -1 1 318.381 1.743 20 0 DDADMM FC1(F)CCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000826370076 705796098 /nfs/dbraw/zinc/79/60/98/705796098.db2.gz CONOEMNWISNNNM-UHFFFAOYSA-N -1 1 303.276 1.655 20 0 DDADMM FC1(F)CCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000826370076 705796102 /nfs/dbraw/zinc/79/61/02/705796102.db2.gz CONOEMNWISNNNM-UHFFFAOYSA-N -1 1 303.276 1.655 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@@H]1CCCCC12OCCO2)C1CC1 ZINC000827370066 705987557 /nfs/dbraw/zinc/98/75/57/705987557.db2.gz ZFVMANGYKICPAV-QWHCGFSZSA-N -1 1 319.423 1.017 20 0 DDADMM N[C@](C(=O)[N-]N1CCOC1=O)(c1cccc(F)c1)C(F)(F)F ZINC000863938438 706041611 /nfs/dbraw/zinc/04/16/11/706041611.db2.gz SSPGKLHRAYTDSJ-NSHDSACASA-N -1 1 321.230 1.025 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCO[C@@]2(CCSC2)C1 ZINC000864064534 706057516 /nfs/dbraw/zinc/05/75/16/706057516.db2.gz XHQYMAUUDZIRRG-HNNXBMFYSA-N -1 1 321.402 1.408 20 0 DDADMM CC(C)N(CCC(=O)[O-])C(=O)CN(C)CCc1ccccc1 ZINC000908962912 712904367 /nfs/dbraw/zinc/90/43/67/712904367.db2.gz TTWAJAIGBOTJPU-UHFFFAOYSA-N -1 1 306.406 1.873 20 0 DDADMM C/C(=C\c1ccncc1)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000864160173 706084776 /nfs/dbraw/zinc/08/47/76/706084776.db2.gz SSIFWDQZIHBZFA-CMPYXILNSA-N -1 1 317.389 1.492 20 0 DDADMM Cc1cc(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)nn1C ZINC000877773695 706205165 /nfs/dbraw/zinc/20/51/65/706205165.db2.gz VFFYMKQKSRWRLE-GFCCVEGCSA-N -1 1 304.316 1.371 20 0 DDADMM Cc1nc(F)ccc1CN1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000877777391 706207690 /nfs/dbraw/zinc/20/76/90/706207690.db2.gz YXDCVAVSBPHLQH-UHFFFAOYSA-N -1 1 305.275 1.639 20 0 DDADMM CC[C@H](C)[C@@H](NCC(=O)N1CCc2ccccc2C1)C(=O)[O-] ZINC000828738390 706223322 /nfs/dbraw/zinc/22/33/22/706223322.db2.gz OAFHIEHPPAIXPD-BLLLJJGKSA-N -1 1 304.390 1.660 20 0 DDADMM COC/C=C\C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000864753648 706250984 /nfs/dbraw/zinc/25/09/84/706250984.db2.gz ZJHYNPZDRXYLHA-PLNGDYQASA-N -1 1 314.363 1.208 20 0 DDADMM CO[C@]1(C)C[C@H]([N@@H+](C)Cc2nc3c(c(=O)[nH]2)COCC3)C1(C)C ZINC000878153772 706307802 /nfs/dbraw/zinc/30/78/02/706307802.db2.gz QQYJDMWOXHTVKV-SUMWQHHRSA-N -1 1 321.421 1.890 20 0 DDADMM O=C([N-]CCCOC(=O)c1cn2c(n1)CCC2)C(F)(F)F ZINC000829850011 706407023 /nfs/dbraw/zinc/40/70/23/706407023.db2.gz VYVKDEULKWRNHZ-UHFFFAOYSA-N -1 1 305.256 1.055 20 0 DDADMM O=S(=O)(CCCCF)[N-]c1cccc2c1OCC[C@H]2O ZINC000829915603 706418751 /nfs/dbraw/zinc/41/87/51/706418751.db2.gz KVAKUVHLECSEOF-GFCCVEGCSA-N -1 1 303.355 1.994 20 0 DDADMM CC(C)c1[nH]nc(C(N)=O)c1[N-]S(=O)(=O)C1=CCCCC1 ZINC000830195090 706472553 /nfs/dbraw/zinc/47/25/53/706472553.db2.gz LHWCGFVKDAFXPW-UHFFFAOYSA-N -1 1 312.395 1.832 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CC(C)(C)CO2)c1 ZINC000872549682 707473848 /nfs/dbraw/zinc/47/38/48/707473848.db2.gz YABANGIUOVBWSK-LLVKDONJSA-N -1 1 315.391 1.494 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]Cc2ncc(Cl)s2)on1 ZINC000872567484 707484663 /nfs/dbraw/zinc/48/46/63/707484663.db2.gz QDERNRFESVTBFT-UHFFFAOYSA-N -1 1 307.784 1.713 20 0 DDADMM COCC1(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000830802483 706582738 /nfs/dbraw/zinc/58/27/38/706582738.db2.gz VRLIOLVEIUSNJI-GFCCVEGCSA-N -1 1 322.327 1.473 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2CCC(=O)CC2)C1 ZINC000830823777 706587453 /nfs/dbraw/zinc/58/74/53/706587453.db2.gz WKDUXMWPZYLCDN-ZDUSSCGKSA-N -1 1 320.311 1.415 20 0 DDADMM O=C(c1scnc1C(F)F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000866901655 706839654 /nfs/dbraw/zinc/83/96/54/706839654.db2.gz LDIKTUZXLOVTAV-ZCFIWIBFSA-N -1 1 314.321 1.614 20 0 DDADMM CCOCCCN(C[C@@H](C)C(=O)[O-])C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000908989344 712910103 /nfs/dbraw/zinc/91/01/03/712910103.db2.gz NRLDXGYMZHURPS-JHJVBQTASA-N -1 1 323.393 1.489 20 0 DDADMM C[C@@H](C[C@@H]1CCOC1)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866958222 706856231 /nfs/dbraw/zinc/85/62/31/706856231.db2.gz KYRDVURCIJOKCM-IUCAKERBSA-N -1 1 322.789 1.968 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2ccc(Cl)nc2F)CCC1 ZINC000866995071 706867796 /nfs/dbraw/zinc/86/77/96/706867796.db2.gz ZJHBWCSXMGSRPA-UHFFFAOYSA-N -1 1 322.745 1.248 20 0 DDADMM O=S(=O)([N-]CCC[C@@H]1CCCCO1)c1ccc(F)nc1F ZINC000867107109 706897945 /nfs/dbraw/zinc/89/79/45/706897945.db2.gz IURYGDUYXWDBRJ-JTQLQIEISA-N -1 1 320.361 1.987 20 0 DDADMM O=C(CN1CCCCS1(=O)=O)Nc1ccc([O-])c(F)c1F ZINC000880236691 706916284 /nfs/dbraw/zinc/91/62/84/706916284.db2.gz FQBUUYJXDOHPLB-UHFFFAOYSA-N -1 1 320.317 1.035 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-]Cc1cc(Br)no1 ZINC000867321948 706960832 /nfs/dbraw/zinc/96/08/32/706960832.db2.gz BGOVEKXKTCAVPZ-UHFFFAOYSA-N -1 1 322.140 1.045 20 0 DDADMM Cc1nnc(SCC(=O)Nc2ccc(N(C)C(=O)[O-])cc2)[nH]1 ZINC000832991195 706999222 /nfs/dbraw/zinc/99/92/22/706999222.db2.gz RJJLUIWSBYFPQF-UHFFFAOYSA-N -1 1 321.362 1.958 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2ccc(N(C)C(=O)[O-])cc2)n1 ZINC000832991195 706999226 /nfs/dbraw/zinc/99/92/26/706999226.db2.gz RJJLUIWSBYFPQF-UHFFFAOYSA-N -1 1 321.362 1.958 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@H]1CCCc2[nH]ncc21)C1CCCCC1 ZINC000833124007 707001093 /nfs/dbraw/zinc/00/10/93/707001093.db2.gz DBWSLEIQFQTVPD-FZMZJTMJSA-N -1 1 305.378 1.979 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C(OC)OC ZINC000867493416 707017977 /nfs/dbraw/zinc/01/79/77/707017977.db2.gz JBQFYXJOHZLLCJ-ZETCQYMHSA-N -1 1 310.322 1.036 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)n1 ZINC000867552712 707037572 /nfs/dbraw/zinc/03/75/72/707037572.db2.gz NOAZLIXQRJNJBU-UHFFFAOYSA-N -1 1 306.294 1.307 20 0 DDADMM COC(=O)c1cc(C)c(F)c(S(=O)(=O)[N-]CC(C)(C)F)c1 ZINC000867576801 707044730 /nfs/dbraw/zinc/04/47/30/707044730.db2.gz RAMOIKOVGJBXFK-UHFFFAOYSA-N -1 1 321.345 1.947 20 0 DDADMM CSc1nc(CNC(=O)C2(C)CC(F)(F)C2)cc(=O)[n-]1 ZINC000880649960 707048057 /nfs/dbraw/zinc/04/80/57/707048057.db2.gz HUTNQOQKFMSJBM-UHFFFAOYSA-N -1 1 303.334 1.956 20 0 DDADMM COC(=O)c1c[n-]c(SCCCS(=O)(=O)C(C)(C)C)n1 ZINC000871667706 707161380 /nfs/dbraw/zinc/16/13/80/707161380.db2.gz PJHCABAIIWNSDF-UHFFFAOYSA-N -1 1 320.436 1.892 20 0 DDADMM CCCCC[C@H](NC(=O)NCCN1CCCOCC1)C(=O)[O-] ZINC000909107029 712937794 /nfs/dbraw/zinc/93/77/94/712937794.db2.gz HTZDEKLFWRPBCP-ZDUSSCGKSA-N -1 1 315.414 1.041 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC[C@H](C)SC)co1 ZINC000835665862 707303603 /nfs/dbraw/zinc/30/36/03/707303603.db2.gz MHCRQCAKRONEFD-QMMMGPOBSA-N -1 1 307.393 1.486 20 0 DDADMM C[C@@H]1CSC[C@@H]1[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872486912 707439851 /nfs/dbraw/zinc/43/98/51/707439851.db2.gz IVOXAOWOXKXJGI-KSRUKNBBSA-N -1 1 314.498 1.469 20 0 DDADMM O=C([O-])[C@@H]1CC(F)(F)CN1C(=O)NCc1ccc2cncn2c1 ZINC000909169069 712952473 /nfs/dbraw/zinc/95/24/73/712952473.db2.gz IIDZZZHHUOWTDG-NSHDSACASA-N -1 1 324.287 1.338 20 0 DDADMM CC(C)[C@@H]1[C@@H](C(C)C)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000836887932 707538529 /nfs/dbraw/zinc/53/85/29/707538529.db2.gz HWOWGQPSBSQUDV-TZMCWYRMSA-N -1 1 307.394 1.066 20 0 DDADMM CCN(CC(=O)NC[C@@H](Cc1cccc(F)c1)C(=O)[O-])C1CC1 ZINC000909239517 712971033 /nfs/dbraw/zinc/97/10/33/712971033.db2.gz OWLBWIDPECMNGX-CYBMUJFWSA-N -1 1 322.380 1.670 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-]C[C@@H]1C=CCC1)c1ccccc1 ZINC000882557817 707695292 /nfs/dbraw/zinc/69/52/92/707695292.db2.gz HEJRCHSEQBNWFV-BLVKFPJESA-N -1 1 314.432 1.944 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCc2ccns2)c1 ZINC000882562993 707698929 /nfs/dbraw/zinc/69/89/29/707698929.db2.gz QHMNVUQZQDZJGM-UHFFFAOYSA-N -1 1 316.360 1.044 20 0 DDADMM COC[C@H](CCNC(=O)NCc1ccc([O-])c(Cl)c1)OC ZINC000873061975 707714493 /nfs/dbraw/zinc/71/44/93/707714493.db2.gz MEHFDNNYGNYQOZ-NSHDSACASA-N -1 1 316.785 1.896 20 0 DDADMM CC(C)C[C@@H](CNC(=O)Cc1n[nH]c2c1CCCC2)C(=O)[O-] ZINC000909288166 712982700 /nfs/dbraw/zinc/98/27/00/712982700.db2.gz GZCZSFTYYGPRLH-NSHDSACASA-N -1 1 307.394 1.694 20 0 DDADMM Cn1cnnc1S(=O)(=O)[N-][C@@H]1c2ccccc2CC[C@H]1F ZINC000882808451 707805359 /nfs/dbraw/zinc/80/53/59/707805359.db2.gz RMLNVJUSLLLDKX-VXGBXAGGSA-N -1 1 310.354 1.119 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C1CC1 ZINC000896774577 708147893 /nfs/dbraw/zinc/14/78/93/708147893.db2.gz RSHVSBKKXCKYLI-AWEZNQCLSA-N -1 1 315.325 1.932 20 0 DDADMM COCc1nc(NCc2cnn(CC3CCC3)c2)cc(=O)[n-]1 ZINC000896779113 708149391 /nfs/dbraw/zinc/14/93/91/708149391.db2.gz NNBQETHYLVGMKU-UHFFFAOYSA-N -1 1 303.366 1.937 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCc3cc(F)c(F)cc32)C1 ZINC000909403486 713009393 /nfs/dbraw/zinc/00/93/93/713009393.db2.gz RUWCGYVPJRIOOO-NSHDSACASA-N -1 1 324.327 1.651 20 0 DDADMM CC[C@H]1CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCS1 ZINC000909409979 713011170 /nfs/dbraw/zinc/01/11/70/713011170.db2.gz DHGWBDMNYDCAFA-NEPJUHHUSA-N -1 1 300.424 1.137 20 0 DDADMM O=C(C[C@H]1CCC2(CCC2)CO1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000897161292 708241761 /nfs/dbraw/zinc/24/17/61/708241761.db2.gz DAXFNIOUAIUPJB-CHWSQXEVSA-N -1 1 319.409 1.645 20 0 DDADMM O=c1[n-]c(CNc2nccc3cccnc32)nc2c1COCC2 ZINC000884575449 708331680 /nfs/dbraw/zinc/33/16/80/708331680.db2.gz KKGUPGHYLAKBRP-UHFFFAOYSA-N -1 1 309.329 1.810 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)Cc1ccccc1)c1ccns1 ZINC000885166620 708484097 /nfs/dbraw/zinc/48/40/97/708484097.db2.gz LIWZVONIKDYXOX-LBPRGKRZSA-N -1 1 312.416 1.415 20 0 DDADMM CC[C@@H]1CCC[C@@H]([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)C1 ZINC000912542425 713033258 /nfs/dbraw/zinc/03/32/58/713033258.db2.gz NHLBRQZDYQJBSU-NXEZZACHSA-N -1 1 315.395 1.443 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccns1)[C@](C)(O)c1ccccc1 ZINC000885272152 708507140 /nfs/dbraw/zinc/50/71/40/708507140.db2.gz FPTBAUVRVQXRLS-MFKMUULPSA-N -1 1 312.416 1.718 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000885622099 708586161 /nfs/dbraw/zinc/58/61/61/708586161.db2.gz YBZMQZSVOPMQHY-XFWSIPNHSA-N -1 1 302.346 1.930 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)[C@H]1CCS(=O)(=O)C1 ZINC000885674353 708594640 /nfs/dbraw/zinc/59/46/40/708594640.db2.gz MFQGPMGQCIGYOA-LURJTMIESA-N -1 1 307.730 1.558 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000885774237 708625006 /nfs/dbraw/zinc/62/50/06/708625006.db2.gz JQYNAWLAVAHAAY-HTQZYQBOSA-N -1 1 305.302 1.052 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000886263175 708731755 /nfs/dbraw/zinc/73/17/55/708731755.db2.gz NOFFAWUFZBVIFF-SECBINFHSA-N -1 1 324.327 1.340 20 0 DDADMM CCn1cc(CC(=O)NCCc2c(F)cc([O-])cc2F)nn1 ZINC000886271496 708733870 /nfs/dbraw/zinc/73/38/70/708733870.db2.gz KSKPCJSTJJQWKE-UHFFFAOYSA-N -1 1 310.304 1.183 20 0 DDADMM C[C@@H](O)CCN(C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927786650 713055780 /nfs/dbraw/zinc/05/57/80/713055780.db2.gz XYLDTRUDVJJXAV-SECBINFHSA-N -1 1 302.321 1.625 20 0 DDADMM Cn1nnc2c1C[C@H](NC(=O)c1ncc3ccccc3c1[O-])CC2 ZINC000886742268 708832272 /nfs/dbraw/zinc/83/22/72/708832272.db2.gz WKKJOBGEEMFSEG-LLVKDONJSA-N -1 1 323.356 1.356 20 0 DDADMM C[C@H]1C(=O)N([N-]C(=O)c2cc3c(s2)CC[C@@H](C)C3)C(=O)N1C ZINC000899026944 708957566 /nfs/dbraw/zinc/95/75/66/708957566.db2.gz TVCJRMSEABLLRX-BDAKNGLRSA-N -1 1 321.402 1.800 20 0 DDADMM O=C(CC(F)(F)C(F)F)[N-]N1C(=O)c2ccccc2C1=O ZINC000887608484 709081724 /nfs/dbraw/zinc/08/17/24/709081724.db2.gz DMKHYHHUWWQIAT-UHFFFAOYSA-N -1 1 304.199 1.604 20 0 DDADMM C[C@@H]1C[C@@]2(CC[C@H](CNC(=O)c3ccc([O-])cc3F)O2)CO1 ZINC000899481800 709094804 /nfs/dbraw/zinc/09/48/04/709094804.db2.gz XUQUBVDKMAQCMR-SIVJFFJCSA-N -1 1 309.337 1.988 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCOC[C@H]1C[C@H]1CCCO1 ZINC000887845268 709141842 /nfs/dbraw/zinc/14/18/42/709141842.db2.gz WRZWJROJULEVMO-CHWSQXEVSA-N -1 1 309.337 1.941 20 0 DDADMM CCNC(=O)CN(CC)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000888688655 709362569 /nfs/dbraw/zinc/36/25/69/709362569.db2.gz LSPPUIASXIQJPS-UHFFFAOYSA-N -1 1 308.382 1.212 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC(N2CCCC2=O)C1 ZINC000888757278 709374072 /nfs/dbraw/zinc/37/40/72/709374072.db2.gz PBFVFFBGXIZOAC-UHFFFAOYSA-N -1 1 318.377 1.061 20 0 DDADMM O=C([O-])C[C@H]1CCCCN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000909500577 709491295 /nfs/dbraw/zinc/49/12/95/709491295.db2.gz WUMIBVVFGRLGBY-SSDOTTSWSA-N -1 1 305.256 1.898 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cccnc1)c1nn[n-]n1 ZINC000912859702 713109465 /nfs/dbraw/zinc/10/94/65/713109465.db2.gz DPULBNRHAIQIBK-ZWNMCFTASA-N -1 1 304.379 1.219 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC2Cc3ccccc3C2)C1 ZINC000909570822 709520512 /nfs/dbraw/zinc/52/05/12/709520512.db2.gz HTYWGBBDGZDBJN-CQSZACIVSA-N -1 1 302.374 1.067 20 0 DDADMM CN(C)Cc1csc(NC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC000909574651 709522296 /nfs/dbraw/zinc/52/22/96/709522296.db2.gz YSYSZTXTWOFTGE-UHFFFAOYSA-N -1 1 319.390 1.830 20 0 DDADMM C[C@@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)Cc1cccs1 ZINC000909584667 709527918 /nfs/dbraw/zinc/52/79/18/709527918.db2.gz IKOMKNSTOXHEGN-OLZOCXBDSA-N -1 1 324.446 1.840 20 0 DDADMM CCCCC1(NC(=O)c2coc(S(=O)(=O)[N-]C)c2)CC1 ZINC000889530509 709534918 /nfs/dbraw/zinc/53/49/18/709534918.db2.gz VKCSEPOKVSOQAA-UHFFFAOYSA-N -1 1 300.380 1.640 20 0 DDADMM CSCC[C@H](NC(=O)c1ccnc(F)c1F)c1nn[n-]n1 ZINC000912862135 713110626 /nfs/dbraw/zinc/11/06/26/713110626.db2.gz JIUIUTUAZDKROO-ZETCQYMHSA-N -1 1 314.321 1.097 20 0 DDADMM CSCC[C@H](NC(=O)C(C)(C)C(C)(F)F)c1nn[n-]n1 ZINC000912863259 713111680 /nfs/dbraw/zinc/11/16/80/713111680.db2.gz QRHLBDQLXHNCFC-ZETCQYMHSA-N -1 1 307.370 1.792 20 0 DDADMM C[C@@H]1CCSCCN1C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000909730605 709598659 /nfs/dbraw/zinc/59/86/59/709598659.db2.gz UQPBZQUBKWKONG-VXGBXAGGSA-N -1 1 300.424 1.137 20 0 DDADMM C[C@@H]1CCSCCN1C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909730605 709598663 /nfs/dbraw/zinc/59/86/63/709598663.db2.gz UQPBZQUBKWKONG-VXGBXAGGSA-N -1 1 300.424 1.137 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N(CC(=O)[O-])C(C)C ZINC000900459593 709604649 /nfs/dbraw/zinc/60/46/49/709604649.db2.gz ZTVRZLSEPUUJBS-CYBMUJFWSA-N -1 1 308.378 1.797 20 0 DDADMM C[C@@H](NC(=O)[C@@H]1CCCCN1C)c1ccc(OCC(=O)[O-])cc1 ZINC000909796730 709626122 /nfs/dbraw/zinc/62/61/22/709626122.db2.gz NLTMLONOGIWAIU-DOMZBBRYSA-N -1 1 320.389 1.812 20 0 DDADMM COC[C@H](C)[C@H](C)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889786663 709635400 /nfs/dbraw/zinc/63/54/00/709635400.db2.gz NEDFFAWKHQCZMD-SDDRHHMPSA-N -1 1 323.393 1.773 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CCC2(O)CCC2)[n-]c1=O ZINC000889788252 709635954 /nfs/dbraw/zinc/63/59/54/709635954.db2.gz ZGQWQBBUBHDFEZ-LLVKDONJSA-N -1 1 321.377 1.550 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H](O)C2CCC2)[n-]c1=O ZINC000889788212 709636244 /nfs/dbraw/zinc/63/62/44/709636244.db2.gz YGAWKFFYVRAJPL-CMPLNLGQSA-N -1 1 307.350 1.015 20 0 DDADMM CCn1ccnc1C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889789862 709637093 /nfs/dbraw/zinc/63/70/93/709637093.db2.gz KQEAXYOTSKPLKW-SNVBAGLBSA-N -1 1 317.349 1.385 20 0 DDADMM COc1cccc([C@@](C)(CC(=O)[O-])NC(=O)CN2CCCC2)c1 ZINC000909817378 709637225 /nfs/dbraw/zinc/63/72/25/709637225.db2.gz FUUGBQVMPUOPNE-QGZVFWFLSA-N -1 1 320.389 1.597 20 0 DDADMM O=C(NCCCN1CCCCC1=O)c1cnc(C2CC2)[n-]c1=O ZINC000900601515 709671510 /nfs/dbraw/zinc/67/15/10/709671510.db2.gz GTSIEERFPLXIOG-UHFFFAOYSA-N -1 1 318.377 1.192 20 0 DDADMM CCN(CC(=O)N1CC[C@H](C(=O)[O-])[C@H]2CCCC[C@@H]21)C1CC1 ZINC000909962568 709709877 /nfs/dbraw/zinc/70/98/77/709709877.db2.gz PANGADQPVCIJSA-ILXRZTDVSA-N -1 1 308.422 1.963 20 0 DDADMM CC(C)N1CCC[C@H]1C(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1 ZINC000909966976 709712030 /nfs/dbraw/zinc/71/20/30/709712030.db2.gz XSCRTFKOTVTGMO-NSHDSACASA-N -1 1 308.382 1.514 20 0 DDADMM CO[C@H]1CCN(C(=O)c2ccc3cncn3c2)[C@H](C(=O)[O-])C1 ZINC000909982039 709717316 /nfs/dbraw/zinc/71/73/16/709717316.db2.gz CSNHQMMXFMXKLN-STQMWFEESA-N -1 1 303.318 1.039 20 0 DDADMM CO[C@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)[C@@H](C(=O)[O-])C1 ZINC000909984524 709720038 /nfs/dbraw/zinc/72/00/38/709720038.db2.gz PDORMBLONICWCQ-POYBYMJQSA-N -1 1 321.255 1.133 20 0 DDADMM CN(CC(=O)N[C@@H](C(=O)[O-])C1CCCCC1)[C@@H]1CCSC1 ZINC000910088766 709767268 /nfs/dbraw/zinc/76/72/68/709767268.db2.gz CSLJSXDVAQZVRH-TZMCWYRMSA-N -1 1 314.451 1.573 20 0 DDADMM Cc1nn[nH]c1C(=O)N1CCC[C@]1(Cc1ccccc1)C(=O)[O-] ZINC000910099393 709772029 /nfs/dbraw/zinc/77/20/29/709772029.db2.gz DVPWEWOJWPQHFV-INIZCTEOSA-N -1 1 314.345 1.415 20 0 DDADMM CSC1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCOCC1 ZINC000900845636 709788271 /nfs/dbraw/zinc/78/82/71/709788271.db2.gz LVSSGSPMKYJHOM-UHFFFAOYSA-N -1 1 323.418 1.702 20 0 DDADMM Cc1ccn([C@H](C)CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)n1 ZINC000910169667 709796253 /nfs/dbraw/zinc/79/62/53/709796253.db2.gz GDIIWFGMCRSZEG-ZIAGYGMSSA-N -1 1 322.409 1.150 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)cn1 ZINC000910178047 709798981 /nfs/dbraw/zinc/79/89/81/709798981.db2.gz RTNLSIQZXPCECF-UHFFFAOYSA-N -1 1 319.405 1.826 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2coc(C3CC3)n2)CC1 ZINC000910178896 709799745 /nfs/dbraw/zinc/79/97/45/709799745.db2.gz QJYJAWNLQQDTAR-UHFFFAOYSA-N -1 1 307.350 1.173 20 0 DDADMM COc1cncc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1C ZINC000910178725 709800012 /nfs/dbraw/zinc/80/00/12/709800012.db2.gz DDJKGYWVLWMBHF-UHFFFAOYSA-N -1 1 321.377 1.020 20 0 DDADMM CCN(C)[C@@H](C(=O)N1C[C@@H](C)O[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000910228028 709826720 /nfs/dbraw/zinc/82/67/20/709826720.db2.gz LGWAPCRAYPLXMZ-VHDGCEQUSA-N -1 1 320.389 1.380 20 0 DDADMM CO[C@H]1CN(C(=O)c2cccc3[nH]c(C)nc32)[C@@](C)(C(=O)[O-])C1 ZINC000910269541 709854022 /nfs/dbraw/zinc/85/40/22/709854022.db2.gz ZTUSMQXPBHZXEN-QLJPJBMISA-N -1 1 317.345 1.576 20 0 DDADMM C[C@@]1(C2CCN(C(=O)c3cncc([O-])c3)CC2)COC(=O)N1 ZINC000928158618 713141398 /nfs/dbraw/zinc/14/13/98/713141398.db2.gz GOHKIAWPKGEEIL-HNNXBMFYSA-N -1 1 305.334 1.138 20 0 DDADMM C[C@H](CCNC(=O)c1c([O-])cnc2c(F)cccc21)[S@@](C)=O ZINC000901111931 709930578 /nfs/dbraw/zinc/93/05/78/709930578.db2.gz ZVRABNOYFJYSIT-PVBMHHQDSA-N -1 1 324.377 1.966 20 0 DDADMM CC1CCN(CC(=O)NC[C@@H](Oc2ccccc2)C(=O)[O-])CC1 ZINC000910485242 709967828 /nfs/dbraw/zinc/96/78/28/709967828.db2.gz NDOQCGORXSBERA-OAHLLOKOSA-N -1 1 320.389 1.367 20 0 DDADMM O=C(NC[C@@H]1COC2(CCCC2)O1)c1cnc(C2CC2)[n-]c1=O ZINC000901317795 710008154 /nfs/dbraw/zinc/00/81/54/710008154.db2.gz JSPORXIKNYWHAX-LLVKDONJSA-N -1 1 319.361 1.475 20 0 DDADMM CC[C@H](O)[C@H](C)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000901319342 710008749 /nfs/dbraw/zinc/00/87/49/710008749.db2.gz FMSGLZLAGBMPAW-UFBFGSQYSA-N -1 1 318.370 1.543 20 0 DDADMM CC(C)[C@@H](O)C1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000901329769 710011800 /nfs/dbraw/zinc/01/18/00/710011800.db2.gz IDUPMEXLXLZSFT-GFCCVEGCSA-N -1 1 305.378 1.587 20 0 DDADMM CCCC(=O)NC[C@H]1CCCN(Cc2cccc(C(=O)[O-])n2)C1 ZINC000901427552 710036760 /nfs/dbraw/zinc/03/67/60/710036760.db2.gz AEKBPGVWIJXNRY-CYBMUJFWSA-N -1 1 319.405 1.908 20 0 DDADMM CC[C@@H](C)N(CC(=O)[O-])C(=O)CN(C)CCc1ccccc1 ZINC000901476160 710049898 /nfs/dbraw/zinc/04/98/98/710049898.db2.gz FCUQOFVQOLLWBD-CQSZACIVSA-N -1 1 306.406 1.873 20 0 DDADMM CCCN(C)CC(=O)Nc1ccc(C(=O)[O-])cc1OCCOC ZINC000910695033 710050966 /nfs/dbraw/zinc/05/09/66/710050966.db2.gz AXSRYUDKWQWENY-UHFFFAOYSA-N -1 1 324.377 1.690 20 0 DDADMM COc1cc(CN2CC[C@](OC)(C(=O)[O-])C2)cc2c1OCO2 ZINC000901542042 710070383 /nfs/dbraw/zinc/07/03/83/710070383.db2.gz MCKGZXQKJKFMCQ-OAHLLOKOSA-N -1 1 309.318 1.099 20 0 DDADMM C[C@H](Cc1ccsc1)N(C)CC(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000901625188 710100721 /nfs/dbraw/zinc/10/07/21/710100721.db2.gz CGUCUIHHTSQRLQ-OCCSQVGLSA-N -1 1 324.446 1.934 20 0 DDADMM CCCCC(=O)CSc1nc([O-])cc(=O)n1CCOC ZINC000901655665 710110302 /nfs/dbraw/zinc/11/03/02/710110302.db2.gz UWJBRVQLXBDDIR-UHFFFAOYSA-N -1 1 300.380 1.447 20 0 DDADMM CC(=O)c1cc([N-]S(=O)(=O)N=S(C)(C)=O)ccc1F ZINC000901665108 710114007 /nfs/dbraw/zinc/11/40/07/710114007.db2.gz FOBZREVZZAMLSF-UHFFFAOYSA-N -1 1 308.356 1.413 20 0 DDADMM CC(C)(CNc1ccc(OCC(=O)[O-])cc1)N1CCOCC1 ZINC000901716978 710130946 /nfs/dbraw/zinc/13/09/46/710130946.db2.gz MKMNPZNQLFXVLL-UHFFFAOYSA-N -1 1 308.378 1.673 20 0 DDADMM CCOc1ccc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])cc1OC ZINC000901743010 710137774 /nfs/dbraw/zinc/13/77/74/710137774.db2.gz ZHTOJFQUEDCQEP-QWRGUYRKSA-N -1 1 324.377 1.161 20 0 DDADMM O=C(CCCn1cccn1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891623175 710251346 /nfs/dbraw/zinc/25/13/46/710251346.db2.gz IQIVTDXCMATOAE-UHFFFAOYSA-N -1 1 301.350 1.676 20 0 DDADMM CO[N-]C(=O)CNCc1nc2cc(Br)ccc2o1 ZINC000922828427 714161163 /nfs/dbraw/zinc/16/11/63/714161163.db2.gz VQZSTPFEOMOPEZ-UHFFFAOYSA-N -1 1 314.139 1.358 20 0 DDADMM COCc1nc(NC[C@@H](O)COc2ccc(F)cc2)cc(=O)[n-]1 ZINC000891983538 710345939 /nfs/dbraw/zinc/34/59/39/710345939.db2.gz ZYUXHAVYAUWWIH-LLVKDONJSA-N -1 1 323.324 1.320 20 0 DDADMM COCc1nc(N2CCc3ccc(O)cc3CC2)cc(=O)[n-]1 ZINC000892273707 710408105 /nfs/dbraw/zinc/40/81/05/710408105.db2.gz OXSASNCIHBBIQS-UHFFFAOYSA-N -1 1 301.346 1.639 20 0 DDADMM C[C@@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000902029319 710587912 /nfs/dbraw/zinc/58/79/12/710587912.db2.gz KHTQEMZSXFCJBD-PZWNZHSQSA-N -1 1 305.378 1.765 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000902162841 710641656 /nfs/dbraw/zinc/64/16/56/710641656.db2.gz WCFYXKHGQCEYNE-BIAAXOCRSA-N -1 1 305.378 1.645 20 0 DDADMM C[C@H](NCc1ccoc1C(=O)[O-])C(=O)NCc1ccccc1 ZINC000902176577 710649612 /nfs/dbraw/zinc/64/96/12/710649612.db2.gz MLIPIYUZVSPNNZ-NSHDSACASA-N -1 1 302.330 1.772 20 0 DDADMM C[C@H](C(=O)N[C@@H](CC(=O)[O-])C(F)(F)F)N1CCCCCC1 ZINC000911156419 710660219 /nfs/dbraw/zinc/66/02/19/710660219.db2.gz GTBRXOPANIJUJL-ZJUUUORDSA-N -1 1 310.316 1.773 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)N[C@@H](CC(=O)[O-])C(F)(F)F ZINC000911160862 710662302 /nfs/dbraw/zinc/66/23/02/710662302.db2.gz JHDOYMRCJDNDOG-ZETCQYMHSA-N -1 1 307.272 1.977 20 0 DDADMM O=C([O-])C1CCC(CC(=O)N2CCN(CC3CC3)CC2)CC1 ZINC000911220852 710693644 /nfs/dbraw/zinc/69/36/44/710693644.db2.gz IRZXWOGJHIJBOQ-UHFFFAOYSA-N -1 1 308.422 1.822 20 0 DDADMM CCn1nncc1CNCc1ccc(O[C@H](C)C(=O)[O-])cc1 ZINC000902296904 710702755 /nfs/dbraw/zinc/70/27/55/710702755.db2.gz LXEKPLIJHPJQEY-LLVKDONJSA-N -1 1 304.350 1.440 20 0 DDADMM Cc1ccc(SC[C@@H](C)NCc2cn(CC(=O)[O-])nn2)cc1 ZINC000902333565 710720313 /nfs/dbraw/zinc/72/03/13/710720313.db2.gz VMUFPBVDLHZOGZ-GFCCVEGCSA-N -1 1 320.418 1.942 20 0 DDADMM O=C([O-])/C=C/c1ccc(CN[C@@H]2CC(=O)N(CC3CC3)C2)o1 ZINC000902341994 710722710 /nfs/dbraw/zinc/72/27/10/710722710.db2.gz DZKBGNRNLMMYQZ-BTDICHCPSA-N -1 1 304.346 1.478 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@@H]3CCCC[C@@H]3C(=O)[O-])C2)n[nH]1 ZINC000911325463 710742470 /nfs/dbraw/zinc/74/24/70/710742470.db2.gz NNDOSZHIZUAZEX-XQQFMLRXSA-N -1 1 320.393 1.710 20 0 DDADMM CC(C)[C@H]1CN(C(=O)C2(C(=O)[O-])CCSCC2)CCN1C ZINC000902488616 710768706 /nfs/dbraw/zinc/76/87/06/710768706.db2.gz JSRWDIVCLFDKNM-GFCCVEGCSA-N -1 1 314.451 1.383 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)/C=C\c1cc(C(=O)[O-])co1 ZINC000911409655 710786470 /nfs/dbraw/zinc/78/64/70/710786470.db2.gz MPZDNSFKGPHPAS-WSNITJDQSA-N -1 1 306.362 1.790 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCC[C@H](O)c2ccccc2)C1 ZINC000911432558 710796405 /nfs/dbraw/zinc/79/64/05/710796405.db2.gz LCCQGHHIRKDGKR-CABCVRRESA-N -1 1 320.389 1.023 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@@H]1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913436801 713215267 /nfs/dbraw/zinc/21/52/67/713215267.db2.gz HJJLCEFDUWIGFW-GWNIPJSYSA-N -1 1 301.350 1.076 20 0 DDADMM O=C(N[C@H](c1nnn[n-]1)c1ccccc1)[C@@H]1CCCN1C1CC1 ZINC000913439144 713216519 /nfs/dbraw/zinc/21/65/19/713216519.db2.gz ZQBNOWQIMFCCCQ-KBPBESRZSA-N -1 1 312.377 1.032 20 0 DDADMM CSc1n[nH]c([N-]S(=O)(=O)CCc2cccc(F)c2)n1 ZINC000903598556 711217856 /nfs/dbraw/zinc/21/78/56/711217856.db2.gz CDFSTODZABSTSK-UHFFFAOYSA-N -1 1 316.383 1.650 20 0 DDADMM O=C(CC/C=C\c1ccccc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494941 713237309 /nfs/dbraw/zinc/23/73/09/713237309.db2.gz DMNJRNURFWSSKQ-VCDPDADVSA-N -1 1 313.361 1.593 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnc(F)c(F)c1)[C@@H]1CCOC1 ZINC000903673208 711242128 /nfs/dbraw/zinc/24/21/28/711242128.db2.gz HHVJVOGBJIQLAZ-KCJUWKMLSA-N -1 1 322.333 1.153 20 0 DDADMM Cc1cc(C)nc(CN2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)c1 ZINC000903904053 711323890 /nfs/dbraw/zinc/32/38/90/711323890.db2.gz HGJCQXGGKHKIFV-AWEZNQCLSA-N -1 1 315.377 1.825 20 0 DDADMM Cc1cc(C)nc(CN2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)c1 ZINC000903904059 711324008 /nfs/dbraw/zinc/32/40/08/711324008.db2.gz HGJCQXGGKHKIFV-CQSZACIVSA-N -1 1 315.377 1.825 20 0 DDADMM CCOc1cc(F)cc(CNCc2cnn(C)c2C(=O)[O-])c1 ZINC000904067333 711373757 /nfs/dbraw/zinc/37/37/57/711373757.db2.gz ACJLZLWLAJMGJD-UHFFFAOYSA-N -1 1 307.325 1.946 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2cccnc2Cl)n1 ZINC000895235141 711459999 /nfs/dbraw/zinc/45/99/99/711459999.db2.gz LNCLFEKEVIAQQQ-QMMMGPOBSA-N -1 1 309.757 1.881 20 0 DDADMM [O-]c1cc(Br)cnc1CN1CCc2n[nH]nc2C1 ZINC000895474651 711521458 /nfs/dbraw/zinc/52/14/58/711521458.db2.gz XQFBIYAGXPDNBO-UHFFFAOYSA-N -1 1 310.155 1.226 20 0 DDADMM COCc1nc(N2CCC[C@H]([C@]3(C)COC(=O)N3)C2)cc(=O)[n-]1 ZINC000895530700 711535083 /nfs/dbraw/zinc/53/50/83/711535083.db2.gz JUOQAFVPEWCRHH-BONVTDFDSA-N -1 1 322.365 1.044 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1nc(C(F)(F)F)cs1 ZINC000895695712 711579569 /nfs/dbraw/zinc/57/95/69/711579569.db2.gz DBZAPLIGHVBKQT-UHFFFAOYSA-N -1 1 306.273 1.636 20 0 DDADMM CC(C)(CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CC1 ZINC000896265791 711698711 /nfs/dbraw/zinc/69/87/11/711698711.db2.gz PZHPCWWCXUNTKY-UHFFFAOYSA-N -1 1 320.393 1.490 20 0 DDADMM C[C@@H](NC(=O)c1cc(F)c([O-])c(F)c1)[C@H]1CN(C)CCN1C ZINC000896558144 711751658 /nfs/dbraw/zinc/75/16/58/711751658.db2.gz YLNDQNALZAULJD-NOZJJQNGSA-N -1 1 313.348 1.035 20 0 DDADMM CCN(CCC(N)=O)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000928823785 713486303 /nfs/dbraw/zinc/48/63/03/713486303.db2.gz KVFKFZFINHUKSX-UHFFFAOYSA-N -1 1 300.742 1.392 20 0 DDADMM O=C(c1c[nH]c2cccc(F)c12)N1CCC(c2nn[n-]n2)CC1 ZINC000913744393 713292772 /nfs/dbraw/zinc/29/27/72/713292772.db2.gz MJEXVUNZEYNUAF-UHFFFAOYSA-N -1 1 314.324 1.840 20 0 DDADMM O=C([C@@H]1[C@@H]2Cc3ccccc3[C@@H]21)N1CCC(c2nn[n-]n2)CC1 ZINC000913746163 713294001 /nfs/dbraw/zinc/29/40/01/713294001.db2.gz VJSQZXCIOSXFBT-QLFBSQMISA-N -1 1 309.373 1.492 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@@H]2CSC[C@@H]2C1 ZINC000913759558 713296646 /nfs/dbraw/zinc/29/66/46/713296646.db2.gz QCIWDIGRJXTMRV-MNOVXSKESA-N -1 1 305.403 1.885 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@H]1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000913780327 713299601 /nfs/dbraw/zinc/29/96/01/713299601.db2.gz UEVNLLFBVQGOMK-VWMGYNLJSA-N -1 1 322.327 1.327 20 0 DDADMM Cc1cc(CN2CC[C@H](c3ncc(C(=O)[O-])s3)C2)n(C)n1 ZINC000905747839 712138029 /nfs/dbraw/zinc/13/80/29/712138029.db2.gz CITLXESHZFLALM-JTQLQIEISA-N -1 1 306.391 1.873 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000905948559 712197569 /nfs/dbraw/zinc/19/75/69/712197569.db2.gz MHMTZRNZEBFSOF-WAPUPBOZSA-N -1 1 301.346 1.423 20 0 DDADMM COC(=O)CC(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000906991294 712457976 /nfs/dbraw/zinc/45/79/76/712457976.db2.gz LZBHIQISWZUIEU-UHFFFAOYSA-N -1 1 305.330 1.377 20 0 DDADMM CCn1cc(Cl)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000907103843 712488133 /nfs/dbraw/zinc/48/81/33/712488133.db2.gz IKPXZPMAXRISLV-SECBINFHSA-N -1 1 308.773 1.694 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cn(C)nc1C1CCCC1)c1nn[n-]n1 ZINC000907348702 712549421 /nfs/dbraw/zinc/54/94/21/712549421.db2.gz BWXZNEORDFSBBC-JTQLQIEISA-N -1 1 317.397 1.467 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CC[C@@H](C3CC3)O2)sc1C ZINC000907466657 712579006 /nfs/dbraw/zinc/57/90/06/712579006.db2.gz DICQCTZVIORIOU-NEPJUHHUSA-N -1 1 316.448 1.996 20 0 DDADMM Cc1ccc(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1C ZINC000907473740 712581510 /nfs/dbraw/zinc/58/15/10/712581510.db2.gz DSTCQTHDLNVDSE-CYBMUJFWSA-N -1 1 317.418 1.676 20 0 DDADMM Cc1scc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1C ZINC000907476174 712582233 /nfs/dbraw/zinc/58/22/33/712582233.db2.gz CAHHPFFIORKILC-SNVBAGLBSA-N -1 1 309.420 1.808 20 0 DDADMM Cc1cnc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c(C)c1 ZINC000907479071 712583202 /nfs/dbraw/zinc/58/32/02/712583202.db2.gz IRTIKGYUOCICCQ-SNVBAGLBSA-N -1 1 304.379 1.142 20 0 DDADMM O=C(CCCC(F)(F)F)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479692 712583245 /nfs/dbraw/zinc/58/32/45/712583245.db2.gz LWJWHUSWYGNAMJ-ZETCQYMHSA-N -1 1 309.317 1.549 20 0 DDADMM CC(C)(C)C[C@@H]1C[C@H]1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480413 712583574 /nfs/dbraw/zinc/58/35/74/712583574.db2.gz OZMRUPMRSBSKOG-AXFHLTTASA-N -1 1 309.439 1.889 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1cc(Cl)ccc1F ZINC000907764907 712623811 /nfs/dbraw/zinc/62/38/11/712623811.db2.gz ZQKVCIMCQKBIBR-FUTFKJLYSA-N -1 1 317.769 1.933 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1cc(F)c(F)cc1F ZINC000907766869 712624052 /nfs/dbraw/zinc/62/40/52/712624052.db2.gz JCZUAADKFXELPT-WNQCHHSDSA-N -1 1 319.304 1.558 20 0 DDADMM NC(=O)CC1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000907911552 712647010 /nfs/dbraw/zinc/64/70/10/712647010.db2.gz FQOQREALRSIYIH-UHFFFAOYSA-N -1 1 303.293 1.027 20 0 DDADMM C[C@@H]1CSCCCN1C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908121707 712693092 /nfs/dbraw/zinc/69/30/92/712693092.db2.gz DSMGSSRKJMAKRZ-NEPJUHHUSA-N -1 1 300.424 1.137 20 0 DDADMM Cc1ncc(C(=O)N2CCOc3cc(C(=O)[O-])ccc3C2)[nH]1 ZINC000908147280 712698040 /nfs/dbraw/zinc/69/80/40/712698040.db2.gz CSJHOTRFAOGWFU-UHFFFAOYSA-N -1 1 301.302 1.451 20 0 DDADMM CC(=O)c1cc(NC(=O)N[C@H](CN(C)C)C(=O)[O-])ccc1C ZINC000908630401 712826240 /nfs/dbraw/zinc/82/62/40/712826240.db2.gz ZUBSAEGEURCPEZ-CYBMUJFWSA-N -1 1 307.350 1.334 20 0 DDADMM CCn1ccnc1[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000908670011 712832505 /nfs/dbraw/zinc/83/25/05/712832505.db2.gz UNCNWZQMHZDLEX-VIFPVBQESA-N -1 1 301.350 1.767 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CCCc3cc(F)ccc32)C1 ZINC000908721173 712841065 /nfs/dbraw/zinc/84/10/65/712841065.db2.gz USVVOMAJANCZIQ-CYBMUJFWSA-N -1 1 320.364 1.902 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCSC(F)(F)F)C1 ZINC000908728879 712842477 /nfs/dbraw/zinc/84/24/77/712842477.db2.gz CXLVLHCXTRJVDL-MRVPVSSYSA-N -1 1 314.329 1.152 20 0 DDADMM C[C@H]1CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)c2ccccc21 ZINC000908737718 712844866 /nfs/dbraw/zinc/84/48/66/712844866.db2.gz NJFRTKXVEQGVFJ-QWHCGFSZSA-N -1 1 302.374 1.933 20 0 DDADMM CN(C)[C@H](CNC(=O)N[C@H](CCF)C(=O)[O-])c1cccs1 ZINC000908745621 712846436 /nfs/dbraw/zinc/84/64/36/712846436.db2.gz DCVBLMWUDSCRKF-NXEZZACHSA-N -1 1 317.386 1.463 20 0 DDADMM CN(C)[C@@H](CNC(=O)N(C)C(C)(C)C(=O)[O-])c1cccs1 ZINC000908747524 712846691 /nfs/dbraw/zinc/84/66/91/712846691.db2.gz SLGYCBDRGNXDHN-JTQLQIEISA-N -1 1 313.423 1.855 20 0 DDADMM CN(C(=O)N[C@H]1CCCN(C)C1)[C@H](C(=O)[O-])c1ccccc1 ZINC000908871426 712875019 /nfs/dbraw/zinc/87/50/19/712875019.db2.gz RLEKBKNLDNNZGJ-KBPBESRZSA-N -1 1 305.378 1.548 20 0 DDADMM CN1C[C@H](C(=O)OCc2cc(=O)oc3cc([O-])ccc23)CC1=O ZINC000914920682 713404061 /nfs/dbraw/zinc/40/40/61/713404061.db2.gz CGNIBIUDPIEZIZ-SECBINFHSA-N -1 1 317.297 1.020 20 0 DDADMM COc1ccccc1Cc1nnc(Sc2c(C)[nH][n-]c2=O)o1 ZINC000916873871 713471626 /nfs/dbraw/zinc/47/16/26/713471626.db2.gz IUPABMMQNDVUEZ-GFCCVEGCSA-N -1 1 318.358 1.635 20 0 DDADMM C[C@H](CSc1nnc(CO)n1C)C(=O)c1ccc([O-])cc1 ZINC000917338805 713493317 /nfs/dbraw/zinc/49/33/17/713493317.db2.gz HAPFHUJYEUCRJH-SECBINFHSA-N -1 1 307.375 1.624 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)Cc1cc(C)no1 ZINC000918055612 713526891 /nfs/dbraw/zinc/52/68/91/713526891.db2.gz KSCMDEXPUKLBFY-LBPRGKRZSA-N -1 1 318.395 1.334 20 0 DDADMM CCc1cc(CNC(=O)NCCc2c(F)cc([O-])cc2F)[nH]n1 ZINC000919571434 713616128 /nfs/dbraw/zinc/61/61/28/713616128.db2.gz VCFBUOVMPDQQEN-UHFFFAOYSA-N -1 1 324.331 1.998 20 0 DDADMM CCc1cc(CNC(=O)NCCc2c(F)cc([O-])cc2F)n[nH]1 ZINC000919571434 713616129 /nfs/dbraw/zinc/61/61/29/713616129.db2.gz VCFBUOVMPDQQEN-UHFFFAOYSA-N -1 1 324.331 1.998 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NN1CCCCC1)c2=O ZINC000920426870 713668533 /nfs/dbraw/zinc/66/85/33/713668533.db2.gz CZKLCUSXXBKDAK-UHFFFAOYSA-N -1 1 301.346 1.667 20 0 DDADMM CCO[N-]C(=O)c1c[nH]c2ccc(Br)cc2c1=O ZINC000921058749 713711109 /nfs/dbraw/zinc/71/11/09/713711109.db2.gz JBDQDTQPQVVZIV-UHFFFAOYSA-N -1 1 311.135 1.972 20 0 DDADMM COC(=O)/C(C)=C/C[N-]S(=O)(=O)c1sccc1Cl ZINC000921220889 713723093 /nfs/dbraw/zinc/72/30/93/713723093.db2.gz MNTISKSUCXNNEV-XVNBXDOJSA-N -1 1 309.796 1.799 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@@H]1c2ccccc2OC[C@@H]1F)OC ZINC000921317746 713731890 /nfs/dbraw/zinc/73/18/90/713731890.db2.gz HSIZWURMRAFGAA-SCDSUCTJSA-N -1 1 317.382 1.803 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-][C@@H]1c2ccccc2OC[C@@H]1F ZINC000921319860 713732980 /nfs/dbraw/zinc/73/29/80/713732980.db2.gz XSRQCASYGYJYFK-WCQYABFASA-N -1 1 312.322 1.566 20 0 DDADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)CC1CCCCC1 ZINC000921378619 713749258 /nfs/dbraw/zinc/74/92/58/713749258.db2.gz CULVEQGGUCIZDL-GFCCVEGCSA-N -1 1 323.480 1.781 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)/C=C\Cl)o1 ZINC000921507186 713792724 /nfs/dbraw/zinc/79/27/24/713792724.db2.gz ZIGMUYYEBDISED-SREVYHEPSA-N -1 1 307.755 1.876 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1C[C@H]1C(F)(F)F ZINC000921616213 713822495 /nfs/dbraw/zinc/82/24/95/713822495.db2.gz KMKOKODNQCLFIA-JGVFFNPUSA-N -1 1 322.374 1.527 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@@H]2COC[C@@H]2O1)c1cccc(F)c1F ZINC000921621482 713823757 /nfs/dbraw/zinc/82/37/57/713823757.db2.gz WGHFRJSXMIIBKE-KKZNHRDASA-N -1 1 319.329 1.047 20 0 DDADMM O=C1C[C@H](CC[N-]S(=O)(=O)c2cc(Cl)ccc2F)CN1 ZINC000921647859 713830488 /nfs/dbraw/zinc/83/04/88/713830488.db2.gz BIZOLUHEFSPMJZ-QMMMGPOBSA-N -1 1 320.773 1.284 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)C(C)C ZINC000921978782 713927035 /nfs/dbraw/zinc/92/70/35/713927035.db2.gz YKRBXIOQXLJQSE-SECBINFHSA-N -1 1 306.409 1.236 20 0 DDADMM O=C(CCc1nn[n-]n1)N1Cc2ccccc2N2CCC[C@@H]2C1 ZINC000922584538 714093745 /nfs/dbraw/zinc/09/37/45/714093745.db2.gz BLIYJDMPLAAROU-CYBMUJFWSA-N -1 1 312.377 1.144 20 0 DDADMM COc1ccc(CN(CCO)C(=O)c2ncccc2[O-])cc1 ZINC000922673644 714116522 /nfs/dbraw/zinc/11/65/22/714116522.db2.gz ONABVDXOXGLKGK-UHFFFAOYSA-N -1 1 302.330 1.431 20 0 DDADMM Cc1oc(C(C)(C)C)cc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000932282157 714300064 /nfs/dbraw/zinc/30/00/64/714300064.db2.gz GXAZYLWMFCBUSL-LLVKDONJSA-N -1 1 319.365 1.612 20 0 DDADMM CCOC(=O)/C=C/CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934270339 714782068 /nfs/dbraw/zinc/78/20/68/714782068.db2.gz QRWBMUDQGFJDMH-ZRQQLDRUSA-N -1 1 307.350 1.447 20 0 DDADMM CCOC(=O)/C=C\CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934270338 714782082 /nfs/dbraw/zinc/78/20/82/714782082.db2.gz QRWBMUDQGFJDMH-XOIDGJRKSA-N -1 1 307.350 1.447 20 0 DDADMM CCCOC(=O)[C@@H](C)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934275334 714783523 /nfs/dbraw/zinc/78/35/23/714783523.db2.gz DJRUAHCUBMVZIT-MNOVXSKESA-N -1 1 309.366 1.669 20 0 DDADMM CCOC(CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1)OCC ZINC000934280789 714785918 /nfs/dbraw/zinc/78/59/18/714785918.db2.gz XXFRPWIOATUBJD-NSHDSACASA-N -1 1 311.382 1.727 20 0 DDADMM Cc1cc(C)n(CCCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000935036605 714960759 /nfs/dbraw/zinc/96/07/59/714960759.db2.gz FLIKWVGDORWTSU-CYBMUJFWSA-N -1 1 317.397 1.199 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccccc1-n1cccc1)c1nn[n-]n1 ZINC000935365036 715037984 /nfs/dbraw/zinc/03/79/84/715037984.db2.gz ABIOPWSJQQXAAA-LBPRGKRZSA-N -1 1 310.361 1.866 20 0 DDADMM O=C(N1CCC(c2n[n-]c(=O)o2)CC1)[C@]12C[C@H]1COC21CCC1 ZINC000935829841 715131477 /nfs/dbraw/zinc/13/14/77/715131477.db2.gz OZTXIYSKSJZQRT-ZBEGNZNMSA-N -1 1 319.361 1.440 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956342899 715411753 /nfs/dbraw/zinc/41/17/53/715411753.db2.gz AHPIUCDLHICANT-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC000955525181 715837527 /nfs/dbraw/zinc/83/75/27/715837527.db2.gz POGNQLJTJCXXLX-STQMWFEESA-N -1 1 317.389 1.863 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)c1ccc[nH]1)c1ncccc1[O-] ZINC000955888434 715996326 /nfs/dbraw/zinc/99/63/26/715996326.db2.gz SLMBVRJDTHRRAA-NSHDSACASA-N -1 1 314.345 1.150 20 0 DDADMM CC(C)(F)C(=O)N1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC000959782861 716280283 /nfs/dbraw/zinc/28/02/83/716280283.db2.gz CUYUSLHYSKWZNQ-PHIMTYICSA-N -1 1 321.352 1.066 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2CC23CC3)C1 ZINC000939894939 716482368 /nfs/dbraw/zinc/48/23/68/716482368.db2.gz FICYKNUNZIBHPS-RYUDHWBXSA-N -1 1 315.373 1.260 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]([C@H]2CC[N@@H+](CCF)C2)C1 ZINC000961144303 716832130 /nfs/dbraw/zinc/83/21/30/716832130.db2.gz KBNQDYBLJBYQAX-KBPBESRZSA-N -1 1 321.396 1.931 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959036459 716837611 /nfs/dbraw/zinc/83/76/11/716837611.db2.gz ODENLKFOEWMPAY-STQMWFEESA-N -1 1 317.389 1.720 20 0 DDADMM C[C@@]1(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000942187396 717536516 /nfs/dbraw/zinc/53/65/16/717536516.db2.gz ITVMKWKAXQYCGT-SJKOYZFVSA-N -1 1 315.373 1.474 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC000942754840 717856899 /nfs/dbraw/zinc/85/68/99/717856899.db2.gz QUEMSDYZBBKBSM-XQQFMLRXSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1CC=CC1 ZINC000966352031 718523664 /nfs/dbraw/zinc/52/36/64/718523664.db2.gz UGKQDHFYWXDQQA-WCQYABFASA-N -1 1 315.373 1.330 20 0 DDADMM CCCC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC000945926457 718616712 /nfs/dbraw/zinc/61/67/12/718616712.db2.gz DATZRECVBVKAEV-CHWSQXEVSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)C(=O)N[C@H]1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946362174 718761791 /nfs/dbraw/zinc/76/17/91/718761791.db2.gz MYIAZTSJPNWGLG-STQMWFEESA-N -1 1 319.405 1.848 20 0 DDADMM CCC(=O)N1CCC[C@H](N(C)C(=O)c2ncccc2[O-])CC1 ZINC000967537003 718927149 /nfs/dbraw/zinc/92/71/49/718927149.db2.gz BHHJUTQNZPDOPY-LBPRGKRZSA-N -1 1 305.378 1.650 20 0 DDADMM O=C(CC1=CCCCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000967766121 719010777 /nfs/dbraw/zinc/01/07/77/719010777.db2.gz ARANKYMIEXVAGZ-GFCCVEGCSA-N -1 1 305.382 1.091 20 0 DDADMM CCC(CC)C(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC000967898388 719078609 /nfs/dbraw/zinc/07/86/09/719078609.db2.gz GGMRUSNTNXDVNQ-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM C[C@H](C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])C1CCC1 ZINC000949538740 720147015 /nfs/dbraw/zinc/14/70/15/720147015.db2.gz QAWQPVSHEKQWKG-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cc(CN2CC([C@H](C)NC(=O)c3ncccc3[O-])C2)on1 ZINC000969546195 720182813 /nfs/dbraw/zinc/18/28/13/720182813.db2.gz HXIDRSAENJMCIK-NSHDSACASA-N -1 1 316.361 1.334 20 0 DDADMM CC1(C)CC(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000949722179 720291201 /nfs/dbraw/zinc/29/12/01/720291201.db2.gz BHGBLDJAPIQTSY-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(CC1CCC1)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950538831 720674682 /nfs/dbraw/zinc/67/46/82/720674682.db2.gz ASAIIBBGLHXXSF-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)sc1C ZINC000970667144 720878753 /nfs/dbraw/zinc/87/87/53/720878753.db2.gz WDWDOSGBOLBWDJ-SNVBAGLBSA-N -1 1 321.406 1.193 20 0 DDADMM CN(C(=O)C=C1CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954022154 721695780 /nfs/dbraw/zinc/69/57/80/721695780.db2.gz ZGFJLXNVBBYZHI-UHFFFAOYSA-N -1 1 301.346 1.180 20 0 DDADMM CC[C@@H](SC)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954124436 721723661 /nfs/dbraw/zinc/72/36/61/721723661.db2.gz ZNJBWFYJQMKONO-GFCCVEGCSA-N -1 1 323.418 1.212 20 0 DDADMM N#CC1([N-]S(=O)(=O)c2c(F)cccc2Br)CC1 ZINC000160994002 735372553 /nfs/dbraw/zinc/37/25/53/735372553.db2.gz RCYZOCMFKMBSCK-UHFFFAOYSA-N -1 1 319.155 1.923 20 0 DDADMM CN(CCF)[C@@H]1CCCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001027646976 738504835 /nfs/dbraw/zinc/50/48/35/738504835.db2.gz BTHQNGJVYUIDOT-SNVBAGLBSA-N -1 1 322.340 1.434 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C(C)(C)F ZINC001009925605 738603416 /nfs/dbraw/zinc/60/34/16/738603416.db2.gz WVCVNMDQJQVVPF-QWRGUYRKSA-N -1 1 323.368 1.502 20 0 DDADMM O=C(NC[C@@H]1CCCN1Cc1ccon1)c1ncccc1[O-] ZINC001027841707 738724427 /nfs/dbraw/zinc/72/44/27/738724427.db2.gz KYNWKSFXIRELHN-LBPRGKRZSA-N -1 1 302.334 1.170 20 0 DDADMM Cc1nc(CN[C@H]2C[C@@H](NC(=O)c3[nH]nc(C)c3[O-])C2)cs1 ZINC001021966824 733695155 /nfs/dbraw/zinc/69/51/55/733695155.db2.gz JNZIQLGRENCLRU-AOOOYVTPSA-N -1 1 321.406 1.239 20 0 DDADMM CC[C@@H](F)CN1CCCC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001024396072 735802247 /nfs/dbraw/zinc/80/22/47/735802247.db2.gz MJQJINPHEHLLBS-NEPJUHHUSA-N -1 1 312.389 1.756 20 0 DDADMM C[C@@H](C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001024998517 736222517 /nfs/dbraw/zinc/22/25/17/736222517.db2.gz XNMZOUIEKVJUNN-DGCLKSJQSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H](C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001025000155 736223359 /nfs/dbraw/zinc/22/33/59/736223359.db2.gz INCUXSBQQHNXPU-RYUDHWBXSA-N -1 1 323.441 1.663 20 0 DDADMM CN(c1nnc(-c2c[n-][nH]c2=O)n1C)C1Cc2ccccc2C1 ZINC001121457460 782516193 /nfs/dbraw/zinc/51/61/93/782516193.db2.gz WDABXWOPPKKDAY-UHFFFAOYSA-N -1 1 310.361 1.514 20 0 DDADMM CC(C)C[C@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692806726 737946551 /nfs/dbraw/zinc/94/65/51/737946551.db2.gz NWQKXXSBEBEVIM-MRVPVSSYSA-N -1 1 310.778 1.559 20 0 DDADMM CCc1nc(CNCCNC(=O)c2ncccc2[O-])cs1 ZINC001126130959 738367914 /nfs/dbraw/zinc/36/79/14/738367914.db2.gz HXRNYFDIJUKWMO-UHFFFAOYSA-N -1 1 306.391 1.326 20 0 DDADMM CC(C)=CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001012235055 740443437 /nfs/dbraw/zinc/44/34/37/740443437.db2.gz MECIVODSZVQXAJ-RYUDHWBXSA-N -1 1 303.362 1.473 20 0 DDADMM Cc1ocnc1C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075820885 740774500 /nfs/dbraw/zinc/77/45/00/740774500.db2.gz USCLTVBVDVTNLY-VIFPVBQESA-N -1 1 318.333 1.022 20 0 DDADMM C[N@H+](Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)CC2CCCC2)C1 ZINC001029632166 741159719 /nfs/dbraw/zinc/15/97/19/741159719.db2.gz PRCMXTGJHVQHEI-ZDUSSCGKSA-N -1 1 321.425 1.371 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)CC2CCCC2)C1 ZINC001029632166 741159721 /nfs/dbraw/zinc/15/97/21/741159721.db2.gz PRCMXTGJHVQHEI-ZDUSSCGKSA-N -1 1 321.425 1.371 20 0 DDADMM O=C(c1ccc[nH]1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088261661 741270463 /nfs/dbraw/zinc/27/04/63/741270463.db2.gz JFZNDPGZMAPPSW-LLVKDONJSA-N -1 1 318.381 1.013 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088360671 741437000 /nfs/dbraw/zinc/43/70/00/741437000.db2.gz DRDNFACHEWUVAD-GRYCIOLGSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1nsc(NCCC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001114906767 751658255 /nfs/dbraw/zinc/65/82/55/751658255.db2.gz JJAJQMLRVUGQAU-VIFPVBQESA-N -1 1 321.406 1.958 20 0 DDADMM Cc1ccc(NCCC[C@@H](C)NC(=O)c2ncccc2[O-])nn1 ZINC001114907546 751675251 /nfs/dbraw/zinc/67/52/51/751675251.db2.gz VQPMJFSFJYLUPC-LLVKDONJSA-N -1 1 315.377 1.896 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C=C1CCC1 ZINC001076243952 742623071 /nfs/dbraw/zinc/62/30/71/742623071.db2.gz FIIVPFXYMVNMNN-NSHDSACASA-N -1 1 303.362 1.522 20 0 DDADMM CO[C@@H](C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-])C(C)C ZINC001076245167 742624405 /nfs/dbraw/zinc/62/44/05/742624405.db2.gz QSPDDJJRSGDRLM-SMDDNHRTSA-N -1 1 323.393 1.083 20 0 DDADMM CN(C)c1nc(NC(=O)[C@@H]2CCCC[C@H]2O)c(N=O)c(=O)[n-]1 ZINC001181081538 743068866 /nfs/dbraw/zinc/06/88/66/743068866.db2.gz BDMXAYJCNKMCFT-HTQZYQBOSA-N -1 1 309.326 1.136 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)[C@@H]1C[C@@H]1c1ccccc1 ZINC001181462606 743206152 /nfs/dbraw/zinc/20/61/52/743206152.db2.gz KEDSVSZLZSZCMB-RKDXNWHRSA-N -1 1 311.301 1.458 20 0 DDADMM O=C(NC1CCN(Cc2nncs2)CC1)c1ncccc1[O-] ZINC001002665800 743324050 /nfs/dbraw/zinc/32/40/50/743324050.db2.gz VDSNSKFEVMCCBP-UHFFFAOYSA-N -1 1 319.390 1.033 20 0 DDADMM C[C@@H]1C(=O)OC[C@@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC001182081570 743474846 /nfs/dbraw/zinc/47/48/46/743474846.db2.gz VDZYQCQMKKRSKG-WDSKDSINSA-N -1 1 315.123 1.297 20 0 DDADMM COCCC1(C(=O)NCc2nn[n-]n2)CCC(F)(F)CC1 ZINC001182449494 743630311 /nfs/dbraw/zinc/63/03/11/743630311.db2.gz AHTDWEYVWIYMNB-UHFFFAOYSA-N -1 1 303.313 1.048 20 0 DDADMM O=C(Cc1ccc(-c2ccccc2)c(F)c1)NCc1nn[n-]n1 ZINC001182688322 743698703 /nfs/dbraw/zinc/69/87/03/743698703.db2.gz HMCPJYVEMOIHGJ-UHFFFAOYSA-N -1 1 311.320 1.865 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](O)Cc2cccc(F)c2)n1 ZINC001184144581 743975667 /nfs/dbraw/zinc/97/56/67/743975667.db2.gz XCXQOHZQUGIGEA-LBPRGKRZSA-N -1 1 321.308 1.268 20 0 DDADMM O=C(Nc1ccc(F)c(F)c1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001185111382 744162622 /nfs/dbraw/zinc/16/26/22/744162622.db2.gz YVIKLLDWDHQGCR-UHFFFAOYSA-N -1 1 322.231 1.638 20 0 DDADMM CC(C)c1nc(C[N-]S(=O)(=O)c2ccc(Cl)nc2)no1 ZINC001185695409 744268803 /nfs/dbraw/zinc/26/88/03/744268803.db2.gz RZDRUNOIENTRCU-UHFFFAOYSA-N -1 1 316.770 1.720 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)Nc1c(C)ncnc1C ZINC001185878031 744307243 /nfs/dbraw/zinc/30/72/43/744307243.db2.gz XUWFPIRBNGKOIH-UHFFFAOYSA-N -1 1 321.358 1.901 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ccc([O-])c(F)c1)c1cccnc1 ZINC001186234737 744361500 /nfs/dbraw/zinc/36/15/00/744361500.db2.gz ZJQJXXKLHPATDH-ZDUSSCGKSA-N -1 1 318.304 1.961 20 0 DDADMM O=C(NCc1cc(OC2COC2)ccn1)c1ccc([O-])c(F)c1 ZINC001186237778 744362304 /nfs/dbraw/zinc/36/23/04/744362304.db2.gz ZMCMSIGQASESFN-UHFFFAOYSA-N -1 1 318.304 1.634 20 0 DDADMM CCOC(=O)[C@@H]1CCOC[C@@H]1NC(=O)c1ccc([O-])cc1F ZINC001186339530 744389492 /nfs/dbraw/zinc/38/94/92/744389492.db2.gz IRJYHTBAUIYZFD-YPMHNXCESA-N -1 1 311.309 1.229 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(C(F)(F)F)c(F)c1F ZINC001186484390 744401851 /nfs/dbraw/zinc/40/18/51/744401851.db2.gz YILVTAVGBHKBRZ-UHFFFAOYSA-N -1 1 307.182 1.427 20 0 DDADMM COc1ccc(C2([N-]S(=O)(=O)c3ccco3)COC2)cc1 ZINC001186868895 744455771 /nfs/dbraw/zinc/45/57/71/744455771.db2.gz HUNRXVFRTLEEEK-UHFFFAOYSA-N -1 1 309.343 1.492 20 0 DDADMM O=c1cc([N-]S(=O)(=O)c2ccco2)ccn1CC(F)(F)F ZINC001186883785 744459214 /nfs/dbraw/zinc/45/92/14/744459214.db2.gz IITDXXURDMHOOF-UHFFFAOYSA-N -1 1 322.264 1.804 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cccc(C(=O)OC)c1F ZINC001187287081 744534434 /nfs/dbraw/zinc/53/44/34/744534434.db2.gz QXPJGPIVJRYEBJ-UHFFFAOYSA-N -1 1 305.327 1.391 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1ccc2nncn2c1 ZINC001151662150 744598410 /nfs/dbraw/zinc/59/84/10/744598410.db2.gz SKDRYFQCLDJNEN-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM O=C(CNC(=O)c1n[n-]nc1C(F)(F)F)Cc1ccccc1 ZINC001187770149 744599217 /nfs/dbraw/zinc/59/92/17/744599217.db2.gz HYPHJTJZXAUAGF-UHFFFAOYSA-N -1 1 312.251 1.365 20 0 DDADMM O=c1cc[nH]cc1[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC001187934186 744637192 /nfs/dbraw/zinc/63/71/92/744637192.db2.gz VLMWBKFTFHYCBI-UHFFFAOYSA-N -1 1 320.157 1.878 20 0 DDADMM O=S(=O)([N-]CC1OCCO1)c1ccc(Cl)nc1Cl ZINC001187980492 744642326 /nfs/dbraw/zinc/64/23/26/744642326.db2.gz KKLZAYACPHCMCO-UHFFFAOYSA-N -1 1 313.162 1.040 20 0 DDADMM CC(=O)c1nn(C)cc1NC(=O)c1ncc(C(F)(F)F)[n-]1 ZINC001188281612 744686684 /nfs/dbraw/zinc/68/66/84/744686684.db2.gz XZYUARVPKFZDGE-UHFFFAOYSA-N -1 1 301.228 1.617 20 0 DDADMM CC(=O)c1nn(C)cc1NC(=O)c1nc(C(F)(F)F)c[n-]1 ZINC001188281612 744686686 /nfs/dbraw/zinc/68/66/86/744686686.db2.gz XZYUARVPKFZDGE-UHFFFAOYSA-N -1 1 301.228 1.617 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ccc(C(C)=O)cc1F ZINC001188389484 744697059 /nfs/dbraw/zinc/69/70/59/744697059.db2.gz XKBINUCTCMLUMW-UHFFFAOYSA-N -1 1 303.311 1.333 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ccccc1C1OCCO1 ZINC001188395293 744698566 /nfs/dbraw/zinc/69/85/66/744698566.db2.gz RJDOFIJOSBKNJN-UHFFFAOYSA-N -1 1 315.347 1.037 20 0 DDADMM CCc1cc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc(C)n1 ZINC001188351040 744699128 /nfs/dbraw/zinc/69/91/28/744699128.db2.gz HCZNTXIMOGGPAS-UHFFFAOYSA-N -1 1 314.305 1.234 20 0 DDADMM COC(=O)c1ccnc(Cl)c1[N-]S(=O)(=O)c1ccoc1 ZINC001188570264 744718996 /nfs/dbraw/zinc/71/89/96/744718996.db2.gz BINICPAIYJMWPO-UHFFFAOYSA-N -1 1 316.722 1.915 20 0 DDADMM O=C(CCNC(=O)c1ccncc1[O-])Nc1ccc(F)cc1 ZINC001188638389 744744169 /nfs/dbraw/zinc/74/41/69/744744169.db2.gz MSXAJEHAJKIEJE-UHFFFAOYSA-N -1 1 303.293 1.685 20 0 DDADMM COc1cc(C(=O)[N-]c2nnc(C(C)(C)C)o2)nc(OC)n1 ZINC001189083992 744825131 /nfs/dbraw/zinc/82/51/31/744825131.db2.gz VZVSTJQHJSVAAK-UHFFFAOYSA-N -1 1 307.310 1.427 20 0 DDADMM Cc1ccc(N[C@@H](C)C[C@H](C)NC(=O)c2ncccc2[O-])nn1 ZINC001089271155 744840970 /nfs/dbraw/zinc/84/09/70/744840970.db2.gz HZJJKPSQZRFPQO-RYUDHWBXSA-N -1 1 315.377 1.895 20 0 DDADMM CNC(=O)c1cc([N-]S(=O)(=O)c2ccncc2)ccc1F ZINC001190896956 745371080 /nfs/dbraw/zinc/37/10/80/745371080.db2.gz YGESJPKOVIWBSK-UHFFFAOYSA-N -1 1 309.322 1.381 20 0 DDADMM CSc1ncc(C(=O)N(C(=N)N)c2ccccc2F)c(=O)[n-]1 ZINC001191437717 745512242 /nfs/dbraw/zinc/51/22/42/745512242.db2.gz OFEGRHNXBGYXNQ-UHFFFAOYSA-N -1 1 321.337 1.583 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192049927 745671874 /nfs/dbraw/zinc/67/18/74/745671874.db2.gz NPGLBRSUUBEEIK-PHDIDXHHSA-N -1 1 324.613 1.575 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@@](O)(CF)CC1 ZINC001192525925 745821289 /nfs/dbraw/zinc/82/12/89/745821289.db2.gz CGXDTPUTLNCKEL-AWEZNQCLSA-N -1 1 303.280 1.997 20 0 DDADMM Cn1cnc(NC(=O)c2cc([O-])cc(F)c2F)c2ncnc1-2 ZINC001192651533 745846177 /nfs/dbraw/zinc/84/61/77/745846177.db2.gz BNWLYYMJMGTDSK-UHFFFAOYSA-N -1 1 305.244 1.551 20 0 DDADMM Cn1cnc([N-]C(=O)c2cc(O)cc(F)c2F)c2ncnc1-2 ZINC001192651533 745846179 /nfs/dbraw/zinc/84/61/79/745846179.db2.gz BNWLYYMJMGTDSK-UHFFFAOYSA-N -1 1 305.244 1.551 20 0 DDADMM O=C(N[C@@H](CO)Cc1ccc(O)cc1)c1cc([O-])cc(F)c1F ZINC001192678633 745859739 /nfs/dbraw/zinc/85/97/39/745859739.db2.gz JKBGJHBFTFFYII-SNVBAGLBSA-N -1 1 323.295 1.709 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc(N(C)C)nc1 ZINC001193008950 745959083 /nfs/dbraw/zinc/95/90/83/745959083.db2.gz ODWVGHPPOKONQQ-UHFFFAOYSA-N -1 1 315.395 1.231 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ccc2c(c1)OCC2 ZINC001193060181 745976307 /nfs/dbraw/zinc/97/63/07/745976307.db2.gz ZWLJBOBOQLGNMO-UHFFFAOYSA-N -1 1 313.375 1.705 20 0 DDADMM CC(C)c1cnn2cc([N-]S(=O)(=O)C[C@@H]3CCCO3)cnc12 ZINC001193213542 746016323 /nfs/dbraw/zinc/01/63/23/746016323.db2.gz MGDWSGYFJDGUDT-LBPRGKRZSA-N -1 1 324.406 1.773 20 0 DDADMM COC(=O)Cc1cccc([N-]S(=O)(=O)c2ccccc2N)c1 ZINC001193928593 746223867 /nfs/dbraw/zinc/22/38/67/746223867.db2.gz HBPBMXCSYGBFHC-UHFFFAOYSA-N -1 1 320.370 1.785 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1nc(F)c(F)cc1F ZINC001193893292 746229171 /nfs/dbraw/zinc/22/91/71/746229171.db2.gz VIDZCZAGKWFOMW-UHFFFAOYSA-N -1 1 303.265 1.882 20 0 DDADMM Cc1c([N-]S(=O)(=O)Cc2noc3ccccc32)cnn1C ZINC001194043846 746263636 /nfs/dbraw/zinc/26/36/36/746263636.db2.gz GJLHOUBJEZGSAS-UHFFFAOYSA-N -1 1 306.347 1.812 20 0 DDADMM Cc1cccc(C)c1S(=O)(=O)[N-]c1ccc(C(N)=O)c(O)c1 ZINC001194643512 746423148 /nfs/dbraw/zinc/42/31/48/746423148.db2.gz XNNASUKSIKWERD-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM CCOc1cnc(NC(=O)c2c[n-]c(C(F)(F)F)n2)cn1 ZINC001194770419 746462699 /nfs/dbraw/zinc/46/26/99/746462699.db2.gz IEMIYBMJSMBIDT-UHFFFAOYSA-N -1 1 301.228 1.870 20 0 DDADMM C[Si](C)(C)CCS(=O)(=O)[N-]c1cnn2c1OCCC2 ZINC001195048698 746516313 /nfs/dbraw/zinc/51/63/13/746516313.db2.gz RGHYVQBFIGZTHK-UHFFFAOYSA-N -1 1 303.460 1.746 20 0 DDADMM COc1nccnc1C(=O)[N-]c1c(Cl)cnnc1Cl ZINC001195078466 746523445 /nfs/dbraw/zinc/52/34/45/746523445.db2.gz STKGDVHTNSGZDL-UHFFFAOYSA-N -1 1 300.105 1.834 20 0 DDADMM Cc1nc(Cl)c(N)c(=N)n1C(=O)c1cc([O-])cnc1Cl ZINC001195297891 746570606 /nfs/dbraw/zinc/57/06/06/746570606.db2.gz JWVYKJBAQHKKFS-UHFFFAOYSA-N -1 1 314.132 1.349 20 0 DDADMM CN1C(=O)CC[C@@H]2CN(C(=O)c3cc([O-])cnc3Cl)CC[C@H]21 ZINC001195309947 746572910 /nfs/dbraw/zinc/57/29/10/746572910.db2.gz XSFXCZYSBSIZJQ-BXKDBHETSA-N -1 1 323.780 1.524 20 0 DDADMM CN1C(=O)CC[C@@H]2CN(C(=O)c3cc([O-])cnc3Cl)CC[C@@H]21 ZINC001195309954 746573103 /nfs/dbraw/zinc/57/31/03/746573103.db2.gz XSFXCZYSBSIZJQ-SKDRFNHKSA-N -1 1 323.780 1.524 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(Cn2cncn2)cc1 ZINC001195483441 746622426 /nfs/dbraw/zinc/62/24/26/746622426.db2.gz FHVBZEGDMLMAKN-UHFFFAOYSA-N -1 1 305.363 1.769 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cc(OC)cc(-n2cncn2)c1 ZINC001195491442 746625016 /nfs/dbraw/zinc/62/50/16/746625016.db2.gz XTHMRZYBSRVIDA-UHFFFAOYSA-N -1 1 321.362 1.719 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]1F)c1cc(Br)ccc1F ZINC001195499491 746627106 /nfs/dbraw/zinc/62/71/06/746627106.db2.gz WDTVWFHXVKBMOT-HTQZYQBOSA-N -1 1 312.135 1.977 20 0 DDADMM Cc1nc2ccccn2c1NC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196008698 746753994 /nfs/dbraw/zinc/75/39/94/746753994.db2.gz GSNKDGZQQLNVIZ-UHFFFAOYSA-N -1 1 301.331 1.679 20 0 DDADMM O=C(Nc1cc(OCC2CC2)n[nH]1)c1c[nH]c(=S)[n-]c1=O ZINC001196008441 746754274 /nfs/dbraw/zinc/75/42/74/746754274.db2.gz CUGDVBVHJWDHDX-UHFFFAOYSA-N -1 1 307.335 1.235 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N(C1CCC1)C1CCOCC1 ZINC001196016202 746757535 /nfs/dbraw/zinc/75/75/35/746757535.db2.gz QRJVCTGLKLBMDG-UHFFFAOYSA-N -1 1 309.391 1.645 20 0 DDADMM C[C@@H]1C(=O)CCN(C(=O)c2c[nH]c(=S)[n-]c2=O)C12CCCC2 ZINC001196016630 746757655 /nfs/dbraw/zinc/75/76/55/746757655.db2.gz WPZSUUPPGVKGAW-SECBINFHSA-N -1 1 321.402 1.835 20 0 DDADMM Cc1cc(C)c(CNC(=O)c2c[nH]c(=S)[n-]c2=O)c(=O)[nH]1 ZINC001196019742 746759414 /nfs/dbraw/zinc/75/94/14/746759414.db2.gz BKZPQLRPNBMPAB-UHFFFAOYSA-N -1 1 306.347 1.118 20 0 DDADMM C[C@@H](NC(=O)c1c[nH]c(=S)[n-]c1=O)c1nc2ccccc2[nH]1 ZINC001196021022 746759424 /nfs/dbraw/zinc/75/94/24/746759424.db2.gz NDBQPQWWBDVKDE-SSDOTTSWSA-N -1 1 315.358 1.838 20 0 DDADMM Cn1cnc2ccc(NC(=O)c3c[nH]c(=S)[n-]c3=O)cc21 ZINC001196021585 746759443 /nfs/dbraw/zinc/75/94/43/746759443.db2.gz SKUQMHSPIDKNFQ-UHFFFAOYSA-N -1 1 301.331 1.610 20 0 DDADMM O=C(CNC(=O)c1c[nH]c(=S)[n-]c1=O)Cc1ccccc1 ZINC001196023948 746760259 /nfs/dbraw/zinc/76/02/59/746760259.db2.gz POPSCAUOEIIDOR-UHFFFAOYSA-N -1 1 303.343 1.013 20 0 DDADMM CC(=O)Nc1c[nH]c(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC001196870265 746994977 /nfs/dbraw/zinc/99/49/77/746994977.db2.gz LLWFJQKNYIRITL-UHFFFAOYSA-N -1 1 302.216 1.362 20 0 DDADMM COc1ccc([N-]S(=O)(=O)Cc2ccccn2)nc1OC ZINC001197782457 747263100 /nfs/dbraw/zinc/26/31/00/747263100.db2.gz FSNQCBKLGMTEEO-UHFFFAOYSA-N -1 1 309.347 1.436 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)Cc2ccccn2)cc1F ZINC001197829632 747292553 /nfs/dbraw/zinc/29/25/53/747292553.db2.gz YAFJPYIPTDLSQY-UHFFFAOYSA-N -1 1 323.349 1.522 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc(OC(F)F)cn3)c1-2 ZINC001197914616 747307915 /nfs/dbraw/zinc/30/79/15/747307915.db2.gz RWVYSYFBSHSZAZ-UHFFFAOYSA-N -1 1 320.259 1.284 20 0 DDADMM O=C(CC1=CCCCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998733514 752179265 /nfs/dbraw/zinc/17/92/65/752179265.db2.gz AUAYSCWYJBUWNZ-ZDUSSCGKSA-N -1 1 319.409 1.481 20 0 DDADMM C=CS(=O)(=O)[N-][C@H]1CCN(Cc2ccccc2)CC1(F)F ZINC001198651838 747563429 /nfs/dbraw/zinc/56/34/29/747563429.db2.gz GMBVVVATYPISKB-ZDUSSCGKSA-N -1 1 316.373 1.959 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccc2c(c1)CCC2 ZINC001198785880 747596440 /nfs/dbraw/zinc/59/64/40/747596440.db2.gz VROJUQOHQBICMM-UHFFFAOYSA-N -1 1 311.301 1.457 20 0 DDADMM O=C(NC[C@H]1CCCN1c1nccnc1F)c1ncccc1[O-] ZINC001061343508 747676664 /nfs/dbraw/zinc/67/66/64/747676664.db2.gz KKSGJSYRVNCXKW-SNVBAGLBSA-N -1 1 317.324 1.115 20 0 DDADMM COC(=O)c1ccnc(Cl)c1NC(=O)c1ccc([O-])c(=O)[nH]1 ZINC001199196114 747736637 /nfs/dbraw/zinc/73/66/37/747736637.db2.gz ITDGFIMDQFZHQN-UHFFFAOYSA-N -1 1 323.692 1.580 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CCC(NC(=O)C2CC2)CC1 ZINC001004076381 747967189 /nfs/dbraw/zinc/96/71/89/747967189.db2.gz JOFKLRILHNAZHT-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CC[N@@H+](C[C@@H]2CCCCO2)C1 ZINC001033030779 747995155 /nfs/dbraw/zinc/99/51/55/747995155.db2.gz ABSJRCZUUHDYMK-KGLIPLIRSA-N -1 1 319.405 1.503 20 0 DDADMM CSc1nc(NC(=O)c2cnn3ccncc23)cc(=O)[n-]1 ZINC001199796560 747999873 /nfs/dbraw/zinc/99/98/73/747999873.db2.gz INNKRUCVFVLLCA-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM CCCCC[C@@](C)(CC)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001152741260 748190108 /nfs/dbraw/zinc/19/01/08/748190108.db2.gz WMTVCHAXLXFDHZ-OAHLLOKOSA-N -1 1 311.430 1.713 20 0 DDADMM CN(C)S(=O)(=O)c1ccc(NC(=S)NC2CC2)c([O-])c1 ZINC001200630814 748297320 /nfs/dbraw/zinc/29/73/20/748297320.db2.gz YXAAJDHBQXRSHN-UHFFFAOYSA-N -1 1 315.420 1.091 20 0 DDADMM Cc1cc(C)cc(NC(=S)Nc2c(O)[nH]c(=O)[n-]c2=S)c1 ZINC001201215616 748448135 /nfs/dbraw/zinc/44/81/35/748448135.db2.gz CZJFAUMCWKXZBQ-VIFPVBQESA-N -1 1 322.415 1.125 20 0 DDADMM CN(C)C(=O)c1cc(F)cc(Nc2c(N)[nH]c(=S)[n-]c2=O)c1 ZINC001201519728 748539641 /nfs/dbraw/zinc/53/96/41/748539641.db2.gz XOWIEZQNUKKREY-UHFFFAOYSA-N -1 1 323.353 1.638 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]c1cc(=O)[nH]c(C)n1 ZINC001201732248 748601699 /nfs/dbraw/zinc/60/16/99/748601699.db2.gz WQZGPVREBSWLHV-UHFFFAOYSA-N -1 1 320.783 1.710 20 0 DDADMM Cc1cccc(C)c1NC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001202214724 748713871 /nfs/dbraw/zinc/71/38/71/748713871.db2.gz ZWXGTSKYJIEVFY-UHFFFAOYSA-N -1 1 314.305 1.977 20 0 DDADMM Cc1cc(N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)ncn1 ZINC001061585925 748887795 /nfs/dbraw/zinc/88/77/95/748887795.db2.gz HBQIKVXARQZLMC-LBPRGKRZSA-N -1 1 313.361 1.142 20 0 DDADMM CC(C)(C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C1CC1 ZINC000996180504 749420731 /nfs/dbraw/zinc/42/07/31/749420731.db2.gz UQJGZVFLGPZOCO-NSHDSACASA-N -1 1 321.425 1.273 20 0 DDADMM C[C@@H]1C[C@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)[C@H](C)O1 ZINC000686393456 750366397 /nfs/dbraw/zinc/36/63/97/750366397.db2.gz JIUPZVYHLQAKJW-HRDYMLBCSA-N -1 1 322.789 1.966 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](CNc2ncc(F)cn2)C1 ZINC001060857117 751023289 /nfs/dbraw/zinc/02/32/89/751023289.db2.gz ZZCHUOUGZDHTGC-SNVBAGLBSA-N -1 1 317.324 1.291 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001008405138 752711640 /nfs/dbraw/zinc/71/16/40/752711640.db2.gz IDKBNMRYNHWSFE-NQBHXWOUSA-N -1 1 317.389 1.410 20 0 DDADMM O=C([C@H]1CCCC12CC2)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999482158 752948466 /nfs/dbraw/zinc/94/84/66/752948466.db2.gz KQVCPAYZNBCIMJ-VXGBXAGGSA-N -1 1 319.409 1.171 20 0 DDADMM O=C(C[C@H]1C=CCC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010226720 753696203 /nfs/dbraw/zinc/69/62/03/753696203.db2.gz RQDDJBLEHBVQOY-ZDUSSCGKSA-N -1 1 315.373 1.428 20 0 DDADMM CC(C)C1(C(=O)NCC[C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001078271171 753907597 /nfs/dbraw/zinc/90/75/97/753907597.db2.gz JZVVSVIDWVKHGK-LBPRGKRZSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)C1=CCCC1 ZINC001078597045 754216460 /nfs/dbraw/zinc/21/64/60/754216460.db2.gz QDUFPNGQRQQBRR-NWDGAFQWSA-N -1 1 317.393 1.138 20 0 DDADMM CC(C)C1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC001011035484 754319394 /nfs/dbraw/zinc/31/93/94/754319394.db2.gz AJQFDCFNHQWGPV-UHFFFAOYSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C2(C)CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012503823 755111851 /nfs/dbraw/zinc/11/18/51/755111851.db2.gz GXXBDNLDKDGWST-NWDGAFQWSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc[nH]2)CN1C(=O)c1ncccc1[O-] ZINC001012506408 755113033 /nfs/dbraw/zinc/11/30/33/755113033.db2.gz OZFFMYOTRQIADI-QWRGUYRKSA-N -1 1 314.345 1.148 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc[nH]2)CN1C(=O)c1ncccc1[O-] ZINC001012506407 755113334 /nfs/dbraw/zinc/11/33/34/755113334.db2.gz OZFFMYOTRQIADI-MNOVXSKESA-N -1 1 314.345 1.148 20 0 DDADMM CC[C@@H](F)CN1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001083059391 757108526 /nfs/dbraw/zinc/10/85/26/757108526.db2.gz BUSXRBCLYRREPE-MBNYWOFBSA-N -1 1 323.368 1.061 20 0 DDADMM C[C@@H](F)CCN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232399 758287674 /nfs/dbraw/zinc/28/76/74/758287674.db2.gz LZYGBINIWJRHMY-GFCCVEGCSA-N -1 1 323.368 1.062 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)C(C)(C)C)CN1C(=O)c1ncccc1[O-] ZINC001018411147 758800292 /nfs/dbraw/zinc/80/02/92/758800292.db2.gz YBOPMFUSKNKWNX-NWDGAFQWSA-N -1 1 319.405 1.943 20 0 DDADMM CC(C)(F)C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018682299 759130575 /nfs/dbraw/zinc/13/05/75/759130575.db2.gz XDELUWWUPWJYIO-UHFFFAOYSA-N -1 1 321.352 1.210 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2Cc2ccc(C)cc2)c1[O-] ZINC001038167388 760879557 /nfs/dbraw/zinc/87/95/57/760879557.db2.gz CKMMVYQXGRGEPR-CQSZACIVSA-N -1 1 314.389 1.736 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001067254643 761057890 /nfs/dbraw/zinc/05/78/90/761057890.db2.gz HSTAWOVKFZPADI-CHWSQXEVSA-N -1 1 319.405 1.754 20 0 DDADMM CNC(=O)[C@H](C)Nc1ccc([C@H](C)[N-]C(=O)C(F)(F)F)cc1 ZINC001169985683 763440635 /nfs/dbraw/zinc/44/06/35/763440635.db2.gz XWWZUFCYFQRAIA-IUCAKERBSA-N -1 1 317.311 1.973 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1cccc(F)n1 ZINC001112982696 765097612 /nfs/dbraw/zinc/09/76/12/765097612.db2.gz AQCQFNLDURSORZ-VHSXEESVSA-N -1 1 304.325 1.940 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H](C)Nc1ncc(Cl)cn1 ZINC001112983349 765098938 /nfs/dbraw/zinc/09/89/38/765098938.db2.gz JRWIBQZGJQIUIK-RKDXNWHRSA-N -1 1 321.768 1.850 20 0 DDADMM CN(C)c1cc(Cl)nc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001170213984 766174161 /nfs/dbraw/zinc/17/41/61/766174161.db2.gz VOYXNJKTAWZBBV-UHFFFAOYSA-N -1 1 321.728 1.574 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cncnc3C(F)(F)F)c2[nH]1 ZINC001170216881 766174948 /nfs/dbraw/zinc/17/49/48/766174948.db2.gz DWUOGHLZDIICOS-UHFFFAOYSA-N -1 1 313.199 1.268 20 0 DDADMM CCOc1cc(Nc2[n-]c(=O)nc3nc[nH]c32)nc(SC)n1 ZINC001170226502 766200543 /nfs/dbraw/zinc/20/05/43/766200543.db2.gz LHDFBPBGBPTPLP-UHFFFAOYSA-N -1 1 319.350 1.713 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(Cc2ccon2)C1 ZINC001046260181 767316057 /nfs/dbraw/zinc/31/60/57/767316057.db2.gz WYKCKZIOBIWETE-OAHLLOKOSA-N -1 1 302.334 1.170 20 0 DDADMM CCN(CCNS(=O)(=O)c1cc(C(=O)[O-])oc1C)C1CC1 ZINC000217914356 771544318 /nfs/dbraw/zinc/54/43/18/771544318.db2.gz UYNRSWOLRUYRHS-UHFFFAOYSA-N -1 1 316.379 1.049 20 0 DDADMM O=C(/C(F)=C/C1CCCCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001144255147 772458989 /nfs/dbraw/zinc/45/89/89/772458989.db2.gz PQZLJAYRXKCPBV-KGTBHZDVSA-N -1 1 309.345 1.533 20 0 DDADMM CN(C)C(=O)NC1CCN(Cc2c(F)cc([O-])cc2F)CC1 ZINC001144561935 772565170 /nfs/dbraw/zinc/56/51/70/772565170.db2.gz QENJIKYBNGRXCW-UHFFFAOYSA-N -1 1 313.348 1.906 20 0 DDADMM CC(C)(O)CCN1CCN(Cc2sccc2C(=O)[O-])CC1 ZINC001144684961 772596373 /nfs/dbraw/zinc/59/63/73/772596373.db2.gz RUYBZUFFVOGTPC-UHFFFAOYSA-N -1 1 312.435 1.725 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cc(=O)[nH]c(=O)[nH]1 ZINC001147693440 773203913 /nfs/dbraw/zinc/20/39/13/773203913.db2.gz LITHJYGMNRRLCB-UHFFFAOYSA-N -1 1 313.273 1.031 20 0 DDADMM CC(C)(C)Cn1c(=O)[nH]c2cccc(C(=O)Nc3nnn[n-]3)c21 ZINC001147758015 773217206 /nfs/dbraw/zinc/21/72/06/773217206.db2.gz OAFVWVNVQXRMSN-UHFFFAOYSA-N -1 1 315.337 1.553 20 0 DDADMM CC(C)(C)Cn1c(=O)[nH]c2cccc(C(=O)Nc3nn[n-]n3)c21 ZINC001147758015 773217213 /nfs/dbraw/zinc/21/72/13/773217213.db2.gz OAFVWVNVQXRMSN-UHFFFAOYSA-N -1 1 315.337 1.553 20 0 DDADMM CC1=C(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)SC(C)N1 ZINC001147735606 773224859 /nfs/dbraw/zinc/22/48/59/773224859.db2.gz KDPLHOLVIQZASN-UHFFFAOYSA-N -1 1 323.358 1.662 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC(=O)[C@@H]3CCCC[C@H]32)c1[O-] ZINC001147834376 773260744 /nfs/dbraw/zinc/26/07/44/773260744.db2.gz RJZCHDGLQGGDOC-CHWSQXEVSA-N -1 1 318.373 1.562 20 0 DDADMM Cc1ncc(CO)c(C(=O)NC[C@@H](CO)c2ccccc2)c1[O-] ZINC001147848091 773269164 /nfs/dbraw/zinc/26/91/64/773269164.db2.gz FHBAVLAAPJDYMI-ZDUSSCGKSA-N -1 1 316.357 1.094 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N[C@@H]3C[C@H](O)C3(C)C)cc2)[n-]1 ZINC001148341282 773442714 /nfs/dbraw/zinc/44/27/14/773442714.db2.gz XAJPQGAMUAWPFR-KGLIPLIRSA-N -1 1 315.373 1.688 20 0 DDADMM CN1CCOC[C@@H]1CNC(=O)c1ccc2cccnc2c1[O-] ZINC001149330364 773661465 /nfs/dbraw/zinc/66/14/65/773661465.db2.gz XRGGHZMDBLGMKG-LBPRGKRZSA-N -1 1 301.346 1.001 20 0 DDADMM CC(C)=CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001074796478 774143269 /nfs/dbraw/zinc/14/32/69/774143269.db2.gz DEPQQZNKAMLUDH-QWHCGFSZSA-N -1 1 317.389 1.863 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001074959423 774266654 /nfs/dbraw/zinc/26/66/54/774266654.db2.gz LJWWSAJVHHRHFS-SYEHKZFSSA-N -1 1 319.409 1.026 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CC12CCCC2 ZINC001075018595 774301989 /nfs/dbraw/zinc/30/19/89/774301989.db2.gz GMTOXSUCERBRDV-SRVKXCTJSA-N -1 1 319.409 1.170 20 0 DDADMM Cc1nc(Cl)cc(NCCCNC(=O)c2ncccc2[O-])n1 ZINC001094375486 775819593 /nfs/dbraw/zinc/81/95/93/775819593.db2.gz AAQUVUGRMZSASL-UHFFFAOYSA-N -1 1 321.768 1.771 20 0 DDADMM C[C@](O)(Cn1ccccc1=O)C(=O)Nc1cccc(F)c1[O-] ZINC001171636628 776405357 /nfs/dbraw/zinc/40/53/57/776405357.db2.gz IBWFUQYQUVWQHM-HNNXBMFYSA-N -1 1 306.293 1.083 20 0 DDADMM C[C@H]1[C@H](N[C@H]2CC[C@@H](c3ccccc3)C2)C(=O)N1S(=O)(=O)[O-] ZINC001172552527 776808179 /nfs/dbraw/zinc/80/81/79/776808179.db2.gz ZYXYMYBWEVCLOU-GHYVTOPFSA-N -1 1 324.402 1.314 20 0 DDADMM CN(CCNc1ccnc(C2CC2)n1)C(=O)c1ncccc1[O-] ZINC001101561160 777250233 /nfs/dbraw/zinc/25/02/33/777250233.db2.gz LUIWAKZNBYAQGR-UHFFFAOYSA-N -1 1 313.361 1.639 20 0 DDADMM CC(=O)c1cccc([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001173902402 777370672 /nfs/dbraw/zinc/37/06/72/777370672.db2.gz HPVHWKNAHWSCOF-UHFFFAOYSA-N -1 1 305.359 1.854 20 0 DDADMM Nc1cccc(S(=O)(=O)[N-]c2ccc(-c3nn[nH]n3)cc2)c1 ZINC001175430266 777787425 /nfs/dbraw/zinc/78/74/25/777787425.db2.gz NBMGWUULEQITFH-UHFFFAOYSA-N -1 1 316.346 1.250 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccn(-c2ccccc2)n1 ZINC001176725344 778221567 /nfs/dbraw/zinc/22/15/67/778221567.db2.gz KHZWVIOEMCGXAO-UHFFFAOYSA-N -1 1 309.333 1.195 20 0 DDADMM COC(CN(C(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1)OC ZINC001177723448 778702497 /nfs/dbraw/zinc/70/24/97/778702497.db2.gz GNRVDYIQWAMAEU-UHFFFAOYSA-N -1 1 322.390 1.242 20 0 DDADMM CSc1nc(NC(=O)C2=Cc3cccn3C2=O)cc(=O)[n-]1 ZINC001177817931 778727250 /nfs/dbraw/zinc/72/72/50/778727250.db2.gz WZTAJJYPSFKBCF-UHFFFAOYSA-N -1 1 302.315 1.382 20 0 DDADMM COC[C@@H](NC(=O)C=CSc1ccccc1)c1nn[n-]n1 ZINC001177826865 778732573 /nfs/dbraw/zinc/73/25/73/778732573.db2.gz BUXOZQUEVDHKKG-SKVAFPRGSA-N -1 1 305.363 1.309 20 0 DDADMM COC[C@@H](NC(=O)/C=C\Sc1ccccc1)c1nn[n-]n1 ZINC001177826865 778732578 /nfs/dbraw/zinc/73/25/78/778732578.db2.gz BUXOZQUEVDHKKG-SKVAFPRGSA-N -1 1 305.363 1.309 20 0 DDADMM Cc1ccnc(C2(C(=O)Nc3[nH]c(=O)[n-]c(=O)c3N=O)CC2)c1 ZINC001178064773 778846296 /nfs/dbraw/zinc/84/62/96/778846296.db2.gz BUTFFLKUDKAHPT-UHFFFAOYSA-N -1 1 315.289 1.659 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[C@@]3(CO)CCC[C@@H]23)c1 ZINC001178108200 778875175 /nfs/dbraw/zinc/87/51/75/778875175.db2.gz YWKVXGXHKHHNBJ-RHSMWYFYSA-N -1 1 303.358 1.890 20 0 DDADMM CCCCC[C@H](O)C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001178686247 779139633 /nfs/dbraw/zinc/13/96/33/779139633.db2.gz AFQHLESAMRKMGR-JTQLQIEISA-N -1 1 311.382 1.483 20 0 DDADMM O=S(=O)([N-]CCCOCC1CC1)c1ccc(Cl)nc1F ZINC000692877510 779490666 /nfs/dbraw/zinc/49/06/66/779490666.db2.gz LIXLUXNNYNHKLI-UHFFFAOYSA-N -1 1 322.789 1.969 20 0 DDADMM CC(=O)N(C)CCC(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001180220948 779658329 /nfs/dbraw/zinc/65/83/29/779658329.db2.gz WCTYTDYTNOKZIH-UHFFFAOYSA-N -1 1 302.334 1.385 20 0 DDADMM CCOC(=O)c1[nH]ccc1[N-]S(=O)(=O)CCOCC1CC1 ZINC001118122986 781038120 /nfs/dbraw/zinc/03/81/20/781038120.db2.gz GWFILLBZQPDUIW-UHFFFAOYSA-N -1 1 316.379 1.360 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2C=CCCC2)c1C(F)(F)F ZINC001118996498 781293653 /nfs/dbraw/zinc/29/36/53/781293653.db2.gz OPEJZVZHZXOQDS-MRVPVSSYSA-N -1 1 309.313 1.826 20 0 DDADMM C[C@H]1CCCC[C@@H]1CC(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001267136230 837404123 /nfs/dbraw/zinc/40/41/23/837404123.db2.gz ADDNBLQKCLVMJG-NWDGAFQWSA-N -1 1 309.414 1.275 20 0 DDADMM Cc1ccoc1C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001267498127 838185320 /nfs/dbraw/zinc/18/53/20/838185320.db2.gz OQPVGTKQOWGCJQ-UHFFFAOYSA-N -1 1 321.381 1.442 20 0 DDADMM CC(C)N(CCCNC(=O)[C@H]1CC[C@@H](C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001267510172 838215977 /nfs/dbraw/zinc/21/59/77/838215977.db2.gz FRUAPJPYIDFGFA-OLZOCXBDSA-N -1 1 323.441 1.663 20 0 DDADMM CCC1(CNS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCCC1 ZINC001414230628 844489214 /nfs/dbraw/zinc/48/92/14/844489214.db2.gz KCPWXBYUIZIALO-UHFFFAOYSA-N -1 1 315.395 1.445 20 0 DDADMM CCC1(CNS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCCC1 ZINC001414230628 844489219 /nfs/dbraw/zinc/48/92/19/844489219.db2.gz KCPWXBYUIZIALO-UHFFFAOYSA-N -1 1 315.395 1.445 20 0 DDADMM CCCCC[C@H](C)CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001268454920 840225138 /nfs/dbraw/zinc/22/51/38/840225138.db2.gz HIVKVBYSDISKKR-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM CCN(C(=O)[C@@H]1CC12CN(C(=O)c1ncccc1[O-])C2)C(C)C ZINC001269347183 841552073 /nfs/dbraw/zinc/55/20/73/841552073.db2.gz UNNKUTHMIRMDAV-LBPRGKRZSA-N -1 1 317.389 1.506 20 0 DDADMM CCCSCC(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001284513588 841650910 /nfs/dbraw/zinc/65/09/10/841650910.db2.gz BWRYFBVDFFHEFN-UHFFFAOYSA-N -1 1 311.407 1.119 20 0 DDADMM CC(C)(C)/C=C/C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001269532391 841731799 /nfs/dbraw/zinc/73/17/99/841731799.db2.gz GRJLXQFQPYJFCT-RMKNXTFCSA-N -1 1 321.425 1.631 20 0 DDADMM NC(=O)[C@@H]1CC12CCN(C(=O)c1cc(Cl)ccc1[O-])CC2 ZINC001269574129 841781034 /nfs/dbraw/zinc/78/10/34/841781034.db2.gz NCUSAAOFKFIZOG-NSHDSACASA-N -1 1 308.765 1.773 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CN(C(=O)c1cc(Cl)ccc1[O-])C2 ZINC001269576702 841783019 /nfs/dbraw/zinc/78/30/19/841783019.db2.gz SYLZBJZADFAXCU-RFAUZJTJSA-N -1 1 308.765 1.596 20 0 DDADMM CC(C)(C)NC(=O)[C@@H]1CC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001270139422 842329533 /nfs/dbraw/zinc/32/95/33/842329533.db2.gz WFIXPWNEFGDVTI-NSHDSACASA-N -1 1 303.362 1.164 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2CCCC(C)(C)O2)sn1 ZINC001364145200 843034840 /nfs/dbraw/zinc/03/48/40/843034840.db2.gz AXKIHGDWRKQLPM-VIFPVBQESA-N -1 1 320.436 1.778 20 0 DDADMM O=C([O-])[C@@]1(C(F)(F)F)CC[N@@H+](CCOC[C@@H]2CCCO2)C1 ZINC001326575152 861455293 /nfs/dbraw/zinc/45/52/93/861455293.db2.gz AMFXFCDYTYXTME-CMPLNLGQSA-N -1 1 311.300 1.521 20 0 DDADMM CC[C@@H](C)OCC(=O)N[C@H](CC)CNC(=O)c1ncccc1[O-] ZINC001409261980 844727903 /nfs/dbraw/zinc/72/79/03/844727903.db2.gz WYTDTUDKWNDCIG-VXGBXAGGSA-N -1 1 323.393 1.227 20 0 DDADMM CNC(=O)[C@H]1CC12CCN(C(=O)c1ccc([O-])cc1F)CC2 ZINC001272225868 844777909 /nfs/dbraw/zinc/77/79/09/844777909.db2.gz ZZRURXFMKQDJTR-GFCCVEGCSA-N -1 1 306.337 1.520 20 0 DDADMM CN1C[C@]2(CC1=O)CCCCN2C(=O)c1cc(F)ccc1[O-] ZINC001272387745 846092250 /nfs/dbraw/zinc/09/22/50/846092250.db2.gz AKGMMQFQXRNZFS-MRXNPFEDSA-N -1 1 306.337 1.758 20 0 DDADMM CNC(=O)[C@H]1CC12CCN(C(=O)c1nc(C)ccc1[O-])CC2 ZINC001272387236 846092635 /nfs/dbraw/zinc/09/26/35/846092635.db2.gz ZOFZQAGCAJJEEU-LLVKDONJSA-N -1 1 303.362 1.084 20 0 DDADMM Cc1cc(C)c(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c(=O)[nH]1 ZINC001149493451 861653937 /nfs/dbraw/zinc/65/39/37/861653937.db2.gz WIJAQCAOPQCAQF-CYBMUJFWSA-N -1 1 324.344 1.437 20 0 DDADMM CCCc1n[nH]cc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001149500213 861661389 /nfs/dbraw/zinc/66/13/89/861661389.db2.gz JMXDSTBBAUPKRE-CYBMUJFWSA-N -1 1 311.349 1.395 20 0 DDADMM O=S(=O)([N-][C@@H]1COc2cc(F)cc(F)c21)c1ccns1 ZINC001365699767 846405371 /nfs/dbraw/zinc/40/53/71/846405371.db2.gz QREZWJKXCJZJSE-MRVPVSSYSA-N -1 1 318.326 1.833 20 0 DDADMM COC[C@@H](NC(=O)C(C)=CC1CCC(C)CC1)c1nn[n-]n1 ZINC001155069390 861699475 /nfs/dbraw/zinc/69/94/75/861699475.db2.gz PAMJIPDNNHKNIE-CGTOENKFSA-N -1 1 307.398 1.776 20 0 DDADMM COC[C@@H](NC(=O)/C(C)=C\C1CCC(C)CC1)c1nn[n-]n1 ZINC001155069390 861699484 /nfs/dbraw/zinc/69/94/84/861699484.db2.gz PAMJIPDNNHKNIE-CGTOENKFSA-N -1 1 307.398 1.776 20 0 DDADMM COC(=O)[C@H](C)N(C)C(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149646577 861781409 /nfs/dbraw/zinc/78/14/09/861781409.db2.gz OUYIIKLIAVISJT-VIFPVBQESA-N -1 1 303.314 1.885 20 0 DDADMM CCCC1(C(=O)N(C)C2CC(NC(=O)c3cnn[nH]3)C2)CC1 ZINC001396193569 847448313 /nfs/dbraw/zinc/44/83/13/847448313.db2.gz AFEOBKRSUUUHEY-UHFFFAOYSA-N -1 1 305.382 1.104 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CC[C@H](C2CC2)O1)C(C)C ZINC001364734570 847957203 /nfs/dbraw/zinc/95/72/03/847957203.db2.gz AABOBLULXOEPBD-XQQFMLRXSA-N -1 1 319.423 1.061 20 0 DDADMM O=C(Nc1cn[nH]c(=O)c1Cl)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155261416 861906287 /nfs/dbraw/zinc/90/62/87/861906287.db2.gz QFMCQGYFDIGUPO-UHFFFAOYSA-N -1 1 305.681 1.670 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCC[C@H](n2cncn2)C1 ZINC001155266712 861912267 /nfs/dbraw/zinc/91/22/67/861912267.db2.gz NXWXUQGCQFPGEJ-JTQLQIEISA-N -1 1 312.333 1.337 20 0 DDADMM O=C(N[C@@H]1CCCc2nccnc21)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273758 861922845 /nfs/dbraw/zinc/92/28/45/861922845.db2.gz VKBSTGUJRGJBIZ-GFCCVEGCSA-N -1 1 309.329 1.866 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c[nH]c2cccc(C(F)(F)F)c12 ZINC001155319260 861956990 /nfs/dbraw/zinc/95/69/90/861956990.db2.gz UWFALNMTITYRRK-UHFFFAOYSA-N -1 1 310.239 1.630 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(C2CCC2)CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001410415876 849227055 /nfs/dbraw/zinc/22/70/55/849227055.db2.gz BCYIQYSFMYWDNC-NSHDSACASA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H]1c2nc(C(N)=O)cn2CCN1C(=O)c1ccc([O-])cc1F ZINC001275399796 853066407 /nfs/dbraw/zinc/06/64/07/853066407.db2.gz WFWBUCJAXBGHOI-MRVPVSSYSA-N -1 1 318.308 1.044 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC[C@@H]2C[C@@]21C(=O)N1CC=CC1 ZINC001275409078 853082667 /nfs/dbraw/zinc/08/26/67/853082667.db2.gz YFHHJECGEKSABU-PXAZEXFGSA-N -1 1 316.332 1.534 20 0 DDADMM NC(=O)c1noc2c1CN(C(=O)c1c([O-])cccc1Cl)CC2 ZINC001275466922 853162903 /nfs/dbraw/zinc/16/29/03/853162903.db2.gz WODAFUWTPWZVDN-UHFFFAOYSA-N -1 1 321.720 1.331 20 0 DDADMM CN(C)C(=O)Cn1ccc(NC(=O)c2ccc([O-])cc2F)n1 ZINC001411737543 853660008 /nfs/dbraw/zinc/66/00/08/853660008.db2.gz XTBJARKURSFDMT-UHFFFAOYSA-N -1 1 306.297 1.068 20 0 DDADMM CN(C(=O)c1ccc([O-])cn1)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC001411821520 853783231 /nfs/dbraw/zinc/78/32/31/853783231.db2.gz FBGDVQMAEYMJHF-OLZOCXBDSA-N -1 1 312.391 1.215 20 0 DDADMM CC(C)OCCN1CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001411859098 853847594 /nfs/dbraw/zinc/84/75/94/853847594.db2.gz SCZSLAOCXGRNGZ-UHFFFAOYSA-N -1 1 310.369 1.714 20 0 DDADMM COCc1nc2n(n1)C[C@H](NC(=O)c1ccc(F)c([O-])c1)CC2 ZINC001411887814 853926040 /nfs/dbraw/zinc/92/60/40/853926040.db2.gz ODRZDCZAOCEYSV-SNVBAGLBSA-N -1 1 320.324 1.014 20 0 DDADMM CCC(=CC(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)CC ZINC001327848902 862514301 /nfs/dbraw/zinc/51/43/01/862514301.db2.gz PAFXMNZUQGEIIN-CMPLNLGQSA-N -1 1 307.398 1.193 20 0 DDADMM O=C(NC1CCN(c2cccnn2)CC1)c1ccc(F)c([O-])c1 ZINC001412020489 854114297 /nfs/dbraw/zinc/11/42/97/854114297.db2.gz PVZNILAHXOEBES-UHFFFAOYSA-N -1 1 316.336 1.720 20 0 DDADMM CC(C)(C)NC(=O)N1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001412032086 854130872 /nfs/dbraw/zinc/13/08/72/854130872.db2.gz GUFLWSREPLHIPY-UHFFFAOYSA-N -1 1 306.366 1.053 20 0 DDADMM CCO[C@H]1C[C@@](O)(CNC(=O)c2cccc([O-])c2F)C1(C)C ZINC001412093717 854214651 /nfs/dbraw/zinc/21/46/51/854214651.db2.gz REYAQMCGEDQZIJ-BLLLJJGKSA-N -1 1 311.353 1.827 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C2(CC)COC2)[n-]c1Cl ZINC001412303080 854423429 /nfs/dbraw/zinc/42/34/29/854423429.db2.gz QOYATGNVHYODEQ-UHFFFAOYSA-N -1 1 301.730 1.605 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCCC(=O)C2CC2)n[n-]1 ZINC001412328283 854437756 /nfs/dbraw/zinc/43/77/56/854437756.db2.gz SPNUOTSJIRPCIA-SECBINFHSA-N -1 1 322.365 1.308 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCCC(=O)C2CC2)[n-]1 ZINC001412328283 854437758 /nfs/dbraw/zinc/43/77/58/854437758.db2.gz SPNUOTSJIRPCIA-SECBINFHSA-N -1 1 322.365 1.308 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCCC(=O)C2CC2)n1 ZINC001412328283 854437761 /nfs/dbraw/zinc/43/77/61/854437761.db2.gz SPNUOTSJIRPCIA-SECBINFHSA-N -1 1 322.365 1.308 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCC[C@@H](OC)C2)n[n-]1 ZINC001412326448 854443902 /nfs/dbraw/zinc/44/39/02/854443902.db2.gz JJMRJHLXOMLSCV-GARJFASQSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCC[C@@H](OC)C2)[n-]1 ZINC001412326448 854443904 /nfs/dbraw/zinc/44/39/04/854443904.db2.gz JJMRJHLXOMLSCV-GARJFASQSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCC[C@@H](OC)C2)n1 ZINC001412326448 854443911 /nfs/dbraw/zinc/44/39/11/854443911.db2.gz JJMRJHLXOMLSCV-GARJFASQSA-N -1 1 324.381 1.364 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCCc2cnoc2)c(=O)[n-]1 ZINC001412340702 854455461 /nfs/dbraw/zinc/45/54/61/854455461.db2.gz MKXIBJMHOWQLGT-UHFFFAOYSA-N -1 1 322.390 1.492 20 0 DDADMM Cc1nc(CN2CCN(C(=O)c3ccc([O-])cn3)CC2)oc1C ZINC001412508236 854639858 /nfs/dbraw/zinc/63/98/58/854639858.db2.gz VYCZRIRXQWHEKD-UHFFFAOYSA-N -1 1 316.361 1.350 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001412571888 854742110 /nfs/dbraw/zinc/74/21/10/854742110.db2.gz OERYZJWWJXALNJ-CABZTGNLSA-N -1 1 303.322 1.142 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](c2ccco2)C1 ZINC001412578362 854750436 /nfs/dbraw/zinc/75/04/36/854750436.db2.gz YXRNKUKOBKZDNU-MRVPVSSYSA-N -1 1 305.290 1.119 20 0 DDADMM Cc1nc([C@H]2CCN(C(=O)c3cnc(C4CC4)[n-]c3=O)C2)no1 ZINC001412608440 854806229 /nfs/dbraw/zinc/80/62/29/854806229.db2.gz YDGGOHWGBGLWEN-JTQLQIEISA-N -1 1 315.333 1.381 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc2[nH]cnc2c1 ZINC001151085508 862618309 /nfs/dbraw/zinc/61/83/09/862618309.db2.gz OTTNVDMCWGVHKW-UHFFFAOYSA-N -1 1 300.234 1.414 20 0 DDADMM CN(C)C(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1cc([O-])cc(F)c1 ZINC001276183913 854921467 /nfs/dbraw/zinc/92/14/67/854921467.db2.gz XLPCUWNEMCSHQT-KTJYFRGRSA-N -1 1 320.364 1.764 20 0 DDADMM CCOC[C@@H](C(=O)OC)N(C)C(=O)c1cc(Cl)ccc1[O-] ZINC001412679350 854932003 /nfs/dbraw/zinc/93/20/03/854932003.db2.gz IZBQPGOQKHINEM-NSHDSACASA-N -1 1 315.753 1.696 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NN1C[C@H]2CCC[C@@H]2C1 ZINC001412825894 855310000 /nfs/dbraw/zinc/31/00/00/855310000.db2.gz MKRRAKPWLRKDNO-VXGBXAGGSA-N -1 1 304.394 1.991 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc3n[nH]nc3cc2F)n1 ZINC001156072172 862701648 /nfs/dbraw/zinc/70/16/48/862701648.db2.gz JLJNPBDHICLITC-UHFFFAOYSA-N -1 1 318.268 1.249 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCCN(C(=O)C2CC2)CC1 ZINC001412852050 855728098 /nfs/dbraw/zinc/72/80/98/855728098.db2.gz YHSFYKPAZKJFGT-UHFFFAOYSA-N -1 1 306.337 1.616 20 0 DDADMM COC(=O)c1cc(C)nc(NCc2cc(=O)[n-]c(SC)n2)n1 ZINC001412888967 855758118 /nfs/dbraw/zinc/75/81/18/855758118.db2.gz DBYHVBRJNLADHN-UHFFFAOYSA-N -1 1 321.362 1.401 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2cc(=O)c(O)co2)[n-]c1=O ZINC001413078567 856374698 /nfs/dbraw/zinc/37/46/98/856374698.db2.gz NOPMDEIHPOWKPK-JTQLQIEISA-N -1 1 319.317 1.187 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](CC(C)C)OC)n[n-]1 ZINC001413268648 856594682 /nfs/dbraw/zinc/59/46/82/856594682.db2.gz IIDAIQHENRIFRT-NXEZZACHSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](CC(C)C)OC)[n-]1 ZINC001413268648 856594692 /nfs/dbraw/zinc/59/46/92/856594692.db2.gz IIDAIQHENRIFRT-NXEZZACHSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](CC(C)C)OC)n1 ZINC001413268648 856594698 /nfs/dbraw/zinc/59/46/98/856594698.db2.gz IIDAIQHENRIFRT-NXEZZACHSA-N -1 1 312.370 1.220 20 0 DDADMM CCNc1cccnc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001413299166 856621894 /nfs/dbraw/zinc/62/18/94/856621894.db2.gz MYUJBWWETZUHAM-JTQLQIEISA-N -1 1 321.410 1.251 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])cn2)C[C@H](CC(F)(F)F)O1 ZINC001413314082 856634547 /nfs/dbraw/zinc/63/45/47/856634547.db2.gz AEJJQCUIPFJCNK-WPRPVWTQSA-N -1 1 304.268 1.969 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C2C[C@@H](C)O[C@H](C)C2)sn1 ZINC001413322100 856651081 /nfs/dbraw/zinc/65/10/81/856651081.db2.gz CVVCYFLSKFXHSM-HTQZYQBOSA-N -1 1 306.409 1.386 20 0 DDADMM CCC(C)(C)[C@@H](O)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001413326751 856657053 /nfs/dbraw/zinc/65/70/53/856657053.db2.gz AEBNPWVTHBNHRO-RYUDHWBXSA-N -1 1 303.366 1.202 20 0 DDADMM CO[C@](C)(C(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccccc1 ZINC001413338828 856686775 /nfs/dbraw/zinc/68/67/75/856686775.db2.gz GETDHKQSKXQIMS-HNNXBMFYSA-N -1 1 303.318 1.066 20 0 DDADMM Cc1ccsc1[C@H]1C[C@@H]1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001413511169 856910860 /nfs/dbraw/zinc/91/08/60/856910860.db2.gz PWDMPADVWCMGSX-UWVGGRQHSA-N -1 1 303.391 1.869 20 0 DDADMM COC[C@H](NC(=O)c1coc(C2CCCCC2)n1)c1nn[n-]n1 ZINC001413559490 857068330 /nfs/dbraw/zinc/06/83/30/857068330.db2.gz ZEMJGQNQQAUJOA-JTQLQIEISA-N -1 1 320.353 1.353 20 0 DDADMM COCC1CCC(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC001413561610 857072199 /nfs/dbraw/zinc/07/21/99/857072199.db2.gz NHTUCKCTPMDUGU-UHFFFAOYSA-N -1 1 317.393 1.669 20 0 DDADMM C[C@@H](NC(=O)CN(C)CCc1ccccc1)c1nn(C)cc1[O-] ZINC001413753363 858487555 /nfs/dbraw/zinc/48/75/55/858487555.db2.gz BBVTZZCOSJWUIW-CYBMUJFWSA-N -1 1 316.405 1.477 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1C[C@@H](C)c2ccccc21 ZINC001121777047 858593787 /nfs/dbraw/zinc/59/37/87/858593787.db2.gz WAJLAYZYQYUOGR-SNVBAGLBSA-N -1 1 310.365 1.657 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1C[C@@H](C)c2ccccc21 ZINC001121777047 858593795 /nfs/dbraw/zinc/59/37/95/858593795.db2.gz WAJLAYZYQYUOGR-SNVBAGLBSA-N -1 1 310.365 1.657 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1ccc([C@@H](C)O)cc1 ZINC001123732176 859383732 /nfs/dbraw/zinc/38/37/32/859383732.db2.gz RXSBWPVUDVFLIN-SECBINFHSA-N -1 1 319.386 1.763 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cc(C2CC2)nn1C ZINC001123894805 859460386 /nfs/dbraw/zinc/46/03/86/859460386.db2.gz BBRCNZWPQIQOIO-UHFFFAOYSA-N -1 1 305.363 1.643 20 0 DDADMM O=C(C=CC=C(Cl)Cl)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123911002 859468754 /nfs/dbraw/zinc/46/87/54/859468754.db2.gz ZLBWSWUFYCXWBR-AMFUIUNQSA-N -1 1 316.192 1.856 20 0 DDADMM O=C(c1cnn(Cc2ccccc2)c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123960728 859497676 /nfs/dbraw/zinc/49/76/76/859497676.db2.gz DYTJOAOXZLTPSP-ZDUSSCGKSA-N -1 1 323.360 1.074 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123999383 859518925 /nfs/dbraw/zinc/51/89/25/859518925.db2.gz VWXBTTCDFQGLNV-SGUJLRQBSA-N -1 1 318.406 1.459 20 0 DDADMM C[C@@H]1C[C@H](OCC[P@](=O)([O-])O)CCN1C(=O)OC(C)(C)C ZINC001224601339 881463975 /nfs/dbraw/zinc/46/39/75/881463975.db2.gz GVOVHTLNALFJDM-GHMZBOCLSA-N -1 1 323.326 1.969 20 0 DDADMM O=C(Nc1cnccc1CO)c1ccc2n[n-]c(=S)n2c1 ZINC001137557585 859939810 /nfs/dbraw/zinc/93/98/10/859939810.db2.gz OWIUIQSZJBPNAQ-UHFFFAOYSA-N -1 1 301.331 1.158 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(-c2cn[nH]c2)cc1)c1nn[n-]n1 ZINC001138058112 860002770 /nfs/dbraw/zinc/00/27/70/860002770.db2.gz UDLKIGNCEKNZEZ-SNVBAGLBSA-N -1 1 311.349 1.466 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@@H]2CCOC2)C1 ZINC000830823027 860011018 /nfs/dbraw/zinc/01/10/18/860011018.db2.gz SPFLIEYJEZSIBR-CABZTGNLSA-N -1 1 308.300 1.083 20 0 DDADMM O=C(NCc1nc(C(F)(F)F)no1)C(=O)c1ccc([O-])cc1 ZINC001138143231 860016524 /nfs/dbraw/zinc/01/65/24/860016524.db2.gz FMIQPNKXXKTQGC-UHFFFAOYSA-N -1 1 315.207 1.293 20 0 DDADMM COc1cccc(C[N@H+]2CCC[C@@H](C)[C@H]2CO)c1OCC(=O)[O-] ZINC001139268662 860343343 /nfs/dbraw/zinc/34/33/43/860343343.db2.gz PPKQLXRYZGIWFB-TZMCWYRMSA-N -1 1 323.389 1.751 20 0 DDADMM COc1cccc(C[N@H+]2CCCO[C@H](C)C2)c1OCC(=O)[O-] ZINC001139267981 860343377 /nfs/dbraw/zinc/34/33/77/860343377.db2.gz JMNWFSRQSPBMRI-GFCCVEGCSA-N -1 1 309.362 1.769 20 0 DDADMM COc1cccc(CN2CCCO[C@H](C)C2)c1OCC(=O)[O-] ZINC001139267981 860343380 /nfs/dbraw/zinc/34/33/80/860343380.db2.gz JMNWFSRQSPBMRI-GFCCVEGCSA-N -1 1 309.362 1.769 20 0 DDADMM Cc1[nH]c(CN2CCC3(COC(=O)N3)CC2)c(C)c1C(=O)[O-] ZINC001140496364 860645310 /nfs/dbraw/zinc/64/53/10/860645310.db2.gz CEWRFSJBPROCQI-UHFFFAOYSA-N -1 1 307.350 1.404 20 0 DDADMM COC(=O)[C@]1(C)COCCN1Cc1cc(F)c([O-])c(F)c1 ZINC001140994568 860754479 /nfs/dbraw/zinc/75/44/79/860754479.db2.gz SDKYDPWYELQIIU-AWEZNQCLSA-N -1 1 301.289 1.434 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H](c1nc[nH]n1)c1ccccc1 ZINC001141939994 860975389 /nfs/dbraw/zinc/97/53/89/860975389.db2.gz PJENPBVCRBNTKK-CQSZACIVSA-N -1 1 309.329 1.767 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001141939994 860975411 /nfs/dbraw/zinc/97/54/11/860975411.db2.gz PJENPBVCRBNTKK-CQSZACIVSA-N -1 1 309.329 1.767 20 0 DDADMM O=C(Nc1ccccc1Cn1cncn1)C(=O)c1ccc([O-])cc1 ZINC001142031605 861001480 /nfs/dbraw/zinc/00/14/80/861001480.db2.gz LHVGALBYZGZDPD-UHFFFAOYSA-N -1 1 322.324 1.853 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2onc3ccccc32)c[n-]1 ZINC001154372345 861052625 /nfs/dbraw/zinc/05/26/25/861052625.db2.gz GIUOCXXLELALKN-UHFFFAOYSA-N -1 1 300.274 1.980 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2ccnc(C)c2)[n-]1 ZINC001142248727 861087577 /nfs/dbraw/zinc/08/75/77/861087577.db2.gz MKEMNVFXAIFGMX-UHFFFAOYSA-N -1 1 302.334 1.861 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2ccnc(C)c2)n1 ZINC001142248727 861087591 /nfs/dbraw/zinc/08/75/91/861087591.db2.gz MKEMNVFXAIFGMX-UHFFFAOYSA-N -1 1 302.334 1.861 20 0 DDADMM Cc1ccc2ncc([O-])c(C(=O)n3c(N)csc3=N)c2c1 ZINC001154527425 861175064 /nfs/dbraw/zinc/17/50/64/861175064.db2.gz BCLROSQHTZMWMA-UHFFFAOYSA-N -1 1 300.343 1.862 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cc2sccc2[nH]1 ZINC001152267782 863248040 /nfs/dbraw/zinc/24/80/40/863248040.db2.gz HPWJMNSBDLQWBT-UHFFFAOYSA-N -1 1 316.302 1.511 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cn2cnccc2n1 ZINC001152481408 863385085 /nfs/dbraw/zinc/38/50/85/863385085.db2.gz LBXWJXKMXLLLRG-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2cc(=O)c3cccc(O)c3[n-]2)CCO1 ZINC001152682198 863493203 /nfs/dbraw/zinc/49/32/03/863493203.db2.gz VOMPGUIIKTVKQR-NXEZZACHSA-N -1 1 302.330 1.943 20 0 DDADMM CN(CCC(N)=O)C(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC001157065769 863547069 /nfs/dbraw/zinc/54/70/69/863547069.db2.gz PTEISJKCRRNFCL-UHFFFAOYSA-N -1 1 316.313 1.412 20 0 DDADMM CC/C(C)=C\C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001329819467 863875849 /nfs/dbraw/zinc/87/58/49/863875849.db2.gz WWFLIHZRQGNBNX-XFXZXTDPSA-N -1 1 321.425 1.775 20 0 DDADMM Cn1ncc2cccc(C(=O)Nc3[nH]c(=O)[n-]c(=O)c3N=O)c21 ZINC001153674986 864012659 /nfs/dbraw/zinc/01/26/59/864012659.db2.gz ADZOJBRZCTVWQH-UHFFFAOYSA-N -1 1 314.261 1.425 20 0 DDADMM O=c1[n-]nc([C@@H]2CCCN(c3ncnc4scnc43)C2)o1 ZINC001330047025 864044585 /nfs/dbraw/zinc/04/45/85/864044585.db2.gz TZPAQFPJGUFNGH-SSDOTTSWSA-N -1 1 304.335 1.559 20 0 DDADMM Cc1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)n2nccc2n1 ZINC001153979027 864274319 /nfs/dbraw/zinc/27/43/19/864274319.db2.gz MNCYZSHPWHISKE-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC001330386805 864296756 /nfs/dbraw/zinc/29/67/56/864296756.db2.gz DWPBPCKBIZOLAV-IJLUTSLNSA-N -1 1 322.792 1.967 20 0 DDADMM COc1c(Cl)nc(C)nc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001159184882 865152572 /nfs/dbraw/zinc/15/25/72/865152572.db2.gz AOUQFFQFQHGBNU-UHFFFAOYSA-N -1 1 323.700 1.220 20 0 DDADMM CCOC(=O)c1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc1 ZINC001159185700 865152861 /nfs/dbraw/zinc/15/28/61/865152861.db2.gz VGOZKMPGESQHEP-UHFFFAOYSA-N -1 1 316.277 1.031 20 0 DDADMM CCCCc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)ncn1 ZINC001159195977 865159891 /nfs/dbraw/zinc/15/98/91/865159891.db2.gz UCCMPLJREWWDNU-UHFFFAOYSA-N -1 1 301.310 1.592 20 0 DDADMM CSCc1ccnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001159195881 865160458 /nfs/dbraw/zinc/16/04/58/865160458.db2.gz RBYPFBJNLAQKSQ-UHFFFAOYSA-N -1 1 304.335 1.717 20 0 DDADMM COC(=O)c1cnc(Nc2[n-]c(=O)nc3nc[nH]c32)c(F)c1 ZINC001159198162 865161932 /nfs/dbraw/zinc/16/19/32/865161932.db2.gz HXYQZLRXKWLBDF-UHFFFAOYSA-N -1 1 304.241 1.123 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)[C@@](C)(OC)C2CC2)sn1 ZINC001475391824 865615078 /nfs/dbraw/zinc/61/50/78/865615078.db2.gz SQQIXWGRSIRTGA-QPUJVOFHSA-N -1 1 320.436 1.634 20 0 DDADMM COc1ccc2cc(C(=O)OCc3nc(=O)n(C)[n-]3)ccc2c1 ZINC001320260071 866596112 /nfs/dbraw/zinc/59/61/12/866596112.db2.gz MBSOGWZBEYQPON-UHFFFAOYSA-N -1 1 313.313 1.627 20 0 DDADMM NC(=O)Nc1ccc(CNC(=O)c2cccc([O-])c2F)cc1 ZINC001361613179 882005783 /nfs/dbraw/zinc/00/57/83/882005783.db2.gz MUUPKGWENJITED-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-][C@H](c1nc[nH]n1)c1ccccc1 ZINC001320666315 866927693 /nfs/dbraw/zinc/92/76/93/866927693.db2.gz RWVANXJRICEBGQ-LBPRGKRZSA-N -1 1 319.346 1.002 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3scnc3C(F)F)ccnc1-2 ZINC001361627402 882039409 /nfs/dbraw/zinc/03/94/09/882039409.db2.gz BKDFQFMYGNMDLN-UHFFFAOYSA-N -1 1 309.301 1.988 20 0 DDADMM CC(C)[C@H](F)C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001381525384 882069301 /nfs/dbraw/zinc/06/93/01/882069301.db2.gz UGLPQHDZJBUHDJ-GVXVVHGQSA-N -1 1 323.368 1.406 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc(NC(C)=O)cc1 ZINC001324807469 867537224 /nfs/dbraw/zinc/53/72/24/867537224.db2.gz WQZLULCUMGJAHL-UHFFFAOYSA-N -1 1 322.361 1.577 20 0 DDADMM O=C([O-])c1ccc(C(=O)NCc2n[nH]c(-c3ccccc3)n2)o1 ZINC001324969107 867667554 /nfs/dbraw/zinc/66/75/54/867667554.db2.gz YQTNPOLMNBPJFA-UHFFFAOYSA-N -1 1 312.285 1.693 20 0 DDADMM O=C([O-])c1ccc(C(=O)NCc2nc(-c3ccccc3)n[nH]2)o1 ZINC001324969107 867667562 /nfs/dbraw/zinc/66/75/62/867667562.db2.gz YQTNPOLMNBPJFA-UHFFFAOYSA-N -1 1 312.285 1.693 20 0 DDADMM CN(C(=O)[C@H]1CCCC[C@H]1NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001361673038 882132634 /nfs/dbraw/zinc/13/26/34/882132634.db2.gz ISYMXRSIDRVUHN-VHSXEESVSA-N -1 1 324.385 1.246 20 0 DDADMM O=C1C[C@@H]2[NH2+]CC[C@@]2([N-]c2c(F)c(F)c(F)c(F)c2F)[N-]1 ZINC001163243304 868250833 /nfs/dbraw/zinc/25/08/33/868250833.db2.gz SIUOKYCKSCNJGF-GPWCVORUSA-N -1 1 307.222 1.372 20 0 DDADMM COc1ncnc2ccc(NCC[N-]C(=O)C(F)(F)F)cc21 ZINC001164243715 869051512 /nfs/dbraw/zinc/05/15/12/869051512.db2.gz BKKYLQHSWLJWDT-UHFFFAOYSA-N -1 1 314.267 1.729 20 0 DDADMM Cc1cc2c(c(N3CC(C)(C)C[C@]3(C)CO)n1)C(=O)[N-]C2=O ZINC001164356380 869149311 /nfs/dbraw/zinc/14/93/11/869149311.db2.gz FSWOHWBPKCXQMV-MRXNPFEDSA-N -1 1 303.362 1.261 20 0 DDADMM CC[C@@H](CCO)[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001337170273 869360311 /nfs/dbraw/zinc/36/03/11/869360311.db2.gz RTDYDFCHGJOCIJ-ZETCQYMHSA-N -1 1 313.206 1.828 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=CC2CCOCC2)n[n-]1 ZINC001166125985 869848954 /nfs/dbraw/zinc/84/89/54/869848954.db2.gz FNLCCFPLFKIOAB-YEZKRMTDSA-N -1 1 322.365 1.141 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=CC2CCOCC2)[n-]1 ZINC001166125985 869848965 /nfs/dbraw/zinc/84/89/65/869848965.db2.gz FNLCCFPLFKIOAB-YEZKRMTDSA-N -1 1 322.365 1.141 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)/C=C/C2CCOCC2)n1 ZINC001166125985 869848977 /nfs/dbraw/zinc/84/89/77/869848977.db2.gz FNLCCFPLFKIOAB-YEZKRMTDSA-N -1 1 322.365 1.141 20 0 DDADMM C[C@H]1CN(c2nnc(-c3cc(Cl)ncc3[O-])n2C)CCCO1 ZINC001338337267 869928874 /nfs/dbraw/zinc/92/88/74/869928874.db2.gz BHLJEHDLTNQQJY-VIFPVBQESA-N -1 1 323.784 1.851 20 0 DDADMM CCOCC(=O)N[C@H](CCNC(=O)c1ncccc1[O-])C(C)C ZINC001381622680 882357177 /nfs/dbraw/zinc/35/71/77/882357177.db2.gz HMDRYAUMKFBCRL-GFCCVEGCSA-N -1 1 323.393 1.084 20 0 DDADMM Cc1ncc2c(n1)CC[C@H](NC(=O)c1ccc([O-])cc1F)C2 ZINC001361796454 882392103 /nfs/dbraw/zinc/39/21/03/882392103.db2.gz WXGADTZWKUVKMD-NSHDSACASA-N -1 1 301.321 1.917 20 0 DDADMM CC[C@H](C)CC(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001298356867 870497964 /nfs/dbraw/zinc/49/79/64/870497964.db2.gz NYHCADYATDCKCM-ITKZLYELSA-N -1 1 305.378 1.626 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001298357568 870498655 /nfs/dbraw/zinc/49/86/55/870498655.db2.gz WVTZCMKSOIHPTK-JGLYPNHGSA-N -1 1 303.362 1.236 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CCC[C@H]1c1ccccc1 ZINC001340069389 870874734 /nfs/dbraw/zinc/87/47/34/870874734.db2.gz LONMXQPNJDMHMB-ZDUSSCGKSA-N -1 1 324.392 1.743 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CCC[C@H]1c1ccccc1 ZINC001340069389 870874739 /nfs/dbraw/zinc/87/47/39/870874739.db2.gz LONMXQPNJDMHMB-ZDUSSCGKSA-N -1 1 324.392 1.743 20 0 DDADMM CCc1nc(CN(C)C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)cs1 ZINC001361838442 882472534 /nfs/dbraw/zinc/47/25/34/882472534.db2.gz PWAYLZDZIPNOCK-UHFFFAOYSA-N -1 1 324.362 1.188 20 0 DDADMM CC(C)(C)OC(=O)N=c1[n-]cc(C(=O)Nn2cnnc2)s1 ZINC001362227669 883351455 /nfs/dbraw/zinc/35/14/55/883351455.db2.gz LWWZOPAAQANQPV-UHFFFAOYSA-N -1 1 310.339 1.466 20 0 DDADMM CC(C)CCCCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001312061304 871730016 /nfs/dbraw/zinc/73/00/16/871730016.db2.gz IAEOGGLLMNQIIA-UHFFFAOYSA-N -1 1 322.409 1.880 20 0 DDADMM Cn1cc(C(N)=O)c(NC(=O)c2cc3ccccc3cc2[O-])n1 ZINC001341686443 871776097 /nfs/dbraw/zinc/77/60/97/871776097.db2.gz ZZKNPURDKWTXLV-UHFFFAOYSA-N -1 1 310.313 1.630 20 0 DDADMM Cc1cnn(CC2CC2)c1NC(=O)NCc1n[n-]c(=S)n1C ZINC000085583556 872229089 /nfs/dbraw/zinc/22/90/89/872229089.db2.gz ZDEIRLRHNRFSIL-UHFFFAOYSA-N -1 1 321.410 1.714 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H]2C[C@]23CCOC3)[n-]c1Cl ZINC001361961945 882717727 /nfs/dbraw/zinc/71/77/27/882717727.db2.gz IOSIYGVDIYVXEJ-UHLUBPPHSA-N -1 1 313.741 1.605 20 0 DDADMM C[C@@H]1C[C@H]1N1C[C@H](NC(=O)c2c([O-])cccc2Cl)CC1=O ZINC001361966182 882726952 /nfs/dbraw/zinc/72/69/52/882726952.db2.gz BPGPPKLSPZQFIE-FXPVBKGRSA-N -1 1 308.765 1.785 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCO[C@H]1CCCCO1 ZINC001347607908 874210461 /nfs/dbraw/zinc/21/04/61/874210461.db2.gz HBEKHACEVUZGNP-VIFPVBQESA-N -1 1 313.379 1.052 20 0 DDADMM O=C(NCC1CC1)[C@@H]1CSCN1C(=O)c1cccc([O-])c1F ZINC001362025579 882857299 /nfs/dbraw/zinc/85/72/99/882857299.db2.gz QETGVQUFYFVHAA-NSHDSACASA-N -1 1 324.377 1.573 20 0 DDADMM CC[C@H]1CCCC[C@@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348838487 874821201 /nfs/dbraw/zinc/82/12/01/874821201.db2.gz GKKSFFLLJXIMLE-STQMWFEESA-N -1 1 319.405 1.850 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCN2CC=CC2)c(F)c1 ZINC001348980938 874904001 /nfs/dbraw/zinc/90/40/01/874904001.db2.gz ZTQVBNHLZVFMJL-UHFFFAOYSA-N -1 1 302.346 1.423 20 0 DDADMM O=C(c1c(F)ccc(F)c1Cl)N1CC[C@H](c2nn[n-]n2)C1 ZINC001349001698 874918203 /nfs/dbraw/zinc/91/82/03/874918203.db2.gz BQLZJXWEAWWPMJ-LURJTMIESA-N -1 1 313.695 1.761 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001378572018 875153612 /nfs/dbraw/zinc/15/36/12/875153612.db2.gz ICUVEWYGYHYSMP-VXGBXAGGSA-N -1 1 305.378 1.410 20 0 DDADMM CC(C)SCC(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001349722008 875325076 /nfs/dbraw/zinc/32/50/76/875325076.db2.gz BXZUCBWFZYBHAA-UHFFFAOYSA-N -1 1 311.407 1.165 20 0 DDADMM CC[C@H](CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001210750540 875511604 /nfs/dbraw/zinc/51/16/04/875511604.db2.gz FISWUOYCXOZCCK-CHWSQXEVSA-N -1 1 323.441 1.663 20 0 DDADMM CS(=O)(=O)Cn1cc(Nc2ccnc(Cl)c2[O-])cn1 ZINC001210785024 875531032 /nfs/dbraw/zinc/53/10/32/875531032.db2.gz OAQUCSIHQUVZMW-UHFFFAOYSA-N -1 1 302.743 1.383 20 0 DDADMM COCCS(=O)(=O)CCCSc1ncc(C)c(=O)[n-]1 ZINC001350116363 875539165 /nfs/dbraw/zinc/53/91/65/875539165.db2.gz AZCCYKVEMWEVKS-UHFFFAOYSA-N -1 1 306.409 1.034 20 0 DDADMM O=C(CNC(=O)c1cnc(C2CC2)[n-]c1=O)OC1CCCCC1 ZINC001362062598 882941462 /nfs/dbraw/zinc/94/14/62/882941462.db2.gz JUMXKHVPYZIXOF-UHFFFAOYSA-N -1 1 319.361 1.665 20 0 DDADMM Cc1cc(C)n(C[C@H](NCc2cn3ccccc3n2)C(=O)[O-])n1 ZINC001350650967 875807311 /nfs/dbraw/zinc/80/73/11/875807311.db2.gz BXDHPSJKIGPKSK-AWEZNQCLSA-N -1 1 313.361 1.391 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ccc(N(C)C)cc3)no2)[n-]n1 ZINC001213463755 875946149 /nfs/dbraw/zinc/94/61/49/875946149.db2.gz OJNSDWYHWBKZRD-UHFFFAOYSA-N -1 1 313.317 1.979 20 0 DDADMM CC(C)[C@H](C)C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001381959419 883060491 /nfs/dbraw/zinc/06/04/91/883060491.db2.gz GUGZCDGXTNLUBD-NEPJUHHUSA-N -1 1 307.394 1.656 20 0 DDADMM O=C(Cc1nsc2ccccc21)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001352584947 876817087 /nfs/dbraw/zinc/81/70/87/876817087.db2.gz MNTFMGHRGQPVQS-SECBINFHSA-N -1 1 314.374 1.368 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC(N2CCCC2=O)CC1 ZINC001362128848 883110764 /nfs/dbraw/zinc/11/07/64/883110764.db2.gz YAANNRPNHWGBCF-UHFFFAOYSA-N -1 1 306.337 1.758 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CCCCCCC1 ZINC001379528545 877303427 /nfs/dbraw/zinc/30/34/27/877303427.db2.gz LHWFDGAJKZAJSA-NSHDSACASA-N -1 1 309.414 1.465 20 0 DDADMM COCCN1CCCN(C(=O)c2coc(/C=C\C(=O)[O-])c2)CC1 ZINC001353909395 877641619 /nfs/dbraw/zinc/64/16/19/877641619.db2.gz DAJBWFWWZBAMJZ-ARJAWSKDSA-N -1 1 322.361 1.172 20 0 DDADMM Cc1cc(C(=O)COCc2nn[n-]n2)c(C)n1Cc1ccco1 ZINC001353926107 877649037 /nfs/dbraw/zinc/64/90/37/877649037.db2.gz NAVHNAIFDVLRRV-UHFFFAOYSA-N -1 1 315.333 1.659 20 0 DDADMM Cc1ccccc1[C@@H]1CCN(c2nnc(-c3nnn[n-]3)n2C)C1 ZINC001355334836 878508119 /nfs/dbraw/zinc/50/81/19/878508119.db2.gz RBGSHWWHYOKVKA-LLVKDONJSA-N -1 1 310.365 1.298 20 0 DDADMM Cc1ccccc1[C@@H]1CCN(c2nnc(-c3nn[n-]n3)n2C)C1 ZINC001355334836 878508127 /nfs/dbraw/zinc/50/81/27/878508127.db2.gz RBGSHWWHYOKVKA-LLVKDONJSA-N -1 1 310.365 1.298 20 0 DDADMM CCC(=O)N[C@@H](C)[C@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001380369709 879480304 /nfs/dbraw/zinc/48/03/04/879480304.db2.gz XPTBZJIDWJVFPL-UWVGGRQHSA-N -1 1 322.409 1.647 20 0 DDADMM O=C(NC1=CC(=O)NCC1)c1ccc(C(F)(F)F)cc1[O-] ZINC001221318897 879683747 /nfs/dbraw/zinc/68/37/47/879683747.db2.gz CYXUQSJGYGUKNW-UHFFFAOYSA-N -1 1 300.236 1.545 20 0 DDADMM O=C(NC/C=C/CNC(=O)C1CCCCC1)c1ncccc1[O-] ZINC001357521331 880023259 /nfs/dbraw/zinc/02/32/59/880023259.db2.gz OQTBMWRAAOFUSW-SNAWJCMRSA-N -1 1 317.389 1.770 20 0 DDADMM CSc1cc(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)ccc1C ZINC001357812091 880210682 /nfs/dbraw/zinc/21/06/82/880210682.db2.gz WGBIZJWIWZRMBL-CQSZACIVSA-N -1 1 310.375 1.099 20 0 DDADMM CC/C=C(/C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001287915669 912581092 /nfs/dbraw/zinc/58/10/92/912581092.db2.gz NWTAZTHSXVLQEQ-RLLAQXBXSA-N -1 1 317.389 1.863 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](OCc2nn[n-]n2)CC1(C)C ZINC001223025430 880788484 /nfs/dbraw/zinc/78/84/84/880788484.db2.gz XMJFIEHVXUWONS-SNVBAGLBSA-N -1 1 311.386 1.894 20 0 DDADMM CC(C)(C)OC(=O)N1CCC2(CC(OCc3nn[n-]n3)C2)CC1 ZINC001223029762 880790881 /nfs/dbraw/zinc/79/08/81/880790881.db2.gz PBHKQJVJHWEFEZ-UHFFFAOYSA-N -1 1 323.397 1.896 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccsn1 ZINC001416209510 881002283 /nfs/dbraw/zinc/00/22/83/881002283.db2.gz PSSIMQSQOFAFNU-SECBINFHSA-N -1 1 320.374 1.182 20 0 DDADMM CCOC(=O)[C@@H]1[C@@H]2CC[N@H+](Cc3cc(=O)[nH]c(C4CC4)n3)C[C@@H]21 ZINC001414034115 881143166 /nfs/dbraw/zinc/14/31/66/881143166.db2.gz LBLJMTSXZONTCL-VNHYZAJKSA-N -1 1 317.389 1.691 20 0 DDADMM COC(=O)[C@](C)(NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC001362156073 883171603 /nfs/dbraw/zinc/17/16/03/883171603.db2.gz NEEZWJRCJQECMQ-OAHLLOKOSA-N -1 1 305.334 1.131 20 0 DDADMM O=C([N-]c1nnco1)c1noc2c1CN(CC1CCCC1)CC2 ZINC001277256836 883259834 /nfs/dbraw/zinc/25/98/34/883259834.db2.gz UNEACDXOFFKDPO-UHFFFAOYSA-N -1 1 317.349 1.858 20 0 DDADMM CC[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCCCC1 ZINC001362197702 883270262 /nfs/dbraw/zinc/27/02/62/883270262.db2.gz DXBKANCFZYLYES-ZDUSSCGKSA-N -1 1 319.405 1.992 20 0 DDADMM CCN(C(=O)c1cccc([O-])c1F)[C@@H](C)CS(=O)(=O)CC ZINC001362197558 883270487 /nfs/dbraw/zinc/27/04/87/883270487.db2.gz HHBKKFNOMAWPRI-JTQLQIEISA-N -1 1 317.382 1.817 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])N[C@@H](C)c1ncccn1 ZINC001382069536 883341452 /nfs/dbraw/zinc/34/14/52/883341452.db2.gz RXUZVSADVCGMMX-RYUDHWBXSA-N -1 1 315.377 1.389 20 0 DDADMM COc1ccccc1[C@]1(C)C[C@H]1NC(=O)CCCc1nn[n-]n1 ZINC001362254810 883417896 /nfs/dbraw/zinc/41/78/96/883417896.db2.gz IETPSGWJGRBAHY-CJNGLKHVSA-N -1 1 315.377 1.377 20 0 DDADMM CCC(CC)(CNC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)OC ZINC001362267260 883444470 /nfs/dbraw/zinc/44/44/70/883444470.db2.gz JDMIJJBWLBOCJD-UHFFFAOYSA-N -1 1 321.377 1.769 20 0 DDADMM Cc1sc(Cl)nc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362379185 883674720 /nfs/dbraw/zinc/67/47/20/883674720.db2.gz WAAQCTYOQJBEIY-SSDOTTSWSA-N -1 1 312.786 1.638 20 0 DDADMM CC[C@H](C)n1nccc1C(=O)NC(CC)(CC)c1nn[n-]n1 ZINC001362422998 883775178 /nfs/dbraw/zinc/77/51/78/883775178.db2.gz JHNMACCWKIDVKV-JTQLQIEISA-N -1 1 305.386 1.813 20 0 DDADMM Cn1cc(C[C@@H]2CCN(C(=O)c3ccc(F)c([O-])c3)C2)cn1 ZINC001362425626 883781299 /nfs/dbraw/zinc/78/12/99/883781299.db2.gz HBRVCWDVBGKEQU-NSHDSACASA-N -1 1 303.337 1.970 20 0 DDADMM CC(C)c1nnc([C@@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)[nH]1 ZINC001362485888 883918752 /nfs/dbraw/zinc/91/87/52/883918752.db2.gz SIFLEQDSQLGTSE-MRVPVSSYSA-N -1 1 316.365 1.792 20 0 DDADMM CSc1ncc(C(=O)NCC2([C@H](O)C(C)C)CC2)c(=O)[n-]1 ZINC001362557375 884089159 /nfs/dbraw/zinc/08/91/59/884089159.db2.gz REXSVMIABHXIDZ-SNVBAGLBSA-N -1 1 311.407 1.431 20 0 DDADMM CN(C)c1ccc(NC(=O)c2cccc([O-])c2F)c(C(N)=O)c1 ZINC001362625458 884254840 /nfs/dbraw/zinc/25/48/40/884254840.db2.gz JQASDPUYNRJPJR-UHFFFAOYSA-N -1 1 317.320 1.949 20 0 DDADMM CCC(CC)(NC(=O)C1(c2cc(C)no2)CC1)c1nn[n-]n1 ZINC001362625909 884256967 /nfs/dbraw/zinc/25/69/67/884256967.db2.gz PQXBYBAFTPZZBO-UHFFFAOYSA-N -1 1 304.354 1.359 20 0 DDADMM COC(=O)[C@H](Oc1nc(C)[n-]c(=O)c1OC)c1ccc(F)cc1 ZINC001230023030 884282523 /nfs/dbraw/zinc/28/25/23/884282523.db2.gz ZTJULAWLFOOXCX-LLVKDONJSA-N -1 1 322.292 1.931 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@@H](N2CCCC2=O)CC1 ZINC001362749770 884547842 /nfs/dbraw/zinc/54/78/42/884547842.db2.gz KFICZGBUARVXCM-CYBMUJFWSA-N -1 1 303.362 1.404 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)c1csnn1 ZINC001362768831 884593233 /nfs/dbraw/zinc/59/32/33/884593233.db2.gz GLSZXFWFPGELIJ-RXMQYKEDSA-N -1 1 311.392 1.555 20 0 DDADMM CCC[C@@H]1C[C@@H](C(=O)NC(CC)(CC)c2nn[n-]n2)CCO1 ZINC001362782072 884624423 /nfs/dbraw/zinc/62/44/23/884624423.db2.gz BABYHFGKYPTRJN-NWDGAFQWSA-N -1 1 309.414 1.927 20 0 DDADMM CC(C)[C@@H](NC(=O)CCCc1nn[n-]n1)[C@@H](O)c1ccccc1 ZINC001362793538 884653959 /nfs/dbraw/zinc/65/39/59/884653959.db2.gz OUXAOPTYPGROEW-CVEARBPZSA-N -1 1 317.393 1.397 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1scnc1Cl ZINC001362831186 884747049 /nfs/dbraw/zinc/74/70/49/884747049.db2.gz NZAOSWHQVHZGQB-UHFFFAOYSA-N -1 1 300.775 1.608 20 0 DDADMM CC[C@@H](Oc1ccccc1F)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001362833285 884751191 /nfs/dbraw/zinc/75/11/91/884751191.db2.gz HGENGFPIJDHFMG-LLVKDONJSA-N -1 1 319.340 1.692 20 0 DDADMM COc1cccc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c1O ZINC001362856992 884811267 /nfs/dbraw/zinc/81/12/67/884811267.db2.gz XOAUGABKOHGSPV-UHFFFAOYSA-N -1 1 321.358 1.548 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1coc(C)n1 ZINC001362892056 884899062 /nfs/dbraw/zinc/89/90/62/884899062.db2.gz WTRFPQSDYSZOEB-SNVBAGLBSA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1coc(C)n1 ZINC001362892056 884899069 /nfs/dbraw/zinc/89/90/69/884899069.db2.gz WTRFPQSDYSZOEB-SNVBAGLBSA-N -1 1 319.321 1.255 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1coc(C)n1 ZINC001362892056 884899074 /nfs/dbraw/zinc/89/90/74/884899074.db2.gz WTRFPQSDYSZOEB-SNVBAGLBSA-N -1 1 319.321 1.255 20 0 DDADMM CSc1ncc(C(=O)N2CCOC[C@H]2CC2CC2)c(=O)[n-]1 ZINC001362953493 885073629 /nfs/dbraw/zinc/07/36/29/885073629.db2.gz CJQUTJCTKDFYOT-SNVBAGLBSA-N -1 1 309.391 1.545 20 0 DDADMM CCOC(=O)C1=CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001362955191 885075272 /nfs/dbraw/zinc/07/52/72/885075272.db2.gz FFVFTPAXDQXNHC-LLVKDONJSA-N -1 1 317.345 1.441 20 0 DDADMM CSc1nc(CNC(=O)COc2ccc(F)cc2)cc(=O)[n-]1 ZINC001362962631 885096371 /nfs/dbraw/zinc/09/63/71/885096371.db2.gz VIEUIXRBBHBRSX-UHFFFAOYSA-N -1 1 323.349 1.738 20 0 DDADMM CCOC(=O)[C@@](C)(CNC(=O)c1cnncc1O)CC(C)C ZINC001362964543 885101911 /nfs/dbraw/zinc/10/19/11/885101911.db2.gz KQIWZRLEZVPMNQ-OAHLLOKOSA-N -1 1 309.366 1.528 20 0 DDADMM Cc1cccnc1NC(=O)CCNC(=O)c1ccc([O-])cc1F ZINC001362980695 885136625 /nfs/dbraw/zinc/13/66/25/885136625.db2.gz XIVOTVMGMJSDSY-UHFFFAOYSA-N -1 1 317.320 1.993 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC[C@@](O)(C(F)F)C1 ZINC001362997780 885186943 /nfs/dbraw/zinc/18/69/43/885186943.db2.gz ODAVXXYLGKNVOS-LBPRGKRZSA-N -1 1 319.333 1.055 20 0 DDADMM CCO[C@@H]1C[C@@H]1C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001363158125 885606052 /nfs/dbraw/zinc/60/60/52/885606052.db2.gz VHDROZQUVPTBOO-XWLWVQCSSA-N -1 1 322.327 1.469 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C2CC2)[C@@H]2CCCCO2)c(=O)[n-]1 ZINC001363166645 885624462 /nfs/dbraw/zinc/62/44/62/885624462.db2.gz DLFHGNYFIVODSW-NWDGAFQWSA-N -1 1 323.418 1.982 20 0 DDADMM CC1=C(C(=O)Nc2cc([O-])c(F)cc2F)Cn2nnnc2N1C ZINC001363184428 885661220 /nfs/dbraw/zinc/66/12/20/885661220.db2.gz ODKDBYAYGDLCNH-UHFFFAOYSA-N -1 1 322.275 1.019 20 0 DDADMM CN(C1CN(Cc2cc(C(=O)[O-])ccc2F)C1)[C@@H]1CCOC1 ZINC001231458045 885679171 /nfs/dbraw/zinc/67/91/71/885679171.db2.gz DKNQYSWHJGBGRT-CYBMUJFWSA-N -1 1 308.353 1.429 20 0 DDADMM CC(C)N(Cc1nc(=O)c2sccc2[n-]1)C[C@@H]1CCC(=O)N1 ZINC001363265894 885859797 /nfs/dbraw/zinc/85/97/97/885859797.db2.gz REOFUKVOHPQHHO-JTQLQIEISA-N -1 1 320.418 1.886 20 0 DDADMM CCOC(=O)C1CCN(Cc2ccccc2OCC(=O)[O-])CC1 ZINC001231791292 885874374 /nfs/dbraw/zinc/87/43/74/885874374.db2.gz XIBHSFOXKVEXCY-UHFFFAOYSA-N -1 1 321.373 1.925 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)COc1cccc(Cl)c1 ZINC001363277920 885894016 /nfs/dbraw/zinc/89/40/16/885894016.db2.gz WAIIIKATVGXREW-UHFFFAOYSA-N -1 1 323.784 1.917 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cccc2c1OC(F)(F)O2 ZINC001363278879 885895748 /nfs/dbraw/zinc/89/57/48/885895748.db2.gz WQLRFBIRMDXCMQ-UHFFFAOYSA-N -1 1 323.259 1.330 20 0 DDADMM CC(C)c1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)cc(=O)[nH]1 ZINC001363308415 885975348 /nfs/dbraw/zinc/97/53/48/885975348.db2.gz MJUVORYDTFPTEV-SECBINFHSA-N -1 1 302.338 1.053 20 0 DDADMM CCC[C@H](OC)C(=O)N1CCC[C@H]1c1nn[n-]c1C(=O)OCC ZINC001363349680 886098802 /nfs/dbraw/zinc/09/88/02/886098802.db2.gz JDPICCQFWKDGGL-QWRGUYRKSA-N -1 1 324.381 1.460 20 0 DDADMM CCC[C@H](OC)C(=O)N1CCC[C@H]1c1[n-]nnc1C(=O)OCC ZINC001363349680 886098814 /nfs/dbraw/zinc/09/88/14/886098814.db2.gz JDPICCQFWKDGGL-QWRGUYRKSA-N -1 1 324.381 1.460 20 0 DDADMM CCC[C@H](OC)C(=O)N1CCC[C@H]1c1n[n-]nc1C(=O)OCC ZINC001363349680 886098826 /nfs/dbraw/zinc/09/88/26/886098826.db2.gz JDPICCQFWKDGGL-QWRGUYRKSA-N -1 1 324.381 1.460 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(OC(C)(C)C)cc1)c1nn[n-]n1 ZINC001363415965 886277979 /nfs/dbraw/zinc/27/79/79/886277979.db2.gz OLTZOSLBKSULOS-GFCCVEGCSA-N -1 1 319.365 1.495 20 0 DDADMM COC[C@H](NC(=O)c1cccc(C(F)(F)F)c1)c1nn[n-]n1 ZINC001363420123 886289410 /nfs/dbraw/zinc/28/94/10/886289410.db2.gz XBIJCRRJZOAZSI-VIFPVBQESA-N -1 1 315.255 1.336 20 0 DDADMM COC[C@H](NC(=O)c1ccc(Cl)cc1Cl)c1nn[n-]n1 ZINC001363428845 886314942 /nfs/dbraw/zinc/31/49/42/886314942.db2.gz ATIQMNIBDTXCMT-VIFPVBQESA-N -1 1 316.148 1.624 20 0 DDADMM CC[C@H](CC(=O)N[C@H](COC)c1nn[n-]n1)c1ccc(C)cc1 ZINC001363437393 886336434 /nfs/dbraw/zinc/33/64/34/886336434.db2.gz RBOQUYXMFBHCSR-TZMCWYRMSA-N -1 1 317.393 1.896 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cnc(SC)[n-]c2=O)C[C@@H](CC)O1 ZINC001363448210 886365412 /nfs/dbraw/zinc/36/54/12/886365412.db2.gz AIPFLRQBMJQLCR-NXEZZACHSA-N -1 1 311.407 1.934 20 0 DDADMM O=C(Nc1nccc(Br)c1[O-])c1ncccc1O ZINC001363499314 886493576 /nfs/dbraw/zinc/49/35/76/886493576.db2.gz VQPBHMPEYYORKD-UHFFFAOYSA-N -1 1 310.107 1.903 20 0 DDADMM C[C@@H]1[C@H](C)OC[C@]12CC[C@H](CNC(=O)c1ccc([O-])cn1)O2 ZINC001363534147 886571229 /nfs/dbraw/zinc/57/12/29/886571229.db2.gz LYYFZTOIEBRXNI-DDFXLWFNSA-N -1 1 306.362 1.490 20 0 DDADMM Cc1cnc(C(=O)NCCc2ccc(O)c(CO)c2)c([O-])c1 ZINC001363551446 886618292 /nfs/dbraw/zinc/61/82/92/886618292.db2.gz YBRBWVIIICCBER-UHFFFAOYSA-N -1 1 302.330 1.266 20 0 DDADMM CSc1ncc(C(=O)NC2(c3ncon3)CCCC2)c(=O)[n-]1 ZINC001363596736 886725971 /nfs/dbraw/zinc/72/59/71/886725971.db2.gz SERCOUPHXNJUPM-UHFFFAOYSA-N -1 1 321.362 1.486 20 0 DDADMM O=C(Cc1cncc(O)c1)NCCc1c(F)cc([O-])cc1F ZINC001363613034 886767385 /nfs/dbraw/zinc/76/73/85/886767385.db2.gz SYHFFAIFLHWXHJ-UHFFFAOYSA-N -1 1 308.284 1.672 20 0 DDADMM CSc1nc(CNC(=O)c2cnc(C3CC3)[nH]2)cc(=O)[n-]1 ZINC001363627383 886807656 /nfs/dbraw/zinc/80/76/56/886807656.db2.gz ABVPSRKVJQXUOA-UHFFFAOYSA-N -1 1 305.363 1.435 20 0 DDADMM CO[C@@H](C)C(=O)NCCCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001288824324 913083283 /nfs/dbraw/zinc/08/32/83/913083283.db2.gz IMYVRYKZBIXZTB-RYUDHWBXSA-N -1 1 323.393 1.227 20 0 DDADMM O=C(N[C@H](CO)c1ncc[nH]1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001363643371 886860879 /nfs/dbraw/zinc/86/08/79/886860879.db2.gz RGTRXOVHTUACPI-SECBINFHSA-N -1 1 315.251 1.598 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2coc(CO)c2)[n-]c1=O ZINC001363670964 886936817 /nfs/dbraw/zinc/93/68/17/886936817.db2.gz DPIKQUUTMZSJDW-NSHDSACASA-N -1 1 319.317 1.253 20 0 DDADMM Cn1nc(C2CCOCC2)cc1C(=O)Nc1ccncc1[O-] ZINC001363703789 887022676 /nfs/dbraw/zinc/02/26/76/887022676.db2.gz FNJLKGCCHRBPEM-UHFFFAOYSA-N -1 1 302.334 1.089 20 0 DDADMM Cc1nccc(CN2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)n1 ZINC001363807525 887274199 /nfs/dbraw/zinc/27/41/99/887274199.db2.gz NRSXXARVBBUKPJ-CABZTGNLSA-N -1 1 316.327 1.816 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@H](OC)c1ccccc1 ZINC001233756515 887281600 /nfs/dbraw/zinc/28/16/00/887281600.db2.gz ODVDXBMHDZBVAX-NSHDSACASA-N -1 1 304.302 1.735 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@@H](Oc2c(=O)[n-]cnc2C(=O)OC)C1 ZINC001233757611 887283309 /nfs/dbraw/zinc/28/33/09/887283309.db2.gz FZVXRNFAZXLUJK-RKDXNWHRSA-N -1 1 310.306 1.079 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]Cc1ccncn1 ZINC001363932180 887565388 /nfs/dbraw/zinc/56/53/88/887565388.db2.gz ROKNBXSQAAGKPN-UHFFFAOYSA-N -1 1 315.301 1.242 20 0 DDADMM CCC[C@H]1SCCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001363968617 887635903 /nfs/dbraw/zinc/63/59/03/887635903.db2.gz MZTGLGHIDOKVBC-SNVBAGLBSA-N -1 1 319.408 1.060 20 0 DDADMM CCC[C@H]1SCCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001363968617 887635912 /nfs/dbraw/zinc/63/59/12/887635912.db2.gz MZTGLGHIDOKVBC-SNVBAGLBSA-N -1 1 319.408 1.060 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCc2cc(C)cc(C)c2)[n-]n1 ZINC001364015406 887725254 /nfs/dbraw/zinc/72/52/54/887725254.db2.gz YBCQNDJMSLGGGO-UHFFFAOYSA-N -1 1 323.374 1.292 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCc2cc(C)cc(C)c2)n[n-]1 ZINC001364015406 887725261 /nfs/dbraw/zinc/72/52/61/887725261.db2.gz YBCQNDJMSLGGGO-UHFFFAOYSA-N -1 1 323.374 1.292 20 0 DDADMM CCC(O)(CC)C(C)(C)[N-]S(=O)(=O)c1c(C)onc1N ZINC001364039003 887772082 /nfs/dbraw/zinc/77/20/82/887772082.db2.gz ARHYEEGFFLEWDW-UHFFFAOYSA-N -1 1 305.400 1.173 20 0 DDADMM C[C@H](O)C(C)(C)C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC001364059236 887818769 /nfs/dbraw/zinc/81/87/69/887818769.db2.gz UUXDWZCKMWQMSY-YYSFKGJASA-N -1 1 314.473 1.124 20 0 DDADMM CC[C@](C)(CNC(=O)OC(C)(C)C)C(=O)Nc1c[n-][nH]c1=O ZINC001364093709 887902870 /nfs/dbraw/zinc/90/28/70/887902870.db2.gz OGQMPIMMVLPQKA-CQSZACIVSA-N -1 1 312.370 1.995 20 0 DDADMM C[C@H](COc1c(C(N)=O)nc[n-]c1=O)OCc1ccccc1 ZINC001234467096 887998908 /nfs/dbraw/zinc/99/89/08/887998908.db2.gz LJODRHBPRWOMOU-SNVBAGLBSA-N -1 1 303.318 1.265 20 0 DDADMM Cc1nc(C(N)=O)c(OCc2cncc(OC(C)C)c2)c(=O)[n-]1 ZINC001234476599 888009801 /nfs/dbraw/zinc/00/98/01/888009801.db2.gz IVEFTRJMFHNVPS-UHFFFAOYSA-N -1 1 318.333 1.351 20 0 DDADMM Cc1nc(C(N)=O)c(OC2CC(C)(C)OC(C)(C)C2)c(=O)[n-]1 ZINC001234475563 888010263 /nfs/dbraw/zinc/01/02/63/888010263.db2.gz BPPJZISTVXQSGX-UHFFFAOYSA-N -1 1 309.366 1.705 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@@H]2CCc3c2cc(F)cc3F)c(=O)[n-]1 ZINC001234479212 888012284 /nfs/dbraw/zinc/01/22/84/888012284.db2.gz XYLCEWQIHXWXRC-LLVKDONJSA-N -1 1 321.283 1.934 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H]1CCC[C@H](C(=O)OC)C1 ZINC001234564901 888104650 /nfs/dbraw/zinc/10/46/50/888104650.db2.gz QXQCAENNTPXRFP-VHSXEESVSA-N -1 1 324.333 1.388 20 0 DDADMM CCOC(=O)C1CC(Oc2[n-]c(=O)c(F)cc2C(=O)OC)C1 ZINC001234609890 888138321 /nfs/dbraw/zinc/13/83/21/888138321.db2.gz RFPNGGJDWHAPJE-UHFFFAOYSA-N -1 1 313.281 1.433 20 0 DDADMM O=C(N[C@@H](CO)[C@H](O)C1CCCCC1)c1cccc([O-])c1F ZINC001364311018 888355765 /nfs/dbraw/zinc/35/57/65/888355765.db2.gz PMCBKCMVJXJRCU-SWLSCSKDSA-N -1 1 311.353 1.563 20 0 DDADMM CCSc1c[nH]c(=O)c(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c1 ZINC001364567508 888942782 /nfs/dbraw/zinc/94/27/82/888942782.db2.gz JRZKIUUFSGXRCB-MRVPVSSYSA-N -1 1 320.378 1.042 20 0 DDADMM COc1ccc(-c2nc(C)cc3c2C(=O)[N-]C3=O)c2c[nH]nc21 ZINC001236459654 889238039 /nfs/dbraw/zinc/23/80/39/889238039.db2.gz BTXBYOXEBNCGKQ-UHFFFAOYSA-N -1 1 308.297 1.826 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@H](C)C[C@@H]2C)n[n-]1 ZINC001364780129 889399867 /nfs/dbraw/zinc/39/98/67/889399867.db2.gz VAICIZKSGYUKBM-LPEHRKFASA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@H](C)C[C@@H]2C)[n-]n1 ZINC001364780129 889399854 /nfs/dbraw/zinc/39/98/54/889399854.db2.gz VAICIZKSGYUKBM-LPEHRKFASA-N -1 1 315.395 1.299 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1cccc(F)c1)c1c[nH]nc1Cl ZINC001364785749 889411934 /nfs/dbraw/zinc/41/19/34/889411934.db2.gz MSYFNIUWLMILJS-SECBINFHSA-N -1 1 319.745 1.214 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1cccc(F)c1)c1ccns1 ZINC001364787660 889416815 /nfs/dbraw/zinc/41/68/15/889416815.db2.gz SOVIURSXZCBLRS-JTQLQIEISA-N -1 1 302.352 1.294 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)c2ccccn2)c1 ZINC001364792961 889429403 /nfs/dbraw/zinc/42/94/03/889429403.db2.gz AUYGCQUXSDNKAC-JTQLQIEISA-N -1 1 308.359 1.835 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)no1 ZINC001364818410 889479478 /nfs/dbraw/zinc/47/94/78/889479478.db2.gz JHPARRMGTCQIDU-JTQLQIEISA-N -1 1 304.354 1.406 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)C2CCOCC2)sn1 ZINC001364824295 889487392 /nfs/dbraw/zinc/48/73/92/889487392.db2.gz SAEZKHRVKXWUJP-QMMMGPOBSA-N -1 1 306.409 1.245 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H](C)c2ccncc2)sn1 ZINC001364897843 889628137 /nfs/dbraw/zinc/62/81/37/889628137.db2.gz XDSOQNDJZQVVRA-SECBINFHSA-N -1 1 313.404 1.629 20 0 DDADMM Cc1noc([C@@H]([N-]S(=O)(=O)c2cnc(C)n2C)C(C)C)n1 ZINC001364993625 889840864 /nfs/dbraw/zinc/84/08/64/889840864.db2.gz RXNPPKYSYNFNNJ-NSHDSACASA-N -1 1 313.383 1.096 20 0 DDADMM CN(C1CN(Cc2ccc(F)cc2C(=O)[O-])C1)[C@@H]1CCOC1 ZINC001238235383 890145241 /nfs/dbraw/zinc/14/52/41/890145241.db2.gz LVBSQXWUKGJDRM-CYBMUJFWSA-N -1 1 308.353 1.429 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Nc2ccn(C)n2)[n-]c1=O ZINC001365126107 890156239 /nfs/dbraw/zinc/15/62/39/890156239.db2.gz ZJDSFXWUGGYMJI-SECBINFHSA-N -1 1 318.337 1.293 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2CCOCC23CCCC3)c(=O)[n-]1 ZINC001365161010 890225644 /nfs/dbraw/zinc/22/56/44/890225644.db2.gz VUKNHZDLHGVOJI-LLVKDONJSA-N -1 1 323.418 1.983 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2ccnc(C)n2)[n-]c1=O ZINC001365173373 890255503 /nfs/dbraw/zinc/25/55/03/890255503.db2.gz FALQXNBXKBYOQG-UHFFFAOYSA-N -1 1 318.337 1.346 20 0 DDADMM COc1cnc([C@H]2CCCN2c2cc(C)nc(CO)n2)[n-]c1=O ZINC001365239897 890416931 /nfs/dbraw/zinc/41/69/31/890416931.db2.gz BOACROTUFKTXBU-SNVBAGLBSA-N -1 1 317.349 1.123 20 0 DDADMM CCNC(=O)c1ccc(-c2nc(C)cc3c2C(=O)[N-]C3=O)cc1 ZINC001241614956 891222446 /nfs/dbraw/zinc/22/24/46/891222446.db2.gz GDNARSJODPCPIP-UHFFFAOYSA-N -1 1 309.325 1.690 20 0 DDADMM COc1nc(-c2cccc([O-])c2Cl)nc(N2CCOCC2)n1 ZINC001242801124 891517688 /nfs/dbraw/zinc/51/76/88/891517688.db2.gz NQXADFNWZGZHQO-UHFFFAOYSA-N -1 1 322.752 1.743 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)c2nccn21 ZINC001365784260 891545193 /nfs/dbraw/zinc/54/51/93/891545193.db2.gz FLGITQRHXSFFDQ-BXKDBHETSA-N -1 1 311.349 1.366 20 0 DDADMM Cc1cc2c(c(-c3c(F)cc(CO)cc3F)n1)C(=O)[N-]C2=O ZINC001244361814 891841427 /nfs/dbraw/zinc/84/14/27/891841427.db2.gz ZEEBSRKATNJURE-UHFFFAOYSA-N -1 1 304.252 1.711 20 0 DDADMM CN1CCN(c2cc(-c3cnc(F)c(C(=O)[O-])c3)ncn2)CC1 ZINC001244753178 891902561 /nfs/dbraw/zinc/90/25/61/891902561.db2.gz RROUPVNGRCJDJM-UHFFFAOYSA-N -1 1 317.324 1.128 20 0 DDADMM CCc1cnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nc1 ZINC001244789895 891922189 /nfs/dbraw/zinc/92/21/89/891922189.db2.gz LDMSECKIKHMUPG-UHFFFAOYSA-N -1 1 308.363 1.481 20 0 DDADMM COc1ncc(-c2ncc3c(n2)CCC3)cc1[N-]S(C)(=O)=O ZINC001244791592 891925202 /nfs/dbraw/zinc/92/52/02/891925202.db2.gz XBERVHPLXQHTFR-UHFFFAOYSA-N -1 1 320.374 1.407 20 0 DDADMM COc1ncc(-c2ccc3c(c2)OCO3)cc1[N-]S(C)(=O)=O ZINC001244794231 891926989 /nfs/dbraw/zinc/92/69/89/891926989.db2.gz PMSSPSHEQLGBTL-UHFFFAOYSA-N -1 1 322.342 1.857 20 0 DDADMM CNc1ccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)cn1 ZINC001244793173 891928298 /nfs/dbraw/zinc/92/82/98/891928298.db2.gz CTRNODURAPYBTH-UHFFFAOYSA-N -1 1 308.363 1.565 20 0 DDADMM O=C(c1cc(-c2ccc(Cl)cc2)n[nH]1)n1nc(CO)cc1[O-] ZINC001245924310 892270401 /nfs/dbraw/zinc/27/04/01/892270401.db2.gz BWSHURYZXICHNS-UHFFFAOYSA-N -1 1 318.720 1.813 20 0 DDADMM CNS(=O)(=O)Cc1ccc(-n2[n-]c(CC(C)C)cc2=O)cc1 ZINC001245932194 892274456 /nfs/dbraw/zinc/27/44/56/892274456.db2.gz JTTWIGNVLRROQT-UHFFFAOYSA-N -1 1 323.418 1.826 20 0 DDADMM CC[C@@H](O)Cn1[n-]c(-c2ccc(N3CCOCC3)cc2)cc1=O ZINC001245942056 892281751 /nfs/dbraw/zinc/28/17/51/892281751.db2.gz GVQHZARQECPADY-OAHLLOKOSA-N -1 1 317.389 1.863 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N(CC)CCNC(=O)c1ncccc1[O-] ZINC001387180931 892559636 /nfs/dbraw/zinc/55/96/36/892559636.db2.gz PLQCLJUWKZDWFE-VXGBXAGGSA-N -1 1 305.378 1.412 20 0 DDADMM C[C@H](CCNC(=O)c1ccsn1)NC(=O)c1ncccc1[O-] ZINC001387498351 893230949 /nfs/dbraw/zinc/23/09/49/893230949.db2.gz FQLNMMAFVZPCMQ-SECBINFHSA-N -1 1 320.374 1.182 20 0 DDADMM CSCCCNC(=S)NCCCC[P@](=O)([O-])O ZINC001247899796 893466061 /nfs/dbraw/zinc/46/60/61/893466061.db2.gz HYOMOHDCQUURIT-UHFFFAOYSA-N -1 1 300.386 1.162 20 0 DDADMM CCCCC(=O)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001387734882 893754104 /nfs/dbraw/zinc/75/41/04/893754104.db2.gz VLJUJQPWIRZXQH-UHFFFAOYSA-N -1 1 318.377 1.190 20 0 DDADMM CC[C@@H](CNC(=O)[C@H](C)C1CCC1)NC(=O)c1ncccc1[O-] ZINC001388100866 894563512 /nfs/dbraw/zinc/56/35/12/894563512.db2.gz GTAPRCJHEXLVNQ-YPMHNXCESA-N -1 1 319.405 1.848 20 0 DDADMM O=C(/C=C\C1CCOCC1)OCC(=O)c1ccc([O-])cc1O ZINC001251798705 894815854 /nfs/dbraw/zinc/81/58/54/894815854.db2.gz NFGOTBAJTBOQIP-RJRFIUFISA-N -1 1 306.314 1.807 20 0 DDADMM O=C(NCCNC(=O)[C@H]1CC12CCCC2)c1ncccc1[O-] ZINC001292666488 913908594 /nfs/dbraw/zinc/90/85/94/913908594.db2.gz QFZBEKPTGZBKBJ-LLVKDONJSA-N -1 1 303.362 1.214 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cc(=O)[n-]c(N(C)C)n2)cc1 ZINC001252102604 895022217 /nfs/dbraw/zinc/02/22/17/895022217.db2.gz QSDGBLDTNIRLGH-UHFFFAOYSA-N -1 1 308.363 1.357 20 0 DDADMM COC(=O)c1cc(OC)c(OC)cc1[N-]S(=O)(=O)C(C)C ZINC001252509165 895229145 /nfs/dbraw/zinc/22/91/45/895229145.db2.gz XPXFKGLZKXBNEQ-UHFFFAOYSA-N -1 1 317.363 1.641 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1C[C@H]1CC ZINC001388576517 895476215 /nfs/dbraw/zinc/47/62/15/895476215.db2.gz VNCGBAIXXGHWGR-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM CCS(=O)(=O)[N-]c1cc(Br)cnc1C(=O)OC ZINC001253278085 895759109 /nfs/dbraw/zinc/75/91/09/895759109.db2.gz ZNVWPDRWVZCOSR-UHFFFAOYSA-N -1 1 323.168 1.392 20 0 DDADMM CCS(=O)(=O)[N-]c1cc(N2C[C@@H](C)O[C@H](C)C2)nc(C)n1 ZINC001253277735 895766590 /nfs/dbraw/zinc/76/65/90/895766590.db2.gz OIGZMVKVBKRARF-NXEZZACHSA-N -1 1 314.411 1.160 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2cccc(C)c2)cc(C(N)=O)c1 ZINC001256958248 897645717 /nfs/dbraw/zinc/64/57/17/897645717.db2.gz FLFZXAWHMURUTM-UHFFFAOYSA-N -1 1 320.370 1.903 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2c(C)noc2C)ccc1F ZINC001258761675 898309706 /nfs/dbraw/zinc/30/97/06/898309706.db2.gz BXYNOBXAIRBFTI-UHFFFAOYSA-N -1 1 314.338 1.918 20 0 DDADMM O=S(=O)([N-][C@H](CO)[C@@H]1CCOC1)c1cc(Cl)ccc1F ZINC001259461584 898681843 /nfs/dbraw/zinc/68/18/43/898681843.db2.gz ZKLNYTCASUEQHJ-LDYMZIIASA-N -1 1 323.773 1.155 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC[C@H]1F)c1cc(F)c(F)c(F)c1 ZINC001259503034 898705128 /nfs/dbraw/zinc/70/51/28/898705128.db2.gz CRJNOFZOJUOYQV-NXEZZACHSA-N -1 1 313.272 1.509 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cc(O)c(F)c(F)c2)cn1 ZINC001259838117 898852658 /nfs/dbraw/zinc/85/26/58/898852658.db2.gz VHPRHJMIPLMATB-UHFFFAOYSA-N -1 1 303.290 1.688 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)CC(C)C)c1cccnc1 ZINC001259889732 898901732 /nfs/dbraw/zinc/90/17/32/898901732.db2.gz TVEOQLXOCRZPLR-GFCCVEGCSA-N -1 1 300.380 1.261 20 0 DDADMM O=S(=O)([N-]c1cnc(C(F)(F)F)nc1)C1CCOCC1 ZINC001259907825 898915896 /nfs/dbraw/zinc/91/58/96/898915896.db2.gz HCAFZXUWGFPIBH-UHFFFAOYSA-N -1 1 311.285 1.416 20 0 DDADMM O=S(=O)([N-]Cc1ccc(Br)nc1)C(F)F ZINC001259949317 898969633 /nfs/dbraw/zinc/96/96/33/898969633.db2.gz IJLJMIVZTOHXPY-UHFFFAOYSA-N -1 1 301.112 1.486 20 0 DDADMM COCCS(=O)(=O)[N-][C@@H](C(=O)OC)c1ccccc1Cl ZINC001259974139 898999819 /nfs/dbraw/zinc/99/98/19/898999819.db2.gz JXKHJEDKLOHTPS-LLVKDONJSA-N -1 1 321.782 1.120 20 0 DDADMM Cc1ccsc1S(=O)(=O)[N-]C1(C(F)(F)F)COC1 ZINC001260072592 899035578 /nfs/dbraw/zinc/03/55/78/899035578.db2.gz GKSFKGYQVQTPAO-UHFFFAOYSA-N -1 1 301.311 1.666 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1cc(O)c(F)c(F)c1 ZINC001260111420 899059051 /nfs/dbraw/zinc/05/90/51/899059051.db2.gz ZOCFUSFTQIKVMD-UHFFFAOYSA-N -1 1 317.317 1.822 20 0 DDADMM O=C1CC[C@H](C[N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)N1 ZINC001260205319 899087254 /nfs/dbraw/zinc/08/72/54/899087254.db2.gz DXJAARBJJUKGKQ-ZCFIWIBFSA-N -1 1 324.736 1.175 20 0 DDADMM CN1CC[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)C1=O ZINC001260288189 899112377 /nfs/dbraw/zinc/11/23/77/899112377.db2.gz JLEPYFJGZHHIKP-SECBINFHSA-N -1 1 324.736 1.127 20 0 DDADMM COCCn1cc([N-]S(=O)(=O)Cc2ccccc2F)cn1 ZINC001260621853 899186169 /nfs/dbraw/zinc/18/61/69/899186169.db2.gz QDYPNBJRMYUKIH-UHFFFAOYSA-N -1 1 313.354 1.611 20 0 DDADMM CC(=O)Nc1cccc(S(=O)(=O)[N-]c2cccc(CO)c2)c1 ZINC001260811010 899274942 /nfs/dbraw/zinc/27/49/42/899274942.db2.gz ZIVJLQREKBXRJB-UHFFFAOYSA-N -1 1 320.370 1.938 20 0 DDADMM CCn1nc(C)c(CNCC[C@@H](CC(=O)[O-])C(F)(F)F)n1 ZINC001262163467 899995047 /nfs/dbraw/zinc/99/50/47/899995047.db2.gz UHMDPAJSNRIVGY-VIFPVBQESA-N -1 1 308.304 1.739 20 0 DDADMM CCc1cnccc1CNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001263774123 900703036 /nfs/dbraw/zinc/70/30/36/900703036.db2.gz NYUORXOUYNQRJK-UHFFFAOYSA-N -1 1 304.375 1.667 20 0 DDADMM CCC1(C(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001369295714 901001018 /nfs/dbraw/zinc/00/10/18/901001018.db2.gz NLACWDHQFWYPMO-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM C/C(=C\C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-])C1CC1 ZINC001280432681 903671356 /nfs/dbraw/zinc/67/13/56/903671356.db2.gz FCMDAXRQVHJNTK-ZKQHCESOSA-N -1 1 317.389 1.720 20 0 DDADMM Cc1cc(C)c(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)o1 ZINC001393543958 907283743 /nfs/dbraw/zinc/28/37/43/907283743.db2.gz DLYPGYRWLIRMKW-UHFFFAOYSA-N -1 1 319.365 1.162 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372441984 907312888 /nfs/dbraw/zinc/31/28/88/907312888.db2.gz ZARICXOSSLCDSS-DGCLKSJQSA-N -1 1 319.405 1.704 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001393822866 908016071 /nfs/dbraw/zinc/01/60/71/908016071.db2.gz OXONIMUHKAAVJP-NEPJUHHUSA-N -1 1 317.389 1.458 20 0 DDADMM Cc1ccncc1C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001372830429 908372684 /nfs/dbraw/zinc/37/26/84/908372684.db2.gz FXANACZIMKUGLP-LLVKDONJSA-N -1 1 314.345 1.039 20 0 DDADMM CC1(C)CC(C(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001373183217 909156602 /nfs/dbraw/zinc/15/66/02/909156602.db2.gz ZBWGCFACMXYZRC-LLVKDONJSA-N -1 1 321.425 1.275 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1ccsc1 ZINC001373261552 909339460 /nfs/dbraw/zinc/33/94/60/909339460.db2.gz PEIWKZXLAUQDOI-SNVBAGLBSA-N -1 1 319.386 1.787 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)CCc1ccoc1 ZINC001373413188 909793041 /nfs/dbraw/zinc/79/30/41/909793041.db2.gz GWCXMLRQYBHSAM-UHFFFAOYSA-N -1 1 317.345 1.201 20 0 DDADMM CN(CCNC(=O)[C@H]1CCC1(C)C)C(=O)c1ncccc1[O-] ZINC001373623386 910389077 /nfs/dbraw/zinc/38/90/77/910389077.db2.gz ZZIRNQUAGXYAAE-LLVKDONJSA-N -1 1 305.378 1.412 20 0 DDADMM C[C@H](C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C)C1CC1 ZINC001394810692 910625759 /nfs/dbraw/zinc/62/57/59/910625759.db2.gz KVIZFFPGPKPWGL-GXSJLCMTSA-N -1 1 309.414 1.177 20 0 DDADMM C=C/C(C)=C\CC(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001285637197 910937966 /nfs/dbraw/zinc/93/79/66/910937966.db2.gz DRGOGSUVHCQWGG-SEVUFMINSA-N -1 1 303.362 1.544 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CC(C)(C)C ZINC001395063639 911271117 /nfs/dbraw/zinc/27/11/17/911271117.db2.gz FXTJCGCJPFEUQX-NSHDSACASA-N -1 1 307.394 1.800 20 0 DDADMM CC/C=C(/C)C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001285854687 911311301 /nfs/dbraw/zinc/31/13/01/911311301.db2.gz NPGJEXWTSKHIGH-QJGQKNTRSA-N -1 1 303.362 1.474 20 0 DDADMM O=C(CC1CCC1)NCCN(C(=O)c1ncccc1[O-])C1CC1 ZINC001374210898 912197228 /nfs/dbraw/zinc/19/72/28/912197228.db2.gz JNHRUHPMNAFLRN-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM Cc1nc(=O)[nH]c([O-])c1NC(=O)c1csc(-c2ncc[nH]2)n1 ZINC001294364841 915083201 /nfs/dbraw/zinc/08/32/01/915083201.db2.gz GMHMTRIQWNTFLV-UHFFFAOYSA-N -1 1 318.318 1.295 20 0 DDADMM CCC[C@H](NC(=O)c1cc(F)c(C=O)cc1F)c1nn[n-]n1 ZINC001294422500 915128451 /nfs/dbraw/zinc/12/84/51/915128451.db2.gz QSUJKTNMVBWBOB-NSHDSACASA-N -1 1 309.276 1.562 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccoc3C(C)C)nc2n1 ZINC000622869740 365548746 /nfs/dbraw/zinc/54/87/46/365548746.db2.gz FCKVNHDLCDHNME-UHFFFAOYSA-N -1 1 301.306 1.695 20 0 DDADMM CO[C@@H]1CCC[C@@H](CC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)C1 ZINC000622869865 365548846 /nfs/dbraw/zinc/54/88/46/365548846.db2.gz JWJYHDHBSCEWMB-GHMZBOCLSA-N -1 1 319.365 1.260 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C(C3CCC3)C3CCC3)nc2n1 ZINC000622869704 365549031 /nfs/dbraw/zinc/54/90/31/365549031.db2.gz DKBFYDQBFQLZPI-UHFFFAOYSA-N -1 1 315.377 1.881 20 0 DDADMM CO[C@H]1CCC[C@@H](CC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)C1 ZINC000622869866 365549089 /nfs/dbraw/zinc/54/90/89/365549089.db2.gz JWJYHDHBSCEWMB-MNOVXSKESA-N -1 1 319.365 1.260 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCC[C@H]3OC)nc2n1 ZINC000622993680 365586589 /nfs/dbraw/zinc/58/65/89/365586589.db2.gz WWUZIIIELDJYRT-WDEREUQCSA-N -1 1 319.365 1.124 20 0 DDADMM CN(C)[C@H]1CCOc2c(NC(=O)c3cc(=O)n(C)[n-]3)cccc21 ZINC000614205481 361750592 /nfs/dbraw/zinc/75/05/92/361750592.db2.gz UQMSEGKILZRJQP-ZDUSSCGKSA-N -1 1 316.361 1.763 20 0 DDADMM CCc1ccccc1CNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000077124944 185111018 /nfs/dbraw/zinc/11/10/18/185111018.db2.gz FZZQYRSIDHIRAM-UHFFFAOYSA-N -1 1 322.386 1.680 20 0 DDADMM O=C(CCc1ccc(O)cc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614390681 361834193 /nfs/dbraw/zinc/83/41/93/361834193.db2.gz XLPDRJUMIVBKRF-LBPRGKRZSA-N -1 1 317.345 1.820 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc3c[nH]nc3c2)o1 ZINC000096783524 185387725 /nfs/dbraw/zinc/38/77/25/185387725.db2.gz HCTWAQZXZVULIE-UHFFFAOYSA-N -1 1 320.330 1.316 20 0 DDADMM C[C@@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1ncccc1F ZINC000614474037 361874463 /nfs/dbraw/zinc/87/44/63/361874463.db2.gz NOARADRJYCRMCQ-SECBINFHSA-N -1 1 320.324 1.819 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2(SC)CCC2)o1 ZINC000278639287 214295415 /nfs/dbraw/zinc/29/54/15/214295415.db2.gz DGYDWDSOCWAPRP-UHFFFAOYSA-N -1 1 319.404 1.630 20 0 DDADMM CC=CC=CC(=O)N1CCC(c2nc(C(=O)OCC)n[n-]2)CC1 ZINC000278683866 214324293 /nfs/dbraw/zinc/32/42/93/214324293.db2.gz IWXSBHHFSKKMCA-TWTPFVCWSA-N -1 1 318.377 1.820 20 0 DDADMM COC[C@](C)(O)CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000451663743 231157247 /nfs/dbraw/zinc/15/72/47/231157247.db2.gz XKBUKKKLKOPUEK-GFCCVEGCSA-N -1 1 309.334 1.031 20 0 DDADMM O=C(NC1CCN(CC(F)F)CC1)c1cc(F)ccc1[O-] ZINC000080022422 539164711 /nfs/dbraw/zinc/16/47/11/539164711.db2.gz ACRIALJPKXLXDA-UHFFFAOYSA-N -1 1 302.296 1.991 20 0 DDADMM O=C(NCCn1ccnn1)c1ccc(Br)cc1[O-] ZINC000136361959 539195166 /nfs/dbraw/zinc/19/51/66/539195166.db2.gz QLONCGLDVXDWCS-UHFFFAOYSA-N -1 1 311.139 1.176 20 0 DDADMM Cc1nc(CC2CC2)sc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000191022497 539257113 /nfs/dbraw/zinc/25/71/13/539257113.db2.gz RBWSYOJODVBSEE-QMMMGPOBSA-N -1 1 320.422 1.793 20 0 DDADMM CCOC(=O)CCN(C(=O)c1cccc2nn[nH]c21)C1CC1 ZINC000615120064 362161329 /nfs/dbraw/zinc/16/13/29/362161329.db2.gz QNYCATANLPGGST-UHFFFAOYSA-N -1 1 302.334 1.516 20 0 DDADMM CCN(C[C@@H]1CCOC1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000615357559 362263859 /nfs/dbraw/zinc/26/38/59/362263859.db2.gz DGHDSOABMKLQAY-NSHDSACASA-N -1 1 301.346 1.422 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)c2cccc(F)c2)n[n-]1 ZINC000615918593 362485564 /nfs/dbraw/zinc/48/55/64/362485564.db2.gz UWNPHUGPRBKUEM-SECBINFHSA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)c2cccc(F)c2)n1 ZINC000615918593 362485571 /nfs/dbraw/zinc/48/55/71/362485571.db2.gz UWNPHUGPRBKUEM-SECBINFHSA-N -1 1 320.324 1.540 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H](C)C2CCOCC2)c1Br ZINC000616006432 362518376 /nfs/dbraw/zinc/51/83/76/362518376.db2.gz JROJYBIYYJNTIY-SSDOTTSWSA-N -1 1 316.199 1.904 20 0 DDADMM COc1cc(C(=O)N2CCO[C@H](C(N)=O)C2)cc(Cl)c1[O-] ZINC000330883266 282415795 /nfs/dbraw/zinc/41/57/95/282415795.db2.gz OWYZKVPABUIKAN-JTQLQIEISA-N -1 1 314.725 1.430 20 0 DDADMM C[C@@H](NC(=O)CCCc1nn[n-]n1)c1ccc2c(c1)CCCO2 ZINC000636239667 422738125 /nfs/dbraw/zinc/73/81/25/422738125.db2.gz QMPOAKLBXFKOOV-LLVKDONJSA-N -1 1 315.377 1.725 20 0 DDADMM CCC[C@H](NC(=O)c1cc2ccc(OC)cc2[nH]1)c1nn[n-]n1 ZINC000564703308 304008531 /nfs/dbraw/zinc/00/85/31/304008531.db2.gz AGFHGKPWYWNAPO-NSHDSACASA-N -1 1 314.349 1.961 20 0 DDADMM CCOC(=O)Cn1cnc(-c2nc3scc(C)c3c(=O)[n-]2)n1 ZINC000133661195 186004111 /nfs/dbraw/zinc/00/41/11/186004111.db2.gz NHWPDLDQLVTNOZ-UHFFFAOYSA-N -1 1 319.346 1.115 20 0 DDADMM CC1(C[N-]S(=O)(=O)Cc2noc3ccccc32)OCCO1 ZINC000186018651 186232565 /nfs/dbraw/zinc/23/25/65/186232565.db2.gz SNXCLLUNHSHZTD-UHFFFAOYSA-N -1 1 312.347 1.010 20 0 DDADMM C[C@H](N=c1ccc(N2CCS(=O)CC2)n[n-]1)c1ccccc1 ZINC000564877060 304022604 /nfs/dbraw/zinc/02/26/04/304022604.db2.gz MXURRRIFMGVZBS-ZDUSSCGKSA-N -1 1 316.430 1.640 20 0 DDADMM Cc1nnc([N-]C(=O)c2c(C)oc3ncn(C)c(=O)c32)s1 ZINC000028244504 352228606 /nfs/dbraw/zinc/22/86/06/352228606.db2.gz KNFWKDYDKBKCES-UHFFFAOYSA-N -1 1 305.319 1.247 20 0 DDADMM CCc1nc(C(C)(C)[N-]S(=O)(=O)c2cscn2)no1 ZINC000564907892 304025537 /nfs/dbraw/zinc/02/55/37/304025537.db2.gz IDXDJMZFYFPCQQ-UHFFFAOYSA-N -1 1 302.381 1.302 20 0 DDADMM CCN(CC)C(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000047343562 352491737 /nfs/dbraw/zinc/49/17/37/352491737.db2.gz WCKDJIBHWXGODT-UHFFFAOYSA-N -1 1 300.362 1.551 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2ccc(C(N)=O)cc2)c1 ZINC000055185660 352719802 /nfs/dbraw/zinc/71/98/02/352719802.db2.gz KVQXXMYNPBPQLY-UHFFFAOYSA-N -1 1 313.313 1.702 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC1(C)Cc2ccccc2C1 ZINC000331816480 234156128 /nfs/dbraw/zinc/15/61/28/234156128.db2.gz VLZNYXQLCXOGSL-UHFFFAOYSA-N -1 1 307.375 1.231 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1(C)Cc2ccccc2C1 ZINC000331816480 234156132 /nfs/dbraw/zinc/15/61/32/234156132.db2.gz VLZNYXQLCXOGSL-UHFFFAOYSA-N -1 1 307.375 1.231 20 0 DDADMM COC(=O)CCCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000060357514 352867978 /nfs/dbraw/zinc/86/79/78/352867978.db2.gz BRGYGPQZAGRGOR-UHFFFAOYSA-N -1 1 307.318 1.586 20 0 DDADMM Cc1noc([C@@H]2[C@@H](C)OCCN2C(=O)c2cc(F)ccc2[O-])n1 ZINC000331951471 234312172 /nfs/dbraw/zinc/31/21/72/234312172.db2.gz SQXJGSXNOSUGHX-OQPBUACISA-N -1 1 321.308 1.825 20 0 DDADMM C[C@]1(CO)CCC[C@@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000075494939 353365826 /nfs/dbraw/zinc/36/58/26/353365826.db2.gz MIRAJDFCMIWRGR-BLLLJJGKSA-N -1 1 301.346 1.204 20 0 DDADMM CN(Cc1cccc([N-]S(=O)(=O)c2ccccc2)c1)C(N)=O ZINC000076049161 353394008 /nfs/dbraw/zinc/39/40/08/353394008.db2.gz QIJZVEHEALYPRH-UHFFFAOYSA-N -1 1 319.386 1.998 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cccc(NC(=O)CO)c2)cc1 ZINC000076642579 353430396 /nfs/dbraw/zinc/43/03/96/353430396.db2.gz JMMUSFVUYPHPQG-UHFFFAOYSA-N -1 1 320.370 1.727 20 0 DDADMM COc1cc2[n-]cc(C(=O)N(C)C3CC3)c(=O)c2c(OC)c1 ZINC000623993929 366144876 /nfs/dbraw/zinc/14/48/76/366144876.db2.gz IXLWXHZDLLDZIX-UHFFFAOYSA-N -1 1 302.330 1.780 20 0 DDADMM COC(=O)c1scc(C)c1S(=O)(=O)[N-][C@H](C)C(F)F ZINC000389696256 354638335 /nfs/dbraw/zinc/63/83/35/354638335.db2.gz MMPYLTUJTNYPFU-ZCFIWIBFSA-N -1 1 313.347 1.775 20 0 DDADMM CC(C)(NC(=O)c1cccc(OC(F)(F)F)c1)c1nn[n-]n1 ZINC000357270828 291040629 /nfs/dbraw/zinc/04/06/29/291040629.db2.gz GBKRWXXMZGVQQA-UHFFFAOYSA-N -1 1 315.255 1.763 20 0 DDADMM c1ccc(-c2noc(=NCCCCN3CCOCC3)[n-]2)cc1 ZINC000588161056 354906476 /nfs/dbraw/zinc/90/64/76/354906476.db2.gz XOWHSEFVSSTDAZ-UHFFFAOYSA-N -1 1 302.378 1.683 20 0 DDADMM CC[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)OC(C)(C)C ZINC000588650150 354925738 /nfs/dbraw/zinc/92/57/38/354925738.db2.gz FJPKWMUZZCGBQB-GFCCVEGCSA-N -1 1 307.346 1.811 20 0 DDADMM Cc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)nc1C ZINC000332470708 235006205 /nfs/dbraw/zinc/00/62/05/235006205.db2.gz SPPUQLASBASLIP-UHFFFAOYSA-N -1 1 302.334 1.807 20 0 DDADMM CCOCCCC[N-]S(=O)(=O)c1ccc(C(=O)OCC)o1 ZINC000358842169 291052005 /nfs/dbraw/zinc/05/20/05/291052005.db2.gz QEIBEPYQBXILON-UHFFFAOYSA-N -1 1 319.379 1.551 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@H]2C[C@@H](C(C)=O)C2(C)C)[n-]1 ZINC000591302358 355284765 /nfs/dbraw/zinc/28/47/65/355284765.db2.gz LDRMSDDZOXMWCI-VHSXEESVSA-N -1 1 307.350 1.776 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2C[C@@H](C(C)=O)C2(C)C)n1 ZINC000591302358 355284769 /nfs/dbraw/zinc/28/47/69/355284769.db2.gz LDRMSDDZOXMWCI-VHSXEESVSA-N -1 1 307.350 1.776 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C(C)C)C(=O)OC ZINC000592068051 355481580 /nfs/dbraw/zinc/48/15/80/355481580.db2.gz HBECAGPUUHUOIB-YNEHKIRRSA-N -1 1 323.455 1.555 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(=O)OC ZINC000592080105 355488110 /nfs/dbraw/zinc/48/81/10/355488110.db2.gz KKESGEYRVDRVQR-VIFPVBQESA-N -1 1 321.345 1.751 20 0 DDADMM COC(=O)[C@@H](NCc1cccc([O-])c1Cl)c1ccnn1C ZINC000592528831 355613647 /nfs/dbraw/zinc/61/36/47/355613647.db2.gz LRCSMOWSEPUKER-ZDUSSCGKSA-N -1 1 309.753 1.783 20 0 DDADMM CC(C)(C)OC(=O)c1ccc(C(=O)Nc2ccncc2[O-])cn1 ZINC000593557908 355924821 /nfs/dbraw/zinc/92/48/21/355924821.db2.gz AGPZPNDXTMCOLG-UHFFFAOYSA-N -1 1 315.329 1.812 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2ccn(C)c2)cc1C ZINC000595311101 356443215 /nfs/dbraw/zinc/44/32/15/356443215.db2.gz AKIFCEHIVDCQDA-UHFFFAOYSA-N -1 1 312.347 1.192 20 0 DDADMM COC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC000595399131 356478319 /nfs/dbraw/zinc/47/83/19/356478319.db2.gz GJIOFZVPAWEKOT-XWEPSHTISA-N -1 1 321.757 1.709 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C(=O)OC)oc1C)c1nn[n-]n1 ZINC000597360354 357148513 /nfs/dbraw/zinc/14/85/13/357148513.db2.gz ISRLDKDHDWZQPF-SECBINFHSA-N -1 1 307.310 1.159 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2cc(Cl)ccc2[O-])CS1(=O)=O ZINC000618314249 363579906 /nfs/dbraw/zinc/57/99/06/363579906.db2.gz GCJLKUSRTBDWCS-SCZZXKLOSA-N -1 1 317.794 1.741 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2ccc(Cl)cc2[O-])CS1(=O)=O ZINC000618313990 363579983 /nfs/dbraw/zinc/57/99/83/363579983.db2.gz BYMBTUFNKIGUQZ-WCBMZHEXSA-N -1 1 317.794 1.741 20 0 DDADMM CC[C@@H](CNC(=O)c1ncc2ccccc2c1[O-])C(=O)OC ZINC000597800985 357336211 /nfs/dbraw/zinc/33/62/11/357336211.db2.gz FASQCVINTCSYIA-JTQLQIEISA-N -1 1 302.330 1.869 20 0 DDADMM COC(=O)[C@@](C)(CCF)NC(=O)c1ncc2ccccc2c1[O-] ZINC000598290884 357527832 /nfs/dbraw/zinc/52/78/32/357527832.db2.gz QKXFFSKRHFBXNY-MRXNPFEDSA-N -1 1 320.320 1.962 20 0 DDADMM O=C(NCc1ccc(Cn2cccn2)cc1)c1cncc([O-])c1 ZINC000171762793 306684633 /nfs/dbraw/zinc/68/46/33/306684633.db2.gz XAHPRSRGWHLVTM-UHFFFAOYSA-N -1 1 308.341 1.962 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(-n2cnc3ccccc32)cc1 ZINC000600495422 358240026 /nfs/dbraw/zinc/24/00/26/358240026.db2.gz NHGBCPLQJNHQAE-UHFFFAOYSA-N -1 1 319.328 1.469 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nnc(C(C)C)s2)c[n-]1 ZINC000600774040 358312163 /nfs/dbraw/zinc/31/21/63/358312163.db2.gz HWXUUCALBAYECO-UHFFFAOYSA-N -1 1 309.351 1.814 20 0 DDADMM O=C(NC[C@]1(O)CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000180781360 199207576 /nfs/dbraw/zinc/20/75/76/199207576.db2.gz MXSVPQCDKPJXIK-GFCCVEGCSA-N -1 1 305.252 1.292 20 0 DDADMM C[C@H](CC[N-]S(=O)(=O)c1ccc(C(F)F)o1)[S@@](C)=O ZINC000601373703 358521517 /nfs/dbraw/zinc/52/15/17/358521517.db2.gz YBDTVGURABASGA-LWESTGQBSA-N -1 1 315.363 1.653 20 0 DDADMM C/C=C\C[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OCC ZINC000601394941 358533679 /nfs/dbraw/zinc/53/36/79/358533679.db2.gz ZGJGDGCUPDORHA-AYYIZTPMSA-N -1 1 318.420 1.628 20 0 DDADMM CSC1(C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CC1 ZINC000601404382 358538228 /nfs/dbraw/zinc/53/82/28/358538228.db2.gz ABALJUQZLHZZBJ-UHFFFAOYSA-N -1 1 315.342 1.602 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCCCSC)n[n-]1 ZINC000603015645 359358153 /nfs/dbraw/zinc/35/81/53/359358153.db2.gz KIUKGGAHNRCSDU-UHFFFAOYSA-N -1 1 300.384 1.131 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCCCSC)n1 ZINC000603015645 359358157 /nfs/dbraw/zinc/35/81/57/359358157.db2.gz KIUKGGAHNRCSDU-UHFFFAOYSA-N -1 1 300.384 1.131 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CC23CCSCC3)n[n-]1 ZINC000603024167 359365922 /nfs/dbraw/zinc/36/59/22/359365922.db2.gz VIPDIEGRGRFOKX-VIFPVBQESA-N -1 1 324.406 1.131 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CC23CCSCC3)n1 ZINC000603024167 359365924 /nfs/dbraw/zinc/36/59/24/359365924.db2.gz VIPDIEGRGRFOKX-VIFPVBQESA-N -1 1 324.406 1.131 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)n[n-]1 ZINC000603156627 359442570 /nfs/dbraw/zinc/44/25/70/359442570.db2.gz UEUQPGCNFVKBGG-ZDCRXTMVSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)[n-]1 ZINC000603156627 359442573 /nfs/dbraw/zinc/44/25/73/359442573.db2.gz UEUQPGCNFVKBGG-ZDCRXTMVSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)n1 ZINC000603156627 359442580 /nfs/dbraw/zinc/44/25/80/359442580.db2.gz UEUQPGCNFVKBGG-ZDCRXTMVSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H]2CC[C@H](C)C2)n[n-]1 ZINC000603157232 359444268 /nfs/dbraw/zinc/44/42/68/359444268.db2.gz VDXCXOGFISYWSS-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H]2CC[C@H](C)C2)[n-]1 ZINC000603157232 359444272 /nfs/dbraw/zinc/44/42/72/359444272.db2.gz VDXCXOGFISYWSS-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H]2CC[C@H](C)C2)n1 ZINC000603157232 359444275 /nfs/dbraw/zinc/44/42/75/359444275.db2.gz VDXCXOGFISYWSS-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM C=CC(=O)NCCNC(=O)c1cc(Cl)c([O-])c(OCC)c1 ZINC000186795901 200011954 /nfs/dbraw/zinc/01/19/54/200011954.db2.gz LVPPTAYPNXPSAT-UHFFFAOYSA-N -1 1 312.753 1.476 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC2CSC2)cc1C ZINC000603386077 359608082 /nfs/dbraw/zinc/60/80/82/359608082.db2.gz TYAYTWJGOSLEMD-UHFFFAOYSA-N -1 1 305.377 1.016 20 0 DDADMM O=C(C(=O)N1CCCC[C@@H]1c1ncon1)c1ccc([O-])cc1 ZINC000281040176 216039476 /nfs/dbraw/zinc/03/94/76/216039476.db2.gz WFAIKSIHNKXMBJ-GFCCVEGCSA-N -1 1 301.302 1.712 20 0 DDADMM Cc1nc(COC(C)(C)C)oc1C(=O)[N-]c1nc(C)n(C)n1 ZINC000565720772 304086314 /nfs/dbraw/zinc/08/63/14/304086314.db2.gz SYFHMRJVFZDSAI-UHFFFAOYSA-N -1 1 307.354 1.987 20 0 DDADMM CC1(C)[C@H](O)C[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000188118149 200196744 /nfs/dbraw/zinc/19/67/44/200196744.db2.gz FARHKZAQMQNVDD-WDEREUQCSA-N -1 1 309.309 1.542 20 0 DDADMM C[C@@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)c1cccnc1 ZINC000604601104 359774747 /nfs/dbraw/zinc/77/47/47/359774747.db2.gz HGXDRBLFLPSREM-LRDDRELGSA-N -1 1 322.372 1.994 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@H]1CCCN1Cc1ccc(F)cc1 ZINC000188333556 200229372 /nfs/dbraw/zinc/22/93/72/200229372.db2.gz WDYZZBYLXANNQI-GDBMZVCRSA-N -1 1 322.380 1.874 20 0 DDADMM O=C(Cc1ccc2c(c1)OCO2)NC1(c2nn[n-]n2)CCCC1 ZINC000605381845 359847628 /nfs/dbraw/zinc/84/76/28/359847628.db2.gz APMSOBGPNXXYJX-UHFFFAOYSA-N -1 1 315.333 1.057 20 0 DDADMM O=C(Cc1ccccc1Cl)NC1(c2nn[n-]n2)CCCC1 ZINC000605381735 359848432 /nfs/dbraw/zinc/84/84/32/359848432.db2.gz RQLBHKPYQXHEDJ-UHFFFAOYSA-N -1 1 305.769 1.981 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(C(C)(C)C)cn1)c1nn[n-]n1 ZINC000565768839 304090184 /nfs/dbraw/zinc/09/01/84/304090184.db2.gz IVRBBLPVLMQWAU-JTQLQIEISA-N -1 1 302.382 1.768 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@@H]2CCCCO2)c(OC)n1 ZINC000607103939 359997660 /nfs/dbraw/zinc/99/76/60/359997660.db2.gz SMCBHNXWUUNPCJ-JTQLQIEISA-N -1 1 316.379 1.410 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1C[C@H]1c1cc(Cl)cc(Cl)c1 ZINC000607905733 360064538 /nfs/dbraw/zinc/06/45/38/360064538.db2.gz JJCYYGTTWJYKDJ-VHSXEESVSA-N -1 1 312.160 1.926 20 0 DDADMM CN1CC(=O)N(CCCC(=O)Nc2c([O-])cccc2F)C1=O ZINC000608367166 360167665 /nfs/dbraw/zinc/16/76/65/360167665.db2.gz KYFOMFKOPAKJSW-UHFFFAOYSA-N -1 1 309.297 1.144 20 0 DDADMM COC(=O)[C@H](NC(=O)c1csc(=NC2CC2)[n-]1)C(C)(C)C ZINC000610883822 360562353 /nfs/dbraw/zinc/56/23/53/360562353.db2.gz YUPQKLCCMJKYJL-JTQLQIEISA-N -1 1 311.407 1.457 20 0 DDADMM CCOC(=O)[C@@]1(COC)CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000281303774 216224510 /nfs/dbraw/zinc/22/45/10/216224510.db2.gz HQRYJMWFAVNMHA-INIZCTEOSA-N -1 1 322.361 1.219 20 0 DDADMM CC(C)(CC(F)(F)F)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612814893 361154175 /nfs/dbraw/zinc/15/41/75/361154175.db2.gz FWIORYISVSRECZ-MRVPVSSYSA-N -1 1 305.304 1.884 20 0 DDADMM COC[C@@](C)(CCO)NC(=O)c1ncc2ccccc2c1[O-] ZINC000613300255 361372331 /nfs/dbraw/zinc/37/23/31/361372331.db2.gz HZIXZTVWPQQKLQ-MRXNPFEDSA-N -1 1 304.346 1.458 20 0 DDADMM CCOC(=O)[C@H](CCOC)NC(=O)c1cc(Cl)ccc1[O-] ZINC000281505756 216349149 /nfs/dbraw/zinc/34/91/49/216349149.db2.gz KBJOUYDSKJIRIK-NSHDSACASA-N -1 1 315.753 1.744 20 0 DDADMM NC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1cc(Cl)ccc1[O-] ZINC000613872497 361613447 /nfs/dbraw/zinc/61/34/47/361613447.db2.gz PHZCFJSCSMDIQJ-JQEORGNBSA-N -1 1 308.765 1.772 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2cccc(C(N)=O)c2)n[n-]1 ZINC000195175632 201353321 /nfs/dbraw/zinc/35/33/21/201353321.db2.gz YOXBLNQUGMUPNO-UHFFFAOYSA-N -1 1 322.390 1.175 20 0 DDADMM Cc1ccc2c([n-]cc(C(=O)NCC3([S@@](C)=O)CC3)c2=O)[nH+]1 ZINC000619685636 364115741 /nfs/dbraw/zinc/11/57/41/364115741.db2.gz VMXIYRKYMYZDIE-JOCHJYFZSA-N -1 1 319.386 1.285 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC1([S@@](C)=O)CC1)c2=O ZINC000619685636 364115752 /nfs/dbraw/zinc/11/57/52/364115752.db2.gz VMXIYRKYMYZDIE-JOCHJYFZSA-N -1 1 319.386 1.285 20 0 DDADMM O=C(C(=O)N1CC[C@@H](CN2CCCC2=O)C1)c1ccc([O-])cc1 ZINC000620256109 364353377 /nfs/dbraw/zinc/35/33/77/364353377.db2.gz HVIXOBFCZBTSPG-LBPRGKRZSA-N -1 1 316.357 1.046 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](C)[C@](C)(CO)C1 ZINC000620459140 364428067 /nfs/dbraw/zinc/42/80/67/364428067.db2.gz ZFLQETYHDHCPIO-BTDLBPIBSA-N -1 1 321.421 1.879 20 0 DDADMM C[C@H](O)[C@H](NC(=O)c1csc(=NC2CC2)[n-]1)c1ccccc1 ZINC000620991010 364640061 /nfs/dbraw/zinc/64/00/61/364640061.db2.gz HRNWJUSTQYVIHI-HZMBPMFUSA-N -1 1 317.414 1.991 20 0 DDADMM CO[C@@H](C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C1CCC1 ZINC000621709472 364994845 /nfs/dbraw/zinc/99/48/45/364994845.db2.gz USAFEXUBEWXLJE-CHWSQXEVSA-N -1 1 301.350 1.220 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)O1 ZINC000091160212 193008743 /nfs/dbraw/zinc/00/87/43/193008743.db2.gz VPUJMXOZMWELPP-PRHODGIISA-N -1 1 316.354 1.703 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-]C[C@@](C)(O)C(F)(F)F)s1 ZINC000359209350 299356720 /nfs/dbraw/zinc/35/67/20/299356720.db2.gz RYMJCMXCRCZZHA-MRVPVSSYSA-N -1 1 318.342 1.297 20 0 DDADMM CCO[C@H]1C[C@H](NC(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000622147147 365291106 /nfs/dbraw/zinc/29/11/06/365291106.db2.gz ROGCNZLOPIKWMF-XYPYZODXSA-N -1 1 301.346 1.941 20 0 DDADMM CCO[C@H]1C[C@H](NC(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000622147147 365291112 /nfs/dbraw/zinc/29/11/12/365291112.db2.gz ROGCNZLOPIKWMF-XYPYZODXSA-N -1 1 301.346 1.941 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)N3C[C@H](C)[C@](C)(CO)C3)c[nH]c12 ZINC000622181270 365317628 /nfs/dbraw/zinc/31/76/28/365317628.db2.gz NYKPHMPHXWHQCP-SGTLLEGYSA-N -1 1 314.385 1.927 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)c2cccnn2)CC1 ZINC000626102468 367337947 /nfs/dbraw/zinc/33/79/47/367337947.db2.gz KDRRWUUWVSMPLN-UHFFFAOYSA-N -1 1 311.341 1.917 20 0 DDADMM C[C@H](CS(C)(=O)=O)N(C)CC[C@@H](C(=O)[O-])c1ccccc1 ZINC000566358709 304148452 /nfs/dbraw/zinc/14/84/52/304148452.db2.gz LDDJHGLRFLYYGW-TZMCWYRMSA-N -1 1 313.419 1.610 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2C[C@@H](C)O[C@H](C(=O)[O-])C2)c1 ZINC000262144420 203210466 /nfs/dbraw/zinc/21/04/66/203210466.db2.gz AOCSDCQLRNXQBO-SKDRFNHKSA-N -1 1 303.318 1.185 20 0 DDADMM O=C(CN1CCN(CC2CC2)CC1)[N-]OCc1ccccc1 ZINC000093159533 193210059 /nfs/dbraw/zinc/21/00/59/193210059.db2.gz LLRFDASALDNMDE-UHFFFAOYSA-N -1 1 303.406 1.262 20 0 DDADMM C[C@H]1CN(CC(=O)[O-])CCN1C(=O)Cc1cccc(Cl)c1 ZINC000262630075 203356274 /nfs/dbraw/zinc/35/62/74/203356274.db2.gz GVPSNVNIZLSDIL-NSHDSACASA-N -1 1 310.781 1.500 20 0 DDADMM O=C(N[C@H]1CCO[C@@H](C2CC2)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000157869344 284206806 /nfs/dbraw/zinc/20/68/06/284206806.db2.gz KFOIWGHOYFSYNF-SMDDNHRTSA-N -1 1 313.357 1.611 20 0 DDADMM O=C(NCCNC(=O)c1c([O-])cccc1F)NC1CCCCC1 ZINC000274893712 212015337 /nfs/dbraw/zinc/01/53/37/212015337.db2.gz CDOZLWKJZNXNHX-UHFFFAOYSA-N -1 1 323.368 1.893 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)nc1C ZINC000351451279 284326742 /nfs/dbraw/zinc/32/67/42/284326742.db2.gz LRWCNFQRRKKDOO-UHFFFAOYSA-N -1 1 310.383 1.398 20 0 DDADMM O=C(NCCc1cn2c(n1)SCC2)c1c([O-])cccc1F ZINC000274692255 211838775 /nfs/dbraw/zinc/83/87/75/211838775.db2.gz KESSIFLOJDHQAR-UHFFFAOYSA-N -1 1 307.350 1.806 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC(C)(C)O1 ZINC000282877095 217293933 /nfs/dbraw/zinc/29/39/33/217293933.db2.gz AZSKHPSLINDANI-SECBINFHSA-N -1 1 306.391 1.658 20 0 DDADMM Cn1cc(Cl)cc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282989319 217372609 /nfs/dbraw/zinc/37/26/09/217372609.db2.gz URUBOJVLDJCAEW-MRVPVSSYSA-N -1 1 309.757 1.110 20 0 DDADMM Cc1nc2c(c(=O)[nH]1)CN(C(=O)Cc1ccc([O-])c(Cl)c1)C2 ZINC000636288339 422784318 /nfs/dbraw/zinc/78/43/18/422784318.db2.gz GNNUVXKIRSMFHC-UHFFFAOYSA-N -1 1 319.748 1.935 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2cc3c(cc2Cl)OCO3)cn1 ZINC000121100144 248269829 /nfs/dbraw/zinc/26/98/29/248269829.db2.gz OQRNUKOAFHPLKR-UHFFFAOYSA-N -1 1 315.738 1.603 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC(C)(CC(F)(F)F)C1 ZINC000331489551 248879542 /nfs/dbraw/zinc/87/95/42/248879542.db2.gz IVBOMRFLEKAIOF-UHFFFAOYSA-N -1 1 313.301 1.359 20 0 DDADMM COC(=O)[C@@H](Cc1ccccc1)NC(=O)c1cncc([O-])c1 ZINC000336852267 249257420 /nfs/dbraw/zinc/25/74/20/249257420.db2.gz MZOANMGZJNOGBK-CQSZACIVSA-N -1 1 300.314 1.301 20 0 DDADMM CN1CCO[C@@H]2CCN(C(=O)c3cc(Cl)ccc3[O-])C[C@H]21 ZINC000284493530 218050706 /nfs/dbraw/zinc/05/07/06/218050706.db2.gz NDNRGZUDEFLGNR-TZMCWYRMSA-N -1 1 310.781 1.591 20 0 DDADMM COCC[C@@H](CO)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284756792 218164176 /nfs/dbraw/zinc/16/41/76/218164176.db2.gz UVEHBUGGQGOGQL-VIFPVBQESA-N -1 1 311.762 1.155 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CN(C2CC2)CCO1)c1sccc1F ZINC000338902814 250190762 /nfs/dbraw/zinc/19/07/62/250190762.db2.gz WWHWJQQPZMLDJE-SNVBAGLBSA-N -1 1 320.411 1.029 20 0 DDADMM CCOC(=O)CC[C@H](C)[N-]S(=O)(=O)c1sccc1F ZINC000338939616 250209441 /nfs/dbraw/zinc/20/94/41/250209441.db2.gz MLKXCOPGNKBULO-QMMMGPOBSA-N -1 1 309.384 1.897 20 0 DDADMM C[S@@](=O)C1(C[N-]S(=O)(=O)c2sccc2F)CCC1 ZINC000338978199 250231593 /nfs/dbraw/zinc/23/15/93/250231593.db2.gz MUYOOFBSYUFVQY-QGZVFWFLSA-N -1 1 311.425 1.467 20 0 DDADMM NC(=O)NCCCCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000339137098 250309388 /nfs/dbraw/zinc/30/93/88/250309388.db2.gz OZIRXIGHRJYHCF-UHFFFAOYSA-N -1 1 317.349 1.559 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccccc2OC)n1 ZINC000339177661 250330046 /nfs/dbraw/zinc/33/00/46/250330046.db2.gz GDBAGBLNHOMJLD-UHFFFAOYSA-N -1 1 303.318 1.776 20 0 DDADMM O=C(Cc1cccc(F)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339186051 250333377 /nfs/dbraw/zinc/33/33/77/250333377.db2.gz NQEUZNZUKSNSAL-UHFFFAOYSA-N -1 1 305.309 1.863 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCSc1ccccc1 ZINC000352138802 284815337 /nfs/dbraw/zinc/81/53/37/284815337.db2.gz APLJOWAJFUBGGB-UHFFFAOYSA-N -1 1 321.358 1.419 20 0 DDADMM Cc1nnc(CN(C)CC(=O)Nc2ccc(F)cc2[O-])n1C ZINC000340330207 251009764 /nfs/dbraw/zinc/00/97/64/251009764.db2.gz ISVSXDDQVJHAHW-UHFFFAOYSA-N -1 1 307.329 1.039 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1c(F)cc(C(C)=O)cc1F ZINC000340381643 251039059 /nfs/dbraw/zinc/03/90/59/251039059.db2.gz LFIRNDGXPVIAHE-ZETCQYMHSA-N -1 1 307.318 1.944 20 0 DDADMM Cc1cc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)nc(C)n1 ZINC000340622016 251142431 /nfs/dbraw/zinc/14/24/31/251142431.db2.gz PKUUDIKZBKGTPV-UHFFFAOYSA-N -1 1 309.329 1.260 20 0 DDADMM CC(=O)c1ccc(S(=O)(=O)[N-]c2cccc(C(N)=O)c2)cc1 ZINC000058707701 184126488 /nfs/dbraw/zinc/12/64/88/184126488.db2.gz GXZJNITUOAFYDI-UHFFFAOYSA-N -1 1 318.354 1.789 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCSCC1 ZINC000064337078 184294773 /nfs/dbraw/zinc/29/47/73/184294773.db2.gz ISCVRQOEKGNMBF-UHFFFAOYSA-N -1 1 320.418 1.560 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC2(C1)CCOC2 ZINC000294517756 224066305 /nfs/dbraw/zinc/06/63/05/224066305.db2.gz SANSHOVSVDGOBP-UHFFFAOYSA-N -1 1 305.378 1.651 20 0 DDADMM CC(C)NC(=O)[C@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000116454158 194748911 /nfs/dbraw/zinc/74/89/11/194748911.db2.gz LBXXQADTAWRCET-NWDGAFQWSA-N -1 1 305.378 1.600 20 0 DDADMM C[C@@H](c1nncn1C)S(=O)(=O)c1nc(-c2ccccc2)n[n-]1 ZINC000352382173 285010604 /nfs/dbraw/zinc/01/06/04/285010604.db2.gz MLOINEOLLIJHIT-VIFPVBQESA-N -1 1 318.362 1.135 20 0 DDADMM CCCCN(C(=O)CNC(=O)c1ncccc1[O-])[C@@H](C)CC ZINC000352423655 285040760 /nfs/dbraw/zinc/04/07/60/285040760.db2.gz YSLGAUBTMKADIX-LBPRGKRZSA-N -1 1 307.394 1.944 20 0 DDADMM COC(=O)CCSc1ncccc1[N-]C(=O)c1ccon1 ZINC000352453332 285060841 /nfs/dbraw/zinc/06/08/41/285060841.db2.gz MHQLBXFOQOIFSZ-UHFFFAOYSA-N -1 1 307.331 1.977 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C)C[C@@H](C)C2)o1 ZINC000157889849 197224009 /nfs/dbraw/zinc/22/40/09/197224009.db2.gz PZHHLCRJNFJLBN-WDEREUQCSA-N -1 1 314.407 1.696 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(Cl)ncc1Cl)c1nn[n-]n1 ZINC000157719971 197209398 /nfs/dbraw/zinc/20/93/98/197209398.db2.gz YOAYETKABQLSGP-ZCFIWIBFSA-N -1 1 315.164 1.777 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@H]1CCCOC1 ZINC000156386142 197109060 /nfs/dbraw/zinc/10/90/60/197109060.db2.gz SSQXOBXPEWEUGP-ZETCQYMHSA-N -1 1 301.140 1.915 20 0 DDADMM O=C(c1cc(F)ccc1F)C1CCN(Cc2nc(=O)[n-][nH]2)CC1 ZINC000271651949 209097093 /nfs/dbraw/zinc/09/70/93/209097093.db2.gz VGJXPFCIIGQECS-UHFFFAOYSA-N -1 1 322.315 1.471 20 0 DDADMM COc1ccccc1N1CC[C@@H](NC(=O)c2cncc([O-])c2)C1 ZINC000155573240 197068040 /nfs/dbraw/zinc/06/80/40/197068040.db2.gz SJZYQZDZSBEKQC-CYBMUJFWSA-N -1 1 313.357 1.805 20 0 DDADMM CC[C@@H](C)CN(CC)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000154960691 197007885 /nfs/dbraw/zinc/00/78/85/197007885.db2.gz GOLDYMUKBXCBJV-SNVBAGLBSA-N -1 1 302.396 1.696 20 0 DDADMM Cn1cc(C(=O)CSc2nc(C(F)F)cc(=O)[n-]2)cn1 ZINC000289637640 221119757 /nfs/dbraw/zinc/11/97/57/221119757.db2.gz WHUOBIYJQTZMDZ-UHFFFAOYSA-N -1 1 300.290 1.828 20 0 DDADMM CCc1cc(=O)[nH]c(C[N@H+]2CCC[C@](COC)(C(=O)[O-])C2)n1 ZINC000569012450 304330509 /nfs/dbraw/zinc/33/05/09/304330509.db2.gz CCWPVHMKRYISIC-HNNXBMFYSA-N -1 1 309.366 1.058 20 0 DDADMM CCc1cc(=O)[nH]c(CN2CCC[C@](COC)(C(=O)[O-])C2)n1 ZINC000569012450 304330510 /nfs/dbraw/zinc/33/05/10/304330510.db2.gz CCWPVHMKRYISIC-HNNXBMFYSA-N -1 1 309.366 1.058 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+]2CCC[C@](COC)(C(=O)[O-])C2)n1 ZINC000569012450 304330512 /nfs/dbraw/zinc/33/05/12/304330512.db2.gz CCWPVHMKRYISIC-HNNXBMFYSA-N -1 1 309.366 1.058 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@H](O)[C@@H]3C)cnc2n1 ZINC000452711835 292304839 /nfs/dbraw/zinc/30/48/39/292304839.db2.gz ZNCBIOOPHFSYJK-GWCFXTLKSA-N -1 1 301.346 1.629 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@H](O)[C@@H]3C)c[n-]c2n1 ZINC000452711835 292304842 /nfs/dbraw/zinc/30/48/42/292304842.db2.gz ZNCBIOOPHFSYJK-GWCFXTLKSA-N -1 1 301.346 1.629 20 0 DDADMM O=C(N[C@@H]1CCCn2nccc21)c1csc(=NC2CC2)[n-]1 ZINC000636376604 422829620 /nfs/dbraw/zinc/82/96/20/422829620.db2.gz WOXYFGLSHDHWNK-SNVBAGLBSA-N -1 1 303.391 1.601 20 0 DDADMM C[C@@H](OC[C@@H]1CCCO1)C(=O)Nc1nc(-c2ccco2)n[n-]1 ZINC000069127652 406684321 /nfs/dbraw/zinc/68/43/21/406684321.db2.gz GFFMWTJEGHKJQE-ZJUUUORDSA-N -1 1 306.322 1.009 20 0 DDADMM CN(CCS(C)(=O)=O)C(=O)c1cc2ccccc2cc1[O-] ZINC000076465068 406968989 /nfs/dbraw/zinc/96/89/89/406968989.db2.gz IZHKRGSKEOQPCL-UHFFFAOYSA-N -1 1 307.371 1.662 20 0 DDADMM CC(=O)NCCNC(=O)c1cc(Br)ccc1[O-] ZINC000035982317 406975995 /nfs/dbraw/zinc/97/59/95/406975995.db2.gz JILFDZRBHBXOKS-UHFFFAOYSA-N -1 1 301.140 1.021 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)CC[C@@H]2C)o1 ZINC000075286857 406928710 /nfs/dbraw/zinc/92/87/10/406928710.db2.gz PENOVYLARBXADA-ZJUUUORDSA-N -1 1 300.380 1.448 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCn3cccc3[C@H]2C)o1 ZINC000033016893 406959139 /nfs/dbraw/zinc/95/91/39/406959139.db2.gz RCNNMZGJGSXALF-SNVBAGLBSA-N -1 1 323.374 1.206 20 0 DDADMM CCC[C@H](NS(=O)(=O)c1c(F)cc(F)cc1F)C(=O)[O-] ZINC000037908980 406995506 /nfs/dbraw/zinc/99/55/06/406995506.db2.gz LPGIKUGAUCVBME-VIFPVBQESA-N -1 1 311.281 1.636 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]CCSC(F)(F)F ZINC000047414108 407074047 /nfs/dbraw/zinc/07/40/47/407074047.db2.gz DQRFKLRRMOSTIF-UHFFFAOYSA-N -1 1 304.315 1.823 20 0 DDADMM C[C@@H](CC(=O)[N-]OCC(N)=O)c1cccc(C(F)(F)F)c1 ZINC000089462547 407136237 /nfs/dbraw/zinc/13/62/37/407136237.db2.gz QQZLCIRJQIJUJI-QMMMGPOBSA-N -1 1 304.268 1.732 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCC[C@@H](C)C2)o1 ZINC000055487395 407204053 /nfs/dbraw/zinc/20/40/53/407204053.db2.gz IBJCWSAAGYYWCK-GHMZBOCLSA-N -1 1 314.407 1.744 20 0 DDADMM CC[N@@H+](CCNS(=O)(=O)c1ccc(F)cc1F)C1CC1 ZINC000102183706 407320632 /nfs/dbraw/zinc/32/06/32/407320632.db2.gz GQCHYDLTOSTTOM-UHFFFAOYSA-N -1 1 304.362 1.727 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2C[C@@H]2c2ccccc2)co1 ZINC000122852197 407312302 /nfs/dbraw/zinc/31/23/02/407312302.db2.gz ZHRBMBPIOYUTSR-OLZOCXBDSA-N -1 1 320.370 1.474 20 0 DDADMM CCOC(=O)CC1CCN(Cc2cc(=O)n3[n-]ccc3n2)CC1 ZINC000108852430 407391532 /nfs/dbraw/zinc/39/15/32/407391532.db2.gz QZLWUTOHKWKOIE-UHFFFAOYSA-N -1 1 318.377 1.188 20 0 DDADMM C[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1F ZINC000109020208 407393908 /nfs/dbraw/zinc/39/39/08/407393908.db2.gz LISWKIWDHXQDDS-ZETCQYMHSA-N -1 1 312.326 1.090 20 0 DDADMM C[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1F ZINC000109020208 407393909 /nfs/dbraw/zinc/39/39/09/407393909.db2.gz LISWKIWDHXQDDS-ZETCQYMHSA-N -1 1 312.326 1.090 20 0 DDADMM NC(=O)N1CCCN(C(=O)c2ccc3ccccc3c2[O-])CC1 ZINC000271207098 407577648 /nfs/dbraw/zinc/57/76/48/407577648.db2.gz AMWOFRKCLYFPKI-UHFFFAOYSA-N -1 1 313.357 1.772 20 0 DDADMM CNC(=O)C1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000186182796 407598134 /nfs/dbraw/zinc/59/81/34/407598134.db2.gz HDKSBSGFBZTEFO-UHFFFAOYSA-N -1 1 302.252 1.229 20 0 DDADMM CC1(C)[C@@H](O)C[C@@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000130159284 407659279 /nfs/dbraw/zinc/65/92/79/407659279.db2.gz KODQNDPBQCZNGG-IUCAKERBSA-N -1 1 309.309 1.542 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2c(C)nn(C)c2Cl)n[n-]1 ZINC000153109991 407757118 /nfs/dbraw/zinc/75/71/18/407757118.db2.gz UECRFOFGISEYRR-UHFFFAOYSA-N -1 1 303.775 1.036 20 0 DDADMM CC[C@@H]1CCC[C@H](NC(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000153075825 407747888 /nfs/dbraw/zinc/74/78/88/407747888.db2.gz WQNPYPRCKGJGGH-PWSUYJOCSA-N -1 1 314.407 1.886 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCc3ccccc3C1)c2=O ZINC000179379394 407781674 /nfs/dbraw/zinc/78/16/74/407781674.db2.gz QJHUMACAITUJET-UHFFFAOYSA-N -1 1 308.341 1.872 20 0 DDADMM CN(C)CCN(CC(=O)[O-])C(=O)CCC(=O)c1cccs1 ZINC000237117280 407797165 /nfs/dbraw/zinc/79/71/65/407797165.db2.gz CHRUSQGAGIJQRT-UHFFFAOYSA-N -1 1 312.391 1.186 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cc(CN(C)C)ccn2)cn1 ZINC000267295143 407825188 /nfs/dbraw/zinc/82/51/88/407825188.db2.gz JGUMOFNYNSNEPV-UHFFFAOYSA-N -1 1 309.395 1.160 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC[C@H]2C(C)C)o1 ZINC000117298664 407826355 /nfs/dbraw/zinc/82/63/55/407826355.db2.gz IFKMUCADMCYJNS-NSHDSACASA-N -1 1 314.407 1.838 20 0 DDADMM Cn1c(CCNC(=O)c2ncccc2[O-])nc2ccc(F)cc21 ZINC000117978503 407875180 /nfs/dbraw/zinc/87/51/80/407875180.db2.gz LEGUWILJJLSBFZ-UHFFFAOYSA-N -1 1 314.320 1.786 20 0 DDADMM O=S(=O)(Cc1n[nH]c(C2CC2)n1)c1nc2ccccc2[n-]1 ZINC000180313218 407875499 /nfs/dbraw/zinc/87/54/99/407875499.db2.gz XCWCYISEAWBZAO-UHFFFAOYSA-N -1 1 303.347 1.532 20 0 DDADMM CC(=O)N1CC(NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000180591762 407900394 /nfs/dbraw/zinc/90/03/94/407900394.db2.gz YUUCAXDNDOTDQG-UHFFFAOYSA-N -1 1 302.252 1.372 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2(C(C)C)CC2)c1 ZINC000153997302 407948510 /nfs/dbraw/zinc/94/85/10/407948510.db2.gz GJAIYVQYKJXTAL-UHFFFAOYSA-N -1 1 301.364 1.781 20 0 DDADMM CC(C)OCCCCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000181168692 407961651 /nfs/dbraw/zinc/96/16/51/407961651.db2.gz KPZLHBANFOGRKI-UHFFFAOYSA-N -1 1 303.362 1.858 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(C(=O)c2cccc3c[nH]nc32)C1 ZINC000262926881 407972354 /nfs/dbraw/zinc/97/23/54/407972354.db2.gz GQSATYDNUGFWSY-OAHLLOKOSA-N -1 1 303.318 1.126 20 0 DDADMM COC[C@@](C)(NC(=O)c1ccc2ccccc2c1[O-])C(=O)OC ZINC000268265423 407977208 /nfs/dbraw/zinc/97/72/08/407977208.db2.gz RQOZBNHQGUTEAQ-QGZVFWFLSA-N -1 1 317.341 1.853 20 0 DDADMM CC(C)CC(=O)N[C@@H](C(=O)Nc1nnn[n-]1)c1ccccc1 ZINC000118685949 407935466 /nfs/dbraw/zinc/93/54/66/407935466.db2.gz MCFYQNDTWZEDCJ-GFCCVEGCSA-N -1 1 302.338 1.042 20 0 DDADMM CC(C)CC(=O)N[C@@H](C(=O)Nc1nn[n-]n1)c1ccccc1 ZINC000118685949 407935472 /nfs/dbraw/zinc/93/54/72/407935472.db2.gz MCFYQNDTWZEDCJ-GFCCVEGCSA-N -1 1 302.338 1.042 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C)CC[C@@H]2C)co1 ZINC000154407335 408033165 /nfs/dbraw/zinc/03/31/65/408033165.db2.gz NGKRZFDGCSVHOA-UWVGGRQHSA-N -1 1 300.380 1.448 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2n[nH]c3c2CCCC3)n[nH]1 ZINC000181750304 408034318 /nfs/dbraw/zinc/03/43/18/408034318.db2.gz RHPWXNGPTCJJAO-UHFFFAOYSA-N -1 1 303.322 1.441 20 0 DDADMM O=C(CN1CCC2(CC1)OCCO2)[N-]OCc1ccccc1 ZINC000119338115 408045691 /nfs/dbraw/zinc/04/56/91/408045691.db2.gz XZHWWQFQVJMHDH-UHFFFAOYSA-N -1 1 306.362 1.073 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN(C)Cc2nccs2)cc1 ZINC000175089992 408048241 /nfs/dbraw/zinc/04/82/41/408048241.db2.gz BEWYGQHXJVDYEL-UHFFFAOYSA-N -1 1 319.386 1.540 20 0 DDADMM CC(C)N1CCN(Cc2cc(=O)oc3cc([O-])ccc23)CC1=O ZINC000272591198 407997490 /nfs/dbraw/zinc/99/74/90/407997490.db2.gz PMBLGOJJQFBLGR-UHFFFAOYSA-N -1 1 316.357 1.551 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)Cc1ccon1)C(=O)OC(C)(C)C ZINC000268330506 407999774 /nfs/dbraw/zinc/99/97/74/407999774.db2.gz IPLDGVAGAAKPPT-NSHDSACASA-N -1 1 318.395 1.460 20 0 DDADMM O=C(CCCCNC(=O)OCc1ccccc1)Nc1nnn[n-]1 ZINC000268504726 408074985 /nfs/dbraw/zinc/07/49/85/408074985.db2.gz PUEMXVPOBMOPAR-UHFFFAOYSA-N -1 1 318.337 1.235 20 0 DDADMM O=C(CCCCNC(=O)OCc1ccccc1)Nc1nn[n-]n1 ZINC000268504726 408074990 /nfs/dbraw/zinc/07/49/90/408074990.db2.gz PUEMXVPOBMOPAR-UHFFFAOYSA-N -1 1 318.337 1.235 20 0 DDADMM C[C@H](CONC(=O)c1cncc([O-])c1)NC(=O)OC(C)(C)C ZINC000273145093 408078630 /nfs/dbraw/zinc/07/86/30/408078630.db2.gz XSRKLNMHIBDLJX-SECBINFHSA-N -1 1 311.338 1.362 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000175294058 408098394 /nfs/dbraw/zinc/09/83/94/408098394.db2.gz OZWNQPBMRQQHTE-PSASIEDQSA-N -1 1 318.345 1.287 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](C)C[S@@](C)=O ZINC000273208231 408108635 /nfs/dbraw/zinc/10/86/35/408108635.db2.gz RPCLTEBMAYLPAA-IJSAXESFSA-N -1 1 313.423 1.285 20 0 DDADMM Cn1cc([C@@H](NC(=O)c2ncccc2[O-])C2(CO)CCC2)cn1 ZINC000571127633 304438882 /nfs/dbraw/zinc/43/88/82/304438882.db2.gz WCBKXWVXGNXECL-CQSZACIVSA-N -1 1 316.361 1.154 20 0 DDADMM CN(C)c1ccncc1C(=O)N1CCC[C@@H](CCC(=O)[O-])C1 ZINC000263410624 408132842 /nfs/dbraw/zinc/13/28/42/408132842.db2.gz IWDJVGJDZPTZPV-LBPRGKRZSA-N -1 1 305.378 1.865 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)NC2CCC(C(=O)[O-])CC2)n[nH]1 ZINC000263439381 408141294 /nfs/dbraw/zinc/14/12/94/408141294.db2.gz DDGINXUIXJNDAP-KPPDAEKUSA-N -1 1 323.397 1.932 20 0 DDADMM O=C(c1ccc(F)c(F)c1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155551625 408180623 /nfs/dbraw/zinc/18/06/23/408180623.db2.gz JDWJZOHKJDBZHC-ZETCQYMHSA-N -1 1 311.267 1.637 20 0 DDADMM CC(C)NC(=O)CNC(=O)c1ccc(Br)c([O-])c1 ZINC000122131819 408255201 /nfs/dbraw/zinc/25/52/01/408255201.db2.gz BUFBOONMOOOIIQ-UHFFFAOYSA-N -1 1 315.167 1.409 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)CN1C1CC1 ZINC000150835576 408209223 /nfs/dbraw/zinc/20/92/23/408209223.db2.gz NLVLFVOBZVZSFJ-NSHDSACASA-N -1 1 320.370 1.474 20 0 DDADMM CN(C)C(=O)c1cc(NC(=O)c2cncc([O-])c2)ccc1F ZINC000176329824 408338508 /nfs/dbraw/zinc/33/85/08/408338508.db2.gz WZXQPCFHAAWRQM-UHFFFAOYSA-N -1 1 303.293 1.880 20 0 DDADMM CCC[C@H](NC(=O)COc1cc(C)ccc1F)c1nn[n-]n1 ZINC000133225428 162054757 /nfs/dbraw/zinc/05/47/57/162054757.db2.gz TYOAXFXJQKCABY-NSHDSACASA-N -1 1 307.329 1.684 20 0 DDADMM CC(=O)N[C@@H](CC(=O)Nc1nnn[n-]1)c1ccc(Cl)cc1 ZINC000264034035 408350324 /nfs/dbraw/zinc/35/03/24/408350324.db2.gz TUQOSBYLHCWUIL-JTQLQIEISA-N -1 1 308.729 1.059 20 0 DDADMM CC(=O)N[C@@H](CC(=O)Nc1nn[n-]n1)c1ccc(Cl)cc1 ZINC000264034035 408350329 /nfs/dbraw/zinc/35/03/29/408350329.db2.gz TUQOSBYLHCWUIL-JTQLQIEISA-N -1 1 308.729 1.059 20 0 DDADMM O=C(Nc1ccc(N2CCOCC2)nc1)c1cncc([O-])c1 ZINC000171910015 162248190 /nfs/dbraw/zinc/24/81/90/162248190.db2.gz DUJUXXLMRRTESO-UHFFFAOYSA-N -1 1 300.318 1.271 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)NC(=O)c1cc(F)ccc1[O-] ZINC000274314172 408363182 /nfs/dbraw/zinc/36/31/82/408363182.db2.gz VJGPNNDGXDDPNC-QMMMGPOBSA-N -1 1 309.215 1.755 20 0 DDADMM C[C@H]1C[C@H](N(C)C(=O)c2nc3ccccc3c(=O)[n-]2)CC[N@H+]1C ZINC000173188195 162325961 /nfs/dbraw/zinc/32/59/61/162325961.db2.gz KTNOTLREEMAPDW-NWDGAFQWSA-N -1 1 314.389 1.478 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Br)cc2[O-])CC[C@@H]1O ZINC000159253725 408394059 /nfs/dbraw/zinc/39/40/59/408394059.db2.gz BRFGGRGYTJQQSB-KCJUWKMLSA-N -1 1 314.179 1.998 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2n[nH]c(C)n2)c(=O)[n-]1 ZINC000264189908 408396842 /nfs/dbraw/zinc/39/68/42/408396842.db2.gz OAGOGERAZCWRPX-UHFFFAOYSA-N -1 1 308.367 1.210 20 0 DDADMM C[C@@H](CN(C)C(=O)C12CC3CC(CC(C3)C1)C2)c1nn[n-]n1 ZINC000183418300 408407805 /nfs/dbraw/zinc/40/78/05/408407805.db2.gz KKOSRBFYUZJVQF-HXTFMFRQSA-N -1 1 303.410 1.978 20 0 DDADMM Cc1cccc(C)c1NCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183445643 408413013 /nfs/dbraw/zinc/41/30/13/408413013.db2.gz UEDZZMBSVPHWNU-GFCCVEGCSA-N -1 1 302.382 1.491 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1cn(C)c2ccccc12)c1nn[n-]n1 ZINC000183445944 408414187 /nfs/dbraw/zinc/41/41/87/408414187.db2.gz DSXDAMCKZAIVHP-IDVQTMNDSA-N -1 1 324.388 1.967 20 0 DDADMM COc1cccc([C@@H](C)CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183454456 408416113 /nfs/dbraw/zinc/41/61/13/408416113.db2.gz XCUKRADXAJRSJV-NWDGAFQWSA-N -1 1 317.393 1.964 20 0 DDADMM CCC[C@@H](NC(=O)CSCc1c(C)noc1C)c1nn[n-]n1 ZINC000176753229 408431085 /nfs/dbraw/zinc/43/10/85/408431085.db2.gz RVKPKYHDGBKZFY-LLVKDONJSA-N -1 1 324.410 1.695 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(OC)c1OC)c1nn[n-]n1 ZINC000176769325 408438398 /nfs/dbraw/zinc/43/83/98/408438398.db2.gz AHGGIPKRSFLGHZ-JTQLQIEISA-N -1 1 305.338 1.488 20 0 DDADMM CCC(CC)(C(=O)Nc1nnn[n-]1)c1ccc(OC)c(OC)c1 ZINC000183676098 408466020 /nfs/dbraw/zinc/46/60/20/408466020.db2.gz XSQKVFUCVKRTBA-UHFFFAOYSA-N -1 1 319.365 1.913 20 0 DDADMM CCC(CC)(C(=O)Nc1nn[n-]n1)c1ccc(OC)c(OC)c1 ZINC000183676098 408466024 /nfs/dbraw/zinc/46/60/24/408466024.db2.gz XSQKVFUCVKRTBA-UHFFFAOYSA-N -1 1 319.365 1.913 20 0 DDADMM COc1cc(C)cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1O ZINC000274815512 408543240 /nfs/dbraw/zinc/54/32/40/408543240.db2.gz WLEVMACDFMXCKB-VIFPVBQESA-N -1 1 305.338 1.098 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cccc3c[nH]nc32)co1 ZINC000161573318 408617587 /nfs/dbraw/zinc/61/75/87/408617587.db2.gz HYZRWDRTVFRFNB-UHFFFAOYSA-N -1 1 320.330 1.316 20 0 DDADMM O=C(NCCCc1nnc2n1CCCC2)c1cncc([O-])c1 ZINC000270727094 408652617 /nfs/dbraw/zinc/65/26/17/408652617.db2.gz DFYLUMZJIVQWKE-UHFFFAOYSA-N -1 1 301.350 1.078 20 0 DDADMM COCCOc1cc(C)ccc1[N-]S(=O)(=O)CCOC ZINC000265613966 408713279 /nfs/dbraw/zinc/71/32/79/408713279.db2.gz IAFPIDYOBDNFHJ-UHFFFAOYSA-N -1 1 303.380 1.408 20 0 DDADMM O=C(N[C@@]1(CO)CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000185237462 408783866 /nfs/dbraw/zinc/78/38/66/408783866.db2.gz UDTFXVLKXQLDJD-GFCCVEGCSA-N -1 1 305.252 1.292 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2cn[nH]c2-c2ccccc2F)C1 ZINC000178270052 408796771 /nfs/dbraw/zinc/79/67/71/408796771.db2.gz HNANPWJKPRJYGU-SECBINFHSA-N -1 1 303.293 1.763 20 0 DDADMM Cc1ccc(C)c(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1 ZINC000194026870 408758337 /nfs/dbraw/zinc/75/83/37/408758337.db2.gz JOQMJIDWWXRICC-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM CCn1cnnc1NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000285620006 408829584 /nfs/dbraw/zinc/82/95/84/408829584.db2.gz CLRWGKZHJAPMRE-UHFFFAOYSA-N -1 1 304.354 1.652 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCC1(O)CCC1 ZINC000285632312 408832742 /nfs/dbraw/zinc/83/27/42/408832742.db2.gz DWNPGRKCSSDMMT-UHFFFAOYSA-N -1 1 307.394 1.823 20 0 DDADMM O=S(=O)([N-][C@H]1CS(=O)(=O)c2ccccc21)c1ccco1 ZINC000188781591 163068415 /nfs/dbraw/zinc/06/84/15/163068415.db2.gz XABRVLYJLJDDGL-JTQLQIEISA-N -1 1 313.356 1.087 20 0 DDADMM C[C@H](O)C[N-]S(=O)(=O)c1ccc(Cl)c(F)c1Cl ZINC000189474844 163102356 /nfs/dbraw/zinc/10/23/56/163102356.db2.gz VIXOJSINHDRINF-YFKPBYRVSA-N -1 1 302.154 1.792 20 0 DDADMM CN(C)c1noc([C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000194482663 163284286 /nfs/dbraw/zinc/28/42/86/163284286.db2.gz KZZHJHSLAONRAB-SNVBAGLBSA-N -1 1 317.349 1.256 20 0 DDADMM C[C@@H](CO)[C@@H](C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000231351106 163349621 /nfs/dbraw/zinc/34/96/21/163349621.db2.gz IIROPZYGYHRYST-JGVFFNPUSA-N -1 1 302.168 1.901 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287573302 409057337 /nfs/dbraw/zinc/05/73/37/409057337.db2.gz VTKHMVLPFDVHKL-ZNCULLJESA-N -1 1 315.377 1.071 20 0 DDADMM CSc1ccccc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000283230629 409117459 /nfs/dbraw/zinc/11/74/59/409117459.db2.gz IRWAQRARJFAVFU-SNVBAGLBSA-N -1 1 318.402 1.840 20 0 DDADMM C[C@H](c1ccccc1)[C@@H]([N-]C(=O)C(F)(F)C(F)F)C(N)=O ZINC000294014047 409224927 /nfs/dbraw/zinc/22/49/27/409224927.db2.gz ZTKASUUCAJIAPI-VXNVDRBHSA-N -1 1 306.259 1.661 20 0 DDADMM Cn1ncc(C(=O)CSc2nc(C(F)F)cc(=O)[n-]2)n1 ZINC000289298505 409244320 /nfs/dbraw/zinc/24/43/20/409244320.db2.gz BEBNOACDUKKDCG-UHFFFAOYSA-N -1 1 301.278 1.223 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000279845394 409251431 /nfs/dbraw/zinc/25/14/31/409251431.db2.gz FRLNYZKZDGCCOX-KWQFWETISA-N -1 1 323.418 1.852 20 0 DDADMM COC[C@@H](C[N-]S(=O)(=O)c1cc(Cl)ccc1F)OC ZINC000284179497 409290501 /nfs/dbraw/zinc/29/05/01/409290501.db2.gz GONVGZXVGUXDJD-SECBINFHSA-N -1 1 311.762 1.419 20 0 DDADMM CC[C@H](C)[C@@H](C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1)OC ZINC000295213270 409380781 /nfs/dbraw/zinc/38/07/81/409380781.db2.gz GUHPVDMMOIEVQH-GXSJLCMTSA-N -1 1 319.379 1.406 20 0 DDADMM O=C(NCC1CCSCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000295240191 409390665 /nfs/dbraw/zinc/39/06/65/409390665.db2.gz LMVVNIPYQQJYKW-UHFFFAOYSA-N -1 1 308.432 1.891 20 0 DDADMM C[N@H+]1CC=C(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000295132620 409336202 /nfs/dbraw/zinc/33/62/02/409336202.db2.gz YJSRFKSALSDFTA-UHFFFAOYSA-N -1 1 303.391 1.010 20 0 DDADMM CC[C@@H](C)N1CCN(C(=O)NC[C@H](CC(C)C)C(=O)[O-])CC1 ZINC000316446147 164022309 /nfs/dbraw/zinc/02/23/09/164022309.db2.gz NOZSVJICWTUMSK-KGLIPLIRSA-N -1 1 313.442 1.859 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]C(C)(C)C)o2)C1 ZINC000407980892 164187932 /nfs/dbraw/zinc/18/79/32/164187932.db2.gz PMFDHWGKFIQTLK-SNVBAGLBSA-N -1 1 314.407 1.838 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC[C@](C)(F)C1 ZINC000295430466 409478721 /nfs/dbraw/zinc/47/87/21/409478721.db2.gz DPTXSJUHBXVKIN-INIZCTEOSA-N -1 1 320.368 1.533 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3CCO[C@@H](C)C3)cnc2n1 ZINC000408080898 164221652 /nfs/dbraw/zinc/22/16/52/164221652.db2.gz LNSBJINDNFHCCA-QWRGUYRKSA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1CCO[C@@H](C)C1)c2=O ZINC000408080898 164221655 /nfs/dbraw/zinc/22/16/55/164221655.db2.gz LNSBJINDNFHCCA-QWRGUYRKSA-N -1 1 301.346 1.941 20 0 DDADMM Cc1cnc(C(=O)N2CCC(N3CCCC3=O)CC2)c([O-])c1 ZINC000408368461 164309081 /nfs/dbraw/zinc/30/90/81/164309081.db2.gz IKVRPCIXFQSVPC-UHFFFAOYSA-N -1 1 303.362 1.323 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(C)(C)C2CCC2)o1 ZINC000296364879 409513259 /nfs/dbraw/zinc/51/32/59/409513259.db2.gz GDHLISHDNITPAR-UHFFFAOYSA-N -1 1 314.407 1.744 20 0 DDADMM CC[C@H](C)[C@H](C)N(C(=O)CNC(=O)c1ncccc1[O-])C1CC1 ZINC000337920316 409568572 /nfs/dbraw/zinc/56/85/72/409568572.db2.gz YIWRUJRVFWWYLX-RYUDHWBXSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)CNC(=O)c1ncccc1[O-] ZINC000337897876 409550642 /nfs/dbraw/zinc/55/06/42/409550642.db2.gz FWXZQCNWCAFEIV-UPJWGTAASA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)c1cnc(NC(=O)CNC(=O)c2ncccc2[O-])s1 ZINC000337897378 409552390 /nfs/dbraw/zinc/55/23/90/409552390.db2.gz FGMNKDPPQNRRSD-UHFFFAOYSA-N -1 1 320.374 1.736 20 0 DDADMM CN(CC(=O)Nc1cccc(F)c1)C(=O)c1ncccc1[O-] ZINC000338039481 409667600 /nfs/dbraw/zinc/66/76/00/409667600.db2.gz NNROBFFICMMKDO-UHFFFAOYSA-N -1 1 303.293 1.637 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1ccc(C)c(C(=O)[O-])c1 ZINC000349086483 409684002 /nfs/dbraw/zinc/68/40/02/409684002.db2.gz RWWGQAVIVDKKJB-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1cccc(O)c1 ZINC000354311990 409754507 /nfs/dbraw/zinc/75/45/07/409754507.db2.gz MGFWVAIKMKDKGX-UHFFFAOYSA-N -1 1 305.359 1.848 20 0 DDADMM CCC[C@]1(NC(=O)c2c[n-]c3c(cnn3C)c2=O)CCOC1 ZINC000342621757 409771665 /nfs/dbraw/zinc/77/16/65/409771665.db2.gz NGBOSAVAHWYSHF-HNNXBMFYSA-N -1 1 304.350 1.363 20 0 DDADMM C[C@@H]1CN(CC(=O)NCCOc2ccccc2)CC[C@@H]1C(=O)[O-] ZINC000324078897 409923360 /nfs/dbraw/zinc/92/33/60/409923360.db2.gz YYWNZTCDENTZHN-HIFRSBDPSA-N -1 1 320.389 1.224 20 0 DDADMM O=C(COc1ccccc1F)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332178438 409952875 /nfs/dbraw/zinc/95/28/75/409952875.db2.gz MWCKVABHJBZQMD-JTQLQIEISA-N -1 1 321.308 1.699 20 0 DDADMM Cc1noc(C)c1NS(=O)(=O)c1csc(C(=O)[O-])c1 ZINC000354601139 409962785 /nfs/dbraw/zinc/96/27/85/409962785.db2.gz FLSLDGRJEMIYBI-UHFFFAOYSA-N -1 1 302.333 1.852 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(N)=O ZINC000357344085 409984126 /nfs/dbraw/zinc/98/41/26/409984126.db2.gz KWEDVJHWHFHULC-UHFFFAOYSA-N -1 1 324.324 1.426 20 0 DDADMM C[C@@H]1COCC[C@H]1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000342832713 409935626 /nfs/dbraw/zinc/93/56/26/409935626.db2.gz UMBKNQJRRLLWAE-ZJUUUORDSA-N -1 1 304.350 1.076 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H](C)c1ccc(F)cc1 ZINC000357216544 409946719 /nfs/dbraw/zinc/94/67/19/409946719.db2.gz MICNHUVBUMLVBL-QMMMGPOBSA-N -1 1 321.308 1.869 20 0 DDADMM O=C(CCCc1cccs1)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297814810 410012116 /nfs/dbraw/zinc/01/21/16/410012116.db2.gz UHOMVMRPWYWQAW-UHFFFAOYSA-N -1 1 319.390 1.720 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC(C)(C)c1cccc(F)c1 ZINC000357373091 410000582 /nfs/dbraw/zinc/00/05/82/410000582.db2.gz ZMDJZFPYSAYGLX-UHFFFAOYSA-N -1 1 321.308 1.701 20 0 DDADMM Cc1nc(C(C)(C)C)sc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354768108 410072164 /nfs/dbraw/zinc/07/21/64/410072164.db2.gz YSDYEYREFCUCSP-UHFFFAOYSA-N -1 1 308.411 1.927 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1O ZINC000332355251 410088884 /nfs/dbraw/zinc/08/88/84/410088884.db2.gz UAKKLDLRHDPKIA-VIFPVBQESA-N -1 1 319.317 1.509 20 0 DDADMM CC(C)(NC(=O)c1cc(F)c(F)c(F)c1F)c1nn[n-]n1 ZINC000354808248 410102961 /nfs/dbraw/zinc/10/29/61/410102961.db2.gz WOCJMOQGJCPYKR-UHFFFAOYSA-N -1 1 303.219 1.421 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CCO[C@@H](C)C2)sc1C ZINC000329114307 410110063 /nfs/dbraw/zinc/11/00/63/410110063.db2.gz LYBWVJUFPHZINZ-KWQFWETISA-N -1 1 304.437 1.853 20 0 DDADMM CSC1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CCC1 ZINC000332430627 410152591 /nfs/dbraw/zinc/15/25/91/410152591.db2.gz GZZDKMKDVZYPSX-UHFFFAOYSA-N -1 1 316.404 1.264 20 0 DDADMM CCCN(C)C(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000354970759 410212823 /nfs/dbraw/zinc/21/28/23/410212823.db2.gz KUEZXXWXHXKMFP-UHFFFAOYSA-N -1 1 315.395 1.940 20 0 DDADMM Cc1ccc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000332442207 410163007 /nfs/dbraw/zinc/16/30/07/410163007.db2.gz RWBCABICQOTMDK-LBPRGKRZSA-N -1 1 302.334 1.427 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H](CO)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000298492411 410255042 /nfs/dbraw/zinc/25/50/42/410255042.db2.gz VCIHDDKNTJWWBX-MWLCHTKSSA-N -1 1 306.391 1.299 20 0 DDADMM Cc1ccncc1CN(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000357818972 410266355 /nfs/dbraw/zinc/26/63/55/410266355.db2.gz TVSLKCGCDVSLQW-UHFFFAOYSA-N -1 1 311.345 1.650 20 0 DDADMM CC1(C)CN(C(=O)c2cc(F)cc3nn[nH]c32)[C@@H]2COC[C@@H]21 ZINC000333047394 410328273 /nfs/dbraw/zinc/32/82/73/410328273.db2.gz AEKDIQNKCNVQKI-CMPLNLGQSA-N -1 1 304.325 1.594 20 0 DDADMM COCCc1noc(CSc2nc(C(F)F)cc(=O)[n-]2)n1 ZINC000351904889 410330786 /nfs/dbraw/zinc/33/07/86/410330786.db2.gz QVNCNISRNBOWBK-UHFFFAOYSA-N -1 1 318.305 1.984 20 0 DDADMM O=C([C@@H]1CCC(F)(F)C1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351858860 410295588 /nfs/dbraw/zinc/29/55/88/410295588.db2.gz WEQWFTVOKQDGNH-SECBINFHSA-N -1 1 301.293 1.917 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H]2CCC(C)(C)C2)co1 ZINC000358106159 410363625 /nfs/dbraw/zinc/36/36/25/410363625.db2.gz ZTMVKJVAFOTUPV-LLVKDONJSA-N -1 1 314.407 1.838 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@H]2c2nc3ccccc3n2C)nc1=O ZINC000329572331 410367004 /nfs/dbraw/zinc/36/70/04/410367004.db2.gz JDHXPDFMFSERMQ-CYBMUJFWSA-N -1 1 312.377 1.332 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CCOCC2)c1cc(F)ccc1F ZINC000333146143 410384944 /nfs/dbraw/zinc/38/49/44/410384944.db2.gz ZWGBWLOWVFGJIV-GFCCVEGCSA-N -1 1 303.330 1.812 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(C)(C)[C@@H]2CCC[C@@H]21 ZINC000333169578 410398648 /nfs/dbraw/zinc/39/86/48/410398648.db2.gz NIXPFOWESDOGQA-BDAKNGLRSA-N -1 1 307.350 1.547 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1C(=O)N=c1ncn(C2CCCCC2)[n-]1 ZINC000329822664 410451533 /nfs/dbraw/zinc/45/15/33/410451533.db2.gz HLCZOAWBUHGTKQ-QWHCGFSZSA-N -1 1 320.441 1.762 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3coc(C)n3)no2)co1 ZINC000347295095 410453361 /nfs/dbraw/zinc/45/33/61/410453361.db2.gz AHVAQODPJVPMLN-UHFFFAOYSA-N -1 1 310.291 1.201 20 0 DDADMM O=C(c1cc2c([nH]1)CCCC2)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000298860249 410426821 /nfs/dbraw/zinc/42/68/21/410426821.db2.gz GBSRJFNLQPGTAU-NSHDSACASA-N -1 1 315.377 1.325 20 0 DDADMM O=c1cc(/C=C\c2cnn(-c3ccccc3)c2)nc2nc[n-]n21 ZINC000352034605 410430635 /nfs/dbraw/zinc/43/06/35/410430635.db2.gz FHTSVKLUEXHZAI-SREVYHEPSA-N -1 1 304.313 1.774 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C[S@](C)=O)c2ccccc2)c([O-])c1 ZINC000358621803 410497736 /nfs/dbraw/zinc/49/77/36/410497736.db2.gz BPBFPBIDKQYSTK-XMHCIUCPSA-N -1 1 318.398 1.945 20 0 DDADMM CCCc1occc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000343604137 410563565 /nfs/dbraw/zinc/56/35/65/410563565.db2.gz SBXKKCGGQVTRNJ-UHFFFAOYSA-N -1 1 324.358 1.837 20 0 DDADMM CC(C)c1nnc([N-]C(=O)c2coc(S(N)(=O)=O)c2)s1 ZINC000339969434 410586989 /nfs/dbraw/zinc/58/69/89/410586989.db2.gz CPXXPFPGBXEACX-UHFFFAOYSA-N -1 1 316.364 1.154 20 0 DDADMM CC(C)(C)CC(=O)NCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000330460904 410709710 /nfs/dbraw/zinc/70/97/10/410709710.db2.gz RMJUKTDSVVPKGC-JTQLQIEISA-N -1 1 324.381 1.034 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1CC[C@@H]1C ZINC000343938534 410828231 /nfs/dbraw/zinc/82/82/31/410828231.db2.gz YPHSSLZMJLDHNY-ZANVPECISA-N -1 1 302.378 1.899 20 0 DDADMM Cn1[n-]c(CN2CCN(C3CC3)c3ccc(Cl)cc32)nc1=O ZINC000348292751 410902276 /nfs/dbraw/zinc/90/22/76/410902276.db2.gz JGUSDKGYDCQERF-UHFFFAOYSA-N -1 1 319.796 1.751 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1c2c(nc3ccccc31)CCC2 ZINC000348298520 410907889 /nfs/dbraw/zinc/90/78/89/410907889.db2.gz QZTVCWJTDTXZMD-UHFFFAOYSA-N -1 1 320.356 1.656 20 0 DDADMM Cc1c(NS(=O)(=O)c2ccc(C(=O)[O-])cc2C)cnn1C ZINC000341290816 410985006 /nfs/dbraw/zinc/98/50/06/410985006.db2.gz ZRAUKZGWBSCYNM-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CCCC(F)(F)C1 ZINC000344159466 410999209 /nfs/dbraw/zinc/99/92/09/410999209.db2.gz ZDMYWIABLFDUCB-SECBINFHSA-N -1 1 313.304 1.211 20 0 DDADMM CC(C)(NC(=O)Cc1coc2cc(F)ccc12)c1nn[n-]n1 ZINC000360103210 411048925 /nfs/dbraw/zinc/04/89/25/411048925.db2.gz UQWXLGAXAXGQTN-UHFFFAOYSA-N -1 1 303.297 1.679 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H]2Cc2ccccc2Cl)nc1=O ZINC000353504241 411038143 /nfs/dbraw/zinc/03/81/43/411038143.db2.gz UGIPKOBPFQMKSU-LBPRGKRZSA-N -1 1 306.797 1.969 20 0 DDADMM CCOc1ccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1F ZINC000353739538 411121081 /nfs/dbraw/zinc/12/10/81/411121081.db2.gz USLGLJZWEURPLY-UHFFFAOYSA-N -1 1 317.280 1.208 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000580157473 422894925 /nfs/dbraw/zinc/89/49/25/422894925.db2.gz ARGSDHMJHABAGY-BNOWGMLFSA-N -1 1 321.446 1.905 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C(=O)NC(C)(C)C ZINC000631796385 422925006 /nfs/dbraw/zinc/92/50/06/422925006.db2.gz VRNFTYXLWVZTDA-ZETCQYMHSA-N -1 1 324.349 1.799 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCO[C@@H](c3nccn3C)C2)c([O-])c1 ZINC000580699577 422948314 /nfs/dbraw/zinc/94/83/14/422948314.db2.gz VKGFVKBDHFMHLO-WCQYABFASA-N -1 1 316.361 1.479 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1sccc1Cl)[C@@H]1CN(C)CCO1 ZINC000649860803 422963836 /nfs/dbraw/zinc/96/38/36/422963836.db2.gz BCPOKLWFWNZKPZ-WPRPVWTQSA-N -1 1 324.855 1.399 20 0 DDADMM CCOCc1nc([C@H](C)NC(=O)c2ccc([O-])c(F)c2)no1 ZINC000642928619 423005124 /nfs/dbraw/zinc/00/51/24/423005124.db2.gz SHBYGSHJKKSGLU-QMMMGPOBSA-N -1 1 309.297 1.942 20 0 DDADMM CCCCN(CCOC)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000133004467 196303328 /nfs/dbraw/zinc/30/33/28/196303328.db2.gz BKPAAZISWHOGDP-UHFFFAOYSA-N -1 1 318.395 1.076 20 0 DDADMM CC1(C)[C@H]([NH+]2CCOCC2)C[C@@H]1NC(=O)c1ccccc1O ZINC000640528018 423013372 /nfs/dbraw/zinc/01/33/72/423013372.db2.gz VQUSLFOBWJDWSC-LSDHHAIUSA-N -1 1 304.390 1.621 20 0 DDADMM CC(C)(C(=O)[O-])C(=O)NCCc1nnc(-c2ccccc2)[nH]1 ZINC000647739553 423023787 /nfs/dbraw/zinc/02/37/87/423023787.db2.gz GJFKGBWUYPXVGG-UHFFFAOYSA-N -1 1 302.334 1.241 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC[C@@H](C)CCO)c(F)c1 ZINC000650040566 423028451 /nfs/dbraw/zinc/02/84/51/423028451.db2.gz CFONTWCVXHQNQR-SECBINFHSA-N -1 1 323.361 1.660 20 0 DDADMM Cc1c(-c2cc(CN3CCC[C@@]3(C)C(=O)[O-])on2)cnn1C ZINC000652480666 423037291 /nfs/dbraw/zinc/03/72/91/423037291.db2.gz NEAFOYNVKDMFKR-HNNXBMFYSA-N -1 1 304.350 1.823 20 0 DDADMM CN(CCOCCO)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000650085825 423042475 /nfs/dbraw/zinc/04/24/75/423042475.db2.gz PNOIDLJOZZAAGJ-UHFFFAOYSA-N -1 1 307.268 1.492 20 0 DDADMM COc1ncccc1CN1CCC(n2cc(C(=O)[O-])cn2)CC1 ZINC000652505826 423046896 /nfs/dbraw/zinc/04/68/96/423046896.db2.gz PDACVJWAIGFCAZ-UHFFFAOYSA-N -1 1 316.361 1.822 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@@H]1Oc2ccccc2NC1=O ZINC000647816206 423059328 /nfs/dbraw/zinc/05/93/28/423059328.db2.gz APADCIOYWMXFDZ-JTQLQIEISA-N -1 1 302.290 1.152 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000295793451 225244154 /nfs/dbraw/zinc/24/41/54/225244154.db2.gz RTGMBQQSBNJFBV-LDYMZIIASA-N -1 1 323.374 1.756 20 0 DDADMM CCO[C@H](CC(=O)NCc1cc(=O)[n-]c(SC)n1)C(C)C ZINC000640655206 423116764 /nfs/dbraw/zinc/11/67/64/423116764.db2.gz BMCADGUIZNRUIP-LLVKDONJSA-N -1 1 313.423 1.972 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)[C@H]1CC12CC2 ZINC000643350226 423119888 /nfs/dbraw/zinc/11/98/88/423119888.db2.gz CLEOYUVEOFRSNC-LDYMZIIASA-N -1 1 321.743 1.998 20 0 DDADMM CCOc1ncc(C)cc1[N-]S(=O)(=O)CCOC(C)C ZINC000296672264 226106870 /nfs/dbraw/zinc/10/68/70/226106870.db2.gz GZFDLGLIMKOVPZ-UHFFFAOYSA-N -1 1 302.396 1.955 20 0 DDADMM CCc1cc(O)ccc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000360555659 418462170 /nfs/dbraw/zinc/46/21/70/418462170.db2.gz BLJLXAYAEWQZCZ-UHFFFAOYSA-N -1 1 315.329 1.424 20 0 DDADMM CNC(=O)c1ccc(=NC[C@H]2[C@@H]3c4ccccc4CC[C@H]23)[n-]n1 ZINC000366513490 418483942 /nfs/dbraw/zinc/48/39/42/418483942.db2.gz VBXNMYFHHIUKRR-CKEIUWERSA-N -1 1 308.385 1.646 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@H](O)C1)c1ncccc1C(F)(F)F ZINC000645676606 423138824 /nfs/dbraw/zinc/13/88/24/423138824.db2.gz YSOZETDXUFVYOS-BDAKNGLRSA-N -1 1 324.324 1.540 20 0 DDADMM O=C(c1ccc2ncsc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000367167374 418567048 /nfs/dbraw/zinc/56/70/48/418567048.db2.gz FLJADVAYPGRNGN-SNVBAGLBSA-N -1 1 314.374 1.829 20 0 DDADMM CCN1CCOC[C@H]1C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000361068756 418576269 /nfs/dbraw/zinc/57/62/69/418576269.db2.gz IOVFFDMZHSRTHB-LBPRGKRZSA-N -1 1 320.418 1.528 20 0 DDADMM COc1ccccc1NC(=O)CN1CCCC[C@@H]1CC(=O)[O-] ZINC000314785827 418595389 /nfs/dbraw/zinc/59/53/89/418595389.db2.gz UNKAABLSCFDVHV-GFCCVEGCSA-N -1 1 306.362 1.963 20 0 DDADMM CC(C)C[C@H](CNC(=O)[C@H]1NCCc2ccccc21)C(=O)[O-] ZINC000388659434 418746808 /nfs/dbraw/zinc/74/68/08/418746808.db2.gz SQUALNLBQQHZBQ-HIFRSBDPSA-N -1 1 304.390 1.737 20 0 DDADMM Cc1occc1-c1nnc(SCc2nc(=O)n(C)[n-]2)n1C ZINC000354322407 306772040 /nfs/dbraw/zinc/77/20/40/306772040.db2.gz RASBMBIDUTWRTO-UHFFFAOYSA-N -1 1 306.351 1.098 20 0 DDADMM COc1cc(NC(=O)[C@@]2(C)C[C@@H]2F)ccc1[N-]S(C)(=O)=O ZINC000424583329 228292480 /nfs/dbraw/zinc/29/24/80/228292480.db2.gz MJIUSFOPCZEUEZ-AAEUAGOBSA-N -1 1 316.354 1.753 20 0 DDADMM CN(C)C(=O)C[C@@H](NC(=O)c1ncccc1[O-])c1ccccc1 ZINC000426656025 419524078 /nfs/dbraw/zinc/52/40/78/419524078.db2.gz VWAIPAHPAJEQEJ-CYBMUJFWSA-N -1 1 313.357 1.737 20 0 DDADMM Cc1cnc(C(=O)NCc2nccn2CC(F)(F)F)c([O-])c1 ZINC000427077647 419589316 /nfs/dbraw/zinc/58/93/16/419589316.db2.gz QQIODQIWJPZOHV-UHFFFAOYSA-N -1 1 314.267 1.784 20 0 DDADMM Cc1cnc(C(=O)NCCC(=O)NCc2ccccc2)c([O-])c1 ZINC000427118648 419595316 /nfs/dbraw/zinc/59/53/16/419595316.db2.gz ZTZRFQDPMOOBPV-UHFFFAOYSA-N -1 1 313.357 1.532 20 0 DDADMM Cc1c(S(=O)(=O)[N-]c2ccn(-c3ccncc3)n2)cnn1C ZINC000427193064 419618677 /nfs/dbraw/zinc/61/86/77/419618677.db2.gz QTOCUELAPHHTBB-UHFFFAOYSA-N -1 1 318.362 1.110 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)F)c1ccc(F)cc1F ZINC000428426399 228725660 /nfs/dbraw/zinc/72/56/60/228725660.db2.gz XZSBTJABRDLXCM-ZETCQYMHSA-N -1 1 301.261 1.259 20 0 DDADMM C[C@@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1(F)F ZINC000427662084 419710986 /nfs/dbraw/zinc/71/09/86/419710986.db2.gz HGQWFMMTCMGDOZ-SECBINFHSA-N -1 1 313.304 1.021 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CC(=O)N(C3CCCCC3)C2)c([O-])c1 ZINC000427783794 419733131 /nfs/dbraw/zinc/73/31/31/419733131.db2.gz ZUNRSIMIVMAHGG-GFCCVEGCSA-N -1 1 317.389 1.759 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@H](C)O[C@@H](C)C(=O)[O-])[nH]c21 ZINC000421804157 419735759 /nfs/dbraw/zinc/73/57/59/419735759.db2.gz JGIQOFIYCVICSH-UWVGGRQHSA-N -1 1 305.334 1.366 20 0 DDADMM Cc1nc(-c2ccc(NCCC[C@@H](C)O)nc2)[n-]c(=O)c1C ZINC000432828142 229131486 /nfs/dbraw/zinc/13/14/86/229131486.db2.gz IOZPFEGKSPZOEA-SNVBAGLBSA-N -1 1 302.378 1.856 20 0 DDADMM C[C@@H](CN(C)C(=O)c1noc2c1C[C@@H](C)CC2)c1nn[n-]n1 ZINC000434248767 229273509 /nfs/dbraw/zinc/27/35/09/229273509.db2.gz KNWROLRGDBQOKV-IUCAKERBSA-N -1 1 304.354 1.188 20 0 DDADMM O=C(N=c1cc(-c2cccc(F)c2F)[n-][nH]1)c1ccncn1 ZINC000429284577 419995304 /nfs/dbraw/zinc/99/53/04/419995304.db2.gz KVNQDSOTUOFJOQ-UHFFFAOYSA-N -1 1 301.256 1.819 20 0 DDADMM O=C(C(=O)N1CCC(O[C@@H]2CCOC2)CC1)c1ccc([O-])cc1 ZINC000436933099 229542419 /nfs/dbraw/zinc/54/24/19/229542419.db2.gz BBMUKMADCPWEEA-OAHLLOKOSA-N -1 1 319.357 1.371 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C)n(C(C)C)n2)n1 ZINC000415632026 420129491 /nfs/dbraw/zinc/12/94/91/420129491.db2.gz REXVDMXHYDOAMX-UHFFFAOYSA-N -1 1 305.338 1.925 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cn3c(n2)CCCC3)c1 ZINC000436517964 420336889 /nfs/dbraw/zinc/33/68/89/420336889.db2.gz IQQQEDYEJQIAKH-UHFFFAOYSA-N -1 1 315.329 1.964 20 0 DDADMM O=C(c1c(O)cccc1O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425296122 420341214 /nfs/dbraw/zinc/34/12/14/420341214.db2.gz GPGVCLCZGFLKSI-QMMMGPOBSA-N -1 1 305.290 1.206 20 0 DDADMM O=C(c1cn2c(n1)CCCC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425298184 420343076 /nfs/dbraw/zinc/34/30/76/420343076.db2.gz JAVPKUHASNBIJP-JTQLQIEISA-N -1 1 317.349 1.328 20 0 DDADMM O=C(c1ocnc1C1CC1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425313030 420345025 /nfs/dbraw/zinc/34/50/25/420345025.db2.gz ZXEWTKPXCDUXIE-VIFPVBQESA-N -1 1 304.306 1.660 20 0 DDADMM CCOc1cc(C(=O)N2CCC(C3N=NC(=O)O3)CC2)on1 ZINC000425315333 420347177 /nfs/dbraw/zinc/34/71/77/420347177.db2.gz BBKBYMHZTAGCBX-UHFFFAOYSA-N -1 1 308.294 1.182 20 0 DDADMM CCOc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)on1 ZINC000425315333 420347185 /nfs/dbraw/zinc/34/71/85/420347185.db2.gz BBKBYMHZTAGCBX-UHFFFAOYSA-N -1 1 308.294 1.182 20 0 DDADMM Cc1cccc2nc(CNC(=O)C(=O)c3ccc([O-])cc3)cn21 ZINC000436667407 420353920 /nfs/dbraw/zinc/35/39/20/420353920.db2.gz UGWWOWDMAMOHBO-UHFFFAOYSA-N -1 1 309.325 1.847 20 0 DDADMM CS(=O)(=O)[N-]c1ccc(S(=O)(=O)NC2CCC2)c(F)c1 ZINC000416506599 420401218 /nfs/dbraw/zinc/40/12/18/420401218.db2.gz JJTLVYJZLIOCBR-UHFFFAOYSA-N -1 1 322.383 1.028 20 0 DDADMM COc1ccc(OCCNC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000436862218 420377956 /nfs/dbraw/zinc/37/79/56/420377956.db2.gz YBGJHSMSZZEWHL-UHFFFAOYSA-N -1 1 315.325 1.779 20 0 DDADMM CS(=O)(=O)Cc1cccc(NC(=O)c2ccc(O)cc2[O-])c1 ZINC000436883253 420378680 /nfs/dbraw/zinc/37/86/80/420378680.db2.gz CHVRAGHKKNQVHZ-UHFFFAOYSA-N -1 1 321.354 1.895 20 0 DDADMM C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)N1CCCCC1 ZINC000436901784 420382901 /nfs/dbraw/zinc/38/29/01/420382901.db2.gz NKPQBABMCGHVHC-LLVKDONJSA-N -1 1 304.346 1.092 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCn2ncnc2C1 ZINC000436924551 420384535 /nfs/dbraw/zinc/38/45/35/420384535.db2.gz GREQDERONIOYTD-UHFFFAOYSA-N -1 1 312.251 1.659 20 0 DDADMM COC(=O)c1ccc(C)c([N-]C(=O)c2cc(OC)no2)c1O ZINC000436962922 420385993 /nfs/dbraw/zinc/38/59/93/420385993.db2.gz FUCXKKNJWLQNML-UHFFFAOYSA-N -1 1 306.274 1.736 20 0 DDADMM NC(=O)COc1ccc(NC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000436782342 420366294 /nfs/dbraw/zinc/36/62/94/420366294.db2.gz XLSCLQNISXHMHM-UHFFFAOYSA-N -1 1 314.297 1.078 20 0 DDADMM CCNC(=O)C(C)(C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000416522131 420405653 /nfs/dbraw/zinc/40/56/53/420405653.db2.gz UMAYWZPMOFQDSP-UHFFFAOYSA-N -1 1 324.324 1.297 20 0 DDADMM O=c1nc(CCCNc2ccc(OC(F)(F)F)cn2)[nH][n-]1 ZINC000302584928 230309658 /nfs/dbraw/zinc/30/96/58/230309658.db2.gz UOKVFYZHPWVRDS-UHFFFAOYSA-N -1 1 303.244 1.436 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)C1(N2CCOCC2)CCC1 ZINC000456791624 420545034 /nfs/dbraw/zinc/54/50/34/420545034.db2.gz YWVHJMUOQCFRMK-UHFFFAOYSA-N -1 1 312.316 1.864 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(C)c1C)c1ccccc1 ZINC000451029941 420583982 /nfs/dbraw/zinc/58/39/82/420583982.db2.gz SSCFTCOGINQDIM-AWEZNQCLSA-N -1 1 309.391 1.858 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](CC)C(=O)NC)cc(Cl)c1[O-] ZINC000456996330 420584930 /nfs/dbraw/zinc/58/49/30/420584930.db2.gz NSDZPCYQJPXKBJ-JTQLQIEISA-N -1 1 314.769 1.699 20 0 DDADMM COC[C@@](C)(O)CCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000452781504 420660121 /nfs/dbraw/zinc/66/01/21/420660121.db2.gz TXKKOSPRCCAHQJ-AWEZNQCLSA-N -1 1 317.769 1.572 20 0 DDADMM COCCOC1(CNC(=O)c2ncc(C)cc2[O-])CCOCC1 ZINC000452818904 420667161 /nfs/dbraw/zinc/66/71/61/420667161.db2.gz NZJXIRJYGKGMGC-UHFFFAOYSA-N -1 1 324.377 1.038 20 0 DDADMM COC(=O)[C@H](C)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442660842 420695964 /nfs/dbraw/zinc/69/59/64/420695964.db2.gz ZODOHDCNWAGDTD-SSDOTTSWSA-N -1 1 301.726 1.593 20 0 DDADMM CCC[C@@H](NC(=O)C=CCN(C)CC(F)(F)F)c1nn[n-]n1 ZINC000492828957 420680144 /nfs/dbraw/zinc/68/01/44/420680144.db2.gz ZYIDWURZRRXKJM-XTULLQBASA-N -1 1 320.319 1.207 20 0 DDADMM CCC[C@@H](NC(=O)/C=C\CN(C)CC(F)(F)F)c1nn[n-]n1 ZINC000492828957 420680149 /nfs/dbraw/zinc/68/01/49/420680149.db2.gz ZYIDWURZRRXKJM-XTULLQBASA-N -1 1 320.319 1.207 20 0 DDADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)CC(C)(C)C ZINC000442726991 420704996 /nfs/dbraw/zinc/70/49/96/420704996.db2.gz USZXLTMVCIWMFX-JTQLQIEISA-N -1 1 305.382 1.610 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCC[C@@H](O)CC)o1 ZINC000443238038 420750968 /nfs/dbraw/zinc/75/09/68/420750968.db2.gz SVLQXFRCTMYZQE-JTQLQIEISA-N -1 1 319.379 1.286 20 0 DDADMM CCn1ccnc1[C@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454333983 420841545 /nfs/dbraw/zinc/84/15/45/420841545.db2.gz SKXDMXGOHXVGRK-JTQLQIEISA-N -1 1 311.345 1.631 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2c(C)ccc3cccnc32)n1 ZINC000447864872 420809144 /nfs/dbraw/zinc/80/91/44/420809144.db2.gz UHKPEKDYSABFNV-UHFFFAOYSA-N -1 1 318.358 1.713 20 0 DDADMM CCCc1nc(=NC(=O)NCc2n[nH]c(C(C)C)n2)s[n-]1 ZINC000455378999 421004890 /nfs/dbraw/zinc/00/48/90/421004890.db2.gz MASRPOLJTXGXOA-UHFFFAOYSA-N -1 1 309.399 1.476 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@@H]1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000456132803 421106092 /nfs/dbraw/zinc/10/60/92/421106092.db2.gz JCSCAOBCWKERRP-OLZOCXBDSA-N -1 1 305.330 1.423 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1COc2ccc(Cl)cc21 ZINC000489584462 421165715 /nfs/dbraw/zinc/16/57/15/421165715.db2.gz YTVPEUNZZXOOOM-VIFPVBQESA-N -1 1 305.725 1.135 20 0 DDADMM CO[C@H]1C[C@@H](N(C)C(=O)C(=O)c2ccc([O-])cc2)C12CCC2 ZINC000456559939 421184077 /nfs/dbraw/zinc/18/40/77/421184077.db2.gz GYXNKBXCEAEKKC-KGLIPLIRSA-N -1 1 303.358 1.991 20 0 DDADMM O=C(N[C@@H](c1ccccc1)C1CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000544685059 421227029 /nfs/dbraw/zinc/22/70/29/421227029.db2.gz DNBXCAQUJMSCPR-HNNXBMFYSA-N -1 1 323.356 1.689 20 0 DDADMM C[C@@H]1CC(C)(C)CC[C@H]1NC(=O)c1cc(=O)n2nc[n-]c2n1 ZINC000544808676 421232652 /nfs/dbraw/zinc/23/26/52/421232652.db2.gz ZBBMLRAFLVRVBU-NXEZZACHSA-N -1 1 303.366 1.362 20 0 DDADMM CC(=O)c1[n-]c(=N[C@@H]2CCC(=O)N[C@H]2[C@@H]2CCCO2)sc1C ZINC000450531578 421207432 /nfs/dbraw/zinc/20/74/32/421207432.db2.gz JHYLECYGVPCKNB-UHIISALHSA-N -1 1 323.418 1.314 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H](C)OCC(C)C ZINC000523689164 421245311 /nfs/dbraw/zinc/24/53/11/421245311.db2.gz IGDSBLVRJGWATD-LBPRGKRZSA-N -1 1 320.393 1.729 20 0 DDADMM CCOc1cc(C(=O)NC[C@](C)(O)COC)cc(Cl)c1[O-] ZINC000546560537 421294312 /nfs/dbraw/zinc/29/43/12/421294312.db2.gz TVONUHBFKCHJML-AWEZNQCLSA-N -1 1 317.769 1.572 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCCC2(CCCC2)C1 ZINC000526127638 421333975 /nfs/dbraw/zinc/33/39/75/421333975.db2.gz SBUYRHJDLPNQPF-UHFFFAOYSA-N -1 1 301.350 1.214 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@H](c2ccccc2)C1 ZINC000562117412 421342514 /nfs/dbraw/zinc/34/25/14/421342514.db2.gz BSBQWTVBNPXYDV-ZDUSSCGKSA-N -1 1 323.356 1.437 20 0 DDADMM O=C(N=c1[n-]nc(C2CC2)s1)NCc1n[nH]c(C2CC2)n1 ZINC000562827439 421419559 /nfs/dbraw/zinc/41/95/59/421419559.db2.gz IWFZWNANRWPEDS-UHFFFAOYSA-N -1 1 305.367 1.155 20 0 DDADMM O=C(NCc1nnc(-c2ccc(F)cc2)[nH]1)c1ncccc1[O-] ZINC000548303928 421420114 /nfs/dbraw/zinc/42/01/14/421420114.db2.gz WJDXZMVRMMGQHR-UHFFFAOYSA-N -1 1 313.292 1.641 20 0 DDADMM C[C@@H]1C(=O)CC[C@@H](C(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1(C)C ZINC000548378159 421430493 /nfs/dbraw/zinc/43/04/93/421430493.db2.gz NXLTVPDTQATLRK-WOPDTQHZSA-N -1 1 319.409 1.547 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1cnn(C)c1 ZINC000563018331 421440971 /nfs/dbraw/zinc/44/09/71/421440971.db2.gz JOBWKGQGRQDBQR-UWVGGRQHSA-N -1 1 305.338 1.018 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)nn1[C@@H](C)C1CC1 ZINC000548467157 421442695 /nfs/dbraw/zinc/44/26/95/421442695.db2.gz OYXSLZWESJGUTD-KOLCDFICSA-N -1 1 317.397 1.551 20 0 DDADMM Cc1c(F)cc(S(=O)(=O)N(C)CCN(C)C)cc1C(=O)[O-] ZINC000516073212 421525932 /nfs/dbraw/zinc/52/59/32/421525932.db2.gz NUYKSBKXLGLQIN-UHFFFAOYSA-N -1 1 318.370 1.014 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)Cc2coc3ccccc23)n1 ZINC000548782736 421473019 /nfs/dbraw/zinc/47/30/19/421473019.db2.gz NMACDVADWUWBKV-UHFFFAOYSA-N -1 1 320.330 1.136 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)Cc2coc3ccccc23)[n-]1 ZINC000548782736 421473021 /nfs/dbraw/zinc/47/30/21/421473021.db2.gz NMACDVADWUWBKV-UHFFFAOYSA-N -1 1 320.330 1.136 20 0 DDADMM C[C@@H]([C@@H](NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-])C1CC1 ZINC000548992439 421489959 /nfs/dbraw/zinc/48/99/59/421489959.db2.gz OZNPVARPPNXOCS-RDDDGLTNSA-N -1 1 319.329 1.742 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1CCc2cccc(O)c21 ZINC000551256647 421530289 /nfs/dbraw/zinc/53/02/89/421530289.db2.gz HPKRSNADYJHENT-NSHDSACASA-N -1 1 313.361 1.521 20 0 DDADMM Cc1nc([N-]C(=O)c2ncsc2Br)nn1C ZINC000518794567 421668540 /nfs/dbraw/zinc/66/85/40/421668540.db2.gz HRFIGAFHPPRSES-UHFFFAOYSA-N -1 1 302.157 1.595 20 0 DDADMM O=C(c1cnc(C2CC2)s1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538223600 421736950 /nfs/dbraw/zinc/73/69/50/421736950.db2.gz SVHFBNJPTRRMPQ-VIFPVBQESA-N -1 1 304.379 1.553 20 0 DDADMM O=C(c1ccnn1C1CCCC1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538225520 421737415 /nfs/dbraw/zinc/73/74/15/421737415.db2.gz CQZTXMNGDDOFQC-NSHDSACASA-N -1 1 315.381 1.531 20 0 DDADMM Cn1[nH]c(C[N@@H+]2CC[C@H](COCc3ccccc3)C2)nc1=O ZINC000542506876 421824223 /nfs/dbraw/zinc/82/42/23/421824223.db2.gz SKWKGHRJNVAAGN-AWEZNQCLSA-N -1 1 302.378 1.147 20 0 DDADMM CN(C)C(=O)CCCS(=O)(=O)c1nc(-c2ccccc2)n[n-]1 ZINC000572104508 421763924 /nfs/dbraw/zinc/76/39/24/421763924.db2.gz RRTNJHYMQINUOO-UHFFFAOYSA-N -1 1 322.390 1.114 20 0 DDADMM CN(C)C(=O)CCCS(=O)(=O)c1n[n-]c(-c2ccccc2)n1 ZINC000572104508 421763926 /nfs/dbraw/zinc/76/39/26/421763926.db2.gz RRTNJHYMQINUOO-UHFFFAOYSA-N -1 1 322.390 1.114 20 0 DDADMM C[C@H](C(=O)N=c1cc(-c2cccs2)[n-][nH]1)[C@H]1CCC(=O)N1 ZINC000627770248 421884122 /nfs/dbraw/zinc/88/41/22/421884122.db2.gz MATWVVVOWFIUJS-DTWKUNHWSA-N -1 1 304.375 1.413 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@@H]3CCCNC3=O)[nH][n-]2)c1 ZINC000633422405 421894602 /nfs/dbraw/zinc/89/46/02/421894602.db2.gz LWNLVQKJJUZYRO-SNVBAGLBSA-N -1 1 316.336 1.411 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])c1nc(C(F)(F)F)no1 ZINC000627819359 421902593 /nfs/dbraw/zinc/90/25/93/421902593.db2.gz DVSKYJUUYOODLR-RXMQYKEDSA-N -1 1 302.212 1.680 20 0 DDADMM O=C([O-])COc1cccc(CNC(=O)[C@@H]2CCCN2C2CC2)c1 ZINC000630140273 421912244 /nfs/dbraw/zinc/91/22/44/421912244.db2.gz GEHAPVOSKYZYQB-HNNXBMFYSA-N -1 1 318.373 1.393 20 0 DDADMM COc1ccc([C@@H](NC(=O)[C@@H](C)Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000630143415 421916650 /nfs/dbraw/zinc/91/66/50/421916650.db2.gz FOLRQAVKHPPCBX-IINYFYTJSA-N -1 1 317.345 1.539 20 0 DDADMM O=C(CNC(=O)c1ccc(C(F)(F)F)cc1[O-])N1CCCO1 ZINC000627886262 421931519 /nfs/dbraw/zinc/93/15/19/421931519.db2.gz XGHVBXIGDLBYHH-UHFFFAOYSA-N -1 1 318.251 1.305 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H](C)c2ccccc2F)CC1 ZINC000630221806 421973681 /nfs/dbraw/zinc/97/36/81/421973681.db2.gz BEWJGRRKCHWZIN-CHWSQXEVSA-N -1 1 322.380 1.937 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CCc2cccc(C(=O)[O-])c2C1 ZINC000630200895 421955543 /nfs/dbraw/zinc/95/55/43/421955543.db2.gz QKRIZRKAMUHZRV-LLVKDONJSA-N -1 1 313.357 1.871 20 0 DDADMM C[C@@H](CNC(=O)CCCc1nn[n-]n1)COCc1ccccc1 ZINC000635411994 421967043 /nfs/dbraw/zinc/96/70/43/421967043.db2.gz SJKOTCGUJGEBCP-ZDUSSCGKSA-N -1 1 317.393 1.492 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CCCC3(CC3)C2)CC1 ZINC000630220604 421971074 /nfs/dbraw/zinc/97/10/74/421971074.db2.gz PXPVXRODJAVEKF-KBPBESRZSA-N -1 1 308.422 1.964 20 0 DDADMM CNC(=O)[C@@H](C)CN(C)C(=O)N=c1[n-]sc2ccccc21 ZINC000630347998 422036564 /nfs/dbraw/zinc/03/65/64/422036564.db2.gz IKDDKFQGNSKQAI-VIFPVBQESA-N -1 1 306.391 1.564 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)[C@@H]1CCOC1 ZINC000632032255 422038961 /nfs/dbraw/zinc/03/89/61/422038961.db2.gz USUQNMBXFRKJLM-NKWVEPMBSA-N -1 1 313.301 1.132 20 0 DDADMM O=S(=O)(CCCc1cn[nH]c1)c1nc(-c2ccccc2)n[n-]1 ZINC000581542099 421996816 /nfs/dbraw/zinc/99/68/16/421996816.db2.gz RBVZSDYLALKGIE-UHFFFAOYSA-N -1 1 317.374 1.601 20 0 DDADMM O=S(=O)(CCCc1cn[nH]c1)c1n[n-]c(-c2ccccc2)n1 ZINC000581542099 421996822 /nfs/dbraw/zinc/99/68/22/421996822.db2.gz RBVZSDYLALKGIE-UHFFFAOYSA-N -1 1 317.374 1.601 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@](C)(O)C(C)C)c1 ZINC000632012900 422020053 /nfs/dbraw/zinc/02/00/53/422020053.db2.gz ZDCKUPQGRBWYHW-ZDUSSCGKSA-N -1 1 303.380 1.086 20 0 DDADMM CN(Cc1ccccc1)C1CN(C(=O)NCCCCC(=O)[O-])C1 ZINC000635521149 422069564 /nfs/dbraw/zinc/06/95/64/422069564.db2.gz SXVDEBVUKQSXLH-UHFFFAOYSA-N -1 1 319.405 1.767 20 0 DDADMM C[C@H](CNC(=O)Cc1ccc([O-])c(Cl)c1)S(C)(=O)=O ZINC000632094943 422084698 /nfs/dbraw/zinc/08/46/98/422084698.db2.gz KXCHDNKKTUKLGJ-MRVPVSSYSA-N -1 1 305.783 1.137 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2c3ccccc3C[C@@H]2O)sn1 ZINC000632122090 422102275 /nfs/dbraw/zinc/10/22/75/422102275.db2.gz LHLZWCSTHNWCNQ-WCQYABFASA-N -1 1 310.400 1.388 20 0 DDADMM CNC(=O)[C@@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C(C)C ZINC000632125927 422105729 /nfs/dbraw/zinc/10/57/29/422105729.db2.gz BKHWPELQXVVNEB-VIFPVBQESA-N -1 1 310.322 1.266 20 0 DDADMM C[C@H]1CCCN(S(=O)(=O)c2cc(O)cc(F)c2)[C@H]1C(=O)[O-] ZINC000630445679 422108734 /nfs/dbraw/zinc/10/87/34/422108734.db2.gz ZKACIKJXBFBMGN-QPUJVOFHSA-N -1 1 317.338 1.405 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](C)c1nc(C)cs1 ZINC000633691396 422052147 /nfs/dbraw/zinc/05/21/47/422052147.db2.gz PHXDRXKOWIBFBR-ZETCQYMHSA-N -1 1 307.379 1.007 20 0 DDADMM CNC(=O)c1c(C)n[n-]c1NC(=O)C(C)(C)c1ccccc1F ZINC000633692799 422053414 /nfs/dbraw/zinc/05/34/14/422053414.db2.gz UCRZLGSKHLHHEW-UHFFFAOYSA-N -1 1 318.352 1.555 20 0 DDADMM CC[C@]1(C)COCCN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632174511 422138880 /nfs/dbraw/zinc/13/88/80/422138880.db2.gz AQUULZUPAMLLIY-CQSZACIVSA-N -1 1 315.391 1.590 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCCOC1 ZINC000632178976 422140484 /nfs/dbraw/zinc/14/04/84/422140484.db2.gz GMUUYJRDXOBDCN-VIFPVBQESA-N -1 1 313.301 1.276 20 0 DDADMM C[C@@H](CNC(=O)CCCc1nn[n-]n1)Oc1ccc(Cl)cc1 ZINC000635624331 422177426 /nfs/dbraw/zinc/17/74/26/422177426.db2.gz XBZWWCLZQSGIAN-JTQLQIEISA-N -1 1 323.784 1.760 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCSC[C@@H](C)C2)c1 ZINC000632273822 422213157 /nfs/dbraw/zinc/21/31/57/422213157.db2.gz AHSKONGORFZVQU-JTQLQIEISA-N -1 1 317.432 1.774 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](C)N2CCCCC2=O)sn1 ZINC000632296529 422230840 /nfs/dbraw/zinc/23/08/40/422230840.db2.gz LARRDZMVNRDKPI-JTQLQIEISA-N -1 1 317.436 1.131 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C(C)(C)c2nc(C)no2)sn1 ZINC000632317436 422247123 /nfs/dbraw/zinc/24/71/23/422247123.db2.gz UPEFESFAAIDCAR-UHFFFAOYSA-N -1 1 302.381 1.357 20 0 DDADMM COc1cccc(C(C)(C)CNC(=O)CCCc2nn[n-]n2)c1 ZINC000635725315 422285168 /nfs/dbraw/zinc/28/51/68/422285168.db2.gz LKQLXEJPPOZCLO-UHFFFAOYSA-N -1 1 317.393 1.625 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H](OC)C2CC2)c1 ZINC000632372274 422285444 /nfs/dbraw/zinc/28/54/44/422285444.db2.gz HGRGIXQMEXKBDS-GFCCVEGCSA-N -1 1 301.364 1.104 20 0 DDADMM CCN1C[C@H](S(=O)(=O)[N-]C2(C(F)F)CCCC2)CC1=O ZINC000632340532 422260163 /nfs/dbraw/zinc/26/01/63/422260163.db2.gz GGIKBCNXNPWYNO-SECBINFHSA-N -1 1 310.366 1.105 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCO[C@@H](c2ncon2)C1 ZINC000632393500 422303435 /nfs/dbraw/zinc/30/34/35/422303435.db2.gz QXBCMXPVBIAWMD-GFCCVEGCSA-N -1 1 323.736 1.571 20 0 DDADMM CCC(C)(C)OCCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632433373 422339936 /nfs/dbraw/zinc/33/99/36/422339936.db2.gz QKWVAPARKCXTRL-UHFFFAOYSA-N -1 1 317.407 1.884 20 0 DDADMM CN(c1ccccc1)C1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC000630799839 422342339 /nfs/dbraw/zinc/34/23/39/422342339.db2.gz JTYBMJBNPPWJHU-UHFFFAOYSA-N -1 1 314.393 1.260 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCOc2c(Cl)cccc21 ZINC000630813477 422350145 /nfs/dbraw/zinc/35/01/45/422350145.db2.gz ICNLOGXEPWASFJ-SNVBAGLBSA-N -1 1 307.741 1.426 20 0 DDADMM O=C(CCc1ccc(O)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000576079658 422367146 /nfs/dbraw/zinc/36/71/46/422367146.db2.gz XMVRGKVLYZPWCO-LBPRGKRZSA-N -1 1 301.350 1.244 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2nc(C(F)F)no2)sn1 ZINC000632480743 422381877 /nfs/dbraw/zinc/38/18/77/422381877.db2.gz WKXBDTUNVGOITO-UHFFFAOYSA-N -1 1 310.307 1.251 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@H](n2cncn2)C1 ZINC000632564348 422440179 /nfs/dbraw/zinc/44/01/79/422440179.db2.gz RSQICQZGPHYRNC-NSHDSACASA-N -1 1 306.753 1.653 20 0 DDADMM O=S(=O)([N-]CCCc1ccco1)c1c[nH]nc1C(F)(F)F ZINC000632571482 422445687 /nfs/dbraw/zinc/44/56/87/422445687.db2.gz QTDQJVAEEKUXMR-UHFFFAOYSA-N -1 1 323.296 1.933 20 0 DDADMM C[C@@H]1CC[C@H](O)[C@@H]([C@@H]2CCCN2C(=O)CCCc2nn[n-]n2)C1 ZINC000635891822 422447378 /nfs/dbraw/zinc/44/73/78/422447378.db2.gz NSEJKSNZNGVLDL-MQYQWHSLSA-N -1 1 321.425 1.311 20 0 DDADMM CC(C)Oc1ccc(N(C)C(=O)CCCc2nn[n-]n2)cc1 ZINC000635856291 422411593 /nfs/dbraw/zinc/41/15/93/422411593.db2.gz LEKITXKXNKREPZ-UHFFFAOYSA-N -1 1 303.366 1.973 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCC2CC(OC)C2)c1 ZINC000632545042 422426085 /nfs/dbraw/zinc/42/60/85/422426085.db2.gz UGMPIGOESDTRJG-UHFFFAOYSA-N -1 1 315.391 1.494 20 0 DDADMM O=c1c2c([n-]n1-c1cncnc1)CN(Cc1ccccc1)CC2 ZINC000634627704 422513911 /nfs/dbraw/zinc/51/39/11/422513911.db2.gz IVRNMBJERKQPFF-OAHLLOKOSA-N -1 1 307.357 1.701 20 0 DDADMM CC(F)(F)C[N-]S(=O)(=O)C1CN(C(=O)c2ccccc2)C1 ZINC000577966157 422467071 /nfs/dbraw/zinc/46/70/71/422467071.db2.gz BRXZGUKPKXMMSH-UHFFFAOYSA-N -1 1 318.345 1.086 20 0 DDADMM Cc1ccc2c(c1)CN(C(=O)CCCc1nn[n-]n1)CCO2 ZINC000635920336 422475119 /nfs/dbraw/zinc/47/51/19/422475119.db2.gz CAPGMYUGLFALMV-UHFFFAOYSA-N -1 1 301.350 1.252 20 0 DDADMM COC[C@@H](NC(=O)CCCc1nn[n-]n1)c1cccc(Cl)c1 ZINC000635938104 422490684 /nfs/dbraw/zinc/49/06/84/422490684.db2.gz MDQIGPQQKICWKV-GFCCVEGCSA-N -1 1 323.784 1.680 20 0 DDADMM O=c1c2c([n-]n1[C@H]1CCS(=O)(=O)C1)-c1ccccc1CCC2 ZINC000634584844 422493939 /nfs/dbraw/zinc/49/39/39/422493939.db2.gz OSIKZBJTTHNTHG-JSGCOSHPSA-N -1 1 318.398 1.373 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2C[C@H](C)O[C@@H]2C)c1 ZINC000632647049 422494300 /nfs/dbraw/zinc/49/43/00/422494300.db2.gz VQRIFQOELROGGN-IQJOONFLSA-N -1 1 301.364 1.245 20 0 DDADMM COc1cc(Cl)c([N-]S(=O)(=O)c2cnnn2C)cc1C ZINC000629299070 422593599 /nfs/dbraw/zinc/59/35/99/422593599.db2.gz LONKFDBXWKORCS-UHFFFAOYSA-N -1 1 316.770 1.586 20 0 DDADMM C[C@H](CN1CCc2ccccc2[C@H]1C(=O)[O-])CS(C)(=O)=O ZINC000578330365 422548191 /nfs/dbraw/zinc/54/81/91/422548191.db2.gz JBTRKPWMNKOQDK-RISCZKNCSA-N -1 1 311.403 1.351 20 0 DDADMM COc1cc(F)cc(C[C@H](C)NC(=O)CCCc2nn[n-]n2)c1 ZINC000636011292 422561879 /nfs/dbraw/zinc/56/18/79/422561879.db2.gz VTGOBDSGPLISAT-JTQLQIEISA-N -1 1 321.356 1.418 20 0 DDADMM CCOC1(CS(=O)(=O)[N-]c2cnn(C)c2C)CCOCC1 ZINC000629290263 422587108 /nfs/dbraw/zinc/58/71/08/422587108.db2.gz RFNBVRAPBXBFKM-UHFFFAOYSA-N -1 1 317.411 1.056 20 0 DDADMM C[C@@H]1CC[C@H](O)[C@H]([C@@H]2CCCCN2C(=O)CCc2nn[n-]n2)C1 ZINC000632820039 422604565 /nfs/dbraw/zinc/60/45/65/422604565.db2.gz CDUCUANDMUAJNK-RFGFWPKPSA-N -1 1 321.425 1.311 20 0 DDADMM Cc1nc(=N)[n-]c(N[C@H]2CCCN(C3CCCCC3)C2=O)n1 ZINC000631239655 422623046 /nfs/dbraw/zinc/62/30/46/422623046.db2.gz FHAIPVAYTAZNRV-LBPRGKRZSA-N -1 1 304.398 1.328 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H]1CCC(=O)N1 ZINC000629380336 422639311 /nfs/dbraw/zinc/63/93/11/422639311.db2.gz LTTAFGDQFFRADQ-MFKMUULPSA-N -1 1 314.345 1.431 20 0 DDADMM CCN(CC)S(=O)(=O)[N-]c1ccn(C2CCSCC2)n1 ZINC000634795020 422649133 /nfs/dbraw/zinc/64/91/33/422649133.db2.gz CTZGVTFERKLNMY-UHFFFAOYSA-N -1 1 318.468 1.950 20 0 DDADMM Cn1ccc2ccc(C(=O)NC3(c4nn[n-]n4)CCCC3)cc21 ZINC000631353715 422707846 /nfs/dbraw/zinc/70/78/46/422707846.db2.gz KCPGMLVFBCUECE-UHFFFAOYSA-N -1 1 310.361 1.891 20 0 DDADMM C(N=c1nc(C2CCCC2)[n-]s1)c1nnc([C@H]2CCOC2)[nH]1 ZINC000631374014 422724785 /nfs/dbraw/zinc/72/47/85/422724785.db2.gz HOFBCFYBRISMLW-JTQLQIEISA-N -1 1 320.422 1.852 20 0 DDADMM C(N=c1nc(C2CCCC2)[n-]s1)c1n[nH]c([C@H]2CCOC2)n1 ZINC000631374014 422724790 /nfs/dbraw/zinc/72/47/90/422724790.db2.gz HOFBCFYBRISMLW-JTQLQIEISA-N -1 1 320.422 1.852 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H]3[C@H](C(=O)[O-])C3(C)C)[nH]c2c1 ZINC000634823197 422669268 /nfs/dbraw/zinc/66/92/68/422669268.db2.gz NPUYGRDHIXGGFU-CHWSQXEVSA-N -1 1 301.346 1.844 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CCC1)c1nc2ccccc2s1 ZINC000578985740 422683894 /nfs/dbraw/zinc/68/38/94/422683894.db2.gz YOCWYXOJJYSVIY-LLVKDONJSA-N -1 1 312.416 1.736 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CO[C@@H](C)C2)c(F)c1 ZINC000645861122 423217899 /nfs/dbraw/zinc/21/78/99/423217899.db2.gz YYDUUOQRPRXHHL-JGVFFNPUSA-N -1 1 307.318 1.429 20 0 DDADMM C[C@H](CN(C)C(=O)CCOc1cccc(Cl)c1)c1nn[n-]n1 ZINC000183419982 263379778 /nfs/dbraw/zinc/37/97/78/263379778.db2.gz ZGLXKDYSDCKJPI-SNVBAGLBSA-N -1 1 323.784 1.884 20 0 DDADMM CC[C@@H](C)N[C@H](CNC(=O)N=c1ncn(C)[n-]1)c1ccco1 ZINC000653291713 423424732 /nfs/dbraw/zinc/42/47/32/423424732.db2.gz AEBZJFUOCSUFLL-GHMZBOCLSA-N -1 1 306.370 1.081 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](NC(=O)NCc2ccc3cncn3c2)C1 ZINC000646161542 423372262 /nfs/dbraw/zinc/37/22/62/423372262.db2.gz JMMWPNGICJIWQI-NEPJUHHUSA-N -1 1 302.334 1.387 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccnc(O[C@@H]2CCOC2)c1 ZINC000646412851 423480724 /nfs/dbraw/zinc/48/07/24/423480724.db2.gz CWQAEODQVSELMZ-SNVBAGLBSA-N -1 1 304.306 1.239 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCCNC(=O)c1ccsc1 ZINC000646413317 423480946 /nfs/dbraw/zinc/48/09/46/423480946.db2.gz UGZYJNXCSKCOSK-UHFFFAOYSA-N -1 1 308.363 1.634 20 0 DDADMM CC(C)CN1CCO[C@H](CNC(=O)[C@@]2(C(=O)[O-])CC=CCC2)C1 ZINC000653303668 423429059 /nfs/dbraw/zinc/42/90/59/423429059.db2.gz WTCOJEQJGHWRKX-RHSMWYFYSA-N -1 1 324.421 1.271 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@@H](C(=O)[O-])C1 ZINC000653661010 423568735 /nfs/dbraw/zinc/56/87/35/423568735.db2.gz LRIVOSXURXXVDP-NOZJJQNGSA-N -1 1 303.318 1.995 20 0 DDADMM CC(C)[C@@H](NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(N)=O ZINC000644352670 423618187 /nfs/dbraw/zinc/61/81/87/423618187.db2.gz JMLHDJCPQKFZAG-SNVBAGLBSA-N -1 1 304.268 1.651 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2n[nH]cc2C2CC2)o1 ZINC000648977552 423571954 /nfs/dbraw/zinc/57/19/54/423571954.db2.gz IMOBXTXAIUBKII-UHFFFAOYSA-N -1 1 310.335 1.041 20 0 DDADMM O=C(c1ccc2cnccc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000649266909 423707615 /nfs/dbraw/zinc/70/76/15/423707615.db2.gz ATSXSBPVPWYNMH-AWEZNQCLSA-N -1 1 308.345 1.768 20 0 DDADMM CC(C)([N-]S(=O)(=O)C[C@H]1COc2ccccc2O1)C(F)F ZINC000641470273 423657374 /nfs/dbraw/zinc/65/73/74/423657374.db2.gz XUPOGNARFGNONR-SECBINFHSA-N -1 1 321.345 1.790 20 0 DDADMM CN(C)CCN(Cc1ccco1)S(=O)(=O)c1ccccc1[O-] ZINC000656929569 423889800 /nfs/dbraw/zinc/88/98/00/423889800.db2.gz BZOZECGQALXTGE-UHFFFAOYSA-N -1 1 324.402 1.738 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](CO)CC2CC2)c(F)c1 ZINC000644859423 423982218 /nfs/dbraw/zinc/98/22/18/423982218.db2.gz ZRORPOZLILEUSW-JTQLQIEISA-N -1 1 305.346 1.713 20 0 DDADMM COC[C@@H](CC[N-]S(=O)(=O)c1cc(F)ccc1F)OC ZINC000657051060 424041080 /nfs/dbraw/zinc/04/10/80/424041080.db2.gz FABQTXFWYDRYBF-SNVBAGLBSA-N -1 1 309.334 1.295 20 0 DDADMM CC(C)O[C@@]1(CS(=O)(=O)[N-]CC(=O)C(C)(C)C)CCOC1 ZINC000659943262 424392216 /nfs/dbraw/zinc/39/22/16/424392216.db2.gz AAKSJYDXKOOWLF-AWEZNQCLSA-N -1 1 321.439 1.105 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C2CC(C)(C)C2)c(C(F)(F)F)n1 ZINC000660128491 424551334 /nfs/dbraw/zinc/55/13/34/424551334.db2.gz WFSGKTPAVOGAIF-UHFFFAOYSA-N -1 1 311.329 1.906 20 0 DDADMM O=S(=O)([N-]C1CC(CO)C1)c1ncccc1C(F)(F)F ZINC000660145874 424562751 /nfs/dbraw/zinc/56/27/51/424562751.db2.gz DUCOTGKLDVSVRD-UHFFFAOYSA-N -1 1 310.297 1.150 20 0 DDADMM CCn1nccc1C[N-]S(=O)(=O)c1c(F)cccc1F ZINC000660182155 424583540 /nfs/dbraw/zinc/58/35/40/424583540.db2.gz ICPBWZAZROBHOV-UHFFFAOYSA-N -1 1 301.318 1.660 20 0 DDADMM COCCN(C)S(=O)(=O)[N-]c1ccn(-c2ccc(C)cc2)n1 ZINC000655590538 424583641 /nfs/dbraw/zinc/58/36/41/424583641.db2.gz YJAVRQVPNXBBKR-UHFFFAOYSA-N -1 1 324.406 1.416 20 0 DDADMM O=C(NCCCN1CCOCC1)c1ncc2ccccc2c1[O-] ZINC000665107586 424743707 /nfs/dbraw/zinc/74/37/07/424743707.db2.gz JAUZBERAVBNBDP-UHFFFAOYSA-N -1 1 315.373 1.393 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)NC[C@@H](C(=O)[O-])C1CCC1 ZINC000665325894 424784620 /nfs/dbraw/zinc/78/46/20/424784620.db2.gz QHNJNUKPOAXAGR-ZIAGYGMSSA-N -1 1 311.426 1.469 20 0 DDADMM O=C(NCCN=c1nc(C(F)(F)F)[n-]s1)c1ccco1 ZINC000341424677 271063346 /nfs/dbraw/zinc/06/33/46/271063346.db2.gz BWHQMZQBGBTELK-UHFFFAOYSA-N -1 1 306.269 1.414 20 0 DDADMM CCCCN(CCCC)S(=O)(=O)[N-]CC(F)(F)CO ZINC000341650453 271154088 /nfs/dbraw/zinc/15/40/88/271154088.db2.gz OUNDZBGFABIIRS-UHFFFAOYSA-N -1 1 302.387 1.351 20 0 DDADMM Cc1nnc2n1C[C@H](CN=c1nc(C(F)(F)F)[n-]s1)CC2 ZINC000342224142 271346277 /nfs/dbraw/zinc/34/62/77/271346277.db2.gz CEEWBZDPLGWAEH-ZETCQYMHSA-N -1 1 318.328 1.553 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCCOCC2)o1 ZINC000345193988 272188381 /nfs/dbraw/zinc/18/83/81/272188381.db2.gz AYDLPEXISDXXKH-JTQLQIEISA-N -1 1 317.363 1.304 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)CCC2CC2)c1 ZINC000345970142 272378561 /nfs/dbraw/zinc/37/85/61/272378561.db2.gz FXCFPKKWRJAROA-SECBINFHSA-N -1 1 301.364 1.923 20 0 DDADMM C[C@@H](C(=O)N1CCSc2ccccc21)N(C)CCC(=O)[O-] ZINC000430652264 278194997 /nfs/dbraw/zinc/19/49/97/278194997.db2.gz NGASLFHAOTXUHM-NSHDSACASA-N -1 1 308.403 1.920 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@@H](C)O1 ZINC000119241654 280392477 /nfs/dbraw/zinc/39/24/77/280392477.db2.gz MYSJHKYWSXTGBF-NXEZZACHSA-N -1 1 304.350 1.313 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)c2cccc(C)c2)co1 ZINC000128485259 281312507 /nfs/dbraw/zinc/31/25/07/281312507.db2.gz MTEUSNKGIAZATE-UHFFFAOYSA-N -1 1 308.359 1.773 20 0 DDADMM CC[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H]1CCCO1 ZINC000128731282 281320489 /nfs/dbraw/zinc/32/04/89/281320489.db2.gz RXKXAWWTGKJYGD-YPMHNXCESA-N -1 1 301.346 1.611 20 0 DDADMM C[C@@H]1SCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)[C@H]1C ZINC000287382537 297662872 /nfs/dbraw/zinc/66/28/72/297662872.db2.gz FMJYVGCJELSXDT-BXGBWNNFSA-N -1 1 305.407 1.700 20 0 DDADMM O=S(=O)(N=c1cc2ccccn2[n-]1)c1ccc2c(c1)COC2 ZINC000573228505 304584427 /nfs/dbraw/zinc/58/44/27/304584427.db2.gz JGTJXXHHUSAFIY-UHFFFAOYSA-N -1 1 315.354 1.587 20 0 DDADMM O=C(Nc1nc(CN2CCOCC2)cs1)c1cncc([O-])c1 ZINC000171860956 298237746 /nfs/dbraw/zinc/23/77/46/298237746.db2.gz UGKKSFIXGBGUKO-UHFFFAOYSA-N -1 1 320.374 1.328 20 0 DDADMM COC(=O)C1CCN(CCCN=c2nc(C3CC3)[n-]s2)CC1 ZINC000354578536 298470871 /nfs/dbraw/zinc/47/08/71/298470871.db2.gz NZXULHZVKGHDDS-UHFFFAOYSA-N -1 1 324.450 1.524 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)Cc1cc(C)no1)C(=O)OC ZINC000354685304 298498121 /nfs/dbraw/zinc/49/81/21/298498121.db2.gz FQSATVPYEXOHIF-LLVKDONJSA-N -1 1 304.368 1.134 20 0 DDADMM O=C(c1ccc(I)c([O-])c1)N1CC(F)C1 ZINC000355150691 298653133 /nfs/dbraw/zinc/65/31/33/298653133.db2.gz YXLSGPQYWHRENY-UHFFFAOYSA-N -1 1 321.089 1.791 20 0 DDADMM CC(C)(NC(=O)CCc1ccc2ccccc2n1)c1nn[n-]n1 ZINC000362819619 300149794 /nfs/dbraw/zinc/14/97/94/300149794.db2.gz ZOYGNWFFITZPFA-UHFFFAOYSA-N -1 1 310.361 1.732 20 0 DDADMM Cc1nc2n(n1)CCN(C(=O)c1c([O-])cnc3ccccc31)C2 ZINC000362827831 300152114 /nfs/dbraw/zinc/15/21/14/300152114.db2.gz CCOMKZKRDGAPSN-UHFFFAOYSA-N -1 1 309.329 1.496 20 0 DDADMM O=C(C/C=C/c1ccc(F)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363586690 300284321 /nfs/dbraw/zinc/28/43/21/300284321.db2.gz MPKGYGVENJLFEU-ZIYVKSGCSA-N -1 1 317.324 1.342 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@]1(C)CCO[C@H]1C1CC1)c2=O ZINC000363843777 300316401 /nfs/dbraw/zinc/31/64/01/300316401.db2.gz HDXBPOVCHSAMQH-XJKSGUPXSA-N -1 1 316.361 1.361 20 0 DDADMM NC(=O)c1ccc(=NC[C@H]2CCCN(c3ccccc3)C2)[n-]n1 ZINC000366000463 300624367 /nfs/dbraw/zinc/62/43/67/300624367.db2.gz LJSOYOFWNWEPIO-CYBMUJFWSA-N -1 1 311.389 1.326 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1C[C@H]1c1ccco1 ZINC000367635422 300867182 /nfs/dbraw/zinc/86/71/82/300867182.db2.gz QDUUOLVLTQHBED-NXEZZACHSA-N -1 1 303.318 1.354 20 0 DDADMM FC(F)c1n[n-]c(=NC[C@@H]2CCC[C@@H]2N2CCOCC2)o1 ZINC000368387762 301016976 /nfs/dbraw/zinc/01/69/76/301016976.db2.gz MLNFXYULMNRFLU-UWVGGRQHSA-N -1 1 302.325 1.342 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cnc(-c2cccc(O)c2)nc1 ZINC000369215297 301146679 /nfs/dbraw/zinc/14/66/79/301146679.db2.gz OXFRXHVLIDLGRB-SNVBAGLBSA-N -1 1 323.374 1.626 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@H]1CCCN1Cc1ccccn1 ZINC000370270999 301306332 /nfs/dbraw/zinc/30/63/32/301306332.db2.gz OMFBTQKFXMHAMA-LLVKDONJSA-N -1 1 303.284 1.656 20 0 DDADMM CO[C@H](C)c1nsc(N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000377359464 302172377 /nfs/dbraw/zinc/17/23/77/302172377.db2.gz SNBRYDXAIQECQI-SSDOTTSWSA-N -1 1 311.367 1.718 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@H](c2nnc[nH]2)C1 ZINC000377725046 302219891 /nfs/dbraw/zinc/21/98/91/302219891.db2.gz ZDLKKBLOOZEZEF-QMMMGPOBSA-N -1 1 308.288 1.808 20 0 DDADMM Cc1ccc(-c2[n-][nH]c(=NC(=O)[C@@H]3C[C@@H]3c3nc[nH]n3)c2C)o1 ZINC000378570065 302337332 /nfs/dbraw/zinc/33/73/32/302337332.db2.gz RLKKZRZNAIZGJK-VHSXEESVSA-N -1 1 312.333 1.569 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1CC(=O)N(C)Cc1cccs1 ZINC000397020951 302352047 /nfs/dbraw/zinc/35/20/47/302352047.db2.gz RKFVRBWWESZOQT-NEPJUHHUSA-N -1 1 310.419 1.892 20 0 DDADMM CC(C)(C)OC(=O)N1CCO[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000495873808 302480085 /nfs/dbraw/zinc/48/00/85/302480085.db2.gz HQANBBITXLQNBB-MRVPVSSYSA-N -1 1 312.288 1.301 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@@H](CN2CCOC2=O)C1 ZINC000495973646 302506379 /nfs/dbraw/zinc/50/63/79/302506379.db2.gz YLHRVIBBBIIZDT-SNVBAGLBSA-N -1 1 308.309 1.446 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCn2nncc2C1 ZINC000519424199 302838266 /nfs/dbraw/zinc/83/82/66/302838266.db2.gz VAGVOERKNIIGNL-UHFFFAOYSA-N -1 1 323.150 1.402 20 0 DDADMM CC(=O)Nc1ccc(Br)cc1[N-]S(C)(=O)=O ZINC000523397722 302896437 /nfs/dbraw/zinc/89/64/37/302896437.db2.gz SHAYGFIOGFNDGG-UHFFFAOYSA-N -1 1 307.169 1.779 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H](C)c1ccccc1 ZINC000357329401 306876659 /nfs/dbraw/zinc/87/66/59/306876659.db2.gz PJJYLUWTRSQIOB-VIFPVBQESA-N -1 1 303.318 1.730 20 0 DDADMM CC1CCC(C)(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000357378961 306880086 /nfs/dbraw/zinc/88/00/86/306880086.db2.gz NGYNIEKYLYBKQX-UHFFFAOYSA-N -1 1 303.366 1.364 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2[C@H](C)O[C@H](C)[C@H]2C)c1Br ZINC000529466105 303130855 /nfs/dbraw/zinc/13/08/55/303130855.db2.gz DTGNHCNGMJIWKP-ZLNHGNLKSA-N -1 1 316.199 1.901 20 0 DDADMM O=C(C[C@@H]1CCCS(=O)(=O)C1)Nc1cccc(F)c1[O-] ZINC000530004367 303169709 /nfs/dbraw/zinc/16/97/09/303169709.db2.gz KUANHINZJOQIET-VIFPVBQESA-N -1 1 301.339 1.685 20 0 DDADMM C[C@H](Oc1ccc2c(c1)CCC2)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000531097636 303230240 /nfs/dbraw/zinc/23/02/40/303230240.db2.gz KMJXKHPIUAVSQU-JTQLQIEISA-N -1 1 315.377 1.507 20 0 DDADMM CCC[C@@H](NC(=O)COc1cc(C)cc(C)c1)c1nn[n-]n1 ZINC000531198524 303234778 /nfs/dbraw/zinc/23/47/78/303234778.db2.gz CZKJLNHPLJGRCF-CYBMUJFWSA-N -1 1 303.366 1.853 20 0 DDADMM C[C@@H](CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1)n1cccc1 ZINC000531817883 303258818 /nfs/dbraw/zinc/25/88/18/303258818.db2.gz YTLDFIMLOQGIKW-NSHDSACASA-N -1 1 320.422 1.052 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000532876067 303300064 /nfs/dbraw/zinc/30/00/64/303300064.db2.gz OSIAIUQQINMXJQ-JTQLQIEISA-N -1 1 303.318 1.997 20 0 DDADMM C[C@@H](O)[C@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000533008787 303304679 /nfs/dbraw/zinc/30/46/79/303304679.db2.gz KAVMGKNYKBHBQU-MNOVXSKESA-N -1 1 301.346 1.156 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)C(=O)N2CCCC2)sc1C ZINC000545352665 303493244 /nfs/dbraw/zinc/49/32/44/303493244.db2.gz NQOUSUZMYWNYRD-VIFPVBQESA-N -1 1 317.436 1.049 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2ccc(C#N)cc2F)o1 ZINC000547464787 303533137 /nfs/dbraw/zinc/53/31/37/303533137.db2.gz ZGSCNKTXYQQQSW-UHFFFAOYSA-N -1 1 309.322 1.726 20 0 DDADMM Cn1[nH]c([C@@H]2CCCN2C(=O)c2ccc([O-])cc2F)nc1=N ZINC000555544602 303718673 /nfs/dbraw/zinc/71/86/73/303718673.db2.gz VNBADSVMYGWOPR-NSHDSACASA-N -1 1 305.313 1.050 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC000562554150 303873792 /nfs/dbraw/zinc/87/37/92/303873792.db2.gz PSGZHBNIHJYVFL-ZHPDPMBESA-N -1 1 309.391 1.228 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C(C)(C)C(F)F)c1 ZINC000359696618 306960290 /nfs/dbraw/zinc/96/02/90/306960290.db2.gz ZSDSDGBPOBULFZ-UHFFFAOYSA-N -1 1 322.333 1.530 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]c1cn(C)nc1C(F)(F)F ZINC000361590282 306999820 /nfs/dbraw/zinc/99/98/20/306999820.db2.gz JDWRHIBMXFVRQT-UHFFFAOYSA-N -1 1 300.306 1.437 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@]2(CCCOC2)O1 ZINC000366481310 307074739 /nfs/dbraw/zinc/07/47/39/307074739.db2.gz FNQXYJMIZYWJFA-BZNIZROVSA-N -1 1 309.337 1.941 20 0 DDADMM O=c1cc(CN2CCC[C@H](OCC3CC3)C2)nc2cc[n-]n21 ZINC000368797289 307110131 /nfs/dbraw/zinc/11/01/31/307110131.db2.gz BBLVLTXIXZGSMC-AWEZNQCLSA-N -1 1 302.378 1.414 20 0 DDADMM Cc1nc([C@@H](NC(=O)CC(C)(C)C(=O)[O-])c2ccccc2)n[nH]1 ZINC000377588273 307273905 /nfs/dbraw/zinc/27/39/05/307273905.db2.gz RCBKGQMQRFLOTH-ZDUSSCGKSA-N -1 1 316.361 1.820 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)OC(C)(C)C ZINC000497305442 307390271 /nfs/dbraw/zinc/39/02/71/307390271.db2.gz OFZSWGBIDAMLMO-ZJUUUORDSA-N -1 1 309.366 1.819 20 0 DDADMM CCc1nc(SCCN2C(=O)N[C@H](C)C2=O)[n-]c(=O)c1C ZINC000516194361 307413331 /nfs/dbraw/zinc/41/33/31/307413331.db2.gz FFESGIRUYGJOKS-MRVPVSSYSA-N -1 1 310.379 1.085 20 0 DDADMM C[C@H]1CNCCN1C(=O)c1nn(-c2ccccc2F)cc1[O-] ZINC000565423231 308030816 /nfs/dbraw/zinc/03/08/16/308030816.db2.gz ZLYVFVACHLDEKG-JTQLQIEISA-N -1 1 304.325 1.151 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCc1cc(F)ccc1F ZINC000566037173 308040693 /nfs/dbraw/zinc/04/06/93/308040693.db2.gz MXFPHYYZOOENEH-UHFFFAOYSA-N -1 1 317.317 1.195 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1cc(F)ccc1F ZINC000566037173 308040695 /nfs/dbraw/zinc/04/06/95/308040695.db2.gz MXFPHYYZOOENEH-UHFFFAOYSA-N -1 1 317.317 1.195 20 0 DDADMM COC(=O)c1[n-]cnc1NC(=O)c1oc(CC2CC2)nc1C ZINC000568173840 308110239 /nfs/dbraw/zinc/11/02/39/308110239.db2.gz GQRIYOHBVLWBOO-UHFFFAOYSA-N -1 1 304.306 1.698 20 0 DDADMM CCNC(=O)CCS(=O)(=O)c1nc(-c2ccc(C)cc2)n[n-]1 ZINC000575982477 308284464 /nfs/dbraw/zinc/28/44/64/308284464.db2.gz DZGHBBMCAWTXRX-UHFFFAOYSA-N -1 1 322.390 1.080 20 0 DDADMM CCNC(=O)CCS(=O)(=O)c1n[n-]c(-c2ccc(C)cc2)n1 ZINC000575982477 308284465 /nfs/dbraw/zinc/28/44/65/308284465.db2.gz DZGHBBMCAWTXRX-UHFFFAOYSA-N -1 1 322.390 1.080 20 0 DDADMM CO[C@@H]1C[C@H](C(=O)[O-])N(C[C@@H](O)COc2cc(C)ccc2C)C1 ZINC000576046178 308286641 /nfs/dbraw/zinc/28/66/41/308286641.db2.gz BSKMDWJCDKNBNP-RBSFLKMASA-N -1 1 323.389 1.217 20 0 DDADMM O=C(Cc1coc2cc(F)ccc12)NC1(c2nn[n-]n2)CC1 ZINC000576206016 308296497 /nfs/dbraw/zinc/29/64/97/308296497.db2.gz AIZRFRWNOIINHG-UHFFFAOYSA-N -1 1 301.281 1.433 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)Cc3cncn3C)[nH][n-]2)c1 ZINC000577528938 308396094 /nfs/dbraw/zinc/39/60/94/308396094.db2.gz YKRDQGBXRUOZBQ-UHFFFAOYSA-N -1 1 313.336 1.861 20 0 DDADMM Cn1cncc1CC[N-]S(=O)(=O)c1sccc1Cl ZINC000577578566 308398518 /nfs/dbraw/zinc/39/85/18/308398518.db2.gz VUHSMMFGXMHEDO-UHFFFAOYSA-N -1 1 305.812 1.656 20 0 DDADMM CC1(C)CN(c2c(C(=O)[O-])cnc3ccccc32)C[C@H](CO)O1 ZINC000391477075 336958061 /nfs/dbraw/zinc/95/80/61/336958061.db2.gz WWMGIUWQCDMYSB-LLVKDONJSA-N -1 1 316.357 1.909 20 0 DDADMM CC(C)c1nc(S(=O)(=O)N=c2cc3ccccn3[n-]2)cn1C ZINC000582593433 337111182 /nfs/dbraw/zinc/11/11/82/337111182.db2.gz CJBYHJIJUNLFQM-UHFFFAOYSA-N -1 1 319.390 1.414 20 0 DDADMM CC(C)(C)CC[C@@H](NS(=O)(=O)CCN1CCCC1)C(=O)[O-] ZINC000582595025 337111286 /nfs/dbraw/zinc/11/12/86/337111286.db2.gz HMIGGXICYVWQAM-GFCCVEGCSA-N -1 1 320.455 1.281 20 0 DDADMM C[C@@H]1CCN(C(=O)NCc2n[nH]c(C(C)(C)C)n2)C[C@H]1C(=O)[O-] ZINC000582783682 337160224 /nfs/dbraw/zinc/16/02/24/337160224.db2.gz QLPMKBZAHZJFPY-NXEZZACHSA-N -1 1 323.397 1.354 20 0 DDADMM CC(C)CN1CCO[C@H](CNC(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC000583083113 337237482 /nfs/dbraw/zinc/23/74/82/337237482.db2.gz ZDNGOKWYBFYDLC-OAHLLOKOSA-N -1 1 320.389 1.471 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)[C@@H]2Cc3ccccc3CN2)C1 ZINC000398726986 337310103 /nfs/dbraw/zinc/31/01/03/337310103.db2.gz UNEUMSJZIJZFKB-DFBGVHRSSA-N -1 1 302.374 1.270 20 0 DDADMM Cc1ccc(S(=O)(=O)CCCN(CC(=O)[O-])C2CC2)cc1 ZINC000583818194 337338483 /nfs/dbraw/zinc/33/84/83/337338483.db2.gz QKVHFLGQTSFDPM-UHFFFAOYSA-N -1 1 311.403 1.708 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1ccc(F)cc1F ZINC000583899238 337384732 /nfs/dbraw/zinc/38/47/32/337384732.db2.gz HCJAFGCIILTWEU-UHFFFAOYSA-N -1 1 303.290 1.152 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc(F)cc1F ZINC000583899238 337384733 /nfs/dbraw/zinc/38/47/33/337384733.db2.gz HCJAFGCIILTWEU-UHFFFAOYSA-N -1 1 303.290 1.152 20 0 DDADMM COC[C@](C)(CO)[NH2+]Cc1cccc(O)c1Br ZINC000656528733 484189484 /nfs/dbraw/zinc/18/94/84/484189484.db2.gz PZCVWSKGCLHWAF-LBPRGKRZSA-N -1 1 304.184 1.642 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1c(C)nn(C)c1C)C1CCCC1 ZINC000656614893 484244764 /nfs/dbraw/zinc/24/47/64/484244764.db2.gz RPFAZMZCOZMJQO-CYBMUJFWSA-N -1 1 315.439 1.984 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccc(C)c1F)[C@H]1CCOC1 ZINC000656626614 484249168 /nfs/dbraw/zinc/24/91/68/484249168.db2.gz MZBFWQPWAHHEGR-AAEUAGOBSA-N -1 1 317.382 1.927 20 0 DDADMM COCCN1CCCN(C(=O)[C@@H](C(=O)[O-])C2CCCC2)CC1 ZINC000663038845 484616759 /nfs/dbraw/zinc/61/67/59/484616759.db2.gz DWPMWLKIUWSWGV-AWEZNQCLSA-N -1 1 312.410 1.058 20 0 DDADMM Cc1cc(C2CCN(C(=O)C3(C(=O)[O-])CC=CC3)CC2)n[nH]1 ZINC000663052689 484630312 /nfs/dbraw/zinc/63/03/12/484630312.db2.gz VQHNONZFWGLCMF-UHFFFAOYSA-N -1 1 303.362 1.845 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@H]1O)c1ccc([O-])c(F)c1 ZINC000670136824 484762220 /nfs/dbraw/zinc/76/22/20/484762220.db2.gz GOGMECHKEFEBCL-WFASDCNBSA-N -1 1 323.364 1.829 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccnc(OCCO)c2)c(C)c1 ZINC000663301591 484793553 /nfs/dbraw/zinc/79/35/53/484793553.db2.gz LYKGBRZJZHBQFD-UHFFFAOYSA-N -1 1 322.386 1.870 20 0 DDADMM O=C(c1ccc(O)cc1F)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670383990 484800647 /nfs/dbraw/zinc/80/06/47/484800647.db2.gz XBDJCEQHCJXYCH-MRVPVSSYSA-N -1 1 307.281 1.640 20 0 DDADMM O=C([C@H]1Cc2ccccc2O1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670384431 484802069 /nfs/dbraw/zinc/80/20/69/484802069.db2.gz SOQCRQYAEHKZFV-DGCLKSJQSA-N -1 1 315.329 1.485 20 0 DDADMM O=C(c1cc(C2CC2)n[nH]1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670384151 484803049 /nfs/dbraw/zinc/80/30/49/484803049.db2.gz FPPVJMAIDSETEH-VIFPVBQESA-N -1 1 303.322 1.396 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CC[C@@H](C)O2)c(F)c1 ZINC000668239550 485047180 /nfs/dbraw/zinc/04/71/80/485047180.db2.gz KCGVTEBMDKJYKD-RKDXNWHRSA-N -1 1 321.345 1.819 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1cc(F)cc2nc[nH]c21)C1CCOCC1 ZINC000668307969 485094653 /nfs/dbraw/zinc/09/46/53/485094653.db2.gz QLYOVCNTPZVBRT-GFCCVEGCSA-N -1 1 321.308 1.312 20 0 DDADMM CNC(=O)[C@H]1CCCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000668575731 485222151 /nfs/dbraw/zinc/22/21/51/485222151.db2.gz VVWNLSXCYCXJIV-LBPRGKRZSA-N -1 1 313.357 1.539 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H](CO)C(C)C)c(F)c1 ZINC000672421339 485298499 /nfs/dbraw/zinc/29/84/99/485298499.db2.gz FIQUZGXVEBGAIQ-SECBINFHSA-N -1 1 323.361 1.516 20 0 DDADMM Cn1nc(CNC(=O)C(=O)c2ccc([O-])cc2)c2ccccc21 ZINC000673071202 485384868 /nfs/dbraw/zinc/38/48/68/485384868.db2.gz QIBGJRMHMPRSMS-UHFFFAOYSA-N -1 1 309.325 1.778 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC[C@H](C(=O)N2CCCC2)C1 ZINC000673351122 485406233 /nfs/dbraw/zinc/40/62/33/485406233.db2.gz VCEHXVGVDPYMDQ-LBPRGKRZSA-N -1 1 306.337 1.616 20 0 DDADMM COC1CCC(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CC1 ZINC000682029510 485465663 /nfs/dbraw/zinc/46/56/63/485465663.db2.gz BSXHBPIPSLTMAA-JXQTWKCFSA-N -1 1 315.377 1.611 20 0 DDADMM COc1cc(C)cc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1O ZINC000678310750 485536238 /nfs/dbraw/zinc/53/62/38/485536238.db2.gz OCZNKOLSQWSUJH-UHFFFAOYSA-N -1 1 317.349 1.422 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(C(=O)N2CCCC2)CC1 ZINC000674324745 485599008 /nfs/dbraw/zinc/59/90/08/485599008.db2.gz RRPGATGRTAAQLX-UHFFFAOYSA-N -1 1 321.352 1.505 20 0 DDADMM CN(Cc1nnc2ccccn21)C(=O)c1cc(F)ccc1[O-] ZINC000674323415 485599019 /nfs/dbraw/zinc/59/90/19/485599019.db2.gz DDTHUGIGKOBMNG-UHFFFAOYSA-N -1 1 300.293 1.846 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCCCS1 ZINC000680009012 486073404 /nfs/dbraw/zinc/07/34/04/486073404.db2.gz LYISWKLOXUGUHP-NEPJUHHUSA-N -1 1 303.391 1.691 20 0 DDADMM O=S(=O)(Cc1ccno1)c1nc(-c2ccccc2F)n[n-]1 ZINC000683687947 486080877 /nfs/dbraw/zinc/08/08/77/486080877.db2.gz RUZFBHPOFUOCCD-UHFFFAOYSA-N -1 1 308.294 1.573 20 0 DDADMM O=S(=O)(Cc1ccno1)c1n[n-]c(-c2ccccc2F)n1 ZINC000683687947 486080884 /nfs/dbraw/zinc/08/08/84/486080884.db2.gz RUZFBHPOFUOCCD-UHFFFAOYSA-N -1 1 308.294 1.573 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cncc(-c2ccc3c(c2)CCO3)c1 ZINC000676335416 486198384 /nfs/dbraw/zinc/19/83/84/486198384.db2.gz CMGUISHLNSHBPS-UHFFFAOYSA-N -1 1 322.328 1.127 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(NS(C)(=O)=O)cc2)c1[O-] ZINC000676689082 486289618 /nfs/dbraw/zinc/28/96/18/486289618.db2.gz XNZYYWBFYUKLEA-UHFFFAOYSA-N -1 1 310.335 1.048 20 0 DDADMM CC(C)(C)C(=O)N1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681017299 486324023 /nfs/dbraw/zinc/32/40/23/486324023.db2.gz PGWXOKQCQKIWQT-UHFFFAOYSA-N -1 1 308.353 1.862 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC[C@H]1CN1CCOCC1 ZINC000681050258 486332366 /nfs/dbraw/zinc/33/23/66/486332366.db2.gz LRSOVLMREBYONN-ZDUSSCGKSA-N -1 1 308.353 1.468 20 0 DDADMM O=C(NC[C@H](O)COc1ccc(F)cc1)c1ccc([O-])c(F)c1 ZINC000681056036 486333327 /nfs/dbraw/zinc/33/33/27/486333327.db2.gz IIQXLKLXEQSGPI-LBPRGKRZSA-N -1 1 323.295 1.840 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCOCC2)C1)c1ccc([O-])c(F)c1 ZINC000681058197 486334446 /nfs/dbraw/zinc/33/44/46/486334446.db2.gz HNKCVMTXABZPFM-GFCCVEGCSA-N -1 1 309.337 1.989 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncoc1-c1ccccc1)c1nn[n-]n1 ZINC000681353354 486410764 /nfs/dbraw/zinc/41/07/64/486410764.db2.gz QFFVHWQKQCVAOK-JTQLQIEISA-N -1 1 312.333 1.730 20 0 DDADMM C[C@@H](CNC(=O)c1cc2ccccc2cc1[O-])S(C)(=O)=O ZINC000677212150 486419039 /nfs/dbraw/zinc/41/90/39/486419039.db2.gz JFDNGLSXAARQBC-JTQLQIEISA-N -1 1 307.371 1.708 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1csc([C@@H]2CCCO2)n1 ZINC000677396718 486456110 /nfs/dbraw/zinc/45/61/10/486456110.db2.gz IFWJCZFTSRSHOQ-ZETCQYMHSA-N -1 1 322.375 1.746 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCO[C@H](COCC2CC2)C1 ZINC000681628169 486475133 /nfs/dbraw/zinc/47/51/33/486475133.db2.gz KYZYDTDOABZLSR-ZDUSSCGKSA-N -1 1 309.337 1.799 20 0 DDADMM COC(=O)NCCC(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000491797682 534082381 /nfs/dbraw/zinc/08/23/81/534082381.db2.gz OJCFTNYDAQXKPL-UHFFFAOYSA-N -1 1 309.318 1.427 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H](C)Cc2cnn(C)c2)c1 ZINC000457778516 534231971 /nfs/dbraw/zinc/23/19/71/534231971.db2.gz PYYTZDVEAQZXJF-JTQLQIEISA-N -1 1 317.345 1.730 20 0 DDADMM COC(=O)[C@@H](CF)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000489655834 534255158 /nfs/dbraw/zinc/25/51/58/534255158.db2.gz OFGKEWKJDBXNSI-MRVPVSSYSA-N -1 1 305.689 1.295 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000451963216 534266098 /nfs/dbraw/zinc/26/60/98/534266098.db2.gz IOSGLKCZMKGONY-VIFPVBQESA-N -1 1 315.345 1.608 20 0 DDADMM c1cncc(-c2nnc(SCc3nn[n-]n3)n2C2CC2)c1 ZINC000299349395 534506616 /nfs/dbraw/zinc/50/66/16/534506616.db2.gz MDJKTCGYYWIVNW-UHFFFAOYSA-N -1 1 300.351 1.480 20 0 DDADMM O=C([C@@H]1CCCc2nccn21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000424524915 534588980 /nfs/dbraw/zinc/58/89/80/534588980.db2.gz ADASWQHXLCGNPK-QWRGUYRKSA-N -1 1 317.349 1.255 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCC(C)(C)c3ccccn3)ccnc1-2 ZINC000298286873 534666382 /nfs/dbraw/zinc/66/63/82/534666382.db2.gz CJFLMKOFQNZHHG-XKZIYDEJSA-N -1 1 324.388 1.836 20 0 DDADMM Cc1cnn(C)c1CN[C@]1(C(=O)[O-])CCc2c1cccc2F ZINC000417571286 534730090 /nfs/dbraw/zinc/73/00/90/534730090.db2.gz TXZKITXBALDMES-MRXNPFEDSA-N -1 1 303.337 1.884 20 0 DDADMM C[C@H](CN(C)C(=O)c1sccc1-n1cccc1)c1nn[n-]n1 ZINC000156562404 524289533 /nfs/dbraw/zinc/28/95/33/524289533.db2.gz XPSVHZCYMNNOLP-SNVBAGLBSA-N -1 1 316.390 1.928 20 0 DDADMM O=C([N-]c1nc2n(n1)CCCC2)c1cc(Br)co1 ZINC000457842834 534780787 /nfs/dbraw/zinc/78/07/87/534780787.db2.gz JUKQNXGKMYZQOS-UHFFFAOYSA-N -1 1 311.139 1.644 20 0 DDADMM Cc1n[nH]cc1CCC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000451551726 534853600 /nfs/dbraw/zinc/85/36/00/534853600.db2.gz UVKMTQZZAMQEKZ-UHFFFAOYSA-N -1 1 314.436 1.703 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(CC(=O)Nc2nnn[n-]2)nc1 ZINC000492118144 526494761 /nfs/dbraw/zinc/49/47/61/526494761.db2.gz JKMAIVGRIUSTBZ-UHFFFAOYSA-N -1 1 319.325 1.123 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(CC(=O)Nc2nn[n-]n2)nc1 ZINC000492118144 526494764 /nfs/dbraw/zinc/49/47/64/526494764.db2.gz JKMAIVGRIUSTBZ-UHFFFAOYSA-N -1 1 319.325 1.123 20 0 DDADMM CC(C)[C@H](O)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451227727 527741602 /nfs/dbraw/zinc/74/16/02/527741602.db2.gz FWXVIWYWOXCQTG-LLVKDONJSA-N -1 1 301.339 1.867 20 0 DDADMM CCN(C)C(=O)[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C(C)C ZINC000295621803 527755289 /nfs/dbraw/zinc/75/52/89/527755289.db2.gz NKJYQPLRNLVPJY-ZDUSSCGKSA-N -1 1 306.362 1.194 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC[C@H](O)C(C)C)o1 ZINC000443251569 528243862 /nfs/dbraw/zinc/24/38/62/528243862.db2.gz OTTLHHZPCFHZPM-JTQLQIEISA-N -1 1 319.379 1.142 20 0 DDADMM CC(C)[C@@H]1C[C@H](CN=c2ccc(C(=O)N(C)C)n[n-]2)CCO1 ZINC000413308440 528777453 /nfs/dbraw/zinc/77/74/53/528777453.db2.gz CYXGTUVOAKRWGO-OCCSQVGLSA-N -1 1 306.410 1.463 20 0 DDADMM CCC[C@@H](C[N-]S(=O)(=O)c1ccc(C(=O)OCC)o1)OC ZINC000451639725 528958411 /nfs/dbraw/zinc/95/84/11/528958411.db2.gz MYCJHIUBZJONOQ-JTQLQIEISA-N -1 1 319.379 1.550 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(OC(C)C)cn1)c1nn[n-]n1 ZINC000444270795 528990943 /nfs/dbraw/zinc/99/09/43/528990943.db2.gz PNEDPVDXBNFQNN-LLVKDONJSA-N -1 1 304.354 1.653 20 0 DDADMM CCCCc1cc([N-]S(=O)(=O)CCOCC2CC2)n[nH]1 ZINC000424209085 529016644 /nfs/dbraw/zinc/01/66/44/529016644.db2.gz KZHSLCHDZHNGHG-UHFFFAOYSA-N -1 1 301.412 1.921 20 0 DDADMM CC(C)N1CCO[C@@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)C1 ZINC000431729647 529047129 /nfs/dbraw/zinc/04/71/29/529047129.db2.gz RRMYHKAFKSOLEK-GFCCVEGCSA-N -1 1 320.418 1.608 20 0 DDADMM OCC[C@H]1CCCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000738394406 598854297 /nfs/dbraw/zinc/85/42/97/598854297.db2.gz RAUFBEGSPWFTGN-SSDOTTSWSA-N -1 1 314.802 1.575 20 0 DDADMM OCC[C@H]1CCCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000738394406 598854298 /nfs/dbraw/zinc/85/42/98/598854298.db2.gz RAUFBEGSPWFTGN-SSDOTTSWSA-N -1 1 314.802 1.575 20 0 DDADMM O=C(Nc1c(F)cccc1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000738139185 598979423 /nfs/dbraw/zinc/97/94/23/598979423.db2.gz LZCLSPYBIFVHAX-UHFFFAOYSA-N -1 1 302.244 1.792 20 0 DDADMM O=C(Nc1c(F)cccc1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000738139185 598979425 /nfs/dbraw/zinc/97/94/25/598979425.db2.gz LZCLSPYBIFVHAX-UHFFFAOYSA-N -1 1 302.244 1.792 20 0 DDADMM C[C@@H](O)[C@H](C)Sc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000820468138 599100003 /nfs/dbraw/zinc/10/00/03/599100003.db2.gz RPUZICSFQZBXLD-SFYZADRCSA-N -1 1 302.363 1.671 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)C[C@H]1CCc2ccccc21 ZINC000737778016 599107181 /nfs/dbraw/zinc/10/71/81/599107181.db2.gz VOFVNSUBEWBUQL-SNVBAGLBSA-N -1 1 309.333 1.406 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC[C@@H]1c1cccc(F)c1 ZINC000823478828 599205248 /nfs/dbraw/zinc/20/52/48/599205248.db2.gz UQOKFSWJBZSBNG-CQSZACIVSA-N -1 1 324.319 1.988 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC[C@@H]1c1cccc(F)c1 ZINC000823478828 599205249 /nfs/dbraw/zinc/20/52/49/599205249.db2.gz UQOKFSWJBZSBNG-CQSZACIVSA-N -1 1 324.319 1.988 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CN2C(=O)[C@@H]3CC=CC[C@H]3C2=O)C1 ZINC000819380433 597045681 /nfs/dbraw/zinc/04/56/81/597045681.db2.gz LUHGMPCFIUWXRL-YNEHKIRRSA-N -1 1 306.362 1.082 20 0 DDADMM O=C([O-])C[C@H]1CCCN(Cc2cc(=O)n3ccsc3n2)C1 ZINC000054300578 597075001 /nfs/dbraw/zinc/07/50/01/597075001.db2.gz BKXIQWGDMQJXJV-SNVBAGLBSA-N -1 1 307.375 1.443 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)Nc1cccc(CC(=O)[O-])c1 ZINC000820095528 597735631 /nfs/dbraw/zinc/73/56/31/597735631.db2.gz HAPSPAPMMWBJIM-GFCCVEGCSA-N -1 1 306.362 1.221 20 0 DDADMM Cc1ccc(C=Cc2n[nH]c(SCC(=O)NCC(=O)[O-])n2)o1 ZINC000821076018 597752084 /nfs/dbraw/zinc/75/20/84/597752084.db2.gz PQJLUGYDYKEYKQ-PLNGDYQASA-N -1 1 322.346 1.169 20 0 DDADMM C[C@@H](NCC(=O)NCC(=O)[O-])c1cccc(OC(F)F)c1 ZINC000820141774 597806631 /nfs/dbraw/zinc/80/66/31/597806631.db2.gz HQTBQNBCSVOIQX-MRVPVSSYSA-N -1 1 302.277 1.139 20 0 DDADMM C[C@H](NCC(=O)NCC(=O)[O-])c1ccccc1C(F)(F)F ZINC000820141577 597808872 /nfs/dbraw/zinc/80/88/72/597808872.db2.gz FCGROWPEBAAVEL-QMMMGPOBSA-N -1 1 304.268 1.557 20 0 DDADMM CCN(CCNc1nccnc1-c1nnn[n-]1)c1cccc(C)c1 ZINC000736537495 598338538 /nfs/dbraw/zinc/33/85/38/598338538.db2.gz UQSDLZVAJGUBFT-UHFFFAOYSA-N -1 1 324.392 1.904 20 0 DDADMM CCN(CCNc1nccnc1-c1nn[n-]n1)c1cccc(C)c1 ZINC000736537495 598338539 /nfs/dbraw/zinc/33/85/39/598338539.db2.gz UQSDLZVAJGUBFT-UHFFFAOYSA-N -1 1 324.392 1.904 20 0 DDADMM COc1ccc([C@H](C)Nc2nccnc2-c2nnn[n-]2)cc1F ZINC000737073976 598339434 /nfs/dbraw/zinc/33/94/34/598339434.db2.gz GVLWXYSFTLOBLN-QMMMGPOBSA-N -1 1 315.312 1.978 20 0 DDADMM COc1ccc([C@H](C)Nc2nccnc2-c2nn[n-]n2)cc1F ZINC000737073976 598339435 /nfs/dbraw/zinc/33/94/35/598339435.db2.gz GVLWXYSFTLOBLN-QMMMGPOBSA-N -1 1 315.312 1.978 20 0 DDADMM Cc1ccccc1[C@@H]1CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC000737422208 598351592 /nfs/dbraw/zinc/35/15/92/598351592.db2.gz LZDCTJZYOXXVGC-GFCCVEGCSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1ccccc1[C@@H]1CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC000737422208 598351590 /nfs/dbraw/zinc/35/15/90/598351590.db2.gz LZDCTJZYOXXVGC-GFCCVEGCSA-N -1 1 307.361 1.959 20 0 DDADMM CCCCc1nc(Cn2ccc(C)c(-c3nn[n-]n3)c2=O)no1 ZINC000821077622 607349037 /nfs/dbraw/zinc/34/90/37/607349037.db2.gz NVBYIXSWFYBPBM-UHFFFAOYSA-N -1 1 315.337 1.111 20 0 DDADMM CCSc1nnc(Sc2cnc(-c3nnn[n-]3)cn2)s1 ZINC000736667939 598385106 /nfs/dbraw/zinc/38/51/06/598385106.db2.gz SFFLVOASBZAKDD-UHFFFAOYSA-N -1 1 324.420 1.771 20 0 DDADMM CCSc1nnc(Sc2cnc(-c3nn[n-]n3)cn2)s1 ZINC000736667939 598385107 /nfs/dbraw/zinc/38/51/07/598385107.db2.gz SFFLVOASBZAKDD-UHFFFAOYSA-N -1 1 324.420 1.771 20 0 DDADMM c1ccc(N2CC[C@@H](Nc3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000738434326 598388821 /nfs/dbraw/zinc/38/88/21/598388821.db2.gz DPJMKILTPDKQFS-LLVKDONJSA-N -1 1 308.349 1.348 20 0 DDADMM c1ccc(N2CC[C@@H](Nc3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000738434326 598388823 /nfs/dbraw/zinc/38/88/23/598388823.db2.gz DPJMKILTPDKQFS-LLVKDONJSA-N -1 1 308.349 1.348 20 0 DDADMM C[C@@H](O)C1CCN(c2snc(Cl)c2-c2nnn[n-]2)CC1 ZINC000736146154 598679546 /nfs/dbraw/zinc/67/95/46/598679546.db2.gz XOUZAFQEILZASW-ZCFIWIBFSA-N -1 1 314.802 1.574 20 0 DDADMM C[C@@H](O)C1CCN(c2snc(Cl)c2-c2nn[n-]n2)CC1 ZINC000736146154 598679548 /nfs/dbraw/zinc/67/95/48/598679548.db2.gz XOUZAFQEILZASW-ZCFIWIBFSA-N -1 1 314.802 1.574 20 0 DDADMM O=S(=O)(c1ccc(F)c(-c2nn[n-]n2)c1)N1C2CCC1CC2 ZINC000738355244 598689745 /nfs/dbraw/zinc/68/97/45/598689745.db2.gz LRYNGYUUPJNUCO-UHFFFAOYSA-N -1 1 323.353 1.321 20 0 DDADMM c1ccc(N2CCC(Nc3cccc(-c4nn[n-]n4)n3)CC2)nc1 ZINC000738434242 599410200 /nfs/dbraw/zinc/41/02/00/599410200.db2.gz ASRYDQATQIKUNT-UHFFFAOYSA-N -1 1 322.376 1.738 20 0 DDADMM COCCOCCNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000821693240 599496120 /nfs/dbraw/zinc/49/61/20/599496120.db2.gz YOIIQMZUNQFUBO-UHFFFAOYSA-N -1 1 314.349 1.490 20 0 DDADMM COCCOCCNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821693240 599496121 /nfs/dbraw/zinc/49/61/21/599496121.db2.gz YOIIQMZUNQFUBO-UHFFFAOYSA-N -1 1 314.349 1.490 20 0 DDADMM COc1c(C)cc(CN(C)[C@H](C)C(=O)NCC(=O)[O-])cc1C ZINC000737838747 599720550 /nfs/dbraw/zinc/72/05/50/599720550.db2.gz AUFLHQFAKBLLCA-GFCCVEGCSA-N -1 1 308.378 1.333 20 0 DDADMM O=C([O-])[C@H]1Cc2ccccc2CN1Cc1cc(=O)n2nccc2[nH]1 ZINC000739626584 599924290 /nfs/dbraw/zinc/92/42/90/599924290.db2.gz SUAJBEMAVHDALM-CQSZACIVSA-N -1 1 324.340 1.034 20 0 DDADMM O=C([O-])CN(CCN1CCOCC1)Cc1cccc(F)c1F ZINC000739791028 600146236 /nfs/dbraw/zinc/14/62/36/600146236.db2.gz KXCXMVIAUHRIFV-UHFFFAOYSA-N -1 1 314.332 1.184 20 0 DDADMM CCC[C@@](C)(NCC(=O)Nc1ccc(C(=O)OC)cc1)C(=O)[O-] ZINC000736966031 600153494 /nfs/dbraw/zinc/15/34/94/600153494.db2.gz YQFBOZYIBJWZMZ-MRXNPFEDSA-N -1 1 322.361 1.645 20 0 DDADMM C[C@@H]1CCCC[C@@H]1NC(=O)CN1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000405467630 600294771 /nfs/dbraw/zinc/29/47/71/600294771.db2.gz CTDFSHWJVXKORN-RDBSUJKOSA-N -1 1 308.422 1.868 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(CC(=O)NCC1CCCCC1)CC2 ZINC000739279417 600312147 /nfs/dbraw/zinc/31/21/47/600312147.db2.gz YTCLDXNJTPZXHK-CQSZACIVSA-N -1 1 308.422 1.870 20 0 DDADMM O=C([O-])c1ccoc1CN1CCCC[C@@H]1CN1CCCC1=O ZINC000740248857 600333317 /nfs/dbraw/zinc/33/33/17/600333317.db2.gz IZNYQFXHXGAISD-GFCCVEGCSA-N -1 1 306.362 1.955 20 0 DDADMM C[C@H](CN(C)[C@@H](C)C(=O)N1CC(=O)Nc2ccccc21)C(=O)[O-] ZINC000736575789 600377075 /nfs/dbraw/zinc/37/70/75/600377075.db2.gz SGMSNXMJKYIAKD-MNOVXSKESA-N -1 1 319.361 1.013 20 0 DDADMM COCCN(CC(=O)[O-])C[C@H](O)c1ccc2ccccc2c1 ZINC000737777629 600447081 /nfs/dbraw/zinc/44/70/81/600447081.db2.gz IWVBZGMDTFTHMA-INIZCTEOSA-N -1 1 303.358 1.906 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N[C@@H]1CCC[C@H](C)[C@H]1C ZINC000737795021 600641255 /nfs/dbraw/zinc/64/12/55/600641255.db2.gz VWEFVZHKYGHDTG-BFHYXJOUSA-N -1 1 314.426 1.350 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1cnn(-c2ccccc2)c1 ZINC000737794597 600641873 /nfs/dbraw/zinc/64/18/73/600641873.db2.gz PTKMQMWMZYGGKQ-UHFFFAOYSA-N -1 1 303.362 1.795 20 0 DDADMM CCc1ccc(CNC(=O)CN[C@](C)(C(=O)[O-])C2CC2)s1 ZINC000830250205 601033344 /nfs/dbraw/zinc/03/33/44/601033344.db2.gz WZFJXBIWGIRLPI-HNNXBMFYSA-N -1 1 310.419 1.770 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)Nc2cccc(F)c2)CC1 ZINC000827369109 601123290 /nfs/dbraw/zinc/12/32/90/601123290.db2.gz OIZWLNZVELOTLZ-NSHDSACASA-N -1 1 309.341 1.838 20 0 DDADMM CC(C)C[C@@H](NC(=O)[O-])C(=O)Nc1cc(CN(C)C)ccn1 ZINC000824211064 601140942 /nfs/dbraw/zinc/14/09/42/601140942.db2.gz MLPJIAIRDMKAJK-GFCCVEGCSA-N -1 1 308.382 1.764 20 0 DDADMM C/C(=C\CN1CCN(Cc2ccc(Cl)nc2)CC1)C(=O)[O-] ZINC000825745677 601168713 /nfs/dbraw/zinc/16/87/13/601168713.db2.gz CGRPGGPROQYHTL-UUILKARUSA-N -1 1 309.797 1.884 20 0 DDADMM C/C(=C/CN1CCO[C@@H](c2nc(C(C)(C)C)n[nH]2)C1)C(=O)[O-] ZINC000825746865 601177860 /nfs/dbraw/zinc/17/78/60/601177860.db2.gz LWGCFPFEXYMHQD-GULOHRGCSA-N -1 1 308.382 1.506 20 0 DDADMM C/C(=C/CN1CCO[C@@H](c2nnc(C(C)(C)C)[nH]2)C1)C(=O)[O-] ZINC000825746865 601177861 /nfs/dbraw/zinc/17/78/61/601177861.db2.gz LWGCFPFEXYMHQD-GULOHRGCSA-N -1 1 308.382 1.506 20 0 DDADMM CC[C@@](C)(NC(=O)CSc1n[nH]c(=S)s1)C(=O)[O-] ZINC000828686301 601198884 /nfs/dbraw/zinc/19/88/84/601198884.db2.gz ZCXTUMQUGJBDQN-SECBINFHSA-N -1 1 307.422 1.288 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCC(NC(=O)COC)CC1 ZINC000830369626 601413415 /nfs/dbraw/zinc/41/34/15/601413415.db2.gz QDSFLEIPKDSVKG-UHFFFAOYSA-N -1 1 324.377 1.267 20 0 DDADMM COc1ccc(-c2noc(CN3C[C@H](C)[C@@H](C(=O)[O-])C3)n2)cc1 ZINC000320290446 601522465 /nfs/dbraw/zinc/52/24/65/601522465.db2.gz QJUJPNGVTFFYAF-GWCFXTLKSA-N -1 1 317.345 1.898 20 0 DDADMM CCOc1ccc(NC(=O)CN2C[C@H](C)[C@@H](C(=O)[O-])C2)cc1 ZINC000315532966 601526268 /nfs/dbraw/zinc/52/62/68/601526268.db2.gz GOEGZYCPWYVNNH-FZMZJTMJSA-N -1 1 306.362 1.676 20 0 DDADMM C[C@H](C(=O)[O-])[C@@H](NC(=O)CCc1nc[nH]n1)c1ccccc1 ZINC000826031669 601608779 /nfs/dbraw/zinc/60/87/79/601608779.db2.gz RFDYNVLTMYMBLC-IINYFYTJSA-N -1 1 302.334 1.316 20 0 DDADMM COC(=O)c1cc(NC(=O)Cc2cc(C)[nH]n2)cc(C(=O)[O-])c1 ZINC000831277183 601636698 /nfs/dbraw/zinc/63/66/98/601636698.db2.gz QRUHZCUIXHLSJF-UHFFFAOYSA-N -1 1 317.301 1.384 20 0 DDADMM Cc1nc([C@@H]2CN(Cc3ccc(C(=O)[O-])c(F)c3)CCO2)n[nH]1 ZINC000832991734 601647227 /nfs/dbraw/zinc/64/72/27/601647227.db2.gz OKAJLNWTMNHNEZ-ZDUSSCGKSA-N -1 1 320.324 1.524 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C(=O)[C@H]2CCCN2Cc2ccccc2)C1 ZINC000262256658 601716783 /nfs/dbraw/zinc/71/67/83/601716783.db2.gz YISLNFUAOAJHGE-PBHICJAKSA-N -1 1 320.364 1.676 20 0 DDADMM Cc1c(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)cnn1C ZINC000826236219 607966579 /nfs/dbraw/zinc/96/65/79/607966579.db2.gz CSSSZCYFPRILPZ-UHFFFAOYSA-N -1 1 304.745 1.569 20 0 DDADMM CC(C)[C@H](NCC(=O)Nc1ccc(C(=O)N(C)C)cc1)C(=O)[O-] ZINC000817977568 601823672 /nfs/dbraw/zinc/82/36/72/601823672.db2.gz OFWIDMHEORCQEI-AWEZNQCLSA-N -1 1 321.377 1.026 20 0 DDADMM O=C([O-])c1cc(CNCc2ccc3c(c2)CCS3(=O)=O)c[nH]1 ZINC000833297903 601869970 /nfs/dbraw/zinc/86/99/70/601869970.db2.gz ODEYKPSIQIMFNS-UHFFFAOYSA-N -1 1 320.370 1.333 20 0 DDADMM COC(=O)C[C@@H]1CSCCN1Cc1ccc(C(=O)[O-])s1 ZINC000831147962 601888914 /nfs/dbraw/zinc/88/89/14/601888914.db2.gz MYXUBIICUNJCJU-SECBINFHSA-N -1 1 315.416 1.927 20 0 DDADMM C[C@H](C(=O)N1CCC(C)CC1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000828276599 601922090 /nfs/dbraw/zinc/92/20/90/601922090.db2.gz DBGKCDUUWJNKIB-OLZOCXBDSA-N -1 1 314.451 1.526 20 0 DDADMM C[C@H](C(=O)N1CCc2ccccc21)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738619667 601959390 /nfs/dbraw/zinc/95/93/90/601959390.db2.gz OEPZYXPXKHORMO-OLZOCXBDSA-N -1 1 317.389 1.554 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(C(=O)[C@@H]1CCCc3[nH]ncc31)CC2 ZINC000833179207 602019950 /nfs/dbraw/zinc/01/99/50/602019950.db2.gz KZISELSWJOHEFG-PWSUYJOCSA-N -1 1 303.362 1.543 20 0 DDADMM CNC(=O)c1ccccc1NCc1ccnc(-c2nnn[n-]2)c1 ZINC000826073126 607547457 /nfs/dbraw/zinc/54/74/57/607547457.db2.gz GAPAQVSYHQEDQQ-UHFFFAOYSA-N -1 1 309.333 1.233 20 0 DDADMM CNC(=O)c1ccccc1NCc1ccnc(-c2nn[n-]n2)c1 ZINC000826073126 607547458 /nfs/dbraw/zinc/54/74/58/607547458.db2.gz GAPAQVSYHQEDQQ-UHFFFAOYSA-N -1 1 309.333 1.233 20 0 DDADMM CC1CCN(CC(=O)N(CCC(=O)[O-])C[C@@H]2CCCO2)CC1 ZINC000828285142 602365510 /nfs/dbraw/zinc/36/55/10/602365510.db2.gz LCEPUNSNOMDFOU-AWEZNQCLSA-N -1 1 312.410 1.201 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CC[C@@H](CN(C)C(=O)[O-])C2)c1 ZINC000740054717 602490880 /nfs/dbraw/zinc/49/08/80/602490880.db2.gz VFBVYQMVURBHKH-NSHDSACASA-N -1 1 316.361 1.943 20 0 DDADMM C[C@H]1CCC[C@H](C)N1C(=O)CN1CCC(N(C)C(=O)[O-])CC1 ZINC000737743567 602536611 /nfs/dbraw/zinc/53/66/11/602536611.db2.gz HZTADYSGLRGAFZ-STQMWFEESA-N -1 1 311.426 1.850 20 0 DDADMM C[C@H](C(=O)N(C)c1ccccc1)N1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738603472 602577391 /nfs/dbraw/zinc/57/73/91/602577391.db2.gz PSODUYQXWJMLDB-KGLIPLIRSA-N -1 1 319.405 1.970 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CCC([C@@H]2CCCN2C(=O)[O-])CC1 ZINC000739728025 602589305 /nfs/dbraw/zinc/58/93/05/602589305.db2.gz QQLPNQLJNAWAAF-GJZGRUSLSA-N -1 1 323.437 1.852 20 0 DDADMM O=C(CN1CCCC[C@@H]1[C@H]1CCCN1C(=O)[O-])NCC1CCC1 ZINC000740369520 602679229 /nfs/dbraw/zinc/67/92/29/602679229.db2.gz NFDUPOVWSLVTOD-HUUCEWRRSA-N -1 1 323.437 1.900 20 0 DDADMM C[C@H]1CN(Cc2cccc3c2OCCO3)CC[C@H]1NC(=O)[O-] ZINC000739195053 602773308 /nfs/dbraw/zinc/77/33/08/602773308.db2.gz XDYLTPXWLGHQJL-WCQYABFASA-N -1 1 306.362 1.936 20 0 DDADMM Cc1ccc(CNC(=O)CN2CC[C@@H](NC(=O)[O-])[C@@H](C)C2)cc1 ZINC000740126664 602789044 /nfs/dbraw/zinc/78/90/44/602789044.db2.gz OHQJMYWXJCZKBS-DZGCQCFKSA-N -1 1 319.405 1.589 20 0 DDADMM O=C([O-])N(CC(=O)Nc1ccc2nc[nH]c2c1)C[C@@H]1CCCO1 ZINC000740362780 602869508 /nfs/dbraw/zinc/86/95/08/602869508.db2.gz BVZQNQBJNMHEOY-NSHDSACASA-N -1 1 318.333 1.660 20 0 DDADMM CCc1cc(CNC(=O)NC[C@@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000739537146 602886162 /nfs/dbraw/zinc/88/61/62/602886162.db2.gz DILRKUSWNPNMNR-JTQLQIEISA-N -1 1 309.370 1.161 20 0 DDADMM CC(C)CN1CCO[C@H](COC(=O)[C@@H]2CCCN2C(=O)[O-])C1 ZINC000738824236 602963889 /nfs/dbraw/zinc/96/38/89/602963889.db2.gz JFDHNFOMURIJQJ-STQMWFEESA-N -1 1 314.382 1.029 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCC[C@@H]1CN(C)C(=O)[O-] ZINC000829834337 603011036 /nfs/dbraw/zinc/01/10/36/603011036.db2.gz SFVYZGQTSCEBMV-CYBMUJFWSA-N -1 1 323.397 1.435 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@@H]1CCC[C@H](NC(=O)[O-])C1 ZINC000739199151 603208675 /nfs/dbraw/zinc/20/86/75/603208675.db2.gz OSPHNFINDPFNEJ-APIJFGDWSA-N -1 1 323.437 1.898 20 0 DDADMM CN(CCCNC(=O)[O-])C(=O)c1c[nH]c2ccccc2c1=O ZINC000739677439 603236741 /nfs/dbraw/zinc/23/67/41/603236741.db2.gz MXQBOHMUWLVPOQ-UHFFFAOYSA-N -1 1 303.318 1.258 20 0 DDADMM CCc1ncsc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825754944 607616814 /nfs/dbraw/zinc/61/68/14/607616814.db2.gz KTRUBZDJWRPPJE-UHFFFAOYSA-N -1 1 301.335 1.533 20 0 DDADMM CCc1ncsc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825754944 607616815 /nfs/dbraw/zinc/61/68/15/607616815.db2.gz KTRUBZDJWRPPJE-UHFFFAOYSA-N -1 1 301.335 1.533 20 0 DDADMM CC(C)C[C@H](C)N(C)C(=O)CN1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000738808754 603414087 /nfs/dbraw/zinc/41/40/87/603414087.db2.gz YGSLJVUGAADHFB-RDBSUJKOSA-N -1 1 313.442 1.857 20 0 DDADMM CN(C[C@@H](O)c1ccc(F)cc1F)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828168222 603491801 /nfs/dbraw/zinc/49/18/01/603491801.db2.gz FXQHAEUWGZELDA-ZWNOBZJWSA-N -1 1 300.305 1.682 20 0 DDADMM Cc1cccn2c(=O)cc(CN(C)[C@H]3CCN(C(=O)[O-])C3)nc12 ZINC000830591032 603538881 /nfs/dbraw/zinc/53/88/81/603538881.db2.gz KORRPNSEAWNUHO-ZDUSSCGKSA-N -1 1 316.361 1.187 20 0 DDADMM O=C([O-])N1CCC[C@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000831731295 603551198 /nfs/dbraw/zinc/55/11/98/603551198.db2.gz VOKGIEMKCFVXGO-NSHDSACASA-N -1 1 306.297 1.461 20 0 DDADMM CN(C)[C@@H](CNC(=O)CC1CN(C(=O)[O-])C1)c1cccs1 ZINC000827994175 603555523 /nfs/dbraw/zinc/55/55/23/603555523.db2.gz IMTFWQZGRAXQSF-NSHDSACASA-N -1 1 311.407 1.467 20 0 DDADMM C[C@H](CCNC(=O)[C@@H](C)N(C)Cc1ccccc1)NC(=O)[O-] ZINC000824784952 603720031 /nfs/dbraw/zinc/72/00/31/603720031.db2.gz BKDPGAJWEBIOBQ-CHWSQXEVSA-N -1 1 307.394 1.669 20 0 DDADMM CN(C)C(=O)c1cccc(CN2CC[C@@H](N(C)C(=O)[O-])C2)c1 ZINC000827989012 603795766 /nfs/dbraw/zinc/79/57/66/603795766.db2.gz PFNXOFCWBYDRAK-CQSZACIVSA-N -1 1 305.378 1.573 20 0 DDADMM C[C@H](NC(=O)C[C@H](Cc1ccccc1)NC(=O)[O-])c1nnc[nH]1 ZINC000825101189 603939288 /nfs/dbraw/zinc/93/92/88/603939288.db2.gz NFWBKJAEUWCPGH-JQWIXIFHSA-N -1 1 317.349 1.251 20 0 DDADMM CCc1oc(C(=O)OC)cc1CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000827681611 603963734 /nfs/dbraw/zinc/96/37/34/603963734.db2.gz PXGFCTVFVCHKSQ-JTQLQIEISA-N -1 1 310.350 1.718 20 0 DDADMM CC[C@@]1(C)CCN(CN2C[C@H]3CN(C(=O)[O-])CCN3C2=O)C1 ZINC000826644276 604019216 /nfs/dbraw/zinc/01/92/16/604019216.db2.gz HLOAVTFVBUPHLT-DOMZBBRYSA-N -1 1 310.398 1.166 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CN2CCSC2=S)C1 ZINC000825266707 604021674 /nfs/dbraw/zinc/02/16/74/604021674.db2.gz WMJIMQSRTJCTGS-VHSXEESVSA-N -1 1 303.453 1.646 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000824138012 604057329 /nfs/dbraw/zinc/05/73/29/604057329.db2.gz YTDOEOBDEWJIAK-LBPRGKRZSA-N -1 1 308.313 1.610 20 0 DDADMM CN(Cc1ccccc1)C(=O)CN1CCC[C@H]1CN(C)C(=O)[O-] ZINC000828218073 604103739 /nfs/dbraw/zinc/10/37/39/604103739.db2.gz FLRDGTJGOFBSDQ-HNNXBMFYSA-N -1 1 319.405 1.719 20 0 DDADMM COc1ccc(NC(=O)[O-])c(NC(=O)CCc2nc[nH]n2)c1 ZINC000829469652 604152192 /nfs/dbraw/zinc/15/21/92/604152192.db2.gz UHVYWOAZXCXYLX-UHFFFAOYSA-N -1 1 305.294 1.475 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)CC1(NC(=O)[O-])CCC1 ZINC000826087871 604180690 /nfs/dbraw/zinc/18/06/90/604180690.db2.gz SVJZFNIOTQQUQV-STQMWFEESA-N -1 1 309.410 1.652 20 0 DDADMM O=C([O-])NC1(C(=O)N[C@H]2CCCN(Cc3ccccc3)C2)CC1 ZINC000832155717 604428323 /nfs/dbraw/zinc/42/83/23/604428323.db2.gz NKERLJCAGNCSJH-AWEZNQCLSA-N -1 1 317.389 1.567 20 0 DDADMM CCC[C@](C)(NCC(=O)Nc1nnc(C(C)C)s1)C(=O)[O-] ZINC000829193682 604475018 /nfs/dbraw/zinc/47/50/18/604475018.db2.gz DLBUHAXDVQLENT-ZDUSSCGKSA-N -1 1 314.411 1.833 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc(OCC(=O)[O-])c2)[C@@H](C)CN1C ZINC000833623357 604580032 /nfs/dbraw/zinc/58/00/32/604580032.db2.gz PNRGDRXPWQBNAJ-NEPJUHHUSA-N -1 1 306.362 1.315 20 0 DDADMM CC(C)(O)[C@H]1CCCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000833463839 604653695 /nfs/dbraw/zinc/65/36/95/604653695.db2.gz KQCBQGYKYDSZRP-CYBMUJFWSA-N -1 1 306.362 1.559 20 0 DDADMM Cc1cnc(CN(C)[C@@H]2CCN(Cc3c[nH]c(C(=O)[O-])c3)C2)o1 ZINC000832985502 604686544 /nfs/dbraw/zinc/68/65/44/604686544.db2.gz FTHNRXHWBQOCKW-CYBMUJFWSA-N -1 1 318.377 1.716 20 0 DDADMM O=C([O-])N1CC(NC(=O)[C@@H]2CCCCN2Cc2ccccc2)C1 ZINC000831610649 604952418 /nfs/dbraw/zinc/95/24/18/604952418.db2.gz PHULZODHOFHDKV-HNNXBMFYSA-N -1 1 317.389 1.520 20 0 DDADMM CC(C)N(C(=O)[C@H](C)N1CCC([C@@H](O)C(=O)[O-])CC1)C(C)C ZINC000833402402 604977674 /nfs/dbraw/zinc/97/76/74/604977674.db2.gz LLSXMFFQBHRQDY-GXTWGEPZSA-N -1 1 314.426 1.178 20 0 DDADMM CC(C)Nc1ccc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cn1 ZINC000833504654 605075730 /nfs/dbraw/zinc/07/57/30/605075730.db2.gz MSALYNZBLJDCNL-LBPRGKRZSA-N -1 1 317.349 1.051 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCc1cccc(Cl)c1 ZINC000833763702 605081528 /nfs/dbraw/zinc/08/15/28/605081528.db2.gz AGMCISPOICYRRO-ZDUSSCGKSA-N -1 1 321.764 1.808 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(F)cc1F ZINC000833625282 605105706 /nfs/dbraw/zinc/10/57/06/605105706.db2.gz KKSYLTGDHUFUFK-SNVBAGLBSA-N -1 1 312.316 1.586 20 0 DDADMM CCOc1ncccc1C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833665138 605163878 /nfs/dbraw/zinc/16/38/78/605163878.db2.gz MNADENLIXRWJQC-LBPRGKRZSA-N -1 1 321.377 1.101 20 0 DDADMM CN1CCN(C(=O)CCc2ccc(C(=O)[O-])cc2)C(C)(C)C1 ZINC000833697135 605179998 /nfs/dbraw/zinc/17/99/98/605179998.db2.gz BJJPNVQHNAREJZ-UHFFFAOYSA-N -1 1 304.390 1.870 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc(N(C)C)c2)CCN1CCC(=O)[O-] ZINC000833619274 605255731 /nfs/dbraw/zinc/25/57/31/605255731.db2.gz BVIMSBLXGLTDLZ-ZDUSSCGKSA-N -1 1 319.405 1.374 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCN(CCOC(C)C)CC2)O1 ZINC000833576884 605344698 /nfs/dbraw/zinc/34/46/98/605344698.db2.gz AWKVUMGDMUJQRV-CQSZACIVSA-N -1 1 312.410 1.177 20 0 DDADMM CCN(CCC1CCN(C(=O)[O-])CC1)CCS(C)(=O)=O ZINC000833856922 605618732 /nfs/dbraw/zinc/61/87/32/605618732.db2.gz CNDGUKWUEOADEY-UHFFFAOYSA-N -1 1 306.428 1.133 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1Cc1ccc(C(=O)N(C)C)[nH]1 ZINC000833835381 605683229 /nfs/dbraw/zinc/68/32/29/605683229.db2.gz YNNQPSGRSXDVNK-GHMZBOCLSA-N -1 1 308.382 1.195 20 0 DDADMM O=C([O-])NCCN1CC[C@H](NC(=O)Nc2ccc(F)cc2)C1 ZINC000834240735 605749677 /nfs/dbraw/zinc/74/96/77/605749677.db2.gz WYFJTBUATTUNHG-LBPRGKRZSA-N -1 1 310.329 1.289 20 0 DDADMM O=C([O-])N1[C@@H]2CC[C@H]1CN(C(=O)c1ccc(F)cc1O)CC2 ZINC000834287646 605832398 /nfs/dbraw/zinc/83/23/98/605832398.db2.gz PODZKPFENAIYDL-MNOVXSKESA-N -1 1 308.309 1.888 20 0 DDADMM O=C([O-])NC[C@@H]1CCCCN1C(=O)c1cccc2nn[nH]c21 ZINC000834221297 605854546 /nfs/dbraw/zinc/85/45/46/605854546.db2.gz URWFGJSSSIZNST-VIFPVBQESA-N -1 1 303.322 1.220 20 0 DDADMM NC(=O)c1n[nH]c2ccc(NC(=O)CCCCNC(=O)[O-])cc21 ZINC000833986220 605907341 /nfs/dbraw/zinc/90/73/41/605907341.db2.gz LIPODQSBRXICRW-UHFFFAOYSA-N -1 1 319.321 1.038 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)CCCCNC(=O)[O-])[nH]n1 ZINC000833953766 605937601 /nfs/dbraw/zinc/93/76/01/605937601.db2.gz BRPHIMZXIVQTHQ-UHFFFAOYSA-N -1 1 306.326 1.187 20 0 DDADMM O=C([O-])NC1(C(=O)N2CCC[C@@H](c3n[nH]c(C4CC4)n3)C2)CC1 ZINC000834152784 606094525 /nfs/dbraw/zinc/09/45/25/606094525.db2.gz XEDCGKJSSZMCNW-SNVBAGLBSA-N -1 1 319.365 1.188 20 0 DDADMM Cc1cccc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c1O ZINC000822284328 606295405 /nfs/dbraw/zinc/29/54/05/606295405.db2.gz OWRVWOXNBMFOKJ-UHFFFAOYSA-N -1 1 311.301 1.839 20 0 DDADMM C[C@@H]1CCCN1S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000820716255 606431879 /nfs/dbraw/zinc/43/18/79/606431879.db2.gz IAJFTJBHKXSYPN-MRVPVSSYSA-N -1 1 311.342 1.179 20 0 DDADMM OC[C@H](CNc1cccc(-c2nnn[n-]2)n1)Cc1ccccc1 ZINC000823705366 606452614 /nfs/dbraw/zinc/45/26/14/606452614.db2.gz GLRCBFXWQCPYBY-ZDUSSCGKSA-N -1 1 310.361 1.525 20 0 DDADMM OC[C@H](CNc1cccc(-c2nn[n-]n2)n1)Cc1ccccc1 ZINC000823705366 606452615 /nfs/dbraw/zinc/45/26/15/606452615.db2.gz GLRCBFXWQCPYBY-ZDUSSCGKSA-N -1 1 310.361 1.525 20 0 DDADMM O=C(NCc1ccccc1F)c1ccc(-c2nnn[n-]2)s1 ZINC000823261112 606490957 /nfs/dbraw/zinc/49/09/57/606490957.db2.gz AVANVGXTOGANDA-UHFFFAOYSA-N -1 1 303.322 1.997 20 0 DDADMM O=C(NCc1ccccc1F)c1ccc(-c2nn[n-]n2)s1 ZINC000823261112 606490958 /nfs/dbraw/zinc/49/09/58/606490958.db2.gz AVANVGXTOGANDA-UHFFFAOYSA-N -1 1 303.322 1.997 20 0 DDADMM O=C(COc1cccc(Cl)c1)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000823044032 606532733 /nfs/dbraw/zinc/53/27/33/606532733.db2.gz OUUOZNWXXKOEIR-UHFFFAOYSA-N -1 1 319.712 1.261 20 0 DDADMM O=C(COc1cccc(Cl)c1)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000823044032 606532735 /nfs/dbraw/zinc/53/27/35/606532735.db2.gz OUUOZNWXXKOEIR-UHFFFAOYSA-N -1 1 319.712 1.261 20 0 DDADMM CCO[C@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821367335 606534591 /nfs/dbraw/zinc/53/45/91/606534591.db2.gz GXQRXAAMXNIEAX-VIFPVBQESA-N -1 1 307.379 1.569 20 0 DDADMM CCO[C@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821367335 606534593 /nfs/dbraw/zinc/53/45/93/606534593.db2.gz GXQRXAAMXNIEAX-VIFPVBQESA-N -1 1 307.379 1.569 20 0 DDADMM Fc1ccc(F)c2c1CCN(c1nccnc1-c1nnn[n-]1)C2 ZINC000822778174 606593119 /nfs/dbraw/zinc/59/31/19/606593119.db2.gz FEUISFKZYISYKB-UHFFFAOYSA-N -1 1 315.287 1.498 20 0 DDADMM Fc1ccc(F)c2c1CCN(c1nccnc1-c1nn[n-]n1)C2 ZINC000822778174 606593122 /nfs/dbraw/zinc/59/31/22/606593122.db2.gz FEUISFKZYISYKB-UHFFFAOYSA-N -1 1 315.287 1.498 20 0 DDADMM CCc1cc(C(=O)n2ncc(-c3nn[n-]n3)c2N)oc1CC ZINC000821470062 607146973 /nfs/dbraw/zinc/14/69/73/607146973.db2.gz LFXJPXOLZMYMFS-UHFFFAOYSA-N -1 1 301.310 1.052 20 0 DDADMM CC(C)(C)c1cnc(Cn2cccc(-c3nn[n-]n3)c2=O)o1 ZINC000819965623 607158478 /nfs/dbraw/zinc/15/84/78/607158478.db2.gz KAJMHJKJCIBWAJ-UHFFFAOYSA-N -1 1 300.322 1.362 20 0 DDADMM C[C@H](Nc1cccc(-c2nnn[n-]2)n1)[C@H](O)c1cccc(F)c1 ZINC000824662558 607901537 /nfs/dbraw/zinc/90/15/37/607901537.db2.gz MUQCTWPHHPSHCA-XPTSAGLGSA-N -1 1 314.324 1.935 20 0 DDADMM C[C@H](Nc1cccc(-c2nn[n-]n2)n1)[C@H](O)c1cccc(F)c1 ZINC000824662558 607901538 /nfs/dbraw/zinc/90/15/38/607901538.db2.gz MUQCTWPHHPSHCA-XPTSAGLGSA-N -1 1 314.324 1.935 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@@H]1CC[C@H]2CCCC[C@H]2C1 ZINC000826394880 607928759 /nfs/dbraw/zinc/92/87/59/607928759.db2.gz COPLBAXXEKVELV-OUAUKWLOSA-N -1 1 315.381 1.892 20 0 DDADMM CS[C@H](C)CC(=O)Nc1nn(C(C)(C)C)cc1-c1nnn[n-]1 ZINC000826224462 607963333 /nfs/dbraw/zinc/96/33/33/607963333.db2.gz FAEMHRTWDQEZDI-MRVPVSSYSA-N -1 1 323.426 1.898 20 0 DDADMM CS[C@H](C)CC(=O)Nc1nn(C(C)(C)C)cc1-c1nn[n-]n1 ZINC000826224462 607963334 /nfs/dbraw/zinc/96/33/34/607963334.db2.gz FAEMHRTWDQEZDI-MRVPVSSYSA-N -1 1 323.426 1.898 20 0 DDADMM Cc1c(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)cnn1C ZINC000826236219 607966578 /nfs/dbraw/zinc/96/65/78/607966578.db2.gz CSSSZCYFPRILPZ-UHFFFAOYSA-N -1 1 304.745 1.569 20 0 DDADMM COC1CCC(CC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000826144087 608005658 /nfs/dbraw/zinc/00/56/58/608005658.db2.gz BDCRVFJNQXULCT-UHFFFAOYSA-N -1 1 319.369 1.134 20 0 DDADMM COC1CCC(CC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000826144087 608005659 /nfs/dbraw/zinc/00/56/59/608005659.db2.gz BDCRVFJNQXULCT-UHFFFAOYSA-N -1 1 319.369 1.134 20 0 DDADMM COC(=O)[C@@H](C)Sc1snc(Cl)c1-c1nnn[n-]1 ZINC000826103870 608173870 /nfs/dbraw/zinc/17/38/70/608173870.db2.gz MTBAZVDUTVBMOV-GSVOUGTGSA-N -1 1 305.772 1.630 20 0 DDADMM COC(=O)[C@@H](C)Sc1snc(Cl)c1-c1nn[n-]n1 ZINC000826103870 608173872 /nfs/dbraw/zinc/17/38/72/608173872.db2.gz MTBAZVDUTVBMOV-GSVOUGTGSA-N -1 1 305.772 1.630 20 0 DDADMM Cc1ccc(-c2nnc(Cn3ccnc3-c3nnn[n-]3)o2)cc1 ZINC000826273394 608149025 /nfs/dbraw/zinc/14/90/25/608149025.db2.gz BSSSBXOBCAEEFN-UHFFFAOYSA-N -1 1 308.305 1.470 20 0 DDADMM Cc1ccc(-c2nnc(Cn3ccnc3-c3nn[n-]n3)o2)cc1 ZINC000826273394 608149026 /nfs/dbraw/zinc/14/90/26/608149026.db2.gz BSSSBXOBCAEEFN-UHFFFAOYSA-N -1 1 308.305 1.470 20 0 DDADMM C[C@@H](Nc1snc(Cl)c1-c1nnn[n-]1)[C@H]1CCCO1 ZINC000824669668 608173281 /nfs/dbraw/zinc/17/32/81/608173281.db2.gz FHONWQGNISQIKE-PHDIDXHHSA-N -1 1 300.775 1.956 20 0 DDADMM C[C@@H](Nc1snc(Cl)c1-c1nn[n-]n1)[C@H]1CCCO1 ZINC000824669668 608173283 /nfs/dbraw/zinc/17/32/83/608173283.db2.gz FHONWQGNISQIKE-PHDIDXHHSA-N -1 1 300.775 1.956 20 0 DDADMM COC(=O)[C@H](C)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000826103275 608182249 /nfs/dbraw/zinc/18/22/49/608182249.db2.gz FJAWMGXMBCCFQO-SCSAIBSYSA-N -1 1 302.747 1.198 20 0 DDADMM COC(=O)[C@H](C)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000826103275 608182250 /nfs/dbraw/zinc/18/22/50/608182250.db2.gz FJAWMGXMBCCFQO-SCSAIBSYSA-N -1 1 302.747 1.198 20 0 DDADMM O=C([N-]c1ccncc1F)c1c(F)cc(-c2nn[nH]n2)cc1F ZINC000826458971 608203250 /nfs/dbraw/zinc/20/32/50/608203250.db2.gz OVDPTADMXLZZFT-UHFFFAOYSA-N -1 1 320.234 1.353 20 0 DDADMM CC[C@@H]1CN(c2cccc(-c3nnn[n-]3)n2)CCN1CC(F)F ZINC000825211283 608235267 /nfs/dbraw/zinc/23/52/67/608235267.db2.gz LSSXNPJTFCWLFY-SNVBAGLBSA-N -1 1 323.351 1.427 20 0 DDADMM CC[C@@H]1CN(c2cccc(-c3nn[n-]n3)n2)CCN1CC(F)F ZINC000825211283 608235270 /nfs/dbraw/zinc/23/52/70/608235270.db2.gz LSSXNPJTFCWLFY-SNVBAGLBSA-N -1 1 323.351 1.427 20 0 DDADMM CN(c1ccc(-c2nnn[n-]2)nn1)[C@@H](CCO)c1ccccc1 ZINC000826004078 608428013 /nfs/dbraw/zinc/42/80/13/608428013.db2.gz HUSPRVYSPUNZBA-ZDUSSCGKSA-N -1 1 311.349 1.217 20 0 DDADMM CN(c1ccc(-c2nn[n-]n2)nn1)[C@@H](CCO)c1ccccc1 ZINC000826004078 608428015 /nfs/dbraw/zinc/42/80/15/608428015.db2.gz HUSPRVYSPUNZBA-ZDUSSCGKSA-N -1 1 311.349 1.217 20 0 DDADMM C[C@@H](C[C@@H](O)c1ccco1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824391105 608430744 /nfs/dbraw/zinc/43/07/44/608430744.db2.gz HGUFIRMJPVZYKE-WCBMZHEXSA-N -1 1 301.310 1.174 20 0 DDADMM C[C@@H](C[C@@H](O)c1ccco1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824391105 608430746 /nfs/dbraw/zinc/43/07/46/608430746.db2.gz HGUFIRMJPVZYKE-WCBMZHEXSA-N -1 1 301.310 1.174 20 0 DDADMM C[C@@H]([C@@H]1Cc2ccccc2O1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC000824385662 608433759 /nfs/dbraw/zinc/43/37/59/608433759.db2.gz YRIWKJMJURZURU-HZMBPMFUSA-N -1 1 323.360 1.485 20 0 DDADMM C[C@@H]([C@@H]1Cc2ccccc2O1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC000824385662 608433761 /nfs/dbraw/zinc/43/37/61/608433761.db2.gz YRIWKJMJURZURU-HZMBPMFUSA-N -1 1 323.360 1.485 20 0 DDADMM COCCN(Cc1ccc(-c2nnn[n-]2)o1)CC(F)(F)F ZINC000826158979 608653598 /nfs/dbraw/zinc/65/35/98/608653598.db2.gz LDHPXIPPDMAFIK-UHFFFAOYSA-N -1 1 305.260 1.470 20 0 DDADMM COCCN(Cc1ccc(-c2nn[n-]n2)o1)CC(F)(F)F ZINC000826158979 608653599 /nfs/dbraw/zinc/65/35/99/608653599.db2.gz LDHPXIPPDMAFIK-UHFFFAOYSA-N -1 1 305.260 1.470 20 0 DDADMM CC(Cl)(Cl)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824464790 609246556 /nfs/dbraw/zinc/24/65/56/609246556.db2.gz KCKXECUAKPYTKJ-UHFFFAOYSA-N -1 1 302.121 1.499 20 0 DDADMM CC(Cl)(Cl)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824464790 609246558 /nfs/dbraw/zinc/24/65/58/609246558.db2.gz KCKXECUAKPYTKJ-UHFFFAOYSA-N -1 1 302.121 1.499 20 0 DDADMM CCN(Cc1cn(C)nn1)Cc1ccc(-c2nn[n-]n2)s1 ZINC000825439704 609410177 /nfs/dbraw/zinc/41/01/77/609410177.db2.gz LIWKOAZWEQCOKB-UHFFFAOYSA-N -1 1 304.383 1.079 20 0 DDADMM Cc1ccc(N2CCN(c3nccnc3-c3nnn[n-]3)CC2)cc1 ZINC000826290304 609594908 /nfs/dbraw/zinc/59/49/08/609594908.db2.gz ITDSFPITYOXELX-UHFFFAOYSA-N -1 1 322.376 1.292 20 0 DDADMM Cc1ccc(N2CCN(c3nccnc3-c3nn[n-]n3)CC2)cc1 ZINC000826290304 609594910 /nfs/dbraw/zinc/59/49/10/609594910.db2.gz ITDSFPITYOXELX-UHFFFAOYSA-N -1 1 322.376 1.292 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971898093 695119331 /nfs/dbraw/zinc/11/93/31/695119331.db2.gz RSHKSPAEZMDFNZ-ZIAWVFJWSA-N -1 1 315.373 1.426 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@H]1CCC[C@@H]1O ZINC000745333780 699979061 /nfs/dbraw/zinc/97/90/61/699979061.db2.gz ZMORZMNWGNSSNO-STQMWFEESA-N -1 1 304.298 1.703 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)c1ccc(CO)o1 ZINC000745380814 699980461 /nfs/dbraw/zinc/98/04/61/699980461.db2.gz AAVNJLQLJSQLRA-UHFFFAOYSA-N -1 1 316.265 1.941 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@]2(C1)CN(CCCF)CCO2 ZINC000972355965 695226659 /nfs/dbraw/zinc/22/66/59/695226659.db2.gz RTXXRVCNHZGYJF-INIZCTEOSA-N -1 1 323.368 1.064 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCO[C@@]3(CCOC3)C2)[n-]1 ZINC000797118087 699994968 /nfs/dbraw/zinc/99/49/68/699994968.db2.gz KFMGHWYICGUPIJ-BMIGLBTASA-N -1 1 309.318 1.296 20 0 DDADMM O=C(CCC1CCCCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798146030 700044315 /nfs/dbraw/zinc/04/43/15/700044315.db2.gz VDHICFJBDVVDOV-UHFFFAOYSA-N -1 1 307.398 1.370 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976403828 695971794 /nfs/dbraw/zinc/97/17/94/695971794.db2.gz PAWBIZZDVXMFTR-XZUYRWCXSA-N -1 1 315.373 1.020 20 0 DDADMM CCCOc1ccccc1NC=C1C(=O)[N-]C(=S)NC1=O ZINC000060864950 696314462 /nfs/dbraw/zinc/31/44/62/696314462.db2.gz HQMUFXLOIFYOCY-UHFFFAOYSA-N -1 1 305.359 1.302 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)C[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000978147197 696331580 /nfs/dbraw/zinc/33/15/80/696331580.db2.gz KYRMTIZIYPKXSA-GRYCIOLGSA-N -1 1 303.362 1.116 20 0 DDADMM CCC(Nc1ccc(F)cc1C)=C1C(=O)[N-]C(=S)NC1=O ZINC000073139073 696401140 /nfs/dbraw/zinc/40/11/40/696401140.db2.gz YPAQAIIGEDKMGG-UHFFFAOYSA-N -1 1 307.350 1.741 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)[C@H](O)c1ccccc1 ZINC000073997854 696409771 /nfs/dbraw/zinc/40/97/71/696409771.db2.gz XYPZBZUREAJLLH-GFCCVEGCSA-N -1 1 306.391 1.353 20 0 DDADMM O=C(CC1CCC1)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978746070 696451222 /nfs/dbraw/zinc/45/12/22/696451222.db2.gz XNYGVNDFKGAMCL-BETUJISGSA-N -1 1 317.389 1.602 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2cccc3cccnc32)s1 ZINC000079802666 696463870 /nfs/dbraw/zinc/46/38/70/696463870.db2.gz SEOHRWBBUDKJFB-LLVKDONJSA-N -1 1 314.370 1.198 20 0 DDADMM Cc1ccccc1C(C)(C)CNC(=O)Cc1sc(N)nc1[O-] ZINC000079892545 696464465 /nfs/dbraw/zinc/46/44/65/696464465.db2.gz XICHTKOAWYGBRR-GFCCVEGCSA-N -1 1 319.430 1.736 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2ccc(F)c(Cl)c2)s1 ZINC000079907257 696465022 /nfs/dbraw/zinc/46/50/22/696465022.db2.gz AZDQZWHGVUDLGJ-VIFPVBQESA-N -1 1 315.757 1.442 20 0 DDADMM Cc1cc(C)cc(CCNC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080453734 696534940 /nfs/dbraw/zinc/53/49/40/696534940.db2.gz VSTGVZXQTBBGNH-GFCCVEGCSA-N -1 1 305.403 1.309 20 0 DDADMM CC[C@H](Cc1ccccc1)N(C)C(=O)Cc1sc(N)nc1[O-] ZINC000080494507 696535046 /nfs/dbraw/zinc/53/50/46/696535046.db2.gz NTVFUIBJAMZTCJ-OLZOCXBDSA-N -1 1 319.430 1.813 20 0 DDADMM C[C@@H](CC(=O)[N-]OCC(N)=O)c1ccc(C(F)(F)F)cc1 ZINC000089463735 696577095 /nfs/dbraw/zinc/57/70/95/696577095.db2.gz IVZUFEJWKYPFIE-QMMMGPOBSA-N -1 1 304.268 1.732 20 0 DDADMM O=C([N-]CCCS(=O)(=O)C1CCCCC1)C(F)(F)F ZINC000091577499 696589476 /nfs/dbraw/zinc/58/94/76/696589476.db2.gz YUOPUDDAXNYJTM-UHFFFAOYSA-N -1 1 301.330 1.803 20 0 DDADMM Cc1ccc(C(=O)OCCc2c(C)nc3[n-]cnn3c2=O)o1 ZINC000119091040 696677406 /nfs/dbraw/zinc/67/74/06/696677406.db2.gz HPLLVRLSEVTJQN-UHFFFAOYSA-N -1 1 302.290 1.027 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2cccc3cccnc32)s1 ZINC000120804794 696701557 /nfs/dbraw/zinc/70/15/57/696701557.db2.gz QLVNQHJRUMMTPY-JTQLQIEISA-N -1 1 300.343 1.520 20 0 DDADMM CC1(C)C[C@H](NC(=O)Cc2sc(N)nc2[O-])c2ccccc21 ZINC000124077658 696738711 /nfs/dbraw/zinc/73/87/11/696738711.db2.gz VCMKEDJXIBJIEW-NWDGAFQWSA-N -1 1 317.414 1.872 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCc3ccc(F)cc3C2)s1 ZINC000127349545 696770553 /nfs/dbraw/zinc/77/05/53/696770553.db2.gz ZMWNWGBCLWQDBZ-LLVKDONJSA-N -1 1 307.350 1.057 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCc3cccc(F)c3C2)s1 ZINC000132554927 696816101 /nfs/dbraw/zinc/81/61/01/696816101.db2.gz VHCSAVOVYCHIFK-LLVKDONJSA-N -1 1 307.350 1.057 20 0 DDADMM Cc1cccc([C@@H](O)CNC(=O)c2nc3ccccc3c(=O)[n-]2)c1 ZINC000134352431 696836429 /nfs/dbraw/zinc/83/64/29/696836429.db2.gz UMGHOIPJCSHTQN-HNNXBMFYSA-N -1 1 323.352 1.695 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc(C(F)(F)F)c([O-])c1)[C@@H](C)O ZINC000142414077 696868488 /nfs/dbraw/zinc/86/84/88/696868488.db2.gz FKXIRTOCKWYUIS-LDWIPMOCSA-N -1 1 321.251 1.063 20 0 DDADMM Cc1n[nH]cc1C(=O)N[N-]C(=O)c1cc(Cl)ncc1Cl ZINC000153082244 696909518 /nfs/dbraw/zinc/90/95/18/696909518.db2.gz TZLOVSJGXMXYDP-UHFFFAOYSA-N -1 1 314.132 1.495 20 0 DDADMM N#CCC[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000153693280 696920164 /nfs/dbraw/zinc/92/01/64/696920164.db2.gz PGDNAGVQVNVMAW-VXGBXAGGSA-N -1 1 316.386 1.494 20 0 DDADMM C[C@H]1CC(C)(C)CC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000748723496 700131874 /nfs/dbraw/zinc/13/18/74/700131874.db2.gz QGHUAFGENNSXQF-RYUDHWBXSA-N -1 1 307.394 1.258 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(C)c(F)c2)C1 ZINC000748771129 700134045 /nfs/dbraw/zinc/13/40/45/700134045.db2.gz JLNCQVDLQVFABQ-LLVKDONJSA-N -1 1 310.325 1.181 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccc[nH]1 ZINC000982672946 697167472 /nfs/dbraw/zinc/16/74/72/697167472.db2.gz ZRVJAXPUJKWLHV-NSHDSACASA-N -1 1 314.345 1.007 20 0 DDADMM C[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CC2CCCC2)CC1 ZINC000985227614 697471021 /nfs/dbraw/zinc/47/10/21/697471021.db2.gz CEFURUBLUINSDB-UHFFFAOYSA-N -1 1 321.425 1.513 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CC2CCCC2)CC1 ZINC000985227614 697471022 /nfs/dbraw/zinc/47/10/22/697471022.db2.gz CEFURUBLUINSDB-UHFFFAOYSA-N -1 1 321.425 1.513 20 0 DDADMM CC(C)(C)OCC(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000183493083 697489035 /nfs/dbraw/zinc/48/90/35/697489035.db2.gz FEVKIJGICBVBLC-UHFFFAOYSA-N -1 1 300.742 1.622 20 0 DDADMM O=C(CNC(=O)c1cccs1)Nc1nc(Cl)ccc1[O-] ZINC000189851630 697581394 /nfs/dbraw/zinc/58/13/94/697581394.db2.gz HDWNIQNLGYPUMJ-UHFFFAOYSA-N -1 1 311.750 1.871 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2cc(C)sn2)co1 ZINC000193557587 697672506 /nfs/dbraw/zinc/67/25/06/697672506.db2.gz DGDROHKMPLGVNJ-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@@H](NCc3nccs3)[C@@H]2C)c1[O-] ZINC000986162220 697712417 /nfs/dbraw/zinc/71/24/17/697712417.db2.gz SQDYQFMNKUNAIB-VHSXEESVSA-N -1 1 321.406 1.273 20 0 DDADMM CCN(C)[C@H](C(=O)NCCc1n[n-]c(=S)o1)c1ccccc1 ZINC000773104901 697713791 /nfs/dbraw/zinc/71/37/91/697713791.db2.gz ICQXCIMVTYBPJA-ZDUSSCGKSA-N -1 1 320.418 1.710 20 0 DDADMM O=C(CC[C@H]1CCCCO1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773157514 697720360 /nfs/dbraw/zinc/72/03/60/697720360.db2.gz CRLIIDJHBVTIHG-MNOVXSKESA-N -1 1 311.411 1.166 20 0 DDADMM CC(C)(C)SCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773158453 697720595 /nfs/dbraw/zinc/72/05/95/697720595.db2.gz PFEVXCIBZZNOTC-MRVPVSSYSA-N -1 1 301.441 1.348 20 0 DDADMM O=C(Cc1ccc(Cl)cc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773159100 697721127 /nfs/dbraw/zinc/72/11/27/697721127.db2.gz QICLBGIJPRZQNT-LLVKDONJSA-N -1 1 323.809 1.712 20 0 DDADMM O=C(Cc1ccc(F)cc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773159730 697721547 /nfs/dbraw/zinc/72/15/47/697721547.db2.gz MBMUQMZPTNYJIR-LLVKDONJSA-N -1 1 307.354 1.198 20 0 DDADMM C[C@@H](CN(C)C(=O)C1=NO[C@H](c2ccccc2)C1)c1nn[n-]n1 ZINC000774000843 697832703 /nfs/dbraw/zinc/83/27/03/697832703.db2.gz PMAKDGIDXAWEHM-GWCFXTLKSA-N -1 1 314.349 1.279 20 0 DDADMM NC(=O)c1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)cc1 ZINC000774902477 697939984 /nfs/dbraw/zinc/93/99/84/697939984.db2.gz PPMKDACPKUGODT-UHFFFAOYSA-N -1 1 318.251 1.011 20 0 DDADMM COc1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c(N)c1 ZINC000774918456 697942266 /nfs/dbraw/zinc/94/22/66/697942266.db2.gz MFMPWNKMWBSNFZ-UHFFFAOYSA-N -1 1 320.267 1.503 20 0 DDADMM CC(C)c1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)n(C)n1 ZINC000774960336 697947861 /nfs/dbraw/zinc/94/78/61/697947861.db2.gz CMFLQRAIAOUEBC-UHFFFAOYSA-N -1 1 321.299 1.769 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ccc[nH]2)CCN1C(=O)c1ncccc1[O-] ZINC000987325645 698084134 /nfs/dbraw/zinc/08/41/34/698084134.db2.gz FJVCCOZWTAHWRG-MNOVXSKESA-N -1 1 314.345 1.148 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)[N-]OC3CCOCC3)c[nH]c12 ZINC000776263037 698089198 /nfs/dbraw/zinc/08/91/98/698089198.db2.gz DCUYVBPJCXJBEH-UHFFFAOYSA-N -1 1 302.330 1.677 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1CC12CCCC2 ZINC000777360197 698182210 /nfs/dbraw/zinc/18/22/10/698182210.db2.gz WVECHEFVPXGALK-LBPRGKRZSA-N -1 1 316.361 1.392 20 0 DDADMM Cc1ccccc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000987891960 698259416 /nfs/dbraw/zinc/25/94/16/698259416.db2.gz BOBFASBZFVPQAT-VXGBXAGGSA-N -1 1 315.377 1.212 20 0 DDADMM Cc1cnc(C(=O)N[C@H](CO)C[C@H](O)c2ccccc2)c([O-])c1 ZINC000779024627 698391323 /nfs/dbraw/zinc/39/13/23/698391323.db2.gz NLUHNVXOJAMJLL-KBPBESRZSA-N -1 1 316.357 1.310 20 0 DDADMM Cc1ccc(-c2cc(C(=O)[N-]N3CCCNC3=O)n[nH]2)c(C)c1 ZINC000779054772 698392917 /nfs/dbraw/zinc/39/29/17/698392917.db2.gz BOELFCUBRIPBTE-UHFFFAOYSA-N -1 1 313.361 1.754 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1cc(-c2ccccc2Cl)[nH]n1 ZINC000779372390 698418462 /nfs/dbraw/zinc/41/84/62/698418462.db2.gz POHDDRBBYCFLMG-UHFFFAOYSA-N -1 1 319.752 1.790 20 0 DDADMM O=C([O-])C[C@]1(NC(=O)c2cc(F)cc3nc[nH]c32)CCOC1 ZINC000262974354 698486299 /nfs/dbraw/zinc/48/62/99/698486299.db2.gz MWBWYGIZMRAAPP-CQSZACIVSA-N -1 1 307.281 1.066 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)CCO1 ZINC000780144813 698492563 /nfs/dbraw/zinc/49/25/63/698492563.db2.gz OFYRANLRHKBKTA-NXEZZACHSA-N -1 1 311.300 1.803 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000263335394 698500433 /nfs/dbraw/zinc/50/04/33/698500433.db2.gz MJCULOWQKGGLCG-ZWNOBZJWSA-N -1 1 314.345 1.655 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CCC[C@H]1C(=O)[O-])c1cccs1 ZINC000780341204 698508235 /nfs/dbraw/zinc/50/82/35/698508235.db2.gz JZIHNRUXMXFXHU-WDEREUQCSA-N -1 1 311.407 1.609 20 0 DDADMM CC(C)[C@@H](NC(=O)NC[C@H](c1cccs1)N(C)C)C(=O)[O-] ZINC000780342136 698508714 /nfs/dbraw/zinc/50/87/14/698508714.db2.gz VXPUEFSQZVQNRO-ZYHUDNBSSA-N -1 1 313.423 1.759 20 0 DDADMM Cc1cnc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c(C)c1 ZINC000781015825 698583386 /nfs/dbraw/zinc/58/33/86/698583386.db2.gz QOQMNBNBIJJJRH-UHFFFAOYSA-N -1 1 321.358 1.304 20 0 DDADMM CC[C@H](NC(=O)NC[C@@H](c1cccc(OC)c1)N(C)C)C(=O)[O-] ZINC000320317432 698718891 /nfs/dbraw/zinc/71/88/91/698718891.db2.gz DTJPOBGLVKAYIO-KBPBESRZSA-N -1 1 323.393 1.460 20 0 DDADMM COC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1cccc(F)c1 ZINC000750944968 700259737 /nfs/dbraw/zinc/25/97/37/700259737.db2.gz YDJXWQYMELFFCR-UHFFFAOYSA-N -1 1 314.294 1.412 20 0 DDADMM Cc1onc(-c2ccc(F)cc2)c1C(=O)[N-]N1CCCNC1=O ZINC000783599369 698856300 /nfs/dbraw/zinc/85/63/00/698856300.db2.gz CHSLBSAAHKWBCD-UHFFFAOYSA-N -1 1 318.308 1.849 20 0 DDADMM COC1(c2noc(-c3cc4c(cc3[O-])OCO4)n2)CCOCC1 ZINC000351009756 698875884 /nfs/dbraw/zinc/87/58/84/698875884.db2.gz BJWNFZXIWFORGA-UHFFFAOYSA-N -1 1 320.301 1.823 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@]23CCC[C@H]2N(C/C=C\Cl)CC3)c1[O-] ZINC000990194229 698990368 /nfs/dbraw/zinc/99/03/68/698990368.db2.gz QWPHPADTUMJROO-YKWSDOKYSA-N -1 1 324.812 1.903 20 0 DDADMM CC1(C)CN(C(=O)COCC(=O)[O-])CCN1Cc1ccccc1 ZINC000382577078 699067818 /nfs/dbraw/zinc/06/78/18/699067818.db2.gz ATHZXLWRBNCORP-UHFFFAOYSA-N -1 1 320.389 1.211 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H](CO)C2CCCCC2)c1Cl ZINC000386432422 699077764 /nfs/dbraw/zinc/07/77/64/699077764.db2.gz ZRSIUAOLDIKFBJ-JTQLQIEISA-N -1 1 321.830 1.293 20 0 DDADMM CCC[C@@H](NC(=O)c1ccnc2[nH]c(C)nc21)c1nn[n-]n1 ZINC000436163433 699162960 /nfs/dbraw/zinc/16/29/60/699162960.db2.gz HLBCRNBJINZGHH-SECBINFHSA-N -1 1 300.326 1.051 20 0 DDADMM C/C(=C\C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000990967745 699195283 /nfs/dbraw/zinc/19/52/83/699195283.db2.gz BNZXMEHXJHBYBO-JXMROGBWSA-N -1 1 301.346 1.084 20 0 DDADMM CC1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CCCCC1 ZINC000990972841 699196339 /nfs/dbraw/zinc/19/63/39/699196339.db2.gz UBRAVIZHHDRTFL-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM O=C([O-])[C@@H]1CCCCN1CCS(=O)(=O)C1CCCCC1 ZINC000700000900 699220622 /nfs/dbraw/zinc/22/06/22/699220622.db2.gz YHJSSDZIOGEGSP-ZDUSSCGKSA-N -1 1 303.424 1.673 20 0 DDADMM CC(C)Oc1ccc(NC(=S)NN2CC(=O)[N-]C2=O)cc1 ZINC000726447910 699363819 /nfs/dbraw/zinc/36/38/19/699363819.db2.gz JRJQOYUBZBRYCL-UHFFFAOYSA-N -1 1 308.363 1.227 20 0 DDADMM CCN(Cc1ccccc1C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727849603 699428202 /nfs/dbraw/zinc/42/82/02/699428202.db2.gz IPYRXHZZZKLLTG-UHFFFAOYSA-N -1 1 315.373 1.284 20 0 DDADMM CC[C@H](C)[C@H](C)N(C(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC000733152685 699581003 /nfs/dbraw/zinc/58/10/03/699581003.db2.gz ZXWXMEYCYGNBSM-RYUDHWBXSA-N -1 1 307.394 1.352 20 0 DDADMM C[C@@H](CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccc(F)cc1 ZINC000735978164 699715353 /nfs/dbraw/zinc/71/53/53/699715353.db2.gz ZBFBBICPYIJFGR-GXFFZTMASA-N -1 1 319.340 1.433 20 0 DDADMM C[C@@H]1C[C@H](CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)CC(C)(C)C1 ZINC000736226982 699721044 /nfs/dbraw/zinc/72/10/44/699721044.db2.gz KEQKEOZOEWCZMT-JHJVBQTASA-N -1 1 321.425 1.952 20 0 DDADMM COCCN1CCN(Cc2ccc(F)cc2C(=O)[O-])C[C@@H]1C ZINC000737810189 699745324 /nfs/dbraw/zinc/74/53/24/699745324.db2.gz HVRSNYFAJBCPNW-LBPRGKRZSA-N -1 1 310.369 1.676 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCc2ccccc2F)C1 ZINC000738465706 699760045 /nfs/dbraw/zinc/76/00/45/699760045.db2.gz KAVADMGUWMRMJE-CYBMUJFWSA-N -1 1 308.353 1.281 20 0 DDADMM CCN(CCNC(=O)[C@H](Cc1ccccc1)N(C)C)C(=O)[O-] ZINC000739424729 699774406 /nfs/dbraw/zinc/77/44/06/699774406.db2.gz SYGSYMAWFUUDKI-AWEZNQCLSA-N -1 1 307.394 1.275 20 0 DDADMM CC(C)c1nn(C)cc1[N-]S(=O)(=O)C[C@@H]1CCCOC1 ZINC000795362021 699861440 /nfs/dbraw/zinc/86/14/40/699861440.db2.gz SBRLMZCCCUHQTO-LLVKDONJSA-N -1 1 301.412 1.712 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C1CC1)c1cc(F)ccc1F ZINC000742289766 699872129 /nfs/dbraw/zinc/87/21/29/699872129.db2.gz MLNCJEYAZXEIPB-LLVKDONJSA-N -1 1 305.302 1.261 20 0 DDADMM COC(=O)c1coc(COC(=O)c2ccc(C(=O)OC)[n-]2)c1 ZINC000796346730 699928285 /nfs/dbraw/zinc/92/82/85/699928285.db2.gz QWZMXOAUGJBISN-UHFFFAOYSA-N -1 1 307.258 1.538 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)NCC(F)(F)F)[n-]1 ZINC000796347620 699928457 /nfs/dbraw/zinc/92/84/57/699928457.db2.gz ZHSJSIBTFWRIDH-LURJTMIESA-N -1 1 322.239 1.025 20 0 DDADMM CCN1C[C@@H](C(=O)OCCc2cc(C(C)=O)ccc2[O-])CC1=O ZINC000744695737 699958395 /nfs/dbraw/zinc/95/83/95/699958395.db2.gz PKIVNFXOXCIUCJ-AWEZNQCLSA-N -1 1 319.357 1.549 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCc3ncnn3C)n2)cc1 ZINC000801367652 700301400 /nfs/dbraw/zinc/30/14/00/700301400.db2.gz VUTNBYYKRAIPHV-UHFFFAOYSA-N -1 1 313.317 1.372 20 0 DDADMM Cc1cccnc1C(F)(F)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801703648 700332886 /nfs/dbraw/zinc/33/28/86/700332886.db2.gz PGMUXHBBBDNMHB-UHFFFAOYSA-N -1 1 317.299 1.776 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)CO[C@H]1CCOC1 ZINC000753784333 700456935 /nfs/dbraw/zinc/45/69/35/700456935.db2.gz DJJLLAWDXDXDAH-JQWIXIFHSA-N -1 1 323.393 1.538 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@H]2COc2ccc(Cl)cc2)nc1=O ZINC000753995216 700474986 /nfs/dbraw/zinc/47/49/86/700474986.db2.gz PGUQVUCRFHGNDA-GFCCVEGCSA-N -1 1 322.796 1.805 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)C[C@@H]1CCC[C@H]1O ZINC000755230982 700557077 /nfs/dbraw/zinc/55/70/77/700557077.db2.gz GVGTYYDKQDMAGG-NWDGAFQWSA-N -1 1 307.394 1.847 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)c2ccncc2)o1 ZINC000757692245 700668638 /nfs/dbraw/zinc/66/86/38/700668638.db2.gz YVUNUFGVAOZOMD-VIFPVBQESA-N -1 1 310.331 1.501 20 0 DDADMM O=C(CSc1ccncc1)OCCC[N-]C(=O)C(F)(F)F ZINC000759597474 700761812 /nfs/dbraw/zinc/76/18/12/700761812.db2.gz XPTSNWUOFFJRNE-UHFFFAOYSA-N -1 1 322.308 1.786 20 0 DDADMM Cc1nc(-c2cccnc2)[nH]c(=O)c1CC(=O)[N-]OCC(F)F ZINC000760158986 700794202 /nfs/dbraw/zinc/79/42/02/700794202.db2.gz FWRVNJACBAZSTR-UHFFFAOYSA-N -1 1 324.287 1.408 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N(C)C[C@H](C)C(=O)[O-] ZINC000763081666 700924755 /nfs/dbraw/zinc/92/47/55/700924755.db2.gz KTCDAGWMRGDPQF-AAEUAGOBSA-N -1 1 308.378 1.654 20 0 DDADMM O=C(N[C@@H](CCO)C1CCOCC1)c1c([O-])cccc1Cl ZINC000764399933 700969330 /nfs/dbraw/zinc/96/93/30/700969330.db2.gz BGNZLLVYRMTFCP-LBPRGKRZSA-N -1 1 313.781 1.953 20 0 DDADMM CC[C@@H](C)c1ccccc1OCC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765410736 701010535 /nfs/dbraw/zinc/01/05/35/701010535.db2.gz TVGIFPWBZCOWKV-LLVKDONJSA-N -1 1 319.361 1.744 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2c[nH]c3cc(F)ccc23)nc1=O ZINC000765460159 701013635 /nfs/dbraw/zinc/01/36/35/701013635.db2.gz HIMLCQKVZXRQGJ-UHFFFAOYSA-N -1 1 304.281 1.015 20 0 DDADMM COc1ccccc1CC(C)(C)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765473341 701014598 /nfs/dbraw/zinc/01/45/98/701014598.db2.gz DZSCMRUCGITUMB-UHFFFAOYSA-N -1 1 319.361 1.429 20 0 DDADMM Cc1ccc(N2CCCC2)c(C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765477549 701014818 /nfs/dbraw/zinc/01/48/18/701014818.db2.gz CMEPMNPICPZKGG-UHFFFAOYSA-N -1 1 316.361 1.374 20 0 DDADMM Cn1[n-]c(COC(=O)c2cncc(-c3ccccc3)c2)nc1=O ZINC000765496960 701016232 /nfs/dbraw/zinc/01/62/32/701016232.db2.gz PRYSHAAVPVPFGV-UHFFFAOYSA-N -1 1 310.313 1.527 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)C[C@@H]2COC(=O)C2)c1 ZINC000767617285 701123504 /nfs/dbraw/zinc/12/35/04/701123504.db2.gz YOJDTEVGIZSUHP-NSHDSACASA-N -1 1 306.314 1.634 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1nc(C2CCC2)cs1 ZINC000769185773 701235629 /nfs/dbraw/zinc/23/56/29/701235629.db2.gz SUOGNIJRHIHXGL-UHFFFAOYSA-N -1 1 320.374 1.289 20 0 DDADMM CCc1[nH]ncc1C(=O)Nc1cc(S(=O)(=O)CC)ccc1[O-] ZINC000770804506 701295619 /nfs/dbraw/zinc/29/56/19/701295619.db2.gz KGCIPKQJEYQYBO-UHFFFAOYSA-N -1 1 323.374 1.724 20 0 DDADMM CC(=O)[C@@H](Cc1cccc(Cl)c1F)OC(=O)c1cn[n-]n1 ZINC000805603046 701397466 /nfs/dbraw/zinc/39/74/66/701397466.db2.gz FRDBKGCJSRIJPG-LLVKDONJSA-N -1 1 311.700 1.954 20 0 DDADMM CC(C)[C@@H](OC(=O)c1cn[n-]n1)C(=O)N1C[C@H](C)C[C@@H](C)C1 ZINC000805604560 701398003 /nfs/dbraw/zinc/39/80/03/701398003.db2.gz BDCYIIPKXLVHQG-NQBHXWOUSA-N -1 1 308.382 1.491 20 0 DDADMM C[C@H]1CCN(Cc2nncn2C)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000805786700 701409353 /nfs/dbraw/zinc/40/93/53/701409353.db2.gz ZIZCYRNAUSQZAY-QXEWZRGKSA-N -1 1 319.331 1.093 20 0 DDADMM CO[C@@H](C)C(=O)OCCc1c(C)nc(-c2ccccn2)[n-]c1=O ZINC000806016668 701421322 /nfs/dbraw/zinc/42/13/22/701421322.db2.gz ZVLIUFZHQJNRFQ-NSHDSACASA-N -1 1 317.345 1.673 20 0 DDADMM Cc1cccc(CCNC(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC000806492608 701438143 /nfs/dbraw/zinc/43/81/43/701438143.db2.gz JDWBEVWMFFXRPD-UHFFFAOYSA-N -1 1 310.361 1.409 20 0 DDADMM O=C(COCc1cccnc1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000806547219 701441172 /nfs/dbraw/zinc/44/11/72/701441172.db2.gz YDNPZOKGSCWJDM-OAHLLOKOSA-N -1 1 324.344 1.017 20 0 DDADMM Cc1ccc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)nc1C ZINC000830952816 706609420 /nfs/dbraw/zinc/60/94/20/706609420.db2.gz MXJXBCSQWUYVGI-UHFFFAOYSA-N -1 1 314.345 1.197 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@H]1C[C@H]1C1CCCC1 ZINC000830952121 706609513 /nfs/dbraw/zinc/60/95/13/706609513.db2.gz BWNBEFPMGDGDPZ-RYUDHWBXSA-N -1 1 317.389 1.697 20 0 DDADMM Cn1ncc(CCC[N-]S(=O)(=O)c2cccc(F)c2F)n1 ZINC000815053666 701789932 /nfs/dbraw/zinc/78/99/32/701789932.db2.gz WRVRJAWOIRTEDH-UHFFFAOYSA-N -1 1 316.333 1.004 20 0 DDADMM CO[C@@H]1CC[C@@H](C)N(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000866083159 706613349 /nfs/dbraw/zinc/61/33/49/706613349.db2.gz LRDJOABEASONPR-GHMZBOCLSA-N -1 1 301.346 1.563 20 0 DDADMM CO[C@@](C)([C@@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000839828111 701841173 /nfs/dbraw/zinc/84/11/73/701841173.db2.gz DAHKOPRNPPEMFN-PSLIRLAXSA-N -1 1 320.418 1.951 20 0 DDADMM CO[C@@H]1CCCC[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000815382862 701855527 /nfs/dbraw/zinc/85/55/27/701855527.db2.gz LFRQZNQSPPKRQQ-ZIAGYGMSSA-N -1 1 319.357 1.691 20 0 DDADMM CC[C@H]1CCC[C@@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868394397 702106228 /nfs/dbraw/zinc/10/62/28/702106228.db2.gz SUDWFFBZFSNDPZ-JQWIXIFHSA-N -1 1 320.393 1.633 20 0 DDADMM C[C@]1(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)CCOC1 ZINC000840436156 702107392 /nfs/dbraw/zinc/10/73/92/702107392.db2.gz FZIYEMOMMWOUBD-INIZCTEOSA-N -1 1 304.298 1.968 20 0 DDADMM CCN(C(=O)CCc1nn[n-]n1)[C@H](C)[C@@H](O)c1ccccc1 ZINC000868475153 702150286 /nfs/dbraw/zinc/15/02/86/702150286.db2.gz XGJXMHFFBACJKR-IAQYHMDHSA-N -1 1 303.366 1.103 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2CC23CC3)cc1 ZINC000817234261 702311727 /nfs/dbraw/zinc/31/17/27/702311727.db2.gz GEBHUHZXVWXGQM-LBPRGKRZSA-N -1 1 303.314 1.295 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]Cc1ccnc(Cl)c1 ZINC000882409903 707627124 /nfs/dbraw/zinc/62/71/24/707627124.db2.gz OXFMXJGCRAPSAP-UHFFFAOYSA-N -1 1 323.827 1.331 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000841533461 702483449 /nfs/dbraw/zinc/48/34/49/702483449.db2.gz BEHCOMSNUCMEEY-JTQLQIEISA-N -1 1 305.302 1.195 20 0 DDADMM CO[C@@H]1CC[C@H](C)N(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000879416405 706674403 /nfs/dbraw/zinc/67/44/03/706674403.db2.gz SOOUUYCYXNHNLL-WDEREUQCSA-N -1 1 303.366 1.373 20 0 DDADMM C[C@H]1CCCCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869213924 702513803 /nfs/dbraw/zinc/51/38/03/702513803.db2.gz MUYGBXJRYDZRKH-NSHDSACASA-N -1 1 320.393 1.729 20 0 DDADMM COC[C@H](C)[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000866386129 706686902 /nfs/dbraw/zinc/68/69/02/706686902.db2.gz XQYZCLKNNJCLAK-XTZNXHDOSA-N -1 1 306.409 1.013 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1cccs1 ZINC000866410616 706692086 /nfs/dbraw/zinc/69/20/86/706692086.db2.gz OENOXLDFKSLEFM-UHFFFAOYSA-N -1 1 310.466 1.633 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCCN(CC(F)F)C1)c1nn[n-]n1 ZINC000866420007 706694896 /nfs/dbraw/zinc/69/48/96/706694896.db2.gz LSUPMBUGBJSNNU-NXEZZACHSA-N -1 1 316.356 1.134 20 0 DDADMM CCC(=CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)CC ZINC000843015352 702803690 /nfs/dbraw/zinc/80/36/90/702803690.db2.gz HBWAHGQJIVFBFF-UHFFFAOYSA-N -1 1 302.440 1.663 20 0 DDADMM C[C@H]1CCC[C@H]1CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014956 702803931 /nfs/dbraw/zinc/80/39/31/702803931.db2.gz JEYSCCUXRIWONW-STQMWFEESA-N -1 1 316.467 1.743 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CC=CCC2)CCC1 ZINC000843018709 702804370 /nfs/dbraw/zinc/80/43/70/702804370.db2.gz AFFGYLOXUVVEFY-GFCCVEGCSA-N -1 1 300.424 1.273 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CCC2CCCC2)CCC1 ZINC000843019519 702804794 /nfs/dbraw/zinc/80/47/94/702804794.db2.gz TYIZQRPHJZJRNS-UHFFFAOYSA-N -1 1 316.467 1.887 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(C)c(F)c1 ZINC000866438035 706699006 /nfs/dbraw/zinc/69/90/06/706699006.db2.gz TWSXMRPOEOFIBN-SFHVURJKSA-N -1 1 308.400 1.586 20 0 DDADMM Cc1csc(CC[N-]S(=O)(=O)c2ccc(F)nc2F)n1 ZINC000866466590 706705875 /nfs/dbraw/zinc/70/58/75/706705875.db2.gz CMEMEEPKOIJYGC-UHFFFAOYSA-N -1 1 319.358 1.646 20 0 DDADMM CC(=CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1cnccn1 ZINC000847093227 703376591 /nfs/dbraw/zinc/37/65/91/703376591.db2.gz WCWVLUVHXYDLSC-HUYFXPKMSA-N -1 1 315.333 1.375 20 0 DDADMM C[C@H](CN(C)C(=O)c1nc(Cl)sc1Cl)c1nn[n-]n1 ZINC000847726295 703458824 /nfs/dbraw/zinc/45/88/24/703458824.db2.gz XGJJWIXJWTZMNR-SCSAIBSYSA-N -1 1 321.193 1.839 20 0 DDADMM CCOCCC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341759 703541044 /nfs/dbraw/zinc/54/10/44/703541044.db2.gz UZIBNMIGYKLRGB-NXEZZACHSA-N -1 1 310.316 1.471 20 0 DDADMM CC[C@@H](OC)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341719 703541117 /nfs/dbraw/zinc/54/11/17/703541117.db2.gz SAYURPJIXUOKOF-OPRDCNLKSA-N -1 1 310.316 1.469 20 0 DDADMM O=C([N-]CCn1cc(CCCCCCO)nn1)C(F)(F)F ZINC000849141132 703619030 /nfs/dbraw/zinc/61/90/30/703619030.db2.gz IWXGRLYZZCBRFB-UHFFFAOYSA-N -1 1 308.304 1.052 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]CC(=O)OC(C)C)C1CCCC1 ZINC000849373846 703640872 /nfs/dbraw/zinc/64/08/72/703640872.db2.gz JHKZKWUVLSOIIH-LBPRGKRZSA-N -1 1 307.412 1.063 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)N=S(C)(C)=O)[C@@H]1CCCCO1 ZINC000866955090 706855320 /nfs/dbraw/zinc/85/53/20/706855320.db2.gz ONNQALVYDFNYEW-JTQLQIEISA-N -1 1 312.457 1.144 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(CC(=O)NC2CCCC2)C1 ZINC000879763769 706784625 /nfs/dbraw/zinc/78/46/25/706784625.db2.gz QIKIRZSEYKVRIP-CYBMUJFWSA-N -1 1 321.343 1.188 20 0 DDADMM CCCCOC(=O)[C@@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879766337 706786481 /nfs/dbraw/zinc/78/64/81/706786481.db2.gz WYCZRXODNXGYGT-MFKMUULPSA-N -1 1 324.343 1.861 20 0 DDADMM C[C@H]1CCN(CC(=O)Nc2ccc(Cl)nc2)C[C@@H]1C(=O)[O-] ZINC000852731012 704108492 /nfs/dbraw/zinc/10/84/92/704108492.db2.gz XUEHONUNWHWTPO-ONGXEEELSA-N -1 1 311.769 1.716 20 0 DDADMM O=C([O-])[C@@H](C(=O)NCc1n[nH]c(-c2ccccc2)n1)C1CC1 ZINC000852948984 704177454 /nfs/dbraw/zinc/17/74/54/704177454.db2.gz QZAGXIQGUGFZLZ-GFCCVEGCSA-N -1 1 300.318 1.199 20 0 DDADMM O=C([O-])[C@@H](C(=O)NCc1nc(-c2ccccc2)n[nH]1)C1CC1 ZINC000852948984 704177455 /nfs/dbraw/zinc/17/74/55/704177455.db2.gz QZAGXIQGUGFZLZ-GFCCVEGCSA-N -1 1 300.318 1.199 20 0 DDADMM O=C([O-])C1=CC[C@@H](NS(=O)(=O)c2c(F)cc(F)cc2F)C1 ZINC000853070136 704191926 /nfs/dbraw/zinc/19/19/26/704191926.db2.gz VJNODOUSZSLZIP-MRVPVSSYSA-N -1 1 321.276 1.556 20 0 DDADMM CNc1nc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cs1 ZINC000819969971 704194652 /nfs/dbraw/zinc/19/46/52/704194652.db2.gz IYZCGRWEHVJTBE-SSDOTTSWSA-N -1 1 309.351 1.293 20 0 DDADMM CC(C)CCS(=O)(=O)[N-]C(=O)c1cc2[nH]cnc2cc1F ZINC000820048498 704210654 /nfs/dbraw/zinc/21/06/54/704210654.db2.gz XIAKEKKLVIVFHG-UHFFFAOYSA-N -1 1 313.354 1.808 20 0 DDADMM CCC1=C(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCCO1 ZINC000820069423 704215467 /nfs/dbraw/zinc/21/54/67/704215467.db2.gz YEWYXXUEWRUTFM-UHFFFAOYSA-N -1 1 307.350 1.956 20 0 DDADMM CN1CC[C@H]([N-]C(=O)C(F)(F)c2cc(F)cc(F)c2)C1=O ZINC000820171785 704233750 /nfs/dbraw/zinc/23/37/50/704233750.db2.gz DJWRNIIYKOTHRJ-JTQLQIEISA-N -1 1 304.243 1.404 20 0 DDADMM O=C(c1ncccc1[O-])N1Cc2cccnc2N2CCC[C@@H]2C1 ZINC000871419823 704291411 /nfs/dbraw/zinc/29/14/11/704291411.db2.gz NCCZIRHSYCHWAM-CYBMUJFWSA-N -1 1 310.357 1.807 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]Cc1cccc(Cl)c1 ZINC000820748473 704326874 /nfs/dbraw/zinc/32/68/74/704326874.db2.gz LWBZUFKLWFUIMY-UHFFFAOYSA-N -1 1 322.839 1.936 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1ccc2c(c1)C(=O)CCC2 ZINC000821184369 704379173 /nfs/dbraw/zinc/37/91/73/704379173.db2.gz ANTRLAFXXLNBCX-UHFFFAOYSA-N -1 1 316.404 1.590 20 0 DDADMM O=C(C=C1CCSCC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342121 704382101 /nfs/dbraw/zinc/38/21/01/704382101.db2.gz HQYOGTGZPBMHSO-UHFFFAOYSA-N -1 1 309.391 1.935 20 0 DDADMM COc1cccc([S@@](=O)CC(=O)O[C@@H](C)c2n[nH]c(C)n2)c1 ZINC000821352745 704395560 /nfs/dbraw/zinc/39/55/60/704395560.db2.gz NNYSKZBCDCGEJN-AUADJRAKSA-N -1 1 323.374 1.534 20 0 DDADMM CCON(C)C(=O)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000855025055 704466623 /nfs/dbraw/zinc/46/66/23/704466623.db2.gz ZQMUAOYXDOJJST-UHFFFAOYSA-N -1 1 303.318 1.080 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H](C)C1 ZINC000855393270 704482009 /nfs/dbraw/zinc/48/20/09/704482009.db2.gz QVTJIIUTOOKXGR-ITGUQSILSA-N -1 1 307.394 1.115 20 0 DDADMM COc1cccc([C@@H](C)C(=O)[N-]OCCN2CCCC2=O)c1 ZINC000856039155 704510959 /nfs/dbraw/zinc/51/09/59/704510959.db2.gz RLQMXKPIDQKQOR-GFCCVEGCSA-N -1 1 306.362 1.469 20 0 DDADMM O=C([N-]OCC1CCC1)[C@@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000856345701 704523785 /nfs/dbraw/zinc/52/37/85/704523785.db2.gz NWRAIFOBSQRHRR-CYBMUJFWSA-N -1 1 303.362 1.278 20 0 DDADMM CC[C@](C)(CO)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856415783 704528032 /nfs/dbraw/zinc/52/80/32/704528032.db2.gz QCGBTMZEGQTEFV-GBIKHYSHSA-N -1 1 324.343 1.311 20 0 DDADMM CC(C)n1cc(S(=O)(=O)[N-]c2ccnc(F)c2F)cn1 ZINC000857251985 704570410 /nfs/dbraw/zinc/57/04/10/704570410.db2.gz IXTUFQXBRKDNCD-UHFFFAOYSA-N -1 1 302.306 1.938 20 0 DDADMM CCCCCCOCC(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000857621668 704608433 /nfs/dbraw/zinc/60/84/33/704608433.db2.gz JSRPKAOFTSYAOO-UHFFFAOYSA-N -1 1 307.354 1.261 20 0 DDADMM Nc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)ccc1F ZINC000832054336 706833603 /nfs/dbraw/zinc/83/36/03/706833603.db2.gz MHBJLTZVMSMLQC-VIFPVBQESA-N -1 1 306.297 1.516 20 0 DDADMM CCO[C@@H]1C[C@](O)(CNc2cc(Cl)[n-]c(=O)n2)C1(C)C ZINC000858512823 704720770 /nfs/dbraw/zinc/72/07/70/704720770.db2.gz DLYNCNKZJVLONH-OQPBUACISA-N -1 1 301.774 1.814 20 0 DDADMM Cc1nc2c(s1)[C@@H](NC(=O)CCCc1nn[n-]n1)CCC2 ZINC000821793302 704809768 /nfs/dbraw/zinc/80/97/68/704809768.db2.gz WTZMFZPAZNGMSB-JTQLQIEISA-N -1 1 306.395 1.481 20 0 DDADMM CO[C@H]1C[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C12CCC2 ZINC000867385945 706983737 /nfs/dbraw/zinc/98/37/37/706983737.db2.gz DJZHTTCNBPZBAF-MNOVXSKESA-N -1 1 322.452 1.040 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2nc[nH]c2Br)CC=CCC1 ZINC000867389661 706984559 /nfs/dbraw/zinc/98/45/59/706984559.db2.gz WTXKXTJPRFMOCT-JTQLQIEISA-N -1 1 320.212 1.949 20 0 DDADMM Cc1nnc(Oc2ccc3c[nH]nc3c2)c(-c2nn[n-]n2)c1C ZINC000822515129 704965790 /nfs/dbraw/zinc/96/57/90/704965790.db2.gz KOQFOCCVJZZRFB-UHFFFAOYSA-N -1 1 308.305 1.942 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc3c2CCOC3)n1 ZINC000822548199 704972542 /nfs/dbraw/zinc/97/25/42/704972542.db2.gz WXNBAKBZWUIBFU-UHFFFAOYSA-N -1 1 315.329 1.911 20 0 DDADMM Cn1cc(CCOC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000822680366 705005563 /nfs/dbraw/zinc/00/55/63/705005563.db2.gz MLSIYTBXQYPMFE-UHFFFAOYSA-N -1 1 304.335 1.061 20 0 DDADMM Cn1cc(CCOC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000822680366 705005568 /nfs/dbraw/zinc/00/55/68/705005568.db2.gz MLSIYTBXQYPMFE-UHFFFAOYSA-N -1 1 304.335 1.061 20 0 DDADMM COC(=O)N1CCC(C(=O)Nc2nc(Cl)ccc2[O-])CC1 ZINC000834297660 707024547 /nfs/dbraw/zinc/02/45/47/707024547.db2.gz CCCDGMBYAXFTMI-UHFFFAOYSA-N -1 1 313.741 1.858 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC000859888834 705031011 /nfs/dbraw/zinc/03/10/11/705031011.db2.gz ZLDXKLCIBMMEHE-VIFPVBQESA-N -1 1 314.288 1.012 20 0 DDADMM C[C@@H]1CN(C(=O)c2cncc([O-])c2)CC[C@H](C(F)(F)F)O1 ZINC000874562779 705106095 /nfs/dbraw/zinc/10/60/95/705106095.db2.gz MTORUSJELMWPSN-LDYMZIIASA-N -1 1 304.268 1.969 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3COC4(CCC4)C3)ccnc1-2 ZINC000823061699 705127890 /nfs/dbraw/zinc/12/78/90/705127890.db2.gz DUOAMEHOHVBCAY-JTQLQIEISA-N -1 1 301.350 1.175 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](F)c2ccccc2)n[n-]1 ZINC000880665328 707051644 /nfs/dbraw/zinc/05/16/44/707051644.db2.gz CEIWUDKDRHINPQ-MWLCHTKSSA-N -1 1 320.324 1.869 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](F)c2ccccc2)[n-]1 ZINC000880665328 707051645 /nfs/dbraw/zinc/05/16/45/707051645.db2.gz CEIWUDKDRHINPQ-MWLCHTKSSA-N -1 1 320.324 1.869 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](F)c2ccccc2)n1 ZINC000880665328 707051648 /nfs/dbraw/zinc/05/16/48/707051648.db2.gz CEIWUDKDRHINPQ-MWLCHTKSSA-N -1 1 320.324 1.869 20 0 DDADMM CC(C)n1ncc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1Cl ZINC000860348677 705161731 /nfs/dbraw/zinc/16/17/31/705161731.db2.gz FYZOIZCXPSBFKD-SECBINFHSA-N -1 1 323.788 1.650 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000834833501 707133829 /nfs/dbraw/zinc/13/38/29/707133829.db2.gz AYYUGGZUNGRDPS-SNVBAGLBSA-N -1 1 308.765 1.673 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]3[C@@H]3C[C@H]3C)nc2n1 ZINC000875838342 705531894 /nfs/dbraw/zinc/53/18/94/705531894.db2.gz KMDMDTCHZLMEMQ-HJQYOEGKSA-N -1 1 315.377 1.601 20 0 DDADMM CC1([C@@H]2CCCN(c3cccc(-c4nnn[n-]4)n3)C2)OCCO1 ZINC000824817707 705535338 /nfs/dbraw/zinc/53/53/38/705535338.db2.gz QMVVYSHACAWCAH-LLVKDONJSA-N -1 1 316.365 1.241 20 0 DDADMM CC1([C@@H]2CCCN(c3cccc(-c4nn[n-]n4)n3)C2)OCCO1 ZINC000824817707 705535340 /nfs/dbraw/zinc/53/53/40/705535340.db2.gz QMVVYSHACAWCAH-LLVKDONJSA-N -1 1 316.365 1.241 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)n[n-]1 ZINC000824888246 705552297 /nfs/dbraw/zinc/55/22/97/705552297.db2.gz ZMAWGAXYOPCZET-NOOOWODRSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)[n-]1 ZINC000824888246 705552301 /nfs/dbraw/zinc/55/23/01/705552301.db2.gz ZMAWGAXYOPCZET-NOOOWODRSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)n1 ZINC000824888246 705552303 /nfs/dbraw/zinc/55/23/03/705552303.db2.gz ZMAWGAXYOPCZET-NOOOWODRSA-N -1 1 320.393 1.985 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCc2ccc(Cl)cc21 ZINC000825057292 705589510 /nfs/dbraw/zinc/58/95/10/705589510.db2.gz QGQDIWLMBPAAIW-LBPRGKRZSA-N -1 1 305.769 1.980 20 0 DDADMM O=C(NC[C@@H]1CC2(CO1)CCOCC2)c1cc(F)ccc1[O-] ZINC000825430596 705670159 /nfs/dbraw/zinc/67/01/59/705670159.db2.gz ARFPAPNKLTZRRN-LBPRGKRZSA-N -1 1 309.337 1.847 20 0 DDADMM CN(C(=O)c1ncc2ccccc2c1[O-])[C@@H]1CCCCNC1=O ZINC000825475404 705677952 /nfs/dbraw/zinc/67/79/52/705677952.db2.gz PPWVRRIXSNDXIR-CYBMUJFWSA-N -1 1 313.357 1.681 20 0 DDADMM CC1(C)C[C@@]1(C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876300698 705683447 /nfs/dbraw/zinc/68/34/47/705683447.db2.gz GWCCHBKDDKRZAQ-OAHLLOKOSA-N -1 1 306.366 1.243 20 0 DDADMM CN(Cc1cn(C)nn1)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876400327 705708506 /nfs/dbraw/zinc/70/85/06/705708506.db2.gz ZWVCVDIBBAWEMF-UHFFFAOYSA-N -1 1 309.757 1.516 20 0 DDADMM CS[C@@H]1CC[C@@H](NC(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000826226698 705782984 /nfs/dbraw/zinc/78/29/84/705782984.db2.gz DZXBABHFLUBQHW-RKDXNWHRSA-N -1 1 322.394 1.106 20 0 DDADMM CS[C@@H]1CC[C@@H](NC(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000826226698 705782986 /nfs/dbraw/zinc/78/29/86/705782986.db2.gz DZXBABHFLUBQHW-RKDXNWHRSA-N -1 1 322.394 1.106 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(C)ccc2O)c1-c1nnn[n-]1 ZINC000826291491 705787570 /nfs/dbraw/zinc/78/75/70/705787570.db2.gz IYTUWIPGIPZUCI-UHFFFAOYSA-N -1 1 314.305 1.358 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(C)ccc2O)c1-c1nn[n-]n1 ZINC000826291491 705787573 /nfs/dbraw/zinc/78/75/73/705787573.db2.gz IYTUWIPGIPZUCI-UHFFFAOYSA-N -1 1 314.305 1.358 20 0 DDADMM Cc1onc(CC(=O)N2CCc3c2cccc3C)c1-c1nnn[n-]1 ZINC000826305382 705788554 /nfs/dbraw/zinc/78/85/54/705788554.db2.gz USDADFIOGJUISO-UHFFFAOYSA-N -1 1 324.344 1.603 20 0 DDADMM Cc1onc(CC(=O)N2CCc3c2cccc3C)c1-c1nn[n-]n1 ZINC000826305382 705788558 /nfs/dbraw/zinc/78/85/58/705788558.db2.gz USDADFIOGJUISO-UHFFFAOYSA-N -1 1 324.344 1.603 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@@H](C)[C@H]2C)c1-c1nnn[n-]1 ZINC000826346047 705794026 /nfs/dbraw/zinc/79/40/26/705794026.db2.gz BILMFZPXMAUATA-FXPVBKGRSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@@H](C)[C@H]2C)c1-c1nn[n-]n1 ZINC000826346047 705794030 /nfs/dbraw/zinc/79/40/30/705794030.db2.gz BILMFZPXMAUATA-FXPVBKGRSA-N -1 1 318.381 1.647 20 0 DDADMM Cn1c(Sc2cccc(-c3nnn[n-]3)n2)nnc1C(F)F ZINC000826356239 705795295 /nfs/dbraw/zinc/79/52/95/705795295.db2.gz HXBCVFOJHVWQCS-UHFFFAOYSA-N -1 1 310.293 1.479 20 0 DDADMM Cn1c(Sc2cccc(-c3nn[n-]n3)n2)nnc1C(F)F ZINC000826356239 705795298 /nfs/dbraw/zinc/79/52/98/705795298.db2.gz HXBCVFOJHVWQCS-UHFFFAOYSA-N -1 1 310.293 1.479 20 0 DDADMM CC[C@@H]1CN2CCCC[C@H]2CN1C(=O)[C@H]1CCCN(C(=O)[O-])C1 ZINC000826692090 705847683 /nfs/dbraw/zinc/84/76/83/705847683.db2.gz ZVRMEMAHYWIYGC-ZNMIVQPWSA-N -1 1 323.437 1.852 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cc(OC)cnc2F)cn1 ZINC000863449604 705932774 /nfs/dbraw/zinc/93/27/74/705932774.db2.gz PLTBUEARBWMRKT-UHFFFAOYSA-N -1 1 300.315 1.247 20 0 DDADMM C[C@@H]1CN(CCS(=O)(=O)C2CCCCC2)CC[C@H]1C(=O)[O-] ZINC000863531754 705949904 /nfs/dbraw/zinc/94/99/04/705949904.db2.gz CNTGCMGENBYXJX-TZMCWYRMSA-N -1 1 317.451 1.777 20 0 DDADMM CC[C@@H](C)C[C@H](CO)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000828075693 706114174 /nfs/dbraw/zinc/11/41/74/706114174.db2.gz HSHOMQIXVCWOHW-RKDXNWHRSA-N -1 1 309.819 1.149 20 0 DDADMM COCCn1cc(CNCc2cccc(CCC(=O)[O-])c2)cn1 ZINC000864518630 706186626 /nfs/dbraw/zinc/18/66/26/706186626.db2.gz PEXXVJLVNWZSDV-UHFFFAOYSA-N -1 1 317.389 1.837 20 0 DDADMM CCn1nccc1CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000877775627 706205739 /nfs/dbraw/zinc/20/57/39/706205739.db2.gz OEYOLXALFDYQPO-GFCCVEGCSA-N -1 1 304.316 1.546 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCc1ccccc1 ZINC000872429432 707406552 /nfs/dbraw/zinc/40/65/52/707406552.db2.gz DEWHSHJRZPOFCG-LJQANCHMSA-N -1 1 318.464 1.960 20 0 DDADMM C[C@]1(CCNc2nc3[nH][n-]cc-3c(=O)n2)CC1(Cl)Cl ZINC000829059742 706281983 /nfs/dbraw/zinc/28/19/83/706281983.db2.gz XOWXHNDIOKRKTK-JTQLQIEISA-N -1 1 302.165 1.818 20 0 DDADMM C[C@@H](O)C(=O)OCCCSc1nc(C(F)F)cc(=O)[n-]1 ZINC000829609132 706366831 /nfs/dbraw/zinc/36/68/31/706366831.db2.gz BJSAFYHZRSBEMT-ZCFIWIBFSA-N -1 1 308.306 1.526 20 0 DDADMM CNC(=O)Cn1nc([N-]S(=O)(=O)C2=CCCCC2)cc1C ZINC000829903036 706416614 /nfs/dbraw/zinc/41/66/14/706416614.db2.gz ZOTMAHGEIZJIGS-UHFFFAOYSA-N -1 1 312.395 1.137 20 0 DDADMM CC1(C)OC[C@H](CNC(=O)c2ncc3ccccc3c2[O-])O1 ZINC000830116352 706458452 /nfs/dbraw/zinc/45/84/52/706458452.db2.gz XWQFDKYKJQUXQG-NSHDSACASA-N -1 1 302.330 1.822 20 0 DDADMM CC1=NO[C@@H](C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)C1 ZINC000830141347 706463136 /nfs/dbraw/zinc/46/31/36/706463136.db2.gz REUKUIKVWHDJJD-OAHLLOKOSA-N -1 1 316.357 1.978 20 0 DDADMM CC1CCN(CC(=O)N[C@@]2(C(=O)[O-])CCc3ccccc32)CC1 ZINC000908979101 712907360 /nfs/dbraw/zinc/90/73/60/712907360.db2.gz RUUMKCVGVPKFCI-SFHVURJKSA-N -1 1 316.401 1.761 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830784451 706579076 /nfs/dbraw/zinc/57/90/76/706579076.db2.gz QMTCEADQJRKCIU-ZZPVQSCKSA-N -1 1 318.339 1.948 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CC1)c1cc(Cl)cnc1Cl ZINC000866840866 706821685 /nfs/dbraw/zinc/82/16/85/706821685.db2.gz OTHYGOVSEPCNPB-QMMMGPOBSA-N -1 1 311.190 1.438 20 0 DDADMM CO[C@@]1(C)C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1(C)C ZINC000866904629 706840602 /nfs/dbraw/zinc/84/06/02/706840602.db2.gz SDHGADOTDTYQSW-ZANVPECISA-N -1 1 320.361 1.842 20 0 DDADMM CCC1([N-]S(=O)(=O)c2nc[nH]c2Br)CCC1 ZINC000866910325 706842070 /nfs/dbraw/zinc/84/20/70/706842070.db2.gz PUFWFACAJSGKEO-UHFFFAOYSA-N -1 1 308.201 1.783 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H](C)c1ccncc1 ZINC000866922389 706845262 /nfs/dbraw/zinc/84/52/62/706845262.db2.gz BUAXKRNYTOQSQA-NSHDSACASA-N -1 1 319.452 1.527 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2nc[nH]c2Br)CCCO1 ZINC000866943971 706852010 /nfs/dbraw/zinc/85/20/10/706852010.db2.gz OATVUUHKVCELIR-VIFPVBQESA-N -1 1 324.200 1.020 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CCC[C@H]2OCC[C@H]21 ZINC000866964402 706857832 /nfs/dbraw/zinc/85/78/32/706857832.db2.gz ZELZAWYBXXKSEI-QJPTWQEYSA-N -1 1 324.468 1.286 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-]CCCF)c1ccccc1F ZINC000866981705 706863372 /nfs/dbraw/zinc/86/33/72/706863372.db2.gz LLVSLFDGEAGNLV-GOSISDBHSA-N -1 1 312.363 1.476 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C1C[C@@H](C)O[C@H](C)C1 ZINC000867042034 706880273 /nfs/dbraw/zinc/88/02/73/706880273.db2.gz XPVFVMMOOGZBPQ-NXEZZACHSA-N -1 1 312.457 1.285 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc2cc[nH]c21 ZINC000867163264 706913233 /nfs/dbraw/zinc/91/32/33/706913233.db2.gz PJHFYDDYYFLCJQ-LJQANCHMSA-N -1 1 315.420 1.620 20 0 DDADMM COCC1([N-]S(=O)(=O)c2nc[nH]c2Br)CCC1 ZINC000867244961 706936566 /nfs/dbraw/zinc/93/65/66/706936566.db2.gz GWIPBOURHOJISM-UHFFFAOYSA-N -1 1 324.200 1.020 20 0 DDADMM C[C@@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867263438 706941843 /nfs/dbraw/zinc/94/18/43/706941843.db2.gz MUCPERPXSMNYFT-RKDXNWHRSA-N -1 1 322.789 1.825 20 0 DDADMM Cc1ncsc1CC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867345700 706968042 /nfs/dbraw/zinc/96/80/42/706968042.db2.gz UMHZDWJIARKTRG-UHFFFAOYSA-N -1 1 319.358 1.646 20 0 DDADMM O=S(=O)([N-]CCC[C@@H]1CCOC1)c1ccc(F)nc1F ZINC000867367133 706976784 /nfs/dbraw/zinc/97/67/84/706976784.db2.gz KMYMHAMAEOEBQJ-SECBINFHSA-N -1 1 306.334 1.455 20 0 DDADMM NC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1c([O-])cccc1Cl ZINC000832832239 706994448 /nfs/dbraw/zinc/99/44/48/706994448.db2.gz WLQLLGKIPKCRIN-ZDMBXUJBSA-N -1 1 308.765 1.772 20 0 DDADMM CC1(C)OC[C@H]2C[C@]21[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867556950 707038540 /nfs/dbraw/zinc/03/85/40/707038540.db2.gz JLOLRDWXCVNESG-KRTXAFLBSA-N -1 1 320.773 1.720 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C(C)(C)SC)n[n-]1 ZINC000880666569 707052275 /nfs/dbraw/zinc/05/22/75/707052275.db2.gz OOUBWGNVASCOAS-ZETCQYMHSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C(C)(C)SC)[n-]1 ZINC000880666569 707052278 /nfs/dbraw/zinc/05/22/78/707052278.db2.gz OOUBWGNVASCOAS-ZETCQYMHSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C(C)(C)SC)n1 ZINC000880666569 707052280 /nfs/dbraw/zinc/05/22/80/707052280.db2.gz OOUBWGNVASCOAS-ZETCQYMHSA-N -1 1 300.384 1.300 20 0 DDADMM O=C([O-])CC1(NC(=O)[C@@H]2CCc3nc[nH]c3C2)CCCCC1 ZINC000909060479 712928340 /nfs/dbraw/zinc/92/83/40/712928340.db2.gz XAKBFDDPBWFJOR-LLVKDONJSA-N -1 1 305.378 1.808 20 0 DDADMM CCOC(=O)CC(=O)[C@@H](C)Sc1nc(C(=O)OC)c[n-]1 ZINC000871668149 707161273 /nfs/dbraw/zinc/16/12/73/707161273.db2.gz VBCRCAYHHOUTHD-SSDOTTSWSA-N -1 1 300.336 1.199 20 0 DDADMM O=C1CCCN1c1ccc(NC([O-])=NO[C@H]2CCCCO2)nc1 ZINC000871722478 707176970 /nfs/dbraw/zinc/17/69/70/707176970.db2.gz LZBIXGALZWBZPL-AWEZNQCLSA-N -1 1 320.349 1.788 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1ccc(N2CCCC2=O)cn1 ZINC000871722478 707176971 /nfs/dbraw/zinc/17/69/71/707176971.db2.gz LZBIXGALZWBZPL-AWEZNQCLSA-N -1 1 320.349 1.788 20 0 DDADMM CC1(C)CO[C@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000871746537 707186421 /nfs/dbraw/zinc/18/64/21/707186421.db2.gz UODUYUUYBMRPQG-JTQLQIEISA-N -1 1 301.346 1.468 20 0 DDADMM O=C([N-]Oc1ccccc1F)[C@@H]1C[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000881467310 707266903 /nfs/dbraw/zinc/26/69/03/707266903.db2.gz WUVHLMIYOAYSGD-RTCCRHLQSA-N -1 1 313.350 1.592 20 0 DDADMM CC[C@H](C)[C@H](COC(=O)c1ccc(S(=O)(=O)[N-]C)o1)OC ZINC000835627647 707298787 /nfs/dbraw/zinc/29/87/87/707298787.db2.gz NYJXJYMFHQCFND-ONGXEEELSA-N -1 1 319.379 1.406 20 0 DDADMM COc1cccc(CN2C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C2)n1 ZINC000872167558 707312631 /nfs/dbraw/zinc/31/26/31/707312631.db2.gz BUMLJZNDGGUGLA-UWVGGRQHSA-N -1 1 304.268 1.785 20 0 DDADMM CC(C)[C@H](CCO)[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872458233 707422262 /nfs/dbraw/zinc/42/22/62/707422262.db2.gz WFKFPVUZCAJEAL-XTZNXHDOSA-N -1 1 314.473 1.124 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]CC(=O)CC2CCCCC2)on1 ZINC000872516555 707458179 /nfs/dbraw/zinc/45/81/79/707458179.db2.gz ZKLFRFZKBKZUFG-UHFFFAOYSA-N -1 1 314.407 1.942 20 0 DDADMM CCOc1ccc(C[C@H](CNC(=O)[C@@H](C)N(C)C)C(=O)[O-])cc1 ZINC000909172126 712953478 /nfs/dbraw/zinc/95/34/78/712953478.db2.gz VBOSXDGFJASUSA-TZMCWYRMSA-N -1 1 322.405 1.395 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1[nH]nc2c1CCC2)Cc1ccccc1 ZINC000909184563 712957212 /nfs/dbraw/zinc/95/72/12/712957212.db2.gz GEVFUXWUXDFAHP-LBPRGKRZSA-N -1 1 313.357 1.572 20 0 DDADMM CC1([N-]S(=O)(=O)N=S2(=O)CCCC2)CC(F)(F)C1 ZINC000872663952 707545607 /nfs/dbraw/zinc/54/56/07/707545607.db2.gz PVUATSQSLJCGDE-UHFFFAOYSA-N -1 1 302.368 1.270 20 0 DDADMM CO[C@@H]([C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000837008787 707563515 /nfs/dbraw/zinc/56/35/15/707563515.db2.gz AXHJYDLJLYPBQJ-UFBFGSQYSA-N -1 1 306.391 1.561 20 0 DDADMM CO[C@@H]([C@@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000837008776 707563564 /nfs/dbraw/zinc/56/35/64/707563564.db2.gz AXHJYDLJLYPBQJ-PELKAZGASA-N -1 1 306.391 1.561 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2ccc([O-])cc2F)n(C)c1C ZINC000882385854 707617314 /nfs/dbraw/zinc/61/73/14/707617314.db2.gz GHXHLAOCUYTKPV-UHFFFAOYSA-N -1 1 320.320 1.895 20 0 DDADMM CCN(CC(=O)NC[C@H](Cc1cccc(F)c1)C(=O)[O-])C1CC1 ZINC000909239518 712971124 /nfs/dbraw/zinc/97/11/24/712971124.db2.gz OWLBWIDPECMNGX-ZDUSSCGKSA-N -1 1 322.380 1.670 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C=CCC1)c1nc[nH]c1Br ZINC000882558006 707695196 /nfs/dbraw/zinc/69/51/96/707695196.db2.gz LJPMMYUEKJWLBI-SSDOTTSWSA-N -1 1 306.185 1.417 20 0 DDADMM O=C(NC[C@H](O)C(F)(F)C(F)(F)F)c1ccc([O-])cc1F ZINC000882558263 707695256 /nfs/dbraw/zinc/69/52/56/707695256.db2.gz AUSODTHDZFJXRM-QMMMGPOBSA-N -1 1 317.185 1.820 20 0 DDADMM CCN1C[C@H](NC(=O)NCc2ccc([O-])c(Cl)c2)CC1=O ZINC000873032667 707701700 /nfs/dbraw/zinc/70/17/00/707701700.db2.gz RAQZPPPMLMBXSI-SNVBAGLBSA-N -1 1 311.769 1.466 20 0 DDADMM CCc1ccc([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)cc1F ZINC000882710115 707756511 /nfs/dbraw/zinc/75/65/11/707756511.db2.gz BWSZTBARCJIUDY-IBGZPJMESA-N -1 1 323.415 1.619 20 0 DDADMM CN=[S@@](C)(=O)c1cccc([N-]S(=O)(=O)C[C@@H](C)OC)c1 ZINC000882737038 707766978 /nfs/dbraw/zinc/76/69/78/707766978.db2.gz YOSAPKOTPSCEMW-DGIBIBHMSA-N -1 1 320.436 1.550 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)[C@H]1CCCOC1 ZINC000882760861 707776043 /nfs/dbraw/zinc/77/60/43/707776043.db2.gz NURBNOAWPFMITE-DTWKUNHWSA-N -1 1 307.803 1.547 20 0 DDADMM Cn1cnnc1S(=O)(=O)[N-][C@H]1c2ccccc2CC[C@@H]1F ZINC000882808450 707805662 /nfs/dbraw/zinc/80/56/62/707805662.db2.gz RMLNVJUSLLLDKX-RYUDHWBXSA-N -1 1 310.354 1.119 20 0 DDADMM C[C@H](Oc1ccccn1)C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000838273009 707883742 /nfs/dbraw/zinc/88/37/42/707883742.db2.gz CKSJIIVHTWRQSO-VIFPVBQESA-N -1 1 320.267 1.461 20 0 DDADMM CC(C)(C)OC(=O)CCC[N-]S(=O)(=O)c1ccns1 ZINC000885038462 708451753 /nfs/dbraw/zinc/45/17/53/708451753.db2.gz LDBDLWIYRQPUEG-UHFFFAOYSA-N -1 1 306.409 1.543 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCCC(=O)[C@@H]1C ZINC000885041734 708452873 /nfs/dbraw/zinc/45/28/73/708452873.db2.gz WPOGAICNMZVBOK-NSHDSACASA-N -1 1 316.361 1.174 20 0 DDADMM O=S(=O)([N-]C[C@]1(CO)C[C@H]1c1ccccc1)c1ccns1 ZINC000885195497 708491208 /nfs/dbraw/zinc/49/12/08/708491208.db2.gz MJGJEPRAVAPVDL-JSGCOSHPSA-N -1 1 324.427 1.588 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)C1CCCCC1)c1ccns1 ZINC000885208290 708494455 /nfs/dbraw/zinc/49/44/55/708494455.db2.gz NSPRGLLXHVNBQR-NSHDSACASA-N -1 1 304.437 1.610 20 0 DDADMM CC[C@H]1CCC[C@@H]1[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912543565 713033387 /nfs/dbraw/zinc/03/33/87/713033387.db2.gz ZOYLLLWUPGILPK-WPRPVWTQSA-N -1 1 301.368 1.053 20 0 DDADMM O=C(N[C@H]1CCCN(CCF)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000898503370 708661783 /nfs/dbraw/zinc/66/17/83/708661783.db2.gz UPXMCXACVLJWJR-NSHDSACASA-N -1 1 323.397 1.182 20 0 DDADMM O=C(CN1CCSC1=O)NCCc1c(F)cc([O-])cc1F ZINC000886263391 708731726 /nfs/dbraw/zinc/73/17/26/708731726.db2.gz VBHDCQAKYDKFLN-UHFFFAOYSA-N -1 1 316.329 1.498 20 0 DDADMM CC[C@H](C)[C@H](O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927781670 713054568 /nfs/dbraw/zinc/05/45/68/713054568.db2.gz RXJJTVNNERPONM-LKFCYVNXSA-N -1 1 316.348 1.919 20 0 DDADMM C/C=C\C[C@@H](CO)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927784745 713055345 /nfs/dbraw/zinc/05/53/45/713055345.db2.gz QUUKCWZEEQQIIZ-ZMWSMAPVSA-N -1 1 314.332 1.839 20 0 DDADMM C[C@](CO)(NC(=O)NCCc1c(F)cc([O-])cc1F)C1CC1 ZINC000927785561 713055514 /nfs/dbraw/zinc/05/55/14/713055514.db2.gz LBRCZWVROPFJRH-OAHLLOKOSA-N -1 1 314.332 1.673 20 0 DDADMM Cc1cc(C(=O)N(C)c2nn[n-]n2)c(C)n1[C@@H]1CCCOC1 ZINC000912618433 713052591 /nfs/dbraw/zinc/05/25/91/713052591.db2.gz UDPXZXMSPPACNC-LLVKDONJSA-N -1 1 304.354 1.246 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CCN(c2ccccc2)C1 ZINC000898754323 708855587 /nfs/dbraw/zinc/85/55/87/708855587.db2.gz GPASWBUSJDGUGC-NSHDSACASA-N -1 1 314.345 1.031 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CC[C@H](C(F)(F)F)C1 ZINC000898755345 708856087 /nfs/dbraw/zinc/85/60/87/708856087.db2.gz PKWUONBURZFEJY-BQBZGAKWSA-N -1 1 305.256 1.483 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C1(Cc2ccccc2)CCC1 ZINC000898756905 708856394 /nfs/dbraw/zinc/85/63/94/708856394.db2.gz MZMPGIOEVPAXOT-UHFFFAOYSA-N -1 1 313.357 1.917 20 0 DDADMM C[C@@H](CC(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccc(F)cc1F ZINC000898756309 708856626 /nfs/dbraw/zinc/85/66/26/708856626.db2.gz HPFNHZJGXMDZSW-QMMMGPOBSA-N -1 1 323.299 1.976 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1c2ccccc2CC[C@@H]1F ZINC000899229523 709028461 /nfs/dbraw/zinc/02/84/61/709028461.db2.gz XQFQLHOUMDYWIJ-SWLSCSKDSA-N -1 1 303.341 1.664 20 0 DDADMM CCOC(=O)C1([C@@H](C)NC(=O)c2cncc([O-])c2)CCOCC1 ZINC000888342429 709282709 /nfs/dbraw/zinc/28/27/09/709282709.db2.gz JLDGTXYLGQHVTF-LLVKDONJSA-N -1 1 322.361 1.265 20 0 DDADMM CO[C@@H]1CCOC[C@H]1NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000889185758 709459728 /nfs/dbraw/zinc/45/97/28/709459728.db2.gz VNEWLGHOMJSDQT-HUUCEWRRSA-N -1 1 317.341 1.785 20 0 DDADMM CN(C)Cc1ccc(C(=O)N[C@@]2(C)CCCC[C@H]2C(=O)[O-])cn1 ZINC000909533526 709504760 /nfs/dbraw/zinc/50/47/60/709504760.db2.gz OBRDGZDYQDROIO-YOEHRIQHSA-N -1 1 319.405 1.907 20 0 DDADMM CSCC[C@H](NC(=O)C1CC2(CSC2)C1)c1nn[n-]n1 ZINC000912858563 713108988 /nfs/dbraw/zinc/10/89/88/713108988.db2.gz URZDGJGYUDBJAU-VIFPVBQESA-N -1 1 313.452 1.253 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)[C@H]2CCc3nc[nH]c3C2)c2ccccc21 ZINC000909581960 709525624 /nfs/dbraw/zinc/52/56/24/709525624.db2.gz UCIMSMBMVYRPDJ-CMPLNLGQSA-N -1 1 311.341 1.730 20 0 DDADMM CSCC[C@H](NC(=O)C(C)(C)c1cccnc1)c1nn[n-]n1 ZINC000912862669 713110944 /nfs/dbraw/zinc/11/09/44/713110944.db2.gz UKAPAMZAXWSCEK-NSHDSACASA-N -1 1 320.422 1.483 20 0 DDADMM COC[C@H](C)[C@H](C)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912863460 713111477 /nfs/dbraw/zinc/11/14/77/713111477.db2.gz YUSKCLTWSBGGGB-GUBZILKMSA-N -1 1 301.416 1.029 20 0 DDADMM O=C(N[C@H]1c2ccccc2OC[C@H]1CO)c1ncccc1[O-] ZINC000889573279 709549940 /nfs/dbraw/zinc/54/99/40/709549940.db2.gz SOCBEBZOHDWCPQ-QMTHXVAHSA-N -1 1 300.314 1.259 20 0 DDADMM CC(C)C[C@H](CNC(=O)c1ccc2n1CCN[C@@H]2C)C(=O)[O-] ZINC000900463133 709607199 /nfs/dbraw/zinc/60/71/99/709607199.db2.gz UFFHFYFNVGZQJW-VXGBXAGGSA-N -1 1 307.394 1.629 20 0 DDADMM CC[C@H](C)OCC(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889790649 709637586 /nfs/dbraw/zinc/63/75/86/709637586.db2.gz TXDXNZUZACAWOC-WDEREUQCSA-N -1 1 309.366 1.669 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CCS(=O)CC1 ZINC000900573902 709656756 /nfs/dbraw/zinc/65/67/56/709656756.db2.gz PKFSEYATXMOMFX-UHFFFAOYSA-N -1 1 308.334 1.284 20 0 DDADMM CC(C)C[C@H](CNC(=O)[C@H]1CCc2[nH]cnc2C1)CC(=O)[O-] ZINC000909875523 709664473 /nfs/dbraw/zinc/66/44/73/709664473.db2.gz PZYSKZZPXXTXQE-RYUDHWBXSA-N -1 1 307.394 1.768 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@H](NC(=O)CN(C)C2CCC2)C(=O)[O-])C1 ZINC000909910763 709683788 /nfs/dbraw/zinc/68/37/88/709683788.db2.gz BNNQNDORGBAERB-LNSITVRQSA-N -1 1 312.410 1.245 20 0 DDADMM CCC[C@H](C(=O)[O-])n1ccc(NC(=O)[C@@H](C(C)C)N(C)C)n1 ZINC000909953547 709705850 /nfs/dbraw/zinc/70/58/50/709705850.db2.gz JRQSESWDOQWTOH-DGCLKSJQSA-N -1 1 310.398 1.834 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C(=O)N(C)C ZINC000900688956 709712618 /nfs/dbraw/zinc/71/26/18/709712618.db2.gz FCAOWXMTNOEFBC-MRVPVSSYSA-N -1 1 305.309 1.286 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2ccc3cncn3c2)[C@H](C(=O)[O-])C1 ZINC000909982037 709717459 /nfs/dbraw/zinc/71/74/59/709717459.db2.gz CSNHQMMXFMXKLN-OLZOCXBDSA-N -1 1 303.318 1.039 20 0 DDADMM CO[C@@H]1CCN(C(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)[C@@H](C(=O)[O-])C1 ZINC000909985138 709720343 /nfs/dbraw/zinc/72/03/43/709720343.db2.gz XILIGECXYRWAIA-WKSBVSIWSA-N -1 1 321.377 1.166 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)[C@@H]2CCc3[nH]cnc3C2)c(F)c1 ZINC000909987774 709722175 /nfs/dbraw/zinc/72/21/75/709722175.db2.gz GRCNXHAYVJDWSI-SECBINFHSA-N -1 1 317.320 1.668 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(C)(C)c2nccs2)n1 ZINC000909988880 709723131 /nfs/dbraw/zinc/72/31/31/709723131.db2.gz GKLKRLGZNHNUFL-UHFFFAOYSA-N -1 1 308.363 1.959 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H]2c2nc(C(=O)[O-])cs2)n[nH]1 ZINC000910112191 709775656 /nfs/dbraw/zinc/77/56/56/709775656.db2.gz KNAGSBITSPTTOZ-SNVBAGLBSA-N -1 1 306.347 1.850 20 0 DDADMM Cc1ccc(O)cc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910169234 709795987 /nfs/dbraw/zinc/79/59/87/709795987.db2.gz BNUSFBDAEIUQFA-LBPRGKRZSA-N -1 1 306.362 1.322 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C[C@H]3CCC4(CCC4)O3)ccnc1-2 ZINC000890283960 709810944 /nfs/dbraw/zinc/81/09/44/709810944.db2.gz RGHVNANNLGSYCQ-LLVKDONJSA-N -1 1 300.362 1.772 20 0 DDADMM C[C@@H]1CN(C[C@H]2CCCN2c2ccc(C(=O)[O-])cn2)C[C@@H](C)O1 ZINC000900989496 709865937 /nfs/dbraw/zinc/86/59/37/709865937.db2.gz FEWMPJQQSCTQDB-UMVBOHGHSA-N -1 1 319.405 1.858 20 0 DDADMM C[C@@]1(C(=O)[O-])CN(C(=O)c2cnc(-c3ccccc3)[nH]2)CCO1 ZINC000910329435 709895127 /nfs/dbraw/zinc/89/51/27/709895127.db2.gz VIIXGGRAFWNDTE-INIZCTEOSA-N -1 1 315.329 1.392 20 0 DDADMM Cc1cc(CC(=O)N[C@H](CC(=O)[O-])c2cccc(C)c2)[nH]n1 ZINC000910368312 709911010 /nfs/dbraw/zinc/91/10/10/709911010.db2.gz UHMBDCPKHLTYHD-CQSZACIVSA-N -1 1 301.346 1.901 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(Cc3ccoc3)C2)o1 ZINC000890570613 709917241 /nfs/dbraw/zinc/91/72/41/709917241.db2.gz YJWPFQKMWBGKBN-UHFFFAOYSA-N -1 1 324.358 1.095 20 0 DDADMM Cc1nc(N[C@H]2CCCN3CCSC[C@@H]23)ncc1C(=O)[O-] ZINC000910387573 709919053 /nfs/dbraw/zinc/91/90/53/709919053.db2.gz WVDPOZAQECRVKG-RYUDHWBXSA-N -1 1 308.407 1.475 20 0 DDADMM C[C@@]1(C2CCN(C(=O)c3cc(F)ccc3[O-])CC2)COC(=O)N1 ZINC000928158970 713141744 /nfs/dbraw/zinc/14/17/44/713141744.db2.gz AYNPSMXAGQNRGD-INIZCTEOSA-N -1 1 322.336 1.882 20 0 DDADMM COCCN(CCC(=O)OC(C)(C)C)C(=O)c1ncccc1[O-] ZINC000890661165 709942728 /nfs/dbraw/zinc/94/27/28/709942728.db2.gz TZSWVQPOPIOYAJ-UHFFFAOYSA-N -1 1 324.377 1.608 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@H]1CCCN1C1CC1)Oc1ccccc1 ZINC000910484284 709966605 /nfs/dbraw/zinc/96/66/05/709966605.db2.gz BELFVKJWBYJPKS-HUUCEWRRSA-N -1 1 318.373 1.262 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C[C@H]1O ZINC000901241733 709980984 /nfs/dbraw/zinc/98/09/84/709980984.db2.gz OWSGBZVBZFPJPG-NOZJJQNGSA-N -1 1 304.321 1.922 20 0 DDADMM CN(C)Cc1ccc(C(=O)N[C@@H](CC(=O)[O-])C2CCCC2)cn1 ZINC000910561177 710000438 /nfs/dbraw/zinc/00/04/38/710000438.db2.gz WTKGXSMGBBQBSR-HNNXBMFYSA-N -1 1 319.405 1.907 20 0 DDADMM Cc1ccccc1[C@H](CO)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901298733 710000996 /nfs/dbraw/zinc/00/09/96/710000996.db2.gz MJAGPTNFNVCFIT-AWEZNQCLSA-N -1 1 313.357 1.831 20 0 DDADMM CC(=O)c1ccc(C(=O)N(CCN(C)C)CC(=O)[O-])cc1C ZINC000901423308 710035268 /nfs/dbraw/zinc/03/52/68/710035268.db2.gz ZTTHOPBLVFYOJT-UHFFFAOYSA-N -1 1 306.362 1.286 20 0 DDADMM COc1cc2c(cc1CN1CC[C@](OC)(C(=O)[O-])C1)OCO2 ZINC000901540386 710069346 /nfs/dbraw/zinc/06/93/46/710069346.db2.gz CUWWPGOXWAZOFP-OAHLLOKOSA-N -1 1 309.318 1.099 20 0 DDADMM CC[C@H](C)[C@H](C[N@@H+](C)CC(=O)N1CCC[C@H](C(=O)[O-])C1)OC ZINC000901624580 710100173 /nfs/dbraw/zinc/10/01/73/710100173.db2.gz MGIKZXXSESUFOP-IHRRRGAJSA-N -1 1 314.426 1.303 20 0 DDADMM CC[C@H](C)[C@H](CN(C)CC(=O)N1CCC[C@H](C(=O)[O-])C1)OC ZINC000901624580 710100177 /nfs/dbraw/zinc/10/01/77/710100177.db2.gz MGIKZXXSESUFOP-IHRRRGAJSA-N -1 1 314.426 1.303 20 0 DDADMM O=C(CS(=O)(=O)C1CCCC1)Nc1cc(F)cc(F)c1[O-] ZINC000910981362 710139750 /nfs/dbraw/zinc/13/97/50/710139750.db2.gz PQQYCKZKZWXWKE-UHFFFAOYSA-N -1 1 319.329 1.966 20 0 DDADMM CC(C)(CCC(=O)[O-])C(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000910987040 710142015 /nfs/dbraw/zinc/14/20/15/710142015.db2.gz JEFNWWWHFCXNKG-NEPJUHHUSA-N -1 1 314.451 1.573 20 0 DDADMM C[C@]1(C(=O)Nc2cc(F)cc(F)c2[O-])CCCS1(=O)=O ZINC000910989660 710143438 /nfs/dbraw/zinc/14/34/38/710143438.db2.gz ALDYYDSCPPNMJZ-GFCCVEGCSA-N -1 1 305.302 1.576 20 0 DDADMM O=C([O-])C1(C(=O)N[C@H]2CCCc3cn[nH]c32)CCSCC1 ZINC000901767766 710144706 /nfs/dbraw/zinc/14/47/06/710144706.db2.gz RPVKFVZGUVPTIS-JTQLQIEISA-N -1 1 309.391 1.501 20 0 DDADMM Cn1c(CN2CCSC[C@@H](C(=O)[O-])C2)nc2ccccc21 ZINC000901891013 710166463 /nfs/dbraw/zinc/16/64/63/710166463.db2.gz PSLSVEVXFUUEFD-NSHDSACASA-N -1 1 305.403 1.823 20 0 DDADMM COCCn1cc(CN2CCc3ccccc3[C@H]2C(=O)[O-])cn1 ZINC000901991399 710195286 /nfs/dbraw/zinc/19/52/86/710195286.db2.gz ZOPTUCBGPZTKAR-INIZCTEOSA-N -1 1 315.373 1.714 20 0 DDADMM COCc1nc(N2CCC(C(=O)N3CCCC3)CC2)cc(=O)[n-]1 ZINC000891643671 710256288 /nfs/dbraw/zinc/25/62/88/710256288.db2.gz NGMJNNBPJSMPIJ-UHFFFAOYSA-N -1 1 320.393 1.167 20 0 DDADMM COCc1nc(N(CCO)CCc2ccccc2)cc(=O)[n-]1 ZINC000892689363 710482438 /nfs/dbraw/zinc/48/24/38/710482438.db2.gz IZYRCLOBEJXDOR-UHFFFAOYSA-N -1 1 303.362 1.370 20 0 DDADMM COCc1nc(N2CCC(O[C@@H]3CCOC3)CC2)cc(=O)[n-]1 ZINC000892807828 710504377 /nfs/dbraw/zinc/50/43/77/710504377.db2.gz XIBFKWXPXVNGKO-GFCCVEGCSA-N -1 1 309.366 1.103 20 0 DDADMM COCc1nc(N[C@H]2CCOC3(CCOCC3)C2)cc(=O)[n-]1 ZINC000892946906 710530169 /nfs/dbraw/zinc/53/01/69/710530169.db2.gz SNDYPGJAHJADIO-NSHDSACASA-N -1 1 309.366 1.469 20 0 DDADMM COCc1nc(N2CC(O)(c3ccc(Cl)cc3)C2)cc(=O)[n-]1 ZINC000893248635 710579486 /nfs/dbraw/zinc/57/94/86/710579486.db2.gz KRUBVGXWXXVVMC-UHFFFAOYSA-N -1 1 321.764 1.690 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000911094984 710631417 /nfs/dbraw/zinc/63/14/17/710631417.db2.gz BPAVIZVIFGKHBD-TZMCWYRMSA-N -1 1 308.378 1.890 20 0 DDADMM O=C([O-])c1occc1CN[C@H]1CCN(c2ccccc2)C1=O ZINC000902241397 710676930 /nfs/dbraw/zinc/67/69/30/710676930.db2.gz ZMEPJFKSGHEYBV-ZDUSSCGKSA-N -1 1 300.314 1.873 20 0 DDADMM CCCN1CCN(C(=O)c2ccccc2CCC(=O)[O-])CC1 ZINC000911213051 710688906 /nfs/dbraw/zinc/68/89/06/710688906.db2.gz WRPMPVQRLLSPAF-UHFFFAOYSA-N -1 1 304.390 1.872 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC[C@@H]2CC[C@H]3C[C@H]32)o1 ZINC000893800758 710835035 /nfs/dbraw/zinc/83/50/35/710835035.db2.gz XRSMDXWOMWUSKU-DCAQKATOSA-N -1 1 313.375 1.781 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC(N2CCOCC2)C1 ZINC000911667039 710916872 /nfs/dbraw/zinc/91/68/72/710916872.db2.gz SQVJBERPTKKYFI-UHFFFAOYSA-N -1 1 310.781 1.131 20 0 DDADMM C[C@H]1CC2(CC(NC(=O)c3nc4ccccc4c(=O)[n-]3)C2)CO1 ZINC000903027528 711003191 /nfs/dbraw/zinc/00/31/91/711003191.db2.gz CVECHPQXQZEROC-KCCQOBMMSA-N -1 1 313.357 1.611 20 0 DDADMM COCc1nc(NCCc2cn3c(cccc3C)n2)cc(=O)[n-]1 ZINC000894364758 711088893 /nfs/dbraw/zinc/08/88/93/711088893.db2.gz UQZZJAYETDWSNA-UHFFFAOYSA-N -1 1 313.361 1.939 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC[C@H](Cc3nccs3)C2)C1=O ZINC000903418353 711119894 /nfs/dbraw/zinc/11/98/94/711119894.db2.gz MJONXJLPPLKGFI-VXGBXAGGSA-N -1 1 323.418 1.083 20 0 DDADMM CC(C)n1nnc([N-]C(=O)c2ncc(Br)s2)n1 ZINC000913484858 713235070 /nfs/dbraw/zinc/23/50/70/713235070.db2.gz SQWMNUGQRXVGGZ-UHFFFAOYSA-N -1 1 317.172 1.725 20 0 DDADMM O=C(c1cc(Cl)c(Cl)[nH]1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913493413 713236767 /nfs/dbraw/zinc/23/67/67/713236767.db2.gz UJXCIRVYNBYPIQ-ZETCQYMHSA-N -1 1 317.136 1.048 20 0 DDADMM O=C(N[C@H]([C@H]1CCCO1)C1(CO)CCC1)c1cc(F)ccc1[O-] ZINC000912208889 711222366 /nfs/dbraw/zinc/22/23/66/711222366.db2.gz LZAWPDNSLDPHET-HUUCEWRRSA-N -1 1 323.364 1.971 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1[C@H](CO)CC[C@H]1CO ZINC000912224514 711230799 /nfs/dbraw/zinc/23/07/99/711230799.db2.gz DJUHIXITMZUCIF-UWVGGRQHSA-N -1 1 320.320 1.037 20 0 DDADMM Cc1ccc2cc(C(=O)N3CCOC[C@H]3c3nn[n-]n3)ccc2n1 ZINC000913496099 713238294 /nfs/dbraw/zinc/23/82/94/713238294.db2.gz SJELKCKMRDCJLO-AWEZNQCLSA-N -1 1 324.344 1.270 20 0 DDADMM Cc1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c(F)cc1F ZINC000913495981 713238370 /nfs/dbraw/zinc/23/83/70/713238370.db2.gz QMIMELMKPZZDNM-NSHDSACASA-N -1 1 309.276 1.000 20 0 DDADMM O=C(c1cc(F)cc(Cl)c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496121 713238456 /nfs/dbraw/zinc/23/84/56/713238456.db2.gz SPUKFDVLYRBCMO-JTQLQIEISA-N -1 1 311.704 1.206 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)N1CCC(C)CC1 ZINC000903712220 711250793 /nfs/dbraw/zinc/25/07/93/711250793.db2.gz TZGAFWNKNDWCCU-ZDUSSCGKSA-N -1 1 300.399 1.057 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N[C@@H](C)c1cccs1 ZINC000903711768 711250546 /nfs/dbraw/zinc/25/05/46/711250546.db2.gz KDQDWVHFIKDVJI-NSHDSACASA-N -1 1 314.407 1.348 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)NC1CCC(C)CC1 ZINC000903712229 711250859 /nfs/dbraw/zinc/25/08/59/711250859.db2.gz UFKKAMWGHBXNMO-MOKVOYLWSA-N -1 1 314.426 1.493 20 0 DDADMM Cc1ccc2nc(C(=O)N3CCOC[C@@H]3c3nn[n-]n3)ccc2c1 ZINC000913498695 713239384 /nfs/dbraw/zinc/23/93/84/713239384.db2.gz QSIKVSMJTPEUHE-CQSZACIVSA-N -1 1 324.344 1.270 20 0 DDADMM CN(C[C@H]1COCCO1)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000912456935 711336327 /nfs/dbraw/zinc/33/63/27/711336327.db2.gz TUCFCKUPLDSGBC-JTQLQIEISA-N -1 1 320.320 1.567 20 0 DDADMM CC(C)NC(=O)CN(C)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895488817 711525415 /nfs/dbraw/zinc/52/54/15/711525415.db2.gz VQQDRAJAHDIXFO-UHFFFAOYSA-N -1 1 316.357 1.848 20 0 DDADMM O=C(CCCc1ccc(Br)cc1)Nc1nnn[n-]1 ZINC000895745811 711594472 /nfs/dbraw/zinc/59/44/72/711594472.db2.gz DWLOBBDRMCCJHN-UHFFFAOYSA-N -1 1 310.155 1.924 20 0 DDADMM O=C(CCCc1ccc(Br)cc1)Nc1nn[n-]n1 ZINC000895745811 711594474 /nfs/dbraw/zinc/59/44/74/711594474.db2.gz DWLOBBDRMCCJHN-UHFFFAOYSA-N -1 1 310.155 1.924 20 0 DDADMM CN(C(=O)c1ccc2ccc(O)cc2c1[O-])[C@@H]1CCN(C)C1=O ZINC000896495881 711733043 /nfs/dbraw/zinc/73/30/43/711733043.db2.gz HIMFGDMKCZIFDN-CQSZACIVSA-N -1 1 314.341 1.554 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@]34CCO[C@@H]3CCCC4)ccnc1-2 ZINC000904941248 711905097 /nfs/dbraw/zinc/90/50/97/711905097.db2.gz OXRFWFCQHSXFOH-CZUORRHYSA-N -1 1 300.362 1.630 20 0 DDADMM CCn1ncc(C=Cc2cc(=O)[n-]c(-n3nc(C)cc3C)n2)n1 ZINC000905079682 711929535 /nfs/dbraw/zinc/92/95/35/711929535.db2.gz DUINDRQUWSYGDD-WAYWQWQTSA-N -1 1 311.349 1.767 20 0 DDADMM CN(C)c1ccnc(CNC(=O)[C@H]2CC=CC[C@H]2C(=O)[O-])c1 ZINC000905129838 711945313 /nfs/dbraw/zinc/94/53/13/711945313.db2.gz XHUXCJUMAOQVFV-UONOGXRCSA-N -1 1 303.362 1.431 20 0 DDADMM O=C(c1cnn(-c2ccccc2)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742691 713292010 /nfs/dbraw/zinc/29/20/10/713292010.db2.gz PVTBDWXYPLGILW-UHFFFAOYSA-N -1 1 323.360 1.405 20 0 DDADMM CCO[C@@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccc1 ZINC000913743520 713292418 /nfs/dbraw/zinc/29/24/18/713292418.db2.gz ZCAYVNPXJPQYQF-CQSZACIVSA-N -1 1 315.377 1.684 20 0 DDADMM O=C([C@@H]1OCCc2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913743846 713292550 /nfs/dbraw/zinc/29/25/50/713292550.db2.gz NRAPPJUBXWODIR-CQSZACIVSA-N -1 1 313.361 1.220 20 0 DDADMM CC1CCC(OCC(=O)N2CCC(c3nn[n-]n3)CC2)CC1 ZINC000913745326 713293657 /nfs/dbraw/zinc/29/36/57/713293657.db2.gz YWAXDIUMVNIGJV-UHFFFAOYSA-N -1 1 307.398 1.501 20 0 DDADMM CC(C)n1ncc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1Cl ZINC000913745986 713293938 /nfs/dbraw/zinc/29/39/38/713293938.db2.gz SAPCSOMTRZHIIL-UHFFFAOYSA-N -1 1 323.788 1.650 20 0 DDADMM O=C(C[C@H]1Cc2ccccc2O1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746757 713294280 /nfs/dbraw/zinc/29/42/80/713294280.db2.gz HAKWHJXGBKURAG-CYBMUJFWSA-N -1 1 313.361 1.300 20 0 DDADMM COc1ccc([C@@H](NCc2cnc(OC)nc2C)C(=O)[O-])cc1 ZINC000905381731 712022418 /nfs/dbraw/zinc/02/24/18/712022418.db2.gz VMIWUWOWGJQLDU-CQSZACIVSA-N -1 1 317.345 1.718 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@@H]2CSC[C@H]2C1 ZINC000913759557 713296568 /nfs/dbraw/zinc/29/65/68/713296568.db2.gz QCIWDIGRJXTMRV-GHMZBOCLSA-N -1 1 305.403 1.885 20 0 DDADMM CCCc1ccccc1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000905634648 712102073 /nfs/dbraw/zinc/10/20/73/712102073.db2.gz RXEMWDCELAZYDQ-UHFFFAOYSA-N -1 1 311.345 1.931 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)N=S2(=O)CCCC2)c(C)n1 ZINC000906060395 712234016 /nfs/dbraw/zinc/23/40/16/712234016.db2.gz ICCDSEKBMQHHDK-UHFFFAOYSA-N -1 1 303.409 1.617 20 0 DDADMM O=C(N1CCO[C@@H](c2nn[n-]n2)C1)C1(C2CCCCC2)CC1 ZINC000906616245 712371147 /nfs/dbraw/zinc/37/11/47/712371147.db2.gz JKRJZYWQTHFNEP-GFCCVEGCSA-N -1 1 305.382 1.460 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(C)n1 ZINC000907103827 712488009 /nfs/dbraw/zinc/48/80/09/712488009.db2.gz IJIDVTNULXITNE-GFCCVEGCSA-N -1 1 300.366 1.540 20 0 DDADMM C[C@H](CN(C)C(=O)c1cn(C)nc1C1CCCC1)c1nn[n-]n1 ZINC000907348903 712549443 /nfs/dbraw/zinc/54/94/43/712549443.db2.gz BWXZNEORDFSBBC-SNVBAGLBSA-N -1 1 317.397 1.467 20 0 DDADMM CCc1cccc(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000907472299 712580983 /nfs/dbraw/zinc/58/09/83/712580983.db2.gz AXFTZAUGXODMCC-ZDUSSCGKSA-N -1 1 317.418 1.621 20 0 DDADMM CC1(C)CO[C@H](CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)C1 ZINC000907476479 712582494 /nfs/dbraw/zinc/58/24/94/712582494.db2.gz NPUBPIJDTRZBPA-NXEZZACHSA-N -1 1 311.411 1.021 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477613 712582725 /nfs/dbraw/zinc/58/27/25/712582725.db2.gz GAJXAAZQWAIECA-PKRMOACSSA-N -1 1 322.419 1.295 20 0 DDADMM O=C(CCCc1ccsc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480118 712583535 /nfs/dbraw/zinc/58/35/35/712583535.db2.gz PXDKXQAMWBOCPG-LLVKDONJSA-N -1 1 323.447 1.901 20 0 DDADMM O=C([C@@H]1CCCCCC(=O)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481947 712584043 /nfs/dbraw/zinc/58/40/43/712584043.db2.gz ZPJSTIHCTSHLMP-PWSUYJOCSA-N -1 1 323.422 1.356 20 0 DDADMM CC[C@@H](O)[C@H](C)C(=O)Nc1nc(Br)ccc1[O-] ZINC000907667744 712611765 /nfs/dbraw/zinc/61/17/65/712611765.db2.gz UJLYASBZKRGBIC-NKWVEPMBSA-N -1 1 303.156 1.895 20 0 DDADMM O=C(NC[C@@H]1CC[C@H](O)C1)c1c([O-])cnc2c(F)cccc21 ZINC000907674746 712612534 /nfs/dbraw/zinc/61/25/34/712612534.db2.gz FSRNWARCJUEGJJ-ZJUUUORDSA-N -1 1 304.321 1.970 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H](C)c1cncs1 ZINC000907925186 712649548 /nfs/dbraw/zinc/64/95/48/712649548.db2.gz MGCYAVQOENKQBM-LURJTMIESA-N -1 1 320.827 1.878 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H](C)[S@]1=O ZINC000908138187 712695907 /nfs/dbraw/zinc/69/59/07/712695907.db2.gz GVJXDLGVHHJKRF-BCFOBXKBSA-N -1 1 323.418 1.431 20 0 DDADMM C[C@]1(NC(=O)c2c([O-])cnc3c(F)cccc32)CCOC1=O ZINC000908294037 712730517 /nfs/dbraw/zinc/73/05/17/712730517.db2.gz VMLXPRGNLGMSAI-HNNXBMFYSA-N -1 1 304.277 1.515 20 0 DDADMM CC1CCN(CC(=O)N2Cc3cc(C(=O)[O-])nn3C[C@@H]2C)CC1 ZINC000908439394 712772948 /nfs/dbraw/zinc/77/29/48/712772948.db2.gz NRYGBQRRDVDSKW-LBPRGKRZSA-N -1 1 320.393 1.044 20 0 DDADMM CC(C)(NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccccc1 ZINC000908716865 712840271 /nfs/dbraw/zinc/84/02/71/712840271.db2.gz QHAXWZGOMONNMR-CYBMUJFWSA-N -1 1 304.390 1.835 20 0 DDADMM C[C@@H](Cc1ccsc1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908750103 712847497 /nfs/dbraw/zinc/84/74/97/712847497.db2.gz CWWUYLNYDXJEEW-AAEUAGOBSA-N -1 1 310.419 1.592 20 0 DDADMM C[C@@H](CCc1cccn1C)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908832938 712864392 /nfs/dbraw/zinc/86/43/92/712864392.db2.gz VMHGDNHMTUEXGD-UONOGXRCSA-N -1 1 321.421 1.259 20 0 DDADMM CCC(F)(F)C[N-]S(=O)(=O)c1cnn2c1OCC(C)(C)C2 ZINC000918026745 713525502 /nfs/dbraw/zinc/52/55/02/713525502.db2.gz YNHKGQCGRHQNKK-UHFFFAOYSA-N -1 1 323.365 1.625 20 0 DDADMM O=C([O-])[C@]12C[C@H]1CCCN2C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000929010617 713525912 /nfs/dbraw/zinc/52/59/12/713525912.db2.gz MZPZSCQIDSIJHH-ZETOZRRWSA-N -1 1 304.350 1.436 20 0 DDADMM C[C@]1(CNC(=O)NCCc2c(F)cc([O-])cc2F)CCOC1 ZINC000918488328 713544769 /nfs/dbraw/zinc/54/47/69/713544769.db2.gz CYZTXLZRGQWFFM-OAHLLOKOSA-N -1 1 314.332 1.939 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)Cc2c[nH]nc2C)c1 ZINC000918689989 713555942 /nfs/dbraw/zinc/55/59/42/713555942.db2.gz YUJZSYLWEHYCTK-UHFFFAOYSA-N -1 1 311.363 1.253 20 0 DDADMM COCCN(CC(=O)N(C)C)C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000929281661 713582094 /nfs/dbraw/zinc/58/20/94/713582094.db2.gz PDFKSCIXSKGDBE-ZDUSSCGKSA-N -1 1 322.405 1.248 20 0 DDADMM C[C@H](CN1CCS(=O)(=O)C[C@H]1C)C(=O)c1ccc([O-])cc1 ZINC000929691638 713670368 /nfs/dbraw/zinc/67/03/68/713670368.db2.gz DOBXNPUQUGZFBO-VXGBXAGGSA-N -1 1 311.403 1.330 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@]2(OC(C)C)CCOC2)sn1 ZINC000921460739 713777118 /nfs/dbraw/zinc/77/71/18/713777118.db2.gz CPIVIJYKUOBGDA-LBPRGKRZSA-N -1 1 320.436 1.314 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H]2COC[C@H]2C)c(F)c1 ZINC000921496543 713788731 /nfs/dbraw/zinc/78/87/31/713788731.db2.gz WGNSKPQWZVQGBD-RDDDGLTNSA-N -1 1 307.318 1.287 20 0 DDADMM C[C@H](CN1CCOC[C@]12CCOC2)C(=O)c1ccc([O-])cc1 ZINC000930322450 713802932 /nfs/dbraw/zinc/80/29/32/713802932.db2.gz ASVIQZFZAVCXFW-CXAGYDPISA-N -1 1 305.374 1.702 20 0 DDADMM O=S(=O)([N-]CCO[C@H]1CCOC1)c1sccc1Cl ZINC000921880576 713895641 /nfs/dbraw/zinc/89/56/41/713895641.db2.gz KVHGLXWEYQVMQN-QMMMGPOBSA-N -1 1 311.812 1.485 20 0 DDADMM O=S(=O)([N-]CCO[C@@H]1CCOC1)c1cc(F)ccc1F ZINC000921886520 713897153 /nfs/dbraw/zinc/89/71/53/713897153.db2.gz SZJNYKTXVHXHHR-SNVBAGLBSA-N -1 1 307.318 1.049 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000921977494 713926686 /nfs/dbraw/zinc/92/66/86/713926686.db2.gz OLZWFSUCEPLDCB-LDWIPMOCSA-N -1 1 323.292 1.334 20 0 DDADMM CON1CC[C@@H](OC(=O)c2cc(-c3ccc(C)o3)n[nH]2)C1=O ZINC000922358094 714029425 /nfs/dbraw/zinc/02/94/25/714029425.db2.gz IZWXNDZUQJEGQG-GFCCVEGCSA-N -1 1 305.290 1.297 20 0 DDADMM O=C(NC[C@@H](O)C1CC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932043424 714244685 /nfs/dbraw/zinc/24/46/85/714244685.db2.gz YIECABFGBLTUCH-CYBMUJFWSA-N -1 1 302.334 1.470 20 0 DDADMM O=C(NC[C@H]1CC[C@H](O)C1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932049620 714246249 /nfs/dbraw/zinc/24/62/49/714246249.db2.gz KEQTYDBEIZPFCF-FZMZJTMJSA-N -1 1 316.361 1.860 20 0 DDADMM C[C@@]1(O)C[C@H](NC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932054060 714247331 /nfs/dbraw/zinc/24/73/31/714247331.db2.gz JQOIGXXMYRJYLQ-WGRBQBNCSA-N -1 1 302.334 1.613 20 0 DDADMM O=C(NC[C@H](O)C1CCC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932053879 714247499 /nfs/dbraw/zinc/24/74/99/714247499.db2.gz JENZZVGDXWGKBE-AWEZNQCLSA-N -1 1 316.361 1.860 20 0 DDADMM COC(=O)[C@@H](C[C@@H]1CCCO1)NC(=O)c1ccc([O-])cc1F ZINC000924870648 714683819 /nfs/dbraw/zinc/68/38/19/714683819.db2.gz SSLUXTNWWIMIMD-GXFFZTMASA-N -1 1 311.309 1.372 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2cnn(CCF)c2)[n-]c1=O ZINC000934276709 714785107 /nfs/dbraw/zinc/78/51/07/714785107.db2.gz VHPLWAJGACYXOW-GFCCVEGCSA-N -1 1 321.356 1.694 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2cnc(C)nc2)[n-]c1=O ZINC000934279125 714785528 /nfs/dbraw/zinc/78/55/28/714785528.db2.gz KUHKCNZQZVDCFS-GFCCVEGCSA-N -1 1 301.350 1.626 20 0 DDADMM CCc1noc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)n1 ZINC000934280896 714785863 /nfs/dbraw/zinc/78/58/63/714785863.db2.gz VAFPPVKCUNGMLH-SECBINFHSA-N -1 1 305.338 1.473 20 0 DDADMM O=C(N1CCC[C@H](c2nn[n-]n2)C1)[C@@]12CCO[C@@H]1CCCC2 ZINC000935036882 714960976 /nfs/dbraw/zinc/96/09/76/714960976.db2.gz KCASUMIOINUEPU-ZOWXZIJZSA-N -1 1 305.382 1.255 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N[C@H](CO)C3CC3)c2)o1 ZINC000935202077 715002424 /nfs/dbraw/zinc/00/24/24/715002424.db2.gz YGVNIRHLTXNPNO-CQSZACIVSA-N -1 1 315.325 1.965 20 0 DDADMM O=C(C[C@@H]1CC[C@H](C2CC2)O1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000935828894 715131319 /nfs/dbraw/zinc/13/13/19/715131319.db2.gz AVBFUPUQLWMSTA-QWHCGFSZSA-N -1 1 321.377 1.829 20 0 DDADMM O=C(C=C1CCC1)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC000936838342 715249444 /nfs/dbraw/zinc/24/94/44/715249444.db2.gz MCOVTFDUGGPLFS-STQMWFEESA-N -1 1 313.357 1.323 20 0 DDADMM CN(C(=O)c1ccc[nH]1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211216 715293011 /nfs/dbraw/zinc/29/30/11/715293011.db2.gz IZYLZWHIVZINMJ-NSHDSACASA-N -1 1 314.345 1.102 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943204161 718045910 /nfs/dbraw/zinc/04/59/10/718045910.db2.gz QMDUCNLHKWUNFA-XQQFMLRXSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(=O)N[C@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000937580732 715416359 /nfs/dbraw/zinc/41/63/59/715416359.db2.gz NZCRFOAEXQBSFM-NSHDSACASA-N -1 1 320.393 1.355 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937862134 715618120 /nfs/dbraw/zinc/61/81/20/715618120.db2.gz YUXIKCICONVJTC-JTQLQIEISA-N -1 1 307.325 1.381 20 0 DDADMM CC1(C)C[C@H]1C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956832596 715627543 /nfs/dbraw/zinc/62/75/43/715627543.db2.gz GCRSXARPJSPXAI-APPDUMDISA-N -1 1 317.389 1.554 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2(C)CCC2)C1 ZINC000939018252 716090606 /nfs/dbraw/zinc/09/06/06/716090606.db2.gz RPBJTTZRYUVXAR-GFCCVEGCSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)[C@H](F)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000957862306 716202789 /nfs/dbraw/zinc/20/27/89/716202789.db2.gz MHKHMTAQJRUZMU-WZRBSPASSA-N -1 1 323.368 1.358 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CC12CCC2 ZINC000940443631 716786292 /nfs/dbraw/zinc/78/62/92/716786292.db2.gz MRWVQIJYYICNDQ-VXGBXAGGSA-N -1 1 315.373 1.308 20 0 DDADMM O=C(CC1CCC1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940614607 716879409 /nfs/dbraw/zinc/87/94/09/716879409.db2.gz PZMZDFHBSHGVFD-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM CC1(C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC000940833163 716981551 /nfs/dbraw/zinc/98/15/51/716981551.db2.gz VQYBMOPNHPKWOJ-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C/C2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966262706 718489297 /nfs/dbraw/zinc/48/92/97/718489297.db2.gz AUYYSNXAGPIHFN-VKYBYORPSA-N -1 1 315.373 1.330 20 0 DDADMM CCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000967007837 718741302 /nfs/dbraw/zinc/74/13/02/718741302.db2.gz DDNXUKHJBXFKPM-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000947820631 719278883 /nfs/dbraw/zinc/27/88/83/719278883.db2.gz REIMWDZMPDWPCN-LLVKDONJSA-N -1 1 309.341 1.256 20 0 DDADMM CC1(C)CC(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949535145 720145234 /nfs/dbraw/zinc/14/52/34/720145234.db2.gz OKIDRXKUWHRGNE-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@@H](SC)C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949534799 720145436 /nfs/dbraw/zinc/14/54/36/720145436.db2.gz GMDPNLBGSGJFOD-CMPLNLGQSA-N -1 1 323.418 1.260 20 0 DDADMM C[C@@]1(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C=CCC1 ZINC000949542247 720150733 /nfs/dbraw/zinc/15/07/33/720150733.db2.gz ZRPJSRGVFXDLSR-YVEFUNNKSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000949860568 720386354 /nfs/dbraw/zinc/38/63/54/720386354.db2.gz FFBVRSDSLOSACT-GFCCVEGCSA-N -1 1 303.362 1.474 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ccoc2Cl)C1)c1cnn[nH]1 ZINC000950593356 720697628 /nfs/dbraw/zinc/69/76/28/720697628.db2.gz RLWSUZLGMVELIT-QMMMGPOBSA-N -1 1 323.740 1.086 20 0 DDADMM C[C@H](C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000953981156 721686678 /nfs/dbraw/zinc/68/66/78/721686678.db2.gz OMQGMRSGFCOECD-JTQLQIEISA-N -1 1 303.362 1.116 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC[C@@H](F)C2)C1 ZINC000954125599 721724122 /nfs/dbraw/zinc/72/41/22/721724122.db2.gz UYUMQRAHNXAIID-GHMZBOCLSA-N -1 1 321.352 1.208 20 0 DDADMM CC(C)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001020698368 732634481 /nfs/dbraw/zinc/63/44/81/732634481.db2.gz VMMIJASMADHKNM-QWHCGFSZSA-N -1 1 319.405 1.658 20 0 DDADMM COC(=O)c1c[nH]c2cc(NC(=O)CCc3nn[n-]n3)ccc12 ZINC001167254180 732867921 /nfs/dbraw/zinc/86/79/21/732867921.db2.gz XVKRRKFOPMMUDE-UHFFFAOYSA-N -1 1 314.305 1.039 20 0 DDADMM O=C(CC1CC1)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021442593 733207574 /nfs/dbraw/zinc/20/75/74/733207574.db2.gz ZKMJPQRBLRZCOB-QWHCGFSZSA-N -1 1 315.373 1.260 20 0 DDADMM CS[C@H]1CC[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1 ZINC000230625086 733579575 /nfs/dbraw/zinc/57/95/75/733579575.db2.gz PMZUVPRBFSDKAE-YUMQZZPRSA-N -1 1 309.844 1.636 20 0 DDADMM Cc1nc(CN2CCCC23CCN(C(=O)C(=O)[O-])CC3)cs1 ZINC001143420038 734581092 /nfs/dbraw/zinc/58/10/92/734581092.db2.gz QLHWQVWIRGLBIR-UHFFFAOYSA-N -1 1 323.418 1.493 20 0 DDADMM CC[C@H](F)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC001017499898 751354474 /nfs/dbraw/zinc/35/44/74/751354474.db2.gz SQBOIJVCNJWTSI-RWMBFGLXSA-N -1 1 307.369 1.824 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CCC[C@H]1Cc1ccccc1 ZINC001121409386 782497418 /nfs/dbraw/zinc/49/74/18/782497418.db2.gz ZXUSFHWVOHWSBB-ZDUSSCGKSA-N -1 1 324.392 1.131 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCC[C@H]1Cc1ccccc1 ZINC001121409386 782497428 /nfs/dbraw/zinc/49/74/28/782497428.db2.gz ZXUSFHWVOHWSBB-ZDUSSCGKSA-N -1 1 324.392 1.131 20 0 DDADMM Cn1c(-c2cc(Cl)ncc2[O-])nnc1N1CCSCC1 ZINC001121429993 782505899 /nfs/dbraw/zinc/50/58/99/782505899.db2.gz QODBGDQXNDSIGY-UHFFFAOYSA-N -1 1 311.798 1.789 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCC[C@H]2[C@@H]2CCCN2CCF)c1[O-] ZINC001025221190 736371490 /nfs/dbraw/zinc/37/14/90/736371490.db2.gz MAWHCVNJMODRPB-STQMWFEESA-N -1 1 324.400 1.852 20 0 DDADMM COC(=O)CC[C@H]1COCCN1Cc1cc(F)c([O-])c(F)c1 ZINC001140993669 736818937 /nfs/dbraw/zinc/81/89/37/736818937.db2.gz CBOUMWVFVHHURO-NSHDSACASA-N -1 1 315.316 1.824 20 0 DDADMM Cc1nnc([C@@H](C)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001020096077 736990792 /nfs/dbraw/zinc/99/07/92/736990792.db2.gz MBOGVHSILJUIFR-FBIMIBRVSA-N -1 1 317.349 1.090 20 0 DDADMM C[C@@H]1CC[C@H](CC(=O)N[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)C1 ZINC000974297572 737495191 /nfs/dbraw/zinc/49/51/91/737495191.db2.gz YQPAVKHKRIAPHL-KXNHARMFSA-N -1 1 305.382 1.008 20 0 DDADMM O=C(NCC[NH2+]Cc1cc(F)ccc1F)c1ncccc1[O-] ZINC001126118910 738327290 /nfs/dbraw/zinc/32/72/90/738327290.db2.gz MAHVHQJNHZBBNQ-UHFFFAOYSA-N -1 1 307.300 1.585 20 0 DDADMM C[C@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692893991 738964402 /nfs/dbraw/zinc/96/44/02/738964402.db2.gz OCXIBEPTRHIPBQ-HQJQHLMTSA-N -1 1 308.762 1.720 20 0 DDADMM C[C@H]1C[C@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)CCO1 ZINC000692911045 739077585 /nfs/dbraw/zinc/07/75/85/739077585.db2.gz SPYKDBQTXCCASU-DTWKUNHWSA-N -1 1 322.789 1.968 20 0 DDADMM CN(C)C(=O)[C@@H]1CCCN(Cc2cc([O-])ccc2[N+](=O)[O-])C1 ZINC000092646482 739266628 /nfs/dbraw/zinc/26/66/28/739266628.db2.gz INWRLVMVDBWIOG-LLVKDONJSA-N -1 1 307.350 1.601 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc(C)c(N)c2)c(CO)c1 ZINC001211743174 739330369 /nfs/dbraw/zinc/33/03/69/739330369.db2.gz NNYZMLPGGTVVKC-UHFFFAOYSA-N -1 1 322.386 1.879 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)/C=C\C2CC2)C1 ZINC001017088942 751460538 /nfs/dbraw/zinc/46/05/38/751460538.db2.gz VOMRRCSRNBHSJL-FMFIFOJESA-N -1 1 319.409 1.147 20 0 DDADMM O=[P@]([O-])(O)C1(Nc2ccc(=S)[nH]n2)Cc2ccccc2C1 ZINC001167934878 739726653 /nfs/dbraw/zinc/72/66/53/739726653.db2.gz LNKTVYMOYDZROD-UHFFFAOYSA-N -1 1 323.314 1.850 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C(C)(C)C)CN1C(=O)c1ncccc1[O-] ZINC001012512902 741010188 /nfs/dbraw/zinc/01/01/88/741010188.db2.gz SUTNAQPZSMRCFQ-WDEREUQCSA-N -1 1 305.378 1.553 20 0 DDADMM CC(C(=O)N1CC[C@@H](C[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1)=C1CCC1 ZINC001029735082 741262875 /nfs/dbraw/zinc/26/28/75/741262875.db2.gz CSGJBYQEARRSKR-LBPRGKRZSA-N -1 1 319.409 1.291 20 0 DDADMM CC(C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)=C1CCC1 ZINC001029735082 741262879 /nfs/dbraw/zinc/26/28/79/741262879.db2.gz CSGJBYQEARRSKR-LBPRGKRZSA-N -1 1 319.409 1.291 20 0 DDADMM C[C@H](C(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC001029951552 741640274 /nfs/dbraw/zinc/64/02/74/741640274.db2.gz XYLYPSFVWSGSIB-NSHDSACASA-N -1 1 321.425 1.227 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001168696915 743212937 /nfs/dbraw/zinc/21/29/37/743212937.db2.gz YGZQKTSMRCBYCA-RUMSDORHSA-N -1 1 320.356 1.904 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@H]1NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001181593751 743258394 /nfs/dbraw/zinc/25/83/94/743258394.db2.gz TYTPKBJNOOXDPH-SMDDNHRTSA-N -1 1 319.357 1.975 20 0 DDADMM O=S(=O)([N-]c1cnccc1F)c1ccc(-c2nn[nH]n2)cc1 ZINC001185574753 744249900 /nfs/dbraw/zinc/24/99/00/744249900.db2.gz ZSLSGAPMWOTQJM-UHFFFAOYSA-N -1 1 320.309 1.202 20 0 DDADMM CN(C)c1ccc([N-]S(=O)(=O)c2ccc3n[nH]cc3c2)cn1 ZINC001185943557 744310401 /nfs/dbraw/zinc/31/04/01/744310401.db2.gz LLFOENWRZIXTTK-UHFFFAOYSA-N -1 1 317.374 1.825 20 0 DDADMM CN1CCN(c2ccc([N-]S(=O)(=O)c3ccco3)cc2)CC1 ZINC001186851705 744452859 /nfs/dbraw/zinc/45/28/59/744452859.db2.gz MSWDRHZCNWDQON-UHFFFAOYSA-N -1 1 321.402 1.832 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)c1ncc[nH]1)c1ccccc1N ZINC001187740816 744602479 /nfs/dbraw/zinc/60/24/79/744602479.db2.gz JQOHCBIPIDTPBG-UHFFFAOYSA-N -1 1 319.346 1.280 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)c1ncc[nH]1)c1ccccc1N ZINC001187740816 744602481 /nfs/dbraw/zinc/60/24/81/744602481.db2.gz JQOHCBIPIDTPBG-UHFFFAOYSA-N -1 1 319.346 1.280 20 0 DDADMM Cc1nc(Cl)cc(NC(=O)c2n[n-]nc2C(F)(F)F)n1 ZINC001187758864 744605642 /nfs/dbraw/zinc/60/56/42/744605642.db2.gz LRCBMQHJVYKVTQ-UHFFFAOYSA-N -1 1 306.635 1.828 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1nccs1)N1CCc2ccccc21 ZINC001187908945 744627858 /nfs/dbraw/zinc/62/78/58/744627858.db2.gz PNBXNCBXKMBLLN-UHFFFAOYSA-N -1 1 323.399 1.011 20 0 DDADMM CCCc1onc(C)c1C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001187947009 744638421 /nfs/dbraw/zinc/63/84/21/744638421.db2.gz NKCXMXOMHJCGAB-UHFFFAOYSA-N -1 1 318.293 1.217 20 0 DDADMM COC(C[N-]S(=O)(=O)c1ccc(Cl)nc1Cl)OC ZINC001187979967 744642101 /nfs/dbraw/zinc/64/21/01/744642101.db2.gz FGTXVVALMCVXMP-UHFFFAOYSA-N -1 1 315.178 1.286 20 0 DDADMM O=C(Nc1cnn2c1OCCC2)c1ncc(C(F)(F)F)[n-]1 ZINC001188280742 744686429 /nfs/dbraw/zinc/68/64/29/744686429.db2.gz KQTSOVIUNWTSRL-UHFFFAOYSA-N -1 1 301.228 1.660 20 0 DDADMM O=C(Nc1cnn2c1OCCC2)c1nc(C(F)(F)F)c[n-]1 ZINC001188280742 744686433 /nfs/dbraw/zinc/68/64/33/744686433.db2.gz KQTSOVIUNWTSRL-UHFFFAOYSA-N -1 1 301.228 1.660 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1nc(Cl)cnc1Cl ZINC001188355346 744700226 /nfs/dbraw/zinc/70/02/26/744700226.db2.gz LQHFYCJBCCNBTE-UHFFFAOYSA-N -1 1 314.150 1.088 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ccncc1[O-])c1cccnc1 ZINC001188637617 744744178 /nfs/dbraw/zinc/74/41/78/744744178.db2.gz FPTZUEXVWQOBFY-GFCCVEGCSA-N -1 1 301.302 1.216 20 0 DDADMM Cn1ccc(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)cc1=O ZINC001189646255 744933350 /nfs/dbraw/zinc/93/33/50/744933350.db2.gz SWJCPTZTXWVKDF-UHFFFAOYSA-N -1 1 310.313 1.529 20 0 DDADMM O=C(N[C@@H]1SC(=O)[N-]C1=O)c1ccc(CN2CCCCC2)o1 ZINC001189750395 744968103 /nfs/dbraw/zinc/96/81/03/744968103.db2.gz IVTIPPMRTBAIMJ-CYBMUJFWSA-N -1 1 323.374 1.304 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)CCCF)c(F)c1F ZINC001189920484 745036252 /nfs/dbraw/zinc/03/62/52/745036252.db2.gz YTDZDFZJVNIHSP-UHFFFAOYSA-N -1 1 311.281 1.853 20 0 DDADMM CC(C)(C)[C@H](CO)NC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190268043 745168255 /nfs/dbraw/zinc/16/82/55/745168255.db2.gz RBCKYJSTAZMKNH-ZDUSSCGKSA-N -1 1 315.373 1.986 20 0 DDADMM CCC[C@@H](CCO)NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190637732 745282267 /nfs/dbraw/zinc/28/22/67/745282267.db2.gz LHGGJJUWYDSVMI-NSHDSACASA-N -1 1 316.361 1.525 20 0 DDADMM O=S(=O)([N-]c1ccc2c(c1)S(=O)(=O)C=C2)c1ccncc1 ZINC001190893152 745370015 /nfs/dbraw/zinc/37/00/15/745370015.db2.gz DYIIOHPAZHMUEX-UHFFFAOYSA-N -1 1 322.367 1.640 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc(=O)[nH]c2Cl)n[nH]1 ZINC001190902647 745370390 /nfs/dbraw/zinc/37/03/90/745370390.db2.gz DBDSJWPIFXSVCM-UHFFFAOYSA-N -1 1 310.697 1.593 20 0 DDADMM CSc1ncc(C(=O)Nc2cccc(C(N)=S)c2)c(=O)[n-]1 ZINC001191437618 745512160 /nfs/dbraw/zinc/51/21/60/745512160.db2.gz MPTKFHXEWXKNLI-UHFFFAOYSA-N -1 1 320.399 1.791 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc(CCO)cc1 ZINC001191752226 745601791 /nfs/dbraw/zinc/60/17/91/745601791.db2.gz JZYZNZGGFDWAHT-UHFFFAOYSA-N -1 1 300.240 1.611 20 0 DDADMM CC(C)(C)N1CC([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192053944 745686435 /nfs/dbraw/zinc/68/64/35/745686435.db2.gz NHOWOVXGCXUZNO-UHFFFAOYSA-N -1 1 309.646 1.716 20 0 DDADMM CCOC(=O)[C@H]1[C@@H]2CN(C(=O)c3c(F)ccc([O-])c3F)C[C@@H]21 ZINC001192536677 745824949 /nfs/dbraw/zinc/82/49/49/745824949.db2.gz XJNNGKALLMLGLE-VROVMSAKSA-N -1 1 311.284 1.552 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cccnc1Cl ZINC001193008414 745943272 /nfs/dbraw/zinc/94/32/72/745943272.db2.gz HWCLIVLOCIIJPS-UHFFFAOYSA-N -1 1 306.771 1.818 20 0 DDADMM CCN(CCNc1ncc(Cl)cn1)C(=O)c1ncccc1[O-] ZINC001106727113 745970884 /nfs/dbraw/zinc/97/08/84/745970884.db2.gz JBRGPRQGOVPECQ-UHFFFAOYSA-N -1 1 321.768 1.805 20 0 DDADMM CS(=O)(=O)c1ccc([N-]S(=O)(=O)CC2CCC2)cn1 ZINC001193471147 746113536 /nfs/dbraw/zinc/11/35/36/746113536.db2.gz JVKKNVJELAINOY-UHFFFAOYSA-N -1 1 304.393 1.027 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc(Cl)nc3ccnn32)[n-]n1 ZINC001194283054 746339539 /nfs/dbraw/zinc/33/95/39/746339539.db2.gz KIOMMIBVQZQXPQ-UHFFFAOYSA-N -1 1 320.696 1.145 20 0 DDADMM Nc1nc(=O)c2ccccc2n1C(=O)c1cnc(C(F)(F)F)[n-]1 ZINC001194783826 746453817 /nfs/dbraw/zinc/45/38/17/746453817.db2.gz UPXJXWDYTDBIPC-UHFFFAOYSA-N -1 1 323.234 1.652 20 0 DDADMM Nc1nc(=O)c2ccccc2n1C(=O)c1c[n-]c(C(F)(F)F)n1 ZINC001194783826 746453821 /nfs/dbraw/zinc/45/38/21/746453821.db2.gz UPXJXWDYTDBIPC-UHFFFAOYSA-N -1 1 323.234 1.652 20 0 DDADMM Cc1cc(NC(=O)c2c[n-]c(C(F)(F)F)n2)n2ncnc2n1 ZINC001194770601 746462817 /nfs/dbraw/zinc/46/28/17/746462817.db2.gz JYAAXOLEFFDAGB-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM COc1ccccc1OC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001195231180 746545903 /nfs/dbraw/zinc/54/59/03/746545903.db2.gz YISDPOJUMQGZCM-UHFFFAOYSA-N -1 1 306.234 1.905 20 0 DDADMM CCOC(=O)c1ncncc1NC(=O)c1cc([O-])cnc1Cl ZINC001195297753 746569712 /nfs/dbraw/zinc/56/97/12/746569712.db2.gz GHJSYGYROOPYSL-UHFFFAOYSA-N -1 1 322.708 1.660 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CCN(c2cccnn2)CC1 ZINC001195304835 746571952 /nfs/dbraw/zinc/57/19/52/746571952.db2.gz AVMPTSGBMSQUBR-UHFFFAOYSA-N -1 1 319.752 1.193 20 0 DDADMM N=C(NC(=O)c1cc([O-])cnc1Cl)n1nnc2ccccc21 ZINC001195315191 746575279 /nfs/dbraw/zinc/57/52/79/746575279.db2.gz MXOJQJSBEJUWKE-UHFFFAOYSA-N -1 1 316.708 1.398 20 0 DDADMM Cc1c(Br)[nH]nc1C(=O)[N-]c1noc2nccnc12 ZINC001195590881 746649046 /nfs/dbraw/zinc/64/90/46/746649046.db2.gz FIOBCRNXGAOXJD-UHFFFAOYSA-N -1 1 323.110 1.664 20 0 DDADMM CCOC(=O)c1c(C)nsc1[N-]C(=O)c1ncc(OC)o1 ZINC001195790620 746705011 /nfs/dbraw/zinc/70/50/11/746705011.db2.gz XZMDAMGHAWMUQB-UHFFFAOYSA-N -1 1 311.319 1.877 20 0 DDADMM Cn1c([N-]C(=O)c2noc(C(C)(C)C)n2)nnc1C(F)(F)F ZINC001196978632 747023468 /nfs/dbraw/zinc/02/34/68/747023468.db2.gz FWAHMSNWHMDICH-UHFFFAOYSA-N -1 1 318.259 1.767 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)Cc2cccnc2)cc1O ZINC001197457821 747179560 /nfs/dbraw/zinc/17/95/60/747179560.db2.gz LBRCRYKDSMMVPY-UHFFFAOYSA-N -1 1 322.342 1.516 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc(-c4nnco4)cc3)c1-2 ZINC001197665178 747226563 /nfs/dbraw/zinc/22/65/63/747226563.db2.gz IHLGWMBPZZWKKQ-UHFFFAOYSA-N -1 1 321.300 1.337 20 0 DDADMM O=S(=O)(Cc1ccccn1)[N-]c1nc(F)c(F)cc1F ZINC001197783339 747263022 /nfs/dbraw/zinc/26/30/22/747263022.db2.gz UIUWGCUMJZFQON-UHFFFAOYSA-N -1 1 303.265 1.836 20 0 DDADMM COc1cnc(C(=O)[N-]c2ncn(C)c3ncnc2-3)c(F)c1 ZINC001197799573 747268059 /nfs/dbraw/zinc/26/80/59/747268059.db2.gz FJYTYDOTACZJKT-UHFFFAOYSA-N -1 1 302.269 1.110 20 0 DDADMM Cc1ccc2nc(CNC(=O)C(=O)[N-]OCC(C)C)[nH]c2c1 ZINC001117347437 747296670 /nfs/dbraw/zinc/29/66/70/747296670.db2.gz PWVIWEYPRGOKRR-UHFFFAOYSA-N -1 1 304.350 1.191 20 0 DDADMM CCN(c1cc(C)ncn1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001089636895 747523753 /nfs/dbraw/zinc/52/37/53/747523753.db2.gz FYEXNYUKDUYZIQ-GFCCVEGCSA-N -1 1 315.377 1.530 20 0 DDADMM O=C(Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12)C1=CC=CC1 ZINC001199693725 747970299 /nfs/dbraw/zinc/97/02/99/747970299.db2.gz AMMUNZLKWVJUEY-UHFFFAOYSA-N -1 1 321.296 1.798 20 0 DDADMM Cc1oncc1CN1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001033035419 747999748 /nfs/dbraw/zinc/99/97/48/747999748.db2.gz GOBUQQGCKVFPKH-ZDUSSCGKSA-N -1 1 316.361 1.430 20 0 DDADMM C[C@H](CCCCNc1ncccn1)NC(=O)c1ncccc1[O-] ZINC001090079856 748114710 /nfs/dbraw/zinc/11/47/10/748114710.db2.gz OHEXDOLUNXWFDS-GFCCVEGCSA-N -1 1 315.377 1.978 20 0 DDADMM COc1ccc(NC(=S)NCC[P@](=O)([O-])O)c(OC)c1 ZINC001200983319 748392710 /nfs/dbraw/zinc/39/27/10/748392710.db2.gz FNCVVMCVZWPSIZ-UHFFFAOYSA-N -1 1 320.307 1.168 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cc(C)ccc1C(C)=O ZINC001201915018 748641027 /nfs/dbraw/zinc/64/10/27/748641027.db2.gz PVAQXZHOGFOUED-UHFFFAOYSA-N -1 1 313.375 1.893 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996153259 749401753 /nfs/dbraw/zinc/40/17/53/749401753.db2.gz HJVMFNVUXZEZCA-QWRGUYRKSA-N -1 1 321.425 1.273 20 0 DDADMM CO[C@H](C)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC001095346281 749585988 /nfs/dbraw/zinc/58/59/88/749585988.db2.gz HNUPPRNQUUKUJN-NDBYEHHHSA-N -1 1 305.378 1.157 20 0 DDADMM O=C(NCC=CCNc1nc2c(cccc2F)o1)c1cnn[nH]1 ZINC001107584568 750187558 /nfs/dbraw/zinc/18/75/58/750187558.db2.gz FBLLHNXDNJCPHB-OWOJBTEDSA-N -1 1 316.296 1.483 20 0 DDADMM CC1(C)CN(C(=O)C(F)F)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001008073827 752534439 /nfs/dbraw/zinc/53/44/39/752534439.db2.gz CJYDYPLLKDCWAA-VIFPVBQESA-N -1 1 313.304 1.019 20 0 DDADMM CC(C)(CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC000999111816 752537061 /nfs/dbraw/zinc/53/70/61/752537061.db2.gz LEGTZXLNGKVTDQ-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(NC1(CNC(=O)C2CCCC2)CCC1)c1ncccc1[O-] ZINC001062384853 752940637 /nfs/dbraw/zinc/94/06/37/752940637.db2.gz JPGZMPCVNGEBPV-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM CS[C@@H](C)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005950393 753409777 /nfs/dbraw/zinc/40/97/77/753409777.db2.gz JVDZETASTMUIRO-UWVGGRQHSA-N -1 1 323.418 1.115 20 0 DDADMM Cc1coc(C(=O)NCC[C@H](C)NC(=O)c2ncccc2[O-])c1 ZINC001077917569 753419907 /nfs/dbraw/zinc/41/99/07/753419907.db2.gz ZAKYVRGESDIRQT-NSHDSACASA-N -1 1 317.345 1.627 20 0 DDADMM O=C(N[C@H]1CC[N@@H+](Cc2ccc(F)cc2)C1)c1ncccc1[O-] ZINC001010723952 754123361 /nfs/dbraw/zinc/12/33/61/754123361.db2.gz YEPVMQPQQYGDEB-AWEZNQCLSA-N -1 1 315.348 1.931 20 0 DDADMM C[C@@H](C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)C1CCC1 ZINC001011037873 754320296 /nfs/dbraw/zinc/32/02/96/754320296.db2.gz QWMKPQWZWKSBLW-GFCCVEGCSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@@H](CCNC(=O)[C@H]1CC12CC2)NC(=O)c1ncccc1[O-] ZINC001078730603 754327121 /nfs/dbraw/zinc/32/71/21/754327121.db2.gz YAVGNHQWNOZSDA-WDEREUQCSA-N -1 1 303.362 1.212 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C=C2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011675053 754670667 /nfs/dbraw/zinc/67/06/67/754670667.db2.gz YIXCAWWPVQWZDC-AAEUAGOBSA-N -1 1 315.373 1.617 20 0 DDADMM O=C(CC(F)(F)C(F)F)NCC1([N-]C(=O)C(F)F)CCC1 ZINC001064552655 754721521 /nfs/dbraw/zinc/72/15/21/754721521.db2.gz PMTRHIGUJHXYCX-UHFFFAOYSA-N -1 1 320.233 1.697 20 0 DDADMM CCN(C(=O)CC(C)(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079312397 755235253 /nfs/dbraw/zinc/23/52/53/755235253.db2.gz OZZJTFUYEYGXPK-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM CC[C@H](CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC000822659855 758227196 /nfs/dbraw/zinc/22/71/96/758227196.db2.gz YAUVQYRCTGCJCT-TZMCWYRMSA-N -1 1 315.377 1.684 20 0 DDADMM CSCC[C@H](NC(=O)c1cnc(F)c(C)c1)c1nn[n-]n1 ZINC000824911493 759212360 /nfs/dbraw/zinc/21/23/60/759212360.db2.gz ODSQEHGJLLVZRF-VIFPVBQESA-N -1 1 310.358 1.266 20 0 DDADMM CC(C)(C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001085304026 759247258 /nfs/dbraw/zinc/24/72/58/759247258.db2.gz IVMLYDVLVDCFGE-VXGBXAGGSA-N -1 1 321.425 1.464 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001016427782 759556383 /nfs/dbraw/zinc/55/63/83/759556383.db2.gz LBWRRJJMSLUJJK-XKGSQUFQSA-N -1 1 303.362 1.162 20 0 DDADMM Cc1conc1CN[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001054603057 759876251 /nfs/dbraw/zinc/87/62/51/759876251.db2.gz FZRFPVNAHSQTOB-GXFFZTMASA-N -1 1 316.361 1.334 20 0 DDADMM C[C@H]1CN(C(=O)C2(C3CC3)CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054934773 760201299 /nfs/dbraw/zinc/20/12/99/760201299.db2.gz SWGYMYFPMYMNFP-CMPLNLGQSA-N -1 1 319.409 1.027 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CCCc2c[nH]nc21 ZINC001137327232 760308229 /nfs/dbraw/zinc/30/82/29/760308229.db2.gz KMHQXFNOIJZPNU-MRVPVSSYSA-N -1 1 305.363 1.310 20 0 DDADMM CN(C[C@@H]1CCN1CCCF)C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001085923681 760547445 /nfs/dbraw/zinc/54/74/45/760547445.db2.gz DCPLBHDCKXIBNT-JTQLQIEISA-N -1 1 322.340 1.434 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2[C@@H](C)c2ccccc2)c1[O-] ZINC001038161061 760868878 /nfs/dbraw/zinc/86/88/78/760868878.db2.gz KWKUIMAVICUFNG-GXTWGEPZSA-N -1 1 314.389 1.989 20 0 DDADMM CC(C)[C@H](C)C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001067254509 761055603 /nfs/dbraw/zinc/05/56/03/761055603.db2.gz AOUQLCOUWRXWTM-ZDUSSCGKSA-N -1 1 321.421 2.000 20 0 DDADMM CCC(=O)N1CCCC[C@@H]1[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039186827 761811956 /nfs/dbraw/zinc/81/19/56/761811956.db2.gz DDCYHFWUWLRLHS-NWDGAFQWSA-N -1 1 307.398 1.123 20 0 DDADMM C[C@@H](NC(=O)C(C)(C)C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050528037 763711499 /nfs/dbraw/zinc/71/14/99/763711499.db2.gz ADTVZIUHEYCXOS-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1ccnc(NC[C@@H](NC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001109822042 764106861 /nfs/dbraw/zinc/10/68/61/764106861.db2.gz VHYYFKZYEYOYJJ-GFCCVEGCSA-N -1 1 313.361 1.506 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(CC[C@H]2CCCO2)C1 ZINC001042646082 764308334 /nfs/dbraw/zinc/30/83/34/764308334.db2.gz IYMSUFNGCOAINR-CYBMUJFWSA-N -1 1 305.378 1.113 20 0 DDADMM C[C@@H](c1ncccn1)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042646195 764309871 /nfs/dbraw/zinc/30/98/71/764309871.db2.gz MUPPEIOQYALRKI-NSHDSACASA-N -1 1 313.361 1.095 20 0 DDADMM CC1(C)CC[C@H](C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001045189659 766145388 /nfs/dbraw/zinc/14/53/88/766145388.db2.gz FKGHRVIJOFOFAM-RYUDHWBXSA-N -1 1 321.425 1.417 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncccc3OC(F)F)c2[nH]1 ZINC001170213960 766173986 /nfs/dbraw/zinc/17/39/86/766173986.db2.gz UPCXRBWBWSOLEW-UHFFFAOYSA-N -1 1 310.220 1.456 20 0 DDADMM CN1Cc2c(cccc2Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)C1=O ZINC001170217623 766176507 /nfs/dbraw/zinc/17/65/07/766176507.db2.gz SHXSNCWVBPNZTF-UHFFFAOYSA-N -1 1 312.289 1.045 20 0 DDADMM COC(=O)c1c(Cl)ncnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170223388 766197050 /nfs/dbraw/zinc/19/70/50/766197050.db2.gz NAPSOIQRWBLAQW-UHFFFAOYSA-N -1 1 321.684 1.032 20 0 DDADMM Cc1ncn(-c2ccc(Nc3[n-]c(=O)nc4nc[nH]c43)nn2)c1C ZINC001170227471 766201390 /nfs/dbraw/zinc/20/13/90/766201390.db2.gz OCDVKLHZKVUBLH-UHFFFAOYSA-N -1 1 323.320 1.395 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc(Cl)nc3c2CCS3)[n-]1 ZINC001170227197 766201403 /nfs/dbraw/zinc/20/14/03/766201403.db2.gz ZZHPAZDGQRLRLU-UHFFFAOYSA-N -1 1 321.753 1.894 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@]2(C)CCN(Cc3ccccn3)C2)c1[O-] ZINC001046245363 767282024 /nfs/dbraw/zinc/28/20/24/767282024.db2.gz ALFOVPJVADONTN-MRXNPFEDSA-N -1 1 315.377 1.213 20 0 DDADMM COc1ccc(OCCCNC(=O)CCCc2nn[n-]n2)cc1 ZINC001136375968 771948130 /nfs/dbraw/zinc/94/81/30/771948130.db2.gz KOEPVZZTDZJTDW-UHFFFAOYSA-N -1 1 319.365 1.116 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ncsc1Nc1ccccc1 ZINC001143974328 772365163 /nfs/dbraw/zinc/36/51/63/772365163.db2.gz JLASEKDUDIUWIM-UHFFFAOYSA-N -1 1 301.335 1.330 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2cc(F)c([O-])cc2F)CCC1=O ZINC001144647175 772587018 /nfs/dbraw/zinc/58/70/18/772587018.db2.gz BNWBSPQVQRTFQD-JTQLQIEISA-N -1 1 313.300 1.625 20 0 DDADMM [O-]c1cc(F)c(CN2CCN(c3ncccn3)CC2)cc1F ZINC001144646683 772587041 /nfs/dbraw/zinc/58/70/41/772587041.db2.gz GXHJVTVFJYJCMO-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM CC(C)C(=O)Nc1cccc(OC(=O)CCCc2nn[n-]n2)c1 ZINC001146242096 772824838 /nfs/dbraw/zinc/82/48/38/772824838.db2.gz BBBUAMXAZXKCDF-UHFFFAOYSA-N -1 1 317.349 1.723 20 0 DDADMM O=C(Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12)c1cc[nH]c1 ZINC001146886138 772968802 /nfs/dbraw/zinc/96/88/02/772968802.db2.gz YZVBRLFVNOUFAS-UHFFFAOYSA-N -1 1 322.284 1.554 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2c([O-])c(C)ncc2CO)on1 ZINC001147831672 773258944 /nfs/dbraw/zinc/25/89/44/773258944.db2.gz DZTNXVUGWNJZSR-MRVPVSSYSA-N -1 1 305.334 1.245 20 0 DDADMM CC(=O)[C@H]1CCN(C(=O)c2ccc(-n3[n-]c(C)cc3=O)cc2)C1 ZINC001148333117 773438380 /nfs/dbraw/zinc/43/83/80/773438380.db2.gz NTAJJODGFNNXDJ-AWEZNQCLSA-N -1 1 313.357 1.850 20 0 DDADMM CC(C)(C)OC(=O)c1ccccc1C(=O)NCc1nn[n-]n1 ZINC001148385488 773463746 /nfs/dbraw/zinc/46/37/46/773463746.db2.gz KMWDOHPOHXANTH-UHFFFAOYSA-N -1 1 303.322 1.085 20 0 DDADMM Cn1cncc1NC(=O)c1cc([O-])c(Br)c(O)c1 ZINC001148981422 773663833 /nfs/dbraw/zinc/66/38/33/773663833.db2.gz JNTWLTFTPLAVJQ-UHFFFAOYSA-N -1 1 312.123 1.846 20 0 DDADMM C[C@H]1CN(C(=O)c2cc([O-])c(Br)c(O)c2)C[C@@H]1O ZINC001148983358 773664319 /nfs/dbraw/zinc/66/43/19/773664319.db2.gz APIULVILOBERAN-WKEGUHRASA-N -1 1 316.151 1.313 20 0 DDADMM Cc1cc(N[C@H](C)CCNC(=O)c2ncccc2[O-])ncn1 ZINC001099104443 774834629 /nfs/dbraw/zinc/83/46/29/774834629.db2.gz GSOLVITYYHJRIM-SNVBAGLBSA-N -1 1 301.350 1.506 20 0 DDADMM COC(=O)c1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1OC ZINC001174428206 777475060 /nfs/dbraw/zinc/47/50/60/777475060.db2.gz SZUVGEPNNKBOST-UHFFFAOYSA-N -1 1 322.346 1.592 20 0 DDADMM CNC(=O)c1ccc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cc1 ZINC001174511379 777504948 /nfs/dbraw/zinc/50/49/48/777504948.db2.gz RDKSMFSXSAPPFT-UHFFFAOYSA-N -1 1 316.321 1.749 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cnn([C@@H]2CCCCO2)c1 ZINC001174599152 777537199 /nfs/dbraw/zinc/53/71/99/777537199.db2.gz OZTIQUBHWXXCPJ-QMMMGPOBSA-N -1 1 308.367 1.692 20 0 DDADMM CCc1cc(C(=O)NC2(c3nn[n-]n3)CCC2)cc(Cl)n1 ZINC001176725572 778222025 /nfs/dbraw/zinc/22/20/25/778222025.db2.gz LKTRKURQOGDCFA-UHFFFAOYSA-N -1 1 306.757 1.620 20 0 DDADMM COC[C@H](NC(=O)c1cc(F)c(F)cc1Cl)c1nn[n-]n1 ZINC001177823091 778730268 /nfs/dbraw/zinc/73/02/68/778730268.db2.gz JRURXMAPXRCSNP-VIFPVBQESA-N -1 1 317.683 1.249 20 0 DDADMM O=C(c1cccc2nccnc21)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001177866254 778748034 /nfs/dbraw/zinc/74/80/34/778748034.db2.gz JBAXJJKFEVHFHF-LLVKDONJSA-N -1 1 323.360 1.238 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C3(c4cc(C)ccn4)CC3)c1-2 ZINC001178064811 778846266 /nfs/dbraw/zinc/84/62/66/778846266.db2.gz DHPIIVKBYLNLKF-UHFFFAOYSA-N -1 1 308.345 1.409 20 0 DDADMM CCc1nsc(NC[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001103917626 779169943 /nfs/dbraw/zinc/16/99/43/779169943.db2.gz SLGHYNOCCGFXIM-VIFPVBQESA-N -1 1 321.406 1.679 20 0 DDADMM O=S(=O)([N-]CCCOC(F)F)c1ccc(Cl)nc1F ZINC000692860716 779490871 /nfs/dbraw/zinc/49/08/71/779490871.db2.gz FONSHIDRARJMNY-UHFFFAOYSA-N -1 1 318.704 1.782 20 0 DDADMM CC(C)(C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)C(F)(F)F ZINC001180450214 779785731 /nfs/dbraw/zinc/78/57/31/779785731.db2.gz CLKYFHIUZPOPSZ-UHFFFAOYSA-N -1 1 305.216 1.243 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ncc(Cl)s1 ZINC001116876036 780570769 /nfs/dbraw/zinc/57/07/69/780570769.db2.gz VCUZDHOPYSGQOE-VIFPVBQESA-N -1 1 320.765 1.829 20 0 DDADMM CC(C)c1noc(CCNC(=O)C(=O)c2ccc([O-])cc2)n1 ZINC001117414088 780769345 /nfs/dbraw/zinc/76/93/45/780769345.db2.gz RJYLJDSSPPDIQO-UHFFFAOYSA-N -1 1 303.318 1.440 20 0 DDADMM CC1=C(C)C[C@@H](C(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001268646562 840628967 /nfs/dbraw/zinc/62/89/67/840628967.db2.gz DUCAWBTYENHXSV-NEPJUHHUSA-N -1 1 307.398 1.241 20 0 DDADMM CCN(C(=O)C12CC(NC(=O)c3ncccc3[O-])(C1)C2)C(C)C ZINC001269346221 841542039 /nfs/dbraw/zinc/54/20/39/841542039.db2.gz BQXINXPPIURZHR-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)Cc1ccno1)c1ccc(C)o1 ZINC001414257510 842012668 /nfs/dbraw/zinc/01/26/68/842012668.db2.gz NTZGYYPKBUHEBI-NSHDSACASA-N -1 1 300.336 1.383 20 0 DDADMM COc1cccc(CC(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001142846311 861283037 /nfs/dbraw/zinc/28/30/37/861283037.db2.gz DBVUNONYWMBIEQ-UHFFFAOYSA-N -1 1 301.279 1.312 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)C(C)(C)O)c1ccccc1N ZINC001142903895 861299056 /nfs/dbraw/zinc/29/90/56/861299056.db2.gz DOPCNNWNDLLNAT-UHFFFAOYSA-N -1 1 311.363 1.014 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)C(C)(C)O)c1ccccc1N ZINC001142903895 861299070 /nfs/dbraw/zinc/29/90/70/861299070.db2.gz DOPCNNWNDLLNAT-UHFFFAOYSA-N -1 1 311.363 1.014 20 0 DDADMM CCCCN1CC[C@]2(CCCN2C(=O)c2cncc([O-])c2)C1=O ZINC001271386177 843548780 /nfs/dbraw/zinc/54/87/80/843548780.db2.gz LBNPFNWIFZZTBP-QGZVFWFLSA-N -1 1 317.389 1.794 20 0 DDADMM O=C([O-])c1cn([C@@H]2CCCN(CCOCC(F)(F)F)C2)nn1 ZINC001326534236 861430084 /nfs/dbraw/zinc/43/00/84/861430084.db2.gz QVQCQBVGAKBQJH-SECBINFHSA-N -1 1 322.287 1.192 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccncc1 ZINC001409261832 844726627 /nfs/dbraw/zinc/72/66/27/844726627.db2.gz TYUWFGKAMHJNKJ-LBPRGKRZSA-N -1 1 314.345 1.121 20 0 DDADMM CN1CC[C@]2(CCN(C(=O)c3cc([O-])cc(F)c3F)C2)C1=O ZINC001272249780 844894910 /nfs/dbraw/zinc/89/49/10/844894910.db2.gz MVDAOLFCYTZJOW-OAHLLOKOSA-N -1 1 310.300 1.365 20 0 DDADMM CC(C)C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CC1 ZINC001409761518 845674286 /nfs/dbraw/zinc/67/42/86/845674286.db2.gz GOMJHLKNYRHPTH-GFCCVEGCSA-N -1 1 305.378 1.458 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CC12CCC2 ZINC001411211324 850413204 /nfs/dbraw/zinc/41/32/04/850413204.db2.gz BFRSXOBODZJKGD-MNOVXSKESA-N -1 1 321.425 1.321 20 0 DDADMM CN1CC[C@]2(CCCN2C(=O)c2cccc([O-])c2Cl)C1=O ZINC001273545408 851080143 /nfs/dbraw/zinc/08/01/43/851080143.db2.gz FDMANFSSEDBUNT-OAHLLOKOSA-N -1 1 308.765 1.883 20 0 DDADMM CCN(CC)c1ccc2ccc(C(=O)NCc3nn[n-]n3)cn21 ZINC001150414719 862229685 /nfs/dbraw/zinc/22/96/85/862229685.db2.gz LVIYDPLJVGRMMV-UHFFFAOYSA-N -1 1 313.365 1.229 20 0 DDADMM CCS(=O)(=O)[N-]c1cc(N2CCOCC2)cc(Cl)n1 ZINC001253284956 851749333 /nfs/dbraw/zinc/74/93/33/851749333.db2.gz WHQNSNFTZNXLDP-UHFFFAOYSA-N -1 1 305.787 1.333 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ncsc1Br ZINC001362506530 883965021 /nfs/dbraw/zinc/96/50/21/883965021.db2.gz XLFXZMNSYBNUOA-UHFFFAOYSA-N -1 1 303.141 1.895 20 0 DDADMM COCCOC1CN(C(=O)c2ccc3ccc(C)nc3c2[O-])C1 ZINC001155658869 862348270 /nfs/dbraw/zinc/34/82/70/862348270.db2.gz UWBQJTIKBQMSOU-UHFFFAOYSA-N -1 1 316.357 1.736 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC[C@H]3C[C@]32C(=O)NC(C)(C)C)n1 ZINC001274973234 852722192 /nfs/dbraw/zinc/72/21/92/852722192.db2.gz PRIPMVTVGCKJJG-APPDUMDISA-N -1 1 317.389 1.615 20 0 DDADMM CCCC(=O)NCCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001415437944 853146995 /nfs/dbraw/zinc/14/69/95/853146995.db2.gz URNOPODBGHCPHG-UHFFFAOYSA-N -1 1 306.366 1.048 20 0 DDADMM CCN(C(=O)[C@@]12C[C@@H]1CCN2C(=O)c1cncc([O-])c1)C(C)C ZINC001275624038 853447832 /nfs/dbraw/zinc/44/78/32/853447832.db2.gz RXUITFPSEKSFJP-SUMWQHHRSA-N -1 1 317.389 1.649 20 0 DDADMM CC(C)(C)NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1cncc([O-])c1)C2 ZINC001275621147 853447863 /nfs/dbraw/zinc/44/78/63/853447863.db2.gz QAEWTYAXJDIWLY-BDJLRTHQSA-N -1 1 303.362 1.164 20 0 DDADMM CCOC(=O)N[C@H]1CCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001411651250 853521545 /nfs/dbraw/zinc/52/15/45/853521545.db2.gz WYXLJQXWYDVWMG-JTQLQIEISA-N -1 1 310.325 1.882 20 0 DDADMM O=C(CNC(=O)c1ccc([O-])cn1)N1CCc2ccccc2C1 ZINC001411739538 853662981 /nfs/dbraw/zinc/66/29/81/853662981.db2.gz YXDZWLQRPYEBFK-UHFFFAOYSA-N -1 1 311.341 1.102 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC(C)(C)c1c(C)noc1C ZINC001412110297 854229159 /nfs/dbraw/zinc/22/91/59/854229159.db2.gz UNVBVEXQTMAQQT-UHFFFAOYSA-N -1 1 322.321 1.166 20 0 DDADMM CCC(CC)(NC(=O)Cc1cnccc1Cl)c1nn[n-]n1 ZINC001412114484 854232151 /nfs/dbraw/zinc/23/21/51/854232151.db2.gz YIJKHCISUVJQAN-UHFFFAOYSA-N -1 1 308.773 1.622 20 0 DDADMM COc1cc(OC)nc(C(=O)Nc2cc([O-])c(F)cc2F)n1 ZINC001412209629 854336418 /nfs/dbraw/zinc/33/64/18/854336418.db2.gz NHRBOMXEBICEMN-UHFFFAOYSA-N -1 1 311.244 1.730 20 0 DDADMM Cc1c(Br)ccc(C(=O)N(C)c2nn[n-]n2)c1F ZINC001412229488 854349828 /nfs/dbraw/zinc/34/98/28/854349828.db2.gz XGZBCGJOYCTSHK-UHFFFAOYSA-N -1 1 314.118 1.686 20 0 DDADMM O=C(N[C@H]1CCN(c2ccc(F)cc2)C1=O)c1ncccc1[O-] ZINC001412249479 854374832 /nfs/dbraw/zinc/37/48/32/854374832.db2.gz UWJBXBLXGCOAQJ-LBPRGKRZSA-N -1 1 315.304 1.462 20 0 DDADMM CCCNC(=O)Cc1csc([N-]C(=O)c2cc(OC)no2)n1 ZINC001412274509 854398892 /nfs/dbraw/zinc/39/88/92/854398892.db2.gz ZSPRZBFPJADLFQ-UHFFFAOYSA-N -1 1 324.362 1.461 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](OCC)C(C)C)n1 ZINC001412328813 854437969 /nfs/dbraw/zinc/43/79/69/854437969.db2.gz XQBBVMKFONMYPE-NXEZZACHSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](OCC)C(C)C)n[n-]1 ZINC001412328813 854437953 /nfs/dbraw/zinc/43/79/53/854437953.db2.gz XQBBVMKFONMYPE-NXEZZACHSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](OCC)C(C)C)[n-]1 ZINC001412328813 854437960 /nfs/dbraw/zinc/43/79/60/854437960.db2.gz XQBBVMKFONMYPE-NXEZZACHSA-N -1 1 312.370 1.220 20 0 DDADMM CSc1nc(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)n[nH]1 ZINC001412479092 854601271 /nfs/dbraw/zinc/60/12/71/854601271.db2.gz MFEKLEMEPZKAPN-UHFFFAOYSA-N -1 1 324.366 1.457 20 0 DDADMM COc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)cc1OC ZINC001412542536 854688363 /nfs/dbraw/zinc/68/83/63/854688363.db2.gz MSDUHVRBLNYMEE-UHFFFAOYSA-N -1 1 303.322 1.026 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@]12CCO[C@@H]1CCCC2 ZINC001412545635 854695443 /nfs/dbraw/zinc/69/54/43/854695443.db2.gz OPTOGNUXQRSANR-IUODEOHRSA-N -1 1 307.398 1.534 20 0 DDADMM COC[C@H](NC(=O)COc1ccc(C(C)C)cc1)c1nn[n-]n1 ZINC001412595773 854786043 /nfs/dbraw/zinc/78/60/43/854786043.db2.gz ONTOLZLDLIFFCC-ZDUSSCGKSA-N -1 1 319.365 1.206 20 0 DDADMM CSc1ncc(C(=O)N2CCO[C@@H](c3ccco3)C2)c(=O)[n-]1 ZINC001412631889 854848894 /nfs/dbraw/zinc/84/88/94/854848894.db2.gz CFZGUCIDSLVVEN-LLVKDONJSA-N -1 1 321.358 1.711 20 0 DDADMM CC(C)NC(=O)N1CC[C@H]2[C@@H]1CCN2Cc1ccc(C(=O)[O-])o1 ZINC001276166875 854867209 /nfs/dbraw/zinc/86/72/09/854867209.db2.gz SXALYGJGFQUAJX-STQMWFEESA-N -1 1 321.377 1.744 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)[nH]n1 ZINC001412684644 854944063 /nfs/dbraw/zinc/94/40/63/854944063.db2.gz BVHJMIJJVAVYJH-QMMMGPOBSA-N -1 1 301.350 1.452 20 0 DDADMM CCc1nc(NC(=O)c2ncc(Br)cc2[O-])n[nH]1 ZINC001412722233 855022152 /nfs/dbraw/zinc/02/21/52/855022152.db2.gz BVJIFKYDHROCNO-UHFFFAOYSA-N -1 1 312.127 1.483 20 0 DDADMM CCc1[nH]c(=O)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1C ZINC001412796812 855277195 /nfs/dbraw/zinc/27/71/95/855277195.db2.gz RLOLDKFECSOOKT-VIFPVBQESA-N -1 1 304.354 1.047 20 0 DDADMM C[C@@H](CSC(F)(F)F)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC001412799673 855281741 /nfs/dbraw/zinc/28/17/41/855281741.db2.gz WOMSVJUTEWECEI-RQJHMYQMSA-N -1 1 311.333 1.651 20 0 DDADMM O=C(NCC1(O)CCOCC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001412836774 855562551 /nfs/dbraw/zinc/56/25/51/855562551.db2.gz BRUHJVOLXZTLAB-UHFFFAOYSA-N -1 1 319.279 1.682 20 0 DDADMM CN(C)C(=O)Nc1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001412981876 855870791 /nfs/dbraw/zinc/87/07/91/855870791.db2.gz YQYIKEIMSFLXEF-UHFFFAOYSA-N -1 1 301.306 1.528 20 0 DDADMM Nc1n[nH]c(C2CCN(C(=O)c3ccc(F)c([O-])c3)CC2)n1 ZINC001413019324 855958099 /nfs/dbraw/zinc/95/80/99/855958099.db2.gz ICVVISHCPWALBX-UHFFFAOYSA-N -1 1 305.313 1.251 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1cc(=O)cc[nH]1 ZINC001413052771 856191316 /nfs/dbraw/zinc/19/13/16/856191316.db2.gz YHKKVXSETFYGAD-UHFFFAOYSA-N -1 1 316.361 1.406 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2cc(=O)c(O)co2)[n-]c1=O ZINC001413078572 856374734 /nfs/dbraw/zinc/37/47/34/856374734.db2.gz NOPMDEIHPOWKPK-SNVBAGLBSA-N -1 1 319.317 1.187 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCc2cscn2)n[n-]1 ZINC001413275732 856601904 /nfs/dbraw/zinc/60/19/04/856601904.db2.gz YJKMAGCZLUDFEE-QMMMGPOBSA-N -1 1 323.378 1.248 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCc2cscn2)[n-]1 ZINC001413275732 856601906 /nfs/dbraw/zinc/60/19/06/856601906.db2.gz YJKMAGCZLUDFEE-QMMMGPOBSA-N -1 1 323.378 1.248 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCc2cscn2)n1 ZINC001413275732 856601911 /nfs/dbraw/zinc/60/19/11/856601911.db2.gz YJKMAGCZLUDFEE-QMMMGPOBSA-N -1 1 323.378 1.248 20 0 DDADMM CSCC[C@H](NC(=O)c1c(C)noc1C1CC1)c1nn[n-]n1 ZINC001413294523 856617521 /nfs/dbraw/zinc/61/75/21/856617521.db2.gz BVVUMHIKZLZPNZ-VIFPVBQESA-N -1 1 322.394 1.598 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C(F)F)n[nH]1)c1nn[n-]n1 ZINC001413297974 856620794 /nfs/dbraw/zinc/62/07/94/856620794.db2.gz JMXQZSHEGZXXIL-YFKPBYRVSA-N -1 1 317.325 1.085 20 0 DDADMM O=C(NCc1nnc(C2CCOCC2)[nH]1)c1ccc([O-])c(F)c1 ZINC001413394163 856754445 /nfs/dbraw/zinc/75/44/45/856754445.db2.gz MYQMNKFLINYHFD-UHFFFAOYSA-N -1 1 320.324 1.473 20 0 DDADMM CC(=O)N(C)CCN(C(=O)c1cnc(C2CC2)[n-]c1=O)C(C)C ZINC001413403379 856769421 /nfs/dbraw/zinc/76/94/21/856769421.db2.gz URKHAOJAPAOBEB-UHFFFAOYSA-N -1 1 320.393 1.389 20 0 DDADMM O=S(=O)(Cc1noc(C2CC2)n1)[N-]Cc1cc(C2CC2)no1 ZINC001413431088 856799632 /nfs/dbraw/zinc/79/96/32/856799632.db2.gz JKRGHDFWDHABIV-UHFFFAOYSA-N -1 1 324.362 1.432 20 0 DDADMM CCc1ccc(O)c(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001413501160 856896310 /nfs/dbraw/zinc/89/63/10/856896310.db2.gz BRNLQLSAADQGOT-UHFFFAOYSA-N -1 1 303.366 1.766 20 0 DDADMM CCOC(=O)[C@@H]1CCCN(C(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001151768716 862959139 /nfs/dbraw/zinc/95/91/39/862959139.db2.gz LAKYXNFXTKYFTN-GFCCVEGCSA-N -1 1 319.357 1.928 20 0 DDADMM CC(C)(C)OC(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001413719184 858166995 /nfs/dbraw/zinc/16/69/95/858166995.db2.gz YVXWQLBBPXORLJ-UHFFFAOYSA-N -1 1 307.350 1.574 20 0 DDADMM CSCCCN(C)c1nnc(-c2c[n-][nH]c2=O)n1CC1CC1 ZINC001123548302 859293911 /nfs/dbraw/zinc/29/39/11/859293911.db2.gz DVZAMWVKGWJLIB-UHFFFAOYSA-N -1 1 322.438 1.973 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1C[C@H](C)OC2(CCC2)C1 ZINC001123904689 859464742 /nfs/dbraw/zinc/46/47/42/859464742.db2.gz IEQGVUKSWFQUTG-QMMMGPOBSA-N -1 1 309.391 1.563 20 0 DDADMM COC[C@H](C)OC[C@@H](C)OC[C@H](C)OCC[P@](=O)([O-])O ZINC001224603967 881465918 /nfs/dbraw/zinc/46/59/18/881465918.db2.gz CUYFYFKOSSWEJJ-SDDRHHMPSA-N -1 1 314.315 1.026 20 0 DDADMM COc1cccc(CN2CC[C@@](C)(CO)C2)c1OCC(=O)[O-] ZINC001139268952 860344562 /nfs/dbraw/zinc/34/45/62/860344562.db2.gz VTCXPWGWBUPRSH-MRXNPFEDSA-N -1 1 309.362 1.363 20 0 DDADMM CCn1nnc2c1[C@H](COC)CN(Cc1ccncc1[O-])C2 ZINC001140274539 860605637 /nfs/dbraw/zinc/60/56/37/860605637.db2.gz ISWTXLXTOAIDMZ-LBPRGKRZSA-N -1 1 303.366 1.144 20 0 DDADMM CCOC(=O)[C@H]1C(=O)CCCN1Cc1cc(F)c([O-])c(F)c1 ZINC001140991681 860752959 /nfs/dbraw/zinc/75/29/59/860752959.db2.gz UWIKEAHXEMCRTQ-CYBMUJFWSA-N -1 1 313.300 1.767 20 0 DDADMM NC(=O)c1ccc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)s1 ZINC001361403841 881582951 /nfs/dbraw/zinc/58/29/51/881582951.db2.gz FFJCIEZCARPYCF-UHFFFAOYSA-N -1 1 318.358 1.474 20 0 DDADMM Cc1cc(CCC(=O)NCCCC[P@](=O)([O-])O)ccn1 ZINC001142254144 861090450 /nfs/dbraw/zinc/09/04/50/861090450.db2.gz YJTAPVJOPPQVQG-UHFFFAOYSA-N -1 1 300.295 1.397 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1C=C[C@H]2C[C@@H]1CO2 ZINC001154429780 861095347 /nfs/dbraw/zinc/09/53/47/861095347.db2.gz VJUICICQSAOELA-AEJSXWLSSA-N -1 1 307.375 1.100 20 0 DDADMM COC[C@@H]1CN(C(=O)c2cc(C)cc(C=O)c2[O-])C[C@@H](C)O1 ZINC001154526031 861174740 /nfs/dbraw/zinc/17/47/40/861174740.db2.gz BQVPQZWOVNBZCS-YPMHNXCESA-N -1 1 307.346 1.389 20 0 DDADMM Cc1nn(C(C)C)cc1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC001328623908 863114919 /nfs/dbraw/zinc/11/49/19/863114919.db2.gz KQWILGLYONIWKO-UHFFFAOYSA-N -1 1 315.337 1.064 20 0 DDADMM Cc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(N)c1Cl ZINC001152486183 863385565 /nfs/dbraw/zinc/38/55/65/863385565.db2.gz KKSACTUNSHXSAO-UHFFFAOYSA-N -1 1 320.784 1.764 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(=O)c3cccc(O)c3[n-]2)CCCO1 ZINC001152668937 863483300 /nfs/dbraw/zinc/48/33/00/863483300.db2.gz BBAIVEDXQLJWKT-SNVBAGLBSA-N -1 1 302.330 1.897 20 0 DDADMM CCOC(=O)C(C)(C)NC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152680603 863490805 /nfs/dbraw/zinc/49/08/05/863490805.db2.gz RETMLJCGYMXVPH-UHFFFAOYSA-N -1 1 318.329 1.718 20 0 DDADMM O=[P@]([O-])(O)C1(Nc2ccc(F)nn2)Cc2ccccc2C1 ZINC001157157611 863621869 /nfs/dbraw/zinc/62/18/69/863621869.db2.gz WQJKEIRZSOFOSD-UHFFFAOYSA-N -1 1 309.237 1.700 20 0 DDADMM O=C([O-])CNC(=O)CCNCc1ccc(OC(F)F)cc1F ZINC001329682084 863788549 /nfs/dbraw/zinc/78/85/49/863788549.db2.gz NIMXHKORBKIQGC-UHFFFAOYSA-N -1 1 320.267 1.108 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cc2ncccc2o1 ZINC001153704815 864027982 /nfs/dbraw/zinc/02/79/82/864027982.db2.gz MCJZZDCIDGMQQS-UHFFFAOYSA-N -1 1 312.245 1.109 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc(F)c(F)cc1N ZINC001153812420 864126864 /nfs/dbraw/zinc/12/68/64/864126864.db2.gz XPRCRAPUHSRXIG-UHFFFAOYSA-N -1 1 310.308 1.359 20 0 DDADMM CSc1nccc(NC(=O)c2cnc3cccnc3c2[O-])n1 ZINC001153853795 864160745 /nfs/dbraw/zinc/16/07/45/864160745.db2.gz DYFBNYSEETUKDB-UHFFFAOYSA-N -1 1 313.342 1.687 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)NC1CC(F)(F)C1 ZINC001331250744 864965993 /nfs/dbraw/zinc/96/59/93/864965993.db2.gz NRNFVHFGQAGWRC-UHFFFAOYSA-N -1 1 318.707 1.576 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cccc4c3COCC4)ccnc1-2 ZINC001361528313 881834465 /nfs/dbraw/zinc/83/44/65/881834465.db2.gz RETHAQNAGMJMJN-UHFFFAOYSA-N -1 1 308.341 1.667 20 0 DDADMM CCOC(=O)c1ccnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001159185362 865152504 /nfs/dbraw/zinc/15/25/04/865152504.db2.gz UVUZVPUXPUZFCY-UHFFFAOYSA-N -1 1 316.277 1.031 20 0 DDADMM COC(=O)c1cc(F)cnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159192510 865157340 /nfs/dbraw/zinc/15/73/40/865157340.db2.gz QSLUOZZGQJEACZ-UHFFFAOYSA-N -1 1 304.241 1.123 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCCC2CC2)o1 ZINC001331860897 865396045 /nfs/dbraw/zinc/39/60/45/865396045.db2.gz GXPLULFYWKPOLV-UHFFFAOYSA-N -1 1 300.380 1.498 20 0 DDADMM NCc1nccnc1[N-]C(F)(F)c1cnc(Cl)c(N)c1 ZINC001160246077 865745970 /nfs/dbraw/zinc/74/59/70/865745970.db2.gz VDKWPNRVELCNPO-UHFFFAOYSA-N -1 1 300.700 1.727 20 0 DDADMM CC(=O)N[C@@H](CC(=O)N(C)c1nn[n-]n1)c1ccc(Cl)cc1 ZINC001361565639 881906133 /nfs/dbraw/zinc/90/61/33/881906133.db2.gz OJAZAYFVBSPJMX-NSHDSACASA-N -1 1 322.756 1.083 20 0 DDADMM CC(C)c1ccccc1N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001160626040 865980284 /nfs/dbraw/zinc/98/02/84/865980284.db2.gz CDUBSBOTNLWCBL-UHFFFAOYSA-N -1 1 315.373 1.713 20 0 DDADMM CCOc1cc(Cl)nc(Nc2c(O)[nH]c(=O)[n-]c2=S)c1 ZINC001160847631 866148265 /nfs/dbraw/zinc/14/82/65/866148265.db2.gz BVKWWIJTZKZWDZ-MRVPVSSYSA-N -1 1 314.754 1.081 20 0 DDADMM CC(C)CN1CCO[C@H](CNC(=O)CCCCC(=O)[O-])C1 ZINC001332928338 866263186 /nfs/dbraw/zinc/26/31/86/866263186.db2.gz GXMBTEVNTGHJLZ-CYBMUJFWSA-N -1 1 300.399 1.104 20 0 DDADMM O=C([O-])C1(C(=O)N2CCC[C@@H](N3CCOCC3)CC2)CCCC1 ZINC001333370263 866678057 /nfs/dbraw/zinc/67/80/57/866678057.db2.gz OWRCRGWEPGOLQG-CQSZACIVSA-N -1 1 324.421 1.345 20 0 DDADMM CCc1cnc(CNCC[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001320387689 866698775 /nfs/dbraw/zinc/69/87/75/866698775.db2.gz UAPNAQSDRIWTNR-VIFPVBQESA-N -1 1 321.381 1.272 20 0 DDADMM COC1([C@@H](C)NC(=O)C(=O)c2ccc([O-])cc2)CCOCC1 ZINC001162191436 867327668 /nfs/dbraw/zinc/32/76/68/867327668.db2.gz RQZNNPKMABVCOK-LLVKDONJSA-N -1 1 307.346 1.275 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)/C=C\C(C)(C)C ZINC001334234347 867408285 /nfs/dbraw/zinc/40/82/85/867408285.db2.gz HWYRNSNXSYXGDY-LAUAKBEESA-N -1 1 319.405 1.966 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N(C)c1cn[nH]c1 ZINC001322443568 868173973 /nfs/dbraw/zinc/17/39/73/868173973.db2.gz CFBOAYMBDXMZHZ-UHFFFAOYSA-N -1 1 324.344 1.069 20 0 DDADMM CC(C)(C)/C=C\C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163831143 868742766 /nfs/dbraw/zinc/74/27/66/868742766.db2.gz RHUIWRRHSVKOJK-PLNGDYQASA-N -1 1 321.425 1.489 20 0 DDADMM O=c1cc(O[C@@H]2CCS(=O)(=O)C2)nc(-c2ccccc2)[n-]1 ZINC001226184180 882259461 /nfs/dbraw/zinc/25/94/61/882259461.db2.gz BSDXAPYCDALMLD-LLVKDONJSA-N -1 1 306.343 1.415 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)CCc1ccco1 ZINC001361756081 882306224 /nfs/dbraw/zinc/30/62/24/882306224.db2.gz OXYGELGSTROJGU-MRVPVSSYSA-N -1 1 307.306 1.241 20 0 DDADMM O=C(NC/C=C/CNC(=O)c1ncccc1[O-])c1cccs1 ZINC001298230211 870375281 /nfs/dbraw/zinc/37/52/81/870375281.db2.gz NDVTUYMDBJVURC-OWOJBTEDSA-N -1 1 317.370 1.565 20 0 DDADMM C/C(=C\C(=O)NC/C=C/CNC(=O)c1ncccc1[O-])C1CC1 ZINC001298285258 870433530 /nfs/dbraw/zinc/43/35/30/870433530.db2.gz AWHCKHFJTGMTGG-MJPMTTJZSA-N -1 1 315.373 1.546 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1Cc2ccccc2C2(CCC2)C1 ZINC001339544449 870547243 /nfs/dbraw/zinc/54/72/43/870547243.db2.gz UGVWKDWKCYDUBF-UHFFFAOYSA-N -1 1 322.376 1.437 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1Cc2ccccc2C2(CCC2)C1 ZINC001339544449 870547254 /nfs/dbraw/zinc/54/72/54/870547254.db2.gz UGVWKDWKCYDUBF-UHFFFAOYSA-N -1 1 322.376 1.437 20 0 DDADMM COC(=O)c1c[nH]c2cc(NC(=O)C3=C([O-])C(C)N=N3)ccc12 ZINC001301008663 870971265 /nfs/dbraw/zinc/97/12/65/870971265.db2.gz UTIWYKIAKXJIKL-UHFFFAOYSA-N -1 1 314.301 1.944 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)c1cc2cc(F)ccc2[nH]1 ZINC001301575837 871005117 /nfs/dbraw/zinc/00/51/17/871005117.db2.gz UZUOWYGUEQXZAM-UHFFFAOYSA-N -1 1 302.265 1.438 20 0 DDADMM O=C(c1cnc(-c2ccccc2)nc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001302937635 871089042 /nfs/dbraw/zinc/08/90/42/871089042.db2.gz PSQALBXKVJALPU-GFCCVEGCSA-N -1 1 321.344 1.286 20 0 DDADMM O=C(c1cc(-c2ccccc2)no1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001302937386 871089283 /nfs/dbraw/zinc/08/92/83/871089283.db2.gz MPQXJQLOOPRFIB-NSHDSACASA-N -1 1 310.317 1.484 20 0 DDADMM O=C(c1cc(-c2ccccc2)no1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001302937383 871090029 /nfs/dbraw/zinc/09/00/29/871090029.db2.gz MPQXJQLOOPRFIB-LLVKDONJSA-N -1 1 310.317 1.484 20 0 DDADMM Cc1cc(Cl)cc(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)c1 ZINC001317610747 871198509 /nfs/dbraw/zinc/19/85/09/871198509.db2.gz OUVFXEZWEQCOLM-UHFFFAOYSA-N -1 1 323.784 1.334 20 0 DDADMM c1ccc(CCn2c(-c3nnn[n-]3)nnc2N2CC=CCC2)cc1 ZINC001340751042 871330230 /nfs/dbraw/zinc/33/02/30/871330230.db2.gz MUEOFQVTOQYIMT-UHFFFAOYSA-N -1 1 322.376 1.467 20 0 DDADMM c1ccc(CCn2c(-c3nn[n-]n3)nnc2N2CC=CCC2)cc1 ZINC001340751042 871330251 /nfs/dbraw/zinc/33/02/51/871330251.db2.gz MUEOFQVTOQYIMT-UHFFFAOYSA-N -1 1 322.376 1.467 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCc2c1cccc2O ZINC001361872406 882544517 /nfs/dbraw/zinc/54/45/17/882544517.db2.gz OHENQLKKWQFZEH-SECBINFHSA-N -1 1 317.301 1.019 20 0 DDADMM CCCC(=O)[C@@H](CCC)Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c21 ZINC001226889049 882705039 /nfs/dbraw/zinc/70/50/39/882705039.db2.gz YENWECXWYRJOER-MRVPVSSYSA-N -1 1 322.321 1.453 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@H]2C[C@@]23CCOC3)[n-]c1Cl ZINC001361961950 882716680 /nfs/dbraw/zinc/71/66/80/882716680.db2.gz IOSIYGVDIYVXEJ-WPPNPWJKSA-N -1 1 313.741 1.605 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@]1(F)CCOC1 ZINC001346228707 873657893 /nfs/dbraw/zinc/65/78/93/873657893.db2.gz HOPOKLSSLKJSQU-HNNXBMFYSA-N -1 1 308.261 1.670 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H]1Cc2ccccc21 ZINC001346291665 873688686 /nfs/dbraw/zinc/68/86/86/873688686.db2.gz GOGHVXBKSPMWBU-SNVBAGLBSA-N -1 1 301.371 1.849 20 0 DDADMM CCOc1ccccc1OCCCC(=O)OCc1nn[n-]n1 ZINC001346451112 873759132 /nfs/dbraw/zinc/75/91/32/873759132.db2.gz SIGGMARMNPBTED-UHFFFAOYSA-N -1 1 306.322 1.501 20 0 DDADMM C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)c1c(F)ccc([O-])c1F ZINC001361984414 882760772 /nfs/dbraw/zinc/76/07/72/882760772.db2.gz XCNZYNBTODBIAZ-HTQZYQBOSA-N -1 1 319.329 1.318 20 0 DDADMM Cc1cc2ccc(C(=O)N3CCC[C@H](Cc4nn[n-]n4)C3)cn2c1 ZINC001348165388 874392776 /nfs/dbraw/zinc/39/27/76/874392776.db2.gz LBSQTMDTKSQJMG-CYBMUJFWSA-N -1 1 324.388 1.856 20 0 DDADMM O=C(CC1CC(F)(F)C1)NCCNC(=O)c1ncccc1[O-] ZINC001348838563 874820145 /nfs/dbraw/zinc/82/01/45/874820145.db2.gz HVRAGQINJZFWCL-UHFFFAOYSA-N -1 1 313.304 1.069 20 0 DDADMM CCOC(=O)[C@H](Oc1[n-]c(=O)ncc1Br)C1CC1 ZINC001227137825 882869098 /nfs/dbraw/zinc/86/90/98/882869098.db2.gz LEGYDRGMOXSTKC-MRVPVSSYSA-N -1 1 317.139 1.665 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@]1(C)CCCC[C@@H]1O ZINC001349176884 875014321 /nfs/dbraw/zinc/01/43/21/875014321.db2.gz TUZIZVCJCCDCNP-LKFCYVNXSA-N -1 1 311.407 1.450 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccc(OCC2CC2)nc1 ZINC001210010984 875195653 /nfs/dbraw/zinc/19/56/53/875195653.db2.gz CYGQRXAETJCYEJ-UHFFFAOYSA-N -1 1 305.363 1.981 20 0 DDADMM O=C(/C=C/c1ccco1)NCCCNC(=O)c1ncccc1[O-] ZINC001349625739 875271415 /nfs/dbraw/zinc/27/14/15/875271415.db2.gz REJOWBOBXWAUTI-VOTSOKGWSA-N -1 1 315.329 1.330 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](C)Cc1cccc(O)c1 ZINC001349661978 875289568 /nfs/dbraw/zinc/28/95/68/875289568.db2.gz SLFREENPRABWKL-QMMMGPOBSA-N -1 1 319.386 1.846 20 0 DDADMM CC(=O)c1cc([N-]S(=O)(=O)c2cc(N)ccc2C)ccn1 ZINC001210591139 875448763 /nfs/dbraw/zinc/44/87/63/875448763.db2.gz AYYZQWGSTWYGJO-UHFFFAOYSA-N -1 1 305.359 1.398 20 0 DDADMM Cn1ncc(Cl)c1C(=O)[N-]n1cnc2ccccc2c1=O ZINC001350037816 875509554 /nfs/dbraw/zinc/50/95/54/875509554.db2.gz YHZKZIAYCKABQZ-UHFFFAOYSA-N -1 1 303.709 1.167 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC1(C2(O)CCC2)CCC1 ZINC001350539019 875748399 /nfs/dbraw/zinc/74/83/99/875748399.db2.gz NTADROQTQJRDJS-UHFFFAOYSA-N -1 1 323.418 1.594 20 0 DDADMM COc1cccc(Nc2cc(S(=O)(=O)C(N)=O)ccc2[O-])n1 ZINC001211303992 875748594 /nfs/dbraw/zinc/74/85/94/875748594.db2.gz HOQOIJRLJNHQIR-UHFFFAOYSA-N -1 1 323.330 1.806 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCOc2c(F)cccc21)c1nn[n-]n1 ZINC001362071520 882963122 /nfs/dbraw/zinc/96/31/22/882963122.db2.gz YQZWADXTKNMBJJ-JQWIXIFHSA-N -1 1 319.340 1.863 20 0 DDADMM CN(C(=O)c1c2ccccc2nc2c1CN(C)CC2)c1nn[n-]n1 ZINC001350819047 875906725 /nfs/dbraw/zinc/90/67/25/875906725.db2.gz PCVWSWUBPMFASH-UHFFFAOYSA-N -1 1 323.360 1.012 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ccnc(C(C)=O)c3)no2)[n-]n1 ZINC001213464107 875945916 /nfs/dbraw/zinc/94/59/16/875945916.db2.gz WTYNOKXWPMCLOF-UHFFFAOYSA-N -1 1 313.273 1.511 20 0 DDADMM CCC[C@@](C)(NC(=O)c1cnc(SC)[n-]c1=O)C(=O)OC ZINC001362081610 882988129 /nfs/dbraw/zinc/98/81/29/882988129.db2.gz WTETYVANTOFUBP-CYBMUJFWSA-N -1 1 313.379 1.366 20 0 DDADMM O=c1[nH]c([S-])ncc1-c1nc(-c2cccn3ccnc23)no1 ZINC001213868450 876087232 /nfs/dbraw/zinc/08/72/32/876087232.db2.gz JALNZOKXNHMNAD-UHFFFAOYSA-N -1 1 312.314 1.836 20 0 DDADMM CC(C)C[C@@H](Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1)C(=O)OC(C)C ZINC001227433734 883016855 /nfs/dbraw/zinc/01/68/55/883016855.db2.gz GWJRZMTUPODYIO-MRVPVSSYSA-N -1 1 324.337 1.509 20 0 DDADMM CC(C)C[C@@H](Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)C(=O)OC(C)C ZINC001227433734 883016870 /nfs/dbraw/zinc/01/68/70/883016870.db2.gz GWJRZMTUPODYIO-MRVPVSSYSA-N -1 1 324.337 1.509 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(C)(C)[C@@H]1[C@H]1CCCO1 ZINC001352209184 876648440 /nfs/dbraw/zinc/64/84/40/876648440.db2.gz OPDYHNHAJNMKDD-KOLCDFICSA-N -1 1 323.418 1.809 20 0 DDADMM COc1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c2c[nH]nc21 ZINC001215747919 876799726 /nfs/dbraw/zinc/79/97/26/876799726.db2.gz GRXYRZPVFRMBPF-UHFFFAOYSA-N -1 1 304.335 1.682 20 0 DDADMM O=C(c1c[nH]cc1-c1ccccc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001352597058 876823610 /nfs/dbraw/zinc/82/36/10/876823610.db2.gz ZIHJHBPFAGPXHV-LBPRGKRZSA-N -1 1 308.345 1.825 20 0 DDADMM CC(C)CCCCC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001215989553 876835425 /nfs/dbraw/zinc/83/54/25/876835425.db2.gz DKYYZKORDYHLSV-CHWSQXEVSA-N -1 1 323.441 1.663 20 0 DDADMM COc1ncc(Nc2ncccc2C)cc1[N-]S(C)(=O)=O ZINC001216151230 876877808 /nfs/dbraw/zinc/87/78/08/876877808.db2.gz IWMJWJSNUZQRIQ-UHFFFAOYSA-N -1 1 308.363 1.909 20 0 DDADMM COc1ncc(Nc2cnc(C)nc2)cc1[N-]S(C)(=O)=O ZINC001216152085 876877861 /nfs/dbraw/zinc/87/78/61/876877861.db2.gz MNHBENOYTVEMKK-UHFFFAOYSA-N -1 1 309.351 1.304 20 0 DDADMM O=C(C=Cc1cccs1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001352792942 876934562 /nfs/dbraw/zinc/93/45/62/876934562.db2.gz DOFKVFONHKUWQP-QRGHLMKCSA-N -1 1 303.391 1.756 20 0 DDADMM COc1ccccc1[C@H](Oc1nc(=O)[nH]c(=O)[n-]1)C(F)(F)F ZINC001227569712 883083780 /nfs/dbraw/zinc/08/37/80/883083780.db2.gz FNJWVVZCJRMIBH-QMMMGPOBSA-N -1 1 317.223 1.974 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)c2ccc(N)cc2)cn1 ZINC001216613727 876996184 /nfs/dbraw/zinc/99/61/84/876996184.db2.gz SZEHTXHRQMMFDD-UHFFFAOYSA-N -1 1 321.358 1.641 20 0 DDADMM CCC(=O)N[C@]1(C)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001379589790 877458809 /nfs/dbraw/zinc/45/88/09/877458809.db2.gz GCSIKBCAUZSHDC-MRXNPFEDSA-N -1 1 318.377 1.190 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCN2C[C@H](C)OC[C@H]2C)c1 ZINC001300610090 877975388 /nfs/dbraw/zinc/97/53/88/877975388.db2.gz FKDBAKIFMCBZBA-OLZOCXBDSA-N -1 1 320.389 1.352 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCC[C@]1(C)c1ccccc1 ZINC001356292033 878969011 /nfs/dbraw/zinc/96/90/11/878969011.db2.gz XVPQYANZSDCUFU-MRXNPFEDSA-N -1 1 324.392 1.994 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCC[C@]1(C)c1ccccc1 ZINC001356292033 878969024 /nfs/dbraw/zinc/96/90/24/878969024.db2.gz XVPQYANZSDCUFU-MRXNPFEDSA-N -1 1 324.392 1.994 20 0 DDADMM C[C@@H](CNC(=O)c1ccsc1)N(C)C(=O)c1ncccc1[O-] ZINC001380619422 880058949 /nfs/dbraw/zinc/05/89/49/880058949.db2.gz VNFKBZZDYJIEPB-JTQLQIEISA-N -1 1 319.386 1.739 20 0 DDADMM CN(Cc1c(F)c([O-])ccc1Br)C[C@H](O)CO ZINC001238309063 890163569 /nfs/dbraw/zinc/16/35/69/890163569.db2.gz IZVWXYYCYJVCJD-ZETCQYMHSA-N -1 1 308.147 1.079 20 0 DDADMM CCC(CC)C(=O)NC[C@H](CC)NC(=O)c1ncccc1[O-] ZINC001416184935 880740180 /nfs/dbraw/zinc/74/01/80/880740180.db2.gz VKNCXMBHPXOURU-LBPRGKRZSA-N -1 1 307.394 1.848 20 0 DDADMM O=C(CN1CCC(OCc2nn[n-]n2)CC1)c1cccs1 ZINC001223026941 880788528 /nfs/dbraw/zinc/78/85/28/880788528.db2.gz KBDRYOVZMIOUPR-UHFFFAOYSA-N -1 1 307.379 1.125 20 0 DDADMM CCc1nocc1CN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001381076348 880969224 /nfs/dbraw/zinc/96/92/24/880969224.db2.gz GCVOMVGMGUOUTF-UHFFFAOYSA-N -1 1 316.361 1.296 20 0 DDADMM COC(=O)[C@H]1[C@H](O)CCCN1Cc1ccc([O-])c(F)c1F ZINC001414133646 881267364 /nfs/dbraw/zinc/26/73/64/881267364.db2.gz BMNZJJKXWFRLIL-ZWNOBZJWSA-N -1 1 301.289 1.169 20 0 DDADMM C[C@H](Oc1[n-]c(=O)nc2c1COC2)C(=O)OCc1ccccc1 ZINC001227686241 883131605 /nfs/dbraw/zinc/13/16/05/883131605.db2.gz KCDLCSQCILOIMG-JTQLQIEISA-N -1 1 316.313 1.723 20 0 DDADMM CCOC(=O)[C@H](Oc1[n-]c(=O)nc2c1COC2)c1ccccc1 ZINC001227691311 883132800 /nfs/dbraw/zinc/13/28/00/883132800.db2.gz SXDSQGIFAHAWSZ-CYBMUJFWSA-N -1 1 316.313 1.896 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)c2ccc([O-])cc2F)C1 ZINC001362165316 883192405 /nfs/dbraw/zinc/19/24/05/883192405.db2.gz OJZOWONUZQWZNB-GHMZBOCLSA-N -1 1 308.353 1.908 20 0 DDADMM CSc1ncc(C(=O)NCc2ccc([C@@H](C)O)cc2)c(=O)[n-]1 ZINC001362203917 883289752 /nfs/dbraw/zinc/28/97/52/883289752.db2.gz ZRLNCULATYPMNV-SECBINFHSA-N -1 1 319.386 1.887 20 0 DDADMM CCN1C(=O)C[C@H](C(=O)Nc2nnn[n-]2)[C@H]1c1cccc(C)c1 ZINC001362260466 883429108 /nfs/dbraw/zinc/42/91/08/883429108.db2.gz XXESXZVILGSLSG-WCQYABFASA-N -1 1 314.349 1.056 20 0 DDADMM CCN1C(=O)C[C@H](C(=O)Nc2nn[n-]n2)[C@H]1c1cccc(C)c1 ZINC001362260466 883429119 /nfs/dbraw/zinc/42/91/19/883429119.db2.gz XXESXZVILGSLSG-WCQYABFASA-N -1 1 314.349 1.056 20 0 DDADMM CCOC(=O)C[C@@H](Oc1nc(C(=O)OC)n[n-]1)c1ccccc1 ZINC001228308465 883436679 /nfs/dbraw/zinc/43/66/79/883436679.db2.gz HZKINUYMCHFLQR-LLVKDONJSA-N -1 1 319.317 1.665 20 0 DDADMM CCOC(=O)C[C@@H](Oc1n[n-]c(C(=O)OC)n1)c1ccccc1 ZINC001228308465 883436683 /nfs/dbraw/zinc/43/66/83/883436683.db2.gz HZKINUYMCHFLQR-LLVKDONJSA-N -1 1 319.317 1.665 20 0 DDADMM COC(=O)c1n[n-]c(OC[C@H]2CCC(c3ccccc3)=NO2)n1 ZINC001228315267 883441204 /nfs/dbraw/zinc/44/12/04/883441204.db2.gz XPJRFWREVJRDDL-LLVKDONJSA-N -1 1 316.317 1.553 20 0 DDADMM COC(=O)c1nc(OC[C@H]2CCC(c3ccccc3)=NO2)n[n-]1 ZINC001228315267 883441211 /nfs/dbraw/zinc/44/12/11/883441211.db2.gz XPJRFWREVJRDDL-LLVKDONJSA-N -1 1 316.317 1.553 20 0 DDADMM Cn1ccnc1[C@H](O)C1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001362281960 883475087 /nfs/dbraw/zinc/47/50/87/883475087.db2.gz ZKAYUGDXEHGVDX-CQSZACIVSA-N -1 1 316.361 1.107 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001362381594 883682688 /nfs/dbraw/zinc/68/26/88/883682688.db2.gz NNHVFYFFGSIGNB-SNVBAGLBSA-N -1 1 315.366 1.571 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](CCCO)c1ccccc1)c1nn[n-]n1 ZINC001362393255 883707238 /nfs/dbraw/zinc/70/72/38/883707238.db2.gz NYZQQWFKWVIXMR-UONOGXRCSA-N -1 1 317.393 1.713 20 0 DDADMM CCC(CC)(NC(=O)CSc1ccncc1)c1nn[n-]n1 ZINC001362494501 883934809 /nfs/dbraw/zinc/93/48/09/883934809.db2.gz HARHLGUNDYVYJP-UHFFFAOYSA-N -1 1 306.395 1.519 20 0 DDADMM COc1ccc2c(c1)[C@H](NC(=O)c1cnncc1[O-])CCO2 ZINC001362501080 883952859 /nfs/dbraw/zinc/95/28/59/883952859.db2.gz FLEZSDJCMXWUEU-GFCCVEGCSA-N -1 1 301.302 1.444 20 0 DDADMM C[C@@H]1C[N@@H+](CC(C)(C)O)CCN1C(=O)c1ccc(F)c(O)c1 ZINC001362503605 883957230 /nfs/dbraw/zinc/95/72/30/883957230.db2.gz XVSAEPJWRFLWFY-LLVKDONJSA-N -1 1 310.369 1.449 20 0 DDADMM CSc1ncc(C(=O)N2CCOC[C@@H](C3CCC3)C2)c(=O)[n-]1 ZINC001362511483 883974711 /nfs/dbraw/zinc/97/47/11/883974711.db2.gz ZASFGFSNVCWSIZ-NSHDSACASA-N -1 1 323.418 1.793 20 0 DDADMM O=C(c1cc(C(F)F)[nH]n1)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC001362583302 884148605 /nfs/dbraw/zinc/14/86/05/884148605.db2.gz LXRUADGXVBVBHE-LURJTMIESA-N -1 1 312.280 1.191 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21)c1nn[n-]n1 ZINC001362623740 884252298 /nfs/dbraw/zinc/25/22/98/884252298.db2.gz HMDJZYPAZDXDBW-HZSPNIEDSA-N -1 1 311.389 1.917 20 0 DDADMM O=C(CCNc1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362661627 884350372 /nfs/dbraw/zinc/35/03/72/884350372.db2.gz GZOMYLHPUNJGNT-GFCCVEGCSA-N -1 1 300.366 1.408 20 0 DDADMM CC(C)OCc1nc([C@@H](C)NC(=O)c2ccc([O-])cn2)no1 ZINC001362673093 884379034 /nfs/dbraw/zinc/37/90/34/884379034.db2.gz PKFDJRKMTKTPMH-SECBINFHSA-N -1 1 306.322 1.586 20 0 DDADMM NC(=O)CC1(CNC(=O)c2c([O-])cnc3c(F)cccc32)CC1 ZINC001362788981 884642490 /nfs/dbraw/zinc/64/24/90/884642490.db2.gz XFGDCYAPOUDBJZ-UHFFFAOYSA-N -1 1 317.320 1.465 20 0 DDADMM C[C@@H](CCOc1ccccc1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001362833196 884751067 /nfs/dbraw/zinc/75/10/67/884751067.db2.gz DYCGAANFUWJZQU-LBPRGKRZSA-N -1 1 315.377 1.800 20 0 DDADMM COC[C@H](CC(C)(C)C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001362854327 884803998 /nfs/dbraw/zinc/80/39/98/884803998.db2.gz AOYOEDILPKAMSF-NSHDSACASA-N -1 1 323.393 1.084 20 0 DDADMM CSc1ncc(C(=O)N2CCOC[C@@H]2CC(F)F)c(=O)[n-]1 ZINC001362873073 884848389 /nfs/dbraw/zinc/84/83/89/884848389.db2.gz LLCMUONVLJXAOZ-ZETCQYMHSA-N -1 1 319.333 1.400 20 0 DDADMM CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2C(=O)c1cnc(SC)[n-]c1=O ZINC001362874286 884855236 /nfs/dbraw/zinc/85/52/36/884855236.db2.gz QGFGCFATZSBQNH-ORZSEXNPSA-N -1 1 321.402 1.400 20 0 DDADMM Cn1nccc1[C@@H]1C[C@H](NC(=O)c2cncc([O-])c2)CCO1 ZINC001362967743 885109591 /nfs/dbraw/zinc/10/95/91/885109591.db2.gz QGVPPVDUESSHKN-RISCZKNCSA-N -1 1 302.334 1.171 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cc(C3CC3)on2)[n-]c1=O ZINC001362972628 885119674 /nfs/dbraw/zinc/11/96/74/885119674.db2.gz ZTCFIYOVQUFJSU-UHFFFAOYSA-N -1 1 318.333 1.721 20 0 DDADMM O=C(NCc1nc2c(c(=O)[nH]1)COCC2)c1c([O-])cccc1F ZINC001363206570 885711854 /nfs/dbraw/zinc/71/18/54/885711854.db2.gz IJUWSGMGWXBPIX-UHFFFAOYSA-N -1 1 319.292 1.030 20 0 DDADMM COC[C@@H]1c2nnn(C)c2CCN1Cc1ccc(Cl)c([O-])c1 ZINC001231731790 885839575 /nfs/dbraw/zinc/83/95/75/885839575.db2.gz UXRAQOIIBDOHBJ-CYBMUJFWSA-N -1 1 322.796 1.920 20 0 DDADMM COC(=O)[C@@H]1C[C@H](NC(=O)c2ccc([O-])cn2)c2ccccc21 ZINC001363259079 885842396 /nfs/dbraw/zinc/84/23/96/885842396.db2.gz SEQDLKPYYCKUSS-HIFRSBDPSA-N -1 1 312.325 1.919 20 0 DDADMM COc1ccc(CN2CC3(C2)CCN(C)C3=O)cc1C(=O)[O-] ZINC001231755305 885856130 /nfs/dbraw/zinc/85/61/30/885856130.db2.gz SVIJREFIVLRACV-UHFFFAOYSA-N -1 1 304.346 1.058 20 0 DDADMM Cc1ccc([C@@H](C)[C@@H](O)C(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001363278446 885893164 /nfs/dbraw/zinc/89/31/64/885893164.db2.gz SUJJNBMNCXYNIL-DGCLKSJQSA-N -1 1 315.377 1.168 20 0 DDADMM CC(C)c1[nH]nc(C(N)=O)c1NC(=O)c1ccc([O-])c(F)c1 ZINC001363315892 885998740 /nfs/dbraw/zinc/99/87/40/885998740.db2.gz YPMLXECJBCSIBL-UHFFFAOYSA-N -1 1 306.297 1.729 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc(OCC2CC2)nc1 ZINC001363365205 886140289 /nfs/dbraw/zinc/14/02/89/886140289.db2.gz VDHHDVCSOQEYGN-UHFFFAOYSA-N -1 1 314.349 1.193 20 0 DDADMM O=C(C[C@@H]1CC[C@@H](C(F)(F)F)O1)NC1(c2nn[n-]n2)CCC1 ZINC001363375841 886169206 /nfs/dbraw/zinc/16/92/06/886169206.db2.gz GQXKACOSVNXGTA-YUMQZZPRSA-N -1 1 319.287 1.195 20 0 DDADMM CCSCc1ccc(C(=O)N[C@H](COC)c2nn[n-]n2)cc1 ZINC001363439988 886340273 /nfs/dbraw/zinc/34/02/73/886340273.db2.gz YAECTRXJAXMAAS-GFCCVEGCSA-N -1 1 321.406 1.570 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H]2CCC(=O)[C@H]2C)n[n-]1 ZINC001363477062 886445230 /nfs/dbraw/zinc/44/52/30/886445230.db2.gz WGMZAFRFPAIRKC-GUBZILKMSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H]2CCC(=O)[C@H]2C)[n-]1 ZINC001363477062 886445237 /nfs/dbraw/zinc/44/52/37/886445237.db2.gz WGMZAFRFPAIRKC-GUBZILKMSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H]2CCC(=O)[C@H]2C)n1 ZINC001363477062 886445245 /nfs/dbraw/zinc/44/52/45/886445245.db2.gz WGMZAFRFPAIRKC-GUBZILKMSA-N -1 1 322.365 1.164 20 0 DDADMM O=C(C[C@@H](O)c1ccc(Cl)cc1)NCc1nc([O-])cc(=O)[nH]1 ZINC001363487558 886467313 /nfs/dbraw/zinc/46/73/13/886467313.db2.gz SIDQOYZGHGKSKQ-SNVBAGLBSA-N -1 1 323.736 1.281 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1CSCCS1 ZINC001363541267 886587694 /nfs/dbraw/zinc/58/76/94/886587694.db2.gz COKDHUUFNGNMPC-SECBINFHSA-N -1 1 301.441 1.033 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)COc1ccccc1Cl ZINC001363547693 886606797 /nfs/dbraw/zinc/60/67/97/886606797.db2.gz QYGKLZMRZUBSDJ-UHFFFAOYSA-N -1 1 323.784 1.917 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@@H]1CC12CC2 ZINC001363555786 886628797 /nfs/dbraw/zinc/62/87/97/886628797.db2.gz GAZYTEJXMCUTQA-VHSXEESVSA-N -1 1 304.350 1.445 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@@H]1CC12CC2 ZINC001363555786 886628803 /nfs/dbraw/zinc/62/88/03/886628803.db2.gz GAZYTEJXMCUTQA-VHSXEESVSA-N -1 1 304.350 1.445 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@@H]1CC12CC2 ZINC001363555786 886628806 /nfs/dbraw/zinc/62/88/06/886628806.db2.gz GAZYTEJXMCUTQA-VHSXEESVSA-N -1 1 304.350 1.445 20 0 DDADMM CC(C)CC(=O)NCCC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001383848094 886640670 /nfs/dbraw/zinc/64/06/70/886640670.db2.gz ABSXIMASXFSCGB-UHFFFAOYSA-N -1 1 319.405 1.850 20 0 DDADMM CC1=NC2(CCN(Cc3ccc(F)c([O-])c3F)CC2)C(=O)N1C ZINC001232938595 886685332 /nfs/dbraw/zinc/68/53/32/886685332.db2.gz BRPFNASFCLZBTO-UHFFFAOYSA-N -1 1 323.343 1.895 20 0 DDADMM COC(=O)[C@]1(C)COCCN1Cc1ccc(F)c([O-])c1F ZINC001232938926 886685893 /nfs/dbraw/zinc/68/58/93/886685893.db2.gz JVVTXGJNTOIABK-AWEZNQCLSA-N -1 1 301.289 1.434 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(SC2CC2)cc1)c1nn[n-]n1 ZINC001363582695 886692405 /nfs/dbraw/zinc/69/24/05/886692405.db2.gz BUAZBEOXHOWYDG-GFCCVEGCSA-N -1 1 319.390 1.572 20 0 DDADMM COC[C@H]1c2nnn(C)c2CCN1Cc1cc(Cl)ncc1[O-] ZINC001233035478 886744024 /nfs/dbraw/zinc/74/40/24/886744024.db2.gz XBTPFLKQTVRDHF-NSHDSACASA-N -1 1 323.784 1.315 20 0 DDADMM CCOC1(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)CCC1 ZINC001363630742 886817079 /nfs/dbraw/zinc/81/70/79/886817079.db2.gz XHUQDPPNVHWCDL-UHFFFAOYSA-N -1 1 309.366 1.156 20 0 DDADMM CN(C)c1n[nH]c([N-]C(=O)c2oc(C3CC3)nc2C(F)F)n1 ZINC001363706789 887030366 /nfs/dbraw/zinc/03/03/66/887030366.db2.gz GXIGNRANSMVGLG-UHFFFAOYSA-N -1 1 312.280 1.926 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1c(C)noc1C ZINC001363756841 887151509 /nfs/dbraw/zinc/15/15/09/887151509.db2.gz AIYXFUGAOXJMAS-UHFFFAOYSA-N -1 1 323.440 1.176 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H]1CCC[C@H](C(=O)OC)C1 ZINC001233757780 887284274 /nfs/dbraw/zinc/28/42/74/887284274.db2.gz GTMBOVDIKKOJLO-IUCAKERBSA-N -1 1 310.306 1.079 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@H]1COc2cscc2O1 ZINC001233757575 887285392 /nfs/dbraw/zinc/28/53/92/887285392.db2.gz FCUTXRPRRGKTQK-SSDOTTSWSA-N -1 1 324.314 1.249 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](C3CC3)C2)[n-]n1 ZINC001363997907 887693663 /nfs/dbraw/zinc/69/36/63/887693663.db2.gz LQVIORXNJQNCNJ-SNVBAGLBSA-N -1 1 313.379 1.007 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](C3CC3)C2)n[n-]1 ZINC001363997907 887693668 /nfs/dbraw/zinc/69/36/68/887693668.db2.gz LQVIORXNJQNCNJ-SNVBAGLBSA-N -1 1 313.379 1.007 20 0 DDADMM O=C1Nc2ccccc2CC[C@H]1[N-]S(=O)(=O)Cc1ccno1 ZINC001363998403 887695643 /nfs/dbraw/zinc/69/56/43/887695643.db2.gz LXZHYEQAZHVWQP-CYBMUJFWSA-N -1 1 321.358 1.048 20 0 DDADMM COC[C@@H](NC(=O)c1cc(C)n(C2CC2)c1C)c1nn[n-]n1 ZINC001364018455 887732174 /nfs/dbraw/zinc/73/21/74/887732174.db2.gz BIDKOPBVZQBHPY-GFCCVEGCSA-N -1 1 304.354 1.070 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCC(F)F)c(F)c1 ZINC001364068749 887845758 /nfs/dbraw/zinc/84/57/58/887845758.db2.gz MFEZXXAKQRNFRE-UHFFFAOYSA-N -1 1 311.281 1.546 20 0 DDADMM COc1ccc(OC[C@@H](C)Oc2c(C(N)=O)nc[n-]c2=O)cc1 ZINC001234472656 888005314 /nfs/dbraw/zinc/00/53/14/888005314.db2.gz WJWCQYKRWXUNBU-SECBINFHSA-N -1 1 319.317 1.136 20 0 DDADMM O=C(Cc1cc(Cl)c2c(c1)OCCO2)Nc1c[n-][nH]c1=O ZINC001364145363 888021601 /nfs/dbraw/zinc/02/16/01/888021601.db2.gz QAECQUFKWBVAPA-UHFFFAOYSA-N -1 1 309.709 1.721 20 0 DDADMM CSc1nc(CNC(=O)Nc2ccnn2C2CC2)cc(=O)[n-]1 ZINC001364179072 888087657 /nfs/dbraw/zinc/08/76/57/888087657.db2.gz YNUGTLYMHAOEEJ-UHFFFAOYSA-N -1 1 320.378 1.757 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H](C)c2cccnc2)c(=O)[n-]1 ZINC001234560851 888098827 /nfs/dbraw/zinc/09/88/27/888098827.db2.gz IOIUVYIXBBGFIJ-SECBINFHSA-N -1 1 302.334 1.940 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H](Oc2c(=O)[n-]c(C)nc2C(=O)OC)C1 ZINC001234564543 888103213 /nfs/dbraw/zinc/10/32/13/888103213.db2.gz LPKGWRBSXDVWEK-UWVGGRQHSA-N -1 1 324.333 1.388 20 0 DDADMM CCOC(=O)[C@H](Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O)C1CC1 ZINC001234565969 888104635 /nfs/dbraw/zinc/10/46/35/888104635.db2.gz RVXHSNHSWCZDOP-SNVBAGLBSA-N -1 1 323.349 1.125 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H]1COCc2ccccc21 ZINC001234564761 888105142 /nfs/dbraw/zinc/10/51/42/888105142.db2.gz PJNDYBFVYZELKM-GFCCVEGCSA-N -1 1 316.313 1.928 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](c1ccncc1)C1CC1 ZINC001364428276 888615622 /nfs/dbraw/zinc/61/56/22/888615622.db2.gz HSVUQHCLFCPHEC-SNVBAGLBSA-N -1 1 316.317 1.173 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1[nH]ncc1C(F)(F)F ZINC001364649843 889120151 /nfs/dbraw/zinc/12/01/51/889120151.db2.gz DQYNUTPEHXJHOY-UHFFFAOYSA-N -1 1 317.275 1.240 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2ccnc(C)c2)c1 ZINC001364847184 889539958 /nfs/dbraw/zinc/53/99/58/889539958.db2.gz CRGCHSBZFDHWGE-UHFFFAOYSA-N -1 1 308.359 1.583 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1C[C@H]1CC(C)(C)C ZINC001364876980 889591408 /nfs/dbraw/zinc/59/14/08/889591408.db2.gz BGYCCDRUTZZNKN-WCBMZHEXSA-N -1 1 315.395 1.299 20 0 DDADMM CC[C@@H](C)CCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385725149 889641237 /nfs/dbraw/zinc/64/12/37/889641237.db2.gz PVSMCYNADSDHCB-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CCn1ccc(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)n1 ZINC001364987900 889827203 /nfs/dbraw/zinc/82/72/03/889827203.db2.gz FRBPWDRCMJCIGZ-LBPRGKRZSA-N -1 1 304.316 1.546 20 0 DDADMM COC(=O)CN(C)Cc1c(Br)ccc([O-])c1F ZINC001238315606 890164303 /nfs/dbraw/zinc/16/43/03/890164303.db2.gz ZLBDVQHNWHBTAT-UHFFFAOYSA-N -1 1 306.131 1.899 20 0 DDADMM CSc1ncc(C(=O)N(C)C[C@H](O)c2cccnc2)c(=O)[n-]1 ZINC001365162803 890229303 /nfs/dbraw/zinc/22/93/03/890229303.db2.gz MINUAJVTJOSRCV-NSHDSACASA-N -1 1 320.374 1.105 20 0 DDADMM CCCS(=O)(=O)Nc1ccc(C(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001365206486 890346192 /nfs/dbraw/zinc/34/61/92/890346192.db2.gz GTGCBEKGEVAQOV-UHFFFAOYSA-N -1 1 324.362 1.519 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cccc(CN2CCOCC2)c1 ZINC001365213932 890367054 /nfs/dbraw/zinc/36/70/54/890367054.db2.gz WPINMZRXSKDKJE-UHFFFAOYSA-N -1 1 302.334 1.200 20 0 DDADMM CSc1nc(CNC(=O)Nc2nccnc2C)cc(=O)[n-]1 ZINC001365298130 890533794 /nfs/dbraw/zinc/53/37/94/890533794.db2.gz VVRIOTBOYCFRCA-UHFFFAOYSA-N -1 1 306.351 1.324 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CCC1CC1 ZINC001365385273 890702423 /nfs/dbraw/zinc/70/24/23/890702423.db2.gz MCKHAYSJUPYGKJ-QMMMGPOBSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(C)(C)C[C@@H]2C)[n-]n1 ZINC001365420673 890771774 /nfs/dbraw/zinc/77/17/74/890771774.db2.gz BFESVWSPEWPEDJ-QMMMGPOBSA-N -1 1 301.368 1.005 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC(C)(C)C[C@@H]2C)n[n-]1 ZINC001365420673 890771786 /nfs/dbraw/zinc/77/17/86/890771786.db2.gz BFESVWSPEWPEDJ-QMMMGPOBSA-N -1 1 301.368 1.005 20 0 DDADMM O=C1Cc2cc(-c3cccnc3N3CCOCC3)ccc2C(=O)[N-]1 ZINC001243462886 891661367 /nfs/dbraw/zinc/66/13/67/891661367.db2.gz LFJJXVUCYUKQMG-UHFFFAOYSA-N -1 1 323.352 1.398 20 0 DDADMM COc1cc(C)cc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)n1 ZINC001244791131 891923757 /nfs/dbraw/zinc/92/37/57/891923757.db2.gz UTKHJHXEUDXBQF-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM CCCc1ccnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)n1 ZINC001244793727 891926586 /nfs/dbraw/zinc/92/65/86/891926586.db2.gz QXRRRIFIAOOENE-UHFFFAOYSA-N -1 1 322.390 1.871 20 0 DDADMM COc1ncc(-c2cc(F)nc(F)c2)cc1[N-]S(C)(=O)=O ZINC001244792733 891929107 /nfs/dbraw/zinc/92/91/07/891929107.db2.gz BFEVSNCADZUUNG-UHFFFAOYSA-N -1 1 315.301 1.802 20 0 DDADMM CC(C)CCC(=O)N1CCCC[C@H]1[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001365928725 891942929 /nfs/dbraw/zinc/94/29/29/891942929.db2.gz XMKXMLCKOUMKSF-STQMWFEESA-N -1 1 323.441 1.806 20 0 DDADMM Cc1cc2c(c(-c3ccc4c(c3)C(=O)N(C)C4)n1)C(=O)[N-]C2=O ZINC001245649397 892221591 /nfs/dbraw/zinc/22/15/91/892221591.db2.gz OHRJJRXUPMVOGO-UHFFFAOYSA-N -1 1 307.309 1.526 20 0 DDADMM C[S@](=O)CCCNC(=S)Nc1c(F)cc([O-])cc1F ZINC001246572261 892705431 /nfs/dbraw/zinc/70/54/31/892705431.db2.gz AUHWGGCRHYMTFD-IBGZPJMESA-N -1 1 308.375 1.725 20 0 DDADMM CCC[C@@H](C)C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001366198057 892712020 /nfs/dbraw/zinc/71/20/20/892712020.db2.gz HOIDKBKXQMDADW-OLZOCXBDSA-N -1 1 323.441 1.015 20 0 DDADMM C[C@H](CCNC(=O)[C@H](C)C1CCC1)NC(=O)c1ncccc1[O-] ZINC001387512187 893258713 /nfs/dbraw/zinc/25/87/13/893258713.db2.gz YDDUALWLPNVKRM-VXGBXAGGSA-N -1 1 319.405 1.848 20 0 DDADMM CC(=O)N[C@H](C)CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001387555469 893332953 /nfs/dbraw/zinc/33/29/53/893332953.db2.gz KMDIQNCGWOVZOY-SECBINFHSA-N -1 1 308.382 1.259 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1oncc1C ZINC001388056501 894468839 /nfs/dbraw/zinc/46/88/39/894468839.db2.gz NRMYWZNHLSQUJA-JTQLQIEISA-N -1 1 318.333 1.022 20 0 DDADMM Cc1ccccc1OC[C@@H](O)CNc1[n-]c(=O)nc2nc[nH]c21 ZINC001251739698 894794508 /nfs/dbraw/zinc/79/45/08/894794508.db2.gz JAZAPMLFKLSSCF-JTQLQIEISA-N -1 1 315.333 1.170 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cc(F)cc(C(N)=O)c2)cc1 ZINC001251929185 894895053 /nfs/dbraw/zinc/89/50/53/894895053.db2.gz RXUDHTBOBYQXBN-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM Cc1cnc(CNC[C@@H](C)N(C)C(=O)c2n[nH]c(C)c2[O-])s1 ZINC001367345417 896500694 /nfs/dbraw/zinc/50/06/94/896500694.db2.gz LWCDUIYJZCNNAB-MRVPVSSYSA-N -1 1 323.422 1.439 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)N[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001374833698 914076358 /nfs/dbraw/zinc/07/63/58/914076358.db2.gz GKLJIHVTWHGAEI-SFYZADRCSA-N -1 1 323.319 1.083 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ccsc1)NCc1n[nH]c(=O)[n-]1 ZINC001367444306 896778285 /nfs/dbraw/zinc/77/82/85/896778285.db2.gz BHAKHVXLRGNRRY-JTQLQIEISA-N -1 1 309.395 1.116 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CCC(F)CC1 ZINC001389262527 896781753 /nfs/dbraw/zinc/78/17/53/896781753.db2.gz AEBTZTZRCYYTOV-VOMCLLRMSA-N -1 1 323.368 1.550 20 0 DDADMM CC(C)c1ccc(S(=O)(=O)[N-]c2ccnc(C(N)=O)c2)cc1 ZINC001255280918 896820675 /nfs/dbraw/zinc/82/06/75/896820675.db2.gz BPJUZZLHLVLPMT-UHFFFAOYSA-N -1 1 319.386 1.527 20 0 DDADMM O=c1[n-][nH]c(=O)c2cc(-c3nnc(C4CCOCC4)o3)ccc12 ZINC001255656196 897071977 /nfs/dbraw/zinc/07/19/77/897071977.db2.gz UDCGHKUIYPGWLP-UHFFFAOYSA-N -1 1 314.301 1.985 20 0 DDADMM CCCC(C)(C)C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001389665976 897751777 /nfs/dbraw/zinc/75/17/77/897751777.db2.gz ZEPCBNKHZDAIEI-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM COc1cc(F)ccc1C[N-]S(=O)(=O)c1c(C)noc1C ZINC001258754402 898311241 /nfs/dbraw/zinc/31/12/41/898311241.db2.gz NUQXLOBBGLPFQD-UHFFFAOYSA-N -1 1 314.338 1.918 20 0 DDADMM Cc1ccc(F)cc1S(=O)(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001258929409 898364795 /nfs/dbraw/zinc/36/47/95/898364795.db2.gz PXUVDHXTFKCXAG-UHFFFAOYSA-N -1 1 323.309 1.307 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(C2CCOCC2)cc1 ZINC001259025119 898421945 /nfs/dbraw/zinc/42/19/45/898421945.db2.gz PYGXGALYEUCDKD-UHFFFAOYSA-N -1 1 313.375 1.495 20 0 DDADMM O=C1CCC[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CN1 ZINC001259042973 898438506 /nfs/dbraw/zinc/43/85/06/898438506.db2.gz BEHFTRZJWHBJSP-SSDOTTSWSA-N -1 1 322.308 1.051 20 0 DDADMM O=C1CC[C@H](C[N-]S(=O)(=O)c2cc(Cl)ccc2F)N1 ZINC001259459717 898679984 /nfs/dbraw/zinc/67/99/84/898679984.db2.gz KGLLKQOSYWSVOV-MRVPVSSYSA-N -1 1 306.746 1.036 20 0 DDADMM O=c1[nH]cncc1[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC001259466650 898682283 /nfs/dbraw/zinc/68/22/83/898682283.db2.gz QKPFUYRKMQGBFS-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2cc(C)cc(C)c2)ccn1 ZINC001259515687 898710019 /nfs/dbraw/zinc/71/00/19/898710019.db2.gz BOXMBQXHHKXCEH-UHFFFAOYSA-N -1 1 320.370 1.708 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cccn3c(C)c(C)nc23)cn1 ZINC001259832961 898847012 /nfs/dbraw/zinc/84/70/12/898847012.db2.gz CEWKGNNLWKVCIO-UHFFFAOYSA-N -1 1 319.390 1.968 20 0 DDADMM CCc1cc2ncc([N-]S(=O)(=O)CCC(F)(F)F)cn2n1 ZINC001259867073 898878586 /nfs/dbraw/zinc/87/85/86/898878586.db2.gz GJTRUHKQGCUZJJ-UHFFFAOYSA-N -1 1 322.312 1.986 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C1CCCC1 ZINC001259875936 898887070 /nfs/dbraw/zinc/88/70/70/898887070.db2.gz XUMQZQDQJIIRCW-VIFPVBQESA-N -1 1 317.329 1.590 20 0 DDADMM O=C(CC[N-]S(=O)(=O)C(F)F)N1CCCc2ccccc21 ZINC001259961207 898984591 /nfs/dbraw/zinc/98/45/91/898984591.db2.gz ARSMUWRBOGICRG-UHFFFAOYSA-N -1 1 318.345 1.498 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnc(Br)c(F)c1 ZINC001259966838 898990564 /nfs/dbraw/zinc/99/05/64/898990564.db2.gz ZJXUTEDGFKELGO-UHFFFAOYSA-N -1 1 313.148 1.371 20 0 DDADMM Cc1ncn(C)c1[N-]S(=O)(=O)c1ccc2c(c1)CC(=O)N2C ZINC001260154599 899070313 /nfs/dbraw/zinc/07/03/13/899070313.db2.gz RKSINMNIRKLOAV-UHFFFAOYSA-N -1 1 320.374 1.048 20 0 DDADMM O=S(=O)([N-]Cc1ncccn1)c1cc(Cl)c(F)cc1F ZINC001260195822 899085937 /nfs/dbraw/zinc/08/59/37/899085937.db2.gz KBQITQKKQFEZNB-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM COc1cncc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)c1 ZINC001260221640 899096688 /nfs/dbraw/zinc/09/66/88/899096688.db2.gz KXKFZLMPJYLRNO-UHFFFAOYSA-N -1 1 308.315 1.589 20 0 DDADMM O=S(=O)([N-]CCN1CCC1)c1cc(F)c(F)cc1Cl ZINC001260289683 899111371 /nfs/dbraw/zinc/11/13/71/899111371.db2.gz IOCCRKVYLWZBEA-UHFFFAOYSA-N -1 1 310.753 1.602 20 0 DDADMM O=C1C[C@H](C[N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)CN1 ZINC001260287352 899112392 /nfs/dbraw/zinc/11/23/92/899112392.db2.gz CMLYKCPCOHGUCC-LURJTMIESA-N -1 1 324.736 1.033 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-]c1cc(C(=O)N(C)C)ccc1OC ZINC001260740048 899242868 /nfs/dbraw/zinc/24/28/68/899242868.db2.gz OFMPTEDDBCKYDB-JTQLQIEISA-N -1 1 314.407 1.937 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ocnc1C ZINC001390384560 899304609 /nfs/dbraw/zinc/30/46/09/899304609.db2.gz RTTJFAHUMWYYTQ-JTQLQIEISA-N -1 1 318.333 1.022 20 0 DDADMM CC[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)C(C)C ZINC001390464022 899462706 /nfs/dbraw/zinc/46/27/06/899462706.db2.gz BGOZWFZDCOQXQQ-LLVKDONJSA-N -1 1 320.393 1.340 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@@H]1C[C@H]1C1CC1 ZINC001261973597 899869012 /nfs/dbraw/zinc/86/90/12/899869012.db2.gz SRMPCNYCUOUJEA-CMPLNLGQSA-N -1 1 308.765 1.577 20 0 DDADMM Cc1ccc(N2CCN(C(=O)c3cnncc3[O-])CC2)cc1C ZINC001262616667 900273690 /nfs/dbraw/zinc/27/36/90/900273690.db2.gz WFPVJUDDWPMOJA-UHFFFAOYSA-N -1 1 312.373 1.761 20 0 DDADMM C[C@H]1CN2CCN1C[C@H]2C(=O)Nc1ccc2[n-]c(=S)oc2c1 ZINC001263767429 900698990 /nfs/dbraw/zinc/69/89/90/900698990.db2.gz VJLPAMJPVXSNCU-CABZTGNLSA-N -1 1 318.402 1.443 20 0 DDADMM O=C(c1ccn(Cc2ccccc2)n1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001263886363 900799218 /nfs/dbraw/zinc/79/92/18/900799218.db2.gz HIQYURVWCPKNMM-CYBMUJFWSA-N -1 1 323.360 1.074 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])Cc1ncccn1 ZINC001391124345 900980488 /nfs/dbraw/zinc/98/04/88/900980488.db2.gz GCWKJHLJSOCEFQ-UHFFFAOYSA-N -1 1 315.377 1.219 20 0 DDADMM O=C(NCc1ccn(Cc2ccccc2)n1)c1ccncc1[O-] ZINC000390333628 901350813 /nfs/dbraw/zinc/35/08/13/901350813.db2.gz JFJMWUAFUGGAOJ-UHFFFAOYSA-N -1 1 308.341 1.962 20 0 DDADMM C[C@@H](NC(=O)CC1CCCC1)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001369654759 901708709 /nfs/dbraw/zinc/70/87/09/901708709.db2.gz NUWRWSZPIUFCFF-VXGBXAGGSA-N -1 1 319.405 1.991 20 0 DDADMM CN(CCN(C)C(=O)c1ncccc1[O-])C(=O)c1ccccc1 ZINC001391728030 902466445 /nfs/dbraw/zinc/46/64/45/902466445.db2.gz GQGOQGJLKVOQTP-UHFFFAOYSA-N -1 1 313.357 1.631 20 0 DDADMM CCC[C@@H](CC)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001391872284 902769222 /nfs/dbraw/zinc/76/92/22/902769222.db2.gz QZRDPQVGVVTFFM-VXGBXAGGSA-N -1 1 309.414 1.417 20 0 DDADMM CCC(CC)[C@@H](C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001370370298 903022552 /nfs/dbraw/zinc/02/25/52/903022552.db2.gz DJFVMNBRKCQUPS-PWSUYJOCSA-N -1 1 309.414 1.273 20 0 DDADMM CCc1[nH]ccc1C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001392307515 903838471 /nfs/dbraw/zinc/83/84/71/903838471.db2.gz LERHMRMZGXPBQL-SNVBAGLBSA-N -1 1 316.361 1.226 20 0 DDADMM COc1cccc(Oc2ccc(C(=O)Nc3nn[n-]n3)nc2)c1 ZINC001293901170 914757340 /nfs/dbraw/zinc/75/73/40/914757340.db2.gz SZOMLBNOJIACPG-UHFFFAOYSA-N -1 1 312.289 1.648 20 0 DDADMM CO[C@@H](C)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001371117256 904284120 /nfs/dbraw/zinc/28/41/20/904284120.db2.gz ZBAAUFPUENIJHQ-JQWIXIFHSA-N -1 1 323.393 1.083 20 0 DDADMM CO[C@H](C)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001371117263 904284834 /nfs/dbraw/zinc/28/48/34/904284834.db2.gz ZBAAUFPUENIJHQ-PWSUYJOCSA-N -1 1 323.393 1.083 20 0 DDADMM CCCC(=O)N[C@H](C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001371150705 904357100 /nfs/dbraw/zinc/35/71/00/904357100.db2.gz CMRCFNAVGNLBAQ-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)C1(C)CCC1 ZINC001371278072 904626175 /nfs/dbraw/zinc/62/61/75/904626175.db2.gz PXXTXNPNTLXRBF-NWDGAFQWSA-N -1 1 319.405 1.991 20 0 DDADMM COc1csc(C(=O)NCCNC(=O)c2ncccc2[O-])c1 ZINC001282983135 906398682 /nfs/dbraw/zinc/39/86/82/906398682.db2.gz FYOYYRAYROIKCB-UHFFFAOYSA-N -1 1 321.358 1.017 20 0 DDADMM C=C/C(C)=C\CC(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001283233002 906954147 /nfs/dbraw/zinc/95/41/47/906954147.db2.gz LZWSSUBBMDBBIK-GHXNOFRVSA-N -1 1 303.362 1.546 20 0 DDADMM CC1(C)CC(CC(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)C1 ZINC001393551531 907308605 /nfs/dbraw/zinc/30/86/05/907308605.db2.gz KYKSUGGNDFOIFV-UHFFFAOYSA-N -1 1 321.425 1.465 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)CCCN(C)C/C=C/Cl)c1[O-] ZINC001393614711 907487962 /nfs/dbraw/zinc/48/79/62/907487962.db2.gz OVXNUGBUYBNCGP-GQCTYLIASA-N -1 1 300.790 1.570 20 0 DDADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1)[C@H]1CC12CC2 ZINC001394179243 909025313 /nfs/dbraw/zinc/02/53/13/909025313.db2.gz JPALGHJOSNAJTA-LLVKDONJSA-N -1 1 319.409 1.219 20 0 DDADMM CCC[C@@H](C)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001394270471 909224053 /nfs/dbraw/zinc/22/40/53/909224053.db2.gz WMHMBAYPDNRGBZ-PXAZEXFGSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H](C)C1CC1 ZINC001373262233 909342628 /nfs/dbraw/zinc/34/26/28/909342628.db2.gz UMGHCIPBFAFSCE-MNOVXSKESA-N -1 1 305.378 1.458 20 0 DDADMM C[C@@H](NC(=O)c1ccns1)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001394960470 911023758 /nfs/dbraw/zinc/02/37/58/911023758.db2.gz GUZAFBPLFPSXKO-RKDXNWHRSA-N -1 1 320.374 1.181 20 0 DDADMM CCCC(=O)N[C@]1(CNCc2n[nH]c(=O)[n-]2)CCCC[C@@H]1C ZINC001373897134 911301098 /nfs/dbraw/zinc/30/10/98/911301098.db2.gz MDGCANSMNXWKJK-NHYWBVRUSA-N -1 1 309.414 1.465 20 0 DDADMM CC[C@H](CNC(=O)C1CC2(CC2)C1)NC(=O)c1ncccc1[O-] ZINC001375225078 915317298 /nfs/dbraw/zinc/31/72/98/915317298.db2.gz TUBYXNUHWHJLTI-GFCCVEGCSA-N -1 1 317.389 1.602 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H]1C[C@H]1CC)NC(=O)c1ncccc1[O-] ZINC001375251711 915383347 /nfs/dbraw/zinc/38/33/47/915383347.db2.gz XZCUIIVBRDFCOA-GRYCIOLGSA-N -1 1 305.378 1.458 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)C2(CCF)CC2)C1)c1ncccc1[O-] ZINC001397190310 915396677 /nfs/dbraw/zinc/39/66/77/915396677.db2.gz SDYUVPFAAKVLFK-XYPYZODXSA-N -1 1 321.352 1.304 20 0 DDADMM C[C@](CNC(=O)C1(C)CC1)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001375355728 915698194 /nfs/dbraw/zinc/69/81/94/915698194.db2.gz DBSVWBIDCWZDQL-QGZVFWFLSA-N -1 1 317.389 1.602 20 0 DDADMM C/C(=C\C(=O)N(C)CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001296045908 916206372 /nfs/dbraw/zinc/20/63/72/916206372.db2.gz RUGYJXXOWJVORS-ZHACJKMWSA-N -1 1 303.362 1.332 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)c1cn[nH]c1 ZINC001296142567 916251771 /nfs/dbraw/zinc/25/17/71/916251771.db2.gz STKWFHSGBZONBT-SNVBAGLBSA-N -1 1 321.703 1.235 20 0 DDADMM O=C(NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1)[C@]12C[C@H]1CCCC2 ZINC001376244519 918053670 /nfs/dbraw/zinc/05/36/70/918053670.db2.gz NNUOZTFQLSOGJT-BFQNTYOBSA-N -1 1 319.409 1.075 20 0 DDADMM CO[C@](C)(C[N-]S(=O)(=O)c1ncn(C)c1Cl)C1CC1 ZINC000291767707 222602593 /nfs/dbraw/zinc/60/25/93/222602593.db2.gz VJCBCJKVAXXHOJ-LLVKDONJSA-N -1 1 307.803 1.167 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@]3(C)C(C)C)nc2n1 ZINC000622993435 365585426 /nfs/dbraw/zinc/58/54/26/365585426.db2.gz MOXYCRFRZVFWDG-BONVTDFDSA-N -1 1 303.366 1.601 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC(C)(C)C3CC3)nc2n1 ZINC000622993163 365586025 /nfs/dbraw/zinc/58/60/25/365586025.db2.gz DDWLQHCJTYLEQZ-UHFFFAOYSA-N -1 1 303.366 1.745 20 0 DDADMM O=S(=O)(C[C@H]1CCCCO1)[N-]c1ccc(-c2nc[nH]n2)cc1 ZINC000076937521 185058467 /nfs/dbraw/zinc/05/84/67/185058467.db2.gz UZLXLUQDBXFFLW-CYBMUJFWSA-N -1 1 322.390 1.783 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ccc(C)nc3C)nc2n1 ZINC000622995143 365589148 /nfs/dbraw/zinc/58/91/48/365589148.db2.gz QIPMEKPPJYKEGE-UHFFFAOYSA-N -1 1 312.333 1.244 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)C(C)(C)C)nc2n1 ZINC000622996591 365589762 /nfs/dbraw/zinc/58/97/62/365589762.db2.gz SJRIXQXYQIMPQE-VIFPVBQESA-N -1 1 305.382 1.991 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](OC)C3CCC3)nc2n1 ZINC000622998707 365592010 /nfs/dbraw/zinc/59/20/10/365592010.db2.gz OQJZMCMBHUZWEM-GFCCVEGCSA-N -1 1 319.365 1.124 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(NC(=O)c2ccccc2)cc1 ZINC000076963670 185062140 /nfs/dbraw/zinc/06/21/40/185062140.db2.gz YRZFQDUBLCRKNO-UHFFFAOYSA-N -1 1 308.301 1.704 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(NC(=O)c2ccccc2)cc1 ZINC000076963670 185062142 /nfs/dbraw/zinc/06/21/42/185062142.db2.gz YRZFQDUBLCRKNO-UHFFFAOYSA-N -1 1 308.301 1.704 20 0 DDADMM Cn1[nH]c(C2CCN(C(=O)c3cc(F)ccc3[O-])CC2)nc1=N ZINC000614297110 361793861 /nfs/dbraw/zinc/79/38/61/361793861.db2.gz WUCQYDZWOXXEHT-UHFFFAOYSA-N -1 1 319.340 1.092 20 0 DDADMM CC(=O)N1CC[C@@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000623043185 365619932 /nfs/dbraw/zinc/61/99/32/365619932.db2.gz HUAIUJDVLMMJRD-SNVBAGLBSA-N -1 1 316.279 1.762 20 0 DDADMM Cn1cc(CS(=O)(=O)c2nc(Cc3cccs3)n[n-]2)cn1 ZINC000278624580 214284331 /nfs/dbraw/zinc/28/43/31/214284331.db2.gz STCLFPGQXNVONV-UHFFFAOYSA-N -1 1 323.403 1.164 20 0 DDADMM Cn1cc(CS(=O)(=O)c2n[n-]c(Cc3cccs3)n2)cn1 ZINC000278624580 214284340 /nfs/dbraw/zinc/28/43/40/214284340.db2.gz STCLFPGQXNVONV-UHFFFAOYSA-N -1 1 323.403 1.164 20 0 DDADMM COC(=O)C(C)(C)N1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000614646394 361962650 /nfs/dbraw/zinc/96/26/50/361962650.db2.gz PXFNZZHRCRVNGT-UHFFFAOYSA-N -1 1 324.352 1.241 20 0 DDADMM CCC(CC)(NC(=O)c1cc(Cl)c([O-])c(OC)c1)C(N)=O ZINC000153704507 290651110 /nfs/dbraw/zinc/65/11/10/290651110.db2.gz VZUBYLCIPRBGHI-UHFFFAOYSA-N -1 1 314.769 1.828 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@@H](c2ccccc2)[C@](F)(CO)C1 ZINC000452509183 231388966 /nfs/dbraw/zinc/38/89/66/231388966.db2.gz WGNMXXYOUXEVOC-DOTOQJQBSA-N -1 1 316.332 1.727 20 0 DDADMM O=C(NCCCc1cc(=O)[nH][nH]1)c1ncc2ccccc2c1[O-] ZINC000614931040 362085566 /nfs/dbraw/zinc/08/55/66/362085566.db2.gz PMFWAKVVGICIDN-UHFFFAOYSA-N -1 1 312.329 1.326 20 0 DDADMM CC(C)C[C@H](CNC(=O)[C@@H]1Cc2ccccc2CN1)CC(=O)[O-] ZINC000322333396 539297824 /nfs/dbraw/zinc/29/78/24/539297824.db2.gz XZJCBUOWYKFHEW-BBRMVZONSA-N -1 1 318.417 1.954 20 0 DDADMM C[C@](N)(C(=O)Nc1ccc(O)c(C(=O)[O-])c1)c1ccccc1 ZINC000315424697 539295210 /nfs/dbraw/zinc/29/52/10/539295210.db2.gz KKMHJIAWQPTEAF-MRXNPFEDSA-N -1 1 300.314 1.903 20 0 DDADMM CCOc1cc2c(cc1C=CC(=O)Nc1nnn[n-]1)O[C@H](C)C2 ZINC000255240822 282242312 /nfs/dbraw/zinc/24/23/12/282242312.db2.gz UXXVPFQPJNHOCQ-XRVBUDJMSA-N -1 1 315.333 1.574 20 0 DDADMM CCOc1cc2c(cc1C=CC(=O)Nc1nn[n-]n1)O[C@H](C)C2 ZINC000255240822 282242314 /nfs/dbraw/zinc/24/23/14/282242314.db2.gz UXXVPFQPJNHOCQ-XRVBUDJMSA-N -1 1 315.333 1.574 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc2c(c1)CCC(=O)N2C ZINC000615222058 362202019 /nfs/dbraw/zinc/20/20/19/362202019.db2.gz OOWCVRQEVHVEDL-UHFFFAOYSA-N -1 1 300.318 1.585 20 0 DDADMM CCc1nnc(C2CCN(C(=O)c3ncc(C)cc3[O-])CC2)[nH]1 ZINC000332489437 529731865 /nfs/dbraw/zinc/73/18/65/529731865.db2.gz YYUZRIIVPOOYTG-UHFFFAOYSA-N -1 1 315.377 1.796 20 0 DDADMM CCc1ncc(C[N-]S(=O)(=O)c2cccc(F)c2F)o1 ZINC000451956651 529756545 /nfs/dbraw/zinc/75/65/45/529756545.db2.gz HRUILCGTNJLDPF-UHFFFAOYSA-N -1 1 302.302 1.994 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(CO)ccc2C)o1 ZINC000494801999 530029101 /nfs/dbraw/zinc/02/91/01/530029101.db2.gz XECXURUIOOFOGG-UHFFFAOYSA-N -1 1 324.358 1.241 20 0 DDADMM CC[C@H]1CC[C@@H](C(=O)N=c2[nH][n-]c(C)c2Br)O1 ZINC000616007531 362517718 /nfs/dbraw/zinc/51/77/18/362517718.db2.gz SFKYOACHLRFOEN-YUMQZZPRSA-N -1 1 302.172 1.799 20 0 DDADMM Cc1nc(C(C)(C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)no1 ZINC000358331000 299122655 /nfs/dbraw/zinc/12/26/55/299122655.db2.gz KFUGZPJNYGMDGE-UHFFFAOYSA-N -1 1 316.321 1.030 20 0 DDADMM O=C(NCCCNC(=O)c1cncc([O-])c1)c1ccc(F)cc1 ZINC000111628491 185618175 /nfs/dbraw/zinc/61/81/75/185618175.db2.gz MEBPWLDRUMEHBG-UHFFFAOYSA-N -1 1 317.320 1.476 20 0 DDADMM Cc1ccc(-c2nc3cccnc3c(=O)[n-]2)cc1S(N)(=O)=O ZINC000491598780 539544880 /nfs/dbraw/zinc/54/48/80/539544880.db2.gz KMUOQLNORLKXNI-UHFFFAOYSA-N -1 1 316.342 1.353 20 0 DDADMM COc1ccc(C)cc1CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000358378316 299136298 /nfs/dbraw/zinc/13/62/98/299136298.db2.gz DCNPILRLAKADRU-LLVKDONJSA-N -1 1 303.366 1.321 20 0 DDADMM Cn1nnc2c1CC[C@H](NC(=O)c1ncc3ccccc3c1[O-])C2 ZINC000616703035 362787312 /nfs/dbraw/zinc/78/73/12/362787312.db2.gz NSCAAWNUBAAOPD-NSHDSACASA-N -1 1 323.356 1.356 20 0 DDADMM C[C@H](CN(C)C(=O)c1coc(-c2ccccc2)n1)c1nn[n-]n1 ZINC000358384140 299138160 /nfs/dbraw/zinc/13/81/60/299138160.db2.gz SEZKYLTXUCCYHQ-SNVBAGLBSA-N -1 1 312.333 1.730 20 0 DDADMM CC(=O)NC(C)(C)C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000616811774 362829248 /nfs/dbraw/zinc/82/92/48/362829248.db2.gz WNAVWTLCPNODLL-UHFFFAOYSA-N -1 1 306.391 1.722 20 0 DDADMM CCO[C@@H](CC(=O)N(C)C[C@@H](C)c1nn[n-]n1)C1=CCCC1 ZINC000358417426 299147822 /nfs/dbraw/zinc/14/78/22/299147822.db2.gz UXHPXVVFFBFCHT-YPMHNXCESA-N -1 1 307.398 1.667 20 0 DDADMM O=C(N[C@H](C1CCOCC1)C1(CO)CCC1)c1ncccc1[O-] ZINC000616910196 362864435 /nfs/dbraw/zinc/86/44/35/362864435.db2.gz GDJYKJDENBIMHQ-OAHLLOKOSA-N -1 1 320.389 1.475 20 0 DDADMM CCCCN(C(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1 ZINC000353859273 290860481 /nfs/dbraw/zinc/86/04/81/290860481.db2.gz KFUKDVWIXFAZFR-UHFFFAOYSA-N -1 1 311.345 1.865 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H](C)c2ccccn2)o1 ZINC000617081482 362945413 /nfs/dbraw/zinc/94/54/13/362945413.db2.gz YXJZZFZLAAXOFI-JTQLQIEISA-N -1 1 323.374 1.116 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OCc1cccnc1)N1C[C@H](C)O[C@@H](C)C1 ZINC000155832904 186116443 /nfs/dbraw/zinc/11/64/43/186116443.db2.gz LIGDLTYFVDRLPL-DZKIICNBSA-N -1 1 321.421 1.763 20 0 DDADMM CCCc1cc(=O)[n-]c(S[C@H](C)C(=O)NCCCOC)n1 ZINC000022931074 352181379 /nfs/dbraw/zinc/18/13/79/352181379.db2.gz COIKQGTVJHPWCF-SNVBAGLBSA-N -1 1 313.423 1.768 20 0 DDADMM CCCc1cc(=O)[n-]c(S[C@@H](C)C(=O)Nc2ncccn2)n1 ZINC000011241481 352142843 /nfs/dbraw/zinc/14/28/43/352142843.db2.gz AELMDTNSAMQZFT-VIFPVBQESA-N -1 1 319.390 1.632 20 0 DDADMM CC[C@H](C)c1ccccc1OCC(=O)NN1CC(=O)[N-]C1=O ZINC000029019888 352234105 /nfs/dbraw/zinc/23/41/05/352234105.db2.gz KJCRQQUDNATUBS-JTQLQIEISA-N -1 1 305.334 1.162 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@H](CO)NC(=O)c2ncc(C)cc2[O-])C1 ZINC000617537017 363165202 /nfs/dbraw/zinc/16/52/02/363165202.db2.gz KVNBKKRNXVAXEF-XQQFMLRXSA-N -1 1 308.378 1.392 20 0 DDADMM O=C([N-]C1CCN(c2ncnc3nc[nH]c32)CC1)C(F)(F)F ZINC000039265086 352299064 /nfs/dbraw/zinc/29/90/64/352299064.db2.gz RCNGFLRAOGPRST-UHFFFAOYSA-N -1 1 314.271 1.000 20 0 DDADMM CC[C@@H](C)NC(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000047343480 352491820 /nfs/dbraw/zinc/49/18/20/352491820.db2.gz AVOJZVURFSQZQE-SNVBAGLBSA-N -1 1 300.362 1.598 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)NCCc2n[n-]c(=S)n2C)c1 ZINC000067051342 353032486 /nfs/dbraw/zinc/03/24/86/353032486.db2.gz KOLANENJMVBCRK-UHFFFAOYSA-N -1 1 316.390 1.635 20 0 DDADMM COc1ccc(OCCC(=O)NCc2n[n-]c(=S)n2C)cc1 ZINC000067050006 353032511 /nfs/dbraw/zinc/03/25/11/353032511.db2.gz BFBNKQBTBMUEOP-UHFFFAOYSA-N -1 1 322.390 1.572 20 0 DDADMM COc1cc(C)cc(C(=O)NCCc2n[n-]c(=S)n2C)c1O ZINC000067119032 353034456 /nfs/dbraw/zinc/03/44/56/353034456.db2.gz KAFZSINLVVYYBB-UHFFFAOYSA-N -1 1 322.390 1.473 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2ccccc2OC(F)F)no1 ZINC000173398036 198209856 /nfs/dbraw/zinc/20/98/56/198209856.db2.gz DTEKJQGBGANQDO-UHFFFAOYSA-N -1 1 319.289 1.458 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H](C(F)F)C1CCCCC1 ZINC000636242907 422742974 /nfs/dbraw/zinc/74/29/74/422742974.db2.gz BWZHRFQWNGGLJO-LBPRGKRZSA-N -1 1 301.341 1.853 20 0 DDADMM CO[C@]1(C)C[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1(C)C ZINC000173835710 198258679 /nfs/dbraw/zinc/25/86/79/198258679.db2.gz WAPGBRVKFLNBOA-QPUJVOFHSA-N -1 1 321.830 1.555 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000075259683 353356533 /nfs/dbraw/zinc/35/65/33/353356533.db2.gz PBDPFLLRFZALRM-OAHLLOKOSA-N -1 1 308.765 1.788 20 0 DDADMM C[C@@H]1C[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000075515376 353366297 /nfs/dbraw/zinc/36/62/97/353366297.db2.gz ACCLXCZWDYIHQZ-RKDXNWHRSA-N -1 1 300.380 1.495 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)CNC(N)=O)c1 ZINC000345026827 282901160 /nfs/dbraw/zinc/90/11/60/282901160.db2.gz YMVGWHBAGNNQKK-UHFFFAOYSA-N -1 1 302.128 1.070 20 0 DDADMM CCCNC(=O)CN1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000078546298 353521286 /nfs/dbraw/zinc/52/12/86/353521286.db2.gz QBVKSGLKXASOKF-UHFFFAOYSA-N -1 1 304.390 1.813 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCc3cc(C)ccc32)co1 ZINC000091054894 353807840 /nfs/dbraw/zinc/80/78/40/353807840.db2.gz AVVXKNFFVQWKSQ-UHFFFAOYSA-N -1 1 320.370 1.699 20 0 DDADMM O=c1[n-]c(CN2CCCCC[C@@H]2C[C@H](O)c2ccco2)n[nH]1 ZINC000091591909 353830702 /nfs/dbraw/zinc/83/07/02/353830702.db2.gz NHTZVWRIYICPBZ-NEPJUHHUSA-N -1 1 306.366 1.559 20 0 DDADMM Cc1ccccc1[C@@H]1C[C@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000129274803 354080737 /nfs/dbraw/zinc/08/07/37/354080737.db2.gz VKGXLGNZPYMSFM-WDEREUQCSA-N -1 1 320.374 1.259 20 0 DDADMM Cc1ccccc1[C@@H]1C[C@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000129274803 354080741 /nfs/dbraw/zinc/08/07/41/354080741.db2.gz VKGXLGNZPYMSFM-WDEREUQCSA-N -1 1 320.374 1.259 20 0 DDADMM O=C([O-])C1(CNS(=O)(=O)c2c(F)cccc2F)CCC1 ZINC000166664441 354249669 /nfs/dbraw/zinc/24/96/69/354249669.db2.gz VCJPIKHOOLPELY-UHFFFAOYSA-N -1 1 305.302 1.498 20 0 DDADMM CN1CCO[C@@H]2CN(C(=O)c3ccc4ccccc4c3[O-])C[C@@H]21 ZINC000151772176 283011358 /nfs/dbraw/zinc/01/13/58/283011358.db2.gz GDYRTCRQROWKGK-JKSUJKDBSA-N -1 1 312.369 1.700 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC[C@H](C)OC)c(F)c1 ZINC000588683363 354928390 /nfs/dbraw/zinc/92/83/90/354928390.db2.gz HGEKVFBIIDGAHM-QMMMGPOBSA-N -1 1 309.334 1.677 20 0 DDADMM COCC[C@H](C)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000588682687 354928454 /nfs/dbraw/zinc/92/84/54/354928454.db2.gz ZIKSARDQMOTLTC-QMMMGPOBSA-N -1 1 309.334 1.677 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)CCC(C)=O)n[n-]1 ZINC000588817490 354938570 /nfs/dbraw/zinc/93/85/70/354938570.db2.gz ZSVRAFLLAJCYBB-LLVKDONJSA-N -1 1 324.381 1.554 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)CCC(C)=O)[n-]1 ZINC000588817490 354938571 /nfs/dbraw/zinc/93/85/71/354938571.db2.gz ZSVRAFLLAJCYBB-LLVKDONJSA-N -1 1 324.381 1.554 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)CCC(C)=O)n1 ZINC000588817490 354938572 /nfs/dbraw/zinc/93/85/72/354938572.db2.gz ZSVRAFLLAJCYBB-LLVKDONJSA-N -1 1 324.381 1.554 20 0 DDADMM Cn1cc(CCCNC(=O)c2nc3ccccc3c(=O)[n-]2)cn1 ZINC000171249053 306683645 /nfs/dbraw/zinc/68/36/45/306683645.db2.gz IGNWOSXVKWIMEL-UHFFFAOYSA-N -1 1 311.345 1.019 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)C[C@H](OC)C(C)C)C(=O)OC ZINC000592045903 355475995 /nfs/dbraw/zinc/47/59/95/355475995.db2.gz LZZCYRYURONNKX-RYUDHWBXSA-N -1 1 309.428 1.309 20 0 DDADMM CNC(=O)C1(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CCC1 ZINC000592302908 355554489 /nfs/dbraw/zinc/55/44/89/355554489.db2.gz LRVTWQTUAKDJHC-UHFFFAOYSA-N -1 1 304.375 1.415 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cc3c(cc2C)OCO3)cn1 ZINC000358889816 291070203 /nfs/dbraw/zinc/07/02/03/291070203.db2.gz VOPFYJCHTCPPTG-UHFFFAOYSA-N -1 1 322.342 1.928 20 0 DDADMM COc1cc(C)cc(C(=O)N=c2ccc3c([nH]2)OCCO3)c1[O-] ZINC000618081464 363452495 /nfs/dbraw/zinc/45/24/95/363452495.db2.gz SULDOTQHVZWNLK-UHFFFAOYSA-N -1 1 316.313 1.550 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)c2cocn2)n[n-]1 ZINC000593895097 356045332 /nfs/dbraw/zinc/04/53/32/356045332.db2.gz CUTJCULSIVCEOK-VIFPVBQESA-N -1 1 321.337 1.487 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)c2cocn2)[n-]1 ZINC000593895097 356045340 /nfs/dbraw/zinc/04/53/40/356045340.db2.gz CUTJCULSIVCEOK-VIFPVBQESA-N -1 1 321.337 1.487 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)c2cocn2)n1 ZINC000593895097 356045345 /nfs/dbraw/zinc/04/53/45/356045345.db2.gz CUTJCULSIVCEOK-VIFPVBQESA-N -1 1 321.337 1.487 20 0 DDADMM O=S(=O)([N-]c1ccc(N2CCOCC2)nc1)c1ccccc1 ZINC000005579561 181259924 /nfs/dbraw/zinc/25/99/24/181259924.db2.gz UMZMWDMODJTRRB-UHFFFAOYSA-N -1 1 319.386 1.719 20 0 DDADMM COC(=O)c1[n-]c(=NCc2n[nH]c([C@@H]3CCCO3)n2)sc1C ZINC000594589036 356258029 /nfs/dbraw/zinc/25/80/29/356258029.db2.gz HHYXWZSHILNJFV-QMMMGPOBSA-N -1 1 323.378 1.242 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2cccs2)cc1C ZINC000595300015 356439069 /nfs/dbraw/zinc/43/90/69/356439069.db2.gz JZSUEMGQPFGBDO-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM COC(=O)[C@@H](CC1CC1)N=c1nc(C2CCOCC2)[n-]s1 ZINC000596271772 356840209 /nfs/dbraw/zinc/84/02/09/356840209.db2.gz BMJVSZNHTNWFNH-LLVKDONJSA-N -1 1 311.407 1.608 20 0 DDADMM COC[C@](C)(NC(=O)c1ncc2ccccc2c1[O-])C(=O)OC ZINC000597374881 357153787 /nfs/dbraw/zinc/15/37/87/357153787.db2.gz TYEUPSXNVOBCLP-INIZCTEOSA-N -1 1 318.329 1.248 20 0 DDADMM CSCC[C@H](O)C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000597843044 357354506 /nfs/dbraw/zinc/35/45/06/357354506.db2.gz QDQDARHFKJKTNB-JTQLQIEISA-N -1 1 311.432 1.921 20 0 DDADMM COC(=O)c1sccc1[N-]C(=O)c1nnn(C(C)(C)C)n1 ZINC000598803688 357731664 /nfs/dbraw/zinc/73/16/64/357731664.db2.gz RXXWWHHREKJMTE-UHFFFAOYSA-N -1 1 309.351 1.529 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2ccc([O-])cc2F)CS1(=O)=O ZINC000618324223 363587793 /nfs/dbraw/zinc/58/77/93/363587793.db2.gz RZNJFIBOUYDTEH-IUCAKERBSA-N -1 1 301.339 1.227 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2c([O-])cccc2F)CS1(=O)=O ZINC000618325832 363588727 /nfs/dbraw/zinc/58/87/27/363588727.db2.gz NPUPWPMDKVGPLP-RKDXNWHRSA-N -1 1 301.339 1.227 20 0 DDADMM CS(=O)(=O)c1cccc(CN2C[C@@H]3CCC[C@H]3[C@@H]2C(=O)[O-])c1 ZINC000565487415 304071295 /nfs/dbraw/zinc/07/12/95/304071295.db2.gz VCZRQLQIDGPJSF-NWANDNLSSA-N -1 1 323.414 1.775 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@]3(C)CCCC[C@@H]3O)ccnc1-2 ZINC000287634889 219642861 /nfs/dbraw/zinc/64/28/61/219642861.db2.gz OIXBMUBGNOWCAQ-XLNABJLKSA-N -1 1 317.393 1.405 20 0 DDADMM COC(=O)c1ccc(OC)cc1S(=O)(=O)[N-]CC(F)F ZINC000601361180 358516333 /nfs/dbraw/zinc/51/63/33/358516333.db2.gz DMBQVABDEWMZED-UHFFFAOYSA-N -1 1 309.290 1.025 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)[N-]S(=O)(=O)C1(C(C)C)CC1 ZINC000601393220 358532933 /nfs/dbraw/zinc/53/29/33/358532933.db2.gz CSIMCLKNTQOVPR-QMMMGPOBSA-N -1 1 317.329 1.588 20 0 DDADMM COC(=O)Cc1c(C)[nH]n(-c2ccc(C(=O)[O-])c(F)c2)c1=O ZINC000601949854 358749293 /nfs/dbraw/zinc/74/92/93/358749293.db2.gz KROGQINKNNELRK-JTQLQIEISA-N -1 1 308.265 1.426 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2ccccc2CC)n[n-]1 ZINC000603018282 359359627 /nfs/dbraw/zinc/35/96/27/359359627.db2.gz QBFBMYCYJSYJEZ-UHFFFAOYSA-N -1 1 316.361 1.403 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2ccccc2CC)n1 ZINC000603018282 359359632 /nfs/dbraw/zinc/35/96/32/359359632.db2.gz QBFBMYCYJSYJEZ-UHFFFAOYSA-N -1 1 316.361 1.403 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCCC(F)(F)C2)n[n-]1 ZINC000603018314 359360132 /nfs/dbraw/zinc/36/01/32/359360132.db2.gz QDLCHFDYGZSWSA-QMMMGPOBSA-N -1 1 316.308 1.423 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCCC(F)(F)C2)n1 ZINC000603018314 359360140 /nfs/dbraw/zinc/36/01/40/359360140.db2.gz QDLCHFDYGZSWSA-QMMMGPOBSA-N -1 1 316.308 1.423 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCC(F)(F)C2)n[n-]1 ZINC000603020208 359361763 /nfs/dbraw/zinc/36/17/63/359361763.db2.gz YRUWJZPXENEULQ-SSDOTTSWSA-N -1 1 302.281 1.033 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCC(F)(F)C2)n1 ZINC000603020208 359361767 /nfs/dbraw/zinc/36/17/67/359361767.db2.gz YRUWJZPXENEULQ-SSDOTTSWSA-N -1 1 302.281 1.033 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@@H](O)C1)c1cc(F)c(F)cc1F ZINC000188329127 200228529 /nfs/dbraw/zinc/22/85/29/200228529.db2.gz TVKBXIVXKGAQAO-HTQZYQBOSA-N -1 1 309.309 1.543 20 0 DDADMM CCC[C@@H](C)CS(=O)(=O)[N-][C@@]1(C(=O)OC)CCSC1 ZINC000340070912 283490793 /nfs/dbraw/zinc/49/07/93/283490793.db2.gz NZULQOARZBRJMW-PWSUYJOCSA-N -1 1 309.453 1.391 20 0 DDADMM Cc1cccc(OCCC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000605529895 359866237 /nfs/dbraw/zinc/86/62/37/359866237.db2.gz CXHFYHXIDNERLN-UHFFFAOYSA-N -1 1 315.377 1.863 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1c(C)onc1N)c1ccccc1 ZINC000281205871 216152502 /nfs/dbraw/zinc/15/25/02/216152502.db2.gz PVFIWOUDCYVOIT-NSHDSACASA-N -1 1 311.363 1.231 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)[C@@H]1COC(C)(C)C1)c1ccco1 ZINC000625040760 366681236 /nfs/dbraw/zinc/68/12/36/366681236.db2.gz MTKYAIZQEIFHLL-QWRGUYRKSA-N -1 1 303.380 1.454 20 0 DDADMM CNC(=O)[C@@H](C)CN(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000611904009 360853373 /nfs/dbraw/zinc/85/33/73/360853373.db2.gz KDCXJAYUNKMGDH-JTQLQIEISA-N -1 1 301.346 1.395 20 0 DDADMM COc1cc(F)c([N-]C(=O)c2nnn(C(C)(C)C)n2)cc1F ZINC000612326718 360972814 /nfs/dbraw/zinc/97/28/14/360972814.db2.gz IYMLHUHDYLKWPE-UHFFFAOYSA-N -1 1 311.292 1.967 20 0 DDADMM CC(C)COC[C@@H](O)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000612413717 361003753 /nfs/dbraw/zinc/00/37/53/361003753.db2.gz DXINYOWNQQGUGC-ZDUSSCGKSA-N -1 1 318.373 1.704 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCc1cc[nH]n1 ZINC000625135930 366731920 /nfs/dbraw/zinc/73/19/20/366731920.db2.gz ODQALENGCYUXEF-UHFFFAOYSA-N -1 1 314.349 1.024 20 0 DDADMM CSC1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CCC1 ZINC000194007758 201162565 /nfs/dbraw/zinc/16/25/65/201162565.db2.gz CMBCOGRTQUUXBP-UHFFFAOYSA-N -1 1 309.844 1.638 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)N1CCCC1 ZINC000281536016 216367904 /nfs/dbraw/zinc/36/79/04/216367904.db2.gz NBACLDZKVVTQII-VIFPVBQESA-N -1 1 318.345 1.254 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@H](CN3CCCC3=O)C2)c([O-])c1 ZINC000620253170 364350749 /nfs/dbraw/zinc/35/07/49/364350749.db2.gz GWDVBIJLQPJHIU-GFCCVEGCSA-N -1 1 303.362 1.180 20 0 DDADMM O=C(NCCOCCF)c1ccc(Br)cc1[O-] ZINC000621091927 364671237 /nfs/dbraw/zinc/67/12/37/364671237.db2.gz WMSUONWQCWZNSQ-UHFFFAOYSA-N -1 1 306.131 1.871 20 0 DDADMM O=C(CCc1ccccc1O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622612265 365452211 /nfs/dbraw/zinc/45/22/11/365452211.db2.gz SKZCQKYWDUGSDY-MRXNPFEDSA-N -1 1 323.356 1.744 20 0 DDADMM C[C@@H]1CN(C(=O)N[C@H]2CCc3nc[nH]c3C2)CC[C@@H]1C(=O)[O-] ZINC000626764614 367732505 /nfs/dbraw/zinc/73/25/05/367732505.db2.gz RQKSQHDGZUVWKM-VWYCJHECSA-N -1 1 306.366 1.019 20 0 DDADMM CC[C@H](C)[C@@H]1CCCCN1S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349631600 283990133 /nfs/dbraw/zinc/99/01/33/283990133.db2.gz XGCNWIUHFWBMIN-ONGXEEELSA-N -1 1 301.412 1.985 20 0 DDADMM NC(=O)c1ccc(Cl)c([N-]S(=O)(=O)c2ccoc2)c1 ZINC000350034000 284135885 /nfs/dbraw/zinc/13/58/85/284135885.db2.gz JQJXIDYCSJCUEP-UHFFFAOYSA-N -1 1 300.723 1.833 20 0 DDADMM Cc1ccc(NC(=O)NC(=O)CN2CC[C@@H](C(=O)[O-])C2)c(C)c1 ZINC000262643212 203362575 /nfs/dbraw/zinc/36/25/75/203362575.db2.gz JJXWJHLQZHAABD-GFCCVEGCSA-N -1 1 319.361 1.358 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2cc3ccccc3o2)n1 ZINC000350184083 284166133 /nfs/dbraw/zinc/16/61/33/284166133.db2.gz LOJSZLBWACYOCB-UHFFFAOYSA-N -1 1 320.326 1.916 20 0 DDADMM Cc1ccc(NC(=O)NC(=O)CN2CC[C@@H](C(=O)[O-])C2)cc1C ZINC000262663964 203370308 /nfs/dbraw/zinc/37/03/08/203370308.db2.gz OPSCVIVEKPTEGW-GFCCVEGCSA-N -1 1 319.361 1.358 20 0 DDADMM CC(C)(CNC(=O)c1ccc(Br)cc1[O-])C(N)=O ZINC000094015898 193338174 /nfs/dbraw/zinc/33/81/74/193338174.db2.gz HFBIIQYFMQKANR-UHFFFAOYSA-N -1 1 315.167 1.396 20 0 DDADMM c1[nH]nnc1CCSc1n[n-]c(=NC[C@@H]2CCCO2)s1 ZINC000566496730 304163746 /nfs/dbraw/zinc/16/37/46/304163746.db2.gz MBOIEZBFDDIWLZ-VIFPVBQESA-N -1 1 312.424 1.004 20 0 DDADMM c1nn[nH]c1CCSc1n[n-]c(=NC[C@@H]2CCCO2)s1 ZINC000566496730 304163747 /nfs/dbraw/zinc/16/37/47/304163747.db2.gz MBOIEZBFDDIWLZ-VIFPVBQESA-N -1 1 312.424 1.004 20 0 DDADMM CCO[C@@H]1C[C@H](O)C12CCN(C(=O)c1ncccc1[O-])CC2 ZINC000265967683 205006320 /nfs/dbraw/zinc/00/63/20/205006320.db2.gz LZJIDQUNOVOLFG-QWHCGFSZSA-N -1 1 306.362 1.179 20 0 DDADMM Cc1cc(C)cc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282802673 217260265 /nfs/dbraw/zinc/26/02/65/217260265.db2.gz ANVYIARZVMZHET-GFCCVEGCSA-N -1 1 300.362 1.735 20 0 DDADMM CO[C@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000266243694 205210998 /nfs/dbraw/zinc/21/09/98/205210998.db2.gz WLSKXYGRARLNSZ-IUCAKERBSA-N -1 1 307.803 1.309 20 0 DDADMM Cc1nonc1[N-]C(=O)c1cnc(C(=O)OC(C)(C)C)cn1 ZINC000282836331 217283071 /nfs/dbraw/zinc/28/30/71/217283071.db2.gz MCLAOCRUHGSFQP-UHFFFAOYSA-N -1 1 305.294 1.376 20 0 DDADMM COC(C)(C)CS(=O)(=O)Nc1cc(F)cc(C(=O)[O-])c1F ZINC000566681093 304176190 /nfs/dbraw/zinc/17/61/90/304176190.db2.gz CCKBOFXTEYNWAQ-UHFFFAOYSA-N -1 1 323.317 1.830 20 0 DDADMM COCC1(CC(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)CC1 ZINC000566740949 304178129 /nfs/dbraw/zinc/17/81/29/304178129.db2.gz RXWOLGLABVVXCU-UHFFFAOYSA-N -1 1 318.377 1.485 20 0 DDADMM CCOC(=O)C1(O)CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000267513304 206115298 /nfs/dbraw/zinc/11/52/98/206115298.db2.gz MQAUUMXXCGGBMJ-UHFFFAOYSA-N -1 1 311.309 1.062 20 0 DDADMM O=C(Cc1ccc2c(c1)CCCC2)NCCCc1nc(=O)[n-][nH]1 ZINC000174577049 248370232 /nfs/dbraw/zinc/37/02/32/248370232.db2.gz FYBUYPPZKMNXRJ-UHFFFAOYSA-N -1 1 314.389 1.268 20 0 DDADMM Cn1nc(C(=O)N=c2nc(C3CCC3)[nH][n-]2)c2c1CCCC2 ZINC000333636881 249051894 /nfs/dbraw/zinc/05/18/94/249051894.db2.gz BIFKDUWRJIRVTA-UHFFFAOYSA-N -1 1 300.366 1.359 20 0 DDADMM COC(OC)[C@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000234587939 284660634 /nfs/dbraw/zinc/66/06/34/284660634.db2.gz KATALPINDUAFRR-ZETCQYMHSA-N -1 1 318.167 1.892 20 0 DDADMM CCOc1ccc(S(=O)(=O)Nc2cncnc2)cc1C(=O)[O-] ZINC000336866450 249274381 /nfs/dbraw/zinc/27/43/81/249274381.db2.gz WVFIXKXFIPNXII-UHFFFAOYSA-N -1 1 323.330 1.374 20 0 DDADMM Cn1nncc1C(=O)N=c1cc(-c2ccc(Cl)s2)[n-][nH]1 ZINC000336988296 249302619 /nfs/dbraw/zinc/30/26/19/249302619.db2.gz JLZAGELXITXKHV-UHFFFAOYSA-N -1 1 308.754 1.594 20 0 DDADMM CCOc1cc(C(=O)N=c2[nH][n-]c(C)c2C(=O)NC)ccc1C ZINC000337164152 249371423 /nfs/dbraw/zinc/37/14/23/249371423.db2.gz WRKKVUPPNBKFOO-UHFFFAOYSA-N -1 1 316.361 1.459 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@H](O)C1)c1cc(Cl)ccc1F ZINC000284373617 218000961 /nfs/dbraw/zinc/00/09/61/218000961.db2.gz RLCSDUWCKAIPTL-SCZZXKLOSA-N -1 1 307.774 1.918 20 0 DDADMM O=C(CCn1ccnn1)N=c1cc(-c2ccc(Cl)s2)[n-][nH]1 ZINC000338402216 249945477 /nfs/dbraw/zinc/94/54/77/249945477.db2.gz SRYVEMZUBKCMGQ-UHFFFAOYSA-N -1 1 322.781 1.834 20 0 DDADMM COc1cc2c(cc1/C=C\c1cc(=O)n3[n-]cnc3n1)OCO2 ZINC000352034841 284727324 /nfs/dbraw/zinc/72/73/24/284727324.db2.gz IKWPNNHIHCBTAJ-IHWYPQMZSA-N -1 1 312.285 1.325 20 0 DDADMM Cc1noc(C(C)(C)[N-]S(=O)(=O)c2cc(F)cc(F)c2)n1 ZINC000284788667 218178101 /nfs/dbraw/zinc/17/81/01/218178101.db2.gz XMWUGZIJCDNDNI-UHFFFAOYSA-N -1 1 317.317 1.870 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CC[C@](F)(CO)C1 ZINC000338760662 250124070 /nfs/dbraw/zinc/12/40/70/250124070.db2.gz OUTPWNSMHZHFAB-GFCCVEGCSA-N -1 1 318.142 1.701 20 0 DDADMM NC(=O)[C@@H]1CCC[C@H]([N-]S(=O)(=O)c2sccc2F)C1 ZINC000338898967 250188231 /nfs/dbraw/zinc/18/82/31/250188231.db2.gz JFAICDCGYFTEAI-SFYZADRCSA-N -1 1 306.384 1.210 20 0 DDADMM Cc1nc(C(C)(C)[N-]S(=O)(=O)c2sccc2F)no1 ZINC000338889562 250183326 /nfs/dbraw/zinc/18/33/26/250183326.db2.gz YTSHDHUHPBUSCV-UHFFFAOYSA-N -1 1 305.356 1.792 20 0 DDADMM CCCC(=O)N1CCC[C@H]1C(=O)Nc1nc(C(=O)OCC)c[n-]1 ZINC000339172756 250326097 /nfs/dbraw/zinc/32/60/97/250326097.db2.gz OYCQQQRKCQSLCS-NSHDSACASA-N -1 1 322.365 1.316 20 0 DDADMM Cc1nn(C)c(C)c1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339192966 250336348 /nfs/dbraw/zinc/33/63/48/250336348.db2.gz ZTHSRQYBEYOJCW-UHFFFAOYSA-N -1 1 305.338 1.145 20 0 DDADMM O=C(Cc1ccc(Br)cc1O)NCc1cnc[nH]1 ZINC000340584402 251124305 /nfs/dbraw/zinc/12/43/05/251124305.db2.gz KAJFRVKPJDFDKO-UHFFFAOYSA-N -1 1 310.151 1.737 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCS[C@H](C)[C@@H]2C)o1 ZINC000118375599 194890884 /nfs/dbraw/zinc/89/08/84/194890884.db2.gz NUCLBPMNKCIDRZ-DTWKUNHWSA-N -1 1 318.420 1.154 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)c3ccccc32)co1 ZINC000119885636 195055607 /nfs/dbraw/zinc/05/56/07/195055607.db2.gz RMQMGJZOFDHQFO-JTQLQIEISA-N -1 1 320.370 1.952 20 0 DDADMM COc1ccc([C@H](C)CCNC(=O)CCc2nn[n-]n2)cc1 ZINC000631504482 422802722 /nfs/dbraw/zinc/80/27/22/422802722.db2.gz WGVISCKTDZOIMB-LLVKDONJSA-N -1 1 303.366 1.451 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)N2CCCn3nccc32)c1 ZINC000270075680 208132665 /nfs/dbraw/zinc/13/26/65/208132665.db2.gz VLAQVCRQXSCYIW-UHFFFAOYSA-N -1 1 300.318 1.598 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cnn([C@H]2CCOC2)c1)C(F)(F)F ZINC000288711547 220364840 /nfs/dbraw/zinc/36/48/40/220364840.db2.gz XWANIUJZGGNETL-YUMQZZPRSA-N -1 1 313.301 1.074 20 0 DDADMM O=C([O-])c1cncnc1NC[C@@H](c1ccco1)N1CCCCC1 ZINC000567980287 304258206 /nfs/dbraw/zinc/25/82/06/304258206.db2.gz XLMAWKXWZLDQOC-ZDUSSCGKSA-N -1 1 316.361 1.829 20 0 DDADMM CC(C)[C@](C)([N-]S(=O)(=O)c1c(F)cccc1Cl)C(N)=O ZINC000352624694 285182207 /nfs/dbraw/zinc/18/22/07/285182207.db2.gz AWQRRSRZKBQCSJ-LBPRGKRZSA-N -1 1 322.789 1.657 20 0 DDADMM COc1csc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000331870627 533163481 /nfs/dbraw/zinc/16/34/81/533163481.db2.gz TWGITHNGAGIHJO-MRVPVSSYSA-N -1 1 309.347 1.865 20 0 DDADMM COC(=O)c1cccc(CCNC(=O)c2ncccc2[O-])c1 ZINC000120803151 195241430 /nfs/dbraw/zinc/24/14/30/195241430.db2.gz DLJBFZBUKKNEBZ-UHFFFAOYSA-N -1 1 300.314 1.546 20 0 DDADMM CC(C)(C)C(=O)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000271555626 209008537 /nfs/dbraw/zinc/00/85/37/209008537.db2.gz ZVHDJDPKHWTQGA-UHFFFAOYSA-N -1 1 316.354 1.751 20 0 DDADMM CN(CCNC(=O)c1c(F)ccc([O-])c1F)CC(F)(F)F ZINC000289560253 221068143 /nfs/dbraw/zinc/06/81/43/221068143.db2.gz RRRMWKLEVDKRRB-UHFFFAOYSA-N -1 1 312.238 1.894 20 0 DDADMM COc1c([N-]S(=O)(=O)CCCCF)cccc1C(N)=O ZINC000451029725 533441346 /nfs/dbraw/zinc/44/13/46/533441346.db2.gz LLNQJEANINTKLM-UHFFFAOYSA-N -1 1 304.343 1.286 20 0 DDADMM COC(=O)CNC(=O)c1ccc(NC(=O)OC(C)(C)C)cc1[O-] ZINC000491627274 533534489 /nfs/dbraw/zinc/53/44/89/533534489.db2.gz ULLBDHZTZCUWCI-UHFFFAOYSA-N -1 1 324.333 1.642 20 0 DDADMM O=C([O-])c1coc(NCC2(N3CCOCC3)CCCCC2)n1 ZINC000568396462 304291619 /nfs/dbraw/zinc/29/16/19/304291619.db2.gz JFYXWTANRVDCTM-UHFFFAOYSA-N -1 1 309.366 1.242 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)Cc1nc2ccccc2[nH]1 ZINC000568697128 304305789 /nfs/dbraw/zinc/30/57/89/304305789.db2.gz FTFNXPALDZAXBM-UHFFFAOYSA-N -1 1 321.362 1.093 20 0 DDADMM Cn1cc(CS(=O)(=O)c2ncc(-c3ccc(F)cc3)[n-]2)nn1 ZINC000568954358 304323240 /nfs/dbraw/zinc/32/32/40/304323240.db2.gz KQXNWCSZWHUVTG-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM Cn1cc(CS(=O)(=O)c2nc(-c3ccc(F)cc3)c[n-]2)nn1 ZINC000568954358 304323242 /nfs/dbraw/zinc/32/32/42/304323242.db2.gz KQXNWCSZWHUVTG-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM C[C@H]1CN(CCCNC(=O)c2ccc(C(=O)[O-])cc2)C[C@H](C)O1 ZINC000568984484 304326950 /nfs/dbraw/zinc/32/69/50/304326950.db2.gz LGGUIMNJJVJBGI-STQMWFEESA-N -1 1 320.389 1.614 20 0 DDADMM CCCCCOCC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000114412128 407570445 /nfs/dbraw/zinc/57/04/45/407570445.db2.gz AIUXZAZDDGBJQY-UHFFFAOYSA-N -1 1 320.393 1.462 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2ccc[nH]c2=O)oc1C ZINC000570705200 304420306 /nfs/dbraw/zinc/42/03/06/304420306.db2.gz PJUYMJPURBPPEU-UHFFFAOYSA-N -1 1 312.303 1.276 20 0 DDADMM CC(C)Oc1ccc(C(=O)NCCc2n[n-]c(=S)n2C)cn1 ZINC000078503323 407030934 /nfs/dbraw/zinc/03/09/34/407030934.db2.gz USDJALCAEZVSJN-UHFFFAOYSA-N -1 1 321.406 1.632 20 0 DDADMM O=C(CCc1cccc(Br)c1)NCc1nn[n-]n1 ZINC000044927766 407034180 /nfs/dbraw/zinc/03/41/80/407034180.db2.gz LHBQMXNSFLQBAK-UHFFFAOYSA-N -1 1 310.155 1.211 20 0 DDADMM CCOC(=O)C[C@H](C)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000089688205 407143999 /nfs/dbraw/zinc/14/39/99/407143999.db2.gz UUFGPBJKFPXPPG-JTQLQIEISA-N -1 1 317.345 1.242 20 0 DDADMM O=C(NCC1CC1)[C@H]1CSCN1C(=O)c1cc(F)ccc1[O-] ZINC000094075519 407203886 /nfs/dbraw/zinc/20/38/86/407203886.db2.gz MOSGQZSRKCGONP-GFCCVEGCSA-N -1 1 324.377 1.573 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NOCC(C)C ZINC000123033068 407317996 /nfs/dbraw/zinc/31/79/96/407317996.db2.gz PVLMJHOGZRDHHK-UHFFFAOYSA-N -1 1 306.366 1.688 20 0 DDADMM O=C(NCCC1N=NC(=S)N1C1CC1)c1c([O-])cccc1F ZINC000123836245 407340279 /nfs/dbraw/zinc/34/02/79/407340279.db2.gz YOGKAQKJFRTJRM-UHFFFAOYSA-N -1 1 322.365 1.719 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC1CCC(C)CC1 ZINC000103939120 407344468 /nfs/dbraw/zinc/34/44/68/407344468.db2.gz MPQCEZPHTSSMIF-UHFFFAOYSA-N -1 1 316.405 1.877 20 0 DDADMM C[C@H](CC[N-]S(=O)(=O)c1cc(F)ccc1F)[S@](C)=O ZINC000124167645 407350029 /nfs/dbraw/zinc/35/00/29/407350029.db2.gz SRMNXNDBZNWHOO-NTCNTBNZSA-N -1 1 311.375 1.400 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)N[C@@H]1CC[N@H+](C2CCCC2)C1 ZINC000106891504 407365015 /nfs/dbraw/zinc/36/50/15/407365015.db2.gz ZSOFPTUAGGMUHW-GFCCVEGCSA-N -1 1 313.423 1.587 20 0 DDADMM O=S(=O)(Cc1nc(-c2ccco2)no1)c1n[n-]c(C2CC2)n1 ZINC000127219180 407431532 /nfs/dbraw/zinc/43/15/32/407431532.db2.gz FVJNQLAYCQBJJF-UHFFFAOYSA-N -1 1 321.318 1.299 20 0 DDADMM Cc1ccc(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)s1 ZINC000114155167 407548305 /nfs/dbraw/zinc/54/83/05/407548305.db2.gz SFDOAGRNZKPYCG-UHFFFAOYSA-N -1 1 316.386 1.939 20 0 DDADMM O=C(CCOc1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129305926 407601717 /nfs/dbraw/zinc/60/17/17/407601717.db2.gz FAMLGLNRANKZPX-LBPRGKRZSA-N -1 1 301.350 1.375 20 0 DDADMM O=C([N-]CCCN1C(=O)COc2ccccc21)C(F)(F)F ZINC000152288436 407602575 /nfs/dbraw/zinc/60/25/75/407602575.db2.gz IALMEDAYYHMBQV-UHFFFAOYSA-N -1 1 302.252 1.481 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2C[C@H](C)C[C@@H](C)C2)co1 ZINC000178948760 407668087 /nfs/dbraw/zinc/66/80/87/407668087.db2.gz QDIKWRGXVAZUCO-NXEZZACHSA-N -1 1 314.407 1.742 20 0 DDADMM CC[C@H]1CC[C@H](C)N1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000271406696 407674996 /nfs/dbraw/zinc/67/49/96/407674996.db2.gz JOTRZHZGPTTZHS-ONGXEEELSA-N -1 1 300.380 1.591 20 0 DDADMM O=C(NC[C@@H]1CCC(=O)N1)c1ccc(Br)c([O-])c1 ZINC000231346185 407696339 /nfs/dbraw/zinc/69/63/39/407696339.db2.gz VEWJZIUBLKITDM-QMMMGPOBSA-N -1 1 313.151 1.163 20 0 DDADMM COC[C@H](CO)[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000234810159 407714659 /nfs/dbraw/zinc/71/46/59/407714659.db2.gz JWUBWPUFCAEJCY-YFKPBYRVSA-N -1 1 320.219 1.341 20 0 DDADMM CCc1ccc(S(=O)(=O)NN=c2nc(OC)cc(C)[n-]2)cc1 ZINC000267104212 407749004 /nfs/dbraw/zinc/74/90/04/407749004.db2.gz XBSWQXYZCVZUNX-UHFFFAOYSA-N -1 1 322.390 1.661 20 0 DDADMM CCN(CC)C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000267169897 407776745 /nfs/dbraw/zinc/77/67/45/407776745.db2.gz ORMCJMQQNUUPSN-UHFFFAOYSA-N -1 1 315.395 1.940 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCO[C@H]3CCCC[C@@H]31)c2=O ZINC000179436816 407788755 /nfs/dbraw/zinc/78/87/55/407788755.db2.gz RGELPFFJXKXNHN-STQMWFEESA-N -1 1 316.361 1.458 20 0 DDADMM Cc1ccccc1[C@@H]1C(=O)NCCN1C(=O)c1ncccc1[O-] ZINC000153342151 407803061 /nfs/dbraw/zinc/80/30/61/407803061.db2.gz DXIIENTYJXZHAH-OAHLLOKOSA-N -1 1 311.341 1.409 20 0 DDADMM NS(=O)(=O)c1ccc(NC(=O)c2cc(F)ccc2[O-])cc1 ZINC000132862719 407808003 /nfs/dbraw/zinc/80/80/03/407808003.db2.gz RTILEPXPSQLLJG-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM COCC[C@@H](NC(=O)[C@H]1CCCN1Cc1ccccc1)C(=O)[O-] ZINC000262351722 407809112 /nfs/dbraw/zinc/80/91/12/407809112.db2.gz YZMDJGGKELDPGK-HUUCEWRRSA-N -1 1 320.389 1.257 20 0 DDADMM CC[C@@H](C)[C@@H](O)CNC(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000134282929 407890848 /nfs/dbraw/zinc/89/08/48/407890848.db2.gz YUPDRQXRRSYIKM-RNCFNFMXSA-N -1 1 303.362 1.781 20 0 DDADMM CC[C@@H](C)[C@@H](O)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000134282929 407890858 /nfs/dbraw/zinc/89/08/58/407890858.db2.gz YUPDRQXRRSYIKM-RNCFNFMXSA-N -1 1 303.362 1.781 20 0 DDADMM O=C([O-])C1CCN(CC(=O)NCCOc2cccc(F)c2)CC1 ZINC000262649131 407892727 /nfs/dbraw/zinc/89/27/27/407892727.db2.gz KWEBZSGCGIYSIO-UHFFFAOYSA-N -1 1 324.352 1.117 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C(F)(F)F)cn1)c1nn[n-]n1 ZINC000268133049 407905504 /nfs/dbraw/zinc/90/55/04/407905504.db2.gz BWSYMJZKZHFGMG-MRVPVSSYSA-N -1 1 314.271 1.885 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CC1)c1c(Cl)ccnc1Cl ZINC000272266177 407842816 /nfs/dbraw/zinc/84/28/16/407842816.db2.gz VTXYVFYWIQESMX-QMMMGPOBSA-N -1 1 311.190 1.438 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C)CC[C@H]2C)co1 ZINC000154407574 408031743 /nfs/dbraw/zinc/03/17/43/408031743.db2.gz NGKRZFDGCSVHOA-VHSXEESVSA-N -1 1 300.380 1.448 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1cccn1C1CCCC1 ZINC000181778550 408038213 /nfs/dbraw/zinc/03/82/13/408038213.db2.gz VTSLHZYKCYONIR-UHFFFAOYSA-N -1 1 303.366 1.377 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCCCO1 ZINC000189559710 408049604 /nfs/dbraw/zinc/04/96/04/408049604.db2.gz PYSHYUZOYQGXPP-UHFFFAOYSA-N -1 1 304.350 1.538 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccccc2N(C)C)co1 ZINC000119061797 407987536 /nfs/dbraw/zinc/98/75/36/407987536.db2.gz XVRRZMWLBDSMNX-UHFFFAOYSA-N -1 1 323.374 1.506 20 0 DDADMM CC(C)(C)[C@@H](O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000119101206 407995420 /nfs/dbraw/zinc/99/54/20/407995420.db2.gz YQARYAVCINGRCU-NSHDSACASA-N -1 1 311.325 1.789 20 0 DDADMM CCn1nc(C)c(NC(=O)c2cnc3n(C)[n-]cc-3c2=O)c1C ZINC000182079589 408071791 /nfs/dbraw/zinc/07/17/91/408071791.db2.gz RYJKDYDMVICWQB-UHFFFAOYSA-N -1 1 314.349 1.759 20 0 DDADMM CCC[C@H](NC(=O)c1c(Cl)nc2ccccn21)c1nn[n-]n1 ZINC000136627785 408113219 /nfs/dbraw/zinc/11/32/19/408113219.db2.gz QRVPIKQMRKQKBB-QMMMGPOBSA-N -1 1 319.756 1.772 20 0 DDADMM CCC[C@@H](NC(=O)C(=O)Nc1ccc(C)cc1)c1nn[n-]n1 ZINC000136666553 408114619 /nfs/dbraw/zinc/11/46/19/408114619.db2.gz XDVCMZMIRDKMGI-LLVKDONJSA-N -1 1 302.338 1.104 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc2onc(C)c2c1)c1nn[n-]n1 ZINC000136639430 408117181 /nfs/dbraw/zinc/11/71/81/408117181.db2.gz AKAIGHRBXCBRFE-SNVBAGLBSA-N -1 1 301.310 1.316 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@]4(CCOC4)C3)cnc2n1 ZINC000155202449 408137405 /nfs/dbraw/zinc/13/74/05/408137405.db2.gz ODLZUZDCVYLABV-QGZVFWFLSA-N -1 1 313.357 1.896 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC[C@@]3(CCOC3)C1)c2=O ZINC000155202449 408137407 /nfs/dbraw/zinc/13/74/07/408137407.db2.gz ODLZUZDCVYLABV-QGZVFWFLSA-N -1 1 313.357 1.896 20 0 DDADMM COc1cccc(-c2noc([C@@H](C)NCc3nc(=O)[n-][nH]3)n2)c1 ZINC000273392319 408179748 /nfs/dbraw/zinc/17/97/48/408179748.db2.gz PCGGQHMHEAGGIP-MRVPVSSYSA-N -1 1 316.321 1.007 20 0 DDADMM CC[C@H](COC)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000151013534 408240264 /nfs/dbraw/zinc/24/02/64/408240264.db2.gz LDLFEKROFGNAAF-SNVBAGLBSA-N -1 1 313.423 1.274 20 0 DDADMM CN(C(=O)c1nn(-c2ccccc2)cc1[O-])[C@H]1CCC[N@@H+](C)C1 ZINC000175839866 408220476 /nfs/dbraw/zinc/22/04/76/408220476.db2.gz VYYASNHVQQWRJE-AWEZNQCLSA-N -1 1 314.389 1.744 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(F)c(F)c2)co1 ZINC000157870755 408318822 /nfs/dbraw/zinc/31/88/22/408318822.db2.gz ZKBNCPLGMJVMHM-UHFFFAOYSA-N -1 1 316.285 1.718 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCc2ccc(Cl)s2)C1 ZINC000164676295 162153688 /nfs/dbraw/zinc/15/36/88/162153688.db2.gz LWROFDAZLXRYEO-SECBINFHSA-N -1 1 316.810 1.814 20 0 DDADMM CO[C@@H]1CC[C@@H]2OCCN(C(=O)c3cc(F)ccc3[O-])[C@H]2C1 ZINC000246902425 408365680 /nfs/dbraw/zinc/36/56/80/408365680.db2.gz UKJBJAXMDQSUNB-ZLDLUXBVSA-N -1 1 309.337 1.940 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)OC1CCCCCC1)c1nn[n-]n1 ZINC000183454795 408416296 /nfs/dbraw/zinc/41/62/96/408416296.db2.gz JQPQTBZEBOVXSA-NEPJUHHUSA-N -1 1 309.414 1.890 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(OC)cc(OC)c1)c1nn[n-]n1 ZINC000176752194 408431244 /nfs/dbraw/zinc/43/12/44/408431244.db2.gz XZOVULFQJRGWJQ-GFCCVEGCSA-N -1 1 305.338 1.488 20 0 DDADMM CCOc1cc(C(=O)N[C@H](C)C[S@@](C)=O)cc(Cl)c1[O-] ZINC000183557174 408439695 /nfs/dbraw/zinc/43/96/95/408439695.db2.gz CSPRRXQVRZRDPQ-ZPWHCFADSA-N -1 1 319.810 1.941 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2c(c1)N=S=N2)c1nn[n-]n1 ZINC000274786176 408531189 /nfs/dbraw/zinc/53/11/89/408531189.db2.gz OYMXQXDAJVYION-SSDOTTSWSA-N -1 1 303.351 1.802 20 0 DDADMM C[C@H](NC(=O)C(C)(C)C)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000248236790 408545088 /nfs/dbraw/zinc/54/50/88/408545088.db2.gz MWLJFLFTCFLJGP-YFKPBYRVSA-N -1 1 307.276 1.313 20 0 DDADMM CO[C@@H]1CC[C@H]2OCCN(C(=O)c3ccc([O-])cc3F)[C@H]2C1 ZINC000248458051 408571112 /nfs/dbraw/zinc/57/11/12/408571112.db2.gz PNPZDHQJJSDNMT-BYCMXARLSA-N -1 1 309.337 1.940 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)cc1C ZINC000265360304 408623050 /nfs/dbraw/zinc/62/30/50/408623050.db2.gz BDKIKVLBENXIGP-GFCCVEGCSA-N -1 1 313.375 1.702 20 0 DDADMM C[C@@H](CN1CCN(c2ccccn2)CC1)[N-]C(=O)C(F)(F)F ZINC000265436946 408652007 /nfs/dbraw/zinc/65/20/07/408652007.db2.gz HDDARQNVGACIAF-NSHDSACASA-N -1 1 316.327 1.271 20 0 DDADMM CCCNC(=O)c1ccc([N-]S(=O)(=O)C[C@H](C)OC)cc1 ZINC000193317553 408711747 /nfs/dbraw/zinc/71/17/47/408711747.db2.gz UYIPDXZKKXRWJC-NSHDSACASA-N -1 1 314.407 1.603 20 0 DDADMM CN(Cc1cc(=O)oc2cc([O-])ccc12)[C@H]1CCN(C)C1=O ZINC000265634412 408721992 /nfs/dbraw/zinc/72/19/92/408721992.db2.gz GXPDSMVEHYUANP-ZDUSSCGKSA-N -1 1 302.330 1.161 20 0 DDADMM C[C@H](CN(C)C(=O)c1c[nH]nc1-c1ccsc1)c1nn[n-]n1 ZINC000275953777 408730077 /nfs/dbraw/zinc/73/00/77/408730077.db2.gz LASLLOMNSYRRPB-MRVPVSSYSA-N -1 1 317.378 1.527 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccccc1SCCC(N)=O ZINC000265664893 408738342 /nfs/dbraw/zinc/73/83/42/408738342.db2.gz ZGXKBJFENQQUCX-UHFFFAOYSA-N -1 1 318.420 1.042 20 0 DDADMM CC(=O)N[C@@H](C)C(=O)Nc1cc(C)cc(Br)c1[O-] ZINC000182905282 162837343 /nfs/dbraw/zinc/83/73/43/162837343.db2.gz ISXPIQHXUJUQKL-ZETCQYMHSA-N -1 1 315.167 1.926 20 0 DDADMM Cn1nccc1CS(=O)(=O)c1n[n-]c(CCC2CCCC2)n1 ZINC000163435646 408778448 /nfs/dbraw/zinc/77/84/48/408778448.db2.gz APJBOLVWGOHWRE-UHFFFAOYSA-N -1 1 323.422 1.635 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N([C@H](C)c2ccccc2)C1 ZINC000184780794 162905493 /nfs/dbraw/zinc/90/54/93/162905493.db2.gz URQVNMBIQWNVJL-UKRRQHHQSA-N -1 1 320.389 1.680 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]1CCO)c1cccc(F)c1F ZINC000188918809 163077312 /nfs/dbraw/zinc/07/73/12/163077312.db2.gz GMOZOKBVADIPMD-MWLCHTKSSA-N -1 1 305.346 1.794 20 0 DDADMM COC(=O)C[C@@](C)(NC(=O)c1cncc([O-])c1)c1ccncc1 ZINC000286130426 408929010 /nfs/dbraw/zinc/92/90/10/408929010.db2.gz QNONIRDCXMHBRX-MRXNPFEDSA-N -1 1 315.329 1.391 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCCC(=O)N2)c1 ZINC000281947855 408958876 /nfs/dbraw/zinc/95/88/76/408958876.db2.gz AHJHAUCFYDZRLV-JTQLQIEISA-N -1 1 306.318 1.176 20 0 DDADMM C[C@@H]1CCS(=O)(=O)CCN1C(=O)c1ccc([O-])cc1F ZINC000277659892 408987119 /nfs/dbraw/zinc/98/71/19/408987119.db2.gz FGSKTOXLWZWYAN-SECBINFHSA-N -1 1 301.339 1.181 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2cccc3[nH]c(C)nc32)C1=O ZINC000292998306 409047771 /nfs/dbraw/zinc/04/77/71/409047771.db2.gz SQQWLNXZYQJIOG-OAHLLOKOSA-N -1 1 315.333 1.237 20 0 DDADMM C[C@H](COCC(F)(F)F)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000283036841 409073538 /nfs/dbraw/zinc/07/35/38/409073538.db2.gz NEMRTRZGRHKWNP-MRVPVSSYSA-N -1 1 305.252 1.659 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000287742558 409085286 /nfs/dbraw/zinc/08/52/86/409085286.db2.gz KZDUSPWIEOFDEQ-GFCCVEGCSA-N -1 1 319.357 1.765 20 0 DDADMM O=C(NC[C@@H]1CCCS(=O)(=O)C1)c1cc(Cl)ccc1[O-] ZINC000288043283 409136669 /nfs/dbraw/zinc/13/66/69/409136669.db2.gz DRWGIIBQKZTOQU-VIFPVBQESA-N -1 1 317.794 1.600 20 0 DDADMM Cn1nccc1N1CCC[C@H](NC(=O)c2c([O-])cccc2F)C1 ZINC000279040223 409137693 /nfs/dbraw/zinc/13/76/93/409137693.db2.gz LIVJRDUAFWBGGT-NSHDSACASA-N -1 1 318.352 1.664 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@@H]1O)c1ccc([O-])cc1F ZINC000283721528 409203627 /nfs/dbraw/zinc/20/36/27/409203627.db2.gz VGRJVWGNLPCRHP-IAQYHMDHSA-N -1 1 323.364 1.829 20 0 DDADMM CCOc1cc(C(=O)N(C)C(C)(C)C(N)=O)cc(Cl)c1[O-] ZINC000295981019 409389719 /nfs/dbraw/zinc/38/97/19/409389719.db2.gz POPZUWNLBWWHIN-UHFFFAOYSA-N -1 1 314.769 1.780 20 0 DDADMM CC(=O)NC[C@@H]1CCCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000290524733 409432145 /nfs/dbraw/zinc/43/21/45/409432145.db2.gz XUKBPBPRBVIURN-JTQLQIEISA-N -1 1 312.316 1.659 20 0 DDADMM C[C@H]1COCC[C@H]1C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000285497555 409465652 /nfs/dbraw/zinc/46/56/52/409465652.db2.gz FACLLOPGRAZGFM-IUCAKERBSA-N -1 1 307.803 1.024 20 0 DDADMM C[C@@H](CC(=O)NC1(c2nn[n-]n2)CC1)C(=O)c1cccc(F)c1 ZINC000357095574 164138474 /nfs/dbraw/zinc/13/84/74/164138474.db2.gz KIZMSEGCALHMBO-VIFPVBQESA-N -1 1 317.324 1.353 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCN2C(=O)OC[C@H]2C1 ZINC000376192574 164175899 /nfs/dbraw/zinc/17/58/99/164175899.db2.gz AMUIJCBVDWLUTC-CYBMUJFWSA-N -1 1 312.325 1.822 20 0 DDADMM O=C(c1noc2c1CCCC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000407994933 164192614 /nfs/dbraw/zinc/19/26/14/164192614.db2.gz FLXMQVJWXZGVRP-SECBINFHSA-N -1 1 318.333 1.662 20 0 DDADMM Cc1ccc2ncnc(N3CCC(c4n[n-]c(=O)o4)CC3)c2c1 ZINC000285538673 409482503 /nfs/dbraw/zinc/48/25/03/409482503.db2.gz HTGWUHONJNVNRR-UHFFFAOYSA-N -1 1 311.345 1.999 20 0 DDADMM C[C@@H]1CCN(C(=O)CCc2nn[n-]n2)[C@@H]1c1cccc(F)c1 ZINC000631592246 422844293 /nfs/dbraw/zinc/84/42/93/422844293.db2.gz OSBZPLMTHROAEG-BMIGLBTASA-N -1 1 303.341 1.881 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(Br)ccc2[O-])CC(=O)N1 ZINC000296259935 409481577 /nfs/dbraw/zinc/48/15/77/409481577.db2.gz QNBIQUVQFOEQGZ-ZETCQYMHSA-N -1 1 313.151 1.115 20 0 DDADMM Cc1ccnc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000290704035 409493871 /nfs/dbraw/zinc/49/38/71/409493871.db2.gz NTFFXYIHPSZJJK-SNVBAGLBSA-N -1 1 302.300 1.680 20 0 DDADMM CO[C@H](C)c1nc(=NC[C@](C)(O)C(=O)OC(C)(C)C)s[n-]1 ZINC000337885483 409538017 /nfs/dbraw/zinc/53/80/17/409538017.db2.gz XOZFNJGMOMCWDJ-OQPBUACISA-N -1 1 317.411 1.172 20 0 DDADMM Cc1nc([C@H](C)NC(=O)CNC(=O)c2ncccc2[O-])cs1 ZINC000337896046 409546833 /nfs/dbraw/zinc/54/68/33/409546833.db2.gz DETDWMOEBNZJQW-QMMMGPOBSA-N -1 1 320.374 1.159 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCC12CCC(CC1)C2 ZINC000344974861 409578873 /nfs/dbraw/zinc/57/88/73/409578873.db2.gz XXCROJFZYGXHCO-UHFFFAOYSA-N -1 1 303.362 1.214 20 0 DDADMM COc1ccc(C)cc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337947718 409593460 /nfs/dbraw/zinc/59/34/60/409593460.db2.gz SKTBKDLKDPHWOV-UHFFFAOYSA-N -1 1 315.329 1.473 20 0 DDADMM COCc1cccc(NC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000337951967 409596310 /nfs/dbraw/zinc/59/63/10/409596310.db2.gz VJXHEEGTAUHXCT-UHFFFAOYSA-N -1 1 315.329 1.302 20 0 DDADMM CSc1ccc(NC(=O)CNC(=O)c2ncccc2[O-])cc1 ZINC000337952252 409596588 /nfs/dbraw/zinc/59/65/88/409596588.db2.gz VFNXEDCHRPXBJF-UHFFFAOYSA-N -1 1 317.370 1.878 20 0 DDADMM CCc1noc(CC)c1C=C(O)N=c1nc([C@@H](C)OC)[n-]s1 ZINC000338016164 409647865 /nfs/dbraw/zinc/64/78/65/409647865.db2.gz LRVWOBQNSBDPAZ-MRVPVSSYSA-N -1 1 324.406 1.961 20 0 DDADMM CN(C)S(=O)(=O)[N-]c1cc(-c2cccc(F)c2F)[nH]n1 ZINC000342489584 409671627 /nfs/dbraw/zinc/67/16/27/409671627.db2.gz KQWNLLUXWMBYSE-UHFFFAOYSA-N -1 1 302.306 1.573 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H](C)C2CCC2)co1 ZINC000356869013 409677787 /nfs/dbraw/zinc/67/77/87/409677787.db2.gz LDRQUUAFDAWSEI-VIFPVBQESA-N -1 1 300.380 1.448 20 0 DDADMM C[C@H]1CCN(C)CCN1C(=O)c1cc(F)c(F)c([O-])c1F ZINC000349189494 409739985 /nfs/dbraw/zinc/73/99/85/409739985.db2.gz LOGORVXWTUCCGX-QMMMGPOBSA-N -1 1 302.296 1.976 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2ncccc2[O-])cc1OC ZINC000338150634 409752696 /nfs/dbraw/zinc/75/26/96/409752696.db2.gz MFIRWVXQIPXNBS-UHFFFAOYSA-N -1 1 316.313 1.512 20 0 DDADMM CCC(CC)(CO)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000338151968 409757644 /nfs/dbraw/zinc/75/76/44/409757644.db2.gz UOSUAQDMQBCDDB-UHFFFAOYSA-N -1 1 306.453 1.837 20 0 DDADMM CSCc1ccccc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000354313530 409758219 /nfs/dbraw/zinc/75/82/19/409758219.db2.gz XWETVMOOCWJRGQ-UHFFFAOYSA-N -1 1 315.358 1.533 20 0 DDADMM CC1(C)CC[C@@H](NC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000331687605 409769205 /nfs/dbraw/zinc/76/92/05/409769205.db2.gz VVHZPYUTHUEMRL-SNVBAGLBSA-N -1 1 324.454 1.361 20 0 DDADMM NC(=O)C[C@H]1CCCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000631601474 422848877 /nfs/dbraw/zinc/84/88/77/422848877.db2.gz IBDFVAMBCMMAED-LLVKDONJSA-N -1 1 310.781 1.702 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000314921565 409833075 /nfs/dbraw/zinc/83/30/75/409833075.db2.gz PNWCGQZTIKQTSX-SNVBAGLBSA-N -1 1 315.366 1.428 20 0 DDADMM CC[C@H](CCC(=O)[O-])NS(=O)(=O)c1c(F)cccc1F ZINC000297202264 409850839 /nfs/dbraw/zinc/85/08/39/409850839.db2.gz MTYDWZMRSJQTKF-MRVPVSSYSA-N -1 1 307.318 1.887 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]c2ccc3[nH]nnc3c2)c1 ZINC000338222224 409812685 /nfs/dbraw/zinc/81/26/85/409812685.db2.gz ZMEOSDFQNJZNLV-UHFFFAOYSA-N -1 1 322.302 1.138 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]c2ccc3nn[nH]c3c2)c1 ZINC000338222224 409812688 /nfs/dbraw/zinc/81/26/88/409812688.db2.gz ZMEOSDFQNJZNLV-UHFFFAOYSA-N -1 1 322.302 1.138 20 0 DDADMM CC[NH+](CC)[C@@H](CN=c1nc2[nH][nH]cc-2c(N)n1)c1ccco1 ZINC000354510876 409909301 /nfs/dbraw/zinc/90/93/01/409909301.db2.gz QMXWCCPOMMWIAZ-NSHDSACASA-N -1 1 315.381 1.227 20 0 DDADMM CCC(CC)[C@@H](CNC(=O)c1ncccc1[O-])N1CCOCC1 ZINC000357118713 409884644 /nfs/dbraw/zinc/88/46/44/409884644.db2.gz QTYYUTOSFAFGRN-CQSZACIVSA-N -1 1 321.421 1.654 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1coc2ccccc12 ZINC000349626865 409889870 /nfs/dbraw/zinc/88/98/70/409889870.db2.gz IYTMKKULJKRQMP-UHFFFAOYSA-N -1 1 307.331 1.620 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1coc2ccccc12 ZINC000349626865 409889879 /nfs/dbraw/zinc/88/98/79/409889879.db2.gz IYTMKKULJKRQMP-UHFFFAOYSA-N -1 1 307.331 1.620 20 0 DDADMM CC[C@H](CCNS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000297284567 409893021 /nfs/dbraw/zinc/89/30/21/409893021.db2.gz UHXFVRPONCQBKM-MRVPVSSYSA-N -1 1 307.318 1.744 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(Cc3cncn3C)no2)c1 ZINC000350576510 409965844 /nfs/dbraw/zinc/96/58/44/409965844.db2.gz HZVDMLZQRBPQEY-UHFFFAOYSA-N -1 1 313.317 1.725 20 0 DDADMM CCCCNC(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000338353262 409931890 /nfs/dbraw/zinc/93/18/90/409931890.db2.gz MGNNRCMSNPQMEA-UHFFFAOYSA-N -1 1 315.395 1.988 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccccc1OCc1cscn1 ZINC000346370121 409944778 /nfs/dbraw/zinc/94/47/78/409944778.db2.gz KCDWKBAZCNXFEI-UHFFFAOYSA-N -1 1 302.319 1.488 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccccc1OCc1cscn1 ZINC000346370121 409944785 /nfs/dbraw/zinc/94/47/85/409944785.db2.gz KCDWKBAZCNXFEI-UHFFFAOYSA-N -1 1 302.319 1.488 20 0 DDADMM C[C@H]1CCC[C@@H]1CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000346590898 410027713 /nfs/dbraw/zinc/02/77/13/410027713.db2.gz LCSWZQRMBVBSQU-WDEREUQCSA-N -1 1 309.439 1.426 20 0 DDADMM CC(C)Oc1ccccc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000354729817 410045925 /nfs/dbraw/zinc/04/59/25/410045925.db2.gz OUKCYUVHBXJQBB-UHFFFAOYSA-N -1 1 324.362 1.248 20 0 DDADMM CC(C)Oc1ccccc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000354729817 410045934 /nfs/dbraw/zinc/04/59/34/410045934.db2.gz OUKCYUVHBXJQBB-UHFFFAOYSA-N -1 1 324.362 1.248 20 0 DDADMM CCCCS(=O)(=O)NCC(=O)Nc1ccc([O-])c(Cl)c1 ZINC000357378195 410003948 /nfs/dbraw/zinc/00/39/48/410003948.db2.gz RIZRBEKTVMMBFP-UHFFFAOYSA-N -1 1 320.798 1.704 20 0 DDADMM O=C(NCc1ccc2cc[nH]c2n1)c1nc2ccccc2c(=O)[n-]1 ZINC000357384794 410005225 /nfs/dbraw/zinc/00/52/25/410005225.db2.gz XEAJDZCDLJMFBJ-UHFFFAOYSA-N -1 1 319.324 1.729 20 0 DDADMM CC[C@H](Nc1ccccc1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000354738880 410052395 /nfs/dbraw/zinc/05/23/95/410052395.db2.gz YMPUVSNDYFEBAD-JTQLQIEISA-N -1 1 323.378 1.037 20 0 DDADMM CC[C@H](Nc1ccccc1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000354738880 410052398 /nfs/dbraw/zinc/05/23/98/410052398.db2.gz YMPUVSNDYFEBAD-JTQLQIEISA-N -1 1 323.378 1.037 20 0 DDADMM CCc1ccnc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332311232 410057545 /nfs/dbraw/zinc/05/75/45/410057545.db2.gz JUALOWCTXQSTRE-LLVKDONJSA-N -1 1 302.334 1.752 20 0 DDADMM CCc1ccnc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332311233 410058776 /nfs/dbraw/zinc/05/87/76/410058776.db2.gz JUALOWCTXQSTRE-NSHDSACASA-N -1 1 302.334 1.752 20 0 DDADMM CC(C)(NC(=O)Cc1ccccc1C(F)(F)F)c1nn[n-]n1 ZINC000354769289 410072685 /nfs/dbraw/zinc/07/26/85/410072685.db2.gz ZWZWFOPNFYDDMY-UHFFFAOYSA-N -1 1 313.283 1.813 20 0 DDADMM Cc1cccc(C)c1OCCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000354777229 410079873 /nfs/dbraw/zinc/07/98/73/410079873.db2.gz DZKVOUZOMMHVQX-UHFFFAOYSA-N -1 1 303.366 1.637 20 0 DDADMM CC(C)(NC(=O)c1cc2c([nH]c1=O)CCCCC2)c1nn[n-]n1 ZINC000354781849 410081950 /nfs/dbraw/zinc/08/19/50/410081950.db2.gz ATCSJVFNRJYLFP-UHFFFAOYSA-N -1 1 316.365 1.234 20 0 DDADMM CC(C)(NC(=O)c1ccc2c(c1)OCCCO2)c1nn[n-]n1 ZINC000354789644 410089276 /nfs/dbraw/zinc/08/92/76/410089276.db2.gz LHJYLKSQPRKKHF-UHFFFAOYSA-N -1 1 303.322 1.026 20 0 DDADMM C/C=C\C[C@@H](CO)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000351594585 410089738 /nfs/dbraw/zinc/08/97/38/410089738.db2.gz HKXPPXGQJJDARC-KZUAUGPASA-N -1 1 324.196 1.648 20 0 DDADMM C[C@@H](CCc1ccco1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343108622 410153281 /nfs/dbraw/zinc/15/32/81/410153281.db2.gz MOTUQYMDWJSLSZ-NSHDSACASA-N -1 1 317.345 1.248 20 0 DDADMM COc1ccc(N2CCN(C(=O)c3ncccc3[O-])CC2)nc1 ZINC000332396572 410126253 /nfs/dbraw/zinc/12/62/53/410126253.db2.gz SYUMDJHUMPOPEZ-UHFFFAOYSA-N -1 1 314.345 1.153 20 0 DDADMM O=C(Cc1[nH]nc2ccccc21)N=c1cc(C(F)(F)F)[n-][nH]1 ZINC000354933195 410187153 /nfs/dbraw/zinc/18/71/53/410187153.db2.gz ZAHDJJQHPBPTCF-UHFFFAOYSA-N -1 1 309.251 1.908 20 0 DDADMM COc1cc(C)ccc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343201558 410233031 /nfs/dbraw/zinc/23/30/31/410233031.db2.gz XQYMAESVQWBBJM-UHFFFAOYSA-N -1 1 315.329 1.473 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@]3(O)CCCC3(C)C)ccnc1-2 ZINC000298558466 410289575 /nfs/dbraw/zinc/28/95/75/410289575.db2.gz ZWTLJUXNEOHOAG-SDLDRHLBSA-N -1 1 317.393 1.405 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H]2CC[C@H](C)C2)co1 ZINC000347000407 410302661 /nfs/dbraw/zinc/30/26/61/410302661.db2.gz ZGDLYGJQBXOVNT-GXSJLCMTSA-N -1 1 300.380 1.448 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1cncc([O-])c1)c1ccc(F)cc1 ZINC000355235669 410393079 /nfs/dbraw/zinc/39/30/79/410393079.db2.gz AAEVITDXAJSXJQ-AWEZNQCLSA-N -1 1 318.304 1.613 20 0 DDADMM CCS(=O)(=O)c1ccc(C(=O)Nc2ccncc2[O-])cc1 ZINC000358338129 410450906 /nfs/dbraw/zinc/45/09/06/410450906.db2.gz MSYLHUIOQLOKDR-UHFFFAOYSA-N -1 1 306.343 1.255 20 0 DDADMM CCOC(=O)c1coc(/C=C\c2cc(=O)n3[n-]cnc3n2)c1 ZINC000352025692 410423953 /nfs/dbraw/zinc/42/39/53/410423953.db2.gz GHQYJBJOBDTOEE-ARJAWSKDSA-N -1 1 300.274 1.358 20 0 DDADMM CCOC(=O)c1scnc1/C=C\c1cc(=O)n2[n-]cnc2n1 ZINC000352034881 410435761 /nfs/dbraw/zinc/43/57/61/410435761.db2.gz JCCGCBQULXMWKQ-ARJAWSKDSA-N -1 1 317.330 1.221 20 0 DDADMM CC(C)Oc1cc(CN(Cc2nc(=O)n(C)[n-]2)C2CC2)ccn1 ZINC000347467526 410568229 /nfs/dbraw/zinc/56/82/29/410568229.db2.gz LCUBXORBDDAKBJ-UHFFFAOYSA-N -1 1 317.393 1.455 20 0 DDADMM CC(C)c1noc([C@@H](C)S(=O)(=O)c2n[n-]c(C3CC3)n2)n1 ZINC000299237399 410576296 /nfs/dbraw/zinc/57/62/96/410576296.db2.gz JDXKAQWCEUTPSS-SSDOTTSWSA-N -1 1 311.367 1.723 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1C[C@H]1c1ccccc1 ZINC000339983101 410595626 /nfs/dbraw/zinc/59/56/26/410595626.db2.gz TUAHPXAFCCSPJN-QWHCGFSZSA-N -1 1 311.341 1.189 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCNC(=O)[C@H]1c1cccs1 ZINC000339903076 410544475 /nfs/dbraw/zinc/54/44/75/410544475.db2.gz OJQXAKIXQITBHF-GFCCVEGCSA-N -1 1 303.343 1.162 20 0 DDADMM Cc1cscc1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000347653736 410655330 /nfs/dbraw/zinc/65/53/30/410655330.db2.gz LFUHENNLTBLJSS-UHFFFAOYSA-N -1 1 305.359 1.203 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-][C@H]1CCC[C@@H]1OC(F)F ZINC000337331474 410718130 /nfs/dbraw/zinc/71/81/30/410718130.db2.gz HGQIVWHPCRTMBT-IUCAKERBSA-N -1 1 310.322 1.730 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]2OC(F)F)s1 ZINC000337347843 410725344 /nfs/dbraw/zinc/72/53/44/410725344.db2.gz YACLYHWEMIXOPK-SFYZADRCSA-N -1 1 312.363 1.890 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2[nH]cnc2C)c(=O)[n-]1 ZINC000353160528 410817949 /nfs/dbraw/zinc/81/79/49/410817949.db2.gz JUZZGDZRPVDHIQ-UHFFFAOYSA-N -1 1 321.406 1.493 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@H]2C2CC2)c1 ZINC000359689414 410818070 /nfs/dbraw/zinc/81/80/70/410818070.db2.gz DCGWFILDIBIUKA-WDEREUQCSA-N -1 1 310.375 1.285 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCC(C)C)c1 ZINC000359696070 410821439 /nfs/dbraw/zinc/82/14/39/410821439.db2.gz CDWREZWFZGBVDR-UHFFFAOYSA-N -1 1 300.380 1.675 20 0 DDADMM CCn1cc(CNC(=O)c2c[nH]c3c(C)cccc3c2=O)cn1 ZINC000359800472 410887817 /nfs/dbraw/zinc/88/78/17/410887817.db2.gz VMODGRIQVZHIFA-UHFFFAOYSA-N -1 1 310.357 1.983 20 0 DDADMM O=C(Cn1ccc2ccc(Cl)cc21)NC1(c2nn[n-]n2)CC1 ZINC000348292987 410904444 /nfs/dbraw/zinc/90/44/44/410904444.db2.gz MCABUXLHNOTADD-UHFFFAOYSA-N -1 1 316.752 1.613 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCC[C@@H]2OC)c1 ZINC000341191131 410927856 /nfs/dbraw/zinc/92/78/56/410927856.db2.gz NLOIYOYBBFEQCO-ONGXEEELSA-N -1 1 317.363 1.160 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cc2c(cc1F)CCC(=O)N2 ZINC000337777244 410994445 /nfs/dbraw/zinc/99/44/45/410994445.db2.gz YNLGISANTNDPIV-QMMMGPOBSA-N -1 1 316.354 1.487 20 0 DDADMM CC[C@@H](C)[C@@H]([N-]S(=O)(=O)Cc1ccccc1F)C(=O)OC ZINC000341867942 411078014 /nfs/dbraw/zinc/07/80/14/411078014.db2.gz VBKVAZKDTSHOPN-ZWNOBZJWSA-N -1 1 317.382 1.833 20 0 DDADMM O=C(N[C@H](CO)CC1CCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000337848411 411032425 /nfs/dbraw/zinc/03/24/25/411032425.db2.gz INLDLLZOEKJWNK-LBPRGKRZSA-N -1 1 315.373 1.594 20 0 DDADMM CSc1n[nH]c(NC(=O)CCCn2c(C)cc(=O)cc2C)n1 ZINC000353776418 411128255 /nfs/dbraw/zinc/12/82/55/411128255.db2.gz AYOSRCOOGQAOEK-UHFFFAOYSA-N -1 1 321.406 1.724 20 0 DDADMM O=S(=O)([N-]CCOC(F)(F)F)c1cc(F)cc(F)c1 ZINC000353838253 411134773 /nfs/dbraw/zinc/13/47/73/411134773.db2.gz JZMMBCZHJNXZJC-UHFFFAOYSA-N -1 1 305.224 1.780 20 0 DDADMM Cn1cc(C(C)(C)NC(=O)c2csc(=NC3CC3)[n-]2)cn1 ZINC000580166514 422895429 /nfs/dbraw/zinc/89/54/29/422895429.db2.gz OCYPBHFDZOOUFO-UHFFFAOYSA-N -1 1 305.407 1.538 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCCC[C@@H]2C)o1 ZINC000130522648 196087640 /nfs/dbraw/zinc/08/76/40/196087640.db2.gz IBPLGANFCUHYPS-WDEREUQCSA-N -1 1 314.407 1.744 20 0 DDADMM CC1(C)[C@@H](NC(=O)Cc2ccc([O-])c(Cl)c2)CS1(=O)=O ZINC000640454917 422969056 /nfs/dbraw/zinc/96/90/56/422969056.db2.gz UUTIIIGSFLVICQ-NSHDSACASA-N -1 1 317.794 1.280 20 0 DDADMM Cc1nc(-c2ccc(N3CN(C)C(=O)[C@H]3C)nc2)[n-]c(=O)c1C ZINC000645397532 423018743 /nfs/dbraw/zinc/01/87/43/423018743.db2.gz RBYKXVNXDLYLLK-LLVKDONJSA-N -1 1 313.361 1.485 20 0 DDADMM Cc1cc(C2CCN(C(=O)c3ccc(C(=O)[O-])cn3)CC2)n[nH]1 ZINC000647740873 423026461 /nfs/dbraw/zinc/02/64/61/423026461.db2.gz VZVWIDYTUPBNCQ-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)[C@H]1CCCO1 ZINC000645461140 423041443 /nfs/dbraw/zinc/04/14/43/423041443.db2.gz NCMORXUARAKTHN-WCBMZHEXSA-N -1 1 324.324 1.946 20 0 DDADMM Cn1cnnc1COCc1nc(-c2ccc([O-])c(F)c2)no1 ZINC000350564591 306752918 /nfs/dbraw/zinc/75/29/18/306752918.db2.gz GZTFMBRORGHVDI-UHFFFAOYSA-N -1 1 305.269 1.427 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(Cc3ccncn3)no2)c1 ZINC000350565828 306752987 /nfs/dbraw/zinc/75/29/87/306752987.db2.gz IOUXHJNYBGDNSA-UHFFFAOYSA-N -1 1 311.301 1.781 20 0 DDADMM CSc1nc(CNC(=O)COc2ccsc2)cc(=O)[n-]1 ZINC000640655316 423116299 /nfs/dbraw/zinc/11/62/99/423116299.db2.gz LBNAMFXVWHXXPR-UHFFFAOYSA-N -1 1 311.388 1.661 20 0 DDADMM C[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@H](C2CC2)O1 ZINC000366247755 418448488 /nfs/dbraw/zinc/44/84/88/418448488.db2.gz MEWOIOIDYQAIEW-TVQRCGJNSA-N -1 1 316.361 1.313 20 0 DDADMM C[C@H](C(=O)NC1CCCCC1)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000366714071 418507769 /nfs/dbraw/zinc/50/77/69/418507769.db2.gz OXHBHMZZYQANAQ-LLVKDONJSA-N -1 1 321.425 1.527 20 0 DDADMM O=C(N[C@H]1CCC[C@@H]1O)c1ccc(Br)cc1[O-] ZINC000133426172 418516459 /nfs/dbraw/zinc/51/64/59/418516459.db2.gz YRXIUESCYSABED-UWVGGRQHSA-N -1 1 300.152 1.798 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cnn(-c2ncccc2F)c1 ZINC000374547498 418538353 /nfs/dbraw/zinc/53/83/53/418538353.db2.gz BQGALGFWMLQJQN-VIFPVBQESA-N -1 1 314.342 1.183 20 0 DDADMM Cc1cc(F)ccc1-n1ccc([N-]S(=O)(=O)N2CCC2)n1 ZINC000367003704 418550974 /nfs/dbraw/zinc/55/09/74/418550974.db2.gz PDJHTFIBSRXOIF-UHFFFAOYSA-N -1 1 310.354 1.682 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@H](O)C12CCCC2 ZINC000294291943 418584248 /nfs/dbraw/zinc/58/42/48/418584248.db2.gz UFYSELTVDPWUEI-LLVKDONJSA-N -1 1 318.402 1.538 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H](C)C(=O)NC[C@H]2CCCO2)n1 ZINC000195073914 222189822 /nfs/dbraw/zinc/18/98/22/222189822.db2.gz XADYGANQIJZEEP-GXSJLCMTSA-N -1 1 311.407 1.108 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)NC(C2CC2)C2CC2)n1 ZINC000193537247 222157098 /nfs/dbraw/zinc/15/70/98/222157098.db2.gz IQQVSRWNMZNQPJ-UHFFFAOYSA-N -1 1 309.391 1.593 20 0 DDADMM Cc1nnc(CS(=O)(=O)c2nnc(C(C)(C)C)[n-]2)n1C1CC1 ZINC000195172958 222192400 /nfs/dbraw/zinc/19/24/00/222192400.db2.gz MEUSTRWPBXPCHC-UHFFFAOYSA-N -1 1 324.410 1.311 20 0 DDADMM Cc1nnc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)n1C1CC1 ZINC000195172958 222192405 /nfs/dbraw/zinc/19/24/05/222192405.db2.gz MEUSTRWPBXPCHC-UHFFFAOYSA-N -1 1 324.410 1.311 20 0 DDADMM Cc1nnc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)n1C1CC1 ZINC000195172958 222192408 /nfs/dbraw/zinc/19/24/08/222192408.db2.gz MEUSTRWPBXPCHC-UHFFFAOYSA-N -1 1 324.410 1.311 20 0 DDADMM O=S(=O)([N-][C@H]1CCO[C@H]1C1CC1)c1cc(F)ccc1F ZINC000361179821 418599207 /nfs/dbraw/zinc/59/92/07/418599207.db2.gz OJYVYBTYZSXQTP-AAEUAGOBSA-N -1 1 303.330 1.811 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2CC[C@H]3CC[N@@H+](C)[C@@H]3C2)[n-]n1 ZINC000375490457 418647156 /nfs/dbraw/zinc/64/71/56/418647156.db2.gz KUUNVMAFMOIGKX-CHWSQXEVSA-N -1 1 319.409 1.244 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1C[C@H]1c1cccnc1 ZINC000368273243 418713553 /nfs/dbraw/zinc/71/35/53/418713553.db2.gz QDDYJZAYLMZUBX-NWDGAFQWSA-N -1 1 314.345 1.156 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N[C@@H]2CCc3nc[nH]c3C2)s1 ZINC000368071688 418684022 /nfs/dbraw/zinc/68/40/22/418684022.db2.gz NPBMTSNPNKKHAI-MRVPVSSYSA-N -1 1 306.395 1.315 20 0 DDADMM O=C(Nc1ccc2[n-]c(=S)oc2c1)[C@H]1C[C@@H]1c1nc[nH]n1 ZINC000364675787 418799370 /nfs/dbraw/zinc/79/93/70/418799370.db2.gz MINYGRUMTVVGGT-YUMQZZPRSA-N -1 1 301.331 1.977 20 0 DDADMM CCOc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)ccc1C ZINC000371679011 418808545 /nfs/dbraw/zinc/80/85/45/418808545.db2.gz INPXVBAJOZPFOE-CYBMUJFWSA-N -1 1 317.349 1.121 20 0 DDADMM O=C(c1n[nH]c2c1CCCCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000372761716 418900005 /nfs/dbraw/zinc/90/00/05/418900005.db2.gz DMGMZUQECHMQPV-JTQLQIEISA-N -1 1 315.381 1.212 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cccc(SC)c1 ZINC000410662463 418836673 /nfs/dbraw/zinc/83/66/73/418836673.db2.gz LCTVAVBFLINLHQ-UHFFFAOYSA-N -1 1 319.386 1.993 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)Cc1nccn1C ZINC000298153124 228384440 /nfs/dbraw/zinc/38/44/40/228384440.db2.gz BHBSFKYYGHETAG-UHFFFAOYSA-N -1 1 317.393 1.794 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)cn1 ZINC000425242246 228397700 /nfs/dbraw/zinc/39/77/00/228397700.db2.gz WIWRLQKGZHAAMN-UHFFFAOYSA-N -1 1 315.345 1.968 20 0 DDADMM Cc1cnc(C(=O)NC[C@]2(CO)C[C@H]2c2ccccc2)c([O-])c1 ZINC000426736471 419543873 /nfs/dbraw/zinc/54/38/73/419543873.db2.gz JFMYFJAJIKKOTH-KSSFIOAISA-N -1 1 312.369 1.992 20 0 DDADMM O=C(N=c1nc(-c2ccccc2Cl)[nH][n-]1)c1ccncn1 ZINC000427462750 419666567 /nfs/dbraw/zinc/66/65/67/419666567.db2.gz ABVPTKMUESGGEL-UHFFFAOYSA-N -1 1 300.709 1.589 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@@H](C)O[C@@H](C)C(=O)[O-])[nH]c21 ZINC000421804159 419736282 /nfs/dbraw/zinc/73/62/82/419736282.db2.gz JGIQOFIYCVICSH-ZJUUUORDSA-N -1 1 305.334 1.366 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@@H](C)c2cnn(C)c2)[n-]1 ZINC000415625059 420127874 /nfs/dbraw/zinc/12/78/74/420127874.db2.gz BEVYKLAZNVEQLA-SECBINFHSA-N -1 1 305.338 1.452 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@@H](C)c2cnn(C)c2)n1 ZINC000415625059 420127877 /nfs/dbraw/zinc/12/78/77/420127877.db2.gz BEVYKLAZNVEQLA-SECBINFHSA-N -1 1 305.338 1.452 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCC[C@@H](C(C)C)C1 ZINC000416150398 420257938 /nfs/dbraw/zinc/25/79/38/420257938.db2.gz JVFCLQOUVIZHKJ-ZJUUUORDSA-N -1 1 309.366 1.841 20 0 DDADMM CCNC(=O)[C@H](C)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436747785 420362749 /nfs/dbraw/zinc/36/27/49/420362749.db2.gz CMMNZNZNIPVEOX-ZETCQYMHSA-N -1 1 300.742 1.309 20 0 DDADMM CN(CC(F)(F)F)C(=O)CN1CCCC[C@H]1CCC(=O)[O-] ZINC000424263611 420314956 /nfs/dbraw/zinc/31/49/56/420314956.db2.gz RTOSUMTXRKKDJV-JTQLQIEISA-N -1 1 310.316 1.726 20 0 DDADMM COc1cc([C@@H](C)NC(=O)C(=O)c2ccc([O-])cc2)ccn1 ZINC000436740242 420363072 /nfs/dbraw/zinc/36/30/72/420363072.db2.gz PYLASHQKVZFAHK-SNVBAGLBSA-N -1 1 300.314 1.856 20 0 DDADMM CC(C)C[C@@H](CNC(=O)N[C@@H]1CCc2[nH]cnc2C1)C(=O)[O-] ZINC000424393759 420316235 /nfs/dbraw/zinc/31/62/35/420316235.db2.gz BHUZVVIFGDPXSS-WDEREUQCSA-N -1 1 308.382 1.313 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CNC(=O)c2ccco2)c1 ZINC000436501528 420333221 /nfs/dbraw/zinc/33/32/21/420333221.db2.gz AGHGVLHPMWKLRC-UHFFFAOYSA-N -1 1 318.285 1.140 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCCC(=O)NC(C)C)c1 ZINC000436513054 420334352 /nfs/dbraw/zinc/33/43/52/420334352.db2.gz ZPBBANFGNFDDOI-UHFFFAOYSA-N -1 1 322.361 1.812 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCOC[C@@H]2CCCO2)c1 ZINC000436516833 420335609 /nfs/dbraw/zinc/33/56/09/420335609.db2.gz WZOKMZCNVRXIPL-LBPRGKRZSA-N -1 1 323.345 1.703 20 0 DDADMM CN(C)c1ncc(CN(C)C(=O)c2cc(F)ccc2[O-])cn1 ZINC000436568917 420340692 /nfs/dbraw/zinc/34/06/92/420340692.db2.gz XPBYYTOIVDAXIU-UHFFFAOYSA-N -1 1 304.325 1.660 20 0 DDADMM O=C(Nc1ccc(N2CCOCC2)nc1)c1ccc(O)cc1[O-] ZINC000436662056 420351220 /nfs/dbraw/zinc/35/12/20/420351220.db2.gz BEXBRNRSQXMVTI-UHFFFAOYSA-N -1 1 315.329 1.582 20 0 DDADMM C[C@H](NC(=O)c1ccc(Cl)cc1[O-])C1(S(C)(=O)=O)CC1 ZINC000436647938 420351765 /nfs/dbraw/zinc/35/17/65/420351765.db2.gz NVNCBDNTFTUSRV-QMMMGPOBSA-N -1 1 317.794 1.741 20 0 DDADMM CC[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)[C@@H]1CC1(C)C ZINC000416271099 420300592 /nfs/dbraw/zinc/30/05/92/420300592.db2.gz AVRGUWFCILSOOG-UWVGGRQHSA-N -1 1 314.407 1.742 20 0 DDADMM O=C(NCc1ccc2c(c1)C(=O)NCC2)C(=O)c1ccc([O-])cc1 ZINC000436896479 420381139 /nfs/dbraw/zinc/38/11/39/420381139.db2.gz MYUGDDBIPDGBBI-UHFFFAOYSA-N -1 1 324.336 1.177 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC[C@H](n2cc(CO)nn2)C1 ZINC000436892561 420382615 /nfs/dbraw/zinc/38/26/15/420382615.db2.gz KRNAGWIVHRSJND-LBPRGKRZSA-N -1 1 320.324 1.092 20 0 DDADMM O=C(NC1CC1)N[C@@H]1CCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000436979511 420389867 /nfs/dbraw/zinc/38/98/67/420389867.db2.gz KBEUJLOBQYIOAZ-LLVKDONJSA-N -1 1 323.780 1.722 20 0 DDADMM COCC[C@@H](Cc1ccco1)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000437001597 420390888 /nfs/dbraw/zinc/39/08/88/420390888.db2.gz WCAAJTUSWVWDIG-ZDUSSCGKSA-N -1 1 317.341 1.932 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccnn2C)n(-c2ccncc2)n1 ZINC000437319878 420403515 /nfs/dbraw/zinc/40/35/15/420403515.db2.gz SMIFJLZBBSSEEB-UHFFFAOYSA-N -1 1 318.362 1.110 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2C[C@]2(C)C(C)C)c1 ZINC000416549117 420415222 /nfs/dbraw/zinc/41/52/22/420415222.db2.gz OEEZHMGWIBBJGM-ZWNOBZJWSA-N -1 1 301.364 1.779 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1cnccc1C(C)(C)C ZINC000416599663 420429758 /nfs/dbraw/zinc/42/97/58/420429758.db2.gz UQQHBAFDALRPIM-UHFFFAOYSA-N -1 1 324.406 1.567 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cnccc1C(C)(C)C ZINC000416599663 420429762 /nfs/dbraw/zinc/42/97/62/420429762.db2.gz UQQHBAFDALRPIM-UHFFFAOYSA-N -1 1 324.406 1.567 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)[C@H]1COCCN1CC(F)F ZINC000456782793 420544345 /nfs/dbraw/zinc/54/43/45/420544345.db2.gz KNEQLLWAYNKTIS-MRVPVSSYSA-N -1 1 322.258 1.575 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)c2c(C)[n-]c(=O)nc2SC)C1(C)C ZINC000440016480 420549857 /nfs/dbraw/zinc/54/98/57/420549857.db2.gz UOODMWNYMLFOOF-IUCAKERBSA-N -1 1 311.407 1.756 20 0 DDADMM CCOc1cccc(CN(CCO)C(=O)c2cncc([O-])c2)c1 ZINC000456961630 420575295 /nfs/dbraw/zinc/57/52/95/420575295.db2.gz HWJQDBCJKTZYQP-UHFFFAOYSA-N -1 1 316.357 1.821 20 0 DDADMM CCc1cc(CCCN2CCC[C@@H](c3n[nH]c(=O)[n-]3)C2)on1 ZINC000451043228 420586470 /nfs/dbraw/zinc/58/64/70/420586470.db2.gz XFDRCISQWKTXDY-LLVKDONJSA-N -1 1 305.382 1.873 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1c(F)cccc1F)c1nn[n-]n1 ZINC000492547163 420589447 /nfs/dbraw/zinc/58/94/47/420589447.db2.gz GEXXQLROVQONST-ATJFRQLMSA-N -1 1 307.304 1.753 20 0 DDADMM COc1cc(C(=O)N2C[C@H](CO)OC[C@H]2C)cc(Cl)c1[O-] ZINC000442670143 420698113 /nfs/dbraw/zinc/69/81/13/420698113.db2.gz DGMFXOXYDVAZDR-PSASIEDQSA-N -1 1 315.753 1.276 20 0 DDADMM COc1cc(C(=O)N[C@H]2C(=O)NCC[C@@H]2C)cc(Cl)c1[O-] ZINC000443041391 420737738 /nfs/dbraw/zinc/73/77/38/420737738.db2.gz XBTBOVIKNVTUJX-WRWORJQWSA-N -1 1 312.753 1.309 20 0 DDADMM CCC[C@H](NC(=O)COC(C)(C)C(F)(F)F)c1nn[n-]n1 ZINC000447503978 420776654 /nfs/dbraw/zinc/77/66/54/420776654.db2.gz JCIVRFKMPIGAOC-ZETCQYMHSA-N -1 1 309.292 1.515 20 0 DDADMM CC[C@H]1CC[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1 ZINC000479564481 420982232 /nfs/dbraw/zinc/98/22/32/420982232.db2.gz OUVZQOVZDUSJKQ-XYPYZODXSA-N -1 1 314.407 1.886 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](CO)OC[C@H]1C ZINC000494666672 421002189 /nfs/dbraw/zinc/00/21/89/421002189.db2.gz LWXDCWHUTNPDOR-KOLCDFICSA-N -1 1 323.393 1.010 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](Cc1ccccc1)OC)c1nn[n-]n1 ZINC000450121737 421144880 /nfs/dbraw/zinc/14/48/80/421144880.db2.gz KUEGTNRZWGLOHP-QWHCGFSZSA-N -1 1 303.366 1.415 20 0 DDADMM COCc1nc2n(n1)C[C@@H](N=c1[n-]c(C(C)=O)c(C)s1)CC2 ZINC000450376383 421190657 /nfs/dbraw/zinc/19/06/57/421190657.db2.gz GOSIGJUGWSZMEE-JTQLQIEISA-N -1 1 321.406 1.241 20 0 DDADMM C[C@H]1C[C@H]1c1ccc(CNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC000544747945 421228992 /nfs/dbraw/zinc/22/89/92/421228992.db2.gz YXWBISJHVOBGNF-WCBMZHEXSA-N -1 1 313.317 1.064 20 0 DDADMM C[C@H]1CN(CCNC(=O)c2cc3ccccc3cc2[O-])CCO1 ZINC000523777065 421249034 /nfs/dbraw/zinc/24/90/34/421249034.db2.gz IWSLAPIDYXZKAO-ZDUSSCGKSA-N -1 1 314.385 1.996 20 0 DDADMM Cc1cn2cc(C(=O)N(C)C[C@H](C)c3nn[n-]n3)sc2n1 ZINC000547811165 421371613 /nfs/dbraw/zinc/37/16/13/421371613.db2.gz UXSVQMZQCDCELA-ZETCQYMHSA-N -1 1 305.367 1.093 20 0 DDADMM Cc1cc(Cl)c(NS(=O)(=O)c2c[nH]cn2)c(C(=O)[O-])c1 ZINC000547934345 421378752 /nfs/dbraw/zinc/37/87/52/421378752.db2.gz ALPQIFWPOQTIJE-UHFFFAOYSA-N -1 1 315.738 1.871 20 0 DDADMM O=C(c1cc(F)c([O-])c(F)c1)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000547262309 421318209 /nfs/dbraw/zinc/31/82/09/421318209.db2.gz WKDWASVQWMPCAC-ZETCQYMHSA-N -1 1 309.276 1.203 20 0 DDADMM O=C([O-])c1cccc(N[C@H]2CCCC[C@@H]2N2CCOCC2)n1 ZINC000562782394 421412577 /nfs/dbraw/zinc/41/25/77/421412577.db2.gz QNYSCYJTQSSZBG-JSGCOSHPSA-N -1 1 305.378 1.835 20 0 DDADMM Cc1cnc(C(=O)N(CC2CC2)C[C@@H]2CN(C)CCO2)c([O-])c1 ZINC000548351208 421426363 /nfs/dbraw/zinc/42/63/63/421426363.db2.gz WTIWRLGUHWQERQ-AWEZNQCLSA-N -1 1 319.405 1.278 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2c(c1)N(C)CC2)c1nn[n-]n1 ZINC000548453241 421441144 /nfs/dbraw/zinc/44/11/44/421441144.db2.gz VCDQKYMOECFNRP-LBPRGKRZSA-N -1 1 300.366 1.463 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2c(n1)CCCC2)c1nn[n-]n1 ZINC000548466318 421442708 /nfs/dbraw/zinc/44/27/08/421442708.db2.gz WOEZCJIBOKUMDO-SNVBAGLBSA-N -1 1 300.366 1.349 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CN(c3ccccc3)C[C@H]2C1 ZINC000514976503 421470105 /nfs/dbraw/zinc/47/01/05/421470105.db2.gz SOXLIUCUPPMRNV-OKILXGFUSA-N -1 1 309.369 1.996 20 0 DDADMM CCc1csc(CC(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000548904106 421484446 /nfs/dbraw/zinc/48/44/46/421484446.db2.gz BIIUTZWBYATUQY-UHFFFAOYSA-N -1 1 306.395 1.347 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cccc(-n2cccn2)c1 ZINC000515251468 421488627 /nfs/dbraw/zinc/48/86/27/421488627.db2.gz DGVMREBJCUTCEY-UHFFFAOYSA-N -1 1 323.360 1.585 20 0 DDADMM COCC(C)(C)N1CCN([C@H](C(=O)[O-])c2ccsc2)CC1 ZINC000517161331 421596906 /nfs/dbraw/zinc/59/69/06/421596906.db2.gz SNGPRSJOOMWKMT-ZDUSSCGKSA-N -1 1 312.435 1.916 20 0 DDADMM COCC(C)(C)N1CCN([C@@H](C(=O)[O-])c2ccsc2)CC1 ZINC000517161329 421597315 /nfs/dbraw/zinc/59/73/15/421597315.db2.gz SNGPRSJOOMWKMT-CYBMUJFWSA-N -1 1 312.435 1.916 20 0 DDADMM O=C([O-])CC[C@H](Cc1ccccc1)NC(=O)[C@H]1CSCN1 ZINC000516186721 421532949 /nfs/dbraw/zinc/53/29/49/421532949.db2.gz WKWWTZIMGHXMAD-CHWSQXEVSA-N -1 1 308.403 1.241 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@H]1CCCS1(=O)=O ZINC000566439673 421606001 /nfs/dbraw/zinc/60/60/01/421606001.db2.gz KOBKDKUNCGPEKD-GFCCVEGCSA-N -1 1 321.358 1.298 20 0 DDADMM O=C(NC[C@@H](O)COc1ccccc1)c1cc(F)ccc1[O-] ZINC000530951792 421620851 /nfs/dbraw/zinc/62/08/51/421620851.db2.gz IQHZONUEJBMMQV-GFCCVEGCSA-N -1 1 305.305 1.701 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2cc3ccccc3o2)CCC(=O)N1 ZINC000518495428 421655876 /nfs/dbraw/zinc/65/58/76/421655876.db2.gz BTAWJNZNHXHHIA-AWEZNQCLSA-N -1 1 308.359 1.380 20 0 DDADMM CCN(CC)CCS(=O)(=O)NCc1cc(C(=O)[O-])c(C)o1 ZINC000571556556 421725773 /nfs/dbraw/zinc/72/57/73/421725773.db2.gz QYRCSIYPSMMXTE-UHFFFAOYSA-N -1 1 318.395 1.047 20 0 DDADMM C[C@H]1Cc2cccc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)c2O1 ZINC000571032436 421682606 /nfs/dbraw/zinc/68/26/06/421682606.db2.gz RRFGMYBCOWFZNY-CMPLNLGQSA-N -1 1 313.361 1.543 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC[C@H](CC(=O)[O-])C2)n[nH]1 ZINC000556907500 421749870 /nfs/dbraw/zinc/74/98/70/421749870.db2.gz HGAWOJXTNQDDSQ-ZYHUDNBSSA-N -1 1 308.382 1.545 20 0 DDADMM O=C(Cc1[nH]nc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000540425168 421762949 /nfs/dbraw/zinc/76/29/49/421762949.db2.gz WONMNHGDBVAFLB-JTQLQIEISA-N -1 1 311.349 1.025 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1C[C@H]1c1cccc(O)c1)c1nn[n-]n1 ZINC000571980849 421757305 /nfs/dbraw/zinc/75/73/05/421757305.db2.gz XKWSPLZYJKUOPS-YNEHKIRRSA-N -1 1 301.350 1.666 20 0 DDADMM NC(=O)[C@H]1CC[C@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000520834529 421765389 /nfs/dbraw/zinc/76/53/89/421765389.db2.gz FLRIYTPWZALTMD-WDEREUQCSA-N -1 1 300.318 1.232 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H]1C[C@H]2CCCCN2C1=O ZINC000633382535 421875126 /nfs/dbraw/zinc/87/51/26/421875126.db2.gz QLZSLSKJXDFZEU-YPMHNXCESA-N -1 1 322.792 1.858 20 0 DDADMM COc1ccc(CNC(=O)[C@H](C)Cc2cnc[nH]2)cc1C(=O)[O-] ZINC000630097444 421887211 /nfs/dbraw/zinc/88/72/11/421887211.db2.gz IIXBOWQTSNTYGV-SNVBAGLBSA-N -1 1 317.345 1.612 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)[C@@]12CCC(=O)N1CCS2 ZINC000573065115 421906446 /nfs/dbraw/zinc/90/64/46/421906446.db2.gz ZKGGLOVFAAPCCE-ZDUSSCGKSA-N -1 1 314.313 1.674 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C2(c3ccccc3)CC2)CC1 ZINC000630222804 421972773 /nfs/dbraw/zinc/97/27/73/421972773.db2.gz OWYOLMILXCWITC-UHFFFAOYSA-N -1 1 316.401 1.726 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(C(=O)c2cccc3n[nH]cc32)C1 ZINC000630183445 421944160 /nfs/dbraw/zinc/94/41/60/421944160.db2.gz WNRPUWVPOGREJP-MRXNPFEDSA-N -1 1 317.345 1.516 20 0 DDADMM Cc1scc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1C ZINC000630218653 421968396 /nfs/dbraw/zinc/96/83/96/421968396.db2.gz DZJPGGSAIFXRHE-JTQLQIEISA-N -1 1 310.419 1.986 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCCC[C@@H]2O)c1 ZINC000632022971 422028027 /nfs/dbraw/zinc/02/80/27/422028027.db2.gz ZWJAWGBQGRAZGI-PWSUYJOCSA-N -1 1 315.391 1.230 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C(C)(C)c2cnn(C)c2)sn1 ZINC000632029508 422036094 /nfs/dbraw/zinc/03/60/94/422036094.db2.gz JGFFJSZXYKELLB-UHFFFAOYSA-N -1 1 300.409 1.399 20 0 DDADMM Cc1c(Br)cccc1CNC(=O)CCc1nn[n-]n1 ZINC000633586400 421982926 /nfs/dbraw/zinc/98/29/26/421982926.db2.gz MRSDLJFDNRXMFD-UHFFFAOYSA-N -1 1 324.182 1.520 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1cc2ccccc2o1 ZINC000573746958 422008036 /nfs/dbraw/zinc/00/80/36/422008036.db2.gz YGLOVKBTRXWEDO-UHFFFAOYSA-N -1 1 315.285 1.573 20 0 DDADMM OC[C@H](CN=c1nc(C(F)(F)F)[n-]s1)Cc1ccco1 ZINC000573750680 422008342 /nfs/dbraw/zinc/00/83/42/422008342.db2.gz QWDYPULMSGZZKO-ZETCQYMHSA-N -1 1 307.297 1.835 20 0 DDADMM CO[C@H]1CN(C(=O)c2[nH]nc3ccccc32)[C@](C)(C(=O)[O-])C1 ZINC000630315111 422018869 /nfs/dbraw/zinc/01/88/69/422018869.db2.gz UYJRTVLMHRTTOC-PSLIRLAXSA-N -1 1 303.318 1.267 20 0 DDADMM CCOc1ccc(N(CC2CC2)C(=O)CCc2nn[n-]n2)cc1 ZINC000633756757 422075507 /nfs/dbraw/zinc/07/55/07/422075507.db2.gz OJCBGIHOSCRBHP-UHFFFAOYSA-N -1 1 315.377 1.974 20 0 DDADMM CCOCCC1(C[N-]S(=O)(=O)c2cc(C)ns2)CC1 ZINC000632133234 422111864 /nfs/dbraw/zinc/11/18/64/422111864.db2.gz WEEXSBCJMOIOPC-UHFFFAOYSA-N -1 1 304.437 1.937 20 0 DDADMM COc1cc(CC(=O)NC2(c3nn[n-]n3)CC2)ccc1Cl ZINC000581865641 422055149 /nfs/dbraw/zinc/05/51/49/422055149.db2.gz NVNPWAJPKPLLTP-UHFFFAOYSA-N -1 1 307.741 1.210 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CC[C@@H](CO)CC2)c1 ZINC000632147298 422120973 /nfs/dbraw/zinc/12/09/73/422120973.db2.gz KZJKHAKEHFFXDS-PHIMTYICSA-N -1 1 315.391 1.230 20 0 DDADMM C[C@H]1CCN(Cc2nc(N)c3ccccc3n2)C[C@@H]1C(=O)[O-] ZINC000574518418 422125156 /nfs/dbraw/zinc/12/51/56/422125156.db2.gz GRHIAWBPVUGPDU-JQWIXIFHSA-N -1 1 300.362 1.585 20 0 DDADMM CCOC(C)(C)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632255880 422197939 /nfs/dbraw/zinc/19/79/39/422197939.db2.gz GNVBVQMXEXZDCB-UHFFFAOYSA-N -1 1 315.317 1.522 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3(C(F)F)CCC3)nc2n1 ZINC000637419175 422202140 /nfs/dbraw/zinc/20/21/40/422202140.db2.gz SZSARCFHHIFHTI-UHFFFAOYSA-N -1 1 311.292 1.354 20 0 DDADMM CCN(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632269013 422209634 /nfs/dbraw/zinc/20/96/34/422209634.db2.gz WFUOAAMJYHCJKJ-SSDOTTSWSA-N -1 1 310.322 1.362 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)[C@@H](C)OC)n[n-]1 ZINC000574855251 422194994 /nfs/dbraw/zinc/19/49/94/422194994.db2.gz ASWXSPJTERRADN-NXEZZACHSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)[C@@H](C)OC)[n-]1 ZINC000574855251 422194999 /nfs/dbraw/zinc/19/49/99/422194999.db2.gz ASWXSPJTERRADN-NXEZZACHSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)[C@@H](C)OC)n1 ZINC000574855251 422195005 /nfs/dbraw/zinc/19/50/05/422195005.db2.gz ASWXSPJTERRADN-NXEZZACHSA-N -1 1 312.370 1.220 20 0 DDADMM C[C@H]1CN(CC[N-]S(=O)(=O)c2ccc(C(F)F)o2)CCO1 ZINC000632255104 422197785 /nfs/dbraw/zinc/19/77/85/422197785.db2.gz JVAWXVPQSXFIKR-VIFPVBQESA-N -1 1 324.349 1.216 20 0 DDADMM Cc1nccnc1CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632372315 422285252 /nfs/dbraw/zinc/28/52/52/422285252.db2.gz JENRYHWIOULHSI-UHFFFAOYSA-N -1 1 317.317 1.837 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC(C2CCC2)C1 ZINC000584249156 422294812 /nfs/dbraw/zinc/29/48/12/422294812.db2.gz XVRDKBISIWNNAI-UHFFFAOYSA-N -1 1 314.389 1.853 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H](C)CCCO)c1 ZINC000632389750 422298238 /nfs/dbraw/zinc/29/82/38/422298238.db2.gz FSNRULBZDUYLHM-SNVBAGLBSA-N -1 1 303.380 1.088 20 0 DDADMM COC[C@H]1CCCCN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632427379 422333168 /nfs/dbraw/zinc/33/31/68/422333168.db2.gz FBLHWUGAVDJLJX-LLVKDONJSA-N -1 1 315.391 1.590 20 0 DDADMM C[C@@H](CN1CCCC1=O)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630785817 422333960 /nfs/dbraw/zinc/33/39/60/422333960.db2.gz KXSFRWYEVSRXCW-JTQLQIEISA-N -1 1 310.781 1.715 20 0 DDADMM COc1cncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1C ZINC000630802888 422344041 /nfs/dbraw/zinc/34/40/41/422344041.db2.gz MYGYXXDKHAGZCZ-UHFFFAOYSA-N -1 1 301.228 1.788 20 0 DDADMM C[C@H]1CN(C(=O)CCc2nn[n-]n2)C[C@H](c2ccccc2)O1 ZINC000630826589 422356651 /nfs/dbraw/zinc/35/66/51/422356651.db2.gz KIWAOVVBUAPIRK-WCQYABFASA-N -1 1 301.350 1.121 20 0 DDADMM COCC[C@@H](C)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632453594 422357866 /nfs/dbraw/zinc/35/78/66/422357866.db2.gz GEDQSQIZWHWKRC-SSDOTTSWSA-N -1 1 315.317 1.379 20 0 DDADMM COc1ccc(C(C)(C)CNC(=O)CCc2nn[n-]n2)cc1 ZINC000630854347 422375521 /nfs/dbraw/zinc/37/55/21/422375521.db2.gz DDGJJAXXGJOQMB-UHFFFAOYSA-N -1 1 303.366 1.235 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@@]2(C1)OCc1ccccc12 ZINC000632555234 422433419 /nfs/dbraw/zinc/43/34/19/422433419.db2.gz BSNQDTUUGIBNCU-INIZCTEOSA-N -1 1 313.361 1.180 20 0 DDADMM CCOc1cc(C(=O)N[C@@H]2COCC[C@H]2O)cc(Cl)c1[O-] ZINC000634459730 422438591 /nfs/dbraw/zinc/43/85/91/422438591.db2.gz DGJVNCUWFIEMQE-GHMZBOCLSA-N -1 1 315.753 1.324 20 0 DDADMM C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCN2C(=O)CCCc2nn[n-]n2)C1 ZINC000635891829 422448203 /nfs/dbraw/zinc/44/82/03/422448203.db2.gz NSEJKSNZNGVLDL-SYQHCUMBSA-N -1 1 321.425 1.311 20 0 DDADMM CN1CCOC[C@@H]1CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000628916760 422387655 /nfs/dbraw/zinc/38/76/55/422387655.db2.gz GOUVMVGRBKWTMP-JTQLQIEISA-N -1 1 318.295 1.471 20 0 DDADMM COc1cccc(Cl)c1CNC(=O)CCCc1nn[n-]n1 ZINC000635845670 422400577 /nfs/dbraw/zinc/40/05/77/422400577.db2.gz NKFNEBNCFBTNSY-UHFFFAOYSA-N -1 1 309.757 1.501 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1c(F)cccc1N1CCCC1 ZINC000630902377 422404183 /nfs/dbraw/zinc/40/41/83/422404183.db2.gz JVQXXINXMDPZQR-UHFFFAOYSA-N -1 1 304.329 1.510 20 0 DDADMM C[C@H]1OCC[C@H]1S(=O)(=O)Nc1ccc(C(=O)[O-])cc1O ZINC000578135450 422506519 /nfs/dbraw/zinc/50/65/19/422506519.db2.gz FWQWIJFBHBSUTN-RDDDGLTNSA-N -1 1 301.320 1.010 20 0 DDADMM CC1(C)CN(C(=O)CCCc2nn[n-]n2)[C@@H]1c1cccnc1 ZINC000635953968 422506984 /nfs/dbraw/zinc/50/69/84/422506984.db2.gz OPYKYTHDWMKAHA-CQSZACIVSA-N -1 1 300.366 1.527 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1C[C@H]1C(F)(F)F ZINC000634504078 422460916 /nfs/dbraw/zinc/46/09/16/422460916.db2.gz BHPKIHYEMSDFLC-PHDIDXHHSA-N -1 1 307.297 1.893 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CSc1ncc(C)c(=O)[n-]1 ZINC000631016939 422473764 /nfs/dbraw/zinc/47/37/64/422473764.db2.gz BRIKLFCMNKYTPX-UHFFFAOYSA-N -1 1 309.313 1.994 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C[C@H]1C1CC1 ZINC000578007039 422474202 /nfs/dbraw/zinc/47/42/02/422474202.db2.gz HCKASRYTOPDMHE-QWHCGFSZSA-N -1 1 300.362 1.509 20 0 DDADMM Cn1cnnc1-n1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634591985 422495588 /nfs/dbraw/zinc/49/55/88/422495588.db2.gz USAJGJOVZVPNCA-ZDUSSCGKSA-N -1 1 310.361 1.040 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC(=O)C[C@@H]1c1ccccc1 ZINC000635951124 422503670 /nfs/dbraw/zinc/50/36/70/422503670.db2.gz WIHKVJSAXGJTMI-CQSZACIVSA-N -1 1 313.361 1.455 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)Nc1ccc(F)c(F)c1[O-] ZINC000636014563 422564571 /nfs/dbraw/zinc/56/45/71/422564571.db2.gz IBACEMRDZORDBA-UHFFFAOYSA-N -1 1 314.332 1.967 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCc2cccc(Cl)c2C1 ZINC000636017075 422567029 /nfs/dbraw/zinc/56/70/29/422567029.db2.gz IWISACTWYFXJLN-UHFFFAOYSA-N -1 1 305.769 1.761 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@@H]1[C@@H]1CCCC[C@H]1O ZINC000632820414 422603946 /nfs/dbraw/zinc/60/39/46/422603946.db2.gz HFYNLLHLUBLYSR-YNEHKIRRSA-N -1 1 307.398 1.065 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(CCF)c1)C1CCCC1 ZINC000629319877 422606555 /nfs/dbraw/zinc/60/65/55/422606555.db2.gz ROQHHHPIVVCIND-CYBMUJFWSA-N -1 1 319.402 1.800 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1c(C)nn(C)c1N1CCC1)OC ZINC000629353880 422628377 /nfs/dbraw/zinc/62/83/77/422628377.db2.gz XKGGKHRKOLRNQB-LLVKDONJSA-N -1 1 316.427 1.105 20 0 DDADMM O=C([O-])c1cnc(CN2CCC([C@@H]3CCCCO3)CC2)cn1 ZINC000629361281 422630279 /nfs/dbraw/zinc/63/02/79/422630279.db2.gz DGJWUPJQFXUNCN-HNNXBMFYSA-N -1 1 305.378 1.956 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCC[C@@H]32)n1 ZINC000629376851 422638505 /nfs/dbraw/zinc/63/85/05/422638505.db2.gz JVOYQLLFJHLACJ-AVGNSLFASA-N -1 1 305.378 1.820 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+]2CC[C@H](C(=O)[O-])[C@@H]3CCC[C@@H]32)n1 ZINC000629376851 422638512 /nfs/dbraw/zinc/63/85/12/422638512.db2.gz JVOYQLLFJHLACJ-AVGNSLFASA-N -1 1 305.378 1.820 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1[C@@H]2Cc3cc(Br)ccc3[C@@H]21 ZINC000631362667 422714640 /nfs/dbraw/zinc/71/46/40/422714640.db2.gz SGTRCTRICDDJOO-KXUCPTDWSA-N -1 1 320.150 1.487 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1[C@@H]2Cc3cc(Br)ccc3[C@@H]21 ZINC000631362667 422714645 /nfs/dbraw/zinc/71/46/45/422714645.db2.gz SGTRCTRICDDJOO-KXUCPTDWSA-N -1 1 320.150 1.487 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCCCc1ccc(Cl)s1 ZINC000632925424 422665094 /nfs/dbraw/zinc/66/50/94/422665094.db2.gz NLAKOBDLCXGLPU-UHFFFAOYSA-N -1 1 313.814 1.986 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@H](C)O[C@@H]1C ZINC000631295338 422668985 /nfs/dbraw/zinc/66/89/85/422668985.db2.gz RZZNEZFLEGCKEC-XXILOJSOSA-N -1 1 318.377 1.481 20 0 DDADMM CC1(C)CN(C(=O)c2csc(=NC3CC3)[n-]2)[C@@H]2COC[C@H]2O1 ZINC000653006516 423256696 /nfs/dbraw/zinc/25/66/96/423256696.db2.gz USSPIRZWNWVEPR-VXGBXAGGSA-N -1 1 323.418 1.158 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)[C@H](N)c2cccc(Cl)c2)C1 ZINC000650788921 423260181 /nfs/dbraw/zinc/26/01/81/423260181.db2.gz FWZHSCIXDDXDHU-SUZMYJTESA-N -1 1 310.781 1.909 20 0 DDADMM CC(=O)NCC(C)(C)C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000653018125 423260779 /nfs/dbraw/zinc/26/07/79/423260779.db2.gz PIEFDCNCKXZBIB-UHFFFAOYSA-N -1 1 320.418 1.969 20 0 DDADMM CO[C@@H](CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)C(F)(F)F ZINC000653028427 423265621 /nfs/dbraw/zinc/26/56/21/423265621.db2.gz DPOXUKZILJQYRB-SFYZADRCSA-N -1 1 323.271 1.449 20 0 DDADMM CCN(CC)CCS(=O)(=O)N[C@](C)(CC(=O)[O-])C(C)C ZINC000646043843 423307391 /nfs/dbraw/zinc/30/73/91/423307391.db2.gz JYCIMXKVGOBAGV-CYBMUJFWSA-N -1 1 308.444 1.137 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)[C@@H]2CC[C@H](C(=O)[O-])C2)C[C@H](C)O1 ZINC000653307467 423434196 /nfs/dbraw/zinc/43/41/96/423434196.db2.gz NVRUCYNZUMWQKJ-RQJABVFESA-N -1 1 312.410 1.103 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(=O)N(C2CCC(C)CC2)C1 ZINC000646409688 423478695 /nfs/dbraw/zinc/47/86/95/423478695.db2.gz SJACCDOFAFGEPO-CKBZRRDASA-N -1 1 320.393 1.789 20 0 DDADMM CC[C@@H](C)C(=O)N1CSC[C@H]1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000646412396 423480063 /nfs/dbraw/zinc/48/00/63/423480063.db2.gz GVMVNHSWIHKRKS-APPZFPTMSA-N -1 1 312.395 1.310 20 0 DDADMM CCCc1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)no1 ZINC000648894466 423527319 /nfs/dbraw/zinc/52/73/19/423527319.db2.gz LMKKTEVDXAWUQW-ZDUSSCGKSA-N -1 1 312.333 1.660 20 0 DDADMM Cc1nc(-c2ccc(N[C@H]3C[C@@H](C(N)=O)C3)nc2)[n-]c(=O)c1C ZINC000651412589 423529911 /nfs/dbraw/zinc/52/99/11/423529911.db2.gz ZIVDXDMAEFTZJS-TXEJJXNPSA-N -1 1 313.361 1.537 20 0 DDADMM O=C(Nc1nnn[n-]1)C1(c2cccc(OC(F)(F)F)c2)CC1 ZINC000651353626 423504634 /nfs/dbraw/zinc/50/46/34/423504634.db2.gz OQVDRCJBXRPUAE-UHFFFAOYSA-N -1 1 313.239 1.769 20 0 DDADMM O=C(Nc1nn[n-]n1)C1(c2cccc(OC(F)(F)F)c2)CC1 ZINC000651353626 423504640 /nfs/dbraw/zinc/50/46/40/423504640.db2.gz OQVDRCJBXRPUAE-UHFFFAOYSA-N -1 1 313.239 1.769 20 0 DDADMM CC(C)(CNC(=O)c1ccc(Cl)cc1[O-])[C@]1(O)CCOC1 ZINC000649094708 423625210 /nfs/dbraw/zinc/62/52/10/423625210.db2.gz GDVADWSNLJVEJO-HNNXBMFYSA-N -1 1 313.781 1.953 20 0 DDADMM COc1nc(C)cc(C)c1CN1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639821703 423757998 /nfs/dbraw/zinc/75/79/98/423757998.db2.gz JVPIKJORIKQVNT-GFCCVEGCSA-N -1 1 317.393 1.882 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)cc1)C(C)C ZINC000647122670 423762914 /nfs/dbraw/zinc/76/29/14/423762914.db2.gz RHIJLXQBRKTXMX-GFCCVEGCSA-N -1 1 300.380 1.198 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(-c2ccccn2)c1)C(C)C ZINC000647127253 423765899 /nfs/dbraw/zinc/76/58/99/423765899.db2.gz CCGFEEINJGEPSZ-CYBMUJFWSA-N -1 1 324.406 1.680 20 0 DDADMM CSc1nc(CNc2cc(C)nc(C3CC3)n2)cc(=O)[n-]1 ZINC000641656321 423823512 /nfs/dbraw/zinc/82/35/12/423823512.db2.gz DNWIZNFQGGLXSJ-UHFFFAOYSA-N -1 1 303.391 1.914 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@H](C)c1nc(C)no1)C1CCCC1 ZINC000656891940 423838942 /nfs/dbraw/zinc/83/89/42/423838942.db2.gz VSLAVCWZLMBPDS-SKDRFNHKSA-N -1 1 317.411 1.564 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1C[C@H]1C(=O)[O-])N1CCc2ccccc2C1 ZINC000649460124 423925670 /nfs/dbraw/zinc/92/56/70/423925670.db2.gz GYCQHKHXKDSDRU-KCPJHIHWSA-N -1 1 302.374 1.270 20 0 DDADMM O=C([O-])c1ccc(N[C@@H]2CCCC[C@@H]2N2CCOCC2)nc1 ZINC000647452430 424033129 /nfs/dbraw/zinc/03/31/29/424033129.db2.gz ZLLOXDWDRVOTLK-KGLIPLIRSA-N -1 1 305.378 1.835 20 0 DDADMM O=C(NCc1nc([C@H]2CCCO2)n[nH]1)c1ccc(Cl)cc1[O-] ZINC000655238213 424326004 /nfs/dbraw/zinc/32/60/04/424326004.db2.gz YDTJNTXAYDGOMN-LLVKDONJSA-N -1 1 322.752 1.945 20 0 DDADMM C[C@@H]1[C@H](C)NC(=O)[C@H](C)N1C(=O)N=c1[n-]sc2ccccc21 ZINC000640338435 424358988 /nfs/dbraw/zinc/35/89/88/424358988.db2.gz XLPANIBDMSWSNN-AEJSXWLSSA-N -1 1 318.402 1.847 20 0 DDADMM CN(C)C(=O)[C@H]1CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640338177 424360379 /nfs/dbraw/zinc/36/03/79/424360379.db2.gz RXNODYNDQMLPHN-JTQLQIEISA-N -1 1 318.402 1.660 20 0 DDADMM C[C@@H]1OCC[C@@]1(O)CNC(=O)N=c1[n-]sc2ccccc21 ZINC000640339523 424361012 /nfs/dbraw/zinc/36/10/12/424361012.db2.gz RSPHXQUTSNQXAX-LKFCYVNXSA-N -1 1 307.375 1.380 20 0 DDADMM C[C@@H]1CO[C@H](CO)CN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640341163 424362193 /nfs/dbraw/zinc/36/21/93/424362193.db2.gz DWIIKKNBIUOYIF-ZJUUUORDSA-N -1 1 307.375 1.332 20 0 DDADMM COc1ccc([C@H](C)N(C)[C@@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662201692 424466000 /nfs/dbraw/zinc/46/60/00/424466000.db2.gz KNPIOGQCAOVUIA-SMDDNHRTSA-N -1 1 306.362 1.374 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CC[C@H](c3ccc(Cl)cc3)C2)C1=O ZINC000662202918 424468985 /nfs/dbraw/zinc/46/89/85/424468985.db2.gz DAOBFUNSYSWLEB-GXTWGEPZSA-N -1 1 322.792 1.815 20 0 DDADMM Cc1ccccc1OCCCN(C)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662203223 424470331 /nfs/dbraw/zinc/47/03/31/424470331.db2.gz PIXYGFSWTMCXOS-CQSZACIVSA-N -1 1 320.389 1.381 20 0 DDADMM C[C@H]1CCC[C@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)O1 ZINC000664378209 424566177 /nfs/dbraw/zinc/56/61/77/424566177.db2.gz FKOPSIQTLAHTBO-WDEREUQCSA-N -1 1 301.346 1.611 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000655639779 424609736 /nfs/dbraw/zinc/60/97/36/424609736.db2.gz YKPQXNYRFOAROY-UHFFFAOYSA-N -1 1 309.309 1.809 20 0 DDADMM COCCN(CCOC)C(=O)c1ncc2ccccc2c1[O-] ZINC000665112035 424745335 /nfs/dbraw/zinc/74/53/35/424745335.db2.gz MSEZCFRASIBANW-UHFFFAOYSA-N -1 1 304.346 1.675 20 0 DDADMM O=C(N[C@H]1CCCS(=O)(=O)C1)c1ccc2ccccc2c1[O-] ZINC000316100300 271016796 /nfs/dbraw/zinc/01/67/96/271016796.db2.gz RYQSJGLIJGNUKW-LBPRGKRZSA-N -1 1 319.382 1.852 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCc3ccnc(C)n3)cnc2n1 ZINC000341524463 271106687 /nfs/dbraw/zinc/10/66/87/271106687.db2.gz QVTCYROADDICSJ-UHFFFAOYSA-N -1 1 309.329 1.672 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCc1ccnc(C)n1)c2=O ZINC000341524463 271106692 /nfs/dbraw/zinc/10/66/92/271106692.db2.gz QVTCYROADDICSJ-UHFFFAOYSA-N -1 1 309.329 1.672 20 0 DDADMM CCCCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCCC1 ZINC000341632761 271148279 /nfs/dbraw/zinc/14/82/79/271148279.db2.gz IWUDRRCILQOZHH-UHFFFAOYSA-N -1 1 317.393 1.993 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)c2ccc3cncn3c2)s[n-]1 ZINC000341768262 271205752 /nfs/dbraw/zinc/20/57/52/271205752.db2.gz QTJYVLPRJVGQJB-QMMMGPOBSA-N -1 1 303.347 1.567 20 0 DDADMM Cc1n[nH]c(C)c1NC(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC000344528455 272050408 /nfs/dbraw/zinc/05/04/08/272050408.db2.gz XFKDDIBMMAJNNN-UHFFFAOYSA-N -1 1 314.305 1.337 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](CCO)c2ccco2)sc1C ZINC000345633001 272294162 /nfs/dbraw/zinc/29/41/62/272294162.db2.gz NBYRPUDVXKSOQI-SNVBAGLBSA-N -1 1 316.404 1.755 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2C[C@H](C)C[C@@H](C)C2)o1 ZINC000172597061 277037151 /nfs/dbraw/zinc/03/71/51/277037151.db2.gz CGZRGEPAAISIQO-NXEZZACHSA-N -1 1 314.407 1.742 20 0 DDADMM CN(C)C(=O)CCNC(=O)c1cc(Br)ccc1[O-] ZINC000048734743 280878395 /nfs/dbraw/zinc/87/83/95/280878395.db2.gz XHOCGECRRCTQOM-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM O=C(NCCc1nnc[nH]1)c1cc(Br)ccc1[O-] ZINC000081763560 281011449 /nfs/dbraw/zinc/01/14/49/281011449.db2.gz STMJAGOWAQWNDN-UHFFFAOYSA-N -1 1 311.139 1.245 20 0 DDADMM O=S(=O)([N-]CCCn1cccn1)c1cc2ccccc2o1 ZINC000084936452 281014286 /nfs/dbraw/zinc/01/42/86/281014286.db2.gz CPEQCBFBMSGPHH-UHFFFAOYSA-N -1 1 305.359 1.998 20 0 DDADMM CC(C)N(C(=O)CS(=O)(=O)c1ncn[n-]1)c1ccccc1 ZINC000086169665 281015553 /nfs/dbraw/zinc/01/55/53/281015553.db2.gz CAPCFOLNDUHUBH-UHFFFAOYSA-N -1 1 308.363 1.020 20 0 DDADMM CC(C)N(C(=O)CS(=O)(=O)c1nc[n-]n1)c1ccccc1 ZINC000086169665 281015556 /nfs/dbraw/zinc/01/55/56/281015556.db2.gz CAPCFOLNDUHUBH-UHFFFAOYSA-N -1 1 308.363 1.020 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cc3cc(F)ccc3o2)[n-]1 ZINC000117972083 281084250 /nfs/dbraw/zinc/08/42/50/281084250.db2.gz MFUQUPGYWUXIGZ-UHFFFAOYSA-N -1 1 324.293 1.346 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2cc3cc(F)ccc3o2)n[n-]1 ZINC000117972083 281084252 /nfs/dbraw/zinc/08/42/52/281084252.db2.gz MFUQUPGYWUXIGZ-UHFFFAOYSA-N -1 1 324.293 1.346 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@H]1C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000119142860 281090955 /nfs/dbraw/zinc/09/09/55/281090955.db2.gz XEDQOPNEEPMPPC-NXEZZACHSA-N -1 1 306.391 1.320 20 0 DDADMM CS[C@@H](CO)[C@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000119878124 281108854 /nfs/dbraw/zinc/10/88/54/281108854.db2.gz MYLPHTIVOOOGLM-CABZTGNLSA-N -1 1 315.416 1.824 20 0 DDADMM C[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccsc1 ZINC000130698395 281391168 /nfs/dbraw/zinc/39/11/68/281391168.db2.gz DCNLPLCSDAQHHK-LURJTMIESA-N -1 1 300.365 1.012 20 0 DDADMM C[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccsc1 ZINC000130698395 281391171 /nfs/dbraw/zinc/39/11/71/281391171.db2.gz DCNLPLCSDAQHHK-LURJTMIESA-N -1 1 300.365 1.012 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)N[C@@H]2CCc3[nH]cnc3C2)C1 ZINC000263384662 297214649 /nfs/dbraw/zinc/21/46/49/297214649.db2.gz XWQJMXBBJRZTPU-GMTAPVOTSA-N -1 1 306.366 1.019 20 0 DDADMM CCC(=O)c1cccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000353983644 298329601 /nfs/dbraw/zinc/32/96/01/298329601.db2.gz NADNZNDPYBEGAI-UHFFFAOYSA-N -1 1 311.301 1.263 20 0 DDADMM C[C@H](CC1CCCCC1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363407963 300263200 /nfs/dbraw/zinc/26/32/00/300263200.db2.gz JOKHPZXFSOSDPI-DGCLKSJQSA-N -1 1 307.398 1.706 20 0 DDADMM FC(F)c1n[n-]c(=NC[C@@H]2CCC[C@H]2N2CCOCC2)o1 ZINC000368387763 301016971 /nfs/dbraw/zinc/01/69/71/301016971.db2.gz MLNFXYULMNRFLU-VHSXEESVSA-N -1 1 302.325 1.342 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@@H](O)C4(CC4)C3)nc2)[n-]c(=O)c1C ZINC000368800609 301089770 /nfs/dbraw/zinc/08/97/70/301089770.db2.gz PXELPAAQBFAUNJ-CYBMUJFWSA-N -1 1 312.373 1.822 20 0 DDADMM O=C(N[C@@H]1CCN(c2cccnc2)C1)c1cc(F)ccc1[O-] ZINC000370265193 301305099 /nfs/dbraw/zinc/30/50/99/301305099.db2.gz NCYZFGWKLHFYRF-GFCCVEGCSA-N -1 1 301.321 1.935 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@]2(C1)C(=O)Nc1ccccc12 ZINC000373534285 301702817 /nfs/dbraw/zinc/70/28/17/301702817.db2.gz NIMHESHEHNSHOF-QGZVFWFLSA-N -1 1 309.325 1.523 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3CCCc4cccnc43)ccnc1-2 ZINC000374729710 301840126 /nfs/dbraw/zinc/84/01/26/301840126.db2.gz IUWNVMGGXOLRIX-GPIITSRYSA-N -1 1 307.357 1.795 20 0 DDADMM Cc1cn2c(n1)CN(C(=O)c1nc3ccccc3c(=O)[n-]1)CC2 ZINC000374763404 301842550 /nfs/dbraw/zinc/84/25/50/301842550.db2.gz FQLBUIIWXQJUBB-UHFFFAOYSA-N -1 1 309.329 1.084 20 0 DDADMM CCc1[nH+]ccn1C[C@H]1CCCN1C(=O)c1csc(=O)[nH]1 ZINC000375999135 302022045 /nfs/dbraw/zinc/02/20/45/302022045.db2.gz JPLDRXVSBUDRJY-SNVBAGLBSA-N -1 1 306.391 1.912 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1[C@H]2COC[C@H]21 ZINC000376423036 302077345 /nfs/dbraw/zinc/07/73/45/302077345.db2.gz VOLUHXZMBSUIDM-RTCCRHLQSA-N -1 1 305.378 1.410 20 0 DDADMM CN(C(=O)N1CC[N@H+]2CCC[C@@H]2[C@H]1C(=O)[O-])C1CCCCC1 ZINC000377156586 302149574 /nfs/dbraw/zinc/14/95/74/302149574.db2.gz DVIWURYZFBOHKQ-KGLIPLIRSA-N -1 1 309.410 1.604 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@H](Cn2ccnn2)C1 ZINC000377698908 302214202 /nfs/dbraw/zinc/21/42/02/302214202.db2.gz PZIMQHJZJGAMPF-JTQLQIEISA-N -1 1 322.315 1.814 20 0 DDADMM CCO[C@@H]1C[C@@H](NS(=O)(=O)c2c(C)o[n-]c2=N)C12CCC2 ZINC000377863676 302244012 /nfs/dbraw/zinc/24/40/12/302244012.db2.gz IQTWQDFBXZABJG-NXEZZACHSA-N -1 1 315.395 1.022 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2c(C)onc2N)C12CCC2 ZINC000377863676 302244014 /nfs/dbraw/zinc/24/40/14/302244014.db2.gz IQTWQDFBXZABJG-NXEZZACHSA-N -1 1 315.395 1.022 20 0 DDADMM C[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@H]1CCCOC1 ZINC000355957455 306822912 /nfs/dbraw/zinc/82/29/12/306822912.db2.gz RSXYPZXYSRHEGQ-MNOVXSKESA-N -1 1 301.346 1.468 20 0 DDADMM C[C@H](C(=O)Nc1ccncc1[O-])N(C(=O)OC(C)(C)C)C1CC1 ZINC000497174339 302682835 /nfs/dbraw/zinc/68/28/35/302682835.db2.gz ACIOHCNECTYDFE-SNVBAGLBSA-N -1 1 321.377 1.936 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cnc(C2CC2)s1 ZINC000521804438 302880312 /nfs/dbraw/zinc/88/03/12/302880312.db2.gz PDAQLBRKNROWCP-UHFFFAOYSA-N -1 1 305.363 1.086 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]c2ccc(=O)[nH]c2)s1 ZINC000357343534 306877265 /nfs/dbraw/zinc/87/72/65/306877265.db2.gz UUAABFWKNGEPLZ-UHFFFAOYSA-N -1 1 314.348 1.003 20 0 DDADMM O=C(CN1C(=O)NC2(CCCC2)C1=O)Nc1cccc(F)c1[O-] ZINC000530006505 303170024 /nfs/dbraw/zinc/17/00/24/303170024.db2.gz PSXHHBUEDSDPIZ-UHFFFAOYSA-N -1 1 321.308 1.334 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1Cc2c1cccc2Cl ZINC000530267949 303189793 /nfs/dbraw/zinc/18/97/93/303189793.db2.gz LZRZDLINWWWTMF-JTQLQIEISA-N -1 1 318.764 1.431 20 0 DDADMM O=C(Cc1c(F)cccc1F)NC1(c2nn[n-]n2)CCCC1 ZINC000530791005 303212531 /nfs/dbraw/zinc/21/25/31/303212531.db2.gz GTSDLBOJLIRBKJ-UHFFFAOYSA-N -1 1 307.304 1.606 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCOC(C)C)C1CCCCC1 ZINC000532297804 303281656 /nfs/dbraw/zinc/28/16/56/303281656.db2.gz LZFAOQJZERSDCT-ZDUSSCGKSA-N -1 1 321.439 1.453 20 0 DDADMM CC(C)Oc1cccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000539324340 303394453 /nfs/dbraw/zinc/39/44/53/303394453.db2.gz BJNMLBOSPAWYTK-NSHDSACASA-N -1 1 316.365 1.402 20 0 DDADMM COc1ccc(Br)c(C(=O)[O-])c1NS(C)(=O)=O ZINC000547932494 303561310 /nfs/dbraw/zinc/56/13/10/303561310.db2.gz GPWOOWGUKZEEJD-UHFFFAOYSA-N -1 1 324.152 1.527 20 0 DDADMM Cc1nc(SC[C@H]2CCCS(=O)(=O)C2)[n-]c(=O)c1C ZINC000551461796 303633088 /nfs/dbraw/zinc/63/30/88/303633088.db2.gz MGGDZBWVGFZDKV-SNVBAGLBSA-N -1 1 302.421 1.716 20 0 DDADMM CN(C)c1n[nH]c([N-]S(=O)(=O)CCC2CCCCC2)n1 ZINC000560254585 303802215 /nfs/dbraw/zinc/80/22/15/303802215.db2.gz VBXDGKGQYRSKOB-UHFFFAOYSA-N -1 1 301.416 1.583 20 0 DDADMM CO[C@]1(C)CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000366397044 307072220 /nfs/dbraw/zinc/07/22/20/307072220.db2.gz DEUCLXIRAIHMPY-QGZVFWFLSA-N -1 1 315.373 1.954 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@@]2(C1)CCCOC2 ZINC000367645527 307100299 /nfs/dbraw/zinc/10/02/99/307100299.db2.gz ZNZLKZFGHOXWHS-OAHLLOKOSA-N -1 1 318.402 1.661 20 0 DDADMM C[C@@H]1C[C@@H](c2cccs2)CN1CCS(=O)(=O)CC(=O)[O-] ZINC000370683060 307132398 /nfs/dbraw/zinc/13/23/98/307132398.db2.gz TZSJMVKPIAWBHP-GHMZBOCLSA-N -1 1 317.432 1.425 20 0 DDADMM COC(=O)c1conc1C(C)(C)NC(=O)c1c([O-])cccc1F ZINC000425359786 307302633 /nfs/dbraw/zinc/30/26/33/307302633.db2.gz QGMZKUSGKDSLSU-UHFFFAOYSA-N -1 1 322.292 1.971 20 0 DDADMM CN1CC2(CN(C(=O)c3cc4ccccc4cc3[O-])C2)OC1=O ZINC000496890225 307366965 /nfs/dbraw/zinc/36/69/65/307366965.db2.gz DJUZQWYSQZJDQF-UHFFFAOYSA-N -1 1 312.325 1.822 20 0 DDADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCCc2ccccc21 ZINC000546067768 307725233 /nfs/dbraw/zinc/72/52/33/307725233.db2.gz PPAUVFFEHVFJQG-CQSZACIVSA-N -1 1 323.356 1.567 20 0 DDADMM Cc1noc(C2CCC(NC(=O)c3cncc([O-])c3)CC2)n1 ZINC000550273673 307799220 /nfs/dbraw/zinc/79/92/20/307799220.db2.gz QXBPFDXDMXTVIA-UHFFFAOYSA-N -1 1 302.334 1.935 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@@H](n3ccnn3)C2)c(F)c1 ZINC000565103437 308020455 /nfs/dbraw/zinc/02/04/55/308020455.db2.gz GTAJFSIWCAAFOJ-CYBMUJFWSA-N -1 1 304.325 1.953 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H](N)C2CCC2)c1Br ZINC000568507245 308120945 /nfs/dbraw/zinc/12/09/45/308120945.db2.gz NRTGSANCIIYQRW-SECBINFHSA-N -1 1 301.188 1.222 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc3c(c2)C(=O)CCC3)n1 ZINC000569208865 308136841 /nfs/dbraw/zinc/13/68/41/308136841.db2.gz LHMLMGNKHJJKLI-UHFFFAOYSA-N -1 1 321.358 1.376 20 0 DDADMM COC[C@]1(C(=O)[O-])CCC[N@@H+]([C@H](C)c2nc(C)cc(=O)[n-]2)C1 ZINC000570639179 308174921 /nfs/dbraw/zinc/17/49/21/308174921.db2.gz WCNZMAREONWBBS-ABAIWWIYSA-N -1 1 309.366 1.365 20 0 DDADMM COC[C@]1(C(=O)[O-])CCC[N@H+]([C@H](C)c2nc(C)cc(=O)[n-]2)C1 ZINC000570639179 308174923 /nfs/dbraw/zinc/17/49/23/308174923.db2.gz WCNZMAREONWBBS-ABAIWWIYSA-N -1 1 309.366 1.365 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)[C@H]1CCC(=O)N(C)C1 ZINC000570694663 308177489 /nfs/dbraw/zinc/17/74/89/308177489.db2.gz LPQTXRDXRGCHHM-VIFPVBQESA-N -1 1 306.391 1.450 20 0 DDADMM CCc1nc(SCC(=O)NC[C@@H]2CCCO2)[n-]c(=O)c1C ZINC000575936126 308282819 /nfs/dbraw/zinc/28/28/19/308282819.db2.gz MFOJLLVELCJZMP-JTQLQIEISA-N -1 1 311.407 1.440 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccc(O[C@@H]2CCOC2)cc1 ZINC000579313166 308521369 /nfs/dbraw/zinc/52/13/69/308521369.db2.gz GKFNOSQKJURLIZ-CYBMUJFWSA-N -1 1 300.314 1.629 20 0 DDADMM O=c1nc(NC[C@@H]2CCCN(c3ccccc3)C2)nc2[nH][n-]cc1-2 ZINC000579428596 308530044 /nfs/dbraw/zinc/53/00/44/308530044.db2.gz DOEYJPWBSLIAOJ-LBPRGKRZSA-N -1 1 324.388 1.761 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Br)cc2[O-])C[C@H]1O ZINC000387764812 325767381 /nfs/dbraw/zinc/76/73/81/325767381.db2.gz AVCANVBSSKREFH-RDDDGLTNSA-N -1 1 300.152 1.608 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)Cc1ccon1)C(=O)OC ZINC000581367375 325839232 /nfs/dbraw/zinc/83/92/32/325839232.db2.gz WIIPZKQZXYFMBD-GXSJLCMTSA-N -1 1 304.368 1.072 20 0 DDADMM CO[C@@H]1COC[C@H]1Nc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000581604847 325883822 /nfs/dbraw/zinc/88/38/22/325883822.db2.gz ANHJOOBDZLAPRS-CHWSQXEVSA-N -1 1 316.361 1.687 20 0 DDADMM O=C(C(=O)N1CC[C@H](Nc2ncccn2)C1)c1ccc([O-])cc1 ZINC000581668708 325896600 /nfs/dbraw/zinc/89/66/00/325896600.db2.gz PWYHSIKSQIEIBZ-LBPRGKRZSA-N -1 1 312.329 1.078 20 0 DDADMM C[C@@H](O)CCC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000584170028 331851548 /nfs/dbraw/zinc/85/15/48/331851548.db2.gz VVKQWXDJHBKIAN-SECBINFHSA-N -1 1 300.405 1.736 20 0 DDADMM CC(C)(CC(=O)NCC[N@H+]1CCc2ccccc2C1)C(=O)[O-] ZINC000582987316 337222903 /nfs/dbraw/zinc/22/29/03/337222903.db2.gz IUNOFIBUKFNUEK-UHFFFAOYSA-N -1 1 304.390 1.662 20 0 DDADMM CC(C)(CC(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000582987316 337222904 /nfs/dbraw/zinc/22/29/04/337222904.db2.gz IUNOFIBUKFNUEK-UHFFFAOYSA-N -1 1 304.390 1.662 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)[C@@H]2NCCc3ccccc32)C1 ZINC000398665194 337312090 /nfs/dbraw/zinc/31/20/90/337312090.db2.gz FXEODJIHZHOHDW-UXIGCNINSA-N -1 1 302.374 1.443 20 0 DDADMM CN1Cc2c(cccc2[N-]S(=O)(=O)C[C@@H]2CCCO2)C1=O ZINC000584577100 337367260 /nfs/dbraw/zinc/36/72/60/337367260.db2.gz IVLGCFQFQVLYFF-JTQLQIEISA-N -1 1 310.375 1.193 20 0 DDADMM O=C(N[C@H]1CCCC(F)(F)[C@@H]1O)c1c(F)ccc([O-])c1F ZINC000412824644 484038736 /nfs/dbraw/zinc/03/87/36/484038736.db2.gz KUIVPECFUUGCJN-WRWORJQWSA-N -1 1 307.243 1.949 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccc(O)c1F)[C@@H]1CCOC1 ZINC000656627081 484249482 /nfs/dbraw/zinc/24/94/82/484249482.db2.gz RUCDPOPRBODXEQ-BXKDBHETSA-N -1 1 319.354 1.325 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000663103123 484668137 /nfs/dbraw/zinc/66/81/37/484668137.db2.gz WIKIBVKBNJVVLX-RHSMWYFYSA-N -1 1 320.389 1.257 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000663114092 484679586 /nfs/dbraw/zinc/67/95/86/484679586.db2.gz LUDFVIYIYAKVBP-WFASDCNBSA-N -1 1 312.341 1.034 20 0 DDADMM CCCCCc1cc(C(=O)N2CC[C@H](OC)C[C@H]2C(=O)[O-])n[nH]1 ZINC000659222222 484696940 /nfs/dbraw/zinc/69/69/40/484696940.db2.gz RLOGXXRQFBQSMF-JSGCOSHPSA-N -1 1 323.393 1.847 20 0 DDADMM CC1CCN(CC(=O)N2CCc3ccccc3[C@@H]2C(=O)[O-])CC1 ZINC000663146100 484702842 /nfs/dbraw/zinc/70/28/42/484702842.db2.gz AQKJVACVQACYEC-QGZVFWFLSA-N -1 1 316.401 1.929 20 0 DDADMM CC[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CCCCC1 ZINC000667946875 484862471 /nfs/dbraw/zinc/86/24/71/484862471.db2.gz GJBKAIVLBBVPBF-SNVBAGLBSA-N -1 1 309.366 1.985 20 0 DDADMM CC(C)CN1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)CC1 ZINC000665531134 484912880 /nfs/dbraw/zinc/91/28/80/484912880.db2.gz PITILLPKZKLUTE-UHFFFAOYSA-N -1 1 304.350 1.342 20 0 DDADMM COc1ccc(NS(=O)(=O)c2ccc(C[NH+](C)C)cc2)nc1 ZINC000671151380 484960929 /nfs/dbraw/zinc/96/09/29/484960929.db2.gz ZFJOOAKUHDJQBI-UHFFFAOYSA-N -1 1 321.402 1.953 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1n[nH]c2ccccc21)C1CCOCC1 ZINC000668308139 485094773 /nfs/dbraw/zinc/09/47/73/485094773.db2.gz UPVGRXCCMGIYFH-LBPRGKRZSA-N -1 1 303.318 1.173 20 0 DDADMM O=C1CC[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCN1 ZINC000668323612 485103731 /nfs/dbraw/zinc/10/37/31/485103731.db2.gz JQFDEFJNGNBIQG-SSDOTTSWSA-N -1 1 322.308 1.051 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)c2csnn2)sc1C ZINC000668329206 485108020 /nfs/dbraw/zinc/10/80/20/485108020.db2.gz YQDGVTBYPOYCKR-LURJTMIESA-N -1 1 304.422 1.651 20 0 DDADMM C[C@@H]1CO[C@H](C(F)(F)F)CN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000672176630 485247365 /nfs/dbraw/zinc/24/73/65/485247365.db2.gz HWZCFVFYEGTMLM-KCJUWKMLSA-N -1 1 317.263 1.753 20 0 DDADMM CC[C@H](C)c1nc([C@@H](C)NC(=O)NCCCCC(=O)[O-])n[nH]1 ZINC000666559728 485271765 /nfs/dbraw/zinc/27/17/65/485271765.db2.gz ZQWIZOSJKDPXNF-VHSXEESVSA-N -1 1 311.386 1.933 20 0 DDADMM CCCCCc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)[nH]n1 ZINC000668869859 485345625 /nfs/dbraw/zinc/34/56/25/485345625.db2.gz YCYNZKKOWNZUMK-UHFFFAOYSA-N -1 1 317.397 1.855 20 0 DDADMM CCc1cccc(C(=O)N2CC[NH+]([C@H]3CCC[C@H]3O)CC2)c1O ZINC000674361323 485614457 /nfs/dbraw/zinc/61/44/57/485614457.db2.gz JBHULNLIHOVGNX-JKSUJKDBSA-N -1 1 318.417 1.626 20 0 DDADMM COc1cc(C(=O)N2C[C@H](O)CC(F)(F)C2)cc(Cl)c1[O-] ZINC000682591735 485692695 /nfs/dbraw/zinc/69/26/95/485692695.db2.gz QWPOPXVSEOUPJI-MRVPVSSYSA-N -1 1 321.707 1.896 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H](O)CC(F)(F)C3)cnc2n1 ZINC000682613237 485707230 /nfs/dbraw/zinc/70/72/30/485707230.db2.gz CRWFTAAHRVHEBQ-VIFPVBQESA-N -1 1 323.299 1.486 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@@H](O)CC(F)(F)C3)c[n-]c2n1 ZINC000682613237 485707238 /nfs/dbraw/zinc/70/72/38/485707238.db2.gz CRWFTAAHRVHEBQ-VIFPVBQESA-N -1 1 323.299 1.486 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccn(C(F)F)n1 ZINC000678935920 485735024 /nfs/dbraw/zinc/73/50/24/485735024.db2.gz KXAWUSDAQYLDPO-JTQLQIEISA-N -1 1 319.275 1.311 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C(C)(C)C(C)(C)O)c(F)c1 ZINC000679008271 485755110 /nfs/dbraw/zinc/75/51/10/485755110.db2.gz FLUYBPLIKYWFHJ-UHFFFAOYSA-N -1 1 323.361 1.801 20 0 DDADMM COC[C@](C)(O)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000676187577 486137642 /nfs/dbraw/zinc/13/76/42/486137642.db2.gz GUCGLHBGOHIPPF-KRWDZBQOSA-N -1 1 321.373 1.211 20 0 DDADMM CCN(CC)[C@H](C(=O)N1CCO[C@@H](C(=O)[O-])C1)c1ccccc1 ZINC000676216778 486147520 /nfs/dbraw/zinc/14/75/20/486147520.db2.gz WIGVGIUZRWTSCR-CABCVRRESA-N -1 1 320.389 1.382 20 0 DDADMM Cc1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)nc(C)n1 ZINC000680476801 486197837 /nfs/dbraw/zinc/19/78/37/486197837.db2.gz IGKSNVHQJHKLEK-CYBMUJFWSA-N -1 1 309.333 1.126 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2cc(F)c([O-])c(F)c2)C1 ZINC000680817130 486270946 /nfs/dbraw/zinc/27/09/46/486270946.db2.gz NZVVUHZVSWZBNX-LLVKDONJSA-N -1 1 314.332 1.511 20 0 DDADMM CC1N=NC(C(=O)Nc2ccccc2SCCC(N)=O)=C1[O-] ZINC000676707864 486297998 /nfs/dbraw/zinc/29/79/98/486297998.db2.gz MFEHRMWFDDJLEV-UHFFFAOYSA-N -1 1 320.374 1.644 20 0 DDADMM CCc1[nH]n(C)c2nnc(NC(=O)c3ccc([O-])c(F)c3)c1-2 ZINC000681047873 486331372 /nfs/dbraw/zinc/33/13/72/486331372.db2.gz SQOHKZPENKVSQN-UHFFFAOYSA-N -1 1 303.297 1.956 20 0 DDADMM Cn1cc(N2CCC[C@H](NC(=O)c3ccc([O-])c(F)c3)C2)cn1 ZINC000681050732 486332285 /nfs/dbraw/zinc/33/22/85/486332285.db2.gz LHRDPZXXNHJYMR-LBPRGKRZSA-N -1 1 318.352 1.664 20 0 DDADMM O=C(NC[C@H]1CCCCS1(=O)=O)c1ccc([O-])c(F)c1 ZINC000681070504 486337142 /nfs/dbraw/zinc/33/71/42/486337142.db2.gz UCRSDEBKRKRILA-SNVBAGLBSA-N -1 1 301.339 1.228 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@]2(C)CCCC[C@@H]2O)sc1C ZINC000676864295 486350289 /nfs/dbraw/zinc/35/02/89/486350289.db2.gz QGDVIRTUOLUSKH-WCQYABFASA-N -1 1 318.464 1.979 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C2(C)CCOCC2)c(F)c1 ZINC000676898744 486357764 /nfs/dbraw/zinc/35/77/64/486357764.db2.gz HWJXIMZYPPRBFP-UHFFFAOYSA-N -1 1 321.345 1.821 20 0 DDADMM Cc1nc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c(C)s1 ZINC000676999886 486378205 /nfs/dbraw/zinc/37/82/05/486378205.db2.gz FTLVFYWGBPVPGA-GFCCVEGCSA-N -1 1 314.374 1.792 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@@]2(CCCOC2)O1 ZINC000684622119 486399409 /nfs/dbraw/zinc/39/94/09/486399409.db2.gz WVEZUZKYFUIYNR-BDJLRTHQSA-N -1 1 309.337 1.941 20 0 DDADMM Cc1[nH]nc(C(F)(F)F)c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000681353003 486410665 /nfs/dbraw/zinc/41/06/65/486410665.db2.gz FOWLTWDOFVRPCZ-YFKPBYRVSA-N -1 1 317.275 1.126 20 0 DDADMM C[C@H](CC(=O)N(C)C[C@@H](C)c1nn[n-]n1)C(=O)c1ccccc1 ZINC000681353835 486411286 /nfs/dbraw/zinc/41/12/86/486411286.db2.gz WACHXSIEFRLHMG-VXGBXAGGSA-N -1 1 315.377 1.671 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](CO)CC2CC2)c(F)c1 ZINC000677243407 486424111 /nfs/dbraw/zinc/42/41/11/486424111.db2.gz YEEQTEOHQRJBDI-VIFPVBQESA-N -1 1 321.345 1.413 20 0 DDADMM CNC(=O)CCN(C)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684873553 486449985 /nfs/dbraw/zinc/44/99/85/486449985.db2.gz ZXKNCWPZAHRBRV-UHFFFAOYSA-N -1 1 304.268 1.619 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)c(Cl)c1 ZINC000677645080 486512996 /nfs/dbraw/zinc/51/29/96/486512996.db2.gz XAQJOPLLUURBFO-UHFFFAOYSA-N -1 1 314.754 1.271 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc(Cl)cn1 ZINC000685505540 486552622 /nfs/dbraw/zinc/55/26/22/486552622.db2.gz UHDZAEZZPLHNFO-UHFFFAOYSA-N -1 1 302.743 1.092 20 0 DDADMM CN(Cc1ccc([S@@](C)=O)cc1)C(=O)c1ncccc1[O-] ZINC000490021342 490271567 /nfs/dbraw/zinc/27/15/67/490271567.db2.gz PGSSJSFDRCGSSY-OAQYLSRUSA-N -1 1 304.371 1.797 20 0 DDADMM Cc1nc(S[C@@H](C)C(=O)NC(=O)NC2CC2)[n-]c(=O)c1C ZINC000611468955 490399270 /nfs/dbraw/zinc/39/92/70/490399270.db2.gz KCDUWJRPPRMRIL-QMMMGPOBSA-N -1 1 310.379 1.268 20 0 DDADMM CC(C)[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CCS1 ZINC000337914074 519826193 /nfs/dbraw/zinc/82/61/93/519826193.db2.gz RYPPRTOYKFXIEU-GFCCVEGCSA-N -1 1 323.418 1.117 20 0 DDADMM Cc1cnc(C(=O)NCCNC(=O)NC2CCCCC2)c([O-])c1 ZINC000427740119 534527920 /nfs/dbraw/zinc/52/79/20/534527920.db2.gz IWHCYHNSAQFMQP-UHFFFAOYSA-N -1 1 320.393 1.457 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CC23CC3)o1 ZINC000424965913 526334018 /nfs/dbraw/zinc/33/40/18/526334018.db2.gz CDAHXGAVYXHZLJ-JTQLQIEISA-N -1 1 312.391 1.639 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCCN(C(=O)C2CC2)CC1 ZINC000158305314 526623253 /nfs/dbraw/zinc/62/32/53/526623253.db2.gz RLQWPKGFJASTKZ-UHFFFAOYSA-N -1 1 306.337 1.616 20 0 DDADMM CC(C)(NC(=O)C=Cc1ccc2ccccc2n1)c1nn[n-]n1 ZINC000492083979 527264244 /nfs/dbraw/zinc/26/42/44/527264244.db2.gz MCUPNMPUCRAADN-KTKRTIGZSA-N -1 1 308.345 1.813 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1CCO[C@@H](C3CC3)C1)c2=O ZINC000156989706 527582712 /nfs/dbraw/zinc/58/27/12/527582712.db2.gz HUUYLFCANUQDML-GXFFZTMASA-N -1 1 316.361 1.361 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)c2cccc(F)c2F)[C@@H]1OC ZINC000332497801 527947667 /nfs/dbraw/zinc/94/76/67/527947667.db2.gz YPQTYADMZJFWBE-CWSCBRNRSA-N -1 1 321.345 1.436 20 0 DDADMM CCC[C@H](C)C(=O)NCCN=c1nc([C@H](C)OC)[n-]s1 ZINC000431480632 527998331 /nfs/dbraw/zinc/99/83/31/527998331.db2.gz CEJKCXLFDCHVGT-UWVGGRQHSA-N -1 1 300.428 1.632 20 0 DDADMM CCC(C)(C)OCCNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000457346434 528590106 /nfs/dbraw/zinc/59/01/06/528590106.db2.gz RDAPSICFAGFZOV-UHFFFAOYSA-N -1 1 318.395 1.123 20 0 DDADMM CCCc1occc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000294555349 528802213 /nfs/dbraw/zinc/80/22/13/528802213.db2.gz WVAIMGJPEWLSLI-JTQLQIEISA-N -1 1 304.350 1.663 20 0 DDADMM CC(C)[C@H](C)CNC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000494547002 528946170 /nfs/dbraw/zinc/94/61/70/528946170.db2.gz UPXGVOLRYBXDBN-SNVBAGLBSA-N -1 1 312.443 1.074 20 0 DDADMM CCOC(=O)N1CCC([C@H](C)NC(=O)c2cncc([O-])c2)CC1 ZINC000495496813 528962179 /nfs/dbraw/zinc/96/21/79/528962179.db2.gz GXPVGNYNHNTKRO-NSHDSACASA-N -1 1 321.377 1.774 20 0 DDADMM Cc1n[nH]cc1CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000737463498 598961996 /nfs/dbraw/zinc/96/19/96/598961996.db2.gz VSBHTJKTPCSTTG-UHFFFAOYSA-N -1 1 307.321 1.454 20 0 DDADMM Cc1n[nH]cc1CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000737463498 598961998 /nfs/dbraw/zinc/96/19/98/598961998.db2.gz VSBHTJKTPCSTTG-UHFFFAOYSA-N -1 1 307.321 1.454 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NCCOCC1CC1 ZINC000738437283 598968382 /nfs/dbraw/zinc/96/83/82/598968382.db2.gz NRCFWRXFZJYUGT-UHFFFAOYSA-N -1 1 311.349 1.648 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NCCOCC1CC1 ZINC000738437283 598968384 /nfs/dbraw/zinc/96/83/84/598968384.db2.gz NRCFWRXFZJYUGT-UHFFFAOYSA-N -1 1 311.349 1.648 20 0 DDADMM O=C(Nc1ccc2c(c1)CCO2)c1ccc(-c2nnn[n-]2)nc1 ZINC000738175981 598988609 /nfs/dbraw/zinc/98/86/09/598988609.db2.gz SFTHUJUSFOPABG-UHFFFAOYSA-N -1 1 308.301 1.449 20 0 DDADMM O=C(Nc1ccc2c(c1)CCO2)c1ccc(-c2nn[n-]n2)nc1 ZINC000738175981 598988614 /nfs/dbraw/zinc/98/86/14/598988614.db2.gz SFTHUJUSFOPABG-UHFFFAOYSA-N -1 1 308.301 1.449 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC[C@@H]1c1ccccc1 ZINC000738259522 599023310 /nfs/dbraw/zinc/02/33/10/599023310.db2.gz QVPWSYGRNZFHCU-CQSZACIVSA-N -1 1 306.329 1.849 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC[C@@H]1c1ccccc1 ZINC000738259522 599023313 /nfs/dbraw/zinc/02/33/13/599023313.db2.gz QVPWSYGRNZFHCU-CQSZACIVSA-N -1 1 306.329 1.849 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N(Cc1ccncc1)C1CC1 ZINC000738258179 599024472 /nfs/dbraw/zinc/02/44/72/599024472.db2.gz HFGIHAFUYQETGY-UHFFFAOYSA-N -1 1 321.344 1.462 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N(Cc1ccncc1)C1CC1 ZINC000738258179 599024474 /nfs/dbraw/zinc/02/44/74/599024474.db2.gz HFGIHAFUYQETGY-UHFFFAOYSA-N -1 1 321.344 1.462 20 0 DDADMM CC[C@](C)(CC(=O)[O-])NC(=O)CCSc1nnc(C)[nH]1 ZINC000828623089 601460590 /nfs/dbraw/zinc/46/05/90/601460590.db2.gz FGNNWMOUIKLQEO-GFCCVEGCSA-N -1 1 300.384 1.355 20 0 DDADMM O=C(OCCOc1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738221307 599144716 /nfs/dbraw/zinc/14/47/16/599144716.db2.gz GPIAABXUOBIDLQ-UHFFFAOYSA-N -1 1 311.301 1.498 20 0 DDADMM O=C(OCCOc1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738221307 599144719 /nfs/dbraw/zinc/14/47/19/599144719.db2.gz GPIAABXUOBIDLQ-UHFFFAOYSA-N -1 1 311.301 1.498 20 0 DDADMM O=C([O-])C[C@H]1CCCN(CN2C[C@H](c3ccccn3)CC2=O)C1 ZINC000819379859 597048565 /nfs/dbraw/zinc/04/85/65/597048565.db2.gz DBMKGNNDOVCMPJ-ZIAGYGMSSA-N -1 1 317.389 1.542 20 0 DDADMM CC(C)C(NC(=O)Nc1nn(C)cc1-c1nnn[n-]1)C(C)C ZINC000820028277 607272601 /nfs/dbraw/zinc/27/26/01/607272601.db2.gz XXYQWFJBIICSDH-UHFFFAOYSA-N -1 1 306.374 1.402 20 0 DDADMM CC(C)C(NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)C(C)C ZINC000820028277 607272602 /nfs/dbraw/zinc/27/26/02/607272602.db2.gz XXYQWFJBIICSDH-UHFFFAOYSA-N -1 1 306.374 1.402 20 0 DDADMM C[C@@H](CNC(=O)NCCC(=O)[O-])N1CCc2ccccc2C1 ZINC000818008312 597212191 /nfs/dbraw/zinc/21/21/91/597212191.db2.gz DHVHZPBSJMGJEN-LBPRGKRZSA-N -1 1 305.378 1.207 20 0 DDADMM O=C([O-])Cc1ccccc1NC(=O)N1CCN(CC2CC2)CC1 ZINC000320126294 597340941 /nfs/dbraw/zinc/34/09/41/597340941.db2.gz JOMSQROZKDDJEP-UHFFFAOYSA-N -1 1 317.389 1.873 20 0 DDADMM CC(C)OC(=O)[C@H](C)N(C)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820142748 607304317 /nfs/dbraw/zinc/30/43/17/607304317.db2.gz UPABTBFWDHMQMM-QMMMGPOBSA-N -1 1 324.772 1.691 20 0 DDADMM CC(C)OC(=O)[C@H](C)N(C)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820142748 607304318 /nfs/dbraw/zinc/30/43/18/607304318.db2.gz UPABTBFWDHMQMM-QMMMGPOBSA-N -1 1 324.772 1.691 20 0 DDADMM O=C([O-])C1CCN(CN2C[C@H](c3cccnc3)CC2=O)CC1 ZINC000821511631 598059118 /nfs/dbraw/zinc/05/91/18/598059118.db2.gz MSYDACYEQDJDPF-CQSZACIVSA-N -1 1 303.362 1.152 20 0 DDADMM CC[C@H]1CCCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736401284 598263549 /nfs/dbraw/zinc/26/35/49/598263549.db2.gz HSCQCLCPFSIKAD-JTQLQIEISA-N -1 1 322.394 1.072 20 0 DDADMM CC[C@H]1CCCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736401284 598263551 /nfs/dbraw/zinc/26/35/51/598263551.db2.gz HSCQCLCPFSIKAD-JTQLQIEISA-N -1 1 322.394 1.072 20 0 DDADMM CN(Cc1ccc(Cl)nc1)c1nccnc1-c1nn[n-]n1 ZINC000736817270 598341360 /nfs/dbraw/zinc/34/13/60/598341360.db2.gz VWBIGWYXQZVFQM-UHFFFAOYSA-N -1 1 302.729 1.342 20 0 DDADMM CN(Cc1ccc(Cl)nc1)c1nccnc1-c1nnn[n-]1 ZINC000736817270 598341358 /nfs/dbraw/zinc/34/13/58/598341358.db2.gz VWBIGWYXQZVFQM-UHFFFAOYSA-N -1 1 302.729 1.342 20 0 DDADMM O[C@@H](CNc1nccnc1-c1nnn[n-]1)c1ccc(Cl)cc1 ZINC000738389420 598341464 /nfs/dbraw/zinc/34/14/64/598341464.db2.gz WYBIAGSUJOGRCJ-JTQLQIEISA-N -1 1 317.740 1.456 20 0 DDADMM O[C@@H](CNc1nccnc1-c1nn[n-]n1)c1ccc(Cl)cc1 ZINC000738389420 598341466 /nfs/dbraw/zinc/34/14/66/598341466.db2.gz WYBIAGSUJOGRCJ-JTQLQIEISA-N -1 1 317.740 1.456 20 0 DDADMM COc1cccc(CSc2nccnc2-c2nn[n-]n2)c1 ZINC000737133080 598349974 /nfs/dbraw/zinc/34/99/74/598349974.db2.gz WXNUGLMWJKUXOP-UHFFFAOYSA-N -1 1 300.347 1.958 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(NCc2ccc(OCC3CC3)nc2)n1 ZINC000738446144 598352427 /nfs/dbraw/zinc/35/24/27/598352427.db2.gz SYAABIPKCFKTGZ-UHFFFAOYSA-N -1 1 324.348 1.453 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(NCc2ccc(OCC3CC3)nc2)n1 ZINC000738446144 598352430 /nfs/dbraw/zinc/35/24/30/598352430.db2.gz SYAABIPKCFKTGZ-UHFFFAOYSA-N -1 1 324.348 1.453 20 0 DDADMM COc1cc(C(=O)N=c2cc[nH]cc2-c2nn[n-]n2)ccc1C ZINC000737043940 598381295 /nfs/dbraw/zinc/38/12/95/598381295.db2.gz YDTATXUUOZVRPG-UHFFFAOYSA-N -1 1 310.317 1.253 20 0 DDADMM CC1(C)CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736210302 598397290 /nfs/dbraw/zinc/39/72/90/598397290.db2.gz CYDDRCFCNOIDRE-UHFFFAOYSA-N -1 1 322.394 1.072 20 0 DDADMM CC1(C)CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736210302 598397292 /nfs/dbraw/zinc/39/72/92/598397292.db2.gz CYDDRCFCNOIDRE-UHFFFAOYSA-N -1 1 322.394 1.072 20 0 DDADMM Cc1oc(NC(=O)CN2CCSCC2)c(-c2nn[n-]n2)c1C ZINC000737551488 598759386 /nfs/dbraw/zinc/75/93/86/598759386.db2.gz HSPZVQGPGHLZSK-UHFFFAOYSA-N -1 1 322.394 1.064 20 0 DDADMM CSCC[C@@H](C)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737176610 599382653 /nfs/dbraw/zinc/38/26/53/599382653.db2.gz OLSJFNJHOPXWJM-SECBINFHSA-N -1 1 306.395 1.475 20 0 DDADMM CSCC[C@@H](C)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737176610 599382655 /nfs/dbraw/zinc/38/26/55/599382655.db2.gz OLSJFNJHOPXWJM-SECBINFHSA-N -1 1 306.395 1.475 20 0 DDADMM Oc1ccccc1N1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000738401968 599393501 /nfs/dbraw/zinc/39/35/01/599393501.db2.gz YPPYUYFOXXVNCN-UHFFFAOYSA-N -1 1 323.360 1.294 20 0 DDADMM Oc1ccccc1N1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000738401968 599393504 /nfs/dbraw/zinc/39/35/04/599393504.db2.gz YPPYUYFOXXVNCN-UHFFFAOYSA-N -1 1 323.360 1.294 20 0 DDADMM C[C@@H](Nc1cccc(-c2nnn[n-]2)n1)C(=O)N1CCCC[C@H]1C ZINC000736139219 599423837 /nfs/dbraw/zinc/42/38/37/599423837.db2.gz ULRNETYXFFJSBD-GHMZBOCLSA-N -1 1 315.381 1.463 20 0 DDADMM C[C@@H](Nc1cccc(-c2nn[n-]n2)n1)C(=O)N1CCCC[C@H]1C ZINC000736139219 599423838 /nfs/dbraw/zinc/42/38/38/599423838.db2.gz ULRNETYXFFJSBD-GHMZBOCLSA-N -1 1 315.381 1.463 20 0 DDADMM Cc1cccc2nc(CNc3cccc(-c4nnn[n-]4)n3)cn21 ZINC000737417245 599434771 /nfs/dbraw/zinc/43/47/71/599434771.db2.gz IEEKPQQGTBEOKX-UHFFFAOYSA-N -1 1 306.333 1.830 20 0 DDADMM Cc1cccc2nc(CNc3cccc(-c4nn[n-]n4)n3)cn21 ZINC000737417245 599434775 /nfs/dbraw/zinc/43/47/75/599434775.db2.gz IEEKPQQGTBEOKX-UHFFFAOYSA-N -1 1 306.333 1.830 20 0 DDADMM c1ccc2c(c1)CCO[C@H]2CNc1cccc(-c2nnn[n-]2)n1 ZINC000738412146 599437483 /nfs/dbraw/zinc/43/74/83/599437483.db2.gz AYBDQXSOUKWMAO-AWEZNQCLSA-N -1 1 308.345 1.988 20 0 DDADMM c1ccc2c(c1)CCO[C@H]2CNc1cccc(-c2nn[n-]n2)n1 ZINC000738412146 599437486 /nfs/dbraw/zinc/43/74/86/599437486.db2.gz AYBDQXSOUKWMAO-AWEZNQCLSA-N -1 1 308.345 1.988 20 0 DDADMM O[C@@H]1CCC[C@@H](CNc2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000823696727 599575109 /nfs/dbraw/zinc/57/51/09/599575109.db2.gz GUGNEKLCPUDUIK-RNFRBKRXSA-N -1 1 314.802 1.940 20 0 DDADMM O[C@@H]1CCC[C@@H](CNc2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000823696727 599575112 /nfs/dbraw/zinc/57/51/12/599575112.db2.gz GUGNEKLCPUDUIK-RNFRBKRXSA-N -1 1 314.802 1.940 20 0 DDADMM CCc1[nH]c(C(=O)N[C@H]2CCN(CCOC)C2)c(C)c1C(=O)[O-] ZINC000737241214 599761655 /nfs/dbraw/zinc/76/16/55/599761655.db2.gz MBTHSJINWVEJJL-NSHDSACASA-N -1 1 323.393 1.034 20 0 DDADMM CC(C)C(=O)NC[C@@H]1CCCN(Cc2cc(C(=O)[O-])co2)C1 ZINC000736346769 599851390 /nfs/dbraw/zinc/85/13/90/599851390.db2.gz JYEIXPIYKIXWRE-LBPRGKRZSA-N -1 1 308.378 1.962 20 0 DDADMM COC(=O)C[C@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821658691 607404897 /nfs/dbraw/zinc/40/48/97/607404897.db2.gz FNWQNTFDSQNNMT-MRVPVSSYSA-N -1 1 321.362 1.096 20 0 DDADMM COC(=O)C[C@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821658691 607404898 /nfs/dbraw/zinc/40/48/98/607404898.db2.gz FNWQNTFDSQNNMT-MRVPVSSYSA-N -1 1 321.362 1.096 20 0 DDADMM CC(C)(NCC(=O)N1CCO[C@H](c2ccc(F)cc2)C1)C(=O)[O-] ZINC000736338826 599926221 /nfs/dbraw/zinc/92/62/21/599926221.db2.gz FZDSFNVTMPLDQB-ZDUSSCGKSA-N -1 1 324.352 1.178 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]1C(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000739555367 600078937 /nfs/dbraw/zinc/07/89/37/600078937.db2.gz YRPFCPZEAQHHDU-UONOGXRCSA-N -1 1 320.414 1.895 20 0 DDADMM C[C@H](O)[C@H]1CCCCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000736678010 600090621 /nfs/dbraw/zinc/09/06/21/600090621.db2.gz SGQAXULJHVRTJS-SMDDNHRTSA-N -1 1 306.362 1.559 20 0 DDADMM C[C@@H](c1nc(C2CC2)no1)N1CCN(CCCC(=O)[O-])CC1 ZINC000736728819 600229733 /nfs/dbraw/zinc/22/97/33/600229733.db2.gz RKVYXLRFAKYICA-NSHDSACASA-N -1 1 308.382 1.490 20 0 DDADMM Cc1ccc(CNC(=O)CN2CCC3(C[C@@H]3C(=O)[O-])CC2)cc1 ZINC000405363069 600288876 /nfs/dbraw/zinc/28/88/76/600288876.db2.gz QXLYAZGDNOMRFI-OAHLLOKOSA-N -1 1 316.401 1.798 20 0 DDADMM COc1ccc([C@@H](CC(=O)[O-])NC(=O)[C@@H]2CCCN2C)cc1 ZINC000737953335 600376571 /nfs/dbraw/zinc/37/65/71/600376571.db2.gz WHJZSRLSSFDAGH-KGLIPLIRSA-N -1 1 306.362 1.421 20 0 DDADMM O=C([O-])CCN(CC(=O)N1CCCCCC1)C[C@@H]1CCCO1 ZINC000739718767 600511725 /nfs/dbraw/zinc/51/17/25/600511725.db2.gz MEIHHLVZKFKKFP-AWEZNQCLSA-N -1 1 312.410 1.345 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@H]1C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000826316413 607460260 /nfs/dbraw/zinc/46/02/60/607460260.db2.gz NLFADKAXEQXKBA-HTQZYQBOSA-N -1 1 315.362 1.459 20 0 DDADMM Cc1ccc(C[C@H]2CCCN2Cn2cc(C(=O)[O-])nn2)cc1 ZINC000738588381 600637085 /nfs/dbraw/zinc/63/70/85/600637085.db2.gz DQNYBGNELQIFIO-CQSZACIVSA-N -1 1 300.362 1.949 20 0 DDADMM CC(C)C[C@]1(C)CC(=O)N(CN(CCC(=O)[O-])C(C)C)C1=O ZINC000736424833 600702415 /nfs/dbraw/zinc/70/24/15/600702415.db2.gz GXVVIWABABEFMG-MRXNPFEDSA-N -1 1 312.410 1.940 20 0 DDADMM O=C([O-])c1cccc(NC(=O)CN2CCN3CCCC[C@@H]3C2)c1 ZINC000833107515 600827245 /nfs/dbraw/zinc/82/72/45/600827245.db2.gz DWWWMPBWWPBJEW-OAHLLOKOSA-N -1 1 317.389 1.493 20 0 DDADMM Cc1nnc(SCCC(=O)NCc2ccc(C(=O)[O-])o2)[nH]1 ZINC000833020971 600954475 /nfs/dbraw/zinc/95/44/75/600954475.db2.gz FPAQXCDECBJHKU-UHFFFAOYSA-N -1 1 310.335 1.203 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H](C)Cc2ccccc2)CC1 ZINC000827592675 601087220 /nfs/dbraw/zinc/08/72/20/601087220.db2.gz QUBVBPUHEBNWEM-LSDHHAIUSA-N -1 1 318.417 1.873 20 0 DDADMM CC(C)n1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)n1 ZINC000827379913 601103387 /nfs/dbraw/zinc/10/33/87/601103387.db2.gz LZBYZJQSJWJNPF-GFCCVEGCSA-N -1 1 308.382 1.085 20 0 DDADMM C[C@H](CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1cccnc1 ZINC000827226768 601105438 /nfs/dbraw/zinc/10/54/38/601105438.db2.gz PXRLTBCULZUDKM-KGLIPLIRSA-N -1 1 319.405 1.583 20 0 DDADMM CCc1nc([C@H]2CN(Cc3ccc(C(=O)[O-])o3)CCO2)n[nH]1 ZINC000737275358 601105856 /nfs/dbraw/zinc/10/58/56/601105856.db2.gz SNPABTLJGCWCAG-LLVKDONJSA-N -1 1 306.322 1.232 20 0 DDADMM CCCC[C@H](C(=O)[O-])N1CCO[C@@H](c2nc(CCC)n[nH]2)C1 ZINC000736978205 601106466 /nfs/dbraw/zinc/10/64/66/601106466.db2.gz FLYFSZHPVIQWLX-VXGBXAGGSA-N -1 1 310.398 1.774 20 0 DDADMM CCCC[C@H](C(=O)[O-])N1CCO[C@@H](c2nnc(CCC)[nH]2)C1 ZINC000736978205 601106468 /nfs/dbraw/zinc/10/64/68/601106468.db2.gz FLYFSZHPVIQWLX-VXGBXAGGSA-N -1 1 310.398 1.774 20 0 DDADMM CN(Cc1ccc(NC(=O)CCc2nc[nH]n2)cc1)C(=O)[O-] ZINC000830831134 601136816 /nfs/dbraw/zinc/13/68/16/601136816.db2.gz RTENIDQICOPVAN-UHFFFAOYSA-N -1 1 303.322 1.486 20 0 DDADMM COC[C@@](C)(CCO)NCC(=O)Nc1cc(C(=O)[O-])ccc1C ZINC000831591066 601201719 /nfs/dbraw/zinc/20/17/19/601201719.db2.gz PNPQCKREMWANOY-MRXNPFEDSA-N -1 1 324.377 1.009 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H](C(=O)N2CCN(C[C@H]3CCOC3)CC2)C1 ZINC000833187611 601331297 /nfs/dbraw/zinc/33/12/97/601331297.db2.gz YQQAYPVOUMGWCP-QLFBSQMISA-N -1 1 324.421 1.058 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=S)Nc2ccccc2)CC1 ZINC000827386756 601337773 /nfs/dbraw/zinc/33/77/73/601337773.db2.gz XJBKIEKKPSLEST-GFCCVEGCSA-N -1 1 307.419 1.864 20 0 DDADMM CCOC[C@H]1CCN(CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC000829989934 601379694 /nfs/dbraw/zinc/37/96/94/601379694.db2.gz NUOAEGMTDYHRBL-LBPRGKRZSA-N -1 1 306.362 1.682 20 0 DDADMM COc1ccc(C)cc1NC(=O)[C@H]1CCCN1CCC(=O)[O-] ZINC000262747940 601380764 /nfs/dbraw/zinc/38/07/64/601380764.db2.gz JPJHPHHMQKCHIY-CYBMUJFWSA-N -1 1 306.362 1.881 20 0 DDADMM OC[C@@H](CC(F)(F)F)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000826506862 607935340 /nfs/dbraw/zinc/93/53/40/607935340.db2.gz DAIWFTCCPXMTJR-RXMQYKEDSA-N -1 1 322.678 1.640 20 0 DDADMM OC[C@@H](CC(F)(F)F)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000826506862 607935341 /nfs/dbraw/zinc/93/53/41/607935341.db2.gz DAIWFTCCPXMTJR-RXMQYKEDSA-N -1 1 322.678 1.640 20 0 DDADMM O=C([O-])C[C@@H]1CN(CCC(=O)NCC2CCCCC2)CCO1 ZINC000833219619 601454983 /nfs/dbraw/zinc/45/49/83/601454983.db2.gz AIFWUHBDGVDHHQ-CQSZACIVSA-N -1 1 312.410 1.249 20 0 DDADMM c1ccc([C@@H]2COCCN2Cc2ccnc(-c3nn[n-]n3)c2)cc1 ZINC000826520287 607510123 /nfs/dbraw/zinc/51/01/23/607510123.db2.gz FGSCICUTDHGJEJ-INIZCTEOSA-N -1 1 322.372 1.835 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2cc(C(C)(C)C)nn2C)C[C@H]1C(=O)[O-] ZINC000828442216 601514053 /nfs/dbraw/zinc/51/40/53/601514053.db2.gz YQKCNQUZIZFACI-GHMZBOCLSA-N -1 1 322.409 1.309 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(F)c(F)c1)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828424959 601528415 /nfs/dbraw/zinc/52/84/15/601528415.db2.gz VLVARUBGHJQJHV-YWVKMMECSA-N -1 1 312.316 1.944 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C(=O)c2cc(-c3cccs3)[nH]n2)C1 ZINC000262202899 601655928 /nfs/dbraw/zinc/65/59/28/601655928.db2.gz RCHSISUKTVWROV-ZDUSSCGKSA-N -1 1 309.322 1.777 20 0 DDADMM C[C@@H](C(=O)N1C[C@H](C(=O)[O-])[C@H](C)C1)N(C)Cc1ccccc1 ZINC000828317357 601693709 /nfs/dbraw/zinc/69/37/09/601693709.db2.gz DDIIUZRLLNNBNR-IPYPFGDCSA-N -1 1 304.390 1.686 20 0 DDADMM CN(C[C@@H]1CCCN(CC(=O)NCc2ccccc2)C1)C(=O)[O-] ZINC000739637677 601855036 /nfs/dbraw/zinc/85/50/36/601855036.db2.gz QOUWHFPHVRHFHW-HNNXBMFYSA-N -1 1 319.405 1.625 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)Nc1cccc(CN2CCN(C)CC2)c1 ZINC000827318527 602121985 /nfs/dbraw/zinc/12/19/85/602121985.db2.gz XOMAFOICSRPWGH-ZDUSSCGKSA-N -1 1 319.405 1.483 20 0 DDADMM CN(C)C(=O)c1cccc(NCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000825848946 607547313 /nfs/dbraw/zinc/54/73/13/607547313.db2.gz IFYSDRQGCPDFQJ-UHFFFAOYSA-N -1 1 323.360 1.576 20 0 DDADMM CN(C)C(=O)c1cccc(NCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000825848946 607547314 /nfs/dbraw/zinc/54/73/14/607547314.db2.gz IFYSDRQGCPDFQJ-UHFFFAOYSA-N -1 1 323.360 1.576 20 0 DDADMM COc1ccccc1CN(C)C(=O)CN[C@H](C(=O)[O-])C(C)(C)C ZINC000832614071 602228970 /nfs/dbraw/zinc/22/89/70/602228970.db2.gz XDXCBOSNCRXGOW-OAHLLOKOSA-N -1 1 322.405 1.743 20 0 DDADMM O=C([O-])N1CCC(CCN2CCN(C(=O)C3CC3)CC2)CC1 ZINC000740497539 602282686 /nfs/dbraw/zinc/28/26/86/602282686.db2.gz RECFZNDQCRSEOS-UHFFFAOYSA-N -1 1 309.410 1.321 20 0 DDADMM Cc1csc(=O)n1CCN1CCC(CN(C)C(=O)[O-])CC1 ZINC000740202918 602381582 /nfs/dbraw/zinc/38/15/82/602381582.db2.gz AQZIWRKZOMTLSN-UHFFFAOYSA-N -1 1 313.423 1.540 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)NC[C@H](c1ccsc1)N(C)C ZINC000736369076 602382787 /nfs/dbraw/zinc/38/27/87/602382787.db2.gz PTDHYNRUBYMGLE-NEPJUHHUSA-N -1 1 313.423 1.759 20 0 DDADMM CN(C[C@@H]1CCCN(CC(=O)NCCC(C)(C)C)C1)C(=O)[O-] ZINC000739636548 602399133 /nfs/dbraw/zinc/39/91/33/602399133.db2.gz LALATXLLPHOLJB-ZDUSSCGKSA-N -1 1 313.442 1.861 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(CC(=O)NCc2ccccc2)CC1 ZINC000739022788 602451975 /nfs/dbraw/zinc/45/19/75/602451975.db2.gz FCJRSGCTGLSNPI-ZDUSSCGKSA-N -1 1 319.405 1.671 20 0 DDADMM Cc1nnc(NC(=O)CC[C@@H](NC(=O)[O-])c2ccccc2)[nH]1 ZINC000740219131 602475207 /nfs/dbraw/zinc/47/52/07/602475207.db2.gz CWDXNHRECGJRKP-LLVKDONJSA-N -1 1 303.322 1.841 20 0 DDADMM Cc1ccc(CNC(=O)CN2CC[C@H](CN(C)C(=O)[O-])C2)cc1 ZINC000740127375 602539319 /nfs/dbraw/zinc/53/93/19/602539319.db2.gz XSHGPXURUNEFSM-OAHLLOKOSA-N -1 1 319.405 1.543 20 0 DDADMM C[C@@H](C1CC1)N(C(=O)CN1CC[C@H](CN(C)C(=O)[O-])C1)C1CC1 ZINC000738891943 602542929 /nfs/dbraw/zinc/54/29/29/602542929.db2.gz SURYSEWTRIKUNN-QWHCGFSZSA-N -1 1 323.437 1.708 20 0 DDADMM Cc1cccc(CNC(=O)CN2CCC[C@H](CNC(=O)[O-])C2)c1 ZINC000740169429 602551052 /nfs/dbraw/zinc/55/10/52/602551052.db2.gz IIBYEOPBBQNEJD-OAHLLOKOSA-N -1 1 319.405 1.591 20 0 DDADMM CCCN(CC(=O)Nc1cc(C)nn1C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739353665 602578705 /nfs/dbraw/zinc/57/87/05/602578705.db2.gz MBORBHBHLISKGM-GFCCVEGCSA-N -1 1 323.397 1.131 20 0 DDADMM CC(C)[C@H](C)NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000824192995 607575017 /nfs/dbraw/zinc/57/50/17/607575017.db2.gz PZYBJCBYZCJJKW-QMMMGPOBSA-N -1 1 313.358 1.329 20 0 DDADMM CC(C)[C@H](C)NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000824192995 607575019 /nfs/dbraw/zinc/57/50/19/607575019.db2.gz PZYBJCBYZCJJKW-QMMMGPOBSA-N -1 1 313.358 1.329 20 0 DDADMM CCN(CCCNC(=O)N(C)[C@@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC000739417761 602617838 /nfs/dbraw/zinc/61/78/38/602617838.db2.gz UFTQHUKREIWYBK-CYBMUJFWSA-N -1 1 312.414 1.255 20 0 DDADMM CNc1cc2c(nn1)CCN(CC1CCN(C(=O)[O-])CC1)C2 ZINC000739756401 602677149 /nfs/dbraw/zinc/67/71/49/602677149.db2.gz WGLABUNWKZQCJR-UHFFFAOYSA-N -1 1 305.382 1.266 20 0 DDADMM N=C1Nc2nc3ccccc3n2[C@@]2(CCCN(C(=O)[O-])C2)N1 ZINC000740343897 602684736 /nfs/dbraw/zinc/68/47/36/602684736.db2.gz ZVXCRVJGXPWERY-CQSZACIVSA-N -1 1 300.322 1.413 20 0 DDADMM CCc1cc(CNC(=O)c2ccc(CNC(=O)[O-])cc2)n[nH]1 ZINC000739539545 602717492 /nfs/dbraw/zinc/71/74/92/602717492.db2.gz NYWVOUXEFUQKKU-UHFFFAOYSA-N -1 1 302.334 1.670 20 0 DDADMM O=C([O-])NC1CCN([C@H]2CCCN(c3ccccc3)C2=O)CC1 ZINC000740592893 602782832 /nfs/dbraw/zinc/78/28/32/602782832.db2.gz BVUIQPWRHKWNDZ-HNNXBMFYSA-N -1 1 317.389 1.914 20 0 DDADMM CSCC[C@@H](NC(=O)[O-])C(=O)N1CCN(C2CCC2)CC1 ZINC000740015133 602853918 /nfs/dbraw/zinc/85/39/18/602853918.db2.gz DVJVIGQKORQHEZ-GFCCVEGCSA-N -1 1 315.439 1.072 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N2CC[N@@H+]3CCC[C@@H]3C2)c([O-])c1 ZINC000740697648 602855411 /nfs/dbraw/zinc/85/54/11/602855411.db2.gz GSLYUWISWDVUSN-LLVKDONJSA-N -1 1 305.334 1.402 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N2CC[N@H+]3CCC[C@@H]3C2)c([O-])c1 ZINC000740697648 602855413 /nfs/dbraw/zinc/85/54/13/602855413.db2.gz GSLYUWISWDVUSN-LLVKDONJSA-N -1 1 305.334 1.402 20 0 DDADMM Cc1cccc(OC[C@H](O)CN2C[C@@H](C)N(C(=O)[O-])[C@@H](C)C2)c1 ZINC000740172625 602874803 /nfs/dbraw/zinc/87/48/03/602874803.db2.gz RCBPQKIYTMHYDQ-QLFBSQMISA-N -1 1 322.405 1.807 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)NC[C@@H]1CCCN1C(=O)[O-] ZINC000738801624 602913448 /nfs/dbraw/zinc/91/34/48/602913448.db2.gz SNMMQOOYAAUNMK-QWHCGFSZSA-N -1 1 312.414 1.110 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)N[C@H]1CCCN(C(=O)[O-])C1 ZINC000736397536 602914638 /nfs/dbraw/zinc/91/46/38/602914638.db2.gz YZIICQMYDUEKNC-QWHCGFSZSA-N -1 1 312.414 1.110 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@@H]1NC(=O)c1n[nH]c2ccccc21 ZINC000740624358 602982442 /nfs/dbraw/zinc/98/24/42/602982442.db2.gz HSHLXHAPCWPTNK-ONGXEEELSA-N -1 1 302.334 1.729 20 0 DDADMM O=C([O-])NC[C@@H]1CCCC[C@H]1NC(=O)c1[nH]nc2c1CCCC2 ZINC000740629949 602989399 /nfs/dbraw/zinc/98/93/99/602989399.db2.gz KSAGZRQEVLHHCE-CMPLNLGQSA-N -1 1 320.393 1.845 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)NCCCN(C)C(=O)[O-] ZINC000739199048 602995897 /nfs/dbraw/zinc/99/58/97/602995897.db2.gz NXWDMLOZSJQAOJ-QWHCGFSZSA-N -1 1 312.414 1.255 20 0 DDADMM CC(C)[C@@](C)(CNC(=O)[O-])NC(=O)c1[nH]nc2c1CCCC2 ZINC000738769262 603125753 /nfs/dbraw/zinc/12/57/53/603125753.db2.gz DYSFSVZUAADDOF-OAHLLOKOSA-N -1 1 308.382 1.701 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)c1cccc2n[nH]cc21)C(=O)[O-] ZINC000828218902 603168154 /nfs/dbraw/zinc/16/81/54/603168154.db2.gz XZNGWZNGIQSIJF-JTQLQIEISA-N -1 1 302.334 1.777 20 0 DDADMM CCCCN1C(=O)N=NC1SC[C@@H]1CCN(C(=O)[O-])C1 ZINC000739349447 603181182 /nfs/dbraw/zinc/18/11/82/603181182.db2.gz HUBFNGSENTUJRQ-SECBINFHSA-N -1 1 300.384 1.876 20 0 DDADMM C[N@H+](CCCNC(=O)[O-])Cc1cc(=O)oc2cc([O-])ccc12 ZINC000739681974 603256462 /nfs/dbraw/zinc/25/64/62/603256462.db2.gz KQGNOYQLBSSBAA-UHFFFAOYSA-N -1 1 306.318 1.588 20 0 DDADMM C[N@@H+](CCCNC(=O)[O-])Cc1cc(=O)oc2cc([O-])ccc12 ZINC000739681974 603256464 /nfs/dbraw/zinc/25/64/64/603256464.db2.gz KQGNOYQLBSSBAA-UHFFFAOYSA-N -1 1 306.318 1.588 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H](C(=O)N2CCN(C3CCC3)CC2)C1 ZINC000740568676 603267142 /nfs/dbraw/zinc/26/71/42/603267142.db2.gz ZCXWGTUHCFZBGV-CHWSQXEVSA-N -1 1 309.410 1.510 20 0 DDADMM Cc1n[nH]c(C(=O)NCc2ccc(CCNC(=O)[O-])cc2)c1C ZINC000740030764 603429732 /nfs/dbraw/zinc/42/97/32/603429732.db2.gz JRCPWWSIQMOHPE-UHFFFAOYSA-N -1 1 316.361 1.767 20 0 DDADMM Cc1ccc2nc(CNC(=O)N(C)[C@@H](C)CNC(=O)[O-])[nH]c2c1 ZINC000830433037 603468055 /nfs/dbraw/zinc/46/80/55/603468055.db2.gz PFPKMJDWNPGSMV-JTQLQIEISA-N -1 1 319.365 1.669 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N[C@H](CNC(=O)[O-])C1CC1 ZINC000828046867 603541811 /nfs/dbraw/zinc/54/18/11/603541811.db2.gz UGZVMBQQANMQKX-LLVKDONJSA-N -1 1 311.407 1.586 20 0 DDADMM CCCN(CC(=O)Nc1ccc(O)cc1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000826902400 603542191 /nfs/dbraw/zinc/54/21/91/603542191.db2.gz BKGKAODYLCFFRE-CYBMUJFWSA-N -1 1 321.377 1.795 20 0 DDADMM C[C@@H]1C[C@@H](C)CN(C(=O)CN(C)CC(C)(C)CNC(=O)[O-])C1 ZINC000825783380 603545216 /nfs/dbraw/zinc/54/52/16/603545216.db2.gz HBEUCPCXNMZXDU-CHWSQXEVSA-N -1 1 313.442 1.717 20 0 DDADMM CCCN(C[C@@H](C)CS(C)(=O)=O)[C@@H]1CCN(C(=O)[O-])C1 ZINC000826904589 603905917 /nfs/dbraw/zinc/90/59/17/603905917.db2.gz XBUATYORZUWYFN-VXGBXAGGSA-N -1 1 306.428 1.131 20 0 DDADMM O=C([O-])N1CCCC[C@H]1CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000831635233 603942396 /nfs/dbraw/zinc/94/23/96/603942396.db2.gz QGGNQWSIHRHBIR-WDEREUQCSA-N -1 1 306.366 1.478 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)[C@H]1CCCc2[nH]ncc21)C(=O)[O-] ZINC000828186691 603953113 /nfs/dbraw/zinc/95/31/13/603953113.db2.gz RLCUYNMHBGFVTO-MNOVXSKESA-N -1 1 306.366 1.430 20 0 DDADMM CCCN(C(=O)[C@H]1CCCc2[nH]ncc21)[C@@H]1CCN(C(=O)[O-])C1 ZINC000826859999 603982096 /nfs/dbraw/zinc/98/20/96/603982096.db2.gz YDTRCJGECCXSQZ-NEPJUHHUSA-N -1 1 320.393 1.821 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C[N@@H+]1C1CC1 ZINC000825851458 604018165 /nfs/dbraw/zinc/01/81/65/604018165.db2.gz HSMZKRLGMZZVNQ-MWLCHTKSSA-N -1 1 319.361 1.837 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C[N@H+]1C1CC1 ZINC000825851458 604018167 /nfs/dbraw/zinc/01/81/67/604018167.db2.gz HSMZKRLGMZZVNQ-MWLCHTKSSA-N -1 1 319.361 1.837 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)C2CCC(NC(=O)[O-])CC2)c1 ZINC000828046327 604066773 /nfs/dbraw/zinc/06/67/73/604066773.db2.gz JTYMZLGDUQXJKS-UHFFFAOYSA-N -1 1 320.393 1.908 20 0 DDADMM Cc1ccc2nc(CNC(=O)N[C@H]3CCN(C(=O)[O-])C3)[nH]c2c1 ZINC000830433092 604125238 /nfs/dbraw/zinc/12/52/38/604125238.db2.gz QCCUESCNUPBHES-JTQLQIEISA-N -1 1 317.349 1.423 20 0 DDADMM O=C([O-])N1CCN(C(=O)CCc2nc[nH]n2)c2ccccc2C1 ZINC000832019010 604153138 /nfs/dbraw/zinc/15/31/38/604153138.db2.gz UONHANLJTSAHCX-UHFFFAOYSA-N -1 1 315.333 1.264 20 0 DDADMM CC(C)S(=O)(=O)CCCN1CCC[C@H]([C@H](C)NC(=O)[O-])C1 ZINC000825266857 604162056 /nfs/dbraw/zinc/16/20/56/604162056.db2.gz WYWKPCRAGYXCHU-STQMWFEESA-N -1 1 320.455 1.568 20 0 DDADMM C[C@@H]1CCCN(C(=O)CN2CCC[C@@H](C)[C@@H]2CNC(=O)[O-])C1 ZINC000825905543 604169067 /nfs/dbraw/zinc/16/90/67/604169067.db2.gz MLEPMGMHEOQZFA-MCIONIFRSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)N2CCCC[C@H]2C)C1 ZINC000825255705 604182232 /nfs/dbraw/zinc/18/22/32/604182232.db2.gz RSBNYILYFUIDQF-RDBSUJKOSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)NC(C2CC2)C2CC2)C1 ZINC000825252975 604195841 /nfs/dbraw/zinc/19/58/41/604195841.db2.gz HGIVAEPPNZFPJZ-RISCZKNCSA-N -1 1 323.437 1.659 20 0 DDADMM COC(=O)c1ccc(CN2CCC[C@@H]([C@@H](C)NC(=O)[O-])C2)o1 ZINC000828772046 604217939 /nfs/dbraw/zinc/21/79/39/604217939.db2.gz JYTRHCWFNJOSBP-GHMZBOCLSA-N -1 1 310.350 1.934 20 0 DDADMM COC(=O)COc1c(C)cc(CNC2CN(C(=O)[O-])C2)cc1C ZINC000828689680 604419697 /nfs/dbraw/zinc/41/96/97/604419697.db2.gz WARPPRGKZQWYEC-UHFFFAOYSA-N -1 1 322.361 1.307 20 0 DDADMM O=C([O-])NC1(C(=O)N[C@@H]2CCCN(Cc3ccccc3)C2)CC1 ZINC000832155718 604428913 /nfs/dbraw/zinc/42/89/13/604428913.db2.gz NKERLJCAGNCSJH-CQSZACIVSA-N -1 1 317.389 1.567 20 0 DDADMM CN1CCO[C@@H]2CN([C@H](C(=O)[O-])c3cccc(Cl)c3)C[C@H]21 ZINC000830966279 604446033 /nfs/dbraw/zinc/44/60/33/604446033.db2.gz ZEGFYIQXBUXVPL-MCIONIFRSA-N -1 1 310.781 1.481 20 0 DDADMM O=C([O-])N1CCC(CN[C@@H]2CS(=O)(=O)c3ccccc32)CC1 ZINC000831879172 604520277 /nfs/dbraw/zinc/52/02/77/604520277.db2.gz UPWCJVYHHSERNK-CYBMUJFWSA-N -1 1 324.402 1.495 20 0 DDADMM CN(C)[C@H](CNC(=O)NC1CN(C(=O)[O-])C1)c1cccc(F)c1 ZINC000827994228 604567317 /nfs/dbraw/zinc/56/73/17/604567317.db2.gz IZSHREAGSYEJSJ-CYBMUJFWSA-N -1 1 324.356 1.090 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@H]3CCN(C(=O)[O-])C3)n2)o1 ZINC000830160859 604585184 /nfs/dbraw/zinc/58/51/84/604585184.db2.gz VJXZMXANYWULEM-QMMMGPOBSA-N -1 1 305.294 1.312 20 0 DDADMM CCN(C(=O)Cc1n[nH]c2ccccc21)C1CN(C(=O)[O-])C1 ZINC000826979109 604628331 /nfs/dbraw/zinc/62/83/31/604628331.db2.gz BUZSIIOLCPHNRX-UHFFFAOYSA-N -1 1 302.334 1.316 20 0 DDADMM C[C@H](C(=O)N1[C@H](C)CCC[C@@H]1C)N1CCC(O)(C(=O)[O-])CC1 ZINC000833402355 604725045 /nfs/dbraw/zinc/72/50/45/604725045.db2.gz JYXDKWCALNMXQQ-FRRDWIJNSA-N -1 1 312.410 1.076 20 0 DDADMM C[C@H](CN(CC(=O)Nc1nc2c(s1)CCC2)C1CC1)C(=O)[O-] ZINC000827449191 604739293 /nfs/dbraw/zinc/73/92/93/604739293.db2.gz IPUIXGKHANEART-SECBINFHSA-N -1 1 323.418 1.755 20 0 DDADMM O=C([O-])[C@@H](O)C1CCN(Cc2ccc(N3CCCC3)nc2)CC1 ZINC000833770930 604763330 /nfs/dbraw/zinc/76/33/30/604763330.db2.gz RHGWQEJILBIILC-INIZCTEOSA-N -1 1 319.405 1.339 20 0 DDADMM C[C@@H]1CN(C)C[C@@H](C)N1C(=O)CCc1ccccc1C(=O)[O-] ZINC000833627020 604796750 /nfs/dbraw/zinc/79/67/50/604796750.db2.gz PMQROAFVNMBWIO-CHWSQXEVSA-N -1 1 304.390 1.868 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)[C@H]3C[C@H]3C(=O)[O-])[nH]c21 ZINC000833716363 604869672 /nfs/dbraw/zinc/86/96/72/604869672.db2.gz CVHGUUSQILPZSP-JGVFFNPUSA-N -1 1 303.274 1.009 20 0 DDADMM C[C@@H](Oc1cccc(F)c1)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000320411488 604899794 /nfs/dbraw/zinc/89/97/94/604899794.db2.gz BEAUOQYLCLPAQI-RNCFNFMXSA-N -1 1 321.308 1.128 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN1CCC2(CCOC2)CC1)C(=O)[O-] ZINC000833640505 604932762 /nfs/dbraw/zinc/93/27/62/604932762.db2.gz ZBPYUDBXGYCWDQ-GXTWGEPZSA-N -1 1 312.410 1.104 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CC[C@H](N2CCCC2=O)C1 ZINC000833678445 604938211 /nfs/dbraw/zinc/93/82/11/604938211.db2.gz NEEWJMHTXAESFX-LBPRGKRZSA-N -1 1 306.362 1.737 20 0 DDADMM CCN1C[C@@H](C)[C@H](NS(=O)(=O)c2ccsc2C(=O)[O-])C1 ZINC000833658010 605041823 /nfs/dbraw/zinc/04/18/23/605041823.db2.gz PMZUSFQNRMCKGY-RKDXNWHRSA-N -1 1 318.420 1.065 20 0 DDADMM CC(C)N(CCN(C)C)S(=O)(=O)c1ccccc1C(=O)[O-] ZINC000833497502 605051980 /nfs/dbraw/zinc/05/19/80/605051980.db2.gz BOVPDQNZYDGXLI-UHFFFAOYSA-N -1 1 314.407 1.346 20 0 DDADMM CCc1ccc([C@@H](C)C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000833671226 605082109 /nfs/dbraw/zinc/08/21/09/605082109.db2.gz UFOGTHPZJZQURI-ABAIWWIYSA-N -1 1 315.373 1.888 20 0 DDADMM CS[C@@H](C)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000826226138 607733564 /nfs/dbraw/zinc/73/35/64/607733564.db2.gz SPCLYIFFGCOONZ-QMMMGPOBSA-N -1 1 301.379 1.973 20 0 DDADMM CS[C@@H](C)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000826226138 607733565 /nfs/dbraw/zinc/73/35/65/607733565.db2.gz SPCLYIFFGCOONZ-QMMMGPOBSA-N -1 1 301.379 1.973 20 0 DDADMM CCO[C@H]1C[C@@H](O)C12CCN(Cc1ccc(C(=O)[O-])[nH]1)CC2 ZINC000833659729 605110594 /nfs/dbraw/zinc/11/05/94/605110594.db2.gz GCDOUOOFSPJSBH-KGLIPLIRSA-N -1 1 308.378 1.465 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)C1CCC(F)(F)CC1 ZINC000833626275 605115904 /nfs/dbraw/zinc/11/59/04/605115904.db2.gz ZIRDEUSKTTWNSI-NSHDSACASA-N -1 1 318.364 1.819 20 0 DDADMM O[C@H](c1ccccc1)C1(CNc2nccnc2-c2nnn[n-]2)CC1 ZINC000826505190 607735460 /nfs/dbraw/zinc/73/54/60/607735460.db2.gz PCFGRRJZYUHNEP-CYBMUJFWSA-N -1 1 323.360 1.582 20 0 DDADMM O[C@H](c1ccccc1)C1(CNc2nccnc2-c2nn[n-]n2)CC1 ZINC000826505190 607735461 /nfs/dbraw/zinc/73/54/61/607735461.db2.gz PCFGRRJZYUHNEP-CYBMUJFWSA-N -1 1 323.360 1.582 20 0 DDADMM COc1ccc(O)c(C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)c1 ZINC000833733703 605166119 /nfs/dbraw/zinc/16/61/19/605166119.db2.gz ZYXOZJOFGDCDIV-NSHDSACASA-N -1 1 322.361 1.022 20 0 DDADMM CCS[C@@H]1CC[C@H](N(C)CN2C[C@H](C(=O)[O-])CC2=O)C1 ZINC000833668548 605176561 /nfs/dbraw/zinc/17/65/61/605176561.db2.gz SLEQHAAGFQHOIB-GRYCIOLGSA-N -1 1 300.424 1.483 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN1CCC(CCCO)CC1)C(=O)[O-] ZINC000833639897 605226067 /nfs/dbraw/zinc/22/60/67/605226067.db2.gz RQMATSAFQMLOAL-SWLSCSKDSA-N -1 1 314.426 1.086 20 0 DDADMM O=C([O-])N(CC(=O)N1CCN2CCCC[C@@H]2C1)c1ccccc1 ZINC000831441407 605260557 /nfs/dbraw/zinc/26/05/57/605260557.db2.gz KXYIPKJLXBKFPG-OAHLLOKOSA-N -1 1 317.389 1.868 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N[C@H]2CCCCN(C(=O)[O-])C2)n[nH]1 ZINC000830036630 605301662 /nfs/dbraw/zinc/30/16/62/605301662.db2.gz WSRWHMXNOAHYIR-JQWIXIFHSA-N -1 1 323.397 1.481 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCC(O)(C(F)(F)F)CC2)O1 ZINC000833582020 605374437 /nfs/dbraw/zinc/37/44/37/605374437.db2.gz AZGUIAMSJXRZSH-VIFPVBQESA-N -1 1 309.284 1.523 20 0 DDADMM Cc1nc([C@@H](C)NCCCN2CCN(C(=O)[O-])CC2)cs1 ZINC000830709560 605480930 /nfs/dbraw/zinc/48/09/30/605480930.db2.gz MAXGCXCIPFGRMD-LLVKDONJSA-N -1 1 312.439 1.788 20 0 DDADMM O=C([O-])N1CCC[C@H](NC(=O)N2CCN3CCCC[C@H]3C2)C1 ZINC000739928358 605665777 /nfs/dbraw/zinc/66/57/77/605665777.db2.gz SYYPNIMPFWJSKR-STQMWFEESA-N -1 1 310.398 1.009 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000833452765 605701835 /nfs/dbraw/zinc/70/18/35/605701835.db2.gz SHOUKYLXFFSJMT-ZDUSSCGKSA-N -1 1 317.349 1.296 20 0 DDADMM CC(=O)NC[C@@H]1CCCN(Cc2cc(C(=O)[O-])ccc2F)C1 ZINC000833397126 605830640 /nfs/dbraw/zinc/83/06/40/605830640.db2.gz XFIPZFSUFUMCSE-LBPRGKRZSA-N -1 1 308.353 1.872 20 0 DDADMM C[C@H](C(=O)Nc1ccccc1)N1C[C@H](CNC(=O)[O-])C[C@H]1C ZINC000833831758 605884772 /nfs/dbraw/zinc/88/47/72/605884772.db2.gz PPMSEBKCLRWHKU-UPJWGTAASA-N -1 1 305.378 1.992 20 0 DDADMM NC(=O)[C@H](c1ccccc1)N1CCC2(C1)CCN(C(=O)[O-])CC2 ZINC000833980497 605897069 /nfs/dbraw/zinc/89/70/69/605897069.db2.gz OWSZCRSZWXWPEF-AWEZNQCLSA-N -1 1 317.389 1.679 20 0 DDADMM O=C([O-])N[C@H](C(=O)NCCN1CCC1)c1cccc(Cl)c1 ZINC000834121423 605932179 /nfs/dbraw/zinc/93/21/79/605932179.db2.gz LGQHOZPXXAXLIU-LBPRGKRZSA-N -1 1 311.769 1.471 20 0 DDADMM CC(C)C[C@@H](CNC(=O)[O-])NC(=O)CN(C)[C@H]1CCSC1 ZINC000833792999 605944204 /nfs/dbraw/zinc/94/42/04/605944204.db2.gz BJIMQACGFQVCQZ-RYUDHWBXSA-N -1 1 317.455 1.222 20 0 DDADMM O=C([O-])NC[C@@H](NC(=O)NCCN1CCC1)C1CCCCC1 ZINC000834207423 605952467 /nfs/dbraw/zinc/95/24/67/605952467.db2.gz VCARMZLZWVAWFM-CYBMUJFWSA-N -1 1 312.414 1.208 20 0 DDADMM O=C([O-])NC1(C(=O)OC[C@H]2CCCN2Cc2ccco2)CC1 ZINC000834154053 606093226 /nfs/dbraw/zinc/09/32/26/606093226.db2.gz MLDZZHHPTCOYSH-LLVKDONJSA-N -1 1 308.334 1.587 20 0 DDADMM Cc1cccn2cc(CCNc3cccc(-c4nn[n-]n4)n3)nc12 ZINC000822366846 606140080 /nfs/dbraw/zinc/14/00/80/606140080.db2.gz VPVYNAJKGYDRON-UHFFFAOYSA-N -1 1 320.360 1.872 20 0 DDADMM O=C(OCC[C@@H]1CCCC1=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000823401408 606228427 /nfs/dbraw/zinc/22/84/27/606228427.db2.gz VKMMXDIYZQPHFB-VIFPVBQESA-N -1 1 301.306 1.178 20 0 DDADMM O=C(OCC[C@@H]1CCCC1=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000823401408 606228429 /nfs/dbraw/zinc/22/84/29/606228429.db2.gz VKMMXDIYZQPHFB-VIFPVBQESA-N -1 1 301.306 1.178 20 0 DDADMM O=C(Nc1cccc(Cl)n1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823363969 606259572 /nfs/dbraw/zinc/25/95/72/606259572.db2.gz UBTVOEDPMLGELL-UHFFFAOYSA-N -1 1 301.697 1.562 20 0 DDADMM O=C(Nc1cccc(Cl)n1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823363969 606259574 /nfs/dbraw/zinc/25/95/74/606259574.db2.gz UBTVOEDPMLGELL-UHFFFAOYSA-N -1 1 301.697 1.562 20 0 DDADMM Clc1ccc(NCCNc2ncccn2)nc1-c1nnn[n-]1 ZINC000822578667 606460819 /nfs/dbraw/zinc/46/08/19/606460819.db2.gz NKSQUMXDZADUAM-UHFFFAOYSA-N -1 1 317.744 1.229 20 0 DDADMM Clc1ccc(NCCNc2ncccn2)nc1-c1nn[n-]n1 ZINC000822578667 606460821 /nfs/dbraw/zinc/46/08/21/606460821.db2.gz NKSQUMXDZADUAM-UHFFFAOYSA-N -1 1 317.744 1.229 20 0 DDADMM Clc1nsc(NCCNc2ncccn2)c1-c1nnn[n-]1 ZINC000822590614 606461220 /nfs/dbraw/zinc/46/12/20/606461220.db2.gz KQYUEFVSMGOLPF-UHFFFAOYSA-N -1 1 323.773 1.291 20 0 DDADMM Clc1nsc(NCCNc2ncccn2)c1-c1nn[n-]n1 ZINC000822590614 606461222 /nfs/dbraw/zinc/46/12/22/606461222.db2.gz KQYUEFVSMGOLPF-UHFFFAOYSA-N -1 1 323.773 1.291 20 0 DDADMM CN(CCc1ccncc1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821607621 606462416 /nfs/dbraw/zinc/46/24/16/606462416.db2.gz WXVPQFSRIQHYSY-UHFFFAOYSA-N -1 1 315.768 1.989 20 0 DDADMM CN(CCc1ccncc1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821607621 606462417 /nfs/dbraw/zinc/46/24/17/606462417.db2.gz WXVPQFSRIQHYSY-UHFFFAOYSA-N -1 1 315.768 1.989 20 0 DDADMM C[C@@H]([N-]C(=O)C(F)(F)C(F)F)c1ccc(-c2nn[nH]n2)cc1 ZINC000820315538 606522709 /nfs/dbraw/zinc/52/27/09/606522709.db2.gz OEGFBQACPQJMHM-ZCFIWIBFSA-N -1 1 317.246 1.944 20 0 DDADMM Cc1csc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000822414663 606523797 /nfs/dbraw/zinc/52/37/97/606523797.db2.gz OVMFDMBZPTYTES-UHFFFAOYSA-N -1 1 306.376 1.623 20 0 DDADMM Cc1csc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000822414663 606523798 /nfs/dbraw/zinc/52/37/98/606523798.db2.gz OVMFDMBZPTYTES-UHFFFAOYSA-N -1 1 306.376 1.623 20 0 DDADMM O=C(NCCOC1CCCC1)c1ccc(-c2nnn[n-]2)s1 ZINC000823206541 606528152 /nfs/dbraw/zinc/52/81/52/606528152.db2.gz UPNMDZSTZNFZSF-UHFFFAOYSA-N -1 1 307.379 1.617 20 0 DDADMM O=C(NCCOC1CCCC1)c1ccc(-c2nn[n-]n2)s1 ZINC000823206541 606528155 /nfs/dbraw/zinc/52/81/55/606528155.db2.gz UPNMDZSTZNFZSF-UHFFFAOYSA-N -1 1 307.379 1.617 20 0 DDADMM C[C@H]1SCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)[C@H]1C ZINC000820819489 606566317 /nfs/dbraw/zinc/56/63/17/606566317.db2.gz JBIORMHJBITQEG-JGVFFNPUSA-N -1 1 309.420 1.894 20 0 DDADMM C[C@H]1SCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)[C@H]1C ZINC000820819489 606566319 /nfs/dbraw/zinc/56/63/19/606566319.db2.gz JBIORMHJBITQEG-JGVFFNPUSA-N -1 1 309.420 1.894 20 0 DDADMM CO[C@]1(C)C[C@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1(C)C ZINC000821678438 606581356 /nfs/dbraw/zinc/58/13/56/606581356.db2.gz NTSSEVMGDBMSFC-IINYFYTJSA-N -1 1 321.406 1.862 20 0 DDADMM CO[C@]1(C)C[C@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1(C)C ZINC000821678438 606581357 /nfs/dbraw/zinc/58/13/57/606581357.db2.gz NTSSEVMGDBMSFC-IINYFYTJSA-N -1 1 321.406 1.862 20 0 DDADMM CC[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820978371 606595750 /nfs/dbraw/zinc/59/57/50/606595750.db2.gz ANEUFHAAVPKWIT-VIFPVBQESA-N -1 1 304.358 1.254 20 0 DDADMM CC[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820978371 606595751 /nfs/dbraw/zinc/59/57/51/606595751.db2.gz ANEUFHAAVPKWIT-VIFPVBQESA-N -1 1 304.358 1.254 20 0 DDADMM C[C@@H](CC[S@](C)=O)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000820249633 606722379 /nfs/dbraw/zinc/72/23/79/606722379.db2.gz XWGOZUJOGFQKNF-JKNYTWMOSA-N -1 1 314.802 1.484 20 0 DDADMM C[C@@H](CC[S@](C)=O)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000820249633 606722381 /nfs/dbraw/zinc/72/23/81/606722381.db2.gz XWGOZUJOGFQKNF-JKNYTWMOSA-N -1 1 314.802 1.484 20 0 DDADMM O=C(OC/C(Cl)=C\Cl)c1ccc(-c2nnn[n-]2)nc1 ZINC000823397505 606950679 /nfs/dbraw/zinc/95/06/79/606950679.db2.gz WKZWUCZVYKPXNY-XVNBXDOJSA-N -1 1 300.105 1.738 20 0 DDADMM O=C(OC/C(Cl)=C\Cl)c1ccc(-c2nn[n-]n2)nc1 ZINC000823397505 606950681 /nfs/dbraw/zinc/95/06/81/606950681.db2.gz WKZWUCZVYKPXNY-XVNBXDOJSA-N -1 1 300.105 1.738 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cncc(F)c1 ZINC000823436337 607097612 /nfs/dbraw/zinc/09/76/12/607097612.db2.gz QKIJYMCXBRKFSV-UHFFFAOYSA-N -1 1 300.253 1.153 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cncc(F)c1 ZINC000823436337 607097614 /nfs/dbraw/zinc/09/76/14/607097614.db2.gz QKIJYMCXBRKFSV-UHFFFAOYSA-N -1 1 300.253 1.153 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CC(CC(F)(F)F)C1 ZINC000823483162 607140340 /nfs/dbraw/zinc/14/03/40/607140340.db2.gz DSNLWFYFPFQHBE-UHFFFAOYSA-N -1 1 317.296 1.953 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CC(CC(F)(F)F)C1 ZINC000823483162 607140341 /nfs/dbraw/zinc/14/03/41/607140341.db2.gz DSNLWFYFPFQHBE-UHFFFAOYSA-N -1 1 317.296 1.953 20 0 DDADMM COc1cccc(OCCn2cccc(-c3nn[n-]n3)c2=O)c1 ZINC000826217485 607784012 /nfs/dbraw/zinc/78/40/12/607784012.db2.gz OMYIZHJVGYGVJS-UHFFFAOYSA-N -1 1 313.317 1.116 20 0 DDADMM CN(C[C@H]1CCC[C@H]1O)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825909344 607863040 /nfs/dbraw/zinc/86/30/40/607863040.db2.gz WTHQSYIVDJXYFG-RKDXNWHRSA-N -1 1 307.379 1.161 20 0 DDADMM CN(C[C@H]1CCC[C@H]1O)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825909344 607863041 /nfs/dbraw/zinc/86/30/41/607863041.db2.gz WTHQSYIVDJXYFG-RKDXNWHRSA-N -1 1 307.379 1.161 20 0 DDADMM O[C@@H](COc1cccc(F)c1-c1nnn[n-]1)Cc1ccccc1 ZINC000826505472 607875426 /nfs/dbraw/zinc/87/54/26/607875426.db2.gz WKBFBVHLQCLJOU-GFCCVEGCSA-N -1 1 314.320 1.988 20 0 DDADMM O[C@@H](COc1cccc(F)c1-c1nn[n-]n1)Cc1ccccc1 ZINC000826505472 607875427 /nfs/dbraw/zinc/87/54/27/607875427.db2.gz WKBFBVHLQCLJOU-GFCCVEGCSA-N -1 1 314.320 1.988 20 0 DDADMM CCC[C@@H]1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CCO1 ZINC000825260079 607969873 /nfs/dbraw/zinc/96/98/73/607969873.db2.gz MRSZDVCOUGMDBE-SECBINFHSA-N -1 1 308.773 1.920 20 0 DDADMM CCC[C@@H]1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CCO1 ZINC000825260079 607969874 /nfs/dbraw/zinc/96/98/74/607969874.db2.gz MRSZDVCOUGMDBE-SECBINFHSA-N -1 1 308.773 1.920 20 0 DDADMM c1ccc2[nH]c(CCNc3ccc(-c4nnn[n-]4)nn3)nc2c1 ZINC000826523034 607988973 /nfs/dbraw/zinc/98/89/73/607988973.db2.gz YDEDEXSFBLMMQV-UHFFFAOYSA-N -1 1 307.321 1.188 20 0 DDADMM c1ccc2[nH]c(CCNc3ccc(-c4nn[n-]n4)nn3)nc2c1 ZINC000826523034 607988974 /nfs/dbraw/zinc/98/89/74/607988974.db2.gz YDEDEXSFBLMMQV-UHFFFAOYSA-N -1 1 307.321 1.188 20 0 DDADMM Cc1ccc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c(C)n1 ZINC000826282301 607998041 /nfs/dbraw/zinc/99/80/41/607998041.db2.gz HSRSIWVPXJPIJL-UHFFFAOYSA-N -1 1 310.317 1.836 20 0 DDADMM Cc1ccc(CO)cc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826288841 608106907 /nfs/dbraw/zinc/10/69/07/608106907.db2.gz YVVBJGIFASUAGG-UHFFFAOYSA-N -1 1 310.317 1.315 20 0 DDADMM Cc1ccc(CO)cc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826288841 608106908 /nfs/dbraw/zinc/10/69/08/608106908.db2.gz YVVBJGIFASUAGG-UHFFFAOYSA-N -1 1 310.317 1.315 20 0 DDADMM C[C@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)[C@@H](C)CO1 ZINC000824999396 608173293 /nfs/dbraw/zinc/17/32/93/608173293.db2.gz GONVKSQGNOQWME-WDSKDSINSA-N -1 1 300.775 1.590 20 0 DDADMM C[C@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)[C@@H](C)CO1 ZINC000824999396 608173294 /nfs/dbraw/zinc/17/32/94/608173294.db2.gz GONVKSQGNOQWME-WDSKDSINSA-N -1 1 300.775 1.590 20 0 DDADMM Clc1nsc(N[C@@H]2CCc3n[nH]cc3C2)c1-c1nn[n-]n1 ZINC000826354333 608184432 /nfs/dbraw/zinc/18/44/32/608184432.db2.gz YFDWSNVGYXJNND-ZCFIWIBFSA-N -1 1 322.785 1.669 20 0 DDADMM CCN(C[C@@H]1CCOC1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825415876 608191626 /nfs/dbraw/zinc/19/16/26/608191626.db2.gz FEDSTKMJWLXSOA-ZETCQYMHSA-N -1 1 314.802 1.839 20 0 DDADMM CCN(C[C@@H]1CCOC1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825415876 608191627 /nfs/dbraw/zinc/19/16/27/608191627.db2.gz FEDSTKMJWLXSOA-ZETCQYMHSA-N -1 1 314.802 1.839 20 0 DDADMM CC(C)(O)[C@@H]1CCCN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000824172278 608193875 /nfs/dbraw/zinc/19/38/75/608193875.db2.gz JETAJJUDOPXMRL-VIFPVBQESA-N -1 1 308.773 1.655 20 0 DDADMM CC(C)(O)[C@@H]1CCCN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000824172278 608193877 /nfs/dbraw/zinc/19/38/77/608193877.db2.gz JETAJJUDOPXMRL-VIFPVBQESA-N -1 1 308.773 1.655 20 0 DDADMM OC[C@H](Nc1ccc(-c2nnn[n-]2)nn1)c1ccc(Cl)cc1 ZINC000826508553 608242162 /nfs/dbraw/zinc/24/21/62/608242162.db2.gz SSCPMAAXSQDABX-NSHDSACASA-N -1 1 317.740 1.456 20 0 DDADMM OC[C@H](Nc1ccc(-c2nn[n-]n2)nn1)c1ccc(Cl)cc1 ZINC000826508553 608242164 /nfs/dbraw/zinc/24/21/64/608242164.db2.gz SSCPMAAXSQDABX-NSHDSACASA-N -1 1 317.740 1.456 20 0 DDADMM C[C@H]1Oc2ccc(Nc3ccc(-c4nnn[n-]4)nn3)cc2NC1=O ZINC000825022351 608393372 /nfs/dbraw/zinc/39/33/72/608393372.db2.gz XPXACEOMUKCBNU-SSDOTTSWSA-N -1 1 324.304 1.120 20 0 DDADMM C[C@H]1Oc2ccc(Nc3ccc(-c4nn[n-]n4)nn3)cc2NC1=O ZINC000825022351 608393374 /nfs/dbraw/zinc/39/33/74/608393374.db2.gz XPXACEOMUKCBNU-SSDOTTSWSA-N -1 1 324.304 1.120 20 0 DDADMM c1ccc2c(c1)CCCN2CCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826523331 608402679 /nfs/dbraw/zinc/40/26/79/608402679.db2.gz JBLQDWSCRNAGJE-UHFFFAOYSA-N -1 1 322.376 1.521 20 0 DDADMM c1ccc2c(c1)CCCN2CCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826523331 608402681 /nfs/dbraw/zinc/40/26/81/608402681.db2.gz JBLQDWSCRNAGJE-UHFFFAOYSA-N -1 1 322.376 1.521 20 0 DDADMM Cc1ccccc1OCCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826307484 608414308 /nfs/dbraw/zinc/41/43/08/608414308.db2.gz KDVQIJXJDHVXBY-UHFFFAOYSA-N -1 1 311.349 1.846 20 0 DDADMM Cc1ccccc1OCCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826307484 608414310 /nfs/dbraw/zinc/41/43/10/608414310.db2.gz KDVQIJXJDHVXBY-UHFFFAOYSA-N -1 1 311.349 1.846 20 0 DDADMM CC1(C)[C@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@H]2CCCO[C@@H]21 ZINC000824789759 608424192 /nfs/dbraw/zinc/42/41/92/608424192.db2.gz JLIRQAGQQBESRY-FXAINCCUSA-N -1 1 301.354 1.272 20 0 DDADMM CC1(C)[C@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@H]2CCCO[C@@H]21 ZINC000824789759 608424194 /nfs/dbraw/zinc/42/41/94/608424194.db2.gz JLIRQAGQQBESRY-FXAINCCUSA-N -1 1 301.354 1.272 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1N1CCC[C@H](c2cc[nH]n2)C1 ZINC000826280834 608544925 /nfs/dbraw/zinc/54/49/25/608544925.db2.gz RTZXIQRDWMFCIW-NSHDSACASA-N -1 1 310.365 1.677 20 0 DDADMM C[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)[C@H]1C ZINC000824937924 608607690 /nfs/dbraw/zinc/60/76/90/608607690.db2.gz RYHVLCKTKQHLAX-BDAKNGLRSA-N -1 1 304.358 1.253 20 0 DDADMM C[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)[C@H]1C ZINC000824937924 608607693 /nfs/dbraw/zinc/60/76/93/608607693.db2.gz RYHVLCKTKQHLAX-BDAKNGLRSA-N -1 1 304.358 1.253 20 0 DDADMM Cc1nc([C@@H]2CCCCN2Cc2ccc(-c3nnn[n-]3)o2)no1 ZINC000826324688 608617780 /nfs/dbraw/zinc/61/77/80/608617780.db2.gz AYXPLRIEIACFGB-NSHDSACASA-N -1 1 315.337 1.878 20 0 DDADMM Cc1nc([C@@H]2CCCCN2Cc2ccc(-c3nn[n-]n3)o2)no1 ZINC000826324688 608617782 /nfs/dbraw/zinc/61/77/82/608617782.db2.gz AYXPLRIEIACFGB-NSHDSACASA-N -1 1 315.337 1.878 20 0 DDADMM Fc1cc(Cn2ccnc2-c2nnn[n-]2)c2c(c1)COCO2 ZINC000826372716 608894766 /nfs/dbraw/zinc/89/47/66/608894766.db2.gz IQMTVRCCXYTVKH-UHFFFAOYSA-N -1 1 302.269 1.117 20 0 DDADMM Fc1cc(Cn2ccnc2-c2nn[n-]n2)c2c(c1)COCO2 ZINC000826372716 608894769 /nfs/dbraw/zinc/89/47/69/608894769.db2.gz IQMTVRCCXYTVKH-UHFFFAOYSA-N -1 1 302.269 1.117 20 0 DDADMM CC(C)C(=O)N[C@H]1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000973011298 695395136 /nfs/dbraw/zinc/39/51/36/695395136.db2.gz REDACTKAOKWLFP-XYPYZODXSA-N -1 1 318.377 1.093 20 0 DDADMM O=C([O-])[C@@H]1CCCCCN1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000797723658 700021136 /nfs/dbraw/zinc/02/11/36/700021136.db2.gz DJMJIYYVBJKTES-RYUDHWBXSA-N -1 1 306.366 1.826 20 0 DDADMM CC(C)(C)C(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000975917855 695878683 /nfs/dbraw/zinc/87/86/83/695878683.db2.gz WOXWVNCCWHVNPQ-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@](O)(CNC(=O)C(=O)c1ccc([O-])cc1)c1ccc(F)cc1 ZINC000798176263 700047159 /nfs/dbraw/zinc/04/71/59/700047159.db2.gz BORZKLGSENSANL-QGZVFWFLSA-N -1 1 317.316 1.738 20 0 DDADMM CCC(Nc1ccccc1Cl)=C1C(=O)[N-]C(=S)NC1=O ZINC000009770655 696037286 /nfs/dbraw/zinc/03/72/86/696037286.db2.gz VPTXYQBOMXKQLJ-UHFFFAOYSA-N -1 1 309.778 1.947 20 0 DDADMM O=C(NCC1CC1)N[N-]C(=O)c1cc(F)c(F)cc1Cl ZINC000049166910 696221985 /nfs/dbraw/zinc/22/19/85/696221985.db2.gz OVZHWPQXTMQYNV-UHFFFAOYSA-N -1 1 303.696 1.972 20 0 DDADMM CCCNC(=O)N[N-]C(=O)c1ccc(Br)cc1F ZINC000049298556 696222890 /nfs/dbraw/zinc/22/28/90/696222890.db2.gz DFDHJENWUBLCBR-UHFFFAOYSA-N -1 1 318.146 1.942 20 0 DDADMM O=C(Cn1c(=S)[n-]nc1-c1cccs1)Nc1nc(O)c[nH]1 ZINC000050222515 696229617 /nfs/dbraw/zinc/22/96/17/696229617.db2.gz TYXQSNUWIZNZQP-UHFFFAOYSA-N -1 1 322.375 1.111 20 0 DDADMM CN(C[C@H]1CCN1C(=O)C(C)(C)F)C(=O)c1ncccc1[O-] ZINC000977604936 696231783 /nfs/dbraw/zinc/23/17/83/696231783.db2.gz YFARKFPGACDDEQ-SNVBAGLBSA-N -1 1 309.341 1.208 20 0 DDADMM CC(NCCc1ccc(Cl)cc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000054503603 696276706 /nfs/dbraw/zinc/27/67/06/696276706.db2.gz SXLRQCCJXQBYQE-UHFFFAOYSA-N -1 1 323.805 1.277 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)C[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000978147200 696331523 /nfs/dbraw/zinc/33/15/23/696331523.db2.gz KYRMTIZIYPKXSA-IJLUTSLNSA-N -1 1 303.362 1.116 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=S)NCCc2cccnc2)n1 ZINC000747654497 700080145 /nfs/dbraw/zinc/08/01/45/700080145.db2.gz NTLIDWYBVCSTJF-UHFFFAOYSA-N -1 1 318.406 1.222 20 0 DDADMM COc1cccc(-c2noc([N-]Cc3nnnn3C3CC3)n2)c1 ZINC000078557522 696452229 /nfs/dbraw/zinc/45/22/29/696452229.db2.gz CCSKFFNJWOBLRM-UHFFFAOYSA-N -1 1 313.321 1.679 20 0 DDADMM CC(C)N(Cc1ccccc1)C(=O)Cc1sc(N)nc1[O-] ZINC000079449108 696460699 /nfs/dbraw/zinc/46/06/99/696460699.db2.gz VDLOOCQTGVSAMZ-LBPRGKRZSA-N -1 1 305.403 1.770 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H](c2ccccc2)C2CCC2)s1 ZINC000080034462 696530065 /nfs/dbraw/zinc/53/00/65/696530065.db2.gz REFWTTOOTCYGAS-GXTWGEPZSA-N -1 1 317.414 1.991 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H](Cc2ccccc2)C2CC2)s1 ZINC000080387172 696533748 /nfs/dbraw/zinc/53/37/48/696533748.db2.gz POGUPTOMOLSFFF-OLZOCXBDSA-N -1 1 317.414 1.471 20 0 DDADMM Cn1ccnc(NNC(=O)c2cc3ccccc3cc2[O-])c1=O ZINC000081461420 696544476 /nfs/dbraw/zinc/54/44/76/696544476.db2.gz GQVUCXDRDCTJSI-UHFFFAOYSA-N -1 1 310.313 1.396 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]N=c2nccc[nH]2)c(F)cc1F ZINC000084649979 696559348 /nfs/dbraw/zinc/55/93/48/696559348.db2.gz CKFAPXZKJVEPCM-UHFFFAOYSA-N -1 1 300.290 1.369 20 0 DDADMM Cn1cnnc1[C@H]1CCCN1C(=O)c1nnc2ccccc2c1O ZINC000119964827 696688039 /nfs/dbraw/zinc/68/80/39/696688039.db2.gz KNSYTSVGXIHMOO-GFCCVEGCSA-N -1 1 324.344 1.029 20 0 DDADMM CCOC(=O)[C@@H](C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131313795 696805817 /nfs/dbraw/zinc/80/58/17/696805817.db2.gz JCEOEOFGRCLLHX-SSDOTTSWSA-N -1 1 309.746 1.709 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(-n2cccc2)nc1)c1nn[n-]n1 ZINC000136649537 696855226 /nfs/dbraw/zinc/85/52/26/696855226.db2.gz NFZDQELSIGAZGP-LBPRGKRZSA-N -1 1 311.349 1.657 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC3(C2)CCCN(C/C=C/Cl)C3)c1[O-] ZINC000981714477 696887685 /nfs/dbraw/zinc/88/76/85/696887685.db2.gz YAVBRXZBJIYWBX-HWKANZROSA-N -1 1 324.812 1.714 20 0 DDADMM COc1ccc2c(c1)N(CCC[N-]C(=O)C(F)(F)F)CCO2 ZINC000154856678 696938392 /nfs/dbraw/zinc/93/83/92/696938392.db2.gz FBFXPWWDDQMDCB-UHFFFAOYSA-N -1 1 318.295 1.963 20 0 DDADMM C[C@@H](Cc1ccc(F)cc1)C(=O)Nc1nc(SCCO)n[nH]1 ZINC000155303906 696945710 /nfs/dbraw/zinc/94/57/10/696945710.db2.gz SUPLDTYZOMBVHO-VIFPVBQESA-N -1 1 324.381 1.846 20 0 DDADMM COc1ccccc1CO[N-]C(=O)Cc1c(C)nc(C)[nH]c1=O ZINC000799096493 700117789 /nfs/dbraw/zinc/11/77/89/700117789.db2.gz SKORMWFYHZOOBV-UHFFFAOYSA-N -1 1 317.345 1.598 20 0 DDADMM COc1ccccc1CO[N-]C(=O)[C@H]1CC(=O)N(C(C)(C)C)C1 ZINC000799097116 700117823 /nfs/dbraw/zinc/11/78/23/700117823.db2.gz GCMWKMYTKZQHEE-ZDUSSCGKSA-N -1 1 320.389 1.890 20 0 DDADMM Cc1cnc(C(=O)Nc2nc(Br)ccc2[O-])cn1 ZINC000156352737 696961997 /nfs/dbraw/zinc/96/19/97/696961997.db2.gz SOKNZOVYSBHZIW-UHFFFAOYSA-N -1 1 309.123 1.900 20 0 DDADMM Cc1cnc(CNC[C@H]2C[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)o1 ZINC000983480329 697269123 /nfs/dbraw/zinc/26/91/23/697269123.db2.gz CKHHXYMRHZZCKS-XYPYZODXSA-N -1 1 319.365 1.018 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)C(C)(C)F)C1 ZINC000983769006 697297798 /nfs/dbraw/zinc/29/77/98/697297798.db2.gz RETMICAPPGVXLV-LLVKDONJSA-N -1 1 323.368 1.598 20 0 DDADMM C[C@](O)(CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000163695634 697334056 /nfs/dbraw/zinc/33/40/56/697334056.db2.gz LCFLBIUALBQLJQ-LRDDRELGSA-N -1 1 315.377 1.204 20 0 DDADMM C[C@H]1c2cccn2CCN1C(=O)c1nnc2ccccc2c1O ZINC000171762349 697361617 /nfs/dbraw/zinc/36/16/17/697361617.db2.gz LYBBPNACHBMJIK-NSHDSACASA-N -1 1 308.341 1.942 20 0 DDADMM COCCCNC(=O)[C@H](C)OC(=O)c1c([O-])cc(F)cc1F ZINC000749282051 700154921 /nfs/dbraw/zinc/15/49/21/700154921.db2.gz XAYXWZLJFSHLSG-QMMMGPOBSA-N -1 1 317.288 1.368 20 0 DDADMM CCC[C@@H](C)CC(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000182000849 697469268 /nfs/dbraw/zinc/46/92/68/697469268.db2.gz GZXNTUJBFBEFSX-SNVBAGLBSA-N -1 1 306.366 1.638 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)[C@H]2C[C@@H]2C)CCN1C(=O)c1ncccc1[O-] ZINC000985284220 697480442 /nfs/dbraw/zinc/48/04/42/697480442.db2.gz REGGEDPPNFWPCG-ZDEQEGDKSA-N -1 1 317.389 1.553 20 0 DDADMM COc1cccc(C(C)=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183454375 697488632 /nfs/dbraw/zinc/48/86/32/697488632.db2.gz IEEDVIMEQDGVMX-JATZPVMKSA-N -1 1 315.377 1.874 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@@H]1C[C@]1(C)C(C)C ZINC000186324574 697531915 /nfs/dbraw/zinc/53/19/15/697531915.db2.gz VUJJVBPJJBAXGQ-BLLLJJGKSA-N -1 1 318.377 1.494 20 0 DDADMM Cc1ccc([C@@H](O)CNC(=O)c2c[n-]c(=O)c([N+](=O)[O-])c2)cc1 ZINC000186928979 697539720 /nfs/dbraw/zinc/53/97/20/697539720.db2.gz YRWYGCYJHNSQJV-ZDUSSCGKSA-N -1 1 317.301 1.467 20 0 DDADMM O=C(NCc1ccccc1[N+](=O)[O-])c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000186960850 697540058 /nfs/dbraw/zinc/54/00/58/697540058.db2.gz BFVYAWXXQBMGJR-UHFFFAOYSA-N -1 1 318.245 1.534 20 0 DDADMM CC(C)n1cc(C(=O)NNC(=O)c2cc(Cl)ccc2[O-])cn1 ZINC000190452843 697591886 /nfs/dbraw/zinc/59/18/86/697591886.db2.gz SLRRRXLYTQABFA-UHFFFAOYSA-N -1 1 322.752 1.898 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1ccc(OC)c(O)c1)c1nn[n-]n1 ZINC000773263641 697735772 /nfs/dbraw/zinc/73/57/72/697735772.db2.gz QQZRMMVWBDMRCT-BPOWMSRESA-N -1 1 317.349 1.585 20 0 DDADMM C[C@@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-] ZINC000230139090 697781286 /nfs/dbraw/zinc/78/12/86/697781286.db2.gz VULUMPWHASKNHG-UFBFGSQYSA-N -1 1 318.326 1.580 20 0 DDADMM CCC(=O)c1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccc1F ZINC000774003671 697832605 /nfs/dbraw/zinc/83/26/05/697832605.db2.gz YHHZTSQTODUSIH-SECBINFHSA-N -1 1 319.340 1.807 20 0 DDADMM Cc1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c(C)n1 ZINC000774931650 697943559 /nfs/dbraw/zinc/94/35/59/697943559.db2.gz DHQOSZTWDLCDLV-UHFFFAOYSA-N -1 1 304.268 1.924 20 0 DDADMM Cc1n[nH]cc1S(=O)(=O)[N-][C@H](c1cnn(C)c1)C(F)(F)F ZINC000774951304 697946169 /nfs/dbraw/zinc/94/61/69/697946169.db2.gz RDSFCEGNUZZMFU-SECBINFHSA-N -1 1 323.300 1.034 20 0 DDADMM Cc1nc(C)c(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)s1 ZINC000776562899 698117072 /nfs/dbraw/zinc/11/70/72/698117072.db2.gz GIBKOCTXNXTDDW-MRVPVSSYSA-N -1 1 310.408 1.203 20 0 DDADMM O=C(c1ccc2[nH]ccc2c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776563723 698117295 /nfs/dbraw/zinc/11/72/95/698117295.db2.gz KYBAQNVOFJPEAO-GFCCVEGCSA-N -1 1 314.374 1.611 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCOC[C@H]1CCO ZINC000776711876 698132705 /nfs/dbraw/zinc/13/27/05/698132705.db2.gz VPUSPYOXTMKGAK-SNVBAGLBSA-N -1 1 319.279 1.634 20 0 DDADMM CC1=C(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CCCO1 ZINC000777444577 698191318 /nfs/dbraw/zinc/19/13/18/698191318.db2.gz NHDHAUBGJCMTKO-UHFFFAOYSA-N -1 1 312.347 1.063 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)/C=C/C1CC1 ZINC000987670661 698192219 /nfs/dbraw/zinc/19/22/19/698192219.db2.gz HISCMFRDMSUEOY-VKYBYORPSA-N -1 1 315.373 1.473 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2CC[C@@H](C)[C@H](F)C2)cc1 ZINC000778230019 698251648 /nfs/dbraw/zinc/25/16/48/698251648.db2.gz BAZOQAMLTQXFEY-BXUZGUMPSA-N -1 1 308.353 1.632 20 0 DDADMM CC(C)[C@@H](NC(=O)NC[C@@H](c1ccsc1)N(C)C)C(=O)[O-] ZINC000780384430 698514184 /nfs/dbraw/zinc/51/41/84/698514184.db2.gz IJZYWUVDUHXTGG-NWDGAFQWSA-N -1 1 313.423 1.759 20 0 DDADMM C[C@@](O)(CC(=O)NC1(c2nn[n-]n2)CCCC1)c1ccccc1 ZINC000780945600 698574983 /nfs/dbraw/zinc/57/49/83/698574983.db2.gz MDQAANVPGQIREA-OAHLLOKOSA-N -1 1 315.377 1.383 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)CC(C)C ZINC000305046020 698627973 /nfs/dbraw/zinc/62/79/73/698627973.db2.gz AQSLHLDGBFPWEN-LLVKDONJSA-N -1 1 309.410 1.925 20 0 DDADMM O=C(COC(=O)[C@H]1[C@@H]2CCCC[C@@H]21)[N-]C(=O)c1ccccc1 ZINC000750914638 700257003 /nfs/dbraw/zinc/25/70/03/700257003.db2.gz SRSGFWQYJIMNSI-NHAGDIPZSA-N -1 1 301.342 1.922 20 0 DDADMM O=C(CC1CCCC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000990106416 698955362 /nfs/dbraw/zinc/95/53/62/698955362.db2.gz ZVPDCBCBQAVORR-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CC=CCC1 ZINC000990210715 698996618 /nfs/dbraw/zinc/99/66/18/698996618.db2.gz RMCCMFFYDZPOPT-NSHDSACASA-N -1 1 301.346 1.084 20 0 DDADMM CC[N-]S(=O)(=O)c1cc(C(=O)OCC)c(Cl)cc1F ZINC000382197212 699066920 /nfs/dbraw/zinc/06/69/20/699066920.db2.gz LEGQGLLRTPXPCV-UHFFFAOYSA-N -1 1 309.746 1.954 20 0 DDADMM C/C=C\C[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000385068683 699074178 /nfs/dbraw/zinc/07/41/78/699074178.db2.gz VMPPAMWRMHDXOX-PLNGDYQASA-N -1 1 308.201 1.345 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-])[C@@H](C)O ZINC000386826210 699079505 /nfs/dbraw/zinc/07/95/05/699079505.db2.gz GZRCRAYXCWUSAQ-APPZFPTMSA-N -1 1 306.315 1.172 20 0 DDADMM COCC[C@](C)(O)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000389059521 699087511 /nfs/dbraw/zinc/08/75/11/699087511.db2.gz IKRKFCFLDRTZLJ-HNNXBMFYSA-N -1 1 311.382 1.131 20 0 DDADMM CC(C)n1cc2c(n1)[C@@H](Nc1nc3[nH][n-]cc-3c(=O)n1)CCC2 ZINC000785837858 699105616 /nfs/dbraw/zinc/10/56/16/699105616.db2.gz UTVYNFNYOFOZBR-NSHDSACASA-N -1 1 313.365 1.699 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])CCOC1 ZINC000397222331 699115127 /nfs/dbraw/zinc/11/51/27/699115127.db2.gz KVUHIBVPWZJRJL-GFCCVEGCSA-N -1 1 318.326 1.439 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])CS1 ZINC000397894803 699118157 /nfs/dbraw/zinc/11/81/57/699118157.db2.gz QOKQPQAWRYCKIA-SFYZADRCSA-N -1 1 320.367 1.906 20 0 DDADMM O=C(CC1CCCC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969825 699195551 /nfs/dbraw/zinc/19/55/51/699195551.db2.gz PMQVQXDBNWXVIL-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CC1 ZINC000703102271 699235281 /nfs/dbraw/zinc/23/52/81/699235281.db2.gz VMUNRCJBDNKBNE-MRVPVSSYSA-N -1 1 308.762 1.577 20 0 DDADMM Cn1cc(CN(CC(=O)[O-])CC2CC2)c(Br)n1 ZINC000712400288 699262105 /nfs/dbraw/zinc/26/21/05/699262105.db2.gz GEASSSCNZXEVIF-UHFFFAOYSA-N -1 1 302.172 1.479 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C12CCC2 ZINC000713067908 699264693 /nfs/dbraw/zinc/26/46/93/699264693.db2.gz LVWVYCJYVGLDET-IUCAKERBSA-N -1 1 319.814 1.309 20 0 DDADMM O=C(NCC[C@H]1CCCS1(=O)=O)c1cc(F)ccc1[O-] ZINC000714375744 699271278 /nfs/dbraw/zinc/27/12/78/699271278.db2.gz GXJDNGFJNBKNDK-SNVBAGLBSA-N -1 1 301.339 1.228 20 0 DDADMM CC(C)(C)c1ncc([N-]S(=O)(=O)C[C@@H]2CCCOC2)cn1 ZINC000788138466 699283416 /nfs/dbraw/zinc/28/34/16/699283416.db2.gz DLAMMDFYPBCZGU-LLVKDONJSA-N -1 1 313.423 1.942 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@@H]2CC(C)(C)CO2)c1Cl ZINC000717303761 699287137 /nfs/dbraw/zinc/28/71/37/699287137.db2.gz GQTODZHAGPYDRQ-SECBINFHSA-N -1 1 321.830 1.557 20 0 DDADMM O=C(Nc1cc(-c2ccccc2F)on1)NN1CC(=O)[N-]C1=O ZINC000788831363 699341977 /nfs/dbraw/zinc/34/19/77/699341977.db2.gz NYPVOMZLVMJXSD-UHFFFAOYSA-N -1 1 319.252 1.069 20 0 DDADMM C[C@H](CCc1ccccc1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000726865276 699385889 /nfs/dbraw/zinc/38/58/89/699385889.db2.gz WIKOJNPDMSLWDW-CYBMUJFWSA-N -1 1 315.373 1.064 20 0 DDADMM CSc1nc(C)c(CCC(=O)OC[C@H]2CCCO2)c(=O)[n-]1 ZINC000728763238 699455219 /nfs/dbraw/zinc/45/52/19/699455219.db2.gz QMYIJZUHPORVEZ-SNVBAGLBSA-N -1 1 312.391 1.867 20 0 DDADMM C[C@H]([C@H](C)NC(=O)c1c([O-])cccc1Cl)N1CCOCC1 ZINC000729540565 699481969 /nfs/dbraw/zinc/48/19/69/699481969.db2.gz HKESFWYOYIVUNH-WDEREUQCSA-N -1 1 312.797 1.885 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@H]1CCCO1 ZINC000731446361 699528859 /nfs/dbraw/zinc/52/88/59/699528859.db2.gz HPHHWNFYXSXMJN-LLVKDONJSA-N -1 1 305.334 1.316 20 0 DDADMM O=C(c1cc2cc(F)ccc2[nH]1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000731855641 699538101 /nfs/dbraw/zinc/53/81/01/699538101.db2.gz XYBYVKMATQQCAJ-GFCCVEGCSA-N -1 1 316.296 1.034 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)NC(=O)c1c([O-])cccc1Cl ZINC000733369828 699590768 /nfs/dbraw/zinc/59/07/68/699590768.db2.gz NOUHASJOOOFYEG-MRVPVSSYSA-N -1 1 305.783 1.599 20 0 DDADMM CCCNc1ccccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000790870992 699601320 /nfs/dbraw/zinc/60/13/20/699601320.db2.gz PEKVNWZIJJDXTN-UHFFFAOYSA-N -1 1 316.361 1.387 20 0 DDADMM COc1ccc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000791091949 699611011 /nfs/dbraw/zinc/61/10/11/699611011.db2.gz YYEHKMYJGJXTGI-UHFFFAOYSA-N -1 1 311.345 1.672 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccn(C4CCCC4)n3)ccnc1-2 ZINC000791168015 699614091 /nfs/dbraw/zinc/61/40/91/699614091.db2.gz SZJACERVWVHNAX-UHFFFAOYSA-N -1 1 310.361 1.906 20 0 DDADMM COc1cc(OC2CC2)ccc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000791612952 699643126 /nfs/dbraw/zinc/64/31/26/699643126.db2.gz GONUNIOMXRANSH-UHFFFAOYSA-N -1 1 319.317 1.015 20 0 DDADMM COc1cc(OC)cc([C@H](O)CNC(=O)c2ncccc2[O-])c1 ZINC000735691687 699700720 /nfs/dbraw/zinc/70/07/20/699700720.db2.gz FEKMWTUXWXHUMN-CQSZACIVSA-N -1 1 318.329 1.268 20 0 DDADMM C[C@H](CC(=O)N1CCOC[C@H]1c1nn[n-]n1)C1CCCCC1 ZINC000735976170 699715065 /nfs/dbraw/zinc/71/50/65/699715065.db2.gz OHYNGPYOCBRQQB-YPMHNXCESA-N -1 1 307.398 1.706 20 0 DDADMM C[C@H](CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC000735977902 699715435 /nfs/dbraw/zinc/71/54/35/699715435.db2.gz WSBUWKKKCSAXAL-DGCLKSJQSA-N -1 1 301.350 1.293 20 0 DDADMM CCc1sc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1C ZINC000736713023 699730425 /nfs/dbraw/zinc/73/04/25/699730425.db2.gz KHPVFIJTLAPVLE-SECBINFHSA-N -1 1 307.379 1.346 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccc(F)cc1)c1ccc2cncn2c1 ZINC000793109032 699733177 /nfs/dbraw/zinc/73/31/77/699733177.db2.gz HXBSORUITBSYPJ-UHFFFAOYSA-N -1 1 319.317 1.592 20 0 DDADMM Cc1ccc(SCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000737368277 699739326 /nfs/dbraw/zinc/73/93/26/699739326.db2.gz HPGREIQKOGXHJC-GFCCVEGCSA-N -1 1 319.390 1.200 20 0 DDADMM Cc1cccc2c(CC(=O)N3CCC(c4nn[n-]n4)CC3)c[nH]c21 ZINC000737407268 699739686 /nfs/dbraw/zinc/73/96/86/699739686.db2.gz BTSJHWQWSUTPRR-UHFFFAOYSA-N -1 1 324.388 1.938 20 0 DDADMM Cc1cnn(CCNc2ccc(Cl)c(-c3nnn[n-]3)n2)c1 ZINC000737449766 699740336 /nfs/dbraw/zinc/74/03/36/699740336.db2.gz ZPQXDJSGTQJQSY-UHFFFAOYSA-N -1 1 304.745 1.532 20 0 DDADMM Cc1cnn(CCNc2ccc(Cl)c(-c3nn[n-]n3)n2)c1 ZINC000737449766 699740337 /nfs/dbraw/zinc/74/03/37/699740337.db2.gz ZPQXDJSGTQJQSY-UHFFFAOYSA-N -1 1 304.745 1.532 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCCN(C(=O)c2cccc3c[nH]nc32)C1 ZINC000739584931 699776322 /nfs/dbraw/zinc/77/63/22/699776322.db2.gz LOBDYTAGZXLFEG-LLVKDONJSA-N -1 1 302.334 1.777 20 0 DDADMM Cc1cc(C)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1C=O ZINC000793813653 699780317 /nfs/dbraw/zinc/78/03/17/699780317.db2.gz KXESEYYGYHZVST-NSHDSACASA-N -1 1 301.350 1.505 20 0 DDADMM O=C(CCCn1ccnc1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000741279041 699828098 /nfs/dbraw/zinc/82/80/98/699828098.db2.gz NYZYDNQSILVSRN-AWEZNQCLSA-N -1 1 311.349 1.082 20 0 DDADMM O=S(=O)([N-]Cc1cccnc1F)c1cc(F)ccc1F ZINC000795267568 699854796 /nfs/dbraw/zinc/85/47/96/699854796.db2.gz GJLWOAWFXQNAJV-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1ccc(F)c(Cl)c1 ZINC000742326123 699873771 /nfs/dbraw/zinc/87/37/71/699873771.db2.gz MNRJKGHDHLSIKG-UHFFFAOYSA-N -1 1 305.718 1.649 20 0 DDADMM CC(C)c1nnc(COC(=O)C(C)(C)[N-]C(=O)C(F)(F)F)[nH]1 ZINC000795549789 699874637 /nfs/dbraw/zinc/87/46/37/699874637.db2.gz PEGCWOCCLGZMFL-UHFFFAOYSA-N -1 1 322.287 1.428 20 0 DDADMM CC(C)c1nc(COC(=O)C(C)(C)[N-]C(=O)C(F)(F)F)n[nH]1 ZINC000795549789 699874638 /nfs/dbraw/zinc/87/46/38/699874638.db2.gz PEGCWOCCLGZMFL-UHFFFAOYSA-N -1 1 322.287 1.428 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CCC(F)F)cc1 ZINC000796016330 699907122 /nfs/dbraw/zinc/90/71/22/699907122.db2.gz WJCMZIBLLVBGNM-UHFFFAOYSA-N -1 1 315.272 1.540 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nc(C(C)(C)C)no2)[n-]1 ZINC000796369770 699929803 /nfs/dbraw/zinc/92/98/03/699929803.db2.gz SGKKRKOAJVCMTD-UHFFFAOYSA-N -1 1 307.306 1.839 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C)C(=O)N2CCCCC2)[n-]1 ZINC000796369033 699930004 /nfs/dbraw/zinc/93/00/04/699930004.db2.gz KMISANQUKBQMHV-SNVBAGLBSA-N -1 1 308.334 1.359 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCc1cnn(C)c1 ZINC000744575733 699954404 /nfs/dbraw/zinc/95/44/04/699954404.db2.gz ZACNWJQGOWGWGK-UHFFFAOYSA-N -1 1 323.374 1.539 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)C2=NN(C)C(=O)CC2)c1 ZINC000744600573 699955312 /nfs/dbraw/zinc/95/53/12/699955312.db2.gz RIUJQNUEKWYYCG-UHFFFAOYSA-N -1 1 318.329 1.289 20 0 DDADMM NC(=O)[C@H](Cc1ccc(F)cc1)OC(=O)c1ccc([O-])cc1F ZINC000751568603 700299981 /nfs/dbraw/zinc/29/99/81/700299981.db2.gz MVEJQWQNHQHLFZ-AWEZNQCLSA-N -1 1 321.279 1.924 20 0 DDADMM CCOCCOC(=O)c1nn(-c2ccc(OC)cc2)cc1[O-] ZINC000801363262 700300808 /nfs/dbraw/zinc/30/08/08/700300808.db2.gz PCIJPABEGJTXTE-UHFFFAOYSA-N -1 1 306.318 1.780 20 0 DDADMM CC[C@@H](OC(=O)c1nn(-c2ccc(Cl)cc2)cc1[O-])C(N)=O ZINC000801376267 700302261 /nfs/dbraw/zinc/30/22/61/700302261.db2.gz XBHNLILIDZAHET-LLVKDONJSA-N -1 1 323.736 1.652 20 0 DDADMM Cn1cnc2cc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)ccc21 ZINC000801702828 700332479 /nfs/dbraw/zinc/33/24/79/700332479.db2.gz BMRALDBQHZAYCL-UHFFFAOYSA-N -1 1 306.329 1.481 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NCCC2CC2)C1 ZINC000868319819 702063409 /nfs/dbraw/zinc/06/34/09/702063409.db2.gz BNGPQOJFJHBLLC-GFCCVEGCSA-N -1 1 307.316 1.639 20 0 DDADMM CC(C)CC1(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CCC1 ZINC000753086934 700407114 /nfs/dbraw/zinc/40/71/14/700407114.db2.gz YMKMRZIVOJLFMH-UHFFFAOYSA-N -1 1 307.398 1.226 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CC[C@H](SC)C2)c1 ZINC000754020636 700476722 /nfs/dbraw/zinc/47/67/22/700476722.db2.gz IFHZMRLGMUVSDK-UWVGGRQHSA-N -1 1 319.404 1.629 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H]2CCC[C@@H](OC)C2)co1 ZINC000756525611 700625685 /nfs/dbraw/zinc/62/56/85/700625685.db2.gz AGGURYOEZCIHJA-MNOVXSKESA-N -1 1 317.363 1.302 20 0 DDADMM CCc1ccccc1N1C[C@@H](C(=O)[N-]OCC(F)F)CC1=O ZINC000759343735 700747609 /nfs/dbraw/zinc/74/76/09/700747609.db2.gz PAYPEVIZCRZFAQ-NSHDSACASA-N -1 1 312.316 1.915 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2ccc(Cl)nc2)o1 ZINC000759611191 700762055 /nfs/dbraw/zinc/76/20/55/700762055.db2.gz BAFFMUUTXGZXGV-LURJTMIESA-N -1 1 302.743 1.466 20 0 DDADMM O=C(CS[C@H]1CCS(=O)(=O)C1)Nc1c([O-])cccc1F ZINC000760196625 700797055 /nfs/dbraw/zinc/79/70/55/700797055.db2.gz DBEWXVDJSMYSFD-QMMMGPOBSA-N -1 1 319.379 1.390 20 0 DDADMM O=C(c1ccc2sccc2c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000760557546 700814068 /nfs/dbraw/zinc/81/40/68/700814068.db2.gz JQFGTICZJCTDGG-NSHDSACASA-N -1 1 315.358 1.628 20 0 DDADMM C[C@H]1CCN(C(=O)c2c([O-])cccc2Cl)CCS1(=O)=O ZINC000760792318 700823321 /nfs/dbraw/zinc/82/33/21/700823321.db2.gz CRVNBGQYWWNWNV-VIFPVBQESA-N -1 1 317.794 1.695 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)NC1(CC(=O)[O-])CCC1 ZINC000763039658 700921467 /nfs/dbraw/zinc/92/14/67/700921467.db2.gz UTPYLULBDKUBOD-ZDUSSCGKSA-N -1 1 320.389 1.989 20 0 DDADMM CCN(CC(=O)N(C)C)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000764184967 700962705 /nfs/dbraw/zinc/96/27/05/700962705.db2.gz BPQYTKCREQYECL-UHFFFAOYSA-N -1 1 304.346 1.409 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Cl)nc3ccccc32)nc1=O ZINC000765411313 701010507 /nfs/dbraw/zinc/01/05/07/701010507.db2.gz XZYFTFVDFAXIGL-UHFFFAOYSA-N -1 1 318.720 1.667 20 0 DDADMM COc1ccc2oc(C)c(C(=O)OCc3nc(=O)n(C)[n-]3)c2c1 ZINC000765460513 701013929 /nfs/dbraw/zinc/01/39/29/701013929.db2.gz KQKHXNPVGGMKQO-UHFFFAOYSA-N -1 1 317.301 1.529 20 0 DDADMM CCc1ccc([C@@H](C)CC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765477441 701014872 /nfs/dbraw/zinc/01/48/72/701014872.db2.gz CASMYVCLPNSUNN-NSHDSACASA-N -1 1 303.362 1.908 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc(-c3cscn3)c2)nc1=O ZINC000765487337 701015368 /nfs/dbraw/zinc/01/53/68/701015368.db2.gz DPORCQZTJRRUGB-UHFFFAOYSA-N -1 1 316.342 1.589 20 0 DDADMM COc1cccc(/C(C)=C/C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765492828 701016010 /nfs/dbraw/zinc/01/60/10/701016010.db2.gz SIOGYRNJOTWXAH-JXMROGBWSA-N -1 1 303.318 1.264 20 0 DDADMM CN(CC(C)(C)S(C)(=O)=O)C(=O)c1c(F)ccc([O-])c1F ZINC000809740562 701684561 /nfs/dbraw/zinc/68/45/61/701684561.db2.gz DHZOWDICFDVQBO-UHFFFAOYSA-N -1 1 321.345 1.566 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C(C)(C)C1CCOCC1 ZINC000809840699 701694861 /nfs/dbraw/zinc/69/48/61/701694861.db2.gz WQQUEZMWIPWCOC-UHFFFAOYSA-N -1 1 303.384 1.049 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCN(C[C@H]2CCCO2)CC1 ZINC000769613431 701250323 /nfs/dbraw/zinc/25/03/23/701250323.db2.gz CCYIHSJLJXHPHG-GFCCVEGCSA-N -1 1 324.808 1.982 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC1(F)F)c1cn2c(n1)CCCC2 ZINC000805144105 701369309 /nfs/dbraw/zinc/36/93/09/701369309.db2.gz VBOHPFGTTGKNBM-SECBINFHSA-N -1 1 305.350 1.686 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)c1ccc(OC(F)F)cc1 ZINC000805604668 701398008 /nfs/dbraw/zinc/39/80/08/701398008.db2.gz OQYDXMHEKSGQHV-SSDOTTSWSA-N -1 1 311.244 1.834 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)[C@@H](C)[C@@H](C)O1 ZINC000806483057 701436982 /nfs/dbraw/zinc/43/69/82/701436982.db2.gz YUEGVLQKVFZTOY-OUAUKWLOSA-N -1 1 303.366 1.371 20 0 DDADMM O=S(=O)([N-]Cc1ccc2c(c1)COC2)c1c[nH]nc1Cl ZINC000830908827 706600834 /nfs/dbraw/zinc/60/08/34/706600834.db2.gz PJWWYRMUJPYJJG-UHFFFAOYSA-N -1 1 313.766 1.572 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCOCC4(CCC4)C3)ccnc1-2 ZINC000806489268 701437828 /nfs/dbraw/zinc/43/78/28/701437828.db2.gz XLCFYQARBYOFLA-UHFFFAOYSA-N -1 1 315.377 1.376 20 0 DDADMM O=S(=O)([N-]c1ccc(C2(O)COC2)cc1)c1ccc(F)cc1 ZINC000808119746 701499022 /nfs/dbraw/zinc/49/90/22/701499022.db2.gz AELXSXFRHULRCH-UHFFFAOYSA-N -1 1 323.345 1.844 20 0 DDADMM CCc1oc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cc1C ZINC000830959895 706611626 /nfs/dbraw/zinc/61/16/26/706611626.db2.gz VBOYZTGEFSZWFF-UHFFFAOYSA-N -1 1 317.345 1.649 20 0 DDADMM CC(C)CO[N-]C(=O)[C@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000810702645 701825321 /nfs/dbraw/zinc/82/53/21/701825321.db2.gz ZPFRSDARELQSEX-ZDUSSCGKSA-N -1 1 310.398 1.187 20 0 DDADMM C[C@@H]1CCCC[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000867968159 701861203 /nfs/dbraw/zinc/86/12/03/701861203.db2.gz ABISYKWYFDGVEH-ZYHUDNBSSA-N -1 1 320.393 1.633 20 0 DDADMM CCn1ncc(C(=O)[N-]c2nnc(-c3c[nH]nc3C)s2)n1 ZINC000816334828 702067061 /nfs/dbraw/zinc/06/70/61/702067061.db2.gz OLPUSGGLYAPTIE-UHFFFAOYSA-N -1 1 304.339 1.100 20 0 DDADMM CC1(C)CCC[C@@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868345690 702075275 /nfs/dbraw/zinc/07/52/75/702075275.db2.gz NYJAZZPBQYZSBU-LBPRGKRZSA-N -1 1 320.393 1.633 20 0 DDADMM O=C(Cc1cccs1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162432 706647440 /nfs/dbraw/zinc/64/74/40/706647440.db2.gz KRQCMUJGBHZTNY-UHFFFAOYSA-N -1 1 306.309 1.428 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2C=CCC2)C1 ZINC000868767933 702310447 /nfs/dbraw/zinc/31/04/47/702310447.db2.gz KKDBKNPKZMXAMG-BXKDBHETSA-N -1 1 305.300 1.558 20 0 DDADMM C[C@@H](C(=O)N=c1ccnc2n(C)[n-]cc1-2)C(=O)OC(C)(C)C ZINC000841202515 702386892 /nfs/dbraw/zinc/38/68/92/702386892.db2.gz CXCWUSAJMKLOHK-VIFPVBQESA-N -1 1 304.350 1.258 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CC2CSC2)c1C(F)(F)F ZINC000882405962 707625341 /nfs/dbraw/zinc/62/53/41/707625341.db2.gz JNXBQTKBDOKRPJ-UHFFFAOYSA-N -1 1 315.342 1.080 20 0 DDADMM CCON(C)C(=O)CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869148877 702480519 /nfs/dbraw/zinc/48/05/19/702480519.db2.gz IKJKNNROWDYSHR-UHFFFAOYSA-N -1 1 300.742 1.114 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)Cc1ccccc1F ZINC000841535238 702485517 /nfs/dbraw/zinc/48/55/17/702485517.db2.gz QKMGLGWSDBYFMZ-NSHDSACASA-N -1 1 301.339 1.197 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)Cc1cccc(Cl)c1 ZINC000841535964 702486265 /nfs/dbraw/zinc/48/62/65/702486265.db2.gz XSACKUVPZYRDTH-LLVKDONJSA-N -1 1 317.794 1.711 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3CCC(C)(C)CO3)ccnc1-2 ZINC000879416007 706674196 /nfs/dbraw/zinc/67/41/96/706674196.db2.gz KSMASSAPKMKLMD-NSHDSACASA-N -1 1 317.393 1.669 20 0 DDADMM CN(C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CC[C@H](O)CC1 ZINC000879416330 706674370 /nfs/dbraw/zinc/67/43/70/706674370.db2.gz QVUUCWMRZNQFAT-XYPYZODXSA-N -1 1 303.366 1.109 20 0 DDADMM C[C@@H]1CC/C(=C\C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869431182 702605057 /nfs/dbraw/zinc/60/50/57/702605057.db2.gz QKLGULOZHAXXIB-YIXGCBLDSA-N -1 1 321.295 1.914 20 0 DDADMM CC[C@H](C)[C@H](C)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869470776 702617165 /nfs/dbraw/zinc/61/71/65/702617165.db2.gz QFHFBOIVDNAYQG-IUCAKERBSA-N -1 1 311.300 1.850 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C1(F)CCCC1 ZINC000869479177 702620538 /nfs/dbraw/zinc/62/05/38/702620538.db2.gz ZEDDRGFFTVOFJT-UHFFFAOYSA-N -1 1 313.247 1.450 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc(Cl)c1 ZINC000866380539 706685585 /nfs/dbraw/zinc/68/55/85/706685585.db2.gz HIDGGQHTOIKSTE-KRWDZBQOSA-N -1 1 310.828 1.792 20 0 DDADMM CCCC[C@H](C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014410 702803449 /nfs/dbraw/zinc/80/34/49/702803449.db2.gz PKARQGACUNJBNA-LBPRGKRZSA-N -1 1 304.456 1.743 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CCC2CCC2)CCC1 ZINC000843016710 702803761 /nfs/dbraw/zinc/80/37/61/702803761.db2.gz SWJXIWKOQAADOV-UHFFFAOYSA-N -1 1 302.440 1.497 20 0 DDADMM C[C@@H]1CCC[C@H](C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843018316 702804297 /nfs/dbraw/zinc/80/42/97/702804297.db2.gz MTLGVGNKXHWHMU-OLZOCXBDSA-N -1 1 316.467 1.743 20 0 DDADMM CCCCO[C@@H](C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843019837 702804693 /nfs/dbraw/zinc/80/46/93/702804693.db2.gz YVJSSLJOGPMSMD-LBPRGKRZSA-N -1 1 320.455 1.122 20 0 DDADMM CCCN1C[C@H](c2noc(-c3ccc(N)cc3[O-])n2)CC1=O ZINC000843242479 702848533 /nfs/dbraw/zinc/84/85/33/702848533.db2.gz PFMFEAUMGOVBAJ-SECBINFHSA-N -1 1 302.334 1.750 20 0 DDADMM Cc1nc(CC[N-]S(=O)(=O)c2ccc(F)nc2F)cs1 ZINC000866451554 706701615 /nfs/dbraw/zinc/70/16/15/706701615.db2.gz VBWXPDQIDFZOPL-UHFFFAOYSA-N -1 1 319.358 1.646 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H](OC(F)F)C1 ZINC000831458026 706710416 /nfs/dbraw/zinc/71/04/16/706710416.db2.gz ITLPWCSAEPXVSV-QMMMGPOBSA-N -1 1 314.317 1.472 20 0 DDADMM O=S(=O)([N-]C1CCOCC1)c1cc(Cl)cnc1Cl ZINC000866549519 706726625 /nfs/dbraw/zinc/72/66/25/706726625.db2.gz STRVZVMINDSPCD-UHFFFAOYSA-N -1 1 311.190 1.846 20 0 DDADMM CCO[N-]C(=O)CNCc1c(Cl)n(C)nc1C(F)(F)F ZINC000846092055 703236794 /nfs/dbraw/zinc/23/67/94/703236794.db2.gz SPKQJESDHJMZKP-UHFFFAOYSA-N -1 1 314.695 1.250 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@H](C)CO1 ZINC000846435749 703275933 /nfs/dbraw/zinc/27/59/33/703275933.db2.gz ZJGPVTNAIWMADE-MWLCHTKSSA-N -1 1 306.391 1.658 20 0 DDADMM C[C@H](CO)C1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000846469434 703280142 /nfs/dbraw/zinc/28/01/42/703280142.db2.gz ACOHEKPCFJHVDE-SNVBAGLBSA-N -1 1 320.418 1.499 20 0 DDADMM Cc1noc([C@H](C)N(C)C(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC000847178501 703384967 /nfs/dbraw/zinc/38/49/67/703384967.db2.gz QWACKUSQSFYJDX-QMMMGPOBSA-N -1 1 315.337 1.258 20 0 DDADMM Cn1cnnc1N1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000848305699 703537916 /nfs/dbraw/zinc/53/79/16/703537916.db2.gz QNAPNYKHZQDLAT-UHFFFAOYSA-N -1 1 321.768 1.137 20 0 DDADMM O=C([O-])[C@@H](Cc1ccncc1)NC(=O)c1[nH]nc2c1CCCC2 ZINC000848457262 703552642 /nfs/dbraw/zinc/55/26/42/703552642.db2.gz XFLOKMXWUWXXEP-CYBMUJFWSA-N -1 1 314.345 1.109 20 0 DDADMM O=C([N-]CCn1cc([C@H]2CCCC(=O)C2)nn1)C(F)(F)F ZINC000849139614 703618881 /nfs/dbraw/zinc/61/88/81/703618881.db2.gz JWXHCMPIXWJYPN-QMMMGPOBSA-N -1 1 304.272 1.183 20 0 DDADMM COc1ccc(-c2cn(CC[N-]C(=O)C(F)(F)F)nn2)cc1 ZINC000849141509 703619239 /nfs/dbraw/zinc/61/92/39/703619239.db2.gz ZZAPGSOTJWZGNA-UHFFFAOYSA-N -1 1 314.267 1.632 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)C[C@@H](OC)C1CCCC1 ZINC000849378298 703641210 /nfs/dbraw/zinc/64/12/10/703641210.db2.gz VGQDRDOVLHYOFK-CYBMUJFWSA-N -1 1 321.439 1.454 20 0 DDADMM O=S(=O)([N-][C@@H]1C=CCC1)c1ncccc1Br ZINC000849429703 703646998 /nfs/dbraw/zinc/64/69/98/703646998.db2.gz UQGPMFTYSYFCDJ-MRVPVSSYSA-N -1 1 303.181 1.841 20 0 DDADMM O=C(NCC[C@@H]1CCSC1)c1ccc2n[n-]c(=S)n2c1 ZINC000869597417 703818160 /nfs/dbraw/zinc/81/81/60/703818160.db2.gz KBLIGXYMUNRDAQ-SECBINFHSA-N -1 1 308.432 1.891 20 0 DDADMM O=C(COC(=O)C1(C(F)F)CCC1)[N-]C(=O)c1ccccc1 ZINC000869710442 703835275 /nfs/dbraw/zinc/83/52/75/703835275.db2.gz QKPCBWKPSUWCLD-UHFFFAOYSA-N -1 1 311.284 1.922 20 0 DDADMM Cn1nnnc1C1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000831754753 706772101 /nfs/dbraw/zinc/77/21/01/706772101.db2.gz GQBBDVITSRWUQQ-UHFFFAOYSA-N -1 1 321.768 1.589 20 0 DDADMM CCC[C@H](C(=O)OCC)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763694 706784265 /nfs/dbraw/zinc/78/42/65/706784265.db2.gz GZKPIRYZSVPPMS-MFKMUULPSA-N -1 1 324.343 1.861 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C[C@@H](O)CC(F)(F)F)C1 ZINC000879765806 706785781 /nfs/dbraw/zinc/78/57/81/706785781.db2.gz IDPZEHIJSISYHA-CBAPKCEASA-N -1 1 322.249 1.443 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[O-])CN1CC(=O)Nc1ccc(Cl)nc1 ZINC000852730893 704108364 /nfs/dbraw/zinc/10/83/64/704108364.db2.gz WFOHIAMAOHACBW-UWVGGRQHSA-N -1 1 311.769 1.859 20 0 DDADMM C[C@@H]([N-]C(=O)C(F)(F)c1nccs1)[C@@H](O)C(F)(F)F ZINC000819568491 704143160 /nfs/dbraw/zinc/14/31/60/704143160.db2.gz URGAJLGLPAJVNO-RFZPGFLSSA-N -1 1 304.240 1.663 20 0 DDADMM C[C@@H]([N-]C(=O)C(F)(F)c1cc(F)cc(F)c1)C(=O)N(C)C ZINC000820646410 704314588 /nfs/dbraw/zinc/31/45/88/704314588.db2.gz BLPJDUJMOFYKPA-SSDOTTSWSA-N -1 1 306.259 1.650 20 0 DDADMM CC1(C)C[C@@](C)([N-]S(=O)(=O)c2cccc(F)c2F)C(=O)O1 ZINC000820734840 704325452 /nfs/dbraw/zinc/32/54/52/704325452.db2.gz LBOIFZWWZFLNEI-CYBMUJFWSA-N -1 1 319.329 1.727 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)N=S(C)(C)=O)c2cccnc12 ZINC000820802631 704333173 /nfs/dbraw/zinc/33/31/73/704333173.db2.gz PFSAYWIBSAJPNL-UHFFFAOYSA-N -1 1 313.404 1.928 20 0 DDADMM COC(=O)CC[C@@H]1CC[C@H](C(=O)Nc2c([O-])cccc2F)O1 ZINC000821343997 704394285 /nfs/dbraw/zinc/39/42/85/704394285.db2.gz CIUVAUJIXDFCHS-JOYOIKCWSA-N -1 1 311.309 1.971 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2nnc(C)o2)c1 ZINC000821352498 704395480 /nfs/dbraw/zinc/39/54/80/704395480.db2.gz KSXDFPNFVPKAPU-OAQYLSRUSA-N -1 1 310.331 1.238 20 0 DDADMM CCc1nn(CCCSC)c(=O)c(-c2nn[n-]n2)c1CC ZINC000821517080 704419031 /nfs/dbraw/zinc/41/90/31/704419031.db2.gz GHMBVFRYQFAFFE-UHFFFAOYSA-N -1 1 308.411 1.301 20 0 DDADMM C[C@@H]1CCN(C(=O)C2=CCCCO2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418411 704528102 /nfs/dbraw/zinc/52/81/02/704528102.db2.gz ILPULRMOYYGPAI-ZJUUUORDSA-N -1 1 320.311 1.596 20 0 DDADMM CCOCCCC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856419422 704528284 /nfs/dbraw/zinc/52/82/84/704528284.db2.gz MNWFBCNJCVBNOB-MNOVXSKESA-N -1 1 324.343 1.719 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NC(C)(C)CCO)c2=O ZINC000857476944 704593821 /nfs/dbraw/zinc/59/38/21/704593821.db2.gz SVIZITQBMRKGEY-UHFFFAOYSA-N -1 1 304.346 1.428 20 0 DDADMM CCOC1CC(CC(=O)Nc2nc3nc(CC)cc(=O)n3[n-]2)C1 ZINC000857684514 704613410 /nfs/dbraw/zinc/61/34/10/704613410.db2.gz NDZOIXZXNAWZQK-UHFFFAOYSA-N -1 1 319.365 1.124 20 0 DDADMM CC(C)COC(=O)N1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858378631 704702410 /nfs/dbraw/zinc/70/24/10/704702410.db2.gz POJLBDDXFPCOJK-UHFFFAOYSA-N -1 1 314.773 1.750 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@H]1c1c(F)cccc1F ZINC000867222169 706929077 /nfs/dbraw/zinc/92/90/77/706929077.db2.gz QTKKVPATKQKUGB-GMSGAONNSA-N -1 1 324.374 1.383 20 0 DDADMM O=C(OCCF)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000859164021 704808856 /nfs/dbraw/zinc/80/88/56/704808856.db2.gz FHXIETVCRMMPQJ-MRVPVSSYSA-N -1 1 300.252 1.483 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H]2C[C@@H]21)c1nc[nH]c1Br ZINC000867388289 706984728 /nfs/dbraw/zinc/98/47/28/706984728.db2.gz YMCSPSJAFIGTIH-CSMHCCOUSA-N -1 1 320.212 1.639 20 0 DDADMM CO[C@](C)([C@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F)C1CC1 ZINC000867404153 706990011 /nfs/dbraw/zinc/99/00/11/706990011.db2.gz GMUNZOQEBGCBCW-ISVAXAHUSA-N -1 1 320.361 1.842 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000859693346 704976442 /nfs/dbraw/zinc/97/64/42/704976442.db2.gz YMUOBHFZRHRCNK-JGVFFNPUSA-N -1 1 305.256 1.899 20 0 DDADMM CC[C@@H](COCC1CC1)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867497597 707019541 /nfs/dbraw/zinc/01/95/41/707019541.db2.gz CKVQQEWMNFPHLU-JTQLQIEISA-N -1 1 320.361 1.843 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)c1nc[nH]c1Br)C1CC1 ZINC000867528257 707029903 /nfs/dbraw/zinc/02/99/03/707029903.db2.gz DBTJWGPBTZJPMY-MRVPVSSYSA-N -1 1 322.228 1.885 20 0 DDADMM C[C@@H]1CN(C(=O)CCCc2nn[n-]n2)CC[C@H](C(F)(F)F)O1 ZINC000874557602 705104104 /nfs/dbraw/zinc/10/41/04/705104104.db2.gz VGXHGAAYMPOPNZ-RKDXNWHRSA-N -1 1 321.303 1.091 20 0 DDADMM CCC[C@@H]1C[C@H]1[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867596112 707049958 /nfs/dbraw/zinc/04/99/58/707049958.db2.gz HTWZFIOQQFVMNH-HTQZYQBOSA-N -1 1 311.329 1.906 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@H]1CC12CCC2 ZINC000874786833 705172002 /nfs/dbraw/zinc/17/20/02/705172002.db2.gz OAGSJZQNUNUKEN-AWEZNQCLSA-N -1 1 324.384 1.742 20 0 DDADMM O=C([O-])C[C@]1(NC(=O)Cc2[nH]nc3ccccc32)CCCOC1 ZINC000823344748 705214384 /nfs/dbraw/zinc/21/43/84/705214384.db2.gz JLUGEXVFKZBNNW-MRXNPFEDSA-N -1 1 317.345 1.246 20 0 DDADMM O=C([N-]CC1CN(C(=O)NOC2CCCC2)C1)C(F)(F)F ZINC000875459760 705395017 /nfs/dbraw/zinc/39/50/17/705395017.db2.gz IBKBDXTVJOEMIM-UHFFFAOYSA-N -1 1 309.288 1.181 20 0 DDADMM Cc1[nH]c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(C)c1C=O ZINC000861537865 705495268 /nfs/dbraw/zinc/49/52/68/705495268.db2.gz FMOQBNKWHOWWLT-UHFFFAOYSA-N -1 1 318.333 1.552 20 0 DDADMM C[C@H]1CN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C(C)(C)C1 ZINC000876299974 705683131 /nfs/dbraw/zinc/68/31/31/705683131.db2.gz GRPHQBOVKRCJMW-SNVBAGLBSA-N -1 1 320.393 1.585 20 0 DDADMM CCCCN(C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CC1 ZINC000876300556 705683407 /nfs/dbraw/zinc/68/34/07/705683407.db2.gz WEHPELIENPJMRP-UHFFFAOYSA-N -1 1 320.393 1.729 20 0 DDADMM CCc1c(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])cnn1C ZINC000825687597 705714595 /nfs/dbraw/zinc/71/45/95/705714595.db2.gz JVSDLFWVNILEET-UHFFFAOYSA-N -1 1 313.321 1.121 20 0 DDADMM COc1ccccc1OCCn1cccc(-c2nn[n-]n2)c1=O ZINC000826221330 705783699 /nfs/dbraw/zinc/78/36/99/705783699.db2.gz ZTYSFMYPVBSXFW-UHFFFAOYSA-N -1 1 313.317 1.116 20 0 DDADMM Cc1ccc([C@@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826285608 705787515 /nfs/dbraw/zinc/78/75/15/705787515.db2.gz DVRYUNXHVWRUGQ-CYBMUJFWSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1ccc([C@@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826285608 705787517 /nfs/dbraw/zinc/78/75/17/705787517.db2.gz DVRYUNXHVWRUGQ-CYBMUJFWSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(C)c(C)c2)c1-c1nnn[n-]1 ZINC000826290819 705787595 /nfs/dbraw/zinc/78/75/95/705787595.db2.gz BBXBUFUBQBVJMS-UHFFFAOYSA-N -1 1 312.333 1.961 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(C)c(C)c2)c1-c1nn[n-]n1 ZINC000826290819 705787600 /nfs/dbraw/zinc/78/76/00/705787600.db2.gz BBXBUFUBQBVJMS-UHFFFAOYSA-N -1 1 312.333 1.961 20 0 DDADMM Cc1cccc([C@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)c1 ZINC000826297936 705787870 /nfs/dbraw/zinc/78/78/70/705787870.db2.gz XOPAOEUTMVOTQS-ZDUSSCGKSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1cccc([C@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)c1 ZINC000826297936 705787873 /nfs/dbraw/zinc/78/78/73/705787873.db2.gz XOPAOEUTMVOTQS-ZDUSSCGKSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1onc(CC(=O)N(C2CC2)C2CCCC2)c1-c1nnn[n-]1 ZINC000826342817 705792842 /nfs/dbraw/zinc/79/28/42/705792842.db2.gz AZLPYQXCQCKXKY-UHFFFAOYSA-N -1 1 316.365 1.639 20 0 DDADMM Cc1onc(CC(=O)N(C2CC2)C2CCCC2)c1-c1nn[n-]n1 ZINC000826342817 705792843 /nfs/dbraw/zinc/79/28/43/705792843.db2.gz AZLPYQXCQCKXKY-UHFFFAOYSA-N -1 1 316.365 1.639 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H](C)CC2)c1-c1nnn[n-]1 ZINC000826344374 705793612 /nfs/dbraw/zinc/79/36/12/705793612.db2.gz GGNKWYXEAWICQQ-VIFPVBQESA-N -1 1 304.354 1.354 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H](C)CC2)c1-c1nn[n-]n1 ZINC000826344374 705793615 /nfs/dbraw/zinc/79/36/15/705793615.db2.gz GGNKWYXEAWICQQ-VIFPVBQESA-N -1 1 304.354 1.354 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H]2C2CC2)c1-c1nnn[n-]1 ZINC000826344627 705793685 /nfs/dbraw/zinc/79/36/85/705793685.db2.gz MYHLFSGNVLDCPD-NSHDSACASA-N -1 1 302.338 1.107 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H]2C2CC2)c1-c1nn[n-]n1 ZINC000826344627 705793688 /nfs/dbraw/zinc/79/36/88/705793688.db2.gz MYHLFSGNVLDCPD-NSHDSACASA-N -1 1 302.338 1.107 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H]2C(C)(C)C)c1-c1nnn[n-]1 ZINC000826345026 705793758 /nfs/dbraw/zinc/79/37/58/705793758.db2.gz ZSLSXMUZCXZFDJ-LLVKDONJSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H]2C(C)(C)C)c1-c1nn[n-]n1 ZINC000826345026 705793761 /nfs/dbraw/zinc/79/37/61/705793761.db2.gz ZSLSXMUZCXZFDJ-LLVKDONJSA-N -1 1 318.381 1.743 20 0 DDADMM c1cc(CNc2ccc(-c3nnn[n-]3)nn2)c2c(c1)OCCO2 ZINC000826516706 705807026 /nfs/dbraw/zinc/80/70/26/705807026.db2.gz XDYLSTOYVPWIHM-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM c1cc(CNc2ccc(-c3nn[n-]n3)nn2)c2c(c1)OCCO2 ZINC000826516706 705807028 /nfs/dbraw/zinc/80/70/28/705807028.db2.gz XDYLSTOYVPWIHM-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM C[C@@H]1C[C@@H]1C[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000863532958 705950350 /nfs/dbraw/zinc/95/03/50/705950350.db2.gz OADUHFYBVOSPRE-ZYUZMQFOSA-N -1 1 308.300 1.239 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000827597341 706034103 /nfs/dbraw/zinc/03/41/03/706034103.db2.gz AVMIOWKEEIBYIB-AQRJEWBLSA-N -1 1 311.341 1.940 20 0 DDADMM C=Cn1cc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)cn1 ZINC000827852326 706076399 /nfs/dbraw/zinc/07/63/99/706076399.db2.gz WYQGLQHXUMMJDF-PWSUYJOCSA-N -1 1 316.327 1.873 20 0 DDADMM C/C(=C/C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1ccco1 ZINC000864160148 706084517 /nfs/dbraw/zinc/08/45/17/706084517.db2.gz SGEXAGYKJHPPGF-UKVQZPPCSA-N -1 1 306.362 1.690 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1cc2ccccc2o1)C(=O)OC ZINC000828048498 706109025 /nfs/dbraw/zinc/10/90/25/706109025.db2.gz CKMBMASIAGARQH-SNVBAGLBSA-N -1 1 311.359 1.910 20 0 DDADMM C[C@H]1Cc2cc(C(=O)NC3(c4nn[n-]n4)CCCC3)ccc2O1 ZINC000828083834 706115103 /nfs/dbraw/zinc/11/51/03/706115103.db2.gz SSUNYQPNFAFKFE-JTQLQIEISA-N -1 1 313.361 1.722 20 0 DDADMM CC(C)C=Cc1cn(Cc2nc3c(c(=O)[n-]2)COCC3)nn1 ZINC000864654855 706226098 /nfs/dbraw/zinc/22/60/98/706226098.db2.gz WFDOYGVTQHDHLD-ARJAWSKDSA-N -1 1 301.350 1.564 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccsc1 ZINC000872436432 707409952 /nfs/dbraw/zinc/40/99/52/707409952.db2.gz DAIBIYTWTGODKM-QGZVFWFLSA-N -1 1 310.466 1.979 20 0 DDADMM CN(CCO)C(=O)c1ccc(I)cc1[O-] ZINC000864813890 706273802 /nfs/dbraw/zinc/27/38/02/706273802.db2.gz UDMKYAYGPSYVEF-UHFFFAOYSA-N -1 1 321.114 1.061 20 0 DDADMM CCN(CC(=O)N[C@@]1(C(=O)[O-])CCc2ccccc21)C1CC1 ZINC000908976346 712906868 /nfs/dbraw/zinc/90/68/68/712906868.db2.gz WXAWQRLFYLVKFR-KRWDZBQOSA-N -1 1 302.374 1.513 20 0 DDADMM CO[C@H]1C[C@@H](C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)C1 ZINC000872508523 707453032 /nfs/dbraw/zinc/45/30/32/707453032.db2.gz GNDOKDHYXLXYQK-AUSHCKSDSA-N -1 1 312.457 1.142 20 0 DDADMM CCc1noc(CC)c1C[N-]S(=O)(=O)c1cccnc1F ZINC000881980994 707453366 /nfs/dbraw/zinc/45/33/66/707453366.db2.gz FXPCWQYRXNCJSR-UHFFFAOYSA-N -1 1 313.354 1.812 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(C[C@H]3CC4(CO3)CCOCC4)C2)n[nH]1 ZINC000878622190 706448130 /nfs/dbraw/zinc/44/81/30/706448130.db2.gz MDNCOYXSBLFORU-CHWSQXEVSA-N -1 1 322.409 1.275 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CC(C)(C)CO2)c1 ZINC000872548209 707472575 /nfs/dbraw/zinc/47/25/75/707472575.db2.gz IHBRXKDTIUPQES-JTQLQIEISA-N -1 1 317.363 1.160 20 0 DDADMM O=C1OCC[C@H]1CCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000872564820 707482419 /nfs/dbraw/zinc/48/24/19/707482419.db2.gz JVAWDCQUYYAPMC-SECBINFHSA-N -1 1 319.329 1.586 20 0 DDADMM CC(C)[C@H](C)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866854774 706825919 /nfs/dbraw/zinc/82/59/19/706825919.db2.gz SJYDFAKFQWYIMO-SSDOTTSWSA-N -1 1 310.217 1.743 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)[C@@H](C)O1 ZINC000830789313 706579876 /nfs/dbraw/zinc/57/98/76/706579876.db2.gz IZVWFKZIFMFLQG-ORXSELOVSA-N -1 1 322.327 1.469 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)/C=C/[C@@H]2CCOC2)C1 ZINC000830796095 706581233 /nfs/dbraw/zinc/58/12/33/706581233.db2.gz VZPAVFMEVDLKSW-YRFZCRBASA-N -1 1 320.311 1.249 20 0 DDADMM CCCCC[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC ZINC000830808107 706584022 /nfs/dbraw/zinc/58/40/22/706584022.db2.gz CDQIOSUFTKJXGJ-QMMMGPOBSA-N -1 1 323.802 1.463 20 0 DDADMM CC[C@@H](C)OCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816330 706585700 /nfs/dbraw/zinc/58/57/00/706585700.db2.gz OJUARCVITUHNJH-SKDRFNHKSA-N -1 1 310.316 1.471 20 0 DDADMM CC(C)OCCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830832225 706588956 /nfs/dbraw/zinc/58/89/56/706588956.db2.gz COEPTNCGAHUXCG-LBPRGKRZSA-N -1 1 310.316 1.471 20 0 DDADMM CCCOC(=O)CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000866910861 706842509 /nfs/dbraw/zinc/84/25/09/706842509.db2.gz UZZMFAUHZYYWEJ-UHFFFAOYSA-N -1 1 311.306 1.839 20 0 DDADMM CCS[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)N=[S@](C)(=O)CC ZINC000866915965 706843775 /nfs/dbraw/zinc/84/37/75/706843775.db2.gz NHSZPNUTTMISJX-YAXFVEMYSA-N -1 1 314.498 1.613 20 0 DDADMM C[C@H](CCCO)[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866947098 706852512 /nfs/dbraw/zinc/85/25/12/706852512.db2.gz LXGJLFBHIIVFDZ-SSDOTTSWSA-N -1 1 313.206 1.828 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCOC[C@H](C)C2)c1 ZINC000867008445 706870814 /nfs/dbraw/zinc/87/08/14/706870814.db2.gz ICDCQEZLSWGCPD-SNVBAGLBSA-N -1 1 301.364 1.058 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CC(C)(C)c1ccncc1 ZINC000867040721 706879651 /nfs/dbraw/zinc/87/96/51/706879651.db2.gz DWQPULBYUYSAHF-IBGZPJMESA-N -1 1 319.452 1.311 20 0 DDADMM Cc1ccncc1[C@@H](C)[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867050735 706882435 /nfs/dbraw/zinc/88/24/35/706882435.db2.gz RSVOVDYKYFZGIE-LLVKDONJSA-N -1 1 317.436 1.547 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCCCS1 ZINC000867089796 706893080 /nfs/dbraw/zinc/89/30/80/706893080.db2.gz LKWHQUBCEQSUKP-FVMDXXJSSA-N -1 1 300.471 1.224 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc(C(C)(C)CC)no1 ZINC000867174348 706915305 /nfs/dbraw/zinc/91/53/05/706915305.db2.gz OGFKAZKIJZJMQW-UHFFFAOYSA-N -1 1 305.400 1.213 20 0 DDADMM CO[C@H]1COCC[C@@H]1N(C)Cc1nc(=O)c2sccc2[n-]1 ZINC000880427667 706972000 /nfs/dbraw/zinc/97/20/00/706972000.db2.gz DYWNAOGTCNIWGQ-QWRGUYRKSA-N -1 1 309.391 1.633 20 0 DDADMM O=S(=O)([N-]C[C@H]1COCO1)c1cc(Cl)cnc1Cl ZINC000867394773 706986082 /nfs/dbraw/zinc/98/60/82/706986082.db2.gz AJMGECSARUQQBM-ZETCQYMHSA-N -1 1 313.162 1.040 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000832847418 706996472 /nfs/dbraw/zinc/99/64/72/706996472.db2.gz KJNCKYMRPUQEMC-ZETCQYMHSA-N -1 1 305.256 1.674 20 0 DDADMM Cc1nnc(SCC(=O)N(CC(=O)[O-])Cc2ccccc2)[nH]1 ZINC000832990936 706998895 /nfs/dbraw/zinc/99/88/95/706998895.db2.gz NCQYVGJNMSECPU-UHFFFAOYSA-N -1 1 320.374 1.319 20 0 DDADMM Cc1n[nH]c(SCC(=O)N(CC(=O)[O-])Cc2ccccc2)n1 ZINC000832990936 706998897 /nfs/dbraw/zinc/99/88/97/706998897.db2.gz NCQYVGJNMSECPU-UHFFFAOYSA-N -1 1 320.374 1.319 20 0 DDADMM O=C([O-])C12CCC(CC1)N2C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000909020070 712918418 /nfs/dbraw/zinc/91/84/18/712918418.db2.gz NJNDJOLTCIUCIV-SBTGLGLWSA-N -1 1 304.350 1.058 20 0 DDADMM O=C([O-])[C@@H]1CCCCCN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000909020488 712918595 /nfs/dbraw/zinc/91/85/95/712918595.db2.gz PMNOVZJKIRVYTQ-MFKMUULPSA-N -1 1 306.366 1.306 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-]CC1CC=CC1)c1ccccc1 ZINC000867555246 707038359 /nfs/dbraw/zinc/03/83/59/707038359.db2.gz JSJFMPQKNFCPJS-LJQANCHMSA-N -1 1 314.432 1.944 20 0 DDADMM CC1(C)OC[C@H]2C[C@]21[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867556821 707038625 /nfs/dbraw/zinc/03/86/25/707038625.db2.gz HUANOBJYBIHZTK-KRTXAFLBSA-N -1 1 304.318 1.206 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2cc(Cl)cnc2Cl)C1 ZINC000867567672 707042389 /nfs/dbraw/zinc/04/23/89/707042389.db2.gz FNABXLDVJJUKBE-ZKCHVHJHSA-N -1 1 311.190 1.844 20 0 DDADMM CS[C@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)C1 ZINC000867673155 707070093 /nfs/dbraw/zinc/07/00/93/707070093.db2.gz JKEMJVMAROPGES-IZLXSQMJSA-N -1 1 315.342 1.601 20 0 DDADMM CC(C)(C)OC(=O)CC1(C(=O)[N-]OCC(C)(C)O)CCC1 ZINC000834628423 707095956 /nfs/dbraw/zinc/09/59/56/707095956.db2.gz KODJJPIEZYWIJU-UHFFFAOYSA-N -1 1 301.383 1.707 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1cccnc1F)C(F)(F)F ZINC000881891773 707409099 /nfs/dbraw/zinc/40/90/99/707409099.db2.gz DIOSJDQWCIHIEV-SSDOTTSWSA-N -1 1 302.249 1.076 20 0 DDADMM O=C([O-])Cn1ccc2cc(NC(=O)CN3CCCC3)ccc21 ZINC000909197947 712960971 /nfs/dbraw/zinc/96/09/71/712960971.db2.gz VEFGNICRICKSDS-UHFFFAOYSA-N -1 1 301.346 1.760 20 0 DDADMM CS(=O)(=O)CCCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872860696 707628483 /nfs/dbraw/zinc/62/84/83/707628483.db2.gz QVMZSJKVWGSRJZ-UHFFFAOYSA-N -1 1 320.798 1.280 20 0 DDADMM CCN1CC[C@H]1CNC(=O)c1nn(-c2ccccc2F)cc1[O-] ZINC000882486185 707656988 /nfs/dbraw/zinc/65/69/88/707656988.db2.gz HUXWOYBIYQIXFA-NSHDSACASA-N -1 1 318.352 1.541 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000882488707 707660702 /nfs/dbraw/zinc/66/07/02/707660702.db2.gz WVJBVKQBSVAWIG-CYBMUJFWSA-N -1 1 318.352 1.541 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)NOC1CCOCC1 ZINC000872975217 707678427 /nfs/dbraw/zinc/67/84/27/707678427.db2.gz UCLUGTQDMGTOAY-UHFFFAOYSA-N -1 1 300.742 1.955 20 0 DDADMM O=C(OC[C@H]1COCCO1)c1ccc(Br)c([O-])c1 ZINC000837590709 707689589 /nfs/dbraw/zinc/68/95/89/707689589.db2.gz HCAJULNYEAIJBJ-SECBINFHSA-N -1 1 317.135 1.727 20 0 DDADMM Cc1cc(F)ccc1-n1cc([O-])c(C(=O)O[C@H]2CCOC2)n1 ZINC000837708780 707722705 /nfs/dbraw/zinc/72/27/05/707722705.db2.gz XTTKMJWNXRZFEM-NSHDSACASA-N -1 1 306.293 1.971 20 0 DDADMM CN=[S@](C)(=O)c1cccc([N-]S(=O)(=O)C[C@@H](C)OC)c1 ZINC000882737039 707766810 /nfs/dbraw/zinc/76/68/10/707766810.db2.gz YOSAPKOTPSCEMW-GIGQVBGESA-N -1 1 320.436 1.550 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@@H]1CCc2nc[nH]c2C1)c1ccccc1 ZINC000909293410 712984181 /nfs/dbraw/zinc/98/41/81/712984181.db2.gz HZTRGLQPGFXGPW-CHWSQXEVSA-N -1 1 313.357 1.499 20 0 DDADMM O=C(CC1(O)CCC1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000838212635 707867879 /nfs/dbraw/zinc/86/78/79/707867879.db2.gz GNEZGRSFJJWBHL-UHFFFAOYSA-N -1 1 304.298 1.847 20 0 DDADMM O=c1nc(NC[C@@H](O)C(F)(F)C(F)(F)F)cc(Cl)[n-]1 ZINC000882977172 707882002 /nfs/dbraw/zinc/88/20/02/707882002.db2.gz ZHBCCDWHTXAHDQ-GSVOUGTGSA-N -1 1 307.606 1.806 20 0 DDADMM CC(C)C[C@@H](C(N)=O)N(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000882985643 707885598 /nfs/dbraw/zinc/88/55/98/707885598.db2.gz GYKSTEACVIBMRA-LBPRGKRZSA-N -1 1 312.797 1.947 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC[C@@H]2CC[C@@H]3C[C@@H]32)o1 ZINC000927488873 712994813 /nfs/dbraw/zinc/99/48/13/712994813.db2.gz APYWZQFMDDYTBD-HBNTYKKESA-N -1 1 312.391 1.354 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@H]2CCCC[C@H]2C(=O)OC)[n-]1 ZINC000909340149 712995741 /nfs/dbraw/zinc/99/57/41/712995741.db2.gz QWHZOHOPRILKAC-GHMZBOCLSA-N -1 1 323.345 1.938 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NC[C@H](Oc1ccc(F)cc1)C(=O)[O-] ZINC000909385847 713005705 /nfs/dbraw/zinc/00/57/05/713005705.db2.gz BHMDPLISILEMAD-KGLIPLIRSA-N -1 1 324.352 1.258 20 0 DDADMM COc1ccccc1C[C@@H](CNC(=O)CN1CCCC1)C(=O)[O-] ZINC000909385628 713005712 /nfs/dbraw/zinc/00/57/12/713005712.db2.gz RXZBCRBSXYNBNI-AWEZNQCLSA-N -1 1 320.389 1.151 20 0 DDADMM CCC(CC)(CNC(=O)c1ccc(CN(C)C)nc1)C(=O)[O-] ZINC000909389631 713006551 /nfs/dbraw/zinc/00/65/51/713006551.db2.gz RKWHHTNMVZPWRC-UHFFFAOYSA-N -1 1 307.394 1.764 20 0 DDADMM COCc1nc(NC[C@@]2(c3cnn(C)c3)CCCO2)cc(=O)[n-]1 ZINC000897255311 708270392 /nfs/dbraw/zinc/27/03/92/708270392.db2.gz GJJUAAXYHUFKAU-OAHLLOKOSA-N -1 1 319.365 1.180 20 0 DDADMM O=C([O-])c1cccc(CCNC(=O)[C@@H]2CCc3[nH]cnc3C2)c1 ZINC000909463923 713024803 /nfs/dbraw/zinc/02/48/03/713024803.db2.gz VQGNUEGEKRNNLJ-GFCCVEGCSA-N -1 1 313.357 1.572 20 0 DDADMM O=S(=O)([N-]C[C@H]1COc2ccccc2O1)c1ccns1 ZINC000884877038 708404333 /nfs/dbraw/zinc/40/43/33/708404333.db2.gz SVBXANSXZWGVPA-VIFPVBQESA-N -1 1 312.372 1.261 20 0 DDADMM O=C(C(=O)N1CCC2(CCNC(=O)O2)CC1)c1ccc([O-])cc1 ZINC000884883177 708406070 /nfs/dbraw/zinc/40/60/70/708406070.db2.gz GFCMUUILMUQOHR-UHFFFAOYSA-N -1 1 318.329 1.066 20 0 DDADMM CCC(CC)(CC(=O)OC)[N-]S(=O)(=O)c1ccns1 ZINC000885041947 708453277 /nfs/dbraw/zinc/45/32/77/708453277.db2.gz AXVJZCBHXZJXOE-UHFFFAOYSA-N -1 1 306.409 1.543 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC[C@H](C)C1 ZINC000912529268 713031019 /nfs/dbraw/zinc/03/10/19/713031019.db2.gz CGONQTAPOVXBIX-IUCAKERBSA-N -1 1 301.368 1.053 20 0 DDADMM Cc1csc([C@@H](C)C[N-]S(=O)(=O)c2ccns2)n1 ZINC000885105414 708474071 /nfs/dbraw/zinc/47/40/71/708474071.db2.gz VIBMFPDGEMNERM-ZETCQYMHSA-N -1 1 303.434 1.990 20 0 DDADMM CCN1C[C@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])CC1=O ZINC000897903236 708487598 /nfs/dbraw/zinc/48/75/98/708487598.db2.gz SJTSUTRCGXPIQO-LLVKDONJSA-N -1 1 314.341 1.602 20 0 DDADMM O=S(=O)([N-]C[C@]1(CO)C[C@@H]1c1ccccc1)c1ccns1 ZINC000885195499 708491331 /nfs/dbraw/zinc/49/13/31/708491331.db2.gz MJGJEPRAVAPVDL-OCCSQVGLSA-N -1 1 324.427 1.588 20 0 DDADMM C[C@@H]1C(=O)N(C)CN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898108128 708545645 /nfs/dbraw/zinc/54/56/45/708545645.db2.gz VWOWOJBJNHNYSZ-SECBINFHSA-N -1 1 300.314 1.511 20 0 DDADMM O=C(CNC(=O)N1CCCC1)Nc1cc(F)c([O-])cc1Cl ZINC000885680275 708596544 /nfs/dbraw/zinc/59/65/44/708596544.db2.gz OINIKDPQKVYHHH-UHFFFAOYSA-N -1 1 315.732 1.929 20 0 DDADMM Cc1nn(C)c(C)c1CC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886263026 708731730 /nfs/dbraw/zinc/73/17/30/708731730.db2.gz JITRLDNDMIUPFA-UHFFFAOYSA-N -1 1 323.343 1.922 20 0 DDADMM CCNC(=O)CCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264577 708732147 /nfs/dbraw/zinc/73/21/47/708732147.db2.gz CSROULCZDUNFQS-UHFFFAOYSA-N -1 1 300.305 1.245 20 0 DDADMM C[C@H](O)C[C@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927784127 713055120 /nfs/dbraw/zinc/05/51/20/713055120.db2.gz NIGTUVMQOYFZIR-IUCAKERBSA-N -1 1 302.321 1.672 20 0 DDADMM C[C@@H](O)[C@H]1CCCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927789665 713056891 /nfs/dbraw/zinc/05/68/91/713056891.db2.gz XKHSASGIRAUDEH-YMTOWFKASA-N -1 1 314.332 1.768 20 0 DDADMM Cn1nnc2c1C[C@@H](NC(=O)c1c([O-])cccc1Cl)CC2 ZINC000886748087 708834771 /nfs/dbraw/zinc/83/47/71/708834771.db2.gz JXACCFKRCKENQH-QMMMGPOBSA-N -1 1 306.753 1.461 20 0 DDADMM Cn1nnc2c1C[C@@H](NC(=O)c1cc(Cl)ccc1[O-])CC2 ZINC000886759163 708838943 /nfs/dbraw/zinc/83/89/43/708838943.db2.gz YLIIPOGSAJFSPP-VIFPVBQESA-N -1 1 306.753 1.461 20 0 DDADMM C[C@]1(CO)C[C@@H](O)CN1C(=O)c1cc2ccccc2cc1[O-] ZINC000912671684 713066016 /nfs/dbraw/zinc/06/60/16/713066016.db2.gz QLQJBLXHMBLYSH-CXAGYDPISA-N -1 1 301.342 1.503 20 0 DDADMM Cn1nccc1[C@@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CCO1 ZINC000887605892 709081042 /nfs/dbraw/zinc/08/10/42/709081042.db2.gz NKDLKBHYVKGKCE-AWEZNQCLSA-N -1 1 321.764 1.993 20 0 DDADMM O=C([O-])[C@H]1C[C@@H](NS(=O)(=O)c2occc2Br)C1 ZINC000899571092 709116120 /nfs/dbraw/zinc/11/61/20/709116120.db2.gz TVNYOIDSRMRKIU-OLQVQODUSA-N -1 1 324.152 1.184 20 0 DDADMM CCCn1nccc1CN[C@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000900074789 709282038 /nfs/dbraw/zinc/28/20/38/709282038.db2.gz YCBGRPRWOHQSCM-LBPRGKRZSA-N -1 1 303.366 1.813 20 0 DDADMM O=C(N[C@@H]1CCCCNC1=O)c1c([O-])cnc2c(F)cccc21 ZINC000900176443 709316359 /nfs/dbraw/zinc/31/63/59/709316359.db2.gz NZIRXBOMTDRBGU-LLVKDONJSA-N -1 1 317.320 1.478 20 0 DDADMM COC(=O)/C(C)=C/CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000927985295 713095577 /nfs/dbraw/zinc/09/55/77/713095577.db2.gz XDTBFIKQTDPBDC-XBXARRHUSA-N -1 1 313.737 1.903 20 0 DDADMM Cc1cnc(C(=O)N2CCCS(=O)(=O)C[C@H]2C2CC2)c([O-])c1 ZINC000888509638 709327960 /nfs/dbraw/zinc/32/79/60/709327960.db2.gz BAFYQEUNFYTMNM-LBPRGKRZSA-N -1 1 324.402 1.135 20 0 DDADMM COc1cc(C(=O)N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)cc(Cl)c1[O-] ZINC000888852823 709391695 /nfs/dbraw/zinc/39/16/95/709391695.db2.gz IMYGJJKHIDBLCB-OGDRMRRZSA-N -1 1 309.749 1.960 20 0 DDADMM O=C([O-])CC[C@H](Cc1ccccc1)NC(=O)CN1CCCC1 ZINC000909539038 709507511 /nfs/dbraw/zinc/50/75/11/709507511.db2.gz VYYUUNSRYWYJPY-OAHLLOKOSA-N -1 1 304.390 1.675 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1Cc2ccc(F)cc21)c1nn[n-]n1 ZINC000912862193 713110318 /nfs/dbraw/zinc/11/03/18/713110318.db2.gz KZVLRZKWOVHEIF-RYUDHWBXSA-N -1 1 321.381 1.589 20 0 DDADMM CCc1ccc(CC(=O)N[C@@H](CCSC)c2nn[n-]n2)cc1 ZINC000912861492 713110554 /nfs/dbraw/zinc/11/05/54/713110554.db2.gz MVDUVJBQGGCEAJ-ZDUSSCGKSA-N -1 1 319.434 1.915 20 0 DDADMM CC[C@@H](CC(F)F)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912863450 713111501 /nfs/dbraw/zinc/11/15/01/713111501.db2.gz YOPRGODUZZIZPJ-YUMQZZPRSA-N -1 1 307.370 1.792 20 0 DDADMM CCN(CC(=O)N1C[C@@H](c2ccccc2)[C@H](C(=O)[O-])C1)C1CC1 ZINC000909627398 709546808 /nfs/dbraw/zinc/54/68/08/709546808.db2.gz SNSUOKNMMVESRY-JKSUJKDBSA-N -1 1 316.401 1.798 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000909701500 709586790 /nfs/dbraw/zinc/58/67/90/709586790.db2.gz UGBGGZZQAMWAHB-OAHLLOKOSA-N -1 1 302.334 1.531 20 0 DDADMM O=C([O-])[C@H](CNC(=O)CCc1cnc[nH]1)c1ccc(F)cc1 ZINC000909705779 709588727 /nfs/dbraw/zinc/58/87/27/709588727.db2.gz YYGZTINRTCTDRO-CYBMUJFWSA-N -1 1 305.309 1.466 20 0 DDADMM COC(=O)[C@@H](C)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000900503059 709626302 /nfs/dbraw/zinc/62/63/02/709626302.db2.gz ZGVGVZSJFRYIFS-VIFPVBQESA-N -1 1 303.314 1.790 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)/C=C\[C@H]2CCCO2)[n-]c1=O ZINC000889786423 709635496 /nfs/dbraw/zinc/63/54/96/709635496.db2.gz MHOTWYZZPHGWEG-PBWNMSGQSA-N -1 1 319.361 1.590 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C2CC(OC)C2)[n-]c1=O ZINC000889785958 709635550 /nfs/dbraw/zinc/63/55/50/709635550.db2.gz JWRMTEBZCIHLBW-VQXHTEKXSA-N -1 1 307.350 1.279 20 0 DDADMM COc1cccc([C@@](C)(CC(=O)[O-])NC(=O)[C@@H]2CCCN2C)c1 ZINC000909816816 709637157 /nfs/dbraw/zinc/63/71/57/709637157.db2.gz AZJLZJPTOJEHTO-WMLDXEAASA-N -1 1 320.389 1.596 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cc[nH]c2C)[n-]c1=O ZINC000889791679 709637976 /nfs/dbraw/zinc/63/79/76/709637976.db2.gz KTLMZIALAJTBMA-NSHDSACASA-N -1 1 302.334 1.805 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C(F)(F)C(F)F)[n-]c1=O ZINC000889792434 709638248 /nfs/dbraw/zinc/63/82/48/709638248.db2.gz ZBMAMTNCCCIEMO-LURJTMIESA-N -1 1 323.246 1.755 20 0 DDADMM Cc1cnc(C(=O)NCc2cc(C(F)(F)F)n(C)n2)c([O-])c1 ZINC000890013221 709706745 /nfs/dbraw/zinc/70/67/45/709706745.db2.gz MWDQBOXJLFMDPJ-UHFFFAOYSA-N -1 1 314.267 1.778 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCc2c[nH]nn2)[C@H]2CCCC[C@H]12 ZINC000909965158 709711007 /nfs/dbraw/zinc/71/10/07/709711007.db2.gz XUPUWFRWICGZDK-UPJWGTAASA-N -1 1 306.366 1.229 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCc2cnn[nH]2)[C@H]2CCCC[C@H]12 ZINC000909965158 709711010 /nfs/dbraw/zinc/71/10/10/709711010.db2.gz XUPUWFRWICGZDK-UPJWGTAASA-N -1 1 306.366 1.229 20 0 DDADMM CCN(CC)S(=O)(=O)CC(=O)Nc1cc([O-])c(F)cc1F ZINC000909981343 709716935 /nfs/dbraw/zinc/71/69/35/709716935.db2.gz OJZBLTUUCYSHHG-UHFFFAOYSA-N -1 1 322.333 1.281 20 0 DDADMM O=C(C[C@@H]1CCCS(=O)(=O)C1)Nc1cc([O-])c(F)cc1F ZINC000909987157 709721724 /nfs/dbraw/zinc/72/17/24/709721724.db2.gz JXCGHEMAKFCTGZ-QMMMGPOBSA-N -1 1 319.329 1.824 20 0 DDADMM C[C@](O)(CNC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccccc1 ZINC000900718053 709726071 /nfs/dbraw/zinc/72/60/71/709726071.db2.gz JFAHCOOVCRIOJJ-KRWDZBQOSA-N -1 1 313.357 1.697 20 0 DDADMM C[C@]1(C(=O)[O-])CCC[C@@H]1NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000909992860 709726129 /nfs/dbraw/zinc/72/61/29/709726129.db2.gz HQWGXSNGJVZSKK-BBRMVZONSA-N -1 1 305.378 1.591 20 0 DDADMM CS[C@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)C(C)(C)C ZINC000910014622 709736000 /nfs/dbraw/zinc/73/60/00/709736000.db2.gz UARWIFJUHOMXSZ-NWDGAFQWSA-N -1 1 316.467 1.677 20 0 DDADMM COCCCn1ccc(NC(=O)c2c([O-])cccc2OC)n1 ZINC000900740729 709737812 /nfs/dbraw/zinc/73/78/12/709737812.db2.gz ZGVPCXSHQBOZHU-UHFFFAOYSA-N -1 1 305.334 1.886 20 0 DDADMM CC1(C(=O)[O-])CN(C(=O)c2ccc(CN3CCCCC3)o2)C1 ZINC000910060796 709760104 /nfs/dbraw/zinc/76/01/04/709760104.db2.gz BQOMJYQLOLSXHO-UHFFFAOYSA-N -1 1 306.362 1.812 20 0 DDADMM Cc1nn[nH]c1C(=O)N1[C@@H](C(=O)[O-])CC[C@H]1c1ccccc1 ZINC000910099317 709772197 /nfs/dbraw/zinc/77/21/97/709772197.db2.gz KTHXNEFTBSQHHX-NWDGAFQWSA-N -1 1 300.318 1.544 20 0 DDADMM CCN(CC(=O)N1CCC[C@@H]1c1nc(C(=O)[O-])cs1)C1CC1 ZINC000910104744 709773781 /nfs/dbraw/zinc/77/37/81/709773781.db2.gz TXXQPJATBVRFPY-GFCCVEGCSA-N -1 1 323.418 1.989 20 0 DDADMM CCN(C)[C@H](C(=O)N1CC([C@@H](F)C(=O)[O-])C1)c1ccccc1 ZINC000910127931 709783274 /nfs/dbraw/zinc/78/32/74/709783274.db2.gz HXLQAWAYQRGBJR-KGLIPLIRSA-N -1 1 308.353 1.561 20 0 DDADMM O=C([O-])[C@@H](F)C1CN(C(=O)c2cc(-c3cccs3)[nH]n2)C1 ZINC000910130441 709784221 /nfs/dbraw/zinc/78/42/21/709784221.db2.gz QUMFTGLRWGJRRX-NSHDSACASA-N -1 1 309.322 1.633 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)[C@@]23CCO[C@H]2CCCC3)CC1 ZINC000910181483 709800455 /nfs/dbraw/zinc/80/04/55/709800455.db2.gz UDTABBUFXKOKMU-GUYCJALGSA-N -1 1 324.421 1.343 20 0 DDADMM Cc1nc(N2CCN(CC(C)(C)O)[C@H](C)C2)sc1C(=O)[O-] ZINC000900950761 709844148 /nfs/dbraw/zinc/84/41/48/709844148.db2.gz GTQYPCFJPYQGDS-SECBINFHSA-N -1 1 313.423 1.431 20 0 DDADMM CCC(CC)[C@@H](CNc1cc(C(=O)[O-])ncn1)N1CCOCC1 ZINC000910340192 709901631 /nfs/dbraw/zinc/90/16/31/709901631.db2.gz PZFRYQKQRPCGBB-CQSZACIVSA-N -1 1 322.409 1.724 20 0 DDADMM C/C=C\[C@@H](O)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000901052749 709902301 /nfs/dbraw/zinc/90/23/01/709902301.db2.gz LBAPJZLSMKPZFA-MPNWYJEXSA-N -1 1 303.358 1.751 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)N1C[C@@H]2CCC[C@]2(C(=O)[O-])C1 ZINC000910418409 709930833 /nfs/dbraw/zinc/93/08/33/709930833.db2.gz MJOZBMORHQBEMX-GUYCJALGSA-N -1 1 324.421 1.058 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](F)CN1C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000910444351 709945148 /nfs/dbraw/zinc/94/51/48/709945148.db2.gz DIQDJVFCEGQACB-GWCFXTLKSA-N -1 1 303.293 1.714 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@@H]1CCC[C@]12CCCO2 ZINC000910512617 709977642 /nfs/dbraw/zinc/97/76/42/709977642.db2.gz FQSPLMDAFWOZSX-ZENOOKHLSA-N -1 1 310.394 1.001 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@H]2CCc3nc[nH]c3C2)C[C@@]1(F)C(=O)[O-] ZINC000910548018 709994753 /nfs/dbraw/zinc/99/47/53/709994753.db2.gz AECRRHHLVBGTJW-WMFXKJRFSA-N -1 1 309.341 1.176 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)N[C@@H](CC(=O)[O-])C1CCCC1 ZINC000910566605 710002599 /nfs/dbraw/zinc/00/25/99/710002599.db2.gz IJSIZEGVJOSQPC-JSGCOSHPSA-N -1 1 312.410 1.104 20 0 DDADMM CC[C@H](C(=O)Nc1nc2c(s1)CC[C@@H](C(=O)[O-])C2)N(C)C ZINC000910678107 710044512 /nfs/dbraw/zinc/04/45/12/710044512.db2.gz VCQBKDJVURUTOD-PSASIEDQSA-N -1 1 311.407 1.611 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(C(F)(F)F)[nH]n2)C[C@H]1CC(=O)[O-] ZINC000910861381 710098333 /nfs/dbraw/zinc/09/83/33/710098333.db2.gz OJTKHIXJSUKACL-RNFRBKRXSA-N -1 1 305.256 1.611 20 0 DDADMM CC[C@@](C)(CN(C)CC(=O)N1CCC[C@@H](C(=O)[O-])C1)OC ZINC000901623392 710099658 /nfs/dbraw/zinc/09/96/58/710099658.db2.gz HFXRNCXFJBHHKM-DOMZBBRYSA-N -1 1 300.399 1.057 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@H](Cc1ccc(F)cc1)C(=O)[O-] ZINC000910907322 710114186 /nfs/dbraw/zinc/11/41/86/710114186.db2.gz MXKPUFLTXYYSTG-KGLIPLIRSA-N -1 1 308.353 1.422 20 0 DDADMM C[C@H](NC(=O)[C@H](C)NC/C=C\c1ccc(F)cc1F)C(=O)[O-] ZINC000901741973 710137069 /nfs/dbraw/zinc/13/70/69/710137069.db2.gz OTFXBQFLVFOGLZ-CMIOBCHKSA-N -1 1 312.316 1.545 20 0 DDADMM COc1cc(C(=O)N=S2(=O)CCOCC2)cc(OC)c1[O-] ZINC000891366005 710174369 /nfs/dbraw/zinc/17/43/69/710174369.db2.gz VZQNKKJMHOWOLM-UHFFFAOYSA-N -1 1 315.347 1.048 20 0 DDADMM C[C@@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)c1ccnn1C ZINC000891613519 710248607 /nfs/dbraw/zinc/24/86/07/710248607.db2.gz IPJVROBKABJIBG-SECBINFHSA-N -1 1 301.350 1.537 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1cnc2n1CCCC2 ZINC000891617969 710249821 /nfs/dbraw/zinc/24/98/21/710249821.db2.gz MZQAWTYOXOGCLK-UHFFFAOYSA-N -1 1 313.361 1.846 20 0 DDADMM CC(=O)N1CCC[C@H](C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)C1 ZINC000891629021 710252421 /nfs/dbraw/zinc/25/24/21/710252421.db2.gz YGYYVELHRMFYBT-NSHDSACASA-N -1 1 318.377 1.258 20 0 DDADMM COCc1nc(N2CCC[C@@H]2c2cn(C)nc2C)cc(=O)[n-]1 ZINC000892910194 710524399 /nfs/dbraw/zinc/52/43/99/710524399.db2.gz PBJFIYRKFNIXJE-GFCCVEGCSA-N -1 1 303.366 1.712 20 0 DDADMM C[C@@H](C(=O)N(C)c1nn[n-]n1)c1ccc(Br)cc1 ZINC000892954674 710531586 /nfs/dbraw/zinc/53/15/86/710531586.db2.gz PPGTVLQOSPYFJX-SSDOTTSWSA-N -1 1 310.155 1.729 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)CC=C(Cl)Cl)N(C)C ZINC000893249465 710579704 /nfs/dbraw/zinc/57/97/04/710579704.db2.gz BKIDXNXYQXXBKU-VIFPVBQESA-N -1 1 317.238 1.338 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)c2cc3[nH]cnc3cc2F)C1 ZINC000902303813 710706866 /nfs/dbraw/zinc/70/68/66/710706866.db2.gz JQXLGXFHWFWOJB-HNNXBMFYSA-N -1 1 321.308 1.265 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)c2cccc3[nH]cnc32)C1 ZINC000902321663 710715756 /nfs/dbraw/zinc/71/57/56/710715756.db2.gz FGTPOJAKDXJHOD-HNNXBMFYSA-N -1 1 303.318 1.126 20 0 DDADMM Cc1cc(C(F)(F)F)nn1CCNCc1cc(C(=O)[O-])no1 ZINC000902549997 710791103 /nfs/dbraw/zinc/79/11/03/710791103.db2.gz RFUMJKKJNFRBIA-UHFFFAOYSA-N -1 1 318.255 1.686 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)CCCn1ccc(C(=O)[O-])n1 ZINC000911569240 710859111 /nfs/dbraw/zinc/85/91/11/710859111.db2.gz BDFVXDBVLXZHPK-ZDUSSCGKSA-N -1 1 322.409 1.304 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913439135 713216406 /nfs/dbraw/zinc/21/64/06/713216406.db2.gz ZOLWNAVFCAEUPG-BFHYXJOUSA-N -1 1 315.377 1.611 20 0 DDADMM O=C(NC[C@H]1CC[C@H](C2CC2)O1)c1nc2ccccc2c(=O)[n-]1 ZINC000903002214 710992518 /nfs/dbraw/zinc/99/25/18/710992518.db2.gz YMWVQOZYRKLUCL-BXUZGUMPSA-N -1 1 313.357 1.611 20 0 DDADMM O=C(C=Cc1ccc2[nH]ccc2c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913493938 713236982 /nfs/dbraw/zinc/23/69/82/713236982.db2.gz NQXVRXHUJRDWNI-KWEXDPCDSA-N -1 1 324.344 1.294 20 0 DDADMM COc1cc(OC)cc([C@H](C(=O)[O-])[N@H+]2CCOC[C@@H](C)C2)c1 ZINC000903632981 711230890 /nfs/dbraw/zinc/23/08/90/711230890.db2.gz ABQHPRJVRUAWGQ-XHDPSFHLSA-N -1 1 309.362 1.798 20 0 DDADMM O=S(=O)(CCCCF)[N-]C1(c2c(F)cccc2F)COC1 ZINC000903653048 711236409 /nfs/dbraw/zinc/23/64/09/711236409.db2.gz FIPGLYUQOLWNRY-UHFFFAOYSA-N -1 1 323.336 1.859 20 0 DDADMM CSc1nc(CNC(=O)[C@]23C[C@H]2COC3(C)C)cc(=O)[n-]1 ZINC000912241301 711239895 /nfs/dbraw/zinc/23/98/95/711239895.db2.gz XRNNCSBRUIWJCL-RTHLEPHNSA-N -1 1 309.391 1.335 20 0 DDADMM O=C(Cc1ccc(Cl)s1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913497268 713238847 /nfs/dbraw/zinc/23/88/47/713238847.db2.gz JQEGUHQZYHGSHI-QMMMGPOBSA-N -1 1 313.770 1.057 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H]1CCN(C2CCCCC2)C1=O ZINC000903712617 711251188 /nfs/dbraw/zinc/25/11/88/711251188.db2.gz OZGWLCBNBVQNQX-CQSZACIVSA-N -1 1 312.410 1.343 20 0 DDADMM O=C(c1coc2cc(F)ccc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499688 713239548 /nfs/dbraw/zinc/23/95/48/713239548.db2.gz XRQTXPYPKSYJQI-NSHDSACASA-N -1 1 317.280 1.299 20 0 DDADMM Cc1nc([C@H](C)N2CCOc3c(cccc3C(=O)[O-])C2)n[nH]1 ZINC000903928651 711336521 /nfs/dbraw/zinc/33/65/21/711336521.db2.gz WNDLMHSSCHNUCA-VIFPVBQESA-N -1 1 302.334 1.767 20 0 DDADMM CCN(C(=O)c1cncc([O-])c1)[C@H]1CCN(CC(F)(F)F)C1 ZINC000912487983 711349555 /nfs/dbraw/zinc/34/95/55/711349555.db2.gz IWEQRERXFNJWEK-NSHDSACASA-N -1 1 317.311 1.886 20 0 DDADMM COCCn1cc(CN[C@H](CC(=O)[O-])c2ccccc2F)cn1 ZINC000904045896 711369474 /nfs/dbraw/zinc/36/94/74/711369474.db2.gz QUTWIQFCIYYJBH-OAHLLOKOSA-N -1 1 321.352 1.974 20 0 DDADMM O=c1nc(NC[C@H]2CCC[C@@H](C(F)(F)F)O2)nc2[nH][n-]cc1-2 ZINC000896033273 711666338 /nfs/dbraw/zinc/66/63/38/711666338.db2.gz IMMGPQGNQPVRHQ-SVRRBLITSA-N -1 1 317.271 1.344 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cc(F)cc1F)[C@@H]1CN(C)CCN1C ZINC000896567069 711754146 /nfs/dbraw/zinc/75/41/46/711754146.db2.gz YYCPWGTWGINRIW-CABZTGNLSA-N -1 1 313.348 1.035 20 0 DDADMM O=C(CSc1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742251 713291817 /nfs/dbraw/zinc/29/18/17/713291817.db2.gz QKQVOGDRPSLKQA-UHFFFAOYSA-N -1 1 303.391 1.698 20 0 DDADMM CC[C@H](O)[C@H](C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000913780411 713299564 /nfs/dbraw/zinc/29/95/64/713299564.db2.gz VGPCDNDNXZFQFW-HOTUBEGUSA-N -1 1 310.316 1.063 20 0 DDADMM CCC(C)(C)C(=O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000913780637 713299603 /nfs/dbraw/zinc/29/96/03/713299603.db2.gz YHAALQJVTPAEKA-ZDUSSCGKSA-N -1 1 322.327 1.661 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)[C@H]1COC(C)(C)C1 ZINC000918061463 713527777 /nfs/dbraw/zinc/52/77/77/713527777.db2.gz RPUWGVUOSIISBO-VXGBXAGGSA-N -1 1 321.439 1.405 20 0 DDADMM CCCNC(=O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000913915741 713318928 /nfs/dbraw/zinc/31/89/28/713318928.db2.gz MQOZXIVHIRKZRO-UHFFFAOYSA-N -1 1 315.320 1.038 20 0 DDADMM C[C@@H](N(C)S(=O)(=O)c1cc(C(=O)[O-])ccc1O)C1(C)CC1 ZINC000906887062 712428103 /nfs/dbraw/zinc/42/81/03/712428103.db2.gz YYGPAGVVCUQADN-SECBINFHSA-N -1 1 313.375 1.900 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CC[C@@H]3CCC[C@@H]32)c1 ZINC000907124490 712493424 /nfs/dbraw/zinc/49/34/24/712493424.db2.gz YPTWQZUIMFROGY-ONGXEEELSA-N -1 1 311.359 1.654 20 0 DDADMM C[C@@H]1C[C@@H](CCNC(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000907334081 712545960 /nfs/dbraw/zinc/54/59/60/712545960.db2.gz DJDBOSQNDNPKMO-MNOVXSKESA-N -1 1 305.378 1.995 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c(O)c1 ZINC000907478414 712582992 /nfs/dbraw/zinc/58/29/92/712582992.db2.gz XZTBNKBPTZFOFL-JTQLQIEISA-N -1 1 305.363 1.144 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c(C)n1C ZINC000907478934 712583062 /nfs/dbraw/zinc/58/30/62/712583062.db2.gz XXFQYJROQHJAQH-NSHDSACASA-N -1 1 306.395 1.085 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480406 712583610 /nfs/dbraw/zinc/58/36/10/712583610.db2.gz OYYILQAILABFFD-HTQZYQBOSA-N -1 1 323.344 1.795 20 0 DDADMM C[C@@H](CC(F)(F)F)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481759 712584028 /nfs/dbraw/zinc/58/40/28/712584028.db2.gz YSFXFIUZJLAZIZ-NKWVEPMBSA-N -1 1 309.317 1.405 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC12CCCCC2)c1ccns1 ZINC000907646916 712608160 /nfs/dbraw/zinc/60/81/60/712608160.db2.gz FGLFWVMXGWKBFQ-JTQLQIEISA-N -1 1 302.421 1.913 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C[C@@H](CO)O1 ZINC000907648244 712608332 /nfs/dbraw/zinc/60/83/32/712608332.db2.gz BEAGFZDQYDSVRO-ZJUUUORDSA-N -1 1 320.320 1.301 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CC(O)C2)c1c(Cl)ccnc1Cl ZINC000907786144 712626300 /nfs/dbraw/zinc/62/63/00/712626300.db2.gz GTHRLNUSALOEKD-WINXVSCGSA-N -1 1 323.201 1.580 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]C1(c2nc(C)no2)CC1)C1CC1 ZINC000907858924 712637046 /nfs/dbraw/zinc/63/70/46/712637046.db2.gz DGAZAZKHMPVEMB-NSHDSACASA-N -1 1 315.395 1.102 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)N[C@@H]1CCOC12CCCCC2 ZINC000907898422 712643983 /nfs/dbraw/zinc/64/39/83/712643983.db2.gz YPBOURVVZPKDBB-ZIAGYGMSSA-N -1 1 324.421 1.391 20 0 DDADMM CN(CC(=O)N[C@H](CC(=O)[O-])C(C)(C)C)[C@H]1CCSC1 ZINC000908033329 712669602 /nfs/dbraw/zinc/66/96/02/712669602.db2.gz LTDGWRIRIHXELD-WDEREUQCSA-N -1 1 302.440 1.429 20 0 DDADMM COc1ccc([C@@H](CC(=O)[O-])NC(=O)CN(C)C2CCC2)cc1 ZINC000908595726 712817254 /nfs/dbraw/zinc/81/72/54/712817254.db2.gz SNFGIGUFBDMSRK-OAHLLOKOSA-N -1 1 320.389 1.812 20 0 DDADMM O=C([O-])CC1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC000908680478 712834303 /nfs/dbraw/zinc/83/43/03/712834303.db2.gz CJGMBQLWYJFGOZ-UHFFFAOYSA-N -1 1 314.345 1.799 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCc2ccccc2Cl)C1 ZINC000908710152 712839247 /nfs/dbraw/zinc/83/92/47/712839247.db2.gz YFWQLAUAAOVLSX-CYBMUJFWSA-N -1 1 324.808 1.795 20 0 DDADMM CN(CCc1ccc(F)cc1)C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000908744789 712846148 /nfs/dbraw/zinc/84/61/48/712846148.db2.gz VHIBLWUIDZCVRF-AWEZNQCLSA-N -1 1 322.380 1.623 20 0 DDADMM CC(=O)NCCCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000914125372 713345570 /nfs/dbraw/zinc/34/55/70/713345570.db2.gz CTPDUHJSHMSOFE-UHFFFAOYSA-N -1 1 315.320 1.038 20 0 DDADMM O=C([O-])[C@H](CCF)NC(=O)N[C@@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC000908757326 712848903 /nfs/dbraw/zinc/84/89/03/712848903.db2.gz UCZXIUZKXHSXHZ-KGLIPLIRSA-N -1 1 323.368 1.373 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)N[C@H](CF)C(=O)[O-])c1ccco1 ZINC000908875354 712876714 /nfs/dbraw/zinc/87/67/14/712876714.db2.gz GBZCLPNTBCZCNW-MNOVXSKESA-N -1 1 315.345 1.384 20 0 DDADMM CCN(CCC(N)=O)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000928823073 713486021 /nfs/dbraw/zinc/48/60/21/713486021.db2.gz ZUHAXNGSDNBNAB-UHFFFAOYSA-N -1 1 304.268 1.749 20 0 DDADMM O=C1Nc2ccccc2C[C@@H]1[N-]S(=O)(=O)CC1(F)CCC1 ZINC000918158615 713531409 /nfs/dbraw/zinc/53/14/09/713531409.db2.gz CTJIVTWAWKKXOJ-LBPRGKRZSA-N -1 1 312.366 1.361 20 0 DDADMM CN1C[C@H](CC[N-]S(=O)(=O)c2sccc2Cl)CC1=O ZINC000921059974 713711444 /nfs/dbraw/zinc/71/14/44/713711444.db2.gz UDXBHGFZGVYBBW-MRVPVSSYSA-N -1 1 322.839 1.548 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2cc(C(F)F)on2)sn1 ZINC000921335436 713737579 /nfs/dbraw/zinc/73/75/79/713737579.db2.gz JUDXZOBDETUOER-UHFFFAOYSA-N -1 1 309.319 1.856 20 0 DDADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000921378185 713748765 /nfs/dbraw/zinc/74/87/65/713748765.db2.gz QDVHKQDTOQJUFC-QMMMGPOBSA-N -1 1 324.449 1.025 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCC2)O1)c1cnc(C2CC2)[n-]c1=O ZINC000922228481 713996972 /nfs/dbraw/zinc/99/69/72/713996972.db2.gz IBAYEXXJJJPGNN-NSHDSACASA-N -1 1 303.362 1.891 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)c1c[nH]c(=O)c(C(F)(F)F)c1 ZINC000931318378 714065129 /nfs/dbraw/zinc/06/51/29/714065129.db2.gz RWXWOUJNJOMCEE-VIFPVBQESA-N -1 1 306.240 1.994 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H]2CCCC(=O)N2C)c1 ZINC000923000353 714209530 /nfs/dbraw/zinc/20/95/30/714209530.db2.gz VFSJCXPJHZAMBN-AWEZNQCLSA-N -1 1 319.357 1.691 20 0 DDADMM CC[C@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C[C@H]1O ZINC000932048441 714246199 /nfs/dbraw/zinc/24/61/99/714246199.db2.gz WCXQMWSEKKVXNV-SMDDNHRTSA-N -1 1 316.361 1.813 20 0 DDADMM O=C(NCCC1CC(O)C1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932050525 714246521 /nfs/dbraw/zinc/24/65/21/714246521.db2.gz WQZCZESNYVMOER-UHFFFAOYSA-N -1 1 316.361 1.860 20 0 DDADMM CC1(CO)CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932056194 714248289 /nfs/dbraw/zinc/24/82/89/714248289.db2.gz CWIKGYZTIOCJEX-UHFFFAOYSA-N -1 1 302.334 1.424 20 0 DDADMM Cn1ccc(C2CCN(Cc3cc(=O)n4[n-]ccc4n3)CC2)n1 ZINC000932143302 714270179 /nfs/dbraw/zinc/27/01/79/714270179.db2.gz ACCLCAGVYSQNMQ-UHFFFAOYSA-N -1 1 312.377 1.136 20 0 DDADMM CCCn1nccc1NC(=O)CN1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC000923529452 714391858 /nfs/dbraw/zinc/39/18/58/714391858.db2.gz MPAQQEOQJRSVKX-QWHCGFSZSA-N -1 1 320.393 1.274 20 0 DDADMM CCC(CC)C(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000966174395 717998283 /nfs/dbraw/zinc/99/82/83/717998283.db2.gz HIGOWKGUEVCHSM-YPMHNXCESA-N -1 1 319.405 1.800 20 0 DDADMM CCC(CC)C(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000966174389 717998306 /nfs/dbraw/zinc/99/83/06/717998306.db2.gz HIGOWKGUEVCHSM-WCQYABFASA-N -1 1 319.405 1.800 20 0 DDADMM COc1cnc([C@H]2CCCN2C[C@@H](O)C(F)(F)F)[n-]c1=O ZINC000934274936 714783635 /nfs/dbraw/zinc/78/36/35/714783635.db2.gz XKGLIBUHESCSOD-VXNVDRBHSA-N -1 1 307.272 1.251 20 0 DDADMM COc1cnc([C@H]2CCCN2CCn2cc(Cl)cn2)[n-]c1=O ZINC000934279234 714785481 /nfs/dbraw/zinc/78/54/81/714785481.db2.gz LLPYVQKGZXOXMR-LLVKDONJSA-N -1 1 323.784 1.878 20 0 DDADMM O=C1N[C@@H](CCF)C(=O)N1CCc1c(F)cc([O-])cc1F ZINC000925464773 714865146 /nfs/dbraw/zinc/86/51/46/714865146.db2.gz WQOJSSBKUROYQG-NSHDSACASA-N -1 1 302.252 1.493 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(-c3ccc(C=O)o3)ccc2[O-])C[C@@H]1O ZINC000935189136 714999365 /nfs/dbraw/zinc/99/93/65/714999365.db2.gz JRVKIOLCGRYNSY-BONVTDFDSA-N -1 1 315.325 1.918 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000937065733 715272632 /nfs/dbraw/zinc/27/26/32/715272632.db2.gz CEHTZMFXLWMABQ-CSXHZRMWSA-N -1 1 303.362 1.426 20 0 DDADMM O=C(CC1CC1)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000959783608 716281046 /nfs/dbraw/zinc/28/10/46/716281046.db2.gz BPWFXXDDSNQLJR-BETUJISGSA-N -1 1 315.373 1.118 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940130190 716641280 /nfs/dbraw/zinc/64/12/80/716641280.db2.gz IVPOAKVEWMHJMH-PWSUYJOCSA-N -1 1 309.341 1.112 20 0 DDADMM CC[C@H](SC)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940131374 716642920 /nfs/dbraw/zinc/64/29/20/716642920.db2.gz CWDMSJMNQNWROB-PWSUYJOCSA-N -1 1 323.418 1.260 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)C1CCC1 ZINC000959443095 717019088 /nfs/dbraw/zinc/01/90/88/717019088.db2.gz FABUSCZLBZRWDD-WCQYABFASA-N -1 1 317.389 1.412 20 0 DDADMM O=C(CC1CC1)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000964680366 717377379 /nfs/dbraw/zinc/37/73/79/717377379.db2.gz RCEYOMMAKKJTDK-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964846402 717451447 /nfs/dbraw/zinc/45/14/47/717451447.db2.gz AKOHYKZBEBAURW-NWDGAFQWSA-N -1 1 323.368 1.646 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942215794 717555966 /nfs/dbraw/zinc/55/59/66/717555966.db2.gz UAOJHICHDDEFAR-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000945571851 718497698 /nfs/dbraw/zinc/49/76/98/718497698.db2.gz RZENTJDDQVYYJQ-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)=C(F)C(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000966319555 718513943 /nfs/dbraw/zinc/51/39/43/718513943.db2.gz UTBVEIMUTRFVIR-WDEREUQCSA-N -1 1 321.352 1.627 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)/C=C\C2CC2)C1 ZINC000967898355 719078179 /nfs/dbraw/zinc/07/81/79/719078179.db2.gz FWRLXDDFDIXQMV-FPLPWBNLSA-N -1 1 315.373 1.426 20 0 DDADMM CC(C)(C)C(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000949461635 720103681 /nfs/dbraw/zinc/10/36/81/720103681.db2.gz HDVCRGWLMSAMOO-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950344272 720587304 /nfs/dbraw/zinc/58/73/04/720587304.db2.gz NECWHKRBGXAENW-CTPBAPBTSA-N -1 1 303.362 1.474 20 0 DDADMM CC1(C)CCC(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000970826583 720950109 /nfs/dbraw/zinc/95/01/09/720950109.db2.gz IWUCFXIOASLGTJ-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM CCC(=O)N1CC[C@H]1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000951437911 721033262 /nfs/dbraw/zinc/03/32/62/721033262.db2.gz ZRIXZWAEDBWDNA-NSHDSACASA-N -1 1 320.393 1.355 20 0 DDADMM COCCC(C)(C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692848378 738528646 /nfs/dbraw/zinc/52/86/46/738528646.db2.gz PFFFQRWNDLQGPC-UHFFFAOYSA-N -1 1 310.778 1.968 20 0 DDADMM Cc1cnc(CN2CCC[C@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001027844308 738729232 /nfs/dbraw/zinc/72/92/32/738729232.db2.gz QAOMXWMIAYQSQR-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM COCCN(C)[C@@H](CNC(=O)C(=O)[O-])c1ccc(Cl)cc1 ZINC001143429433 734629282 /nfs/dbraw/zinc/62/92/82/734629282.db2.gz MPCDISFBGGDJOJ-LBPRGKRZSA-N -1 1 314.769 1.160 20 0 DDADMM CO[C@H](C)C[N@H+]1CCCC[C@@H]1CNC(=O)c1ncccc1O ZINC001024406938 735807271 /nfs/dbraw/zinc/80/72/71/735807271.db2.gz ITBVKQYRPAKGRH-CHWSQXEVSA-N -1 1 307.394 1.406 20 0 DDADMM Cc1ccc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)nn1 ZINC001167934452 739724821 /nfs/dbraw/zinc/72/48/21/739724821.db2.gz JEVBACJPHKOHAL-UHFFFAOYSA-N -1 1 305.274 1.870 20 0 DDADMM CC1(C)CC(C(=O)N2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cnn[nH]2)C3)C1 ZINC000998157006 751552452 /nfs/dbraw/zinc/55/24/52/751552452.db2.gz VGXHFJSQIGCGIU-MDZLAQPJSA-N -1 1 317.393 1.103 20 0 DDADMM O=S(=O)([N-]CCc1cn[nH]c1)c1cnc(Cl)cc1Cl ZINC001212054973 741954858 /nfs/dbraw/zinc/95/48/58/741954858.db2.gz VCPGNCWQVTWSRZ-UHFFFAOYSA-N -1 1 321.189 1.633 20 0 DDADMM Cc1occc1C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244083 742623032 /nfs/dbraw/zinc/62/30/32/742623032.db2.gz HYTHJPCEPXFGGP-JTQLQIEISA-N -1 1 317.345 1.627 20 0 DDADMM CO[C@H](C)CCC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244917 742624423 /nfs/dbraw/zinc/62/44/23/742624423.db2.gz GCAXEJMMRRSNIH-NWDGAFQWSA-N -1 1 323.393 1.227 20 0 DDADMM CC[C@H](F)CN1CC2(C1)CCN(C(=O)c1n[nH]c(C)c1[O-])CC2 ZINC001035686937 751766335 /nfs/dbraw/zinc/76/63/35/751766335.db2.gz VPJLBLYCFCQBEP-LBPRGKRZSA-N -1 1 324.400 1.710 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C(=O)Cc1ccccc1 ZINC001181375569 743170901 /nfs/dbraw/zinc/17/09/01/743170901.db2.gz SXLDVNTWNUFLSL-UHFFFAOYSA-N -1 1 302.246 1.036 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)[C@H](CO)c1ccccc1 ZINC001181921006 743392259 /nfs/dbraw/zinc/39/22/59/743392259.db2.gz BDJZTHGAZBJUHI-MRVPVSSYSA-N -1 1 304.262 1.000 20 0 DDADMM C[C@@H](CC(=O)c1ccccc1)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001182166399 743504821 /nfs/dbraw/zinc/50/48/21/743504821.db2.gz MKMNLVMRBSLUJA-QMMMGPOBSA-N -1 1 316.317 1.318 20 0 DDADMM COc1ccc2c(c1)CC[C@@H]2C(=O)N=c1ncnc2[nH][n-]c(C)c1-2 ZINC001182444917 743629398 /nfs/dbraw/zinc/62/93/98/743629398.db2.gz UCJIKWDJFOLZJI-ZDUSSCGKSA-N -1 1 323.356 1.712 20 0 DDADMM O=C(Cc1ccc2nc[nH]c2c1)Nc1[nH]c(=O)nc2nc[nH]c21 ZINC001182845881 743728242 /nfs/dbraw/zinc/72/82/42/743728242.db2.gz NSAZGVXQYKGOIO-UHFFFAOYSA-N -1 1 309.289 1.116 20 0 DDADMM O=C(Cc1ccc2[nH]cnc2c1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001182845881 743728245 /nfs/dbraw/zinc/72/82/45/743728245.db2.gz NSAZGVXQYKGOIO-UHFFFAOYSA-N -1 1 309.289 1.116 20 0 DDADMM O=C(Cc1ccc2nc[nH]c2c1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001182845881 743728247 /nfs/dbraw/zinc/72/82/47/743728247.db2.gz NSAZGVXQYKGOIO-UHFFFAOYSA-N -1 1 309.289 1.116 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])[C@H]1CNC(=O)c2ccccc21 ZINC001183054997 743773723 /nfs/dbraw/zinc/77/37/23/743773723.db2.gz YGIUOXBAGDAHBY-LBPRGKRZSA-N -1 1 300.289 1.997 20 0 DDADMM COc1cc2cc(C(=O)Nc3c[n-][nH]c3=O)[nH]c2cc1OC ZINC001183561457 743856745 /nfs/dbraw/zinc/85/67/45/743856745.db2.gz CMUUSEVQQMWWTP-UHFFFAOYSA-N -1 1 302.290 1.866 20 0 DDADMM CSc1ncc([O-])c(C(=O)Nc2noc3nccnc23)n1 ZINC001185161962 744168589 /nfs/dbraw/zinc/16/85/89/744168589.db2.gz XBQYPAMYZIEVAC-UHFFFAOYSA-N -1 1 304.291 1.088 20 0 DDADMM C[C@H]1C[C@H]1c1ncc(C(=O)NCCCC[P@](=O)([O-])O)cn1 ZINC001185148034 744175598 /nfs/dbraw/zinc/17/55/98/744175598.db2.gz MSFUQIALLLBCNV-GXSJLCMTSA-N -1 1 313.294 1.288 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)C12CCC(F)(CC1)CC2 ZINC001185536312 744250597 /nfs/dbraw/zinc/25/05/97/744250597.db2.gz GBMPDAHSOLREDW-UHFFFAOYSA-N -1 1 321.312 1.717 20 0 DDADMM COc1cccc(CNC(=O)Nc2cc(=O)[n-]c(N(C)C)n2)c1 ZINC001186175038 744357838 /nfs/dbraw/zinc/35/78/38/744357838.db2.gz OMABMYAKPPZKHX-UHFFFAOYSA-N -1 1 317.349 1.579 20 0 DDADMM O=C(NC[C@@H]1C(=O)Nc2ccccc21)c1ccc([O-])c(F)c1 ZINC001186242804 744362895 /nfs/dbraw/zinc/36/28/95/744362895.db2.gz PKYYKRZCLDOZIF-NSHDSACASA-N -1 1 300.289 1.997 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ccc([O-])cc2F)cn1C ZINC001186319060 744373682 /nfs/dbraw/zinc/37/36/82/744373682.db2.gz UYMFCWZIUNRYFM-UHFFFAOYSA-N -1 1 307.281 1.694 20 0 DDADMM CN1C(=O)CC[C@@H]2CN(C(=O)c3ccc([O-])cc3F)CC[C@@H]21 ZINC001186326454 744375009 /nfs/dbraw/zinc/37/50/09/744375009.db2.gz DGLRNOLTWMMSNS-YGRLFVJLSA-N -1 1 306.337 1.614 20 0 DDADMM COC[C@H]1CN(C(=O)c2ccc([O-])cc2F)Cc2cn(C)nc21 ZINC001186327127 744375176 /nfs/dbraw/zinc/37/51/76/744375176.db2.gz KHXURANBJDQGNC-LLVKDONJSA-N -1 1 319.336 1.651 20 0 DDADMM CC[C@H](CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001187027901 744486378 /nfs/dbraw/zinc/48/63/78/744486378.db2.gz QBYNNSHYLDSPDN-VXGBXAGGSA-N -1 1 323.441 1.663 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc2c(c1)CN(C1CC1)C2=O ZINC001187291730 744535427 /nfs/dbraw/zinc/53/54/27/744535427.db2.gz DLDXFTLPEWWAIW-UHFFFAOYSA-N -1 1 324.402 1.583 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cnc(OCC2CC2)nc1 ZINC001187250986 744536869 /nfs/dbraw/zinc/53/68/69/744536869.db2.gz WNFZGVCYTMPMGQ-UHFFFAOYSA-N -1 1 301.368 1.044 20 0 DDADMM Cc1cccc2nc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)cn21 ZINC000155578786 744573989 /nfs/dbraw/zinc/57/39/89/744573989.db2.gz IKBSUUKVRFTEPT-NSHDSACASA-N -1 1 311.349 1.176 20 0 DDADMM C[S@](=O)c1ccccc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001187821514 744613123 /nfs/dbraw/zinc/61/31/23/744613123.db2.gz ZJWHWJDKRFGAMP-QFIPXVFZSA-N -1 1 322.302 1.275 20 0 DDADMM CCCCC[C@H]([N-]S(=O)(=O)Cc1nocc1C)C(=O)OC ZINC001187884684 744632638 /nfs/dbraw/zinc/63/26/38/744632638.db2.gz QFOUQZXZYYILPX-NSHDSACASA-N -1 1 318.395 1.524 20 0 DDADMM O=S(=O)([N-]Cc1cnco1)c1ccc(Cl)nc1Cl ZINC001187980186 744642169 /nfs/dbraw/zinc/64/21/69/744642169.db2.gz SRZLPPHESHBVKX-UHFFFAOYSA-N -1 1 308.146 1.855 20 0 DDADMM O=S(=O)([N-]CC1(F)COC1)c1cnc(Cl)c(Cl)c1 ZINC001188088038 744658852 /nfs/dbraw/zinc/65/88/52/744658852.db2.gz VCVPCFCAVXCLHL-UHFFFAOYSA-N -1 1 315.153 1.405 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2ncc(C(F)(F)F)[n-]2)cn1 ZINC001188277189 744685375 /nfs/dbraw/zinc/68/53/75/744685375.db2.gz CUEBCAKVPQGHKC-UHFFFAOYSA-N -1 1 314.223 1.862 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2nc(C(F)(F)F)c[n-]2)cn1 ZINC001188277189 744685376 /nfs/dbraw/zinc/68/53/76/744685376.db2.gz CUEBCAKVPQGHKC-UHFFFAOYSA-N -1 1 314.223 1.862 20 0 DDADMM CCOC(=O)c1cccc(CNC(=O)c2ccncc2[O-])n1 ZINC001188637576 744743791 /nfs/dbraw/zinc/74/37/91/744743791.db2.gz CKIPHFPJAHZGNI-UHFFFAOYSA-N -1 1 301.302 1.289 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cccc(-c2cc[nH]n2)c1 ZINC001189000801 744807075 /nfs/dbraw/zinc/80/70/75/744807075.db2.gz SLKSOQRNJUDHIS-UHFFFAOYSA-N -1 1 312.289 1.112 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cccc(-c2cn[nH]c2)c1 ZINC001189232788 744849994 /nfs/dbraw/zinc/84/99/94/744849994.db2.gz BQANDFRMOZUFRW-UHFFFAOYSA-N -1 1 312.289 1.112 20 0 DDADMM COC(=O)c1ccncc1C(=O)[N-]c1nnc(C(C)(C)C)o1 ZINC001189792733 744984105 /nfs/dbraw/zinc/98/41/05/744984105.db2.gz PKRWPXRHDCDNAN-UHFFFAOYSA-N -1 1 304.306 1.801 20 0 DDADMM COC(=O)[C@H](C)NC(=O)Nc1cc2ccc([O-])cc2oc1=O ZINC001190513842 745235406 /nfs/dbraw/zinc/23/54/06/745235406.db2.gz HXYRKDPFEMTOAG-ZETCQYMHSA-N -1 1 306.274 1.182 20 0 DDADMM O=C(c1cnc(-c2ccccn2)[n-]c1=O)N1CC2(C1)CCCO2 ZINC001190619212 745259749 /nfs/dbraw/zinc/25/97/49/745259749.db2.gz ZRLXLZUOTAHYQI-UHFFFAOYSA-N -1 1 312.329 1.249 20 0 DDADMM COC(=O)c1cc(C)cc([N-]S(=O)(=O)c2ccncc2)c1 ZINC001190897326 745370777 /nfs/dbraw/zinc/37/07/77/745370777.db2.gz DHJYBGGAUYDNMT-UHFFFAOYSA-N -1 1 306.343 1.977 20 0 DDADMM CC(=O)Nc1ccc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)cc1C ZINC001191434317 745511333 /nfs/dbraw/zinc/51/13/33/745511333.db2.gz MLRNQZYJTHZYPD-UHFFFAOYSA-N -1 1 324.344 1.554 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)c(=O)[n-]1 ZINC001191438172 745512479 /nfs/dbraw/zinc/51/24/79/745512479.db2.gz RVJWISILMYVERK-UHFFFAOYSA-N -1 1 317.330 1.738 20 0 DDADMM CSc1ncc(C(=O)NC2(c3ccccn3)CC2)c(=O)[n-]1 ZINC001191438176 745512524 /nfs/dbraw/zinc/51/25/24/745512524.db2.gz UHQGJCNRBHBINM-UHFFFAOYSA-N -1 1 302.359 1.718 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC2(CCC(=O)O2)CC1 ZINC001192536006 745825668 /nfs/dbraw/zinc/82/56/68/745825668.db2.gz OJUNUZMGOFJOAI-UHFFFAOYSA-N -1 1 311.284 1.982 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1Cc2ccnn2CC[C@H]1CO ZINC001192539735 745826700 /nfs/dbraw/zinc/82/67/00/745826700.db2.gz IJVSPFMGMICEST-JTQLQIEISA-N -1 1 323.299 1.274 20 0 DDADMM CCOc1ccc(S(=O)(=O)Nc2ccnnc2)cc1C(=O)[O-] ZINC001192608238 745840988 /nfs/dbraw/zinc/84/09/88/745840988.db2.gz HJASYBXVBPHXOU-UHFFFAOYSA-N -1 1 323.330 1.374 20 0 DDADMM O=C(N[C@H]1NC(=O)c2ccccc21)c1cc([O-])cc(F)c1F ZINC001192678596 745860157 /nfs/dbraw/zinc/86/01/57/745860157.db2.gz IDWMDDNQCHVGJA-CYBMUJFWSA-N -1 1 304.252 1.842 20 0 DDADMM COC(=O)c1csc(C)c1[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC001193207398 746014100 /nfs/dbraw/zinc/01/41/00/746014100.db2.gz LITSCRULJDRYHD-VIFPVBQESA-N -1 1 319.404 1.764 20 0 DDADMM Cc1cnc(CN2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001007187133 752053561 /nfs/dbraw/zinc/05/35/61/752053561.db2.gz DJWNQRZWAQBHPI-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1cccc(Cl)c1CO ZINC001193262857 746035974 /nfs/dbraw/zinc/03/59/74/746035974.db2.gz VPLBKCSNYVKXQE-SECBINFHSA-N -1 1 305.783 1.753 20 0 DDADMM CCOC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC001193279751 746044297 /nfs/dbraw/zinc/04/42/97/746044297.db2.gz WLIHXPDLRLYNIS-VXGBXAGGSA-N -1 1 307.412 1.063 20 0 DDADMM C[C@H]1CCC[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000998623102 752062314 /nfs/dbraw/zinc/06/23/14/752062314.db2.gz MKNKOABZJVDZJY-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM COC(=O)c1c2[nH]ncc2ccc1NC(=O)c1cc(=O)[nH][n-]1 ZINC001193469176 746112830 /nfs/dbraw/zinc/11/28/30/746112830.db2.gz LWLNHBMUCGEPRI-UHFFFAOYSA-N -1 1 301.262 1.031 20 0 DDADMM COc1ccnc(C(=O)Nc2cccc(-n3nccn3)c2)c1[O-] ZINC001193530965 746121236 /nfs/dbraw/zinc/12/12/36/746121236.db2.gz CJAJTCWHRMGZQY-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccccc2-c2nc[nH]n2)[n-]n1 ZINC001194288384 746343801 /nfs/dbraw/zinc/34/38/01/746343801.db2.gz APXKVHWIPYFYST-UHFFFAOYSA-N -1 1 312.289 1.234 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnnc(OCC)c2)n1 ZINC001194450025 746374939 /nfs/dbraw/zinc/37/49/39/746374939.db2.gz SYCNAHUOXMETME-UHFFFAOYSA-N -1 1 305.294 1.027 20 0 DDADMM COC[C@@]12CCCO[C@@H]1CCN(C(=O)c1nc(C)ccc1[O-])C2 ZINC001195323379 746564656 /nfs/dbraw/zinc/56/46/56/746564656.db2.gz URDPHHPHPLPTQD-PBHICJAKSA-N -1 1 320.389 1.753 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCc3nc(Cl)ncc3C2)n1 ZINC001195324257 746565344 /nfs/dbraw/zinc/56/53/44/746565344.db2.gz PCDUVINBEVPWOT-UHFFFAOYSA-N -1 1 304.737 1.738 20 0 DDADMM O=C(NC[C@@H](O)c1ccc(O)cc1)c1cc([O-])cnc1Cl ZINC001195311881 746573784 /nfs/dbraw/zinc/57/37/84/746573784.db2.gz CIPBIRQODOCQHN-GFCCVEGCSA-N -1 1 308.721 1.610 20 0 DDADMM COc1ccc2nc(NC(=O)c3nc(C)ccc3[O-])nnc2c1 ZINC001195318603 746575750 /nfs/dbraw/zinc/57/57/50/746575750.db2.gz LTUBIOKIOOQJBN-UHFFFAOYSA-N -1 1 311.301 1.695 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(Cl)nc1C(=O)OC ZINC001195458683 746606321 /nfs/dbraw/zinc/60/63/21/746606321.db2.gz YXFBKQOMIFWORU-UHFFFAOYSA-N -1 1 317.754 1.965 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2ccc(O)cc2)cn1C ZINC001195766433 746691285 /nfs/dbraw/zinc/69/12/85/746691285.db2.gz NMFQXHMMVQPNAI-UHFFFAOYSA-N -1 1 310.331 1.318 20 0 DDADMM CN(CCc1ccc(F)cc1)C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196014213 746756462 /nfs/dbraw/zinc/75/64/62/746756462.db2.gz RBNGBXYJANFHLV-UHFFFAOYSA-N -1 1 307.350 1.925 20 0 DDADMM CCOC(=O)c1cccc(NC(=O)c2c[nH]c(=S)[n-]c2=O)n1 ZINC001196013400 746756966 /nfs/dbraw/zinc/75/69/66/746756966.db2.gz CJGJPNWUWIQTQI-UHFFFAOYSA-N -1 1 320.330 1.295 20 0 DDADMM O=C(Nc1ccc(-n2ccnn2)cc1)c1c[nH]c(=S)[n-]c1=O ZINC001196016288 746757305 /nfs/dbraw/zinc/75/73/05/746757305.db2.gz RVKMSHBSGXXXFL-UHFFFAOYSA-N -1 1 314.330 1.304 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)c1noc(C(C)(C)C)n1 ZINC001196978866 747023369 /nfs/dbraw/zinc/02/33/69/747023369.db2.gz PWLADGPMGPKNCB-UHFFFAOYSA-N -1 1 307.310 1.519 20 0 DDADMM O=S(=O)(Cc1c(F)cccc1F)[N-]c1cccnc1CO ZINC001197567014 747202541 /nfs/dbraw/zinc/20/25/41/747202541.db2.gz ALVXACKRWPHKLR-UHFFFAOYSA-N -1 1 314.313 1.794 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccccn1)C(C)(C)C ZINC001197840797 747275819 /nfs/dbraw/zinc/27/58/19/747275819.db2.gz SKVVKPCXRHNTKZ-LLVKDONJSA-N -1 1 300.380 1.089 20 0 DDADMM CC(C)c1nc(C[N-]S(=O)(=O)c2cccc(Cl)n2)no1 ZINC001198241851 747425916 /nfs/dbraw/zinc/42/59/16/747425916.db2.gz KJMLIHPISRAKMQ-UHFFFAOYSA-N -1 1 316.770 1.720 20 0 DDADMM Cn1nc2c(c1NS(=O)(=O)c1ccccc1C(=O)[O-])CCC2 ZINC001198739757 747585082 /nfs/dbraw/zinc/58/50/82/747585082.db2.gz YWAJQSSIZCKQEA-UHFFFAOYSA-N -1 1 321.358 1.408 20 0 DDADMM CCOc1ccc(NS(=O)(=O)c2ccccc2C(=O)[O-])cn1 ZINC001198741586 747586840 /nfs/dbraw/zinc/58/68/40/747586840.db2.gz QCSHYBDTCAWFDZ-UHFFFAOYSA-N -1 1 322.342 1.979 20 0 DDADMM Cc1nsc(N2CCC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001061344531 747681675 /nfs/dbraw/zinc/68/16/75/747681675.db2.gz UKQYKPNSQHUKAI-JTQLQIEISA-N -1 1 319.390 1.346 20 0 DDADMM COc1nccc(C(=O)[N-]c2nnc(SC)nc2SC)n1 ZINC001199319140 747798157 /nfs/dbraw/zinc/79/81/57/747798157.db2.gz OWEFAPMFIKXYLM-UHFFFAOYSA-N -1 1 324.391 1.366 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(Cc2ccon2)C1 ZINC001033026088 747974990 /nfs/dbraw/zinc/97/49/90/747974990.db2.gz SHQFLCUBHPHTDF-GFCCVEGCSA-N -1 1 302.334 1.122 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=S)Nc1ccc([O-])c(F)c1F ZINC001199836294 748013051 /nfs/dbraw/zinc/01/30/51/748013051.db2.gz LWVCJWNMPZCKHN-ZCFIWIBFSA-N -1 1 304.318 1.908 20 0 DDADMM CCOC(=O)CCNC(=S)Nc1cc(Cl)ncc1[O-] ZINC001200162338 748137594 /nfs/dbraw/zinc/13/75/94/748137594.db2.gz CEJVCLLKPAMCPH-UHFFFAOYSA-N -1 1 303.771 1.680 20 0 DDADMM CSc1ccncc1[N-]C(=O)c1nc2ncc(C)cn2n1 ZINC001137169242 749376544 /nfs/dbraw/zinc/37/65/44/749376544.db2.gz TYVLLXMDRJMCKP-UHFFFAOYSA-N -1 1 300.347 1.802 20 0 DDADMM O=C(CCC1CC1)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202671798 749492326 /nfs/dbraw/zinc/49/23/26/749492326.db2.gz BIVNLIYTQPAYMM-VXGBXAGGSA-N -1 1 319.409 1.218 20 0 DDADMM Cc1nsc(N(C)[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001056900393 761993024 /nfs/dbraw/zinc/99/30/24/761993024.db2.gz GDHKRMZMVZJKLY-SNVBAGLBSA-N -1 1 319.390 1.298 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])c1nccc(C)n1 ZINC001095863146 750602468 /nfs/dbraw/zinc/60/24/68/750602468.db2.gz XZQWOVHCWJQNGA-UHFFFAOYSA-N -1 1 315.377 1.532 20 0 DDADMM C[C@]1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC=CCC1 ZINC001034946303 751071411 /nfs/dbraw/zinc/07/14/11/751071411.db2.gz BMADHUUKSKNNBF-WBMJQRKESA-N -1 1 319.409 1.337 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036533179 752524850 /nfs/dbraw/zinc/52/48/50/752524850.db2.gz NMARDBQMULBJPZ-FDZLHUOSSA-N -1 1 307.398 1.193 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008066423 752529733 /nfs/dbraw/zinc/52/97/33/752529733.db2.gz BECLSMNRAAAYAZ-PTJCHJMSSA-N -1 1 317.389 1.720 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)c1ccon1 ZINC001066940605 753015631 /nfs/dbraw/zinc/01/56/31/753015631.db2.gz RLVFZYYIYFSDGV-UHFFFAOYSA-N -1 1 318.333 1.010 20 0 DDADMM CCCOCC(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066967520 753738267 /nfs/dbraw/zinc/73/82/67/753738267.db2.gz OMUUZLWICADHRB-UHFFFAOYSA-N -1 1 323.393 1.134 20 0 DDADMM O=C(N[C@H]1CCN(Cc2cncc(F)c2)C1)c1ncccc1[O-] ZINC001010734060 754131027 /nfs/dbraw/zinc/13/10/27/754131027.db2.gz RWGRJXQAMZZBLH-ZDUSSCGKSA-N -1 1 316.336 1.326 20 0 DDADMM CCC1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CCC1 ZINC001011036354 754319380 /nfs/dbraw/zinc/31/93/80/754319380.db2.gz JCBBXKATXXEHOC-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)C=C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012504702 755112553 /nfs/dbraw/zinc/11/25/53/755112553.db2.gz CCWFVYBTTMZQNQ-YPMHNXCESA-N -1 1 315.373 1.617 20 0 DDADMM CC(C)C(=O)N1CC[C@]2(NC(=O)c3ncccc3[O-])CCC[C@H]12 ZINC001014253537 755663797 /nfs/dbraw/zinc/66/37/97/755663797.db2.gz QBAXWTABHSEVIU-SUMWQHHRSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1Nc1cccc(F)n1 ZINC001067075450 755685488 /nfs/dbraw/zinc/68/54/88/755685488.db2.gz GTOGVYXZAQNLPI-MNOVXSKESA-N -1 1 316.336 1.894 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C1CC1 ZINC001014896898 756004991 /nfs/dbraw/zinc/00/49/91/756004991.db2.gz YNFXWOODIYNAFC-ZYHUDNBSSA-N -1 1 303.362 1.307 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001014896052 756005069 /nfs/dbraw/zinc/00/50/69/756005069.db2.gz VVNVDEXXHNMVNW-WIGWWYOCSA-N -1 1 317.389 1.863 20 0 DDADMM CC(=O)N1CCC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]1C ZINC001014955700 756036550 /nfs/dbraw/zinc/03/65/50/756036550.db2.gz MTRYJUZSVKZDMR-NOZJJQNGSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C2(C)CC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014992914 756058383 /nfs/dbraw/zinc/05/83/83/756058383.db2.gz IQMSWEJGCDMJIE-RYUDHWBXSA-N -1 1 317.389 1.697 20 0 DDADMM CC1CC(C(=O)N(C)CCCN(C)C(=O)c2ncccc2[O-])C1 ZINC001067148330 756891953 /nfs/dbraw/zinc/89/19/53/756891953.db2.gz JMLSMSDYYGKMQA-UHFFFAOYSA-N -1 1 319.405 1.754 20 0 DDADMM CC[C@@H](F)CN1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001084300015 757471148 /nfs/dbraw/zinc/47/11/48/757471148.db2.gz RIHPSNQOLCLWHU-JHJVBQTASA-N -1 1 307.369 1.682 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)C=C2CCC2)C1 ZINC001017102249 757639495 /nfs/dbraw/zinc/63/94/95/757639495.db2.gz REEOIISKGCRPQQ-ZDUSSCGKSA-N -1 1 319.409 1.291 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)[C@H]2CC2(C)C)C1 ZINC001017107987 757643213 /nfs/dbraw/zinc/64/32/13/757643213.db2.gz ZJBIMBOFMXQPPB-NWDGAFQWSA-N -1 1 321.425 1.227 20 0 DDADMM CCC1(C(=O)N2CCC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001017203716 757711384 /nfs/dbraw/zinc/71/13/84/757711384.db2.gz MHWSXGXRBSSGPL-GFCCVEGCSA-N -1 1 321.425 1.371 20 0 DDADMM O=C(N[C@H]1CCCN(CCF)CC1)c1ccc2oc(=O)nc-2[n-]1 ZINC001052864161 757897106 /nfs/dbraw/zinc/89/71/06/757897106.db2.gz BDGZFLFCZORUJY-JTQLQIEISA-N -1 1 322.340 1.482 20 0 DDADMM O=C(N[C@@H]1CCCN(CCF)CC1)c1ccc2oc(=O)nc-2[n-]1 ZINC001052864162 757899425 /nfs/dbraw/zinc/89/94/25/757899425.db2.gz BDGZFLFCZORUJY-SNVBAGLBSA-N -1 1 322.340 1.482 20 0 DDADMM Cc1occc1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085128460 758277841 /nfs/dbraw/zinc/27/78/41/758277841.db2.gz SYYRSCSZYWUWJU-VXGBXAGGSA-N -1 1 319.365 1.243 20 0 DDADMM CCOC(=O)[C@@H](F)[C@@H]1CCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000823211813 758281769 /nfs/dbraw/zinc/28/17/69/758281769.db2.gz KXMBFIJEUIWPIH-MFKMUULPSA-N -1 1 313.300 1.895 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CCC1 ZINC001018098113 758546091 /nfs/dbraw/zinc/54/60/91/758546091.db2.gz BHZWNJGGVGSJLI-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM O=C(CC1CCCCC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018468095 758870723 /nfs/dbraw/zinc/87/07/23/758870723.db2.gz KTOMBPILGCEGJT-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM C[C@H](N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ncccn1 ZINC001018756054 759227872 /nfs/dbraw/zinc/22/78/72/759227872.db2.gz KGSDPIKGFMVVKW-RYUDHWBXSA-N -1 1 313.361 1.143 20 0 DDADMM CCc1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)s1 ZINC001019104858 759593623 /nfs/dbraw/zinc/59/36/23/759593623.db2.gz MKTLESTXZKVRJF-VIFPVBQESA-N -1 1 321.406 1.139 20 0 DDADMM O=C([C@@H]1C[C@@H]2CCCC[C@H]12)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019182722 759655214 /nfs/dbraw/zinc/65/52/14/759655214.db2.gz IGVWIUGGGCMXOL-ZDEQEGDKSA-N -1 1 319.409 1.027 20 0 DDADMM Cc1cnc(CN2CC[C@@H]2CN(C)C(=O)c2ncccc2[O-])o1 ZINC001085562248 759728892 /nfs/dbraw/zinc/72/88/92/759728892.db2.gz HHFACBSIVJWTBU-GFCCVEGCSA-N -1 1 316.361 1.430 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCCC1 ZINC001019632551 760121802 /nfs/dbraw/zinc/12/18/02/760121802.db2.gz QWRYKRZMRWPGAU-PWSUYJOCSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1cc(N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)ncn1 ZINC001046796705 767933369 /nfs/dbraw/zinc/93/33/69/767933369.db2.gz NXVVXAJHZQFPSW-HAQNSBGRSA-N -1 1 313.361 1.506 20 0 DDADMM Cc1noc([C@H](C)[NH2+][C@H]2C[C@H](NC(=O)c3ccccc3O)C2)n1 ZINC001019993100 760398090 /nfs/dbraw/zinc/39/80/90/760398090.db2.gz BPRMJOBZYGKZCF-DLOVCJGASA-N -1 1 316.361 1.695 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000126511 761525898 /nfs/dbraw/zinc/52/58/98/761525898.db2.gz CQOWQSUUQVCYQK-IJLUTSLNSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001542511 762947068 /nfs/dbraw/zinc/94/70/68/762947068.db2.gz UEWAXZWBSMQYNY-CMPLNLGQSA-N -1 1 303.362 1.022 20 0 DDADMM Cc1ncc(C)c(N[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112984727 765084835 /nfs/dbraw/zinc/08/48/35/765084835.db2.gz YDLLHIQXUHKBGW-MNOVXSKESA-N -1 1 315.377 1.813 20 0 DDADMM Cc1nccc(NC2CC(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001051910803 765271327 /nfs/dbraw/zinc/27/13/27/765271327.db2.gz HNYWKZCVAVCMGD-UHFFFAOYSA-N -1 1 313.361 1.506 20 0 DDADMM O=C(NC1CCN(c2nccnc2F)CC1)c1ncccc1[O-] ZINC001057861680 766104364 /nfs/dbraw/zinc/10/43/64/766104364.db2.gz KZLXRLDHVBVZOR-UHFFFAOYSA-N -1 1 317.324 1.115 20 0 DDADMM CC1(C)CC[C@@H](C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001045189658 766145571 /nfs/dbraw/zinc/14/55/71/766145571.db2.gz FKGHRVIJOFOFAM-NEPJUHHUSA-N -1 1 321.425 1.417 20 0 DDADMM COC(=O)c1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(C)c1 ZINC001170216789 766175215 /nfs/dbraw/zinc/17/52/15/766175215.db2.gz OQGTVMCSTMIAQT-UHFFFAOYSA-N -1 1 315.289 1.554 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(-c4ncccn4)cn3)c2[nH]1 ZINC001170216758 766175448 /nfs/dbraw/zinc/17/54/48/766175448.db2.gz AGORTKIXNHGVPB-UHFFFAOYSA-N -1 1 322.288 1.311 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc(Cl)cc3nccnc32)[n-]1 ZINC001170226341 766199947 /nfs/dbraw/zinc/19/99/47/766199947.db2.gz IDVLFAKNKZBAFO-UHFFFAOYSA-N -1 1 314.696 1.794 20 0 DDADMM Cc1ccc(NCC2CC(NC(=O)c3ncccc3[O-])C2)nn1 ZINC001067843391 766746788 /nfs/dbraw/zinc/74/67/88/766746788.db2.gz GRAPKILSWVVNJR-UHFFFAOYSA-N -1 1 313.361 1.506 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(Cc2ccns2)C1 ZINC001046259132 767313828 /nfs/dbraw/zinc/31/38/28/767313828.db2.gz FLCWTXILNLBXEN-HNNXBMFYSA-N -1 1 318.402 1.638 20 0 DDADMM O=C(N[C@H]1C[C@H](CNc2cc(F)ncn2)C1)c1ncccc1[O-] ZINC001068475940 767354162 /nfs/dbraw/zinc/35/41/62/767354162.db2.gz NADBPCSHGWYLHF-MGCOHNPYSA-N -1 1 317.324 1.337 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C=Cc2cn(C)cn2)[n-]c1Cl ZINC001133885821 770424952 /nfs/dbraw/zinc/42/49/52/770424952.db2.gz YQWPANFINVYLDL-PLNGDYQASA-N -1 1 323.740 1.625 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C=Cc2cn(C)cn2)[n-]c1Cl ZINC001133885822 770426296 /nfs/dbraw/zinc/42/62/96/770426296.db2.gz YQWPANFINVYLDL-SNAWJCMRSA-N -1 1 323.740 1.625 20 0 DDADMM COC(=O)CCCCCNC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001135299649 771429671 /nfs/dbraw/zinc/42/96/71/771429671.db2.gz NANOLFVXMDBHNM-UHFFFAOYSA-N -1 1 307.346 1.976 20 0 DDADMM CC[C@@](N)(CO)Nc1ccc(Br)cc1C(=O)[O-] ZINC001170865302 771777987 /nfs/dbraw/zinc/77/79/87/771777987.db2.gz LUKJPYRZBMVMLS-NSHDSACASA-N -1 1 303.156 1.617 20 0 DDADMM COC(=O)C1(O)CCN(Cc2c(F)cc([O-])cc2F)CC1 ZINC001144561832 772565220 /nfs/dbraw/zinc/56/52/20/772565220.db2.gz OHLDTZTUWJEGQF-UHFFFAOYSA-N -1 1 301.289 1.170 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cccc([S@](C)=O)c1 ZINC001144650770 772587889 /nfs/dbraw/zinc/58/78/89/772587889.db2.gz PZKCBHYNZJYYHE-NRFANRHFSA-N -1 1 323.399 1.769 20 0 DDADMM CCC[C@@H](NC(=O)c1cnn2c1cccc2OC)c1nn[n-]n1 ZINC001149122917 772901184 /nfs/dbraw/zinc/90/11/84/772901184.db2.gz SQSVECBOBJDFLG-SNVBAGLBSA-N -1 1 315.337 1.127 20 0 DDADMM Cc1[nH]nc2ncc(NC(=O)c3c(CO)cnc(C)c3[O-])cc12 ZINC001147834038 773260841 /nfs/dbraw/zinc/26/08/41/773260841.db2.gz KBVCQGOGIHDYCC-UHFFFAOYSA-N -1 1 313.317 1.420 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CC(C)(C)C[C@]2(C)CO)c1[O-] ZINC001147835328 773261954 /nfs/dbraw/zinc/26/19/54/773261954.db2.gz DWYXGINQVKTCKH-MRXNPFEDSA-N -1 1 308.378 1.211 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@@H]2CCc3c2cccc3O)c1[O-] ZINC001147848084 773268844 /nfs/dbraw/zinc/26/88/44/773268844.db2.gz FFQHFJNMPOZECP-CYBMUJFWSA-N -1 1 314.341 1.711 20 0 DDADMM Cn1nnc(C(=O)Nc2cc(Cl)c([O-])c3ccccc23)n1 ZINC001148253405 773412131 /nfs/dbraw/zinc/41/21/31/773412131.db2.gz RKYBEAZYRJEUIA-UHFFFAOYSA-N -1 1 303.709 1.975 20 0 DDADMM CN1CCOC[C@H]1CNC(=O)c1ccc2cccnc2c1[O-] ZINC001149330363 773660268 /nfs/dbraw/zinc/66/02/68/773660268.db2.gz XRGGHZMDBLGMKG-GFCCVEGCSA-N -1 1 301.346 1.001 20 0 DDADMM Cc1cc(S(N)(=O)=O)oc1C(=O)Nc1cccc(F)c1[O-] ZINC001171638020 776405565 /nfs/dbraw/zinc/40/55/65/776405565.db2.gz RQHAOCWBCZIKFW-UHFFFAOYSA-N -1 1 314.294 1.332 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc3ncnn3c2)cc1N ZINC001174373447 777469445 /nfs/dbraw/zinc/46/94/45/777469445.db2.gz HHXCFWIQIURXIT-UHFFFAOYSA-N -1 1 303.347 1.421 20 0 DDADMM O=C1[N-]S(=O)(=O)c2cc(Nc3ccc4c[nH]nc4c3)ccc21 ZINC001174501432 777502617 /nfs/dbraw/zinc/50/26/17/777502617.db2.gz SDCSWEMFZBGBTO-UHFFFAOYSA-N -1 1 314.326 1.739 20 0 DDADMM CCC(=CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)CC ZINC001101873728 777632540 /nfs/dbraw/zinc/63/25/40/777632540.db2.gz WMSOSHBZIRAOOU-DGCLKSJQSA-N -1 1 321.425 1.441 20 0 DDADMM CCOC(=O)c1nc[n-]c1-c1nc(-c2ccc(OC)nc2)no1 ZINC001212767954 777702827 /nfs/dbraw/zinc/70/28/27/777702827.db2.gz GVJATABHGAGOAP-UHFFFAOYSA-N -1 1 315.289 1.707 20 0 DDADMM CCOC(=O)c1nc[n-]c1-c1nc(-c2cccnc2OC)no1 ZINC001212768169 777712104 /nfs/dbraw/zinc/71/21/04/777712104.db2.gz RGYNZNCJVLKWGM-UHFFFAOYSA-N -1 1 315.289 1.707 20 0 DDADMM CC(C)C(C)(C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102000414 777783011 /nfs/dbraw/zinc/78/30/11/777783011.db2.gz OVKFJYIRWZKAOK-VXGBXAGGSA-N -1 1 323.441 1.377 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cn2cc(C(F)(F)F)ccc2n1 ZINC001176839730 778270814 /nfs/dbraw/zinc/27/08/14/778270814.db2.gz CMPXEDYHGZMULC-UHFFFAOYSA-N -1 1 311.227 1.047 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccn2cc(-c3ccccc3)nc2c1 ZINC001176840293 778271596 /nfs/dbraw/zinc/27/15/96/778271596.db2.gz ONPNDVIWACAXCH-UHFFFAOYSA-N -1 1 319.328 1.696 20 0 DDADMM COC[C@@H](NC(=O)C=CSc1ccccc1)c1nn[n-]n1 ZINC001177826870 778732714 /nfs/dbraw/zinc/73/27/14/778732714.db2.gz BUXOZQUEVDHKKG-WSKFYRRCSA-N -1 1 305.363 1.309 20 0 DDADMM COC[C@@H](NC(=O)/C=C/Sc1ccccc1)c1nn[n-]n1 ZINC001177826870 778732720 /nfs/dbraw/zinc/73/27/20/778732720.db2.gz BUXOZQUEVDHKKG-WSKFYRRCSA-N -1 1 305.363 1.309 20 0 DDADMM COC[C@H](NC(=O)C/C=C/Cc1ccccc1)c1nn[n-]n1 ZINC001177828262 778733594 /nfs/dbraw/zinc/73/35/94/778733594.db2.gz MILYQXFSTWPPEZ-GFUIURDCSA-N -1 1 301.350 1.192 20 0 DDADMM CC/C=C(\C)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001103948793 779199620 /nfs/dbraw/zinc/19/96/20/779199620.db2.gz ZDVFYDOONGTDPM-PUZDAVHHSA-N -1 1 321.425 1.441 20 0 DDADMM CCc1ccc(OCC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001180514226 779797149 /nfs/dbraw/zinc/79/71/49/779797149.db2.gz WVPDINJPBAOXCF-UHFFFAOYSA-N -1 1 318.289 1.866 20 0 DDADMM CCc1ccc(-c2n[n-]c(S(=O)(=O)Cc3ccon3)n2)cc1 ZINC001116821381 780564409 /nfs/dbraw/zinc/56/44/09/780564409.db2.gz MLICCALSVIXWEQ-UHFFFAOYSA-N -1 1 318.358 1.996 20 0 DDADMM CCc1ccc(-c2nc(S(=O)(=O)Cc3ccon3)n[n-]2)cc1 ZINC001116821381 780564412 /nfs/dbraw/zinc/56/44/12/780564412.db2.gz MLICCALSVIXWEQ-UHFFFAOYSA-N -1 1 318.358 1.996 20 0 DDADMM CC(C)=CC[N@@H+](C)CCNC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC001266293467 836067300 /nfs/dbraw/zinc/06/73/00/836067300.db2.gz ZHJKMVBBVYKIAP-UHFFFAOYSA-N -1 1 322.453 1.389 20 0 DDADMM Cc1ccc(C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C(C)C)o1 ZINC001267496995 838182737 /nfs/dbraw/zinc/18/27/37/838182737.db2.gz IWTLRJCVVGCSSE-UHFFFAOYSA-N -1 1 321.381 1.442 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2ccccc2OC)sn1 ZINC001414187495 839065965 /nfs/dbraw/zinc/06/59/65/839065965.db2.gz JQLZGDXWJAPNBA-UHFFFAOYSA-N -1 1 314.388 1.639 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)NC(=O)c1ccc(F)c([O-])c1 ZINC001362859203 842041233 /nfs/dbraw/zinc/04/12/33/842041233.db2.gz LJFRACCATCADPP-QMMMGPOBSA-N -1 1 309.215 1.755 20 0 DDADMM CN1C[C@]2(CCN(C(=O)c3ccc([O-])cc3Cl)C2)OCC1=O ZINC001269983826 842200584 /nfs/dbraw/zinc/20/05/84/842200584.db2.gz ZJUWKWZBGOEUHI-HNNXBMFYSA-N -1 1 324.764 1.119 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cnn(Cc2ccncc2)c1 ZINC001203129421 861346856 /nfs/dbraw/zinc/34/68/56/861346856.db2.gz MPLBHDIPDLNNFE-UHFFFAOYSA-N -1 1 315.362 1.437 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-]Cc1nnc(C2CC2)s1 ZINC001363989918 842922376 /nfs/dbraw/zinc/92/23/76/842922376.db2.gz CUWWGOLFGJGJBO-UHFFFAOYSA-N -1 1 300.365 1.023 20 0 DDADMM CC[C@@H](C)N1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1cncc([O-])c1 ZINC001271387149 843546124 /nfs/dbraw/zinc/54/61/24/843546124.db2.gz RELPAOSTHORPEV-KCPJHIHWSA-N -1 1 317.389 1.791 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2C[C@H](OC)C2(C)C)sn1 ZINC001414233633 844647797 /nfs/dbraw/zinc/64/77/97/844647797.db2.gz YZRDVXQKMWBUMS-YUMQZZPRSA-N -1 1 306.409 1.244 20 0 DDADMM CCOC(=O)C[C@H](OCC[P@](=O)([O-])O)c1ccccc1 ZINC001224606386 844921619 /nfs/dbraw/zinc/92/16/19/844921619.db2.gz PBYYSZHAVOCOOK-LBPRGKRZSA-N -1 1 302.263 1.875 20 0 DDADMM O=[P@]([O-])(O)CCOC1CCN(c2ccc(Cl)nn2)CC1 ZINC001224607735 844922698 /nfs/dbraw/zinc/92/26/98/844922698.db2.gz UWAMBRAQPBQSRQ-UHFFFAOYSA-N -1 1 321.701 1.293 20 0 DDADMM Cc1cc[nH]c1C(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001409686685 845567127 /nfs/dbraw/zinc/56/71/27/845567127.db2.gz RAWGXYVMIPAUNI-JTQLQIEISA-N -1 1 316.361 1.220 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc2cc[nH]c2c1F ZINC001154955561 861598952 /nfs/dbraw/zinc/59/89/52/861598952.db2.gz RYIKULXTADHASD-UHFFFAOYSA-N -1 1 314.253 1.995 20 0 DDADMM CN1C(=O)C[C@]2(CCCN(C(=O)c3ccc([O-])c(F)c3)C2)C1=O ZINC001272400315 846104469 /nfs/dbraw/zinc/10/44/69/846104469.db2.gz AFPPVYNMVAYAEN-INIZCTEOSA-N -1 1 320.320 1.142 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CC[C@H](O)[C@@H](CO)C1 ZINC001149650941 861783992 /nfs/dbraw/zinc/78/39/92/861783992.db2.gz SYRGJIHFMIXCOQ-RISCZKNCSA-N -1 1 317.341 1.066 20 0 DDADMM Nc1ncc(Cl)nc1NC(=O)c1nc2ccc(Cl)nc2[n-]1 ZINC001155171844 861796958 /nfs/dbraw/zinc/79/69/58/861796958.db2.gz YWHZQDKOXUMYPO-UHFFFAOYSA-N -1 1 324.131 1.889 20 0 DDADMM CCCCN1CC2(CN(Cc3ccncc3[O-])C2)OCC1=O ZINC001273080383 847880060 /nfs/dbraw/zinc/88/00/60/847880060.db2.gz OFISBWPSGJIKGC-UHFFFAOYSA-N -1 1 305.378 1.001 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCN(c2cccnn2)CC1 ZINC001155266643 861911628 /nfs/dbraw/zinc/91/16/28/861911628.db2.gz LRWXWJZXPGRUTM-UHFFFAOYSA-N -1 1 324.344 1.021 20 0 DDADMM O=C(NCc1ccc(Cl)nn1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273275 861924108 /nfs/dbraw/zinc/92/41/08/861924108.db2.gz KYEIYWINJWZEQN-UHFFFAOYSA-N -1 1 303.709 1.642 20 0 DDADMM C[C@@H](CN(C)C(=O)CC1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001410346115 849118170 /nfs/dbraw/zinc/11/81/70/849118170.db2.gz WIIORTNNWPVTRB-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM C[C@@H](CNC(=O)c1occc1Cl)NC(=O)c1ncccc1[O-] ZINC001410357942 849150055 /nfs/dbraw/zinc/15/00/55/849150055.db2.gz ZGJQRHXKUMGRKF-QMMMGPOBSA-N -1 1 323.736 1.582 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CC(C)(C)C1CC1 ZINC001410358298 849150310 /nfs/dbraw/zinc/15/03/10/849150310.db2.gz VCEWEMWHQDOZCM-NSHDSACASA-N -1 1 319.405 1.848 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc2[nH]ccc2c1F ZINC001155419638 862064463 /nfs/dbraw/zinc/06/44/63/862064463.db2.gz OGVNYGRCZPCEIS-UHFFFAOYSA-N -1 1 312.264 1.931 20 0 DDADMM CCOC(=O)Cc1nnc([N-]C(=O)c2cc(CC)on2)s1 ZINC001411323433 850828040 /nfs/dbraw/zinc/82/80/40/850828040.db2.gz MWLYDSITZXQJRO-UHFFFAOYSA-N -1 1 310.335 1.446 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cnc(N)c(F)c2)cc1Cl ZINC001249761712 850954024 /nfs/dbraw/zinc/95/40/24/850954024.db2.gz XVBLREOSXPTVLN-UHFFFAOYSA-N -1 1 316.745 1.839 20 0 DDADMM Cc1ccc2ccc(C(=O)N(C)CC(=O)N(C)C)c([O-])c2n1 ZINC001155652818 862346416 /nfs/dbraw/zinc/34/64/16/862346416.db2.gz JFQOTWISHAOZBW-UHFFFAOYSA-N -1 1 301.346 1.409 20 0 DDADMM COCCOCN1CC2(C1)CN(Cc1ccccc1C(=O)[O-])C2 ZINC001275277167 852950063 /nfs/dbraw/zinc/95/00/63/852950063.db2.gz UBZHEZWYUPNGKY-UHFFFAOYSA-N -1 1 320.389 1.123 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@]12CCN(C(=O)c1ccc([O-])c(F)c1)C2 ZINC001275373065 853033227 /nfs/dbraw/zinc/03/32/27/853033227.db2.gz AYWDNSRVWNWEGP-ZBEGNZNMSA-N -1 1 306.337 1.649 20 0 DDADMM NC(=O)[C@H]1CCC2(C1)CCN(C(=O)c1cncc([O-])c1)CC2 ZINC001275623780 853450400 /nfs/dbraw/zinc/45/04/00/853450400.db2.gz OSSGTFUXVOVKBK-NSHDSACASA-N -1 1 303.362 1.295 20 0 DDADMM NS(=O)(=O)c1cccc(NC(=O)c2ccc(F)c([O-])c2)c1 ZINC001411610342 853453808 /nfs/dbraw/zinc/45/38/08/853453808.db2.gz KZLNKKLWCAYUJR-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM CCNC(=O)COc1ccc(NC(=O)c2ccc([O-])cn2)cc1 ZINC001411622861 853477137 /nfs/dbraw/zinc/47/71/37/853477137.db2.gz RQVYODKFLLMOCN-UHFFFAOYSA-N -1 1 315.329 1.554 20 0 DDADMM O=C(Nc1c[nH]nn1)c1cc(Br)c(F)cc1[O-] ZINC001412034853 854134170 /nfs/dbraw/zinc/13/41/70/854134170.db2.gz RXTHJGQIKMOKGE-UHFFFAOYSA-N -1 1 301.075 1.664 20 0 DDADMM O=C(N[C@@H](c1nccs1)C(F)(F)F)c1cnncc1[O-] ZINC001412177057 854299481 /nfs/dbraw/zinc/29/94/81/854299481.db2.gz WOWUWPOQUNAZEN-ZETCQYMHSA-N -1 1 304.253 1.672 20 0 DDADMM CN(Cc1noc(C2CC2)n1)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001412228038 854348473 /nfs/dbraw/zinc/34/84/73/854348473.db2.gz LLMJWGUQZPYIKR-UHFFFAOYSA-N -1 1 315.333 1.592 20 0 DDADMM CC[C@@H]1[C@H](C(=O)N(C)c2nn[n-]n2)CCN1C(=O)OC(C)(C)C ZINC001412234774 854358472 /nfs/dbraw/zinc/35/84/72/854358472.db2.gz YUVCQTQRDTZUAN-NXEZZACHSA-N -1 1 324.385 1.198 20 0 DDADMM CSc1ncc(C(=O)N2CCO[C@H]2C(F)(F)F)c(=O)[n-]1 ZINC001412296300 854412773 /nfs/dbraw/zinc/41/27/73/854412773.db2.gz JAPDMGQDTPRIIV-QMMMGPOBSA-N -1 1 309.269 1.265 20 0 DDADMM CC(C)CNC(=O)c1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001412297577 854418471 /nfs/dbraw/zinc/41/84/71/854418471.db2.gz LRNFASCPHWRCGI-UHFFFAOYSA-N -1 1 314.345 1.820 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2C[C@H]3CCC[C@H]3O2)c(=O)[n-]1 ZINC001412557898 854720608 /nfs/dbraw/zinc/72/06/08/854720608.db2.gz JQJJFBUOLVIAFP-WCABBAIRSA-N -1 1 309.391 1.592 20 0 DDADMM COC[C@@H](NC(=O)c1cc(SC)ccc1C)c1nn[n-]n1 ZINC001412593329 854785167 /nfs/dbraw/zinc/78/51/67/854785167.db2.gz MDXRFFGUYIMVPC-LLVKDONJSA-N -1 1 307.379 1.348 20 0 DDADMM COC(=O)N1CCC([C@H](C)NC(=O)c2ccc([O-])cn2)CC1 ZINC001412844903 855721384 /nfs/dbraw/zinc/72/13/84/855721384.db2.gz AHMLVUNLDKYXKY-JTQLQIEISA-N -1 1 307.350 1.384 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1CC(=O)c2ccccc21)c1nn[n-]n1 ZINC001412930837 855791154 /nfs/dbraw/zinc/79/11/54/855791154.db2.gz ASOIXSZWQVFBJR-LBPRGKRZSA-N -1 1 313.361 1.701 20 0 DDADMM CC(C)(C)c1nnc(C(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC001413424181 856791284 /nfs/dbraw/zinc/79/12/84/856791284.db2.gz DIKXDLQFIKUVHO-UHFFFAOYSA-N -1 1 321.410 1.369 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-][C@H]1c2ccccc2OC[C@H]1F ZINC001413441028 856817832 /nfs/dbraw/zinc/81/78/32/856817832.db2.gz WJIBZUSSANQLBE-YPMHNXCESA-N -1 1 312.322 1.566 20 0 DDADMM CSc1nc(NC(=O)c2cn3cnc(C)cc3n2)cc(=O)[n-]1 ZINC001156423910 863033457 /nfs/dbraw/zinc/03/34/57/863033457.db2.gz LSVBNAKUKNXWNU-UHFFFAOYSA-N -1 1 316.346 1.508 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@H](n2cccn2)C1 ZINC001123883386 859455314 /nfs/dbraw/zinc/45/53/14/859455314.db2.gz FSYNLRGHMVAIRC-VIFPVBQESA-N -1 1 305.363 1.063 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC1(C)CC(F)(F)C1 ZINC001123902468 859462654 /nfs/dbraw/zinc/46/26/54/859462654.db2.gz XIQDBBGXMQSCQH-UHFFFAOYSA-N -1 1 303.334 1.945 20 0 DDADMM O=C(c1cc(F)cc2ccoc21)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123960702 859497658 /nfs/dbraw/zinc/49/76/58/859497658.db2.gz DBZNEVPPUSSLCP-VIFPVBQESA-N -1 1 301.281 1.715 20 0 DDADMM O=C(c1ccc2ncsc2c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123961874 859498918 /nfs/dbraw/zinc/49/89/18/859498918.db2.gz NXSCVAAVROZCPD-SECBINFHSA-N -1 1 300.347 1.439 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CCCc2cccnc21 ZINC001123961589 859499444 /nfs/dbraw/zinc/49/94/44/859499444.db2.gz ZPZROUHPMMHGQS-SNVBAGLBSA-N -1 1 316.386 1.982 20 0 DDADMM O=C(NCCc1n[n-]c(=S)o1)c1ccc(CN2CCCC2)o1 ZINC001138524958 860124173 /nfs/dbraw/zinc/12/41/73/860124173.db2.gz LAGLYVVMVYSJJM-UHFFFAOYSA-N -1 1 322.390 1.520 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)[C@H](C)NC/C(Cl)=C/Cl)c1[O-] ZINC001381353550 881552651 /nfs/dbraw/zinc/55/26/51/881552651.db2.gz AYRLEQKSIXRJTE-ROSYBACYSA-N -1 1 321.208 1.839 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1nc(-c2cccnc2)cs1 ZINC001140411293 860631191 /nfs/dbraw/zinc/63/11/91/860631191.db2.gz XHGVSDCMTNPNKV-UHFFFAOYSA-N -1 1 301.335 1.290 20 0 DDADMM CCC1=NC2(CCN(Cc3ccc(C(=O)[O-])o3)CC2)C(=O)N1C ZINC001140949291 860744944 /nfs/dbraw/zinc/74/49/44/860744944.db2.gz XHFBAFKSMJDQAQ-UHFFFAOYSA-N -1 1 319.361 1.593 20 0 DDADMM CCn1ccnc1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001141493466 860867137 /nfs/dbraw/zinc/86/71/37/860867137.db2.gz COWULZVVKMGUFR-UHFFFAOYSA-N -1 1 302.363 1.164 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C1=CCCCCC1 ZINC001374214924 912213117 /nfs/dbraw/zinc/21/31/17/912213117.db2.gz QGKCTNKLEVEKMU-LLVKDONJSA-N -1 1 307.398 1.337 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1[nH]nc2cc(F)ccc21 ZINC001154353337 861030566 /nfs/dbraw/zinc/03/05/66/861030566.db2.gz OKWFKQHELNJKLP-UHFFFAOYSA-N -1 1 315.241 1.390 20 0 DDADMM O=C(COc1cccnc1)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001142304690 861112254 /nfs/dbraw/zinc/11/22/54/861112254.db2.gz QJCKBMNXIFHDTQ-UHFFFAOYSA-N -1 1 310.313 1.990 20 0 DDADMM CCOC(=O)C1(C(=O)N2CCC(c3nn[n-]n3)CC2)CCCC1 ZINC001152486272 863387689 /nfs/dbraw/zinc/38/76/89/863387689.db2.gz MJAXFQIPQATJTR-UHFFFAOYSA-N -1 1 321.381 1.029 20 0 DDADMM O=C(Nc1cccnc1[S-])c1cnc2n(c1=O)CCS2 ZINC001152494945 863396946 /nfs/dbraw/zinc/39/69/46/863396946.db2.gz CKXYNWWSUCFVBA-UHFFFAOYSA-N -1 1 306.372 1.285 20 0 DDADMM Cc1cccc2cc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)oc21 ZINC001152639327 863468782 /nfs/dbraw/zinc/46/87/82/863468782.db2.gz HOZBVWKJXCXKRL-UHFFFAOYSA-N -1 1 315.333 1.085 20 0 DDADMM CO[C@@H](C)C1CN(C(=O)c2cc(=O)c3cccc(O)c3[n-]2)C1 ZINC001152680126 863487974 /nfs/dbraw/zinc/48/79/74/863487974.db2.gz UYNNSCPTPPHITM-VIFPVBQESA-N -1 1 302.330 1.753 20 0 DDADMM Cc1c[nH]c(CCNC(=O)c2cc(=O)c3cccc(O)c3[n-]2)n1 ZINC001152680257 863488446 /nfs/dbraw/zinc/48/84/46/863488446.db2.gz LFHZMETZOBZBMZ-UHFFFAOYSA-N -1 1 312.329 1.650 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)C(C)(C)C ZINC001329317044 863594478 /nfs/dbraw/zinc/59/44/78/863594478.db2.gz SNHARZLVZMTRLV-VIFPVBQESA-N -1 1 308.350 1.699 20 0 DDADMM O=C([O-])CNC(=O)CCNCc1cccc(F)c1OC(F)F ZINC001329353568 863615992 /nfs/dbraw/zinc/61/59/92/863615992.db2.gz HDWUUSZWXIAUSH-UHFFFAOYSA-N -1 1 320.267 1.108 20 0 DDADMM O=C(NCc1cncn1C1CCC1)c1c[n-]c2cccnc2c1=O ZINC001153862708 864174680 /nfs/dbraw/zinc/17/46/80/864174680.db2.gz BKEUKGSPEQPCAI-UHFFFAOYSA-N -1 1 323.356 1.775 20 0 DDADMM Cc1cn2cc(CC(=O)NCc3ccc([O-])nn3)nc2s1 ZINC001159031619 865057560 /nfs/dbraw/zinc/05/75/60/865057560.db2.gz WULCKQDEOSUPJI-UHFFFAOYSA-N -1 1 303.347 1.059 20 0 DDADMM O=C(NCc1ccc(=O)[nH]n1)c1c([O-])cnc2c(F)cccc21 ZINC001159030068 865058942 /nfs/dbraw/zinc/05/89/42/865058942.db2.gz FJJVTZHALKXHKP-UHFFFAOYSA-N -1 1 314.276 1.505 20 0 DDADMM O=C([O-])Cn1cc(CNCCc2nc3ccccc3s2)nn1 ZINC001331942766 865447350 /nfs/dbraw/zinc/44/73/50/865447350.db2.gz FHIGTFIZAGHMEO-UHFFFAOYSA-N -1 1 317.374 1.305 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CC=CCC1 ZINC001332756221 866120832 /nfs/dbraw/zinc/12/08/32/866120832.db2.gz KIYXZJYPBZTUKK-NWDGAFQWSA-N -1 1 321.425 1.487 20 0 DDADMM CC(C)COc1cccc(Nc2c(O)[nH]c(=O)[n-]c2=S)n1 ZINC001160854369 866151396 /nfs/dbraw/zinc/15/13/96/866151396.db2.gz URLCZVDWWBGXIV-JTQLQIEISA-N -1 1 308.363 1.064 20 0 DDADMM COC(=O)c1c(NC(=O)C[C@H]2CC=CCC2)n[n-]c1OCCO ZINC001161533691 866745779 /nfs/dbraw/zinc/74/57/79/866745779.db2.gz OGNMHNZCRSOAIP-JTQLQIEISA-N -1 1 323.349 1.252 20 0 DDADMM COC(=O)c1c(NC(=O)C[C@H]2CC=CCC2)[n-]nc1OCCO ZINC001161533691 866745787 /nfs/dbraw/zinc/74/57/87/866745787.db2.gz OGNMHNZCRSOAIP-JTQLQIEISA-N -1 1 323.349 1.252 20 0 DDADMM Cn1[n-]c(CN2CCC(c3nc4ccccc4o3)CC2)nc1=O ZINC001323792455 866848902 /nfs/dbraw/zinc/84/89/02/866848902.db2.gz ODVAKSJSGOTMOW-UHFFFAOYSA-N -1 1 313.361 1.629 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cccc(C)n2)[n-]1 ZINC001361623793 882029344 /nfs/dbraw/zinc/02/93/44/882029344.db2.gz PWDRZZNFXQXNEM-UHFFFAOYSA-N -1 1 301.302 1.960 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1csc(C)c1C ZINC001320743275 866994166 /nfs/dbraw/zinc/99/41/66/866994166.db2.gz AHSPKTBXVJZUAG-UHFFFAOYSA-N -1 1 323.422 1.441 20 0 DDADMM Cc1cnc2cc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)ccn12 ZINC001361628147 882043340 /nfs/dbraw/zinc/04/33/40/882043340.db2.gz KQEOASPQSDGWJJ-UHFFFAOYSA-N -1 1 306.329 1.550 20 0 DDADMM Cn1nc(C2CC2)cc1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001324535215 867339627 /nfs/dbraw/zinc/33/96/27/867339627.db2.gz CUUAWCPUIPNZSZ-UHFFFAOYSA-N -1 1 314.374 1.881 20 0 DDADMM O=C([N-]c1ncn(Cc2cccnc2)n1)c1cc(C2CC2)no1 ZINC001321210689 867406387 /nfs/dbraw/zinc/40/63/87/867406387.db2.gz RSOYMHSLOGVQBA-UHFFFAOYSA-N -1 1 310.317 1.839 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1cc2c(cc1OC)OCO2 ZINC001361649514 882085411 /nfs/dbraw/zinc/08/54/11/882085411.db2.gz JXMZFPPJCHJGCG-UHFFFAOYSA-N -1 1 319.273 1.186 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)[C@H]1CC[C@H]1C(=O)OC(C)(C)C ZINC001361650510 882088517 /nfs/dbraw/zinc/08/85/17/882088517.db2.gz OSOHHNHMKDWJAB-DTWKUNHWSA-N -1 1 323.349 1.503 20 0 DDADMM Cn1cc(Br)c(C(=O)[N-]OC(C)(C)C)cc1=O ZINC001335115193 868074038 /nfs/dbraw/zinc/07/40/38/868074038.db2.gz DNJKFJTYUDEZNH-UHFFFAOYSA-N -1 1 303.156 1.608 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nnc(Cc3ccccn3)o2)o1 ZINC001322600109 868273687 /nfs/dbraw/zinc/27/36/87/868273687.db2.gz OCIPVWYIBIJICV-UHFFFAOYSA-N -1 1 320.330 1.224 20 0 DDADMM N[C@@H](C(=O)NCCCC(=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC001335464305 868317672 /nfs/dbraw/zinc/31/76/72/868317672.db2.gz HHTWHTGSALBPTO-LLVKDONJSA-N -1 1 304.268 1.686 20 0 DDADMM CO[C@@H]1CCC[C@@H]1C(=O)[N-]OC[C@@H](C)NC(=O)OC(C)(C)C ZINC001336420573 868935536 /nfs/dbraw/zinc/93/55/36/868935536.db2.gz PSSCVYQEGHLQAE-GRYCIOLGSA-N -1 1 316.398 1.763 20 0 DDADMM CC[C@H](C)[C@H](NC(C)=O)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001361727419 882244171 /nfs/dbraw/zinc/24/41/71/882244171.db2.gz TZDZIUWXIIOXSA-ZANVPECISA-N -1 1 320.393 1.550 20 0 DDADMM CC1N=NC(C(=O)Nc2ccc(NC(=O)NC3CC3)cc2)=C1[O-] ZINC001361754542 882299971 /nfs/dbraw/zinc/29/99/71/882299971.db2.gz VMGMFIDVBVCLCG-UHFFFAOYSA-N -1 1 315.333 1.960 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1C[C@@H]2[C@@H]3C=C[C@@H](C3)[C@]2(C)C1 ZINC001338270081 869896919 /nfs/dbraw/zinc/89/69/19/869896919.db2.gz ABVZOHPZDFSTBB-HDINQQKLSA-N -1 1 312.377 1.559 20 0 DDADMM CO[C@@H](CNC(=O)c1cc(C)cc(C=O)c1[O-])[C@H]1CCOC1 ZINC001166210272 869903188 /nfs/dbraw/zinc/90/31/88/869903188.db2.gz UVMQLZQAWRBXEH-FZMZJTMJSA-N -1 1 307.346 1.294 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CCC[C@H]1c1ccccc1 ZINC001338561019 870045633 /nfs/dbraw/zinc/04/56/33/870045633.db2.gz NJQYBWWWBRTJHG-NSHDSACASA-N -1 1 312.333 1.500 20 0 DDADMM CCC(CC)(NC(=O)c1ncc2c(F)cccn21)c1nn[n-]n1 ZINC001297487613 870073698 /nfs/dbraw/zinc/07/36/98/870073698.db2.gz JQXYQMVJWKPGGE-UHFFFAOYSA-N -1 1 317.328 1.432 20 0 DDADMM CCC(CC)(NC(=O)/C=C\COc1ccccc1)c1nn[n-]n1 ZINC001297488362 870075396 /nfs/dbraw/zinc/07/53/96/870075396.db2.gz XCGLIHNHQAZMIL-FLIBITNWSA-N -1 1 315.377 1.966 20 0 DDADMM CCC(CC)(NC(=O)C=Cc1cnccc1C)c1nn[n-]n1 ZINC001297488405 870075683 /nfs/dbraw/zinc/07/56/83/870075683.db2.gz YPTLOLXBZMMQPR-VOTSOKGWSA-N -1 1 300.366 1.748 20 0 DDADMM C/C=C\C[C@@H]1CCCN(c2nnc(-c3noc(=O)[n-]3)n2C)C1 ZINC001340189811 870948248 /nfs/dbraw/zinc/94/82/48/870948248.db2.gz GJZUGAZGBWBFHY-UMBAGQNISA-N -1 1 304.354 1.341 20 0 DDADMM COC[C@H](NC(=O)c1cc2cc(C)c(C)cc2o1)c1nn[n-]n1 ZINC001303580397 871139459 /nfs/dbraw/zinc/13/94/59/871139459.db2.gz WWDOMYGQJLFDOA-NSHDSACASA-N -1 1 315.333 1.680 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CCC=C(C)C ZINC001309511632 871579694 /nfs/dbraw/zinc/57/96/94/871579694.db2.gz QCKYGDQSCJLBRJ-SNVBAGLBSA-N -1 1 315.395 1.610 20 0 DDADMM CN(C)C(=O)[C@H]1CSCN1C(=O)c1cc(Cl)ccc1[O-] ZINC001361875101 882552522 /nfs/dbraw/zinc/55/25/22/882552522.db2.gz GRALXYVTFZLJMC-SNVBAGLBSA-N -1 1 314.794 1.649 20 0 DDADMM CN1CCN(c2nccc(-c3csc(C(=O)[O-])c3)n2)CC1 ZINC001206265737 872169671 /nfs/dbraw/zinc/16/96/71/872169671.db2.gz PAXLOEIHVHHYHI-UHFFFAOYSA-N -1 1 304.375 1.655 20 0 DDADMM CC[C@](C)(O)C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC001361899921 882602817 /nfs/dbraw/zinc/60/28/17/882602817.db2.gz XMZGURHKTPDNFZ-ZDUSSCGKSA-N -1 1 316.379 1.166 20 0 DDADMM CCCC[C@@H](CC)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207450488 873284200 /nfs/dbraw/zinc/28/42/00/873284200.db2.gz GVQJXSRGYGDGMP-OLZOCXBDSA-N -1 1 323.441 1.807 20 0 DDADMM C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)c2c([O-])cccc2Cl)CC1=O ZINC001361966189 882727448 /nfs/dbraw/zinc/72/74/48/882727448.db2.gz BPGPPKLSPZQFIE-YWVKMMECSA-N -1 1 308.765 1.785 20 0 DDADMM CCS(=O)(=O)CCCCCN1CCSC[C@H]1CC(=O)[O-] ZINC001346256447 873670441 /nfs/dbraw/zinc/67/04/41/873670441.db2.gz RICQQPXSDRXDRG-GFCCVEGCSA-N -1 1 323.480 1.484 20 0 DDADMM CCN1CCC[C@@H](Oc2[n-]c(=O)nnc2Br)C1 ZINC001227067426 882808706 /nfs/dbraw/zinc/80/87/06/882808706.db2.gz YEVDOZANAQQWIO-SSDOTTSWSA-N -1 1 303.160 1.203 20 0 DDADMM CC(C)=C(C)CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209062579 874652623 /nfs/dbraw/zinc/65/26/23/874652623.db2.gz XFHWVWAATWFGPG-GFCCVEGCSA-N -1 1 307.398 1.337 20 0 DDADMM C[C@H]1CC[C@H](C(=O)NCCNC(=O)c2ncccc2[O-])CC1 ZINC001348839080 874821237 /nfs/dbraw/zinc/82/12/37/874821237.db2.gz RZLYUACQVOIOAJ-HAQNSBGRSA-N -1 1 305.378 1.460 20 0 DDADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CC1(C)C ZINC001378428073 874857657 /nfs/dbraw/zinc/85/76/57/874857657.db2.gz HPIDBUUTSQCEJR-GHMZBOCLSA-N -1 1 309.414 1.321 20 0 DDADMM O=C(c1c(F)ccc(F)c1Cl)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001349001699 874918459 /nfs/dbraw/zinc/91/84/59/874918459.db2.gz BQLZJXWEAWWPMJ-ZCFIWIBFSA-N -1 1 313.695 1.761 20 0 DDADMM COCC(=O)c1ccc([O-])cc1O[C@H]1CCC[C@H]1C(=O)OC ZINC001227173249 882891223 /nfs/dbraw/zinc/89/12/23/882891223.db2.gz VRWYEIXNMHYHQD-OCCSQVGLSA-N -1 1 308.330 1.942 20 0 DDADMM Nc1n[nH]c2ccc([N-]S(=O)(=O)c3cc(F)ccc3N)cc12 ZINC001209862020 875147457 /nfs/dbraw/zinc/14/74/57/875147457.db2.gz ISOKLXYNBRGDRR-UHFFFAOYSA-N -1 1 321.337 1.667 20 0 DDADMM Cc1cc(N)ncc1Nc1ccc(C(=O)NCC(=O)[O-])cc1 ZINC001209972659 875182192 /nfs/dbraw/zinc/18/21/92/875182192.db2.gz OYEMILGUWPINQJ-UHFFFAOYSA-N -1 1 300.318 1.530 20 0 DDADMM CN(C)c1cncc([N-]S(=O)(=O)c2ccc(N)c(N)c2)c1 ZINC001210477215 875400743 /nfs/dbraw/zinc/40/07/43/875400743.db2.gz MORVUXTZRKNOOK-UHFFFAOYSA-N -1 1 307.379 1.113 20 0 DDADMM CC(C)C[C@@H](C)CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210615896 875459460 /nfs/dbraw/zinc/45/94/60/875459460.db2.gz WJEHFLIPMRDIMC-OLZOCXBDSA-N -1 1 323.441 1.663 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc(F)cc2N)c(C)n1 ZINC001211045661 875637767 /nfs/dbraw/zinc/63/77/67/875637767.db2.gz HZOGVGUXPRWSHW-UHFFFAOYSA-N -1 1 311.338 1.921 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2cncc(CO)c2)c1 ZINC001211105000 875660933 /nfs/dbraw/zinc/66/09/33/875660933.db2.gz HOBMCEAAGIEWFO-UHFFFAOYSA-N -1 1 308.359 1.817 20 0 DDADMM CC1(C(=O)NCCCCCCNC(=O)c2ncccc2[O-])CC1 ZINC001350427232 875681795 /nfs/dbraw/zinc/68/17/95/875681795.db2.gz NUDUTAINGORKKS-UHFFFAOYSA-N -1 1 319.405 1.994 20 0 DDADMM CC[C@H](NC(=O)c1cnncc1[O-])c1ccc2c(c1)OCCO2 ZINC001362077170 882976871 /nfs/dbraw/zinc/97/68/71/882976871.db2.gz JOPWIBMUJCMOFY-LBPRGKRZSA-N -1 1 315.329 1.835 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3cccnc3OC)no2)[n-]n1 ZINC001213463426 875944154 /nfs/dbraw/zinc/94/41/54/875944154.db2.gz DMILDDXCEZSGSY-UHFFFAOYSA-N -1 1 301.262 1.317 20 0 DDADMM O=C(NCC1(N2CCOCC2)CCCCC1)c1ccncc1[O-] ZINC000176682488 876023556 /nfs/dbraw/zinc/02/35/56/876023556.db2.gz WNAMJIKQDIYCKU-UHFFFAOYSA-N -1 1 319.405 1.552 20 0 DDADMM COc1cccc(-c2noc(-c3c[nH]c(=S)[n-]c3=O)n2)n1 ZINC001213868465 876085629 /nfs/dbraw/zinc/08/56/29/876085629.db2.gz JNADYAGXPVPFES-UHFFFAOYSA-N -1 1 303.303 1.592 20 0 DDADMM CC1=CCN(c2nnc(-c3c[n-][nH]c3=O)n2CC2CC2)CC1 ZINC001351256814 876147229 /nfs/dbraw/zinc/14/72/29/876147229.db2.gz UGDBANRMYHAYFK-UHFFFAOYSA-N -1 1 300.366 1.940 20 0 DDADMM CN(C(=O)[C@H]1CC12CCC2)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001379040492 876203275 /nfs/dbraw/zinc/20/32/75/876203275.db2.gz ZBYXDGNHIWHRAD-VXGBXAGGSA-N -1 1 319.409 1.027 20 0 DDADMM CCCC[C@@H](C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001214550842 876389297 /nfs/dbraw/zinc/38/92/97/876389297.db2.gz UQNYJOSHPZRDFQ-IJLUTSLNSA-N -1 1 309.414 1.273 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CC(C)(C)OC1(C)C ZINC001351730789 876405636 /nfs/dbraw/zinc/40/56/36/876405636.db2.gz GPANWVPVHJIJSP-MRVPVSSYSA-N -1 1 311.407 1.855 20 0 DDADMM COC[C@@H](CC(C)(C)C)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001352412835 876734296 /nfs/dbraw/zinc/73/42/96/876734296.db2.gz OYSMECLLTNGVTF-SECBINFHSA-N -1 1 313.423 1.960 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2cc3n[nH]cc3c(F)c2)ccc1O ZINC001215555707 876750025 /nfs/dbraw/zinc/75/00/25/876750025.db2.gz NTGMUSLBUOODKE-UHFFFAOYSA-N -1 1 322.321 1.791 20 0 DDADMM COc1ncc(Nc2nc(C)ns2)cc1[N-]S(C)(=O)=O ZINC001216151430 876878609 /nfs/dbraw/zinc/87/86/09/876878609.db2.gz LJWSEQNWLZBKIZ-UHFFFAOYSA-N -1 1 315.380 1.365 20 0 DDADMM COc1ncc(Nc2cccnc2CO)cc1[N-]S(C)(=O)=O ZINC001216153839 876880151 /nfs/dbraw/zinc/88/01/51/876880151.db2.gz ZYIRXXAUXJCYRS-UHFFFAOYSA-N -1 1 324.362 1.093 20 0 DDADMM CN(C(=O)OC(C)(C)C)[C@H]1C[C@@H](Oc2nc(=O)[nH]c(=O)[n-]2)C1 ZINC001227569266 883084152 /nfs/dbraw/zinc/08/41/52/883084152.db2.gz XJLJUQNSBPBUQX-OCAPTIKFSA-N -1 1 312.326 1.060 20 0 DDADMM CN(C)c1nc(Nc2ccn(C(=O)OC(C)(C)C)n2)cc(=O)[n-]1 ZINC001216672080 877016371 /nfs/dbraw/zinc/01/63/71/877016371.db2.gz QJASMWTXLLQNKP-UHFFFAOYSA-N -1 1 320.353 1.972 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2ccnc(Cl)c2)[n-]c1=O ZINC001353050933 877086799 /nfs/dbraw/zinc/08/67/99/877086799.db2.gz UGVUTDRXYOFVDE-UHFFFAOYSA-N -1 1 322.752 1.904 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)[C@@H]1CCNc2ccccc21 ZINC001218671272 877656607 /nfs/dbraw/zinc/65/66/07/877656607.db2.gz NUFBYJUBMHPRFA-MRVPVSSYSA-N -1 1 315.289 1.824 20 0 DDADMM CC/C=C(/C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001287859237 912512126 /nfs/dbraw/zinc/51/21/26/912512126.db2.gz LUOMVZBFJYYALM-CUBYMHMKSA-N -1 1 317.389 1.863 20 0 DDADMM CC[C@H]1CCC[C@@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001380875928 880583471 /nfs/dbraw/zinc/58/34/71/880583471.db2.gz ARHVOOPPEUXIJB-RYUDHWBXSA-N -1 1 321.425 1.465 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2[C@@H](C1)CCC[C@H]2OCc1nn[n-]n1 ZINC001223029117 880791401 /nfs/dbraw/zinc/79/14/01/880791401.db2.gz GUPHSYFCVNDWJK-GRYCIOLGSA-N -1 1 323.397 1.752 20 0 DDADMM CCCC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1C[C@H]1C ZINC001381096366 881009616 /nfs/dbraw/zinc/00/96/16/881009616.db2.gz YCYRAUADXQRCHJ-FRRDWIJNSA-N -1 1 319.405 1.848 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1ccc([O-])cn1)c1ccccc1 ZINC001362213433 883313504 /nfs/dbraw/zinc/31/35/04/883313504.db2.gz BHSACDQPPAMASJ-ZDUSSCGKSA-N -1 1 300.314 1.474 20 0 DDADMM CSc1ncc(C(=O)N[C@H](CCO)c2ccccc2)c(=O)[n-]1 ZINC001362246470 883399236 /nfs/dbraw/zinc/39/92/36/883399236.db2.gz QNSBJCDSLWOCNM-GFCCVEGCSA-N -1 1 319.386 1.758 20 0 DDADMM O=C(NC1(c2ncon2)CCOCC1)c1cc(Cl)ccc1[O-] ZINC001362279442 883470638 /nfs/dbraw/zinc/47/06/38/883470638.db2.gz PCWOHWHROHDCJP-UHFFFAOYSA-N -1 1 323.736 1.864 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@@H]1C(=O)OCC1(C)C ZINC001228551498 883547023 /nfs/dbraw/zinc/54/70/23/883547023.db2.gz SXXSJOIQXUDYJO-MRVPVSSYSA-N -1 1 312.347 1.272 20 0 DDADMM CC(C)(C)NC(=O)c1[nH]nc2c1CN(Cc1ncccc1[O-])C2 ZINC001277316818 883584509 /nfs/dbraw/zinc/58/45/09/883584509.db2.gz VXJBLKQBAOEPID-UHFFFAOYSA-N -1 1 315.377 1.554 20 0 DDADMM O=c1c2ccccc2nc2n1CC[C@@H]2Oc1cnnc(=S)[n-]1 ZINC001228641265 883588271 /nfs/dbraw/zinc/58/82/71/883588271.db2.gz BKIJWTXQHBGOFK-JTQLQIEISA-N -1 1 313.342 1.394 20 0 DDADMM COC[C@H](C)OC[C@@H](C)OC[C@@H](C)Oc1cnnc(=S)[n-]1 ZINC001228641519 883588317 /nfs/dbraw/zinc/58/83/17/883588317.db2.gz FQYFOZGDGKYFFB-HBNTYKKESA-N -1 1 317.411 1.384 20 0 DDADMM C[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC[S@]1=O ZINC001362356770 883637355 /nfs/dbraw/zinc/63/73/55/883637355.db2.gz RXPVIKWGCMXWIS-JLTPVHFHSA-N -1 1 309.391 1.043 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](CCCO)c1ccccc1)c1nn[n-]n1 ZINC001362393248 883707484 /nfs/dbraw/zinc/70/74/84/883707484.db2.gz NYZQQWFKWVIXMR-KBPBESRZSA-N -1 1 317.393 1.713 20 0 DDADMM CCN(C)C(=O)c1cccc(NC(=O)CCCc2nn[n-]n2)c1 ZINC001362422411 883774553 /nfs/dbraw/zinc/77/45/53/883774553.db2.gz AWFJHKLWZCTSEZ-UHFFFAOYSA-N -1 1 316.365 1.253 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](C)c2nccs2)c(=O)[n-]1 ZINC001362524493 884002414 /nfs/dbraw/zinc/00/24/14/884002414.db2.gz HWYOTWAXXISHCW-SSDOTTSWSA-N -1 1 310.404 1.894 20 0 DDADMM O=C(CCNC(=O)c1cc(Cl)ccc1[O-])Nc1ncc[nH]1 ZINC001362537548 884035258 /nfs/dbraw/zinc/03/52/58/884035258.db2.gz XNRYTVUZARQFTB-UHFFFAOYSA-N -1 1 308.725 1.527 20 0 DDADMM CCC[C@@H](NC(=O)CCc1nn[n-]n1)[C@H](O)c1ccccc1 ZINC001362620312 884239763 /nfs/dbraw/zinc/23/97/63/884239763.db2.gz MRUKDCZZSGPLEP-IUODEOHRSA-N -1 1 303.366 1.151 20 0 DDADMM O=C(NCc1ccc(OC(F)F)c(F)c1)c1cnncc1[O-] ZINC001362633252 884278008 /nfs/dbraw/zinc/27/80/08/884278008.db2.gz CYEXEJZMYKBBNP-UHFFFAOYSA-N -1 1 313.235 1.853 20 0 DDADMM CSc1ccc(C(=O)c2nc(OC(=O)[C@H](C)O)[n-]c2C)cc1 ZINC001230131003 884342436 /nfs/dbraw/zinc/34/24/36/884342436.db2.gz MDZRNMRFSFGEHJ-VIFPVBQESA-N -1 1 320.370 1.957 20 0 DDADMM CSc1ccc(C(=O)c2[n-]c(OC(=O)[C@H](C)O)nc2C)cc1 ZINC001230131003 884342466 /nfs/dbraw/zinc/34/24/66/884342466.db2.gz MDZRNMRFSFGEHJ-VIFPVBQESA-N -1 1 320.370 1.957 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ncoc2CC)n[n-]1 ZINC001362663354 884356828 /nfs/dbraw/zinc/35/68/28/884356828.db2.gz ZFJYJCSDARTDNV-SSDOTTSWSA-N -1 1 307.310 1.023 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ncoc2CC)[n-]1 ZINC001362663354 884356839 /nfs/dbraw/zinc/35/68/39/884356839.db2.gz ZFJYJCSDARTDNV-SSDOTTSWSA-N -1 1 307.310 1.023 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ncoc2CC)n1 ZINC001362663354 884356851 /nfs/dbraw/zinc/35/68/51/884356851.db2.gz ZFJYJCSDARTDNV-SSDOTTSWSA-N -1 1 307.310 1.023 20 0 DDADMM Cc1oc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1CO ZINC001362704918 884452543 /nfs/dbraw/zinc/45/25/43/884452543.db2.gz ZMQQCCNNHZCYKE-SECBINFHSA-N -1 1 307.306 1.189 20 0 DDADMM CCOc1cc(C(=O)NC[C@H]2CNC(=O)C2)cc(Cl)c1[O-] ZINC001362763126 884581483 /nfs/dbraw/zinc/58/14/83/884581483.db2.gz UFFQGWMJXIYZJV-MRVPVSSYSA-N -1 1 312.753 1.310 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H]3CSC[C@H]3C2)c(=O)[n-]1 ZINC001362796698 884665898 /nfs/dbraw/zinc/66/58/98/884665898.db2.gz QFVKLZFSAVCDPJ-DTWKUNHWSA-N -1 1 311.432 1.729 20 0 DDADMM Cc1ccc(NC(=O)c2cnc(C3CC3)[n-]c2=O)c(C(N)=O)c1 ZINC001362827877 884737981 /nfs/dbraw/zinc/73/79/81/884737981.db2.gz QHPVUDCWTKYMNE-UHFFFAOYSA-N -1 1 312.329 1.719 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC[C@@H](CCCO)C1 ZINC001362846770 884784820 /nfs/dbraw/zinc/78/48/20/884784820.db2.gz BMJMCFKDDNXZLO-SNVBAGLBSA-N -1 1 311.407 1.447 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccc(O)cc1C)c1nn[n-]n1 ZINC001362851492 884796327 /nfs/dbraw/zinc/79/63/27/884796327.db2.gz BRUIDRSKYIWXSY-UHFFFAOYSA-N -1 1 303.366 1.588 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc2c(c1)SCC(=O)N2 ZINC001362949919 885061529 /nfs/dbraw/zinc/06/15/29/885061529.db2.gz PZPVGMRSBDOWCZ-UHFFFAOYSA-N -1 1 304.331 1.720 20 0 DDADMM CCn1cc(Br)c(C(=O)Nc2ccncc2[O-])n1 ZINC001363111132 885487758 /nfs/dbraw/zinc/48/77/58/885487758.db2.gz PJKQBOWGMMRKFX-UHFFFAOYSA-N -1 1 311.139 1.440 20 0 DDADMM COCCC1CCN(C(=O)c2cnc(SC)[n-]c2=O)CC1 ZINC001363125218 885528289 /nfs/dbraw/zinc/52/82/89/885528289.db2.gz ONJYBSZHTIMJSV-UHFFFAOYSA-N -1 1 311.407 1.793 20 0 DDADMM O=C(N[C@@H]1Cc2ccccc2NC1=O)c1cccc([O-])c1F ZINC001363135252 885551487 /nfs/dbraw/zinc/55/14/87/885551487.db2.gz OMLNYKVVFZBJFV-GFCCVEGCSA-N -1 1 300.289 1.825 20 0 DDADMM CCc1ccccc1OCCC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363148818 885582180 /nfs/dbraw/zinc/58/21/80/885582180.db2.gz DVGMIMXNGOROIM-UHFFFAOYSA-N -1 1 317.345 1.536 20 0 DDADMM C[C@@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1cnccn1 ZINC001363192747 885679780 /nfs/dbraw/zinc/67/97/80/885679780.db2.gz SPYNYWSEKUALAX-SECBINFHSA-N -1 1 303.322 1.075 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CC[C@H]2CCCCO2)n[n-]1 ZINC001363229223 885768164 /nfs/dbraw/zinc/76/81/64/885768164.db2.gz ALTQZVYEUCAJBE-GHMZBOCLSA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CC[C@H]2CCCCO2)[n-]1 ZINC001363229223 885768173 /nfs/dbraw/zinc/76/81/73/885768173.db2.gz ALTQZVYEUCAJBE-GHMZBOCLSA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CC[C@H]2CCCCO2)n1 ZINC001363229223 885768182 /nfs/dbraw/zinc/76/81/82/885768182.db2.gz ALTQZVYEUCAJBE-GHMZBOCLSA-N -1 1 324.381 1.508 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C(C)(C)C)n[nH]1)c1nn[n-]n1 ZINC001363278551 885895903 /nfs/dbraw/zinc/89/59/03/885895903.db2.gz CJTFDISVDLEHCZ-QMMMGPOBSA-N -1 1 323.426 1.445 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](C)c1c(C)n[nH]c1C)c1nn[n-]n1 ZINC001363279755 885899191 /nfs/dbraw/zinc/89/91/91/885899191.db2.gz MPOXIEDHJIZMCJ-XVKPBYJWSA-N -1 1 323.426 1.254 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H](n3cccn3)C2)c(=O)[n-]1 ZINC001363374099 886164440 /nfs/dbraw/zinc/16/44/40/886164440.db2.gz IPSTYZSMEKLSKY-VIFPVBQESA-N -1 1 305.363 1.188 20 0 DDADMM O=C(NC[C@@H](O)Cc1cccc(Cl)c1)c1ncccc1[O-] ZINC001363380949 886183764 /nfs/dbraw/zinc/18/37/64/886183764.db2.gz WZMLHZGMJHVXIW-LBPRGKRZSA-N -1 1 306.749 1.774 20 0 DDADMM COC[C@H](NC(=O)Cc1ccccc1OC(C)C)c1nn[n-]n1 ZINC001363408105 886254724 /nfs/dbraw/zinc/25/47/24/886254724.db2.gz BKMLYJGTOUYBSJ-LBPRGKRZSA-N -1 1 319.365 1.033 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(Cl)[nH]2)n[n-]1 ZINC001363477515 886444075 /nfs/dbraw/zinc/44/40/75/886444075.db2.gz XIMVOSAZBZVBBX-LURJTMIESA-N -1 1 311.729 1.454 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(Cl)[nH]2)[n-]1 ZINC001363477515 886444081 /nfs/dbraw/zinc/44/40/81/886444081.db2.gz XIMVOSAZBZVBBX-LURJTMIESA-N -1 1 311.729 1.454 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(Cl)[nH]2)n1 ZINC001363477515 886444093 /nfs/dbraw/zinc/44/40/93/886444093.db2.gz XIMVOSAZBZVBBX-LURJTMIESA-N -1 1 311.729 1.454 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(O)ccc2C)co1 ZINC001363512293 886522244 /nfs/dbraw/zinc/52/22/44/886522244.db2.gz NIMHTTVEWIEGIP-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)c1ocnc1C1CC1 ZINC001363522363 886544484 /nfs/dbraw/zinc/54/44/84/886544484.db2.gz WDKOBOBHUCIDQN-UHFFFAOYSA-N -1 1 316.317 1.050 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N=S(C)(C)=O ZINC001363557593 886628873 /nfs/dbraw/zinc/62/88/73/886628873.db2.gz UBNHSRAIUCGSON-UHFFFAOYSA-N -1 1 320.374 1.349 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cnc(C3CC3)[nH]2)[n-]c1=O ZINC001363630971 886818573 /nfs/dbraw/zinc/81/85/73/886818573.db2.gz MUBIAGVFUWPSFG-UHFFFAOYSA-N -1 1 317.349 1.456 20 0 DDADMM CO[C@H](C)C(=O)NCCCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001288824326 913083883 /nfs/dbraw/zinc/08/38/83/913083883.db2.gz IMYVRYKZBIXZTB-VXGBXAGGSA-N -1 1 323.393 1.227 20 0 DDADMM CC1(C)C[C@@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCO1 ZINC001363682704 886967852 /nfs/dbraw/zinc/96/78/52/886967852.db2.gz CBMRSJNUSILZKE-JTQLQIEISA-N -1 1 321.377 1.076 20 0 DDADMM C[C@H](CC(N)=O)c1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001363761532 887160978 /nfs/dbraw/zinc/16/09/78/887160978.db2.gz OHLZKBODEFIJLS-SECBINFHSA-N -1 1 300.318 1.413 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1[nH]nc2c1CCCC2 ZINC001363798879 887249796 /nfs/dbraw/zinc/24/97/96/887249796.db2.gz FZOBKYXZYCKWRG-UHFFFAOYSA-N -1 1 317.397 1.029 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H](C)C(=O)OCCC(C)C ZINC001233757482 887280741 /nfs/dbraw/zinc/28/07/41/887280741.db2.gz DEIWEBYXTONHAH-VIFPVBQESA-N -1 1 312.322 1.325 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccn(C2CCCC2)n1 ZINC001363810035 887282080 /nfs/dbraw/zinc/28/20/80/887282080.db2.gz WCIFZFSPDUKZEP-UHFFFAOYSA-N -1 1 317.397 1.810 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccc(C)nn2)[n-]c1=O ZINC001363851010 887395299 /nfs/dbraw/zinc/39/52/99/887395299.db2.gz SENOCECCYAMDKB-NSHDSACASA-N -1 1 315.333 1.267 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2nc(C)c(C)s2)n[n-]1 ZINC001363885449 887471037 /nfs/dbraw/zinc/47/10/37/887471037.db2.gz KZXRGZKWGDBRJD-ZETCQYMHSA-N -1 1 323.378 1.546 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2nc(C)c(C)s2)[n-]1 ZINC001363885449 887471049 /nfs/dbraw/zinc/47/10/49/887471049.db2.gz KZXRGZKWGDBRJD-ZETCQYMHSA-N -1 1 323.378 1.546 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2nc(C)c(C)s2)n1 ZINC001363885449 887471054 /nfs/dbraw/zinc/47/10/54/887471054.db2.gz KZXRGZKWGDBRJD-ZETCQYMHSA-N -1 1 323.378 1.546 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCCC(C)(C)C2)[n-]n1 ZINC001363942144 887584040 /nfs/dbraw/zinc/58/40/40/887584040.db2.gz JTCFCUVAZPRBQK-UHFFFAOYSA-N -1 1 301.368 1.007 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCCC(C)(C)C2)n[n-]1 ZINC001363942144 887584052 /nfs/dbraw/zinc/58/40/52/887584052.db2.gz JTCFCUVAZPRBQK-UHFFFAOYSA-N -1 1 301.368 1.007 20 0 DDADMM COC[C@@H](NC(=O)c1csc2c1CC[C@@H](C)C2)c1nn[n-]n1 ZINC001364020308 887737866 /nfs/dbraw/zinc/73/78/66/887737866.db2.gz CZCLRJVIMWGQQA-LDYMZIIASA-N -1 1 321.406 1.504 20 0 DDADMM CSCC(=O)NCC1(CCNC(=O)c2ncccc2[O-])CC1 ZINC001384661907 887856971 /nfs/dbraw/zinc/85/69/71/887856971.db2.gz ZNCDEJDKIDUKKT-UHFFFAOYSA-N -1 1 323.418 1.167 20 0 DDADMM COC(=O)c1coc(C(=O)CSc2ncc(C)c(=O)[n-]2)c1 ZINC001364280287 888284654 /nfs/dbraw/zinc/28/46/54/888284654.db2.gz LOKRVGQYRZEMIX-UHFFFAOYSA-N -1 1 308.315 1.845 20 0 DDADMM COc1ccc(NC(=O)NCc2cc(=O)[n-]c(SC)n2)cn1 ZINC001364299433 888332430 /nfs/dbraw/zinc/33/24/30/888332430.db2.gz HMDGJMXBPDNFLG-UHFFFAOYSA-N -1 1 321.362 1.629 20 0 DDADMM O=C(N[C@H](CO)[C@H](O)C1CCCCC1)c1cccc([O-])c1F ZINC001364311016 888354847 /nfs/dbraw/zinc/35/48/47/888354847.db2.gz PMCBKCMVJXJRCU-IUODEOHRSA-N -1 1 311.353 1.563 20 0 DDADMM C[C@@H]1[C@H](C(=O)Nc2c[n-][nH]c2=O)CCN1C(=O)OC(C)(C)C ZINC001364514140 888815193 /nfs/dbraw/zinc/81/51/93/888815193.db2.gz ZMTRFCVJCLMKHF-RKDXNWHRSA-N -1 1 310.354 1.699 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001364649626 889117246 /nfs/dbraw/zinc/11/72/46/889117246.db2.gz BUONOAQUIFNDQL-QWHCGFSZSA-N -1 1 300.366 1.383 20 0 DDADMM COC(=O)[C@@H](C[C@H]1CCCO1)NC(=O)c1cccc([O-])c1F ZINC001364749728 889334657 /nfs/dbraw/zinc/33/46/57/889334657.db2.gz VUISAYPKHQIZQB-MWLCHTKSSA-N -1 1 311.309 1.372 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cncc(F)c1)c1noc(C)n1 ZINC001364793829 889429237 /nfs/dbraw/zinc/42/92/37/889429237.db2.gz DFPBIXZHJYSUJP-SNVBAGLBSA-N -1 1 300.315 1.342 20 0 DDADMM CCOC(=O)[C@@H](C[C@@H](C)CC)[N-]S(=O)(=O)Cc1ccno1 ZINC001364922806 889674427 /nfs/dbraw/zinc/67/44/27/889674427.db2.gz IUVIOROJQBDMPN-CMPLNLGQSA-N -1 1 318.395 1.462 20 0 DDADMM Cc1nccc(NC(=O)N2CCC(c3nn[n-]n3)CC2)c1Cl ZINC001365047143 889973328 /nfs/dbraw/zinc/97/33/28/889973328.db2.gz HOIMCZPKFIMVJY-UHFFFAOYSA-N -1 1 321.772 1.390 20 0 DDADMM Cn1cnc(CNC(=O)c2cc(Br)ccc2[O-])c1 ZINC001365158795 890220218 /nfs/dbraw/zinc/22/02/18/890220218.db2.gz AHHWDDVDISFCCO-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM Cn1cnc(CNC(=O)c2ccc(Br)cc2[O-])c1 ZINC001365160266 890222282 /nfs/dbraw/zinc/22/22/82/890222282.db2.gz JWFIPYSOUBPPIA-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@]1(C)C(=O)Nc1c[n-][nH]c1=O ZINC001365207644 890348778 /nfs/dbraw/zinc/34/87/78/890348778.db2.gz IINMYTMMMLYNGD-CQSZACIVSA-N -1 1 310.354 1.843 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1Cc2cc(Br)ccc2O1 ZINC001365208118 890350837 /nfs/dbraw/zinc/35/08/37/890350837.db2.gz LBVIAVBXWDFZLD-JTQLQIEISA-N -1 1 324.134 1.820 20 0 DDADMM Cc1cc(C[C@@H](C)[N-]S(=O)(=O)c2c[nH]nc2Cl)[nH]n1 ZINC001365408239 890751259 /nfs/dbraw/zinc/75/12/59/890751259.db2.gz JRIJWDAAQOCTDW-SSDOTTSWSA-N -1 1 303.775 1.004 20 0 DDADMM CNc1ncc2cc(-c3ccc(-c4nnn[n-]4)nc3)ccc2n1 ZINC001240598197 890988177 /nfs/dbraw/zinc/98/81/77/890988177.db2.gz ICKDSLMBSLGRLB-UHFFFAOYSA-N -1 1 304.317 1.914 20 0 DDADMM CNc1ncc2cc(-c3ccc(-c4nn[n-]n4)nc3)ccc2n1 ZINC001240598197 890988185 /nfs/dbraw/zinc/98/81/85/890988185.db2.gz ICKDSLMBSLGRLB-UHFFFAOYSA-N -1 1 304.317 1.914 20 0 DDADMM [O-]c1ccc(F)cc1-c1nc(N2CCOCC2)nc2c1CCN2 ZINC001240693035 891019935 /nfs/dbraw/zinc/01/99/35/891019935.db2.gz AFTWUFSYKZXTTJ-UHFFFAOYSA-N -1 1 316.336 1.336 20 0 DDADMM NS(=O)(=O)c1cnc(-c2c(F)ccc([O-])c2F)c(F)c1 ZINC001241291296 891142237 /nfs/dbraw/zinc/14/22/37/891142237.db2.gz LXUBCIDNWWXNPH-UHFFFAOYSA-N -1 1 304.249 1.519 20 0 DDADMM COC(=O)c1cc2cc(-c3cnn(CC(=O)[O-])c3)cnc2[nH]1 ZINC001242183402 891361885 /nfs/dbraw/zinc/36/18/85/891361885.db2.gz PKYKAEPNMCMJSJ-UHFFFAOYSA-N -1 1 300.274 1.298 20 0 DDADMM CCC1([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)CCCCC1 ZINC001365707691 891374309 /nfs/dbraw/zinc/37/43/09/891374309.db2.gz MGEKWOCTTDJXJX-UHFFFAOYSA-N -1 1 315.395 1.588 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]Cc1ncccn1 ZINC001365733914 891420759 /nfs/dbraw/zinc/42/07/59/891420759.db2.gz IIVINQUEKJEJTG-UHFFFAOYSA-N -1 1 315.301 1.242 20 0 DDADMM O=S(=O)([N-]C1(c2ncon2)CC1)c1cc(F)ccc1F ZINC001365741216 891434970 /nfs/dbraw/zinc/43/49/70/891434970.db2.gz IHCGMYSCTVFMCT-UHFFFAOYSA-N -1 1 301.274 1.315 20 0 DDADMM COc1ncc(-c2ccc(F)nc2F)cc1[N-]S(C)(=O)=O ZINC001244794527 891920153 /nfs/dbraw/zinc/92/01/53/891920153.db2.gz PLJPWUNFMMKBIR-UHFFFAOYSA-N -1 1 315.301 1.802 20 0 DDADMM COc1ncc(-c2cccc3n[nH]nc32)cc1[N-]S(C)(=O)=O ZINC001244794574 891932488 /nfs/dbraw/zinc/93/24/88/891932488.db2.gz RUGPEXJHIUGCIF-UHFFFAOYSA-N -1 1 319.346 1.400 20 0 DDADMM C[C@@H](O)Cn1[n-]c(-c2ccc(N3CCOCC3)cc2)cc1=O ZINC001245942589 892280399 /nfs/dbraw/zinc/28/03/99/892280399.db2.gz LINSSDDKVHDAME-GFCCVEGCSA-N -1 1 303.362 1.473 20 0 DDADMM C[S@](=O)CCCNC(=S)Nc1ncc(Cl)cc1[O-] ZINC001246365753 892573949 /nfs/dbraw/zinc/57/39/49/892573949.db2.gz GVCQDLVQYVYDFU-SFHVURJKSA-N -1 1 307.828 1.496 20 0 DDADMM C[C@@H]1CCN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C[C@H]1O ZINC001366261859 892928322 /nfs/dbraw/zinc/92/83/22/892928322.db2.gz HUJHBRXBKOXOHL-BXUZGUMPSA-N -1 1 316.361 1.813 20 0 DDADMM Cc1ccccc1[C@@H](C)C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001366367119 893332143 /nfs/dbraw/zinc/33/21/43/893332143.db2.gz VTEOBMWEUHSDET-NWDGAFQWSA-N -1 1 317.393 1.217 20 0 DDADMM CC(C)CC(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001387653694 893549968 /nfs/dbraw/zinc/54/99/68/893549968.db2.gz UUCCVDYLMFAMGQ-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM O=C1NCCc2cc([N-]S(=O)(=O)c3ccc(F)cc3)ccc21 ZINC001251661515 894765652 /nfs/dbraw/zinc/76/56/52/894765652.db2.gz MEVOALOMIWRVFS-UHFFFAOYSA-N -1 1 320.345 1.912 20 0 DDADMM CC(C)C(C)(C)C(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001366840912 895103772 /nfs/dbraw/zinc/10/37/72/895103772.db2.gz XBTFRDYRCCVLQU-GFCCVEGCSA-N -1 1 323.441 1.521 20 0 DDADMM Cc1occc1C(=O)N[C@@](C)(CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001367167157 896053504 /nfs/dbraw/zinc/05/35/04/896053504.db2.gz SKTDWMNRAKEXLY-HNNXBMFYSA-N -1 1 319.365 1.100 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC1(C)C ZINC001388902138 896149257 /nfs/dbraw/zinc/14/92/57/896149257.db2.gz OGGHBSHFSVQZEJ-NWDGAFQWSA-N -1 1 319.405 1.704 20 0 DDADMM CCC[C@@H](CC)C(=O)N1CCC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001388978740 896292152 /nfs/dbraw/zinc/29/21/52/896292152.db2.gz ADOUJPOWYAQSAD-NEPJUHHUSA-N -1 1 307.398 1.352 20 0 DDADMM CCc1ccccc1C(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367392005 896646331 /nfs/dbraw/zinc/64/63/31/896646331.db2.gz ZLMXAVRHBJOBJX-LLVKDONJSA-N -1 1 317.393 1.323 20 0 DDADMM CSc1nc(NS(=O)(=O)c2ccccc2F)cc(=O)[n-]1 ZINC001255416418 896896167 /nfs/dbraw/zinc/89/61/67/896896167.db2.gz DVPVPIJJBYPUHD-UHFFFAOYSA-N -1 1 315.351 1.844 20 0 DDADMM C[C@@H](CNC(=O)[C@@]12C[C@@H]1CCCC2)NC(=O)c1ncccc1[O-] ZINC001389326268 896940173 /nfs/dbraw/zinc/94/01/73/896940173.db2.gz DTBQZTDTSJBFIW-PRXAMGSTSA-N -1 1 317.389 1.602 20 0 DDADMM CCOC(=O)C(F)(F)C[N-]S(=O)(=O)c1ccccc1F ZINC001255516146 896982872 /nfs/dbraw/zinc/98/28/72/896982872.db2.gz VMAGZZCZTUGLNY-UHFFFAOYSA-N -1 1 311.281 1.302 20 0 DDADMM Cc1cccc(S(=O)(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001256872338 897615119 /nfs/dbraw/zinc/61/51/19/897615119.db2.gz BZMXDGOWIPKRKX-UHFFFAOYSA-N -1 1 310.291 1.395 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cccc(C)c1)c1ccccn1 ZINC001256970031 897649807 /nfs/dbraw/zinc/64/98/07/897649807.db2.gz XCWKBKMGULRSNC-AWEZNQCLSA-N -1 1 320.370 1.583 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H]1CCC[C@@H](F)C1 ZINC001258442809 898192179 /nfs/dbraw/zinc/19/21/79/898192179.db2.gz GMYWQVPUSDTGLI-RKDXNWHRSA-N -1 1 309.794 1.941 20 0 DDADMM Cc1ccc(F)cc1S(=O)(=O)[N-]c1cccc(CC(N)=O)c1 ZINC001258927436 898361786 /nfs/dbraw/zinc/36/17/86/898361786.db2.gz ZMMYYQIXEQCBIO-UHFFFAOYSA-N -1 1 322.361 1.963 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@]12CCCOC2)c1ccc(F)c(F)c1F ZINC001259083653 898458224 /nfs/dbraw/zinc/45/82/24/898458224.db2.gz PYRWLBOADCLLPL-GWCFXTLKSA-N -1 1 321.320 1.951 20 0 DDADMM COc1cccc(S(=O)(=O)NCC(=O)c2ccc([O-])cc2)c1 ZINC001259195152 898523671 /nfs/dbraw/zinc/52/36/71/898523671.db2.gz LEUPSVXCAAKGIV-UHFFFAOYSA-N -1 1 321.354 1.562 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-]c2ncccc2CCO)cc1 ZINC001259260036 898555809 /nfs/dbraw/zinc/55/58/09/898555809.db2.gz RVQAARQVZHNEIS-UHFFFAOYSA-N -1 1 306.387 1.867 20 0 DDADMM O=c1[nH]nccc1[N-]S(=O)(=O)c1cc(F)c(F)c(F)c1 ZINC001259495497 898700132 /nfs/dbraw/zinc/70/01/32/898700132.db2.gz JHLIREQIVVIBAY-UHFFFAOYSA-N -1 1 305.237 1.400 20 0 DDADMM CC(C)(C)OC(=O)[C@H](CO)[N-]S(=O)(=O)CC1CCCCC1 ZINC001259621919 898749008 /nfs/dbraw/zinc/74/90/08/898749008.db2.gz FPSUQNLXRLYYON-LBPRGKRZSA-N -1 1 321.439 1.189 20 0 DDADMM O=C([N-]CCNS(=O)(=O)CC1CCCCC1)C(F)(F)F ZINC001259620535 898750419 /nfs/dbraw/zinc/75/04/19/898750419.db2.gz GQWAQWMKPDFFBD-UHFFFAOYSA-N -1 1 316.345 1.165 20 0 DDADMM Cc1cccc(CS(=O)(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001259743503 898775411 /nfs/dbraw/zinc/77/54/11/898775411.db2.gz KZCDLYBWNHYPFO-UHFFFAOYSA-N -1 1 324.318 1.536 20 0 DDADMM COCCOc1ccc(C[N-]S(=O)(=O)C(F)F)cc1F ZINC001259963592 898986265 /nfs/dbraw/zinc/98/62/65/898986265.db2.gz GDOGOIFOSUQZQX-UHFFFAOYSA-N -1 1 313.297 1.493 20 0 DDADMM CCOC(=O)c1c([N-]S(N)(=O)=O)sc2c1CC[C@@H](C)C2 ZINC001260074802 899037885 /nfs/dbraw/zinc/03/78/85/899037885.db2.gz NSGLTOVYFFJYDI-SSDOTTSWSA-N -1 1 318.420 1.665 20 0 DDADMM O=S(=O)([N-]CCn1cnnc1)c1cc(Cl)c(F)cc1F ZINC001260204712 899088471 /nfs/dbraw/zinc/08/84/71/899088471.db2.gz IHFJKTJSABCHJM-UHFFFAOYSA-N -1 1 322.724 1.188 20 0 DDADMM C[C@H](CN1CCCC1)[N-]S(=O)(=O)c1c(F)cc(F)cc1F ZINC001260355259 899127059 /nfs/dbraw/zinc/12/70/59/899127059.db2.gz MSPWTVJKLOYYTH-SECBINFHSA-N -1 1 322.352 1.867 20 0 DDADMM CCC(CC)C(=O)N[C@H](CC)CNC(=O)c1ncccc1[O-] ZINC001390384574 899305737 /nfs/dbraw/zinc/30/57/37/899305737.db2.gz SAGUEGUNTLATDQ-GFCCVEGCSA-N -1 1 307.394 1.848 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cnc(Cl)c(OC)c2)n1 ZINC001261262775 899440476 /nfs/dbraw/zinc/44/04/76/899440476.db2.gz KTEWHCCECZRBEO-UHFFFAOYSA-N -1 1 317.758 1.225 20 0 DDADMM CCOc1cccc(F)c1CNCCN1C[C@@H](C(=O)[O-])CC1=O ZINC001261889623 899808958 /nfs/dbraw/zinc/80/89/58/899808958.db2.gz FTRFDWNAWFEAKR-NSHDSACASA-N -1 1 324.352 1.247 20 0 DDADMM CN(C)c1nc2sc(C(=O)N(C)c3nn[n-]n3)cc2s1 ZINC001262183305 900003639 /nfs/dbraw/zinc/00/36/39/900003639.db2.gz QYUDKSXGNIFHBE-UHFFFAOYSA-N -1 1 309.380 1.214 20 0 DDADMM O=C([O-])Cn1cc(CNCC[C@@H]2OCCc3ccccc32)nn1 ZINC001262491856 900196004 /nfs/dbraw/zinc/19/60/04/900196004.db2.gz QWGGXIBJBWPLOW-HNNXBMFYSA-N -1 1 316.361 1.156 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])Cc1ncc(C)o1 ZINC001390899685 900467348 /nfs/dbraw/zinc/46/73/48/900467348.db2.gz IZZWTQRWFSTEMR-UHFFFAOYSA-N -1 1 304.350 1.336 20 0 DDADMM CCn1c(-c2nc(Cl)n[n-]2)nnc1N(C)Cc1ccccn1 ZINC001263012901 900468517 /nfs/dbraw/zinc/46/85/17/900468517.db2.gz AXJCQCDDJGEFFS-UHFFFAOYSA-N -1 1 318.772 1.768 20 0 DDADMM CCN(Cc1cnn(C)c1)C(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001263721078 900682516 /nfs/dbraw/zinc/68/25/16/900682516.db2.gz HRDSNMNPYXZLHI-UHFFFAOYSA-N -1 1 307.379 1.175 20 0 DDADMM CNC(=O)c1cccc([N-]S(=O)(=O)c2ccc(F)cc2N)c1 ZINC000385821262 900985201 /nfs/dbraw/zinc/98/52/01/900985201.db2.gz UQNLRBAEQUHGPU-UHFFFAOYSA-N -1 1 323.349 1.568 20 0 DDADMM CC(C)N1CC[C@@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)C1 ZINC000389396016 901339841 /nfs/dbraw/zinc/33/98/41/901339841.db2.gz ZLOBWNNOLQGMOD-GFCCVEGCSA-N -1 1 312.391 1.146 20 0 DDADMM C[C@H](NC(=O)c1cccn1C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369660550 901721612 /nfs/dbraw/zinc/72/16/12/901721612.db2.gz HMNVNQUYFBXBGQ-QWRGUYRKSA-N -1 1 316.361 1.063 20 0 DDADMM Cc1c(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)ccn1C ZINC001293574854 914560757 /nfs/dbraw/zinc/56/07/57/914560757.db2.gz QAQMDHGJGMOISK-UHFFFAOYSA-N -1 1 312.333 1.678 20 0 DDADMM O=C(NCC[C@H]1CCCCN1C(=O)C1CC1)c1ncccc1[O-] ZINC001391455747 901772648 /nfs/dbraw/zinc/77/26/48/901772648.db2.gz MIDLLBIWRQQYAH-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@H]1CC1(C)C ZINC001369838791 902070121 /nfs/dbraw/zinc/07/01/21/902070121.db2.gz VXTUOWDWKGWNAR-GHMZBOCLSA-N -1 1 305.378 1.410 20 0 DDADMM CS[C@H](C)C(=O)N[C@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001370105003 902554157 /nfs/dbraw/zinc/55/41/57/902554157.db2.gz YUICYEDHQYOQIZ-NXEZZACHSA-N -1 1 323.418 1.115 20 0 DDADMM C/C=C(\C)C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001293763446 914684225 /nfs/dbraw/zinc/68/42/25/914684225.db2.gz RYMWNRQRDDJQDF-QDEBKDIKSA-N -1 1 303.362 1.474 20 0 DDADMM CC(C)=CC(=O)NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001280685653 903921003 /nfs/dbraw/zinc/92/10/03/903921003.db2.gz BKYOAIPDKFTWOL-XYYAHUGASA-N -1 1 315.373 1.188 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC12CC2 ZINC001375060535 914821492 /nfs/dbraw/zinc/82/14/92/914821492.db2.gz QEZBQWLAZHCWDZ-GHMZBOCLSA-N -1 1 303.362 1.212 20 0 DDADMM CN(C[C@H]1CCN1C(=O)CCCF)C(=O)c1ncccc1[O-] ZINC001371593384 905287242 /nfs/dbraw/zinc/28/72/42/905287242.db2.gz PCAVMHOGVBQSAK-LLVKDONJSA-N -1 1 309.341 1.210 20 0 DDADMM CCC(C)(CC)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001372259760 906845484 /nfs/dbraw/zinc/84/54/84/906845484.db2.gz BVFKCIVALHOBTD-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NCCCCCCNC(=O)c1ncccc1[O-] ZINC001283327331 907138654 /nfs/dbraw/zinc/13/86/54/907138654.db2.gz MVNKDWPYLOZMSV-STQMWFEESA-N -1 1 319.405 1.850 20 0 DDADMM CC/C=C(\C)C(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001283381435 907259661 /nfs/dbraw/zinc/25/96/61/907259661.db2.gz JJGSPRIZZLXKOL-UWUHSAQLSA-N -1 1 317.389 1.816 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)C(C)(C)F ZINC001283710777 907832398 /nfs/dbraw/zinc/83/23/98/907832398.db2.gz AEHYNDUHDATYSO-UHFFFAOYSA-N -1 1 311.357 1.504 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)Cc1ccsc1 ZINC001372834967 908387156 /nfs/dbraw/zinc/38/71/56/908387156.db2.gz MOETUGSHFCRDJN-SNVBAGLBSA-N -1 1 319.386 1.326 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)C(F)F ZINC001394875206 910798793 /nfs/dbraw/zinc/79/87/93/910798793.db2.gz MNYDVNCWYRQUMN-VIFPVBQESA-N -1 1 313.304 1.115 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)Nc2c(C)[nH]c(=O)[nH]c2=O)c1 ZINC001294364034 915082085 /nfs/dbraw/zinc/08/20/85/915082085.db2.gz DDDRZNASJMCANZ-UHFFFAOYSA-N -1 1 303.274 1.275 20 0 DDADMM CC/C=C(/C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC001295336093 915721717 /nfs/dbraw/zinc/72/17/17/915721717.db2.gz JTYUSQHUFMRMAX-HJIKWAGASA-N -1 1 315.373 1.330 20 0 DDADMM Cc1ccc(F)c(N)c1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001296690482 916538781 /nfs/dbraw/zinc/53/87/81/916538781.db2.gz QBTDNGAUGMXXRA-SECBINFHSA-N -1 1 322.369 1.160 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(CF)CC1 ZINC001375977900 917402301 /nfs/dbraw/zinc/40/23/01/917402301.db2.gz PEMPAQPORKVFMP-NSHDSACASA-N -1 1 323.368 1.408 20 0 DDADMM CC(C)c1ccsc1C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001376888656 919684299 /nfs/dbraw/zinc/68/42/99/919684299.db2.gz JKZVCOYXPHBKCN-VIFPVBQESA-N -1 1 323.422 1.603 20 0 DDADMM O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)c1ccc([O-])cc1F ZINC000181217606 199266835 /nfs/dbraw/zinc/26/68/35/199266835.db2.gz UNBFUSRPZLOOIM-JTQLQIEISA-N -1 1 320.242 1.424 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@H](C)[C@H](C)C3)nc2n1 ZINC000622993135 365585342 /nfs/dbraw/zinc/58/53/42/365585342.db2.gz CDXDCQYDQDCSHG-HBNTYKKESA-N -1 1 317.393 1.991 20 0 DDADMM CCCc1occc1C(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1 ZINC000622993776 365587657 /nfs/dbraw/zinc/58/76/57/365587657.db2.gz ZZSQRPXUXGIGDG-UHFFFAOYSA-N -1 1 315.333 1.778 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3Cc4ccccc43)nc2n1 ZINC000622994479 365587716 /nfs/dbraw/zinc/58/77/16/365587716.db2.gz QNLHAVHPNIXOIG-LBPRGKRZSA-N -1 1 309.329 1.258 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@@]3(C)C(C)C)nc2n1 ZINC000622997089 365589322 /nfs/dbraw/zinc/58/93/22/365589322.db2.gz LCGBERNZXQLWJY-BZNIZROVSA-N -1 1 317.393 1.991 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3C(C)(C)C3(C)C)nc2n1 ZINC000622996610 365590359 /nfs/dbraw/zinc/59/03/59/365590359.db2.gz SZVCLUIARBEXRA-UHFFFAOYSA-N -1 1 317.393 1.991 20 0 DDADMM Cc1sc2ncnc(SCC(=O)Nc3nnn[n-]3)c2c1C ZINC000076963375 185061672 /nfs/dbraw/zinc/06/16/72/185061672.db2.gz WWFZNWZXRQHDTO-UHFFFAOYSA-N -1 1 321.391 1.552 20 0 DDADMM Cc1sc2ncnc(SCC(=O)Nc3nn[n-]n3)c2c1C ZINC000076963375 185061674 /nfs/dbraw/zinc/06/16/74/185061674.db2.gz WWFZNWZXRQHDTO-UHFFFAOYSA-N -1 1 321.391 1.552 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(C)(c2ccccc2)C1 ZINC000623034845 365613222 /nfs/dbraw/zinc/61/32/22/365613222.db2.gz HJLQOQSIPZRIRE-UHFFFAOYSA-N -1 1 315.329 1.310 20 0 DDADMM C[C@@H](CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)[C@@H]1CCCO1 ZINC000614475606 361876118 /nfs/dbraw/zinc/87/61/18/361876118.db2.gz OVBPVYWPYUSZIR-JQWIXIFHSA-N -1 1 309.366 1.686 20 0 DDADMM C[C@H](NC(=O)c1ncc2ccccc2c1[O-])C(=O)NCCF ZINC000614479437 361878820 /nfs/dbraw/zinc/87/88/20/361878820.db2.gz JPKYECACYHXAPJ-VIFPVBQESA-N -1 1 305.309 1.144 20 0 DDADMM CCc1[nH]n(C)c2nnc(NC(=O)c3ccc(OC)cc3[O-])c1-2 ZINC000094152164 539177950 /nfs/dbraw/zinc/17/79/50/539177950.db2.gz JNNQWXRETWUFLC-UHFFFAOYSA-N -1 1 315.333 1.825 20 0 DDADMM CC[C@@H](CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C)OC ZINC000292386088 529476595 /nfs/dbraw/zinc/47/65/95/529476595.db2.gz YUWRMKATGMIQGP-LBPRGKRZSA-N -1 1 320.393 1.526 20 0 DDADMM Cn1cc(C[N-]S(=O)(=O)c2c(Cl)cccc2Cl)nn1 ZINC000091914090 539175022 /nfs/dbraw/zinc/17/50/22/539175022.db2.gz RXRFTNYKIITXIU-UHFFFAOYSA-N -1 1 321.189 1.600 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3CC[C@H](CO)CC3)cnc2n1 ZINC000154732698 539207089 /nfs/dbraw/zinc/20/70/89/539207089.db2.gz PSBRXUBIHKQQCI-TXEJJXNPSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H]3CC[C@H](CO)CC3)c[n-]c2n1 ZINC000154732698 539207091 /nfs/dbraw/zinc/20/70/91/539207091.db2.gz PSBRXUBIHKQQCI-TXEJJXNPSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1nc(CC2CC2)sc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000191022480 539257202 /nfs/dbraw/zinc/25/72/02/539257202.db2.gz RBWSYOJODVBSEE-MRVPVSSYSA-N -1 1 320.422 1.793 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(Br)cc1[O-])C(N)=O ZINC000292898635 529667479 /nfs/dbraw/zinc/66/74/79/529667479.db2.gz QZOSZJDZJNCMBV-MRVPVSSYSA-N -1 1 301.140 1.148 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(-n2ccnn2)cc1)c1nn[n-]n1 ZINC000090061015 185280248 /nfs/dbraw/zinc/28/02/48/185280248.db2.gz BBHMXUVSNQCLER-LBPRGKRZSA-N -1 1 312.337 1.052 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)[C@H]2C[C@H](C)Cc3cn[nH]c32)s[n-]1 ZINC000623215638 365726545 /nfs/dbraw/zinc/72/65/45/365726545.db2.gz AECWMOQKWQRXPT-MRTMQBJTSA-N -1 1 321.406 1.695 20 0 DDADMM CC(F)(F)C[N-]S(=O)(=O)c1cc2c(cc1F)NC(=O)CC2 ZINC000563734951 303972562 /nfs/dbraw/zinc/97/25/62/303972562.db2.gz USOPCDMGBJRZSZ-UHFFFAOYSA-N -1 1 322.308 1.644 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1CCN(c2ccc(C)cn2)CC1 ZINC000615225468 362202145 /nfs/dbraw/zinc/20/21/45/362202145.db2.gz CELLDIBIYILIGZ-UHFFFAOYSA-N -1 1 315.377 1.982 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000615226054 362204432 /nfs/dbraw/zinc/20/44/32/362204432.db2.gz IYFNJVYGVVLNOU-JTQLQIEISA-N -1 1 306.366 1.543 20 0 DDADMM CCc1ncc(NS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2)cn1 ZINC000447005283 529758994 /nfs/dbraw/zinc/75/89/94/529758994.db2.gz GKNUJXINKRZOJI-UHFFFAOYSA-N -1 1 321.358 1.846 20 0 DDADMM CNC(=O)[C@H](CO)[N-]c1nc2cc(Cl)cc(Cl)c2o1 ZINC000432828266 529918435 /nfs/dbraw/zinc/91/84/35/529918435.db2.gz HWLDQTDFVLXXPW-QMMMGPOBSA-N -1 1 304.133 1.653 20 0 DDADMM COc1cc(-c2nc(CC(=O)NC3CC3)no2)cc(Cl)c1[O-] ZINC000350723625 539415135 /nfs/dbraw/zinc/41/51/35/539415135.db2.gz XWLZGFCBCHQXSX-UHFFFAOYSA-N -1 1 323.736 1.925 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H](C)OCC(C)C)c1Br ZINC000616010346 362519068 /nfs/dbraw/zinc/51/90/68/362519068.db2.gz LQDFUZLMUIYFEX-QMMMGPOBSA-N -1 1 304.188 1.902 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(C(C)=O)cs2)n[n-]1 ZINC000616028434 362525338 /nfs/dbraw/zinc/52/53/38/362525338.db2.gz FXSAFCQAPBKDHR-UHFFFAOYSA-N -1 1 322.346 1.176 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(C(C)=O)cs2)n1 ZINC000616028434 362525342 /nfs/dbraw/zinc/52/53/42/362525342.db2.gz FXSAFCQAPBKDHR-UHFFFAOYSA-N -1 1 322.346 1.176 20 0 DDADMM CCCC(O)(CCC)CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000305682002 282399340 /nfs/dbraw/zinc/39/93/40/282399340.db2.gz NHJPVQYMEFGXOE-LBPRGKRZSA-N -1 1 309.414 1.627 20 0 DDADMM CC1(C)C(CNC(=O)CNC(=O)c2ncccc2[O-])C1(C)C ZINC000358325645 299121263 /nfs/dbraw/zinc/12/12/63/299121263.db2.gz ONAJRKPPXTXWCX-UHFFFAOYSA-N -1 1 305.378 1.315 20 0 DDADMM CCC[C@@H](O)[C@H](CO)NC(=O)c1ncc2ccccc2c1[O-] ZINC000616311495 362629765 /nfs/dbraw/zinc/62/97/65/362629765.db2.gz TWIRGHPOZDDPOB-QWHCGFSZSA-N -1 1 304.346 1.192 20 0 DDADMM CCN(C(=O)c1ccc([O-])cc1F)[C@H](C)CS(=O)(=O)CC ZINC000181973661 199369780 /nfs/dbraw/zinc/36/97/80/199369780.db2.gz FLAVOWUUSCRLGV-SNVBAGLBSA-N -1 1 317.382 1.817 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCCC(F)(F)F)c2=O ZINC000358360607 299130385 /nfs/dbraw/zinc/13/03/85/299130385.db2.gz BNZNSAZUCIJMKS-UHFFFAOYSA-N -1 1 302.256 1.746 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1nc(C(C)(C)C)no1)c1nn[n-]n1 ZINC000358375945 299135346 /nfs/dbraw/zinc/13/53/46/299135346.db2.gz AGQFRAAXLHCGQL-VIFPVBQESA-N -1 1 321.385 1.075 20 0 DDADMM O=C(N[C@@H](C1CCOCC1)C1(CO)CCC1)c1cncc([O-])c1 ZINC000616908678 362864329 /nfs/dbraw/zinc/86/43/29/362864329.db2.gz IBOGDPAABRUZHB-HNNXBMFYSA-N -1 1 320.389 1.475 20 0 DDADMM C[C@H]1CC[C@H](CCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)O1 ZINC000331396875 233307762 /nfs/dbraw/zinc/30/77/62/233307762.db2.gz KWPYWJJTOXQJNR-CMPLNLGQSA-N -1 1 309.366 1.829 20 0 DDADMM CCC(CC)(C[N-]S(=O)(=O)c1ncn(C)c1Cl)OC ZINC000292012108 222780100 /nfs/dbraw/zinc/78/01/00/222780100.db2.gz WBIWGYLMSZJUAN-UHFFFAOYSA-N -1 1 309.819 1.557 20 0 DDADMM COC[C@@H](C[N-]S(=O)(=O)c1ccc(F)c(F)c1F)OC ZINC000358488828 299170336 /nfs/dbraw/zinc/17/03/36/299170336.db2.gz BALRPRTWGSVXBJ-SSDOTTSWSA-N -1 1 313.297 1.044 20 0 DDADMM O=C(N[C@H]1CCOC2(CCSCC2)C1)c1ncccc1[O-] ZINC000269575319 186389244 /nfs/dbraw/zinc/38/92/44/186389244.db2.gz JFFXGNKKYCYMBQ-NSHDSACASA-N -1 1 308.403 1.962 20 0 DDADMM CCOc1cccc(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000282846637 186538149 /nfs/dbraw/zinc/53/81/49/186538149.db2.gz FTQRVLGUFSVLPW-GFCCVEGCSA-N -1 1 316.361 1.517 20 0 DDADMM O=S(=O)([N-]c1cccc(-c2nn[nH]n2)c1)c1cccc(F)c1 ZINC000007709234 352126287 /nfs/dbraw/zinc/12/62/87/352126287.db2.gz XYVGOQVAZMJHOC-UHFFFAOYSA-N -1 1 319.321 1.807 20 0 DDADMM COc1ccc(NC(=O)c2ccccn2)cc1[N-]S(C)(=O)=O ZINC000029817415 352245423 /nfs/dbraw/zinc/24/54/23/352245423.db2.gz VWWQZXXTLIZYGF-UHFFFAOYSA-N -1 1 321.358 1.714 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCCOC2CCCCC2)n1 ZINC000045133730 352404345 /nfs/dbraw/zinc/40/43/45/352404345.db2.gz HVBWORIIHUQWLO-UHFFFAOYSA-N -1 1 323.397 1.851 20 0 DDADMM Cc1c(C(=O)[N-]N2CC(=O)NC2=O)oc2c1c(C)ccc2C ZINC000057137906 352800688 /nfs/dbraw/zinc/80/06/88/352800688.db2.gz RRCGDPDMXLLDGL-UHFFFAOYSA-N -1 1 301.302 1.555 20 0 DDADMM CCO[C@@H]1CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000067554865 353055379 /nfs/dbraw/zinc/05/53/79/353055379.db2.gz LEHZFPLBZIBYFS-LLVKDONJSA-N -1 1 301.346 1.977 20 0 DDADMM O=C([O-])CSCC(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000564967510 304031032 /nfs/dbraw/zinc/03/10/32/304031032.db2.gz SHMGXXYTTBYJMC-SNVBAGLBSA-N -1 1 324.406 1.206 20 0 DDADMM Cc1cc(C2CCN(C(=O)[C@@H]3CC[C@H](C(=O)[O-])O3)CC2)n[nH]1 ZINC000564967031 304031116 /nfs/dbraw/zinc/03/11/16/304031116.db2.gz LHMZQYXLVIUSSR-QWHCGFSZSA-N -1 1 307.350 1.056 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1ccn(-c2ccncc2)n1 ZINC000071092084 353191455 /nfs/dbraw/zinc/19/14/55/353191455.db2.gz BEQJSABIKLTFRH-UHFFFAOYSA-N -1 1 319.346 1.673 20 0 DDADMM C[C@H](NC(=O)C=Cc1ccccc1)C(=O)Nc1ccncc1[O-] ZINC000492072803 234376672 /nfs/dbraw/zinc/37/66/72/234376672.db2.gz TWOGVINEVOFVJE-KRZKBDHCSA-N -1 1 311.341 1.366 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)o1 ZINC000492072939 234376994 /nfs/dbraw/zinc/37/69/94/234376994.db2.gz LMMAVPLUDKIXDB-PLNGDYQASA-N -1 1 319.390 1.214 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)C(=O)OC ZINC000358602630 299205671 /nfs/dbraw/zinc/20/56/71/299205671.db2.gz RWXDKECTYSDMDY-VIFPVBQESA-N -1 1 306.409 1.380 20 0 DDADMM Cc1ccc(S(=O)(=O)NC[C@]2(N(C)C)CCSC2)o1 ZINC000358604759 299206213 /nfs/dbraw/zinc/20/62/13/299206213.db2.gz WEOCPJUKJDPIAV-GFCCVEGCSA-N -1 1 304.437 1.304 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H](C)C[C@H](C)O ZINC000081824380 353694526 /nfs/dbraw/zinc/69/45/26/353694526.db2.gz KCMNFUYGGPLBIJ-UWVGGRQHSA-N -1 1 309.410 1.513 20 0 DDADMM C[C@H]1OCC[C@@H]1C(=O)N1CCC(c2n[n-]c(=S)n2C)CC1 ZINC000092414528 353856845 /nfs/dbraw/zinc/85/68/45/353856845.db2.gz DILXYNLBUMFJLU-KOLCDFICSA-N -1 1 310.423 1.609 20 0 DDADMM CO[C@@]1(CO)CCCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000617802400 363317835 /nfs/dbraw/zinc/31/78/35/363317835.db2.gz KEJDFXRLHWOHDL-AWEZNQCLSA-N -1 1 301.289 1.284 20 0 DDADMM CCC[C@H](NC(=O)c1cc(N2CCCC2)ccn1)c1nn[n-]n1 ZINC000194815200 354294857 /nfs/dbraw/zinc/29/48/57/354294857.db2.gz XQTHZGKHOYUHQV-LBPRGKRZSA-N -1 1 315.381 1.466 20 0 DDADMM NC(=O)CCCCNC(=O)c1ccc(Br)c([O-])c1 ZINC000227057814 354321159 /nfs/dbraw/zinc/32/11/59/354321159.db2.gz ZOOLGYPINFXJBT-UHFFFAOYSA-N -1 1 315.167 1.540 20 0 DDADMM COC(=O)c1ncsc1S(=O)(=O)[N-]c1n[nH]c(C)c1C ZINC000228329149 354321842 /nfs/dbraw/zinc/32/18/42/354321842.db2.gz CCMDWLASJKVUMN-UHFFFAOYSA-N -1 1 316.364 1.070 20 0 DDADMM CN(CC(=O)N(C)C1CCCCC1)C(=O)c1cncc([O-])c1 ZINC000316142991 354500781 /nfs/dbraw/zinc/50/07/81/354500781.db2.gz WDAOKBBBRLMIMV-UHFFFAOYSA-N -1 1 305.378 1.650 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCc3c[nH]nc3C2)n1 ZINC000585682762 354827619 /nfs/dbraw/zinc/82/76/19/354827619.db2.gz PPSWNDOXCUPPSU-QMMMGPOBSA-N -1 1 303.322 1.053 20 0 DDADMM CC(C)=C[C@@H]1[C@@H](C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)C1(C)C ZINC000588006255 354892838 /nfs/dbraw/zinc/89/28/38/354892838.db2.gz ZLVQRNQRBRSJKX-PWSUYJOCSA-N -1 1 315.377 1.903 20 0 DDADMM CCS(=O)(=O)[N-]c1n[nH]c(C)c1-c1ccc2c(c1)OCCO2 ZINC000358833158 291048726 /nfs/dbraw/zinc/04/87/26/291048726.db2.gz UUUKHLSKJISGSN-UHFFFAOYSA-N -1 1 323.374 1.918 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cnn(-c2ccccc2)c1C(F)(F)F ZINC000346349683 283070052 /nfs/dbraw/zinc/07/00/52/283070052.db2.gz MEJYFBLNYFYAPP-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnn(-c2ccccc2)c1C(F)(F)F ZINC000346349683 283070055 /nfs/dbraw/zinc/07/00/55/283070055.db2.gz MEJYFBLNYFYAPP-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM CN(C)CCNS(=O)(=O)c1cc(C(F)(F)F)ccc1[O-] ZINC000346430738 283091681 /nfs/dbraw/zinc/09/16/81/283091681.db2.gz CVOJNWXCFIJNDS-UHFFFAOYSA-N -1 1 312.313 1.251 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@H](C(=O)OC)C1CCCCC1)OC ZINC000591465377 355317520 /nfs/dbraw/zinc/31/75/20/355317520.db2.gz VNGPLZXQLJOVLY-STQMWFEESA-N -1 1 321.439 1.453 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)Cc1ccccc1Cl ZINC000593632656 355949104 /nfs/dbraw/zinc/94/91/04/355949104.db2.gz MEALKDXJPSCXKM-NSHDSACASA-N -1 1 323.773 1.661 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)CC12CCC(CC1)C2 ZINC000593632501 355949174 /nfs/dbraw/zinc/94/91/74/355949174.db2.gz BECULIXIXZYRSV-AKJDGMEZSA-N -1 1 307.387 1.387 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)[C@H]2C[C@@H]2C)n[n-]1 ZINC000593895610 356045461 /nfs/dbraw/zinc/04/54/61/356045461.db2.gz ZSTXULVXWYGXJQ-DCAQKATOSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)[C@H]2C[C@@H]2C)[n-]1 ZINC000593895610 356045468 /nfs/dbraw/zinc/04/54/68/356045468.db2.gz ZSTXULVXWYGXJQ-DCAQKATOSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)[C@H]2C[C@@H]2C)n1 ZINC000593895610 356045472 /nfs/dbraw/zinc/04/54/72/356045472.db2.gz ZSTXULVXWYGXJQ-DCAQKATOSA-N -1 1 308.382 1.841 20 0 DDADMM CCCCOCCNC(=O)CSc1nc(C)c(C)c(=O)[n-]1 ZINC000346719759 283147203 /nfs/dbraw/zinc/14/72/03/283147203.db2.gz YUTJRXAFIQHBKS-UHFFFAOYSA-N -1 1 313.423 1.824 20 0 DDADMM CCOC(=O)C[C@@H]([N-]S(=O)(=O)c1ccoc1)C(F)(F)F ZINC000594699168 356289428 /nfs/dbraw/zinc/28/94/28/356289428.db2.gz IFGSHTWGSVYYFN-MRVPVSSYSA-N -1 1 315.269 1.442 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1ccc(C(F)F)o1)C(=O)OC ZINC000601330535 358501274 /nfs/dbraw/zinc/50/12/74/358501274.db2.gz UQXANMNEHNAHOU-LLVKDONJSA-N -1 1 311.306 1.837 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C)[C@@H](C)OC)cc1C ZINC000595329943 356451391 /nfs/dbraw/zinc/45/13/91/356451391.db2.gz FBOHESDWIQUSNN-RKDXNWHRSA-N -1 1 305.352 1.076 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2COC(C)(C)C2)cc1C ZINC000595340541 356454795 /nfs/dbraw/zinc/45/47/95/356454795.db2.gz BQPALMOZJMOAQL-SECBINFHSA-N -1 1 317.363 1.220 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C2CCSCC2)cc1C ZINC000595309757 356443153 /nfs/dbraw/zinc/44/31/53/356443153.db2.gz BDXGKMOMFAXKMP-UHFFFAOYSA-N -1 1 319.404 1.549 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](CO)CC(C)C)cc1C ZINC000595315473 356446580 /nfs/dbraw/zinc/44/65/80/356446580.db2.gz PKLAIZPWINCGSO-JTQLQIEISA-N -1 1 319.379 1.060 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2csc(=NC3CC3)[n-]2)[C@@H]1C ZINC000347191969 283203427 /nfs/dbraw/zinc/20/34/27/283203427.db2.gz ITCQEDIGLUAVHF-SCZZXKLOSA-N -1 1 309.391 1.163 20 0 DDADMM CSC[C@](C)(O)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601354747 358512589 /nfs/dbraw/zinc/51/25/89/358512589.db2.gz VCRVLFMDALPKCB-SNVBAGLBSA-N -1 1 315.363 1.610 20 0 DDADMM CCOC(=O)c1coc(=NC[C@H]2CCN2Cc2ccccc2)[n-]1 ZINC000596557448 356916477 /nfs/dbraw/zinc/91/64/77/356916477.db2.gz HQWSGJSENWANIW-CQSZACIVSA-N -1 1 315.373 1.960 20 0 DDADMM CCCOc1ccc([C@H](C)NCc2nc(=O)n(C)[n-]2)cc1OC ZINC000347571216 283231456 /nfs/dbraw/zinc/23/14/56/283231456.db2.gz NIRGEVDXLJUUOT-NSHDSACASA-N -1 1 320.393 1.757 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2cc(C3CC3)on2)c1 ZINC000347729308 283266958 /nfs/dbraw/zinc/26/69/58/283266958.db2.gz GBXYYQSFHDMDBJ-UHFFFAOYSA-N -1 1 323.330 1.157 20 0 DDADMM COC(=O)[C@](C)(NC(=O)c1csc(=NC2CC2)[n-]1)C1CC1 ZINC000598785355 357727763 /nfs/dbraw/zinc/72/77/63/357727763.db2.gz FBROVHIWTUUQFN-CQSZACIVSA-N -1 1 309.391 1.211 20 0 DDADMM COC(=O)[C@@H](C[C@@H]1CCCOC1)N=c1nc(C2CC2)[n-]s1 ZINC000599162162 357834485 /nfs/dbraw/zinc/83/44/85/357834485.db2.gz DPYQLAXXDGGKBM-GXSJLCMTSA-N -1 1 311.407 1.608 20 0 DDADMM COC(=O)[C@@H](C[C@H]1CCCOC1)N=c1nc(C(C)C)[n-]s1 ZINC000599166045 357836513 /nfs/dbraw/zinc/83/65/13/357836513.db2.gz RAYMWKKVXGUQRT-GHMZBOCLSA-N -1 1 313.423 1.854 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H]2CCCC[C@H]2C)co1 ZINC000179269617 199007929 /nfs/dbraw/zinc/00/79/29/199007929.db2.gz ADSULIZHKKZGIW-ZYHUDNBSSA-N -1 1 314.407 1.838 20 0 DDADMM CCC(F)(F)C[N-]S(=O)(=O)CCCCCC(=O)OC ZINC000599433242 357933504 /nfs/dbraw/zinc/93/35/04/357933504.db2.gz DKMNZYUSVKFCKB-UHFFFAOYSA-N -1 1 301.355 1.685 20 0 DDADMM COc1ccc(-c2cc(C(=O)N(C)C[C@@H](C)C(=O)[O-])n[nH]2)cc1 ZINC000237280177 202213967 /nfs/dbraw/zinc/21/39/67/202213967.db2.gz YINQXHNQIPTCRH-SNVBAGLBSA-N -1 1 317.345 1.878 20 0 DDADMM CSCC[C@H](NC(=O)c1ncc2ccccc2c1[O-])C(N)=O ZINC000600265810 358176262 /nfs/dbraw/zinc/17/62/62/358176262.db2.gz NRHVMIYMEUSUEJ-NSHDSACASA-N -1 1 319.386 1.277 20 0 DDADMM Cc1c(C(=O)NCc2nn[n-]n2)cnn1-c1ccc(Cl)cc1 ZINC000600505296 358242683 /nfs/dbraw/zinc/24/26/83/358242683.db2.gz OFHIJNLWIWADIN-UHFFFAOYSA-N -1 1 317.740 1.277 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(c2nnc3n2CCC3)CC1 ZINC000600960101 358358388 /nfs/dbraw/zinc/35/83/88/358358388.db2.gz OEYQRDJXAKDOBD-UHFFFAOYSA-N -1 1 313.361 1.345 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@H]1CCC1(F)F ZINC000601438941 358553671 /nfs/dbraw/zinc/55/36/71/358553671.db2.gz CXLVWZATNHPBNS-ZJUUUORDSA-N -1 1 311.350 1.293 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)CCC(C)(F)F ZINC000601452997 358560103 /nfs/dbraw/zinc/56/01/03/358560103.db2.gz YGNMAUZPZSASME-VIFPVBQESA-N -1 1 315.382 1.929 20 0 DDADMM COC(=O)C[C@@H]1CCCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000601765036 358685030 /nfs/dbraw/zinc/68/50/30/358685030.db2.gz GNRKXWNWRYOFLE-NSHDSACASA-N -1 1 323.418 1.697 20 0 DDADMM COC(=O)Cc1c(C)[nH]n(-c2ccc(C(=O)[O-])c(F)c2)c1=O ZINC000601949855 358749270 /nfs/dbraw/zinc/74/92/70/358749270.db2.gz KROGQINKNNELRK-SNVBAGLBSA-N -1 1 308.265 1.426 20 0 DDADMM CS[C@@H](CO)[C@H](C)NC(=O)N=c1[n-]sc2ccccc21 ZINC000603051909 359377912 /nfs/dbraw/zinc/37/79/12/359377912.db2.gz MWNAQDQTKYAGBQ-KWQFWETISA-N -1 1 311.432 1.952 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2CCC(F)(F)C2)n[n-]1 ZINC000603152230 359439620 /nfs/dbraw/zinc/43/96/20/359439620.db2.gz BQFQGQUZSBZHFR-HTQZYQBOSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2CCC(F)(F)C2)[n-]1 ZINC000603152230 359439623 /nfs/dbraw/zinc/43/96/23/359439623.db2.gz BQFQGQUZSBZHFR-HTQZYQBOSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2CCC(F)(F)C2)n1 ZINC000603152230 359439624 /nfs/dbraw/zinc/43/96/24/359439624.db2.gz BQFQGQUZSBZHFR-HTQZYQBOSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](C)c2ccccc2)n[n-]1 ZINC000603157956 359443653 /nfs/dbraw/zinc/44/36/53/359443653.db2.gz XKRAKZNVBXVPLG-GHMZBOCLSA-N -1 1 316.361 1.962 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](C)c2ccccc2)[n-]1 ZINC000603157956 359443655 /nfs/dbraw/zinc/44/36/55/359443655.db2.gz XKRAKZNVBXVPLG-GHMZBOCLSA-N -1 1 316.361 1.962 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](C)c2ccccc2)n1 ZINC000603157956 359443660 /nfs/dbraw/zinc/44/36/60/359443660.db2.gz XKRAKZNVBXVPLG-GHMZBOCLSA-N -1 1 316.361 1.962 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)C[C@@]1(C)CC1(F)F ZINC000603275191 359534679 /nfs/dbraw/zinc/53/46/79/359534679.db2.gz QZTMSMBZLOMBHE-MWLCHTKSSA-N -1 1 313.366 1.539 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2)C(=O)OCC ZINC000603311085 359562658 /nfs/dbraw/zinc/56/26/58/359562658.db2.gz VRVYTMLOTYJSNJ-MVKPHWMLSA-N -1 1 317.407 1.124 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cc(OC)ccc2OC)n1 ZINC000358960619 299292422 /nfs/dbraw/zinc/29/24/22/299292422.db2.gz SLVTXYKXSSYLCN-UHFFFAOYSA-N -1 1 312.351 1.185 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2cnn3ccccc23)CC1 ZINC000603417928 359627420 /nfs/dbraw/zinc/62/74/20/359627420.db2.gz NEOLJUTXNRRMMB-UHFFFAOYSA-N -1 1 323.374 1.562 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)C(F)F)cc1F ZINC000603424093 359632432 /nfs/dbraw/zinc/63/24/32/359632432.db2.gz PWWNDDATQWKBJF-ZCFIWIBFSA-N -1 1 311.281 1.544 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@H](O)C1)c1c(F)cccc1Cl ZINC000188318141 200227069 /nfs/dbraw/zinc/22/70/69/200227069.db2.gz SAYVGEWTDSYQMC-BDAKNGLRSA-N -1 1 307.774 1.918 20 0 DDADMM O=C(COc1cccc(F)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000605381485 359847974 /nfs/dbraw/zinc/84/79/74/359847974.db2.gz UCULYYQKAXJUTH-UHFFFAOYSA-N -1 1 305.313 1.303 20 0 DDADMM CC(=O)N1CC[C@H](CNC(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000633106663 422760192 /nfs/dbraw/zinc/76/01/92/422760192.db2.gz OWAIPCITXVTPHA-GFCCVEGCSA-N -1 1 310.781 1.573 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@@H](C)CC2)o1 ZINC000188928742 200323306 /nfs/dbraw/zinc/32/33/06/200323306.db2.gz XIJJKICKMPNOLC-GHMZBOCLSA-N -1 1 314.407 1.886 20 0 DDADMM CCOC(=O)c1cc([N-]S(=O)(=O)CCOC)ccc1Cl ZINC000609986582 360369462 /nfs/dbraw/zinc/36/94/62/360369462.db2.gz JKKJAFATNJZDOK-UHFFFAOYSA-N -1 1 321.782 1.905 20 0 DDADMM COC(=O)[C@@H](C)[N-]S(=O)(=O)Cc1cc(-c2ccccc2)no1 ZINC000610759951 360530269 /nfs/dbraw/zinc/53/02/69/360530269.db2.gz SFWJWADDJOMCAO-SNVBAGLBSA-N -1 1 324.358 1.323 20 0 DDADMM Cc1csc([C@@H](C)C(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000612818207 361156168 /nfs/dbraw/zinc/15/61/68/361156168.db2.gz QWLZKVKBEHXFNJ-ZJUUUORDSA-N -1 1 306.395 1.474 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCCCCCO ZINC000193283142 201020457 /nfs/dbraw/zinc/02/04/57/201020457.db2.gz SAAWVXYDUFJAAM-UHFFFAOYSA-N -1 1 320.393 1.263 20 0 DDADMM O=C(N[C@H](CO)C1CCOCC1)c1ncc2ccccc2c1[O-] ZINC000613406078 361407979 /nfs/dbraw/zinc/40/79/79/361407979.db2.gz CUPGXPCMGTYBDE-CQSZACIVSA-N -1 1 316.357 1.458 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@@H](n2cncn2)C1 ZINC000613651301 361503904 /nfs/dbraw/zinc/50/39/04/361503904.db2.gz CFKKRXFGCZBIHC-GFCCVEGCSA-N -1 1 309.329 1.619 20 0 DDADMM C/C=C/C[C@H](CO)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000565949630 304106422 /nfs/dbraw/zinc/10/64/22/304106422.db2.gz UKDAPJLASLLOHQ-VMZHVLLKSA-N -1 1 312.416 1.902 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@H]1CCS(=O)(=O)C1 ZINC000613667415 361511525 /nfs/dbraw/zinc/51/15/25/361511525.db2.gz TVIKGTGWVFAEHF-JTQLQIEISA-N -1 1 321.358 1.156 20 0 DDADMM COC[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@H](C)O1 ZINC000613824282 361590401 /nfs/dbraw/zinc/59/04/01/361590401.db2.gz DMLZGJQJJICAOL-AAEUAGOBSA-N -1 1 316.357 1.816 20 0 DDADMM CCOCCS(=O)(=O)c1nnc(Cc2cccs2)[n-]1 ZINC000195159635 201349997 /nfs/dbraw/zinc/34/99/97/201349997.db2.gz ZSGPHWJOOYODLI-UHFFFAOYSA-N -1 1 301.393 1.267 20 0 DDADMM CCOCCS(=O)(=O)c1nc(Cc2cccs2)n[n-]1 ZINC000195159635 201350001 /nfs/dbraw/zinc/35/00/01/201350001.db2.gz ZSGPHWJOOYODLI-UHFFFAOYSA-N -1 1 301.393 1.267 20 0 DDADMM CCOCCS(=O)(=O)c1n[n-]c(Cc2cccs2)n1 ZINC000195159635 201350005 /nfs/dbraw/zinc/35/00/05/201350005.db2.gz ZSGPHWJOOYODLI-UHFFFAOYSA-N -1 1 301.393 1.267 20 0 DDADMM Cn1cc(C[C@H]2CCC[C@@H]2NC(=O)c2cncc([O-])c2)cn1 ZINC000618932161 363809242 /nfs/dbraw/zinc/80/92/42/363809242.db2.gz VAVMQWHJHAKMPS-DOMZBBRYSA-N -1 1 300.362 1.662 20 0 DDADMM CNC(=O)CC1(CNC(=O)c2ncccc2[O-])CCCCC1 ZINC000620088628 364277794 /nfs/dbraw/zinc/27/77/94/364277794.db2.gz LAJNWUWRQGFBIN-UHFFFAOYSA-N -1 1 305.378 1.604 20 0 DDADMM CC(C)(CNC(=O)c1ccc([O-])cc1F)[C@]1(O)CCCOC1 ZINC000620117523 364296268 /nfs/dbraw/zinc/29/62/68/364296268.db2.gz UBYZRZZGHQKHNX-INIZCTEOSA-N -1 1 311.353 1.829 20 0 DDADMM Fc1ccc(Cc2nc(=NCCN3CCSCC3)[n-]o2)cc1 ZINC000621267116 364763107 /nfs/dbraw/zinc/76/31/07/364763107.db2.gz NCAKBWZFXGJIMD-UHFFFAOYSA-N -1 1 322.409 1.682 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)n1 ZINC000621791937 365031854 /nfs/dbraw/zinc/03/18/54/365031854.db2.gz NLMLIZVSYMZURH-MYJAWHEDSA-N -1 1 312.395 1.363 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)[n-]1 ZINC000621791937 365031857 /nfs/dbraw/zinc/03/18/57/365031857.db2.gz NLMLIZVSYMZURH-MYJAWHEDSA-N -1 1 312.395 1.363 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC(C2CS(=O)(=O)C2)C1 ZINC000622084969 365242160 /nfs/dbraw/zinc/24/21/60/365242160.db2.gz LVGYFILOLQPMDS-UHFFFAOYSA-N -1 1 315.778 1.162 20 0 DDADMM CC(C)CO[C@H](C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622609565 365450681 /nfs/dbraw/zinc/45/06/81/365450681.db2.gz BZXHZAZSAXWFSR-DGCLKSJQSA-N -1 1 303.366 1.466 20 0 DDADMM CN1CCOC[C@@H]1C[N-]S(=O)(=O)c1sccc1Cl ZINC000625516828 366980828 /nfs/dbraw/zinc/98/08/28/366980828.db2.gz ZYGKEYRGNDPZLL-QMMMGPOBSA-N -1 1 310.828 1.010 20 0 DDADMM Cc1cc(C2([N-]S(=O)(=O)c3cccnc3)CCOCC2)on1 ZINC000625653825 367075179 /nfs/dbraw/zinc/07/51/79/367075179.db2.gz VNESYHVROPQABA-UHFFFAOYSA-N -1 1 323.374 1.362 20 0 DDADMM O=S(=O)([N-][C@@H]1CO[C@H](C2CC2)C1)c1cc(F)c(F)cc1F ZINC000625584656 367033751 /nfs/dbraw/zinc/03/37/51/367033751.db2.gz XXHGCDCUHIGGJH-UFBFGSQYSA-N -1 1 321.320 1.950 20 0 DDADMM Cn1cc(N2C[C@@H](C(=O)Nc3ccc(F)cc3[O-])CC2=O)cn1 ZINC000626277672 367458324 /nfs/dbraw/zinc/45/83/24/367458324.db2.gz KFODVQDTTUTNDR-VIFPVBQESA-N -1 1 318.308 1.256 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2ccc3c(c2)CCC3)C1 ZINC000261790712 203118392 /nfs/dbraw/zinc/11/83/92/203118392.db2.gz XTDWCIPRIQBJEF-CQSZACIVSA-N -1 1 302.374 1.910 20 0 DDADMM CCCn1nccc1NC(=O)CN1C[C@H](C(=O)[O-])CC[C@H]1C ZINC000635018178 422772043 /nfs/dbraw/zinc/77/20/43/422772043.db2.gz LUBIOWWGPWRCLU-VXGBXAGGSA-N -1 1 308.382 1.417 20 0 DDADMM CCCCc1noc([C@@H](C)N2CC[C@@](COC)(C(=O)[O-])C2)n1 ZINC000566360051 304148932 /nfs/dbraw/zinc/14/89/32/304148932.db2.gz GEGHUBUIMJCITM-IAQYHMDHSA-N -1 1 311.382 1.896 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)Nc1ccc(OC)c(OC)c1)C(=O)[O-] ZINC000262251724 203241545 /nfs/dbraw/zinc/24/15/45/203241545.db2.gz DKRUVQMLECHJCW-JQWIXIFHSA-N -1 1 324.377 1.874 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)Nc1cccc(C(=O)NC)c1)C(=O)[O-] ZINC000262296149 203253754 /nfs/dbraw/zinc/25/37/54/203253754.db2.gz OTSNYBAJGDRENM-MFKMUULPSA-N -1 1 321.377 1.216 20 0 DDADMM O=C([O-])[C@@]12CCC[C@H]1CN(C(=O)N[C@@H]1CCc3[nH]cnc3C1)C2 ZINC000263352803 304166411 /nfs/dbraw/zinc/16/64/11/304166411.db2.gz IDQPPSOVEVDDKX-LYOVBCGYSA-N -1 1 318.377 1.163 20 0 DDADMM C[C@]1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCNC1=O ZINC000636282826 422775484 /nfs/dbraw/zinc/77/54/84/422775484.db2.gz SHHVAWHALMLWTQ-HNNXBMFYSA-N -1 1 300.318 1.043 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCN2C2CC2)c1 ZINC000282929594 217333087 /nfs/dbraw/zinc/33/30/87/217333087.db2.gz ZAWPSOIVRVIQDN-ZDUSSCGKSA-N -1 1 304.346 1.744 20 0 DDADMM O=C(NCCc1cn[nH]c1)c1cc(Br)ccc1[O-] ZINC000379975678 307293087 /nfs/dbraw/zinc/29/30/87/307293087.db2.gz ODDQVWAQUNUQBF-UHFFFAOYSA-N -1 1 310.151 1.850 20 0 DDADMM NS(=O)(=O)C[C@@H]1CCCN1Cc1cccc([O-])c1Cl ZINC000351590784 284398262 /nfs/dbraw/zinc/39/82/62/284398262.db2.gz YTESUSMDASEPAG-JTQLQIEISA-N -1 1 304.799 1.299 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)C(=O)OC ZINC000566736148 304177437 /nfs/dbraw/zinc/17/74/37/304177437.db2.gz WDBVKZWNGINJEN-VXGBXAGGSA-N -1 1 307.412 1.063 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2cccc(F)c2F)CCCC1 ZINC000101570759 193823792 /nfs/dbraw/zinc/82/37/92/193823792.db2.gz UPKZPKFSJNAKBA-UHFFFAOYSA-N -1 1 319.329 1.729 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCc1ccc[nH]1 ZINC000173387614 248335870 /nfs/dbraw/zinc/33/58/70/248335870.db2.gz OIKFZAZDOQGFEB-UHFFFAOYSA-N -1 1 313.361 1.629 20 0 DDADMM O=C(NCC[C@@H](O)C(F)(F)F)c1csc(=NC2CC2)[n-]1 ZINC000337041181 249325844 /nfs/dbraw/zinc/32/58/44/249325844.db2.gz MJNSEXLNVLJNPC-MRVPVSSYSA-N -1 1 309.313 1.182 20 0 DDADMM NC(=O)CCCNC(=O)c1cc(Br)ccc1[O-] ZINC000042928761 183318808 /nfs/dbraw/zinc/31/88/08/183318808.db2.gz JFSHDSJOWJOQNB-UHFFFAOYSA-N -1 1 301.140 1.150 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCN(c2ccccc2F)CC1 ZINC000635065682 422793617 /nfs/dbraw/zinc/79/36/17/422793617.db2.gz RRWIWBRKNRLMHW-UHFFFAOYSA-N -1 1 318.356 1.010 20 0 DDADMM O=C(NCCc1ccn(-c2ccccc2)n1)c1ncccc1[O-] ZINC000338095794 249790176 /nfs/dbraw/zinc/79/01/76/249790176.db2.gz ZPJLZTLYHKMFLR-UHFFFAOYSA-N -1 1 308.341 1.945 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(O)ccc1C(F)(F)F ZINC000338848578 250160438 /nfs/dbraw/zinc/16/04/38/250160438.db2.gz RIVJRQIFOHZVJW-UHFFFAOYSA-N -1 1 313.239 1.343 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2sccc2F)CN1C1CC1 ZINC000338930222 250202430 /nfs/dbraw/zinc/20/24/30/250202430.db2.gz UERSIECZVMNMLO-BDAKNGLRSA-N -1 1 304.412 1.791 20 0 DDADMM COc1ncccc1CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000339041608 250264265 /nfs/dbraw/zinc/26/42/65/250264265.db2.gz NVCJICBSEQAPJY-UHFFFAOYSA-N -1 1 324.340 1.977 20 0 DDADMM COc1ncccc1CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000339041608 250264268 /nfs/dbraw/zinc/26/42/68/250264268.db2.gz NVCJICBSEQAPJY-UHFFFAOYSA-N -1 1 324.340 1.977 20 0 DDADMM COCC1(C2CC2)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000631492071 422796012 /nfs/dbraw/zinc/79/60/12/422796012.db2.gz AAHOCJHZOVJEHZ-UHFFFAOYSA-N -1 1 313.357 1.422 20 0 DDADMM CCc1ncsc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339186018 250334649 /nfs/dbraw/zinc/33/46/49/250334649.db2.gz RDFYFTRAHRKYLX-UHFFFAOYSA-N -1 1 308.363 1.814 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]1c1ccncc1 ZINC000352191436 284854244 /nfs/dbraw/zinc/85/42/44/284854244.db2.gz UZCGELLQQRHLIP-JTQLQIEISA-N -1 1 316.317 1.269 20 0 DDADMM CC(C)(NC(=O)c1cnn[nH]1)c1nc(C(F)(F)F)cs1 ZINC000340803962 251219475 /nfs/dbraw/zinc/21/94/75/251219475.db2.gz AECAXBSXCFFFPV-UHFFFAOYSA-N -1 1 305.285 1.945 20 0 DDADMM CCOC[C@@H](O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000340851924 251242724 /nfs/dbraw/zinc/24/27/24/251242724.db2.gz ZDUQFLIFSKBFJQ-VIFPVBQESA-N -1 1 311.762 1.155 20 0 DDADMM O=S(=O)([N-][C@H](CO)[C@@H]1CCCO1)c1cc(Cl)ccc1F ZINC000341075058 251366871 /nfs/dbraw/zinc/36/68/71/251366871.db2.gz GNLDNKUKLZVFPT-MNOVXSKESA-N -1 1 323.773 1.297 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnn(CC(C)C)c1C1CC1 ZINC000341051478 251355169 /nfs/dbraw/zinc/35/51/69/251355169.db2.gz RDYIKCKISQLPBE-UHFFFAOYSA-N -1 1 301.412 1.805 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)cc1 ZINC000063156670 184275447 /nfs/dbraw/zinc/27/54/47/184275447.db2.gz BQFBRSQYRUCXRY-CYBMUJFWSA-N -1 1 313.375 1.784 20 0 DDADMM CCSc1ccc(C(=O)NCc2n[n-]c(=S)n2C)cn1 ZINC000066625184 184419377 /nfs/dbraw/zinc/41/93/77/184419377.db2.gz LQQBNTWJBRNLEL-UHFFFAOYSA-N -1 1 309.420 1.915 20 0 DDADMM COc1nn(C)cc1[N-]S(=O)(=O)N1C[C@H](C)C[C@H](C)C1 ZINC000352318138 284956962 /nfs/dbraw/zinc/95/69/62/284956962.db2.gz VZUULRPLZCPYRQ-AOOOYVTPSA-N -1 1 302.400 1.063 20 0 DDADMM CC[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1nc(C)cs1 ZINC000352414706 285035687 /nfs/dbraw/zinc/03/56/87/285035687.db2.gz JGSBEIMXYOCQCL-QMMMGPOBSA-N -1 1 318.362 1.064 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C)C[C@H](C)C2)o1 ZINC000157889996 197224796 /nfs/dbraw/zinc/22/47/96/197224796.db2.gz PZHHLCRJNFJLBN-MNOVXSKESA-N -1 1 314.407 1.696 20 0 DDADMM O=C1N[C@@H]2CCCC[C@@H]2C[C@@H]1S(=O)(=O)c1ccc([O-])cc1 ZINC000333831119 296390970 /nfs/dbraw/zinc/39/09/70/296390970.db2.gz LPJGDAHGKIJDQV-HONMWMINSA-N -1 1 309.387 1.613 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2ccc(F)c(F)c2)n1 ZINC000352714729 285249119 /nfs/dbraw/zinc/24/91/19/285249119.db2.gz UAVUKRNDZXMGMN-ZCFIWIBFSA-N -1 1 303.290 1.696 20 0 DDADMM CCc1ccccc1CC(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000289518121 221034982 /nfs/dbraw/zinc/03/49/82/221034982.db2.gz CXWSKTKVLAKWMD-CQSZACIVSA-N -1 1 314.389 1.609 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](CO)c2ccc(F)cc2)c([O-])c1 ZINC000413961655 224281895 /nfs/dbraw/zinc/28/18/95/224281895.db2.gz AATICRWFESHLNH-GFCCVEGCSA-N -1 1 304.321 1.741 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1([C@H]2CCCCO2)CCC1 ZINC000636330075 422810218 /nfs/dbraw/zinc/81/02/18/422810218.db2.gz ZMTAEFHUQHCXGZ-GFCCVEGCSA-N -1 1 307.398 1.378 20 0 DDADMM COCc1n[nH]c([C@H]2CCCCN2C(=O)c2ncccc2[O-])n1 ZINC000292720907 533270186 /nfs/dbraw/zinc/27/01/86/533270186.db2.gz OWBSULHQXSKZTD-SNVBAGLBSA-N -1 1 317.349 1.419 20 0 DDADMM COCc1nc([C@H]2CCCCN2C(=O)c2ncccc2[O-])n[nH]1 ZINC000292720907 533270196 /nfs/dbraw/zinc/27/01/96/533270196.db2.gz OWBSULHQXSKZTD-SNVBAGLBSA-N -1 1 317.349 1.419 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cnc3nc(C)ccc3c2[O-])C[C@@H]1OC ZINC000331912561 533469532 /nfs/dbraw/zinc/46/95/32/533469532.db2.gz CUGJNBNQNBELJB-BETUJISGSA-N -1 1 317.345 1.130 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C[C@@H]1OC ZINC000331912561 533469535 /nfs/dbraw/zinc/46/95/35/533469535.db2.gz CUGJNBNQNBELJB-BETUJISGSA-N -1 1 317.345 1.130 20 0 DDADMM Cc1ccc(F)c(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000340366174 292119421 /nfs/dbraw/zinc/11/94/21/292119421.db2.gz KSBDSSLOHOGKGN-UHFFFAOYSA-N -1 1 320.349 1.590 20 0 DDADMM Cc1ccc([C@@H]2CSCCN2Cc2nc(=O)n(C)[n-]2)cc1 ZINC000353483766 292226289 /nfs/dbraw/zinc/22/62/89/292226289.db2.gz XMXWTBCTLHWKPU-ZDUSSCGKSA-N -1 1 304.419 1.707 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@H](OC)C2CC2)c(O)c1 ZINC000569576996 304365654 /nfs/dbraw/zinc/36/56/54/304365654.db2.gz HHMLTIAIHJLENG-ZDUSSCGKSA-N -1 1 301.364 1.568 20 0 DDADMM CCC[C@H](NC(=O)c1cccc2[nH]c(=O)[nH]c21)c1nn[n-]n1 ZINC000570104634 304396945 /nfs/dbraw/zinc/39/69/45/304396945.db2.gz MVSCIGJVWDQAFG-VIFPVBQESA-N -1 1 301.310 1.053 20 0 DDADMM COc1ccc(NC(=O)c2cc[nH]c2)cc1[N-]S(C)(=O)=O ZINC000068261444 406628048 /nfs/dbraw/zinc/62/80/48/406628048.db2.gz ZNKQXDCTSSEPMY-UHFFFAOYSA-N -1 1 309.347 1.647 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@](C)(O)C(C)C)cnc2n1 ZINC000075117159 406921620 /nfs/dbraw/zinc/92/16/20/406921620.db2.gz VRNMDYDPHXTNNQ-INIZCTEOSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@](C)(O)C(C)C)c2=O ZINC000075117159 406921621 /nfs/dbraw/zinc/92/16/21/406921621.db2.gz VRNMDYDPHXTNNQ-INIZCTEOSA-N -1 1 303.362 1.781 20 0 DDADMM CCCCOCCCNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000032660753 406951001 /nfs/dbraw/zinc/95/10/01/406951001.db2.gz KGJXLZXZJVDUGR-UHFFFAOYSA-N -1 1 318.395 1.124 20 0 DDADMM C[C@@H](C(=O)Nc1cccc(Cl)c1)S(=O)(=O)c1ncn[n-]1 ZINC000086169596 407109174 /nfs/dbraw/zinc/10/91/74/407109174.db2.gz CYCNCCBAMAVNPA-ZETCQYMHSA-N -1 1 314.754 1.259 20 0 DDADMM C[C@@H](C(=O)Nc1cccc(Cl)c1)S(=O)(=O)c1nc[n-]n1 ZINC000086169596 407109179 /nfs/dbraw/zinc/10/91/79/407109179.db2.gz CYCNCCBAMAVNPA-ZETCQYMHSA-N -1 1 314.754 1.259 20 0 DDADMM CCc1nc(S(=O)(=O)CCCCCn2cc(C)cn2)n[n-]1 ZINC000086170532 407109300 /nfs/dbraw/zinc/10/93/00/407109300.db2.gz HZPFAYOMGRBKMW-UHFFFAOYSA-N -1 1 311.411 1.516 20 0 DDADMM CC(C)c1ccc(NC(=O)CS(=O)(=O)c2ncn[n-]2)cc1 ZINC000086169979 407109749 /nfs/dbraw/zinc/10/97/49/407109749.db2.gz JVIFNYKITMSGQP-UHFFFAOYSA-N -1 1 308.363 1.341 20 0 DDADMM CC(C)c1ccc(NC(=O)CS(=O)(=O)c2nc[n-]n2)cc1 ZINC000086169979 407109751 /nfs/dbraw/zinc/10/97/51/407109751.db2.gz JVIFNYKITMSGQP-UHFFFAOYSA-N -1 1 308.363 1.341 20 0 DDADMM O=S(=O)([N-]CC1(O)CCCC1)c1ccc(F)c(F)c1F ZINC000087041695 407117334 /nfs/dbraw/zinc/11/73/34/407117334.db2.gz BSZYNAPUTZWQPN-UHFFFAOYSA-N -1 1 309.309 1.687 20 0 DDADMM NC(=O)CO[N-]C(=O)CCCOc1cccc(Cl)c1Cl ZINC000089464284 407136021 /nfs/dbraw/zinc/13/60/21/407136021.db2.gz UBXLOCVNKDEFAG-UHFFFAOYSA-N -1 1 321.160 1.686 20 0 DDADMM C[C@@H]1C[N@@H+](C2CCN(C(=O)c3ccc(F)cc3O)CC2)CCO1 ZINC000123453520 407328799 /nfs/dbraw/zinc/32/87/99/407328799.db2.gz KIJVRTLJWXGHAM-GFCCVEGCSA-N -1 1 322.380 1.857 20 0 DDADMM CCC[C@H](NC(=O)c1sc(COC)nc1C)c1nn[n-]n1 ZINC000122730958 407308820 /nfs/dbraw/zinc/30/88/20/407308820.db2.gz BULBMBOCKSZTKP-QMMMGPOBSA-N -1 1 310.383 1.382 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1OCCc2ccccc21)c1nn[n-]n1 ZINC000124361147 407356140 /nfs/dbraw/zinc/35/61/40/407356140.db2.gz QNMSUTPMOWCUGF-GXFFZTMASA-N -1 1 301.350 1.076 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CC(F)F)C2CC2)o1 ZINC000106834395 407362103 /nfs/dbraw/zinc/36/21/03/407362103.db2.gz UPYJCDGMHKZPAX-UHFFFAOYSA-N -1 1 308.306 1.057 20 0 DDADMM COc1ccc(C[C@@H](C)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000124413643 407357419 /nfs/dbraw/zinc/35/74/19/407357419.db2.gz HDYCJNMJZKXCRG-VXGBXAGGSA-N -1 1 317.393 1.649 20 0 DDADMM O=C(c1ccccc1)N1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000109042863 407394514 /nfs/dbraw/zinc/39/45/14/407394514.db2.gz HFZORCRULIAAJI-UHFFFAOYSA-N -1 1 311.341 1.385 20 0 DDADMM COc1ccc(CCNC(=O)c2cncc([O-])c2)cc1OC ZINC000109040520 407394538 /nfs/dbraw/zinc/39/45/38/407394538.db2.gz CELIHADBEPMYLI-UHFFFAOYSA-N -1 1 302.330 1.777 20 0 DDADMM CCCCNc1ccccc1C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000125673454 407394788 /nfs/dbraw/zinc/39/47/88/407394788.db2.gz RFFBZMRVPDBOTE-UHFFFAOYSA-N -1 1 317.393 1.673 20 0 DDADMM O=C([O-])[C@]1(C(F)(F)F)CCN(CCOCC(F)(F)F)C1 ZINC000111242573 407402604 /nfs/dbraw/zinc/40/26/04/407402604.db2.gz JVMAZEZTOWXXJQ-QMMMGPOBSA-N -1 1 309.206 1.904 20 0 DDADMM Cc1cccc(CNC(=O)CS(=O)(=O)c2ccc([O-])cc2)c1 ZINC000111902626 407419144 /nfs/dbraw/zinc/41/91/44/407419144.db2.gz FLBRHJKYIZNYCS-UHFFFAOYSA-N -1 1 319.382 1.791 20 0 DDADMM CCCN(CCO)C(=O)c1cc(Br)ccc1[O-] ZINC000218154565 407513553 /nfs/dbraw/zinc/51/35/53/407513553.db2.gz HFONJGCPKJGZSR-UHFFFAOYSA-N -1 1 302.168 1.999 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCOC[C@H]1C[C@H](O)c1ccco1 ZINC000178800529 407606878 /nfs/dbraw/zinc/60/68/78/407606878.db2.gz BYTGVGJDDBWYTE-OCCSQVGLSA-N -1 1 318.329 1.345 20 0 DDADMM O=C(NC1CCN(CC(F)(F)F)CC1)c1ncccc1[O-] ZINC000171281563 407650326 /nfs/dbraw/zinc/65/03/26/407650326.db2.gz MUGMPRPAURNNMB-UHFFFAOYSA-N -1 1 303.284 1.544 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(-c3nn[nH]n3)cc2)c([O-])c1 ZINC000130081021 407652825 /nfs/dbraw/zinc/65/28/25/407652825.db2.gz GKCAGUJEPPOGNI-UHFFFAOYSA-N -1 1 311.301 1.833 20 0 DDADMM CC1(C)CCC[C@H](CC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1 ZINC000131138355 407721483 /nfs/dbraw/zinc/72/14/83/407721483.db2.gz HLTUKHPMSWHBHX-SECBINFHSA-N -1 1 314.411 1.753 20 0 DDADMM CC1(C)CCC[C@H](CC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1 ZINC000131138355 407721490 /nfs/dbraw/zinc/72/14/90/407721490.db2.gz HLTUKHPMSWHBHX-SECBINFHSA-N -1 1 314.411 1.753 20 0 DDADMM O=C1OCC[C@@]12CCCN2Cc1cc(=O)oc2cc([O-])ccc12 ZINC000186726601 407759734 /nfs/dbraw/zinc/75/97/34/407759734.db2.gz FLUFVHJESLYVPY-KRWDZBQOSA-N -1 1 315.325 1.780 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)[C@@H]2CCCCO2)o1 ZINC000272077404 407767707 /nfs/dbraw/zinc/76/77/07/407767707.db2.gz RXGJMCBWHJYLMV-ZJUUUORDSA-N -1 1 317.363 1.302 20 0 DDADMM CCC(CC)[C@H](O)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179714918 407824492 /nfs/dbraw/zinc/82/44/92/407824492.db2.gz LMQCPDCQCSCNFK-GFCCVEGCSA-N -1 1 306.366 1.201 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2nc(C3CCCC3)no2)n[n-]1 ZINC000133420001 407840837 /nfs/dbraw/zinc/84/08/37/407840837.db2.gz TWIYINCIUCLISJ-UHFFFAOYSA-N -1 1 311.367 1.382 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)N2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000262617904 407882531 /nfs/dbraw/zinc/88/25/31/407882531.db2.gz CBQKJVQHDQJJNV-VXGBXAGGSA-N -1 1 306.362 1.819 20 0 DDADMM COCc1cccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000262650339 407891180 /nfs/dbraw/zinc/89/11/80/407891180.db2.gz JHKXOWWYQATLCK-HNNXBMFYSA-N -1 1 320.389 1.246 20 0 DDADMM C[S@](=O)CCCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180527870 407898886 /nfs/dbraw/zinc/89/88/86/407898886.db2.gz XHQVUBJCKRBEDL-FQEVSTJZSA-N -1 1 309.309 1.909 20 0 DDADMM COc1ccc(-c2n[nH]cc2C(=O)[N-]N2CCCNC2=O)cc1 ZINC000272404836 407903976 /nfs/dbraw/zinc/90/39/76/407903976.db2.gz JVZVQBWTOMNSCG-UHFFFAOYSA-N -1 1 315.333 1.145 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1COc2ccccc2C1)c1nn[n-]n1 ZINC000187344777 407845138 /nfs/dbraw/zinc/84/51/38/407845138.db2.gz LEQRUIIRHFVFIT-ZYHUDNBSSA-N -1 1 301.350 1.013 20 0 DDADMM Cc1nn(C)c(C)c1NS(=O)(=O)c1ccc(C(=O)[O-])cc1C ZINC000268155872 407916727 /nfs/dbraw/zinc/91/67/27/407916727.db2.gz WCRFPALNHIQYRZ-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM Cn1c(CS(=O)(=O)c2n[n-]c(C3CC3)n2)nnc1C1CCC1 ZINC000180996994 407943533 /nfs/dbraw/zinc/94/35/33/407943533.db2.gz XENCCJNYIIYBMI-UHFFFAOYSA-N -1 1 322.394 1.052 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cc(C(C)C)n(C)n2)n[nH]1 ZINC000181753405 408035144 /nfs/dbraw/zinc/03/51/44/408035144.db2.gz UWVJPPHKEWHDJY-UHFFFAOYSA-N -1 1 305.338 1.696 20 0 DDADMM C[C@@](NC(=O)c1ccc([O-])cc1F)(C(N)=O)c1ccccc1 ZINC000182036970 408069223 /nfs/dbraw/zinc/06/92/23/408069223.db2.gz RXQIOEKUFOUVBD-INIZCTEOSA-N -1 1 302.305 1.662 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@]2(C)CCO[C@H]2C2CC2)c1Cl ZINC000273133095 408073211 /nfs/dbraw/zinc/07/32/11/408073211.db2.gz UDGLFDDQSJRPBZ-CABZTGNLSA-N -1 1 319.814 1.309 20 0 DDADMM NC(=O)[C@H]1CCCC[C@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000175294027 408097484 /nfs/dbraw/zinc/09/74/84/408097484.db2.gz OZWNQPBMRQQHTE-WCBMZHEXSA-N -1 1 318.345 1.287 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CC(c2ccccc2)=NO1)c1nn[n-]n1 ZINC000136668587 408120000 /nfs/dbraw/zinc/12/00/00/408120000.db2.gz BEIORQMLRRYOQC-AAEUAGOBSA-N -1 1 314.349 1.350 20 0 DDADMM COc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)ccc1F ZINC000155644208 408194224 /nfs/dbraw/zinc/19/42/24/408194224.db2.gz VVMYMPFZUQKSOC-SNVBAGLBSA-N -1 1 305.313 1.367 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H](CCO)C(C)(C)C)c2=O ZINC000182337390 408127606 /nfs/dbraw/zinc/12/76/06/408127606.db2.gz DTAZMWKZAULRSF-NSHDSACASA-N -1 1 306.366 1.201 20 0 DDADMM CCN1CCN(Cc2cc(=O)oc3cc([O-])ccc23)CC1=O ZINC000273571386 408250783 /nfs/dbraw/zinc/25/07/83/408250783.db2.gz YDJDRSKUUUHBSJ-UHFFFAOYSA-N -1 1 302.330 1.163 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)OC(C)(C)C ZINC000263675264 408201779 /nfs/dbraw/zinc/20/17/79/408201779.db2.gz JWBVBYQDNNLJNW-JTQLQIEISA-N -1 1 309.366 1.820 20 0 DDADMM COC(=O)CC(C)(C)CC(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000183081415 408321580 /nfs/dbraw/zinc/32/15/80/408321580.db2.gz BUSHYPRNPRQQPR-UHFFFAOYSA-N -1 1 306.322 1.983 20 0 DDADMM C[C@H](CC(=O)NCCCc1nc(=O)[n-][nH]1)Cc1cccc(F)c1 ZINC000176264807 408327600 /nfs/dbraw/zinc/32/76/00/408327600.db2.gz YARWAAHVVSSZKM-NSHDSACASA-N -1 1 320.368 1.555 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)CC(=O)N(C)C)c1 ZINC000182912074 408280985 /nfs/dbraw/zinc/28/09/85/408280985.db2.gz YZGZKTVJNUOVLW-UHFFFAOYSA-N -1 1 315.167 1.880 20 0 DDADMM CCC[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000157089976 408288849 /nfs/dbraw/zinc/28/88/49/408288849.db2.gz BIWWYRIZRMDEEV-LLVKDONJSA-N -1 1 301.346 1.564 20 0 DDADMM Cc1c(F)cc(C(=O)[O-])cc1S(=O)(=O)N[C@H](C)CN(C)C ZINC000168640496 162181182 /nfs/dbraw/zinc/18/11/82/162181182.db2.gz UAGBQBHTCIALTK-MRVPVSSYSA-N -1 1 318.370 1.061 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc3n[nH]cc3c2)o1 ZINC000170028812 162184199 /nfs/dbraw/zinc/18/41/99/162184199.db2.gz RRFSCRWBAAIQMW-UHFFFAOYSA-N -1 1 320.330 1.316 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnc(CC(C)C)nc1)C1CC1 ZINC000571164771 304441251 /nfs/dbraw/zinc/44/12/51/304441251.db2.gz APWCKKXUAISNSW-ZDUSSCGKSA-N -1 1 313.423 1.842 20 0 DDADMM C[C@H](CN(C)C(=O)c1csc(-c2ccoc2)n1)c1nn[n-]n1 ZINC000183394301 408399191 /nfs/dbraw/zinc/39/91/91/408399191.db2.gz VDSZTNSFMAQZTQ-MRVPVSSYSA-N -1 1 318.362 1.792 20 0 DDADMM COc1ccc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1F ZINC000183417912 408404669 /nfs/dbraw/zinc/40/46/69/408404669.db2.gz AWUQSHWJANRSTK-VIFPVBQESA-N -1 1 307.329 1.152 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc(-n2cccc2)c1)c1nn[n-]n1 ZINC000183417782 408405784 /nfs/dbraw/zinc/40/57/84/408405784.db2.gz CPDCFUVDXREQDT-LBPRGKRZSA-N -1 1 310.361 1.866 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H](O)C(F)(F)F)cc1F ZINC000269736577 408410663 /nfs/dbraw/zinc/41/06/63/408410663.db2.gz SPXLAZUYHGZVLO-VIFPVBQESA-N -1 1 319.251 1.475 20 0 DDADMM C[C@@H](CN(C)C(=O)CCOc1ccccc1F)c1nn[n-]n1 ZINC000183439594 408413480 /nfs/dbraw/zinc/41/34/80/408413480.db2.gz XGXYFGCMQJXDFD-JTQLQIEISA-N -1 1 307.329 1.370 20 0 DDADMM CC[C@H](C(=O)[O-])N1CCN(C(=O)c2cccc(Cl)c2)CC1 ZINC000191402314 408419677 /nfs/dbraw/zinc/41/96/77/408419677.db2.gz SWMQLPHLNTXPEW-CYBMUJFWSA-N -1 1 310.781 1.961 20 0 DDADMM C[C@@H](NC(=O)c1ccc(Br)cc1[O-])c1nc[nH]n1 ZINC000176743327 408429613 /nfs/dbraw/zinc/42/96/13/408429613.db2.gz LLHDWSVKHVRCGH-ZCFIWIBFSA-N -1 1 311.139 1.764 20 0 DDADMM CCC[C@H](NC(=O)c1cc2ccccc2[nH]c1=O)c1nn[n-]n1 ZINC000176741649 408430544 /nfs/dbraw/zinc/43/05/44/408430544.db2.gz FYXOICOAOOJURB-LBPRGKRZSA-N -1 1 312.333 1.312 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CC(=O)Nc2ccccc21)c1nn[n-]n1 ZINC000176756065 408435591 /nfs/dbraw/zinc/43/55/91/408435591.db2.gz BJBLGAPTOURUNK-ZYHUDNBSSA-N -1 1 314.349 1.283 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccc(Cl)cc1)c1nn[n-]n1 ZINC000176763797 408437851 /nfs/dbraw/zinc/43/78/51/408437851.db2.gz LPEQTWAGEZUNDI-LLVKDONJSA-N -1 1 309.757 1.890 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)Oc1ccc(C)cc1)c1nn[n-]n1 ZINC000176778010 408441481 /nfs/dbraw/zinc/44/14/81/408441481.db2.gz AFWFIUDFVYTGJG-AAEUAGOBSA-N -1 1 303.366 1.933 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)[C@@H]1CCCO1 ZINC000264417276 408481333 /nfs/dbraw/zinc/48/13/33/408481333.db2.gz RRQLGMWBODVXGT-HQJQHLMTSA-N -1 1 309.309 1.950 20 0 DDADMM O=C(CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)Nc1ccc(F)cc1[O-] ZINC000270377751 408511038 /nfs/dbraw/zinc/51/10/38/408511038.db2.gz NUSAVCHKKIEIDU-PHIMTYICSA-N -1 1 320.320 1.645 20 0 DDADMM Cc1ccc2oc(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)cc2n1 ZINC000274761135 408522915 /nfs/dbraw/zinc/52/29/15/408522915.db2.gz JSEBCRDICNXQDX-MRVPVSSYSA-N -1 1 300.322 1.525 20 0 DDADMM Cn1cc([C@@H]2CSCCN2C(=O)c2ncccc2[O-])cn1 ZINC000192013564 408526838 /nfs/dbraw/zinc/52/68/38/408526838.db2.gz QPAFIOGQMQCGTP-NSHDSACASA-N -1 1 304.375 1.451 20 0 DDADMM CC(C)(C)O[C@H](C(=O)NN1CC(=O)[N-]C1=O)c1ccccc1 ZINC000269916316 408469200 /nfs/dbraw/zinc/46/92/00/408469200.db2.gz IENHPBFJRWQUET-LBPRGKRZSA-N -1 1 305.334 1.126 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)c1ncn(C)n1 ZINC000275600688 408615025 /nfs/dbraw/zinc/61/50/25/408615025.db2.gz FSZUCEOECWXNGD-ZCFIWIBFSA-N -1 1 320.296 1.272 20 0 DDADMM C[C@]1(C(=O)[O-])CCC[C@@H]1NS(=O)(=O)c1c(F)cccc1F ZINC000164848836 408804692 /nfs/dbraw/zinc/80/46/92/408804692.db2.gz VFQBYHRSWTVIRQ-GWCFXTLKSA-N -1 1 319.329 1.887 20 0 DDADMM O=S(=O)([N-]CCF)c1cc(Br)ccc1F ZINC000280760267 408829082 /nfs/dbraw/zinc/82/90/82/408829082.db2.gz NFHSAVOYIKGFGK-UHFFFAOYSA-N -1 1 300.124 1.836 20 0 DDADMM CC(C)(C)OC(=O)NCCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000280820869 408840590 /nfs/dbraw/zinc/84/05/90/408840590.db2.gz RPHMWHOJBZWJCB-UHFFFAOYSA-N -1 1 308.334 1.216 20 0 DDADMM C[C@H](CN1CCOCC1)NC(=O)c1c(F)ccc([O-])c1F ZINC000280858805 408847901 /nfs/dbraw/zinc/84/79/01/408847901.db2.gz WWQDAEZETVNJNM-SECBINFHSA-N -1 1 300.305 1.121 20 0 DDADMM O=C(NCCCOC1CCOCC1)c1c(F)ccc([O-])c1F ZINC000280871842 408849824 /nfs/dbraw/zinc/84/98/24/408849824.db2.gz FFSGUMGQTBZOQK-UHFFFAOYSA-N -1 1 315.316 1.986 20 0 DDADMM CC1([C@H]2CCCN(C(=O)C(=O)c3ccc([O-])cc3)C2)OCCO1 ZINC000281053011 408870520 /nfs/dbraw/zinc/87/05/20/408870520.db2.gz RBERYARZPRAWLY-ZDUSSCGKSA-N -1 1 319.357 1.577 20 0 DDADMM CCNC(=O)OC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000286092390 408922216 /nfs/dbraw/zinc/92/22/16/408922216.db2.gz UXKJIJUJIPHUKP-LLVKDONJSA-N -1 1 307.350 1.528 20 0 DDADMM CO[C@H]1C[C@@H](c2nc(C)no2)N(C(=O)c2cc(F)ccc2[O-])C1 ZINC000190016377 163127423 /nfs/dbraw/zinc/12/74/23/163127423.db2.gz XJZNXDPFKRRRCT-JQWIXIFHSA-N -1 1 321.308 1.825 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2OCCC[C@H]12)c1ccc(F)c(F)c1F ZINC000190635967 163149793 /nfs/dbraw/zinc/14/97/93/163149793.db2.gz ROVIWVDWKNBLEV-SZEHBUNVSA-N -1 1 321.320 1.950 20 0 DDADMM O=S(=O)(CCCc1nc(-c2ccccc2)no1)c1nc[n-]n1 ZINC000191045345 163170125 /nfs/dbraw/zinc/17/01/25/163170125.db2.gz YPQIQXHWURASRZ-UHFFFAOYSA-N -1 1 319.346 1.261 20 0 DDADMM COC(=O)[C@@H](C)N(C)C(=O)c1ccc(Br)cc1[O-] ZINC000281748382 408923860 /nfs/dbraw/zinc/92/38/60/408923860.db2.gz JRQABGIFZLBRSR-SSDOTTSWSA-N -1 1 316.151 1.788 20 0 DDADMM Cc1ccc(CC(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])cc1 ZINC000192731701 163234710 /nfs/dbraw/zinc/23/47/10/163234710.db2.gz AMBPCDHHQSMKED-UHFFFAOYSA-N -1 1 320.370 1.529 20 0 DDADMM C[C@H](O)[C@H]1CCN(C(=O)c2ccc(Br)c([O-])c2)C1 ZINC000227522142 163339368 /nfs/dbraw/zinc/33/93/68/163339368.db2.gz HBPGJFQILNUMFQ-WPRPVWTQSA-N -1 1 314.179 1.998 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(-c2cc[nH]n2)s1)c1nn[n-]n1 ZINC000277605659 408976564 /nfs/dbraw/zinc/97/65/64/408976564.db2.gz HYLZSMVFOSBVLD-SECBINFHSA-N -1 1 317.378 1.923 20 0 DDADMM CC[C@@H]1CCc2nc(NC(=O)NN3CC(=O)[N-]C3=O)sc2C1 ZINC000282286934 409027301 /nfs/dbraw/zinc/02/73/01/409027301.db2.gz YCQPUOCLVCPUBR-SSDOTTSWSA-N -1 1 323.378 1.246 20 0 DDADMM COC(=O)Nc1ccc([N-]S(=O)(=O)C[C@@H](C)OC)cc1F ZINC000282292297 409028265 /nfs/dbraw/zinc/02/82/65/409028265.db2.gz WCRNLNMOYLGATP-MRVPVSSYSA-N -1 1 320.342 1.781 20 0 DDADMM C[C@H]1CCN(Cc2c[nH]cn2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000287555997 409054835 /nfs/dbraw/zinc/05/48/35/409054835.db2.gz WPCBQKYBVYGZMU-QXEWZRGKSA-N -1 1 304.316 1.687 20 0 DDADMM C[C@H]1C[C@H](CCNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000287688594 409076504 /nfs/dbraw/zinc/07/65/04/409076504.db2.gz RBORLNOVRHJLHH-LSDAEQDQSA-N -1 1 317.393 1.669 20 0 DDADMM CO[C@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC[C@H]1C ZINC000287764417 409088970 /nfs/dbraw/zinc/08/89/70/409088970.db2.gz VVINOTSAEQBZBO-OBPASLPNSA-N -1 1 303.366 1.231 20 0 DDADMM CCN(C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2)[C@H](C)C(C)C ZINC000288001359 409128906 /nfs/dbraw/zinc/12/89/06/409128906.db2.gz MPQXAPZQEQSFPS-ZNCULLJESA-N -1 1 317.393 1.173 20 0 DDADMM CCC(=O)[C@@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283367338 409144473 /nfs/dbraw/zinc/14/44/73/409144473.db2.gz JFVDONCUSMOSAY-NSHDSACASA-N -1 1 318.402 1.992 20 0 DDADMM CC(C)N(C)C(=O)[C@@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000279119019 409152356 /nfs/dbraw/zinc/15/23/56/409152356.db2.gz ACYKOPXVVKCPSG-LBPRGKRZSA-N -1 1 309.391 1.169 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CC2(C3CC3)CC2)c(C(F)(F)F)n1 ZINC000293661935 409156532 /nfs/dbraw/zinc/15/65/32/409156532.db2.gz YTSYVTPOEUPMHX-UHFFFAOYSA-N -1 1 323.340 1.907 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)C)C[C@H](C)N1C(=O)c1cncc([O-])c1 ZINC000293663283 409157247 /nfs/dbraw/zinc/15/72/47/409157247.db2.gz JPPRJEQPFPEHGE-TXEJJXNPSA-N -1 1 319.405 1.895 20 0 DDADMM CN(Cc1cccc([O-])c1Cl)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000294028117 409228709 /nfs/dbraw/zinc/22/87/09/409228709.db2.gz VKHUHRGUEDGPSH-NSHDSACASA-N -1 1 318.826 1.511 20 0 DDADMM O=S(=O)([N-]c1cc([C@H]2CCCOC2)n[nH]1)c1cccnc1 ZINC000280147905 409258287 /nfs/dbraw/zinc/25/82/87/409258287.db2.gz ADSDLNFAAYOKIE-JTQLQIEISA-N -1 1 308.363 1.500 20 0 DDADMM O=C(NCCN1CC=CCC1)c1nn(-c2ccccc2)cc1[O-] ZINC000280297764 409288180 /nfs/dbraw/zinc/28/81/80/409288180.db2.gz RSKDAKLVNKDBFG-UHFFFAOYSA-N -1 1 312.373 1.570 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCO[C@@H](C(C)C)C1 ZINC000290337459 409365376 /nfs/dbraw/zinc/36/53/76/409365376.db2.gz BEGSVUSGAUDLRL-NXEZZACHSA-N -1 1 303.384 1.047 20 0 DDADMM CC(C)CO[C@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000295234234 409389219 /nfs/dbraw/zinc/38/92/19/409389219.db2.gz ZNCDAKNPDODUDO-LBPRGKRZSA-N -1 1 320.418 1.905 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1cccc(-n2cnnn2)c1 ZINC000316426593 164021718 /nfs/dbraw/zinc/02/17/18/164021718.db2.gz OBLXNDZHTBOIHN-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2[C@H]2CCC[C@@H]2O)c([O-])c1 ZINC000408061932 164213297 /nfs/dbraw/zinc/21/32/97/164213297.db2.gz GKOMWEGVWZWOPH-UPJWGTAASA-N -1 1 306.362 1.098 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2[C@H]2CCCC[C@@H]2O)c([O-])c1 ZINC000408064562 164213888 /nfs/dbraw/zinc/21/38/88/164213888.db2.gz LCUKPJKPVPSQLV-MCIONIFRSA-N -1 1 320.389 1.488 20 0 DDADMM CN(CCOc1ccccc1F)C(=O)CCCc1nn[n-]n1 ZINC000635153698 422845594 /nfs/dbraw/zinc/84/55/94/422845594.db2.gz YFHOWVAGXUFHHQ-UHFFFAOYSA-N -1 1 307.329 1.199 20 0 DDADMM CCn1cc([N-]S(=O)(=O)CC2(C(=O)OC)CCCC2)cn1 ZINC000290731991 409502140 /nfs/dbraw/zinc/50/21/40/409502140.db2.gz WESPTVVJXBOWMA-UHFFFAOYSA-N -1 1 315.395 1.378 20 0 DDADMM CC1(C)CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC(C)(C)C1 ZINC000344963986 409572107 /nfs/dbraw/zinc/57/21/07/409572107.db2.gz CXTSFUVLGLOKDJ-UHFFFAOYSA-N -1 1 303.366 1.316 20 0 DDADMM O=C(NC1CC(C(F)(F)F)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000342599741 409752082 /nfs/dbraw/zinc/75/20/82/409752082.db2.gz GIKFQCRPWHHHAE-UHFFFAOYSA-N -1 1 311.263 1.994 20 0 DDADMM O=C([O-])[C@@H]1CCCN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000314240012 409821664 /nfs/dbraw/zinc/82/16/64/409821664.db2.gz DNDNWXJNLVXYTF-SNVBAGLBSA-N -1 1 300.314 1.877 20 0 DDADMM CCOc1ccccc1CCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000357062808 409827292 /nfs/dbraw/zinc/82/72/92/409827292.db2.gz YAKPVDLHGJITKT-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM CC[C@@H](CCC(=O)[O-])NS(=O)(=O)c1c(F)cccc1F ZINC000297202271 409849782 /nfs/dbraw/zinc/84/97/82/409849782.db2.gz MTYDWZMRSJQTKF-QMMMGPOBSA-N -1 1 307.318 1.887 20 0 DDADMM COCc1n[n-]c(=NCCN2CCc3ccccc3C2)s1 ZINC000357028909 409806270 /nfs/dbraw/zinc/80/62/70/409806270.db2.gz PWFROKLWOLVKQV-UHFFFAOYSA-N -1 1 304.419 1.577 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCOC1 ZINC000354509355 409907931 /nfs/dbraw/zinc/90/79/31/409907931.db2.gz MQMVLFPSQNYSGR-LBPRGKRZSA-N -1 1 309.309 1.809 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ncc(C(F)(F)F)[nH]2)cn1 ZINC000357374813 410000999 /nfs/dbraw/zinc/00/09/99/410000999.db2.gz STNLSJPEDODYCW-UHFFFAOYSA-N -1 1 322.268 1.633 20 0 DDADMM CCOc1cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)ccc1C ZINC000354734875 410049232 /nfs/dbraw/zinc/04/92/32/410049232.db2.gz USUIVKDPEWEBQA-UHFFFAOYSA-N -1 1 324.362 1.168 20 0 DDADMM CCOc1cc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)ccc1C ZINC000354734875 410049235 /nfs/dbraw/zinc/04/92/35/410049235.db2.gz USUIVKDPEWEBQA-UHFFFAOYSA-N -1 1 324.362 1.168 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1F ZINC000332304372 410055590 /nfs/dbraw/zinc/05/55/90/410055590.db2.gz IKBGQYKBDHALIC-JTQLQIEISA-N -1 1 321.308 1.943 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C(=O)N1Cc2ccccc2C1 ZINC000357531085 410085702 /nfs/dbraw/zinc/08/57/02/410085702.db2.gz SBNOTNWPBXHHQV-LLVKDONJSA-N -1 1 311.341 1.448 20 0 DDADMM O=C(Cc1cccc(O)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332374505 410108189 /nfs/dbraw/zinc/10/81/89/410108189.db2.gz FXKOCECQRVHAPE-LLVKDONJSA-N -1 1 303.318 1.430 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)N=c2ccc(C3CC3)n[n-]2)C[C@@H]1C ZINC000346734776 410121651 /nfs/dbraw/zinc/12/16/51/410121651.db2.gz ZNFIBKPDGUNSOM-NWDGAFQWSA-N -1 1 303.410 1.722 20 0 DDADMM COc1cccc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332394401 410122990 /nfs/dbraw/zinc/12/29/90/410122990.db2.gz KYQWMPJZBWXPDQ-LBPRGKRZSA-N -1 1 317.345 1.733 20 0 DDADMM Cc1ccc(-n2[n-]c(C(=O)N[C@@H](C)c3nnc[nH]3)cc2=O)cc1 ZINC000351649176 410132312 /nfs/dbraw/zinc/13/23/12/410132312.db2.gz JKTFJFKNFMTFJJ-JTQLQIEISA-N -1 1 312.333 1.083 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn(C)c2C2CC2)n1 ZINC000339393877 410153393 /nfs/dbraw/zinc/15/33/93/410153393.db2.gz WHWZEPRPNNOCSU-UHFFFAOYSA-N -1 1 303.322 1.450 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2csc(NC(C)=O)n2)n1 ZINC000339391688 410154668 /nfs/dbraw/zinc/15/46/68/410154668.db2.gz KGRZZADQVKTRFY-UHFFFAOYSA-N -1 1 323.334 1.254 20 0 DDADMM CC(C)c1cc(C(=O)N2CCCC[C@H]2c2n[nH]c(=O)[n-]2)n[nH]1 ZINC000329193147 410157432 /nfs/dbraw/zinc/15/74/32/410157432.db2.gz SIWYSJHFEVGJFS-NSHDSACASA-N -1 1 304.354 1.724 20 0 DDADMM N=c1nc(N2CCN(Cc3ccncc3Cl)CC2)s[n-]1 ZINC000332455638 410170805 /nfs/dbraw/zinc/17/08/05/410170805.db2.gz OFYNTFBTIRGMST-UHFFFAOYSA-N -1 1 310.814 1.321 20 0 DDADMM CCn1c(SCc2nn[n-]n2)nnc1-c1ccccc1OC ZINC000298300622 410171254 /nfs/dbraw/zinc/17/12/54/410171254.db2.gz JPSVOKLKOXIUBE-UHFFFAOYSA-N -1 1 317.378 1.779 20 0 DDADMM CC[C@H](CO)N(C)C(=O)c1c[n-]c2cc(OC)ccc2c1=O ZINC000298315515 410175779 /nfs/dbraw/zinc/17/57/79/410175779.db2.gz JOBCFZVLLMHAHI-SNVBAGLBSA-N -1 1 304.346 1.792 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCc3nnc(C(C)C)n3C2)c([O-])c1 ZINC000332663765 410191795 /nfs/dbraw/zinc/19/17/95/410191795.db2.gz XPLMAUXHUVLEAC-NSHDSACASA-N -1 1 315.377 1.555 20 0 DDADMM CCc1nc2n(n1)C[C@H](NC(=O)c1c([O-])cccc1F)CC2 ZINC000332726800 410237610 /nfs/dbraw/zinc/23/76/10/410237610.db2.gz YPTHCHZLETXPJA-SECBINFHSA-N -1 1 304.325 1.430 20 0 DDADMM COc1csc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000351846051 410280450 /nfs/dbraw/zinc/28/04/50/410280450.db2.gz ZIMJOKLKHCLXRK-UHFFFAOYSA-N -1 1 309.347 1.865 20 0 DDADMM CCC1CCC(NC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343189397 410221171 /nfs/dbraw/zinc/22/11/71/410221171.db2.gz KULVQHQIAJGOJH-UHFFFAOYSA-N -1 1 305.378 1.602 20 0 DDADMM COc1ccc(NC(=O)NC(C)C)cc1[N-]S(C)(=O)=O ZINC000298637246 410323153 /nfs/dbraw/zinc/32/31/53/410323153.db2.gz OKQGTENEBCWGQF-UHFFFAOYSA-N -1 1 301.368 1.597 20 0 DDADMM Cn1[n-]c(CN2CC[C@@H](Oc3ccccc3Cl)C2)nc1=O ZINC000329661178 410403296 /nfs/dbraw/zinc/40/32/96/410403296.db2.gz IPCMBMOJHXULMQ-SNVBAGLBSA-N -1 1 308.769 1.415 20 0 DDADMM CCOC(=O)c1ccc(C(=O)Nc2ccncc2[O-])nc1C ZINC000358321955 410448285 /nfs/dbraw/zinc/44/82/85/410448285.db2.gz BWEKETAWUUYDOO-UHFFFAOYSA-N -1 1 301.302 1.342 20 0 DDADMM COc1cc(C(=O)N(C)C)ccc1NC(=O)c1cncc([O-])c1 ZINC000339916904 410552416 /nfs/dbraw/zinc/55/24/16/410552416.db2.gz LCQBBYYXIPTPOP-UHFFFAOYSA-N -1 1 315.329 1.750 20 0 DDADMM C[C@@H](NCc1nc(=O)n(C)[n-]1)c1ccccc1C(F)(F)F ZINC000347665111 410662297 /nfs/dbraw/zinc/66/22/97/410662297.db2.gz BEGAGXOTEJMXRY-MRVPVSSYSA-N -1 1 300.284 1.978 20 0 DDADMM CCC[C@H](NC(=O)C1CCN(C(=O)C(C)C)CC1)c1nn[n-]n1 ZINC000343742104 410663076 /nfs/dbraw/zinc/66/30/76/410663076.db2.gz LDJIVTAMLOPSEL-LBPRGKRZSA-N -1 1 322.413 1.052 20 0 DDADMM Cc1nc([C@@H]2CCCO2)sc1C(=O)N=c1ccc([O-])n[nH]1 ZINC000343746869 410664997 /nfs/dbraw/zinc/66/49/97/410664997.db2.gz XXRZXUQFUZKITP-QMMMGPOBSA-N -1 1 306.347 1.473 20 0 DDADMM Cc1nc([C@@H]2CCCO2)sc1C(=O)N=c1ccc(O)n[n-]1 ZINC000343746869 410665003 /nfs/dbraw/zinc/66/50/03/410665003.db2.gz XXRZXUQFUZKITP-QMMMGPOBSA-N -1 1 306.347 1.473 20 0 DDADMM CC(C)[C@@H](CO)CN=c1ccc(C(=O)NC2CCCC2)n[n-]1 ZINC000343797378 410709545 /nfs/dbraw/zinc/70/95/45/410709545.db2.gz UMVCRUITAGTNHJ-GFCCVEGCSA-N -1 1 306.410 1.247 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-][C@@H]1CCC[C@H]1OC(F)F ZINC000337331475 410716349 /nfs/dbraw/zinc/71/63/49/410716349.db2.gz HGQIVWHPCRTMBT-RKDXNWHRSA-N -1 1 310.322 1.730 20 0 DDADMM Cc1nc(C)c(NC(=O)CNC(=O)c2ncccc2[O-])s1 ZINC000343766819 410681713 /nfs/dbraw/zinc/68/17/13/410681713.db2.gz HEUXUBXKNJICHC-UHFFFAOYSA-N -1 1 306.347 1.229 20 0 DDADMM Cc1cc(Br)cc(C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000343905842 410797914 /nfs/dbraw/zinc/79/79/14/410797914.db2.gz FUFQTWLSUSHTPN-UHFFFAOYSA-N -1 1 324.182 1.936 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC[C@H]2CCOC2)o1 ZINC000343953992 410844481 /nfs/dbraw/zinc/84/44/81/410844481.db2.gz ARNMEFSZJYWKFL-JTQLQIEISA-N -1 1 317.363 1.161 20 0 DDADMM COc1ccc(Cc2nnc(SCc3nn[n-]n3)o2)cc1 ZINC000353213826 410857279 /nfs/dbraw/zinc/85/72/79/410857279.db2.gz DFSAXBQVQRVXLU-UHFFFAOYSA-N -1 1 304.335 1.474 20 0 DDADMM CC(C)(NC(=O)c1ccn(-c2ccc(F)cc2)n1)c1nn[n-]n1 ZINC000359788916 410882952 /nfs/dbraw/zinc/88/29/52/410882952.db2.gz OHJPFECRDKDKBJ-UHFFFAOYSA-N -1 1 315.312 1.190 20 0 DDADMM CC(C)(C)n1cnc(=NC(=O)c2[nH]nc3c2CCCCC3)[n-]1 ZINC000356209846 410897300 /nfs/dbraw/zinc/89/73/00/410897300.db2.gz DVVKKVNSYCWBQE-UHFFFAOYSA-N -1 1 302.382 1.699 20 0 DDADMM Cc1cc(Cl)ccc1O[C@H](C)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348289136 410900624 /nfs/dbraw/zinc/90/06/24/410900624.db2.gz ASEMGTLRKCWKGE-SECBINFHSA-N -1 1 321.768 1.734 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](CC)CSC)o1 ZINC000341198347 410933299 /nfs/dbraw/zinc/93/32/99/410933299.db2.gz WEXISCOCIYMTPI-SECBINFHSA-N -1 1 321.420 1.876 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCC[C@H]2C)o1 ZINC000359942417 410963703 /nfs/dbraw/zinc/96/37/03/410963703.db2.gz VUVWCNVMGYFZOU-NXEZZACHSA-N -1 1 301.364 1.781 20 0 DDADMM CC(C)(NC(=O)c1cscc1Br)c1nn[n-]n1 ZINC000353473050 411014313 /nfs/dbraw/zinc/01/43/13/411014313.db2.gz QFXGGULSFLNVMR-UHFFFAOYSA-N -1 1 316.184 1.689 20 0 DDADMM C[C@@H]1SCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1c1ccccc1 ZINC000353480127 411015856 /nfs/dbraw/zinc/01/58/56/411015856.db2.gz POEAZWPAJFLBJY-SMDDNHRTSA-N -1 1 304.419 1.787 20 0 DDADMM CCNC(=O)c1ccc(=NCCCc2ccccc2F)[n-]n1 ZINC000360063319 411029031 /nfs/dbraw/zinc/02/90/31/411029031.db2.gz KOFUYOUQWOLODY-UHFFFAOYSA-N -1 1 302.353 1.832 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H](C)C(=O)OC(C)C)sc1C ZINC000331243440 411038546 /nfs/dbraw/zinc/03/85/46/411038546.db2.gz OBTKZLAGODCBRC-MRVPVSSYSA-N -1 1 320.436 1.626 20 0 DDADMM CC(C)OCCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000631645694 422867470 /nfs/dbraw/zinc/86/74/70/422867470.db2.gz FPRLQUAVSZQONE-UHFFFAOYSA-N -1 1 315.317 1.522 20 0 DDADMM O=C(C[C@H]1CCC(=O)NC1)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000580166119 422896482 /nfs/dbraw/zinc/89/64/82/422896482.db2.gz JMRCUCPZDTYBJP-SECBINFHSA-N -1 1 304.375 1.415 20 0 DDADMM O=C(N[C@H]1CCN(c2ccccn2)C1)c1c([O-])cccc1F ZINC000130661217 196100073 /nfs/dbraw/zinc/10/00/73/196100073.db2.gz ACMUTVHFVUZDRV-NSHDSACASA-N -1 1 301.321 1.935 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOCC2(CCOCC2)C1 ZINC000133869466 196341601 /nfs/dbraw/zinc/34/16/01/196341601.db2.gz WWTSCMIOUBMHFB-UHFFFAOYSA-N -1 1 309.337 1.801 20 0 DDADMM COc1cncc(/C=C\CCN2CCOC[C@H]2CC(=O)[O-])c1 ZINC000652475293 423034292 /nfs/dbraw/zinc/03/42/92/423034292.db2.gz HZLGVXQDFHRTGK-CTGSTSKSSA-N -1 1 306.362 1.669 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CSc1nnnn1C1CCCC1 ZINC000647815801 423058709 /nfs/dbraw/zinc/05/87/09/423058709.db2.gz LZLASQQPVWGNFJ-UHFFFAOYSA-N -1 1 323.382 1.256 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2cnn(C(C)C)c2)CCOCC1 ZINC000650174664 423072007 /nfs/dbraw/zinc/07/20/07/423072007.db2.gz DCMAIRWPXXTQGR-UHFFFAOYSA-N -1 1 317.411 1.401 20 0 DDADMM C[C@@H](CO)[C@@H](C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645560216 423083351 /nfs/dbraw/zinc/08/33/51/423083351.db2.gz WRQXQXPZVVILJT-JGVFFNPUSA-N -1 1 312.313 1.396 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2COCC2(C)C)c(F)c1 ZINC000650263082 423105240 /nfs/dbraw/zinc/10/52/40/423105240.db2.gz CKXQEVIYMXWTQJ-NSHDSACASA-N -1 1 321.345 1.677 20 0 DDADMM C[C@H]1CN(C(=O)c2c([O-])cnc3ccc(Cl)cc32)CC(=O)N1 ZINC000295818163 225274465 /nfs/dbraw/zinc/27/44/65/225274465.db2.gz BYXGPGWUIGJDMT-QMMMGPOBSA-N -1 1 319.748 1.554 20 0 DDADMM COCCn1cc(CN[C@@H](C(=O)[O-])c2cccc(F)c2)cn1 ZINC000417557885 225363210 /nfs/dbraw/zinc/36/32/10/225363210.db2.gz SNIFBCBKJWKCNW-CQSZACIVSA-N -1 1 307.325 1.584 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000297328710 227012379 /nfs/dbraw/zinc/01/23/79/227012379.db2.gz ADPPQXJCHLNGAO-ZJUUUORDSA-N -1 1 306.391 1.563 20 0 DDADMM CCc1nc(=NC[C@H]2CCCN(C)[C@@H]2c2cnn(C)c2)s[n-]1 ZINC000360481737 418445198 /nfs/dbraw/zinc/44/51/98/418445198.db2.gz AOCUSIHVVGAKBE-RISCZKNCSA-N -1 1 320.466 1.751 20 0 DDADMM Cc1cccc(O[C@H](C)C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000366652431 418500580 /nfs/dbraw/zinc/50/05/80/418500580.db2.gz JPVXNKQZZLNHRB-OLZOCXBDSA-N -1 1 315.377 1.682 20 0 DDADMM O=C(c1cc(=O)[nH]c2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366730970 418509803 /nfs/dbraw/zinc/50/98/03/418509803.db2.gz IPJKVMOZOUNSPR-SNVBAGLBSA-N -1 1 324.344 1.473 20 0 DDADMM CCn1ncnc1CNC(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000360932495 418549231 /nfs/dbraw/zinc/54/92/31/418549231.db2.gz DOPXPEHUOGEGMY-UHFFFAOYSA-N -1 1 312.333 1.185 20 0 DDADMM CCn1ncnc1CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000360932495 418549233 /nfs/dbraw/zinc/54/92/33/418549233.db2.gz DOPXPEHUOGEGMY-UHFFFAOYSA-N -1 1 312.333 1.185 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2[C@@H](CCC(=O)N2C2CC2)C1 ZINC000361205912 418606164 /nfs/dbraw/zinc/60/61/64/418606164.db2.gz ZUCZGEIGEPXLEG-AAEUAGOBSA-N -1 1 315.373 1.403 20 0 DDADMM CCN(CC(C)(C)C)C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000291508631 222436117 /nfs/dbraw/zinc/43/61/17/222436117.db2.gz OSJHVPUVWVPLID-UNOMPAQXSA-N -1 1 317.393 1.175 20 0 DDADMM O=C1CCCc2c(cccc2OS(=O)(=O)c2c[n-]cn2)N1 ZINC000375641332 418667702 /nfs/dbraw/zinc/66/77/02/418667702.db2.gz JZFZVXMPSMVNDS-UHFFFAOYSA-N -1 1 307.331 1.452 20 0 DDADMM C[C@@H](CO)C1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000650370542 423142393 /nfs/dbraw/zinc/14/23/93/423142393.db2.gz IKCVOKYXIMVOKT-NSHDSACASA-N -1 1 315.373 1.404 20 0 DDADMM CCc1nnsc1C(=O)[N-]c1ncn(-c2ccccn2)n1 ZINC000371507885 418796376 /nfs/dbraw/zinc/79/63/76/418796376.db2.gz DXUYJYJLQGVMHW-UHFFFAOYSA-N -1 1 301.335 1.329 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1Cc2ccccc2N(C)C1)c1nn[n-]n1 ZINC000364656399 418796622 /nfs/dbraw/zinc/79/66/22/418796622.db2.gz KRBZAIJJJDLTCR-CHWSQXEVSA-N -1 1 314.393 1.466 20 0 DDADMM O=C(N[C@@H]1CCCc2c[nH]nc21)c1nc2ccccc2c(=O)[n-]1 ZINC000371977148 418829669 /nfs/dbraw/zinc/82/96/69/418829669.db2.gz BBYMNUIROYFOBQ-GFCCVEGCSA-N -1 1 309.329 1.454 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(c2ncccc2F)CC1 ZINC000364776557 418810563 /nfs/dbraw/zinc/81/05/63/418810563.db2.gz XZZXNRBXKQJKCO-UHFFFAOYSA-N -1 1 316.336 1.674 20 0 DDADMM CCCN1C[C@@H](C(=O)Nc2nc(-c3ccco3)n[nH]2)CC1=O ZINC000411289869 418889791 /nfs/dbraw/zinc/88/97/91/418889791.db2.gz HITPAYXCOFAQDV-VIFPVBQESA-N -1 1 303.322 1.262 20 0 DDADMM CCOCCC1(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CCCC1 ZINC000372800108 418903096 /nfs/dbraw/zinc/90/30/96/418903096.db2.gz GSUBVIWUJKBRJS-GFCCVEGCSA-N -1 1 323.397 1.087 20 0 DDADMM CC(C)(C)OC(=O)CCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000365250330 418846308 /nfs/dbraw/zinc/84/63/08/418846308.db2.gz ZMJPKDYGRLSSAQ-SNVBAGLBSA-N -1 1 309.370 1.028 20 0 DDADMM O=S(=O)([N-]c1cccc(F)c1-c1nc[nH]n1)c1cn[nH]c1 ZINC000365841969 418914931 /nfs/dbraw/zinc/91/49/31/418914931.db2.gz WDLZUGUPGWELOY-UHFFFAOYSA-N -1 1 308.298 1.135 20 0 DDADMM CC(C)(C)CCNC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000425974829 419375738 /nfs/dbraw/zinc/37/57/38/419375738.db2.gz ANSWQRJAWICNJC-UHFFFAOYSA-N -1 1 312.443 1.218 20 0 DDADMM NS(=O)(=O)c1cncc(-c2nc3ccccc3c(=O)[n-]2)c1 ZINC000427451638 419662539 /nfs/dbraw/zinc/66/25/39/419662539.db2.gz FNBGZRVUCZGSJN-UHFFFAOYSA-N -1 1 302.315 1.045 20 0 DDADMM CCc1nn(C)c(CC)c1CNC(=O)c1ncc(C)cc1[O-] ZINC000427628843 419701046 /nfs/dbraw/zinc/70/10/46/419701046.db2.gz GUIMWNDFDYTBBJ-UHFFFAOYSA-N -1 1 302.378 1.884 20 0 DDADMM C[C@@]1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC1(F)F ZINC000421798321 419733665 /nfs/dbraw/zinc/73/36/65/419733665.db2.gz YVWIPERZDREGIT-JTQLQIEISA-N -1 1 306.290 1.023 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC3(CC(N)=O)CC3)cnc2n1 ZINC000422103158 419844121 /nfs/dbraw/zinc/84/41/21/419844121.db2.gz HHGOSYXRQQDMGG-UHFFFAOYSA-N -1 1 314.345 1.029 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC1(CC(N)=O)CC1)c2=O ZINC000422103158 419844127 /nfs/dbraw/zinc/84/41/27/419844127.db2.gz HHGOSYXRQQDMGG-UHFFFAOYSA-N -1 1 314.345 1.029 20 0 DDADMM CCc1nn(C)c(OC)c1CNC(=O)c1ncc(C)cc1[O-] ZINC000428019747 419790217 /nfs/dbraw/zinc/79/02/17/419790217.db2.gz ATQTVCWUXDTBOC-UHFFFAOYSA-N -1 1 304.350 1.330 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCC2(CCO)CCC2)[n-]n1 ZINC000432043104 229075744 /nfs/dbraw/zinc/07/57/44/229075744.db2.gz RAXQYTOKMDDHIB-UHFFFAOYSA-N -1 1 318.421 1.536 20 0 DDADMM NC(=O)N[C@H](CC(=O)[N-]O[C@H]1CCCCO1)c1cccs1 ZINC000299486804 229147148 /nfs/dbraw/zinc/14/71/48/229147148.db2.gz BHJJNJJXVZVWOP-SKDRFNHKSA-N -1 1 313.379 1.422 20 0 DDADMM O=C(NCCCOCC1CCOCC1)C(=O)c1ccc([O-])cc1 ZINC000436913254 229539564 /nfs/dbraw/zinc/53/95/64/229539564.db2.gz UUPGAMXIBQSPEP-UHFFFAOYSA-N -1 1 321.373 1.524 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CC[C@@H]2CCC[C@H]2C1 ZINC000416159217 420262177 /nfs/dbraw/zinc/26/21/77/420262177.db2.gz VCOAHULZJPOGSW-LPEHRKFASA-N -1 1 307.350 1.595 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1sccc1C ZINC000416190716 420273571 /nfs/dbraw/zinc/27/35/71/420273571.db2.gz NHEMNTCVVZJOCA-UHFFFAOYSA-N -1 1 309.347 1.239 20 0 DDADMM C[C@@H](CN1CCN(C)CC1)N=c1nc(C2CCCC2)[n-]s1 ZINC000420606106 420329299 /nfs/dbraw/zinc/32/92/99/420329299.db2.gz WMICRTDRXICAJN-LBPRGKRZSA-N -1 1 309.483 1.665 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H](C)Oc2cccnc2)c1 ZINC000436504156 420333984 /nfs/dbraw/zinc/33/39/84/420333984.db2.gz IYHJYHPIXMSUPW-SNVBAGLBSA-N -1 1 316.313 1.980 20 0 DDADMM CN1C[C@@H](CNC(=O)C(=O)c2ccc([O-])cc2)Cc2ccccc21 ZINC000436563912 420339619 /nfs/dbraw/zinc/33/96/19/420339619.db2.gz FWULHUMLFRRDRK-CYBMUJFWSA-N -1 1 324.380 2.000 20 0 DDADMM O=C(C(=O)N(CCO)Cc1cccc(F)c1)c1ccc([O-])cc1 ZINC000436610264 420343717 /nfs/dbraw/zinc/34/37/17/420343717.db2.gz TYPFOAPSCKZMDP-UHFFFAOYSA-N -1 1 317.316 1.735 20 0 DDADMM CCCN(C(=O)c1cc(Cl)ccc1[O-])[C@@H]1CC(=O)N(C)C1=O ZINC000436657225 420349786 /nfs/dbraw/zinc/34/97/86/420349786.db2.gz TZXRBBNFAOIOSJ-LLVKDONJSA-N -1 1 324.764 1.655 20 0 DDADMM CC(=O)CC(C)(C)CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425329035 420352691 /nfs/dbraw/zinc/35/26/91/420352691.db2.gz YQRFMOIABXTRON-UHFFFAOYSA-N -1 1 309.366 1.877 20 0 DDADMM CCOC(=O)CC(=O)N=c1cc(-c2cc(C)ccc2F)[n-][nH]1 ZINC000416252020 420295962 /nfs/dbraw/zinc/29/59/62/420295962.db2.gz MUZNYJPAISJTBF-UHFFFAOYSA-N -1 1 305.309 1.838 20 0 DDADMM COc1c(NC(=O)c2ccc([O-])cc2F)cccc1C(N)=O ZINC000436749826 420362735 /nfs/dbraw/zinc/36/27/35/420362735.db2.gz UJDLYELYHVHINX-UHFFFAOYSA-N -1 1 304.277 1.891 20 0 DDADMM COC(=O)[C@H](NC(=O)c1c([O-])cccc1F)c1cccc(O)c1 ZINC000436895198 420381600 /nfs/dbraw/zinc/38/16/00/420381600.db2.gz AVJDIMIUMDKPEB-CQSZACIVSA-N -1 1 319.288 1.881 20 0 DDADMM O=C(C(=O)N1CCC[C@@H](OCC2CC2)C1)c1ccc([O-])cc1 ZINC000436922606 420384057 /nfs/dbraw/zinc/38/40/57/420384057.db2.gz ZATXKUHBHNJXLR-OAHLLOKOSA-N -1 1 303.358 1.993 20 0 DDADMM O=C(CSc1ncccc1Br)Nc1nnn[n-]1 ZINC000439273129 420504207 /nfs/dbraw/zinc/50/42/07/420504207.db2.gz LKJAOEGLDZNMGY-UHFFFAOYSA-N -1 1 315.156 1.088 20 0 DDADMM O=C(CSc1ncccc1Br)Nc1nn[n-]n1 ZINC000439273129 420504210 /nfs/dbraw/zinc/50/42/10/420504210.db2.gz LKJAOEGLDZNMGY-UHFFFAOYSA-N -1 1 315.156 1.088 20 0 DDADMM CCC[C@@H]1CCCC[C@H]1CN=c1ccc(C(=O)NCCO)n[n-]1 ZINC000450907093 420557470 /nfs/dbraw/zinc/55/74/70/420557470.db2.gz JUVRRTUGZLQHAC-KGLIPLIRSA-N -1 1 320.437 1.639 20 0 DDADMM CC[C@H](NC(=O)c1cc(Br)ccc1[O-])C(=O)NC ZINC000456989197 420581351 /nfs/dbraw/zinc/58/13/51/420581351.db2.gz DAIMUNZSWVHKCB-VIFPVBQESA-N -1 1 315.167 1.409 20 0 DDADMM CCOc1ccc(C=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000492521115 420582722 /nfs/dbraw/zinc/58/27/22/420582722.db2.gz PAGVAJHHAUDFHZ-UMAGTOLTSA-N -1 1 315.377 1.874 20 0 DDADMM COC(=O)N1CCC([C@@H](C)NC(=O)c2cncc([O-])c2)CC1 ZINC000492573312 420595199 /nfs/dbraw/zinc/59/51/99/420595199.db2.gz WDQGDXFMXUZUOS-SNVBAGLBSA-N -1 1 307.350 1.384 20 0 DDADMM COC(=O)N1CCC([C@@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC000492573533 420595526 /nfs/dbraw/zinc/59/55/26/420595526.db2.gz YHGQWIUFHSGBNH-SNVBAGLBSA-N -1 1 307.350 1.384 20 0 DDADMM CCOc1ccccc1C=CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000492732913 420644030 /nfs/dbraw/zinc/64/40/30/420644030.db2.gz GYSLNFYVADSVNA-PRDAAYKISA-N -1 1 315.377 1.874 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)C(=O)N(C)C)cc(Cl)c1[O-] ZINC000442656416 420695142 /nfs/dbraw/zinc/69/51/42/420695142.db2.gz WLFSIIZPRMHSED-ZETCQYMHSA-N -1 1 300.742 1.261 20 0 DDADMM CCC[C@H](NC(=O)C=CCN(C)CC(F)(F)F)c1nn[n-]n1 ZINC000492828956 420680691 /nfs/dbraw/zinc/68/06/91/420680691.db2.gz ZYIDWURZRRXKJM-RNEXMXFGSA-N -1 1 320.319 1.207 20 0 DDADMM CCC[C@H](NC(=O)/C=C\CN(C)CC(F)(F)F)c1nn[n-]n1 ZINC000492828956 420680694 /nfs/dbraw/zinc/68/06/94/420680694.db2.gz ZYIDWURZRRXKJM-RNEXMXFGSA-N -1 1 320.319 1.207 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCCN(C(=O)OCC)C1)c1nn[n-]n1 ZINC000492885606 420699364 /nfs/dbraw/zinc/69/93/64/420699364.db2.gz XPFXADKSAIVSEE-MNOVXSKESA-N -1 1 324.385 1.026 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](CO)CC(C)C)o1 ZINC000443145515 420745475 /nfs/dbraw/zinc/74/54/75/420745475.db2.gz JILYBVIOBSPQQZ-JTQLQIEISA-N -1 1 319.379 1.142 20 0 DDADMM C[C@@H](CN1CCOCC1)N(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000447658529 420791102 /nfs/dbraw/zinc/79/11/02/420791102.db2.gz HDUXSJAEALSEFI-NSHDSACASA-N -1 1 312.797 1.838 20 0 DDADMM COc1ccc(CN[C@@H](C(=O)[O-])c2cnn(C)c2)c(C)c1OC ZINC000417595305 533684487 /nfs/dbraw/zinc/68/44/87/533684487.db2.gz NYBWTHKDILNHPU-CQSZACIVSA-N -1 1 319.361 1.661 20 0 DDADMM COC(=O)Cn1ccc(NC(=O)Nc2ccc([O-])c(Cl)c2)n1 ZINC000486919439 420991017 /nfs/dbraw/zinc/99/10/17/420991017.db2.gz GTLDDZGHBUCYOI-UHFFFAOYSA-N -1 1 324.724 1.481 20 0 DDADMM C[C@H](CO[N-]C(=O)[C@@H]1CCCOC1)NC(=O)OC(C)(C)C ZINC000494101681 420991809 /nfs/dbraw/zinc/99/18/09/420991809.db2.gz AJQQJNBZSKTZNY-GHMZBOCLSA-N -1 1 302.371 1.374 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2C[C@H]2C(C)C)o1 ZINC000456333323 421143318 /nfs/dbraw/zinc/14/33/18/421143318.db2.gz QJVCTQOHTGQEKH-UWVGGRQHSA-N -1 1 300.380 1.210 20 0 DDADMM O=C1OCCN1CCC[N-]S(=O)(=O)c1sccc1Cl ZINC000496209197 421152198 /nfs/dbraw/zinc/15/21/98/421152198.db2.gz XQXWWDOIPISNKI-UHFFFAOYSA-N -1 1 324.811 1.522 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)CNC(=O)c1cccc(O)c1 ZINC000456393387 421158198 /nfs/dbraw/zinc/15/81/98/421158198.db2.gz XXZQXRKWHBRBST-AWEZNQCLSA-N -1 1 319.405 1.065 20 0 DDADMM COC(=O)NCCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000496257738 421164951 /nfs/dbraw/zinc/16/49/51/421164951.db2.gz SPOWVIAWZSUUQY-UHFFFAOYSA-N -1 1 306.240 1.497 20 0 DDADMM CNC(=O)C[C@H](C)NC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000456467805 421171422 /nfs/dbraw/zinc/17/14/22/421171422.db2.gz RTCJNXQHPGLJBG-QMMMGPOBSA-N -1 1 321.764 1.848 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cc(Cl)ccc2F)[n-]n1C ZINC000560007142 421231627 /nfs/dbraw/zinc/23/16/27/421231627.db2.gz FXJSUYODYHCNNG-UHFFFAOYSA-N -1 1 319.745 1.444 20 0 DDADMM Cc1noc(C)c1CO[C@@H]1CCN(C(=O)c2cncc([O-])c2)C1 ZINC000545162214 421239494 /nfs/dbraw/zinc/23/94/94/421239494.db2.gz FOZGLIMSIHQLHW-CQSZACIVSA-N -1 1 317.345 1.823 20 0 DDADMM CC(=O)c1[n-]c(=N[C@H](CO)C[C@H](O)C(C)(C)C)sc1C ZINC000450511964 421205550 /nfs/dbraw/zinc/20/55/50/421205550.db2.gz CLZQWGBALQGYAD-QWRGUYRKSA-N -1 1 300.424 1.646 20 0 DDADMM Cc1nc2cc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)ccc2o1 ZINC000525926456 421312541 /nfs/dbraw/zinc/31/25/41/421312541.db2.gz BNOKNOKAIVVDLL-LLVKDONJSA-N -1 1 312.333 1.669 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1ccon1)C(=O)OC(C)(C)C ZINC000562307400 421349528 /nfs/dbraw/zinc/34/95/28/421349528.db2.gz BKXPMDUWSWHEQF-SNVBAGLBSA-N -1 1 304.368 1.214 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(-c2ccncc2)on1)c1nn[n-]n1 ZINC000547811399 421370984 /nfs/dbraw/zinc/37/09/84/421370984.db2.gz OQDDALRDUBMFMZ-SECBINFHSA-N -1 1 313.321 1.125 20 0 DDADMM COc1ccc(F)cc1CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000547841083 421373699 /nfs/dbraw/zinc/37/36/99/421373699.db2.gz IYJYLLOGJXYTAD-UHFFFAOYSA-N -1 1 319.340 1.476 20 0 DDADMM C[C@@H](CCS(C)(=O)=O)N=c1nc(C(F)(F)F)[n-]s1 ZINC000548418366 421435186 /nfs/dbraw/zinc/43/51/86/421435186.db2.gz MVUJSJWBLYMPCG-YFKPBYRVSA-N -1 1 303.331 1.214 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)[C@@H](C)N(C)C[C@@H](C)C(=O)[O-])c1C ZINC000563716745 421537769 /nfs/dbraw/zinc/53/77/69/421537769.db2.gz NHYXQATXTPZCND-LDYMZIIASA-N -1 1 324.377 1.642 20 0 DDADMM COCc1nsc(=N[C@H]2CCCC[C@H]2N2CCOCC2)[n-]1 ZINC000532421852 421659635 /nfs/dbraw/zinc/65/96/35/421659635.db2.gz QFXZMWAIRPKQBP-NWDGAFQWSA-N -1 1 312.439 1.162 20 0 DDADMM CC1=CCN(CCNC(=O)N2CC[C@H](C)[C@H](C(=O)[O-])C2)CC1 ZINC000570679988 421661961 /nfs/dbraw/zinc/66/19/61/421661961.db2.gz FJFVIWIITSJOMI-UONOGXRCSA-N -1 1 309.410 1.391 20 0 DDADMM COC(=O)c1cncc(S(=O)(=O)[N-]c2cc(C(C)C)[nH]n2)c1 ZINC000517213307 421599291 /nfs/dbraw/zinc/59/92/91/421599291.db2.gz FNPFIXDHPUXOEN-UHFFFAOYSA-N -1 1 324.362 1.516 20 0 DDADMM CN(C)[C@H](CNC(=O)N=c1ncn(C)[n-]1)c1ccc(Cl)cc1 ZINC000519627050 421709773 /nfs/dbraw/zinc/70/97/73/421709773.db2.gz BJEVHDFTIVDVRE-GFCCVEGCSA-N -1 1 322.800 1.315 20 0 DDADMM O=C(c1ccc2nsnc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000537397374 421729062 /nfs/dbraw/zinc/72/90/62/421729062.db2.gz YWWVECVLDOAQNN-SECBINFHSA-N -1 1 315.362 1.224 20 0 DDADMM O=C(CSc1ccncc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000533572891 421679674 /nfs/dbraw/zinc/67/96/74/421679674.db2.gz XBSWPMQHWSRADA-JTQLQIEISA-N -1 1 304.379 1.093 20 0 DDADMM Cc1ccc(F)c(CC(=O)Nc2nn[nH]c2C(=O)NC2CC2)c1 ZINC000556806590 421739472 /nfs/dbraw/zinc/73/94/72/421739472.db2.gz BIMFNILEIAHZAC-UHFFFAOYSA-N -1 1 317.324 1.326 20 0 DDADMM O=c1cc(C[N@H+]2CC[C@@H](CO)[C@H](O)C2)nc(-c2ccccc2)[nH]1 ZINC000556782809 421736852 /nfs/dbraw/zinc/73/68/52/421736852.db2.gz MBMUYJGPEJJYKB-DZGCQCFKSA-N -1 1 315.373 1.024 20 0 DDADMM O=C(c1ccc2c(n1)CCCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538225719 421738092 /nfs/dbraw/zinc/73/80/92/421738092.db2.gz JGISYJQBDYIOQC-GFCCVEGCSA-N -1 1 312.377 1.493 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCc1cccs1 ZINC000557073117 421758585 /nfs/dbraw/zinc/75/85/85/421758585.db2.gz GJDIHBCPCOTKNR-UHFFFAOYSA-N -1 1 309.347 1.321 20 0 DDADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCc2ccccc21 ZINC000541843682 421808036 /nfs/dbraw/zinc/80/80/36/421808036.db2.gz JAZZAKMGORMIAH-ZDUSSCGKSA-N -1 1 309.329 1.177 20 0 DDADMM Cn1[n-]c(CN(Cc2cccs2)C[C@H]2CCCO2)nc1=O ZINC000542778528 421828577 /nfs/dbraw/zinc/82/85/77/421828577.db2.gz BIKPOPDACSIWQL-LLVKDONJSA-N -1 1 308.407 1.351 20 0 DDADMM CC[C@H](NCc1nc(=O)n(C)[n-]1)c1nc(C(F)(F)F)cs1 ZINC000541635667 421798472 /nfs/dbraw/zinc/79/84/72/421798472.db2.gz VBIXJCRDGQDLQK-LURJTMIESA-N -1 1 321.328 1.825 20 0 DDADMM CN(C)C(=O)[C@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000633418016 421890575 /nfs/dbraw/zinc/89/05/75/421890575.db2.gz QOUPFZUOBDXRAY-NSHDSACASA-N -1 1 310.781 1.525 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC[C@H]3CCOC3)cnc2n1 ZINC000543387375 421837101 /nfs/dbraw/zinc/83/71/01/421837101.db2.gz ZZDITVJRZMYVMQ-NSHDSACASA-N -1 1 301.346 1.800 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC[C@H]1CCOC1)c2=O ZINC000543387375 421837103 /nfs/dbraw/zinc/83/71/03/421837103.db2.gz ZZDITVJRZMYVMQ-NSHDSACASA-N -1 1 301.346 1.800 20 0 DDADMM CC(C)[C@H]1C[C@H](CC(=O)NC2(c3nn[n-]n3)CCCC2)CCO1 ZINC000543822687 421842115 /nfs/dbraw/zinc/84/21/15/421842115.db2.gz CJKFRPOFVXINIM-CHWSQXEVSA-N -1 1 321.425 1.927 20 0 DDADMM CN(C)c1ccncc1C(=O)N[C@@H](CC(F)(F)F)C(=O)[O-] ZINC000630179295 421940779 /nfs/dbraw/zinc/94/07/79/421940779.db2.gz VCAOBZKLBYNEKE-QMMMGPOBSA-N -1 1 305.256 1.283 20 0 DDADMM CCn1nc(C)c(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1C ZINC000630225182 421976535 /nfs/dbraw/zinc/97/65/35/421976535.db2.gz HKAXJMWURNMFSN-UHFFFAOYSA-N -1 1 322.409 1.141 20 0 DDADMM Cc1ccc(F)cc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630225197 421976634 /nfs/dbraw/zinc/97/66/34/421976634.db2.gz WJNJEAODBUZFIT-UHFFFAOYSA-N -1 1 308.353 1.755 20 0 DDADMM Cc1nn(C(C)C)cc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630228173 421977580 /nfs/dbraw/zinc/97/75/80/421977580.db2.gz XYDWSMFYGLCZJQ-UHFFFAOYSA-N -1 1 322.409 1.393 20 0 DDADMM O=c1nc(NC[C@H]2CCO[C@@H]2c2ccccc2)nc2[nH][n-]cc1-2 ZINC000573634703 421993738 /nfs/dbraw/zinc/99/37/38/421993738.db2.gz JAIALASUKXLINC-DGCLKSJQSA-N -1 1 311.345 1.622 20 0 DDADMM CC[C@H](NC(C)=O)C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000633615562 422003136 /nfs/dbraw/zinc/00/31/36/422003136.db2.gz UUQBQECYPQSFPA-JTQLQIEISA-N -1 1 306.391 1.722 20 0 DDADMM CC[C@@H](COC)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000631997728 422009551 /nfs/dbraw/zinc/00/95/51/422009551.db2.gz HLNSNWYASWJBSC-LURJTMIESA-N -1 1 301.290 1.132 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cc(C)cc3c[nH]nc32)[C@](C)(C(=O)[O-])C1 ZINC000630311519 422016748 /nfs/dbraw/zinc/01/67/48/422016748.db2.gz OKGVJSLSNTWCPX-ZBEGNZNMSA-N -1 1 317.345 1.576 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)o3)C2)cc1 ZINC000582062575 422098160 /nfs/dbraw/zinc/09/81/60/422098160.db2.gz PBBUMEYSPXCRPB-LLVKDONJSA-N -1 1 317.301 1.493 20 0 DDADMM C[C@@H]1CCCN(S(=O)(=O)c2cc(O)cc(F)c2)[C@@H]1C(=O)[O-] ZINC000630445672 422109453 /nfs/dbraw/zinc/10/94/53/422109453.db2.gz ZKACIKJXBFBMGN-PELKAZGASA-N -1 1 317.338 1.405 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1(C)CCC(F)(F)CC1 ZINC000633690273 422050884 /nfs/dbraw/zinc/05/08/84/422050884.db2.gz BMPRGGRMQUJMAM-UHFFFAOYSA-N -1 1 314.336 1.654 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)CC1(OC)CCC1)c1ccc(C)o1 ZINC000632059708 422059781 /nfs/dbraw/zinc/05/97/81/422059781.db2.gz SLLFYXRKAYHDEI-LBPRGKRZSA-N -1 1 317.407 1.764 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC[C@H]2CCO[C@H](C)C2)sn1 ZINC000632202213 422160795 /nfs/dbraw/zinc/16/07/95/422160795.db2.gz AHMWXKBTGGMOOE-MNOVXSKESA-N -1 1 304.437 1.935 20 0 DDADMM CO[C@]1(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)CCOC1 ZINC000632214625 422169246 /nfs/dbraw/zinc/16/92/46/422169246.db2.gz GPZFAQFRTLBSGW-NSHDSACASA-N -1 1 311.306 1.301 20 0 DDADMM C[C@@H](CNC(=O)CCCc1nn[n-]n1)Oc1cccc(F)c1 ZINC000635616370 422169518 /nfs/dbraw/zinc/16/95/18/422169518.db2.gz VBRAUIDCKFJVNG-JTQLQIEISA-N -1 1 307.329 1.245 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](N)c1ccc(C(F)(F)F)cc1)C(=O)[O-] ZINC000630573317 422188439 /nfs/dbraw/zinc/18/84/39/422188439.db2.gz CZTNSDLTDAEYDG-KCJUWKMLSA-N -1 1 318.295 1.884 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)C[C@@H]1CCC1(F)F)C(F)(F)F ZINC000632155450 422125170 /nfs/dbraw/zinc/12/51/70/422125170.db2.gz ZRAZXQSNFDPBDI-NKWVEPMBSA-N -1 1 311.272 1.264 20 0 DDADMM CC(C)C(=O)N1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000630486064 422131224 /nfs/dbraw/zinc/13/12/24/422131224.db2.gz WQFQOEHPFVNCJQ-UHFFFAOYSA-N -1 1 324.808 1.915 20 0 DDADMM C[C@@H]1Cc2cc(F)ccc2[C@H](C)N1C(=O)CCc1nn[n-]n1 ZINC000632262396 422203613 /nfs/dbraw/zinc/20/36/13/422203613.db2.gz RWGSZTQUKDKLKD-ZJUUUORDSA-N -1 1 303.341 1.806 20 0 DDADMM CC1(C)COC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632420047 422329144 /nfs/dbraw/zinc/32/91/44/422329144.db2.gz VZKSLMMLNFPQRS-SSDOTTSWSA-N -1 1 313.301 1.132 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](CCCO)C2)c1 ZINC000632429779 422335457 /nfs/dbraw/zinc/33/54/57/422335457.db2.gz SUNQYCQBPKRACO-LLVKDONJSA-N -1 1 315.391 1.184 20 0 DDADMM CC(=O)C1([N-]S(=O)(=O)c2ncccc2Br)CC1 ZINC000632446417 422351034 /nfs/dbraw/zinc/35/10/34/422351034.db2.gz GVAXHCFHYFXNHL-UHFFFAOYSA-N -1 1 319.180 1.244 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCC[C@@H](CO)C2)c1 ZINC000632461505 422363673 /nfs/dbraw/zinc/36/36/73/422363673.db2.gz UUBAXCBHUSFTGU-GHMZBOCLSA-N -1 1 315.391 1.230 20 0 DDADMM O=C1CCCN1CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632510513 422401420 /nfs/dbraw/zinc/40/14/20/422401420.db2.gz HQYPAPRDBAMSGN-UHFFFAOYSA-N -1 1 308.306 1.118 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)CC2CCC2)o1 ZINC000634366080 422407251 /nfs/dbraw/zinc/40/72/51/422407251.db2.gz DPPJRFXYLPTMGO-SECBINFHSA-N -1 1 300.380 1.496 20 0 DDADMM C[C@H](N=c1ccc(N2CCNC(=O)[C@H]2C)n[n-]1)c1ccccc1 ZINC000577723678 422413709 /nfs/dbraw/zinc/41/37/09/422413709.db2.gz GCZVCHWJWXHLFI-QWHCGFSZSA-N -1 1 311.389 1.396 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-][C@@H](C)[C@H](O)C(F)(F)F)s1 ZINC000632673262 422509915 /nfs/dbraw/zinc/50/99/15/422509915.db2.gz IYXLMJXSXLEDSX-XNCJUZBTSA-N -1 1 318.342 1.296 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](CO)CCC(C)C)c1 ZINC000632593647 422459969 /nfs/dbraw/zinc/45/99/69/422459969.db2.gz BDPOEACKELCSFX-NSHDSACASA-N -1 1 317.407 1.476 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2C[C@H](C)O[C@H]2C)c1 ZINC000632647047 422493962 /nfs/dbraw/zinc/49/39/62/422493962.db2.gz VQRIFQOELROGGN-ATZCPNFKSA-N -1 1 301.364 1.245 20 0 DDADMM O=c1c2c([n-]n1-c1cccnn1)CN(Cc1ccccc1)CC2 ZINC000634607027 422501950 /nfs/dbraw/zinc/50/19/50/422501950.db2.gz KDKXPLSFEJGDSL-CQSZACIVSA-N -1 1 307.357 1.701 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCC[C@@H]1[C@H]1CCC[C@H]1O ZINC000635984677 422537962 /nfs/dbraw/zinc/53/79/62/422537962.db2.gz UGJNDMPQONKTFJ-JHJVBQTASA-N -1 1 307.398 1.065 20 0 DDADMM NC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632722388 422542378 /nfs/dbraw/zinc/54/23/78/422542378.db2.gz KKZBECPNZBMODK-PGUXBMHVSA-N -1 1 322.792 1.701 20 0 DDADMM CCCOc1ccc(CNC(=O)CCc2nn[n-]n2)c(C)c1 ZINC000632734461 422549372 /nfs/dbraw/zinc/54/93/72/422549372.db2.gz ODSNLLHYFAPTNN-UHFFFAOYSA-N -1 1 303.366 1.546 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1ccc2c(c1)nnn2C)C1CC1 ZINC000629301476 422592847 /nfs/dbraw/zinc/59/28/47/422592847.db2.gz IPFHPQWBCRFRPT-AWEZNQCLSA-N -1 1 324.406 1.525 20 0 DDADMM O=S(=O)(CCOCC(F)(F)F)[N-]c1cnn(CCF)c1 ZINC000629318660 422605446 /nfs/dbraw/zinc/60/54/46/422605446.db2.gz SIKNLQCBPHSSRZ-UHFFFAOYSA-N -1 1 319.280 1.173 20 0 DDADMM OC[C@H](CN1CCCCC1)N=c1nc(C2CCCC2)[n-]s1 ZINC000578635263 422614938 /nfs/dbraw/zinc/61/49/38/422614938.db2.gz KIFSAUJKVPAUAP-ZDUSSCGKSA-N -1 1 310.467 1.876 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1C[C@H]1c1c(F)cccc1Cl ZINC000632839790 422619191 /nfs/dbraw/zinc/61/91/91/422619191.db2.gz GRKVGMCLKFPTRW-GMSGAONNSA-N -1 1 309.732 1.597 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@]1(O)CCSC1 ZINC000629377528 422640105 /nfs/dbraw/zinc/64/01/05/422640105.db2.gz VIAPBKKNFZJPHW-CQSZACIVSA-N -1 1 305.359 1.384 20 0 DDADMM NC(=O)CCCCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629379380 422640830 /nfs/dbraw/zinc/64/08/30/422640830.db2.gz OJEGESRWWRHNNC-UHFFFAOYSA-N -1 1 302.334 1.562 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1C[C@@H](C(=O)[O-])CC[C@@H]1C ZINC000629491032 422698155 /nfs/dbraw/zinc/69/81/55/422698155.db2.gz NBQDVNUEWAUHSI-QWRGUYRKSA-N -1 1 324.343 1.972 20 0 DDADMM C[C@@H](O[C@H](C)C(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000634860005 422687788 /nfs/dbraw/zinc/68/77/88/422687788.db2.gz GMQZQMWBUQDWTQ-CHWSQXEVSA-N -1 1 320.389 1.039 20 0 DDADMM Cc1ccc(CC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)s1 ZINC000643909373 423402048 /nfs/dbraw/zinc/40/20/48/423402048.db2.gz VEKXGEPEUQCLLX-UHFFFAOYSA-N -1 1 303.347 1.277 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cc1nnn(-c2ccccc2)c1C ZINC000646412372 423480411 /nfs/dbraw/zinc/48/04/11/423480411.db2.gz FSSCQEIULWFMEU-UHFFFAOYSA-N -1 1 312.333 1.494 20 0 DDADMM CC[C@H](CNC(=O)[C@@H]1CCCN1Cc1ccccc1)C(=O)[O-] ZINC000649263413 423705353 /nfs/dbraw/zinc/70/53/53/423705353.db2.gz ADDJCBYHKMECEU-CABCVRRESA-N -1 1 304.390 1.878 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CCF)c1cc(F)c(F)c(F)c1 ZINC000651895545 423731596 /nfs/dbraw/zinc/73/15/96/423731596.db2.gz OOIPINNAYASWOV-ZCFIWIBFSA-N -1 1 301.261 1.103 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CCF)c1c(Cl)cccc1Cl ZINC000651896378 423732612 /nfs/dbraw/zinc/73/26/12/423732612.db2.gz QMIZCLWRVXYQNS-SSDOTTSWSA-N -1 1 316.181 1.992 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccn(-c2ccncc2)n1)C(C)C ZINC000647127268 423764415 /nfs/dbraw/zinc/76/44/15/423764415.db2.gz CLSDDIJHWSAZCN-ZDUSSCGKSA-N -1 1 324.406 1.680 20 0 DDADMM CC[C@@H](CCO)[N-]S(=O)(=O)c1ncccc1Br ZINC000656916215 423870966 /nfs/dbraw/zinc/87/09/66/423870966.db2.gz YFEUNSQKMYSKBY-QMMMGPOBSA-N -1 1 323.212 1.283 20 0 DDADMM CC[C@H](CCO)[N-]S(=O)(=O)c1ncccc1Br ZINC000656916213 423873287 /nfs/dbraw/zinc/87/32/87/423873287.db2.gz YFEUNSQKMYSKBY-MRVPVSSYSA-N -1 1 323.212 1.283 20 0 DDADMM CO[C@H]1CCCC[C@H]1S(=O)(=O)[N-]c1cnn2c1CCCC2 ZINC000641694822 423876830 /nfs/dbraw/zinc/87/68/30/423876830.db2.gz TUXBJAZZXRSEIA-UONOGXRCSA-N -1 1 313.423 1.919 20 0 DDADMM Cc1cn(Cc2ccccc2)nc1[N-]S(=O)(=O)N1CCC1 ZINC000641697117 423877290 /nfs/dbraw/zinc/87/72/90/423877290.db2.gz USUDNALOSCHJPN-UHFFFAOYSA-N -1 1 306.391 1.602 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)NCC3(C(=O)[O-])CCC3)C2)n[nH]1 ZINC000659423499 423829039 /nfs/dbraw/zinc/82/90/39/423829039.db2.gz RLOVHBAXUCUEHH-LLVKDONJSA-N -1 1 321.381 1.257 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccc(OC)cc2OC)CC1 ZINC000641678191 423848658 /nfs/dbraw/zinc/84/86/58/423848658.db2.gz KSTDSZBCUBHOFX-UHFFFAOYSA-N -1 1 301.364 1.625 20 0 DDADMM C[C@H]([N-]S(=O)(=O)C[C@@H]1COc2ccccc2O1)C(F)F ZINC000641707435 423894796 /nfs/dbraw/zinc/89/47/96/423894796.db2.gz ZDBBWVDFWXBBHU-IUCAKERBSA-N -1 1 307.318 1.399 20 0 DDADMM Nc1nc2c(c(N[C@H](Cc3ccccc3)C(=O)[O-])n1)CCCC2 ZINC000647447685 424027441 /nfs/dbraw/zinc/02/74/41/424027441.db2.gz UVTATRIHVFYIEE-CQSZACIVSA-N -1 1 312.373 1.298 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCC(C)(C)F)c(C(F)(F)F)n1 ZINC000657141611 424152277 /nfs/dbraw/zinc/15/22/77/424152277.db2.gz IMKPNPKYSPSVAD-UHFFFAOYSA-N -1 1 317.308 1.855 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](O)C12CCC2)c1cc(F)c(F)cc1F ZINC000657186050 424200325 /nfs/dbraw/zinc/20/03/25/424200325.db2.gz IPXUKRBMEHIZKR-NWDGAFQWSA-N -1 1 321.320 1.686 20 0 DDADMM O=C1CC[C@@H](C[N-]S(=O)(=O)c2cc(Cl)ccc2F)N1 ZINC000657189860 424201630 /nfs/dbraw/zinc/20/16/30/424201630.db2.gz KGLLKQOSYWSVOV-QMMMGPOBSA-N -1 1 306.746 1.036 20 0 DDADMM COCCN(C[C@@H](O)C(F)(F)F)C(=O)c1ncc(C)cc1[O-] ZINC000655200142 424287020 /nfs/dbraw/zinc/28/70/20/424287020.db2.gz XDVHBFADWCOQIC-SNVBAGLBSA-N -1 1 322.283 1.107 20 0 DDADMM Cc1c[nH][nH]c1=NC(=O)N=c1[n-]n(CC(F)(F)F)cc1Cl ZINC000640302987 424315278 /nfs/dbraw/zinc/31/52/78/424315278.db2.gz VUKYXQSTLVZYFQ-UHFFFAOYSA-N -1 1 322.678 1.618 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2ccc(N)cc2F)n1 ZINC000659900529 424355441 /nfs/dbraw/zinc/35/54/41/424355441.db2.gz JLTZJVIEMNROFL-ZCFIWIBFSA-N -1 1 300.315 1.139 20 0 DDADMM CO[C@H]1CN(C(=O)N=c2[n-]sc3ccccc32)[C@@](C)(CO)C1 ZINC000640343068 424362426 /nfs/dbraw/zinc/36/24/26/424362426.db2.gz OUYSNDUBRCQPDE-MEBBXXQBSA-N -1 1 321.402 1.722 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC[C@@H](Nc3ccccc3)C2)C1=O ZINC000662212137 424479904 /nfs/dbraw/zinc/47/99/04/424479904.db2.gz VEGYLEZXBMARCZ-HUUCEWRRSA-N -1 1 317.389 1.248 20 0 DDADMM CC[C@@H]1Cc2ccccc2CN1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662211549 424480084 /nfs/dbraw/zinc/48/00/84/424480084.db2.gz QLTAYFPADQGVCR-CABCVRRESA-N -1 1 302.374 1.509 20 0 DDADMM COc1ncc(Br)cc1CN(C)CCC(=O)[O-] ZINC000662202168 424466433 /nfs/dbraw/zinc/46/64/33/424466433.db2.gz XJBJDJFQCAJZNK-UHFFFAOYSA-N -1 1 303.156 1.759 20 0 DDADMM C[C@@H]1C[C@@H](c2cccc(F)c2)N([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662204490 424471186 /nfs/dbraw/zinc/47/11/86/424471186.db2.gz HTTVGCILLCOSGT-DFBGVHRSSA-N -1 1 320.364 1.894 20 0 DDADMM CC[C@@H]1c2ccccc2CCN1[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662205819 424474056 /nfs/dbraw/zinc/47/40/56/424474056.db2.gz MLVOKWOITNNMEQ-CABCVRRESA-N -1 1 302.374 1.681 20 0 DDADMM O=C(NCCO[C@@H]1CC1(F)F)c1nc2ccccc2c(=O)[n-]1 ZINC000664358382 424556617 /nfs/dbraw/zinc/55/66/17/424556617.db2.gz HEOBGJOGAGUHHS-SNVBAGLBSA-N -1 1 309.272 1.077 20 0 DDADMM C[C@H](CNC(=O)NC[C@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000655855131 424683393 /nfs/dbraw/zinc/68/33/93/424683393.db2.gz CVGDRDFUBXYJFO-CHWSQXEVSA-N -1 1 323.393 1.827 20 0 DDADMM C[C@H](CNC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1)C(=O)[O-] ZINC000655870397 424687036 /nfs/dbraw/zinc/68/70/36/424687036.db2.gz SKIRZKPZIFDKPE-MRVPVSSYSA-N -1 1 321.312 1.131 20 0 DDADMM C[C@H](CNC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1)C(=O)[O-] ZINC000655870397 424687039 /nfs/dbraw/zinc/68/70/39/424687039.db2.gz SKIRZKPZIFDKPE-MRVPVSSYSA-N -1 1 321.312 1.131 20 0 DDADMM CCc1nc(CNC(=O)N[C@@H](C)[C@@H]2C[C@H](C(=O)[O-])C2(C)C)n[nH]1 ZINC000655879324 424689574 /nfs/dbraw/zinc/68/95/74/424689574.db2.gz PDVYRIMBCHFGSN-LPEHRKFASA-N -1 1 323.397 1.302 20 0 DDADMM CC(C)(C)c1cnc(CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)s1 ZINC000665345672 424788563 /nfs/dbraw/zinc/78/85/63/424788563.db2.gz ZLOGMKKOMXYEGY-MEBBXXQBSA-N -1 1 310.419 1.974 20 0 DDADMM Cc1nc([C@H]2CCCO2)sc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000341949845 271256269 /nfs/dbraw/zinc/25/62/69/271256269.db2.gz WYYZGWCLASQTMR-MRVPVSSYSA-N -1 1 322.394 1.481 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cccc2[nH]ccc21 ZINC000343361394 271749373 /nfs/dbraw/zinc/74/93/73/271749373.db2.gz WUPZEXYDODFKTO-UHFFFAOYSA-N -1 1 310.313 1.637 20 0 DDADMM COCCN(C)C(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000343894557 271901328 /nfs/dbraw/zinc/90/13/28/271901328.db2.gz DOLBTPPNQRIBHX-UHFFFAOYSA-N -1 1 316.361 1.200 20 0 DDADMM CC(C)(CNC(=O)CNC(=O)c1ncccc1[O-])C1CCCC1 ZINC000344681059 272088906 /nfs/dbraw/zinc/08/89/06/272088906.db2.gz RAOFMDUAMFCGKM-UHFFFAOYSA-N -1 1 319.405 1.850 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC1CCC(F)(F)CC1 ZINC000345298823 272212253 /nfs/dbraw/zinc/21/22/53/272212253.db2.gz KECCLMZWIWSBOI-UHFFFAOYSA-N -1 1 313.304 1.211 20 0 DDADMM C[C@@H]1C[C@@H](CCNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000345537844 272276520 /nfs/dbraw/zinc/27/65/20/272276520.db2.gz CURXENUHFRLBLD-MNOVXSKESA-N -1 1 318.377 1.609 20 0 DDADMM CC1(C)CCC[C@@]1(O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294038230 281036899 /nfs/dbraw/zinc/03/68/99/281036899.db2.gz FBCNVXNEDTXZSU-OAHLLOKOSA-N -1 1 320.418 1.689 20 0 DDADMM O=C(C=Cc1ccc(OCc2ccccn2)cc1)Nc1nnn[n-]1 ZINC000118685419 281085382 /nfs/dbraw/zinc/08/53/82/281085382.db2.gz JYJKRMPASVGJKU-RMKNXTFCSA-N -1 1 322.328 1.826 20 0 DDADMM O=C(C=Cc1ccc(OCc2ccccn2)cc1)Nc1nn[n-]n1 ZINC000118685419 281085384 /nfs/dbraw/zinc/08/53/84/281085384.db2.gz JYJKRMPASVGJKU-RMKNXTFCSA-N -1 1 322.328 1.826 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1COc2ccc(F)cc2C1)c1nn[n-]n1 ZINC000121855767 281145876 /nfs/dbraw/zinc/14/58/76/281145876.db2.gz FETZICXATMVSNC-JQWIXIFHSA-N -1 1 319.340 1.548 20 0 DDADMM CC[C@H](CCO)CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000125477304 281209281 /nfs/dbraw/zinc/20/92/81/281209281.db2.gz QDKCLFZDSUJHLR-LLVKDONJSA-N -1 1 303.362 1.782 20 0 DDADMM CC[C@H](CCO)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000125477304 281209283 /nfs/dbraw/zinc/20/92/83/281209283.db2.gz QDKCLFZDSUJHLR-LLVKDONJSA-N -1 1 303.362 1.782 20 0 DDADMM C[C@@H]1C[C@@H](NS(=O)(=O)c2cc(F)cc(F)c2)C[N@@H+]1C1CC1 ZINC000126278219 281233080 /nfs/dbraw/zinc/23/30/80/281233080.db2.gz ZFTFGHINHNCETJ-BXKDBHETSA-N -1 1 316.373 1.868 20 0 DDADMM CO[C@@](C)(C(=O)NC1(c2nn[n-]n2)CC1)c1ccccc1F ZINC000430323636 294053318 /nfs/dbraw/zinc/05/33/18/294053318.db2.gz DTSQZLULFDXLRV-CYBMUJFWSA-N -1 1 305.313 1.006 20 0 DDADMM CO[C@@]1(C)CCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000287764100 294113897 /nfs/dbraw/zinc/11/38/97/294113897.db2.gz VRZIOKHMDAEWJO-UEIJICEPSA-N -1 1 317.393 1.765 20 0 DDADMM O=C(CCc1ccccn1)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067078413 295483572 /nfs/dbraw/zinc/48/35/72/295483572.db2.gz DOJJYPGNCLJYNR-UHFFFAOYSA-N -1 1 317.418 1.962 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)N[C@H]2CCCc3cn[nH]c32)C1 ZINC000263104726 297215052 /nfs/dbraw/zinc/21/50/52/297215052.db2.gz BFPXAJFODCTVCZ-JLLWLGSASA-N -1 1 306.366 1.539 20 0 DDADMM O=C(NCc1ccccc1Cn1cccn1)c1ncccc1[O-] ZINC000171239723 298230150 /nfs/dbraw/zinc/23/01/50/298230150.db2.gz YTGCMHOULAQCNY-UHFFFAOYSA-N -1 1 308.341 1.962 20 0 DDADMM CN(C)c1ccccc1[N-]S(=O)(=O)c1cccc(C(N)=O)c1 ZINC000171773190 298235480 /nfs/dbraw/zinc/23/54/80/298235480.db2.gz QUFQZBRIKCOUKY-UHFFFAOYSA-N -1 1 319.386 1.652 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc([C@H](C)C(C)(C)C)no1 ZINC000356865790 298894592 /nfs/dbraw/zinc/89/45/92/298894592.db2.gz XRQRTKZWOOIVBZ-JTQLQIEISA-N -1 1 319.427 1.675 20 0 DDADMM O=C([O-])Cc1cccc(NS(=O)(=O)c2cnc3n2CCC3)c1 ZINC000361980444 299976692 /nfs/dbraw/zinc/97/66/92/299976692.db2.gz KDCIMOURUBUMGF-UHFFFAOYSA-N -1 1 321.358 1.257 20 0 DDADMM CO[C@H]1CCCC[C@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000362433535 300061975 /nfs/dbraw/zinc/06/19/75/300061975.db2.gz CLZZVBDHUHQSMO-OLZOCXBDSA-N -1 1 301.346 1.611 20 0 DDADMM COc1ccc([C@H](C)C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000362781405 300139680 /nfs/dbraw/zinc/13/96/80/300139680.db2.gz MDYKNKQGSOTMQD-AAEUAGOBSA-N -1 1 315.377 1.718 20 0 DDADMM CC(C)c1cccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000363150989 300221213 /nfs/dbraw/zinc/22/12/13/300221213.db2.gz ZGAQOSYQKGCPCO-NSHDSACASA-N -1 1 300.366 1.738 20 0 DDADMM Cc1noc([C@@H]([N-]S(=O)(=O)CCCF)c2ccccc2)n1 ZINC000363158435 300222648 /nfs/dbraw/zinc/22/26/48/300222648.db2.gz YOUIGTZLJMMTPN-LBPRGKRZSA-N -1 1 313.354 1.746 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)c1ccc[nH]1 ZINC000368500535 301042529 /nfs/dbraw/zinc/04/25/29/301042529.db2.gz CJPPVLOYNOTHIU-LLVKDONJSA-N -1 1 320.715 1.840 20 0 DDADMM CCOCCC(=O)N1CCC(c2nc(C(=O)OCC)n[n-]2)CC1 ZINC000369361449 301172475 /nfs/dbraw/zinc/17/24/75/301172475.db2.gz DPYAWPCXSGNMHC-UHFFFAOYSA-N -1 1 324.381 1.114 20 0 DDADMM CCN(CCC1CC1)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000354918128 306791298 /nfs/dbraw/zinc/79/12/98/306791298.db2.gz HJJIAARVZZXTQE-UHFFFAOYSA-N -1 1 300.380 1.450 20 0 DDADMM O=C(Nc1cccc(-c2n[nH]c(=O)[n-]2)c1)[C@H]1CCCc2cn[nH]c21 ZINC000377163585 302150621 /nfs/dbraw/zinc/15/06/21/302150621.db2.gz BUDWKPGVPKSKCU-LBPRGKRZSA-N -1 1 324.344 1.959 20 0 DDADMM O=C([O-])[C@]12CCC[C@@H]1CN(C(=O)c1ccc3[nH]nnc3c1)C2 ZINC000377221735 302156649 /nfs/dbraw/zinc/15/66/49/302156649.db2.gz KJUHQZYMQHAAOG-BMIGLBTASA-N -1 1 300.318 1.285 20 0 DDADMM CSc1nc(=NC(=O)N[C@H]2CCc3nc[nH]c3C2)s[n-]1 ZINC000377806423 302235785 /nfs/dbraw/zinc/23/57/85/302235785.db2.gz FPBHNHNOKMUJMI-LURJTMIESA-N -1 1 310.408 1.084 20 0 DDADMM CC(C)c1cc([N-]S(=O)(=O)c2cn3c(n2)CCCC3)[nH]n1 ZINC000378606307 302342595 /nfs/dbraw/zinc/34/25/95/302342595.db2.gz LLDNHOSRGKOKFE-UHFFFAOYSA-N -1 1 309.395 1.867 20 0 DDADMM Cc1cccc2c1C[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)O2 ZINC000450836935 302398143 /nfs/dbraw/zinc/39/81/43/302398143.db2.gz BVWRIJQVWZGLLX-MFKMUULPSA-N -1 1 301.350 1.074 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2ccc([O-])cc2F)[C@H]1n1cccn1 ZINC000516993116 302804063 /nfs/dbraw/zinc/80/40/63/302804063.db2.gz NRTRDSREPBRZEW-RRFJBIMHSA-N -1 1 319.336 1.876 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc([C@H]3CC[C@H](C)O3)no2)co1 ZINC000528244972 303012256 /nfs/dbraw/zinc/01/22/56/303012256.db2.gz UYLJNARBXHHGDJ-IONNQARKSA-N -1 1 313.335 1.478 20 0 DDADMM CC1(C)C[C@H](C(=O)N2CCC[C@H](c3nn[n-]n3)C2)C(C)(C)O1 ZINC000528882804 303069274 /nfs/dbraw/zinc/06/92/74/303069274.db2.gz NLSHPHRWBGIYTN-WDEREUQCSA-N -1 1 307.398 1.499 20 0 DDADMM O=C(c1cnnc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000528883484 303069665 /nfs/dbraw/zinc/06/96/65/303069665.db2.gz RODSHCINYZFIBL-SNVBAGLBSA-N -1 1 309.333 1.163 20 0 DDADMM O=C([O-])[C@@H]1CCN(CC(=O)Nc2ccc(Cl)c(F)c2)C1 ZINC000530207422 303183785 /nfs/dbraw/zinc/18/37/85/303183785.db2.gz KZYNWCIXJSDKGH-MRVPVSSYSA-N -1 1 300.717 1.824 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCCC[C@@H]2C(N)=O)sc1C ZINC000532496265 303287945 /nfs/dbraw/zinc/28/79/45/303287945.db2.gz HRJDHDOIFKCTPG-UWVGGRQHSA-N -1 1 317.436 1.082 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CCCC2)cnn1CC(C)C ZINC000535043753 303341902 /nfs/dbraw/zinc/34/19/02/303341902.db2.gz LFJJKRSOJJECIL-UHFFFAOYSA-N -1 1 317.397 1.560 20 0 DDADMM O=S1CCC([N-]S(=O)(=O)c2cc3ccccc3o2)CC1 ZINC000544653604 303475494 /nfs/dbraw/zinc/47/54/94/303475494.db2.gz NRZBZZMEJJLTQD-UHFFFAOYSA-N -1 1 313.400 1.622 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cncc(C)c2)c1 ZINC000359697824 306960521 /nfs/dbraw/zinc/96/05/21/306960521.db2.gz GTIDFXRPLIDDNV-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM O=C(Cc1coc(-c2ccc(F)c(F)c2)n1)Nc1nnn[n-]1 ZINC000369449761 307120434 /nfs/dbraw/zinc/12/04/34/307120434.db2.gz YEVPPHYQGXVCHV-UHFFFAOYSA-N -1 1 306.232 1.314 20 0 DDADMM O=C(Cc1coc(-c2ccc(F)c(F)c2)n1)Nc1nn[n-]n1 ZINC000369449761 307120435 /nfs/dbraw/zinc/12/04/35/307120435.db2.gz YEVPPHYQGXVCHV-UHFFFAOYSA-N -1 1 306.232 1.314 20 0 DDADMM O=C(Cc1coc2ccccc12)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370743963 307135155 /nfs/dbraw/zinc/13/51/55/307135155.db2.gz ZHSKRKMRXBRAPU-CYBMUJFWSA-N -1 1 313.317 1.089 20 0 DDADMM CSc1ccsc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370862851 307138479 /nfs/dbraw/zinc/13/84/79/307138479.db2.gz SARXIMRGPLCMOA-SSDOTTSWSA-N -1 1 311.392 1.197 20 0 DDADMM O=C(CCc1cccc(F)c1F)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370861458 307138627 /nfs/dbraw/zinc/13/86/27/307138627.db2.gz NEYAEEHEHNNPHF-LLVKDONJSA-N -1 1 323.303 1.011 20 0 DDADMM COC(=O)[C@H]1C[C@H](OC)CCN1C(=O)c1cc(F)ccc1[O-] ZINC000371523852 307152583 /nfs/dbraw/zinc/15/25/83/307152583.db2.gz DVGVOMFQVJIPDX-ZYHUDNBSSA-N -1 1 311.309 1.324 20 0 DDADMM COC(=O)c1oc(CN2CCC(c3n[nH]c(=O)[n-]3)CC2)cc1C ZINC000373830068 307196224 /nfs/dbraw/zinc/19/62/24/307196224.db2.gz FZDZHVILZAWPMV-UHFFFAOYSA-N -1 1 320.349 1.578 20 0 DDADMM Cc1cnc(C(=O)N2CCC(O[C@H]3CCOC3)CC2)c([O-])c1 ZINC000427251858 307302785 /nfs/dbraw/zinc/30/27/85/307302785.db2.gz UONNQQAHWPUDIW-ZDUSSCGKSA-N -1 1 306.362 1.506 20 0 DDADMM CCNC(=O)OC[C@H]1CCCCN1C(=O)c1ncc(C)cc1[O-] ZINC000495692901 307314812 /nfs/dbraw/zinc/31/48/12/307314812.db2.gz KQYQEWXWKKLRRH-GFCCVEGCSA-N -1 1 321.377 1.836 20 0 DDADMM CC(C)(C)OC(=O)NCC[C@H](NC(=O)c1cnn[nH]1)C(F)F ZINC000496148064 307328761 /nfs/dbraw/zinc/32/87/61/307328761.db2.gz BKAAYKZKAZGKOK-ZETCQYMHSA-N -1 1 319.312 1.083 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OCCO)[C@@H](C)NC(=O)OC(C)(C)C ZINC000496508669 307347087 /nfs/dbraw/zinc/34/70/87/307347087.db2.gz RWEMDFHABLNISU-GHMZBOCLSA-N -1 1 304.387 1.212 20 0 DDADMM Cc1ccccc1-c1nc(=NC[C@H](C)S(C)(=O)=O)s[n-]1 ZINC000527936789 307522422 /nfs/dbraw/zinc/52/24/22/307522422.db2.gz ALLVGEFKXXEQRO-JTQLQIEISA-N -1 1 311.432 1.780 20 0 DDADMM O=C(NC[C@H](O)COc1ccccc1)c1cc(F)ccc1[O-] ZINC000530951793 307608486 /nfs/dbraw/zinc/60/84/86/307608486.db2.gz IQHZONUEJBMMQV-LBPRGKRZSA-N -1 1 305.305 1.701 20 0 DDADMM Cc1cccc([C@@H]2CCN(C(=O)c3cc(=O)n4nc[n-]c4n3)C2)c1 ZINC000544822052 307719803 /nfs/dbraw/zinc/71/98/03/307719803.db2.gz ZGEQYNAFWABKAM-CYBMUJFWSA-N -1 1 323.356 1.356 20 0 DDADMM COCc1nc(C(=O)[N-]c2ccc(OC)cc2[N+](=O)[O-])co1 ZINC000547541859 307739035 /nfs/dbraw/zinc/73/90/35/307739035.db2.gz KASSMHBFQQSQRO-UHFFFAOYSA-N -1 1 307.262 1.990 20 0 DDADMM CCCN(CCC)S(=O)(=O)[N-]c1ccn([C@H](C)COC)n1 ZINC000548289998 307762531 /nfs/dbraw/zinc/76/25/31/307762531.db2.gz TVIDZBARWXUPIT-GFCCVEGCSA-N -1 1 318.443 1.869 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2cc(C(=O)[O-])ccc2C)c1 ZINC000548538993 307775147 /nfs/dbraw/zinc/77/51/47/307775147.db2.gz IWNALTFMURDACE-UHFFFAOYSA-N -1 1 321.358 1.780 20 0 DDADMM C[C@H](NCc1nc(=O)n(C)[n-]1)c1nc2ccccc2n1C(F)F ZINC000548731509 307790197 /nfs/dbraw/zinc/79/01/97/307790197.db2.gz XYVDIWKCYJHGPG-QMMMGPOBSA-N -1 1 322.319 1.704 20 0 DDADMM Cc1oc(CN(C)C[C@H](O)COCc2ccco2)cc1C(=O)[O-] ZINC000565104161 308020509 /nfs/dbraw/zinc/02/05/09/308020509.db2.gz IBPFFCGFPBIOIJ-LBPRGKRZSA-N -1 1 323.345 1.889 20 0 DDADMM CCC[C@@]1([N-]S(=O)(=O)c2nc(C)c(C)s2)CCOC1 ZINC000567298303 308077241 /nfs/dbraw/zinc/07/72/41/308077241.db2.gz INDPBBUERRLIKU-GFCCVEGCSA-N -1 1 304.437 1.997 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)C(=O)OCC ZINC000573603590 308246747 /nfs/dbraw/zinc/24/67/47/308246747.db2.gz HJOVHAARAPIYJV-ODBHDECVSA-N -1 1 319.423 1.229 20 0 DDADMM Cc1c(C(=O)[O-])sc2nc(C)nc(N[C@@H]3C[C@@H](O)C3(C)C)c12 ZINC000573924841 308256382 /nfs/dbraw/zinc/25/63/82/308256382.db2.gz ZENHFCXAQKQJIR-RKDXNWHRSA-N -1 1 321.402 2.000 20 0 DDADMM O=C(N=c1[n-]nc(C2CC2)s1)N[C@@H]1CCCc2cn[nH]c21 ZINC000577572173 308397643 /nfs/dbraw/zinc/39/76/43/308397643.db2.gz LZOTWRYUSKAAJO-SECBINFHSA-N -1 1 304.379 1.760 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NCc1ccc(CN(C)C)c(F)c1 ZINC000581370326 325840012 /nfs/dbraw/zinc/84/00/12/325840012.db2.gz RHDIKYIETBZWSS-CQSZACIVSA-N -1 1 310.369 1.860 20 0 DDADMM CCOC(=O)c1[nH]c(C)c(S(=O)(=O)[N-]c2cnc[nH]2)c1C ZINC000583182274 337258720 /nfs/dbraw/zinc/25/87/20/337258720.db2.gz OPIRENPBXXNJHF-UHFFFAOYSA-N -1 1 312.351 1.332 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)[C@@H]2NCCc3ccccc32)C1 ZINC000398665189 337312096 /nfs/dbraw/zinc/31/20/96/337312096.db2.gz FXEODJIHZHOHDW-OSAQELSMSA-N -1 1 302.374 1.443 20 0 DDADMM O=C([O-])CN(C(=O)c1c[nH]c2ccccc2c1=O)C1CCC1 ZINC000656232655 484038547 /nfs/dbraw/zinc/03/85/47/484038547.db2.gz YBTMESANENBJCD-UHFFFAOYSA-N -1 1 300.314 1.607 20 0 DDADMM O=C(c1c[nH]nc1C1CC1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425301970 484116105 /nfs/dbraw/zinc/11/61/05/484116105.db2.gz MUJQIOXTCQJFKD-VIFPVBQESA-N -1 1 303.322 1.396 20 0 DDADMM CC(C)OC[C@H](CO)N(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000436953391 484131301 /nfs/dbraw/zinc/13/13/01/484131301.db2.gz OXNQIGIPAJJJJZ-NSHDSACASA-N -1 1 301.770 1.904 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(C(C)C)c1)[C@H]1CCOC1 ZINC000656621856 484245459 /nfs/dbraw/zinc/24/54/59/484245459.db2.gz UJPZTPFTYYZJOK-AAEUAGOBSA-N -1 1 317.411 1.257 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(C)c1C)C1CCCC1 ZINC000656624428 484248379 /nfs/dbraw/zinc/24/83/79/484248379.db2.gz OLWJJUWZJWVAHY-CYBMUJFWSA-N -1 1 301.412 1.675 20 0 DDADMM C[C@H]1CN([C@@H]2CC(=O)N(c3cccc(C(=O)[O-])c3)C2=O)C[C@H]1C ZINC000530965965 484297532 /nfs/dbraw/zinc/29/75/32/484297532.db2.gz GQZZQCFUOOLCQY-UHIISALHSA-N -1 1 316.357 1.605 20 0 DDADMM O=C([O-])C1(C(=O)NCCc2nc3ccc(F)cc3[nH]2)CC=CC1 ZINC000663039514 484618006 /nfs/dbraw/zinc/61/80/06/484618006.db2.gz OLPSDWLNFZZHJV-UHFFFAOYSA-N -1 1 317.320 1.782 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000663044996 484622838 /nfs/dbraw/zinc/62/28/38/484622838.db2.gz SPYURKGYGHMGSZ-HNNXBMFYSA-N -1 1 320.389 1.562 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000663061128 484636265 /nfs/dbraw/zinc/63/62/65/484636265.db2.gz NSXAYDHCVSKXSL-KRWDZBQOSA-N -1 1 320.389 1.211 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1 ZINC000663106112 484670756 /nfs/dbraw/zinc/67/07/56/484670756.db2.gz OFONOZIBIQJYEH-LRDDRELGSA-N -1 1 324.377 1.331 20 0 DDADMM CC(C)=CCOc1cccc(CC(=O)NCc2nn[n-]n2)c1 ZINC000667953461 484869814 /nfs/dbraw/zinc/86/98/14/484869814.db2.gz FYXNJXFHOOQAKC-UHFFFAOYSA-N -1 1 301.350 1.404 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1cc(=O)n(C)[n-]1)c1cccc(F)c1 ZINC000665535982 484918459 /nfs/dbraw/zinc/91/84/59/484918459.db2.gz FTFXIHQYEOLBCA-ZDUSSCGKSA-N -1 1 306.341 1.298 20 0 DDADMM C[C@H](O)[C@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CCO1 ZINC000666063641 485067106 /nfs/dbraw/zinc/06/71/06/485067106.db2.gz QWKJYEQSBJWTFZ-QPUJVOFHSA-N -1 1 319.279 1.633 20 0 DDADMM COc1cc(C(=O)N[C@H]2CCc3cncn3C2)cc(Cl)c1[O-] ZINC000672151214 485239989 /nfs/dbraw/zinc/23/99/89/485239989.db2.gz WAAPYRRNIRCMEA-JTQLQIEISA-N -1 1 321.764 1.996 20 0 DDADMM C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)c1ccc([O-])c(F)c1 ZINC000672210151 485258265 /nfs/dbraw/zinc/25/82/65/485258265.db2.gz JADBYDXPMZUMIP-AAEUAGOBSA-N -1 1 308.353 1.467 20 0 DDADMM CC(C)[C@@H](CNC(=O)C(=O)c1ccc([O-])cc1)c1ccnn1C ZINC000673124351 485390146 /nfs/dbraw/zinc/39/01/46/485390146.db2.gz VOPOQXJSCSNRRB-CQSZACIVSA-N -1 1 315.373 1.864 20 0 DDADMM Cc1oc(C(=O)Nc2c([O-])cccc2F)cc1S(N)(=O)=O ZINC000674900579 485809731 /nfs/dbraw/zinc/80/97/31/485809731.db2.gz IFBZNJUXOCMSKY-UHFFFAOYSA-N -1 1 314.294 1.332 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(F)c(C(F)(F)F)c(F)c1 ZINC000683244033 485918149 /nfs/dbraw/zinc/91/81/49/485918149.db2.gz OAZKIZNTCJSYJQ-UHFFFAOYSA-N -1 1 307.182 1.427 20 0 DDADMM O=C([O-])CC1(NC(=O)c2[nH]nc3c2CCCC3)CCOCC1 ZINC000675998473 486084762 /nfs/dbraw/zinc/08/47/62/486084762.db2.gz SMFCZBCKYPXROY-UHFFFAOYSA-N -1 1 307.350 1.042 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)c2cn[nH]c2-c2ccccc2F)CCO1 ZINC000676213666 486146599 /nfs/dbraw/zinc/14/65/99/486146599.db2.gz FMCJGWNSWHZZAT-LBPRGKRZSA-N -1 1 319.292 1.141 20 0 DDADMM C[C@H]1Cc2ccccc2N1C(=O)CNC1(C(=O)[O-])CCCC1 ZINC000676230658 486151767 /nfs/dbraw/zinc/15/17/67/486151767.db2.gz PJPHDLCUVIKOKG-LBPRGKRZSA-N -1 1 302.374 1.951 20 0 DDADMM COCCN(Cc1nc(=O)n(C)[n-]1)Cc1ccc(C)cc1C ZINC000680372852 486165607 /nfs/dbraw/zinc/16/56/07/486165607.db2.gz KHWMOJZPLRWDKR-UHFFFAOYSA-N -1 1 304.394 1.374 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCC[C@@H](C)O)c(F)c1 ZINC000683950240 486179624 /nfs/dbraw/zinc/17/96/24/486179624.db2.gz NUGDISNBUHGSOI-MRVPVSSYSA-N -1 1 309.334 1.413 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCOC[C@H]2CCOC2)sc1C ZINC000676414939 486221135 /nfs/dbraw/zinc/22/11/35/486221135.db2.gz ADCMXUNQRYBJTG-NSHDSACASA-N -1 1 320.436 1.091 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2ccc(F)cn2)sc1C ZINC000676418554 486222911 /nfs/dbraw/zinc/22/29/11/486222911.db2.gz SHLMJHIOIOKSBU-UHFFFAOYSA-N -1 1 301.368 1.773 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(C)c(NS(C)(=O)=O)c2)c1[O-] ZINC000676631772 486275456 /nfs/dbraw/zinc/27/54/56/486275456.db2.gz GJGUSWIDSRJYCK-UHFFFAOYSA-N -1 1 324.362 1.356 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC(N2CCNC2=O)CC1 ZINC000681018331 486323741 /nfs/dbraw/zinc/32/37/41/486323741.db2.gz AEFMHSRXOQBTJV-UHFFFAOYSA-N -1 1 307.325 1.161 20 0 DDADMM CN(Cc1ccccc1)C(=O)CNC(=O)c1ccc([O-])c(F)c1 ZINC000681019389 486324528 /nfs/dbraw/zinc/32/45/28/486324528.db2.gz LCPYAZLDBMKDQA-UHFFFAOYSA-N -1 1 316.332 1.920 20 0 DDADMM Cn1c2ccc(NC(=O)c3ccc([O-])c(F)c3)cc2n(C)c1=O ZINC000681037899 486328084 /nfs/dbraw/zinc/32/80/84/486328084.db2.gz SQIJIDWGMFMILF-UHFFFAOYSA-N -1 1 315.304 1.974 20 0 DDADMM CC(C)[C@H](NC(=O)c1ccc([O-])cc1F)C(=O)N1CCCC1 ZINC000681524100 486443773 /nfs/dbraw/zinc/44/37/73/486443773.db2.gz MLYJKDCLHNXRFW-AWEZNQCLSA-N -1 1 308.353 1.908 20 0 DDADMM Cn1nnc(CN2CCC(C(=O)c3ccc([O-])cc3)CC2)n1 ZINC000685037122 486492907 /nfs/dbraw/zinc/49/29/07/486492907.db2.gz PGWANPTWZKBITG-UHFFFAOYSA-N -1 1 301.350 1.011 20 0 DDADMM CCNc1nc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cs1 ZINC000677928754 486581537 /nfs/dbraw/zinc/58/15/37/486581537.db2.gz LFKXNCBICPSRNG-QMMMGPOBSA-N -1 1 307.383 1.108 20 0 DDADMM C[C@H](CC[N-]S(=O)(=O)c1sccc1Cl)[S@@](C)=O ZINC000451320397 533943117 /nfs/dbraw/zinc/94/31/17/533943117.db2.gz ADIHPVGIFREURR-VGDKGRGNSA-N -1 1 315.869 1.837 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CS[C@H](C)C2)c1 ZINC000416579612 534321503 /nfs/dbraw/zinc/32/15/03/534321503.db2.gz CTIJZZFDJQELKY-APPZFPTMSA-N -1 1 305.377 1.239 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H](C)C(C)(F)F ZINC000452458655 534643209 /nfs/dbraw/zinc/64/32/09/534643209.db2.gz OLRAKXQFRDHJQI-SECBINFHSA-N -1 1 312.320 1.754 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@H]3CCC[C@@H](F)C3)CC2)s[n-]1 ZINC000424706414 534841161 /nfs/dbraw/zinc/84/11/61/534841161.db2.gz BFGGJTCHQUPWTO-VHSXEESVSA-N -1 1 313.402 1.128 20 0 DDADMM CC(C)[C@H]1OCC[C@@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000331892653 527910858 /nfs/dbraw/zinc/91/08/58/527910858.db2.gz HTJZHTBOTXVCTA-NWDGAFQWSA-N -1 1 309.366 1.542 20 0 DDADMM CCCC(=O)NC[C@@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000330890266 527930208 /nfs/dbraw/zinc/93/02/08/527930208.db2.gz VZHPUKQOYQYVSS-ZDUSSCGKSA-N -1 1 319.405 1.864 20 0 DDADMM CCO[C@@H]1C[C@](CO)(NC(=O)c2ncc(C)cc2[O-])C1(C)C ZINC000332484586 527973624 /nfs/dbraw/zinc/97/36/24/527973624.db2.gz VUKSXDWDDMWHGE-MLGOLLRUSA-N -1 1 308.378 1.392 20 0 DDADMM CCN1C[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CC1=O ZINC000451875200 527991755 /nfs/dbraw/zinc/99/17/55/527991755.db2.gz ZKGRYXOCMHNXHH-SSDOTTSWSA-N -1 1 322.308 1.003 20 0 DDADMM CC(C)[C@@H](O)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451227730 528634849 /nfs/dbraw/zinc/63/48/49/528634849.db2.gz FWXVIWYWOXCQTG-NSHDSACASA-N -1 1 301.339 1.867 20 0 DDADMM Cn1nccc1CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000737628878 598958645 /nfs/dbraw/zinc/95/86/45/598958645.db2.gz SDLCGHXNZHTHSS-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM Cn1nccc1CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000737628878 598958646 /nfs/dbraw/zinc/95/86/46/598958646.db2.gz SDLCGHXNZHTHSS-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@H](Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC000737161174 598974977 /nfs/dbraw/zinc/97/49/77/598974977.db2.gz HLGBRBXIAZICCU-VHSXEESVSA-N -1 1 322.394 1.029 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@H](Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC000737161174 598974979 /nfs/dbraw/zinc/97/49/79/598974979.db2.gz HLGBRBXIAZICCU-VHSXEESVSA-N -1 1 322.394 1.029 20 0 DDADMM CO[C@H]1CCC[C@H](OC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736962843 598979083 /nfs/dbraw/zinc/97/90/83/598979083.db2.gz RMSUKIURSLKUAX-QWRGUYRKSA-N -1 1 303.322 1.376 20 0 DDADMM CO[C@H]1CCC[C@H](OC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736962843 598979085 /nfs/dbraw/zinc/97/90/85/598979085.db2.gz RMSUKIURSLKUAX-QWRGUYRKSA-N -1 1 303.322 1.376 20 0 DDADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)Oc1cccc(F)c1 ZINC000820281212 599059946 /nfs/dbraw/zinc/05/99/46/599059946.db2.gz TZPDVZQUXPLJQF-VIFPVBQESA-N -1 1 315.312 1.675 20 0 DDADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)Oc1cccc(F)c1 ZINC000820281212 599059948 /nfs/dbraw/zinc/05/99/48/599059948.db2.gz TZPDVZQUXPLJQF-VIFPVBQESA-N -1 1 315.312 1.675 20 0 DDADMM Cc1ccc(-c2cnc(Cn3cnc(-c4nn[n-]n4)n3)o2)cc1 ZINC000822214291 599124568 /nfs/dbraw/zinc/12/45/68/599124568.db2.gz YTCYTJYVYGHGKN-UHFFFAOYSA-N -1 1 308.305 1.470 20 0 DDADMM C[C@@H](NCC(=O)Nc1ccc(Br)cc1)C(=O)[O-] ZINC000035388698 597064875 /nfs/dbraw/zinc/06/48/75/597064875.db2.gz UFLLRFIJAXIGNJ-SSDOTTSWSA-N -1 1 301.140 1.450 20 0 DDADMM Cn1c2ccc(NCc3ccc(-c4nnn[n-]4)o3)cc2oc1=O ZINC000822596504 607316311 /nfs/dbraw/zinc/31/63/11/607316311.db2.gz MWOMIPUKAJTBQQ-UHFFFAOYSA-N -1 1 312.289 1.517 20 0 DDADMM Cn1c2ccc(NCc3ccc(-c4nn[n-]n4)o3)cc2oc1=O ZINC000822596504 607316312 /nfs/dbraw/zinc/31/63/12/607316312.db2.gz MWOMIPUKAJTBQQ-UHFFFAOYSA-N -1 1 312.289 1.517 20 0 DDADMM CN(C)C(=O)c1cccc(NCc2ccc(-c3nnn[n-]3)o2)c1 ZINC000821579666 607311485 /nfs/dbraw/zinc/31/14/85/607311485.db2.gz JZRJKEHMTKCQFA-UHFFFAOYSA-N -1 1 312.333 1.774 20 0 DDADMM CN(C)C(=O)c1cccc(NCc2ccc(-c3nn[n-]n3)o2)c1 ZINC000821579666 607311486 /nfs/dbraw/zinc/31/14/86/607311486.db2.gz JZRJKEHMTKCQFA-UHFFFAOYSA-N -1 1 312.333 1.774 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N1CCO[C@@H]2CCCC[C@H]21)C(=O)[O-] ZINC000263042687 598187683 /nfs/dbraw/zinc/18/76/83/598187683.db2.gz CPFWHKUQHLFBNG-JLNYLFASSA-N -1 1 312.410 1.245 20 0 DDADMM Fc1ccc(OCCNc2nccnc2-c2nnn[n-]2)cc1 ZINC000737656612 598342973 /nfs/dbraw/zinc/34/29/73/598342973.db2.gz PDJRMMHIDIBTBU-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM Fc1ccc(OCCNc2nccnc2-c2nn[n-]n2)cc1 ZINC000737656612 598342975 /nfs/dbraw/zinc/34/29/75/598342975.db2.gz PDJRMMHIDIBTBU-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM Clc1cccc(OCCNc2nccnc2-c2nnn[n-]2)c1 ZINC000737573897 598344480 /nfs/dbraw/zinc/34/44/80/598344480.db2.gz GFPYYKILDJAGEF-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM Clc1cccc(OCCNc2nccnc2-c2nn[n-]n2)c1 ZINC000737573897 598344483 /nfs/dbraw/zinc/34/44/83/598344483.db2.gz GFPYYKILDJAGEF-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM O[C@H](CSc1nccnc1-c1nn[n-]n1)c1ccccc1 ZINC000738385931 598346348 /nfs/dbraw/zinc/34/63/48/598346348.db2.gz JIQIOJKBXSFTHI-SNVBAGLBSA-N -1 1 300.347 1.482 20 0 DDADMM c1ccc2c(c1)[nH]c1c2CN(c2cnc(-c3nnn[n-]3)cn2)CC1 ZINC000738441535 598385763 /nfs/dbraw/zinc/38/57/63/598385763.db2.gz KVRNNUYIMLYQSZ-UHFFFAOYSA-N -1 1 318.344 1.701 20 0 DDADMM c1ccc2c(c1)[nH]c1c2CN(c2cnc(-c3nn[n-]n3)cn2)CC1 ZINC000738441535 598385765 /nfs/dbraw/zinc/38/57/65/598385765.db2.gz KVRNNUYIMLYQSZ-UHFFFAOYSA-N -1 1 318.344 1.701 20 0 DDADMM FC(F)Oc1ccccc1CNc1cnc(-c2nnn[n-]2)cn1 ZINC000737640044 598386224 /nfs/dbraw/zinc/38/62/24/598386224.db2.gz YGLQNTOBVOJACH-UHFFFAOYSA-N -1 1 319.275 1.870 20 0 DDADMM FC(F)Oc1ccccc1CNc1cnc(-c2nn[n-]n2)cn1 ZINC000737640044 598386226 /nfs/dbraw/zinc/38/62/26/598386226.db2.gz YGLQNTOBVOJACH-UHFFFAOYSA-N -1 1 319.275 1.870 20 0 DDADMM COC(=O)c1cc(-c2nn[n-]n2)c(=O)n([C@H]2C=CCCC2)c1 ZINC000736938832 598565553 /nfs/dbraw/zinc/56/55/53/598565553.db2.gz CGSFLVMFOILWLP-JTQLQIEISA-N -1 1 301.306 1.096 20 0 DDADMM CCc1nn(CCc2ccccc2)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736706413 598642817 /nfs/dbraw/zinc/64/28/17/598642817.db2.gz LDHFCJGOPKBJSN-UHFFFAOYSA-N -1 1 324.388 1.791 20 0 DDADMM O[C@H]1CCCC[C@@H]1Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000823706616 599514747 /nfs/dbraw/zinc/51/47/47/599514747.db2.gz MSXRJFFQEVHRTD-WDSKDSINSA-N -1 1 300.775 1.692 20 0 DDADMM O[C@H]1CCCC[C@@H]1Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000823706616 599514749 /nfs/dbraw/zinc/51/47/49/599514749.db2.gz MSXRJFFQEVHRTD-WDSKDSINSA-N -1 1 300.775 1.692 20 0 DDADMM CCc1noc([C@@H](C)OC(=O)c2sccc2-c2nn[n-]n2)n1 ZINC000821553886 599659082 /nfs/dbraw/zinc/65/90/82/599659082.db2.gz LMPPQMOIQMZWOR-ZCFIWIBFSA-N -1 1 320.334 1.792 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)CCNC(=O)[O-] ZINC000738407376 599710226 /nfs/dbraw/zinc/71/02/26/599710226.db2.gz TXFATASIYZRTNE-UHFFFAOYSA-N -1 1 320.393 1.343 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)Cc1ccccc1Cl ZINC000021008166 599777421 /nfs/dbraw/zinc/77/74/21/599777421.db2.gz IDFBSAQMYBTASB-LBPRGKRZSA-N -1 1 307.737 1.418 20 0 DDADMM CC(C)[C@@H](NC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000736370500 599808454 /nfs/dbraw/zinc/80/84/54/599808454.db2.gz VZHPOCMSZMCHKV-GXTWGEPZSA-N -1 1 323.393 1.825 20 0 DDADMM Cc1ccc(N2CCCN(Cc3occc3C(=O)[O-])CC2)nn1 ZINC000738614202 599915040 /nfs/dbraw/zinc/91/50/40/599915040.db2.gz GLYYHQHCTQJYME-UHFFFAOYSA-N -1 1 316.361 1.789 20 0 DDADMM C[C@@H](CN(Cn1cc(Br)cn1)C1CC1)C(=O)[O-] ZINC000736582889 599931800 /nfs/dbraw/zinc/93/18/00/599931800.db2.gz PCBXZIOKOOJWLB-QMMMGPOBSA-N -1 1 302.172 1.788 20 0 DDADMM C[C@H](CN(Cc1nc(-c2ccccn2)no1)C1CC1)C(=O)[O-] ZINC000736583430 599949011 /nfs/dbraw/zinc/94/90/11/599949011.db2.gz QTCNPFVKIFFMHE-SNVBAGLBSA-N -1 1 302.334 1.817 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C1 ZINC000737814671 599954430 /nfs/dbraw/zinc/95/44/30/599954430.db2.gz XWNVRCIEQHCEQZ-GFCCVEGCSA-N -1 1 323.393 1.170 20 0 DDADMM C[C@@H](O)[C@H]1CCCCN1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000736678499 600090857 /nfs/dbraw/zinc/09/08/57/600090857.db2.gz UCJFVSDFOHVQDD-BXUZGUMPSA-N -1 1 306.362 1.559 20 0 DDADMM Cc1cnc(NS(=O)(=O)c2ccc(CC(=O)[O-])cc2)s1 ZINC000035295956 600243427 /nfs/dbraw/zinc/24/34/27/600243427.db2.gz QUCPHKQDUSVEHE-UHFFFAOYSA-N -1 1 312.372 1.879 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(Cc1cnn(-c3ccccc3)n1)CC2 ZINC000405362459 600290428 /nfs/dbraw/zinc/29/04/28/600290428.db2.gz FSJXFNBVBMXEOX-HNNXBMFYSA-N -1 1 312.373 1.954 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(Cc1nnc3n1CCCCC3)CC2 ZINC000739537048 600299774 /nfs/dbraw/zinc/29/97/74/600299774.db2.gz SWRNRKHYBHOEIE-LBPRGKRZSA-N -1 1 304.394 1.691 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)CN1CCC(n2ccnc2)CC1)C(=O)[O-] ZINC000736877933 600317605 /nfs/dbraw/zinc/31/76/05/600317605.db2.gz KWIHECULCCDOIG-IUODEOHRSA-N -1 1 322.409 1.136 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000736210644 600334800 /nfs/dbraw/zinc/33/48/00/600334800.db2.gz YSBOFZXJEBJLIF-CMPLNLGQSA-N -1 1 310.781 1.719 20 0 DDADMM COc1ccc(OC)c(NC(=O)CN(CCC(=O)[O-])C(C)C)c1 ZINC000738047104 600395449 /nfs/dbraw/zinc/39/54/49/600395449.db2.gz BDLLPACIJQKTPS-UHFFFAOYSA-N -1 1 324.377 1.827 20 0 DDADMM CCO[C@H]1CCCN([C@H](C)C(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC000737165790 600434894 /nfs/dbraw/zinc/43/48/94/600434894.db2.gz VGHZUNLSDPNNSS-OCCSQVGLSA-N -1 1 312.410 1.199 20 0 DDADMM Cc1cc(CNC(=O)NCc2cccc(OCC(=O)[O-])c2)n[nH]1 ZINC000738389191 600460376 /nfs/dbraw/zinc/46/03/76/600460376.db2.gz OWOHSXLYQRBBLM-UHFFFAOYSA-N -1 1 318.333 1.181 20 0 DDADMM C[C@H]1C(=O)N(CN2CCC[C@H](C(=O)[O-])C2)[C@H]1c1ccccc1 ZINC000828144577 600716842 /nfs/dbraw/zinc/71/68/42/600716842.db2.gz YIFCGNLGDWMJGA-VHDGCEQUSA-N -1 1 302.374 1.960 20 0 DDADMM C[C@](O)(CN1CCn2cccc2[C@@H]1c1ccccc1)C(=O)[O-] ZINC000736671434 600761989 /nfs/dbraw/zinc/76/19/89/600761989.db2.gz SWEZYSPJOWWDMM-RDJZCZTQSA-N -1 1 300.358 1.729 20 0 DDADMM C[C@H]1C[C@@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)CCN1C ZINC000157603422 600766738 /nfs/dbraw/zinc/76/67/38/600766738.db2.gz SIIFSXOSURZCQW-JQWIXIFHSA-N -1 1 312.391 1.146 20 0 DDADMM CCCNC(=O)CN(CCC)c1cccc(-c2nnn[n-]2)n1 ZINC000825328440 607900743 /nfs/dbraw/zinc/90/07/43/607900743.db2.gz SETFUWSPQFRNCD-UHFFFAOYSA-N -1 1 303.370 1.004 20 0 DDADMM CCCNC(=O)CN(CCC)c1cccc(-c2nn[n-]n2)n1 ZINC000825328440 607900744 /nfs/dbraw/zinc/90/07/44/607900744.db2.gz SETFUWSPQFRNCD-UHFFFAOYSA-N -1 1 303.370 1.004 20 0 DDADMM Cn1cc(S(=O)(=O)Nc2cccc3n[nH]cc32)cc1C(=O)[O-] ZINC000314482356 600811428 /nfs/dbraw/zinc/81/14/28/600811428.db2.gz PPJPCJMINTWECS-UHFFFAOYSA-N -1 1 320.330 1.400 20 0 DDADMM Cc1nnc(SCCC(=O)NCc2ccc(C(=O)[O-])cc2)[nH]1 ZINC000819035904 600835763 /nfs/dbraw/zinc/83/57/63/600835763.db2.gz HTRNBLBXDUNAPS-UHFFFAOYSA-N -1 1 320.374 1.610 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN1CCC2(C1)CCOCC2)C(=O)[O-] ZINC000828717793 600861380 /nfs/dbraw/zinc/86/13/80/600861380.db2.gz KMKXYEGHUMIISR-GXTWGEPZSA-N -1 1 312.410 1.104 20 0 DDADMM O=C([O-])CN(Cc1ccc(Cl)cc1)C(=O)Cc1ccn[nH]1 ZINC000833249234 600918553 /nfs/dbraw/zinc/91/85/53/600918553.db2.gz NFAZUSHRHXSYHG-UHFFFAOYSA-N -1 1 307.737 1.719 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N1C(=O)CN1CCSC[C@H]1CC(=O)[O-] ZINC000252150382 601017905 /nfs/dbraw/zinc/01/79/05/601017905.db2.gz MKRIWVUQSGSAQU-JHJVBQTASA-N -1 1 314.451 1.668 20 0 DDADMM Cc1cccc(OCCNC(=O)CN[C@@](C)(C(=O)[O-])C2CC2)c1 ZINC000832975736 601037692 /nfs/dbraw/zinc/03/76/92/601037692.db2.gz VVNAJZXBZKWOSA-QGZVFWFLSA-N -1 1 320.389 1.333 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2cccnc2)CC1 ZINC000827354012 601095164 /nfs/dbraw/zinc/09/51/64/601095164.db2.gz AOQUELCVLXEKBK-IHVVCDCBSA-N -1 1 303.362 1.102 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)CN[C@@](C)(C(=O)[O-])C1CC1 ZINC000832596648 601173790 /nfs/dbraw/zinc/17/37/90/601173790.db2.gz REVMCUJICZGFNF-PIGZYNQJSA-N -1 1 320.389 1.715 20 0 DDADMM C/C(=C\CN1CCO[C@H](c2nc(C(C)(C)C)n[nH]2)C1)C(=O)[O-] ZINC000825746867 601177677 /nfs/dbraw/zinc/17/76/77/601177677.db2.gz LWGCFPFEXYMHQD-UAWPZABVSA-N -1 1 308.382 1.506 20 0 DDADMM C/C(=C\CN1CCO[C@H](c2nnc(C(C)(C)C)[nH]2)C1)C(=O)[O-] ZINC000825746867 601177678 /nfs/dbraw/zinc/17/76/78/601177678.db2.gz LWGCFPFEXYMHQD-UAWPZABVSA-N -1 1 308.382 1.506 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(CN1CC3(CCCC3)CC1=O)CC2 ZINC000833177894 601301107 /nfs/dbraw/zinc/30/11/07/601301107.db2.gz OAWJZYPVPCCXFL-CYBMUJFWSA-N -1 1 306.406 1.923 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)NCC1(C(=O)[O-])CCCC1 ZINC000321766618 601422172 /nfs/dbraw/zinc/42/21/72/601422172.db2.gz OSRXMCIFQZIBEQ-UONOGXRCSA-N -1 1 323.437 1.900 20 0 DDADMM Cc1ccc(C)c(OC[C@@H](O)CN2CC[C@@](F)(C(=O)[O-])C2)c1 ZINC000832926269 601440413 /nfs/dbraw/zinc/44/04/13/601440413.db2.gz KYDYKFCOMYQTBU-BBRMVZONSA-N -1 1 311.353 1.542 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C[C@@H](O)COc2ccccc2Cl)C1 ZINC000833175809 601448202 /nfs/dbraw/zinc/44/82/02/601448202.db2.gz UTUGGOJPGRXNFX-QMTHXVAHSA-N -1 1 317.744 1.578 20 0 DDADMM C[C@@H](c1nnnn1-c1ccccc1)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828424854 601509075 /nfs/dbraw/zinc/50/90/75/601509075.db2.gz TXMBBNIFLMKZSM-NTZNESFSSA-N -1 1 301.350 1.376 20 0 DDADMM COc1ccc(NC(=O)CN2C[C@H](C)[C@H](C(=O)[O-])C2)cc1OC ZINC000832394273 601511084 /nfs/dbraw/zinc/51/10/84/601511084.db2.gz QNAPGOPONLXBFW-CMPLNLGQSA-N -1 1 322.361 1.295 20 0 DDADMM C[C@@H](C(=O)Nc1cc(F)ccc1F)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828422128 601528250 /nfs/dbraw/zinc/52/82/50/601528250.db2.gz HVXCYFITSYMSOW-WCABBAIRSA-N -1 1 312.316 1.944 20 0 DDADMM N#Cc1ccc(NC(=O)CCN2CCO[C@@H](CC(=O)[O-])C2)cc1 ZINC000320977343 601570486 /nfs/dbraw/zinc/57/04/86/601570486.db2.gz NSABYSAWNNAZRZ-AWEZNQCLSA-N -1 1 317.345 1.062 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN1CC[C@]2(CCOC2)C1 ZINC000832914852 601662571 /nfs/dbraw/zinc/66/25/71/601662571.db2.gz GQUZTYAXOFKINO-KRWDZBQOSA-N -1 1 318.373 1.744 20 0 DDADMM O=C([O-])c1ccccc1NC(=O)CN1CCC[C@@H]2COCC[C@H]21 ZINC000833104437 601662635 /nfs/dbraw/zinc/66/26/35/601662635.db2.gz BDXXCMIQHPUDAG-IUODEOHRSA-N -1 1 318.373 1.824 20 0 DDADMM O=C([O-])C[C@@H]1CN(C(=O)c2cnc(-c3ccccc3)[nH]2)CCO1 ZINC000833217152 601743361 /nfs/dbraw/zinc/74/33/61/601743361.db2.gz ABKXNVCUUDGFOW-GFCCVEGCSA-N -1 1 315.329 1.392 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nnn[n-]2)c1)c1ncccc1Cl ZINC000826495925 607528471 /nfs/dbraw/zinc/52/84/71/607528471.db2.gz GKNGREBMYBNKKM-NRFANRHFSA-N -1 1 320.765 1.618 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nn[n-]n2)c1)c1ncccc1Cl ZINC000826495925 607528472 /nfs/dbraw/zinc/52/84/72/607528472.db2.gz GKNGREBMYBNKKM-NRFANRHFSA-N -1 1 320.765 1.618 20 0 DDADMM CN(C(=O)CN1CC[C@]2(CCOC2)C1)c1ccccc1C(=O)[O-] ZINC000830477803 601817661 /nfs/dbraw/zinc/81/76/61/601817661.db2.gz FBTZEVJBWDJTQS-KRWDZBQOSA-N -1 1 318.373 1.460 20 0 DDADMM CC(C)[C@H](NCC(=O)N[C@H]1CCCc2ccccc21)C(=O)[O-] ZINC000827091847 601823656 /nfs/dbraw/zinc/82/36/56/601823656.db2.gz KENMETXGSACBBA-HOCLYGCPSA-N -1 1 304.390 1.879 20 0 DDADMM Cc1nc(NC(=O)[C@H](C)N2CC[C@](C)(C(=O)[O-])C2)sc1C ZINC000833002672 601930402 /nfs/dbraw/zinc/93/04/02/601930402.db2.gz COXZTCFPCPBHNM-XPTSAGLGSA-N -1 1 311.407 1.884 20 0 DDADMM CCOc1ccccc1NC(=O)CN1CC[C@](C)(C(=O)[O-])C1 ZINC000315995246 601939825 /nfs/dbraw/zinc/93/98/25/601939825.db2.gz DADQLEIDIBCMCQ-INIZCTEOSA-N -1 1 306.362 1.820 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000830585068 602011666 /nfs/dbraw/zinc/01/16/66/602011666.db2.gz MRLJQAOTJTWAEX-WMLDXEAASA-N -1 1 304.390 1.483 20 0 DDADMM O=C([O-])COc1cccc(NC(=O)[C@H]2CCCc3[nH]ncc32)c1 ZINC000833262190 602020987 /nfs/dbraw/zinc/02/09/87/602020987.db2.gz WLHPUVXLCKZWSJ-LBPRGKRZSA-N -1 1 315.329 1.932 20 0 DDADMM O=C([O-])C1(NCc2cccc(F)c2F)CCS(=O)(=O)CC1 ZINC000833175545 602061361 /nfs/dbraw/zinc/06/13/61/602061361.db2.gz HMDUPQZQYGYHDX-UHFFFAOYSA-N -1 1 319.329 1.086 20 0 DDADMM CN(C[C@@H]1CCCN(C(=O)N[C@@H]2CCCN(C)C2)C1)C(=O)[O-] ZINC000739731045 602131570 /nfs/dbraw/zinc/13/15/70/602131570.db2.gz VODRQENYNURDQF-QWHCGFSZSA-N -1 1 312.414 1.112 20 0 DDADMM CCN1CCN(c2ccccc2NC(=O)CN(C)C(=O)[O-])CC1 ZINC000739453970 602159876 /nfs/dbraw/zinc/15/98/76/602159876.db2.gz BQQYUYNDFKDDTK-UHFFFAOYSA-N -1 1 320.393 1.377 20 0 DDADMM CC(C)(CC(=O)[O-])NCc1nc(-c2ccc3c(c2)OCO3)no1 ZINC000826538031 602258728 /nfs/dbraw/zinc/25/87/28/602258728.db2.gz GQJFAOGBYSKMKH-UHFFFAOYSA-N -1 1 319.317 1.808 20 0 DDADMM C[C@@](CNC(=O)[O-])(NC(=O)c1ccc2[nH]nnc2c1)C1CC1 ZINC000738923851 602507566 /nfs/dbraw/zinc/50/75/66/602507566.db2.gz HNHONVHGLVFTBU-AWEZNQCLSA-N -1 1 303.322 1.124 20 0 DDADMM C[C@H](CNC(=O)[O-])N(C)C(=O)c1cc(-c2ccc(F)cc2)[nH]n1 ZINC000738934533 602526133 /nfs/dbraw/zinc/52/61/33/602526133.db2.gz URKCIASGQHSDDX-SECBINFHSA-N -1 1 320.324 1.944 20 0 DDADMM C[C@H]1CN(C(=O)[O-])CCN1CCC(=O)N1CCc2ccccc21 ZINC000739160485 602740426 /nfs/dbraw/zinc/74/04/26/602740426.db2.gz LYFHGGVFQJOJPD-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@@H]1CN([C@@H]2CCN(c3ccccc3)C2=O)CC[C@@H]1NC(=O)[O-] ZINC000739175879 602759258 /nfs/dbraw/zinc/75/92/58/602759258.db2.gz LLQOZASNZPWERE-VHDGCEQUSA-N -1 1 317.389 1.770 20 0 DDADMM C[C@H](CS(C)(=O)=O)N(C[C@H]1CCCN(C(=O)[O-])C1)C1CC1 ZINC000738949254 602862297 /nfs/dbraw/zinc/86/22/97/602862297.db2.gz KCFRAPXOLOHQBY-NEPJUHHUSA-N -1 1 318.439 1.274 20 0 DDADMM C[N@@H+]1CCC[C@@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739728581 602862520 /nfs/dbraw/zinc/86/25/20/602862520.db2.gz KHMMUSWQFXWSOI-JTQLQIEISA-N -1 1 307.350 1.554 20 0 DDADMM C[N@H+]1CCC[C@@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739728581 602862522 /nfs/dbraw/zinc/86/25/22/602862522.db2.gz KHMMUSWQFXWSOI-JTQLQIEISA-N -1 1 307.350 1.554 20 0 DDADMM Cc1ccc(C(=O)NC[C@@H]2CN(C)CCN2C)cc1NC(=O)[O-] ZINC000830216888 602911593 /nfs/dbraw/zinc/91/15/93/602911593.db2.gz NGPATQBPXPSHFJ-CYBMUJFWSA-N -1 1 320.393 1.061 20 0 DDADMM C[C@@H](CC(=O)NCc1nc2ccc(Cl)cc2[nH]1)NC(=O)[O-] ZINC000824671357 602915135 /nfs/dbraw/zinc/91/51/35/602915135.db2.gz GDRHNNCBIBXPIR-ZETCQYMHSA-N -1 1 310.741 1.879 20 0 DDADMM CNc1ccccc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826089919 607594869 /nfs/dbraw/zinc/59/48/69/607594869.db2.gz AJFAIRSCVOXBLS-UHFFFAOYSA-N -1 1 310.317 1.660 20 0 DDADMM CNc1ccccc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826089919 607594870 /nfs/dbraw/zinc/59/48/70/607594870.db2.gz AJFAIRSCVOXBLS-UHFFFAOYSA-N -1 1 310.317 1.660 20 0 DDADMM COc1ncccc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826222180 607601403 /nfs/dbraw/zinc/60/14/03/607601403.db2.gz MNXXGIFBPAHEOM-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM COc1ncccc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826222180 607601404 /nfs/dbraw/zinc/60/14/04/607601404.db2.gz MNXXGIFBPAHEOM-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM O=C([O-])N1CCC(n2cccc2C(=O)NCc2cnc[nH]2)CC1 ZINC000740444824 603056843 /nfs/dbraw/zinc/05/68/43/603056843.db2.gz KNZYZLCZIQJBDQ-UHFFFAOYSA-N -1 1 317.349 1.456 20 0 DDADMM C[C@@H](C(=O)N1CCC(NC(=O)[O-])CC1)N(C)Cc1ccccc1 ZINC000738614767 603117942 /nfs/dbraw/zinc/11/79/42/603117942.db2.gz NJUQGIWGASZVHW-ZDUSSCGKSA-N -1 1 319.405 1.766 20 0 DDADMM C[C@@H](C(=O)N(C)Cc1ccccc1)N(C)CCCNC(=O)[O-] ZINC000738600457 603255160 /nfs/dbraw/zinc/25/51/60/603255160.db2.gz IYJBZJIAAHVGJM-ZDUSSCGKSA-N -1 1 307.394 1.623 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(Cl)cn1)N(C)CCCNC(=O)[O-] ZINC000738630122 603255450 /nfs/dbraw/zinc/25/54/50/603255450.db2.gz JMVWROUTSQFINL-VIFPVBQESA-N -1 1 314.773 1.652 20 0 DDADMM CC(C)CN1CCN(C(=O)N[C@@H]2CCCN(C(=O)[O-])C2)CC1 ZINC000736444032 603300126 /nfs/dbraw/zinc/30/01/26/603300126.db2.gz VNEGPKLSGZLEGR-CYBMUJFWSA-N -1 1 312.414 1.112 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CC[C@@H]1NC(=O)[O-] ZINC000739171595 603333197 /nfs/dbraw/zinc/33/31/97/603333197.db2.gz UMIZSHIHDNJOHH-WPRPVWTQSA-N -1 1 303.322 1.076 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CC[C@@H]1NC(=O)[O-] ZINC000739171586 603333394 /nfs/dbraw/zinc/33/33/94/603333394.db2.gz UMIZSHIHDNJOHH-SCZZXKLOSA-N -1 1 303.322 1.076 20 0 DDADMM CC(C)N1CC[C@@H](N2CCC([C@H]3CCCN3C(=O)[O-])CC2)C1=O ZINC000824356870 603537664 /nfs/dbraw/zinc/53/76/64/603537664.db2.gz KFTHTSLMUHJIIA-HUUCEWRRSA-N -1 1 323.437 1.850 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@@H](C)[C@@H]2CNC(=O)[O-])n[nH]1 ZINC000826948286 603691694 /nfs/dbraw/zinc/69/16/94/603691694.db2.gz AEELZONSPCVEEL-MFKMUULPSA-N -1 1 308.382 1.871 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCN(C[C@@H](O)c2ccc(F)c(F)c2)C1 ZINC000827882114 603800289 /nfs/dbraw/zinc/80/02/89/603800289.db2.gz LJDYVIDSFCBAJH-GXFFZTMASA-N -1 1 300.305 1.682 20 0 DDADMM Cc1cc(CNC(=O)N[C@@H]2CCCC[C@H]2CNC(=O)[O-])[nH]n1 ZINC000830041151 603810171 /nfs/dbraw/zinc/81/01/71/603810171.db2.gz IDJGGTXLUUGBNR-CMPLNLGQSA-N -1 1 309.370 1.344 20 0 DDADMM C[C@H](NC(=O)[C@@H](CNC(=O)[O-])c1ccccc1)c1nnc[nH]1 ZINC000825077382 603939262 /nfs/dbraw/zinc/93/92/62/603939262.db2.gz YOASLZPRIHKULG-ONGXEEELSA-N -1 1 303.322 1.033 20 0 DDADMM C[C@@H](CN(C)C(=O)[O-])C(=O)N1CCN(C)CC12CCCCC2 ZINC000824840955 603940763 /nfs/dbraw/zinc/94/07/63/603940763.db2.gz OJGFPJSNVWROFK-ZDUSSCGKSA-N -1 1 311.426 1.709 20 0 DDADMM CC(C)n1ccnc1CN1CCCN(CCNC(=O)[O-])CC1 ZINC000824527716 604364647 /nfs/dbraw/zinc/36/46/47/604364647.db2.gz AVVAWHXNKZGJHK-UHFFFAOYSA-N -1 1 309.414 1.239 20 0 DDADMM CC(C)c1cnc(CN(C)[C@H]2CCN(CCNC(=O)[O-])C2)o1 ZINC000824476127 604367716 /nfs/dbraw/zinc/36/77/16/604367716.db2.gz GJAJVGYVZWTFFU-LBPRGKRZSA-N -1 1 310.398 1.572 20 0 DDADMM C[C@@H]1CCCN(CCNC(=O)[O-])[C@H]1CNC(=O)OC(C)(C)C ZINC000825926575 604369031 /nfs/dbraw/zinc/36/90/31/604369031.db2.gz WWQTUIRAYHJYOI-NEPJUHHUSA-N -1 1 315.414 1.879 20 0 DDADMM CC[C@@H]1CO[C@H](C)CN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000825218821 608188730 /nfs/dbraw/zinc/18/87/30/608188730.db2.gz FRSUEBVDFSNUPB-RNFRBKRXSA-N -1 1 314.802 1.980 20 0 DDADMM CC[C@@H]1CO[C@H](C)CN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000825218821 608188729 /nfs/dbraw/zinc/18/87/29/608188729.db2.gz FRSUEBVDFSNUPB-RNFRBKRXSA-N -1 1 314.802 1.980 20 0 DDADMM CC(=O)N[C@@H]1CCCN(Cc2ccc(O[C@@H](C)C(=O)[O-])cc2)C1 ZINC000833396068 604533888 /nfs/dbraw/zinc/53/38/88/604533888.db2.gz DHUMSICRMRAWMC-SWLSCSKDSA-N -1 1 320.389 1.639 20 0 DDADMM C[C@@H]1CN(C)[C@@H](C)CN1C(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000833622795 604579934 /nfs/dbraw/zinc/57/99/34/604579934.db2.gz OEGQMOLEMLMXHF-NWDGAFQWSA-N -1 1 306.362 1.315 20 0 DDADMM C[C@@H](Oc1ccc(CN2CCCN(C(N)=O)CC2)cc1)C(=O)[O-] ZINC000833544725 604623639 /nfs/dbraw/zinc/62/36/39/604623639.db2.gz ZNZLMDDAWZSQRS-GFCCVEGCSA-N -1 1 321.377 1.125 20 0 DDADMM CCC[C@](C)(NC(=O)[O-])C(=O)N1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC000826711868 604643613 /nfs/dbraw/zinc/64/36/13/604643613.db2.gz GSMIRYWIFCCBEZ-NHYWBVRUSA-N -1 1 323.397 1.646 20 0 DDADMM O=C([O-])[C@H]1CCN(Cc2nc(-c3ccc(F)c(F)c3)no2)C1 ZINC000262657091 604669543 /nfs/dbraw/zinc/66/95/43/604669543.db2.gz MUUFRMDVQUGFBS-VIFPVBQESA-N -1 1 309.272 1.921 20 0 DDADMM Cc1[nH]ncc1CNC(=O)C[C@@H](C)C1CCN(C(=O)[O-])CC1 ZINC000830677246 604755705 /nfs/dbraw/zinc/75/57/05/604755705.db2.gz DZISEDHCRPCCPR-SNVBAGLBSA-N -1 1 308.382 1.751 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)CN1CCC2(CCOC2)CC1)C(=O)[O-] ZINC000833640508 604932482 /nfs/dbraw/zinc/93/24/82/604932482.db2.gz ZBPYUDBXGYCWDQ-TZMCWYRMSA-N -1 1 312.410 1.104 20 0 DDADMM C[C@@H](NC(=O)C[C@H](C)C1CCN(C(=O)[O-])CC1)c1nnc[nH]1 ZINC000825100688 604973108 /nfs/dbraw/zinc/97/31/08/604973108.db2.gz IYNOYBVFPAPGMI-VHSXEESVSA-N -1 1 309.370 1.398 20 0 DDADMM CCN1C[C@H](C)[C@H](NS(=O)(=O)c2ccccc2C(=O)[O-])C1 ZINC000833657698 605041442 /nfs/dbraw/zinc/04/14/42/605041442.db2.gz OGCWXCVXVNOTAQ-CMPLNLGQSA-N -1 1 312.391 1.003 20 0 DDADMM C[C@H](C(=O)NCC(F)(F)F)N(C)Cc1ccc(C(=O)[O-])cc1 ZINC000833404191 605047246 /nfs/dbraw/zinc/04/72/46/605047246.db2.gz IYBWZVFCMLYGQS-SECBINFHSA-N -1 1 318.295 1.884 20 0 DDADMM COc1ccc(CCCN(C)CN2C[C@@H](C(=O)[O-])CC2=O)cc1 ZINC000833731961 605172023 /nfs/dbraw/zinc/17/20/23/605172023.db2.gz RPACLYJFSZMLDE-AWEZNQCLSA-N -1 1 320.389 1.450 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000833456720 605223334 /nfs/dbraw/zinc/22/33/34/605223334.db2.gz XBAXICPSPVPHKY-UHFFFAOYSA-N -1 1 303.322 1.696 20 0 DDADMM C[C@@H]1CN(C(=O)C2(c3ccccc3)CC2)CCN1CCC(=O)[O-] ZINC000833617376 605251632 /nfs/dbraw/zinc/25/16/32/605251632.db2.gz CTTFZMLRBVUIOZ-CQSZACIVSA-N -1 1 316.401 1.726 20 0 DDADMM C[C@H]1CN(C(=O)CC[C@H]2CCCCO2)CCN1CCC(=O)[O-] ZINC000833617769 605253514 /nfs/dbraw/zinc/25/35/14/605253514.db2.gz GVHBAISCOKKKGD-UONOGXRCSA-N -1 1 312.410 1.343 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]2CN(Cn3cccnc3=S)C[C@@H]21 ZINC000834186793 605330380 /nfs/dbraw/zinc/33/03/80/605330380.db2.gz XMOLPXWYOPFLIT-SDDRHHMPSA-N -1 1 308.407 1.938 20 0 DDADMM O=C([O-])N1CC[C@H](COC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000834284450 605440433 /nfs/dbraw/zinc/44/04/33/605440433.db2.gz CGHMTQDWZAFTNQ-JTQLQIEISA-N -1 1 316.317 1.628 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)c1cccc2n[nH]cc21 ZINC000825840489 605454245 /nfs/dbraw/zinc/45/42/45/605454245.db2.gz YMHBYVSYYFESCQ-ZJUUUORDSA-N -1 1 302.334 1.824 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)[O-])CCN1C(=O)c1cccc2c[nH]nc21 ZINC000825838799 605458173 /nfs/dbraw/zinc/45/81/73/605458173.db2.gz SPABZGQOUREKKL-ONGXEEELSA-N -1 1 302.334 1.824 20 0 DDADMM O=C([O-])NCCN1CC[C@@H](NC(=O)Nc2ccc(F)cc2)C1 ZINC000834240731 605749219 /nfs/dbraw/zinc/74/92/19/605749219.db2.gz WYFJTBUATTUNHG-GFCCVEGCSA-N -1 1 310.329 1.289 20 0 DDADMM O=C([O-])N[C@@H]1C[C@@H](NC[C@@H](O)C(F)(F)F)c2ccccc21 ZINC000834157832 605794463 /nfs/dbraw/zinc/79/44/63/605794463.db2.gz INROIHCQIURLCH-GMTAPVOTSA-N -1 1 304.268 1.953 20 0 DDADMM CN1CC[C@H](NC(=O)c2cc(C(=O)[O-])no2)[C@H]1c1ccccc1 ZINC000833697653 605878973 /nfs/dbraw/zinc/87/89/73/605878973.db2.gz HXEUSDSCVSPXBO-SMDDNHRTSA-N -1 1 315.329 1.548 20 0 DDADMM c1ccc2sc(CCNc3nccnc3-c3nnn[n-]3)nc2c1 ZINC000823802420 606036520 /nfs/dbraw/zinc/03/65/20/606036520.db2.gz NFQPQWCRQPBVIF-UHFFFAOYSA-N -1 1 324.373 1.921 20 0 DDADMM c1ccc2sc(CCNc3nccnc3-c3nn[n-]n3)nc2c1 ZINC000823802420 606036526 /nfs/dbraw/zinc/03/65/26/606036526.db2.gz NFQPQWCRQPBVIF-UHFFFAOYSA-N -1 1 324.373 1.921 20 0 DDADMM CN(C[C@H](O)C1CC1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000821595153 606200078 /nfs/dbraw/zinc/20/00/78/606200078.db2.gz OCBNTOSHDJRQMK-LBPRGKRZSA-N -1 1 311.349 1.017 20 0 DDADMM CN(C[C@H](O)C1CC1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000821595153 606200079 /nfs/dbraw/zinc/20/00/79/606200079.db2.gz OCBNTOSHDJRQMK-LBPRGKRZSA-N -1 1 311.349 1.017 20 0 DDADMM O=C(Cc1ccsc1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000823115436 606294327 /nfs/dbraw/zinc/29/43/27/606294327.db2.gz NVHDMTXQXQTQJX-UHFFFAOYSA-N -1 1 301.331 1.815 20 0 DDADMM Cc1nc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)cs1 ZINC000822444099 606527402 /nfs/dbraw/zinc/52/74/02/606527402.db2.gz ALQGWXVXNJLICM-UHFFFAOYSA-N -1 1 306.376 1.623 20 0 DDADMM Cc1nc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)cs1 ZINC000822444099 606527403 /nfs/dbraw/zinc/52/74/03/606527403.db2.gz ALQGWXVXNJLICM-UHFFFAOYSA-N -1 1 306.376 1.623 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)[C@H]2CCO[C@@H]21 ZINC000820585848 606570532 /nfs/dbraw/zinc/57/05/32/606570532.db2.gz QRCDQTQKEVEOMF-ONOSFVFSSA-N -1 1 319.390 1.472 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)[C@H]2CCO[C@@H]21 ZINC000820585848 606570534 /nfs/dbraw/zinc/57/05/34/606570534.db2.gz QRCDQTQKEVEOMF-ONOSFVFSSA-N -1 1 319.390 1.472 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)c1ccco1 ZINC000821683646 606581229 /nfs/dbraw/zinc/58/12/29/606581229.db2.gz GUSCFEPRZGQYMU-MRVPVSSYSA-N -1 1 319.346 1.639 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)c1ccco1 ZINC000821683646 606581227 /nfs/dbraw/zinc/58/12/27/606581227.db2.gz GUSCFEPRZGQYMU-MRVPVSSYSA-N -1 1 319.346 1.639 20 0 DDADMM O=C(COC1CCCC1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000823027639 606648188 /nfs/dbraw/zinc/64/81/88/606648188.db2.gz ZBVIZKBLRDTHPJ-UHFFFAOYSA-N -1 1 303.322 1.264 20 0 DDADMM O=C(COC1CCCC1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000823027639 606648189 /nfs/dbraw/zinc/64/81/89/606648189.db2.gz ZBVIZKBLRDTHPJ-UHFFFAOYSA-N -1 1 303.322 1.264 20 0 DDADMM COc1cccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000821858508 606651298 /nfs/dbraw/zinc/65/12/98/606651298.db2.gz GIIUVQAYHPDAON-UHFFFAOYSA-N -1 1 311.301 1.627 20 0 DDADMM COc1cccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000821858508 606651299 /nfs/dbraw/zinc/65/12/99/606651299.db2.gz GIIUVQAYHPDAON-UHFFFAOYSA-N -1 1 311.301 1.627 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@H]1C[C@@H]1Cc1ccccc1 ZINC000822903397 606695431 /nfs/dbraw/zinc/69/54/31/606695431.db2.gz KWGMMGDKUVRGBZ-QWRGUYRKSA-N -1 1 309.333 1.164 20 0 DDADMM Clc1ccc(CCNc2nccnc2-c2nnn[n-]2)cn1 ZINC000822573967 606722010 /nfs/dbraw/zinc/72/20/10/606722010.db2.gz LUCLQSMXDMAPPS-UHFFFAOYSA-N -1 1 302.729 1.360 20 0 DDADMM Clc1ccc(CCNc2nccnc2-c2nn[n-]n2)cn1 ZINC000822573967 606722011 /nfs/dbraw/zinc/72/20/11/606722011.db2.gz LUCLQSMXDMAPPS-UHFFFAOYSA-N -1 1 302.729 1.360 20 0 DDADMM C[C@H]1CCCN1S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000820717727 606844798 /nfs/dbraw/zinc/84/47/98/606844798.db2.gz VKGHRIPYJOZATP-QMMMGPOBSA-N -1 1 311.342 1.179 20 0 DDADMM CCOc1ncccc1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000821437751 607023330 /nfs/dbraw/zinc/02/33/30/607023330.db2.gz RNWAWCHBETZOLU-UHFFFAOYSA-N -1 1 317.334 1.369 20 0 DDADMM CCOc1ncccc1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000821437751 607023331 /nfs/dbraw/zinc/02/33/31/607023331.db2.gz RNWAWCHBETZOLU-UHFFFAOYSA-N -1 1 317.334 1.369 20 0 DDADMM O=C(COc1cccc(F)c1-c1nnn[n-]1)NC1CCCC1 ZINC000826418536 607865776 /nfs/dbraw/zinc/86/57/76/607865776.db2.gz LEYNDHUAVCXALK-UHFFFAOYSA-N -1 1 305.313 1.443 20 0 DDADMM O=C(COc1cccc(F)c1-c1nn[n-]n1)NC1CCCC1 ZINC000826418536 607865777 /nfs/dbraw/zinc/86/57/77/607865777.db2.gz LEYNDHUAVCXALK-UHFFFAOYSA-N -1 1 305.313 1.443 20 0 DDADMM CC1CCN(C(=O)COc2cccc(F)c2-c2nnn[n-]2)CC1 ZINC000824945878 607865893 /nfs/dbraw/zinc/86/58/93/607865893.db2.gz GTSRBEHIJZCIEV-UHFFFAOYSA-N -1 1 319.340 1.643 20 0 DDADMM CC1CCN(C(=O)COc2cccc(F)c2-c2nn[n-]n2)CC1 ZINC000824945878 607865894 /nfs/dbraw/zinc/86/58/94/607865894.db2.gz GTSRBEHIJZCIEV-UHFFFAOYSA-N -1 1 319.340 1.643 20 0 DDADMM c1cc(NCCc2cnc(C3CC3)nc2)nc(-c2nnn[n-]2)c1 ZINC000826517030 607904266 /nfs/dbraw/zinc/90/42/66/607904266.db2.gz MSNBTDHMCVOIHN-UHFFFAOYSA-N -1 1 308.349 1.584 20 0 DDADMM c1cc(NCCc2cnc(C3CC3)nc2)nc(-c2nn[n-]n2)c1 ZINC000826517030 607904267 /nfs/dbraw/zinc/90/42/67/607904267.db2.gz MSNBTDHMCVOIHN-UHFFFAOYSA-N -1 1 308.349 1.584 20 0 DDADMM c1ccc(N2CC[C@H](CNc3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826522225 607989290 /nfs/dbraw/zinc/98/92/90/607989290.db2.gz RQSKHWMTCGOKFN-GFCCVEGCSA-N -1 1 322.376 1.595 20 0 DDADMM c1ccc(N2CC[C@H](CNc3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826522225 607989291 /nfs/dbraw/zinc/98/92/91/607989291.db2.gz RQSKHWMTCGOKFN-GFCCVEGCSA-N -1 1 322.376 1.595 20 0 DDADMM COC[C@@H](Nc1snc(Cl)c1-c1nnn[n-]1)C1CC1 ZINC000826151585 608192254 /nfs/dbraw/zinc/19/22/54/608192254.db2.gz FJLACCGAQCUQPW-ZCFIWIBFSA-N -1 1 300.775 1.814 20 0 DDADMM COC[C@@H](Nc1snc(Cl)c1-c1nn[n-]n1)C1CC1 ZINC000826151585 608192255 /nfs/dbraw/zinc/19/22/55/608192255.db2.gz FJLACCGAQCUQPW-ZCFIWIBFSA-N -1 1 300.775 1.814 20 0 DDADMM COc1cc(CN(C)c2ccc(-c3nnn[n-]3)nn2)ccc1O ZINC000826179318 608234640 /nfs/dbraw/zinc/23/46/40/608234640.db2.gz JDOYTOMHVZHHLC-UHFFFAOYSA-N -1 1 313.321 1.007 20 0 DDADMM COc1cc(CN(C)c2ccc(-c3nn[n-]n3)nn2)ccc1O ZINC000826179318 608234641 /nfs/dbraw/zinc/23/46/41/608234641.db2.gz JDOYTOMHVZHHLC-UHFFFAOYSA-N -1 1 313.321 1.007 20 0 DDADMM Clc1nsc(N2CCOC3(CCC3)C2)c1-c1nnn[n-]1 ZINC000826354014 608234959 /nfs/dbraw/zinc/23/49/59/608234959.db2.gz MTRKGKJAUKNGRD-UHFFFAOYSA-N -1 1 312.786 1.736 20 0 DDADMM Clc1nsc(N2CCOC3(CCC3)C2)c1-c1nn[n-]n1 ZINC000826354014 608234962 /nfs/dbraw/zinc/23/49/62/608234962.db2.gz MTRKGKJAUKNGRD-UHFFFAOYSA-N -1 1 312.786 1.736 20 0 DDADMM CCc1nn(C)c(OC)c1CNc1cccc(-c2nnn[n-]2)n1 ZINC000825760309 608240775 /nfs/dbraw/zinc/24/07/75/608240775.db2.gz UTHQIEPXRUTTPU-UHFFFAOYSA-N -1 1 314.353 1.178 20 0 DDADMM CCc1nn(C)c(OC)c1CNc1cccc(-c2nn[n-]n2)n1 ZINC000825760309 608240777 /nfs/dbraw/zinc/24/07/77/608240777.db2.gz UTHQIEPXRUTTPU-UHFFFAOYSA-N -1 1 314.353 1.178 20 0 DDADMM Cc1scc(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)c1C ZINC000826350009 608342910 /nfs/dbraw/zinc/34/29/10/608342910.db2.gz DNUKDEMVZMVGTJ-UHFFFAOYSA-N -1 1 303.351 1.531 20 0 DDADMM Cc1scc(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)c1C ZINC000826350009 608342911 /nfs/dbraw/zinc/34/29/11/608342911.db2.gz DNUKDEMVZMVGTJ-UHFFFAOYSA-N -1 1 303.351 1.531 20 0 DDADMM Cc1nc(CNc2ccc(-c3nnn[n-]3)nn2)nc2ccccc12 ZINC000826326166 608410742 /nfs/dbraw/zinc/41/07/42/608410742.db2.gz DGAQJHCFCXLDLT-UHFFFAOYSA-N -1 1 319.332 1.520 20 0 DDADMM Cc1nc(CNc2ccc(-c3nn[n-]n3)nn2)nc2ccccc12 ZINC000826326166 608410743 /nfs/dbraw/zinc/41/07/43/608410743.db2.gz DGAQJHCFCXLDLT-UHFFFAOYSA-N -1 1 319.332 1.520 20 0 DDADMM CCS[C@H]1CC[C@@H](N(C)c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000825668903 608426719 /nfs/dbraw/zinc/42/67/19/608426719.db2.gz LKFUIZSAMLRXIK-ZJUUUORDSA-N -1 1 305.411 1.767 20 0 DDADMM CCS[C@H]1CC[C@@H](N(C)c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000825668903 608426721 /nfs/dbraw/zinc/42/67/21/608426721.db2.gz LKFUIZSAMLRXIK-ZJUUUORDSA-N -1 1 305.411 1.767 20 0 DDADMM Cc1cccc([C@H]2CCCN2c2ccc(-c3nnn[n-]3)nn2)n1 ZINC000826297484 608433352 /nfs/dbraw/zinc/43/33/52/608433352.db2.gz JUESRKZLXDMTFY-CYBMUJFWSA-N -1 1 308.349 1.702 20 0 DDADMM Cc1cccc([C@H]2CCCN2c2ccc(-c3nn[n-]n3)nn2)n1 ZINC000826297484 608433354 /nfs/dbraw/zinc/43/33/54/608433354.db2.gz JUESRKZLXDMTFY-CYBMUJFWSA-N -1 1 308.349 1.702 20 0 DDADMM COc1ccc2c(c1)OC[C@H](Nc1cccc(-c3nnn[n-]3)n1)C2 ZINC000826213422 608440433 /nfs/dbraw/zinc/44/04/33/608440433.db2.gz WHVIYPZRFPVJBA-LLVKDONJSA-N -1 1 324.344 1.686 20 0 DDADMM COc1ccc2c(c1)OC[C@H](Nc1cccc(-c3nn[n-]n3)n1)C2 ZINC000826213422 608440435 /nfs/dbraw/zinc/44/04/35/608440435.db2.gz WHVIYPZRFPVJBA-LLVKDONJSA-N -1 1 324.344 1.686 20 0 DDADMM Clc1cc(Cn2ccnc2-c2nnn[n-]2)cc2c1OCO2 ZINC000826350566 608890861 /nfs/dbraw/zinc/89/08/61/608890861.db2.gz BUGRVTJEZXMGBL-UHFFFAOYSA-N -1 1 304.697 1.494 20 0 DDADMM Clc1cc(Cn2ccnc2-c2nn[n-]n2)cc2c1OCO2 ZINC000826350566 608890864 /nfs/dbraw/zinc/89/08/64/608890864.db2.gz BUGRVTJEZXMGBL-UHFFFAOYSA-N -1 1 304.697 1.494 20 0 DDADMM C[C@@H]1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)C[C@H]1F ZINC000824962680 609320552 /nfs/dbraw/zinc/32/05/52/609320552.db2.gz WTAVGJBAJINEMN-MWLCHTKSSA-N -1 1 313.340 1.994 20 0 DDADMM C[C@@H]1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)C[C@H]1F ZINC000824962680 609320553 /nfs/dbraw/zinc/32/05/53/609320553.db2.gz WTAVGJBAJINEMN-MWLCHTKSSA-N -1 1 313.340 1.994 20 0 DDADMM C[C@H]1C[C@@H](COC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000824882391 609389814 /nfs/dbraw/zinc/38/98/14/609389814.db2.gz AZMYTYOKZBFMCC-UWVGGRQHSA-N -1 1 303.322 1.234 20 0 DDADMM C[C@H]1C[C@@H](COC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000824882391 609389816 /nfs/dbraw/zinc/38/98/16/609389816.db2.gz AZMYTYOKZBFMCC-UWVGGRQHSA-N -1 1 303.322 1.234 20 0 DDADMM Cc1ccc(CCCn2nc(C)c(C)c(-c3nn[n-]n3)c2=O)cc1 ZINC000826287611 609486532 /nfs/dbraw/zinc/48/65/32/609486532.db2.gz WBAILWYGZDOUCB-UHFFFAOYSA-N -1 1 324.388 1.981 20 0 DDADMM C[C@H](O)[C@@H]1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000824686969 609567308 /nfs/dbraw/zinc/56/73/08/609567308.db2.gz DZRCTZBDSJICDJ-VHSXEESVSA-N -1 1 311.349 1.017 20 0 DDADMM C[C@H](O)[C@@H]1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000824686969 609567309 /nfs/dbraw/zinc/56/73/09/609567309.db2.gz DZRCTZBDSJICDJ-VHSXEESVSA-N -1 1 311.349 1.017 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2cccn(C)c2=O)c1 ZINC000745017967 699968872 /nfs/dbraw/zinc/96/88/72/699968872.db2.gz VWHNQUXWPVYVAJ-UHFFFAOYSA-N -1 1 315.325 1.693 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(C2CC2)C2CC2)o1 ZINC000797105580 699994231 /nfs/dbraw/zinc/99/42/31/699994231.db2.gz HHXSLVQLGQJGRA-UHFFFAOYSA-N -1 1 313.375 1.781 20 0 DDADMM CC(C)C(=O)NC1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000973584282 695500450 /nfs/dbraw/zinc/50/04/50/695500450.db2.gz OIPKFWQDXDCMAZ-YHWZYXNKSA-N -1 1 317.389 1.695 20 0 DDADMM O=C([O-])[C@]1(NC(=O)N[C@H]2CCCc3cn[nH]c32)CCSC1 ZINC000797725399 700021375 /nfs/dbraw/zinc/02/13/75/700021375.db2.gz UMFMPLSULIUNLB-ZANVPECISA-N -1 1 310.379 1.047 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975229140 695817202 /nfs/dbraw/zinc/81/72/02/695817202.db2.gz XAUWNRHUVXPJRC-RPHDBTCBSA-N -1 1 317.389 1.722 20 0 DDADMM Cc1nc(C)c(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)s1 ZINC000006366944 696017991 /nfs/dbraw/zinc/01/79/91/696017991.db2.gz KISVNPDAORGKJE-UHFFFAOYSA-N -1 1 318.354 1.873 20 0 DDADMM CC(=O)N[C@@H]1CCCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000747207785 700060301 /nfs/dbraw/zinc/06/03/01/700060301.db2.gz OCMAQILXKCNDHX-GFCCVEGCSA-N -1 1 320.393 1.355 20 0 DDADMM CCC(Nc1ccccc1CC)=C1C(=O)[N-]C(=S)NC1=O ZINC000009952189 696037989 /nfs/dbraw/zinc/03/79/89/696037989.db2.gz SIUBNROHZOSFTL-UHFFFAOYSA-N -1 1 303.387 1.856 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCNc1cnccn1 ZINC000747365359 700066075 /nfs/dbraw/zinc/06/60/75/700066075.db2.gz KWSXFYWERUVGRW-UHFFFAOYSA-N -1 1 316.365 1.246 20 0 DDADMM O=C(COC(=O)C1(O)CCCCC1)[N-]C(=O)c1ccccc1 ZINC000043764777 696155541 /nfs/dbraw/zinc/15/55/41/696155541.db2.gz XNFOVOMGSVLMSY-UHFFFAOYSA-N -1 1 305.330 1.181 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCc1cnn(C)c1 ZINC000747378788 700066759 /nfs/dbraw/zinc/06/67/59/700066759.db2.gz RMVAYMSSBQMBJA-UHFFFAOYSA-N -1 1 317.393 1.710 20 0 DDADMM CC[N@@H+]1CCO[C@@H](CNC(=O)c2c(C)nc(C(C)C)[nH]c2=O)C1 ZINC000747397770 700067812 /nfs/dbraw/zinc/06/78/12/700067812.db2.gz XCBAYQCIJALPGX-LBPRGKRZSA-N -1 1 322.409 1.065 20 0 DDADMM CS(=O)(=O)c1ccccc1C(=O)[N-]NC(=O)C1CCCC1 ZINC000047451263 696198115 /nfs/dbraw/zinc/19/81/15/696198115.db2.gz VTBLXCGQTMFGDP-UHFFFAOYSA-N -1 1 310.375 1.041 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@]1(O)CCSC1 ZINC000747427313 700069601 /nfs/dbraw/zinc/06/96/01/700069601.db2.gz KESBGMZJNOFNAF-CQSZACIVSA-N -1 1 311.407 1.212 20 0 DDADMM O=C([N-]S(=O)(=O)c1cccc(Cl)c1)[C@@H]1CC1[N+](=O)[O-] ZINC000048496536 696213007 /nfs/dbraw/zinc/21/30/07/696213007.db2.gz FZJKXBWCZLCJSH-RKDXNWHRSA-N -1 1 304.711 1.650 20 0 DDADMM O=C(COC1CCCCC1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000747474453 700072184 /nfs/dbraw/zinc/07/21/84/700072184.db2.gz TVXRGKKSHAMQHY-HNNXBMFYSA-N -1 1 315.377 1.755 20 0 DDADMM CC(NCCC(C)C)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000054503951 696276488 /nfs/dbraw/zinc/27/64/88/696276488.db2.gz AQRINGTXQXWPFT-QXMHVHEDSA-N -1 1 311.451 1.795 20 0 DDADMM CCc1nnsc1C(=O)NCc1n[n-]c(=S)n1C(C)C ZINC000066640584 696354883 /nfs/dbraw/zinc/35/48/83/696354883.db2.gz UZBRCDIWPLHGNV-UHFFFAOYSA-N -1 1 312.424 1.865 20 0 DDADMM O=C(NCc1n[n-]c(=S)n1C1CC1)c1ccnc(C2CC2)n1 ZINC000069703412 696385772 /nfs/dbraw/zinc/38/57/72/696385772.db2.gz JWKQYUPXKIPNOG-UHFFFAOYSA-N -1 1 316.390 1.873 20 0 DDADMM CCC(Nc1ccc2n[nH]cc2c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000074390818 696413097 /nfs/dbraw/zinc/41/30/97/696413097.db2.gz WXIVSSWHGGPXGQ-UHFFFAOYSA-N -1 1 315.358 1.170 20 0 DDADMM CC/C(Nc1ccc2n[nH]cc2c1)=C1/C(=O)[N-]C(=S)NC1=O ZINC000074390818 696413098 /nfs/dbraw/zinc/41/30/98/696413098.db2.gz WXIVSSWHGGPXGQ-UHFFFAOYSA-N -1 1 315.358 1.170 20 0 DDADMM CC/C(Nc1ccc2n[nH]cc2c1)=C1\C(=O)[N-]C(=S)NC1=O ZINC000074390818 696413099 /nfs/dbraw/zinc/41/30/99/696413099.db2.gz WXIVSSWHGGPXGQ-UHFFFAOYSA-N -1 1 315.358 1.170 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC(c3ccccc3)CC2)s1 ZINC000079430872 696460227 /nfs/dbraw/zinc/46/02/27/696460227.db2.gz BQDCASQMJWAVAI-CYBMUJFWSA-N -1 1 317.414 1.739 20 0 DDADMM CC(C)[C@H]1CC[C@@H](C)C[C@H]1NC(=O)Cc1sc(N)nc1[O-] ZINC000079537983 696461475 /nfs/dbraw/zinc/46/14/75/696461475.db2.gz RAYWOJSJDZJKDX-DDHJBXDOSA-N -1 1 311.451 1.910 20 0 DDADMM Cc1ccc2cccc(NC(=O)Cc3sc(N)nc3[O-])c2n1 ZINC000079956222 696465403 /nfs/dbraw/zinc/46/54/03/696465403.db2.gz LKODMIIFYNAHMS-LLVKDONJSA-N -1 1 314.370 1.829 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCc2cc3ccccc3o2)s1 ZINC000080405732 696534199 /nfs/dbraw/zinc/53/41/99/696534199.db2.gz SVBFJVUZVYIEDV-GFCCVEGCSA-N -1 1 317.370 1.438 20 0 DDADMM CC(=O)NC[C@H]1C[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000979367851 696537046 /nfs/dbraw/zinc/53/70/46/696537046.db2.gz PMFJUOYOKRKZLI-HAQNSBGRSA-N -1 1 320.393 1.259 20 0 DDADMM O=C(CC1(O)CCCCC1)NCc1n[n-]c(=S)n1C1CC1 ZINC000081354875 696543187 /nfs/dbraw/zinc/54/31/87/696543187.db2.gz DCMRAWUAAKQYCK-UHFFFAOYSA-N -1 1 310.423 1.977 20 0 DDADMM COC(=O)C[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)C1CC1 ZINC000084995513 696562354 /nfs/dbraw/zinc/56/23/54/696562354.db2.gz OBCHCTLJJYSYDT-SNVBAGLBSA-N -1 1 319.329 1.585 20 0 DDADMM O=C(N[C@@H]1CC[C@H]2CN(C(=O)C3CC3)C[C@H]21)c1ncccc1[O-] ZINC000979544678 696590778 /nfs/dbraw/zinc/59/07/78/696590778.db2.gz FYPDAJDCPLLHJW-YNEHKIRRSA-N -1 1 315.373 1.164 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)/C=C/c1ccccc1)N(C)C ZINC000798812392 700098798 /nfs/dbraw/zinc/09/87/98/700098798.db2.gz GERJNSSHKXCJRW-VNDWYCCKSA-N -1 1 310.419 1.690 20 0 DDADMM CC(C)C(=O)NC1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000980077081 696684415 /nfs/dbraw/zinc/68/44/15/696684415.db2.gz LINDFDPDUUGKHB-UHFFFAOYSA-N -1 1 305.378 1.600 20 0 DDADMM O=S(=O)(Oc1ccc(Br)nc1)c1c[n-]cn1 ZINC000125216194 696751428 /nfs/dbraw/zinc/75/14/28/696751428.db2.gz AYFQZHPFCTYUEQ-UHFFFAOYSA-N -1 1 304.125 1.335 20 0 DDADMM CCN(CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000129327264 696787752 /nfs/dbraw/zinc/78/77/52/696787752.db2.gz CLDCPUZUGNNLMF-ZDUSSCGKSA-N -1 1 314.393 1.432 20 0 DDADMM Cc1cccc([C@H](O)CNC(=O)c2nc3ccccc3c(=O)[n-]2)c1 ZINC000134352411 696836402 /nfs/dbraw/zinc/83/64/02/696836402.db2.gz UMGHOIPJCSHTQN-OAHLLOKOSA-N -1 1 323.352 1.695 20 0 DDADMM CCc1cccc(C)c1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000748532233 700124001 /nfs/dbraw/zinc/12/40/01/700124001.db2.gz GINLMWSHTYXBQJ-UHFFFAOYSA-N -1 1 301.346 1.436 20 0 DDADMM CC(C)C(=O)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000981207883 697034343 /nfs/dbraw/zinc/03/43/43/697034343.db2.gz NVYMLVAAHFLGOE-NWDGAFQWSA-N -1 1 305.378 1.458 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)C2CC=CC2)C1)c1ncccc1[O-] ZINC000982573122 697152099 /nfs/dbraw/zinc/15/20/99/697152099.db2.gz BTWOTBLHCRWIPT-GFCCVEGCSA-N -1 1 315.373 1.332 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983088412 697209678 /nfs/dbraw/zinc/20/96/78/697209678.db2.gz SEMZNUQGYVXTSO-GRYCIOLGSA-N -1 1 303.362 1.022 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)Nc2ccnc(C)c2Cl)n[nH]1 ZINC000159956497 697313758 /nfs/dbraw/zinc/31/37/58/697313758.db2.gz KLNOKEOAHFLZBW-UHFFFAOYSA-N -1 1 308.729 1.541 20 0 DDADMM CC1(C[N-]S(=O)(=O)Cc2c(F)cccc2F)OCCO1 ZINC000179520563 697438655 /nfs/dbraw/zinc/43/86/55/697438655.db2.gz RNXPPYHELHVTQG-UHFFFAOYSA-N -1 1 307.318 1.147 20 0 DDADMM Cn1c(C(=O)NN2CC(=O)[N-]C2=O)ccc1-c1ccc(F)cc1 ZINC000749260838 700154047 /nfs/dbraw/zinc/15/40/47/700154047.db2.gz JKIRGSZPWGHBGX-UHFFFAOYSA-N -1 1 316.292 1.028 20 0 DDADMM CCC(CC)C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985292411 697483021 /nfs/dbraw/zinc/48/30/21/697483021.db2.gz WUSIBAAWOBPQLE-UHFFFAOYSA-N -1 1 309.414 1.369 20 0 DDADMM CC[C@@]1(C)C[C@@H]1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985728866 697564190 /nfs/dbraw/zinc/56/41/90/697564190.db2.gz RHXURLDARHCFLT-WBMJQRKESA-N -1 1 321.425 1.369 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc(F)c1 ZINC000985900611 697598596 /nfs/dbraw/zinc/59/85/96/697598596.db2.gz JRYLRTDTMPOFHG-CABZTGNLSA-N -1 1 319.340 1.042 20 0 DDADMM O=C(CCCc1cccs1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773156838 697720708 /nfs/dbraw/zinc/72/07/08/697720708.db2.gz FWBVXDSCZBOTRT-NSHDSACASA-N -1 1 323.447 1.901 20 0 DDADMM CC(=O)c1csc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000773164424 697722530 /nfs/dbraw/zinc/72/25/30/697722530.db2.gz XHTVUODZXJMOTL-SECBINFHSA-N -1 1 323.403 1.394 20 0 DDADMM O=C(c1ccnc(Cl)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000773316430 697743188 /nfs/dbraw/zinc/74/31/88/697743188.db2.gz ZUTSRRZLNZHPRK-VIFPVBQESA-N -1 1 308.725 1.843 20 0 DDADMM O=C([N-]CC1CCN(C(=O)c2cccnc2)CC1)C(F)(F)F ZINC000219789492 697754512 /nfs/dbraw/zinc/75/45/12/697754512.db2.gz ADDFAIIEPUPCMM-UHFFFAOYSA-N -1 1 315.295 1.612 20 0 DDADMM Cc1ccc(C(F)(F)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000774006681 697833346 /nfs/dbraw/zinc/83/33/46/697833346.db2.gz WSNMUYBBQWQLDM-SNVBAGLBSA-N -1 1 309.320 1.862 20 0 DDADMM CC(=O)c1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)n(C)c1 ZINC000774909265 697940836 /nfs/dbraw/zinc/94/08/36/697940836.db2.gz MKVTTZQAMYSGIJ-UHFFFAOYSA-N -1 1 320.267 1.453 20 0 DDADMM Cc1cc(C)n([C@H](C)C(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000774927068 697942899 /nfs/dbraw/zinc/94/28/99/697942899.db2.gz SLEWDUBNJQCMSY-SNVBAGLBSA-N -1 1 321.299 1.673 20 0 DDADMM O=C(/C=C\C1CCOCC1)OCCC[N-]C(=O)C(F)(F)F ZINC000774948348 697945904 /nfs/dbraw/zinc/94/59/04/697945904.db2.gz MOLQMNKZUZVIMU-IHWYPQMZSA-N -1 1 309.284 1.581 20 0 DDADMM CC[C@@H](CCO)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000778010917 698237580 /nfs/dbraw/zinc/23/75/80/698237580.db2.gz ZKJJYCINGDDVBQ-ZETCQYMHSA-N -1 1 313.206 1.828 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987885905 698257464 /nfs/dbraw/zinc/25/74/64/698257464.db2.gz IEXONZGADRBWGA-VFJIKVCNSA-N -1 1 317.389 1.674 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](N3CCCCC3=O)C2)c([O-])c1 ZINC000778803878 698370128 /nfs/dbraw/zinc/37/01/28/698370128.db2.gz RRWMYQQOJSKMDW-ZDUSSCGKSA-N -1 1 317.389 1.713 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])CN(C(=O)NCc2n[nH]c(C(C)(C)C)n2)C1 ZINC000263355260 698501850 /nfs/dbraw/zinc/50/18/50/698501850.db2.gz QQWYQJXIRPWYIN-UWVGGRQHSA-N -1 1 323.397 1.354 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1S(=O)(=O)NCCN(C)C1CC1 ZINC000314973664 698693311 /nfs/dbraw/zinc/69/33/11/698693311.db2.gz QXAGUJYAMUWZEG-UHFFFAOYSA-N -1 1 312.391 1.066 20 0 DDADMM COC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1ccc(C)cc1 ZINC000750943652 700259774 /nfs/dbraw/zinc/25/97/74/700259774.db2.gz LJQOGGIMXSOJJZ-UHFFFAOYSA-N -1 1 310.331 1.581 20 0 DDADMM CCOc1cc(C(=O)N2C[C@@H]3C[C@]3(CO)C2)cc(Cl)c1[O-] ZINC000783788991 698879929 /nfs/dbraw/zinc/87/99/29/698879929.db2.gz UFZVVQMJFJARQD-ZUZCIYMTSA-N -1 1 311.765 1.899 20 0 DDADMM C[C@@]1(C(=O)Nc2nc(Br)ccc2[O-])CCOC1 ZINC000783901934 698890530 /nfs/dbraw/zinc/89/05/30/698890530.db2.gz SBUCRLQMNFHCIY-LLVKDONJSA-N -1 1 301.140 1.915 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)C1CCCCC1 ZINC000990091859 698948858 /nfs/dbraw/zinc/94/88/58/698948858.db2.gz HPCAPKLXPOUPNR-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM Cc1nnsc1C[N-]S(=O)(=O)c1cccc(F)c1C#N ZINC000384166289 699071258 /nfs/dbraw/zinc/07/12/58/699071258.db2.gz SSSUWESKHCBSCX-UHFFFAOYSA-N -1 1 312.351 1.336 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-])[C@@H](C)O ZINC000386826215 699079145 /nfs/dbraw/zinc/07/91/45/699079145.db2.gz GZRCRAYXCWUSAQ-VXNVDRBHSA-N -1 1 306.315 1.172 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000388458692 699085363 /nfs/dbraw/zinc/08/53/63/699085363.db2.gz JXCQUKHNRKBDOW-TUAOUCFPSA-N -1 1 305.378 1.457 20 0 DDADMM O=C(COC(=O)c1ccc(F)nc1)[N-]C(=O)c1ccccc1 ZINC000785631215 699086891 /nfs/dbraw/zinc/08/68/91/699086891.db2.gz DMURDWOMBZXKIM-UHFFFAOYSA-N -1 1 302.261 1.334 20 0 DDADMM Cc1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c(C)nn1 ZINC000786013369 699117712 /nfs/dbraw/zinc/11/77/12/699117712.db2.gz RXHZBDQTJGORQW-UHFFFAOYSA-N -1 1 305.256 1.319 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](c3nccn3C)C2)c([O-])c1 ZINC000444664881 699175122 /nfs/dbraw/zinc/17/51/22/699175122.db2.gz OTYOIWJNXOKEIA-LBPRGKRZSA-N -1 1 300.362 1.849 20 0 DDADMM C[C@@H]1CCC[C@@]1(C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990972183 699196514 /nfs/dbraw/zinc/19/65/14/699196514.db2.gz MWAPQNCSZROYNE-PIGZYNQJSA-N -1 1 317.389 1.554 20 0 DDADMM CSC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCC1 ZINC000787236773 699198625 /nfs/dbraw/zinc/19/86/25/699198625.db2.gz YLNODRZWWBDRSB-UHFFFAOYSA-N -1 1 307.371 1.772 20 0 DDADMM O=C(NCc1ncccn1)c1ccc(Br)c([O-])c1 ZINC000702341913 699229767 /nfs/dbraw/zinc/22/97/67/699229767.db2.gz IPFXAJLSDIQUST-UHFFFAOYSA-N -1 1 308.135 1.875 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCCO1)c1ccc(Cl)nc1F ZINC000703041878 699235061 /nfs/dbraw/zinc/23/50/61/699235061.db2.gz ZMNNXYSHYKUZFR-QMMMGPOBSA-N -1 1 308.762 1.722 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(C2CC2)C1)c1ccc(Cl)nc1F ZINC000703102261 699235238 /nfs/dbraw/zinc/23/52/38/699235238.db2.gz VFXNXCAWUWZDHD-QMMMGPOBSA-N -1 1 319.789 1.389 20 0 DDADMM C[C@@H]1COC[C@H]1NC(=O)c1ccc(Br)cc1[O-] ZINC000710850002 699254812 /nfs/dbraw/zinc/25/48/12/699254812.db2.gz CDTVULFQYILIEF-GMSGAONNSA-N -1 1 300.152 1.919 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@H]1CCCOC1 ZINC000723871595 699322299 /nfs/dbraw/zinc/32/22/99/699322299.db2.gz VTXJKMXTPGCHAA-UWVGGRQHSA-N -1 1 321.830 1.557 20 0 DDADMM O=S(=O)(Cc1ccccc1F)[N-]Cc1nc(C2CC2)no1 ZINC000725826873 699335308 /nfs/dbraw/zinc/33/53/08/699335308.db2.gz ZHHXOMWPRMUWEX-UHFFFAOYSA-N -1 1 311.338 1.706 20 0 DDADMM CC(C)(C)[C@H]1CCCC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727850252 699428283 /nfs/dbraw/zinc/42/82/83/699428283.db2.gz VHKIAQZQSJVFHL-QWHCGFSZSA-N -1 1 321.421 1.648 20 0 DDADMM NC(=O)C1(C(=O)Nc2nc(Br)ccc2[O-])CCC1 ZINC000790046499 699437428 /nfs/dbraw/zinc/43/74/28/699437428.db2.gz GRAXEBRQGHVMCV-UHFFFAOYSA-N -1 1 314.139 1.144 20 0 DDADMM CC[C@H](C)Oc1ccccc1C=CC(=O)NCc1nn[n-]n1 ZINC000732158329 699548462 /nfs/dbraw/zinc/54/84/62/699548462.db2.gz ZONWZESXQKYPAP-FBOQAHMBSA-N -1 1 301.350 1.707 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc(N2CCOC2=O)cc1 ZINC000732216433 699550778 /nfs/dbraw/zinc/55/07/78/699550778.db2.gz CHADRHZOUYGPNL-UHFFFAOYSA-N -1 1 323.330 1.442 20 0 DDADMM C[C@@H](NC(=O)c1cncc([O-])c1)c1ccc2c(c1)CC(=O)N2C ZINC000732286754 699554103 /nfs/dbraw/zinc/55/41/03/699554103.db2.gz WJVMDLANBZLAAK-SNVBAGLBSA-N -1 1 311.341 1.797 20 0 DDADMM Cc1nc(-c2ccc(OS(=O)(=O)c3c[n-]cn3)cc2)no1 ZINC000733899896 699622979 /nfs/dbraw/zinc/62/29/79/699622979.db2.gz MOSRFIGJAAGEMN-UHFFFAOYSA-N -1 1 306.303 1.536 20 0 DDADMM O=C(c1cc2cc(F)ccc2o1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000735469353 699694956 /nfs/dbraw/zinc/69/49/56/699694956.db2.gz FKFIPLNUDAZSTF-GFCCVEGCSA-N -1 1 317.280 1.299 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCNc2ccccc2)o1 ZINC000735826445 699704632 /nfs/dbraw/zinc/70/46/32/699704632.db2.gz UKBLOFSSFLLWHF-UHFFFAOYSA-N -1 1 323.374 1.030 20 0 DDADMM CCCc1nc(C)c(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)s1 ZINC000736494727 699726691 /nfs/dbraw/zinc/72/66/91/699726691.db2.gz FHMKXNHVKIYDAZ-SECBINFHSA-N -1 1 322.394 1.131 20 0 DDADMM CC(C)CCS(=O)(=O)[N-]C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000793143103 699735024 /nfs/dbraw/zinc/73/50/24/699735024.db2.gz SQXQVRHWXKTOEV-UHFFFAOYSA-N -1 1 322.390 1.577 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nc(CC(C)C)no2)[n-]1 ZINC000796346864 699928406 /nfs/dbraw/zinc/92/84/06/699928406.db2.gz VTLMELXPIBATPH-UHFFFAOYSA-N -1 1 307.306 1.740 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2cccc(C(=O)N(C)C)c2)[n-]1 ZINC000796765716 699951554 /nfs/dbraw/zinc/95/15/54/699951554.db2.gz ZAQCLAPZNZEYLK-UHFFFAOYSA-N -1 1 316.313 1.722 20 0 DDADMM CC(C)[C@H](OC(=O)c1nn(-c2ccc(F)cc2)cc1[O-])C(N)=O ZINC000801324762 700297126 /nfs/dbraw/zinc/29/71/26/700297126.db2.gz BYQKGSFNXQMNAS-ZDUSSCGKSA-N -1 1 321.308 1.384 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)O[C@@H]3CCOC3=O)n2)cc1 ZINC000801364408 700300989 /nfs/dbraw/zinc/30/09/89/700300989.db2.gz SPNWXGXPSRVRAJ-GFCCVEGCSA-N -1 1 318.285 1.059 20 0 DDADMM C[C@@H](OC(=O)c1nn(-c2ccc(Cl)cc2)cc1[O-])C(N)=O ZINC000801373372 700301636 /nfs/dbraw/zinc/30/16/36/700301636.db2.gz GXWPUXGOVFIDQZ-SSDOTTSWSA-N -1 1 309.709 1.262 20 0 DDADMM CC(C)c1ccc(-n2cc([O-])c(C(=O)O[C@@H](C)C(N)=O)n2)cc1 ZINC000801411291 700305338 /nfs/dbraw/zinc/30/53/38/700305338.db2.gz SPBHQDQGKCXUQW-JTQLQIEISA-N -1 1 317.345 1.732 20 0 DDADMM CCCN(C(=O)c1c([O-])cccc1Cl)[C@H]1CC(=O)N(C)C1=O ZINC000801508232 700315432 /nfs/dbraw/zinc/31/54/32/700315432.db2.gz SZMSEADKYAIDFZ-JTQLQIEISA-N -1 1 324.764 1.655 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C3=Cc4ccccc4OC3)ccnc1-2 ZINC000801701439 700332073 /nfs/dbraw/zinc/33/20/73/700332073.db2.gz NXHIFTNPXJLVLC-UHFFFAOYSA-N -1 1 306.325 1.756 20 0 DDADMM O=C(NCc1ccc(N2CCOC2=O)cc1)c1ncccc1[O-] ZINC000753466249 700436747 /nfs/dbraw/zinc/43/67/47/700436747.db2.gz CVGPMPQBCMDGIC-UHFFFAOYSA-N -1 1 313.313 1.674 20 0 DDADMM C[C@H]1COCCN(Cn2[n-]c(-c3ccccn3)nc2=S)C1 ZINC000753988197 700474202 /nfs/dbraw/zinc/47/42/02/700474202.db2.gz UYNADBVHBHGFFC-LLVKDONJSA-N -1 1 305.407 1.928 20 0 DDADMM O=C([N-]Cc1ccc2c(c1)C(=O)NCC2)C(F)(F)C(F)F ZINC000754580390 700511916 /nfs/dbraw/zinc/51/19/16/700511916.db2.gz SPGJSRFDYVFDAI-UHFFFAOYSA-N -1 1 304.243 1.489 20 0 DDADMM CC[C@@H](C)c1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000755342690 700562270 /nfs/dbraw/zinc/56/22/70/700562270.db2.gz CIUSVLBPLAUEBR-RISCZKNCSA-N -1 1 315.377 1.927 20 0 DDADMM O=C(NCC1CCS(=O)(=O)CC1)c1c([O-])cccc1Cl ZINC000756810387 700642150 /nfs/dbraw/zinc/64/21/50/700642150.db2.gz HFPCTWDCAJMQFB-UHFFFAOYSA-N -1 1 317.794 1.600 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCC[C@@H]1CCCCO1 ZINC000758762244 700722067 /nfs/dbraw/zinc/72/20/67/700722067.db2.gz DQNMYLHMACBXRZ-NSHDSACASA-N -1 1 314.407 1.647 20 0 DDADMM CC1(CC[N-]S(=O)(=O)c2cc3ccccc3o2)OCCO1 ZINC000759115655 700737859 /nfs/dbraw/zinc/73/78/59/700737859.db2.gz NKCOXYPCBWTEMZ-UHFFFAOYSA-N -1 1 311.359 1.864 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@@H](O)c1cccnc1 ZINC000763225189 700929769 /nfs/dbraw/zinc/92/97/69/700929769.db2.gz PODSJJZMJXCDFQ-HNNXBMFYSA-N -1 1 310.313 1.849 20 0 DDADMM Cc1nc2ccccc2c(C)c1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765414396 701010673 /nfs/dbraw/zinc/01/06/73/701010673.db2.gz TYLSZVMYZQDWCY-UHFFFAOYSA-N -1 1 312.329 1.630 20 0 DDADMM COc1ccccc1C(C)(C)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765458574 701013702 /nfs/dbraw/zinc/01/37/02/701013702.db2.gz GDJBCGDEEBZENG-UHFFFAOYSA-N -1 1 305.334 1.138 20 0 DDADMM COc1ccccc1/C(C)=C\C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765465916 701014064 /nfs/dbraw/zinc/01/40/64/701014064.db2.gz VKOQBCINCAZBRK-NTMALXAHSA-N -1 1 303.318 1.264 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccccc2OC2CCC2)nc1=O ZINC000765480011 701014875 /nfs/dbraw/zinc/01/48/75/701014875.db2.gz ORROUKOWJDXGEU-UHFFFAOYSA-N -1 1 303.318 1.397 20 0 DDADMM COc1cc(C=O)c(OS(=O)(=O)c2c[n-]cn2)cc1OC ZINC000768565109 701187046 /nfs/dbraw/zinc/18/70/46/701187046.db2.gz JVKYUTOPYJITMO-UHFFFAOYSA-N -1 1 312.303 1.007 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC000769984194 701263248 /nfs/dbraw/zinc/26/32/48/701263248.db2.gz QDFPTSIGZYHVLY-WDEREUQCSA-N -1 1 308.765 1.929 20 0 DDADMM CC(C)(CO)O[N-]C(=O)Cc1ccc(Br)cc1O ZINC000836806452 707520165 /nfs/dbraw/zinc/52/01/65/707520165.db2.gz VTYHDRLXWQFOHY-UHFFFAOYSA-N -1 1 318.167 1.516 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H](OCCO)C1 ZINC000771784726 701330416 /nfs/dbraw/zinc/33/04/16/701330416.db2.gz HKCNPXHHZUAYRM-GFCCVEGCSA-N -1 1 323.393 1.228 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)Nc1ccc(N(C)C)cc1 ZINC000805605657 701398302 /nfs/dbraw/zinc/39/83/02/701398302.db2.gz POSWLRXZWCTBMJ-SECBINFHSA-N -1 1 303.322 1.055 20 0 DDADMM CC(C)[C@@H](CNC(=O)COC(=O)c1cn[n-]n1)c1ccccc1 ZINC000805605886 701398389 /nfs/dbraw/zinc/39/83/89/701398389.db2.gz BZVYMCGVOCSPJK-CYBMUJFWSA-N -1 1 316.361 1.518 20 0 DDADMM CC(C)[C@H](CNC(=O)COC(=O)c1cn[n-]n1)c1ccccc1 ZINC000805605889 701398571 /nfs/dbraw/zinc/39/85/71/701398571.db2.gz BZVYMCGVOCSPJK-ZDUSSCGKSA-N -1 1 316.361 1.518 20 0 DDADMM O=C(OCc1nnc(-c2cccc(Cl)c2)o1)c1cn[n-]n1 ZINC000805605039 701398702 /nfs/dbraw/zinc/39/87/02/701398702.db2.gz HZEKXLKOSAPFOA-UHFFFAOYSA-N -1 1 305.681 1.865 20 0 DDADMM Cc1cc(=O)oc2cc(N(C)Cc3nc(=O)n(C)[n-]3)ccc12 ZINC000805770924 701408797 /nfs/dbraw/zinc/40/87/97/701408797.db2.gz NPCPELCAWHQIFX-UHFFFAOYSA-N -1 1 300.318 1.160 20 0 DDADMM CCN(C)C(=O)CN1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000805789104 701409566 /nfs/dbraw/zinc/40/95/66/701409566.db2.gz VLUHFYIURXSUOT-NHCYSSNCSA-N -1 1 323.359 1.242 20 0 DDADMM CN(OCc1ccccc1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806483327 701436938 /nfs/dbraw/zinc/43/69/38/701436938.db2.gz ZNLBGHMUBAXDBJ-UHFFFAOYSA-N -1 1 311.345 1.937 20 0 DDADMM C[C@H](C[C@@H]1CCOC1)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806484981 701437301 /nfs/dbraw/zinc/43/73/01/701437301.db2.gz SENNRRWFXFNYIA-MNOVXSKESA-N -1 1 303.366 1.278 20 0 DDADMM O=C(NC[C@@H]1CCCN1CC(F)(F)F)c1ncccc1[O-] ZINC000830949369 706608966 /nfs/dbraw/zinc/60/89/66/706608966.db2.gz VSRNGEZJBGBWGA-VIFPVBQESA-N -1 1 303.284 1.544 20 0 DDADMM C[C@H]1CC(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C[C@H](C)C1 ZINC000830960196 706611679 /nfs/dbraw/zinc/61/16/79/706611679.db2.gz YCDJFFFMGYZLAA-GHMZBOCLSA-N -1 1 319.405 1.943 20 0 DDADMM CC1(C)CC[C@@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000830960202 706611683 /nfs/dbraw/zinc/61/16/83/706611683.db2.gz YFPMCDLKYJHVMZ-SNVBAGLBSA-N -1 1 305.378 1.697 20 0 DDADMM O=S(=O)([N-][C@@H](c1cccnc1)C1CC1)c1c[nH]nc1Cl ZINC000830967479 706612848 /nfs/dbraw/zinc/61/28/48/706612848.db2.gz ULMAAMHOGRUHEZ-LLVKDONJSA-N -1 1 312.782 1.888 20 0 DDADMM CC/C=C(/F)C(=O)N1CCC(c2nc(C(=O)OCC)n[n-]2)CC1 ZINC000867844228 701789990 /nfs/dbraw/zinc/78/99/90/701789990.db2.gz VPGAJUYREBLPIW-VZUCSPMQSA-N -1 1 324.356 1.951 20 0 DDADMM COc1ccc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cc1 ZINC000830970002 706613383 /nfs/dbraw/zinc/61/33/83/706613383.db2.gz LOTVXYVRXOOXKI-UHFFFAOYSA-N -1 1 315.329 1.194 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@]23CCC[C@H]2OCC3)c1Cl ZINC000867887695 701812668 /nfs/dbraw/zinc/81/26/68/701812668.db2.gz VCFGESKFCOJVGU-KCJUWKMLSA-N -1 1 305.787 1.063 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)OC1CCCCC1 ZINC000867895936 701815157 /nfs/dbraw/zinc/81/51/57/701815157.db2.gz BIQUNLOWAMJIBZ-UHFFFAOYSA-N -1 1 307.350 1.814 20 0 DDADMM COC(=O)c1sc([N-]C(=O)c2cccc[n+]2[O-])nc1C(C)C ZINC000839786459 701825899 /nfs/dbraw/zinc/82/58/99/701825899.db2.gz DMQLGGNXTGXNFZ-UHFFFAOYSA-N -1 1 321.358 1.939 20 0 DDADMM CC(C)(O)c1cnc(NC(=O)C(=O)c2ccc([O-])cc2)s1 ZINC000811619019 702017545 /nfs/dbraw/zinc/01/75/45/702017545.db2.gz NCUFYTXHHPNZEK-UHFFFAOYSA-N -1 1 306.343 1.898 20 0 DDADMM C[C@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CCCC1 ZINC000868254160 702024299 /nfs/dbraw/zinc/02/42/99/702024299.db2.gz USKKRYVPPUBCRJ-JTQLQIEISA-N -1 1 320.393 1.633 20 0 DDADMM O=C(NCCCC1CC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868275929 702036463 /nfs/dbraw/zinc/03/64/63/702036463.db2.gz KPEOUHCJDPOOAG-UHFFFAOYSA-N -1 1 306.366 1.244 20 0 DDADMM O=C(Cc1ccc(O)cc1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831138808 706642227 /nfs/dbraw/zinc/64/22/27/706642227.db2.gz APROJFTWJMCRBK-UHFFFAOYSA-N -1 1 316.279 1.072 20 0 DDADMM C[C@@H](C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)c1ccsc1 ZINC000831144031 706643749 /nfs/dbraw/zinc/64/37/49/706643749.db2.gz BXYUYJVTCMSIOC-MRVPVSSYSA-N -1 1 320.336 1.989 20 0 DDADMM Cc1ccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cc1O ZINC000831153696 706645514 /nfs/dbraw/zinc/64/55/14/706645514.db2.gz ALKMBEKKBSPGQT-UHFFFAOYSA-N -1 1 316.279 1.451 20 0 DDADMM CCn1ncn([N-]C(=O)c2oc3c(cccc3C)c2C)c1=O ZINC000816735609 702170517 /nfs/dbraw/zinc/17/05/17/702170517.db2.gz OPNFBRZFLQHBIE-UHFFFAOYSA-N -1 1 300.318 1.812 20 0 DDADMM O=S(=O)([N-]Cc1nnc(C2CC2)s1)c1c[nH]nc1Cl ZINC000841566830 702500602 /nfs/dbraw/zinc/50/06/02/702500602.db2.gz KXUYYPIXRJJDQV-UHFFFAOYSA-N -1 1 319.799 1.271 20 0 DDADMM O=C(/C=C\c1ccc[nH]1)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869479209 702620415 /nfs/dbraw/zinc/62/04/15/702620415.db2.gz PYMNFOISNWOFOZ-ARJAWSKDSA-N -1 1 318.251 1.209 20 0 DDADMM C[C@]1(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)CC=CCC1 ZINC000869533256 702638330 /nfs/dbraw/zinc/63/83/30/702638330.db2.gz RNRCVFGVHJTIMO-ZDUSSCGKSA-N -1 1 321.295 1.914 20 0 DDADMM Cc1ccc(SCC[N-]S(=O)(=O)N=S(C)(C)=O)cc1 ZINC000866424116 706695617 /nfs/dbraw/zinc/69/56/17/706695617.db2.gz DZJADUMBAXMAAB-UHFFFAOYSA-N -1 1 322.477 1.649 20 0 DDADMM O=C([C@@H]1CCCC[C@@H]1C1CC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000843792345 702924744 /nfs/dbraw/zinc/92/47/44/702924744.db2.gz QZEBZGINTWPVHJ-JHJVBQTASA-N -1 1 305.382 1.316 20 0 DDADMM O=C(/C=C\C1CCCCCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000845462896 703159894 /nfs/dbraw/zinc/15/98/94/703159894.db2.gz LZKHSYPPLQLPLE-WSROAFLRSA-N -1 1 305.382 1.626 20 0 DDADMM COc1cc(F)c(OS(=O)(=O)c2c[n-]nc2C)cc1OC ZINC000845979199 703222726 /nfs/dbraw/zinc/22/27/26/703222726.db2.gz CNMLIAJFSVHDQW-UHFFFAOYSA-N -1 1 316.310 1.642 20 0 DDADMM CCC[C@@H](C)[C@H](CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000846360095 703268962 /nfs/dbraw/zinc/26/89/62/703268962.db2.gz KWCGLKROLJHORA-KOLCDFICSA-N -1 1 308.407 1.545 20 0 DDADMM COC(C)(C)CC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848339914 703540847 /nfs/dbraw/zinc/54/08/47/703540847.db2.gz IELAHSOZXBKLAA-NXEZZACHSA-N -1 1 324.343 1.860 20 0 DDADMM Cn1[n-]c(COC(=O)C[C@H]2OCc3cc(Cl)ccc32)nc1=O ZINC000848807145 703591286 /nfs/dbraw/zinc/59/12/86/703591286.db2.gz QZVOWBPZNBFSSD-LLVKDONJSA-N -1 1 323.736 1.467 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]CC(=O)OC(C)C)C1CCCC1 ZINC000849373845 703640910 /nfs/dbraw/zinc/64/09/10/703640910.db2.gz JHKZKWUVLSOIIH-GFCCVEGCSA-N -1 1 307.412 1.063 20 0 DDADMM CN(C)C(=O)OCC[N-]S(=O)(=O)c1sccc1Cl ZINC000849446435 703648678 /nfs/dbraw/zinc/64/86/78/703648678.db2.gz SDKBOMDOABHQLA-UHFFFAOYSA-N -1 1 312.800 1.378 20 0 DDADMM CCCS(=O)(=O)CCSc1nc(CC)c(C)c(=O)[n-]1 ZINC000849920921 703689408 /nfs/dbraw/zinc/68/94/08/703689408.db2.gz HBHTUDTURVSFRP-UHFFFAOYSA-N -1 1 304.437 1.970 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCOC[C@H]3C3CCC3)ccnc1-2 ZINC000831748659 706769763 /nfs/dbraw/zinc/76/97/63/706769763.db2.gz JAUJVJVHXXMZKD-AWEZNQCLSA-N -1 1 315.377 1.375 20 0 DDADMM O=C([C@@H](O)C1CC1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870162457 703939790 /nfs/dbraw/zinc/93/97/90/703939790.db2.gz NSEATQPYYGHQJD-HNNXBMFYSA-N -1 1 324.808 1.461 20 0 DDADMM CCS(=O)(=O)C1CN(Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000852142242 703942956 /nfs/dbraw/zinc/94/29/56/703942956.db2.gz SIYOUDJIIOBDSR-UHFFFAOYSA-N -1 1 323.370 1.118 20 0 DDADMM C[C@@H]1CCN(CC(=O)NC(C)(C)C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852759806 704117450 /nfs/dbraw/zinc/11/74/50/704117450.db2.gz VPZIVBQZSBRUNF-ZJUUUORDSA-N -1 1 323.359 1.290 20 0 DDADMM CNc1nc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cs1 ZINC000819969977 704194707 /nfs/dbraw/zinc/19/47/07/704194707.db2.gz IYZCGRWEHVJTBE-ZETCQYMHSA-N -1 1 309.351 1.293 20 0 DDADMM CC1(C)C[C@](C)([N-]S(=O)(=O)c2cc(F)ccc2F)C(=O)O1 ZINC000820734577 704325290 /nfs/dbraw/zinc/32/52/90/704325290.db2.gz HFXUSMKUNVMAER-ZDUSSCGKSA-N -1 1 319.329 1.727 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCO[C@H](C(C)C)C1 ZINC000867053151 706883009 /nfs/dbraw/zinc/88/30/09/706883009.db2.gz ZYRJAHUITZDFMH-FHGNATFXSA-N -1 1 312.457 1.142 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCCc2cn[nH]c2)c1 ZINC000821211697 704381446 /nfs/dbraw/zinc/38/14/46/704381446.db2.gz VGJKXVLPVJMQFF-QFIPXVFZSA-N -1 1 322.386 1.702 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccccc1N1CCOCC1 ZINC000854635155 704430663 /nfs/dbraw/zinc/43/06/63/704430663.db2.gz NXGIUIHBPZZKBJ-UHFFFAOYSA-N -1 1 302.334 1.513 20 0 DDADMM Cn1ccnc1[C@H]([N-]C(=O)C(F)(F)C(F)F)C(C)(C)CO ZINC000855111294 704469262 /nfs/dbraw/zinc/46/92/62/704469262.db2.gz AFAODYZZNIPNIE-ZETCQYMHSA-N -1 1 311.279 1.496 20 0 DDADMM CCC[C@@](C)(O)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417865 704528156 /nfs/dbraw/zinc/52/81/56/704528156.db2.gz LQCYKYQCMUWPPZ-GBIKHYSHSA-N -1 1 324.343 1.453 20 0 DDADMM CSCCC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856419992 704528270 /nfs/dbraw/zinc/52/82/70/704528270.db2.gz PFPSAUMQOMHHMG-BDAKNGLRSA-N -1 1 312.357 1.655 20 0 DDADMM CC[C@H](CCO)[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866857901 706827459 /nfs/dbraw/zinc/82/74/59/706827459.db2.gz UVKBRXBZAYICNQ-MRVPVSSYSA-N -1 1 313.206 1.828 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3(F)CCCC3)nc2n1 ZINC000857684095 704613349 /nfs/dbraw/zinc/61/33/49/704613349.db2.gz JIJYGZFFYJXXFS-UHFFFAOYSA-N -1 1 307.329 1.591 20 0 DDADMM CCOC(=O)N1CCC(Nc2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858317065 704693511 /nfs/dbraw/zinc/69/35/11/704693511.db2.gz GJVCSWNQURFUJT-UHFFFAOYSA-N -1 1 300.746 1.868 20 0 DDADMM CCO[C@@H]1C[C@@](O)(CNc2cc(Cl)[n-]c(=O)n2)C1(C)C ZINC000858512821 704720758 /nfs/dbraw/zinc/72/07/58/704720758.db2.gz DLYNCNKZJVLONH-AMIZOPFISA-N -1 1 301.774 1.814 20 0 DDADMM CN(C)C(=O)O[C@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858558082 704726857 /nfs/dbraw/zinc/72/68/57/704726857.db2.gz HGOAHYWLWCGDCW-QMMMGPOBSA-N -1 1 300.746 1.503 20 0 DDADMM Cc1ccccc1C[C@H](C)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000859278925 704846214 /nfs/dbraw/zinc/84/62/14/704846214.db2.gz XEEGJCBXTJBAEV-JSGCOSHPSA-N -1 1 315.377 1.287 20 0 DDADMM CC1(C(=O)C[N-]S(=O)(=O)c2c[nH]nc2Cl)CCCC1 ZINC000859354830 704875399 /nfs/dbraw/zinc/87/53/99/704875399.db2.gz DMYKLAIKDKODBX-UHFFFAOYSA-N -1 1 305.787 1.491 20 0 DDADMM COCC1(C[N-]S(=O)(=O)N=S2(=O)CCCC2)CCCC1 ZINC000867370739 706978066 /nfs/dbraw/zinc/97/80/66/706978066.db2.gz AUCOXARMVVDXDR-UHFFFAOYSA-N -1 1 324.468 1.289 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(Cc2c(Cl)nc3ccccn32)C1 ZINC000874042382 704924285 /nfs/dbraw/zinc/92/42/85/704924285.db2.gz DTGQKIRDMOPBBY-HNNXBMFYSA-N -1 1 323.780 1.911 20 0 DDADMM CC(C)[C@@H]1C[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867385502 706983423 /nfs/dbraw/zinc/98/34/23/706983423.db2.gz IQUJIFZVOKESKK-NKWVEPMBSA-N -1 1 308.201 1.495 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CS[C@H](C)C1 ZINC000867401294 706988615 /nfs/dbraw/zinc/98/86/15/706988615.db2.gz HMEHFKDFGZTABY-BDAKNGLRSA-N -1 1 300.471 1.223 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000867404573 706989813 /nfs/dbraw/zinc/98/98/13/706989813.db2.gz RIBCRBZNWJGTSP-MXWKQRLJSA-N -1 1 312.457 1.142 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCC[C@@H]2C=CCC2)co1 ZINC000834331172 707031704 /nfs/dbraw/zinc/03/17/04/707031704.db2.gz RSTLULPJSIPQOS-LLVKDONJSA-N -1 1 312.391 1.664 20 0 DDADMM C[C@H]1CN(c2ccccc2CN2CC[C@@](F)(C(=O)[O-])C2)CCO1 ZINC000859809928 705011104 /nfs/dbraw/zinc/01/11/04/705011104.db2.gz AEXQXQXUAAGTPW-GUYCJALGSA-N -1 1 322.380 1.910 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCc2ccccc2OC1 ZINC000822745040 705025432 /nfs/dbraw/zinc/02/54/32/705025432.db2.gz DGJXUMNHOBUPNY-LBPRGKRZSA-N -1 1 301.350 1.032 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H](C(F)(F)F)O1 ZINC000874562674 705106253 /nfs/dbraw/zinc/10/62/53/705106253.db2.gz IODAUGBSKLQNEX-PSASIEDQSA-N -1 1 304.268 1.969 20 0 DDADMM CCC[C@@H]1C[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867595752 707049953 /nfs/dbraw/zinc/04/99/53/707049953.db2.gz BXKOSVMHOMTJEU-RNFRBKRXSA-N -1 1 308.201 1.639 20 0 DDADMM COc1ccc(C[C@](C)(CO)NC(=O)c2ncccc2[O-])cc1 ZINC000874739013 705158334 /nfs/dbraw/zinc/15/83/34/705158334.db2.gz TXVNQQZQQBXPAP-QGZVFWFLSA-N -1 1 316.357 1.519 20 0 DDADMM O=C(Nc1c([O-])cccc1F)[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2 ZINC000860441645 705188100 /nfs/dbraw/zinc/18/81/00/705188100.db2.gz OTEKNZGKRLBHPA-YYHMBLRTSA-N -1 1 307.277 1.190 20 0 DDADMM O=C([O-])C[C@]1(NC(=O)c2[nH]nc3c2CCCC3)CCCOC1 ZINC000823344663 705213945 /nfs/dbraw/zinc/21/39/45/705213945.db2.gz HTQGCXDNKKCDCZ-OAHLLOKOSA-N -1 1 307.350 1.042 20 0 DDADMM Cn1cc(N2C[C@H](C(=O)[N-]OC3CCCCC3)CC2=O)cn1 ZINC000860997238 705344134 /nfs/dbraw/zinc/34/41/34/705344134.db2.gz YARVGAIADGLEOM-LLVKDONJSA-N -1 1 306.366 1.154 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@H]4CC[C@@H](C3)S4)ccnc1-2 ZINC000861025881 705352437 /nfs/dbraw/zinc/35/24/37/705352437.db2.gz UAQWQFNWTPCVEZ-MNOVXSKESA-N -1 1 317.418 1.844 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@@H]2CC23CC(OCC)C3)[n-]1 ZINC000875680320 705475352 /nfs/dbraw/zinc/47/53/52/705475352.db2.gz RUEMKQZGPARVQM-VOZQYIJBSA-N -1 1 307.350 1.730 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CC23CC(OCC)C3)n1 ZINC000875680320 705475355 /nfs/dbraw/zinc/47/53/55/705475355.db2.gz RUEMKQZGPARVQM-VOZQYIJBSA-N -1 1 307.350 1.730 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C3CC3)nn2C)cc(=O)[n-]1 ZINC000824602868 705491608 /nfs/dbraw/zinc/49/16/08/705491608.db2.gz MFJXXGIPQOXJLN-UHFFFAOYSA-N -1 1 319.390 1.445 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)[C@H]2CCCC[C@@H]12 ZINC000824978106 705571203 /nfs/dbraw/zinc/57/12/03/705571203.db2.gz UEYWGOHURDOQRL-OSMZGAPFSA-N -1 1 312.377 1.912 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)[C@H]2CCCC[C@@H]12 ZINC000824978106 705571209 /nfs/dbraw/zinc/57/12/09/705571209.db2.gz UEYWGOHURDOQRL-OSMZGAPFSA-N -1 1 312.377 1.912 20 0 DDADMM Cc1ccccc1[C@H]1CN(C(=O)CCCc2nn[n-]n2)CCN1 ZINC000825154691 705611539 /nfs/dbraw/zinc/61/15/39/705611539.db2.gz XGMXIBFADWYUGZ-CQSZACIVSA-N -1 1 314.393 1.004 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876299189 705683107 /nfs/dbraw/zinc/68/31/07/705683107.db2.gz KNVRDWSOJFKELZ-LLVKDONJSA-N -1 1 320.393 1.729 20 0 DDADMM C[C@@H]1CN(C(=O)NCc2ccc([O-])c(Cl)c2)C[C@@H](CO)O1 ZINC000876395567 705707959 /nfs/dbraw/zinc/70/79/59/705707959.db2.gz LSPLXVJLEHBWIL-KOLCDFICSA-N -1 1 314.769 1.337 20 0 DDADMM CCSCC[C@H](C)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825675189 705712855 /nfs/dbraw/zinc/71/28/55/705712855.db2.gz ZGYODYIDQBXBBI-QMMMGPOBSA-N -1 1 324.410 1.354 20 0 DDADMM CCSCC[C@H](C)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825675189 705712857 /nfs/dbraw/zinc/71/28/57/705712857.db2.gz ZGYODYIDQBXBBI-QMMMGPOBSA-N -1 1 324.410 1.354 20 0 DDADMM CCc1ccccc1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825722739 705722333 /nfs/dbraw/zinc/72/23/33/705722333.db2.gz LNBZTQNEOOKHBK-UHFFFAOYSA-N -1 1 312.333 1.907 20 0 DDADMM CCc1ccccc1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825722739 705722340 /nfs/dbraw/zinc/72/23/40/705722340.db2.gz LNBZTQNEOOKHBK-UHFFFAOYSA-N -1 1 312.333 1.907 20 0 DDADMM CCON(CC)C(=O)CNC(=O)c1ccc(Cl)cc1[O-] ZINC000862617675 705752989 /nfs/dbraw/zinc/75/29/89/705752989.db2.gz MFNNICYWDGGLLW-UHFFFAOYSA-N -1 1 300.742 1.575 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(O)c(C)c2)c1-c1nnn[n-]1 ZINC000826270110 705786582 /nfs/dbraw/zinc/78/65/82/705786582.db2.gz LLQOYBLRUYPTEV-UHFFFAOYSA-N -1 1 314.305 1.358 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(O)c(C)c2)c1-c1nn[n-]n1 ZINC000826270110 705786586 /nfs/dbraw/zinc/78/65/86/705786586.db2.gz LLQOYBLRUYPTEV-UHFFFAOYSA-N -1 1 314.305 1.358 20 0 DDADMM Cc1cnc([C@H](C)NC(=O)c2ccc(-c3nnn[n-]3)s2)o1 ZINC000826318007 705790590 /nfs/dbraw/zinc/79/05/90/705790590.db2.gz RZKPDUVIJRJQDU-ZETCQYMHSA-N -1 1 304.335 1.716 20 0 DDADMM Cc1cnc([C@H](C)NC(=O)c2ccc(-c3nn[n-]n3)s2)o1 ZINC000826318007 705790594 /nfs/dbraw/zinc/79/05/94/705790594.db2.gz RZKPDUVIJRJQDU-ZETCQYMHSA-N -1 1 304.335 1.716 20 0 DDADMM Cc1onc(CC(=O)N(C)CC2CCCC2)c1-c1nnn[n-]1 ZINC000826342919 705792957 /nfs/dbraw/zinc/79/29/57/705792957.db2.gz FPYQGGVWKHOPSG-UHFFFAOYSA-N -1 1 304.354 1.354 20 0 DDADMM Cc1onc(CC(=O)N(C)CC2CCCC2)c1-c1nn[n-]n1 ZINC000826342919 705792961 /nfs/dbraw/zinc/79/29/61/705792961.db2.gz FPYQGGVWKHOPSG-UHFFFAOYSA-N -1 1 304.354 1.354 20 0 DDADMM Cc1oc(NC(=O)CN2CC=CCC2)c(-c2nn[n-]n2)c1C ZINC000826341280 705793168 /nfs/dbraw/zinc/79/31/68/705793168.db2.gz CBVKAVUCFPERKK-UHFFFAOYSA-N -1 1 302.338 1.277 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CC3CCC2CC3)c1-c1nnn[n-]1 ZINC000826346499 705794807 /nfs/dbraw/zinc/79/48/07/705794807.db2.gz NWHDVDKVNBTLLT-VQXHTEKXSA-N -1 1 316.365 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CC3CCC2CC3)c1-c1nn[n-]n1 ZINC000826346499 705794808 /nfs/dbraw/zinc/79/48/08/705794808.db2.gz NWHDVDKVNBTLLT-VQXHTEKXSA-N -1 1 316.365 1.401 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc3c[nH]nc3c2)c1-c1nnn[n-]1 ZINC000826348983 705795272 /nfs/dbraw/zinc/79/52/72/705795272.db2.gz OUXPXLGVIKNTAF-UHFFFAOYSA-N -1 1 324.304 1.221 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc3c[nH]nc3c2)c1-c1nn[n-]n1 ZINC000826348983 705795273 /nfs/dbraw/zinc/79/52/73/705795273.db2.gz OUXPXLGVIKNTAF-UHFFFAOYSA-N -1 1 324.304 1.221 20 0 DDADMM CC1=C(C(=O)Nc2cccc(F)c2[O-])[C@H](C)n2nnnc2N1C ZINC000863008552 705849296 /nfs/dbraw/zinc/84/92/96/705849296.db2.gz WHWZRTMESSZQAP-QMMMGPOBSA-N -1 1 318.312 1.441 20 0 DDADMM CCOC(=O)C[C@@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000826938207 705895088 /nfs/dbraw/zinc/89/50/88/705895088.db2.gz SXBIEIHQPTXAMI-MRVPVSSYSA-N -1 1 308.363 1.090 20 0 DDADMM CC(C)(O)C1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000827769026 706064725 /nfs/dbraw/zinc/06/47/25/706064725.db2.gz WLQXEUXHUJUKDD-UHFFFAOYSA-N -1 1 320.418 1.641 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N[C@H]3C[C@@H](CO)C3)c2)o1 ZINC000827864927 706078933 /nfs/dbraw/zinc/07/89/33/706078933.db2.gz QEBYAJWLIYLNQI-KLPPZKSPSA-N -1 1 315.325 1.965 20 0 DDADMM CC1(C)[C@@H](CS(=O)(=O)[N-]Cc2nc(C3CC3)no2)C1(F)F ZINC000881800512 707370015 /nfs/dbraw/zinc/37/00/15/707370015.db2.gz ZVVGIQHUMSSLNQ-MRVPVSSYSA-N -1 1 321.349 1.658 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)N=[S@](C)(=O)C2CCCCC2)CCOC1 ZINC000882017967 707467671 /nfs/dbraw/zinc/46/76/71/707467671.db2.gz MHNYGOJPMVRLAK-CWTRNNRKSA-N -1 1 324.468 1.430 20 0 DDADMM CCCc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)s1 ZINC000865595883 706472906 /nfs/dbraw/zinc/47/29/06/706472906.db2.gz HOFUFSXRPJDHMP-SNVBAGLBSA-N -1 1 307.379 1.427 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC[C@H]1CC[C@@H](C(F)(F)F)O1 ZINC000830442718 706518484 /nfs/dbraw/zinc/51/84/84/706518484.db2.gz KNCFNQOKSAVMIU-BDAKNGLRSA-N -1 1 321.303 1.139 20 0 DDADMM CC(C)CC(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000830670889 706558318 /nfs/dbraw/zinc/55/83/18/706558318.db2.gz QJFATYFXBKBLCM-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM CC[C@@H](SC)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830817100 706585584 /nfs/dbraw/zinc/58/55/84/706585584.db2.gz XUYQJJFZQZZUFJ-KCJUWKMLSA-N -1 1 312.357 1.798 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CC23CCC3)C1 ZINC000830816447 706585663 /nfs/dbraw/zinc/58/56/63/706585663.db2.gz PDYKVRRJWQKXGL-BXKDBHETSA-N -1 1 304.312 1.846 20 0 DDADMM CC(C)CO[C@H](C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827584 706588365 /nfs/dbraw/zinc/58/83/65/706588365.db2.gz MUDSPXATNWJYEK-ZWNOBZJWSA-N -1 1 324.343 1.717 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C(=O)OC ZINC000867108025 706898494 /nfs/dbraw/zinc/89/84/94/706898494.db2.gz XFCJQJFXUSVZLM-MRVPVSSYSA-N -1 1 322.333 1.370 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3C[C@@H](F)C[C@H]3C(=O)[O-])[nH]c2c1 ZINC000909010816 712915761 /nfs/dbraw/zinc/91/57/61/712915761.db2.gz XUOQEZJTDVTSPG-CABZTGNLSA-N -1 1 320.324 1.578 20 0 DDADMM CC(=O)C1([N-]S(=O)(=O)c2ccc(Cl)nc2Cl)CC1 ZINC000867396741 706986588 /nfs/dbraw/zinc/98/65/88/706986588.db2.gz ZUHIWFIWOMCMOG-UHFFFAOYSA-N -1 1 309.174 1.788 20 0 DDADMM C[C@H]1c2ccccc2C[C@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000867507084 707022235 /nfs/dbraw/zinc/02/22/35/707022235.db2.gz FEDRDDYWGIBOPU-JOYOIKCWSA-N -1 1 302.421 1.277 20 0 DDADMM CCO[C@H]1C[C@H]([N-]S(=O)(=O)c2nc[nH]c2Br)C1 ZINC000867509574 707023261 /nfs/dbraw/zinc/02/32/61/707023261.db2.gz XUJXGJAVFDQCOH-LJGSYFOKSA-N -1 1 324.200 1.018 20 0 DDADMM CCCO[N-]C(=O)C(=O)Nc1cccc2c1OCC[C@@H]2N(C)C ZINC000834356776 707036372 /nfs/dbraw/zinc/03/63/72/707036372.db2.gz RWWVFIWVRDPHLV-ZDUSSCGKSA-N -1 1 321.377 1.468 20 0 DDADMM NC(=O)[C@H]1CCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000909050385 712926034 /nfs/dbraw/zinc/92/60/34/712926034.db2.gz ISEDKZABUDPQBW-SNVBAGLBSA-N -1 1 303.293 1.169 20 0 DDADMM CCOC(=O)[C@@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000834847626 707137824 /nfs/dbraw/zinc/13/78/24/707137824.db2.gz QKUDKHJCFZVEKE-LLVKDONJSA-N -1 1 311.765 2.000 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C1=CC[C@@H](C)CC1 ZINC000835292193 707215064 /nfs/dbraw/zinc/21/50/64/707215064.db2.gz FODNEJUTTGGEPH-SNVBAGLBSA-N -1 1 316.361 1.558 20 0 DDADMM CO[C@@H]1CN(C[C@@H]2CC3(CO2)CCOCC3)[C@@](C)(C(=O)[O-])C1 ZINC000872160229 707309235 /nfs/dbraw/zinc/30/92/35/707309235.db2.gz BGFXQPQACYJYDF-KCQAQPDRSA-N -1 1 313.394 1.136 20 0 DDADMM CCN(CC(=O)Nc1ccc(OCC(=O)[O-])cc1C)C1CC1 ZINC000909161638 712950338 /nfs/dbraw/zinc/95/03/38/712950338.db2.gz OEGDSTCRCGPHFP-UHFFFAOYSA-N -1 1 306.362 1.881 20 0 DDADMM CO[C@@H]1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1(C)C ZINC000836575426 707483218 /nfs/dbraw/zinc/48/32/18/707483218.db2.gz PWAZGCQGNZHFSW-CYBMUJFWSA-N -1 1 317.393 1.621 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-][C@@]1(C)CC(C)(C)OC1=O ZINC000882223452 707546001 /nfs/dbraw/zinc/54/60/01/707546001.db2.gz MIGPFOYRXBJRFD-RISCZKNCSA-N -1 1 321.439 1.451 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)[N-]O[C@@H](CO)C(C)C)CC2=O)cc1 ZINC000836906073 707548580 /nfs/dbraw/zinc/54/85/80/707548580.db2.gz NOFNHJGFBNQRPQ-HIFRSBDPSA-N -1 1 320.389 1.413 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)CC=C(Cl)Cl ZINC000882258492 707561268 /nfs/dbraw/zinc/56/12/68/707561268.db2.gz RVLLTIVRSRBTIV-MRVPVSSYSA-N -1 1 316.206 1.567 20 0 DDADMM Cn1nccc1CCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872969587 707676312 /nfs/dbraw/zinc/67/63/12/707676312.db2.gz KCCFDTZKKHLFSC-UHFFFAOYSA-N -1 1 308.769 1.821 20 0 DDADMM CCOC(=O)[C@@H](F)[C@H]1CCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000882570583 707703509 /nfs/dbraw/zinc/70/35/09/707703509.db2.gz FNKPEEXGHSNINV-XVKPBYJWSA-N -1 1 303.721 1.563 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CC1(C)CC(F)(F)C1 ZINC000882571869 707704139 /nfs/dbraw/zinc/70/41/39/707704139.db2.gz GGQTWGDLTCHVTO-KRWDZBQOSA-N -1 1 304.384 1.374 20 0 DDADMM O=C(NC1(C(F)(F)F)CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000837679458 707714483 /nfs/dbraw/zinc/71/44/83/707714483.db2.gz WNHZRVMVNWICSW-UHFFFAOYSA-N -1 1 302.281 1.843 20 0 DDADMM O=C(/C=C\[C@@H]1CCOC1)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000838051943 707821822 /nfs/dbraw/zinc/82/18/22/707821822.db2.gz UDBQMXIZOQFPSL-ZHRWSRJISA-N -1 1 303.314 1.079 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)Cc2cn(C)nc2C)c1 ZINC000838106336 707841737 /nfs/dbraw/zinc/84/17/37/707841737.db2.gz OYCOKFUPHCKAIM-UHFFFAOYSA-N -1 1 316.357 1.965 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1C[C@@H](O)CC2(CCOCC2)C1 ZINC000882960711 707873929 /nfs/dbraw/zinc/87/39/29/707873929.db2.gz SVRQVEOEGPQATC-LBPRGKRZSA-N -1 1 309.337 1.535 20 0 DDADMM O=C([O-])c1ccccc1OCCN1CCC[C@H]2CCNC(=O)[C@@H]21 ZINC000883412989 707995021 /nfs/dbraw/zinc/99/50/21/707995021.db2.gz WGZRMSVVFUAKIA-SWLSCSKDSA-N -1 1 318.373 1.364 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1cccc(C(N)=O)c1 ZINC000883957152 708086620 /nfs/dbraw/zinc/08/66/20/708086620.db2.gz RGBWJAPARDUGSF-UHFFFAOYSA-N -1 1 314.345 1.965 20 0 DDADMM CNC(=O)[C@H](C)CN(C)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896833054 708159128 /nfs/dbraw/zinc/15/91/28/708159128.db2.gz VFBSBXZTQDUIQH-SNVBAGLBSA-N -1 1 316.357 1.705 20 0 DDADMM COc1cnc([C@H]2CCCN2c2ncnc3[nH]ccc32)[n-]c1=O ZINC000897224806 708261149 /nfs/dbraw/zinc/26/11/49/708261149.db2.gz UUSGJNYCPWPBJR-SNVBAGLBSA-N -1 1 312.333 1.804 20 0 DDADMM COc1ccc(C)cc1CC[N-]S(=O)(=O)c1ccns1 ZINC000884895998 708410956 /nfs/dbraw/zinc/41/09/56/708410956.db2.gz RPMZHAIKXHFYEE-UHFFFAOYSA-N -1 1 312.416 1.981 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2ccns2)CCCCC1 ZINC000884905064 708414676 /nfs/dbraw/zinc/41/46/76/708414676.db2.gz DTNQVHWRVLHGFE-UHFFFAOYSA-N -1 1 304.393 1.297 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccns1)C(=O)N1CCCC[C@H]1C ZINC000884996848 708437720 /nfs/dbraw/zinc/43/77/20/708437720.db2.gz BZRFCCVALHFEJP-ZJUUUORDSA-N -1 1 317.436 1.211 20 0 DDADMM C[C@H](CN(C(=O)c1cc(-c2ccn(C)c2)[nH]n1)C1CC1)C(=O)[O-] ZINC000909457558 713023299 /nfs/dbraw/zinc/02/32/99/713023299.db2.gz ODQLPGRZWLJHJC-SNVBAGLBSA-N -1 1 316.361 1.741 20 0 DDADMM Cc1cnc([C@H](C)C[N-]S(=O)(=O)c2ccns2)s1 ZINC000885105574 708474309 /nfs/dbraw/zinc/47/43/09/708474309.db2.gz WYLPPNPSBVPBGS-SSDOTTSWSA-N -1 1 303.434 1.990 20 0 DDADMM CC(=O)c1cn(C2CN(Cc3cccc([O-])c3Cl)C2)nn1 ZINC000897940535 708500320 /nfs/dbraw/zinc/50/03/20/708500320.db2.gz PMPLYZVPUAWKBY-UHFFFAOYSA-N -1 1 306.753 1.897 20 0 DDADMM CC(C)[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)c1cnn(C)c1 ZINC000885412981 708538507 /nfs/dbraw/zinc/53/85/07/708538507.db2.gz YPJQSIWYSBWQOU-CYBMUJFWSA-N -1 1 315.377 1.920 20 0 DDADMM CO[C@H](Cc1ccccc1)CS(=O)(=O)[N-]C(CF)CF ZINC000885413787 708539002 /nfs/dbraw/zinc/53/90/02/708539002.db2.gz MCMNAMXRPRJUMY-CYBMUJFWSA-N -1 1 307.362 1.471 20 0 DDADMM COC(=O)C(C)(C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898124278 708549449 /nfs/dbraw/zinc/54/94/49/708549449.db2.gz JAPFAESDLLWUEZ-UHFFFAOYSA-N -1 1 303.314 1.932 20 0 DDADMM CCOCCOCCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000885748823 708616536 /nfs/dbraw/zinc/61/65/36/708616536.db2.gz DDSPCKLGRNBEND-UHFFFAOYSA-N -1 1 301.770 1.757 20 0 DDADMM O=C(CCc1ncccn1)NCCc1c(F)cc([O-])cc1F ZINC000886268313 708733108 /nfs/dbraw/zinc/73/31/08/708733108.db2.gz LLYNFNGAVFTXBB-UHFFFAOYSA-N -1 1 307.300 1.752 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886269877 708733444 /nfs/dbraw/zinc/73/34/44/708733444.db2.gz KIVUELXRHXRRLS-JTQLQIEISA-N -1 1 323.343 1.941 20 0 DDADMM CCc1cc(C(=O)NCCc2c(F)cc([O-])cc2F)n(C)n1 ZINC000886271661 708734033 /nfs/dbraw/zinc/73/40/33/708734033.db2.gz QSCGHUFZQWYUAI-UHFFFAOYSA-N -1 1 309.316 1.939 20 0 DDADMM C[C@](O)(CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C(F)F ZINC000886310460 708740345 /nfs/dbraw/zinc/74/03/45/708740345.db2.gz LVKCWPFIVFTOEK-ZJUUUORDSA-N -1 1 304.259 1.145 20 0 DDADMM CN(C(=O)c1c2c(sc1-n1cccc1)CCC2)c1nn[n-]n1 ZINC000912618443 713053104 /nfs/dbraw/zinc/05/31/04/713053104.db2.gz UOMINOBORXSVME-UHFFFAOYSA-N -1 1 314.374 1.817 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C1(c2cccc(F)c2)CCC1 ZINC000898758809 708857301 /nfs/dbraw/zinc/85/73/01/708857301.db2.gz ZOHBJMRSWCMDHC-UHFFFAOYSA-N -1 1 317.320 1.765 20 0 DDADMM Cc1cc2occ(C(=O)[N-]N3C(=O)[C@@H](C)N(C)C3=O)c2cc1C ZINC000899035647 708961089 /nfs/dbraw/zinc/96/10/89/708961089.db2.gz MISPRIYYHKHSPO-SNVBAGLBSA-N -1 1 315.329 1.977 20 0 DDADMM CCc1ccc2occ(C(=O)[N-]N3C(=O)[C@@H](C)N(C)C3=O)c2c1 ZINC000899038444 708961874 /nfs/dbraw/zinc/96/18/74/708961874.db2.gz ODRHNXZRJSBJSZ-SECBINFHSA-N -1 1 315.329 1.923 20 0 DDADMM COc1c(Cl)cccc1CNC(=O)CCCc1nn[n-]n1 ZINC000887425963 709042373 /nfs/dbraw/zinc/04/23/73/709042373.db2.gz PKMAOKKSROPMDL-UHFFFAOYSA-N -1 1 309.757 1.501 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2C[C@H]3C[C@H]3C[C@H]2C)CC1 ZINC000899538584 709108576 /nfs/dbraw/zinc/10/85/76/709108576.db2.gz UXYOPJBDACMIEX-KJWHEZOQSA-N -1 1 308.422 1.676 20 0 DDADMM COC(=O)[C@H]1CCN1C(=O)c1cc(Br)ccc1[O-] ZINC000888050226 709200362 /nfs/dbraw/zinc/20/03/62/709200362.db2.gz ULBJXPMVSIDDST-SECBINFHSA-N -1 1 314.135 1.542 20 0 DDADMM CCOC(=O)[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000899930474 709240578 /nfs/dbraw/zinc/24/05/78/709240578.db2.gz VTVRRAPLOAZGLX-LLVKDONJSA-N -1 1 319.361 1.475 20 0 DDADMM COC(=O)[C@H]1CCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900120636 709297323 /nfs/dbraw/zinc/29/73/23/709297323.db2.gz CRACFDVVHBEFDQ-LLVKDONJSA-N -1 1 318.304 1.857 20 0 DDADMM CC[C@](C)([N-]C(=O)C(F)(F)c1c(F)cccc1F)C(N)=O ZINC000888549686 709338145 /nfs/dbraw/zinc/33/81/45/709338145.db2.gz UNBZZFQHBYXKSB-LBPRGKRZSA-N -1 1 306.259 1.827 20 0 DDADMM C[C@@]1([C@H]2CCCN(C(=O)c3ccc([O-])c(F)c3)C2)COC(=O)N1 ZINC000928023784 713105588 /nfs/dbraw/zinc/10/55/88/713105588.db2.gz QOVUEWALINORRU-ZBEGNZNMSA-N -1 1 322.336 1.882 20 0 DDADMM CCCN1CCC[C@@H]1C(=O)NCc1nc(C)c(C(=O)[O-])s1 ZINC000909504735 709493615 /nfs/dbraw/zinc/49/36/15/709493615.db2.gz VCQSIINOXZWDLF-SNVBAGLBSA-N -1 1 311.407 1.640 20 0 DDADMM Cc1cc(C)c(C(=O)[O-])cc1NC(=O)[C@H](C)CN1CCOCC1 ZINC000909510620 709496035 /nfs/dbraw/zinc/49/60/35/709496035.db2.gz KHHXNESTSRIOBG-CYBMUJFWSA-N -1 1 320.389 1.908 20 0 DDADMM C[C@@H](C(=O)[N-]OCCOc1ccccc1)N1CCSCC1 ZINC000889446901 709506921 /nfs/dbraw/zinc/50/69/21/709506921.db2.gz YRWXJORLFDGJFM-ZDUSSCGKSA-N -1 1 310.419 1.551 20 0 DDADMM CC(=O)N1CC[C@](C)(C(=O)[N-]OCCOc2ccccc2)C1 ZINC000889447893 709507686 /nfs/dbraw/zinc/50/76/86/709507686.db2.gz IWIPUYFVCKTNSW-INIZCTEOSA-N -1 1 306.362 1.372 20 0 DDADMM CCO[C@@H](C(=O)N[C@@H](CCSC)c1nn[n-]n1)C(C)C ZINC000912858314 713109235 /nfs/dbraw/zinc/10/92/35/713109235.db2.gz KFIFYDUDYGSJIW-VHSXEESVSA-N -1 1 301.416 1.171 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(F)ncc1C)c1nn[n-]n1 ZINC000912859832 713109689 /nfs/dbraw/zinc/10/96/89/713109689.db2.gz FKTFIAYREOWYMZ-VIFPVBQESA-N -1 1 310.358 1.266 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(F)ccc1C)c1nn[n-]n1 ZINC000912860515 713109971 /nfs/dbraw/zinc/10/99/71/713109971.db2.gz XDDWGNUYQJQPEG-NSHDSACASA-N -1 1 309.370 1.871 20 0 DDADMM CO[C@H]1CCCC[C@@H]1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912863424 713111298 /nfs/dbraw/zinc/11/12/98/713111298.db2.gz XONYWQQJKFEGBQ-DCAQKATOSA-N -1 1 313.427 1.315 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2C[C@@H]3CCC[C@H]3[C@H]2C(=O)[O-])C1 ZINC000909680283 709574082 /nfs/dbraw/zinc/57/40/82/709574082.db2.gz TVNDZMFUKCCWGR-SHGFOSJLSA-N -1 1 317.389 1.787 20 0 DDADMM CC(C)[C@@](C)(CC(=O)[O-])NC(=O)CN(C)[C@@H]1CCSC1 ZINC000909688632 709578814 /nfs/dbraw/zinc/57/88/14/709578814.db2.gz PMFGOWFFMXQNEQ-BXUZGUMPSA-N -1 1 302.440 1.429 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)[C@@H](C(=O)[O-])C1 ZINC000909779752 709616129 /nfs/dbraw/zinc/61/61/29/709616129.db2.gz LBOVPQCFQOPLQR-ZWNOBZJWSA-N -1 1 314.345 1.797 20 0 DDADMM Cc1cc(CC(=O)N[C@H](C(=O)[O-])c2cc(C)cc(C)c2)[nH]n1 ZINC000909794170 709623744 /nfs/dbraw/zinc/62/37/44/709623744.db2.gz SUWKMWVTADXWJD-HNNXBMFYSA-N -1 1 301.346 1.820 20 0 DDADMM CCC[C@@H](O)CC(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889789967 709637405 /nfs/dbraw/zinc/63/74/05/709637405.db2.gz LNZNRIYZNQBHIB-MNOVXSKESA-N -1 1 309.366 1.405 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccn(C)c2)[n-]c1=O ZINC000889791765 709637880 /nfs/dbraw/zinc/63/78/80/709637880.db2.gz MAUUBPDJWJYSTB-NSHDSACASA-N -1 1 302.334 1.507 20 0 DDADMM COc1cccc([C@@](C)(CC(=O)[O-])NC(=O)[C@H](C)N(C)C)c1 ZINC000909819737 709639153 /nfs/dbraw/zinc/63/91/53/709639153.db2.gz PODXCHYOVMXOEW-MEDUHNTESA-N -1 1 308.378 1.451 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H](C)n2cccn2)[n-]c1=O ZINC000889794023 709639390 /nfs/dbraw/zinc/63/93/90/709639390.db2.gz FIMUVZIJUKOCDK-GHMZBOCLSA-N -1 1 317.349 1.312 20 0 DDADMM CC[C@@](CNC(=O)[C@@H]1CCCN1C)(C(=O)[O-])c1ccccc1 ZINC000909844265 709648763 /nfs/dbraw/zinc/64/87/63/709648763.db2.gz ZWZAQQKVXPKJBR-YOEHRIQHSA-N -1 1 304.390 1.629 20 0 DDADMM O=C(CCCCc1ccccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000900624220 709683386 /nfs/dbraw/zinc/68/33/86/709683386.db2.gz FZJORYZWXKEDMT-CQSZACIVSA-N -1 1 315.377 1.513 20 0 DDADMM CC1CCN(CC(=O)N2CC3(CCC3)[C@@](F)(C(=O)[O-])C2)CC1 ZINC000909940235 709697356 /nfs/dbraw/zinc/69/73/56/709697356.db2.gz JDVJMRCZXIQXJE-INIZCTEOSA-N -1 1 312.385 1.524 20 0 DDADMM C[C@@H](C(=O)NC[C@@H]1CCCc2ccc(C(=O)[O-])cc21)N(C)C ZINC000909950510 709704773 /nfs/dbraw/zinc/70/47/73/709704773.db2.gz HBTYPTYUQHBHLX-FZMZJTMJSA-N -1 1 304.390 1.871 20 0 DDADMM Cn1nnnc1SCCC(=O)Nc1cc([O-])c(F)cc1F ZINC000909975788 709715306 /nfs/dbraw/zinc/71/53/06/709715306.db2.gz VELCHDQLOSXPKU-UHFFFAOYSA-N -1 1 315.305 1.315 20 0 DDADMM CO[C@H]1CCN(C(=O)c2ccc(O)c(Cl)c2)[C@H](C(=O)[O-])C1 ZINC000909980636 709716483 /nfs/dbraw/zinc/71/64/83/709716483.db2.gz CHYIIWFRXFAWPY-ONGXEEELSA-N -1 1 313.737 1.750 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cccc3[nH]cnc32)[C@@H](C(=O)[O-])C1 ZINC000909983423 709718971 /nfs/dbraw/zinc/71/89/71/709718971.db2.gz WRBUSDPNBLJQBI-JOYOIKCWSA-N -1 1 303.318 1.267 20 0 DDADMM CS[C@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)C(C)(C)C ZINC000910014625 709736098 /nfs/dbraw/zinc/73/60/98/709736098.db2.gz UARWIFJUHOMXSZ-VXGBXAGGSA-N -1 1 316.467 1.677 20 0 DDADMM O=C([O-])[C@@H](F)C1CN(C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC000910134233 709786399 /nfs/dbraw/zinc/78/63/99/709786399.db2.gz RCZZSJUAWDBZNZ-LBPRGKRZSA-N -1 1 303.293 1.571 20 0 DDADMM COc1ccc(CCC(=O)N=c2ccnc3n(C)[n-]cc2-3)cn1 ZINC000890283975 709810664 /nfs/dbraw/zinc/81/06/64/709810664.db2.gz RPMDBVFQNRMJSC-UHFFFAOYSA-N -1 1 311.345 1.317 20 0 DDADMM C[C@@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccc(F)cc1 ZINC000910246402 709837955 /nfs/dbraw/zinc/83/79/55/709837955.db2.gz RBPLKKDDGZMEKI-GXTWGEPZSA-N -1 1 322.380 1.842 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cccc3[nH]c(C)nc32)[C@](C)(C(=O)[O-])C1 ZINC000910269540 709854519 /nfs/dbraw/zinc/85/45/19/709854519.db2.gz ZTUSMQXPBHZXEN-QFYYESIMSA-N -1 1 317.345 1.576 20 0 DDADMM Cc1cc(NCCOCCOCC(=O)[O-])c2cc(F)ccc2n1 ZINC000900987045 709864670 /nfs/dbraw/zinc/86/46/70/709864670.db2.gz KQUHWEUJVHLBNL-UHFFFAOYSA-N -1 1 322.336 1.634 20 0 DDADMM Cc1nc(N2CCN([C@@H]3CCC[C@@H]3O)CC2)sc1C(=O)[O-] ZINC000900986992 709864725 /nfs/dbraw/zinc/86/47/25/709864725.db2.gz ATMVWWMXQHGTEC-MNOVXSKESA-N -1 1 311.407 1.185 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C1 ZINC000910391926 709920600 /nfs/dbraw/zinc/92/06/00/709920600.db2.gz JSCVRGURTMMQLD-LPEHRKFASA-N -1 1 308.300 1.192 20 0 DDADMM C[C@]1(C2CCN(C(=O)c3ncccc3[O-])CC2)COC(=O)N1 ZINC000928161587 713142503 /nfs/dbraw/zinc/14/25/03/713142503.db2.gz DGJGRCSBRVXBTB-OAHLLOKOSA-N -1 1 305.334 1.138 20 0 DDADMM CCOCCC1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000901173608 709954355 /nfs/dbraw/zinc/95/43/55/709954355.db2.gz CNQFNUGIBRCCAO-UHFFFAOYSA-N -1 1 305.378 1.996 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@@](C)(Cc1ccc(F)cc1)C(=O)[O-] ZINC000910513234 709977663 /nfs/dbraw/zinc/97/76/63/709977663.db2.gz RFHLKWYABAMJTJ-CJNGLKHVSA-N -1 1 308.353 1.422 20 0 DDADMM CC[C@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C[C@H]1O ZINC000901241737 709981036 /nfs/dbraw/zinc/98/10/36/709981036.db2.gz OWSGBZVBZFPJPG-TVQRCGJNSA-N -1 1 304.321 1.922 20 0 DDADMM C[C@H]1CO[C@H](C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)C1 ZINC000901316798 710007400 /nfs/dbraw/zinc/00/74/00/710007400.db2.gz DRSRBKJMTRUAPO-PELKAZGASA-N -1 1 316.354 1.561 20 0 DDADMM CC[C@@H](C(=O)Nc1nc2c(s1)CC[C@H](C(=O)[O-])C2)N(C)C ZINC000910678110 710044240 /nfs/dbraw/zinc/04/42/40/710044240.db2.gz VCQBKDJVURUTOD-WPRPVWTQSA-N -1 1 311.407 1.611 20 0 DDADMM COC[C@H](NCc1sc2nc(C)nc(C)c2c1C)C(=O)[O-] ZINC000901476361 710050268 /nfs/dbraw/zinc/05/02/68/710050268.db2.gz BACNBJSATWFOBF-JTQLQIEISA-N -1 1 309.391 1.806 20 0 DDADMM CCN(CC)CC(=O)Nc1ccc(C(=O)[O-])cc1OCCOC ZINC000910697971 710052292 /nfs/dbraw/zinc/05/22/92/710052292.db2.gz XICCUAASEDEWTL-UHFFFAOYSA-N -1 1 324.377 1.690 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(Cc1cc3c(cn1)OCCO3)CC2 ZINC000901499106 710057603 /nfs/dbraw/zinc/05/76/03/710057603.db2.gz JRYBLIQMASFHOT-LBPRGKRZSA-N -1 1 304.346 1.540 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)/C=C\c1ccc(C(=O)[O-])o1 ZINC000901613847 710095792 /nfs/dbraw/zinc/09/57/92/710095792.db2.gz GVGRKLHEJCBDII-SCXUMTSISA-N -1 1 306.362 1.790 20 0 DDADMM Cc1nc(CC(=O)N2CC[C@H](C(=O)[O-])c3ccccc32)n[nH]1 ZINC000901638977 710104492 /nfs/dbraw/zinc/10/44/92/710104492.db2.gz HVNAYLAAGOLRTF-NSHDSACASA-N -1 1 300.318 1.261 20 0 DDADMM C[C@@H]1CCN(C(=O)CN(C)CCc2ccccc2)C[C@@H]1C(=O)[O-] ZINC000901698317 710122994 /nfs/dbraw/zinc/12/29/94/710122994.db2.gz WRJJSNGONOTHAD-ZBFHGGJFSA-N -1 1 318.417 1.730 20 0 DDADMM CCOc1cc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])ccc1OC ZINC000901742842 710137620 /nfs/dbraw/zinc/13/76/20/710137620.db2.gz YLNOEOHUTDZTDS-QWRGUYRKSA-N -1 1 324.377 1.161 20 0 DDADMM Cc1cc([C@H](NCc2cnc(N(C)C)nc2)C(=O)[O-])ccc1F ZINC000901842434 710158727 /nfs/dbraw/zinc/15/87/27/710158727.db2.gz KTQUCHUPVDMNKP-AWEZNQCLSA-N -1 1 318.352 1.906 20 0 DDADMM Cc1sc(CN2CC[C@](O)(C(=O)[O-])C2)cc1Br ZINC000901904556 710170261 /nfs/dbraw/zinc/17/02/61/710170261.db2.gz ZJBRLXJOCQHYAB-LLVKDONJSA-N -1 1 320.208 1.840 20 0 DDADMM CCOC(=O)[C@@H](CSC)NC(=O)c1ccc([O-])c(F)c1 ZINC000928293517 713165791 /nfs/dbraw/zinc/16/57/91/713165791.db2.gz RXPGLBMHJXJURV-SNVBAGLBSA-N -1 1 301.339 1.556 20 0 DDADMM CCOC(=O)[C@H](COC)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000928295295 713166570 /nfs/dbraw/zinc/16/65/70/713166570.db2.gz ADVAPYHHRSMSIH-NSHDSACASA-N -1 1 315.753 1.282 20 0 DDADMM C[C@@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)c1cnn(C)c1 ZINC000891620815 710250578 /nfs/dbraw/zinc/25/05/78/710250578.db2.gz CPFRVZNYMLRRGT-SECBINFHSA-N -1 1 301.350 1.537 20 0 DDADMM COCc1nc(NC2CCN(C(=O)C3CC3)CC2)cc(=O)[n-]1 ZINC000891685247 710266431 /nfs/dbraw/zinc/26/64/31/710266431.db2.gz WIXFVWZYRFDSOP-UHFFFAOYSA-N -1 1 306.366 1.142 20 0 DDADMM CCOc1ccccc1C(F)(F)C(=O)[N-]c1ccn(C)c(=O)n1 ZINC000892311956 710414647 /nfs/dbraw/zinc/41/46/47/710414647.db2.gz DSQSLNFDJHNONL-UHFFFAOYSA-N -1 1 323.299 1.744 20 0 DDADMM COCc1nc(NCC2(C(=O)N(C)C)CCCC2)cc(=O)[n-]1 ZINC000892522176 710451265 /nfs/dbraw/zinc/45/12/65/710451265.db2.gz RXKZJDBVBXNMFH-UHFFFAOYSA-N -1 1 308.382 1.389 20 0 DDADMM CCCc1c(C(=O)N(C)c2nn[n-]n2)cnn1C1CCCC1 ZINC000892954557 710531759 /nfs/dbraw/zinc/53/17/59/710531759.db2.gz LPINLEORVNAUKB-UHFFFAOYSA-N -1 1 303.370 1.740 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1CCOc2ccccc2[C@@H]1C(=O)[O-] ZINC000911058209 710614817 /nfs/dbraw/zinc/61/48/17/710614817.db2.gz VMCNHBOEFUJLGR-TZMCWYRMSA-N -1 1 304.346 1.128 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2ccc3n[nH]cc3c2)C1 ZINC000911094713 710631428 /nfs/dbraw/zinc/63/14/28/710631428.db2.gz HQTSXEMAIOZTGT-JTQLQIEISA-N -1 1 302.334 1.298 20 0 DDADMM Cc1ncc(C(=O)[O-])cc1C(=O)N1CCN(CC(C)C)CC1 ZINC000911108185 710637040 /nfs/dbraw/zinc/63/70/40/710637040.db2.gz XBWFQVXPEHHEGJ-UHFFFAOYSA-N -1 1 305.378 1.502 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)Nc1cccc(CN2CCN(C)CC2)c1 ZINC000911264109 710716936 /nfs/dbraw/zinc/71/69/36/710716936.db2.gz RXYQYSDPWHKKDQ-OAHLLOKOSA-N -1 1 319.405 1.483 20 0 DDADMM O=C([O-])CCN(Cc1cccnc1)C(=O)CCc1cnc[nH]1 ZINC000911277329 710722967 /nfs/dbraw/zinc/72/29/67/710722967.db2.gz SRNBQAQALDRVPV-UHFFFAOYSA-N -1 1 302.334 1.241 20 0 DDADMM CC(C)[C@H]1CN(C(=O)[C@H]2CO[C@H](CCC(=O)[O-])C2)CCN1C ZINC000902491582 710769454 /nfs/dbraw/zinc/76/94/54/710769454.db2.gz MOWFGHNXNSMYOC-MGPQQGTHSA-N -1 1 312.410 1.055 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2C[C@H]2Cc2ccccc2)C1 ZINC000911445355 710802225 /nfs/dbraw/zinc/80/22/25/710802225.db2.gz STGYSWNEWSNVHI-XHSDSOJGSA-N -1 1 316.401 1.530 20 0 DDADMM COCC[C@H](NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccco1 ZINC000911500552 710825175 /nfs/dbraw/zinc/82/51/75/710825175.db2.gz NXTUEGWFERSNSF-OLZOCXBDSA-N -1 1 324.377 1.270 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000902628220 710826223 /nfs/dbraw/zinc/82/62/23/710826223.db2.gz XJMZFGHDWWZJIP-BZNIZROVSA-N -1 1 323.393 1.729 20 0 DDADMM CC1CCN(CC(=O)N[C@@](C)(CC(=O)[O-])c2ccncc2)CC1 ZINC000911618394 710883280 /nfs/dbraw/zinc/88/32/80/710883280.db2.gz KOVYBPDTCIBWCC-KRWDZBQOSA-N -1 1 319.405 1.620 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)C1CC2(CSC2)C1 ZINC000913439083 713215904 /nfs/dbraw/zinc/21/59/04/713215904.db2.gz XZNAAJMIIUGTDA-LBPRGKRZSA-N -1 1 315.402 1.549 20 0 DDADMM CC(C)[C@@H](CC(F)(F)F)NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000911666846 710916811 /nfs/dbraw/zinc/91/68/11/710916811.db2.gz FUHILKJTZOZDNS-WDEREUQCSA-N -1 1 324.343 1.876 20 0 DDADMM CC(C)[C@@H](CC(F)(F)F)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911666846 710916813 /nfs/dbraw/zinc/91/68/13/710916813.db2.gz FUHILKJTZOZDNS-WDEREUQCSA-N -1 1 324.343 1.876 20 0 DDADMM Cc1nc([C@@H]2CCN(C(=O)[C@@H]3CCC[C@@H](C(=O)[O-])C3)C2)n[nH]1 ZINC000911771737 710976271 /nfs/dbraw/zinc/97/62/71/710976271.db2.gz DIBJKOMYUHWNCE-IJLUTSLNSA-N -1 1 306.366 1.320 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1ccc(C)nc1C ZINC000903094697 711030549 /nfs/dbraw/zinc/03/05/49/711030549.db2.gz OYPQBERXUBZKGS-UHFFFAOYSA-N -1 1 305.425 1.863 20 0 DDADMM O=C(CCCCc1ccccc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913493850 713237028 /nfs/dbraw/zinc/23/70/28/713237028.db2.gz KLDXLPRCWLXVJG-AWEZNQCLSA-N -1 1 315.377 1.513 20 0 DDADMM C[C@@H]1CN(Cc2ncnn2-c2ccccc2)C[C@H]1CC(=O)[O-] ZINC000903629824 711229897 /nfs/dbraw/zinc/22/98/97/711229897.db2.gz YNVIKZVKURRFPX-CHWSQXEVSA-N -1 1 300.362 1.810 20 0 DDADMM CSc1nc(CNC(=O)c2c3c(nn2C)CCC3)cc(=O)[n-]1 ZINC000912240793 711239568 /nfs/dbraw/zinc/23/95/68/711239568.db2.gz PHQDTCVGLFYMTC-UHFFFAOYSA-N -1 1 319.390 1.056 20 0 DDADMM CSc1nc(CNC(=O)C2CC3(CSC3)C2)cc(=O)[n-]1 ZINC000912240910 711239983 /nfs/dbraw/zinc/23/99/83/711239983.db2.gz PWJARCKOEFHYMC-UHFFFAOYSA-N -1 1 311.432 1.664 20 0 DDADMM C[C@H](NCc1ccc(C(=O)[O-])s1)C(=O)NCc1ccco1 ZINC000903682205 711244040 /nfs/dbraw/zinc/24/40/40/711244040.db2.gz RVHMHDOUWWZJTH-VIFPVBQESA-N -1 1 308.359 1.834 20 0 DDADMM O=C(c1cccc2c1CCCC2)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496810 713238702 /nfs/dbraw/zinc/23/87/02/713238702.db2.gz DQKWAVZLKDITHD-CQSZACIVSA-N -1 1 313.361 1.292 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)NCc1ccc(C)cc1 ZINC000903711745 711250481 /nfs/dbraw/zinc/25/04/81/711250481.db2.gz JDYNNLWUBBCPEN-AWEZNQCLSA-N -1 1 322.405 1.423 20 0 DDADMM COc1cc(Cl)cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1 ZINC000913498295 713239287 /nfs/dbraw/zinc/23/92/87/713239287.db2.gz NWEBGAOMTBQIGU-LLVKDONJSA-N -1 1 323.740 1.075 20 0 DDADMM CC(C)=CCC[C@H](C)CC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499179 713239761 /nfs/dbraw/zinc/23/97/61/713239761.db2.gz NFIHVJBYLAKCEG-QWHCGFSZSA-N -1 1 307.398 1.872 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C1(CO)CC1 ZINC000912399527 711312340 /nfs/dbraw/zinc/31/23/40/711312340.db2.gz XEGNRVQYWRWZMC-VIFPVBQESA-N -1 1 304.321 1.970 20 0 DDADMM CO[C@H]1CN(CCOC2CCSCC2)[C@](C)(C(=O)[O-])C1 ZINC000903911287 711327785 /nfs/dbraw/zinc/32/77/85/711327785.db2.gz AYEMNTQCBPXJFG-OCCSQVGLSA-N -1 1 303.424 1.463 20 0 DDADMM COCc1nc(N[C@H]2C[C@@H](C(=O)OC(C)(C)C)C2)cc(=O)[n-]1 ZINC000895009590 711365051 /nfs/dbraw/zinc/36/50/51/711365051.db2.gz FQRHERIIOHNSDT-AOOOYVTPSA-N -1 1 309.366 1.861 20 0 DDADMM COCc1nc(N2CCCN(C(=O)CC(C)C)CC2)cc(=O)[n-]1 ZINC000895039197 711379795 /nfs/dbraw/zinc/37/97/95/711379795.db2.gz UISVVUHDFZLAQO-UHFFFAOYSA-N -1 1 322.409 1.413 20 0 DDADMM CCN1CCN(Cc2ccc(N3CCC(C(=O)[O-])CC3)o2)CC1 ZINC000904189444 711404678 /nfs/dbraw/zinc/40/46/78/711404678.db2.gz MSXZPZDLWRFRJP-UHFFFAOYSA-N -1 1 321.421 1.718 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2cc(F)ncc2F)n1 ZINC000895239953 711461562 /nfs/dbraw/zinc/46/15/62/711461562.db2.gz XTKFBBQEORAZHS-SSDOTTSWSA-N -1 1 311.292 1.505 20 0 DDADMM CN(CCCF)C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000895285951 711476981 /nfs/dbraw/zinc/47/69/81/711476981.db2.gz LBOYLWQIJWVLLO-UHFFFAOYSA-N -1 1 320.386 1.788 20 0 DDADMM Cc1cc(C)nc(C2CN(C(=O)C(=O)c3ccc([O-])cc3)C2)n1 ZINC000913607983 713270080 /nfs/dbraw/zinc/27/00/80/713270080.db2.gz RHRICNYXYOQBKO-UHFFFAOYSA-N -1 1 311.341 1.608 20 0 DDADMM CNC(=O)CCN(C)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896130521 711679883 /nfs/dbraw/zinc/67/98/83/711679883.db2.gz URXKKBYDJXWQRA-UHFFFAOYSA-N -1 1 302.330 1.459 20 0 DDADMM CN1CC[C@H]1CNC(=O)C[C@]1(C(=O)[O-])CCCc2ccccc21 ZINC000905130526 711945598 /nfs/dbraw/zinc/94/55/98/711945598.db2.gz GFSGECANFDQKSF-KBXCAEBGSA-N -1 1 316.401 1.556 20 0 DDADMM O=C(CNc1ccccc1Cl)N1CCC(c2nn[n-]n2)CC1 ZINC000913743416 713292490 /nfs/dbraw/zinc/29/24/90/713292490.db2.gz UQZNKWIFSSPNND-UHFFFAOYSA-N -1 1 320.784 1.671 20 0 DDADMM Cc1cc(CN2CC[C@@H](c3ncc(C(=O)[O-])s3)C2)n(C)n1 ZINC000905747840 712138109 /nfs/dbraw/zinc/13/81/09/712138109.db2.gz CITLXESHZFLALM-SNVBAGLBSA-N -1 1 306.391 1.873 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)CC2(F)CC2)CCCCCC1 ZINC000913887963 713314691 /nfs/dbraw/zinc/31/46/91/713314691.db2.gz QEJGBLRINURDAE-UHFFFAOYSA-N -1 1 307.387 1.674 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CC[C@@H](C3CC3)C2)c1 ZINC000906910976 712436155 /nfs/dbraw/zinc/43/61/55/712436155.db2.gz CYWZDLGTWLEUIT-LLVKDONJSA-N -1 1 311.359 1.511 20 0 DDADMM O=C(N[C@@H](CO)c1ccsc1)c1cnc(C2CC2)[n-]c1=O ZINC000907450948 712575046 /nfs/dbraw/zinc/57/50/46/712575046.db2.gz YRYVFGCIBCXPJY-NSHDSACASA-N -1 1 305.359 1.585 20 0 DDADMM CCOC1CC2(C[C@H]2C(=O)N2CCSC[C@@H]2c2nn[n-]n2)C1 ZINC000907473574 712581343 /nfs/dbraw/zinc/58/13/43/712581343.db2.gz BZQDPWANGSUTIP-DUEVMTECSA-N -1 1 323.422 1.021 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)N2CCSC[C@H]2c2nn[n-]n2)CCO1 ZINC000907475854 712582285 /nfs/dbraw/zinc/58/22/85/712582285.db2.gz ANGOEAPGAHQKHG-AXFHLTTASA-N -1 1 311.411 1.021 20 0 DDADMM O=C(C=Cc1ccccc1F)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477164 712582499 /nfs/dbraw/zinc/58/24/99/712582499.db2.gz RPHYAEODBLDPQR-FOQNGQEVSA-N -1 1 319.365 1.669 20 0 DDADMM O=C(c1occ2c1CCC2)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481465 712584031 /nfs/dbraw/zinc/58/40/31/712584031.db2.gz WLISTXUNRAJDEU-JTQLQIEISA-N -1 1 305.363 1.212 20 0 DDADMM COc1cnc([C@@H]2CCCN2S(=O)(=O)C2(C)CC2)[n-]c1=O ZINC000908016520 712666572 /nfs/dbraw/zinc/66/65/72/712666572.db2.gz NBQFUSJOTFBOFP-VIFPVBQESA-N -1 1 313.379 1.210 20 0 DDADMM O=S(=O)([N-]CC[C@H](O)C1CC1)c1ccc(Br)o1 ZINC000908301808 712733246 /nfs/dbraw/zinc/73/32/46/712733246.db2.gz DSZVIVMWDSOQRD-QMMMGPOBSA-N -1 1 324.196 1.481 20 0 DDADMM CCc1nnc(N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)n1C ZINC000908601269 712818772 /nfs/dbraw/zinc/81/87/72/712818772.db2.gz CVGKIQSNIWXDBI-VIFPVBQESA-N -1 1 304.354 1.223 20 0 DDADMM O=C([O-])C[C@H](NC(=O)[C@H]1CCc2nc[nH]c2C1)c1cccs1 ZINC000908641284 712827359 /nfs/dbraw/zinc/82/73/59/712827359.db2.gz BKMSQXGXCHYWRB-CABZTGNLSA-N -1 1 319.386 1.908 20 0 DDADMM C[C@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)Oc1ccccc1 ZINC000908738754 712845129 /nfs/dbraw/zinc/84/51/29/712845129.db2.gz HKFVGTNYMHAQMA-ZIAGYGMSSA-N -1 1 320.389 1.367 20 0 DDADMM C[C@@H]1SCCN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)[C@H]1C ZINC000908810496 712859141 /nfs/dbraw/zinc/85/91/41/712859141.db2.gz YBFUTXSFXUYHSJ-SRVKXCTJSA-N -1 1 300.424 1.135 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]C[C@@H]2CCCC2(F)F)s1 ZINC000916090876 713441601 /nfs/dbraw/zinc/44/16/01/713441601.db2.gz RVWATOVCSGUCRR-ZETCQYMHSA-N -1 1 312.363 1.865 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccccn1)c1noc(C(F)(F)F)n1 ZINC000916390562 713452991 /nfs/dbraw/zinc/45/29/91/713452991.db2.gz FSUGDSZXDLJDOF-LURJTMIESA-N -1 1 322.268 1.523 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cc(F)ccc1[O-])C1CCOCC1 ZINC000916796117 713467130 /nfs/dbraw/zinc/46/71/30/713467130.db2.gz UTCJQONZJVFECT-ZDUSSCGKSA-N -1 1 311.309 1.229 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000918061454 713527643 /nfs/dbraw/zinc/52/76/43/713527643.db2.gz ROUJKZZBZDJRJL-SECBINFHSA-N -1 1 323.802 1.273 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@H]1CCCCC1(OC)OC)OC ZINC000918061326 713527787 /nfs/dbraw/zinc/52/77/87/713527787.db2.gz ORDJHGFVPNRYSE-NEPJUHHUSA-N -1 1 309.428 1.263 20 0 DDADMM CCN(C(=O)[C@@H]1C[C@H]1C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942908678 717906997 /nfs/dbraw/zinc/90/69/97/717906997.db2.gz MTRBSGPDQVCRKD-JHJVBQTASA-N -1 1 317.389 1.506 20 0 DDADMM CCNC(=O)N1CCN(C[C@H](C)C(=O)c2ccc([O-])cc2)CC1 ZINC000929189093 713563809 /nfs/dbraw/zinc/56/38/09/713563809.db2.gz KGFHRFRAESXSJC-ZDUSSCGKSA-N -1 1 319.405 1.558 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C=C2CCCC2)cc1 ZINC000919597277 713619135 /nfs/dbraw/zinc/61/91/35/713619135.db2.gz GMQYQFSHUQCUSX-UHFFFAOYSA-N -1 1 317.341 1.995 20 0 DDADMM COC(=O)/C(C)=C\C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000921232648 713724046 /nfs/dbraw/zinc/72/40/46/713724046.db2.gz JPHLTUNWEPHIOU-CLTKARDFSA-N -1 1 323.292 1.502 20 0 DDADMM CC1(C)C[C@H](C[N-]S(=O)(=O)N=S(C)(C)=O)C(C)(C)O1 ZINC000921909663 713905356 /nfs/dbraw/zinc/90/53/56/713905356.db2.gz XEWQEZLPAXOSDZ-SECBINFHSA-N -1 1 312.457 1.142 20 0 DDADMM C[C@@H]1OCC[C@@H]1S(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921965776 713922351 /nfs/dbraw/zinc/92/23/51/713922351.db2.gz QDELLYWALKVZPQ-SDBXPKJASA-N -1 1 321.345 1.310 20 0 DDADMM O=S(=O)([N-]C1([C@@H]2CCCCO2)CC1)c1c[nH]nc1Cl ZINC000922098124 713959612 /nfs/dbraw/zinc/95/96/12/713959612.db2.gz GESTUBVFTUDXHW-VIFPVBQESA-N -1 1 305.787 1.443 20 0 DDADMM CCN(CCCO)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932045986 714245260 /nfs/dbraw/zinc/24/52/60/714245260.db2.gz RMELOYARQPGJHF-UHFFFAOYSA-N -1 1 304.350 1.814 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)NC1(CCO)CC1 ZINC000932053325 714246975 /nfs/dbraw/zinc/24/69/75/714246975.db2.gz KCAXISKJSHPPSL-UHFFFAOYSA-N -1 1 302.334 1.614 20 0 DDADMM CC(C)Cn1[n-]c(CC(=O)N2CCN(C)C[C@@H]2C(C)C)cc1=O ZINC000933294461 714556782 /nfs/dbraw/zinc/55/67/82/714556782.db2.gz QHAGBUXJQKKJSN-OAHLLOKOSA-N -1 1 322.453 1.174 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2nn(C)cc2C)[n-]c1=O ZINC000934277068 714784944 /nfs/dbraw/zinc/78/49/44/714784944.db2.gz YKZAFXZTVOMHCG-GFCCVEGCSA-N -1 1 303.366 1.570 20 0 DDADMM CCn1nnc(C)c1CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934280153 714785797 /nfs/dbraw/zinc/78/57/97/714785797.db2.gz SPLKXZFZJZTXRE-NSHDSACASA-N -1 1 318.381 1.448 20 0 DDADMM O=C(c1cc2cccn2cn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000935829573 715131368 /nfs/dbraw/zinc/13/13/68/715131368.db2.gz KXTVOTPEMKKBIV-UHFFFAOYSA-N -1 1 313.317 1.443 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000936188729 715156132 /nfs/dbraw/zinc/15/61/32/715156132.db2.gz GPPQTFGZXNNODL-NWDGAFQWSA-N -1 1 305.378 1.410 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000936437240 715200257 /nfs/dbraw/zinc/20/02/57/715200257.db2.gz VRXAEQSAUHAFKR-GFCCVEGCSA-N -1 1 305.378 1.506 20 0 DDADMM O=C([N-][C@H]1CCN(C(=O)c2ccc(F)c(F)c2F)C1)C(F)F ZINC000937586372 715421998 /nfs/dbraw/zinc/42/19/98/715421998.db2.gz OXYBAWVLNJABGN-LURJTMIESA-N -1 1 322.233 1.700 20 0 DDADMM O=C(c1ccc2oc(=O)nc-2[n-]1)N1CCN(CC2CCC2)CC1 ZINC000957314404 715830342 /nfs/dbraw/zinc/83/03/42/715830342.db2.gz FSIOYZVGHHYRQP-UHFFFAOYSA-N -1 1 316.361 1.486 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955900597 716004195 /nfs/dbraw/zinc/00/41/95/716004195.db2.gz KHMBQMYASAXPQV-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM CN(Cc1ccon1)C[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000960568304 716614752 /nfs/dbraw/zinc/61/47/52/716614752.db2.gz PHSKUDRVCSKDHO-ZDUSSCGKSA-N -1 1 316.361 1.512 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959037574 716838586 /nfs/dbraw/zinc/83/85/86/716838586.db2.gz HNQIQDCKQRASMR-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C(C)(C)C)C1 ZINC000959279135 716924020 /nfs/dbraw/zinc/92/40/20/716924020.db2.gz NMYHQAMMWHNQTC-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H](C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000941207289 717130240 /nfs/dbraw/zinc/13/02/40/717130240.db2.gz MOISQRWKOMDADU-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(=O)N1CCC[C@H]2[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962516024 717484963 /nfs/dbraw/zinc/48/49/63/717484963.db2.gz JNNKEUYITBNNQW-NWDGAFQWSA-N -1 1 303.362 1.403 20 0 DDADMM C[C@]1(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000942187395 717536331 /nfs/dbraw/zinc/53/63/31/717536331.db2.gz ITVMKWKAXQYCGT-PXAZEXFGSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C(C)(C)C ZINC000945278741 718435332 /nfs/dbraw/zinc/43/53/32/718435332.db2.gz JDNYGMRGQRRQNN-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CC1CCC1 ZINC000966341736 718520903 /nfs/dbraw/zinc/52/09/03/718520903.db2.gz BNXPFVJRGDMJOV-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)=C(F)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000948332430 719455891 /nfs/dbraw/zinc/45/58/91/719455891.db2.gz UTBVEIMUTRFVIR-GHMZBOCLSA-N -1 1 321.352 1.627 20 0 DDADMM C[C@@H](C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C)C1CC1 ZINC000948618993 719592124 /nfs/dbraw/zinc/59/21/24/719592124.db2.gz NUNQKSNUMHZAGS-NQBHXWOUSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CC1(C)C ZINC000948618836 719592383 /nfs/dbraw/zinc/59/23/83/719592383.db2.gz LBUHTILCALSJRH-GRYCIOLGSA-N -1 1 317.389 1.410 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000951995195 721274869 /nfs/dbraw/zinc/27/48/69/721274869.db2.gz DKLAAKMIYGNSTJ-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(=O)N1CCCC[C@@H]1[C@@H](C)NC(=O)c1ncccc1[O-] ZINC000953498157 721615683 /nfs/dbraw/zinc/61/56/83/721615683.db2.gz KILJJTQQDSXKNR-VXGBXAGGSA-N -1 1 305.378 1.697 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@H]1C1CC1)c1ccc(Cl)nc1F ZINC000692851356 738539592 /nfs/dbraw/zinc/53/95/92/738539592.db2.gz HWLZKWQOBTUGIA-KCJUWKMLSA-N -1 1 320.773 1.720 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCCN2Cc2ccsc2)c1[O-] ZINC001027832849 738709575 /nfs/dbraw/zinc/70/95/75/738709575.db2.gz KPKBITHTCQHNMD-GFCCVEGCSA-N -1 1 320.418 1.880 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCCN(C/C=C/Cl)C2)c1[O-] ZINC001023307101 735147073 /nfs/dbraw/zinc/14/70/73/735147073.db2.gz RVJAZMJOGIGFGT-TZNOJPMFSA-N -1 1 312.801 1.618 20 0 DDADMM COC(=O)c1nc(C(=O)[N-]c2nc3ccc(F)cn3n2)cs1 ZINC001126506291 740479493 /nfs/dbraw/zinc/47/94/93/740479493.db2.gz NKCKNFXLPZFJIL-UHFFFAOYSA-N -1 1 321.293 1.364 20 0 DDADMM CCC(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C12CCC2 ZINC001025826572 736876751 /nfs/dbraw/zinc/87/67/51/736876751.db2.gz LJYUULSDVMBQGP-NWDGAFQWSA-N -1 1 303.362 1.354 20 0 DDADMM CC(C)CC(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514814 741088735 /nfs/dbraw/zinc/08/87/35/741088735.db2.gz KMQIUJLXTZBHAN-ZDUSSCGKSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)(F)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088246756 741187722 /nfs/dbraw/zinc/18/77/22/741187722.db2.gz AVZLQPIUOVIMEJ-SNVBAGLBSA-N -1 1 313.377 1.119 20 0 DDADMM CC(C(=O)N1CC[C@H](C[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1)=C1CCC1 ZINC001029735081 741262600 /nfs/dbraw/zinc/26/26/00/741262600.db2.gz CSGJBYQEARRSKR-GFCCVEGCSA-N -1 1 319.409 1.291 20 0 DDADMM CC(C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)=C1CCC1 ZINC001029735081 741262601 /nfs/dbraw/zinc/26/26/01/741262601.db2.gz CSGJBYQEARRSKR-GFCCVEGCSA-N -1 1 319.409 1.291 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029747354 741273379 /nfs/dbraw/zinc/27/33/79/741273379.db2.gz UWXWNQJMSGFZJR-GFCCVEGCSA-N -1 1 323.441 1.473 20 0 DDADMM COCC(C)(C)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001077367036 743429130 /nfs/dbraw/zinc/42/91/30/743429130.db2.gz KAAOQJQFEHMNTJ-NSHDSACASA-N -1 1 323.393 1.084 20 0 DDADMM Cc1ccnc2nc(C(=O)[N-]c3c(Cl)cncc3Cl)nn21 ZINC001126990983 743477359 /nfs/dbraw/zinc/47/73/59/743477359.db2.gz YPQRIOKWZFABKY-UHFFFAOYSA-N -1 1 323.143 1.809 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2ccc(Cl)o2)c1Cl ZINC000698065414 743619736 /nfs/dbraw/zinc/61/97/36/743619736.db2.gz YXPHGNQDIJJXEC-UHFFFAOYSA-N -1 1 310.162 1.798 20 0 DDADMM COc1ccc2c(c1)CC[C@@H]2C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001182444906 743629431 /nfs/dbraw/zinc/62/94/31/743629431.db2.gz TZGUROPUJVGUCW-JTQLQIEISA-N -1 1 316.317 1.147 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)Cc1cnc2ccccn12 ZINC001182835632 743735361 /nfs/dbraw/zinc/73/53/61/743735361.db2.gz UFYVVNCKGPJINA-UHFFFAOYSA-N -1 1 314.261 1.115 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2COC(C(C)C)OC2)n1 ZINC001183174000 743789865 /nfs/dbraw/zinc/78/98/65/743789865.db2.gz UFYHYXONBXZJCT-UHFFFAOYSA-N -1 1 311.338 1.170 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc([C@H]4C[C@H]4C)nc3)c1-2 ZINC001185115289 744163409 /nfs/dbraw/zinc/16/34/09/744163409.db2.gz LCXMOKZXHKASPM-XCBNKYQSSA-N -1 1 309.333 1.201 20 0 DDADMM C[C@@H]1C[C@@H]1c1ncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC001185116203 744163779 /nfs/dbraw/zinc/16/37/79/744163779.db2.gz MZKAKDXVZQWBMG-VDTYLAMSSA-N -1 1 312.255 1.989 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)Nc1ccc(CO)nc1 ZINC001185877831 744306937 /nfs/dbraw/zinc/30/69/37/744306937.db2.gz WDVOPJKYCCBQSA-UHFFFAOYSA-N -1 1 322.342 1.381 20 0 DDADMM COc1ccccc1CNC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001186099259 744342535 /nfs/dbraw/zinc/34/25/35/744342535.db2.gz CPYBQUJUCPAADQ-UHFFFAOYSA-N -1 1 319.277 1.616 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1Cc2ccnn2CC[C@@H]1CO ZINC001186220158 744366892 /nfs/dbraw/zinc/36/68/92/744366892.db2.gz YOEDKYWKQOCBNW-GFCCVEGCSA-N -1 1 305.309 1.135 20 0 DDADMM O=C(NCC1CC(NC(=O)c2ncccc2[O-])C1)C1=CCCC1 ZINC000992022754 744538726 /nfs/dbraw/zinc/53/87/26/744538726.db2.gz RLTUTDHHNSSCPP-UHFFFAOYSA-N -1 1 315.373 1.522 20 0 DDADMM O=C(NCc1cnc(Cl)cn1)c1n[n-]nc1C(F)(F)F ZINC001187773767 744600143 /nfs/dbraw/zinc/60/01/43/744600143.db2.gz LSWWIYVFKNDQHX-UHFFFAOYSA-N -1 1 306.635 1.197 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1nc(C(F)(F)F)c[nH]1 ZINC001188295031 744680298 /nfs/dbraw/zinc/68/02/98/744680298.db2.gz HNZVUGVKVMOEDN-UHFFFAOYSA-N -1 1 315.188 1.116 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)c1ncc(C(F)(F)F)[nH]1 ZINC001188295031 744680300 /nfs/dbraw/zinc/68/03/00/744680300.db2.gz HNZVUGVKVMOEDN-UHFFFAOYSA-N -1 1 315.188 1.116 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ncc(C(F)(F)F)[nH]1 ZINC001188295031 744680303 /nfs/dbraw/zinc/68/03/03/744680303.db2.gz HNZVUGVKVMOEDN-UHFFFAOYSA-N -1 1 315.188 1.116 20 0 DDADMM COCc1oncc1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001188320285 744689243 /nfs/dbraw/zinc/68/92/43/744689243.db2.gz WQAARXNYOFRDBD-UHFFFAOYSA-N -1 1 314.301 1.965 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccnn1-c1ccccc1 ZINC001188440926 744704771 /nfs/dbraw/zinc/70/47/71/744704771.db2.gz PYFBQFQFKVUHRK-UHFFFAOYSA-N -1 1 323.289 1.560 20 0 DDADMM O=C(NCCCCCCN1C(=O)C=CC1=O)c1ccncc1[O-] ZINC001188638295 744744417 /nfs/dbraw/zinc/74/44/17/744744417.db2.gz LDFRAWSUKBFWBF-UHFFFAOYSA-N -1 1 317.345 1.002 20 0 DDADMM Cn1ccc(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)cc1=O ZINC001189634332 744940365 /nfs/dbraw/zinc/94/03/65/744940365.db2.gz IWIWLHYMYKDCOG-UHFFFAOYSA-N -1 1 310.313 1.529 20 0 DDADMM CCOC(=O)CNC(=O)Nc1cc2ccc([O-])cc2oc1=O ZINC001189875943 745013243 /nfs/dbraw/zinc/01/32/43/745013243.db2.gz KHXASIMCJPVYTC-UHFFFAOYSA-N -1 1 306.274 1.183 20 0 DDADMM COc1nc(S(=O)(=O)[N-][C@H]2CCC(F)(F)C2)ccc1F ZINC001190058431 745093418 /nfs/dbraw/zinc/09/34/18/745093418.db2.gz JMROFCZMCFBJQY-ZETCQYMHSA-N -1 1 310.297 1.695 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2ncc(F)cc2F)s1 ZINC001190208536 745153318 /nfs/dbraw/zinc/15/33/18/745153318.db2.gz KTGWYQMBCXQZOU-UHFFFAOYSA-N -1 1 305.331 1.603 20 0 DDADMM CO[C@H](C)C1CN(C(=O)c2cnc(-c3ccccc3)[n-]c2=O)C1 ZINC001190269769 745168983 /nfs/dbraw/zinc/16/89/83/745168983.db2.gz HXBWLFOKPRVGSW-LLVKDONJSA-N -1 1 313.357 1.956 20 0 DDADMM Cc1c[nH]c(=O)cc1NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190619056 745259995 /nfs/dbraw/zinc/25/99/95/745259995.db2.gz TZCDLSGHMLKGCG-UHFFFAOYSA-N -1 1 323.312 1.906 20 0 DDADMM CSc1ncc(C(=O)N2CCC(=O)[C@H]3CCCC[C@H]32)c(=O)[n-]1 ZINC001191420906 745507211 /nfs/dbraw/zinc/50/72/11/745507211.db2.gz UXJZIYOJPDDESH-GXSJLCMTSA-N -1 1 321.402 1.878 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cnc(SC)[n-]c2=O)c[nH]1 ZINC001191429870 745510597 /nfs/dbraw/zinc/51/05/97/745510597.db2.gz WVSSYPPQVMNXPL-UHFFFAOYSA-N -1 1 322.346 1.661 20 0 DDADMM CSc1ncc(C(=O)NCc2cc3ccncc3[nH]2)c(=O)[n-]1 ZINC001191439619 745512606 /nfs/dbraw/zinc/51/26/06/745512606.db2.gz CBDVURHKZMTPKK-UHFFFAOYSA-N -1 1 315.358 1.710 20 0 DDADMM CCOC(=O)c1cnn(C)c1[N-]S(=O)(=O)CC1CCCC1 ZINC001191481138 745519043 /nfs/dbraw/zinc/51/90/43/745519043.db2.gz HEFRJTDCGHLGFF-UHFFFAOYSA-N -1 1 315.395 1.529 20 0 DDADMM CCc1nsc(NCC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001106296477 745563484 /nfs/dbraw/zinc/56/34/84/745563484.db2.gz SRVKJHHCMONADL-SECBINFHSA-N -1 1 321.406 1.822 20 0 DDADMM CC(C)N1C[C@H]([N-]S(=O)(=O)C(Cl)(Cl)Cl)CC1=O ZINC001192043469 745680343 /nfs/dbraw/zinc/68/03/43/745680343.db2.gz VYLIERKKIDRIGQ-ZCFIWIBFSA-N -1 1 323.629 1.243 20 0 DDADMM COc1ncnc(NC(=O)c2c(F)ccc([O-])c2F)c1OC ZINC001192524450 745801925 /nfs/dbraw/zinc/80/19/25/745801925.db2.gz NFXCRWRIHUGOFG-UHFFFAOYSA-N -1 1 311.244 1.730 20 0 DDADMM CN(C(=O)c1c(F)ccc([O-])c1F)C1CCS(=O)(=O)CC1 ZINC001192536025 745825119 /nfs/dbraw/zinc/82/51/19/745825119.db2.gz OXUUXAVOUUPEIN-UHFFFAOYSA-N -1 1 319.329 1.320 20 0 DDADMM Cn1cc2c(n1)[C@H](CNC(=O)c1cc([O-])cc(F)c1F)OCC2 ZINC001192669976 745855911 /nfs/dbraw/zinc/85/59/11/745855911.db2.gz AYOBJLBKATWNAO-LBPRGKRZSA-N -1 1 323.299 1.448 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CCC[C@H](n2cncn2)C1 ZINC001192664905 745867987 /nfs/dbraw/zinc/86/79/87/745867987.db2.gz QKRNCTUEQKDZMK-VIFPVBQESA-N -1 1 308.288 1.739 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CCC2(CCC(=O)N2)CC1 ZINC001192665859 745869010 /nfs/dbraw/zinc/86/90/10/745869010.db2.gz WHZUOTVBXHSHAS-UHFFFAOYSA-N -1 1 310.300 1.555 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1Cc1ccon1 ZINC000993225557 745919596 /nfs/dbraw/zinc/91/95/96/745919596.db2.gz ZUOGJRPEEQRYBZ-WCQYABFASA-N -1 1 316.361 1.558 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cccc(CO)c1 ZINC001193057493 745975433 /nfs/dbraw/zinc/97/54/33/745975433.db2.gz HMXZGQZVBUFWRP-UHFFFAOYSA-N -1 1 301.364 1.262 20 0 DDADMM COc1ccc(Cl)c([N-]S(=O)(=O)C[C@H]2CCCO2)n1 ZINC001193212848 746015585 /nfs/dbraw/zinc/01/55/85/746015585.db2.gz GYZBTRLYLPDJLM-MRVPVSSYSA-N -1 1 306.771 1.664 20 0 DDADMM O=S(=O)(CC1CCC1)[N-]c1cnc(Br)nc1 ZINC001193470877 746113835 /nfs/dbraw/zinc/11/38/35/746113835.db2.gz AXTQUCJPCYVKKL-UHFFFAOYSA-N -1 1 306.185 1.781 20 0 DDADMM CCCc1ncncc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001193435893 746094116 /nfs/dbraw/zinc/09/41/16/746094116.db2.gz IJULOSNAXCDMGO-UHFFFAOYSA-N -1 1 300.244 1.818 20 0 DDADMM CCCc1ncncc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001193435984 746094524 /nfs/dbraw/zinc/09/45/24/746094524.db2.gz LAZWQRXANFDXJB-UHFFFAOYSA-N -1 1 304.266 1.281 20 0 DDADMM COc1nc(C)c(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)s1 ZINC001193483068 746117149 /nfs/dbraw/zinc/11/71/49/746117149.db2.gz OYMRTHKBWOLQEZ-UHFFFAOYSA-N -1 1 304.335 1.061 20 0 DDADMM COc1ccnc(C(=O)Nc2ccc3[nH]c(=O)cnc3c2)c1[O-] ZINC001193542590 746124960 /nfs/dbraw/zinc/12/49/60/746124960.db2.gz HTHFNVATOBSLMF-UHFFFAOYSA-N -1 1 312.285 1.285 20 0 DDADMM COC[C@@H](C)Oc1cc(NC(=O)c2nccc(OC)c2[O-])[nH]n1 ZINC001193524704 746133142 /nfs/dbraw/zinc/13/31/42/746133142.db2.gz ICAODMZCCSKSJV-MRVPVSSYSA-N -1 1 322.321 1.185 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1cccc2c1CC(=O)NC2 ZINC001193934443 746227045 /nfs/dbraw/zinc/22/70/45/746227045.db2.gz GJKLFDQGAGYQPZ-UHFFFAOYSA-N -1 1 317.370 1.242 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccccc2N)cc1C(N)=O ZINC001193923637 746238778 /nfs/dbraw/zinc/23/87/78/746238778.db2.gz CGRDIEOESLBJDU-UHFFFAOYSA-N -1 1 321.358 1.177 20 0 DDADMM CCOc1c(C(=O)Nc2ccc3[nH][n-]c(=O)c3c2)cnn1C ZINC001194375645 746356622 /nfs/dbraw/zinc/35/66/22/746356622.db2.gz NVWUSJRFWQZMRC-UHFFFAOYSA-N -1 1 301.306 1.653 20 0 DDADMM CCOc1cc(C(=O)[N-]c2c(C)noc2C(=O)OC)cnn1 ZINC001194449257 746374673 /nfs/dbraw/zinc/37/46/73/746374673.db2.gz GJNBBFDBBAKJCE-UHFFFAOYSA-N -1 1 306.278 1.211 20 0 DDADMM O=S(=O)([N-]Cc1ccno1)c1ncccc1C(F)(F)F ZINC001194576342 746412583 /nfs/dbraw/zinc/41/25/83/746412583.db2.gz KGRXHZNKIYGZCX-UHFFFAOYSA-N -1 1 307.253 1.567 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2ncccc2C(F)(F)F)C[C@@H](O)C1 ZINC001194578466 746413550 /nfs/dbraw/zinc/41/35/50/746413550.db2.gz OYHFOQRNLOXYGT-GVJMRKKJSA-N -1 1 310.297 1.292 20 0 DDADMM Cc1cccc(C)c1S(=O)(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001194658982 746430226 /nfs/dbraw/zinc/43/02/26/746430226.db2.gz MKTLYHVQAMIPCS-UHFFFAOYSA-N -1 1 319.346 1.476 20 0 DDADMM O=S(=O)([N-]Cc1cncc(F)c1)c1ccc(F)nc1F ZINC001194851320 746472783 /nfs/dbraw/zinc/47/27/83/746472783.db2.gz ZNESLCULFHXPBX-UHFFFAOYSA-N -1 1 303.265 1.372 20 0 DDADMM Cc1ccnc(N2CCN(C(=O)c3nc(C)ccc3[O-])CC2)n1 ZINC001195326985 746566874 /nfs/dbraw/zinc/56/68/74/746566874.db2.gz WGQVVQBSEWGXAL-UHFFFAOYSA-N -1 1 313.361 1.156 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)c1cc([O-])cnc1Cl)C(=O)N(C)C ZINC001195315816 746575405 /nfs/dbraw/zinc/57/54/05/746575405.db2.gz STBZEYRNLTXREL-KWQFWETISA-N -1 1 313.785 1.673 20 0 DDADMM Nc1ccc([C@H](CCO)NC(=O)c2cc([O-])cnc2Cl)cc1 ZINC001195315859 746575491 /nfs/dbraw/zinc/57/54/91/746575491.db2.gz UJHWHWWGJZLDBR-ZDUSSCGKSA-N -1 1 321.764 1.876 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(N2CCOCC2)cc1 ZINC001195449307 746604017 /nfs/dbraw/zinc/60/40/17/746604017.db2.gz PNNVURKQTCDEKD-UHFFFAOYSA-N -1 1 309.391 1.966 20 0 DDADMM Cc1ccc2c(c1[N-]S(=O)(=O)c1ccc(O)cc1)CNC2=O ZINC001195793421 746707181 /nfs/dbraw/zinc/70/71/81/746707181.db2.gz FBEIGWKKLZLIJS-UHFFFAOYSA-N -1 1 318.354 1.745 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1cc(C)ccc1CCCO ZINC001195975973 746744362 /nfs/dbraw/zinc/74/43/62/746744362.db2.gz HLALJEAZMYOODD-UHFFFAOYSA-N -1 1 315.391 1.225 20 0 DDADMM Cc1ccc(NC(=O)c2c[nH]c(=S)[n-]c2=O)c2n[nH]cc21 ZINC001196015710 746757356 /nfs/dbraw/zinc/75/73/56/746757356.db2.gz GYPBROGMSSDRIB-UHFFFAOYSA-N -1 1 301.331 1.908 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cc(Cl)ncc2[O-])n[nH]1 ZINC001196387532 746851390 /nfs/dbraw/zinc/85/13/90/746851390.db2.gz PFHJFMGLNFRQLT-UHFFFAOYSA-N -1 1 310.697 1.593 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1ncc(F)cn1 ZINC001197199586 747101541 /nfs/dbraw/zinc/10/15/41/747101541.db2.gz VBWBRCFQMRMWJX-UHFFFAOYSA-N -1 1 313.086 1.731 20 0 DDADMM O=S(=O)(Cc1cccnc1)[N-]c1cnc(C(F)(F)F)nc1 ZINC001197421493 747159796 /nfs/dbraw/zinc/15/97/96/747159796.db2.gz GLXBPLZYTNNESA-UHFFFAOYSA-N -1 1 318.280 1.832 20 0 DDADMM Cc1cccc2c1[C@H]([N-]S(=O)(=O)Cc1ccccn1)C(=O)N2 ZINC001197840821 747276029 /nfs/dbraw/zinc/27/60/29/747276029.db2.gz TYFOSQPEMRWAHH-AWEZNQCLSA-N -1 1 317.370 1.503 20 0 DDADMM CC(=O)[C@H]([N-]S(=O)(=O)c1cc(C)ccn1)c1ccccc1 ZINC001198019083 747338098 /nfs/dbraw/zinc/33/80/98/747338098.db2.gz SEUHPOAPFAILQK-HNNXBMFYSA-N -1 1 304.371 1.999 20 0 DDADMM O=C(Nc1nc2c(s1)C(=O)CCC2)c1ccc([O-])c(=O)[nH]1 ZINC001199198791 747738317 /nfs/dbraw/zinc/73/83/17/747738317.db2.gz LGSBAFFMPSTNGX-UHFFFAOYSA-N -1 1 305.315 1.721 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(F)F ZINC001004303208 748276318 /nfs/dbraw/zinc/27/63/18/748276318.db2.gz MIMCTRVIPJSOCA-IUCAKERBSA-N -1 1 313.304 1.019 20 0 DDADMM CSc1nc(NC(=O)c2ccc3nccn3c2)cc(=O)[n-]1 ZINC001201270110 748463295 /nfs/dbraw/zinc/46/32/95/748463295.db2.gz AHIOQMOGQDEEEO-UHFFFAOYSA-N -1 1 301.331 1.804 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]Cc1ncc(F)cn1 ZINC001201765257 748597758 /nfs/dbraw/zinc/59/77/58/748597758.db2.gz PNFCZGSVCKIILX-UHFFFAOYSA-N -1 1 322.774 1.513 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1ccc(F)cc1C(C)=O ZINC001201915611 748640965 /nfs/dbraw/zinc/64/09/65/748640965.db2.gz NHKWSOXEQXMYRA-UHFFFAOYSA-N -1 1 317.338 1.723 20 0 DDADMM CC(C)c1nccc(NC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108322797 761931865 /nfs/dbraw/zinc/93/18/65/761931865.db2.gz BSLVZXSTCFNSSQ-NSHDSACASA-N -1 1 315.377 1.931 20 0 DDADMM CSc1nc(NC(=O)c2cccc3nccn32)cc(=O)[n-]1 ZINC001154518089 749805005 /nfs/dbraw/zinc/80/50/05/749805005.db2.gz SASJLGRLWZNTJW-UHFFFAOYSA-N -1 1 301.331 1.804 20 0 DDADMM Cc1nc(Cl)cc(NC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001107690413 750385331 /nfs/dbraw/zinc/38/53/31/750385331.db2.gz ZUEMVRQDYVBNJV-MRVPVSSYSA-N -1 1 321.768 1.769 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2COC3(CCC3)C2)c1Cl ZINC000688283047 750458458 /nfs/dbraw/zinc/45/84/58/750458458.db2.gz NCPNWBRFXIBOEY-MRVPVSSYSA-N -1 1 305.787 1.063 20 0 DDADMM COc1ccnc(N[C@H](C)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001115719560 750903682 /nfs/dbraw/zinc/90/36/82/750903682.db2.gz TWZNCQQDULHZCG-SNVBAGLBSA-N -1 1 317.349 1.158 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])Nc1cnc(F)cn1 ZINC001115719886 750942608 /nfs/dbraw/zinc/94/26/08/750942608.db2.gz XKTJSQUDBGSHGM-VIFPVBQESA-N -1 1 305.313 1.289 20 0 DDADMM CC1(C(=O)N2CCC3(CC[N@@H+](Cc4n[nH]c(=O)[n-]4)C3)CC2)CC1 ZINC001035192724 751292389 /nfs/dbraw/zinc/29/23/89/751292389.db2.gz ZKSLELHMTDOFIJ-UHFFFAOYSA-N -1 1 319.409 1.125 20 0 DDADMM CC1(C(=O)N2CCC3(CCN(Cc4n[nH]c(=O)[n-]4)C3)CC2)CC1 ZINC001035192724 751292390 /nfs/dbraw/zinc/29/23/90/751292390.db2.gz ZKSLELHMTDOFIJ-UHFFFAOYSA-N -1 1 319.409 1.125 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1CC1 ZINC001007772399 752335835 /nfs/dbraw/zinc/33/58/35/752335835.db2.gz NMGPJTBGIIJFCG-LBPRGKRZSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1CCCN(C(=O)C=C2CCC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036535578 752526584 /nfs/dbraw/zinc/52/65/84/752526584.db2.gz XJDFOZGZKJZFSO-YPMHNXCESA-N -1 1 319.409 1.337 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC000999819806 753161624 /nfs/dbraw/zinc/16/16/24/753161624.db2.gz KCWQBZJYBCKBSK-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H](NC(=O)C1=CCCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005891711 753321803 /nfs/dbraw/zinc/32/18/03/753321803.db2.gz DDRXTTUQIFVGAC-LLVKDONJSA-N -1 1 315.373 1.474 20 0 DDADMM C/C=C(/C)C(=O)N1C[C@H](CNC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001010099952 753612556 /nfs/dbraw/zinc/61/25/56/753612556.db2.gz WCGBYWQAOGRILE-WIGWWYOCSA-N -1 1 317.389 1.720 20 0 DDADMM O=C(C=C1CCC1)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839102 753758953 /nfs/dbraw/zinc/75/89/53/753758953.db2.gz ICUYEEMHPZPQLJ-UHFFFAOYSA-N -1 1 315.373 1.666 20 0 DDADMM O=C(NC1(CNC(=O)c2ncccc2[O-])CCC1)c1ccc[nH]1 ZINC001062838928 753759158 /nfs/dbraw/zinc/75/91/58/753759158.db2.gz AIJKIRALRKXRDG-UHFFFAOYSA-N -1 1 314.345 1.198 20 0 DDADMM CC[C@H](OC)C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001066976052 754051336 /nfs/dbraw/zinc/05/13/36/754051336.db2.gz CFTYXGKFVIMSOS-ZDUSSCGKSA-N -1 1 323.393 1.133 20 0 DDADMM O=C(N[C@H]1CC[C@H](Nc2ncccn2)CC1)c1ncccc1[O-] ZINC001063365483 754115791 /nfs/dbraw/zinc/11/57/91/754115791.db2.gz VQUAXHUDRXOUTG-HAQNSBGRSA-N -1 1 313.361 1.730 20 0 DDADMM O=C(N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)C1CCCC1 ZINC001078528531 754160345 /nfs/dbraw/zinc/16/03/45/754160345.db2.gz DZHWUUTYAKYHNX-VXGBXAGGSA-N -1 1 319.409 1.218 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]1CNc1cnc(F)cn1 ZINC001063474666 754171026 /nfs/dbraw/zinc/17/10/26/754171026.db2.gz QBJCZTRUCHWBNI-SNVBAGLBSA-N -1 1 317.324 1.433 20 0 DDADMM CC1(CC(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC001011037693 754320042 /nfs/dbraw/zinc/32/00/42/754320042.db2.gz IAKFPAHYCBGGQE-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM CC(=O)N[C@@H]1c2ccccc2C[C@H]1NC(=O)c1ncccc1[O-] ZINC001001928728 754585049 /nfs/dbraw/zinc/58/50/49/754585049.db2.gz ZMAZKMJZGYFGSI-UKRRQHHQSA-N -1 1 311.341 1.319 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)C(F)F)C(=O)c1ncccc1[O-] ZINC001001998913 754634152 /nfs/dbraw/zinc/63/41/52/754634152.db2.gz MWDRZTCQLXIQFJ-SECBINFHSA-N -1 1 313.304 1.115 20 0 DDADMM C[C@H]1[C@@H](NC(=O)C2(C)CCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011681732 754674653 /nfs/dbraw/zinc/67/46/53/754674653.db2.gz XPPCOLFROSKVLS-RYUDHWBXSA-N -1 1 317.389 1.697 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]1CNc1ncc(F)cn1 ZINC001064897444 754937697 /nfs/dbraw/zinc/93/76/97/754937697.db2.gz MRPFGMAEWSEXQJ-NSHDSACASA-N -1 1 317.324 1.433 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1CCC1 ZINC001012433037 755068851 /nfs/dbraw/zinc/06/88/51/755068851.db2.gz QIQJPKNPIRKRBZ-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1[C@@H](Nc2cnc(F)cn2)CCN1C(=O)c1ncccc1[O-] ZINC001040106709 762386846 /nfs/dbraw/zinc/38/68/46/762386846.db2.gz LZACMCDUDVMQLO-UWVGGRQHSA-N -1 1 317.324 1.431 20 0 DDADMM CCC[C@@H](C)C(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079508633 755381817 /nfs/dbraw/zinc/38/18/17/755381817.db2.gz JDLOLBGMHABAME-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM CC(C)C(=O)N1CC[C@]2(NC(=O)c3ncccc3[O-])CCC[C@@H]12 ZINC001014253534 755663135 /nfs/dbraw/zinc/66/31/35/755663135.db2.gz QBAXWTABHSEVIU-CXAGYDPISA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1Nc1ncc(F)cn1 ZINC001067075082 755673401 /nfs/dbraw/zinc/67/34/01/755673401.db2.gz ARKBOFWZASUDKR-GXSJLCMTSA-N -1 1 317.324 1.289 20 0 DDADMM C[C@H]1[C@H](NC(=O)C2CCC2)CCCN1C(=O)c1ncccc1[O-] ZINC001014669507 755870304 /nfs/dbraw/zinc/87/03/04/755870304.db2.gz LJKCUQMUEAYNCC-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM CCN(C(=O)C[C@H]1C[C@@H]1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080453632 755920311 /nfs/dbraw/zinc/92/03/11/755920311.db2.gz QUXAIUSPYUUHQR-NWDGAFQWSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2C=CCC2)c(Br)n1C ZINC000698324488 756625194 /nfs/dbraw/zinc/62/51/94/756625194.db2.gz APDSAMBOMJOMRO-QMMMGPOBSA-N -1 1 320.212 1.488 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])Nc1ncncc1Cl ZINC001097764394 757508871 /nfs/dbraw/zinc/50/88/71/757508871.db2.gz FMZVKJAMQKVIGM-QMMMGPOBSA-N -1 1 307.741 1.461 20 0 DDADMM CC(C)OC[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000821933518 758182673 /nfs/dbraw/zinc/18/26/73/758182673.db2.gz ORUOOBSACVBZSI-LBPRGKRZSA-N -1 1 321.377 1.326 20 0 DDADMM CCn1c(C)cc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1C ZINC000823580530 758325970 /nfs/dbraw/zinc/32/59/70/758325970.db2.gz FJVXWIMXRVHMCP-UHFFFAOYSA-N -1 1 302.382 1.658 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085174110 758332146 /nfs/dbraw/zinc/33/21/46/758332146.db2.gz WOHGEJIPDNQHKQ-GMTAPVOTSA-N -1 1 307.398 1.073 20 0 DDADMM Cc1ccnc(N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)n1 ZINC001065244695 758413891 /nfs/dbraw/zinc/41/38/91/758413891.db2.gz ACDTVIFXWITXOR-INIZCTEOSA-N -1 1 313.361 1.284 20 0 DDADMM O=C(N[C@@H]1COC2(CN(C/C=C/Cl)C2)C1)c1ncccc1[O-] ZINC001053784172 758810070 /nfs/dbraw/zinc/81/00/70/758810070.db2.gz HTVQOXFQSBFMFO-FWEMWIAWSA-N -1 1 323.780 1.113 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(c2cccc(F)n2)CC1 ZINC001057127154 762694198 /nfs/dbraw/zinc/69/41/98/762694198.db2.gz FHXVRPKCQQHUPU-UHFFFAOYSA-N -1 1 316.336 1.674 20 0 DDADMM CC[C@@H](C)C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018806741 759289528 /nfs/dbraw/zinc/28/95/28/759289528.db2.gz YUQGDFGHKCBEGK-GFCCVEGCSA-N -1 1 317.389 1.508 20 0 DDADMM CC(C)(C)C[C@@H]1C[C@H]1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018869787 759353358 /nfs/dbraw/zinc/35/33/58/759353358.db2.gz BTXWMQTYBXPZKH-SDDRHHMPSA-N -1 1 321.425 1.273 20 0 DDADMM C/C=C(/C)C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018921069 759409833 /nfs/dbraw/zinc/40/98/33/759409833.db2.gz VFHACVLYYIMACH-BASWHVEKSA-N -1 1 315.373 1.428 20 0 DDADMM Cc1oc(C(C)C)cc1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000827967899 759430931 /nfs/dbraw/zinc/43/09/31/759430931.db2.gz NZNQGQVNAYFDJB-NSHDSACASA-N -1 1 305.338 1.438 20 0 DDADMM Cn1nc(C(=O)[O-])cc1C(=O)N1CCN(C2CCCCC2)CC1 ZINC000828147111 759504066 /nfs/dbraw/zinc/50/40/66/759504066.db2.gz RPOMGFPLMAHUNZ-UHFFFAOYSA-N -1 1 320.393 1.209 20 0 DDADMM CCc1c(C(=O)N(C)c2nn[n-]n2)cnn1-c1ccc(F)cc1 ZINC001131170213 767916720 /nfs/dbraw/zinc/91/67/20/767916720.db2.gz UJUWLLKNSGMENK-UHFFFAOYSA-N -1 1 315.312 1.364 20 0 DDADMM Cc1cc(N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)nc(C)n1 ZINC001056612513 761287983 /nfs/dbraw/zinc/28/79/83/761287983.db2.gz MYMMIBPTXCRSGJ-GFCCVEGCSA-N -1 1 313.361 1.521 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](Nc2nccnc2F)C1 ZINC001056612495 761288314 /nfs/dbraw/zinc/28/83/14/761288314.db2.gz LVHUXJMGWKSPMG-SECBINFHSA-N -1 1 303.297 1.043 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001540370 762946002 /nfs/dbraw/zinc/94/60/02/762946002.db2.gz ANINANZMEXQGSE-STQMWFEESA-N -1 1 317.389 1.268 20 0 DDADMM CC(C)C1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001001541628 762946590 /nfs/dbraw/zinc/94/65/90/762946590.db2.gz MTNDVGFFENVLDT-UHFFFAOYSA-N -1 1 317.389 1.412 20 0 DDADMM CC(C)C(=O)N[C@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531120 763716888 /nfs/dbraw/zinc/71/68/88/763716888.db2.gz RAHLPYYLLVNMSN-NEPJUHHUSA-N -1 1 305.378 1.410 20 0 DDADMM Cc1ncc(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)o1 ZINC001042646294 764309959 /nfs/dbraw/zinc/30/99/59/764309959.db2.gz OBDJUDYCQJIHGE-UHFFFAOYSA-N -1 1 302.334 1.040 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(Cc2cncs2)C1 ZINC001042646310 764310204 /nfs/dbraw/zinc/31/02/04/764310204.db2.gz OPNGVAIJQOMHJS-UHFFFAOYSA-N -1 1 304.375 1.200 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCN(C[C@H](C)CC(C)C)CC2)c1[O-] ZINC001112767735 764725041 /nfs/dbraw/zinc/72/50/41/764725041.db2.gz CSKSQKWCQIKARB-GFCCVEGCSA-N -1 1 308.426 1.864 20 0 DDADMM CN1Cc2c(Nc3[n-]c(=O)nc4nc[nH]c43)cccc2NC1=O ZINC001170228838 766203030 /nfs/dbraw/zinc/20/30/30/766203030.db2.gz KJFSEGLMSDRDHX-UHFFFAOYSA-N -1 1 311.305 1.779 20 0 DDADMM CCc1nsc(NC2(CNC(=O)c3ncccc3[O-])CC2)n1 ZINC001110097767 766226429 /nfs/dbraw/zinc/22/64/29/766226429.db2.gz FSZVHMIZIHDZRP-UHFFFAOYSA-N -1 1 319.390 1.576 20 0 DDADMM CC1(NC(=O)c2ncccc2[O-])CCN(Cc2ccon2)CC1 ZINC001045414692 766292502 /nfs/dbraw/zinc/29/25/02/766292502.db2.gz CKAQVKXLPRWABH-UHFFFAOYSA-N -1 1 316.361 1.560 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)/C=C/c3ccncc3C)nc2n1 ZINC001129519537 766924481 /nfs/dbraw/zinc/92/44/81/766924481.db2.gz IHBILTYGVANICV-ONEGZZNKSA-N -1 1 310.317 1.081 20 0 DDADMM O=C(Cn1ncc2cccnc21)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001129639665 766955506 /nfs/dbraw/zinc/95/55/06/766955506.db2.gz QLLUUJLXEMYCFE-UHFFFAOYSA-N -1 1 324.344 1.444 20 0 DDADMM O=C(NC[C@H]1C[C@@H](Nc2cnc(F)cn2)C1)c1ncccc1[O-] ZINC001046333718 767433140 /nfs/dbraw/zinc/43/31/40/767433140.db2.gz JWJJBWISXLFFEO-AOOOYVTPSA-N -1 1 317.324 1.337 20 0 DDADMM CN(C(=O)c1cc(COc2ccccc2F)on1)c1nn[n-]n1 ZINC001130971932 767824957 /nfs/dbraw/zinc/82/49/57/767824957.db2.gz JZTSVGYCFMAIQL-UHFFFAOYSA-N -1 1 318.268 1.182 20 0 DDADMM CSCCC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648391 768643660 /nfs/dbraw/zinc/64/36/60/768643660.db2.gz HUIJYKRSYXOQHG-LLVKDONJSA-N -1 1 323.418 1.261 20 0 DDADMM C[C@H](C[C@H](C)NCc1cnsn1)NC(=O)c1ncccc1[O-] ZINC001134159943 770709939 /nfs/dbraw/zinc/70/99/39/770709939.db2.gz FWGCNMAGOMTMEA-VHSXEESVSA-N -1 1 321.406 1.325 20 0 DDADMM CCc1ccc([C@@H](C)C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001135369086 771464185 /nfs/dbraw/zinc/46/41/85/771464185.db2.gz MXPNBXOOVRQWNJ-LLVKDONJSA-N -1 1 317.393 1.082 20 0 DDADMM CCCCOC(=O)c1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001135647595 771628022 /nfs/dbraw/zinc/62/80/22/771628022.db2.gz IEEYZCZZUYOZLN-UHFFFAOYSA-N -1 1 317.349 1.728 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H](C(N)=O)c2ccccc2)c1 ZINC001136104981 771886537 /nfs/dbraw/zinc/88/65/37/771886537.db2.gz ZVVXAVBYCDNVNF-AWEZNQCLSA-N -1 1 312.325 1.470 20 0 DDADMM O=C([N-]c1nnc(-c2ccccn2)s1)c1csc(CO)n1 ZINC001136359752 771945693 /nfs/dbraw/zinc/94/56/93/771945693.db2.gz VGOCCKLCUIEEFH-UHFFFAOYSA-N -1 1 319.371 1.801 20 0 DDADMM Cc1ccc(NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cc1C(N)=O ZINC001143689443 772293832 /nfs/dbraw/zinc/29/38/32/772293832.db2.gz BSNDPIKARUMJKC-UHFFFAOYSA-N -1 1 318.358 1.439 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001144501734 772551808 /nfs/dbraw/zinc/55/18/08/772551808.db2.gz AFHFDWAKSHRIHN-SSDOTTSWSA-N -1 1 315.289 1.122 20 0 DDADMM Cc1oc(C(C)C)cc1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001146338613 772843711 /nfs/dbraw/zinc/84/37/11/772843711.db2.gz NFPZWAHMHDGION-UHFFFAOYSA-N -1 1 307.354 1.055 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC(n3cccn3)CC2)c1[O-] ZINC001147838392 773263974 /nfs/dbraw/zinc/26/39/74/773263974.db2.gz QKGHTKDGLADAOT-UHFFFAOYSA-N -1 1 316.361 1.262 20 0 DDADMM COC(=O)c1ccccc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001148240590 773420705 /nfs/dbraw/zinc/42/07/05/773420705.db2.gz XPFMZZDYGKZEPB-UHFFFAOYSA-N -1 1 313.273 1.097 20 0 DDADMM CSCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001074797967 774146293 /nfs/dbraw/zinc/14/62/93/774146293.db2.gz XBUYPYZUGFJZEF-WDEREUQCSA-N -1 1 323.418 1.260 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)CCCF)CCN1C(=O)c1ncccc1[O-] ZINC001075107772 774357582 /nfs/dbraw/zinc/35/75/82/774357582.db2.gz OKWVCEVBTLNLCO-NEPJUHHUSA-N -1 1 323.368 1.646 20 0 DDADMM CCC1(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001036814757 774700042 /nfs/dbraw/zinc/70/00/42/774700042.db2.gz SVFBZMVCPJECBH-RYUDHWBXSA-N -1 1 319.409 1.123 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])c1nc(C2CC2)ns1 ZINC001100071038 775645120 /nfs/dbraw/zinc/64/51/20/775645120.db2.gz OZJJNKNJXAWUSU-UHFFFAOYSA-N -1 1 319.390 1.382 20 0 DDADMM CCc1nsc(N(CC)CCNC(=O)c2ncccc2[O-])n1 ZINC001100500779 776241590 /nfs/dbraw/zinc/24/15/90/776241590.db2.gz ASLSWPHHAPHWKQ-UHFFFAOYSA-N -1 1 321.406 1.457 20 0 DDADMM Cc1nc(C)c(F)c(NCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001101560971 777250127 /nfs/dbraw/zinc/25/01/27/777250127.db2.gz ATANYALYUJVZBL-UHFFFAOYSA-N -1 1 319.340 1.517 20 0 DDADMM COc1ccc(Nc2ccc3nn[nH]c3c2)c(S(=O)(=O)[O-])c1 ZINC001176004560 777996620 /nfs/dbraw/zinc/99/66/20/777996620.db2.gz PMMQHDVHSWZJLX-UHFFFAOYSA-N -1 1 320.330 1.957 20 0 DDADMM COc1ccc(Nc2ccc3n[nH]nc3c2)c(S(=O)(=O)[O-])c1 ZINC001176004560 777996626 /nfs/dbraw/zinc/99/66/26/777996626.db2.gz PMMQHDVHSWZJLX-UHFFFAOYSA-N -1 1 320.330 1.957 20 0 DDADMM CO[C@H]1COCC[C@@H]1N(C)C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001176083194 778017625 /nfs/dbraw/zinc/01/76/25/778017625.db2.gz CZYOGCRTGWEHHG-KBPBESRZSA-N -1 1 307.346 1.389 20 0 DDADMM CCC[C@H](C(=O)c1ccccc1)N(C)C(=O)Cc1nn[n-]n1 ZINC001176842156 778271406 /nfs/dbraw/zinc/27/14/06/778271406.db2.gz DMUGIFZILHPGPH-GFCCVEGCSA-N -1 1 301.350 1.252 20 0 DDADMM COc1ccc(OC(F)(F)F)cc1NC(=O)Cc1nn[n-]n1 ZINC001176842681 778272388 /nfs/dbraw/zinc/27/23/88/778272388.db2.gz PFRQBEUHLDUETD-UHFFFAOYSA-N -1 1 317.227 1.288 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)C(F)(F)c1ccccn1 ZINC001177303467 778518940 /nfs/dbraw/zinc/51/89/40/778518940.db2.gz UNAHLYXYBBIZMH-UHFFFAOYSA-N -1 1 306.232 1.184 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1cccc(F)n1 ZINC001103068558 778616551 /nfs/dbraw/zinc/61/65/51/778616551.db2.gz HMYLVJCSSVAKKI-JTQLQIEISA-N -1 1 304.325 1.942 20 0 DDADMM Cc1nc(C(=O)[N-]c2cnoc2C(C)C)nn1-c1nc[nH]n1 ZINC001177843786 778758461 /nfs/dbraw/zinc/75/84/61/778758461.db2.gz MTQBOWSNBRAFQM-UHFFFAOYSA-N -1 1 302.298 1.058 20 0 DDADMM CSc1nc(NC(=O)C2(c3cnccn3)CC2)cc(=O)[n-]1 ZINC001178017623 778814158 /nfs/dbraw/zinc/81/41/58/778814158.db2.gz PRCHDQJNZMLDIG-UHFFFAOYSA-N -1 1 303.347 1.364 20 0 DDADMM Cc1cccnc1C1(C(=O)NCCCC[P@](=O)([O-])O)CC1 ZINC001178209878 778944869 /nfs/dbraw/zinc/94/48/69/778944869.db2.gz WYUUCQRNLHHRNR-UHFFFAOYSA-N -1 1 312.306 1.496 20 0 DDADMM CC(C)c1ccnc(CC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)c1 ZINC001178221160 778958360 /nfs/dbraw/zinc/95/83/60/778958360.db2.gz ZDTLZJKWIDRTMN-UHFFFAOYSA-N -1 1 303.322 1.170 20 0 DDADMM O=C([O-])C(F)(F)C(F)(F)C(=O)NCc1ncn2ccccc12 ZINC001180177037 779636185 /nfs/dbraw/zinc/63/61/85/779636185.db2.gz XZHGNCNSSBEXOU-UHFFFAOYSA-N -1 1 319.214 1.306 20 0 DDADMM O=C(Nc1cccc(C(F)(F)F)c1[O-])[C@H]1CSCC(=O)N1 ZINC001180551433 779827613 /nfs/dbraw/zinc/82/76/13/779827613.db2.gz CLQMDJONVIVJSE-MRVPVSSYSA-N -1 1 320.292 1.581 20 0 DDADMM Cn1[n-]c(CN2CCC[C@H](c3nc4ccccc4[nH]3)C2)nc1=O ZINC001116557318 780523422 /nfs/dbraw/zinc/52/34/22/780523422.db2.gz IKIWITORXYJQGT-NSHDSACASA-N -1 1 312.377 1.364 20 0 DDADMM C[C@@]1(O)C[C@H]([N-]S(=O)(=O)c2cnc(Cl)cc2Cl)C1 ZINC001118026003 780994723 /nfs/dbraw/zinc/99/47/23/780994723.db2.gz UDFCBUDXCGJOGT-XGAOUMNUSA-N -1 1 311.190 1.580 20 0 DDADMM Cc1noc(C)c1CCCNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC001119643978 781546734 /nfs/dbraw/zinc/54/67/34/781546734.db2.gz HODQSMRNNPUBEH-ZDUSSCGKSA-N -1 1 323.393 1.137 20 0 DDADMM C[C@@H]1CCCC[C@H]1CC(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001267136228 837403705 /nfs/dbraw/zinc/40/37/05/837403705.db2.gz ADDNBLQKCLVMJG-NEPJUHHUSA-N -1 1 309.414 1.275 20 0 DDADMM CC[C@@H](C)CC(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409137086 844413091 /nfs/dbraw/zinc/41/30/91/844413091.db2.gz KFNILKRCQYWGJA-LLVKDONJSA-N -1 1 305.378 1.412 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccccc1C ZINC001268431026 840174603 /nfs/dbraw/zinc/17/46/03/840174603.db2.gz AYENTKRRPBGMSZ-UHFFFAOYSA-N -1 1 303.366 1.071 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-][C@@H](C)c1nnc(C)o1 ZINC001414231465 844512227 /nfs/dbraw/zinc/51/22/27/844512227.db2.gz RNAAABAIZLXCCR-WCBMZHEXSA-N -1 1 319.383 1.090 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCC[C@@H](C)CC2)[n-]n1 ZINC001414246598 840525951 /nfs/dbraw/zinc/52/59/51/840525951.db2.gz IAAMKZAVTFIUBM-ZJUUUORDSA-N -1 1 315.395 1.443 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCC[C@@H](C)CC2)n[n-]1 ZINC001414246598 840525954 /nfs/dbraw/zinc/52/59/54/840525954.db2.gz IAAMKZAVTFIUBM-ZJUUUORDSA-N -1 1 315.395 1.443 20 0 DDADMM NC(=O)c1[nH]nc2c1CCN(C(=O)c1cc(Cl)ccc1[O-])C2 ZINC001269574134 841782372 /nfs/dbraw/zinc/78/23/72/841782372.db2.gz NFXKCCGJUUYSEN-UHFFFAOYSA-N -1 1 320.736 1.066 20 0 DDADMM CN1CC[C@]2(CCN(C(=O)c3cc(Cl)ccc3[O-])C2)C1=O ZINC001269685758 841934584 /nfs/dbraw/zinc/93/45/84/841934584.db2.gz DGABKFYJECRJLW-OAHLLOKOSA-N -1 1 308.765 1.740 20 0 DDADMM CCN(C/C=C\Cl)[C@H](C)CNC(=O)c1c(C)nc[nH]c1=O ZINC001154779769 861446167 /nfs/dbraw/zinc/44/61/67/861446167.db2.gz CWDNLZMHTJIBJD-WWQCOOJYSA-N -1 1 312.801 1.683 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(CF)CCC1 ZINC001409261684 844726565 /nfs/dbraw/zinc/72/65/65/844726565.db2.gz PURFTJRRBAOKEP-NSHDSACASA-N -1 1 323.368 1.552 20 0 DDADMM CN(C(=O)c1c[nH]nc1-c1ccccc1Cl)c1nn[n-]n1 ZINC001149219741 861492402 /nfs/dbraw/zinc/49/24/02/861492402.db2.gz FOUUHVYAEWYFMD-UHFFFAOYSA-N -1 1 303.713 1.520 20 0 DDADMM Cc1ccc2cc(C(=O)NCc3nc([O-])cc(=O)[nH]3)ccc2n1 ZINC001149284173 861517952 /nfs/dbraw/zinc/51/79/52/861517952.db2.gz OCFYLRUDNGFCHB-UHFFFAOYSA-N -1 1 310.313 1.674 20 0 DDADMM C[C@](CNC(=O)c1ncccc1[O-])(NC(=O)C1CCC1)C1CC1 ZINC001409479350 845154201 /nfs/dbraw/zinc/15/42/01/845154201.db2.gz FPVAKGVOVPFYIV-QGZVFWFLSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(NCc1cc2n(n1)CCCO2)c1ccc2cccnc2c1[O-] ZINC001149327848 861548513 /nfs/dbraw/zinc/54/85/13/861548513.db2.gz MKXSPJJKJGYOKY-UHFFFAOYSA-N -1 1 324.340 1.849 20 0 DDADMM CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1ccc(Cl)cc1[O-] ZINC001272265836 845687571 /nfs/dbraw/zinc/68/75/71/845687571.db2.gz WPOHCLXZPNZXLI-VXGBXAGGSA-N -1 1 308.765 1.881 20 0 DDADMM CC(C)(C)CC(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272354253 846062073 /nfs/dbraw/zinc/06/20/73/846062073.db2.gz OEGJRFIDKCDEBP-NSHDSACASA-N -1 1 309.414 1.275 20 0 DDADMM CC(C)NC(=O)N1C[C@@H]2CCN(C(=O)c3cncc([O-])c3)[C@@H]2C1 ZINC001272672073 846562721 /nfs/dbraw/zinc/56/27/21/846562721.db2.gz DWLLIHSPFYXKEG-SMDDNHRTSA-N -1 1 318.377 1.052 20 0 DDADMM Cc1nc2ncccc2cc1C(=O)NCCCC[P@](=O)([O-])O ZINC001149559346 861709524 /nfs/dbraw/zinc/70/95/24/861709524.db2.gz MMXAGLRXEMJZPQ-UHFFFAOYSA-N -1 1 323.289 1.626 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NC[C@@H](O)c2cncs2)c1 ZINC001155099134 861729897 /nfs/dbraw/zinc/72/98/97/861729897.db2.gz PSIFHTMPCMNEOX-LLVKDONJSA-N -1 1 306.343 1.433 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2Cc1ccns1 ZINC001032368751 847155132 /nfs/dbraw/zinc/15/51/32/847155132.db2.gz JAQSTZGTQOKMLZ-QWRGUYRKSA-N -1 1 316.386 1.343 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CC[C@@H](O)[C@H](F)C1 ZINC001149649405 861783403 /nfs/dbraw/zinc/78/34/03/861783403.db2.gz CGBZBZVNCBMUFS-TZMCWYRMSA-N -1 1 305.305 1.796 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCCOC(F)F ZINC001327125444 861910235 /nfs/dbraw/zinc/91/02/35/861910235.db2.gz YESBSOFETZBLPE-UHFFFAOYSA-N -1 1 307.322 1.572 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCC2(C[C@@H]2CCO)CC1 ZINC001155265712 861912897 /nfs/dbraw/zinc/91/28/97/861912897.db2.gz XAPFSZOVXVAKOE-NSHDSACASA-N -1 1 315.373 1.893 20 0 DDADMM O=C(NCc1nc2cc[nH]cc-2n1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273816 861923380 /nfs/dbraw/zinc/92/33/80/861923380.db2.gz XWXJCAJDGJPUOQ-UHFFFAOYSA-N -1 1 308.301 1.470 20 0 DDADMM CC(F)(F)CC(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001410640371 849580831 /nfs/dbraw/zinc/58/08/31/849580831.db2.gz NAYWZRFAHLQHMZ-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1c2cc[nH]c2ccc1F ZINC001155438192 862087174 /nfs/dbraw/zinc/08/71/74/862087174.db2.gz UEWARVLBCBENKU-UHFFFAOYSA-N -1 1 312.264 1.931 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C3CC3)nn2CC)n1 ZINC001411373617 851776439 /nfs/dbraw/zinc/77/64/39/851776439.db2.gz WDGBPRPZKIFWOC-UHFFFAOYSA-N -1 1 317.349 1.933 20 0 DDADMM CN1CC[C@]2(CCCN2Cc2ccc(F)cc2C(=O)[O-])C1=O ZINC001274153289 851977853 /nfs/dbraw/zinc/97/78/53/851977853.db2.gz MDLZVEFLHCDMNH-MRXNPFEDSA-N -1 1 306.337 1.721 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC[C@@]3(CCC[C@@H]3C(N)=O)C2)n1 ZINC001274974814 852723877 /nfs/dbraw/zinc/72/38/77/852723877.db2.gz PABSAMWVZGDSLW-BZNIZROVSA-N -1 1 303.362 1.213 20 0 DDADMM COCCn1ccc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)n1 ZINC001411446435 853132055 /nfs/dbraw/zinc/13/20/55/853132055.db2.gz VEJHRCWBLQNAQO-UHFFFAOYSA-N -1 1 317.349 1.156 20 0 DDADMM NC(=O)[C@H]1CC12CCN(C(=O)c1ccc(Cl)cc1[O-])CC2 ZINC001275933748 853985762 /nfs/dbraw/zinc/98/57/62/853985762.db2.gz HSTXYAFHQRLVOH-LLVKDONJSA-N -1 1 308.765 1.773 20 0 DDADMM CCCc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc(=O)[nH]1 ZINC001411988910 854077285 /nfs/dbraw/zinc/07/72/85/854077285.db2.gz GTOLQYWISIGATE-VIFPVBQESA-N -1 1 304.354 1.129 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC[C@H](N2CCOCC2)C1 ZINC001412151073 854270258 /nfs/dbraw/zinc/27/02/58/854270258.db2.gz RWTWXFSTYXGTKU-LBPRGKRZSA-N -1 1 308.353 1.468 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)c2ccsc2)co1 ZINC001412295613 854417406 /nfs/dbraw/zinc/41/74/06/854417406.db2.gz HJVBPDGJDBAYCB-UHFFFAOYSA-N -1 1 300.361 1.526 20 0 DDADMM COCCC(=O)N[C@H](CCNC(=O)c1ncccc1[O-])C(C)C ZINC001412300269 854421536 /nfs/dbraw/zinc/42/15/36/854421536.db2.gz IOXYCXJKHFMARD-GFCCVEGCSA-N -1 1 323.393 1.084 20 0 DDADMM CN1c2ccccc2C[C@@H](NC(=O)c2c([O-])cccc2F)C1=O ZINC001412328850 854438065 /nfs/dbraw/zinc/43/80/65/854438065.db2.gz IQFITGOUSJFHHC-GFCCVEGCSA-N -1 1 314.316 1.849 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2ccc(C(=O)OC)s2)on1 ZINC001412385550 854496266 /nfs/dbraw/zinc/49/62/66/854496266.db2.gz NDTFBFKKNQOZNA-UHFFFAOYSA-N -1 1 310.287 1.562 20 0 DDADMM NS(=O)(=O)Cc1ccc(NC(=O)c2cccc([O-])c2F)cc1 ZINC001412387509 854496946 /nfs/dbraw/zinc/49/69/46/854496946.db2.gz WKESYLJBKFLBQA-UHFFFAOYSA-N -1 1 324.333 1.572 20 0 DDADMM O=C(N[C@@H](CO)Cc1cncs1)c1cc(Cl)ccc1[O-] ZINC001412399926 854508574 /nfs/dbraw/zinc/50/85/74/854508574.db2.gz BLBGRXCYYJJOLO-SECBINFHSA-N -1 1 312.778 1.836 20 0 DDADMM CCOc1ccc(NC(=O)CNC(=O)c2ccc([O-])cn2)cc1 ZINC001412445984 854556892 /nfs/dbraw/zinc/55/68/92/854556892.db2.gz JGPYTPYHXAOLJY-UHFFFAOYSA-N -1 1 315.329 1.554 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC[C@@H](CO)[C@H]1CO ZINC001412663863 854904057 /nfs/dbraw/zinc/90/40/57/854904057.db2.gz NSHCQLZUBCWGFC-WCQYABFASA-N -1 1 313.781 1.180 20 0 DDADMM CCC[C@H](OCC)C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001412683672 854941860 /nfs/dbraw/zinc/94/18/60/854941860.db2.gz AJBUUAVPEYBRDU-NSHDSACASA-N -1 1 311.382 1.402 20 0 DDADMM COc1cnc(C2(NC(=O)[C@H]3CCC(=O)C3)CCCC2)[n-]c1=O ZINC001412705408 854986799 /nfs/dbraw/zinc/98/67/99/854986799.db2.gz NDUOEGKRTXTPTH-JTQLQIEISA-N -1 1 319.361 1.446 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1CCC[C@H](C(=O)OC)C1)c1nn[n-]n1 ZINC001412935707 855799631 /nfs/dbraw/zinc/79/96/31/855799631.db2.gz MJXZJZFFMVUTRF-MNOVXSKESA-N -1 1 323.397 1.311 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C1CSC1 ZINC001413195656 856537283 /nfs/dbraw/zinc/53/72/83/856537283.db2.gz RAVLUGGJNGIUGS-CBAPKCEASA-N -1 1 310.341 1.407 20 0 DDADMM O=C(N[C@H](CO)C1CCC1)c1c([O-])cnc2c(F)cccc21 ZINC001413255894 856589878 /nfs/dbraw/zinc/58/98/78/856589878.db2.gz JVQLUCJIDNNOLW-GFCCVEGCSA-N -1 1 304.321 1.970 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc[nH]c2C(C)C)n[n-]1 ZINC001413271354 856597262 /nfs/dbraw/zinc/59/72/62/856597262.db2.gz LYOJOVYMSCTNFD-SECBINFHSA-N -1 1 319.365 1.924 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc[nH]c2C(C)C)[n-]1 ZINC001413271354 856597275 /nfs/dbraw/zinc/59/72/75/856597275.db2.gz LYOJOVYMSCTNFD-SECBINFHSA-N -1 1 319.365 1.924 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc[nH]c2C(C)C)n1 ZINC001413271354 856597282 /nfs/dbraw/zinc/59/72/82/856597282.db2.gz LYOJOVYMSCTNFD-SECBINFHSA-N -1 1 319.365 1.924 20 0 DDADMM CN1c2ccccc2C[C@@H](NC(=O)c2ccc([O-])c(F)c2)C1=O ZINC001413267289 856603401 /nfs/dbraw/zinc/60/34/01/856603401.db2.gz LXKMTBNVBLOWQA-CYBMUJFWSA-N -1 1 314.316 1.849 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2ccc(F)cn2)c1 ZINC001413305590 856625741 /nfs/dbraw/zinc/62/57/41/856625741.db2.gz FVXRBAAPVKHGCD-UHFFFAOYSA-N -1 1 312.322 1.413 20 0 DDADMM Nc1nnc([C@H]2CCCN(C(=O)c3cccc([O-])c3F)C2)o1 ZINC001413338932 856687281 /nfs/dbraw/zinc/68/72/81/856687281.db2.gz GYEXMZKTEPUCOM-QMMMGPOBSA-N -1 1 306.297 1.516 20 0 DDADMM O=S(=O)([N-][C@H](CO)Cc1ccc(F)cc1F)C(F)F ZINC001259965043 856805583 /nfs/dbraw/zinc/80/55/83/856805583.db2.gz SVSWLHFKCYIARJ-QMMMGPOBSA-N -1 1 301.261 1.010 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1cc(F)cc(F)c1)c1ncon1 ZINC001413450970 856827895 /nfs/dbraw/zinc/82/78/95/856827895.db2.gz ZUAVFLZXOSVXRV-UHFFFAOYSA-N -1 1 303.290 1.561 20 0 DDADMM Cc1cc(C)c(NC(=O)N2CCC(c3nn[n-]n3)CC2)c(C)n1 ZINC001413580376 857164871 /nfs/dbraw/zinc/16/48/71/857164871.db2.gz AHIFKWXQZWZUIA-UHFFFAOYSA-N -1 1 315.381 1.931 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CCc2c[nH]cn2)[n-]c1=O ZINC001413767562 858504528 /nfs/dbraw/zinc/50/45/28/858504528.db2.gz OAOMIBBNCPVEPW-LLVKDONJSA-N -1 1 317.349 1.210 20 0 DDADMM CCOC(=O)C(F)(F)[C@H](CC)Oc1[n-]c(=O)nc2c1COC2 ZINC001227690297 883133860 /nfs/dbraw/zinc/13/38/60/883133860.db2.gz KTDYEWJMBSXKOM-VIFPVBQESA-N -1 1 318.276 1.568 20 0 DDADMM O=C(c1ccc2[nH]ccc2c1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123998384 859517801 /nfs/dbraw/zinc/51/78/01/859517801.db2.gz BPKNKOWNRVEXHU-LLVKDONJSA-N -1 1 310.361 1.776 20 0 DDADMM COCC(=O)NC1CCN(Cc2[nH]c(C)c(C(=O)[O-])c2C)CC1 ZINC001140495932 860645251 /nfs/dbraw/zinc/64/52/51/860645251.db2.gz LLZLNEIZYQNZEV-UHFFFAOYSA-N -1 1 323.393 1.057 20 0 DDADMM NC(=O)[C@@H](NC(=O)c1cc2ccccc2cc1[O-])c1ccccn1 ZINC001141342779 860829847 /nfs/dbraw/zinc/82/98/47/860829847.db2.gz GEGSUPLMYZCFJU-INIZCTEOSA-N -1 1 321.336 1.897 20 0 DDADMM COc1ncc(C(=O)[N-]c2c(C)onc2OC(F)F)cn1 ZINC001361412865 881603556 /nfs/dbraw/zinc/60/35/56/881603556.db2.gz RAMKOXUTZAMTOX-UHFFFAOYSA-N -1 1 300.221 1.635 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cccc2nccn21 ZINC001154520351 861169170 /nfs/dbraw/zinc/16/91/70/861169170.db2.gz LHMHMEKTESILRH-UHFFFAOYSA-N -1 1 300.234 1.186 20 0 DDADMM CN(C(=O)C12CCC(CC1)N2C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001361435679 881645886 /nfs/dbraw/zinc/64/58/86/881645886.db2.gz LOCASCSMKMJMNL-UHFFFAOYSA-N -1 1 322.369 1.095 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc2c(=O)cc[nH]c2c1 ZINC001152569914 863435411 /nfs/dbraw/zinc/43/54/11/863435411.db2.gz VCSZJDVWJAYGNG-UHFFFAOYSA-N -1 1 324.273 1.216 20 0 DDADMM CC(C)CC1(C(=O)N[C@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001381403433 881682693 /nfs/dbraw/zinc/68/26/93/881682693.db2.gz HCIGWGTVHDYZIZ-NWDGAFQWSA-N -1 1 323.441 1.710 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2ccncc2cn1 ZINC001153066008 863690321 /nfs/dbraw/zinc/69/03/21/863690321.db2.gz FTAVFPALBKMSQX-UHFFFAOYSA-N -1 1 312.245 1.481 20 0 DDADMM NC(=O)Cc1cccc(NC(=O)c2cnc3cccnc3c2[O-])c1 ZINC001153857890 864166356 /nfs/dbraw/zinc/16/63/56/864166356.db2.gz PHUAKZSOVOBYHQ-UHFFFAOYSA-N -1 1 322.324 1.203 20 0 DDADMM Cc1ccnc2ccc(C(=O)NC3(c4nn[n-]n4)CCC3)cc12 ZINC001153871713 864184491 /nfs/dbraw/zinc/18/44/91/864184491.db2.gz GWSGFROTBRCXLW-UHFFFAOYSA-N -1 1 308.345 1.866 20 0 DDADMM O=c1nc2nc[nH]c2c(NC(F)(F)c2cnc(Cl)cn2)[n-]1 ZINC001159192368 865157785 /nfs/dbraw/zinc/15/77/85/865157785.db2.gz NDNUPJPNJTWOLL-UHFFFAOYSA-N -1 1 313.655 1.663 20 0 DDADMM O=C([O-])[C@@H]1CCCC[C@@H]1C(=O)NCCCCN1CCOCC1 ZINC001332179820 865644537 /nfs/dbraw/zinc/64/45/37/865644537.db2.gz QENJAUROODSGRL-UONOGXRCSA-N -1 1 312.410 1.106 20 0 DDADMM COCCN1CCCN(C(=O)c2ccc(/C=C/C(=O)[O-])o2)CC1 ZINC001332203517 865663116 /nfs/dbraw/zinc/66/31/16/865663116.db2.gz NXBZJCSBNVBDLQ-GQCTYLIASA-N -1 1 322.361 1.172 20 0 DDADMM O=C(COCc1ccncc1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001323086156 866325767 /nfs/dbraw/zinc/32/57/67/866325767.db2.gz BSLREWDOWIRHOC-HNNXBMFYSA-N -1 1 324.344 1.017 20 0 DDADMM CS[C@@H](C)C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001416643853 866585975 /nfs/dbraw/zinc/58/59/75/866585975.db2.gz RQJFXVAUICDMBI-JTQLQIEISA-N -1 1 311.407 1.069 20 0 DDADMM Cc1cc2c(c(N[C@@H]3CCCc4nccnc43)n1)C(=O)[N-]C2=O ZINC001161409545 866639508 /nfs/dbraw/zinc/63/95/08/866639508.db2.gz PXDHPCQIKPNPDS-LLVKDONJSA-N -1 1 309.329 1.553 20 0 DDADMM C/C=C(\C)C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001333677471 866927720 /nfs/dbraw/zinc/92/77/20/866927720.db2.gz JSGKWAATDHRECC-XCVCLJGOSA-N -1 1 303.362 1.378 20 0 DDADMM NC(=O)COc1ccccc1C(=O)Nc1cc(F)cc(F)c1[O-] ZINC001162293060 867416334 /nfs/dbraw/zinc/41/63/34/867416334.db2.gz WUGGSPNZUJHSSD-UHFFFAOYSA-N -1 1 322.267 1.787 20 0 DDADMM O=C(NCCC(F)(F)F)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001336092883 868728093 /nfs/dbraw/zinc/72/80/93/868728093.db2.gz HGFPNENWWDCDDZ-UHFFFAOYSA-N -1 1 324.686 1.730 20 0 DDADMM O=C(CCC1CC1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163905008 868780438 /nfs/dbraw/zinc/78/04/38/868780438.db2.gz KFFTYCQFWCCHSY-UHFFFAOYSA-N -1 1 307.398 1.077 20 0 DDADMM O=C(NCc1ccc2c(c1)OCCCO2)c1ccc([O-])cn1 ZINC001361731440 882253459 /nfs/dbraw/zinc/25/34/59/882253459.db2.gz JKQHHFHPLFXDCK-UHFFFAOYSA-N -1 1 300.314 1.879 20 0 DDADMM Cc1cc2nc(C)nc(NCC[N-]C(=O)C(F)(F)F)c2[nH]1 ZINC001164244286 869050154 /nfs/dbraw/zinc/05/01/54/869050154.db2.gz DXHDTTIDLRJCAX-UHFFFAOYSA-N -1 1 301.272 1.665 20 0 DDADMM O=C(N[C@@H]1CN2CCC1CC2)c1ccc2oc(=S)[n-]c2c1 ZINC001336617264 869051691 /nfs/dbraw/zinc/05/16/91/869051691.db2.gz QQPICQDJTCSZJG-GFCCVEGCSA-N -1 1 303.387 1.941 20 0 DDADMM Cn1c(=O)cc(NCC[N-]C(=O)C(F)(F)F)c2ccccc21 ZINC001164250983 869059602 /nfs/dbraw/zinc/05/96/02/869059602.db2.gz JXSYVPPGDFSOES-UHFFFAOYSA-N -1 1 313.279 1.629 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001363833163 887343547 /nfs/dbraw/zinc/34/35/47/887343547.db2.gz SIENZXPQNGLYQW-YUMQZZPRSA-N -1 1 322.408 1.018 20 0 DDADMM C[C@@]1(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)CC=CCC1 ZINC001317020514 870127810 /nfs/dbraw/zinc/12/78/10/870127810.db2.gz TUQAOHYXDQYXQV-MLGOLLRUSA-N -1 1 319.409 1.241 20 0 DDADMM Cc1ncc2c(n1)CC[C@@H](NC(=O)c1ccc([O-])cc1F)C2 ZINC001361796449 882390330 /nfs/dbraw/zinc/39/03/30/882390330.db2.gz WXGADTZWKUVKMD-LLVKDONJSA-N -1 1 301.321 1.917 20 0 DDADMM Cc1cc(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)n(C)n1 ZINC001361816497 882428961 /nfs/dbraw/zinc/42/89/61/882428961.db2.gz CVRCQINJFVBHAK-UHFFFAOYSA-N -1 1 305.338 1.659 20 0 DDADMM C=C/C(C)=C\CC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001299015312 870836822 /nfs/dbraw/zinc/83/68/22/870836822.db2.gz UAUJCDUPYRAGIB-TZQNSNDFSA-N -1 1 315.373 1.640 20 0 DDADMM Cc1ccccc1OCCCC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001301575780 871003180 /nfs/dbraw/zinc/00/31/80/871003180.db2.gz UERQDPPOOJWYOX-UHFFFAOYSA-N -1 1 317.345 1.672 20 0 DDADMM Nc1ccc(Cl)cc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001302759176 871080617 /nfs/dbraw/zinc/08/06/17/871080617.db2.gz MCSSNGVSAPKFOO-UHFFFAOYSA-N -1 1 306.757 1.455 20 0 DDADMM O=C(CCSCc1ccccn1)NC1(c2nn[n-]n2)CCC1 ZINC001303222774 871111174 /nfs/dbraw/zinc/11/11/74/871111174.db2.gz YUTMIUHRYCXMJN-UHFFFAOYSA-N -1 1 318.406 1.414 20 0 DDADMM O=C(/C=C/SCc1ccco1)NC1(c2nn[n-]n2)CCC1 ZINC001303222797 871111644 /nfs/dbraw/zinc/11/16/44/871111644.db2.gz ZWYZSQUPDRHRFM-XBXARRHUSA-N -1 1 305.363 1.735 20 0 DDADMM COC[C@H](NC(=O)c1cc2cc(F)ccc2s1)c1nn[n-]n1 ZINC001303578031 871140758 /nfs/dbraw/zinc/14/07/58/871140758.db2.gz IDLQLTIBFBRJJL-VIFPVBQESA-N -1 1 321.337 1.671 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cc(Br)cn2ccnc12 ZINC001306848934 871366891 /nfs/dbraw/zinc/36/68/91/871366891.db2.gz ZIITYFMXCBQSJA-UHFFFAOYSA-N -1 1 322.122 1.778 20 0 DDADMM CN(C)c1nc(Nc2cnn([C@H]3CCOC3)c2)c(N=O)c(=O)[n-]1 ZINC001205167676 871407872 /nfs/dbraw/zinc/40/78/72/871407872.db2.gz BYUWUNOWXUIMTQ-VIFPVBQESA-N -1 1 319.325 1.548 20 0 DDADMM CCCN(Cc1ccc([O-])c(F)c1F)[C@@H]1CC(=O)N(C)C1=O ZINC001308715283 871519436 /nfs/dbraw/zinc/51/94/36/871519436.db2.gz WWHJTNMHQZMJQA-SNVBAGLBSA-N -1 1 312.316 1.640 20 0 DDADMM O=c1c([O-])c(OC2CCN(CCc3ccccc3)CC2)c1=O ZINC001226604313 882535394 /nfs/dbraw/zinc/53/53/94/882535394.db2.gz CYUUTHBXPNGBFQ-UHFFFAOYSA-N -1 1 301.342 1.074 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@H]1CCC[C@H](OC)C1 ZINC001328384052 871814610 /nfs/dbraw/zinc/81/46/10/871814610.db2.gz YVBLBNJSUSTRFV-RYUDHWBXSA-N -1 1 301.383 1.971 20 0 DDADMM CC(C)(C)OC(=O)Nc1cc(F)ccc1C(=O)Nc1nnn[n-]1 ZINC001361934527 882669312 /nfs/dbraw/zinc/66/93/12/882669312.db2.gz ZRIKYMFTSPRGQI-UHFFFAOYSA-N -1 1 322.300 1.938 20 0 DDADMM CC(C)(C)OC(=O)Nc1cc(F)ccc1C(=O)Nc1nn[n-]n1 ZINC001361934527 882669321 /nfs/dbraw/zinc/66/93/21/882669321.db2.gz ZRIKYMFTSPRGQI-UHFFFAOYSA-N -1 1 322.300 1.938 20 0 DDADMM CCCN(CC)c1nnc(-c2nnn[n-]2)n1CCCOCC ZINC001344469788 873015060 /nfs/dbraw/zinc/01/50/60/873015060.db2.gz XXMCSUQPVWMOLQ-UHFFFAOYSA-N -1 1 308.390 1.121 20 0 DDADMM CCCN(CC)c1nnc(-c2nn[n-]n2)n1CCCOCC ZINC001344469788 873015080 /nfs/dbraw/zinc/01/50/80/873015080.db2.gz XXMCSUQPVWMOLQ-UHFFFAOYSA-N -1 1 308.390 1.121 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)Cn2cc(Cl)cn2)[n-]1 ZINC001361955228 882704627 /nfs/dbraw/zinc/70/46/27/882704627.db2.gz BXXNSXIZDQKWMQ-UHFFFAOYSA-N -1 1 324.724 1.493 20 0 DDADMM C[C@H](CN(C)C(=O)C(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001381806506 882711110 /nfs/dbraw/zinc/71/11/10/882711110.db2.gz DNTNCKZMSDOJFC-MRVPVSSYSA-N -1 1 301.293 1.019 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2cc(C)nnc2C)[n-]c1Cl ZINC001361961270 882715043 /nfs/dbraw/zinc/71/50/43/882715043.db2.gz HOVWYSMZLCJQKS-UHFFFAOYSA-N -1 1 323.740 1.899 20 0 DDADMM COCCn1c(-c2cc(Cl)ncc2[O-])nnc1N1CCCC1 ZINC001345368336 873377396 /nfs/dbraw/zinc/37/73/96/873377396.db2.gz AJIKOFOBAHIQGZ-UHFFFAOYSA-N -1 1 323.784 1.946 20 0 DDADMM COC(=O)c1ccc(OS(=O)(=O)c2c[n-]nc2Cl)cc1 ZINC001207991247 873716903 /nfs/dbraw/zinc/71/69/03/873716903.db2.gz QBFICNWIYAGBKG-UHFFFAOYSA-N -1 1 316.722 1.617 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1c2ccccc2C[C@@H]1O ZINC001346451548 873758655 /nfs/dbraw/zinc/75/86/55/873758655.db2.gz RBYQNEMVQGWSAN-CMPLNLGQSA-N -1 1 317.370 1.167 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC001346506625 873782388 /nfs/dbraw/zinc/78/23/88/873782388.db2.gz LNCQPPHNUZLXID-SZEHBUNVSA-N -1 1 309.391 1.323 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)c2c(C)noc2C)c(=O)[n-]1 ZINC001361995652 882784786 /nfs/dbraw/zinc/78/47/86/882784786.db2.gz UFOHRCLXTWQKOL-ZCFIWIBFSA-N -1 1 308.363 2.000 20 0 DDADMM CSc1nc(Nc2ccc3c(c2)CCNC3=O)cc(=O)[n-]1 ZINC001210158623 875250254 /nfs/dbraw/zinc/25/02/54/875250254.db2.gz CPXXWWSCXDAILY-UHFFFAOYSA-N -1 1 302.359 1.934 20 0 DDADMM CC[C@H](C)CCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210294562 875316603 /nfs/dbraw/zinc/31/66/03/875316603.db2.gz IGSWYXDCQRTVLK-NWDGAFQWSA-N -1 1 309.414 1.417 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccc(OC(F)F)nc1 ZINC001210300746 875320799 /nfs/dbraw/zinc/32/07/99/875320799.db2.gz HLNWHDGMYNEVLH-UHFFFAOYSA-N -1 1 301.278 1.793 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(CNC(C)=O)c1)c1nn[n-]n1 ZINC001362051335 882920564 /nfs/dbraw/zinc/92/05/64/882920564.db2.gz KQOAPCAOBNFXEV-CYBMUJFWSA-N -1 1 316.365 1.107 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H]1[C@@H]1CCCOC1 ZINC001349824478 875396259 /nfs/dbraw/zinc/39/62/59/875396259.db2.gz IRDXSXDZUCLRSP-NEPJUHHUSA-N -1 1 318.402 1.659 20 0 DDADMM CC[C@@H](CC(C)C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210561367 875438278 /nfs/dbraw/zinc/43/82/78/875438278.db2.gz YAQJSXFWUPHMFP-QWHCGFSZSA-N -1 1 323.441 1.663 20 0 DDADMM O=C(NCCCNC(=O)[C@@H]1CCC[C@@H]2C[C@@H]21)c1ncccc1[O-] ZINC001349941141 875466395 /nfs/dbraw/zinc/46/63/95/875466395.db2.gz ZMFYDFNHUDOPKF-UPJWGTAASA-N -1 1 317.389 1.460 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NN1CCc2ccccc2C1 ZINC001350081490 875530338 /nfs/dbraw/zinc/53/03/38/875530338.db2.gz UOOQVARKLXZIIM-UHFFFAOYSA-N -1 1 316.386 1.482 20 0 DDADMM C[C@H](C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001378747244 875536077 /nfs/dbraw/zinc/53/60/77/875536077.db2.gz IPKNJJCJLUUFQB-JTQLQIEISA-N -1 1 303.362 1.212 20 0 DDADMM CSC[C@@H](C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001378747377 875538419 /nfs/dbraw/zinc/53/84/19/875538419.db2.gz JYJOZZIPJKTFGF-SNVBAGLBSA-N -1 1 323.418 1.165 20 0 DDADMM COC(=O)c1ncc(Nc2cc(S(C)(=O)=O)ccc2[O-])cn1 ZINC001211158302 875680956 /nfs/dbraw/zinc/68/09/56/875680956.db2.gz MEHIEXYSWNATJL-UHFFFAOYSA-N -1 1 323.330 1.116 20 0 DDADMM O=S(=O)([N-]Cc1ccnc(F)c1)c1c[nH]nc1C(F)(F)F ZINC001213274091 875870859 /nfs/dbraw/zinc/87/08/59/875870859.db2.gz OQOBDIXQEIRPGI-UHFFFAOYSA-N -1 1 324.259 1.441 20 0 DDADMM O=C(/C=C/C1CC1)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001351053152 876037707 /nfs/dbraw/zinc/03/77/07/876037707.db2.gz QXBSBFRJXMTCPQ-GWJCSSMESA-N -1 1 315.373 1.474 20 0 DDADMM CCNC(=O)NC(=O)[C@H](C)Sc1nc(C)c(C2CC2)c(=O)[n-]1 ZINC001413919584 876085192 /nfs/dbraw/zinc/08/51/92/876085192.db2.gz NPYWSSXBEYLKFL-QMMMGPOBSA-N -1 1 324.406 1.694 20 0 DDADMM COc1ccnc(-c2noc(-c3c[nH]c(=S)[n-]c3=O)n2)c1 ZINC001213866754 876086212 /nfs/dbraw/zinc/08/62/12/876086212.db2.gz JGUSWAXQNLDJFQ-UHFFFAOYSA-N -1 1 303.303 1.592 20 0 DDADMM CC(C)[C@H](C)CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001214310888 876277016 /nfs/dbraw/zinc/27/70/16/876277016.db2.gz NOJALVHXTDDXCJ-IJLUTSLNSA-N -1 1 309.414 1.129 20 0 DDADMM Cc1c[nH]cc1C(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001351505315 876292765 /nfs/dbraw/zinc/29/27/65/876292765.db2.gz JJORYTGVFOGGCQ-UHFFFAOYSA-N -1 1 316.361 1.316 20 0 DDADMM COc1cc(N(C)C(=O)c2n[nH]c(C)c2[O-])cc(OC)c1OC ZINC001362095856 883024048 /nfs/dbraw/zinc/02/40/48/883024048.db2.gz SFZKQKZYOWVIIO-UHFFFAOYSA-N -1 1 321.333 1.726 20 0 DDADMM CC(C)CC(C)(C)C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001379261786 876699008 /nfs/dbraw/zinc/69/90/08/876699008.db2.gz FOHPPODCPAVEJC-NSHDSACASA-N -1 1 311.430 1.519 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cc3n[nH]cc3c(F)c2)cc1N ZINC001215556111 876750549 /nfs/dbraw/zinc/75/05/49/876750549.db2.gz VGUSRBDTJBGWDK-UHFFFAOYSA-N -1 1 321.337 1.667 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)ccc1-n1cccn1 ZINC001352586243 876817290 /nfs/dbraw/zinc/81/72/90/876817290.db2.gz RNOUAZUDSGTWOH-CYBMUJFWSA-N -1 1 323.360 1.324 20 0 DDADMM O=C(c1ccc(-c2ccccc2)[nH]1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001352595874 876823586 /nfs/dbraw/zinc/82/35/86/876823586.db2.gz HQQZFPLKWGMCLT-LBPRGKRZSA-N -1 1 308.345 1.825 20 0 DDADMM COc1ncc(Nc2cncc(C)n2)cc1[N-]S(C)(=O)=O ZINC001216150994 876877054 /nfs/dbraw/zinc/87/70/54/876877054.db2.gz BMFMXMBWZVHQCL-UHFFFAOYSA-N -1 1 309.351 1.304 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CC[C@H]2Oc2nc(=O)[nH]c(=O)[n-]2)C1 ZINC001227565730 883081048 /nfs/dbraw/zinc/08/10/48/883081048.db2.gz BHGQVFZCGMECDY-MRVPVSSYSA-N -1 1 324.337 1.061 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1csc(Cl)c1 ZINC001379433227 877069274 /nfs/dbraw/zinc/06/92/74/877069274.db2.gz MFIUZJRIFIEAAK-ZCFIWIBFSA-N -1 1 315.786 1.133 20 0 DDADMM O=C(N[C@H](CO)[C@H]1CCOC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362284019 883480435 /nfs/dbraw/zinc/48/04/35/883480435.db2.gz NDEGARJZPKJCEI-GXSJLCMTSA-N -1 1 319.279 1.538 20 0 DDADMM CCC[C@H](C)C(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001395542860 912227081 /nfs/dbraw/zinc/22/70/81/912227081.db2.gz WYGVGPPZQLBWGM-NWDGAFQWSA-N -1 1 307.394 1.800 20 0 DDADMM Nc1cc(N)cc(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)c1 ZINC001218663458 877651296 /nfs/dbraw/zinc/65/12/96/877651296.db2.gz LNHMJGASMJNVMS-UHFFFAOYSA-N -1 1 309.329 1.995 20 0 DDADMM CC(C)=C(F)C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001354835913 878260261 /nfs/dbraw/zinc/26/02/61/878260261.db2.gz VFXTXJUMQKPGEQ-NSHDSACASA-N -1 1 321.352 1.629 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CC2(CC2)C1 ZINC001380078537 878738919 /nfs/dbraw/zinc/73/89/19/878738919.db2.gz LFSQGYQTIJPJQG-NSHDSACASA-N -1 1 321.425 1.321 20 0 DDADMM CC(C)=CC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001356602242 879123562 /nfs/dbraw/zinc/12/35/62/879123562.db2.gz SUCGYAIWILRZCG-UHFFFAOYSA-N -1 1 303.362 1.474 20 0 DDADMM CC[C@@]1(C(=O)[O-])CCC[N@@H+](Cc2cc(=O)n3ccsc3n2)C1 ZINC000315889183 879208954 /nfs/dbraw/zinc/20/89/54/879208954.db2.gz KVKJUVKBVQZEKI-OAHLLOKOSA-N -1 1 321.402 1.833 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)C1CCCCC1 ZINC001380375524 879498607 /nfs/dbraw/zinc/49/86/07/879498607.db2.gz HTUZMBDOMRKDNF-NWDGAFQWSA-N -1 1 319.405 1.991 20 0 DDADMM CC[C@H](CNC(=O)[C@@H]1CC=CCC1)NC(=O)c1ncccc1[O-] ZINC001356866790 879499534 /nfs/dbraw/zinc/49/95/34/879499534.db2.gz HSBUAHMFHYULOA-CHWSQXEVSA-N -1 1 317.389 1.768 20 0 DDADMM C=C/C(C)=C\CC(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358630529 880647995 /nfs/dbraw/zinc/64/79/95/880647995.db2.gz PZRWLKZCHSMLLI-MVXISAMASA-N -1 1 315.373 1.640 20 0 DDADMM CC(C)Oc1cc(COc2[n-]c(=O)nc3c2COC3)ccn1 ZINC001227686353 883131002 /nfs/dbraw/zinc/13/10/02/883131002.db2.gz MWVZILYAABUPLX-UHFFFAOYSA-N -1 1 303.318 1.974 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1c(C)cccc1F ZINC001362175636 883217184 /nfs/dbraw/zinc/21/71/84/883217184.db2.gz KDIHKULKCYSVAP-UHFFFAOYSA-N -1 1 307.281 1.274 20 0 DDADMM C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)c1cccc([O-])c1F ZINC001362178605 883224058 /nfs/dbraw/zinc/22/40/58/883224058.db2.gz JQGCZWXWTIPKKR-RKDXNWHRSA-N -1 1 301.339 1.179 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc([O-])cn2)[C@H](CC)CN1C(C)=O ZINC001362196107 883266631 /nfs/dbraw/zinc/26/66/31/883266631.db2.gz ARCOLNFPPGUYLS-CHWSQXEVSA-N -1 1 305.378 1.649 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(=O)[nH]c2c1CCCC2)c1nn[n-]n1 ZINC001362297634 883508158 /nfs/dbraw/zinc/50/81/58/883508158.db2.gz GLEPYLXDLXBWNB-SECBINFHSA-N -1 1 316.365 1.055 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](Oc1cnnc(=S)[n-]1)C(F)(F)F ZINC001228638452 883586041 /nfs/dbraw/zinc/58/60/41/883586041.db2.gz GSBOMRZIBGHFNW-IYSWYEEDSA-N -1 1 311.285 1.669 20 0 DDADMM CN(C)C(=O)c1cc2c([nH]1)CN(Cc1ncccc1[O-])CC2 ZINC001277318097 883592323 /nfs/dbraw/zinc/59/23/23/883592323.db2.gz KQXBRMUXMUQMMX-UHFFFAOYSA-N -1 1 300.362 1.375 20 0 DDADMM CN1CCO[C@H](COc2[n-]c(=S)nc3ccccc32)C1=O ZINC001228765877 883658856 /nfs/dbraw/zinc/65/88/56/883658856.db2.gz OPZVJVNORMEVRL-LLVKDONJSA-N -1 1 305.359 1.155 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)c1ccc(Cl)cn1 ZINC001362369741 883660264 /nfs/dbraw/zinc/66/02/64/883660264.db2.gz WVHNJZIKMIQXSC-ZCFIWIBFSA-N -1 1 324.724 1.436 20 0 DDADMM NC(=O)C[C@@H](NC(=O)c1cnncc1[O-])c1cccc(Cl)c1 ZINC001362393391 883707716 /nfs/dbraw/zinc/70/77/16/883707716.db2.gz BYOSAIOXMDLGMD-LLVKDONJSA-N -1 1 320.736 1.182 20 0 DDADMM COc1cc2ccc(=O)oc2c(OCC(=O)OC(C)C)c1[O-] ZINC001229219001 883878961 /nfs/dbraw/zinc/87/89/61/883878961.db2.gz SGEVDDKGRJIFNM-UHFFFAOYSA-N -1 1 308.286 1.838 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccncc1Cl)c1ccc([O-])cn1 ZINC001362483474 883913007 /nfs/dbraw/zinc/91/30/07/883913007.db2.gz WWVKKAIBSWNAQR-JTQLQIEISA-N -1 1 321.764 1.417 20 0 DDADMM CCC(CC)(NC(=O)c1ccc2c(c1)C[C@@H](C)O2)c1nn[n-]n1 ZINC001362499309 883948410 /nfs/dbraw/zinc/94/84/10/883948410.db2.gz VYARTRJEGYYUOA-SNVBAGLBSA-N -1 1 315.377 1.968 20 0 DDADMM O=C(N[C@H]1CS(=O)(=O)c2ccccc21)c1ccc(F)c([O-])c1 ZINC001362526011 884007070 /nfs/dbraw/zinc/00/70/70/884007070.db2.gz AGAPBKOJKXXYOR-LBPRGKRZSA-N -1 1 321.329 1.790 20 0 DDADMM O=C(N[C@H](CO)[C@@H]1CCOC1)c1ncc2ccccc2c1[O-] ZINC001362585388 884152968 /nfs/dbraw/zinc/15/29/68/884152968.db2.gz MOEAKGNPMZXSDP-DGCLKSJQSA-N -1 1 302.330 1.068 20 0 DDADMM COC(=O)CC1CCC(Oc2nc(=O)[n-]cc2C(=O)OC)CC1 ZINC001229869920 884202171 /nfs/dbraw/zinc/20/21/71/884202171.db2.gz CFKMFWXGJJYGFS-UHFFFAOYSA-N -1 1 324.333 1.470 20 0 DDADMM C[C@@H]1OCC[C@]12CN(C(=O)c1cccc([O-])c1F)C[C@@H](C)O2 ZINC001362624846 884255498 /nfs/dbraw/zinc/25/54/98/884255498.db2.gz ZOGOAAJWSFUAON-GDLVEWKHSA-N -1 1 309.337 1.940 20 0 DDADMM CCC(CC)(NC(=O)CCc1nnc(C(C)C)o1)c1nn[n-]n1 ZINC001362629800 884265700 /nfs/dbraw/zinc/26/57/00/884265700.db2.gz BAASVIFTGUMHRZ-UHFFFAOYSA-N -1 1 321.385 1.470 20 0 DDADMM C[S@@](=O)Cc1ccc(CNC(=O)c2ccc([O-])cn2)cc1 ZINC001362639867 884297902 /nfs/dbraw/zinc/29/79/02/884297902.db2.gz OCNPGBZJVRAKGS-OAQYLSRUSA-N -1 1 304.371 1.596 20 0 DDADMM COC(=O)C[C@](C)(NC(=O)c1ccc([O-])cn1)c1ccncc1 ZINC001362708951 884460797 /nfs/dbraw/zinc/46/07/97/884460797.db2.gz VHDUYIZDZQXHDG-INIZCTEOSA-N -1 1 315.329 1.391 20 0 DDADMM CCS(=O)(=O)Nc1cccc(C(=O)Nc2c(C)[n-][nH]c2=O)c1 ZINC001362797040 884664077 /nfs/dbraw/zinc/66/40/77/884664077.db2.gz RMRYNIFSHLKQIS-UHFFFAOYSA-N -1 1 324.362 1.438 20 0 DDADMM CC(C)c1cc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)on1 ZINC001362807481 884689300 /nfs/dbraw/zinc/68/93/00/884689300.db2.gz HVYOWTHCYJLPTL-UHFFFAOYSA-N -1 1 318.333 1.296 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H](O)CC(C)(C)C2)c(=O)[n-]1 ZINC001362833010 884751242 /nfs/dbraw/zinc/75/12/42/884751242.db2.gz LABUYVMKRNGIIH-SECBINFHSA-N -1 1 311.407 1.527 20 0 DDADMM COC(=O)[C@@H](C)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001362849889 884792450 /nfs/dbraw/zinc/79/24/50/884792450.db2.gz WCHVZIOMTGIYKU-JTQLQIEISA-N -1 1 317.349 1.438 20 0 DDADMM COC(=O)[C@H](C)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001362849892 884793620 /nfs/dbraw/zinc/79/36/20/884793620.db2.gz WCHVZIOMTGIYKU-SNVBAGLBSA-N -1 1 317.349 1.438 20 0 DDADMM Cn1cc([C@H]2CN(C(=O)c3ccc([O-])cn3)CC2(C)C)cn1 ZINC001362932125 885018556 /nfs/dbraw/zinc/01/85/56/885018556.db2.gz AQESEGCOJBXQQA-CYBMUJFWSA-N -1 1 300.362 1.787 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001362954284 885074308 /nfs/dbraw/zinc/07/43/08/885074308.db2.gz IDYOSDAUMMEGNI-LLVKDONJSA-N -1 1 321.377 1.917 20 0 DDADMM COc1cc(=O)[nH]cc1C(=O)NCCc1c(F)cc([O-])cc1F ZINC001362973022 885122408 /nfs/dbraw/zinc/12/24/08/885122408.db2.gz NYRMWLHEIPETIC-UHFFFAOYSA-N -1 1 324.283 1.752 20 0 DDADMM CC[S@@](C)(=O)=NC(=O)c1cc(C(=O)OC(C)(C)C)n[n-]1 ZINC001362976061 885126296 /nfs/dbraw/zinc/12/62/96/885126296.db2.gz YEFZQMZARDAKPI-HXUWFJFHSA-N -1 1 301.368 1.623 20 0 DDADMM CSCC[C@H](NC(=O)c1ocnc1C(F)F)c1nn[n-]n1 ZINC001362996893 885184658 /nfs/dbraw/zinc/18/46/58/885184658.db2.gz DIBXTKOMKKTACS-YFKPBYRVSA-N -1 1 318.309 1.350 20 0 DDADMM O=C(NCc1cccc2c1OC(F)(F)O2)c1cnncc1[O-] ZINC001363024806 885254552 /nfs/dbraw/zinc/25/45/52/885254552.db2.gz XDRHALUBLGQGSX-UHFFFAOYSA-N -1 1 309.228 1.434 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@@H](C)c2ccco2)n[n-]1 ZINC001363045274 885317004 /nfs/dbraw/zinc/31/70/04/885317004.db2.gz GCSHELQFYMZKHP-NXEZZACHSA-N -1 1 320.349 1.945 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@@H](C)c2ccco2)[n-]1 ZINC001363045274 885317026 /nfs/dbraw/zinc/31/70/26/885317026.db2.gz GCSHELQFYMZKHP-NXEZZACHSA-N -1 1 320.349 1.945 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@@H](C)c2ccco2)n1 ZINC001363045274 885317047 /nfs/dbraw/zinc/31/70/47/885317047.db2.gz GCSHELQFYMZKHP-NXEZZACHSA-N -1 1 320.349 1.945 20 0 DDADMM COC(=O)[C@](C)(Cn1cccn1)NC(=O)c1cccc([O-])c1F ZINC001363046216 885320211 /nfs/dbraw/zinc/32/02/11/885320211.db2.gz AIYVVLJITJKIMC-HNNXBMFYSA-N -1 1 321.308 1.090 20 0 DDADMM CSCC[C@H](NC(=O)c1cncnc1C(C)C)c1nn[n-]n1 ZINC001363097897 885455490 /nfs/dbraw/zinc/45/54/90/885455490.db2.gz DDGIZDBPRMSILA-JTQLQIEISA-N -1 1 321.410 1.337 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2ccccc2O)n[n-]1 ZINC001363121080 885515369 /nfs/dbraw/zinc/51/53/69/885515369.db2.gz FXFHHHOGIGQURM-VIFPVBQESA-N -1 1 318.333 1.107 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2ccccc2O)[n-]1 ZINC001363121080 885515378 /nfs/dbraw/zinc/51/53/78/885515378.db2.gz FXFHHHOGIGQURM-VIFPVBQESA-N -1 1 318.333 1.107 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2ccccc2O)n1 ZINC001363121080 885515388 /nfs/dbraw/zinc/51/53/88/885515388.db2.gz FXFHHHOGIGQURM-VIFPVBQESA-N -1 1 318.333 1.107 20 0 DDADMM COC(=O)c1c(NC(=O)C2=CC[C@@H](C)CC2)n[n-]c1OCCO ZINC001363121764 885517525 /nfs/dbraw/zinc/51/75/25/885517525.db2.gz XGAYJLKYKKUYJK-SECBINFHSA-N -1 1 323.349 1.252 20 0 DDADMM COC(=O)c1c(NC(=O)C2=CC[C@@H](C)CC2)[n-]nc1OCCO ZINC001363121764 885517537 /nfs/dbraw/zinc/51/75/37/885517537.db2.gz XGAYJLKYKKUYJK-SECBINFHSA-N -1 1 323.349 1.252 20 0 DDADMM CCC(CC)(NC(=O)[C@H](OC)C1CCCCC1)c1nn[n-]n1 ZINC001363136339 885553243 /nfs/dbraw/zinc/55/32/43/885553243.db2.gz NFCBYKWFJDFCTP-GFCCVEGCSA-N -1 1 309.414 1.927 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc([O-])cn1 ZINC001363150590 885587563 /nfs/dbraw/zinc/58/75/63/885587563.db2.gz GBCUGVPMJLLTET-PJXYFTJBSA-N -1 1 319.361 1.382 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1CCCc2sccc21 ZINC001363276045 885886983 /nfs/dbraw/zinc/88/69/83/885886983.db2.gz BOLKKDCYXGOKKE-JTQLQIEISA-N -1 1 303.391 1.877 20 0 DDADMM CCCOc1cccc(CC(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC001363319078 886007991 /nfs/dbraw/zinc/00/79/91/886007991.db2.gz IBGTUKPGIJBFOT-UHFFFAOYSA-N -1 1 317.345 1.536 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC001363375832 886171118 /nfs/dbraw/zinc/17/11/18/886171118.db2.gz GNRWZJABQKFQFN-NWDGAFQWSA-N -1 1 301.325 1.638 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C1=CS(=O)(=O)CCC1 ZINC001363385035 886191162 /nfs/dbraw/zinc/19/11/62/886191162.db2.gz RNXQGIMYNACISR-UHFFFAOYSA-N -1 1 315.778 1.754 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H]2C[C@@](C)(O)C2)c(=O)[n-]1 ZINC001363391355 886204871 /nfs/dbraw/zinc/20/48/71/886204871.db2.gz WDFPRGGXYNTBNS-DEZZCRIOSA-N -1 1 311.407 1.175 20 0 DDADMM Cc1nc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)c(C)s1 ZINC001363434582 886328624 /nfs/dbraw/zinc/32/86/24/886328624.db2.gz MJAUNKGTYRBFJS-SNVBAGLBSA-N -1 1 306.395 1.368 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)no1 ZINC001363436162 886331837 /nfs/dbraw/zinc/33/18/37/886331837.db2.gz PUZYSSWBGDUWPR-JTQLQIEISA-N -1 1 304.354 1.235 20 0 DDADMM CC[C@H](Cc1ccccc1C)C(=O)N[C@@H](COC)c1nn[n-]n1 ZINC001363438028 886334930 /nfs/dbraw/zinc/33/49/30/886334930.db2.gz SWJJSFPYGRWWKS-OCCSQVGLSA-N -1 1 317.393 1.581 20 0 DDADMM Cn1ccnc1[C@@H]1C[C@@H](NC(=O)c2ccc(F)c([O-])c2)CCO1 ZINC001363444572 886355485 /nfs/dbraw/zinc/35/54/85/886355485.db2.gz PAZKUCUUIMPFSK-FZMZJTMJSA-N -1 1 319.336 1.915 20 0 DDADMM CSc1nc(CNC(=O)c2ncoc2C(C)C)cc(=O)[n-]1 ZINC001363458104 886394386 /nfs/dbraw/zinc/39/43/86/886394386.db2.gz OPTVYKMGSJDBOE-UHFFFAOYSA-N -1 1 308.363 1.946 20 0 DDADMM CNC(=O)c1cnc2n1CCN(Cc1cccc([O-])c1Cl)C2 ZINC001232786299 886586243 /nfs/dbraw/zinc/58/62/43/886586243.db2.gz VSZAGQISSFWRQV-UHFFFAOYSA-N -1 1 320.780 1.618 20 0 DDADMM O=C(c1cnncc1[O-])N1CCC[C@@](CO)(c2ccccc2)C1 ZINC001363550066 886613590 /nfs/dbraw/zinc/61/35/90/886613590.db2.gz PAECOSAWTSXING-QGZVFWFLSA-N -1 1 313.357 1.349 20 0 DDADMM CSc1ncc(C(=O)N=[S@](C)(=O)C(C)(C)C)c(=O)[n-]1 ZINC001363577867 886680096 /nfs/dbraw/zinc/68/00/96/886680096.db2.gz NOWIIAZGZDBUSS-LJQANCHMSA-N -1 1 303.409 1.941 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)c(O)c1 ZINC001363582707 886692202 /nfs/dbraw/zinc/69/22/02/886692202.db2.gz KGCWXQQITAEIIJ-JTQLQIEISA-N -1 1 317.349 1.009 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC(=O)NC[C@@H]1c1ccccc1 ZINC001363593981 886719846 /nfs/dbraw/zinc/71/98/46/886719846.db2.gz FYEBSSYOVZOHCH-CQSZACIVSA-N -1 1 314.316 1.845 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(NC)c(F)c2)n[n-]1 ZINC001363711751 887040187 /nfs/dbraw/zinc/04/01/87/887040187.db2.gz QTTZGPVIQFFGFD-UHFFFAOYSA-N -1 1 321.312 1.092 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(NC)c(F)c2)n1 ZINC001363711751 887040198 /nfs/dbraw/zinc/04/01/98/887040198.db2.gz QTTZGPVIQFFGFD-UHFFFAOYSA-N -1 1 321.312 1.092 20 0 DDADMM CCOC(=O)c1nc([C@H](C)Nc2ncnc3c2CCCC3)n[n-]1 ZINC001363744666 887123811 /nfs/dbraw/zinc/12/38/11/887123811.db2.gz PQZBYPJBHVLOOC-VIFPVBQESA-N -1 1 316.365 1.823 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)Nc2ncnc3c2CCCC3)n1 ZINC001363744666 887123821 /nfs/dbraw/zinc/12/38/21/887123821.db2.gz PQZBYPJBHVLOOC-VIFPVBQESA-N -1 1 316.365 1.823 20 0 DDADMM CCCC(=O)c1ccc([O-])cc1OC[C@H]1OCCN(C)C1=O ZINC001233650605 887191460 /nfs/dbraw/zinc/19/14/60/887191460.db2.gz MUOWUKJMPYRNJM-OAHLLOKOSA-N -1 1 307.346 1.611 20 0 DDADMM CCc1noc([C@@H]2CC[C@@H](NC(=O)c3cncc([O-])c3)C2)n1 ZINC001363806943 887273476 /nfs/dbraw/zinc/27/34/76/887273476.db2.gz YYSFPBCHGLZMMO-MWLCHTKSSA-N -1 1 302.334 1.799 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H](C)COc1ccccc1 ZINC001233755925 887281418 /nfs/dbraw/zinc/28/14/18/887281418.db2.gz HSEPYNGWDDKIFV-SNVBAGLBSA-N -1 1 304.302 1.815 20 0 DDADMM CCn1nc(C2CC2)cc1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363816051 887298818 /nfs/dbraw/zinc/29/88/18/887298818.db2.gz BYPUOLFKVBEWSN-UHFFFAOYSA-N -1 1 301.354 1.103 20 0 DDADMM O=C(NCC1(OCCO)CCCCC1)c1ccc(F)c([O-])c1 ZINC001363816653 887301717 /nfs/dbraw/zinc/30/17/17/887301717.db2.gz VBUZPEFTOQXDCH-UHFFFAOYSA-N -1 1 311.353 1.973 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N=S1(=O)CCOCC1 ZINC001363850396 887395048 /nfs/dbraw/zinc/39/50/48/887395048.db2.gz QYXNGQLXFLAKBX-UHFFFAOYSA-N -1 1 313.379 1.252 20 0 DDADMM CSc1ncc(C(=O)N2CCOC[C@@]2(C)C2CC2)c(=O)[n-]1 ZINC001363887838 887475763 /nfs/dbraw/zinc/47/57/63/887475763.db2.gz USMDLPGDLHDRAI-AWEZNQCLSA-N -1 1 309.391 1.545 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2occ(C)c2C)n[n-]1 ZINC001363890374 887481044 /nfs/dbraw/zinc/48/10/44/887481044.db2.gz ZMUJGGIZZKQYCO-SECBINFHSA-N -1 1 306.322 1.682 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2occ(C)c2C)[n-]1 ZINC001363890374 887481053 /nfs/dbraw/zinc/48/10/53/887481053.db2.gz ZMUJGGIZZKQYCO-SECBINFHSA-N -1 1 306.322 1.682 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2occ(C)c2C)n1 ZINC001363890374 887481061 /nfs/dbraw/zinc/48/10/61/887481061.db2.gz ZMUJGGIZZKQYCO-SECBINFHSA-N -1 1 306.322 1.682 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@]23CCC[C@H]2OCC3)n[n-]1 ZINC001363915365 887533341 /nfs/dbraw/zinc/53/33/41/887533341.db2.gz IJDOEAJWYDGLJH-WMFXKJRFSA-N -1 1 322.365 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@]23CCC[C@H]2OCC3)[n-]1 ZINC001363915365 887533350 /nfs/dbraw/zinc/53/33/50/887533350.db2.gz IJDOEAJWYDGLJH-WMFXKJRFSA-N -1 1 322.365 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@]23CCC[C@H]2OCC3)n1 ZINC001363915365 887533358 /nfs/dbraw/zinc/53/33/58/887533358.db2.gz IJDOEAJWYDGLJH-WMFXKJRFSA-N -1 1 322.365 1.118 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)c1ncccc1C ZINC001363916739 887535343 /nfs/dbraw/zinc/53/53/43/887535343.db2.gz AKEBXCWHIJBJEI-QMMMGPOBSA-N -1 1 304.306 1.091 20 0 DDADMM CC(C)(C)OC(=O)c1ccc(C(=O)Nc2c[n-][nH]c2=O)nc1 ZINC001364092558 887898786 /nfs/dbraw/zinc/89/87/86/887898786.db2.gz MBGOUZXUBIGYSP-UHFFFAOYSA-N -1 1 304.306 1.718 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H](c1ccccc1F)N1CCOCC1 ZINC001364092601 887898834 /nfs/dbraw/zinc/89/88/34/887898834.db2.gz MDRGFHNTSFRTPY-ZDUSSCGKSA-N -1 1 320.324 1.266 20 0 DDADMM Cc1cn2c(n1)[C@H](Oc1c(=O)[n-]c(C)nc1C(N)=O)CCC2 ZINC001234470786 888003412 /nfs/dbraw/zinc/00/34/12/888003412.db2.gz XTMKLUOPJQEYFK-SECBINFHSA-N -1 1 303.322 1.008 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H]2CCC(=O)CC2(C)C)c(=O)[n-]1 ZINC001234565574 888104146 /nfs/dbraw/zinc/10/41/46/888104146.db2.gz NTRMIRSYSMCXAF-JTQLQIEISA-N -1 1 321.377 1.931 20 0 DDADMM CC[C@H]1OC(=O)C(Oc2c(=O)[n-]c(C)nc2C(=O)OC)=C1C ZINC001234565737 888104262 /nfs/dbraw/zinc/10/42/62/888104262.db2.gz ZPCBTVCUQGMMFO-MRVPVSSYSA-N -1 1 308.290 1.265 20 0 DDADMM CCn1nc(C)cc1C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001364233588 888187635 /nfs/dbraw/zinc/18/76/35/888187635.db2.gz KLGGIHZKAJWALV-UHFFFAOYSA-N -1 1 319.365 1.381 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cc(C)nnc2C)[n-]c1=O ZINC001364235165 888192069 /nfs/dbraw/zinc/19/20/69/888192069.db2.gz QZNCAZIUKPMXRH-UHFFFAOYSA-N -1 1 317.349 1.263 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC001364251868 888223260 /nfs/dbraw/zinc/22/32/60/888223260.db2.gz MWEZKZVYOYILRS-LEJCRSTHSA-N -1 1 311.345 1.021 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC(=O)c1cncs1 ZINC001364364923 888465879 /nfs/dbraw/zinc/46/58/79/888465879.db2.gz HJOJKSOGNWDGJG-UHFFFAOYSA-N -1 1 324.387 1.282 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](c1ccncc1)C1CC1 ZINC001364428274 888615603 /nfs/dbraw/zinc/61/56/03/888615603.db2.gz HSVUQHCLFCPHEC-JTQLQIEISA-N -1 1 316.317 1.173 20 0 DDADMM Cc1nnc(CCN2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)o1 ZINC001364456098 888675352 /nfs/dbraw/zinc/67/53/52/888675352.db2.gz JITQRCHSGSBNNI-WPRPVWTQSA-N -1 1 320.315 1.452 20 0 DDADMM CC(C)[C@H](F)C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385250940 888734320 /nfs/dbraw/zinc/73/43/20/888734320.db2.gz BCXROUXAGAHMPB-YPMHNXCESA-N -1 1 323.368 1.454 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc([S@](C)=O)c2)n1 ZINC001364506125 888793493 /nfs/dbraw/zinc/79/34/93/888793493.db2.gz NYPXHCSHSPHYTK-QFIPXVFZSA-N -1 1 321.358 1.576 20 0 DDADMM Cc1ccc2c(c1)S[C@@H](C(=O)NC1(c3nn[n-]n3)CCC1)C2 ZINC001364664811 889154039 /nfs/dbraw/zinc/15/40/39/889154039.db2.gz XZSOOMPZDMBXAN-GFCCVEGCSA-N -1 1 315.402 1.721 20 0 DDADMM CC[C@H](C)CC(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001396191902 913336930 /nfs/dbraw/zinc/33/69/30/913336930.db2.gz ZVOCQZBCNHLPOG-HIFPTAJRSA-N -1 1 319.405 1.943 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H]1CCC[C@@H]1CO ZINC001364762701 889361311 /nfs/dbraw/zinc/36/13/11/889361311.db2.gz FSSRWWQPSZBWDD-YMTOWFKASA-N -1 1 314.332 1.673 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)Cc2ccncc2)sn1 ZINC001364789163 889420451 /nfs/dbraw/zinc/42/04/51/889420451.db2.gz RKQUHTZCDFXAMY-VIFPVBQESA-N -1 1 313.404 1.456 20 0 DDADMM O=C(c1coc(C2CC2)n1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364817509 889477734 /nfs/dbraw/zinc/47/77/34/889477734.db2.gz BROPDWICRAQOPU-VIFPVBQESA-N -1 1 302.338 1.160 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2C[C@@H](OC(C)C)C2)sn1 ZINC001364859411 889561383 /nfs/dbraw/zinc/56/13/83/889561383.db2.gz SIEQHLKULRHLCV-DTORHVGOSA-N -1 1 306.409 1.386 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2C[C@H](OC)C23CCC3)sn1 ZINC001364859207 889562489 /nfs/dbraw/zinc/56/24/89/889562489.db2.gz PDVLOUXRDZFSHZ-BDAKNGLRSA-N -1 1 318.420 1.388 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H]1C[C@H](C)n2ccnc21 ZINC001364865913 889573967 /nfs/dbraw/zinc/57/39/67/889573967.db2.gz SEZLNKQUDLMSPS-YUMQZZPRSA-N -1 1 314.392 1.333 20 0 DDADMM CCOC(=O)[C@H]1[C@@H]2CN(Cc3ccc(F)cc3C(=O)[O-])C[C@@H]21 ZINC001238234487 890144970 /nfs/dbraw/zinc/14/49/70/890144970.db2.gz BZOANFVPSSVMKD-WDNDVIMCSA-N -1 1 307.321 1.765 20 0 DDADMM O=C1CN(Cc2c(Br)ccc([O-])c2F)CCN1 ZINC001238305102 890162983 /nfs/dbraw/zinc/16/29/83/890162983.db2.gz KNPMZJVBCBKJBV-UHFFFAOYSA-N -1 1 303.131 1.226 20 0 DDADMM Cc1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c(C2CC2)n1 ZINC001365186529 890290092 /nfs/dbraw/zinc/29/00/92/890290092.db2.gz SQCKXKPPHTXVFL-UHFFFAOYSA-N -1 1 321.362 1.041 20 0 DDADMM Cc1ccc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c(C2CC2)n1 ZINC001365186529 890290107 /nfs/dbraw/zinc/29/01/07/890290107.db2.gz SQCKXKPPHTXVFL-UHFFFAOYSA-N -1 1 321.362 1.041 20 0 DDADMM CCCC(C)(C)C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001386285483 890716585 /nfs/dbraw/zinc/71/65/85/890716585.db2.gz BABDITLDESCFEO-TXEJJXNPSA-N -1 1 319.405 1.991 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-][C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001365437419 890797996 /nfs/dbraw/zinc/79/79/96/890797996.db2.gz SGNOYLBPNXCJBP-IEBDPFPHSA-N -1 1 319.329 1.572 20 0 DDADMM O=C(NC1CCOCC1)c1ccc(-c2cc(F)ccc2[O-])nn1 ZINC001240695386 891021806 /nfs/dbraw/zinc/02/18/06/891021806.db2.gz JBSGVODVIILDHW-UHFFFAOYSA-N -1 1 317.320 1.897 20 0 DDADMM CC(C)CN1CC2(C1)CC[C@H](CNC(=O)c1ncccc1O)O2 ZINC001365612213 891158603 /nfs/dbraw/zinc/15/86/03/891158603.db2.gz VWNAAHFOBWIATD-CYBMUJFWSA-N -1 1 319.405 1.406 20 0 DDADMM CCNC(=O)c1ccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)cc1 ZINC001241617860 891224913 /nfs/dbraw/zinc/22/49/13/891224913.db2.gz LOURVAQXVBMMED-UHFFFAOYSA-N -1 1 308.337 1.916 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)c1nc(C)cs1 ZINC001365640681 891232412 /nfs/dbraw/zinc/23/24/12/891232412.db2.gz LBNRJDCKDQTEES-SSDOTTSWSA-N -1 1 319.433 1.956 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1ccno1)C(=O)OC(C)(C)C ZINC001365680245 891304505 /nfs/dbraw/zinc/30/45/05/891304505.db2.gz CVHFVSMBXKJUQX-SNVBAGLBSA-N -1 1 304.368 1.214 20 0 DDADMM CC(=O)[N-]S(=O)(=O)c1ccc(-c2ccc(F)cc2O)nc1 ZINC001242217443 891376490 /nfs/dbraw/zinc/37/64/90/891376490.db2.gz OYRAIBGTKJMZKP-UHFFFAOYSA-N -1 1 310.306 1.418 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccccc1F ZINC001386739193 891602615 /nfs/dbraw/zinc/60/26/15/891602615.db2.gz FVIBPDUODVZBTC-JTQLQIEISA-N -1 1 317.320 1.475 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCC1(C)C ZINC001386742849 891611056 /nfs/dbraw/zinc/61/10/56/891611056.db2.gz NAJMFJIMSVLDFO-QWRGUYRKSA-N -1 1 305.378 1.458 20 0 DDADMM COC(=O)c1nc2ccc(-c3cncc(C(=O)OC)c3)nc2[n-]1 ZINC001243147543 891611860 /nfs/dbraw/zinc/61/18/60/891611860.db2.gz KOJXFPPJKGCNAZ-UHFFFAOYSA-N -1 1 312.285 1.593 20 0 DDADMM COCc1cnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nc1 ZINC001244790703 891923729 /nfs/dbraw/zinc/92/37/29/891923729.db2.gz OYDPNXXMBUKLBW-UHFFFAOYSA-N -1 1 324.362 1.065 20 0 DDADMM CC(C)CCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001365928712 891941814 /nfs/dbraw/zinc/94/18/14/891941814.db2.gz XMKXMLCKOUMKSF-CHWSQXEVSA-N -1 1 323.441 1.806 20 0 DDADMM CCC[C@@H](C)C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001366198051 892711850 /nfs/dbraw/zinc/71/18/50/892711850.db2.gz HOIDKBKXQMDADW-CHWSQXEVSA-N -1 1 323.441 1.015 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccn(Cc2ccccc2)n1 ZINC001292476538 913723050 /nfs/dbraw/zinc/72/30/50/913723050.db2.gz CHIJJQYOERYDIX-UHFFFAOYSA-N -1 1 311.349 1.406 20 0 DDADMM CCc1c(Nc2cc([O-])c(F)c(F)c2F)c(C(N)=O)nn1C ZINC001249961040 894174651 /nfs/dbraw/zinc/17/46/51/894174651.db2.gz BUPRETAUGPIXBT-UHFFFAOYSA-N -1 1 314.267 1.948 20 0 DDADMM O=C(NCCNC(=O)[C@@H]1CC12CCCC2)c1ncccc1[O-] ZINC001292666489 913907673 /nfs/dbraw/zinc/90/76/73/913907673.db2.gz QFZBEKPTGZBKBJ-NSHDSACASA-N -1 1 303.362 1.214 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cnc3c(cnn3C)c2)cc1 ZINC001251887197 894866896 /nfs/dbraw/zinc/86/68/96/894866896.db2.gz QLWUTZKTLNPWAN-UHFFFAOYSA-N -1 1 318.358 1.778 20 0 DDADMM CCO[C@H](CC)C(=O)NC[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001388424996 895214293 /nfs/dbraw/zinc/21/42/93/895214293.db2.gz OTDHRGUSWGNHGN-WCQYABFASA-N -1 1 323.393 1.084 20 0 DDADMM C[C@@H](OC(C)(C)C)[C@H]([N-]S(C)(=O)=O)C(=O)OC(C)(C)C ZINC001252621361 895319104 /nfs/dbraw/zinc/31/91/04/895319104.db2.gz ZCNHCDGEAMSRQW-ZJUUUORDSA-N -1 1 309.428 1.450 20 0 DDADMM CSc1nc(NC[C@H](O)COCc2ccco2)cc(=O)[n-]1 ZINC001252631580 895324575 /nfs/dbraw/zinc/32/45/75/895324575.db2.gz XOGFRPVEWOFFKC-VIFPVBQESA-N -1 1 311.363 1.487 20 0 DDADMM CCCC[C@H](CC)COC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001253022676 895611582 /nfs/dbraw/zinc/61/15/82/895611582.db2.gz QGUYFIFOMYLYKU-NWDGAFQWSA-N -1 1 300.403 1.194 20 0 DDADMM CCCS(=O)(=O)[N-]c1csc(C(=O)OC)c1C(=O)OC ZINC001253618581 895931278 /nfs/dbraw/zinc/93/12/78/895931278.db2.gz KXZHYJZMJGJIIM-UHFFFAOYSA-N -1 1 321.376 1.473 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001396546874 914027569 /nfs/dbraw/zinc/02/75/69/914027569.db2.gz AVUIHBPJZPELTK-UPJWGTAASA-N -1 1 319.405 1.704 20 0 DDADMM O=C(Nc1cnn(Cc2cccnc2)c1)c1ccc(O)cc1[O-] ZINC001254382862 896406038 /nfs/dbraw/zinc/40/60/38/896406038.db2.gz OCDDQLLNTSYRRW-UHFFFAOYSA-N -1 1 310.313 1.990 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1CCC(C)(C)C1)NC(=O)c1ncccc1[O-] ZINC001389171468 896604370 /nfs/dbraw/zinc/60/43/70/896604370.db2.gz OZJUGGUKLMUPOT-VXGBXAGGSA-N -1 1 319.405 1.848 20 0 DDADMM O=S(=O)([N-][C@H](CO)C(F)(F)F)c1ccc(F)c(Cl)c1 ZINC001254991182 896687321 /nfs/dbraw/zinc/68/73/21/896687321.db2.gz FRFRPJQQZTXMDO-MRVPVSSYSA-N -1 1 321.679 1.681 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@@H](Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)C1 ZINC001255345713 896854754 /nfs/dbraw/zinc/85/47/54/896854754.db2.gz ZKFAUXCPKOVZON-JGVFFNPUSA-N -1 1 321.337 1.250 20 0 DDADMM O=[P@]([O-])(O)CCCCNS(=O)(=O)c1cccc(F)c1 ZINC001255678748 897085813 /nfs/dbraw/zinc/08/58/13/897085813.db2.gz KTHPFSRUAXJOLZ-UHFFFAOYSA-N -1 1 311.271 1.062 20 0 DDADMM COC(=O)C1(C[N-]S(=O)(=O)c2cc(F)ccc2F)CCC1 ZINC001258948831 898373541 /nfs/dbraw/zinc/37/35/41/898373541.db2.gz VMTWCNGFUUFBSD-UHFFFAOYSA-N -1 1 319.329 1.586 20 0 DDADMM CCn1cccc([N-]S(=O)(=O)c2cc(F)ccc2F)c1=O ZINC001258949133 898373612 /nfs/dbraw/zinc/37/36/12/898373612.db2.gz NQWZTZTZCIXYHS-UHFFFAOYSA-N -1 1 314.313 1.947 20 0 DDADMM O=S(=O)([N-]Cc1ccnn1CCF)c1cc(F)ccc1F ZINC001258951087 898377742 /nfs/dbraw/zinc/37/77/42/898377742.db2.gz NKYOKFWYEVTONS-UHFFFAOYSA-N -1 1 319.308 1.609 20 0 DDADMM O=S(=O)([N-]CCN1CCC[C@@H](F)C1)c1cc(F)ccc1F ZINC001258950216 898378604 /nfs/dbraw/zinc/37/86/04/898378604.db2.gz QXZBNWUVNNHHSS-LLVKDONJSA-N -1 1 322.352 1.677 20 0 DDADMM CC1(C)[C@@H]2CC[C@@]1(CS(=O)(=O)[N-][C@H]1COC[C@@H]1F)C(=O)C2 ZINC001258992527 898396236 /nfs/dbraw/zinc/39/62/36/898396236.db2.gz XCAFBQCTJPTMDZ-YAMSLAJTSA-N -1 1 319.398 1.038 20 0 DDADMM O=S(=O)([N-]Cc1ncccn1)c1cc(F)c(F)cc1F ZINC001259034361 898433154 /nfs/dbraw/zinc/43/31/54/898433154.db2.gz WPFNTWYRDKOIEI-UHFFFAOYSA-N -1 1 303.265 1.372 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)Cc2ccc(F)cc2)n1 ZINC001259247506 898546143 /nfs/dbraw/zinc/54/61/43/898546143.db2.gz QEJYIDSXKNKMPU-UHFFFAOYSA-N -1 1 324.333 1.949 20 0 DDADMM Cc1ccc(CS(=O)(=O)NCC[N-]C(=O)C(F)(F)F)cc1 ZINC001259266475 898558247 /nfs/dbraw/zinc/55/82/47/898558247.db2.gz AFXAUYVYXJKZLQ-UHFFFAOYSA-N -1 1 324.324 1.093 20 0 DDADMM O=S(=O)([N-]CCn1cccn1)c1cc(Cl)ccc1F ZINC001259460096 898679578 /nfs/dbraw/zinc/67/95/78/898679578.db2.gz YMBKKYSBXQLLCM-UHFFFAOYSA-N -1 1 303.746 1.654 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)CCCN1 ZINC001259462143 898681661 /nfs/dbraw/zinc/68/16/61/898681661.db2.gz KDFHYIOZNWIEBF-SECBINFHSA-N -1 1 320.773 1.426 20 0 DDADMM CCOC(=O)Cc1ncc([N-]S(=O)(=O)C2CCCC2)cn1 ZINC001259794643 898808588 /nfs/dbraw/zinc/80/85/88/898808588.db2.gz KMDNDXMMQBHLHC-UHFFFAOYSA-N -1 1 313.379 1.267 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2cc(C(F)(F)F)cnc2F)cn1 ZINC001259821942 898834036 /nfs/dbraw/zinc/83/40/36/898834036.db2.gz ZQEGLHAUWGVZRA-UHFFFAOYSA-N -1 1 324.259 1.774 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2ccc(CO)cc2Cl)cn1 ZINC001259827952 898838801 /nfs/dbraw/zinc/83/88/01/898838801.db2.gz ZJEHBZKHYJUJII-UHFFFAOYSA-N -1 1 301.755 1.367 20 0 DDADMM CC(C)CCC(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001390188970 898887349 /nfs/dbraw/zinc/88/73/49/898887349.db2.gz MODNLSXJMUPMDZ-KRWDZBQOSA-N -1 1 319.405 1.944 20 0 DDADMM COc1cccc(-n2nccc2[N-]S(=O)(=O)C(F)F)c1 ZINC001259951421 898971357 /nfs/dbraw/zinc/97/13/57/898971357.db2.gz YLDFANUPZGCTMV-UHFFFAOYSA-N -1 1 303.290 1.845 20 0 DDADMM Cc1cc([N-]S(=O)(=O)C(F)F)ccc1N1CCOCC1 ZINC001259956649 898978371 /nfs/dbraw/zinc/97/83/71/898978371.db2.gz RAWQTUHWCBQNOS-UHFFFAOYSA-N -1 1 306.334 1.796 20 0 DDADMM O=S(=O)([N-]Cc1ccccc1OC1CCOCC1)C(F)F ZINC001259961985 898983409 /nfs/dbraw/zinc/98/34/09/898983409.db2.gz AILDYFDPQHEOMB-UHFFFAOYSA-N -1 1 321.345 1.886 20 0 DDADMM O=S(=O)([N-]C1(Cc2cccnc2)CCOCC1)C(F)F ZINC001259964344 898988385 /nfs/dbraw/zinc/98/83/85/898988385.db2.gz MRXSCTWFCLWCRC-UHFFFAOYSA-N -1 1 306.334 1.315 20 0 DDADMM CCOC(=O)c1cnc([N-]S(=O)(=O)CCOC)c(Cl)c1 ZINC001259965682 898989699 /nfs/dbraw/zinc/98/96/99/898989699.db2.gz HLQIYCRTTVUSQH-UHFFFAOYSA-N -1 1 322.770 1.300 20 0 DDADMM O=S(=O)([N-]Cc1ccncn1)c1cc(F)c(F)cc1Cl ZINC001260288338 899112571 /nfs/dbraw/zinc/11/25/71/899112571.db2.gz LTPHOMTTZZQRHY-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM CCOC(=O)C[C@@H]1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC001261087745 899346302 /nfs/dbraw/zinc/34/63/02/899346302.db2.gz VIJFUXNGVMVIFO-LBPRGKRZSA-N -1 1 305.330 1.519 20 0 DDADMM COc1ccc(CCCCCC(=O)N(C)c2nn[n-]n2)cc1 ZINC001262101746 899944476 /nfs/dbraw/zinc/94/44/76/899944476.db2.gz LWEHPLNSJGTOIY-UHFFFAOYSA-N -1 1 303.366 1.974 20 0 DDADMM O=C([O-])[C@@H](O)CCNCc1c(Cl)cccc1OC(F)F ZINC001262163946 899994763 /nfs/dbraw/zinc/99/47/63/899994763.db2.gz NOSZCVFLBKDWBC-VIFPVBQESA-N -1 1 309.696 1.867 20 0 DDADMM CN(c1nnc(-c2nnn[n-]2)n1CCc1ccccc1)C1CC1 ZINC001263171138 900522778 /nfs/dbraw/zinc/52/27/78/900522778.db2.gz HXFGDJZBAMNDOG-UHFFFAOYSA-N -1 1 310.365 1.300 20 0 DDADMM CN(c1nnc(-c2nn[n-]n2)n1CCc1ccccc1)C1CC1 ZINC001263171138 900522787 /nfs/dbraw/zinc/52/27/87/900522787.db2.gz HXFGDJZBAMNDOG-UHFFFAOYSA-N -1 1 310.365 1.300 20 0 DDADMM COc1cccc(NC(=S)NCC[N-]C(=O)C(F)(F)F)c1 ZINC000586421677 900636236 /nfs/dbraw/zinc/63/62/36/900636236.db2.gz AQPZVJGCYLQFHM-UHFFFAOYSA-N -1 1 321.324 1.660 20 0 DDADMM O=Cc1ccc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)s1 ZINC001263911474 900813968 /nfs/dbraw/zinc/81/39/68/900813968.db2.gz JZXSOHHUUYRJQH-VIFPVBQESA-N -1 1 305.363 1.169 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1ccncc1 ZINC001369822701 902038698 /nfs/dbraw/zinc/03/86/98/902038698.db2.gz ATQTURUQFBWRMP-NSHDSACASA-N -1 1 314.345 1.073 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]Cc1cc[nH]c(=O)c1 ZINC000392756584 902146955 /nfs/dbraw/zinc/14/69/55/902146955.db2.gz VPNRIOBHVPLDNX-UHFFFAOYSA-N -1 1 319.795 1.684 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])Cc1csnn1 ZINC001266035246 902805969 /nfs/dbraw/zinc/80/59/69/902805969.db2.gz BKNOPSSVJHJYND-UHFFFAOYSA-N -1 1 321.406 1.281 20 0 DDADMM CCC[C@H](C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001396916925 914728575 /nfs/dbraw/zinc/72/85/75/914728575.db2.gz YOEMKDBHCODRGA-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM CSCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001370824268 903796730 /nfs/dbraw/zinc/79/67/30/903796730.db2.gz RZLPCZWIIOWUBA-QWRGUYRKSA-N -1 1 323.418 1.260 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001392297104 903802531 /nfs/dbraw/zinc/80/25/31/903802531.db2.gz KXJIOSYGIXKDMN-JHJVBQTASA-N -1 1 319.405 1.704 20 0 DDADMM CC[C@@H](F)CN(C)C[C@@H](C)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001392724424 905066285 /nfs/dbraw/zinc/06/62/85/905066285.db2.gz OBFAAVSUROMXEN-NXEZZACHSA-N -1 1 324.356 1.727 20 0 DDADMM CCCC(=O)NC[C@@H]1CCCC[C@H]1NC(=O)c1ncccc1[O-] ZINC001393133285 906309016 /nfs/dbraw/zinc/30/90/16/906309016.db2.gz FJCVYURWANEYFV-QWHCGFSZSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1cccc(CC(=O)NCCNC(=O)c2ncccc2[O-])c1 ZINC001282980990 906391784 /nfs/dbraw/zinc/39/17/84/906391784.db2.gz CNJSKLCPRWDFTC-UHFFFAOYSA-N -1 1 313.357 1.184 20 0 DDADMM O=C(C[C@@H]1C=CCCC1)NCCNC(=O)c1ncccc1[O-] ZINC001282983232 906397320 /nfs/dbraw/zinc/39/73/20/906397320.db2.gz IGPRIXKQSNLFIR-GFCCVEGCSA-N -1 1 303.362 1.380 20 0 DDADMM CC[C@H]1CCC[C@]1(C)C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282983926 906401149 /nfs/dbraw/zinc/40/11/49/906401149.db2.gz YDDYKKYRCJZUQG-SJCJKPOMSA-N -1 1 319.405 1.850 20 0 DDADMM CC/C=C(\C)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001283932365 908205281 /nfs/dbraw/zinc/20/52/81/908205281.db2.gz XRGGMQSATFVTGM-KKNAONHISA-N -1 1 317.389 1.768 20 0 DDADMM C[C@@H](NC(=O)C(C)(F)F)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001394936819 910952696 /nfs/dbraw/zinc/95/26/96/910952696.db2.gz WXXFCBTUKPBHFI-JGVFFNPUSA-N -1 1 301.293 1.065 20 0 DDADMM CC/C(C)=C/C(=O)N(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001285654251 910977616 /nfs/dbraw/zinc/97/76/16/910977616.db2.gz DPVKKMACXZRUHE-ZKQHCESOSA-N -1 1 305.378 1.720 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)CC(C)(F)F ZINC001394956652 911016756 /nfs/dbraw/zinc/01/67/56/911016756.db2.gz AYIZSSWNVILMMS-DTWKUNHWSA-N -1 1 315.320 1.456 20 0 DDADMM CC1(C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])CC1 ZINC001374017609 911654052 /nfs/dbraw/zinc/65/40/52/911654052.db2.gz KAKBBYFWCIBPIS-LLVKDONJSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)C1CCCC1 ZINC001374141957 911995517 /nfs/dbraw/zinc/99/55/17/911995517.db2.gz HGXZWZZAYZYXRH-UHFFFAOYSA-N -1 1 307.398 1.219 20 0 DDADMM CC/C(C)=C/C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001294880850 915430488 /nfs/dbraw/zinc/43/04/88/915430488.db2.gz COHQUPNWVFIGQH-STRFDMGBSA-N -1 1 317.389 1.768 20 0 DDADMM O=C([N-]c1nnc(-c2ccncc2)s1)c1ccnc2n[nH]nc21 ZINC001295385217 915755166 /nfs/dbraw/zinc/75/51/66/915755166.db2.gz SBUYFFHDHGKNMK-UHFFFAOYSA-N -1 1 324.329 1.519 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)CNC(=O)c2ncccc2[O-])c(C)o1 ZINC001397411445 915967640 /nfs/dbraw/zinc/96/76/40/915967640.db2.gz BJROWFDYTYCIGM-VIFPVBQESA-N -1 1 317.345 1.545 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CC(F)(F)C1 ZINC001397417343 915982331 /nfs/dbraw/zinc/98/23/31/915982331.db2.gz WULICOGQHYIHDM-QMMMGPOBSA-N -1 1 313.304 1.067 20 0 DDADMM CC(C)=CC(=O)N(C)CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001296077575 916225209 /nfs/dbraw/zinc/22/52/09/916225209.db2.gz BTTBBJNIZLVCCF-UHFFFAOYSA-N -1 1 318.377 1.214 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@H](C)C1CCC1 ZINC001375693427 916722274 /nfs/dbraw/zinc/72/22/74/916722274.db2.gz DQGFTDJSITWLAB-VXGBXAGGSA-N -1 1 319.405 1.706 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001376257954 918088185 /nfs/dbraw/zinc/08/81/85/918088185.db2.gz GTTWVHKAUQQTAE-RYUDHWBXSA-N -1 1 305.378 1.364 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001376853354 919574791 /nfs/dbraw/zinc/57/47/91/919574791.db2.gz GQYZQHVYNANMFV-HTQZYQBOSA-N -1 1 323.319 1.083 20 0 DDADMM CCC(C)(CC)C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001377414569 921815336 /nfs/dbraw/zinc/81/53/36/921815336.db2.gz IDGPLVGZZYSPPZ-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCC(C)(C)C1 ZINC001378001949 923652655 /nfs/dbraw/zinc/65/26/55/923652655.db2.gz WJYBNHQRWCNOSY-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM CCc1nnc([N-]C(=O)c2cc(S(C)(=O)=O)cs2)s1 ZINC000162086847 197543008 /nfs/dbraw/zinc/54/30/08/197543008.db2.gz BOEHCGWTXNESIW-UHFFFAOYSA-N -1 1 317.417 1.818 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3CCC(C4CC4)CC3)nc2n1 ZINC000622869633 365548779 /nfs/dbraw/zinc/54/87/79/365548779.db2.gz AJJHXVQFVUSDDP-UHFFFAOYSA-N -1 1 315.377 1.881 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCC[C@H](C)C3)nc2n1 ZINC000622994617 365588676 /nfs/dbraw/zinc/58/86/76/365588676.db2.gz VZVZLEOWRRXTSK-UWVGGRQHSA-N -1 1 303.366 1.745 20 0 DDADMM CCC[C@@H](NC(=O)COCc1ccc(C)cc1)c1nn[n-]n1 ZINC000623020664 365601043 /nfs/dbraw/zinc/60/10/43/365601043.db2.gz QTBHWDIJEJFVMI-CYBMUJFWSA-N -1 1 303.366 1.682 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc2n1CCCCC2)c1nn[n-]n1 ZINC000623020250 365601179 /nfs/dbraw/zinc/60/11/79/365601179.db2.gz DDXCNBBHXMWOIE-SNVBAGLBSA-N -1 1 303.370 1.394 20 0 DDADMM O=C(NC[C@@H](CO)[C@@H]1CCOC1)c1ncc2ccccc2c1[O-] ZINC000614340011 361810818 /nfs/dbraw/zinc/81/08/18/361810818.db2.gz GYFDTZOZUHNDMW-OLZOCXBDSA-N -1 1 316.357 1.315 20 0 DDADMM Cn1ncc(C2CC2)c1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614389512 361831790 /nfs/dbraw/zinc/83/17/90/361831790.db2.gz NVERLUBOPVWYKC-JTQLQIEISA-N -1 1 317.349 1.406 20 0 DDADMM O=C(C[C@@H]1CCCC[C@H]1O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614472644 361873710 /nfs/dbraw/zinc/87/37/10/361873710.db2.gz CEPIMPZMKCKYRW-NWDGAFQWSA-N -1 1 309.366 1.422 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncc(C)cc1[O-])c1ccccn1 ZINC000614625633 361949644 /nfs/dbraw/zinc/94/96/44/361949644.db2.gz KBGYFOGARJGGGU-GFCCVEGCSA-N -1 1 301.302 1.135 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cnccc1C)c1ccc(C)o1 ZINC000451267749 231051980 /nfs/dbraw/zinc/05/19/80/231051980.db2.gz JUUDEMJAFVPGFE-LBPRGKRZSA-N -1 1 310.375 1.957 20 0 DDADMM Cc1nc(CN2CCN(C(=O)c3cc(F)ccc3[O-])CC2)no1 ZINC000081959019 192345624 /nfs/dbraw/zinc/34/56/24/192345624.db2.gz BSPBSMLIFLIANY-UHFFFAOYSA-N -1 1 320.324 1.181 20 0 DDADMM CS(=O)(=O)CC1(CC(=O)Nc2c([O-])cccc2F)CC1 ZINC000080098299 539164859 /nfs/dbraw/zinc/16/48/59/539164859.db2.gz YMEPUBXVBBSSQC-UHFFFAOYSA-N -1 1 301.339 1.685 20 0 DDADMM COC[C@](C)(O)CCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000452370000 231364774 /nfs/dbraw/zinc/36/47/74/231364774.db2.gz YYJSSBMWHMYAQE-MRXNPFEDSA-N -1 1 319.361 1.161 20 0 DDADMM COC[C@](C)(O)CCNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000452370000 231364777 /nfs/dbraw/zinc/36/47/77/231364777.db2.gz YYJSSBMWHMYAQE-MRXNPFEDSA-N -1 1 319.361 1.161 20 0 DDADMM COCCN1C[C@@H](c2nc(-c3ccc([O-])c(F)c3)no2)CC1=O ZINC000278212805 214026467 /nfs/dbraw/zinc/02/64/67/214026467.db2.gz QHOLYIAFZTXACE-JTQLQIEISA-N -1 1 321.308 1.544 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000615226528 362204228 /nfs/dbraw/zinc/20/42/28/362204228.db2.gz LBFRUDSAFRIADV-SNVBAGLBSA-N -1 1 300.318 1.415 20 0 DDADMM O=C(C=Cc1cc(Br)cs1)Nc1nnn[n-]1 ZINC000255716416 282276135 /nfs/dbraw/zinc/27/61/35/282276135.db2.gz JJPIKGHCFSSMFA-UPHRSURJSA-N -1 1 300.141 1.676 20 0 DDADMM O=C(C=Cc1cc(Br)cs1)Nc1nn[n-]n1 ZINC000255716416 282276138 /nfs/dbraw/zinc/27/61/38/282276138.db2.gz JJPIKGHCFSSMFA-UPHRSURJSA-N -1 1 300.141 1.676 20 0 DDADMM CCc1nc(CCN=c2ccc(C(=O)N(C)C)n[n-]2)sc1C ZINC000439832330 529733566 /nfs/dbraw/zinc/73/35/66/529733566.db2.gz KZWFDDWNKNLMDF-UHFFFAOYSA-N -1 1 319.434 1.582 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2ncc(-c3ccccc3)o2)n[n-]1 ZINC000444408536 529744520 /nfs/dbraw/zinc/74/45/20/529744520.db2.gz BFONEALXMVCESS-UHFFFAOYSA-N -1 1 318.358 1.996 20 0 DDADMM CNC(=O)CNC(=O)c1ccc(NC(=O)OC(C)(C)C)cc1[O-] ZINC000491615629 529864641 /nfs/dbraw/zinc/86/46/41/529864641.db2.gz KFIGNZFRURZYLW-UHFFFAOYSA-N -1 1 323.349 1.215 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)c2c(C)noc2C)n[n-]1 ZINC000615920035 362486845 /nfs/dbraw/zinc/48/68/45/362486845.db2.gz OWLLSVIBLRBMFF-ZETCQYMHSA-N -1 1 321.337 1.006 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)c2c(C)noc2C)n1 ZINC000615920035 362486850 /nfs/dbraw/zinc/48/68/50/362486850.db2.gz OWLLSVIBLRBMFF-ZETCQYMHSA-N -1 1 321.337 1.006 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@]2(C)CCCC[C@H]2C)o1 ZINC000457263188 530028708 /nfs/dbraw/zinc/02/87/08/530028708.db2.gz PKOMSZPKAMUXFY-YGRLFVJLSA-N -1 1 314.407 1.886 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(C3CC3)[nH]n2)o1 ZINC000299311647 530029048 /nfs/dbraw/zinc/02/90/48/530029048.db2.gz VZXJYVKHJPWFBM-UHFFFAOYSA-N -1 1 310.335 1.041 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000579251578 422736626 /nfs/dbraw/zinc/73/66/26/422736626.db2.gz BHEODMIWPSRUCF-VIFPVBQESA-N -1 1 320.324 1.728 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000579251578 422736631 /nfs/dbraw/zinc/73/66/31/422736631.db2.gz BHEODMIWPSRUCF-VIFPVBQESA-N -1 1 320.324 1.728 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCCc3n[nH]cc32)c1Br ZINC000616006025 362517566 /nfs/dbraw/zinc/51/75/66/362517566.db2.gz GNLNOTGTINGERK-SSDOTTSWSA-N -1 1 324.182 1.684 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](CNC(=O)C(C)C)C2)c([O-])c1 ZINC000330875290 232129769 /nfs/dbraw/zinc/12/97/69/232129769.db2.gz JBAMOZRMVKWQOL-CYBMUJFWSA-N -1 1 319.405 1.720 20 0 DDADMM C[C@@H](NC(=O)c1ncc2ccccc2c1[O-])[C@@H](O)C(F)(F)F ZINC000616248635 362600759 /nfs/dbraw/zinc/60/07/59/362600759.db2.gz UHYPHMNMFKAXFA-JMCQJSRRSA-N -1 1 314.263 1.982 20 0 DDADMM C[C@@](CO)(CNC(=O)c1ncccc1[O-])Cc1ccccc1 ZINC000360294975 539493302 /nfs/dbraw/zinc/49/33/02/539493302.db2.gz RLKDPNFFSQISRS-KRWDZBQOSA-N -1 1 300.358 1.758 20 0 DDADMM CC(C)[C@@H](O)C1(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000358342153 299125280 /nfs/dbraw/zinc/12/52/80/299125280.db2.gz SNOXTSIYLFPFIL-CYBMUJFWSA-N -1 1 318.377 1.201 20 0 DDADMM C[C@H]1CN(C(=O)CCCc2nn[n-]n2)C[C@@H](CC(F)(F)F)O1 ZINC000636240143 422737733 /nfs/dbraw/zinc/73/77/33/422737733.db2.gz KKHZWOPKHLOGCJ-DTWKUNHWSA-N -1 1 321.303 1.091 20 0 DDADMM COC(=O)[C@H](CC(F)F)[N-]S(=O)(=O)Cc1ccccc1C ZINC000341720240 282470180 /nfs/dbraw/zinc/47/01/80/282470180.db2.gz ZFVJPURUYFPYBG-NSHDSACASA-N -1 1 321.345 1.611 20 0 DDADMM CC(C)OC(=O)[C@@H](C)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358400391 299142720 /nfs/dbraw/zinc/14/27/20/299142720.db2.gz PHKZJSGZCHNEHM-SECBINFHSA-N -1 1 320.349 1.086 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1CCCC(F)(F)C1)c2=O ZINC000358402909 299143553 /nfs/dbraw/zinc/14/35/53/299143553.db2.gz VAONIYJTAZMDHZ-QMMMGPOBSA-N -1 1 310.304 1.982 20 0 DDADMM Cn1ccnc1[C@H](NC(=O)c1ncccc1[O-])C(C)(C)CO ZINC000616865280 362851404 /nfs/dbraw/zinc/85/14/04/362851404.db2.gz SCYBSSSEKNSNGJ-LBPRGKRZSA-N -1 1 304.350 1.010 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H]1C[C@H](C)O ZINC000155855602 186118000 /nfs/dbraw/zinc/11/80/00/186118000.db2.gz YWVXSKDVJIEGPV-JQWIXIFHSA-N -1 1 321.421 1.751 20 0 DDADMM CC[C@@H]1CCC[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000155919828 186123548 /nfs/dbraw/zinc/12/35/48/186123548.db2.gz CPYUMGCQDMZKJF-ZJUUUORDSA-N -1 1 300.380 1.496 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1c(F)cccc1F)C(=O)OC ZINC000164358072 186157187 /nfs/dbraw/zinc/15/71/87/186157187.db2.gz WVHLOKJUCPDKCC-LLVKDONJSA-N -1 1 307.318 1.336 20 0 DDADMM CCCC[C@@H](COC)NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287187308 219368364 /nfs/dbraw/zinc/36/83/64/219368364.db2.gz NWGFQTSSUALNAQ-UQGHUHRHSA-N -1 1 305.382 1.669 20 0 DDADMM NC(=O)N1CC[C@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000182036694 199377396 /nfs/dbraw/zinc/37/73/96/199377396.db2.gz OAMBGLHVOZUSKU-QMMMGPOBSA-N -1 1 317.267 1.294 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2ccnc(N(C)C)c2)c[nH]1 ZINC000360247146 306978940 /nfs/dbraw/zinc/97/89/40/306978940.db2.gz BATJGJJJSPPPKR-UHFFFAOYSA-N -1 1 324.362 1.063 20 0 DDADMM O=S(=O)([N-]c1n[nH]cc1Br)c1ccccc1 ZINC000008696808 352135509 /nfs/dbraw/zinc/13/55/09/352135509.db2.gz LBLMCBLGPGQXPY-UHFFFAOYSA-N -1 1 302.153 1.973 20 0 DDADMM CCCCn1c(S[C@H](C(=O)NC(N)=O)C(C)C)n[nH]c1=O ZINC000022615680 352178289 /nfs/dbraw/zinc/17/82/89/352178289.db2.gz ROGUZMQFDDTTHE-QMMMGPOBSA-N -1 1 315.399 1.095 20 0 DDADMM CCCCC[C@@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000033015124 352287567 /nfs/dbraw/zinc/28/75/67/352287567.db2.gz OCOUMBHUCXSCOU-SNVBAGLBSA-N -1 1 302.396 1.886 20 0 DDADMM O=C(c1cnns1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000054152048 352680993 /nfs/dbraw/zinc/68/09/93/352680993.db2.gz WJNIRVMJVSMSAK-UHFFFAOYSA-N -1 1 317.370 1.979 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1C[C@H]1c1cccc(Br)c1 ZINC000060380472 352869653 /nfs/dbraw/zinc/86/96/53/352869653.db2.gz UAYASLSNRUINGL-DTWKUNHWSA-N -1 1 308.139 1.704 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1C[C@H]1c1cccc(Br)c1 ZINC000060380472 352869657 /nfs/dbraw/zinc/86/96/57/352869657.db2.gz UAYASLSNRUINGL-DTWKUNHWSA-N -1 1 308.139 1.704 20 0 DDADMM C[C@@H](CNC(=O)c1c([O-])cc(F)cc1F)N1CCN(C)CC1 ZINC000077615520 353478198 /nfs/dbraw/zinc/47/81/98/353478198.db2.gz KDFKKYHGVAJWRA-JTQLQIEISA-N -1 1 313.348 1.036 20 0 DDADMM CS(=O)(=O)CCN1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000078657561 353526695 /nfs/dbraw/zinc/52/66/95/353526695.db2.gz UEHKCRSMPRBLMT-UHFFFAOYSA-N -1 1 311.403 1.332 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H]2CCSC2)c(=O)[n-]1 ZINC000081031965 353647281 /nfs/dbraw/zinc/64/72/81/353647281.db2.gz BCWXRVDGJYIQJQ-VIFPVBQESA-N -1 1 313.448 1.355 20 0 DDADMM Cc1n[nH]cc1CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081591357 353683830 /nfs/dbraw/zinc/68/38/30/353683830.db2.gz QUWJUKAUYLFVOS-UHFFFAOYSA-N -1 1 303.366 1.337 20 0 DDADMM O=C(N[C@H]1CC[S@](=O)C1)c1ccc(Br)cc1[O-] ZINC000081788717 353692724 /nfs/dbraw/zinc/69/27/24/353692724.db2.gz SAVUYNHPBSEUHB-WNWIJWBNSA-N -1 1 318.192 1.406 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000089307698 353765025 /nfs/dbraw/zinc/76/50/25/353765025.db2.gz AGLDDRXFWHGBSY-VXGBXAGGSA-N -1 1 323.784 1.934 20 0 DDADMM Cc1ccccc1-c1cnc(CS(=O)(=O)c2ncn[n-]2)o1 ZINC000086169891 353738535 /nfs/dbraw/zinc/73/85/35/353738535.db2.gz UCCXDXWRDNJLRM-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM Cc1ccccc1-c1cnc(CS(=O)(=O)c2nc[n-]n2)o1 ZINC000086169891 353738537 /nfs/dbraw/zinc/73/85/37/353738537.db2.gz UCCXDXWRDNJLRM-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H](c2n[n-]c(=S)n2C)C1 ZINC000092414746 353856788 /nfs/dbraw/zinc/85/67/88/353856788.db2.gz FBKGGJQAKISZCW-GARJFASQSA-N -1 1 310.423 1.609 20 0 DDADMM CCC[C@](C)([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OC ZINC000601301319 358486637 /nfs/dbraw/zinc/48/66/37/358486637.db2.gz YCQKPGBSQFPLBL-NSHDSACASA-N -1 1 306.409 1.462 20 0 DDADMM CCOC(=O)c1coc(=NC[C@@H](C(C)C)N2CCOCC2)[n-]1 ZINC000346233143 283036039 /nfs/dbraw/zinc/03/60/39/283036039.db2.gz HBCGZIHJVBHSRH-ZDUSSCGKSA-N -1 1 311.382 1.042 20 0 DDADMM CCOC(=O)c1coc(=NCCCN2C[C@@H](C)O[C@H](C)C2)[n-]1 ZINC000346285970 283053329 /nfs/dbraw/zinc/05/33/29/283053329.db2.gz ZKYYDNWZYZGHST-VXGBXAGGSA-N -1 1 311.382 1.184 20 0 DDADMM CN(C(=O)OC(C)(C)C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000495404219 235179226 /nfs/dbraw/zinc/17/92/26/235179226.db2.gz SKSMABVMNFJOPN-LLVKDONJSA-N -1 1 321.377 1.869 20 0 DDADMM C[C@@H](CCNC(=O)OC(C)(C)C)NC(=O)c1ncccc1[O-] ZINC000495492145 235196062 /nfs/dbraw/zinc/19/60/62/235196062.db2.gz IFLYPCSFCXLXIJ-JTQLQIEISA-N -1 1 309.366 1.820 20 0 DDADMM COc1ccc(-c2nc([N-]C(=O)c3cocn3)n[nH]2)c(OC)c1 ZINC000591169480 355259282 /nfs/dbraw/zinc/25/92/82/355259282.db2.gz JKHKXUWETSIPEJ-UHFFFAOYSA-N -1 1 315.289 1.729 20 0 DDADMM COC(=O)[C@@H]1CSCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000591119548 355251742 /nfs/dbraw/zinc/25/17/42/355251742.db2.gz GVUTWHMRWSAGHG-JTQLQIEISA-N -1 1 313.350 1.510 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CC2(C)C)o1 ZINC000358870188 291063956 /nfs/dbraw/zinc/06/39/56/291063956.db2.gz ZPQWMFJFSAMZEN-SECBINFHSA-N -1 1 301.364 1.781 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OC ZINC000592068053 355481381 /nfs/dbraw/zinc/48/13/81/355481381.db2.gz HBHCPYDEPCYMDZ-DTWKUNHWSA-N -1 1 319.345 1.836 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)[C@@H]1CCO[C@H]1C ZINC000592075876 355485874 /nfs/dbraw/zinc/48/58/74/355485874.db2.gz QWNCWPKDQLTQIK-GARJFASQSA-N -1 1 307.412 1.061 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn3c2C[C@H](C)CC3)n1 ZINC000592630641 355648589 /nfs/dbraw/zinc/64/85/89/355648589.db2.gz QKQHSKGYUWOBLU-SECBINFHSA-N -1 1 317.349 1.618 20 0 DDADMM CC(C)(C)OC(=O)Cn1cc(NC(=O)c2cncc([O-])c2)cn1 ZINC000592813221 355701288 /nfs/dbraw/zinc/70/12/88/355701288.db2.gz KXHPLGDFLJBJBJ-UHFFFAOYSA-N -1 1 318.333 1.578 20 0 DDADMM COC(=O)c1coc(C[N-]S(=O)(=O)c2sccc2C)n1 ZINC000593646436 355952744 /nfs/dbraw/zinc/95/27/44/355952744.db2.gz XRCQCQRCYJSWQW-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM C[C@@H](CCNC(=O)Cc1ccc([O-])c(Cl)c1)[S@@](C)=O ZINC000601334587 358503627 /nfs/dbraw/zinc/50/36/27/358503627.db2.gz BEDJFTBUMNNBDV-ZRNGKTOUSA-N -1 1 303.811 1.861 20 0 DDADMM O=C(c1nc[n-]c1-c1nc(Cn2cncn2)no1)c1ccccc1 ZINC000347043965 283160278 /nfs/dbraw/zinc/16/02/78/283160278.db2.gz GKGOOMIQWWNQAV-UHFFFAOYSA-N -1 1 321.300 1.331 20 0 DDADMM CCOCCCC[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595304374 356441976 /nfs/dbraw/zinc/44/19/76/356441976.db2.gz YSXDORARVYQECN-UHFFFAOYSA-N -1 1 319.379 1.470 20 0 DDADMM CCN(CC(=O)Nc1c(C)cccc1C)Cc1nc(=O)n(C)[n-]1 ZINC000347458450 283216119 /nfs/dbraw/zinc/21/61/19/283216119.db2.gz AWVXHFKGHYGHHC-UHFFFAOYSA-N -1 1 317.393 1.186 20 0 DDADMM Cc1c(CCC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cnn1C ZINC000347569296 283231064 /nfs/dbraw/zinc/23/10/64/283231064.db2.gz QGHDMTCEKPDDCR-GFCCVEGCSA-N -1 1 319.365 1.156 20 0 DDADMM CCOC(=O)[C@@]1(C)CCC[C@H]1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000598471584 357596585 /nfs/dbraw/zinc/59/65/85/357596585.db2.gz IBCLCUORMLSRAE-DYVFJYSZSA-N -1 1 319.357 1.813 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)[C@H]1COC(C)(C)C1 ZINC000599210642 357851976 /nfs/dbraw/zinc/85/19/76/357851976.db2.gz WNHLXHRALXUVBG-MNOVXSKESA-N -1 1 307.412 1.061 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCn3cccc3[C@@H]2C)co1 ZINC000179267855 199007462 /nfs/dbraw/zinc/00/74/62/199007462.db2.gz GMPRJCVKFSQEJL-JTQLQIEISA-N -1 1 323.374 1.206 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H](C)CC2)co1 ZINC000179379484 199025699 /nfs/dbraw/zinc/02/56/99/199025699.db2.gz WTGPVOQYZSSSNK-JTQLQIEISA-N -1 1 300.380 1.450 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc2c(s1)-c1ccccc1CC2 ZINC000599334258 357897648 /nfs/dbraw/zinc/89/76/48/357897648.db2.gz ILRQZHSEFGYARM-UHFFFAOYSA-N -1 1 311.370 1.957 20 0 DDADMM CCOC(=O)c1cccc(CNC2(c3nn[n-]n3)CCCC2)n1 ZINC000600136171 358134025 /nfs/dbraw/zinc/13/40/25/358134025.db2.gz ROSBFMUZRYJWPG-UHFFFAOYSA-N -1 1 316.365 1.331 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)[N-]OC[C@@H]1CCOC1)c2=O ZINC000276684664 213044703 /nfs/dbraw/zinc/04/47/03/213044703.db2.gz WPCRXJRMKILPJL-SNVBAGLBSA-N -1 1 318.329 1.647 20 0 DDADMM O=C(N[C@@H]1CCCCNC1=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180389128 199153049 /nfs/dbraw/zinc/15/30/49/199153049.db2.gz YZGYYJVAZBUBPK-SNVBAGLBSA-N -1 1 316.279 1.810 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccc(OCc2cscn2)c1 ZINC000600497725 358241138 /nfs/dbraw/zinc/24/11/38/358241138.db2.gz QMDDUIMZMIRWQU-UHFFFAOYSA-N -1 1 316.346 1.165 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H](Oc1ccccc1)c1ccccc1 ZINC000600501440 358241757 /nfs/dbraw/zinc/24/17/57/358241757.db2.gz LVOFVUNVGSQIPV-HNNXBMFYSA-N -1 1 309.329 1.636 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cnc2ccccc2c1C(F)(F)F ZINC000600506336 358243551 /nfs/dbraw/zinc/24/35/51/358243551.db2.gz VQDQTIARBBGKFC-UHFFFAOYSA-N -1 1 322.250 1.697 20 0 DDADMM COC(=O)C[C@H](C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000600673875 358278702 /nfs/dbraw/zinc/27/87/02/358278702.db2.gz IBCQGWRYAWZZGK-JTQLQIEISA-N -1 1 303.318 1.716 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H]2CCC[C@@H]21 ZINC000600551667 358254660 /nfs/dbraw/zinc/25/46/60/358254660.db2.gz PETYXNGCPPVHJB-UTUOFQBUSA-N -1 1 304.346 1.591 20 0 DDADMM CC(C)(C)C(=O)N1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000181113728 199254487 /nfs/dbraw/zinc/25/44/87/199254487.db2.gz NOQMKNAAZXQPIP-UHFFFAOYSA-N -1 1 308.353 1.862 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](SC)c1ccccc1 ZINC000601685740 358654797 /nfs/dbraw/zinc/65/47/97/358654797.db2.gz SGYRWAVDWBKBBJ-LBPRGKRZSA-N -1 1 318.402 1.542 20 0 DDADMM COC(=O)[C@]1(C)C[C@@H](OC)CN1C(=O)c1ccc([O-])cc1F ZINC000601737475 358671889 /nfs/dbraw/zinc/67/18/89/358671889.db2.gz HLMLXKUHFQBQRR-BMIGLBTASA-N -1 1 311.309 1.324 20 0 DDADMM Cn1ccc(NCCNC(=O)c2cc3ccccc3cc2[O-])n1 ZINC000565614192 304080051 /nfs/dbraw/zinc/08/00/51/304080051.db2.gz GGAOTKKCMMSXRP-UHFFFAOYSA-N -1 1 310.357 1.543 20 0 DDADMM O=c1nc(NCC2(C(F)(F)F)CCCC2)nc2[nH][n-]cc1-2 ZINC000624706379 366516842 /nfs/dbraw/zinc/51/68/42/366516842.db2.gz GINSXDZHYOLDJF-UHFFFAOYSA-N -1 1 301.272 1.967 20 0 DDADMM O=C(N[C@@H]1CCCN(c2ncccn2)C1)c1c([O-])cccc1F ZINC000185894848 199901048 /nfs/dbraw/zinc/90/10/48/199901048.db2.gz BELZAXOQDKHLPX-LLVKDONJSA-N -1 1 316.336 1.720 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2cccc(F)c2F)n[n-]1 ZINC000603018468 359359741 /nfs/dbraw/zinc/35/97/41/359359741.db2.gz RMCPPBYCQMDXOK-UHFFFAOYSA-N -1 1 324.287 1.119 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2cccc(F)c2F)n1 ZINC000603018468 359359745 /nfs/dbraw/zinc/35/97/45/359359745.db2.gz RMCPPBYCQMDXOK-UHFFFAOYSA-N -1 1 324.287 1.119 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2C[C@@H]2c2ccccc2)n[n-]1 ZINC000603020553 359362741 /nfs/dbraw/zinc/36/27/41/359362741.db2.gz HAVUEBUGXRRGOZ-NEPJUHHUSA-N -1 1 314.345 1.401 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2C[C@@H]2c2ccccc2)n1 ZINC000603020553 359362744 /nfs/dbraw/zinc/36/27/44/359362744.db2.gz HAVUEBUGXRRGOZ-NEPJUHHUSA-N -1 1 314.345 1.401 20 0 DDADMM COC(=O)[C@H]1C[C@@H](NC(=O)N=c2[n-]sc3ccccc32)C1 ZINC000603050241 359377054 /nfs/dbraw/zinc/37/70/54/359377054.db2.gz HOBORYRVRVYJOV-DTORHVGOSA-N -1 1 305.359 1.791 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C(C)(C)C(F)F)n[n-]1 ZINC000603155586 359442069 /nfs/dbraw/zinc/44/20/69/359442069.db2.gz OHCGMIHTZYCNPU-LURJTMIESA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C(C)(C)C(F)F)[n-]1 ZINC000603155586 359442071 /nfs/dbraw/zinc/44/20/71/359442071.db2.gz OHCGMIHTZYCNPU-LURJTMIESA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C(C)(C)C(F)F)n1 ZINC000603155586 359442074 /nfs/dbraw/zinc/44/20/74/359442074.db2.gz OHCGMIHTZYCNPU-LURJTMIESA-N -1 1 304.297 1.450 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2 ZINC000603367339 359596796 /nfs/dbraw/zinc/59/67/96/359596796.db2.gz PHSFTLYXZNKTAC-YFKTTZPYSA-N -1 1 319.423 1.204 20 0 DDADMM CCN(C)C(=O)CNC(=O)c1ccc(Br)cc1[O-] ZINC000187247902 200082418 /nfs/dbraw/zinc/08/24/18/200082418.db2.gz IDLCRRHVDNBJGH-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM C[C@H](OC[C@H]1CCCO1)C(=O)Nc1nc(Cl)ccc1[O-] ZINC000188349716 200232111 /nfs/dbraw/zinc/23/21/11/200232111.db2.gz UQNJUGSSGRYGQM-DTWKUNHWSA-N -1 1 300.742 1.963 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1nccc2ccccc21 ZINC000605382081 359848521 /nfs/dbraw/zinc/84/85/21/359848521.db2.gz WSPGMPXWKSJKPQ-UHFFFAOYSA-N -1 1 308.345 1.947 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1COc2ccccc21 ZINC000608356002 360165363 /nfs/dbraw/zinc/16/53/63/360165363.db2.gz HHZUARFNAAZUBP-ZFWWWQNUSA-N -1 1 321.340 1.582 20 0 DDADMM Cc1cc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)no1 ZINC000281215465 216160030 /nfs/dbraw/zinc/16/00/30/216160030.db2.gz DNFUZSLCCSJBER-UHFFFAOYSA-N -1 1 305.300 1.874 20 0 DDADMM C[C@H](SCC(=O)NCc1nn[n-]n1)c1nc2ccccc2[nH]1 ZINC000610436795 360435545 /nfs/dbraw/zinc/43/55/45/360435545.db2.gz ABLYRDUPFDFWOE-QMMMGPOBSA-N -1 1 317.378 1.187 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2CC[C@H](OC)C2)c1Br ZINC000611773982 360821786 /nfs/dbraw/zinc/82/17/86/360821786.db2.gz ILEYRAVDXKQAPG-SFYZADRCSA-N -1 1 316.199 1.910 20 0 DDADMM O=C([C@@H]1CCc2cc(F)ccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612814979 361153840 /nfs/dbraw/zinc/15/38/40/361153840.db2.gz GRHRFODBCQVSSB-SMDDNHRTSA-N -1 1 315.352 1.775 20 0 DDADMM O=C(Cc1ccncc1Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612816456 361156494 /nfs/dbraw/zinc/15/64/94/361156494.db2.gz GDVGDIBZDOFPJE-SNVBAGLBSA-N -1 1 306.757 1.197 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]C[C@@](C)(O)C(F)(F)F)C1CC1 ZINC000625092807 366707929 /nfs/dbraw/zinc/70/79/29/366707929.db2.gz HOLGIFTZWDGGSL-NXEZZACHSA-N -1 1 319.345 1.034 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCN2CCOC[C@H]2C1 ZINC000613475971 361435593 /nfs/dbraw/zinc/43/55/93/361435593.db2.gz SLPLONHGWMLKET-CYBMUJFWSA-N -1 1 313.357 1.097 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC[C@H](C)C[C@@H]2C)o1 ZINC000246636018 202605611 /nfs/dbraw/zinc/60/56/11/202605611.db2.gz DBACJNQMTZJBHK-GARJFASQSA-N -1 1 314.407 1.742 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC1CC(C)(C)C1 ZINC000620745212 364538710 /nfs/dbraw/zinc/53/87/10/364538710.db2.gz SFWXTIJHMZMLBW-UHFFFAOYSA-N -1 1 302.378 1.899 20 0 DDADMM O=C([O-])[C@@H]1CSCCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000621603713 364933159 /nfs/dbraw/zinc/93/31/59/364933159.db2.gz RNIHGULGKHDPEK-JTQLQIEISA-N -1 1 321.358 1.560 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc(F)ccc1F)NC1CCCC1 ZINC000621820229 365039388 /nfs/dbraw/zinc/03/93/88/365039388.db2.gz CCPFOYNELJVHMH-UHFFFAOYSA-N -1 1 318.345 1.302 20 0 DDADMM CN1CCN(C(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)CC1(C)C ZINC000621992846 365175738 /nfs/dbraw/zinc/17/57/38/365175738.db2.gz LOIQURQMYJUFIA-UHFFFAOYSA-N -1 1 316.361 1.196 20 0 DDADMM C[C@@H](OCC1CC1)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622609661 365449916 /nfs/dbraw/zinc/44/99/16/365449916.db2.gz DUSUQTQPKGFUPC-ZWNOBZJWSA-N -1 1 301.350 1.220 20 0 DDADMM C[C@H](OCC1CC1)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622609658 365450863 /nfs/dbraw/zinc/45/08/63/365450863.db2.gz DUSUQTQPKGFUPC-GWCFXTLKSA-N -1 1 301.350 1.220 20 0 DDADMM CC(=O)c1c[nH]c(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000622611870 365452153 /nfs/dbraw/zinc/45/21/53/365452153.db2.gz MJBLDDXWBGNIME-ZDUSSCGKSA-N -1 1 310.317 1.250 20 0 DDADMM Cc1ncccc1NC(=O)[C@H](C)NC(=O)c1c([O-])cccc1F ZINC000622681932 365482866 /nfs/dbraw/zinc/48/28/66/365482866.db2.gz AWKVCYSOKOBKHQ-JTQLQIEISA-N -1 1 317.320 1.992 20 0 DDADMM COc1cc2[n-]cc(C(=O)N[C@@H]3CCOC3)c(=O)c2c(OC)c1 ZINC000626245170 367437727 /nfs/dbraw/zinc/43/77/27/367437727.db2.gz BBSKSCAMPDFBOW-SECBINFHSA-N -1 1 318.329 1.064 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000566220822 304131084 /nfs/dbraw/zinc/13/10/84/304131084.db2.gz NWJNFVQMXAYLSK-OPRDCNLKSA-N -1 1 308.300 1.335 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCC[C@H](C(C)C)C1 ZINC000349717092 284028215 /nfs/dbraw/zinc/02/82/15/284028215.db2.gz CFYKBALUPJANAY-WDEREUQCSA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCC[C@H](C(C)C)C1 ZINC000349717092 284028216 /nfs/dbraw/zinc/02/82/16/284028216.db2.gz CFYKBALUPJANAY-WDEREUQCSA-N -1 1 301.412 1.889 20 0 DDADMM O=C([O-])C1(O)CCN(C(=O)c2cc(-c3cccs3)[nH]n2)CC1 ZINC000262194418 203225834 /nfs/dbraw/zinc/22/58/34/203225834.db2.gz ZGISRULVRYTKRS-UHFFFAOYSA-N -1 1 321.358 1.190 20 0 DDADMM CCC[C@H](NCC(=O)Nc1ccc(OC)c(OC)c1)C(=O)[O-] ZINC000262324032 203262010 /nfs/dbraw/zinc/26/20/10/203262010.db2.gz WLPASRMFMFCZRZ-NSHDSACASA-N -1 1 310.350 1.485 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1CCN(C(=O)c2cncc([O-])c2)C1 ZINC000264867152 204223340 /nfs/dbraw/zinc/22/33/40/204223340.db2.gz QRHZYINFPRNOKG-LLVKDONJSA-N -1 1 321.377 1.774 20 0 DDADMM COC(=O)NCCCC(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000282790555 217253517 /nfs/dbraw/zinc/25/35/17/217253517.db2.gz NCOKRXTWGKGQOB-UHFFFAOYSA-N -1 1 310.306 1.254 20 0 DDADMM C[C@H]1CN(C(=O)c2cncc([O-])c2)CCN1C(=O)OC(C)(C)C ZINC000266508442 205396480 /nfs/dbraw/zinc/39/64/80/205396480.db2.gz GJQBVHVXZVWGAJ-NSHDSACASA-N -1 1 321.377 1.869 20 0 DDADMM O=C(c1ccccc1Cl)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282935740 217337812 /nfs/dbraw/zinc/33/78/12/217337812.db2.gz PGQODUCULOZJQS-SECBINFHSA-N -1 1 306.753 1.771 20 0 DDADMM Cc1cccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c1C ZINC000282972765 217359869 /nfs/dbraw/zinc/35/98/69/217359869.db2.gz SIJRIDUORZPMRC-LBPRGKRZSA-N -1 1 300.362 1.735 20 0 DDADMM C[C@@H](c1nnnn1-c1ccccc1)N1CCC[C@@](C)(C(=O)[O-])C1 ZINC000566683619 304176422 /nfs/dbraw/zinc/17/64/22/304176422.db2.gz GXSIUWYZQWKRCK-BLLLJJGKSA-N -1 1 315.377 1.910 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N(C)C(C)C ZINC000267615696 206174439 /nfs/dbraw/zinc/17/44/39/206174439.db2.gz XQQDAMLZIVJSKD-UHFFFAOYSA-N -1 1 300.362 1.962 20 0 DDADMM Cn1cc([N-]S(=O)(=O)c2ccc(Cl)s2)ccc1=O ZINC000048961799 248196896 /nfs/dbraw/zinc/19/68/96/248196896.db2.gz RUWQTCGMEIFUMQ-UHFFFAOYSA-N -1 1 304.780 1.901 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](CO)CC1)c1cccc(F)c1F ZINC000152275786 248295964 /nfs/dbraw/zinc/29/59/64/248295964.db2.gz RSOIKVPQGLCDAA-AOOOYVTPSA-N -1 1 305.346 1.794 20 0 DDADMM O=C(N=c1ccc(C2CC2)n[n-]1)N1CCN2CCCC[C@H]2C1 ZINC000333517454 249008626 /nfs/dbraw/zinc/00/86/26/249008626.db2.gz GILXPXAJAJMJIU-ZDUSSCGKSA-N -1 1 301.394 1.478 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(C1CC1)C1CC1 ZINC000042335924 183254919 /nfs/dbraw/zinc/25/49/19/183254919.db2.gz JXCPWPCRZUDUEQ-UHFFFAOYSA-N -1 1 313.379 1.053 20 0 DDADMM Cc1noc(CC[N-]S(=O)(=O)c2cc(F)c(F)cc2F)n1 ZINC000105619607 194114885 /nfs/dbraw/zinc/11/48/85/194114885.db2.gz FPTVHBGRCLALGN-UHFFFAOYSA-N -1 1 321.280 1.316 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1sccc1F)N1CCCCC1 ZINC000338858571 250166698 /nfs/dbraw/zinc/16/66/98/250166698.db2.gz FCMVEZUBQQTMBV-UHFFFAOYSA-N -1 1 306.384 1.178 20 0 DDADMM CC1=CCN(CC[N-]S(=O)(=O)c2sccc2F)CC1 ZINC000338951788 250213974 /nfs/dbraw/zinc/21/39/74/250213974.db2.gz BNBGHQDNUFUACT-UHFFFAOYSA-N -1 1 304.412 1.818 20 0 DDADMM CCOC(=O)[C@H]1CC[C@H]([N-]S(=O)(=O)c2sccc2F)C1 ZINC000338975832 250229253 /nfs/dbraw/zinc/22/92/53/250229253.db2.gz ABLYQAHKDJBFFG-IUCAKERBSA-N -1 1 321.395 1.897 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(C)c(N2CCOCC2)c1 ZINC000633190413 422796909 /nfs/dbraw/zinc/79/69/09/422796909.db2.gz JJDKDJKRPLAHIJ-UHFFFAOYSA-N -1 1 316.361 1.821 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])[C@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000340326711 251008442 /nfs/dbraw/zinc/00/84/42/251008442.db2.gz ASHHWEIXWLLVKO-ZETCQYMHSA-N -1 1 320.242 1.881 20 0 DDADMM NC(=O)c1ccc(OCC(=O)Nc2ccc(F)cc2[O-])cc1 ZINC000340331943 251012567 /nfs/dbraw/zinc/01/25/67/251012567.db2.gz UINHRMNJAHFYTK-UHFFFAOYSA-N -1 1 304.277 1.648 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCOC2(CCCC2)C1 ZINC000269022111 207172332 /nfs/dbraw/zinc/17/23/32/207172332.db2.gz PUBPXTOLLQUQFY-UHFFFAOYSA-N -1 1 323.418 1.998 20 0 DDADMM CCc1noc(C)c1[N-]S(=O)(=O)N1C[C@H](C)OC[C@H]1C ZINC000340947977 251294888 /nfs/dbraw/zinc/29/48/88/251294888.db2.gz HGGXQUKDSWUIRI-BDAKNGLRSA-N -1 1 303.384 1.311 20 0 DDADMM CO[C@]1(C[N-]S(=O)(=O)c2cc(Cl)ccc2F)CCOC1 ZINC000340922139 251277462 /nfs/dbraw/zinc/27/74/62/251277462.db2.gz XWJMFWPEQYBPQD-LBPRGKRZSA-N -1 1 323.773 1.563 20 0 DDADMM C[C@@H]1C[C@H](C)CN(C(=O)CS(=O)(=O)c2ccc([O-])cc2)C1 ZINC000063927635 184290826 /nfs/dbraw/zinc/29/08/26/184290826.db2.gz PQHULVFHHFAPPS-TXEJJXNPSA-N -1 1 311.403 1.670 20 0 DDADMM COc1nn(C)cc1[N-]S(=O)(=O)N1CCc2ccccc2C1 ZINC000352308594 284947586 /nfs/dbraw/zinc/94/75/86/284947586.db2.gz JQGSHTSLDBXONJ-UHFFFAOYSA-N -1 1 322.390 1.144 20 0 DDADMM CCn1c(CCNC(=O)Cc2cccc(O)c2)n[n-]c1=S ZINC000067119132 184449827 /nfs/dbraw/zinc/44/98/27/184449827.db2.gz XFXSEDYJOUEYFJ-UHFFFAOYSA-N -1 1 306.391 1.568 20 0 DDADMM COc1ccc(-c2cc(C(=O)[N-]N3CCCCC3=O)n[nH]2)cc1 ZINC000159125843 197328973 /nfs/dbraw/zinc/32/89/73/197328973.db2.gz VIUZTUAZRZTRFU-UHFFFAOYSA-N -1 1 314.345 1.743 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)c2cn(C)nc2C)cc1F ZINC000288620302 220296945 /nfs/dbraw/zinc/29/69/45/220296945.db2.gz VOTZLGLLZNXMHM-UHFFFAOYSA-N -1 1 317.317 1.816 20 0 DDADMM COC(=O)c1cc(Cl)ccc1S(=O)(=O)[N-]CC(F)F ZINC000158922379 197311034 /nfs/dbraw/zinc/31/10/34/197311034.db2.gz GTIBLMQVWIKXAF-UHFFFAOYSA-N -1 1 313.709 1.670 20 0 DDADMM CCCN(CC1CC1)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000158316504 197261387 /nfs/dbraw/zinc/26/13/87/197261387.db2.gz SAHDFHMYGBVMJW-UHFFFAOYSA-N -1 1 300.380 1.450 20 0 DDADMM Cc1ccc(NC(=O)CNC(=O)c2ncccc2[O-])cc1O ZINC000352625376 285182627 /nfs/dbraw/zinc/18/26/27/285182627.db2.gz GHUNNGMFFCJHQK-UHFFFAOYSA-N -1 1 301.302 1.170 20 0 DDADMM Cc1cc(CC[N-]S(=O)(=O)c2cccc(F)c2F)on1 ZINC000352636081 285189760 /nfs/dbraw/zinc/18/97/60/285189760.db2.gz HHZRAUGXEKZILT-UHFFFAOYSA-N -1 1 302.302 1.782 20 0 DDADMM C[C@@]1(c2ccccc2)CCCN(Cc2cn(CC(=O)[O-])nn2)C1 ZINC000568061898 304265976 /nfs/dbraw/zinc/26/59/76/304265976.db2.gz WJADOUSAPPQNEH-QGZVFWFLSA-N -1 1 314.389 1.916 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]CC(F)F)cc(F)c1F ZINC000155382117 197049790 /nfs/dbraw/zinc/04/97/90/197049790.db2.gz BOVPTPQJMLRDDS-UHFFFAOYSA-N -1 1 315.244 1.295 20 0 DDADMM COCC[C@@H](c1ccccc1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000352780429 285296284 /nfs/dbraw/zinc/29/62/84/285296284.db2.gz UCGREUCVVJTHJK-UONOGXRCSA-N -1 1 303.362 1.000 20 0 DDADMM Cc1nonc1CN1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000289507053 221027127 /nfs/dbraw/zinc/02/71/27/221027127.db2.gz QQEIGGIRIQYKJQ-SECBINFHSA-N -1 1 306.288 1.269 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C[C@H]2C[C@@H]2c2ccccc2)n1 ZINC000352798515 285308406 /nfs/dbraw/zinc/30/84/06/285308406.db2.gz UNKCAFCVDRZXPR-GHMZBOCLSA-N -1 1 320.374 1.341 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C[C@H]2C[C@@H]2c2ccccc2)[n-]1 ZINC000352798515 285308408 /nfs/dbraw/zinc/30/84/08/285308408.db2.gz UNKCAFCVDRZXPR-GHMZBOCLSA-N -1 1 320.374 1.341 20 0 DDADMM CC[N@@H+](CCCOC(=O)NC(N)=O)Cc1c(F)cccc1F ZINC000120936526 195267853 /nfs/dbraw/zinc/26/78/53/195267853.db2.gz BTNKWYYSDBTPKQ-UHFFFAOYSA-N -1 1 315.320 1.982 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2cc(Cl)ccc2[O-])CCS1(=O)=O ZINC000294887755 224287799 /nfs/dbraw/zinc/28/77/99/224287799.db2.gz ZTWYZGQNLHIRTP-XVKPBYJWSA-N -1 1 303.767 1.351 20 0 DDADMM CN(C(=O)c1ccc([O-])cc1F)C(C)(C)CN1CCOCC1 ZINC000414035051 224292665 /nfs/dbraw/zinc/29/26/65/224292665.db2.gz BQPZSTCPNHNXAK-UHFFFAOYSA-N -1 1 310.369 1.714 20 0 DDADMM NS(=O)(=O)[C@H]1CCCN(Cc2cccc([O-])c2Cl)C1 ZINC000352896441 285370795 /nfs/dbraw/zinc/37/07/95/285370795.db2.gz HPAUWBXVPWJGCV-JTQLQIEISA-N -1 1 304.799 1.299 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2nc(C)c(C)s2)CCC1 ZINC000435219669 533320809 /nfs/dbraw/zinc/32/08/09/533320809.db2.gz GZAJGXKRAJUYGO-UHFFFAOYSA-N -1 1 318.420 1.524 20 0 DDADMM Cc1ccc(NC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)s1 ZINC000362199024 300027198 /nfs/dbraw/zinc/02/71/98/300027198.db2.gz GTAATVHEQKDPCX-UHFFFAOYSA-N -1 1 324.435 1.675 20 0 DDADMM COc1ccccc1[C@@H](CNC(=O)C(=O)[O-])N1CCCCC1 ZINC000360421117 299660851 /nfs/dbraw/zinc/66/08/51/299660851.db2.gz RHGBQWNZLHIJMV-CYBMUJFWSA-N -1 1 306.362 1.423 20 0 DDADMM COC(=O)[C@@H](CF)NC(=O)c1ccc(Br)c([O-])c1 ZINC000360465559 299672380 /nfs/dbraw/zinc/67/23/80/299672380.db2.gz YKMMCFDKBTUKSO-MRVPVSSYSA-N -1 1 320.114 1.396 20 0 DDADMM CCOC(=O)CCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000070270542 406812085 /nfs/dbraw/zinc/81/20/85/406812085.db2.gz GPENJXHVIOPLBM-UHFFFAOYSA-N -1 1 307.318 1.586 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc3c(c2)CCO3)co1 ZINC000179268977 306690961 /nfs/dbraw/zinc/69/09/61/306690961.db2.gz JGLLFBLUQMEFEJ-UHFFFAOYSA-N -1 1 322.342 1.375 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1C[C@H]1c1ccc(OC(F)(F)F)cc1 ZINC000076963990 406975322 /nfs/dbraw/zinc/97/53/22/406975322.db2.gz GDAZKRBZWQOPKO-IUCAKERBSA-N -1 1 313.239 1.841 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1C[C@H]1c1ccc(OC(F)(F)F)cc1 ZINC000076963990 406975323 /nfs/dbraw/zinc/97/53/23/406975323.db2.gz GDAZKRBZWQOPKO-IUCAKERBSA-N -1 1 313.239 1.841 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)N(C)CC(F)(F)F)n1 ZINC000077211364 406980402 /nfs/dbraw/zinc/98/04/02/406980402.db2.gz IQUYOIVZKOMVDF-UHFFFAOYSA-N -1 1 323.340 1.835 20 0 DDADMM CCOC(=O)CNC(=O)c1ccc(Br)cc1[O-] ZINC000044490015 407024741 /nfs/dbraw/zinc/02/47/41/407024741.db2.gz XRRSZYJIMFMYAE-UHFFFAOYSA-N -1 1 302.124 1.448 20 0 DDADMM O=S(=O)([N-]c1ccc(-n2cncn2)nc1)c1ccc(F)cc1 ZINC000044895068 407031609 /nfs/dbraw/zinc/03/16/09/407031609.db2.gz NHNBRWYMYVYFSZ-UHFFFAOYSA-N -1 1 319.321 1.602 20 0 DDADMM CCC[C@@](C)([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OC ZINC000036818380 406983663 /nfs/dbraw/zinc/98/36/63/406983663.db2.gz ZRBCJDUDBZPTSZ-GFCCVEGCSA-N -1 1 304.368 1.134 20 0 DDADMM COc1ccc(NC(=O)CN(C)CCC(=O)[O-])cc1Cl ZINC000037932625 406995131 /nfs/dbraw/zinc/99/51/31/406995131.db2.gz HPBFHCUKNFDBRJ-UHFFFAOYSA-N -1 1 300.742 1.694 20 0 DDADMM NC(=O)c1cccc(S(=O)(=O)[N-]c2ccc3c[nH]nc3c2)c1 ZINC000077688257 407002051 /nfs/dbraw/zinc/00/20/51/407002051.db2.gz LZXVQZNMAYHOLU-UHFFFAOYSA-N -1 1 316.342 1.463 20 0 DDADMM O=C(C[C@@H]1CCS(=O)(=O)C1)Nc1ccc([O-])c(Cl)c1 ZINC000085204431 407097217 /nfs/dbraw/zinc/09/72/17/407097217.db2.gz BZHAYLIZWYXXFB-QMMMGPOBSA-N -1 1 303.767 1.809 20 0 DDADMM O=C(CSCc1ccc(Cl)cc1)NN1CC(=O)[N-]C1=O ZINC000048448411 407098721 /nfs/dbraw/zinc/09/87/21/407098721.db2.gz LVLQDMRRCVDGJI-UHFFFAOYSA-N -1 1 313.766 1.156 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)Nc2ccccc2CC)n[n-]1 ZINC000086170275 407108826 /nfs/dbraw/zinc/10/88/26/407108826.db2.gz DJFRPRINEYIJAO-UHFFFAOYSA-N -1 1 322.390 1.342 20 0 DDADMM CCOC(=O)N1CCCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000080022567 407068842 /nfs/dbraw/zinc/06/88/42/407068842.db2.gz AGIFPJSOIUUCAO-UHFFFAOYSA-N -1 1 310.325 1.836 20 0 DDADMM CCOCCN(C)C(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000089373310 407132653 /nfs/dbraw/zinc/13/26/53/407132653.db2.gz VWROQFIAZJFYCU-UHFFFAOYSA-N -1 1 320.393 1.479 20 0 DDADMM O=C([O-])[C@@H](NS(=O)(=O)c1c(F)cc(F)cc1F)C1CC1 ZINC000054504553 407185831 /nfs/dbraw/zinc/18/58/31/407185831.db2.gz VANLFCCFAKTKCY-VIFPVBQESA-N -1 1 309.265 1.245 20 0 DDADMM O=C([O-])[C@H](NS(=O)(=O)c1c(F)cc(F)cc1F)C1CC1 ZINC000054504555 407185998 /nfs/dbraw/zinc/18/59/98/407185998.db2.gz VANLFCCFAKTKCY-SECBINFHSA-N -1 1 309.265 1.245 20 0 DDADMM O=C(NC[C@H]1CCS(=O)(=O)C1)c1ccc(Cl)cc1[O-] ZINC000055463674 407204238 /nfs/dbraw/zinc/20/42/38/407204238.db2.gz KBBPRNWUQHMKJO-MRVPVSSYSA-N -1 1 303.767 1.210 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1ccnn1C ZINC000102348165 407322103 /nfs/dbraw/zinc/32/21/03/407322103.db2.gz GYLXGLMGYBKDJJ-UHFFFAOYSA-N -1 1 322.390 1.824 20 0 DDADMM Cc1ccc2oc(C(=O)NCCc3n[n-]c(=S)n3C)cc2n1 ZINC000067051679 407266449 /nfs/dbraw/zinc/26/64/49/407266449.db2.gz IYKAJUUWVGRRRK-UHFFFAOYSA-N -1 1 317.374 1.900 20 0 DDADMM CO[C@](C)(C(=O)NCc1n[n-]c(=S)n1C)c1ccccc1 ZINC000067050008 407266688 /nfs/dbraw/zinc/26/66/88/407266688.db2.gz NAGBDSCLPFFKRR-AWEZNQCLSA-N -1 1 306.391 1.656 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2cccc(F)c2F)cc1C(N)=O ZINC000067124816 407268090 /nfs/dbraw/zinc/26/80/90/407268090.db2.gz BPGKWPPKXXVSEX-UHFFFAOYSA-N -1 1 315.301 1.203 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)c2cnn(C)c2)cc1OC ZINC000107067485 407369363 /nfs/dbraw/zinc/36/93/63/407369363.db2.gz YBLBGECDFRNLDX-UHFFFAOYSA-N -1 1 315.326 1.377 20 0 DDADMM Cc1noc([C@H]2CCCN(Cc3cc(=O)n4[n-]ccc4n3)C2)n1 ZINC000108855415 407391997 /nfs/dbraw/zinc/39/19/97/407391997.db2.gz AGXJHFSUXAXPQR-NSHDSACASA-N -1 1 314.349 1.094 20 0 DDADMM CC(C)(CNC(=O)c1ccc(Cl)cc1[O-])NS(C)(=O)=O ZINC000109041779 407394608 /nfs/dbraw/zinc/39/46/08/407394608.db2.gz BXLQMLBCGQJMIL-UHFFFAOYSA-N -1 1 320.798 1.103 20 0 DDADMM COCCC(C)(C)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000128950006 407585365 /nfs/dbraw/zinc/58/53/65/407585365.db2.gz XDXGCLUVFDMYQY-UHFFFAOYSA-N -1 1 306.366 1.466 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1CC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC000178837024 407619301 /nfs/dbraw/zinc/61/93/01/407619301.db2.gz LCZRWZDKNZWYID-NSHDSACASA-N -1 1 318.377 1.186 20 0 DDADMM O=C(NC[C@H](O)C1CC1)c1cc(Br)ccc1[O-] ZINC000226654320 407621111 /nfs/dbraw/zinc/62/11/11/407621111.db2.gz PPFNGYNAOPEUPN-NSHDSACASA-N -1 1 300.152 1.655 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N(CCO)CC(F)F ZINC000231321599 407694427 /nfs/dbraw/zinc/69/44/27/407694427.db2.gz DNGQRNGNCYIFON-UHFFFAOYSA-N -1 1 324.121 1.854 20 0 DDADMM CCn1cc(Br)c(=O)c([N-]S(=O)(=O)CC)c1 ZINC000130666021 407702445 /nfs/dbraw/zinc/70/24/45/407702445.db2.gz MKYRQHQHFXTCFE-UHFFFAOYSA-N -1 1 309.185 1.392 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1cnn(C)c1 ZINC000267005641 407714470 /nfs/dbraw/zinc/71/44/70/407714470.db2.gz LMIJGFNXIOJHJZ-UHFFFAOYSA-N -1 1 317.393 1.494 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)[C@H]1CCN(C)C1=O ZINC000267127438 407758737 /nfs/dbraw/zinc/75/87/37/407758737.db2.gz OTIVFXRMGNSDRC-JTQLQIEISA-N -1 1 320.393 1.091 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCc1nc2ccccc2s1 ZINC000635140014 422837331 /nfs/dbraw/zinc/83/73/31/422837331.db2.gz PTAOQYXZOWLDDJ-UHFFFAOYSA-N -1 1 316.390 1.491 20 0 DDADMM O=C(NCC1CC1)[C@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000171764674 407765399 /nfs/dbraw/zinc/76/53/99/407765399.db2.gz SDWQBHNVAHWBFC-LBPRGKRZSA-N -1 1 303.362 1.166 20 0 DDADMM CCC[C@H](O)C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000272220680 407822914 /nfs/dbraw/zinc/82/29/14/407822914.db2.gz NJSMYHRVBZRTEN-ZETCQYMHSA-N -1 1 313.206 1.828 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)N2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000262617903 407882384 /nfs/dbraw/zinc/88/23/84/407882384.db2.gz CBQKJVQHDQJJNV-NWDGAFQWSA-N -1 1 306.362 1.819 20 0 DDADMM O=C([O-])C1CCN([C@H](C(=O)NC2CC2)c2ccccc2)CC1 ZINC000262685331 407899896 /nfs/dbraw/zinc/89/98/96/407899896.db2.gz XOLZLHMYRLUNML-HNNXBMFYSA-N -1 1 302.374 1.803 20 0 DDADMM CCC[C@H](NC(=O)CN1CCc2ccccc21)c1nn[n-]n1 ZINC000268136009 407906514 /nfs/dbraw/zinc/90/65/14/407906514.db2.gz CKFWCSANOMBGND-LBPRGKRZSA-N -1 1 300.366 1.220 20 0 DDADMM CC(C)(C)C(=O)C[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC000133449866 407844305 /nfs/dbraw/zinc/84/43/05/407844305.db2.gz STYMQDBDVPZQMF-UHFFFAOYSA-N -1 1 305.346 1.999 20 0 DDADMM COC(=O)[C@@H]1COCCN1C(=O)c1ccc2ccccc2c1[O-] ZINC000267762151 407851156 /nfs/dbraw/zinc/85/11/56/407851156.db2.gz QWQPOWKQNPQSBK-AWEZNQCLSA-N -1 1 315.325 1.559 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000188306274 407934491 /nfs/dbraw/zinc/93/44/91/407934491.db2.gz LLABXMLRIFGWCO-JTQLQIEISA-N -1 1 316.361 1.762 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc(-c2ccco2)nn1-c1ccccc1 ZINC000118685811 407936288 /nfs/dbraw/zinc/93/62/88/407936288.db2.gz NATKHCDAWDGTQG-UHFFFAOYSA-N -1 1 321.300 1.898 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)C1CCC(c2ccccc2)CC1 ZINC000175018540 408029109 /nfs/dbraw/zinc/02/91/09/408029109.db2.gz NSFWPRUAYKPMRW-UHFFFAOYSA-N -1 1 301.346 1.543 20 0 DDADMM O=C(NCC1(O)CCC1)c1ccc(Br)cc1[O-] ZINC000135592536 408036788 /nfs/dbraw/zinc/03/67/88/408036788.db2.gz LYPUKKMIZDFAQB-UHFFFAOYSA-N -1 1 300.152 1.800 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@@H](C)N1CCC[C@H](C(=O)[O-])C1 ZINC000263148569 408039436 /nfs/dbraw/zinc/03/94/36/408039436.db2.gz LGGRTLVYOYWGBU-NEPJUHHUSA-N -1 1 308.382 1.588 20 0 DDADMM C[C@H](CN(C)C(=O)C1(Cc2ccccc2F)CC1)c1nn[n-]n1 ZINC000188854147 407984521 /nfs/dbraw/zinc/98/45/21/407984521.db2.gz KJQPZEYLTADDEE-LLVKDONJSA-N -1 1 317.368 1.924 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)c1Cl ZINC000154302793 408014986 /nfs/dbraw/zinc/01/49/86/408014986.db2.gz WZDWRGYVJGIYND-GRYCIOLGSA-N -1 1 323.805 1.690 20 0 DDADMM O=C(CCOc1ccccc1Br)Nc1nnn[n-]1 ZINC000135469293 408019264 /nfs/dbraw/zinc/01/92/64/408019264.db2.gz CPCJJORDLQPWNG-UHFFFAOYSA-N -1 1 312.127 1.370 20 0 DDADMM O=C(CCOc1ccccc1Br)Nc1nn[n-]n1 ZINC000135469293 408019269 /nfs/dbraw/zinc/01/92/69/408019269.db2.gz CPCJJORDLQPWNG-UHFFFAOYSA-N -1 1 312.127 1.370 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@H]1C(C)(C)O)c2=O ZINC000119404217 408063847 /nfs/dbraw/zinc/06/38/47/408063847.db2.gz FFSKCNSRYHCNFA-NSHDSACASA-N -1 1 304.350 1.049 20 0 DDADMM NS(=O)(=O)Cc1cccc(NC(=O)c2ccccc2[O-])c1 ZINC000154815388 408087991 /nfs/dbraw/zinc/08/79/91/408087991.db2.gz JUOWPYYFFKEMLK-UHFFFAOYSA-N -1 1 306.343 1.433 20 0 DDADMM NC(=O)COc1cccc(CNC(=O)c2cc(F)ccc2[O-])c1 ZINC000175256936 408089553 /nfs/dbraw/zinc/08/95/53/408089553.db2.gz HSBBBVJOLVHKMJ-UHFFFAOYSA-N -1 1 318.304 1.325 20 0 DDADMM COCc1nsc([N-]C(=O)c2ccc(C(=O)OC)s2)n1 ZINC000273202402 408105591 /nfs/dbraw/zinc/10/55/91/408105591.db2.gz IXJVBCUVUQOGBW-UHFFFAOYSA-N -1 1 313.360 1.785 20 0 DDADMM COc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)ccc1F ZINC000155644105 408192200 /nfs/dbraw/zinc/19/22/00/408192200.db2.gz VVMYMPFZUQKSOC-JTQLQIEISA-N -1 1 305.313 1.367 20 0 DDADMM CS[C@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1ccccc1 ZINC000176256029 408323951 /nfs/dbraw/zinc/32/39/51/408323951.db2.gz ZUJKHLRODQBJJP-LBPRGKRZSA-N -1 1 306.391 1.251 20 0 DDADMM COC(=O)[C@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1cc(F)ccc1[O-] ZINC000190676805 408300206 /nfs/dbraw/zinc/30/02/06/408300206.db2.gz LGQFPMKNSLYBME-GLXFQSAKSA-N -1 1 307.321 1.945 20 0 DDADMM COCCC(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000263908648 408309980 /nfs/dbraw/zinc/30/99/80/408309980.db2.gz LMWQGVZXYXIFJV-UHFFFAOYSA-N -1 1 302.352 1.042 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)Cc1cc(Cl)ccc1F ZINC000131228151 162010182 /nfs/dbraw/zinc/01/01/82/162010182.db2.gz OXQDWZVRIIVWAF-UHFFFAOYSA-N -1 1 309.746 1.462 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCC[C@@H]1CN1CCOCC1 ZINC000153805334 162140320 /nfs/dbraw/zinc/14/03/20/162140320.db2.gz XYWAVLXAMMKXGT-CYBMUJFWSA-N -1 1 305.378 1.114 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccn(-c2ccccc2)n1)c1nn[n-]n1 ZINC000183419914 408407267 /nfs/dbraw/zinc/40/72/67/408407267.db2.gz OLJZECMNMWYVLJ-NSHDSACASA-N -1 1 311.349 1.261 20 0 DDADMM C[C@H](CN(C)C(=O)C1(c2ccc(F)cc2)CC1)c1nn[n-]n1 ZINC000183450075 408414466 /nfs/dbraw/zinc/41/44/66/408414466.db2.gz OMTYABAQBXLADR-SNVBAGLBSA-N -1 1 303.341 1.633 20 0 DDADMM C[C@@]1(C(=O)NCCCc2nc(=O)[n-][nH]2)CCCc2ccccc21 ZINC000176759013 408433967 /nfs/dbraw/zinc/43/39/67/408433967.db2.gz MIHLPCDZNRHPPH-QGZVFWFLSA-N -1 1 314.389 1.441 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2CCO[C@@H](C3CC3)C2)c1Cl ZINC000183812493 408492843 /nfs/dbraw/zinc/49/28/43/408492843.db2.gz IREZMJCHAUIDJR-VHSXEESVSA-N -1 1 319.814 1.309 20 0 DDADMM CO[C@](C)(C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccc1 ZINC000274782574 408528440 /nfs/dbraw/zinc/52/84/40/408528440.db2.gz ODEPLYGLGZTPRL-NHYWBVRUSA-N -1 1 303.366 1.323 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CC(C)(C)OC1=O ZINC000269891519 408461242 /nfs/dbraw/zinc/46/12/42/408461242.db2.gz DGGGLMKEEPDAKY-SECBINFHSA-N -1 1 321.377 1.612 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC(F)(F)CO)c(Cl)cc1F ZINC000184302660 408587917 /nfs/dbraw/zinc/58/79/17/408587917.db2.gz CDHYKOBMNLIRBM-UHFFFAOYSA-N -1 1 317.716 1.693 20 0 DDADMM COCc1nc2n(n1)CCC[C@H]2NC(=O)c1c([O-])cccc1F ZINC000274792211 408533705 /nfs/dbraw/zinc/53/37/05/408533705.db2.gz YSHQGVDRWORRLF-SNVBAGLBSA-N -1 1 320.324 1.534 20 0 DDADMM COC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)c1ccc([O-])cc1F ZINC000249270551 408710840 /nfs/dbraw/zinc/71/08/40/408710840.db2.gz JASORUOQCCZKEE-CHIMOYNISA-N -1 1 307.321 1.945 20 0 DDADMM O=C(NCC[C@H]1CCCCO1)c1nc2ccccc2c(=O)[n-]1 ZINC000181416029 162791494 /nfs/dbraw/zinc/79/14/94/162791494.db2.gz VJPNUTLZRMGHSF-LLVKDONJSA-N -1 1 301.346 1.612 20 0 DDADMM O=S(=O)([N-]c1ccc2c(c1)S(=O)(=O)CC2)c1ccccc1 ZINC000178143063 408779473 /nfs/dbraw/zinc/77/94/73/408779473.db2.gz JJZOIOGGQCVVEG-UHFFFAOYSA-N -1 1 323.395 1.817 20 0 DDADMM Cc1nnc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)s1 ZINC000290801939 408835706 /nfs/dbraw/zinc/83/57/06/408835706.db2.gz YSRDFJCPOQTPOE-QMMMGPOBSA-N -1 1 308.329 1.741 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2cccc(F)c2)c1 ZINC000192731017 163235053 /nfs/dbraw/zinc/23/50/53/163235053.db2.gz VAVUPESHVRSRHN-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCNS(=O)(=O)CC)n1 ZINC000277736092 409001033 /nfs/dbraw/zinc/00/10/33/409001033.db2.gz PRPQFUIUMWKFLD-UHFFFAOYSA-N -1 1 305.425 1.166 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCc2ccc(OC)cc21)c1nn[n-]n1 ZINC000277802011 409013781 /nfs/dbraw/zinc/01/37/81/409013781.db2.gz ZEPUSDJLTWZEIK-JSGCOSHPSA-N -1 1 315.377 1.896 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCc2ccc(OC)cc21)c1nn[n-]n1 ZINC000277802013 409013895 /nfs/dbraw/zinc/01/38/95/409013895.db2.gz ZEPUSDJLTWZEIK-OCCSQVGLSA-N -1 1 315.377 1.896 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]Cc1nc(-c2ccco2)no1 ZINC000277954867 409040654 /nfs/dbraw/zinc/04/06/54/409040654.db2.gz PDYHYJIAZLIZSE-UHFFFAOYSA-N -1 1 315.351 1.174 20 0 DDADMM CO[C@H]1CCCC[C@H]1NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287609147 409064171 /nfs/dbraw/zinc/06/41/71/409064171.db2.gz MWXKXQYSXUUPCA-NLKYIXICSA-N -1 1 303.366 1.421 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283042847 409075095 /nfs/dbraw/zinc/07/50/95/409075095.db2.gz NPABTVYXYGQPKF-RKDXNWHRSA-N -1 1 321.406 1.051 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cccc(C3CC3)n2)co1 ZINC000293842025 409191419 /nfs/dbraw/zinc/19/14/19/409191419.db2.gz GXZVLJIHTGFJJQ-UHFFFAOYSA-N -1 1 321.358 1.712 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)C)C[C@@H](C)N1C(=O)c1cncc([O-])c1 ZINC000293663285 409157270 /nfs/dbraw/zinc/15/72/70/409157270.db2.gz JPPRJEQPFPEHGE-VXGBXAGGSA-N -1 1 319.405 1.895 20 0 DDADMM CCCC(=O)N1CCC[C@@H]1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279201960 409169096 /nfs/dbraw/zinc/16/90/96/409169096.db2.gz LDTCOWTTYLDGPX-CQLSMYGBSA-N -1 1 315.377 1.071 20 0 DDADMM CC(C)CNC(=O)[C@@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000279221612 409172024 /nfs/dbraw/zinc/17/20/24/409172024.db2.gz NPVCEXMZXQOIKS-LBPRGKRZSA-N -1 1 309.391 1.075 20 0 DDADMM COc1cc(C)nc(CS(=O)(=O)c2nnc(C(C)(C)C)[n-]2)c1 ZINC000283797470 409218613 /nfs/dbraw/zinc/21/86/13/409218613.db2.gz AABOTJIFBLBXJB-UHFFFAOYSA-N -1 1 324.406 1.788 20 0 DDADMM COc1cc(C)nc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)c1 ZINC000283797470 409218615 /nfs/dbraw/zinc/21/86/15/409218615.db2.gz AABOTJIFBLBXJB-UHFFFAOYSA-N -1 1 324.406 1.788 20 0 DDADMM COc1cc(C)nc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)c1 ZINC000283797470 409218618 /nfs/dbraw/zinc/21/86/18/409218618.db2.gz AABOTJIFBLBXJB-UHFFFAOYSA-N -1 1 324.406 1.788 20 0 DDADMM CO[C@@H](C)c1nc(CN2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)cs1 ZINC000289351299 409251773 /nfs/dbraw/zinc/25/17/73/409251773.db2.gz GDULASJOQIAFPU-VHSXEESVSA-N -1 1 323.422 1.642 20 0 DDADMM COC[C@H](NC(=O)c1c(F)ccc([O-])c1F)[C@H]1CCCO1 ZINC000289375381 409257544 /nfs/dbraw/zinc/25/75/44/409257544.db2.gz BFLLJFHFXGAZAF-GXSJLCMTSA-N -1 1 301.289 1.594 20 0 DDADMM C[S@@](=O)C1(CNC(=O)c2c(F)ccc([O-])c2F)CCC1 ZINC000289387530 409260267 /nfs/dbraw/zinc/26/02/67/409260267.db2.gz OPWPRLWRFNEKOY-HXUWFJFHSA-N -1 1 303.330 1.701 20 0 DDADMM O=C(N[C@H]1CCN(c2ccccc2F)C1)c1cncc([O-])c1 ZINC000293886616 409200842 /nfs/dbraw/zinc/20/08/42/409200842.db2.gz GBKZWQGEIGTSGZ-LBPRGKRZSA-N -1 1 301.321 1.935 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1C[C@H]1c1ccccc1 ZINC000293904785 409203839 /nfs/dbraw/zinc/20/38/39/409203839.db2.gz WJGMPRZFOGZVBR-RYUDHWBXSA-N -1 1 307.375 1.647 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000280667091 409400860 /nfs/dbraw/zinc/40/08/60/409400860.db2.gz CMALEVGDQWZIBN-UHFFFAOYSA-N -1 1 303.767 1.353 20 0 DDADMM CCOC(=O)C(C)(C)ONC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295528259 409330638 /nfs/dbraw/zinc/33/06/38/409330638.db2.gz JHZGITGXOMZLII-UHFFFAOYSA-N -1 1 324.362 1.021 20 0 DDADMM COC[C@@]1(C(=O)OC)CCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000295534414 409336410 /nfs/dbraw/zinc/33/64/10/409336410.db2.gz JZJIADHCJIBQHU-OAHLLOKOSA-N -1 1 311.309 1.183 20 0 DDADMM CC(C)[C@H](CNC(=O)C(=O)c1ccc([O-])cc1)N1CCOCC1 ZINC000280754199 409439963 /nfs/dbraw/zinc/43/99/63/409439963.db2.gz KVBKEGFSOIBUGK-HNNXBMFYSA-N -1 1 320.389 1.048 20 0 DDADMM Cc1nc(S[C@@H]2CCN(C3CCOCC3)C2=O)[n-]c(=O)c1C ZINC000408122976 164234719 /nfs/dbraw/zinc/23/47/19/164234719.db2.gz CEDQHONDDKKONU-GFCCVEGCSA-N -1 1 323.418 1.671 20 0 DDADMM CC1(C)CN(C(=O)C2CC2)CCN1C(=O)c1ncccc1[O-] ZINC000408372167 164310946 /nfs/dbraw/zinc/31/09/46/164310946.db2.gz HJUPSYXHXQFOMP-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM Cc1nc(C)c(S(=O)(=O)N[C@@H]2CCC[N@H+](C(C)C)C2)s1 ZINC000408407766 164321750 /nfs/dbraw/zinc/32/17/50/164321750.db2.gz XTMFOPRHCJXVDI-GFCCVEGCSA-N -1 1 317.480 1.911 20 0 DDADMM O=C(c1ccc2c(c1)COC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000408472902 164343079 /nfs/dbraw/zinc/34/30/79/164343079.db2.gz XSIDESVORYFIRD-LLVKDONJSA-N -1 1 315.329 1.825 20 0 DDADMM Cc1csc(CCC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000331446802 409518661 /nfs/dbraw/zinc/51/86/61/409518661.db2.gz IKIVFLCFRHIUAS-SNVBAGLBSA-N -1 1 322.390 1.879 20 0 DDADMM CCO[C@@H]1C[C@](O)(CN=c2nc([C@@H](C)OC)[n-]s2)C1(C)C ZINC000337936463 409581287 /nfs/dbraw/zinc/58/12/87/409581287.db2.gz CEJFHRXMELYOTN-RULNRJAQSA-N -1 1 315.439 1.645 20 0 DDADMM Cc1cc([C@@H](C)NC(=O)CNC(=O)c2ncccc2[O-])c(C)o1 ZINC000337947746 409592532 /nfs/dbraw/zinc/59/25/32/409592532.db2.gz PDZRSYQPRWBNDS-SNVBAGLBSA-N -1 1 317.345 1.604 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(C(C)(C)C)C2)co1 ZINC000331591363 409670821 /nfs/dbraw/zinc/67/08/21/409670821.db2.gz USLBNUABBGTXOS-UHFFFAOYSA-N -1 1 300.380 1.306 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](Cc2nnc[nH]2)c2ccccc2)c([O-])c1 ZINC000356882067 409688639 /nfs/dbraw/zinc/68/86/39/409688639.db2.gz NIGSNKCCSTUZCC-ZDUSSCGKSA-N -1 1 323.356 1.928 20 0 DDADMM COC(=O)NCCNC(=O)c1ccc(Br)cc1[O-] ZINC000308164260 409780312 /nfs/dbraw/zinc/78/03/12/409780312.db2.gz DEIYLKNCUJCZBE-UHFFFAOYSA-N -1 1 317.139 1.241 20 0 DDADMM CC[C@H](C)c1cc(C(=O)[N-]c2n[nH]c(CC(=O)OC)n2)on1 ZINC000357067525 409836477 /nfs/dbraw/zinc/83/64/77/409836477.db2.gz FGFCPRYJEWMMRU-ZETCQYMHSA-N -1 1 307.310 1.274 20 0 DDADMM CC(C)(C)c1noc(CCCC(=O)NC2(c3nn[n-]n3)CC2)n1 ZINC000357073575 409839104 /nfs/dbraw/zinc/83/91/04/409839104.db2.gz RMIQXVLZVUDKSO-UHFFFAOYSA-N -1 1 319.369 1.008 20 0 DDADMM C[C@@H](Oc1ccc(F)c(F)c1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357080121 409851798 /nfs/dbraw/zinc/85/17/98/409851798.db2.gz SCRJAHWQFNDDBO-SSDOTTSWSA-N -1 1 309.276 1.051 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cccc(Oc2ccncc2)c1 ZINC000357083459 409854456 /nfs/dbraw/zinc/85/44/56/409854456.db2.gz YOVAAXVSITZDBP-UHFFFAOYSA-N -1 1 322.328 1.806 20 0 DDADMM O=C(COc1ccc(F)cc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332145976 409921725 /nfs/dbraw/zinc/92/17/25/409921725.db2.gz HPRGXBIDCWDHNV-JTQLQIEISA-N -1 1 321.308 1.699 20 0 DDADMM CC(C)CN(C)c1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357082028 409857947 /nfs/dbraw/zinc/85/79/47/409857947.db2.gz WLXSOUUYYRLGAK-UHFFFAOYSA-N -1 1 314.393 1.711 20 0 DDADMM CCC(CC)n1nc(C)cc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357101401 409874247 /nfs/dbraw/zinc/87/42/47/409874247.db2.gz YYISDEDJDCKUAT-UHFFFAOYSA-N -1 1 303.370 1.485 20 0 DDADMM C[C@@H]1CN(CC(=O)NCc2ccccc2F)CC[C@H]1C(=O)[O-] ZINC000318788083 409881533 /nfs/dbraw/zinc/88/15/33/409881533.db2.gz RZYZEACFGAQPDV-DGCLKSJQSA-N -1 1 308.353 1.485 20 0 DDADMM CC[C@@H](C)[C@H](C)N(C1CC1)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349641384 409895848 /nfs/dbraw/zinc/89/58/48/409895848.db2.gz XCVCBLMQZJRJTM-BDAKNGLRSA-N -1 1 301.412 1.983 20 0 DDADMM CN1CN(C(=O)c2c([O-])cnc3ccc(Cl)cc32)CC1=O ZINC000338410777 409966268 /nfs/dbraw/zinc/96/62/68/409966268.db2.gz LVOBZVGXBWGHCL-UHFFFAOYSA-N -1 1 305.721 1.466 20 0 DDADMM O=C(NCCn1ncnn1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338412992 409969646 /nfs/dbraw/zinc/96/96/46/409969646.db2.gz AIWOFKBRJWSJAO-UHFFFAOYSA-N -1 1 318.724 1.010 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cnn(CC(C)C)c1C ZINC000346492318 409989614 /nfs/dbraw/zinc/98/96/14/409989614.db2.gz VMWAGRWNJVTONF-UHFFFAOYSA-N -1 1 319.427 1.252 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC000297866352 410030421 /nfs/dbraw/zinc/03/04/21/410030421.db2.gz ZEKXJXTYIURDHB-VHSXEESVSA-N -1 1 303.297 1.046 20 0 DDADMM CCSCc1ccc(C(=O)Nc2nn[nH]c2C(=O)NC)cc1 ZINC000297776660 409996337 /nfs/dbraw/zinc/99/63/37/409996337.db2.gz QGHGPCPXQUZZDM-UHFFFAOYSA-N -1 1 319.390 1.670 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)Nc2nn[nH]c2C(=O)NC2CC2)C[C@H]1C ZINC000297804398 410003862 /nfs/dbraw/zinc/00/38/62/410003862.db2.gz SPTJNIVCNVELHR-BBBLOLIVSA-N -1 1 305.382 1.708 20 0 DDADMM Cn1cc(-c2nc(-c3ccc([O-])c(F)c3)no2)c(=O)[nH]c1=O ZINC000350834641 410004910 /nfs/dbraw/zinc/00/49/10/410004910.db2.gz LSJKHGGREOZDFT-UHFFFAOYSA-N -1 1 304.237 1.048 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CCO[C@H](C)C2)sc1C ZINC000329114308 410108809 /nfs/dbraw/zinc/10/88/09/410108809.db2.gz LYBWVJUFPHZINZ-LDYMZIIASA-N -1 1 304.437 1.853 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)c1nc(C)cs1 ZINC000357575809 410114115 /nfs/dbraw/zinc/11/41/15/410114115.db2.gz CBGVTAMMCRCPGM-ZCFIWIBFSA-N -1 1 310.335 1.152 20 0 DDADMM CCOC(=O)C1(O)CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000351644444 410128040 /nfs/dbraw/zinc/12/80/40/410128040.db2.gz QGFNESMYBYLTKO-UHFFFAOYSA-N -1 1 313.781 1.936 20 0 DDADMM O=C([C@H]1CCCc2[nH]ncc21)N1CCCC[C@@H]1c1n[nH]c(=O)[n-]1 ZINC000329159447 410134788 /nfs/dbraw/zinc/13/47/88/410134788.db2.gz DUCPYHZWPUKNKX-JOYOIKCWSA-N -1 1 316.365 1.407 20 0 DDADMM C[C@H]1CCC[C@]1(O)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000298476580 410249650 /nfs/dbraw/zinc/24/96/50/410249650.db2.gz PKWVNXLACPSLFS-GGMKLXLISA-N -1 1 303.366 1.014 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cscc1Br ZINC000355053637 410270013 /nfs/dbraw/zinc/27/00/13/410270013.db2.gz YMQUNVWWMHZGSE-UHFFFAOYSA-N -1 1 314.168 1.443 20 0 DDADMM N=c1nc(N2CCN(Cc3cccc4c3NCC4)CC2)s[n-]1 ZINC000346968831 410282979 /nfs/dbraw/zinc/28/29/79/410282979.db2.gz FDTLRJVUUUXAFQ-UHFFFAOYSA-N -1 1 316.434 1.241 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ncccc2C(C)C)co1 ZINC000339484155 410225181 /nfs/dbraw/zinc/22/51/81/410225181.db2.gz KKOKZAGLTVRAAX-UHFFFAOYSA-N -1 1 323.374 1.958 20 0 DDADMM Cc1ccc(C)c(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000298638478 410323740 /nfs/dbraw/zinc/32/37/40/410323740.db2.gz BZCYPERYTCKKRE-LBPRGKRZSA-N -1 1 323.374 1.001 20 0 DDADMM C[C@H]1CCCC[C@H]1CCN=c1[n-]cc(S(N)(=O)=O)s1 ZINC000358224889 410410638 /nfs/dbraw/zinc/41/06/38/410410638.db2.gz QRTULDIBUJYXQX-UWVGGRQHSA-N -1 1 303.453 1.841 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)c1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000358388673 410458869 /nfs/dbraw/zinc/45/88/69/410458869.db2.gz LJWGOTFIPQJSCT-BDAKNGLRSA-N -1 1 309.320 1.844 20 0 DDADMM CN1CCO[C@@H]2CN(C(=O)c3ccc4ccccc4c3[O-])C[C@H]21 ZINC000333228185 410431601 /nfs/dbraw/zinc/43/16/01/410431601.db2.gz GDYRTCRQROWKGK-HZPDHXFCSA-N -1 1 312.369 1.700 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCCC(F)(F)F)[n-]n1 ZINC000347367114 410499870 /nfs/dbraw/zinc/49/98/70/410499870.db2.gz SZSCKWNYYUUUSK-UHFFFAOYSA-N -1 1 302.300 1.935 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H](C)c1ccncc1 ZINC000352149185 410510908 /nfs/dbraw/zinc/51/09/08/410510908.db2.gz ORIXOBREARYORB-QMMMGPOBSA-N -1 1 304.306 1.125 20 0 DDADMM O=C(NCCNC(=O)c1cncc([O-])c1)c1ccc(Cl)cc1 ZINC000339833151 410489418 /nfs/dbraw/zinc/48/94/18/410489418.db2.gz QODLGAHWCHLXKR-UHFFFAOYSA-N -1 1 319.748 1.600 20 0 DDADMM CCCCCN1CC(=O)N[C@@H]1c1n[nH]c2cc(C(=O)[O-])ccc21 ZINC000355399541 410494491 /nfs/dbraw/zinc/49/44/91/410494491.db2.gz QDQHUSPLDIIWIL-HNNXBMFYSA-N -1 1 316.361 1.882 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2csc(COC)n2)n1 ZINC000352164316 410518474 /nfs/dbraw/zinc/51/84/74/410518474.db2.gz PMOHEVFNCNIQAT-UHFFFAOYSA-N -1 1 310.335 1.442 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@H]1c1ccc(F)c(F)c1 ZINC000352204528 410549178 /nfs/dbraw/zinc/54/91/78/410549178.db2.gz HSEUBAXPSWFAAF-DTWKUNHWSA-N -1 1 305.288 1.387 20 0 DDADMM CC(C)[C@H]1CCCC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000352352530 410641222 /nfs/dbraw/zinc/64/12/22/410641222.db2.gz JWGIJIWLGAJXSG-OLZOCXBDSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)C(=O)NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000330421790 410682117 /nfs/dbraw/zinc/68/21/17/410682117.db2.gz ASOQWOMDBBHGCP-GFCCVEGCSA-N -1 1 305.378 1.412 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CCc2ccccn2)c1 ZINC000347712975 410682729 /nfs/dbraw/zinc/68/27/29/410682729.db2.gz FPIKJGIUNDOOFP-UHFFFAOYSA-N -1 1 321.358 1.006 20 0 DDADMM COc1ccc(C[C@H](C)C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000340211977 410756732 /nfs/dbraw/zinc/75/67/32/410756732.db2.gz HYYBJCJQXBNCHB-JTQLQIEISA-N -1 1 303.366 1.438 20 0 DDADMM O=C(Cc1cccc(OCc2cccnc2)c1)Nc1nnn[n-]1 ZINC000356028598 410780699 /nfs/dbraw/zinc/78/06/99/410780699.db2.gz IJWURVFNPXZNOI-UHFFFAOYSA-N -1 1 310.317 1.355 20 0 DDADMM O=C(Cc1cccc(OCc2cccnc2)c1)Nc1nn[n-]n1 ZINC000356028598 410780705 /nfs/dbraw/zinc/78/07/05/410780705.db2.gz IJWURVFNPXZNOI-UHFFFAOYSA-N -1 1 310.317 1.355 20 0 DDADMM CC(C)c1ccc(-n2nnnc2SCc2nn[n-]n2)cc1 ZINC000353186878 410840448 /nfs/dbraw/zinc/84/04/48/410840448.db2.gz SBHSWQQNSLPXFO-UHFFFAOYSA-N -1 1 302.367 1.591 20 0 DDADMM O=C(N=c1cc(-c2ccc(Cl)s2)[n-][nH]1)[C@H]1CCCC(=O)N1 ZINC000340303165 410840647 /nfs/dbraw/zinc/84/06/47/410840647.db2.gz WHSZVAAMMMNTSF-SSDOTTSWSA-N -1 1 324.793 1.821 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@@H]2C2CC2)c1 ZINC000359689412 410817699 /nfs/dbraw/zinc/81/76/99/410817699.db2.gz DCGWFILDIBIUKA-MNOVXSKESA-N -1 1 310.375 1.285 20 0 DDADMM c1nn(-c2ccccc2)c2ncnc(SCc3nn[n-]n3)c12 ZINC000353161427 410818525 /nfs/dbraw/zinc/81/85/25/410818525.db2.gz DDUHVLVIUQKPFQ-UHFFFAOYSA-N -1 1 310.346 1.621 20 0 DDADMM CC(C)(NC(=O)c1cncc(Br)c1)c1nn[n-]n1 ZINC000359806261 410891985 /nfs/dbraw/zinc/89/19/85/410891985.db2.gz ZTBIKTJMCGNLGC-UHFFFAOYSA-N -1 1 311.143 1.022 20 0 DDADMM CCN(Cc1ccccc1C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353398919 410962514 /nfs/dbraw/zinc/96/25/14/410962514.db2.gz LONSXWCTMXDDNU-UHFFFAOYSA-N -1 1 311.345 1.388 20 0 DDADMM COCCCC[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000353416983 410972671 /nfs/dbraw/zinc/97/26/71/410972671.db2.gz YVLVYKWXJCJYEZ-UHFFFAOYSA-N -1 1 312.185 1.747 20 0 DDADMM CCC[C@H](NC(=O)c1oc(CC2CC2)nc1C)c1nn[n-]n1 ZINC000356362344 410987066 /nfs/dbraw/zinc/98/70/66/410987066.db2.gz HYHTVUOHMSLHIK-JTQLQIEISA-N -1 1 304.354 1.720 20 0 DDADMM COc1cc(C(=O)N2C[C@@H](OC)C[C@H]2CO)cc(Cl)c1[O-] ZINC000331230145 411029728 /nfs/dbraw/zinc/02/97/28/411029728.db2.gz HKEAIEVCUORNIU-UWVGGRQHSA-N -1 1 315.753 1.276 20 0 DDADMM Cc1cnc(C(=O)NCCCN2CCCCCC2=O)c([O-])c1 ZINC000331430833 411086936 /nfs/dbraw/zinc/08/69/36/411086936.db2.gz ADGQHGBUIRXMAO-UHFFFAOYSA-N -1 1 305.378 1.618 20 0 DDADMM COC(=O)[C@@H](C)N(C)c1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000360287790 411121573 /nfs/dbraw/zinc/12/15/73/411121573.db2.gz VFMKBVHCMXUCDP-LLVKDONJSA-N -1 1 316.361 1.859 20 0 DDADMM COCCOCCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631684866 422881102 /nfs/dbraw/zinc/88/11/02/422881102.db2.gz VRZBEWXLQZFLKW-UHFFFAOYSA-N -1 1 313.322 1.549 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC000631692003 422882379 /nfs/dbraw/zinc/88/23/79/422882379.db2.gz POZUQWIDOMFQEO-IUCAKERBSA-N -1 1 305.304 1.617 20 0 DDADMM Cn1cc(-c2nn(C)cc2[N-]S(=O)(=O)CCC2CC2)cn1 ZINC000580148202 422892251 /nfs/dbraw/zinc/89/22/51/422892251.db2.gz REYRUTSYRNQOPN-UHFFFAOYSA-N -1 1 309.395 1.362 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCCC[C@H]1[C@@H]1CCCCC1=O ZINC000631718163 422893531 /nfs/dbraw/zinc/89/35/31/422893531.db2.gz IEHAFSKLZQDYKL-STQMWFEESA-N -1 1 319.409 1.663 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(Cc1ccc(F)cc1F)C1CC1 ZINC000635229269 422889301 /nfs/dbraw/zinc/88/93/01/422889301.db2.gz KQLFDYBWQOJKPH-UHFFFAOYSA-N -1 1 321.331 1.992 20 0 DDADMM C[C@H]1c2cc(F)cc(F)c2CCN1C(=O)CCc1nn[n-]n1 ZINC000631777853 422918454 /nfs/dbraw/zinc/91/84/54/422918454.db2.gz PEGNOBHIIXMTJC-QMMMGPOBSA-N -1 1 307.304 1.556 20 0 DDADMM CC(C)OC[C@@H](O)CN(C)Cc1nc(=O)c2sccc2[n-]1 ZINC000131660414 196189386 /nfs/dbraw/zinc/18/93/86/196189386.db2.gz XDGQWIOXZRLERL-JTQLQIEISA-N -1 1 311.407 1.202 20 0 DDADMM COc1cccc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000642939031 423007030 /nfs/dbraw/zinc/00/70/30/423007030.db2.gz XAZJOLUPFFYHDG-UHFFFAOYSA-N -1 1 318.358 1.151 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCn2cccc2)c1 ZINC000642991412 423018537 /nfs/dbraw/zinc/01/85/37/423018537.db2.gz OATRAWKLQXTPLI-UHFFFAOYSA-N -1 1 323.374 1.131 20 0 DDADMM C[C@@H]1CN(CCN2Cc3ccccc3[C@@H](C(=O)[O-])C2)CCO1 ZINC000652470427 423032420 /nfs/dbraw/zinc/03/24/20/423032420.db2.gz WYRXWEBFPLHTSW-CJNGLKHVSA-N -1 1 304.390 1.391 20 0 DDADMM Cc1c(-c2cc(CN3CCC[C@]3(C)C(=O)[O-])on2)cnn1C ZINC000652480667 423036195 /nfs/dbraw/zinc/03/61/95/423036195.db2.gz NEAFOYNVKDMFKR-OAHLLOKOSA-N -1 1 304.350 1.823 20 0 DDADMM O=S(=O)([N-]CCOCC1CC1)c1ncccc1C(F)(F)F ZINC000645524489 423065340 /nfs/dbraw/zinc/06/53/40/423065340.db2.gz FPOQBJXESCPNGA-UHFFFAOYSA-N -1 1 324.324 1.805 20 0 DDADMM O=S(=O)([N-][C@H]1CCCOC1)c1ncccc1C(F)(F)F ZINC000645523206 423065808 /nfs/dbraw/zinc/06/58/08/423065808.db2.gz TXEXVOJIYLLERE-QMMMGPOBSA-N -1 1 310.297 1.558 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)COC1 ZINC000645532767 423069188 /nfs/dbraw/zinc/06/91/88/423069188.db2.gz HQRUYLFKEJOTDH-UHFFFAOYSA-N -1 1 310.297 1.415 20 0 DDADMM Cn1nc([N-]C(=O)c2noc3c2COCC3)nc1C(C)(C)C ZINC000527613853 417266333 /nfs/dbraw/zinc/26/63/33/417266333.db2.gz SGWIUYZVAASCMX-UHFFFAOYSA-N -1 1 305.338 1.426 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2Cc3ccccc32)cc(=O)[n-]1 ZINC000640657159 423117224 /nfs/dbraw/zinc/11/72/24/423117224.db2.gz BHORPVHPDGEIJD-GFCCVEGCSA-N -1 1 301.371 1.860 20 0 DDADMM C[C@@H]1[C@H](C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)[C@H]1c1ccccc1 ZINC000373751095 418456770 /nfs/dbraw/zinc/45/67/70/418456770.db2.gz JTZDWCGLMXYLLO-ASEORRQLSA-N -1 1 313.361 1.149 20 0 DDADMM CSc1ccccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000367110555 418560280 /nfs/dbraw/zinc/56/02/80/418560280.db2.gz NTTBRDMTLYIKCY-SNVBAGLBSA-N -1 1 303.391 1.941 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H](C)C(=O)NCC(F)(F)F)n1 ZINC000188121109 222008157 /nfs/dbraw/zinc/00/81/57/222008157.db2.gz WLMVUHKEUSRMGF-LURJTMIESA-N -1 1 309.313 1.491 20 0 DDADMM CCN1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CC1=O ZINC000190603100 222083438 /nfs/dbraw/zinc/08/34/38/222083438.db2.gz XFIWSTOQWFCQRJ-UHFFFAOYSA-N -1 1 302.252 1.673 20 0 DDADMM CNC(=O)Cn1cc(NC(=O)c2ccc3ccccc3c2[O-])cn1 ZINC000194351784 222174275 /nfs/dbraw/zinc/17/42/75/222174275.db2.gz UIIDFZBIVZWYPO-UHFFFAOYSA-N -1 1 324.340 1.740 20 0 DDADMM CCN(CC)C(=O)[C@@H](C)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000315828555 418597656 /nfs/dbraw/zinc/59/76/56/418597656.db2.gz FDHZHQWIQWRVKS-DOMZBBRYSA-N -1 1 304.390 1.927 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H]2CCC(=O)N[C@@H]2C2CC2)sc1C ZINC000367417683 418599713 /nfs/dbraw/zinc/59/97/13/418599713.db2.gz IDUCYQRUKWEAGF-JOYOIKCWSA-N -1 1 309.391 1.129 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H]2CCC(=O)N[C@H]2C2CC2)sc1C ZINC000367417684 418599832 /nfs/dbraw/zinc/59/98/32/418599832.db2.gz IDUCYQRUKWEAGF-SKDRFNHKSA-N -1 1 309.391 1.129 20 0 DDADMM O=C(/C=C/SCc1ccco1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000371907875 418824231 /nfs/dbraw/zinc/82/42/31/418824231.db2.gz VSQOKEGKTKVUQH-KTROKBFUSA-N -1 1 321.362 1.140 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC(C)(C)[C@@H]1C1CC1)c2=O ZINC000365756462 418905224 /nfs/dbraw/zinc/90/52/24/418905224.db2.gz DDATYQWBEKMOCH-ZDUSSCGKSA-N -1 1 300.362 1.935 20 0 DDADMM CCc1cc(=O)[nH]c(C[N@H+]2C[C@H](C(=O)OC)C3(CCC3)C2)n1 ZINC000373185314 418931080 /nfs/dbraw/zinc/93/10/80/418931080.db2.gz ZHAGRXCLMNJZPL-GFCCVEGCSA-N -1 1 305.378 1.520 20 0 DDADMM COCC1(S(=O)(=O)Nc2cccc(C(=O)[O-])c2O)CC1 ZINC000650390501 423145884 /nfs/dbraw/zinc/14/58/84/423145884.db2.gz BPFZGGQSIAGGKU-UHFFFAOYSA-N -1 1 301.320 1.011 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NC[C@H](CC(C)C)C(=O)[O-])n[nH]1 ZINC000424413437 228267865 /nfs/dbraw/zinc/26/78/65/228267865.db2.gz RBKPCTQEIGJXGM-PWSUYJOCSA-N -1 1 310.398 1.695 20 0 DDADMM Cc1oc(Br)cc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000424680805 228304511 /nfs/dbraw/zinc/30/45/11/228304511.db2.gz CJQCXSXCLORXOC-UHFFFAOYSA-N -1 1 314.143 1.529 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCn2ccnc2)c(F)c1 ZINC000425139719 228372385 /nfs/dbraw/zinc/37/23/85/228372385.db2.gz DCPUPWVLLYOOOI-UHFFFAOYSA-N -1 1 301.318 1.448 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](CO)CC(C)C)c(F)c1 ZINC000425198254 228388265 /nfs/dbraw/zinc/38/82/65/228388265.db2.gz HKCSNXKMVUZTSG-JTQLQIEISA-N -1 1 307.362 1.959 20 0 DDADMM COC(=O)c1cc(CN2CCC(c3n[nH]c(=O)[n-]3)CC2)cs1 ZINC000411659779 419373973 /nfs/dbraw/zinc/37/39/73/419373973.db2.gz QIAVFFNOABUNHQ-UHFFFAOYSA-N -1 1 322.390 1.738 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cccc(C(=O)OC)c1F)OC ZINC000421168520 419513966 /nfs/dbraw/zinc/51/39/66/419513966.db2.gz YISQWAJYYWFJHG-VIFPVBQESA-N -1 1 319.354 1.779 20 0 DDADMM CCOC(=O)[C@@H](C)CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000427216247 419621204 /nfs/dbraw/zinc/62/12/04/419621204.db2.gz CVVBHBOACYWGMV-ZETCQYMHSA-N -1 1 313.379 1.142 20 0 DDADMM Cc1cnc(C(=O)NCc2nnc(C3CC3)n2C2CC2)c([O-])c1 ZINC000427900533 419763975 /nfs/dbraw/zinc/76/39/75/419763975.db2.gz IDYFCACLQHDQMI-UHFFFAOYSA-N -1 1 313.361 1.829 20 0 DDADMM CC(C)[C@@H]1CC[C@@H](C)C[C@H]1OCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000430335773 420109558 /nfs/dbraw/zinc/10/95/58/420109558.db2.gz XPGZPVGBVOAEET-FRRDWIJNSA-N -1 1 321.425 1.782 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CSCC(F)(F)F)n1 ZINC000415645333 420134764 /nfs/dbraw/zinc/13/47/64/420134764.db2.gz HXVWKNNZZHCKDH-UHFFFAOYSA-N -1 1 311.285 1.820 20 0 DDADMM C[C@@H](C(=O)N1CC(=O)Nc2ccccc21)N(C)CCCC(=O)[O-] ZINC000430663790 420174735 /nfs/dbraw/zinc/17/47/35/420174735.db2.gz UOPOBEPIJBCJMI-NSHDSACASA-N -1 1 319.361 1.157 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]2CCCC[C@H]21 ZINC000416131791 420252984 /nfs/dbraw/zinc/25/29/84/420252984.db2.gz ZXULOQICRFXGSB-NXEZZACHSA-N -1 1 307.350 1.691 20 0 DDADMM CCOC(=O)[C@@H](C(=O)Nc1nc(SC)n[nH]1)c1ccccc1 ZINC000416141819 420255142 /nfs/dbraw/zinc/25/51/42/420255142.db2.gz DWRFWHHPUGWNKL-SNVBAGLBSA-N -1 1 320.374 1.812 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](C)Cc1cccs1 ZINC000416159913 420262364 /nfs/dbraw/zinc/26/23/64/420262364.db2.gz WVEHDPXDHFDTDQ-MRVPVSSYSA-N -1 1 323.374 1.567 20 0 DDADMM CSc1c(F)cccc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000436094690 420308335 /nfs/dbraw/zinc/30/83/35/420308335.db2.gz VKFSOPSCXTXLOF-QMMMGPOBSA-N -1 1 309.370 1.936 20 0 DDADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@@H](CC(C)C)OC ZINC000420702844 420362887 /nfs/dbraw/zinc/36/28/87/420362887.db2.gz UAQMVFLITFPVNQ-CHWSQXEVSA-N -1 1 321.439 1.309 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2noc(CCC(=O)OC)n2)n1 ZINC000436195081 420314564 /nfs/dbraw/zinc/31/45/64/420314564.db2.gz CMFKOBVWKDEXCO-UHFFFAOYSA-N -1 1 324.362 1.526 20 0 DDADMM O=C(C(=O)N1CCO[C@H](c2ccco2)C1)c1ccc([O-])cc1 ZINC000436510791 420334962 /nfs/dbraw/zinc/33/49/62/420334962.db2.gz HCFIUCHWSPXNPL-AWEZNQCLSA-N -1 1 301.298 1.768 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H](C)Oc2cccnc2)c1 ZINC000436504154 420335555 /nfs/dbraw/zinc/33/55/55/420335555.db2.gz IYHJYHPIXMSUPW-JTQLQIEISA-N -1 1 316.313 1.980 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCc2nc[nH]c(=O)c2C1 ZINC000436602102 420343483 /nfs/dbraw/zinc/34/34/83/420343483.db2.gz JXHLPDWHCQNEIV-UHFFFAOYSA-N -1 1 305.721 1.740 20 0 DDADMM C[C@H](NC(=O)c1cc(Cl)ccc1[O-])C1(S(C)(=O)=O)CC1 ZINC000436646758 420348556 /nfs/dbraw/zinc/34/85/56/420348556.db2.gz JCBFCVRWNMNIOR-QMMMGPOBSA-N -1 1 317.794 1.741 20 0 DDADMM O=C(Nc1ccc2c(c1)OCC(=O)N2)C(=O)c1ccc([O-])cc1 ZINC000436676049 420352887 /nfs/dbraw/zinc/35/28/87/420352887.db2.gz XPBVLLFLZUVSBA-UHFFFAOYSA-N -1 1 312.281 1.545 20 0 DDADMM C[C@@H](CC(=O)c1ccco1)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436705215 420358448 /nfs/dbraw/zinc/35/84/48/420358448.db2.gz RDOKQLHZDACUEM-JTQLQIEISA-N -1 1 301.298 1.946 20 0 DDADMM CCOC(=O)[C@](C)(O)CNC(=O)c1ccc(Cl)cc1[O-] ZINC000436818560 420369726 /nfs/dbraw/zinc/36/97/26/420369726.db2.gz FTRVQGLYFRWHKB-CYBMUJFWSA-N -1 1 301.726 1.090 20 0 DDADMM CC[N@H+]1C[C@@H](C)N(C(=O)c2cc(NC(C)=O)ccc2O)C[C@@H]1C ZINC000436817998 420369811 /nfs/dbraw/zinc/36/98/11/420369811.db2.gz TWLNFBVTAPFMGA-NWDGAFQWSA-N -1 1 319.405 1.905 20 0 DDADMM CS(=O)(=O)c1cccc(NC(=O)c2ccc(O)cc2[O-])c1 ZINC000436840349 420373857 /nfs/dbraw/zinc/37/38/57/420373857.db2.gz SGBYHPLNZTUZOU-UHFFFAOYSA-N -1 1 307.327 1.754 20 0 DDADMM O=C(N[C@@H]1CN(c2ccccc2)C1=O)c1c([O-])cccc1F ZINC000436905418 420382483 /nfs/dbraw/zinc/38/24/83/420382483.db2.gz SPCLNHCBPKEOJO-GFCCVEGCSA-N -1 1 300.289 1.677 20 0 DDADMM Cn1c2ccc(NC(=O)c3ccc(O)cc3[O-])cc2n(C)c1=O ZINC000436963292 420386253 /nfs/dbraw/zinc/38/62/53/420386253.db2.gz BVBUCVMLIVEUKP-UHFFFAOYSA-N -1 1 313.313 1.541 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCC(=O)N1 ZINC000416499373 420397000 /nfs/dbraw/zinc/39/70/00/420397000.db2.gz BAIZMPLZCYKWAQ-LBPRGKRZSA-N -1 1 322.308 1.051 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCC(=O)N1 ZINC000416499372 420397755 /nfs/dbraw/zinc/39/77/55/420397755.db2.gz BAIZMPLZCYKWAQ-GFCCVEGCSA-N -1 1 322.308 1.051 20 0 DDADMM Cn1cnc(CC[N-]S(=O)(=O)c2ccc(F)c(F)c2F)c1 ZINC000416527601 420407564 /nfs/dbraw/zinc/40/75/64/420407564.db2.gz CJUCWJAQFMDUEI-UHFFFAOYSA-N -1 1 319.308 1.358 20 0 DDADMM O=c1cc(CN2CC[C@H](CC(F)(F)F)C2)nc2cc[n-]n21 ZINC000444695029 230120689 /nfs/dbraw/zinc/12/06/89/230120689.db2.gz LCSDBFYBAPCRPP-SECBINFHSA-N -1 1 300.284 1.797 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc3c(cn2)OCCO3)n1 ZINC000425584978 420421803 /nfs/dbraw/zinc/42/18/03/420421803.db2.gz PYQREUMEKKQQQB-UHFFFAOYSA-N -1 1 318.289 1.005 20 0 DDADMM COCC[C@H](CO)NC(=O)c1ccc(Br)c([O-])c1 ZINC000302349412 230223419 /nfs/dbraw/zinc/22/34/19/230223419.db2.gz WFKHSEQIHVOION-SECBINFHSA-N -1 1 318.167 1.282 20 0 DDADMM CCOc1ccc(S(=O)(=O)[N-]c2[nH]nc(CC)c2C)cn1 ZINC000438245350 420446791 /nfs/dbraw/zinc/44/67/91/420446791.db2.gz IDPLCGXFVGYDLR-UHFFFAOYSA-N -1 1 310.379 1.875 20 0 DDADMM NC(=O)c1ccc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)o1 ZINC000438309683 420449455 /nfs/dbraw/zinc/44/94/55/420449455.db2.gz WASKMGQLKCITOQ-UHFFFAOYSA-N -1 1 312.285 1.727 20 0 DDADMM CC1(C)OCC[C@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645743636 423164736 /nfs/dbraw/zinc/16/47/36/423164736.db2.gz KKGMUFQGWUFBTB-SECBINFHSA-N -1 1 324.324 1.946 20 0 DDADMM CC[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCCC1 ZINC000456669117 420524957 /nfs/dbraw/zinc/52/49/57/420524957.db2.gz VYNXIAPDXHIZGR-GFCCVEGCSA-N -1 1 305.378 1.602 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cnc(C)cc2C)co1 ZINC000456844629 420552795 /nfs/dbraw/zinc/55/27/95/420552795.db2.gz RCVHBXSVEMWFOV-UHFFFAOYSA-N -1 1 323.374 1.130 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CC[S@@](=O)C2)cc(Cl)c1[O-] ZINC000442669788 420698047 /nfs/dbraw/zinc/69/80/47/420698047.db2.gz HSRMSMDRJTYXIS-LILOVNGRSA-N -1 1 303.767 1.305 20 0 DDADMM CCC[C@@H](O)CS(=O)(=O)c1n[n-]c(CCC2CCCC2)n1 ZINC000453015702 420701661 /nfs/dbraw/zinc/70/16/61/420701661.db2.gz IFPOGCNQSFSNSZ-GFCCVEGCSA-N -1 1 315.439 1.862 20 0 DDADMM COc1cc(C(=O)NC[C@@H](O)C(F)(F)F)cc(Cl)c1[O-] ZINC000442674413 420700042 /nfs/dbraw/zinc/70/00/42/420700042.db2.gz YFBISGWFBWOCHS-MRVPVSSYSA-N -1 1 313.659 1.707 20 0 DDADMM COC(=O)c1cnccc1[N-]S(=O)(=O)CCc1ccccc1 ZINC000448536282 420858648 /nfs/dbraw/zinc/85/86/48/420858648.db2.gz DFXDWNRUBQOMBO-UHFFFAOYSA-N -1 1 320.370 1.275 20 0 DDADMM CC(C)c1nc(=NC2CCC(CS(C)(=O)=O)CC2)o[n-]1 ZINC000450583775 421212274 /nfs/dbraw/zinc/21/22/74/421212274.db2.gz SVNSWIGNSKYIAF-UHFFFAOYSA-N -1 1 301.412 1.630 20 0 DDADMM C[C@@H](CN(C)C(=O)c1snnc1C(C)(C)C)c1nn[n-]n1 ZINC000559984104 421231226 /nfs/dbraw/zinc/23/12/26/421231226.db2.gz WSMFSJVSSRFPSM-ZETCQYMHSA-N -1 1 309.399 1.224 20 0 DDADMM COc1ccc(CN[C@@H](C(=O)[O-])c2ccnn2C)cc1Cl ZINC000424614458 533684830 /nfs/dbraw/zinc/68/48/30/533684830.db2.gz CHOLZTJKTWPITN-CYBMUJFWSA-N -1 1 309.753 1.998 20 0 DDADMM C[C@H](CO[N-]C(=O)[C@@H](F)C(F)(F)F)NC(=O)OC(C)(C)C ZINC000496703923 421302828 /nfs/dbraw/zinc/30/28/28/421302828.db2.gz VUDQJYHEFBEWLW-RNFRBKRXSA-N -1 1 318.267 1.848 20 0 DDADMM CCC1(CC)CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CCS1 ZINC000545240980 421246621 /nfs/dbraw/zinc/24/66/21/421246621.db2.gz ZEDYJJZJJWDPRP-UHFFFAOYSA-N -1 1 321.406 1.165 20 0 DDADMM Cc1cnc(C(=O)N(CC2CC2)C[C@H]2CN(C)CCO2)c([O-])c1 ZINC000548351209 421426101 /nfs/dbraw/zinc/42/61/01/421426101.db2.gz WTIWRLGUHWQERQ-CQSZACIVSA-N -1 1 319.405 1.278 20 0 DDADMM CCn1cc(CC(=O)Nc2cc(C(=O)OC)ccc2[O-])cn1 ZINC000548813806 421476014 /nfs/dbraw/zinc/47/60/14/421476014.db2.gz OPWMGEGTCHTTIK-UHFFFAOYSA-N -1 1 303.318 1.576 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncc2cc(Cl)ccn21)c1nn[n-]n1 ZINC000563390647 421500437 /nfs/dbraw/zinc/50/04/37/421500437.db2.gz VJHKSIVUDNSVEC-MRVPVSSYSA-N -1 1 319.756 1.377 20 0 DDADMM CON1CCC(Nc2ncnc3sc(C(=O)[O-])c(C)c32)CC1 ZINC000563812758 421545021 /nfs/dbraw/zinc/54/50/21/421545021.db2.gz CXWVNDCKLFHGKC-UHFFFAOYSA-N -1 1 322.390 1.558 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)c2cnc[nH]2)n1 ZINC000552007576 421565847 /nfs/dbraw/zinc/56/58/47/421565847.db2.gz QMUYRBCHCBNPHY-VIFPVBQESA-N -1 1 320.353 1.222 20 0 DDADMM COCCOc1ccc(CNC(=O)c2cc(F)ccc2[O-])nn1 ZINC000552168265 421578149 /nfs/dbraw/zinc/57/81/49/421578149.db2.gz YELLMHDDTJGHNR-UHFFFAOYSA-N -1 1 321.308 1.277 20 0 DDADMM c1ccc(-c2nc(=NCCN3C[C@H]4CC[C@@H](C3)O4)[n-]o2)cc1 ZINC000516951258 421581781 /nfs/dbraw/zinc/58/17/81/421581781.db2.gz DBRMGBMWLTWHMA-OKILXGFUSA-N -1 1 300.362 1.434 20 0 DDADMM CC(C)Nc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cn1 ZINC000570309660 421637443 /nfs/dbraw/zinc/63/74/43/421637443.db2.gz LDAVLFPKJZCSOP-GFCCVEGCSA-N -1 1 315.381 1.435 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(C2CC2)C2CC2)co1 ZINC000535155742 421700393 /nfs/dbraw/zinc/70/03/93/421700393.db2.gz HWMNNDUFCCWUNO-UHFFFAOYSA-N -1 1 312.391 1.354 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2ncoc2C)c(=O)[n-]1 ZINC000535738467 421709358 /nfs/dbraw/zinc/70/93/58/421709358.db2.gz KEBSXUVRUAVRPI-UHFFFAOYSA-N -1 1 322.390 1.758 20 0 DDADMM O=C(C(=O)N1CCOC2(CCOCC2)CC1)c1ccc([O-])cc1 ZINC000571592251 421730571 /nfs/dbraw/zinc/73/05/71/421730571.db2.gz YNSHIJDERHOFFU-UHFFFAOYSA-N -1 1 319.357 1.373 20 0 DDADMM CO[C@H]1C[C@H](C(=O)[O-])N(CCOc2ccc(SC)cc2)C1 ZINC000518990611 421676044 /nfs/dbraw/zinc/67/60/44/421676044.db2.gz LKJUGYFEKSBCIR-GXTWGEPZSA-N -1 1 311.403 1.961 20 0 DDADMM CCc1nc(C)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000540423937 421763225 /nfs/dbraw/zinc/76/32/25/421763225.db2.gz ULUIKSHNVNYTON-SECBINFHSA-N -1 1 306.395 1.547 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC2(C1)CCCCC2 ZINC000541834515 421807954 /nfs/dbraw/zinc/80/79/54/421807954.db2.gz KJLZVBACQDSHEZ-UHFFFAOYSA-N -1 1 301.350 1.214 20 0 DDADMM COc1cncc(S(=O)(=O)Nc2cc(C(=O)[O-])ccc2C)c1 ZINC000542841352 421831145 /nfs/dbraw/zinc/83/11/45/421831145.db2.gz XQZPURVXHUUSOH-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM Cc1nc(SCC(=O)Nc2nnn[n-]2)n(CC(C)C)c1C ZINC000559696825 421837137 /nfs/dbraw/zinc/83/71/37/421837137.db2.gz LTYPZXOTMTXTOZ-UHFFFAOYSA-N -1 1 309.399 1.400 20 0 DDADMM Cc1nc(SCC(=O)Nc2nn[n-]n2)n(CC(C)C)c1C ZINC000559696825 421837139 /nfs/dbraw/zinc/83/71/39/421837139.db2.gz LTYPZXOTMTXTOZ-UHFFFAOYSA-N -1 1 309.399 1.400 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCS[C@H]2COCC[C@H]21 ZINC000543749376 421841203 /nfs/dbraw/zinc/84/12/03/421841203.db2.gz KLTSOGNWZDIXFW-NEPJUHHUSA-N -1 1 304.375 1.304 20 0 DDADMM O=C([O-])COc1ccc(NC(=O)[C@@H]2CCCN2C2CC2)cc1 ZINC000630157573 421926151 /nfs/dbraw/zinc/92/61/51/421926151.db2.gz ODLZWUPCNFQRTJ-AWEZNQCLSA-N -1 1 304.346 1.715 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCc2c(C)noc2C)sn1 ZINC000631919723 421940698 /nfs/dbraw/zinc/94/06/98/421940698.db2.gz XWSRYCRKYBYTHN-UHFFFAOYSA-N -1 1 301.393 1.577 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1C[C@@H]2CCCC[C@]2(C(=O)[O-])C1 ZINC000630219593 421970044 /nfs/dbraw/zinc/97/00/44/421970044.db2.gz MODCYXFKNJEDAL-WQGACYEGSA-N -1 1 305.378 1.692 20 0 DDADMM C[C@@H](C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1ccccc1F ZINC000630221810 421972005 /nfs/dbraw/zinc/97/20/05/421972005.db2.gz BEWJGRRKCHWZIN-QWHCGFSZSA-N -1 1 322.380 1.937 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CC[C@@H]2CC[C@@H](C)O2)CC1 ZINC000630223556 421972118 /nfs/dbraw/zinc/97/21/18/421972118.db2.gz JZJKZLMPRMBKOY-MCIONIFRSA-N -1 1 312.410 1.342 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cc(C)ns2)s1 ZINC000632041220 422043030 /nfs/dbraw/zinc/04/30/30/422043030.db2.gz FHZULABGQRLELH-UHFFFAOYSA-N -1 1 303.434 1.949 20 0 DDADMM COc1cccc(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000630226516 421975328 /nfs/dbraw/zinc/97/53/28/421975328.db2.gz YKHGVRJNJDLQKR-ZDUSSCGKSA-N -1 1 320.389 1.245 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cc3ccccc3[nH]2)CC1 ZINC000630224436 421976154 /nfs/dbraw/zinc/97/61/54/421976154.db2.gz NXWRHKMEDDFWIS-GFCCVEGCSA-N -1 1 315.373 1.789 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cc(Cl)cn2C)CC1 ZINC000630225366 421976290 /nfs/dbraw/zinc/97/62/90/421976290.db2.gz RCBXEOCRSINWSD-SNVBAGLBSA-N -1 1 313.785 1.300 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630225275 421976996 /nfs/dbraw/zinc/97/69/96/421976996.db2.gz ZKAYXEUDCUEGRF-CYBMUJFWSA-N -1 1 314.426 1.445 20 0 DDADMM CC[C@@H]1COCCN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631979432 421994171 /nfs/dbraw/zinc/99/41/71/421994171.db2.gz RVJPRGROLTYAOT-SNVBAGLBSA-N -1 1 301.364 1.200 20 0 DDADMM CCn1cnnc1CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631985805 421999790 /nfs/dbraw/zinc/99/97/90/421999790.db2.gz DMMOLHLYJLACJQ-UHFFFAOYSA-N -1 1 320.321 1.350 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](O)Cc2ccccc2)sn1 ZINC000632007277 422014846 /nfs/dbraw/zinc/01/48/46/422014846.db2.gz FUSXCJBHFZTPOK-GFCCVEGCSA-N -1 1 312.416 1.333 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(-c2cnco2)cc1)c1nn[n-]n1 ZINC000581978323 422077771 /nfs/dbraw/zinc/07/77/71/422077771.db2.gz PWOMHCWHNXYODK-JTQLQIEISA-N -1 1 312.333 1.730 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@]2(C)CCCO2)c1 ZINC000632088607 422078858 /nfs/dbraw/zinc/07/88/58/422078858.db2.gz FYRUQGQXVWHFCV-CYBMUJFWSA-N -1 1 301.364 1.248 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCCS2)c1 ZINC000632091652 422081138 /nfs/dbraw/zinc/08/11/38/422081138.db2.gz LKKWDSHWVPPCFU-JTQLQIEISA-N -1 1 303.405 1.575 20 0 DDADMM O=C([O-])C[C@H]1CCCN(S(=O)(=O)c2cc(O)cc(F)c2)C1 ZINC000630442330 422106848 /nfs/dbraw/zinc/10/68/48/422106848.db2.gz AJEQHPMZBWYOPV-SECBINFHSA-N -1 1 317.338 1.407 20 0 DDADMM CCOc1cc(CN[C@]2(C(=O)[O-])CCOC2)c(F)cc1OC ZINC000630456267 422113767 /nfs/dbraw/zinc/11/37/67/422113767.db2.gz NWKYAWJTTQGSGW-OAHLLOKOSA-N -1 1 313.325 1.566 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cccc(C2CCOCC2)c1 ZINC000633686030 422047126 /nfs/dbraw/zinc/04/71/26/422047126.db2.gz XONIDWUFTOZRLE-UHFFFAOYSA-N -1 1 301.350 1.665 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1sc(C)cc1OC ZINC000633691227 422052551 /nfs/dbraw/zinc/05/25/51/422052551.db2.gz GDJBRYOFOFTWPE-UHFFFAOYSA-N -1 1 308.363 1.130 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2([C@@H](O)C(C)C)CC2)sn1 ZINC000632183799 422145359 /nfs/dbraw/zinc/14/53/59/422145359.db2.gz FXTIOVBGKQQWPN-NSHDSACASA-N -1 1 304.437 1.527 20 0 DDADMM COc1cccc([C@H](N)C(=O)N2CCC[C@H](CC(=O)[O-])C2)c1 ZINC000630570637 422187825 /nfs/dbraw/zinc/18/78/25/422187825.db2.gz CMRHMSOSJJLFPR-ABAIWWIYSA-N -1 1 306.362 1.408 20 0 DDADMM C[C@H](NCc1nc(-c2ccc3c(c2)OCO3)no1)[C@H](C)C(=O)[O-] ZINC000583569486 422187848 /nfs/dbraw/zinc/18/78/48/422187848.db2.gz BAPXUQRZEWUHOC-IUCAKERBSA-N -1 1 319.317 1.664 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2(c3ccccn3)CC2)sn1 ZINC000632202797 422159696 /nfs/dbraw/zinc/15/96/96/422159696.db2.gz NMMGKOWXRRAEEN-UHFFFAOYSA-N -1 1 309.416 1.857 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@]2(C)CCO[C@H]2C2CC2)sn1 ZINC000632224107 422175406 /nfs/dbraw/zinc/17/54/06/422175406.db2.gz CJKQQOVRKJCOKN-QWHCGFSZSA-N -1 1 316.448 1.935 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)[C@H](CO)CC(C)C)c1 ZINC000632231611 422183360 /nfs/dbraw/zinc/18/33/60/422183360.db2.gz WMAFMFJPGXWGDT-NSHDSACASA-N -1 1 317.407 1.428 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCc2cnc(C3CC3)nc2)sn1 ZINC000632144850 422119097 /nfs/dbraw/zinc/11/90/97/422119097.db2.gz MZJJFFODSPZOEP-UHFFFAOYSA-N -1 1 324.431 1.640 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC23CCOCC3)c1 ZINC000632279858 422217248 /nfs/dbraw/zinc/21/72/48/422217248.db2.gz JTSXOKBQTUZMFD-UHFFFAOYSA-N -1 1 313.375 1.344 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCOC[C@@H]2C)c1 ZINC000632278644 422218118 /nfs/dbraw/zinc/21/81/18/422218118.db2.gz WZOYDSPXHVOBFQ-GXSJLCMTSA-N -1 1 301.364 1.104 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)CCCCCO)c1 ZINC000632287419 422221428 /nfs/dbraw/zinc/22/14/28/422221428.db2.gz HMYDWZZNIYAZKB-UHFFFAOYSA-N -1 1 303.380 1.184 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(C(=O)c2ccccc2)CC1 ZINC000630628311 422230815 /nfs/dbraw/zinc/23/08/15/422230815.db2.gz NKRHZGCKBCTRPH-UHFFFAOYSA-N -1 1 313.361 1.254 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H]1c1ccc(O)cc1 ZINC000635684680 422239162 /nfs/dbraw/zinc/23/91/62/422239162.db2.gz XVSSTMVDKCYEAP-ZDUSSCGKSA-N -1 1 301.350 1.592 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2nccc(C(F)F)n2)sn1 ZINC000632339221 422259736 /nfs/dbraw/zinc/25/97/36/422259736.db2.gz PQVTXFBXFUYISX-UHFFFAOYSA-N -1 1 320.346 1.658 20 0 DDADMM Cc1cccc([C@H]2CCCN2C(=O)CCCc2nn[n-]n2)n1 ZINC000635699813 422254055 /nfs/dbraw/zinc/25/40/55/422254055.db2.gz LNKRNZLPZCZPEO-CYBMUJFWSA-N -1 1 300.366 1.590 20 0 DDADMM COc1ccc2c(c1)CCCCN2C(=O)CCCc1nn[n-]n1 ZINC000635752675 422310225 /nfs/dbraw/zinc/31/02/25/422310225.db2.gz HTLXTYOYUVQAEX-UHFFFAOYSA-N -1 1 315.377 1.901 20 0 DDADMM C[C@@H](CN(C)C(=O)C1C[C@H]2CCCC[C@@H](C1)C2=O)c1nn[n-]n1 ZINC000632437376 422344420 /nfs/dbraw/zinc/34/44/20/422344420.db2.gz ZDFMQZHIICZCRI-ACJTYDJDSA-N -1 1 319.409 1.547 20 0 DDADMM CC[C@@H](NS(=O)(=O)c1cc(OC)ccc1[O-])[C@@H](O)C(C)C ZINC000632457643 422360721 /nfs/dbraw/zinc/36/07/21/422360721.db2.gz KTXXAYVQFQIOAU-RISCZKNCSA-N -1 1 317.407 1.475 20 0 DDADMM C[C@H]1CCN(C(=O)CCCc2nn[n-]n2)c2c(O)cccc21 ZINC000635808865 422366241 /nfs/dbraw/zinc/36/62/41/422366241.db2.gz YFBIOKOWDMRNCD-JTQLQIEISA-N -1 1 301.350 1.768 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCCC2(O)CCC2)c1 ZINC000632475418 422374813 /nfs/dbraw/zinc/37/48/13/422374813.db2.gz ZCGYYCSEEYFCTD-UHFFFAOYSA-N -1 1 315.391 1.374 20 0 DDADMM CC(F)(F)CCS(=O)(=O)[N-]Cc1nc(C(F)F)no1 ZINC000632479954 422380107 /nfs/dbraw/zinc/38/01/07/422380107.db2.gz KGEWAXXGHBCXAL-UHFFFAOYSA-N -1 1 305.253 1.472 20 0 DDADMM C[C@@H]1CCN(CC(=O)NC(=O)Nc2ccccc2)C[C@H]1C(=O)[O-] ZINC000575748302 422320410 /nfs/dbraw/zinc/32/04/10/422320410.db2.gz BLCSCJYVVBQSCK-DGCLKSJQSA-N -1 1 319.361 1.377 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2C[C@@H](C)n3ccnc32)c1 ZINC000632417267 422327122 /nfs/dbraw/zinc/32/71/22/422327122.db2.gz FPQBOVBVPQRAKA-KOLCDFICSA-N -1 1 323.374 1.582 20 0 DDADMM CC(C)CCOc1ccccc1CNC(=O)CCc1nn[n-]n1 ZINC000630882066 422392933 /nfs/dbraw/zinc/39/29/33/422392933.db2.gz FUMADGLMIXZKBS-UHFFFAOYSA-N -1 1 317.393 1.874 20 0 DDADMM CCOc1ccccc1[C@@H](CC)NC(=O)CCc1nn[n-]n1 ZINC000630885646 422394997 /nfs/dbraw/zinc/39/49/97/422394997.db2.gz UNBSMBRJTXVUQJ-GFCCVEGCSA-N -1 1 303.366 1.799 20 0 DDADMM NC(=O)c1cc(NC(=O)c2csc(=NC3CC3)[n-]2)cs1 ZINC000634421132 422416467 /nfs/dbraw/zinc/41/64/67/422416467.db2.gz JOCRYHXOSJVLSV-UHFFFAOYSA-N -1 1 308.388 1.552 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@@H]1CCCCC(F)(F)C1 ZINC000635952037 422505868 /nfs/dbraw/zinc/50/58/68/422505868.db2.gz LGSUIZXLAYDGLO-SNVBAGLBSA-N -1 1 301.341 1.854 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(F)cc1F)[C@@H](O)C(F)(F)F ZINC000632673177 422508796 /nfs/dbraw/zinc/50/87/96/422508796.db2.gz JSLLFDZWDFLTLU-SSDLBLMSSA-N -1 1 319.251 1.555 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cn(C3CC3)nn2)c1Br ZINC000627669553 422654496 /nfs/dbraw/zinc/65/44/96/422654496.db2.gz KPEHRQPJQASSCP-UHFFFAOYSA-N -1 1 311.143 1.081 20 0 DDADMM COC1CCC([N-]S(=O)(=O)c2nc(C)c(C)s2)CC1 ZINC000578607941 422607920 /nfs/dbraw/zinc/60/79/20/422607920.db2.gz OZHCBPQVFXEMHH-UHFFFAOYSA-N -1 1 304.437 1.996 20 0 DDADMM CC(C)(C(=O)NCc1nn[n-]n1)c1cccc(C(F)(F)F)n1 ZINC000631233544 422618256 /nfs/dbraw/zinc/61/82/56/422618256.db2.gz PSESQDFTTLSHTH-UHFFFAOYSA-N -1 1 314.271 1.208 20 0 DDADMM O=C(c1ncc2n1CCCC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000632843951 422621705 /nfs/dbraw/zinc/62/17/05/422621705.db2.gz YXZCFGCQCWHMLL-SNVBAGLBSA-N -1 1 317.349 1.328 20 0 DDADMM O=C([O-])c1cn(C2CCN(Cc3cccc(F)c3)CC2)nn1 ZINC000578669824 422622410 /nfs/dbraw/zinc/62/24/10/422622410.db2.gz UDIHQILCPNDTDD-UHFFFAOYSA-N -1 1 304.325 1.953 20 0 DDADMM COc1ccc(CN(CCNC(C)=O)[C@H](C)C(=O)[O-])c(F)c1 ZINC000650790871 423260275 /nfs/dbraw/zinc/26/02/75/423260275.db2.gz TUWRREABHWUOMA-SNVBAGLBSA-N -1 1 312.341 1.246 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(=O)N(C2CCC(C)CC2)C1 ZINC000646409689 423477171 /nfs/dbraw/zinc/47/71/71/423477171.db2.gz SJACCDOFAFGEPO-ZYXZCXLHSA-N -1 1 320.393 1.789 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1nc(N2CCCC2)ncc1Cl ZINC000646413238 423479834 /nfs/dbraw/zinc/47/98/34/423479834.db2.gz WOVARGOCIIKCIE-UHFFFAOYSA-N -1 1 322.756 1.720 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CNC(=O)c1cc(C)cc(C)c1 ZINC000646411552 423481137 /nfs/dbraw/zinc/48/11/37/423481137.db2.gz JWMNBTMRKZFENA-UHFFFAOYSA-N -1 1 302.334 1.409 20 0 DDADMM N=c1nc(N2CCN(C(=O)NC3CC4(CCC4)C3)CC2)s[n-]1 ZINC000651456194 423548877 /nfs/dbraw/zinc/54/88/77/423548877.db2.gz NTHNFBJIXXKJQR-UHFFFAOYSA-N -1 1 322.438 1.115 20 0 DDADMM CC(C)N(C(=O)[C@@H](C)N1CCC(c2n[n-]c(=N)o2)CC1)C(C)C ZINC000639726884 423695067 /nfs/dbraw/zinc/69/50/67/423695067.db2.gz PVNDVFAKLFULDQ-GFCCVEGCSA-N -1 1 323.441 1.695 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCc2ccccc2[C@@H](C)C1 ZINC000651881295 423723793 /nfs/dbraw/zinc/72/37/93/423723793.db2.gz XKATYFLIUWNVLL-JTQLQIEISA-N -1 1 321.402 1.746 20 0 DDADMM O=S(=O)([N-]CCC1(CO)CCC1)c1ccc(F)c(F)c1F ZINC000651908184 423735740 /nfs/dbraw/zinc/73/57/40/423735740.db2.gz WOYZGQRJJYXGBW-UHFFFAOYSA-N -1 1 323.336 1.935 20 0 DDADMM CC(=O)N1CC[C@H](C[N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000641604401 423750170 /nfs/dbraw/zinc/75/01/70/423750170.db2.gz OEAFLWVPBUKNLI-SECBINFHSA-N -1 1 322.839 1.548 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H](N)c2cccc(Cl)c2)C[C@@H]1C(=O)[O-] ZINC000656855061 423799264 /nfs/dbraw/zinc/79/92/64/423799264.db2.gz UJTIAOUYGZCJOT-JIMOISOXSA-N -1 1 310.781 1.909 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)CCOCC2CCC2)cs1 ZINC000641689366 423864785 /nfs/dbraw/zinc/86/47/85/423864785.db2.gz PYLRTDHYSXXQMT-UHFFFAOYSA-N -1 1 318.420 1.405 20 0 DDADMM COCc1nc(C)cc(NCc2cc(=O)[n-]c(SC)n2)n1 ZINC000641657066 423821688 /nfs/dbraw/zinc/82/16/88/423821688.db2.gz LMGBBEHPYSAGFM-UHFFFAOYSA-N -1 1 307.379 1.183 20 0 DDADMM CSc1nc(CNc2ncnc3c2CCCC3)cc(=O)[n-]1 ZINC000641657434 423826984 /nfs/dbraw/zinc/82/69/84/423826984.db2.gz RQUJWUABMLRYOD-UHFFFAOYSA-N -1 1 303.391 1.607 20 0 DDADMM O=C([O-])c1cncc(NCCN2CCc3sccc3C2)n1 ZINC000649386911 423838397 /nfs/dbraw/zinc/83/83/97/423838397.db2.gz QOSIUHINOCDKKO-UHFFFAOYSA-N -1 1 304.375 1.707 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]C(C)(C)C(F)F)[C@H]1CCOC1 ZINC000656976589 423948069 /nfs/dbraw/zinc/94/80/69/423948069.db2.gz KINOOHHSDZUZJO-DTWKUNHWSA-N -1 1 301.355 1.001 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644884442 424009745 /nfs/dbraw/zinc/00/97/45/424009745.db2.gz ZHUFXIKOVDKAAL-KCJUWKMLSA-N -1 1 319.365 1.625 20 0 DDADMM O=C(CC1CC(F)(F)C1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644884261 424012462 /nfs/dbraw/zinc/01/24/62/424012462.db2.gz LGEZMSZPEWAZEG-VIFPVBQESA-N -1 1 301.293 1.917 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1nc(C)c(C)s1)[C@@H]1CCOC1 ZINC000657047978 424037622 /nfs/dbraw/zinc/03/76/22/424037622.db2.gz XPFBREUUYRSLDG-GHMZBOCLSA-N -1 1 320.436 1.090 20 0 DDADMM CC(C)N1CCN(C(=O)c2ccc(OCC(=O)[O-])cc2)CC1 ZINC000659750550 424218042 /nfs/dbraw/zinc/21/80/42/424218042.db2.gz BEURRDBSUBIMGA-UHFFFAOYSA-N -1 1 306.362 1.316 20 0 DDADMM C[C@H](CO)N(C[C@H](C)O)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000640161715 424229243 /nfs/dbraw/zinc/22/92/43/424229243.db2.gz REUKADCYKOZSKX-ZJUUUORDSA-N -1 1 301.770 1.178 20 0 DDADMM C[C@@H]1CO[C@@H](CO)CN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640341159 424363620 /nfs/dbraw/zinc/36/36/20/424363620.db2.gz DWIIKKNBIUOYIF-NXEZZACHSA-N -1 1 307.375 1.332 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1C[C@@H](O)CC(F)(F)C1 ZINC000640339893 424364359 /nfs/dbraw/zinc/36/43/59/424364359.db2.gz ZARNHKGKNKIEHZ-QMMMGPOBSA-N -1 1 313.329 1.952 20 0 DDADMM O=C([O-])CN1CC[C@@H](N(CCc2ccc(F)cc2)C2CC2)C1=O ZINC000662203426 424471013 /nfs/dbraw/zinc/47/10/13/424471013.db2.gz DQIYWIUOBLMIFH-OAHLLOKOSA-N -1 1 320.364 1.518 20 0 DDADMM C[C@@H]1CCN([C@H]2CCN(CC(=O)[O-])C2=O)[C@H]1c1cccc(F)c1 ZINC000662205902 424473653 /nfs/dbraw/zinc/47/36/53/424473653.db2.gz NOPNDJGLXCSGDI-DIOULYMOSA-N -1 1 320.364 1.894 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCC(c3ccsc3)CC2)C1=O ZINC000662209483 424476571 /nfs/dbraw/zinc/47/65/71/424476571.db2.gz HXWYRAYKPSFBHU-CYBMUJFWSA-N -1 1 308.403 1.613 20 0 DDADMM O=C(NCCO[C@H]1CC1(F)F)c1nc2ccccc2c(=O)[n-]1 ZINC000664358379 424555996 /nfs/dbraw/zinc/55/59/96/424555996.db2.gz HEOBGJOGAGUHHS-JTQLQIEISA-N -1 1 309.272 1.077 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1cccc(-c2nnc[nH]2)c1)C(=O)[O-] ZINC000655564777 424573179 /nfs/dbraw/zinc/57/31/79/424573179.db2.gz QSERFTSMSBZNDH-GFCCVEGCSA-N -1 1 302.334 1.558 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)NC[C@@H](C(=O)[O-])C2CCC2)n[nH]1 ZINC000665322450 424783028 /nfs/dbraw/zinc/78/30/28/424783028.db2.gz DWOWSFRMJCBZIW-PSASIEDQSA-N -1 1 309.370 1.228 20 0 DDADMM Cc1cc(C(=O)Nc2cncc(F)c2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000341552977 271115726 /nfs/dbraw/zinc/11/57/26/271115726.db2.gz MTEXTQWMIPQLOC-UHFFFAOYSA-N -1 1 315.264 1.531 20 0 DDADMM COC(=O)[C@H](CC(F)F)[N-]S(=O)(=O)CCc1ccccc1 ZINC000341710423 271182496 /nfs/dbraw/zinc/18/24/96/271182496.db2.gz HZJIBVGINCPCRC-NSHDSACASA-N -1 1 321.345 1.345 20 0 DDADMM CC(C)[C@@H](O)C1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000343019309 271617030 /nfs/dbraw/zinc/61/70/30/271617030.db2.gz ZQCYLZWVTZVOPT-CYBMUJFWSA-N -1 1 315.373 1.450 20 0 DDADMM CCNC(=O)c1ccc(=NCC[C@@H](OC)C(F)(F)F)[n-]n1 ZINC000345040264 272161435 /nfs/dbraw/zinc/16/14/35/272161435.db2.gz UWKLJALIAUMYFS-SECBINFHSA-N -1 1 306.288 1.028 20 0 DDADMM CCOC(=O)C[C@@H](C)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000345313815 272216318 /nfs/dbraw/zinc/21/63/18/272216318.db2.gz GYAWQZADECFSDO-SECBINFHSA-N -1 1 320.349 1.088 20 0 DDADMM CCc1noc(CCc2c(C)nc(N3CCOCC3)[n-]c2=O)n1 ZINC000345441750 272252432 /nfs/dbraw/zinc/25/24/32/272252432.db2.gz VEMMHUFTSVRXNQ-UHFFFAOYSA-N -1 1 319.365 1.058 20 0 DDADMM COc1ccccc1CCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000345483849 272261788 /nfs/dbraw/zinc/26/17/88/272261788.db2.gz SVUXKKYZLFMOSE-UHFFFAOYSA-N -1 1 315.377 1.727 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1c2ccccc2C[C@H]1C ZINC000416158001 277365513 /nfs/dbraw/zinc/36/55/13/277365513.db2.gz JSIZWQHMHGTNFI-LDYMZIIASA-N -1 1 315.329 1.560 20 0 DDADMM CC(C)C[C@H](CNC(=O)N[C@H]1CCc2[nH]cnc2C1)C(=O)[O-] ZINC000424393754 279157365 /nfs/dbraw/zinc/15/73/65/279157365.db2.gz BHUZVVIFGDPXSS-MNOVXSKESA-N -1 1 308.382 1.313 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H]3CCOC(C)(C)C3)ccnc1-2 ZINC000286987361 279300363 /nfs/dbraw/zinc/30/03/63/279300363.db2.gz AJZSDWLDBKQRHN-FZUCCHQLSA-N -1 1 303.366 1.421 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1COc2ccc(F)cc2C1)c1nn[n-]n1 ZINC000121855429 281145810 /nfs/dbraw/zinc/14/58/10/281145810.db2.gz FETZICXATMVSNC-PWSUYJOCSA-N -1 1 319.340 1.548 20 0 DDADMM CC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000126762263 281251653 /nfs/dbraw/zinc/25/16/53/281251653.db2.gz VMXJBZVHTAKYBW-GFCCVEGCSA-N -1 1 308.359 1.332 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)c1cn(C)cn1 ZINC000292516533 289049906 /nfs/dbraw/zinc/04/99/06/289049906.db2.gz IXERNPJRRGORRF-RKDXNWHRSA-N -1 1 318.299 1.092 20 0 DDADMM CN1CCN(C)[C@H](CNC(=O)c2cc(F)cc(Cl)c2[O-])C1 ZINC000269212052 290035652 /nfs/dbraw/zinc/03/56/52/290035652.db2.gz KYBXJEPGFMJJAM-SNVBAGLBSA-N -1 1 315.776 1.160 20 0 DDADMM COc1cccc(OC)c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000124629359 295307829 /nfs/dbraw/zinc/30/78/29/295307829.db2.gz PTNWUMYVMFDKFB-SECBINFHSA-N -1 1 305.338 1.093 20 0 DDADMM CCc1nc(C(=O)N=c2nc(C)[nH][n-]2)nn1-c1ccccc1F ZINC000357141812 298977897 /nfs/dbraw/zinc/97/78/97/298977897.db2.gz GFFFMNVCPLCQPZ-UHFFFAOYSA-N -1 1 315.312 1.070 20 0 DDADMM CCc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)ccc1O ZINC000362814835 300147919 /nfs/dbraw/zinc/14/79/19/300147919.db2.gz RFJLBYAUTODNBM-UHFFFAOYSA-N -1 1 301.350 1.667 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H]2[C@@H]3Cc4ccccc4[C@H]23)[n-]n1 ZINC000362868088 300165123 /nfs/dbraw/zinc/16/51/23/300165123.db2.gz LITUNJBVKVEZSC-VBQJREDUSA-N -1 1 308.385 1.646 20 0 DDADMM O=C([C@H]1CCCc2ccccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363394997 300262357 /nfs/dbraw/zinc/26/23/57/300262357.db2.gz GTPRCMWPZQYYGV-UONOGXRCSA-N -1 1 313.361 1.220 20 0 DDADMM O=C(Nc1cccc(-c2n[nH]c(=O)[n-]2)c1)[C@@H]1C[C@@H]1c1nc[nH]n1 ZINC000370564071 301366063 /nfs/dbraw/zinc/36/60/63/301366063.db2.gz RUWYWKANJYDLBQ-VHSXEESVSA-N -1 1 311.305 1.038 20 0 DDADMM COc1ccccc1N1CCC[C@@H]([N@@H+](C)CCC(=O)[O-])C1=O ZINC000376794488 302104197 /nfs/dbraw/zinc/10/41/97/302104197.db2.gz OFKLSJWDGVDQDW-CYBMUJFWSA-N -1 1 306.362 1.597 20 0 DDADMM COc1ccccc1N1CCC[C@@H](N(C)CCC(=O)[O-])C1=O ZINC000376794488 302104200 /nfs/dbraw/zinc/10/42/00/302104200.db2.gz OFKLSJWDGVDQDW-CYBMUJFWSA-N -1 1 306.362 1.597 20 0 DDADMM Cn1ccc(N2CC[C@H](NC(=O)c3c(F)ccc([O-])c3F)C2)n1 ZINC000377690023 302213227 /nfs/dbraw/zinc/21/32/27/302213227.db2.gz GAPYOCJWSDBGKZ-VIFPVBQESA-N -1 1 322.315 1.413 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN([C@@H]2CCOC2)CC1 ZINC000377713771 302217768 /nfs/dbraw/zinc/21/77/68/302217768.db2.gz AZKVLTAIYUIFOE-SNVBAGLBSA-N -1 1 312.316 1.217 20 0 DDADMM COc1ccc(C[C@@H]2CCCN(CC(=O)NCC(=O)[O-])C2)cc1 ZINC000378578177 302338840 /nfs/dbraw/zinc/33/88/40/302338840.db2.gz DBPNSVPCLPPBDK-AWEZNQCLSA-N -1 1 320.389 1.151 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000356108807 306835304 /nfs/dbraw/zinc/83/53/04/306835304.db2.gz UVVJIUOLPWGWEB-MNOVXSKESA-N -1 1 301.346 1.468 20 0 DDADMM CC(=O)Nc1cc(S(=O)(=O)Nc2ccc[nH]c2=O)ccc1[O-] ZINC000515194625 302768337 /nfs/dbraw/zinc/76/83/37/302768337.db2.gz BDADYLGBUQKUIA-UHFFFAOYSA-N -1 1 323.330 1.252 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)c2ncnn2C)cc(Cl)c1[O-] ZINC000356702364 306861039 /nfs/dbraw/zinc/86/10/39/306861039.db2.gz NOWBUKYMXXLCML-ZETCQYMHSA-N -1 1 310.741 1.674 20 0 DDADMM Cc1noc([C@@H]2[C@@H](C)OCCN2C(=O)c2ccc([O-])cc2F)n1 ZINC000408410221 302853635 /nfs/dbraw/zinc/85/36/35/302853635.db2.gz LQSDYONEMUBZJX-OQPBUACISA-N -1 1 321.308 1.825 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc2ccccc2n1C ZINC000520953236 302868562 /nfs/dbraw/zinc/86/85/62/302868562.db2.gz WMCRJYSEIZENBF-UHFFFAOYSA-N -1 1 311.345 1.244 20 0 DDADMM O=c1nc(N[C@@H]2[C@@H]3Cc4cc(Cl)ccc4[C@@H]32)nc2[nH][n-]cc1-2 ZINC000528104659 303003793 /nfs/dbraw/zinc/00/37/93/303003793.db2.gz OSQYLVOXKVZIOR-ADEWGFFLSA-N -1 1 313.748 1.836 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@H]1CCCO1)c1cc(Cl)ccc1F ZINC000528483574 303032788 /nfs/dbraw/zinc/03/27/88/303032788.db2.gz GSEMYJORGYDAAY-WDEREUQCSA-N -1 1 323.773 1.297 20 0 DDADMM NC(=O)c1ccc(CN2CCc3occc3[C@@H]2C(=O)[O-])cc1 ZINC000530164775 303177703 /nfs/dbraw/zinc/17/77/03/303177703.db2.gz MJVKQSLDZRRZHK-CQSZACIVSA-N -1 1 300.314 1.563 20 0 DDADMM CCOC[C@H]1CN(CC[C@H](C(=O)[O-])c2ccccc2)CCO1 ZINC000530173037 303179472 /nfs/dbraw/zinc/17/94/72/303179472.db2.gz SAHIPNKWZZSLOT-CVEARBPZSA-N -1 1 307.390 1.982 20 0 DDADMM Cc1nc(CC2CC2)oc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000530559888 303203639 /nfs/dbraw/zinc/20/36/39/303203639.db2.gz OZMXFKLFZAOYAO-LLVKDONJSA-N -1 1 316.365 1.468 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1CC(=O)c2ccccc21 ZINC000530560984 303203683 /nfs/dbraw/zinc/20/36/83/303203683.db2.gz JYDWCHWAHIWINU-GFCCVEGCSA-N -1 1 311.345 1.455 20 0 DDADMM C[C@@H](Cc1ccncc1)NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000531772954 303255556 /nfs/dbraw/zinc/25/55/56/303255556.db2.gz YHIJQNYILDUDMG-JTQLQIEISA-N -1 1 302.403 1.895 20 0 DDADMM CC(C)CCn1ccc([N-]S(=O)(=O)N(C)CC2CC2)n1 ZINC000532205931 303278838 /nfs/dbraw/zinc/27/88/38/303278838.db2.gz MTYIXXFTSDZKOF-UHFFFAOYSA-N -1 1 300.428 1.928 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(C(=O)Cc2n[nH]c3ccccc32)C1 ZINC000532875848 303300270 /nfs/dbraw/zinc/30/02/70/303300270.db2.gz UHKASHLRISHIAA-NSHDSACASA-N -1 1 301.346 1.819 20 0 DDADMM O=C(NCc1noc(C2CC2)n1)c1csc(=NC2CC2)[n-]1 ZINC000536548955 303362666 /nfs/dbraw/zinc/36/26/66/303362666.db2.gz TZHRNZAIFADTRN-UHFFFAOYSA-N -1 1 305.363 1.330 20 0 DDADMM Cc1cc(C)cc(OCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000539328031 303395064 /nfs/dbraw/zinc/39/50/64/303395064.db2.gz NUULVFAFAOQDAK-ZDUSSCGKSA-N -1 1 315.377 1.602 20 0 DDADMM Cc1nc(SCC(=O)N(C)Cc2cnn(C)c2)[n-]c(=O)c1C ZINC000540714560 303407413 /nfs/dbraw/zinc/40/74/13/303407413.db2.gz WOEOVFRGTARORS-UHFFFAOYSA-N -1 1 321.406 1.283 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1F ZINC000371296569 307146719 /nfs/dbraw/zinc/14/67/19/307146719.db2.gz AKSJLQCDWVDWOF-SNVBAGLBSA-N -1 1 305.313 1.367 20 0 DDADMM Cn1[n-]cc2/c(=N/C(=O)N3CCC34CCOCC4)ccnc1-2 ZINC000376626599 307250326 /nfs/dbraw/zinc/25/03/26/307250326.db2.gz CWSISLATEFZESC-LDADJPATSA-N -1 1 301.350 1.129 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)Cc1ccncc1 ZINC000531524075 307618304 /nfs/dbraw/zinc/61/83/04/307618304.db2.gz PRVWWVOOZYTPEB-SECBINFHSA-N -1 1 318.402 1.969 20 0 DDADMM C[C@@H](CCc1ccccc1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000542769227 307700683 /nfs/dbraw/zinc/70/06/83/307700683.db2.gz OLYITFIVOWVELV-NSHDSACASA-N -1 1 311.345 1.169 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cc(C)sc2C)[n-]n1C ZINC000562311698 307933875 /nfs/dbraw/zinc/93/38/75/307933875.db2.gz MIDWTDHXQXAIKU-UHFFFAOYSA-N -1 1 301.393 1.330 20 0 DDADMM CC(C)CN1CCO[C@H](CNC(=O)c2cccc(C(=O)[O-])c2)C1 ZINC000564954694 308014756 /nfs/dbraw/zinc/01/47/56/308014756.db2.gz QHLZJPGOHLMZLW-OAHLLOKOSA-N -1 1 320.389 1.471 20 0 DDADMM O=C(c1cnc2n1CCCC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000566064593 308042036 /nfs/dbraw/zinc/04/20/36/308042036.db2.gz NEQMRCXHLVRTKH-JTQLQIEISA-N -1 1 317.349 1.328 20 0 DDADMM Cc1cccc2c1C[C@@H](C(=O)N1CCC[C@H](c3nn[n-]n3)C1)O2 ZINC000566837413 308064437 /nfs/dbraw/zinc/06/44/37/308064437.db2.gz XXHBKPLWPKZYDI-FZMZJTMJSA-N -1 1 313.361 1.218 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@@H]1CCC(=O)C1 ZINC000567949342 308101990 /nfs/dbraw/zinc/10/19/90/308101990.db2.gz ZSPBWKWWECBHMT-MRVPVSSYSA-N -1 1 314.338 1.505 20 0 DDADMM COC(=O)C[C@H](C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000570688384 308177069 /nfs/dbraw/zinc/17/70/69/308177069.db2.gz XBPOXBBGRVCTMS-ZETCQYMHSA-N -1 1 309.746 1.709 20 0 DDADMM COCCOc1ccc(CNC(=O)c2c([O-])cccc2F)nn1 ZINC000572255185 308215674 /nfs/dbraw/zinc/21/56/74/308215674.db2.gz FEIIJSNDGBCNTQ-UHFFFAOYSA-N -1 1 321.308 1.277 20 0 DDADMM C[C@]1(c2ccccc2)CCC[N@@H+](CC(=O)Nc2nnn[n-]2)CC1 ZINC000573454496 308241316 /nfs/dbraw/zinc/24/13/16/308241316.db2.gz XMOCPVKDHLULEO-INIZCTEOSA-N -1 1 314.393 1.582 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N[C@@H]1CC12CC2 ZINC000573678282 308248509 /nfs/dbraw/zinc/24/85/09/308248509.db2.gz UJHIYHXIYXLWSW-CYBMUJFWSA-N -1 1 310.357 1.764 20 0 DDADMM O=C(CSc1nnnn1CC(F)(F)F)c1ccc([O-])cc1 ZINC000578613415 308472608 /nfs/dbraw/zinc/47/26/08/308472608.db2.gz IOFHTXXRZCZGCE-UHFFFAOYSA-N -1 1 318.280 1.916 20 0 DDADMM CC(C)N(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H]1CCOC1 ZINC000579540665 308538335 /nfs/dbraw/zinc/53/83/35/308538335.db2.gz GULBSXOJZFPEKD-LLVKDONJSA-N -1 1 301.346 1.563 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1n[nH]cc1Br)OC ZINC000581887811 325930748 /nfs/dbraw/zinc/93/07/48/325930748.db2.gz DZGMLUQEAKAKQU-LURJTMIESA-N -1 1 312.189 1.339 20 0 DDADMM Cc1ccc(CC[C@H](C)NS(=O)(=O)c2c(C)o[n-]c2=N)o1 ZINC000582454190 337055728 /nfs/dbraw/zinc/05/57/28/337055728.db2.gz PYLVPVDQFAWISY-QMMMGPOBSA-N -1 1 313.379 1.597 20 0 DDADMM Cc1ccc(CC[C@H](C)[N-]S(=O)(=O)c2c(C)onc2N)o1 ZINC000582454190 337055729 /nfs/dbraw/zinc/05/57/29/337055729.db2.gz PYLVPVDQFAWISY-QMMMGPOBSA-N -1 1 313.379 1.597 20 0 DDADMM C[C@@H]1C[N@H+](CCCN=c2[n-]c(C(F)(F)F)ns2)C[C@H](C)O1 ZINC000582760003 337136971 /nfs/dbraw/zinc/13/69/71/337136971.db2.gz DGPTUQARXMKDBX-DTORHVGOSA-N -1 1 324.372 1.890 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2cncn2C)c(=O)[n-]1 ZINC000584560789 337361221 /nfs/dbraw/zinc/36/12/21/337361221.db2.gz BRMJRENGEWMCSV-UHFFFAOYSA-N -1 1 321.406 1.195 20 0 DDADMM O=S(=O)([N-]CC1CCC(O)CC1)c1cc(F)ccc1F ZINC000227652632 484163256 /nfs/dbraw/zinc/16/32/56/484163256.db2.gz MJYUGULQIHUPHD-UHFFFAOYSA-N -1 1 305.346 1.794 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(C)cc1O)[C@H]1CCOC1 ZINC000656614764 484244789 /nfs/dbraw/zinc/24/47/89/484244789.db2.gz OIOXJFDIBWBBDS-SMDDNHRTSA-N -1 1 315.391 1.494 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCC(CCC(=O)[O-])CC1 ZINC000320636801 484403146 /nfs/dbraw/zinc/40/31/46/484403146.db2.gz LTMFIEWOPVQTJA-UHFFFAOYSA-N -1 1 322.409 1.937 20 0 DDADMM O=C([O-])C[C@H]1CCCCN1CC(=O)Nc1ccc2c(c1)OCO2 ZINC000320976570 484404274 /nfs/dbraw/zinc/40/42/74/484404274.db2.gz KVQLJQMNTCYBAU-GFCCVEGCSA-N -1 1 320.345 1.683 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)c1cc(=O)n(C)[n-]1)c1ccco1 ZINC000667355711 484566165 /nfs/dbraw/zinc/56/61/65/484566165.db2.gz BOJXYEUDMKTCFF-LBPRGKRZSA-N -1 1 306.366 1.532 20 0 DDADMM Cc1cc(C2CCN(C(=O)[C@]3(C(=O)[O-])CC3(C)C)CC2)n[nH]1 ZINC000663052385 484630598 /nfs/dbraw/zinc/63/05/98/484630598.db2.gz SHXKLYFDMNYLCA-INIZCTEOSA-N -1 1 305.378 1.925 20 0 DDADMM C[C@@H](CNC(=O)C1(C(=O)[O-])CCC1)N1CCc2ccccc2C1 ZINC000663094596 484659535 /nfs/dbraw/zinc/65/95/35/484659535.db2.gz DAWYXAMICGFNBL-ZDUSSCGKSA-N -1 1 316.401 1.804 20 0 DDADMM CC(C)N1C[C@H](NC(=O)c2ncc3ccccc3c2[O-])CC1=O ZINC000667876371 484833768 /nfs/dbraw/zinc/83/37/68/484833768.db2.gz JSWJCXNCDYTOLS-GFCCVEGCSA-N -1 1 313.357 1.680 20 0 DDADMM Cn1cc(C[N-]S(=O)(=O)c2cc(Cl)ccc2F)nn1 ZINC000671180330 484965092 /nfs/dbraw/zinc/96/50/92/484965092.db2.gz QRZSMHMGJZIOEL-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM C[C@H](CS(C)(=O)=O)N(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000668630820 485248196 /nfs/dbraw/zinc/24/81/96/485248196.db2.gz JERSYRKGIYMLHW-SNVBAGLBSA-N -1 1 322.386 1.446 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2ccc([O-])c(F)c2)CCCC1 ZINC000672284409 485275218 /nfs/dbraw/zinc/27/52/18/485275218.db2.gz HAHGTSUFMDZUJK-UHFFFAOYSA-N -1 1 315.366 1.619 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000668739795 485304417 /nfs/dbraw/zinc/30/44/17/485304417.db2.gz PWXZDJNEJXQHCK-SNVBAGLBSA-N -1 1 322.386 1.493 20 0 DDADMM COCc1csc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000668869644 485345812 /nfs/dbraw/zinc/34/58/12/485345812.db2.gz SFLPIBKYYLFXNL-UHFFFAOYSA-N -1 1 307.379 1.607 20 0 DDADMM O=c1nc(NC[C@@H](CO)c2ccc(Cl)cc2)nc2[nH][n-]cc1-2 ZINC000673477189 485416695 /nfs/dbraw/zinc/41/66/95/485416695.db2.gz LYTMIRDAEHALHK-VIFPVBQESA-N -1 1 319.752 1.273 20 0 DDADMM CN1CCN(C(=O)c2ccc(C(=O)[O-])nc2)C2(CCCCC2)C1 ZINC000674349113 485608475 /nfs/dbraw/zinc/60/84/75/485608475.db2.gz XIPOGEAZRYZOBQ-UHFFFAOYSA-N -1 1 317.389 1.870 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CC[C@H](O)C2)c(F)c1 ZINC000682726331 485759857 /nfs/dbraw/zinc/75/98/57/485759857.db2.gz TYTOGRAFSUAVHY-IUCAKERBSA-N -1 1 321.345 1.413 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C)[C@@H](F)C2)o1 ZINC000679253424 485822678 /nfs/dbraw/zinc/82/26/78/485822678.db2.gz SXYWLKOKIQEFFF-IUCAKERBSA-N -1 1 304.343 1.008 20 0 DDADMM CCO[C@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C(C)C ZINC000675515968 485965587 /nfs/dbraw/zinc/96/55/87/485965587.db2.gz SHETWIXJOTUACC-HIFRSBDPSA-N -1 1 317.393 1.857 20 0 DDADMM CC(C)(C)C(=O)Cn1cc(C(=O)Nc2c([O-])cccc2F)nn1 ZINC000675601398 485989037 /nfs/dbraw/zinc/98/90/37/485989037.db2.gz WFUYARYRRUTOSF-UHFFFAOYSA-N -1 1 320.324 1.990 20 0 DDADMM Cc1cnc(C(=O)N2CSC[C@H]2C(=O)NCC2CC2)c([O-])c1 ZINC000680845255 486280517 /nfs/dbraw/zinc/28/05/17/486280517.db2.gz XRBJFUMQIISUHG-NSHDSACASA-N -1 1 321.402 1.137 20 0 DDADMM CNC(=O)c1cccc(CNC(=O)c2ccc([O-])c(F)c2)c1 ZINC000681019262 486325155 /nfs/dbraw/zinc/32/51/55/486325155.db2.gz VNRPEVLMPVZHPT-UHFFFAOYSA-N -1 1 302.305 1.821 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCNC(=O)[C@@H]1c1ccccc1 ZINC000681038022 486328585 /nfs/dbraw/zinc/32/85/85/486328585.db2.gz UOOARVVTOZTEDY-HNNXBMFYSA-N -1 1 314.316 1.845 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC(C)(C)CF ZINC000681207654 486373031 /nfs/dbraw/zinc/37/30/31/486373031.db2.gz PVHMGEPOFLQNSU-UHFFFAOYSA-N -1 1 308.357 1.849 20 0 DDADMM CN(CCN(C)C(=O)c1c(F)ccc([O-])c1F)CC(F)F ZINC000685471450 486546226 /nfs/dbraw/zinc/54/62/26/486546226.db2.gz GVGGSLCSVJUWTJ-UHFFFAOYSA-N -1 1 308.275 1.939 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)Cc3ccnn3C)[nH][n-]2)s1 ZINC000444821938 534166208 /nfs/dbraw/zinc/16/62/08/534166208.db2.gz DYZQIQXNXMWZCV-UHFFFAOYSA-N -1 1 301.375 1.783 20 0 DDADMM Cc1cnc(C(=O)NCCCc2nc(C(C)C)no2)c([O-])c1 ZINC000494823160 534527571 /nfs/dbraw/zinc/52/75/71/534527571.db2.gz WZWAEYYGJZSRBV-UHFFFAOYSA-N -1 1 304.350 1.965 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCc2ccc(F)cc21 ZINC000337941763 526872605 /nfs/dbraw/zinc/87/26/05/526872605.db2.gz NCHBUTOWECLQIX-UHFFFAOYSA-N -1 1 315.304 1.245 20 0 DDADMM CC(C)(NC(=O)C=Cc1ccnc2ccccc12)c1nn[n-]n1 ZINC000492071956 527262033 /nfs/dbraw/zinc/26/20/33/527262033.db2.gz RDYXDXZSKRCQTA-BQYQJAHWSA-N -1 1 308.345 1.813 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)[nH]n1 ZINC000331811506 528018199 /nfs/dbraw/zinc/01/81/99/528018199.db2.gz LECUYVWFSJXDFZ-SECBINFHSA-N -1 1 305.338 1.642 20 0 DDADMM CCC[C@H](NC(=O)C1CN(C(=O)OC(C)(C)C)C1)c1nn[n-]n1 ZINC000495296253 528174094 /nfs/dbraw/zinc/17/40/94/528174094.db2.gz DEASORROSNRGQV-JTQLQIEISA-N -1 1 324.385 1.024 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](CC)[C@H](O)CC)o1 ZINC000451977318 528243406 /nfs/dbraw/zinc/24/34/06/528243406.db2.gz NZZVHCFJKWGYCP-NXEZZACHSA-N -1 1 319.379 1.284 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc(OC)cc1F)c1nn[n-]n1 ZINC000444235600 528974801 /nfs/dbraw/zinc/97/48/01/528974801.db2.gz YCPLVDKYGDRMRD-GFCCVEGCSA-N -1 1 307.329 1.548 20 0 DDADMM CC(C)NC(=O)[C@@H]1CCC[C@H](N=c2[n-]c(C(N)=O)cs2)C1 ZINC000432315752 529146299 /nfs/dbraw/zinc/14/62/99/529146299.db2.gz WHFJJTOEKGVFQP-ZJUUUORDSA-N -1 1 310.423 1.159 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)CCC(C)(C)S(C)(=O)=O)C(F)F ZINC000416486886 529191766 /nfs/dbraw/zinc/19/17/66/529191766.db2.gz HOOMHKVHMGVAAO-MRVPVSSYSA-N -1 1 321.411 1.163 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc2oc(=O)[nH]c2cc1F)C(F)F ZINC000414448901 529195557 /nfs/dbraw/zinc/19/55/57/529195557.db2.gz AAUNNGHZSWDLKS-ZCFIWIBFSA-N -1 1 324.280 1.582 20 0 DDADMM CC[C@H]1CCC[C@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000294911706 529232584 /nfs/dbraw/zinc/23/25/84/529232584.db2.gz JCZQESVKKURNQY-GXSJLCMTSA-N -1 1 312.391 1.804 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cnn(-c2ccccc2)c1C ZINC000193335935 538549827 /nfs/dbraw/zinc/54/98/27/538549827.db2.gz OXDWHJNSWIVWDH-NSHDSACASA-N -1 1 309.391 1.957 20 0 DDADMM CC(C)N1CC[C@@H](Nc2ccc(Cl)c(-c3nnn[n-]3)n2)C1=O ZINC000735882280 598944243 /nfs/dbraw/zinc/94/42/43/598944243.db2.gz OSEFPXAQTLLNLE-SECBINFHSA-N -1 1 321.772 1.336 20 0 DDADMM CC(C)N1CC[C@@H](Nc2ccc(Cl)c(-c3nn[n-]n3)n2)C1=O ZINC000735882280 598944246 /nfs/dbraw/zinc/94/42/46/598944246.db2.gz OSEFPXAQTLLNLE-SECBINFHSA-N -1 1 321.772 1.336 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccccc1 ZINC000736020075 598983480 /nfs/dbraw/zinc/98/34/80/598983480.db2.gz LYTOCVAQGOWXDA-NSHDSACASA-N -1 1 308.345 1.795 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccccc1 ZINC000736020075 598983482 /nfs/dbraw/zinc/98/34/82/598983482.db2.gz LYTOCVAQGOWXDA-NSHDSACASA-N -1 1 308.345 1.795 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@H](c2cc[nH]n2)C1 ZINC000738259885 599025992 /nfs/dbraw/zinc/02/59/92/599025992.db2.gz XFBDVFWQMFWAFI-NSHDSACASA-N -1 1 324.348 1.005 20 0 DDADMM Cc1ccc2c(c1)C(=O)N(C)[C@@H](c1ccc(-c3nnn[n-]3)o1)N2 ZINC000822274810 599118574 /nfs/dbraw/zinc/11/85/74/599118574.db2.gz JVJCGQUISVBQTK-AWEZNQCLSA-N -1 1 310.317 1.964 20 0 DDADMM Cc1ccc2c(c1)C(=O)N(C)[C@@H](c1ccc(-c3nn[n-]n3)o1)N2 ZINC000822274810 599118576 /nfs/dbraw/zinc/11/85/76/599118576.db2.gz JVJCGQUISVBQTK-AWEZNQCLSA-N -1 1 310.317 1.964 20 0 DDADMM O=C(OCc1cn2ccccc2n1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738233755 599137696 /nfs/dbraw/zinc/13/76/96/599137696.db2.gz GSQRNDMIQPKGMP-UHFFFAOYSA-N -1 1 321.300 1.266 20 0 DDADMM O=C(OCc1cn2ccccc2n1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738233755 599137699 /nfs/dbraw/zinc/13/76/99/599137699.db2.gz GSQRNDMIQPKGMP-UHFFFAOYSA-N -1 1 321.300 1.266 20 0 DDADMM CC1(C)CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CCCO1 ZINC000736214180 599230748 /nfs/dbraw/zinc/23/07/48/599230748.db2.gz OOTOKHKQQVYCLA-UHFFFAOYSA-N -1 1 308.773 1.920 20 0 DDADMM CC1(C)CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CCCO1 ZINC000736214180 599230749 /nfs/dbraw/zinc/23/07/49/599230749.db2.gz OOTOKHKQQVYCLA-UHFFFAOYSA-N -1 1 308.773 1.920 20 0 DDADMM Cc1ccccc1C[N@H+]1CCCN(C(=O)NCCC(=O)[O-])CC1 ZINC000818958677 597227198 /nfs/dbraw/zinc/22/71/98/597227198.db2.gz BGQQVDGWMIAAFK-UHFFFAOYSA-N -1 1 319.405 1.687 20 0 DDADMM O=C(CNc1cc(-c2nnn[n-]2)nc2ccccc21)NC1CC1 ZINC000823009480 607304254 /nfs/dbraw/zinc/30/42/54/607304254.db2.gz KEGPGTPPDMEWPA-UHFFFAOYSA-N -1 1 309.333 1.105 20 0 DDADMM O=C(CNc1cc(-c2nn[n-]n2)nc2ccccc21)NC1CC1 ZINC000823009480 607304255 /nfs/dbraw/zinc/30/42/55/607304255.db2.gz KEGPGTPPDMEWPA-UHFFFAOYSA-N -1 1 309.333 1.105 20 0 DDADMM c1ccc2sc(CNc3nccnc3-c3nn[n-]n3)nc2c1 ZINC000738440795 598338711 /nfs/dbraw/zinc/33/87/11/598338711.db2.gz JCTUXXYZOPPJOR-UHFFFAOYSA-N -1 1 310.346 1.879 20 0 DDADMM c1ccc2sc(CNc3nccnc3-c3nnn[n-]3)nc2c1 ZINC000738440795 598338709 /nfs/dbraw/zinc/33/87/09/598338709.db2.gz JCTUXXYZOPPJOR-UHFFFAOYSA-N -1 1 310.346 1.879 20 0 DDADMM Cc1cccc(OCCN(C)c2nccnc2-c2nnn[n-]2)c1 ZINC000737411187 598339250 /nfs/dbraw/zinc/33/92/50/598339250.db2.gz KUXZTWJRJIZOPD-UHFFFAOYSA-N -1 1 311.349 1.480 20 0 DDADMM Cc1cccc(OCCN(C)c2nccnc2-c2nn[n-]n2)c1 ZINC000737411187 598339252 /nfs/dbraw/zinc/33/92/52/598339252.db2.gz KUXZTWJRJIZOPD-UHFFFAOYSA-N -1 1 311.349 1.480 20 0 DDADMM c1nc(CNc2nccnc2-c2nnn[n-]2)oc1-c1ccccc1 ZINC000738420293 598343748 /nfs/dbraw/zinc/34/37/48/598343748.db2.gz CNBXOKSTEFJTEO-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM c1nc(CNc2nccnc2-c2nn[n-]n2)oc1-c1ccccc1 ZINC000738420293 598343750 /nfs/dbraw/zinc/34/37/50/598343750.db2.gz CNBXOKSTEFJTEO-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM CN(Cc1ccc(F)cc1F)c1cnc(-c2nnn[n-]2)cn1 ZINC000736819120 598385397 /nfs/dbraw/zinc/38/53/97/598385397.db2.gz IFYAXDSUDWTIAT-UHFFFAOYSA-N -1 1 303.276 1.571 20 0 DDADMM CN(Cc1ccc(F)cc1F)c1cnc(-c2nn[n-]n2)cn1 ZINC000736819120 598385400 /nfs/dbraw/zinc/38/54/00/598385400.db2.gz IFYAXDSUDWTIAT-UHFFFAOYSA-N -1 1 303.276 1.571 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1coc(-c2ccccc2)n1 ZINC000738371843 598677677 /nfs/dbraw/zinc/67/76/77/598677677.db2.gz KMBRKRPZGXDEDD-UHFFFAOYSA-N -1 1 320.312 1.732 20 0 DDADMM C[C@]1(CNc2snc(Cl)c2-c2nnn[n-]2)CCOC1 ZINC000736217021 598816859 /nfs/dbraw/zinc/81/68/59/598816859.db2.gz PUMTZVCXRXPGHJ-SNVBAGLBSA-N -1 1 300.775 1.815 20 0 DDADMM C[C@]1(CNc2snc(Cl)c2-c2nn[n-]n2)CCOC1 ZINC000736217021 598816861 /nfs/dbraw/zinc/81/68/61/598816861.db2.gz PUMTZVCXRXPGHJ-SNVBAGLBSA-N -1 1 300.775 1.815 20 0 DDADMM c1cc(-c2nnn[n-]2)oc1CNc1cccc(Cn2cncn2)c1 ZINC000823751576 599440362 /nfs/dbraw/zinc/44/03/62/599440362.db2.gz MHXQNRLOIONSDF-UHFFFAOYSA-N -1 1 322.332 1.712 20 0 DDADMM c1cc(-c2nn[n-]n2)oc1CNc1cccc(Cn2cncn2)c1 ZINC000823751576 599440365 /nfs/dbraw/zinc/44/03/65/599440365.db2.gz MHXQNRLOIONSDF-UHFFFAOYSA-N -1 1 322.332 1.712 20 0 DDADMM Cc1noc(CCNc2cc(-c3nnn[n-]3)nc3ccccc32)n1 ZINC000822528138 599506497 /nfs/dbraw/zinc/50/64/97/599506497.db2.gz UQSLARUGSDYCGK-UHFFFAOYSA-N -1 1 322.332 1.761 20 0 DDADMM Cc1noc(CCNc2cc(-c3nn[n-]n3)nc3ccccc32)n1 ZINC000822528138 599506500 /nfs/dbraw/zinc/50/65/00/599506500.db2.gz UQSLARUGSDYCGK-UHFFFAOYSA-N -1 1 322.332 1.761 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1nccs1 ZINC000736362886 599552009 /nfs/dbraw/zinc/55/20/09/599552009.db2.gz KOAOPKGTOHTCQM-SECBINFHSA-N -1 1 315.362 1.599 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1nccs1 ZINC000736362886 599552010 /nfs/dbraw/zinc/55/20/10/599552010.db2.gz KOAOPKGTOHTCQM-SECBINFHSA-N -1 1 315.362 1.599 20 0 DDADMM C[C@H](Cc1ccccc1F)C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000736067200 599603822 /nfs/dbraw/zinc/60/38/22/599603822.db2.gz VOASRYPEIUYIHL-MRVPVSSYSA-N -1 1 315.312 1.304 20 0 DDADMM CCc1noc([C@H](C)OC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000821553952 599661599 /nfs/dbraw/zinc/66/15/99/599661599.db2.gz MVPPDWQMKOQJMX-ZETCQYMHSA-N -1 1 315.293 1.125 20 0 DDADMM CCc1noc([C@H](C)OC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000821553952 599661598 /nfs/dbraw/zinc/66/15/98/599661598.db2.gz MVPPDWQMKOQJMX-ZETCQYMHSA-N -1 1 315.293 1.125 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2CCCC[C@H]2[N@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC000739559332 599714182 /nfs/dbraw/zinc/71/41/82/599714182.db2.gz AYLQWKOHZGKSLD-UHTWSYAYSA-N -1 1 316.361 1.240 20 0 DDADMM CN(C)[C@@H](CNC(=O)CCCN(C)C(=O)[O-])c1ccsc1 ZINC000737420815 599731360 /nfs/dbraw/zinc/73/13/60/599731360.db2.gz AGPSPSVDEYNJLC-LBPRGKRZSA-N -1 1 313.423 1.857 20 0 DDADMM CCOc1ccc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000314802859 599776905 /nfs/dbraw/zinc/77/69/05/599776905.db2.gz SYXMEGDNWYSYML-ZDUSSCGKSA-N -1 1 303.318 1.234 20 0 DDADMM O=C([O-])CCN(CC(=O)NC[C@H]1CCCO1)Cc1ccccc1 ZINC000692453857 599812647 /nfs/dbraw/zinc/81/26/47/599812647.db2.gz FTVFJDVUVMCFNQ-OAHLLOKOSA-N -1 1 320.389 1.259 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)[C@H]2CCCCO2)n1 ZINC000819971409 607403197 /nfs/dbraw/zinc/40/31/97/607403197.db2.gz KHCURCCTRFPXBQ-SNVBAGLBSA-N -1 1 319.369 1.326 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)[C@H]2CCCCO2)n1 ZINC000819971409 607403199 /nfs/dbraw/zinc/40/31/99/607403199.db2.gz KHCURCCTRFPXBQ-SNVBAGLBSA-N -1 1 319.369 1.326 20 0 DDADMM CCC[C@](C)(NCC(=O)NCc1ccccc1OC)C(=O)[O-] ZINC000386472794 600153760 /nfs/dbraw/zinc/15/37/60/600153760.db2.gz KOLBHTWIYKUZMN-INIZCTEOSA-N -1 1 308.378 1.544 20 0 DDADMM CN(C)Cc1cc(CNC(=O)N2CC[C@@H](C(=O)[O-])C2)ccc1F ZINC000737368928 600190162 /nfs/dbraw/zinc/19/01/62/600190162.db2.gz XAUWEWYVWZPZDX-GFCCVEGCSA-N -1 1 323.368 1.503 20 0 DDADMM CN(C(=O)CN1CCC2(C[C@H]2C(=O)[O-])CC1)c1ccccc1 ZINC000405461614 600296944 /nfs/dbraw/zinc/29/69/44/600296944.db2.gz XHISRRVUFBCAGF-AWEZNQCLSA-N -1 1 302.374 1.836 20 0 DDADMM CC(=O)c1[nH]c(CC(=O)NCc2cc(C)[nH]n2)c(C(=O)[O-])c1C ZINC000736177684 600635693 /nfs/dbraw/zinc/63/56/93/600635693.db2.gz OAXQTGHPHGUSMG-UHFFFAOYSA-N -1 1 318.333 1.114 20 0 DDADMM C[C@@H](NC(=O)c1ccccc1S[C@H](C)C(=O)[O-])c1nnc[nH]1 ZINC000827916477 600833802 /nfs/dbraw/zinc/83/38/02/600833802.db2.gz TVMFCHHRFSPYOP-RKDXNWHRSA-N -1 1 320.374 1.861 20 0 DDADMM Cc1nnc(SCCC(=O)N(C[C@H](C)C(=O)[O-])C2CC2)[nH]1 ZINC000833021093 600923534 /nfs/dbraw/zinc/92/35/34/600923534.db2.gz HEWLLEBULCQZKJ-QMMMGPOBSA-N -1 1 312.395 1.307 20 0 DDADMM Cc1[nH]c(C(=O)[C@@H](C)N2CC[C@@H](CCO)C2)c(C)c1C(=O)[O-] ZINC000738237814 600970703 /nfs/dbraw/zinc/97/07/03/600970703.db2.gz XHBYNXWUPAEGPU-NEPJUHHUSA-N -1 1 308.378 1.605 20 0 DDADMM CC[C@H](O)CN1CCN([C@@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000829004379 601012467 /nfs/dbraw/zinc/01/24/67/601012467.db2.gz HCLRJGQUJUKWBO-SWLSCSKDSA-N -1 1 310.369 1.340 20 0 DDADMM C[C@@H](O)CCN(C)CC(=O)NCc1ccc(NC(=O)[O-])cc1 ZINC000827795767 601018071 /nfs/dbraw/zinc/01/80/71/601018071.db2.gz BKDBFIXBOBGKES-LLVKDONJSA-N -1 1 309.366 1.095 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1Cc1cc(=O)n2ccccc2n1 ZINC000316684304 601020919 /nfs/dbraw/zinc/02/09/19/601020919.db2.gz AGDMYIPEYXZCDW-LBPRGKRZSA-N -1 1 319.386 1.087 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2c[nH]c3ncccc23)CC1 ZINC000827373936 601101335 /nfs/dbraw/zinc/10/13/35/601101335.db2.gz BWUARMMICMTWJV-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM C[C@@H]1CCN(CC(=O)NCCC(=O)[O-])[C@H]1c1cccc(F)c1 ZINC000828284899 601117933 /nfs/dbraw/zinc/11/79/33/601117933.db2.gz JIHMGZWCIUXTSG-BDJLRTHQSA-N -1 1 308.353 1.800 20 0 DDADMM Cc1oc(S(=O)(=O)N[C@@H]2CCN(C)[C@@H](C)C2)cc1C(=O)[O-] ZINC000833028237 601223559 /nfs/dbraw/zinc/22/35/59/601223559.db2.gz LYYDXVGYQMARAX-WCBMZHEXSA-N -1 1 316.379 1.047 20 0 DDADMM CC(C)c1ncc(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)s1 ZINC000820206644 607499208 /nfs/dbraw/zinc/49/92/08/607499208.db2.gz UGOGKUJFVZKSEO-UHFFFAOYSA-N -1 1 318.366 1.432 20 0 DDADMM CC(C)c1ncc(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)s1 ZINC000820206644 607499210 /nfs/dbraw/zinc/49/92/10/607499210.db2.gz UGOGKUJFVZKSEO-UHFFFAOYSA-N -1 1 318.366 1.432 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)c1ccnc(C(=O)[O-])c1 ZINC000317118593 601288068 /nfs/dbraw/zinc/28/80/68/601288068.db2.gz ZTKMSDKSFGSNLL-AAEUAGOBSA-N -1 1 303.362 1.479 20 0 DDADMM CCOC[C@@H]1CCN(CC(=O)Nc2ccccc2C(=O)[O-])C1 ZINC000829990354 601378365 /nfs/dbraw/zinc/37/83/65/601378365.db2.gz XCGMTXGMZBGVOH-GFCCVEGCSA-N -1 1 306.362 1.682 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCO[C@@H](c2n[nH]c(C)n2)C1 ZINC000830374352 601422902 /nfs/dbraw/zinc/42/29/02/601422902.db2.gz DAXXDXBSIJJQOQ-CYBMUJFWSA-N -1 1 320.349 1.540 20 0 DDADMM C[C@H](C(=O)OC(C)(C)C)N(C)Cc1ccnc(-c2nnn[n-]2)c1 ZINC000824051764 607509901 /nfs/dbraw/zinc/50/99/01/607509901.db2.gz DGQHWXWRWNBOLF-SNVBAGLBSA-N -1 1 318.381 1.424 20 0 DDADMM C[C@H](C(=O)OC(C)(C)C)N(C)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000824051764 607509902 /nfs/dbraw/zinc/50/99/02/607509902.db2.gz DGQHWXWRWNBOLF-SNVBAGLBSA-N -1 1 318.381 1.424 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN2C[C@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000831399512 601521875 /nfs/dbraw/zinc/52/18/75/601521875.db2.gz SEDGWKLRWVKLRO-GXFFZTMASA-N -1 1 320.345 1.064 20 0 DDADMM CC(C)OC(=O)[C@H](C)N(C)Cc1ccnc(-c2nnn[n-]2)c1 ZINC000824304548 607514245 /nfs/dbraw/zinc/51/42/45/607514245.db2.gz AKOBOLYBMJSJCO-JTQLQIEISA-N -1 1 304.354 1.034 20 0 DDADMM CC(C)OC(=O)[C@H](C)N(C)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000824304548 607514246 /nfs/dbraw/zinc/51/42/46/607514246.db2.gz AKOBOLYBMJSJCO-JTQLQIEISA-N -1 1 304.354 1.034 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C(=O)c2cc(-c3ccccc3)[nH]n2)C1 ZINC000262877263 601658211 /nfs/dbraw/zinc/65/82/11/601658211.db2.gz YMDNNSCCPAHDNC-HNNXBMFYSA-N -1 1 303.293 1.716 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](c2ccccc2)[C@H](C)C(=O)[O-])[nH]n1 ZINC000832883989 601689197 /nfs/dbraw/zinc/68/91/97/601689197.db2.gz RUXKQWJTYYVTFZ-XHDPSFHLSA-N -1 1 301.346 1.839 20 0 DDADMM C[C@H]1CN(C(=O)Nc2cnn(-c3ccncc3)c2)C[C@@H]1C(=O)[O-] ZINC000828388071 601795585 /nfs/dbraw/zinc/79/55/85/601795585.db2.gz VJEBNNFPOKCAHD-GWCFXTLKSA-N -1 1 315.333 1.452 20 0 DDADMM O=C([O-])c1sccc1CN1CCN(C[C@@H]2CCCO2)CC1 ZINC000833348348 601805277 /nfs/dbraw/zinc/80/52/77/601805277.db2.gz IDROXEDNBSUVNZ-ZDUSSCGKSA-N -1 1 310.419 1.743 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN[C@H](C(=O)[O-])C(C)C)cc1 ZINC000818522070 601822727 /nfs/dbraw/zinc/82/27/27/601822727.db2.gz TUWJURXVKXUKQT-ZDUSSCGKSA-N -1 1 308.334 1.111 20 0 DDADMM CN(C[C@@H]1CCCN(CC(=O)NC2CCCCC2)C1)C(=O)[O-] ZINC000739636112 601855412 /nfs/dbraw/zinc/85/54/12/601855412.db2.gz HXUOYEWNPUSTMZ-ZDUSSCGKSA-N -1 1 311.426 1.757 20 0 DDADMM O=C([O-])N1CCC[C@@H](CNC(=O)c2cc(F)cc3nc[nH]c32)C1 ZINC000740415218 601865764 /nfs/dbraw/zinc/86/57/64/601865764.db2.gz MJCGSNDYIGEWKR-VIFPVBQESA-N -1 1 320.324 1.822 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(C[C@H](O)c2cc(F)ccc2F)C1 ZINC000740643785 601940290 /nfs/dbraw/zinc/94/02/90/601940290.db2.gz QTPZVWBAJPGHPS-ZANVPECISA-N -1 1 300.305 1.588 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000739012538 602018156 /nfs/dbraw/zinc/01/81/56/602018156.db2.gz UZZSBLMQKPJURX-ZANVPECISA-N -1 1 317.349 1.609 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@@H]1CCCc2[nH]ncc21)c1ccccc1 ZINC000833162945 602042720 /nfs/dbraw/zinc/04/27/20/602042720.db2.gz BCAZJRZWSZOWRU-OLZOCXBDSA-N -1 1 313.357 1.814 20 0 DDADMM CCC[C@](C)(NC(=O)[O-])C(=O)N1CCN(C2CCCC2)CC1 ZINC000739282962 602119009 /nfs/dbraw/zinc/11/90/09/602119009.db2.gz FKPQZGHGWVRQTM-INIZCTEOSA-N -1 1 311.426 1.900 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CCN(C(=O)[O-])CC1)c1ccccc1 ZINC000737067601 602159770 /nfs/dbraw/zinc/15/97/70/602159770.db2.gz DNADDWWXRAZVOG-CQSZACIVSA-N -1 1 305.378 1.502 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCC[C@@H](CNC(=O)[O-])C2)c1 ZINC000740055930 602247481 /nfs/dbraw/zinc/24/74/81/602247481.db2.gz RBBAQEYRVDBULQ-NSHDSACASA-N -1 1 316.361 1.991 20 0 DDADMM C[C@H](O)[C@@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000824691018 607563035 /nfs/dbraw/zinc/56/30/35/607563035.db2.gz XENOULFBYPHIBF-DTWKUNHWSA-N -1 1 308.773 1.512 20 0 DDADMM C[C@H](O)[C@@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000824691018 607563037 /nfs/dbraw/zinc/56/30/37/607563037.db2.gz XENOULFBYPHIBF-DTWKUNHWSA-N -1 1 308.773 1.512 20 0 DDADMM CN(C)[C@@H](CNC(=O)NCCCNC(=O)[O-])c1cccs1 ZINC000739606595 602413166 /nfs/dbraw/zinc/41/31/66/602413166.db2.gz FNCOIYHWEGOSBS-JTQLQIEISA-N -1 1 314.411 1.308 20 0 DDADMM CN(CC1CCN(C(=O)N[C@@H]2CCCN(C)C2)CC1)C(=O)[O-] ZINC000739727687 602418212 /nfs/dbraw/zinc/41/82/12/602418212.db2.gz GMQHEMPKTJKTDR-CYBMUJFWSA-N -1 1 312.414 1.112 20 0 DDADMM CC(C)CCNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000824249214 607571521 /nfs/dbraw/zinc/57/15/21/607571521.db2.gz LAEKXCSKLVOGFV-UHFFFAOYSA-N -1 1 313.358 1.330 20 0 DDADMM CC(C)CCNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000824249214 607571523 /nfs/dbraw/zinc/57/15/23/607571523.db2.gz LAEKXCSKLVOGFV-UHFFFAOYSA-N -1 1 313.358 1.330 20 0 DDADMM O=C([O-])NC[C@H]1CCCN(CC[S@](=O)c2ccccc2)C1 ZINC000740633076 602527556 /nfs/dbraw/zinc/52/75/56/602527556.db2.gz MZOQDFKCSSHBQR-ASSNKEHSSA-N -1 1 310.419 1.774 20 0 DDADMM O=C([O-])N1CCC[C@@H](CNC(=O)N2CCN3CCCC[C@@H]3C2)C1 ZINC000740518002 602629077 /nfs/dbraw/zinc/62/90/77/602629077.db2.gz RUDMLGWODAMTHN-UONOGXRCSA-N -1 1 324.425 1.256 20 0 DDADMM CCCN(C[C@H]1CCCN(C(=O)[O-])C1)[C@H]1CCS(=O)(=O)C1 ZINC000739359532 602703034 /nfs/dbraw/zinc/70/30/34/602703034.db2.gz YGSLZWYJFJCUCA-OLZOCXBDSA-N -1 1 318.439 1.276 20 0 DDADMM O=C([O-])N1CC[C@H](CCNC(=O)N2CCN(CC3CC3)CC2)C1 ZINC000740502532 602720883 /nfs/dbraw/zinc/72/08/83/602720883.db2.gz SNSBJKBFWHVJGG-AWEZNQCLSA-N -1 1 324.425 1.114 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1)N1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000739175188 602783581 /nfs/dbraw/zinc/78/35/81/602783581.db2.gz JXODMNNGNNGHHY-IPYPFGDCSA-N -1 1 319.405 1.669 20 0 DDADMM CSCC[C@H](NC(=O)[O-])C(=O)N1CCN(C2CCC2)CC1 ZINC000740012448 602853660 /nfs/dbraw/zinc/85/36/60/602853660.db2.gz DVJVIGQKORQHEZ-LBPRGKRZSA-N -1 1 315.439 1.072 20 0 DDADMM CN(C)c1cccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000825878684 607594734 /nfs/dbraw/zinc/59/47/34/607594734.db2.gz AUWGUZIXSVWDGU-UHFFFAOYSA-N -1 1 324.344 1.685 20 0 DDADMM CN(C)c1cccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000825878684 607594736 /nfs/dbraw/zinc/59/47/36/607594736.db2.gz AUWGUZIXSVWDGU-UHFFFAOYSA-N -1 1 324.344 1.685 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1n[nH]c2ccccc21 ZINC000826467083 607596837 /nfs/dbraw/zinc/59/68/37/607596837.db2.gz GSLQRSGTBFCNLX-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1n[nH]c2ccccc21 ZINC000826467083 607596839 /nfs/dbraw/zinc/59/68/39/607596839.db2.gz GSLQRSGTBFCNLX-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)C1CCC(NC(=O)[O-])CC1 ZINC000739199446 602989796 /nfs/dbraw/zinc/98/97/96/602989796.db2.gz QQBQBZFGGCQVPR-URGYJCLVSA-N -1 1 323.437 1.898 20 0 DDADMM O=C([O-])NC1CCC(NC(=O)c2n[nH]c3ccccc32)CC1 ZINC000740559337 603076015 /nfs/dbraw/zinc/07/60/15/603076015.db2.gz ALDYJJSZPMJHBR-UHFFFAOYSA-N -1 1 302.334 1.872 20 0 DDADMM CCCCC[C@@H](O)CC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000825267713 607606294 /nfs/dbraw/zinc/60/62/94/607606294.db2.gz LWWLHJSQQSAPKJ-GFCCVEGCSA-N -1 1 319.365 1.636 20 0 DDADMM CCCCC[C@@H](O)CC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000825267713 607606296 /nfs/dbraw/zinc/60/62/96/607606296.db2.gz LWWLHJSQQSAPKJ-GFCCVEGCSA-N -1 1 319.365 1.636 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cc(O)ccc1O ZINC000826467035 607609799 /nfs/dbraw/zinc/60/97/99/607609799.db2.gz DOUZMRBYRTVPME-UHFFFAOYSA-N -1 1 313.273 1.030 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cc(O)ccc1O ZINC000826467035 607609800 /nfs/dbraw/zinc/60/98/00/607609800.db2.gz DOUZMRBYRTVPME-UHFFFAOYSA-N -1 1 313.273 1.030 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])CCN1C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000739152163 603198064 /nfs/dbraw/zinc/19/80/64/603198064.db2.gz HRNKHOKJFVVPIY-LLVKDONJSA-N -1 1 314.345 1.901 20 0 DDADMM Cc1ccccc1CNC(=O)[C@H](C)N(C)CCCNC(=O)[O-] ZINC000740185510 603257563 /nfs/dbraw/zinc/25/75/63/603257563.db2.gz UIVMNIZZIBVSQP-ZDUSSCGKSA-N -1 1 307.394 1.589 20 0 DDADMM CN(C)Cc1cc(CNC(=O)[C@H]2CCN(C(=O)[O-])C2)ccc1F ZINC000739609746 603316205 /nfs/dbraw/zinc/31/62/05/603316205.db2.gz FRPPWTVSODHDBD-LBPRGKRZSA-N -1 1 323.368 1.503 20 0 DDADMM CC[C@H]1CN2CCCC[C@@H]2CN1C(=O)C1CCN(C(=O)[O-])CC1 ZINC000826690477 603557120 /nfs/dbraw/zinc/55/71/20/603557120.db2.gz LDECETYHMXKLBL-LSDHHAIUSA-N -1 1 323.437 1.852 20 0 DDADMM CN(CC(=O)N(C)CC(F)(F)F)CC(C)(C)CNC(=O)[O-] ZINC000828085543 603628115 /nfs/dbraw/zinc/62/81/15/603628115.db2.gz FMSOFJHCEVQQEJ-UHFFFAOYSA-N -1 1 313.320 1.233 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](CNC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823663829 603728807 /nfs/dbraw/zinc/72/88/07/603728807.db2.gz UYPLBOGYQZRGAD-HIFRSBDPSA-N -1 1 319.405 1.623 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)C1(CNC(=O)[O-])CCCC1 ZINC000826086712 603797381 /nfs/dbraw/zinc/79/73/81/603797381.db2.gz BHYGSIIQORMVOV-UONOGXRCSA-N -1 1 323.437 1.900 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCC[C@@H](NC(=O)[O-])C1 ZINC000829833689 603937285 /nfs/dbraw/zinc/93/72/85/603937285.db2.gz LXXGGDLABCMMSG-SSDOTTSWSA-N -1 1 320.271 1.609 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCCN(Cc2ccc(-n3ccnc3)nc2)C1 ZINC000827821617 603963658 /nfs/dbraw/zinc/96/36/58/603963658.db2.gz APHBVLZVVOQKDR-CQSZACIVSA-N -1 1 315.377 1.842 20 0 DDADMM C[C@@H](CN(C)C(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000824855976 604062180 /nfs/dbraw/zinc/06/21/80/604062180.db2.gz XKEIOPPCUKZWGE-QWHCGFSZSA-N -1 1 323.393 1.779 20 0 DDADMM COc1ccnc(CN2CCC(OCCCNC(=O)[O-])CC2)c1 ZINC000829649355 604104102 /nfs/dbraw/zinc/10/41/02/604104102.db2.gz JEGVPIQSCOZCAR-UHFFFAOYSA-N -1 1 323.393 1.729 20 0 DDADMM O=S(=O)(c1cccc(F)c1-c1nnn[n-]1)N1C[C@@H]2CCC[C@H]21 ZINC000826495799 607674537 /nfs/dbraw/zinc/67/45/37/607674537.db2.gz BSEOHMTZRKHHBU-WCBMZHEXSA-N -1 1 323.353 1.179 20 0 DDADMM O=S(=O)(c1cccc(F)c1-c1nn[n-]n1)N1C[C@@H]2CCC[C@H]21 ZINC000826495799 607674538 /nfs/dbraw/zinc/67/45/38/607674538.db2.gz BSEOHMTZRKHHBU-WCBMZHEXSA-N -1 1 323.353 1.179 20 0 DDADMM O=S(=O)(c1cccc(F)c1-c1nnn[n-]1)N1C[C@H]2CCC[C@@H]21 ZINC000826495798 607674574 /nfs/dbraw/zinc/67/45/74/607674574.db2.gz BSEOHMTZRKHHBU-SCZZXKLOSA-N -1 1 323.353 1.179 20 0 DDADMM O=S(=O)(c1cccc(F)c1-c1nn[n-]n1)N1C[C@H]2CCC[C@@H]21 ZINC000826495798 607674575 /nfs/dbraw/zinc/67/45/75/607674575.db2.gz BSEOHMTZRKHHBU-SCZZXKLOSA-N -1 1 323.353 1.179 20 0 DDADMM CN(C[C@@H]1CCCN(CN2C(=O)C(C)(C)C2(C)C)C1)C(=O)[O-] ZINC000828182147 604205237 /nfs/dbraw/zinc/20/52/37/604205237.db2.gz ZMTHATPGVIFUHY-LBPRGKRZSA-N -1 1 311.426 1.913 20 0 DDADMM COCCN1CCCN(C(=O)c2c(C)[nH]c(C(=O)[O-])c2C)CC1 ZINC000831746602 604293491 /nfs/dbraw/zinc/29/34/91/604293491.db2.gz YJMAZPKUFSNDDG-UHFFFAOYSA-N -1 1 323.393 1.124 20 0 DDADMM C[C@H](NC(=O)[O-])c1ccc(CNC(=O)[C@H]2CCCN2C)cc1 ZINC000825371914 604448844 /nfs/dbraw/zinc/44/88/44/604448844.db2.gz JXYWQBNVBUFPPY-SMDDNHRTSA-N -1 1 305.378 1.726 20 0 DDADMM C[C@H](NC(=O)[O-])c1ccc(CNC(=O)CCc2nc[nH]n2)cc1 ZINC000825377785 604454989 /nfs/dbraw/zinc/45/49/89/604454989.db2.gz KNCVQTCUCNQCME-JTQLQIEISA-N -1 1 317.349 1.382 20 0 DDADMM C[C@H]1[C@H](C)N(C(=O)CCc2ccccc2C(=O)[O-])CCN1C ZINC000833584762 604837905 /nfs/dbraw/zinc/83/79/05/604837905.db2.gz VDOVTOJNBZICDC-STQMWFEESA-N -1 1 304.390 1.868 20 0 DDADMM CC1CCN(CC(=O)NC[C@@H](NC(=O)[O-])c2ccccc2)CC1 ZINC000825945403 604866018 /nfs/dbraw/zinc/86/60/18/604866018.db2.gz WXPHSNMUXHDINP-OAHLLOKOSA-N -1 1 319.405 1.843 20 0 DDADMM O=C([O-])C[C@H]1CCCN1CN1C(=O)CC2(CCCCC2)C1=O ZINC000833211479 604976759 /nfs/dbraw/zinc/97/67/59/604976759.db2.gz ZKVOWECXBALUIS-GFCCVEGCSA-N -1 1 308.378 1.592 20 0 DDADMM CCc1nc([C@@H](C)NS(=O)(=O)c2ccccc2C(=O)[O-])n[nH]1 ZINC000833674927 605004947 /nfs/dbraw/zinc/00/49/47/605004947.db2.gz YCVDUPXJSGVYHS-MRVPVSSYSA-N -1 1 324.362 1.105 20 0 DDADMM COc1ccccc1N1CC[C@H](N[C@@H](C(=O)[O-])C2CC2)C1=O ZINC000833736379 605069426 /nfs/dbraw/zinc/06/94/26/605069426.db2.gz HSSCLYNUWSVZCJ-SMDDNHRTSA-N -1 1 304.346 1.253 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1C[C@H]1Cc1ccccc1 ZINC000833767346 605078441 /nfs/dbraw/zinc/07/84/41/605078441.db2.gz ABZTYRVUZPIVDL-YUELXQCFSA-N -1 1 313.357 1.400 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)C1CCC(C(=O)[O-])CC1 ZINC000317188658 605087953 /nfs/dbraw/zinc/08/79/53/605087953.db2.gz HXXDRDOYMDRZGU-VXGQWTEUSA-N -1 1 308.422 1.963 20 0 DDADMM Cc1nc(COC(=O)C[C@H](C)[C@H]2CCCN(C(=O)[O-])C2)n[nH]1 ZINC000830761249 605263282 /nfs/dbraw/zinc/26/32/82/605263282.db2.gz PUKIULNREBBLJW-ONGXEEELSA-N -1 1 310.354 1.573 20 0 DDADMM CCN1C[C@H](C)N(C(=O)Cc2ccccc2C(=O)[O-])C[C@@H]1C ZINC000833658574 605267306 /nfs/dbraw/zinc/26/73/06/605267306.db2.gz TXHWNAXFGWIWCT-STQMWFEESA-N -1 1 304.390 1.868 20 0 DDADMM CCc1cnccc1[C@H](C)NCC(=O)N[C@@H](C(=O)[O-])[C@H](C)CC ZINC000833674251 605270828 /nfs/dbraw/zinc/27/08/28/605270828.db2.gz PUMUDGWSOGZXIV-BFQNTYOBSA-N -1 1 321.421 1.910 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NC[C@H](NC(=O)[O-])C(C)C)n[nH]1 ZINC000830036635 605301485 /nfs/dbraw/zinc/30/14/85/605301485.db2.gz WWUOIFCREDVAHO-CABZTGNLSA-N -1 1 311.386 1.241 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)CC2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000830029759 605435192 /nfs/dbraw/zinc/43/51/92/605435192.db2.gz IZHMJTHOTUHNTF-SNVBAGLBSA-N -1 1 308.382 1.545 20 0 DDADMM O=C([O-])N1CCC[C@H]1CCC(=O)N1CCN2CCCC[C@@H]2C1 ZINC000833995591 605438456 /nfs/dbraw/zinc/43/84/56/605438456.db2.gz OJRNXNILRVOISZ-UONOGXRCSA-N -1 1 309.410 1.606 20 0 DDADMM O=C([O-])N1CCC[C@H]1COC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000834284733 605440427 /nfs/dbraw/zinc/44/04/27/605440427.db2.gz HYHVTODTJSFDDH-LBPRGKRZSA-N -1 1 316.317 1.771 20 0 DDADMM Cn1cc(CN2CCC[C@H](NC(=O)[O-])C2)c(-c2ccncc2)n1 ZINC000833948593 605489707 /nfs/dbraw/zinc/48/97/07/605489707.db2.gz IGLAYBJOVCJBOB-AWEZNQCLSA-N -1 1 315.377 1.714 20 0 DDADMM CC(=O)NC[C@H]1CCCN(Cc2ccc(NC(=O)[O-])nc2)C1 ZINC000823575686 605493246 /nfs/dbraw/zinc/49/32/46/605493246.db2.gz WKXCFOFJTCOOKA-GFCCVEGCSA-N -1 1 306.366 1.520 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3cc[nH]c3n2)CCN1CCC(=O)[O-] ZINC000833619193 605532592 /nfs/dbraw/zinc/53/25/92/605532592.db2.gz BKXUHKAULMKYSI-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM O=C([O-])N[C@H]1CCN(Cc2ccc(-n3cncn3)c(F)c2)C1 ZINC000834189680 605565347 /nfs/dbraw/zinc/56/53/47/605565347.db2.gz OCTSRQKAYMSSIC-NSHDSACASA-N -1 1 305.313 1.248 20 0 DDADMM CNC(=O)c1cccc(CN2CC[C@H](NC(=O)[O-])C[C@@H]2C)c1 ZINC000833876646 605769201 /nfs/dbraw/zinc/76/92/01/605769201.db2.gz IGJFFXPAHMLZJH-FZMZJTMJSA-N -1 1 305.378 1.667 20 0 DDADMM CCS(=O)(=O)CCN[C@H]1C[C@H](NC(=O)[O-])c2ccccc21 ZINC000833863816 605796211 /nfs/dbraw/zinc/79/62/11/605796211.db2.gz RNIFNJQUMLFUDG-STQMWFEESA-N -1 1 312.391 1.464 20 0 DDADMM O=C([O-])N1CCC2(CCN(CC(=O)NCc3ccco3)C2)CC1 ZINC000834006906 605902504 /nfs/dbraw/zinc/90/25/04/605902504.db2.gz FQKPXJQVZRUJDE-UHFFFAOYSA-N -1 1 321.377 1.362 20 0 DDADMM O=C([O-])N1CCC[C@H]1CCC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000833995821 605959575 /nfs/dbraw/zinc/95/95/75/605959575.db2.gz SXFJXUFZMHPDSZ-MNOVXSKESA-N -1 1 306.366 1.306 20 0 DDADMM CC1(C)CCC[C@H]1NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820589453 606122128 /nfs/dbraw/zinc/12/21/28/606122128.db2.gz FXAXFOSLGQCYDQ-LLVKDONJSA-N -1 1 322.394 1.119 20 0 DDADMM CC1(C)CCC[C@H]1NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820589453 606122130 /nfs/dbraw/zinc/12/21/30/606122130.db2.gz FXAXFOSLGQCYDQ-LLVKDONJSA-N -1 1 322.394 1.119 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820697886 606156864 /nfs/dbraw/zinc/15/68/64/606156864.db2.gz NPEQYQFELQITSF-BDAKNGLRSA-N -1 1 304.358 1.300 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820697886 606156866 /nfs/dbraw/zinc/15/68/66/606156866.db2.gz NPEQYQFELQITSF-BDAKNGLRSA-N -1 1 304.358 1.300 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC2(c3ccccc3)CC2)n1 ZINC000822609103 606161330 /nfs/dbraw/zinc/16/13/30/606161330.db2.gz JTHWAJXSLVLDQM-UHFFFAOYSA-N -1 1 324.348 1.411 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC2(c3ccccc3)CC2)n1 ZINC000822609103 606161332 /nfs/dbraw/zinc/16/13/32/606161332.db2.gz JTHWAJXSLVLDQM-UHFFFAOYSA-N -1 1 324.348 1.411 20 0 DDADMM CN(C(=O)Nc1nn(C)cc1-c1nnn[n-]1)C(C1CC1)C1CC1 ZINC000821572285 606163780 /nfs/dbraw/zinc/16/37/80/606163780.db2.gz YPOWNUCGEOUZOI-UHFFFAOYSA-N -1 1 316.369 1.253 20 0 DDADMM CN(C(=O)Nc1nn(C)cc1-c1nn[n-]n1)C(C1CC1)C1CC1 ZINC000821572285 606163782 /nfs/dbraw/zinc/16/37/82/606163782.db2.gz YPOWNUCGEOUZOI-UHFFFAOYSA-N -1 1 316.369 1.253 20 0 DDADMM C[C@@H](c1ccco1)N(C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820570235 606171707 /nfs/dbraw/zinc/17/17/07/606171707.db2.gz FAQNGTWKWPDAIL-QMMMGPOBSA-N -1 1 316.325 1.418 20 0 DDADMM C[C@@H](c1ccco1)N(C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820570235 606171709 /nfs/dbraw/zinc/17/17/09/606171709.db2.gz FAQNGTWKWPDAIL-QMMMGPOBSA-N -1 1 316.325 1.418 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)[C@@H]2C[C@H]2C2CC2)n1 ZINC000819971034 606259477 /nfs/dbraw/zinc/25/94/77/606259477.db2.gz BTHYYMGOAAHJRF-VHSXEESVSA-N -1 1 315.381 1.803 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)[C@@H]2C[C@H]2C2CC2)n1 ZINC000819971034 606259479 /nfs/dbraw/zinc/25/94/79/606259479.db2.gz BTHYYMGOAAHJRF-VHSXEESVSA-N -1 1 315.381 1.803 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(-c3nnn[n-]3)s2)CCS1 ZINC000820601425 606295083 /nfs/dbraw/zinc/29/50/83/606295083.db2.gz KVEFLGKTLSGDMG-UHFFFAOYSA-N -1 1 309.420 1.896 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(-c3nn[n-]n3)s2)CCS1 ZINC000820601425 606295084 /nfs/dbraw/zinc/29/50/84/606295084.db2.gz KVEFLGKTLSGDMG-UHFFFAOYSA-N -1 1 309.420 1.896 20 0 DDADMM CN(C[C@@H]1CCOC1)c1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000821597928 606474312 /nfs/dbraw/zinc/47/43/12/606474312.db2.gz LJXCOKGAPQAAJG-NSHDSACASA-N -1 1 310.361 1.888 20 0 DDADMM CN(C[C@@H]1CCOC1)c1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821597928 606474315 /nfs/dbraw/zinc/47/43/15/606474315.db2.gz LJXCOKGAPQAAJG-NSHDSACASA-N -1 1 310.361 1.888 20 0 DDADMM O=C(NOCc1ccccc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823285673 606521235 /nfs/dbraw/zinc/52/12/35/606521235.db2.gz YTKXMOPHVSDYJH-UHFFFAOYSA-N -1 1 301.331 1.790 20 0 DDADMM O=C(NOCc1ccccc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823285673 606521238 /nfs/dbraw/zinc/52/12/38/606521238.db2.gz YTKXMOPHVSDYJH-UHFFFAOYSA-N -1 1 301.331 1.790 20 0 DDADMM CN(CCc1ccncc1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821607135 606538371 /nfs/dbraw/zinc/53/83/71/606538371.db2.gz AMPFHSYXSKXRMW-UHFFFAOYSA-N -1 1 314.374 1.638 20 0 DDADMM CN(CCc1ccncc1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821607135 606538373 /nfs/dbraw/zinc/53/83/73/606538373.db2.gz AMPFHSYXSKXRMW-UHFFFAOYSA-N -1 1 314.374 1.638 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCc2cccs2)n1 ZINC000822619671 606597711 /nfs/dbraw/zinc/59/77/11/606597711.db2.gz DZHDBGXPPQDRHC-UHFFFAOYSA-N -1 1 318.366 1.026 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCc2cccs2)n1 ZINC000822619671 606597713 /nfs/dbraw/zinc/59/77/13/606597713.db2.gz DZHDBGXPPQDRHC-UHFFFAOYSA-N -1 1 318.366 1.026 20 0 DDADMM C[C@H]1CC[C@H](CCC(=O)OCc2ccnc(-c3nnn[n-]3)c2)O1 ZINC000820691098 606646967 /nfs/dbraw/zinc/64/69/67/606646967.db2.gz VFKJIIIVWKLYCF-CMPLNLGQSA-N -1 1 317.349 1.653 20 0 DDADMM C[C@H]1CC[C@H](CCC(=O)OCc2ccnc(-c3nn[n-]n3)c2)O1 ZINC000820691098 606646968 /nfs/dbraw/zinc/64/69/68/606646968.db2.gz VFKJIIIVWKLYCF-CMPLNLGQSA-N -1 1 317.349 1.653 20 0 DDADMM Fc1ccc([C@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000822766449 606727925 /nfs/dbraw/zinc/72/79/25/606727925.db2.gz JJLMYBHUVBFCKH-NSHDSACASA-N -1 1 311.324 1.790 20 0 DDADMM Fc1ccc([C@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000822766449 606727926 /nfs/dbraw/zinc/72/79/26/606727926.db2.gz JJLMYBHUVBFCKH-NSHDSACASA-N -1 1 311.324 1.790 20 0 DDADMM CC[C@H]1CCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820979129 606835563 /nfs/dbraw/zinc/83/55/63/606835563.db2.gz FOIRTXFDFWWPHQ-WCBMZHEXSA-N -1 1 304.358 1.300 20 0 DDADMM CC[C@H]1CCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820979129 606835565 /nfs/dbraw/zinc/83/55/65/606835565.db2.gz FOIRTXFDFWWPHQ-WCBMZHEXSA-N -1 1 304.358 1.300 20 0 DDADMM Cc1cc(F)c(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)cc1F ZINC000822202080 606867888 /nfs/dbraw/zinc/86/78/88/606867888.db2.gz KAWHAIBTEZVDCZ-UHFFFAOYSA-N -1 1 319.275 1.439 20 0 DDADMM Cc1cc(F)c(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)cc1F ZINC000822202080 606867890 /nfs/dbraw/zinc/86/78/90/606867890.db2.gz KAWHAIBTEZVDCZ-UHFFFAOYSA-N -1 1 319.275 1.439 20 0 DDADMM O=C(CCc1ccccc1O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822982613 607110167 /nfs/dbraw/zinc/11/01/67/607110167.db2.gz FEZISLCKHHHBNT-UHFFFAOYSA-N -1 1 316.346 1.600 20 0 DDADMM O=C(CCc1ccccc1O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822982613 607110169 /nfs/dbraw/zinc/11/01/69/607110169.db2.gz FEZISLCKHHHBNT-UHFFFAOYSA-N -1 1 316.346 1.600 20 0 DDADMM O=C(Cc1ccc(O)cc1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000823082577 607142840 /nfs/dbraw/zinc/14/28/40/607142840.db2.gz KOKAUTCULUEEFD-UHFFFAOYSA-N -1 1 311.301 1.459 20 0 DDADMM Cc1csc(=O)n1CCOc1cccc(F)c1-c1nnn[n-]1 ZINC000826320675 607864386 /nfs/dbraw/zinc/86/43/86/607864386.db2.gz ZIHZYVBHADAOJH-UHFFFAOYSA-N -1 1 321.337 1.616 20 0 DDADMM Cc1csc(=O)n1CCOc1cccc(F)c1-c1nn[n-]n1 ZINC000826320675 607864387 /nfs/dbraw/zinc/86/43/87/607864387.db2.gz ZIHZYVBHADAOJH-UHFFFAOYSA-N -1 1 321.337 1.616 20 0 DDADMM C[C@H]1Oc2ccc(Nc3cccc(-c4nnn[n-]4)n3)cc2NC1=O ZINC000825019803 607895383 /nfs/dbraw/zinc/89/53/83/607895383.db2.gz GXOBPPKVEMTTAI-MRVPVSSYSA-N -1 1 323.316 1.725 20 0 DDADMM C[C@H]1Oc2ccc(Nc3cccc(-c4nn[n-]n4)n3)cc2NC1=O ZINC000825019803 607895384 /nfs/dbraw/zinc/89/53/84/607895384.db2.gz GXOBPPKVEMTTAI-MRVPVSSYSA-N -1 1 323.316 1.725 20 0 DDADMM C[C@@](O)(CC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-])C1CC1 ZINC000824671122 607928420 /nfs/dbraw/zinc/92/84/20/607928420.db2.gz XMPCTUUDHMGGFT-CQSZACIVSA-N -1 1 303.322 1.062 20 0 DDADMM CN(Cc1ccccc1Cl)c1ccc(-c2nnn[n-]2)nn1 ZINC000825980861 607987800 /nfs/dbraw/zinc/98/78/00/607987800.db2.gz IZQIUPXLRRWIBR-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM CN(Cc1ccccc1Cl)c1ccc(-c2nn[n-]n2)nn1 ZINC000825980861 607987801 /nfs/dbraw/zinc/98/78/01/607987801.db2.gz IZQIUPXLRRWIBR-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM c1nn(Cc2ccccc2)cc1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826522203 607990202 /nfs/dbraw/zinc/99/02/02/607990202.db2.gz QNJWKKYEJZXLSU-UHFFFAOYSA-N -1 1 319.332 1.645 20 0 DDADMM c1nn(Cc2ccccc2)cc1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826522203 607990203 /nfs/dbraw/zinc/99/02/03/607990203.db2.gz QNJWKKYEJZXLSU-UHFFFAOYSA-N -1 1 319.332 1.645 20 0 DDADMM CC1(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCOCC1 ZINC000824784170 607998416 /nfs/dbraw/zinc/99/84/16/607998416.db2.gz ODDWGCLFIBNFSE-UHFFFAOYSA-N -1 1 303.322 1.328 20 0 DDADMM C[C@@H]1C[C@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCC1=O ZINC000824874049 608058903 /nfs/dbraw/zinc/05/89/03/608058903.db2.gz BCNSXIAJUWGHME-MWLCHTKSSA-N -1 1 315.333 1.310 20 0 DDADMM C[C@@H]1C[C@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCC1=O ZINC000824874049 608058904 /nfs/dbraw/zinc/05/89/04/608058904.db2.gz BCNSXIAJUWGHME-MWLCHTKSSA-N -1 1 315.333 1.310 20 0 DDADMM Cc1c(Br)cccc1Cn1cnc(-c2nn[n-]n2)n1 ZINC000826234240 608077203 /nfs/dbraw/zinc/07/72/03/608077203.db2.gz NTOJYEDIERYPPD-UHFFFAOYSA-N -1 1 320.154 1.577 20 0 DDADMM CC(C)[C@H]1C[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000824215849 608250430 /nfs/dbraw/zinc/25/04/30/608250430.db2.gz MVVPAQBLBTTWLX-WCQYABFASA-N -1 1 316.365 1.195 20 0 DDADMM CC(C)[C@H]1C[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000824215849 608250432 /nfs/dbraw/zinc/25/04/32/608250432.db2.gz MVVPAQBLBTTWLX-WCQYABFASA-N -1 1 316.365 1.195 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC[C@@H](C[C@@H]3CCOC3)C2)c1 ZINC000826514617 608297149 /nfs/dbraw/zinc/29/71/49/608297149.db2.gz XJMOWTAEQOLDHB-STQMWFEESA-N -1 1 314.393 1.905 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC[C@@H](C[C@@H]3CCOC3)C2)c1 ZINC000826514617 608297150 /nfs/dbraw/zinc/29/71/50/608297150.db2.gz XJMOWTAEQOLDHB-STQMWFEESA-N -1 1 314.393 1.905 20 0 DDADMM O=C(OCc1cnoc1C1CC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826467698 608321637 /nfs/dbraw/zinc/32/16/37/608321637.db2.gz IRSYTHACPFZTCS-UHFFFAOYSA-N -1 1 312.289 1.484 20 0 DDADMM O=C(OCc1cnoc1C1CC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826467698 608321638 /nfs/dbraw/zinc/32/16/38/608321638.db2.gz IRSYTHACPFZTCS-UHFFFAOYSA-N -1 1 312.289 1.484 20 0 DDADMM CN(Cc1nccn1C)c1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000825999088 608391705 /nfs/dbraw/zinc/39/17/05/608391705.db2.gz KWRJQYXPHJYXKA-UHFFFAOYSA-N -1 1 320.360 1.785 20 0 DDADMM CC(=O)Nc1ccc(CNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000823999720 608394609 /nfs/dbraw/zinc/39/46/09/608394609.db2.gz IRYNIBSGSMOUSD-UHFFFAOYSA-N -1 1 310.321 1.227 20 0 DDADMM CC(=O)Nc1ccc(CNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000823999720 608394612 /nfs/dbraw/zinc/39/46/12/608394612.db2.gz IRYNIBSGSMOUSD-UHFFFAOYSA-N -1 1 310.321 1.227 20 0 DDADMM COc1ccc(CNc2ccc(-c3nnn[n-]3)nn2)cc1F ZINC000826203766 608395453 /nfs/dbraw/zinc/39/54/53/608395453.db2.gz FMNSKQIHMYDKDY-UHFFFAOYSA-N -1 1 301.285 1.417 20 0 DDADMM COc1ccc(CNc2ccc(-c3nn[n-]n3)nn2)cc1F ZINC000826203766 608395455 /nfs/dbraw/zinc/39/54/55/608395455.db2.gz FMNSKQIHMYDKDY-UHFFFAOYSA-N -1 1 301.285 1.417 20 0 DDADMM Cc1cccc(C)c1OCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826297091 608400946 /nfs/dbraw/zinc/40/09/46/608400946.db2.gz UXVIKUYAUHIKRF-UHFFFAOYSA-N -1 1 311.349 1.764 20 0 DDADMM Cc1cccc(C)c1OCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826297091 608400947 /nfs/dbraw/zinc/40/09/47/608400947.db2.gz UXVIKUYAUHIKRF-UHFFFAOYSA-N -1 1 311.349 1.764 20 0 DDADMM COc1ccc2c(c1)CCCN(c1ccc(-c3nnn[n-]3)nn1)C2 ZINC000826212991 608411924 /nfs/dbraw/zinc/41/19/24/608411924.db2.gz HWHODDMECIDRJX-UHFFFAOYSA-N -1 1 323.360 1.618 20 0 DDADMM COc1ccc2c(c1)CCCN(c1ccc(-c3nn[n-]n3)nn1)C2 ZINC000826212991 608411927 /nfs/dbraw/zinc/41/19/27/608411927.db2.gz HWHODDMECIDRJX-UHFFFAOYSA-N -1 1 323.360 1.618 20 0 DDADMM C[C@@H](c1ccccc1)[C@H](CO)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824771521 608416791 /nfs/dbraw/zinc/41/67/91/608416791.db2.gz QTESOYSCOZYSDF-GWCFXTLKSA-N -1 1 311.349 1.233 20 0 DDADMM C[C@@H](c1ccccc1)[C@H](CO)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824771521 608416792 /nfs/dbraw/zinc/41/67/92/608416792.db2.gz QTESOYSCOZYSDF-GWCFXTLKSA-N -1 1 311.349 1.233 20 0 DDADMM c1ccc2c(c1)CC[C@@H]1[C@H](Nc3ccc(-c4nnn[n-]4)nn3)[C@H]21 ZINC000826523677 608435051 /nfs/dbraw/zinc/43/50/51/608435051.db2.gz TWGRNOOOIZIIFD-GLQYFDAESA-N -1 1 305.345 1.797 20 0 DDADMM c1ccc2c(c1)CC[C@@H]1[C@H](Nc3ccc(-c4nn[n-]n4)nn3)[C@H]21 ZINC000826523677 608435053 /nfs/dbraw/zinc/43/50/53/608435053.db2.gz TWGRNOOOIZIIFD-GLQYFDAESA-N -1 1 305.345 1.797 20 0 DDADMM C[C@H]1C[C@@H](Nc2snc(Cl)c2-c2nnn[n-]2)CCO1 ZINC000824898009 608800899 /nfs/dbraw/zinc/80/08/99/608800899.db2.gz COOHONBSZYGPDM-WDSKDSINSA-N -1 1 300.775 1.956 20 0 DDADMM C[C@H]1C[C@@H](Nc2snc(Cl)c2-c2nn[n-]n2)CCO1 ZINC000824898009 608800900 /nfs/dbraw/zinc/80/09/00/608800900.db2.gz COOHONBSZYGPDM-WDSKDSINSA-N -1 1 300.775 1.956 20 0 DDADMM O=C(NC[C@H]1CCCCS1)c1ccc(-c2nnn[n-]2)s1 ZINC000826435830 609513841 /nfs/dbraw/zinc/51/38/41/609513841.db2.gz YQKBFZSRRXNKOV-MRVPVSSYSA-N -1 1 309.420 1.944 20 0 DDADMM O=C(NC[C@H]1CCCCS1)c1ccc(-c2nn[n-]n2)s1 ZINC000826435830 609513843 /nfs/dbraw/zinc/51/38/43/609513843.db2.gz YQKBFZSRRXNKOV-MRVPVSSYSA-N -1 1 309.420 1.944 20 0 DDADMM C[C@@H](CN(C)c1nccnc1-c1nnn[n-]1)c1nccs1 ZINC000824412853 609606341 /nfs/dbraw/zinc/60/63/41/609606341.db2.gz GXECQHIFFBEILA-QMMMGPOBSA-N -1 1 302.367 1.353 20 0 DDADMM C[C@@H](CN(C)c1nccnc1-c1nn[n-]n1)c1nccs1 ZINC000824412853 609606343 /nfs/dbraw/zinc/60/63/43/609606343.db2.gz GXECQHIFFBEILA-QMMMGPOBSA-N -1 1 302.367 1.353 20 0 DDADMM O=C(Nc1ccccc1OS(=O)(=O)c1c[n-]cn1)C1CC1 ZINC000122194738 696719528 /nfs/dbraw/zinc/71/95/28/696719528.db2.gz SZCQVGQEXNRZJQ-UHFFFAOYSA-N -1 1 307.331 1.526 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2CCO[C@@]3(CCOC3)C2)[n-]1 ZINC000797118088 699994931 /nfs/dbraw/zinc/99/49/31/699994931.db2.gz KFMGHWYICGUPIJ-BONVTDFDSA-N -1 1 309.318 1.296 20 0 DDADMM CSCC(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975220798 695816196 /nfs/dbraw/zinc/81/61/96/695816196.db2.gz NGQKWEFVORDERN-NSHDSACASA-N -1 1 323.418 1.119 20 0 DDADMM CC(=O)N1CCC[C@H]1[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000975962244 695885158 /nfs/dbraw/zinc/88/51/58/695885158.db2.gz WHKJZPPVLVAZMY-KBPBESRZSA-N -1 1 317.389 1.793 20 0 DDADMM CCCCCC(C)(C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798147876 700044076 /nfs/dbraw/zinc/04/40/76/700044076.db2.gz HWNGGQCOEOBNDY-UHFFFAOYSA-N -1 1 309.414 1.616 20 0 DDADMM Cc1noc([C@@H](C)NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n1 ZINC000747371595 700066343 /nfs/dbraw/zinc/06/63/43/700066343.db2.gz SZEFPCXPNVHQEZ-MRVPVSSYSA-N -1 1 305.338 1.796 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)C(C)(C)F)C(=O)c1ncccc1[O-] ZINC000977604934 696231776 /nfs/dbraw/zinc/23/17/76/696231776.db2.gz YFARKFPGACDDEQ-JTQLQIEISA-N -1 1 309.341 1.208 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2cnn(C)c2)c(=O)[n-]1 ZINC000056229329 696288508 /nfs/dbraw/zinc/28/85/08/696288508.db2.gz NEBGTDSWXAYPPQ-UHFFFAOYSA-N -1 1 322.390 1.210 20 0 DDADMM CSC[C@@H](C)C(=O)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067078599 696358837 /nfs/dbraw/zinc/35/88/37/696358837.db2.gz IFPANBYONLTFCJ-MRVPVSSYSA-N -1 1 300.453 1.933 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1cc(C2CC2)nc2ccccc21 ZINC000078540995 696452208 /nfs/dbraw/zinc/45/22/08/696452208.db2.gz UIISSIIYTHLEFD-UHFFFAOYSA-N -1 1 310.313 1.309 20 0 DDADMM CCN(Cc1ccccc1C)C(=O)Cc1sc(N)nc1[O-] ZINC000079488272 696460687 /nfs/dbraw/zinc/46/06/87/696460687.db2.gz GVFHNIIMHCSURB-GFCCVEGCSA-N -1 1 305.403 1.690 20 0 DDADMM C[C@H](c1ccco1)N(C(=O)Cc1sc(N)nc1[O-])C1CC1 ZINC000079720563 696462749 /nfs/dbraw/zinc/46/27/49/696462749.db2.gz BJSHTIRYJYHYCY-KCJUWKMLSA-N -1 1 307.375 1.678 20 0 DDADMM COc1cc[n-]c(=NNC(=O)c2ccc(Cn3cccn3)o2)n1 ZINC000079955633 696465287 /nfs/dbraw/zinc/46/52/87/696465287.db2.gz YTFWPGUUJBRFKT-UHFFFAOYSA-N -1 1 314.305 1.080 20 0 DDADMM CNC(=O)[C@H](C)CN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747931682 700092865 /nfs/dbraw/zinc/09/28/65/700092865.db2.gz NKAAQZVHOCZGOE-SECBINFHSA-N -1 1 308.382 1.068 20 0 DDADMM COC(=O)c1ccc(C(=O)N[N-]C(=O)c2ccc(C)o2)s1 ZINC000084284414 696557224 /nfs/dbraw/zinc/55/72/24/696557224.db2.gz QUBOUWQQTUHPCH-UHFFFAOYSA-N -1 1 308.315 1.511 20 0 DDADMM CON(C)C(=O)c1cc(=O)c2cc(Br)ccc2[n-]1 ZINC000112627994 696651728 /nfs/dbraw/zinc/65/17/28/696651728.db2.gz ZVDDPMNAEYDIDS-UHFFFAOYSA-N -1 1 311.135 1.924 20 0 DDADMM COc1ccccc1S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C ZINC000798834538 700100531 /nfs/dbraw/zinc/10/05/31/700100531.db2.gz ZVVVEDDNYWBQHZ-ZDUSSCGKSA-N -1 1 314.407 1.086 20 0 DDADMM O=C(NC1CCC(NC(=O)C2CCC2)CC1)c1ncccc1[O-] ZINC000979985850 696673191 /nfs/dbraw/zinc/67/31/91/696673191.db2.gz FXIVHDCBVLWXJE-UHFFFAOYSA-N -1 1 317.389 1.745 20 0 DDADMM CC(C)(O)CCC(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799020822 700109997 /nfs/dbraw/zinc/10/99/97/700109997.db2.gz YXQQLRLQAJZRCC-UHFFFAOYSA-N -1 1 324.343 1.455 20 0 DDADMM Cc1ccc(OS(=O)(=O)c2c[n-]cn2)c(Br)n1 ZINC000152934225 696907141 /nfs/dbraw/zinc/90/71/41/696907141.db2.gz BMVMOCQXCMHAMG-UHFFFAOYSA-N -1 1 318.152 1.643 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2CCCS2)cc1 ZINC000748481896 700121034 /nfs/dbraw/zinc/12/10/34/700121034.db2.gz YDDGORWCBTXXHY-GFCCVEGCSA-N -1 1 323.370 1.390 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CC[C@H](SC)C2)co1 ZINC000153871853 696922886 /nfs/dbraw/zinc/92/28/86/696922886.db2.gz AAUZLOCWMBXZDJ-UWVGGRQHSA-N -1 1 318.420 1.202 20 0 DDADMM O=C(/C=C\C1CC1)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982581638 697153238 /nfs/dbraw/zinc/15/32/38/697153238.db2.gz KQEONLCGTQGAFF-SZZPACECSA-N -1 1 315.373 1.332 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000983915519 697315269 /nfs/dbraw/zinc/31/52/69/697315269.db2.gz PYNSZLFKRZSQDK-OLZOCXBDSA-N -1 1 319.405 1.896 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2cccc3c2COC3=O)c1C ZINC000160478709 697317733 /nfs/dbraw/zinc/31/77/33/697317733.db2.gz QWABPXUTIOXRTK-UHFFFAOYSA-N -1 1 307.331 1.498 20 0 DDADMM O=C(N[C@H]1COc2ccccc2C1)c1nnc2ccccc2c1O ZINC000171863543 697362990 /nfs/dbraw/zinc/36/29/90/697362990.db2.gz LITJNRMETHSHHV-GFCCVEGCSA-N -1 1 321.336 1.657 20 0 DDADMM C[C@@H](C[C@H](O)c1ccco1)NC(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000185843087 697525286 /nfs/dbraw/zinc/52/52/86/697525286.db2.gz CSPBZGKEVHOAGW-KWQFWETISA-N -1 1 321.289 1.530 20 0 DDADMM C[C@H]1CCN(Cc2cc(=O)oc3cc([O-])ccc23)[C@H](C(N)=O)C1 ZINC000187432992 697544620 /nfs/dbraw/zinc/54/46/20/697544620.db2.gz XRQWEDSSBBAOHF-HZMBPMFUSA-N -1 1 316.357 1.584 20 0 DDADMM C[C@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)C[C@H](CO)O1 ZINC000191779728 697614022 /nfs/dbraw/zinc/61/40/22/697614022.db2.gz VIXVMGLQLPVOPI-GXFFZTMASA-N -1 1 305.330 1.080 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H]2CCN(C)C2=O)c1 ZINC000772530902 697645640 /nfs/dbraw/zinc/64/56/40/697645640.db2.gz KWRHFIAZIQLHEC-CYBMUJFWSA-N -1 1 305.330 1.159 20 0 DDADMM C[C@@H]1COCC[C@H]1C(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000193176322 697652917 /nfs/dbraw/zinc/65/29/17/697652917.db2.gz IQPIVCNBANZNLC-PSASIEDQSA-N -1 1 312.753 1.479 20 0 DDADMM CC(Cl)(Cl)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000193391839 697663330 /nfs/dbraw/zinc/66/33/30/697663330.db2.gz GTWJPIXODCUXTH-UHFFFAOYSA-N -1 1 313.162 1.172 20 0 DDADMM CC(C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C)=C1CCCC1 ZINC000986135718 697699823 /nfs/dbraw/zinc/69/98/23/697699823.db2.gz HWDMSWPDUUIPLO-YPMHNXCESA-N -1 1 319.409 1.480 20 0 DDADMM O=C([C@H]1CC1(Cl)Cl)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000773490840 697768009 /nfs/dbraw/zinc/76/80/09/697768009.db2.gz IDEOMADPKUZXSE-SSDOTTSWSA-N -1 1 306.149 1.675 20 0 DDADMM CC(C)(O)[C@H]1CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774065933 697838850 /nfs/dbraw/zinc/83/88/50/697838850.db2.gz JUEZSCZBCNZKAR-SNVBAGLBSA-N -1 1 306.391 1.394 20 0 DDADMM O=c1[n-]c(CN2CCN(Cc3cccc4cccnc43)CC2)n[nH]1 ZINC000774554594 697893746 /nfs/dbraw/zinc/89/37/46/697893746.db2.gz ALNIWQRNRNUQKE-UHFFFAOYSA-N -1 1 324.388 1.376 20 0 DDADMM C[C@@H](NC(=O)C1CC1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000986863788 697933131 /nfs/dbraw/zinc/93/31/31/697933131.db2.gz YCXOXMPWVWJPGX-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1nn(C)c(C)c1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774896618 697939698 /nfs/dbraw/zinc/93/96/98/697939698.db2.gz ONKSPUBYAPBYKX-UHFFFAOYSA-N -1 1 307.272 1.262 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]c2ccc3[nH]nnc3c2)c1Cl ZINC000749996990 700197064 /nfs/dbraw/zinc/19/70/64/700197064.db2.gz NIDMYNZPNWXJNZ-UHFFFAOYSA-N -1 1 312.742 1.146 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]c2ccc3nn[nH]c3c2)c1Cl ZINC000749996990 700197066 /nfs/dbraw/zinc/19/70/66/700197066.db2.gz NIDMYNZPNWXJNZ-UHFFFAOYSA-N -1 1 312.742 1.146 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776566835 698118370 /nfs/dbraw/zinc/11/83/70/698118370.db2.gz YHANHLOFICBGJR-RFMOXSJOSA-N -1 1 320.353 1.064 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CCC=CCC1 ZINC000987543466 698145531 /nfs/dbraw/zinc/14/55/31/698145531.db2.gz LSQVBIVJMHWWEF-AAEUAGOBSA-N -1 1 319.409 1.336 20 0 DDADMM CCOC(=O)N1CCC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000777214688 698174071 /nfs/dbraw/zinc/17/40/71/698174071.db2.gz UQDRZLGKKIBFIB-RYUDHWBXSA-N -1 1 321.377 1.774 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)C2CC2)C1)C(=O)c1ncccc1[O-] ZINC000987636991 698176829 /nfs/dbraw/zinc/17/68/29/698176829.db2.gz AAGVUOGUHYBEFQ-NSHDSACASA-N -1 1 303.362 1.118 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CC12CC2 ZINC000987679705 698195945 /nfs/dbraw/zinc/19/59/45/698195945.db2.gz IOSNUXKTORUHKU-WOPDTQHZSA-N -1 1 315.373 1.307 20 0 DDADMM COCCC[C@@H](C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000778194124 698248570 /nfs/dbraw/zinc/24/85/70/698248570.db2.gz GSBDZXDULPGQLS-GFCCVEGCSA-N -1 1 307.346 1.549 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987885907 698257514 /nfs/dbraw/zinc/25/75/14/698257514.db2.gz IEXONZGADRBWGA-YKAQBRKTSA-N -1 1 317.389 1.674 20 0 DDADMM CC(=O)c1cc(Cl)cc(CN2C[C@@H](C)O[C@H](CO)C2)c1[O-] ZINC000248814028 698381103 /nfs/dbraw/zinc/38/11/03/698381103.db2.gz ICHPKTONXGGDEO-RNCFNFMXSA-N -1 1 313.781 1.830 20 0 DDADMM Cc1cc(CN[C@H]2C[C@H](C)N(C(=O)c3n[nH]c(C)c3[O-])C2)on1 ZINC000988662347 698430314 /nfs/dbraw/zinc/43/03/14/698430314.db2.gz MJBOZERDBIJDAE-ONGXEEELSA-N -1 1 319.365 1.113 20 0 DDADMM Cc1nonc1[N-]C(=O)c1nc(N2CCCC2)ncc1Cl ZINC000750551670 700230837 /nfs/dbraw/zinc/23/08/37/700230837.db2.gz IQBIVDAGEBDAMD-UHFFFAOYSA-N -1 1 308.729 1.674 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c(C)c1 ZINC000780396372 698515196 /nfs/dbraw/zinc/51/51/96/698515196.db2.gz BZCBCXJXPSPGPH-CQSZACIVSA-N -1 1 308.345 1.731 20 0 DDADMM CN(C)[C@H](CNC(=O)N(CC(=O)[O-])C1CC1)c1ccc(F)cc1 ZINC000780452307 698519646 /nfs/dbraw/zinc/51/96/46/698519646.db2.gz VEERGMYOTAHLGJ-CQSZACIVSA-N -1 1 323.368 1.687 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)NC(C)(C)C(=O)[O-])N2CCCC2)o1 ZINC000780513812 698527220 /nfs/dbraw/zinc/52/72/20/698527220.db2.gz XMVPHDQSTDODJY-GFCCVEGCSA-N -1 1 323.393 1.887 20 0 DDADMM CC(C)COC(=O)[C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295566839 698588771 /nfs/dbraw/zinc/58/87/71/698588771.db2.gz QVCJTRYGQHODLP-VIFPVBQESA-N -1 1 322.390 1.336 20 0 DDADMM C[C@@](O)(CNC(=O)c1nc2ccccc2c(=O)[n-]1)c1ccccc1 ZINC000304126691 698615813 /nfs/dbraw/zinc/61/58/13/698615813.db2.gz UONKPQKNQJNHTK-GOSISDBHSA-N -1 1 323.352 1.973 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](CCO)C1CC1 ZINC000305101954 698633792 /nfs/dbraw/zinc/63/37/92/698633792.db2.gz AKLVLVQZXWTGGB-LLVKDONJSA-N -1 1 307.394 1.679 20 0 DDADMM O=C([O-])c1coc(C(=O)N[C@@H]2CCN(Cc3ccccc3)C2)c1 ZINC000309743547 698666673 /nfs/dbraw/zinc/66/66/73/698666673.db2.gz STPFMNUDFILBCA-CQSZACIVSA-N -1 1 314.341 1.982 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCCC1(O)CC1 ZINC000785669438 699090293 /nfs/dbraw/zinc/09/02/93/699090293.db2.gz ZLPNVGBIEGHHSC-UHFFFAOYSA-N -1 1 313.375 1.520 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])CS1 ZINC000397894801 699118052 /nfs/dbraw/zinc/11/80/52/699118052.db2.gz QOKQPQAWRYCKIA-JGVFFNPUSA-N -1 1 320.367 1.906 20 0 DDADMM CC1(C)C(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1(C)C ZINC000990970654 699195827 /nfs/dbraw/zinc/19/58/27/699195827.db2.gz XMOYIFJSSDHKHI-UHFFFAOYSA-N -1 1 317.389 1.410 20 0 DDADMM O=C(NC1CN(C(=O)C(C2CC2)C2CC2)C1)c1ncccc1[O-] ZINC000990972211 699196219 /nfs/dbraw/zinc/19/62/19/699196219.db2.gz NEFXHFNMMUVJPW-UHFFFAOYSA-N -1 1 315.373 1.164 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990978338 699197839 /nfs/dbraw/zinc/19/78/39/699197839.db2.gz NFPQSZQAZMKNOM-QWRGUYRKSA-N -1 1 303.362 1.164 20 0 DDADMM CCC(C)(CC)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990978289 699197876 /nfs/dbraw/zinc/19/78/76/699197876.db2.gz MRTGDFJUVGWTQH-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM CO[C@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC000787901325 699252645 /nfs/dbraw/zinc/25/26/45/699252645.db2.gz CQQCQNPQPVHPKS-NEPJUHHUSA-N -1 1 319.390 1.204 20 0 DDADMM O=C(NCC1OCCCO1)c1ccc(Br)cc1[O-] ZINC000716403037 699282641 /nfs/dbraw/zinc/28/26/41/699282641.db2.gz DOOYYKVCUGPHAW-UHFFFAOYSA-N -1 1 316.151 1.648 20 0 DDADMM CC(=CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccc(F)cc1 ZINC000725893265 699338906 /nfs/dbraw/zinc/33/89/06/699338906.db2.gz GBXNMSSMSJKFIQ-BQYQJAHWSA-N -1 1 324.337 1.389 20 0 DDADMM CC(=CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccc(F)cc1 ZINC000725893265 699338910 /nfs/dbraw/zinc/33/89/10/699338910.db2.gz GBXNMSSMSJKFIQ-BQYQJAHWSA-N -1 1 324.337 1.389 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N(Cc1cccs1)C1CC1 ZINC000726865737 699386081 /nfs/dbraw/zinc/38/60/81/699386081.db2.gz NMLKYZWESLGVDL-UHFFFAOYSA-N -1 1 319.386 1.179 20 0 DDADMM Cc1ccc(C(C)C)cc1O[C@H](C)C(=O)NN1CC(=O)[N-]C1=O ZINC000726979158 699391328 /nfs/dbraw/zinc/39/13/28/699391328.db2.gz CJBCQNXIUNQYEE-LLVKDONJSA-N -1 1 319.361 1.469 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])C1(C(=O)NC2CC2)CCC1 ZINC000790047561 699437413 /nfs/dbraw/zinc/43/74/13/699437413.db2.gz JJCDTUDBSQMNOC-UHFFFAOYSA-N -1 1 309.753 1.828 20 0 DDADMM O=C(C[C@@H]1C=CS(=O)(=O)C1)Nc1nc(Cl)ccc1[O-] ZINC000790047320 699437476 /nfs/dbraw/zinc/43/74/76/699437476.db2.gz CRAABLNJJPDJHT-ZETCQYMHSA-N -1 1 302.739 1.328 20 0 DDADMM Cc1nc(CS(=O)(=O)[N-]CC2(C)OCCCO2)cs1 ZINC000790444917 699460689 /nfs/dbraw/zinc/46/06/89/699460689.db2.gz NBVCISISKHAGAK-UHFFFAOYSA-N -1 1 306.409 1.024 20 0 DDADMM C[C@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1F ZINC000732089632 699546105 /nfs/dbraw/zinc/54/61/05/699546105.db2.gz KVWAPRWCZRMMIO-JOYOIKCWSA-N -1 1 305.313 1.042 20 0 DDADMM Cc1onc(-c2ccc(F)cc2)c1C(=O)NCc1nn[n-]n1 ZINC000732158162 699548224 /nfs/dbraw/zinc/54/82/24/699548224.db2.gz WBAMFSDITQWTMV-UHFFFAOYSA-N -1 1 302.269 1.232 20 0 DDADMM CC(C)[C@@H]1CCCC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000733148226 699580783 /nfs/dbraw/zinc/58/07/83/699580783.db2.gz ZRKQVUDLGRXNIU-QWHCGFSZSA-N -1 1 307.394 1.258 20 0 DDADMM C[C@@H]1c2ccccc2CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733151376 699581011 /nfs/dbraw/zinc/58/10/11/699581011.db2.gz ILIRLKPVSSTKMS-GFCCVEGCSA-N -1 1 313.357 1.073 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCc3cscn3)ccnc1-2 ZINC000791089644 699610980 /nfs/dbraw/zinc/61/09/80/699610980.db2.gz NRVMXBPXOVXBQJ-UHFFFAOYSA-N -1 1 302.363 1.163 20 0 DDADMM CC(=O)c1csc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000791164458 699613876 /nfs/dbraw/zinc/61/38/76/699613876.db2.gz JZWFGRFFXGYZJV-UHFFFAOYSA-N -1 1 300.343 1.858 20 0 DDADMM Cc1nc(C[N-]C(=O)C(F)(F)Oc2ccc(C=O)cc2)no1 ZINC000736091909 699717611 /nfs/dbraw/zinc/71/76/11/699717611.db2.gz JUWOHXKSBHXUNG-UHFFFAOYSA-N -1 1 311.244 1.479 20 0 DDADMM Cc1ccc2oc(C(=O)N3CCOC[C@H]3c3nn[n-]n3)cc2c1 ZINC000737373076 699739349 /nfs/dbraw/zinc/73/93/49/699739349.db2.gz BUAQZVKBZFCCKN-NSHDSACASA-N -1 1 313.317 1.468 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC000737489957 699741616 /nfs/dbraw/zinc/74/16/16/699741616.db2.gz OPUFYISWVLGDIL-NSCUHMNNSA-N -1 1 304.379 1.384 20 0 DDADMM Cn1ccc2c1cccc2C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000737611836 699742607 /nfs/dbraw/zinc/74/26/07/699742607.db2.gz INKLFPQUEJEYID-UHFFFAOYSA-N -1 1 310.361 1.711 20 0 DDADMM Cn1cnc2c1CCN(c1ccc(Cl)c(-c3nnn[n-]3)n1)C2 ZINC000737619502 699742823 /nfs/dbraw/zinc/74/28/23/699742823.db2.gz TZSHEWULGAVNIH-UHFFFAOYSA-N -1 1 316.756 1.211 20 0 DDADMM Cn1cnc2c1CCN(c1ccc(Cl)c(-c3nn[n-]n3)n1)C2 ZINC000737619502 699742824 /nfs/dbraw/zinc/74/28/24/699742824.db2.gz TZSHEWULGAVNIH-UHFFFAOYSA-N -1 1 316.756 1.211 20 0 DDADMM O=C([C@@H]1CCOc2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000737792340 699744902 /nfs/dbraw/zinc/74/49/02/699744902.db2.gz NVWSIZLTWCZWSB-CYBMUJFWSA-N -1 1 313.361 1.472 20 0 DDADMM COC(=O)Cc1ccccc1OS(=O)(=O)c1c[n-]nc1C ZINC000739968188 699784191 /nfs/dbraw/zinc/78/41/91/699784191.db2.gz KTLXROHSDWNGFB-UHFFFAOYSA-N -1 1 310.331 1.201 20 0 DDADMM CC1CCC(C)(CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000794022528 699792489 /nfs/dbraw/zinc/79/24/89/699792489.db2.gz VQILCVNVFBDLJQ-UHFFFAOYSA-N -1 1 307.394 1.259 20 0 DDADMM CN(C)C(=O)c1ccnc(NC([O-])=NO[C@@H]2CCCCO2)c1 ZINC000794275423 699800028 /nfs/dbraw/zinc/80/00/28/699800028.db2.gz PRBFFQQPBOZIDW-GFCCVEGCSA-N -1 1 308.338 1.363 20 0 DDADMM CN(C)C(=O)c1ccnc(NC(=O)[N-]O[C@@H]2CCCCO2)c1 ZINC000794275423 699800030 /nfs/dbraw/zinc/80/00/30/699800030.db2.gz PRBFFQQPBOZIDW-GFCCVEGCSA-N -1 1 308.338 1.363 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1C[C@H]1C1CCCCC1 ZINC000741731268 699849871 /nfs/dbraw/zinc/84/98/71/699849871.db2.gz ONDVCDDURBTQEY-QWHCGFSZSA-N -1 1 305.378 1.012 20 0 DDADMM CCc1cc(CNC(=O)c2nn(-c3ccccc3)cc2[O-])n[nH]1 ZINC000743405410 699911284 /nfs/dbraw/zinc/91/12/84/699911284.db2.gz MUXPLCNKTMEWBC-UHFFFAOYSA-N -1 1 311.345 1.793 20 0 DDADMM O=C(Cc1csc(-c2nc[nH]n2)n1)Nc1c([O-])cccc1F ZINC000743415608 699911857 /nfs/dbraw/zinc/91/18/57/699911857.db2.gz IQQDQCLFEBBNHG-UHFFFAOYSA-N -1 1 319.321 1.954 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)c2ccc(OC)cc2)[n-]1 ZINC000796345170 699927871 /nfs/dbraw/zinc/92/78/71/699927871.db2.gz JEVPQWLWVNYCOW-UHFFFAOYSA-N -1 1 317.297 1.850 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)c2cccc(OC)c2)[n-]1 ZINC000796347730 699928237 /nfs/dbraw/zinc/92/82/37/699928237.db2.gz WGDTZEGCAIWYCB-UHFFFAOYSA-N -1 1 317.297 1.850 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H]2CCCN2C(C)=O)c1 ZINC000744510871 699952341 /nfs/dbraw/zinc/95/23/41/699952341.db2.gz CWWKSJXBDARGMN-HNNXBMFYSA-N -1 1 319.357 1.691 20 0 DDADMM CC(C)(C)[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(F)(F)F ZINC000744612517 699955589 /nfs/dbraw/zinc/95/55/89/699955589.db2.gz IGNVISJNTAJCKQ-LLVKDONJSA-N -1 1 321.299 1.020 20 0 DDADMM CC(C)OC[C@H](O)COC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801203382 700277543 /nfs/dbraw/zinc/27/75/43/700277543.db2.gz KAHRRYVGZTXXGZ-ZDUSSCGKSA-N -1 1 320.345 1.521 20 0 DDADMM Cn1ncnc1COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801325454 700296984 /nfs/dbraw/zinc/29/69/84/700296984.db2.gz LUHOALZKTUOFIU-UHFFFAOYSA-N -1 1 317.280 1.203 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)O[C@H](C)C(N)=O)n1 ZINC000801417302 700305798 /nfs/dbraw/zinc/30/57/98/700305798.db2.gz JNXIFRCYXKBTBJ-SECBINFHSA-N -1 1 303.318 1.171 20 0 DDADMM Cc1noc(C(F)F)c1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801699807 700331871 /nfs/dbraw/zinc/33/18/71/700331871.db2.gz CXJOZDIKVAYGLH-UHFFFAOYSA-N -1 1 307.260 1.828 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cccnc3-n3cccn3)ccnc1-2 ZINC000801703450 700333270 /nfs/dbraw/zinc/33/32/70/700333270.db2.gz KMKGVOKLMQXWCI-UHFFFAOYSA-N -1 1 319.328 1.175 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)C[C@H]1CCC[C@H]1O ZINC000755230984 700557053 /nfs/dbraw/zinc/55/70/53/700557053.db2.gz GVGTYYDKQDMAGG-VXGBXAGGSA-N -1 1 307.394 1.847 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H](C)C(C)(C)C)co1 ZINC000755896643 700590573 /nfs/dbraw/zinc/59/05/73/700590573.db2.gz CHHDIQVBRVXBFP-SECBINFHSA-N -1 1 302.396 1.600 20 0 DDADMM Cc1cc(CC(=O)OCC(=O)[N-]OCc2ccccc2)n[nH]1 ZINC000756705224 700637114 /nfs/dbraw/zinc/63/71/14/700637114.db2.gz GQKCACQGZPHXOW-UHFFFAOYSA-N -1 1 303.318 1.052 20 0 DDADMM COC(=O)[C@](C)([N-]C(=O)C(F)(F)c1nccs1)C1CC1 ZINC000756708062 700637530 /nfs/dbraw/zinc/63/75/30/700637530.db2.gz TWWZFWCSPPEMDY-LLVKDONJSA-N -1 1 304.318 1.693 20 0 DDADMM O=C([N-]OCC(F)F)[C@H]1CC(=O)N(c2c(F)cccc2F)C1 ZINC000759337839 700747359 /nfs/dbraw/zinc/74/73/59/700747359.db2.gz LPBVYERNPHNDPQ-ZETCQYMHSA-N -1 1 320.242 1.631 20 0 DDADMM Cc1cccnc1/C=C\C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000759803823 700773859 /nfs/dbraw/zinc/77/38/59/700773859.db2.gz ZLTJQIXBKYLFTK-KTKRTIGZSA-N -1 1 324.336 1.903 20 0 DDADMM O=C(CC1(O)CCCCC1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000760139648 700793139 /nfs/dbraw/zinc/79/31/39/700793139.db2.gz WDZLCJXTXDRBLF-CQSZACIVSA-N -1 1 315.377 1.491 20 0 DDADMM CC(C)(C)OC(=O)N1CC(CNC(=O)c2cncc([O-])c2)C1 ZINC000763224744 700929772 /nfs/dbraw/zinc/92/97/72/700929772.db2.gz NVIIEOVDICRGAW-UHFFFAOYSA-N -1 1 307.350 1.384 20 0 DDADMM CC[C@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)C1CCC(C)CC1 ZINC000764101624 700960462 /nfs/dbraw/zinc/96/04/62/700960462.db2.gz SJEBBUSMMKGNPL-CLRIEMFWSA-N -1 1 321.425 1.952 20 0 DDADMM CC(C)OCc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765418062 701011122 /nfs/dbraw/zinc/01/11/22/701011122.db2.gz AQPMQZCJOUNSJV-UHFFFAOYSA-N -1 1 305.334 1.390 20 0 DDADMM Cc1nc2ccc(C(=O)OCc3nc(=O)n(C)[n-]3)cc2nc1C ZINC000765420140 701011297 /nfs/dbraw/zinc/01/12/97/701011297.db2.gz FHRLUNLNQVMWGE-UHFFFAOYSA-N -1 1 313.317 1.025 20 0 DDADMM C[C@@H](Oc1ccccc1Cl)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765436975 701012871 /nfs/dbraw/zinc/01/28/71/701012871.db2.gz AJIAUNTWTNMCEF-MRVPVSSYSA-N -1 1 311.725 1.273 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)CCC(C)=O)c(=O)[n-]1 ZINC000765442936 701013151 /nfs/dbraw/zinc/01/31/51/701013151.db2.gz LYPNMFHYEMZARM-UHFFFAOYSA-N -1 1 311.407 1.583 20 0 DDADMM Cc1c2cccc(C)c2oc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765459911 701013558 /nfs/dbraw/zinc/01/35/58/701013558.db2.gz SNONKJPXBNDOHT-UHFFFAOYSA-N -1 1 301.302 1.828 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Cl)cc(Cl)n2)nc1=O ZINC000765502931 701016336 /nfs/dbraw/zinc/01/63/36/701016336.db2.gz LRKIHXWIBJWCIA-UHFFFAOYSA-N -1 1 303.105 1.167 20 0 DDADMM Cc1cc(C(=O)OCc2nc(=O)n(C)[n-]2)nn1C1CCCCC1 ZINC000765500119 701016345 /nfs/dbraw/zinc/01/63/45/701016345.db2.gz BWXDPRFPXFYIRF-UHFFFAOYSA-N -1 1 319.365 1.476 20 0 DDADMM O=C(CCc1cccc(C(F)(F)F)c1)NN1CC(=O)[N-]C1=O ZINC000767031714 701079105 /nfs/dbraw/zinc/07/91/05/701079105.db2.gz JYJRYDDLDKFBAY-UHFFFAOYSA-N -1 1 315.251 1.221 20 0 DDADMM Cn1cnnc1[C@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000767303444 701096235 /nfs/dbraw/zinc/09/62/35/701096235.db2.gz XXEPIKONVIDIQK-NSHDSACASA-N -1 1 304.325 1.680 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cccc1Cl)C1(S(C)(=O)=O)CC1 ZINC000804540341 701199065 /nfs/dbraw/zinc/19/90/65/701199065.db2.gz VSGJSBVGJNAAAF-MRVPVSSYSA-N -1 1 317.794 1.741 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(F)(F)F ZINC000769098310 701230529 /nfs/dbraw/zinc/23/05/29/701230529.db2.gz XHQBCVLFBUDHAX-PSASIEDQSA-N -1 1 321.299 1.020 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCO[C@@H](c2ncon2)C1 ZINC000771465252 701320732 /nfs/dbraw/zinc/32/07/32/701320732.db2.gz QIYPTFOYMBWXHT-SNVBAGLBSA-N -1 1 309.709 1.642 20 0 DDADMM CC12CC3(C)CC(C)(C1)CC(C(=O)NN1CC(=O)[N-]C1=O)(C2)C3 ZINC000772215841 701345924 /nfs/dbraw/zinc/34/59/24/701345924.db2.gz UJROGIJIEZLXEL-UHFFFAOYSA-N -1 1 319.405 1.956 20 0 DDADMM Cn1ncc(C[N-]S(=O)(=O)c2cc(F)ccc2Cl)n1 ZINC000805102175 701367884 /nfs/dbraw/zinc/36/78/84/701367884.db2.gz HNKNQLYDGIZCCL-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC1(F)F)c1cccc2nonc21 ZINC000805143424 701369255 /nfs/dbraw/zinc/36/92/55/701369255.db2.gz KAOAAHVBCZCHSJ-VIFPVBQESA-N -1 1 303.290 1.689 20 0 DDADMM C[C@@H](c1ccc(F)c(F)c1)N(C)C(=O)COC(=O)c1cn[n-]n1 ZINC000805604394 701397791 /nfs/dbraw/zinc/39/77/91/701397791.db2.gz HOLRADFUPDRCCV-QMMMGPOBSA-N -1 1 324.287 1.459 20 0 DDADMM CC(C)[C@H](OC(=O)c1cn[n-]n1)C(=O)N1C[C@H](C)C[C@@H](C)C1 ZINC000805604562 701397846 /nfs/dbraw/zinc/39/78/46/701397846.db2.gz BDCYIIPKXLVHQG-WZRBSPASSA-N -1 1 308.382 1.491 20 0 DDADMM CC(=O)c1ccc(NC(=O)[C@@H](C)OC(=O)c2cn[n-]n2)cc1 ZINC000805604533 701398078 /nfs/dbraw/zinc/39/80/78/701398078.db2.gz AFVHKITZFKNSOC-SECBINFHSA-N -1 1 302.290 1.191 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)NC12CC3CC(CC(C3)C1)C2 ZINC000805605308 701398441 /nfs/dbraw/zinc/39/84/41/701398441.db2.gz IBZAPCPVZHRKMV-UHFFFAOYSA-N -1 1 304.350 1.047 20 0 DDADMM CN(C(=O)COC(=O)c1cn[n-]n1)[C@@H]1CCCc2ccccc21 ZINC000805605425 701398578 /nfs/dbraw/zinc/39/85/78/701398578.db2.gz MLMZREFMURYJNN-CQSZACIVSA-N -1 1 314.345 1.498 20 0 DDADMM CSc1cccc(NC(=O)[C@@H](C)OC(=O)c2cn[n-]n2)c1 ZINC000805607033 701399660 /nfs/dbraw/zinc/39/96/60/701399660.db2.gz FJUXXJTWIUYECD-MRVPVSSYSA-N -1 1 306.347 1.711 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCc4ncsc4C3)ccnc1-2 ZINC000806483129 701436993 /nfs/dbraw/zinc/43/69/93/701436993.db2.gz WPWWDLLBPJDNRP-UHFFFAOYSA-N -1 1 314.374 1.389 20 0 DDADMM CC(C)OCC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807708917 701484371 /nfs/dbraw/zinc/48/43/71/701484371.db2.gz VKGMMGMMUCDIBM-NHCYSSNCSA-N -1 1 324.343 1.715 20 0 DDADMM C[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@H](O)c1ccccc1 ZINC000808492446 701519681 /nfs/dbraw/zinc/51/96/81/701519681.db2.gz VEODOLAXBDJCCW-ABAIWWIYSA-N -1 1 323.352 1.775 20 0 DDADMM C[C@H](C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CCCC1 ZINC000830959740 706611588 /nfs/dbraw/zinc/61/15/88/706611588.db2.gz SHTYVGGGENZDBN-JTQLQIEISA-N -1 1 305.378 1.697 20 0 DDADMM Cc1ccc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c(C)c1 ZINC000830969925 706613284 /nfs/dbraw/zinc/61/32/84/706613284.db2.gz JSUCHSPTYVJQIU-UHFFFAOYSA-N -1 1 313.357 1.802 20 0 DDADMM CC(C)CCS(=O)(=O)[N-]C(=O)[C@]1(F)CCN(C(C)(C)C)C1 ZINC000810578837 701793462 /nfs/dbraw/zinc/79/34/62/701793462.db2.gz SKNYTQMLFWHKQU-AWEZNQCLSA-N -1 1 322.446 1.691 20 0 DDADMM CCN(C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C(C)C ZINC000867889895 701813040 /nfs/dbraw/zinc/81/30/40/701813040.db2.gz IHDHCZLMWQADMH-GFCCVEGCSA-N -1 1 309.332 1.980 20 0 DDADMM CC(C)(O)CON=c1ncc(I)c[n-]1 ZINC000811839783 702070390 /nfs/dbraw/zinc/07/03/90/702070390.db2.gz QAJWHNZNCTUFQB-UHFFFAOYSA-N -1 1 309.107 1.196 20 0 DDADMM Cc1nc(C(=O)N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)c[nH]1 ZINC000812276541 702133259 /nfs/dbraw/zinc/13/32/59/702133259.db2.gz NFRHWFTUVGOFKA-VIFPVBQESA-N -1 1 318.299 1.249 20 0 DDADMM CCn1ncn([N-]C(=O)c2cc(Cl)nc(Cl)c2)c1=O ZINC000816735453 702169993 /nfs/dbraw/zinc/16/99/93/702169993.db2.gz IFUXJUFOZNDTGR-UHFFFAOYSA-N -1 1 302.121 1.150 20 0 DDADMM O=C([N-]OC/C=C\Cl)[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000812405118 702177073 /nfs/dbraw/zinc/17/70/73/702177073.db2.gz QXJCGQKDVCTSFY-MEQVVJDKSA-N -1 1 300.786 1.968 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2CC23CC3)cc1 ZINC000817234260 702311691 /nfs/dbraw/zinc/31/16/91/702311691.db2.gz GEBHUHZXVWXGQM-GFCCVEGCSA-N -1 1 303.314 1.295 20 0 DDADMM CN1CC2(CN(C(=O)Cc3ccc([O-])c(Cl)c3)C2)OC1=O ZINC000868921623 702387959 /nfs/dbraw/zinc/38/79/59/702387959.db2.gz KJNIRMCJPAAIHI-UHFFFAOYSA-N -1 1 310.737 1.251 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C(=O)O1 ZINC000841523367 702478236 /nfs/dbraw/zinc/47/82/36/702478236.db2.gz LPABPPKFFQXVJH-IMTBSYHQSA-N -1 1 307.730 1.461 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)CC1(C)CCCCC1 ZINC000841535181 702485430 /nfs/dbraw/zinc/48/54/30/702485430.db2.gz PZWHEPKWQQLNTJ-NSHDSACASA-N -1 1 303.424 1.828 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)Cc1ccc(C)cc1F ZINC000841545434 702492051 /nfs/dbraw/zinc/49/20/51/702492051.db2.gz PPIHPNXXZOCUBJ-NSHDSACASA-N -1 1 307.318 1.065 20 0 DDADMM O=S(=O)([N-][C@@H]1COCc2ccccc21)c1c[nH]nc1Cl ZINC000841569926 702501308 /nfs/dbraw/zinc/50/13/08/702501308.db2.gz FHEUCOUBPCCDKZ-SNVBAGLBSA-N -1 1 313.766 1.613 20 0 DDADMM CCN(C[C@@H](O)c1ccc(C)cc1)C(=O)CCc1nn[n-]n1 ZINC000866333044 706675268 /nfs/dbraw/zinc/67/52/68/706675268.db2.gz HSMLCBIOKWWRGO-CYBMUJFWSA-N -1 1 303.366 1.023 20 0 DDADMM CCCCN(CC)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869212694 702512809 /nfs/dbraw/zinc/51/28/09/702512809.db2.gz IMIZNCTXIJSZPY-UHFFFAOYSA-N -1 1 308.382 1.587 20 0 DDADMM CC[C@H]1[C@H](C)CCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869213442 702513596 /nfs/dbraw/zinc/51/35/96/702513596.db2.gz JMCZBYVBINCZGJ-MFKMUULPSA-N -1 1 320.393 1.585 20 0 DDADMM C[C@H]1CC/C(=C\C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869431178 702605063 /nfs/dbraw/zinc/60/50/63/702605063.db2.gz QKLGULOZHAXXIB-HZAKCSEPSA-N -1 1 321.295 1.914 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccccc1Cl ZINC000866380450 706685711 /nfs/dbraw/zinc/68/57/11/706685711.db2.gz FHOJTBVUFPERFM-QGZVFWFLSA-N -1 1 310.828 1.792 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCCN(CC(F)F)C1)c1nn[n-]n1 ZINC000866420009 706694743 /nfs/dbraw/zinc/69/47/43/706694743.db2.gz LSUPMBUGBJSNNU-VHSXEESVSA-N -1 1 316.356 1.134 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCc2ccncc2C)o1 ZINC000842398731 702715929 /nfs/dbraw/zinc/71/59/29/702715929.db2.gz BNLZBILAFFZLAF-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM CC(C)[C@@]1(C)C[C@@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016546 702803878 /nfs/dbraw/zinc/80/38/78/702803878.db2.gz UJDBFWGSDWIJCA-TZMCWYRMSA-N -1 1 316.467 1.599 20 0 DDADMM C/C(=C/C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C1CC1 ZINC000843017852 702804099 /nfs/dbraw/zinc/80/40/99/702804099.db2.gz CLLQDYAVICYLQP-LUAWRHEFSA-N -1 1 300.424 1.273 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C(C)(C)C(=O)OC(C)(C)C)ccnc1-2 ZINC000843588025 702905480 /nfs/dbraw/zinc/90/54/80/702905480.db2.gz TTXWNNOOPGAARK-UHFFFAOYSA-N -1 1 318.377 1.648 20 0 DDADMM O=C(CC1(Cn2cnnn2)CCCCC1)[N-]OCC(F)(F)F ZINC000845831596 703207822 /nfs/dbraw/zinc/20/78/22/703207822.db2.gz PBZASXFEDBBJMF-UHFFFAOYSA-N -1 1 321.303 1.624 20 0 DDADMM CCO[N-]C(=O)CNCc1cccc(O)c1Br ZINC000846084706 703235752 /nfs/dbraw/zinc/23/57/52/703235752.db2.gz WNOWFRUUEMMIEN-UHFFFAOYSA-N -1 1 303.156 1.312 20 0 DDADMM CCOc1ccccc1C[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866578343 706734503 /nfs/dbraw/zinc/73/45/03/706734503.db2.gz OIQMHOPEDHTLNM-UHFFFAOYSA-N -1 1 306.409 1.147 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C1(CO)CCC1 ZINC000831601794 706737072 /nfs/dbraw/zinc/73/70/72/706737072.db2.gz KJKKXQYDYUALDY-SNVBAGLBSA-N -1 1 321.830 1.529 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ccccn1 ZINC000847080244 703375247 /nfs/dbraw/zinc/37/52/47/703375247.db2.gz MWHVOYKKKBQHAA-WDEREUQCSA-N -1 1 302.334 1.680 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Nc1nnc(C2CC2)s1 ZINC000847199325 703387654 /nfs/dbraw/zinc/38/76/54/703387654.db2.gz SDFTUMPXCRTBOT-UHFFFAOYSA-N -1 1 314.367 1.810 20 0 DDADMM O=C(CCC1CCCCCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000847471510 703423323 /nfs/dbraw/zinc/42/33/23/703423323.db2.gz CCSHSQZVTHSZSN-CYBMUJFWSA-N -1 1 307.398 1.850 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@@H]2CCC(F)(F)C2)n[n-]1 ZINC000879654619 706750756 /nfs/dbraw/zinc/75/07/56/706750756.db2.gz NQBMHKSURICTMG-QMMMGPOBSA-N -1 1 316.308 1.423 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H]2CCC(F)(F)C2)n1 ZINC000879654619 706750759 /nfs/dbraw/zinc/75/07/59/706750759.db2.gz NQBMHKSURICTMG-QMMMGPOBSA-N -1 1 316.308 1.423 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H]1CC1CCCC1 ZINC000848355821 703542458 /nfs/dbraw/zinc/54/24/58/703542458.db2.gz PBVLCDOLDIDBIZ-CQSZACIVSA-N -1 1 319.405 1.498 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]CC1(C)OCCCO1)C1CCCC1 ZINC000849390940 703642472 /nfs/dbraw/zinc/64/24/72/703642472.db2.gz XUEKWVIDPDVCNX-CYBMUJFWSA-N -1 1 321.439 1.264 20 0 DDADMM CON(C)CC[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000849408339 703644921 /nfs/dbraw/zinc/64/49/21/703644921.db2.gz FYDVYQRFSWAYBH-UHFFFAOYSA-N -1 1 314.194 1.160 20 0 DDADMM COC[C@@H](CC[N-]S(=O)(=O)c1cc2ccccc2o1)OC ZINC000849416018 703645710 /nfs/dbraw/zinc/64/57/10/703645710.db2.gz XBZVEECVINIKQT-GFCCVEGCSA-N -1 1 313.375 1.763 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1nccs1 ZINC000866677677 706762043 /nfs/dbraw/zinc/76/20/43/706762043.db2.gz AEUSRWHPLHZPGZ-UHFFFAOYSA-N -1 1 311.454 1.028 20 0 DDADMM Cn1nnnc1C1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000831734872 706766680 /nfs/dbraw/zinc/76/66/80/706766680.db2.gz ZGLIIKRAHAPAAL-UHFFFAOYSA-N -1 1 321.768 1.589 20 0 DDADMM Cn1ncc(CN2C[C@H](C(=O)[O-])[C@@H](c3ccc(Cl)cc3)C2)n1 ZINC000851708889 703834519 /nfs/dbraw/zinc/83/45/19/703834519.db2.gz NVRVWZQSUZOMPX-KGLIPLIRSA-N -1 1 320.780 1.769 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCC2(C)C)cc1C ZINC000851790121 703856727 /nfs/dbraw/zinc/85/67/27/703856727.db2.gz XKJQLKSVIXCHAI-VIFPVBQESA-N -1 1 301.364 1.842 20 0 DDADMM CC[C@@H](C)C[C@@H](CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000831748938 706770244 /nfs/dbraw/zinc/77/02/44/706770244.db2.gz SGILMRILGVIJFB-MNOVXSKESA-N -1 1 305.382 1.260 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)s1 ZINC000819629139 704153260 /nfs/dbraw/zinc/15/32/60/704153260.db2.gz ZSPCOWGDGBWWEM-LWTINBJPSA-N -1 1 320.374 1.960 20 0 DDADMM CCn1c(C)nnc1N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000871062474 704211698 /nfs/dbraw/zinc/21/16/98/704211698.db2.gz YJRPZKWYCKYKHZ-NSHDSACASA-N -1 1 305.304 1.254 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC2([C@H]3CCOC3)CC2)c1 ZINC000820084511 704218571 /nfs/dbraw/zinc/21/85/71/704218571.db2.gz KUCNTAOWFNZCLJ-AMXDTQDGSA-N -1 1 324.398 1.915 20 0 DDADMM COC(=O)C1=NO[C@]2(CCN(C(=O)c3ccc([O-])cc3F)C2)C1 ZINC000871381684 704279572 /nfs/dbraw/zinc/27/95/72/704279572.db2.gz JNLURCKNRGQORV-OAHLLOKOSA-N -1 1 322.292 1.065 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1ccccc1Cl ZINC000820768443 704328787 /nfs/dbraw/zinc/32/87/87/704328787.db2.gz QMUVVYDNOQSKCR-MRVPVSSYSA-N -1 1 310.828 1.963 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]CCOC1CCCCCC1 ZINC000866809157 706809392 /nfs/dbraw/zinc/80/93/92/706809392.db2.gz GSIJFXFOWIRDSQ-UHFFFAOYSA-N -1 1 312.457 1.288 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)c1coc(=O)c2ccccc12 ZINC000821041883 704363098 /nfs/dbraw/zinc/36/30/98/704363098.db2.gz QOHBSRGGVKLGFF-UHFFFAOYSA-N -1 1 319.313 1.796 20 0 DDADMM O=C(c1ccc2oc(=S)[n-]c2c1)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000854986854 704465231 /nfs/dbraw/zinc/46/52/31/704465231.db2.gz DDSIYYVTQOCEEA-SECBINFHSA-N -1 1 315.358 1.864 20 0 DDADMM C[C@H](CO)[C@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000855466526 704486645 /nfs/dbraw/zinc/48/66/45/704486645.db2.gz KSKDASQPUDHORB-ZYHUDNBSSA-N -1 1 320.418 1.641 20 0 DDADMM O=C(NC[C@]12OCCC[C@H]1C2(F)F)C(=O)c1ccc([O-])cc1 ZINC000855782041 704500960 /nfs/dbraw/zinc/50/09/60/704500960.db2.gz RNOVKKFDYBKMTA-RISCZKNCSA-N -1 1 311.284 1.505 20 0 DDADMM COC(=O)[C@@](C)(CCF)[N-]C(=O)C(F)(F)c1nccs1 ZINC000855909895 704506103 /nfs/dbraw/zinc/50/61/03/704506103.db2.gz HGIARMGBOLYBHW-SNVBAGLBSA-N -1 1 310.297 1.642 20 0 DDADMM COC(=O)N(C)CCNC(=O)c1ccc2ccccc2c1[O-] ZINC000855937660 704507783 /nfs/dbraw/zinc/50/77/83/704507783.db2.gz QZVZKYXAPWPROW-UHFFFAOYSA-N -1 1 302.330 1.973 20 0 DDADMM CCCCCCO[C@@H](C)C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000857621241 704608187 /nfs/dbraw/zinc/60/81/87/704608187.db2.gz BINGICSPSCZYJS-NSHDSACASA-N -1 1 321.381 1.650 20 0 DDADMM Cc1ccc([C@H](C)C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)o1 ZINC000857622468 704608226 /nfs/dbraw/zinc/60/82/26/704608226.db2.gz UMUXZPODOJOHFP-VIFPVBQESA-N -1 1 301.306 1.370 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CSC(F)F)nc2n1 ZINC000857685252 704613636 /nfs/dbraw/zinc/61/36/36/704613636.db2.gz RQKSLDGPRFJOHJ-UHFFFAOYSA-N -1 1 317.321 1.264 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)/C=C3\CC[C@H](C)C3)nc2n1 ZINC000857684898 704613715 /nfs/dbraw/zinc/61/37/15/704613715.db2.gz SECOJXBANLLVTE-HZAKCSEPSA-N -1 1 301.350 1.665 20 0 DDADMM C[C@@]1(c2ccccc2)C[C@@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866872273 706830886 /nfs/dbraw/zinc/83/08/86/706830886.db2.gz KHKUJWBRNHYACS-RYUDHWBXSA-N -1 1 302.421 1.279 20 0 DDADMM C[C@@H]1CC[C@H]([N-]S(=O)(=O)c2nc[nH]c2Br)C1 ZINC000867152277 706910231 /nfs/dbraw/zinc/91/02/31/706910231.db2.gz ZBTXBZHUNXBHLW-RQJHMYQMSA-N -1 1 308.201 1.639 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1cscc1C ZINC000867200485 706922152 /nfs/dbraw/zinc/92/21/52/706922152.db2.gz NLVIDRYABGTGCR-UHFFFAOYSA-N -1 1 310.466 1.899 20 0 DDADMM CC(C)OCCOC[C@@H](O)CN(C)c1cc(Cl)[n-]c(=O)n1 ZINC000858364216 704700524 /nfs/dbraw/zinc/70/05/24/704700524.db2.gz DEOIRWJVGBNYBX-JTQLQIEISA-N -1 1 319.789 1.074 20 0 DDADMM CCCCNC(=O)[C@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858375006 704701927 /nfs/dbraw/zinc/70/19/27/704701927.db2.gz DTRHSMSTRJONDN-JTQLQIEISA-N -1 1 312.801 1.968 20 0 DDADMM O=c1nc(N2CCC[C@@H](N3CCCCC3=O)C2)cc(Cl)[n-]1 ZINC000858408333 704707076 /nfs/dbraw/zinc/70/70/76/704707076.db2.gz SRGOHVJWIGWSGT-SNVBAGLBSA-N -1 1 310.785 1.817 20 0 DDADMM O=S(=O)([N-]CC1CC1)c1ccc(Br)nc1F ZINC000858891106 704769026 /nfs/dbraw/zinc/76/90/26/704769026.db2.gz VSNWPYKDCFKPBY-UHFFFAOYSA-N -1 1 309.160 1.672 20 0 DDADMM COC(=O)C1=CC[C@@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC000859289640 704850965 /nfs/dbraw/zinc/85/09/65/704850965.db2.gz HYBPVXITWQIJFI-SNVBAGLBSA-N -1 1 317.313 1.505 20 0 DDADMM CON(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000859402693 704891648 /nfs/dbraw/zinc/89/16/48/704891648.db2.gz FICHYJDOFVSRDF-ZETCQYMHSA-N -1 1 324.761 1.166 20 0 DDADMM CO[C@@H]([C@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F)C1CC1 ZINC000867362232 706974801 /nfs/dbraw/zinc/97/48/01/706974801.db2.gz ULPZMJRBCMQULU-CPCISQLKSA-N -1 1 306.334 1.452 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000867385681 706983670 /nfs/dbraw/zinc/98/36/70/706983670.db2.gz NBNVTQXJMKQOCN-YFKPBYRVSA-N -1 1 301.242 1.007 20 0 DDADMM Cc1nc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)sc1C ZINC000822444406 704949558 /nfs/dbraw/zinc/94/95/58/704949558.db2.gz PMSHQRWKCHBQEP-UHFFFAOYSA-N -1 1 315.362 1.265 20 0 DDADMM Cc1nc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)sc1C ZINC000822444406 704949561 /nfs/dbraw/zinc/94/95/61/704949561.db2.gz PMSHQRWKCHBQEP-UHFFFAOYSA-N -1 1 315.362 1.265 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@@H]2CCO[C@@H](C(C)C)C2)[n-]1 ZINC000822546910 704972214 /nfs/dbraw/zinc/97/22/14/704972214.db2.gz CFKBOEHDVMWUQY-ZYHUDNBSSA-N -1 1 309.366 1.976 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCO[C@@H](C(C)C)C2)n1 ZINC000822546910 704972219 /nfs/dbraw/zinc/97/22/19/704972219.db2.gz CFKBOEHDVMWUQY-ZYHUDNBSSA-N -1 1 309.366 1.976 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCCC23OCCO3)sn1 ZINC000867525796 707028757 /nfs/dbraw/zinc/02/87/57/707028757.db2.gz CIIZEUDJEUVCAR-SECBINFHSA-N -1 1 304.393 1.025 20 0 DDADMM O=C(C/C=C\Cc1ccccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000874443848 705067997 /nfs/dbraw/zinc/06/79/97/705067997.db2.gz DMPVRYCTJOVYPB-ZRUQZJFASA-N -1 1 313.361 1.289 20 0 DDADMM O=S(=O)([N-]CC[C@@H]1CCSC1)c1ccc(F)nc1F ZINC000867573707 707043763 /nfs/dbraw/zinc/04/37/63/707043763.db2.gz MJLAOQVFDXIWDW-MRVPVSSYSA-N -1 1 308.375 1.781 20 0 DDADMM Cc1ccncc1CCNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000823061662 705127979 /nfs/dbraw/zinc/12/79/79/705127979.db2.gz DJAGICMJHSPHRO-UHFFFAOYSA-N -1 1 310.361 1.409 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CC12CCC2 ZINC000874785161 705171415 /nfs/dbraw/zinc/17/14/15/705171415.db2.gz DBROZPAVFZMTNG-GFCCVEGCSA-N -1 1 300.362 1.653 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1n[nH]c2ccccc21 ZINC000823294928 705197304 /nfs/dbraw/zinc/19/73/04/705197304.db2.gz YAKBHZOPMQHCPK-UHFFFAOYSA-N -1 1 321.300 1.701 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1cc(Cl)ccn1 ZINC000823379835 705225934 /nfs/dbraw/zinc/22/59/34/705225934.db2.gz KLJNMQQGGOKASZ-UHFFFAOYSA-N -1 1 307.726 1.624 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1cc(Cl)ccn1 ZINC000823379835 705225936 /nfs/dbraw/zinc/22/59/36/705225936.db2.gz KLJNMQQGGOKASZ-UHFFFAOYSA-N -1 1 307.726 1.624 20 0 DDADMM COc1ccc(Cl)cc1C(F)(F)C(=O)[N-][C@H]1CCOC1=O ZINC000823621085 705281833 /nfs/dbraw/zinc/28/18/33/705281833.db2.gz OEDHICDWTYPZNG-VIFPVBQESA-N -1 1 319.691 1.872 20 0 DDADMM CC(C)N1CCC[C@H]1C(=O)N[C@@H](c1nc(=O)o[n-]1)C(C)(C)C ZINC000823652776 705288318 /nfs/dbraw/zinc/28/83/18/705288318.db2.gz OICSFTDQRNTCOX-QWRGUYRKSA-N -1 1 310.398 1.439 20 0 DDADMM [O-]C(=NO[C@@H]1CCCCO1)Nc1nnc([C@H]2CCCO2)s1 ZINC000880886377 707090033 /nfs/dbraw/zinc/09/00/33/707090033.db2.gz PCPMTJGYECRTFG-RKDXNWHRSA-N -1 1 314.367 1.969 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1nnc([C@H]2CCCO2)s1 ZINC000880886377 707090037 /nfs/dbraw/zinc/09/00/37/707090037.db2.gz PCPMTJGYECRTFG-RKDXNWHRSA-N -1 1 314.367 1.969 20 0 DDADMM CS[C@@H](C)CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875411691 705379015 /nfs/dbraw/zinc/37/90/15/705379015.db2.gz IFGBCZZDZKAKBY-ZETCQYMHSA-N -1 1 313.345 1.058 20 0 DDADMM O=C(COCC(F)F)Nc1nc(Br)ccc1[O-] ZINC000861250791 705408802 /nfs/dbraw/zinc/40/88/02/705408802.db2.gz SCEIJVQQFVYCMV-UHFFFAOYSA-N -1 1 311.082 1.770 20 0 DDADMM O=Cc1ccc(F)c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000861538110 705495570 /nfs/dbraw/zinc/49/55/70/705495570.db2.gz NXBNUOKUZODVBJ-UHFFFAOYSA-N -1 1 319.292 1.747 20 0 DDADMM C[C@]1(c2ccccc2)CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000824844327 705540949 /nfs/dbraw/zinc/54/09/49/705540949.db2.gz WCNXJVAXTXMDMK-INIZCTEOSA-N -1 1 307.361 1.825 20 0 DDADMM C[C@]1(c2ccccc2)CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000824844327 705540953 /nfs/dbraw/zinc/54/09/53/705540953.db2.gz WCNXJVAXTXMDMK-INIZCTEOSA-N -1 1 307.361 1.825 20 0 DDADMM CCOC(=O)Cn1nc(C)cc1NC(=O)c1cc(F)ccc1[O-] ZINC000911751421 710965809 /nfs/dbraw/zinc/96/58/09/710965809.db2.gz YYXQRZVPRZOBIY-UHFFFAOYSA-N -1 1 321.308 1.852 20 0 DDADMM CCN(CC(F)(F)F)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825399426 705662747 /nfs/dbraw/zinc/66/27/47/705662747.db2.gz SPJONBVZSUDESF-UHFFFAOYSA-N -1 1 318.259 1.116 20 0 DDADMM CCN(CC(F)(F)F)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825399426 705662750 /nfs/dbraw/zinc/66/27/50/705662750.db2.gz SPJONBVZSUDESF-UHFFFAOYSA-N -1 1 318.259 1.116 20 0 DDADMM C[C@H]1OCC[C@@]1(O)CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876396643 705708542 /nfs/dbraw/zinc/70/85/42/705708542.db2.gz LGNNMKXNIAIOEB-YMTOWFKASA-N -1 1 314.769 1.385 20 0 DDADMM CCS(=O)(=O)CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000825748783 705726393 /nfs/dbraw/zinc/72/63/93/705726393.db2.gz JJCRTJDSCJQTSC-UHFFFAOYSA-N -1 1 308.359 1.105 20 0 DDADMM Cc1cccc([C@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)c1 ZINC000826297880 705787442 /nfs/dbraw/zinc/78/74/42/705787442.db2.gz VWWYBRBKUQRVRM-ZDUSSCGKSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1cccc([C@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)c1 ZINC000826297880 705787443 /nfs/dbraw/zinc/78/74/43/705787443.db2.gz VWWYBRBKUQRVRM-ZDUSSCGKSA-N -1 1 307.361 1.959 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)CCCc2ccsc2)n1 ZINC000826356661 705795340 /nfs/dbraw/zinc/79/53/40/705795340.db2.gz ADGYKXDOSSPIAH-UHFFFAOYSA-N -1 1 317.378 1.623 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)CCCc2ccsc2)n1 ZINC000826356661 705795341 /nfs/dbraw/zinc/79/53/41/705795341.db2.gz ADGYKXDOSSPIAH-UHFFFAOYSA-N -1 1 317.378 1.623 20 0 DDADMM O=C([N-][C@H]1COCCC1=O)C(F)(F)c1c(F)cccc1F ZINC000862934877 705829415 /nfs/dbraw/zinc/82/94/15/705829415.db2.gz VMTWGOFWYJHMEP-VIFPVBQESA-N -1 1 305.227 1.531 20 0 DDADMM CC[C@](C)(O)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000826687243 705846045 /nfs/dbraw/zinc/84/60/45/705846045.db2.gz YNPMGZAHCQNDPK-PTRXPTGYSA-N -1 1 310.316 1.063 20 0 DDADMM CSCC[C@H](NC(=O)[C@]12C[C@H]1COC21CCC1)c1nn[n-]n1 ZINC000826929282 705892974 /nfs/dbraw/zinc/89/29/74/705892974.db2.gz WJCPKNOYVOECPO-BHDSKKPTSA-N -1 1 323.422 1.069 20 0 DDADMM CCCn1cc([N-]S(=O)(=O)C[C@@H](OCC)C2CC2)cn1 ZINC000863406000 705921012 /nfs/dbraw/zinc/92/10/12/705921012.db2.gz PVRJIXKDQBWDAP-CYBMUJFWSA-N -1 1 301.412 1.850 20 0 DDADMM CC1(C)C[C@@H](O)CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000827677533 706047979 /nfs/dbraw/zinc/04/79/79/706047979.db2.gz YAWSCFPVOKERAL-SNVBAGLBSA-N -1 1 306.391 1.251 20 0 DDADMM CCc1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc(Cl)n1 ZINC000827960829 706094414 /nfs/dbraw/zinc/09/44/14/706094414.db2.gz IACNVYYIZUPUJC-SNVBAGLBSA-N -1 1 322.756 1.024 20 0 DDADMM C[C@@H](Cn1ccnc1)[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872437557 707410119 /nfs/dbraw/zinc/41/01/19/707410119.db2.gz LTDSBONDIJKVQC-APBUJDDRSA-N -1 1 322.456 1.002 20 0 DDADMM CC(C)[C@@H]1C[C@@H](C(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000828416409 706175141 /nfs/dbraw/zinc/17/51/41/706175141.db2.gz IYDMAFLTOKRNNG-STQMWFEESA-N -1 1 307.398 1.357 20 0 DDADMM C[C@]1(CS(=O)(=O)[N-]Cc2nc(C3CC3)no2)CC1(F)F ZINC000881800134 707369586 /nfs/dbraw/zinc/36/95/86/707369586.db2.gz QXIHHCUFXOPDKX-SNVBAGLBSA-N -1 1 307.322 1.412 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@]23C[C@H]2CCC3)C1 ZINC000828681587 706216374 /nfs/dbraw/zinc/21/63/74/706216374.db2.gz UTOMCHBAPVYZTN-OASPWFOLSA-N -1 1 304.312 1.846 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@]1(C)CCOC1 ZINC000872449668 707417384 /nfs/dbraw/zinc/41/73/84/707417384.db2.gz RHTUKVZEWNLSRJ-BBATYDOGSA-N -1 1 312.457 1.144 20 0 DDADMM C[C@@H]1CCC[C@H](C2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000829132383 706291829 /nfs/dbraw/zinc/29/18/29/706291829.db2.gz YGRBDNYEAFJDNC-OLZOCXBDSA-N -1 1 319.405 1.211 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@@]1(C)CCCOC1 ZINC000872469502 707430897 /nfs/dbraw/zinc/43/08/97/707430897.db2.gz VYFWEFUEKZZSOU-BBATYDOGSA-N -1 1 312.457 1.286 20 0 DDADMM C[C@H](O)CN(Cc1nc2c(c(=O)[n-]1)COCC2)c1ccccc1 ZINC000878130825 706302549 /nfs/dbraw/zinc/30/25/49/706302549.db2.gz VXPNGIZSUOXAGO-LBPRGKRZSA-N -1 1 315.373 1.642 20 0 DDADMM CC1(NC(=S)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000865275284 706393912 /nfs/dbraw/zinc/39/39/12/706393912.db2.gz JVUGWZFULMAXCX-GFCCVEGCSA-N -1 1 323.384 1.946 20 0 DDADMM CCCCNC(=S)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000865275246 706394045 /nfs/dbraw/zinc/39/40/45/706394045.db2.gz ITFADLZXHVEYRL-LLVKDONJSA-N -1 1 311.373 1.804 20 0 DDADMM Cc1nc(SCC(=O)N2CCCC2=O)[n-]c(=O)c1C1CC1 ZINC000865373135 706415774 /nfs/dbraw/zinc/41/57/74/706415774.db2.gz HGCWSDXWXNKGLX-UHFFFAOYSA-N -1 1 307.375 1.609 20 0 DDADMM COCC(C)(C)CC[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000872547242 707472051 /nfs/dbraw/zinc/47/20/51/707472051.db2.gz WNSKYORGYWMVBH-UHFFFAOYSA-N -1 1 319.379 1.407 20 0 DDADMM O=S(=O)([N-]CC(F)(F)c1ccc(F)cc1F)NC1CC1 ZINC000872548877 707473172 /nfs/dbraw/zinc/47/31/72/707473172.db2.gz HTBHQSHBTOQORY-UHFFFAOYSA-N -1 1 312.288 1.643 20 0 DDADMM CC(=O)C1(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000830796293 706581296 /nfs/dbraw/zinc/58/12/96/706581296.db2.gz ZHJUDUUHPMSDJF-NSHDSACASA-N -1 1 306.284 1.025 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCCSC2)C1 ZINC000830802673 706582703 /nfs/dbraw/zinc/58/27/03/706582703.db2.gz ZZVAEVFRKAEPEI-JOYOIKCWSA-N -1 1 324.368 1.799 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@]2(C)CCOC2)C1 ZINC000830807319 706583135 /nfs/dbraw/zinc/58/31/35/706583135.db2.gz HMOBOBZEIIBOKY-NWDGAFQWSA-N -1 1 308.300 1.083 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2=COCCC2)C1 ZINC000830827137 706587829 /nfs/dbraw/zinc/58/78/29/706587829.db2.gz JMRMTMJPOAIRJO-LBPRGKRZSA-N -1 1 306.284 1.350 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)COC2CCCC2)C1 ZINC000830827451 706588437 /nfs/dbraw/zinc/58/84/37/706588437.db2.gz LTOBYYKSNMEALB-ZDUSSCGKSA-N -1 1 322.327 1.615 20 0 DDADMM CC[C@@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866900581 706839326 /nfs/dbraw/zinc/83/93/26/706839326.db2.gz GTKHODYYKITSJD-BDAKNGLRSA-N -1 1 308.350 1.435 20 0 DDADMM O=Cc1ccc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000866901241 706839801 /nfs/dbraw/zinc/83/98/01/706839801.db2.gz AXYCHPANXWFZLL-NPQIQWPPSA-N -1 1 311.345 1.432 20 0 DDADMM CO[C@]1(C)C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1(C)C ZINC000866904627 706840629 /nfs/dbraw/zinc/84/06/29/706840629.db2.gz SDHGADOTDTYQSW-TVQRCGJNSA-N -1 1 320.361 1.842 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@H]1CC12CCCCC2 ZINC000867045229 706881331 /nfs/dbraw/zinc/88/13/31/706881331.db2.gz BDMJMYHZILKTLK-NSHDSACASA-N -1 1 306.453 1.805 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)OCCCO1 ZINC000867188468 706919210 /nfs/dbraw/zinc/91/92/10/706919210.db2.gz VFIAOGQHGVZGFB-UHFFFAOYSA-N -1 1 311.306 1.649 20 0 DDADMM O=C([N-]c1nc2n(n1)CCCC2)c1coc(-c2ccccn2)n1 ZINC000880251790 706920267 /nfs/dbraw/zinc/92/02/67/706920267.db2.gz XYZNOVDANIMAKW-UHFFFAOYSA-N -1 1 310.317 1.917 20 0 DDADMM CCC[C@H](O)CCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000832642696 706954909 /nfs/dbraw/zinc/95/49/09/706954909.db2.gz KDSYVDDXQXEYAL-LBPRGKRZSA-N -1 1 320.393 1.262 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CCc1ncc(C)cc1C ZINC000867485624 707015477 /nfs/dbraw/zinc/01/54/77/707015477.db2.gz VWFDFLMWCLZIMQ-IBGZPJMESA-N -1 1 319.452 1.193 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC=C(Cl)C2)c1 ZINC000867597416 707050123 /nfs/dbraw/zinc/05/01/23/707050123.db2.gz ZFMHYXALXAKSQK-UHFFFAOYSA-N -1 1 303.767 1.918 20 0 DDADMM C[C@@H]1CCN(C(=O)COC2CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000880770278 707070629 /nfs/dbraw/zinc/07/06/29/707070629.db2.gz IEGMGLXUUWCRLH-SCZZXKLOSA-N -1 1 308.300 1.081 20 0 DDADMM COCCn1ncc(C(=O)Nc2nc(Cl)ccc2[O-])c1C ZINC000867712460 707082496 /nfs/dbraw/zinc/08/24/96/707082496.db2.gz ACNSGJMDMUROMC-UHFFFAOYSA-N -1 1 310.741 1.844 20 0 DDADMM Cc1[n-]n(-c2nc3c(cnn3C(C)(C)C)c(=O)[nH]2)c(=O)c1F ZINC000871952210 707253494 /nfs/dbraw/zinc/25/34/94/707253494.db2.gz WQICAYUCHVZNTP-QMMMGPOBSA-N -1 1 306.301 1.348 20 0 DDADMM CC[C@@H](C)[C@H](COC(=O)c1coc(S(=O)(=O)[N-]C)c1)OC ZINC000835627547 707298692 /nfs/dbraw/zinc/29/86/92/707298692.db2.gz NGDSDFGQNXOVHI-KOLCDFICSA-N -1 1 319.379 1.406 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]3CCC[C@@H]3O2)sn1 ZINC000872555683 707476812 /nfs/dbraw/zinc/47/68/12/707476812.db2.gz NICFPQOFDASUIE-VWYCJHECSA-N -1 1 302.421 1.687 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC000836892850 707540355 /nfs/dbraw/zinc/54/03/55/707540355.db2.gz SNHKBPNLZJMILM-JKSUJKDBSA-N -1 1 324.396 1.855 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)O[C@@H]1CCOC1 ZINC000837482491 707660895 /nfs/dbraw/zinc/66/08/95/707660895.db2.gz QRZSKFFUKOBZGI-LLVKDONJSA-N -1 1 305.334 1.316 20 0 DDADMM C[C@H]1CCNC(=O)[C@H]1NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873001557 707689628 /nfs/dbraw/zinc/68/96/28/707689628.db2.gz PASZOWXTYMTBPZ-UFBFGSQYSA-N -1 1 311.769 1.369 20 0 DDADMM COCC[C@H](COC)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873018469 707695842 /nfs/dbraw/zinc/69/58/42/707695842.db2.gz VHPOZCCRUONESQ-LLVKDONJSA-N -1 1 316.785 1.896 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CSC2CC2)cc1 ZINC000837873478 707770716 /nfs/dbraw/zinc/77/07/16/707770716.db2.gz XHIQYADMERTQEL-UHFFFAOYSA-N -1 1 323.370 1.390 20 0 DDADMM COC(=O)[C@]1(O)CCN(C(=O)c2cc3ccccc3cc2[O-])C1 ZINC000882839765 707812956 /nfs/dbraw/zinc/81/29/56/707812956.db2.gz AQKKQOXPXMJRDX-KRWDZBQOSA-N -1 1 315.325 1.295 20 0 DDADMM COC(=O)[C@@H](F)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000838067504 707826776 /nfs/dbraw/zinc/82/67/76/707826776.db2.gz MGTNACZPVYJUKC-QMMMGPOBSA-N -1 1 305.689 1.295 20 0 DDADMM NC(=O)C1([N-]C(=O)C(F)(F)C(F)F)C2CC3CC(C2)CC1C3 ZINC000838300619 707891420 /nfs/dbraw/zinc/89/14/20/707891420.db2.gz PWXMTPFSHDZGRK-UHFFFAOYSA-N -1 1 322.302 1.683 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)C[C@@H](C)C(C)(C)C)N(C)C ZINC000873604125 707902821 /nfs/dbraw/zinc/90/28/21/707902821.db2.gz RCYHOEGQTKKWSL-NEPJUHHUSA-N -1 1 306.472 1.701 20 0 DDADMM CN(CC(=O)NC[C@@H](Oc1ccc(F)cc1)C(=O)[O-])C1CCC1 ZINC000909388205 713006260 /nfs/dbraw/zinc/00/62/60/713006260.db2.gz MPDDNXRDEPBXJL-CQSZACIVSA-N -1 1 324.352 1.258 20 0 DDADMM O=C(C[C@@H]1CCC2(CCC2)O1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000897161691 708241798 /nfs/dbraw/zinc/24/17/98/708241798.db2.gz NYMMUGQBKVLDQF-RYUDHWBXSA-N -1 1 305.382 1.398 20 0 DDADMM CCOC(=O)[C@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000884740560 708370662 /nfs/dbraw/zinc/37/06/62/708370662.db2.gz NGCJOWATJHVXNA-NSHDSACASA-N -1 1 321.377 1.639 20 0 DDADMM O=C(N[C@H](CO)CC(F)F)c1ccc2ccc(O)cc2c1[O-] ZINC000897569051 708377932 /nfs/dbraw/zinc/37/79/32/708377932.db2.gz DRMLVOSMAGARBS-VIFPVBQESA-N -1 1 311.284 1.997 20 0 DDADMM CC[C@H]1CCCC[N@@H+]1CCNS(=O)(=O)c1ccns1 ZINC000885012098 708442255 /nfs/dbraw/zinc/44/22/55/708442255.db2.gz XVWZOGSXFJKQEZ-NSHDSACASA-N -1 1 303.453 1.686 20 0 DDADMM CC1(C)O[C@@H]2C[C@@H](NC(=O)c3cnc(C4CC4)[n-]c3=O)C[C@@H]2O1 ZINC000885188619 708488751 /nfs/dbraw/zinc/48/87/51/708488751.db2.gz AOXDGAUGJUUDTK-WLLOZRIZSA-N -1 1 319.361 1.472 20 0 DDADMM COCCOC(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000885196194 708491354 /nfs/dbraw/zinc/49/13/54/708491354.db2.gz VCISAXNTOYCWET-SECBINFHSA-N -1 1 312.288 1.160 20 0 DDADMM CC[C@](COC)([N-]S(=O)(=O)CCCC1CC1)C(=O)OC ZINC000885230040 708498831 /nfs/dbraw/zinc/49/88/31/708498831.db2.gz DQLKCWIZTYZEID-CYBMUJFWSA-N -1 1 307.412 1.064 20 0 DDADMM CC1(C)CO[C@@H](CC(=O)OCCC[N-]C(=O)C(F)(F)F)C1 ZINC000885628535 708587254 /nfs/dbraw/zinc/58/72/54/708587254.db2.gz GYASSGYUQWCCTH-VIFPVBQESA-N -1 1 311.300 1.803 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC2(CCC2)CO1)c1c[nH]nc1Cl ZINC000885636116 708588862 /nfs/dbraw/zinc/58/88/62/708588862.db2.gz GDISFPLFPXZVQF-QMMMGPOBSA-N -1 1 305.787 1.301 20 0 DDADMM CC(C)(NS(C)(=O)=O)C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885676547 708595477 /nfs/dbraw/zinc/59/54/77/708595477.db2.gz QVQDUZWIHQLMMJ-UHFFFAOYSA-N -1 1 324.761 1.451 20 0 DDADMM CSc1nc(CNC(=O)C[C@H]2CC(C)(C)CO2)cc(=O)[n-]1 ZINC000898525676 708668193 /nfs/dbraw/zinc/66/81/93/708668193.db2.gz NEFVCULBDLBSJA-JTQLQIEISA-N -1 1 311.407 1.726 20 0 DDADMM C[C@H]1CN(C(=O)NCCc2c(F)cc([O-])cc2F)CCO1 ZINC000927779630 713054183 /nfs/dbraw/zinc/05/41/83/713054183.db2.gz FABGMWWBWFOPNL-VIFPVBQESA-N -1 1 300.305 1.643 20 0 DDADMM CO[C@@H]1CCN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927782547 713054906 /nfs/dbraw/zinc/05/49/06/713054906.db2.gz OHJHOWDBNRKDGY-SNVBAGLBSA-N -1 1 300.305 1.643 20 0 DDADMM C[C@@H](CNC(=O)NCCc1c(F)cc([O-])cc1F)C[C@H](C)O ZINC000927783380 713055036 /nfs/dbraw/zinc/05/50/36/713055036.db2.gz ZOIDLRKKNFIITC-ZJUUUORDSA-N -1 1 316.348 1.919 20 0 DDADMM C[C@H](O)CCN(C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927786651 713055794 /nfs/dbraw/zinc/05/57/94/713055794.db2.gz XYLDTRUDVJJXAV-VIFPVBQESA-N -1 1 302.321 1.625 20 0 DDADMM CC(C)Cc1nsc([N-]C(=O)c2cccn3nnnc23)n1 ZINC000898724373 708841111 /nfs/dbraw/zinc/84/11/11/708841111.db2.gz OFPGTIFLZNMNQP-UHFFFAOYSA-N -1 1 303.351 1.427 20 0 DDADMM CC1(C)C[C@@]1(C(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccccc1 ZINC000898753515 708855064 /nfs/dbraw/zinc/85/50/64/708855064.db2.gz APMAQIXREWUABI-KRWDZBQOSA-N -1 1 313.357 1.872 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1C[C@H]1c1ccc(Cl)cc1 ZINC000898755138 708855828 /nfs/dbraw/zinc/85/58/28/708855828.db2.gz MNCMDPVTLNPIGO-WDEREUQCSA-N -1 1 319.748 1.961 20 0 DDADMM Cc1cccc(C)c1CN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000887962271 709181083 /nfs/dbraw/zinc/18/10/83/709181083.db2.gz YUXHBEXVDLUJPZ-UHFFFAOYSA-N -1 1 315.373 1.202 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC2(C1)CCNC(=O)O2 ZINC000888420171 709306178 /nfs/dbraw/zinc/30/61/78/709306178.db2.gz QVMZEACQBYZZEO-UHFFFAOYSA-N -1 1 310.737 1.299 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000888848242 709390655 /nfs/dbraw/zinc/39/06/55/709390655.db2.gz YFVPHHXHGXHAMN-OQEOFVATSA-N -1 1 317.389 1.694 20 0 DDADMM O=C(NC1(c2ccc3c(c2)OCCO3)CC1)c1cncc([O-])c1 ZINC000889003279 709430000 /nfs/dbraw/zinc/43/00/00/709430000.db2.gz NINZKLQRUVRGPE-UHFFFAOYSA-N -1 1 312.325 1.978 20 0 DDADMM CCC[C@@H](NC(=O)N[C@H]1CCCN2CCSC[C@H]12)C(=O)[O-] ZINC000900295745 709526223 /nfs/dbraw/zinc/52/62/23/709526223.db2.gz NCYMHRMATWZQSQ-QJPTWQEYSA-N -1 1 315.439 1.119 20 0 DDADMM CSCC[C@H](NC(=O)Cc1csc(C)n1)c1nn[n-]n1 ZINC000912860512 713109995 /nfs/dbraw/zinc/10/99/95/713109995.db2.gz XBVWRKNRIBUJNO-VIFPVBQESA-N -1 1 312.424 1.118 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912863425 713111400 /nfs/dbraw/zinc/11/14/00/713111400.db2.gz XONYWQQJKFEGBQ-GARJFASQSA-N -1 1 313.427 1.315 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)CC1(F)CC1)c1ccccc1 ZINC000914208954 713359395 /nfs/dbraw/zinc/35/93/95/713359395.db2.gz GZPSELRWNJXQJC-GFCCVEGCSA-N -1 1 315.366 1.712 20 0 DDADMM C[C@@H]1Cc2ccccc2CN1C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000909728223 709598291 /nfs/dbraw/zinc/59/82/91/709598291.db2.gz YIOBFJQPWJEGHS-CJNGLKHVSA-N -1 1 316.401 1.756 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N([C@H](C)C(=O)[O-])C1CC1 ZINC000900462643 709606860 /nfs/dbraw/zinc/60/68/60/709606860.db2.gz NIIYAVLPWMGNJS-BXUZGUMPSA-N -1 1 320.389 1.939 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccsn2)[n-]c1=O ZINC000889785182 709634625 /nfs/dbraw/zinc/63/46/25/709634625.db2.gz CFBHUVFEKXDPKF-VIFPVBQESA-N -1 1 306.347 1.625 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2CC(C)=NO2)[n-]c1=O ZINC000889785481 709634679 /nfs/dbraw/zinc/63/46/79/709634679.db2.gz GDIVFPAOUNPSTJ-UWVGGRQHSA-N -1 1 306.322 1.019 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2O[C@@H](C)C[C@@H]2C)[n-]c1=O ZINC000889788100 709636388 /nfs/dbraw/zinc/63/63/88/709636388.db2.gz VZZMXHUEKDSLLT-MRBYEJRBSA-N -1 1 321.377 1.668 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C[C@](C)(O)C2CC2)[n-]c1=O ZINC000889790234 709637350 /nfs/dbraw/zinc/63/73/50/709637350.db2.gz OZYPIDVXHFGWED-ZBEGNZNMSA-N -1 1 321.377 1.405 20 0 DDADMM C[C@H](NC(=O)[C@@H]1CCCN1C)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909820042 709639651 /nfs/dbraw/zinc/63/96/51/709639651.db2.gz MTKBJUNTSZKBJO-CFVMTHIKSA-N -1 1 304.390 1.529 20 0 DDADMM CC[C@@](CNC(=O)C1(N(C)C)CC1)(C(=O)[O-])c1ccccc1 ZINC000909846534 709649981 /nfs/dbraw/zinc/64/99/81/709649981.db2.gz SLSJCTCHSPNFFO-INIZCTEOSA-N -1 1 304.390 1.629 20 0 DDADMM C[C@]1(CCNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CC1(F)F ZINC000909958400 709708585 /nfs/dbraw/zinc/70/85/85/709708585.db2.gz LQRXAYTXFHFTGW-MFKMUULPSA-N -1 1 304.337 1.335 20 0 DDADMM C[C@H](NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)C1CCC(F)CC1 ZINC000909988158 709722533 /nfs/dbraw/zinc/72/25/33/709722533.db2.gz XYUDEAOXIUAUAP-RJZJGCCBSA-N -1 1 314.401 1.816 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H]3CCC[C@@]3(C)C(=O)[O-])c2C1 ZINC000909991754 709725008 /nfs/dbraw/zinc/72/50/08/709725008.db2.gz IVLCMTUOPUSZJU-VYROHLMNSA-N -1 1 305.378 1.908 20 0 DDADMM CC1(C(=O)[O-])CN(C(=O)[C@@H]2CCCCN2Cc2ccccc2)C1 ZINC000910058455 709758865 /nfs/dbraw/zinc/75/88/65/709758865.db2.gz FRMJXPSIGRTLIQ-HNNXBMFYSA-N -1 1 316.401 1.974 20 0 DDADMM C[C@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)CCN1C ZINC000900846740 709789151 /nfs/dbraw/zinc/78/91/51/709789151.db2.gz JZESCSVXJUAMQA-JTQLQIEISA-N -1 1 303.337 1.856 20 0 DDADMM CSCC(C)(C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910170287 709796744 /nfs/dbraw/zinc/79/67/44/709796744.db2.gz JEHODPPRCYCTKU-LLVKDONJSA-N -1 1 302.440 1.383 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C=C2CCSCC2)CC1 ZINC000910172424 709797064 /nfs/dbraw/zinc/79/70/64/709797064.db2.gz BRLREUVFOAYLRV-GFCCVEGCSA-N -1 1 312.435 1.447 20 0 DDADMM CCOC(=O)N(C)CCCC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000890284375 709810856 /nfs/dbraw/zinc/81/08/56/709810856.db2.gz ZLPDVDNJOGDZAT-UHFFFAOYSA-N -1 1 319.365 1.149 20 0 DDADMM CCC(NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1)(C1CC1)C1CC1 ZINC000910206816 709814199 /nfs/dbraw/zinc/81/41/99/709814199.db2.gz ZBKOOTRQJXBQTH-LBPRGKRZSA-N -1 1 308.422 1.868 20 0 DDADMM CCC(NC(=O)CN1CCC[C@H](C(=O)[O-])C1)(C1CC1)C1CC1 ZINC000910206816 709814203 /nfs/dbraw/zinc/81/42/03/709814203.db2.gz ZBKOOTRQJXBQTH-LBPRGKRZSA-N -1 1 308.422 1.868 20 0 DDADMM CC1(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)CCC(F)(F)CC1 ZINC000910212022 709817425 /nfs/dbraw/zinc/81/74/25/709817425.db2.gz PMIUMXHJMUUJSO-NSHDSACASA-N -1 1 318.364 1.867 20 0 DDADMM COCCOC1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000900920525 709829299 /nfs/dbraw/zinc/82/92/99/709829299.db2.gz QYJOMKRAUPJMQK-UHFFFAOYSA-N -1 1 320.320 1.567 20 0 DDADMM Cc1cccc2c1CC[C@H]2NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000910241411 709834935 /nfs/dbraw/zinc/83/49/35/709834935.db2.gz ASBCHPLMOACZIR-CZUORRHYSA-N -1 1 316.401 1.895 20 0 DDADMM Cc1nc(NC[C@@H](C(C)C)N2CCOCC2)ccc1C(=O)[O-] ZINC000910276503 709859791 /nfs/dbraw/zinc/85/97/91/709859791.db2.gz HJDLHRWLVKLECX-AWEZNQCLSA-N -1 1 307.394 1.857 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1C2CCC1(C(=O)[O-])CC2 ZINC000910297478 709874316 /nfs/dbraw/zinc/87/43/16/709874316.db2.gz GDTVOWDXZVBSSF-UHFFFAOYSA-N -1 1 316.401 1.769 20 0 DDADMM Cc1nc(N[C@@H]2CCCN3CCSC[C@@H]23)ncc1C(=O)[O-] ZINC000910387564 709919073 /nfs/dbraw/zinc/91/90/73/709919073.db2.gz WVDPOZAQECRVKG-NEPJUHHUSA-N -1 1 308.407 1.475 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)CC(F)F ZINC000910409833 709927388 /nfs/dbraw/zinc/92/73/88/709927388.db2.gz FORILAYEYJBNSQ-JTQLQIEISA-N -1 1 306.353 1.581 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cc(C(F)F)[nH]n2)C[C@@]1(F)C(=O)[O-] ZINC000910550910 709995790 /nfs/dbraw/zinc/99/57/90/709995790.db2.gz QQJCHHAMEWOTIL-INWYIAFRSA-N -1 1 305.256 1.622 20 0 DDADMM O=C([O-])[C@H](C[C@@H]1CCCO1)NC(=O)c1ccc2cncn2c1 ZINC000910590005 710013087 /nfs/dbraw/zinc/01/30/87/710013087.db2.gz DSKIDODYDSMMTM-STQMWFEESA-N -1 1 303.318 1.086 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@@H](CSC)C(=O)[O-])c1ccccc1 ZINC000910877852 710105149 /nfs/dbraw/zinc/10/51/49/710105149.db2.gz MNUQRASCALZFRR-STQMWFEESA-N -1 1 310.419 1.612 20 0 DDADMM COc1ccc([C@@H](NCc2cnc(N(C)C)nc2)C(=O)[O-])cc1 ZINC000901651071 710108095 /nfs/dbraw/zinc/10/80/95/710108095.db2.gz ZSNSWBIQYMNPHJ-CQSZACIVSA-N -1 1 316.361 1.467 20 0 DDADMM COCCn1c(=O)cc([O-])nc1S[C@H]1CCC(C)(C)C1=O ZINC000901651431 710108800 /nfs/dbraw/zinc/10/88/00/710108800.db2.gz ARFDCEYHECQMSZ-VIFPVBQESA-N -1 1 312.391 1.445 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])CN(C(=O)c2cc3[nH]cnc3cc2F)C1 ZINC000901662788 710113021 /nfs/dbraw/zinc/11/30/21/710113021.db2.gz QFBCCCSDHFPKKT-DTWKUNHWSA-N -1 1 305.309 1.885 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cc(O)c(F)cc1F ZINC000901674877 710115984 /nfs/dbraw/zinc/11/59/84/710115984.db2.gz KABMXBDAJUZVTJ-UHFFFAOYSA-N -1 1 300.308 1.055 20 0 DDADMM CN(CC(=O)N[C@@H](Cc1ccc(F)cc1)C(=O)[O-])C1CCC1 ZINC000910926798 710121856 /nfs/dbraw/zinc/12/18/56/710121856.db2.gz NHNZAOSZTKYIEJ-AWEZNQCLSA-N -1 1 308.353 1.422 20 0 DDADMM COc1ccccc1/C=C/CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901740809 710136598 /nfs/dbraw/zinc/13/65/98/710136598.db2.gz DREWKVUJFAJSBA-BIVWETNQSA-N -1 1 306.362 1.276 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](C(=O)Nc2cc(F)cc(F)c2[O-])O1 ZINC000910990684 710143584 /nfs/dbraw/zinc/14/35/84/710143584.db2.gz HCAPBZTTYZRGNZ-ZJUUUORDSA-N -1 1 301.245 1.330 20 0 DDADMM CC1(C)CC(=O)NCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000913070024 713159421 /nfs/dbraw/zinc/15/94/21/713159421.db2.gz LWPYOFFXQBCWDD-UHFFFAOYSA-N -1 1 310.781 1.715 20 0 DDADMM Cn1nc(C(=O)[O-])cc1C(=O)N1CCN(C)CC12CCCCC2 ZINC000901918080 710174041 /nfs/dbraw/zinc/17/40/41/710174041.db2.gz QOHLEPNHIMFIAA-UHFFFAOYSA-N -1 1 320.393 1.209 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)C2CC2)c(C(=O)OC)c1F ZINC000901996927 710196780 /nfs/dbraw/zinc/19/67/80/710196780.db2.gz IKLMSYMDBGTGAA-UHFFFAOYSA-N -1 1 317.338 1.915 20 0 DDADMM O=C(COC1CCOCC1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891622675 710251235 /nfs/dbraw/zinc/25/12/35/710251235.db2.gz NPSXODHBFLKHHD-UHFFFAOYSA-N -1 1 307.350 1.195 20 0 DDADMM COCc1nc(N2CCC[C@@H](c3nc(C)no3)C2)cc(=O)[n-]1 ZINC000891947063 710334958 /nfs/dbraw/zinc/33/49/58/710334958.db2.gz ARZRXSCGHAHMNF-SNVBAGLBSA-N -1 1 305.338 1.404 20 0 DDADMM CCCCNC(=O)[C@H]1CCCN(c2cc(=O)[n-]c(COC)n2)C1 ZINC000892151870 710381671 /nfs/dbraw/zinc/38/16/71/710381671.db2.gz CEFRAJFACVHYDY-LBPRGKRZSA-N -1 1 322.409 1.461 20 0 DDADMM O=C([O-])c1cccc2c1OCCN(C(=O)CN1CCCC1)C2 ZINC000911029918 710602373 /nfs/dbraw/zinc/60/23/73/710602373.db2.gz WLSBLUWWICVGOW-UHFFFAOYSA-N -1 1 304.346 1.202 20 0 DDADMM C[C@H](C(=O)N[C@H](C(=O)[O-])c1ccc(C(F)(F)F)cc1)N(C)C ZINC000911060706 710616406 /nfs/dbraw/zinc/61/64/06/710616406.db2.gz GBZPNCFPILGYTQ-KCJUWKMLSA-N -1 1 318.295 1.897 20 0 DDADMM CCN(CC(=O)N1CCOc2ccccc2[C@H]1C(=O)[O-])C1CC1 ZINC000911065472 710619078 /nfs/dbraw/zinc/61/90/78/710619078.db2.gz WRKGXVLYHHZSGA-INIZCTEOSA-N -1 1 318.373 1.518 20 0 DDADMM CC(C)CN1CCO[C@H](CNC(=O)C(C)(C)CCC(=O)[O-])C1 ZINC000911118370 710642678 /nfs/dbraw/zinc/64/26/78/710642678.db2.gz LUUCEHCKSQHMOA-CYBMUJFWSA-N -1 1 314.426 1.350 20 0 DDADMM COCc1nc(N2CC[C@H](OCc3ccncc3)C2)cc(=O)[n-]1 ZINC000893443842 710656050 /nfs/dbraw/zinc/65/60/50/710656050.db2.gz MIMAPCPZZGYDCB-ZDUSSCGKSA-N -1 1 316.361 1.519 20 0 DDADMM CON1CCC(NC(=O)c2c([O-])cnc3c(F)cccc32)CC1 ZINC000911212339 710688130 /nfs/dbraw/zinc/68/81/30/710688130.db2.gz YIIGCNDIDFTCJD-UHFFFAOYSA-N -1 1 319.336 1.835 20 0 DDADMM O=C([O-])CN1CCCN(C(=O)CCC(=O)c2ccccc2)CC1 ZINC000911221513 710694607 /nfs/dbraw/zinc/69/46/07/710694607.db2.gz HRJJTUQXQBCEJJ-UHFFFAOYSA-N -1 1 318.373 1.268 20 0 DDADMM CC(C)(C)CCN1CCN(C(=O)c2cccc(C(=O)[O-])n2)CC1 ZINC000911245226 710706805 /nfs/dbraw/zinc/70/68/05/710706805.db2.gz HIOUCPHPQBTKMJ-UHFFFAOYSA-N -1 1 319.405 1.974 20 0 DDADMM CC[C@H](CNCc1cn(CC(=O)[O-])nn1)Oc1ccccc1C ZINC000902398610 710741753 /nfs/dbraw/zinc/74/17/53/710741753.db2.gz RERUOOIXCUKHHW-CQSZACIVSA-N -1 1 318.377 1.618 20 0 DDADMM CCN1CCN(C(=O)c2cccc(OCC(=O)[O-])c2)[C@H](C)C1 ZINC000911407271 710784238 /nfs/dbraw/zinc/78/42/38/710784238.db2.gz JWKWHWHCEOYZIB-GFCCVEGCSA-N -1 1 306.362 1.316 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2C[C@@H]2Cc2ccccc2)C1 ZINC000911445354 710802426 /nfs/dbraw/zinc/80/24/26/710802426.db2.gz STGYSWNEWSNVHI-JYJNAYRXSA-N -1 1 316.401 1.530 20 0 DDADMM O=C([O-])c1cccc(C(=O)N[C@H]2CCCN3CCSC[C@H]23)c1 ZINC000902817866 710914411 /nfs/dbraw/zinc/91/44/11/710914411.db2.gz FGSRKUIBCVFBQP-UONOGXRCSA-N -1 1 320.414 1.694 20 0 DDADMM C[C@H](NCc1ncc(Br)cc1[O-])C(=O)N(C)C ZINC000893997083 710916830 /nfs/dbraw/zinc/91/68/30/710916830.db2.gz HPBZEYZGBHTVJP-ZETCQYMHSA-N -1 1 302.172 1.116 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-]c1cnc2ccnn2c1 ZINC000903187899 711058829 /nfs/dbraw/zinc/05/88/29/711058829.db2.gz JZZYQWMVOBDQFE-LBPRGKRZSA-N -1 1 312.395 1.532 20 0 DDADMM CC(C)c1ccc(CN(C)C(=O)[C@]2(C(=O)[O-])CNCCO2)cc1 ZINC000912007994 711115578 /nfs/dbraw/zinc/11/55/78/711115578.db2.gz MTVCQTLNTNXHNW-KRWDZBQOSA-N -1 1 320.389 1.212 20 0 DDADMM Cc1cn2c(nc(CN3CCCC[C@H]3C(=O)[O-])cc2=O)s1 ZINC000903401405 711116029 /nfs/dbraw/zinc/11/60/29/711116029.db2.gz LZUREZOGJHRUBH-NSHDSACASA-N -1 1 307.375 1.504 20 0 DDADMM C[C@@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccc(Cl)cc1 ZINC000913500592 713240008 /nfs/dbraw/zinc/24/00/08/713240008.db2.gz ZXPOIDHFFMGXSY-SKDRFNHKSA-N -1 1 321.768 1.557 20 0 DDADMM Cc1ccccc1[C@@H](C)CC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494300 713237456 /nfs/dbraw/zinc/23/74/56/713237456.db2.gz DPXNUZJAJFSVRN-JSGCOSHPSA-N -1 1 315.377 1.602 20 0 DDADMM Cc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1Cl ZINC000913495306 713237984 /nfs/dbraw/zinc/23/79/84/713237984.db2.gz UWUQLNFSXIGNBJ-NSHDSACASA-N -1 1 307.741 1.375 20 0 DDADMM Cc1ccc2cccc(C(=O)N3CCOC[C@H]3c3nn[n-]n3)c2n1 ZINC000913496254 713238341 /nfs/dbraw/zinc/23/83/41/713238341.db2.gz WRKCHODFXGTBPG-ZDUSSCGKSA-N -1 1 324.344 1.270 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1OCC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496700 713238725 /nfs/dbraw/zinc/23/87/25/713238725.db2.gz AMFQTIWNCHNDCJ-UPJWGTAASA-N -1 1 323.397 1.085 20 0 DDADMM C[C@]1(CC(=O)N2CCOC[C@H]2c2nn[n-]n2)CC1(Cl)Cl ZINC000913497530 713238926 /nfs/dbraw/zinc/23/89/26/713238926.db2.gz LHDYJYGHEGYJFD-XVKPBYJWSA-N -1 1 320.180 1.074 20 0 DDADMM CCOc1ccc(CCN(C)[C@@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000903712764 711251903 /nfs/dbraw/zinc/25/19/03/711251903.db2.gz RXSUDRZLWFMUQN-OAHLLOKOSA-N -1 1 320.389 1.245 20 0 DDADMM C/C(=C\C1CCC(C)CC1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499957 713239631 /nfs/dbraw/zinc/23/96/31/713239631.db2.gz TVKPJLHHZAADIS-LBXQGKLUSA-N -1 1 319.409 1.872 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2cn(C)nc2Cl)n1 ZINC000895243454 711463039 /nfs/dbraw/zinc/46/30/39/711463039.db2.gz YCBZZXGBXPFGOW-SSDOTTSWSA-N -1 1 312.761 1.219 20 0 DDADMM CCN(CC)C(=O)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895886948 711638177 /nfs/dbraw/zinc/63/81/77/711638177.db2.gz IBRNRGFJPVLVET-UHFFFAOYSA-N -1 1 316.357 1.849 20 0 DDADMM C[C@H]1C[C@H](NC(=O)NCCc2c(F)cc([O-])cc2F)C(=O)O1 ZINC000896383994 711715842 /nfs/dbraw/zinc/71/58/42/711715842.db2.gz XXZKMZKTWFFGFY-MADCSZMMSA-N -1 1 314.288 1.216 20 0 DDADMM COc1cnc(C=Cc2c3c(nn2C)CCSC3)[n-]c1=O ZINC000905076592 711928715 /nfs/dbraw/zinc/92/87/15/711928715.db2.gz ISOOTFWDRKQHJH-ARJAWSKDSA-N -1 1 304.375 1.884 20 0 DDADMM O=C(c1cccc2cccnc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913742050 713291637 /nfs/dbraw/zinc/29/16/37/713291637.db2.gz DAATXUYRXBCREM-UHFFFAOYSA-N -1 1 308.345 1.768 20 0 DDADMM C[C@H](Oc1ccc(F)cc1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742303 713291784 /nfs/dbraw/zinc/29/17/84/713291784.db2.gz UMIYOSOUYZJZNA-JTQLQIEISA-N -1 1 319.340 1.512 20 0 DDADMM Cc1nn(C(C)C)cc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745826 713293636 /nfs/dbraw/zinc/29/36/36/713293636.db2.gz PLOLSUBXUSVWJJ-UHFFFAOYSA-N -1 1 303.370 1.305 20 0 DDADMM Cc1ccn([C@@H](C)CC(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913745975 713294004 /nfs/dbraw/zinc/29/40/04/713294004.db2.gz RMRBKLZRLQYTLH-NSHDSACASA-N -1 1 303.370 1.062 20 0 DDADMM O=C([O-])c1cnc(CCNCc2cc3n(n2)CCCC3)s1 ZINC000905737107 712134866 /nfs/dbraw/zinc/13/48/66/712134866.db2.gz BOCKEPSJNCTGKX-UHFFFAOYSA-N -1 1 306.391 1.706 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)cn1 ZINC000906061724 712234295 /nfs/dbraw/zinc/23/42/95/712234295.db2.gz SZYAHJGEUGGVNI-SFHVURJKSA-N -1 1 305.425 1.943 20 0 DDADMM Cc1nc(C2([N-]S(=O)(=O)CC3(F)CC3)CCCC2)no1 ZINC000913917989 713319339 /nfs/dbraw/zinc/31/93/39/713319339.db2.gz TYVKZYQXSIHSQA-UHFFFAOYSA-N -1 1 303.359 1.569 20 0 DDADMM CC[C@@H](C)CN(C)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906863674 712424206 /nfs/dbraw/zinc/42/42/06/712424206.db2.gz TUASFERDQWAGST-SECBINFHSA-N -1 1 301.364 1.757 20 0 DDADMM CCN(CC(F)F)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906932631 712441904 /nfs/dbraw/zinc/44/19/04/712441904.db2.gz GXRAJKGOZMSBOD-UHFFFAOYSA-N -1 1 309.290 1.366 20 0 DDADMM C[C@H](NS(=O)(=O)c1cccc(C(=O)[O-])c1F)C(F)(F)F ZINC000906984918 712456823 /nfs/dbraw/zinc/45/68/23/712456823.db2.gz AKLHOMRPMHMVSJ-YFKPBYRVSA-N -1 1 315.244 1.753 20 0 DDADMM COc1cc(F)c(S(=O)(=O)N[C@H]2CCC[N@@H+](C)C2)cc1F ZINC000913955123 713324765 /nfs/dbraw/zinc/32/47/65/713324765.db2.gz CPMPTTVNPNHISW-VIFPVBQESA-N -1 1 320.361 1.346 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(C(F)(F)F)ccn1)c1nn[n-]n1 ZINC000907349273 712549723 /nfs/dbraw/zinc/54/97/23/712549723.db2.gz DOJBRUKWMRJVFP-ZETCQYMHSA-N -1 1 314.271 1.489 20 0 DDADMM O=C(C=Cc1ccsc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907473001 712581247 /nfs/dbraw/zinc/58/12/47/712581247.db2.gz AWNDXOFFPCEULL-SYBPUXJVSA-N -1 1 307.404 1.591 20 0 DDADMM O=C(Cc1ccc(Cl)cn1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907473629 712581552 /nfs/dbraw/zinc/58/15/52/712581552.db2.gz CVFFPKWYQZMXPQ-SNVBAGLBSA-N -1 1 324.797 1.107 20 0 DDADMM CSc1ccccc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907475449 712581794 /nfs/dbraw/zinc/58/17/94/712581794.db2.gz KDEGBQJGDMBTQK-SNVBAGLBSA-N -1 1 321.431 1.852 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)CC[C@H]1O ZINC000907537048 712591449 /nfs/dbraw/zinc/59/14/49/712591449.db2.gz HROFQRZGWDZFRC-BXKDBHETSA-N -1 1 304.321 1.922 20 0 DDADMM C[C@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)[C@H]1CO ZINC000907631964 712605974 /nfs/dbraw/zinc/60/59/74/712605974.db2.gz IRLWJKXOJYXMEG-CABZTGNLSA-N -1 1 304.321 1.922 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1ccc(C(F)F)o1 ZINC000907760423 712622961 /nfs/dbraw/zinc/62/29/61/712622961.db2.gz PVHNJPOWSMUGNC-HYVHXENYSA-N -1 1 305.302 1.671 20 0 DDADMM CCCN1CCN(C(=O)[C@]2(C(=O)[O-])C[C@H]2c2ccccc2)CC1 ZINC000907798092 712627938 /nfs/dbraw/zinc/62/79/38/712627938.db2.gz JURSYXZZVDZKRU-YJBOKZPZSA-N -1 1 316.401 1.799 20 0 DDADMM O=C([C@H]1CCCC[C@@H]1C1CC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907940617 712652131 /nfs/dbraw/zinc/65/21/31/712652131.db2.gz JULKGFQSSLMTBG-OLZOCXBDSA-N -1 1 319.409 1.226 20 0 DDADMM O=C([O-])[C@H]1C[C@@H]2C[C@H](NC(=O)[C@@H]3CCc4nc[nH]c4C3)[C@@H]2C1 ZINC000907956367 712655006 /nfs/dbraw/zinc/65/50/06/712655006.db2.gz BCLAJOXIVWIFFJ-HMUNZLOLSA-N -1 1 303.362 1.130 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@H]3CCC[C@H]32)c(C(F)(F)F)n1 ZINC000907957519 712655147 /nfs/dbraw/zinc/65/51/47/712655147.db2.gz ISKVMVLAKUPNSP-IWSPIJDZSA-N -1 1 323.340 1.906 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)OC2CCOCC2)[n-]c1=O ZINC000908017206 712666830 /nfs/dbraw/zinc/66/68/30/712666830.db2.gz RFALZSOGLNIBBV-NSHDSACASA-N -1 1 323.349 1.643 20 0 DDADMM C[C@H](C(=O)[N-]OCC1CC1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC000908382060 712757258 /nfs/dbraw/zinc/75/72/58/712757258.db2.gz FRKNHIYVIMLLAJ-CMPLNLGQSA-N -1 1 316.398 1.308 20 0 DDADMM O=C(CC1OCCO1)Nc1cccc([O-])c1Br ZINC000908710563 712839289 /nfs/dbraw/zinc/83/92/89/712839289.db2.gz SSOVJEMNMCDILE-UHFFFAOYSA-N -1 1 302.124 1.856 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000908709811 712839299 /nfs/dbraw/zinc/83/92/99/712839299.db2.gz UJRPAIQWGGCERQ-UKRRQHHQSA-N -1 1 304.390 1.969 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@H]2CCCc3occc32)C1 ZINC000908728925 712842599 /nfs/dbraw/zinc/84/25/99/712842599.db2.gz FOCDNUOOUBBAGX-YPMHNXCESA-N -1 1 306.362 1.570 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)NC[C@H](c1cccs1)N(C)C ZINC000908747541 712846698 /nfs/dbraw/zinc/84/66/98/712846698.db2.gz TUSMIAHOQNOOSM-LLVKDONJSA-N -1 1 313.423 1.855 20 0 DDADMM CC(C)N(CC(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000908752875 712848143 /nfs/dbraw/zinc/84/81/43/712848143.db2.gz YRHCYYIGKPZHSD-CYBMUJFWSA-N -1 1 323.393 1.921 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N(CCC(=O)[O-])CC2CC2)C1 ZINC000908875442 712876664 /nfs/dbraw/zinc/87/66/64/712876664.db2.gz GVJHNMFEBSAUTR-GXFFZTMASA-N -1 1 305.378 1.789 20 0 DDADMM Cc1ccc([C@H](CNC(=O)N(C)[C@H](C)C(=O)[O-])N2CCCC2)o1 ZINC000908924575 712888519 /nfs/dbraw/zinc/88/85/19/712888519.db2.gz IJIBIORDBZWELH-OLZOCXBDSA-N -1 1 323.393 1.839 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cnc(OC)s2)s1 ZINC000914200074 713357877 /nfs/dbraw/zinc/35/78/77/713357877.db2.gz ZCKQBRMBIWAVAV-UHFFFAOYSA-N -1 1 319.433 1.649 20 0 DDADMM O=C(COC(=O)COCC(F)(F)F)[N-]C(=O)c1ccccc1 ZINC000915546592 713422700 /nfs/dbraw/zinc/42/27/00/713422700.db2.gz KHTNBBPQMCUZFY-UHFFFAOYSA-N -1 1 319.235 1.065 20 0 DDADMM COC1(OC)CC([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC000920074045 713648954 /nfs/dbraw/zinc/64/89/54/713648954.db2.gz LJRYXLPGZYJKRK-UHFFFAOYSA-N -1 1 323.773 1.909 20 0 DDADMM COC(=O)CC(C)(C)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000921200265 713720907 /nfs/dbraw/zinc/72/09/07/713720907.db2.gz LATQGIOZWVMHNO-UHFFFAOYSA-N -1 1 320.436 1.628 20 0 DDADMM COC(=O)/C(C)=C\C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000921222205 713722998 /nfs/dbraw/zinc/72/29/98/713722998.db2.gz UERMZHDKMASQTB-WTKPLQERSA-N -1 1 319.329 1.671 20 0 DDADMM CCNC(=O)[N-]N1C(=O)N[C@@](C)(CCc2ccccc2)C1=O ZINC000921230868 713723850 /nfs/dbraw/zinc/72/38/50/713723850.db2.gz GNSDXBZWMBWEDK-HNNXBMFYSA-N -1 1 304.350 1.164 20 0 DDADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)[C@H]1COC(C)(C)C1)CCO2 ZINC000921314536 713730644 /nfs/dbraw/zinc/73/06/44/713730644.db2.gz VVZNQRWSGZACRK-BNOWGMLFSA-N -1 1 319.423 1.159 20 0 DDADMM O=S(=O)([N-]CC1CC2(C1)OCCO2)c1cc(F)ccc1F ZINC000921664092 713834917 /nfs/dbraw/zinc/83/49/17/713834917.db2.gz JZWMHVJOOWKNMB-UHFFFAOYSA-N -1 1 319.329 1.396 20 0 DDADMM CCO[C@H]1CCN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000921829016 713879614 /nfs/dbraw/zinc/87/96/14/713879614.db2.gz CPMHWCBCHDENLH-NSHDSACASA-N -1 1 301.364 1.200 20 0 DDADMM CC[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H]1CCCOC1 ZINC000922132935 713970588 /nfs/dbraw/zinc/97/05/88/713970588.db2.gz ZVNUUFBFXOEVMC-YPMHNXCESA-N -1 1 305.378 1.995 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCOC[C@H]3CC3CC3)ccnc1-2 ZINC000931132346 714010966 /nfs/dbraw/zinc/01/09/66/714010966.db2.gz WQOMKJDXDPGNGI-GFCCVEGCSA-N -1 1 315.377 1.375 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC[C@@H]2CCNC(=O)[C@@H]21 ZINC000922460901 714061430 /nfs/dbraw/zinc/06/14/30/714061430.db2.gz DXTVTVVTYRUGCQ-NOZJJQNGSA-N -1 1 308.765 1.786 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)NCC(F)F)[n-]c1=O ZINC000931635979 714145251 /nfs/dbraw/zinc/14/52/51/714145251.db2.gz REDNACYLWMXPDO-SSDOTTSWSA-N -1 1 302.281 1.302 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCC[C@H]1CCO ZINC000932049172 714246115 /nfs/dbraw/zinc/24/61/15/714246115.db2.gz QYBOCZMBPJTRMS-ZDUSSCGKSA-N -1 1 316.361 1.957 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)CN(C)CCc1ccccc1 ZINC000923156654 714257114 /nfs/dbraw/zinc/25/71/14/714257114.db2.gz YHJPQSVZSKTJPZ-GDBMZVCRSA-N -1 1 318.417 1.873 20 0 DDADMM Cc1ncc(C(=O)N2CC[C@H](C(=O)[O-])C[C@@H]2[C@@H]2CCCO2)[nH]1 ZINC000923161287 714258539 /nfs/dbraw/zinc/25/85/39/714258539.db2.gz VKAYKAHJEUFICU-UHTWSYAYSA-N -1 1 307.350 1.203 20 0 DDADMM CCCO[C@@H](C(=O)NCc1nn[n-]n1)c1ccc(F)cc1C ZINC000932532463 714356706 /nfs/dbraw/zinc/35/67/06/714356706.db2.gz DKYARHDWWPGIRU-CYBMUJFWSA-N -1 1 307.329 1.431 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2ccc(N)nc2)[n-]c1=O ZINC000934277112 714784704 /nfs/dbraw/zinc/78/47/04/714784704.db2.gz YQECXJRKHVVKSS-LLVKDONJSA-N -1 1 301.350 1.505 20 0 DDADMM COc1cnc([C@@H]2CCCN2CC(=O)N[C@@H](C)C(C)C)[n-]c1=O ZINC000934281145 714785771 /nfs/dbraw/zinc/78/57/71/714785771.db2.gz XJYHOXOCKRZDHB-RYUDHWBXSA-N -1 1 322.409 1.488 20 0 DDADMM COc1cnc([C@@H]2CCCN2CC(=O)NC2CCCC2)[n-]c1=O ZINC000934281779 714786241 /nfs/dbraw/zinc/78/62/41/714786241.db2.gz LKIWWUPGHIGMGC-LBPRGKRZSA-N -1 1 320.393 1.387 20 0 DDADMM O=C(N[C@@H]1CCC(=O)N[C@H]1[C@H]1CCCO1)c1cc(F)ccc1[O-] ZINC000926129321 715010251 /nfs/dbraw/zinc/01/02/51/715010251.db2.gz BVKXJFSWHFPJIZ-UXIGCNINSA-N -1 1 322.336 1.087 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(Cc2ccccc2)[nH]1)c1nn[n-]n1 ZINC000935365157 715037750 /nfs/dbraw/zinc/03/77/50/715037750.db2.gz DOOVFSZVYDGFCI-GFCCVEGCSA-N -1 1 324.388 1.994 20 0 DDADMM CC(C)(F)C(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937163070 715286693 /nfs/dbraw/zinc/28/66/93/715286693.db2.gz BEJVVRADPUITBR-NSHDSACASA-N -1 1 323.368 1.646 20 0 DDADMM CC[C@@H](C)C(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937244275 715304102 /nfs/dbraw/zinc/30/41/02/715304102.db2.gz TUNSBUDIGUQAHE-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@]1(NC(=O)C2CC=CC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956783524 715600997 /nfs/dbraw/zinc/60/09/97/715600997.db2.gz ROZBAMHIRFCMLQ-QGZVFWFLSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)c2ccoc2)C1 ZINC000956848594 715635655 /nfs/dbraw/zinc/63/56/55/715635655.db2.gz CFAUUTVCQPSSBH-INIZCTEOSA-N -1 1 315.329 1.415 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)CCC2CC2)C1 ZINC000956848814 715635914 /nfs/dbraw/zinc/63/59/14/715635914.db2.gz FXQHVSHQUCNEQC-KRWDZBQOSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)CC2CC2)C1 ZINC000956849329 715636286 /nfs/dbraw/zinc/63/62/86/715636286.db2.gz QIZQDAOZLKSOSL-MRXNPFEDSA-N -1 1 303.362 1.308 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)C=C2CCC2)C1 ZINC000956849616 715636554 /nfs/dbraw/zinc/63/65/54/715636554.db2.gz VPSFEWDROYSIFM-KRWDZBQOSA-N -1 1 315.373 1.618 20 0 DDADMM Cc1cocc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938452576 715847127 /nfs/dbraw/zinc/84/71/27/715847127.db2.gz DYVRCLVQROIZFG-NSHDSACASA-N -1 1 315.329 1.333 20 0 DDADMM CC(F)(F)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955854786 715976889 /nfs/dbraw/zinc/97/68/89/715976889.db2.gz WDUOEISUNXMGTF-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM CS[C@@H](C)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955902028 716005645 /nfs/dbraw/zinc/00/56/45/716005645.db2.gz HOWMEWBAKGCWNV-QWRGUYRKSA-N -1 1 323.418 1.260 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939012438 716085712 /nfs/dbraw/zinc/08/57/12/716085712.db2.gz XYXCWETUAXZQCP-GRYCIOLGSA-N -1 1 303.362 1.116 20 0 DDADMM CC(C)C(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1[O-])C2 ZINC000957914187 716228927 /nfs/dbraw/zinc/22/89/27/716228927.db2.gz SCSYLRZYECQQSQ-XQQFMLRXSA-N -1 1 317.389 1.553 20 0 DDADMM O=C([C@H]1CC12CCC2)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960154614 716433998 /nfs/dbraw/zinc/43/39/98/716433998.db2.gz NCENBQBWIOANGH-NWDGAFQWSA-N -1 1 319.409 1.171 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C(C)(C)F)C1 ZINC000959021764 716829573 /nfs/dbraw/zinc/82/95/73/716829573.db2.gz GUMHYJGEDBLIJV-WDEREUQCSA-N -1 1 323.368 1.502 20 0 DDADMM CC(=O)N[C@H](C)[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000959159566 716885802 /nfs/dbraw/zinc/88/58/02/716885802.db2.gz BHOJSQHBFADVLB-SKDRFNHKSA-N -1 1 318.377 1.046 20 0 DDADMM C[C@@H](C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC000940663467 716903306 /nfs/dbraw/zinc/90/33/06/716903306.db2.gz JTIQKLAHWJSQTR-GFCCVEGCSA-N -1 1 317.389 1.508 20 0 DDADMM C/C=C(\C)C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940686692 716915435 /nfs/dbraw/zinc/91/54/35/716915435.db2.gz SMMMZHXBIYQJMM-KGVSQERTSA-N -1 1 303.362 1.428 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832355 716981602 /nfs/dbraw/zinc/98/16/02/716981602.db2.gz DEQBAUWQCDTWNW-CHWSQXEVSA-N -1 1 317.389 1.508 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1(C2CC2)CC1 ZINC000941046406 717087007 /nfs/dbraw/zinc/08/70/07/717087007.db2.gz ZQZIRMSDEJMVDN-GFCCVEGCSA-N -1 1 315.373 1.308 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C=C(C)C)C1 ZINC000942754684 717856664 /nfs/dbraw/zinc/85/66/64/717856664.db2.gz DICPTNYDZRQJLZ-CYBMUJFWSA-N -1 1 317.389 1.816 20 0 DDADMM CC(C)=CC(=O)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943212748 718048765 /nfs/dbraw/zinc/04/87/65/718048765.db2.gz IFTVLPTVHNLQEQ-CYBMUJFWSA-N -1 1 317.389 1.864 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC2(CN(C(=O)C3CC3)C2)CC1 ZINC000944916107 718364390 /nfs/dbraw/zinc/36/43/90/718364390.db2.gz AGUZMKOFEOZHEW-UHFFFAOYSA-N -1 1 315.373 1.262 20 0 DDADMM O=C(/C=C\C1CC1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949534588 720145558 /nfs/dbraw/zinc/14/55/58/720145558.db2.gz BDEJHXSOVFZUHF-FJOGCWAESA-N -1 1 301.346 1.084 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)[C@@H]1C[C@H]1C1CC1)c1ncccc1[O-] ZINC000949538652 720146707 /nfs/dbraw/zinc/14/67/07/720146707.db2.gz OHGPQKPCYNIFJV-FRRDWIJNSA-N -1 1 315.373 1.164 20 0 DDADMM O=C(N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1(C2CCC2)CCC1 ZINC000969486937 720154295 /nfs/dbraw/zinc/15/42/95/720154295.db2.gz HWMRAGKHBCHRES-GFCCVEGCSA-N -1 1 319.409 1.171 20 0 DDADMM CC1(C)CC(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000969692011 720251968 /nfs/dbraw/zinc/25/19/68/720251968.db2.gz DVQQOEDYPQCBDU-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950546620 720678333 /nfs/dbraw/zinc/67/83/33/720678333.db2.gz RSFUWCWNWKYVEY-TUAOUCFPSA-N -1 1 303.362 1.164 20 0 DDADMM CC(=O)N1CC[C@@H]([C@@H]2CCCCN2C(=O)c2ncccc2[O-])C1 ZINC000952349240 721416856 /nfs/dbraw/zinc/41/68/56/721416856.db2.gz BZBBZKFVIOXWGP-KGLIPLIRSA-N -1 1 317.389 1.650 20 0 DDADMM CC1CC(C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000954124294 721723625 /nfs/dbraw/zinc/72/36/25/721723625.db2.gz UKQVGZYHAUGGGZ-UHFFFAOYSA-N -1 1 303.362 1.116 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001020455892 732396050 /nfs/dbraw/zinc/39/60/50/732396050.db2.gz MIJQXCLRBCNCMS-KXNHARMFSA-N -1 1 303.362 1.162 20 0 DDADMM O=C(NC[C@@H]1CC[N@H+]1CC[C@@H]1CCCO1)c1ncccc1[O-] ZINC001038193353 733194509 /nfs/dbraw/zinc/19/45/09/733194509.db2.gz IDXWDALKEJKVTI-STQMWFEESA-N -1 1 305.378 1.160 20 0 DDADMM CSCC(=O)N1C[C@H](CNC(=O)c2ncccc2[O-])C[C@H]1C ZINC001009989469 738688841 /nfs/dbraw/zinc/68/88/41/738688841.db2.gz XYZMOHHTPIFEMB-MNOVXSKESA-N -1 1 323.418 1.117 20 0 DDADMM C[C@@H]1CCN(C(=O)CC(C)(C)C)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087121691 733253192 /nfs/dbraw/zinc/25/31/92/733253192.db2.gz IVJHIBWUINGSIV-NEPJUHHUSA-N -1 1 323.441 1.663 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCC[C@@H]1CNCc1ccon1 ZINC001024937912 736176797 /nfs/dbraw/zinc/17/67/97/736176797.db2.gz FSHIMIXIPSYTHW-CYBMUJFWSA-N -1 1 316.361 1.560 20 0 DDADMM O=S(=O)([N-][C@@H]1COC2(CCC2)C1)c1ccc(Cl)nc1F ZINC000692804956 737945470 /nfs/dbraw/zinc/94/54/70/737945470.db2.gz APZBGCVWIGKVER-QMMMGPOBSA-N -1 1 320.773 1.864 20 0 DDADMM CC(C)CC(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017026400 751398613 /nfs/dbraw/zinc/39/86/13/751398613.db2.gz SZKKVNXHKOMNBD-LBPRGKRZSA-N -1 1 309.414 1.227 20 0 DDADMM CCC(CC)[C@H](O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692905776 739045476 /nfs/dbraw/zinc/04/54/76/739045476.db2.gz VFCZXIPHIWKUAY-SECBINFHSA-N -1 1 324.805 1.950 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2CCC[C@@H]2CCOC2)c1[O-] ZINC001038163946 739245744 /nfs/dbraw/zinc/24/57/44/739245744.db2.gz DHQMKJPXQJFLHC-OLZOCXBDSA-N -1 1 322.409 1.045 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)C1(C2CCCCC2)CC1 ZINC001149141827 740430486 /nfs/dbraw/zinc/43/04/86/740430486.db2.gz SWBCIFPCXXPYRP-UHFFFAOYSA-N -1 1 307.398 1.077 20 0 DDADMM C/C=C(\C)C(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059515342 741088723 /nfs/dbraw/zinc/08/87/23/741088723.db2.gz XCQMAUIKIFYRRG-QQFGACLNSA-N -1 1 317.389 1.722 20 0 DDADMM O=C(C1CCC1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088246267 741183786 /nfs/dbraw/zinc/18/37/86/741183786.db2.gz OODPYPSFTFETOX-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM CCC(CC)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088267085 741289212 /nfs/dbraw/zinc/28/92/12/741289212.db2.gz ODRLQNPZDPZDNY-CYBMUJFWSA-N -1 1 323.441 1.807 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@]2(C)C=CCC2)C1 ZINC001029806806 741328037 /nfs/dbraw/zinc/32/80/37/741328037.db2.gz RRVPMQGDKBDAIZ-MLGOLLRUSA-N -1 1 319.409 1.147 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029823028 741358232 /nfs/dbraw/zinc/35/82/32/741358232.db2.gz OHLBYCWBPVECCS-LLVKDONJSA-N -1 1 309.414 1.227 20 0 DDADMM Cc1cc(C)nc(N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001059613372 741414944 /nfs/dbraw/zinc/41/49/44/741414944.db2.gz CMWAZTIQZMVFES-TXEJJXNPSA-N -1 1 313.361 1.567 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088362982 741435209 /nfs/dbraw/zinc/43/52/09/741435209.db2.gz DRDNFACHEWUVAD-TUAOUCFPSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C(C)(C)C1CC1 ZINC001076246514 742618881 /nfs/dbraw/zinc/61/88/81/742618881.db2.gz WJDDYCQOANBHHI-NSHDSACASA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1ccn(C)c1 ZINC001076244202 742623166 /nfs/dbraw/zinc/62/31/66/742623166.db2.gz MEIGURRPBBBGMZ-NSHDSACASA-N -1 1 316.361 1.064 20 0 DDADMM C[C@@H](C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001076244480 742623891 /nfs/dbraw/zinc/62/38/91/742623891.db2.gz UMGHCIPBFAFSCE-WDEREUQCSA-N -1 1 305.378 1.458 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC1(C)C ZINC001076245361 742624511 /nfs/dbraw/zinc/62/45/11/742624511.db2.gz YTSCEOVHUXBWPL-WDEREUQCSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1ccncc1C=CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001168693109 743051924 /nfs/dbraw/zinc/05/19/24/743051924.db2.gz GGZBMWNDYCARSF-KXPUMZMLSA-N -1 1 320.356 1.822 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1C[C@H]1c1cccnc1 ZINC001168694036 743091927 /nfs/dbraw/zinc/09/19/27/743091927.db2.gz LZMHQBYXYPXRMA-ZNMIVQPWSA-N -1 1 320.356 1.604 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)C(=O)Cc1ccccc1 ZINC001181375226 743170032 /nfs/dbraw/zinc/17/00/32/743170032.db2.gz GNXHGPRBQVTVRG-UHFFFAOYSA-N -1 1 301.302 1.337 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)C(=O)Cc1ccccc1 ZINC001181375226 743170035 /nfs/dbraw/zinc/17/00/35/743170035.db2.gz GNXHGPRBQVTVRG-UHFFFAOYSA-N -1 1 301.302 1.337 20 0 DDADMM COC([O-])=NC(=S)N(C(=O)[C@@H](C)OC)c1ccccc1N ZINC001181458921 743204351 /nfs/dbraw/zinc/20/43/51/743204351.db2.gz LZDYLYXHAZXLCC-MRVPVSSYSA-N -1 1 311.363 1.278 20 0 DDADMM COC(=O)[N-]C(=S)N(C(=O)[C@@H](C)OC)c1ccccc1N ZINC001181458921 743204358 /nfs/dbraw/zinc/20/43/58/743204358.db2.gz LZDYLYXHAZXLCC-MRVPVSSYSA-N -1 1 311.363 1.278 20 0 DDADMM CCCCN(CC)[C@H](C(=O)N[C@@H]1CO[N-]C1=O)c1ccccc1 ZINC001182235559 743529296 /nfs/dbraw/zinc/52/92/96/743529296.db2.gz OEELQCYFKIOKHE-CABCVRRESA-N -1 1 319.405 1.396 20 0 DDADMM CCCCC(=O)NCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001183269982 743813108 /nfs/dbraw/zinc/81/31/08/743813108.db2.gz ZMUAQWMUAWQOFL-UHFFFAOYSA-N -1 1 316.361 1.823 20 0 DDADMM Cc1cnc([C@H](C)N2CC(NC(=O)c3ncccc3[O-])C2)cn1 ZINC001030241271 743976758 /nfs/dbraw/zinc/97/67/58/743976758.db2.gz BWBRVDMVIJTBOI-NSHDSACASA-N -1 1 313.361 1.061 20 0 DDADMM Cc1c(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cnc2ccnn21 ZINC001151420805 744104755 /nfs/dbraw/zinc/10/47/55/744104755.db2.gz BEQMCRAJJHJOID-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1cnc([C@H]2C[C@H]2C)nc1 ZINC001185118673 744164233 /nfs/dbraw/zinc/16/42/33/744164233.db2.gz WJRJJBVQLNIETR-SCZZXKLOSA-N -1 1 315.333 1.752 20 0 DDADMM CC(C)(C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)C1CCOCC1 ZINC001185998826 744330780 /nfs/dbraw/zinc/33/07/80/744330780.db2.gz VGSOKNYTVKPPPP-UHFFFAOYSA-N -1 1 321.337 1.107 20 0 DDADMM COC(=O)[C@@](C)(NC(=O)c1ccc([O-])c(F)c1)C(F)(F)F ZINC001186243134 744362581 /nfs/dbraw/zinc/36/25/81/744362581.db2.gz YMEUMJVWWVFVKP-LLVKDONJSA-N -1 1 309.215 1.755 20 0 DDADMM CN1C(=O)CC[C@H]2CN(C(=O)c3ccc([O-])c(F)c3)CC[C@H]21 ZINC001186218551 744366057 /nfs/dbraw/zinc/36/60/57/744366057.db2.gz GQKOJLOWJLMNPW-WCQYABFASA-N -1 1 306.337 1.614 20 0 DDADMM O=C(NC[C@@H]1C(=O)Nc2ccccc21)c1ccc([O-])cc1F ZINC001186348961 744380837 /nfs/dbraw/zinc/38/08/37/744380837.db2.gz VQXDUBKEQOUXTJ-LBPRGKRZSA-N -1 1 300.289 1.997 20 0 DDADMM COc1cccc(C2([N-]S(=O)(=O)c3ccco3)COC2)c1 ZINC001186875294 744457063 /nfs/dbraw/zinc/45/70/63/744457063.db2.gz UGZMOBVNAQMVDC-UHFFFAOYSA-N -1 1 309.343 1.492 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NC(=O)NCCC(F)(F)F ZINC001186979810 744482099 /nfs/dbraw/zinc/48/20/99/744482099.db2.gz KXADZRZQEIBNAJ-UHFFFAOYSA-N -1 1 311.245 1.943 20 0 DDADMM CCOCc1ccc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)cc1 ZINC001187088682 744502357 /nfs/dbraw/zinc/50/23/57/744502357.db2.gz XCAMOXFLFCBFRG-UHFFFAOYSA-N -1 1 311.345 1.824 20 0 DDADMM CCOC(=O)CCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001187222526 744530151 /nfs/dbraw/zinc/53/01/51/744530151.db2.gz WZPFZLXGMLZCKR-UHFFFAOYSA-N -1 1 318.333 1.653 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cnc(OCC2CCC2)nc1 ZINC001187256991 744537908 /nfs/dbraw/zinc/53/79/08/744537908.db2.gz OSQLEYFQGQSZAJ-UHFFFAOYSA-N -1 1 315.395 1.434 20 0 DDADMM O=C(CC1CC1)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992049137 744560527 /nfs/dbraw/zinc/56/05/27/744560527.db2.gz NZNLZPRVZVQNQK-UHFFFAOYSA-N -1 1 303.362 1.212 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1nccs1)C(=O)OC(C)(C)C ZINC001187917557 744629741 /nfs/dbraw/zinc/62/97/41/744629741.db2.gz BSBZSXTZGCXKLU-VIFPVBQESA-N -1 1 320.436 1.932 20 0 DDADMM CC(C)(C)OC(=O)C(C)(C)C[N-]S(=O)(=O)c1nccs1 ZINC001187923677 744635667 /nfs/dbraw/zinc/63/56/67/744635667.db2.gz VUXUGAXLYCRISK-UHFFFAOYSA-N -1 1 320.436 1.789 20 0 DDADMM O=C1c2ccccc2CC[C@@H]1[N-]S(=O)(=O)c1nccs1 ZINC001187923828 744635721 /nfs/dbraw/zinc/63/57/21/744635721.db2.gz XLFOBJUSYCOJAH-NSHDSACASA-N -1 1 308.384 1.619 20 0 DDADMM COc1ccc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)c(C)c1C ZINC001188057935 744654341 /nfs/dbraw/zinc/65/43/41/744654341.db2.gz CCTWLVADKCCIOU-UHFFFAOYSA-N -1 1 304.306 1.348 20 0 DDADMM O=C(CNC(=O)Cc1ccccc1)Nc1cccc(F)c1[O-] ZINC001151773069 744918511 /nfs/dbraw/zinc/91/85/11/744918511.db2.gz XRSRBJCZYPSGJY-UHFFFAOYSA-N -1 1 302.305 1.829 20 0 DDADMM O=C(NCc1n[nH]c(=O)[n-]1)c1ccc(CN2CCCCC2)o1 ZINC001189751864 744968974 /nfs/dbraw/zinc/96/89/74/744968974.db2.gz NWWUHSYDWGXSRV-UHFFFAOYSA-N -1 1 305.338 1.019 20 0 DDADMM COC(=O)Cc1ccc(OC)c([N-]S(=O)(=O)CCCF)c1 ZINC001189911353 745027177 /nfs/dbraw/zinc/02/71/77/745027177.db2.gz NMPOELIQRIAIGB-UHFFFAOYSA-N -1 1 319.354 1.512 20 0 DDADMM COc1cc(O)c(C(=O)Nc2n[nH]c3nccnc23)c(OC)c1 ZINC001190110001 745117393 /nfs/dbraw/zinc/11/73/93/745117393.db2.gz GLXQJXUEMHXTIZ-UHFFFAOYSA-N -1 1 315.289 1.280 20 0 DDADMM COc1cc([O-])c(C(=O)Nc2[nH]nc3nccnc32)c(OC)c1 ZINC001190110001 745117396 /nfs/dbraw/zinc/11/73/96/745117396.db2.gz GLXQJXUEMHXTIZ-UHFFFAOYSA-N -1 1 315.289 1.280 20 0 DDADMM C[C@@H](Cc1cccc(F)c1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001168468382 745408041 /nfs/dbraw/zinc/40/80/41/745408041.db2.gz NHEILGWFEDAVCU-ZETCQYMHSA-N -1 1 303.297 1.898 20 0 DDADMM O=C([N-]c1cnoc1)c1nccnc1I ZINC001191519206 745533385 /nfs/dbraw/zinc/53/33/85/745533385.db2.gz NBTNXRFKLAHPCN-UHFFFAOYSA-N -1 1 316.058 1.322 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2cnccc2F)cc1 ZINC001191579085 745542855 /nfs/dbraw/zinc/54/28/55/745542855.db2.gz HPMOSLPLAKUEDY-UHFFFAOYSA-N -1 1 309.322 1.381 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCC[C@H]1O)C(Cl)(Cl)Cl ZINC001192053290 745685624 /nfs/dbraw/zinc/68/56/24/745685624.db2.gz AQSHPLGNGBWZEW-RNFRBKRXSA-N -1 1 310.630 1.785 20 0 DDADMM O=S(=O)([N-]Cc1cncn1CCF)C(Cl)(Cl)Cl ZINC001192053308 745685780 /nfs/dbraw/zinc/68/57/80/745685780.db2.gz BFHJAZDGNOULNP-UHFFFAOYSA-N -1 1 324.592 1.600 20 0 DDADMM CCOC(=O)[C@@H](C)C[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192055391 745686322 /nfs/dbraw/zinc/68/63/22/745686322.db2.gz UNXJDJJVUPGLRH-YFKPBYRVSA-N -1 1 312.602 1.433 20 0 DDADMM CC(=O)N1CCC[C@H](N(C)C(=O)c2c(F)ccc([O-])c2F)C1 ZINC001192539079 745827013 /nfs/dbraw/zinc/82/70/13/745827013.db2.gz FCVCNHZUOQGKRZ-JTQLQIEISA-N -1 1 312.316 1.753 20 0 DDADMM O=C(NC[C@@H](O)c1ccc(O)cc1)c1cc([O-])cc(F)c1F ZINC001192678489 745859522 /nfs/dbraw/zinc/85/95/22/745859522.db2.gz DEPGZCDEOIGUMC-CYBMUJFWSA-N -1 1 309.268 1.839 20 0 DDADMM CCOC(=O)c1ncncc1NC(=O)c1cc([O-])cc(F)c1F ZINC001192661485 745867761 /nfs/dbraw/zinc/86/77/61/745867761.db2.gz ZACOBTJXGCCRMJ-UHFFFAOYSA-N -1 1 323.255 1.889 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc(C(C)(C)C)cn2)n1 ZINC001192783491 745891150 /nfs/dbraw/zinc/89/11/50/745891150.db2.gz ZKQDIALZKCPIMU-UHFFFAOYSA-N -1 1 317.349 1.926 20 0 DDADMM COCc1[nH]nc2c1CN(C(=O)c1ccc([O-])c(F)c1F)C2 ZINC001192832885 745907111 /nfs/dbraw/zinc/90/71/11/745907111.db2.gz RNUYHKHGIIWMAB-UHFFFAOYSA-N -1 1 309.272 1.696 20 0 DDADMM Cc1nc(Cl)c([N-]S(=O)(=O)C[C@@H]2CCCO2)nc1C ZINC001193208358 746013760 /nfs/dbraw/zinc/01/37/60/746013760.db2.gz VVVBDZKWHXPRKY-VIFPVBQESA-N -1 1 305.787 1.668 20 0 DDADMM Cc1ccc2c(c1[N-]S(=O)(=O)C[C@@H]1CCCO1)CNC2=O ZINC001193252352 746030884 /nfs/dbraw/zinc/03/08/84/746030884.db2.gz KWRCNUCETVADDQ-JTQLQIEISA-N -1 1 310.375 1.159 20 0 DDADMM CCOC(=O)c1ncncc1NC(=O)c1nccc(OC)c1[O-] ZINC001193525106 746133698 /nfs/dbraw/zinc/13/36/98/746133698.db2.gz USRIWYRKVRKEJQ-UHFFFAOYSA-N -1 1 318.289 1.015 20 0 DDADMM CN1Cc2cc([N-]S(=O)(=O)c3ccccc3N)ccc2C1=O ZINC001193924190 746239321 /nfs/dbraw/zinc/23/93/21/746239321.db2.gz MUVNVYFBPHXHSK-UHFFFAOYSA-N -1 1 317.370 1.655 20 0 DDADMM COC(=O)c1cc2cc(NC(=O)c3cc(=O)n(C)[n-]3)cnc2[nH]1 ZINC001194189472 746304319 /nfs/dbraw/zinc/30/43/19/746304319.db2.gz ZUFQCQRQMHUEJT-UHFFFAOYSA-N -1 1 315.289 1.041 20 0 DDADMM CSc1ncc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1C ZINC001194322082 746336495 /nfs/dbraw/zinc/33/64/95/746336495.db2.gz AIZYYTXALGNMLE-UHFFFAOYSA-N -1 1 307.335 1.148 20 0 DDADMM COc1nccc([N-]S(=O)(=O)c2cccnc2OC)c1F ZINC001194680756 746436440 /nfs/dbraw/zinc/43/64/40/746436440.db2.gz FYZDJUYBEUBHRK-UHFFFAOYSA-N -1 1 313.310 1.434 20 0 DDADMM COCCn1cnc([N-]S(=O)(=O)CC[Si](C)(C)C)c1 ZINC001195048940 746516328 /nfs/dbraw/zinc/51/63/28/746516328.db2.gz WQSKTLJKZAVBTE-UHFFFAOYSA-N -1 1 305.476 1.609 20 0 DDADMM O=C(Nc1cn[nH]c(=O)c1Cl)c1cc([O-])cnc1Cl ZINC001195298064 746570588 /nfs/dbraw/zinc/57/05/88/746570588.db2.gz OICJUEAILSPROP-UHFFFAOYSA-N -1 1 301.089 1.842 20 0 DDADMM Cn1cc2c(n1)[C@@H](CNC(=O)c1cc([O-])cnc1Cl)OCC2 ZINC001195305459 746571759 /nfs/dbraw/zinc/57/17/59/746571759.db2.gz FBLSTKAKVLEAFV-LLVKDONJSA-N -1 1 322.752 1.218 20 0 DDADMM O=C(N[C@@H]1C(=O)NCc2ccccc21)c1cc([O-])cnc1Cl ZINC001195312482 746573844 /nfs/dbraw/zinc/57/38/44/746573844.db2.gz HPBSAJUZHMVZCG-LBPRGKRZSA-N -1 1 317.732 1.542 20 0 DDADMM Cc1ccc(O)c(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)n1 ZINC001195318786 746576639 /nfs/dbraw/zinc/57/66/39/746576639.db2.gz NTORBURTMGYJTI-UHFFFAOYSA-N -1 1 318.293 1.307 20 0 DDADMM O=C(NCc1cncc2ccccc21)c1c[nH]c(=S)[n-]c1=O ZINC001196026652 746761064 /nfs/dbraw/zinc/76/10/64/746761064.db2.gz ALUCUDVSBZTGHK-UHFFFAOYSA-N -1 1 312.354 1.949 20 0 DDADMM CCOc1cc(CNC(=O)c2c[nH]c(=S)[n-]c2=O)ccc1O ZINC001196026720 746765835 /nfs/dbraw/zinc/76/58/35/746765835.db2.gz DJEAWXNZXZSSSO-UHFFFAOYSA-N -1 1 321.358 1.505 20 0 DDADMM CCc1cccc([N-]S(=O)(=O)CCCCC(=O)OC)n1 ZINC001196924725 746998295 /nfs/dbraw/zinc/99/82/95/746998295.db2.gz KWASQMDRZKADKA-UHFFFAOYSA-N -1 1 300.380 1.729 20 0 DDADMM Cc1c([N-]S(=O)(=O)Cc2c(F)cccc2F)cnn1C ZINC001197556701 747199325 /nfs/dbraw/zinc/19/93/25/747199325.db2.gz RRFKAEAIFNFOMA-UHFFFAOYSA-N -1 1 301.318 1.949 20 0 DDADMM C[C@H](c1csnn1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001031628640 747251016 /nfs/dbraw/zinc/25/10/16/747251016.db2.gz RDRGGAHABSCOMH-SECBINFHSA-N -1 1 319.390 1.062 20 0 DDADMM C=CS(=O)(=O)[N-][C@@H](COC(C)(C)C)C(=O)OC(C)(C)C ZINC001198567979 747528844 /nfs/dbraw/zinc/52/88/44/747528844.db2.gz XHJGTHVSZQCSFV-JTQLQIEISA-N -1 1 307.412 1.575 20 0 DDADMM Cc1nc2ncccn2c1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001198714837 747594763 /nfs/dbraw/zinc/59/47/63/747594763.db2.gz SXJGOIPDZFKAKL-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM CC(C)N1CC[C@@H](NS(=O)(=O)c2ccccc2C(=O)[O-])C1 ZINC001198799631 747615904 /nfs/dbraw/zinc/61/59/04/747615904.db2.gz VRDZTMYDVZNVSF-LLVKDONJSA-N -1 1 312.391 1.146 20 0 DDADMM CC(C)Oc1cccc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001199046201 747684941 /nfs/dbraw/zinc/68/49/41/747684941.db2.gz QUAFUMGUHZIHPR-UHFFFAOYSA-N -1 1 314.305 1.493 20 0 DDADMM O=C(Nc1nnc(Br)s1)c1ccc([O-])c(=O)[nH]1 ZINC001199198954 747738301 /nfs/dbraw/zinc/73/83/01/747738301.db2.gz NCOAXQJIMNOMPU-UHFFFAOYSA-N -1 1 317.124 1.359 20 0 DDADMM CC(C)n1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)ccc1=O ZINC001199509455 747880387 /nfs/dbraw/zinc/88/03/87/747880387.db2.gz LHIOBKOSKDUEBI-UHFFFAOYSA-N -1 1 315.255 1.818 20 0 DDADMM CSc1nc(NC(=S)NC[C@H]2CCCO2)cc(=O)[n-]1 ZINC001200011788 748081381 /nfs/dbraw/zinc/08/13/81/748081381.db2.gz LLUGIQYCGZETBU-SSDOTTSWSA-N -1 1 300.409 1.370 20 0 DDADMM C[C@@H](CCCCNc1ncccn1)NC(=O)c1ncccc1[O-] ZINC001090079857 748114754 /nfs/dbraw/zinc/11/47/54/748114754.db2.gz OHEXDOLUNXWFDS-LBPRGKRZSA-N -1 1 315.377 1.978 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(C)(C)F ZINC001004383649 748392097 /nfs/dbraw/zinc/39/20/97/748392097.db2.gz FYGMTGDHVRHISX-GHMZBOCLSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)C(C)(C)F ZINC001004666669 748635067 /nfs/dbraw/zinc/63/50/67/748635067.db2.gz GCMDAIGSTOATIJ-NXEZZACHSA-N -1 1 313.377 1.118 20 0 DDADMM O=C(Nc1ccc(F)cc1F)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001202027335 748662209 /nfs/dbraw/zinc/66/22/09/748662209.db2.gz ITDIARZUQCNPLR-UHFFFAOYSA-N -1 1 306.232 1.981 20 0 DDADMM COc1cccc(NC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001202283782 748737416 /nfs/dbraw/zinc/73/74/16/748737416.db2.gz QKGDILPRDYBGOK-UHFFFAOYSA-N -1 1 300.278 1.711 20 0 DDADMM COc1cccc(NC(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001202286203 748738066 /nfs/dbraw/zinc/73/80/66/748738066.db2.gz ZCBOBXCMAFDDHB-UHFFFAOYSA-N -1 1 302.267 1.775 20 0 DDADMM CC(C)(C)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995465147 748789783 /nfs/dbraw/zinc/78/97/83/748789783.db2.gz IUGGDBFLTZAICN-JTQLQIEISA-N -1 1 309.414 1.273 20 0 DDADMM CC[C@@H](F)CN1CC=C(CNC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001000587541 761968149 /nfs/dbraw/zinc/96/81/49/761968149.db2.gz JVOPBNXRJZSEPZ-GFCCVEGCSA-N -1 1 310.373 1.534 20 0 DDADMM CCc1nc(C)cc(NC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001107691624 750373022 /nfs/dbraw/zinc/37/30/22/750373022.db2.gz HAUMVPLBTBLPMY-LLVKDONJSA-N -1 1 315.377 1.678 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2ccoc2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036504321 752492682 /nfs/dbraw/zinc/49/26/82/752492682.db2.gz ZOFGXXHHMPMWSV-ZYHUDNBSSA-N -1 1 319.365 1.134 20 0 DDADMM CC(C)(CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC000999111811 752536886 /nfs/dbraw/zinc/53/68/86/752536886.db2.gz LEGTZXLNGKVTDQ-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(CCC1CCCC1)NCC1(NC(=O)c2cnn[nH]2)CCC1 ZINC001062427812 752977074 /nfs/dbraw/zinc/97/70/74/752977074.db2.gz NIOLXMGUVBTHPC-UHFFFAOYSA-N -1 1 319.409 1.544 20 0 DDADMM CC(C)CC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])C[C@H]1C ZINC001009983411 753521314 /nfs/dbraw/zinc/52/13/14/753521314.db2.gz YRJFLHFDAZEJFC-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(Cc3cccnc3C)C2)c1[O-] ZINC001010620527 754058883 /nfs/dbraw/zinc/05/88/83/754058883.db2.gz ODBBLAZKJYIKCZ-ZDUSSCGKSA-N -1 1 315.377 1.131 20 0 DDADMM Cc1nccc(NC[C@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC001063474416 754170930 /nfs/dbraw/zinc/17/09/30/754170930.db2.gz FNWVTMBKUDLLHC-GFCCVEGCSA-N -1 1 313.361 1.602 20 0 DDADMM CC(=O)N1CC[C@H](Nc2c(C)c(=O)[n-]n2-c2ccccc2)C1 ZINC001169530797 762490660 /nfs/dbraw/zinc/49/06/60/762490660.db2.gz AGABCGPZZSKHIR-ZDUSSCGKSA-N -1 1 300.362 1.919 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)CCC2CC2)C1 ZINC001017076500 757620120 /nfs/dbraw/zinc/62/01/20/757620120.db2.gz ILHCURJMOMVNTB-CYBMUJFWSA-N -1 1 321.425 1.371 20 0 DDADMM CC[C@H](CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(C)c1[O-])OC ZINC001017480956 757964800 /nfs/dbraw/zinc/96/48/00/757964800.db2.gz WBJXRZFKQUSSBZ-FRRDWIJNSA-N -1 1 322.409 1.138 20 0 DDADMM Cc1cnc(Cl)cc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001119973295 758156701 /nfs/dbraw/zinc/15/67/01/758156701.db2.gz XYQRSSHCWVVONY-UHFFFAOYSA-N -1 1 306.757 1.576 20 0 DDADMM C[C@H]1CN(C(=O)C2CCCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054345179 759519296 /nfs/dbraw/zinc/51/92/96/759519296.db2.gz LWBHESNTKKCRSD-CMPLNLGQSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1cc(CN[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)no1 ZINC001054589231 759853232 /nfs/dbraw/zinc/85/32/32/759853232.db2.gz NKMIXLSWPSSDSO-GXFFZTMASA-N -1 1 316.361 1.334 20 0 DDADMM C=Cc1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1 ZINC001019420798 759919445 /nfs/dbraw/zinc/91/94/45/759919445.db2.gz LJVMRFOWHIVLGC-ZDUSSCGKSA-N -1 1 313.361 1.158 20 0 DDADMM Cn1cnc2c1cccc2C(=O)NCCCC[P@](=O)([O-])O ZINC001155002119 760179907 /nfs/dbraw/zinc/17/99/07/760179907.db2.gz WBYIKGZIIDIRNL-UHFFFAOYSA-N -1 1 311.278 1.261 20 0 DDADMM C[C@H]1CN(C(=O)C2(C)CCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054943438 760210777 /nfs/dbraw/zinc/21/07/77/760210777.db2.gz IEBSZYHDLGFQKX-WDEREUQCSA-N -1 1 307.398 1.027 20 0 DDADMM CCC1(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001054987574 760264085 /nfs/dbraw/zinc/26/40/85/760264085.db2.gz XJGQFQDOLXMEBF-GHMZBOCLSA-N -1 1 307.398 1.027 20 0 DDADMM O=C(NC[C@@H]1CCN1Cc1ccccc1F)c1ncccc1[O-] ZINC001038195531 760910280 /nfs/dbraw/zinc/91/02/80/760910280.db2.gz WDTNVXALDJJIPY-ZDUSSCGKSA-N -1 1 315.348 1.931 20 0 DDADMM O=C(NCC1CN(C(=O)[C@@H]2CC[C@H](F)C2)C1)c1ncccc1[O-] ZINC001001541613 762945925 /nfs/dbraw/zinc/94/59/25/762945925.db2.gz MEZLENNBKANLJZ-NEPJUHHUSA-N -1 1 321.352 1.114 20 0 DDADMM O=C(NCC1CN(C(=O)[C@@H]2CC[C@@H](F)C2)C1)c1ncccc1[O-] ZINC001001541619 762946355 /nfs/dbraw/zinc/94/63/55/762946355.db2.gz MEZLENNBKANLJZ-VXGBXAGGSA-N -1 1 321.352 1.114 20 0 DDADMM CC(C)C(=O)N[C@@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531121 763716721 /nfs/dbraw/zinc/71/67/21/763716721.db2.gz RAHLPYYLLVNMSN-NWDGAFQWSA-N -1 1 305.378 1.410 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](Nc2ncc(F)cn2)C1 ZINC001057623536 764157631 /nfs/dbraw/zinc/15/76/31/764157631.db2.gz MMBAEXVPUOPWIG-LLVKDONJSA-N -1 1 317.324 1.433 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C=O)oc2C)cc(=O)[n-]1 ZINC001143619880 764657693 /nfs/dbraw/zinc/65/76/93/764657693.db2.gz GGMCECNLXGOETA-UHFFFAOYSA-N -1 1 307.331 1.548 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H](C)Nc1ncc(F)cn1 ZINC001112983849 765083168 /nfs/dbraw/zinc/08/31/68/765083168.db2.gz QWINTEQAUMRRPG-RKDXNWHRSA-N -1 1 305.313 1.335 20 0 DDADMM CN(CC(C)(C)CNc1ncccn1)C(=O)c1ncccc1[O-] ZINC001113416387 765680210 /nfs/dbraw/zinc/68/02/10/765680210.db2.gz LXDOQJRSBWYWDG-UHFFFAOYSA-N -1 1 315.377 1.788 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)C(C)(C)F ZINC001052423222 765811217 /nfs/dbraw/zinc/81/12/17/765811217.db2.gz VHPNDIXSMKTZFW-WDEREUQCSA-N -1 1 323.368 1.360 20 0 DDADMM O=C(C(F)=C1CCCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044993719 766008416 /nfs/dbraw/zinc/00/84/16/766008416.db2.gz YGRAIJJFZLVKOF-NSHDSACASA-N -1 1 323.372 1.389 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001045164029 766128904 /nfs/dbraw/zinc/12/89/04/766128904.db2.gz ONCIMCKXALGWDN-NSHDSACASA-N -1 1 307.398 1.027 20 0 DDADMM CCN(C)c1nc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)ncc1C ZINC001170212800 766172599 /nfs/dbraw/zinc/17/25/99/766172599.db2.gz BDBBAGWPJFFCIO-UHFFFAOYSA-N -1 1 316.325 1.014 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cnc4[nH]cc(F)c4c3)c2[nH]1 ZINC001170220369 766178847 /nfs/dbraw/zinc/17/88/47/766178847.db2.gz XAZYGJMESKTMQZ-UHFFFAOYSA-N -1 1 301.241 1.475 20 0 DDADMM Cc1cc(N[C@@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)ncn1 ZINC001069065548 767814907 /nfs/dbraw/zinc/81/49/07/767814907.db2.gz LHMWVGQWDXPTFW-VXGBXAGGSA-N -1 1 313.361 1.601 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)/C=C\C1CC1 ZINC001071952516 770337014 /nfs/dbraw/zinc/33/70/14/770337014.db2.gz NHWBADUXCHTBRB-GGCNOCFKSA-N -1 1 315.373 1.330 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)C[C@@H](C)NCc2ccon2)c1[O-] ZINC001134089701 770642260 /nfs/dbraw/zinc/64/22/60/770642260.db2.gz HEHCZHYMISAYMH-RKDXNWHRSA-N -1 1 307.354 1.098 20 0 DDADMM CC[C@](N)(CO)Nc1cc(Br)cc(C(=O)[O-])c1 ZINC001170866153 771781378 /nfs/dbraw/zinc/78/13/78/771781378.db2.gz OEXJCQUNZCOACE-LLVKDONJSA-N -1 1 303.156 1.617 20 0 DDADMM Cc1cc(NC(=O)Cc2c(C)nc(-c3cccnc3)[n-]c2=O)n[nH]1 ZINC001136305312 771932419 /nfs/dbraw/zinc/93/24/19/771932419.db2.gz IJSNOZRNVDZGDU-UHFFFAOYSA-N -1 1 324.344 1.765 20 0 DDADMM Cc1n[nH]c(C(=O)NCC2CC(NCc3cscn3)C2)c1[O-] ZINC001090982836 772406968 /nfs/dbraw/zinc/40/69/68/772406968.db2.gz CXGMHXJXTFHERL-UHFFFAOYSA-N -1 1 321.406 1.178 20 0 DDADMM C[C@@H]1CN(C2CN(Cc3c(F)cc([O-])cc3F)C2)C[C@@H](C)O1 ZINC001144567029 772567537 /nfs/dbraw/zinc/56/75/37/772567537.db2.gz AHLBFBIWZUDIPT-GHMZBOCLSA-N -1 1 312.360 1.964 20 0 DDADMM CCOC(=O)[C@H]1C(=O)CCCN1Cc1cc(F)c([O-])cc1F ZINC001144667566 772590014 /nfs/dbraw/zinc/59/00/14/772590014.db2.gz WDVLKDPMICBOGT-CQSZACIVSA-N -1 1 313.300 1.767 20 0 DDADMM COC(=O)[C@]1(C)COCCN1Cc1cc(F)c([O-])cc1F ZINC001144663802 772590125 /nfs/dbraw/zinc/59/01/25/772590125.db2.gz JQKVPNRQASARFA-AWEZNQCLSA-N -1 1 301.289 1.434 20 0 DDADMM COC(=O)[C@H]1CCCC[C@H]1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001144802460 772626333 /nfs/dbraw/zinc/62/63/33/772626333.db2.gz CIQIUHXTQNWEDG-RQJHMYQMSA-N -1 1 324.293 1.204 20 0 DDADMM COc1ccc(C(=O)NCCCC[P@](=O)([O-])O)cc1O ZINC001148267474 773417348 /nfs/dbraw/zinc/41/73/48/773417348.db2.gz OMDCQRBEKNXETP-UHFFFAOYSA-N -1 1 303.251 1.089 20 0 DDADMM O=C(NC[C@H]1CCOC1)c1cc([O-])c(Br)c(O)c1 ZINC001148990661 773666704 /nfs/dbraw/zinc/66/67/04/773666704.db2.gz XIUYYQJVTYLFKW-SSDOTTSWSA-N -1 1 316.151 1.627 20 0 DDADMM C/C=C(/C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001074926102 774246929 /nfs/dbraw/zinc/24/69/29/774246929.db2.gz DDMDWIUDQITHFD-ZYFYVMIWSA-N -1 1 317.389 1.863 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])c1cc(C)ncn1 ZINC001100501085 776241358 /nfs/dbraw/zinc/24/13/58/776241358.db2.gz SZSUTLMTMWJQDZ-UHFFFAOYSA-N -1 1 301.350 1.142 20 0 DDADMM Cc1cccc2c1CC[C@@H](Nc1[nH]c(=O)nc3[n-]c(=O)[nH]c31)C2 ZINC001171555701 776342811 /nfs/dbraw/zinc/34/28/11/776342811.db2.gz AEAFVDLILOCWGB-SNVBAGLBSA-N -1 1 311.345 1.994 20 0 DDADMM CNC(=O)c1cccc([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001174144667 777415788 /nfs/dbraw/zinc/41/57/88/777415788.db2.gz MLTXXVGZWZDZKX-UHFFFAOYSA-N -1 1 320.374 1.011 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2ccc3ncnn3c2)c1 ZINC001174374220 777469820 /nfs/dbraw/zinc/46/98/20/777469820.db2.gz LANDZJRABRPOJS-UHFFFAOYSA-N -1 1 318.358 1.972 20 0 DDADMM Cn1ncc2cc([N-]S(=O)(=O)c3ccc(O)c(N)c3)ccc21 ZINC001175421395 777783753 /nfs/dbraw/zinc/78/37/53/777783753.db2.gz VIASWJPNXWGAJJ-UHFFFAOYSA-N -1 1 318.358 1.662 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2nnc(C)s2)[n-]1 ZINC001176275407 778098459 /nfs/dbraw/zinc/09/84/59/778098459.db2.gz MOYUKEQEYDPJEI-UHFFFAOYSA-N -1 1 309.351 1.318 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2nnc(C)s2)n1 ZINC001176275407 778098469 /nfs/dbraw/zinc/09/84/69/778098469.db2.gz MOYUKEQEYDPJEI-UHFFFAOYSA-N -1 1 309.351 1.318 20 0 DDADMM C[C@@H]1OC2(CCN(C(=O)Cc3nn[n-]n3)CC2)c2ccccc21 ZINC001176842137 778270689 /nfs/dbraw/zinc/27/06/89/778270689.db2.gz DDLHFMMBUMEWFD-NSHDSACASA-N -1 1 313.361 1.351 20 0 DDADMM COc1cccc(C(F)(F)F)c1CNC(=O)Cc1nn[n-]n1 ZINC001176846254 778300508 /nfs/dbraw/zinc/30/05/08/778300508.db2.gz YLHKDDNCZRJLPT-UHFFFAOYSA-N -1 1 315.255 1.086 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1ccnc2n[nH]nc21 ZINC001178785439 779169664 /nfs/dbraw/zinc/16/96/64/779169664.db2.gz WEBYDNZHTDXEFB-UHFFFAOYSA-N -1 1 319.271 1.309 20 0 DDADMM C[C@H](C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001104042853 779267468 /nfs/dbraw/zinc/26/74/68/779267468.db2.gz BKOWVGJZSLCKQI-NTZNESFSSA-N -1 1 321.425 1.131 20 0 DDADMM Cc1ccc(CC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1C ZINC001180463986 779790535 /nfs/dbraw/zinc/79/05/35/779790535.db2.gz DDAUVEWWSWUCAX-UHFFFAOYSA-N -1 1 313.317 1.514 20 0 DDADMM Fc1ccc(-n2nc(COCc3nn[n-]n3)c3c2CCC3)cc1 ZINC001119539268 781500946 /nfs/dbraw/zinc/50/09/46/781500946.db2.gz BZBHLPPTJHZQOA-UHFFFAOYSA-N -1 1 314.324 1.730 20 0 DDADMM COC(=O)CCCCC[N-]S(=O)(=O)c1csnc1OC ZINC000714376158 844179877 /nfs/dbraw/zinc/17/98/77/844179877.db2.gz TUNKBULLXICWCD-UHFFFAOYSA-N -1 1 322.408 1.163 20 0 DDADMM C=COc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC001299157307 836876936 /nfs/dbraw/zinc/87/69/36/836876936.db2.gz MRZSJDYRGIJJQM-UHFFFAOYSA-N -1 1 301.302 1.088 20 0 DDADMM CC(C)N(CCCNC(=O)c1ncccc1[O-])Cc1cnon1 ZINC001267500168 838192300 /nfs/dbraw/zinc/19/23/00/838192300.db2.gz VUFUYRRKMQVAPL-UHFFFAOYSA-N -1 1 319.365 1.201 20 0 DDADMM CCCCC[C@@H](C)CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001268454917 840225370 /nfs/dbraw/zinc/22/53/70/840225370.db2.gz HIVKVBYSDISKKR-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM NC(=O)c1ncc([N-]C(=O)c2ocnc2C(F)(F)F)s1 ZINC001363560399 842524312 /nfs/dbraw/zinc/52/43/12/842524312.db2.gz WFNZJEVCSIGMNY-UHFFFAOYSA-N -1 1 306.225 1.501 20 0 DDADMM CN1C[C@]2(CCN(C(=O)c3c([O-])cccc3Cl)C2)OCC1=O ZINC001271646903 843752240 /nfs/dbraw/zinc/75/22/40/843752240.db2.gz BTJJIPURKPXFGZ-HNNXBMFYSA-N -1 1 324.764 1.119 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H]2CC(=O)N(C(C)(C)C)C2)c1 ZINC001149211915 861489460 /nfs/dbraw/zinc/48/94/60/861489460.db2.gz RCPQBRMXPDZAAB-LBPRGKRZSA-N -1 1 318.373 1.642 20 0 DDADMM O=C(NCCCc1c[nH][nH]c1=O)c1ccc2ccccc2c1[O-] ZINC001149262201 861505721 /nfs/dbraw/zinc/50/57/21/861505721.db2.gz JXYOHXIECCOFJH-LBPRGKRZSA-N -1 1 311.341 1.787 20 0 DDADMM CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2ccc3cccnc3c2[O-])C1 ZINC001149327592 861548678 /nfs/dbraw/zinc/54/86/78/861548678.db2.gz UPCLQZJMNVDCJC-XYPYZODXSA-N -1 1 320.370 1.246 20 0 DDADMM CN1C[C@@]2(CCN(C(=O)c3ccc(Cl)cc3[O-])C2)OCC1=O ZINC001272264958 845555210 /nfs/dbraw/zinc/55/52/10/845555210.db2.gz LXGWDKPEQBZTMT-OAHLLOKOSA-N -1 1 324.764 1.119 20 0 DDADMM O=C(Nc1cccc(C(F)(F)F)c1[O-])C1=CNN2C=CNC=C12 ZINC001149458180 861629198 /nfs/dbraw/zinc/62/91/98/861629198.db2.gz ZLBFBHYLGLQIND-UHFFFAOYSA-N -1 1 324.262 1.969 20 0 DDADMM COC(=O)CCc1ccc(O)c(NC(=O)C2=C([O-])C(C)N=N2)c1 ZINC001155032763 861663234 /nfs/dbraw/zinc/66/32/34/861663234.db2.gz WPKDOUGUCICNLZ-UHFFFAOYSA-N -1 1 319.317 1.487 20 0 DDADMM O=C(N[C@H]1CCCCN(Cc2ccon2)C1)c1ncccc1[O-] ZINC001034174413 848047627 /nfs/dbraw/zinc/04/76/27/848047627.db2.gz BITGSVLYDNLOKI-LBPRGKRZSA-N -1 1 316.361 1.560 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCC(n2cccn2)CC1 ZINC001155267130 861914220 /nfs/dbraw/zinc/91/42/20/861914220.db2.gz VQZBUFRDJHBVLT-UHFFFAOYSA-N -1 1 311.345 1.942 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc2c([nH]1)CCCC2 ZINC001150644699 862383469 /nfs/dbraw/zinc/38/34/69/862383469.db2.gz QSMJEBPZBAWINC-UHFFFAOYSA-N -1 1 300.295 1.581 20 0 DDADMM C[C@H]1c2nc(C(N)=O)cn2CCN1C(=O)c1ccc([O-])c(F)c1 ZINC001275374367 853034398 /nfs/dbraw/zinc/03/43/98/853034398.db2.gz WXVKLCQLRJABIA-QMMMGPOBSA-N -1 1 318.308 1.044 20 0 DDADMM CC(=O)Nc1cccc([C@H](C)NC(=O)c2cnncc2[O-])c1 ZINC001411627139 853479405 /nfs/dbraw/zinc/47/94/05/853479405.db2.gz BKECPTWJJBNOBB-VIFPVBQESA-N -1 1 300.318 1.632 20 0 DDADMM CC(C)CC(=O)N1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC001411666474 853548307 /nfs/dbraw/zinc/54/83/07/853548307.db2.gz KXSSREMIAXMSHP-UHFFFAOYSA-N -1 1 308.353 1.862 20 0 DDADMM C[C@@H](CNC(=O)OC(C)(C)C)N(C)C(=O)c1cnncc1[O-] ZINC001411785268 853733452 /nfs/dbraw/zinc/73/34/52/853733452.db2.gz MOHPCUMMIIGXEG-VIFPVBQESA-N -1 1 310.354 1.167 20 0 DDADMM COC[C@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccccc1 ZINC001411939474 854003005 /nfs/dbraw/zinc/00/30/05/854003005.db2.gz XIBPETIPHVEGBK-JTQLQIEISA-N -1 1 319.317 1.014 20 0 DDADMM CC(C)NC(=O)N1CC2(C1)CN(C(=O)c1ccc([O-])cc1F)C2 ZINC001275975798 854056771 /nfs/dbraw/zinc/05/67/71/854056771.db2.gz XZGMCXKVPPTHDK-UHFFFAOYSA-N -1 1 321.352 1.407 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccncc3N3CCCC3)ccnc1-2 ZINC001412082437 854204671 /nfs/dbraw/zinc/20/46/71/854204671.db2.gz MOIJAFPNTSDNHG-UHFFFAOYSA-N -1 1 322.372 1.589 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@H]2COC(=O)N[C@@H]2C1 ZINC001412308676 854430278 /nfs/dbraw/zinc/43/02/78/854430278.db2.gz FSYVUHRCSONZRH-CMPLNLGQSA-N -1 1 324.764 1.545 20 0 DDADMM CC(=O)c1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c(C)nc1C ZINC001412492826 854621184 /nfs/dbraw/zinc/62/11/84/854621184.db2.gz SRYSWVAZPDGGIZ-LLVKDONJSA-N -1 1 314.349 1.044 20 0 DDADMM O=C(NCCc1ccc(O)c(CO)c1)c1c([O-])cccc1F ZINC001412524641 854657760 /nfs/dbraw/zinc/65/77/60/854657760.db2.gz BBUBNRVUTIOGLZ-UHFFFAOYSA-N -1 1 305.305 1.702 20 0 DDADMM COC(=O)c1oc(C(=O)N(Cc2nn[n-]n2)CC(C)C)cc1C ZINC001412526138 854658407 /nfs/dbraw/zinc/65/84/07/854658407.db2.gz HAEYEQFQPKRKCD-UHFFFAOYSA-N -1 1 321.337 1.186 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)c1 ZINC000245546414 862591473 /nfs/dbraw/zinc/59/14/73/862591473.db2.gz WKFCYQIJXATFPU-IWIIMEHWSA-N -1 1 310.375 1.414 20 0 DDADMM COc1nscc1[N-]C(=O)c1coc2c1C(=O)NCCC2 ZINC001412541332 854686201 /nfs/dbraw/zinc/68/62/01/854686201.db2.gz BOJRCKPUFALUGE-UHFFFAOYSA-N -1 1 307.331 1.673 20 0 DDADMM CC[C@@](O)(CC(=O)NC1(c2nn[n-]n2)CCC1)c1ccccc1 ZINC001412543248 854689605 /nfs/dbraw/zinc/68/96/05/854689605.db2.gz OJQWKEIJYWBULG-MRXNPFEDSA-N -1 1 315.377 1.383 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cccc2c1OCC2 ZINC001412545806 854696396 /nfs/dbraw/zinc/69/63/96/854696396.db2.gz OXFLLFPFGAPUOP-UHFFFAOYSA-N -1 1 301.350 1.433 20 0 DDADMM COC[C@H](NC(=O)CCOc1cccc(C)c1C)c1nn[n-]n1 ZINC001412586698 854769177 /nfs/dbraw/zinc/76/91/77/854769177.db2.gz DCKNXUZIDDOWMT-LBPRGKRZSA-N -1 1 319.365 1.089 20 0 DDADMM COC[C@@H](NC(=O)COc1ccc(C(C)C)cc1)c1nn[n-]n1 ZINC001412595724 854786504 /nfs/dbraw/zinc/78/65/04/854786504.db2.gz ONTOLZLDLIFFCC-CYBMUJFWSA-N -1 1 319.365 1.206 20 0 DDADMM CCOC(=O)[C@@H](NC(=O)c1ccc([O-])cn1)c1cccc(O)c1 ZINC001412673276 854920286 /nfs/dbraw/zinc/92/02/86/854920286.db2.gz JRQGRVZVBNHDAK-AWEZNQCLSA-N -1 1 316.313 1.527 20 0 DDADMM COc1ccc(CC(C)(C)NC(=O)c2cnncc2[O-])cc1 ZINC001412852549 855728159 /nfs/dbraw/zinc/72/81/59/855728159.db2.gz ZZWBBRPOEVPSQY-UHFFFAOYSA-N -1 1 301.346 1.942 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CCC(F)(F)CC1 ZINC001412895451 855766326 /nfs/dbraw/zinc/76/63/26/855766326.db2.gz DDUHRRWKMTXZRD-UHFFFAOYSA-N -1 1 317.292 1.452 20 0 DDADMM COc1c(=O)[nH]c(=O)[nH]c1C(=O)Nc1cc([O-])c(F)cc1F ZINC001413048413 856120885 /nfs/dbraw/zinc/12/08/85/856120885.db2.gz HPFVSJNTMZNHGJ-UHFFFAOYSA-N -1 1 313.216 1.133 20 0 DDADMM Cc1cccnc1NC(=O)CCNC(=O)c1ccc(F)c([O-])c1 ZINC001413061731 856293625 /nfs/dbraw/zinc/29/36/25/856293625.db2.gz SYVZFPWBCWQQEF-UHFFFAOYSA-N -1 1 317.320 1.993 20 0 DDADMM CSc1nc(CNC(=O)[C@@H](O)c2ccccc2)cc(=O)[n-]1 ZINC001413193236 856534069 /nfs/dbraw/zinc/53/40/69/856534069.db2.gz DWXINWDORAJDHU-LBPRGKRZSA-N -1 1 305.359 1.254 20 0 DDADMM COC(=O)c1c(C)cc(C)nc1NCC[N-]C(=O)C(F)(F)F ZINC001156203060 862810055 /nfs/dbraw/zinc/81/00/55/862810055.db2.gz FWKMUSNPUXCDER-UHFFFAOYSA-N -1 1 319.283 1.575 20 0 DDADMM CC(C)(C)Oc1ccnc(NCC[N-]C(=O)C(F)(F)F)n1 ZINC001156202992 862811922 /nfs/dbraw/zinc/81/19/22/862811922.db2.gz BWKDZSZJVMIDIH-UHFFFAOYSA-N -1 1 306.288 1.744 20 0 DDADMM CC(C)COc1nccnc1NCC[N-]C(=O)C(F)(F)F ZINC001156204285 862815091 /nfs/dbraw/zinc/81/50/91/862815091.db2.gz APSCENXGFWNFQM-UHFFFAOYSA-N -1 1 306.288 1.602 20 0 DDADMM CC(C)(C)c1ncc(C(=O)N2CCC(c3nn[n-]n3)CC2)cn1 ZINC001413428923 856796998 /nfs/dbraw/zinc/79/69/98/856796998.db2.gz VLQCOLJJOCJONA-UHFFFAOYSA-N -1 1 315.381 1.307 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H](O)[C@@H]3CCCC[C@H]32)c(=O)[n-]1 ZINC001413438733 856814400 /nfs/dbraw/zinc/81/44/00/856814400.db2.gz SPPMKXFVDIEADW-JLLWLGSASA-N -1 1 323.418 1.670 20 0 DDADMM Cc1ccccc1CO[C@@H](C)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001413510150 856910025 /nfs/dbraw/zinc/91/00/25/856910025.db2.gz OKLRPRYWXCXMQF-LBPRGKRZSA-N -1 1 315.377 1.609 20 0 DDADMM CC[C@@H](Oc1ccccc1F)C(=O)N[C@H](COC)c1nn[n-]n1 ZINC001413553594 857061167 /nfs/dbraw/zinc/06/11/67/857061167.db2.gz LZBWNIJYJBKHSZ-GHMZBOCLSA-N -1 1 323.328 1.000 20 0 DDADMM COc1ccc(O)c(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)c1 ZINC001413565738 857079712 /nfs/dbraw/zinc/07/97/12/857079712.db2.gz WCYCEIQEOJZLID-SNVBAGLBSA-N -1 1 317.349 1.009 20 0 DDADMM Cc1ccncc1-c1noc(-c2nc(Br)n[n-]2)n1 ZINC001213002176 862939589 /nfs/dbraw/zinc/93/95/89/862939589.db2.gz RIDYEPZRDSUIHZ-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM Cc1ccncc1-c1noc(-c2n[n-]c(Br)n2)n1 ZINC001213002176 862939595 /nfs/dbraw/zinc/93/95/95/862939595.db2.gz RIDYEPZRDSUIHZ-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1ccc(F)c([O-])c1)[C@H]1CCOC1 ZINC001413809421 858555934 /nfs/dbraw/zinc/55/59/34/858555934.db2.gz QEHYVJOQIJKYMD-WDEREUQCSA-N -1 1 311.309 1.087 20 0 DDADMM CCCCCN(c1nnc(-c2nnn[n-]2)n1CCOC)C(C)C ZINC001122358625 858775414 /nfs/dbraw/zinc/77/54/14/858775414.db2.gz RFPYJIICSWLWHJ-UHFFFAOYSA-N -1 1 322.417 1.510 20 0 DDADMM CCCCCN(c1nnc(-c2nn[n-]n2)n1CCOC)C(C)C ZINC001122358625 858775419 /nfs/dbraw/zinc/77/54/19/858775419.db2.gz RFPYJIICSWLWHJ-UHFFFAOYSA-N -1 1 322.417 1.510 20 0 DDADMM COC1CCC(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1 ZINC001123752937 859395829 /nfs/dbraw/zinc/39/58/29/859395829.db2.gz XMSAODPIRNUSFH-UHFFFAOYSA-N -1 1 311.407 1.714 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2 ZINC001123766367 859404099 /nfs/dbraw/zinc/40/40/99/859404099.db2.gz MKIXOUFKQGVSGX-GDPRMGEGSA-N -1 1 321.402 1.467 20 0 DDADMM O=C(c1cnn(-c2ccccc2)c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123868372 859448291 /nfs/dbraw/zinc/44/82/91/859448291.db2.gz FABIKCRQKWMPRC-NSHDSACASA-N -1 1 309.333 1.015 20 0 DDADMM CCN(CC)C(=O)[C@H](OCC[P@](=O)([O-])O)c1ccccc1 ZINC001224601823 881463242 /nfs/dbraw/zinc/46/32/42/881463242.db2.gz LBVGKIYTOCYTPQ-CYBMUJFWSA-N -1 1 315.306 1.790 20 0 DDADMM CC(=O)[C@H](Cc1ccccc1)NC(=O)C(=O)c1ccc([O-])cc1 ZINC001138059490 860003334 /nfs/dbraw/zinc/00/33/34/860003334.db2.gz QABGCLFDODISSI-INIZCTEOSA-N -1 1 311.337 1.891 20 0 DDADMM [O-]c1cccnc1CN1Cc2nccn2C[C@@H](Cn2cccn2)C1 ZINC001138393690 860090688 /nfs/dbraw/zinc/09/06/88/860090688.db2.gz QTAFHXPUMRHUIR-AWEZNQCLSA-N -1 1 324.388 1.512 20 0 DDADMM COC(=O)[C@@H]1CC[N@@H+](Cc2cccc(OC)c2OCC(=O)[O-])C1 ZINC001139268667 860342294 /nfs/dbraw/zinc/34/22/94/860342294.db2.gz PWELKGVJFAHBCY-GFCCVEGCSA-N -1 1 323.345 1.154 20 0 DDADMM COC(=O)[C@@H]1CCN(Cc2cccc(OC)c2OCC(=O)[O-])C1 ZINC001139268667 860342297 /nfs/dbraw/zinc/34/22/97/860342297.db2.gz PWELKGVJFAHBCY-GFCCVEGCSA-N -1 1 323.345 1.154 20 0 DDADMM CC(C)(C)CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001224826692 881548351 /nfs/dbraw/zinc/54/83/51/881548351.db2.gz PCUITFUIWFKJCH-GHMZBOCLSA-N -1 1 309.414 1.464 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2C[C@@H](C1)N2Cc1ccncc1[O-] ZINC001140273631 860605315 /nfs/dbraw/zinc/60/53/15/860605315.db2.gz NOVWDFATDZTJEN-BETUJISGSA-N -1 1 305.378 1.981 20 0 DDADMM CN(C)C(=O)NC1CCN(Cc2ccc([O-])c(F)c2F)CC1 ZINC001140895475 860726544 /nfs/dbraw/zinc/72/65/44/860726544.db2.gz SFQZBWVGLXWJTA-UHFFFAOYSA-N -1 1 313.348 1.906 20 0 DDADMM Cc1cc(NC(=O)c2ccc3[nH][n-]c(=O)c3c2)n2ncnc2n1 ZINC001154204851 860867494 /nfs/dbraw/zinc/86/74/94/860867494.db2.gz SOGUQBXWJXJHGW-UHFFFAOYSA-N -1 1 309.289 1.267 20 0 DDADMM O=C(NCCc1cn[nH]c1)c1c([O-])cnc2c(F)cccc21 ZINC001141606913 860896541 /nfs/dbraw/zinc/89/65/41/860896541.db2.gz VZJDPXIPJCFPPN-UHFFFAOYSA-N -1 1 300.293 1.775 20 0 DDADMM COc1ccc2ncc([O-])c(C(=O)Nc3nonc3C)c2c1 ZINC001154507539 861155463 /nfs/dbraw/zinc/15/54/63/861155463.db2.gz UWMBAHXFNUIZPI-UHFFFAOYSA-N -1 1 300.274 1.893 20 0 DDADMM COCc1nocc1C(=O)[N-]c1n[nH]c(-c2cccs2)n1 ZINC001328576931 863081127 /nfs/dbraw/zinc/08/11/27/863081127.db2.gz GBCKNHPUEXMQDV-UHFFFAOYSA-N -1 1 305.319 1.920 20 0 DDADMM C[C@H]1CC[C@H](CO)CN1C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152672256 863485378 /nfs/dbraw/zinc/48/53/78/863485378.db2.gz ZZXXKTBRFTUSLC-QWRGUYRKSA-N -1 1 316.357 1.879 20 0 DDADMM CC(C)[C@@](C)(NC(=O)c1cc(=O)c2cccc(O)c2[n-]1)C(N)=O ZINC001152680820 863490973 /nfs/dbraw/zinc/49/09/73/863490973.db2.gz WSPYFQXKUJRQCO-MRXNPFEDSA-N -1 1 317.345 1.276 20 0 DDADMM CC(C)c1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)s1 ZINC001152777152 863532012 /nfs/dbraw/zinc/53/20/12/863532012.db2.gz FKGLUBHHXVVHOS-UHFFFAOYSA-N -1 1 309.395 1.215 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H]2CC(=O)N(CC3CC3)C2)c1 ZINC001153346966 863840572 /nfs/dbraw/zinc/84/05/72/863840572.db2.gz YQZWADVENSTUKB-ZDUSSCGKSA-N -1 1 316.357 1.254 20 0 DDADMM C[C@]1(CO)CN(C(=O)c2c([O-])ccc3ccccc32)CC[C@H]1O ZINC001153499070 863916340 /nfs/dbraw/zinc/91/63/40/863916340.db2.gz NHQJFEFOMCMFMZ-CRAIPNDOSA-N -1 1 315.369 1.751 20 0 DDADMM Cn1c2ccccc2nc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001153643328 863994790 /nfs/dbraw/zinc/99/47/90/863994790.db2.gz WZJSMRRRJNJECR-UHFFFAOYSA-N -1 1 309.289 1.198 20 0 DDADMM NC(=O)[C@@H]([N-]C(=O)C(F)(F)c1ccccc1F)c1ccccn1 ZINC001361471424 881719804 /nfs/dbraw/zinc/71/98/04/881719804.db2.gz QEUNFRHTCKKGGE-LBPRGKRZSA-N -1 1 323.274 1.655 20 0 DDADMM O=C(Nc1nccnc1C1CC1)c1cnc2cccnc2c1[O-] ZINC001153850873 864156480 /nfs/dbraw/zinc/15/64/80/864156480.db2.gz INCHSAIAMNGWEI-UHFFFAOYSA-N -1 1 307.313 1.843 20 0 DDADMM O=C(NCCO[C@@H]1CCCCO1)c1c[n-]c2cccnc2c1=O ZINC001153862100 864171191 /nfs/dbraw/zinc/17/11/91/864171191.db2.gz PKYQVJITUWWEQY-CYBMUJFWSA-N -1 1 317.345 1.196 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1ccc(Cl)cc1 ZINC001381442663 881788035 /nfs/dbraw/zinc/78/80/35/881788035.db2.gz RXSVNCKOHKCFSL-BDAKNGLRSA-N -1 1 323.784 1.460 20 0 DDADMM CCC[C@@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225449076 881841221 /nfs/dbraw/zinc/84/12/21/881841221.db2.gz UNYPWNCHAKDZSX-IJLUTSLNSA-N -1 1 309.414 1.464 20 0 DDADMM CC(C)Oc1nccnc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001159194797 865159572 /nfs/dbraw/zinc/15/95/72/865159572.db2.gz KRVNAAOVFAPRDJ-UHFFFAOYSA-N -1 1 303.282 1.037 20 0 DDADMM COc1cc(F)cc(OC)c1CNCCSCC(=O)[O-] ZINC001331913703 865428964 /nfs/dbraw/zinc/42/89/64/865428964.db2.gz ZBFIWCQXAJIJGX-UHFFFAOYSA-N -1 1 303.355 1.750 20 0 DDADMM O=C([N-]c1ncn(Cc2cccnc2)n1)c1cnc(C2CC2)o1 ZINC001331920966 865433863 /nfs/dbraw/zinc/43/38/63/865433863.db2.gz GOFSULKFVAFYSS-UHFFFAOYSA-N -1 1 310.317 1.839 20 0 DDADMM Cc1cnn(C[C@H](NCc2nn(C)c3ccccc23)C(=O)[O-])c1 ZINC001332473125 865864690 /nfs/dbraw/zinc/86/46/90/865864690.db2.gz GNPOEHFQJHCZOG-AWEZNQCLSA-N -1 1 313.361 1.321 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cn3ccccc3n2)n1 ZINC001160931128 866193230 /nfs/dbraw/zinc/19/32/30/866193230.db2.gz XNKRYUSNYNRWAL-UHFFFAOYSA-N -1 1 313.317 1.415 20 0 DDADMM COC(=O)c1cnn(CC(=O)Nc2nc(Cl)ccc2[O-])c1 ZINC001161179026 866427289 /nfs/dbraw/zinc/42/72/89/866427289.db2.gz JBLNHHDVARDTAV-UHFFFAOYSA-N -1 1 310.697 1.062 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)N1CCNC2(CC2)C1 ZINC001161557504 866765210 /nfs/dbraw/zinc/76/52/10/866765210.db2.gz IFUPOUWDSAWVIR-UHFFFAOYSA-N -1 1 323.418 1.026 20 0 DDADMM COC(=O)[C@H](Cc1ccccc1)Oc1cc(=O)[n-]c(=S)[nH]1 ZINC001225760357 882015411 /nfs/dbraw/zinc/01/54/11/882015411.db2.gz FCPRXMLRSPVIHB-JTQLQIEISA-N -1 1 306.343 1.634 20 0 DDADMM COC(=O)[C@@H](Oc1cc(=O)[n-]c(=S)[nH]1)c1ccccc1F ZINC001225767134 882016759 /nfs/dbraw/zinc/01/67/59/882016759.db2.gz ZJUIWFBUJDPGIR-NSHDSACASA-N -1 1 310.306 1.903 20 0 DDADMM COc1ccc(C(=O)CNc2ccc(-c3nn[n-]n3)nc2)cc1 ZINC001161803373 867003282 /nfs/dbraw/zinc/00/32/82/867003282.db2.gz YCJCBKARDHXWIV-UHFFFAOYSA-N -1 1 310.317 1.565 20 0 DDADMM COc1cnc(C(=O)[N-]c2nnc(-c3ccncc3)s2)nc1 ZINC001324255721 867165426 /nfs/dbraw/zinc/16/54/26/867165426.db2.gz FUNSLFOFCPJMEE-UHFFFAOYSA-N -1 1 314.330 1.651 20 0 DDADMM Cc1[nH]c(C(=O)NC2(c3nn[n-]n3)CCCC2)c(C)c1C=O ZINC001335057903 868036508 /nfs/dbraw/zinc/03/65/08/868036508.db2.gz ZBACYAGGXWETPW-UHFFFAOYSA-N -1 1 302.338 1.156 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C)c(CC)[nH]c1=O)c1nn[n-]n1 ZINC001362207297 883298463 /nfs/dbraw/zinc/29/84/63/883298463.db2.gz UBTRXRPDXASBQT-LLVKDONJSA-N -1 1 304.354 1.442 20 0 DDADMM CN(C)C(=O)OCCOC(=O)Cc1ccc([O-])c(Cl)c1 ZINC001335742919 868509637 /nfs/dbraw/zinc/50/96/37/868509637.db2.gz ZHRZCLFKFYFMHY-UHFFFAOYSA-N -1 1 301.726 1.830 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CC[C@H](C4CC4)O3)nc2n1 ZINC001361706378 882197895 /nfs/dbraw/zinc/19/78/95/882197895.db2.gz ZBNYGDFPGVUBLO-GHMZBOCLSA-N -1 1 317.349 1.012 20 0 DDADMM CC(C)Cn1[n-]c(CC(=O)Nc2nc(C(C)C)n[nH]2)cc1=O ZINC001361716848 882219122 /nfs/dbraw/zinc/21/91/22/882219122.db2.gz FOSGDQMUVOOEQR-UHFFFAOYSA-N -1 1 306.370 1.255 20 0 DDADMM CC(C)Cn1[n-]c(CC(=O)Nc2n[nH]c(C(C)C)n2)cc1=O ZINC001361716848 882219136 /nfs/dbraw/zinc/21/91/36/882219136.db2.gz FOSGDQMUVOOEQR-UHFFFAOYSA-N -1 1 306.370 1.255 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@H](C)CCO1 ZINC001336342253 868883641 /nfs/dbraw/zinc/88/36/41/868883641.db2.gz IFTDSUGCLLOPMD-NXEZZACHSA-N -1 1 306.391 1.658 20 0 DDADMM COc1nc([N-][C@H](c2cccnc2)C(F)(F)F)nc(OC)n1 ZINC001164174132 868992971 /nfs/dbraw/zinc/99/29/71/868992971.db2.gz NKYBUXGFPHRZLM-MRVPVSSYSA-N -1 1 315.255 1.421 20 0 DDADMM CC(=O)c1c[n-]c(=O)nc1OC[C@H]1CC[C@@]2(CCCCO2)O1 ZINC001226238053 882300111 /nfs/dbraw/zinc/30/01/11/882300111.db2.gz PFRUSXRDHBSRPW-IAQYHMDHSA-N -1 1 308.334 1.839 20 0 DDADMM Cc1cc2c(c(N3CCC4(CC(F)C4)CC3)n1)C(=O)[N-]C2=O ZINC001165309416 869511064 /nfs/dbraw/zinc/51/10/64/869511064.db2.gz CXKFOLZHWSATAK-UHFFFAOYSA-N -1 1 303.337 1.992 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCC([C@@H](C)CO)CC2)c1 ZINC001165478936 869614064 /nfs/dbraw/zinc/61/40/64/869614064.db2.gz DABHYUZELJRQHP-LBPRGKRZSA-N -1 1 305.374 1.994 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](CO)CCC(C)(C)C ZINC001363860638 887416098 /nfs/dbraw/zinc/41/60/98/887416098.db2.gz MCTCFXYQAAGDND-SECBINFHSA-N -1 1 322.452 1.617 20 0 DDADMM COc1cncc(NC(=O)c2cc(NC(C)=O)ccc2[O-])n1 ZINC001166846048 870307200 /nfs/dbraw/zinc/30/72/00/870307200.db2.gz ZLXUWYVEGXHSAX-UHFFFAOYSA-N -1 1 302.290 1.402 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CC[C@H](c2nccs2)C1 ZINC001339055328 870321171 /nfs/dbraw/zinc/32/11/71/870321171.db2.gz BHPZTDYVXLYVEA-QMMMGPOBSA-N -1 1 317.378 1.361 20 0 DDADMM CSc1ccnc(N2CCC([N-]C(=O)C(F)(F)F)CC2)n1 ZINC001166897494 870350550 /nfs/dbraw/zinc/35/05/50/870350550.db2.gz XAILKISVSDBVSR-UHFFFAOYSA-N -1 1 320.340 1.846 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C(F)=C1CCCC1 ZINC001298571310 870626681 /nfs/dbraw/zinc/62/66/81/870626681.db2.gz YFCVQQJPWXMHCN-SNVBAGLBSA-N -1 1 321.352 1.819 20 0 DDADMM CC/C(C)=C/C(=O)N1C[C@H](NC(=O)c2cnn[nH]2)CC[C@@H]1C ZINC001339693065 870636208 /nfs/dbraw/zinc/63/62/08/870636208.db2.gz AFVISBIIYOBFIJ-GJKHTVIGSA-N -1 1 305.382 1.270 20 0 DDADMM Cc1cccc(C)c1CN(C)c1nnc(Cc2nnn[n-]2)n1C ZINC001339731818 870660216 /nfs/dbraw/zinc/66/02/16/870660216.db2.gz GWRRBSVJCFWNQM-UHFFFAOYSA-N -1 1 312.381 1.172 20 0 DDADMM Cc1cccc(C)c1CN(C)c1nnc(Cc2nn[n-]n2)n1C ZINC001339731818 870660221 /nfs/dbraw/zinc/66/02/21/870660221.db2.gz GWRRBSVJCFWNQM-UHFFFAOYSA-N -1 1 312.381 1.172 20 0 DDADMM CN1CC[C@H](C(=O)Nc2ccc(Br)c([O-])c2)C1=O ZINC001339754299 870671827 /nfs/dbraw/zinc/67/18/27/870671827.db2.gz NBGJIBCQDGGSEP-MRVPVSSYSA-N -1 1 313.151 1.572 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccn(Cc2ccccc2)n1 ZINC001303221823 871110838 /nfs/dbraw/zinc/11/08/38/871110838.db2.gz LGEOWGXLORCQLN-UHFFFAOYSA-N -1 1 323.360 1.254 20 0 DDADMM O=C(C=Cc1ccnc(Cl)c1)NC1(c2nn[n-]n2)CCC1 ZINC001303222800 871111333 /nfs/dbraw/zinc/11/13/33/871111333.db2.gz ZXLIGJXYVYDGFX-IHWYPQMZSA-N -1 1 304.741 1.457 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)c1ccc2n[nH]c(=O)n2c1 ZINC001303299598 871116565 /nfs/dbraw/zinc/11/65/65/871116565.db2.gz ZMLIXGZPIXFDBH-UHFFFAOYSA-N -1 1 318.720 1.724 20 0 DDADMM CN(C[C@@H](O)c1cccnc1)C(=O)C(=O)c1ccc([O-])cc1 ZINC001304815129 871245317 /nfs/dbraw/zinc/24/53/17/871245317.db2.gz XVHSCOVERPNLEK-CQSZACIVSA-N -1 1 300.314 1.162 20 0 DDADMM O=C(NCCn1cc(-c2ccccc2)nn1)c1cncc([O-])c1 ZINC001305809478 871303642 /nfs/dbraw/zinc/30/36/42/871303642.db2.gz DFLDCMQFUOXFAA-UHFFFAOYSA-N -1 1 309.329 1.476 20 0 DDADMM O=C(CCc1ccc2ccccc2c1O)NN1CC(=O)[N-]C1=O ZINC001306328476 871336616 /nfs/dbraw/zinc/33/66/16/871336616.db2.gz OCRDNKWXJOLGEI-UHFFFAOYSA-N -1 1 313.313 1.061 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1ccc(-n2cnnn2)cn1 ZINC001306825661 871363207 /nfs/dbraw/zinc/36/32/07/871363207.db2.gz GOFFLGAZDUZJJR-UHFFFAOYSA-N -1 1 317.696 1.064 20 0 DDADMM O=C(NCCOCCCO)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001311062519 871672498 /nfs/dbraw/zinc/67/24/98/871672498.db2.gz GDNFGVDMTSJXGY-UHFFFAOYSA-N -1 1 320.349 1.098 20 0 DDADMM O=C1CC(Oc2nc(=O)[n-]c3c2CN(Cc2ccccc2)C3)C1 ZINC001226623703 882545461 /nfs/dbraw/zinc/54/54/61/882545461.db2.gz QIBDRCXOPCXWDS-UHFFFAOYSA-N -1 1 311.341 1.808 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])Cc1cc(C)on1 ZINC001316888670 872233042 /nfs/dbraw/zinc/23/30/42/872233042.db2.gz IUKVCGPQWPCAGY-UHFFFAOYSA-N -1 1 318.377 1.726 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CC1=CCCCC1 ZINC001319428762 872623446 /nfs/dbraw/zinc/62/34/46/872623446.db2.gz AFCIVGIWCXRHOE-NSHDSACASA-N -1 1 307.398 1.337 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1CCc2c1cccc2O ZINC001345952563 873570507 /nfs/dbraw/zinc/57/05/07/873570507.db2.gz JMBMCTKWFOAEPF-JTQLQIEISA-N -1 1 317.370 1.902 20 0 DDADMM CCOC(=O)c1nc(NC(=O)Cc2cscn2)[n-]c1Cl ZINC001361972621 882738682 /nfs/dbraw/zinc/73/86/82/882738682.db2.gz ZQONDIJCSKVVLA-UHFFFAOYSA-N -1 1 314.754 1.878 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H](C)c1nccs1 ZINC001346560916 873804046 /nfs/dbraw/zinc/80/40/46/873804046.db2.gz GKCIRBQVNHGPBU-LURJTMIESA-N -1 1 310.404 1.769 20 0 DDADMM C1=CC[C@@H]2CN(c3nnc(-c4nnn[n-]4)n3CC3CC3)C[C@@H]2C1 ZINC001346666287 873842130 /nfs/dbraw/zinc/84/21/30/873842130.db2.gz NWHYBZOGZPNEEC-TXEJJXNPSA-N -1 1 312.381 1.271 20 0 DDADMM C1=CC[C@@H]2CN(c3nnc(-c4nn[n-]n4)n3CC3CC3)C[C@@H]2C1 ZINC001346666287 873842139 /nfs/dbraw/zinc/84/21/39/873842139.db2.gz NWHYBZOGZPNEEC-TXEJJXNPSA-N -1 1 312.381 1.271 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](Oc2cnc[n-]c2=O)CC1=O ZINC001227049068 882794533 /nfs/dbraw/zinc/79/45/33/882794533.db2.gz PUDJPRILZZQAQC-SECBINFHSA-N -1 1 309.322 1.487 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@H](Oc3cnc[n-]c3=O)[C@H]2C1 ZINC001227051580 882796261 /nfs/dbraw/zinc/79/62/61/882796261.db2.gz LXDLDERKSMCVOU-DCAQKATOSA-N -1 1 307.350 1.816 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@H]([C@H]2CCCO2)C1 ZINC001347765498 874269630 /nfs/dbraw/zinc/26/96/30/874269630.db2.gz UKBLEEUFNIZXDZ-VHSXEESVSA-N -1 1 309.391 1.420 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](c2nn[n-]n2)C1)n1cnc2ccccc21 ZINC001347974807 874326137 /nfs/dbraw/zinc/32/61/37/874326137.db2.gz OGSAAFYXCIALHT-WDEREUQCSA-N -1 1 311.349 1.127 20 0 DDADMM Cn1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c(-c2ccccc2)n1 ZINC001347976327 874326712 /nfs/dbraw/zinc/32/67/12/874326712.db2.gz XZDJDPXZXXREGY-GFCCVEGCSA-N -1 1 323.360 1.230 20 0 DDADMM O=C(C=Cc1ccsc1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001348164890 874394086 /nfs/dbraw/zinc/39/40/86/874394086.db2.gz GVUZOSOPLINMQA-PCAWENJQSA-N -1 1 303.391 1.756 20 0 DDADMM O=C(Nc1ccccc1N1CCOC1=O)c1cnncc1[O-] ZINC001362018617 882839437 /nfs/dbraw/zinc/83/94/37/882839437.db2.gz UUJWFTXDQJRBGE-UHFFFAOYSA-N -1 1 300.274 1.391 20 0 DDADMM O=C([O-])[C@]1(N2CCN(C(=O)C=C3CCCCC3)CC2)CCOC1 ZINC001348479366 874584115 /nfs/dbraw/zinc/58/41/15/874584115.db2.gz ATECVRPSHVGPFI-KRWDZBQOSA-N -1 1 322.405 1.265 20 0 DDADMM CCC[C@@H](C)CC(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001378281109 874606073 /nfs/dbraw/zinc/60/60/73/874606073.db2.gz YGFMVRCBMGXVAL-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CCCOC(=O)[C@@H](C)Oc1[n-]c(=O)ncc1Br ZINC001227138301 882866887 /nfs/dbraw/zinc/86/68/87/882866887.db2.gz PWUIVUXTYHMNRY-ZCFIWIBFSA-N -1 1 305.128 1.665 20 0 DDADMM CCOC(=O)[C@H]1C[C@@H](Oc2[n-]c(=O)ncc2Br)C1 ZINC001227137875 882867886 /nfs/dbraw/zinc/86/78/86/882867886.db2.gz LVICSULTYGMQDL-KNVOCYPGSA-N -1 1 317.139 1.665 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NC1CCN(Cc2cccnc2)CC1 ZINC001349386849 875146615 /nfs/dbraw/zinc/14/66/15/875146615.db2.gz XVATXSDDVCSIEK-OAHLLOKOSA-N -1 1 319.405 1.519 20 0 DDADMM CCC[C@@H](NC(=O)c1cnn(CC2CCCC2)c1)c1nn[n-]n1 ZINC001362050397 882915484 /nfs/dbraw/zinc/91/54/84/882915484.db2.gz GCIWMSSSWQACBQ-CYBMUJFWSA-N -1 1 317.397 1.858 20 0 DDADMM CC(C)C[C@@H](C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210367980 875348593 /nfs/dbraw/zinc/34/85/93/875348593.db2.gz FKVQJTLBCQZPDH-NEPJUHHUSA-N -1 1 309.414 1.273 20 0 DDADMM CN(C)c1cncc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)c1 ZINC001210472393 875399341 /nfs/dbraw/zinc/39/93/41/875399341.db2.gz NSFVLIIGTSGNLD-UHFFFAOYSA-N -1 1 303.326 1.851 20 0 DDADMM Cc1ccc(C(=O)NC2CC2)cc1NC(=O)c1cnncc1[O-] ZINC001362054583 882927466 /nfs/dbraw/zinc/92/74/66/882927466.db2.gz VVVBGXLWWIQMRY-UHFFFAOYSA-N -1 1 312.329 1.635 20 0 DDADMM C/C=C(\C)C(=O)NCCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001349882105 875431183 /nfs/dbraw/zinc/43/11/83/875431183.db2.gz SMYNKJBDDRKHNT-XCVCLJGOSA-N -1 1 318.377 1.262 20 0 DDADMM CNS(=O)(=O)c1ccc(C)c(NC(=O)c2ccc([O-])cn2)c1 ZINC001362061195 882940060 /nfs/dbraw/zinc/94/00/60/882940060.db2.gz XXBIDXZHJXJEAR-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM CCc1[nH]nc2ncc(Nc3c(N)[nH]c(=S)[n-]c3=O)cc21 ZINC001210888272 875582640 /nfs/dbraw/zinc/58/26/40/875582640.db2.gz XCLTVOVGDOYLBH-UHFFFAOYSA-N -1 1 303.351 1.630 20 0 DDADMM O=C(c1cc2ccccc2[nH]1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001350427996 875683983 /nfs/dbraw/zinc/68/39/83/875683983.db2.gz FJFHKKVOUFYQDI-LLVKDONJSA-N -1 1 310.361 1.776 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC(c2nnc3n2CCC3)CC1 ZINC001362067463 882954332 /nfs/dbraw/zinc/95/43/32/882954332.db2.gz XAXCRSUALVXCQJ-UHFFFAOYSA-N -1 1 313.361 1.345 20 0 DDADMM CCC[C@H](CC)C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001378911954 875898388 /nfs/dbraw/zinc/89/83/88/875898388.db2.gz KRCSBQHOQDVJLG-LBPRGKRZSA-N -1 1 323.441 1.759 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](O)c2ccccc2F)c(=O)[n-]1 ZINC001362078804 882978585 /nfs/dbraw/zinc/97/85/85/882978585.db2.gz ASFAHTAPJAKXQB-NSHDSACASA-N -1 1 323.349 1.507 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ccc4c(c3)OCO4)no2)[n-]n1 ZINC001213461725 875943893 /nfs/dbraw/zinc/94/38/93/875943893.db2.gz YJTSFTBIDHOVEJ-UHFFFAOYSA-N -1 1 314.257 1.642 20 0 DDADMM CC(C)Oc1ncccc1COc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227432995 883017883 /nfs/dbraw/zinc/01/78/83/883017883.db2.gz VUNQQPLNSDJSRO-UHFFFAOYSA-N -1 1 317.305 1.525 20 0 DDADMM CCOC(=O)COc1cccc(NC(=O)c2ccc([O-])cn2)c1 ZINC001362095356 883023637 /nfs/dbraw/zinc/02/36/37/883023637.db2.gz VBENNXMCHJWOAI-UHFFFAOYSA-N -1 1 316.313 1.981 20 0 DDADMM COC[C@H](NCc1ccc(Br)c(F)c1F)C(=O)[O-] ZINC001351741030 876410227 /nfs/dbraw/zinc/41/02/27/876410227.db2.gz BTGMBUBSLVGJCV-QMMMGPOBSA-N -1 1 324.121 1.917 20 0 DDADMM CC[C@@H](F)C(=O)N(CC)CCCNC(=O)c1ncccc1[O-] ZINC001352123084 876608226 /nfs/dbraw/zinc/60/82/26/876608226.db2.gz YVRPHCUOZZAFAW-LLVKDONJSA-N -1 1 311.357 1.504 20 0 DDADMM O=C(c1cc(-n2cccc2)ccn1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001352584049 876817859 /nfs/dbraw/zinc/81/78/59/876817859.db2.gz HXBGXGJCKKLJJL-NSHDSACASA-N -1 1 309.333 1.015 20 0 DDADMM COCCOc1ccc([N-]S(=O)(=O)c2ccc(N)cc2)cn1 ZINC001216067392 876854618 /nfs/dbraw/zinc/85/46/18/876854618.db2.gz NDYUAFAWZUHVPX-UHFFFAOYSA-N -1 1 323.374 1.490 20 0 DDADMM COc1ncc(Nc2c(C)noc2C)cc1[N-]S(C)(=O)=O ZINC001216151999 876878410 /nfs/dbraw/zinc/87/84/10/876878410.db2.gz KWCQXSJFQOJGAY-UHFFFAOYSA-N -1 1 312.351 1.810 20 0 DDADMM O=C(C=Cc1cccc(F)c1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001352793080 876935415 /nfs/dbraw/zinc/93/54/15/876935415.db2.gz FXBMLJKHRJNJPD-KTRBRXNASA-N -1 1 315.352 1.833 20 0 DDADMM Cc1c(NS(=O)(=O)c2cncc(N)c2)cccc1C(=O)[O-] ZINC001216658562 877012073 /nfs/dbraw/zinc/01/20/73/877012073.db2.gz FEPNAAXMMVCPKB-UHFFFAOYSA-N -1 1 307.331 1.471 20 0 DDADMM CC1(CC(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CC1 ZINC001227603525 883101094 /nfs/dbraw/zinc/10/10/94/883101094.db2.gz SJSNZZHTRYVAPR-GHMZBOCLSA-N -1 1 307.398 1.218 20 0 DDADMM CCC(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CCCC1 ZINC001379538903 877335566 /nfs/dbraw/zinc/33/55/66/877335566.db2.gz HQGLCCTURQVWOD-GFCCVEGCSA-N -1 1 305.378 1.602 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CCC=CCC1 ZINC001354347105 877907929 /nfs/dbraw/zinc/90/79/29/877907929.db2.gz ZAEKZBCSQWTKGH-LBPRGKRZSA-N -1 1 317.389 1.768 20 0 DDADMM Cc1ccc([C@@H]2CCN(c3nnc(-c4nnn[n-]4)n3C)C2)c(C)c1 ZINC001355336131 878511026 /nfs/dbraw/zinc/51/10/26/878511026.db2.gz YUJOJOYCCDQTTN-GFCCVEGCSA-N -1 1 324.392 1.606 20 0 DDADMM Cc1ccc([C@@H]2CCN(c3nnc(-c4nn[n-]n4)n3C)C2)c(C)c1 ZINC001355336131 878511039 /nfs/dbraw/zinc/51/10/39/878511039.db2.gz YUJOJOYCCDQTTN-GFCCVEGCSA-N -1 1 324.392 1.606 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)c1ccc[nH]1 ZINC001380378842 879504346 /nfs/dbraw/zinc/50/43/46/879504346.db2.gz HTIWSBIZFVUHSZ-VHSXEESVSA-N -1 1 302.334 1.052 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)C=CCC1 ZINC001356881188 879530359 /nfs/dbraw/zinc/53/03/59/879530359.db2.gz VCJVEAKCWNNKMW-SJCJKPOMSA-N -1 1 317.389 1.768 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H](OCc2nn[n-]n2)CC(F)(F)C1 ZINC001223030022 880790573 /nfs/dbraw/zinc/79/05/73/880790573.db2.gz RXKXJSLOQZGCCF-MRVPVSSYSA-N -1 1 319.312 1.361 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccsn1 ZINC001416209511 881002237 /nfs/dbraw/zinc/00/22/37/881002237.db2.gz PSSIMQSQOFAFNU-VIFPVBQESA-N -1 1 320.374 1.182 20 0 DDADMM COC(=O)[C@H](Oc1[n-]c(=O)nc2c1COC2)c1ccccc1F ZINC001227686334 883130448 /nfs/dbraw/zinc/13/04/48/883130448.db2.gz MMICUCZZBHCZHJ-GFCCVEGCSA-N -1 1 320.276 1.645 20 0 DDADMM CCC[C@H](NC(=O)C[C@H]1NC(=O)c2ccccc21)c1nn[n-]n1 ZINC001362203863 883290185 /nfs/dbraw/zinc/29/01/85/883290185.db2.gz KRUQMPMRDQDKBB-NWDGAFQWSA-N -1 1 314.349 1.032 20 0 DDADMM CCOC(=O)c1cnc(=S)[nH]c1O[C@H]1CCc2[nH+]ccn2C1 ZINC001228553578 883550559 /nfs/dbraw/zinc/55/05/59/883550559.db2.gz VUPBGINNOXGWBC-VIFPVBQESA-N -1 1 320.374 1.532 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@@H](Oc3cnnc(=S)[n-]3)[C@@H]2C1 ZINC001228641572 883589962 /nfs/dbraw/zinc/58/99/62/883589962.db2.gz GEMKXTRNPQXVKU-IVZWLZJFSA-N -1 1 324.406 1.795 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H](C(=O)Nc2nnn[n-]2)C(C)(C)C1 ZINC001362339043 883602055 /nfs/dbraw/zinc/60/20/55/883602055.db2.gz OYHHQSUHKNHGQG-MRVPVSSYSA-N -1 1 310.358 1.031 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H](C(=O)Nc2nn[n-]n2)C(C)(C)C1 ZINC001362339043 883602062 /nfs/dbraw/zinc/60/20/62/883602062.db2.gz OYHHQSUHKNHGQG-MRVPVSSYSA-N -1 1 310.358 1.031 20 0 DDADMM COc1cc2ccc(=O)oc2c(O[C@@H]2C(=O)OCC2(C)C)c1[O-] ZINC001229219382 883878700 /nfs/dbraw/zinc/87/87/00/883878700.db2.gz TUWIBVSYBUNANN-CQSZACIVSA-N -1 1 320.297 1.838 20 0 DDADMM COC(=O)c1cc(F)cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC001362499297 883949267 /nfs/dbraw/zinc/94/92/67/883949267.db2.gz MXGHKTHWQYXMKA-MRVPVSSYSA-N -1 1 321.312 1.001 20 0 DDADMM CCOC[C@@H]1CCCCN1C(=O)c1cnc(SC)[n-]c1=O ZINC001362536806 884035098 /nfs/dbraw/zinc/03/50/98/884035098.db2.gz ZGCMLHHZXYYFNE-JTQLQIEISA-N -1 1 311.407 1.935 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCn2ccnc21 ZINC001362611940 884218941 /nfs/dbraw/zinc/21/89/41/884218941.db2.gz NODZWVDCKJTKHC-JTQLQIEISA-N -1 1 315.377 1.859 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CCC(O)(CO)CC1 ZINC001362663071 884356609 /nfs/dbraw/zinc/35/66/09/884356609.db2.gz NOUNTXDQPVMNIO-UHFFFAOYSA-N -1 1 317.341 1.210 20 0 DDADMM CCN(C(=O)CCCc1nn[n-]n1)[C@H](C)C(=O)OC(C)(C)C ZINC001362666047 884363218 /nfs/dbraw/zinc/36/32/18/884363218.db2.gz MTIYFNKQVFDBOR-SNVBAGLBSA-N -1 1 311.386 1.101 20 0 DDADMM CC(C)[C@@H](C)C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001382639937 884385790 /nfs/dbraw/zinc/38/57/90/884385790.db2.gz AVUIHBPJZPELTK-PNESKVBLSA-N -1 1 319.405 1.704 20 0 DDADMM O=C(NCc1ccc(=O)[nH]n1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362739046 884520507 /nfs/dbraw/zinc/52/05/07/884520507.db2.gz WRVMZMDKZOARLN-UHFFFAOYSA-N -1 1 313.235 1.837 20 0 DDADMM CC(C)(C)[C@@H](NC(N)=O)C(=O)Nc1cc([O-])c(F)cc1F ZINC001362814007 884702848 /nfs/dbraw/zinc/70/28/48/884702848.db2.gz BGPKOWBPTDDHQR-JTQLQIEISA-N -1 1 301.293 1.692 20 0 DDADMM CC(=O)c1cncc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001362830115 884744854 /nfs/dbraw/zinc/74/48/54/884744854.db2.gz JVCGQGXCQUEOQX-UHFFFAOYSA-N -1 1 302.338 1.096 20 0 DDADMM CC[C@H](Oc1ccccc1F)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001362833290 884752232 /nfs/dbraw/zinc/75/22/32/884752232.db2.gz HGENGFPIJDHFMG-NSHDSACASA-N -1 1 319.340 1.692 20 0 DDADMM CCNC(=O)Nc1cccc(NC(=O)c2cnncc2[O-])c1C ZINC001362841160 884772428 /nfs/dbraw/zinc/77/24/28/884772428.db2.gz GMHOQYSTURPWAG-UHFFFAOYSA-N -1 1 315.333 1.884 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)Cc1cnn(C)c1 ZINC001362849764 884793415 /nfs/dbraw/zinc/79/34/15/884793415.db2.gz QHZRDEAYXCKWOJ-QMMMGPOBSA-N -1 1 321.406 1.307 20 0 DDADMM CCC(CC)(NC(=O)CCc1cccc(OC)c1)c1nn[n-]n1 ZINC001362851473 884795923 /nfs/dbraw/zinc/79/59/23/884795923.db2.gz AZDOTXGMLJZZEV-UHFFFAOYSA-N -1 1 317.393 1.973 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)Oc1ccc(F)cc1)c1nn[n-]n1 ZINC001362858861 884815554 /nfs/dbraw/zinc/81/55/54/884815554.db2.gz RVYQHVQRSCWVPC-SNVBAGLBSA-N -1 1 321.356 1.938 20 0 DDADMM CCC(CC)(NC(=O)c1cnc([C@H](C)O)s1)c1nn[n-]n1 ZINC001362858908 884815637 /nfs/dbraw/zinc/81/56/37/884815637.db2.gz SPARYLSMTDXALW-ZETCQYMHSA-N -1 1 310.383 1.155 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C(=O)OCc1ccccc1 ZINC001362875524 884856530 /nfs/dbraw/zinc/85/65/30/884856530.db2.gz WIBVXPXWZUWRTF-LLVKDONJSA-N -1 1 300.314 1.649 20 0 DDADMM C[C@](CO)(NC(=O)c1cccc([O-])c1F)c1ccc(O)cc1 ZINC001362961071 885091148 /nfs/dbraw/zinc/09/11/48/885091148.db2.gz YXAFTXBNULNVSS-MRXNPFEDSA-N -1 1 305.305 1.874 20 0 DDADMM O=C([N-]N1CCOC1=O)c1ccc(-c2cncnc2)cc1F ZINC001363086405 885428234 /nfs/dbraw/zinc/42/82/34/885428234.db2.gz SWXROEZOZGSUEZ-UHFFFAOYSA-N -1 1 302.265 1.380 20 0 DDADMM CSCC[C@H](NC(=O)CCc1cccc(O)c1)c1nn[n-]n1 ZINC001363115691 885500730 /nfs/dbraw/zinc/50/07/30/885500730.db2.gz ZQFRJEHXISDLHZ-LBPRGKRZSA-N -1 1 321.406 1.449 20 0 DDADMM CSc1ncc(C(=O)N2CCC(c3ncco3)CC2)c(=O)[n-]1 ZINC001363117103 885504081 /nfs/dbraw/zinc/50/40/81/885504081.db2.gz BVCRFOVEGXRKNU-UHFFFAOYSA-N -1 1 320.374 1.912 20 0 DDADMM COC(=O)[C@@]1(C)C[C@@H](OC)CN1C(=O)c1ccc(F)c([O-])c1 ZINC001363217922 885739853 /nfs/dbraw/zinc/73/98/53/885739853.db2.gz IPRLBZLJNIWXDY-MEBBXXQBSA-N -1 1 311.309 1.324 20 0 DDADMM NC(=O)[C@@H]1CCN(Cc2ccc([O-])c(F)c2F)Cc2ccnn21 ZINC001277543207 885766163 /nfs/dbraw/zinc/76/61/63/885766163.db2.gz JRBLVBDTFCSDDR-NSHDSACASA-N -1 1 322.315 1.299 20 0 DDADMM O=C(NCCc1ccc(O)c(CO)c1)c1c(F)ccc([O-])c1F ZINC001363261226 885848281 /nfs/dbraw/zinc/84/82/81/885848281.db2.gz WJMRWZLETVTOCO-UHFFFAOYSA-N -1 1 323.295 1.841 20 0 DDADMM Cc1nnc(CN(C)Cc2nc3c(c(=O)[n-]2)COCC3)s1 ZINC001363271448 885873717 /nfs/dbraw/zinc/87/37/17/885873717.db2.gz RWBXGRJVHDMHTO-UHFFFAOYSA-N -1 1 307.379 1.047 20 0 DDADMM Cn1cnnc1[C@H]1CCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC001363277396 885888862 /nfs/dbraw/zinc/88/88/62/885888862.db2.gz MJAOGKBDLAVPSO-VIFPVBQESA-N -1 1 306.753 1.804 20 0 DDADMM CSCC[C@H](NC(=O)c1cccc2c1OCO2)c1nn[n-]n1 ZINC001363278539 885895864 /nfs/dbraw/zinc/89/58/64/885895864.db2.gz BWPKCPVEXWVVMI-VIFPVBQESA-N -1 1 321.362 1.153 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@]1(O)CCCC(C)(C)C1 ZINC001363357467 886122196 /nfs/dbraw/zinc/12/21/96/886122196.db2.gz RPFOIFRQSVWSFD-HNNXBMFYSA-N -1 1 309.414 1.516 20 0 DDADMM O=C(N[C@@H](CO)[C@H](O)C1CCCCC1)c1cc(F)ccc1[O-] ZINC001363366879 886145544 /nfs/dbraw/zinc/14/55/44/886145544.db2.gz VQUOQFKTXBHESA-DZGCQCFKSA-N -1 1 311.353 1.563 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cccc2c1CC(=O)CC2 ZINC001363375588 886170979 /nfs/dbraw/zinc/17/09/79/886170979.db2.gz AUIXXUJHZUHIRN-UHFFFAOYSA-N -1 1 311.345 1.067 20 0 DDADMM CC(C)[C@@H]1C[C@@H](CC(=O)NC2(c3nn[n-]n3)CCC2)CCO1 ZINC001363376597 886172718 /nfs/dbraw/zinc/17/27/18/886172718.db2.gz YIXQEXSAOPVQAB-RYUDHWBXSA-N -1 1 307.398 1.536 20 0 DDADMM CSc1ncc(C(=O)N2CC(C)(C)[C@@H]2[C@H]2CCCO2)c(=O)[n-]1 ZINC001363382016 886184819 /nfs/dbraw/zinc/18/48/19/886184819.db2.gz WTFWUQRUJZEYMK-MNOVXSKESA-N -1 1 323.418 1.934 20 0 DDADMM COC[C@H](NC(=O)c1ccc(C(C)C)cc1O)c1nn[n-]n1 ZINC001363428865 886315778 /nfs/dbraw/zinc/31/57/78/886315778.db2.gz BCXJCBZSBNGMLF-NSHDSACASA-N -1 1 305.338 1.146 20 0 DDADMM COC[C@@H](NC(=O)c1cnn(C2CCCCC2)c1)c1nn[n-]n1 ZINC001363439812 886341552 /nfs/dbraw/zinc/34/15/52/886341552.db2.gz XKYLQJPETDFBRS-GFCCVEGCSA-N -1 1 319.369 1.019 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)n[n-]1 ZINC001363477084 886444764 /nfs/dbraw/zinc/44/47/64/886444764.db2.gz WIZZKTICTXHDQN-QHZLYTNSSA-N -1 1 324.381 1.218 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)[n-]1 ZINC001363477084 886444769 /nfs/dbraw/zinc/44/47/69/886444769.db2.gz WIZZKTICTXHDQN-QHZLYTNSSA-N -1 1 324.381 1.218 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2[C@@H](C)[C@H](C)O[C@H]2C)n1 ZINC001363477084 886444775 /nfs/dbraw/zinc/44/47/75/886444775.db2.gz WIZZKTICTXHDQN-QHZLYTNSSA-N -1 1 324.381 1.218 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)n[n-]1 ZINC001363477079 886445151 /nfs/dbraw/zinc/44/51/51/886445151.db2.gz WIZZKTICTXHDQN-NMUGVGKYSA-N -1 1 324.381 1.218 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)[n-]1 ZINC001363477079 886445157 /nfs/dbraw/zinc/44/51/57/886445157.db2.gz WIZZKTICTXHDQN-NMUGVGKYSA-N -1 1 324.381 1.218 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2[C@H](C)O[C@@H](C)[C@H]2C)n1 ZINC001363477079 886445164 /nfs/dbraw/zinc/44/51/64/886445164.db2.gz WIZZKTICTXHDQN-NMUGVGKYSA-N -1 1 324.381 1.218 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1occ2c1CCOC2 ZINC001363544078 886599377 /nfs/dbraw/zinc/59/93/77/886599377.db2.gz LQPWWKDVZLOQRA-UHFFFAOYSA-N -1 1 305.338 1.164 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001363569075 886657213 /nfs/dbraw/zinc/65/72/13/886657213.db2.gz UTFRDKYDAPEBBO-NXEZZACHSA-N -1 1 307.350 1.153 20 0 DDADMM [O-]c1c(F)ccc(CN2CCN(c3cnccn3)CC2)c1F ZINC001232932086 886682270 /nfs/dbraw/zinc/68/22/70/886682270.db2.gz WALVMKIHVBLOSC-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM CSc1nc(CNC(=O)CCc2c(C)noc2C)cc(=O)[n-]1 ZINC001363627751 886808112 /nfs/dbraw/zinc/80/81/12/886808112.db2.gz CIIGRMTWRMCNOG-UHFFFAOYSA-N -1 1 322.390 1.758 20 0 DDADMM COc1cnc(C2(NC(=O)C3CC(=O)C3)CCCC2)[n-]c1=O ZINC001363653016 886891113 /nfs/dbraw/zinc/89/11/13/886891113.db2.gz MFTNOBBPDNZLLU-UHFFFAOYSA-N -1 1 305.334 1.055 20 0 DDADMM Cc1cc(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)c(C)[nH]1 ZINC001363658915 886906437 /nfs/dbraw/zinc/90/64/37/886906437.db2.gz YLAMCSJWPAQAEF-UHFFFAOYSA-N -1 1 304.350 1.456 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2[C@H]3CCO[C@@H]3C2(C)C)sn1 ZINC001363777133 887192250 /nfs/dbraw/zinc/19/22/50/887192250.db2.gz CHQJMTKNUGWCFQ-ONOSFVFSSA-N -1 1 318.420 1.244 20 0 DDADMM CO[C@@H]1CCN(CCOc2cc([O-])cc3occc(=O)c23)C1 ZINC001233835505 887372134 /nfs/dbraw/zinc/37/21/34/887372134.db2.gz ZQJDWURMLNSXQH-GFCCVEGCSA-N -1 1 305.330 1.598 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ncccc2N)[n-]c1=O ZINC001363883559 887466571 /nfs/dbraw/zinc/46/65/71/887466571.db2.gz GQKDZAIYEKIOIR-SNVBAGLBSA-N -1 1 315.333 1.145 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2occ(C)c2C)n[n-]1 ZINC001363890375 887480871 /nfs/dbraw/zinc/48/08/71/887480871.db2.gz ZMUJGGIZZKQYCO-VIFPVBQESA-N -1 1 306.322 1.682 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2occ(C)c2C)[n-]1 ZINC001363890375 887480878 /nfs/dbraw/zinc/48/08/78/887480878.db2.gz ZMUJGGIZZKQYCO-VIFPVBQESA-N -1 1 306.322 1.682 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2occ(C)c2C)n1 ZINC001363890375 887480885 /nfs/dbraw/zinc/48/08/85/887480885.db2.gz ZMUJGGIZZKQYCO-VIFPVBQESA-N -1 1 306.322 1.682 20 0 DDADMM C[C@@H]1CCS(=O)(=O)CCN1Cc1ccc([O-])c(F)c1F ZINC001363892124 887486306 /nfs/dbraw/zinc/48/63/06/887486306.db2.gz DPIPUVCRWJURPC-SECBINFHSA-N -1 1 305.346 1.679 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1nccs1 ZINC001363970154 887637853 /nfs/dbraw/zinc/63/78/53/887637853.db2.gz RXPBOAWXJOXJGE-QMMMGPOBSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1nccs1 ZINC001363970154 887637859 /nfs/dbraw/zinc/63/78/59/887637859.db2.gz RXPBOAWXJOXJGE-QMMMGPOBSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1nccs1 ZINC001363970154 887637863 /nfs/dbraw/zinc/63/78/63/887637863.db2.gz RXPBOAWXJOXJGE-QMMMGPOBSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)OC2CCCCC2)n[n-]1 ZINC001364060216 887823367 /nfs/dbraw/zinc/82/33/67/887823367.db2.gz HERHKCJFILZZCY-SNVBAGLBSA-N -1 1 324.381 1.335 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)OC2CCCCC2)n1 ZINC001364060216 887823373 /nfs/dbraw/zinc/82/33/73/887823373.db2.gz HERHKCJFILZZCY-SNVBAGLBSA-N -1 1 324.381 1.335 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cnc(Cl)n1C)C(F)(F)F ZINC001364077988 887864134 /nfs/dbraw/zinc/86/41/34/887864134.db2.gz VDDKABWWAQSSNF-RXMQYKEDSA-N -1 1 305.709 1.693 20 0 DDADMM CC(=O)[C@H](Oc1c(C(N)=O)nc(C)[n-]c1=O)c1ccccc1 ZINC001234470419 888000559 /nfs/dbraw/zinc/00/05/59/888000559.db2.gz SLNGZRNCGYWYTB-LBPRGKRZSA-N -1 1 301.302 1.299 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@H](C)COCc2ccccc2)c(=O)[n-]1 ZINC001234469128 888000587 /nfs/dbraw/zinc/00/05/87/888000587.db2.gz DDYKOLUFRRAMCO-SNVBAGLBSA-N -1 1 317.345 1.574 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H](c1ccccc1)N1CCCCC1=O ZINC001364150366 888029577 /nfs/dbraw/zinc/02/95/77/888029577.db2.gz SSYYISSKAOMWHW-CQSZACIVSA-N -1 1 314.345 1.808 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@H]1CCOC[C@@H]1C ZINC001234557976 888090489 /nfs/dbraw/zinc/09/04/89/888090489.db2.gz PQERQSWGQCQTKN-UWVGGRQHSA-N -1 1 310.350 1.896 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@@H]1CCOC[C@H]1F ZINC001234557395 888091879 /nfs/dbraw/zinc/09/18/79/888091879.db2.gz JSTGIAKRIMEFRW-RKDXNWHRSA-N -1 1 314.313 1.598 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1OC1=C(C)[C@@H](C)OC1=O ZINC001234560775 888097325 /nfs/dbraw/zinc/09/73/25/888097325.db2.gz PMUTUOXWJPYQLU-MRVPVSSYSA-N -1 1 322.317 1.690 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H](C)c2cncnc2)c(=O)[n-]1 ZINC001234564645 888104301 /nfs/dbraw/zinc/10/43/01/888104301.db2.gz GKCUTNHVETWKKJ-MRVPVSSYSA-N -1 1 303.322 1.335 20 0 DDADMM O=C(CNC(=O)c1cnncc1[O-])c1ccc(Cl)cc1F ZINC001364386090 888512571 /nfs/dbraw/zinc/51/25/71/888512571.db2.gz ZFCQDIOWXKHQEF-UHFFFAOYSA-N -1 1 309.684 1.587 20 0 DDADMM CC[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001385211199 888658580 /nfs/dbraw/zinc/65/85/80/888658580.db2.gz YWXWYRLGGSSHIC-LPWJVIDDSA-N -1 1 317.389 1.695 20 0 DDADMM CCCCC(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385252271 888738397 /nfs/dbraw/zinc/73/83/97/888738397.db2.gz GZTOBSVTQUTZOJ-LBPRGKRZSA-N -1 1 305.378 1.650 20 0 DDADMM CC(=O)CC(C)(C)CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364550441 888907121 /nfs/dbraw/zinc/90/71/21/888907121.db2.gz VCCXKCGPNHBSJC-UHFFFAOYSA-N -1 1 321.377 1.267 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1OCCc2ccccc21 ZINC001364650419 889119610 /nfs/dbraw/zinc/11/96/10/889119610.db2.gz HDZIWYZMTUGPRZ-HNNXBMFYSA-N -1 1 315.377 1.498 20 0 DDADMM CCC(CC)(CCO)C[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001364787892 889416964 /nfs/dbraw/zinc/41/69/64/889416964.db2.gz IHOLHHCWLWCXBD-UHFFFAOYSA-N -1 1 322.452 1.619 20 0 DDADMM COC[C@@H](NC(=O)[C@H]1CC[C@H](C2CCC2)CC1)c1nn[n-]n1 ZINC001364813717 889470566 /nfs/dbraw/zinc/47/05/66/889470566.db2.gz JXGYRTCHSZDVPR-JHJVBQTASA-N -1 1 307.398 1.610 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC(=O)NC[C@H]1c1ccccc1 ZINC001364920203 889667097 /nfs/dbraw/zinc/66/70/97/889667097.db2.gz SRLCXRHNNXJXQY-ZDUSSCGKSA-N -1 1 314.316 1.845 20 0 DDADMM CCn1cc(CN2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)nn1 ZINC001364985383 889820131 /nfs/dbraw/zinc/82/01/31/889820131.db2.gz KSWSXTNCCQRJTR-ONGXEEELSA-N -1 1 319.331 1.329 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2nccs2)[n-]c1=O ZINC001365021442 889911427 /nfs/dbraw/zinc/91/14/27/889911427.db2.gz MBMYFOZEBAPUED-UHFFFAOYSA-N -1 1 309.351 1.704 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C(C)C)C2CCCC2)[n-]n1 ZINC001365036911 889950155 /nfs/dbraw/zinc/95/01/55/889950155.db2.gz HVXCMDWMQWQDFI-UHFFFAOYSA-N -1 1 315.395 1.538 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C(C)C)C2CCCC2)n[n-]1 ZINC001365036911 889950169 /nfs/dbraw/zinc/95/01/69/889950169.db2.gz HVXCMDWMQWQDFI-UHFFFAOYSA-N -1 1 315.395 1.538 20 0 DDADMM C[NH+](C)C[C@@H]1CN(Cc2cc(F)c(O)c(Cl)c2)CCO1 ZINC001237983274 889961042 /nfs/dbraw/zinc/96/10/42/889961042.db2.gz FSABXCSEJUEGDD-LLVKDONJSA-N -1 1 302.777 1.947 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@H](C)c1nn(C)cc1[O-])c1ccccc1 ZINC001365118802 890136485 /nfs/dbraw/zinc/13/64/85/890136485.db2.gz CTTDDLRXOOFJDE-WBMJQRKESA-N -1 1 316.405 1.996 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CC[C@@H](Oc2cnccn2)C1 ZINC001238244562 890149480 /nfs/dbraw/zinc/14/94/80/890149480.db2.gz LAYPNSUWBAIASQ-CYBMUJFWSA-N -1 1 317.320 1.967 20 0 DDADMM [O-]c1ccc(Br)c(CN2CC(F)(CO)C2)c1F ZINC001238305953 890163448 /nfs/dbraw/zinc/16/34/48/890163448.db2.gz SZTFJOSVPNUXST-UHFFFAOYSA-N -1 1 308.122 1.810 20 0 DDADMM Cc1ccc(CN2C(=O)CC[C@@H]2C(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001365212096 890363793 /nfs/dbraw/zinc/36/37/93/890363793.db2.gz SQDHWAFOHDDZEU-CYBMUJFWSA-N -1 1 314.345 1.553 20 0 DDADMM C[C@H]1CCC[C@H](CNC(=O)Nc2nn[nH]c2C(=O)NC2CC2)C1 ZINC001365300364 890540876 /nfs/dbraw/zinc/54/08/76/890540876.db2.gz JMYGZJAEWSOHEC-UWVGGRQHSA-N -1 1 320.397 1.645 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CCC1CCC1 ZINC001386243211 890628497 /nfs/dbraw/zinc/62/84/97/890628497.db2.gz RBONXMXBIPUDST-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM NS(=O)(=O)Cc1ccc(-c2c(F)cc([O-])cc2F)nc1 ZINC001241118776 891122170 /nfs/dbraw/zinc/12/21/70/891122170.db2.gz GGJYSWXKAOAYRD-UHFFFAOYSA-N -1 1 300.286 1.521 20 0 DDADMM CN(C)S(=O)(=O)c1ccc(-c2c(F)ccc([O-])c2F)nc1 ZINC001241290223 891142509 /nfs/dbraw/zinc/14/25/09/891142509.db2.gz NIOOENDAQNVEQG-UHFFFAOYSA-N -1 1 314.313 1.983 20 0 DDADMM CSCCC(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001386553428 891197536 /nfs/dbraw/zinc/19/75/36/891197536.db2.gz XJINNYJVFFSLTC-NSHDSACASA-N -1 1 323.418 1.119 20 0 DDADMM Cn1cc(Cl)c(C[N-]S(=O)(=O)c2cccc(F)c2F)n1 ZINC001365707322 891374281 /nfs/dbraw/zinc/37/42/81/891374281.db2.gz WCKHMNVWSJEHLN-UHFFFAOYSA-N -1 1 321.736 1.830 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1cncs1)c1cc(F)ccc1F ZINC001365736489 891422972 /nfs/dbraw/zinc/42/29/72/891422972.db2.gz WSPLGPIKDZJDHG-VIFPVBQESA-N -1 1 320.342 1.433 20 0 DDADMM Cc1cc2c(c(-c3cncc(N4CCOCC4)c3)n1)C(=O)[N-]C2=O ZINC001245274003 892063180 /nfs/dbraw/zinc/06/31/80/892063180.db2.gz WRQRRGIYUWIKQV-UHFFFAOYSA-N -1 1 324.340 1.172 20 0 DDADMM O=C(CCCF)NCCC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001396342860 913652120 /nfs/dbraw/zinc/65/21/20/913652120.db2.gz KWUOOUBKCHNOBY-UHFFFAOYSA-N -1 1 323.368 1.553 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2cnc3c(c2)NCCO3)ccc1N ZINC001249807404 894131471 /nfs/dbraw/zinc/13/14/71/894131471.db2.gz OFHTVWWDZQDFSL-UHFFFAOYSA-N -1 1 320.374 1.577 20 0 DDADMM COc1ccc(N)cc1S(=O)(=O)[N-]c1ccc2cncn2c1 ZINC001250331668 894237568 /nfs/dbraw/zinc/23/75/68/894237568.db2.gz XWPIWJZYUKWSLY-UHFFFAOYSA-N -1 1 318.358 1.726 20 0 DDADMM O=C1NCCc2c([N-]S(=O)(=O)c3ccc(F)cc3)cccc21 ZINC001251668507 894767407 /nfs/dbraw/zinc/76/74/07/894767407.db2.gz VCNVVITWRWFITG-UHFFFAOYSA-N -1 1 320.345 1.912 20 0 DDADMM O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)NCCNC(=O)c1ncccc1[O-] ZINC001292665886 913908085 /nfs/dbraw/zinc/90/80/85/913908085.db2.gz CPCSIEOCVOPATP-WOPDTQHZSA-N -1 1 303.362 1.069 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC1(C)C ZINC001388902134 896148363 /nfs/dbraw/zinc/14/83/63/896148363.db2.gz OGGHBSHFSVQZEJ-NEPJUHHUSA-N -1 1 319.405 1.704 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccccc1C)c1ccccn1 ZINC001254632104 896541581 /nfs/dbraw/zinc/54/15/81/896541581.db2.gz QPKOUECDGCYZTH-AWEZNQCLSA-N -1 1 320.370 1.583 20 0 DDADMM Cc1cc(C)cc(C(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001374841181 914100614 /nfs/dbraw/zinc/10/06/14/914100614.db2.gz YPLCTGNMMZHWRM-LLVKDONJSA-N -1 1 303.366 1.035 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cccc(C)c1)c1ccccn1 ZINC001256970032 897650513 /nfs/dbraw/zinc/65/05/13/897650513.db2.gz XCWKBKMGULRSNC-CQSZACIVSA-N -1 1 320.370 1.583 20 0 DDADMM O=C1CCCC[C@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001259081686 898455942 /nfs/dbraw/zinc/45/59/42/898455942.db2.gz ITRZDWWXUDXSOX-MRVPVSSYSA-N -1 1 307.293 1.894 20 0 DDADMM C=C/C(C)=C\CC(=O)N1CC[C@](C)(NC(=O)c2cnn[nH]2)C1 ZINC001299557513 898473373 /nfs/dbraw/zinc/47/33/73/898473373.db2.gz GKMIOFIZFOZJCE-GHAIFCDISA-N -1 1 303.366 1.048 20 0 DDADMM CCC(CC)CC(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001374891302 914259141 /nfs/dbraw/zinc/25/91/41/914259141.db2.gz CYVZHYGXERHLQU-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM Cn1cncc1[N-]S(=O)(=O)c1ccc(-n2cccn2)cc1 ZINC001259362956 898631532 /nfs/dbraw/zinc/63/15/32/898631532.db2.gz UMWMRWRESWTXPR-UHFFFAOYSA-N -1 1 303.347 1.407 20 0 DDADMM O=S(=O)([N-]C1(CO)CCOCC1)c1cc(Cl)ccc1F ZINC001259459753 898679783 /nfs/dbraw/zinc/67/97/83/898679783.db2.gz DWPDJEXORFAVIC-UHFFFAOYSA-N -1 1 323.773 1.299 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1F ZINC001259482138 898692401 /nfs/dbraw/zinc/69/24/01/898692401.db2.gz OSLIVFDLJUBNOW-UHFFFAOYSA-N -1 1 323.309 1.307 20 0 DDADMM Cc1cc(C)cc(S(=O)(=O)[N-]c2cc(O)cc(C(N)=O)c2)c1 ZINC001259524906 898716294 /nfs/dbraw/zinc/71/62/94/898716294.db2.gz OYGKHTNNXKQIBC-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)CC(C)C)c1ccccn1 ZINC001259888641 898899942 /nfs/dbraw/zinc/89/99/42/898899942.db2.gz UNOFRERBZSBMCH-GFCCVEGCSA-N -1 1 300.380 1.261 20 0 DDADMM O=S(=O)([N-]Cc1cccc(Br)n1)C(F)F ZINC001259963539 898986219 /nfs/dbraw/zinc/98/62/19/898986219.db2.gz YFVLRTXLLWAINZ-UHFFFAOYSA-N -1 1 301.112 1.486 20 0 DDADMM CCOc1cc([N-]S(=O)(=O)CCOC)cc(C(=O)OC)c1 ZINC001259972121 898996086 /nfs/dbraw/zinc/99/60/86/898996086.db2.gz PUDIPXWQRYDUMU-UHFFFAOYSA-N -1 1 317.363 1.260 20 0 DDADMM CN1C(=O)Cc2cc(S(=O)(=O)[N-]c3ccnc(F)c3)ccc21 ZINC001260153606 899068047 /nfs/dbraw/zinc/06/80/47/899068047.db2.gz ADLPSNRWKSGZLG-UHFFFAOYSA-N -1 1 321.333 1.540 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cn[nH]c2)n(-c2ccncc2)n1 ZINC001260955150 899295683 /nfs/dbraw/zinc/29/56/83/899295683.db2.gz QISGMFFPVZRSQF-UHFFFAOYSA-N -1 1 304.335 1.100 20 0 DDADMM O=C([O-])[C@@]1(C(=O)NCCCCN2CCOCC2)CC=CCC1 ZINC001261530480 899622381 /nfs/dbraw/zinc/62/23/81/899622381.db2.gz FKKMOAFIUGLQRJ-INIZCTEOSA-N -1 1 310.394 1.026 20 0 DDADMM CC(C)(C)OCCC[NH+]1CCN([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001261835576 899782748 /nfs/dbraw/zinc/78/27/48/899782748.db2.gz ZTNIFDBSFUYDRT-MRXNPFEDSA-N -1 1 314.426 1.053 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(Cc2cc(F)c([O-])c(F)c2)C1 ZINC000381185759 900637397 /nfs/dbraw/zinc/63/73/97/900637397.db2.gz QUFAYVVSMPWOPW-SNVBAGLBSA-N -1 1 305.346 1.679 20 0 DDADMM CC(=Cc1cccnc1)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001263911433 900814661 /nfs/dbraw/zinc/81/46/61/900814661.db2.gz IWDQYRIFSWZFLU-ICDLMJIXSA-N -1 1 312.377 1.479 20 0 DDADMM CCc1ccc(C(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)cc1 ZINC001369287386 900977569 /nfs/dbraw/zinc/97/75/69/900977569.db2.gz BOIHQCHSUZOGGK-LLVKDONJSA-N -1 1 317.393 1.323 20 0 DDADMM CC(C)[C@@H](C)C(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001391316004 901466217 /nfs/dbraw/zinc/46/62/17/901466217.db2.gz IXGGSBXJBNCLME-VXGBXAGGSA-N -1 1 309.414 1.131 20 0 DDADMM COCCC(=O)NC[C@@H](CC(C)C)NC(=O)c1ncccc1[O-] ZINC001391397705 901661519 /nfs/dbraw/zinc/66/15/19/901661519.db2.gz YUWCKLZYSAKQPP-GFCCVEGCSA-N -1 1 323.393 1.084 20 0 DDADMM CC(C)C(=O)N(C)CC(C)(C)CNC(=O)c1ncccc1[O-] ZINC001369734105 901840113 /nfs/dbraw/zinc/84/01/13/901840113.db2.gz VWBMHWVQZDPMLE-UHFFFAOYSA-N -1 1 307.394 1.658 20 0 DDADMM CCCCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001369736241 901844146 /nfs/dbraw/zinc/84/41/46/901844146.db2.gz FVESYXUQCSGENT-NWDGAFQWSA-N -1 1 309.414 1.560 20 0 DDADMM Cc1cc(C(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCCC2)co1 ZINC001391755316 902534929 /nfs/dbraw/zinc/53/49/29/902534929.db2.gz BFZLUUHEUGIPII-UHFFFAOYSA-N -1 1 319.365 1.244 20 0 DDADMM CC[C@@H](C)CC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001391998686 903070432 /nfs/dbraw/zinc/07/04/32/903070432.db2.gz GAXOLOCFTIJFPJ-LLVKDONJSA-N -1 1 309.414 1.465 20 0 DDADMM CC(C)CCCC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001392021706 903109111 /nfs/dbraw/zinc/10/91/11/903109111.db2.gz QCNHPOCOOABAPH-UHFFFAOYSA-N -1 1 323.441 1.855 20 0 DDADMM C[C@H](c1cnccn1)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001375033157 914716401 /nfs/dbraw/zinc/71/64/01/914716401.db2.gz ONSMROBAOBQWIW-NWDGAFQWSA-N -1 1 315.377 1.389 20 0 DDADMM C/C(=C\C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-])C1CC1 ZINC001280432678 903671294 /nfs/dbraw/zinc/67/12/94/903671294.db2.gz FCMDAXRQVHJNTK-LMMOQWNQSA-N -1 1 317.389 1.720 20 0 DDADMM CCC[C@H](CC)C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001392566123 904484382 /nfs/dbraw/zinc/48/43/82/904484382.db2.gz ZNNWOFIYIZNOLV-NEPJUHHUSA-N -1 1 307.394 1.848 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC1(C)C ZINC001375060503 914823105 /nfs/dbraw/zinc/82/31/05/914823105.db2.gz PLDMLUYXGQKTKB-QWRGUYRKSA-N -1 1 305.378 1.458 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccns1 ZINC001375061083 914824959 /nfs/dbraw/zinc/82/49/59/914824959.db2.gz SUFRTFZFBCENLH-SECBINFHSA-N -1 1 320.374 1.182 20 0 DDADMM CC[C@]1(C(C)C)C[C@@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282981400 906391893 /nfs/dbraw/zinc/39/18/93/906391893.db2.gz KUEZJCIKHCYLPD-SJKOYZFVSA-N -1 1 319.405 1.706 20 0 DDADMM O=C(NCCNC(=O)[C@@H]1CCC[C@@H]2C[C@@H]21)c1ncccc1[O-] ZINC001282983534 906398631 /nfs/dbraw/zinc/39/86/31/906398631.db2.gz LBPIQYJZCVJEOT-UTUOFQBUSA-N -1 1 303.362 1.069 20 0 DDADMM O=C(CCC1CC1)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372353701 907105858 /nfs/dbraw/zinc/10/58/58/907105858.db2.gz HAOMFKQWYKTBES-ZDUSSCGKSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(CCC1CCC1)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001393546633 907291430 /nfs/dbraw/zinc/29/14/30/907291430.db2.gz MAKOQLBAQHSAAC-UHFFFAOYSA-N -1 1 307.398 1.219 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)CCCN(C)C/C=C\Cl)c1[O-] ZINC001393614712 907486832 /nfs/dbraw/zinc/48/68/32/907486832.db2.gz OVXNUGBUYBNCGP-XQRVVYSFSA-N -1 1 300.790 1.570 20 0 DDADMM Cc1nc(CN(CCNC(=O)c2ncccc2[O-])C2CC2)co1 ZINC001372789470 908259719 /nfs/dbraw/zinc/25/97/19/908259719.db2.gz OMHOMFNJKLZOJX-UHFFFAOYSA-N -1 1 316.361 1.478 20 0 DDADMM CCC(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CCCC1 ZINC001394177041 909019978 /nfs/dbraw/zinc/01/99/78/909019978.db2.gz AHJRCDQXEBHKLU-GFCCVEGCSA-N -1 1 305.378 1.602 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CCCC2CC2)CC1 ZINC001394392550 909522190 /nfs/dbraw/zinc/52/21/90/909522190.db2.gz RJDLREKKAAMJTG-UHFFFAOYSA-N -1 1 321.425 1.513 20 0 DDADMM CC[C@H](C)CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001394719660 910393588 /nfs/dbraw/zinc/39/35/88/910393588.db2.gz PMJKBLWKBJEMNY-WDEREUQCSA-N -1 1 309.414 1.273 20 0 DDADMM CC[C@H](CNC(=O)c1[nH]nc(C)c1[O-])NC/C(Cl)=C\Cl ZINC001373743381 910824906 /nfs/dbraw/zinc/82/49/06/910824906.db2.gz QMZRIVSCBIYNPZ-VGANXODHSA-N -1 1 321.208 1.841 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NCc1nnc(C)s1 ZINC001373747076 910839394 /nfs/dbraw/zinc/83/93/94/910839394.db2.gz FJUFRAPPECXZJD-JTQLQIEISA-N -1 1 321.406 1.245 20 0 DDADMM O=C(CC1CC1)NCCCN(C(=O)c1ncccc1[O-])C1CC1 ZINC001294556847 915214384 /nfs/dbraw/zinc/21/43/84/915214384.db2.gz WGUCGUDRVBGWOZ-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CCCCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001294693618 915286276 /nfs/dbraw/zinc/28/62/76/915286276.db2.gz DTMMQBYXVPRWTJ-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM CC[C@H](CNC(=O)CCC1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001375219083 915298796 /nfs/dbraw/zinc/29/87/96/915298796.db2.gz UJMGZGWDEPKAKN-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM C/C(=C\C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])c1ccco1 ZINC001295510096 915863313 /nfs/dbraw/zinc/86/33/13/915863313.db2.gz VCQPPLRXARYQPV-VQHVLOKHSA-N -1 1 322.342 1.675 20 0 DDADMM CC(C)SCC(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001397412443 915969538 /nfs/dbraw/zinc/96/95/38/915969538.db2.gz DFADLHZOWOCFHM-SNVBAGLBSA-N -1 1 311.407 1.163 20 0 DDADMM C[C@H]1CCC[C@@H](C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)C1 ZINC001376776092 919340570 /nfs/dbraw/zinc/34/05/70/919340570.db2.gz YBPIXULOGNLEFJ-NWDGAFQWSA-N -1 1 321.425 1.465 20 0 DDADMM Cc1ccc(CS(=O)(=O)c2nc[n-]n2)cc1Br ZINC000445559743 230183953 /nfs/dbraw/zinc/18/39/53/230183953.db2.gz VLYOLEMZKVVJIF-UHFFFAOYSA-N -1 1 316.180 1.850 20 0 DDADMM COC1(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)CCCCC1 ZINC000622870760 365550548 /nfs/dbraw/zinc/55/05/48/365550548.db2.gz FTMKUNVPCJHVMT-UHFFFAOYSA-N -1 1 305.338 1.014 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)CC(F)(F)F)nc2n1 ZINC000622993284 365585898 /nfs/dbraw/zinc/58/58/98/365585898.db2.gz IAOXSLSSWLLRSP-ZCFIWIBFSA-N -1 1 317.271 1.507 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC3CC(C)(C)C3)nc2n1 ZINC000622993433 365586041 /nfs/dbraw/zinc/58/60/41/365586041.db2.gz MOHGHSQJPWETDG-UHFFFAOYSA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCOCC(C)C)nc2n1 ZINC000622995533 365588437 /nfs/dbraw/zinc/58/84/37/365588437.db2.gz FEUOQZZYWFGBSE-UHFFFAOYSA-N -1 1 321.381 1.371 20 0 DDADMM COCc1c(C(=O)Nc2nn[n-]n2)sc2cccc(F)c21 ZINC000076963479 185061830 /nfs/dbraw/zinc/06/18/30/185061830.db2.gz TUQFYDUBLMODCG-UHFFFAOYSA-N -1 1 307.310 1.952 20 0 DDADMM Cc1ccc(C)n1-c1nc(CC(=O)Nc2nnn[n-]2)cs1 ZINC000076963833 185061840 /nfs/dbraw/zinc/06/18/40/185061840.db2.gz ZGOWNPCFXVCDHL-UHFFFAOYSA-N -1 1 303.351 1.245 20 0 DDADMM Cc1ccc(C)n1-c1nc(CC(=O)Nc2nn[n-]n2)cs1 ZINC000076963833 185061843 /nfs/dbraw/zinc/06/18/43/185061843.db2.gz ZGOWNPCFXVCDHL-UHFFFAOYSA-N -1 1 303.351 1.245 20 0 DDADMM Cc1cc(-c2noc(CCC(=O)Nc3nnn[n-]3)n2)ccc1F ZINC000076963933 185061961 /nfs/dbraw/zinc/06/19/61/185061961.db2.gz GKHANVJBYVUPEW-UHFFFAOYSA-N -1 1 317.284 1.269 20 0 DDADMM Cc1cc(-c2noc(CCC(=O)Nc3nn[n-]n3)n2)ccc1F ZINC000076963933 185061963 /nfs/dbraw/zinc/06/19/63/185061963.db2.gz GKHANVJBYVUPEW-UHFFFAOYSA-N -1 1 317.284 1.269 20 0 DDADMM O=C(c1onc2c1CCCC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614390330 361833892 /nfs/dbraw/zinc/83/38/92/361833892.db2.gz SZQZOCZWLZOLSX-SECBINFHSA-N -1 1 318.333 1.662 20 0 DDADMM CC(C)(C)C(=O)N1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000081937861 192342891 /nfs/dbraw/zinc/34/28/91/192342891.db2.gz SUWNWUWXGSEOHT-UHFFFAOYSA-N -1 1 308.353 1.862 20 0 DDADMM Cc1cnc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)s1 ZINC000614387767 361831925 /nfs/dbraw/zinc/83/19/25/361831925.db2.gz DAGPDQPVRIZOAU-SECBINFHSA-N -1 1 308.363 1.489 20 0 DDADMM C[C@H](CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)[C@@H]1CCCO1 ZINC000614475608 361876751 /nfs/dbraw/zinc/87/67/51/361876751.db2.gz OVBPVYWPYUSZIR-PWSUYJOCSA-N -1 1 309.366 1.686 20 0 DDADMM C[C@H]1OCC[C@]1(O)CNC(=O)c1ccc2ccccc2c1[O-] ZINC000318492426 231172084 /nfs/dbraw/zinc/17/20/84/231172084.db2.gz CHIPGNIUQOQPMU-DIFFPNOSSA-N -1 1 301.342 1.815 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(C)cc2OC)co1 ZINC000179331408 539234055 /nfs/dbraw/zinc/23/40/55/539234055.db2.gz KIGFRCLXDJXTPU-UHFFFAOYSA-N -1 1 324.358 1.757 20 0 DDADMM CC(C)(C)c1nc(=NC(=O)N2CCN3CCCC[C@H]3C2)s[n-]1 ZINC000329349302 539303551 /nfs/dbraw/zinc/30/35/51/539303551.db2.gz WJLKOJODBXOTHF-NSHDSACASA-N -1 1 323.466 1.960 20 0 DDADMM CCc1nnc([C@@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)[nH]1 ZINC000295600929 529745059 /nfs/dbraw/zinc/74/50/59/529745059.db2.gz UIZWNBTXCAWNPK-SSDOTTSWSA-N -1 1 317.378 1.190 20 0 DDADMM COC[C@H](C)NC(=O)Cc1noc(-c2ccc([O-])cc2F)n1 ZINC000278179957 214007036 /nfs/dbraw/zinc/00/70/36/214007036.db2.gz XBPNKOFGJQKWFJ-QMMMGPOBSA-N -1 1 309.297 1.275 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cnn(C)c2)c(C)c1C(=O)[O-] ZINC000156294663 290710825 /nfs/dbraw/zinc/71/08/25/290710825.db2.gz QTPZXWLFZVJYSH-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2[C@@H]3CCCO[C@H]23)c1Br ZINC000616009446 362519046 /nfs/dbraw/zinc/51/90/46/362519046.db2.gz UOBBKYAMXKBBFV-OOZYFLPDSA-N -1 1 300.156 1.266 20 0 DDADMM NC(=O)C1(NC(=O)c2ncccc2[O-])C2CC3CC(C2)CC1C3 ZINC000458301135 232333719 /nfs/dbraw/zinc/33/37/19/232333719.db2.gz JMPATAJINBZNBY-UHFFFAOYSA-N -1 1 315.373 1.197 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC(=O)N(C2CC2)C1 ZINC000279619036 215010410 /nfs/dbraw/zinc/01/04/10/215010410.db2.gz HQEAFFRWMYFOSV-UHFFFAOYSA-N -1 1 318.377 1.192 20 0 DDADMM CC[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1c(C)noc1C ZINC000358374952 299134896 /nfs/dbraw/zinc/13/48/96/299134896.db2.gz GKEGJRPZNLLTIK-KWQFWETISA-N -1 1 306.370 1.560 20 0 DDADMM Cn1ccnc1[C@@H](NC(=O)c1ccc([O-])cc1F)C(C)(C)CO ZINC000616864647 362850067 /nfs/dbraw/zinc/85/00/67/362850067.db2.gz IDYQAYCYXVSZCW-CYBMUJFWSA-N -1 1 321.352 1.754 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC2(CCC2)[C@H]1c1ccncc1 ZINC000636238763 422739045 /nfs/dbraw/zinc/73/90/45/422739045.db2.gz HLFZTOWONPQQJO-OAHLLOKOSA-N -1 1 312.377 1.671 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)C[C@H](O)CC(F)(F)F ZINC000189985639 186259122 /nfs/dbraw/zinc/25/91/22/186259122.db2.gz UAKXFAIVYPTLCN-SSDOTTSWSA-N -1 1 321.324 1.730 20 0 DDADMM C[C@H](Cn1cccn1)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000264250328 186327230 /nfs/dbraw/zinc/32/72/30/186327230.db2.gz AQVCRXSZXUMBGU-MRVPVSSYSA-N -1 1 319.308 1.667 20 0 DDADMM Cc1cnc(C(=O)NCCC2(CS(C)(=O)=O)CC2)c([O-])c1 ZINC000617180921 362987509 /nfs/dbraw/zinc/98/75/09/362987509.db2.gz NZGFPIXHJHCHBL-UHFFFAOYSA-N -1 1 312.391 1.040 20 0 DDADMM CC(=O)c1ccc([N-]S(=O)(=O)c2ccc(C(N)=O)cc2)cc1 ZINC000016725679 352161991 /nfs/dbraw/zinc/16/19/91/352161991.db2.gz JIWJTBCGNLMRLN-UHFFFAOYSA-N -1 1 318.354 1.789 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@H](CO)NC(=O)c2cc(F)ccc2[O-])C1 ZINC000617535175 363162111 /nfs/dbraw/zinc/16/21/11/363162111.db2.gz OYYNIBOFIUQZLW-SUHUHFCYSA-N -1 1 311.353 1.827 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@@H]2COc3ccccc3C2)n1 ZINC000047094141 352481085 /nfs/dbraw/zinc/48/10/85/352481085.db2.gz NZJSZYGDKGPNIS-NSHDSACASA-N -1 1 315.333 1.115 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2[n-]nc(-c3ccsc3)s2)CCN1 ZINC000331944085 234305945 /nfs/dbraw/zinc/30/59/45/234305945.db2.gz NLHKMEXANRUTGO-MRVPVSSYSA-N -1 1 309.420 1.514 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccccc1-n1ccnn1)C1CC1 ZINC000564970074 304031518 /nfs/dbraw/zinc/03/15/18/304031518.db2.gz ILJBPPOWQKSMBE-AWEZNQCLSA-N -1 1 322.390 1.434 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCc1cnccc1C ZINC000617655053 363213925 /nfs/dbraw/zinc/21/39/25/363213925.db2.gz BQDPDWBVMUUDGL-UHFFFAOYSA-N -1 1 318.402 1.888 20 0 DDADMM CCC[C@@H](NC(N)=O)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000565013958 304036132 /nfs/dbraw/zinc/03/61/32/304036132.db2.gz CYQVXEMBKRVBOY-LLVKDONJSA-N -1 1 317.349 1.558 20 0 DDADMM COC(=O)CCCCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000080620350 353618926 /nfs/dbraw/zinc/61/89/26/353618926.db2.gz LQWLMKDHUCSSJW-UHFFFAOYSA-N -1 1 323.393 1.449 20 0 DDADMM CCn1ccnc(NCCc2n[n-]c(=S)n2C(C)C)c1=O ZINC000091011989 353802736 /nfs/dbraw/zinc/80/27/36/353802736.db2.gz DQRKPNUFENVXEB-UHFFFAOYSA-N -1 1 308.411 1.753 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCCCCCO ZINC000182327085 199419777 /nfs/dbraw/zinc/41/97/77/199419777.db2.gz LNKJOAZIBMARTE-UHFFFAOYSA-N -1 1 309.410 1.658 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)c2nncn2C)cc(Cl)c1[O-] ZINC000358640536 299210832 /nfs/dbraw/zinc/21/08/32/299210832.db2.gz XIFLWBIOGUEWNN-ZETCQYMHSA-N -1 1 310.741 1.674 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(C)(C)CC(C)C)o1 ZINC000176354128 198577883 /nfs/dbraw/zinc/57/78/83/198577883.db2.gz SRXOSDMDOSGMGK-UHFFFAOYSA-N -1 1 316.423 1.990 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCCC1CC1 ZINC000128291245 354073251 /nfs/dbraw/zinc/07/32/51/354073251.db2.gz JGKLMBWXVATJQA-UHFFFAOYSA-N -1 1 302.378 1.488 20 0 DDADMM Cn1cc(CC[N-]S(=O)(=O)c2cc3ccccc3o2)cn1 ZINC000134004326 354111607 /nfs/dbraw/zinc/11/16/07/354111607.db2.gz BBZLDGYVYXBUDJ-UHFFFAOYSA-N -1 1 305.359 1.687 20 0 DDADMM Cc1cccc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1Cl ZINC000277040710 213256699 /nfs/dbraw/zinc/25/66/99/213256699.db2.gz KIICULHOUVRISK-SNVBAGLBSA-N -1 1 307.785 1.966 20 0 DDADMM CC[C@H]1CN(C(=O)c2ncc(C)cc2[O-])C[C@H](C)S1(=O)=O ZINC000346280746 283050289 /nfs/dbraw/zinc/05/02/89/283050289.db2.gz FJDBLSPGRHSLTH-QWRGUYRKSA-N -1 1 312.391 1.133 20 0 DDADMM C[C@H](Oc1ccc(-c2ccccc2)cc1)C(=O)NCc1nn[n-]n1 ZINC000586779299 354864627 /nfs/dbraw/zinc/86/46/27/354864627.db2.gz ALWDCJGYROTLQI-LBPRGKRZSA-N -1 1 323.356 1.950 20 0 DDADMM O=C(CCCc1ccccn1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000591441516 355311936 /nfs/dbraw/zinc/31/19/36/355311936.db2.gz IGEHFIJUWKKQRW-INIZCTEOSA-N -1 1 322.372 1.823 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CC[C@H](O)C1 ZINC000276961652 213205202 /nfs/dbraw/zinc/20/52/02/213205202.db2.gz ZGDMUJUFRQGERX-QWRGUYRKSA-N -1 1 307.394 1.679 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@H]1C1CC1 ZINC000593891223 356043821 /nfs/dbraw/zinc/04/38/21/356043821.db2.gz KFMAZLHYMGTKSD-UONOGXRCSA-N -1 1 317.341 1.233 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn(C)nc2C(F)F)n1 ZINC000593901579 356048016 /nfs/dbraw/zinc/04/80/16/356048016.db2.gz OCCXOHRHJYHGQX-UHFFFAOYSA-N -1 1 313.264 1.510 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCc3n[nH]cc3C2)n1 ZINC000593900369 356048093 /nfs/dbraw/zinc/04/80/93/356048093.db2.gz CIUDGQVCQOKSAM-QMMMGPOBSA-N -1 1 303.322 1.053 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCSC(C)C)cc1C ZINC000595338248 356454073 /nfs/dbraw/zinc/45/40/73/356454073.db2.gz MLHUPZLFEHQTDA-UHFFFAOYSA-N -1 1 321.420 1.795 20 0 DDADMM CCn1cc(CNC(=O)c2ccc(C(F)(F)F)cc2[O-])nn1 ZINC000618152324 363479237 /nfs/dbraw/zinc/47/92/37/363479237.db2.gz FZUIBICRBUTBJJ-UHFFFAOYSA-N -1 1 314.267 1.952 20 0 DDADMM COC(=O)[C@]1(NC(=O)c2ncc3ccccc3c2[O-])CCOC1 ZINC000597370924 357152516 /nfs/dbraw/zinc/15/25/16/357152516.db2.gz SONHBDDLIJBSRO-INIZCTEOSA-N -1 1 316.313 1.002 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c(Cl)cnn2CC)n1 ZINC000598730023 357707221 /nfs/dbraw/zinc/70/72/21/357707221.db2.gz XASGESTZNNBNCD-UHFFFAOYSA-N -1 1 311.729 1.709 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)[C@H]1COC(C)(C)C1)C(=O)OCC ZINC000599276719 357871628 /nfs/dbraw/zinc/87/16/28/357871628.db2.gz BFOBXWKPEVZOHJ-BAEOLTKYSA-N -1 1 319.423 1.371 20 0 DDADMM COc1ccc2c(c1)CCC[C@H]2CC(=O)NCc1nn[n-]n1 ZINC000599334116 357898028 /nfs/dbraw/zinc/89/80/28/357898028.db2.gz FNZQXPCQPIQQPV-NSHDSACASA-N -1 1 301.350 1.335 20 0 DDADMM COc1cc(NC(=O)c2cncn2C)ccc1[N-]S(C)(=O)=O ZINC000347914995 283299848 /nfs/dbraw/zinc/29/98/48/283299848.db2.gz RXJGGGLASLHVLX-UHFFFAOYSA-N -1 1 324.362 1.053 20 0 DDADMM CC(C)(C(=O)NCc1nn[n-]n1)c1cccc(C(F)(F)F)c1 ZINC000600497226 358240878 /nfs/dbraw/zinc/24/08/78/358240878.db2.gz ZWSQZGOULKDUOH-UHFFFAOYSA-N -1 1 313.283 1.813 20 0 DDADMM Cc1ccc(-c2nc(CC(=O)NCc3nn[n-]n3)cs2)cc1 ZINC000600505675 358243499 /nfs/dbraw/zinc/24/34/99/358243499.db2.gz QSTGZMDSWBPSIM-UHFFFAOYSA-N -1 1 314.374 1.491 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601431147 358550121 /nfs/dbraw/zinc/55/01/21/358550121.db2.gz GJSWFCSLJDXMMC-ZJUUUORDSA-N -1 1 318.420 1.462 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2C[C@H]2C2CCC2)cc1C ZINC000601450585 358559390 /nfs/dbraw/zinc/55/93/90/358559390.db2.gz COQOTVZTSNZPJR-WDEREUQCSA-N -1 1 313.375 1.842 20 0 DDADMM COC(=O)[C@@]1(C)CN(C(=O)c2csc(=NC3CC3)[n-]2)C[C@@H]1C ZINC000601708163 358659282 /nfs/dbraw/zinc/65/92/82/358659282.db2.gz ICLFEHGNOQIEIL-VFZGTOFNSA-N -1 1 323.418 1.411 20 0 DDADMM COC(=O)[C@@]1(C)C[C@@H](OC)CN1C(=O)c1ccc([O-])c(F)c1 ZINC000601745529 358675351 /nfs/dbraw/zinc/67/53/51/358675351.db2.gz YUBSAMYKQYLQIX-MEBBXXQBSA-N -1 1 311.309 1.324 20 0 DDADMM Cn1nccc1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000358922231 299282850 /nfs/dbraw/zinc/28/28/50/299282850.db2.gz TUZWVPGTDQPUQC-UHFFFAOYSA-N -1 1 312.326 1.183 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN([C@H](C(=O)OC)c2ccccc2C)C1 ZINC000602023551 358781220 /nfs/dbraw/zinc/78/12/20/358781220.db2.gz ZGPVKXUTAFHGCE-WMLDXEAASA-N -1 1 321.373 1.632 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)C[C@H]1CCCCO1 ZINC000348167863 283399186 /nfs/dbraw/zinc/39/91/86/283399186.db2.gz MPHDMXOAJATIFU-NEPJUHHUSA-N -1 1 307.412 1.063 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1C[C@H]1c1ccsc1 ZINC000602301347 358920634 /nfs/dbraw/zinc/92/06/34/358920634.db2.gz TUBUYKODCZBNAF-WDEREUQCSA-N -1 1 319.386 1.822 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2C[C@H](C)C[C@@H](C)C2)n[n-]1 ZINC000603018366 359360338 /nfs/dbraw/zinc/36/03/38/359360338.db2.gz QMXVNUSJHCZSJU-NXEZZACHSA-N -1 1 308.382 1.670 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2C[C@H](C)C[C@@H](C)C2)n1 ZINC000603018366 359360339 /nfs/dbraw/zinc/36/03/39/359360339.db2.gz QMXVNUSJHCZSJU-NXEZZACHSA-N -1 1 308.382 1.670 20 0 DDADMM CCCS(=O)(=O)[N-][C@]1(C(=O)OC)C[C@@H](OCC)C1(C)C ZINC000444282082 283453424 /nfs/dbraw/zinc/45/34/24/283453424.db2.gz XFHJBHCTDMDKCZ-MFKMUULPSA-N -1 1 307.412 1.063 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-][C@@H](C)C(F)F)c1 ZINC000603423534 359632050 /nfs/dbraw/zinc/63/20/50/359632050.db2.gz MHEIGEBTPAXCHT-LURJTMIESA-N -1 1 311.281 1.544 20 0 DDADMM CCOC(=O)c1ccc(CS(=O)(=O)[N-][C@@H](C)C(F)F)cc1 ZINC000603423671 359632092 /nfs/dbraw/zinc/63/20/92/359632092.db2.gz NFVAFGTVAZROQN-VIFPVBQESA-N -1 1 321.345 1.936 20 0 DDADMM CC(C)c1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)on1 ZINC000603735466 359675751 /nfs/dbraw/zinc/67/57/51/359675751.db2.gz DIDOYTLCWKYKLT-ZDUSSCGKSA-N -1 1 312.333 1.831 20 0 DDADMM Cc1nn(CC(=O)NC2(c3nn[n-]n3)CCCC2)c(C)c1Cl ZINC000605381855 359847560 /nfs/dbraw/zinc/84/75/60/359847560.db2.gz BLDSTLAGTAYIFB-UHFFFAOYSA-N -1 1 323.788 1.252 20 0 DDADMM COc1ccc(CC(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000605381465 359848293 /nfs/dbraw/zinc/84/82/93/359848293.db2.gz RFXDQYYKBBBYLG-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM C[C@@H]1CC[C@@H](CCC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000608356372 360164510 /nfs/dbraw/zinc/16/45/10/360164510.db2.gz NUNKKEMHZVTRBM-ZLDLUXBVSA-N -1 1 315.377 1.753 20 0 DDADMM NC(=O)COc1ccc(C(=O)Nc2c([O-])cccc2F)cc1 ZINC000608367066 360167637 /nfs/dbraw/zinc/16/76/37/360167637.db2.gz SNRMABXKUGJSEV-UHFFFAOYSA-N -1 1 304.277 1.648 20 0 DDADMM O=C(CNC(=O)c1ccc(O)cc1)Nc1c([O-])cccc1F ZINC000608366901 360167741 /nfs/dbraw/zinc/16/77/41/360167741.db2.gz PZBQWEZDNBLSGQ-UHFFFAOYSA-N -1 1 304.277 1.605 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC[C@@]2(O)C[C@H]2C1 ZINC000618748001 363745487 /nfs/dbraw/zinc/74/54/87/363745487.db2.gz FWHHQIMPDTZVMX-ISVAXAHUSA-N -1 1 312.163 1.752 20 0 DDADMM CCOC(=O)c1nnc(NC(=O)c2ccc(OC)cc2[O-])s1 ZINC000610894602 360565041 /nfs/dbraw/zinc/56/50/41/360565041.db2.gz TTYRPRJFFWIHIR-UHFFFAOYSA-N -1 1 323.330 1.681 20 0 DDADMM CCC[C@@H]1C(=O)NCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000613153673 361296535 /nfs/dbraw/zinc/29/65/35/361296535.db2.gz CIVKMDUMLAVPRB-CYBMUJFWSA-N -1 1 313.357 1.681 20 0 DDADMM CCN(C)CC[N-]S(=O)(=O)c1c(F)c(F)cc(F)c1F ZINC000193703648 201106214 /nfs/dbraw/zinc/10/62/14/201106214.db2.gz XNJPMVWXOSJEPA-UHFFFAOYSA-N -1 1 314.304 1.473 20 0 DDADMM CCC(F)(F)C(C)(C)CS(=O)(=O)[N-][C@@H]1COC[C@H]1OC ZINC000625265299 366816720 /nfs/dbraw/zinc/81/67/20/366816720.db2.gz UAFSCGRLWHPQMC-NXEZZACHSA-N -1 1 315.382 1.391 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@]12CCCC[C@H]1C2(F)F)c1nn[n-]n1 ZINC000613476681 361435118 /nfs/dbraw/zinc/43/51/18/361435118.db2.gz YBZBWKXUHRXJNA-GIPNMCIBSA-N -1 1 313.352 1.977 20 0 DDADMM COC(=O)[C@H]1CC[C@@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000194147458 201186085 /nfs/dbraw/zinc/18/60/85/201186085.db2.gz GBEVWZPZSGRHOQ-DTWKUNHWSA-N -1 1 319.329 1.585 20 0 DDADMM COC[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@@H](C)O1 ZINC000613824283 361590296 /nfs/dbraw/zinc/59/02/96/361590296.db2.gz DMLZGJQJJICAOL-DGCLKSJQSA-N -1 1 316.357 1.816 20 0 DDADMM NC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)c1ccc(Cl)cc1[O-] ZINC000613872438 361612997 /nfs/dbraw/zinc/61/29/97/361612997.db2.gz OTJOMHOHYBOUEC-PLMOITTCSA-N -1 1 308.765 1.772 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCc2ccc(O)cc21 ZINC000618978276 363823911 /nfs/dbraw/zinc/82/39/11/363823911.db2.gz FWEZMDKKZSAZEL-JTQLQIEISA-N -1 1 317.301 1.019 20 0 DDADMM COc1cc(C(=O)N2CCC(=O)N(C)CC2)cc(Cl)c1[O-] ZINC000619053986 363874138 /nfs/dbraw/zinc/87/41/38/363874138.db2.gz DSXAXVHPMHPMMJ-UHFFFAOYSA-N -1 1 312.753 1.359 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H]1C[C@H](O)C12CCC2 ZINC000619079715 363889931 /nfs/dbraw/zinc/88/99/31/363889931.db2.gz FXRGNNBKNXHVCW-IUCAKERBSA-N -1 1 309.391 1.246 20 0 DDADMM CO[C@H](C)c1nc(=NCC2(SC)CCOCC2)s[n-]1 ZINC000619744888 364139423 /nfs/dbraw/zinc/13/94/23/364139423.db2.gz BFVKKGQEAXDSRB-SECBINFHSA-N -1 1 303.453 1.992 20 0 DDADMM O=C(N[C@@H](c1ccncc1)C1(CO)CCC1)c1cncc([O-])c1 ZINC000621031942 364653366 /nfs/dbraw/zinc/65/33/66/364653366.db2.gz GZMIAUOTNRDAES-HNNXBMFYSA-N -1 1 313.357 1.816 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C)O[C@](C)(C(F)F)C2)c([O-])c1 ZINC000621124423 364696427 /nfs/dbraw/zinc/69/64/27/364696427.db2.gz YWOWIXKYMZEOHH-XPTSAGLGSA-N -1 1 300.305 1.980 20 0 DDADMM CN(CC(=O)Nc1ccncc1[O-])c1nc2ccccc2s1 ZINC000359191544 299351434 /nfs/dbraw/zinc/35/14/34/299351434.db2.gz IRNBHUUUIAJYQO-UHFFFAOYSA-N -1 1 314.370 1.894 20 0 DDADMM Cc1ccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c(=O)[nH]1 ZINC000622609939 365449970 /nfs/dbraw/zinc/44/99/70/365449970.db2.gz LNEDNCHFASTMOD-LBPRGKRZSA-N -1 1 310.317 1.128 20 0 DDADMM CCN([C@H](C)C(F)(F)F)S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349655944 284001396 /nfs/dbraw/zinc/00/13/96/284001396.db2.gz MBVVTHVNNXFLNN-ZCFIWIBFSA-N -1 1 301.290 1.357 20 0 DDADMM CC1(C)CN(C(=O)CNC(=O)c2ncccc2[O-])[C@H]1C1CC1 ZINC000359267202 299386455 /nfs/dbraw/zinc/38/64/55/299386455.db2.gz HJYFRUJALOKXOO-AWEZNQCLSA-N -1 1 303.362 1.164 20 0 DDADMM CCCn1c(C)nnc1CN1CCCC[C@@H]1c1nc(=O)[n-][nH]1 ZINC000289340951 284128594 /nfs/dbraw/zinc/12/85/94/284128594.db2.gz LWYZHXLBFNVNAG-LLVKDONJSA-N -1 1 305.386 1.135 20 0 DDADMM C[C@@H]1CN(CC(=O)[O-])CCN1C(=O)Cc1cccc(Cl)c1 ZINC000262630073 203357342 /nfs/dbraw/zinc/35/73/42/203357342.db2.gz GVPSNVNIZLSDIL-LLVKDONJSA-N -1 1 310.781 1.500 20 0 DDADMM Cc1cc(C)cc(OCCN(C)C(=O)CN(C)CCC(=O)[O-])c1 ZINC000262672244 203375759 /nfs/dbraw/zinc/37/57/59/203375759.db2.gz SDPBHZDQEARJSK-UHFFFAOYSA-N -1 1 322.405 1.547 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)c(OC)c1 ZINC000264663295 204062588 /nfs/dbraw/zinc/06/25/88/204062588.db2.gz RQDWZYCXGTWJCE-LLVKDONJSA-N -1 1 301.364 1.625 20 0 DDADMM C[C@H]1OCC[C@@]12CN(C(=O)C(=O)c1ccc([O-])cc1)C[C@@H](C)O2 ZINC000288352103 220103276 /nfs/dbraw/zinc/10/32/76/220103276.db2.gz VRQNSDBPXPXMNP-PSTGCABASA-N -1 1 319.357 1.370 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282873925 217293074 /nfs/dbraw/zinc/29/30/74/217293074.db2.gz ISYNBFZYWAESBW-RYUDHWBXSA-N -1 1 316.361 1.272 20 0 DDADMM CCCC[N@H+](C)C[C@H](C)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000288402299 220135085 /nfs/dbraw/zinc/13/50/85/220135085.db2.gz MTYVPJVKVYRDMU-JTQLQIEISA-N -1 1 322.862 1.472 20 0 DDADMM Cc1ccc(C)c(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)c1 ZINC000283024037 217395094 /nfs/dbraw/zinc/39/50/94/217395094.db2.gz XSEFVEVDGOLXDR-LBPRGKRZSA-N -1 1 300.362 1.735 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCOCC1CC1 ZINC000267064960 205781500 /nfs/dbraw/zinc/78/15/00/205781500.db2.gz XYBBRQYLAHGTTL-UHFFFAOYSA-N -1 1 307.394 1.945 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](c2nncn2C2CC2)C1 ZINC000267913417 206334331 /nfs/dbraw/zinc/33/43/31/206334331.db2.gz AYDNIHCDZNSZLY-NSHDSACASA-N -1 1 313.361 1.733 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)Nc2ccncc2)n1 ZINC000006024437 248172625 /nfs/dbraw/zinc/17/26/25/248172625.db2.gz JNWDWMLEJFNQJT-UHFFFAOYSA-N -1 1 304.375 1.848 20 0 DDADMM O=C(CCN1CCCC[C@@H]1c1n[nH]c(=O)[n-]1)N1CCCCC1 ZINC000333656707 249059218 /nfs/dbraw/zinc/05/92/18/249059218.db2.gz FYXUMTOGUMYEAE-GFCCVEGCSA-N -1 1 307.398 1.440 20 0 DDADMM CCn1cnc(S(=O)(=O)Nc2cccc(C(=O)[O-])c2F)c1 ZINC000336850345 249256383 /nfs/dbraw/zinc/25/63/83/249256383.db2.gz WXXRYUYTVQEGEH-UHFFFAOYSA-N -1 1 313.310 1.541 20 0 DDADMM C[C@@H](CN(C)CC(=O)Nc1cccc2nsnc21)C(=O)[O-] ZINC000042707100 183299824 /nfs/dbraw/zinc/29/98/24/183299824.db2.gz TWXRVBJHXNDBCZ-QMMMGPOBSA-N -1 1 308.363 1.282 20 0 DDADMM CCOC(=O)[C@@H](C)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284260672 217951647 /nfs/dbraw/zinc/95/16/47/217951647.db2.gz KVYYQZMXVJFKGL-QMMMGPOBSA-N -1 1 323.773 1.957 20 0 DDADMM CC(C)(C)OC(=O)c1cnc(C(=O)Nc2ccncc2[O-])cn1 ZINC000338553737 250028726 /nfs/dbraw/zinc/02/87/26/250028726.db2.gz OPPKPVKRMLESKK-UHFFFAOYSA-N -1 1 316.317 1.207 20 0 DDADMM C[C@@H]1CN(c2cccc(F)c2)CCN1C(=O)CCc1nn[n-]n1 ZINC000633185630 422795141 /nfs/dbraw/zinc/79/51/41/422795141.db2.gz CDIBWMQRIQBDNL-LLVKDONJSA-N -1 1 318.356 1.009 20 0 DDADMM N=c1nc(N2CCN(c3ccnc4cccnc43)CC2)s[n-]1 ZINC000338675269 250085799 /nfs/dbraw/zinc/08/57/99/250085799.db2.gz CDXULYHAZLSURT-UHFFFAOYSA-N -1 1 313.390 1.220 20 0 DDADMM CC(=O)NC1CCC([N-]S(=O)(=O)c2sccc2F)CC1 ZINC000338881634 250180388 /nfs/dbraw/zinc/18/03/88/250180388.db2.gz BPEFSLUHVIUVLR-UHFFFAOYSA-N -1 1 320.411 1.613 20 0 DDADMM CC1(C)COCCN1CC[N-]S(=O)(=O)c1sccc1F ZINC000338887619 250182627 /nfs/dbraw/zinc/18/26/27/250182627.db2.gz RTOWDXQLNRDQTH-UHFFFAOYSA-N -1 1 322.427 1.276 20 0 DDADMM CCC(=O)N1CC[C@H]([N-]S(=O)(=O)c2sccc2F)C1 ZINC000338936706 250206622 /nfs/dbraw/zinc/20/66/22/250206622.db2.gz OPDAEGFPWHXPKL-QMMMGPOBSA-N -1 1 306.384 1.176 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1sccc1F)[C@H]1CCCO1 ZINC000338974971 250228701 /nfs/dbraw/zinc/22/87/01/250228701.db2.gz RJPZXYDMWMEMPL-NXEZZACHSA-N -1 1 309.384 1.360 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2nc(CO)cs2)sc1C ZINC000352078773 284762123 /nfs/dbraw/zinc/76/21/23/284762123.db2.gz BKMUKSOVEGEDLN-UHFFFAOYSA-N -1 1 319.433 1.187 20 0 DDADMM O=C(Cc1ccc(F)cc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339192064 250335823 /nfs/dbraw/zinc/33/58/23/250335823.db2.gz JJRJKWHUAMPKPS-UHFFFAOYSA-N -1 1 305.309 1.863 20 0 DDADMM COCc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)o1 ZINC000339193436 250337727 /nfs/dbraw/zinc/33/77/27/250337727.db2.gz VWUDRHASCBGKBM-UHFFFAOYSA-N -1 1 307.306 1.534 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCOC[C@H]2C)o1 ZINC000285219816 218338153 /nfs/dbraw/zinc/33/81/53/218338153.db2.gz ALIJHSGQRWNPEI-ZJUUUORDSA-N -1 1 317.363 1.017 20 0 DDADMM CCn1c2ccccc2n(CC(=O)Nc2ccncc2[O-])c1=O ZINC000109889481 194295611 /nfs/dbraw/zinc/29/56/11/194295611.db2.gz FPYKRFTXZNQJTB-UHFFFAOYSA-N -1 1 312.329 1.562 20 0 DDADMM O=C(NCc1cccc(Cn2cccn2)c1)c1cncc([O-])c1 ZINC000109955519 194300704 /nfs/dbraw/zinc/30/07/04/194300704.db2.gz VZECNGVCOOHUON-UHFFFAOYSA-N -1 1 308.341 1.962 20 0 DDADMM CN(C)c1cnc(-c2nc3cc(F)c(F)cc3c(=O)[n-]2)cn1 ZINC000352207439 284864859 /nfs/dbraw/zinc/86/48/59/284864859.db2.gz XXXMBCUUDHZLMU-UHFFFAOYSA-N -1 1 303.272 1.724 20 0 DDADMM CC[C@]1(O)CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000631501925 422800283 /nfs/dbraw/zinc/80/02/83/422800283.db2.gz HWBDBSZMZMKGFD-INIZCTEOSA-N -1 1 301.346 1.300 20 0 DDADMM Cc1nc(C)c(F)c(Oc2ccc([N-]S(C)(=O)=O)nc2)n1 ZINC000340899717 251264010 /nfs/dbraw/zinc/26/40/10/251264010.db2.gz IBQIDLLDTXFJLG-UHFFFAOYSA-N -1 1 312.326 1.791 20 0 DDADMM Cc1sc2[nH]c(CN(C)C(C)(C)C(=O)[O-])nc(=O)c2c1C ZINC000061172968 184163985 /nfs/dbraw/zinc/16/39/85/184163985.db2.gz NDKMZOCHLGRASA-UHFFFAOYSA-N -1 1 309.391 1.896 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2cc(C(C)(C)C)on2)CC1 ZINC000340917641 251276100 /nfs/dbraw/zinc/27/61/00/251276100.db2.gz BKKSMMQCQKMUMZ-UHFFFAOYSA-N -1 1 316.379 1.097 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1ccc(C(C)=O)cc1 ZINC000062140353 184209102 /nfs/dbraw/zinc/20/91/02/184209102.db2.gz AGXDRIKJOUETRW-UHFFFAOYSA-N -1 1 301.364 1.294 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)CCc2nn[n-]n2)C12CCCCC2 ZINC000631500378 422800533 /nfs/dbraw/zinc/80/05/33/422800533.db2.gz WQSDVIDSGHLNEM-NWDGAFQWSA-N -1 1 307.398 1.376 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@@H](CO)C3)cnc2n1 ZINC000124726054 207359087 /nfs/dbraw/zinc/35/90/87/207359087.db2.gz ROEMVEVRYBCREI-LLVKDONJSA-N -1 1 301.346 1.488 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@@H](CO)C3)c[n-]c2n1 ZINC000124726054 207359095 /nfs/dbraw/zinc/35/90/95/207359095.db2.gz ROEMVEVRYBCREI-LLVKDONJSA-N -1 1 301.346 1.488 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCO[C@@H](CF)C1 ZINC000412436113 224030327 /nfs/dbraw/zinc/03/03/27/224030327.db2.gz NXJPADGBCNKZJS-VIFPVBQESA-N -1 1 318.142 1.965 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)Nc2cccc(C(=O)[O-])c2)c[nH]1 ZINC000361997938 207388955 /nfs/dbraw/zinc/38/89/55/207388955.db2.gz BBVFVHASCBBYTL-UHFFFAOYSA-N -1 1 324.314 1.300 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](C)[C@H]3CCOC3)cnc2n1 ZINC000119919060 195062866 /nfs/dbraw/zinc/06/28/66/195062866.db2.gz UQZWMQZWWPIJJR-QWRGUYRKSA-N -1 1 301.346 1.799 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](C)[C@H]1CCOC1)c2=O ZINC000119919060 195062867 /nfs/dbraw/zinc/06/28/67/195062867.db2.gz UQZWMQZWWPIJJR-QWRGUYRKSA-N -1 1 301.346 1.799 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCCSC2)c1 ZINC000269988392 208040184 /nfs/dbraw/zinc/04/01/84/208040184.db2.gz FUUCFHSHHDPRHN-VIFPVBQESA-N -1 1 305.377 1.240 20 0 DDADMM CCn1[nH]c(C)nc1=NC(=O)c1cnc2ccc(C)cc2c1[O-] ZINC000412862588 224094770 /nfs/dbraw/zinc/09/47/70/224094770.db2.gz RLOQMXCIPUWYHD-UHFFFAOYSA-N -1 1 311.345 1.431 20 0 DDADMM O=C([N-][C@@H]1CCCCNC1=O)C(F)(F)c1c(F)cccc1F ZINC000270147998 208209255 /nfs/dbraw/zinc/20/92/55/208209255.db2.gz QQBGYJGKORZJAD-SNVBAGLBSA-N -1 1 318.270 1.842 20 0 DDADMM COCC[C@H](COC)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000352528613 285117784 /nfs/dbraw/zinc/11/77/84/285117784.db2.gz CZKFIPQYPZQRSX-SNVBAGLBSA-N -1 1 308.425 1.090 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)Cc2ccccc2C)co1 ZINC000158917811 197311419 /nfs/dbraw/zinc/31/14/19/197311419.db2.gz SRYXDBUBGIOSJG-UHFFFAOYSA-N -1 1 322.386 1.768 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)c2ccc(C)o2)s1 ZINC000445699074 533088058 /nfs/dbraw/zinc/08/80/58/533088058.db2.gz HFYVDWZJMOUACE-UHFFFAOYSA-N -1 1 305.406 1.640 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@]2(C)CCOC2)c(=O)[n-]1 ZINC000157247544 197173780 /nfs/dbraw/zinc/17/37/80/197173780.db2.gz ZTTQKLOHBUMEBM-AWEZNQCLSA-N -1 1 311.407 1.028 20 0 DDADMM CSC[C@](C)(O)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000120369415 195153181 /nfs/dbraw/zinc/15/31/81/195153181.db2.gz WZBQMZIEQDGCAL-LLVKDONJSA-N -1 1 311.375 1.357 20 0 DDADMM CO[C@H](CNC(=O)c1cnc2nc(C)ccc2c1[O-])C1CC1 ZINC000452141657 533188827 /nfs/dbraw/zinc/18/88/27/533188827.db2.gz JCJJQSPCPJLPOG-CYBMUJFWSA-N -1 1 301.346 1.799 20 0 DDADMM CO[C@H](CNC(=O)c1c[n-]c2nc(C)ccc2c1=O)C1CC1 ZINC000452141657 533188830 /nfs/dbraw/zinc/18/88/30/533188830.db2.gz JCJJQSPCPJLPOG-CYBMUJFWSA-N -1 1 301.346 1.799 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1cccc2c1CN(C)C2=O ZINC000414395485 224331947 /nfs/dbraw/zinc/33/19/47/224331947.db2.gz MNSJMSRGIGAFPK-UHFFFAOYSA-N -1 1 312.391 1.439 20 0 DDADMM Cc1nc(-c2ccc(N3CC(O)(C4CC4)C3)nc2)[n-]c(=O)c1C ZINC000373789534 257236498 /nfs/dbraw/zinc/23/64/98/257236498.db2.gz WYRRWICKUGRDKX-UHFFFAOYSA-N -1 1 312.373 1.822 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)N1CCC[C@H]1c1n[nH]c(-c2ccccc2)n1 ZINC000417378246 533345518 /nfs/dbraw/zinc/34/55/18/533345518.db2.gz RANHYMBUMJJNGN-PWSUYJOCSA-N -1 1 314.345 1.856 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)N1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000417378246 533345524 /nfs/dbraw/zinc/34/55/24/533345524.db2.gz RANHYMBUMJJNGN-PWSUYJOCSA-N -1 1 314.345 1.856 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)[N-]S(=O)(=O)C1CCCCC1 ZINC000360847629 306989543 /nfs/dbraw/zinc/98/95/43/306989543.db2.gz SZHMXYWNXDMFFX-VIFPVBQESA-N -1 1 317.329 1.733 20 0 DDADMM Cc1cc(-n2ccnc2)ccc1CN1CCO[C@@H](C(=O)[O-])C1 ZINC000568874569 304318132 /nfs/dbraw/zinc/31/81/32/304318132.db2.gz XRUNYEFUKGGVIP-OAHLLOKOSA-N -1 1 301.346 1.466 20 0 DDADMM COc1cc(C(=O)N=c2cc(CC3CC3)[nH][nH]2)cc(OC)c1[O-] ZINC000636361764 422820803 /nfs/dbraw/zinc/82/08/03/422820803.db2.gz KBAAKFYRRWUSMC-UHFFFAOYSA-N -1 1 317.345 1.759 20 0 DDADMM Cc1nc(-c2ccc(NC[C@@H]3CCOC3)nc2)[n-]c(=O)c1C ZINC000360973419 299786110 /nfs/dbraw/zinc/78/61/10/299786110.db2.gz IWZFPWNMPNQKSH-LBPRGKRZSA-N -1 1 300.362 1.731 20 0 DDADMM CNC(=O)[C@@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000044491010 407024095 /nfs/dbraw/zinc/02/40/95/407024095.db2.gz MOEFHMIZMPNQFA-ZCFIWIBFSA-N -1 1 301.140 1.019 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC000045174278 407040670 /nfs/dbraw/zinc/04/06/70/407040670.db2.gz YONLLVPDFYREQA-UHFFFAOYSA-N -1 1 306.343 1.121 20 0 DDADMM C[C@H](CC(=O)[N-]OCC(N)=O)c1cccc(C(F)(F)F)c1 ZINC000089462550 407136133 /nfs/dbraw/zinc/13/61/33/407136133.db2.gz QQZLCIRJQIJUJI-MRVPVSSYSA-N -1 1 304.268 1.732 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H](C)c2cccnc2)co1 ZINC000123938946 407343117 /nfs/dbraw/zinc/34/31/17/407343117.db2.gz OTLUOUXYBCFPBX-SNVBAGLBSA-N -1 1 323.374 1.416 20 0 DDADMM Cc1conc1[N-]C(=O)c1cc(S(=O)(=O)N(C)C)c(C)o1 ZINC000123665099 407335476 /nfs/dbraw/zinc/33/54/76/407335476.db2.gz MKAUYFNMPCSDTQ-UHFFFAOYSA-N -1 1 313.335 1.387 20 0 DDADMM COc1ccc(OC)c(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000124531929 407361281 /nfs/dbraw/zinc/36/12/81/407361281.db2.gz DYWMNSLXLQRBSQ-SNVBAGLBSA-N -1 1 319.365 1.022 20 0 DDADMM O=C(NC[C@@H]1CCCC[C@H]1O)c1nc2ccccc2c(=O)[n-]1 ZINC000124652178 407365418 /nfs/dbraw/zinc/36/54/18/407365418.db2.gz CVJZORUXZPCLNY-GXFFZTMASA-N -1 1 301.346 1.204 20 0 DDADMM CC(C)[C@H](C)NC(=O)C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000111590844 407412189 /nfs/dbraw/zinc/41/21/89/407412189.db2.gz MTPVGUMRNOPVAB-LBPRGKRZSA-N -1 1 319.405 1.800 20 0 DDADMM COc1ccc2nc(-c3ccc(OCCO)cc3)[n-]c(=O)c2c1 ZINC000111665113 407413807 /nfs/dbraw/zinc/41/38/07/407413807.db2.gz SXDLAGPTYAZHKQ-UHFFFAOYSA-N -1 1 312.325 1.970 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)Cn1cccn1 ZINC000267052255 407730030 /nfs/dbraw/zinc/73/00/30/407730030.db2.gz WASBZGDDYONEPQ-JTQLQIEISA-N -1 1 317.393 1.803 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(Br)ccc2[O-])CC[C@@H]1O ZINC000186623785 407723980 /nfs/dbraw/zinc/72/39/80/407723980.db2.gz OXRIKTKGACCPCD-KWQFWETISA-N -1 1 314.179 1.998 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H]3CC[C@H](C(=O)[O-])O3)[nH]c2c1 ZINC000262321376 407796480 /nfs/dbraw/zinc/79/64/80/407796480.db2.gz RXSLQGYORSFPKI-NWDGAFQWSA-N -1 1 303.318 1.120 20 0 DDADMM C[C@@H]1CCCN(C(=O)CN2[C@H](C(=O)[O-])C[C@@H]3CCCC[C@@H]32)C1 ZINC000262324877 407799300 /nfs/dbraw/zinc/79/93/00/407799300.db2.gz RLXXXKTZGJCJJR-QPSCCSFWSA-N -1 1 308.422 1.963 20 0 DDADMM COc1ccc(F)c([N-]S(=O)(=O)c2c(C)nn(C)c2C)c1 ZINC000133573015 407860041 /nfs/dbraw/zinc/86/00/41/407860041.db2.gz WYYAZVUVXQTBBU-UHFFFAOYSA-N -1 1 313.354 1.985 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cnn([C@@H](C)C2CC2)c1 ZINC000187718909 407883683 /nfs/dbraw/zinc/88/36/83/407883683.db2.gz GSNRYETVHUVJMC-NSHDSACASA-N -1 1 317.411 1.259 20 0 DDADMM O=C(NC[C@@H]1CCOC1)c1ccc(Br)c([O-])c1 ZINC000119283845 408028925 /nfs/dbraw/zinc/02/89/25/408028925.db2.gz ILYWBKPCDDOQTM-QMMMGPOBSA-N -1 1 300.152 1.921 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC1(C)CCC1 ZINC000175105703 408051312 /nfs/dbraw/zinc/05/13/12/408051312.db2.gz JUIYIUFLXBKDCE-UHFFFAOYSA-N -1 1 302.378 1.488 20 0 DDADMM COC[C@](C)(NC(=O)c1ccc(Cl)cc1[O-])C(=O)OC ZINC000268304649 407993129 /nfs/dbraw/zinc/99/31/29/407993129.db2.gz XSZCAVQNNIKKMY-ZDUSSCGKSA-N -1 1 301.726 1.354 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)[O-])CN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000263232868 408067116 /nfs/dbraw/zinc/06/71/16/408067116.db2.gz QSFAUERLQUHNAF-GXFFZTMASA-N -1 1 314.345 1.797 20 0 DDADMM CCC[C@H](NC(=O)CCCc1csc(C)n1)c1nn[n-]n1 ZINC000136635208 408115419 /nfs/dbraw/zinc/11/54/19/408115419.db2.gz MHOYFDMTFDGJNP-NSHDSACASA-N -1 1 308.411 1.945 20 0 DDADMM O=C(c1cccc2ncccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155507570 408173616 /nfs/dbraw/zinc/17/36/16/408173616.db2.gz ZYLGCCRLFHSEFS-NSHDSACASA-N -1 1 308.345 1.768 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCC[C@H]1O)c1cccc(F)c1F ZINC000121283834 408178108 /nfs/dbraw/zinc/17/81/08/408178108.db2.gz DNUQXDMHBIAYJA-GXSJLCMTSA-N -1 1 305.346 1.794 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)N[C@H](CC(C)C)C(=O)[O-])cn1 ZINC000263569820 408178817 /nfs/dbraw/zinc/17/88/17/408178817.db2.gz HSYGTEOPUBZSQG-GFCCVEGCSA-N -1 1 319.365 1.522 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1OC ZINC000273586657 408257355 /nfs/dbraw/zinc/25/73/55/408257355.db2.gz RCRMNAMLEVTFGC-VIFPVBQESA-N -1 1 305.338 1.093 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@H](C)C2(C)CC2)o1 ZINC000175799499 408211523 /nfs/dbraw/zinc/21/15/23/408211523.db2.gz IYPQBVQXVIJROJ-SECBINFHSA-N -1 1 300.380 1.448 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@@H]3CCn4cncc4C3)n2)o1 ZINC000156011908 408234759 /nfs/dbraw/zinc/23/47/59/408234759.db2.gz ZWBBYIJUYDWNTE-SNVBAGLBSA-N -1 1 312.333 1.771 20 0 DDADMM CC[C@@H](C)OCC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000151361088 408300611 /nfs/dbraw/zinc/30/06/11/408300611.db2.gz BYPBOUSQWVPSMR-SECBINFHSA-N -1 1 306.366 1.071 20 0 DDADMM COc1ccc([C@@H](C)CC(=O)NCCCc2nc(=O)[n-][nH]2)cc1 ZINC000176177296 408301057 /nfs/dbraw/zinc/30/10/57/408301057.db2.gz OQUQVUBCQFAKSF-NSHDSACASA-N -1 1 318.377 1.349 20 0 DDADMM COC(=O)c1cccc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)c1C ZINC000151415394 408307567 /nfs/dbraw/zinc/30/75/67/408307567.db2.gz CQLDGWCCJHKSEZ-UHFFFAOYSA-N -1 1 323.374 1.922 20 0 DDADMM CCC[C@H]1SCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132724315 162043307 /nfs/dbraw/zinc/04/33/07/162043307.db2.gz XWIIEWYQUBOGIC-LLVKDONJSA-N -1 1 306.391 1.989 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCC2(c3ccccc3)CC2)C1 ZINC000164506988 162152350 /nfs/dbraw/zinc/15/23/50/162152350.db2.gz NBLFTNUHKVJLGO-CQSZACIVSA-N -1 1 316.401 1.631 20 0 DDADMM CCn1nc(C)c([N-]S(=O)(=O)C[C@H]2CCCCO2)c1C ZINC000172904387 162310155 /nfs/dbraw/zinc/31/01/55/162310155.db2.gz OOFBXUQPCBYACB-GFCCVEGCSA-N -1 1 301.412 1.831 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2cnn(-c3ccccc3)c2)no1 ZINC000173401125 162337770 /nfs/dbraw/zinc/33/77/70/162337770.db2.gz ZZGLZRBQGAPCLR-UHFFFAOYSA-N -1 1 319.346 1.042 20 0 DDADMM CCN1C(=S)N=NC1CNC(=O)c1cc(Cl)ccc1[O-] ZINC000264116405 408372712 /nfs/dbraw/zinc/37/27/12/408372712.db2.gz JWMZUCXYLXNBRN-UHFFFAOYSA-N -1 1 312.782 1.876 20 0 DDADMM C[C@@H](CN(C)C(=O)CSc1ccc(C#N)cc1)c1nn[n-]n1 ZINC000183405912 408400731 /nfs/dbraw/zinc/40/07/31/408400731.db2.gz MUQXAFKNBVOQTG-JTQLQIEISA-N -1 1 316.390 1.426 20 0 DDADMM C[C@H](CN(C)C(=O)CCOc1ccccc1Cl)c1nn[n-]n1 ZINC000183404476 408402057 /nfs/dbraw/zinc/40/20/57/408402057.db2.gz FVRYIDRELQGGHJ-SNVBAGLBSA-N -1 1 323.784 1.884 20 0 DDADMM C[C@H](CCOc1ccccc1)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183407797 408402101 /nfs/dbraw/zinc/40/21/01/408402101.db2.gz MRRBTAFQQOSVHI-CHWSQXEVSA-N -1 1 317.393 1.867 20 0 DDADMM CCCCN(C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C1CC1 ZINC000264201969 408402831 /nfs/dbraw/zinc/40/28/31/408402831.db2.gz TWGPROJPWDUPIT-UHFFFAOYSA-N -1 1 316.405 1.973 20 0 DDADMM CSCc1noc(CCC(=O)Nc2nc(SC)n[nH]2)n1 ZINC000269737935 408412026 /nfs/dbraw/zinc/41/20/26/408412026.db2.gz YHPGZMNWNMPHNG-UHFFFAOYSA-N -1 1 314.396 1.344 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@@H]1c1cccc(F)c1F)c1nn[n-]n1 ZINC000183453305 408415712 /nfs/dbraw/zinc/41/57/12/408415712.db2.gz QPKFIGPPEXGBJM-FBIMIBRVSA-N -1 1 321.331 1.844 20 0 DDADMM CC(C)COc1ncccc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183452334 408416551 /nfs/dbraw/zinc/41/65/51/408416551.db2.gz APZACISOXWBXQT-LLVKDONJSA-N -1 1 318.381 1.505 20 0 DDADMM COC(=O)CSCCNC(=O)c1cc(Cl)ccc1[O-] ZINC000264294578 408430075 /nfs/dbraw/zinc/43/00/75/408430075.db2.gz VOMVIBGUAPEYKD-UHFFFAOYSA-N -1 1 303.767 1.682 20 0 DDADMM CCC[C@@H](NC(=O)c1[nH]c(C)c(C(C)=O)c1CC)c1nn[n-]n1 ZINC000176749812 408432667 /nfs/dbraw/zinc/43/26/67/408432667.db2.gz QFYCUSBQYCQBBM-LLVKDONJSA-N -1 1 318.381 1.872 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC1(C)OCCCO1 ZINC000191544018 408443179 /nfs/dbraw/zinc/44/31/79/408443179.db2.gz UOCGHWOYLPNDRY-UHFFFAOYSA-N -1 1 323.393 1.259 20 0 DDADMM C[C@H]1CCS(=O)(=O)CCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000191763755 408486693 /nfs/dbraw/zinc/48/66/93/408486693.db2.gz CDMBWLPSQXMNQJ-VIFPVBQESA-N -1 1 317.794 1.695 20 0 DDADMM Cc1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(C(C)C)n1 ZINC000274744976 408518968 /nfs/dbraw/zinc/51/89/68/408518968.db2.gz GDCPZGHBMVFUKN-VIFPVBQESA-N -1 1 303.370 1.297 20 0 DDADMM CCCNC(=O)[C@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000177129255 408527570 /nfs/dbraw/zinc/52/75/70/408527570.db2.gz FKCWTYZQORYQDB-NSHDSACASA-N -1 1 308.353 1.910 20 0 DDADMM CO[C@@](C)(C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccc1 ZINC000274782580 408529013 /nfs/dbraw/zinc/52/90/13/408529013.db2.gz ODEPLYGLGZTPRL-XHDPSFHLSA-N -1 1 303.366 1.323 20 0 DDADMM CO[C@@H](C)CCC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000184211010 408570374 /nfs/dbraw/zinc/57/03/74/408570374.db2.gz UYABRKSSYADQCV-VIFPVBQESA-N -1 1 318.370 1.951 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@@H+]2[C@@H]3CCCC[C@@H]3C[C@H]2C(=O)[O-])n1 ZINC000248571406 408589072 /nfs/dbraw/zinc/58/90/72/408589072.db2.gz SGPZRPGPEXKPEC-RTXFEEFZSA-N -1 1 305.378 1.962 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+]2[C@@H]3CCCC[C@@H]3C[C@H]2C(=O)[O-])n1 ZINC000248571406 408589076 /nfs/dbraw/zinc/58/90/76/408589076.db2.gz SGPZRPGPEXKPEC-RTXFEEFZSA-N -1 1 305.378 1.962 20 0 DDADMM O=C(NCCNC(=O)c1ncccc1[O-])NC1CCCCC1 ZINC000270592752 408594221 /nfs/dbraw/zinc/59/42/21/408594221.db2.gz BUWFKDMCHHGPSN-UHFFFAOYSA-N -1 1 306.366 1.149 20 0 DDADMM CC(=O)N1CCN(C(=O)c2ncccc2[O-])CC12CCCCC2 ZINC000270880139 408721979 /nfs/dbraw/zinc/72/19/79/408721979.db2.gz NIEDAQNMEWWDNX-UHFFFAOYSA-N -1 1 317.389 1.794 20 0 DDADMM C[C@@H](CN(C)C)NS(=O)(=O)c1cc(C(=O)[O-])ccc1Cl ZINC000168627824 408818740 /nfs/dbraw/zinc/81/87/40/408818740.db2.gz JCHOKTIYGYKMMN-QMMMGPOBSA-N -1 1 320.798 1.267 20 0 DDADMM CC[C@H]1CCCC[C@H]1OCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000187339971 163015026 /nfs/dbraw/zinc/01/50/26/163015026.db2.gz JQTQCRVGPDEHLA-FRRDWIJNSA-N -1 1 309.414 1.747 20 0 DDADMM O=C(Nc1ccc(-n2nn[n-]c2=O)cc1)c1cccc2cn[nH]c21 ZINC000188769481 163067445 /nfs/dbraw/zinc/06/74/45/163067445.db2.gz BNEDILGGNQRYCL-UHFFFAOYSA-N -1 1 321.300 1.084 20 0 DDADMM O=C(NC1CN(C(=O)c2c([O-])cnc3ccccc32)C1)C1CC1 ZINC000189050661 163083409 /nfs/dbraw/zinc/08/34/09/163083409.db2.gz BKIILYMMALMOPJ-UHFFFAOYSA-N -1 1 311.341 1.291 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1ccc(C2CCC2)cc1 ZINC000190239070 163133496 /nfs/dbraw/zinc/13/34/96/163133496.db2.gz QLDNSNWNUIBCGF-UHFFFAOYSA-N -1 1 300.362 1.728 20 0 DDADMM COC[C@@H](CNC(=O)c1ccc(Br)c([O-])c1)OC ZINC000227699345 163339360 /nfs/dbraw/zinc/33/93/60/163339360.db2.gz IUHUMJSNWYGDNN-SECBINFHSA-N -1 1 318.167 1.546 20 0 DDADMM CCOCCN(Cc1ccc(C(=O)[O-])o1)C(=O)c1cc(C)[nH]n1 ZINC000236890793 163365521 /nfs/dbraw/zinc/36/55/21/163365521.db2.gz IKKLDFSNDRTIFW-UHFFFAOYSA-N -1 1 321.333 1.688 20 0 DDADMM Cc1cnc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)nc1 ZINC000281995850 408968939 /nfs/dbraw/zinc/96/89/39/408968939.db2.gz WARYCKQNYKXDJQ-UHFFFAOYSA-N -1 1 302.300 1.680 20 0 DDADMM C[C@@H](Sc1nc(C2CC2)cc(=O)[n-]1)C(=O)NC[C@@H]1CCCO1 ZINC000277704926 408995978 /nfs/dbraw/zinc/99/59/78/408995978.db2.gz MYVUCCJEIAWVQU-KOLCDFICSA-N -1 1 323.418 1.835 20 0 DDADMM CC(C)[C@H](O)C(C)(C)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287311415 409017584 /nfs/dbraw/zinc/01/75/84/409017584.db2.gz VUMCNGXLMMMRJH-RQZLXBNYSA-N -1 1 319.409 1.506 20 0 DDADMM CCOCCC1(CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000287388538 409029730 /nfs/dbraw/zinc/02/97/30/409029730.db2.gz FWHWIOATOQFOGG-MOSHPQCFSA-N -1 1 317.393 1.670 20 0 DDADMM C[C@]1(NC(=O)c2ccc(Br)cc2[O-])CCOC1=O ZINC000292513321 409034512 /nfs/dbraw/zinc/03/45/12/409034512.db2.gz PZZJIKNRGOCGDN-LBPRGKRZSA-N -1 1 314.135 1.590 20 0 DDADMM CCC[C@]1(CO)CCCN1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287585134 409060326 /nfs/dbraw/zinc/06/03/26/409060326.db2.gz LPASTCRZBGDLDJ-YZYPPFLQSA-N -1 1 317.393 1.501 20 0 DDADMM Cc1c([C@H](C)NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)cnn1C ZINC000287672700 409074566 /nfs/dbraw/zinc/07/45/66/409074566.db2.gz QGKUULQLLOURML-UTUXFNOQSA-N -1 1 313.365 1.266 20 0 DDADMM CC(C)(CC(=O)NN1CC(=O)[N-]C1=O)CC(=O)c1ccccc1 ZINC000283089938 409085547 /nfs/dbraw/zinc/08/55/47/409085547.db2.gz VKUQFSQGTDRHGA-UHFFFAOYSA-N -1 1 317.345 1.259 20 0 DDADMM Cc1nccn1CCNC(=O)[C@@H]([N-]C(=O)C(F)(F)F)C(C)C ZINC000278974424 409124417 /nfs/dbraw/zinc/12/44/17/409124417.db2.gz GBTKATBYTDSJRR-JTQLQIEISA-N -1 1 320.315 1.011 20 0 DDADMM COC(=O)[C@@H](CF)NC(=O)c1ccc(Br)cc1[O-] ZINC000287993902 409127898 /nfs/dbraw/zinc/12/78/98/409127898.db2.gz BBYBDBCDIGNUMI-MRVPVSSYSA-N -1 1 320.114 1.396 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cnn(C)c1C)c1ccc(C)o1 ZINC000293551521 409136249 /nfs/dbraw/zinc/13/62/49/409136249.db2.gz VIZGYFVPSNDADS-NSHDSACASA-N -1 1 313.379 1.296 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)C)C[C@H](C)N1C(=O)c1ncccc1[O-] ZINC000293709994 409165339 /nfs/dbraw/zinc/16/53/39/409165339.db2.gz OFCRNQSQKWBVTF-TXEJJXNPSA-N -1 1 319.405 1.895 20 0 DDADMM CC[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@H](O)C(F)(F)F ZINC000288426035 409166538 /nfs/dbraw/zinc/16/65/38/409166538.db2.gz KINMGSMRNWPYPD-KOLCDFICSA-N -1 1 305.252 1.393 20 0 DDADMM CC(C)C(C)(C)CNC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000289186318 409229359 /nfs/dbraw/zinc/22/93/59/409229359.db2.gz LDXLBJSCZSASAP-NDENLUEZSA-N -1 1 317.393 1.079 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1cc2ccccc2cc1[O-])OC ZINC000294221968 409261870 /nfs/dbraw/zinc/26/18/70/409261870.db2.gz YTBXOSOVTGSHLO-AWEZNQCLSA-N -1 1 303.314 1.463 20 0 DDADMM COc1ccc(N2C[C@H](C(=O)[N-]OCC3CC3)CC2=O)cc1 ZINC000293898268 409203093 /nfs/dbraw/zinc/20/30/93/409203093.db2.gz ZKRUZKCLBYJLHJ-GFCCVEGCSA-N -1 1 304.346 1.506 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cc(Cl)ccc1NC1CC1 ZINC000631588373 422841451 /nfs/dbraw/zinc/84/14/51/422841451.db2.gz YXBBHGIWXZBPCE-UHFFFAOYSA-N -1 1 306.757 1.999 20 0 DDADMM CC1(C)[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)[C@H]2CCO[C@H]21 ZINC000295987365 409391910 /nfs/dbraw/zinc/39/19/10/409391910.db2.gz WWHFAPBYIWXKME-YUSALJHKSA-N -1 1 318.402 1.561 20 0 DDADMM O=c1nc(C2CCN(c3nc4cc(F)ccc4o3)CC2)[nH][n-]1 ZINC000280655748 409396500 /nfs/dbraw/zinc/39/65/00/409396500.db2.gz AVQGRYZMMFTFNG-UHFFFAOYSA-N -1 1 303.297 1.762 20 0 DDADMM C[C@H](O)CN1CCN(C(=O)c2c(F)ccc([O-])c2F)C[C@@H]1C ZINC000280707967 409420921 /nfs/dbraw/zinc/42/09/21/409420921.db2.gz FZVTVPBKGUCAOF-UWVGGRQHSA-N -1 1 314.332 1.198 20 0 DDADMM COCCCn1cc(C)c(NC(=O)c2ccc(O)cc2[O-])n1 ZINC000296075934 409421608 /nfs/dbraw/zinc/42/16/08/409421608.db2.gz OFVMIYVCYKUWAB-UHFFFAOYSA-N -1 1 305.334 1.892 20 0 DDADMM Cc1noc([C@@H](C)NC(=O)c2cnc3nc(C)ccc3c2[O-])n1 ZINC000338113399 164076684 /nfs/dbraw/zinc/07/66/84/164076684.db2.gz UMKUYCZJDYSQBR-MRVPVSSYSA-N -1 1 313.317 1.826 20 0 DDADMM Cc1noc([C@@H](C)NC(=O)c2c[n-]c3nc(C)ccc3c2=O)n1 ZINC000338113399 164076687 /nfs/dbraw/zinc/07/66/87/164076687.db2.gz UMKUYCZJDYSQBR-MRVPVSSYSA-N -1 1 313.317 1.826 20 0 DDADMM CC[C@H]1CN(C(=O)c2cnc3nc(C)ccc3c2[O-])CCO1 ZINC000407983530 164188428 /nfs/dbraw/zinc/18/84/28/164188428.db2.gz QWLLKPFRYVBVFH-NSHDSACASA-N -1 1 301.346 1.895 20 0 DDADMM CC[C@H]1CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)CCO1 ZINC000407983530 164188431 /nfs/dbraw/zinc/18/84/31/164188431.db2.gz QWLLKPFRYVBVFH-NSHDSACASA-N -1 1 301.346 1.895 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC[C@@H]1[C@H]1COCC[C@@H]1O ZINC000408408425 164321520 /nfs/dbraw/zinc/32/15/20/164321520.db2.gz OUAWKWUPKFDUBB-YUELXQCFSA-N -1 1 309.337 1.533 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCO[C@H](CF)C3)cnc2n1 ZINC000408466125 164339940 /nfs/dbraw/zinc/33/99/40/164339940.db2.gz ILMFPAPYLITEIX-SNVBAGLBSA-N -1 1 305.309 1.454 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCO[C@H](CF)C3)c[n-]c2n1 ZINC000408466125 164339942 /nfs/dbraw/zinc/33/99/42/164339942.db2.gz ILMFPAPYLITEIX-SNVBAGLBSA-N -1 1 305.309 1.454 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H]2CCCC[C@H]21 ZINC000337947511 409594463 /nfs/dbraw/zinc/59/44/63/409594463.db2.gz NDPKKOJZEDKHAW-QWHCGFSZSA-N -1 1 317.389 1.698 20 0 DDADMM CC(=O)Nc1ccc(Oc2nc3c(cnn3C)c(=O)[n-]2)c(F)c1 ZINC000356849119 409660092 /nfs/dbraw/zinc/66/00/92/409660092.db2.gz FMXQUHXIWFNDDT-UHFFFAOYSA-N -1 1 317.280 1.959 20 0 DDADMM Cc1sccc1CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331596544 409676739 /nfs/dbraw/zinc/67/67/39/409676739.db2.gz AFHYAGGVJAGMIM-UHFFFAOYSA-N -1 1 323.447 1.212 20 0 DDADMM NC(=O)[C@@H](CNC(=O)c1ncccc1[O-])Cc1ccc(F)cc1 ZINC000338054952 409678120 /nfs/dbraw/zinc/67/81/20/409678120.db2.gz JDOGCAFWMVSHLY-LLVKDONJSA-N -1 1 317.320 1.000 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000357056608 409822533 /nfs/dbraw/zinc/82/25/33/409822533.db2.gz XMLFKVTYCPTOSU-HTQZYQBOSA-N -1 1 303.288 1.674 20 0 DDADMM C[C@H]1CN(CC(=O)N(C)Cc2cccs2)CC[C@@H]1C(=O)[O-] ZINC000314679224 409831717 /nfs/dbraw/zinc/83/17/17/409831717.db2.gz ZVIYIAHCBASFLO-AAEUAGOBSA-N -1 1 310.419 1.749 20 0 DDADMM COC(=O)[C@@H](C)N(Cc1ccccc1)C(=O)c1ncccc1[O-] ZINC000346138046 409812904 /nfs/dbraw/zinc/81/29/04/409812904.db2.gz SNMQUWYLWPECFQ-GFCCVEGCSA-N -1 1 314.341 1.991 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C2C(C)(C)C2(C)C)c1 ZINC000338229251 409818978 /nfs/dbraw/zinc/81/89/78/409818978.db2.gz AAAJVJKDSGKRFX-UHFFFAOYSA-N -1 1 301.364 1.779 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2NCCc3ccccc32)CC[C@@H]1C(=O)[O-] ZINC000318835723 409882160 /nfs/dbraw/zinc/88/21/60/409882160.db2.gz ARKSDSZSXINSNT-OSAQELSMSA-N -1 1 302.374 1.443 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC000319047035 409885611 /nfs/dbraw/zinc/88/56/11/409885611.db2.gz VBJIIGYQLKTYEM-GXFFZTMASA-N -1 1 308.382 1.403 20 0 DDADMM CCc1nnc([C@H](C)[N-]S(=O)(=O)c2c(F)cccc2F)[nH]1 ZINC000342769073 409892894 /nfs/dbraw/zinc/89/28/94/409892894.db2.gz NHXYPCVZTVQTNJ-ZETCQYMHSA-N -1 1 316.333 1.685 20 0 DDADMM C[C@@H]1CN(c2c(C(=O)[O-])cnc3ccccc32)C[C@H](CO)O1 ZINC000319860443 409899249 /nfs/dbraw/zinc/89/92/49/409899249.db2.gz CUJHJYUTNLJVLK-GHMZBOCLSA-N -1 1 302.330 1.519 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC1(CCO)CC1 ZINC000332184163 409957474 /nfs/dbraw/zinc/95/74/74/409957474.db2.gz PGXDURWWZCKOGH-UHFFFAOYSA-N -1 1 307.394 1.681 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@]3(O)CCC[C@@H]3C)cnc2n1 ZINC000332186829 409959556 /nfs/dbraw/zinc/95/95/56/409959556.db2.gz WBYBHIUROJLPJN-BTDLBPIBSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@@]3(O)CCC[C@@H]3C)c[n-]c2n1 ZINC000332186829 409959558 /nfs/dbraw/zinc/95/95/58/409959558.db2.gz WBYBHIUROJLPJN-BTDLBPIBSA-N -1 1 315.373 1.925 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)c1ccc(OCC(C)C)cc1 ZINC000297665707 409947207 /nfs/dbraw/zinc/94/72/07/409947207.db2.gz GLSQDAMKUHZZFT-UHFFFAOYSA-N -1 1 317.349 1.451 20 0 DDADMM CN(C)C(=O)Nc1cccc(CNC(=O)c2cncc([O-])c2)c1 ZINC000346508360 409998117 /nfs/dbraw/zinc/99/81/17/409998117.db2.gz SQFRCXKBUBHLAE-UHFFFAOYSA-N -1 1 314.345 1.811 20 0 DDADMM CC(C)Cc1cc([N-]S(=O)(=O)c2ccc(N(C)C)nc2)[nH]n1 ZINC000357378141 410005236 /nfs/dbraw/zinc/00/52/36/410005236.db2.gz ZMZJPJQBNIBOTQ-UHFFFAOYSA-N -1 1 323.422 1.870 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1(C)C ZINC000342987976 410056387 /nfs/dbraw/zinc/05/63/87/410056387.db2.gz IEHYWRFSMXOHPM-OASPWFOLSA-N -1 1 315.373 1.712 20 0 DDADMM Cc1nc2cc(F)ccc2cc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354761034 410065122 /nfs/dbraw/zinc/06/51/22/410065122.db2.gz AKVPFFCCAUWPEZ-UHFFFAOYSA-N -1 1 314.324 1.861 20 0 DDADMM CSc1n[nH]c(NC(=O)c2ccc(C(=O)N(C)C)nc2C)n1 ZINC000354765649 410070433 /nfs/dbraw/zinc/07/04/33/410070433.db2.gz UKNLHJGDNLHTFW-UHFFFAOYSA-N -1 1 320.378 1.184 20 0 DDADMM O=C(Cc1cccc(F)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332407304 410131155 /nfs/dbraw/zinc/13/11/55/410131155.db2.gz PMTVATVCAORXGS-NSHDSACASA-N -1 1 305.309 1.863 20 0 DDADMM C[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@H]1CCCCO1 ZINC000346769977 410143940 /nfs/dbraw/zinc/14/39/40/410143940.db2.gz PIOSQTGQLCHUJR-GXFFZTMASA-N -1 1 301.346 1.611 20 0 DDADMM Cc1cccc(CN(C)C(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000343187665 410223194 /nfs/dbraw/zinc/22/31/94/410223194.db2.gz KNQOEZYBTITPJO-UHFFFAOYSA-N -1 1 313.357 1.484 20 0 DDADMM Cc1noc(C2CN(C(=O)c3csc(=NC4CC4)[n-]3)C2)n1 ZINC000333000988 410307195 /nfs/dbraw/zinc/30/71/95/410307195.db2.gz CUOCDPVKSLMTPX-UHFFFAOYSA-N -1 1 305.363 1.070 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)c1cn([C@H]2CCOC2)nn1 ZINC000358216612 410406327 /nfs/dbraw/zinc/40/63/27/410406327.db2.gz XXBNRTGKULMYEF-VIFPVBQESA-N -1 1 308.725 1.851 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2C[C@]23CCOC3)c1Br ZINC000329728176 410425190 /nfs/dbraw/zinc/42/51/90/410425190.db2.gz HEEMIOHTCKYWPG-MADCSZMMSA-N -1 1 314.183 1.522 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]c2cc(C3CCCCC3)n[nH]2)n1 ZINC000329968380 410500261 /nfs/dbraw/zinc/50/02/61/410500261.db2.gz TWOXRYIPNGQOGH-UHFFFAOYSA-N -1 1 309.395 1.992 20 0 DDADMM CCN(C(=O)CNC(=O)c1ncccc1[O-])c1cccc(C)c1 ZINC000352158950 410516905 /nfs/dbraw/zinc/51/69/05/410516905.db2.gz PPOLZBDLQCPFKG-UHFFFAOYSA-N -1 1 313.357 1.879 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)CCc1ccc(F)cc1 ZINC000352178772 410527202 /nfs/dbraw/zinc/52/72/02/410527202.db2.gz QNOALLOKHMESQN-UHFFFAOYSA-N -1 1 321.308 1.350 20 0 DDADMM CC[C@H](NC(=O)CCCc1nn[n-]n1)c1ccc(OC)cc1 ZINC000635173024 422858855 /nfs/dbraw/zinc/85/88/55/422858855.db2.gz JAIMRAAISMDXQE-ZDUSSCGKSA-N -1 1 303.366 1.799 20 0 DDADMM Cc1cnc(CC[N-]S(=O)(=O)c2cc(F)ccc2F)nc1 ZINC000340098901 410674309 /nfs/dbraw/zinc/67/43/09/410674309.db2.gz IWHXNZXSGQNEIE-UHFFFAOYSA-N -1 1 313.329 1.584 20 0 DDADMM CCCC(=O)N1CCC(C(=O)N[C@H](CCC)c2nn[n-]n2)CC1 ZINC000343715029 410640949 /nfs/dbraw/zinc/64/09/49/410640949.db2.gz AWTRCSMFGFBIKD-GFCCVEGCSA-N -1 1 322.413 1.196 20 0 DDADMM COC(=O)[C@]1([N-]S(=O)(=O)CC2CCCCC2)CCSC1 ZINC000340073158 410658281 /nfs/dbraw/zinc/65/82/81/410658281.db2.gz VWFVXNUWJYULGF-ZDUSSCGKSA-N -1 1 321.464 1.535 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC3(CCC3(F)F)C2)o1 ZINC000359526419 410712240 /nfs/dbraw/zinc/71/22/40/410712240.db2.gz SDJAOGJRFYZWBJ-UHFFFAOYSA-N -1 1 320.317 1.059 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2C[C@H]2C(F)F)c1 ZINC000359677333 410808369 /nfs/dbraw/zinc/80/83/69/410808369.db2.gz GOCPQHXCYGCPOA-HTQZYQBOSA-N -1 1 320.317 1.140 20 0 DDADMM CC(C)(NC(=O)c1cc2c(c(Cl)c1)OCO2)c1nn[n-]n1 ZINC000359786412 410878608 /nfs/dbraw/zinc/87/86/08/410878608.db2.gz LKNHAPUYKGRTFJ-UHFFFAOYSA-N -1 1 309.713 1.247 20 0 DDADMM CC(C)(NC(=O)c1sccc1Br)c1nn[n-]n1 ZINC000359797732 410888031 /nfs/dbraw/zinc/88/80/31/410888031.db2.gz ZIAYAYUSGIADPC-UHFFFAOYSA-N -1 1 316.184 1.689 20 0 DDADMM CC(C)(NC(=O)c1ccn(-c2ccccc2F)n1)c1nn[n-]n1 ZINC000359804415 410891406 /nfs/dbraw/zinc/89/14/06/410891406.db2.gz XSHVMKWVAXSVKV-UHFFFAOYSA-N -1 1 315.312 1.190 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@@H]1c1cccc(F)c1F ZINC000348297044 410902406 /nfs/dbraw/zinc/90/24/06/410902406.db2.gz JEBURPVRGCHNMV-BDAKNGLRSA-N -1 1 305.288 1.387 20 0 DDADMM CO[C@H](C)c1nc(C)c(C(=O)NC2(c3nn[n-]n3)CC2)s1 ZINC000348284172 410898076 /nfs/dbraw/zinc/89/80/76/410898076.db2.gz SSJSFTFSQOCOKU-SSDOTTSWSA-N -1 1 308.367 1.091 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@H]1c1c(F)cccc1F ZINC000348297398 410907041 /nfs/dbraw/zinc/90/70/41/410907041.db2.gz KUHGLRNENGEMFJ-HTQZYQBOSA-N -1 1 305.288 1.387 20 0 DDADMM COc1ccc2cccc(CC(=O)NC3(c4nn[n-]n4)CC3)c2c1 ZINC000348298038 410907859 /nfs/dbraw/zinc/90/78/59/410907859.db2.gz NMZMWMAEFHODSI-UHFFFAOYSA-N -1 1 323.356 1.710 20 0 DDADMM COc1ccccc1[C@@H](C)CC(=O)NC1(c2nn[n-]n2)CC1 ZINC000348299675 410907935 /nfs/dbraw/zinc/90/79/35/410907935.db2.gz YVPCAMUWTQMPEX-JTQLQIEISA-N -1 1 301.350 1.507 20 0 DDADMM O=C([O-])c1ccc(NS(=O)(=O)c2cccc3c2OCO3)cc1 ZINC000337754691 410984733 /nfs/dbraw/zinc/98/47/33/410984733.db2.gz LAVLFIHCEWNLJD-UHFFFAOYSA-N -1 1 321.310 1.914 20 0 DDADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(F)cc1 ZINC000353532970 411050955 /nfs/dbraw/zinc/05/09/55/411050955.db2.gz RNSUSOMGOZHPKJ-SECBINFHSA-N -1 1 315.308 1.090 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000356612785 411106589 /nfs/dbraw/zinc/10/65/89/411106589.db2.gz GEVIQWCWXRBEAJ-YNEHKIRRSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N(C)C[C@@H]1CCCO1 ZINC000580201769 422902098 /nfs/dbraw/zinc/90/20/98/422902098.db2.gz DFGJYLOPKXBHNV-DOMZBBRYSA-N -1 1 320.389 1.500 20 0 DDADMM CCN(CC)C(=O)CCC[N-]S(=O)(=O)c1cc(C)ns1 ZINC000631752058 422906394 /nfs/dbraw/zinc/90/63/94/422906394.db2.gz AAZFWQXJEASOGT-UHFFFAOYSA-N -1 1 319.452 1.378 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC000629988545 422912725 /nfs/dbraw/zinc/91/27/25/422912725.db2.gz GMDRGJNJQGOTND-IACUBPJLSA-N -1 1 310.357 1.024 20 0 DDADMM CS[C@@H](C)CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000130839179 196114596 /nfs/dbraw/zinc/11/45/96/196114596.db2.gz FUDKZNJPJFXDDE-VIFPVBQESA-N -1 1 322.434 1.852 20 0 DDADMM CCCC1(C[N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CC1 ZINC000131357275 196161516 /nfs/dbraw/zinc/16/15/16/196161516.db2.gz VYRXQXYUKUAXRT-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM CSC[C@@H](C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000131915778 196210260 /nfs/dbraw/zinc/21/02/60/196210260.db2.gz VFZRMEDFYYNEBT-MRVPVSSYSA-N -1 1 308.407 1.050 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cnn(Cc2ccccn2)c1)OC ZINC000643269386 423093214 /nfs/dbraw/zinc/09/32/14/423093214.db2.gz RLRTWOXFHSQJIL-AWEZNQCLSA-N -1 1 324.406 1.493 20 0 DDADMM O=S(=O)([N-]CCc1ccccn1)c1c[nH]nc1C(F)(F)F ZINC000647890375 423102173 /nfs/dbraw/zinc/10/21/73/423102173.db2.gz JGKQYRQUYMPBSQ-UHFFFAOYSA-N -1 1 320.296 1.345 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc([C@H]3CCCOC3)no2)co1 ZINC000350853781 306758106 /nfs/dbraw/zinc/75/81/06/306758106.db2.gz FGGOBACNDJNHMS-QMMMGPOBSA-N -1 1 313.335 1.132 20 0 DDADMM COCCC1(C(=O)NCc2cc(=O)[n-]c(SC)n2)CCC1 ZINC000640655795 423115444 /nfs/dbraw/zinc/11/54/44/423115444.db2.gz JBGFKNBONQKKON-UHFFFAOYSA-N -1 1 311.407 1.727 20 0 DDADMM O=C(NCc1ncc2c(n1)CCOC2)c1c(F)ccc([O-])c1F ZINC000652753019 423117686 /nfs/dbraw/zinc/11/76/86/423117686.db2.gz OMTDPKDWKSTIEL-UHFFFAOYSA-N -1 1 321.283 1.463 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2C[C@@H](C(C)=O)C2(C)C)cc(=O)[n-]1 ZINC000640656511 423118656 /nfs/dbraw/zinc/11/86/56/423118656.db2.gz QSOXFPCMBMYSOQ-WDEREUQCSA-N -1 1 323.418 1.772 20 0 DDADMM Cc1cc(=O)[nH]c([C@H](C)[N@H+]2CC[C@@H](Cc3cnn(C)c3)C2)n1 ZINC000366153436 418434434 /nfs/dbraw/zinc/43/44/34/418434434.db2.gz OQTDUCZBDYJAJW-STQMWFEESA-N -1 1 301.394 1.850 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@]2(C1)C(=O)Nc1ccccc12 ZINC000373534281 418435919 /nfs/dbraw/zinc/43/59/19/418435919.db2.gz NIMHESHEHNSHOF-KRWDZBQOSA-N -1 1 309.325 1.523 20 0 DDADMM O=C(CCc1cc(F)ccc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366729898 418509595 /nfs/dbraw/zinc/50/95/95/418509595.db2.gz GZDSBVPXWYLWFZ-NSHDSACASA-N -1 1 321.331 1.817 20 0 DDADMM Cn1ccc(CCNC(=O)c2cc(Br)ccc2[O-])n1 ZINC000230884040 418565641 /nfs/dbraw/zinc/56/56/41/418565641.db2.gz YZVRESYYDGJZER-UHFFFAOYSA-N -1 1 324.178 1.861 20 0 DDADMM O=C(Cc1c(F)cccc1Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000360876151 418538525 /nfs/dbraw/zinc/53/85/25/418538525.db2.gz YFFVUIPACUUESO-VIFPVBQESA-N -1 1 323.759 1.941 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3C[C@@H](O)C3(C)C)cnc2n1 ZINC000189395293 222044062 /nfs/dbraw/zinc/04/40/62/222044062.db2.gz FYQZBOCAYUMFHU-VXGBXAGGSA-N -1 1 301.346 1.533 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1C[C@@H](O)C1(C)C)c2=O ZINC000189395293 222044064 /nfs/dbraw/zinc/04/40/64/222044064.db2.gz FYQZBOCAYUMFHU-VXGBXAGGSA-N -1 1 301.346 1.533 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN[C@H](c2cccnc2)C1 ZINC000367239290 418576093 /nfs/dbraw/zinc/57/60/93/418576093.db2.gz SIQHJEZZYNHIAG-HNNXBMFYSA-N -1 1 301.321 1.713 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)Nc1cccc(C(=O)[O-])c1F ZINC000314098869 418593170 /nfs/dbraw/zinc/59/31/70/418593170.db2.gz BMDBYNLDPQDENG-UHFFFAOYSA-N -1 1 314.294 1.930 20 0 DDADMM CCOCc1nc([C@H](C)NC(=O)c2c([O-])cccc2F)no1 ZINC000291213436 222228458 /nfs/dbraw/zinc/22/84/58/222228458.db2.gz OADVUUXNHDWPCH-QMMMGPOBSA-N -1 1 309.297 1.942 20 0 DDADMM O=C([O-])c1ccc2c(c1)CCCN2C(=O)C[C@@H]1COCCN1 ZINC000316954825 418603571 /nfs/dbraw/zinc/60/35/71/418603571.db2.gz NVHVVLBUQLJZIM-CYBMUJFWSA-N -1 1 304.346 1.043 20 0 DDADMM COc1cncc(C(=O)N=c2cc(-c3ccc(C)s3)[n-][nH]2)n1 ZINC000361853147 418718727 /nfs/dbraw/zinc/71/87/27/418718727.db2.gz DZGNWTAXSTVYIX-UHFFFAOYSA-N -1 1 315.358 1.919 20 0 DDADMM N=c1nc(N2CCN(Cc3ccsc3Cl)CC2)s[n-]1 ZINC000361556530 418676734 /nfs/dbraw/zinc/67/67/34/418676734.db2.gz IATRZDPCSGLCCX-UHFFFAOYSA-N -1 1 315.855 1.988 20 0 DDADMM CN1CCC[C@@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C1=O ZINC000361971688 418732654 /nfs/dbraw/zinc/73/26/54/418732654.db2.gz DKTVONPOIJLOTC-SNVBAGLBSA-N -1 1 320.773 1.378 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC23CCC(CC2)C3)o1 ZINC000371315910 418778997 /nfs/dbraw/zinc/77/89/97/418778997.db2.gz XYWRSXLYXXYLOX-UHFFFAOYSA-N -1 1 312.391 1.498 20 0 DDADMM Cn1cc(-c2ncccc2[N-]S(=O)(=O)C[C@H]2CCCO2)cn1 ZINC000372685092 418892570 /nfs/dbraw/zinc/89/25/70/418892570.db2.gz SHGWMCNEGJPWNJ-GFCCVEGCSA-N -1 1 322.390 1.403 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(C)c1 ZINC000372156913 418840561 /nfs/dbraw/zinc/84/05/61/418840561.db2.gz QMUDYVOSHXHWOA-LLVKDONJSA-N -1 1 301.350 1.537 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)CCOCC2CC2)c(F)c1 ZINC000424059980 228223939 /nfs/dbraw/zinc/22/39/39/228223939.db2.gz GPNWCJITWLFXAB-UHFFFAOYSA-N -1 1 316.354 1.093 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC2([S@@](C)=O)CC2)c(F)c1 ZINC000425170486 228379532 /nfs/dbraw/zinc/37/95/32/228379532.db2.gz AHEXIELHBQBKJA-LJQANCHMSA-N -1 1 323.386 1.463 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1nc(C(F)(F)F)c[nH]1)OC ZINC000421244360 419536756 /nfs/dbraw/zinc/53/67/56/419536756.db2.gz FRYMLGFDLMDOGX-ZCFIWIBFSA-N -1 1 301.290 1.595 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cc(NC(C)=O)ccc1F)OC ZINC000421150761 419504376 /nfs/dbraw/zinc/50/43/76/419504376.db2.gz IBHXLRCLSIETQP-NSHDSACASA-N -1 1 318.370 1.951 20 0 DDADMM CC1(C)CN(C(=O)c2c(F)ccc([O-])c2F)C[C@H](CO)O1 ZINC000421932627 419776493 /nfs/dbraw/zinc/77/64/93/419776493.db2.gz HFIYIJABFXLQJL-MRVPVSSYSA-N -1 1 301.289 1.282 20 0 DDADMM Cc1cnc(C(=O)NCc2c3c(nn2C)CCCC3)c([O-])c1 ZINC000428486666 419876924 /nfs/dbraw/zinc/87/69/24/419876924.db2.gz XINZWMOWSBIGSJ-UHFFFAOYSA-N -1 1 300.362 1.638 20 0 DDADMM NC(=O)c1csc(=NC[C@]2(O)CCC[C@H](C(F)(F)F)C2)[n-]1 ZINC000432170458 229085170 /nfs/dbraw/zinc/08/51/70/229085170.db2.gz SKRVZWCCHHIXQM-CPCISQLKSA-N -1 1 323.340 1.559 20 0 DDADMM Cn1[n-]c(CN(CCc2ccccn2)Cc2cccnc2)nc1=O ZINC000430347756 420110533 /nfs/dbraw/zinc/11/05/33/420110533.db2.gz QALJEMSTGGAJQG-UHFFFAOYSA-N -1 1 324.388 1.143 20 0 DDADMM Cc1cc(N2CCCC2)ccc1NC(=O)CN(C)CCC(=O)[O-] ZINC000430654128 420170677 /nfs/dbraw/zinc/17/06/77/420170677.db2.gz AZCWOCFVRGXCJI-UHFFFAOYSA-N -1 1 319.405 1.940 20 0 DDADMM COc1ccc(CNC(=O)c2cc(F)ccc2[O-])c(OC)n1 ZINC000436610125 420343847 /nfs/dbraw/zinc/34/38/47/420343847.db2.gz JHUNKFRJUXSKLG-UHFFFAOYSA-N -1 1 306.293 1.874 20 0 DDADMM CN(C)C(=O)C1(CNC(=O)C(=O)c2ccc([O-])cc2)CCCC1 ZINC000436699913 420355431 /nfs/dbraw/zinc/35/54/31/420355431.db2.gz XEQIYHHASJIOFL-UHFFFAOYSA-N -1 1 318.373 1.340 20 0 DDADMM Cn1cccc1[C@H]1COCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000436709873 420358930 /nfs/dbraw/zinc/35/89/30/420358930.db2.gz XAYPBLQWNDNVOW-OAHLLOKOSA-N -1 1 314.341 1.514 20 0 DDADMM CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)c1ccc([O-])cc1F ZINC000436823175 420372034 /nfs/dbraw/zinc/37/20/34/420372034.db2.gz SMQFNPJSHNRNSY-HNNXBMFYSA-N -1 1 313.325 1.354 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(Br)ccc1O ZINC000436839234 420375214 /nfs/dbraw/zinc/37/52/14/420375214.db2.gz NRDIDUJJEDGHPI-UHFFFAOYSA-N -1 1 324.138 1.087 20 0 DDADMM CCc1cccnc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000436868273 420378846 /nfs/dbraw/zinc/37/88/46/420378846.db2.gz GTPRMIXJVFASOO-UHFFFAOYSA-N -1 1 321.358 1.249 20 0 DDADMM COC(=O)Cc1ccc(NC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000436885503 420380577 /nfs/dbraw/zinc/38/05/77/420380577.db2.gz JJCSGVSHZQJZCG-UHFFFAOYSA-N -1 1 313.309 1.929 20 0 DDADMM CC(C)CCn1ccc(=NC(=O)C(=O)c2ccc([O-])cc2)[nH]1 ZINC000436958401 420386402 /nfs/dbraw/zinc/38/64/02/420386402.db2.gz AKZDHBMCGQZVKJ-UHFFFAOYSA-N -1 1 301.346 1.878 20 0 DDADMM CCN1CCN(C(=O)c2ccc([O-])cc2F)C[C@@H]1c1ncc[nH]1 ZINC000437005798 420392514 /nfs/dbraw/zinc/39/25/14/420392514.db2.gz ZABWGDJSSDBTDO-CQSZACIVSA-N -1 1 318.352 1.773 20 0 DDADMM Cc1ccc(CS(=O)(=O)c2ncn[n-]2)cc1Br ZINC000445559743 230183949 /nfs/dbraw/zinc/18/39/49/230183949.db2.gz VLYOLEMZKVVJIF-UHFFFAOYSA-N -1 1 316.180 1.850 20 0 DDADMM COc1ccc2c(c1)C=C(C(=O)Nc1nc(SC)n[nH]1)CO2 ZINC000446123539 230233370 /nfs/dbraw/zinc/23/33/70/230233370.db2.gz UFIFQWBHCCNAAX-UHFFFAOYSA-N -1 1 318.358 1.950 20 0 DDADMM CCn1ccc(C[N-]S(=O)(=O)c2c(F)cccc2F)n1 ZINC000416592688 420428094 /nfs/dbraw/zinc/42/80/94/420428094.db2.gz VPQVJSIIKZJAEO-UHFFFAOYSA-N -1 1 301.318 1.660 20 0 DDADMM COc1cc(CN(C)C(=O)C(=O)c2ccc([O-])cc2)ccc1O ZINC000438770962 420464605 /nfs/dbraw/zinc/46/46/05/420464605.db2.gz JGOKGTSGXVBNFO-UHFFFAOYSA-N -1 1 315.325 1.948 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)c2cccc(C)c2)co1 ZINC000457006150 420586053 /nfs/dbraw/zinc/58/60/53/420586053.db2.gz CXHRDMIEGQMQKL-LLVKDONJSA-N -1 1 322.386 1.987 20 0 DDADMM CC[C@@H](NC(=O)c1c([O-])cnc2ccc(Cl)cc21)C(=O)NC ZINC000457039305 420594452 /nfs/dbraw/zinc/59/44/52/420594452.db2.gz FCIGNKUDYCINRJ-SNVBAGLBSA-N -1 1 321.764 1.848 20 0 DDADMM O=C(C=Cc1ccccc1OC(F)F)N=c1ccc([O-])n[nH]1 ZINC000492682883 420627530 /nfs/dbraw/zinc/62/75/30/420627530.db2.gz QILNLRAHVMLDCO-ALCCZGGFSA-N -1 1 307.256 1.857 20 0 DDADMM COc1cc(C(=O)NCc2cc(C(N)=O)co2)cc(Cl)c1[O-] ZINC000442811993 420720564 /nfs/dbraw/zinc/72/05/64/420720564.db2.gz PZSQNGURRZXHGI-UHFFFAOYSA-N -1 1 324.720 1.676 20 0 DDADMM CC(=O)Nc1ccc(CNC(=O)c2ccc([O-])cc2F)cn1 ZINC000447619408 420787402 /nfs/dbraw/zinc/78/74/02/420787402.db2.gz GSZIAVMEXARULC-UHFFFAOYSA-N -1 1 303.293 1.815 20 0 DDADMM COC(=O)N1CCC(CN=c2nc([C@@H](C)OC)[n-]s2)CC1 ZINC000495700649 421050678 /nfs/dbraw/zinc/05/06/78/421050678.db2.gz PXUCYRDVANYUGH-SECBINFHSA-N -1 1 314.411 1.558 20 0 DDADMM CCn1nnc(C)c1C(=O)Nc1nc(-c2ccc(C)o2)n[nH]1 ZINC000450138782 421150464 /nfs/dbraw/zinc/15/04/64/421150464.db2.gz DNFFQUJMUIOENE-UHFFFAOYSA-N -1 1 301.310 1.545 20 0 DDADMM Cc1noc(C)c1CO[C@H]1CCN(C(=O)c2cncc([O-])c2)C1 ZINC000545162213 421238823 /nfs/dbraw/zinc/23/88/23/421238823.db2.gz FOZGLIMSIHQLHW-AWEZNQCLSA-N -1 1 317.345 1.823 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H](C)OCC(C)C ZINC000523689163 421246034 /nfs/dbraw/zinc/24/60/34/421246034.db2.gz IGDSBLVRJGWATD-GFCCVEGCSA-N -1 1 320.393 1.729 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccc(F)c(F)c1 ZINC000560347529 421250978 /nfs/dbraw/zinc/25/09/78/421250978.db2.gz QMYXKAJUOCIFLO-KOLCDFICSA-N -1 1 321.331 1.988 20 0 DDADMM O=C(N=c1nc(C2CCC2)[nH][n-]1)c1ccn(Cc2ccccc2)n1 ZINC000547488593 421337801 /nfs/dbraw/zinc/33/78/01/421337801.db2.gz ZMNZAADABIMJIN-UHFFFAOYSA-N -1 1 322.372 1.991 20 0 DDADMM CSCc1cccnc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000515718708 421515352 /nfs/dbraw/zinc/51/53/52/421515352.db2.gz MZEAYKXJBUXHMM-JTQLQIEISA-N -1 1 318.406 1.478 20 0 DDADMM Cc1oc2nc(CN3CC[C@H](C)[C@H](C(=O)[O-])C3)nc(N)c2c1C ZINC000563126865 421459977 /nfs/dbraw/zinc/45/99/77/421459977.db2.gz UUZBTCQCEFRHEB-GZMMTYOYSA-N -1 1 318.377 1.964 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)no1 ZINC000517288084 421608001 /nfs/dbraw/zinc/60/80/01/421608001.db2.gz ZDSSJCSLIKHOFE-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM CCCCn1cc(C(=O)N=c2[nH][n-]c(C)c2C(=O)NC)c(C)n1 ZINC000554537077 421648146 /nfs/dbraw/zinc/64/81/46/421648146.db2.gz IPCZTAHPYUTBNH-UHFFFAOYSA-N -1 1 318.381 1.057 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CCCO1)C1CCCCC1 ZINC000532298556 421654696 /nfs/dbraw/zinc/65/46/96/421654696.db2.gz GLOBHXRAFNVLCP-OLZOCXBDSA-N -1 1 319.423 1.207 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cnc(-c2cccnc2)s1 ZINC000518459730 421654847 /nfs/dbraw/zinc/65/48/47/421654847.db2.gz NWSZSWCZJQXXKC-UHFFFAOYSA-N -1 1 313.346 1.137 20 0 DDADMM CCO[C@@H]1C[C@@H]1NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000571129614 421690644 /nfs/dbraw/zinc/69/06/44/421690644.db2.gz UQKIWLGOSIPHQJ-QWHCGFSZSA-N -1 1 318.377 1.278 20 0 DDADMM Cc1nc(C2CC2)ccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000571612432 421729858 /nfs/dbraw/zinc/72/98/58/421729858.db2.gz FIILBCVTSUIYPA-GFCCVEGCSA-N -1 1 312.377 1.800 20 0 DDADMM Cc1noc(C(C)(C)[N-]S(=O)(=O)c2nc(C)c(C)s2)n1 ZINC000556354523 421709967 /nfs/dbraw/zinc/70/99/67/421709967.db2.gz ITYVKUKUGOXMKT-UHFFFAOYSA-N -1 1 316.408 1.665 20 0 DDADMM O=C(Nc1nc(SCCO)n[nH]1)c1ccc2c(c1)CCO2 ZINC000536545171 421720071 /nfs/dbraw/zinc/72/00/71/421720071.db2.gz NMNYGKWRYOKOSG-UHFFFAOYSA-N -1 1 306.347 1.076 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2nc(C)c(C)s2)o1 ZINC000533253012 421670540 /nfs/dbraw/zinc/67/05/40/421670540.db2.gz KWOXXQMSKWYZKX-LURJTMIESA-N -1 1 302.381 1.491 20 0 DDADMM O=C(NCCOCC1CCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000555238936 421672073 /nfs/dbraw/zinc/67/20/73/421672073.db2.gz HUVULFNFUVJGKI-UHFFFAOYSA-N -1 1 301.346 1.470 20 0 DDADMM O=C(CSCc1ccncc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000533572717 421679807 /nfs/dbraw/zinc/67/98/07/421679807.db2.gz KULBIGGAOHJHRN-GFCCVEGCSA-N -1 1 318.406 1.234 20 0 DDADMM CN(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H]1CC[N@@H+](C2CC2)C1 ZINC000520384473 421748438 /nfs/dbraw/zinc/74/84/38/421748438.db2.gz SQVAVYDOJYXTHV-GFCCVEGCSA-N -1 1 312.373 1.232 20 0 DDADMM C[C@H](CN(C)C(=O)C1CCC(OC(F)F)CC1)c1nn[n-]n1 ZINC000556977903 421754362 /nfs/dbraw/zinc/75/43/62/421754362.db2.gz JRWNIKGLKDKHNA-XNWIYYODSA-N -1 1 317.340 1.560 20 0 DDADMM Cc1cccc2c1O[C@H](C(=O)NC1(c3nn[n-]n3)CCCC1)C2 ZINC000572233617 421770721 /nfs/dbraw/zinc/77/07/21/421770721.db2.gz XWYQWFKWXUQUFM-LBPRGKRZSA-N -1 1 313.361 1.397 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC(N2CN=NC2=O)CC1 ZINC000572444633 421788066 /nfs/dbraw/zinc/78/80/66/421788066.db2.gz MHZPSQGIDNWKPH-UHFFFAOYSA-N -1 1 322.752 1.820 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@H]1CCCO[C@@H]1C(C)C ZINC000572527881 421797626 /nfs/dbraw/zinc/79/76/26/421797626.db2.gz ARVZEZFBMVCBMW-GHMZBOCLSA-N -1 1 317.411 1.125 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCCO[C@@H]1C(C)C ZINC000572527881 421797629 /nfs/dbraw/zinc/79/76/29/421797629.db2.gz ARVZEZFBMVCBMW-GHMZBOCLSA-N -1 1 317.411 1.125 20 0 DDADMM O=C(COC[C@@H]1CCCO1)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000521791056 421799194 /nfs/dbraw/zinc/79/91/94/421799194.db2.gz YTNLDYYMGLXGBT-JTQLQIEISA-N -1 1 307.375 1.694 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NCCc1ccc(C(=O)[O-])cc1 ZINC000630098076 421886959 /nfs/dbraw/zinc/88/69/59/421886959.db2.gz PHJQJHFTRGEMBF-LLVKDONJSA-N -1 1 301.346 1.645 20 0 DDADMM O=C(N=c1cc(-c2cccc(F)c2F)[n-][nH]1)[C@@H]1CCCNC1=O ZINC000633424190 421894646 /nfs/dbraw/zinc/89/46/46/421894646.db2.gz YFZGSYZWFPTXBA-SECBINFHSA-N -1 1 320.299 1.242 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H](CO)[C@H]1CCCOC1 ZINC000633427256 421896244 /nfs/dbraw/zinc/89/62/44/421896244.db2.gz KFWDRBHMKOSWEY-WCQYABFASA-N -1 1 313.781 1.492 20 0 DDADMM COc1ccc(CN(C)C(=O)CCc2nn[n-]n2)cc1Cl ZINC000633431012 421898202 /nfs/dbraw/zinc/89/82/02/421898202.db2.gz ZFFCQKVXFJCWER-UHFFFAOYSA-N -1 1 309.757 1.453 20 0 DDADMM COc1cc(F)cc(C[C@H](C)NC(=O)CCc2nn[n-]n2)c1 ZINC000633369180 421867219 /nfs/dbraw/zinc/86/72/19/421867219.db2.gz XZVNDUZLKWUMTK-VIFPVBQESA-N -1 1 307.329 1.027 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)C3(S(C)(=O)=O)CCC3)n2)o1 ZINC000581297061 421942911 /nfs/dbraw/zinc/94/29/11/421942911.db2.gz ZSUPQTQVAYNYIH-UHFFFAOYSA-N -1 1 324.362 1.279 20 0 DDADMM CN(C)c1ccncc1C(=O)N1CCSC[C@@H](C(=O)[O-])C1 ZINC000630219219 421970791 /nfs/dbraw/zinc/97/07/91/421970791.db2.gz WPIMFSPNQYOJMQ-JTQLQIEISA-N -1 1 309.391 1.037 20 0 DDADMM CCO[C@@H](C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)C(C)C ZINC000630221267 421970895 /nfs/dbraw/zinc/97/08/95/421970895.db2.gz WTQCTWKWPQKFHG-GXTWGEPZSA-N -1 1 300.399 1.055 20 0 DDADMM COc1cccc(C2(NC(=O)CCc3nn[n-]n3)CCC2)c1 ZINC000633647968 422024501 /nfs/dbraw/zinc/02/45/01/422024501.db2.gz GUFBCCKQMGFSAD-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM C[C@@H]1C[C@H](NS(=O)(=O)c2cccc(Cl)c2[O-])CCN1C ZINC000632030212 422036798 /nfs/dbraw/zinc/03/67/98/422036798.db2.gz PJECMLCHNSIBFL-NXEZZACHSA-N -1 1 318.826 1.807 20 0 DDADMM COCCOC[C@@H](C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632033259 422037755 /nfs/dbraw/zinc/03/77/55/422037755.db2.gz MVNXAYHOUDMIFV-MRVPVSSYSA-N -1 1 313.322 1.547 20 0 DDADMM CCc1ccc(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1 ZINC000630226544 421976895 /nfs/dbraw/zinc/97/68/95/421976895.db2.gz WTSDWQMQJPTHJG-AWEZNQCLSA-N -1 1 318.417 1.799 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2cc(C3CC3)no2)CC1 ZINC000630227616 421978456 /nfs/dbraw/zinc/97/84/56/421978456.db2.gz MYUYMKMPBZUXDP-UHFFFAOYSA-N -1 1 307.350 1.173 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@H](Cn2ccnn2)C1 ZINC000633610451 421999566 /nfs/dbraw/zinc/99/95/66/421999566.db2.gz XWILOKJTQRWJFB-LBPRGKRZSA-N -1 1 320.780 1.728 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCS[C@@H](C)[C@H]2C)c1 ZINC000631989482 422004621 /nfs/dbraw/zinc/00/46/21/422004621.db2.gz UQKOUSANVQZBCX-ZJUUUORDSA-N -1 1 317.432 1.915 20 0 DDADMM O[C@@H](CN=c1nc(C(F)(F)F)[n-]s1)COc1ccccc1 ZINC000581624643 422016447 /nfs/dbraw/zinc/01/64/47/422016447.db2.gz SEQDUIDFEQNLJM-QMMMGPOBSA-N -1 1 319.308 1.831 20 0 DDADMM C[C@@H](Cc1cncc2ccccc21)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000581903158 422066842 /nfs/dbraw/zinc/06/68/42/422066842.db2.gz OHFAFZWPTBBQIF-NSHDSACASA-N -1 1 322.372 1.732 20 0 DDADMM CNC(=O)c1sc2[n-]c([C@@H]3CC[C@H](C)O3)nc(=O)c2c1C ZINC000630384696 422071067 /nfs/dbraw/zinc/07/10/67/422071067.db2.gz DCNRAIMXQMTQJT-XPUUQOCRSA-N -1 1 307.375 1.893 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C12CC(c3ccccc3)(C1)C2 ZINC000633691619 422051930 /nfs/dbraw/zinc/05/19/30/422051930.db2.gz IMPUWDCWVSAXNS-UHFFFAOYSA-N -1 1 324.384 1.560 20 0 DDADMM CC[C@H](OC1CCCCC1)C(=O)N=c1[nH][n-]c(C)c1C(=O)NC ZINC000633691297 422052722 /nfs/dbraw/zinc/05/27/22/422052722.db2.gz IDOOKPDIZUICKF-LBPRGKRZSA-N -1 1 322.409 1.566 20 0 DDADMM CC(=O)N1CCC[C@@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000633692734 422053505 /nfs/dbraw/zinc/05/35/05/422053505.db2.gz WALINFFGLWXMMB-CQSZACIVSA-N -1 1 314.345 1.527 20 0 DDADMM CC[C@@]1(C)COCCN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632174510 422137844 /nfs/dbraw/zinc/13/78/44/422137844.db2.gz AQUULZUPAMLLIY-AWEZNQCLSA-N -1 1 315.391 1.590 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1(c2cccc(F)c2)CC1 ZINC000635594944 422148462 /nfs/dbraw/zinc/14/84/62/422148462.db2.gz PDXVSWOOTHTPQC-UHFFFAOYSA-N -1 1 303.341 1.510 20 0 DDADMM COCCC(C)(C)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632210394 422166946 /nfs/dbraw/zinc/16/69/46/422166946.db2.gz DVBQNMAOQUFYRV-UHFFFAOYSA-N -1 1 317.407 1.742 20 0 DDADMM CN(Cc1nc2ccccc2s1)C(=O)CCc1nn[n-]n1 ZINC000630550884 422173996 /nfs/dbraw/zinc/17/39/96/422173996.db2.gz WNPUFDPIIXPMET-UHFFFAOYSA-N -1 1 302.363 1.401 20 0 DDADMM Cc1nnc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)s1 ZINC000583545123 422181620 /nfs/dbraw/zinc/18/16/20/422181620.db2.gz QDGLPIVSMSMEKK-UHFFFAOYSA-N -1 1 321.387 1.606 20 0 DDADMM Cc1nnc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)s1 ZINC000583545123 422181623 /nfs/dbraw/zinc/18/16/23/422181623.db2.gz QDGLPIVSMSMEKK-UHFFFAOYSA-N -1 1 321.387 1.606 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](n2cncn2)C(F)(F)F)c([O-])c1 ZINC000633983695 422199107 /nfs/dbraw/zinc/19/91/07/422199107.db2.gz IAPPIQOJIMFFBY-VIFPVBQESA-N -1 1 315.255 1.221 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@H]1C[C@@H]1c1ccccc1Cl ZINC000574903290 422209492 /nfs/dbraw/zinc/20/94/92/422209492.db2.gz JMTGZUJIWKNFBX-BDAKNGLRSA-N -1 1 319.752 1.560 20 0 DDADMM CN(CC12CC3CC(CC(C3)C1)C2)C(=O)CCc1nn[n-]n1 ZINC000630613737 422224237 /nfs/dbraw/zinc/22/42/37/422224237.db2.gz QZJSLQLWQQFXMR-UHFFFAOYSA-N -1 1 303.410 1.807 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)C1CSC1 ZINC000632291707 422226792 /nfs/dbraw/zinc/22/67/92/422226792.db2.gz CEOBJVADYMXMQQ-UHFFFAOYSA-N -1 1 304.368 1.499 20 0 DDADMM CCC[C@H](NC(=O)c1noc2c1C[C@@H](C)CC2)c1nn[n-]n1 ZINC000574828764 422191603 /nfs/dbraw/zinc/19/16/03/422191603.db2.gz ZMFRTYFRBSNIMF-WPRPVWTQSA-N -1 1 304.354 1.584 20 0 DDADMM Cc1ccc2[nH]c3c(c2c1)CN(C(=O)CCc1nn[n-]n1)CC3 ZINC000630705505 422281431 /nfs/dbraw/zinc/28/14/31/422281431.db2.gz VBEHFDPEYZRTRI-UHFFFAOYSA-N -1 1 310.361 1.507 20 0 DDADMM O=C(N1CCC[C@H](c2nn[n-]n2)C1)C1(Nc2ccccc2)CC1 ZINC000635724687 422282449 /nfs/dbraw/zinc/28/24/49/422282449.db2.gz IARBWSYZEVMSLX-LBPRGKRZSA-N -1 1 312.377 1.550 20 0 DDADMM CC[N@H+]1C[C@@H](C)N(C(=O)c2cc(=O)[nH]c(C3CC3)n2)C[C@@H]1C ZINC000584240841 422293157 /nfs/dbraw/zinc/29/31/57/422293157.db2.gz SZVAXIFQNCKFKY-WDEREUQCSA-N -1 1 304.394 1.614 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)CCCCO)c1 ZINC000632391589 422300865 /nfs/dbraw/zinc/30/08/65/422300865.db2.gz FDGWFHRAUKEBTB-JTQLQIEISA-N -1 1 303.380 1.230 20 0 DDADMM Cc1nocc1C[N-]S(=O)(=O)c1cccnc1C(F)(F)F ZINC000632397712 422306448 /nfs/dbraw/zinc/30/64/48/422306448.db2.gz JIQWWFPZSJQWSM-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM CC1CC(n2nnc3c2CN(C(=O)c2ncccc2[O-])CC3)C1 ZINC000634228136 422336416 /nfs/dbraw/zinc/33/64/16/422336416.db2.gz YVBZJFQZJWZILN-UHFFFAOYSA-N -1 1 313.361 1.548 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2nn(C)cc2Cl)sn1 ZINC000632409748 422320846 /nfs/dbraw/zinc/32/08/46/422320846.db2.gz IUHSVWBGCIGTER-UHFFFAOYSA-N -1 1 306.800 1.317 20 0 DDADMM CCN1C[C@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1=O ZINC000632411972 422322264 /nfs/dbraw/zinc/32/22/64/422322264.db2.gz OUWXABBGALPDCY-SSDOTTSWSA-N -1 1 308.306 1.116 20 0 DDADMM CCC1(CC)[C@H](NC(=O)CCCc2nn[n-]n2)[C@@H](C)[C@H]1OC ZINC000635764071 422323245 /nfs/dbraw/zinc/32/32/45/422323245.db2.gz ZQTVYZJLTHZIEX-LERXQTSPSA-N -1 1 309.414 1.478 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@H](c1ccccc1)C(F)(F)F ZINC000632561339 422437791 /nfs/dbraw/zinc/43/77/91/422437791.db2.gz NLYFDZNTSVLPTD-SNVBAGLBSA-N -1 1 313.283 1.595 20 0 DDADMM COC[C@@H](Cc1ccccc1)N(C)C(=O)CCCc1nn[n-]n1 ZINC000635857401 422413212 /nfs/dbraw/zinc/41/32/12/422413212.db2.gz XUVBKSOWGXVODI-CQSZACIVSA-N -1 1 317.393 1.239 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCO[C@H]2CC2(F)F)c1 ZINC000632553452 422432097 /nfs/dbraw/zinc/43/20/97/422432097.db2.gz PJZDMSLJNVJIFU-NSHDSACASA-N -1 1 323.317 1.103 20 0 DDADMM Cc1[n-]n(-c2ccccc2S(=O)(=O)N(C)C)c(=O)c1C1CC1 ZINC000634613635 422505831 /nfs/dbraw/zinc/50/58/31/422505831.db2.gz CZVFVGXQWJNJIY-CQSZACIVSA-N -1 1 321.402 1.686 20 0 DDADMM C[C@H](NC(=O)CCCc1nn[n-]n1)[C@@H]1OCCc2sccc21 ZINC000635957147 422509250 /nfs/dbraw/zinc/50/92/50/422509250.db2.gz GABUVBAZAGSUQS-XPTSAGLGSA-N -1 1 321.406 1.403 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CCC[C@@H]2O)c(F)c1 ZINC000632701343 422528678 /nfs/dbraw/zinc/52/86/78/422528678.db2.gz CRITWQDJPNEEGV-QWRGUYRKSA-N -1 1 307.318 1.165 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cncc(N2CCCC2=O)c1 ZINC000627511212 422580332 /nfs/dbraw/zinc/58/03/32/422580332.db2.gz RMPIMSYEGBRROL-UHFFFAOYSA-N -1 1 301.306 1.198 20 0 DDADMM O=S(=O)([N-]CCOCC(F)F)c1nc2ccccc2s1 ZINC000578503203 422582549 /nfs/dbraw/zinc/58/25/49/422582549.db2.gz MCTJRVKGUNDRCX-UHFFFAOYSA-N -1 1 322.358 1.856 20 0 DDADMM COC[C@H](NC(=O)Cc1ccc([O-])c(Cl)c1)[C@@H]1CCCO1 ZINC000632799355 422587201 /nfs/dbraw/zinc/58/72/01/422587201.db2.gz TUQMWUDYFYVJKU-JSGCOSHPSA-N -1 1 313.781 1.898 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(C)c1)c1ccc(F)cc1 ZINC000629291327 422587389 /nfs/dbraw/zinc/58/73/89/422587389.db2.gz PVNRUFWDIQONLO-ZDUSSCGKSA-N -1 1 313.354 1.689 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H](CC(F)(F)F)C1 ZINC000636063778 422611347 /nfs/dbraw/zinc/61/13/47/422611347.db2.gz ZZSADWPTCYHCIX-SECBINFHSA-N -1 1 305.304 1.713 20 0 DDADMM O=C(c1ncc2n1CCCC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000632843948 422621236 /nfs/dbraw/zinc/62/12/36/422621236.db2.gz YXZCFGCQCWHMLL-JTQLQIEISA-N -1 1 317.349 1.328 20 0 DDADMM CC1(C)[C@H](C(=O)[O-])[C@@H]1C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000634846574 422682384 /nfs/dbraw/zinc/68/23/84/422682384.db2.gz LYWYSJIRZFOGPH-KFWWJZLASA-N -1 1 316.401 1.734 20 0 DDADMM CCc1nc(NC(=O)c2cc(=O)n(-c3ccc(C)cc3)[n-]2)n[nH]1 ZINC000182291529 263374232 /nfs/dbraw/zinc/37/42/32/263374232.db2.gz SUUMKUREBAWJSL-UHFFFAOYSA-N -1 1 312.333 1.407 20 0 DDADMM CCc1n[nH]c(NC(=O)c2cc(=O)n(-c3ccc(C)cc3)[n-]2)n1 ZINC000182291529 263374233 /nfs/dbraw/zinc/37/42/33/263374233.db2.gz SUUMKUREBAWJSL-UHFFFAOYSA-N -1 1 312.333 1.407 20 0 DDADMM CCn1cnnc1CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000648150666 423239798 /nfs/dbraw/zinc/23/97/98/423239798.db2.gz XDRHYFKBMSEHFM-UHFFFAOYSA-N -1 1 314.267 1.952 20 0 DDADMM C[N@@H+](CC(=O)[O-])[C@@H]1CCCN(c2ccnc(C(=O)[O-])c2)CC1 ZINC000650773040 423255982 /nfs/dbraw/zinc/25/59/82/423255982.db2.gz MBXACSVCXBFUOK-LLVKDONJSA-N -1 1 307.350 1.155 20 0 DDADMM O=C(Nc1n[nH]c2ncnn12)c1ccc(Br)cc1[O-] ZINC000646265253 423425484 /nfs/dbraw/zinc/42/54/84/423425484.db2.gz PKQIGRBYUMZOID-UHFFFAOYSA-N -1 1 323.110 1.173 20 0 DDADMM Cn1nc(C(=O)N=c2nc(C(F)(F)F)[n-][nH]2)c2c1CCCC2 ZINC000648530706 423384038 /nfs/dbraw/zinc/38/40/38/423384038.db2.gz AMZZFLDWQJPAHC-UHFFFAOYSA-N -1 1 314.271 1.110 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000653307178 423434169 /nfs/dbraw/zinc/43/41/69/423434169.db2.gz DZJYLQCUXSIOAB-STQMWFEESA-N -1 1 314.426 1.493 20 0 DDADMM CC(=O)N[C@@H](CC(=O)Nc1c(C)[n-][nH]c1=O)c1ccccc1 ZINC000646413206 423479332 /nfs/dbraw/zinc/47/93/32/423479332.db2.gz VAVQMWMMPQLALX-LBPRGKRZSA-N -1 1 302.334 1.630 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H](C)CC(F)F)co1 ZINC000648962059 423566143 /nfs/dbraw/zinc/56/61/43/423566143.db2.gz QKBDLIVLVUJSSM-ZETCQYMHSA-N -1 1 310.322 1.209 20 0 DDADMM CCc1nc(SCC2CN(S(C)(=O)=O)C2)[n-]c(=O)c1C ZINC000641487396 423666718 /nfs/dbraw/zinc/66/67/18/423666718.db2.gz QDUABCPIHPEDQZ-UHFFFAOYSA-N -1 1 317.436 1.037 20 0 DDADMM C[C@@H]1CCC[C@H](C)N1C(=O)CN1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639824538 423763359 /nfs/dbraw/zinc/76/33/59/423763359.db2.gz ISSZCBBXLHJHPQ-XQQFMLRXSA-N -1 1 321.425 1.451 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639826594 423761965 /nfs/dbraw/zinc/76/19/65/423761965.db2.gz ULORMFYODSJCBQ-CHWSQXEVSA-N -1 1 321.425 1.453 20 0 DDADMM C[C@@H](NC(=O)[C@@H](N)c1ccccc1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000656856027 423798689 /nfs/dbraw/zinc/79/86/89/423798689.db2.gz XIZCLHLQEBJUPV-CABNGKKXSA-N -1 1 304.390 1.938 20 0 DDADMM C[C@@H](CO)[C@H](C)[N-]S(=O)(=O)c1ncccc1Br ZINC000656913552 423868489 /nfs/dbraw/zinc/86/84/89/423868489.db2.gz GRGGLBLSSLHKQP-YUMQZZPRSA-N -1 1 323.212 1.139 20 0 DDADMM CC(C)(C(=O)[O-])[C@H]1CCCN(c2nc3[nH]ncc3c(=O)[nH]2)C1 ZINC000649405744 423868500 /nfs/dbraw/zinc/86/85/00/423868500.db2.gz KZUIXPQRLFWXMP-QMMMGPOBSA-N -1 1 305.338 1.386 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1cc(F)cc(F)c1O ZINC000641693417 423874096 /nfs/dbraw/zinc/87/40/96/423874096.db2.gz YNQJAQWQOTUNGV-UHFFFAOYSA-N -1 1 307.318 1.839 20 0 DDADMM CN1CCN(c2cncc(C(=O)[O-])n2)C[C@@H]1Cc1ccccc1 ZINC000649391951 423843887 /nfs/dbraw/zinc/84/38/87/423843887.db2.gz WGTDZOCVFRCYFY-AWEZNQCLSA-N -1 1 312.373 1.538 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000647237484 423898875 /nfs/dbraw/zinc/89/88/75/423898875.db2.gz VGPHKJFXKVECDV-GFCCVEGCSA-N -1 1 320.324 1.092 20 0 DDADMM CC(C)c1nc([C@H](C)NS(=O)(=O)c2ccccc2[O-])n[nH]1 ZINC000656946894 423912050 /nfs/dbraw/zinc/91/20/50/423912050.db2.gz OXZLXNYBLQZRBR-VIFPVBQESA-N -1 1 310.379 1.673 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1CC[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000647368890 424000970 /nfs/dbraw/zinc/00/09/70/424000970.db2.gz RGWUNYQRXJYDQJ-STQMWFEESA-N -1 1 320.389 1.985 20 0 DDADMM COC1(CC[N-]S(=O)(=O)c2nc(C)c(C)s2)CCC1 ZINC000645089635 424152489 /nfs/dbraw/zinc/15/24/89/424152489.db2.gz IXELOUHGTWYKAI-UHFFFAOYSA-N -1 1 304.437 1.997 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H](CO)CC2CC2)sc1C ZINC000657182170 424193363 /nfs/dbraw/zinc/19/33/63/424193363.db2.gz NDAMJEGHJYPZBD-NSHDSACASA-N -1 1 304.437 1.447 20 0 DDADMM O=C([O-])COc1ccc(C(=O)N[C@@H]2CCCc3cn[nH]c32)cc1 ZINC000659758582 424222831 /nfs/dbraw/zinc/22/28/31/424222831.db2.gz UJLFYBPMJBQPAM-CYBMUJFWSA-N -1 1 315.329 1.681 20 0 DDADMM Cc1nc(SCc2coc(S(N)(=O)=O)c2)[n-]c(=O)c1C ZINC000657254782 424247002 /nfs/dbraw/zinc/24/70/02/424247002.db2.gz IDYCIIBWFYFTLJ-UHFFFAOYSA-N -1 1 315.376 1.332 20 0 DDADMM Cc1nc(SCCc2nnn(C(C)(C)C)n2)[n-]c(=O)c1C ZINC000657255411 424248907 /nfs/dbraw/zinc/24/89/07/424248907.db2.gz NZEBWZZGWFVKCD-UHFFFAOYSA-N -1 1 308.411 1.875 20 0 DDADMM COc1cc(OC)cc(-c2noc([N-]C3COCCOC3)n2)c1 ZINC000663932911 424285179 /nfs/dbraw/zinc/28/51/79/424285179.db2.gz SFDQSIOATZWQEB-UHFFFAOYSA-N -1 1 321.333 1.581 20 0 DDADMM COCC(C)(C)CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000663968377 424308714 /nfs/dbraw/zinc/30/87/14/424308714.db2.gz BPEKSRMAQJYRLF-ZDUSSCGKSA-N -1 1 303.366 1.468 20 0 DDADMM O=C([O-])C(=O)N1CCN(CC[C@H]2CCc3ccccc32)CC1 ZINC000662213621 424479841 /nfs/dbraw/zinc/47/98/41/424479841.db2.gz FIGPNGOIIGKZLV-CQSZACIVSA-N -1 1 302.374 1.335 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C2([C@H](C)O)CC2)c(F)c1 ZINC000660048954 424487005 /nfs/dbraw/zinc/48/70/05/424487005.db2.gz PXFJPBPNSLXAAI-ZETCQYMHSA-N -1 1 307.318 1.165 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(CC(=O)Nc1cccc(Cl)c1)C2 ZINC000662220684 424489520 /nfs/dbraw/zinc/48/95/20/424489520.db2.gz RHPVGBWTLGHAHA-MEBBXXQBSA-N -1 1 324.764 1.312 20 0 DDADMM CCN([C@@H](C)c1cccc(OC)c1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662204062 424469108 /nfs/dbraw/zinc/46/91/08/424469108.db2.gz SXUZXTHDGBVXHI-SWLSCSKDSA-N -1 1 320.389 1.764 20 0 DDADMM Cc1ccc(CN(CC2CC2)[C@@H]2CCN(CC(=O)[O-])C2=O)cn1 ZINC000662213127 424479643 /nfs/dbraw/zinc/47/96/43/424479643.db2.gz SQBUPBTYFAETSJ-OAHLLOKOSA-N -1 1 317.389 1.288 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cc(F)cc1F)c1nccn1C ZINC000660103834 424529248 /nfs/dbraw/zinc/52/92/48/424529248.db2.gz ILCYFJTZAXCASL-ZETCQYMHSA-N -1 1 319.308 1.877 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc([C@@H](CC)OCC)no1 ZINC000665038646 424734000 /nfs/dbraw/zinc/73/40/00/424734000.db2.gz JYVRZAVOSUEWNW-SNVBAGLBSA-N -1 1 321.399 1.013 20 0 DDADMM O=C([O-])CCCN1CCN(C(=O)Cc2cccc(Cl)c2)CC1 ZINC000342171400 271328578 /nfs/dbraw/zinc/32/85/78/271328578.db2.gz RERLNXBOXUDWTM-UHFFFAOYSA-N -1 1 324.808 1.892 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@H](N3CCOCC3)C[C@@H]2C)c([O-])c1 ZINC000342245653 271352847 /nfs/dbraw/zinc/35/28/47/271352847.db2.gz JYLAFMKDXBIASM-STQMWFEESA-N -1 1 305.378 1.031 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(Br)c([O-])c2)C[C@@H]1O ZINC000345146279 272181821 /nfs/dbraw/zinc/18/18/21/272181821.db2.gz PFSDEDIDDMZOSY-PELKAZGASA-N -1 1 314.179 1.998 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cncnc2C(C)C)n1 ZINC000415630517 276301709 /nfs/dbraw/zinc/30/17/09/276301709.db2.gz CRJYEFBSBRZLSD-UHFFFAOYSA-N -1 1 303.322 1.752 20 0 DDADMM CC[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@H]1CCCO1 ZINC000128731708 281320400 /nfs/dbraw/zinc/32/04/00/281320400.db2.gz RXKXAWWTGKJYGD-DGCLKSJQSA-N -1 1 301.346 1.611 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc2c1CCCN2)c1nn[n-]n1 ZINC000130060963 281366538 /nfs/dbraw/zinc/36/65/38/281366538.db2.gz MVMHLKYKPHGFAD-CYBMUJFWSA-N -1 1 300.366 1.829 20 0 DDADMM C[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000172408612 288405792 /nfs/dbraw/zinc/40/57/92/288405792.db2.gz OUZSGZWDAYHWHF-MRVPVSSYSA-N -1 1 303.767 1.305 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ncc(C)cc2[O-])[C@]12CCCO2 ZINC000333027337 298314270 /nfs/dbraw/zinc/31/42/70/298314270.db2.gz KELGFZFEWDLDOF-XJKCOSOUSA-N -1 1 306.362 1.552 20 0 DDADMM CCC(C)(C)[C@@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353982197 298329125 /nfs/dbraw/zinc/32/91/25/298329125.db2.gz FGFWMLYKAFOUCB-NSHDSACASA-N -1 1 303.366 1.458 20 0 DDADMM O=C(Cc1ccc2c(c1)CCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000362419176 300059104 /nfs/dbraw/zinc/05/91/04/300059104.db2.gz RIVNHBOJCHBEJI-HNNXBMFYSA-N -1 1 311.389 1.637 20 0 DDADMM CC(C)(NC(=O)c1cnnc(-c2ccccc2)c1)c1nn[n-]n1 ZINC000362819377 300149296 /nfs/dbraw/zinc/14/92/96/300149296.db2.gz YKDJZKWCCBUHCD-UHFFFAOYSA-N -1 1 309.333 1.322 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000364391473 300388446 /nfs/dbraw/zinc/38/84/46/300388446.db2.gz CMVYVTOVDXWQQH-LLVKDONJSA-N -1 1 310.325 1.263 20 0 DDADMM O=C([N-]c1ncn(-c2ccccn2)n1)c1ccnc(F)c1F ZINC000366342046 300682706 /nfs/dbraw/zinc/68/27/06/300682706.db2.gz NCLFUHLHQLJNNO-UHFFFAOYSA-N -1 1 302.244 1.588 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@H]1OCCc2ccsc21)c1nn[n-]n1 ZINC000368525811 301048502 /nfs/dbraw/zinc/04/85/02/301048502.db2.gz QFDXRYCCDHHBEV-GXSJLCMTSA-N -1 1 321.406 1.527 20 0 DDADMM CC(C)c1n[n-]c(=NC[C@H]2CCC[C@@H]2N2CCOCC2)s1 ZINC000368560816 301052650 /nfs/dbraw/zinc/05/26/50/301052650.db2.gz GFKVVDGGISLWHA-OLZOCXBDSA-N -1 1 310.467 1.996 20 0 DDADMM C[C@H](CN(C)C(=O)C1C[C@H]2CCC[C@@H](C1)C2=O)c1nn[n-]n1 ZINC000376007640 302023222 /nfs/dbraw/zinc/02/32/22/302023222.db2.gz OJWXQWCUQFNHAC-YIBTVLSRSA-N -1 1 305.382 1.157 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@@](C)(c2ccccc2)C1 ZINC000377839829 302240806 /nfs/dbraw/zinc/24/08/06/302240806.db2.gz MUJSAHIQJQMLGF-OAHLLOKOSA-N -1 1 321.402 1.748 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCS[C@@H]2CCCC[C@H]21 ZINC000377857335 302243531 /nfs/dbraw/zinc/24/35/31/302243531.db2.gz RYEDOSXDYFFUOX-NXEZZACHSA-N -1 1 317.436 1.444 20 0 DDADMM CC(C)[C@]1(CO)CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000378017871 302264361 /nfs/dbraw/zinc/26/43/61/302264361.db2.gz SVVSMXZIRKTTBP-MRXNPFEDSA-N -1 1 318.377 1.155 20 0 DDADMM Cc1nc([C@H]2COCCN2C(=O)c2ccc(Cl)cc2[O-])no1 ZINC000378604696 302342442 /nfs/dbraw/zinc/34/24/42/302342442.db2.gz DFZBMIQYRRXBEP-LLVKDONJSA-N -1 1 323.736 1.951 20 0 DDADMM COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000495546602 302422559 /nfs/dbraw/zinc/42/25/59/302422559.db2.gz TYBSNRHDBRHKLP-MNOVXSKESA-N -1 1 307.350 1.384 20 0 DDADMM CC[C@H](C(=O)[N-]OC[C@H](C)NC(=O)OC(C)(C)C)[C@@H](C)O ZINC000496193644 302535519 /nfs/dbraw/zinc/53/55/19/302535519.db2.gz CPNZVFSUYNBAMI-AXFHLTTASA-N -1 1 304.387 1.354 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC2(COC(=O)N2)CC1 ZINC000496350468 302561293 /nfs/dbraw/zinc/56/12/93/302561293.db2.gz WRXWJHDPCBABAG-UHFFFAOYSA-N -1 1 312.272 1.385 20 0 DDADMM CCCCOC1CCN(S(=O)(=O)c2c(C)o[n-]c2=N)CC1 ZINC000518839730 302831067 /nfs/dbraw/zinc/83/10/67/302831067.db2.gz GFCWJTIZFCMHPO-UHFFFAOYSA-N -1 1 317.411 1.365 20 0 DDADMM CC(C)(CNC(=O)c1ccc([O-])c(F)c1)C(=O)N1CCCC1 ZINC000530085795 303174192 /nfs/dbraw/zinc/17/41/92/303174192.db2.gz YLIMBPXPUOXBCV-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM CC(C)(NC(=O)c1ccc2[nH]c(C(F)F)nc2c1)c1nn[n-]n1 ZINC000530859493 303217375 /nfs/dbraw/zinc/21/73/75/303217375.db2.gz VUCZZNNYRYURAE-UHFFFAOYSA-N -1 1 321.291 1.679 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1ncc[nH]1 ZINC000530861187 303217433 /nfs/dbraw/zinc/21/74/33/303217433.db2.gz OBSBFYSMXYQSND-UHFFFAOYSA-N -1 1 303.366 1.484 20 0 DDADMM CC(C)c1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)nn1C ZINC000531311924 303239278 /nfs/dbraw/zinc/23/92/78/303239278.db2.gz NTZPKZFVOGDJDX-UHFFFAOYSA-N -1 1 303.370 1.256 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@H]1CCOC1)c1cc2ccccc2o1 ZINC000532771460 303295747 /nfs/dbraw/zinc/29/57/47/303295747.db2.gz MXLNCILXGMWFKR-NWDGAFQWSA-N -1 1 311.359 1.109 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1OC ZINC000533113875 303309556 /nfs/dbraw/zinc/30/95/56/303309556.db2.gz XBXFELWKKUAVAF-LLVKDONJSA-N -1 1 317.349 1.237 20 0 DDADMM CC(C)(C)c1nc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cs1 ZINC000539324922 303394777 /nfs/dbraw/zinc/39/47/77/303394777.db2.gz IIVZGJILKRTVGK-SECBINFHSA-N -1 1 320.422 1.974 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2ccc(Br)o2)no1 ZINC000545384671 303494020 /nfs/dbraw/zinc/49/40/20/303494020.db2.gz KJSGXTBHXFIWKA-UHFFFAOYSA-N -1 1 321.152 1.817 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCO[C@@H](C3CC3)C2)sc1C ZINC000545909735 303503675 /nfs/dbraw/zinc/50/36/75/303503675.db2.gz VGERDQNMVVSEFY-NWDGAFQWSA-N -1 1 316.448 1.996 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)Cc1cc(C)no1)c1ccco1 ZINC000362583223 307021126 /nfs/dbraw/zinc/02/11/26/307021126.db2.gz HPCVKTAUUZKPAB-LLVKDONJSA-N -1 1 300.336 1.383 20 0 DDADMM Cc1cccc(CCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000363396158 307027083 /nfs/dbraw/zinc/02/70/83/307027083.db2.gz QGQJQQKPZLNWLM-CYBMUJFWSA-N -1 1 301.350 1.041 20 0 DDADMM CC(C)N1N=C(C(=O)Nc2nc(Cl)ccc2[O-])CCC1=O ZINC000363750536 307035074 /nfs/dbraw/zinc/03/50/74/307035074.db2.gz KRPUJYHOHIZHJX-UHFFFAOYSA-N -1 1 310.741 1.766 20 0 DDADMM Cc1nc(=NC[C@@H]2CCCN(Cc3cccnc3)C2)s[n-]1 ZINC000364828446 307052312 /nfs/dbraw/zinc/05/23/12/307052312.db2.gz DOUOYRAYQCCFEM-AWEZNQCLSA-N -1 1 303.435 1.988 20 0 DDADMM CN(C)[C@]1(C(=O)[N-]OC[C@@H]2CCOC2)CCc2ccccc21 ZINC000365079229 307057923 /nfs/dbraw/zinc/05/79/23/307057923.db2.gz UOQINCFHKOKOCX-CXAGYDPISA-N -1 1 304.390 1.474 20 0 DDADMM CS[C@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000369557863 307124181 /nfs/dbraw/zinc/12/41/81/307124181.db2.gz CVTSCFFOUMPJCW-RYUDHWBXSA-N -1 1 319.390 1.204 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1F ZINC000371296568 307146683 /nfs/dbraw/zinc/14/66/83/307146683.db2.gz AKSJLQCDWVDWOF-JTQLQIEISA-N -1 1 305.313 1.367 20 0 DDADMM CCNC(=O)c1ccc(=NCCCn2cnc3ccccc32)[n-]n1 ZINC000436189005 307305834 /nfs/dbraw/zinc/30/58/34/307305834.db2.gz PMMKBIVENZGMSB-UHFFFAOYSA-N -1 1 324.388 1.500 20 0 DDADMM CC(C)NC(=O)OC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000495829910 307319953 /nfs/dbraw/zinc/31/99/53/307319953.db2.gz ASLDIOOKMBNBQB-LBPRGKRZSA-N -1 1 321.377 1.917 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccncc1Cl)c1ncccc1[O-] ZINC000539933081 307684424 /nfs/dbraw/zinc/68/44/24/307684424.db2.gz BFONQVIIECHFNE-JTQLQIEISA-N -1 1 321.764 1.417 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2cncc(Cl)c2)s1 ZINC000540942453 307690102 /nfs/dbraw/zinc/69/01/02/307690102.db2.gz CGMZFPVROYPIHC-UHFFFAOYSA-N -1 1 304.784 1.373 20 0 DDADMM Cc1cnc(C(=O)N2CCC([C@H](O)C(F)(F)F)CC2)c([O-])c1 ZINC000548312277 307764400 /nfs/dbraw/zinc/76/44/00/307764400.db2.gz KVKWXENBDYGHDY-LBPRGKRZSA-N -1 1 318.295 1.871 20 0 DDADMM C[C@H](CC(N)=O)C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000555622234 307846216 /nfs/dbraw/zinc/84/62/16/307846216.db2.gz OAHXGRVGGRYQCL-SSDOTTSWSA-N -1 1 308.288 1.227 20 0 DDADMM CC(C)c1nnc(C[N-]S(=O)(=O)c2cscn2)s1 ZINC000564912275 308011371 /nfs/dbraw/zinc/01/13/71/308011371.db2.gz FPZJVDNVIZTLQI-UHFFFAOYSA-N -1 1 304.422 1.597 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2ccc(F)c(F)c2F)CC1 ZINC000565082959 308019175 /nfs/dbraw/zinc/01/91/75/308019175.db2.gz JKZUHCCWPQKVFD-UHFFFAOYSA-N -1 1 323.292 1.478 20 0 DDADMM CSCCN(C)[C@H](C)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000565105099 308020836 /nfs/dbraw/zinc/02/08/36/308020836.db2.gz NSRSGCIXPRIXFK-LLVKDONJSA-N -1 1 310.419 1.684 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3cncc([O-])c3)[C@@H]2C1 ZINC000565572729 308033713 /nfs/dbraw/zinc/03/37/13/308033713.db2.gz ZOTPQLFXEWHREF-GLQYFDAESA-N -1 1 303.362 1.164 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCOC[C@H]1C1CCC1 ZINC000566238505 308051050 /nfs/dbraw/zinc/05/10/50/308051050.db2.gz DPMAASVJZKGILX-AWEZNQCLSA-N -1 1 313.357 1.564 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCOC[C@@H]1C1CCC1 ZINC000566238516 308051086 /nfs/dbraw/zinc/05/10/86/308051086.db2.gz DPMAASVJZKGILX-CQSZACIVSA-N -1 1 313.357 1.564 20 0 DDADMM CC1=C(C)C[C@H](C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CC1 ZINC000567941557 308101197 /nfs/dbraw/zinc/10/11/97/308101197.db2.gz WGVHXVXXXTZLHK-GFCCVEGCSA-N -1 1 321.450 1.736 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CC2(CCOCC2)[C@H]1C1CC1 ZINC000576255156 308301386 /nfs/dbraw/zinc/30/13/86/308301386.db2.gz JZKSIIDBKWCJNX-OAHLLOKOSA-N -1 1 312.373 1.989 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000582346689 326015478 /nfs/dbraw/zinc/01/54/78/326015478.db2.gz WVDSIDQBPFMFMO-VIFPVBQESA-N -1 1 315.333 1.103 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)c1ccc(C)o1 ZINC000582663364 337117618 /nfs/dbraw/zinc/11/76/18/337117618.db2.gz OTUKFUMXUFXBGB-OCCSQVGLSA-N -1 1 317.407 1.620 20 0 DDADMM NC(=O)N1CC[C@@H](CNC(=O)c2ccc3ccccc3c2[O-])C1 ZINC000583105271 337238068 /nfs/dbraw/zinc/23/80/68/337238068.db2.gz SWCCRVGPBIBENG-NSHDSACASA-N -1 1 313.357 1.676 20 0 DDADMM COc1ccccc1NC(=O)CN1C[C@H](C(=O)[O-])CC[C@H]1C ZINC000397023966 337243332 /nfs/dbraw/zinc/24/33/32/337243332.db2.gz MJAUYGCRNGNLEQ-VXGBXAGGSA-N -1 1 306.362 1.819 20 0 DDADMM CCn1ccnc1NC(=O)c1cc(C)ccc1[N-]S(C)(=O)=O ZINC000414012087 484053290 /nfs/dbraw/zinc/05/32/90/484053290.db2.gz RAYQVSDMPVMUQO-UHFFFAOYSA-N -1 1 322.390 1.835 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cccn2C)ccc1OCCO ZINC000414379034 484059469 /nfs/dbraw/zinc/05/94/69/484059469.db2.gz FKDNQUGAOFGLMA-UHFFFAOYSA-N -1 1 310.375 1.505 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)n1cccn1 ZINC000425302907 484115723 /nfs/dbraw/zinc/11/57/23/484115723.db2.gz NSEAUXIYRPQYFV-GHMZBOCLSA-N -1 1 305.338 1.329 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1cc2oc(=O)[nH]c2cc1F ZINC000656633983 484252144 /nfs/dbraw/zinc/25/21/44/484252144.db2.gz LPFJSHJRDJRANL-UHFFFAOYSA-N -1 1 318.326 1.839 20 0 DDADMM Cn1cc(-c2nc(CN3CC4CC3(C(=O)[O-])C4)cs2)cn1 ZINC000656760890 484316860 /nfs/dbraw/zinc/31/68/60/484316860.db2.gz LGDMCZGRLRXRAV-UHFFFAOYSA-N -1 1 304.375 1.593 20 0 DDADMM CN1CCN(c2ccc(NC(=O)C3(C(=O)[O-])CCC3)cn2)CC1 ZINC000663036421 484615770 /nfs/dbraw/zinc/61/57/70/484615770.db2.gz ASRQZULRKRTJLV-UHFFFAOYSA-N -1 1 318.377 1.027 20 0 DDADMM O=C([O-])C1(C(=O)NCc2nc3ccc(F)cc3[nH]2)CC=CC1 ZINC000663038251 484616681 /nfs/dbraw/zinc/61/66/81/484616681.db2.gz GTHQSFWIGZYDNF-UHFFFAOYSA-N -1 1 303.293 1.739 20 0 DDADMM CO[C@](C)(C(=O)[O-])C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000663047284 484624977 /nfs/dbraw/zinc/62/49/77/484624977.db2.gz IPFIBDIJHWZQDK-ZDUSSCGKSA-N -1 1 313.260 1.929 20 0 DDADMM O=C([O-])C1(C(=O)NCCc2nnc(-c3ccccc3)[nH]2)CCC1 ZINC000663051203 484629532 /nfs/dbraw/zinc/62/95/32/484629532.db2.gz UTHITEICYCQZDI-UHFFFAOYSA-N -1 1 314.345 1.385 20 0 DDADMM O=C([O-])[C@@H](C(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1)C1CC1 ZINC000663090109 484656799 /nfs/dbraw/zinc/65/67/99/484656799.db2.gz QNEQZFSSLOIUQR-GFCCVEGCSA-N -1 1 318.308 1.338 20 0 DDADMM O=C([O-])[C@@H](C(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1)C1CC1 ZINC000663090109 484656804 /nfs/dbraw/zinc/65/68/04/484656804.db2.gz QNEQZFSSLOIUQR-GFCCVEGCSA-N -1 1 318.308 1.338 20 0 DDADMM CC(C)(C(=O)[O-])n1cc(NC(=O)[C@H]2CCCc3[nH]ncc32)cn1 ZINC000663128544 484690569 /nfs/dbraw/zinc/69/05/69/484690569.db2.gz LRBPWCUJOYDOCH-JTQLQIEISA-N -1 1 317.349 1.485 20 0 DDADMM O=C([O-])[C@@H]1CCCN1C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000663271605 484778079 /nfs/dbraw/zinc/77/80/79/484778079.db2.gz OYDZGTGUUJHDFZ-GJZGRUSLSA-N -1 1 317.389 1.520 20 0 DDADMM Cc1nc2n(n1)C[C@H](NC(=O)c1ncc3ccccc3c1[O-])CC2 ZINC000668008635 484903801 /nfs/dbraw/zinc/90/38/01/484903801.db2.gz AOWCERQZERXNLZ-GFCCVEGCSA-N -1 1 323.356 1.585 20 0 DDADMM CCC[C@H](O)[C@H](CO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000666044398 485059813 /nfs/dbraw/zinc/05/98/13/485059813.db2.gz NXRCFOPQQMSLKI-QWRGUYRKSA-N -1 1 321.295 1.663 20 0 DDADMM CCOC1CC(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000672770903 485346394 /nfs/dbraw/zinc/34/63/94/485346394.db2.gz RCVYAMAYBYGVQU-BPCQOVAHSA-N -1 1 301.350 1.220 20 0 DDADMM C[C@H](c1ccccc1)[C@@H](CO)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000673056764 485383540 /nfs/dbraw/zinc/38/35/40/485383540.db2.gz HLPUUMHJKLCPCN-MLGOLLRUSA-N -1 1 313.353 1.856 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1CC12CCOCC2 ZINC000674011234 485510075 /nfs/dbraw/zinc/51/00/75/485510075.db2.gz QJRFANBORFTEPO-OLZOCXBDSA-N -1 1 313.361 1.222 20 0 DDADMM O=C(Cc1cc2ccccc2o1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000678754732 485675505 /nfs/dbraw/zinc/67/55/05/485675505.db2.gz KKOIYMYFHDTJGH-GFCCVEGCSA-N -1 1 311.345 1.895 20 0 DDADMM Cc1nc(C2CCCCC2)sc1C(=O)NCc1nn[n-]n1 ZINC000678794323 485688152 /nfs/dbraw/zinc/68/81/52/485688152.db2.gz JHKVEFCCHHMNAQ-UHFFFAOYSA-N -1 1 306.395 1.942 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cc(Br)ccc2[O-])CCO1 ZINC000682598726 485697071 /nfs/dbraw/zinc/69/70/71/485697071.db2.gz YGYKNORURNZERV-NSHDSACASA-N -1 1 316.151 1.600 20 0 DDADMM O=C([O-])C1(NC(=O)c2[nH]nc3c2CCCC3)CCSCC1 ZINC000678985265 485749333 /nfs/dbraw/zinc/74/93/33/485749333.db2.gz NIFHIWPQRDLMTG-UHFFFAOYSA-N -1 1 309.391 1.369 20 0 DDADMM CC[C@@H](C)c1ccc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)cc1 ZINC000679202377 485805820 /nfs/dbraw/zinc/80/58/20/485805820.db2.gz HPLXELJXBTZNFC-SECBINFHSA-N -1 1 322.390 1.823 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C)[C@H](F)C2)o1 ZINC000679253428 485822459 /nfs/dbraw/zinc/82/24/59/485822459.db2.gz SXYWLKOKIQEFFF-RKDXNWHRSA-N -1 1 304.343 1.008 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(CCc2ccccc2)[nH]n1 ZINC000675547483 485971466 /nfs/dbraw/zinc/97/14/66/485971466.db2.gz VMQWZAPTRMYHQG-UHFFFAOYSA-N -1 1 309.391 1.583 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCCCS1 ZINC000680009015 486072639 /nfs/dbraw/zinc/07/26/39/486072639.db2.gz LYISWKLOXUGUHP-VXGBXAGGSA-N -1 1 303.391 1.691 20 0 DDADMM O=C(NCc1nn[n-]n1)c1c(F)ccc(Br)c1F ZINC000683849981 486129795 /nfs/dbraw/zinc/12/97/95/486129795.db2.gz LNSVABQHBDUJGK-UHFFFAOYSA-N -1 1 318.081 1.170 20 0 DDADMM Cc1ccc(F)c(C(=O)NCc2nn[n-]n2)c1Br ZINC000683850056 486130964 /nfs/dbraw/zinc/13/09/64/486130964.db2.gz PHMDCPWVDLKUDW-UHFFFAOYSA-N -1 1 314.118 1.340 20 0 DDADMM CC1N=NC(C(=O)Nc2ccc3c(c2)N(C(N)=O)CC3)=C1[O-] ZINC000683885241 486145209 /nfs/dbraw/zinc/14/52/09/486145209.db2.gz PMPGZRSGIYPGNV-UHFFFAOYSA-N -1 1 301.306 1.117 20 0 DDADMM Cc1nc(CS(=O)(=O)[N-]Cc2noc(C3CC3)n2)cs1 ZINC000684102700 486248942 /nfs/dbraw/zinc/24/89/42/486248942.db2.gz CQTVVBMJWWKXMG-UHFFFAOYSA-N -1 1 314.392 1.332 20 0 DDADMM O=C(NCCN1C(=O)Cc2ccccc21)c1ccc([O-])c(F)c1 ZINC000681038660 486328940 /nfs/dbraw/zinc/32/89/40/486328940.db2.gz FMMGZQHLAIMDJK-UHFFFAOYSA-N -1 1 314.316 1.850 20 0 DDADMM NC(=O)[C@H]1c2ccccc2CCN1C(=O)c1ccc([O-])c(F)c1 ZINC000681044431 486329533 /nfs/dbraw/zinc/32/95/33/486329533.db2.gz KFFVHUPITOHGPQ-OAHLLOKOSA-N -1 1 314.316 1.756 20 0 DDADMM CC(C)(CCS(C)(=O)=O)NC(=O)c1ccc([O-])c(F)c1 ZINC000681049636 486330627 /nfs/dbraw/zinc/33/06/27/486330627.db2.gz LRADBKKHRRMEMR-UHFFFAOYSA-N -1 1 303.355 1.474 20 0 DDADMM CC[C@@H](C)[C@@H](O)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676860887 486348303 /nfs/dbraw/zinc/34/83/03/486348303.db2.gz XNFDLGWKTWOBFO-PELKAZGASA-N -1 1 323.361 1.659 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1Cc2ccc(F)cc21 ZINC000684444963 486356082 /nfs/dbraw/zinc/35/60/82/486356082.db2.gz JPBKVKYRDBADGW-GFCCVEGCSA-N -1 1 301.325 1.564 20 0 DDADMM CCOc1cccnc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677048121 486386151 /nfs/dbraw/zinc/38/61/51/486386151.db2.gz VOGCXNKHAKATEX-SNVBAGLBSA-N -1 1 302.338 1.013 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccn1Cc1ccccc1)c1nn[n-]n1 ZINC000681353968 486411048 /nfs/dbraw/zinc/41/10/48/486411048.db2.gz ZIRUQUKGZFOYLU-ZDUSSCGKSA-N -1 1 324.388 1.925 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CC1)c1ccc(F)c(F)c1F ZINC000677242410 486423596 /nfs/dbraw/zinc/42/35/96/486423596.db2.gz AYRUYBJZMNIOKJ-QMMMGPOBSA-N -1 1 309.309 1.543 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCO[C@@H](COCC2CC2)C1 ZINC000681628689 486475492 /nfs/dbraw/zinc/47/54/92/486475492.db2.gz RKMDMXAOHRFFSA-CYBMUJFWSA-N -1 1 309.337 1.799 20 0 DDADMM COc1ccc(NC(=O)c2cnco2)cc1[N-]S(C)(=O)=O ZINC000677509714 486487365 /nfs/dbraw/zinc/48/73/65/486487365.db2.gz LTBZUPVMXJHQBZ-UHFFFAOYSA-N -1 1 311.319 1.307 20 0 DDADMM COc1ccc(-c2nc([N-]C(=O)c3cnco3)n[nH]2)c(OC)c1 ZINC000677528094 486491355 /nfs/dbraw/zinc/49/13/55/486491355.db2.gz WWGQTVWLZWTSAF-UHFFFAOYSA-N -1 1 315.289 1.729 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@H](CCO)C3)cnc2n1 ZINC000677843263 486553666 /nfs/dbraw/zinc/55/36/66/486553666.db2.gz DCQYIVDBLLQHPR-GFCCVEGCSA-N -1 1 315.373 1.878 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@H](CCO)C3)c[n-]c2n1 ZINC000677843263 486553669 /nfs/dbraw/zinc/55/36/69/486553669.db2.gz DCQYIVDBLLQHPR-GFCCVEGCSA-N -1 1 315.373 1.878 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccc1[O-] ZINC000069673596 490578040 /nfs/dbraw/zinc/57/80/40/490578040.db2.gz VGUBTPABHODXJV-PWSUYJOCSA-N -1 1 324.402 1.771 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCCC2(F)F)c1 ZINC000451830992 534321063 /nfs/dbraw/zinc/32/10/63/534321063.db2.gz ZCCXPNNJVPLMCL-SECBINFHSA-N -1 1 323.317 1.780 20 0 DDADMM NC(=O)c1csc(=N[C@H]2CCN(C(=O)C3CCCCC3)C2)[n-]1 ZINC000432331901 534522863 /nfs/dbraw/zinc/52/28/63/534522863.db2.gz RPAITRLVVLQVDY-NSHDSACASA-N -1 1 322.434 1.257 20 0 DDADMM CCNC(=O)c1ccc(=NCCOc2ccc(F)cc2F)[n-]n1 ZINC000413130006 528228725 /nfs/dbraw/zinc/22/87/25/528228725.db2.gz QGGBYGSFBOQZDS-UHFFFAOYSA-N -1 1 322.315 1.417 20 0 DDADMM CCOc1cc(C(=O)N[C@H](CC)C(=O)NC)cc(Cl)c1[O-] ZINC000456996331 528234059 /nfs/dbraw/zinc/23/40/59/528234059.db2.gz NSDZPCYQJPXKBJ-SNVBAGLBSA-N -1 1 314.769 1.699 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCOC[C@@H]2C)o1 ZINC000432301183 528243718 /nfs/dbraw/zinc/24/37/18/528243718.db2.gz VQLLDRREVMCTKZ-UWVGGRQHSA-N -1 1 317.363 1.160 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000459235457 528409108 /nfs/dbraw/zinc/40/91/08/528409108.db2.gz LUJLPZOOYOCEBV-GHMZBOCLSA-N -1 1 324.454 1.217 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1c(Cl)cnn1C)C(F)(F)F ZINC000416630725 529124388 /nfs/dbraw/zinc/12/43/88/529124388.db2.gz IPIVEOZWDWSWGZ-ZETCQYMHSA-N -1 1 319.736 1.939 20 0 DDADMM CC[C@H](Oc1ccccc1C)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000413520751 529164579 /nfs/dbraw/zinc/16/45/79/529164579.db2.gz UJOKPRCBWRNIGY-NSHDSACASA-N -1 1 303.366 1.717 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)C[C@H](C)OC)C(=O)OC(C)(C)C ZINC000443030475 529258203 /nfs/dbraw/zinc/25/82/03/529258203.db2.gz YBZIFAROBNVRSX-SRVKXCTJSA-N -1 1 323.455 1.697 20 0 DDADMM O=C(CCNc1nccnc1-c1nnn[n-]1)Nc1ccccc1 ZINC000737849176 598830641 /nfs/dbraw/zinc/83/06/41/598830641.db2.gz YFGGOLGUZSPFGA-UHFFFAOYSA-N -1 1 310.321 1.097 20 0 DDADMM O=C(CCNc1nccnc1-c1nn[n-]n1)Nc1ccccc1 ZINC000737849176 598830643 /nfs/dbraw/zinc/83/06/43/598830643.db2.gz YFGGOLGUZSPFGA-UHFFFAOYSA-N -1 1 310.321 1.097 20 0 DDADMM COC[C@@H]1CCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000736976343 598855495 /nfs/dbraw/zinc/85/54/95/598855495.db2.gz KJWHFWPXTOKFRG-ZCFIWIBFSA-N -1 1 300.775 1.449 20 0 DDADMM COC[C@@H]1CCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000736976343 598855496 /nfs/dbraw/zinc/85/54/96/598855496.db2.gz KJWHFWPXTOKFRG-ZCFIWIBFSA-N -1 1 300.775 1.449 20 0 DDADMM CC(C)N(Cc1ccco1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735873887 598986948 /nfs/dbraw/zinc/98/69/48/598986948.db2.gz BFXXIAPTWKCOTM-UHFFFAOYSA-N -1 1 312.333 1.906 20 0 DDADMM CC(C)N(Cc1ccco1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735873887 598986950 /nfs/dbraw/zinc/98/69/50/598986950.db2.gz BFXXIAPTWKCOTM-UHFFFAOYSA-N -1 1 312.333 1.906 20 0 DDADMM CC(C)(CNC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccccc1 ZINC000735670949 598990659 /nfs/dbraw/zinc/99/06/59/598990659.db2.gz YJPQLOXAWPRWME-UHFFFAOYSA-N -1 1 322.372 1.969 20 0 DDADMM CC(C)(CNC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccccc1 ZINC000735670949 598990661 /nfs/dbraw/zinc/99/06/61/598990661.db2.gz YJPQLOXAWPRWME-UHFFFAOYSA-N -1 1 322.372 1.969 20 0 DDADMM CCOc1cccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000736654725 598996143 /nfs/dbraw/zinc/99/61/43/598996143.db2.gz NCMWTZVRLMPPSZ-UHFFFAOYSA-N -1 1 310.317 1.913 20 0 DDADMM CCOc1cccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000736654725 598996145 /nfs/dbraw/zinc/99/61/45/598996145.db2.gz NCMWTZVRLMPPSZ-UHFFFAOYSA-N -1 1 310.317 1.913 20 0 DDADMM CN1CCN(c2ccc(NC(=O)CSCC(=O)[O-])cc2)CC1 ZINC000739736975 597083946 /nfs/dbraw/zinc/08/39/46/597083946.db2.gz TYJBQJVOLZJDTP-UHFFFAOYSA-N -1 1 323.418 1.195 20 0 DDADMM CN(C)Cc1cc(CNC(=O)CSCC(=O)[O-])ccc1F ZINC000739610751 597102282 /nfs/dbraw/zinc/10/22/82/597102282.db2.gz YPEQXBWILQSIEK-UHFFFAOYSA-N -1 1 314.382 1.321 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)Cc1ccccc1 ZINC000262152765 597358157 /nfs/dbraw/zinc/35/81/57/597358157.db2.gz AYHXNLWWJRMXDU-ZDUSSCGKSA-N -1 1 304.390 1.830 20 0 DDADMM CC(C)(C)c1n[nH]c([C@H]2CN(CCCCC(=O)[O-])CCO2)n1 ZINC000737587416 597783047 /nfs/dbraw/zinc/78/30/47/597783047.db2.gz NWYDTZLSUQTAGB-LLVKDONJSA-N -1 1 310.398 1.730 20 0 DDADMM CC(C)(C)c1nnc([C@H]2CN(CCCCC(=O)[O-])CCO2)[nH]1 ZINC000737587416 597783051 /nfs/dbraw/zinc/78/30/51/597783051.db2.gz NWYDTZLSUQTAGB-LLVKDONJSA-N -1 1 310.398 1.730 20 0 DDADMM C[C@H](NCC(=O)NCC(=O)[O-])c1ccc(Cl)c(Cl)c1 ZINC000820142219 597807447 /nfs/dbraw/zinc/80/74/47/597807447.db2.gz PYZGSLBCIIVJBX-ZETCQYMHSA-N -1 1 305.161 1.845 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CN2C[C@H](c3cccnc3)CC2=O)C1 ZINC000821514994 598059032 /nfs/dbraw/zinc/05/90/32/598059032.db2.gz BAOLYEGHDJSEKP-DZGCQCFKSA-N -1 1 317.389 1.542 20 0 DDADMM CCc1nn(C)c(OC)c1CNCc1ccc(C(=O)[O-])cc1 ZINC000263433892 598090948 /nfs/dbraw/zinc/09/09/48/598090948.db2.gz TVHPRSWLTDEOEC-UHFFFAOYSA-N -1 1 303.362 1.979 20 0 DDADMM CN(Cc1cccc(-c2nn[nH]n2)c1)C(=O)c1ncccc1[O-] ZINC000736823330 598285300 /nfs/dbraw/zinc/28/53/00/598285300.db2.gz WUJHLIPDTUDPTQ-UHFFFAOYSA-N -1 1 310.317 1.240 20 0 DDADMM COc1cc(C)c(Nc2nccnc2-c2nnn[n-]2)cc1OC ZINC000737040889 598336380 /nfs/dbraw/zinc/33/63/80/598336380.db2.gz QQOAUYZTXYNECS-UHFFFAOYSA-N -1 1 313.321 1.726 20 0 DDADMM COc1cc(C)c(Nc2nccnc2-c2nn[n-]n2)cc1OC ZINC000737040889 598336381 /nfs/dbraw/zinc/33/63/81/598336381.db2.gz QQOAUYZTXYNECS-UHFFFAOYSA-N -1 1 313.321 1.726 20 0 DDADMM CN(C)C(=O)c1ccc(Oc2nccnc2-c2nn[n-]n2)cc1 ZINC000736753776 598343026 /nfs/dbraw/zinc/34/30/26/598343026.db2.gz QNVGHEIQUWSOHC-UHFFFAOYSA-N -1 1 311.305 1.151 20 0 DDADMM CCc1nn(Cc2ccccc2C)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736707795 598378555 /nfs/dbraw/zinc/37/85/55/598378555.db2.gz UCWSXXJPXJTUOV-UHFFFAOYSA-N -1 1 324.388 1.905 20 0 DDADMM Fc1ccc(OCCNc2nccnc2-c2nnn[n-]2)c(F)c1 ZINC000737655704 598387201 /nfs/dbraw/zinc/38/72/01/598387201.db2.gz DSUWJYCVKRZLQL-UHFFFAOYSA-N -1 1 319.275 1.426 20 0 DDADMM Fc1ccc(OCCNc2nccnc2-c2nn[n-]n2)c(F)c1 ZINC000737655704 598387202 /nfs/dbraw/zinc/38/72/02/598387202.db2.gz DSUWJYCVKRZLQL-UHFFFAOYSA-N -1 1 319.275 1.426 20 0 DDADMM Cc1nc(Cn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)oc1C ZINC000737481503 598504175 /nfs/dbraw/zinc/50/41/75/598504175.db2.gz XKEZFJWJQPMMKA-UHFFFAOYSA-N -1 1 322.328 1.835 20 0 DDADMM O=S(=O)(Oc1ccccc1)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000738347017 598685567 /nfs/dbraw/zinc/68/55/67/598685567.db2.gz COXLINWEPKTFMI-UHFFFAOYSA-N -1 1 320.305 1.774 20 0 DDADMM CC(=O)NCc1ccc(Nc2nccnc2-c2nnn[n-]2)cc1 ZINC000735481309 598816980 /nfs/dbraw/zinc/81/69/80/598816980.db2.gz IQAPTFAWWGLGKK-UHFFFAOYSA-N -1 1 310.321 1.036 20 0 DDADMM CC(=O)NCc1ccc(Nc2nccnc2-c2nn[n-]n2)cc1 ZINC000735481309 598816982 /nfs/dbraw/zinc/81/69/82/598816982.db2.gz IQAPTFAWWGLGKK-UHFFFAOYSA-N -1 1 310.321 1.036 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@H]1CSc2ccccc21 ZINC000737778058 599335707 /nfs/dbraw/zinc/33/57/07/599335707.db2.gz WFHCBTNJUSFYCI-VIFPVBQESA-N -1 1 313.346 1.175 20 0 DDADMM COC(=O)[C@H]1CC12CCN(c1cccc(-c3nnn[n-]3)n1)CC2 ZINC000736908310 599439094 /nfs/dbraw/zinc/43/90/94/599439094.db2.gz LBAJRWIAPWXTHA-SNVBAGLBSA-N -1 1 314.349 1.041 20 0 DDADMM COC(=O)[C@H]1CC12CCN(c1cccc(-c3nn[n-]n3)n1)CC2 ZINC000736908310 599439097 /nfs/dbraw/zinc/43/90/97/599439097.db2.gz LBAJRWIAPWXTHA-SNVBAGLBSA-N -1 1 314.349 1.041 20 0 DDADMM c1ccc2c(c1)nc(-c1nnn[n-]1)cc2NC[C@H]1COCCO1 ZINC000823798167 599490382 /nfs/dbraw/zinc/49/03/82/599490382.db2.gz UVSBDDQUPLDDOU-JTQLQIEISA-N -1 1 312.333 1.242 20 0 DDADMM c1ccc2c(c1)nc(-c1nn[n-]n1)cc2NC[C@H]1COCCO1 ZINC000823798167 599490383 /nfs/dbraw/zinc/49/03/83/599490383.db2.gz UVSBDDQUPLDDOU-JTQLQIEISA-N -1 1 312.333 1.242 20 0 DDADMM CN(CCn1cccn1)c1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000821607629 599550464 /nfs/dbraw/zinc/55/04/64/599550464.db2.gz XDCGJWVYAJLINH-UHFFFAOYSA-N -1 1 320.360 1.748 20 0 DDADMM CN(CCn1cccn1)c1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821607629 599550466 /nfs/dbraw/zinc/55/04/66/599550466.db2.gz XDCGJWVYAJLINH-UHFFFAOYSA-N -1 1 320.360 1.748 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)c1cccc2ccccc21 ZINC000319264632 599776965 /nfs/dbraw/zinc/77/69/65/599776965.db2.gz QGVVHBNHTKIOQE-HNNXBMFYSA-N -1 1 309.325 1.989 20 0 DDADMM CC(C)C(=O)NCCN(C)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000824184890 608442757 /nfs/dbraw/zinc/44/27/57/608442757.db2.gz NIKWTXSHFDRKJY-UHFFFAOYSA-N -1 1 323.788 1.124 20 0 DDADMM CC(C)C(=O)NCCN(C)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000824184890 608442758 /nfs/dbraw/zinc/44/27/58/608442758.db2.gz NIKWTXSHFDRKJY-UHFFFAOYSA-N -1 1 323.788 1.124 20 0 DDADMM NC(=O)[C@H](NCCOc1ccc(C(=O)[O-])cc1)c1ccccc1 ZINC000739149241 599941168 /nfs/dbraw/zinc/94/11/68/599941168.db2.gz QYCOLDPLXCRCLS-OAHLLOKOSA-N -1 1 314.341 1.580 20 0 DDADMM CCOCCN1CCN(Cc2cc(C(=O)[O-])co2)C[C@H]1CC ZINC000737176687 599961716 /nfs/dbraw/zinc/96/17/16/599961716.db2.gz IGERTDDTHIOEOM-CQSZACIVSA-N -1 1 310.394 1.911 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)CN1CCC(C(=O)[O-])CC1 ZINC000738120567 599967112 /nfs/dbraw/zinc/96/71/12/599967112.db2.gz MUMXXWRNWMCLFW-LBPRGKRZSA-N -1 1 320.389 1.669 20 0 DDADMM C[C@H]1CN(CCC(=O)N(C)CC(=O)[O-])C[C@H](c2ccccc2)O1 ZINC000736806644 600159934 /nfs/dbraw/zinc/15/99/34/600159934.db2.gz DEMJJMFKLXMBAR-DZGCQCFKSA-N -1 1 320.389 1.382 20 0 DDADMM CC(C)C[C@@H](NC(=O)CN1CCc2ccccc2CC1)C(=O)[O-] ZINC000736409922 600216294 /nfs/dbraw/zinc/21/62/94/600216294.db2.gz BAYHEGWARXKCJB-MRXNPFEDSA-N -1 1 318.417 1.703 20 0 DDADMM CCOC[C@@H]1CCCN(CC(=O)N[C@H](CC(C)C)C(=O)[O-])C1 ZINC000737161255 600286891 /nfs/dbraw/zinc/28/68/91/600286891.db2.gz FFLJIGRHAJMICS-ZIAGYGMSSA-N -1 1 314.426 1.350 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(CC(=O)NCc1cccs1)CC2 ZINC000405414087 600307139 /nfs/dbraw/zinc/30/71/39/600307139.db2.gz WXNFCANFIQOFFW-GFCCVEGCSA-N -1 1 308.403 1.551 20 0 DDADMM O=C([O-])C1(NCC(=O)NCC(=O)c2cccs2)CCCCC1 ZINC000739309874 600319548 /nfs/dbraw/zinc/31/95/48/600319548.db2.gz UJEBLPXVVPPXLR-UHFFFAOYSA-N -1 1 324.402 1.424 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@H]2CCCCN2C)C(=O)[O-])cc1 ZINC000738554152 600364296 /nfs/dbraw/zinc/36/42/96/600364296.db2.gz IYFXDGLUEDJFFU-LSDHHAIUSA-N -1 1 304.390 1.764 20 0 DDADMM C[C@H](C(=O)N1CC(=O)Nc2ccccc21)N(C)C[C@H](C)C(=O)[O-] ZINC000736575791 600377157 /nfs/dbraw/zinc/37/71/57/600377157.db2.gz SGMSNXMJKYIAKD-WDEREUQCSA-N -1 1 319.361 1.013 20 0 DDADMM CCN(CCC(=O)[O-])CN1C[C@H](c2cccc(F)c2)CC1=O ZINC000737096981 600424231 /nfs/dbraw/zinc/42/42/31/600424231.db2.gz VKDKEEDLYJZTDI-CYBMUJFWSA-N -1 1 308.353 1.896 20 0 DDADMM CC(C)C[C@H](NC(=O)CN[C@@](C)(CO)c1ccccc1)C(=O)[O-] ZINC000736416814 600459154 /nfs/dbraw/zinc/45/91/54/600459154.db2.gz SWJKDAWTEDMXJA-YOEHRIQHSA-N -1 1 322.405 1.099 20 0 DDADMM CCc1cc(CNC(=O)NCc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000737252001 600505014 /nfs/dbraw/zinc/50/50/14/600505014.db2.gz BAMGPQUZXHROFI-UHFFFAOYSA-N -1 1 302.334 1.670 20 0 DDADMM COC(=O)c1ccc(CN(CCC(=O)[O-])C[C@H]2CCCO2)o1 ZINC000737698163 600515034 /nfs/dbraw/zinc/51/50/34/600515034.db2.gz ZDCVZYKHNSLFHM-LLVKDONJSA-N -1 1 311.334 1.522 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)CC(=O)NCCC(=O)[O-])C12CCCC2 ZINC000737157854 600721415 /nfs/dbraw/zinc/72/14/15/600721415.db2.gz OQAMKFGCNCOWPQ-CHWSQXEVSA-N -1 1 312.410 1.247 20 0 DDADMM C[C@@H]1C[C@@H](NS(=O)(=O)c2csc(C(=O)[O-])c2)CCN1C ZINC000157598821 600766234 /nfs/dbraw/zinc/76/62/34/600766234.db2.gz UHMJQRMZTIDAFC-BDAKNGLRSA-N -1 1 318.420 1.207 20 0 DDADMM O=C([O-])[C@H]1C[C@@H](C2CCCCC2)CN1C(=O)Cc1ccn[nH]1 ZINC000833177541 600980888 /nfs/dbraw/zinc/98/08/88/600980888.db2.gz PDMNLRUKQRYSHN-TZMCWYRMSA-N -1 1 305.378 1.834 20 0 DDADMM CC(=O)c1ccc(NC(=O)CN[C@@](C)(C(=O)[O-])C2CC2)cc1 ZINC000825951231 601032176 /nfs/dbraw/zinc/03/21/76/601032176.db2.gz AVPAAQLHZHRSSJ-MRXNPFEDSA-N -1 1 304.346 1.671 20 0 DDADMM Cn1cc(N2CCN(Cc3cccc(C(=O)[O-])c3)CC2)cn1 ZINC000821298398 601115822 /nfs/dbraw/zinc/11/58/22/601115822.db2.gz SKNMEQRWUGVZCO-UHFFFAOYSA-N -1 1 300.362 1.441 20 0 DDADMM Cc1cccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1O ZINC000832963925 601122418 /nfs/dbraw/zinc/12/24/18/601122418.db2.gz XZPQCTCGKKYOMP-GFCCVEGCSA-N -1 1 306.362 1.322 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)CN[C@](C)(C(=O)[O-])C1CC1 ZINC000832596644 601174149 /nfs/dbraw/zinc/17/41/49/601174149.db2.gz REVMCUJICZGFNF-DIFFPNOSSA-N -1 1 320.389 1.715 20 0 DDADMM O=C([O-])c1cccc(C(=O)N2CCC(c3cnc[nH]3)CC2)n1 ZINC000833327483 601294664 /nfs/dbraw/zinc/29/46/64/601294664.db2.gz NMGNLJROETUUGC-UHFFFAOYSA-N -1 1 300.318 1.523 20 0 DDADMM CSCCCNC(=S)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000832762923 601338417 /nfs/dbraw/zinc/33/84/17/601338417.db2.gz JVQZHMNENAEBHL-NSHDSACASA-N -1 1 319.496 1.095 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)NCC1(C(=O)[O-])CCCC1 ZINC000321766619 601422228 /nfs/dbraw/zinc/42/22/28/601422228.db2.gz OSRXMCIFQZIBEQ-ZIAGYGMSSA-N -1 1 323.437 1.900 20 0 DDADMM O=C([O-])C[C@H]1CN(CCC(=O)Nc2cccc(F)c2)CCO1 ZINC000316815125 601453943 /nfs/dbraw/zinc/45/39/43/601453943.db2.gz OQIZJKWDUWNVOK-ZDUSSCGKSA-N -1 1 310.325 1.330 20 0 DDADMM C[C@@H]1CN(CC(=O)N2CCSc3ccccc32)C[C@@H]1C(=O)[O-] ZINC000315621930 601506022 /nfs/dbraw/zinc/50/60/22/601506022.db2.gz SPNASUWSXHTQPO-NEPJUHHUSA-N -1 1 320.414 1.778 20 0 DDADMM Cc1c(F)cccc1NC(=O)CCN1CC[C@@](F)(C(=O)[O-])C1 ZINC000832854510 601556161 /nfs/dbraw/zinc/55/61/61/601556161.db2.gz LDMFPQXXIVXJBI-HNNXBMFYSA-N -1 1 312.316 1.961 20 0 DDADMM Cc1ccc2cc(C(=O)n3ncc(-c4nn[n-]n4)c3N)ccc2n1 ZINC000826294813 607514337 /nfs/dbraw/zinc/51/43/37/607514337.db2.gz DTABCNTUIKCLTF-UHFFFAOYSA-N -1 1 320.316 1.191 20 0 DDADMM CC[C@@H](O)CN1CCN(Cc2cc(C(=O)[O-])sc2C)CC1 ZINC000829004345 601640656 /nfs/dbraw/zinc/64/06/56/601640656.db2.gz GIQVYHHDGMMGKX-CYBMUJFWSA-N -1 1 312.435 1.643 20 0 DDADMM O=C([O-])c1cccc(NC(=O)CN2CCC[C@@H]3COCC[C@@H]32)c1 ZINC000833106712 601662595 /nfs/dbraw/zinc/66/25/95/601662595.db2.gz UMOAHUZDNUADLH-HIFRSBDPSA-N -1 1 318.373 1.824 20 0 DDADMM Cc1cc(C(=O)N2CCCN(C)CC2)cc(C)c1OCC(=O)[O-] ZINC000832861013 601673740 /nfs/dbraw/zinc/67/37/40/601673740.db2.gz RVEYXARXXDDAFH-UHFFFAOYSA-N -1 1 320.389 1.545 20 0 DDADMM Cc1cc(CC(=O)NC[C@H](Cc2cccc(C)c2)C(=O)[O-])[nH]n1 ZINC000832969745 601680774 /nfs/dbraw/zinc/68/07/74/601680774.db2.gz OYHZNIXAIWBZPS-AWEZNQCLSA-N -1 1 315.373 1.629 20 0 DDADMM Cc1ccc2nc(CNS(=O)(=O)C[C@H](C)C(=O)[O-])[nH]c2c1 ZINC000832958649 601797465 /nfs/dbraw/zinc/79/74/65/601797465.db2.gz FEQUOYSVQDOUQB-VIFPVBQESA-N -1 1 311.363 1.011 20 0 DDADMM COC(=O)[C@@H]1CN(Cn2ncc3cc(C(=O)[O-])ccc32)C[C@@H]1C ZINC000831119929 601814532 /nfs/dbraw/zinc/81/45/32/601814532.db2.gz WEFQAVOCXUUAEL-GXFFZTMASA-N -1 1 317.345 1.433 20 0 DDADMM C[C@H]1C(=O)N(CN2C[C@H](C(=O)[O-])[C@H](C)C2)[C@H]1c1ccccc1 ZINC000828448637 601816595 /nfs/dbraw/zinc/81/65/95/601816595.db2.gz FHFYWVIOPJZYCF-RJZRQDKASA-N -1 1 302.374 1.816 20 0 DDADMM O=C([O-])N1CCC(CNC(=O)c2cc(F)cc3nc[nH]c32)CC1 ZINC000740420482 601863289 /nfs/dbraw/zinc/86/32/89/601863289.db2.gz RITQTKAAHVQCGJ-UHFFFAOYSA-N -1 1 320.324 1.822 20 0 DDADMM COC(=O)[C@]1(C)CCN(Cn2nc(C(=O)[O-])c3ccccc32)C1 ZINC000831089454 601889824 /nfs/dbraw/zinc/88/98/24/601889824.db2.gz LFAAUASTJCXMAX-MRXNPFEDSA-N -1 1 317.345 1.577 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C[C@@H]1COc2ccccc2O1 ZINC000227542646 601923833 /nfs/dbraw/zinc/92/38/33/601923833.db2.gz MZTDQFVYPDAICU-VXGBXAGGSA-N -1 1 309.387 1.719 20 0 DDADMM O=C([O-])N1CCC(N(Cc2cn3cccnc3n2)C2CC2)CC1 ZINC000740499752 601932102 /nfs/dbraw/zinc/93/21/02/601932102.db2.gz JECWFTRGODQUHJ-UHFFFAOYSA-N -1 1 315.377 1.836 20 0 DDADMM CC[C@@H]1CN(Cc2ccc(C(=O)[O-])s2)CCN1CCOC ZINC000829148978 601951827 /nfs/dbraw/zinc/95/18/27/601951827.db2.gz BTZMAAQMQIXHTN-GFCCVEGCSA-N -1 1 312.435 1.989 20 0 DDADMM O=C([O-])CN(Cc1ccccc1)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000833249326 602018680 /nfs/dbraw/zinc/01/86/80/602018680.db2.gz CFQRFRQVPZPENS-CYBMUJFWSA-N -1 1 313.357 1.943 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@H]1CCCc2[nH]ncc21)c1ccccc1 ZINC000833162947 602042900 /nfs/dbraw/zinc/04/29/00/602042900.db2.gz BCAZJRZWSZOWRU-STQMWFEESA-N -1 1 313.357 1.814 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@H](C)CC(=O)[O-])N2CCCC2)o1 ZINC000832920713 602140449 /nfs/dbraw/zinc/14/04/49/602140449.db2.gz DMXLVBJNZIGCNA-DGCLKSJQSA-N -1 1 308.378 1.952 20 0 DDADMM CCO[C@H](CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)C(C)C ZINC000829913022 602192628 /nfs/dbraw/zinc/19/26/28/602192628.db2.gz SCDJSZZJXGDZNR-ZIAGYGMSSA-N -1 1 314.426 1.445 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@H]3CCC[C@H](C(=O)[O-])C3)C2)n[nH]1 ZINC000832996834 602242309 /nfs/dbraw/zinc/24/23/09/602242309.db2.gz HHHRRFDWNILQHI-RWMBFGLXSA-N -1 1 320.393 1.710 20 0 DDADMM Cc1cc(NC(=O)CN(C)CC2CCN(C(=O)[O-])CC2)no1 ZINC000740084452 602256913 /nfs/dbraw/zinc/25/69/13/602256913.db2.gz NFPQYJGQKWBCRQ-UHFFFAOYSA-N -1 1 310.354 1.243 20 0 DDADMM O=C([O-])NCCOc1cccc(NC(=O)c2ncccc2O)c1 ZINC000740669319 602284043 /nfs/dbraw/zinc/28/40/43/602284043.db2.gz WNDQWGYIZKBKSF-UHFFFAOYSA-N -1 1 317.301 1.686 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CC[C@H](CNC(=O)[O-])C1)c1ccccc1 ZINC000739384046 602320274 /nfs/dbraw/zinc/32/02/74/602320274.db2.gz BLAHKOUZGCULLK-UKRRQHHQSA-N -1 1 319.405 1.796 20 0 DDADMM CC(C)N1CCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@@H]3N2C(=O)[O-])CC1 ZINC000738854737 602381862 /nfs/dbraw/zinc/38/18/62/602381862.db2.gz OFLQZVVHLOSXET-KKUMJFAQSA-N -1 1 323.437 1.850 20 0 DDADMM COC(=O)c1coc(CN2CCC([C@@H](C)NC(=O)[O-])CC2)c1 ZINC000739794057 602448464 /nfs/dbraw/zinc/44/84/64/602448464.db2.gz UGSVZNWQWFBUPL-SNVBAGLBSA-N -1 1 310.350 1.934 20 0 DDADMM O=C([O-])N1CCCC[C@H]1C(=O)N1CCN(C2CCCC2)CC1 ZINC000740727941 602498320 /nfs/dbraw/zinc/49/83/20/602498320.db2.gz MLSMMSONBGZIBC-AWEZNQCLSA-N -1 1 309.410 1.606 20 0 DDADMM CCC[C@H](C)NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825233764 607573398 /nfs/dbraw/zinc/57/33/98/607573398.db2.gz RLFPJWUHOKRREZ-QMMMGPOBSA-N -1 1 313.358 1.473 20 0 DDADMM C[C@@H](NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1)C1CC1 ZINC000824640378 607578680 /nfs/dbraw/zinc/57/86/80/607578680.db2.gz HJUKAFJYVJOPBN-SSDOTTSWSA-N -1 1 311.342 1.083 20 0 DDADMM C[C@@H](CN1CCN(C)CC1)NC(=O)c1cccc(NC(=O)[O-])c1 ZINC000736587310 602735334 /nfs/dbraw/zinc/73/53/34/602735334.db2.gz VMUAHXJVGGZGLN-LBPRGKRZSA-N -1 1 320.393 1.142 20 0 DDADMM CC(C)CN(C(=O)CN1CC[C@H](NC(=O)[O-])[C@H](C)C1)C1CC1 ZINC000738819203 602793255 /nfs/dbraw/zinc/79/32/55/602793255.db2.gz DMZLGMSKECCZSU-OCCSQVGLSA-N -1 1 311.426 1.611 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1CNC(=O)[C@@H]1CCCCN1C ZINC000739205985 602839371 /nfs/dbraw/zinc/83/93/71/602839371.db2.gz NPVDVTAMANKJFK-SRVKXCTJSA-N -1 1 313.398 1.090 20 0 DDADMM CC1CC[NH+](CCNC(=O)c2ccc(NC(=O)[O-])cc2[O-])CC1 ZINC000739109911 602849061 /nfs/dbraw/zinc/84/90/61/602849061.db2.gz UYIAZPVCKRCMGU-UHFFFAOYSA-N -1 1 321.377 1.944 20 0 DDADMM CN(C[C@H]1CC[N@H+](C)C1)C(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739727614 602861056 /nfs/dbraw/zinc/86/10/56/602861056.db2.gz YVBWVJVRANIFQK-JTQLQIEISA-N -1 1 307.350 1.506 20 0 DDADMM CN(C[C@H]1CC[N@@H+](C)C1)C(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739727614 602861059 /nfs/dbraw/zinc/86/10/59/602861059.db2.gz YVBWVJVRANIFQK-JTQLQIEISA-N -1 1 307.350 1.506 20 0 DDADMM CN(CC(=O)NCc1ccccc1)C[C@@H]1CCCN(C(=O)[O-])C1 ZINC000739623266 602877917 /nfs/dbraw/zinc/87/79/17/602877917.db2.gz BWGYGGWZNZDHLL-HNNXBMFYSA-N -1 1 319.405 1.625 20 0 DDADMM C[C@@H]1CN(C(=O)c2cnc(-c3ccccc3)[nH]2)CCN1C(=O)[O-] ZINC000739164719 602940112 /nfs/dbraw/zinc/94/01/12/602940112.db2.gz VEAIHYQLECAPIR-LLVKDONJSA-N -1 1 314.345 1.901 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccc(CO)cc1 ZINC000826466986 607596633 /nfs/dbraw/zinc/59/66/33/607596633.db2.gz BVWJHQRXEQVMIC-UHFFFAOYSA-N -1 1 311.301 1.111 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc(CO)cc1 ZINC000826466986 607596634 /nfs/dbraw/zinc/59/66/34/607596634.db2.gz BVWJHQRXEQVMIC-UHFFFAOYSA-N -1 1 311.301 1.111 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)CC1CCN(C(=O)[O-])CC1 ZINC000739199045 602990030 /nfs/dbraw/zinc/99/00/30/602990030.db2.gz NXNATGASTBPKQK-ZFWWWQNUSA-N -1 1 323.437 1.852 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]1C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000740570743 603001791 /nfs/dbraw/zinc/00/17/91/603001791.db2.gz NLOISPZYNXCUGF-VXGBXAGGSA-N -1 1 315.333 1.642 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000739325371 603033118 /nfs/dbraw/zinc/03/31/18/603033118.db2.gz IVPRXYRXJBJUQZ-NSHDSACASA-N -1 1 303.322 1.642 20 0 DDADMM O=C([O-])N1CC[C@H](NCc2ccc(Br)cn2)C1 ZINC000740505630 603037095 /nfs/dbraw/zinc/03/70/95/603037095.db2.gz PXMMUDYKVOVVDF-JTQLQIEISA-N -1 1 300.156 1.686 20 0 DDADMM Cc1ccccc1CN(C)C(=O)CN(C)CCCNC(=O)[O-] ZINC000740185363 603257201 /nfs/dbraw/zinc/25/72/01/603257201.db2.gz UMRYHVRORCQCRO-UHFFFAOYSA-N -1 1 307.394 1.543 20 0 DDADMM O=C([O-])N1CC[C@@H](CSc2nc(-c3ccccn3)n[nH]2)C1 ZINC000740501305 603374682 /nfs/dbraw/zinc/37/46/82/603374682.db2.gz MFBMBVIXFAZSRR-SECBINFHSA-N -1 1 305.363 1.959 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@H](C(=O)N2CCN(C3CCCC3)CC2)C1 ZINC000832254879 603458949 /nfs/dbraw/zinc/45/89/49/603458949.db2.gz NHIRIJYSXLEICE-QWHCGFSZSA-N -1 1 309.410 1.510 20 0 DDADMM CN(C[C@H](O)c1ccc(F)cc1F)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828168220 603492211 /nfs/dbraw/zinc/49/22/11/603492211.db2.gz FXQHAEUWGZELDA-MFKMUULPSA-N -1 1 300.305 1.682 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000827864377 603527184 /nfs/dbraw/zinc/52/71/84/603527184.db2.gz DNDFJDQBFDYDHI-JTQLQIEISA-N -1 1 304.306 1.494 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000824024686 603551360 /nfs/dbraw/zinc/55/13/60/603551360.db2.gz CVRSXWKXJNJLNS-UHFFFAOYSA-N -1 1 308.313 1.407 20 0 DDADMM O=C([O-])N1CCCC[C@@H]1C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000831273664 603559664 /nfs/dbraw/zinc/55/96/64/603559664.db2.gz SEVVQRFTDGVFGC-CYBMUJFWSA-N -1 1 306.366 1.648 20 0 DDADMM CCC1CCN(CN2C[C@H]3CN(C(=O)[O-])CCN3C2=O)CC1 ZINC000826675158 603596462 /nfs/dbraw/zinc/59/64/62/603596462.db2.gz FPVBDVCJELDEIZ-CYBMUJFWSA-N -1 1 310.398 1.166 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cccc2[nH]cnc21 ZINC000826451249 607639888 /nfs/dbraw/zinc/63/98/88/607639888.db2.gz XOPPDEXVCKMLMN-UHFFFAOYSA-N -1 1 321.300 1.701 20 0 DDADMM O=C([O-])NCC1(C(=O)N2CCN(C3CCCC3)CC2)CCCC1 ZINC000832432788 603626650 /nfs/dbraw/zinc/62/66/50/603626650.db2.gz ARJUSHXRMAIOOE-UHFFFAOYSA-N -1 1 323.437 1.901 20 0 DDADMM Cc1nc2ccc(NC(=O)[C@]3(F)CCN(C(=O)[O-])C3)cc2[nH]1 ZINC000830784787 603825372 /nfs/dbraw/zinc/82/53/72/603825372.db2.gz BASNTOJFJHNRJM-AWEZNQCLSA-N -1 1 306.297 1.902 20 0 DDADMM C[N@@H+](Cc1cc(=O)n2[n-]ccc2n1)C1CCC(NC(=O)[O-])CC1 ZINC000828345470 603835523 /nfs/dbraw/zinc/83/55/23/603835523.db2.gz DAPOVBNRHLTAJR-UHFFFAOYSA-N -1 1 319.365 1.033 20 0 DDADMM C[C@H](CN1CCC([C@H](C)NC(=O)[O-])CC1)CS(C)(=O)=O ZINC000824902954 603905124 /nfs/dbraw/zinc/90/51/24/603905124.db2.gz RYUOHJDPLMOBIM-MNOVXSKESA-N -1 1 306.428 1.035 20 0 DDADMM C[C@H](NC(=O)C[C@@H](Cc1ccccc1)NC(=O)[O-])c1nnc[nH]1 ZINC000825101188 603938770 /nfs/dbraw/zinc/93/87/70/603938770.db2.gz NFWBKJAEUWCPGH-CMPLNLGQSA-N -1 1 317.349 1.251 20 0 DDADMM O=C([O-])N1CCc2c1cccc2NC(=O)CCc1nc[nH]n1 ZINC000831441205 603948912 /nfs/dbraw/zinc/94/89/12/603948912.db2.gz HJMMENNPKKUAFB-UHFFFAOYSA-N -1 1 301.306 1.417 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@@H]1CCCCCC[C@H]1NC(=O)[O-] ZINC000828426562 603954631 /nfs/dbraw/zinc/95/46/31/603954631.db2.gz JZWNUNDEODPOCD-GHMZBOCLSA-N -1 1 309.370 1.370 20 0 DDADMM Cc1csc(=O)n1CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000830655397 603978367 /nfs/dbraw/zinc/97/83/67/603978367.db2.gz PTJYLNYZDCXCTG-NEPJUHHUSA-N -1 1 311.407 1.435 20 0 DDADMM CCCC[C@H](CNC(=O)[O-])NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000826782084 603979346 /nfs/dbraw/zinc/97/93/46/603979346.db2.gz VWKXFZQEQPLLDV-GHMZBOCLSA-N -1 1 308.382 1.772 20 0 DDADMM CCCC[C@@H](CNC(=O)[O-])NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000826782086 603979479 /nfs/dbraw/zinc/97/94/79/603979479.db2.gz VWKXFZQEQPLLDV-QWRGUYRKSA-N -1 1 308.382 1.772 20 0 DDADMM COC(=O)c1oc(CN2CCC[C@@H]2CN(C)C(=O)[O-])cc1C ZINC000828809249 604110057 /nfs/dbraw/zinc/11/00/57/604110057.db2.gz AZWBJHSQKZPJOH-LLVKDONJSA-N -1 1 310.350 1.949 20 0 DDADMM O=C([O-])N1CCC[C@@H](CN[C@H]2CS(=O)(=O)c3ccccc32)C1 ZINC000831980820 604136170 /nfs/dbraw/zinc/13/61/70/604136170.db2.gz PAXIEIQHSFFNGH-AAEUAGOBSA-N -1 1 324.402 1.495 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN([C@@H](C(N)=O)c2ccccc2)C1 ZINC000825254310 604198339 /nfs/dbraw/zinc/19/83/39/604198339.db2.gz LWBWOLBZMMUXNC-IACUBPJLSA-N -1 1 305.378 1.581 20 0 DDADMM O=C([O-])c1cccc(C(=O)N2CCN(C3CCCCC3)CC2)n1 ZINC000833327662 604285525 /nfs/dbraw/zinc/28/55/25/604285525.db2.gz SELNICWQOHFESF-UHFFFAOYSA-N -1 1 317.389 1.870 20 0 DDADMM Cc1nnc(SCCC(=O)Nc2cc(C(=O)[O-])cs2)[nH]1 ZINC000833022053 604316457 /nfs/dbraw/zinc/31/64/57/604316457.db2.gz WDQPEIORNWODFR-UHFFFAOYSA-N -1 1 312.376 1.994 20 0 DDADMM COc1cccc([C@@H](C)N2CCN(CCNC(=O)[O-])CC2)c1 ZINC000829542688 604363494 /nfs/dbraw/zinc/36/34/94/604363494.db2.gz AVMQDPWEMNAGNL-CYBMUJFWSA-N -1 1 307.394 1.641 20 0 DDADMM CN1CCO[C@@H]2CN([C@@H](C(=O)[O-])c3cccc(Cl)c3)C[C@H]21 ZINC000830966280 604446028 /nfs/dbraw/zinc/44/60/28/604446028.db2.gz ZEGFYIQXBUXVPL-MGPQQGTHSA-N -1 1 310.781 1.481 20 0 DDADMM CC(C)c1nnc(NC(=O)CN[C@H](C(=O)[O-])C(C)(C)C)s1 ZINC000827168607 604489494 /nfs/dbraw/zinc/48/94/94/604489494.db2.gz OLKDERKNBAOQTA-SECBINFHSA-N -1 1 314.411 1.689 20 0 DDADMM C[C@@](CO)(Nc1snc(Cl)c1-c1nnn[n-]1)C1CC1 ZINC000824433164 608190104 /nfs/dbraw/zinc/19/01/04/608190104.db2.gz COXLYIWKWQCANP-JTQLQIEISA-N -1 1 300.775 1.550 20 0 DDADMM C[C@@](CO)(Nc1snc(Cl)c1-c1nn[n-]n1)C1CC1 ZINC000824433164 608190105 /nfs/dbraw/zinc/19/01/05/608190105.db2.gz COXLYIWKWQCANP-JTQLQIEISA-N -1 1 300.775 1.550 20 0 DDADMM COC(=O)c1cc(CN2CCc3ccccc3[C@@H]2C(=O)[O-])c[nH]1 ZINC000833713250 604675870 /nfs/dbraw/zinc/67/58/70/604675870.db2.gz UNSQOLNEFWNXOW-OAHLLOKOSA-N -1 1 314.341 1.985 20 0 DDADMM C[C@@H](CN(CC(=O)Nc1ccc(Cl)cn1)C1CC1)C(=O)[O-] ZINC000827450680 604740484 /nfs/dbraw/zinc/74/04/84/604740484.db2.gz ZROAHFBFMFZNOW-VIFPVBQESA-N -1 1 311.769 1.859 20 0 DDADMM C[C@@H](CN(CC(=O)NCC(=O)c1cccs1)C1CC1)C(=O)[O-] ZINC000827450337 604746257 /nfs/dbraw/zinc/74/62/57/604746257.db2.gz VUXDKEOUNPBJGO-JTQLQIEISA-N -1 1 324.402 1.232 20 0 DDADMM C[C@@H]1[C@@H](C)N(C(=O)CCc2ccc(C(=O)[O-])cc2)CCN1C ZINC000833585001 604839045 /nfs/dbraw/zinc/83/90/45/604839045.db2.gz YLRDDPKHTGMKMB-CHWSQXEVSA-N -1 1 304.390 1.868 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCCN1C(=O)CN1CCC(C)CC1 ZINC000825943798 604851250 /nfs/dbraw/zinc/85/12/50/604851250.db2.gz HMEMRKJBQWGTSW-ZIAGYGMSSA-N -1 1 311.426 1.756 20 0 DDADMM CC1CCN(CC(=O)NC[C@H](NC(=O)[O-])c2ccccc2)CC1 ZINC000825945402 604865844 /nfs/dbraw/zinc/86/58/44/604865844.db2.gz WXPHSNMUXHDINP-HNNXBMFYSA-N -1 1 319.405 1.843 20 0 DDADMM CN(CC(=O)NCc1ccc(NC(=O)[O-])cc1)[C@@H]1CCSC1 ZINC000833691351 604925708 /nfs/dbraw/zinc/92/57/08/604925708.db2.gz BXNGJRHHKNGHGI-CYBMUJFWSA-N -1 1 323.418 1.830 20 0 DDADMM CC(C)(C(=O)[O-])[C@H]1CCCN(Cc2nnc3n2CCCC3)C1 ZINC000318632347 604936275 /nfs/dbraw/zinc/93/62/75/604936275.db2.gz CISPSGWSYWTBIB-LBPRGKRZSA-N -1 1 306.410 1.937 20 0 DDADMM COC(=O)C[C@H]1CCCN1Cn1nc(C(=O)[O-])c2ccccc21 ZINC000831144822 604982320 /nfs/dbraw/zinc/98/23/20/604982320.db2.gz TXDVFVRIDMURQC-LLVKDONJSA-N -1 1 317.345 1.720 20 0 DDADMM CCc1nc([C@@H](C)NS(=O)(=O)c2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000833675132 605005176 /nfs/dbraw/zinc/00/51/76/605005176.db2.gz YIWCEDSOGZZXJB-MRVPVSSYSA-N -1 1 324.362 1.105 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)Nc2ccn(CC(=O)[O-])n2)n[nH]1 ZINC000833511745 605019567 /nfs/dbraw/zinc/01/95/67/605019567.db2.gz CABGMNZJKJSVEM-MRVPVSSYSA-N -1 1 321.341 1.092 20 0 DDADMM CCC[C@@H](C(=O)Nc1nc2c(cccc2C(=O)[O-])[nH]1)N(C)C ZINC000833647310 605059035 /nfs/dbraw/zinc/05/90/35/605059035.db2.gz BIVAWAYKXQWVQA-NSHDSACASA-N -1 1 304.350 1.930 20 0 DDADMM CC(C)C[C@@H](NC(=O)[O-])C(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1 ZINC000833478757 605071809 /nfs/dbraw/zinc/07/18/09/605071809.db2.gz HWAXTESCUXRYMH-VHSXEESVSA-N -1 1 311.386 1.788 20 0 DDADMM CSCC[C@H](NC(=O)[O-])C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000829729505 605128018 /nfs/dbraw/zinc/12/80/18/605128018.db2.gz GFNJGUJQPYFQLV-ZJUUUORDSA-N -1 1 312.395 1.293 20 0 DDADMM CSCC[C@@H](NC(=O)[O-])C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000829729502 605128147 /nfs/dbraw/zinc/12/81/47/605128147.db2.gz GFNJGUJQPYFQLV-NXEZZACHSA-N -1 1 312.395 1.293 20 0 DDADMM CCC[C@@H](C(=O)Nc1cc(C(=O)[O-])cc(C(=O)OC)c1)N(C)C ZINC000833647484 605145630 /nfs/dbraw/zinc/14/56/30/605145630.db2.gz DUQCRJBUGXSHEL-ZDUSSCGKSA-N -1 1 322.361 1.840 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@H](C(=O)Nc2ncc(C(F)(F)F)[nH]2)C1 ZINC000832297224 605293987 /nfs/dbraw/zinc/29/39/87/605293987.db2.gz NZPZQENIKMGKMF-NTSWFWBYSA-N -1 1 306.244 1.803 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC[C@H]2CNC(=O)[O-])n[nH]1 ZINC000830030280 605301804 /nfs/dbraw/zinc/30/18/04/605301804.db2.gz NLQYJJZHCPNMSI-SKDRFNHKSA-N -1 1 309.370 1.091 20 0 DDADMM O=C([O-])N[C@H](CC(F)F)C(=O)Nc1ncc(C(F)(F)F)[nH]1 ZINC000834131702 605321437 /nfs/dbraw/zinc/32/14/37/605321437.db2.gz BCNUFZBSZSPUTH-GSVOUGTGSA-N -1 1 316.186 1.658 20 0 DDADMM Cc1cc(CNC(=O)N(C2CC2)[C@H]2CCN(C(=O)[O-])C2)n[nH]1 ZINC000830041340 605398679 /nfs/dbraw/zinc/39/86/79/605398679.db2.gz KLMHNBIZPIHWAA-LBPRGKRZSA-N -1 1 307.354 1.144 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(CC2(CS(C)(=O)=O)CC2)C1 ZINC000833813683 605428176 /nfs/dbraw/zinc/42/81/76/605428176.db2.gz PZIKXEAPQHYJOU-NEPJUHHUSA-N -1 1 318.439 1.179 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833386100 605466446 /nfs/dbraw/zinc/46/64/46/605466446.db2.gz WQSZLRPGMWIBQM-BLMSOEDDSA-N -1 1 313.357 1.934 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(CNC(=O)[O-])cc2)[C@@H](C)CN1C ZINC000833837845 605531165 /nfs/dbraw/zinc/53/11/65/605531165.db2.gz UJRYSZQFCUWUNT-NEPJUHHUSA-N -1 1 305.378 1.619 20 0 DDADMM O=C([O-])N1CCC[C@@H](NCC(=O)Nc2ccc(F)c(F)c2)C1 ZINC000834007958 605567089 /nfs/dbraw/zinc/56/70/89/605567089.db2.gz ZXVLBOWGOLJRLU-SNVBAGLBSA-N -1 1 313.304 1.635 20 0 DDADMM Cn1cc(CN2CC[C@@H](NC(=O)[O-])C2)c(-c2cccnc2)n1 ZINC000833948566 605567726 /nfs/dbraw/zinc/56/77/26/605567726.db2.gz HPUSTLHULRPVLY-CYBMUJFWSA-N -1 1 301.350 1.324 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CN(C[C@@H]2CCOc3ccccc32)CCO1 ZINC000833816415 605675014 /nfs/dbraw/zinc/67/50/14/605675014.db2.gz CKBUXJMZVOKREP-HEHGZKQESA-N -1 1 320.389 1.910 20 0 DDADMM C[C@H]1C[C@@H](CNC(=O)[O-])CN1Cc1ccc(C(=O)N(C)C)[nH]1 ZINC000833835383 605683689 /nfs/dbraw/zinc/68/36/89/605683689.db2.gz YNNQPSGRSXDVNK-QWRGUYRKSA-N -1 1 308.382 1.195 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1[C@H]1CCN(c2ccccc2)C1=O ZINC000833831206 605880626 /nfs/dbraw/zinc/88/06/26/605880626.db2.gz MNHCCYORRQZIBC-NFAWXSAZSA-N -1 1 317.389 1.770 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NCc1ccc(F)cc1 ZINC000833829731 605886847 /nfs/dbraw/zinc/88/68/47/605886847.db2.gz JAVKSJJHTMHZDO-DGCLKSJQSA-N -1 1 323.368 1.420 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1Cc1cc(=O)n2ccsc2n1 ZINC000833834754 605910923 /nfs/dbraw/zinc/91/09/23/605910923.db2.gz QTHLMBAVECMOLY-ZJUUUORDSA-N -1 1 322.390 1.234 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]nnc2c1 ZINC000834095401 605986605 /nfs/dbraw/zinc/98/66/05/605986605.db2.gz QEPRAZZLHKDIMN-MNOVXSKESA-N -1 1 315.333 1.315 20 0 DDADMM C[C@@H](NC(=O)[O-])C(=O)Nc1ccccc1CN1CCC(O)CC1 ZINC000833895061 605996713 /nfs/dbraw/zinc/99/67/13/605996713.db2.gz OHOZPCGRJHWFDV-LLVKDONJSA-N -1 1 321.377 1.238 20 0 DDADMM CC(C)[C@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)N(C)C ZINC000820025860 606135574 /nfs/dbraw/zinc/13/55/74/606135574.db2.gz DRFLYPWOKVNMKP-JTQLQIEISA-N -1 1 323.378 1.198 20 0 DDADMM CC(C)[C@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)N(C)C ZINC000820025860 606135575 /nfs/dbraw/zinc/13/55/75/606135575.db2.gz DRFLYPWOKVNMKP-JTQLQIEISA-N -1 1 323.378 1.198 20 0 DDADMM CN(Cc1cccs1)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821615649 606157207 /nfs/dbraw/zinc/15/72/07/606157207.db2.gz HGRZXJDTPCKUFL-UHFFFAOYSA-N -1 1 318.366 1.326 20 0 DDADMM CN(Cc1cccs1)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821615649 606157208 /nfs/dbraw/zinc/15/72/08/606157208.db2.gz HGRZXJDTPCKUFL-UHFFFAOYSA-N -1 1 318.366 1.326 20 0 DDADMM C[C@@H]1CC(NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C[C@@H](C)C1 ZINC000820649079 606160547 /nfs/dbraw/zinc/16/05/47/606160547.db2.gz IKJNHJUQYBAJLD-IUCAKERBSA-N -1 1 318.385 1.546 20 0 DDADMM C[C@@H]1CC(NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C[C@@H](C)C1 ZINC000820649079 606160549 /nfs/dbraw/zinc/16/05/49/606160549.db2.gz IKJNHJUQYBAJLD-IUCAKERBSA-N -1 1 318.385 1.546 20 0 DDADMM C[C@@H](CCC(C)(C)C)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820242737 606163249 /nfs/dbraw/zinc/16/32/49/606163249.db2.gz QGLOBJLJXMRDAT-VIFPVBQESA-N -1 1 320.401 1.937 20 0 DDADMM C[C@@H](CCC(C)(C)C)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820242737 606163251 /nfs/dbraw/zinc/16/32/51/606163251.db2.gz QGLOBJLJXMRDAT-VIFPVBQESA-N -1 1 320.401 1.937 20 0 DDADMM CCCN(CC(C)C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821094987 606171231 /nfs/dbraw/zinc/17/12/31/606171231.db2.gz WAGMSIIETUFTIG-UHFFFAOYSA-N -1 1 306.374 1.500 20 0 DDADMM CCCN(CC(C)C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821094987 606171232 /nfs/dbraw/zinc/17/12/32/606171232.db2.gz WAGMSIIETUFTIG-UHFFFAOYSA-N -1 1 306.374 1.500 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC/C=C\c2ccccc2)n1 ZINC000822614861 606173616 /nfs/dbraw/zinc/17/36/16/606173616.db2.gz VKVIPWPZULORHF-YVMONPNESA-N -1 1 324.348 1.435 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC/C=C\c2ccccc2)n1 ZINC000822614861 606173617 /nfs/dbraw/zinc/17/36/17/606173617.db2.gz VKVIPWPZULORHF-YVMONPNESA-N -1 1 324.348 1.435 20 0 DDADMM Cc1noc(CCCNc2ccc(Cl)c(-c3nnn[n-]3)n2)n1 ZINC000822527917 606465023 /nfs/dbraw/zinc/46/50/23/606465023.db2.gz KIKVPQKTIZHUSF-UHFFFAOYSA-N -1 1 320.744 1.651 20 0 DDADMM Cc1noc(CCCNc2ccc(Cl)c(-c3nn[n-]n3)n2)n1 ZINC000822527917 606465024 /nfs/dbraw/zinc/46/50/24/606465024.db2.gz KIKVPQKTIZHUSF-UHFFFAOYSA-N -1 1 320.744 1.651 20 0 DDADMM Cc1csc(CCNC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000822410069 606523742 /nfs/dbraw/zinc/52/37/42/606523742.db2.gz WFGKVFSAPVNXJK-UHFFFAOYSA-N -1 1 320.403 1.666 20 0 DDADMM Cc1csc(CCNC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000822410069 606523744 /nfs/dbraw/zinc/52/37/44/606523744.db2.gz WFGKVFSAPVNXJK-UHFFFAOYSA-N -1 1 320.403 1.666 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nnn[n-]1)c1nc2ccccc2s1 ZINC000823289129 606532603 /nfs/dbraw/zinc/53/26/03/606532603.db2.gz CCAUHYHAUXMFHH-UHFFFAOYSA-N -1 1 312.318 1.452 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nn[n-]n1)c1nc2ccccc2s1 ZINC000823289129 606532604 /nfs/dbraw/zinc/53/26/04/606532604.db2.gz CCAUHYHAUXMFHH-UHFFFAOYSA-N -1 1 312.318 1.452 20 0 DDADMM Cc1noc([C@@H](C)NC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000822519382 606539758 /nfs/dbraw/zinc/53/97/58/606539758.db2.gz FKVTXRQEXYSHBC-RXMQYKEDSA-N -1 1 305.323 1.111 20 0 DDADMM Cc1noc([C@@H](C)NC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000822519382 606539760 /nfs/dbraw/zinc/53/97/60/606539760.db2.gz FKVTXRQEXYSHBC-RXMQYKEDSA-N -1 1 305.323 1.111 20 0 DDADMM COCC1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000821684775 606560725 /nfs/dbraw/zinc/56/07/25/606560725.db2.gz KGZPUQADBHSAIT-UHFFFAOYSA-N -1 1 307.379 1.427 20 0 DDADMM COCC1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000821684775 606560727 /nfs/dbraw/zinc/56/07/27/606560727.db2.gz KGZPUQADBHSAIT-UHFFFAOYSA-N -1 1 307.379 1.427 20 0 DDADMM CCN(C(=O)c1ccc(-c2nnn[n-]2)s1)c1ccncc1 ZINC000821160411 606566047 /nfs/dbraw/zinc/56/60/47/606566047.db2.gz KIUCWAUMUNLHGN-UHFFFAOYSA-N -1 1 300.347 1.990 20 0 DDADMM CCN(C(=O)c1ccc(-c2nn[n-]n2)s1)c1ccncc1 ZINC000821160411 606566049 /nfs/dbraw/zinc/56/60/49/606566049.db2.gz KIUCWAUMUNLHGN-UHFFFAOYSA-N -1 1 300.347 1.990 20 0 DDADMM CC(C)[C@@H]1OCC[C@H]1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820046790 606584225 /nfs/dbraw/zinc/58/42/25/606584225.db2.gz UHCPKHBSVQIGID-GWCFXTLKSA-N -1 1 316.365 1.053 20 0 DDADMM CC(C)[C@@H]1OCC[C@H]1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820046790 606584226 /nfs/dbraw/zinc/58/42/26/606584226.db2.gz UHCPKHBSVQIGID-GWCFXTLKSA-N -1 1 316.365 1.053 20 0 DDADMM O=C(C[C@@H]1CCCCO1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822940848 606698708 /nfs/dbraw/zinc/69/87/08/606698708.db2.gz HCGBQNWLRUVEOD-JTQLQIEISA-N -1 1 303.322 1.470 20 0 DDADMM COc1ccc(F)cc1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000821828568 607023994 /nfs/dbraw/zinc/02/39/94/607023994.db2.gz YEOOKQFHNNRARS-UHFFFAOYSA-N -1 1 320.309 1.723 20 0 DDADMM COc1ccc(F)cc1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000821828568 607023996 /nfs/dbraw/zinc/02/39/96/607023996.db2.gz YEOOKQFHNNRARS-UHFFFAOYSA-N -1 1 320.309 1.723 20 0 DDADMM Cc1ccc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)c(O)c1 ZINC000822227377 607108186 /nfs/dbraw/zinc/10/81/86/607108186.db2.gz GSSQRPFGZRGIEJ-UHFFFAOYSA-N -1 1 302.319 1.590 20 0 DDADMM O=C(OCc1ccc2c[nH]nc2c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823426149 607185568 /nfs/dbraw/zinc/18/55/68/607185568.db2.gz AIFVHXCFBYLRSS-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM O=C(OCc1ccc2c[nH]nc2c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823426149 607185569 /nfs/dbraw/zinc/18/55/69/607185569.db2.gz AIFVHXCFBYLRSS-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM CCC(C)(C)NC(=O)COc1cccc(F)c1-c1nnn[n-]1 ZINC000825043075 607865020 /nfs/dbraw/zinc/86/50/20/607865020.db2.gz DHFAZNCHGHBMAQ-UHFFFAOYSA-N -1 1 307.329 1.689 20 0 DDADMM CCC(C)(C)NC(=O)COc1cccc(F)c1-c1nn[n-]n1 ZINC000825043075 607865021 /nfs/dbraw/zinc/86/50/21/607865021.db2.gz DHFAZNCHGHBMAQ-UHFFFAOYSA-N -1 1 307.329 1.689 20 0 DDADMM COc1ncccc1COc1cccc(F)c1-c1nnn[n-]1 ZINC000826222385 607866287 /nfs/dbraw/zinc/86/62/87/607866287.db2.gz VCMMYEHFYNRTLS-UHFFFAOYSA-N -1 1 301.281 1.988 20 0 DDADMM COc1ncccc1COc1cccc(F)c1-c1nn[n-]n1 ZINC000826222385 607866288 /nfs/dbraw/zinc/86/62/88/607866288.db2.gz VCMMYEHFYNRTLS-UHFFFAOYSA-N -1 1 301.281 1.988 20 0 DDADMM C[C@@H](c1ccccc1)[C@H](CO)Nc1cccc(-c2nnn[n-]2)n1 ZINC000824772074 607898505 /nfs/dbraw/zinc/89/85/05/607898505.db2.gz TVOWGXQOPLQGPX-FZMZJTMJSA-N -1 1 310.361 1.838 20 0 DDADMM C[C@@H](c1ccccc1)[C@H](CO)Nc1cccc(-c2nn[n-]n2)n1 ZINC000824772074 607898506 /nfs/dbraw/zinc/89/85/06/607898506.db2.gz TVOWGXQOPLQGPX-FZMZJTMJSA-N -1 1 310.361 1.838 20 0 DDADMM Cc1ccc([C@@H]2COCCN2c2cccc(-c3nnn[n-]3)n2)o1 ZINC000826285928 607901728 /nfs/dbraw/zinc/90/17/28/607901728.db2.gz OBWRXFKSIZXMJF-LBPRGKRZSA-N -1 1 312.333 1.741 20 0 DDADMM Cc1ccc([C@@H]2COCCN2c2cccc(-c3nn[n-]n3)n2)o1 ZINC000826285928 607901729 /nfs/dbraw/zinc/90/17/29/607901729.db2.gz OBWRXFKSIZXMJF-LBPRGKRZSA-N -1 1 312.333 1.741 20 0 DDADMM NC(=O)C[C@H](Nc1cccc(-c2nnn[n-]2)n1)C1CCCCC1 ZINC000826390645 607934484 /nfs/dbraw/zinc/93/44/84/607934484.db2.gz HFPDRZFJPWTHAR-LBPRGKRZSA-N -1 1 315.381 1.498 20 0 DDADMM NC(=O)C[C@H](Nc1cccc(-c2nn[n-]n2)n1)C1CCCCC1 ZINC000826390645 607934485 /nfs/dbraw/zinc/93/44/85/607934485.db2.gz HFPDRZFJPWTHAR-LBPRGKRZSA-N -1 1 315.381 1.498 20 0 DDADMM CN(CCOc1ccc(F)cc1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825946456 607988222 /nfs/dbraw/zinc/98/82/22/607988222.db2.gz YXUICYLDDYZKDQ-UHFFFAOYSA-N -1 1 315.312 1.311 20 0 DDADMM CN(CCOc1ccc(F)cc1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825946456 607988223 /nfs/dbraw/zinc/98/82/23/607988223.db2.gz YXUICYLDDYZKDQ-UHFFFAOYSA-N -1 1 315.312 1.311 20 0 DDADMM CCOCc1ccc(CNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000825603847 607989202 /nfs/dbraw/zinc/98/92/02/607989202.db2.gz DMNRFIJTKQOZHH-UHFFFAOYSA-N -1 1 311.349 1.805 20 0 DDADMM CCOCc1ccc(CNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000825603847 607989203 /nfs/dbraw/zinc/98/92/03/607989203.db2.gz DMNRFIJTKQOZHH-UHFFFAOYSA-N -1 1 311.349 1.805 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)C12CC3CC(CC(C3)C1)C2 ZINC000826424463 608013206 /nfs/dbraw/zinc/01/32/06/608013206.db2.gz NGVDLHXWTJXSFV-UHFFFAOYSA-N -1 1 312.377 1.849 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)C12CC3CC(CC(C3)C1)C2 ZINC000826424463 608013207 /nfs/dbraw/zinc/01/32/07/608013207.db2.gz NGVDLHXWTJXSFV-UHFFFAOYSA-N -1 1 312.377 1.849 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)Cn2ccnc2-c2nnn[n-]2)[C@@H]1C ZINC000824925802 608014129 /nfs/dbraw/zinc/01/41/29/608014129.db2.gz HPNSKKXWGLMMMI-GMTAPVOTSA-N -1 1 303.370 1.004 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)Cn2ccnc2-c2nn[n-]n2)[C@@H]1C ZINC000824925802 608014130 /nfs/dbraw/zinc/01/41/30/608014130.db2.gz HPNSKKXWGLMMMI-GMTAPVOTSA-N -1 1 303.370 1.004 20 0 DDADMM C[C@H](Nc1cc(-c2nnn[n-]2)nc2ccccc21)c1nncn1C ZINC000824650907 608368004 /nfs/dbraw/zinc/36/80/04/608368004.db2.gz VTVKLPOCAZOFKK-VIFPVBQESA-N -1 1 321.348 1.717 20 0 DDADMM C[C@H](Nc1cc(-c2nn[n-]n2)nc2ccccc21)c1nncn1C ZINC000824650907 608368006 /nfs/dbraw/zinc/36/80/06/608368006.db2.gz VTVKLPOCAZOFKK-VIFPVBQESA-N -1 1 321.348 1.717 20 0 DDADMM CC(C)c1ncc2c(n1)CN(c1ccc(-c3nnn[n-]3)nn1)CC2 ZINC000824364677 608414515 /nfs/dbraw/zinc/41/45/15/608414515.db2.gz PSPWTDLKPNJGGG-UHFFFAOYSA-N -1 1 323.364 1.133 20 0 DDADMM CC(C)c1ncc2c(n1)CN(c1ccc(-c3nn[n-]n3)nn1)CC2 ZINC000824364677 608414516 /nfs/dbraw/zinc/41/45/16/608414516.db2.gz PSPWTDLKPNJGGG-UHFFFAOYSA-N -1 1 323.364 1.133 20 0 DDADMM c1cnn(-c2cccc(Oc3ccc(-c4nnn[n-]4)nn3)c2)c1 ZINC000826517582 608422439 /nfs/dbraw/zinc/42/24/39/608422439.db2.gz IIFAPXIVYCXGLL-UHFFFAOYSA-N -1 1 306.289 1.635 20 0 DDADMM c1cnn(-c2cccc(Oc3ccc(-c4nn[n-]n4)nn3)c2)c1 ZINC000826517582 608422441 /nfs/dbraw/zinc/42/24/41/608422441.db2.gz IIFAPXIVYCXGLL-UHFFFAOYSA-N -1 1 306.289 1.635 20 0 DDADMM CC[C@@H](C)CS(=O)(=O)Oc1cccc(F)c1-c1nnn[n-]1 ZINC000825067298 608440193 /nfs/dbraw/zinc/44/01/93/608440193.db2.gz JEMUCWLLSVZFEI-MRVPVSSYSA-N -1 1 314.342 1.761 20 0 DDADMM CC[C@@H](C)CS(=O)(=O)Oc1cccc(F)c1-c1nn[n-]n1 ZINC000825067298 608440195 /nfs/dbraw/zinc/44/01/95/608440195.db2.gz JEMUCWLLSVZFEI-MRVPVSSYSA-N -1 1 314.342 1.761 20 0 DDADMM C[C@@H]1[C@@H](C)[S@@](=O)CCN1Cc1ccc(-c2nn[n-]n2)s1 ZINC000824860715 608714406 /nfs/dbraw/zinc/71/44/06/608714406.db2.gz XFWCWRJQDFFLGR-ZLVALASMSA-N -1 1 311.436 1.270 20 0 DDADMM O=C(COc1ccc(Cl)cc1)Cn1ccnc1-c1nnn[n-]1 ZINC000826417896 608892949 /nfs/dbraw/zinc/89/29/49/608892949.db2.gz KGWAZLRUXOFLGN-UHFFFAOYSA-N -1 1 318.724 1.365 20 0 DDADMM O=C(COc1ccc(Cl)cc1)Cn1ccnc1-c1nn[n-]n1 ZINC000826417896 608892951 /nfs/dbraw/zinc/89/29/51/608892951.db2.gz KGWAZLRUXOFLGN-UHFFFAOYSA-N -1 1 318.724 1.365 20 0 DDADMM CC(C)C[C@@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)CCO1 ZINC000824243426 609170715 /nfs/dbraw/zinc/17/07/15/609170715.db2.gz FZXKLTWNDGAJOK-GHMZBOCLSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)C[C@@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)CCO1 ZINC000824243426 609170716 /nfs/dbraw/zinc/17/07/16/609170716.db2.gz FZXKLTWNDGAJOK-GHMZBOCLSA-N -1 1 303.370 1.662 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC(C)(C)C2)c1-c1nnn[n-]1 ZINC000826346611 609470895 /nfs/dbraw/zinc/47/08/95/609470895.db2.gz QLXQFYVIZWEBGF-SECBINFHSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC(C)(C)C2)c1-c1nn[n-]n1 ZINC000826346611 609470896 /nfs/dbraw/zinc/47/08/96/609470896.db2.gz QLXQFYVIZWEBGF-SECBINFHSA-N -1 1 304.354 1.401 20 0 DDADMM CC(=O)NC1CCC(N(C)c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000823989072 609604308 /nfs/dbraw/zinc/60/43/08/609604308.db2.gz LYZATGJSHGCLLH-UHFFFAOYSA-N -1 1 315.381 1.145 20 0 DDADMM CC(=O)NC1CCC(N(C)c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000823989072 609604310 /nfs/dbraw/zinc/60/43/10/609604310.db2.gz LYZATGJSHGCLLH-UHFFFAOYSA-N -1 1 315.381 1.145 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@@H]2CCCN(C(C)=O)C2)[n-]1 ZINC000796984018 699989101 /nfs/dbraw/zinc/98/91/01/699989101.db2.gz RQKZVCVLVAPMQR-LLVKDONJSA-N -1 1 308.334 1.217 20 0 DDADMM O=C([O-])[C@@H](NC(=O)N[C@H]1CCCc2cn[nH]c21)c1cccs1 ZINC000797725921 700021409 /nfs/dbraw/zinc/02/14/09/700021409.db2.gz XNQASPQHYDWZDO-CABZTGNLSA-N -1 1 320.374 1.974 20 0 DDADMM Cc1ccc(O)c(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1 ZINC000007839962 696031758 /nfs/dbraw/zinc/03/17/58/696031758.db2.gz ZXQUXASWTLWMRC-UHFFFAOYSA-N -1 1 313.309 1.814 20 0 DDADMM CC(=CC(=O)N1CCC(O)(c2nn[n-]n2)CC1)c1ccccc1 ZINC000798270348 700053985 /nfs/dbraw/zinc/05/39/85/700053985.db2.gz NMDQKMTUYIOFEV-QXMHVHEDSA-N -1 1 313.361 1.113 20 0 DDADMM CSc1cccc(NC(C)=C2C(=O)[N-]C(=S)NC2=O)c1 ZINC000009771421 696037761 /nfs/dbraw/zinc/03/77/61/696037761.db2.gz QUEZBZBFVBVRHU-UHFFFAOYSA-N -1 1 307.400 1.625 20 0 DDADMM Cc1ccc(C(C)C)cc1OCC(=O)NN1CC(=O)[N-]C1=O ZINC000029018036 696108275 /nfs/dbraw/zinc/10/82/75/696108275.db2.gz ZPOSSQAWIXSYID-UHFFFAOYSA-N -1 1 305.334 1.080 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)CN1CCCC1=O ZINC000747364565 700065931 /nfs/dbraw/zinc/06/59/31/700065931.db2.gz QDPMEPCZCCTKCH-JTQLQIEISA-N -1 1 320.393 1.355 20 0 DDADMM O=C([N-]NC(=O)c1cc(Br)c[nH]1)c1cccs1 ZINC000045581526 696175138 /nfs/dbraw/zinc/17/51/38/696175138.db2.gz MWXLDGSZDXNORS-UHFFFAOYSA-N -1 1 314.164 1.914 20 0 DDADMM N#Cc1ccccc1CS(=O)(=O)[N-]C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000048507484 696213127 /nfs/dbraw/zinc/21/31/27/696213127.db2.gz NXWIAHCDHHMRPB-GHMZBOCLSA-N -1 1 309.303 1.010 20 0 DDADMM CC1CCN(CC(=O)N[C@H](Cc2ccc(F)cc2)C(=O)[O-])CC1 ZINC000049477796 696224191 /nfs/dbraw/zinc/22/41/91/696224191.db2.gz KBCDNCPUHOZHAL-OAHLLOKOSA-N -1 1 322.380 1.670 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977597202 696228730 /nfs/dbraw/zinc/22/87/30/696228730.db2.gz FUALCLNZCWZGPT-QWHCGFSZSA-N -1 1 319.405 1.752 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H]([C@H](C)O)C1 ZINC000747463796 700071755 /nfs/dbraw/zinc/07/17/55/700071755.db2.gz RSGVXBFJEAKMFX-RYUDHWBXSA-N -1 1 307.394 1.847 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977621789 696238056 /nfs/dbraw/zinc/23/80/56/696238056.db2.gz VBAMLMDMUGFTBR-LLVKDONJSA-N -1 1 321.352 1.724 20 0 DDADMM O=C(CSC(F)(F)F)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000747473759 700072166 /nfs/dbraw/zinc/07/21/66/700072166.db2.gz LBAPMFRSTLONGE-VIFPVBQESA-N -1 1 317.296 1.658 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)OCC(=O)OC ZINC000052171700 696252908 /nfs/dbraw/zinc/25/29/08/696252908.db2.gz QYKVIFZEVUFLGL-UHFFFAOYSA-N -1 1 315.347 1.168 20 0 DDADMM CCC(Nc1cccc(OC)c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000054502358 696276495 /nfs/dbraw/zinc/27/64/95/696276495.db2.gz JFGUCORVUGIMST-UHFFFAOYSA-N -1 1 305.359 1.302 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@@H](C)COc2ccccc2)n1 ZINC000056929372 696293310 /nfs/dbraw/zinc/29/33/10/696293310.db2.gz DAFKCJAUDSDELO-NSHDSACASA-N -1 1 317.349 1.579 20 0 DDADMM O=C([N-]NC(=O)c1ccc2ccccc2c1)c1ccc[n+]([O-])c1 ZINC000057096175 696294236 /nfs/dbraw/zinc/29/42/36/696294236.db2.gz JKBJAKQRVPPDGX-UHFFFAOYSA-N -1 1 307.309 1.548 20 0 DDADMM COc1ccc(C(=O)NNC(=O)c2ccc(C#N)cc2)c([O-])c1 ZINC000061633528 696320612 /nfs/dbraw/zinc/32/06/12/696320612.db2.gz MEHJRMLGAAPWQL-UHFFFAOYSA-N -1 1 311.297 1.347 20 0 DDADMM Cc1ccc(C(=O)NCc2n[n-]c(=S)n2C2CC2)c(=O)[nH]1 ZINC000066638015 696354506 /nfs/dbraw/zinc/35/45/06/696354506.db2.gz SEIPHXZRJDHISE-UHFFFAOYSA-N -1 1 305.363 1.202 20 0 DDADMM CCCS(=O)(=O)[N-][C@@H](C(=O)OC)c1cc(F)ccc1F ZINC000076386054 696429496 /nfs/dbraw/zinc/42/94/96/696429496.db2.gz PGGBEOIFQBBZPE-LLVKDONJSA-N -1 1 307.318 1.508 20 0 DDADMM Cc1nnc(NC(=O)c2ccc3c(c2)[n-]c(=S)[nH]c3=O)[nH]1 ZINC000077989021 696447034 /nfs/dbraw/zinc/44/70/34/696447034.db2.gz YJKJGAJBBCHPAH-UHFFFAOYSA-N -1 1 302.319 1.677 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2cccc3ccccc32)s1 ZINC000079482114 696460684 /nfs/dbraw/zinc/46/06/84/696460684.db2.gz VGWJGAKPLZTNRB-ZDUSSCGKSA-N -1 1 313.382 1.803 20 0 DDADMM Cc1ccc(NC(=O)Cc2sc(N)nc2[O-])c2n[nH]cc21 ZINC000079817821 696463847 /nfs/dbraw/zinc/46/38/47/696463847.db2.gz VYTMRSUOTILBHZ-VIFPVBQESA-N -1 1 303.347 1.157 20 0 DDADMM CCC[C@@H](Cc1ccccc1)NC(=O)Cc1sc(N)nc1[O-] ZINC000079971204 696465643 /nfs/dbraw/zinc/46/56/43/696465643.db2.gz BEAZTWXWHFODNO-QWHCGFSZSA-N -1 1 319.430 1.861 20 0 DDADMM C[C@@H]1c2ccc(F)cc2CCN1C(=O)Cc1sc(N)nc1[O-] ZINC000080401048 696534142 /nfs/dbraw/zinc/53/41/42/696534142.db2.gz KWVBCXVRWDNMFN-PELKAZGASA-N -1 1 321.377 1.618 20 0 DDADMM CCCCCC(C)(C)C(=O)Nc1n[n-]c(S(C)(=O)=O)n1 ZINC000084631500 696559046 /nfs/dbraw/zinc/55/90/46/696559046.db2.gz BLTKKCBVTOPCJT-UHFFFAOYSA-N -1 1 302.400 1.753 20 0 DDADMM CCCCCC(C)(C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000084631500 696559047 /nfs/dbraw/zinc/55/90/47/696559047.db2.gz BLTKKCBVTOPCJT-UHFFFAOYSA-N -1 1 302.400 1.753 20 0 DDADMM C[C@H]1OCC[C@H]1C(=O)NNC(=O)c1cc2ccccc2cc1[O-] ZINC000092716193 696596452 /nfs/dbraw/zinc/59/64/52/696596452.db2.gz FZANXMMYLNWVTD-ZWNOBZJWSA-N -1 1 314.341 1.731 20 0 DDADMM CC(C)C(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H]2C1 ZINC000979696005 696629168 /nfs/dbraw/zinc/62/91/68/696629168.db2.gz UCTHTFFHGUHIKV-RWMBFGLXSA-N -1 1 317.389 1.410 20 0 DDADMM CCC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]12 ZINC000979832002 696650851 /nfs/dbraw/zinc/65/08/51/696650851.db2.gz RUVOFGUKJJJRSZ-GRYCIOLGSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)[C@@H](C)c1ccccc1)N(C)C ZINC000798831162 700100021 /nfs/dbraw/zinc/10/00/21/700100021.db2.gz BLPDXNHEKZMMRT-GXTWGEPZSA-N -1 1 312.435 1.780 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCc3c2cccc3Cl)s1 ZINC000119083038 696677205 /nfs/dbraw/zinc/67/72/05/696677205.db2.gz OXQNBOLMTHMLJM-SNVBAGLBSA-N -1 1 309.778 1.576 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2ccc3[nH]nnc3c2)nc1Cl ZINC000120278146 696692914 /nfs/dbraw/zinc/69/29/14/696692914.db2.gz GCSZKZYZLCWYFL-UHFFFAOYSA-N -1 1 312.742 1.146 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2ccc3nn[nH]c3c2)nc1Cl ZINC000120278146 696692916 /nfs/dbraw/zinc/69/29/16/696692916.db2.gz GCSZKZYZLCWYFL-UHFFFAOYSA-N -1 1 312.742 1.146 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@H]2c2ccccc2)s1 ZINC000120847662 696702287 /nfs/dbraw/zinc/70/22/87/696702287.db2.gz YFSBHMKJPLTSGK-RYUDHWBXSA-N -1 1 303.387 1.697 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@H]1CCC[C@@H](C)C1 ZINC000129295043 696787471 /nfs/dbraw/zinc/78/74/71/696787471.db2.gz HXBOMFRCEANLPM-PWSUYJOCSA-N -1 1 318.377 1.638 20 0 DDADMM C[C@@H]1CO[C@H](CO)CN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000129732546 696791374 /nfs/dbraw/zinc/79/13/74/696791374.db2.gz QIQOVDDFINIUGC-MFKMUULPSA-N -1 1 305.330 1.080 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]Cc2ncccc2F)c1Cl ZINC000156677692 696966058 /nfs/dbraw/zinc/96/60/58/696966058.db2.gz PWDRUWFTPRXBQD-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccoc1 ZINC000982671631 697167489 /nfs/dbraw/zinc/16/74/89/697167489.db2.gz RGJXDZZYRULHCJ-LLVKDONJSA-N -1 1 315.329 1.272 20 0 DDADMM CCOC1CCN(C(=O)c2nnc3ccccc3c2O)CC1 ZINC000171765745 697361759 /nfs/dbraw/zinc/36/17/59/697361759.db2.gz NXDNBDZTHHXCMW-UHFFFAOYSA-N -1 1 301.346 1.564 20 0 DDADMM CC(C)(C)OC(=O)N1CC(NC(=O)c2cc(F)ccc2[O-])C1 ZINC000175239123 697395378 /nfs/dbraw/zinc/39/53/78/697395378.db2.gz JLVRRJXWUJZWJN-UHFFFAOYSA-N -1 1 310.325 1.880 20 0 DDADMM Cc1ncc(C(=O)N[N-]C(=O)c2ccc(Cl)cc2F)c(C)n1 ZINC000181557292 697462466 /nfs/dbraw/zinc/46/24/66/697462466.db2.gz JTXZWKZMECBXJX-UHFFFAOYSA-N -1 1 322.727 1.961 20 0 DDADMM CC(C)[C@@H](OC(=O)c1c([O-])cc(F)cc1F)C(=O)NC(N)=O ZINC000749279789 700154787 /nfs/dbraw/zinc/15/47/87/700154787.db2.gz PQICNKNCUIQTPU-SNVBAGLBSA-N -1 1 316.260 1.047 20 0 DDADMM C[C@@](O)(CNC(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1)c1ccsc1 ZINC000185769828 697523676 /nfs/dbraw/zinc/52/36/76/697523676.db2.gz PSPFBXYODBOQBI-CYBMUJFWSA-N -1 1 323.330 1.394 20 0 DDADMM Cc1ccc(OCCCC(=O)[N-]OCC(N)=O)c(Cl)c1 ZINC000772937195 697695701 /nfs/dbraw/zinc/69/57/01/697695701.db2.gz WKUYLWBRBMVQBR-UHFFFAOYSA-N -1 1 300.742 1.341 20 0 DDADMM COC(=O)c1cc2ccc(OS(=O)(=O)c3c[n-]cn3)cc2o1 ZINC000772983661 697701168 /nfs/dbraw/zinc/70/11/68/697701168.db2.gz JDAKKVBFDPTMQU-UHFFFAOYSA-N -1 1 322.298 1.710 20 0 DDADMM Cc1cnc(CN[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)o1 ZINC000986171218 697718030 /nfs/dbraw/zinc/71/80/30/697718030.db2.gz PPGLGHYNUCPWJT-NWDGAFQWSA-N -1 1 316.361 1.476 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000773316270 697743166 /nfs/dbraw/zinc/74/31/66/697743166.db2.gz XYGHKEHTBOEMSD-JECSTDCCSA-N -1 1 315.329 1.900 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]CC[C@@H]1CCOC1 ZINC000230140455 697781296 /nfs/dbraw/zinc/78/12/96/697781296.db2.gz CNKLSIVYSZCCGF-SECBINFHSA-N -1 1 318.326 1.439 20 0 DDADMM CC(C)CNC(=O)NC(=O)COC(=O)c1ccc([O-])cc1F ZINC000773568225 697782111 /nfs/dbraw/zinc/78/21/11/697782111.db2.gz FEVWEDCJHKKWKB-UHFFFAOYSA-N -1 1 312.297 1.170 20 0 DDADMM CC(C)NC(=O)NC(=O)[C@H](C)OC(=O)c1ccc([O-])cc1F ZINC000773566895 697782401 /nfs/dbraw/zinc/78/24/01/697782401.db2.gz PXKKWVHDMZSYEU-QMMMGPOBSA-N -1 1 312.297 1.311 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1cncc2ccccc21)c1nn[n-]n1 ZINC000773997946 697832015 /nfs/dbraw/zinc/83/20/15/697832015.db2.gz JILCZRLBPFKJTB-LBPRGKRZSA-N -1 1 324.388 1.943 20 0 DDADMM Cc1nnc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)s1 ZINC000774464181 697882894 /nfs/dbraw/zinc/88/28/94/697882894.db2.gz IOOVFYYIRCODFF-UHFFFAOYSA-N -1 1 306.376 1.108 20 0 DDADMM O=S(=O)(Oc1cnn(Cc2ccccc2)c1)c1c[n-]cn1 ZINC000800145301 700194060 /nfs/dbraw/zinc/19/40/60/700194060.db2.gz HAKIORRSEUZXPD-UHFFFAOYSA-N -1 1 304.331 1.422 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H](F)C(F)(F)F)co1 ZINC000800188987 700200107 /nfs/dbraw/zinc/20/01/07/700200107.db2.gz ASAHPDZTWKEWRO-LURJTMIESA-N -1 1 319.232 1.245 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987688024 698198868 /nfs/dbraw/zinc/19/88/68/698198868.db2.gz KNHYOWFMLZJLIZ-NTZNESFSSA-N -1 1 323.368 1.501 20 0 DDADMM C[C@H]1C[C@H](NCc2cscn2)CN1C(=O)c1ncccc1[O-] ZINC000988692914 698439159 /nfs/dbraw/zinc/43/91/59/698439159.db2.gz XXRIJUZHEXZKEA-QWRGUYRKSA-N -1 1 318.402 1.637 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N([C@@H](C)C(=O)[O-])C1CC1 ZINC000263540214 698512596 /nfs/dbraw/zinc/51/25/96/698512596.db2.gz CFENEIVSTKPMCP-CBAPKCEASA-N -1 1 324.299 1.154 20 0 DDADMM C[C@](O)(CC(=O)NC1(c2nn[n-]n2)CCCC1)c1ccccc1 ZINC000780945597 698575298 /nfs/dbraw/zinc/57/52/98/698575298.db2.gz MDQAANVPGQIREA-HNNXBMFYSA-N -1 1 315.377 1.383 20 0 DDADMM CO[C@@H]1CCCC[C@H]1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295192285 698584973 /nfs/dbraw/zinc/58/49/73/698584973.db2.gz JPZJHTOYAJQYIG-GHMZBOCLSA-N -1 1 306.391 1.705 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCN(C(C)C)C(=O)C1 ZINC000781043974 698585206 /nfs/dbraw/zinc/58/52/06/698585206.db2.gz FBPUAKRQASNPSM-UHFFFAOYSA-N -1 1 320.393 1.307 20 0 DDADMM C[C@@]1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCCOC1 ZINC000781293692 698606440 /nfs/dbraw/zinc/60/64/40/698606440.db2.gz YOHAUNQACBVSSY-MRXNPFEDSA-N -1 1 305.330 1.303 20 0 DDADMM O=C([N-]C1CN(C(=O)c2ccnc(C(F)(F)F)c2)C1)C(F)F ZINC000990024020 698903013 /nfs/dbraw/zinc/90/30/13/698903013.db2.gz FRHKSLFCSXHPPE-UHFFFAOYSA-N -1 1 323.221 1.306 20 0 DDADMM COc1cccc([C@H](CNC(=O)[C@@H]2CC[C@H]2C(=O)[O-])N(C)C)c1 ZINC000397123683 699114977 /nfs/dbraw/zinc/11/49/77/699114977.db2.gz KLNIIICPZHNYCT-KFWWJZLASA-N -1 1 320.389 1.525 20 0 DDADMM O=C(COC(=O)[C@H]1CCCOCC1)[N-]C(=O)c1ccccc1 ZINC000787110024 699190821 /nfs/dbraw/zinc/19/08/21/699190821.db2.gz KDDMFDUBDQPOIA-ZDUSSCGKSA-N -1 1 305.330 1.303 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC2(CC1)OCCO2 ZINC000701250688 699226335 /nfs/dbraw/zinc/22/63/35/699226335.db2.gz ZAEJQWQQQVTIGA-UHFFFAOYSA-N -1 1 321.377 1.593 20 0 DDADMM O=C(NCc1ncccn1)c1cc(Br)ccc1[O-] ZINC000702343530 699229750 /nfs/dbraw/zinc/22/97/50/699229750.db2.gz ZYABABDZYKNGIS-UHFFFAOYSA-N -1 1 308.135 1.875 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@H]2CCSC2)c1Cl ZINC000704846543 699238699 /nfs/dbraw/zinc/23/86/99/699238699.db2.gz CSZFXKFJWNKKCW-QMMMGPOBSA-N -1 1 309.844 1.495 20 0 DDADMM C[C@H](OC(=O)c1nn(-c2ccc(F)cc2)cc1[O-])C(=O)N(C)C ZINC000787957112 699259917 /nfs/dbraw/zinc/25/99/17/699259917.db2.gz ZBLMJINQKLYFMQ-VIFPVBQESA-N -1 1 321.308 1.351 20 0 DDADMM CC1(CC[N-]S(=O)(=O)c2ccc(Cl)nc2F)OCCO1 ZINC000716363978 699282443 /nfs/dbraw/zinc/28/24/43/699282443.db2.gz SBNSLMVBWQEOTI-UHFFFAOYSA-N -1 1 324.761 1.306 20 0 DDADMM CC(C)CC[C@H]1CCC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727860445 699428509 /nfs/dbraw/zinc/42/85/09/699428509.db2.gz DXONKUSTKCXRBY-KGLIPLIRSA-N -1 1 321.421 1.648 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@H]1CCCOC1 ZINC000727907222 699430753 /nfs/dbraw/zinc/43/07/53/699430753.db2.gz MERGJIVPXYFNQP-JTQLQIEISA-N -1 1 304.298 1.968 20 0 DDADMM CC(C)[C@@](C)(O)C(=O)Nc1nc(Br)ccc1[O-] ZINC000790043186 699437075 /nfs/dbraw/zinc/43/70/75/699437075.db2.gz NRQBRMJFPDXJFB-LLVKDONJSA-N -1 1 303.156 1.895 20 0 DDADMM CC(C)OC(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000733001864 699576722 /nfs/dbraw/zinc/57/67/22/699576722.db2.gz RPCLIAHDHWWEAK-UHFFFAOYSA-N -1 1 307.350 1.526 20 0 DDADMM COc1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1OC ZINC000791168538 699614150 /nfs/dbraw/zinc/61/41/50/699614150.db2.gz XYXPYYOANYANCL-UHFFFAOYSA-N -1 1 312.329 1.611 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cc4ccccc4oc3=O)ccnc1-2 ZINC000791168553 699614211 /nfs/dbraw/zinc/61/42/11/699614211.db2.gz YMEGTWGGRPHIEP-UHFFFAOYSA-N -1 1 320.308 1.701 20 0 DDADMM CC(C)(C)[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000734819646 699663030 /nfs/dbraw/zinc/66/30/30/699663030.db2.gz LVFABVHFGIGKIC-CYBMUJFWSA-N -1 1 321.421 1.602 20 0 DDADMM COCC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCC1 ZINC000792690883 699707969 /nfs/dbraw/zinc/70/79/69/699707969.db2.gz PPOXNXZENGELCY-UHFFFAOYSA-N -1 1 305.330 1.303 20 0 DDADMM C[C@H]1CCC[C@@H](OCC(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC000736258932 699721919 /nfs/dbraw/zinc/72/19/19/699721919.db2.gz CTPVXWJNOXNTDU-WCQYABFASA-N -1 1 307.398 1.501 20 0 DDADMM CC[C@@H](C)[C@@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000737869337 699746984 /nfs/dbraw/zinc/74/69/84/699746984.db2.gz ZIFUQWZZNMEGJM-OLZOCXBDSA-N -1 1 307.394 1.354 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCCN(C(=O)c2cccc3n[nH]cc32)C1 ZINC000739584934 699776285 /nfs/dbraw/zinc/77/62/85/699776285.db2.gz LODHLJXFJBODKL-SNVBAGLBSA-N -1 1 302.334 1.777 20 0 DDADMM CCC[C@@H](Nc1nc2[nH][n-]cc-2c(=O)n1)[C@@H](O)c1ccccc1 ZINC000795210899 699852058 /nfs/dbraw/zinc/85/20/58/699852058.db2.gz ZIDGCVVZEDOILW-OLZOCXBDSA-N -1 1 313.361 1.746 20 0 DDADMM Cc1nc(-c2ccc(N3CCCNC(=O)C3)nc2)[n-]c(=O)c1C ZINC000742401208 699877332 /nfs/dbraw/zinc/87/73/32/699877332.db2.gz SQNMCIWFRBIQPG-UHFFFAOYSA-N -1 1 313.361 1.187 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1sccc1-c1ccc(F)cc1 ZINC000743290622 699905944 /nfs/dbraw/zinc/90/59/44/699905944.db2.gz NHCGKZXZBUDYFH-UHFFFAOYSA-N -1 1 319.317 1.751 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)COc2ccccc2)[n-]1 ZINC000796343184 699928028 /nfs/dbraw/zinc/92/80/28/699928028.db2.gz CKEIAJKHRYESEY-UHFFFAOYSA-N -1 1 317.297 1.606 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)c2cccc(OC)n2)[n-]1 ZINC000796343419 699928101 /nfs/dbraw/zinc/92/81/01/699928101.db2.gz BIHUUDOLDVXRCW-UHFFFAOYSA-N -1 1 318.285 1.245 20 0 DDADMM COC(=O)[C@@H]1C[C@H](F)CN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000796513668 699937170 /nfs/dbraw/zinc/93/71/70/699937170.db2.gz YKYPBALDOKZZMO-GWCFXTLKSA-N -1 1 321.304 1.584 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC[C@@H](N2CCNC2=O)C1 ZINC000744356649 699946664 /nfs/dbraw/zinc/94/66/64/699946664.db2.gz YCROGPLYBJVERA-SNVBAGLBSA-N -1 1 323.780 1.675 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCc1ccon1 ZINC000744880496 699964359 /nfs/dbraw/zinc/96/43/59/699964359.db2.gz JPCCTZBUQPHMIH-UHFFFAOYSA-N -1 1 310.331 1.793 20 0 DDADMM CN(CC(=O)NCc1ccco1)C(=O)c1cc(F)ccc1[O-] ZINC000751058783 700268055 /nfs/dbraw/zinc/26/80/55/700268055.db2.gz KPYSLYAMOQJPIE-UHFFFAOYSA-N -1 1 306.293 1.513 20 0 DDADMM O=C(OCC[C@H]1CCOC1=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801203192 700277597 /nfs/dbraw/zinc/27/75/97/700277597.db2.gz OYPWVGXRIDXSCB-LLVKDONJSA-N -1 1 316.313 1.688 20 0 DDADMM CCN(CC(=O)NC)C(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000801603242 700326354 /nfs/dbraw/zinc/32/63/54/700326354.db2.gz RTUPEEHQHKXWPW-UHFFFAOYSA-N -1 1 321.764 1.802 20 0 DDADMM C[C@H]1CCC[C@H](OCC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000801703872 700333083 /nfs/dbraw/zinc/33/30/83/700333083.db2.gz TZRWAPRMIQYPPC-RYUDHWBXSA-N -1 1 302.378 1.876 20 0 DDADMM NC(=O)[C@H]([N-]C(=O)C(F)(F)c1nccs1)c1ccccc1 ZINC000756412784 700620201 /nfs/dbraw/zinc/62/02/01/700620201.db2.gz MTCGCNLMPNRJRL-SECBINFHSA-N -1 1 311.313 1.578 20 0 DDADMM O=C([N-]OCC(F)F)[C@H]1CC(=O)N(c2ccc3c(c2)CCC3)C1 ZINC000759333501 700746685 /nfs/dbraw/zinc/74/66/85/700746685.db2.gz DBJWIDYNSOTSLG-LBPRGKRZSA-N -1 1 324.327 1.841 20 0 DDADMM C[C@@H](C(=O)[N-]OCC(F)F)c1ccc(S(C)(=O)=O)cc1 ZINC000759332379 700746787 /nfs/dbraw/zinc/74/67/87/700746787.db2.gz ZWMQPEMXZDFAAJ-MRVPVSSYSA-N -1 1 307.318 1.507 20 0 DDADMM COC(=O)c1cc([N-]C(=O)c2cnco2)cc(S(C)(=O)=O)c1 ZINC000759364861 700748732 /nfs/dbraw/zinc/74/87/32/700748732.db2.gz PNFPZWPYIIMFBU-UHFFFAOYSA-N -1 1 324.314 1.117 20 0 DDADMM CC[C@@H](NC(=O)[C@H](N)c1cccc(Br)c1)C(=O)[O-] ZINC000763029590 700920883 /nfs/dbraw/zinc/92/08/83/700920883.db2.gz PNPRZSSYZWDHPQ-NXEZZACHSA-N -1 1 315.167 1.428 20 0 DDADMM O=C(CCc1nnc[nH]1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000764014469 700958097 /nfs/dbraw/zinc/95/80/97/700958097.db2.gz UHNZSFKADCVDQF-UHFFFAOYSA-N -1 1 315.285 1.293 20 0 DDADMM CCNc1nc(C)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000765109709 700999423 /nfs/dbraw/zinc/99/94/23/700999423.db2.gz NPONCSUJEKAFCT-VIFPVBQESA-N -1 1 321.410 1.416 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(OC(F)(F)F)cc2)nc1=O ZINC000765399339 701009920 /nfs/dbraw/zinc/00/99/20/701009920.db2.gz WGBOSMIKYCTOJZ-UHFFFAOYSA-N -1 1 317.223 1.364 20 0 DDADMM CC(C)c1noc2ncc(C(=O)OCc3nc(=O)n(C)[n-]3)cc21 ZINC000765409903 701010404 /nfs/dbraw/zinc/01/04/04/701010404.db2.gz PCTJCZQLQAINOG-UHFFFAOYSA-N -1 1 317.305 1.125 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(F)c(C(F)(F)F)c2)nc1=O ZINC000765462194 701013795 /nfs/dbraw/zinc/01/37/95/701013795.db2.gz SMGGAPOBATVYAO-UHFFFAOYSA-N -1 1 319.214 1.623 20 0 DDADMM Cn1[n-]c(COC(=O)/C=C\c2cnc(C(C)(C)C)s2)nc1=O ZINC000765486725 701015446 /nfs/dbraw/zinc/01/54/46/701015446.db2.gz MPBPQVDMGUVJGY-WAYWQWQTSA-N -1 1 322.390 1.619 20 0 DDADMM Cc1ccc(OCCCC(=O)OCc2nc(=O)n(C)[n-]2)cc1C ZINC000765486407 701015564 /nfs/dbraw/zinc/01/55/64/701015564.db2.gz GXYPRMZUIVIIAW-UHFFFAOYSA-N -1 1 319.361 1.628 20 0 DDADMM Cn1[n-]c(COC(=O)CCC(C)(C)c2ccccc2)nc1=O ZINC000765503679 701016328 /nfs/dbraw/zinc/01/63/28/701016328.db2.gz UJMPVHQIIJLUFW-UHFFFAOYSA-N -1 1 303.362 1.910 20 0 DDADMM Cc1nccc([N-]S(=O)(=O)c2cccc3nonc32)c1Cl ZINC000766047743 701035369 /nfs/dbraw/zinc/03/53/69/701035369.db2.gz RSPVCJBHYSSBRM-UHFFFAOYSA-N -1 1 324.749 1.802 20 0 DDADMM CC(C)(C)OC(=O)NC/C=C\CNC(=O)c1cncc([O-])c1 ZINC000803100197 701080949 /nfs/dbraw/zinc/08/09/49/701080949.db2.gz FYZJUXZAYICAGO-PLNGDYQASA-N -1 1 307.350 1.598 20 0 DDADMM Cn1c(Cl)ncc1S(=O)(=O)[N-]c1cccc(O)c1F ZINC000768048033 701154496 /nfs/dbraw/zinc/15/44/96/701154496.db2.gz STSBEYPSRJVOBR-UHFFFAOYSA-N -1 1 305.718 1.719 20 0 DDADMM O=C([N-]CCCSc1nnc2ccccn21)C(F)(F)F ZINC000768737661 701199460 /nfs/dbraw/zinc/19/94/60/701199460.db2.gz VVIPTLQFPNDVEO-UHFFFAOYSA-N -1 1 304.297 1.890 20 0 DDADMM CC(=O)CC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCC1 ZINC000769243718 701237805 /nfs/dbraw/zinc/23/78/05/701237805.db2.gz OPYCFYHOBABTRA-UHFFFAOYSA-N -1 1 317.341 1.636 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H]1COC(C)(C)O1 ZINC000769278340 701239234 /nfs/dbraw/zinc/23/92/34/701239234.db2.gz YWUMLUUAPCOQGK-JTQLQIEISA-N -1 1 309.366 1.495 20 0 DDADMM CC(C)[C@@H]1CCC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000769397864 701243740 /nfs/dbraw/zinc/24/37/40/701243740.db2.gz FMJDQPCKJVRMJP-KGLIPLIRSA-N -1 1 321.421 1.648 20 0 DDADMM Cn1cc(O)c(=O)cc1CNC(=O)c1ccc2ccccc2c1[O-] ZINC000769953654 701261987 /nfs/dbraw/zinc/26/19/87/701261987.db2.gz OQWPFTIJOHYSGJ-UHFFFAOYSA-N -1 1 324.336 1.880 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC000769984188 701263253 /nfs/dbraw/zinc/26/32/53/701263253.db2.gz QDFPTSIGZYHVLY-QWRGUYRKSA-N -1 1 308.765 1.929 20 0 DDADMM CCOC(=O)C[C@H](OC(=O)c1c([O-])cc(F)cc1F)C(C)=O ZINC000805429465 701381458 /nfs/dbraw/zinc/38/14/58/701381458.db2.gz DVWJRAOVBFODET-NSHDSACASA-N -1 1 316.256 1.738 20 0 DDADMM O=C(O[C@H](C(=O)N1CCCCC1)c1ccccc1)c1cn[n-]n1 ZINC000805603312 701397513 /nfs/dbraw/zinc/39/75/13/701397513.db2.gz LMTJQCPWFCOGCO-AWEZNQCLSA-N -1 1 314.345 1.715 20 0 DDADMM CC(C)(C)N(Cc1ccccc1)C(=O)COC(=O)c1cn[n-]n1 ZINC000805604825 701397820 /nfs/dbraw/zinc/39/78/20/701397820.db2.gz GWMKELUCGZWDCG-UHFFFAOYSA-N -1 1 316.361 1.789 20 0 DDADMM CC(C)[C@H](OC(=O)c1cn[n-]n1)C(=O)N(C)c1ccccc1 ZINC000805604993 701398056 /nfs/dbraw/zinc/39/80/56/701398056.db2.gz UIKMWYOTQAANHA-ZDUSSCGKSA-N -1 1 302.334 1.649 20 0 DDADMM C[C@@H](NC(=O)[C@H](C)OC(=O)c1cn[n-]n1)c1ccc(F)cc1 ZINC000805605381 701398413 /nfs/dbraw/zinc/39/84/13/701398413.db2.gz LGBXFJAZFXLJAT-BDAKNGLRSA-N -1 1 306.297 1.367 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)N[C@H](C)c1ccc(Cl)cc1 ZINC000805607620 701399458 /nfs/dbraw/zinc/39/94/58/701399458.db2.gz MVBHAJMOYIOFDK-RKDXNWHRSA-N -1 1 322.752 1.881 20 0 DDADMM Cc1ccc(CN(C(=O)COC(=O)c2cn[n-]n2)C2CC2)cc1 ZINC000805608093 701399636 /nfs/dbraw/zinc/39/96/36/701399636.db2.gz XWMXZBJFBWXXLL-UHFFFAOYSA-N -1 1 314.345 1.461 20 0 DDADMM CC[C@@H]1c2ccsc2CCN1C(=O)COC(=O)c1cn[n-]n1 ZINC000805608990 701399899 /nfs/dbraw/zinc/39/98/99/701399899.db2.gz KHUQUIBBQPQLLU-LLVKDONJSA-N -1 1 320.374 1.559 20 0 DDADMM CC(C)(C)C[C@@H](O)CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000805731659 701407694 /nfs/dbraw/zinc/40/76/94/701407694.db2.gz RGCXPBYXQFICOC-ZDUSSCGKSA-N -1 1 321.373 1.673 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C(C)(C)C1CC1 ZINC000805915494 701416338 /nfs/dbraw/zinc/41/63/38/701416338.db2.gz GSJNWLPUNPGMGV-UHFFFAOYSA-N -1 1 304.350 1.248 20 0 DDADMM C[C@H](NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)c1ccccc1 ZINC000806827269 701449803 /nfs/dbraw/zinc/44/98/03/701449803.db2.gz PCRFLOTYRNEJOU-NSHDSACASA-N -1 1 323.356 1.158 20 0 DDADMM O=C([N-]CCCOC(=O)c1cnn(CCF)c1)C(F)(F)F ZINC000808571299 701524772 /nfs/dbraw/zinc/52/47/72/701524772.db2.gz DPPHBFOWZRHNRQ-UHFFFAOYSA-N -1 1 311.235 1.078 20 0 DDADMM CN(C)c1cc(CN2CCC[C@@H](c3n[nH]c(=O)[n-]3)C2)ccn1 ZINC000808777288 701536216 /nfs/dbraw/zinc/53/62/16/701536216.db2.gz JHARIWRIPUHBRH-GFCCVEGCSA-N -1 1 302.382 1.351 20 0 DDADMM CCOC(=O)[C@@H](CC=C(C)C)[N-]S(=O)(=O)C[C@H](C)OC ZINC000867758552 701734715 /nfs/dbraw/zinc/73/47/15/701734715.db2.gz IKEWNLHMUVQSLH-NWDGAFQWSA-N -1 1 307.412 1.229 20 0 DDADMM Cc1cc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c(C)s1 ZINC000830965182 706612938 /nfs/dbraw/zinc/61/29/38/706612938.db2.gz ASCSFGWQXKOLDG-UHFFFAOYSA-N -1 1 319.386 1.863 20 0 DDADMM CC(C)CCCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868029693 701899978 /nfs/dbraw/zinc/89/99/78/701899978.db2.gz BAWRBUYWALPQCA-UHFFFAOYSA-N -1 1 308.382 1.490 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H]2CCCC[C@@H]2F)co1 ZINC000811053149 701904330 /nfs/dbraw/zinc/90/43/30/701904330.db2.gz JCDYCXVIELUEJS-UWVGGRQHSA-N -1 1 305.327 1.625 20 0 DDADMM O=C(c1ncsc1C1CC1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000879263106 706634199 /nfs/dbraw/zinc/63/41/99/706634199.db2.gz LGNBTPDSGOVZJA-SECBINFHSA-N -1 1 304.379 1.553 20 0 DDADMM O[C@@H]1c2ccccc2OC[C@@H]1[N-]c1nc(C2CCOCC2)no1 ZINC000840304974 702063334 /nfs/dbraw/zinc/06/33/34/702063334.db2.gz IJRKQZFAQRGAGR-GXTWGEPZSA-N -1 1 317.345 1.870 20 0 DDADMM Cc1csc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1 ZINC000831120759 706638440 /nfs/dbraw/zinc/63/84/40/706638440.db2.gz DNDAJXLCOIXBRF-UHFFFAOYSA-N -1 1 306.309 1.807 20 0 DDADMM CC(C)C[C@@H]1OCCC[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000831148636 706644606 /nfs/dbraw/zinc/64/46/06/706644606.db2.gz WHSSBPMHVYPXCR-UWVGGRQHSA-N -1 1 321.830 1.935 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccc(C)cn2)c1 ZINC000812362531 702169393 /nfs/dbraw/zinc/16/93/93/702169393.db2.gz YXBGRGBFVLNDKR-UHFFFAOYSA-N -1 1 321.358 1.256 20 0 DDADMM COc1cc(Cl)c(F)c(C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000840614181 702171841 /nfs/dbraw/zinc/17/18/41/702171841.db2.gz UMMZZJNMRMMMSE-UHFFFAOYSA-N -1 1 315.688 1.267 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NCC2=CCCC2)C1 ZINC000868784562 702318679 /nfs/dbraw/zinc/31/86/79/702318679.db2.gz PWKKTQWVQSRQGS-CYBMUJFWSA-N -1 1 319.327 1.949 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@H](CCO)CC3)ccnc1-2 ZINC000817316061 702338485 /nfs/dbraw/zinc/33/84/85/702338485.db2.gz LZPCJROEMBFOPN-LBPRGKRZSA-N -1 1 317.393 1.358 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@H]1CCCS1 ZINC000869422762 702602638 /nfs/dbraw/zinc/60/26/38/702602638.db2.gz PZJIHZHFRGBVTB-MRVPVSSYSA-N -1 1 313.297 1.063 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)[C@@H]1CC12CCC2 ZINC000869435715 702606211 /nfs/dbraw/zinc/60/62/11/702606211.db2.gz VXLJWLDXXPKCOJ-VIFPVBQESA-N -1 1 307.268 1.358 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCOCC2CCC2)o1 ZINC000842066613 702666534 /nfs/dbraw/zinc/66/65/34/702666534.db2.gz QQDFDLVBKIHHOH-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM CC[C@@H](COC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)OC ZINC000842073109 702667447 /nfs/dbraw/zinc/66/74/47/702667447.db2.gz GDDIPJGAYPSHOQ-NSHDSACASA-N -1 1 307.350 1.562 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H](C)CC(F)F)o1 ZINC000842435400 702721280 /nfs/dbraw/zinc/72/12/80/702721280.db2.gz BEXHJPOHHYDUQJ-ZETCQYMHSA-N -1 1 311.306 1.636 20 0 DDADMM CCC(CC)CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014726 702803426 /nfs/dbraw/zinc/80/34/26/702803426.db2.gz BNNQVDMWWDHQKJ-UHFFFAOYSA-N -1 1 304.456 1.743 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2cccs2)CCC1 ZINC000843019622 702804742 /nfs/dbraw/zinc/80/47/42/702804742.db2.gz WVNNIJZWPMTSKZ-UHFFFAOYSA-N -1 1 302.421 1.292 20 0 DDADMM CCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(OC)cc2OC)C1 ZINC000844080506 702968987 /nfs/dbraw/zinc/96/89/87/702968987.db2.gz KNDHPQLMYNXPKG-LLVKDONJSA-N -1 1 322.361 1.515 20 0 DDADMM COC1(CS(=O)(=O)[N-]Cc2nc(C3CC3)no2)CCCC1 ZINC000866549099 706726656 /nfs/dbraw/zinc/72/66/56/706726656.db2.gz CIOQFXDDKJMDAH-UHFFFAOYSA-N -1 1 315.395 1.326 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)Cc1ccc(Cl)cc1 ZINC000845947960 703218932 /nfs/dbraw/zinc/21/89/32/703218932.db2.gz VROOBSBZJMMYBL-LLVKDONJSA-N -1 1 317.794 1.711 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)[C@H](O)c1ccccc1 ZINC000831550733 706729684 /nfs/dbraw/zinc/72/96/84/706729684.db2.gz PVDOWUFPAHIAPA-KWQFWETISA-N -1 1 315.782 1.464 20 0 DDADMM CCc1noc(CN2CC[C@@](C(=O)[O-])(c3ccccc3)C2)n1 ZINC000846281171 703260404 /nfs/dbraw/zinc/26/04/04/703260404.db2.gz UHXIRRVZIXVRCO-INIZCTEOSA-N -1 1 301.346 1.860 20 0 DDADMM CCN1C[C@H](CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)CC1=O ZINC000846466404 703279779 /nfs/dbraw/zinc/27/97/79/703279779.db2.gz RPPDIEFEFLMKMM-NSHDSACASA-N -1 1 320.393 1.212 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N(CC(=O)[O-])CC1CC1 ZINC000846710923 703322535 /nfs/dbraw/zinc/32/25/35/703322535.db2.gz HOIHHNVXMOPYQV-JTQLQIEISA-N -1 1 324.299 1.013 20 0 DDADMM CCOC(=O)Cn1ccc(NC([O-])=NO[C@H]2CCCCO2)n1 ZINC000847247481 703390750 /nfs/dbraw/zinc/39/07/50/703390750.db2.gz ABNAPCALHSZVCK-LBPRGKRZSA-N -1 1 312.326 1.026 20 0 DDADMM CCOC(=O)Cn1ccc(NC(=O)[N-]O[C@H]2CCCCO2)n1 ZINC000847247481 703390752 /nfs/dbraw/zinc/39/07/52/703390752.db2.gz ABNAPCALHSZVCK-LBPRGKRZSA-N -1 1 312.326 1.026 20 0 DDADMM CCCOCC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341870 703540960 /nfs/dbraw/zinc/54/09/60/703540960.db2.gz YRPKIVURCNCTBD-NXEZZACHSA-N -1 1 310.316 1.471 20 0 DDADMM CC(C)N(C)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848507842 703559103 /nfs/dbraw/zinc/55/91/03/703559103.db2.gz YNKLCAWPOPIOAF-NXEZZACHSA-N -1 1 309.332 1.978 20 0 DDADMM COc1ccccc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000849157338 703622447 /nfs/dbraw/zinc/62/24/47/703622447.db2.gz KPQYWUXAWRCNND-AWEZNQCLSA-N -1 1 309.329 1.728 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]CC(=O)OC(C)C)C1CCCCC1 ZINC000849373308 703640623 /nfs/dbraw/zinc/64/06/23/703640623.db2.gz BBFCBQCUVOLUQQ-CYBMUJFWSA-N -1 1 321.439 1.453 20 0 DDADMM O=S(=O)([N-][C@H]1COC2(CCC2)C1)c1cccc(F)c1F ZINC000849449643 703649644 /nfs/dbraw/zinc/64/96/44/703649644.db2.gz KYWSGERPFMJSGY-SECBINFHSA-N -1 1 303.330 1.955 20 0 DDADMM CCS(=O)(=O)NCCOC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869737056 703839341 /nfs/dbraw/zinc/83/93/41/703839341.db2.gz ZLVUWWKJQVAUIE-UHFFFAOYSA-N -1 1 321.782 1.071 20 0 DDADMM C[C@@H]1CCN(C(=O)OCC2CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000851883008 703877621 /nfs/dbraw/zinc/87/76/21/703877621.db2.gz NJOYWJURBAVOES-SCZZXKLOSA-N -1 1 308.300 1.922 20 0 DDADMM CC(C)(C)SCC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866718207 706774431 /nfs/dbraw/zinc/77/44/31/706774431.db2.gz FBGNRDIMIOVOTE-UHFFFAOYSA-N -1 1 314.498 1.614 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)N2CC[C@@H](C(=O)[O-])C2)cc1OC ZINC000818599151 703934976 /nfs/dbraw/zinc/93/49/76/703934976.db2.gz RDASVIZUJHDUBO-WDEREUQCSA-N -1 1 322.361 1.437 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC2(CCNC2=O)CC1 ZINC000831805193 706784102 /nfs/dbraw/zinc/78/41/02/706784102.db2.gz RTWZTABISGZLKZ-UHFFFAOYSA-N -1 1 308.765 1.788 20 0 DDADMM COC(=O)[C@@H](C1CC1)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764901 706785146 /nfs/dbraw/zinc/78/51/46/706785146.db2.gz ZXVOYEPRZAGIKX-SKDRFNHKSA-N -1 1 308.300 1.081 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)N[C@H]1C=CCC1 ZINC000852505580 704065156 /nfs/dbraw/zinc/06/51/56/704065156.db2.gz XXZTXSHBSOUHGH-OUAUKWLOSA-N -1 1 319.327 1.946 20 0 DDADMM O=C([O-])[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCC1CCC1 ZINC000852733126 704108403 /nfs/dbraw/zinc/10/84/03/704108403.db2.gz MPDRMTJFCMGLSD-MNOVXSKESA-N -1 1 322.327 1.773 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2cnccn2)c1 ZINC000819979647 704196789 /nfs/dbraw/zinc/19/67/89/704196789.db2.gz ICEXCBYTQJHHNV-RKFFSXRUSA-N -1 1 320.370 1.897 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CC(C)(C)c1cccs1 ZINC000866789864 706801861 /nfs/dbraw/zinc/80/18/61/706801861.db2.gz NSINSWJRGMIVMX-SFHVURJKSA-N -1 1 324.493 1.978 20 0 DDADMM CCO[N-]C(=O)CNc1nccc2cc(OC)c(OC)cc21 ZINC000853712332 704303810 /nfs/dbraw/zinc/30/38/10/704303810.db2.gz HTLKRGPWGSIUKS-UHFFFAOYSA-N -1 1 305.334 1.732 20 0 DDADMM CCCCNC(=O)[C@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821065175 704366814 /nfs/dbraw/zinc/36/68/14/704366814.db2.gz GDNYDBWBKIVFJV-QMMMGPOBSA-N -1 1 323.378 1.390 20 0 DDADMM CCCCNC(=O)[C@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821065175 704366817 /nfs/dbraw/zinc/36/68/17/704366817.db2.gz GDNYDBWBKIVFJV-QMMMGPOBSA-N -1 1 323.378 1.390 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]c1ccc(F)c(F)c1 ZINC000821119596 704373613 /nfs/dbraw/zinc/37/36/13/704373613.db2.gz VEGULRFUKBXZEB-UHFFFAOYSA-N -1 1 310.347 1.883 20 0 DDADMM COc1ccc([N-]S(=O)(=O)N=S2(=O)CCCC2)cn1 ZINC000821123897 704374162 /nfs/dbraw/zinc/37/41/62/704374162.db2.gz JEEUDGQWSUOHAV-UHFFFAOYSA-N -1 1 305.381 1.009 20 0 DDADMM CSc1nc(C)c(CCC(=O)NN2CCCCC2)c(=O)[n-]1 ZINC000821715063 704433306 /nfs/dbraw/zinc/43/33/06/704433306.db2.gz WLVGDCCNNLBWIR-UHFFFAOYSA-N -1 1 310.423 1.662 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC[C@@H]2NC(=O)CC[C@@H]2C1 ZINC000855682573 704497162 /nfs/dbraw/zinc/49/71/62/704497162.db2.gz ZLYWUKGGKWUAHL-KOLCDFICSA-N -1 1 308.765 1.786 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC[C@H]2NC(=O)CC[C@H]2C1 ZINC000855682572 704497168 /nfs/dbraw/zinc/49/71/68/704497168.db2.gz ZLYWUKGGKWUAHL-GXSJLCMTSA-N -1 1 308.765 1.786 20 0 DDADMM CC1(C)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC(C)(C)C1 ZINC000855690376 704497567 /nfs/dbraw/zinc/49/75/67/704497567.db2.gz NUKZCNPLVNWOLL-UHFFFAOYSA-N -1 1 321.421 1.602 20 0 DDADMM COc1ccc(N2C[C@H](C(=O)[N-]OCC3CCC3)CC2=O)cc1 ZINC000856346228 704523748 /nfs/dbraw/zinc/52/37/48/704523748.db2.gz QKUJMVYDXJPLKU-CYBMUJFWSA-N -1 1 318.373 1.896 20 0 DDADMM CC(C)OCCC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856420042 704528257 /nfs/dbraw/zinc/52/82/57/704528257.db2.gz RHPQDSDZDVECIQ-MNOVXSKESA-N -1 1 324.343 1.717 20 0 DDADMM Cc1c[nH]cc1C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856420096 704528335 /nfs/dbraw/zinc/52/83/35/704528335.db2.gz XNGPIBVTPPFDLJ-KCJUWKMLSA-N -1 1 317.311 1.852 20 0 DDADMM CCn1nccc1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000856478860 704530379 /nfs/dbraw/zinc/53/03/79/704530379.db2.gz XDBUXBAOEFZDSL-UHFFFAOYSA-N -1 1 303.366 1.760 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866845354 706823031 /nfs/dbraw/zinc/82/30/31/706823031.db2.gz FTKOKVPZHHDDIP-PELKAZGASA-N -1 1 324.805 1.950 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cc(F)ccc1OC ZINC000867131786 706906061 /nfs/dbraw/zinc/90/60/61/706906061.db2.gz NFJBUMDQTYAZEV-LJQANCHMSA-N -1 1 324.399 1.286 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858331923 704696157 /nfs/dbraw/zinc/69/61/57/704696157.db2.gz LACMVPUSQUUOCJ-UHFFFAOYSA-N -1 1 314.773 1.893 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]C[C@H](OC)C(F)(F)F)C1CC1 ZINC000858982038 704779660 /nfs/dbraw/zinc/77/96/60/704779660.db2.gz PXGOSZDDYLVSPL-UWVGGRQHSA-N -1 1 319.345 1.298 20 0 DDADMM O=S(=O)([N-][C@H]1CCn2ccnc21)c1ccc(C(F)F)o1 ZINC000867317070 706958232 /nfs/dbraw/zinc/95/82/32/706958232.db2.gz GCFRNNOUUCPRBO-ZETCQYMHSA-N -1 1 303.290 1.837 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@H](c2c(F)cccc2F)C1 ZINC000822010639 704859534 /nfs/dbraw/zinc/85/95/34/704859534.db2.gz BXEDTSDHSOJNHC-SNVBAGLBSA-N -1 1 321.331 1.817 20 0 DDADMM CC(C)CC[C@H](NC(=O)OC(C)(C)C)C(=O)Nc1nnn[n-]1 ZINC000859446984 704905528 /nfs/dbraw/zinc/90/55/28/704905528.db2.gz NHCZZVLTWGSMRJ-VIFPVBQESA-N -1 1 312.374 1.468 20 0 DDADMM CC(C)CC[C@H](NC(=O)OC(C)(C)C)C(=O)Nc1nn[n-]n1 ZINC000859446984 704905533 /nfs/dbraw/zinc/90/55/33/704905533.db2.gz NHCZZVLTWGSMRJ-VIFPVBQESA-N -1 1 312.374 1.468 20 0 DDADMM CNC(=O)c1ccc(CN(C)Cc2ccoc2C(=O)[O-])cc1 ZINC000859782411 705004247 /nfs/dbraw/zinc/00/42/47/705004247.db2.gz YHLJKTVJQGIIDT-UHFFFAOYSA-N -1 1 302.330 1.969 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[C@H]1c1ccsc1 ZINC000867563035 707040659 /nfs/dbraw/zinc/04/06/59/707040659.db2.gz DSYWEMGMOMPJRB-WDEREUQCSA-N -1 1 322.477 1.946 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)NCc1ccccc1C(=O)[O-] ZINC000874410484 705046384 /nfs/dbraw/zinc/04/63/84/705046384.db2.gz VYRYFRCZIVICKO-OAHLLOKOSA-N -1 1 319.405 1.866 20 0 DDADMM O=C(c1sccc1C(F)F)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000874444367 705067954 /nfs/dbraw/zinc/06/79/54/705067954.db2.gz IXODQFBWEPIVLW-SSDOTTSWSA-N -1 1 315.305 1.413 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC[C@H]2CCCS2)o1 ZINC000867659881 707066297 /nfs/dbraw/zinc/06/62/97/707066297.db2.gz FMEAWRZURBXTJP-SECBINFHSA-N -1 1 319.404 1.630 20 0 DDADMM Cn1cc(N2C[C@@H](C(=O)[N-]OC3CCCCC3)CC2=O)cn1 ZINC000860997239 705343902 /nfs/dbraw/zinc/34/39/02/705343902.db2.gz YARVGAIADGLEOM-NSHDSACASA-N -1 1 306.366 1.154 20 0 DDADMM O=C(/C=C/CN1CCOCC1)NCc1ccc([O-])c(Cl)c1 ZINC000834821908 707130211 /nfs/dbraw/zinc/13/02/11/707130211.db2.gz HKFSCBRZUFKDLP-OWOJBTEDSA-N -1 1 310.781 1.550 20 0 DDADMM O=C(CCN1C(=O)CCC1=O)NCc1ccc([O-])c(Cl)c1 ZINC000834833949 707133779 /nfs/dbraw/zinc/13/37/79/707133779.db2.gz QUDLFMATHUGDOF-UHFFFAOYSA-N -1 1 310.737 1.201 20 0 DDADMM CCCS(=O)(=O)CC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834833680 707133963 /nfs/dbraw/zinc/13/39/63/707133963.db2.gz IAVMBTNPKQCLPS-UHFFFAOYSA-N -1 1 305.783 1.487 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cccn(C4CC4)c3=O)ccnc1-2 ZINC000875632606 705456801 /nfs/dbraw/zinc/45/68/01/705456801.db2.gz FPCCMIFDYRZBRK-UHFFFAOYSA-N -1 1 309.329 1.091 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CCCOC3CCOCC3)ccnc1-2 ZINC000875632596 705456995 /nfs/dbraw/zinc/45/69/95/705456995.db2.gz FJYGDOAYIQWVRO-UHFFFAOYSA-N -1 1 318.377 1.256 20 0 DDADMM COC(=O)[C@H]1C[C@@H](NC(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000875671006 705471440 /nfs/dbraw/zinc/47/14/40/705471440.db2.gz VXPGCEMUYNOCCX-AOOOYVTPSA-N -1 1 312.753 1.796 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H]2CC=CCC2)n[n-]1 ZINC000824887424 705552146 /nfs/dbraw/zinc/55/21/46/705552146.db2.gz MVQPIUQUKXACEV-WDEREUQCSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H]2CC=CCC2)[n-]1 ZINC000824887424 705552149 /nfs/dbraw/zinc/55/21/49/705552149.db2.gz MVQPIUQUKXACEV-WDEREUQCSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H]2CC=CCC2)n1 ZINC000824887424 705552152 /nfs/dbraw/zinc/55/21/52/705552152.db2.gz MVQPIUQUKXACEV-WDEREUQCSA-N -1 1 306.366 1.905 20 0 DDADMM C[C@H]1COc2ccccc2CN1c1ccc(-c2nnn[n-]2)nn1 ZINC000825012255 705576903 /nfs/dbraw/zinc/57/69/03/705576903.db2.gz FTGKIPXFPMEQLK-JTQLQIEISA-N -1 1 309.333 1.444 20 0 DDADMM C[C@H]1COc2ccccc2CN1c1ccc(-c2nn[n-]n2)nn1 ZINC000825012255 705576906 /nfs/dbraw/zinc/57/69/06/705576906.db2.gz FTGKIPXFPMEQLK-JTQLQIEISA-N -1 1 309.333 1.444 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1=CC[C@@H](NC(=O)OC(C)(C)C)C1 ZINC000861819729 705577234 /nfs/dbraw/zinc/57/72/34/705577234.db2.gz FKJGMEIJEULNQL-SNVBAGLBSA-N -1 1 322.365 1.976 20 0 DDADMM O=C([N-]OCC1CC1)[C@@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC000861822880 705577626 /nfs/dbraw/zinc/57/76/26/705577626.db2.gz AZJZFMZOBCFTGS-SNVBAGLBSA-N -1 1 310.300 1.776 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC(OC(C)C)C1 ZINC000825320145 705644822 /nfs/dbraw/zinc/64/48/22/705644822.db2.gz GGNFRLWIGLQUIL-UHFFFAOYSA-N -1 1 318.377 1.230 20 0 DDADMM Cc1onc(CC(=O)N2CCCc3ccccc32)c1-c1nnn[n-]1 ZINC000826344416 705793380 /nfs/dbraw/zinc/79/33/80/705793380.db2.gz HELROAHYJGTHBZ-UHFFFAOYSA-N -1 1 324.344 1.685 20 0 DDADMM Cc1onc(CC(=O)N2CCCc3ccccc32)c1-c1nn[n-]n1 ZINC000826344416 705793382 /nfs/dbraw/zinc/79/33/82/705793382.db2.gz HELROAHYJGTHBZ-UHFFFAOYSA-N -1 1 324.344 1.685 20 0 DDADMM Cc1onc(CC(=O)NC[C@H]2CCCC[C@H]2C)c1-c1nnn[n-]1 ZINC000826347225 705794706 /nfs/dbraw/zinc/79/47/06/705794706.db2.gz JDMSONSKFJLHBY-MWLCHTKSSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)NC[C@H]2CCCC[C@H]2C)c1-c1nn[n-]n1 ZINC000826347225 705794710 /nfs/dbraw/zinc/79/47/10/705794710.db2.gz JDMSONSKFJLHBY-MWLCHTKSSA-N -1 1 318.381 1.648 20 0 DDADMM Clc1nsc(N[C@@H]2CCCOCC2)c1-c1nnn[n-]1 ZINC000826354105 705795857 /nfs/dbraw/zinc/79/58/57/705795857.db2.gz PPSPTJZJLRNWEH-ZCFIWIBFSA-N -1 1 300.775 1.958 20 0 DDADMM Clc1nsc(N[C@@H]2CCCOCC2)c1-c1nn[n-]n1 ZINC000826354105 705795858 /nfs/dbraw/zinc/79/58/58/705795858.db2.gz PPSPTJZJLRNWEH-ZCFIWIBFSA-N -1 1 300.775 1.958 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccn(C(F)F)n1 ZINC000826451068 705801362 /nfs/dbraw/zinc/80/13/62/705801362.db2.gz OLOVEDSIFANHPE-UHFFFAOYSA-N -1 1 321.247 1.416 20 0 DDADMM O=C(OCc1[nH]nc2c1COCC2)c1c([O-])cc(F)cc1F ZINC000908959544 712903585 /nfs/dbraw/zinc/90/35/85/712903585.db2.gz WEIVTXCKBOTCEY-UHFFFAOYSA-N -1 1 310.256 1.823 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1)c1nn[n-]n1 ZINC000826927412 705892607 /nfs/dbraw/zinc/89/26/07/705892607.db2.gz AWZSPYWOXBUCNR-USZNOCQGSA-N -1 1 309.439 1.936 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C)c(C)cn1)c1nn[n-]n1 ZINC000826929129 705893027 /nfs/dbraw/zinc/89/30/27/705893027.db2.gz UMEJTLAWUJJWRC-JTQLQIEISA-N -1 1 306.395 1.436 20 0 DDADMM CCn1ncc(CN2CC[C@](C(=O)[O-])(c3ccccc3)C2)n1 ZINC000863467475 705935287 /nfs/dbraw/zinc/93/52/87/705935287.db2.gz YFPJNRFLGUSSRQ-MRXNPFEDSA-N -1 1 300.362 1.526 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccco1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000863912363 706036122 /nfs/dbraw/zinc/03/61/22/706036122.db2.gz JWQHUXPEOVKECK-GMTAPVOTSA-N -1 1 303.318 1.878 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCc2ncccc2C)o1 ZINC000835580414 707286152 /nfs/dbraw/zinc/28/61/52/707286152.db2.gz KUHGLKCAULWXJM-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM N[C@@H](C(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)c1cccc(C(F)(F)F)c1 ZINC000864613842 706213075 /nfs/dbraw/zinc/21/30/75/706213075.db2.gz ITLXQUYYQXNLOI-DVVUODLYSA-N -1 1 316.279 1.685 20 0 DDADMM COC[C@@H]1CCCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000828686882 706217454 /nfs/dbraw/zinc/21/74/54/706217454.db2.gz AAUZGZOSNDHJHI-LLVKDONJSA-N -1 1 320.418 1.907 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(O)cc1 ZINC000872453278 707419664 /nfs/dbraw/zinc/41/96/64/707419664.db2.gz WTLCQOCJHSIXMW-IBGZPJMESA-N -1 1 320.436 1.623 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCSC1 ZINC000872456086 707421403 /nfs/dbraw/zinc/42/14/03/707421403.db2.gz BNDONULZHLFRLU-ZKANADHPSA-N -1 1 300.471 1.223 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1C[C@H](CO)[C@H](CO)C1 ZINC000829185255 706299537 /nfs/dbraw/zinc/29/95/37/706299537.db2.gz KLPISFSLMPVXRT-DTORHVGOSA-N -1 1 319.279 1.084 20 0 DDADMM CCc1cnc(CN(C)Cc2nc3c(c(=O)[n-]2)COCC3)s1 ZINC000878161589 706310948 /nfs/dbraw/zinc/31/09/48/706310948.db2.gz WPDZDLNOSIBHJH-UHFFFAOYSA-N -1 1 320.418 1.906 20 0 DDADMM C[C@H](N[C@H]1C[C@H](NC(=O)[O-])C12CCC2)c1nnc2n1CCC2 ZINC000904230109 711414469 /nfs/dbraw/zinc/41/44/69/711414469.db2.gz YMKDCVZSTVSZJI-DCAQKATOSA-N -1 1 305.382 1.454 20 0 DDADMM CCn1ccnc1C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872493148 707444811 /nfs/dbraw/zinc/44/48/11/707444811.db2.gz QCROCVQIQUQWBE-LJQANCHMSA-N -1 1 322.456 1.134 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC1(Cl)Cl)c1c[nH]nc1Cl ZINC000829906240 706417647 /nfs/dbraw/zinc/41/76/47/706417647.db2.gz GAPWEOBVTJAYKW-BYPYZUCNSA-N -1 1 304.586 1.535 20 0 DDADMM Cc1cc(C(=O)NC[C@@H]2CN(C)CCN2C)ccc1NC(=O)[O-] ZINC000829947132 706423622 /nfs/dbraw/zinc/42/36/22/706423622.db2.gz VXYFXXIQPRHFDZ-CYBMUJFWSA-N -1 1 320.393 1.061 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@@H]1CCCC[C@@H]1N1CCCC1=O ZINC000830443003 706518569 /nfs/dbraw/zinc/51/85/69/706518569.db2.gz CAIJRKZFDZQEFQ-ZJUUUORDSA-N -1 1 308.300 1.778 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC000830790277 706580076 /nfs/dbraw/zinc/58/00/76/706580076.db2.gz QYXNXAAKWLQPLL-KEPMVKOISA-N -1 1 304.312 1.702 20 0 DDADMM CO[C@H](CC(C)C)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830796217 706581462 /nfs/dbraw/zinc/58/14/62/706581462.db2.gz YAOASJVZPBPZBV-ZWNOBZJWSA-N -1 1 324.343 1.717 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ccc([C@H](C)O)cc2)sn1 ZINC000866834615 706819425 /nfs/dbraw/zinc/81/94/25/706819425.db2.gz ASBQAELJQOQLPI-JTQLQIEISA-N -1 1 312.416 1.983 20 0 DDADMM CCO[C@H]1C[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)C12CCCC2 ZINC000866892302 706836791 /nfs/dbraw/zinc/83/67/91/706836791.db2.gz CJTGLFAMHVNXFF-MNOVXSKESA-N -1 1 324.468 1.286 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C(=O)OC ZINC000867054449 706883550 /nfs/dbraw/zinc/88/35/50/706883550.db2.gz OMLSGEKVNMVEQB-ZETCQYMHSA-N -1 1 324.761 1.494 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H](C)c1cncc(F)c1 ZINC000867079476 706890927 /nfs/dbraw/zinc/89/09/27/706890927.db2.gz PARXLFYQKCABKB-ASCAZGACSA-N -1 1 309.388 1.234 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1CCO[C@@H](C2CC2)C1 ZINC000867111447 706899123 /nfs/dbraw/zinc/89/91/23/706899123.db2.gz FTFSXTUGKUBLDW-VXGBXAGGSA-N -1 1 324.468 1.286 20 0 DDADMM CC(C)O[C@H]1C[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)C1(C)C ZINC000867111799 706899943 /nfs/dbraw/zinc/89/99/43/706899943.db2.gz IZBHSXAOKGCJBQ-ZJUUUORDSA-N -1 1 312.457 1.141 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1CC(C)(C)CO1 ZINC000832813550 706990538 /nfs/dbraw/zinc/99/05/38/706990538.db2.gz TUAZDULWUWNERU-VIFPVBQESA-N -1 1 311.407 1.757 20 0 DDADMM O=C([O-])C12CCC(CC1)N2C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000909020071 712918481 /nfs/dbraw/zinc/91/84/81/712918481.db2.gz NJNDJOLTCIUCIV-YLTRJXTNSA-N -1 1 304.350 1.058 20 0 DDADMM CC(C)(C)O[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000867485464 707015778 /nfs/dbraw/zinc/01/57/78/707015778.db2.gz BBHIPGMESWDHDB-KYZUINATSA-N -1 1 320.361 1.984 20 0 DDADMM O=C([O-])NCC1(C(=O)N[C@@H]2CCc3[nH]cnc3C2)CCCC1 ZINC000834205841 707021846 /nfs/dbraw/zinc/02/18/46/707021846.db2.gz DQQRJWHDSRTAGS-SNVBAGLBSA-N -1 1 306.366 1.211 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCC[C@H]2C=CCC2)o1 ZINC000834338148 707032508 /nfs/dbraw/zinc/03/25/08/707032508.db2.gz GCRAWYPKCRGVMD-NSHDSACASA-N -1 1 312.391 1.664 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC[C@@]12CCOC2=O ZINC000867543166 707034420 /nfs/dbraw/zinc/03/44/20/707034420.db2.gz LMKUJNWEYGZQTN-HNNXBMFYSA-N -1 1 309.749 1.896 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=C2CC[C@H](C)C2)n[n-]1 ZINC000880667611 707052527 /nfs/dbraw/zinc/05/25/27/707052527.db2.gz YBVNAZQOCLMRPA-CWIHFQEBSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=C2CC[C@H](C)C2)[n-]1 ZINC000880667611 707052530 /nfs/dbraw/zinc/05/25/30/707052530.db2.gz YBVNAZQOCLMRPA-CWIHFQEBSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)/C=C2\CC[C@H](C)C2)n1 ZINC000880667611 707052534 /nfs/dbraw/zinc/05/25/34/707052534.db2.gz YBVNAZQOCLMRPA-CWIHFQEBSA-N -1 1 306.366 1.905 20 0 DDADMM CCc1[n-]n(C2=NCc3cnn(C(C)(C)C)c3N2)c(=O)c1F ZINC000871962536 707256920 /nfs/dbraw/zinc/25/69/20/707256920.db2.gz DIIDZLZEYKYTPM-JTQLQIEISA-N -1 1 306.345 1.866 20 0 DDADMM CN(Cc1ccc(C(=O)[O-])cc1)C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000909133463 712942718 /nfs/dbraw/zinc/94/27/18/712942718.db2.gz FORWWYMFYQKXQU-ZDUSSCGKSA-N -1 1 313.357 1.871 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(CCn1ccnc1)CC2 ZINC000872167141 707311947 /nfs/dbraw/zinc/31/19/47/707311947.db2.gz DVWXGSCOSLVYCW-UHFFFAOYSA-N -1 1 301.346 1.648 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2ccc3c(c2)C(=O)OC3)CCC1 ZINC000872371317 707393555 /nfs/dbraw/zinc/39/35/55/707393555.db2.gz MACYQJMNDSNKBJ-UHFFFAOYSA-N -1 1 311.359 1.668 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCCOC1 ZINC000872438775 707410888 /nfs/dbraw/zinc/41/08/88/707410888.db2.gz GMTFCBYSMWPXGO-MLCYQJTMSA-N -1 1 312.457 1.144 20 0 DDADMM O=S(=O)([N-]CCOC(F)(F)C(F)(F)F)c1ccco1 ZINC000872559992 707480024 /nfs/dbraw/zinc/48/00/24/707480024.db2.gz FMBAAPYEYATVKQ-UHFFFAOYSA-N -1 1 309.212 1.730 20 0 DDADMM CN(C(=O)c1ccc2n[n-]c(=S)n2c1)[C@H](CO)CC(C)(C)C ZINC000836972291 707556387 /nfs/dbraw/zinc/55/63/87/707556387.db2.gz PEPDYPKUMDVYPL-NSHDSACASA-N -1 1 322.434 1.887 20 0 DDADMM CO[C@@H]1COCC[C@H]1N(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000882375121 707611793 /nfs/dbraw/zinc/61/17/93/707611793.db2.gz LXKZVPXMHVDRAN-TZMCWYRMSA-N -1 1 313.781 1.850 20 0 DDADMM C[C@H](CNC(=O)NCc1ccc([O-])c(Cl)c1)S(C)(=O)=O ZINC000872950680 707668877 /nfs/dbraw/zinc/66/88/77/707668877.db2.gz ZGQWVYFURWJSSN-MRVPVSSYSA-N -1 1 320.798 1.278 20 0 DDADMM Cc1cccc(C[C@H](CNC(=O)C2(N(C)C)CC2)C(=O)[O-])c1 ZINC000909238156 712970705 /nfs/dbraw/zinc/97/07/05/712970705.db2.gz NSYNSWOTCCTTJC-CQSZACIVSA-N -1 1 304.390 1.449 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2ccns2)o1 ZINC000882564634 707700295 /nfs/dbraw/zinc/70/02/95/707700295.db2.gz TWBFQJJXVUGPKZ-UHFFFAOYSA-N -1 1 316.360 1.044 20 0 DDADMM CC1(C)C[C@]1(C)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000882579184 707706167 /nfs/dbraw/zinc/70/61/67/707706167.db2.gz SDUPKYKFGVAZQX-SNVBAGLBSA-N -1 1 322.228 1.887 20 0 DDADMM CCOc1cccc([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)c1 ZINC000882680004 707746168 /nfs/dbraw/zinc/74/61/68/707746168.db2.gz INUJYSKHONWFTD-IBGZPJMESA-N -1 1 321.424 1.316 20 0 DDADMM O=C(/C=C\[C@H]1CCOC1)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000838051940 707821838 /nfs/dbraw/zinc/82/18/38/707821838.db2.gz UDBQMXIZOQFPSL-DGMVEKRQSA-N -1 1 303.314 1.079 20 0 DDADMM CCOC(=O)[C@@H](C[C@@H](C)CC)[N-]S(=O)(=O)c1ccns1 ZINC000921383360 713751244 /nfs/dbraw/zinc/75/12/44/713751244.db2.gz VFDREGYZWYONRY-VHSXEESVSA-N -1 1 320.436 1.789 20 0 DDADMM C=C/C=C\CC[N@@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000883409341 707993917 /nfs/dbraw/zinc/99/39/17/707993917.db2.gz UXEPIGXQSSIODM-WZQADMAKSA-N -1 1 320.311 1.715 20 0 DDADMM CC1(C)Nc2ccccc2[C@@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000883485201 708013644 /nfs/dbraw/zinc/01/36/44/708013644.db2.gz LACBNFHNBNUQAH-NSHDSACASA-N -1 1 317.436 1.494 20 0 DDADMM C[C@@H]1CO[C@H](CO)CN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897013972 708207763 /nfs/dbraw/zinc/20/77/63/708207763.db2.gz IRGTVBBQCJSOPE-MFKMUULPSA-N -1 1 317.341 1.473 20 0 DDADMM Cc1cnc(C(=O)NC[C@H]2CC[C@@H](C(F)(F)F)O2)c([O-])c1 ZINC000927566911 713010862 /nfs/dbraw/zinc/01/08/62/713010862.db2.gz BBDJXELXQJRILY-SCZZXKLOSA-N -1 1 304.268 1.935 20 0 DDADMM Cc1cccc2c1N(C(=O)CN1CCC[C@H](C(=O)[O-])C1)CC2 ZINC000909414546 713011851 /nfs/dbraw/zinc/01/18/51/713011851.db2.gz YMPJBRFRQHHHFQ-AWEZNQCLSA-N -1 1 302.374 1.681 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC000884640174 708346679 /nfs/dbraw/zinc/34/66/79/708346679.db2.gz VYIKDIMZHHUUMD-ONGXEEELSA-N -1 1 321.295 1.604 20 0 DDADMM C[C@H]1OCC[C@]1(O)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897604341 708390421 /nfs/dbraw/zinc/39/04/21/708390421.db2.gz MUZDWLPXDMDYQZ-QGHHPUGFSA-N -1 1 317.341 1.521 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC000885047224 708454655 /nfs/dbraw/zinc/45/46/55/708454655.db2.gz OEFJWBITGCQJQI-JJQCHNSYSA-N -1 1 322.477 1.501 20 0 DDADMM CCCCC[C@@H](C)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912529389 713031068 /nfs/dbraw/zinc/03/10/68/713031068.db2.gz BGPRHUGVPBMHDY-SECBINFHSA-N -1 1 303.384 1.443 20 0 DDADMM Cc1cccc(C[C@@H](CO)[N-]S(=O)(=O)c2ccns2)c1 ZINC000885129175 708477966 /nfs/dbraw/zinc/47/79/66/708477966.db2.gz MQWUZSWABIQZAK-LBPRGKRZSA-N -1 1 312.416 1.333 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1ccns1)C1CCCCC1 ZINC000885136857 708479231 /nfs/dbraw/zinc/47/92/31/708479231.db2.gz YSEADQPDTUBOLB-GFCCVEGCSA-N -1 1 304.437 1.753 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])CC(=O)N1 ZINC000897904375 708487962 /nfs/dbraw/zinc/48/79/62/708487962.db2.gz ARVUTEQYZADIEU-VIFPVBQESA-N -1 1 300.314 1.212 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1ccns1)Cc1ccccc1 ZINC000885214876 708495855 /nfs/dbraw/zinc/49/58/55/708495855.db2.gz XSNSPDKUGLRRAS-GFCCVEGCSA-N -1 1 312.416 1.679 20 0 DDADMM CC(=O)NCCN(C)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898086195 708539210 /nfs/dbraw/zinc/53/92/10/708539210.db2.gz KXGSNWYWVOOZNB-UHFFFAOYSA-N -1 1 302.330 1.459 20 0 DDADMM Cn1nccc1[C@H](O)CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000886243533 708723152 /nfs/dbraw/zinc/72/31/52/708723152.db2.gz AQZAGSIUIUAXEX-CYBMUJFWSA-N -1 1 309.753 1.171 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C1(F)CCOCC1 ZINC000886270032 708733630 /nfs/dbraw/zinc/73/36/30/708733630.db2.gz PLISMVPYPSUNOC-UHFFFAOYSA-N -1 1 303.280 1.848 20 0 DDADMM CN1CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])CCC1=O ZINC000898712894 708839246 /nfs/dbraw/zinc/83/92/46/708839246.db2.gz IYWVHIOBXBSLPR-UHFFFAOYSA-N -1 1 314.341 1.555 20 0 DDADMM C[C@H]1CS(=O)(=O)CCCN1C(=O)c1cc(Cl)ccc1[O-] ZINC000886828804 708856015 /nfs/dbraw/zinc/85/60/15/708856015.db2.gz MXYJWODTSVDZJI-VIFPVBQESA-N -1 1 317.794 1.695 20 0 DDADMM Cc1cccc(CO[N-]C(=O)[C@@H]2CC(=O)N(C(C)(C)C)C2)n1 ZINC000898936124 708929049 /nfs/dbraw/zinc/92/90/49/708929049.db2.gz NOBKNUOHGLTGKR-GFCCVEGCSA-N -1 1 305.378 1.585 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2c([O-])cccc2F)CCS1(=O)=O ZINC000887177741 708981551 /nfs/dbraw/zinc/98/15/51/708981551.db2.gz UKOHQFSEHFPKRN-RKDXNWHRSA-N -1 1 301.339 1.227 20 0 DDADMM COc1cc(C(=O)Nn2nnc3c2CCCC3)cc(Cl)c1[O-] ZINC000887225621 708995170 /nfs/dbraw/zinc/99/51/70/708995170.db2.gz FBALFKJIFKEVHC-UHFFFAOYSA-N -1 1 322.752 1.908 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C[C@@H]2CCC3(CCC3)O2)CC1 ZINC000887326797 709018401 /nfs/dbraw/zinc/01/84/01/709018401.db2.gz NEJAFHATMFDOTP-KBPBESRZSA-N -1 1 324.421 1.486 20 0 DDADMM Cn1nccc1-n1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000899364343 709067855 /nfs/dbraw/zinc/06/78/55/709067855.db2.gz SCHWWXWYQKMWNN-CQSZACIVSA-N -1 1 309.373 1.645 20 0 DDADMM O=C(C(=O)N1CCOC[C@@H]1C[C@@H]1CCOC1)c1ccc([O-])cc1 ZINC000887813450 709130919 /nfs/dbraw/zinc/13/09/19/709130919.db2.gz ILQAVSBOUARFBG-JSGCOSHPSA-N -1 1 319.357 1.229 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H]2C2CCCC2)co1 ZINC000889403450 709491698 /nfs/dbraw/zinc/49/16/98/709491698.db2.gz ZVDAKDBJZQFGCL-GFCCVEGCSA-N -1 1 312.391 1.592 20 0 DDADMM O=C([O-])C1(CNC(=O)[C@H]2CCc3nc[nH]c3C2)CCCCC1 ZINC000909526642 709502141 /nfs/dbraw/zinc/50/21/41/709502141.db2.gz SKSZALVPWOANAZ-NSHDSACASA-N -1 1 305.378 1.666 20 0 DDADMM O=C([N-]OCCOc1ccccc1)[C@@H]1CCCc2nn[nH]c21 ZINC000889445260 709505287 /nfs/dbraw/zinc/50/52/87/709505287.db2.gz ULEFVTXHLNKNEJ-GFCCVEGCSA-N -1 1 302.334 1.351 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cccnc1)c1nn[n-]n1 ZINC000912859701 713109684 /nfs/dbraw/zinc/10/96/84/713109684.db2.gz DPULBNRHAIQIBK-WYGGZMRJSA-N -1 1 304.379 1.219 20 0 DDADMM CCCNC(=O)CN(CCC)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900290900 709523123 /nfs/dbraw/zinc/52/31/23/709523123.db2.gz YYKZOVKURNLWKG-UHFFFAOYSA-N -1 1 320.393 1.438 20 0 DDADMM CSCC[C@H](NC(=O)C1CCC(F)(F)CC1)c1nn[n-]n1 ZINC000912861617 713110332 /nfs/dbraw/zinc/11/03/32/713110332.db2.gz RVJMGKCOBXKHMM-VIFPVBQESA-N -1 1 319.381 1.936 20 0 DDADMM CCC1(C(=O)N[C@@H](CCSC)c2nn[n-]n2)CCOCC1 ZINC000912861857 713110408 /nfs/dbraw/zinc/11/04/08/713110408.db2.gz AJAAOQGHZKZGJT-JTQLQIEISA-N -1 1 313.427 1.317 20 0 DDADMM Cc1nn[nH]c1C(=O)N1C[C@@H](c2ccccc2)[C@H](C(=O)[O-])C1 ZINC000909625375 709545721 /nfs/dbraw/zinc/54/57/21/709545721.db2.gz YPILJBPSMBAMSL-NWDGAFQWSA-N -1 1 300.318 1.054 20 0 DDADMM CSCC[C@H](NC(=O)C(C)=Cc1ccccn1)c1nn[n-]n1 ZINC000912863025 713111562 /nfs/dbraw/zinc/11/15/62/713111562.db2.gz ISSSYMUGMMLFNN-PRDAAYKISA-N -1 1 318.406 1.609 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(CF)cc1)c1nn[n-]n1 ZINC000912863166 713111578 /nfs/dbraw/zinc/11/15/78/713111578.db2.gz NKXHHAGJGZATEQ-NSHDSACASA-N -1 1 309.370 1.893 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1C[C@@H](c2ccccc2)[C@H](C(=O)[O-])C1 ZINC000909626136 709546370 /nfs/dbraw/zinc/54/63/70/709546370.db2.gz GJEVAVVZFHUFKR-XHSDSOJGSA-N -1 1 316.401 1.798 20 0 DDADMM O=C([O-])[C@H](CC(F)(F)F)NC(=O)c1[nH]nc2c1CCCCC2 ZINC000909666271 709565876 /nfs/dbraw/zinc/56/58/76/709565876.db2.gz AYYUITBKMLYUJT-VIFPVBQESA-N -1 1 319.283 1.814 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N(CC(=O)[O-])C1CCC1 ZINC000900463737 709607826 /nfs/dbraw/zinc/60/78/26/709607826.db2.gz VIBFBDOQWLDGEI-AWEZNQCLSA-N -1 1 320.389 1.941 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H](OC)C2CCC2)[n-]c1=O ZINC000889786900 709635646 /nfs/dbraw/zinc/63/56/46/709635646.db2.gz OQEQCBUTTUKKBK-AAEUAGOBSA-N -1 1 321.377 1.669 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2O[C@H](C)C[C@@H]2C)[n-]c1=O ZINC000889788101 709636055 /nfs/dbraw/zinc/63/60/55/709636055.db2.gz VZZMXHUEKDSLLT-SRRSOLGSSA-N -1 1 321.377 1.668 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CCCSC)[n-]c1=O ZINC000889792399 709637951 /nfs/dbraw/zinc/63/79/51/709637951.db2.gz YNPBJXKIXYGALU-SNVBAGLBSA-N -1 1 311.407 1.998 20 0 DDADMM C[C@H](NC(=O)[C@@H]1CCCCN1C)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909819202 709639076 /nfs/dbraw/zinc/63/90/76/709639076.db2.gz ILUVHNNAZDPDJX-BPUTZDHNSA-N -1 1 318.417 1.919 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC[C@H]2C[C@@H]2c2ccccc2)C1 ZINC000909857827 709655684 /nfs/dbraw/zinc/65/56/84/709655684.db2.gz GJZKSGFUWIPREH-BZUAXINKSA-N -1 1 316.401 1.703 20 0 DDADMM O=C([O-])[C@]1(c2ccccc2)CCN(C(=O)CCc2cnc[nH]2)C1 ZINC000909861750 709657473 /nfs/dbraw/zinc/65/74/73/709657473.db2.gz JGYRWENYZOITEA-QGZVFWFLSA-N -1 1 313.357 1.597 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC1CC(CCO)C1 ZINC000889979617 709694730 /nfs/dbraw/zinc/69/47/30/709694730.db2.gz NJGOHONEZHJHJK-UHFFFAOYSA-N -1 1 307.394 1.679 20 0 DDADMM C[C@]1(C(=O)Nc2cc([O-])c(F)cc2F)CCCS1(=O)=O ZINC000909971542 709713731 /nfs/dbraw/zinc/71/37/31/709713731.db2.gz FNLUEPFVVOASHD-GFCCVEGCSA-N -1 1 305.302 1.576 20 0 DDADMM CN(C)[C@H](C(=O)Nc1cc([O-])c(F)cc1F)c1cncn1C ZINC000909987038 709721743 /nfs/dbraw/zinc/72/17/43/709721743.db2.gz ZDBGQVJUAOKSPS-ZDUSSCGKSA-N -1 1 310.304 1.645 20 0 DDADMM O=C(NCC[C@@H](NC1CC1)C(F)(F)F)c1cncc([O-])c1 ZINC000900824801 709779287 /nfs/dbraw/zinc/77/92/87/709779287.db2.gz URSVIDIPOYAZPG-LLVKDONJSA-N -1 1 303.284 1.590 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCSC[C@@H](C(=O)[O-])C2)C1 ZINC000910147578 709791429 /nfs/dbraw/zinc/79/14/29/709791429.db2.gz NSLMRDSUWACMFZ-ADEWGFFLSA-N -1 1 323.418 1.352 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cccnc2C2CC2)CC1 ZINC000910172399 709797283 /nfs/dbraw/zinc/79/72/83/709797283.db2.gz BGKVSHBAKNSSQW-GFCCVEGCSA-N -1 1 317.389 1.580 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2[nH]ccc2C2CC2)CC1 ZINC000910175287 709797700 /nfs/dbraw/zinc/79/77/00/709797700.db2.gz MJGQSBYCEAZSIP-LLVKDONJSA-N -1 1 305.378 1.513 20 0 DDADMM COCCC(C)(C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910173953 709797925 /nfs/dbraw/zinc/79/79/25/709797925.db2.gz OTBPZAGOHNINIE-GFCCVEGCSA-N -1 1 300.399 1.057 20 0 DDADMM C/C=C/C[C@@H]1CCCN(C(=O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)C1 ZINC000910254595 709842176 /nfs/dbraw/zinc/84/21/76/709842176.db2.gz IYBHVLJLFSGUJQ-ZHAXYTGKSA-N -1 1 308.422 1.988 20 0 DDADMM C[C@@H]1CN(C[C@@H]2CCCN2c2ccc(C(=O)[O-])nn2)C[C@H](C)O1 ZINC000910353150 709906870 /nfs/dbraw/zinc/90/68/70/709906870.db2.gz XRLLBUBLMUTEFO-XQQFMLRXSA-N -1 1 320.393 1.253 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CCO[C@H](CF)C1 ZINC000910404012 709926010 /nfs/dbraw/zinc/92/60/10/709926010.db2.gz XHAPHXJCUDBNJK-SECBINFHSA-N -1 1 308.284 1.890 20 0 DDADMM O=C(NC[C@H]1COC2(CCCC2)O1)c1cnc(C2CC2)[n-]c1=O ZINC000901317797 710007829 /nfs/dbraw/zinc/00/78/29/710007829.db2.gz JSPORXIKNYWHAX-NSHDSACASA-N -1 1 319.361 1.475 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)Nc2ccc3ccccc3n2)C1 ZINC000910625586 710025521 /nfs/dbraw/zinc/02/55/21/710025521.db2.gz UPXUFELCOWEUAX-ZDUSSCGKSA-N -1 1 313.357 1.970 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2ccc3ccccc3n2)C1 ZINC000910625586 710025526 /nfs/dbraw/zinc/02/55/26/710025526.db2.gz UPXUFELCOWEUAX-ZDUSSCGKSA-N -1 1 313.357 1.970 20 0 DDADMM O=C([O-])Cc1ccc(CNCc2cc3c(cn2)OCCO3)cc1 ZINC000901539420 710068773 /nfs/dbraw/zinc/06/87/73/710068773.db2.gz QFHCWHAXOPLYCY-UHFFFAOYSA-N -1 1 314.341 1.770 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)[C@H]1CO[C@H](CCC(=O)[O-])C1 ZINC000901614926 710096735 /nfs/dbraw/zinc/09/67/35/710096735.db2.gz PVGBJIQHYQXMNB-MCIONIFRSA-N -1 1 312.410 1.055 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC000901704203 710126186 /nfs/dbraw/zinc/12/61/86/710126186.db2.gz GTIGMUPOICCQAB-MFKMUULPSA-N -1 1 305.378 1.789 20 0 DDADMM Cc1oc2ccccc2c1CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901740856 710136518 /nfs/dbraw/zinc/13/65/18/710136518.db2.gz GHTSCYPLQSEBBX-UWVGGRQHSA-N -1 1 304.346 1.809 20 0 DDADMM O=C([O-])[C@@H]1CN(Cc2cc(C(F)(F)F)n[nH]2)C[C@H]1C1CC1 ZINC000901899192 710167961 /nfs/dbraw/zinc/16/79/61/710167961.db2.gz FLBLTRRWVQJOPO-VHSXEESVSA-N -1 1 303.284 1.971 20 0 DDADMM NC(=O)[C@H](F)C1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000913107668 713165151 /nfs/dbraw/zinc/16/51/51/713165151.db2.gz FXNQDIILEXNOKC-GFCCVEGCSA-N -1 1 314.744 1.721 20 0 DDADMM COCc1nc(N(C)[C@H](CCO)c2ccccc2)cc(=O)[n-]1 ZINC000892762199 710493905 /nfs/dbraw/zinc/49/39/05/710493905.db2.gz BGDZBYDKMBAVLQ-CYBMUJFWSA-N -1 1 303.362 1.889 20 0 DDADMM COCc1nc(N2CCN(CC(C)C)C(=O)[C@H]2C)cc(=O)[n-]1 ZINC000893273747 710584070 /nfs/dbraw/zinc/58/40/70/710584070.db2.gz LPVONZSIGROFBZ-LLVKDONJSA-N -1 1 308.382 1.022 20 0 DDADMM Cn1cc(C[C@@H](CO)NC(=O)Cc2ccc([O-])c(Cl)c2)cn1 ZINC000911103030 710634626 /nfs/dbraw/zinc/63/46/26/710634626.db2.gz MRLKLPNZVOZAQZ-LBPRGKRZSA-N -1 1 323.780 1.041 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CC[C@H]1C(=O)[O-] ZINC000902172208 710647090 /nfs/dbraw/zinc/64/70/90/710647090.db2.gz BTOVYJWEFWGWMM-GXFFZTMASA-N -1 1 314.345 1.655 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)NCc1ccc(OCC(=O)[O-])cc1 ZINC000902193351 710658712 /nfs/dbraw/zinc/65/87/12/710658712.db2.gz YRWCGDCAHBKGBI-VXGBXAGGSA-N -1 1 308.378 1.543 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N2CCN(C3CCCCC3)CC2)O[C@H]1C(=O)[O-] ZINC000911154364 710658829 /nfs/dbraw/zinc/65/88/29/710658829.db2.gz LVTQYONXSCWSKC-BPLDGKMQSA-N -1 1 324.421 1.342 20 0 DDADMM CON1CC[C@H](O[N-]C(=O)Cc2cccc3ccccc32)C1=O ZINC000902252646 710682570 /nfs/dbraw/zinc/68/25/70/710682570.db2.gz HKWPIDFTLKGLMU-HNNXBMFYSA-N -1 1 314.341 1.592 20 0 DDADMM Cc1cc(C(=O)[O-])cc(C(=O)NCCCCN2CCOCC2)c1 ZINC000911202681 710683061 /nfs/dbraw/zinc/68/30/61/710683061.db2.gz HSHNYDXPMBDDTN-UHFFFAOYSA-N -1 1 320.389 1.535 20 0 DDADMM Cc1ccc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)c(C)c1O ZINC000911223013 710695680 /nfs/dbraw/zinc/69/56/80/710695680.db2.gz WNQRQUCCEBBONZ-LBPRGKRZSA-N -1 1 306.362 1.744 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2oc(C(=O)[O-])cc2C)C1 ZINC000911354360 710759678 /nfs/dbraw/zinc/75/96/78/710759678.db2.gz XQNSYOXNSNTSGP-LLVKDONJSA-N -1 1 310.350 1.127 20 0 DDADMM CN(C(=O)c1ccc(C(=O)[O-])cc1F)[C@H]1CN2CCC1CC2 ZINC000911377675 710770303 /nfs/dbraw/zinc/77/03/03/710770303.db2.gz WUQMDGDODXLILL-AWEZNQCLSA-N -1 1 306.337 1.690 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)c1cccc(CC(=O)[O-])c1 ZINC000911408859 710785518 /nfs/dbraw/zinc/78/55/18/710785518.db2.gz BHOCWOFZPRXUTR-HNNXBMFYSA-N -1 1 304.390 1.726 20 0 DDADMM Nn1c(SCc2nn[n-]n2)nnc1-c1cccc(Cl)c1 ZINC000913396288 713208169 /nfs/dbraw/zinc/20/81/69/713208169.db2.gz WQDCAPIJBURKKY-UHFFFAOYSA-N -1 1 308.758 1.118 20 0 DDADMM CC1CCN(CC(=O)N[C@@](C)(CC(=O)[O-])c2ccccn2)CC1 ZINC000911616942 710882231 /nfs/dbraw/zinc/88/22/31/710882231.db2.gz SKKRVSOIUVQFAA-KRWDZBQOSA-N -1 1 319.405 1.620 20 0 DDADMM CCO[C@@H](C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C(C)C ZINC000913436245 713214828 /nfs/dbraw/zinc/21/48/28/713214828.db2.gz ARYZGEFLTWFWGD-CHWSQXEVSA-N -1 1 303.366 1.466 20 0 DDADMM O=C([O-])c1ccc(C(=O)N[C@H]2CCCN3CCSC[C@@H]23)cn1 ZINC000902817907 710914366 /nfs/dbraw/zinc/91/43/66/710914366.db2.gz GDFMVHRKTBAACK-AAEUAGOBSA-N -1 1 321.402 1.089 20 0 DDADMM O=C([O-])c1cccc(CC(=O)N2CC[C@@H](c3nc[nH]n3)C2)c1 ZINC000911773887 710977197 /nfs/dbraw/zinc/97/71/97/710977197.db2.gz PSFQJIXOBFVNNN-GFCCVEGCSA-N -1 1 300.318 1.062 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC(=O)CC12CCC2 ZINC000911996176 711108678 /nfs/dbraw/zinc/10/86/78/711108678.db2.gz MKZAXALTBNQVAD-UHFFFAOYSA-N -1 1 301.346 1.787 20 0 DDADMM C[C@H]1CCN(CCS(=O)(=O)CC(=O)[O-])[C@H]1c1ccccc1 ZINC000903410524 711118147 /nfs/dbraw/zinc/11/81/47/711118147.db2.gz CDKGBCPNUPEWCE-SWLSCSKDSA-N -1 1 311.403 1.569 20 0 DDADMM CC(C)CN(Cc1ccccn1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000903420173 711120834 /nfs/dbraw/zinc/12/08/34/711120834.db2.gz GWTMUFCPTTWBIT-CQSZACIVSA-N -1 1 305.378 1.225 20 0 DDADMM CO[C@@H]1CN(C[C@@H]2CCC3(CCOCC3)O2)[C@@](C)(C(=O)[O-])C1 ZINC000903608296 711221894 /nfs/dbraw/zinc/22/18/94/711221894.db2.gz USVALLHFFIJEPU-KCQAQPDRSA-N -1 1 313.394 1.279 20 0 DDADMM O=C(c1cc2occc2s1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494997 713237602 /nfs/dbraw/zinc/23/76/02/713237602.db2.gz FRDVCRNKNPTYLD-SSDOTTSWSA-N -1 1 305.319 1.221 20 0 DDADMM CC[C@H](Cc1ccccc1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495298 713237660 /nfs/dbraw/zinc/23/76/60/713237660.db2.gz UUEMQRARKBDMGF-KGLIPLIRSA-N -1 1 315.377 1.369 20 0 DDADMM Cc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c2c1CCC2 ZINC000913497018 713238799 /nfs/dbraw/zinc/23/87/99/713238799.db2.gz GYPLFVXYLJPDJS-CQSZACIVSA-N -1 1 313.361 1.211 20 0 DDADMM CCN(C(=O)CN(CCOC)CCC(=O)[O-])C1CCCCC1 ZINC000903708392 711249531 /nfs/dbraw/zinc/24/95/31/711249531.db2.gz SUWHKGPEVRPDOZ-UHFFFAOYSA-N -1 1 314.426 1.591 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H]1CCN(c2ccc(C)cc2)C1=O ZINC000903711866 711250149 /nfs/dbraw/zinc/25/01/49/711250149.db2.gz NOCYCESWUGERKK-OAHLLOKOSA-N -1 1 320.389 1.523 20 0 DDADMM CC(C)(C)c1ccc(CNC(=O)[C@@]2(C(=O)[O-])CNCCO2)cc1 ZINC000912262416 711250568 /nfs/dbraw/zinc/25/05/68/711250568.db2.gz UXILKQOGTDBARY-QGZVFWFLSA-N -1 1 320.389 1.044 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N(C)Cc1ccccc1 ZINC000903712591 711250910 /nfs/dbraw/zinc/25/09/10/711250910.db2.gz ZNEFQCCKYROOJB-CQSZACIVSA-N -1 1 322.405 1.457 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)NCc1ccccc1C ZINC000903712164 711250990 /nfs/dbraw/zinc/25/09/90/711250990.db2.gz RZNCLKDBFQSFHA-CQSZACIVSA-N -1 1 322.405 1.423 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]1CCO ZINC000912403861 711314616 /nfs/dbraw/zinc/31/46/16/711314616.db2.gz MNGVWFTXPBJMII-MFKMUULPSA-N -1 1 305.378 1.683 20 0 DDADMM C[C@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]1CCO ZINC000912403859 711314694 /nfs/dbraw/zinc/31/46/94/711314694.db2.gz MNGVWFTXPBJMII-GWCFXTLKSA-N -1 1 305.378 1.683 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@@]1(C)CCOc2ccccc21 ZINC000904261904 711420877 /nfs/dbraw/zinc/42/08/77/711420877.db2.gz RDPFIJMZYMWXIK-INIZCTEOSA-N -1 1 301.346 1.906 20 0 DDADMM CC(C)C(=O)NCCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895567029 711543712 /nfs/dbraw/zinc/54/37/12/711543712.db2.gz DMTZWHFLKBKPCV-UHFFFAOYSA-N -1 1 316.357 1.753 20 0 DDADMM COc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1F ZINC000913742224 713291896 /nfs/dbraw/zinc/29/18/96/713291896.db2.gz ONZYFCMUWZRYIF-UHFFFAOYSA-N -1 1 305.313 1.367 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC000913742700 713292149 /nfs/dbraw/zinc/29/21/49/713292149.db2.gz QDDMFCXLTMGPTR-UHFFFAOYSA-N -1 1 305.363 1.484 20 0 DDADMM Cn1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(C(C)(C)C)n1 ZINC000913744443 713292897 /nfs/dbraw/zinc/29/28/97/713292897.db2.gz OXLBAXPSGUDQQI-UHFFFAOYSA-N -1 1 317.397 1.251 20 0 DDADMM CCc1ccc(O)c(C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913744567 713292996 /nfs/dbraw/zinc/29/29/96/713292996.db2.gz UIXQIMYZZAFHIM-UHFFFAOYSA-N -1 1 301.350 1.488 20 0 DDADMM CC[C@H]1C[C@H](CC(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000913744642 713293330 /nfs/dbraw/zinc/29/33/30/713293330.db2.gz XNFDFGZYLRFFTR-YPMHNXCESA-N -1 1 307.398 1.501 20 0 DDADMM Cc1ccn([C@H](C)CC(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913745974 713293836 /nfs/dbraw/zinc/29/38/36/713293836.db2.gz RMRBKLZRLQYTLH-LLVKDONJSA-N -1 1 303.370 1.062 20 0 DDADMM O=C(C[C@@H]1Cc2ccccc2O1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746758 713294410 /nfs/dbraw/zinc/29/44/10/713294410.db2.gz HAKWHJXGBKURAG-ZDUSSCGKSA-N -1 1 313.361 1.300 20 0 DDADMM COc1ccc([C@H](NCc2cnc(OC)nc2C)C(=O)[O-])cc1 ZINC000905381730 712022499 /nfs/dbraw/zinc/02/24/99/712022499.db2.gz VMIWUWOWGJQLDU-AWEZNQCLSA-N -1 1 317.345 1.718 20 0 DDADMM CC(C)n1cncc1CN[C@@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000905794740 712147946 /nfs/dbraw/zinc/14/79/46/712147946.db2.gz LFGBLLYFWTVBAX-GFCCVEGCSA-N -1 1 303.366 1.983 20 0 DDADMM C[N-]S(=O)(=O)N=[S@](=O)(c1ccccc1)C(F)(F)F ZINC000913825736 713306631 /nfs/dbraw/zinc/30/66/31/713306631.db2.gz OKVVIWQIQJWPIW-KRWDZBQOSA-N -1 1 302.299 1.498 20 0 DDADMM CC(C)S(=O)(=O)C=C([O-])N=[S@@](C)(=O)c1cccc(F)c1 ZINC000906342525 712302692 /nfs/dbraw/zinc/30/26/92/712302692.db2.gz PHKPBTUYKUYPGH-IBGZPJMESA-N -1 1 321.395 1.632 20 0 DDADMM C[C@@H](NS(=O)(=O)c1cccc(C(=O)[O-])c1F)C(F)(F)F ZINC000906984917 712456780 /nfs/dbraw/zinc/45/67/80/712456780.db2.gz AKLHOMRPMHMVSJ-RXMQYKEDSA-N -1 1 315.244 1.753 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccnc(Cl)c1Cl)c1nn[n-]n1 ZINC000907350570 712549969 /nfs/dbraw/zinc/54/99/69/712549969.db2.gz RPBHHZQCIBBTPB-LURJTMIESA-N -1 1 315.164 1.777 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c(C)n1 ZINC000907477089 712582417 /nfs/dbraw/zinc/58/24/17/712582417.db2.gz PRQDOYHUHMKGEC-NSHDSACASA-N -1 1 304.379 1.142 20 0 DDADMM Cc1csc(CCC(=O)N2CCSC[C@H]2c2nn[n-]n2)n1 ZINC000907478171 712582904 /nfs/dbraw/zinc/58/29/04/712582904.db2.gz HAZCWJJXOCMQKA-VIFPVBQESA-N -1 1 324.435 1.214 20 0 DDADMM COC(=O)[C@]1(C)CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907532900 712590897 /nfs/dbraw/zinc/59/08/97/712590897.db2.gz SJSXOKNRWXBWSX-HNNXBMFYSA-N -1 1 305.334 1.227 20 0 DDADMM CN1CC[C@@H](NC(=O)c2c([O-])cnc3c(F)cccc32)CC1=O ZINC000907628424 712605631 /nfs/dbraw/zinc/60/56/31/712605631.db2.gz WWLNJYTXLNMKOU-SECBINFHSA-N -1 1 317.320 1.430 20 0 DDADMM C[C@H]1CC2(CC([N-]S(=O)(=O)c3ccc(F)nc3F)C2)CO1 ZINC000907733736 712619881 /nfs/dbraw/zinc/61/98/81/712619881.db2.gz XBAXADDZTAHZQV-BVDKZHGWSA-N -1 1 318.345 1.596 20 0 DDADMM CCOc1ccccc1CNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908709319 712839140 /nfs/dbraw/zinc/83/91/40/712839140.db2.gz OKXRAWMIZRDTPY-AWEZNQCLSA-N -1 1 320.389 1.498 20 0 DDADMM CC(C)(NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1cccc(F)c1 ZINC000908811156 712859230 /nfs/dbraw/zinc/85/92/30/712859230.db2.gz DGNZVFVDAPCNIZ-GFCCVEGCSA-N -1 1 322.380 1.974 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@H]1C[C@@H]1C1CCCCC1 ZINC000908826904 712862924 /nfs/dbraw/zinc/86/29/24/712862924.db2.gz ZOUWCJVRFPLZSU-ZNMIVQPWSA-N -1 1 308.422 1.868 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)NC1(C(=O)[O-])CCC1)c1ccco1 ZINC000908875426 712876843 /nfs/dbraw/zinc/87/68/43/712876843.db2.gz HSIGAYJXYQLIOG-LBPRGKRZSA-N -1 1 323.393 1.969 20 0 DDADMM C[C@@H](CNC(=O)N[C@@H](CF)C(=O)[O-])N1CCc2ccccc2C1 ZINC000908912432 712885161 /nfs/dbraw/zinc/88/51/61/712885161.db2.gz JPVFXTMVLTZKAM-FZMZJTMJSA-N -1 1 323.368 1.155 20 0 DDADMM O=S(=O)(CC1(F)CC1)[N-][C@@H]1COc2cc(F)cc(F)c21 ZINC000914361768 713384037 /nfs/dbraw/zinc/38/40/37/713384037.db2.gz SNGNLIFUKMQLIA-SECBINFHSA-N -1 1 307.293 1.820 20 0 DDADMM C[C@@H](Cn1cnc2c(cnn2C)c1=O)C(=O)c1ccc([O-])cc1 ZINC000916251948 713448624 /nfs/dbraw/zinc/44/86/24/713448624.db2.gz LJZKJOACTBIAJE-JTQLQIEISA-N -1 1 312.329 1.355 20 0 DDADMM COCC(=O)N1CCN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CC1 ZINC000929278325 713581410 /nfs/dbraw/zinc/58/14/10/713581410.db2.gz VMWNJJGAAWFHKP-CYBMUJFWSA-N -1 1 320.389 1.002 20 0 DDADMM CC[S@](=O)CCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000920085625 713650129 /nfs/dbraw/zinc/65/01/29/713650129.db2.gz XAKLMBXUCGHORW-NRFANRHFSA-N -1 1 320.361 1.281 20 0 DDADMM CC(=O)N1CCN(C[C@H](C)C(=O)c2ccc([O-])cc2)[C@@H](C)C1 ZINC000929840391 713703396 /nfs/dbraw/zinc/70/33/96/713703396.db2.gz BZZPKQXXLIGRMX-STQMWFEESA-N -1 1 304.390 1.764 20 0 DDADMM CCNC(=O)[N-]N1C(=O)N[C@](C)(CCc2ccccc2)C1=O ZINC000921230869 713724115 /nfs/dbraw/zinc/72/41/15/713724115.db2.gz GNSDXBZWMBWEDK-OAHLLOKOSA-N -1 1 304.350 1.164 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@H]2[C@@H]2C[C@H]2C)o1 ZINC000921309848 713729045 /nfs/dbraw/zinc/72/90/45/713729045.db2.gz WKOPOWUOWBNDEN-CHWFTXMASA-N -1 1 313.375 1.779 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@H]1c2ccccc2OC[C@H]1F ZINC000921319803 713732758 /nfs/dbraw/zinc/73/27/58/713732758.db2.gz WRABSQOPRHORTM-YPMHNXCESA-N -1 1 317.382 1.803 20 0 DDADMM CC(C)O[C@]1(C[N-]S(=O)(=O)c2ccns2)CCOC1 ZINC000921460439 713777213 /nfs/dbraw/zinc/77/72/13/713777213.db2.gz UPDLVKQZUYAZPF-NSHDSACASA-N -1 1 306.409 1.006 20 0 DDADMM C[C@@H]1OCC[C@@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000921709265 713850360 /nfs/dbraw/zinc/85/03/60/713850360.db2.gz XRRWZAOKHWVARF-SDBXPKJASA-N -1 1 300.305 1.690 20 0 DDADMM C[C@H](Cc1cccnc1)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000931131925 714010375 /nfs/dbraw/zinc/01/03/75/714010375.db2.gz PFMUBNPROXSDBF-LLVKDONJSA-N -1 1 310.361 1.490 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCC(C)(F)F)o1 ZINC000922335598 714022991 /nfs/dbraw/zinc/02/29/91/714022991.db2.gz SESSIMZXKROIID-UHFFFAOYSA-N -1 1 311.306 1.780 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC[C@H]2CCNC(=O)[C@@H]21 ZINC000922460904 714061318 /nfs/dbraw/zinc/06/13/18/714061318.db2.gz DXTVTVVTYRUGCQ-TVQRCGJNSA-N -1 1 308.765 1.786 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCC[C@@H](O)CC1 ZINC000932054621 714247697 /nfs/dbraw/zinc/24/76/97/714247697.db2.gz SBWFDPDOJBWUNF-CQSZACIVSA-N -1 1 316.361 1.957 20 0 DDADMM CC(=O)NCCN(C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932059432 714249211 /nfs/dbraw/zinc/24/92/11/714249211.db2.gz OPRXLWJFEVUZFJ-UHFFFAOYSA-N -1 1 317.349 1.178 20 0 DDADMM CC(C)Cc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)s1 ZINC000932283528 714299857 /nfs/dbraw/zinc/29/98/57/714299857.db2.gz RHACTSLHOGWLDW-NSHDSACASA-N -1 1 321.406 1.673 20 0 DDADMM COc1ccc(Cl)cc1C(F)(F)C(=O)[N-]C1=NCC(=O)N1 ZINC000932639444 714372234 /nfs/dbraw/zinc/37/22/34/714372234.db2.gz PABNTUCHDPSGPW-UHFFFAOYSA-N -1 1 317.679 1.042 20 0 DDADMM COC(=O)CC1(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)CC1 ZINC000934272079 714782591 /nfs/dbraw/zinc/78/25/91/714782591.db2.gz IQHCZHHKNAVJQD-LLVKDONJSA-N -1 1 321.377 1.671 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1cccc(O)c1 ZINC000935036627 714960897 /nfs/dbraw/zinc/96/08/97/714960897.db2.gz FYSFXPFEWOSNKW-JQWIXIFHSA-N -1 1 301.350 1.415 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@]1(c2ccccc2)CCCO1)c1nn[n-]n1 ZINC000935368345 715038806 /nfs/dbraw/zinc/03/88/06/715038806.db2.gz QVVGYTMRXXUSCX-LRDDRELGSA-N -1 1 315.377 1.468 20 0 DDADMM C[C@@H](C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000954591294 715429682 /nfs/dbraw/zinc/42/96/82/715429682.db2.gz HBSMOYPIYGKQTR-LLVKDONJSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000937614767 715450758 /nfs/dbraw/zinc/45/07/58/715450758.db2.gz AXEAVCRABRSGHB-JTQLQIEISA-N -1 1 301.302 1.025 20 0 DDADMM C[C@@]1(NC(=O)C2=CCCC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956818218 715618345 /nfs/dbraw/zinc/61/83/45/715618345.db2.gz UIGRLMZAQOIFIH-QGZVFWFLSA-N -1 1 315.373 1.618 20 0 DDADMM C[C@]1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C=CCC1 ZINC000937863918 715618439 /nfs/dbraw/zinc/61/84/39/715618439.db2.gz KKNDCUXSSULOCV-SJCJKPOMSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)CC(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849155 715636616 /nfs/dbraw/zinc/63/66/16/715636616.db2.gz MKONNYPSGOJBKR-MRXNPFEDSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)C1CCC1)c1ncccc1[O-] ZINC000955844776 715969170 /nfs/dbraw/zinc/96/91/70/715969170.db2.gz CSLIZHYQOMDJES-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939809308 716435545 /nfs/dbraw/zinc/43/55/45/716435545.db2.gz BBJODNKFORQXDC-STQMWFEESA-N -1 1 319.405 1.752 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940136005 716645249 /nfs/dbraw/zinc/64/52/49/716645249.db2.gz PVGGUEOZGKPJKY-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM CC(C)(C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000942227828 717566816 /nfs/dbraw/zinc/56/68/16/717566816.db2.gz XAKOWLIZECADNR-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])c1ccco1 ZINC000943977414 718236073 /nfs/dbraw/zinc/23/60/73/718236073.db2.gz ZEIYIDVTEOLPHK-LLVKDONJSA-N -1 1 315.329 1.415 20 0 DDADMM CC1(C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])CCC1 ZINC000944187161 718268111 /nfs/dbraw/zinc/26/81/11/718268111.db2.gz SNRKQCSJKLMWIB-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ccc[nH]1 ZINC000966329480 718517001 /nfs/dbraw/zinc/51/70/01/718517001.db2.gz LQVUNJGSELODHX-CMPLNLGQSA-N -1 1 314.345 1.006 20 0 DDADMM O=C(NC[C@H]1CCC[C@@H]1NC(=O)C1CC1)c1ncccc1[O-] ZINC000946076243 718666659 /nfs/dbraw/zinc/66/66/59/718666659.db2.gz FKQKKBYMWPTWQL-NEPJUHHUSA-N -1 1 303.362 1.212 20 0 DDADMM CC1(C(=O)N[C@@H]2CCC[C@@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000946220067 718715777 /nfs/dbraw/zinc/71/57/77/718715777.db2.gz IEMZMLJLLMLIEA-VXGBXAGGSA-N -1 1 317.389 1.602 20 0 DDADMM CC(C)=C(F)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000946434877 718782547 /nfs/dbraw/zinc/78/25/47/718782547.db2.gz NHUSMODGBJNQGN-UHFFFAOYSA-N -1 1 321.352 1.771 20 0 DDADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1CC12CC2 ZINC000946538449 718823508 /nfs/dbraw/zinc/82/35/08/718823508.db2.gz CQTLWZICXXNMSY-GFCCVEGCSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@H](C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000948177544 719381050 /nfs/dbraw/zinc/38/10/50/719381050.db2.gz CHNPSWJSLMAAIK-NTZNESFSSA-N -1 1 317.389 1.410 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000948512885 719530921 /nfs/dbraw/zinc/53/09/21/719530921.db2.gz WSWQCKMRYMHRIW-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(C)(CC)C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949537775 720146886 /nfs/dbraw/zinc/14/68/86/720146886.db2.gz BLQTWWHECXXSOT-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)[C@@H]1CC12CCC2)c1ncccc1[O-] ZINC000949538800 720147326 /nfs/dbraw/zinc/14/73/26/720147326.db2.gz QZQZLYUZJLQYQC-NEPJUHHUSA-N -1 1 315.373 1.308 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2cscn2)C1 ZINC000949952330 720428280 /nfs/dbraw/zinc/42/82/80/720428280.db2.gz LZQSXJUBKIJAGZ-UHFFFAOYSA-N -1 1 318.402 1.590 20 0 DDADMM CC1(C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000950546516 720677893 /nfs/dbraw/zinc/67/78/93/720677893.db2.gz PVJHNSGWYLLXTF-LLVKDONJSA-N -1 1 303.362 1.308 20 0 DDADMM CN(C(=O)C(F)F)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953670209 721638810 /nfs/dbraw/zinc/63/88/10/721638810.db2.gz IAPGKHFGGCIJQJ-UHFFFAOYSA-N -1 1 313.304 1.115 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC000953888519 721674618 /nfs/dbraw/zinc/67/46/18/721674618.db2.gz SDWKCXMUTPUVLW-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCC2(C)C)C1 ZINC000954127657 721724822 /nfs/dbraw/zinc/72/48/22/721724822.db2.gz CLRZHSZYLIKPCX-GFCCVEGCSA-N -1 1 317.389 1.506 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001020613791 732563872 /nfs/dbraw/zinc/56/38/72/732563872.db2.gz SGOZQGWSMQAZCZ-LOWDOPEQSA-N -1 1 317.389 1.553 20 0 DDADMM Cc1ncoc1CN1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001027843773 738726766 /nfs/dbraw/zinc/72/67/66/738726766.db2.gz HDGSQCQRIXIKTR-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM CO[C@H](C)C[N@H+]1CCCC[C@H]1CNC(=O)c1ncccc1O ZINC001024406939 735807683 /nfs/dbraw/zinc/80/76/83/735807683.db2.gz ITBVKQYRPAKGRH-OLZOCXBDSA-N -1 1 307.394 1.406 20 0 DDADMM CC(C)(C)[C@@H](CNc1ncccn1)NC(=O)c1ncccc1[O-] ZINC001125857944 736791109 /nfs/dbraw/zinc/79/11/09/736791109.db2.gz QSRAYCSYTLNPAO-GFCCVEGCSA-N -1 1 315.377 1.834 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974057917 737351994 /nfs/dbraw/zinc/35/19/94/737351994.db2.gz TVDAOQDHJWNEPK-FIQHERPVSA-N -1 1 303.362 1.210 20 0 DDADMM CC[C@](C)(C[N-]S(=O)(=O)c1ccc(Cl)nc1F)OC ZINC000692828039 738392421 /nfs/dbraw/zinc/39/24/21/738392421.db2.gz WDFOWLJMDZBRAB-LLVKDONJSA-N -1 1 310.778 1.968 20 0 DDADMM CN(C)C(=O)c1cc(Nc2c(N)[nH]c(=S)[n-]c2=O)ccc1F ZINC001211850189 740313327 /nfs/dbraw/zinc/31/33/27/740313327.db2.gz VSSFPHOURCQXQF-UHFFFAOYSA-N -1 1 323.353 1.638 20 0 DDADMM O=C(CC1CC1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088249750 741206733 /nfs/dbraw/zinc/20/67/33/741206733.db2.gz ZWNUOXKVVAMDJK-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001029767504 741279446 /nfs/dbraw/zinc/27/94/46/741279446.db2.gz IUCUUCDDNPLFKP-RYUDHWBXSA-N -1 1 321.425 1.227 20 0 DDADMM COCC(C)(C)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076248714 742620690 /nfs/dbraw/zinc/62/06/90/742620690.db2.gz ZUZOSDXJBAGAAI-NSHDSACASA-N -1 1 323.393 1.084 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C[C@@H]1C=CCC1 ZINC001076243978 742623408 /nfs/dbraw/zinc/62/34/08/742623408.db2.gz FYJWZAAHKJQQKD-QWHCGFSZSA-N -1 1 317.389 1.768 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC1(F)F ZINC001076244818 742624520 /nfs/dbraw/zinc/62/45/20/742624520.db2.gz BBOYPIBOFSCCLC-DTWKUNHWSA-N -1 1 313.304 1.067 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)Cc1ccco1 ZINC001076245016 742624584 /nfs/dbraw/zinc/62/45/84/742624584.db2.gz KOXOOHYSHLVKGW-NSHDSACASA-N -1 1 317.345 1.248 20 0 DDADMM CN(C)c1nc(NC(=O)[C@@H]2CCOC(C)(C)C2)c(N=O)c(=O)[n-]1 ZINC001182019067 743458084 /nfs/dbraw/zinc/45/80/84/743458084.db2.gz AGXDWRDPWLEHIU-MRVPVSSYSA-N -1 1 323.353 1.790 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002820946 743474996 /nfs/dbraw/zinc/47/49/96/743474996.db2.gz OQQQJIFWDBYUFT-AVGNSLFASA-N -1 1 317.389 1.506 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001011625195 743561371 /nfs/dbraw/zinc/56/13/71/743561371.db2.gz FKMWJRYSIWHARQ-FDZLHUOSSA-N -1 1 303.362 1.473 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H]1C[C@@H]1c1ccccc1C(F)(F)F ZINC001182367792 743593659 /nfs/dbraw/zinc/59/36/59/743593659.db2.gz DQDNHBNRUAVKDO-BDAKNGLRSA-N -1 1 311.267 1.638 20 0 DDADMM Cc1noc([N-]C(=O)c2cc(C(C)(C)C)n3ncnc3n2)n1 ZINC001182400509 743599764 /nfs/dbraw/zinc/59/97/64/743599764.db2.gz GQDQFFIDVUHQPU-UHFFFAOYSA-N -1 1 301.310 1.366 20 0 DDADMM CC(C)(Cc1ccccc1)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001182603336 743679552 /nfs/dbraw/zinc/67/95/52/743679552.db2.gz BQDNVDCUSLHEOX-UHFFFAOYSA-N -1 1 302.334 1.677 20 0 DDADMM CN(C)c1nc(NC(=O)[C@H]2C[C@]23CCCOC3)c(N=O)c(=O)[n-]1 ZINC001183700376 743889676 /nfs/dbraw/zinc/88/96/76/743889676.db2.gz BMZAHFFZQNEQEX-CLAHSXSESA-N -1 1 321.337 1.401 20 0 DDADMM CCc1noc(C)c1CN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001030239945 743976471 /nfs/dbraw/zinc/97/64/71/743976471.db2.gz QFXAURFNGPDQHN-UHFFFAOYSA-N -1 1 316.361 1.260 20 0 DDADMM O=C(NC1CN(CC=C(Cl)Cl)C1)c1ncccc1[O-] ZINC001030242117 743977921 /nfs/dbraw/zinc/97/79/21/743977921.db2.gz PLQDGKWRQCEALS-UHFFFAOYSA-N -1 1 302.161 1.520 20 0 DDADMM CSc1nc(NS(=O)(=O)c2ccsc2)cc(=O)[n-]1 ZINC001185350751 744204343 /nfs/dbraw/zinc/20/43/43/744204343.db2.gz KLFAZHNJACPAST-UHFFFAOYSA-N -1 1 303.390 1.766 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(N[C@H]3CCc4cc(F)ccc4C3)c2[nH]1 ZINC001168412771 744220850 /nfs/dbraw/zinc/22/08/50/744220850.db2.gz SZVQWQUJZPKCRJ-JTQLQIEISA-N -1 1 315.308 1.824 20 0 DDADMM C[C@@]1(O)C[C@@H](C(=O)Nc2nc(Br)ccc2[O-])C1 ZINC001185461753 744229071 /nfs/dbraw/zinc/22/90/71/744229071.db2.gz DVLUZNDKXHQQPC-MHWOZMEASA-N -1 1 301.140 1.649 20 0 DDADMM CSc1[nH]nc(NC(=O)c2ccc([O-])c(F)c2)c1C(N)=O ZINC001186209007 744364490 /nfs/dbraw/zinc/36/44/90/744364490.db2.gz MTHCMTZYFHLRQA-UHFFFAOYSA-N -1 1 310.310 1.328 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(C)c(F)cc3F)c1-2 ZINC001186349155 744380862 /nfs/dbraw/zinc/38/08/62/744380862.db2.gz YLQNSCRWUITLBU-UHFFFAOYSA-N -1 1 303.272 1.874 20 0 DDADMM COCCOc1nccc(CNC(=O)c2ccc([O-])cc2F)n1 ZINC001186339537 744389424 /nfs/dbraw/zinc/38/94/24/744389424.db2.gz JEADRNVEVURMLW-UHFFFAOYSA-N -1 1 321.308 1.277 20 0 DDADMM COc1cccc(CC(=O)NCCCC[P@](=O)([O-])O)c1C ZINC001186476010 744400921 /nfs/dbraw/zinc/40/09/21/744400921.db2.gz XGYLDQPAXPUAOZ-UHFFFAOYSA-N -1 1 315.306 1.620 20 0 DDADMM CCOCCS(=O)(=O)[N-]C1(c2ccccc2Cl)COC1 ZINC001187310850 744547088 /nfs/dbraw/zinc/54/70/88/744547088.db2.gz CIKZXMDZMARSKU-UHFFFAOYSA-N -1 1 319.810 1.521 20 0 DDADMM COc1ccc(C)nc1NC(=O)c1n[n-]nc1C(F)(F)F ZINC001187758671 744605885 /nfs/dbraw/zinc/60/58/85/744605885.db2.gz BILXYMJAJSEMQP-UHFFFAOYSA-N -1 1 301.228 1.788 20 0 DDADMM CC(C)(C)C(=O)N1CC[C@@H]([N-]S(=O)(=O)c2nccs2)C1 ZINC001187918894 744635276 /nfs/dbraw/zinc/63/52/76/744635276.db2.gz QRZVQCHAPPMVNE-SECBINFHSA-N -1 1 317.436 1.068 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1cc2ccccc2o1)c1nccs1 ZINC001187923680 744635855 /nfs/dbraw/zinc/63/58/55/744635855.db2.gz VXFJNILQTMYDQP-SNVBAGLBSA-N -1 1 324.383 1.901 20 0 DDADMM CC(C)(C)C(=O)C[N-]S(=O)(=O)Cc1cc(F)ccc1F ZINC001189700646 744957319 /nfs/dbraw/zinc/95/73/19/744957319.db2.gz RWMSDNXKDDZWOH-UHFFFAOYSA-N -1 1 305.346 1.999 20 0 DDADMM Cc1noc(C)c1C[N-]S(=O)(=O)c1ncc(F)cc1F ZINC001190196485 745148555 /nfs/dbraw/zinc/14/85/55/745148555.db2.gz DSMXMGZPDLYITN-UHFFFAOYSA-N -1 1 303.290 1.443 20 0 DDADMM O=S(=O)([N-]C1CCN(C2CC2)CC1)c1ncc(F)cc1F ZINC001190207822 745153604 /nfs/dbraw/zinc/15/36/04/745153604.db2.gz XPCGGPYRQNYIGY-UHFFFAOYSA-N -1 1 317.361 1.265 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)N[C@H](C(=O)OC)C(C)C)c1 ZINC001190238171 745157311 /nfs/dbraw/zinc/15/73/11/745157311.db2.gz ZFAYKSJCKDDVPN-LBPRGKRZSA-N -1 1 324.333 1.498 20 0 DDADMM COC(=O)c1ccc(C(=O)Nc2cc(=O)[n-]c(SC)n2)nc1 ZINC001190310928 745174687 /nfs/dbraw/zinc/17/46/87/745174687.db2.gz OCBFHNHSKRUENM-UHFFFAOYSA-N -1 1 320.330 1.338 20 0 DDADMM O=C(NCCn1cccc1)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190628991 745278694 /nfs/dbraw/zinc/27/86/94/745278694.db2.gz IFSRJPWVMSBOHC-UHFFFAOYSA-N -1 1 309.329 1.476 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc(=O)[nH]c1Cl ZINC001190918762 745386280 /nfs/dbraw/zinc/38/62/80/745386280.db2.gz WGLCRAQNWQZZFH-UHFFFAOYSA-N -1 1 306.669 1.065 20 0 DDADMM NOCCNC(=O)c1ccccc1C(=O)c1ccc([O-])cc1 ZINC001191509806 745530453 /nfs/dbraw/zinc/53/04/53/745530453.db2.gz KQKNMVQFABNAPQ-UHFFFAOYSA-N -1 1 300.314 1.243 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2ccc(F)c(O)c2)cc1 ZINC001191611449 745565992 /nfs/dbraw/zinc/56/59/92/745565992.db2.gz BEOFQWZUBWFEMT-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM Cn1cnc(CC[N-]S(=O)(=O)C(Cl)(Cl)Cl)c1 ZINC001192039962 745680876 /nfs/dbraw/zinc/68/08/76/745680876.db2.gz BJMGITZSGKWPIH-UHFFFAOYSA-N -1 1 306.602 1.210 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)N[C@@H]1CCc2ccccc21 ZINC001193011078 745959728 /nfs/dbraw/zinc/95/97/28/745959728.db2.gz ATVDBSPXXWALHG-SNVBAGLBSA-N -1 1 310.317 1.868 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1nccnc1Br ZINC001193205407 746012265 /nfs/dbraw/zinc/01/22/65/746012265.db2.gz GQFGZNGGDGBZBV-ZETCQYMHSA-N -1 1 322.184 1.160 20 0 DDADMM COc1cc([N-]S(=O)(=O)C[C@H]2CCCO2)cnc1Cl ZINC001193207134 746013401 /nfs/dbraw/zinc/01/34/01/746013401.db2.gz GYLQQKAFUWYFSD-SECBINFHSA-N -1 1 306.771 1.664 20 0 DDADMM CCOC(=O)[C@@H](CCSC)NC(=O)Nc1ncccc1[O-] ZINC001193189047 746023639 /nfs/dbraw/zinc/02/36/39/746023639.db2.gz XRDOCVTYQWKJEI-SECBINFHSA-N -1 1 313.379 1.594 20 0 DDADMM CCCc1ncncc1C(=O)Nc1nc(C(=O)OCC)c[n-]1 ZINC001193435601 746108099 /nfs/dbraw/zinc/10/80/99/746108099.db2.gz BAGYVKVOSKGQST-UHFFFAOYSA-N -1 1 303.322 1.581 20 0 DDADMM Cn1ccc2cc([N-]S(=O)(=O)c3ccccc3N)cnc21 ZINC001193893422 746229525 /nfs/dbraw/zinc/22/95/25/746229525.db2.gz ZXUCNKJWKLTTEY-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)C(=O)OC2 ZINC001193923776 746238422 /nfs/dbraw/zinc/23/84/22/746238422.db2.gz DPORYNVFHGXQES-UHFFFAOYSA-N -1 1 304.327 1.740 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cnn3cc(F)ccc23)[n-]n1 ZINC001194284107 746338519 /nfs/dbraw/zinc/33/85/19/746338519.db2.gz RENSFKOVCPQWRM-UHFFFAOYSA-N -1 1 303.253 1.235 20 0 DDADMM O=C(Nc1cccc2c1CC(=O)NC2)c1c[n-]c(C(F)(F)F)n1 ZINC001194783553 746453765 /nfs/dbraw/zinc/45/37/65/746453765.db2.gz PEVPPXBKVIDGAZ-UHFFFAOYSA-N -1 1 324.262 1.853 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CCC[C@@H](n2cncn2)C1 ZINC001195306015 746572593 /nfs/dbraw/zinc/57/25/93/746572593.db2.gz SPALMTFBXLDTOH-SECBINFHSA-N -1 1 307.741 1.509 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)c1cc(O)cnc1Cl ZINC001195312420 746573572 /nfs/dbraw/zinc/57/35/72/746573572.db2.gz FVQUGUWREWTFOO-UHFFFAOYSA-N -1 1 308.658 1.128 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cccc(S(C)(=O)=O)c1 ZINC001195482046 746621619 /nfs/dbraw/zinc/62/16/19/746621619.db2.gz DRDHPIPBSNFPNX-UHFFFAOYSA-N -1 1 302.377 1.533 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc3o[nH]c(=O)c3c2)cn1 ZINC001195725408 746676837 /nfs/dbraw/zinc/67/68/37/746676837.db2.gz OJFKCJYSWGIBTH-UHFFFAOYSA-N -1 1 321.314 1.738 20 0 DDADMM COC(=O)c1cnc([N-]C(=O)c2ncc(OC)o2)c(Cl)c1 ZINC001195789181 746705157 /nfs/dbraw/zinc/70/51/57/746705157.db2.gz HGHSJDRZUOQVAZ-UHFFFAOYSA-N -1 1 311.681 1.771 20 0 DDADMM O=C(Nc1nc2n[nH]cc2c(Cl)n1)c1c[nH]c(=S)[n-]c1=O ZINC001196013430 746756457 /nfs/dbraw/zinc/75/64/57/746756457.db2.gz CRZUGUGNJUAVRA-UHFFFAOYSA-N -1 1 323.725 1.043 20 0 DDADMM COCCOc1cc(NC(=O)c2c[nH]c(=S)[n-]c2=O)ccn1 ZINC001196015292 746757197 /nfs/dbraw/zinc/75/71/97/746757197.db2.gz ZVCCKALYDGIOCQ-UHFFFAOYSA-N -1 1 322.346 1.143 20 0 DDADMM O=C(N[C@H]1CCCc2cccnc21)c1c[nH]c(=S)[n-]c1=O ZINC001196024403 746759824 /nfs/dbraw/zinc/75/98/24/746759824.db2.gz SAMSAYFBKCTYGD-JTQLQIEISA-N -1 1 302.359 1.673 20 0 DDADMM O=C(C[C@H]1C=CCCC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000994542608 746793894 /nfs/dbraw/zinc/79/38/94/746793894.db2.gz RVOOYOKKBKMOQJ-LBPRGKRZSA-N -1 1 315.373 1.474 20 0 DDADMM Cc1cc2c(ncnc2[N-]C(=O)c2snnc2CO)s1 ZINC001196348409 746840170 /nfs/dbraw/zinc/84/01/70/746840170.db2.gz RNMJSNWWVLDYDF-UHFFFAOYSA-N -1 1 307.360 1.596 20 0 DDADMM COc1ncncc1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001196528419 746887935 /nfs/dbraw/zinc/88/79/35/746887935.db2.gz JHMMMHRKJQTWBD-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM COC(=O)c1cccc(C)c1S(=O)(=O)[N-]CC(F)(F)F ZINC001196991135 747026561 /nfs/dbraw/zinc/02/65/61/747026561.db2.gz KQHTUTRTOQDLJN-UHFFFAOYSA-N -1 1 311.281 1.622 20 0 DDADMM CCOc1ccc(C)c(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)c1 ZINC001197122497 747073992 /nfs/dbraw/zinc/07/39/92/747073992.db2.gz QVGIFQYYHJZXGP-UHFFFAOYSA-N -1 1 311.345 1.994 20 0 DDADMM Cc1cnc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1Cl ZINC001197279895 747129706 /nfs/dbraw/zinc/12/97/06/747129706.db2.gz HSAIWSKHMMWJRW-UHFFFAOYSA-N -1 1 309.669 1.895 20 0 DDADMM Cc1cc(C(=O)NCCCC[P@](=O)([O-])O)nn1C1CCC1 ZINC001197438502 747163964 /nfs/dbraw/zinc/16/39/64/747163964.db2.gz GDZJVMWNHCBXFO-UHFFFAOYSA-N -1 1 315.310 1.604 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccccn1)C(C)C ZINC001197838612 747275044 /nfs/dbraw/zinc/27/50/44/747275044.db2.gz SAUSHURVUDRJLK-LBPRGKRZSA-N -1 1 300.380 1.089 20 0 DDADMM CN(C)c1ccncc1NS(=O)(=O)c1ccccc1C(=O)[O-] ZINC001198738847 747585189 /nfs/dbraw/zinc/58/51/89/747585189.db2.gz FTSSQRAVEWPBAA-UHFFFAOYSA-N -1 1 321.358 1.647 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)Nc1cncc(CO)c1 ZINC001198746607 747588680 /nfs/dbraw/zinc/58/86/80/747588680.db2.gz GHJMFTFQMFNYNT-UHFFFAOYSA-N -1 1 308.315 1.073 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)NC[C@@H]1CCC(C)(C)C[N@@H+]1CCF ZINC001089686295 747655697 /nfs/dbraw/zinc/65/56/97/747655697.db2.gz OXYKMWMPXANWPL-LBPRGKRZSA-N -1 1 324.400 1.681 20 0 DDADMM COCCOc1cnc(C(=O)[N-]c2noc3cccnc32)cn1 ZINC001199124588 747705264 /nfs/dbraw/zinc/70/52/64/747705264.db2.gz PUWHUHUXFLMSMD-UHFFFAOYSA-N -1 1 315.289 1.290 20 0 DDADMM CCOc1ncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC001199226421 747749779 /nfs/dbraw/zinc/74/97/79/747749779.db2.gz PBUFLJDLMDNDDO-UHFFFAOYSA-N -1 1 302.216 1.265 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCOCC(F)(F)C1 ZINC001152669059 747949013 /nfs/dbraw/zinc/94/90/13/747949013.db2.gz FOFADAKRAPRQTN-UHFFFAOYSA-N -1 1 324.283 1.754 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=S)NC[C@H]2CCCO2)c1 ZINC001200026837 748089691 /nfs/dbraw/zinc/08/96/91/748089691.db2.gz VHUXDWGQZPSDNH-SNVBAGLBSA-N -1 1 310.375 1.644 20 0 DDADMM CCOC(=O)CCNC(=S)Nc1cc(F)c([O-])c(F)c1 ZINC001200168852 748164465 /nfs/dbraw/zinc/16/44/65/748164465.db2.gz OQADBAYUHHFLCX-UHFFFAOYSA-N -1 1 304.318 1.910 20 0 DDADMM Cc1cc(C)cc(NC(=S)Nc2c(O)[nH]c(=O)[n-]c2=S)c1 ZINC001201215614 748447685 /nfs/dbraw/zinc/44/76/85/748447685.db2.gz CZJFAUMCWKXZBQ-SECBINFHSA-N -1 1 322.415 1.125 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)N[C@@H]1C[C@H]1c1ccccc1 ZINC001201942491 748649184 /nfs/dbraw/zinc/64/91/84/748649184.db2.gz SNQKXEWXKTWWMK-VHSXEESVSA-N -1 1 310.317 1.736 20 0 DDADMM CC/C=C(\C)C(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202582493 749443565 /nfs/dbraw/zinc/44/35/65/749443565.db2.gz QJHSXOZYKFTJLZ-RGEGJOEESA-N -1 1 319.409 1.384 20 0 DDADMM CC/C=C(\C)C(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202582494 749443639 /nfs/dbraw/zinc/44/36/39/749443639.db2.gz QJHSXOZYKFTJLZ-VDUSXYPOSA-N -1 1 319.409 1.384 20 0 DDADMM COc1cc(-c2noc(-c3cn[n-]n3)n2)ccc1OC1COC1 ZINC001212799198 749510938 /nfs/dbraw/zinc/51/09/38/749510938.db2.gz NYBVJLGDSURHDM-UHFFFAOYSA-N -1 1 315.289 1.308 20 0 DDADMM CCCC(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107261713 749699518 /nfs/dbraw/zinc/69/95/18/749699518.db2.gz PODHZXFNTUHBHL-IAQYHMDHSA-N -1 1 307.398 1.171 20 0 DDADMM O=C(NC[C@H]1CCCCCN1C(=O)c1ncccc1[O-])C1CC1 ZINC001005308764 749786618 /nfs/dbraw/zinc/78/66/18/749786618.db2.gz JWROZBQHDMUTIE-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM COc1cc(Br)c([O-])c(NC(=O)C(C)(C)O)c1 ZINC001142906262 750469485 /nfs/dbraw/zinc/46/94/85/750469485.db2.gz AJRFQNFEBDPIBX-UHFFFAOYSA-N -1 1 304.140 1.873 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC000999382046 752877721 /nfs/dbraw/zinc/87/77/21/752877721.db2.gz FDVDKFBRHUMVQX-PPCPHDFISA-N -1 1 315.373 1.305 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC000999475045 752941255 /nfs/dbraw/zinc/94/12/55/752941255.db2.gz LIVSVDULFMWORK-ZYHUDNBSSA-N -1 1 307.398 1.027 20 0 DDADMM O=C(N[C@@H]1CCN(CCC(F)(F)F)C1)c1ncccc1[O-] ZINC001010733091 754130138 /nfs/dbraw/zinc/13/01/38/754130138.db2.gz PMPNKKVLGMVNEI-SECBINFHSA-N -1 1 303.284 1.544 20 0 DDADMM Cc1oncc1CN1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010735979 754133206 /nfs/dbraw/zinc/13/32/06/754133206.db2.gz ZZIRRCCEKQUTGE-LBPRGKRZSA-N -1 1 302.334 1.088 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]1CNc1ncccn1)c1ncccc1[O-] ZINC001064093494 754494372 /nfs/dbraw/zinc/49/43/72/754494372.db2.gz KKPKCMBPBQXQJF-NWDGAFQWSA-N -1 1 313.361 1.588 20 0 DDADMM CC(C)C(=O)N[C@@]12CCC[C@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001014188855 755639555 /nfs/dbraw/zinc/63/95/55/755639555.db2.gz NHLBGEPTUCFOQA-CXAGYDPISA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)C1CCC1 ZINC001014896892 756004934 /nfs/dbraw/zinc/00/49/34/756004934.db2.gz YNEXZSXMTBABPU-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)CC2CCC2)C1 ZINC001017091202 757629695 /nfs/dbraw/zinc/62/96/95/757629695.db2.gz KRDPZLNHZXKWHV-CYBMUJFWSA-N -1 1 321.425 1.371 20 0 DDADMM O=C(NCC1=CCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001017214096 757721544 /nfs/dbraw/zinc/72/15/44/757721544.db2.gz RPFXALPFDYLZAI-UHFFFAOYSA-N -1 1 301.346 1.086 20 0 DDADMM CC(C)C[C@@H]1COCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000821030115 758133518 /nfs/dbraw/zinc/13/35/18/758133518.db2.gz LWUCNOPAHINHIJ-GFCCVEGCSA-N -1 1 305.378 1.947 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(c2cc(F)ncn2)C1 ZINC001065244725 758414033 /nfs/dbraw/zinc/41/40/33/758414033.db2.gz ATNKAKGEZIULLM-HNNXBMFYSA-N -1 1 317.324 1.115 20 0 DDADMM C[C@@H](N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1csnn1 ZINC001018755971 759227741 /nfs/dbraw/zinc/22/77/41/759227741.db2.gz IZPCMCFINUYSJU-ZJUUUORDSA-N -1 1 319.390 1.204 20 0 DDADMM CC1(C)CCC[C@H]1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019255777 759727701 /nfs/dbraw/zinc/72/77/01/759727701.db2.gz KXAAVYKOFZKYCC-QWRGUYRKSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1nc(CN[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)co1 ZINC001054584511 759847086 /nfs/dbraw/zinc/84/70/86/759847086.db2.gz ABPFMYICAUKZNP-GWCFXTLKSA-N -1 1 316.361 1.334 20 0 DDADMM Cc1ccc(N2C[C@@H](C)[C@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC001041897503 763462118 /nfs/dbraw/zinc/46/21/18/763462118.db2.gz ZVHKGIXKOLKPAX-ZYHUDNBSSA-N -1 1 313.361 1.140 20 0 DDADMM C[C@@H]1CN(c2ncccn2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001067393186 763913905 /nfs/dbraw/zinc/91/39/05/763913905.db2.gz JDHYSVGBBLUVKZ-NEPJUHHUSA-N -1 1 313.361 1.222 20 0 DDADMM Cc1nccc(NC[C@H](NC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001109821876 764104752 /nfs/dbraw/zinc/10/47/52/764104752.db2.gz SKNHLRACDIHIGO-LBPRGKRZSA-N -1 1 313.361 1.506 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C2CN(CCC3CCCC3)C2)c1[O-] ZINC001042620917 764280547 /nfs/dbraw/zinc/28/05/47/764280547.db2.gz YJJVSRWZMCXTLP-UHFFFAOYSA-N -1 1 306.410 1.760 20 0 DDADMM Cc1csc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001045027085 766044685 /nfs/dbraw/zinc/04/46/85/766044685.db2.gz QYSKAWYSPIZTIH-JTQLQIEISA-N -1 1 321.406 1.275 20 0 DDADMM CCCNc1nc(Nc2[n-]c(=O)nc3nc[nH]c32)ncc1C ZINC001170223793 766196743 /nfs/dbraw/zinc/19/67/43/766196743.db2.gz PUEDSUSXMIKOJF-UHFFFAOYSA-N -1 1 300.326 1.722 20 0 DDADMM Cc1cc(Nc2[n-]c(=O)nc3nc[nH]c32)nc(-c2ncccn2)n1 ZINC001170227977 766202559 /nfs/dbraw/zinc/20/25/59/766202559.db2.gz ZBKJJQVTUZXNGA-UHFFFAOYSA-N -1 1 321.304 1.358 20 0 DDADMM CC(C)NC(=O)c1cncc(Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001170229292 766203830 /nfs/dbraw/zinc/20/38/30/766203830.db2.gz QXJGBXUGUIORAV-UHFFFAOYSA-N -1 1 313.321 1.335 20 0 DDADMM C[C@H]1CCN(c2ncccn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001067954450 766836473 /nfs/dbraw/zinc/83/64/73/766836473.db2.gz MQPPVDDNIJHEGK-RYUDHWBXSA-N -1 1 313.361 1.222 20 0 DDADMM C[C@@H]1C[C@@H](CNc2ncccn2)CN1C(=O)c1ncccc1[O-] ZINC001068320589 767095339 /nfs/dbraw/zinc/09/53/39/767095339.db2.gz DCQOHXMPCHTXRP-NEPJUHHUSA-N -1 1 313.361 1.540 20 0 DDADMM C[C@H]1C[C@@H](CNc2ncccn2)CN1C(=O)c1ncccc1[O-] ZINC001068320591 767095495 /nfs/dbraw/zinc/09/54/95/767095495.db2.gz DCQOHXMPCHTXRP-RYUDHWBXSA-N -1 1 313.361 1.540 20 0 DDADMM C[C@H]1[C@H](Nc2ncc(F)cn2)CCN1C(=O)c1ncccc1[O-] ZINC001068821774 767638840 /nfs/dbraw/zinc/63/88/40/767638840.db2.gz FPKNGOUTGRBSFX-GXSJLCMTSA-N -1 1 317.324 1.431 20 0 DDADMM CN(C(=O)c1ccc(NC(=O)c2ccccc2)cc1)c1nn[n-]n1 ZINC001130971878 767824004 /nfs/dbraw/zinc/82/40/04/767824004.db2.gz IAQJKRPLZWCGSI-UHFFFAOYSA-N -1 1 322.328 1.729 20 0 DDADMM CCCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071609632 769712545 /nfs/dbraw/zinc/71/25/45/769712545.db2.gz HUOKERICGFVMHN-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)CCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071951759 770335290 /nfs/dbraw/zinc/33/52/90/770335290.db2.gz CXIWGPKFEZTAFV-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM CSCCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952418 770336389 /nfs/dbraw/zinc/33/63/89/770336389.db2.gz LVFKSCMIPCLYDS-WDEREUQCSA-N -1 1 323.418 1.117 20 0 DDADMM CC/C(C)=C\C(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001072031918 770453149 /nfs/dbraw/zinc/45/31/49/770453149.db2.gz MJRNGUCZSMVSSN-ZBNJQPBLSA-N -1 1 317.389 1.720 20 0 DDADMM O=C(NC[C@@H](Nc1ncc(F)cn1)C1CC1)c1ncccc1[O-] ZINC001096638849 771363562 /nfs/dbraw/zinc/36/35/62/771363562.db2.gz FKJJYSSDBYYBLT-LLVKDONJSA-N -1 1 317.324 1.337 20 0 DDADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1cccnc1)c1ncccc1[O-] ZINC001096990799 771558863 /nfs/dbraw/zinc/55/88/63/771558863.db2.gz VMSIJNSLZXIKPP-KFWWJZLASA-N -1 1 324.384 1.718 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@@H](O)c1ccccn1 ZINC001136191020 771913716 /nfs/dbraw/zinc/91/37/16/771913716.db2.gz WZBNZFMEFGSKJV-HNNXBMFYSA-N -1 1 310.313 1.849 20 0 DDADMM CCc1nnc(C(=O)[N-]c2ncn(Cc3ccccc3)n2)s1 ZINC001136395812 771953143 /nfs/dbraw/zinc/95/31/43/771953143.db2.gz ZLTPEKNJACPODO-UHFFFAOYSA-N -1 1 314.374 1.993 20 0 DDADMM CC(=O)N1CCC[C@H]1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001144310052 772477587 /nfs/dbraw/zinc/47/75/87/772477587.db2.gz UOHLANDMNXINKG-ZDUSSCGKSA-N -1 1 314.345 1.527 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)CC1Cc2ccccc2C1 ZINC001146794439 772951133 /nfs/dbraw/zinc/95/11/33/772951133.db2.gz ONRGRJBODNOUAN-UHFFFAOYSA-N -1 1 300.318 1.214 20 0 DDADMM CCCCC[C@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)C(C)C ZINC001147051018 773028529 /nfs/dbraw/zinc/02/85/29/773028529.db2.gz OGXTZLVXOWQPLV-LBPRGKRZSA-N -1 1 311.430 1.569 20 0 DDADMM Cc1cnn(C)c1NC(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC001147060829 773031533 /nfs/dbraw/zinc/03/15/33/773031533.db2.gz YKLOGQBINOJOSX-UHFFFAOYSA-N -1 1 314.305 1.039 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCCN(C(C)(C)C)C1=O)c1nn[n-]n1 ZINC001149123386 773031810 /nfs/dbraw/zinc/03/18/10/773031810.db2.gz YUUKYTIMANCEGP-QWRGUYRKSA-N -1 1 322.413 1.194 20 0 DDADMM CN(C)c1nc(NC(=O)c2cnoc2C2CC2)c(N=O)c(=O)[n-]1 ZINC001147651416 773201626 /nfs/dbraw/zinc/20/16/26/773201626.db2.gz OSPCEOAOPSHCAN-UHFFFAOYSA-N -1 1 318.293 1.764 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2c([O-])c(C)ncc2CO)on1 ZINC001147831677 773258587 /nfs/dbraw/zinc/25/85/87/773258587.db2.gz DZTNXVUGWNJZSR-QMMMGPOBSA-N -1 1 305.334 1.245 20 0 DDADMM COCc1ccc(CNC(=O)c2c(CO)cnc(C)c2[O-])cc1 ZINC001147848138 773268880 /nfs/dbraw/zinc/26/88/80/773268880.db2.gz GABRKDDHEWBZGB-UHFFFAOYSA-N -1 1 316.357 1.664 20 0 DDADMM CCN(C(=O)c1ccc(-n2[n-]c(C)cc2=O)cc1)C1CN(C)C1 ZINC001148332870 773438230 /nfs/dbraw/zinc/43/82/30/773438230.db2.gz HCROURCUPPEGKX-UHFFFAOYSA-N -1 1 314.389 1.575 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N[C@@H]3CCOC[C@@H]3C)cc2)[n-]1 ZINC001148339477 773441334 /nfs/dbraw/zinc/44/13/34/773441334.db2.gz DOASUZZINYTOEK-XHDPSFHLSA-N -1 1 315.373 1.954 20 0 DDADMM COCc1ccc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001148436948 773466919 /nfs/dbraw/zinc/46/69/19/773466919.db2.gz RVNPAWRFTGXFRH-UHFFFAOYSA-N -1 1 304.262 1.684 20 0 DDADMM COCCCOC1CN(C(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001148674641 773561759 /nfs/dbraw/zinc/56/17/59/773561759.db2.gz NCJCIWSSENFCEA-UHFFFAOYSA-N -1 1 307.346 1.391 20 0 DDADMM NC(=O)N1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CC1 ZINC001148798378 773603881 /nfs/dbraw/zinc/60/38/81/773603881.db2.gz XNLUEVZKEHKYDA-UHFFFAOYSA-N -1 1 317.267 1.248 20 0 DDADMM C[C@@H]1CN(Cc2ccon2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC001092562428 774171755 /nfs/dbraw/zinc/17/17/55/774171755.db2.gz RJSNXPFCVXRVAT-VXGBXAGGSA-N -1 1 316.361 1.273 20 0 DDADMM Cc1cc(N[C@@H](C)CCNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001099104337 774834611 /nfs/dbraw/zinc/83/46/11/774834611.db2.gz DOWQHKOBESLAES-JTQLQIEISA-N -1 1 315.377 1.815 20 0 DDADMM CC(C)(C)c1nsc(NCCNC(=O)c2ncccc2[O-])n1 ZINC001093562858 774890402 /nfs/dbraw/zinc/89/04/02/774890402.db2.gz KJLAUNWRJBHIED-UHFFFAOYSA-N -1 1 321.406 1.778 20 0 DDADMM C[C@@H]1C[C@H](N[C@H]2SC(=O)[N-]C2=O)CN1Cc1ccccc1 ZINC001171725746 776424571 /nfs/dbraw/zinc/42/45/71/776424571.db2.gz BUICWFKZQFMNOI-OSMZGAPFSA-N -1 1 305.403 1.548 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2ccnc3[nH]ccc32)cc1N ZINC001174412075 777480834 /nfs/dbraw/zinc/48/08/34/777480834.db2.gz OGDRWGSTEOBPDJ-UHFFFAOYSA-N -1 1 303.347 1.480 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)CCc1ccc(F)nc1 ZINC001175368692 777764159 /nfs/dbraw/zinc/76/41/59/777764159.db2.gz ZVKCWSKLMCFFAI-UHFFFAOYSA-N -1 1 306.297 1.692 20 0 DDADMM Cc1c2c[nH]nc2ccc1[N-]S(=O)(=O)c1ccc(O)c(N)c1 ZINC001175469353 777798040 /nfs/dbraw/zinc/79/80/40/777798040.db2.gz LODXUJUDNYEREA-UHFFFAOYSA-N -1 1 318.358 1.960 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2cccc(CO)c2)c1 ZINC001175516173 777821346 /nfs/dbraw/zinc/82/13/46/777821346.db2.gz JFCWRIIGQZTXRN-UHFFFAOYSA-N -1 1 322.342 1.895 20 0 DDADMM CN1CCN(c2cccc(Nc3cnn(CC(=O)[O-])c3)c2)CC1 ZINC001176266439 778111980 /nfs/dbraw/zinc/11/19/80/778111980.db2.gz BYQVBKQLDLKSOL-UHFFFAOYSA-N -1 1 315.377 1.463 20 0 DDADMM CC(C)CCCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102397311 778116606 /nfs/dbraw/zinc/11/66/06/778116606.db2.gz AFSMINANNZMWRB-CHWSQXEVSA-N -1 1 323.441 1.521 20 0 DDADMM CCOC(=O)c1nc(N)cn1-c1c(F)cc(F)c([O-])c1F ZINC001176669033 778205984 /nfs/dbraw/zinc/20/59/84/778205984.db2.gz CQRREMZJLHARHQ-UHFFFAOYSA-N -1 1 301.224 1.754 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1c[nH]c2cc(=O)ccc-2c1 ZINC001176726949 778221580 /nfs/dbraw/zinc/22/15/80/778221580.db2.gz VGTVPRHCAHYPHD-UHFFFAOYSA-N -1 1 310.317 1.263 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)/C=C\C1CC1 ZINC001102821943 778425470 /nfs/dbraw/zinc/42/54/70/778425470.db2.gz AGGPOBCWMBFCKJ-FTGSRHIXSA-N -1 1 319.409 1.051 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1cccc(F)n1 ZINC001103068559 778616494 /nfs/dbraw/zinc/61/64/94/778616494.db2.gz HMYLVJCSSVAKKI-SNVBAGLBSA-N -1 1 304.325 1.942 20 0 DDADMM CN(C)c1nc(NC(=O)COc2ccccn2)c(N=O)c(=O)[n-]1 ZINC001177632166 778679124 /nfs/dbraw/zinc/67/91/24/778679124.db2.gz RCTAKMMYQSVMRL-UHFFFAOYSA-N -1 1 318.293 1.059 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)C(F)(F)C(F)(F)Cl ZINC001179781646 779477512 /nfs/dbraw/zinc/47/75/12/779477512.db2.gz KMSGTQMRHZDNKO-UHFFFAOYSA-N -1 1 313.598 1.464 20 0 DDADMM Cc1cccc(OCC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1C ZINC001180498010 779807108 /nfs/dbraw/zinc/80/71/08/779807108.db2.gz FERSSNPPYSKXAP-UHFFFAOYSA-N -1 1 318.289 1.920 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]Cc1ncccn1 ZINC000705243942 836029632 /nfs/dbraw/zinc/02/96/32/836029632.db2.gz JXGJATLEROERHZ-UHFFFAOYSA-N -1 1 304.784 1.373 20 0 DDADMM CCN(CCNC(=O)C(C)C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001408716714 838535799 /nfs/dbraw/zinc/53/57/99/838535799.db2.gz WCGRPZKKCATPDL-UHFFFAOYSA-N -1 1 320.393 1.294 20 0 DDADMM NC(=O)[C@@H]1CC12CN(C(=O)c1ccc(C(F)(F)F)cc1[O-])C2 ZINC001269919227 842154501 /nfs/dbraw/zinc/15/45/01/842154501.db2.gz LBSAGUAUMWFFTL-VIFPVBQESA-N -1 1 314.263 1.358 20 0 DDADMM COc1cc(Br)c([O-])c(NC(=O)CCC(N)=O)c1 ZINC001142950635 861320032 /nfs/dbraw/zinc/32/00/32/861320032.db2.gz XNJATKCTOULHIZ-UHFFFAOYSA-N -1 1 317.139 1.367 20 0 DDADMM O=c1nc(N[C@@H]2CCO[C@H](c3ccncc3)C2)nc2[nH][n-]cc1-2 ZINC001326453740 861384615 /nfs/dbraw/zinc/38/46/15/861384615.db2.gz RPHMHQCTGZUGAZ-PWSUYJOCSA-N -1 1 312.333 1.159 20 0 DDADMM CC[C@H](C)[C@@H](C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001409044857 843309969 /nfs/dbraw/zinc/30/99/69/843309969.db2.gz NRKBWOKEJMBJOO-WDEREUQCSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H](CN1CCOCC1)Oc1[n-]c(=O)ncc1Br ZINC001227133001 843357662 /nfs/dbraw/zinc/35/76/62/843357662.db2.gz CIZOUVQRBPILNF-MRVPVSSYSA-N -1 1 318.171 1.044 20 0 DDADMM CN1C[C@@]2(CC1=O)CCCCN2C(=O)c1cc([O-])cc(F)c1 ZINC001271563432 843700740 /nfs/dbraw/zinc/70/07/40/843700740.db2.gz XPBZIBGUXFDDCH-INIZCTEOSA-N -1 1 306.337 1.758 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2C[C@@H](OC)C2(C)C)sn1 ZINC001414233603 844646715 /nfs/dbraw/zinc/64/67/15/844646715.db2.gz YZRDVXQKMWBUMS-JGVFFNPUSA-N -1 1 306.409 1.244 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccsc1 ZINC001409261875 844726539 /nfs/dbraw/zinc/72/65/39/844726539.db2.gz UWSFHZJZHDGDET-LLVKDONJSA-N -1 1 319.386 1.787 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cccn1C ZINC001409261904 844726741 /nfs/dbraw/zinc/72/67/41/844726741.db2.gz VKGZRFHHBHLJDN-NSHDSACASA-N -1 1 316.361 1.064 20 0 DDADMM CN1CC[C@@]2(CCN(C(=O)c3cc([O-])cc(F)c3F)C2)C1=O ZINC001272249778 844893929 /nfs/dbraw/zinc/89/39/29/844893929.db2.gz MVDAOLFCYTZJOW-HNNXBMFYSA-N -1 1 310.300 1.365 20 0 DDADMM CC1(C(=O)NCC2(NC(=O)c3ncccc3[O-])CCCC2)CC1 ZINC001409447311 845117154 /nfs/dbraw/zinc/11/71/54/845117154.db2.gz KFCNQQAEAQVKLP-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM COC(=O)[C@@H]1C[C@H](F)CN1C(=O)c1ccc2cccnc2c1[O-] ZINC001149320588 861545296 /nfs/dbraw/zinc/54/52/96/861545296.db2.gz ZXULVEMVWOIOPB-JQWIXIFHSA-N -1 1 318.304 1.666 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001409669265 845529819 /nfs/dbraw/zinc/52/98/19/845529819.db2.gz GWNZCQHRUVTRTD-NDBYEHHHSA-N -1 1 317.389 1.315 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cc2cccnc2s1 ZINC001149527936 861686846 /nfs/dbraw/zinc/68/68/46/861686846.db2.gz TYGHRVHRVCCGFB-UHFFFAOYSA-N -1 1 303.303 1.332 20 0 DDADMM CNC(=O)CN(C)Cc1c(Br)ccc([O-])c1F ZINC001238304065 846768800 /nfs/dbraw/zinc/76/88/00/846768800.db2.gz ABCQWJJQEYSMNS-UHFFFAOYSA-N -1 1 305.147 1.472 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC001005958941 848609765 /nfs/dbraw/zinc/60/97/65/848609765.db2.gz RQGSYNIGWIQKPA-CKYFFXLPSA-N -1 1 303.362 1.020 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccns1)c1ccccc1Cl ZINC001434564320 848706426 /nfs/dbraw/zinc/70/64/26/848706426.db2.gz WJINZRJOLFDMGS-UHFFFAOYSA-N -1 1 316.791 1.958 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])C1(C2CC2)CC1 ZINC001410584620 849511291 /nfs/dbraw/zinc/51/12/91/849511291.db2.gz UPCNRPCTADNKFF-GFCCVEGCSA-N -1 1 315.373 1.308 20 0 DDADMM O=C1N(CC(F)F)CC[C@@]12CCC[N@H+]2Cc1ncccc1O ZINC001273327110 849539478 /nfs/dbraw/zinc/53/94/78/849539478.db2.gz OONZJMDFEVWBNK-HNNXBMFYSA-N -1 1 311.332 1.619 20 0 DDADMM Cc1c2ccccc2oc1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150020008 862031255 /nfs/dbraw/zinc/03/12/55/862031255.db2.gz QVTYIXJZSXGCKM-UHFFFAOYSA-N -1 1 315.333 1.085 20 0 DDADMM Cc1ccc2ccc(C(=O)Nc3c[nH]nc3C(N)=O)c([O-])c2n1 ZINC001155652495 862343421 /nfs/dbraw/zinc/34/34/21/862343421.db2.gz VXQFBZFDVCJCIT-UHFFFAOYSA-N -1 1 311.301 1.323 20 0 DDADMM O=C([O-])c1ccccc1CN1CC2(CN(Cc3cn[nH]c3)C2)C1 ZINC001275277061 852950168 /nfs/dbraw/zinc/95/01/68/852950168.db2.gz SYBPBWCCRLVPCA-UHFFFAOYSA-N -1 1 312.373 1.426 20 0 DDADMM NC(=O)[C@H]1COCCC12CN(C(=O)c1c([O-])cccc1Cl)C2 ZINC001275466526 853161616 /nfs/dbraw/zinc/16/16/16/853161616.db2.gz JHTBVXOIZHAFAO-SECBINFHSA-N -1 1 324.764 1.010 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@]2(C)CCCO2)[n-]c1Cl ZINC001411549026 853343321 /nfs/dbraw/zinc/34/33/21/853343321.db2.gz QTNSTLNVQAPPER-LBPRGKRZSA-N -1 1 301.730 1.747 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc2cccc(F)c2[nH]1 ZINC001150764104 862456526 /nfs/dbraw/zinc/45/65/26/862456526.db2.gz KGSGEZZTEBIJBO-UHFFFAOYSA-N -1 1 314.253 1.995 20 0 DDADMM NC(=O)NC[C@H]1CCCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC001411645323 853515090 /nfs/dbraw/zinc/51/50/90/853515090.db2.gz KIPQOYRYEJEVEF-MRVPVSSYSA-N -1 1 313.304 1.191 20 0 DDADMM Cc1cc(C(=O)NC(C)C)ccc1NC(=O)CCc1nn[n-]n1 ZINC001411696852 853596399 /nfs/dbraw/zinc/59/63/99/853596399.db2.gz LKRYVCOLJGISJC-UHFFFAOYSA-N -1 1 316.365 1.218 20 0 DDADMM CC(C)SCC(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001411796736 853749161 /nfs/dbraw/zinc/74/91/61/853749161.db2.gz BZROSTMNXAHYGS-UHFFFAOYSA-N -1 1 307.379 1.859 20 0 DDADMM O=C(NC12CC(C(=O)N3CC=CC3)(C1)C2)c1cccc([O-])c1F ZINC001275984972 854082906 /nfs/dbraw/zinc/08/29/06/854082906.db2.gz VZGMQZQUBRXKAM-UHFFFAOYSA-N -1 1 316.332 1.582 20 0 DDADMM CCC[C@H](O)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001412291756 854414671 /nfs/dbraw/zinc/41/46/71/854414671.db2.gz LXFLQBRFUVCNBG-GUBZILKMSA-N -1 1 310.316 1.205 20 0 DDADMM CCCC(=O)Nc1cccc(CNC(=O)CCc2nn[n-]n2)c1 ZINC001412415162 854518759 /nfs/dbraw/zinc/51/87/59/854518759.db2.gz CDJHFSGKIDNDKQ-UHFFFAOYSA-N -1 1 316.365 1.187 20 0 DDADMM CCOC(=O)C1(CC(=O)N2CCC(c3nn[n-]n3)CC2)CCC1 ZINC001412496240 854625996 /nfs/dbraw/zinc/62/59/96/854625996.db2.gz XTFFIBKPUSUWOZ-UHFFFAOYSA-N -1 1 321.381 1.029 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@H](NC(=O)c2ccc([O-])cc2F)C1 ZINC001412576682 854746065 /nfs/dbraw/zinc/74/60/65/854746065.db2.gz LCOVBYYXAMLFQL-ONGXEEELSA-N -1 1 315.366 1.617 20 0 DDADMM CC[C@@H](Cc1ccccc1)C(=O)N[C@H](COC)c1nn[n-]n1 ZINC001412600444 854791510 /nfs/dbraw/zinc/79/15/10/854791510.db2.gz DJRKHWBHLZTYIK-QWHCGFSZSA-N -1 1 303.366 1.272 20 0 DDADMM COC[C@H](NC(=O)c1ccc(C(F)F)cc1F)c1nn[n-]n1 ZINC001412600489 854792068 /nfs/dbraw/zinc/79/20/68/854792068.db2.gz DPNZLTNOFOTUNI-VIFPVBQESA-N -1 1 315.255 1.394 20 0 DDADMM CCc1ccc([C@H](C)C(=O)N[C@H](COC)c2nn[n-]n2)cc1 ZINC001412599194 854795276 /nfs/dbraw/zinc/79/52/76/854795276.db2.gz VRZSKLHAEIXOCD-GXFFZTMASA-N -1 1 303.366 1.370 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C1CC1 ZINC001412616073 854818594 /nfs/dbraw/zinc/81/85/94/854818594.db2.gz DRITWAYYIOSPJU-ZDUSSCGKSA-N -1 1 318.304 1.761 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2ccccc2O)[n-]c1=O ZINC001412659858 854894100 /nfs/dbraw/zinc/89/41/00/854894100.db2.gz YMKBBXXEEOWPKA-UHFFFAOYSA-N -1 1 303.318 1.562 20 0 DDADMM [O-]c1c(F)cc(CN2CC3(CN(Cc4cn[nH]c4)C3)C2)cc1F ZINC001276177883 854903005 /nfs/dbraw/zinc/90/30/05/854903005.db2.gz QKTSMNGQIGBMCO-UHFFFAOYSA-N -1 1 320.343 1.711 20 0 DDADMM CC(C)(C)OC(=O)c1cc(C(=O)Nc2cnccc2CO)[n-]n1 ZINC001412676917 854923690 /nfs/dbraw/zinc/92/36/90/854923690.db2.gz CUFNXMDKPJRQJM-UHFFFAOYSA-N -1 1 318.333 1.505 20 0 DDADMM CCOC1CC(CC(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)C1 ZINC001412690935 854966108 /nfs/dbraw/zinc/96/61/08/854966108.db2.gz GVVKZRAPQSGAFJ-UHFFFAOYSA-N -1 1 323.393 1.402 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCCN(CC(F)F)CC1 ZINC001412703878 854986300 /nfs/dbraw/zinc/98/63/00/854986300.db2.gz AWEFLIQATMLISD-UHFFFAOYSA-N -1 1 302.296 1.944 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)CC1(C)COC1 ZINC001412860486 855732770 /nfs/dbraw/zinc/73/27/70/855732770.db2.gz YGLWYFIFRYBYHY-UWVGGRQHSA-N -1 1 322.327 1.471 20 0 DDADMM C[C@@H](NC(=O)c1ccc(F)c([O-])c1)C1(S(C)(=O)=O)CC1 ZINC001412941450 855801665 /nfs/dbraw/zinc/80/16/65/855801665.db2.gz NEQAJAGZKLEORJ-MRVPVSSYSA-N -1 1 301.339 1.227 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Br)c2cc[nH]c21 ZINC001156116367 862732917 /nfs/dbraw/zinc/73/29/17/862732917.db2.gz JSJPJGPMHPYTPA-UHFFFAOYSA-N -1 1 321.138 1.374 20 0 DDADMM COc1nscc1CN(C)Cc1nc2c(c(=O)[n-]1)COCC2 ZINC001413072581 856349099 /nfs/dbraw/zinc/34/90/99/856349099.db2.gz ZZFWMGCELSIQIC-UHFFFAOYSA-N -1 1 322.390 1.352 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(F)cn2)n[n-]1 ZINC001413266728 856587052 /nfs/dbraw/zinc/58/70/52/856587052.db2.gz CMWYCLIZEURMKM-SSDOTTSWSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(F)cn2)[n-]1 ZINC001413266728 856587053 /nfs/dbraw/zinc/58/70/53/856587053.db2.gz CMWYCLIZEURMKM-SSDOTTSWSA-N -1 1 307.285 1.007 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(F)cn2)n1 ZINC001413266728 856587058 /nfs/dbraw/zinc/58/70/58/856587058.db2.gz CMWYCLIZEURMKM-SSDOTTSWSA-N -1 1 307.285 1.007 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H]2c2ncccn2)c(=O)[n-]1 ZINC001413290716 856613563 /nfs/dbraw/zinc/61/35/63/856613563.db2.gz LHFWPSWBWRHZEM-JTQLQIEISA-N -1 1 317.374 1.671 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H]1CCO[C@H](C)C1 ZINC001413319748 856644182 /nfs/dbraw/zinc/64/41/82/856644182.db2.gz JNCKOEYSPICCGB-BDAKNGLRSA-N -1 1 306.409 1.245 20 0 DDADMM CCc1noc(C)c1C[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001413357107 856711773 /nfs/dbraw/zinc/71/17/73/856711773.db2.gz PJIFNQKDRWVGEV-UHFFFAOYSA-N -1 1 317.392 1.489 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H](O)[C@@H]3CCCC[C@@H]32)c(=O)[n-]1 ZINC001413438735 856814859 /nfs/dbraw/zinc/81/48/59/856814859.db2.gz SPPMKXFVDIEADW-USWWRNFRSA-N -1 1 323.418 1.670 20 0 DDADMM CC(C)(C)OC(=O)[C@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001413464186 856845907 /nfs/dbraw/zinc/84/59/07/856845907.db2.gz XJIGUJPQJLFPBM-NSHDSACASA-N -1 1 306.362 1.981 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ccc2nsnc2c1 ZINC001413510045 856909726 /nfs/dbraw/zinc/90/97/26/856909726.db2.gz NSTLXEKCVJHCNY-UHFFFAOYSA-N -1 1 301.335 1.014 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2C[C@H]2C(F)(F)F)c(=O)[n-]1 ZINC001413524179 856930103 /nfs/dbraw/zinc/93/01/03/856930103.db2.gz JNTMLVHBMJIHOV-CAHLUQPWSA-N -1 1 307.297 1.832 20 0 DDADMM COc1coc(C(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)cc1=O ZINC001413674497 857315807 /nfs/dbraw/zinc/31/58/07/857315807.db2.gz LTKNVJRRPPFEAI-UHFFFAOYSA-N -1 1 321.289 1.189 20 0 DDADMM CCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001073288860 858246150 /nfs/dbraw/zinc/24/61/50/858246150.db2.gz AUOZJVKQFVKLEP-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)[C@@H](C)C(=O)OC(C)C ZINC001123759202 859399470 /nfs/dbraw/zinc/39/94/70/859399470.db2.gz BVRCLJFBCOLNQV-QMMMGPOBSA-N -1 1 313.379 1.191 20 0 DDADMM COC[C@H](C)OC[C@H](C)OC[C@H](C)OCC[P@](=O)([O-])O ZINC001224603968 881466610 /nfs/dbraw/zinc/46/66/10/881466610.db2.gz CUYFYFKOSSWEJJ-SRVKXCTJSA-N -1 1 314.315 1.026 20 0 DDADMM CC(=O)c1ccc([O-])c(NC(=O)CSc2nnc(C)[nH]2)c1 ZINC001137920718 859990709 /nfs/dbraw/zinc/99/07/09/859990709.db2.gz FBUDBDXFULGXML-UHFFFAOYSA-N -1 1 306.347 1.752 20 0 DDADMM CCOCc1nnn2c1CN(Cc1ncccc1[O-])CCC2 ZINC001138392222 860087623 /nfs/dbraw/zinc/08/76/23/860087623.db2.gz CDMRKVQNFGWWLL-UHFFFAOYSA-N -1 1 303.366 1.321 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccnc(OC(F)F)c3)ccnc1-2 ZINC001361376779 881528163 /nfs/dbraw/zinc/52/81/63/881528163.db2.gz FQEJLJIOXXLHAV-UHFFFAOYSA-N -1 1 319.271 1.591 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3ccc(C(=O)[O-])o3)C[C@H]21 ZINC001140944127 860743489 /nfs/dbraw/zinc/74/34/89/860743489.db2.gz RFBLIEBHVXJANO-PJXYFTJBSA-N -1 1 322.361 1.933 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1[C@H]2CN(Cc3ccc(C(=O)[O-])o3)C[C@H]21 ZINC001140944127 860743494 /nfs/dbraw/zinc/74/34/94/860743494.db2.gz RFBLIEBHVXJANO-PJXYFTJBSA-N -1 1 322.361 1.933 20 0 DDADMM Cn1nnc2c1nccc2C(=O)Nc1cc([O-])c(F)cc1F ZINC001141834146 860952461 /nfs/dbraw/zinc/95/24/61/860952461.db2.gz UDXWNXXATRSOPZ-UHFFFAOYSA-N -1 1 305.244 1.599 20 0 DDADMM O=C(Nc1cccc2c(=O)[nH]nc([O-])c12)c1cccn2cnnc12 ZINC001152393324 863326971 /nfs/dbraw/zinc/32/69/71/863326971.db2.gz JZZZYQRJYPZADB-UHFFFAOYSA-N -1 1 322.284 1.336 20 0 DDADMM CN(C(=O)c1cccc(C(=O)OC(C)(C)C)c1)c1nn[n-]n1 ZINC001361437029 881649325 /nfs/dbraw/zinc/64/93/25/881649325.db2.gz VFEINVWXRFCBLP-UHFFFAOYSA-N -1 1 303.322 1.432 20 0 DDADMM C[C@H]1C[C@@H](CO)N(C(=O)c2cc(=O)c3cccc(O)c3[n-]2)C1 ZINC001152670973 863482873 /nfs/dbraw/zinc/48/28/73/863482873.db2.gz IOAJSCHZYSOCCW-UWVGGRQHSA-N -1 1 302.330 1.489 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCCC(=O)[C@H](F)C1 ZINC001152672143 863485484 /nfs/dbraw/zinc/48/54/84/863485484.db2.gz YKIPMEFOXPUFHE-SNVBAGLBSA-N -1 1 318.304 1.789 20 0 DDADMM CC1(C)[C@H](NC(=O)c2cc(=O)c3cccc(O)c3[n-]2)C[C@@H]1O ZINC001152681200 863491028 /nfs/dbraw/zinc/49/10/28/863491028.db2.gz GXDLJIQTMLDOJY-OLZOCXBDSA-N -1 1 302.330 1.535 20 0 DDADMM CCN(Cc1ccccn1)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152781521 863536196 /nfs/dbraw/zinc/53/61/96/863536196.db2.gz HDVNYWZEQUGJLU-LLVKDONJSA-N -1 1 317.393 1.459 20 0 DDADMM Cc1cnc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)cn1 ZINC001157155754 863620016 /nfs/dbraw/zinc/62/00/16/863620016.db2.gz CYVBJBUGBSWSKL-UHFFFAOYSA-N -1 1 305.274 1.870 20 0 DDADMM O=C(Nc1cccn(CC2CC2)c1=O)c1cnc2[n-]ccnc1-2 ZINC001153201670 863758199 /nfs/dbraw/zinc/75/81/99/863758199.db2.gz VQTIPLCJQVWJFE-UHFFFAOYSA-N -1 1 309.329 1.734 20 0 DDADMM Cc1oc(C(C)C)nc1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC001329642384 863767713 /nfs/dbraw/zinc/76/77/13/863767713.db2.gz OCPOUHWJAGAPOH-UHFFFAOYSA-N -1 1 316.321 1.398 20 0 DDADMM Cc1c(F)ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1N ZINC001157660136 864024521 /nfs/dbraw/zinc/02/45/21/864024521.db2.gz KQGYZPONZJBSKS-UHFFFAOYSA-N -1 1 320.324 1.825 20 0 DDADMM Cc1cc(C)n(CCNC(=O)c2c[n-]c3cccnc3c2=O)n1 ZINC001153854300 864160238 /nfs/dbraw/zinc/16/02/38/864160238.db2.gz VEGHCNCXRLVTJU-UHFFFAOYSA-N -1 1 311.345 1.166 20 0 DDADMM Cc1cc(Cl)nc(Nc2[n-]c(=O)nc3nc[nH]c32)c1C(N)=O ZINC001159192786 865158441 /nfs/dbraw/zinc/15/84/41/865158441.db2.gz ZCNJJBWVSAAAQJ-UHFFFAOYSA-N -1 1 319.712 1.258 20 0 DDADMM O=C([O-])c1csc(CCNCc2cn(C3CCC3)nn2)n1 ZINC001331846707 865385485 /nfs/dbraw/zinc/38/54/85/865385485.db2.gz VTIVOQQQFFTACP-UHFFFAOYSA-N -1 1 307.379 1.490 20 0 DDADMM CCOC(C)(C)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC001160668073 866003807 /nfs/dbraw/zinc/00/38/07/866003807.db2.gz UVLCOMQSPAUBGJ-UHFFFAOYSA-N -1 1 316.379 1.054 20 0 DDADMM O=C(NCc1nnc(-c2ccncc2)[nH]1)c1c([O-])cccc1F ZINC001162036986 867209036 /nfs/dbraw/zinc/20/90/36/867209036.db2.gz RWZKRXZKHDBYPX-UHFFFAOYSA-N -1 1 313.292 1.641 20 0 DDADMM CC(C)(O)CC[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001321365769 867534252 /nfs/dbraw/zinc/53/42/52/867534252.db2.gz ANHSQQMJZSFWKV-UHFFFAOYSA-N -1 1 313.206 1.828 20 0 DDADMM O=Cc1ccc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1 ZINC001335198887 868128488 /nfs/dbraw/zinc/12/84/88/868128488.db2.gz JMYAFTAXKZACSX-CYBMUJFWSA-N -1 1 315.329 1.536 20 0 DDADMM O=C(N[C@H]1CCc2ccccc2NC1=O)C(=O)c1ccc([O-])cc1 ZINC001164117146 868950493 /nfs/dbraw/zinc/95/04/93/868950493.db2.gz CDLSFNQKBZKOAP-HNNXBMFYSA-N -1 1 324.336 1.645 20 0 DDADMM CN1CCO[C@H](COc2cc(=O)[n-]c(-c3ccccc3)n2)C1=O ZINC001226185140 882258277 /nfs/dbraw/zinc/25/82/77/882258277.db2.gz OMEHJTDRLDTSBF-GFCCVEGCSA-N -1 1 315.329 1.085 20 0 DDADMM NC(=O)COc1ccc(C(=O)Nc2ccc([O-])c(F)c2F)cc1 ZINC001297819960 870180064 /nfs/dbraw/zinc/18/00/64/870180064.db2.gz JWVNJFFGXIOUIQ-UHFFFAOYSA-N -1 1 322.267 1.787 20 0 DDADMM O=C(C[C@H]1CCNC1=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC001361798762 882396277 /nfs/dbraw/zinc/39/62/77/882396277.db2.gz RJWKFLJYXZDHRG-SNVBAGLBSA-N -1 1 300.318 1.247 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(N2C(=O)CCC2=O)cc1 ZINC001298673194 870668717 /nfs/dbraw/zinc/66/87/17/870668717.db2.gz ACKULKUJUMRVHF-UHFFFAOYSA-N -1 1 314.301 1.329 20 0 DDADMM Cc1ccc(CN(C)c2nnc(-c3noc(=O)[n-]3)n2C)s1 ZINC001340189141 870949081 /nfs/dbraw/zinc/94/90/81/870949081.db2.gz BRNOPMAFTMMZNP-UHFFFAOYSA-N -1 1 306.351 1.165 20 0 DDADMM Cc1cccc2cc(C(=O)[N-]n3ccc(=O)[nH]c3=O)sc21 ZINC001301698275 871017903 /nfs/dbraw/zinc/01/79/03/871017903.db2.gz ULBKSSNUMMJERY-UHFFFAOYSA-N -1 1 301.327 1.856 20 0 DDADMM COC(=O)[C@H](CC(C)C)NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001303112510 871101784 /nfs/dbraw/zinc/10/17/84/871101784.db2.gz XXPTURXJOZVFAA-ZDUSSCGKSA-N -1 1 307.346 1.831 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)c1csnn1 ZINC001309593520 871584915 /nfs/dbraw/zinc/58/49/15/871584915.db2.gz MCBNLHRMPKZCQC-RXMQYKEDSA-N -1 1 323.321 1.995 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001361878750 882560423 /nfs/dbraw/zinc/56/04/23/882560423.db2.gz CANHDKGCFFOTFI-BDAKNGLRSA-N -1 1 306.366 1.093 20 0 DDADMM Cc1ccc(NC(=O)CN(C)C(=O)c2cnncc2[O-])cc1 ZINC001361885118 882574131 /nfs/dbraw/zinc/57/41/31/882574131.db2.gz UORBHSVRLCNTMV-UHFFFAOYSA-N -1 1 300.318 1.201 20 0 DDADMM NC(=O)CCOc1ccc(NC(=O)c2ccc([O-])cn2)cc1 ZINC001361922755 882646047 /nfs/dbraw/zinc/64/60/47/882646047.db2.gz QWVRQFGKGOSJHL-UHFFFAOYSA-N -1 1 301.302 1.294 20 0 DDADMM CC/C(C)=C\C(=O)N(C)C[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001344157384 872893123 /nfs/dbraw/zinc/89/31/23/872893123.db2.gz CBMLWPMQNXIFMT-KXXVWKPMSA-N -1 1 317.389 1.816 20 0 DDADMM C[C@H](COC(C)(C)C)Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c21 ZINC001226888877 882704360 /nfs/dbraw/zinc/70/43/60/882704360.db2.gz WOWPTWVPFVHQRM-ZCFIWIBFSA-N -1 1 310.310 1.119 20 0 DDADMM O=C(CCCOc1ccc2c(c1)CCC2)OCc1nn[n-]n1 ZINC001345156657 873277648 /nfs/dbraw/zinc/27/76/48/873277648.db2.gz HLOUHAHQSUTJEQ-UHFFFAOYSA-N -1 1 302.334 1.591 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC001346506621 873783015 /nfs/dbraw/zinc/78/30/15/873783015.db2.gz LNCQPPHNUZLXID-FXBDTBDDSA-N -1 1 309.391 1.323 20 0 DDADMM Cc1nc2ccccc2cc1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347475752 874156895 /nfs/dbraw/zinc/15/68/95/874156895.db2.gz YSEYYCZQZNSFIQ-LBPRGKRZSA-N -1 1 308.345 1.686 20 0 DDADMM CON(C(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O)C(C)C ZINC001347699554 874249725 /nfs/dbraw/zinc/24/97/25/874249725.db2.gz YCTUGOTXTYWFCB-UHFFFAOYSA-N -1 1 316.361 1.481 20 0 DDADMM CN(Cc1ccc2c(c1)OCCO2)C(=O)c1ccc([O-])cn1 ZINC001362006427 882809333 /nfs/dbraw/zinc/80/93/33/882809333.db2.gz YZYSNOACANWXGF-UHFFFAOYSA-N -1 1 300.314 1.831 20 0 DDADMM CCCC(=O)NC[C@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001362013945 882825529 /nfs/dbraw/zinc/82/55/29/882825529.db2.gz ZKRVNTWWQYBCQT-GFCCVEGCSA-N -1 1 305.378 1.556 20 0 DDADMM NC(=O)CSc1ccccc1NC(=O)c1ccc([O-])cn1 ZINC001362022634 882848185 /nfs/dbraw/zinc/84/81/85/882848185.db2.gz DSFHJITVRZKISL-UHFFFAOYSA-N -1 1 303.343 1.617 20 0 DDADMM CCN(C(=O)c1ccc([O-])cn1)c1ncc(C(=O)OC)s1 ZINC001362024914 882856123 /nfs/dbraw/zinc/85/61/23/882856123.db2.gz ZJNLTZORDBNWHP-UHFFFAOYSA-N -1 1 307.331 1.697 20 0 DDADMM C[C@H]1CCC[C@]1(C)C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348839366 874821385 /nfs/dbraw/zinc/82/13/85/874821385.db2.gz WQWOQWCRDSYKJG-ZBEGNZNMSA-N -1 1 305.378 1.460 20 0 DDADMM CO[C@]1(C)C[C@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1(C)C ZINC001349159555 874998416 /nfs/dbraw/zinc/99/84/16/874998416.db2.gz LXBQUWNPIMEVAR-RMLUDKJBSA-N -1 1 311.407 1.713 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2cnc(N3CCC3)nc2)ccc1N ZINC001210722659 875500476 /nfs/dbraw/zinc/50/04/76/875500476.db2.gz NAAOGWKCSQQDHA-UHFFFAOYSA-N -1 1 319.390 1.378 20 0 DDADMM CC(C)[C@@H](CNC(=O)CC(C)(C)C1CC1)NCc1n[nH]c(=O)[n-]1 ZINC001378954214 875983102 /nfs/dbraw/zinc/98/31/02/875983102.db2.gz FZTIRBFNCXHNRC-GFCCVEGCSA-N -1 1 323.441 1.567 20 0 DDADMM CC[C@H](O)C(=O)Oc1cccc([O-])c1I ZINC001227380436 882988411 /nfs/dbraw/zinc/98/84/11/882988411.db2.gz LOLRAGTUMDZEAT-LURJTMIESA-N -1 1 322.098 1.673 20 0 DDADMM O=C(N1CCC(=Nc2cc(=O)[n-]c(Cl)n2)CC1)C(F)(F)F ZINC001213733908 876037609 /nfs/dbraw/zinc/03/76/09/876037609.db2.gz UITBAWJWTDERGB-UHFFFAOYSA-N -1 1 322.674 1.926 20 0 DDADMM COc1cc(-c2noc(-c3c[nH]c(=S)[n-]c3=O)n2)ccn1 ZINC001213866877 876085560 /nfs/dbraw/zinc/08/55/60/876085560.db2.gz NKLMFTILEJCGSI-UHFFFAOYSA-N -1 1 303.303 1.592 20 0 DDADMM COC(=O)/C=C\[C@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001214071076 876161438 /nfs/dbraw/zinc/16/14/38/876161438.db2.gz ZKONRLQDJCHMQI-LBLVLHIFSA-N -1 1 305.302 1.361 20 0 DDADMM CCOC(=O)[C@H](CC(C)C)Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1 ZINC001227430514 883012618 /nfs/dbraw/zinc/01/26/18/883012618.db2.gz LKQWXWUJOJEIGM-ZETCQYMHSA-N -1 1 310.310 1.121 20 0 DDADMM CCOC(=O)[C@H](CC(C)C)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227430514 883012633 /nfs/dbraw/zinc/01/26/33/883012633.db2.gz LKQWXWUJOJEIGM-ZETCQYMHSA-N -1 1 310.310 1.121 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@]1(C)CCO[C@H]1C1CC1 ZINC001351774553 876427001 /nfs/dbraw/zinc/42/70/01/876427001.db2.gz AVZGQEQGIZOFQF-XHDPSFHLSA-N -1 1 323.418 1.714 20 0 DDADMM COCCC[C@@H](C)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC001351855741 876468915 /nfs/dbraw/zinc/46/89/15/876468915.db2.gz BHTHPMTYNSVKLN-VXGBXAGGSA-N -1 1 323.393 1.917 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccc(F)c(CO)c1F ZINC001215650229 876777916 /nfs/dbraw/zinc/77/79/16/876777916.db2.gz YRBBWHMVWWWPAO-UHFFFAOYSA-N -1 1 300.290 1.567 20 0 DDADMM COCCOc1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cn1 ZINC001216064380 876853389 /nfs/dbraw/zinc/85/33/89/876853389.db2.gz VNVZDWZMLDLEBX-UHFFFAOYSA-N -1 1 309.351 1.217 20 0 DDADMM COc1ncc(Nc2cccc(C)n2)cc1[N-]S(C)(=O)=O ZINC001216152182 876877551 /nfs/dbraw/zinc/87/75/51/876877551.db2.gz UZFFAHAEIUOYIB-UHFFFAOYSA-N -1 1 308.363 1.909 20 0 DDADMM COc1ccc(Nc2cnc(OC)c([N-]S(C)(=O)=O)c2)cn1 ZINC001216151480 876878689 /nfs/dbraw/zinc/87/86/89/876878689.db2.gz MHNKVYCKOUWZJE-UHFFFAOYSA-N -1 1 324.362 1.609 20 0 DDADMM COC(=O)c1coc(CNC(=O)c2cc(C)cc(C=O)c2[O-])n1 ZINC001300227357 877182501 /nfs/dbraw/zinc/18/25/01/877182501.db2.gz GZTAQIPTXVQRRO-UHFFFAOYSA-N -1 1 318.285 1.218 20 0 DDADMM CC(C)C(=O)NCCN(Cc1n[nH]c(=O)[n-]1)C1CCCCCC1 ZINC001380186648 878998161 /nfs/dbraw/zinc/99/81/61/878998161.db2.gz YMZLACQPOGNPQO-UHFFFAOYSA-N -1 1 323.441 1.807 20 0 DDADMM CCOCCCn1c(-c2c[n-][nH]c2=O)nnc1N1CC[C@@H](C)C1 ZINC001357325836 879915388 /nfs/dbraw/zinc/91/53/88/879915388.db2.gz BEWPDZXNFXGAOJ-LLVKDONJSA-N -1 1 320.397 1.647 20 0 DDADMM CC(C)[C@H]1CC[C@@H](C)C[C@]12OC[C@@H](COCc1nn[n-]n1)O2 ZINC001223030688 880793356 /nfs/dbraw/zinc/79/33/56/880793356.db2.gz ZSXVOXZIILAHEP-RGCMKSIDSA-N -1 1 310.398 1.920 20 0 DDADMM COc1cc(F)cc(NC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC001362133389 883120080 /nfs/dbraw/zinc/12/00/80/883120080.db2.gz SPXXMYVXJGTYSI-UHFFFAOYSA-N -1 1 319.292 1.303 20 0 DDADMM CC(C)(NC(=O)c1ccc([O-])cn1)C(=O)NCC1CCCCC1 ZINC001362248879 883404138 /nfs/dbraw/zinc/40/41/38/883404138.db2.gz XRFWHZWNLWIXEE-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1sc(C(N)=O)cc1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362250234 883405435 /nfs/dbraw/zinc/40/54/35/883405435.db2.gz FDAZRESQDKWWRR-UHFFFAOYSA-N -1 1 318.358 1.781 20 0 DDADMM COc1cc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)ccc1O ZINC001362263287 883435008 /nfs/dbraw/zinc/43/50/08/883435008.db2.gz MLMNZTAMPRUFBU-UHFFFAOYSA-N -1 1 315.329 1.704 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H](C(=O)OC)c2ccccc2F)n1 ZINC001228312071 883439853 /nfs/dbraw/zinc/43/98/53/883439853.db2.gz PTGQNFBGSOHASQ-VIFPVBQESA-N -1 1 309.253 1.024 20 0 DDADMM COC(=O)c1nc(O[C@H](C(=O)OC)c2ccccc2F)n[n-]1 ZINC001228312071 883439857 /nfs/dbraw/zinc/43/98/57/883439857.db2.gz PTGQNFBGSOHASQ-VIFPVBQESA-N -1 1 309.253 1.024 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC(OC[C@H]2CCOC2)CC1 ZINC001362272313 883453948 /nfs/dbraw/zinc/45/39/48/883453948.db2.gz WOHZSRDFPUHKIN-LBPRGKRZSA-N -1 1 306.362 1.445 20 0 DDADMM O=C(N[C@H]1CCCOc2cc(F)ccc21)c1cnncc1[O-] ZINC001362277015 883464649 /nfs/dbraw/zinc/46/46/49/883464649.db2.gz ZCOMPBXFPUFTIM-LBPRGKRZSA-N -1 1 303.293 1.965 20 0 DDADMM CC[C@@H](C)n1ncc(NC(=O)CCc2nn[n-]n2)c1C1CC1 ZINC001362305586 883523921 /nfs/dbraw/zinc/52/39/21/883523921.db2.gz WSWPKMPISGQILW-SECBINFHSA-N -1 1 303.370 1.816 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H](NC(=O)c1cnncc1[O-])C1CC1 ZINC001362332201 883584218 /nfs/dbraw/zinc/58/42/18/883584218.db2.gz OAMSNMQOEBTIEQ-NSHDSACASA-N -1 1 322.365 1.215 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](Oc1cnnc(=S)[n-]1)C(F)(F)F ZINC001228638451 883586891 /nfs/dbraw/zinc/58/68/91/883586891.db2.gz GSBOMRZIBGHFNW-FSPLSTOPSA-N -1 1 311.285 1.669 20 0 DDADMM Cc1nc([C@H]2COCCN2C(=O)c2ccc(F)c([O-])c2)no1 ZINC001362344368 883611693 /nfs/dbraw/zinc/61/16/93/883611693.db2.gz VZUXFSUVKMTFSF-LLVKDONJSA-N -1 1 307.281 1.436 20 0 DDADMM CC[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)[C@H]1C ZINC001362369089 883661109 /nfs/dbraw/zinc/66/11/09/883661109.db2.gz PTRSVFISOYTZFM-VHSXEESVSA-N -1 1 300.380 1.448 20 0 DDADMM CCC(CC)(NC(=O)COC1CCC(C)CC1)c1nn[n-]n1 ZINC001362427981 883785632 /nfs/dbraw/zinc/78/56/32/883785632.db2.gz UUIZZSNRTUAOIH-UHFFFAOYSA-N -1 1 309.414 1.927 20 0 DDADMM C[C@@](NC(=O)c1ccc(F)c([O-])c1)(C(N)=O)c1ccccc1 ZINC001362428889 883787582 /nfs/dbraw/zinc/78/75/82/883787582.db2.gz OTSFWJCCRYAPJL-INIZCTEOSA-N -1 1 302.305 1.662 20 0 DDADMM CSc1ncc(C(=O)N2CCCC[C@H]2c2cc[nH]n2)c(=O)[n-]1 ZINC001362432897 883796272 /nfs/dbraw/zinc/79/62/72/883796272.db2.gz PXQXSXIJWGTNOO-NSHDSACASA-N -1 1 319.390 1.995 20 0 DDADMM O=C(CNC(=O)c1ncc2ccccc2c1[O-])NCC(F)F ZINC001362480305 883905051 /nfs/dbraw/zinc/90/50/51/883905051.db2.gz GKSCDRHLGUNNGB-UHFFFAOYSA-N -1 1 309.272 1.052 20 0 DDADMM CCC(CC)(NC(=O)c1nnc(C(C)(C)C)s1)c1nn[n-]n1 ZINC001362496977 883941591 /nfs/dbraw/zinc/94/15/91/883941591.db2.gz PKUMZRDTSNFLEQ-UHFFFAOYSA-N -1 1 323.426 1.794 20 0 DDADMM CCC[C@@H](CC)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001229364919 883944021 /nfs/dbraw/zinc/94/40/21/883944021.db2.gz VQTMTTSTHXHAIP-JHJVBQTASA-N -1 1 323.441 1.854 20 0 DDADMM CCC(CC)(NC(=O)c1scc2c1OCCO2)c1nn[n-]n1 ZINC001362499413 883948009 /nfs/dbraw/zinc/94/80/09/883948009.db2.gz WNSWHAUJKQFXTN-UHFFFAOYSA-N -1 1 323.378 1.478 20 0 DDADMM CCC[C@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccccn1 ZINC001362534704 884027099 /nfs/dbraw/zinc/02/70/99/884027099.db2.gz BGUBCMRLZKAHIN-OLZOCXBDSA-N -1 1 314.393 1.885 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC[C@H](N2CCCC2=O)CC1 ZINC001362546887 884061446 /nfs/dbraw/zinc/06/14/46/884061446.db2.gz ACRJARACRUWWGI-LBPRGKRZSA-N -1 1 303.362 1.404 20 0 DDADMM O=C1[N-]C(=O)[C@H](Cc2ccc(OC[C@@H]3COCCO3)cc2)S1 ZINC001229616016 884080548 /nfs/dbraw/zinc/08/05/48/884080548.db2.gz FSGMKRIPHLENEX-STQMWFEESA-N -1 1 323.370 1.375 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC[C@@H](O)[C@@H](CO)C1 ZINC001362592051 884169943 /nfs/dbraw/zinc/16/99/43/884169943.db2.gz QSWVGRLZFSNGAK-MWLCHTKSSA-N -1 1 319.279 1.226 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cc(F)ccc1[O-])c1cccnc1 ZINC001362596425 884179529 /nfs/dbraw/zinc/17/95/29/884179529.db2.gz OYINNCNWDHAOSX-ZDUSSCGKSA-N -1 1 304.277 1.570 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1CCCCCC(=O)C1)c1nn[n-]n1 ZINC001362622458 884246442 /nfs/dbraw/zinc/24/64/42/884246442.db2.gz BULWVVPGDVHGJE-LLVKDONJSA-N -1 1 307.398 1.871 20 0 DDADMM CCC(CC)(NC(=O)COc1cccc(OC)c1)c1nn[n-]n1 ZINC001362634844 884281711 /nfs/dbraw/zinc/28/17/11/884281711.db2.gz XLJQRAGSJXELKM-UHFFFAOYSA-N -1 1 319.365 1.419 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1cccc2c1OCC(=O)N2 ZINC001362674528 884383625 /nfs/dbraw/zinc/38/36/25/884383625.db2.gz ZYHWBPSWKNCASM-UHFFFAOYSA-N -1 1 314.297 1.984 20 0 DDADMM CCO[C@@H]1C[C@](O)(CNC(=O)c2ccc(F)c([O-])c2)C1(C)C ZINC001362769686 884596581 /nfs/dbraw/zinc/59/65/81/884596581.db2.gz YOVIXCIWCPNMLE-CJNGLKHVSA-N -1 1 311.353 1.827 20 0 DDADMM COC(=O)Nc1cccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c1 ZINC001362824699 884729479 /nfs/dbraw/zinc/72/94/79/884729479.db2.gz WQUBJPYKINMGAG-JTQLQIEISA-N -1 1 316.321 1.008 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H](C)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001362828974 884741746 /nfs/dbraw/zinc/74/17/46/884741746.db2.gz DIUZKYSGJXBEAH-SNVBAGLBSA-N -1 1 319.413 1.338 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1coc2c1C(=O)CCC2 ZINC001362833593 884754527 /nfs/dbraw/zinc/75/45/27/884754527.db2.gz VYPWCDWWUHTBMM-UHFFFAOYSA-N -1 1 317.349 1.610 20 0 DDADMM COc1cccc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c1F ZINC001362855429 884805818 /nfs/dbraw/zinc/80/58/18/884805818.db2.gz TUXCKOSELAWJTB-UHFFFAOYSA-N -1 1 323.349 1.982 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC[C@@H]2CCCO2)n[n-]1 ZINC001362934703 885022467 /nfs/dbraw/zinc/02/24/67/885022467.db2.gz KYMZWHRHOKAZNC-UWVGGRQHSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC[C@@H]2CCCO2)[n-]1 ZINC001362934703 885022478 /nfs/dbraw/zinc/02/24/78/885022478.db2.gz KYMZWHRHOKAZNC-UWVGGRQHSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC[C@@H]2CCCO2)n1 ZINC001362934703 885022491 /nfs/dbraw/zinc/02/24/91/885022491.db2.gz KYMZWHRHOKAZNC-UWVGGRQHSA-N -1 1 310.354 1.118 20 0 DDADMM CC[C@@H](C)OCC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001362971662 885120190 /nfs/dbraw/zinc/12/01/90/885120190.db2.gz PRCLFTHLNOLGPS-VWYCJHECSA-N -1 1 324.343 1.860 20 0 DDADMM COC(=O)c1cc(NC(=O)Cc2ccc([O-])c(Cl)c2)n(C)n1 ZINC001362995159 885180532 /nfs/dbraw/zinc/18/05/32/885180532.db2.gz IYXWYBSHECGZDH-UHFFFAOYSA-N -1 1 323.736 1.747 20 0 DDADMM CSCC[C@H](NC(=O)c1c(C)cccc1O)c1nn[n-]n1 ZINC001362997595 885186571 /nfs/dbraw/zinc/18/65/71/885186571.db2.gz IMBYPBKLCTYIPA-VIFPVBQESA-N -1 1 307.379 1.438 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCOC[C@@H]1C[C@H]1CCOC1 ZINC001363029221 885267622 /nfs/dbraw/zinc/26/76/22/885267622.db2.gz KPLONFHQHWDRQH-YPMHNXCESA-N -1 1 309.337 1.799 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCOC[C@@H]1C[C@@H]1CCOC1 ZINC001363028527 885268559 /nfs/dbraw/zinc/26/85/59/885268559.db2.gz KPLONFHQHWDRQH-AAEUAGOBSA-N -1 1 309.337 1.799 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C(C)(C)CCC(C)=O)n[n-]1 ZINC001363050404 885334663 /nfs/dbraw/zinc/33/46/63/885334663.db2.gz QSVVNZLPFWVGOB-SNVBAGLBSA-N -1 1 324.381 1.554 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C(C)(C)CCC(C)=O)[n-]1 ZINC001363050404 885334686 /nfs/dbraw/zinc/33/46/86/885334686.db2.gz QSVVNZLPFWVGOB-SNVBAGLBSA-N -1 1 324.381 1.554 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C(C)(C)CCC(C)=O)n1 ZINC001363050404 885334704 /nfs/dbraw/zinc/33/47/04/885334704.db2.gz QSVVNZLPFWVGOB-SNVBAGLBSA-N -1 1 324.381 1.554 20 0 DDADMM CC(C)[C@H](CCN(C)C(=O)C1CC1)NC(=O)c1ncccc1[O-] ZINC001383168252 885341768 /nfs/dbraw/zinc/34/17/68/885341768.db2.gz GCCXZUVLJUGDGA-ZDUSSCGKSA-N -1 1 319.405 1.800 20 0 DDADMM CSc1ncc(C(=O)N2C[C@@]3(CCCO3)[C@@H]2C2CC2)c(=O)[n-]1 ZINC001363058745 885358250 /nfs/dbraw/zinc/35/82/50/885358250.db2.gz OIJOIRBGCYWDOL-NHYWBVRUSA-N -1 1 321.402 1.688 20 0 DDADMM CN1Cc2ccccc2[C@H](NC(=O)c2ccc([O-])c(F)c2)C1=O ZINC001363090248 885439289 /nfs/dbraw/zinc/43/92/89/885439289.db2.gz WKQUTANNWCJUNF-HNNXBMFYSA-N -1 1 314.316 1.974 20 0 DDADMM CC(C)(C)C(=O)NC[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001395952967 912946483 /nfs/dbraw/zinc/94/64/83/912946483.db2.gz PTNNURPPJWOFRQ-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(c1ccc(F)cc1O)N1CC[C@H]2[C@@H]1CCC[N@@H+]2CCO ZINC001363224675 885754918 /nfs/dbraw/zinc/75/49/18/885754918.db2.gz VYOLTRDOWOUPND-KBPBESRZSA-N -1 1 308.353 1.203 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCc2ccco2)n[n-]1 ZINC001363231210 885772983 /nfs/dbraw/zinc/77/29/83/885772983.db2.gz KYSJPBGSSBFGMI-SECBINFHSA-N -1 1 306.322 1.384 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCc2ccco2)[n-]1 ZINC001363231210 885772993 /nfs/dbraw/zinc/77/29/93/885772993.db2.gz KYSJPBGSSBFGMI-SECBINFHSA-N -1 1 306.322 1.384 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCc2ccco2)n1 ZINC001363231210 885772996 /nfs/dbraw/zinc/77/29/96/885772996.db2.gz KYSJPBGSSBFGMI-SECBINFHSA-N -1 1 306.322 1.384 20 0 DDADMM CSc1ncc(C(=O)NCc2ncc3c(n2)CCC3)c(=O)[n-]1 ZINC001363256308 885834310 /nfs/dbraw/zinc/83/43/10/885834310.db2.gz NSLOLCSUNOCUOU-UHFFFAOYSA-N -1 1 317.374 1.113 20 0 DDADMM CC(=O)N1CCCN(Cc2ccccc2OCC(=O)[O-])CC1 ZINC001231790333 885873434 /nfs/dbraw/zinc/87/34/34/885873434.db2.gz SKAZXMGGYNZHPS-UHFFFAOYSA-N -1 1 306.362 1.204 20 0 DDADMM CCc1noc(CCCC(=O)N(Cc2nn[n-]n2)CC(C)C)n1 ZINC001363276417 885886930 /nfs/dbraw/zinc/88/69/30/885886930.db2.gz MWDSYNBLOHRVHJ-UHFFFAOYSA-N -1 1 321.385 1.153 20 0 DDADMM CSCc1ccc(C(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC001363324198 886025136 /nfs/dbraw/zinc/02/51/36/886025136.db2.gz NKDAQUFQTCAGAT-UHFFFAOYSA-N -1 1 305.359 1.681 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc(N(C)C)c(F)c1 ZINC001363357842 886121710 /nfs/dbraw/zinc/12/17/10/886121710.db2.gz UKSLZPBGGGHOIC-UHFFFAOYSA-N -1 1 320.372 1.703 20 0 DDADMM CC(C)C(=O)[C@@H](NC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC001363398900 886226470 /nfs/dbraw/zinc/22/64/70/886226470.db2.gz KEEOKMYWMNCIHM-AWEZNQCLSA-N -1 1 301.350 1.215 20 0 DDADMM COC[C@H](NC(=O)[C@@H](C)CCCc1ccccc1)c1nn[n-]n1 ZINC001363413813 886270796 /nfs/dbraw/zinc/27/07/96/886270796.db2.gz KDNHAMIMQXBMDX-JSGCOSHPSA-N -1 1 317.393 1.662 20 0 DDADMM CC(=O)N(C)c1ccc(NC(=O)c2ccc(O)cc2[O-])cn1 ZINC001363418155 886281924 /nfs/dbraw/zinc/28/19/24/886281924.db2.gz FJJHHATWMCXMRP-UHFFFAOYSA-N -1 1 301.302 1.728 20 0 DDADMM COC[C@H](NC(=O)c1cccc(C(C)(C)C)c1)c1nn[n-]n1 ZINC001363428891 886314260 /nfs/dbraw/zinc/31/42/60/886314260.db2.gz BQFISSCEYBSXEM-LBPRGKRZSA-N -1 1 303.366 1.615 20 0 DDADMM COC[C@@H](NC(=O)CC(C1CCC1)C1CCC1)c1nn[n-]n1 ZINC001363431309 886320920 /nfs/dbraw/zinc/32/09/20/886320920.db2.gz HICOIWCMWHBVAE-CYBMUJFWSA-N -1 1 307.398 1.610 20 0 DDADMM COC(=O)[C@@H](CC1CC1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363448987 886366624 /nfs/dbraw/zinc/36/66/24/886366624.db2.gz AGKHZCCHTOZTIQ-LLVKDONJSA-N -1 1 305.334 1.131 20 0 DDADMM O=C1COCC2(CC[NH+](Cc3cccc(O)c3Cl)CC2)N1 ZINC001232786212 886586255 /nfs/dbraw/zinc/58/62/55/886586255.db2.gz SAQMNLAFQZKJHJ-UHFFFAOYSA-N -1 1 310.781 1.527 20 0 DDADMM NS(=O)(=O)C[C@H]1CCCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001363573075 886670067 /nfs/dbraw/zinc/67/00/67/886670067.db2.gz FYSKQTHLGBDTDW-VIFPVBQESA-N -1 1 320.361 1.171 20 0 DDADMM CN(C)C(=O)NC1CCN(Cc2ccc(F)c([O-])c2F)CC1 ZINC001232940441 886684927 /nfs/dbraw/zinc/68/49/27/886684927.db2.gz QHIUQDAALNTCSY-UHFFFAOYSA-N -1 1 313.348 1.906 20 0 DDADMM COc1cc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)ccn1 ZINC001363612837 886765196 /nfs/dbraw/zinc/76/51/96/886765196.db2.gz DGXVOMHXIOWUPX-UHFFFAOYSA-N -1 1 318.333 1.260 20 0 DDADMM C[C@H]1CO[C@@H](C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)C1 ZINC001363643078 886863158 /nfs/dbraw/zinc/86/31/58/886863158.db2.gz PXEWRYYLZUVSGW-VPOLOUISSA-N -1 1 322.327 1.469 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](OCC)C2CCCC2)n[n-]1 ZINC001363706401 887030455 /nfs/dbraw/zinc/03/04/55/887030455.db2.gz JUDFKBKWCAWVQK-LBPRGKRZSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](OCC)C2CCCC2)n1 ZINC001363706401 887030468 /nfs/dbraw/zinc/03/04/68/887030468.db2.gz JUDFKBKWCAWVQK-LBPRGKRZSA-N -1 1 324.381 1.193 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccnc(C2CC2)c1 ZINC001363798731 887250885 /nfs/dbraw/zinc/25/08/85/887250885.db2.gz DTNLYPAXYBTWGI-UHFFFAOYSA-N -1 1 300.366 1.771 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cnn(C)c1C(F)F ZINC001363807554 887274518 /nfs/dbraw/zinc/27/45/18/887274518.db2.gz TWUCMRFXRQGCNM-UHFFFAOYSA-N -1 1 313.312 1.169 20 0 DDADMM CCOC(=O)c1nc(NC(=O)/C=C\[C@H]2CCOC2)[n-]c1Cl ZINC001289091702 913147420 /nfs/dbraw/zinc/14/74/20/913147420.db2.gz ZXFJYKOSDCHYBP-VEMNSZJBSA-N -1 1 313.741 1.771 20 0 DDADMM CC[C@H](COCC1CC1)NC(=O)c1cnc(SC)[n-]c1=O ZINC001363942764 887586944 /nfs/dbraw/zinc/58/69/44/887586944.db2.gz WJDVLUXIGAQULP-SNVBAGLBSA-N -1 1 311.407 1.839 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CO[C@@H]2CCCC[C@H]2C)n[n-]1 ZINC001364060539 887823981 /nfs/dbraw/zinc/82/39/81/887823981.db2.gz HRFPQINAQJJTNX-GHMZBOCLSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CO[C@@H]2CCCC[C@H]2C)n1 ZINC001364060539 887824003 /nfs/dbraw/zinc/82/40/03/887824003.db2.gz HRFPQINAQJJTNX-GHMZBOCLSA-N -1 1 324.381 1.193 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1)[C@H]1C[C@@H](O)C1 ZINC001364068770 887845617 /nfs/dbraw/zinc/84/56/17/887845617.db2.gz HYORBXQDOGIVMQ-OCAPTIKFSA-N -1 1 308.725 1.540 20 0 DDADMM O=C(Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1)[C@H]1C[C@@H](O)C1 ZINC001364068770 887845627 /nfs/dbraw/zinc/84/56/27/887845627.db2.gz HYORBXQDOGIVMQ-OCAPTIKFSA-N -1 1 308.725 1.540 20 0 DDADMM CCCc1n[nH]cc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001364087747 887886447 /nfs/dbraw/zinc/88/64/47/887886447.db2.gz MREGMMLOZJJFGV-JTQLQIEISA-N -1 1 309.399 1.100 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC001364099465 887916316 /nfs/dbraw/zinc/91/63/16/887916316.db2.gz YRPOVFDEMYUPMW-NSHDSACASA-N -1 1 300.318 1.103 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2CCS[C@H](C)C2)sn1 ZINC001364134295 887998188 /nfs/dbraw/zinc/99/81/88/887998188.db2.gz UBOCMQKIVUCQMB-SFYZADRCSA-N -1 1 308.450 1.714 20 0 DDADMM CC(C)C[C@H](Oc1c(C(N)=O)nc[n-]c1=O)C(=O)OC(C)C ZINC001234469434 888001543 /nfs/dbraw/zinc/00/15/43/888001543.db2.gz WREFIPWGKYHPMN-VIFPVBQESA-N -1 1 311.338 1.026 20 0 DDADMM Cc1ccc(OC[C@H](C)Oc2c(C(N)=O)nc(C)[n-]c2=O)cc1 ZINC001234479199 888014936 /nfs/dbraw/zinc/01/49/36/888014936.db2.gz XSJCHRGSCQOUGJ-JTQLQIEISA-N -1 1 317.345 1.744 20 0 DDADMM CCCCC1([N-]S(=O)(=O)c2n[nH]cc2C(=O)OCC)CC1 ZINC001364144798 888021084 /nfs/dbraw/zinc/02/10/84/888021084.db2.gz JXVQNYAWCIOWIJ-UHFFFAOYSA-N -1 1 315.395 1.588 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)Cc1cccnc1 ZINC001364155906 888040386 /nfs/dbraw/zinc/04/03/86/888040386.db2.gz XJJRMAQINRDKEW-SECBINFHSA-N -1 1 313.404 1.456 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H]1CC[C@@H](C(=O)OC)CC1 ZINC001234560969 888096880 /nfs/dbraw/zinc/09/68/80/888096880.db2.gz JQHVFKBNADZGGL-AOOOYVTPSA-N -1 1 324.333 1.388 20 0 DDADMM COCCC(=O)N[C@H](CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001396149441 913253171 /nfs/dbraw/zinc/25/31/71/913253171.db2.gz YVNLBVSUOJGHFZ-GFCCVEGCSA-N -1 1 323.393 1.084 20 0 DDADMM CC(=O)Nc1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)ccc1C ZINC001364567902 888942532 /nfs/dbraw/zinc/94/25/32/888942532.db2.gz NORDVENXDDZTNM-LBPRGKRZSA-N -1 1 314.349 1.096 20 0 DDADMM Cc1cc(-c2ccc(N3CCN(C)CC3)nc2)cnc1C(=O)[O-] ZINC001235975936 889043068 /nfs/dbraw/zinc/04/30/68/889043068.db2.gz TYBFAZCDBGYEBM-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM CCC[C@H](O)[C@@H](CO)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC001364746129 889326143 /nfs/dbraw/zinc/32/61/43/889326143.db2.gz RVUQHEHRIKHBLH-YPMHNXCESA-N -1 1 316.785 1.367 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1OCC ZINC001364772296 889381593 /nfs/dbraw/zinc/38/15/93/889381593.db2.gz ZCSXRBRWQAUQAK-OUAUKWLOSA-N -1 1 322.365 1.070 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1OCC ZINC001364772296 889381608 /nfs/dbraw/zinc/38/16/08/889381608.db2.gz ZCSXRBRWQAUQAK-OUAUKWLOSA-N -1 1 322.365 1.070 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@@H]1C[C@H]1OCC ZINC001364772296 889381622 /nfs/dbraw/zinc/38/16/22/889381622.db2.gz ZCSXRBRWQAUQAK-OUAUKWLOSA-N -1 1 322.365 1.070 20 0 DDADMM CCC(CC)CN(CC)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364784395 889409436 /nfs/dbraw/zinc/40/94/36/889409436.db2.gz PYVRCOJPMWGFFY-UHFFFAOYSA-N -1 1 317.411 1.643 20 0 DDADMM CCC(CC)CN(CC)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364784395 889409447 /nfs/dbraw/zinc/40/94/47/889409447.db2.gz PYVRCOJPMWGFFY-UHFFFAOYSA-N -1 1 317.411 1.643 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CC12CCCCC2 ZINC001364810921 889465719 /nfs/dbraw/zinc/46/57/19/889465719.db2.gz VKVOAGBPOBGACA-SNVBAGLBSA-N -1 1 313.379 1.197 20 0 DDADMM CC(C)(C)CC(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001385684554 889565788 /nfs/dbraw/zinc/56/57/88/889565788.db2.gz AHHCAOLQNHQULX-LBPRGKRZSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(Nc1ccnn1C1CCC1)N1CCC(c2nn[n-]n2)CC1 ZINC001365087787 890079112 /nfs/dbraw/zinc/07/91/12/890079112.db2.gz AFWWNXHWQKARKZ-UHFFFAOYSA-N -1 1 316.369 1.533 20 0 DDADMM CN(C)C(=O)N[C@@H]1CCCN(Cc2ccc(F)cc2C(=O)[O-])C1 ZINC001238244020 890149248 /nfs/dbraw/zinc/14/92/48/890149248.db2.gz ZTQGQNWIHPQVJL-CYBMUJFWSA-N -1 1 323.368 1.760 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCC[C@H]3OCC[C@@H]32)sn1 ZINC001365135848 890174974 /nfs/dbraw/zinc/17/49/74/890174974.db2.gz YNXBPNMLAFTCGI-OPRDCNLKSA-N -1 1 318.420 1.388 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2ccnnc2C)[n-]c1=O ZINC001365147585 890196281 /nfs/dbraw/zinc/19/62/81/890196281.db2.gz QJXMNGRHVRKGEH-LLVKDONJSA-N -1 1 315.333 1.267 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2ccnc(C)c2)[n-]c1=O ZINC001365160639 890225009 /nfs/dbraw/zinc/22/50/09/890225009.db2.gz NOFAXFRLXRHWNO-UHFFFAOYSA-N -1 1 317.349 1.373 20 0 DDADMM O=C(Nc1ccc2c(n1)CCC2)N1CCC(c2nn[n-]n2)CC1 ZINC001365198902 890323964 /nfs/dbraw/zinc/32/39/64/890323964.db2.gz AZBBWYDGTZECLC-UHFFFAOYSA-N -1 1 313.365 1.495 20 0 DDADMM COc1cc(NC(=O)NCc2cc(=O)[n-]c(SC)n2)ccn1 ZINC001365203003 890334188 /nfs/dbraw/zinc/33/41/88/890334188.db2.gz REYUUFCQRMVYOM-UHFFFAOYSA-N -1 1 321.362 1.629 20 0 DDADMM Cn1[n-]c(CN2CC3(CCOCC3)[C@H]2c2ccccc2)nc1=O ZINC001365306756 890552924 /nfs/dbraw/zinc/55/29/24/890552924.db2.gz XZWYJSVGJDTGBN-OAHLLOKOSA-N -1 1 314.389 1.462 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2ccncc2C)sn1 ZINC001365372390 890683625 /nfs/dbraw/zinc/68/36/25/890683625.db2.gz JYZRRKSKVOHXJC-UHFFFAOYSA-N -1 1 313.404 1.376 20 0 DDADMM CS(=O)(=O)c1c[nH]c2ncnc(-c3ccc([O-])c(F)c3)c21 ZINC001240783778 891049578 /nfs/dbraw/zinc/04/95/78/891049578.db2.gz XDODLEXXIVGCHF-UHFFFAOYSA-N -1 1 307.306 1.825 20 0 DDADMM Cc1cc2c(c(-c3ccc([S@@](C)=O)cc3)n1)C(=O)[N-]C2=O ZINC001241480288 891188538 /nfs/dbraw/zinc/18/85/38/891188538.db2.gz OJICGACKBAEAOS-OAQYLSRUSA-N -1 1 300.339 1.678 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2(CC(C)C)CC2)[n-]n1 ZINC001365722636 891398081 /nfs/dbraw/zinc/39/80/81/891398081.db2.gz JJHRGIZELFBLCA-UHFFFAOYSA-N -1 1 301.368 1.053 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2(CC(C)C)CC2)n[n-]1 ZINC001365722636 891398100 /nfs/dbraw/zinc/39/81/00/891398100.db2.gz JJHRGIZELFBLCA-UHFFFAOYSA-N -1 1 301.368 1.053 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCC1(C)C ZINC001386742843 891610988 /nfs/dbraw/zinc/61/09/88/891610988.db2.gz NAJMFJIMSVLDFO-GHMZBOCLSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1ccc(-c2cc(N3CCN(C)CC3)ncn2)cc1C(=O)[O-] ZINC001243193379 891621090 /nfs/dbraw/zinc/62/10/90/891621090.db2.gz CSUVAXGTSRENDH-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM COc1nccc(-c2nc(C)cc3c2C(=O)[N-]C3=O)c1Cl ZINC001243318085 891641522 /nfs/dbraw/zinc/64/15/22/891641522.db2.gz HKRDDKVKOAENLC-UHFFFAOYSA-N -1 1 303.705 1.998 20 0 DDADMM COC(=O)Cc1ccc(-c2ccc([P@@](=O)([O-])O)cc2)cc1 ZINC001243603782 891682655 /nfs/dbraw/zinc/68/26/55/891682655.db2.gz SZTQOUHFPBKPQW-UHFFFAOYSA-N -1 1 306.254 1.872 20 0 DDADMM COC(=O)Cc1ccc(-c2ccc([P@](=O)([O-])O)cc2)cc1 ZINC001243603782 891682661 /nfs/dbraw/zinc/68/26/61/891682661.db2.gz SZTQOUHFPBKPQW-UHFFFAOYSA-N -1 1 306.254 1.872 20 0 DDADMM O=C(N[C@@H](C1CC1)C(F)(F)F)N1CCC(c2nn[n-]n2)CC1 ZINC001365914912 891903045 /nfs/dbraw/zinc/90/30/45/891903045.db2.gz OXCOVJVMOHASHR-VIFPVBQESA-N -1 1 318.303 1.430 20 0 DDADMM COc1ncc(-c2c(C)ncnc2OC)cc1[N-]S(C)(=O)=O ZINC001244794074 891927602 /nfs/dbraw/zinc/92/76/02/891927602.db2.gz OBHNVLXRFIZPDK-UHFFFAOYSA-N -1 1 324.362 1.236 20 0 DDADMM CCc1cc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)ncn1 ZINC001244792049 891928543 /nfs/dbraw/zinc/92/85/43/891928543.db2.gz XMGFDRQOKJDTRC-UHFFFAOYSA-N -1 1 308.363 1.481 20 0 DDADMM Nc1ccc(-c2cc(=O)n(CCCN3CCOCC3)[n-]2)cc1 ZINC001245937215 892276796 /nfs/dbraw/zinc/27/67/96/892276796.db2.gz NUFLCGYWULBQQW-UHFFFAOYSA-N -1 1 302.378 1.560 20 0 DDADMM C[C@@H](CNC(=O)C(C)(C)CC(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001366358185 893304571 /nfs/dbraw/zinc/30/45/71/893304571.db2.gz SUQPDNXNOFNFQS-ZETCQYMHSA-N -1 1 323.319 1.083 20 0 DDADMM CCOC(=O)c1nonc1[N-]c1ccc(C(=O)OC)c(N)c1 ZINC001250333603 894237752 /nfs/dbraw/zinc/23/77/52/894237752.db2.gz DFWCRSNQQHMFCU-UHFFFAOYSA-N -1 1 306.278 1.359 20 0 DDADMM C[C@@H]1CCC[C@H](C(=O)NCCN(C)C(=O)c2ncccc2[O-])C1 ZINC001388026105 894392994 /nfs/dbraw/zinc/39/29/94/894392994.db2.gz IPYFZSSOFLZKCE-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccn(C)c1 ZINC001388053553 894460508 /nfs/dbraw/zinc/46/05/08/894460508.db2.gz AXUCTNDXOWFVHW-GFCCVEGCSA-N -1 1 316.361 1.064 20 0 DDADMM CSc1nc(NS(=O)(=O)c2cccs2)cc(=O)[n-]1 ZINC001250867765 894474954 /nfs/dbraw/zinc/47/49/54/894474954.db2.gz RUDPMNGOVHWJMN-UHFFFAOYSA-N -1 1 303.390 1.766 20 0 DDADMM CN(C)S(=O)(=O)[N-]c1cnc(Cl)c(Br)n1 ZINC001251058200 894618294 /nfs/dbraw/zinc/61/82/94/894618294.db2.gz JNBFXZVCTJXTLA-UHFFFAOYSA-N -1 1 315.580 1.111 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)c1ccccc1)c1cccnc1 ZINC001251173618 894656058 /nfs/dbraw/zinc/65/60/58/894656058.db2.gz KPOXSKOVMDTCNJ-AWEZNQCLSA-N -1 1 320.370 1.664 20 0 DDADMM CC(C)c1ocnc1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001292666482 913908616 /nfs/dbraw/zinc/90/86/16/913908616.db2.gz PYDXGMSDPZXVQA-UHFFFAOYSA-N -1 1 318.333 1.058 20 0 DDADMM CC(C)C(C)(C)C(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001366840920 895103989 /nfs/dbraw/zinc/10/39/89/895103989.db2.gz XBTFRDYRCCVLQU-LBPRGKRZSA-N -1 1 323.441 1.521 20 0 DDADMM CC[C@@H](OC)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C(C)C ZINC001388899897 896144827 /nfs/dbraw/zinc/14/48/27/896144827.db2.gz INSMUOXIDKRJIP-DGCLKSJQSA-N -1 1 323.393 1.083 20 0 DDADMM CCCCS(=O)(=O)[N-]c1ccccc1-c1nnn(CC)n1 ZINC001253879086 896150996 /nfs/dbraw/zinc/15/09/96/896150996.db2.gz JTNBVXAOXXLIJF-UHFFFAOYSA-N -1 1 309.395 1.902 20 0 DDADMM CN(CCN(C)C(=O)C1CCCCC1)C(=O)c1ncccc1[O-] ZINC001388960953 896251020 /nfs/dbraw/zinc/25/10/20/896251020.db2.gz XIWBTPVAQJOIKX-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM C[C@H](C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)C(C)(C)C ZINC001367325730 896446321 /nfs/dbraw/zinc/44/63/21/896446321.db2.gz WNINSZKAOJSQGF-LLVKDONJSA-N -1 1 323.441 1.711 20 0 DDADMM CC1(C)CC[C@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367330921 896457689 /nfs/dbraw/zinc/45/76/89/896457689.db2.gz ZWJXYXDZNAFCRO-NSHDSACASA-N -1 1 321.425 1.465 20 0 DDADMM Cc1cc(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])c(C)o1 ZINC001389135546 896514363 /nfs/dbraw/zinc/51/43/63/896514363.db2.gz BJROWFDYTYCIGM-SECBINFHSA-N -1 1 317.345 1.545 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2nncn2C(C)C)c1 ZINC001292948261 914112023 /nfs/dbraw/zinc/11/20/23/914112023.db2.gz JNLBVZPAPXJPGB-UHFFFAOYSA-N -1 1 302.334 1.616 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2cc(O)cc(C(N)=O)c2)c1 ZINC001256958730 897645090 /nfs/dbraw/zinc/64/50/90/897645090.db2.gz REUMTZUVSSLOIY-UHFFFAOYSA-N -1 1 306.343 1.600 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1cn[nH]c(=O)c1Cl ZINC001258531432 898239646 /nfs/dbraw/zinc/23/96/46/898239646.db2.gz RDZVZDUJQZTFOR-UHFFFAOYSA-N -1 1 304.715 1.241 20 0 DDADMM O=S(=O)([N-]C1(c2ncccn2)CC1)c1cc(F)ccc1F ZINC001258950723 898377362 /nfs/dbraw/zinc/37/73/62/898377362.db2.gz SJBROAOOGRKPCG-UHFFFAOYSA-N -1 1 311.313 1.722 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCCN1 ZINC001259044866 898440591 /nfs/dbraw/zinc/44/05/91/898440591.db2.gz FIJBIVPPLOTZEV-SSDOTTSWSA-N -1 1 322.308 1.051 20 0 DDADMM O=S(=O)([N-]CCn1cccn1)c1ccc(F)c(F)c1F ZINC001259080596 898455746 /nfs/dbraw/zinc/45/57/46/898455746.db2.gz PVESIIIFNUKFTE-UHFFFAOYSA-N -1 1 305.281 1.279 20 0 DDADMM COC(=O)c1nn(C)cc1[N-]S(=O)(=O)C1CCCCC1 ZINC001259809377 898819896 /nfs/dbraw/zinc/81/98/96/898819896.db2.gz KKUZLPTWMZJJRQ-UHFFFAOYSA-N -1 1 301.368 1.281 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3ccc4c(c3)OCO4)CC2)n1 ZINC001259854429 898869341 /nfs/dbraw/zinc/86/93/41/898869341.db2.gz RMWQUFTWLPCWMM-UHFFFAOYSA-N -1 1 323.330 1.074 20 0 DDADMM COC(=O)c1cncc([N-]S(=O)(=O)CCC(F)(F)F)c1 ZINC001259866439 898878860 /nfs/dbraw/zinc/87/88/60/898878860.db2.gz YRKHQQSLGIANBR-UHFFFAOYSA-N -1 1 312.269 1.562 20 0 DDADMM Cc1[nH]c(=O)c(C[N-]S(=O)(=O)C(F)F)c2c1CCCC2 ZINC001259962252 898984482 /nfs/dbraw/zinc/98/44/82/898984482.db2.gz FGWNRCQDCGFJND-UHFFFAOYSA-N -1 1 306.334 1.616 20 0 DDADMM O=S(=O)([N-]Cc1cncn1Cc1ccccc1F)C(F)F ZINC001259963958 898987248 /nfs/dbraw/zinc/98/72/48/898987248.db2.gz UZCQQAHQYALBMT-UHFFFAOYSA-N -1 1 319.308 1.713 20 0 DDADMM O=S(=O)([N-]Cc1cnccn1)c1cc(Cl)c(F)cc1F ZINC001260206074 899088071 /nfs/dbraw/zinc/08/80/71/899088071.db2.gz ZEHPLVMUJWBNTC-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)C[C@@H](O)C1 ZINC001260206642 899089246 /nfs/dbraw/zinc/08/92/46/899089246.db2.gz WORFESWRYDPJOF-MHWOZMEASA-N -1 1 311.737 1.810 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2ncccc2CCO)cc1 ZINC001260222729 899097679 /nfs/dbraw/zinc/09/76/79/899097679.db2.gz CARAQKBESCSEBR-UHFFFAOYSA-N -1 1 322.342 1.115 20 0 DDADMM O=S(=O)([N-]Cc1ncccn1)c1cc(F)c(F)cc1Cl ZINC001260277787 899109447 /nfs/dbraw/zinc/10/94/47/899109447.db2.gz YVOFOFHWJPWSBV-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CC1)c1cc(F)c(F)cc1Cl ZINC001260289847 899112446 /nfs/dbraw/zinc/11/24/46/899112446.db2.gz LZBZIMSXXXUBLR-SNVBAGLBSA-N -1 1 311.737 1.667 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2cc(O)cc(C(N)=O)c2)ccc1F ZINC001260761193 899255885 /nfs/dbraw/zinc/25/58/85/899255885.db2.gz XPLCNRRQMVLFHD-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc(OC)c(F)c1 ZINC001261741246 899746924 /nfs/dbraw/zinc/74/69/24/899746924.db2.gz HYJAUKSDDSVVLI-UHFFFAOYSA-N -1 1 313.325 1.766 20 0 DDADMM CC1(C)CC[C@@H](CO)N(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC001262639489 900282989 /nfs/dbraw/zinc/28/29/89/900282989.db2.gz DDQDCTRIDNXIIB-NSHDSACASA-N -1 1 320.418 1.641 20 0 DDADMM CSCC(=O)NC[C@](C)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001390829968 900299923 /nfs/dbraw/zinc/29/99/23/900299923.db2.gz UFMBLCQLCIWPMZ-HNNXBMFYSA-N -1 1 323.418 1.165 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C[C@H]1CC=CCC1 ZINC001263451956 900612033 /nfs/dbraw/zinc/61/20/33/900612033.db2.gz QFAVQZNBMHSIBX-LBPRGKRZSA-N -1 1 316.361 1.558 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)c1cccs1 ZINC001369692640 901783298 /nfs/dbraw/zinc/78/32/98/901783298.db2.gz VTJSEEWSYOJNAH-VHSXEESVSA-N -1 1 319.386 1.786 20 0 DDADMM CC(=O)CCCC(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001369788327 901947967 /nfs/dbraw/zinc/94/79/67/901947967.db2.gz PUVCHHZAVWYHRD-LLVKDONJSA-N -1 1 321.377 1.123 20 0 DDADMM CC[C@@H](CNC(=O)C1CC2(CCC2)C1)NCc1n[nH]c(=O)[n-]1 ZINC001391720156 902440460 /nfs/dbraw/zinc/44/04/60/902440460.db2.gz POJSSLCPJMQKPB-NSHDSACASA-N -1 1 307.398 1.075 20 0 DDADMM CCC(=O)N[C@H](C)[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001396877405 914644225 /nfs/dbraw/zinc/64/42/25/914644225.db2.gz MUWYWMCMWFEACO-NEPJUHHUSA-N -1 1 305.378 1.697 20 0 DDADMM CC1(C)CCC[C@H]1C(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370212120 902741874 /nfs/dbraw/zinc/74/18/74/902741874.db2.gz KSRADVOZYOXBCU-RYUDHWBXSA-N -1 1 321.425 1.321 20 0 DDADMM CC(C)CC(=O)N[C@H]1CC[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001371223265 904516631 /nfs/dbraw/zinc/51/66/31/904516631.db2.gz XYZPSNMHVMWPCS-STQMWFEESA-N -1 1 319.405 1.943 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCCF ZINC001371245986 904561890 /nfs/dbraw/zinc/56/18/90/904561890.db2.gz YQMITOSJVBZMCA-VXGBXAGGSA-N -1 1 323.368 1.646 20 0 DDADMM CCC(=CC(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)CC ZINC001281859318 905269483 /nfs/dbraw/zinc/26/94/83/905269483.db2.gz FIWLWIWXOYERER-ZDUSSCGKSA-N -1 1 321.425 1.727 20 0 DDADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C(C)=C1CCC1 ZINC001282638509 905913605 /nfs/dbraw/zinc/91/36/05/905913605.db2.gz IVGUCEQKFPLRDY-ZDUSSCGKSA-N -1 1 321.425 1.775 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001283232262 906951173 /nfs/dbraw/zinc/95/11/73/906951173.db2.gz XAKIIKYVMKOAJB-VXGBXAGGSA-N -1 1 305.378 1.460 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1CC2(CCC2)C1 ZINC001393962575 908392458 /nfs/dbraw/zinc/39/24/58/908392458.db2.gz CIIQYABFJWXULA-ZJUUUORDSA-N -1 1 307.398 1.073 20 0 DDADMM CCC(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CCCC1 ZINC001394177042 909018978 /nfs/dbraw/zinc/01/89/78/909018978.db2.gz AHJRCDQXEBHKLU-LBPRGKRZSA-N -1 1 305.378 1.602 20 0 DDADMM COCCC[C@@H](C)C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001284589237 909221996 /nfs/dbraw/zinc/22/19/96/909221996.db2.gz LFOWZNJMTYJGCJ-GFCCVEGCSA-N -1 1 323.393 1.038 20 0 DDADMM C[C@H](CCNC(=O)CCC(F)F)NC(=O)c1ncccc1[O-] ZINC001373314157 909489002 /nfs/dbraw/zinc/48/90/02/909489002.db2.gz PHVGRFREVRFWCF-SECBINFHSA-N -1 1 315.320 1.457 20 0 DDADMM C[C@]1(CNC(=O)C(F)F)CCN(C(=O)c2ncccc2[O-])C1 ZINC001394392667 909521880 /nfs/dbraw/zinc/52/18/80/909521880.db2.gz GTRBFOPYRSWSCA-CQSZACIVSA-N -1 1 313.304 1.021 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CCCC12CC2)NCc1n[nH]c(=O)[n-]1 ZINC001394493941 909809738 /nfs/dbraw/zinc/80/97/38/909809738.db2.gz GKHNAADFGNAWJJ-QWRGUYRKSA-N -1 1 307.398 1.027 20 0 DDADMM CCC[C@@H](NC(=O)c1oc2ccccc2c1CO)c1nn[n-]n1 ZINC001294422717 915129094 /nfs/dbraw/zinc/12/90/94/915129094.db2.gz SXYWFMZYGNCOON-LLVKDONJSA-N -1 1 315.333 1.709 20 0 DDADMM C[C@@H](NC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-])C(N)=O ZINC001296203657 916275366 /nfs/dbraw/zinc/27/53/66/916275366.db2.gz WNLPLCCNNBYGNM-MRVPVSSYSA-N -1 1 302.286 1.068 20 0 DDADMM CC(C)C(C)(C)C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001376995235 920024398 /nfs/dbraw/zinc/02/43/98/920024398.db2.gz SUBQDHYDKGXQDM-LLVKDONJSA-N -1 1 307.394 1.704 20 0 DDADMM C[C@@H](CNC(=O)C12CCC(CC1)C2)NC(=O)c1ncccc1[O-] ZINC001377547843 922299974 /nfs/dbraw/zinc/29/99/74/922299974.db2.gz ZSFUAICVSHCZJI-DLGFLZQMSA-N -1 1 317.389 1.602 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCC(C)(C)C3)nc2n1 ZINC000622870888 365550594 /nfs/dbraw/zinc/55/05/94/365550594.db2.gz KJRLVBJNOGTDDW-SNVBAGLBSA-N -1 1 303.366 1.881 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)OCCC(C)C)nc2n1 ZINC000622995160 365588367 /nfs/dbraw/zinc/58/83/67/365588367.db2.gz QTTZPINZSREVTA-JTQLQIEISA-N -1 1 321.381 1.370 20 0 DDADMM CN(C)[C@@H]1CCOc2c(NC(=O)c3cc(=O)n(C)[n-]3)cccc21 ZINC000614205480 361751202 /nfs/dbraw/zinc/75/12/02/361751202.db2.gz UQMSEGKILZRJQP-CYBMUJFWSA-N -1 1 316.361 1.763 20 0 DDADMM CC1(C)CCC[C@](O)(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)C1 ZINC000614392683 361835480 /nfs/dbraw/zinc/83/54/80/361835480.db2.gz ZUCTWIXIVZMRCX-MEDUHNTESA-N -1 1 323.393 1.813 20 0 DDADMM O=S(=O)([N-][C@H](CO)[C@@H]1CCCO1)c1sccc1Cl ZINC000451476109 231106953 /nfs/dbraw/zinc/10/69/53/231106953.db2.gz DXWGROJBXXNTGX-BDAKNGLRSA-N -1 1 311.812 1.220 20 0 DDADMM NC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000452071067 231291082 /nfs/dbraw/zinc/29/10/82/231291082.db2.gz QIMJTNAEGHJXRC-RQJHMYQMSA-N -1 1 322.308 1.036 20 0 DDADMM CN(C[C@H](O)C1CC1)C(=O)c1ccc(Br)cc1[O-] ZINC000089880305 539173321 /nfs/dbraw/zinc/17/33/21/539173321.db2.gz ULDMUEZOLCWJSX-LBPRGKRZSA-N -1 1 314.179 1.998 20 0 DDADMM CN(C[C@@H](O)C1CC1)C(=O)c1cc(Br)ccc1[O-] ZINC000089880398 539173399 /nfs/dbraw/zinc/17/33/99/539173399.db2.gz FIMZBHGRFRMOEZ-GFCCVEGCSA-N -1 1 314.179 1.998 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cccc2c1CCNC2=O)OC ZINC000421168776 529525129 /nfs/dbraw/zinc/52/51/29/529525129.db2.gz YVSSFXUYBSRCAM-JTQLQIEISA-N -1 1 312.391 1.139 20 0 DDADMM Cn1c(CNC(=O)c2cc(F)ccc2[O-])nnc1C1CCC1 ZINC000159038952 539217809 /nfs/dbraw/zinc/21/78/09/539217809.db2.gz VWAZGDSEZXRHSI-UHFFFAOYSA-N -1 1 304.325 1.857 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H](c2ccccc2)[C@](F)(CO)C1 ZINC000452499284 231388169 /nfs/dbraw/zinc/38/81/69/231388169.db2.gz LBWZYYJNFJRLFD-CXAGYDPISA-N -1 1 316.332 1.727 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@@H](c2ccccc2)[C@@](F)(CO)C1 ZINC000452509189 231388937 /nfs/dbraw/zinc/38/89/37/231388937.db2.gz WGNMXXYOUXEVOC-RDJZCZTQSA-N -1 1 316.332 1.727 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnc(C(C)(C)C)s1)c1nn[n-]n1 ZINC000183420480 539241875 /nfs/dbraw/zinc/24/18/75/539241875.db2.gz MOWUZIIXFXITGU-QMMMGPOBSA-N -1 1 308.411 1.829 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCOC[C@@H]2CC(=O)[O-])c1 ZINC000262200412 539275507 /nfs/dbraw/zinc/27/55/07/539275507.db2.gz HJTNQLOHRSGEHJ-NSHDSACASA-N -1 1 303.318 1.187 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cccc(S(=O)(=O)C(C)C)c1 ZINC000615219559 362200827 /nfs/dbraw/zinc/20/08/27/362200827.db2.gz AHPMZAUEZKSMJM-UHFFFAOYSA-N -1 1 323.374 1.858 20 0 DDADMM COCCOc1cc(OC)ccc1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000615219533 362201308 /nfs/dbraw/zinc/20/13/08/362201308.db2.gz ACNSDNZKRDEWAW-UHFFFAOYSA-N -1 1 321.333 1.710 20 0 DDADMM CCc1nc([C@H](C)NC(=O)NCC(CC)(CC)C(=O)[O-])n[nH]1 ZINC000424401798 529746185 /nfs/dbraw/zinc/74/61/85/529746185.db2.gz BLMQQQJEMHADLN-VIFPVBQESA-N -1 1 311.386 1.618 20 0 DDADMM CCc1ncc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)cn1 ZINC000446995527 529758966 /nfs/dbraw/zinc/75/89/66/529758966.db2.gz YSDAUPQXMNMXCZ-UHFFFAOYSA-N -1 1 307.331 1.538 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1cncc([O-])c1)c1ccncc1 ZINC000615932657 362493016 /nfs/dbraw/zinc/49/30/16/362493016.db2.gz QTKPUQXFOXXJGV-CYBMUJFWSA-N -1 1 301.302 1.216 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@]2(C)CCCC[C@H]2C)co1 ZINC000457260197 530026144 /nfs/dbraw/zinc/02/61/44/530026144.db2.gz NIUPQDTVXVNKJD-YGRLFVJLSA-N -1 1 314.407 1.886 20 0 DDADMM COC1(C(=O)N=c2[nH][n-]c(C)c2Br)CCOCC1 ZINC000616003904 362517084 /nfs/dbraw/zinc/51/70/84/362517084.db2.gz RXRQKUGXJCMMCL-UHFFFAOYSA-N -1 1 318.171 1.037 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1c(C)cc(C)cc1C ZINC000330898939 232147381 /nfs/dbraw/zinc/14/73/81/232147381.db2.gz VGITXRMSGNLHOV-UHFFFAOYSA-N -1 1 309.391 1.799 20 0 DDADMM C[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)[C@H]1CCCCO1 ZINC000358334967 299124556 /nfs/dbraw/zinc/12/45/56/299124556.db2.gz IEIJLNBLYYTMEZ-JOYOIKCWSA-N -1 1 304.350 1.361 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CCn3cncc3C2)cc(Cl)c1[O-] ZINC000623309477 365807470 /nfs/dbraw/zinc/80/74/70/365807470.db2.gz YWUKLMOEPWBCMK-SNVBAGLBSA-N -1 1 321.764 1.996 20 0 DDADMM CON1CCC(NC(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000616387929 362671508 /nfs/dbraw/zinc/67/15/08/362671508.db2.gz RIFXCMWRUMWLPS-UHFFFAOYSA-N -1 1 301.346 1.696 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2s[n-]c(=O)c2Cl)C1 ZINC000616408485 362681322 /nfs/dbraw/zinc/68/13/22/362681322.db2.gz ZYXAEMOTGLQLNY-MRVPVSSYSA-N -1 1 319.814 1.343 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@H](Cc2ccc(F)cc2)C1 ZINC000631395525 422738146 /nfs/dbraw/zinc/73/81/46/422738146.db2.gz RGYSKCZZBIAHBV-GFCCVEGCSA-N -1 1 303.341 1.363 20 0 DDADMM Cc1ncc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)s1 ZINC000623479841 365889776 /nfs/dbraw/zinc/88/97/76/365889776.db2.gz WBRPKYHXGVRIDA-LLVKDONJSA-N -1 1 300.347 1.484 20 0 DDADMM Cc1cc([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)ccc1F ZINC000353844394 290855032 /nfs/dbraw/zinc/85/50/32/290855032.db2.gz WTRNKJXPNDWEPE-VIFPVBQESA-N -1 1 315.308 1.356 20 0 DDADMM CC(C)OC(=O)[C@H](C)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287014682 219270123 /nfs/dbraw/zinc/27/01/23/219270123.db2.gz BWHLILYAXBVIDE-IFYDOICBSA-N -1 1 319.365 1.051 20 0 DDADMM C[C@@H](NC(=O)c1c(F)ccc([O-])c1F)C1(S(C)(=O)=O)CC1 ZINC000342698923 282614514 /nfs/dbraw/zinc/61/45/14/282614514.db2.gz NOUNYKHJWOPBMY-SSDOTTSWSA-N -1 1 319.329 1.366 20 0 DDADMM O=C(C=Cc1cc(Br)cs1)Nc1nnn[n-]1 ZINC000080353893 192152058 /nfs/dbraw/zinc/15/20/58/192152058.db2.gz JJPIKGHCFSSMFA-OWOJBTEDSA-N -1 1 300.141 1.676 20 0 DDADMM O=C(C=Cc1cc(Br)cs1)Nc1nn[n-]n1 ZINC000080353893 192152060 /nfs/dbraw/zinc/15/20/60/192152060.db2.gz JJPIKGHCFSSMFA-OWOJBTEDSA-N -1 1 300.141 1.676 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H](C)c2ccccn2)o1 ZINC000617081483 362945455 /nfs/dbraw/zinc/94/54/55/362945455.db2.gz YXJZZFZLAAXOFI-SNVBAGLBSA-N -1 1 323.374 1.116 20 0 DDADMM CCCC[C@@H](COC)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000152557375 186085088 /nfs/dbraw/zinc/08/50/88/186085088.db2.gz GKXULXZZZYQZPS-NSHDSACASA-N -1 1 318.395 1.123 20 0 DDADMM CCO[C@H]1C[C@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000189980519 186258394 /nfs/dbraw/zinc/25/83/94/186258394.db2.gz FYVBHOMXOOAMLC-SVRRBLITSA-N -1 1 301.140 1.913 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CCS(=O)(=O)C1 ZINC000191846974 186262965 /nfs/dbraw/zinc/26/29/65/186262965.db2.gz HENRZCMRVRUKIK-SECBINFHSA-N -1 1 317.794 1.552 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@]12C[C@H]1CCC2 ZINC000617205424 362994801 /nfs/dbraw/zinc/99/48/01/362994801.db2.gz YJOGKTAXQMJNIB-FZKQIMNGSA-N -1 1 324.384 1.742 20 0 DDADMM Cc1nc(NC(=O)c2ccccc2[O-])sc1SCC(N)=O ZINC000031797907 352271569 /nfs/dbraw/zinc/27/15/69/352271569.db2.gz HZSTTYWIYSJCRZ-UHFFFAOYSA-N -1 1 323.399 1.987 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCOc2ccc(C)cc2)n1 ZINC000043096669 352360636 /nfs/dbraw/zinc/36/06/36/352360636.db2.gz KNHQKKBETPAXOZ-UHFFFAOYSA-N -1 1 317.349 1.499 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]Cc1cn2cc(C)ccc2n1 ZINC000055296158 352727314 /nfs/dbraw/zinc/72/73/14/352727314.db2.gz BBTUTBAVJNEDJE-UHFFFAOYSA-N -1 1 320.374 1.726 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@H](C)Cc2ccc(O)cc2)n1 ZINC000056770160 352783790 /nfs/dbraw/zinc/78/37/90/352783790.db2.gz UFQZYUHEHYTJPL-SNVBAGLBSA-N -1 1 317.349 1.448 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N([C@@H](C)C(C)C)C2CC2)o1 ZINC000069465272 353161749 /nfs/dbraw/zinc/16/17/49/353161749.db2.gz VNGZQRIHMGXHRD-JTQLQIEISA-N -1 1 314.407 1.837 20 0 DDADMM Cn1cc(C(C)(C)NC(=O)c2nc3ccccc3c(=O)[n-]2)cn1 ZINC000075492190 353364972 /nfs/dbraw/zinc/36/49/72/353364972.db2.gz QEAZODFBAVIRAK-UHFFFAOYSA-N -1 1 311.345 1.322 20 0 DDADMM CCCOC(=O)CCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081688109 353688346 /nfs/dbraw/zinc/68/83/46/353688346.db2.gz LCJRCBCCVCOEGZ-UHFFFAOYSA-N -1 1 323.393 1.449 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC(C)(C)C[C@H](C)O ZINC000087423624 353750840 /nfs/dbraw/zinc/75/08/40/353750840.db2.gz ZMDQEBVJPAJFMK-JTQLQIEISA-N -1 1 323.437 1.903 20 0 DDADMM Cc1nc(-c2ccc(NCCc3cnn(C)c3)nc2)[n-]c(=O)c1C ZINC000091453930 353826395 /nfs/dbraw/zinc/82/63/95/353826395.db2.gz IMIFMCFDDIFMRN-UHFFFAOYSA-N -1 1 324.388 1.837 20 0 DDADMM O=C(/C=C/C1CC1)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000092625181 353864530 /nfs/dbraw/zinc/86/45/30/353864530.db2.gz MUWVABHXYKSDHP-FPYGCLRLSA-N -1 1 322.386 1.738 20 0 DDADMM COc1ccc(C(=O)Nc2nnc3n(C)[nH]c(C)c2-3)c([O-])c1 ZINC000094150208 353911262 /nfs/dbraw/zinc/91/12/62/353911262.db2.gz ZNNNIGKDRZJJSL-UHFFFAOYSA-N -1 1 301.306 1.571 20 0 DDADMM Cn1cncc1CCNC(=O)c1ccc(Br)c([O-])c1 ZINC000121466468 354018897 /nfs/dbraw/zinc/01/88/97/354018897.db2.gz ZGVXJZMOYBPTTR-UHFFFAOYSA-N -1 1 324.178 1.861 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1CC(C)(C)C1 ZINC000395299871 354650850 /nfs/dbraw/zinc/65/08/50/354650850.db2.gz QGTNLPDIHJCSCU-UHFFFAOYSA-N -1 1 301.368 1.053 20 0 DDADMM CCOC(=O)c1coc(=NC[C@H](C(C)C)N2CCOCC2)[n-]1 ZINC000346233142 283035798 /nfs/dbraw/zinc/03/57/98/283035798.db2.gz HBCGZIHJVBHSRH-CYBMUJFWSA-N -1 1 311.382 1.042 20 0 DDADMM O=C(COc1cccnc1)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000588764242 354934398 /nfs/dbraw/zinc/93/43/98/354934398.db2.gz WEGYCMURDGZQBX-UHFFFAOYSA-N -1 1 300.343 1.973 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CC(C)(C)CC(=O)OC)[n-]1 ZINC000590355584 355075612 /nfs/dbraw/zinc/07/56/12/355075612.db2.gz WHYMDWMSPGQSHQ-UHFFFAOYSA-N -1 1 311.338 1.504 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CC(C)(C)CC(=O)OC)n1 ZINC000590355584 355075614 /nfs/dbraw/zinc/07/56/14/355075614.db2.gz WHYMDWMSPGQSHQ-UHFFFAOYSA-N -1 1 311.338 1.504 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCCc2ccccn2)[n-]1 ZINC000590356817 355076588 /nfs/dbraw/zinc/07/65/88/355076588.db2.gz QURHJSCHNWMELQ-UHFFFAOYSA-N -1 1 302.334 1.943 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCCc2ccccn2)n1 ZINC000590356817 355076590 /nfs/dbraw/zinc/07/65/90/355076590.db2.gz QURHJSCHNWMELQ-UHFFFAOYSA-N -1 1 302.334 1.943 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCCOC2)o1 ZINC000358851551 291055402 /nfs/dbraw/zinc/05/54/02/291055402.db2.gz UUOCEAYLTRJVGO-JTQLQIEISA-N -1 1 317.363 1.161 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2c(O)cccc2F)CC1 ZINC000591258514 355272160 /nfs/dbraw/zinc/27/21/60/355272160.db2.gz HJMXIOKRBUMMBS-UHFFFAOYSA-N -1 1 317.338 1.759 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn3c2C[C@@H](C)CC3)n1 ZINC000592630642 355648639 /nfs/dbraw/zinc/64/86/39/355648639.db2.gz QKQHSKGYUWOBLU-VIFPVBQESA-N -1 1 317.349 1.618 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCCSC)o1 ZINC000346578342 283130713 /nfs/dbraw/zinc/13/07/13/283130713.db2.gz HMLQGWHRQFJKQP-UHFFFAOYSA-N -1 1 307.393 1.488 20 0 DDADMM CCC[C@@H](C)S(=O)(=O)[N-][C@@]1(C(=O)OC(C)(C)C)CCOC1 ZINC000594843391 356334607 /nfs/dbraw/zinc/33/46/07/356334607.db2.gz SUGZAUFPDHRPAE-RISCZKNCSA-N -1 1 321.439 1.595 20 0 DDADMM COC(=O)[C@@](C)(CCF)[N-]S(=O)(=O)Cc1ccccc1F ZINC000594864406 356343329 /nfs/dbraw/zinc/34/33/29/356343329.db2.gz FSQNLBILTSAAFY-CYBMUJFWSA-N -1 1 321.345 1.536 20 0 DDADMM CSC[C@H](CCO)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601331750 358502322 /nfs/dbraw/zinc/50/23/22/358502322.db2.gz GKHUGQHXQNGUTD-JTQLQIEISA-N -1 1 303.811 1.818 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2cc(C)c(C(=O)OC)o2)COC1 ZINC000595334066 356453325 /nfs/dbraw/zinc/45/33/25/356453325.db2.gz VPMZMCIVGCAUJJ-UHFFFAOYSA-N -1 1 317.363 1.080 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C2CC3(CCC3)C2)cc1C ZINC000595342716 356455996 /nfs/dbraw/zinc/45/59/96/356455996.db2.gz PXLABOJNSQTPPM-UHFFFAOYSA-N -1 1 313.375 1.986 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)C1CC1 ZINC000595321445 356448535 /nfs/dbraw/zinc/44/85/35/356448535.db2.gz JIHYLKDBASQZBG-SNVBAGLBSA-N -1 1 317.363 1.078 20 0 DDADMM O=S(=O)([N-]CCSCCCO)c1ccc(C(F)F)o1 ZINC000601353536 358512697 /nfs/dbraw/zinc/51/26/97/358512697.db2.gz PKECPLNGCAVQCR-UHFFFAOYSA-N -1 1 315.363 1.611 20 0 DDADMM COC(=O)[C@]1(C)CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000597378324 357155583 /nfs/dbraw/zinc/15/55/83/357155583.db2.gz QTXXPSJTYTUTCW-QGZVFWFLSA-N -1 1 314.341 1.966 20 0 DDADMM CCOC(=O)[C@@]1(C)CCC[C@@H]1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000598471585 357596581 /nfs/dbraw/zinc/59/65/81/357596581.db2.gz IBCLCUORMLSRAE-GUYCJALGSA-N -1 1 319.357 1.813 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)[C@@H](OC)c1cccc(OC)c1 ZINC000598846239 357743827 /nfs/dbraw/zinc/74/38/27/357743827.db2.gz IHWVGYHNWCHSNJ-LBPRGKRZSA-N -1 1 319.317 1.531 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CSCCC(C)C)nc2n1 ZINC000598892459 357751930 /nfs/dbraw/zinc/75/19/30/357751930.db2.gz FOCFDQNIYIPQFK-UHFFFAOYSA-N -1 1 323.422 1.698 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2ccc([O-])c(F)c2)CS1(=O)=O ZINC000618325903 363588621 /nfs/dbraw/zinc/58/86/21/363588621.db2.gz OKBHJYRROYXJAW-WCBMZHEXSA-N -1 1 301.339 1.227 20 0 DDADMM C[C@@H]1CCc2[nH]c3ccc(C(=O)NCc4nn[n-]n4)cc3c2C1 ZINC000600496212 358240977 /nfs/dbraw/zinc/24/09/77/358240977.db2.gz AEFFNRAQRPQNOF-SECBINFHSA-N -1 1 310.361 1.736 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc2c(cccc2OC(F)F)[nH]1 ZINC000600505199 358242560 /nfs/dbraw/zinc/24/25/60/358242560.db2.gz MWCSZPFBBLNANS-UHFFFAOYSA-N -1 1 308.248 1.212 20 0 DDADMM C[C@H](Oc1ccccc1C(F)(F)F)C(=O)NCc1nn[n-]n1 ZINC000600505354 358242968 /nfs/dbraw/zinc/24/29/68/358242968.db2.gz PGNJPKGTSFEDCM-ZETCQYMHSA-N -1 1 315.255 1.302 20 0 DDADMM Cc1cccc(C)c1OC[C@@H](O)CNC(=O)c1cncc([O-])c1 ZINC000600968803 358362585 /nfs/dbraw/zinc/36/25/85/358362585.db2.gz GKVATUAOOQEFFP-HNNXBMFYSA-N -1 1 316.357 1.574 20 0 DDADMM CCCCCCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000181029142 199242911 /nfs/dbraw/zinc/24/29/11/199242911.db2.gz QWAIACUQEGZFPF-UHFFFAOYSA-N -1 1 300.380 1.949 20 0 DDADMM CCOC(=O)c1ncc(CN2CC[C@@H](C)[C@@H](C(=O)[O-])C2)s1 ZINC000602020116 358779545 /nfs/dbraw/zinc/77/95/45/358779545.db2.gz IFIVXEYTJOPUAD-KOLCDFICSA-N -1 1 312.391 1.862 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cnn(-c2ccccn2)c1)OC ZINC000565651013 304082169 /nfs/dbraw/zinc/08/21/69/304082169.db2.gz XGUIKWSEHDGGNO-LBPRGKRZSA-N -1 1 310.379 1.434 20 0 DDADMM C[C@@H](CCNC(=O)N=c1[n-]sc2ccccc21)[S@](C)=O ZINC000603050513 359377160 /nfs/dbraw/zinc/37/71/60/359377160.db2.gz IXBQNGVMJCIPLF-LXGOIASLSA-N -1 1 311.432 1.997 20 0 DDADMM COc1cccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000618487960 363649200 /nfs/dbraw/zinc/64/92/00/363649200.db2.gz IQCPRFZWPPVORV-CQSZACIVSA-N -1 1 309.329 1.728 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccc2c(c1)OCO2 ZINC000618488629 363650086 /nfs/dbraw/zinc/65/00/86/363650086.db2.gz YUBSALQKTRVBPO-CQSZACIVSA-N -1 1 323.312 1.448 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2C[C@@]2(C)C(C)C)n[n-]1 ZINC000603152679 359439531 /nfs/dbraw/zinc/43/95/31/359439531.db2.gz DIPJWPPFGDTPIX-WMFXKJRFSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2C[C@@]2(C)C(C)C)[n-]1 ZINC000603152679 359439533 /nfs/dbraw/zinc/43/95/33/359439533.db2.gz DIPJWPPFGDTPIX-WMFXKJRFSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2C[C@@]2(C)C(C)C)n1 ZINC000603152679 359439535 /nfs/dbraw/zinc/43/95/35/359439535.db2.gz DIPJWPPFGDTPIX-WMFXKJRFSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC(C)(C)C2CC2)n[n-]1 ZINC000603156680 359442540 /nfs/dbraw/zinc/44/25/40/359442540.db2.gz SJMNXVMVQYLUIE-VIFPVBQESA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC(C)(C)C2CC2)[n-]1 ZINC000603156680 359442546 /nfs/dbraw/zinc/44/25/46/359442546.db2.gz SJMNXVMVQYLUIE-VIFPVBQESA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC(C)(C)C2CC2)n1 ZINC000603156680 359442553 /nfs/dbraw/zinc/44/25/53/359442553.db2.gz SJMNXVMVQYLUIE-VIFPVBQESA-N -1 1 308.382 1.985 20 0 DDADMM CCCSCC(=O)N[C@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC000603167093 359450482 /nfs/dbraw/zinc/45/04/82/359450482.db2.gz UIQROLHWRJBUNH-MRVPVSSYSA-N -1 1 300.384 1.302 20 0 DDADMM CCCSCC(=O)N[C@H](C)c1nnc(C(=O)OCC)[n-]1 ZINC000603167093 359450488 /nfs/dbraw/zinc/45/04/88/359450488.db2.gz UIQROLHWRJBUNH-MRVPVSSYSA-N -1 1 300.384 1.302 20 0 DDADMM CCCSCC(=O)N[C@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC000603167093 359450490 /nfs/dbraw/zinc/45/04/90/359450490.db2.gz UIQROLHWRJBUNH-MRVPVSSYSA-N -1 1 300.384 1.302 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@@H](O)C1)c1cc(F)c(F)cc1F ZINC000188329148 200228653 /nfs/dbraw/zinc/22/86/53/200228653.db2.gz TVKBXIVXKGAQAO-JGVFFNPUSA-N -1 1 309.309 1.543 20 0 DDADMM Cc1cc(C)n([C@@H](C)CC(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000605530736 359867821 /nfs/dbraw/zinc/86/78/21/359867821.db2.gz PWULHLXZHZOLJM-LBPRGKRZSA-N -1 1 317.397 1.550 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1Cc2ccccc2O1 ZINC000608355998 360164761 /nfs/dbraw/zinc/16/47/61/360164761.db2.gz HEPHHLLPCQFCFD-LSDHHAIUSA-N -1 1 321.340 1.409 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2ccc(C(=O)OC)o2)on1 ZINC000610161873 360388542 /nfs/dbraw/zinc/38/85/42/360388542.db2.gz OYAVGCDGYXKIMT-UHFFFAOYSA-N -1 1 314.319 1.095 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCCF)c1ccccc1F ZINC000610702063 360509828 /nfs/dbraw/zinc/50/98/28/360509828.db2.gz CSQLFZSBLRCUQG-LLVKDONJSA-N -1 1 307.318 1.319 20 0 DDADMM NC(=O)C[C@@H]1CCCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000611813071 360832345 /nfs/dbraw/zinc/83/23/45/360832345.db2.gz MDKCCWCUAFSMBB-NSHDSACASA-N -1 1 313.357 1.668 20 0 DDADMM O=C(NC[C@]1(CCO)CCOC1)c1ncc2ccccc2c1[O-] ZINC000612452681 361022794 /nfs/dbraw/zinc/02/27/94/361022794.db2.gz CWQIJRKQWLXBQQ-KRWDZBQOSA-N -1 1 316.357 1.459 20 0 DDADMM O=C(NC[C@@H]1CCCS1(=O)=O)c1ncc2ccccc2c1[O-] ZINC000612521669 361050648 /nfs/dbraw/zinc/05/06/48/361050648.db2.gz BUAKELRVNRIQQC-NSHDSACASA-N -1 1 320.370 1.247 20 0 DDADMM CCS(=O)(=O)N[C@@H](C)C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000612760405 361137773 /nfs/dbraw/zinc/13/77/73/361137773.db2.gz VLHLTCSJNCFPRR-ZETCQYMHSA-N -1 1 306.771 1.312 20 0 DDADMM Cc1cc(F)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(F)c1 ZINC000612816968 361155930 /nfs/dbraw/zinc/15/59/30/361155930.db2.gz OPRSBBXJNJJTHN-SECBINFHSA-N -1 1 307.304 1.806 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)c2ccc3oc(=O)nc-3[n-]2)n[nH]1 ZINC000613124802 361279818 /nfs/dbraw/zinc/27/98/18/361279818.db2.gz UJYKUKVIWWWAAM-SSDOTTSWSA-N -1 1 316.321 1.661 20 0 DDADMM CCC[C@H]1C(=O)NCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000613153676 361295851 /nfs/dbraw/zinc/29/58/51/361295851.db2.gz CIVKMDUMLAVPRB-ZDUSSCGKSA-N -1 1 313.357 1.681 20 0 DDADMM C[C@@H](CN(C)C(=O)c1c(C2CCC2)cnn1C)c1nn[n-]n1 ZINC000613472698 361433582 /nfs/dbraw/zinc/43/35/82/361433582.db2.gz RKRWYDUURJRCOZ-VIFPVBQESA-N -1 1 303.370 1.076 20 0 DDADMM C[C@H](CN(C)C(=O)c1c(C2CCC2)cnn1C)c1nn[n-]n1 ZINC000613472697 361433873 /nfs/dbraw/zinc/43/38/73/361433873.db2.gz RKRWYDUURJRCOZ-SECBINFHSA-N -1 1 303.370 1.076 20 0 DDADMM CC1=CCN(CCNC(=O)c2ccc3oc(=O)nc-3[n-]2)CC1 ZINC000613521220 361453550 /nfs/dbraw/zinc/45/35/50/361453550.db2.gz BHHFIYBCWHBIOM-UHFFFAOYSA-N -1 1 302.334 1.310 20 0 DDADMM CCc1noc([C@@H](C)S(=O)(=O)c2nnc(C(C)(C)C)[n-]2)n1 ZINC000195171247 201351229 /nfs/dbraw/zinc/35/12/29/201351229.db2.gz LOAYDYVBZXGKSC-SSDOTTSWSA-N -1 1 313.383 1.583 20 0 DDADMM CCc1noc([C@@H](C)S(=O)(=O)c2nc(C(C)(C)C)n[n-]2)n1 ZINC000195171247 201351234 /nfs/dbraw/zinc/35/12/34/201351234.db2.gz LOAYDYVBZXGKSC-SSDOTTSWSA-N -1 1 313.383 1.583 20 0 DDADMM CCc1noc([C@@H](C)S(=O)(=O)c2n[n-]c(C(C)(C)C)n2)n1 ZINC000195171247 201351237 /nfs/dbraw/zinc/35/12/37/201351237.db2.gz LOAYDYVBZXGKSC-SSDOTTSWSA-N -1 1 313.383 1.583 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC[C@@H]2C[C@@H]2C1 ZINC000619356603 363986444 /nfs/dbraw/zinc/98/64/44/363986444.db2.gz PZOMUGQKTYNMBS-CHWSQXEVSA-N -1 1 314.389 1.853 20 0 DDADMM NC(=O)NC(=O)c1cccc(NC(=O)c2ccc([O-])c(F)c2)c1 ZINC000619824405 364161270 /nfs/dbraw/zinc/16/12/70/364161270.db2.gz KTBGWCSCVRTDMK-UHFFFAOYSA-N -1 1 317.276 1.592 20 0 DDADMM CNC(=O)CC1(CNC(=O)c2ncc(C)cc2[O-])CCCCC1 ZINC000620088496 364277584 /nfs/dbraw/zinc/27/75/84/364277584.db2.gz GLQCBZIFOAZTFI-UHFFFAOYSA-N -1 1 319.405 1.912 20 0 DDADMM CC(C)(CNC(=O)C(=O)c1ccc([O-])cc1)[C@]1(O)CCCOC1 ZINC000620107364 364289994 /nfs/dbraw/zinc/28/99/94/364289994.db2.gz LAKLXENOYWHSNN-KRWDZBQOSA-N -1 1 321.373 1.259 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC(F)(F)C(C)(C)C ZINC000620614545 364492489 /nfs/dbraw/zinc/49/24/89/364492489.db2.gz SUDXJPIHXBALDP-UHFFFAOYSA-N -1 1 305.281 1.308 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@@H](CC(F)(F)F)C2)c([O-])c1 ZINC000620776866 364547815 /nfs/dbraw/zinc/54/78/15/364547815.db2.gz BZDFIRBCABQUBZ-VIFPVBQESA-N -1 1 304.268 1.889 20 0 DDADMM C[C@H]1CN(C(=O)c2cncc([O-])c2)C[C@H](CC(F)(F)F)O1 ZINC000620806299 364561332 /nfs/dbraw/zinc/56/13/32/364561332.db2.gz QGUPEOSUYQJEMM-KWQFWETISA-N -1 1 304.268 1.969 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2CCC(C3CC3)CC2)n1 ZINC000621791704 365032057 /nfs/dbraw/zinc/03/20/57/365032057.db2.gz FZPVCAHYYMMKAP-UHFFFAOYSA-N -1 1 312.395 1.363 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C2CCC(C3CC3)CC2)[n-]1 ZINC000621791704 365032060 /nfs/dbraw/zinc/03/20/60/365032060.db2.gz FZPVCAHYYMMKAP-UHFFFAOYSA-N -1 1 312.395 1.363 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1C[C@H](O)C[C@@H]1[C@H]1CCCOC1 ZINC000622079438 365235343 /nfs/dbraw/zinc/23/53/43/365235343.db2.gz XKAUBYGFPLSVIV-OUCADQQQSA-N -1 1 309.337 1.533 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)Cc1ccc(C(F)F)cc1 ZINC000625490966 366962592 /nfs/dbraw/zinc/96/25/92/366962592.db2.gz AEGRPHCLXCKNHP-NSHDSACASA-N -1 1 319.329 1.402 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(=O)C2CCCC2)sc1C ZINC000625548043 367003251 /nfs/dbraw/zinc/00/32/51/367003251.db2.gz ZRHFYFAFRTYNEV-UHFFFAOYSA-N -1 1 302.421 1.798 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C(N)=O)[C@H](C)c2ccccc2)c([O-])c1 ZINC000359239022 299371342 /nfs/dbraw/zinc/37/13/42/299371342.db2.gz FHYCYKFQUAZGFJ-RISCZKNCSA-N -1 1 313.357 1.483 20 0 DDADMM Cc1ccccc1CO[C@@H](C)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000626443473 367548061 /nfs/dbraw/zinc/54/80/61/367548061.db2.gz GNOQGDFAVHCTHK-STQMWFEESA-N -1 1 317.393 1.675 20 0 DDADMM Cc1cc(C)c(CC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c(C)c1 ZINC000359241207 299372404 /nfs/dbraw/zinc/37/24/04/299372404.db2.gz OUVWBAPFFBBWAM-UHFFFAOYSA-N -1 1 322.390 1.315 20 0 DDADMM Cc1cc(C)c(CC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c(C)c1 ZINC000359241207 299372407 /nfs/dbraw/zinc/37/24/07/299372407.db2.gz OUVWBAPFFBBWAM-UHFFFAOYSA-N -1 1 322.390 1.315 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(Cl)cc1Br ZINC000349717457 284028354 /nfs/dbraw/zinc/02/83/54/284028354.db2.gz VYBYQYXPLQGIPQ-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(Cl)cc1Br ZINC000349717457 284028357 /nfs/dbraw/zinc/02/83/57/284028357.db2.gz VYBYQYXPLQGIPQ-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM NC(=O)c1cccc([N-]S(=O)(=O)c2ccc3c(c2)OCO3)c1 ZINC000349788597 284053878 /nfs/dbraw/zinc/05/38/78/284053878.db2.gz YEXOSBDCVHXVJR-UHFFFAOYSA-N -1 1 320.326 1.315 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000275090887 212114844 /nfs/dbraw/zinc/11/48/44/212114844.db2.gz BDZBSAHXDVMIAV-LLVKDONJSA-N -1 1 321.377 1.917 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCSC[C@@H]2CC(=O)[O-])c1 ZINC000262541350 203324959 /nfs/dbraw/zinc/32/49/59/203324959.db2.gz RKZGKIVODOANHP-NSHDSACASA-N -1 1 319.386 1.904 20 0 DDADMM C[C@H](CS(C)(=O)=O)N(C(=O)c1cc(F)ccc1[O-])C1CC1 ZINC000094138907 193346857 /nfs/dbraw/zinc/34/68/57/193346857.db2.gz ILJJXZCSSKHIQI-SECBINFHSA-N -1 1 315.366 1.569 20 0 DDADMM COCCOc1ccccc1CNC(=O)c1cncc([O-])c1 ZINC000264859750 204216439 /nfs/dbraw/zinc/21/64/39/204216439.db2.gz PAGFKHHJSIIXIW-UHFFFAOYSA-N -1 1 302.330 1.742 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CNC(=O)CC(C)(C)C)c1 ZINC000282727090 217206758 /nfs/dbraw/zinc/20/67/58/217206758.db2.gz HSFNVTYUKLRFGE-UHFFFAOYSA-N -1 1 322.361 1.670 20 0 DDADMM COc1ccc(OCCS(=O)(=O)[N-]c2cnc(C)nc2)cc1 ZINC000266043782 205052824 /nfs/dbraw/zinc/05/28/24/205052824.db2.gz QEIVWTQXLORTGX-UHFFFAOYSA-N -1 1 323.374 1.614 20 0 DDADMM COc1ccsc1C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282811944 217266453 /nfs/dbraw/zinc/26/64/53/217266453.db2.gz BKFOMUQCJWSDBE-MRVPVSSYSA-N -1 1 308.363 1.188 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)c2cc(F)ccc2[O-])C1 ZINC000267537045 206132178 /nfs/dbraw/zinc/13/21/78/206132178.db2.gz YYRDEJBRWVLQOY-JQWIXIFHSA-N -1 1 308.353 1.908 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(CNC(C)=O)cc1)c1nn[n-]n1 ZINC000267584669 206157842 /nfs/dbraw/zinc/15/78/42/206157842.db2.gz LPADARABCFQWMQ-ZDUSSCGKSA-N -1 1 316.365 1.107 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCOCC1CCC1 ZINC000567038472 304193644 /nfs/dbraw/zinc/19/36/44/304193644.db2.gz PGSYMAZMXBUVPD-UHFFFAOYSA-N -1 1 311.407 1.759 20 0 DDADMM O=C(N=c1ccc(C2CC2)n[n-]1)N1CCN(C2CCC2)CC1 ZINC000333524049 249010425 /nfs/dbraw/zinc/01/04/25/249010425.db2.gz HOYVDKUETLUGOD-UHFFFAOYSA-N -1 1 301.394 1.478 20 0 DDADMM CC[C@@H](C)n1nc(C(=O)N=c2[nH][n-]c(C)c2C(=O)NC)cc1C ZINC000337127375 249357249 /nfs/dbraw/zinc/35/72/49/249357249.db2.gz HBHUMDNGNURPJY-MRVPVSSYSA-N -1 1 318.381 1.228 20 0 DDADMM CCOCCCCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000104855256 194048010 /nfs/dbraw/zinc/04/80/10/194048010.db2.gz GLTKHZOVBPAYBK-UHFFFAOYSA-N -1 1 320.393 1.115 20 0 DDADMM COc1cc(CCNc2nc3[nH][n-]cc-3c(=O)n2)ccc1Cl ZINC000338817229 250147709 /nfs/dbraw/zinc/14/77/09/250147709.db2.gz WWMUONLXPSWXHM-UHFFFAOYSA-N -1 1 319.752 1.749 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1sccc1F)C(=O)N1CCCCC1 ZINC000338862162 250169035 /nfs/dbraw/zinc/16/90/35/250169035.db2.gz DYBUVIPQADCCSS-SECBINFHSA-N -1 1 320.411 1.567 20 0 DDADMM Cc1nc2n(n1)C[C@H]([N-]S(=O)(=O)c1sccc1F)CC2 ZINC000338884431 250180448 /nfs/dbraw/zinc/18/04/48/250180448.db2.gz BVJMRCYRFJXGCW-MRVPVSSYSA-N -1 1 316.383 1.080 20 0 DDADMM O=S(=O)([N-][C@@H](CO)Cc1ccccc1)c1sccc1F ZINC000338958291 250217977 /nfs/dbraw/zinc/21/79/77/250217977.db2.gz OZCVHTAGDJFUFL-LLVKDONJSA-N -1 1 315.391 1.769 20 0 DDADMM CC(C)OC(=O)[C@@H](C)C[N-]S(=O)(=O)c1sccc1F ZINC000338928191 250201179 /nfs/dbraw/zinc/20/11/79/250201179.db2.gz VJQPWZXJFQOHPZ-QMMMGPOBSA-N -1 1 309.384 1.753 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CC[C@H](F)C2)c(C(F)(F)F)n1 ZINC000338989145 250237366 /nfs/dbraw/zinc/23/73/66/250237366.db2.gz GJJNHTRVAMFGFY-NKWVEPMBSA-N -1 1 315.292 1.608 20 0 DDADMM C1CC(c2noc(=N[C@H]3CCCC[C@@H]3N3CCOCC3)[n-]2)C1 ZINC000567454407 304220217 /nfs/dbraw/zinc/22/02/17/304220217.db2.gz OQOOLVZSIUJPTE-KBPBESRZSA-N -1 1 306.410 1.814 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCC(=O)c2ccccc21)c1nn[n-]n1 ZINC000285331178 218384080 /nfs/dbraw/zinc/38/40/80/218384080.db2.gz QRFYTVHKMNRKFS-CHWSQXEVSA-N -1 1 313.361 1.917 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(N)=O ZINC000285338909 218387826 /nfs/dbraw/zinc/38/78/26/218387826.db2.gz KIQULKMESQBEFW-VIFPVBQESA-N -1 1 310.297 1.036 20 0 DDADMM CN(C(=O)Cc1ccc([O-])c(Cl)c1)[C@@H]1CCC(=O)N(C)C1 ZINC000633191589 422797829 /nfs/dbraw/zinc/79/78/29/422797829.db2.gz TZHWGRAEMFLIAJ-LLVKDONJSA-N -1 1 310.781 1.667 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)CCC(F)(F)F)[C@H](C)CC ZINC000340901678 251265591 /nfs/dbraw/zinc/26/55/91/251265591.db2.gz KLVBQDXHRCVUAH-RKDXNWHRSA-N -1 1 319.345 1.836 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OC ZINC000340932085 251285065 /nfs/dbraw/zinc/28/50/65/251285065.db2.gz RLDCRTCSAMJWNI-YUMQZZPRSA-N -1 1 305.318 1.446 20 0 DDADMM C[C@H]1CCC[C@H](C)N1C(=O)CS(=O)(=O)c1ccc([O-])cc1 ZINC000063927638 184290937 /nfs/dbraw/zinc/29/09/37/184290937.db2.gz KEAYKXPCLDXHKA-RYUDHWBXSA-N -1 1 311.403 1.955 20 0 DDADMM O=C(NC[C@H]1CNC(=O)C1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000412465316 224035065 /nfs/dbraw/zinc/03/50/65/224035065.db2.gz QMXWSYWLJAKZRM-MRVPVSSYSA-N -1 1 319.748 1.460 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1(C)C ZINC000294969924 532981506 /nfs/dbraw/zinc/98/15/06/532981506.db2.gz LPSRZRQRCNWZEU-JFUSQASVSA-N -1 1 320.418 1.807 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC[C@H](C)[C@H]2C)co1 ZINC000158207926 197253539 /nfs/dbraw/zinc/25/35/39/197253539.db2.gz MKLBEPYRMYDFNR-HOSYDEDBSA-N -1 1 314.407 1.742 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(F)cc2cccnc21)c1nn[n-]n1 ZINC000156540642 197121610 /nfs/dbraw/zinc/12/16/10/197121610.db2.gz WOFUJSDFVNQNCV-VIFPVBQESA-N -1 1 314.324 1.763 20 0 DDADMM O=C([O-])C[N@@H+](CCN1CCOCC1)Cc1ccc(Cl)cc1 ZINC000568068158 304266213 /nfs/dbraw/zinc/26/62/13/304266213.db2.gz FWDLQVWJVJZFAK-UHFFFAOYSA-N -1 1 312.797 1.559 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1ccnn1Cc1ccccn1 ZINC000120390225 195156925 /nfs/dbraw/zinc/15/69/25/195156925.db2.gz NPNXDCJUQKMPRX-AWEZNQCLSA-N -1 1 317.349 1.906 20 0 DDADMM CCNC(=O)C(C)(C)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000120465417 195172418 /nfs/dbraw/zinc/17/24/18/195172418.db2.gz RRKRQEOAVUDCRJ-UHFFFAOYSA-N -1 1 320.361 1.405 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC[C@@H]2C(C)C)co1 ZINC000152336873 196809667 /nfs/dbraw/zinc/80/96/67/196809667.db2.gz LRKGNJJNWGVRDQ-GFCCVEGCSA-N -1 1 314.407 1.838 20 0 DDADMM CN(C)c1ncc(CNC(=O)c2c(F)ccc([O-])c2F)n1C ZINC000289541544 221052959 /nfs/dbraw/zinc/05/29/59/221052959.db2.gz QLVQVRWMERQQFT-UHFFFAOYSA-N -1 1 310.304 1.400 20 0 DDADMM CCOCCO[C@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000289868825 221279530 /nfs/dbraw/zinc/27/95/30/221279530.db2.gz RDHHSAUXBQSVDD-JTQLQIEISA-N -1 1 315.316 1.938 20 0 DDADMM CCNS(=O)(=O)[C@H]1CCN(Cc2cccc([O-])c2Cl)C1 ZINC000352840759 285330499 /nfs/dbraw/zinc/33/04/99/285330499.db2.gz XEHHRPRYXRQAMN-NSHDSACASA-N -1 1 318.826 1.559 20 0 DDADMM CO[C@@](C)([C@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000414417016 224335534 /nfs/dbraw/zinc/33/55/34/224335534.db2.gz XOASNMDMPCCTQL-BTDLBPIBSA-N -1 1 315.373 1.857 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@@H](CC(C)C)OC ZINC000420702846 533257241 /nfs/dbraw/zinc/25/72/41/533257241.db2.gz UAQMVFLITFPVNQ-OLZOCXBDSA-N -1 1 321.439 1.309 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CC[C@H]2CCC[C@H]2C1 ZINC000416159216 533446244 /nfs/dbraw/zinc/44/62/44/533446244.db2.gz VCOAHULZJPOGSW-KXUCPTDWSA-N -1 1 307.350 1.595 20 0 DDADMM COc1cc(C)c(C(=O)NC(C)(C)c2nn[n-]n2)cc1OC ZINC000446308910 533567458 /nfs/dbraw/zinc/56/74/58/533567458.db2.gz BPDWIFDKVFZUSP-UHFFFAOYSA-N -1 1 305.338 1.190 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H](CO)Cc2ccc(C)cc2)sc1C ZINC000433799873 533646566 /nfs/dbraw/zinc/64/65/66/533646566.db2.gz SVGKUQRFZCZXJQ-CYBMUJFWSA-N -1 1 320.414 1.984 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1nc(CC(F)(F)F)cs1 ZINC000633216987 422810755 /nfs/dbraw/zinc/81/07/55/422810755.db2.gz NAHCDHXYGAASTL-UHFFFAOYSA-N -1 1 306.273 1.332 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cc2c([nH]1)CCOC2 ZINC000579680466 422811672 /nfs/dbraw/zinc/81/16/72/422811672.db2.gz JAGIYVIICHCJSX-UHFFFAOYSA-N -1 1 301.228 1.477 20 0 DDADMM Cc1ccc([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)c(C)c1 ZINC000353363470 285684940 /nfs/dbraw/zinc/68/49/40/285684940.db2.gz AINRTGOADXZULC-NSHDSACASA-N -1 1 311.345 1.525 20 0 DDADMM COC(=O)COc1cccc(CNC(=O)c2ncccc2[O-])c1 ZINC000360442281 299667865 /nfs/dbraw/zinc/66/78/65/299667865.db2.gz MNZXIIMWECSCPW-UHFFFAOYSA-N -1 1 316.313 1.269 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@H]2CCC[C@@H](F)C2)oc1C ZINC000569335865 304347576 /nfs/dbraw/zinc/34/75/76/304347576.db2.gz COYCZUZTXHLDJU-ZJUUUORDSA-N -1 1 319.354 1.934 20 0 DDADMM CCC[C@@H](NC(=O)CCc1cccc2c1OCO2)c1nn[n-]n1 ZINC000186046274 407565074 /nfs/dbraw/zinc/56/50/74/407565074.db2.gz ZGLHZRAEYBQBMN-LLVKDONJSA-N -1 1 317.349 1.519 20 0 DDADMM Cc1cccc(S(=O)(=O)[N-]c2ccc(CC(N)=O)cc2)c1 ZINC000013849637 406868690 /nfs/dbraw/zinc/86/86/90/406868690.db2.gz DNHBSHRSTGMKQW-UHFFFAOYSA-N -1 1 304.371 1.824 20 0 DDADMM Cc1ccc(F)cc1S(=O)(=O)[N-]c1ccc(CC(N)=O)cc1 ZINC000013849686 406869245 /nfs/dbraw/zinc/86/92/45/406869245.db2.gz QTUCWCZGKQFVOI-UHFFFAOYSA-N -1 1 322.361 1.963 20 0 DDADMM O=C1COc2ccc([N-]S(=O)(=O)c3ccccc3)cc2N1 ZINC000025694565 406900033 /nfs/dbraw/zinc/90/00/33/406900033.db2.gz CEIHHPGYWNAONI-UHFFFAOYSA-N -1 1 304.327 1.818 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccccc1Cl)C(C)C ZINC000076350352 406965385 /nfs/dbraw/zinc/96/53/85/406965385.db2.gz CALFLXSUQIJLLV-LBPRGKRZSA-N -1 1 319.810 1.957 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCC[C@H](O)C1 ZINC000044489778 407023979 /nfs/dbraw/zinc/02/39/79/407023979.db2.gz AMXJQHDISNPZJI-VIFPVBQESA-N -1 1 300.152 1.752 20 0 DDADMM CN(C)C(=O)C(C)(C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000078572022 407034471 /nfs/dbraw/zinc/03/44/71/407034471.db2.gz ADEBWVDXIQGYOV-UHFFFAOYSA-N -1 1 324.324 1.249 20 0 DDADMM O=S(=O)([N-]c1ccccc1Br)c1cn[nH]c1 ZINC000037731464 406992835 /nfs/dbraw/zinc/99/28/35/406992835.db2.gz KLOSLXGIPAXZIZ-UHFFFAOYSA-N -1 1 302.153 1.973 20 0 DDADMM CCc1cccc(CC)c1NC(=O)CS(=O)(=O)c1ncn[n-]1 ZINC000086169821 407109568 /nfs/dbraw/zinc/10/95/68/407109568.db2.gz FECACFKJIGICIJ-UHFFFAOYSA-N -1 1 322.390 1.342 20 0 DDADMM CCc1cccc(CC)c1NC(=O)CS(=O)(=O)c1nc[n-]n1 ZINC000086169821 407109569 /nfs/dbraw/zinc/10/95/69/407109569.db2.gz FECACFKJIGICIJ-UHFFFAOYSA-N -1 1 322.390 1.342 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@@H]1O)c1ccc(F)c(F)c1F ZINC000089166238 407126455 /nfs/dbraw/zinc/12/64/55/407126455.db2.gz AASQSRYYLBSMHW-APPZFPTMSA-N -1 1 309.309 1.543 20 0 DDADMM CCOC(=O)C[C@@H](C)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000089782426 407149382 /nfs/dbraw/zinc/14/93/82/407149382.db2.gz BVNYDSIGUWUQLG-SECBINFHSA-N -1 1 321.345 1.832 20 0 DDADMM CC[N@@H+](CCNS(=O)(=O)c1cc(F)cc(F)c1)C1CC1 ZINC000102184113 407320338 /nfs/dbraw/zinc/32/03/38/407320338.db2.gz CFCHYIDVSMACBV-UHFFFAOYSA-N -1 1 304.362 1.727 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)Nc1cc[nH]n1 ZINC000101655802 407313548 /nfs/dbraw/zinc/31/35/48/407313548.db2.gz WTPBQGOAOYEIMB-UHFFFAOYSA-N -1 1 300.322 1.346 20 0 DDADMM Cc1n[nH]c(C)c1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000104184008 407348427 /nfs/dbraw/zinc/34/84/27/407348427.db2.gz FMDAIRSURYWVEA-UHFFFAOYSA-N -1 1 314.349 1.161 20 0 DDADMM O=S(=O)([N-]C[C@@]1(O)CCSC1)c1cccc(F)c1F ZINC000124476078 407359465 /nfs/dbraw/zinc/35/94/65/407359465.db2.gz GMROTTYYGNFWBW-NSHDSACASA-N -1 1 309.359 1.111 20 0 DDADMM O=C(CC1CCN(C(=O)c2cncc([O-])c2)CC1)N1CCCC1 ZINC000111568656 407411806 /nfs/dbraw/zinc/41/18/06/407411806.db2.gz QAJVCVNAONXPGF-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM CC(C)[C@@H](C)NC(=O)C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000111590841 407412392 /nfs/dbraw/zinc/41/23/92/407412392.db2.gz MTPVGUMRNOPVAB-GFCCVEGCSA-N -1 1 319.405 1.800 20 0 DDADMM CCC(C)(C)NC(=O)[C@@H](C)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127210517 407430405 /nfs/dbraw/zinc/43/04/05/407430405.db2.gz BRBFHMPSXCULJE-MRVPVSSYSA-N -1 1 314.411 1.149 20 0 DDADMM CS(=O)(=O)C1CCC(NC(=O)c2c([O-])cccc2F)CC1 ZINC000185508979 407444353 /nfs/dbraw/zinc/44/43/53/407444353.db2.gz QGTFWBDKKDGXMR-UHFFFAOYSA-N -1 1 315.366 1.617 20 0 DDADMM C[C@H]1C[C@H](C[N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000271249441 407596980 /nfs/dbraw/zinc/59/69/80/407596980.db2.gz HHWKYPMPWVIJBL-DTWKUNHWSA-N -1 1 307.803 1.167 20 0 DDADMM COc1ccc(OC)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000129371546 407605161 /nfs/dbraw/zinc/60/51/61/407605161.db2.gz ZTUOINMDTTULTI-SNVBAGLBSA-N -1 1 317.349 1.237 20 0 DDADMM O=C(CCC(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000129475450 407612888 /nfs/dbraw/zinc/61/28/88/407612888.db2.gz LLTPWCQRXCKDEX-ZDUSSCGKSA-N -1 1 313.361 1.569 20 0 DDADMM CCC[C@](C)(O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000228561444 407649584 /nfs/dbraw/zinc/64/95/84/407649584.db2.gz XWAGQIMBACSTTI-LBPRGKRZSA-N -1 1 311.325 1.933 20 0 DDADMM CCCCn1ncc(C(=O)N[C@@H](CCC)c2nn[n-]n2)c1C ZINC000152567522 407654075 /nfs/dbraw/zinc/65/40/75/407654075.db2.gz MSWXAONLBPZBIT-LBPRGKRZSA-N -1 1 305.386 1.776 20 0 DDADMM CCOc1cc(C(=O)NCC(C)(C)C(N)=O)cc(Cl)c1[O-] ZINC000186635993 407726185 /nfs/dbraw/zinc/72/61/85/407726185.db2.gz MLAYOHWMOPRGLP-UHFFFAOYSA-N -1 1 314.769 1.686 20 0 DDADMM CCOC(=O)CCCN(C)Cc1nc(=O)c2sccc2[n-]1 ZINC000131751388 407751451 /nfs/dbraw/zinc/75/14/51/407751451.db2.gz BHNADIKQFQEKTN-UHFFFAOYSA-N -1 1 309.391 1.760 20 0 DDADMM O=C(NCC1CC1)[C@@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000171764678 407765599 /nfs/dbraw/zinc/76/55/99/407765599.db2.gz SDWQBHNVAHWBFC-GFCCVEGCSA-N -1 1 303.362 1.166 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(C)(C)C[C@H](C)O)o1 ZINC000272101292 407774327 /nfs/dbraw/zinc/77/43/27/407774327.db2.gz LNGCLGGYXQSOMY-VIFPVBQESA-N -1 1 319.379 1.142 20 0 DDADMM COCCN1CCN(C(=O)c2cc(Cl)ccc2[O-])C[C@@H]1C ZINC000117425119 407834197 /nfs/dbraw/zinc/83/41/97/407834197.db2.gz AMXQSZKSIOSQJN-NSHDSACASA-N -1 1 312.797 1.838 20 0 DDADMM COc1cnccc1[C@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000187667652 407875381 /nfs/dbraw/zinc/87/53/81/407875381.db2.gz PHUVLJQBTPZRNK-JTQLQIEISA-N -1 1 324.340 1.818 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC/C=C/c2ccccc2)co1 ZINC000133955859 407878892 /nfs/dbraw/zinc/87/88/92/407878892.db2.gz IZOTZJLQFYTVJA-VMPITWQZSA-N -1 1 320.370 1.631 20 0 DDADMM CCC(CC)n1nc(C(=O)NCCCc2nc(=O)[n-][nH]2)cc1C ZINC000180634658 407905954 /nfs/dbraw/zinc/90/59/54/407905954.db2.gz HXDQNJZDVPDXAD-UHFFFAOYSA-N -1 1 320.397 1.327 20 0 DDADMM O=C(Nc1nccs1)[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000153547069 407848798 /nfs/dbraw/zinc/84/87/98/407848798.db2.gz GYTHOOFOUJNDLT-SECBINFHSA-N -1 1 318.358 1.487 20 0 DDADMM Cc1c(F)cccc1S(=O)(=O)[N-]c1ccc(CC(N)=O)cc1 ZINC000174674832 407976312 /nfs/dbraw/zinc/97/63/12/407976312.db2.gz UWOCNGMHJRWAJD-UHFFFAOYSA-N -1 1 322.361 1.963 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(C(=O)c2[nH]nc3ccccc32)C1 ZINC000262810167 407942653 /nfs/dbraw/zinc/94/26/53/407942653.db2.gz DJEYFZCXJZJQFA-MRXNPFEDSA-N -1 1 317.345 1.516 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCc1ccsc1)c2=O ZINC000181776422 408039655 /nfs/dbraw/zinc/03/96/55/408039655.db2.gz YOGUBIZDVBUFLC-UHFFFAOYSA-N -1 1 302.359 1.708 20 0 DDADMM C[C@H]1CN(C(=O)Cc2n[nH]c3ccccc32)CC[C@@H]1C(=O)[O-] ZINC000262965077 407988100 /nfs/dbraw/zinc/98/81/00/407988100.db2.gz MHKONNLTNPKVBG-QWRGUYRKSA-N -1 1 301.346 1.675 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1COc3ccccc3C1)c2=O ZINC000119155416 408006480 /nfs/dbraw/zinc/00/64/80/408006480.db2.gz JYSPAICXXRGSOX-NSHDSACASA-N -1 1 324.340 1.407 20 0 DDADMM CC(C)[C@@H](CC(=O)[O-])NS(=O)(=O)c1c(F)cccc1F ZINC000136250826 408088535 /nfs/dbraw/zinc/08/85/35/408088535.db2.gz YOQDISFAJGDZIV-SNVBAGLBSA-N -1 1 307.318 1.742 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CC(c2ccccc2)=NO1)c1nn[n-]n1 ZINC000136668665 408120739 /nfs/dbraw/zinc/12/07/39/408120739.db2.gz BEIORQMLRRYOQC-WCQYABFASA-N -1 1 314.349 1.350 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC1(C)Cc3ccccc3C1)c2=O ZINC000182330985 408129676 /nfs/dbraw/zinc/12/96/76/408129676.db2.gz OIMXBBLXARMIJJ-UHFFFAOYSA-N -1 1 322.368 1.961 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(C(F)(F)F)nc1)c1nn[n-]n1 ZINC000273578696 408252103 /nfs/dbraw/zinc/25/21/03/408252103.db2.gz NUYDHUBEPCQEOU-SSDOTTSWSA-N -1 1 314.271 1.489 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1ccc2c(c1)CCCC2)c1nn[n-]n1 ZINC000273601519 408259861 /nfs/dbraw/zinc/25/98/61/408259861.db2.gz YSLYZUCVRHWCIY-GFCCVEGCSA-N -1 1 313.405 1.883 20 0 DDADMM O=C([N-]Cc1ccc(Cn2cncn2)cc1)C(F)(F)C(F)F ZINC000175836710 408221118 /nfs/dbraw/zinc/22/11/18/408221118.db2.gz JBOLBHXBVALHDY-UHFFFAOYSA-N -1 1 316.258 1.843 20 0 DDADMM O=C1CCc2cc([N-]S(=O)(=O)c3cn[nH]c3)c(F)cc2N1 ZINC000156934873 408282787 /nfs/dbraw/zinc/28/27/87/408282787.db2.gz FYUVOPUSNZHHNS-UHFFFAOYSA-N -1 1 310.310 1.234 20 0 DDADMM CO[C@H](CNC(=O)c1c[n-]c2c(cnn2C)c1=O)C(C)(C)C ZINC000132801810 162045977 /nfs/dbraw/zinc/04/59/77/162045977.db2.gz GUMUYPQIBZHFRS-LLVKDONJSA-N -1 1 306.366 1.465 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)C2CCCCC2)C1)c1ncccc1[O-] ZINC000134331094 162075285 /nfs/dbraw/zinc/07/52/85/162075285.db2.gz AVPYBUUHFARCAE-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM CC(=O)Nc1cccc2c1CCN(C(=O)c1ncccc1[O-])C2 ZINC000171266046 162211637 /nfs/dbraw/zinc/21/16/37/162211637.db2.gz ASWSKYQIVIUTLY-UHFFFAOYSA-N -1 1 311.341 1.944 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@](C)(O)C(C)C ZINC000176516681 408383994 /nfs/dbraw/zinc/38/39/94/408383994.db2.gz VDCUUWFTFGRJGJ-INIZCTEOSA-N -1 1 309.410 1.513 20 0 DDADMM C[C@@H](CN(C)C(=O)C(C)(C)c1ccccc1F)c1nn[n-]n1 ZINC000183393647 408397621 /nfs/dbraw/zinc/39/76/21/408397621.db2.gz LMXZMGKIOAHEEA-JTQLQIEISA-N -1 1 305.357 1.879 20 0 DDADMM C[C@H](CN(C)C(=O)CSc1ccc(O)cc1)c1nn[n-]n1 ZINC000183400455 408402516 /nfs/dbraw/zinc/40/25/16/408402516.db2.gz CMLHOSHHJVBJSA-SECBINFHSA-N -1 1 307.379 1.260 20 0 DDADMM CN(CCc1cnn(C)c1)Cc1nc(=O)c2sccc2[n-]1 ZINC000274435336 408403909 /nfs/dbraw/zinc/40/39/09/408403909.db2.gz IRYSDRXQJIRGNP-UHFFFAOYSA-N -1 1 303.391 1.805 20 0 DDADMM Cc1cc(C)cc(OCCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183442380 408413160 /nfs/dbraw/zinc/41/31/60/408413160.db2.gz MSAHERFRFAILNM-CYBMUJFWSA-N -1 1 317.393 1.848 20 0 DDADMM CCC[C@@H](NC(=O)CCc1ccc(OC)cc1)c1nn[n-]n1 ZINC000176754210 408433939 /nfs/dbraw/zinc/43/39/39/408433939.db2.gz FQXNIDLHEZOYFE-CYBMUJFWSA-N -1 1 303.366 1.799 20 0 DDADMM CCC[C@@H](NC(=O)CSCc1ccncc1)c1nn[n-]n1 ZINC000176780828 408444002 /nfs/dbraw/zinc/44/40/02/408444002.db2.gz AXOOPAZFYJEKAZ-LLVKDONJSA-N -1 1 306.395 1.486 20 0 DDADMM COC(=O)C1(CNC(=O)c2c([O-])cccc2F)CCOCC1 ZINC000274663437 408486072 /nfs/dbraw/zinc/48/60/72/408486072.db2.gz FWHZCGYSHFPTOA-UHFFFAOYSA-N -1 1 311.309 1.231 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CCO[C@H](C3CC3)C2)c1Cl ZINC000183812450 408493764 /nfs/dbraw/zinc/49/37/64/408493764.db2.gz IREZMJCHAUIDJR-ZJUUUORDSA-N -1 1 319.814 1.309 20 0 DDADMM O=C(NCc1ccc2[nH]c(=O)[nH]c2c1)c1cc(F)ccc1[O-] ZINC000184164767 408560477 /nfs/dbraw/zinc/56/04/77/408560477.db2.gz DSFSGSISAMJKSH-UHFFFAOYSA-N -1 1 301.277 1.631 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc(Cl)cc2[O-])CCS1(=O)=O ZINC000192319142 408573838 /nfs/dbraw/zinc/57/38/38/408573838.db2.gz ABJDXGGHKHEYDH-SECBINFHSA-N -1 1 317.794 1.695 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H](O)c1cccc(F)c1)c1nn[n-]n1 ZINC000274812128 408541006 /nfs/dbraw/zinc/54/10/06/408541006.db2.gz VOPOSPKMPUHVLR-SKDRFNHKSA-N -1 1 307.329 1.024 20 0 DDADMM O=C(CSc1nc([O-])cc(=O)n1C1CCCC1)N1CCCC1 ZINC000193037662 408687368 /nfs/dbraw/zinc/68/73/68/408687368.db2.gz UQWBITUEQKSHSK-UHFFFAOYSA-N -1 1 323.418 1.779 20 0 DDADMM O=C(CSc1nc(=O)cc([O-])n1C1CCCC1)N1CCCC1 ZINC000193037662 408687375 /nfs/dbraw/zinc/68/73/75/408687375.db2.gz UQWBITUEQKSHSK-UHFFFAOYSA-N -1 1 323.418 1.779 20 0 DDADMM COCCCN(CCO)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000275845577 408693422 /nfs/dbraw/zinc/69/34/22/408693422.db2.gz HLMWCVLWLAHBAD-UHFFFAOYSA-N -1 1 321.295 1.882 20 0 DDADMM CCC[C@@H](N[C@H](C)C(=O)NCCc1ccccc1C)C(=O)[O-] ZINC000185038729 408745841 /nfs/dbraw/zinc/74/58/41/408745841.db2.gz XANIGHINRKGMMF-UKRRQHHQSA-N -1 1 306.406 1.885 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc(Cl)nc1C)c1nn[n-]n1 ZINC000270947216 408753760 /nfs/dbraw/zinc/75/37/60/408753760.db2.gz KSRLKJOGJYISKX-JTQLQIEISA-N -1 1 308.773 1.757 20 0 DDADMM COC(=O)[C@H]([N-]C(=O)C(F)(F)c1cccc(Cl)c1)[C@H](C)O ZINC000276039891 408759690 /nfs/dbraw/zinc/75/96/90/408759690.db2.gz VSWACMIKTMHNKL-OIBJUYFYSA-N -1 1 321.707 1.470 20 0 DDADMM O=C(C[C@@H]1CCS(=O)(=O)C1)Nc1nc(Cl)ccc1[O-] ZINC000276564935 408883703 /nfs/dbraw/zinc/88/37/03/408883703.db2.gz KKTXGLGWUVXPIB-ZETCQYMHSA-N -1 1 304.755 1.204 20 0 DDADMM O=C([O-])C1(CNS(=O)(=O)c2c(F)cc(F)cc2F)CC1 ZINC000166994310 408816795 /nfs/dbraw/zinc/81/67/95/408816795.db2.gz WOUIBXDOXGLQFW-UHFFFAOYSA-N -1 1 309.265 1.247 20 0 DDADMM O=C(C(=O)N1CC[C@@H](n2cc(Cl)cn2)C1)c1ccc([O-])cc1 ZINC000291672904 408905511 /nfs/dbraw/zinc/90/55/11/408905511.db2.gz YQFIVLBGNORLHM-GFCCVEGCSA-N -1 1 319.748 1.898 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@@H]1CC(=O)N(c2ccccc2F)C1 ZINC000291692740 408907314 /nfs/dbraw/zinc/90/73/14/408907314.db2.gz BPIHQBBKOWVOBQ-QLCVYAKKSA-N -1 1 312.728 1.979 20 0 DDADMM C[C@@H](O)C[N-]S(=O)(=O)c1ccc(Cl)c(F)c1Cl ZINC000189474863 163102387 /nfs/dbraw/zinc/10/23/87/163102387.db2.gz VIXOJSINHDRINF-RXMQYKEDSA-N -1 1 302.154 1.792 20 0 DDADMM COc1ccc(C(=O)CCCCCC(=O)Nc2nnn[n-]2)cc1 ZINC000190449552 163142401 /nfs/dbraw/zinc/14/24/01/163142401.db2.gz FRBRMNGQKNGEJP-UHFFFAOYSA-N -1 1 317.349 1.980 20 0 DDADMM COc1ccc(C(=O)CCCCCC(=O)Nc2nn[n-]n2)cc1 ZINC000190449552 163142404 /nfs/dbraw/zinc/14/24/04/163142404.db2.gz FRBRMNGQKNGEJP-UHFFFAOYSA-N -1 1 317.349 1.980 20 0 DDADMM COC(=O)[C@](C)(CNC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000190621241 163147839 /nfs/dbraw/zinc/14/78/39/163147839.db2.gz GFRVOKHXLMNUFR-QGZVFWFLSA-N -1 1 314.341 1.648 20 0 DDADMM Cn1cc([N-]S(=O)(=O)C[C@@]23CC[C@@H](CC2=O)C3(C)C)cn1 ZINC000192720748 163233432 /nfs/dbraw/zinc/23/34/32/163233432.db2.gz NMEKADDOFYDPKV-HZMBPMFUSA-N -1 1 311.407 1.557 20 0 DDADMM Cc1nsc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000281840635 408939286 /nfs/dbraw/zinc/93/92/86/408939286.db2.gz LOGYIWBWPFQCKC-UHFFFAOYSA-N -1 1 308.329 1.741 20 0 DDADMM CC(C)c1ccc2occ(C(=O)NN3CC(=O)[N-]C3=O)c2c1 ZINC000286303805 408959648 /nfs/dbraw/zinc/95/96/48/408959648.db2.gz GOENURFJASCPDX-UHFFFAOYSA-N -1 1 301.302 1.753 20 0 DDADMM CC[C@@H](C)[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000286681983 408996104 /nfs/dbraw/zinc/99/61/04/408996104.db2.gz YWDDHZACRHHXDX-ZCFIWIBFSA-N -1 1 310.217 1.568 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000287642229 409068990 /nfs/dbraw/zinc/06/89/90/409068990.db2.gz BYJUKVIPBVNYBO-AWEZNQCLSA-N -1 1 306.362 1.119 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3CCO[C@@H](C4CC4)C3)ccnc1-2 ZINC000279300283 409187135 /nfs/dbraw/zinc/18/71/35/409187135.db2.gz LMXMODNDDVRVLX-OYULMIFOSA-N -1 1 315.377 1.421 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCOc1ccccc1C ZINC000289286013 409242362 /nfs/dbraw/zinc/24/23/62/409242362.db2.gz GDQWVJMUGROHPL-UHFFFAOYSA-N -1 1 311.363 1.231 20 0 DDADMM Cc1nc(CC(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)c(C)s1 ZINC000294191647 409257355 /nfs/dbraw/zinc/25/73/55/409257355.db2.gz KGWFHYXZDHCGCX-SNVBAGLBSA-N -1 1 321.406 1.120 20 0 DDADMM O=C(NC[C@@H](CO)[C@H]1CCOC1)c1cc2ccccc2cc1[O-] ZINC000280578718 409367263 /nfs/dbraw/zinc/36/72/63/409367263.db2.gz WQVVOQPZNCYGQO-GJZGRUSLSA-N -1 1 315.369 1.920 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)c2ccccn2)C1)C(F)(F)F ZINC000290285821 409348468 /nfs/dbraw/zinc/34/84/68/409348468.db2.gz DQGFWGXLCVIQAE-SNVBAGLBSA-N -1 1 315.295 1.612 20 0 DDADMM Cc1c(S(=O)(=O)Nc2ccc(C)cc2C(=O)[O-])cnn1C ZINC000313908656 164011594 /nfs/dbraw/zinc/01/15/94/164011594.db2.gz ABDBCFWUUWXPPM-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM CCC[C@@H](OC)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000296223606 409467307 /nfs/dbraw/zinc/46/73/07/409467307.db2.gz WHPODWXZNLKGQX-GFCCVEGCSA-N -1 1 316.379 1.054 20 0 DDADMM O=C([O-])C1(NCc2nnn(CC(F)(F)F)n2)CCCCCC1 ZINC000579842569 422843234 /nfs/dbraw/zinc/84/32/34/422843234.db2.gz KLYQISCPUIUJLT-UHFFFAOYSA-N -1 1 321.303 1.503 20 0 DDADMM CC(C)(C)OCc1ccccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357056872 164138286 /nfs/dbraw/zinc/13/82/86/164138286.db2.gz YFIAXXJFMBBNIJ-UHFFFAOYSA-N -1 1 315.377 1.934 20 0 DDADMM CC(C)n1cc([C@]2(O)CC[N@H+](Cc3cc(O)cc(F)c3)C2)nn1 ZINC000285540312 409482696 /nfs/dbraw/zinc/48/26/96/409482696.db2.gz PVRPYONEPKZVHX-INIZCTEOSA-N -1 1 320.368 1.797 20 0 DDADMM CCOC1(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)CCCC1 ZINC000408198534 164257475 /nfs/dbraw/zinc/25/74/75/164257475.db2.gz NGPQRWLXGRXJDO-NSHDSACASA-N -1 1 309.366 1.831 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCCO1)c1ccc(Br)o1 ZINC000408216777 164264051 /nfs/dbraw/zinc/26/40/51/164264051.db2.gz WKXHQJFPAPTXLM-MRVPVSSYSA-N -1 1 324.196 1.890 20 0 DDADMM COC(=O)[C@@H](Cc1ccccc1)[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC000342086195 409556931 /nfs/dbraw/zinc/55/69/31/409556931.db2.gz SXLOGPXOAXAVDU-PWSUYJOCSA-N -1 1 304.350 1.020 20 0 DDADMM CO[C@H](C)c1nc(=NCCCc2nnc3n2CCCC3)s[n-]1 ZINC000337863945 409521902 /nfs/dbraw/zinc/52/19/02/409521902.db2.gz JVYAJVYWQYHBRC-SNVBAGLBSA-N -1 1 322.438 1.640 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCCCC1(F)F ZINC000296403320 409531947 /nfs/dbraw/zinc/53/19/47/409531947.db2.gz ZRKVLNIXCUNZSJ-MRVPVSSYSA-N -1 1 309.338 1.669 20 0 DDADMM C[C@@H](Cc1cccs1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337899854 409550327 /nfs/dbraw/zinc/55/03/27/409550327.db2.gz HTCJJAVWEBIOTE-JTQLQIEISA-N -1 1 319.386 1.326 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H]2CCCC[C@@H]21 ZINC000337947510 409594155 /nfs/dbraw/zinc/59/41/55/409594155.db2.gz NDPKKOJZEDKHAW-OLZOCXBDSA-N -1 1 317.389 1.698 20 0 DDADMM COc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c(OC)c1 ZINC000345556856 409697334 /nfs/dbraw/zinc/69/73/34/409697334.db2.gz WJEKXLHZANFFNQ-UHFFFAOYSA-N -1 1 317.349 1.416 20 0 DDADMM CN(C(=O)c1nc2ccccc2c(=O)[n-]1)C1CCC(CO)CC1 ZINC000305074264 409671003 /nfs/dbraw/zinc/67/10/03/409671003.db2.gz UWZSNVRYEMQUIG-UHFFFAOYSA-N -1 1 315.373 1.959 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC1CCC(O)CC1 ZINC000338117775 409728626 /nfs/dbraw/zinc/72/86/26/409728626.db2.gz QESKIJZCADGXAO-UHFFFAOYSA-N -1 1 307.394 1.821 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C(=O)OC)cc1F)c1nn[n-]n1 ZINC000356943861 409740756 /nfs/dbraw/zinc/74/07/56/409740756.db2.gz URYHRMYICHTWDA-LLVKDONJSA-N -1 1 321.312 1.397 20 0 DDADMM CCSCC[C@H](C)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000338231243 409823481 /nfs/dbraw/zinc/82/34/81/409823481.db2.gz XBXXENVKHJPEEZ-VIFPVBQESA-N -1 1 321.420 1.876 20 0 DDADMM O=C(Cc1ccccc1OC(F)F)NC1(c2nn[n-]n2)CC1 ZINC000357080990 409850423 /nfs/dbraw/zinc/85/04/23/409850423.db2.gz UUDFLLKWOLMEFJ-UHFFFAOYSA-N -1 1 309.276 1.149 20 0 DDADMM COC(=O)C[C@H](C)NC(=O)c1cc(Br)ccc1[O-] ZINC000310970538 409795978 /nfs/dbraw/zinc/79/59/78/409795978.db2.gz GPISMDFPSYWDCC-ZETCQYMHSA-N -1 1 316.151 1.836 20 0 DDADMM COc1cc(C2(C(=O)NC3(c4nn[n-]n4)CC3)CC2)ccc1C ZINC000357051908 409818735 /nfs/dbraw/zinc/81/87/35/409818735.db2.gz JIYLSWGFNKBWMG-UHFFFAOYSA-N -1 1 313.361 1.354 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1C[C@H](OC(C)C)C1(C)C ZINC000349552813 409870795 /nfs/dbraw/zinc/87/07/95/409870795.db2.gz HMRLIQFVDHBDLN-ZJUUUORDSA-N -1 1 317.411 1.266 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@H](OC(C)C)C1(C)C ZINC000349552813 409870801 /nfs/dbraw/zinc/87/08/01/409870801.db2.gz HMRLIQFVDHBDLN-ZJUUUORDSA-N -1 1 317.411 1.266 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@H](C(=O)[O-])[C@H](C)C2)cc1 ZINC000318884460 409882200 /nfs/dbraw/zinc/88/22/00/409882200.db2.gz MZMRVVOKBBXQFX-RISCZKNCSA-N -1 1 306.362 1.676 20 0 DDADMM COC[C@@]1(C(=O)[O-])CC[N@@H+](Cc2cc(OC)cc(OC)c2)C1 ZINC000319251235 409894454 /nfs/dbraw/zinc/89/44/54/409894454.db2.gz ZIURANIJIBLOQR-MRXNPFEDSA-N -1 1 309.362 1.627 20 0 DDADMM C[C@@H]1CN(c2c(C(=O)[O-])cnc3ccccc32)C[C@@H](CO)O1 ZINC000319860446 409900467 /nfs/dbraw/zinc/90/04/67/409900467.db2.gz CUJHJYUTNLJVLK-MNOVXSKESA-N -1 1 302.330 1.519 20 0 DDADMM CC(C)[C@H](NS(C)(=O)=O)C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000357358184 409990516 /nfs/dbraw/zinc/99/05/16/409990516.db2.gz PCIKQZKNNUQMFR-NSHDSACASA-N -1 1 320.798 1.558 20 0 DDADMM O=C(CCc1cncc(F)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332166352 409940906 /nfs/dbraw/zinc/94/09/06/409940906.db2.gz KXKSFLNCUXWLJY-LLVKDONJSA-N -1 1 320.324 1.648 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCCOC1 ZINC000357417102 410027877 /nfs/dbraw/zinc/02/78/77/410027877.db2.gz OFBMWCCMZQCYJL-GFCCVEGCSA-N -1 1 309.309 1.951 20 0 DDADMM Cn1cc(C(N)=O)cc1-c1nc(-c2ccc([O-])c(F)c2)no1 ZINC000350841735 410004074 /nfs/dbraw/zinc/00/40/74/410004074.db2.gz WKCYMWAARIQTHO-UHFFFAOYSA-N -1 1 302.265 1.686 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1 ZINC000332302038 410053489 /nfs/dbraw/zinc/05/34/89/410053489.db2.gz IAOZQQWWSYIQGF-LLVKDONJSA-N -1 1 303.318 1.804 20 0 DDADMM O=C([O-])C1(NS(=O)(=O)CCN2CCCC2)CCCCCC1 ZINC000571400771 304458975 /nfs/dbraw/zinc/45/89/75/304458975.db2.gz XRBKZXOFAXMWQH-UHFFFAOYSA-N -1 1 318.439 1.179 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@@H](COC(F)F)C1 ZINC000343020090 410081022 /nfs/dbraw/zinc/08/10/22/410081022.db2.gz HUNMHVYQUXFXBU-MRVPVSSYSA-N -1 1 317.361 1.841 20 0 DDADMM COc1nc(C(=O)NC(C)(C)c2nn[n-]n2)cc2ccccc21 ZINC000354787954 410085864 /nfs/dbraw/zinc/08/58/64/410085864.db2.gz MBOBFKMRDKLUOU-UHFFFAOYSA-N -1 1 312.333 1.422 20 0 DDADMM CC(C)COc1cccc(C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000354792969 410092742 /nfs/dbraw/zinc/09/27/42/410092742.db2.gz WVGNKRZKFBIMJI-UHFFFAOYSA-N -1 1 303.366 1.900 20 0 DDADMM COc1cc(NC(=O)NCC2CC2)ccc1[N-]S(C)(=O)=O ZINC000346728445 410116887 /nfs/dbraw/zinc/11/68/87/410116887.db2.gz YWOLFEKOZNCXFU-UHFFFAOYSA-N -1 1 313.379 1.598 20 0 DDADMM CC1CCN(CC(=O)N2CCCC[C@@H]2c2n[nH]c(=O)[n-]2)CC1 ZINC000329186223 410151958 /nfs/dbraw/zinc/15/19/58/410151958.db2.gz PTNXETXZDXKOAL-GFCCVEGCSA-N -1 1 307.398 1.296 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1cc(F)ccc1F ZINC000357597815 410124070 /nfs/dbraw/zinc/12/40/70/410124070.db2.gz KEECYUAZLCXPSY-UHFFFAOYSA-N -1 1 311.244 1.105 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@@]4(C)[C@@H](C3)C4(F)F)ccnc1-2 ZINC000298381616 410203038 /nfs/dbraw/zinc/20/30/38/410203038.db2.gz JAGGDJCBVWFNBE-LFJJBLPMSA-N -1 1 321.331 1.851 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](C)CC(N)=O)cc(Cl)c1[O-] ZINC000358098981 410361112 /nfs/dbraw/zinc/36/11/12/410361112.db2.gz BFOVPPOMYCUTGN-ZETCQYMHSA-N -1 1 300.742 1.438 20 0 DDADMM O=c1cc(/C=C/c2ccc(-n3cncn3)cc2)nc2nc[n-]n21 ZINC000352025941 410428670 /nfs/dbraw/zinc/42/86/70/410428670.db2.gz GXUIMTJBRWKFHW-DAFODLJHSA-N -1 1 305.301 1.169 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)[C@H]1OC ZINC000330012181 410515713 /nfs/dbraw/zinc/51/57/13/410515713.db2.gz KEIIPJKGLXMDRH-GMTAPVOTSA-N -1 1 320.436 1.231 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@H]2CCC[C@H](C(=O)OC)C2)[n-]1 ZINC000352159333 410515811 /nfs/dbraw/zinc/51/58/11/410515811.db2.gz VKQLSQGXVUALPB-UWVGGRQHSA-N -1 1 323.349 1.504 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCC[C@H](C(=O)OC)C2)n1 ZINC000352159333 410515817 /nfs/dbraw/zinc/51/58/17/410515817.db2.gz VKQLSQGXVUALPB-UWVGGRQHSA-N -1 1 323.349 1.504 20 0 DDADMM Cc1cc(=NC(=O)NCCN(C)Cc2ccccc2)[n-]nc1C ZINC000343563662 410534515 /nfs/dbraw/zinc/53/45/15/410534515.db2.gz AVIBYDSBQZQOJJ-UHFFFAOYSA-N -1 1 313.405 1.769 20 0 DDADMM CCN(CC)C(=O)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000330387568 410660038 /nfs/dbraw/zinc/66/00/38/410660038.db2.gz BQRKLDQYIZGSMY-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2CCC(F)(F)CC2)o1 ZINC000330518466 410746541 /nfs/dbraw/zinc/74/65/41/410746541.db2.gz GAAYVISLYDXGHZ-UHFFFAOYSA-N -1 1 322.333 1.495 20 0 DDADMM COc1ccc(C(=O)N=c2ccc([O-])n[nH]2)c(OC(C)C)c1 ZINC000355880497 410716145 /nfs/dbraw/zinc/71/61/45/410716145.db2.gz LJTOQSDUJFPIDV-UHFFFAOYSA-N -1 1 303.318 1.652 20 0 DDADMM COc1ccc(C(=O)N=c2ccc(O)n[n-]2)c(OC(C)C)c1 ZINC000355880497 410716154 /nfs/dbraw/zinc/71/61/54/410716154.db2.gz LJTOQSDUJFPIDV-UHFFFAOYSA-N -1 1 303.318 1.652 20 0 DDADMM Cc1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c(F)c1 ZINC000347726403 410688460 /nfs/dbraw/zinc/68/84/60/410688460.db2.gz WYIPODRLLQLZQP-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM COC1(C)CN(C(=O)CCc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000347724605 410688817 /nfs/dbraw/zinc/68/88/17/410688817.db2.gz SWAWPWIVZHRKLQ-UHFFFAOYSA-N -1 1 318.377 1.232 20 0 DDADMM O=C(NCCCc1nccs1)c1nc2ccccc2c(=O)[n-]1 ZINC000359605371 410768410 /nfs/dbraw/zinc/76/84/10/410768410.db2.gz PCPLIJBXUDAAAB-UHFFFAOYSA-N -1 1 314.370 1.742 20 0 DDADMM O=C(CC1(O)CCCCC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000347901290 410782773 /nfs/dbraw/zinc/78/27/73/410782773.db2.gz DWLFKMCPSCDECN-UHFFFAOYSA-N -1 1 309.366 1.567 20 0 DDADMM CC(C)(NC(=O)Cc1ccc(C(F)(F)F)cc1)c1nn[n-]n1 ZINC000359790701 410882200 /nfs/dbraw/zinc/88/22/00/410882200.db2.gz ILAKWHOYHBHRHT-UHFFFAOYSA-N -1 1 313.283 1.813 20 0 DDADMM CCc1c(C(=O)NC(C)(C)c2nn[n-]n2)[nH]c(C)c1C(C)=O ZINC000359797656 410889698 /nfs/dbraw/zinc/88/96/98/410889698.db2.gz YLOWFDJKRMQRTL-UHFFFAOYSA-N -1 1 304.354 1.266 20 0 DDADMM COc1ccc(CCC(=O)NC(C)(C)c2nn[n-]n2)cc1OC ZINC000359806518 410891827 /nfs/dbraw/zinc/89/18/27/410891827.db2.gz XZZKLRDDIFVKSR-UHFFFAOYSA-N -1 1 319.365 1.201 20 0 DDADMM CC[C@@H](Sc1ccccc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348282456 410898375 /nfs/dbraw/zinc/89/83/75/410898375.db2.gz NCSPXULUGGELKK-LLVKDONJSA-N -1 1 303.391 1.876 20 0 DDADMM CCOc1cc(Cl)ccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348298656 410907149 /nfs/dbraw/zinc/90/71/49/410907149.db2.gz SDCMHUQZUZBKHD-UHFFFAOYSA-N -1 1 307.741 1.671 20 0 DDADMM CC(C)(C)NC(=O)CCNC(=O)c1c(F)ccc([O-])c1F ZINC000348342161 410925110 /nfs/dbraw/zinc/92/51/10/410925110.db2.gz LAAMTUQUDUBOOY-UHFFFAOYSA-N -1 1 300.305 1.705 20 0 DDADMM C[C@@H](Cn1ncc2ccccc21)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000353482172 411021705 /nfs/dbraw/zinc/02/17/05/411021705.db2.gz MIPORVIPSGHZOR-JTQLQIEISA-N -1 1 313.365 1.237 20 0 DDADMM CC(C)Cn1cc([N-]S(=O)(=O)C[C@@H]2CCCCO2)cn1 ZINC000331239985 411036389 /nfs/dbraw/zinc/03/63/89/411036389.db2.gz JDGJKSHZEZQJSD-ZDUSSCGKSA-N -1 1 301.412 1.850 20 0 DDADMM COc1ccc([C@@H](C)N(C)C(=O)CCCc2nn[n-]n2)cc1 ZINC000635198727 422872913 /nfs/dbraw/zinc/87/29/13/422872913.db2.gz GKAZJSOHTKQGSM-LLVKDONJSA-N -1 1 303.366 1.751 20 0 DDADMM O=C(C[C@H]1CCCN1C(=O)CCc1nn[n-]n1)c1ccccc1 ZINC000631713894 422891439 /nfs/dbraw/zinc/89/14/39/422891439.db2.gz YPWRSWXJYLLPGY-CYBMUJFWSA-N -1 1 313.361 1.396 20 0 DDADMM O=S(=O)([N-]Cc1nnc2n1CCC2)c1ccc(C(F)F)o1 ZINC000631703910 422886724 /nfs/dbraw/zinc/88/67/24/422886724.db2.gz PVSRCLCRLWRFFJ-UHFFFAOYSA-N -1 1 318.305 1.233 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H](C)[C@H](C)CO ZINC000131631260 196186003 /nfs/dbraw/zinc/18/60/03/196186003.db2.gz IKKYFGNFYINRRH-ZJUUUORDSA-N -1 1 320.393 1.117 20 0 DDADMM O=S(=O)([N-]Cc1cccc(CO)c1)C(Cl)(Cl)Cl ZINC001192042246 745680510 /nfs/dbraw/zinc/68/05/10/745680510.db2.gz AQLOFMIDJXCXPS-UHFFFAOYSA-N -1 1 318.609 1.926 20 0 DDADMM CCOc1ccncc1S(=O)(=O)[N-][C@H]1CCCC1(F)F ZINC000650004115 423018835 /nfs/dbraw/zinc/01/88/35/423018835.db2.gz VIYFDUFTBUVLCR-NSHDSACASA-N -1 1 306.334 1.946 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@H](C)S1(=O)=O ZINC000643003915 423020957 /nfs/dbraw/zinc/02/09/57/423020957.db2.gz IBDLLPDEXUEKGQ-DTORHVGOSA-N -1 1 301.339 1.179 20 0 DDADMM CCn1nc([N-]S(=O)(=O)N2CCCOCC2)cc1C1CC1 ZINC000650184830 423076069 /nfs/dbraw/zinc/07/60/69/423076069.db2.gz AMURBGRFZKGFQE-UHFFFAOYSA-N -1 1 314.411 1.159 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@H](CC(C)C)OC)nc1 ZINC000643276140 423096608 /nfs/dbraw/zinc/09/66/08/423096608.db2.gz QZGCRPOYKWCFLF-LBPRGKRZSA-N -1 1 302.396 1.893 20 0 DDADMM COc1cc(F)c(NS(=O)(=O)c2ncc[n-]2)cc1OC ZINC000647923588 423118533 /nfs/dbraw/zinc/11/85/33/423118533.db2.gz OMQXPPGTAGXHTN-UHFFFAOYSA-N -1 1 301.299 1.367 20 0 DDADMM C[C@H](CN(C)C(=O)[C@]1(C)[C@H]2Cc3ccccc3[C@H]21)c1nn[n-]n1 ZINC000365997879 418413979 /nfs/dbraw/zinc/41/39/79/418413979.db2.gz ZAKMZUQVTANKQD-KEAXFYSCSA-N -1 1 311.389 1.738 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CC[C@H](C)C2)o1 ZINC000360362891 418418650 /nfs/dbraw/zinc/41/86/50/418418650.db2.gz PYOYIHPVPOAYPH-VHSXEESVSA-N -1 1 301.364 1.781 20 0 DDADMM O=C([N-]OCc1cccnc1)[C@H]1CCCN1Cc1ccccn1 ZINC000366496678 418482121 /nfs/dbraw/zinc/48/21/21/418482121.db2.gz PZOHFNYJDZGSDC-MRXNPFEDSA-N -1 1 312.373 1.689 20 0 DDADMM O=C(N[C@@H]1CCC(=O)N[C@H]1C1CC1)c1cc(Cl)ccc1[O-] ZINC000367071433 418557063 /nfs/dbraw/zinc/55/70/63/418557063.db2.gz BKZRDHAXTUWSBY-RISCZKNCSA-N -1 1 308.765 1.833 20 0 DDADMM CCc1cc(=O)[nH]c(C[N@H+]2CC[C@](O)(c3ccc(F)cc3)C2)n1 ZINC000374891790 418575106 /nfs/dbraw/zinc/57/51/06/418575106.db2.gz IOXXBYLCDMRGMC-QGZVFWFLSA-N -1 1 317.364 1.977 20 0 DDADMM CC(C)(C)[C@@H](CCO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000194247833 222173389 /nfs/dbraw/zinc/17/33/89/222173389.db2.gz YWABDZOJJQWJCD-GFCCVEGCSA-N -1 1 303.362 1.450 20 0 DDADMM COc1ccc(NC(=O)CN2CCCC[C@@H]2CC(=O)[O-])cc1 ZINC000314760416 418595979 /nfs/dbraw/zinc/59/59/79/418595979.db2.gz QWFPCLGCICOCTJ-CYBMUJFWSA-N -1 1 306.362 1.963 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(Cl)ccc2[O-])C[C@H](C)S1(=O)=O ZINC000291300420 222297407 /nfs/dbraw/zinc/29/74/07/222297407.db2.gz PWPNFSSDVTVRGW-DTORHVGOSA-N -1 1 317.794 1.693 20 0 DDADMM COC[C@H](CCO)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000361977812 418734399 /nfs/dbraw/zinc/73/43/99/418734399.db2.gz YKNWXDNWMQGITO-QMMMGPOBSA-N -1 1 311.762 1.155 20 0 DDADMM Cc1ccc(NS(=O)(=O)c2cnc3n2CCC3)c(C(=O)[O-])c1 ZINC000361981857 418735085 /nfs/dbraw/zinc/73/50/85/418735085.db2.gz PGWUCYALGMGOIG-UHFFFAOYSA-N -1 1 321.358 1.637 20 0 DDADMM C[C@@]1(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CC1(Cl)Cl ZINC000371025453 418756594 /nfs/dbraw/zinc/75/65/94/418756594.db2.gz NPVPPBIMWFTGLC-XVKPBYJWSA-N -1 1 304.181 1.490 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC[C@@H]1[C@@H]1COCC[C@@H]1O ZINC000408408419 418801626 /nfs/dbraw/zinc/80/16/26/418801626.db2.gz OUAWKWUPKFDUBB-CFVMTHIKSA-N -1 1 309.337 1.533 20 0 DDADMM CC(C)(C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC000365413818 418857936 /nfs/dbraw/zinc/85/79/36/418857936.db2.gz AVNKSDLRZCXNDR-GFCCVEGCSA-N -1 1 319.340 1.217 20 0 DDADMM CSc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)ccc1F ZINC000372459020 418872518 /nfs/dbraw/zinc/87/25/18/418872518.db2.gz XQIHSNWSKDFPEP-SNVBAGLBSA-N -1 1 323.353 1.274 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])CC2(CCOCC2)O1 ZINC000365554071 418875177 /nfs/dbraw/zinc/87/51/77/418875177.db2.gz CSQYPLPJDZMAED-LLVKDONJSA-N -1 1 309.337 1.941 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)[C@H]1CCCN(c2ccccn2)C1 ZINC000373076277 418926889 /nfs/dbraw/zinc/92/68/89/418926889.db2.gz KZYUQFJMPMTNKE-ZFWWWQNUSA-N -1 1 305.378 1.872 20 0 DDADMM C[C@H](CN(C)C(=O)C1(c2cccnc2)CCCC1)c1nn[n-]n1 ZINC000365991241 418928237 /nfs/dbraw/zinc/92/82/37/418928237.db2.gz TUXPCUZEBMJVGA-GFCCVEGCSA-N -1 1 314.393 1.669 20 0 DDADMM CCOc1ncc(C)cc1[N-]S(=O)(=O)CCOCC1CC1 ZINC000424072070 228224888 /nfs/dbraw/zinc/22/48/88/228224888.db2.gz WTEAJVLBJFSKPK-UHFFFAOYSA-N -1 1 314.407 1.957 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H](CO)C(C)C)c(F)c1 ZINC000425234689 228396048 /nfs/dbraw/zinc/39/60/48/228396048.db2.gz MPVLBXLSBLEULR-SNVBAGLBSA-N -1 1 307.362 1.816 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cccnc1NC(C)=O)OC ZINC000421237071 419535166 /nfs/dbraw/zinc/53/51/66/419535166.db2.gz BEPRUNRLZVCJQO-SNVBAGLBSA-N -1 1 301.368 1.207 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(C(=O)OC)c(C)c1)OC ZINC000421145246 419500625 /nfs/dbraw/zinc/50/06/25/419500625.db2.gz CQVFRMDUYNKJFH-LBPRGKRZSA-N -1 1 315.391 1.948 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cccc(C(=O)OC)c1)OC ZINC000421146697 419503599 /nfs/dbraw/zinc/50/35/99/419503599.db2.gz ZWRGDZLNYDFAMQ-GFCCVEGCSA-N -1 1 301.364 1.640 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(-c2nn[nH]n2)cc1)OC ZINC000421159606 419507359 /nfs/dbraw/zinc/50/73/59/419507359.db2.gz WYCYURLVMXEOJN-NSHDSACASA-N -1 1 311.367 1.033 20 0 DDADMM Cc1ccccc1-c1cc([N-]S(=O)(=O)c2ccn(C)n2)[nH]n1 ZINC000434976896 229347608 /nfs/dbraw/zinc/34/76/08/229347608.db2.gz NVTVGXNKNCACOS-UHFFFAOYSA-N -1 1 317.374 1.919 20 0 DDADMM O=C(CCSCc1ccccn1)NC1(c2nn[n-]n2)CC1 ZINC000430318300 420107783 /nfs/dbraw/zinc/10/77/83/420107783.db2.gz TUQMGTYBNDWACW-UHFFFAOYSA-N -1 1 304.379 1.024 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc([S@@](C)=O)cc1)c1nn[n-]n1 ZINC000436160883 420314637 /nfs/dbraw/zinc/31/46/37/420314637.db2.gz AKULPJXYHWPHSW-AMXDTQDGSA-N -1 1 321.406 1.137 20 0 DDADMM CNC(=O)[C@H](CCSC)NC(=O)c1cc(F)ccc1[O-] ZINC000436752840 420363185 /nfs/dbraw/zinc/36/31/85/420363185.db2.gz YSXPWQKXHINBBL-JTQLQIEISA-N -1 1 300.355 1.129 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc(C(N)=O)s2)c1 ZINC000436502675 420334038 /nfs/dbraw/zinc/33/40/38/420334038.db2.gz DCOBJHCHKMRVGU-UHFFFAOYSA-N -1 1 320.326 1.592 20 0 DDADMM O=C(NCCN1C(=O)Cc2ccccc21)C(=O)c1ccc([O-])cc1 ZINC000436519343 420337510 /nfs/dbraw/zinc/33/75/10/420337510.db2.gz WPRLJROBACBQGV-UHFFFAOYSA-N -1 1 324.336 1.280 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC(O[C@@H]2CCOC2)CC1 ZINC000436571669 420342039 /nfs/dbraw/zinc/34/20/39/420342039.db2.gz DECXOTGPQXNCCC-CYBMUJFWSA-N -1 1 309.337 1.941 20 0 DDADMM Cc1oc(C2CC2)nc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425297140 420343001 /nfs/dbraw/zinc/34/30/01/420343001.db2.gz HSQPBRPRHMYAJK-SNVBAGLBSA-N -1 1 318.333 1.969 20 0 DDADMM O=C(C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ccccc1 ZINC000425311209 420346194 /nfs/dbraw/zinc/34/61/94/420346194.db2.gz XYLNUDFHOPHNAT-LLVKDONJSA-N -1 1 301.302 1.364 20 0 DDADMM Cc1cc(NC(=O)C(=O)c2ccc([O-])cc2)cc(OCCO)c1 ZINC000436636847 420348643 /nfs/dbraw/zinc/34/86/43/420348643.db2.gz SKFSKFCNMHORSU-UHFFFAOYSA-N -1 1 315.325 1.893 20 0 DDADMM O=C(c1cncc(Cl)c1)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000425325710 420350167 /nfs/dbraw/zinc/35/01/67/420350167.db2.gz SFMNCXFTINOEAJ-UHFFFAOYSA-N -1 1 308.725 1.843 20 0 DDADMM O=C(c1cncc(Cl)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425325710 420350171 /nfs/dbraw/zinc/35/01/71/420350171.db2.gz SFMNCXFTINOEAJ-UHFFFAOYSA-N -1 1 308.725 1.843 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cccc1F)C1(S(C)(=O)=O)CC1 ZINC000436648831 420351906 /nfs/dbraw/zinc/35/19/06/420351906.db2.gz RYFYCNFMUMCIMP-QMMMGPOBSA-N -1 1 301.339 1.227 20 0 DDADMM CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)c1cc(F)ccc1[O-] ZINC000436670895 420352757 /nfs/dbraw/zinc/35/27/57/420352757.db2.gz HIAMMQUKJIXOBM-OAHLLOKOSA-N -1 1 313.325 1.354 20 0 DDADMM CCc1cnc(CCNC(=O)C(=O)c2ccc([O-])cc2)s1 ZINC000436680896 420354376 /nfs/dbraw/zinc/35/43/76/420354376.db2.gz LAZHASRKUHMJAE-UHFFFAOYSA-N -1 1 304.371 1.953 20 0 DDADMM NC(=O)C[C@@H](NC(=O)c1ccc([O-])cc1F)c1ccccc1 ZINC000436682591 420354442 /nfs/dbraw/zinc/35/44/42/420354442.db2.gz VUDSNHHUBQFNNR-CQSZACIVSA-N -1 1 302.305 1.878 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cccn1C)c1cccs1 ZINC000416395514 420355450 /nfs/dbraw/zinc/35/54/50/420355450.db2.gz ZSKCDUMEAINVEC-NSHDSACASA-N -1 1 314.388 1.279 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@]1(C)CC(C)C ZINC000416249357 420296601 /nfs/dbraw/zinc/29/66/01/420296601.db2.gz XHLVWAUQEBHLGL-OAHLLOKOSA-N -1 1 309.366 1.937 20 0 DDADMM COc1cc(C(=O)N2CCN(C)C(=O)[C@@H]2C)cc(Cl)c1[O-] ZINC000442803422 229962577 /nfs/dbraw/zinc/96/25/77/229962577.db2.gz WIOPISRXWIMBQX-QMMMGPOBSA-N -1 1 312.753 1.357 20 0 DDADMM CC(=O)Nc1ccc(CNC(=O)c2c([O-])cccc2F)cn1 ZINC000436817938 420370422 /nfs/dbraw/zinc/37/04/22/420370422.db2.gz YUPKYTZIQPHYPS-UHFFFAOYSA-N -1 1 303.293 1.815 20 0 DDADMM C[C@H](c1ccccc1)[C@H](NC(=O)c1cc(F)ccc1[O-])C(N)=O ZINC000436834107 420374918 /nfs/dbraw/zinc/37/49/18/420374918.db2.gz YFCNDAKHVYHHIB-BMIGLBTASA-N -1 1 316.332 1.919 20 0 DDADMM O=C(N[C@@H](CO)c1ccc(F)cc1)C(=O)c1ccc([O-])cc1 ZINC000436999684 420391221 /nfs/dbraw/zinc/39/12/21/420391221.db2.gz MVVBKJDPVOQKNR-AWEZNQCLSA-N -1 1 303.289 1.564 20 0 DDADMM CCNC(=O)C(C)(C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000416523641 420405871 /nfs/dbraw/zinc/40/58/71/420405871.db2.gz YCBFJYRXOKCKMK-UHFFFAOYSA-N -1 1 306.334 1.158 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2cc(Cl)sc2Cl)CN1 ZINC000444211777 230065058 /nfs/dbraw/zinc/06/50/58/230065058.db2.gz KZWJWFGKKYLJCB-BYPYZUCNSA-N -1 1 315.203 1.222 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC(OC(F)F)C1 ZINC000437556929 420409857 /nfs/dbraw/zinc/40/98/57/420409857.db2.gz LNTMZZIUAIDJKF-UHFFFAOYSA-N -1 1 305.306 1.276 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C2CC2)C2CCC2)c1 ZINC000416512210 420402815 /nfs/dbraw/zinc/40/28/15/420402815.db2.gz PSJRBNCLTDDFEG-ZDUSSCGKSA-N -1 1 313.375 1.923 20 0 DDADMM CC(C)[C@@H]1C[C@H]1[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000416553215 420415440 /nfs/dbraw/zinc/41/54/40/420415440.db2.gz UMGJBUPAEOPOPD-JGVFFNPUSA-N -1 1 311.329 1.762 20 0 DDADMM COCC[C@@]1(NC(=O)c2csc(=NC3CC3)[n-]2)CCOC1 ZINC000446579362 230279951 /nfs/dbraw/zinc/27/99/51/230279951.db2.gz CMWINWMJPLFBDH-CQSZACIVSA-N -1 1 311.407 1.065 20 0 DDADMM Cc1nc(SCc2ncnn2CC(F)F)[n-]c(=O)c1C ZINC000447295666 230358327 /nfs/dbraw/zinc/35/83/27/230358327.db2.gz PWSMDICXDLVXQJ-UHFFFAOYSA-N -1 1 301.322 1.948 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2ccc(C(=O)OC)cc2)c1C ZINC000438220937 420447203 /nfs/dbraw/zinc/44/72/03/420447203.db2.gz FBMGGVIQOOYGDC-UHFFFAOYSA-N -1 1 323.374 1.868 20 0 DDADMM COc1ccc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000492366869 420535458 /nfs/dbraw/zinc/53/54/58/420535458.db2.gz ODHUPRLAFNAAJY-OYVUYXNMSA-N -1 1 313.361 1.628 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1sccc1Cl)N1CCOCC1 ZINC000451046106 420587597 /nfs/dbraw/zinc/58/75/97/420587597.db2.gz ZOYPHFDRTQSNDJ-VIFPVBQESA-N -1 1 324.855 1.401 20 0 DDADMM O=C(N[C@H]([C@H](CO)C1CC1)C1CC1)C(=O)c1ccc([O-])cc1 ZINC000452972123 420691727 /nfs/dbraw/zinc/69/17/27/420691727.db2.gz YXXFHMBLJNEUNZ-CABCVRRESA-N -1 1 303.358 1.488 20 0 DDADMM COc1cc(C(=O)NCCc2nncn2C)cc(Cl)c1[O-] ZINC000442651055 420693402 /nfs/dbraw/zinc/69/34/02/420693402.db2.gz OIGLKQRWQAEZIO-UHFFFAOYSA-N -1 1 310.741 1.155 20 0 DDADMM COc1cc(C(=O)N[C@H](CCO)CSC)cc(Cl)c1[O-] ZINC000442669077 420697010 /nfs/dbraw/zinc/69/70/10/420697010.db2.gz FUZTWFQVOVYRAN-SECBINFHSA-N -1 1 319.810 1.898 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)/C=C/c2ccnn2C)c1 ZINC000492901302 420703336 /nfs/dbraw/zinc/70/33/36/420703336.db2.gz QKUYIHNAWCHZHB-GQCTYLIASA-N -1 1 301.302 1.564 20 0 DDADMM COc1cc(C=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccc1F ZINC000493435878 420850608 /nfs/dbraw/zinc/85/06/08/420850608.db2.gz USTHUAASQJGUJA-ONRRBMGISA-N -1 1 319.340 1.623 20 0 DDADMM CC(C)c1nc(CNC(=O)N=c2[n-]nc(C(C)(C)C)s2)n[nH]1 ZINC000454553365 420881398 /nfs/dbraw/zinc/88/13/98/420881398.db2.gz WVNWPVSHWYTHLR-UHFFFAOYSA-N -1 1 323.426 1.821 20 0 DDADMM C[C@@H](CO[N-]C(=O)[C@@H]1CCCOC1)NC(=O)OC(C)(C)C ZINC000494101684 420991899 /nfs/dbraw/zinc/99/18/99/420991899.db2.gz AJQQJNBZSKTZNY-WDEREUQCSA-N -1 1 302.371 1.374 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C[C@H]1C ZINC000449833716 421092003 /nfs/dbraw/zinc/09/20/03/421092003.db2.gz IEUSCXKHJDJGPP-HLTSFMKQSA-N -1 1 300.384 1.219 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C[C@H]1C ZINC000449833716 421092008 /nfs/dbraw/zinc/09/20/08/421092008.db2.gz IEUSCXKHJDJGPP-HLTSFMKQSA-N -1 1 300.384 1.219 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C(C)(C)OC(C)C ZINC000449893437 421107092 /nfs/dbraw/zinc/10/70/92/421107092.db2.gz XRLQAGUPDLNHQV-UHFFFAOYSA-N -1 1 320.393 1.872 20 0 DDADMM CC[C@@H]1CN(C(=O)Cc2ccc(O)c(F)c2)CC[N@H+]1C[C@H](C)O ZINC000450026770 421130614 /nfs/dbraw/zinc/13/06/14/421130614.db2.gz NAMWXURTMVWMFX-GXTWGEPZSA-N -1 1 324.396 1.377 20 0 DDADMM CC(C)(NC(=O)[C@@H]1COc2ccc(Cl)cc21)c1nn[n-]n1 ZINC000490019983 421186060 /nfs/dbraw/zinc/18/60/60/421186060.db2.gz QGFCSHCFVFGSNP-SECBINFHSA-N -1 1 307.741 1.381 20 0 DDADMM CCOC(=O)c1csc(=NC[C@@H](CO)c2cccnc2)[n-]1 ZINC000450530145 421207039 /nfs/dbraw/zinc/20/70/39/421207039.db2.gz XOGJWGFRVGFINN-NSHDSACASA-N -1 1 307.375 1.325 20 0 DDADMM C[C@@](O)(C1CCN(C(=O)c2cncc([O-])c2)CC1)C(F)(F)F ZINC000546664491 421302539 /nfs/dbraw/zinc/30/25/39/421302539.db2.gz WGNVQQQWTFUGDV-CYBMUJFWSA-N -1 1 318.295 1.953 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1CSc2ccccc21 ZINC000524578968 421262418 /nfs/dbraw/zinc/26/24/18/421262418.db2.gz HDTPPCDCSSIAHV-NSHDSACASA-N -1 1 315.402 1.975 20 0 DDADMM CCCc1ncc(C(=O)NC2(c3nn[n-]n3)CCCC2)s1 ZINC000525165994 421279819 /nfs/dbraw/zinc/27/98/19/421279819.db2.gz GWCHRENCLHDUMS-UHFFFAOYSA-N -1 1 306.395 1.808 20 0 DDADMM C[C@@H]1c2ccsc2CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000546080394 421283663 /nfs/dbraw/zinc/28/36/63/421283663.db2.gz BKJDGQWTACVPAH-MRVPVSSYSA-N -1 1 315.358 1.239 20 0 DDADMM CC[C@H]1[C@@H](C(=O)Nc2nnn[n-]2)CCN1C(=O)OC(C)(C)C ZINC000496930927 421338340 /nfs/dbraw/zinc/33/83/40/421338340.db2.gz OQSXGJQQACFHMB-IUCAKERBSA-N -1 1 310.358 1.174 20 0 DDADMM CC[C@H]1[C@@H](C(=O)Nc2nn[n-]n2)CCN1C(=O)OC(C)(C)C ZINC000496930927 421338342 /nfs/dbraw/zinc/33/83/42/421338342.db2.gz OQSXGJQQACFHMB-IUCAKERBSA-N -1 1 310.358 1.174 20 0 DDADMM COC(=O)CN(Cc1ccccc1)C(=O)c1cccc2nn[nH]c21 ZINC000527109047 421355851 /nfs/dbraw/zinc/35/58/51/421355851.db2.gz NEBBYQCQVNPGAN-UHFFFAOYSA-N -1 1 324.340 1.773 20 0 DDADMM COc1cc(C(=O)N2CCN3C(=O)CC[C@H]3C2)cc(Cl)c1[O-] ZINC000527193701 421363571 /nfs/dbraw/zinc/36/35/71/421363571.db2.gz FNSOUTAJLXRVRB-JTQLQIEISA-N -1 1 324.764 1.501 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ncc(C)cc1[O-])C1CCCCC1 ZINC000547977369 421384281 /nfs/dbraw/zinc/38/42/81/421384281.db2.gz YPBSYMTYCWDVOX-ZDUSSCGKSA-N -1 1 306.362 1.947 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@]3(C2)OCc2ccccc23)nc1=O ZINC000547837673 421372047 /nfs/dbraw/zinc/37/20/47/421372047.db2.gz WNPBSCMBFAFVMG-INIZCTEOSA-N -1 1 300.362 1.130 20 0 DDADMM Cc1nccc(CCC(=O)Nc2n[n-]c(C(F)(F)F)n2)n1 ZINC000562927633 421426806 /nfs/dbraw/zinc/42/68/06/421426806.db2.gz BDYIGXKTIOUTLW-UHFFFAOYSA-N -1 1 300.244 1.493 20 0 DDADMM C[C@@H](CN(CCCS(C)(=O)=O)Cc1ccccc1)C(=O)[O-] ZINC000563285175 421481748 /nfs/dbraw/zinc/48/17/48/421481748.db2.gz YETYQLAOKSGDNX-ZDUSSCGKSA-N -1 1 313.419 1.644 20 0 DDADMM O=C([C@@H]1CC[C@@H](C(F)(F)F)C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000552121281 421575197 /nfs/dbraw/zinc/57/51/97/421575197.db2.gz ZLGYZHINKCAXBH-KXUCPTDWSA-N -1 1 317.315 1.884 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(N2CCCC2)ccn1)c1nn[n-]n1 ZINC000563675608 421533059 /nfs/dbraw/zinc/53/30/59/421533059.db2.gz LWGSHJZCACMHSH-NSHDSACASA-N -1 1 315.381 1.071 20 0 DDADMM Cc1cnc(S[C@H]2CCCN(c3cnn(C)c3)C2=O)[n-]c1=O ZINC000518398423 421653911 /nfs/dbraw/zinc/65/39/11/421653911.db2.gz RPWBMTANVUZLIW-NSHDSACASA-N -1 1 319.390 1.512 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCC(c3n[nH]c(=O)o3)CC2)cc1 ZINC000570964740 421679389 /nfs/dbraw/zinc/67/93/89/421679389.db2.gz ZCDDUURDQGLVQD-UHFFFAOYSA-N -1 1 317.301 1.493 20 0 DDADMM O=C([C@@H]1Cc2ccccc2S1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000538225670 421737838 /nfs/dbraw/zinc/73/78/38/421737838.db2.gz ZKNNQLDMJOGTEW-YPMHNXCESA-N -1 1 315.402 1.623 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@H]1c1ccc(Cl)cc1 ZINC000521980729 421808209 /nfs/dbraw/zinc/80/82/09/421808209.db2.gz GQBRCLJUWGRCGK-WDEREUQCSA-N -1 1 303.753 1.762 20 0 DDADMM COc1cccc(CCC(=O)NC(C)(C)c2nn[n-]n2)c1OC ZINC000558640641 421817181 /nfs/dbraw/zinc/81/71/81/421817181.db2.gz AHNYFRMCZCUXHG-UHFFFAOYSA-N -1 1 319.365 1.201 20 0 DDADMM O=C(NC[C@@H]1CCCCS1)c1nc2ccccc2c(=O)[n-]1 ZINC000542189060 421818531 /nfs/dbraw/zinc/81/85/31/421818531.db2.gz BVEFWPLNZKKPNR-JTQLQIEISA-N -1 1 303.387 1.939 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2c(c1)C(C)(C)CO2)c1nn[n-]n1 ZINC000558961562 421828143 /nfs/dbraw/zinc/82/81/43/421828143.db2.gz DEIBCSCYLFMHLY-SNVBAGLBSA-N -1 1 315.377 1.745 20 0 DDADMM CS[C@H](CNS(=O)(=O)c1c(C)o[n-]c1=N)C(C)(C)C ZINC000572409140 421785878 /nfs/dbraw/zinc/78/58/78/421785878.db2.gz AJZLZIFBRMFUOA-MRVPVSSYSA-N -1 1 307.441 1.452 20 0 DDADMM CS[C@H](C[N-]S(=O)(=O)c1c(C)onc1N)C(C)(C)C ZINC000572409140 421785880 /nfs/dbraw/zinc/78/58/80/421785880.db2.gz AJZLZIFBRMFUOA-MRVPVSSYSA-N -1 1 307.441 1.452 20 0 DDADMM Cn1nccc1[C@H](CO)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633400621 421883639 /nfs/dbraw/zinc/88/36/39/421883639.db2.gz OQBUJQVSCAVFSM-NSHDSACASA-N -1 1 309.753 1.171 20 0 DDADMM C[C@@H]([C@@H]1Cc2ccccc2O1)N(C)Cc1cc(C(=O)[O-])nn1C ZINC000635303774 421892461 /nfs/dbraw/zinc/89/24/61/421892461.db2.gz NOJKBWXZQJCRDW-ZBEGNZNMSA-N -1 1 315.373 1.942 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NCc1cccc(OCC(=O)[O-])c1 ZINC000630143195 421916451 /nfs/dbraw/zinc/91/64/51/421916451.db2.gz WVJRUHZEQQUOGD-LLVKDONJSA-N -1 1 317.345 1.368 20 0 DDADMM O=C(CNC(=O)c1cc2ccccc2cc1[O-])N1CCCO1 ZINC000627879087 421928493 /nfs/dbraw/zinc/92/84/93/421928493.db2.gz XEIFWITVLAQGRC-UHFFFAOYSA-N -1 1 300.314 1.439 20 0 DDADMM CCO[C@@H]1C[C@@](NC(=O)[C@@H](C)Cc2cnc[nH]2)(C(=O)[O-])C1(C)C ZINC000630170812 421935214 /nfs/dbraw/zinc/93/52/14/421935214.db2.gz PFYDMJDWYBBSJU-KANYHAFZSA-N -1 1 323.393 1.363 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2COc3ccccc32)CC1 ZINC000630223411 421973851 /nfs/dbraw/zinc/97/38/51/421973851.db2.gz KPYQKSCYRCEFQP-OCCSQVGLSA-N -1 1 318.373 1.170 20 0 DDADMM CC(C)Oc1ccccc1CNC(=O)CCCc1nn[n-]n1 ZINC000635398069 421951257 /nfs/dbraw/zinc/95/12/57/421951257.db2.gz QJQFSCXKONPANY-UHFFFAOYSA-N -1 1 303.366 1.626 20 0 DDADMM COC1(C[C@@H](NC(=O)c2cnccc2N(C)C)C(=O)[O-])CCC1 ZINC000630198373 421953107 /nfs/dbraw/zinc/95/31/07/421953107.db2.gz BQLMHCVUDAOLFM-GFCCVEGCSA-N -1 1 321.377 1.290 20 0 DDADMM CN1CCCC[C@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1=O ZINC000635408103 421964817 /nfs/dbraw/zinc/96/48/17/421964817.db2.gz GYGQDKUMJSCFDZ-ZCFIWIBFSA-N -1 1 305.260 1.021 20 0 DDADMM C[C@H](Cc1ccco1)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630219908 421969797 /nfs/dbraw/zinc/96/97/97/421969797.db2.gz KDTATDWCLGLCEN-OLZOCXBDSA-N -1 1 308.378 1.466 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)Cc2ccc(F)cc2)CC1 ZINC000630221660 421972437 /nfs/dbraw/zinc/97/24/37/421972437.db2.gz BCPRIWFHVMKJNN-LBPRGKRZSA-N -1 1 308.353 1.376 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1Cc2ccccc2C[C@@H]1C(=O)[O-] ZINC000630127319 421904330 /nfs/dbraw/zinc/90/43/30/421904330.db2.gz RCDSHOZJLMYYIG-XHDPSFHLSA-N -1 1 313.357 1.626 20 0 DDADMM O=C([O-])COCCNCc1cc(F)ccc1Br ZINC000635316730 421904559 /nfs/dbraw/zinc/90/45/59/421904559.db2.gz OHLROAIPQDTSRM-UHFFFAOYSA-N -1 1 306.131 1.779 20 0 DDADMM O=C(C(=O)N1CC[C@@H](Nc2ncccn2)C1)c1ccc([O-])cc1 ZINC000581668707 422024245 /nfs/dbraw/zinc/02/42/45/422024245.db2.gz PWYHSIKSQIEIBZ-GFCCVEGCSA-N -1 1 312.329 1.078 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCC[C@H](O)C2)c1 ZINC000632024746 422031032 /nfs/dbraw/zinc/03/10/32/422031032.db2.gz SXOSNCNOUCGWBM-MNOVXSKESA-N -1 1 315.391 1.230 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(Oc2cccc(F)c2)CC1 ZINC000633669132 422037397 /nfs/dbraw/zinc/03/73/97/422037397.db2.gz YWEQLHRNKUYYQT-UHFFFAOYSA-N -1 1 319.340 1.341 20 0 DDADMM C[C@@H]1CN(C(=O)CCCc2nn[n-]n2)C[C@@H](c2ccccc2)O1 ZINC000635422412 421976945 /nfs/dbraw/zinc/97/69/45/421976945.db2.gz MBIWXWRDAQMHFB-OCCSQVGLSA-N -1 1 315.377 1.511 20 0 DDADMM CC(C)n1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)n1 ZINC000630226857 421978328 /nfs/dbraw/zinc/97/83/28/421978328.db2.gz SLLSBSMXAHHTEC-UHFFFAOYSA-N -1 1 308.382 1.085 20 0 DDADMM CC(C)N1CC[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1=O ZINC000631970760 421987100 /nfs/dbraw/zinc/98/71/00/421987100.db2.gz DGNBTTVEGTTZCO-MRVPVSSYSA-N -1 1 322.333 1.505 20 0 DDADMM O=C([O-])[C@H](C[C@H]1CCCOC1)NC(=O)c1[nH]nc2c1CCCC2 ZINC000630272025 422001298 /nfs/dbraw/zinc/00/12/98/422001298.db2.gz GZZPYPONWDQLJH-MFKMUULPSA-N -1 1 321.377 1.288 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC2(CCCC2)[C@H]1c1ccco1 ZINC000633617526 422006698 /nfs/dbraw/zinc/00/66/98/422006698.db2.gz HRKQXHJPYPPFKY-CQSZACIVSA-N -1 1 301.350 1.869 20 0 DDADMM COc1cccc([C@@]2(C)CCN(C(=O)CCc3nn[n-]n3)C2)c1 ZINC000633631617 422014491 /nfs/dbraw/zinc/01/44/91/422014491.db2.gz CWAYTHQKTGCBCG-INIZCTEOSA-N -1 1 315.377 1.331 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cccc3n[nH]cc32)[C@](C)(C(=O)[O-])C1 ZINC000630318932 422021791 /nfs/dbraw/zinc/02/17/91/422021791.db2.gz ZVTGZQUIZVZDSA-VFZGTOFNSA-N -1 1 303.318 1.267 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1[C@H]2Cc3c(cccc3Cl)[C@@H]12 ZINC000633685339 422048368 /nfs/dbraw/zinc/04/83/68/422048368.db2.gz ASFCTMZJFFPAFW-CUOATXAZSA-N -1 1 303.753 1.240 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@]1(C)CCc2ccccc21 ZINC000633691068 422052381 /nfs/dbraw/zinc/05/23/81/422052381.db2.gz KQRFKDQFVBAHFE-QGZVFWFLSA-N -1 1 312.373 1.342 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1c(F)cccc1NC1CC1 ZINC000635635060 422187391 /nfs/dbraw/zinc/18/73/91/422187391.db2.gz HDFWQLXMIDGMNG-UHFFFAOYSA-N -1 1 304.329 1.875 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1nc(C(F)(F)F)cs1 ZINC000635598522 422152257 /nfs/dbraw/zinc/15/22/57/422152257.db2.gz XWACVCNVKVYQDX-UHFFFAOYSA-N -1 1 320.300 1.314 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)CCCc2nn[n-]n2)C12CCCCC2 ZINC000635607521 422160902 /nfs/dbraw/zinc/16/09/02/422160902.db2.gz JRZWQRGASAYCIS-CHWSQXEVSA-N -1 1 321.425 1.767 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](CO)c2ccsc2)sn1 ZINC000632227206 422178203 /nfs/dbraw/zinc/17/82/03/422178203.db2.gz RDNMOUHJBHCEOJ-SECBINFHSA-N -1 1 304.418 1.525 20 0 DDADMM CC1(C)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)c2ccccc21 ZINC000582153776 422118923 /nfs/dbraw/zinc/11/89/23/422118923.db2.gz LBRFIANMGQXDJQ-UHFFFAOYSA-N -1 1 323.356 1.746 20 0 DDADMM Cc1cc(N2CCCC2)ccc1NC(=O)CCc1nn[n-]n1 ZINC000630471367 422123406 /nfs/dbraw/zinc/12/34/06/422123406.db2.gz BHZNHLZBQXBKPW-UHFFFAOYSA-N -1 1 300.366 1.680 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCOC(F)(F)F)c1 ZINC000632266075 422206295 /nfs/dbraw/zinc/20/62/95/422206295.db2.gz PESLAFJFCDXYJK-UHFFFAOYSA-N -1 1 315.269 1.216 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)F)c1ccc(C(F)F)o1 ZINC000632276921 422214956 /nfs/dbraw/zinc/21/49/56/422214956.db2.gz BFKKXTMHZQGBRJ-YFKPBYRVSA-N -1 1 305.249 1.512 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1COc2c1cccc2Cl ZINC000635677063 422231924 /nfs/dbraw/zinc/23/19/24/422231924.db2.gz PZTXAYURYGDJDT-JTQLQIEISA-N -1 1 307.741 1.426 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C(F)(F)F)nn1C)c1nn[n-]n1 ZINC000584094152 422256277 /nfs/dbraw/zinc/25/62/77/422256277.db2.gz RMLARUUIHPQRTA-ZCFIWIBFSA-N -1 1 317.275 1.223 20 0 DDADMM CO[C@@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)[C@](C)(CO)C1 ZINC000634077055 422256807 /nfs/dbraw/zinc/25/68/07/422256807.db2.gz ZTJNSINOADLFCX-NHYWBVRUSA-N -1 1 313.781 1.586 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCc2cn[nH]c2)c(F)c1 ZINC000632344004 422264237 /nfs/dbraw/zinc/26/42/37/422264237.db2.gz JNKSSHIKOWPIAR-UHFFFAOYSA-N -1 1 317.317 1.218 20 0 DDADMM COC(C)(C)c1nc(=NC(=O)[C@@H](C)Cc2cnc[nH]2)s[n-]1 ZINC000634149611 422288356 /nfs/dbraw/zinc/28/83/56/422288356.db2.gz ZABWSGCEBDLJDU-QMMMGPOBSA-N -1 1 309.395 1.382 20 0 DDADMM CNC(=O)[C@@H]1CCCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630717006 422289206 /nfs/dbraw/zinc/28/92/06/422289206.db2.gz FLBKLUMAAOBVJK-LBPRGKRZSA-N -1 1 310.781 1.715 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC1C2CC3CC(C2)CC1C3 ZINC000635749793 422308237 /nfs/dbraw/zinc/30/82/37/422308237.db2.gz NMXWFPSUBLLINQ-UHFFFAOYSA-N -1 1 303.410 1.711 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@@H](Oc2cccc(F)c2)C1 ZINC000635805188 422362091 /nfs/dbraw/zinc/36/20/91/422362091.db2.gz CFJODCJYWPRJNZ-CYBMUJFWSA-N -1 1 319.340 1.341 20 0 DDADMM CC(C)N1C[C@@H](NC(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000630887905 422395253 /nfs/dbraw/zinc/39/52/53/422395253.db2.gz WCLNMEUEJHAHHJ-NSHDSACASA-N -1 1 310.781 1.714 20 0 DDADMM CN1CCOC[C@@H]1CNC(=O)c1ccc2ccccc2c1[O-] ZINC000628922483 422399121 /nfs/dbraw/zinc/39/91/21/422399121.db2.gz NYSXXSASPCGXHL-ZDUSSCGKSA-N -1 1 300.358 1.606 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C ZINC000628949085 422414890 /nfs/dbraw/zinc/41/48/90/422414890.db2.gz UNOYCHBNOBLYMX-HLFIEIIFSA-N -1 1 311.407 1.754 20 0 DDADMM CC(C)c1c(Cc2ccccc2)[n-]n(-c2nccn(C)c2=O)c1=O ZINC000634613517 422507405 /nfs/dbraw/zinc/50/74/05/422507405.db2.gz AJOSVDYVMVYPAK-HNNXBMFYSA-N -1 1 324.384 1.998 20 0 DDADMM COCCCn1[n-]c2c(c1=O)CCN(Cc1ccccc1)C2 ZINC000634625318 422512645 /nfs/dbraw/zinc/51/26/45/422512645.db2.gz DCEREWDTNGQHTM-HNNXBMFYSA-N -1 1 301.390 1.743 20 0 DDADMM COC[C@](C)(O)CC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000578294200 422539571 /nfs/dbraw/zinc/53/95/71/422539571.db2.gz SPNGDEKTQAFVJF-CQSZACIVSA-N -1 1 313.375 1.499 20 0 DDADMM O=C(Cc1cnccc1Cl)NC1(c2nn[n-]n2)CCCC1 ZINC000578377753 422557928 /nfs/dbraw/zinc/55/79/28/422557928.db2.gz XTHDJBPBRVUELQ-UHFFFAOYSA-N -1 1 306.757 1.376 20 0 DDADMM COC[C@@H](NC(=O)CCc1nn[n-]n1)c1ccc(Cl)cc1 ZINC000632780904 422574187 /nfs/dbraw/zinc/57/41/87/422574187.db2.gz VBJNSDRHQVEAAI-LLVKDONJSA-N -1 1 309.757 1.290 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCCc2c(F)cccc21 ZINC000635970715 422523792 /nfs/dbraw/zinc/52/37/92/422523792.db2.gz MSXVELQBPAYZLY-ZDUSSCGKSA-N -1 1 303.341 1.855 20 0 DDADMM O=S(=O)(Cc1ncccn1)c1nc(-c2ccccc2)n[n-]1 ZINC000578214692 422524881 /nfs/dbraw/zinc/52/48/81/422524881.db2.gz XVBAADABHBLJOH-UHFFFAOYSA-N -1 1 301.331 1.236 20 0 DDADMM O=S(=O)(Cc1ncccn1)c1n[n-]c(-c2ccccc2)n1 ZINC000578214692 422524886 /nfs/dbraw/zinc/52/48/86/422524886.db2.gz XVBAADABHBLJOH-UHFFFAOYSA-N -1 1 301.331 1.236 20 0 DDADMM COC1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCOCC1 ZINC000629380923 422639224 /nfs/dbraw/zinc/63/92/24/422639224.db2.gz TVXMGASNESPECY-UHFFFAOYSA-N -1 1 317.345 1.712 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H]1CCCC(=O)N1 ZINC000629376776 422639555 /nfs/dbraw/zinc/63/95/55/422639555.db2.gz ISLFTOQGENMDNZ-LBPRGKRZSA-N -1 1 300.318 1.185 20 0 DDADMM CNS(=O)(=O)[C@H]1CCN(Cc2cccc([O-])c2Cl)C1 ZINC000646103721 423337000 /nfs/dbraw/zinc/33/70/00/423337000.db2.gz XPPNECCTCCLHLD-JTQLQIEISA-N -1 1 304.799 1.169 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)N2CCN(C)C(C)(C)C2)s1 ZINC000639454540 423506406 /nfs/dbraw/zinc/50/64/06/423506406.db2.gz HJGTVWYYIPFIRX-UHFFFAOYSA-N -1 1 311.455 1.861 20 0 DDADMM Cc1c(C(C)C)[nH][nH]c1=NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000649231303 423690507 /nfs/dbraw/zinc/69/05/07/423690507.db2.gz PGOMQESIHHVAHN-UHFFFAOYSA-N -1 1 305.407 1.968 20 0 DDADMM CCN1C[C@H](C[N-]S(=O)(=O)c2cc(F)ccc2F)CC1=O ZINC000651857720 423714903 /nfs/dbraw/zinc/71/49/03/423714903.db2.gz MTVWGJYFUJVSHV-VIFPVBQESA-N -1 1 318.345 1.112 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncccc1Br)C1CC1 ZINC000656863290 423812674 /nfs/dbraw/zinc/81/26/74/423812674.db2.gz FMQASLPPSQQRSI-ZETCQYMHSA-N -1 1 305.197 1.921 20 0 DDADMM C[C@H](NC(=O)N(C)Cc1cnc[nH]1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000659414178 423816334 /nfs/dbraw/zinc/81/63/34/423816334.db2.gz VAWSTQDRIDNDEY-ZMLRMANQSA-N -1 1 308.382 1.687 20 0 DDADMM O=S(=O)([N-]CCC1CC1)c1ncccc1Br ZINC000656919059 423878730 /nfs/dbraw/zinc/87/87/30/423878730.db2.gz CIUFURPDIIVMGM-UHFFFAOYSA-N -1 1 305.197 1.923 20 0 DDADMM Cc1cccc(CN2CCN(c3ccc(C(=O)[O-])nn3)CC2)c1 ZINC000649383232 423832313 /nfs/dbraw/zinc/83/23/13/423832313.db2.gz GOOJYNZIHRNROQ-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)C[C@H](C)C(=O)[O-])c[n-]c2[nH+]1 ZINC000647250702 423911586 /nfs/dbraw/zinc/91/15/86/423911586.db2.gz UZPZXZWUQKSZSZ-QMMMGPOBSA-N -1 1 303.318 1.437 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)C[C@@H](C)C(=O)[O-])c[n-]c2[nH+]1 ZINC000647250701 423911988 /nfs/dbraw/zinc/91/19/88/423911988.db2.gz UZPZXZWUQKSZSZ-MRVPVSSYSA-N -1 1 303.318 1.437 20 0 DDADMM C[C@H](O)C[C@H](C)[N-]S(=O)(=O)c1ncccc1Br ZINC000656957354 423922514 /nfs/dbraw/zinc/92/25/14/423922514.db2.gz VUEAHVSHAQGOFU-YUMQZZPRSA-N -1 1 323.212 1.282 20 0 DDADMM O=C(c1cc2nc[nH]c2cc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000644869193 423995905 /nfs/dbraw/zinc/99/59/05/423995905.db2.gz PLJSKXFEGQVAHW-QMMMGPOBSA-N -1 1 315.312 1.235 20 0 DDADMM O=C([C@H]1Cc2ccc(F)cc21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887237 424018847 /nfs/dbraw/zinc/01/88/47/424018847.db2.gz SOLCQXBOXNXXMZ-ZDUSSCGKSA-N -1 1 317.320 1.960 20 0 DDADMM CN1CCN(c2ncccc2CN=c2[n-]nc(C(F)F)o2)CC1 ZINC000644935261 424058711 /nfs/dbraw/zinc/05/87/11/424058711.db2.gz AGMBDXXQFKARHM-UHFFFAOYSA-N -1 1 324.335 1.188 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1nc(C)c(C)s1)[C@H]1CCOC1 ZINC000657047980 424035970 /nfs/dbraw/zinc/03/59/70/424035970.db2.gz XPFBREUUYRSLDG-QWRGUYRKSA-N -1 1 320.436 1.090 20 0 DDADMM COc1cc(F)cc(C[C@@H](C)Nc2nc3[nH][n-]cc-3c(=O)n2)c1 ZINC000649565419 424038649 /nfs/dbraw/zinc/03/86/49/424038649.db2.gz PZLHITMPXOORBO-MRVPVSSYSA-N -1 1 317.324 1.623 20 0 DDADMM O=C(C[C@H]1OCc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000654944625 424046175 /nfs/dbraw/zinc/04/61/75/424046175.db2.gz WWDXQPVYBANPAJ-SMDDNHRTSA-N -1 1 313.361 1.567 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2ccc(C(=O)[O-])nc2)C[C@H](C)O1 ZINC000642206996 424131301 /nfs/dbraw/zinc/13/13/01/424131301.db2.gz RLEIZAITXSUUBX-TXEJJXNPSA-N -1 1 321.377 1.009 20 0 DDADMM O=C(NCCc1nnc[nH]1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000655115896 424224034 /nfs/dbraw/zinc/22/40/34/424224034.db2.gz GNCYNSFYQIMPLD-UHFFFAOYSA-N -1 1 300.240 1.502 20 0 DDADMM CC(C)(C)n1nnc(CNC(=O)c2c(F)ccc([O-])c2F)n1 ZINC000655250686 424342413 /nfs/dbraw/zinc/34/24/13/424342413.db2.gz QRAABZLKWKEXST-UHFFFAOYSA-N -1 1 311.292 1.342 20 0 DDADMM NC(=O)[C@H]1CCCC[C@@H]1NC(=O)N=c1[n-]sc2ccccc21 ZINC000640342211 424362542 /nfs/dbraw/zinc/36/25/42/424362542.db2.gz MWSYAWCSEILIRU-ONGXEEELSA-N -1 1 318.402 1.884 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@]1(CCO)CCOC1 ZINC000640341196 424363194 /nfs/dbraw/zinc/36/31/94/424363194.db2.gz FKRBULANFIUONE-HNNXBMFYSA-N -1 1 321.402 1.629 20 0 DDADMM CCOC[C@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CCO1 ZINC000640343433 424368096 /nfs/dbraw/zinc/36/80/96/424368096.db2.gz QCFPHLXEXRDUJQ-LLVKDONJSA-N -1 1 321.402 1.987 20 0 DDADMM Cc1noc(CN(C)C(=O)c2cnc3nc(C)ccc3c2[O-])n1 ZINC000655411084 424456247 /nfs/dbraw/zinc/45/62/47/424456247.db2.gz GSYSGCJQOXHWQV-UHFFFAOYSA-N -1 1 313.317 1.607 20 0 DDADMM Cc1noc(CN(C)C(=O)c2c[n-]c3nc(C)ccc3c2=O)n1 ZINC000655411084 424456251 /nfs/dbraw/zinc/45/62/51/424456251.db2.gz GSYSGCJQOXHWQV-UHFFFAOYSA-N -1 1 313.317 1.607 20 0 DDADMM Cn1[n-]c(CN2CC[C@H]2c2ccc(Br)cc2)nc1=O ZINC000662094391 424389752 /nfs/dbraw/zinc/38/97/52/424389752.db2.gz MDCLMBHUXQBNMP-NSHDSACASA-N -1 1 323.194 1.818 20 0 DDADMM C[C@H](c1nc(C(C)(C)C)no1)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000662219838 424489257 /nfs/dbraw/zinc/48/92/57/424489257.db2.gz LOBPFQZQAIYKMV-IQMDTDKHSA-N -1 1 309.366 1.461 20 0 DDADMM COCC[N@@H+]1CCC[C@H](NC(=O)c2ccc(C(C)=O)c(O)c2)C1 ZINC000665243248 424765364 /nfs/dbraw/zinc/76/53/64/424765364.db2.gz MWDIEMUMMAXJGH-AWEZNQCLSA-N -1 1 320.389 1.435 20 0 DDADMM COC(=O)[C@H](CC(F)F)[N-]S(=O)(=O)Cc1ccccc1 ZINC000341713102 271183914 /nfs/dbraw/zinc/18/39/14/271183914.db2.gz DULBTEVNVRTCKL-JTQLQIEISA-N -1 1 307.318 1.303 20 0 DDADMM O=C(N=c1cc(-c2cccs2)[n-][nH]1)c1cnn2cccnc12 ZINC000342265623 271359704 /nfs/dbraw/zinc/35/97/04/271359704.db2.gz RKSFFEXYURNAKT-UHFFFAOYSA-N -1 1 310.342 1.855 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000344123842 271952492 /nfs/dbraw/zinc/95/24/92/271952492.db2.gz HAUXLNLVSKYMKX-JGVFFNPUSA-N -1 1 320.436 1.626 20 0 DDADMM CC(C)[C@H](CO)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000344821007 272124381 /nfs/dbraw/zinc/12/43/81/272124381.db2.gz FTJXYSWEYWJJJS-QMMMGPOBSA-N -1 1 311.325 1.647 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)CCC2CC2)o1 ZINC000345950184 272373553 /nfs/dbraw/zinc/37/35/53/272373553.db2.gz PLLCQVIGMDVBNI-VIFPVBQESA-N -1 1 301.364 1.923 20 0 DDADMM C[C@@H]1CCCN(CCS(=O)(=O)c2ccccc2)[C@@H]1C(=O)[O-] ZINC000424260897 533689961 /nfs/dbraw/zinc/68/99/61/533689961.db2.gz DSXWONHCYLJFBU-OCCSQVGLSA-N -1 1 311.403 1.645 20 0 DDADMM Cc1cccc2ncnc(N3CCC[C@@H](c4nc(=O)[n-][nH]4)C3)c12 ZINC000285724890 278945626 /nfs/dbraw/zinc/94/56/26/278945626.db2.gz SJRZTXFGQCOKNL-LLVKDONJSA-N -1 1 310.361 1.734 20 0 DDADMM C[C@@H](NC(=O)c1cc(F)ccc1[O-])C(=O)NCc1ccccc1 ZINC000081945360 281011921 /nfs/dbraw/zinc/01/19/21/281011921.db2.gz DVXXJMSGJKSVSI-LLVKDONJSA-N -1 1 316.332 1.966 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)Nc2ccc(CC)cc2)n[n-]1 ZINC000086170521 281015750 /nfs/dbraw/zinc/01/57/50/281015750.db2.gz LFSUTRHFENEAIU-UHFFFAOYSA-N -1 1 322.390 1.342 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1C[C@H]1c1cccc(OC)c1)c1nn[n-]n1 ZINC000246596225 282000927 /nfs/dbraw/zinc/00/09/27/282000927.db2.gz XCHSEOWSJZUPQJ-MJBXVCDLSA-N -1 1 315.377 1.969 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H](CO)C3CCCC3)ccnc1-2 ZINC000290785246 294131494 /nfs/dbraw/zinc/13/14/94/294131494.db2.gz UGRXDPKCZVLZHY-CYUIRQCWSA-N -1 1 303.366 1.014 20 0 DDADMM CCOC(=O)c1cc(=NC(=O)C2CN(C3CCCCC3)C2)[nH][n-]1 ZINC000572219276 304512024 /nfs/dbraw/zinc/51/20/24/304512024.db2.gz JIRKAERMPYWWBJ-UHFFFAOYSA-N -1 1 320.393 1.211 20 0 DDADMM COc1ccc([C@H](C)C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000362781407 300139744 /nfs/dbraw/zinc/13/97/44/300139744.db2.gz MDYKNKQGSOTMQD-WCQYABFASA-N -1 1 315.377 1.718 20 0 DDADMM C[C@H](Oc1cccc(F)c1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000362782918 300139932 /nfs/dbraw/zinc/13/99/32/300139932.db2.gz XMJSJIPKQRLKJV-QWRGUYRKSA-N -1 1 319.340 1.512 20 0 DDADMM CCc1cc(C(=O)N2CC[NH+](CC(C)(C)O)CC2)ccc1O ZINC000362790061 300142182 /nfs/dbraw/zinc/14/21/82/300142182.db2.gz MYRKEOOKQDGMFN-UHFFFAOYSA-N -1 1 306.406 1.483 20 0 DDADMM C[C@H](Cc1cccc(F)c1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363516839 300279504 /nfs/dbraw/zinc/27/95/04/300279504.db2.gz CRIWCDWTMLJOAG-ZWNOBZJWSA-N -1 1 319.340 1.118 20 0 DDADMM COc1cc(Cl)ccc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363518438 300279592 /nfs/dbraw/zinc/27/95/92/300279592.db2.gz WAMUGVUPYAVFQT-LLVKDONJSA-N -1 1 323.740 1.075 20 0 DDADMM C[C@H]1CSCCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000287644014 300382829 /nfs/dbraw/zinc/38/28/29/300382829.db2.gz OVACAEVXQWNCGH-IQHDWMNZSA-N -1 1 305.407 1.559 20 0 DDADMM O=c1cc(CN2CC[C@@H]3CCOC(=O)[C@@H]32)c2ccc([O-])cc2o1 ZINC000364358218 300382928 /nfs/dbraw/zinc/38/29/28/300382928.db2.gz FMSSHIJILYDBTN-QLJPJBMISA-N -1 1 315.325 1.636 20 0 DDADMM O=C(CCc1nc[nH]n1)N=c1cc(-c2cc3ccccc3o2)[n-][nH]1 ZINC000368450738 301030627 /nfs/dbraw/zinc/03/06/27/301030627.db2.gz LVZRBGYKGFKTGY-UHFFFAOYSA-N -1 1 322.328 1.934 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC[C@@]2(CNC(=O)O2)C1 ZINC000368938949 301107407 /nfs/dbraw/zinc/10/74/07/301107407.db2.gz PXURCXVXKFNTLX-CQSZACIVSA-N -1 1 310.737 1.760 20 0 DDADMM CCc1cc(=O)[nH]c(C[N@H+]2C[C@H]3CCC[C@@]3(C(=O)OC)C2)n1 ZINC000369922214 301239962 /nfs/dbraw/zinc/23/99/62/301239962.db2.gz CAZJPNNFTLFASG-BDJLRTHQSA-N -1 1 305.378 1.520 20 0 DDADMM Cc1nc2c(s1)CCC[C@@H]2CN=c1ccc(C(N)=O)n[n-]1 ZINC000370710215 301381921 /nfs/dbraw/zinc/38/19/21/301381921.db2.gz SZETVLUQIFNZAD-SECBINFHSA-N -1 1 303.391 1.294 20 0 DDADMM O=C(/C=C/Sc1ccccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370857961 301398042 /nfs/dbraw/zinc/39/80/42/301398042.db2.gz AWNVLQQOZVPLFL-LZGFCCKTSA-N -1 1 317.374 1.406 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@H]2c2cccn2C)c([O-])c1 ZINC000331889558 302333175 /nfs/dbraw/zinc/33/31/75/302333175.db2.gz JWYJKLYXTJIFRN-ZDUSSCGKSA-N -1 1 301.346 1.648 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCOC[C@@H]1C ZINC000278519141 302561234 /nfs/dbraw/zinc/56/12/34/302561234.db2.gz MSRSLDJZYMTAPD-GXSJLCMTSA-N -1 1 307.394 1.943 20 0 DDADMM C[C@H](Cc1cccc(O)c1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000507206824 302724412 /nfs/dbraw/zinc/72/44/12/302724412.db2.gz AQXHNJIBNFPLKG-LLVKDONJSA-N -1 1 323.352 1.990 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2sccc2Cl)CC1 ZINC000521445290 302874765 /nfs/dbraw/zinc/87/47/65/302874765.db2.gz ILEQTWVPTJDMBE-UHFFFAOYSA-N -1 1 309.796 1.776 20 0 DDADMM C[C@@H](Cn1cccn1)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000530770068 303211307 /nfs/dbraw/zinc/21/13/07/303211307.db2.gz XFGVVMBEZUWOQT-NSHDSACASA-N -1 1 305.359 1.996 20 0 DDADMM CS(=O)(=O)Cc1nc(CNC2(C(=O)[O-])CCCC2)cs1 ZINC000532711932 303294760 /nfs/dbraw/zinc/29/47/60/303294760.db2.gz QZLQDADOOKLXKY-UHFFFAOYSA-N -1 1 318.420 1.175 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2ncc(C)cc2[O-])cc1 ZINC000545178660 303482272 /nfs/dbraw/zinc/48/22/72/303482272.db2.gz UTMGEFVNRHGEPY-UHFFFAOYSA-N -1 1 300.314 1.812 20 0 DDADMM COc1cncc(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)n1 ZINC000361893540 307010658 /nfs/dbraw/zinc/01/06/58/307010658.db2.gz RKZVTMOQGDCARZ-UHFFFAOYSA-N -1 1 301.331 1.611 20 0 DDADMM CC(C)[C@@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363571646 307031799 /nfs/dbraw/zinc/03/17/99/307031799.db2.gz RBOPKXDEDGLGLS-ZIAGYGMSSA-N -1 1 315.377 1.539 20 0 DDADMM C[C@H](Cc1ccccc1F)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366664640 307079195 /nfs/dbraw/zinc/07/91/95/307079195.db2.gz VEOMHFQIKJWYQJ-DGCLKSJQSA-N -1 1 317.368 1.924 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC[C@H]1C1CC1 ZINC000369020971 307114488 /nfs/dbraw/zinc/11/44/88/307114488.db2.gz FSPAFUHZJZDKHA-AWEZNQCLSA-N -1 1 314.389 1.995 20 0 DDADMM NC(=O)NC(=O)OCCCN1CC[C@@](F)(c2ccccc2)C1 ZINC000374540449 307209733 /nfs/dbraw/zinc/20/97/33/307209733.db2.gz XGQGSKJXWUKDKQ-HNNXBMFYSA-N -1 1 309.341 1.752 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C2CCC1CC2 ZINC000520566335 307461300 /nfs/dbraw/zinc/46/13/00/307461300.db2.gz RMMOYDLKTAMRFO-UHFFFAOYSA-N -1 1 300.362 1.748 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccc(C)c(Cl)c2)[n-]n1C ZINC000548149876 307759151 /nfs/dbraw/zinc/75/91/51/307759151.db2.gz FIEVTJLCNXZROQ-UHFFFAOYSA-N -1 1 315.782 1.613 20 0 DDADMM C[C@@H]1COCCN1CC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000548369419 307765623 /nfs/dbraw/zinc/76/56/23/307765623.db2.gz ZJTRDWDHUPWQQY-GFCCVEGCSA-N -1 1 324.402 1.432 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H]1CCC(C)(C)O1 ZINC000548645054 307782370 /nfs/dbraw/zinc/78/23/70/307782370.db2.gz PHVFXJIOFURIKL-SECBINFHSA-N -1 1 311.407 1.900 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Br)o2)CO1 ZINC000566164047 308047278 /nfs/dbraw/zinc/04/72/78/308047278.db2.gz KIJPGBBRNKJPKQ-RNFRBKRXSA-N -1 1 310.169 1.498 20 0 DDADMM CC(C)(C)N1CC[C@@H](NC(=O)c2c(F)ccc([O-])c2F)C1=O ZINC000567952194 308102273 /nfs/dbraw/zinc/10/22/73/308102273.db2.gz YUPHHRNZHWZCPL-SECBINFHSA-N -1 1 312.316 1.800 20 0 DDADMM CS(=O)(=O)N1CC[C@H](N=c2[n-]c(C(F)(F)F)cs2)C1 ZINC000568519440 308121679 /nfs/dbraw/zinc/12/16/79/308121679.db2.gz GLSIRKRTZSLKMP-LURJTMIESA-N -1 1 315.342 1.030 20 0 DDADMM CC(C)[C@](C)(O)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000569719864 308148469 /nfs/dbraw/zinc/14/84/69/308148469.db2.gz WTWBLMSIWMDJFZ-CYBMUJFWSA-N -1 1 314.432 1.982 20 0 DDADMM COC(=O)C[C@@H](C)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000570376659 308167958 /nfs/dbraw/zinc/16/79/58/308167958.db2.gz QBPBLOVYWMNOPT-SECBINFHSA-N -1 1 303.318 1.920 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@H]1CCCc2sccc21 ZINC000570660862 308176135 /nfs/dbraw/zinc/17/61/35/308176135.db2.gz SZLOJRJSUOHEFM-QMMMGPOBSA-N -1 1 305.363 1.284 20 0 DDADMM CCNC(=O)c1ccc(=NCCOc2ccc(C)cc2C)[n-]n1 ZINC000571264468 308193105 /nfs/dbraw/zinc/19/31/05/308193105.db2.gz ZTKJJWGQUMEYSC-UHFFFAOYSA-N -1 1 314.389 1.756 20 0 DDADMM CO[C@H]1CCN(Cc2cnn(C)c2C(F)(F)F)[C@@H](C(=O)[O-])C1 ZINC000573267265 308235273 /nfs/dbraw/zinc/23/52/73/308235273.db2.gz CRZPYSLWCILDHS-VHSXEESVSA-N -1 1 321.299 1.503 20 0 DDADMM Cc1nc(C(C)C)oc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000575444243 308277122 /nfs/dbraw/zinc/27/71/22/308277122.db2.gz PCBVRDSIQITYPS-JTQLQIEISA-N -1 1 304.354 1.639 20 0 DDADMM O=C(N[C@@H]1CCN(CC(F)F)C1)c1csc(=NC2CC2)[n-]1 ZINC000576806452 308340498 /nfs/dbraw/zinc/34/04/98/308340498.db2.gz HLSSDTMDKDRRLW-SECBINFHSA-N -1 1 316.377 1.209 20 0 DDADMM CCC[C@H]1CN(C(=O)[C@H](C(=O)[O-])C(C)C)CCN1CCOC ZINC000576878616 308346373 /nfs/dbraw/zinc/34/63/73/308346373.db2.gz FYXJNQSPBZVGOW-UONOGXRCSA-N -1 1 314.426 1.303 20 0 DDADMM Cc1cccc2c(CC(=O)N3CCC[C@H](c4nn[n-]n4)C3)c[nH]c21 ZINC000372369831 483932555 /nfs/dbraw/zinc/93/25/55/483932555.db2.gz ZSHKLBULHQYPDY-LBPRGKRZSA-N -1 1 324.388 1.938 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cnn(Cc2ccccn2)c1 ZINC000193322650 484126276 /nfs/dbraw/zinc/12/62/76/484126276.db2.gz ANHVBPIHPRJGFY-LLVKDONJSA-N -1 1 310.379 1.103 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1CC[C@H](C(=O)[O-])C1)c1cccs1 ZINC000234253496 484175857 /nfs/dbraw/zinc/17/58/57/484175857.db2.gz GHMHKNANGDARSA-GRYCIOLGSA-N -1 1 310.419 1.968 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Br)c([O-])c2)[C@@H](C)C[NH2+]1 ZINC000235683297 484177599 /nfs/dbraw/zinc/17/75/99/484177599.db2.gz FLZOBIYUCMRRKY-IUCAKERBSA-N -1 1 313.195 1.977 20 0 DDADMM COc1ccc([C@H](NCc2cnn3c2CCC3)C(=O)[O-])cc1F ZINC000656572361 484214898 /nfs/dbraw/zinc/21/48/98/484214898.db2.gz IDBJRAPWMBMBLK-HNNXBMFYSA-N -1 1 319.336 1.893 20 0 DDADMM COC1CCN(S(=O)(=O)[N-]c2scnc2C2CC2)CC1 ZINC000656627389 484249971 /nfs/dbraw/zinc/24/99/71/484249971.db2.gz ZSEPOOWRMVFDAH-UHFFFAOYSA-N -1 1 317.436 1.788 20 0 DDADMM CCOc1cnc(C(=O)[N-]c2nc([C@H](C)OC)ns2)cn1 ZINC000669852427 484665976 /nfs/dbraw/zinc/66/59/76/484665976.db2.gz JBQFNIMMWLYQLV-ZETCQYMHSA-N -1 1 309.351 1.687 20 0 DDADMM CC(C)CN1CCO[C@H](CNC(=O)[C@@H](C(=O)[O-])C(C)(C)C)C1 ZINC000663100788 484666347 /nfs/dbraw/zinc/66/63/47/484666347.db2.gz PNQVCDXJJDUFEC-OLZOCXBDSA-N -1 1 314.426 1.206 20 0 DDADMM O=C([O-])[C@@H](CCF)NC(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000663272659 484778591 /nfs/dbraw/zinc/77/85/91/484778591.db2.gz ZCZHWTCRQFLJBH-ZIAGYGMSSA-N -1 1 323.368 1.373 20 0 DDADMM Cc1nc2n(n1)C[C@@H](NC(=O)c1ncc3ccccc3c1[O-])CC2 ZINC000668008636 484904182 /nfs/dbraw/zinc/90/41/82/484904182.db2.gz AOWCERQZERXNLZ-LBPRGKRZSA-N -1 1 323.356 1.585 20 0 DDADMM O=C1CC[C@@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)CCN1 ZINC000668324334 485104467 /nfs/dbraw/zinc/10/44/67/485104467.db2.gz IYEMPYKGFZVWLR-MRVPVSSYSA-N -1 1 320.773 1.426 20 0 DDADMM CO[C@@H](C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@@H]1CCOC1 ZINC000666314191 485169440 /nfs/dbraw/zinc/16/94/40/485169440.db2.gz NTRINXWUJLRSMV-IAQYHMDHSA-N -1 1 317.345 1.772 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@@H]1CCCc2nn[nH]c21 ZINC000666315043 485169598 /nfs/dbraw/zinc/16/95/98/485169598.db2.gz RCCOFESDQXQEEE-LLVKDONJSA-N -1 1 324.344 1.959 20 0 DDADMM O=C([O-])c1cccc(CNC(=O)N[C@H]2CCc3nc[nH]c3C2)c1 ZINC000666547969 485267144 /nfs/dbraw/zinc/26/71/44/485267144.db2.gz WUKTYTVBPNICLT-LBPRGKRZSA-N -1 1 314.345 1.465 20 0 DDADMM O=C(NC[C@H]1CCCS(=O)(=O)C1)c1ccc([O-])c(F)c1 ZINC000673343016 485406567 /nfs/dbraw/zinc/40/65/67/485406567.db2.gz FLDJPPKKUZDZIX-SECBINFHSA-N -1 1 301.339 1.086 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3ccc([O-])c(F)c3)[C@H]2C1 ZINC000685863044 485468848 /nfs/dbraw/zinc/46/88/48/485468848.db2.gz HMFLCGBQMHNAFT-YDHLFZDLSA-N -1 1 320.364 1.908 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)NCCCc2n[nH]c(=O)[n-]2)o1 ZINC000673944407 485479208 /nfs/dbraw/zinc/47/92/08/485479208.db2.gz ZXJQWRYCCNMLOR-UHFFFAOYSA-N -1 1 321.381 1.308 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc(F)c(F)c1F ZINC000674289037 485585137 /nfs/dbraw/zinc/58/51/37/485585137.db2.gz XGGBOVNMJGCQFS-UHFFFAOYSA-N -1 1 311.267 1.816 20 0 DDADMM O=C([O-])C1(NCC(=O)NCC2(c3ccccc3)CC2)CCCC1 ZINC000676230942 486153690 /nfs/dbraw/zinc/15/36/90/486153690.db2.gz YIGTUXQZVUWNMS-UHFFFAOYSA-N -1 1 316.401 1.821 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)o1 ZINC000676237894 486157911 /nfs/dbraw/zinc/15/79/11/486157911.db2.gz LCQQAMMUWHRJGG-YFKPBYRVSA-N -1 1 321.280 1.835 20 0 DDADMM O=C1CCC[C@@H](C[N-]S(=O)(=O)c2c(F)cccc2Cl)N1 ZINC000683951835 486180213 /nfs/dbraw/zinc/18/02/13/486180213.db2.gz BNOFDUQTTYDSJK-QMMMGPOBSA-N -1 1 320.773 1.426 20 0 DDADMM C[C@@H]1OCC[C@@]12CN(C(=O)c1ccc([O-])c(F)c1)C[C@@H](C)O2 ZINC000684158475 486266973 /nfs/dbraw/zinc/26/69/73/486266973.db2.gz BIHCMKLZAAOPAN-OHUAYANFSA-N -1 1 309.337 1.940 20 0 DDADMM O=C(NCCNC(=O)c1ccc([O-])c(F)c1)c1ccccc1 ZINC000681013997 486324131 /nfs/dbraw/zinc/32/41/31/486324131.db2.gz FREQUMWNVBODBU-UHFFFAOYSA-N -1 1 302.305 1.691 20 0 DDADMM C[C@@H](CS(C)(=O)=O)N(C(=O)c1ccc([O-])c(F)c1)C1CC1 ZINC000681046140 486330012 /nfs/dbraw/zinc/33/00/12/486330012.db2.gz DIWWZZCYVZZKBB-VIFPVBQESA-N -1 1 315.366 1.569 20 0 DDADMM CCC[C@@](C)(O)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676856837 486347476 /nfs/dbraw/zinc/34/74/76/486347476.db2.gz GHOSDLLXWUNBBJ-CYBMUJFWSA-N -1 1 323.361 1.803 20 0 DDADMM COC(=O)c1cc(CN[C@@H](C(=O)[O-])c2ccccc2F)cn1C ZINC000417554456 533823929 /nfs/dbraw/zinc/82/39/29/533823929.db2.gz JKFRTYJYIWILTA-CQSZACIVSA-N -1 1 320.320 1.866 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)Cc2cnn(C)c2C)c1 ZINC000294656086 534230430 /nfs/dbraw/zinc/23/04/30/534230430.db2.gz LHHHLUCNUGPQFV-UHFFFAOYSA-N -1 1 303.318 1.402 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@H]2c2ccco2)o1 ZINC000416659781 534240811 /nfs/dbraw/zinc/24/08/11/534240811.db2.gz PBLNEPYDLGDBKQ-RKDXNWHRSA-N -1 1 311.315 1.494 20 0 DDADMM CCOC(=O)N1CCCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000495956219 517682492 /nfs/dbraw/zinc/68/24/92/517682492.db2.gz BSVYYRMKNNBFDF-UHFFFAOYSA-N -1 1 307.350 1.400 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H](CC(C)C)OC)c1 ZINC000416652899 534319580 /nfs/dbraw/zinc/31/95/80/534319580.db2.gz SZQTVDHZOMWOSS-LLVKDONJSA-N -1 1 319.379 1.406 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)[C@H](C)CN1C ZINC000332488408 534408603 /nfs/dbraw/zinc/40/86/03/534408603.db2.gz YPYGYXMTBFBINI-GHMZBOCLSA-N -1 1 316.361 1.195 20 0 DDADMM Cc1n[nH]c(C(F)F)c1[N-]S(=O)(=O)c1cn(C)c(C)n1 ZINC000330759408 534520359 /nfs/dbraw/zinc/52/03/59/534520359.db2.gz KFNNGGZOZJZZEI-UHFFFAOYSA-N -1 1 305.310 1.498 20 0 DDADMM CC[C@H](OC1CCCCC1)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000156529178 522853115 /nfs/dbraw/zinc/85/31/15/522853115.db2.gz UQJFTTMTVLGEHH-YPMHNXCESA-N -1 1 309.414 1.890 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1cccnc1-n1cccn1 ZINC000424211086 534860769 /nfs/dbraw/zinc/86/07/69/534860769.db2.gz WEHXGZSRAKRYCQ-UHFFFAOYSA-N -1 1 322.390 1.436 20 0 DDADMM C/C=C\C[C@@H](CO)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425176597 526319090 /nfs/dbraw/zinc/31/90/90/526319090.db2.gz NDMYRRKOYFWAFV-XOULXFPDSA-N -1 1 305.346 1.879 20 0 DDADMM CC(=O)Nc1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000491907712 526934396 /nfs/dbraw/zinc/93/43/96/526934396.db2.gz UTHCNHMPHDIBMX-RMKNXTFCSA-N -1 1 314.349 1.223 20 0 DDADMM CC(C)(NC(=O)C=Cc1ccnc2ccccc12)c1nn[n-]n1 ZINC000492071957 527264671 /nfs/dbraw/zinc/26/46/71/527264671.db2.gz RDYXDXZSKRCQTA-FPLPWBNLSA-N -1 1 308.345 1.813 20 0 DDADMM CCCC(=O)N[C@@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000330884303 527945087 /nfs/dbraw/zinc/94/50/87/527945087.db2.gz PMMICRNRNJVDJU-GFCCVEGCSA-N -1 1 305.378 1.617 20 0 DDADMM CC1=C(C(=O)N(C)C)[C@H](c2ccc([O-])c(Cl)c2)NC(=O)N1 ZINC000299286498 528068557 /nfs/dbraw/zinc/06/85/57/528068557.db2.gz GXJMPABHNOGBDH-LBPRGKRZSA-N -1 1 309.753 1.762 20 0 DDADMM CCO[C@H]1C[C@@](O)(CNC(=O)c2ncc(C)cc2[O-])C1(C)C ZINC000332468298 528124935 /nfs/dbraw/zinc/12/49/35/528124935.db2.gz MJXUWGNZEUHJNZ-BLLLJJGKSA-N -1 1 308.378 1.392 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](O)[C@@H](C)CC)o1 ZINC000443277837 528243526 /nfs/dbraw/zinc/24/35/26/528243526.db2.gz UHJASLPBVUEJES-UWVGGRQHSA-N -1 1 319.379 1.142 20 0 DDADMM CCCCN(CCOC)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295038471 528438238 /nfs/dbraw/zinc/43/82/38/528438238.db2.gz IZSOMROPTCYCHV-UHFFFAOYSA-N -1 1 308.407 1.907 20 0 DDADMM CCN(CCNc1nc2[nH][n-]cc-2c(=O)n1)CCC(F)(F)F ZINC000491831010 528479112 /nfs/dbraw/zinc/47/91/12/528479112.db2.gz HAAOUVDTGAACGE-UHFFFAOYSA-N -1 1 318.303 1.118 20 0 DDADMM CC(C)n1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000331809301 528549331 /nfs/dbraw/zinc/54/93/31/528549331.db2.gz KWCHHSKHKPUOTL-SNVBAGLBSA-N -1 1 305.338 1.572 20 0 DDADMM CC(C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000416624162 529145342 /nfs/dbraw/zinc/14/53/42/529145342.db2.gz POEQFHKOZMAPQA-MRVPVSSYSA-N -1 1 306.334 1.156 20 0 DDADMM CC[C@H](C)[C@H](CNC(=O)c1c[n-]c2c(cnn2C)c1=O)OC ZINC000452411719 529247635 /nfs/dbraw/zinc/24/76/35/529247635.db2.gz MJGFMXKMFDTBEQ-CABZTGNLSA-N -1 1 306.366 1.465 20 0 DDADMM CCOc1cc(C(=O)n2ncc(-c3nn[n-]n3)c2N)ccc1C ZINC000736625201 598841252 /nfs/dbraw/zinc/84/12/52/598841252.db2.gz LGCOXPZZAVLQGF-UHFFFAOYSA-N -1 1 313.321 1.041 20 0 DDADMM Cc1nccc(CNc2c3ccccc3nnc2-c2nnn[n-]2)n1 ZINC000737501862 598959976 /nfs/dbraw/zinc/95/99/76/598959976.db2.gz LQJDZYPZLNZODU-UHFFFAOYSA-N -1 1 319.332 1.520 20 0 DDADMM Cc1nccc(CNc2c3ccccc3nnc2-c2nn[n-]n2)n1 ZINC000737501862 598959977 /nfs/dbraw/zinc/95/99/77/598959977.db2.gz LQJDZYPZLNZODU-UHFFFAOYSA-N -1 1 319.332 1.520 20 0 DDADMM CC(=O)c1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000735495386 598977602 /nfs/dbraw/zinc/97/76/02/598977602.db2.gz HYJOTGATBJRRSS-UHFFFAOYSA-N -1 1 308.301 1.717 20 0 DDADMM CC(=O)c1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000735495386 598977604 /nfs/dbraw/zinc/97/76/04/598977604.db2.gz HYJOTGATBJRRSS-UHFFFAOYSA-N -1 1 308.301 1.717 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N(CC(F)(F)F)C1CC1 ZINC000738257700 598998252 /nfs/dbraw/zinc/99/82/52/598998252.db2.gz CCHLHFRJEPKLEL-UHFFFAOYSA-N -1 1 312.255 1.429 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N(CC(F)(F)F)C1CC1 ZINC000738257700 598998254 /nfs/dbraw/zinc/99/82/54/598998254.db2.gz CCHLHFRJEPKLEL-UHFFFAOYSA-N -1 1 312.255 1.429 20 0 DDADMM CN(CCNC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccccc1 ZINC000736801460 599019394 /nfs/dbraw/zinc/01/93/94/599019394.db2.gz WEGHWZCOCLYXEX-UHFFFAOYSA-N -1 1 323.360 1.128 20 0 DDADMM CN(CCNC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccccc1 ZINC000736801460 599019396 /nfs/dbraw/zinc/01/93/96/599019396.db2.gz WEGHWZCOCLYXEX-UHFFFAOYSA-N -1 1 323.360 1.128 20 0 DDADMM CCC1(O)CCN(c2snc(Cl)c2-c2nnn[n-]2)CC1 ZINC000820967591 599059667 /nfs/dbraw/zinc/05/96/67/599059667.db2.gz QPMYTRLMKAJBJB-UHFFFAOYSA-N -1 1 314.802 1.718 20 0 DDADMM CCC1(O)CCN(c2snc(Cl)c2-c2nn[n-]n2)CC1 ZINC000820967591 599059670 /nfs/dbraw/zinc/05/96/70/599059670.db2.gz QPMYTRLMKAJBJB-UHFFFAOYSA-N -1 1 314.802 1.718 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCc2ccc(O)cc2C1 ZINC000738258255 599107950 /nfs/dbraw/zinc/10/79/50/599107950.db2.gz IQXIIASOBMUYOF-UHFFFAOYSA-N -1 1 322.328 1.166 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCc2ccc(O)cc2C1 ZINC000738258255 599107951 /nfs/dbraw/zinc/10/79/51/599107951.db2.gz IQXIIASOBMUYOF-UHFFFAOYSA-N -1 1 322.328 1.166 20 0 DDADMM O=C1N[C@@H](c2ccc(-c3nnn[n-]3)o2)Nc2ccc(Cl)cc21 ZINC000823540413 599118411 /nfs/dbraw/zinc/11/84/11/599118411.db2.gz CVFHUOFXEYSAHS-NSHDSACASA-N -1 1 316.708 1.967 20 0 DDADMM O=C1N[C@@H](c2ccc(-c3nn[n-]n3)o2)Nc2ccc(Cl)cc21 ZINC000823540413 599118413 /nfs/dbraw/zinc/11/84/13/599118413.db2.gz CVFHUOFXEYSAHS-NSHDSACASA-N -1 1 316.708 1.967 20 0 DDADMM CN(C)[C@@H](CNC(=O)N(C)CCC(=O)[O-])c1ccc(F)cc1 ZINC000818429034 596991463 /nfs/dbraw/zinc/99/14/63/596991463.db2.gz HTSICZSGORLOSB-ZDUSSCGKSA-N -1 1 311.357 1.545 20 0 DDADMM Cc1nnc([C@@H](C)Nc2ccc(Cl)c(-c3nnn[n-]3)n2)o1 ZINC000822511000 607304882 /nfs/dbraw/zinc/30/48/82/607304882.db2.gz ZCWHHZITAGNYHZ-RXMQYKEDSA-N -1 1 306.717 1.780 20 0 DDADMM Cc1nnc([C@@H](C)Nc2ccc(Cl)c(-c3nn[n-]n3)n2)o1 ZINC000822511000 607304883 /nfs/dbraw/zinc/30/48/83/607304883.db2.gz ZCWHHZITAGNYHZ-RXMQYKEDSA-N -1 1 306.717 1.780 20 0 DDADMM CCCn1cnnc1COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821147517 607323386 /nfs/dbraw/zinc/32/33/86/607323386.db2.gz HQIGZFRVTQUJPR-UHFFFAOYSA-N -1 1 319.350 1.287 20 0 DDADMM CCCn1cnnc1COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821147517 607323387 /nfs/dbraw/zinc/32/33/87/607323387.db2.gz HQIGZFRVTQUJPR-UHFFFAOYSA-N -1 1 319.350 1.287 20 0 DDADMM CC(C)[C@@H](NCC(=O)N(C)c1nc2ccccc2s1)C(=O)[O-] ZINC000820041944 598189743 /nfs/dbraw/zinc/18/97/43/598189743.db2.gz YPARTYMSAXMOST-CYBMUJFWSA-N -1 1 321.402 1.958 20 0 DDADMM O=C([O-])[C@@H]([NH2+]CCC[N-]C(=O)C(F)(F)F)c1ccccc1 ZINC000821541490 598199856 /nfs/dbraw/zinc/19/98/56/598199856.db2.gz IXAWYLYUNPYDAP-JTQLQIEISA-N -1 1 304.268 1.471 20 0 DDADMM Cc1ccccc1OCCn1ccc(C)c(-c2nn[n-]n2)c1=O ZINC000822366795 607348669 /nfs/dbraw/zinc/34/86/69/607348669.db2.gz SZQSBMPTHNOIJJ-UHFFFAOYSA-N -1 1 311.345 1.724 20 0 DDADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@@H](c2ccccc2)O1 ZINC000736293339 598348137 /nfs/dbraw/zinc/34/81/37/598348137.db2.gz NWPNQRMJWPACNV-YPMHNXCESA-N -1 1 323.360 1.623 20 0 DDADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@@H](c2ccccc2)O1 ZINC000736293339 598348138 /nfs/dbraw/zinc/34/81/38/598348138.db2.gz NWPNQRMJWPACNV-YPMHNXCESA-N -1 1 323.360 1.623 20 0 DDADMM CC(=O)Nc1ccc(Sc2cnc(-c3nnn[n-]3)cn2)cc1 ZINC000735488284 598384066 /nfs/dbraw/zinc/38/40/66/598384066.db2.gz IJHLBHHXLYHINF-UHFFFAOYSA-N -1 1 313.346 1.766 20 0 DDADMM CC(=O)Nc1ccc(Sc2cnc(-c3nn[n-]n3)cn2)cc1 ZINC000735488284 598384067 /nfs/dbraw/zinc/38/40/67/598384067.db2.gz IJHLBHHXLYHINF-UHFFFAOYSA-N -1 1 313.346 1.766 20 0 DDADMM c1[nH]c2ccccc2c1CCNc1cnc(-c2nnn[n-]2)cn1 ZINC000738433705 598384775 /nfs/dbraw/zinc/38/47/75/598384775.db2.gz SOEYZAMPSBOKSX-UHFFFAOYSA-N -1 1 306.333 1.793 20 0 DDADMM c1[nH]c2ccccc2c1CCNc1cnc(-c2nn[n-]n2)cn1 ZINC000738433705 598384777 /nfs/dbraw/zinc/38/47/77/598384777.db2.gz SOEYZAMPSBOKSX-UHFFFAOYSA-N -1 1 306.333 1.793 20 0 DDADMM COc1cc(Cl)ccc1CNc1nccnc1-c1nnn[n-]1 ZINC000737047975 598469151 /nfs/dbraw/zinc/46/91/51/598469151.db2.gz VPTSDRSMZBGROY-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM COc1cc(Cl)ccc1CNc1nccnc1-c1nn[n-]n1 ZINC000737047975 598469152 /nfs/dbraw/zinc/46/91/52/598469152.db2.gz VPTSDRSMZBGROY-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM C[C@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)Cn1cccn1 ZINC000736025868 598744075 /nfs/dbraw/zinc/74/40/75/598744075.db2.gz JRAPIRNXGYICDC-SECBINFHSA-N -1 1 318.772 1.860 20 0 DDADMM C[C@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)Cn1cccn1 ZINC000736025868 598744077 /nfs/dbraw/zinc/74/40/77/598744077.db2.gz JRAPIRNXGYICDC-SECBINFHSA-N -1 1 318.772 1.860 20 0 DDADMM Cc1ncc2c(n1)CCC[C@H]2Nc1cccc(-c2nnn[n-]2)n1 ZINC000737497349 599439415 /nfs/dbraw/zinc/43/94/15/599439415.db2.gz AHDZMTSCALJDCB-GFCCVEGCSA-N -1 1 308.349 1.850 20 0 DDADMM Cc1ncc2c(n1)CCC[C@H]2Nc1cccc(-c2nn[n-]n2)n1 ZINC000737497349 599439419 /nfs/dbraw/zinc/43/94/19/599439419.db2.gz AHDZMTSCALJDCB-GFCCVEGCSA-N -1 1 308.349 1.850 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@H](Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC000736744840 599439843 /nfs/dbraw/zinc/43/98/43/599439843.db2.gz CSHOBGQBTSLCOS-MNOVXSKESA-N -1 1 315.381 1.321 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@H](Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC000736744840 599439845 /nfs/dbraw/zinc/43/98/45/599439845.db2.gz CSHOBGQBTSLCOS-MNOVXSKESA-N -1 1 315.381 1.321 20 0 DDADMM COc1ccccc1[C@@H](CO)NCc1ccc(-c2nnn[n-]2)o1 ZINC000821882940 599442290 /nfs/dbraw/zinc/44/22/90/599442290.db2.gz NVPYDXRNLPBHII-GFCCVEGCSA-N -1 1 315.333 1.292 20 0 DDADMM COc1ccccc1[C@@H](CO)NCc1ccc(-c2nn[n-]n2)o1 ZINC000821882940 599442292 /nfs/dbraw/zinc/44/22/92/599442292.db2.gz NVPYDXRNLPBHII-GFCCVEGCSA-N -1 1 315.333 1.292 20 0 DDADMM C[C@H](NCc1ccc(-c2nn[n-]n2)s1)C(=O)OC(C)(C)C ZINC000820432038 607390106 /nfs/dbraw/zinc/39/01/06/607390106.db2.gz KUOBYHARRQYPIJ-QMMMGPOBSA-N -1 1 309.395 1.748 20 0 DDADMM COc1ccc(Cl)cc1C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000314828831 599777236 /nfs/dbraw/zinc/77/72/36/599777236.db2.gz XEFVKICILGDELD-NSHDSACASA-N -1 1 323.736 1.497 20 0 DDADMM CCCC[C@@H]1NC(=O)N(CN2CCCC[C@@H]2CC(=O)[O-])C1=O ZINC000736984207 599838769 /nfs/dbraw/zinc/83/87/69/599838769.db2.gz JXUCSLREDYXHOY-NEPJUHHUSA-N -1 1 311.382 1.384 20 0 DDADMM CC(C)OCc1ccccc1CNC(=O)CNC(C)(C)C(=O)[O-] ZINC000736485871 599926020 /nfs/dbraw/zinc/92/60/20/599926020.db2.gz FOQYVZBCQSKQPJ-UHFFFAOYSA-N -1 1 322.405 1.681 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]1C(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000739538667 600060768 /nfs/dbraw/zinc/06/07/68/600060768.db2.gz MOADWJBGEPNVBE-YNEHKIRRSA-N -1 1 306.362 1.644 20 0 DDADMM C[C@](NS(=O)(=O)c1c(F)cccc1F)(C(=O)[O-])C1CC1 ZINC000036983589 600239420 /nfs/dbraw/zinc/23/94/20/600239420.db2.gz NXGGOHPZIFQGAQ-GFCCVEGCSA-N -1 1 305.302 1.496 20 0 DDADMM O=C([O-])c1ccc(C(=O)Nc2ccn(-c3ccncc3)n2)nc1 ZINC000740114443 600263017 /nfs/dbraw/zinc/26/30/17/600263017.db2.gz GYZTUDDTQIHKNA-UHFFFAOYSA-N -1 1 309.285 1.613 20 0 DDADMM C[C@H](CN(C)[C@H](C)C(=O)Nc1ccc(F)cc1F)C(=O)[O-] ZINC000736570167 600363237 /nfs/dbraw/zinc/36/32/37/600363237.db2.gz BMKALARFNZXSJE-RKDXNWHRSA-N -1 1 300.305 1.944 20 0 DDADMM C[C@H](CN(C)CC(=O)Nc1nc2c(s1)CCCC2)C(=O)[O-] ZINC000736572774 600368933 /nfs/dbraw/zinc/36/89/33/600368933.db2.gz RBRRQLQCXKQQPL-SECBINFHSA-N -1 1 311.407 1.613 20 0 DDADMM C[C@H](CN(C)[C@H](C)C(=O)N1CC(=O)Nc2ccccc21)C(=O)[O-] ZINC000736575788 600376672 /nfs/dbraw/zinc/37/66/72/600376672.db2.gz SGMSNXMJKYIAKD-GHMZBOCLSA-N -1 1 319.361 1.013 20 0 DDADMM Cn1nccc1NS(=O)(=O)c1cc(C(=O)[O-])c(F)cc1F ZINC000739062289 600424810 /nfs/dbraw/zinc/42/48/10/600424810.db2.gz AOSPZYLEKPNYMJ-UHFFFAOYSA-N -1 1 317.273 1.197 20 0 DDADMM CC(C)N(CCC(=O)[O-])CN1C(=O)NC2(CCCCC2)C1=O ZINC000736468394 600608452 /nfs/dbraw/zinc/60/84/52/600608452.db2.gz PHTDLRKXHPRDSB-UHFFFAOYSA-N -1 1 311.382 1.384 20 0 DDADMM CC(C)c1nnc(Sc2cccc(-c3nnn[n-]3)n2)n1C ZINC000824364490 607897894 /nfs/dbraw/zinc/89/78/94/607897894.db2.gz ITJPPNFDWFPHHY-UHFFFAOYSA-N -1 1 302.367 1.665 20 0 DDADMM CC(C)c1nnc(Sc2cccc(-c3nn[n-]n3)n2)n1C ZINC000824364490 607897895 /nfs/dbraw/zinc/89/78/95/607897895.db2.gz ITJPPNFDWFPHHY-UHFFFAOYSA-N -1 1 302.367 1.665 20 0 DDADMM CCN1CCN(CC(=O)Nc2cc(C(=O)[O-])ccc2F)CC1 ZINC000829776427 600876198 /nfs/dbraw/zinc/87/61/98/600876198.db2.gz OFILRNHUBNPCLW-UHFFFAOYSA-N -1 1 309.341 1.100 20 0 DDADMM Cc1nnc(SCCC(=O)NC[C@H](CC(C)C)C(=O)[O-])[nH]1 ZINC000833022175 600970073 /nfs/dbraw/zinc/97/00/73/600970073.db2.gz XFHFJSNGNOKVHA-JTQLQIEISA-N -1 1 314.411 1.458 20 0 DDADMM CCCN(CCC)C(=O)CN1CCSC[C@H]1CC(=O)[O-] ZINC000091132509 601019541 /nfs/dbraw/zinc/01/95/41/601019541.db2.gz AWKROTAMYJKPDB-GFCCVEGCSA-N -1 1 302.440 1.527 20 0 DDADMM Cc1[nH]c(C(=O)[C@H](C)N(C)Cc2nnc[nH]2)c(C)c1C(=O)[O-] ZINC000832842306 601040722 /nfs/dbraw/zinc/04/07/22/601040722.db2.gz ABIRZCHHUYMSSI-VIFPVBQESA-N -1 1 305.338 1.151 20 0 DDADMM O=C([O-])c1c(F)ccc(S(=O)(=O)NCC(F)F)c1F ZINC000136564969 601388123 /nfs/dbraw/zinc/38/81/23/601388123.db2.gz QCLSQCHUYFYXCT-UHFFFAOYSA-N -1 1 301.217 1.206 20 0 DDADMM Cc1ccc2c(c1)OCCN2Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826294035 607506713 /nfs/dbraw/zinc/50/67/13/607506713.db2.gz HOKLKNOESKLERY-UHFFFAOYSA-N -1 1 308.345 1.969 20 0 DDADMM Cc1ccc2c(c1)OCCN2Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826294035 607506714 /nfs/dbraw/zinc/50/67/14/607506714.db2.gz HOKLKNOESKLERY-UHFFFAOYSA-N -1 1 308.345 1.969 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(F)cc1F)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828424225 601517186 /nfs/dbraw/zinc/51/71/86/601517186.db2.gz OKGZYXXYNMZCPY-WCABBAIRSA-N -1 1 312.316 1.944 20 0 DDADMM Cc1nc([C@H]2CN(Cc3cc(C(=O)[O-])sc3C)CCO2)n[nH]1 ZINC000832992078 601647118 /nfs/dbraw/zinc/64/71/18/601647118.db2.gz WUCTWADBPPXGNV-LLVKDONJSA-N -1 1 322.390 1.755 20 0 DDADMM O=C([O-])c1ccc(CN2CCN(CCCCO)CC2)cc1F ZINC000833314127 601647584 /nfs/dbraw/zinc/64/75/84/601647584.db2.gz OGBQAEJFSMXJEB-UHFFFAOYSA-N -1 1 310.369 1.414 20 0 DDADMM COc1cc(C(=O)[O-])cc(NC(=O)Cc2cc(C)[nH]n2)c1OC ZINC000831892072 601686730 /nfs/dbraw/zinc/68/67/30/601686730.db2.gz LIHZSXMJDMITJS-UHFFFAOYSA-N -1 1 319.317 1.615 20 0 DDADMM C[C@@H]1CC[C@H](C)N1CC(=O)N[C@H](C(=O)[O-])c1ccccc1F ZINC000828213891 601747490 /nfs/dbraw/zinc/74/74/90/601747490.db2.gz FNUJGGMNNVOMTE-RWSFTLGLSA-N -1 1 308.353 1.940 20 0 DDADMM C[C@@H]1CN(C(=O)Nc2cnn(-c3ccncc3)c2)C[C@H]1C(=O)[O-] ZINC000828388077 601795684 /nfs/dbraw/zinc/79/56/84/601795684.db2.gz VJEBNNFPOKCAHD-ZWNOBZJWSA-N -1 1 315.333 1.452 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](C(=O)[O-])[C@H](C)C3)c[n-]c2[nH+]1 ZINC000832961875 601801496 /nfs/dbraw/zinc/80/14/96/601801496.db2.gz MZBGHTZTRXPEOJ-PELKAZGASA-N -1 1 315.329 1.437 20 0 DDADMM CC(C)[C@H](NCC(=O)N[C@@H]1CCCc2ccccc21)C(=O)[O-] ZINC000827091848 601823775 /nfs/dbraw/zinc/82/37/75/601823775.db2.gz KENMETXGSACBBA-ZBFHGGJFSA-N -1 1 304.390 1.879 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2nnn[n-]2)c1)c1ccc(F)cc1 ZINC000826487288 607531524 /nfs/dbraw/zinc/53/15/24/607531524.db2.gz JUQDOCQJFXFHRM-UHFFFAOYSA-N -1 1 319.321 1.375 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2nn[n-]n2)c1)c1ccc(F)cc1 ZINC000826487288 607531525 /nfs/dbraw/zinc/53/15/25/607531525.db2.gz JUQDOCQJFXFHRM-UHFFFAOYSA-N -1 1 319.321 1.375 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)N2CC[C@H](CNC(=O)[O-])C2)cc1 ZINC000739916229 601952705 /nfs/dbraw/zinc/95/27/05/601952705.db2.gz MKSVYCAUDSVNQV-VXGBXAGGSA-N -1 1 321.377 1.612 20 0 DDADMM C[C@@H](C(=O)N[C@H]1CCCC[C@H]1C)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000739096905 601960551 /nfs/dbraw/zinc/96/05/51/601960551.db2.gz FMVGICBALGPIQX-RFGFWPKPSA-N -1 1 311.426 1.659 20 0 DDADMM CNc1nnc(SCc2ccnc(-c3nnn[n-]3)c2)s1 ZINC000826099002 607537365 /nfs/dbraw/zinc/53/73/65/607537365.db2.gz VOONHLUPVBBMEF-UHFFFAOYSA-N -1 1 306.380 1.447 20 0 DDADMM CNc1nnc(SCc2ccnc(-c3nn[n-]n3)c2)s1 ZINC000826099002 607537366 /nfs/dbraw/zinc/53/73/66/607537366.db2.gz VOONHLUPVBBMEF-UHFFFAOYSA-N -1 1 306.380 1.447 20 0 DDADMM CC(C)[C@H]1c2ccccc2CCN1CC(=O)NCCC(=O)[O-] ZINC000826747778 602030306 /nfs/dbraw/zinc/03/03/06/602030306.db2.gz QANUXCFCOSSBNU-KRWDZBQOSA-N -1 1 304.390 1.833 20 0 DDADMM NC(=O)[C@@H](NC[C@H]1CCCN(C(=O)[O-])C1)c1ccc(F)cc1 ZINC000740325538 602046469 /nfs/dbraw/zinc/04/64/69/602046469.db2.gz VHNXLRGGEYUOGW-MFKMUULPSA-N -1 1 309.341 1.332 20 0 DDADMM O=C([O-])N1CC[C@H](CCNC(=O)c2n[nH]c3ccccc32)C1 ZINC000740428776 602420536 /nfs/dbraw/zinc/42/05/36/602420536.db2.gz MTLUAFWVELVFHQ-JTQLQIEISA-N -1 1 302.334 1.683 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(CC(=O)NCCC(C)(C)C)CC1 ZINC000739029672 602445675 /nfs/dbraw/zinc/44/56/75/602445675.db2.gz WOLUYUMXGHQJNF-GFCCVEGCSA-N -1 1 313.442 1.907 20 0 DDADMM O=C([O-])N1CC[C@H](NC(=O)[C@H]2CCCN2Cc2ccccc2)C1 ZINC000740401037 602473985 /nfs/dbraw/zinc/47/39/85/602473985.db2.gz RKQROVJCGDJZIZ-LSDHHAIUSA-N -1 1 317.389 1.520 20 0 DDADMM C[C@H](CNC(=O)[O-])N(C)C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000738932106 602525996 /nfs/dbraw/zinc/52/59/96/602525996.db2.gz IPXPZHFQCXVDCC-MRVPVSSYSA-N -1 1 308.363 1.866 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000739265770 602536306 /nfs/dbraw/zinc/53/63/06/602536306.db2.gz DMSLFKNTSCDGHB-ZIAGYGMSSA-N -1 1 311.426 1.709 20 0 DDADMM C[C@@H]1CCC[C@H](C)N1C(=O)CN1CCC(N(C)C(=O)[O-])CC1 ZINC000737743564 602536649 /nfs/dbraw/zinc/53/66/49/602536649.db2.gz HZTADYSGLRGAFZ-BETUJISGSA-N -1 1 311.426 1.850 20 0 DDADMM C[C@H](C(=O)NC1CCCCC1)N1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738627309 602540755 /nfs/dbraw/zinc/54/07/55/602540755.db2.gz XYWHSYGHNRBBLS-OLZOCXBDSA-N -1 1 311.426 1.756 20 0 DDADMM O=C([O-])N1CCC(CNC(=O)N2CCN3CCCC[C@@H]3C2)CC1 ZINC000740496583 602628210 /nfs/dbraw/zinc/62/82/10/602628210.db2.gz NTPCIIHVLWILSI-CQSZACIVSA-N -1 1 324.425 1.256 20 0 DDADMM CN(C(=O)c1csc(CNC(=O)[O-])n1)[C@@H]1CN2CCC1CC2 ZINC000739593115 602714410 /nfs/dbraw/zinc/71/44/10/602714410.db2.gz BJRNQURUCNHBSV-LLVKDONJSA-N -1 1 324.406 1.077 20 0 DDADMM Cc1cc(C)cc(OC[C@@H](O)CN2CCCN(C(=O)[O-])CC2)c1 ZINC000740066942 602739612 /nfs/dbraw/zinc/73/96/12/602739612.db2.gz FUJRLJQLVZBYAJ-HNNXBMFYSA-N -1 1 322.405 1.729 20 0 DDADMM C[C@@H]1CN(C[C@H](O)c2ccc(F)c(F)c2)CC[C@H]1NC(=O)[O-] ZINC000739180563 602785434 /nfs/dbraw/zinc/78/54/34/602785434.db2.gz PKRXCMAYYKVFKK-FZQKWOKYSA-N -1 1 314.332 1.976 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N[C@@H](CNC(=O)[O-])C1CCCCC1 ZINC000739725843 602836410 /nfs/dbraw/zinc/83/64/10/602836410.db2.gz GDHRGFXKEJIFSE-UONOGXRCSA-N -1 1 311.426 1.803 20 0 DDADMM C[C@H](CC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1)NC(=O)[O-] ZINC000824671708 602865220 /nfs/dbraw/zinc/86/52/20/602865220.db2.gz KIBVHJYKQSPWRS-MRVPVSSYSA-N -1 1 321.312 1.273 20 0 DDADMM C[C@H](CC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1)NC(=O)[O-] ZINC000824671708 602865227 /nfs/dbraw/zinc/86/52/27/602865227.db2.gz KIBVHJYKQSPWRS-MRVPVSSYSA-N -1 1 321.312 1.273 20 0 DDADMM COc1ccc(CN(C)CCNC(=O)[O-])cc1OC(F)F ZINC000739907139 603082753 /nfs/dbraw/zinc/08/27/53/603082753.db2.gz FPTDDOIEJDHICB-UHFFFAOYSA-N -1 1 304.293 1.996 20 0 DDADMM NC(=O)[C@H](NC1CCC(NC(=O)[O-])CC1)c1ccc(F)cc1 ZINC000740322361 603088585 /nfs/dbraw/zinc/08/85/85/603088585.db2.gz CBOWWCZYXQXJME-WXRRBKDZSA-N -1 1 309.341 1.521 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)c1cccc2n[nH]cc21)C(=O)[O-] ZINC000828218903 603168022 /nfs/dbraw/zinc/16/80/22/603168022.db2.gz XZNGWZNGIQSIJF-SNVBAGLBSA-N -1 1 302.334 1.777 20 0 DDADMM O=C([O-])NC[C@H]1CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC000740642791 603196086 /nfs/dbraw/zinc/19/60/86/603196086.db2.gz JBMCTJMRKRWJRW-LLVKDONJSA-N -1 1 314.345 1.806 20 0 DDADMM C[C@H](C(=O)Nc1cccnc1Cl)N(C)CCCNC(=O)[O-] ZINC000738636961 603253185 /nfs/dbraw/zinc/25/31/85/603253185.db2.gz IISYJIGUENKKKF-SECBINFHSA-N -1 1 314.773 1.652 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@@H](C(=O)Nc2nc(-c3ccco3)n[nH]2)C1 ZINC000740560764 603257700 /nfs/dbraw/zinc/25/77/00/603257700.db2.gz AVKLNHVLKYEIBE-BDAKNGLRSA-N -1 1 319.321 1.830 20 0 DDADMM O=C([O-])N1CCC[C@@H](CN[C@@H](CO)c2c(F)cccc2F)C1 ZINC000740514919 603277133 /nfs/dbraw/zinc/27/71/33/603277133.db2.gz HSQVQHURRHJEGO-GWCFXTLKSA-N -1 1 314.332 1.978 20 0 DDADMM Cc1noc([C@@H](C)N2CCN(C[C@@H]3CCN(C(=O)[O-])C3)CC2)n1 ZINC000740261797 603341190 /nfs/dbraw/zinc/34/11/90/603341190.db2.gz ALZRPKRGLVYXBG-YPMHNXCESA-N -1 1 323.397 1.057 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)NCC(C)(C)NC(=O)[O-] ZINC000824164902 603454059 /nfs/dbraw/zinc/45/40/59/603454059.db2.gz RAHPUXSKIKITKY-NSHDSACASA-N -1 1 300.403 1.014 20 0 DDADMM CN(C(=O)c1cc(C2CC2)[nH]n1)C1CCC(NC(=O)[O-])CC1 ZINC000827926427 603471450 /nfs/dbraw/zinc/47/14/50/603471450.db2.gz BVDSGUYMAFYJKF-UHFFFAOYSA-N -1 1 306.366 1.938 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000827865539 603527013 /nfs/dbraw/zinc/52/70/13/603527013.db2.gz QCQVZEVZLOTFRA-JTQLQIEISA-N -1 1 315.329 1.352 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCN(C(=O)c2cc(-c3cccs3)[nH]n2)C1 ZINC000827865664 603544707 /nfs/dbraw/zinc/54/47/07/603544707.db2.gz SBEJTIUYDFQABN-SECBINFHSA-N -1 1 320.374 1.963 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@@H](C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000832297170 603556136 /nfs/dbraw/zinc/55/61/36/603556136.db2.gz NMDQPJQSSLNGOG-GHMZBOCLSA-N -1 1 315.333 1.642 20 0 DDADMM C[C@@H]1CCCN(Cc2cccc(C(N)=O)c2)[C@@H]1CNC(=O)[O-] ZINC000825924915 603723148 /nfs/dbraw/zinc/72/31/48/603723148.db2.gz LSWSNVJLNRPSJN-BXUZGUMPSA-N -1 1 305.378 1.654 20 0 DDADMM CCc1cc(CNC(=O)[C@@H]2[C@@H](C)OC(C)(C)N2C(=O)[O-])n[nH]1 ZINC000827556227 603788202 /nfs/dbraw/zinc/78/82/02/603788202.db2.gz FWYMGZYJMOLJGP-KCJUWKMLSA-N -1 1 310.354 1.092 20 0 DDADMM CCC[C@H](C)NC(=O)[C@H](C)N1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000826734232 603986364 /nfs/dbraw/zinc/98/63/64/603986364.db2.gz HEWSSVFPMREUFN-FQUUOJAGSA-N -1 1 311.426 1.896 20 0 DDADMM COC1CCN(CCNC(=O)c2cccc(NC(=O)[O-])c2)CC1 ZINC000828881361 604253500 /nfs/dbraw/zinc/25/35/00/604253500.db2.gz XQYGLMXPTIENMT-UHFFFAOYSA-N -1 1 321.377 1.617 20 0 DDADMM O=C([O-])NCCN1CCC[C@H](c2cc(C(F)(F)F)n[nH]2)C1 ZINC000832641739 604369296 /nfs/dbraw/zinc/36/92/96/604369296.db2.gz HRTAJEBNNLBHFX-QMMMGPOBSA-N -1 1 306.288 1.876 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CC[C@@H](NC(=O)[O-])C1 ZINC000829833104 604477795 /nfs/dbraw/zinc/47/77/95/604477795.db2.gz GDAOLIGHJGVEJA-ZCFIWIBFSA-N -1 1 306.244 1.219 20 0 DDADMM O=C([O-])C1(CNC(=O)c2cccc(-c3nnc[nH]3)c2)CCCC1 ZINC000833766957 604481479 /nfs/dbraw/zinc/48/14/79/604481479.db2.gz WYCHJOOWQFUYLX-UHFFFAOYSA-N -1 1 314.345 1.847 20 0 DDADMM C[C@@H](Oc1ccc(CN(C)Cc2cnn(C)c2)cc1)C(=O)[O-] ZINC000833539314 604519995 /nfs/dbraw/zinc/51/99/95/604519995.db2.gz YRPBKSPMYNIMAG-GFCCVEGCSA-N -1 1 303.362 1.904 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)CC3CN(C(=O)[O-])C3)n2)o1 ZINC000830161001 604585594 /nfs/dbraw/zinc/58/55/94/604585594.db2.gz WLCCKJKZWDNQSH-UHFFFAOYSA-N -1 1 305.294 1.312 20 0 DDADMM COC(=O)c1ccccc1CN(CCC(=O)[O-])C[C@@H]1CCCO1 ZINC000833717270 604656760 /nfs/dbraw/zinc/65/67/60/604656760.db2.gz MQFFHQNHYFACGM-AWEZNQCLSA-N -1 1 321.373 1.929 20 0 DDADMM C[C@@H](CN(CC(=O)Nc1nc(C2CC2)no1)C1CC1)C(=O)[O-] ZINC000827449530 604739142 /nfs/dbraw/zinc/73/91/42/604739142.db2.gz MPDWUOZNQSSCAX-QMMMGPOBSA-N -1 1 308.338 1.071 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCN(c3ccc(O)cc3)CC2)O1 ZINC000833578488 604823245 /nfs/dbraw/zinc/82/32/45/604823245.db2.gz JLRBSBZNRUXQLW-OAHLLOKOSA-N -1 1 318.373 1.662 20 0 DDADMM Cc1cnc(Cn2cc(-c3nn[n-]n3)c(=O)c3nc(C)ccc32)o1 ZINC000826295016 607718534 /nfs/dbraw/zinc/71/85/34/607718534.db2.gz NEWSSWUICQIKJS-UHFFFAOYSA-N -1 1 323.316 1.230 20 0 DDADMM CN(CC(=O)N[C@@H](Cc1ccccc1)C(=O)[O-])[C@@H]1CCSC1 ZINC000820562193 605025983 /nfs/dbraw/zinc/02/59/83/605025983.db2.gz AVOLJVOUCYDKKZ-KGLIPLIRSA-N -1 1 322.430 1.236 20 0 DDADMM C[C@@H](NC1CN(C(=O)[O-])C1)c1cccc(N2CCCC2=O)c1 ZINC000825484742 605099733 /nfs/dbraw/zinc/09/97/33/605099733.db2.gz KOWGOAPTDRBGIG-LLVKDONJSA-N -1 1 303.362 1.826 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2c(c1)CCC2 ZINC000833622487 605112006 /nfs/dbraw/zinc/11/20/06/605112006.db2.gz BMXZAWAXXBLQNM-ZDUSSCGKSA-N -1 1 316.401 1.796 20 0 DDADMM C[C@@H](O)C[C@H]1CCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000824698835 607738110 /nfs/dbraw/zinc/73/81/10/607738110.db2.gz OQPBWMHRUWYZAX-RNFRBKRXSA-N -1 1 314.802 1.716 20 0 DDADMM C[C@@H](O)C[C@H]1CCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000824698835 607738111 /nfs/dbraw/zinc/73/81/11/607738111.db2.gz OQPBWMHRUWYZAX-RNFRBKRXSA-N -1 1 314.802 1.716 20 0 DDADMM CC(C)CCc1noc(CN2CCN(CCC(=O)[O-])C[C@@H]2C)n1 ZINC000833484685 605190162 /nfs/dbraw/zinc/19/01/62/605190162.db2.gz STRYEHLXHFGROW-ZDUSSCGKSA-N -1 1 324.425 1.639 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)C(C)(C)c1cccnc1 ZINC000833618939 605199748 /nfs/dbraw/zinc/19/97/48/605199748.db2.gz HCHFNUWPQCGCQG-ZDUSSCGKSA-N -1 1 319.405 1.367 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1CCC(=O)c1ccc(F)cc1 ZINC000833628186 605245133 /nfs/dbraw/zinc/24/51/33/605245133.db2.gz CROZXAOHUQXPKK-CYBMUJFWSA-N -1 1 322.380 1.879 20 0 DDADMM COCCC[C@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833723523 605302481 /nfs/dbraw/zinc/30/24/81/605302481.db2.gz PRPGMMUXCBGXRJ-QWHCGFSZSA-N -1 1 300.399 1.057 20 0 DDADMM C[C@H]1C[C@H](NC(=O)[O-])CCN1C(=O)c1cccc2n[nH]cc21 ZINC000825840486 605454559 /nfs/dbraw/zinc/45/45/59/605454559.db2.gz YMHBYVSYYFESCQ-VHSXEESVSA-N -1 1 302.334 1.824 20 0 DDADMM CCCN(CCS(=O)(=O)C(C)C)C1CCN(C(=O)[O-])CC1 ZINC000826911625 605467267 /nfs/dbraw/zinc/46/72/67/605467267.db2.gz DBVWEUHRXLZLFU-UHFFFAOYSA-N -1 1 320.455 1.664 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)C[C@H]21 ZINC000834181163 605577819 /nfs/dbraw/zinc/57/78/19/605577819.db2.gz QBMREGUGKAXEQA-IJLUTSLNSA-N -1 1 318.377 1.795 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CC[C@@H]2CCS(=O)(=O)C2)C1 ZINC000833811915 605604430 /nfs/dbraw/zinc/60/44/30/605604430.db2.gz FSRFBYLTQPJVAJ-XQQFMLRXSA-N -1 1 318.439 1.179 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CN(Cc2[nH]nc3ccccc32)CCO1 ZINC000833818809 605678019 /nfs/dbraw/zinc/67/80/19/605678019.db2.gz MISPAKYVZDFHPQ-QMTHXVAHSA-N -1 1 304.350 1.420 20 0 DDADMM C[C@H]1C[C@@H](C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CC(C)(C)C1 ZINC000833588284 605720649 /nfs/dbraw/zinc/72/06/49/605720649.db2.gz DBVIKAKRPIFIKO-LOWVWBTDSA-N -1 1 307.394 1.984 20 0 DDADMM O=C([O-])NCCCCC(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000834239955 605919758 /nfs/dbraw/zinc/91/97/58/605919758.db2.gz CDDRFDUBOGSEJH-UHFFFAOYSA-N -1 1 304.310 1.243 20 0 DDADMM O=C([O-])NC1(CNC(=O)NCCN2CCC2)CCCCCC1 ZINC000834155823 605950720 /nfs/dbraw/zinc/95/07/20/605950720.db2.gz YLHNWAGSBMQJTM-UHFFFAOYSA-N -1 1 312.414 1.352 20 0 DDADMM O=C([O-])Nc1cccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000834262554 605966116 /nfs/dbraw/zinc/96/61/16/605966116.db2.gz MIZVTZUNJQYSAM-JTQLQIEISA-N -1 1 316.321 1.309 20 0 DDADMM O=C([O-])Nc1cccc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)c1 ZINC000834262554 605966118 /nfs/dbraw/zinc/96/61/18/605966118.db2.gz MIZVTZUNJQYSAM-JTQLQIEISA-N -1 1 316.321 1.309 20 0 DDADMM Cn1cc(CN[C@H]2CN(C(=O)[O-])CC[C@@H]2c2ccccc2)nn1 ZINC000833946145 606052497 /nfs/dbraw/zinc/05/24/97/606052497.db2.gz IFZMKHYNTBTVST-CABCVRRESA-N -1 1 315.377 1.441 20 0 DDADMM COC1CCC(CNC(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000821679816 606118570 /nfs/dbraw/zinc/11/85/70/606118570.db2.gz NOOODTKQGUGSBJ-UHFFFAOYSA-N -1 1 321.406 1.863 20 0 DDADMM COC1CCC(CNC(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000821679816 606118571 /nfs/dbraw/zinc/11/85/71/606118571.db2.gz NOOODTKQGUGSBJ-UHFFFAOYSA-N -1 1 321.406 1.863 20 0 DDADMM CC(C)N1CC[C@@H](OC(=O)c2ccc(-c3nnn[n-]3)s2)C1=O ZINC000820132365 606136106 /nfs/dbraw/zinc/13/61/06/606136106.db2.gz IPSAGQOVWVFIKV-MRVPVSSYSA-N -1 1 321.362 1.094 20 0 DDADMM CC(C)N1CC[C@@H](OC(=O)c2ccc(-c3nn[n-]n3)s2)C1=O ZINC000820132365 606136107 /nfs/dbraw/zinc/13/61/07/606136107.db2.gz IPSAGQOVWVFIKV-MRVPVSSYSA-N -1 1 321.362 1.094 20 0 DDADMM CC(C)[C@H]1CCCN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820038128 606162221 /nfs/dbraw/zinc/16/22/21/606162221.db2.gz LHUZTBGCMXHXFC-SNVBAGLBSA-N -1 1 304.358 1.253 20 0 DDADMM CC(C)[C@H]1CCCN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820038128 606162223 /nfs/dbraw/zinc/16/22/23/606162223.db2.gz LHUZTBGCMXHXFC-SNVBAGLBSA-N -1 1 304.358 1.253 20 0 DDADMM C[C@@H]1CCC[C@@H](N(C)C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820697361 606164829 /nfs/dbraw/zinc/16/48/29/606164829.db2.gz GGVHSFRRPYBNIJ-NXEZZACHSA-N -1 1 318.385 1.643 20 0 DDADMM C[C@@H]1CCC[C@@H](N(C)C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820697361 606164831 /nfs/dbraw/zinc/16/48/31/606164831.db2.gz GGVHSFRRPYBNIJ-NXEZZACHSA-N -1 1 318.385 1.643 20 0 DDADMM CCCN(CC(=O)N(C)C)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821087962 606458791 /nfs/dbraw/zinc/45/87/91/606458791.db2.gz IIMAGIMEXDJQFA-UHFFFAOYSA-N -1 1 323.788 1.220 20 0 DDADMM CCCN(CC(=O)N(C)C)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821087962 606458792 /nfs/dbraw/zinc/45/87/92/606458792.db2.gz IIMAGIMEXDJQFA-UHFFFAOYSA-N -1 1 323.788 1.220 20 0 DDADMM CSC[C@@](C)(O)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000821956805 606467414 /nfs/dbraw/zinc/46/74/14/606467414.db2.gz XYLXPASQGVPVFH-VIFPVBQESA-N -1 1 320.831 1.503 20 0 DDADMM CSC[C@@](C)(O)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000821956805 606467416 /nfs/dbraw/zinc/46/74/16/606467416.db2.gz XYLXPASQGVPVFH-VIFPVBQESA-N -1 1 320.831 1.503 20 0 DDADMM O=C(NC[C@H]1CC1(Cl)Cl)c1ccc(-c2nnn[n-]2)s1 ZINC000823171416 606523908 /nfs/dbraw/zinc/52/39/08/606523908.db2.gz UMUAZQWWHZFWBN-RXMQYKEDSA-N -1 1 318.189 1.852 20 0 DDADMM O=C(NC[C@H]1CC1(Cl)Cl)c1ccc(-c2nn[n-]n2)s1 ZINC000823171416 606523909 /nfs/dbraw/zinc/52/39/09/606523909.db2.gz UMUAZQWWHZFWBN-RXMQYKEDSA-N -1 1 318.189 1.852 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cc(F)cc(F)c1 ZINC000823436258 606647489 /nfs/dbraw/zinc/64/74/89/606647489.db2.gz NXWIWEFZPLUBAZ-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cc(F)cc(F)c1 ZINC000823436258 606647490 /nfs/dbraw/zinc/64/74/90/606647490.db2.gz NXWIWEFZPLUBAZ-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccnc(Cl)c1 ZINC000823436302 606652337 /nfs/dbraw/zinc/65/23/37/606652337.db2.gz PBDYZEOJFYUXIW-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccnc(Cl)c1 ZINC000823436302 606652338 /nfs/dbraw/zinc/65/23/38/606652338.db2.gz PBDYZEOJFYUXIW-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C(CCc1nccs1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822986927 607107073 /nfs/dbraw/zinc/10/70/73/607107073.db2.gz NAMHANRZGLIHKT-UHFFFAOYSA-N -1 1 307.364 1.351 20 0 DDADMM O=C(CCc1nccs1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822986927 607107075 /nfs/dbraw/zinc/10/70/75/607107075.db2.gz NAMHANRZGLIHKT-UHFFFAOYSA-N -1 1 307.364 1.351 20 0 DDADMM Cc1nn(Cc2cc3ccccc3o2)c(=O)c(-c2nn[n-]n2)c1C ZINC000822501070 607133158 /nfs/dbraw/zinc/13/31/58/607133158.db2.gz UGTWBLGGRIQDHY-UHFFFAOYSA-N -1 1 322.328 1.835 20 0 DDADMM Cc1c(F)cccc1NC(=O)CCn1ccnc1-c1nnn[n-]1 ZINC000826240746 607868481 /nfs/dbraw/zinc/86/84/81/607868481.db2.gz GHHFCTNWWMGKPE-UHFFFAOYSA-N -1 1 315.312 1.540 20 0 DDADMM Cc1c(F)cccc1NC(=O)CCn1ccnc1-c1nn[n-]n1 ZINC000826240746 607868482 /nfs/dbraw/zinc/86/84/82/607868482.db2.gz GHHFCTNWWMGKPE-UHFFFAOYSA-N -1 1 315.312 1.540 20 0 DDADMM C[C@H](C(=O)OC(C)(C)C)N(C)c1cccc(-c2nnn[n-]2)n1 ZINC000824052732 607901387 /nfs/dbraw/zinc/90/13/87/607901387.db2.gz QSJLQXOTKPFSKW-SECBINFHSA-N -1 1 304.354 1.428 20 0 DDADMM C[C@H](C(=O)OC(C)(C)C)N(C)c1cccc(-c2nn[n-]n2)n1 ZINC000824052732 607901388 /nfs/dbraw/zinc/90/13/88/607901388.db2.gz QSJLQXOTKPFSKW-SECBINFHSA-N -1 1 304.354 1.428 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)N[C@@H](c1ccccc1)C1CC1 ZINC000826425097 608013572 /nfs/dbraw/zinc/01/35/72/608013572.db2.gz PSMHLMZTJLQYTE-AWEZNQCLSA-N -1 1 323.360 1.331 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)N[C@@H](c1ccccc1)C1CC1 ZINC000826425097 608013573 /nfs/dbraw/zinc/01/35/73/608013573.db2.gz PSMHLMZTJLQYTE-AWEZNQCLSA-N -1 1 323.360 1.331 20 0 DDADMM Fc1ccc(-c2cnc(Cn3ccnc3-c3nnn[n-]3)o2)cc1 ZINC000826372666 608014320 /nfs/dbraw/zinc/01/43/20/608014320.db2.gz HMJBLFPCQORIAH-UHFFFAOYSA-N -1 1 311.280 1.906 20 0 DDADMM Fc1ccc(-c2cnc(Cn3ccnc3-c3nn[n-]n3)o2)cc1 ZINC000826372666 608014321 /nfs/dbraw/zinc/01/43/21/608014321.db2.gz HMJBLFPCQORIAH-UHFFFAOYSA-N -1 1 311.280 1.906 20 0 DDADMM CC[C@@H]1CN(c2cc(-c3nnn[n-]3)nc3ccccc32)C[C@@H]1O ZINC000825212964 608018153 /nfs/dbraw/zinc/01/81/53/608018153.db2.gz VGUZLBAROPOZAZ-BMIGLBTASA-N -1 1 310.361 1.622 20 0 DDADMM CC[C@@H]1CN(c2cc(-c3nn[n-]n3)nc3ccccc32)C[C@@H]1O ZINC000825212964 608018154 /nfs/dbraw/zinc/01/81/54/608018154.db2.gz VGUZLBAROPOZAZ-BMIGLBTASA-N -1 1 310.361 1.622 20 0 DDADMM C[C@@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)[C@H](C)CO1 ZINC000824999394 608173197 /nfs/dbraw/zinc/17/31/97/608173197.db2.gz GONVKSQGNOQWME-PHDIDXHHSA-N -1 1 300.775 1.590 20 0 DDADMM C[C@@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)[C@H](C)CO1 ZINC000824999394 608173200 /nfs/dbraw/zinc/17/32/00/608173200.db2.gz GONVKSQGNOQWME-PHDIDXHHSA-N -1 1 300.775 1.590 20 0 DDADMM Cc1cnc(Cn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)s1 ZINC000826318490 608219136 /nfs/dbraw/zinc/21/91/36/608219136.db2.gz LPPRZIYXRJZYOW-UHFFFAOYSA-N -1 1 324.369 1.995 20 0 DDADMM CC(=O)Nc1ccc(Nc2ccc(-c3nnn[n-]3)nn2)cc1C ZINC000823999981 608394632 /nfs/dbraw/zinc/39/46/32/608394632.db2.gz PMIYCPIEUSKLCP-UHFFFAOYSA-N -1 1 310.321 1.667 20 0 DDADMM CC(=O)Nc1ccc(Nc2ccc(-c3nn[n-]n3)nn2)cc1C ZINC000823999981 608394634 /nfs/dbraw/zinc/39/46/34/608394634.db2.gz PMIYCPIEUSKLCP-UHFFFAOYSA-N -1 1 310.321 1.667 20 0 DDADMM O=C(Nc1cccc(Nc2ccc(-c3nnn[n-]3)nn2)c1)C1CC1 ZINC000826457015 608396196 /nfs/dbraw/zinc/39/61/96/608396196.db2.gz IUDKNKAQXYNTIX-UHFFFAOYSA-N -1 1 322.332 1.749 20 0 DDADMM O=C(Nc1cccc(Nc2ccc(-c3nn[n-]n3)nn2)c1)C1CC1 ZINC000826457015 608396197 /nfs/dbraw/zinc/39/61/97/608396197.db2.gz IUDKNKAQXYNTIX-UHFFFAOYSA-N -1 1 322.332 1.749 20 0 DDADMM CCCCN(CCCO)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825285065 608414187 /nfs/dbraw/zinc/41/41/87/608414187.db2.gz IJCLHJPXDRXZFM-UHFFFAOYSA-N -1 1 316.818 1.966 20 0 DDADMM CCCCN(CCCO)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825285065 608414188 /nfs/dbraw/zinc/41/41/88/608414188.db2.gz IJCLHJPXDRXZFM-UHFFFAOYSA-N -1 1 316.818 1.966 20 0 DDADMM CN(CCN(C)c1ccc(-c2nnn[n-]2)nn1)c1ccccc1 ZINC000825937668 608416955 /nfs/dbraw/zinc/41/69/55/608416955.db2.gz HBEUYOPOMGQTMC-UHFFFAOYSA-N -1 1 310.365 1.229 20 0 DDADMM CN(CCN(C)c1ccc(-c2nn[n-]n2)nn1)c1ccccc1 ZINC000825937668 608416956 /nfs/dbraw/zinc/41/69/56/608416956.db2.gz HBEUYOPOMGQTMC-UHFFFAOYSA-N -1 1 310.365 1.229 20 0 DDADMM Clc1ccccc1OCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826354083 608437787 /nfs/dbraw/zinc/43/77/87/608437787.db2.gz OZHYXULLLYNHRP-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM Clc1ccccc1OCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826354083 608437789 /nfs/dbraw/zinc/43/77/89/608437789.db2.gz OZHYXULLLYNHRP-UHFFFAOYSA-N -1 1 317.740 1.801 20 0 DDADMM CC1(CNc2ccc(-c3nnn[n-]3)nn2)Cc2ccccc2C1 ZINC000824830327 608798668 /nfs/dbraw/zinc/79/86/68/608798668.db2.gz JXLQDWGZRLTLHV-UHFFFAOYSA-N -1 1 307.361 1.874 20 0 DDADMM CC1(CNc2ccc(-c3nn[n-]n3)nn2)Cc2ccccc2C1 ZINC000824830327 608798671 /nfs/dbraw/zinc/79/86/71/608798671.db2.gz JXLQDWGZRLTLHV-UHFFFAOYSA-N -1 1 307.361 1.874 20 0 DDADMM C[C@H]1C[C@@H](Nc2c3ccccc3nnc2-c2nnn[n-]2)CCO1 ZINC000824892404 608801219 /nfs/dbraw/zinc/80/12/19/608801219.db2.gz HVMXRZIWBILXGW-UWVGGRQHSA-N -1 1 311.349 1.789 20 0 DDADMM C[C@H]1C[C@@H](Nc2c3ccccc3nnc2-c2nn[n-]n2)CCO1 ZINC000824892404 608801222 /nfs/dbraw/zinc/80/12/22/608801222.db2.gz HVMXRZIWBILXGW-UWVGGRQHSA-N -1 1 311.349 1.789 20 0 DDADMM C[C@@H]1CCc2ccccc2N1C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000824967410 608889610 /nfs/dbraw/zinc/88/96/10/608889610.db2.gz JNCLPODLFFZWFJ-LLVKDONJSA-N -1 1 323.360 1.431 20 0 DDADMM C[C@@H]1CCc2ccccc2N1C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000824967410 608889611 /nfs/dbraw/zinc/88/96/11/608889611.db2.gz JNCLPODLFFZWFJ-LLVKDONJSA-N -1 1 323.360 1.431 20 0 DDADMM Cc1cc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)cc(=O)[nH]1 ZINC000826261538 609244133 /nfs/dbraw/zinc/24/41/33/609244133.db2.gz JCOZGIKGTQGDPR-UHFFFAOYSA-N -1 1 312.289 1.028 20 0 DDADMM Cc1cc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)cc(=O)[nH]1 ZINC000826261538 609244135 /nfs/dbraw/zinc/24/41/35/609244135.db2.gz JCOZGIKGTQGDPR-UHFFFAOYSA-N -1 1 312.289 1.028 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1CCc1ccc(F)c(F)c1 ZINC000826501446 609328537 /nfs/dbraw/zinc/32/85/37/609328537.db2.gz QJEDECKBOUVCMJ-UHFFFAOYSA-N -1 1 303.272 1.549 20 0 DDADMM NC(=O)c1cncc(Oc2cccc(F)c2-c2nnn[n-]2)c1 ZINC000826394136 609428607 /nfs/dbraw/zinc/42/86/07/609428607.db2.gz YCWLSWFQCGWVRM-UHFFFAOYSA-N -1 1 300.253 1.292 20 0 DDADMM NC(=O)c1cncc(Oc2cccc(F)c2-c2nn[n-]n2)c1 ZINC000826394136 609428608 /nfs/dbraw/zinc/42/86/08/609428608.db2.gz YCWLSWFQCGWVRM-UHFFFAOYSA-N -1 1 300.253 1.292 20 0 DDADMM CCc1cnc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000825736312 609594318 /nfs/dbraw/zinc/59/43/18/609594318.db2.gz ZRNFFCTUYOKDGD-UHFFFAOYSA-N -1 1 316.346 1.638 20 0 DDADMM CCc1cnc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000825736312 609594321 /nfs/dbraw/zinc/59/43/21/609594321.db2.gz ZRNFFCTUYOKDGD-UHFFFAOYSA-N -1 1 316.346 1.638 20 0 DDADMM O=C(NCC[C@H]1CCCCO1)c1ccc(-c2nnn[n-]2)s1 ZINC000826436448 609620493 /nfs/dbraw/zinc/62/04/93/609620493.db2.gz TXZKHJQSILZONJ-SECBINFHSA-N -1 1 307.379 1.617 20 0 DDADMM O=C(NCC[C@H]1CCCCO1)c1ccc(-c2nn[n-]n2)s1 ZINC000826436448 609620494 /nfs/dbraw/zinc/62/04/94/609620494.db2.gz TXZKHJQSILZONJ-SECBINFHSA-N -1 1 307.379 1.617 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971902247 695119857 /nfs/dbraw/zinc/11/98/57/695119857.db2.gz JSWBFOBFWJJJRW-IJLUTSLNSA-N -1 1 321.352 1.208 20 0 DDADMM O=C([O-])CN(C(=O)N[C@@H]1CCCc2cn[nH]c21)C1CCOCC1 ZINC000797726092 700021342 /nfs/dbraw/zinc/02/13/42/700021342.db2.gz ZFGLYGDDDJWYMJ-GFCCVEGCSA-N -1 1 322.365 1.062 20 0 DDADMM CC[C@H](F)C(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975261538 695821530 /nfs/dbraw/zinc/82/15/30/695821530.db2.gz VRESKYFQCOLURJ-NEPJUHHUSA-N -1 1 323.368 1.504 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2cccs2)cc1 ZINC000006641905 696019966 /nfs/dbraw/zinc/01/99/66/696019966.db2.gz QTCBIZLZZPOMLV-UHFFFAOYSA-N -1 1 319.338 1.870 20 0 DDADMM CCN(CC)C(=O)CN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747200201 700059846 /nfs/dbraw/zinc/05/98/46/700059846.db2.gz PFXKRZWAZVTSNT-UHFFFAOYSA-N -1 1 322.409 1.554 20 0 DDADMM Cc1csc(C[N-]S(=O)(=O)c2ncn(C)c2Cl)n1 ZINC000037991767 696134875 /nfs/dbraw/zinc/13/48/75/696134875.db2.gz ALMISOQENHYXML-UHFFFAOYSA-N -1 1 306.800 1.317 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2Cc3ccccc32)c1Cl ZINC000038108740 696136245 /nfs/dbraw/zinc/13/62/45/696136245.db2.gz GJLPDIUYEJMYMQ-SNVBAGLBSA-N -1 1 311.794 1.692 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NCCOc2ccc(F)cc2)n1 ZINC000047008196 696193554 /nfs/dbraw/zinc/19/35/54/696193554.db2.gz CWELGJNETVEWAV-UHFFFAOYSA-N -1 1 321.312 1.329 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CC1(C)C ZINC000977598346 696228986 /nfs/dbraw/zinc/22/89/86/696228986.db2.gz LONGNXTZLFLVBP-RYUDHWBXSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1ccc(OCC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cc1 ZINC000747470474 700072138 /nfs/dbraw/zinc/07/21/38/700072138.db2.gz NBOHUFMCZXZULO-INIZCTEOSA-N -1 1 323.356 1.793 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OC[C@@H]1CCOC1 ZINC000051695248 696246255 /nfs/dbraw/zinc/24/62/55/696246255.db2.gz CDWOXMMQPKKKKP-MRVPVSSYSA-N -1 1 305.377 1.313 20 0 DDADMM Cc1cc(C)c(CNC(=O)Cc2sc(N)nc2[O-])c(C)c1 ZINC000079483266 696460680 /nfs/dbraw/zinc/46/06/80/696460680.db2.gz IEDCGYPVMZUOME-GFCCVEGCSA-N -1 1 305.403 1.575 20 0 DDADMM C[C@@H](CCNC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000079881948 696464548 /nfs/dbraw/zinc/46/45/48/696464548.db2.gz ZWCWQYUDTRMEKY-CMPLNLGQSA-N -1 1 305.403 1.643 20 0 DDADMM CC[C@@H](Cc1ccccc1)NC(=O)Cc1sc(N)nc1[O-] ZINC000079970515 696465572 /nfs/dbraw/zinc/46/55/72/696465572.db2.gz BLEKKRJWGNPRAJ-NWDGAFQWSA-N -1 1 305.403 1.471 20 0 DDADMM CC[C@H](Cc1ccccc1)N(C)C(=O)Cc1sc(N)nc1[O-] ZINC000080494495 696535071 /nfs/dbraw/zinc/53/50/71/696535071.db2.gz NTVFUIBJAMZTCJ-CHWSQXEVSA-N -1 1 319.430 1.813 20 0 DDADMM CC[C@H](C)[C@](C)(O)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000081944309 696548826 /nfs/dbraw/zinc/54/88/26/696548826.db2.gz SWBDBJOPXPGGHF-MGPLVRAMSA-N -1 1 309.410 1.729 20 0 DDADMM CCN(CC)C(=O)CCC(=O)NCCn1c(C)n[n-]c1=S ZINC000087388440 696568278 /nfs/dbraw/zinc/56/82/78/696568278.db2.gz FZEATNUFIGCSEA-UHFFFAOYSA-N -1 1 313.427 1.014 20 0 DDADMM O=C(N[C@@H]1CC[C@@H]2CN(C(=O)C3CC3)C[C@H]12)c1ncccc1[O-] ZINC000979544673 696590788 /nfs/dbraw/zinc/59/07/88/696590788.db2.gz FYPDAJDCPLLHJW-FRRDWIJNSA-N -1 1 315.373 1.164 20 0 DDADMM COC(=O)CCCCCNC(=O)c1nnc2ccccc2c1O ZINC000109935836 696638564 /nfs/dbraw/zinc/63/85/64/696638564.db2.gz SXVDOVSOQDEVNT-UHFFFAOYSA-N -1 1 317.345 1.386 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H](c2ccccc2)C2CC2)s1 ZINC000120877723 696702951 /nfs/dbraw/zinc/70/29/51/696702951.db2.gz CRJFVVJBGHFEJU-DGCLKSJQSA-N -1 1 303.387 1.601 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)Cc1cc(Cl)ccc1F ZINC000123968955 696737050 /nfs/dbraw/zinc/73/70/50/696737050.db2.gz NLMGEFIQUFLPFH-UHFFFAOYSA-N -1 1 323.773 1.850 20 0 DDADMM C[C@H](NC(=O)c1nnc2ccccc2c1O)C(=O)OC(C)(C)C ZINC000127962900 696775396 /nfs/dbraw/zinc/77/53/96/696775396.db2.gz FCKMQAWBXPNQQS-VIFPVBQESA-N -1 1 317.345 1.383 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2c(F)cccc2NC2CC2)s1 ZINC000132976077 696821094 /nfs/dbraw/zinc/82/10/94/696821094.db2.gz DKZQBHQZIGQHQD-SNVBAGLBSA-N -1 1 322.365 1.685 20 0 DDADMM O=C([N-]OCCC(F)(F)F)[C@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000154882556 696939120 /nfs/dbraw/zinc/93/91/20/696939120.db2.gz HVNDVYSOADHVFC-LURJTMIESA-N -1 1 322.205 1.397 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2cc(F)cc(F)c2)C1 ZINC000748775980 700134583 /nfs/dbraw/zinc/13/45/83/700134583.db2.gz BCRWOXVZMBBWMT-VIFPVBQESA-N -1 1 314.288 1.012 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983206996 697225613 /nfs/dbraw/zinc/22/56/13/697225613.db2.gz SJIDBKDHZJRSHT-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@@H]1CC([N-]S(=O)(=O)c2ncn(C)c2Cl)C[C@@H](C)O1 ZINC000162951551 697331353 /nfs/dbraw/zinc/33/13/53/697331353.db2.gz RHVVJNVISYTEBN-HTQZYQBOSA-N -1 1 307.803 1.308 20 0 DDADMM CCN(Cc1cnn(C)c1)C(=O)c1nnc2ccccc2c1O ZINC000171866246 697363129 /nfs/dbraw/zinc/36/31/29/697363129.db2.gz FZFMBNWKBNHQOV-UHFFFAOYSA-N -1 1 311.345 1.319 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2cccs2)n1 ZINC000176719413 697405510 /nfs/dbraw/zinc/40/55/10/697405510.db2.gz SBTGORYIFPDKPM-UHFFFAOYSA-N -1 1 300.365 1.161 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)C(C)(C)C)C1 ZINC000984636229 697410886 /nfs/dbraw/zinc/41/08/86/697410886.db2.gz HQONAKGPFWBNJG-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ncccc2C)o1 ZINC000180288642 697447095 /nfs/dbraw/zinc/44/70/95/697447095.db2.gz MXDXMCVGOLQKJR-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CN(C(=O)C3CC3)C[C@@H]2C1 ZINC000985600777 697540583 /nfs/dbraw/zinc/54/05/83/697540583.db2.gz KOSWMLZHECFPJG-OLZOCXBDSA-N -1 1 315.373 1.118 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC000985689599 697556585 /nfs/dbraw/zinc/55/65/85/697556585.db2.gz SBUWDHFZOFLYHI-NEPJUHHUSA-N -1 1 321.425 1.369 20 0 DDADMM Cc1cc(CC(=O)Nc2nc(Br)ccc2[O-])[nH]n1 ZINC000189983367 697582798 /nfs/dbraw/zinc/58/27/98/697582798.db2.gz ITSLOSLFUQZNFZ-UHFFFAOYSA-N -1 1 311.139 1.762 20 0 DDADMM Cc1cc(CC(=O)Nc2nc(Br)ccc2[O-])n[nH]1 ZINC000189983367 697582802 /nfs/dbraw/zinc/58/28/02/697582802.db2.gz ITSLOSLFUQZNFZ-UHFFFAOYSA-N -1 1 311.139 1.762 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)s1 ZINC000985894110 697597148 /nfs/dbraw/zinc/59/71/48/697597148.db2.gz UMPGMNVWANBEPY-UWVGGRQHSA-N -1 1 321.406 1.273 20 0 DDADMM CCOc1ccccc1C(=O)N1CCN([C@H](CC)C(=O)[O-])CC1 ZINC000191403319 697605688 /nfs/dbraw/zinc/60/56/88/697605688.db2.gz XQSBZLOYGQAQKO-CQSZACIVSA-N -1 1 320.389 1.706 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)c(C)o1 ZINC000985947845 697610108 /nfs/dbraw/zinc/61/01/08/697610108.db2.gz AZBPJUSTPYSPAH-SKDRFNHKSA-N -1 1 319.365 1.113 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CCCCCC1 ZINC000986042545 697653101 /nfs/dbraw/zinc/65/31/01/697653101.db2.gz YDQOBBPKWSQGEM-YPMHNXCESA-N -1 1 321.425 1.560 20 0 DDADMM CC1CCC(N2C[C@@H](C(=O)[N-]OC3CCOCC3)CC2=O)CC1 ZINC000772704547 697663375 /nfs/dbraw/zinc/66/33/75/697663375.db2.gz DPJJSOQYRLICDG-MOKVOYLWSA-N -1 1 324.421 1.640 20 0 DDADMM NC(=O)CO[N-]C(=O)CCC(=O)c1ccc2ccccc2c1 ZINC000772938241 697695624 /nfs/dbraw/zinc/69/56/24/697695624.db2.gz DJLYTZXDLZCRLN-UHFFFAOYSA-N -1 1 300.314 1.336 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]CC[C@H]1CCOC1 ZINC000230140459 697781463 /nfs/dbraw/zinc/78/14/63/697781463.db2.gz CNKLSIVYSZCCGF-VIFPVBQESA-N -1 1 318.326 1.439 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cccnc2Cl)o1 ZINC000234248122 697791142 /nfs/dbraw/zinc/79/11/42/697791142.db2.gz PXPUXMIYPREAIO-UHFFFAOYSA-N -1 1 301.755 1.764 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@H]1CCCC12OCCO2 ZINC000774350492 697871586 /nfs/dbraw/zinc/87/15/86/697871586.db2.gz QBZVVNSBBKQAEI-ZCFIWIBFSA-N -1 1 306.244 1.305 20 0 DDADMM Cc1nc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c(C)s1 ZINC000774976937 697949946 /nfs/dbraw/zinc/94/99/46/697949946.db2.gz DZJPLOPVKIGLNS-UHFFFAOYSA-N -1 1 310.297 1.985 20 0 DDADMM C/C(=C\C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C(C)(C)C ZINC000777443751 698191441 /nfs/dbraw/zinc/19/14/41/698191441.db2.gz CYIVACTZHJBPKH-VQHVLOKHSA-N -1 1 312.391 1.971 20 0 DDADMM COCc1c(C(=O)[N-]N2CN=NC2=O)sc2cccc(F)c21 ZINC000777767724 698220373 /nfs/dbraw/zinc/22/03/73/698220373.db2.gz IDEYGCQMSDDIAU-UHFFFAOYSA-N -1 1 322.321 1.868 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CCCCC1 ZINC000987958142 698272961 /nfs/dbraw/zinc/27/29/61/698272961.db2.gz CHJPSUYMYBRTPV-YPMHNXCESA-N -1 1 321.425 1.560 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CCCCC1 ZINC000987958141 698273007 /nfs/dbraw/zinc/27/30/07/698273007.db2.gz CHJPSUYMYBRTPV-WCQYABFASA-N -1 1 321.425 1.560 20 0 DDADMM COC(=O)[C@@H]1CCN(CC(=O)[N-]OCc2ccccc2)C[C@@H]1C ZINC000249161639 698388513 /nfs/dbraw/zinc/38/85/13/698388513.db2.gz ZYUAYJWXVZMIBV-DZGCQCFKSA-N -1 1 320.389 1.365 20 0 DDADMM CC(C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C)=C1CCC1 ZINC000988816812 698474944 /nfs/dbraw/zinc/47/49/44/698474944.db2.gz CYOBMARNYGGWJE-JOYOIKCWSA-N -1 1 305.382 1.090 20 0 DDADMM CN(C)[C@@H](CNC(=O)N(CC(=O)[O-])C1CC1)c1ccc(F)cc1 ZINC000780452305 698519670 /nfs/dbraw/zinc/51/96/70/698519670.db2.gz VEERGMYOTAHLGJ-AWEZNQCLSA-N -1 1 323.368 1.687 20 0 DDADMM CC[C@H](NC(=O)NC[C@@H](c1ccc(C)o1)N1CCCC1)C(=O)[O-] ZINC000780513375 698527259 /nfs/dbraw/zinc/52/72/59/698527259.db2.gz OUGQYTVFYKKGJB-STQMWFEESA-N -1 1 323.393 1.887 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@@H]2COC(=O)N[C@H]2C1 ZINC000289378474 698567844 /nfs/dbraw/zinc/56/78/44/698567844.db2.gz NIKOYLBXFPRQCS-APPZFPTMSA-N -1 1 312.272 1.241 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCSc2ccccc2)C1 ZINC000314190268 698687324 /nfs/dbraw/zinc/68/73/24/698687324.db2.gz GFTXMHNSDURLMC-ZDUSSCGKSA-N -1 1 322.430 1.692 20 0 DDADMM CC1(C)CN(Cc2cc(=O)oc3cc([O-])ccc23)C[C@H](CO)O1 ZINC000323744871 698741505 /nfs/dbraw/zinc/74/15/05/698741505.db2.gz YOWFQYIBSUZMGA-CYBMUJFWSA-N -1 1 319.357 1.470 20 0 DDADMM COC(=O)Nc1ccc(NC(=O)c2n[nH]c(C)c2[O-])cc1F ZINC000783642123 698863824 /nfs/dbraw/zinc/86/38/24/698863824.db2.gz ZVGNVFJIAOYPCZ-UHFFFAOYSA-N -1 1 308.269 1.993 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H](F)C(C)C)cc1 ZINC000784310158 698928196 /nfs/dbraw/zinc/92/81/96/698928196.db2.gz MOSOVTSYNJCYBU-ZDUSSCGKSA-N -1 1 311.309 1.489 20 0 DDADMM CCC(=O)N1CCCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000990441227 699053618 /nfs/dbraw/zinc/05/36/18/699053618.db2.gz XCXOMVKCZUWCDU-LBPRGKRZSA-N -1 1 305.378 1.650 20 0 DDADMM CCCc1c(C(=O)NN2CC(=O)[N-]C2=O)cnn1C1CCCC1 ZINC000785320219 699067517 /nfs/dbraw/zinc/06/75/17/699067517.db2.gz MOFFKHSVUYLFMA-UHFFFAOYSA-N -1 1 319.365 1.147 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C(C)(C)C2CCOCC2)c1Cl ZINC000785396425 699073310 /nfs/dbraw/zinc/07/33/10/699073310.db2.gz CAYPBTBUQCCTLS-UHFFFAOYSA-N -1 1 321.830 1.557 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000785410323 699074377 /nfs/dbraw/zinc/07/43/77/699074377.db2.gz WZMGMKYWRBCXSU-SSDOTTSWSA-N -1 1 301.726 1.736 20 0 DDADMM Cc1ccc(Br)nc1C(=O)Nc1ccncc1[O-] ZINC000787166971 699194353 /nfs/dbraw/zinc/19/43/53/699194353.db2.gz HARMLJPOAORBLD-UHFFFAOYSA-N -1 1 308.135 1.927 20 0 DDADMM Cc1cscc1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969863 699195538 /nfs/dbraw/zinc/19/55/38/699195538.db2.gz QIVASIDGNVIYRX-UHFFFAOYSA-N -1 1 317.370 1.412 20 0 DDADMM O=C(/C=C/c1ccco1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990970948 699195892 /nfs/dbraw/zinc/19/58/92/699195892.db2.gz RILZGCIWZNLGNU-AATRIKPKSA-N -1 1 313.313 1.034 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CSC(C)(C)C)n[n-]1 ZINC000787906331 699253176 /nfs/dbraw/zinc/25/31/76/699253176.db2.gz PIYOFQBIXCLFJP-UHFFFAOYSA-N -1 1 300.384 1.129 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CSC(C)(C)C)n1 ZINC000787906331 699253180 /nfs/dbraw/zinc/25/31/80/699253180.db2.gz PIYOFQBIXCLFJP-UHFFFAOYSA-N -1 1 300.384 1.129 20 0 DDADMM C[C@H]1COC[C@H]1NC(=O)c1ccc(Br)cc1[O-] ZINC000710850005 699255013 /nfs/dbraw/zinc/25/50/13/699255013.db2.gz CDTVULFQYILIEF-OIBJUYFYSA-N -1 1 300.152 1.919 20 0 DDADMM CCOC(=O)COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000787957073 699259871 /nfs/dbraw/zinc/25/98/71/699259871.db2.gz YSOHYDIEGHRIIL-UHFFFAOYSA-N -1 1 308.265 1.437 20 0 DDADMM C[C@@H](OCc1ccccc1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000720668036 699308358 /nfs/dbraw/zinc/30/83/58/699308358.db2.gz FSLZSCXZVZUWOK-GFCCVEGCSA-N -1 1 315.377 1.511 20 0 DDADMM O=C(N[C@@H]1CC12CC(O)C2)c1cc(Br)ccc1[O-] ZINC000724987200 699328596 /nfs/dbraw/zinc/32/85/96/699328596.db2.gz SUOJAORBKPEOBC-UHLWVNKISA-N -1 1 312.163 1.798 20 0 DDADMM Cc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c2cccnc12 ZINC000726865730 699385969 /nfs/dbraw/zinc/38/59/69/699385969.db2.gz NCGJFTRPXWORQT-UHFFFAOYSA-N -1 1 324.340 1.422 20 0 DDADMM CC(C)CCCCCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000726872017 699386826 /nfs/dbraw/zinc/38/68/26/699386826.db2.gz ULGPUPBIULIBAI-UHFFFAOYSA-N -1 1 309.410 1.649 20 0 DDADMM Cc1cc(F)cc(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)c1 ZINC000789803032 699411477 /nfs/dbraw/zinc/41/14/77/699411477.db2.gz LTKZQWXBVIIXJK-CYBMUJFWSA-N -1 1 316.398 1.525 20 0 DDADMM CCOc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c(C)c1 ZINC000727852505 699428179 /nfs/dbraw/zinc/42/81/79/699428179.db2.gz XSOJSNMVILAWTR-UHFFFAOYSA-N -1 1 317.345 1.273 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CC[C@H]2CCCC[C@@H]2C1 ZINC000727848760 699428213 /nfs/dbraw/zinc/42/82/13/699428213.db2.gz PIHGYLPYUFYXQR-MGPQQGTHSA-N -1 1 319.405 1.402 20 0 DDADMM O=S(=O)([N-][C@H](CCO)C1CC1)c1cc(Cl)ccc1F ZINC000790215441 699447877 /nfs/dbraw/zinc/44/78/77/699447877.db2.gz CFIFFKHCMDPPOI-LLVKDONJSA-N -1 1 307.774 1.918 20 0 DDADMM O=C(c1cc2cc(F)ccc2[nH]1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000731855642 699538094 /nfs/dbraw/zinc/53/80/94/699538094.db2.gz XYBYVKMATQQCAJ-LBPRGKRZSA-N -1 1 316.296 1.034 20 0 DDADMM Cc1ccccc1CCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732091453 699546009 /nfs/dbraw/zinc/54/60/09/699546009.db2.gz FBGBZUHVHPQNBG-CYBMUJFWSA-N -1 1 301.350 1.041 20 0 DDADMM COc1ccc2cc(C=CC(=O)NCc3nn[n-]n3)ccc2c1 ZINC000732158064 699548326 /nfs/dbraw/zinc/54/83/26/699548326.db2.gz RLTBLLDCTRAMQX-CLTKARDFSA-N -1 1 309.329 1.691 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)OCCCCO)o1 ZINC000733442515 699595934 /nfs/dbraw/zinc/59/59/34/699595934.db2.gz NIBLACYXZQGPIK-UHFFFAOYSA-N -1 1 319.379 1.286 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000791088353 699611113 /nfs/dbraw/zinc/61/11/13/699611113.db2.gz IHBBIGSLQNVDML-UHFFFAOYSA-N -1 1 324.344 1.944 20 0 DDADMM CN(CCCNC(=O)OC(C)(C)C)C(=O)c1cncc([O-])c1 ZINC000736688965 699730553 /nfs/dbraw/zinc/73/05/53/699730553.db2.gz NYLCUXDFCOPQIZ-UHFFFAOYSA-N -1 1 309.366 1.774 20 0 DDADMM CC(C)(C)CS(=O)(=O)[N-]C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000793143520 699735030 /nfs/dbraw/zinc/73/50/30/699735030.db2.gz LYFAHNKKCCHVQC-UHFFFAOYSA-N -1 1 322.390 1.577 20 0 DDADMM Cc1ccc(CCOC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000737337928 699738665 /nfs/dbraw/zinc/73/86/65/699738665.db2.gz SIUUJBQGCWTDIS-UHFFFAOYSA-N -1 1 309.329 1.970 20 0 DDADMM Cc1ccc(CCOC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000737337928 699738668 /nfs/dbraw/zinc/73/86/68/699738668.db2.gz SIUUJBQGCWTDIS-UHFFFAOYSA-N -1 1 309.329 1.970 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)C(F)(F)Cc1ccccc1 ZINC000793525881 699763192 /nfs/dbraw/zinc/76/31/92/699763192.db2.gz LFHXYQMIZCCMEW-UHFFFAOYSA-N -1 1 321.331 1.963 20 0 DDADMM O=C(N1CCC[C@H](c2nn[n-]n2)C1)C(F)(F)Cc1ccccc1 ZINC000793717450 699773975 /nfs/dbraw/zinc/77/39/75/699773975.db2.gz LJACTMMEAJWAPZ-LBPRGKRZSA-N -1 1 321.331 1.784 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCCN(C(=O)c2cccc3c[nH]nc32)C1 ZINC000739584932 699776456 /nfs/dbraw/zinc/77/64/56/699776456.db2.gz LOBDYTAGZXLFEG-NSHDSACASA-N -1 1 302.334 1.777 20 0 DDADMM COC(=O)[C@@H]1C[C@H](F)CN1C(=O)c1ccc(Cl)cc1[O-] ZINC000794212250 699797467 /nfs/dbraw/zinc/79/74/67/699797467.db2.gz HHUKCGNOTOUMLO-WPRPVWTQSA-N -1 1 301.701 1.771 20 0 DDADMM CC(C)(C)[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000794345271 699804038 /nfs/dbraw/zinc/80/40/38/699804038.db2.gz GTKYDWZWYYJFBS-GFCCVEGCSA-N -1 1 307.394 1.211 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C1CC1)c1cc(F)ccc1F ZINC000742289824 699872133 /nfs/dbraw/zinc/87/21/33/699872133.db2.gz MLNCJEYAZXEIPB-NSHDSACASA-N -1 1 305.302 1.261 20 0 DDADMM C[C@@H]1C(=O)CC[C@H]1CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000796226273 699920624 /nfs/dbraw/zinc/92/06/24/699920624.db2.gz JQYXBQDRDRWOEY-AAEUAGOBSA-N -1 1 317.341 1.492 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N[C@@H]2CCCC[C@@H]2C)[n-]1 ZINC000796343263 699927841 /nfs/dbraw/zinc/92/78/41/699927841.db2.gz AVPOMQVBXCDABL-WDEREUQCSA-N -1 1 322.361 1.653 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CC1)c1ccc(Cl)nc1Cl ZINC000751417579 700286713 /nfs/dbraw/zinc/28/67/13/700286713.db2.gz IVQAZTWPEVIHOH-ZETCQYMHSA-N -1 1 311.190 1.438 20 0 DDADMM COc1ccc(NC(=O)/C=C\SC)cc1[N-]S(C)(=O)=O ZINC000751532911 700297649 /nfs/dbraw/zinc/29/76/49/700297649.db2.gz GBLUHVLCXQEOJR-SREVYHEPSA-N -1 1 316.404 1.882 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OC[C@H]3CCOC3)n2)cc1 ZINC000801363798 700300875 /nfs/dbraw/zinc/30/08/75/700300875.db2.gz GWEWXGZXVQDFSB-NSHDSACASA-N -1 1 318.329 1.780 20 0 DDADMM C[C@H](OC(=O)c1nn(-c2ccc(Cl)cc2)cc1[O-])C(N)=O ZINC000801373374 700301365 /nfs/dbraw/zinc/30/13/65/700301365.db2.gz GXWPUXGOVFIDQZ-ZETCQYMHSA-N -1 1 309.709 1.262 20 0 DDADMM Cc1nnc(COC(=O)c2nn(-c3ccc(C)cc3)cc2[O-])o1 ZINC000801371226 700301592 /nfs/dbraw/zinc/30/15/92/700301592.db2.gz MKWWVEMHZYMDKX-UHFFFAOYSA-N -1 1 314.301 1.935 20 0 DDADMM O=C(NN1CCCC1=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000801640592 700328131 /nfs/dbraw/zinc/32/81/31/700328131.db2.gz NFOJBYGMTSLRNZ-UHFFFAOYSA-N -1 1 305.721 1.861 20 0 DDADMM COc1c(F)ccc(F)c1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801704445 700332921 /nfs/dbraw/zinc/33/29/21/700332921.db2.gz MFOUSBNGDHNFTE-UHFFFAOYSA-N -1 1 318.283 1.881 20 0 DDADMM CCc1ccccc1[C@@H]1C[C@H]1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000801883836 700350307 /nfs/dbraw/zinc/35/03/07/700350307.db2.gz FCAFBXNTTOCTSS-QWHCGFSZSA-N -1 1 301.346 1.518 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCNc2ccccc2)c1 ZINC000754026044 700477063 /nfs/dbraw/zinc/47/70/63/700477063.db2.gz XMHVZWRESUIPOZ-UHFFFAOYSA-N -1 1 324.358 1.457 20 0 DDADMM O=C(C[C@H]1CCCCO1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000755700823 700578562 /nfs/dbraw/zinc/57/85/62/700578562.db2.gz QQDJBMLYUHRQSY-OCCSQVGLSA-N -1 1 301.350 1.365 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N1CCCC[C@@H]1C(=O)[O-] ZINC000763098381 700925490 /nfs/dbraw/zinc/92/54/90/700925490.db2.gz URXYFLRDOMDCQV-UONOGXRCSA-N -1 1 320.389 1.941 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](O)c1ccccc1Cl)c1nn[n-]n1 ZINC000765143985 701000731 /nfs/dbraw/zinc/00/07/31/701000731.db2.gz SGTUFFLYHSGHCE-GHMZBOCLSA-N -1 1 309.757 1.544 20 0 DDADMM Cc1ccc(Cl)c(OCC(=O)OCc2nn(C)c(=O)[n-]2)c1 ZINC000765393230 701009543 /nfs/dbraw/zinc/00/95/43/701009543.db2.gz VFGWPUVAOCVOJS-UHFFFAOYSA-N -1 1 311.725 1.192 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(OCC3CC3)cc2)nc1=O ZINC000765460064 701013584 /nfs/dbraw/zinc/01/35/84/701013584.db2.gz UPFIICVKXFTMLW-UHFFFAOYSA-N -1 1 303.318 1.254 20 0 DDADMM Cn1[n-]c(COC(=O)c2[nH]c3ccc(F)cc3c2Cl)nc1=O ZINC000765462523 701013797 /nfs/dbraw/zinc/01/37/97/701013797.db2.gz PVMJCEHQBZMMFK-UHFFFAOYSA-N -1 1 324.699 1.739 20 0 DDADMM COc1ccc([C@@H](C)CC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765491481 701015595 /nfs/dbraw/zinc/01/55/95/701015595.db2.gz HUNKYEAKLBNLCT-JTQLQIEISA-N -1 1 305.334 1.354 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)[N-]C(=O)C(F)(F)C1(O)CCC1 ZINC000765842430 701028847 /nfs/dbraw/zinc/02/88/47/701028847.db2.gz WLDPAWQCWIUEGB-LURJTMIESA-N -1 1 319.226 1.147 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCN2C(=O)CC[C@H]2C1 ZINC000766046340 701035199 /nfs/dbraw/zinc/03/51/99/701035199.db2.gz AQRXRGKUVMCZKO-NSHDSACASA-N -1 1 318.377 1.061 20 0 DDADMM C[C@H](Oc1cccc2ccccc21)C(=O)NN1CC(=O)[N-]C1=O ZINC000767632319 701124323 /nfs/dbraw/zinc/12/43/23/701124323.db2.gz YKGKKTLCHXPCIL-JTQLQIEISA-N -1 1 313.313 1.190 20 0 DDADMM COC(=O)CC(C)(C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000769138549 701233866 /nfs/dbraw/zinc/23/38/66/701233866.db2.gz JXRMJSLVQMITEE-UHFFFAOYSA-N -1 1 321.329 1.076 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000769984183 701263265 /nfs/dbraw/zinc/26/32/65/701263265.db2.gz QDFPTSIGZYHVLY-MNOVXSKESA-N -1 1 308.765 1.929 20 0 DDADMM O=C([C@@H]1CCCc2sccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000771468686 701320889 /nfs/dbraw/zinc/32/08/89/701320889.db2.gz AUVIAHRHBJYUHV-GHMZBOCLSA-N -1 1 319.390 1.281 20 0 DDADMM O=C(NCc1ccc(-n2cncn2)nc1)c1c([O-])cccc1F ZINC000771918591 701336743 /nfs/dbraw/zinc/33/67/43/701336743.db2.gz YIXCICNMNZSPPY-UHFFFAOYSA-N -1 1 313.292 1.437 20 0 DDADMM CCOC(=O)C[C@@H](OC(=O)c1c([O-])cc(F)cc1F)C(C)=O ZINC000805429464 701381466 /nfs/dbraw/zinc/38/14/66/701381466.db2.gz DVWJRAOVBFODET-LLVKDONJSA-N -1 1 316.256 1.738 20 0 DDADMM C[C@H]1CCN(CC(=O)NC2CC2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000805785030 701409402 /nfs/dbraw/zinc/40/94/02/701409402.db2.gz CIQKDTDIOHLBKO-AUTRQRHGSA-N -1 1 321.343 1.042 20 0 DDADMM O=C([N-]CC1CN(C(=O)Nc2ccc(F)cn2)C1)C(F)(F)F ZINC000879151944 706599838 /nfs/dbraw/zinc/59/98/38/706599838.db2.gz IQIHZEJSYDPYIP-UHFFFAOYSA-N -1 1 320.246 1.363 20 0 DDADMM O=C(C(=O)N1CCCC[C@@H]1C1OCCO1)c1ccc([O-])cc1 ZINC000806735777 701447145 /nfs/dbraw/zinc/44/71/45/701447145.db2.gz CPEBIKWBJZKQGV-CYBMUJFWSA-N -1 1 305.330 1.329 20 0 DDADMM CCSc1ccccc1CCNC(=O)CCc1nn[n-]n1 ZINC000830912412 706601513 /nfs/dbraw/zinc/60/15/13/706601513.db2.gz TUMVSTYNVHYOCK-UHFFFAOYSA-N -1 1 305.407 1.603 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(C[C@H](O)c3cccc(F)c3)C2)n[nH]1 ZINC000808777909 701535962 /nfs/dbraw/zinc/53/59/62/701535962.db2.gz ZHNVVVNMUUTUHY-YPMHNXCESA-N -1 1 306.341 1.562 20 0 DDADMM C[C@@H]1CCC[C@@]1(C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830953452 706609969 /nfs/dbraw/zinc/60/99/69/706609969.db2.gz VSCAEYRSTWYGNM-QLJPJBMISA-N -1 1 305.378 1.697 20 0 DDADMM CC(C)n1cccc1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830960263 706611640 /nfs/dbraw/zinc/61/16/40/706611640.db2.gz ZQVUHDFYXBRUAH-UHFFFAOYSA-N -1 1 316.361 1.567 20 0 DDADMM C[C@@H]1CCCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000867896292 701815169 /nfs/dbraw/zinc/81/51/69/701815169.db2.gz NFKVCXHLUCZNTL-SNVBAGLBSA-N -1 1 306.366 1.339 20 0 DDADMM CC(C)n1cnc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000811217326 701938151 /nfs/dbraw/zinc/93/81/51/701938151.db2.gz SXYSHHJJDNUYLR-UHFFFAOYSA-N -1 1 307.272 1.689 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2CCCOC2)C1 ZINC000868282559 702039880 /nfs/dbraw/zinc/03/98/80/702039880.db2.gz CHQORBNULRVWLP-SKDRFNHKSA-N -1 1 323.315 1.018 20 0 DDADMM CC(C)c1nocc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831116940 706637778 /nfs/dbraw/zinc/63/77/78/706637778.db2.gz HWRKVEFROOZVSY-UHFFFAOYSA-N -1 1 319.283 1.549 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H](OC)C(C)C)o1 ZINC000816355140 702070882 /nfs/dbraw/zinc/07/08/82/702070882.db2.gz AQYIDXKOWRKHPF-SNVBAGLBSA-N -1 1 305.352 1.016 20 0 DDADMM CC(C)c1cc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)[nH]n1 ZINC000831154679 706645856 /nfs/dbraw/zinc/64/58/56/706645856.db2.gz ZOPKBBVVAUYRTA-UHFFFAOYSA-N -1 1 318.299 1.284 20 0 DDADMM CCN1CCN(c2ccc(NC(=O)CCC(=O)[O-])cc2F)CC1 ZINC000868466339 702144465 /nfs/dbraw/zinc/14/44/65/702144465.db2.gz RNBJZSUOZBNHJI-UHFFFAOYSA-N -1 1 323.368 1.771 20 0 DDADMM O=C(/C=C\c1ccco1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162478 706647219 /nfs/dbraw/zinc/64/72/19/706647219.db2.gz NCURVUYNCZFPND-ARJAWSKDSA-N -1 1 302.252 1.430 20 0 DDADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)[N-]OC1CCC1 ZINC000812796295 702241187 /nfs/dbraw/zinc/24/11/87/702241187.db2.gz WJOUKOIMGLODHE-SECBINFHSA-N -1 1 302.334 1.282 20 0 DDADMM CC[C@@H]1C[C@H]1CNC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868670964 702255659 /nfs/dbraw/zinc/25/56/59/702255659.db2.gz VCWVEUFGTCOHPR-NRUUGDAUSA-N -1 1 321.343 1.885 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)/C=C\c3cccs3)nc2n1 ZINC000813377620 702340986 /nfs/dbraw/zinc/34/09/86/702340986.db2.gz IHCUYWAUUGXEFV-PLNGDYQASA-N -1 1 301.331 1.439 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@@H]1CCCC12CC2 ZINC000868846095 702348209 /nfs/dbraw/zinc/34/82/09/702348209.db2.gz DERBVEVTOMWYHI-GFCCVEGCSA-N -1 1 318.377 1.387 20 0 DDADMM O=C([N-]CC1CN(c2cnc3ccccc3n2)C1)C(F)(F)F ZINC000866294202 706667569 /nfs/dbraw/zinc/66/75/69/706667569.db2.gz MSZRUUJJWUNDKY-UHFFFAOYSA-N -1 1 310.279 1.745 20 0 DDADMM CC[C@](C)(NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ccccc1 ZINC000841392463 702433663 /nfs/dbraw/zinc/43/36/63/702433663.db2.gz XPZOJCVXBRONKN-INIZCTEOSA-N -1 1 317.345 1.952 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3CCCn4nccc43)ccnc1-2 ZINC000879415858 706674163 /nfs/dbraw/zinc/67/41/63/706674163.db2.gz GOLJDBDPELGHHW-GFCCVEGCSA-N -1 1 311.349 1.195 20 0 DDADMM CCCOc1ccc(COC(=O)CCc2nn[n-]n2)c(C)c1 ZINC000869182745 702500091 /nfs/dbraw/zinc/50/00/91/702500091.db2.gz ZXLPBYIRQPLCDE-UHFFFAOYSA-N -1 1 304.350 1.973 20 0 DDADMM O=S(=O)([N-][C@@H]1Cc2cccc(O)c2C1)c1c[nH]nc1Cl ZINC000831270609 706674929 /nfs/dbraw/zinc/67/49/29/706674929.db2.gz UOAIQMBFIPITSE-MRVPVSSYSA-N -1 1 313.766 1.214 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NCC1CCCCC1 ZINC000869211851 702512087 /nfs/dbraw/zinc/51/20/87/702512087.db2.gz NJRKQLPEZWXXBL-UHFFFAOYSA-N -1 1 320.393 1.634 20 0 DDADMM CCOC(C)(C)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000869312084 702564551 /nfs/dbraw/zinc/56/45/51/702564551.db2.gz HIQCFQLHUWCOBB-ZJUUUORDSA-N -1 1 324.343 1.717 20 0 DDADMM CC(C)[C@]1(C)C[C@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869478535 702620553 /nfs/dbraw/zinc/62/05/53/702620553.db2.gz TXDZERIMBICCKQ-GWCFXTLKSA-N -1 1 323.311 1.850 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C[C@H]1C=CCCC1 ZINC000869480736 702620748 /nfs/dbraw/zinc/62/07/48/702620748.db2.gz ZTADOJMFPCQTAG-JTQLQIEISA-N -1 1 321.295 1.914 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)N=S1(=O)CCCC1)c1ccccc1 ZINC000866408399 706691582 /nfs/dbraw/zinc/69/15/82/706691582.db2.gz XRQKEVPGRZZAQG-LBPRGKRZSA-N -1 1 316.448 1.886 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CC23CCC3)CCC1 ZINC000843015309 702803679 /nfs/dbraw/zinc/80/36/79/702803679.db2.gz FKGLUXYUJZWEEY-NSHDSACASA-N -1 1 300.424 1.107 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccccc1COC ZINC000866468521 706706226 /nfs/dbraw/zinc/70/62/26/706706226.db2.gz HQNFVZCGFBKGQK-IBGZPJMESA-N -1 1 320.436 1.285 20 0 DDADMM C[C@@H]1C[C@H]1C[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000866494746 706713145 /nfs/dbraw/zinc/71/31/45/706713145.db2.gz RVPLFLVIKCDULE-LIBAHTEVSA-N -1 1 302.421 1.634 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCC3(CO)CCC3)ccnc1-2 ZINC000844650661 703051469 /nfs/dbraw/zinc/05/14/69/703051469.db2.gz OMMBAWDLGOHZGV-UHFFFAOYSA-N -1 1 303.366 1.016 20 0 DDADMM O=C(/C=C/C1CCCCCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000845462895 703159899 /nfs/dbraw/zinc/15/98/99/703159899.db2.gz LZKHSYPPLQLPLE-SBDDDAINSA-N -1 1 305.382 1.626 20 0 DDADMM O=c1nc(NCCC[C@@H]2CC2(Cl)Cl)nc2[nH][n-]cc1-2 ZINC000845743730 703196262 /nfs/dbraw/zinc/19/62/62/703196262.db2.gz CRYTZEAAYPVUMB-ZCFIWIBFSA-N -1 1 302.165 1.818 20 0 DDADMM C[C@H](CN(C)C(=O)c1snc(Cl)c1Cl)c1nn[n-]n1 ZINC000845939602 703218082 /nfs/dbraw/zinc/21/80/82/703218082.db2.gz QUPPTXWTFXBKJD-SCSAIBSYSA-N -1 1 321.193 1.839 20 0 DDADMM CCCS(=O)(=O)CCN(CCc1ccccc1)CC(=O)[O-] ZINC000846269685 703258584 /nfs/dbraw/zinc/25/85/84/703258584.db2.gz LPKRNUFBNNMSHZ-UHFFFAOYSA-N -1 1 313.419 1.441 20 0 DDADMM CC1(C)CCC[C@@]1(O)C[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000831627725 706742292 /nfs/dbraw/zinc/74/22/92/706742292.db2.gz LSWXPNUOHNSWRU-LLVKDONJSA-N -1 1 307.803 1.283 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](C)c1ccc(F)cc1 ZINC000866595114 706739789 /nfs/dbraw/zinc/73/97/89/706739789.db2.gz XDVLBWFSSPFIRA-DGIBIBHMSA-N -1 1 322.427 1.881 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2ccn3c(C)cnc3c2)C1=O ZINC000848009078 703494044 /nfs/dbraw/zinc/49/40/44/703494044.db2.gz XBVMANGCBOEILU-HNNXBMFYSA-N -1 1 315.333 1.008 20 0 DDADMM CC[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1F ZINC000848263337 703533661 /nfs/dbraw/zinc/53/36/61/703533661.db2.gz KYDKMDLRJFSOTG-CYBMUJFWSA-N -1 1 319.336 1.333 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)COCC1CC1 ZINC000848342471 703541006 /nfs/dbraw/zinc/54/10/06/703541006.db2.gz QQLWTPITKQIAKD-MWLCHTKSSA-N -1 1 322.327 1.471 20 0 DDADMM COc1ccccc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000849157340 703622413 /nfs/dbraw/zinc/62/24/13/703622413.db2.gz KPQYWUXAWRCNND-CQSZACIVSA-N -1 1 309.329 1.728 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)Cc2cc(F)ccc2Cl)C(=O)O1 ZINC000849393666 703642738 /nfs/dbraw/zinc/64/27/38/703642738.db2.gz BXWUZLPJPPVGJI-RDDDGLTNSA-N -1 1 321.757 1.603 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)Cc2cc(F)ccc2Cl)C(=O)O1 ZINC000849393665 703642808 /nfs/dbraw/zinc/64/28/08/703642808.db2.gz BXWUZLPJPPVGJI-HQJQHLMTSA-N -1 1 321.757 1.603 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2COC3(CCC3)C2)c1 ZINC000849448491 703649203 /nfs/dbraw/zinc/64/92/03/703649203.db2.gz ZLIYVBIWNMLRFI-JTQLQIEISA-N -1 1 315.347 1.056 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H](OC)C2CCC2)o1 ZINC000849685330 703667441 /nfs/dbraw/zinc/66/74/41/703667441.db2.gz OCBMQELEWXJVSK-NSHDSACASA-N -1 1 317.363 1.160 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H](OC)C2CCC2)o1 ZINC000849685329 703667552 /nfs/dbraw/zinc/66/75/52/703667552.db2.gz OCBMQELEWXJVSK-LLVKDONJSA-N -1 1 317.363 1.160 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@H]2[C@@H]3COC[C@H]23)c1 ZINC000851487931 703805042 /nfs/dbraw/zinc/80/50/42/703805042.db2.gz GQYZTQFMDUSWFZ-JLOINQRVSA-N -1 1 310.371 1.238 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)c2[nH]nc3ccccc32)CC12CCC2 ZINC000851613511 703815582 /nfs/dbraw/zinc/81/55/82/703815582.db2.gz OFSXVIDDXHSIJA-INIZCTEOSA-N -1 1 317.320 1.982 20 0 DDADMM O=C([O-])C1(CNC(=O)c2n[nH]nc2-c2ccccc2)CCCC1 ZINC000851699887 703832740 /nfs/dbraw/zinc/83/27/40/703832740.db2.gz JIYAOWJNUGFCTH-UHFFFAOYSA-N -1 1 314.345 1.847 20 0 DDADMM O=C(c1cn[nH]c1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870159844 703938666 /nfs/dbraw/zinc/93/86/66/703938666.db2.gz GSKLYZKDYDRUKN-UHFFFAOYSA-N -1 1 320.780 1.727 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(CC(=O)N2CCCCC2)C1 ZINC000879762129 706783113 /nfs/dbraw/zinc/78/31/13/706783113.db2.gz BNTFYDZDGCREHP-CYBMUJFWSA-N -1 1 321.343 1.142 20 0 DDADMM COC(=O)[C@H](CC(C)C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879765170 706785339 /nfs/dbraw/zinc/78/53/39/706785339.db2.gz BMTRGRZFHDCKLB-GWCFXTLKSA-N -1 1 324.343 1.717 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCc2ccc(F)cc21 ZINC000866746651 706785458 /nfs/dbraw/zinc/78/54/58/706785458.db2.gz HGNAEYWRFMKUFN-BUXKBTBVSA-N -1 1 320.411 1.765 20 0 DDADMM CC(C)c1nnc(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)[nH]1 ZINC000879766371 706786698 /nfs/dbraw/zinc/78/66/98/706786698.db2.gz NDLNMJRAOKOPLS-GFCCVEGCSA-N -1 1 319.331 1.571 20 0 DDADMM CC(C)(O)CO[N-]C(=O)[C@H](c1ccccc1)N1CCC(O)CC1 ZINC000819542605 704139959 /nfs/dbraw/zinc/13/99/59/704139959.db2.gz YWKMHAAHQUEZNQ-HNNXBMFYSA-N -1 1 322.405 1.003 20 0 DDADMM Cc1cccnc1C(F)(F)C(=O)[N-][C@H](C)[C@@H](O)C(F)(F)F ZINC000819568522 704143261 /nfs/dbraw/zinc/14/32/61/704143261.db2.gz VCQPFGLXRGFBEG-VXNVDRBHSA-N -1 1 312.238 1.910 20 0 DDADMM CC[C@@H](C)CO[N-]C(=O)c1cc(=O)n(C)cc1Br ZINC000820278451 704251741 /nfs/dbraw/zinc/25/17/41/704251741.db2.gz BUMZKFKSZUAOJS-MRVPVSSYSA-N -1 1 317.183 1.855 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@@](F)(c2ccccc2)C1 ZINC000871370634 704277313 /nfs/dbraw/zinc/27/73/13/704277313.db2.gz UQGRVLBWPPSFKH-HNNXBMFYSA-N -1 1 303.341 1.620 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cc(C)no2)c1 ZINC000821107573 704372373 /nfs/dbraw/zinc/37/23/73/704372373.db2.gz DZDMWJOVXILIFG-OAQYLSRUSA-N -1 1 309.343 1.843 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cn1 ZINC000854342248 704382161 /nfs/dbraw/zinc/38/21/61/704382161.db2.gz MWOOEQBDALMWIL-PLNGDYQASA-N -1 1 314.345 1.898 20 0 DDADMM Cc1[nH]c(C=O)cc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342526 704382547 /nfs/dbraw/zinc/38/25/47/704382547.db2.gz WOEOMDMFGTXSBP-UHFFFAOYSA-N -1 1 304.306 1.244 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CC[C@@H]2SCCS[C@@H]12 ZINC000821413809 704406211 /nfs/dbraw/zinc/40/62/11/704406211.db2.gz QJXIKFJCGCSDIF-PTRXPTGYSA-N -1 1 313.452 1.018 20 0 DDADMM CO[C@@H](CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O)[C@H]1CCOC1 ZINC000855205263 704472873 /nfs/dbraw/zinc/47/28/73/704472873.db2.gz DVZPZXGCKDEOSO-RYUDHWBXSA-N -1 1 323.393 1.395 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@@H](CO)NC(=O)c2ccc([O-])c(F)c2)C1 ZINC000855351801 704480324 /nfs/dbraw/zinc/48/03/24/704480324.db2.gz FOZVHFKSHHLBAX-ZKYQVNSYSA-N -1 1 311.353 1.827 20 0 DDADMM C[C@@H]1CCCN(CCS(=O)(=O)C2CCCCC2)[C@@H]1C(=O)[O-] ZINC000857084565 704550112 /nfs/dbraw/zinc/55/01/12/704550112.db2.gz WGYLEXBHCMEJRQ-OCCSQVGLSA-N -1 1 317.451 1.919 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])CN(CCS(=O)(=O)C2CCCCC2)C1 ZINC000857083593 704550117 /nfs/dbraw/zinc/55/01/17/704550117.db2.gz FRBDPFCFAUJEOZ-STQMWFEESA-N -1 1 317.451 1.777 20 0 DDADMM CC[C@@H](C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1)[C@H](C)O ZINC000857340426 704581127 /nfs/dbraw/zinc/58/11/27/704581127.db2.gz UMYPZNZISSAQEM-YTWAJWBKSA-N -1 1 324.343 1.309 20 0 DDADMM CCOC[C@H](C)[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000867130915 706905977 /nfs/dbraw/zinc/90/59/77/706905977.db2.gz POPPIHXFERSOMG-WLRWDXFRSA-N -1 1 320.436 1.403 20 0 DDADMM Cc1cc(CN2CCN(c3cc(Cl)[n-]c(=O)n3)CC2)on1 ZINC000858330618 704695913 /nfs/dbraw/zinc/69/59/13/704695913.db2.gz ZUEJYIKPNFCMDW-UHFFFAOYSA-N -1 1 309.757 1.454 20 0 DDADMM Cc1nc(CN2CCN(c3cc(Cl)[n-]c(=O)n3)CC2)oc1C ZINC000858390366 704704158 /nfs/dbraw/zinc/70/41/58/704704158.db2.gz ZZCLPEMWZOFEQJ-UHFFFAOYSA-N -1 1 323.784 1.763 20 0 DDADMM CN(C[C@H](O)COCc1ccccc1)c1cc(Cl)[n-]c(=O)n1 ZINC000858407631 704707192 /nfs/dbraw/zinc/70/71/92/704707192.db2.gz KUWRKXDQDIJKKE-LBPRGKRZSA-N -1 1 323.780 1.850 20 0 DDADMM C[C@H](F)CC[N-]S(=O)(=O)c1cnn(C)c1Br ZINC000867309643 706955139 /nfs/dbraw/zinc/95/51/39/706955139.db2.gz YCFZOSIRKVKLLG-LURJTMIESA-N -1 1 314.180 1.209 20 0 DDADMM Cc1ccc(CC(=O)Nc2ncc(-c3nnn[n-]3)s2)cc1 ZINC000822235117 704896566 /nfs/dbraw/zinc/89/65/66/704896566.db2.gz TUXQCWTZBZFOPM-UHFFFAOYSA-N -1 1 300.347 1.813 20 0 DDADMM Cc1ccc(CC(=O)Nc2ncc(-c3nn[n-]n3)s2)cc1 ZINC000822235117 704896571 /nfs/dbraw/zinc/89/65/71/704896571.db2.gz TUXQCWTZBZFOPM-UHFFFAOYSA-N -1 1 300.347 1.813 20 0 DDADMM CO[C@H]([C@@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F)C1CC1 ZINC000867362234 706974875 /nfs/dbraw/zinc/97/48/75/706974875.db2.gz ULPZMJRBCMQULU-RDDDGLTNSA-N -1 1 306.334 1.452 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1CCC[C@H]1Cc1ccccc1 ZINC000822325814 704917892 /nfs/dbraw/zinc/91/78/92/704917892.db2.gz FBXYLEYGMWKCMZ-QWHCGFSZSA-N -1 1 301.346 1.228 20 0 DDADMM Cc1cccn2cc(CNCc3ccc(-c4nnn[n-]4)o3)nc12 ZINC000822366281 704929865 /nfs/dbraw/zinc/92/98/65/704929865.db2.gz GGDFUZFZNIUTRY-UHFFFAOYSA-N -1 1 309.333 1.706 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@H](O)C(C)C ZINC000867406607 706990727 /nfs/dbraw/zinc/99/07/27/706990727.db2.gz WRTTVZKPOMDPBI-LDYMZIIASA-N -1 1 308.350 1.434 20 0 DDADMM Cc1ncsc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822482329 704957441 /nfs/dbraw/zinc/95/74/41/704957441.db2.gz PVQWTNIUTDMDGL-UHFFFAOYSA-N -1 1 302.319 1.590 20 0 DDADMM O=S(=O)([N-]CCC1(CO)CCC1)c1ccc(Cl)nc1F ZINC000867466204 707010731 /nfs/dbraw/zinc/01/07/31/707010731.db2.gz LADVLDPWBAWXLJ-UHFFFAOYSA-N -1 1 322.789 1.705 20 0 DDADMM COc1ccc([C@@H]2C[C@H]2NC(=O)CCCc2nn[n-]n2)cc1 ZINC000822594123 704983817 /nfs/dbraw/zinc/98/38/17/704983817.db2.gz RZCQDPGTTZDGRR-QWHCGFSZSA-N -1 1 301.350 1.203 20 0 DDADMM O=C(C[C@H](n1ccnc1)C(F)(F)F)[N-]O[C@@H]1CCCCO1 ZINC000874262291 704989313 /nfs/dbraw/zinc/98/93/13/704989313.db2.gz OTWNPFIEXUFOSY-GXSJLCMTSA-N -1 1 307.272 1.951 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])C[N@@H+]1CCC[N-]C(=O)C(F)(F)F ZINC000833828807 707015455 /nfs/dbraw/zinc/01/54/55/707015455.db2.gz DYSKXOPXUXBPPC-RKDXNWHRSA-N -1 1 311.304 1.033 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])C[N@H+]1CCC[N-]C(=O)C(F)(F)F ZINC000833828807 707015457 /nfs/dbraw/zinc/01/54/57/707015457.db2.gz DYSKXOPXUXBPPC-RKDXNWHRSA-N -1 1 311.304 1.033 20 0 DDADMM CON(C)CC[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000867495538 707018969 /nfs/dbraw/zinc/01/89/69/707018969.db2.gz FXIOMSDSUADASA-UHFFFAOYSA-N -1 1 314.194 1.160 20 0 DDADMM CC(C)N1CCC[C@H]1C(=O)N[C@H](c1nc(=O)o[n-]1)C(C)(C)C ZINC000823652778 705288281 /nfs/dbraw/zinc/28/82/81/705288281.db2.gz OICSFTDQRNTCOX-WDEREUQCSA-N -1 1 310.398 1.439 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCCC2=CCCC2)C1)C(F)(F)F ZINC000875418754 705381459 /nfs/dbraw/zinc/38/14/59/705381459.db2.gz XEGXIJAKMGGAQB-UHFFFAOYSA-N -1 1 319.327 1.807 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCO[C@@H](Cn2ccnn2)C1 ZINC000824666773 705502386 /nfs/dbraw/zinc/50/23/86/705502386.db2.gz OJLJUGCKZMXJIO-SNVBAGLBSA-N -1 1 322.752 1.178 20 0 DDADMM CC1(C)CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC000876300106 705683033 /nfs/dbraw/zinc/68/30/33/705683033.db2.gz IXJHMMSEMBNXRV-UHFFFAOYSA-N -1 1 320.393 1.587 20 0 DDADMM COC1CC(C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)C1 ZINC000862237025 705685447 /nfs/dbraw/zinc/68/54/47/705685447.db2.gz UWTWQLGGZAAULT-SFXRXQKFSA-N -1 1 322.327 1.327 20 0 DDADMM Cc1cc(C(F)(F)C(=O)N(C)c2nn[n-]n2)cnc1Cl ZINC000825532513 705688694 /nfs/dbraw/zinc/68/86/94/705688694.db2.gz WYUXMOKSTMSSJN-UHFFFAOYSA-N -1 1 302.672 1.311 20 0 DDADMM C[C@@H]1C(=O)N(C)CCN1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876395707 705707934 /nfs/dbraw/zinc/70/79/34/705707934.db2.gz NQBVJTVDHKPODI-SECBINFHSA-N -1 1 311.769 1.418 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CCC2(C1)OCCO2 ZINC000876393238 705707994 /nfs/dbraw/zinc/70/79/94/705707994.db2.gz FQNPOQFIPAKFCJ-UHFFFAOYSA-N -1 1 312.753 1.704 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(F)ccc2C)c1-c1nnn[n-]1 ZINC000826290077 705787313 /nfs/dbraw/zinc/78/73/13/705787313.db2.gz ZDFDXQXUGVOCEJ-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(F)ccc2C)c1-c1nn[n-]n1 ZINC000826290077 705787317 /nfs/dbraw/zinc/78/73/17/705787317.db2.gz ZDFDXQXUGVOCEJ-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@H]2CCC[C@@H](C)C2)c1-c1nnn[n-]1 ZINC000826343007 705792941 /nfs/dbraw/zinc/79/29/41/705792941.db2.gz IXOUULKTCRKGRI-KOLCDFICSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@H]2CCC[C@@H](C)C2)c1-c1nn[n-]n1 ZINC000826343007 705792944 /nfs/dbraw/zinc/79/29/44/705792944.db2.gz IXOUULKTCRKGRI-KOLCDFICSA-N -1 1 318.381 1.743 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2 ZINC000863008272 705849528 /nfs/dbraw/zinc/84/95/28/705849528.db2.gz LZOBFADCCIXJDD-YYHMBLRTSA-N -1 1 307.277 1.190 20 0 DDADMM O=C(C1CC2(C1)CCCCC2)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826834461 705881094 /nfs/dbraw/zinc/88/10/94/705881094.db2.gz SBOBEFUUGQUWKU-LBPRGKRZSA-N -1 1 305.382 1.460 20 0 DDADMM CSCC[C@H](NC(=O)C[C@@H]1CCCC1(F)F)c1nn[n-]n1 ZINC000826927405 705892232 /nfs/dbraw/zinc/89/22/32/705892232.db2.gz ANDXWCZUGXZIKD-IUCAKERBSA-N -1 1 319.381 1.936 20 0 DDADMM O=C(c1ncccc1[O-])N1Cc2cccnc2N2CCC[C@H]2C1 ZINC000829053334 706281484 /nfs/dbraw/zinc/28/14/84/706281484.db2.gz NCCZIRHSYCHWAM-ZDUSSCGKSA-N -1 1 310.357 1.807 20 0 DDADMM CCO[C@@H](C)c1nccn1CC(=O)CC[N-]C(=O)C(F)(F)F ZINC000865362224 706413514 /nfs/dbraw/zinc/41/35/14/706413514.db2.gz PBFONVDAJDPRIU-VIFPVBQESA-N -1 1 321.299 1.618 20 0 DDADMM COC(=O)c1c[n-]c(SC[C@H]2CC3(CO2)CCOCC3)n1 ZINC000865363070 706413575 /nfs/dbraw/zinc/41/35/75/706413575.db2.gz IJUYUYINPMIUJG-SNVBAGLBSA-N -1 1 312.391 1.874 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@@H]1C ZINC000830083181 706451634 /nfs/dbraw/zinc/45/16/34/706451634.db2.gz ZRJZKLWHZQYJOV-SCZZXKLOSA-N -1 1 320.374 1.042 20 0 DDADMM C[C@H](COCC(F)(F)F)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830227171 706480557 /nfs/dbraw/zinc/48/05/57/706480557.db2.gz BDZCMDUTYXGQQW-RXMQYKEDSA-N -1 1 321.708 1.309 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1c[nH]nc1Cl)c1ccsc1 ZINC000830659382 706555601 /nfs/dbraw/zinc/55/56/01/706555601.db2.gz SCYLZPYLLIOZEE-JTQLQIEISA-N -1 1 321.811 1.311 20 0 DDADMM CCO[C@@H](C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C(C)C ZINC000830790116 706579828 /nfs/dbraw/zinc/57/98/28/706579828.db2.gz NVMFRUGNQLCXJO-MFKMUULPSA-N -1 1 324.343 1.717 20 0 DDADMM CCO[C@H](C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C(C)C ZINC000830790115 706579972 /nfs/dbraw/zinc/57/99/72/706579972.db2.gz NVMFRUGNQLCXJO-GXFFZTMASA-N -1 1 324.343 1.717 20 0 DDADMM CSC(C)(C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830790135 706580048 /nfs/dbraw/zinc/58/00/48/706580048.db2.gz OEHGIABUDRPLCA-NSHDSACASA-N -1 1 312.357 1.798 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)/C=C/c2ccc[nH]2)C1 ZINC000830807333 706583057 /nfs/dbraw/zinc/58/30/57/706583057.db2.gz HQDQHLWDLFTTRM-IHVVCDCBSA-N -1 1 315.295 1.697 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CC[C@@H]2CCCO2)C1 ZINC000830833537 706589217 /nfs/dbraw/zinc/58/92/17/706589217.db2.gz RABJPXOFDRKVQB-GWCFXTLKSA-N -1 1 322.327 1.615 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CC[C@H]2CCCO2)C1 ZINC000830833539 706589547 /nfs/dbraw/zinc/58/95/47/706589547.db2.gz RABJPXOFDRKVQB-MFKMUULPSA-N -1 1 322.327 1.615 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CC[C@@H](SC)C2)c1 ZINC000866885423 706834920 /nfs/dbraw/zinc/83/49/20/706834920.db2.gz PODVCQJKGTYTFT-GXSJLCMTSA-N -1 1 317.432 1.963 20 0 DDADMM CC[C@](C)(CC(=O)OC)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866913727 706843406 /nfs/dbraw/zinc/84/34/06/706843406.db2.gz NKOCWIZEVZBNPT-GFCCVEGCSA-N -1 1 322.333 1.370 20 0 DDADMM CC(C)(C)C[C@H](CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866993135 706867287 /nfs/dbraw/zinc/86/72/87/706867287.db2.gz SLTYPHOBUTXBDL-MRVPVSSYSA-N -1 1 308.350 1.435 20 0 DDADMM Cc1ocnc1C[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867026344 706875438 /nfs/dbraw/zinc/87/54/38/706875438.db2.gz RGEFUHDBTMDTQR-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM CC(=O)C1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000832275296 706883206 /nfs/dbraw/zinc/88/32/06/706883206.db2.gz KNGSZNDTEASVTA-UHFFFAOYSA-N -1 1 304.375 1.459 20 0 DDADMM CCOC(=O)C1(S(=O)(=O)[N-]CC(=O)C(C)(C)C)CCC1 ZINC000867113366 706900152 /nfs/dbraw/zinc/90/01/52/706900152.db2.gz XPWKSXHCBYCBBC-UHFFFAOYSA-N -1 1 305.396 1.007 20 0 DDADMM CC(C)(O)CC[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000867123630 706903549 /nfs/dbraw/zinc/90/35/49/706903549.db2.gz BOQUVSICJAAWAV-UHFFFAOYSA-N -1 1 313.206 1.828 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2ccc(C(=O)OC)o2)co1 ZINC000832473223 706922107 /nfs/dbraw/zinc/92/21/07/706922107.db2.gz KPIWGAJPRJFOGD-UHFFFAOYSA-N -1 1 314.319 1.095 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCC12CCOCC2 ZINC000867232056 706933097 /nfs/dbraw/zinc/93/30/97/706933097.db2.gz HYQXUAUVEZBQKB-NSPYISDASA-N -1 1 324.468 1.288 20 0 DDADMM CCSC1(C[N-]S(=O)(=O)N=S2(=O)CCCC2)CC1 ZINC000867527733 707029261 /nfs/dbraw/zinc/02/92/61/707029261.db2.gz JAQGALYTZRXGFY-UHFFFAOYSA-N -1 1 312.482 1.368 20 0 DDADMM CSc1nc(CNC(=O)COc2ccc(C)cc2)cc(=O)[n-]1 ZINC000880651396 707048609 /nfs/dbraw/zinc/04/86/09/707048609.db2.gz BRMKQZLZAOHMKJ-UHFFFAOYSA-N -1 1 319.386 1.908 20 0 DDADMM CSc1nc(CNC(=O)c2ccc3c(c2)CCO3)cc(=O)[n-]1 ZINC000880652420 707048759 /nfs/dbraw/zinc/04/87/59/707048759.db2.gz INVNOQQFVRNKNV-UHFFFAOYSA-N -1 1 317.370 1.769 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)n[n-]1 ZINC000880666367 707052034 /nfs/dbraw/zinc/05/20/34/707052034.db2.gz NLSUOLFIMGKUED-ZKKRXERASA-N -1 1 306.366 1.451 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)[n-]1 ZINC000880666367 707052037 /nfs/dbraw/zinc/05/20/37/707052037.db2.gz NLSUOLFIMGKUED-ZKKRXERASA-N -1 1 306.366 1.451 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)n1 ZINC000880666367 707052040 /nfs/dbraw/zinc/05/20/40/707052040.db2.gz NLSUOLFIMGKUED-ZKKRXERASA-N -1 1 306.366 1.451 20 0 DDADMM CC(C)C[C@@]1(C(=O)Nc2nc(Cl)ccc2[O-])CCNC1=O ZINC000867713597 707082959 /nfs/dbraw/zinc/08/29/59/707082959.db2.gz TWCQEHIWSZWZIA-AWEZNQCLSA-N -1 1 311.769 1.932 20 0 DDADMM CCOC(=O)[C@H]1NC(=O)N(Cc2ccc([O-])c(Cl)c2)C1=O ZINC000871911868 707242748 /nfs/dbraw/zinc/24/27/48/707242748.db2.gz NFQKTKDNCBYVST-JTQLQIEISA-N -1 1 312.709 1.029 20 0 DDADMM Cc1cc(C(=O)[O-])cc(S(=O)(=O)NC[C@H](C)N(C)C)c1F ZINC000871970442 707260481 /nfs/dbraw/zinc/26/04/81/707260481.db2.gz SPJMKVHCBFADRC-VIFPVBQESA-N -1 1 318.370 1.061 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2(CC3CC3)CC2)o1 ZINC000881518577 707284879 /nfs/dbraw/zinc/28/48/79/707284879.db2.gz XGKGCVJFWZGXMX-UHFFFAOYSA-N -1 1 312.391 1.498 20 0 DDADMM CO[C@@H]1CN(C[C@H]2CC3(CO2)CCOCC3)[C@](C)(C(=O)[O-])C1 ZINC000872160227 707310059 /nfs/dbraw/zinc/31/00/59/707310059.db2.gz BGFXQPQACYJYDF-GUTXKFCHSA-N -1 1 313.394 1.136 20 0 DDADMM C[C@@H]1C[C@H](C)CN(S(=O)(=O)[N-]c2ccn(CCF)n2)C1 ZINC000872406836 707400352 /nfs/dbraw/zinc/40/03/52/707400352.db2.gz VQSOXDFUVRKSMV-PHIMTYICSA-N -1 1 304.391 1.487 20 0 DDADMM O=C([O-])[C@@H]1CCCCCN1C(=O)NCc1ccc2cncn2c1 ZINC000909166957 712952082 /nfs/dbraw/zinc/95/20/82/712952082.db2.gz DEVHVIKJWFDPPI-AWEZNQCLSA-N -1 1 316.361 1.873 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-]Cc1ncc(CC)o1 ZINC000882077436 707488415 /nfs/dbraw/zinc/48/84/15/707488415.db2.gz AKKJWYPTFGRGIX-NSHDSACASA-N -1 1 318.395 1.388 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCc1ccccc1OC ZINC000836637997 707494110 /nfs/dbraw/zinc/49/41/10/707494110.db2.gz XRJOQQKMRRWNPU-UHFFFAOYSA-N -1 1 322.386 1.896 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@H]2CC23CCC3)c(C(F)(F)F)n1 ZINC000872649985 707532453 /nfs/dbraw/zinc/53/24/53/707532453.db2.gz BBYXOKHUGBRDDM-QMMMGPOBSA-N -1 1 309.313 1.660 20 0 DDADMM C[S@](=O)(=NS(=O)(=O)[N-][C@@H]1CC12CCC2)c1ccccc1 ZINC000872650309 707532484 /nfs/dbraw/zinc/53/24/84/707532484.db2.gz SLGNWKJCYYUPOA-CWTRNNRKSA-N -1 1 314.432 1.920 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000836898509 707544240 /nfs/dbraw/zinc/54/42/40/707544240.db2.gz XKDAMKHVZFJIBY-FZMZJTMJSA-N -1 1 324.352 1.243 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000836901772 707546677 /nfs/dbraw/zinc/54/66/77/707546677.db2.gz USSUBWNAOLFZRI-GXTWGEPZSA-N -1 1 306.362 1.104 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCCCCCC2)o1 ZINC000836924448 707551644 /nfs/dbraw/zinc/55/16/44/707551644.db2.gz NSCAHJIHZMIKLF-UHFFFAOYSA-N -1 1 314.407 1.984 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@@]2(CCOC2)C1 ZINC000837062539 707572514 /nfs/dbraw/zinc/57/25/14/707572514.db2.gz ACVIEKFWFXKMIJ-OAHLLOKOSA-N -1 1 318.402 1.661 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C1 ZINC000872765027 707590209 /nfs/dbraw/zinc/59/02/09/707590209.db2.gz MSHHSMSYMWVMQQ-HGNGGELXSA-N -1 1 319.283 1.310 20 0 DDADMM CN(CC(=O)NC[C@H](Cc1cccc(F)c1)C(=O)[O-])C1CCC1 ZINC000909236040 712970125 /nfs/dbraw/zinc/97/01/25/712970125.db2.gz OQFWCWJZHQOTOT-ZDUSSCGKSA-N -1 1 322.380 1.670 20 0 DDADMM O=C(NC[C@H](O)C(F)(F)C(F)(F)F)c1ccc([O-])c(F)c1 ZINC000882560117 707697145 /nfs/dbraw/zinc/69/71/45/707697145.db2.gz IRLWAJLKYWXXOB-QMMMGPOBSA-N -1 1 317.185 1.820 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)[C@@H]1CCCOC1 ZINC000882760762 707776302 /nfs/dbraw/zinc/77/63/02/707776302.db2.gz LLWRFIZBLXMQJC-GHMZBOCLSA-N -1 1 304.437 1.935 20 0 DDADMM CCN1CC[C@@H]1C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000882764042 707779717 /nfs/dbraw/zinc/77/97/17/707779717.db2.gz HYWAFBICOARWQE-SNVBAGLBSA-N -1 1 304.362 1.646 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC2(CC1)COCCO2 ZINC000882781060 707792096 /nfs/dbraw/zinc/79/20/96/707792096.db2.gz ZEUMJPLIEUSZQK-UHFFFAOYSA-N -1 1 313.300 1.692 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1C[C@H](O)CC2(CCOCC2)C1 ZINC000882960991 707874398 /nfs/dbraw/zinc/87/43/98/707874398.db2.gz BIBGQLZGSBFTIW-GFCCVEGCSA-N -1 1 309.337 1.535 20 0 DDADMM O=C([N-]CCCOC(=O)[C@@H]1C[C@H]1c1cccnc1)C(F)(F)F ZINC000838323462 707899464 /nfs/dbraw/zinc/89/94/64/707899464.db2.gz NAXVMZWJEBSSRU-WDEREUQCSA-N -1 1 316.279 1.797 20 0 DDADMM CC[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@H]1CCCOC1 ZINC000883153159 707942154 /nfs/dbraw/zinc/94/21/54/707942154.db2.gz USSSASSYJPMPOX-AAEUAGOBSA-N -1 1 315.373 1.858 20 0 DDADMM O=C(CNC(=O)c1ccc2ccc(O)cc2c1[O-])NCC1CC1 ZINC000897335249 708295607 /nfs/dbraw/zinc/29/56/07/708295607.db2.gz QYVYWQMRVQXAMH-UHFFFAOYSA-N -1 1 314.341 1.507 20 0 DDADMM CCN1CC[C@@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C1=O ZINC000897692297 708420922 /nfs/dbraw/zinc/42/09/22/708420922.db2.gz WYSHVFVIWNTSRV-CQSZACIVSA-N -1 1 314.341 1.602 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CCCC(C)C ZINC000912531347 713031404 /nfs/dbraw/zinc/03/14/04/713031404.db2.gz KLRAZGHNYZCQFO-SNVBAGLBSA-N -1 1 317.411 1.689 20 0 DDADMM CNC(=O)C[C@H](C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897915737 708491825 /nfs/dbraw/zinc/49/18/25/708491825.db2.gz ARSCRIKHJVWDNK-VIFPVBQESA-N -1 1 302.330 1.505 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1ccns1 ZINC000885220324 708496911 /nfs/dbraw/zinc/49/69/11/708496911.db2.gz SLFFFNRCNBZZDR-BDAKNGLRSA-N -1 1 304.393 1.153 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1cncc(Cl)c1 ZINC000912546437 713033828 /nfs/dbraw/zinc/03/38/28/713033828.db2.gz GDJHRRVFJCOBIG-UHFFFAOYSA-N -1 1 317.710 1.012 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC[C@@H]2CC(C)(C)CO2)sn1 ZINC000885675464 708594833 /nfs/dbraw/zinc/59/48/33/708594833.db2.gz VLRUFBBMDUOYMR-SNVBAGLBSA-N -1 1 304.437 1.935 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ncc(C)cc1C ZINC000921628001 713825248 /nfs/dbraw/zinc/82/52/48/713825248.db2.gz QYSXGFQNVOUJEM-SFHVURJKSA-N -1 1 305.425 1.151 20 0 DDADMM CN(CC(=O)NCCc1c(F)cc([O-])cc1F)C(=O)C1CC1 ZINC000886264709 708732017 /nfs/dbraw/zinc/73/20/17/708732017.db2.gz IYCFOALVVLOFAV-UHFFFAOYSA-N -1 1 312.316 1.198 20 0 DDADMM O=C(CCN1CCOC1=O)NCCc1c(F)cc([O-])cc1F ZINC000886264666 708732099 /nfs/dbraw/zinc/73/20/99/708732099.db2.gz HEDVCVKFLUIRFA-UHFFFAOYSA-N -1 1 314.288 1.171 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cn(CC2CC2)nn1 ZINC000886268596 708732764 /nfs/dbraw/zinc/73/27/64/708732764.db2.gz VYLUOVUDISDKLD-UHFFFAOYSA-N -1 1 322.315 1.644 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cnn(CCF)c1 ZINC000886268542 708732807 /nfs/dbraw/zinc/73/28/07/708732807.db2.gz TYAUOELKVSXFQV-UHFFFAOYSA-N -1 1 313.279 1.809 20 0 DDADMM COC(=O)[C@]12C[C@H]1CCCN2C(=O)C(=O)c1ccc([O-])cc1 ZINC000886349275 708746539 /nfs/dbraw/zinc/74/65/39/708746539.db2.gz DXTYHHLSNJXBDR-BZNIZROVSA-N -1 1 303.314 1.129 20 0 DDADMM C[C@@H](O)C[C@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927784124 713055147 /nfs/dbraw/zinc/05/51/47/713055147.db2.gz NIGTUVMQOYFZIR-DTWKUNHWSA-N -1 1 302.321 1.672 20 0 DDADMM CCOC(=O)[C@H](F)[C@@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000898548420 708785972 /nfs/dbraw/zinc/78/59/72/708785972.db2.gz OXEYMYXYGFQHNB-DGCLKSJQSA-N -1 1 323.320 1.325 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc(C(F)(F)F)cc1[O-])[C@@H](C)O ZINC000899263466 709037810 /nfs/dbraw/zinc/03/78/10/709037810.db2.gz WGUNJYNLKBSQEZ-LDWIPMOCSA-N -1 1 321.251 1.063 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC[C@@](CO)(C(F)(F)F)C1 ZINC000899609096 709125083 /nfs/dbraw/zinc/12/50/83/709125083.db2.gz PFZZWPYEWGFQAR-GFCCVEGCSA-N -1 1 307.243 1.918 20 0 DDADMM CN=[S@](C)(=O)CCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000912780372 713090702 /nfs/dbraw/zinc/09/07/02/713090702.db2.gz WBLMWADEMQIALU-LJQANCHMSA-N -1 1 304.799 1.432 20 0 DDADMM CCN(CCC(=O)NC)C(=O)c1ncc2ccccc2c1[O-] ZINC000888271762 709261009 /nfs/dbraw/zinc/26/10/09/709261009.db2.gz LTOGCZCKZCDSQK-UHFFFAOYSA-N -1 1 301.346 1.539 20 0 DDADMM COC(=O)[C@H]1C[C@@H]2C[C@H](NC(=O)c3ccc([O-])c(F)c3)[C@@H]2C1 ZINC000888468198 709319555 /nfs/dbraw/zinc/31/95/55/709319555.db2.gz JJTNUUNRBMFUQE-XZUYRWCXSA-N -1 1 307.321 1.849 20 0 DDADMM CN(CC(=O)N[C@]1(C)CCCC[C@H]1C(=O)[O-])[C@@H]1CCSC1 ZINC000909526761 709502214 /nfs/dbraw/zinc/50/22/14/709502214.db2.gz ATCZWXGMBOBTID-TYNCELHUSA-N -1 1 314.451 1.573 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1COc2ccccc21)c1nn[n-]n1 ZINC000912859959 713109638 /nfs/dbraw/zinc/10/96/38/713109638.db2.gz FPNUHQRLXNJOAX-MNOVXSKESA-N -1 1 319.390 1.286 20 0 DDADMM CC[C@@H](Cc1ccccc1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909579920 709524556 /nfs/dbraw/zinc/52/45/56/709524556.db2.gz KVFIBSRBXBTDIX-CVEARBPZSA-N -1 1 318.417 1.921 20 0 DDADMM CSCC[C@H](NC(=O)c1c(F)ccnc1F)c1nn[n-]n1 ZINC000912862134 713110269 /nfs/dbraw/zinc/11/02/69/713110269.db2.gz JIARTHALPZGFDO-ZETCQYMHSA-N -1 1 314.321 1.097 20 0 DDADMM COC(=O)[C@@H](F)CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000889645807 709572743 /nfs/dbraw/zinc/57/27/43/709572743.db2.gz XQSSVAUDDBGMIO-VIFPVBQESA-N -1 1 304.705 1.356 20 0 DDADMM C[C@H]1CCN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)[C@@H](C(=O)[O-])C1 ZINC000909784749 709619902 /nfs/dbraw/zinc/61/99/02/709619902.db2.gz ZIZLQEINOBFFDM-XKAARJIMSA-N -1 1 305.378 1.787 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC000909790266 709621492 /nfs/dbraw/zinc/62/14/92/709621492.db2.gz BBQTYQHYPZEHHO-DZGCQCFKSA-N -1 1 318.373 1.348 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCCC[C@H]1C1OCCO1 ZINC000900492224 709621662 /nfs/dbraw/zinc/62/16/62/709621662.db2.gz PKBAVIPDUSRPAR-LBPRGKRZSA-N -1 1 319.361 1.427 20 0 DDADMM CCN(CC(=O)N[C@@H](C(=O)[O-])c1ccc(F)c(C)c1)C1CC1 ZINC000909794782 709624448 /nfs/dbraw/zinc/62/44/48/709624448.db2.gz GRVODHDVQSPPEB-OAHLLOKOSA-N -1 1 308.353 1.860 20 0 DDADMM CCC[C@@H](OC)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889785703 709634989 /nfs/dbraw/zinc/63/49/89/709634989.db2.gz HIYBLACDVGEATA-GHMZBOCLSA-N -1 1 309.366 1.669 20 0 DDADMM CCOC1CC(C(=O)N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)C1 ZINC000889787925 709636344 /nfs/dbraw/zinc/63/63/44/709636344.db2.gz SLANLPQADVJJEJ-MCIGGMRASA-N -1 1 321.377 1.669 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2CCCCO2)[n-]c1=O ZINC000889790657 709637428 /nfs/dbraw/zinc/63/74/28/709637428.db2.gz UAHMHPVNWWVLPM-WDEREUQCSA-N -1 1 307.350 1.423 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CC[C@@H]2CCCO2)[n-]c1=O ZINC000889793866 709638720 /nfs/dbraw/zinc/63/87/20/709638720.db2.gz DDOTXUDXUIFGPL-NWDGAFQWSA-N -1 1 321.377 1.814 20 0 DDADMM CCOCCCC(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889794469 709639285 /nfs/dbraw/zinc/63/92/85/709639285.db2.gz KCXDPXSFNIENCC-NSHDSACASA-N -1 1 309.366 1.671 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCC[C@@](C)(C(=O)[O-])C2)C1 ZINC000909839464 709646602 /nfs/dbraw/zinc/64/66/02/709646602.db2.gz DWAHMNPZCFFJFW-NSODJVPESA-N -1 1 305.378 1.789 20 0 DDADMM CC(C)C[C@@H](C(=O)[O-])N(C)C(=O)c1n[nH]cc1C(F)(F)F ZINC000909855784 709654571 /nfs/dbraw/zinc/65/45/71/709654571.db2.gz UXPUUPOHHMMENM-QMMMGPOBSA-N -1 1 307.272 2.000 20 0 DDADMM CC[C@H](C)C[C@H](NC(=O)Cc1n[nH]c2c1CCCC2)C(=O)[O-] ZINC000909867933 709660888 /nfs/dbraw/zinc/66/08/88/709660888.db2.gz PJQIDFLXOPLEFT-HZMBPMFUSA-N -1 1 307.394 1.837 20 0 DDADMM CC(C)O[C@H]1CCCN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000909874572 709664534 /nfs/dbraw/zinc/66/45/34/709664534.db2.gz HCJJNKMSPGLJHP-KGLIPLIRSA-N -1 1 312.410 1.199 20 0 DDADMM CN(CC(=O)N[C@H](CC(C)(C)C)C(=O)[O-])[C@@H]1CCSC1 ZINC000909877829 709665440 /nfs/dbraw/zinc/66/54/40/709665440.db2.gz KPAADLUZVALZES-GHMZBOCLSA-N -1 1 302.440 1.429 20 0 DDADMM CC(C)(C)C[C@@H](NC(=O)Cc1n[nH]c2c1CCCC2)C(=O)[O-] ZINC000909879963 709666605 /nfs/dbraw/zinc/66/66/05/709666605.db2.gz RKYNRMIRNNCETO-CYBMUJFWSA-N -1 1 307.394 1.837 20 0 DDADMM C[C@H](C(=O)NC[C@@H]1CCCc2ccc(C(=O)[O-])cc21)N(C)C ZINC000909950511 709704844 /nfs/dbraw/zinc/70/48/44/709704844.db2.gz HBTYPTYUQHBHLX-RISCZKNCSA-N -1 1 304.390 1.871 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCc2cnc[nH]2)[C@H]2CCCC[C@@H]12 ZINC000909963266 709710676 /nfs/dbraw/zinc/71/06/76/709710676.db2.gz ZDEDRPAHQBOTBU-MJBXVCDLSA-N -1 1 305.378 1.834 20 0 DDADMM O=C(CCN1CCCS1(=O)=O)Nc1cc([O-])c(F)cc1F ZINC000909976081 709715326 /nfs/dbraw/zinc/71/53/26/709715326.db2.gz WVWPPCMWVUWRIB-UHFFFAOYSA-N -1 1 320.317 1.035 20 0 DDADMM C[C@@H](NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)C1CCC(F)CC1 ZINC000909988157 709722453 /nfs/dbraw/zinc/72/24/53/709722453.db2.gz XYUDEAOXIUAUAP-NELGMTEASA-N -1 1 314.401 1.816 20 0 DDADMM CCO[C@H]1CCN(C(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000900715536 709725659 /nfs/dbraw/zinc/72/56/59/709725659.db2.gz DLANWSYEFBTXDB-NSHDSACASA-N -1 1 301.346 1.895 20 0 DDADMM CCO[C@H]1CCN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000900715536 709725663 /nfs/dbraw/zinc/72/56/63/709725663.db2.gz DLANWSYEFBTXDB-NSHDSACASA-N -1 1 301.346 1.895 20 0 DDADMM COCC1(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCCCC1 ZINC000910011691 709734772 /nfs/dbraw/zinc/73/47/72/709734772.db2.gz UIICZRUGXKZCEH-CYBMUJFWSA-N -1 1 312.410 1.249 20 0 DDADMM CC(C)(C)[N@@H+]1CC[C@@H]1C(=O)Nc1cccc(Cc2nn[nH]n2)c1 ZINC000910043408 709748423 /nfs/dbraw/zinc/74/84/23/709748423.db2.gz BFSBRFGLGDPOCW-CYBMUJFWSA-N -1 1 314.393 1.602 20 0 DDADMM O=C([O-])[C@@H]1CSCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000910148791 709791731 /nfs/dbraw/zinc/79/17/31/709791731.db2.gz XNDFDXBDZWRWMJ-UWVGGRQHSA-N -1 1 309.391 1.106 20 0 DDADMM O=C([O-])[C@]12CN(C(=O)[C@@H]3CCCc4[nH]ncc43)C[C@H]1CCCC2 ZINC000910160799 709795256 /nfs/dbraw/zinc/79/52/56/709795256.db2.gz PGDPHFQPQOZJCA-PSTGCABASA-N -1 1 317.389 1.933 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cc3c([nH]2)CCCC3)CC1 ZINC000910170507 709796882 /nfs/dbraw/zinc/79/68/82/709796882.db2.gz KXMGTPCDXNGWQJ-GFCCVEGCSA-N -1 1 319.405 1.515 20 0 DDADMM CNc1ccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1F ZINC000910174161 709797952 /nfs/dbraw/zinc/79/79/52/709797952.db2.gz RLEMPWKVEPGNEU-NSHDSACASA-N -1 1 323.368 1.488 20 0 DDADMM CCc1cncc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1 ZINC000910177077 709798687 /nfs/dbraw/zinc/79/86/87/709798687.db2.gz JEHOOXHJQDUXSA-UHFFFAOYSA-N -1 1 305.378 1.265 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2occ3c2CCC3)CC1 ZINC000910177696 709799182 /nfs/dbraw/zinc/79/91/82/709799182.db2.gz OHZUCDZHLSZQLO-UHFFFAOYSA-N -1 1 306.362 1.389 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)CC[S@](C)=O)cc(Cl)c1[O-] ZINC000900885977 709810327 /nfs/dbraw/zinc/81/03/27/709810327.db2.gz BPMGJNFWOSVPEQ-FHZGZLOMSA-N -1 1 319.810 1.941 20 0 DDADMM CN1CCC[C@@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C1=O ZINC000900948313 709843234 /nfs/dbraw/zinc/84/32/34/709843234.db2.gz NRTFHFWNLREUAJ-LLVKDONJSA-N -1 1 317.320 1.430 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCO[C@H](c2ccco2)C1 ZINC000900995302 709869401 /nfs/dbraw/zinc/86/94/01/709869401.db2.gz XDQQNLOQOIDTLI-ZDUSSCGKSA-N -1 1 315.329 1.866 20 0 DDADMM O=C(C[N@@H+]1CCC[C@H](C(=O)[O-])C1)NCC[C@H]1CCCS1 ZINC000910302891 709877725 /nfs/dbraw/zinc/87/77/25/709877725.db2.gz LUXAGNKXLGHNIC-NWDGAFQWSA-N -1 1 300.424 1.185 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NCC[C@H]1CCCS1 ZINC000910302891 709877728 /nfs/dbraw/zinc/87/77/28/709877728.db2.gz LUXAGNKXLGHNIC-NWDGAFQWSA-N -1 1 300.424 1.185 20 0 DDADMM CCC[C@@H]1CN(c2ncc(C(=O)[O-])c(C)n2)CCN1CCOC ZINC000910305901 709879743 /nfs/dbraw/zinc/87/97/43/709879743.db2.gz WDUVNQYEZAYEFU-CYBMUJFWSA-N -1 1 322.409 1.420 20 0 DDADMM CC(C)C[C@@H](CCO)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901056507 709904077 /nfs/dbraw/zinc/90/40/77/709904077.db2.gz MKWKVTWLUPCVBX-LLVKDONJSA-N -1 1 307.394 1.834 20 0 DDADMM O=C([O-])[C@H](Cc1cc2ccccc2o1)NC(=O)CN1CCCC1 ZINC000910578950 710008320 /nfs/dbraw/zinc/00/83/20/710008320.db2.gz IGGGZJIXWHDZRI-AWEZNQCLSA-N -1 1 316.357 1.641 20 0 DDADMM CNC(=O)[C@@H]1CCCCN1Cc1ccc(O[C@@H](C)C(=O)[O-])cc1 ZINC000901434605 710038909 /nfs/dbraw/zinc/03/89/09/710038909.db2.gz WPZHAEJFSHKHPV-WFASDCNBSA-N -1 1 320.389 1.639 20 0 DDADMM O=C(N[C@@H](CO)[C@H](O)C1CCCCC1)c1c([O-])cccc1F ZINC000913049343 713154584 /nfs/dbraw/zinc/15/45/84/713154584.db2.gz QXULCYCFGSDURS-SWLSCSKDSA-N -1 1 311.353 1.563 20 0 DDADMM CN1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)Cc2ccccc21 ZINC000913051708 713155695 /nfs/dbraw/zinc/15/56/95/713155695.db2.gz QSKKYCWNECIEGO-ZDUSSCGKSA-N -1 1 324.384 1.851 20 0 DDADMM COCCn1c(=O)cc([O-])nc1S[C@H](C(C)=O)C(C)C ZINC000901655687 710110523 /nfs/dbraw/zinc/11/05/23/710110523.db2.gz VFRHEEOSJKRBKQ-LBPRGKRZSA-N -1 1 300.380 1.301 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[O-])CN1C(=O)CN(C)CCc1ccccc1 ZINC000901657611 710111501 /nfs/dbraw/zinc/11/15/01/710111501.db2.gz QCNHTXXQJGQRKW-HOCLYGCPSA-N -1 1 318.417 1.873 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@@H](Cc1ccc(F)cc1)C(=O)[O-] ZINC000910922706 710119536 /nfs/dbraw/zinc/11/95/36/710119536.db2.gz MXKPUFLTXYYSTG-KBPBESRZSA-N -1 1 308.353 1.422 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H](Cc1ccc(F)cc1)C(=O)[O-] ZINC000910923915 710120180 /nfs/dbraw/zinc/12/01/80/710120180.db2.gz AOMYVVBGWOIRGT-YGRLFVJLSA-N -1 1 319.336 1.540 20 0 DDADMM CCn1cc(Cl)c([N-]S(=O)(=O)C[C@@H]2CCCCO2)n1 ZINC000901715109 710130625 /nfs/dbraw/zinc/13/06/25/710130625.db2.gz XBTQCXVQGHMNKN-VIFPVBQESA-N -1 1 307.803 1.867 20 0 DDADMM CN(C)c1ncc(CN2Cc3ccccc3C[C@H]2C(=O)[O-])n1C ZINC000901976347 710190767 /nfs/dbraw/zinc/19/07/67/710190767.db2.gz VBYFPZPONFIYAT-HNNXBMFYSA-N -1 1 314.389 1.498 20 0 DDADMM CN(C)c1ncc(CN2CCc3ccccc3[C@H]2C(=O)[O-])n1C ZINC000901989955 710194878 /nfs/dbraw/zinc/19/48/78/710194878.db2.gz OCTBJRMTIOESTE-HNNXBMFYSA-N -1 1 314.389 1.670 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CCCC[C@@H]1CC(=O)[O-] ZINC000901997548 710197246 /nfs/dbraw/zinc/19/72/46/710197246.db2.gz NOFHMEWOUHHEON-HFAKWTLXSA-N -1 1 305.378 1.931 20 0 DDADMM O=C([O-])C[C@@H]1COCCN1CCCc1ccc2c(c1)CCO2 ZINC000902002811 710198771 /nfs/dbraw/zinc/19/87/71/710198771.db2.gz BLXOPVHPGWPVQR-OAHLLOKOSA-N -1 1 305.374 1.730 20 0 DDADMM NC(=O)[C@@H](F)C1CCN(C(=O)c2cc(Cl)ccc2[O-])CC1 ZINC000913115610 713167179 /nfs/dbraw/zinc/16/71/79/713167179.db2.gz SIWIJQMXFXGEGU-LBPRGKRZSA-N -1 1 314.744 1.721 20 0 DDADMM C[C@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)c1cnn(C)c1 ZINC000891620816 710250409 /nfs/dbraw/zinc/25/04/09/710250409.db2.gz CPFRVZNYMLRRGT-VIFPVBQESA-N -1 1 301.350 1.537 20 0 DDADMM CCN(CC)C(=O)CCC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891621603 710250981 /nfs/dbraw/zinc/25/09/81/710250981.db2.gz JZGVSIWZRJDOLF-UHFFFAOYSA-N -1 1 320.393 1.648 20 0 DDADMM CO[C@@H]1CCCN(NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000928413887 713185967 /nfs/dbraw/zinc/18/59/67/713185967.db2.gz UWSBUOPZSGMWGN-LLVKDONJSA-N -1 1 322.409 1.544 20 0 DDADMM COCc1nc(N[C@@H]2CCN(C(=O)C3CCCC3)C2)cc(=O)[n-]1 ZINC000892930285 710527094 /nfs/dbraw/zinc/52/70/94/710527094.db2.gz WXRHWGHQXXPHMT-GFCCVEGCSA-N -1 1 320.393 1.532 20 0 DDADMM CC(C)CN1CCO[C@@H](CNC(=O)C2(C(=O)[O-])CCCC2)C1 ZINC000911117823 710642820 /nfs/dbraw/zinc/64/28/20/710642820.db2.gz FLMXIGCTUVNNJR-ZDUSSCGKSA-N -1 1 312.410 1.104 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CC[C@@H]1C(=O)[O-] ZINC000902172204 710647044 /nfs/dbraw/zinc/64/70/44/710647044.db2.gz BTOVYJWEFWGWMM-GWCFXTLKSA-N -1 1 314.345 1.655 20 0 DDADMM C[C@@]1(CNCc2cc(C(=O)[O-])no2)COc2ccccc2O1 ZINC000902264591 710686128 /nfs/dbraw/zinc/68/61/28/710686128.db2.gz ZTFPOQRXYBQSRZ-OAHLLOKOSA-N -1 1 304.302 1.693 20 0 DDADMM CCN(CC(=O)NC[C@H](Cc1ccc(O)cc1)C(=O)[O-])C1CC1 ZINC000911348576 710754292 /nfs/dbraw/zinc/75/42/92/710754292.db2.gz FUEFKUHXQXOUBP-ZDUSSCGKSA-N -1 1 320.389 1.236 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2cccc(CC(=O)[O-])c2)C1 ZINC000911354333 710759768 /nfs/dbraw/zinc/75/97/68/710759768.db2.gz XMTBTGBMSWJYQI-HNNXBMFYSA-N -1 1 320.389 1.154 20 0 DDADMM COCCC(C)(C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913436742 713215128 /nfs/dbraw/zinc/21/51/28/713215128.db2.gz GVQYMURRMLBDEX-LBPRGKRZSA-N -1 1 303.366 1.468 20 0 DDADMM CN(C)c1ccnc(CNC(=O)[C@@H]2CO[C@@H](CCC(=O)[O-])C2)c1 ZINC000902815859 710914005 /nfs/dbraw/zinc/91/40/05/710914005.db2.gz XGZIIWQYWJXXLU-FZMZJTMJSA-N -1 1 321.377 1.034 20 0 DDADMM COCc1nc(NC[C@@H](Cc2ccccc2)OC)cc(=O)[n-]1 ZINC000894030959 710936323 /nfs/dbraw/zinc/93/63/23/710936323.db2.gz XUGWLUIWJBHYJG-CYBMUJFWSA-N -1 1 303.362 1.998 20 0 DDADMM CC(C)(C)c1ccc(NC(=O)[C@]2(C(=O)[O-])CNCCO2)cc1 ZINC000911916370 711067465 /nfs/dbraw/zinc/06/74/65/711067465.db2.gz XNOZOQGDUOIAML-INIZCTEOSA-N -1 1 306.362 1.366 20 0 DDADMM COCc1nc(N[C@@H]2CCCc3c2ccc(=O)n3C)cc(=O)[n-]1 ZINC000894414987 711110171 /nfs/dbraw/zinc/11/01/71/711110171.db2.gz UPAOKESMJGWDEO-LLVKDONJSA-N -1 1 316.361 1.517 20 0 DDADMM O=C(c1csc2c1CCCC2)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913493493 713236745 /nfs/dbraw/zinc/23/67/45/713236745.db2.gz YRRKKWRFVFBNPA-NSHDSACASA-N -1 1 319.390 1.354 20 0 DDADMM O=C(C/C=C/Cc1ccccc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494132 713236997 /nfs/dbraw/zinc/23/69/97/713236997.db2.gz SMZQIOABOXCBSG-NNTXTVRGSA-N -1 1 313.361 1.289 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]([C@H]2CCCO2)C2(CO)CCC2)c([O-])c1 ZINC000912219651 711228721 /nfs/dbraw/zinc/22/87/21/711228721.db2.gz UEUMHTWGAWWGGG-HIFRSBDPSA-N -1 1 320.389 1.536 20 0 DDADMM CC(=CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000913494879 713237538 /nfs/dbraw/zinc/23/75/38/713237538.db2.gz MOMKGGJHBAALNQ-PGJNLMOESA-N -1 1 317.324 1.342 20 0 DDADMM CC(C)(C)c1nc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cs1 ZINC000913495386 713237706 /nfs/dbraw/zinc/23/77/06/713237706.db2.gz XBGWACSYOIKSQJ-SECBINFHSA-N -1 1 322.394 1.167 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H]1CCN(c2ccccc2)C1=O ZINC000903712596 711251088 /nfs/dbraw/zinc/25/10/88/711251088.db2.gz ZPJZMLNMYCCGBQ-AWEZNQCLSA-N -1 1 306.362 1.215 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N(CCOCCO)C1CCC1 ZINC000912276009 711255230 /nfs/dbraw/zinc/25/52/30/711255230.db2.gz BZSYRKHPBVBVSV-UHFFFAOYSA-N -1 1 321.377 1.063 20 0 DDADMM O=C([C@@H]1CC[C@H](C(F)(F)F)C1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913498990 713239743 /nfs/dbraw/zinc/23/97/43/713239743.db2.gz SUUJAJLQFNVNEX-VGMNWLOBSA-N -1 1 319.287 1.078 20 0 DDADMM COCc1nc(N[C@@H]2Cc3ccccc3NC2=O)cc(=O)[n-]1 ZINC000894763993 711263260 /nfs/dbraw/zinc/26/32/60/711263260.db2.gz RZLXPPJZKCWJSK-LLVKDONJSA-N -1 1 300.318 1.304 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=Cc2ccccc2)n[n-]1 ZINC000912364743 711293180 /nfs/dbraw/zinc/29/31/80/711293180.db2.gz ZLMNLSKSLWTDJP-DWOQACPDSA-N -1 1 314.345 1.872 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=Cc2ccccc2)[n-]1 ZINC000912364743 711293184 /nfs/dbraw/zinc/29/31/84/711293184.db2.gz ZLMNLSKSLWTDJP-DWOQACPDSA-N -1 1 314.345 1.872 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C=Cc2ccccc2)n1 ZINC000912364743 711293188 /nfs/dbraw/zinc/29/31/88/711293188.db2.gz ZLMNLSKSLWTDJP-DWOQACPDSA-N -1 1 314.345 1.872 20 0 DDADMM O=C([O-])c1cnc([C@H]2CCN(CCOCC(F)F)C2)s1 ZINC000903923792 711334083 /nfs/dbraw/zinc/33/40/83/711334083.db2.gz BOIOZJDWEURQKL-QMMMGPOBSA-N -1 1 306.334 1.912 20 0 DDADMM CCCCn1nnnc1CN1[C@H](C)C[C@H](C)[C@H](C(=O)[O-])[C@H]1C ZINC000903948851 711345059 /nfs/dbraw/zinc/34/50/59/711345059.db2.gz HQZSMECAWGXZIB-SFTQSGBHSA-N -1 1 309.414 1.793 20 0 DDADMM Cn1ncc(C2CC2)c1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000912502583 711355733 /nfs/dbraw/zinc/35/57/33/711355733.db2.gz ZCRKBGWZSGCPCS-UHFFFAOYSA-N -1 1 313.361 1.601 20 0 DDADMM O=C([O-])[C@@]1(NCc2cocn2)CCC[N@@H+](Cc2ccccc2)C1 ZINC000904024616 711365775 /nfs/dbraw/zinc/36/57/75/711365775.db2.gz ULCMELGRHSLQCP-QGZVFWFLSA-N -1 1 315.373 1.884 20 0 DDADMM O=C([O-])[C@@]1(NCc2cocn2)CCCN(Cc2ccccc2)C1 ZINC000904024616 711365777 /nfs/dbraw/zinc/36/57/77/711365777.db2.gz ULCMELGRHSLQCP-QGZVFWFLSA-N -1 1 315.373 1.884 20 0 DDADMM COc1cc(F)cc(CN[C@@H](C(=O)[O-])c2cnn(C)c2C)c1 ZINC000904047160 711370103 /nfs/dbraw/zinc/37/01/03/711370103.db2.gz BYDNRILLKZIFQD-CQSZACIVSA-N -1 1 307.325 1.792 20 0 DDADMM CN(Cc1ncc(Br)cc1[O-])C[C@@H]1COCCO1 ZINC000895365109 711494600 /nfs/dbraw/zinc/49/46/00/711494600.db2.gz SEQINNYCAZTPED-SNVBAGLBSA-N -1 1 317.183 1.397 20 0 DDADMM COCc1nc(NCC2(C3(O)CCOCC3)CC2)cc(=O)[n-]1 ZINC000895816827 711615534 /nfs/dbraw/zinc/61/55/34/711615534.db2.gz MWXDWONWICEGEP-UHFFFAOYSA-N -1 1 309.366 1.062 20 0 DDADMM C[C@H](NC(=O)c1cc(F)c([O-])c(F)c1)[C@H]1CN(C)CCN1C ZINC000896558146 711751501 /nfs/dbraw/zinc/75/15/01/711751501.db2.gz YLNDQNALZAULJD-TVQRCGJNSA-N -1 1 313.348 1.035 20 0 DDADMM O=C(CSc1ccncc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742255 713291651 /nfs/dbraw/zinc/29/16/51/713291651.db2.gz QSMXDDCGFNMQFV-UHFFFAOYSA-N -1 1 304.379 1.093 20 0 DDADMM O=C([O-])C[C@H]1CCCCN1S(=O)(=O)c1cc(O)cc(F)c1 ZINC000905186974 711962664 /nfs/dbraw/zinc/96/26/64/711962664.db2.gz HMSRMWJODUGZAI-SNVBAGLBSA-N -1 1 317.338 1.549 20 0 DDADMM O=C(CSCc1cccnc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743082 713292167 /nfs/dbraw/zinc/29/21/67/713292167.db2.gz DRHHWWVCAKZBNK-UHFFFAOYSA-N -1 1 318.406 1.234 20 0 DDADMM CC[C@H](CNC(=O)[C@@H](N)c1ccc(C(F)(F)F)cc1)C(=O)[O-] ZINC000905289339 711994011 /nfs/dbraw/zinc/99/40/11/711994011.db2.gz JIKVMEAZLDWLRH-KCJUWKMLSA-N -1 1 318.295 1.932 20 0 DDADMM CC1=C(C)C(=O)N(CCC(=O)[N-]Oc2ccccc2F)C1=O ZINC000913818208 713305611 /nfs/dbraw/zinc/30/56/11/713305611.db2.gz SNSLULBXZLQBIT-UHFFFAOYSA-N -1 1 306.293 1.331 20 0 DDADMM O=C([O-])C(F)(F)CNS(=O)(=O)[C@@H]1C[C@H]1c1cccc(F)c1 ZINC000906000467 712216761 /nfs/dbraw/zinc/21/67/61/712216761.db2.gz ZHDUFVOZAGPYDB-VHSXEESVSA-N -1 1 323.292 1.321 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCOC2(CCCC2)C1)c1nn[n-]n1 ZINC000906930917 712441459 /nfs/dbraw/zinc/44/14/59/712441459.db2.gz RVMBQVFSJNVIES-NEPJUHHUSA-N -1 1 307.398 1.897 20 0 DDADMM O=C([C@H]1CCOC2(CCCC2)C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000907103470 712488036 /nfs/dbraw/zinc/48/80/36/712488036.db2.gz GCBCDYIMDXZNJV-STQMWFEESA-N -1 1 319.409 1.645 20 0 DDADMM Nc1cn[nH]c1[C@@H]1CCN(C(=O)c2ccc([O-])c(Cl)c2)C1 ZINC000907402432 712561735 /nfs/dbraw/zinc/56/17/35/712561735.db2.gz PNGPYWABCXCGEB-SECBINFHSA-N -1 1 306.753 1.981 20 0 DDADMM C[C@H](CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)n1cccc1 ZINC000907474998 712581797 /nfs/dbraw/zinc/58/17/97/712581797.db2.gz LQQQNGWDXPGLGU-GHMZBOCLSA-N -1 1 306.395 1.269 20 0 DDADMM CSCCCCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907476377 712582202 /nfs/dbraw/zinc/58/22/02/712582202.db2.gz QAVBPGOPRKDBGQ-SECBINFHSA-N -1 1 301.441 1.350 20 0 DDADMM CC[C@@H]1C[C@@H](C(=O)N2CCSC[C@@H]2c2nn[n-]n2)CCO1 ZINC000907475858 712582277 /nfs/dbraw/zinc/58/22/77/712582277.db2.gz ANGOEAPGAHQKHG-HBNTYKKESA-N -1 1 311.411 1.021 20 0 DDADMM O=C([C@H]1CCC(F)(F)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480891 712583987 /nfs/dbraw/zinc/58/39/87/712583987.db2.gz WVBWOYLSLQRIIS-YUMQZZPRSA-N -1 1 303.338 1.252 20 0 DDADMM O=C([C@H]1C[C@@H]1c1ccccc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481462 712584163 /nfs/dbraw/zinc/58/41/63/712584163.db2.gz WLCPGFFKEBKTSN-AGIUHOORSA-N -1 1 315.402 1.620 20 0 DDADMM CN1CCN(C(=O)[C@]2(C(=O)[O-])C[C@@H]2c2ccccc2)CC1(C)C ZINC000907847912 712635338 /nfs/dbraw/zinc/63/53/38/712635338.db2.gz QLLKIROTAYBAQJ-KDOFPFPSSA-N -1 1 316.401 1.798 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@@H]1CCOC12CCCCC2 ZINC000907898421 712643829 /nfs/dbraw/zinc/64/38/29/712643829.db2.gz YPBOURVVZPKDBB-UONOGXRCSA-N -1 1 324.421 1.391 20 0 DDADMM CCCCC[C@@H](CC)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907944598 712652905 /nfs/dbraw/zinc/65/29/05/712652905.db2.gz WTYQHVYHYHNWMS-GFCCVEGCSA-N -1 1 309.414 1.616 20 0 DDADMM CCCc1ccc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)s1 ZINC000907944279 712652920 /nfs/dbraw/zinc/65/29/20/712652920.db2.gz SFWYTYKTUVWXDK-UHFFFAOYSA-N -1 1 321.406 1.338 20 0 DDADMM C[C@@H](CC(=O)N1CCC(O)(c2nn[n-]n2)CC1)CC(C)(C)C ZINC000907944288 712652940 /nfs/dbraw/zinc/65/29/40/712652940.db2.gz SLAMYVKNFUPIQU-NSHDSACASA-N -1 1 309.414 1.472 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N(Cc2ccoc2)C2CC2)C1 ZINC000908016071 712666627 /nfs/dbraw/zinc/66/66/27/712666627.db2.gz HVMLNGKMBVXDEX-CYBMUJFWSA-N -1 1 306.362 1.567 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)OC[C@@H]2CCCO2)[n-]c1=O ZINC000908017570 712666880 /nfs/dbraw/zinc/66/68/80/712666880.db2.gz VTYIDKKAKDLEKB-QWRGUYRKSA-N -1 1 323.349 1.643 20 0 DDADMM CN(C(=O)[C@H]1CCCc2n[nH]nc21)c1cccc(CC(=O)[O-])c1 ZINC000908088128 712683297 /nfs/dbraw/zinc/68/32/97/712683297.db2.gz DMEUKYCOVFXEAA-LBPRGKRZSA-N -1 1 314.345 1.515 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)NCCc1ccc(C(=O)[O-])cc1 ZINC000908600475 712818729 /nfs/dbraw/zinc/81/87/29/712818729.db2.gz ITCJICMEVJUEMP-CYBMUJFWSA-N -1 1 320.389 1.012 20 0 DDADMM CC1=NO[C@@H](CNC(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000908708160 712839080 /nfs/dbraw/zinc/83/90/80/712839080.db2.gz ZUMJBHQFKAZYNH-SECBINFHSA-N -1 1 303.293 1.974 20 0 DDADMM C[C@@H]1OC(=O)N[C@H]1C(=O)Nc1cccc([O-])c1Br ZINC000908711178 712839422 /nfs/dbraw/zinc/83/94/22/712839422.db2.gz YWVUGOJQANFXBS-SSDLBLMSSA-N -1 1 315.123 1.590 20 0 DDADMM COc1ccc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)c(C)c1 ZINC000908716284 712840315 /nfs/dbraw/zinc/84/03/15/712840315.db2.gz IIWBJCZPXHIYKF-LBPRGKRZSA-N -1 1 306.362 1.739 20 0 DDADMM COc1ccccc1N(C)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908732331 712843171 /nfs/dbraw/zinc/84/31/71/712843171.db2.gz NROFSZZVDWTHQA-GFCCVEGCSA-N -1 1 306.362 1.455 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000908912186 712885028 /nfs/dbraw/zinc/88/50/28/712885028.db2.gz GLLYURUQTVLABQ-QWHCGFSZSA-N -1 1 319.405 1.548 20 0 DDADMM CCCC(=O)NCC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000914987688 713405643 /nfs/dbraw/zinc/40/56/43/713405643.db2.gz BLIPGXSLXWKKND-UHFFFAOYSA-N -1 1 319.313 1.458 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2cnc(OC)s2)co1 ZINC000916123519 713443966 /nfs/dbraw/zinc/44/39/66/713443966.db2.gz PINDJNWFDMXONN-UHFFFAOYSA-N -1 1 303.365 1.181 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)Cc1cc(C)on1 ZINC000918056473 713527242 /nfs/dbraw/zinc/52/72/42/713527242.db2.gz SEVXMEBJOIJANC-LBPRGKRZSA-N -1 1 318.395 1.334 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)CC1(F)CCC1 ZINC000918061728 713527764 /nfs/dbraw/zinc/52/77/64/713527764.db2.gz UTEOJYVBIWZFAI-NSHDSACASA-N -1 1 309.403 1.730 20 0 DDADMM CCC(CC)NC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000929118278 713550178 /nfs/dbraw/zinc/55/01/78/713550178.db2.gz NZORABKRPYQDDA-UHFFFAOYSA-N -1 1 314.332 1.634 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@]12C[C@@H]1CCCC2 ZINC000920858037 713698800 /nfs/dbraw/zinc/69/88/00/713698800.db2.gz ZLLWJMAKRFTKII-NWDGAFQWSA-N -1 1 306.453 1.663 20 0 DDADMM CO[C@@]1(C[N-]S(=O)(=O)c2nc(C)c(C)s2)CCSC1 ZINC000921403118 713757334 /nfs/dbraw/zinc/75/73/34/713757334.db2.gz PQRQNRRYMNWWDC-LLVKDONJSA-N -1 1 322.477 1.560 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C=C[C@H]2C[C@@H]1CO2)c1ccc(F)nc1F ZINC000921617308 713823138 /nfs/dbraw/zinc/82/31/38/713823138.db2.gz UUQFWWSSHBYPSO-AEJSXWLSSA-N -1 1 316.329 1.229 20 0 DDADMM O=C1C[C@H](CC[N-]S(=O)(=O)c2ccc(C(F)F)o2)CN1 ZINC000921656507 713832779 /nfs/dbraw/zinc/83/27/79/713832779.db2.gz VHMAVKYGNRICHL-ZETCQYMHSA-N -1 1 308.306 1.022 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]CC(=O)c1cncs1)C1CC1 ZINC000921679290 713840447 /nfs/dbraw/zinc/84/04/47/713840447.db2.gz VRSZVSLYDKLDBX-LLVKDONJSA-N -1 1 318.420 1.060 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCS[C@H](C)C2)c1 ZINC000921712726 713851331 /nfs/dbraw/zinc/85/13/31/713851331.db2.gz QVDZDCXJPMEROU-SCZZXKLOSA-N -1 1 319.404 1.629 20 0 DDADMM O=S(=O)([N-][C@]12C[C@H]1COC21CCC1)c1c[nH]nc1Cl ZINC000922105611 713963199 /nfs/dbraw/zinc/96/31/99/713963199.db2.gz IOYHDNZNRWZVGJ-WRWORJQWSA-N -1 1 303.771 1.053 20 0 DDADMM CC(C)C(=O)N[C@H]1CC[C@H](CNC(=O)c2ncccc2[O-])CC1 ZINC000963932171 717949193 /nfs/dbraw/zinc/94/91/93/717949193.db2.gz IQLAYBVWWDTPRE-JOCQHMNTSA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@H](C)[C@@H](C(=O)OC)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000931568236 714130412 /nfs/dbraw/zinc/13/04/12/714130412.db2.gz CVQPQJDRTYBRBZ-KWBADKCTSA-N -1 1 324.343 1.717 20 0 DDADMM C[S@](=O)CCCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932042261 714244863 /nfs/dbraw/zinc/24/48/63/714244863.db2.gz GCLAKVPAGBQUEP-QFIPXVFZSA-N -1 1 322.390 1.468 20 0 DDADMM C[C@H](C[S@@](C)=O)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932047231 714245812 /nfs/dbraw/zinc/24/58/12/714245812.db2.gz XSKILMLUONFAIT-ZQJOYCHOSA-N -1 1 322.390 1.466 20 0 DDADMM CCN(C)C(=O)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932047573 714245843 /nfs/dbraw/zinc/24/58/43/714245843.db2.gz CKCUUDNPSGSXGC-UHFFFAOYSA-N -1 1 317.349 1.178 20 0 DDADMM CON(C)CCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932057232 714248304 /nfs/dbraw/zinc/24/83/04/714248304.db2.gz FXJWROZBTKKHPS-UHFFFAOYSA-N -1 1 305.338 1.193 20 0 DDADMM CC(C)C[C@@](C)(CNC(=O)CN(C)[C@@H]1CCSC1)C(=O)[O-] ZINC000923191591 714266702 /nfs/dbraw/zinc/26/67/02/714266702.db2.gz YPNSDHBZBGQNQS-DOMZBBRYSA-N -1 1 316.467 1.677 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2cc(F)cc(F)c2[O-])C1 ZINC000932151311 714272169 /nfs/dbraw/zinc/27/21/69/714272169.db2.gz XTNIGDSDVYSDAJ-LLVKDONJSA-N -1 1 314.332 1.511 20 0 DDADMM CCc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc(Cl)n1 ZINC000932282639 714299817 /nfs/dbraw/zinc/29/98/17/714299817.db2.gz LRYFTCJUGVCWAY-JTQLQIEISA-N -1 1 322.756 1.024 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](O)Cc2ccc(F)cc2)c([O-])c1 ZINC000923767857 714466814 /nfs/dbraw/zinc/46/68/14/714466814.db2.gz KBUPOMSMFTVPJS-ZDUSSCGKSA-N -1 1 304.321 1.568 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(Cl)n1 ZINC000935036395 714960728 /nfs/dbraw/zinc/96/07/28/714960728.db2.gz BOEUDUYQNYRWDI-QMMMGPOBSA-N -1 1 322.756 1.277 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc(Cl)n1 ZINC000935037703 714961488 /nfs/dbraw/zinc/96/14/88/714961488.db2.gz ZAXYHDKCSGGTPX-VIFPVBQESA-N -1 1 320.784 1.830 20 0 DDADMM CC(C)Cc1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)s1 ZINC000935365136 715038052 /nfs/dbraw/zinc/03/80/52/715038052.db2.gz CWEYSLDOSPMUDM-SECBINFHSA-N -1 1 308.411 1.730 20 0 DDADMM Cc1cnc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1C ZINC000935455690 715059522 /nfs/dbraw/zinc/05/95/22/715059522.db2.gz FVGXWVWJBYRDPI-LLVKDONJSA-N -1 1 304.379 1.142 20 0 DDADMM CC1=C(C)C[C@@](C)(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1 ZINC000935785063 715128391 /nfs/dbraw/zinc/12/83/91/715128391.db2.gz QSNLRTQOTYRSMN-HNNXBMFYSA-N -1 1 319.409 1.536 20 0 DDADMM C[C@H]1C[C@H]1C(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC000956275580 715384627 /nfs/dbraw/zinc/38/46/27/715384627.db2.gz UVOCMYTVZIWNSE-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC000956275579 715384859 /nfs/dbraw/zinc/38/48/59/715384859.db2.gz UVOCMYTVZIWNSE-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM CC1CC(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000937860097 715616296 /nfs/dbraw/zinc/61/62/96/715616296.db2.gz CKHLQCIHZZOXQE-MCIGGMRASA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CC1 ZINC000955463836 715796810 /nfs/dbraw/zinc/79/68/10/715796810.db2.gz KPMWDHPQUZVCHZ-CMPLNLGQSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(C)(C)F ZINC000955475688 715806452 /nfs/dbraw/zinc/80/64/52/715806452.db2.gz DMDPQROLIWGOOF-MNOVXSKESA-N -1 1 323.368 1.645 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC000955525174 715837441 /nfs/dbraw/zinc/83/74/41/715837441.db2.gz POGNQLJTJCXXLX-CHWSQXEVSA-N -1 1 317.389 1.863 20 0 DDADMM CC(C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC000955559374 715853876 /nfs/dbraw/zinc/85/38/76/715853876.db2.gz YBAYKZARQAHXSP-NEPJUHHUSA-N -1 1 305.378 1.553 20 0 DDADMM CCC(=O)N[C@@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000938994736 716076139 /nfs/dbraw/zinc/07/61/39/716076139.db2.gz NZCRFOAEXQBSFM-LLVKDONJSA-N -1 1 320.393 1.355 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C(C)(C)C)C1 ZINC000939589295 716341543 /nfs/dbraw/zinc/34/15/43/716341543.db2.gz FCQIWYCNERZYHO-LLVKDONJSA-N -1 1 305.378 1.506 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)C2(CF)CC2)CC1 ZINC000940832669 716981534 /nfs/dbraw/zinc/98/15/34/716981534.db2.gz LDBGRXLHYSZUGR-UHFFFAOYSA-N -1 1 321.352 1.211 20 0 DDADMM CCCC(=O)N1CC[C@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC000942758753 717858194 /nfs/dbraw/zinc/85/81/94/717858194.db2.gz RFFCLTIGOBQZFV-LBPRGKRZSA-N -1 1 305.378 1.650 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943438961 718111418 /nfs/dbraw/zinc/11/14/18/718111418.db2.gz NDNCYQCBASYFLA-AVGNSLFASA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943971507 718235340 /nfs/dbraw/zinc/23/53/40/718235340.db2.gz YYYBETOMWCUSEW-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])[C@@H]1CC12CC2 ZINC000943978964 718236550 /nfs/dbraw/zinc/23/65/50/718236550.db2.gz JCVZFVUIBULITK-NEPJUHHUSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@H]1CN(C(=O)C(F)F)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945090138 718392057 /nfs/dbraw/zinc/39/20/57/718392057.db2.gz BMSQAYVUFCTZNP-DTWKUNHWSA-N -1 1 313.304 1.019 20 0 DDADMM C[C@@H]1CN(C(=O)C2CC=CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966253881 718485992 /nfs/dbraw/zinc/48/59/92/718485992.db2.gz KQMLUEKKXHNPAX-YPMHNXCESA-N -1 1 315.373 1.330 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1=CCCC1 ZINC000966350454 718523165 /nfs/dbraw/zinc/52/31/65/718523165.db2.gz QTRISWISPLXSTR-WCQYABFASA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1=CCCC1 ZINC000966350453 718523242 /nfs/dbraw/zinc/52/32/42/718523242.db2.gz QTRISWISPLXSTR-AAEUAGOBSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H]1CN(C(=O)C2(C)CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966490587 718566537 /nfs/dbraw/zinc/56/65/37/718566537.db2.gz LLECOSWOKQBORZ-WDEREUQCSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H]1CN(C(=O)C2(C)CCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966671695 718624374 /nfs/dbraw/zinc/62/43/74/718624374.db2.gz LQSAUVWXAARABS-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(C)=O)C1CN(C(=O)c2nnc3ccccc3c2O)C1 ZINC000967712593 718979417 /nfs/dbraw/zinc/97/94/17/718979417.db2.gz LPHZYYPYWBIAMR-UHFFFAOYSA-N -1 1 314.345 1.028 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC000967902520 719080625 /nfs/dbraw/zinc/08/06/25/719080625.db2.gz ZDDQYGAZIXGHED-JQWIXIFHSA-N -1 1 303.362 1.116 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@@H](N(C)Cc3ccccn3)C2)c1[O-] ZINC000971359609 721255980 /nfs/dbraw/zinc/25/59/80/721255980.db2.gz HFJZMMZDWNVFOL-CYBMUJFWSA-N -1 1 315.377 1.165 20 0 DDADMM Cc1noc([C@H](C)N2CC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001038184593 732927874 /nfs/dbraw/zinc/92/78/74/732927874.db2.gz SXRWNIBMUHYRMO-ONGXEEELSA-N -1 1 317.349 1.044 20 0 DDADMM Cc1ncc(CN2CCC[C@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001027843983 738726649 /nfs/dbraw/zinc/72/66/49/738726649.db2.gz KPAGYMYMTBBFJF-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM CCCC(=O)N1CCCC[C@H]1[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001025192787 736349807 /nfs/dbraw/zinc/34/98/07/736349807.db2.gz RGVUBFBAEOCEDZ-OLZOCXBDSA-N -1 1 321.425 1.656 20 0 DDADMM CC(C)C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C12CCC2 ZINC001025880937 736911762 /nfs/dbraw/zinc/91/17/62/736911762.db2.gz GLHKPNZWUPNKFZ-QWHCGFSZSA-N -1 1 317.389 1.600 20 0 DDADMM O=S(=O)([N-]CCCn1cccn1)c1ccc(Cl)nc1F ZINC000692887512 738944558 /nfs/dbraw/zinc/94/45/58/738944558.db2.gz CPUDZKPLVVAVJP-UHFFFAOYSA-N -1 1 318.761 1.439 20 0 DDADMM O=S(=O)([N-]CCOCC1CC1)c1ccc(Cl)nc1F ZINC000692888801 738959752 /nfs/dbraw/zinc/95/97/52/738959752.db2.gz NHSIBGQADOEALG-UHFFFAOYSA-N -1 1 308.762 1.579 20 0 DDADMM C[C@H](CO)CCC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692822427 738102348 /nfs/dbraw/zinc/10/23/48/738102348.db2.gz JHROKCYGWHVDBE-QMMMGPOBSA-N -1 1 310.778 1.561 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(CCC(C)(C)C)CCO2)c1[O-] ZINC001035344900 751427834 /nfs/dbraw/zinc/42/78/34/751427834.db2.gz YDNDKVDFGYVPEC-GFCCVEGCSA-N -1 1 324.425 1.291 20 0 DDADMM O=C(NCC[C@@H]1CCN(C(=O)C2CCC2)C1)c1ncccc1[O-] ZINC001058998527 739582512 /nfs/dbraw/zinc/58/25/12/739582512.db2.gz PYFPGVIBDKGJIO-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM Cc1nocc1C[N@H+]1CC[C@H](CNC(=O)c2ncccc2O)C1 ZINC001028499067 739589951 /nfs/dbraw/zinc/58/99/51/739589951.db2.gz DSWJXFGWNYVWFR-GFCCVEGCSA-N -1 1 316.361 1.336 20 0 DDADMM Cc1cc(N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)nc(C)n1 ZINC001059201175 740369653 /nfs/dbraw/zinc/36/96/53/740369653.db2.gz UEXVQOLEDAOXCZ-HAQNSBGRSA-N -1 1 313.361 1.567 20 0 DDADMM C[C@@H](C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001088289998 741335044 /nfs/dbraw/zinc/33/50/44/741335044.db2.gz ZIANXFYDYAXQJD-DGCLKSJQSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CC[N@@H+]1CCC(F)(F)F ZINC001088538653 741712374 /nfs/dbraw/zinc/71/23/74/741712374.db2.gz LCQPTHNGSGLQSE-ZJUUUORDSA-N -1 1 317.311 1.932 20 0 DDADMM CO[C@@H](C)CCC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076229632 742611741 /nfs/dbraw/zinc/61/17/41/742611741.db2.gz QCCDKPNFJNESQT-RYUDHWBXSA-N -1 1 323.393 1.227 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001076248399 742620238 /nfs/dbraw/zinc/62/02/38/742620238.db2.gz MHZYUPXOSTYCLM-WCFLWFBJSA-N -1 1 317.389 1.458 20 0 DDADMM Cc1[nH]ccc1C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076243885 742623037 /nfs/dbraw/zinc/62/30/37/742623037.db2.gz CNEMPXZNDWTQIK-JTQLQIEISA-N -1 1 316.361 1.362 20 0 DDADMM Cc1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cc(C)n1 ZINC001168693618 743064542 /nfs/dbraw/zinc/06/45/42/743064542.db2.gz IIFMCMWXBUTWQM-AWEZNQCLSA-N -1 1 308.345 1.731 20 0 DDADMM COC(=O)/C=C\[C@@H](C)NC(=O)c1c(C)[n-]c(=O)nc1SC ZINC001181326039 743157957 /nfs/dbraw/zinc/15/79/57/743157957.db2.gz FPUDTGMETCCLCK-KXTMECRCSA-N -1 1 311.363 1.060 20 0 DDADMM CSc1nc(NC(=O)[C@H](C)CSC(C)=O)cc(=O)[n-]1 ZINC001183123239 743776780 /nfs/dbraw/zinc/77/67/80/743776780.db2.gz FETBYXKRRNXMJU-ZCFIWIBFSA-N -1 1 301.393 1.758 20 0 DDADMM Cc1ccc(C)c(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)n1 ZINC001184578540 744064830 /nfs/dbraw/zinc/06/48/30/744064830.db2.gz ZFDXMPQOBORWHW-UHFFFAOYSA-N -1 1 316.321 1.910 20 0 DDADMM O=S(=O)([N-]c1cccc(CO)c1F)c1cnc(Cl)nc1 ZINC001185044478 744150442 /nfs/dbraw/zinc/15/04/42/744150442.db2.gz OSQUTYHGLMXXMM-UHFFFAOYSA-N -1 1 317.729 1.562 20 0 DDADMM CC(C)(C(=O)NCCCC[P@](=O)([O-])O)C1CCOCC1 ZINC001185999116 744330568 /nfs/dbraw/zinc/33/05/68/744330568.db2.gz YEWKPUYJFMARTM-UHFFFAOYSA-N -1 1 307.327 1.513 20 0 DDADMM CCOC(=O)c1c[nH]nc1CNC(=O)c1ccc([O-])cc1F ZINC001186349121 744380939 /nfs/dbraw/zinc/38/09/39/744380939.db2.gz XMNNTMKSGYJEGS-UHFFFAOYSA-N -1 1 307.281 1.361 20 0 DDADMM O=C(CNC(=O)c1ccc([O-])cc1F)NCc1ccc(F)cc1 ZINC001186339481 744389202 /nfs/dbraw/zinc/38/92/02/744389202.db2.gz GJEMGBQRCMXTMK-UHFFFAOYSA-N -1 1 320.295 1.717 20 0 DDADMM O=C1Cc2cc(S(=O)(=O)[N-]c3cncnc3Cl)ccc2N1 ZINC001186890584 744461076 /nfs/dbraw/zinc/46/10/76/744461076.db2.gz DCXVXARJMJFGTR-UHFFFAOYSA-N -1 1 324.749 1.425 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cc(C(C)=O)cc(C(C)=O)c1 ZINC001187286306 744534508 /nfs/dbraw/zinc/53/45/08/744534508.db2.gz GQDQSYDNBMBZKO-UHFFFAOYSA-N -1 1 313.375 1.870 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(C)o1 ZINC000998506136 751933631 /nfs/dbraw/zinc/93/36/31/751933631.db2.gz MFYALSLESCSAPE-NSHDSACASA-N -1 1 319.365 1.115 20 0 DDADMM O=c1[nH]cncc1[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC001187934447 744637208 /nfs/dbraw/zinc/63/72/08/744637208.db2.gz ZWYLBYZGGWFGLN-UHFFFAOYSA-N -1 1 321.145 1.685 20 0 DDADMM CSc1cccc(NC(=O)Nc2c(O)[nH]c(=O)[n-]c2=S)c1 ZINC001188067332 744655541 /nfs/dbraw/zinc/65/55/41/744655541.db2.gz VLXHTCYLEYUWPV-QMMMGPOBSA-N -1 1 324.387 1.065 20 0 DDADMM COc1ccc(OC)c(NC(=O)Nc2c([O-])nc[nH]c2=O)c1 ZINC001188319158 744688864 /nfs/dbraw/zinc/68/88/64/744688864.db2.gz QIGGCPHGDHSXLX-UHFFFAOYSA-N -1 1 306.278 1.549 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ccc2cccc(C)c2n1 ZINC001188349843 744698768 /nfs/dbraw/zinc/69/87/68/744698768.db2.gz GIRPTXICAAYUMJ-UHFFFAOYSA-N -1 1 308.359 1.848 20 0 DDADMM O=S(=O)([N-]c1ncc(O)cc1Br)c1ccoc1 ZINC001188579508 744730810 /nfs/dbraw/zinc/73/08/10/744730810.db2.gz CXUCFNZIBHEAQX-UHFFFAOYSA-N -1 1 319.136 1.944 20 0 DDADMM COc1cc2c(cc1[N-]S(=O)(=O)CC1CC1)N(C(C)=O)CC2 ZINC001188974385 744802617 /nfs/dbraw/zinc/80/26/17/744802617.db2.gz XICFHXHLCCKYKT-UHFFFAOYSA-N -1 1 324.402 1.756 20 0 DDADMM C[C@@H](C[C@H](C)Nc1nccnc1F)NC(=O)c1ncccc1[O-] ZINC001089278954 744874545 /nfs/dbraw/zinc/87/45/45/744874545.db2.gz WZNOFENEXGOOCM-UWVGGRQHSA-N -1 1 319.340 1.725 20 0 DDADMM COC(=O)/C=C/c1cccc([N-]S(=O)(=O)CCCF)n1 ZINC001189873970 745010996 /nfs/dbraw/zinc/01/09/96/745010996.db2.gz KRZJEZGSLTXLHM-VOTSOKGWSA-N -1 1 302.327 1.369 20 0 DDADMM CSc1ncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c(C)n1 ZINC001190504730 745232380 /nfs/dbraw/zinc/23/23/80/745232380.db2.gz COXZKKCRSPOMSY-UHFFFAOYSA-N -1 1 318.284 1.896 20 0 DDADMM C[C@H](NC(=O)c1cnc(-c2ccccn2)[n-]c1=O)c1cncnc1 ZINC001190629445 745278897 /nfs/dbraw/zinc/27/88/97/745278897.db2.gz QXKHUVPJJZPVJB-JTQLQIEISA-N -1 1 322.328 1.525 20 0 DDADMM Cc1c[nH]c(CCNC(=O)c2cnc(-c3ccccn3)[n-]c2=O)n1 ZINC001190632129 745279664 /nfs/dbraw/zinc/27/96/64/745279664.db2.gz GCGFWZPTQJWALH-UHFFFAOYSA-N -1 1 324.344 1.248 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ccccc2O)CCC[N@@H+]1CC(=O)N(C)C ZINC000993057747 745431304 /nfs/dbraw/zinc/43/13/04/745431304.db2.gz ZZQVMEQZEILPQA-JSGCOSHPSA-N -1 1 319.405 1.063 20 0 DDADMM CSc1ncc(C(=O)N2CC(F)(F)CC[C@H]2CO)c(=O)[n-]1 ZINC001191429799 745509310 /nfs/dbraw/zinc/50/93/10/745509310.db2.gz SLESJTHPVFJIAH-ZETCQYMHSA-N -1 1 319.333 1.136 20 0 DDADMM Cc1cc(NCC[C@@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001106296574 745561505 /nfs/dbraw/zinc/56/15/05/745561505.db2.gz VUMPUTVGQWRWBY-SNVBAGLBSA-N -1 1 301.350 1.506 20 0 DDADMM C[C@@H](CN1CCCC1)[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192044377 745681415 /nfs/dbraw/zinc/68/14/15/745681415.db2.gz QUPPPQAVMLIHML-ZETCQYMHSA-N -1 1 309.646 1.718 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1C[C@@H](CO)CC(F)(F)C1 ZINC001192661438 745867924 /nfs/dbraw/zinc/86/79/24/745867924.db2.gz XDULUZDBSCBCDQ-ZETCQYMHSA-N -1 1 307.243 1.760 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1ccccc1C1OCCO1 ZINC001193275755 746041731 /nfs/dbraw/zinc/04/17/31/746041731.db2.gz WADFGOHSECQBGX-NSHDSACASA-N -1 1 313.375 1.653 20 0 DDADMM O=S(=O)([N-][C@@H]1CC1(F)F)c1ccc(C(F)(F)F)cn1 ZINC001194311883 746332963 /nfs/dbraw/zinc/33/29/63/746332963.db2.gz ULUDEQPWFRCYFJ-ZCFIWIBFSA-N -1 1 302.224 1.786 20 0 DDADMM CC(C)[C@H](CO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC001194528978 746403336 /nfs/dbraw/zinc/40/33/36/746403336.db2.gz CJXVYYCJHVQTMR-VIFPVBQESA-N -1 1 312.313 1.396 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccncc2)n1)c1cc([O-])cnc1Cl ZINC001195298406 746570494 /nfs/dbraw/zinc/57/04/94/746570494.db2.gz XATRVQHCKAWGFU-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM O=C(Nc1ccc2c(c1)CCNC2=O)c1cc([O-])cnc1Cl ZINC001195309144 746572984 /nfs/dbraw/zinc/57/29/84/746572984.db2.gz NIIWVZVUGRKINQ-UHFFFAOYSA-N -1 1 317.732 1.979 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1c(F)cncc1Cl ZINC001195482450 746621664 /nfs/dbraw/zinc/62/16/64/746621664.db2.gz WMFNVHMRCSWORV-UHFFFAOYSA-N -1 1 310.649 1.562 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N(CCO)Cc1cccs1 ZINC001196014293 746756452 /nfs/dbraw/zinc/75/64/52/746756452.db2.gz SIRGZZVLVLLKKB-UHFFFAOYSA-N -1 1 311.388 1.167 20 0 DDADMM O=S(=O)(Cc1cccnc1)[N-]c1cnn(CC(F)(F)F)c1 ZINC001197418141 747157523 /nfs/dbraw/zinc/15/75/23/747157523.db2.gz PVEVFZVJCHNKHT-UHFFFAOYSA-N -1 1 320.296 1.782 20 0 DDADMM COc1nc(Cl)ccc1S(=O)(=O)[N-][C@H]1CCOC[C@@H]1F ZINC001198723685 747579318 /nfs/dbraw/zinc/57/93/18/747579318.db2.gz YBTZBCTWCLDGOS-YUMQZZPRSA-N -1 1 324.761 1.149 20 0 DDADMM CCOc1cncc(NS(=O)(=O)c2ccccc2C(=O)[O-])c1 ZINC001198740501 747586119 /nfs/dbraw/zinc/58/61/19/747586119.db2.gz IBZIIJUVTTYDNB-UHFFFAOYSA-N -1 1 322.342 1.979 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1ccc(O)c(=O)[nH]1 ZINC001199196227 747736573 /nfs/dbraw/zinc/73/65/73/747736573.db2.gz KNNDIJMTPGRADV-UHFFFAOYSA-N -1 1 312.285 1.636 20 0 DDADMM COc1nccc(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)n1 ZINC001199319113 747797979 /nfs/dbraw/zinc/79/79/79/747797979.db2.gz NUWGRSNXQDSWFS-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@H]1CCCC(=O)N1 ZINC001201773606 748600608 /nfs/dbraw/zinc/60/06/08/748600608.db2.gz VHWYEKHQHIKFQN-SSDOTTSWSA-N -1 1 323.827 1.052 20 0 DDADMM COc1ccccc1NC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001202117761 748688509 /nfs/dbraw/zinc/68/85/09/748688509.db2.gz ZBISRLMXTPGFKY-UHFFFAOYSA-N -1 1 305.250 1.938 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)CC(C)(C)C ZINC001004747906 748713772 /nfs/dbraw/zinc/71/37/72/748713772.db2.gz UUWPGLLPLJRKER-NWDGAFQWSA-N -1 1 323.441 1.806 20 0 DDADMM CC(C)CC(=O)N1CC[C@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001004749901 748716059 /nfs/dbraw/zinc/71/60/59/748716059.db2.gz JDYQEEFJKQUHNR-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM CN(C)S(=O)(=O)c1ccc(NC(=O)NC(C)(C)C)c([O-])c1 ZINC001202479178 748834999 /nfs/dbraw/zinc/83/49/99/748834999.db2.gz RGHWZBTUBZVTKR-UHFFFAOYSA-N -1 1 315.395 1.563 20 0 DDADMM Cc1ccc(N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001061586191 748888503 /nfs/dbraw/zinc/88/85/03/748888503.db2.gz NXNDWHSIPZRCCM-LBPRGKRZSA-N -1 1 313.361 1.142 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)CC1(C)CC1 ZINC001004902058 748903952 /nfs/dbraw/zinc/90/39/52/748903952.db2.gz OFIWKROGBLJUOY-VXGBXAGGSA-N -1 1 321.425 1.560 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1(C)CC1 ZINC001004929628 748935492 /nfs/dbraw/zinc/93/54/92/748935492.db2.gz PTXIJGFAGKUYHB-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC/C=C/CNc1ncncc1Cl)c1ncccc1[O-] ZINC001107139898 749353117 /nfs/dbraw/zinc/35/31/17/749353117.db2.gz UPEGUEDRRJCVFT-OWOJBTEDSA-N -1 1 319.752 1.629 20 0 DDADMM O=C(N[C@H]1CCCN(Cc2cncs2)C1)c1ncccc1[O-] ZINC001007192308 750954562 /nfs/dbraw/zinc/95/45/62/750954562.db2.gz WJKNFOMTNJIJON-NSHDSACASA-N -1 1 318.402 1.638 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](CNc2cnc(F)cn2)C1 ZINC001060856421 751019189 /nfs/dbraw/zinc/01/91/89/751019189.db2.gz HRFWQLYTHNGMAY-SNVBAGLBSA-N -1 1 317.324 1.291 20 0 DDADMM O=C(N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1(C2CC2)CCC1 ZINC001035005159 751115469 /nfs/dbraw/zinc/11/54/69/751115469.db2.gz RDGQGNDQYDSXSE-GFCCVEGCSA-N -1 1 319.409 1.171 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008064354 752529000 /nfs/dbraw/zinc/52/90/00/752529000.db2.gz OJZKRVDVHYMRDY-GVXVVHGQSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@@H]1CCC[C@]1(C)C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000999171840 752600428 /nfs/dbraw/zinc/60/04/28/752600428.db2.gz UEONUVYZDWCUNF-DIFFPNOSSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C12CCC(CC1)C2 ZINC000999521763 752969667 /nfs/dbraw/zinc/96/96/67/752969667.db2.gz PUCZGIMACSASIM-BGMSHATGSA-N -1 1 319.409 1.171 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H](C)C2CC2)C1 ZINC001005953677 753415731 /nfs/dbraw/zinc/41/57/31/753415731.db2.gz VYHMDHIOOQGIOE-GHMZBOCLSA-N -1 1 317.389 1.410 20 0 DDADMM O=C(NC1(CNC(=O)c2ncccc2[O-])CCC1)c1ccoc1 ZINC001062839524 753759986 /nfs/dbraw/zinc/75/99/86/753759986.db2.gz UKCXIZRVXFWJSQ-UHFFFAOYSA-N -1 1 315.329 1.463 20 0 DDADMM CN(CCCN(C)C(=O)C1CC=CC1)C(=O)c1ncccc1[O-] ZINC001067059058 755493835 /nfs/dbraw/zinc/49/38/35/755493835.db2.gz VXIAKIDXAOGOQP-UHFFFAOYSA-N -1 1 317.389 1.674 20 0 DDADMM CC[C@H](C)C(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082022459 756586550 /nfs/dbraw/zinc/58/65/50/756586550.db2.gz CSWQXRZTGYDIKC-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM COc1cc(C[S@](=O)CCC[N-]C(=O)C(F)(F)F)ccn1 ZINC001118827269 756740106 /nfs/dbraw/zinc/74/01/06/756740106.db2.gz JODXILZSKSSMNB-OAQYLSRUSA-N -1 1 324.324 1.408 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)c2ccoc2)C1 ZINC001016432497 757040122 /nfs/dbraw/zinc/04/01/22/757040122.db2.gz XCGIEXNWYHPPRG-UHFFFAOYSA-N -1 1 315.329 1.413 20 0 DDADMM Cc1nsc(N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)n1 ZINC001065245237 758414915 /nfs/dbraw/zinc/41/49/15/758414915.db2.gz NUHWXGOWGSNUEZ-CQSZACIVSA-N -1 1 319.390 1.346 20 0 DDADMM CC(C)=CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001018099461 758545672 /nfs/dbraw/zinc/54/56/72/758545672.db2.gz LTIYKROVVXXHPB-STQMWFEESA-N -1 1 317.389 1.863 20 0 DDADMM O=C(NCc1ccc2c(c1)OCO2)c1cnc(C2CC2)[n-]c1=O ZINC000828198426 759529808 /nfs/dbraw/zinc/52/98/08/759529808.db2.gz UEYKWXWHINGXTB-UHFFFAOYSA-N -1 1 313.313 1.718 20 0 DDADMM Cc1conc1CN[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001054603060 759875764 /nfs/dbraw/zinc/87/57/64/759875764.db2.gz FZRFPVNAHSQTOB-MFKMUULPSA-N -1 1 316.361 1.334 20 0 DDADMM C[C@H]1[C@@H](NC(=O)[C@@H]2CC2(C)C)CCN1C(=O)c1ncccc1[O-] ZINC001020205265 760677139 /nfs/dbraw/zinc/67/71/39/760677139.db2.gz GVXVTDHSAKVLRY-SRVKXCTJSA-N -1 1 317.389 1.553 20 0 DDADMM C[C@H](c1cnccn1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038193526 760908972 /nfs/dbraw/zinc/90/89/72/760908972.db2.gz KDLVKBJRCZLBDV-VXGBXAGGSA-N -1 1 313.361 1.143 20 0 DDADMM O=C(NC[C@H]1CCN1Cc1nccs1)c1ncccc1[O-] ZINC001038195845 760910525 /nfs/dbraw/zinc/91/05/25/760910525.db2.gz XCIXDBWVGAHFHH-SNVBAGLBSA-N -1 1 304.375 1.248 20 0 DDADMM CC(C)C1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001000134379 761530974 /nfs/dbraw/zinc/53/09/74/761530974.db2.gz HEZLWYJPJNSDSZ-NSHDSACASA-N -1 1 307.398 1.027 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C[C@H]2CC(C)(C)CO2)C1 ZINC001042646115 764310104 /nfs/dbraw/zinc/31/01/04/764310104.db2.gz KGWQHADUPSHELI-CYBMUJFWSA-N -1 1 319.405 1.359 20 0 DDADMM Cc1cc(C)nc(N[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112982921 765099152 /nfs/dbraw/zinc/09/91/52/765099152.db2.gz CWZVVQQNWCHLRA-VXGBXAGGSA-N -1 1 315.377 1.813 20 0 DDADMM Cc1cc(C)nc(N[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112982918 765099723 /nfs/dbraw/zinc/09/97/23/765099723.db2.gz CWZVVQQNWCHLRA-NEPJUHHUSA-N -1 1 315.377 1.813 20 0 DDADMM CC(C)(O)c1ccccc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170215996 766173689 /nfs/dbraw/zinc/17/36/89/766173689.db2.gz FMAJCFDZKLLKIZ-UHFFFAOYSA-N -1 1 301.306 1.687 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncnc4c3SCCC4)c2[nH]1 ZINC001170216485 766174784 /nfs/dbraw/zinc/17/47/84/766174784.db2.gz FGFVUVVYDRCUTC-UHFFFAOYSA-N -1 1 317.334 1.288 20 0 DDADMM CCOc1c(F)cccc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170220881 766178755 /nfs/dbraw/zinc/17/87/55/766178755.db2.gz WHWCZWFVFMDROM-UHFFFAOYSA-N -1 1 305.269 1.997 20 0 DDADMM Cc1nc2c(ncc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)c2C)[nH]1 ZINC001170222403 766195871 /nfs/dbraw/zinc/19/58/71/766195871.db2.gz CDDDWZNAXRSENU-UHFFFAOYSA-N -1 1 312.293 1.347 20 0 DDADMM CC(C)Cn1cc(Nc2[n-]c(=O)nc3nc[nH]c32)cnc1=O ZINC001170228837 766202416 /nfs/dbraw/zinc/20/24/16/766202416.db2.gz KIPNFWLPPRMFDB-UHFFFAOYSA-N -1 1 301.310 1.015 20 0 DDADMM O=C(N[C@H]1CCCN(c2cnc(F)cn2)C1)c1ncccc1[O-] ZINC001058147439 766273309 /nfs/dbraw/zinc/27/33/09/766273309.db2.gz IWABSVXSCSBXKN-JTQLQIEISA-N -1 1 317.324 1.115 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@]2(C)CCN(CCC(F)(F)F)C2)c1[O-] ZINC001046240289 767265052 /nfs/dbraw/zinc/26/50/52/767265052.db2.gz RSERWUDUNACCCA-LBPRGKRZSA-N -1 1 320.315 1.570 20 0 DDADMM CC/C=C(\C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952554 770337916 /nfs/dbraw/zinc/33/79/16/770337916.db2.gz NUHBLYSXMJKCDH-WXYBXBMJSA-N -1 1 317.389 1.720 20 0 DDADMM CCCCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071953403 770340628 /nfs/dbraw/zinc/34/06/28/770340628.db2.gz YYXSMUXCYXYNQR-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1cc(CN[C@H](C)C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])no1 ZINC001134094270 770647624 /nfs/dbraw/zinc/64/76/24/770647624.db2.gz ACLFUPBDFFNEJT-BDAKNGLRSA-N -1 1 321.381 1.407 20 0 DDADMM C[C@@H](CC(=O)NCCNCc1n[nH]c(=O)[n-]1)C1CCCCC1 ZINC001135227827 771392681 /nfs/dbraw/zinc/39/26/81/771392681.db2.gz PLDYIMYBOLQLHC-NSHDSACASA-N -1 1 309.414 1.323 20 0 DDADMM COC(=O)CCN(C(=O)c1cc(C)cc(C=O)c1[O-])C(C)C ZINC001136747483 772119733 /nfs/dbraw/zinc/11/97/33/772119733.db2.gz AWYKXXJGHPIUEJ-UHFFFAOYSA-N -1 1 307.346 1.927 20 0 DDADMM O=C(Cc1cscn1)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001136819626 772160704 /nfs/dbraw/zinc/16/07/04/772160704.db2.gz WNDPZHFATFZKNQ-UHFFFAOYSA-N -1 1 316.346 1.416 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCOC[C@H]2CCCO2)c1 ZINC001136834784 772166730 /nfs/dbraw/zinc/16/67/30/772166730.db2.gz CLRYAJNGIBEUTR-CYBMUJFWSA-N -1 1 307.346 1.439 20 0 DDADMM C[C@@H](CC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccccc1 ZINC001144430792 772524029 /nfs/dbraw/zinc/52/40/29/772524029.db2.gz XUKSWOHPUXRRJN-QMMMGPOBSA-N -1 1 313.317 1.848 20 0 DDADMM CSc1nc(NC(=O)[C@@H](O)c2ccccc2C)cc(=O)[n-]1 ZINC001144522703 772556780 /nfs/dbraw/zinc/55/67/80/772556780.db2.gz NGAFBPRWWMIBEU-LBPRGKRZSA-N -1 1 305.359 1.885 20 0 DDADMM CC(C)C(=O)N[C@@H]1[C@H]2CN(Cc3cc(F)c([O-])cc3F)C[C@H]21 ZINC001144655132 772588268 /nfs/dbraw/zinc/58/82/68/772588268.db2.gz ZOBUZMRJXWWHLD-HBYGRHMLSA-N -1 1 310.344 1.873 20 0 DDADMM O=c1[nH]c(=O)c2c([nH]1)CCN(Cc1cc(F)c([O-])cc1F)C2 ZINC001144657791 772588292 /nfs/dbraw/zinc/58/82/92/772588292.db2.gz IJPWEYFFTRWKOJ-UHFFFAOYSA-N -1 1 309.272 1.430 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)[C@@H](O)c1ccc(OC)cc1 ZINC001144773294 772617814 /nfs/dbraw/zinc/61/78/14/772617814.db2.gz SFNBIIJCUIYHRK-LBPRGKRZSA-N -1 1 319.317 1.267 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](c1nccs1)c1ccccc1 ZINC001144888248 772684613 /nfs/dbraw/zinc/68/46/13/772684613.db2.gz IZIYBOPMGUZIMR-CYBMUJFWSA-N -1 1 314.374 1.495 20 0 DDADMM Cc1cncc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)c1 ZINC001147395340 773129165 /nfs/dbraw/zinc/12/91/65/773129165.db2.gz FFGUIOSXQLZYCK-UHFFFAOYSA-N -1 1 302.294 1.602 20 0 DDADMM CSc1nc(NC(=O)c2c(CO)cnc(C)c2O)cc(=O)[n-]1 ZINC001147833417 773259634 /nfs/dbraw/zinc/25/96/34/773259634.db2.gz FSIZGPQQIJGKMN-UHFFFAOYSA-N -1 1 322.346 1.058 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CC[C@](C)(F)[C@@H](F)C2)c1[O-] ZINC001147836671 773263409 /nfs/dbraw/zinc/26/34/09/773263409.db2.gz OOZIGKIRGZNSIS-HZMBPMFUSA-N -1 1 300.305 1.500 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC[C@@H]2c2ccccn2)c1[O-] ZINC001147838046 773264001 /nfs/dbraw/zinc/26/40/01/773264001.db2.gz IOJBWHOLZRPLIT-CQSZACIVSA-N -1 1 313.357 1.960 20 0 DDADMM Cc1cccc([C@@H](CO)NC(=O)c2c(CO)cnc(C)c2[O-])c1 ZINC001147848727 773269510 /nfs/dbraw/zinc/26/95/10/773269510.db2.gz QPKVFJIONYBFHN-CQSZACIVSA-N -1 1 316.357 1.360 20 0 DDADMM CCC(CO)(CO)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001148799414 773603948 /nfs/dbraw/zinc/60/39/48/773603948.db2.gz OTQUGQVAVLWXBP-UHFFFAOYSA-N -1 1 307.268 1.274 20 0 DDADMM O=C(NC1(CCO)COC1)c1ccc(C(F)(F)F)cc1[O-] ZINC001148799371 773604088 /nfs/dbraw/zinc/60/40/88/773604088.db2.gz MLQLLHKSUACYQB-UHFFFAOYSA-N -1 1 305.252 1.292 20 0 DDADMM CCn1cc(NC(=O)c2cc(S(=O)(=O)[O-])ccc2O)cn1 ZINC001148915717 773634580 /nfs/dbraw/zinc/63/45/80/773634580.db2.gz ZUFQTHLGCRGMFH-UHFFFAOYSA-N -1 1 311.319 1.108 20 0 DDADMM CCCCN1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001074219294 773742194 /nfs/dbraw/zinc/74/21/94/773742194.db2.gz NOPZOAMOWUZKKB-ZFWWWQNUSA-N -1 1 319.405 1.503 20 0 DDADMM CC1(CC(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001036776024 774575276 /nfs/dbraw/zinc/57/52/76/774575276.db2.gz FRMWJPBGRGUKES-NWDGAFQWSA-N -1 1 319.409 1.123 20 0 DDADMM CCc1nsc(N[C@@H](C)CCNC(=O)c2ncccc2[O-])n1 ZINC001099104962 774835033 /nfs/dbraw/zinc/83/50/33/774835033.db2.gz MXLWQNXBBIGSCV-VIFPVBQESA-N -1 1 321.406 1.822 20 0 DDADMM CCOC(=O)C[C@@H]1CC[C@@H](Nc2[n-]c(=O)nc3nc[nH]c32)C1 ZINC001173636646 777297495 /nfs/dbraw/zinc/29/74/95/777297495.db2.gz MOWQRCMAEAPTQI-RKDXNWHRSA-N -1 1 305.338 1.592 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2cc(F)cc(CO)c2)ccc1O ZINC001212747443 777315258 /nfs/dbraw/zinc/31/52/58/777315258.db2.gz GKZKHNVDPOWTDP-UHFFFAOYSA-N -1 1 312.322 1.407 20 0 DDADMM CCCCCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101724186 777436349 /nfs/dbraw/zinc/43/63/49/777436349.db2.gz MIPZZAUQLDSZIR-VXGBXAGGSA-N -1 1 309.414 1.275 20 0 DDADMM CC(C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)=C1CCC1 ZINC001101950390 777721183 /nfs/dbraw/zinc/72/11/83/777721183.db2.gz YEBLONGNQYEVCI-ZWNOBZJWSA-N -1 1 319.409 1.195 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2ccc(F)cn2)c1 ZINC001175853679 777949703 /nfs/dbraw/zinc/94/97/03/777949703.db2.gz NWZJHFKXRGQMPG-UHFFFAOYSA-N -1 1 311.294 1.936 20 0 DDADMM C/C=C(/C)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102839605 778437424 /nfs/dbraw/zinc/43/74/24/778437424.db2.gz ZCBNYEHNQFQTOA-QJJZASRKSA-N -1 1 307.398 1.051 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)Cc1cc(Cl)ccn1 ZINC001177651751 778698376 /nfs/dbraw/zinc/69/83/76/778698376.db2.gz ATBRVWAHPJWZOI-UHFFFAOYSA-N -1 1 309.669 1.515 20 0 DDADMM O=C(NC[C@@H](O)c1c[nH]cn1)c1c([O-])cnc2c(F)cccc21 ZINC001177837721 778755390 /nfs/dbraw/zinc/75/53/90/778755390.db2.gz MNBZVKHLDHFVIY-LLVKDONJSA-N -1 1 316.292 1.266 20 0 DDADMM Cc1cc(CC(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)nn1C ZINC001177886812 778770559 /nfs/dbraw/zinc/77/05/59/778770559.db2.gz VEGQPOVPDNNMQF-UHFFFAOYSA-N -1 1 311.345 1.801 20 0 DDADMM O=C(Cc1ncccc1C(F)F)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001178443085 779031797 /nfs/dbraw/zinc/03/17/97/779031797.db2.gz CGMWOCRCSFZWIO-UHFFFAOYSA-N -1 1 320.259 1.572 20 0 DDADMM CC[C@@H](C)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001103904486 779156724 /nfs/dbraw/zinc/15/67/24/779156724.db2.gz GVRVQESYIGKXAN-IJLUTSLNSA-N -1 1 309.414 1.131 20 0 DDADMM CCCCC[C@H](O)C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001178893813 779212292 /nfs/dbraw/zinc/21/22/92/779212292.db2.gz NQZMQONGHLOMJD-NSHDSACASA-N -1 1 311.382 1.138 20 0 DDADMM CC(C)C[C@@H](C)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179907961 779555407 /nfs/dbraw/zinc/55/54/07/779555407.db2.gz IRGHHWPYPUZFAQ-AGIUHOORSA-N -1 1 323.441 1.662 20 0 DDADMM CN1N=C(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)CCC1=O ZINC001180440535 779762723 /nfs/dbraw/zinc/76/27/23/779762723.db2.gz PHPSRJOFTUFCOL-UHFFFAOYSA-N -1 1 313.317 1.125 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])Nc1cc(F)ncn1 ZINC001115719411 780375514 /nfs/dbraw/zinc/37/55/14/780375514.db2.gz PPQBGVGWYPNJJT-SECBINFHSA-N -1 1 305.313 1.289 20 0 DDADMM O=C(NCc1nc(=O)o[n-]1)c1cc(Cl)c2ccccc2c1O ZINC001116409281 780506892 /nfs/dbraw/zinc/50/68/92/780506892.db2.gz JTKRHQYFBGRCFI-UHFFFAOYSA-N -1 1 319.704 1.805 20 0 DDADMM Cn1ncc2cc(S(=O)(=O)[N-]c3ccc(F)cc3)cnc21 ZINC001116683445 780543876 /nfs/dbraw/zinc/54/38/76/780543876.db2.gz YKJFQDDDUKGSFC-UHFFFAOYSA-N -1 1 306.322 1.908 20 0 DDADMM CCOCCCCCC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC001118913059 781270337 /nfs/dbraw/zinc/27/03/37/781270337.db2.gz CONTYVLGYYLDIM-CQSZACIVSA-N -1 1 314.426 1.591 20 0 DDADMM Cn1cc(CN[C@H]2C=C[C@@H](C(=O)[O-])C2)c(Br)n1 ZINC001118920973 781272634 /nfs/dbraw/zinc/27/26/34/781272634.db2.gz ZJKZLVNTUCBXEG-APPZFPTMSA-N -1 1 300.156 1.302 20 0 DDADMM CCCn1cc(CNCCc2ccc(CC(=O)[O-])cc2)nn1 ZINC001119615954 781537662 /nfs/dbraw/zinc/53/76/62/781537662.db2.gz YGJGYUDCEBOJCM-UHFFFAOYSA-N -1 1 302.378 1.648 20 0 DDADMM C[C@H](CCC(C)(C)C)C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001266980322 837138111 /nfs/dbraw/zinc/13/81/11/837138111.db2.gz RTBGGHMIGFECQF-LLVKDONJSA-N -1 1 311.430 1.521 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)N(C)CCNC(=O)c2ncccc2[O-])C1 ZINC001408554149 837234892 /nfs/dbraw/zinc/23/48/92/837234892.db2.gz CYXMLWSVAQOOEG-VXGBXAGGSA-N -1 1 305.378 1.412 20 0 DDADMM CCC(C)(C)CC(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001326277234 861251434 /nfs/dbraw/zinc/25/14/34/861251434.db2.gz YGMKHIITPUQVFB-LBPRGKRZSA-N -1 1 323.441 1.665 20 0 DDADMM O=C([O-])c1cc(Cl)cnc1NCCN1CCSCC1 ZINC000711725137 841932547 /nfs/dbraw/zinc/93/25/47/841932547.db2.gz ULCFFGOLYLPRAI-UHFFFAOYSA-N -1 1 301.799 1.894 20 0 DDADMM NC(=O)C12CC(NC(=O)c3ccc4sccc4c3[O-])(C1)C2 ZINC001269990166 842208490 /nfs/dbraw/zinc/20/84/90/842208490.db2.gz ISRGIELFQQNRKU-UHFFFAOYSA-N -1 1 302.355 1.745 20 0 DDADMM CC(C)NC(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001270258144 842433050 /nfs/dbraw/zinc/43/30/50/842433050.db2.gz NEXJNPGKWLTEDR-UHFFFAOYSA-N -1 1 318.377 1.053 20 0 DDADMM CN1CC[C@@]2(CCCN2C(=O)c2[n-][nH]c3cc(=O)ccc2-3)C1=O ZINC001270388202 842535371 /nfs/dbraw/zinc/53/53/71/842535371.db2.gz FLXGPOAYIREKFN-INIZCTEOSA-N -1 1 314.345 1.105 20 0 DDADMM CC[C@H](C)N1CC[C@]2(CCN(C(=O)c3cncc([O-])c3)C2)C1=O ZINC001271385234 843545156 /nfs/dbraw/zinc/54/51/56/843545156.db2.gz FUSPTOJSKAWBHJ-SJCJKPOMSA-N -1 1 317.389 1.650 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)NC3CN(C(C)=O)C3)cc2c1 ZINC001154757948 861430596 /nfs/dbraw/zinc/43/05/96/861430596.db2.gz ZVJDIAWETYXJPU-UHFFFAOYSA-N -1 1 314.341 1.515 20 0 DDADMM CN1CCC2(CCN(C(=O)c3ccc(F)c([O-])c3)CC2)C1=O ZINC001272226720 844779957 /nfs/dbraw/zinc/77/99/57/844779957.db2.gz HNMZLJQSEMLWKA-UHFFFAOYSA-N -1 1 306.337 1.616 20 0 DDADMM O=C(c1ccc2cccnc2c1[O-])N1C[C@@H](F)C[C@H](CO)C1 ZINC001149320568 861545427 /nfs/dbraw/zinc/54/54/27/861545427.db2.gz ZTJIIYJEIODXHZ-JQWIXIFHSA-N -1 1 304.321 1.733 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C2([C@H]3CCCO3)CCC2)sn1 ZINC001365004407 845987493 /nfs/dbraw/zinc/98/74/93/845987493.db2.gz VJSWOKPJJQBTGA-SNVBAGLBSA-N -1 1 302.421 1.832 20 0 DDADMM O=c1[nH]cccc1C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000714960725 846079407 /nfs/dbraw/zinc/07/94/07/846079407.db2.gz XWCPKAQSTJSPCE-UHFFFAOYSA-N -1 1 317.729 1.453 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001149501517 861661315 /nfs/dbraw/zinc/66/13/15/861661315.db2.gz VOBAQLNFTXHKSK-YDZSZYSISA-N -1 1 321.340 1.824 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2n[nH]c3cccc(F)c32)n1 ZINC001155276050 861921862 /nfs/dbraw/zinc/92/18/62/861921862.db2.gz KOZKCWRHACQQGC-UHFFFAOYSA-N -1 1 317.280 1.854 20 0 DDADMM CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1cccc([O-])c1Cl ZINC001273545918 851080501 /nfs/dbraw/zinc/08/05/01/851080501.db2.gz JXZSHBLLSGDKDS-GHMZBOCLSA-N -1 1 308.765 1.881 20 0 DDADMM O=S(=O)([N-][C@@H]1CCc2ccccc2[C@@H]1O)c1nccs1 ZINC001187912328 851085958 /nfs/dbraw/zinc/08/59/58/851085958.db2.gz FKSCTAAUUFRSHI-NEPJUHHUSA-N -1 1 310.400 1.470 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@H]3C=CS(=O)(=O)C3)c([O-])c2n1 ZINC001155659807 862350847 /nfs/dbraw/zinc/35/08/47/862350847.db2.gz LJPUVXZKIJRGAC-NSHDSACASA-N -1 1 318.354 1.289 20 0 DDADMM NC(=O)[C@H]1CCC[C@]12CCN(C(=O)c1cc([O-])cnc1Cl)C2 ZINC001274970569 852719718 /nfs/dbraw/zinc/71/97/18/852719718.db2.gz SSYCUNRTCXGDDH-IAQYHMDHSA-N -1 1 323.780 1.558 20 0 DDADMM C[C@H]1c2nc(C(N)=O)cn2CCN1C(=O)c1ccc([O-])cc1F ZINC001275399797 853066451 /nfs/dbraw/zinc/06/64/51/853066451.db2.gz WFWBUCJAXBGHOI-QMMMGPOBSA-N -1 1 318.308 1.044 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc4c(s3)CCOC4)c1-2 ZINC001155825305 862481814 /nfs/dbraw/zinc/48/18/14/862481814.db2.gz VMPWVCVNIALXQU-UHFFFAOYSA-N -1 1 315.358 1.421 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@]2(C)CCCO)c(=O)[n-]1 ZINC001411938210 854000297 /nfs/dbraw/zinc/00/02/97/854000297.db2.gz MLLBWXHRYRFJPY-CQSZACIVSA-N -1 1 311.407 1.671 20 0 DDADMM CCC(CC)(NC(=O)c1coc(C(C)(C)C)n1)c1nn[n-]n1 ZINC001412111155 854230164 /nfs/dbraw/zinc/23/01/64/854230164.db2.gz ONJBZKAEFXSMDK-UHFFFAOYSA-N -1 1 306.370 1.931 20 0 DDADMM CN(C(=O)c1cc(Br)ccc1F)c1nn[n-]n1 ZINC001412228014 854348686 /nfs/dbraw/zinc/34/86/86/854348686.db2.gz PKISVERUCOPVHW-UHFFFAOYSA-N -1 1 300.091 1.378 20 0 DDADMM CSc1nc(CNC(=O)Cc2ncccc2F)cc(=O)[n-]1 ZINC001412290530 854413397 /nfs/dbraw/zinc/41/33/97/854413397.db2.gz ZJXJOQHLQMIECR-UHFFFAOYSA-N -1 1 308.338 1.297 20 0 DDADMM CCOC(=O)c1nc(NC(=O)CC[C@@H]2CCOC2)[n-]c1Cl ZINC001412301282 854422536 /nfs/dbraw/zinc/42/25/36/854422536.db2.gz NDNIPNUSSNLESY-MRVPVSSYSA-N -1 1 315.757 1.995 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@H]1[C@H]1CCCO1 ZINC001412311022 854432369 /nfs/dbraw/zinc/43/23/69/854432369.db2.gz ZWPVACPOZPXWIY-IJLUTSLNSA-N -1 1 305.378 1.911 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1ccc2c(c1)CCNC2=O ZINC001412327362 854444867 /nfs/dbraw/zinc/44/48/67/854444867.db2.gz VQFNVDOFCFLROK-UHFFFAOYSA-N -1 1 312.325 1.939 20 0 DDADMM CC(C)[C@H](C)NC(=O)C1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001412492007 854618868 /nfs/dbraw/zinc/61/88/68/854618868.db2.gz PSXZHEGNCQXVJM-LBPRGKRZSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1cccnc1C(F)(F)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001412535163 854676246 /nfs/dbraw/zinc/67/62/46/854676246.db2.gz BNMBKPWCPXBLHR-UHFFFAOYSA-N -1 1 308.292 1.191 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@@H](C)C1CC1 ZINC001412593435 854785208 /nfs/dbraw/zinc/78/52/08/854785208.db2.gz SOFJOUFICMTHJG-ONGXEEELSA-N -1 1 306.366 1.691 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@@H](C)C1CC1 ZINC001412593435 854785214 /nfs/dbraw/zinc/78/52/14/854785214.db2.gz SOFJOUFICMTHJG-ONGXEEELSA-N -1 1 306.366 1.691 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@@H](C)C1CC1 ZINC001412593435 854785215 /nfs/dbraw/zinc/78/52/15/854785215.db2.gz SOFJOUFICMTHJG-ONGXEEELSA-N -1 1 306.366 1.691 20 0 DDADMM COC[C@H](NC(=O)c1ccc(C2CCCC2)cc1)c1nn[n-]n1 ZINC001412611535 854810735 /nfs/dbraw/zinc/81/07/35/854810735.db2.gz RVSFRJJPZAWGIE-AWEZNQCLSA-N -1 1 315.377 1.975 20 0 DDADMM COc1c(Cl)ccc([O-])c1C(=O)N1C[C@H]2C[C@@]2(C(N)=O)C1 ZINC001276167045 854868658 /nfs/dbraw/zinc/86/86/58/854868658.db2.gz XYDSSIAABQMFPJ-NXSYQRQQSA-N -1 1 310.737 1.002 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@@H](C)Cc2c[nH]cn2)[n-]c1=O ZINC001412659458 854894038 /nfs/dbraw/zinc/89/40/38/854894038.db2.gz SREHQJYXFZQGBV-VIFPVBQESA-N -1 1 319.365 1.144 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc2c(cccc2F)[nH]1 ZINC001151113588 862632228 /nfs/dbraw/zinc/63/22/28/862632228.db2.gz RZYQAEFLMSDWIO-UHFFFAOYSA-N -1 1 312.264 1.931 20 0 DDADMM C[C@H](CSC(F)(F)F)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC001412799667 855280325 /nfs/dbraw/zinc/28/03/25/855280325.db2.gz WOMSVJUTEWECEI-NKWVEPMBSA-N -1 1 311.333 1.651 20 0 DDADMM CCC(CC)(NC(=O)c1cc(C(C)=O)cs1)c1nn[n-]n1 ZINC001412937451 855793654 /nfs/dbraw/zinc/79/36/54/855793654.db2.gz RTOIOHQDQDTGMI-UHFFFAOYSA-N -1 1 307.379 1.909 20 0 DDADMM C[C@H]1[C@H](NC(=O)CCCF)CCCN1C(=O)c1ncccc1[O-] ZINC001413157277 856494724 /nfs/dbraw/zinc/49/47/24/856494724.db2.gz IAHZMUMXMAYCOX-NWDGAFQWSA-N -1 1 323.368 1.646 20 0 DDADMM Cn1ccnc1[C@H](NC(=O)c1ccc(F)c([O-])c1)C(C)(C)CO ZINC001413187635 856528212 /nfs/dbraw/zinc/52/82/12/856528212.db2.gz WNWCTFAKCCCRBR-ZDUSSCGKSA-N -1 1 321.352 1.754 20 0 DDADMM O=C([N-]CCNc1ccnc(-c2cccnc2)n1)C(F)(F)F ZINC001156203583 862814477 /nfs/dbraw/zinc/81/44/77/862814477.db2.gz RLJZBXAESNADOJ-UHFFFAOYSA-N -1 1 311.267 1.629 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)c1cc(OC)ns1)C(=O)OC ZINC001413325830 856662924 /nfs/dbraw/zinc/66/29/24/856662924.db2.gz LLZNZBCSRLAWQY-MRVPVSSYSA-N -1 1 322.408 1.162 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cccnc1NC(C)C ZINC001413502633 856897483 /nfs/dbraw/zinc/89/74/83/856897483.db2.gz JZCNJWKTNJRXAC-UHFFFAOYSA-N -1 1 317.397 1.713 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@](C)(O)c1ccccc1 ZINC001413503965 856898913 /nfs/dbraw/zinc/89/89/13/856898913.db2.gz NUJSLWOAMFGLTQ-HNNXBMFYSA-N -1 1 303.366 1.092 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@H]1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001413511166 856911687 /nfs/dbraw/zinc/91/16/87/856911687.db2.gz PWDMPADVWCMGSX-NXEZZACHSA-N -1 1 303.391 1.869 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2nocc2C)[n-]c1=O ZINC001413544322 857046251 /nfs/dbraw/zinc/04/62/51/857046251.db2.gz UABWYWURDOPQGA-UHFFFAOYSA-N -1 1 307.310 1.544 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4ncsc4n3)c1-2 ZINC001156291167 862915014 /nfs/dbraw/zinc/91/50/14/862915014.db2.gz XEDYMHHSWFXZTL-UHFFFAOYSA-N -1 1 311.330 1.292 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1ccc2ncncc2c1 ZINC001151767311 862956456 /nfs/dbraw/zinc/95/64/56/862956456.db2.gz AVUIWIOHZZSWND-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccnc4c3ccn4C)c1-2 ZINC001151782138 862965891 /nfs/dbraw/zinc/96/58/91/862965891.db2.gz CCDVMVXJLQOJMG-UHFFFAOYSA-N -1 1 307.317 1.174 20 0 DDADMM CCc1noc([C@H]2CC[C@@H](NC(=O)c3ccc([O-])cn3)C2)n1 ZINC001413744619 858266523 /nfs/dbraw/zinc/26/65/23/858266523.db2.gz FZHDBWCAVPAVGH-VHSXEESVSA-N -1 1 302.334 1.799 20 0 DDADMM CCN(Cc1cccs1)c1nnc(Cc2nnn[n-]2)n1CC ZINC001121776251 858593896 /nfs/dbraw/zinc/59/38/96/858593896.db2.gz KQUZDRQYCRFVJW-UHFFFAOYSA-N -1 1 318.410 1.490 20 0 DDADMM CCN(Cc1cccs1)c1nnc(Cc2nn[n-]n2)n1CC ZINC001121776251 858593903 /nfs/dbraw/zinc/59/39/03/858593903.db2.gz KQUZDRQYCRFVJW-UHFFFAOYSA-N -1 1 318.410 1.490 20 0 DDADMM O=C([O-])[C@]1(C(=O)Nc2ccc(F)c(C(F)F)c2)CNCCO1 ZINC001122191203 858709016 /nfs/dbraw/zinc/70/90/16/858709016.db2.gz VBLNZXPGJVQCSB-CYBMUJFWSA-N -1 1 318.251 1.145 20 0 DDADMM O=C(c1ccc2ccncc2c1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123910704 859468371 /nfs/dbraw/zinc/46/83/71/859468371.db2.gz UKKULVDHBUKCNV-GFCCVEGCSA-N -1 1 322.372 1.843 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123999382 859519495 /nfs/dbraw/zinc/51/94/95/859519495.db2.gz VWXBTTCDFQGLNV-DOGVGXBMSA-N -1 1 318.406 1.459 20 0 DDADMM O=C1O[C@@H](COCC[P@@](=O)([O-])O)CN1c1cccc(F)c1 ZINC001224606313 881465117 /nfs/dbraw/zinc/46/51/17/881465117.db2.gz NWPBXVCLKPVHOD-LLVKDONJSA-N -1 1 319.225 1.345 20 0 DDADMM Cc1[nH]c(CN2CCC[C@@]3(CCNC3=O)C2)c(C)c1C(=O)[O-] ZINC001140494746 860644941 /nfs/dbraw/zinc/64/49/41/860644941.db2.gz LJEIGUKUJBRJPQ-MRXNPFEDSA-N -1 1 305.378 1.432 20 0 DDADMM Cc1cc(CN[C@H](C)[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])on1 ZINC001381359544 881571255 /nfs/dbraw/zinc/57/12/55/881571255.db2.gz IVAXGGJOBZXZBE-RKDXNWHRSA-N -1 1 307.354 1.017 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CCCCN1Cc1ccncc1 ZINC001325775136 860824544 /nfs/dbraw/zinc/82/45/44/860824544.db2.gz AFOQQFIZRIBRDS-OAHLLOKOSA-N -1 1 307.394 1.520 20 0 DDADMM O=C(N[C@@H]1CO[N-]C1=O)c1cccc2nc(-c3ccccc3)[nH]c21 ZINC001154490227 861146561 /nfs/dbraw/zinc/14/65/61/861146561.db2.gz SYLOGWOSCDCPBC-CYBMUJFWSA-N -1 1 322.324 1.390 20 0 DDADMM Cc1cccc2[nH]c(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)nc21 ZINC001151994037 863097777 /nfs/dbraw/zinc/09/77/77/863097777.db2.gz NJTDGECEKNZOJY-UHFFFAOYSA-N -1 1 309.289 1.496 20 0 DDADMM Cc1nc(C(C)C)oc1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC001328622646 863112951 /nfs/dbraw/zinc/11/29/51/863112951.db2.gz AZXYHKLTKUXZNR-UHFFFAOYSA-N -1 1 316.321 1.398 20 0 DDADMM CC(=CC(=O)OC(C)C)Nc1nc(C)cc2c1C(=O)[N-]C2=O ZINC001156514867 863113083 /nfs/dbraw/zinc/11/30/83/863113083.db2.gz ITVGJJMCMPSWFP-TWGQIWQCSA-N -1 1 303.318 1.541 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCCCCC(C)=O ZINC001329033480 863434405 /nfs/dbraw/zinc/43/44/05/863434405.db2.gz YJASPJGHBJJTLL-UHFFFAOYSA-N -1 1 311.338 1.166 20 0 DDADMM CCC(CC)(NC(=O)c1ccn2cc(C)nc2c1)c1nn[n-]n1 ZINC001156926835 863452212 /nfs/dbraw/zinc/45/22/12/863452212.db2.gz XTDXKCZCLXAPMK-UHFFFAOYSA-N -1 1 313.365 1.601 20 0 DDADMM COC(CN(C)C(=O)c1cc(=O)c2cccc(O)c2[n-]1)OC ZINC001152672479 863485326 /nfs/dbraw/zinc/48/53/26/863485326.db2.gz OSCWUXVIYOOGFD-UHFFFAOYSA-N -1 1 306.318 1.337 20 0 DDADMM CC(=O)[C@H]1CCN(C(=O)c2cc(=O)c3cccc(O)c3[n-]2)C1 ZINC001152679812 863488314 /nfs/dbraw/zinc/48/83/14/863488314.db2.gz QKENOZXPTZKGSD-JTQLQIEISA-N -1 1 300.314 1.697 20 0 DDADMM CCc1ccc([C@H](COC)NC(=O)CCCc2nn[n-]n2)o1 ZINC001362166774 883195350 /nfs/dbraw/zinc/19/53/50/883195350.db2.gz SJGZZTPDONRNLY-NSHDSACASA-N -1 1 307.354 1.182 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCOC[C@H]2[C@@H]2CCCO2)c1 ZINC001157427650 863814032 /nfs/dbraw/zinc/81/40/32/863814032.db2.gz HBYHCCFLIVGOHN-GJZGRUSLSA-N -1 1 319.357 1.533 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@H]1CCCCN1Cc1ccncc1 ZINC001330202690 864151164 /nfs/dbraw/zinc/15/11/64/864151164.db2.gz OMRPKTUMZMWDGR-MRXNPFEDSA-N -1 1 321.421 1.909 20 0 DDADMM Cc1cccc2c(C(=O)Nc3c(N)[nH]c(=O)[n-]c3=O)ccnc12 ZINC001154031681 864340428 /nfs/dbraw/zinc/34/04/28/864340428.db2.gz LCANORJCPGLQGX-UHFFFAOYSA-N -1 1 311.301 1.579 20 0 DDADMM Cc1nc2ccccc2c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159184891 865152547 /nfs/dbraw/zinc/15/25/47/865152547.db2.gz AZRVPGNECYTZAT-UHFFFAOYSA-N -1 1 309.289 1.711 20 0 DDADMM CN(C(=O)c1cccc(Br)c1Cl)c1nn[n-]n1 ZINC001361555202 881885528 /nfs/dbraw/zinc/88/55/28/881885528.db2.gz SGSHTDUTBKVDLR-UHFFFAOYSA-N -1 1 316.546 1.892 20 0 DDADMM NCc1nccnc1[N-]c1nc(Cl)cc(O[C@@H]2CCOC2)n1 ZINC001160251637 865747882 /nfs/dbraw/zinc/74/78/82/865747882.db2.gz NCVAQFHWNVCFHH-MRVPVSSYSA-N -1 1 322.756 1.290 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCC(F)F)s1 ZINC001332335734 865770576 /nfs/dbraw/zinc/77/05/76/865770576.db2.gz AZGNVRFPLVBGNP-UHFFFAOYSA-N -1 1 313.347 1.858 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCN(C(C)C)C(=O)C2)c1 ZINC001160418454 865825379 /nfs/dbraw/zinc/82/53/79/865825379.db2.gz IGUJGTCIKYTKFI-UHFFFAOYSA-N -1 1 304.346 1.206 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H](C)c1ccsc1 ZINC001320837086 867063010 /nfs/dbraw/zinc/06/30/10/867063010.db2.gz IRJUVBDDRLFLJR-SNVBAGLBSA-N -1 1 323.422 1.314 20 0 DDADMM Cc1cc2c(c(NCc3ccnn3CCF)n1)C(=O)[N-]C2=O ZINC001162019789 867191705 /nfs/dbraw/zinc/19/17/05/867191705.db2.gz QZPDLKIBUVXIKT-UHFFFAOYSA-N -1 1 303.297 1.052 20 0 DDADMM CCn1ccc(CNC/C=C/CNC(=O)c2ncccc2[O-])n1 ZINC001321028307 867233558 /nfs/dbraw/zinc/23/35/58/867233558.db2.gz LTMMDGNGZYDUPN-ONEGZZNKSA-N -1 1 315.377 1.079 20 0 DDADMM CC(C)c1c(C(=O)N(C)c2nn[n-]n2)cnn1-c1ccccc1 ZINC001334274143 867445241 /nfs/dbraw/zinc/44/52/41/867445241.db2.gz NPSDESVYZAEECP-UHFFFAOYSA-N -1 1 311.349 1.785 20 0 DDADMM CN(C)C(=O)Nc1ccc(C(=O)N(C)c2nn[n-]n2)c(Cl)c1 ZINC001361666832 882118686 /nfs/dbraw/zinc/11/86/86/882118686.db2.gz JFADFFRWOZWDKB-UHFFFAOYSA-N -1 1 323.744 1.223 20 0 DDADMM O=c1ccc2c([nH]1)CC[C@@H](Nc1ccc([P@](=O)([O-])O)cc1)C2 ZINC001163565017 868535537 /nfs/dbraw/zinc/53/55/37/868535537.db2.gz ZMTUMPYCHSSMKK-GFCCVEGCSA-N -1 1 320.285 1.560 20 0 DDADMM O=c1ccc2c([nH]1)CC[C@@H](Nc1ccc([P@@](=O)([O-])O)cc1)C2 ZINC001163565017 868535543 /nfs/dbraw/zinc/53/55/43/868535543.db2.gz ZMTUMPYCHSSMKK-GFCCVEGCSA-N -1 1 320.285 1.560 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1CCN(C(=O)c2ccc([O-])cn2)C1 ZINC001361719481 882225276 /nfs/dbraw/zinc/22/52/76/882225276.db2.gz ZMJIDJNDYOUCRA-LLVKDONJSA-N -1 1 321.377 1.774 20 0 DDADMM Cc1nc2c(ccn2C)c(NCC[N-]C(=O)C(F)(F)F)n1 ZINC001164238513 869045316 /nfs/dbraw/zinc/04/53/16/869045316.db2.gz WHCOACHDADUFAQ-UHFFFAOYSA-N -1 1 301.272 1.367 20 0 DDADMM COc1cnc(NCC[N-]C(=O)C(F)(F)F)nc1NC1CC1 ZINC001164237428 869045943 /nfs/dbraw/zinc/04/59/43/869045943.db2.gz YHOHAKXHIPZXNI-UHFFFAOYSA-N -1 1 319.287 1.150 20 0 DDADMM O=C([N-]CCNc1ccc2[nH]c(=S)[nH]c2c1)C(F)(F)F ZINC001164246008 869055070 /nfs/dbraw/zinc/05/50/70/869055070.db2.gz JBTSUXXAVGVSKE-UHFFFAOYSA-N -1 1 304.297 1.942 20 0 DDADMM COC(=O)c1cc(OC)ccc1NCC[N-]C(=O)C(F)(F)F ZINC001164246305 869055344 /nfs/dbraw/zinc/05/53/44/869055344.db2.gz YEZZSRSDOAUEJT-UHFFFAOYSA-N -1 1 320.267 1.572 20 0 DDADMM COc1ccc(CCNC(=O)c2cnc(SC)[n-]c2=O)cc1 ZINC001361742287 882275374 /nfs/dbraw/zinc/27/53/74/882275374.db2.gz IGLCITSTPSCRSR-UHFFFAOYSA-N -1 1 319.386 1.885 20 0 DDADMM CCCCOCC(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001337090623 869317412 /nfs/dbraw/zinc/31/74/12/869317412.db2.gz SUECISPXKYGSIR-LBPRGKRZSA-N -1 1 323.393 1.181 20 0 DDADMM COC(=O)C[C@H]1CCC[C@H](Oc2nc(=O)[n-]cc2C(C)=O)C1 ZINC001226238305 882299577 /nfs/dbraw/zinc/29/95/77/882299577.db2.gz QYBDFRWGCCNXBZ-QWRGUYRKSA-N -1 1 308.334 1.886 20 0 DDADMM Cn1[n-]c(C(=O)N2CCc3nc[nH]c3[C@@H]2c2cccnc2)cc1=O ZINC001337320127 869435710 /nfs/dbraw/zinc/43/57/10/869435710.db2.gz PYYRDLMEIIMWJC-HNNXBMFYSA-N -1 1 324.344 1.032 20 0 DDADMM Cc1cc(C)c2c(c1)CN(c1nnc(-c3nnn[n-]3)n1C)CC2 ZINC001337931662 869697135 /nfs/dbraw/zinc/69/71/35/869697135.db2.gz AJEGCDYLZJTAPL-UHFFFAOYSA-N -1 1 310.365 1.175 20 0 DDADMM Cc1cc(C)c2c(c1)CN(c1nnc(-c3nn[n-]n3)n1C)CC2 ZINC001337931662 869697147 /nfs/dbraw/zinc/69/71/47/869697147.db2.gz AJEGCDYLZJTAPL-UHFFFAOYSA-N -1 1 310.365 1.175 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=CC2CCOCC2)n[n-]1 ZINC001166125982 869847645 /nfs/dbraw/zinc/84/76/45/869847645.db2.gz FNLCCFPLFKIOAB-LWTINBJPSA-N -1 1 322.365 1.141 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=CC2CCOCC2)[n-]1 ZINC001166125982 869847660 /nfs/dbraw/zinc/84/76/60/869847660.db2.gz FNLCCFPLFKIOAB-LWTINBJPSA-N -1 1 322.365 1.141 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)/C=C\C2CCOCC2)n1 ZINC001166125982 869847671 /nfs/dbraw/zinc/84/76/71/869847671.db2.gz FNLCCFPLFKIOAB-LWTINBJPSA-N -1 1 322.365 1.141 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC001338628922 870082412 /nfs/dbraw/zinc/08/24/12/870082412.db2.gz MTLRUPDTUZYQJR-ONGXEEELSA-N -1 1 310.781 1.967 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ncc(-c2ccccn2)s1 ZINC001297677420 870136623 /nfs/dbraw/zinc/13/66/23/870136623.db2.gz BJHVDKLLSDOUGS-UHFFFAOYSA-N -1 1 315.362 1.680 20 0 DDADMM COCCOCc1ccc(CNC(=O)c2cnncc2[O-])cc1 ZINC001339012253 870296351 /nfs/dbraw/zinc/29/63/51/870296351.db2.gz RDLZJZBIHGZPST-UHFFFAOYSA-N -1 1 317.345 1.275 20 0 DDADMM CC/C=C(/C)C(=O)N1CCC([C@H](C)NC(=O)c2cnn[nH]2)CC1 ZINC001339103809 870347326 /nfs/dbraw/zinc/34/73/26/870347326.db2.gz CGAVLKCBIWSFOF-QJGQKNTRSA-N -1 1 319.409 1.518 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)C[C@H](C)c1ccccc1 ZINC001339260296 870425360 /nfs/dbraw/zinc/42/53/60/870425360.db2.gz KYQXZZINKDKBPH-NSHDSACASA-N -1 1 312.381 1.718 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)C[C@H](C)c1ccccc1 ZINC001339260296 870425377 /nfs/dbraw/zinc/42/53/77/870425377.db2.gz KYQXZZINKDKBPH-NSHDSACASA-N -1 1 312.381 1.718 20 0 DDADMM CCN(CCc1ccccc1)c1nnc(-c2nnn[n-]2)n1CC ZINC001339260644 870426239 /nfs/dbraw/zinc/42/62/39/870426239.db2.gz RHAINUSXPUMPJB-UHFFFAOYSA-N -1 1 312.381 1.547 20 0 DDADMM CCN(CCc1ccccc1)c1nnc(-c2nn[n-]n2)n1CC ZINC001339260644 870426249 /nfs/dbraw/zinc/42/62/49/870426249.db2.gz RHAINUSXPUMPJB-UHFFFAOYSA-N -1 1 312.381 1.547 20 0 DDADMM Cc1ccc([C@H]2C[C@@H](C)N(c3nnc(-c4nnn[n-]4)n3C)C2)cc1 ZINC001339545125 870549527 /nfs/dbraw/zinc/54/95/27/870549527.db2.gz YCYPTLOVHIZGDW-YPMHNXCESA-N -1 1 324.392 1.686 20 0 DDADMM Cc1ccc([C@H]2C[C@@H](C)N(c3nnc(-c4nn[n-]n4)n3C)C2)cc1 ZINC001339545125 870549534 /nfs/dbraw/zinc/54/95/34/870549534.db2.gz YCYPTLOVHIZGDW-YPMHNXCESA-N -1 1 324.392 1.686 20 0 DDADMM O=S(=O)([N-]CCc1ccco1)c1nc[nH]c1Br ZINC001363936886 887574928 /nfs/dbraw/zinc/57/49/28/887574928.db2.gz VROSISWHBOAXPN-UHFFFAOYSA-N -1 1 320.168 1.286 20 0 DDADMM COCn1cc(NC(=O)c2cc(NC(C)=O)ccc2[O-])cn1 ZINC001299776651 870937956 /nfs/dbraw/zinc/93/79/56/870937956.db2.gz FNGODDODLAGSTI-UHFFFAOYSA-N -1 1 304.306 1.403 20 0 DDADMM CCc1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)n(C)n1 ZINC001301654086 871015734 /nfs/dbraw/zinc/01/57/34/871015734.db2.gz HHDJTUNOOYYRKO-CYBMUJFWSA-N -1 1 311.349 1.015 20 0 DDADMM CC=CC=CC(=O)N1CCC[C@H]1c1nn[n-]c1C(=O)OCC ZINC001303490350 871127952 /nfs/dbraw/zinc/12/79/52/871127952.db2.gz BZTYJBSBWADQSL-KGSAFSOISA-N -1 1 304.350 1.777 20 0 DDADMM CC=CC=CC(=O)N1CCC[C@H]1c1[n-]nnc1C(=O)OCC ZINC001303490350 871127960 /nfs/dbraw/zinc/12/79/60/871127960.db2.gz BZTYJBSBWADQSL-KGSAFSOISA-N -1 1 304.350 1.777 20 0 DDADMM CC=CC=CC(=O)N1CCC[C@H]1c1n[n-]nc1C(=O)OCC ZINC001303490350 871127965 /nfs/dbraw/zinc/12/79/65/871127965.db2.gz BZTYJBSBWADQSL-KGSAFSOISA-N -1 1 304.350 1.777 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2cnc3ccnn3c2)c1 ZINC001204801026 871241576 /nfs/dbraw/zinc/24/15/76/871241576.db2.gz YJDCBVRPPJLANS-UHFFFAOYSA-N -1 1 318.358 1.972 20 0 DDADMM Cc1nnc2n1CCN(C(=O)C(=O)c1ccc([O-])cc1)[C@@H]2C ZINC001306034998 871315186 /nfs/dbraw/zinc/31/51/86/871315186.db2.gz UFPDKQXDUXHBES-SECBINFHSA-N -1 1 300.318 1.078 20 0 DDADMM O=C([O-])[C@@]1(C(=O)N2CCCC3(C2)CCCCCC3)CNCCO1 ZINC001340745087 871327283 /nfs/dbraw/zinc/32/72/83/871327283.db2.gz CYHPIFNUBUKXDF-KRWDZBQOSA-N -1 1 324.421 1.393 20 0 DDADMM COC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1ccc(C=O)cc1 ZINC001309001580 871547421 /nfs/dbraw/zinc/54/74/21/871547421.db2.gz PBVUEDBKVPGNBO-UHFFFAOYSA-N -1 1 324.314 1.085 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1cc(F)cc(F)c1 ZINC001309134368 871550997 /nfs/dbraw/zinc/55/09/97/871550997.db2.gz IZDUZCXWYGYYHR-UHFFFAOYSA-N -1 1 318.257 1.242 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCCCCSC ZINC001309424521 871570960 /nfs/dbraw/zinc/57/09/60/871570960.db2.gz ZZBKDFFGAMFSFM-UHFFFAOYSA-N -1 1 310.466 1.963 20 0 DDADMM COC(=O)/C=C\[C@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC001309958614 871612735 /nfs/dbraw/zinc/61/27/35/871612735.db2.gz BFNGQZPSYFDMTJ-AVALRIAPSA-N -1 1 309.796 1.798 20 0 DDADMM CSCCONC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001311062825 871673154 /nfs/dbraw/zinc/67/31/54/871673154.db2.gz JPEJICXWMUXTRZ-UHFFFAOYSA-N -1 1 308.363 1.994 20 0 DDADMM CCOCCN(C)c1nnc(-c2cc(Cl)ncc2[O-])n1C ZINC001341489915 871680698 /nfs/dbraw/zinc/68/06/98/871680698.db2.gz SSNQENXZBVWCKP-UHFFFAOYSA-N -1 1 311.773 1.709 20 0 DDADMM CC1(C)CCc2nc(NC(=O)NN3CC(=O)[N-]C3=O)sc2C1 ZINC001206495975 872382701 /nfs/dbraw/zinc/38/27/01/872382701.db2.gz JNPZCGLFYIJLAY-UHFFFAOYSA-N -1 1 323.378 1.246 20 0 DDADMM O=C([O-])[C@]1(C(=O)Nc2cccc(C(F)F)c2)CNCCO1 ZINC001343235325 872547807 /nfs/dbraw/zinc/54/78/07/872547807.db2.gz YITUFVARVJWRAL-CYBMUJFWSA-N -1 1 300.261 1.006 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CCC[C@H](C)O ZINC001344061791 872849392 /nfs/dbraw/zinc/84/93/92/872849392.db2.gz ULDCUYVNKOAEBP-QMMMGPOBSA-N -1 1 309.334 1.413 20 0 DDADMM CC(C)c1nnc([N-]C(=O)c2cn(C(F)(F)CO)nn2)s1 ZINC001361962559 882719435 /nfs/dbraw/zinc/71/94/35/882719435.db2.gz KHWCXRYRKSCMPP-UHFFFAOYSA-N -1 1 318.309 1.047 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)Oc2ccc3c(c2)CCC3)n[n-]1 ZINC001208004576 873736514 /nfs/dbraw/zinc/73/65/14/873736514.db2.gz VAEPFCXJJRFCOT-UHFFFAOYSA-N -1 1 322.342 1.453 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1(C)C ZINC001347026799 873980315 /nfs/dbraw/zinc/98/03/15/873980315.db2.gz YBNKTMRXTTUCLK-SECBINFHSA-N -1 1 311.407 1.666 20 0 DDADMM O=C(c1cc2cc(F)ccc2[nH]1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347973362 874325064 /nfs/dbraw/zinc/32/50/64/874325064.db2.gz CPHBKJABYHRDCY-MRVPVSSYSA-N -1 1 300.297 1.450 20 0 DDADMM CCN(CC(OC)OC)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001348132046 874371345 /nfs/dbraw/zinc/37/13/45/874371345.db2.gz PZHJOXSIMFKJCZ-UHFFFAOYSA-N -1 1 310.379 1.099 20 0 DDADMM O=C(NC1(c2ncon2)CCC1)c1ccc2n[n-]c(=S)n2c1 ZINC001348495708 874593715 /nfs/dbraw/zinc/59/37/15/874593715.db2.gz WMBHPRHIVFFRDE-UHFFFAOYSA-N -1 1 316.346 1.210 20 0 DDADMM O=c1ncc(Br)c(O[C@@H]2CCc3nccn3C2)[n-]1 ZINC001227138701 882868775 /nfs/dbraw/zinc/86/87/75/882868775.db2.gz UIEAMEUNUIUTCL-SSDOTTSWSA-N -1 1 311.139 1.535 20 0 DDADMM O=C(CC[C@@H]1CC1(Cl)Cl)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001349001978 874918570 /nfs/dbraw/zinc/91/85/70/874918570.db2.gz HUFDSSOKMFKCJX-HTQZYQBOSA-N -1 1 304.181 1.490 20 0 DDADMM Cc1[nH]c2ccccc2c1CC(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001349003260 874920238 /nfs/dbraw/zinc/92/02/38/874920238.db2.gz UMXQGIXOMLXMCV-LLVKDONJSA-N -1 1 310.361 1.548 20 0 DDADMM O=C(NCCCC1CC1)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001349312584 875106160 /nfs/dbraw/zinc/10/61/60/875106160.db2.gz HRURIXFVPGDUSI-UHFFFAOYSA-N -1 1 310.781 1.968 20 0 DDADMM C/C=C(/C)C(=O)NCCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001349882104 875431813 /nfs/dbraw/zinc/43/18/13/875431813.db2.gz SMYNKJBDDRKHNT-KMKOMSMNSA-N -1 1 318.377 1.262 20 0 DDADMM CC(C)(C(=O)NCc1nn[n-]n1)c1cc(C(F)(F)F)ccn1 ZINC001362057633 882933189 /nfs/dbraw/zinc/93/31/89/882933189.db2.gz FDWACEPVJYWVDK-UHFFFAOYSA-N -1 1 314.271 1.208 20 0 DDADMM O=C(c1c[nH]cc1Cc1ccccc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001350222550 875585888 /nfs/dbraw/zinc/58/58/88/875585888.db2.gz GPXBNTKEPUOQGH-CYBMUJFWSA-N -1 1 322.372 1.748 20 0 DDADMM O=C(c1cc(F)c2ncccc2c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001350223820 875587443 /nfs/dbraw/zinc/58/74/43/875587443.db2.gz MQNNOFRNXSTZMX-SNVBAGLBSA-N -1 1 312.308 1.517 20 0 DDADMM O=C([O-])c1ccc(C(=O)NCCCCN2CCOCC2)s1 ZINC001350813044 875902285 /nfs/dbraw/zinc/90/22/85/875902285.db2.gz WNNLOMCUJQFPRL-UHFFFAOYSA-N -1 1 312.391 1.289 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1nnc(C2CCCCC2)s1 ZINC001362081769 882987433 /nfs/dbraw/zinc/98/74/33/882987433.db2.gz XAJUGAAGXKDKNG-UHFFFAOYSA-N -1 1 307.383 1.670 20 0 DDADMM C[C@H](C(=O)Nc1ccc(OCc2nn[n-]n2)cc1)[C@@H]1CCCO1 ZINC001362105517 883050591 /nfs/dbraw/zinc/05/05/91/883050591.db2.gz ZWUJBAGURFZSKI-GWCFXTLKSA-N -1 1 317.349 1.532 20 0 DDADMM CC(C)[C@@H](C)C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001381959422 883059381 /nfs/dbraw/zinc/05/93/81/883059381.db2.gz GUGZCDGXTNLUBD-NWDGAFQWSA-N -1 1 307.394 1.656 20 0 DDADMM Cc1cc(C)c(N)c([N-]S(=O)(=O)c2ccc(O)c(N)c2)c1 ZINC001216100022 876863241 /nfs/dbraw/zinc/86/32/41/876863241.db2.gz ORODOSIDFLAYFJ-UHFFFAOYSA-N -1 1 307.375 1.974 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(C)c(N)c2)ccc1CO ZINC001216114250 876868185 /nfs/dbraw/zinc/86/81/85/876868185.db2.gz RJTXKPPKNIZOQE-UHFFFAOYSA-N -1 1 322.386 1.879 20 0 DDADMM COc1ncc(Nc2cn(C)nc2C)cc1[N-]S(C)(=O)=O ZINC001216151988 876878337 /nfs/dbraw/zinc/87/83/37/876878337.db2.gz KXSGDUWQFXNMEV-UHFFFAOYSA-N -1 1 311.367 1.247 20 0 DDADMM COc1ncc([N-]S(=O)(=O)c2ccc(N)c(C)c2)cc1O ZINC001216210177 876893297 /nfs/dbraw/zinc/89/32/97/876893297.db2.gz YUPCAGONPFBLPP-UHFFFAOYSA-N -1 1 309.347 1.487 20 0 DDADMM CCN(CC)C(=O)[C@@H](Oc1nc(=O)[nH]c(=O)[n-]1)c1ccccc1 ZINC001227570077 883083940 /nfs/dbraw/zinc/08/39/40/883083940.db2.gz IGJSESSGJYAOAG-NSHDSACASA-N -1 1 318.333 1.271 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2[C@H](C)C(=O)N[C@H](C)[C@@H]2C)c1 ZINC001300180040 877078923 /nfs/dbraw/zinc/07/89/23/877078923.db2.gz PEBROBXYRNWZEZ-OUAUKWLOSA-N -1 1 304.346 1.251 20 0 DDADMM O=C(NCc1cccc2c1OCCCO2)c1ccc([O-])cn1 ZINC001362126007 883105251 /nfs/dbraw/zinc/10/52/51/883105251.db2.gz IHKMOUUHDZYMNN-UHFFFAOYSA-N -1 1 300.314 1.879 20 0 DDADMM C/C=C/C[C@H]1CCCN(c2nnc(-c3nnn[n-]3)n2CC)C1 ZINC001356292274 878969919 /nfs/dbraw/zinc/96/99/19/878969919.db2.gz ZGIJQCUGLQTBSA-TZNOJPMFSA-N -1 1 302.386 1.661 20 0 DDADMM C/C=C/C[C@H]1CCCN(c2nnc(-c3nn[n-]n3)n2CC)C1 ZINC001356292274 878969931 /nfs/dbraw/zinc/96/99/31/878969931.db2.gz ZGIJQCUGLQTBSA-TZNOJPMFSA-N -1 1 302.386 1.661 20 0 DDADMM COCC(=O)Nc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000316175312 879297927 /nfs/dbraw/zinc/29/79/27/879297927.db2.gz ZAZVDCYNPOFYSE-UHFFFAOYSA-N -1 1 301.302 1.624 20 0 DDADMM NC(=O)[C@H]1CCC[C@]12CCN(C(=O)c1cc([O-])cc(F)c1F)C2 ZINC001276826778 880187200 /nfs/dbraw/zinc/18/72/00/880187200.db2.gz DRHQFPYYXPFKCT-BDJLRTHQSA-N -1 1 324.327 1.788 20 0 DDADMM CC[C@@H]1CCC[C@@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001380875923 880582807 /nfs/dbraw/zinc/58/28/07/880582807.db2.gz ARHVOOPPEUXIJB-NEPJUHHUSA-N -1 1 321.425 1.465 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](OCc2nn[n-]n2)[C@@H](F)C1 ZINC001223030649 880793381 /nfs/dbraw/zinc/79/33/81/880793381.db2.gz ZGTPCINTRYKWJU-IUCAKERBSA-N -1 1 301.322 1.064 20 0 DDADMM O=C(c1coc2c1C(=O)CCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362206286 883295797 /nfs/dbraw/zinc/29/57/97/883295797.db2.gz HGJNAPBSVAGKDC-VIFPVBQESA-N -1 1 315.333 1.332 20 0 DDADMM CCn1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(C(C)C)n1 ZINC001362207284 883299784 /nfs/dbraw/zinc/29/97/84/883299784.db2.gz JFXGKIZTOMVHCV-LLVKDONJSA-N -1 1 317.397 1.559 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H](C)COc2cccc(OC)c2)n1 ZINC001228312401 883440893 /nfs/dbraw/zinc/44/08/93/883440893.db2.gz SJVHJHILVDRNDO-VIFPVBQESA-N -1 1 307.306 1.446 20 0 DDADMM COC(=O)c1nc(O[C@@H](C)COc2cccc(OC)c2)n[n-]1 ZINC001228312401 883440905 /nfs/dbraw/zinc/44/09/05/883440905.db2.gz SJVHJHILVDRNDO-VIFPVBQESA-N -1 1 307.306 1.446 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC(=O)N(Cc2ccccc2)C1 ZINC001362300753 883516187 /nfs/dbraw/zinc/51/61/87/883516187.db2.gz NWOQAQBMZCAZEM-UHFFFAOYSA-N -1 1 314.316 1.973 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC(=O)Nc2cnccc21 ZINC001362303475 883522004 /nfs/dbraw/zinc/52/20/04/883522004.db2.gz MBJIYZJGIAJUHQ-UHFFFAOYSA-N -1 1 311.301 1.054 20 0 DDADMM CCC(=O)c1c(O)cc([O-])cc1OC1CN(C(=O)C(C)C)C1 ZINC001228557038 883550426 /nfs/dbraw/zinc/55/04/26/883550426.db2.gz SNEPISHLBYLMAY-UHFFFAOYSA-N -1 1 307.346 1.936 20 0 DDADMM CSc1ncc(C(=O)N[C@H](CO)c2cccc(F)c2)c(=O)[n-]1 ZINC001362363928 883648422 /nfs/dbraw/zinc/64/84/22/883648422.db2.gz CATGYRZZLKALJY-LLVKDONJSA-N -1 1 323.349 1.507 20 0 DDADMM O=C(CCNC(=O)c1cccc([O-])c1F)NCc1ccccn1 ZINC001362363215 883649169 /nfs/dbraw/zinc/64/91/69/883649169.db2.gz FNIDWNAPGKHXAZ-UHFFFAOYSA-N -1 1 317.320 1.363 20 0 DDADMM CC(C)Oc1ccc(NC(=O)c2cnncc2[O-])cc1C(N)=O ZINC001362379846 883679584 /nfs/dbraw/zinc/67/95/84/883679584.db2.gz HPSMUEQKCHIGFL-UHFFFAOYSA-N -1 1 316.317 1.321 20 0 DDADMM CC(C)(O)COc1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001362384160 883688374 /nfs/dbraw/zinc/68/83/74/883688374.db2.gz JDYVPLIPZHGOFP-UHFFFAOYSA-N -1 1 303.318 1.584 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1CCc2cccnc21)c1nn[n-]n1 ZINC001362498161 883943714 /nfs/dbraw/zinc/94/37/14/883943714.db2.gz TYAWRBCUUIXYAO-LLVKDONJSA-N -1 1 300.366 1.456 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2[C@H]1O)c1cccc([O-])c1F ZINC001362502387 883955742 /nfs/dbraw/zinc/95/57/42/883955742.db2.gz GXTQDQDDYDURGP-IAQYHMDHSA-N -1 1 303.289 1.756 20 0 DDADMM O=C(N[C@@H]1CCOC2(CCSCC2)C1)c1ccc([O-])cn1 ZINC001362508462 883969380 /nfs/dbraw/zinc/96/93/80/883969380.db2.gz AIFOHYMKMPZMPP-LLVKDONJSA-N -1 1 308.403 1.962 20 0 DDADMM C[C@@](CO)(NC(=O)c1ccc(F)c([O-])c1)c1ccc(O)cc1 ZINC001362606705 884206144 /nfs/dbraw/zinc/20/61/44/884206144.db2.gz RFXDZYYNSVSUMP-INIZCTEOSA-N -1 1 305.305 1.874 20 0 DDADMM CC[C@@H]1C[C@H](CC(=O)NC(CC)(CC)c2nn[n-]n2)CCO1 ZINC001362626091 884257957 /nfs/dbraw/zinc/25/79/57/884257957.db2.gz QLVVSOPUALMGQE-VXGBXAGGSA-N -1 1 309.414 1.927 20 0 DDADMM O=C(Cc1cnc[nH]1)Nc1ccc(-n2[n-]c(C3CC3)cc2=O)nc1 ZINC001362682544 884405356 /nfs/dbraw/zinc/40/53/56/884405356.db2.gz OIERKAJRYWBQGS-UHFFFAOYSA-N -1 1 324.344 1.489 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCc1cnc(C)cn1 ZINC001362695211 884432189 /nfs/dbraw/zinc/43/21/89/884432189.db2.gz PTTWMIWHBBOSKF-UHFFFAOYSA-N -1 1 319.390 1.283 20 0 DDADMM Cn1nc(C2CCOCC2)cc1NC(=O)c1ccc([O-])cn1 ZINC001362739752 884522605 /nfs/dbraw/zinc/52/26/05/884522605.db2.gz PSLWJRYVVOTDOM-UHFFFAOYSA-N -1 1 302.334 1.667 20 0 DDADMM CCC(CC)(NC(=O)c1nc(C2CC2)cs1)c1nn[n-]n1 ZINC001362784546 884632541 /nfs/dbraw/zinc/63/25/41/884632541.db2.gz IUKFEMMWGUWGTK-UHFFFAOYSA-N -1 1 306.395 1.979 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1CCOc2c(F)cccc21 ZINC001362834899 884757487 /nfs/dbraw/zinc/75/74/87/884757487.db2.gz KEAMKGOJQYXUDG-SNVBAGLBSA-N -1 1 317.324 1.401 20 0 DDADMM COC[C@@H](NC(=O)[C@@H]1C[C@H]1c1ccccc1C)c1nn[n-]n1 ZINC001362900565 884926804 /nfs/dbraw/zinc/92/68/04/884926804.db2.gz OTDQOXMUFRDCFK-YNEHKIRRSA-N -1 1 301.350 1.116 20 0 DDADMM COC[C@H](NC(=O)c1ccc(C(C)(F)F)cc1)c1nn[n-]n1 ZINC001362906152 884945856 /nfs/dbraw/zinc/94/58/56/884945856.db2.gz DRWPPVFPIBZRIX-JTQLQIEISA-N -1 1 311.292 1.429 20 0 DDADMM COc1ccccc1N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC001362922374 884994285 /nfs/dbraw/zinc/99/42/85/884994285.db2.gz APDLCLJQEJZMOV-UHFFFAOYSA-N -1 1 315.329 1.189 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@]12C[C@@H]1CCCC2 ZINC001362936670 885029592 /nfs/dbraw/zinc/02/95/92/885029592.db2.gz UQHWLTRLHURTFJ-MEDUHNTESA-N -1 1 303.362 1.214 20 0 DDADMM COC(=O)[C@H]1CC(F)(F)CN1C(=O)c1cccc([O-])c1F ZINC001362962480 885096316 /nfs/dbraw/zinc/09/63/16/885096316.db2.gz YOOYXDLHVYKYAI-MRVPVSSYSA-N -1 1 303.236 1.554 20 0 DDADMM CCc1cc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)nn1C ZINC001362967956 885110778 /nfs/dbraw/zinc/11/07/78/885110778.db2.gz ASKUUHPEKUAELX-UHFFFAOYSA-N -1 1 319.365 1.152 20 0 DDADMM C[C@@H](CNC(=O)c1ccsn1)N(C)C(=O)c1ncccc1[O-] ZINC001383013921 885116670 /nfs/dbraw/zinc/11/66/70/885116670.db2.gz JRDMCDFGLYMYSK-VIFPVBQESA-N -1 1 320.374 1.134 20 0 DDADMM CC[C@H](C)n1nccc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001362996526 885181702 /nfs/dbraw/zinc/18/17/02/885181702.db2.gz CFLSLLFCORXSHU-UWVGGRQHSA-N -1 1 323.426 1.591 20 0 DDADMM CCO[C@@H]1CCC[C@H]1NC(=O)c1c(C)[n-]c(=O)nc1SC ZINC001363030149 885270632 /nfs/dbraw/zinc/27/06/32/885270632.db2.gz HIMJLSWMLBHNPX-NXEZZACHSA-N -1 1 311.407 1.900 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC[C@@H](n2ccnn2)CC1 ZINC001363035183 885283357 /nfs/dbraw/zinc/28/33/57/885283357.db2.gz ACZUPZUICHZITK-LLVKDONJSA-N -1 1 304.325 1.990 20 0 DDADMM C[C@@H](OC1CCCCCC1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363041935 885304721 /nfs/dbraw/zinc/30/47/21/885304721.db2.gz WFMAQWAEOSLWSD-SNVBAGLBSA-N -1 1 309.366 1.632 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccn(C)c2CC)n[n-]1 ZINC001363229707 885770328 /nfs/dbraw/zinc/77/03/28/885770328.db2.gz DFQONEHMQWQAKV-SECBINFHSA-N -1 1 319.365 1.373 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccn(C)c2CC)[n-]1 ZINC001363229707 885770339 /nfs/dbraw/zinc/77/03/39/885770339.db2.gz DFQONEHMQWQAKV-SECBINFHSA-N -1 1 319.365 1.373 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccn(C)c2CC)n1 ZINC001363229707 885770347 /nfs/dbraw/zinc/77/03/47/885770347.db2.gz DFQONEHMQWQAKV-SECBINFHSA-N -1 1 319.365 1.373 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cnc(C)s2)n[n-]1 ZINC001363232407 885776871 /nfs/dbraw/zinc/77/68/71/885776871.db2.gz QRCSNPOYGYWXHW-LURJTMIESA-N -1 1 309.351 1.237 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cnc(C)s2)[n-]1 ZINC001363232407 885776882 /nfs/dbraw/zinc/77/68/82/885776882.db2.gz QRCSNPOYGYWXHW-LURJTMIESA-N -1 1 309.351 1.237 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cnc(C)s2)n1 ZINC001363232407 885776893 /nfs/dbraw/zinc/77/68/93/885776893.db2.gz QRCSNPOYGYWXHW-LURJTMIESA-N -1 1 309.351 1.237 20 0 DDADMM CC(=O)Nc1cccc2c1CN(C(=O)c1ncccc1[O-])CC2 ZINC001363240299 885799157 /nfs/dbraw/zinc/79/91/57/885799157.db2.gz VTPXQOGLZKEPGH-UHFFFAOYSA-N -1 1 311.341 1.944 20 0 DDADMM O=C(C[C@@H]1CC[C@@H](C(F)(F)F)O1)NCc1nc([O-])cc(=O)[nH]1 ZINC001363323013 886021978 /nfs/dbraw/zinc/02/19/78/886021978.db2.gz HLJUAMDNYRPUKC-BQBZGAKWSA-N -1 1 321.255 1.004 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc([O-])c2F)C[C@@H](C)S1(=O)=O ZINC001363379600 886177045 /nfs/dbraw/zinc/17/70/45/886177045.db2.gz NOVVMLDRJKHCIN-RKDXNWHRSA-N -1 1 301.339 1.179 20 0 DDADMM CCN(Cc1ccc([O-])c(F)c1F)[C@H](C)CS(C)(=O)=O ZINC001363430133 886317864 /nfs/dbraw/zinc/31/78/64/886317864.db2.gz UYSXXLBQPGLRLL-SECBINFHSA-N -1 1 307.362 1.925 20 0 DDADMM Cc1cc(NC(=O)CCCc2nn[n-]n2)nn1CC(F)(F)F ZINC001363457667 886391200 /nfs/dbraw/zinc/39/12/00/886391200.db2.gz UUOCQGNBNTWMFT-UHFFFAOYSA-N -1 1 317.275 1.228 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@H]1CCOC1 ZINC001363468071 886419458 /nfs/dbraw/zinc/41/94/58/886419458.db2.gz YEYVKIWQSOTRFO-AEJSXWLSSA-N -1 1 308.300 1.081 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(C)nc2)n[n-]1 ZINC001363475906 886442291 /nfs/dbraw/zinc/44/22/91/886442291.db2.gz OFGBWEXTVLCTLF-VIFPVBQESA-N -1 1 303.322 1.176 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(C)nc2)[n-]1 ZINC001363475906 886442297 /nfs/dbraw/zinc/44/22/97/886442297.db2.gz OFGBWEXTVLCTLF-VIFPVBQESA-N -1 1 303.322 1.176 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(C)nc2)n1 ZINC001363475906 886442305 /nfs/dbraw/zinc/44/23/05/886442305.db2.gz OFGBWEXTVLCTLF-VIFPVBQESA-N -1 1 303.322 1.176 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363544343 886598846 /nfs/dbraw/zinc/59/88/46/886598846.db2.gz MWQYYIQLJBMXEN-SECBINFHSA-N -1 1 306.370 1.593 20 0 DDADMM COC[C@@H](NC(=O)[C@H](C)c1c(F)cccc1F)c1nn[n-]n1 ZINC001363592582 886716057 /nfs/dbraw/zinc/71/60/57/886716057.db2.gz VQWKQTMCYXOIDM-GMSGAONNSA-N -1 1 311.292 1.085 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2cc(Cl)ncc2[O-])CCS1 ZINC001233038368 886747793 /nfs/dbraw/zinc/74/77/93/886747793.db2.gz SILCUPUBVSKTMP-LLVKDONJSA-N -1 1 316.810 1.921 20 0 DDADMM Cc1nc(N)nc(C)c1C(=O)NCCc1c(F)cc([O-])cc1F ZINC001363629408 886810238 /nfs/dbraw/zinc/81/02/38/886810238.db2.gz KSVBZWVPQMNBKY-UHFFFAOYSA-N -1 1 322.315 1.632 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC([C@H]2COC(=O)N2)CC1 ZINC001363643186 886861177 /nfs/dbraw/zinc/86/11/77/886861177.db2.gz AKDOJLLOGLJLJS-LLVKDONJSA-N -1 1 308.309 1.492 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cnsn2)[n-]c1=O ZINC001363674732 886945638 /nfs/dbraw/zinc/94/56/38/886945638.db2.gz PIXSOINZUXENPC-QMMMGPOBSA-N -1 1 307.335 1.020 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cc(C)ncn2)[n-]c1=O ZINC001363679443 886958451 /nfs/dbraw/zinc/95/84/51/886958451.db2.gz WRBQAZNGWWOCNP-LLVKDONJSA-N -1 1 315.333 1.267 20 0 DDADMM C[C@H](CC(=O)Nc1c[n-][nH]c1=O)n1cc(Br)cn1 ZINC001363711735 887043497 /nfs/dbraw/zinc/04/34/97/887043497.db2.gz UPPKYZMSVMDMRA-ZCFIWIBFSA-N -1 1 314.143 1.664 20 0 DDADMM CC(C)Cn1[n-]c(CC(=O)NCc2cnc(C(C)C)[nH]2)cc1=O ZINC001363717985 887060297 /nfs/dbraw/zinc/06/02/97/887060297.db2.gz ZGHOILNRMRTRJI-UHFFFAOYSA-N -1 1 319.409 1.538 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CC(C)(C)COC)n[n-]1 ZINC001363890326 887480485 /nfs/dbraw/zinc/48/04/85/887480485.db2.gz YIMQKNGBYICMJQ-SECBINFHSA-N -1 1 312.370 1.221 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CC(C)(C)COC)[n-]1 ZINC001363890326 887480494 /nfs/dbraw/zinc/48/04/94/887480494.db2.gz YIMQKNGBYICMJQ-SECBINFHSA-N -1 1 312.370 1.221 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CC(C)(C)COC)n1 ZINC001363890326 887480498 /nfs/dbraw/zinc/48/04/98/887480498.db2.gz YIMQKNGBYICMJQ-SECBINFHSA-N -1 1 312.370 1.221 20 0 DDADMM COC(=O)c1cccc2[n-]c(O[C@H]3C[C@@H](C(=O)OC)C3)nc21 ZINC001234040141 887582791 /nfs/dbraw/zinc/58/27/91/887582791.db2.gz WMRQJTPYANJZHZ-DTORHVGOSA-N -1 1 304.302 1.680 20 0 DDADMM COC(=O)c1cccc2nc(O[C@H]3C[C@@H](C(=O)OC)C3)[n-]c21 ZINC001234040141 887582800 /nfs/dbraw/zinc/58/28/00/887582800.db2.gz WMRQJTPYANJZHZ-DTORHVGOSA-N -1 1 304.302 1.680 20 0 DDADMM CCOC(=O)[C@@H]1c2ccoc2CCN1C(=O)c1cnncc1[O-] ZINC001364002369 887701948 /nfs/dbraw/zinc/70/19/48/887701948.db2.gz XDQZTLGJAQHDTJ-ZDUSSCGKSA-N -1 1 317.301 1.078 20 0 DDADMM CC(C)C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001384704218 887921535 /nfs/dbraw/zinc/92/15/35/887921535.db2.gz RNXSZMVKJUYBAM-QWHCGFSZSA-N -1 1 319.405 1.658 20 0 DDADMM CO[C@](C)(CO)CNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC001364111549 887946163 /nfs/dbraw/zinc/94/61/63/887946163.db2.gz ISJMFHOFRJZEAM-HNNXBMFYSA-N -1 1 324.764 1.721 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H](C(=O)Nc1c[n-][nH]c1=O)C1CCC1 ZINC001364141789 888013910 /nfs/dbraw/zinc/01/39/10/888013910.db2.gz JXAYYNUOURZRTO-SNVBAGLBSA-N -1 1 310.354 1.747 20 0 DDADMM Cc1c([C@H](C)Oc2c(C(N)=O)nc(C(C)C)[n-]c2=O)cnn1C ZINC001234561354 888098301 /nfs/dbraw/zinc/09/83/01/888098301.db2.gz LOEOGNJWTBLECR-VIFPVBQESA-N -1 1 319.365 1.586 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@H](C)c1cnn(C)c1C ZINC001234602280 888132676 /nfs/dbraw/zinc/13/26/76/888132676.db2.gz OBALYWOCKDTAGB-MRVPVSSYSA-N -1 1 309.297 1.895 20 0 DDADMM CCOC(=O)[C@@H](Oc1[n-]c(=O)c(F)cc1C(=O)OC)C1CC1 ZINC001234607016 888137636 /nfs/dbraw/zinc/13/76/36/888137636.db2.gz VKARIVYDVKPYNP-JTQLQIEISA-N -1 1 313.281 1.433 20 0 DDADMM COc1cnc(C2(NC(=O)CC(C)(C)O)CCCC2)[n-]c1=O ZINC001364316372 888366554 /nfs/dbraw/zinc/36/65/54/888366554.db2.gz CJYYZCBAQMRHFG-UHFFFAOYSA-N -1 1 309.366 1.237 20 0 DDADMM CSc1cccc(NC(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC001364368030 888471856 /nfs/dbraw/zinc/47/18/56/888471856.db2.gz SAGKBCSWTUXBAX-UHFFFAOYSA-N -1 1 319.394 1.728 20 0 DDADMM CS[C@H](C)C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001385368133 888979148 /nfs/dbraw/zinc/97/91/48/888979148.db2.gz SZNCKRWICMUDSE-SNVBAGLBSA-N -1 1 323.418 1.260 20 0 DDADMM Cc1cc2c(c(-c3cccnc3N3CCCC3)n1)C(=O)[N-]C2=O ZINC001236125236 889111706 /nfs/dbraw/zinc/11/17/06/889111706.db2.gz RRPXGDMDZSBAIT-UHFFFAOYSA-N -1 1 308.341 1.936 20 0 DDADMM CO[C@@](C)(C(=O)N(Cc1nn[n-]n1)CC(C)C)c1ccccc1 ZINC001364650211 889119672 /nfs/dbraw/zinc/11/96/72/889119672.db2.gz FRPWKQXGVHUWRO-MRXNPFEDSA-N -1 1 317.393 1.746 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H](CCCO)c1ccccc1 ZINC001364661408 889147968 /nfs/dbraw/zinc/14/79/68/889147968.db2.gz CVONUSMOBWJREO-ZDUSSCGKSA-N -1 1 315.377 1.251 20 0 DDADMM CC1(C)CCc2onc(C(=O)NC3(c4nn[n-]n4)CCC3)c2C1 ZINC001364663377 889149333 /nfs/dbraw/zinc/14/93/33/889149333.db2.gz OPORSFUHGIXEBC-UHFFFAOYSA-N -1 1 316.365 1.512 20 0 DDADMM CCCCCCC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001277991349 889282883 /nfs/dbraw/zinc/28/28/83/889282883.db2.gz WGBGYZLLQGOPHH-GFCCVEGCSA-N -1 1 309.414 1.561 20 0 DDADMM CCC(=O)NC1(C2CCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC001396197188 913346258 /nfs/dbraw/zinc/34/62/58/913346258.db2.gz MAKYRUNWXSQPQF-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CC1(CCC(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001385627875 889456594 /nfs/dbraw/zinc/45/65/94/889456594.db2.gz RGCXDFLHXJTTOL-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2C[C@H](C)n3ccnc32)sn1 ZINC001364842324 889528937 /nfs/dbraw/zinc/52/89/37/889528937.db2.gz MSSSRJXQYXWUTP-JGVFFNPUSA-N -1 1 314.392 1.333 20 0 DDADMM CS[C@@H](C)C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001385675462 889547565 /nfs/dbraw/zinc/54/75/65/889547565.db2.gz AKARQOJPPCTQMG-GXSJLCMTSA-N -1 1 323.418 1.163 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC1CCC(F)CC1 ZINC001364879180 889596230 /nfs/dbraw/zinc/59/62/30/889596230.db2.gz YWNDYXJDXBUSOU-UHFFFAOYSA-N -1 1 308.400 1.958 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC001365131109 890166455 /nfs/dbraw/zinc/16/64/55/890166455.db2.gz HZFLYWOWCLRNJM-HTQZYQBOSA-N -1 1 322.333 1.540 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)Cc1nocc1C)c1ccc(C)o1 ZINC001365134360 890173661 /nfs/dbraw/zinc/17/36/61/890173661.db2.gz JYQQMLDEDAUNIB-NSHDSACASA-N -1 1 314.363 1.692 20 0 DDADMM CC1(C(=O)NC[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001386034775 890190827 /nfs/dbraw/zinc/19/08/27/890190827.db2.gz BHWQJZBFLHRCFD-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM CSc1ncc(C(=O)N(C)C[C@@H](O)c2cccnc2)c(=O)[n-]1 ZINC001365162798 890230657 /nfs/dbraw/zinc/23/06/57/890230657.db2.gz MINUAJVTJOSRCV-LLVKDONJSA-N -1 1 320.374 1.105 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cc(N2CCNC2=O)ccc1F ZINC001365209590 890356611 /nfs/dbraw/zinc/35/66/11/890356611.db2.gz OILFZNXFLDRAFQ-UHFFFAOYSA-N -1 1 305.269 1.036 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCCC1(C)C ZINC001386243249 890629554 /nfs/dbraw/zinc/62/95/54/890629554.db2.gz RUFRMBUYFHJDLS-NEPJUHHUSA-N -1 1 319.405 1.848 20 0 DDADMM COc1cc(-c2ccc(-c3nnn[n-]3)nc2)cc(OC)c1OC ZINC001239641542 890699558 /nfs/dbraw/zinc/69/95/58/890699558.db2.gz AICCYWLOIOSQCV-UHFFFAOYSA-N -1 1 313.317 1.955 20 0 DDADMM COc1cc(-c2ccc(-c3nn[n-]n3)nc2)cc(OC)c1OC ZINC001239641542 890699565 /nfs/dbraw/zinc/69/95/65/890699565.db2.gz AICCYWLOIOSQCV-UHFFFAOYSA-N -1 1 313.317 1.955 20 0 DDADMM CC(=O)NCc1ccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)cc1 ZINC001240153144 890813235 /nfs/dbraw/zinc/81/32/35/890813235.db2.gz BOIBFEHLEGXILH-UHFFFAOYSA-N -1 1 308.337 1.802 20 0 DDADMM NC(=O)C1CCN(c2cc(-c3cc(F)ccc3[O-])ncn2)CC1 ZINC001240694553 891023166 /nfs/dbraw/zinc/02/31/66/891023166.db2.gz XWEYGGUNJCANRU-UHFFFAOYSA-N -1 1 316.336 1.690 20 0 DDADMM Cc1cc(-c2c(F)ccc([O-])c2F)nc(S(C)(=O)=O)n1 ZINC001241291900 891142253 /nfs/dbraw/zinc/14/22/53/891142253.db2.gz WWLIFCYOOXLXTJ-UHFFFAOYSA-N -1 1 300.286 1.839 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)Cc1nocc1C ZINC001365717038 891388042 /nfs/dbraw/zinc/38/80/42/891388042.db2.gz SPSIKYCINHAKGG-JTQLQIEISA-N -1 1 318.395 1.380 20 0 DDADMM CCC[C@H](C)S(=O)(=O)N[C@@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC001365720346 891394926 /nfs/dbraw/zinc/39/49/26/891394926.db2.gz LHRFFUZUVZGUTR-IUCAKERBSA-N -1 1 318.399 1.150 20 0 DDADMM CCC[C@H](C)S(=O)(=O)N[C@@H](C)c1nnc(C(=O)OCC)[n-]1 ZINC001365720346 891394944 /nfs/dbraw/zinc/39/49/44/891394944.db2.gz LHRFFUZUVZGUTR-IUCAKERBSA-N -1 1 318.399 1.150 20 0 DDADMM CCC[C@H](C)S(=O)(=O)N[C@@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC001365720346 891394960 /nfs/dbraw/zinc/39/49/60/891394960.db2.gz LHRFFUZUVZGUTR-IUCAKERBSA-N -1 1 318.399 1.150 20 0 DDADMM C[C@H](Cc1cn(C)nn1)[N-]S(=O)(=O)c1sccc1Cl ZINC001365731527 891412415 /nfs/dbraw/zinc/41/24/15/891412415.db2.gz XLYSDSNIECZPKF-SSDOTTSWSA-N -1 1 320.827 1.440 20 0 DDADMM C[C@H](CCNC(=O)C1(CCF)CC1)NC(=O)c1ncccc1[O-] ZINC001386726661 891577150 /nfs/dbraw/zinc/57/71/50/891577150.db2.gz QQKCZQKXUKHRTA-LLVKDONJSA-N -1 1 323.368 1.552 20 0 DDADMM Cc1conc1C(=O)NCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001386767929 891664576 /nfs/dbraw/zinc/66/45/76/891664576.db2.gz ZKRMOXCAMGDEBB-SNVBAGLBSA-N -1 1 318.333 1.022 20 0 DDADMM COc1ncc(-c2cc3nc[nH]c3cn2)cc1[N-]S(C)(=O)=O ZINC001244789265 891922596 /nfs/dbraw/zinc/92/25/96/891922596.db2.gz BZAAXXHTYBZDSF-UHFFFAOYSA-N -1 1 319.346 1.400 20 0 DDADMM COc1ncc(-c2ncc(CF)cn2)cc1[N-]S(C)(=O)=O ZINC001244791484 891923455 /nfs/dbraw/zinc/92/34/55/891923455.db2.gz ROOTVXGZIKMVQJ-UHFFFAOYSA-N -1 1 312.326 1.388 20 0 DDADMM CCOc1ccnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)n1 ZINC001244790724 891925524 /nfs/dbraw/zinc/92/55/24/891925524.db2.gz SEXKZSJTWWGHEO-UHFFFAOYSA-N -1 1 324.362 1.317 20 0 DDADMM COc1ncc(-c2ccc3c(n2)COC3)cc1[N-]S(C)(=O)=O ZINC001244794395 891929408 /nfs/dbraw/zinc/92/94/08/891929408.db2.gz XBPWQCHYKDJCHV-UHFFFAOYSA-N -1 1 321.358 1.554 20 0 DDADMM COc1ncc(-c2cnc(N)c(C)c2)cc1[N-]S(C)(=O)=O ZINC001244794507 891932534 /nfs/dbraw/zinc/93/25/34/891932534.db2.gz QVBZWECJUAFKRK-UHFFFAOYSA-N -1 1 308.363 1.414 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)N=c1[nH][nH]cc1C ZINC000341416938 892240767 /nfs/dbraw/zinc/24/07/67/892240767.db2.gz CKDROGGSMNWJQR-UHFFFAOYSA-N -1 1 308.363 1.154 20 0 DDADMM C[S@](=O)CCCNC(=S)Nc1cc([O-])c(F)cc1F ZINC001246510884 892668679 /nfs/dbraw/zinc/66/86/79/892668679.db2.gz XQOAIRLMLUGCTG-IBGZPJMESA-N -1 1 308.375 1.725 20 0 DDADMM O=C(CCCF)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001387345707 892883208 /nfs/dbraw/zinc/88/32/08/892883208.db2.gz BAITYJBTYSHWSG-NSHDSACASA-N -1 1 309.341 1.258 20 0 DDADMM Cc1cc(-c2noc(Cc3nnn[n-]3)n2)ccc1Br ZINC001247142526 893121062 /nfs/dbraw/zinc/12/10/62/893121062.db2.gz OATHQGAMAVMWAK-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM Cc1cc(-c2noc(Cc3nn[n-]n3)n2)ccc1Br ZINC001247142526 893121075 /nfs/dbraw/zinc/12/10/75/893121075.db2.gz OATHQGAMAVMWAK-UHFFFAOYSA-N -1 1 321.138 1.911 20 0 DDADMM C[C@H](CNC(=O)c1csc(Cl)c1)NCc1n[nH]c(=O)[n-]1 ZINC001366333326 893198663 /nfs/dbraw/zinc/19/86/63/893198663.db2.gz SFIMMMXLMHTKGY-ZCFIWIBFSA-N -1 1 315.786 1.133 20 0 DDADMM C[C@@H](C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC001387897581 894111492 /nfs/dbraw/zinc/11/14/92/894111492.db2.gz SBZBFIUWCZNJHW-NSHDSACASA-N -1 1 319.405 1.658 20 0 DDADMM CN1Cc2ccc([N-]S(=O)(=O)c3cccc(N)c3)cc2C1=O ZINC001249982585 894178321 /nfs/dbraw/zinc/17/83/21/894178321.db2.gz YOKXOROVEGJGIH-UHFFFAOYSA-N -1 1 317.370 1.655 20 0 DDADMM CCO[C@@H](CC)C(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001388425000 895214157 /nfs/dbraw/zinc/21/41/57/895214157.db2.gz OTDHRGUSWGNHGN-YPMHNXCESA-N -1 1 323.393 1.084 20 0 DDADMM Cc1cc(C(=O)NC[C@H](C)CNC(=O)c2ncccc2[O-])co1 ZINC001388431046 895223846 /nfs/dbraw/zinc/22/38/46/895223846.db2.gz CWQVQIQOJOEPSX-JTQLQIEISA-N -1 1 317.345 1.485 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001388622282 895562555 /nfs/dbraw/zinc/56/25/55/895562555.db2.gz JIQQWPWKPBFLJS-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@H](CNC(=O)C(C)(C)C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001367101935 895870277 /nfs/dbraw/zinc/87/02/77/895870277.db2.gz QDZAIBKKRKDCRZ-GFCCVEGCSA-N -1 1 323.441 1.711 20 0 DDADMM CC(C)(C)CC(=O)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001367209795 896141734 /nfs/dbraw/zinc/14/17/34/896141734.db2.gz QMOJSSSMJLEVLB-UHFFFAOYSA-N -1 1 323.441 1.665 20 0 DDADMM CCCc1ccc(S(=O)(=O)Nc2c([O-])nc[nH]c2=O)cc1 ZINC001255159059 896760740 /nfs/dbraw/zinc/76/07/40/896760740.db2.gz XQJZWSUHYWIRCH-UHFFFAOYSA-N -1 1 309.347 1.641 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H]([NH2+]CCP(=O)([O-])[O-])C1 ZINC001255897520 897153068 /nfs/dbraw/zinc/15/30/68/897153068.db2.gz CZWKSNWITQLZHI-SNVBAGLBSA-N -1 1 308.315 1.153 20 0 DDADMM CCn1c2ccccc2nc1[C@H](C)NC(=O)CCc1nn[n-]n1 ZINC001293003536 914152931 /nfs/dbraw/zinc/15/29/31/914152931.db2.gz DBILOOFYPREQGJ-JTQLQIEISA-N -1 1 313.365 1.379 20 0 DDADMM CC[C@H](C)CC(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001389705657 897829792 /nfs/dbraw/zinc/82/97/92/897829792.db2.gz ARISNQFXYTYJJV-STQMWFEESA-N -1 1 323.441 1.617 20 0 DDADMM O=c1[nH]c2ccc(S(=O)(=O)[N-]c3ccnc(CO)c3)cc2o1 ZINC001259378319 898642219 /nfs/dbraw/zinc/64/22/19/898642219.db2.gz UTNTVJPFIBZSJB-UHFFFAOYSA-N -1 1 321.314 1.222 20 0 DDADMM CC(C)CNC(=O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259460515 898680345 /nfs/dbraw/zinc/68/03/45/898680345.db2.gz JEKZQJBUNACUON-UHFFFAOYSA-N -1 1 322.789 1.530 20 0 DDADMM O=C(CNS(=O)(=O)CCc1ccccc1)c1ccc([O-])cc1 ZINC001259741986 898772990 /nfs/dbraw/zinc/77/29/90/898772990.db2.gz HPPBERAOMQOIDB-UHFFFAOYSA-N -1 1 319.382 1.737 20 0 DDADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)[C@@H]1CC12CC2 ZINC001368140516 898790125 /nfs/dbraw/zinc/79/01/25/898790125.db2.gz WIBFDYRYYWYHLX-NWDGAFQWSA-N -1 1 319.409 1.075 20 0 DDADMM COC(=O)c1cc(F)cc([N-]S(=O)(=O)C2CCOCC2)c1 ZINC001259915156 898924779 /nfs/dbraw/zinc/92/47/79/898924779.db2.gz PYOTZZLTKGNAMH-UHFFFAOYSA-N -1 1 317.338 1.533 20 0 DDADMM CN1CCN(Cc2cccc([N-]S(=O)(=O)C(F)F)c2)CC1 ZINC001259958019 898979372 /nfs/dbraw/zinc/97/93/72/898979372.db2.gz OWGXFXFHBFPKGH-UHFFFAOYSA-N -1 1 319.377 1.398 20 0 DDADMM O=C(c1ccc(F)cc1)N1CC[C@H]([N-]S(=O)(=O)C(F)F)C1 ZINC001259963618 898986438 /nfs/dbraw/zinc/98/64/38/898986438.db2.gz HGMXWWDKKIDILI-JTQLQIEISA-N -1 1 322.308 1.182 20 0 DDADMM O=S(=O)([N-][C@@H](CO)Cc1ccc(F)cc1F)C(F)F ZINC001259965042 898988135 /nfs/dbraw/zinc/98/81/35/898988135.db2.gz SVSWLHFKCYIARJ-MRVPVSSYSA-N -1 1 301.261 1.010 20 0 DDADMM COCCS(=O)(=O)[N-]c1c(Br)cccc1OC ZINC001259971590 898995449 /nfs/dbraw/zinc/99/54/49/898995449.db2.gz BKUROZSRXQNELA-UHFFFAOYSA-N -1 1 324.196 1.846 20 0 DDADMM COC(=O)c1scc(-c2ccccc2)c1[N-]S(N)(=O)=O ZINC001260074035 899038715 /nfs/dbraw/zinc/03/87/15/899038715.db2.gz BWXLRECVACFUES-UHFFFAOYSA-N -1 1 312.372 1.817 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@@H]1CCCCN1Cc1cccnc1 ZINC001261224403 899424684 /nfs/dbraw/zinc/42/46/84/899424684.db2.gz HEUBLHBZPCRNRP-INIZCTEOSA-N -1 1 321.421 1.909 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(Cc2cc(F)c([O-])c(F)c2)C1 ZINC000381185753 900637446 /nfs/dbraw/zinc/63/74/46/900637446.db2.gz QUFAYVVSMPWOPW-JTQLQIEISA-N -1 1 305.346 1.679 20 0 DDADMM O=C([N-]c1c(Cl)cncc1Cl)c1nc2ncccn2n1 ZINC001265890826 902548300 /nfs/dbraw/zinc/54/83/00/902548300.db2.gz AJLBHBMMRODCSP-UHFFFAOYSA-N -1 1 309.116 1.500 20 0 DDADMM CC(C)C(=O)N[C@H](CCNC(=O)c1ncccc1[O-])C(C)C ZINC001370362224 903006612 /nfs/dbraw/zinc/00/66/12/903006612.db2.gz HWOQNLATCROWEY-GFCCVEGCSA-N -1 1 307.394 1.704 20 0 DDADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001392168433 903437067 /nfs/dbraw/zinc/43/70/67/903437067.db2.gz OHUMTNLUCZAFAM-MROQNXINSA-N -1 1 321.425 1.177 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1(C)C(C)(C)C1(C)C ZINC001392539486 904400711 /nfs/dbraw/zinc/40/07/11/904400711.db2.gz BAYYXGYQBFIAMS-SECBINFHSA-N -1 1 309.414 1.177 20 0 DDADMM CCCc1occc1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282981419 906391824 /nfs/dbraw/zinc/39/18/24/906391824.db2.gz LJTYVDJNPWUSGB-UHFFFAOYSA-N -1 1 317.345 1.493 20 0 DDADMM CC(C)(C)CCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001372245443 906805035 /nfs/dbraw/zinc/80/50/35/906805035.db2.gz IFAXSRGPRSDHTG-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NCCCNC(=O)c1ncccc1[O-])c1cc(Cl)c[nH]1 ZINC001283181541 906823420 /nfs/dbraw/zinc/82/34/20/906823420.db2.gz RVYAFGNRYUMNAD-UHFFFAOYSA-N -1 1 322.752 1.319 20 0 DDADMM CCN(CCCNC(=O)C1CC(C)C1)C(=O)c1ncccc1[O-] ZINC001283708639 907828385 /nfs/dbraw/zinc/82/83/85/907828385.db2.gz RXBXKBCDDBVISN-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@@H]1CCN(C(=O)NCCc2c(F)cc([O-])cc2F)C[C@H]1O ZINC001372727952 908072259 /nfs/dbraw/zinc/07/22/59/908072259.db2.gz DFRKLLUJNQAETC-YMTOWFKASA-N -1 1 314.332 1.625 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)C[C@H]1C=CCC1 ZINC001284422390 908974423 /nfs/dbraw/zinc/97/44/23/908974423.db2.gz FYJWZAAHKJQQKD-OLZOCXBDSA-N -1 1 317.389 1.768 20 0 DDADMM CC(C)OCCC(=O)N[C@H](C)CCNC(=O)c1ncccc1[O-] ZINC001373262135 909341375 /nfs/dbraw/zinc/34/13/75/909341375.db2.gz RUVYPPSZNSVXMK-GFCCVEGCSA-N -1 1 323.393 1.227 20 0 DDADMM C[C@H]1CCC[C@H]1CC(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001373412443 909790710 /nfs/dbraw/zinc/79/07/10/909790710.db2.gz IUPWZDLZIDWMRS-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001373413780 909793760 /nfs/dbraw/zinc/79/37/60/909793760.db2.gz OYHBUBTWYYBKRS-STQMWFEESA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H](CNC(=O)c1cccnc1)N(C)C(=O)c1ncccc1[O-] ZINC001395030993 911166376 /nfs/dbraw/zinc/16/63/76/911166376.db2.gz SRXKKKQZTSOJTH-NSHDSACASA-N -1 1 314.345 1.073 20 0 DDADMM Cc1[nH]ccc1C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001376234007 918031578 /nfs/dbraw/zinc/03/15/78/918031578.db2.gz PBUFKMMQYFKPIY-UHFFFAOYSA-N -1 1 316.361 1.268 20 0 DDADMM CC1CC(C(=O)N(C)CCN(C)C(=O)c2ncccc2[O-])C1 ZINC001376240488 918046110 /nfs/dbraw/zinc/04/61/10/918046110.db2.gz WBQISJHQCFIQKJ-UHFFFAOYSA-N -1 1 305.378 1.364 20 0 DDADMM CCC[C@@H]1CCC[C@@H]1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000622869776 365549255 /nfs/dbraw/zinc/54/92/55/365549255.db2.gz FYYJBWWSHWNBKP-MNOVXSKESA-N -1 1 303.366 1.881 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC3=CCCCC3)nc2n1 ZINC000622995314 365588422 /nfs/dbraw/zinc/58/84/22/365588422.db2.gz XKKFJAMSSWLNDX-UHFFFAOYSA-N -1 1 301.350 1.809 20 0 DDADMM O=C(Cc1ncccc1F)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614473871 361874563 /nfs/dbraw/zinc/87/45/63/361874563.db2.gz MVKIDTQYRGAKQR-UHFFFAOYSA-N -1 1 306.297 1.258 20 0 DDADMM COCCN(CC(=O)OC(C)(C)C)C(=O)c1ncccc1[O-] ZINC000614618264 361944697 /nfs/dbraw/zinc/94/46/97/361944697.db2.gz SCSYTVRGRIDBOM-UHFFFAOYSA-N -1 1 310.350 1.218 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CCC1)c1cc(F)c(F)cc1F ZINC000452052611 231282970 /nfs/dbraw/zinc/28/29/70/231282970.db2.gz MUICUPQYCCGCAJ-LLVKDONJSA-N -1 1 309.309 1.543 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1c[nH]c2ccc(F)cc12)c1nn[n-]n1 ZINC000183421836 539242081 /nfs/dbraw/zinc/24/20/81/539242081.db2.gz YTLAYEOQWGXFGI-VIFPVBQESA-N -1 1 316.340 1.625 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2CC3(CC3)C2)c1 ZINC000615053142 362128034 /nfs/dbraw/zinc/12/80/34/362128034.db2.gz ARXKNSJZPMIKMS-UHFFFAOYSA-N -1 1 310.375 1.429 20 0 DDADMM N[C@@H](Cc1c[nH]c2ccccc12)C(=O)N(CC(=O)[O-])CC1CC1 ZINC000322011883 539297557 /nfs/dbraw/zinc/29/75/57/539297557.db2.gz SPFBHERZBHEMSI-AWEZNQCLSA-N -1 1 315.373 1.361 20 0 DDADMM O=C([O-])c1cc(NC(=O)[C@H]2Cc3ccccc3CN2)ccc1O ZINC000322045997 539297577 /nfs/dbraw/zinc/29/75/77/539297577.db2.gz ZWYDHEWIPZSMDK-CQSZACIVSA-N -1 1 312.325 1.743 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCN1C(=O)CCc2ccccc21 ZINC000615228512 362203796 /nfs/dbraw/zinc/20/37/96/362203796.db2.gz YMJPBAPDCVWPFH-UHFFFAOYSA-N -1 1 314.345 1.732 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C[C@H]2C[C@H]2C1 ZINC000333401230 539320595 /nfs/dbraw/zinc/32/05/95/539320595.db2.gz FTAZOSQVFIBKMQ-ZSBIGDGJSA-N -1 1 300.362 1.509 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2ncccc2C(F)(F)F)n[n-]1 ZINC000431583595 529744374 /nfs/dbraw/zinc/74/43/74/529744374.db2.gz FMBPFWFFNBXVKX-UHFFFAOYSA-N -1 1 320.296 1.755 20 0 DDADMM COCCS(=O)(=O)CC(=O)Nc1ccc([O-])c(Cl)c1 ZINC000272750684 210156168 /nfs/dbraw/zinc/15/61/68/210156168.db2.gz OEINYEHMEFQWAL-UHFFFAOYSA-N -1 1 307.755 1.045 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(F)ccc2C)n[n-]1 ZINC000615920416 362488182 /nfs/dbraw/zinc/48/81/82/362488182.db2.gz SRQOPWVMZHBCTG-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(F)ccc2C)n1 ZINC000615920416 362488187 /nfs/dbraw/zinc/48/81/87/362488187.db2.gz SRQOPWVMZHBCTG-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2C[C@H]2C2CCC2)co1 ZINC000424905746 530025381 /nfs/dbraw/zinc/02/53/81/530025381.db2.gz JZAHUORJDVBMEK-JQWIXIFHSA-N -1 1 312.391 1.354 20 0 DDADMM COC[C@@H](C)[C@@H](C)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616004523 362516641 /nfs/dbraw/zinc/51/66/41/362516641.db2.gz XLPIRTJORCLPRS-RNFRBKRXSA-N -1 1 304.188 1.760 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1CC[C@H](C(F)(F)F)C1 ZINC000357682257 539478062 /nfs/dbraw/zinc/47/80/62/539478062.db2.gz AHOQAZDAXWCVON-YUMQZZPRSA-N -1 1 318.299 1.417 20 0 DDADMM C[C@](CO)(CNC(=O)c1ncccc1[O-])Cc1ccccc1 ZINC000360294976 539493285 /nfs/dbraw/zinc/49/32/85/539493285.db2.gz RLKDPNFFSQISRS-QGZVFWFLSA-N -1 1 300.358 1.758 20 0 DDADMM Cc1csc(N(C)C(=O)c2cnc3n(C)[n-]cc-3c2=O)n1 ZINC000358336260 299124592 /nfs/dbraw/zinc/12/45/92/299124592.db2.gz WJEDCPPHCCHJQS-UHFFFAOYSA-N -1 1 303.347 1.715 20 0 DDADMM C[C@@H]1C[C@H](c2ccc(F)cc2)CN1C(=O)CCc1nn[n-]n1 ZINC000631395178 422737252 /nfs/dbraw/zinc/73/72/52/422737252.db2.gz NUJUCBMZDLQAJV-PWSUYJOCSA-N -1 1 303.341 1.676 20 0 DDADMM CO[C@@H]1CCC[C@H]1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358342799 299126427 /nfs/dbraw/zinc/12/64/27/299126427.db2.gz UJAXDQXYTOVZTI-JOYOIKCWSA-N -1 1 304.350 1.219 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@H]2CCCCO2)c(O)c1 ZINC000442835937 539530838 /nfs/dbraw/zinc/53/08/38/539530838.db2.gz GAVGGQBNPIXUMX-LLVKDONJSA-N -1 1 301.364 1.712 20 0 DDADMM Cc1cc(-c2nc3cccnc3c(=O)[n-]2)ccc1-n1cncn1 ZINC000491822218 539545486 /nfs/dbraw/zinc/54/54/86/539545486.db2.gz USSJNFUGDGEZIG-UHFFFAOYSA-N -1 1 304.313 1.874 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3CCCC3)c(=O)c2c(OC)c1 ZINC000623351227 365827839 /nfs/dbraw/zinc/82/78/39/365827839.db2.gz HTWOMJYCXPVBQQ-UHFFFAOYSA-N -1 1 302.330 1.781 20 0 DDADMM O=C(NCc1nnc2n1CCCCC2)c1c([O-])cccc1F ZINC000119306280 185723459 /nfs/dbraw/zinc/72/34/59/185723459.db2.gz ZGWBFMRWZIQSNJ-UHFFFAOYSA-N -1 1 304.325 1.779 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])[C@H]1CCCCS1(=O)=O ZINC000279691974 215065217 /nfs/dbraw/zinc/06/52/17/215065217.db2.gz ILBIEQQPPLBIEA-MRVPVSSYSA-N -1 1 304.755 1.346 20 0 DDADMM Cc1cc2c(cc1C)O[C@H](C(=O)/N=c1/ccnc3n(C)[n-]cc1-3)C2 ZINC000279747228 215111459 /nfs/dbraw/zinc/11/14/59/215111459.db2.gz KUEYEELYOPTXGR-AKGOGDBKSA-N -1 1 322.368 1.901 20 0 DDADMM Cn1ccnc1[C@H](NC(=O)c1c([O-])cccc1F)C(C)(C)CO ZINC000616865636 362851979 /nfs/dbraw/zinc/85/19/79/362851979.db2.gz WEHTYWQONZIMTO-ZDUSSCGKSA-N -1 1 321.352 1.754 20 0 DDADMM CC1(C)CCC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000353872199 290864751 /nfs/dbraw/zinc/86/47/51/290864751.db2.gz SGRYCGWEIFFNHJ-UHFFFAOYSA-N -1 1 303.366 1.364 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)C[C@@H](O)CC(F)(F)F ZINC000189985661 186258900 /nfs/dbraw/zinc/25/89/00/186258900.db2.gz UAKXFAIVYPTLCN-ZETCQYMHSA-N -1 1 321.324 1.730 20 0 DDADMM O=C(Nc1nn[n-]n1)c1nn(-c2ccccc2F)c2c1CCC2 ZINC000076963802 304023097 /nfs/dbraw/zinc/02/30/97/304023097.db2.gz MABWOVCIWYYRBR-UHFFFAOYSA-N -1 1 313.296 1.266 20 0 DDADMM O=C(CCNC(=O)c1ccco1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000036714127 352295254 /nfs/dbraw/zinc/29/52/54/352295254.db2.gz WKENOJJZQRIMAA-UHFFFAOYSA-N -1 1 317.227 1.175 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2ccsc2)o1 ZINC000042971417 352356883 /nfs/dbraw/zinc/35/68/83/352356883.db2.gz QQXYRKSYVGIELJ-UHFFFAOYSA-N -1 1 300.361 1.179 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCC(=O)OC(C)(C)C)c1Cl ZINC000173213590 198196399 /nfs/dbraw/zinc/19/63/99/198196399.db2.gz LACSSWAWTXILIW-UHFFFAOYSA-N -1 1 323.802 1.084 20 0 DDADMM C[C@H](NC(=O)C=Cc1ccccc1)C(=O)Nc1ccncc1[O-] ZINC000492072801 234376917 /nfs/dbraw/zinc/37/69/17/234376917.db2.gz TWOGVINEVOFVJE-GUOLPTJISA-N -1 1 311.341 1.366 20 0 DDADMM COC(=O)[C@@]1(F)CCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000074829838 353333523 /nfs/dbraw/zinc/33/35/23/353333523.db2.gz VXDOISHADVWMPB-CYBMUJFWSA-N -1 1 301.701 1.773 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)C(=O)N3CCCCCCC3)ccnc1-2 ZINC000287266428 219416539 /nfs/dbraw/zinc/41/65/39/219416539.db2.gz BGICAGOOFYYDIX-UYRXBGFRSA-N -1 1 315.377 1.073 20 0 DDADMM CCOc1cccc(NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)c1 ZINC000080407162 353606711 /nfs/dbraw/zinc/60/67/11/353606711.db2.gz LMXFFVQPBVVSFH-UHFFFAOYSA-N -1 1 324.358 1.839 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2cnc3ccsc3c2)no1 ZINC000083995856 353715846 /nfs/dbraw/zinc/71/58/46/353715846.db2.gz OETQRNKZIFZTGP-UHFFFAOYSA-N -1 1 310.360 1.466 20 0 DDADMM O=c1[n-]c(CN2CCC[C@@H]2C[C@@H](O)c2ccc(F)cc2)n[nH]1 ZINC000091632047 353832426 /nfs/dbraw/zinc/83/24/26/353832426.db2.gz HLUVRMMQLPVGKF-CHWSQXEVSA-N -1 1 306.341 1.325 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2Cc3ccccc32)o1 ZINC000107699744 353945848 /nfs/dbraw/zinc/94/58/48/353945848.db2.gz ASCXLKWKZVPCAQ-NSHDSACASA-N -1 1 321.354 1.684 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(C2CC2)C1)c1nc2ccccc2s1 ZINC000584836199 354779416 /nfs/dbraw/zinc/77/94/16/354779416.db2.gz ICRANFTXCZYYFY-JTQLQIEISA-N -1 1 323.443 1.811 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)c1ccco1 ZINC000584837025 354779507 /nfs/dbraw/zinc/77/95/07/354779507.db2.gz SVEUZTPDMJQSCG-DGCLKSJQSA-N -1 1 303.380 1.312 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC[C@@H](C)OC)o1 ZINC000358874797 291065349 /nfs/dbraw/zinc/06/53/49/291065349.db2.gz JMYDTSXAANRZBJ-SECBINFHSA-N -1 1 305.352 1.160 20 0 DDADMM O=C(NC[C@H](O)COc1ccc(F)cc1)c1ncccc1[O-] ZINC000171272128 306683765 /nfs/dbraw/zinc/68/37/65/306683765.db2.gz RAZMYGYCYAYNFH-NSHDSACASA-N -1 1 306.293 1.096 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1c(F)cccc1Cl)C(=O)OC ZINC000592076798 355486388 /nfs/dbraw/zinc/48/63/88/355486388.db2.gz DOFKPALGWTXGFC-QMMMGPOBSA-N -1 1 323.773 1.957 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CC2(C(=O)OCC)CCC2)[n-]1 ZINC000593900394 356048208 /nfs/dbraw/zinc/04/82/08/356048208.db2.gz DOUNGOSWRAFTJM-UHFFFAOYSA-N -1 1 323.349 1.648 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CC2(C(=O)OCC)CCC2)n1 ZINC000593900394 356048212 /nfs/dbraw/zinc/04/82/12/356048212.db2.gz DOUNGOSWRAFTJM-UHFFFAOYSA-N -1 1 323.349 1.648 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)C1CC1 ZINC000594864421 356343412 /nfs/dbraw/zinc/34/34/12/356343412.db2.gz VTDAMZYNOGTMIZ-ZDUSSCGKSA-N -1 1 301.364 1.923 20 0 DDADMM Cc1cnc(SCC(=O)NC(=O)NC2CCCC2)[n-]c1=O ZINC000594897692 356350074 /nfs/dbraw/zinc/35/00/74/356350074.db2.gz SIXWRXHWFLDALG-UHFFFAOYSA-N -1 1 310.379 1.351 20 0 DDADMM CCOC(C)(C)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595326231 356450118 /nfs/dbraw/zinc/45/01/18/356450118.db2.gz ANXBRCWNPUTTSW-UHFFFAOYSA-N -1 1 319.379 1.468 20 0 DDADMM NC(=O)[C@H]1CCC[C@H](NC(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000631416455 422751290 /nfs/dbraw/zinc/75/12/90/422751290.db2.gz WHCCOKUJZFRSHL-QWRGUYRKSA-N -1 1 310.781 1.748 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@H]1CCCC[C@H]1O ZINC000085985427 192542342 /nfs/dbraw/zinc/54/23/42/192542342.db2.gz VYSGEURRYMKELO-RKDXNWHRSA-N -1 1 324.855 1.934 20 0 DDADMM COC(=O)[C@@](C)([N-]S(=O)(=O)CC1(OC)CCCC1)C1CC1 ZINC000601349650 358510772 /nfs/dbraw/zinc/51/07/72/358510772.db2.gz HPXWYBXQRRDVAC-ZDUSSCGKSA-N -1 1 319.423 1.207 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCCCSC)c1 ZINC000601350582 358511090 /nfs/dbraw/zinc/51/10/90/358511090.db2.gz SZBRSWPRARQKOS-UHFFFAOYSA-N -1 1 305.421 1.822 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@@H](C)S1=O ZINC000597560319 357227835 /nfs/dbraw/zinc/22/78/35/357227835.db2.gz YFKFAPKTIJIMQI-GHMZBOCLSA-N -1 1 318.398 1.922 20 0 DDADMM COC(=O)[C@@H]1OCC[C@@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000598014606 357426023 /nfs/dbraw/zinc/42/60/23/357426023.db2.gz JWNGMUQNAOHIPW-SMDDNHRTSA-N -1 1 316.313 1.001 20 0 DDADMM Cc1oc(-c2ccsc2)nc1CC(=O)NCc1nn[n-]n1 ZINC000600496310 358241066 /nfs/dbraw/zinc/24/10/66/358241066.db2.gz BHYKACQYKFTNGK-UHFFFAOYSA-N -1 1 304.335 1.084 20 0 DDADMM NC(=O)C1(C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)CC1 ZINC000600936131 358347790 /nfs/dbraw/zinc/34/77/90/358347790.db2.gz IOCWNGAIHUIGFX-UHFFFAOYSA-N -1 1 316.357 1.079 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCSCc1ccccc1F ZINC000600954086 358354891 /nfs/dbraw/zinc/35/48/91/358354891.db2.gz NEAXPJARCHNAFD-UHFFFAOYSA-N -1 1 309.370 1.321 20 0 DDADMM COC(=O)C1(CNC(=O)C(=O)c2ccc([O-])cc2)CCCCC1 ZINC000280697979 215799521 /nfs/dbraw/zinc/79/95/21/215799521.db2.gz AFSNBAIFNKYMGJ-UHFFFAOYSA-N -1 1 319.357 1.815 20 0 DDADMM CCc1nn(C)c(OC)c1CNC(=O)C(=O)c1ccc([O-])cc1 ZINC000280726260 215823109 /nfs/dbraw/zinc/82/31/09/215823109.db2.gz COJPGEBFWAXVEE-UHFFFAOYSA-N -1 1 317.345 1.196 20 0 DDADMM COC(=O)[C@@H]1C[C@H](F)CN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601549092 358597494 /nfs/dbraw/zinc/59/74/94/358597494.db2.gz WCVMIVDHOYKPNC-ONGXEEELSA-N -1 1 315.728 1.700 20 0 DDADMM COC(=O)CCCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000602197201 358877085 /nfs/dbraw/zinc/87/70/85/358877085.db2.gz SFJZXALEBBIOBZ-UHFFFAOYSA-N -1 1 303.318 1.860 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2(C(F)F)CCC2)n[n-]1 ZINC000603024151 359365455 /nfs/dbraw/zinc/36/54/55/359365455.db2.gz VDOWKNBHYJLHAG-UHFFFAOYSA-N -1 1 302.281 1.033 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2(C(F)F)CCC2)n1 ZINC000603024151 359365462 /nfs/dbraw/zinc/36/54/62/359365462.db2.gz VDOWKNBHYJLHAG-UHFFFAOYSA-N -1 1 302.281 1.033 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2CCCSC2)n[n-]1 ZINC000603158464 359446084 /nfs/dbraw/zinc/44/60/84/359446084.db2.gz ZCZGGSNFORQUCO-RKDXNWHRSA-N -1 1 312.395 1.302 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2CCCSC2)[n-]1 ZINC000603158464 359446087 /nfs/dbraw/zinc/44/60/87/359446087.db2.gz ZCZGGSNFORQUCO-RKDXNWHRSA-N -1 1 312.395 1.302 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2CCCSC2)n1 ZINC000603158464 359446089 /nfs/dbraw/zinc/44/60/89/359446089.db2.gz ZCZGGSNFORQUCO-RKDXNWHRSA-N -1 1 312.395 1.302 20 0 DDADMM CCOCCS(=O)(=O)[N-]Cc1nc(C2(C)CCCC2)no1 ZINC000624758035 366545032 /nfs/dbraw/zinc/54/50/32/366545032.db2.gz TXXMRAKOHDBJDT-UHFFFAOYSA-N -1 1 317.411 1.357 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCCCSC)co1 ZINC000188259154 200217876 /nfs/dbraw/zinc/21/78/76/200217876.db2.gz VZDAENHOLWSWTE-UHFFFAOYSA-N -1 1 320.436 1.451 20 0 DDADMM CC(=O)Nc1cccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000605381349 359847659 /nfs/dbraw/zinc/84/76/59/359847659.db2.gz HWVGBOMIUFEVAI-UHFFFAOYSA-N -1 1 314.349 1.357 20 0 DDADMM CCN(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000188440085 200246486 /nfs/dbraw/zinc/24/64/86/200246486.db2.gz ZHNGPAUMLGEVPZ-MRVPVSSYSA-N -1 1 306.334 1.110 20 0 DDADMM O=C(CCOc1ccccc1F)NC1(c2nn[n-]n2)CCCC1 ZINC000605530243 359866623 /nfs/dbraw/zinc/86/66/23/359866623.db2.gz JEBOHRXDGJKCPV-UHFFFAOYSA-N -1 1 319.340 1.693 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1c(F)cc(OC)cc1F)C(C)C ZINC000608028714 360106516 /nfs/dbraw/zinc/10/65/16/360106516.db2.gz AFHIADCQTPCIAO-LBPRGKRZSA-N -1 1 323.361 1.923 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccc(Cl)o2)o1 ZINC000609351628 360301084 /nfs/dbraw/zinc/30/10/84/360301084.db2.gz IUGGOWTWBFMOFW-UHFFFAOYSA-N -1 1 319.722 1.791 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)C[C@@H]2CCOC2)o1 ZINC000610739559 360522286 /nfs/dbraw/zinc/52/22/86/360522286.db2.gz CILZWXDIZNOBSY-ZJUUUORDSA-N -1 1 317.363 1.160 20 0 DDADMM O=C([O-])CCN(CCn1cncn1)Cc1cccc(F)c1F ZINC000565837354 304096918 /nfs/dbraw/zinc/09/69/18/304096918.db2.gz CBLLYNFGVKBYGV-UHFFFAOYSA-N -1 1 310.304 1.533 20 0 DDADMM O=C(NCCCO[C@H]1CCOC1)c1ncc2ccccc2c1[O-] ZINC000612257180 360951981 /nfs/dbraw/zinc/95/19/81/360951981.db2.gz YICQBYGKLJJVJK-ZDUSSCGKSA-N -1 1 316.357 1.866 20 0 DDADMM C[C@@H](CCS(C)(=O)=O)NC(=O)c1ncc2ccccc2c1[O-] ZINC000612442622 361018884 /nfs/dbraw/zinc/01/88/84/361018884.db2.gz UPAWKOYGZYZUTQ-JTQLQIEISA-N -1 1 322.386 1.493 20 0 DDADMM Cn1ncc2c1nc(Sc1nnc(C3CCC3)n1C)[n-]c2=O ZINC000359075672 299321289 /nfs/dbraw/zinc/32/12/89/299321289.db2.gz ISZCOERPDPPXCI-UHFFFAOYSA-N -1 1 317.378 1.616 20 0 DDADMM CSc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(C)o1 ZINC000612817918 361155892 /nfs/dbraw/zinc/15/58/92/361155892.db2.gz SJKFXQBCRYJFAX-SECBINFHSA-N -1 1 307.379 1.843 20 0 DDADMM O=C(c1onc2c1CCCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612819070 361157161 /nfs/dbraw/zinc/15/71/61/361157161.db2.gz YHMOSYRHCBLWHU-SECBINFHSA-N -1 1 302.338 1.086 20 0 DDADMM CCOc1cc(C(=O)N(C)Cc2cn(C)nn2)cc(Cl)c1[O-] ZINC000618814320 363767136 /nfs/dbraw/zinc/76/71/36/363767136.db2.gz NWTRYEATIJGRTK-UHFFFAOYSA-N -1 1 324.768 1.845 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)Cc1cn(C)nn1 ZINC000618802987 363761576 /nfs/dbraw/zinc/76/15/76/363761576.db2.gz LTNGVXOSDCDLFQ-UHFFFAOYSA-N -1 1 318.381 1.189 20 0 DDADMM CN(Cc1cn(C)nn1)C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000618807107 363763610 /nfs/dbraw/zinc/76/36/10/363763610.db2.gz MOLYDLPFPNNERR-UHFFFAOYSA-N -1 1 314.267 1.812 20 0 DDADMM CC(=O)C[C@@H]1COCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000613413619 361410394 /nfs/dbraw/zinc/41/03/94/361410394.db2.gz NFPGCBOAECGOQZ-CYBMUJFWSA-N -1 1 314.341 1.761 20 0 DDADMM CC(C)OC[C@H](CO)N(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000614019007 361661784 /nfs/dbraw/zinc/66/17/84/361661784.db2.gz NZNJEISBQYAPHG-ZDUSSCGKSA-N -1 1 318.373 1.798 20 0 DDADMM COC[C@@H](NC(=O)CCc1nn[n-]n1)c1cccc(Cl)c1 ZINC000633120991 422765758 /nfs/dbraw/zinc/76/57/58/422765758.db2.gz JWGBZMPYMYEMJI-LLVKDONJSA-N -1 1 309.757 1.290 20 0 DDADMM CC(C)(CNC(=O)c1c([O-])cccc1F)[C@@]1(O)CCCOC1 ZINC000620117173 364295596 /nfs/dbraw/zinc/29/55/96/364295596.db2.gz MEEFWWWBQQFROR-MRXNPFEDSA-N -1 1 311.353 1.829 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000625493797 366964079 /nfs/dbraw/zinc/96/40/79/366964079.db2.gz XGZJBUIDQJSTEJ-SECBINFHSA-N -1 1 307.730 1.115 20 0 DDADMM CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@@H]3CN2C(=O)C(=O)c1ccc([O-])cc1 ZINC000288189682 220007987 /nfs/dbraw/zinc/00/79/87/220007987.db2.gz BIPOJZFDHSBVTM-OYCUPVHPSA-N -1 1 301.342 1.457 20 0 DDADMM COc1cc(C(=O)N2CCc3[nH]cnc3C2)cc(Cl)c1[O-] ZINC000627365380 368143753 /nfs/dbraw/zinc/14/37/53/368143753.db2.gz NKFIMYATOJFWSY-UHFFFAOYSA-N -1 1 307.737 1.976 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)Nc1cccc(C(=O)NC)c1)C(=O)[O-] ZINC000262296145 203253615 /nfs/dbraw/zinc/25/36/15/203253615.db2.gz OTSNYBAJGDRENM-GWCFXTLKSA-N -1 1 321.377 1.216 20 0 DDADMM Cc1ccc(N2CC[C@@H](N3CCC[C@@H](C(=O)[O-])C3)C2=O)cc1 ZINC000262663769 203372180 /nfs/dbraw/zinc/37/21/80/203372180.db2.gz MNQQABOPRHAIMO-UKRRQHHQSA-N -1 1 302.374 1.897 20 0 DDADMM CC(C)(CNC(=O)c1ccc(Cl)cc1[O-])S(C)(=O)=O ZINC000093534780 193266787 /nfs/dbraw/zinc/26/67/87/193266787.db2.gz NUAYSSFQTGYJFG-UHFFFAOYSA-N -1 1 305.783 1.599 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cc(C)cc3c[nH]nc32)[C@H](C(=O)[O-])C1 ZINC000566506088 304165000 /nfs/dbraw/zinc/16/50/00/304165000.db2.gz OCTOMWLHYYDXKN-AAEUAGOBSA-N -1 1 317.345 1.576 20 0 DDADMM Cc1c(F)cccc1S(=O)(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000359400919 299434373 /nfs/dbraw/zinc/43/43/73/299434373.db2.gz BEPHOCSBXICVEP-UHFFFAOYSA-N -1 1 320.349 1.590 20 0 DDADMM Cc1nc(C)c(C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)s1 ZINC000282806288 217263672 /nfs/dbraw/zinc/26/36/72/217263672.db2.gz AXCJRADWQSYTCH-SECBINFHSA-N -1 1 307.379 1.191 20 0 DDADMM O=C(N[C@@H]1CCS(=O)(=O)C1)c1cc2ccccc2cc1[O-] ZINC000017635979 182084657 /nfs/dbraw/zinc/08/46/57/182084657.db2.gz QOOJHTJRAGBMHF-GFCCVEGCSA-N -1 1 305.355 1.462 20 0 DDADMM COC(=O)[C@H](NC(=O)c1cc2ccccc2cc1[O-])[C@H](C)O ZINC000274617777 211763090 /nfs/dbraw/zinc/76/30/90/211763090.db2.gz YTEAMRZZNNQEAD-LKFCYVNXSA-N -1 1 303.314 1.198 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000019775147 182147053 /nfs/dbraw/zinc/14/70/53/182147053.db2.gz ZRCCQJYJPWHKNC-GFCCVEGCSA-N -1 1 319.361 1.380 20 0 DDADMM C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1cc(F)ccc1[O-] ZINC000094138785 296218885 /nfs/dbraw/zinc/21/88/85/296218885.db2.gz OXZSKRJLXJHTER-IUCAKERBSA-N -1 1 303.355 1.425 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N(C)C(C)C ZINC000267610609 206173491 /nfs/dbraw/zinc/17/34/91/206173491.db2.gz WCWKZGHIFPTLMD-UHFFFAOYSA-N -1 1 300.362 1.962 20 0 DDADMM CCCNC(=O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000283869560 217792106 /nfs/dbraw/zinc/79/21/06/217792106.db2.gz NCHMEWYVLYKPQX-UHFFFAOYSA-N -1 1 308.762 1.284 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1csc(C(F)(F)F)c1 ZINC000636289116 422783482 /nfs/dbraw/zinc/78/34/82/422783482.db2.gz PANBFQQEYDDEML-UHFFFAOYSA-N -1 1 319.312 1.919 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCN(c2ccccc2)C1)c1nn[n-]n1 ZINC000579554430 422787075 /nfs/dbraw/zinc/78/70/75/422787075.db2.gz SHQFXJUFXMDUHP-TZMCWYRMSA-N -1 1 314.393 1.684 20 0 DDADMM CSc1ccc(C)c(C(=O)NCCCc2nc(=O)[n-][nH]2)c1 ZINC000174576316 248370953 /nfs/dbraw/zinc/37/09/53/248370953.db2.gz FTBCWTQCVSJWLP-UHFFFAOYSA-N -1 1 306.391 1.491 20 0 DDADMM Cn1ncc2c1CCCN(C(=O)c1cc(F)cc3nn[nH]c31)C2 ZINC000333907681 249146851 /nfs/dbraw/zinc/14/68/51/249146851.db2.gz DBMHOOYXKIZLCA-UHFFFAOYSA-N -1 1 314.324 1.419 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCC[C@@H]1C[C@H](C)O ZINC000336847293 249252987 /nfs/dbraw/zinc/25/29/87/249252987.db2.gz UYBMLNWFXFWKSR-WCBMZHEXSA-N -1 1 311.407 1.588 20 0 DDADMM O=c1cc(/C=C/c2cncc(Br)c2)nc2nc[n-]n21 ZINC000352036395 284728085 /nfs/dbraw/zinc/72/80/85/284728085.db2.gz ZDIYMEIPFAXFTD-OWOJBTEDSA-N -1 1 318.134 1.746 20 0 DDADMM CCC(CC)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000104921821 194053768 /nfs/dbraw/zinc/05/37/68/194053768.db2.gz OLXMPHQIIOEXKD-UHFFFAOYSA-N -1 1 304.394 1.734 20 0 DDADMM CCS[C@H]1CCC[C@H]1NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000352051256 284739325 /nfs/dbraw/zinc/73/93/25/284739325.db2.gz ATHZLNXYLOZVDV-SFYZADRCSA-N -1 1 313.379 1.300 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H]([N-]S(=O)(=O)c2sccc2F)C1 ZINC000338898965 250187844 /nfs/dbraw/zinc/18/78/44/250187844.db2.gz JFAICDCGYFTEAI-HTQZYQBOSA-N -1 1 306.384 1.210 20 0 DDADMM O=S(=O)([N-]CCOc1ncccc1F)c1sccc1F ZINC000338935080 250205435 /nfs/dbraw/zinc/20/54/35/250205435.db2.gz IBTFVHLGVHNOJR-UHFFFAOYSA-N -1 1 320.342 1.779 20 0 DDADMM O=S(=O)([N-][C@@H]1CCN(C2CC2)C1)c1cc(F)c(F)cc1F ZINC000339048769 250268962 /nfs/dbraw/zinc/26/89/62/250268962.db2.gz QATQBRXZQFLSEO-MRVPVSSYSA-N -1 1 320.336 1.619 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)Oc2ccccc2)n1 ZINC000339170720 250326697 /nfs/dbraw/zinc/32/66/97/250326697.db2.gz PHJDHMRWFFANSZ-SNVBAGLBSA-N -1 1 303.318 1.992 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3nnn(C)c3c2)n1 ZINC000339175633 250328891 /nfs/dbraw/zinc/32/88/91/250328891.db2.gz UCTMLEMLNXLNNI-UHFFFAOYSA-N -1 1 314.305 1.120 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2noc3ccccc23)n1 ZINC000339177955 250330227 /nfs/dbraw/zinc/33/02/27/250330227.db2.gz IOPRZRTVFBYOHR-UHFFFAOYSA-N -1 1 314.301 1.909 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C(C)(C)C)nn2C)n1 ZINC000339178861 250330649 /nfs/dbraw/zinc/33/06/49/250330649.db2.gz YRBNEMHNQUNALC-UHFFFAOYSA-N -1 1 319.365 1.870 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](c1ccccc1)C1CC1 ZINC000352128513 284807712 /nfs/dbraw/zinc/80/77/12/284807712.db2.gz FSMKQYRCIAGBCO-LLVKDONJSA-N -1 1 315.329 1.778 20 0 DDADMM O=C(CN1C(=O)COc2ccccc21)Nc1ccc(F)cc1[O-] ZINC000340329925 251010706 /nfs/dbraw/zinc/01/07/06/251010706.db2.gz NOXRCYXNWJZBIQ-UHFFFAOYSA-N -1 1 316.288 1.895 20 0 DDADMM CCN(CC)S(=O)(=O)CC(=O)Nc1ccc(F)cc1[O-] ZINC000340332511 251012276 /nfs/dbraw/zinc/01/22/76/251012276.db2.gz FVWAWJUFWFEQMO-UHFFFAOYSA-N -1 1 304.343 1.141 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCC[C@H](C)CO ZINC000340407292 251051883 /nfs/dbraw/zinc/05/18/83/251051883.db2.gz GNMKNAQYVXGRDN-JTQLQIEISA-N -1 1 320.393 1.119 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)CCc2nn[n-]n2)C12CCCCC2 ZINC000631500383 422800262 /nfs/dbraw/zinc/80/02/62/422800262.db2.gz WQSDVIDSGHLNEM-VXGBXAGGSA-N -1 1 307.398 1.376 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(=O)N(C)C ZINC000340868998 251251928 /nfs/dbraw/zinc/25/19/28/251251928.db2.gz KFWPVHVUFDWFAG-SSDOTTSWSA-N -1 1 308.762 1.234 20 0 DDADMM CO[C@H]1CCC[C@@H]1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000340879458 251257138 /nfs/dbraw/zinc/25/71/38/251257138.db2.gz KGKLMTFFMZJVHN-MFKMUULPSA-N -1 1 301.346 1.468 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(N(C)C)cc1F)c1nn[n-]n1 ZINC000119621481 195003705 /nfs/dbraw/zinc/00/37/05/195003705.db2.gz DHIJRMQGHVWXBS-LBPRGKRZSA-N -1 1 306.345 1.676 20 0 DDADMM CS[C@@H](CO)[C@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000119920710 195063026 /nfs/dbraw/zinc/06/30/26/195063026.db2.gz UKPXFSIYRNUIAR-XVKPBYJWSA-N -1 1 311.375 1.356 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCCC[C@@H]2C)co1 ZINC000270040606 208095438 /nfs/dbraw/zinc/09/54/38/208095438.db2.gz JRYODSHRWJVLFG-QWRGUYRKSA-N -1 1 314.407 1.744 20 0 DDADMM CNc1snc(C)c1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000331818937 533104424 /nfs/dbraw/zinc/10/44/24/533104424.db2.gz PAWYHHPFHJCCDE-MRVPVSSYSA-N -1 1 323.378 1.602 20 0 DDADMM CCCC1(CNC(=O)c2ccc(S(=O)(=O)[N-]C)o2)CCC1 ZINC000157538688 197195461 /nfs/dbraw/zinc/19/54/61/197195461.db2.gz BWTZSEQXMLNKMU-UHFFFAOYSA-N -1 1 314.407 1.888 20 0 DDADMM O=C([O-])COCCN(Cc1cccc(F)c1)C[C@H]1CCCO1 ZINC000568060902 304265813 /nfs/dbraw/zinc/26/58/13/304265813.db2.gz SNXRNYPSRBLBJA-OAHLLOKOSA-N -1 1 311.353 1.908 20 0 DDADMM CCOCCN(Cc1ccc(C(=O)[O-])o1)Cc1n[nH]c(CC)n1 ZINC000568066713 304266187 /nfs/dbraw/zinc/26/61/87/304266187.db2.gz ACLBEBCHJBJQJU-UHFFFAOYSA-N -1 1 322.365 1.697 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCc2cc(F)ccc2F)[n-]n1 ZINC000413188655 224150710 /nfs/dbraw/zinc/15/07/10/224150710.db2.gz XLMVLXVNFYIPMY-UHFFFAOYSA-N -1 1 306.316 1.533 20 0 DDADMM CN(C)C(=O)c1ccc(=NCC(C)(C)C2(O)CCCC2)[n-]n1 ZINC000413309433 224174191 /nfs/dbraw/zinc/17/41/91/224174191.db2.gz BAJCAOYLWQIFLI-UHFFFAOYSA-N -1 1 306.410 1.344 20 0 DDADMM CCN(CC)CCS(=O)(=O)[N-][C@@H](C1CC1)C(F)(F)F ZINC000352711922 285247625 /nfs/dbraw/zinc/24/76/25/285247625.db2.gz YGEPDRPNRJTMME-JTQLQIEISA-N -1 1 302.362 1.589 20 0 DDADMM COc1cc(C(=O)N2CCO[C@H](CF)C2)cc(Cl)c1[O-] ZINC000413858129 224262952 /nfs/dbraw/zinc/26/29/52/224262952.db2.gz FMAIPXQQYDZXNJ-SECBINFHSA-N -1 1 303.717 1.865 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@H]1c1ccc(F)cc1 ZINC000289875501 221284808 /nfs/dbraw/zinc/28/48/08/221284808.db2.gz OCRQUWUAFAOWEW-WDEREUQCSA-N -1 1 311.338 1.539 20 0 DDADMM COCC(C)(C)CCC[N-]S(=O)(=O)c1c(C)onc1N ZINC000290038746 221395045 /nfs/dbraw/zinc/39/50/45/221395045.db2.gz ZLSIMHFUZQEGOF-UHFFFAOYSA-N -1 1 305.400 1.296 20 0 DDADMM CO[C@H]1C[C@H](c2nc(C)no2)N(C(=O)c2ncc(C)cc2[O-])C1 ZINC000331803986 533301976 /nfs/dbraw/zinc/30/19/76/533301976.db2.gz JEEAUENGYWSHBU-WDEREUQCSA-N -1 1 318.333 1.389 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1C[C@H](O)C[C@H]1C)c2=O ZINC000412285660 533303745 /nfs/dbraw/zinc/30/37/45/533303745.db2.gz UQSVHYCOEJVCAO-NXEZZACHSA-N -1 1 302.330 1.132 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cnc3nc(C)ccc3c2[O-])C[C@H]1OC ZINC000331912565 533469685 /nfs/dbraw/zinc/46/96/85/533469685.db2.gz CUGJNBNQNBELJB-CHWSQXEVSA-N -1 1 317.345 1.130 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C[C@H]1OC ZINC000331912565 533469687 /nfs/dbraw/zinc/46/96/87/533469687.db2.gz CUGJNBNQNBELJB-CHWSQXEVSA-N -1 1 317.345 1.130 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)[N-]S(=O)(=O)c1ccc(C)o1 ZINC000360866077 306989862 /nfs/dbraw/zinc/98/98/62/306989862.db2.gz WMPDCUFYJXLPEX-ZETCQYMHSA-N -1 1 315.269 1.360 20 0 DDADMM CCOc1cnc(C(=O)[N-]c2nnc([C@@H]3CCCO3)s2)cn1 ZINC000636356858 422816433 /nfs/dbraw/zinc/81/64/33/422816433.db2.gz BZFJZRXDMZEYJX-VIFPVBQESA-N -1 1 321.362 1.831 20 0 DDADMM COc1ccccc1C[C@H](C)N(C)C(=O)CCc1nn[n-]n1 ZINC000631541159 422822982 /nfs/dbraw/zinc/82/29/82/422822982.db2.gz VRKXLHCYQOROMN-NSHDSACASA-N -1 1 303.366 1.231 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2c(c1)CCCC2=O)c1nn[n-]n1 ZINC000569247828 304343593 /nfs/dbraw/zinc/34/35/93/304343593.db2.gz UVPUYUQVLGTKFB-CYBMUJFWSA-N -1 1 313.361 1.990 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H]2CCC[C@H](F)C2)oc1C ZINC000569335864 304347802 /nfs/dbraw/zinc/34/78/02/304347802.db2.gz COYCZUZTXHLDJU-VHSXEESVSA-N -1 1 319.354 1.934 20 0 DDADMM Cc1ccc(S(=O)(=O)CCCN2CCC[C@@H]2C(=O)[O-])cc1 ZINC000569733231 304375702 /nfs/dbraw/zinc/37/57/02/304375702.db2.gz RQKPKGXGFXAOQD-CQSZACIVSA-N -1 1 311.403 1.708 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NN1CCCCC1=O)c2=O ZINC000263697743 286431006 /nfs/dbraw/zinc/43/10/06/286431006.db2.gz AUJWRKYTMIIMBK-UHFFFAOYSA-N -1 1 315.329 1.606 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(Cc1ccc(F)cc1)C1CC1 ZINC000635130920 422830741 /nfs/dbraw/zinc/83/07/41/422830741.db2.gz VWNDQBUKFFEXIG-UHFFFAOYSA-N -1 1 303.341 1.853 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)CC(C)(C)OC)C(=O)OC ZINC000566168746 308048042 /nfs/dbraw/zinc/04/80/42/308048042.db2.gz ZZMHUYGVYLCTTI-QWRGUYRKSA-N -1 1 309.428 1.309 20 0 DDADMM CCn1c(CCNC(=O)c2ccc3nccn3c2)n[n-]c1=S ZINC000068449040 406644508 /nfs/dbraw/zinc/64/45/08/406644508.db2.gz RHIVQMNGNZOEJL-UHFFFAOYSA-N -1 1 316.390 1.581 20 0 DDADMM CCCC[C@H](NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000035085578 406966248 /nfs/dbraw/zinc/96/62/48/406966248.db2.gz LZHVCRUOEYEOLA-JTQLQIEISA-N -1 1 307.318 1.887 20 0 DDADMM C[C@]1(NC(=O)c2ccc(Cl)cc2[O-])CCS(=O)(=O)C1 ZINC000044300015 407021341 /nfs/dbraw/zinc/02/13/41/407021341.db2.gz FNXXCJFGIWCIMI-LBPRGKRZSA-N -1 1 303.767 1.353 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H](O)c2ccccc2)c1Cl ZINC000038105327 406999212 /nfs/dbraw/zinc/99/92/12/406999212.db2.gz YAEGDVKAUGBOCI-JTQLQIEISA-N -1 1 315.782 1.085 20 0 DDADMM C[C@H](CN1CCOCC1)NC(=O)c1cc2ccccc2cc1[O-] ZINC000047151037 407070377 /nfs/dbraw/zinc/07/03/77/407070377.db2.gz NDLBZEBSUAEMOA-CYBMUJFWSA-N -1 1 314.385 1.996 20 0 DDADMM Cc1cn(-c2ccccc2Cl)nc1C(=O)Nc1nn[n-]n1 ZINC000123417319 407328481 /nfs/dbraw/zinc/32/84/81/407328481.db2.gz ZKFXSUSOWJJEGK-UHFFFAOYSA-N -1 1 303.713 1.600 20 0 DDADMM Cc1noc(CC[N-]S(=O)(=O)c2ccc(F)c(F)c2F)n1 ZINC000101575588 407311955 /nfs/dbraw/zinc/31/19/55/407311955.db2.gz LJKHUWQKUQNPHP-UHFFFAOYSA-N -1 1 321.280 1.316 20 0 DDADMM O=C(c1ccc(F)cc1[O-])N1CC[C@H](CN2CCOCC2)C1 ZINC000123925231 407342873 /nfs/dbraw/zinc/34/28/73/407342873.db2.gz ABKJGCWYGQUKEW-GFCCVEGCSA-N -1 1 308.353 1.326 20 0 DDADMM C[C@H](CN(C)C(=O)c1c(F)c(F)cc(F)c1F)c1nn[n-]n1 ZINC000124048637 407346884 /nfs/dbraw/zinc/34/68/84/407346884.db2.gz FRNKKVHHAACKAV-RXMQYKEDSA-N -1 1 317.246 1.632 20 0 DDADMM CCC(CC)n1nc(C)cc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000124352381 407355577 /nfs/dbraw/zinc/35/55/77/407355577.db2.gz DZNXMIAJYFUUFQ-JTQLQIEISA-N -1 1 319.413 1.941 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ncccc2C)o1 ZINC000107700695 407378975 /nfs/dbraw/zinc/37/89/75/407378975.db2.gz QHDFCNCWLHDCJM-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM CS(=O)(=O)Nc1ccccc1NC(=O)c1cncc([O-])c1 ZINC000111776526 407416061 /nfs/dbraw/zinc/41/60/61/407416061.db2.gz XWSHNVYRTHIBRS-UHFFFAOYSA-N -1 1 307.331 1.411 20 0 DDADMM Cc1cccc(NC(=O)CS(=O)(=O)c2n[n-]c(C3CC3)n2)c1 ZINC000127155607 407429013 /nfs/dbraw/zinc/42/90/13/407429013.db2.gz JNIZTBNERDABAG-UHFFFAOYSA-N -1 1 320.374 1.403 20 0 DDADMM COCC[C@@](C)(O)CNC(=O)c1c[nH]c2c(C)cccc2c1=O ZINC000185678764 407476705 /nfs/dbraw/zinc/47/67/05/407476705.db2.gz JSZIGYXTVZMOHC-QGZVFWFLSA-N -1 1 318.373 1.766 20 0 DDADMM C[C@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)c1nccs1 ZINC000185585599 407457284 /nfs/dbraw/zinc/45/72/84/407457284.db2.gz JBEHTGATKFYNQM-SECBINFHSA-N -1 1 314.370 1.913 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cccc(-n2nccc2C(F)(F)F)c1 ZINC000170852385 407530515 /nfs/dbraw/zinc/53/05/15/407530515.db2.gz ALHWPJCBUKGEAJ-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cccc(-n2nccc2C(F)(F)F)c1 ZINC000170852385 407530519 /nfs/dbraw/zinc/53/05/19/407530519.db2.gz ALHWPJCBUKGEAJ-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM O=C([O-])C1CCN(CC(=O)N2CCC[C@@H]3CCCC[C@H]32)CC1 ZINC000261600585 407549202 /nfs/dbraw/zinc/54/92/02/407549202.db2.gz BNSKKDXVECHROY-DZGCQCFKSA-N -1 1 308.422 1.964 20 0 DDADMM Cn1cc(CNC(=O)c2cncc([O-])c2)c(C(F)(F)F)n1 ZINC000178854906 407627833 /nfs/dbraw/zinc/62/78/33/407627833.db2.gz FTWJKGSUHMRRLY-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)NC1CCC(C(=O)[O-])CC1 ZINC000262008968 407710082 /nfs/dbraw/zinc/71/00/82/407710082.db2.gz WCOCLLGSIBFBEW-UHFFFAOYSA-N -1 1 308.382 1.593 20 0 DDADMM CC(C)c1ccc([C@H](C)CC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000130190150 407665052 /nfs/dbraw/zinc/66/50/52/407665052.db2.gz SDWGYVPHSRUOAN-LLVKDONJSA-N -1 1 303.362 1.887 20 0 DDADMM Cc1csc(N2CCN(C(=O)c3cncc([O-])c3)CC2)n1 ZINC000171862953 407785080 /nfs/dbraw/zinc/78/50/80/407785080.db2.gz LEYCXYIFGAVIEE-UHFFFAOYSA-N -1 1 304.375 1.515 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCC1(O)CCCCC1)c2=O ZINC000179510466 407798879 /nfs/dbraw/zinc/79/88/79/407798879.db2.gz WNPISBQPZPNSGT-UHFFFAOYSA-N -1 1 304.350 1.099 20 0 DDADMM CCOC(=O)C[C@@H](C)N(C)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000182367838 306704190 /nfs/dbraw/zinc/70/41/90/306704190.db2.gz OPSGXNBRFSYJRC-SNVBAGLBSA-N -1 1 317.345 1.337 20 0 DDADMM COC(=O)CCNC(=O)c1ccc(Br)c([O-])c1 ZINC000133019843 407816901 /nfs/dbraw/zinc/81/69/01/407816901.db2.gz RNCRMYXIKBJAMK-UHFFFAOYSA-N -1 1 302.124 1.448 20 0 DDADMM COC(=O)c1cccc(CS(=O)(=O)[N-]c2n[nH]c(C)c2C)c1 ZINC000180139823 407858783 /nfs/dbraw/zinc/85/87/83/407858783.db2.gz BLZRUKARPOZZDD-UHFFFAOYSA-N -1 1 323.374 1.755 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2cncc([O-])c2)C(=O)N1c1ccccc1 ZINC000174507543 407958311 /nfs/dbraw/zinc/95/83/11/407958311.db2.gz MCSQSWJPOACXHH-ABAIWWIYSA-N -1 1 311.341 1.711 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2ccccc2Cl)[n-]1 ZINC000268475718 408062655 /nfs/dbraw/zinc/06/26/55/408062655.db2.gz JNPZBOPUECSXDI-UHFFFAOYSA-N -1 1 301.755 1.578 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2ccccc2Cl)n[n-]1 ZINC000268475718 408062660 /nfs/dbraw/zinc/06/26/60/408062660.db2.gz JNPZBOPUECSXDI-UHFFFAOYSA-N -1 1 301.755 1.578 20 0 DDADMM CCCOc1ccc(CNC(=O)CN[C@H](CCC)C(=O)[O-])cc1 ZINC000273167563 408088937 /nfs/dbraw/zinc/08/89/37/408088937.db2.gz CUISOIGSWFRPPK-OAHLLOKOSA-N -1 1 322.405 1.935 20 0 DDADMM C[C@@H]1CN(Cc2ccccc2)[C@@H](C)CN1C(=O)NCCC(=O)[O-] ZINC000263397201 408126809 /nfs/dbraw/zinc/12/68/09/408126809.db2.gz RMSZEGJHJZBFTC-UONOGXRCSA-N -1 1 319.405 1.766 20 0 DDADMM COC(=O)CCSc1ncccc1[N-]C(=O)c1cnsn1 ZINC000273288648 408139574 /nfs/dbraw/zinc/13/95/74/408139574.db2.gz RVSXQOJIJDHTRT-UHFFFAOYSA-N -1 1 324.387 1.841 20 0 DDADMM COc1cccc(-c2cc(C(=O)N3CC[C@H](C(=O)[O-])C3)n[nH]2)c1 ZINC000263571892 408178980 /nfs/dbraw/zinc/17/89/80/408178980.db2.gz KBBUXVLUJKBMDC-NSHDSACASA-N -1 1 315.329 1.632 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CCOc2ccccc21)c1nn[n-]n1 ZINC000273410259 408188562 /nfs/dbraw/zinc/18/85/62/408188562.db2.gz CMCJFULIHAFKND-JQWIXIFHSA-N -1 1 301.350 1.328 20 0 DDADMM CC(C)(C)c1ccc2cc(C(=O)NN3CC(=O)[N-]C3=O)[nH]c2c1 ZINC000263757402 408241964 /nfs/dbraw/zinc/24/19/64/408241964.db2.gz HRIFJUNWNVEHTP-UHFFFAOYSA-N -1 1 314.345 1.662 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(N2CCCC2)nc1)c1nn[n-]n1 ZINC000273512652 408228339 /nfs/dbraw/zinc/22/83/39/408228339.db2.gz NZXPHJFXTGNADW-LLVKDONJSA-N -1 1 315.381 1.071 20 0 DDADMM CC(C)(C)NC(=O)[C@@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000274194355 408321556 /nfs/dbraw/zinc/32/15/56/408321556.db2.gz MENXZLVVHQZGNV-VIFPVBQESA-N -1 1 309.391 1.217 20 0 DDADMM CCOc1cc(C(=O)NCCCc2nc(=O)[n-][nH]2)ccc1C ZINC000176269522 408329608 /nfs/dbraw/zinc/32/96/08/408329608.db2.gz AOGMSHMDDQNNTJ-UHFFFAOYSA-N -1 1 304.350 1.168 20 0 DDADMM CC(C)Cn1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC000182903348 408280768 /nfs/dbraw/zinc/28/07/68/408280768.db2.gz LTNUTKWFVWJSLW-UHFFFAOYSA-N -1 1 302.260 1.928 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2ccc3c(c2)OCCCO3)c1C ZINC000151413469 408305182 /nfs/dbraw/zinc/30/51/82/408305182.db2.gz LEZKOMTWVLXUOS-UHFFFAOYSA-N -1 1 323.374 1.989 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCCC(C)(C)CO)c2=O ZINC000132842938 162045135 /nfs/dbraw/zinc/04/51/35/162045135.db2.gz HCOFEMJFWIMWEH-UHFFFAOYSA-N -1 1 306.366 1.202 20 0 DDADMM Cc1ccc(-n2cnnn2)cc1[N-]S(=O)(=O)c1ccccc1 ZINC000132837201 162045350 /nfs/dbraw/zinc/04/53/50/162045350.db2.gz SDFDSSGFWKJDPY-UHFFFAOYSA-N -1 1 315.358 1.772 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC(C)(C)CCO ZINC000269592771 408354329 /nfs/dbraw/zinc/35/43/29/408354329.db2.gz YLSSPYGMUYXBQO-UHFFFAOYSA-N -1 1 309.410 1.927 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N(C)CC(C)(C)O)c2=O ZINC000176470205 408374336 /nfs/dbraw/zinc/37/43/36/408374336.db2.gz RTKZSYKGASIVRF-UHFFFAOYSA-N -1 1 304.346 1.792 20 0 DDADMM C[C@@H]1CSCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000191298665 408401275 /nfs/dbraw/zinc/40/12/75/408401275.db2.gz GDOVCIRHIRGQMV-VIFPVBQESA-N -1 1 306.391 1.499 20 0 DDADMM Cc1ccc(O[C@H](C)C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183409295 408404313 /nfs/dbraw/zinc/40/43/13/408404313.db2.gz APVLLOYJQHXWSD-NWDGAFQWSA-N -1 1 303.366 1.538 20 0 DDADMM CC(C)c1nnc(CCC(=O)Nc2n[n-]c(C(F)(F)F)n2)o1 ZINC000176630593 408406408 /nfs/dbraw/zinc/40/64/08/408406408.db2.gz VWDJPDKZSQXDIS-UHFFFAOYSA-N -1 1 318.259 1.901 20 0 DDADMM CC[C@@H](C)[C@H](O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000269755212 408417989 /nfs/dbraw/zinc/41/79/89/408417989.db2.gz ILTKHRDKHFBGBU-VXNVDRBHSA-N -1 1 311.325 1.789 20 0 DDADMM CCC[C@H](NC(=O)Cc1noc2ccccc12)c1nn[n-]n1 ZINC000176755646 408435132 /nfs/dbraw/zinc/43/51/32/408435132.db2.gz SVTKADDVYIKQDN-JTQLQIEISA-N -1 1 300.322 1.541 20 0 DDADMM CCC[C@@H](NC(=O)CCc1nc(C(C)(C)C)no1)c1nn[n-]n1 ZINC000176767391 408438298 /nfs/dbraw/zinc/43/82/98/408438298.db2.gz ISUPAGITPZBAFO-SECBINFHSA-N -1 1 321.385 1.470 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(-n2cccn2)cc1)c1nn[n-]n1 ZINC000176766006 408439078 /nfs/dbraw/zinc/43/90/78/408439078.db2.gz WSBNAUIYLQEHFO-CYBMUJFWSA-N -1 1 311.349 1.657 20 0 DDADMM O=S(=O)([N-]CCOCC1CC1)c1cc(F)c(F)cc1F ZINC000264447084 408493067 /nfs/dbraw/zinc/49/30/67/408493067.db2.gz CXKVMXJHXBXCSP-UHFFFAOYSA-N -1 1 309.309 1.809 20 0 DDADMM O=S(=O)([N-]CCCn1ccnn1)c1ccc(F)c(F)c1F ZINC000183168818 306709670 /nfs/dbraw/zinc/70/96/70/306709670.db2.gz LYUBUOGKOFBNKL-UHFFFAOYSA-N -1 1 320.296 1.064 20 0 DDADMM O=c1[nH]c(NCC[N@@H+]2CCc3ccccc3C2)nc2n[nH]cc21 ZINC000274626590 408473847 /nfs/dbraw/zinc/47/38/47/408473847.db2.gz AVNHJUXIWJFRDH-UHFFFAOYSA-N -1 1 310.361 1.529 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]CC(F)(F)C(F)F ZINC000192131589 408548686 /nfs/dbraw/zinc/54/86/86/408548686.db2.gz RASDWSDMJGVQEE-UHFFFAOYSA-N -1 1 303.281 1.216 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@@H](O)c1cccc(F)c1)c1nn[n-]n1 ZINC000274812127 408539394 /nfs/dbraw/zinc/53/93/94/408539394.db2.gz VOPOSPKMPUHVLR-JOYOIKCWSA-N -1 1 307.329 1.024 20 0 DDADMM CCO[C@H]1C[C@@](NC(=O)c2ncccc2[O-])(C(=O)OC)C1(C)C ZINC000275653236 408636018 /nfs/dbraw/zinc/63/60/18/408636018.db2.gz QHDQRXBNMYUIKU-MEDUHNTESA-N -1 1 322.361 1.264 20 0 DDADMM CC(C)N1C(=O)C[C@@H](NC(=O)c2cc(Cl)ccc2[O-])C1=O ZINC000177571819 408658655 /nfs/dbraw/zinc/65/86/55/408658655.db2.gz YJPSOWZIKHEUFP-SNVBAGLBSA-N -1 1 310.737 1.311 20 0 DDADMM CCOC(=O)[C@@]1(C(C)C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000192949748 408675540 /nfs/dbraw/zinc/67/55/40/408675540.db2.gz WRLDWAJSDHIFQE-INIZCTEOSA-N -1 1 306.362 1.839 20 0 DDADMM CCOC(=O)N1CCC(NC(=O)c2c([O-])cccc2F)CC1 ZINC000163594966 408783395 /nfs/dbraw/zinc/78/33/95/408783395.db2.gz GWWUPNCRZPLUBK-UHFFFAOYSA-N -1 1 310.325 1.882 20 0 DDADMM C[C@H]1C[C@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2)CN1C1CC1 ZINC000185102561 408756229 /nfs/dbraw/zinc/75/62/29/408756229.db2.gz QHCXFICGOAJPQC-JQWIXIFHSA-N -1 1 324.402 1.288 20 0 DDADMM CCS[C@H]1CCC[C@@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000290840482 408842477 /nfs/dbraw/zinc/84/24/77/408842477.db2.gz VLYYMDZSQCFWJJ-IUCAKERBSA-N -1 1 305.425 1.518 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2ccc(=O)[nH]n2)c(F)cc1F ZINC000190333734 163136444 /nfs/dbraw/zinc/13/64/44/163136444.db2.gz FXVIWQDASCKDKD-UHFFFAOYSA-N -1 1 301.274 1.570 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(=O)N(C)C ZINC000190585408 163147550 /nfs/dbraw/zinc/14/75/50/163147550.db2.gz FQZVYRHOKWPPSS-ZETCQYMHSA-N -1 1 308.762 1.234 20 0 DDADMM C[C@@H](NC(=O)c1ccc(Br)c([O-])c1)C(=O)N(C)C ZINC000231326829 163349645 /nfs/dbraw/zinc/34/96/45/163349645.db2.gz HZULQJCQGMNGQB-SSDOTTSWSA-N -1 1 315.167 1.361 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1Cc1ccn(C)n1 ZINC000292067722 408972596 /nfs/dbraw/zinc/97/25/96/408972596.db2.gz DFVODDDJGIGGAA-MWLCHTKSSA-N -1 1 304.316 1.452 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@@]3(C)CCCC[C@H]3O)ccnc1-2 ZINC000287634878 409067743 /nfs/dbraw/zinc/06/77/43/409067743.db2.gz OIXBMUBGNOWCAQ-BTINGTBKSA-N -1 1 317.393 1.405 20 0 DDADMM COC1CCC(N(C)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000287296341 409015398 /nfs/dbraw/zinc/01/53/98/409015398.db2.gz UYRSPOSDRCAXKT-RGEXLXHISA-N -1 1 317.393 1.763 20 0 DDADMM O=C(N[C@@]1(CCO)CCOC1)c1cc2ccccc2cc1[O-] ZINC000278096773 409065224 /nfs/dbraw/zinc/06/52/24/409065224.db2.gz OAUNXXNHCCURPT-KRWDZBQOSA-N -1 1 301.342 1.817 20 0 DDADMM O=C(C(=O)N1CC[C@](O)(C(F)(F)F)C1)c1ccc([O-])cc1 ZINC000287695985 409077876 /nfs/dbraw/zinc/07/78/76/409077876.db2.gz QGNZGTZUMYJDIP-GFCCVEGCSA-N -1 1 303.236 1.101 20 0 DDADMM O=C(CCc1ccc(=O)[nH]c1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000278417844 409082645 /nfs/dbraw/zinc/08/26/45/409082645.db2.gz IAODRPVRXRQJQD-UHFFFAOYSA-N -1 1 301.228 1.495 20 0 DDADMM O=c1nc(C2CCN(c3ncnc4ccsc43)CC2)[nH][n-]1 ZINC000287732191 409083199 /nfs/dbraw/zinc/08/31/99/409083199.db2.gz LSSMSWQTUBJTIG-UHFFFAOYSA-N -1 1 302.363 1.487 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000287736782 409084473 /nfs/dbraw/zinc/08/44/73/409084473.db2.gz UCQILBWHHWJJLG-PDWPUUMPSA-N -1 1 303.366 1.278 20 0 DDADMM C[C@H](O)CC(C)(C)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279368721 409200312 /nfs/dbraw/zinc/20/03/12/409200312.db2.gz PVIZYTOQPWNMEL-FZUCCHQLSA-N -1 1 305.382 1.260 20 0 DDADMM CC[C@H](C)CONC(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC000293883957 409200968 /nfs/dbraw/zinc/20/09/68/409200968.db2.gz ZGBLXKVPPWUEHL-ZETCQYMHSA-N -1 1 306.322 1.452 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc2c(c1)OCO2 ZINC000295518695 409328509 /nfs/dbraw/zinc/32/85/09/409328509.db2.gz IISITIVIZNAWIR-UHFFFAOYSA-N -1 1 309.318 1.347 20 0 DDADMM CC[C@@H]1CO[C@H](C)CN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295116347 409329995 /nfs/dbraw/zinc/32/99/95/409329995.db2.gz LUYVTKJFZBSAGL-MWLCHTKSSA-N -1 1 306.391 1.658 20 0 DDADMM O=C(N[C@@H]1CCO[C@H]1c1ccc(=O)[nH]c1)c1ncccc1[O-] ZINC000280213141 409270213 /nfs/dbraw/zinc/27/02/13/409270213.db2.gz KSTBQVMFEFMFJJ-YGRLFVJLSA-N -1 1 301.302 1.148 20 0 DDADMM Cc1cc(F)c(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1F ZINC000295994713 409394016 /nfs/dbraw/zinc/39/40/16/409394016.db2.gz MDBXFYOJQXGNDV-SECBINFHSA-N -1 1 309.320 1.591 20 0 DDADMM CC(C)[C@@H](NCC(=O)[N-]OCc1ccccc1)c1nncn1C ZINC000285375009 409417373 /nfs/dbraw/zinc/41/73/73/409417373.db2.gz MSCMDPZZSNZYII-OAHLLOKOSA-N -1 1 317.393 1.350 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cccc(C(C)(C)C)c1O ZINC000290511362 409426767 /nfs/dbraw/zinc/42/67/67/409426767.db2.gz LTONECUMGCUTHO-UHFFFAOYSA-N -1 1 315.391 1.995 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(Br)c([O-])c1)C(N)=O ZINC000310702126 164006311 /nfs/dbraw/zinc/00/63/11/164006311.db2.gz REGDZQAUPKIWQI-VIFPVBQESA-N -1 1 315.167 1.539 20 0 DDADMM COc1ccc(C(=O)[O-])cc1S(=O)(=O)Nc1cccc(O)c1 ZINC000315818612 164021094 /nfs/dbraw/zinc/02/10/94/164021094.db2.gz WVBHKZVIEYSSOP-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM COc1cc(C(=O)NC(C)(C)c2nn[n-]n2)cc(OC)c1C ZINC000359803301 164146197 /nfs/dbraw/zinc/14/61/97/164146197.db2.gz VFTHGXBNJXGMEP-UHFFFAOYSA-N -1 1 305.338 1.190 20 0 DDADMM C[C@]1(O)CCOC[C@@H]1[C@H]1CCCN1C(=O)c1cncc([O-])c1 ZINC000408064580 164213683 /nfs/dbraw/zinc/21/36/83/164213683.db2.gz LDPQWPRIYMXIRH-FMKPAKJESA-N -1 1 306.362 1.179 20 0 DDADMM CC[C@H]1C[C@@H](C)CN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000408250179 164275653 /nfs/dbraw/zinc/27/56/53/164275653.db2.gz IYZHSNJCWWOORC-ZJUUUORDSA-N -1 1 300.380 1.448 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](C(=O)N3CCCC3)C2)c([O-])c1 ZINC000408368469 164308924 /nfs/dbraw/zinc/30/89/24/164308924.db2.gz IMJZUOULLOHEIE-CYBMUJFWSA-N -1 1 317.389 1.570 20 0 DDADMM O=C(C(=O)N1CCC[C@]2(CCCOC2)C1)c1ccc([O-])cc1 ZINC000408412560 164323735 /nfs/dbraw/zinc/32/37/35/164323735.db2.gz BZCBABMCBKOULQ-KRWDZBQOSA-N -1 1 303.358 1.994 20 0 DDADMM O=C(NC[C@H](O)COCC1CC1)c1c(F)ccc([O-])c1F ZINC000348855771 409555649 /nfs/dbraw/zinc/55/56/49/409555649.db2.gz ZVURQLZHKIMWED-VIFPVBQESA-N -1 1 301.289 1.188 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCc1ccccc1C(N)=O ZINC000295474401 409498698 /nfs/dbraw/zinc/49/86/98/409498698.db2.gz DIIORNRFTLXSES-UHFFFAOYSA-N -1 1 322.361 1.108 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H](C)Oc1ccccc1 ZINC000290763373 409511449 /nfs/dbraw/zinc/51/14/49/409511449.db2.gz NSKJMLUIBBVXMH-VIFPVBQESA-N -1 1 311.363 1.311 20 0 DDADMM CN1Cc2cc(Oc3nc4c(cnn4C)c(=O)[n-]3)ccc2C1=O ZINC000356800507 409616961 /nfs/dbraw/zinc/61/69/61/409616961.db2.gz ZSXGSSUENLIVNT-UHFFFAOYSA-N -1 1 311.301 1.447 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])[C@H]1C ZINC000337940478 409583613 /nfs/dbraw/zinc/58/36/13/409583613.db2.gz KGTIALRHTAXEFO-GRYCIOLGSA-N -1 1 305.378 1.458 20 0 DDADMM Cn1ncc2c1nc(Oc1cccc(-n3cncn3)c1)[n-]c2=O ZINC000356849065 409659854 /nfs/dbraw/zinc/65/98/54/409659854.db2.gz FDCKNRPLQOYCAQ-UHFFFAOYSA-N -1 1 309.289 1.442 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cnn(CCOC)c1)C(F)(F)F ZINC000345643962 409726535 /nfs/dbraw/zinc/72/65/35/409726535.db2.gz RZJJUMVLAVARFJ-VIFPVBQESA-N -1 1 315.317 1.149 20 0 DDADMM CCCc1ccc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)cc1 ZINC000338121442 409734248 /nfs/dbraw/zinc/73/42/48/409734248.db2.gz QLJASRDMVJWTBO-UHFFFAOYSA-N -1 1 308.363 1.413 20 0 DDADMM CCCc1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1 ZINC000338121442 409734257 /nfs/dbraw/zinc/73/42/57/409734257.db2.gz QLJASRDMVJWTBO-UHFFFAOYSA-N -1 1 308.363 1.413 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1ccccc1)c1cccc(F)c1F ZINC000305221127 409734601 /nfs/dbraw/zinc/73/46/01/409734601.db2.gz VRIRTDNVMVPKIW-GFCCVEGCSA-N -1 1 313.325 1.977 20 0 DDADMM CCC(CC)(CO)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000338155100 409755462 /nfs/dbraw/zinc/75/54/62/409755462.db2.gz AREGZGIWUCUHGT-UHFFFAOYSA-N -1 1 319.379 1.143 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)N=c1[n-]nc(-c2ccccc2)s1 ZINC000342680300 409804811 /nfs/dbraw/zinc/80/48/11/409804811.db2.gz OMJIFCCDVVDJNN-UHFFFAOYSA-N -1 1 315.362 1.409 20 0 DDADMM O=S(=O)([N-]Cc1ccc(F)cn1)c1cccc(F)c1F ZINC000357179112 409922863 /nfs/dbraw/zinc/92/28/63/409922863.db2.gz MHNIRKBJLQJKIH-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM CSCc1cc(C(=O)NC2(c3nn[n-]n3)CC2)ccc1F ZINC000357100677 409873258 /nfs/dbraw/zinc/87/32/58/409873258.db2.gz XTPMZWOXCIAGCR-UHFFFAOYSA-N -1 1 307.354 1.621 20 0 DDADMM COC[C@]1(C(=O)[O-])CC[N@@H+](Cc2cc(OC)cc(OC)c2)C1 ZINC000319251234 409893962 /nfs/dbraw/zinc/89/39/62/409893962.db2.gz ZIURANIJIBLOQR-INIZCTEOSA-N -1 1 309.362 1.627 20 0 DDADMM COc1ccccc1[C@H](C)CC(=O)Nc1nn[nH]c1C(N)=O ZINC000297722711 409971148 /nfs/dbraw/zinc/97/11/48/409971148.db2.gz JTCQLPVKTBCOCU-MRVPVSSYSA-N -1 1 303.322 1.045 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)CC(=O)NC(C)C)cnc2n1 ZINC000357469008 410058130 /nfs/dbraw/zinc/05/81/30/410058130.db2.gz DQELPCSVRNBECX-UHFFFAOYSA-N -1 1 316.361 1.240 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N(C)CC(=O)NC(C)C)c2=O ZINC000357469008 410058136 /nfs/dbraw/zinc/05/81/36/410058136.db2.gz DQELPCSVRNBECX-UHFFFAOYSA-N -1 1 316.361 1.240 20 0 DDADMM CCc1csc(-n2cc(C(=O)Nc3ccncc3[O-])cn2)n1 ZINC000343006878 410070897 /nfs/dbraw/zinc/07/08/97/410070897.db2.gz HECHDGZWFCLOGY-UHFFFAOYSA-N -1 1 315.358 1.666 20 0 DDADMM CC(C)(NC(=O)[C@H]1C[C@H]1c1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000354769543 410071548 /nfs/dbraw/zinc/07/15/48/410071548.db2.gz GPWJWPUNWKCROF-IUCAKERBSA-N -1 1 307.304 1.633 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N=c2ncn(C(C)(C)C)[n-]2)n[nH]1 ZINC000332333814 410074570 /nfs/dbraw/zinc/07/45/70/410074570.db2.gz QILSLFDCUCPLDA-SECBINFHSA-N -1 1 305.386 1.239 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C(=O)N1Cc2ccccc2C1 ZINC000357531090 410085679 /nfs/dbraw/zinc/08/56/79/410085679.db2.gz SBNOTNWPBXHHQV-NSHDSACASA-N -1 1 311.341 1.448 20 0 DDADMM C[C@@H](CNC(=O)CNC(=O)c1ncccc1[O-])c1ccccc1 ZINC000343044822 410099186 /nfs/dbraw/zinc/09/91/86/410099186.db2.gz XVYZZRADMUTMOI-LBPRGKRZSA-N -1 1 313.357 1.437 20 0 DDADMM Cc1noc(C)c1CSCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000354808452 410101858 /nfs/dbraw/zinc/10/18/58/410101858.db2.gz XIKGPHNZRVGPHU-UHFFFAOYSA-N -1 1 310.383 1.089 20 0 DDADMM CC(C)(NC(=O)c1csc([C@@H]2CCCO2)n1)c1nn[n-]n1 ZINC000339359743 410124955 /nfs/dbraw/zinc/12/49/55/410124955.db2.gz JWQRRXCZNHIHJN-QMMMGPOBSA-N -1 1 308.367 1.173 20 0 DDADMM NC(=O)c1ccc(=NC[C@@H](Cc2ccccc2)C(F)(F)F)[n-]n1 ZINC000357621536 410143970 /nfs/dbraw/zinc/14/39/70/410143970.db2.gz AIQPFHANRIPZPQ-LLVKDONJSA-N -1 1 324.306 1.831 20 0 DDADMM CNS(=O)(=O)C[C@@H]1CCCN1Cc1cccc([O-])c1Cl ZINC000351713020 410172259 /nfs/dbraw/zinc/17/22/59/410172259.db2.gz IQLRWJOHVQMZLA-NSHDSACASA-N -1 1 318.826 1.559 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1C[C@@H]2COC[C@H](C1)O2 ZINC000333081554 410344811 /nfs/dbraw/zinc/34/48/11/410344811.db2.gz QQHZZECLEKSNQL-AOOOYVTPSA-N -1 1 317.263 1.651 20 0 DDADMM Cc1cc(=NC(=O)c2cnc3c(F)cc(F)cc3c2[O-])[nH]n1C ZINC000355163803 410354249 /nfs/dbraw/zinc/35/42/49/410354249.db2.gz NWZHVWJSOSVFMS-UHFFFAOYSA-N -1 1 318.283 1.522 20 0 DDADMM N=c1nc(N2CCN(Cc3nc4ccccc4[nH]3)CC2)s[n-]1 ZINC000355079081 410297797 /nfs/dbraw/zinc/29/77/97/410297797.db2.gz JNBQSOFTAVYOLW-UHFFFAOYSA-N -1 1 315.406 1.149 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@H]1C ZINC000358354072 410455610 /nfs/dbraw/zinc/45/56/10/410455610.db2.gz NKFZXMDOAOMOLC-GHMZBOCLSA-N -1 1 317.393 1.229 20 0 DDADMM O=C(NC[C@H](CO)Cc1cccnc1)c1c([O-])cccc1F ZINC000299003405 410480446 /nfs/dbraw/zinc/48/04/46/410480446.db2.gz QJQOWHFGLYNUFB-GFCCVEGCSA-N -1 1 304.321 1.507 20 0 DDADMM CO[C@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)c1ccc(O)cc1 ZINC000352125514 410499606 /nfs/dbraw/zinc/49/96/06/410499606.db2.gz IJIPCXGGTYDXCV-QMMMGPOBSA-N -1 1 316.239 1.855 20 0 DDADMM O=C(N[C@@H](Cc1ccccc1)[C@H](O)C(F)F)c1cncc([O-])c1 ZINC000339958579 410577704 /nfs/dbraw/zinc/57/77/04/410577704.db2.gz IFZNWGFOZPBZMQ-KBPBESRZSA-N -1 1 322.311 1.754 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2nn(C)c3ccccc23)[n-]n1 ZINC000355736714 410667897 /nfs/dbraw/zinc/66/78/97/410667897.db2.gz PDZQVYJYPYYALL-UHFFFAOYSA-N -1 1 324.388 1.190 20 0 DDADMM CC[C@@H]1CCC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343709880 410638256 /nfs/dbraw/zinc/63/82/56/410638256.db2.gz DDIZSLXCSUVHAA-VXGBXAGGSA-N -1 1 305.378 1.602 20 0 DDADMM COc1cc(F)c([C@H](C)NCc2nc(=O)n(C)[n-]2)cc1OC ZINC000347654629 410655132 /nfs/dbraw/zinc/65/51/32/410655132.db2.gz QCHPFPNRHVANSW-QMMMGPOBSA-N -1 1 310.329 1.116 20 0 DDADMM CCOC(=O)C(C)(C)C(=O)CSc1nc(CC)cc(=O)[n-]1 ZINC000347780669 410713944 /nfs/dbraw/zinc/71/39/44/410713944.db2.gz RBILIPBFJMIWIR-UHFFFAOYSA-N -1 1 312.391 1.995 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)NC2CCOCC2)n1 ZINC000330532944 410754639 /nfs/dbraw/zinc/75/46/39/410754639.db2.gz NQNQKODUBGDXMY-UHFFFAOYSA-N -1 1 311.407 1.522 20 0 DDADMM O=C(C[C@H]1CCCC(=O)N1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000347906307 410785414 /nfs/dbraw/zinc/78/54/14/410785414.db2.gz ORVSEMGWELZLNS-GFCCVEGCSA-N -1 1 314.345 1.780 20 0 DDADMM O=C(Nc1nccc2sccc21)c1cc(=O)n2[n-]cnc2n1 ZINC000359731051 410840969 /nfs/dbraw/zinc/84/09/69/410840969.db2.gz QVGDKOUETBKMSO-UHFFFAOYSA-N -1 1 312.314 1.280 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)CCc3ccccc3)ccnc1-2 ZINC000340370614 410846428 /nfs/dbraw/zinc/84/64/28/410846428.db2.gz LMHOXEQTOLVUDK-UHFFFAOYSA-N -1 1 316.386 1.326 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)C1 ZINC000348708597 411061219 /nfs/dbraw/zinc/06/12/19/411061219.db2.gz XTMMADVIKWYBEM-NXEZZACHSA-N -1 1 318.402 1.790 20 0 DDADMM CNc1nc(C)cc(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)n1 ZINC000360221506 411104872 /nfs/dbraw/zinc/10/48/72/411104872.db2.gz WZWPCVDWWZPVOE-UHFFFAOYSA-N -1 1 314.374 1.374 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)CC2CCC(F)(F)CC2)n1 ZINC000629953930 422892908 /nfs/dbraw/zinc/89/29/08/422892908.db2.gz WGTRFQSPVQDBOO-UHFFFAOYSA-N -1 1 322.337 1.362 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)CC2CCC(F)(F)CC2)[n-]1 ZINC000629953930 422892909 /nfs/dbraw/zinc/89/29/09/422892909.db2.gz WGTRFQSPVQDBOO-UHFFFAOYSA-N -1 1 322.337 1.362 20 0 DDADMM COc1ccc(C[N-]S(=O)(=O)c2conc2C)cc1F ZINC000631722390 422894591 /nfs/dbraw/zinc/89/45/91/422894591.db2.gz ISIMBZMUEVYCKM-UHFFFAOYSA-N -1 1 300.311 1.609 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)Cc2cc(C)on2)c1 ZINC000631726830 422895180 /nfs/dbraw/zinc/89/51/80/422895180.db2.gz WRGBLPWEKGJVQQ-UHFFFAOYSA-N -1 1 312.347 1.518 20 0 DDADMM C[C@H](Cn1cccn1)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631736520 422898079 /nfs/dbraw/zinc/89/80/79/422898079.db2.gz MZFCHMIUMPQEDK-MRVPVSSYSA-N -1 1 305.306 1.781 20 0 DDADMM CC[C@H](C)Oc1cc(C)ccc1CNC(=O)CCc1nn[n-]n1 ZINC000631752739 422905352 /nfs/dbraw/zinc/90/53/52/422905352.db2.gz UDBLROZNMYBLBE-LBPRGKRZSA-N -1 1 317.393 1.934 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)nn1C(C)C ZINC000580695340 422948308 /nfs/dbraw/zinc/94/83/08/422948308.db2.gz GSAMMCOEBKVSRB-UHFFFAOYSA-N -1 1 303.370 1.485 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCOC[C@@H]1C ZINC000131823705 196201841 /nfs/dbraw/zinc/20/18/41/196201841.db2.gz MIRJNFGRVUHUBA-JTQLQIEISA-N -1 1 318.377 1.232 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC[C@H]1[C@@H]1CCCCC1=O ZINC000132800264 196285354 /nfs/dbraw/zinc/28/53/54/196285354.db2.gz SGMROKZLWAGKHC-RYUDHWBXSA-N -1 1 304.346 1.388 20 0 DDADMM CO[C@H](C)c1nc(=NCC(C)(C)[C@@]2(O)CCOC2)s[n-]1 ZINC000645365931 423006837 /nfs/dbraw/zinc/00/68/37/423006837.db2.gz LHOAIPFZXNYSGJ-NOZJJQNGSA-N -1 1 301.412 1.257 20 0 DDADMM CC[C@@H](COC)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000133427511 196330334 /nfs/dbraw/zinc/33/03/34/196330334.db2.gz CIDADFYWVXJHBK-LBPRGKRZSA-N -1 1 320.393 1.526 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCCO1 ZINC000645594717 423099173 /nfs/dbraw/zinc/09/91/73/423099173.db2.gz GPAHNNBVLFHICZ-NSHDSACASA-N -1 1 324.324 1.948 20 0 DDADMM CC(C)(CO)C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645613274 423105908 /nfs/dbraw/zinc/10/59/08/423105908.db2.gz IIEKDJKAVOQMJQ-UHFFFAOYSA-N -1 1 312.313 1.397 20 0 DDADMM O=S(=O)([N-]CCN1CCC1)c1ncccc1C(F)(F)F ZINC000645618535 423108931 /nfs/dbraw/zinc/10/89/31/423108931.db2.gz JRAWQTLPILVOJU-UHFFFAOYSA-N -1 1 309.313 1.084 20 0 DDADMM NC(=O)c1cccc(-c2nc(-c3ccc([O-])cc3F)no2)n1 ZINC000350842576 306757894 /nfs/dbraw/zinc/75/78/94/306757894.db2.gz PLMIPYYFLPMTRB-UHFFFAOYSA-N -1 1 300.249 1.742 20 0 DDADMM CO[C@@H]1CCC[C@@H](C(=O)NCc2cc(=O)[n-]c(SC)n2)C1 ZINC000640655334 423116847 /nfs/dbraw/zinc/11/68/47/423116847.db2.gz LIEUVHMUFLZOES-MWLCHTKSSA-N -1 1 311.407 1.726 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCn2c(CC3CC3)nnc2C1 ZINC000652750265 423116214 /nfs/dbraw/zinc/11/62/14/423116214.db2.gz JICBQNKBUMFUQR-UHFFFAOYSA-N -1 1 316.336 1.731 20 0 DDADMM O=C(NC[C@H](CO)c1ccccc1Cl)c1cncc([O-])c1 ZINC000360457458 418440397 /nfs/dbraw/zinc/44/03/97/418440397.db2.gz AFJHZGBHCNAELT-LLVKDONJSA-N -1 1 306.749 1.947 20 0 DDADMM CC(C)(CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)C(F)(F)F ZINC000373751889 418457201 /nfs/dbraw/zinc/45/72/01/418457201.db2.gz MKSKFAGUKFGJEH-SSDOTTSWSA-N -1 1 307.276 1.078 20 0 DDADMM Cc1cc(C)cc(CCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000366475863 418478247 /nfs/dbraw/zinc/47/82/47/418478247.db2.gz VXFKHLWYXTZAGV-AWEZNQCLSA-N -1 1 315.377 1.349 20 0 DDADMM O=C([N-][C@H]1CC(=O)N([C@@H]2C[C@@H]2c2ccccc2)C1)C(F)(F)F ZINC000374133655 418492743 /nfs/dbraw/zinc/49/27/43/418492743.db2.gz WLLDDUPWASWSMU-QJPTWQEYSA-N -1 1 312.291 1.822 20 0 DDADMM CCSc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cn1 ZINC000366708492 418507872 /nfs/dbraw/zinc/50/78/72/418507872.db2.gz GXYCLOFMQRIJGF-NSHDSACASA-N -1 1 318.406 1.727 20 0 DDADMM CCC[C@@H](C)CS(=O)(=O)[N-][C@H](CC(F)(F)F)C(=O)OC ZINC000360845115 418531966 /nfs/dbraw/zinc/53/19/66/418531966.db2.gz JJGAJILIFGLWMJ-RKDXNWHRSA-N -1 1 319.345 1.836 20 0 DDADMM CCC(CC)c1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)on1 ZINC000360939261 418551490 /nfs/dbraw/zinc/55/14/90/418551490.db2.gz BYCVUWFWWQXENL-GFCCVEGCSA-N -1 1 320.353 1.305 20 0 DDADMM CN(C)C(=O)c1ccc(S(=O)(=O)[N-]c2ncccc2F)cc1 ZINC000194804837 222184057 /nfs/dbraw/zinc/18/40/57/222184057.db2.gz ONPDXYNHZXMQCC-UHFFFAOYSA-N -1 1 323.349 1.723 20 0 DDADMM CCCCNC(=O)[C@@H](C)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000315945525 418598836 /nfs/dbraw/zinc/59/88/36/418598836.db2.gz HWRLDVYWRNPMGL-IUODEOHRSA-N -1 1 304.390 1.975 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(C2CCC(C)CC2)C1 ZINC000367769900 418632159 /nfs/dbraw/zinc/63/21/59/418632159.db2.gz CJRYJUJVPUJWED-MOKVOYLWSA-N -1 1 312.410 1.498 20 0 DDADMM CC[C@@H]1CO[C@@H](CC)CN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000650362448 423140338 /nfs/dbraw/zinc/14/03/38/423140338.db2.gz GAEKAHRPHXBCPG-NEPJUHHUSA-N -1 1 315.373 1.953 20 0 DDADMM COCCc1nsc(N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000368171121 418699283 /nfs/dbraw/zinc/69/92/83/418699283.db2.gz MQINVUYJKLWRDW-MRVPVSSYSA-N -1 1 311.367 1.200 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)c1ccc(C(=O)[O-])cn1 ZINC000387871885 418744798 /nfs/dbraw/zinc/74/47/98/418744798.db2.gz BKDYMXWHEWMCMK-WCQYABFASA-N -1 1 303.362 1.479 20 0 DDADMM COCC(COC)NC(=O)c1ccc(Br)cc1[O-] ZINC000388456421 418747255 /nfs/dbraw/zinc/74/72/55/418747255.db2.gz UXMMGCAJYLIBEX-UHFFFAOYSA-N -1 1 318.167 1.546 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]2[C@@H]2COCC[C@@]2(C)O)c([O-])c1 ZINC000408065667 418785036 /nfs/dbraw/zinc/78/50/36/418785036.db2.gz PLSYXPREHNZXAG-OGHNNQOOSA-N -1 1 320.389 1.488 20 0 DDADMM CCC[C@@]1(CO)CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000365600879 418880995 /nfs/dbraw/zinc/88/09/95/418880995.db2.gz QMHDPNASSLVZFE-MRXNPFEDSA-N -1 1 318.377 1.299 20 0 DDADMM NC(=O)c1[nH]nnc1NC(=O)c1cccc([C@H]2CCCOC2)c1 ZINC000410856789 418852025 /nfs/dbraw/zinc/85/20/25/418852025.db2.gz GTYOGZNZAXOJRL-NSHDSACASA-N -1 1 315.333 1.050 20 0 DDADMM Cc1ccc(CCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)s1 ZINC000365880055 418918808 /nfs/dbraw/zinc/91/88/08/418918808.db2.gz MVPOJAXYILJZSK-NSHDSACASA-N -1 1 307.379 1.102 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC(=O)NCC2CC2)c(F)c1 ZINC000425172093 228379799 /nfs/dbraw/zinc/37/97/99/228379799.db2.gz SYFGGPJRMDXNQS-UHFFFAOYSA-N -1 1 318.345 1.078 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC2(CC(N)=O)CC2)c(F)c1 ZINC000425185651 228384596 /nfs/dbraw/zinc/38/45/96/228384596.db2.gz LUFTUTMJACXKHQ-UHFFFAOYSA-N -1 1 318.345 1.207 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCOC[C@H]2C)c(F)c1 ZINC000425185480 228384802 /nfs/dbraw/zinc/38/48/02/228384802.db2.gz GYNBBMLJKWKRHS-BXKDBHETSA-N -1 1 305.346 1.977 20 0 DDADMM Cc1cnc(C(=O)NC[C@@]2(CO)C[C@@H]2c2ccccc2)c([O-])c1 ZINC000426736472 419542217 /nfs/dbraw/zinc/54/22/17/419542217.db2.gz JFMYFJAJIKKOTH-RDTXWAMCSA-N -1 1 312.369 1.992 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(-c2ncon2)cc1)OC ZINC000421154006 419508484 /nfs/dbraw/zinc/50/84/84/419508484.db2.gz MMBLWIHJIFLGGX-GFCCVEGCSA-N -1 1 311.363 1.903 20 0 DDADMM O=C(Nc1cccn(CC(F)(F)F)c1=O)c1ncccc1[O-] ZINC000427151148 419604015 /nfs/dbraw/zinc/60/40/15/419604015.db2.gz UKHHAMUNZDNWGV-UHFFFAOYSA-N -1 1 313.235 1.764 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C(N)=O)c2ccc(F)cc2)c([O-])c1 ZINC000427828535 419745326 /nfs/dbraw/zinc/74/53/26/419745326.db2.gz UVWQILMNYAYRNZ-LBPRGKRZSA-N -1 1 303.293 1.191 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CN(c3ccc(F)cc3)C2=O)c([O-])c1 ZINC000428049759 419801834 /nfs/dbraw/zinc/80/18/34/419801834.db2.gz UNHGBYCMZZTKFQ-LBPRGKRZSA-N -1 1 315.304 1.380 20 0 DDADMM COc1ccccc1CC(C)(C)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000430330100 420108658 /nfs/dbraw/zinc/10/86/58/420108658.db2.gz IITWFBIROOCHDL-UHFFFAOYSA-N -1 1 315.377 1.583 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@H]2CCc3cnn(C)c3C2)[n-]1 ZINC000415635769 420130979 /nfs/dbraw/zinc/13/09/79/420130979.db2.gz DELTYOUUQSAZIY-VIFPVBQESA-N -1 1 317.349 1.064 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCc3cnn(C)c3C2)n1 ZINC000415635769 420130983 /nfs/dbraw/zinc/13/09/83/420130983.db2.gz DELTYOUUQSAZIY-VIFPVBQESA-N -1 1 317.349 1.064 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(C(=O)OCC)CCC2)n1 ZINC000415649617 420135704 /nfs/dbraw/zinc/13/57/04/420135704.db2.gz QOBMMYCVCUYWMB-UHFFFAOYSA-N -1 1 309.322 1.258 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1c2ccccc2C[C@H]1C ZINC000416157999 420260665 /nfs/dbraw/zinc/26/06/65/420260665.db2.gz JSIZWQHMHGTNFI-KCJUWKMLSA-N -1 1 315.329 1.560 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@H]1CCCC[C@H]1C ZINC000416166009 420264292 /nfs/dbraw/zinc/26/42/92/420264292.db2.gz JNGXVVPSEPJQNB-NXEZZACHSA-N -1 1 309.366 1.843 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](SC)C(C)(C)C ZINC000416192713 420275949 /nfs/dbraw/zinc/27/59/49/420275949.db2.gz BICCDYHURSKUBB-ZETCQYMHSA-N -1 1 315.395 1.404 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccc(-c2n[nH]c(C)n2)cc1 ZINC000436478728 420331225 /nfs/dbraw/zinc/33/12/25/420331225.db2.gz RSWLCBOUBPAFEK-UHFFFAOYSA-N -1 1 324.406 1.947 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)COC2CCOCC2)c1 ZINC000436504919 420334008 /nfs/dbraw/zinc/33/40/08/420334008.db2.gz MJYZNINUFNSMTG-UHFFFAOYSA-N -1 1 309.318 1.313 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCc2ccc(=O)[nH]c2)c1 ZINC000436507186 420334171 /nfs/dbraw/zinc/33/41/71/420334171.db2.gz VNZSKKUXJMWMDD-UHFFFAOYSA-N -1 1 316.313 1.851 20 0 DDADMM CC[C@H](C(=O)OC)N1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000436526669 420337394 /nfs/dbraw/zinc/33/73/94/420337394.db2.gz AZBAODOFXLDJEC-CQSZACIVSA-N -1 1 324.352 1.241 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000425319072 420347881 /nfs/dbraw/zinc/34/78/81/420347881.db2.gz IZHQGCLLIFZCQO-WDEREUQCSA-N -1 1 323.349 1.071 20 0 DDADMM Cn1[nH]c(-c2ccccc2)nc1=NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436635437 420348880 /nfs/dbraw/zinc/34/88/80/420348880.db2.gz NHHXYTUARRMKMC-UHFFFAOYSA-N -1 1 322.324 1.431 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2cccc(F)c2)C(=O)C1 ZINC000361365324 299853888 /nfs/dbraw/zinc/85/38/88/299853888.db2.gz OJAMEWLDDIXCKT-UHFFFAOYSA-N -1 1 315.304 1.415 20 0 DDADMM Cn1c(CNC(=O)C(=O)c2ccc([O-])cc2)nc2ccccc21 ZINC000436685356 420355627 /nfs/dbraw/zinc/35/56/27/420355627.db2.gz BMLOZMNBRUZMIG-UHFFFAOYSA-N -1 1 309.325 1.778 20 0 DDADMM C[C@](NC(=O)C(=O)c1ccc([O-])cc1)(C(N)=O)c1ccccc1 ZINC000436702211 420358059 /nfs/dbraw/zinc/35/80/59/420358059.db2.gz HFVJBIQQGLJETE-QGZVFWFLSA-N -1 1 312.325 1.092 20 0 DDADMM CCC[C@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CCCC1 ZINC000416256031 420298588 /nfs/dbraw/zinc/29/85/88/420298588.db2.gz YCVWQLGIVXJTIC-JTQLQIEISA-N -1 1 309.366 1.985 20 0 DDADMM O=S(=O)([N-]CC1=CCOCC1)c1ncccc1C(F)(F)F ZINC000645741955 423163877 /nfs/dbraw/zinc/16/38/77/423163877.db2.gz KHBMLJPYQYNXAR-UHFFFAOYSA-N -1 1 322.308 1.725 20 0 DDADMM O=C(Nc1cccc(-c2c[nH]nn2)c1)C(=O)c1ccc([O-])cc1 ZINC000437001769 420391935 /nfs/dbraw/zinc/39/19/35/420391935.db2.gz WXYBGMVVYZIDGK-UHFFFAOYSA-N -1 1 308.297 1.999 20 0 DDADMM C/C=C/C=C\C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000492319603 420521895 /nfs/dbraw/zinc/52/18/95/420521895.db2.gz GQIQUULFCQWTRK-IAROGAJJSA-N -1 1 322.386 1.904 20 0 DDADMM O=C(C=Cc1ccc(Cl)nc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000492363106 420534144 /nfs/dbraw/zinc/53/41/44/420534144.db2.gz FZRAHARRMIRRRE-QZPNVGJNSA-N -1 1 318.768 1.668 20 0 DDADMM Cc1cc(C(=O)Nc2cccc(O)c2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000439157986 420483515 /nfs/dbraw/zinc/48/35/15/420483515.db2.gz UQSFFIUSCZQZRI-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM Cc1cccc2c1O[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)C2 ZINC000450838195 420543732 /nfs/dbraw/zinc/54/37/32/420543732.db2.gz FBMYYPUBUFOBNB-PWSUYJOCSA-N -1 1 301.350 1.074 20 0 DDADMM CC(C)(O)C(C)(C)CN=c1ccc(C(=O)NC2CCCC2)n[n-]1 ZINC000450893822 420553760 /nfs/dbraw/zinc/55/37/60/420553760.db2.gz DIJCUVPKVUJRHN-UHFFFAOYSA-N -1 1 320.437 1.780 20 0 DDADMM CCC[C@@H]1CCCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000456863592 420556725 /nfs/dbraw/zinc/55/67/25/420556725.db2.gz RHMSVLJCRFLMNQ-NEPJUHHUSA-N -1 1 317.393 1.754 20 0 DDADMM COc1cc(F)cc(C[C@H](C)N=c2[n-]c(C(N)=O)cs2)c1 ZINC000450948596 420567188 /nfs/dbraw/zinc/56/71/88/420567188.db2.gz GXIJSUODGWIGLR-QMMMGPOBSA-N -1 1 309.366 1.855 20 0 DDADMM C[C@@H](CN1CCOCC1)[N-]S(=O)(=O)c1sccc1Cl ZINC000451057358 420590524 /nfs/dbraw/zinc/59/05/24/420590524.db2.gz QFFMUJKBMUQVIV-VIFPVBQESA-N -1 1 324.855 1.401 20 0 DDADMM CC(=O)Nc1cccc(C(=O)NC(C)(C)c2nn[n-]n2)c1C ZINC000442672455 420698785 /nfs/dbraw/zinc/69/87/85/420698785.db2.gz QEORGZWBINDQPE-UHFFFAOYSA-N -1 1 302.338 1.132 20 0 DDADMM COC[C@@H](CNC(=O)c1cc(Cl)c([O-])c(OC)c1)OC ZINC000442802137 420718502 /nfs/dbraw/zinc/71/85/02/420718502.db2.gz OMMILZMGAFNKBY-SECBINFHSA-N -1 1 303.742 1.445 20 0 DDADMM O=C(/C=C\Sc1cc(F)ccc1F)NC1(c2nn[n-]n2)CC1 ZINC000493749030 420931848 /nfs/dbraw/zinc/93/18/48/420931848.db2.gz FYJGRVYNELWHQX-UTCJRWHESA-N -1 1 323.328 1.889 20 0 DDADMM CNC(=O)c1ccc(=NC[C@@H]2SCCc3ccccc32)[n-]n1 ZINC000487666549 421043342 /nfs/dbraw/zinc/04/33/42/421043342.db2.gz LGDQFCQVXJRTSE-AWEZNQCLSA-N -1 1 314.414 1.701 20 0 DDADMM CN(C)C(=O)OC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000495703092 421050046 /nfs/dbraw/zinc/05/00/46/421050046.db2.gz ZGQGSAVIZYQSGA-LLVKDONJSA-N -1 1 307.350 1.480 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)N(C)CCCC(=O)[O-])cn1 ZINC000455757931 421059905 /nfs/dbraw/zinc/05/99/05/421059905.db2.gz RCLBBPRVNLKBQF-UHFFFAOYSA-N -1 1 305.338 1.230 20 0 DDADMM C[C@@H](NC(=O)OC(C)(C)C)[C@@H](C)Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000496016830 421117618 /nfs/dbraw/zinc/11/76/18/421117618.db2.gz UTSLPHVOTUTEHI-HTQZYQBOSA-N -1 1 322.369 1.146 20 0 DDADMM COC(=O)C1(NC(=O)c2cc(Cl)ccc2[O-])CCOCC1 ZINC000456388296 421158673 /nfs/dbraw/zinc/15/86/73/421158673.db2.gz WJVLKDRJOBFHBJ-UHFFFAOYSA-N -1 1 313.737 1.498 20 0 DDADMM O=C(C=Cc1cccc(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000492223563 421215710 /nfs/dbraw/zinc/21/57/10/421215710.db2.gz UZFYAQBNSCHBRL-NNNHXZLVSA-N -1 1 301.325 1.758 20 0 DDADMM O=C(CCCC1CCOCC1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000545276861 421249038 /nfs/dbraw/zinc/24/90/38/421249038.db2.gz CUVWNIJZLWAPEE-CYBMUJFWSA-N -1 1 307.398 1.503 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccc(F)c(F)c1 ZINC000560347527 421252046 /nfs/dbraw/zinc/25/20/46/421252046.db2.gz QMYXKAJUOCIFLO-GXSJLCMTSA-N -1 1 321.331 1.988 20 0 DDADMM CN(Cc1ccccc1S(N)(=O)=O)Cc1ncccc1[O-] ZINC000560565065 421281465 /nfs/dbraw/zinc/28/14/65/421281465.db2.gz SSSXIPYMRDJFFA-UHFFFAOYSA-N -1 1 307.375 1.067 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnc([C@@H]2CCCO2)s1)c1nn[n-]n1 ZINC000547488385 421337169 /nfs/dbraw/zinc/33/71/69/421337169.db2.gz YGOJMUNBFUAZMC-BDAKNGLRSA-N -1 1 322.394 1.383 20 0 DDADMM O=C(Cc1cccc(Cl)c1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000562175981 421343042 /nfs/dbraw/zinc/34/30/42/421343042.db2.gz JRDQKLDSARBEEO-SNVBAGLBSA-N -1 1 323.759 1.941 20 0 DDADMM O=C(NC[C@@H](O)COc1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000562268051 421346527 /nfs/dbraw/zinc/34/65/27/421346527.db2.gz RJDPUEZFIKXZMX-CQSZACIVSA-N -1 1 315.325 1.131 20 0 DDADMM C[C@@H](CC(C)(C)NS(=O)(=O)[C@@H](C)C(F)(F)F)C(=O)[O-] ZINC000547639659 421349056 /nfs/dbraw/zinc/34/90/56/421349056.db2.gz NVUIRRKCMIDTIH-BQBZGAKWSA-N -1 1 305.318 1.746 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cccc(C(C)C)c2)[n-]n1C ZINC000548150154 421406829 /nfs/dbraw/zinc/40/68/29/421406829.db2.gz UZBWVFZUOYJOIP-UHFFFAOYSA-N -1 1 309.391 1.775 20 0 DDADMM COc1cc[nH]c(=O)c1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000507533904 421427484 /nfs/dbraw/zinc/42/74/84/421427484.db2.gz NTSNRNHPPAQZRJ-UHFFFAOYSA-N -1 1 303.200 1.185 20 0 DDADMM O=C(NCc1n[nH]cc1Br)c1c([O-])cccc1F ZINC000548450995 421441316 /nfs/dbraw/zinc/44/13/16/421441316.db2.gz ZYCKFWFWTFZRSW-UHFFFAOYSA-N -1 1 314.114 1.947 20 0 DDADMM O=C([O-])c1ccc(N2CCN(CCc3cccs3)CC2)nn1 ZINC000515063382 421478258 /nfs/dbraw/zinc/47/82/58/421478258.db2.gz LFTSSSDSHJPPDO-UHFFFAOYSA-N -1 1 318.402 1.601 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCC[C@H]([C@@H](C)O)C1 ZINC000563852553 421549399 /nfs/dbraw/zinc/54/93/99/421549399.db2.gz XCNUMSAXIXGYBS-ZJUUUORDSA-N -1 1 311.407 1.446 20 0 DDADMM CCN1C[C@H](C)N(C(=O)N=c2[n-]nc(C3CC3)s2)C[C@@H]1C ZINC000551940956 421556608 /nfs/dbraw/zinc/55/66/08/421556608.db2.gz ZZZHKLGYGFEINF-UWVGGRQHSA-N -1 1 309.439 1.784 20 0 DDADMM C[C@@H](NCc1nc(Cc2cccc(F)c2)no1)[C@@H](C)C(=O)[O-] ZINC000516657033 421560660 /nfs/dbraw/zinc/56/06/60/421560660.db2.gz SOYNNIDTUVHGQK-NXEZZACHSA-N -1 1 307.325 1.998 20 0 DDADMM Cn1cc([C@H]2C[C@@H](NC(=O)c3cc(F)ccc3[O-])CCO2)cn1 ZINC000552447204 421588101 /nfs/dbraw/zinc/58/81/01/421588101.db2.gz ITRBVWUMCFMLGF-SWLSCSKDSA-N -1 1 319.336 1.915 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)COCCc1ccccc1 ZINC000517245088 421605177 /nfs/dbraw/zinc/60/51/77/421605177.db2.gz RPQSUCIJYXWSNX-UHFFFAOYSA-N -1 1 303.318 1.394 20 0 DDADMM C[C@@H]1CCN(CC(=O)N(C)Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000553247126 421608535 /nfs/dbraw/zinc/60/85/35/421608535.db2.gz IXVWHHCTMQYBDT-SNVBAGLBSA-N -1 1 320.418 1.697 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2cc(F)ccc2[O-])[C@@H]1n1cccn1 ZINC000572037382 421760588 /nfs/dbraw/zinc/76/05/88/421760588.db2.gz GHWDATGIPNBIQF-YUELXQCFSA-N -1 1 319.336 1.876 20 0 DDADMM CO[C@@H]1C[C@@H](CC(=O)[O-])N(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000571975020 421756012 /nfs/dbraw/zinc/75/60/12/421756012.db2.gz FNMGTBHGYMSQHG-WDEREUQCSA-N -1 1 319.317 1.374 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cccc([C@H]2CCCOC2)c1 ZINC000572573838 421800588 /nfs/dbraw/zinc/80/05/88/421800588.db2.gz KTQYRFLUHUXLQK-ZDUSSCGKSA-N -1 1 313.361 1.513 20 0 DDADMM CCOc1ccc(C[C@H](C)CNC(=O)CCc2nn[n-]n2)cc1 ZINC000633380902 421875653 /nfs/dbraw/zinc/87/56/53/421875653.db2.gz ORVCDHFWVKMAMS-LBPRGKRZSA-N -1 1 317.393 1.526 20 0 DDADMM COc1ccc(CNCc2cc(C(=O)[O-])nn2C)cc1OC ZINC000635305465 421894931 /nfs/dbraw/zinc/89/49/31/421894931.db2.gz XJJDHSPRRMYKMP-UHFFFAOYSA-N -1 1 305.334 1.425 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC[C@@H]3CCOC3)cnc2n1 ZINC000543387374 421837142 /nfs/dbraw/zinc/83/71/42/421837142.db2.gz ZZDITVJRZMYVMQ-LLVKDONJSA-N -1 1 301.346 1.800 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC[C@@H]1CCOC1)c2=O ZINC000543387374 421837143 /nfs/dbraw/zinc/83/71/43/421837143.db2.gz ZZDITVJRZMYVMQ-LLVKDONJSA-N -1 1 301.346 1.800 20 0 DDADMM Cc1ccc(C)c(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000630223536 421972729 /nfs/dbraw/zinc/97/27/29/421972729.db2.gz LBZSZNPUGAGCPM-OAHLLOKOSA-N -1 1 318.417 1.853 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc3n[nH]cc3c2)CC1 ZINC000630221666 421972891 /nfs/dbraw/zinc/97/28/91/421972891.db2.gz AIKIGHWZIVUSIP-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(c2c(F)cccc2F)CCC1 ZINC000573081863 421912338 /nfs/dbraw/zinc/91/23/38/421912338.db2.gz MFPOHOQISXTPMI-UHFFFAOYSA-N -1 1 319.315 1.705 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000630158701 421926268 /nfs/dbraw/zinc/92/62/68/421926268.db2.gz UMIUJHUSXHSWGT-ABAIWWIYSA-N -1 1 313.357 1.799 20 0 DDADMM COc1cc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)ccc1C ZINC000630223115 421973470 /nfs/dbraw/zinc/97/34/70/421973470.db2.gz HYRINEFIXQDRPP-ZDUSSCGKSA-N -1 1 320.389 1.625 20 0 DDADMM C[C@@](CNC(=O)[C@@H]1CCCN1C1CC1)(C(=O)[O-])c1ccccc1 ZINC000630172147 421937095 /nfs/dbraw/zinc/93/70/95/421937095.db2.gz ZNYATOKINWNWLH-YJBOKZPZSA-N -1 1 316.401 1.772 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(N2CCC2)nc1)c1nn[n-]n1 ZINC000581291238 421939228 /nfs/dbraw/zinc/93/92/28/421939228.db2.gz CIWUVTSNUGLLAT-LLVKDONJSA-N -1 1 301.354 1.076 20 0 DDADMM CC(C)[C@H]1CN(S(=O)(=O)c2cccc(Cl)c2[O-])CCN1 ZINC000631930291 421948016 /nfs/dbraw/zinc/94/80/16/421948016.db2.gz CPWNOGSWDGLOMU-LLVKDONJSA-N -1 1 318.826 1.664 20 0 DDADMM Cc1ccccc1CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630223520 421972604 /nfs/dbraw/zinc/97/26/04/421972604.db2.gz JMVOMYUILXMBLI-AWEZNQCLSA-N -1 1 304.390 1.545 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H](c1ccccc1)[C@@H]1CCCO1 ZINC000635485904 422031281 /nfs/dbraw/zinc/03/12/81/422031281.db2.gz HEWXHFPEQAXBTP-XJKSGUPXSA-N -1 1 315.377 1.559 20 0 DDADMM CC(C)(CNC(=O)CCCc1nn[n-]n1)c1ccc(F)cc1 ZINC000635497237 422046061 /nfs/dbraw/zinc/04/60/61/422046061.db2.gz XOVBESNZJPQVPQ-UHFFFAOYSA-N -1 1 305.357 1.756 20 0 DDADMM Cc1ccc(F)cc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630224159 421976826 /nfs/dbraw/zinc/97/68/26/421976826.db2.gz ODFJULIJUHSBQG-GFCCVEGCSA-N -1 1 308.353 1.755 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630226891 421977513 /nfs/dbraw/zinc/97/75/13/421977513.db2.gz STZNXHAXESNKQI-SNVBAGLBSA-N -1 1 323.393 1.402 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CCc2occc2[C@H]1C(=O)[O-] ZINC000630236009 421982717 /nfs/dbraw/zinc/98/27/17/421982717.db2.gz CHMALWIIFGYVGH-RNCFNFMXSA-N -1 1 303.318 1.392 20 0 DDADMM O=C([O-])[C@@H]1CSCCN1CCOCC(F)(F)C(F)(F)F ZINC000581500027 421988543 /nfs/dbraw/zinc/98/85/43/421988543.db2.gz BGCRUOVDRVUNNU-ZETCQYMHSA-N -1 1 323.283 1.703 20 0 DDADMM COCC[N@@H+]1CCN(C(=O)c2c(C)cccc2O)C(C)(C)C1 ZINC000628007974 421995415 /nfs/dbraw/zinc/99/54/15/421995415.db2.gz RZKBBQRILXZEQU-UHFFFAOYSA-N -1 1 306.406 1.883 20 0 DDADMM C[C@H]1Cc2ccccc2N1CCNC(=O)CCCc1nn[n-]n1 ZINC000635446801 421995689 /nfs/dbraw/zinc/99/56/89/421995689.db2.gz UQYCORFJWJENPL-LBPRGKRZSA-N -1 1 314.393 1.090 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCCOC(C)(C)C2)c1 ZINC000631983698 421998524 /nfs/dbraw/zinc/99/85/24/421998524.db2.gz GIAXWZZTVXMSCS-UHFFFAOYSA-N -1 1 315.391 1.590 20 0 DDADMM OC[C@@H](CN=c1nc(C(F)(F)F)[n-]s1)Cc1ccco1 ZINC000573750678 422009798 /nfs/dbraw/zinc/00/97/98/422009798.db2.gz QWDYPULMSGZZKO-SSDOTTSWSA-N -1 1 307.297 1.835 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC(OCCO)CC1 ZINC000632136300 422111072 /nfs/dbraw/zinc/11/10/72/422111072.db2.gz PBMFTEVXPFOMFT-UHFFFAOYSA-N -1 1 313.781 1.588 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(C)nc1C(C)C ZINC000633690215 422050739 /nfs/dbraw/zinc/05/07/39/422050739.db2.gz ASYZFQUPSOYMGT-UHFFFAOYSA-N -1 1 315.377 1.579 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)C(C)C ZINC000632055934 422056435 /nfs/dbraw/zinc/05/64/35/422056435.db2.gz MBNYETREYXPAMH-SSDOTTSWSA-N -1 1 315.317 1.378 20 0 DDADMM CCC[C@H](CNC(=O)c1ccc(S(=O)(=O)[N-]C)o1)OCC ZINC000628349055 422142774 /nfs/dbraw/zinc/14/27/74/422142774.db2.gz YZADJFOYACNIMR-SNVBAGLBSA-N -1 1 318.395 1.123 20 0 DDADMM CC(F)(F)CCS(=O)(=O)[N-][C@H]1CN(c2ccccc2)C1=O ZINC000632198682 422155972 /nfs/dbraw/zinc/15/59/72/422155972.db2.gz LABOZICODIFQQX-NSHDSACASA-N -1 1 318.345 1.367 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC[C@H]2CCCCO2)c1 ZINC000632195949 422156032 /nfs/dbraw/zinc/15/60/32/422156032.db2.gz LWNJNDRYLJUMBM-LLVKDONJSA-N -1 1 315.391 1.638 20 0 DDADMM C[C@@H](c1nc2ccccc2s1)N(C)C(=O)CCc1nn[n-]n1 ZINC000630537733 422164369 /nfs/dbraw/zinc/16/43/69/422164369.db2.gz CUKSEFBXTGYRSI-VIFPVBQESA-N -1 1 316.390 1.962 20 0 DDADMM CCC[C@H]1C(=O)NCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632220212 422174139 /nfs/dbraw/zinc/17/41/39/422174139.db2.gz GDVJDCICUGFMHX-LBPRGKRZSA-N -1 1 310.781 1.715 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC2C[C@H](C)O[C@@H](C)C2)c1 ZINC000632167719 422134043 /nfs/dbraw/zinc/13/40/43/422134043.db2.gz VUXLYJRFTSAMLG-UWVGGRQHSA-N -1 1 315.391 1.635 20 0 DDADMM Cc1ccc2c(c1)N(C(=O)CCCc1nn[n-]n1)C[C@@H](C)O2 ZINC000635646187 422200530 /nfs/dbraw/zinc/20/05/30/422200530.db2.gz LJMMBMNXDISCJM-LLVKDONJSA-N -1 1 301.350 1.645 20 0 DDADMM CN(CCOc1cccc(Cl)c1)C(=O)CCc1nn[n-]n1 ZINC000630602883 422215327 /nfs/dbraw/zinc/21/53/27/422215327.db2.gz FYMFSYQGNBGNSE-UHFFFAOYSA-N -1 1 309.757 1.323 20 0 DDADMM C[C@H]1CSC[C@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632332181 422252814 /nfs/dbraw/zinc/25/28/14/422252814.db2.gz YXEZSGZYYRRXKZ-NTSWFWBYSA-N -1 1 315.342 1.458 20 0 DDADMM C[C@@H](OCCCNC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC000630578762 422195331 /nfs/dbraw/zinc/19/53/31/422195331.db2.gz BYZXHUXLOKWCHL-GFCCVEGCSA-N -1 1 303.366 1.416 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000575154261 422271433 /nfs/dbraw/zinc/27/14/33/422271433.db2.gz CRJANRVSOIFQHJ-APPZFPTMSA-N -1 1 319.317 1.543 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](C)Cc1ccsc1 ZINC000575368607 422293673 /nfs/dbraw/zinc/29/36/73/422293673.db2.gz MLEVUXRZERYSRO-SSDOTTSWSA-N -1 1 301.393 1.367 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)Cc1ccsc1 ZINC000575368607 422293676 /nfs/dbraw/zinc/29/36/76/422293676.db2.gz MLEVUXRZERYSRO-SSDOTTSWSA-N -1 1 301.393 1.367 20 0 DDADMM Cc1cc(C[C@H](C)[N-]S(=O)(=O)c2cc(C)ns2)[nH]n1 ZINC000632395831 422305395 /nfs/dbraw/zinc/30/53/95/422305395.db2.gz VODIACQXJGOYJP-VIFPVBQESA-N -1 1 300.409 1.393 20 0 DDADMM CCCN(CC(=O)N(C)C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630796564 422339669 /nfs/dbraw/zinc/33/96/69/422339669.db2.gz NOCCLLJVQYXYQZ-UHFFFAOYSA-N -1 1 312.797 1.915 20 0 DDADMM COc1ccc(CC[C@H](C)NC(=O)CCc2nn[n-]n2)cc1 ZINC000630839835 422366925 /nfs/dbraw/zinc/36/69/25/422366925.db2.gz LQTOSQAWRPSQNN-NSHDSACASA-N -1 1 303.366 1.278 20 0 DDADMM C[C@H]1CC[C@@H](C(N)=O)CN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630770169 422327707 /nfs/dbraw/zinc/32/77/07/422327707.db2.gz RRKGFESGAOSJKI-GXSJLCMTSA-N -1 1 310.781 1.701 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCCc2ccc(F)cc21 ZINC000635880748 422435326 /nfs/dbraw/zinc/43/53/26/422435326.db2.gz OTTNCSITORCJLH-ZDUSSCGKSA-N -1 1 303.341 1.855 20 0 DDADMM CCO[C@H]1C[C@H](NS(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000632552295 422432086 /nfs/dbraw/zinc/43/20/86/422432086.db2.gz QAWVFJUXBSPPNZ-HOMQSWHASA-N -1 1 301.364 1.247 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-][C@@H](C)[C@H](O)C(F)(F)F ZINC000632672333 422508901 /nfs/dbraw/zinc/50/89/01/422508901.db2.gz AXVUKYLJUCELSP-FSPLSTOPSA-N -1 1 319.326 1.347 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-][C@@H](C)[C@@H](O)C(F)(F)F)s1 ZINC000632673264 422509942 /nfs/dbraw/zinc/50/99/42/422509942.db2.gz IYXLMJXSXLEDSX-YLWLKBPMSA-N -1 1 318.342 1.296 20 0 DDADMM C[C@]1(CNC(=O)CCCc2nn[n-]n2)OCCc2sccc21 ZINC000635943598 422496754 /nfs/dbraw/zinc/49/67/54/422496754.db2.gz REHFXQJEZPOQJG-CQSZACIVSA-N -1 1 321.406 1.188 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cscc1Br ZINC000635983476 422538261 /nfs/dbraw/zinc/53/82/61/422538261.db2.gz MLIWJFMMFRQJGP-UHFFFAOYSA-N -1 1 316.184 1.985 20 0 DDADMM Cc1ccc(CC(C)(C)NC(=O)CCCc2nn[n-]n2)cc1 ZINC000636034028 422587565 /nfs/dbraw/zinc/58/75/65/422587565.db2.gz FNMSAKJEEZJSGU-UHFFFAOYSA-N -1 1 301.394 1.968 20 0 DDADMM Cc1cc2sc(NC(=O)CCc3nn[n-]n3)nc2cc1F ZINC000632827412 422608591 /nfs/dbraw/zinc/60/85/91/422608591.db2.gz WBIMIJFPSDDKAA-UHFFFAOYSA-N -1 1 306.326 1.828 20 0 DDADMM Cn1cc(C(C)(C)NC(=O)Cc2ccc([O-])c(Cl)c2)nn1 ZINC000636102567 422642957 /nfs/dbraw/zinc/64/29/57/422642957.db2.gz QEMJAZVICSVCTB-UHFFFAOYSA-N -1 1 308.769 1.768 20 0 DDADMM CC(C)CCOCCNC(=O)CN1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC000629494514 422700460 /nfs/dbraw/zinc/70/04/60/422700460.db2.gz ARLPBRREZNSVEP-KBPBESRZSA-N -1 1 314.426 1.208 20 0 DDADMM O=C(C(=O)N1CCC[C@H](n2ccnn2)CC1)c1ccc([O-])cc1 ZINC000636195919 422707313 /nfs/dbraw/zinc/70/73/13/422707313.db2.gz RSMRQZHSPSGVOC-ZDUSSCGKSA-N -1 1 314.345 1.420 20 0 DDADMM CCCN(Cc1cn(CC(=O)[O-])nn1)[C@H]1C[C@H](OCC)C1(C)C ZINC000579118763 422710737 /nfs/dbraw/zinc/71/07/37/422710737.db2.gz BGVVSEZORHHBTL-KBPBESRZSA-N -1 1 324.425 1.778 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCc1ccnc2ccccc12 ZINC000636169782 422692521 /nfs/dbraw/zinc/69/25/21/422692521.db2.gz LTKBRNISRCEQSG-UHFFFAOYSA-N -1 1 310.361 1.430 20 0 DDADMM Nc1nc(CN2CCCC[C@@H]2CC(=O)[O-])nc2ccccc12 ZINC000650507298 423181631 /nfs/dbraw/zinc/18/16/31/423181631.db2.gz PXJKUCUPSNHCOF-LLVKDONJSA-N -1 1 300.362 1.872 20 0 DDADMM O=C(c1ccc([O-])c(Cl)c1)N1CCN(Cc2nnc[nH]2)CC1 ZINC000652903584 423203876 /nfs/dbraw/zinc/20/38/76/423203876.db2.gz DCTCSWJLZSWWGL-UHFFFAOYSA-N -1 1 321.768 1.122 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1CCC(C(C)(C)O)CC1 ZINC000653028644 423264966 /nfs/dbraw/zinc/26/49/66/423264966.db2.gz CBLVCNODEKVCQI-UHFFFAOYSA-N -1 1 322.409 1.015 20 0 DDADMM CNS(=O)(=O)[C@@H]1CCN(Cc2cccc([O-])c2Cl)C1 ZINC000646103722 423335992 /nfs/dbraw/zinc/33/59/92/423335992.db2.gz XPPNECCTCCLHLD-SNVBAGLBSA-N -1 1 304.799 1.169 20 0 DDADMM CO[C@@H](C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1)c1ccccc1 ZINC000643909464 423402651 /nfs/dbraw/zinc/40/26/51/423402651.db2.gz ZZWMJIWSJCSYSD-GFCCVEGCSA-N -1 1 313.317 1.052 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(=O)N(Cc2ccco2)C1 ZINC000646413440 423480231 /nfs/dbraw/zinc/48/02/31/423480231.db2.gz ZBEDFPOJPQKUOH-VIFPVBQESA-N -1 1 304.306 1.004 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)C[C@@H]2C(C)(C)C2(F)F)n1 ZINC000641311416 423542839 /nfs/dbraw/zinc/54/28/39/423542839.db2.gz OZKLUXOVFVSOEP-HTRCEHHLSA-N -1 1 309.338 1.650 20 0 DDADMM COc1cc(C(=O)NCCCc2ncn(C)n2)cc(Cl)c1[O-] ZINC000648985700 423575341 /nfs/dbraw/zinc/57/53/41/423575341.db2.gz DKHQLDZVDNOPNE-UHFFFAOYSA-N -1 1 324.768 1.545 20 0 DDADMM CCc1nc(CNS(=O)(=O)c2ccc(Cl)c(F)c2)n[nH]1 ZINC000651721634 423657676 /nfs/dbraw/zinc/65/76/76/423657676.db2.gz LMJDOHBEPBNRCT-UHFFFAOYSA-N -1 1 318.761 1.638 20 0 DDADMM N=c1[n-]nc(C2CCN(C[C@H]3COc4ccccc4O3)CC2)o1 ZINC000639725514 423692041 /nfs/dbraw/zinc/69/20/41/423692041.db2.gz YBYCUHUUPFTLOU-LBPRGKRZSA-N -1 1 316.361 1.502 20 0 DDADMM CCN1C[C@@H](C[N-]S(=O)(=O)c2cc(F)ccc2F)CC1=O ZINC000651857719 423714440 /nfs/dbraw/zinc/71/44/40/423714440.db2.gz MTVWGJYFUJVSHV-SECBINFHSA-N -1 1 318.345 1.112 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccc2c1CN(C)C2=O)C1CC1 ZINC000647134271 423768615 /nfs/dbraw/zinc/76/86/15/423768615.db2.gz WCNSOWXLCVNMOT-CQSZACIVSA-N -1 1 324.402 1.439 20 0 DDADMM Cc1[nH]nc([N-]S(=O)(=O)CCCC2CCOCC2)c1C ZINC000647267874 423933362 /nfs/dbraw/zinc/93/33/62/423933362.db2.gz CIXVVFVRCXJGAF-UHFFFAOYSA-N -1 1 301.412 1.975 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCSC[C@@H]1C1CC1 ZINC000641765718 423960831 /nfs/dbraw/zinc/96/08/31/423960831.db2.gz SNTGRYWICKXVMF-CYBMUJFWSA-N -1 1 315.398 1.891 20 0 DDADMM COCC1([N-]S(=O)(=O)c2ncccc2Br)CC1 ZINC000656935535 423894366 /nfs/dbraw/zinc/89/43/66/423894366.db2.gz CDDCTUDYKGOFBN-UHFFFAOYSA-N -1 1 321.196 1.301 20 0 DDADMM C[C@H](C(=O)N(C)C[C@H](C)C(=O)[O-])N1CCc2ccccc2C1 ZINC000647250662 423911346 /nfs/dbraw/zinc/91/13/46/423911346.db2.gz PJZSUKGKWNYVKE-QWHCGFSZSA-N -1 1 304.390 1.612 20 0 DDADMM O=C(c1scc2c1OCCO2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000654943900 424045151 /nfs/dbraw/zinc/04/51/51/424045151.db2.gz PJUGWUPEFILUGX-MRVPVSSYSA-N -1 1 321.362 1.052 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C1(CO)CC1 ZINC000657103100 424112409 /nfs/dbraw/zinc/11/24/09/424112409.db2.gz RKDHGHUMVNTPKI-MRVPVSSYSA-N -1 1 307.774 1.918 20 0 DDADMM NC(=O)CC1(CNC(=O)N=c2[n-]sc3ccccc32)CC1 ZINC000640336845 424356876 /nfs/dbraw/zinc/35/68/76/424356876.db2.gz BTAXDJPODDZROE-UHFFFAOYSA-N -1 1 304.375 1.495 20 0 DDADMM COC[C@@H](CCNC(=O)N=c1[n-]sc2ccccc21)OC ZINC000640338387 424358601 /nfs/dbraw/zinc/35/86/01/424358601.db2.gz VUTHLKWJEBTOJS-SNVBAGLBSA-N -1 1 309.391 1.891 20 0 DDADMM C[C@H](NC(=O)N=c1[n-]sc2ccccc21)C(=O)NCCF ZINC000640340038 424364561 /nfs/dbraw/zinc/36/45/61/424364561.db2.gz MTFNKBJGGWPBLJ-QMMMGPOBSA-N -1 1 310.354 1.314 20 0 DDADMM CC(C)CCOC1CCN([C@@H]2CCN(CC(=O)[O-])C2=O)CC1 ZINC000662201427 424466588 /nfs/dbraw/zinc/46/65/88/424466588.db2.gz IVPLOCOMZWZDIC-CQSZACIVSA-N -1 1 312.410 1.199 20 0 DDADMM CN(C)S(=O)(=O)[N-]c1nn(-c2ccc(F)cc2)c2c1CCC2 ZINC000655588100 424583459 /nfs/dbraw/zinc/58/34/59/424583459.db2.gz SPCXKGBJOVXUMY-UHFFFAOYSA-N -1 1 324.381 1.718 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cccc(N)c1Cl)c1nn[n-]n1 ZINC000662642012 424720702 /nfs/dbraw/zinc/72/07/02/424720702.db2.gz KEWUALVKNNQUIK-SNVBAGLBSA-N -1 1 308.773 1.635 20 0 DDADMM CSc1ccc([O-])c(-c2nc(CC(=O)NC3CC3)no2)c1 ZINC000664841703 424708288 /nfs/dbraw/zinc/70/82/88/424708288.db2.gz LYXVWXWSTZZOPK-UHFFFAOYSA-N -1 1 305.359 1.985 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)N[C@H]1CCCc2cn[nH]c21)C1CCC1 ZINC000665307660 424779300 /nfs/dbraw/zinc/77/93/00/424779300.db2.gz LOHMQZNHPFXFIQ-RYUDHWBXSA-N -1 1 306.366 1.587 20 0 DDADMM O=C([O-])CSCC(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000323366640 271031073 /nfs/dbraw/zinc/03/10/73/271031073.db2.gz YPEPWKAHQRMGPD-UHFFFAOYSA-N -1 1 322.430 1.539 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1cc(Cl)ccc1F)C(=O)OC ZINC000341756869 271201235 /nfs/dbraw/zinc/20/12/35/271201235.db2.gz GIJIDHYATSQJGD-LLVKDONJSA-N -1 1 323.773 1.850 20 0 DDADMM C[C@@H](O)C[C@H]1CCCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000344742471 272106746 /nfs/dbraw/zinc/10/67/46/272106746.db2.gz UJVQJKXRQUGJSY-GHMZBOCLSA-N -1 1 318.377 1.440 20 0 DDADMM CO[C@@H](CC[N-]S(=O)(=O)c1c(C)noc1C)C(F)(F)F ZINC000345324284 272219981 /nfs/dbraw/zinc/21/99/81/272219981.db2.gz GVWWMTXBLYLCMZ-QMMMGPOBSA-N -1 1 316.301 1.537 20 0 DDADMM CC(C)C[C@H](CNC(=O)N1CCN(CC(C)C)CC1)C(=O)[O-] ZINC000316442332 279150643 /nfs/dbraw/zinc/15/06/43/279150643.db2.gz NBIAJQANIMOMHL-CQSZACIVSA-N -1 1 313.442 1.717 20 0 DDADMM NS(=O)(=O)Nc1cccc(NC(=O)c2ccccc2[O-])c1 ZINC000040217401 280866413 /nfs/dbraw/zinc/86/64/13/280866413.db2.gz VWGQVONDDBLUJK-UHFFFAOYSA-N -1 1 307.331 1.260 20 0 DDADMM O=C(NC[C@@H]1CCCS1(=O)=O)c1ccc(Cl)cc1[O-] ZINC000127030697 281260517 /nfs/dbraw/zinc/26/05/17/281260517.db2.gz XLMMPSODFFALPA-VIFPVBQESA-N -1 1 303.767 1.353 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2c(C)noc2C)c1 ZINC000152147965 281722292 /nfs/dbraw/zinc/72/22/92/281722292.db2.gz XBIDUOGEHHYAQB-UHFFFAOYSA-N -1 1 314.319 1.150 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])c1ccc(-n2cncn2)cc1 ZINC000171236677 298230399 /nfs/dbraw/zinc/23/03/99/298230399.db2.gz WPJKWQSGVYXZFE-LLVKDONJSA-N -1 1 309.329 1.859 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000172611629 298252019 /nfs/dbraw/zinc/25/20/19/298252019.db2.gz GOADFXNMKNUTCQ-RKDXNWHRSA-N -1 1 318.345 1.287 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(Cc2nnnn2-c2ccccc2)C1 ZINC000324422982 298262858 /nfs/dbraw/zinc/26/28/58/298262858.db2.gz SXCBWPIWOAUKRD-INIZCTEOSA-N -1 1 315.377 1.595 20 0 DDADMM CCC[C@H](C)[C@@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353993961 298333765 /nfs/dbraw/zinc/33/37/65/298333765.db2.gz YAYRXMZDKOIVEN-JQWIXIFHSA-N -1 1 303.366 1.458 20 0 DDADMM CCOc1cc(C(=O)N2CCn3ncnc3C2)cc(Cl)c1[O-] ZINC000354517059 298458113 /nfs/dbraw/zinc/45/81/13/298458113.db2.gz YQFUFTDQVLVNOG-UHFFFAOYSA-N -1 1 322.752 1.692 20 0 DDADMM COc1ccc(C(=O)C(=O)Nc2ccncc2[O-])cc1Cl ZINC000355091880 298632883 /nfs/dbraw/zinc/63/28/83/298632883.db2.gz AJLCJQHFHNTSKG-UHFFFAOYSA-N -1 1 306.705 1.693 20 0 DDADMM COC(=O)[C@]12CN(C(=O)c3ncc(C)cc3[O-])C[C@@H]1CCCC2 ZINC000356361432 298770566 /nfs/dbraw/zinc/77/05/66/298770566.db2.gz PIFJVJAGBQSNCJ-YVEFUNNKSA-N -1 1 318.373 1.901 20 0 DDADMM COCC[C@H](C)S(=O)(=O)[N-][C@H](C(=O)OC)c1cccs1 ZINC000362115723 300010181 /nfs/dbraw/zinc/01/01/81/300010181.db2.gz DKXPOHPZTVKJRO-ONGXEEELSA-N -1 1 321.420 1.307 20 0 DDADMM C[C@H]1CCN(C)CCN1C(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000362762629 300133527 /nfs/dbraw/zinc/13/35/27/300133527.db2.gz KZAPTNMUNQHQTH-ZDUSSCGKSA-N -1 1 314.389 1.744 20 0 DDADMM O=C([C@@H]1COc2ccccc2C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000362780857 300139420 /nfs/dbraw/zinc/13/94/20/300139420.db2.gz IUTTZZQGZNYCGZ-OLZOCXBDSA-N -1 1 313.361 1.157 20 0 DDADMM c1cc(-c2nc(=NC[C@H]3CN4CCCC[C@@H]4CO3)[n-]o2)co1 ZINC000363703655 300299220 /nfs/dbraw/zinc/29/92/20/300299220.db2.gz FZVRPLZIRGLTNI-OLZOCXBDSA-N -1 1 304.350 1.417 20 0 DDADMM FC(F)c1n[n-]c(=NC[C@@H]2CCC[C@H]2N2CCOCC2)s1 ZINC000368562318 301052912 /nfs/dbraw/zinc/05/29/12/301052912.db2.gz OWOGBTLPUVDDHF-VHSXEESVSA-N -1 1 318.393 1.810 20 0 DDADMM CCCS(=O)(=O)[N-][C@H](CO)c1nc(-c2ccccc2)no1 ZINC000369604996 301203537 /nfs/dbraw/zinc/20/35/37/301203537.db2.gz CPRCVKCQKSPMJM-LLVKDONJSA-N -1 1 311.363 1.099 20 0 DDADMM Cc1cc(C)cc(-c2n[n-]c(=NC(=O)N3CCNCC3)s2)c1 ZINC000415492771 301213975 /nfs/dbraw/zinc/21/39/75/301213975.db2.gz BBMITQBCJVJWRN-UHFFFAOYSA-N -1 1 317.418 1.681 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@]2(CCCOC2)O1 ZINC000370716543 301382977 /nfs/dbraw/zinc/38/29/77/301382977.db2.gz MTYSSEMZZDPAGI-BZNIZROVSA-N -1 1 309.337 1.941 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN[C@H](c2cccc(F)c2)C1 ZINC000374312364 301790821 /nfs/dbraw/zinc/79/08/21/301790821.db2.gz DYILSOYQSXFRQW-ZDUSSCGKSA-N -1 1 301.321 1.713 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1C[C@@H](c2cccc(C)c2)C1 ZINC000377887323 302247065 /nfs/dbraw/zinc/24/70/65/302247065.db2.gz DZWQQRITHJEILF-JOCQHMNTSA-N -1 1 321.402 1.929 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@@H](c2cccc(C)c2)C1 ZINC000377887323 302247067 /nfs/dbraw/zinc/24/70/67/302247067.db2.gz DZWQQRITHJEILF-JOCQHMNTSA-N -1 1 321.402 1.929 20 0 DDADMM CC[C@](C)(CNC(=O)OC(C)(C)C)C(=O)[N-]OCC(C)(C)O ZINC000495914554 302491998 /nfs/dbraw/zinc/49/19/98/302491998.db2.gz ZNMOSRFWYXSVNM-OAHLLOKOSA-N -1 1 318.414 1.746 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)c3cccc(Cl)c3)ccnc1-2 ZINC000340368857 302891117 /nfs/dbraw/zinc/89/11/17/302891117.db2.gz FQEOUTANOSWWHS-UHFFFAOYSA-N -1 1 322.777 1.796 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2cc(F)c(C)cc2F)n1 ZINC000528448163 303027658 /nfs/dbraw/zinc/02/76/58/303027658.db2.gz XKFHEJOPVYADOD-UHFFFAOYSA-N -1 1 316.333 1.363 20 0 DDADMM CC(C)[C@H]1CNCCN1C(=O)N=c1ccc(C(F)(F)F)n[n-]1 ZINC000528692299 303056485 /nfs/dbraw/zinc/05/64/85/303056485.db2.gz WUOBCJGHHQVETR-SECBINFHSA-N -1 1 317.315 1.379 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1ccc(F)c(Cl)c1)c1nn[n-]n1 ZINC000529018954 303081209 /nfs/dbraw/zinc/08/12/09/303081209.db2.gz DYCBSRMXRYNVSJ-MRVPVSSYSA-N -1 1 311.748 1.797 20 0 DDADMM O=C(NC[C@@H](O)[C@H]1CCCO1)c1ccc2ccccc2c1[O-] ZINC000529771463 303153613 /nfs/dbraw/zinc/15/36/13/303153613.db2.gz UBVLMVUAZPEKMH-HUUCEWRRSA-N -1 1 301.342 1.815 20 0 DDADMM COc1ccc(COCC[N@H+]2CC[C@@](OC)(C(=O)[O-])C2)cc1 ZINC000530168613 303178738 /nfs/dbraw/zinc/17/87/38/303178738.db2.gz DRURGYLXUYHNBI-INIZCTEOSA-N -1 1 309.362 1.387 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1CC(C)(C)OC1(C)C ZINC000530266330 303189460 /nfs/dbraw/zinc/18/94/60/303189460.db2.gz IABUJBXZJLTBGL-VIFPVBQESA-N -1 1 308.382 1.032 20 0 DDADMM Cc1nc([C@@H]2CCCN2C(=O)c2csc(=NC3CC3)[n-]2)no1 ZINC000531772325 303255805 /nfs/dbraw/zinc/25/58/05/303255805.db2.gz JRXLELCWNGEUPE-NSHDSACASA-N -1 1 319.390 1.808 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)Cc1ccccn1 ZINC000532033877 303271064 /nfs/dbraw/zinc/27/10/64/303271064.db2.gz BAGSVWCFARPQCG-UHFFFAOYSA-N -1 1 304.375 1.880 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCO[C@H]3CCCC[C@H]32)CCCC1 ZINC000533202504 303313507 /nfs/dbraw/zinc/31/35/07/303313507.db2.gz DPYJKXNBFXNZAR-OLZOCXBDSA-N -1 1 310.394 1.143 20 0 DDADMM Cn1c(CNC(=O)c2csc(=NC3CC3)[n-]2)nnc1C1CC1 ZINC000538651668 303386454 /nfs/dbraw/zinc/38/64/54/303386454.db2.gz XBAPVIJODDHQSI-UHFFFAOYSA-N -1 1 318.406 1.075 20 0 DDADMM Cc1ccc(-c2noc(=NCCN3CCSCC3)[n-]2)cc1 ZINC000538708243 303389260 /nfs/dbraw/zinc/38/92/60/303389260.db2.gz DZWJNCTWYVKZSN-UHFFFAOYSA-N -1 1 304.419 1.928 20 0 DDADMM CCCc1nc(C)c(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)s1 ZINC000363396167 307027195 /nfs/dbraw/zinc/02/71/95/307027195.db2.gz QKBRQDPJIHMDBD-SECBINFHSA-N -1 1 322.394 1.131 20 0 DDADMM CN(C)[C@@]1(C(=O)N2CCC[C@@H](C(=O)[O-])C2)CCc2ccccc21 ZINC000364200702 307043325 /nfs/dbraw/zinc/04/33/25/307043325.db2.gz KIWRKZKWDYMBBR-KDOFPFPSSA-N -1 1 316.401 1.713 20 0 DDADMM C[C@@H]1CC(=O)Oc2cc(OS(=O)(=O)c3c[n-]cn3)ccc21 ZINC000365197992 307061965 /nfs/dbraw/zinc/06/19/65/307061965.db2.gz MMPKFYOHRRHNIA-MRVPVSSYSA-N -1 1 308.315 1.590 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@@]2(CCOC2)O1 ZINC000366560527 307076443 /nfs/dbraw/zinc/07/64/43/307076443.db2.gz BZTIITXCQKHOGE-BLLLJJGKSA-N -1 1 309.337 1.941 20 0 DDADMM COC[C@@](C)(O)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000538527144 307675877 /nfs/dbraw/zinc/67/58/77/307675877.db2.gz TUYPDYMPIRVCHB-LBPRGKRZSA-N -1 1 307.268 1.538 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]Cc1nc([C@H]2C[C@@H]2C)no1 ZINC000547187350 307735571 /nfs/dbraw/zinc/73/55/71/307735571.db2.gz ZYUCXNHWRPIMBD-UWVGGRQHSA-N -1 1 303.384 1.037 20 0 DDADMM CC(C)(C)CCS(=O)(=O)N[C@@H](CN1CCCCC1)C(=O)[O-] ZINC000547560670 307739500 /nfs/dbraw/zinc/73/95/00/307739500.db2.gz CAGUCCWPMMIXTM-LBPRGKRZSA-N -1 1 320.455 1.281 20 0 DDADMM CN(CCNC(=O)CSCC(=O)[O-])Cc1ccc(F)cc1 ZINC000570044470 308157363 /nfs/dbraw/zinc/15/73/63/308157363.db2.gz MAZNRICMQOLVCP-UHFFFAOYSA-N -1 1 314.382 1.192 20 0 DDADMM O=C([O-])c1coc(NC[C@@H](c2cccs2)N2CCOCC2)n1 ZINC000576282941 308303233 /nfs/dbraw/zinc/30/32/33/308303233.db2.gz ZYMQQCODVANAOY-NSHDSACASA-N -1 1 323.374 1.342 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(-c2nn[nH]n2)cc1)C1CC1 ZINC000577394520 308385677 /nfs/dbraw/zinc/38/56/77/308385677.db2.gz BTWGJOFOZSUXBK-GFCCVEGCSA-N -1 1 323.378 1.033 20 0 DDADMM O=C(N=c1[n-]nc(C2CC2)s1)N[C@H]1CCCc2cn[nH]c21 ZINC000577572174 308397659 /nfs/dbraw/zinc/39/76/59/308397659.db2.gz LZOTWRYUSKAAJO-VIFPVBQESA-N -1 1 304.379 1.760 20 0 DDADMM Cc1cc(CCNC(=O)c2cnc3nc(C)ccc3c2[O-])on1 ZINC000583401709 332388444 /nfs/dbraw/zinc/38/84/44/332388444.db2.gz ZIIWROIYOFCXSI-UHFFFAOYSA-N -1 1 312.329 1.913 20 0 DDADMM Cc1cc(CCNC(=O)c2c[n-]c3nc(C)ccc3c2=O)on1 ZINC000583401709 332388445 /nfs/dbraw/zinc/38/84/45/332388445.db2.gz ZIIWROIYOFCXSI-UHFFFAOYSA-N -1 1 312.329 1.913 20 0 DDADMM CCOC(=O)C1(NCc2ncccc2[O-])Cc2ccccc2C1 ZINC000582913002 337216932 /nfs/dbraw/zinc/21/69/32/337216932.db2.gz OKAMNKVWNIBUAI-UHFFFAOYSA-N -1 1 312.369 1.978 20 0 DDADMM O=C1CCC(=O)N1CCCc1nc(-c2ccc([O-])c(F)c2)no1 ZINC000584569163 337364941 /nfs/dbraw/zinc/36/49/41/337364941.db2.gz SOPDMDYBAWDIOH-UHFFFAOYSA-N -1 1 319.292 1.663 20 0 DDADMM Cc1cc2ccccc2n1CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000372367376 483932896 /nfs/dbraw/zinc/93/28/96/483932896.db2.gz QCKHKCJMEUPORP-CQSZACIVSA-N -1 1 324.388 1.869 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)NCc1cccc(C(=O)[O-])c1 ZINC000320338450 484401659 /nfs/dbraw/zinc/40/16/59/484401659.db2.gz ANVFNNVSOAVFGG-UHFFFAOYSA-N -1 1 316.361 1.848 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1ccc(CO)cc1 ZINC000320206678 484401860 /nfs/dbraw/zinc/40/18/60/484401860.db2.gz PBIYOWNBBLPGLN-UHFFFAOYSA-N -1 1 319.386 1.635 20 0 DDADMM Cc1cc(N[C@@H]2C[C@@H](C(=O)[O-])C[C@@H]2O)c2cc(F)ccc2n1 ZINC000662894713 484525958 /nfs/dbraw/zinc/52/59/58/484525958.db2.gz BZJGPZQCFGUBCS-ZWZTZDBGSA-N -1 1 304.321 1.740 20 0 DDADMM NC(=O)C[C@@H]1CCCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000667617706 484698389 /nfs/dbraw/zinc/69/83/89/484698389.db2.gz ZQLVKBPYIOVNQZ-LBPRGKRZSA-N -1 1 313.357 1.811 20 0 DDADMM CCC(CC)(NC(=O)c1ncc2ccccc2c1[O-])C(N)=O ZINC000667698830 484737850 /nfs/dbraw/zinc/73/78/50/484737850.db2.gz OBQBTJDXEYRPJB-UHFFFAOYSA-N -1 1 301.346 1.714 20 0 DDADMM Cc1cc(F)ccc1C[C@@H](C)Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000664173161 484851148 /nfs/dbraw/zinc/85/11/48/484851148.db2.gz IDXGJGSRTPECAP-SECBINFHSA-N -1 1 301.325 1.923 20 0 DDADMM COCCOC[C@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000669205276 485411162 /nfs/dbraw/zinc/41/11/62/485411162.db2.gz USVZKGGQZBWRQG-NSHDSACASA-N -1 1 304.346 1.722 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3ccc([O-])c(F)c3)[C@@H]2C1 ZINC000685863040 485469105 /nfs/dbraw/zinc/46/91/05/485469105.db2.gz HMFLCGBQMHNAFT-GUTXKFCHSA-N -1 1 320.364 1.908 20 0 DDADMM COc1ccc(C(=O)NCc2nn[n-]n2)c(OCC(C)C)c1 ZINC000682805511 485778625 /nfs/dbraw/zinc/77/86/25/485778625.db2.gz ONKZHLTTZQFJDO-UHFFFAOYSA-N -1 1 305.338 1.173 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CCOC(C)C)c(F)c1 ZINC000680515270 486210650 /nfs/dbraw/zinc/21/06/50/486210650.db2.gz WFLYBEIGPXULQR-UHFFFAOYSA-N -1 1 309.334 1.677 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC(C)(C)C1 ZINC000684081853 486240948 /nfs/dbraw/zinc/24/09/48/486240948.db2.gz MQTCBQUMEJBNOG-UHFFFAOYSA-N -1 1 302.378 1.853 20 0 DDADMM Fc1cc2ncnc(NCc3nn[n-]n3)c2cc1Br ZINC000680829411 486275442 /nfs/dbraw/zinc/27/54/42/486275442.db2.gz LNJHVRKRTMLKEF-UHFFFAOYSA-N -1 1 324.117 1.657 20 0 DDADMM Cc1n[nH]c(C(=O)NC2CCN(Cc3cccnc3)CC2)c1[O-] ZINC000676698073 486291986 /nfs/dbraw/zinc/29/19/86/486291986.db2.gz LJDUEDJOBUFONI-UHFFFAOYSA-N -1 1 315.377 1.213 20 0 DDADMM CCS(=O)(=O)C[C@H](C)N(C)C(=O)c1ccc([O-])c(F)c1 ZINC000681046312 486330958 /nfs/dbraw/zinc/33/09/58/486330958.db2.gz HEBCVBZZMFWXNV-VIFPVBQESA-N -1 1 303.355 1.427 20 0 DDADMM Cc1ccoc1C(=O)NCCCNC(=O)c1ccc([O-])c(F)c1 ZINC000681048121 486331425 /nfs/dbraw/zinc/33/14/25/486331425.db2.gz BAZUDJCKDVIUTE-UHFFFAOYSA-N -1 1 320.320 1.983 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCCC[C@H]1[C@H]1CNC(=O)C1 ZINC000681063229 486335291 /nfs/dbraw/zinc/33/52/91/486335291.db2.gz QFORLPROWAJDCA-YPMHNXCESA-N -1 1 306.337 1.662 20 0 DDADMM O=C([C@@H]1Cc2ccc(F)cc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000684466352 486361381 /nfs/dbraw/zinc/36/13/81/486361381.db2.gz UMKJZTZYKYDPMI-GXFFZTMASA-N -1 1 301.325 1.385 20 0 DDADMM COCCOCCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684873915 486449391 /nfs/dbraw/zinc/44/93/91/486449391.db2.gz HVPPVXGPNVDTQT-UHFFFAOYSA-N -1 1 307.268 1.804 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CCc2ccccc2O1)c1nn[n-]n1 ZINC000413683077 533722884 /nfs/dbraw/zinc/72/28/84/533722884.db2.gz DQUGOSCPAZQEAE-GWCFXTLKSA-N -1 1 301.350 1.155 20 0 DDADMM COc1cccc(S([O-])=CC(=O)NCCN2CCCC2)c1 ZINC000424572867 533818211 /nfs/dbraw/zinc/81/82/11/533818211.db2.gz XYHNOIOJEMXXJY-OAQYLSRUSA-N -1 1 310.419 1.015 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2CC[C@@H](CO)C2)c(F)c1 ZINC000425191360 533819290 /nfs/dbraw/zinc/81/92/90/533819290.db2.gz XBWKNECXCQONBA-ZJUUUORDSA-N -1 1 305.346 1.713 20 0 DDADMM C[C@@H](CS(C)(=O)=O)[N-]S(=O)(=O)c1sccc1Cl ZINC000451336140 534190499 /nfs/dbraw/zinc/19/04/99/534190499.db2.gz DWQVGXODXDNURA-LURJTMIESA-N -1 1 317.841 1.113 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-]C1CC(C)(F)C1 ZINC000451628391 534374583 /nfs/dbraw/zinc/37/45/83/534374583.db2.gz FHHJLOGXEPBABL-UHFFFAOYSA-N -1 1 308.356 1.099 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H](C)CCCCO ZINC000452503880 534644038 /nfs/dbraw/zinc/64/40/38/534644038.db2.gz QMYQWWUWAJJLFC-JTQLQIEISA-N -1 1 320.393 1.262 20 0 DDADMM CCOC(=O)[C@H](C)C[N-]S(=O)(=O)c1sccc1Cl ZINC000451310712 527951251 /nfs/dbraw/zinc/95/12/51/527951251.db2.gz GHIJHXQMFPPKIZ-SSDOTTSWSA-N -1 1 311.812 1.879 20 0 DDADMM CCOC(=O)[C@H](CC)C([O-])=Nc1nc([C@H](C)OC)ns1 ZINC000412324185 527988876 /nfs/dbraw/zinc/98/88/76/527988876.db2.gz MSFNKNFDTMRGNJ-JGVFFNPUSA-N -1 1 301.368 1.195 20 0 DDADMM CCOC(=O)[C@H](NCc1nc(=O)n(C)[n-]1)c1ccc(Cl)cc1 ZINC000459602850 528043108 /nfs/dbraw/zinc/04/31/08/528043108.db2.gz GFPYUXWKXOTYRI-GFCCVEGCSA-N -1 1 324.768 1.156 20 0 DDADMM CCNC(=O)c1ccc(=NCCCc2nc3ccccc3[nH]2)[n-]n1 ZINC000413088499 528228673 /nfs/dbraw/zinc/22/86/73/528228673.db2.gz XJBAJTVRTAPEIE-UHFFFAOYSA-N -1 1 324.388 1.569 20 0 DDADMM CC(C)c1nnc2n1CCN(C(=O)C(=O)c1ccc([O-])cc1)C2 ZINC000332662428 528470482 /nfs/dbraw/zinc/47/04/82/528470482.db2.gz PZFCQJXZBMOFBH-UHFFFAOYSA-N -1 1 314.345 1.332 20 0 DDADMM CCOC(=O)C(CC)(CC)[N-]S(=O)(=O)Cc1cc(C)on1 ZINC000490552576 528571037 /nfs/dbraw/zinc/57/10/37/528571037.db2.gz VWFSODFTNOZMAP-UHFFFAOYSA-N -1 1 318.395 1.524 20 0 DDADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2cc(O)cc(F)c2)CC1 ZINC000414376345 528678172 /nfs/dbraw/zinc/67/81/72/528678172.db2.gz UAVJWMSXGVBJAO-UHFFFAOYSA-N -1 1 317.338 1.759 20 0 DDADMM CCOC[C@H](C)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000494738823 528957995 /nfs/dbraw/zinc/95/79/95/528957995.db2.gz KIWFWSDBGCYTMN-VIFPVBQESA-N -1 1 313.423 1.686 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(Br)co1)c1nn[n-]n1 ZINC000457637010 528989851 /nfs/dbraw/zinc/98/98/51/528989851.db2.gz SNXDCVMISLRTGM-SSDOTTSWSA-N -1 1 314.143 1.826 20 0 DDADMM CCOC(=O)NCCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000490688200 529065131 /nfs/dbraw/zinc/06/51/31/529065131.db2.gz YFXQOFDNVSPKMP-UHFFFAOYSA-N -1 1 318.333 1.857 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(=O)NC ZINC000425187416 529194526 /nfs/dbraw/zinc/19/45/26/529194526.db2.gz ORMGWBMVXTXHSF-SNVBAGLBSA-N -1 1 306.334 1.076 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)[C@H](O)C(F)F ZINC000295722121 529195636 /nfs/dbraw/zinc/19/56/36/529195636.db2.gz LTDNTANEFXGRFM-SCZZXKLOSA-N -1 1 315.288 1.648 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@@H](O)C(C)C ZINC000416626667 529198558 /nfs/dbraw/zinc/19/85/58/529198558.db2.gz QFEIOHMVHXTWMA-MNOVXSKESA-N -1 1 306.453 1.834 20 0 DDADMM CN(Cc1cccnc1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736828110 598954430 /nfs/dbraw/zinc/95/44/30/598954430.db2.gz HVBDAUSRKCSBEB-UHFFFAOYSA-N -1 1 318.344 1.841 20 0 DDADMM CN(Cc1cccnc1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736828110 598954431 /nfs/dbraw/zinc/95/44/31/598954431.db2.gz HVBDAUSRKCSBEB-UHFFFAOYSA-N -1 1 318.344 1.841 20 0 DDADMM CCCCn1nccc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736467286 598990322 /nfs/dbraw/zinc/99/03/22/598990322.db2.gz BCRNNSMVLZUTPE-UHFFFAOYSA-N -1 1 312.337 1.511 20 0 DDADMM CCCCn1nccc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736467286 598990324 /nfs/dbraw/zinc/99/03/24/598990324.db2.gz BCRNNSMVLZUTPE-UHFFFAOYSA-N -1 1 312.337 1.511 20 0 DDADMM Cc1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000737343576 598992572 /nfs/dbraw/zinc/99/25/72/598992572.db2.gz YMYIIOBVCAFBBM-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM Cc1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000737343576 598992575 /nfs/dbraw/zinc/99/25/75/598992575.db2.gz YMYIIOBVCAFBBM-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM C[C@H]1CCCC[C@H]1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736270057 598997452 /nfs/dbraw/zinc/99/74/52/598997452.db2.gz IRVSHJAQHONGRB-QWRGUYRKSA-N -1 1 300.366 1.818 20 0 DDADMM C[C@H]1CCCC[C@H]1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736270057 598997454 /nfs/dbraw/zinc/99/74/54/598997454.db2.gz IRVSHJAQHONGRB-QWRGUYRKSA-N -1 1 300.366 1.818 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)c2ccccc2O1 ZINC000736289032 599005902 /nfs/dbraw/zinc/00/59/02/599005902.db2.gz CCNNPBMCECQVJT-JTQLQIEISA-N -1 1 322.328 1.689 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)c2ccccc2O1 ZINC000736289032 599005903 /nfs/dbraw/zinc/00/59/03/599005903.db2.gz CCNNPBMCECQVJT-JTQLQIEISA-N -1 1 322.328 1.689 20 0 DDADMM c1ccc(OCc2noc(-c3ccc(-c4nnn[n-]4)nc3)n2)cc1 ZINC000738435251 599011170 /nfs/dbraw/zinc/01/11/70/599011170.db2.gz JQPOAOOJEKWYPI-UHFFFAOYSA-N -1 1 321.300 1.891 20 0 DDADMM c1ccc(OCc2noc(-c3ccc(-c4nn[n-]n4)nc3)n2)cc1 ZINC000738435251 599011171 /nfs/dbraw/zinc/01/11/71/599011171.db2.gz JQPOAOOJEKWYPI-UHFFFAOYSA-N -1 1 321.300 1.891 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC[C@H]1c1ccccc1 ZINC000738259519 599023598 /nfs/dbraw/zinc/02/35/98/599023598.db2.gz QVPWSYGRNZFHCU-AWEZNQCLSA-N -1 1 306.329 1.849 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC[C@H]1c1ccccc1 ZINC000738259519 599023599 /nfs/dbraw/zinc/02/35/99/599023599.db2.gz QVPWSYGRNZFHCU-AWEZNQCLSA-N -1 1 306.329 1.849 20 0 DDADMM C[C@@H](Sc1c2ccccc2nnc1-c1nn[n-]n1)[C@H](C)O ZINC000820468137 599099842 /nfs/dbraw/zinc/09/98/42/599099842.db2.gz RPUZICSFQZBXLD-JGVFFNPUSA-N -1 1 302.363 1.671 20 0 DDADMM CCCC[C@@H]1NC(=O)N(CN2CCC[C@H](CC(=O)[O-])C2)C1=O ZINC000818162077 597043819 /nfs/dbraw/zinc/04/38/19/597043819.db2.gz QENBTZSESZJHIN-NEPJUHHUSA-N -1 1 311.382 1.241 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CN2C[C@@H](c3ccccn3)CC2=O)C1 ZINC000819379852 597048028 /nfs/dbraw/zinc/04/80/28/597048028.db2.gz DBMKGNNDOVCMPJ-KBPBESRZSA-N -1 1 317.389 1.542 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)c2nnc3ccccc3c2O)cc1 ZINC000238140507 597147833 /nfs/dbraw/zinc/14/78/33/597147833.db2.gz YMJWABJYNZGQKI-UHFFFAOYSA-N -1 1 323.308 1.551 20 0 DDADMM C[S@@](=O)c1cccc(NCc2ccc(-c3nnn[n-]3)o2)c1 ZINC000821941496 607313516 /nfs/dbraw/zinc/31/35/16/607313516.db2.gz HHHPXNHDXLQXKN-OAQYLSRUSA-N -1 1 303.347 1.809 20 0 DDADMM C[S@@](=O)c1cccc(NCc2ccc(-c3nn[n-]n3)o2)c1 ZINC000821941496 607313517 /nfs/dbraw/zinc/31/35/17/607313517.db2.gz HHHPXNHDXLQXKN-OAQYLSRUSA-N -1 1 303.347 1.809 20 0 DDADMM Cc1nnc([C@@H](C)Nc2c3ccccc3nnc2-c2nnn[n-]2)o1 ZINC000822510671 607304906 /nfs/dbraw/zinc/30/49/06/607304906.db2.gz UAXOHSZQZIRHGE-SSDOTTSWSA-N -1 1 323.320 1.674 20 0 DDADMM Cc1nnc([C@@H](C)Nc2c3ccccc3nnc2-c2nn[n-]n2)o1 ZINC000822510671 607304907 /nfs/dbraw/zinc/30/49/07/607304907.db2.gz UAXOHSZQZIRHGE-SSDOTTSWSA-N -1 1 323.320 1.674 20 0 DDADMM O=C([O-])[C@@H]1CCN(C[C@H](O)c2cccc(Br)c2)C1 ZINC000819280973 597530547 /nfs/dbraw/zinc/53/05/47/597530547.db2.gz JNBWVBXNGVPLJJ-PWSUYJOCSA-N -1 1 314.179 1.889 20 0 DDADMM O=C([O-])[C@H]1CCN(CN2C[C@H](Cc3ccccc3)OC2=O)C1 ZINC000821511133 597671293 /nfs/dbraw/zinc/67/12/93/597671293.db2.gz CPURDWKSSDYOTK-KBPBESRZSA-N -1 1 304.346 1.414 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC(c2c[nH]c3ccccc32)CC1 ZINC000821529991 597766594 /nfs/dbraw/zinc/76/65/94/597766594.db2.gz UKGYGHHYLJGCKV-UHFFFAOYSA-N -1 1 315.373 1.548 20 0 DDADMM COc1cccc([C@H]2C[C@H](C)CN2CC(=O)NCC(=O)[O-])c1 ZINC000820865343 597814696 /nfs/dbraw/zinc/81/46/96/597814696.db2.gz IOYJGPBRTMYILK-SMDDNHRTSA-N -1 1 306.362 1.279 20 0 DDADMM CN(CC(=O)NC1CCC(C(=O)[O-])CC1)[C@@H]1CCSC1 ZINC000820554357 598150077 /nfs/dbraw/zinc/15/00/77/598150077.db2.gz ORHLPHLDHCZMJR-HTAVTVPLSA-N -1 1 300.424 1.183 20 0 DDADMM C[C@H](CN1CCN(C)CC1)NC(=O)Nc1ccccc1C(=O)[O-] ZINC000820095693 598176744 /nfs/dbraw/zinc/17/67/44/598176744.db2.gz MRJFHQQQXOUWGR-GFCCVEGCSA-N -1 1 320.393 1.142 20 0 DDADMM Cn1cc(CCCNc2snc(Cl)c2-c2nnn[n-]2)cn1 ZINC000737600891 598368443 /nfs/dbraw/zinc/36/84/43/598368443.db2.gz AZKTVFPUYFJBHZ-UHFFFAOYSA-N -1 1 324.801 1.755 20 0 DDADMM Cn1cc(CCCNc2snc(Cl)c2-c2nn[n-]n2)cn1 ZINC000737600891 598368445 /nfs/dbraw/zinc/36/84/45/598368445.db2.gz AZKTVFPUYFJBHZ-UHFFFAOYSA-N -1 1 324.801 1.755 20 0 DDADMM CC[C@@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)C[C@H](C)O1 ZINC000736409550 598678299 /nfs/dbraw/zinc/67/82/99/598678299.db2.gz WFMHIFYNBOQIKP-NKWVEPMBSA-N -1 1 314.802 1.980 20 0 DDADMM CC[C@@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)C[C@H](C)O1 ZINC000736409550 598678301 /nfs/dbraw/zinc/67/83/01/598678301.db2.gz WFMHIFYNBOQIKP-NKWVEPMBSA-N -1 1 314.802 1.980 20 0 DDADMM COCCn1cc(-c2nn[n-]n2)c(=O)c2cc(Cl)ccc21 ZINC000737016235 598721289 /nfs/dbraw/zinc/72/12/89/598721289.db2.gz LAQCRXADDKLBQG-UHFFFAOYSA-N -1 1 305.725 1.481 20 0 DDADMM CCC[C@](C)(O)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000736418723 598774865 /nfs/dbraw/zinc/77/48/65/598774865.db2.gz GJFRVSSRGCHLTE-JTQLQIEISA-N -1 1 302.791 1.940 20 0 DDADMM CCC[C@](C)(O)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000736418723 598774866 /nfs/dbraw/zinc/77/48/66/598774866.db2.gz GJFRVSSRGCHLTE-JTQLQIEISA-N -1 1 302.791 1.940 20 0 DDADMM OCC[C@H](Nc1cc(-c2nnn[n-]2)nc2ccccc21)C1CC1 ZINC000823715954 599573239 /nfs/dbraw/zinc/57/32/39/599573239.db2.gz ZTNAKDIGVIORCF-LBPRGKRZSA-N -1 1 310.361 1.988 20 0 DDADMM OCC[C@H](Nc1cc(-c2nn[n-]n2)nc2ccccc21)C1CC1 ZINC000823715954 599573241 /nfs/dbraw/zinc/57/32/41/599573241.db2.gz ZTNAKDIGVIORCF-LBPRGKRZSA-N -1 1 310.361 1.988 20 0 DDADMM O=C([O-])c1ccc(CNCc2cc(=O)n3ccccc3n2)cc1 ZINC000740133221 600008049 /nfs/dbraw/zinc/00/80/49/600008049.db2.gz ZDEYIYIYSYOFCF-UHFFFAOYSA-N -1 1 309.325 1.683 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CCS[C@H](C)C1 ZINC000736809680 600103469 /nfs/dbraw/zinc/10/34/69/600103469.db2.gz QXPPFVQGOLZTJC-GHMZBOCLSA-N -1 1 300.424 1.135 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2ccc(C(=O)[O-])cc2C)n[nH]1 ZINC000737253372 600445998 /nfs/dbraw/zinc/44/59/98/600445998.db2.gz IGGOHICWORCZKH-UHFFFAOYSA-N -1 1 323.374 1.457 20 0 DDADMM CC[C@](C)(NCC(=O)Nc1ccc(C(=O)OC)cc1)C(=O)[O-] ZINC000736863200 600560707 /nfs/dbraw/zinc/56/07/07/600560707.db2.gz JMXXCCHEJDOPAP-HNNXBMFYSA-N -1 1 308.334 1.255 20 0 DDADMM CC[C@@](C)(NCC(=O)Nc1cccc(C(=O)N(C)C)c1)C(=O)[O-] ZINC000736864795 600562527 /nfs/dbraw/zinc/56/25/27/600562527.db2.gz XRHDAOJEOZALEK-MRXNPFEDSA-N -1 1 321.377 1.170 20 0 DDADMM COc1ccc(CNC(=O)c2[nH]nc(C)c2C)cc1C(=O)[O-] ZINC000738008570 600614127 /nfs/dbraw/zinc/61/41/27/600614127.db2.gz OKASMJMDJCAJRU-UHFFFAOYSA-N -1 1 303.318 1.663 20 0 DDADMM CCCc1c(C(=O)N(C)Cc2nnc[nH]2)[nH]c(C)c1C(=O)[O-] ZINC000737040357 600683350 /nfs/dbraw/zinc/68/33/50/600683350.db2.gz FVQNZSHVEBUHJQ-UHFFFAOYSA-N -1 1 305.338 1.364 20 0 DDADMM CC(C)C[C@@]1(C)CC(=O)N(CN(C[C@H](C)C(=O)[O-])C2CC2)C1=O ZINC000736427439 600702439 /nfs/dbraw/zinc/70/24/39/600702439.db2.gz SFJQZEPZIBWKRR-SJCJKPOMSA-N -1 1 324.421 1.940 20 0 DDADMM C[C@@H]1CCN(CCNS(=O)(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC000828289883 600792575 /nfs/dbraw/zinc/79/25/75/600792575.db2.gz OVIUGRPCDYPYGT-LLVKDONJSA-N -1 1 312.391 1.005 20 0 DDADMM C[C@H](O)CN1CCN(c2nc(Cl)c(C(=O)[O-])s2)C[C@H]1C ZINC000827803201 600812655 /nfs/dbraw/zinc/81/26/55/600812655.db2.gz KQFCUIFPLJOIGW-SFYZADRCSA-N -1 1 319.814 1.386 20 0 DDADMM CN(C)c1ccccc1NS(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000315368834 600828998 /nfs/dbraw/zinc/82/89/98/600828998.db2.gz CJWMCLMBYYZVLH-UHFFFAOYSA-N -1 1 323.374 1.590 20 0 DDADMM C[C@@H]1CC[C@@H](C)N1CC(=O)Nc1cccc(OCC(=O)[O-])c1 ZINC000737739525 600888133 /nfs/dbraw/zinc/88/81/33/600888133.db2.gz MVCXXOILGYDZHL-VXGBXAGGSA-N -1 1 306.362 1.961 20 0 DDADMM C[C@](NCC(=O)Nc1nc2c(s1)CCCC2)(C(=O)[O-])C1CC1 ZINC000827729253 601037225 /nfs/dbraw/zinc/03/72/25/601037225.db2.gz YRHWWUNFZBFXMZ-OAHLLOKOSA-N -1 1 323.418 1.803 20 0 DDADMM Cc1[nH]c(C(=O)[C@@H](C)N(C)Cc2nnc[nH]2)c(C)c1C(=O)[O-] ZINC000832842303 601040993 /nfs/dbraw/zinc/04/09/93/601040993.db2.gz ABIRZCHHUYMSSI-SECBINFHSA-N -1 1 305.338 1.151 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2cccnc2)CC1 ZINC000827354010 601095201 /nfs/dbraw/zinc/09/52/01/601095201.db2.gz AOQUELCVLXEKBK-DSYXLKISSA-N -1 1 303.362 1.102 20 0 DDADMM Cc1ccc(NC(=O)NC[C@H]2CN(C)CCN2C)cc1C(=O)[O-] ZINC000320645955 601137690 /nfs/dbraw/zinc/13/76/90/601137690.db2.gz HYMBLXQHXIBPPS-ZDUSSCGKSA-N -1 1 320.393 1.061 20 0 DDADMM CCC(CC)(CC(=O)[O-])NC(=O)CSc1nnc(C)[nH]1 ZINC000828784567 601309362 /nfs/dbraw/zinc/30/93/62/601309362.db2.gz BNBAKINQUGPMJR-UHFFFAOYSA-N -1 1 300.384 1.355 20 0 DDADMM CCC(CC)(CC(=O)[O-])NC(=O)CSc1nc(C)n[nH]1 ZINC000828784567 601309364 /nfs/dbraw/zinc/30/93/64/601309364.db2.gz BNBAKINQUGPMJR-UHFFFAOYSA-N -1 1 300.384 1.355 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C[C@@H](O)COc2ccc(Cl)cc2)C1 ZINC000833174252 601444152 /nfs/dbraw/zinc/44/41/52/601444152.db2.gz CSIJIKPBEXDABK-RISCZKNCSA-N -1 1 317.744 1.578 20 0 DDADMM COc1ccc(NC(=O)CN2C[C@@H](C)[C@H](C(=O)[O-])C2)c(OC)c1 ZINC000832393959 601500221 /nfs/dbraw/zinc/50/02/21/601500221.db2.gz LIUAWSJSKIZDKP-ZYHUDNBSSA-N -1 1 322.361 1.295 20 0 DDADMM COC(=O)c1ccccc1NC(=O)CN1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000831457530 601527655 /nfs/dbraw/zinc/52/76/55/601527655.db2.gz IVPMOGNDQPDFDH-PWSUYJOCSA-N -1 1 320.345 1.064 20 0 DDADMM C[C@H](C(=O)Nc1ccc(F)c(F)c1)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828424957 601528046 /nfs/dbraw/zinc/52/80/46/601528046.db2.gz VLVARUBGHJQJHV-KKZNHRDASA-N -1 1 312.316 1.944 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CCC(c2ccc(F)cc2)CC1 ZINC000826001127 601598056 /nfs/dbraw/zinc/59/80/56/601598056.db2.gz SLTWOGUOXFSBOO-NSHDSACASA-N -1 1 308.353 1.594 20 0 DDADMM COc1ccc(CNC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)cc1 ZINC000832323791 601602887 /nfs/dbraw/zinc/60/28/87/601602887.db2.gz REFSSNQTKIUENJ-AWEZNQCLSA-N -1 1 308.378 1.400 20 0 DDADMM COC[C@](C)(NC(=O)[C@@H](C)N(C)Cc1ccccc1)C(=O)[O-] ZINC000831597025 601693509 /nfs/dbraw/zinc/69/35/09/601693509.db2.gz NZYUVSBDHPGZHG-WBMJQRKESA-N -1 1 308.378 1.113 20 0 DDADMM OCCN(CC1CC1)c1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000826509799 607522198 /nfs/dbraw/zinc/52/21/98/607522198.db2.gz KJCFENFFPKGLMX-UHFFFAOYSA-N -1 1 310.361 1.624 20 0 DDADMM OCCN(CC1CC1)c1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000826509799 607522200 /nfs/dbraw/zinc/52/22/00/607522200.db2.gz KJCFENFFPKGLMX-UHFFFAOYSA-N -1 1 310.361 1.624 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(-c3cccs3)[nH]n2)C[C@H]1C(=O)[O-] ZINC000828384988 601720277 /nfs/dbraw/zinc/72/02/77/601720277.db2.gz FMSBLLHJVVXOBG-DTWKUNHWSA-N -1 1 305.359 1.931 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1)C1CC1 ZINC000833126175 601757959 /nfs/dbraw/zinc/75/79/59/601757959.db2.gz ZFZNGWPEQOIJDC-JTQLQIEISA-N -1 1 319.342 1.217 20 0 DDADMM CCN(C)[C@H](C(=O)N1C[C@H](C)[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000829608222 601758560 /nfs/dbraw/zinc/75/85/60/601758560.db2.gz GZWNCHVVRZPEAQ-CFVMTHIKSA-N -1 1 304.390 1.859 20 0 DDADMM O=C([O-])c1nc(Cl)ccc1S(=O)(=O)Nc1cnoc1 ZINC000833344947 601840523 /nfs/dbraw/zinc/84/05/23/601840523.db2.gz NDXIHLXPZHOKHG-UHFFFAOYSA-N -1 1 303.683 1.222 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C ZINC000832596106 601869621 /nfs/dbraw/zinc/86/96/21/601869621.db2.gz OICLOFNOJGSETF-ABAIWWIYSA-N -1 1 322.405 1.961 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1CC[C@@](C)(C(=O)[O-])C1 ZINC000316711244 601913994 /nfs/dbraw/zinc/91/39/94/601913994.db2.gz RPVSFFZYCIMEED-MRXNPFEDSA-N -1 1 306.362 1.739 20 0 DDADMM Cc1cc(NC(=O)CN2CC[C@@](C)(C(=O)[O-])C2)n(C(C)(C)C)n1 ZINC000832893276 601949639 /nfs/dbraw/zinc/94/96/39/601949639.db2.gz SPQVZXHZGBZVLH-MRXNPFEDSA-N -1 1 322.409 1.682 20 0 DDADMM Cc1ccccc1CNC(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000740182253 601955998 /nfs/dbraw/zinc/95/59/98/601955998.db2.gz CVKYKXOKCIXXKB-ZDUSSCGKSA-N -1 1 305.378 1.201 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738628527 601961202 /nfs/dbraw/zinc/96/12/02/601961202.db2.gz KFTNOPAISQVGGA-JSGCOSHPSA-N -1 1 305.378 1.281 20 0 DDADMM C[C@H]1Cc2ccccc2N1C(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000739200123 601963573 /nfs/dbraw/zinc/96/35/73/601963573.db2.gz ZQPYBWUOINMPMG-STQMWFEESA-N -1 1 317.389 1.554 20 0 DDADMM O=C([O-])NC[C@H]1CCN(CC(=O)N2CCCc3ccccc32)C1 ZINC000740642117 601964111 /nfs/dbraw/zinc/96/41/11/601964111.db2.gz LLNHBGUDUANZQA-CYBMUJFWSA-N -1 1 317.389 1.555 20 0 DDADMM Cn1nccc1S(=O)(=O)Nc1ccc2c(c1)CCN2C(=O)[O-] ZINC000740305365 601999360 /nfs/dbraw/zinc/99/93/60/601999360.db2.gz SGGKJDCTCUVVGW-UHFFFAOYSA-N -1 1 322.346 1.261 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@@](C)(C(=O)[O-])C2)c1Br ZINC000823843167 602015734 /nfs/dbraw/zinc/01/57/34/602015734.db2.gz XVGFLEKHJBNKKK-LLVKDONJSA-N -1 1 316.155 1.417 20 0 DDADMM O=c1c2ccsc2ncn1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826501219 607539721 /nfs/dbraw/zinc/53/97/21/607539721.db2.gz FSFJZNCNDHLADB-UHFFFAOYSA-N -1 1 311.330 1.081 20 0 DDADMM O=c1c2ccsc2ncn1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826501219 607539725 /nfs/dbraw/zinc/53/97/25/607539725.db2.gz FSFJZNCNDHLADB-UHFFFAOYSA-N -1 1 311.330 1.081 20 0 DDADMM CN(C(=O)[C@H]1CCCc2[nH]ncc21)c1nc(CC(=O)[O-])cs1 ZINC000830463693 602035601 /nfs/dbraw/zinc/03/56/01/602035601.db2.gz AJDANZQAJQTKKU-VIFPVBQESA-N -1 1 320.374 1.576 20 0 DDADMM CCCN1CCN(C(=O)[C@H](Cc2ccccc2)NC(=O)[O-])CC1 ZINC000739362641 602066144 /nfs/dbraw/zinc/06/61/44/602066144.db2.gz DTPYBTSIDMHBKK-HNNXBMFYSA-N -1 1 319.405 1.420 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3CC[C@@](C)(C(=O)[O-])C3)[nH]c2c1 ZINC000263311006 602086833 /nfs/dbraw/zinc/08/68/33/602086833.db2.gz YQPRFMXCLPEYMK-MRXNPFEDSA-N -1 1 316.361 1.878 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)N1CCO[C@H](c2nc(C(C)(C)C)n[nH]2)C1 ZINC000827261783 602175069 /nfs/dbraw/zinc/17/50/69/602175069.db2.gz BMJUVBBJYIBUDL-UWVGGRQHSA-N -1 1 324.381 1.113 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)N1CCO[C@H](c2nnc(C(C)(C)C)[nH]2)C1 ZINC000827261783 602175073 /nfs/dbraw/zinc/17/50/73/602175073.db2.gz BMJUVBBJYIBUDL-UWVGGRQHSA-N -1 1 324.381 1.113 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCCN1CC(=O)N1CCC(C)CC1 ZINC000739113412 602267043 /nfs/dbraw/zinc/26/70/43/602267043.db2.gz PFWPWGSDJKYBDY-KGLIPLIRSA-N -1 1 311.426 1.756 20 0 DDADMM CC[C@@H](C)[C@H](NCC(=O)NCc1ccc(OC)cc1)C(=O)[O-] ZINC000828735969 602381405 /nfs/dbraw/zinc/38/14/05/602381405.db2.gz DPDLBJXUYOVEMK-ABAIWWIYSA-N -1 1 308.378 1.400 20 0 DDADMM CCCN(C(=O)c1ccc2[nH]nnc2c1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739345259 602514513 /nfs/dbraw/zinc/51/45/13/602514513.db2.gz OFTUYPMQAKVHNY-NSHDSACASA-N -1 1 317.349 1.562 20 0 DDADMM CCC(CC)(CNC(=O)[O-])NC(=O)c1ccc2[nH]nnc2c1 ZINC000739254102 602522932 /nfs/dbraw/zinc/52/29/32/602522932.db2.gz HSOVWKZQBDZNMF-UHFFFAOYSA-N -1 1 305.338 1.514 20 0 DDADMM Cc1cccc(S(=O)(=O)Oc2ccccc2)c1-c1nn[n-]n1 ZINC000826304396 607572141 /nfs/dbraw/zinc/57/21/41/607572141.db2.gz JTJDNDDHZXWDQL-UHFFFAOYSA-N -1 1 316.342 1.943 20 0 DDADMM Cc1cccc(CNC(=O)CN2CC[C@@H](CN(C)C(=O)[O-])C2)c1 ZINC000740168519 602552629 /nfs/dbraw/zinc/55/26/29/602552629.db2.gz BPBPKRVAWSILIC-HNNXBMFYSA-N -1 1 319.405 1.543 20 0 DDADMM C[C@H](N[C@H]1CCN(C(=O)[O-])C1)C(=O)N1c2ccccc2C[C@@H]1C ZINC000739034430 602569637 /nfs/dbraw/zinc/56/96/37/602569637.db2.gz YABVPHAVDILMGL-OBJOEFQTSA-N -1 1 317.389 1.695 20 0 DDADMM CC(C)c1ccc([C@H](CNC(=O)CN(C)C)NC(=O)[O-])cc1 ZINC000738880018 602574361 /nfs/dbraw/zinc/57/43/61/602574361.db2.gz YVQUPJGQVIRMAV-AWEZNQCLSA-N -1 1 307.394 1.797 20 0 DDADMM CC(C)[C@@H](C)NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000824192994 607574548 /nfs/dbraw/zinc/57/45/48/607574548.db2.gz PZYBJCBYZCJJKW-MRVPVSSYSA-N -1 1 313.358 1.329 20 0 DDADMM CC(C)[C@@H](C)NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000824192994 607574550 /nfs/dbraw/zinc/57/45/50/607574550.db2.gz PZYBJCBYZCJJKW-MRVPVSSYSA-N -1 1 313.358 1.329 20 0 DDADMM CN1CCCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]3N2C(=O)[O-])CC1 ZINC000739725107 602639279 /nfs/dbraw/zinc/63/92/79/602639279.db2.gz DAPXCFHCYWBPOW-MJBXVCDLSA-N -1 1 309.410 1.462 20 0 DDADMM C[C@H](CN1CCN(C)CC1)NC(=O)c1cccc(NC(=O)[O-])c1 ZINC000736587308 602735103 /nfs/dbraw/zinc/73/51/03/602735103.db2.gz VMUAHXJVGGZGLN-GFCCVEGCSA-N -1 1 320.393 1.142 20 0 DDADMM C[C@H]1CN(CC(=O)NCCC2=CCCCC2)CC[C@@H]1NC(=O)[O-] ZINC000739170595 602765987 /nfs/dbraw/zinc/76/59/87/602765987.db2.gz GSMDJLONFPZOKK-ZFWWWQNUSA-N -1 1 323.437 1.971 20 0 DDADMM CCc1cc(CNC(=O)N[C@@H]2CCCCN(C(=O)[O-])C2)[nH]n1 ZINC000739540106 602886755 /nfs/dbraw/zinc/88/67/55/602886755.db2.gz RTSGRXCPMCOGAB-LLVKDONJSA-N -1 1 309.370 1.304 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)NCCN(C(=O)[O-])C1CC1 ZINC000739199838 602994369 /nfs/dbraw/zinc/99/43/69/602994369.db2.gz SNFNWKLIPUUFPQ-OCCSQVGLSA-N -1 1 324.425 1.397 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCC[C@H]1CNC(=O)[O-] ZINC000829833665 603009381 /nfs/dbraw/zinc/00/93/81/603009381.db2.gz LKJSEPAUDHBCHA-LBPRGKRZSA-N -1 1 309.370 1.092 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NC[C@@H](NC(=O)[O-])c1ccccc1 ZINC000739726849 603037729 /nfs/dbraw/zinc/03/77/29/603037729.db2.gz LSXOEWBLRGYJFL-ZIAGYGMSSA-N -1 1 305.378 1.596 20 0 DDADMM CN1CCC[C@H]1C(=O)N1CCCc2cc(CNC(=O)[O-])ccc21 ZINC000739728303 603047885 /nfs/dbraw/zinc/04/78/85/603047885.db2.gz IZBJNCYQICGPQU-HNNXBMFYSA-N -1 1 317.389 1.828 20 0 DDADMM C[C@H](C(=O)N1CCN(C(=O)[O-])CC1)N(C)Cc1ccccc1 ZINC000738619189 603109838 /nfs/dbraw/zinc/10/98/38/603109838.db2.gz LSZFCDKFWASABR-CYBMUJFWSA-N -1 1 305.378 1.329 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1C(=O)c1nnc2ccccc2c1O ZINC000832568412 603119526 /nfs/dbraw/zinc/11/95/26/603119526.db2.gz RLHSRVJTCZSBDK-VIFPVBQESA-N -1 1 316.317 1.208 20 0 DDADMM O=C([O-])N1CCC[C@@H](NC(=O)c2cc(F)cc3nc[nH]c32)C1 ZINC000739389712 603200914 /nfs/dbraw/zinc/20/09/14/603200914.db2.gz WDDMAHWHRPSRSG-SECBINFHSA-N -1 1 306.297 1.574 20 0 DDADMM CCc1ncc(NC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000825750672 607614905 /nfs/dbraw/zinc/61/49/05/607614905.db2.gz VKZDUOPVIUKYGM-UHFFFAOYSA-N -1 1 301.335 1.533 20 0 DDADMM CCc1ncc(NC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000825750672 607614907 /nfs/dbraw/zinc/61/49/07/607614907.db2.gz VKZDUOPVIUKYGM-UHFFFAOYSA-N -1 1 301.335 1.533 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC000739212215 603222167 /nfs/dbraw/zinc/22/21/67/603222167.db2.gz UDDWEBTXECXSLG-GZMMTYOYSA-N -1 1 322.365 1.132 20 0 DDADMM O=C([O-])N1CC[C@@H](C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000740475730 603240396 /nfs/dbraw/zinc/24/03/96/603240396.db2.gz NSNGAVSWKLYDMK-SNVBAGLBSA-N -1 1 301.306 1.206 20 0 DDADMM Cc1ccc(CCN(C)CC(=O)N2CCN(C(=O)[O-])CC2)cc1 ZINC000740124099 603246816 /nfs/dbraw/zinc/24/68/16/603246816.db2.gz GCPXTQCMPIGXEN-UHFFFAOYSA-N -1 1 319.405 1.292 20 0 DDADMM C[C@@H](NCc1ccc(NC(=O)[O-])nc1)C(=O)NCc1ccco1 ZINC000825503524 603551519 /nfs/dbraw/zinc/55/15/19/603551519.db2.gz VPMUAOSFFPZNHU-SNVBAGLBSA-N -1 1 318.333 1.559 20 0 DDADMM CCN(CC)[C@H](CNC(=O)CC1CN(C(=O)[O-])C1)c1ccco1 ZINC000827036531 603566693 /nfs/dbraw/zinc/56/66/93/603566693.db2.gz XYDJPDJUQYUAMD-CYBMUJFWSA-N -1 1 323.393 1.779 20 0 DDADMM C[C@H](C1CC1)N(C(=O)CN1CCC[C@H]1CNC(=O)[O-])C1CC1 ZINC000824545494 603573258 /nfs/dbraw/zinc/57/32/58/603573258.db2.gz XZPNEGLEBSBPGO-RISCZKNCSA-N -1 1 309.410 1.508 20 0 DDADMM Cc1cc(NC(=O)CN(C)C2CCC(NC(=O)[O-])CC2)on1 ZINC000830104611 603790552 /nfs/dbraw/zinc/79/05/52/603790552.db2.gz ZGNJMJNLCHUQEX-UHFFFAOYSA-N -1 1 310.354 1.432 20 0 DDADMM O=S(=O)(NC1(C2CC2)CC1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826487014 607672746 /nfs/dbraw/zinc/67/27/46/607672746.db2.gz AAGCLPUDOMMBIZ-UHFFFAOYSA-N -1 1 323.353 1.227 20 0 DDADMM O=S(=O)(NC1(C2CC2)CC1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826487014 607672747 /nfs/dbraw/zinc/67/27/47/607672747.db2.gz AAGCLPUDOMMBIZ-UHFFFAOYSA-N -1 1 323.353 1.227 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)N2CCCCCC2)C1 ZINC000825254057 604186748 /nfs/dbraw/zinc/18/67/48/604186748.db2.gz LDFRPZOSMFUEGR-KBPBESRZSA-N -1 1 311.426 1.757 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NC2CCC(C(=O)[O-])CC2)n[nH]1 ZINC000263272755 604275441 /nfs/dbraw/zinc/27/54/41/604275441.db2.gz RUGKMPXJIWSPLI-GCVQQVDUSA-N -1 1 308.382 1.592 20 0 DDADMM C[C@H](NC(=O)[O-])c1ccc(NC(=O)NCCc2nc[nH]n2)cc1 ZINC000825434910 604279976 /nfs/dbraw/zinc/27/99/76/604279976.db2.gz YPYYKEIYBYGIAT-VIFPVBQESA-N -1 1 318.337 1.498 20 0 DDADMM O=C([O-])N1CCc2c1cccc2NC(=O)NCCc1nc[nH]n1 ZINC000831709567 604280613 /nfs/dbraw/zinc/28/06/13/604280613.db2.gz WCHLZRGWELFMHG-UHFFFAOYSA-N -1 1 316.321 1.209 20 0 DDADMM O=C([O-])N[C@@H]1CCCCCC[C@H]1C(=O)NCCc1nc[nH]n1 ZINC000832350403 604306862 /nfs/dbraw/zinc/30/68/62/604306862.db2.gz REWBBXJJLJVEOI-GHMZBOCLSA-N -1 1 309.370 1.070 20 0 DDADMM CC(=O)N1CCCN(Cc2ccc(O[C@@H](C)C(=O)[O-])cc2)CC1 ZINC000833392606 604522046 /nfs/dbraw/zinc/52/20/46/604522046.db2.gz BWYOKGKDOJLOEZ-ZDUSSCGKSA-N -1 1 320.389 1.593 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@]3(C)CCN(C(=O)[O-])C3)C2)n[nH]1 ZINC000830733989 604644525 /nfs/dbraw/zinc/64/45/25/604644525.db2.gz JUTNNOFREGNAJR-XHDPSFHLSA-N -1 1 321.381 1.209 20 0 DDADMM O=C([O-])CCCN(CC(=O)N1CCOCC1)Cc1ccccc1 ZINC000833225710 604786578 /nfs/dbraw/zinc/78/65/78/604786578.db2.gz JWYAUGQLJKDPKY-UHFFFAOYSA-N -1 1 320.389 1.212 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN1CCCC[C@@H](SC)C1)C(=O)[O-] ZINC000833636840 604964835 /nfs/dbraw/zinc/96/48/35/604964835.db2.gz AUYAZLUJBWBWRN-OUCADQQQSA-N -1 1 316.467 1.819 20 0 DDADMM C[C@@H]1C[C@H](NS(=O)(=O)c2ccccc2C(=O)[O-])CCN1C ZINC000833599667 605040223 /nfs/dbraw/zinc/04/02/23/605040223.db2.gz ZITKPXCRCOKPSU-GHMZBOCLSA-N -1 1 312.391 1.146 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@@H]1CCCS1 ZINC000833626876 605055270 /nfs/dbraw/zinc/05/52/70/605055270.db2.gz SGZAFIGJNPXFRK-RYUDHWBXSA-N -1 1 315.439 1.072 20 0 DDADMM C[C@@](O)(CC(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1)C1CC1 ZINC000833525211 605058163 /nfs/dbraw/zinc/05/81/63/605058163.db2.gz PXMOFFCOYBDOCJ-OAHLLOKOSA-N -1 1 303.318 1.751 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)NCCCCN1CCOCC1 ZINC000833453452 605102153 /nfs/dbraw/zinc/10/21/53/605102153.db2.gz PESDETUUPXQFDO-UHFFFAOYSA-N -1 1 300.399 1.106 20 0 DDADMM Cn1ccnc1[C@@H](O)C1CCN(Cc2ccc(C(=O)[O-])[nH]2)CC1 ZINC000833759773 605111637 /nfs/dbraw/zinc/11/16/37/605111637.db2.gz HOOAGWNLXABALT-AWEZNQCLSA-N -1 1 318.377 1.392 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)NCc1cccc(OCC(=O)[O-])c1 ZINC000833665282 605136608 /nfs/dbraw/zinc/13/66/08/605136608.db2.gz FYFNTNALGTUOSE-LLVKDONJSA-N -1 1 315.391 1.063 20 0 DDADMM CC[C@@H]1CN(C(=O)CC(C)(C)CC(=O)[O-])CCN1C[C@@H](C)O ZINC000833648915 605272540 /nfs/dbraw/zinc/27/25/40/605272540.db2.gz LZVQWCSTKIONAF-CHWSQXEVSA-N -1 1 314.426 1.181 20 0 DDADMM C[C@H]1CN(C(=O)/C=C/c2ccccc2)CCN1CCC(=O)[O-] ZINC000833620343 605276907 /nfs/dbraw/zinc/27/69/07/605276907.db2.gz WXFHORXIXYMSGU-NPQIQWPPSA-N -1 1 302.374 1.707 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N[C@H]2CCCCN(C(=O)[O-])C2)n[nH]1 ZINC000830036631 605301429 /nfs/dbraw/zinc/30/14/29/605301429.db2.gz WSRWHMXNOAHYIR-PWSUYJOCSA-N -1 1 323.397 1.481 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(CC(=O)Nc3ccccc3)C[C@H]21 ZINC000834184344 605444364 /nfs/dbraw/zinc/44/43/64/605444364.db2.gz GOZSYBNLLOMZAS-BPLDGKMQSA-N -1 1 317.389 1.993 20 0 DDADMM O=C([O-])N1CCC(CCN2CCN(CC(F)F)CC2)CC1 ZINC000834077578 605558962 /nfs/dbraw/zinc/55/89/62/605558962.db2.gz FSLHKLHOIZDSQC-UHFFFAOYSA-N -1 1 305.369 1.649 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(Cc2ccc(C(=O)N(C)C)[nH]2)C1 ZINC000833812006 605595875 /nfs/dbraw/zinc/59/58/75/605595875.db2.gz GOKXOBBBIGPLOQ-NEPJUHHUSA-N -1 1 322.409 1.585 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCC(OCCCO)CC2)cn1 ZINC000834260134 605614880 /nfs/dbraw/zinc/61/48/80/605614880.db2.gz MRSFHZQKGMZDQF-UHFFFAOYSA-N -1 1 309.366 1.535 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)NCCC[NH+]2CCCC2)c([O-])c1 ZINC000834254577 605628696 /nfs/dbraw/zinc/62/86/96/605628696.db2.gz AEKLITBOCULQNS-UHFFFAOYSA-N -1 1 307.350 1.698 20 0 DDADMM O=C([O-])NCC(=O)Nc1nc2ccc(C(F)(F)F)cc2[nH]1 ZINC000833777477 605744151 /nfs/dbraw/zinc/74/41/51/605744151.db2.gz STCBPUWZNFOXHT-UHFFFAOYSA-N -1 1 302.212 1.788 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H](NC(=O)[O-])C(C)(C)C)C2)n[nH]1 ZINC000830738079 605794871 /nfs/dbraw/zinc/79/48/71/605794871.db2.gz OLBUDKODJPPDSF-GHMZBOCLSA-N -1 1 323.397 1.501 20 0 DDADMM Cc1cc(C)c(NC(=O)CN[C@@H]2CCN(C(=O)[O-])C2)c(C)c1 ZINC000829990638 605831219 /nfs/dbraw/zinc/83/12/19/605831219.db2.gz DFKZLWRONSIWLL-CYBMUJFWSA-N -1 1 305.378 1.892 20 0 DDADMM O=C(CN1CCC2(C1)CCN(C(=O)[O-])CC2)NCC1CCC1 ZINC000834008201 605893005 /nfs/dbraw/zinc/89/30/05/605893005.db2.gz STKSJZZNQXUHAM-UHFFFAOYSA-N -1 1 309.410 1.369 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)N(C)c1ccccc1 ZINC000833829200 605895387 /nfs/dbraw/zinc/89/53/87/605895387.db2.gz GRQGNYZHSWASPP-QWHCGFSZSA-N -1 1 305.378 1.627 20 0 DDADMM CC(C)S(=O)(=O)CCCN1C[C@H](CNC(=O)[O-])C[C@H]1C ZINC000833833832 605907693 /nfs/dbraw/zinc/90/76/93/605907693.db2.gz KYCGTECIQCGJGB-NEPJUHHUSA-N -1 1 306.428 1.178 20 0 DDADMM O=C([O-])NCCN1CCC[C@@H](n2ccc(C(F)(F)F)n2)C1 ZINC000834239138 605968908 /nfs/dbraw/zinc/96/89/08/605968908.db2.gz DQGZGOMRHFEOQT-SECBINFHSA-N -1 1 306.288 1.806 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@H]1NCc1nncn1-c1ccccc1 ZINC000834176242 605981518 /nfs/dbraw/zinc/98/15/18/605981518.db2.gz RKRTWVCHSAJUNP-OLZOCXBDSA-N -1 1 301.350 1.546 20 0 DDADMM O=C([O-])NC1C[C@H]2CCC[C@@H](C1)C2NC(=O)NCCN1CCC1 ZINC000834158735 606016286 /nfs/dbraw/zinc/01/62/86/606016286.db2.gz GNZINDIEKYGLEI-VTXSZYRJSA-N -1 1 324.425 1.206 20 0 DDADMM CC1CCC(CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000820689924 606162172 /nfs/dbraw/zinc/16/21/72/606162172.db2.gz DTWFBDRGKDXSLN-UHFFFAOYSA-N -1 1 318.385 1.548 20 0 DDADMM CC1CCC(CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000820689924 606162174 /nfs/dbraw/zinc/16/21/74/606162174.db2.gz DTWFBDRGKDXSLN-UHFFFAOYSA-N -1 1 318.385 1.548 20 0 DDADMM COC(=O)[C@H](CC(C)C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821640445 606178743 /nfs/dbraw/zinc/17/87/43/606178743.db2.gz INQXEUQWNFISOE-QMMMGPOBSA-N -1 1 324.362 1.673 20 0 DDADMM COC(=O)[C@H](CC(C)C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821640445 606178744 /nfs/dbraw/zinc/17/87/44/606178744.db2.gz INQXEUQWNFISOE-QMMMGPOBSA-N -1 1 324.362 1.673 20 0 DDADMM CC(C)c1cc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])n[nH]1 ZINC000820187707 606195557 /nfs/dbraw/zinc/19/55/57/606195557.db2.gz NSYZKFSPLYDNSO-UHFFFAOYSA-N -1 1 313.321 1.671 20 0 DDADMM O=C(CCCn1ccnc1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822964928 606297919 /nfs/dbraw/zinc/29/79/19/606297919.db2.gz TVMDNRFOAWFLIO-UHFFFAOYSA-N -1 1 313.321 1.188 20 0 DDADMM COC(=O)[C@@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000821655548 606465078 /nfs/dbraw/zinc/46/50/78/606465078.db2.gz NGNQSUPDRNCFCM-MRVPVSSYSA-N -1 1 322.756 1.305 20 0 DDADMM COC(=O)[C@@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000821655548 606465079 /nfs/dbraw/zinc/46/50/79/606465079.db2.gz NGNQSUPDRNCFCM-MRVPVSSYSA-N -1 1 322.756 1.305 20 0 DDADMM CC(C)C[C@H]1COCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820068265 606563025 /nfs/dbraw/zinc/56/30/25/606563025.db2.gz PUSGLDFRYKZQEZ-JTQLQIEISA-N -1 1 321.406 1.815 20 0 DDADMM CC(C)C[C@H]1COCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820068265 606563028 /nfs/dbraw/zinc/56/30/28/606563028.db2.gz PUSGLDFRYKZQEZ-JTQLQIEISA-N -1 1 321.406 1.815 20 0 DDADMM O=C(CC[C@H]1CCCCO1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822950337 606649050 /nfs/dbraw/zinc/64/90/50/606649050.db2.gz KKRNERRQQJOYHC-GFCCVEGCSA-N -1 1 317.349 1.654 20 0 DDADMM O=C(CC[C@H]1CCCCO1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822950337 606649051 /nfs/dbraw/zinc/64/90/51/606649051.db2.gz KKRNERRQQJOYHC-GFCCVEGCSA-N -1 1 317.349 1.654 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)C1(C(F)(F)F)CC1 ZINC000823430193 606649246 /nfs/dbraw/zinc/64/92/46/606649246.db2.gz GVUOTKJTYROLLZ-UHFFFAOYSA-N -1 1 313.239 1.647 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)C1(C(F)(F)F)CC1 ZINC000823430193 606649247 /nfs/dbraw/zinc/64/92/47/606649247.db2.gz GVUOTKJTYROLLZ-UHFFFAOYSA-N -1 1 313.239 1.647 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1scnc1Cl ZINC000823440278 606650912 /nfs/dbraw/zinc/65/09/12/606650912.db2.gz KXESOFIEBRUVDW-UHFFFAOYSA-N -1 1 322.737 1.729 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1scnc1Cl ZINC000823440278 606650911 /nfs/dbraw/zinc/65/09/11/606650911.db2.gz KXESOFIEBRUVDW-UHFFFAOYSA-N -1 1 322.737 1.729 20 0 DDADMM CC[C@H]1CO[C@@H](C)CN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821000572 606722899 /nfs/dbraw/zinc/72/28/99/606722899.db2.gz GQVBLOCPAWSTQP-IUCAKERBSA-N -1 1 308.773 1.919 20 0 DDADMM CC[C@H]1CO[C@@H](C)CN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821000572 606722901 /nfs/dbraw/zinc/72/29/01/606722901.db2.gz GQVBLOCPAWSTQP-IUCAKERBSA-N -1 1 308.773 1.919 20 0 DDADMM CC[C@H](CCO)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000820903704 606724557 /nfs/dbraw/zinc/72/45/57/606724557.db2.gz WMTLJLVQBRKBNT-SNVBAGLBSA-N -1 1 313.365 1.630 20 0 DDADMM CC[C@H](CCO)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000820903704 606724558 /nfs/dbraw/zinc/72/45/58/606724558.db2.gz WMTLJLVQBRKBNT-SNVBAGLBSA-N -1 1 313.365 1.630 20 0 DDADMM CN(C(=O)c1ccc(-c2nnn[n-]2)s1)c1ccc(Cl)nn1 ZINC000821573449 606733158 /nfs/dbraw/zinc/73/31/58/606733158.db2.gz QPINPKGAVAWVMU-UHFFFAOYSA-N -1 1 321.753 1.648 20 0 DDADMM CN(C(=O)c1ccc(-c2nn[n-]n2)s1)c1ccc(Cl)nn1 ZINC000821573449 606733159 /nfs/dbraw/zinc/73/31/59/606733159.db2.gz QPINPKGAVAWVMU-UHFFFAOYSA-N -1 1 321.753 1.648 20 0 DDADMM O=S(=O)(c1ccc(F)c(-c2nn[n-]n2)c1)N1C[C@@H]2CCC[C@@H]21 ZINC000823601282 606874652 /nfs/dbraw/zinc/87/46/52/606874652.db2.gz ZALLRDLZZOHJMA-UFBFGSQYSA-N -1 1 323.353 1.179 20 0 DDADMM C[C@H](C[C@@H](O)c1ccccc1)Nc1nccnc1-c1nnn[n-]1 ZINC000820233874 606946409 /nfs/dbraw/zinc/94/64/09/606946409.db2.gz MBGHRIPRDKQVLC-ZYHUDNBSSA-N -1 1 311.349 1.581 20 0 DDADMM C[C@H](C[C@@H](O)c1ccccc1)Nc1nccnc1-c1nn[n-]n1 ZINC000820233874 606946411 /nfs/dbraw/zinc/94/64/11/606946411.db2.gz MBGHRIPRDKQVLC-ZYHUDNBSSA-N -1 1 311.349 1.581 20 0 DDADMM Cc1cccc(OCC(=O)Nc2ncc(-c3nnn[n-]3)s2)c1 ZINC000822329123 607024060 /nfs/dbraw/zinc/02/40/60/607024060.db2.gz YTEKVLZNUXRXCL-UHFFFAOYSA-N -1 1 316.346 1.649 20 0 DDADMM Cc1cccc(OCC(=O)Nc2ncc(-c3nn[n-]n3)s2)c1 ZINC000822329123 607024062 /nfs/dbraw/zinc/02/40/62/607024062.db2.gz YTEKVLZNUXRXCL-UHFFFAOYSA-N -1 1 316.346 1.649 20 0 DDADMM C[C@@H](CCNc1ccc(Cl)c(-c2nnn[n-]2)n1)[S@@](C)=O ZINC000820242282 607041160 /nfs/dbraw/zinc/04/11/60/607041160.db2.gz MKWIHLFAQYWTME-SVWIBVJCSA-N -1 1 314.802 1.484 20 0 DDADMM C[C@@H](CCNc1ccc(Cl)c(-c2nn[n-]n2)n1)[S@@](C)=O ZINC000820242282 607041162 /nfs/dbraw/zinc/04/11/62/607041162.db2.gz MKWIHLFAQYWTME-SVWIBVJCSA-N -1 1 314.802 1.484 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC(C(F)F)CC1 ZINC000823478974 607097933 /nfs/dbraw/zinc/09/79/33/607097933.db2.gz YBXWWGIDMWVWNX-UHFFFAOYSA-N -1 1 308.292 1.379 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC(C(F)F)CC1 ZINC000823478974 607097935 /nfs/dbraw/zinc/09/79/35/607097935.db2.gz YBXWWGIDMWVWNX-UHFFFAOYSA-N -1 1 308.292 1.379 20 0 DDADMM CC(C)(C)n1cc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)cn1 ZINC000819975961 607102599 /nfs/dbraw/zinc/10/25/99/607102599.db2.gz GCJSBTVHHHMUQZ-UHFFFAOYSA-N -1 1 318.366 1.527 20 0 DDADMM CC(C)(C)n1cc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)cn1 ZINC000819975961 607102601 /nfs/dbraw/zinc/10/26/01/607102601.db2.gz GCJSBTVHHHMUQZ-UHFFFAOYSA-N -1 1 318.366 1.527 20 0 DDADMM C[C@H](Nc1cccc(-c2nnn[n-]2)n1)[C@@H](O)c1cccc(F)c1 ZINC000824662556 607901468 /nfs/dbraw/zinc/90/14/68/607901468.db2.gz MUQCTWPHHPSHCA-LKFCYVNXSA-N -1 1 314.324 1.935 20 0 DDADMM C[C@H](Nc1cccc(-c2nn[n-]n2)n1)[C@@H](O)c1cccc(F)c1 ZINC000824662556 607901469 /nfs/dbraw/zinc/90/14/69/607901469.db2.gz MUQCTWPHHPSHCA-LKFCYVNXSA-N -1 1 314.324 1.935 20 0 DDADMM Cc1ccnc(COC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000826308514 607914670 /nfs/dbraw/zinc/91/46/70/607914670.db2.gz CMLDLIFCIWMFLO-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM Cc1ccnc(COC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000826308514 607914671 /nfs/dbraw/zinc/91/46/71/607914671.db2.gz CMLDLIFCIWMFLO-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM Cc1cccc(OCCN(C)c2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826300374 607989085 /nfs/dbraw/zinc/98/90/85/607989085.db2.gz SDSJFOZAFYVAPV-UHFFFAOYSA-N -1 1 311.349 1.480 20 0 DDADMM Cc1cccc(OCCN(C)c2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826300374 607989086 /nfs/dbraw/zinc/98/90/86/607989086.db2.gz SDSJFOZAFYVAPV-UHFFFAOYSA-N -1 1 311.349 1.480 20 0 DDADMM COc1ccc(OCCCn2ccnc2-c2nnn[n-]2)cc1 ZINC000826211889 608012812 /nfs/dbraw/zinc/01/28/12/608012812.db2.gz YRAZLLXNENQMAI-UHFFFAOYSA-N -1 1 300.322 1.541 20 0 DDADMM COc1ccc(OCCCn2ccnc2-c2nn[n-]n2)cc1 ZINC000826211889 608012813 /nfs/dbraw/zinc/01/28/13/608012813.db2.gz YRAZLLXNENQMAI-UHFFFAOYSA-N -1 1 300.322 1.541 20 0 DDADMM C[C@]1(NC(=O)c2ccc(-c3nnn[n-]3)s2)CCO[C@H]1C1CC1 ZINC000824830153 608140595 /nfs/dbraw/zinc/14/05/95/608140595.db2.gz IGKRHMXIEVHTSF-FZMZJTMJSA-N -1 1 319.390 1.616 20 0 DDADMM C[C@]1(NC(=O)c2ccc(-c3nn[n-]n3)s2)CCO[C@H]1C1CC1 ZINC000824830153 608140596 /nfs/dbraw/zinc/14/05/96/608140596.db2.gz IGKRHMXIEVHTSF-FZMZJTMJSA-N -1 1 319.390 1.616 20 0 DDADMM C[C@]1(O)CCCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000824844141 608305136 /nfs/dbraw/zinc/30/51/36/608305136.db2.gz QLYFXXMGGSOPPQ-JTQLQIEISA-N -1 1 300.775 1.328 20 0 DDADMM C[C@]1(O)CCCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000824844141 608305139 /nfs/dbraw/zinc/30/51/39/608305139.db2.gz QLYFXXMGGSOPPQ-JTQLQIEISA-N -1 1 300.775 1.328 20 0 DDADMM C[C@H]1CCCC[C@@H]1OCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000824938205 608399744 /nfs/dbraw/zinc/39/97/44/608399744.db2.gz UUKPPGMHUCZQKC-JQWIXIFHSA-N -1 1 303.370 1.664 20 0 DDADMM C[C@H]1CCCC[C@@H]1OCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000824938205 608399746 /nfs/dbraw/zinc/39/97/46/608399746.db2.gz UUKPPGMHUCZQKC-JQWIXIFHSA-N -1 1 303.370 1.664 20 0 DDADMM Clc1nsc(NCCc2cnccn2)c1-c1nnn[n-]1 ZINC000826354178 608415277 /nfs/dbraw/zinc/41/52/77/608415277.db2.gz RZHWCLIJXRLPFO-UHFFFAOYSA-N -1 1 308.758 1.421 20 0 DDADMM Clc1nsc(NCCc2cnccn2)c1-c1nn[n-]n1 ZINC000826354178 608415278 /nfs/dbraw/zinc/41/52/78/608415278.db2.gz RZHWCLIJXRLPFO-UHFFFAOYSA-N -1 1 308.758 1.421 20 0 DDADMM c1ccc(C[C@@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826520343 608424112 /nfs/dbraw/zinc/42/41/12/608424112.db2.gz GXAYJBLRRAKJOU-ZDUSSCGKSA-N -1 1 307.361 1.726 20 0 DDADMM c1ccc(C[C@@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826520343 608424113 /nfs/dbraw/zinc/42/41/13/608424113.db2.gz GXAYJBLRRAKJOU-ZDUSSCGKSA-N -1 1 307.361 1.726 20 0 DDADMM Cc1ccsc1[C@@H](CO)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826316745 608429778 /nfs/dbraw/zinc/42/97/78/608429778.db2.gz XOWDKVDSLXISHI-SECBINFHSA-N -1 1 303.351 1.172 20 0 DDADMM Cc1ccsc1[C@@H](CO)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826316745 608429780 /nfs/dbraw/zinc/42/97/80/608429780.db2.gz XOWDKVDSLXISHI-SECBINFHSA-N -1 1 303.351 1.172 20 0 DDADMM C[S@](=O)c1ccc(CNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826224834 608430487 /nfs/dbraw/zinc/43/04/87/608430487.db2.gz NCLVQEQNYBETRH-QFIPXVFZSA-N -1 1 315.362 1.006 20 0 DDADMM C[S@](=O)c1ccc(CNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826224834 608430489 /nfs/dbraw/zinc/43/04/89/608430489.db2.gz NCLVQEQNYBETRH-QFIPXVFZSA-N -1 1 315.362 1.006 20 0 DDADMM COCCN(Cc1ccccc1)c1ccc(-c2nnn[n-]2)nn1 ZINC000826158954 608434200 /nfs/dbraw/zinc/43/42/00/608434200.db2.gz KDDXXHNVCXLMBO-UHFFFAOYSA-N -1 1 311.349 1.310 20 0 DDADMM COCCN(Cc1ccccc1)c1ccc(-c2nn[n-]n2)nn1 ZINC000826158954 608434201 /nfs/dbraw/zinc/43/42/01/608434201.db2.gz KDDXXHNVCXLMBO-UHFFFAOYSA-N -1 1 311.349 1.310 20 0 DDADMM CC1(C)CN(c2ccc(-c3nnn[n-]3)nn2)Cc2ccccc21 ZINC000824812749 608437850 /nfs/dbraw/zinc/43/78/50/608437850.db2.gz PPGBSEVMZWTXPI-UHFFFAOYSA-N -1 1 307.361 1.955 20 0 DDADMM CC1(C)CN(c2ccc(-c3nn[n-]n3)nn2)Cc2ccccc21 ZINC000824812749 608437851 /nfs/dbraw/zinc/43/78/51/608437851.db2.gz PPGBSEVMZWTXPI-UHFFFAOYSA-N -1 1 307.361 1.955 20 0 DDADMM CC(C)(C)[C@@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)CCO1 ZINC000824079911 608438286 /nfs/dbraw/zinc/43/82/86/608438286.db2.gz SEBAYRZKMJQHHF-ONGXEEELSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)(C)[C@@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)CCO1 ZINC000824079911 608438287 /nfs/dbraw/zinc/43/82/87/608438287.db2.gz SEBAYRZKMJQHHF-ONGXEEELSA-N -1 1 303.370 1.662 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NC[C@H](O)c1ccccc1F ZINC000826280727 608542652 /nfs/dbraw/zinc/54/26/52/608542652.db2.gz NOBNXIQEIBMUSV-ZDUSSCGKSA-N -1 1 314.324 1.855 20 0 DDADMM CC1(C)CC[C@@H](NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000824802708 608563399 /nfs/dbraw/zinc/56/33/99/608563399.db2.gz OIVFGRMRLQYWHL-SECBINFHSA-N -1 1 322.394 1.119 20 0 DDADMM CC1(C)CC[C@@H](NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000824802708 608563400 /nfs/dbraw/zinc/56/34/00/608563400.db2.gz OIVFGRMRLQYWHL-SECBINFHSA-N -1 1 322.394 1.119 20 0 DDADMM Cc1nc(CNc2nccnc2-c2nnn[n-]2)ccc1[C@@H](C)O ZINC000826326436 608667420 /nfs/dbraw/zinc/66/74/20/608667420.db2.gz PJHNXHUIBQYVIE-SECBINFHSA-N -1 1 312.337 1.026 20 0 DDADMM Cc1nc(CNc2nccnc2-c2nn[n-]n2)ccc1[C@@H](C)O ZINC000826326436 608667423 /nfs/dbraw/zinc/66/74/23/608667423.db2.gz PJHNXHUIBQYVIE-SECBINFHSA-N -1 1 312.337 1.026 20 0 DDADMM C[C@@H]1C[C@H](Nc2c3ccccc3nnc2-c2nnn[n-]2)CCO1 ZINC000824892403 608800911 /nfs/dbraw/zinc/80/09/11/608800911.db2.gz HVMXRZIWBILXGW-NXEZZACHSA-N -1 1 311.349 1.789 20 0 DDADMM C[C@@H]1C[C@H](Nc2c3ccccc3nnc2-c2nn[n-]n2)CCO1 ZINC000824892403 608800912 /nfs/dbraw/zinc/80/09/12/608800912.db2.gz HVMXRZIWBILXGW-NXEZZACHSA-N -1 1 311.349 1.789 20 0 DDADMM CCC(=O)Nc1ccn(-c2cccc(F)c2-c2nn[n-]n2)n1 ZINC000825034707 609290720 /nfs/dbraw/zinc/29/07/20/609290720.db2.gz NXBSPXLIRMVKHO-UHFFFAOYSA-N -1 1 301.285 1.540 20 0 DDADMM O=C(Nc1ccn(-c2cccc(F)c2-c2nn[n-]n2)n1)C1CC1 ZINC000826458621 609293691 /nfs/dbraw/zinc/29/36/91/609293691.db2.gz YKOVKOAXQYDLNR-UHFFFAOYSA-N -1 1 313.296 1.540 20 0 DDADMM COCc1cc(Oc2cccc(F)c2-c2nnn[n-]2)ncn1 ZINC000826164110 609440354 /nfs/dbraw/zinc/44/03/54/609440354.db2.gz AWVKJELCRPSYKZ-UHFFFAOYSA-N -1 1 302.269 1.735 20 0 DDADMM COCc1cc(Oc2cccc(F)c2-c2nn[n-]n2)ncn1 ZINC000826164110 609440357 /nfs/dbraw/zinc/44/03/57/609440357.db2.gz AWVKJELCRPSYKZ-UHFFFAOYSA-N -1 1 302.269 1.735 20 0 DDADMM COCC[C@H](Cc1ccco1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826154537 609590031 /nfs/dbraw/zinc/59/00/31/609590031.db2.gz RENSKYYRVBJHAL-SNVBAGLBSA-N -1 1 315.337 1.309 20 0 DDADMM COCC[C@H](Cc1ccco1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826154537 609590033 /nfs/dbraw/zinc/59/00/33/609590033.db2.gz RENSKYYRVBJHAL-SNVBAGLBSA-N -1 1 315.337 1.309 20 0 DDADMM C[C@@H](OC(=O)c1nn(-c2ccccc2)cc1[O-])C(=O)N(C)C ZINC000746144207 700007017 /nfs/dbraw/zinc/00/70/17/700007017.db2.gz UPNLIDLXYLMHIQ-SNVBAGLBSA-N -1 1 303.318 1.211 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)C(=O)NC(C)(C)C ZINC000747212455 700060349 /nfs/dbraw/zinc/06/03/49/700060349.db2.gz OOWFEMIKTPXPJL-SNVBAGLBSA-N -1 1 322.409 1.647 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)N2CCO[C@@H](C)C2)n1 ZINC000011220296 696043989 /nfs/dbraw/zinc/04/39/89/696043989.db2.gz ZNHNQUUGHNVEGK-JTQLQIEISA-N -1 1 311.407 1.474 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1CCC(C(N)=O)CC1 ZINC000747381471 700067185 /nfs/dbraw/zinc/06/71/85/700067185.db2.gz UOWDDZGSNAOYIP-UHFFFAOYSA-N -1 1 320.393 1.388 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2C[C@@H]2c2ccccc2)c1Cl ZINC000044414583 696164689 /nfs/dbraw/zinc/16/46/89/696164689.db2.gz WAIXCRFTPRITTR-GHMZBOCLSA-N -1 1 311.794 1.908 20 0 DDADMM Cc1cc(C(=O)N[N-]C(=O)c2cc(-c3ccccc3)[nH]n2)[nH]n1 ZINC000054147208 696273466 /nfs/dbraw/zinc/27/34/66/696273466.db2.gz RYJZZVBLAOZMNP-UHFFFAOYSA-N -1 1 310.317 1.183 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)c2cc(-c3ccccc3)n[nH]2)n[nH]1 ZINC000054147208 696273468 /nfs/dbraw/zinc/27/34/68/696273468.db2.gz RYJZZVBLAOZMNP-UHFFFAOYSA-N -1 1 310.317 1.183 20 0 DDADMM CS[C@H]1CC[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000075505684 696421498 /nfs/dbraw/zinc/42/14/98/696421498.db2.gz KBGFZSQOPQZDAY-ZJUUUORDSA-N -1 1 303.387 1.937 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@H](c3ccccc3F)C2)s1 ZINC000080108305 696530704 /nfs/dbraw/zinc/53/07/04/696530704.db2.gz PRMYOCUCMDYWNQ-CABZTGNLSA-N -1 1 321.377 1.488 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC[C@H](SC)C2)o1 ZINC000080842596 696537934 /nfs/dbraw/zinc/53/79/34/696537934.db2.gz XSNILOYLVWRTSY-BDAKNGLRSA-N -1 1 318.420 1.202 20 0 DDADMM C[C@H](C(=O)N[N-]C(=O)c1ccc(Cl)cc1F)n1cccn1 ZINC000081030385 696539939 /nfs/dbraw/zinc/53/99/39/696539939.db2.gz OXURGKUQTSHLDH-MRVPVSSYSA-N -1 1 310.716 1.698 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCc1ccn(C(C)C)n1 ZINC000081795032 696547820 /nfs/dbraw/zinc/54/78/20/696547820.db2.gz KAJPRWGXKRWYNZ-UHFFFAOYSA-N -1 1 317.393 1.909 20 0 DDADMM NC(=O)CO[N-]C(=O)CCC(=O)c1ccc2c(c1)CCCC2 ZINC000089462322 696576776 /nfs/dbraw/zinc/57/67/76/696576776.db2.gz ZSTMADZUZQZOLF-UHFFFAOYSA-N -1 1 304.346 1.061 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCc3cc(F)ccc3C2)s1 ZINC000092618099 696596065 /nfs/dbraw/zinc/59/60/65/696596065.db2.gz TYPWEZABYMNSTC-LLVKDONJSA-N -1 1 307.350 1.057 20 0 DDADMM O=C(NC[C@H]1C[C@H](NC(=O)[C@@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000979674732 696624324 /nfs/dbraw/zinc/62/43/24/696624324.db2.gz RYXHXRDHFAWSQG-SRVKXCTJSA-N -1 1 315.373 1.212 20 0 DDADMM O=C(NCc1cn2ccccc2n1)c1n[n-]c2ccccc2c1=O ZINC000111546981 696643997 /nfs/dbraw/zinc/64/39/97/696643997.db2.gz KVYDUYMJBLHTHV-UHFFFAOYSA-N -1 1 319.324 1.501 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1cc2ccccc2o1 ZINC000120816963 696701565 /nfs/dbraw/zinc/70/15/65/696701565.db2.gz ZVVWFMORBRSMON-PRHODGIISA-N -1 1 317.370 1.957 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(Cc2ccccc2)C2CC2)s1 ZINC000120868579 696702963 /nfs/dbraw/zinc/70/29/63/696702963.db2.gz IWHSJGSNDVPNNM-LBPRGKRZSA-N -1 1 303.387 1.524 20 0 DDADMM COc1ccc(C=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1O ZINC000124451427 696742752 /nfs/dbraw/zinc/74/27/52/696742752.db2.gz XDVRFOHJQZBRJZ-BREXMAIKSA-N -1 1 317.349 1.189 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc(Cl)n1 ZINC000132769687 696819011 /nfs/dbraw/zinc/81/90/11/696819011.db2.gz LXSDSAZKIJVENX-VIFPVBQESA-N -1 1 306.757 1.576 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H]2CCCc3sccc32)s1 ZINC000137363566 696858112 /nfs/dbraw/zinc/85/81/12/696858112.db2.gz KEMLEUYFKYGWFR-SCZZXKLOSA-N -1 1 309.416 1.589 20 0 DDADMM C=CCCOCC(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000145629852 696872103 /nfs/dbraw/zinc/87/21/03/696872103.db2.gz GXGLSYYDPIJQDL-UHFFFAOYSA-N -1 1 321.329 1.088 20 0 DDADMM CC(C)Cn1nnnc1SCCC[N-]C(=O)C(F)(F)F ZINC000151765133 696890371 /nfs/dbraw/zinc/89/03/71/696890371.db2.gz UUWFYGFOCIQBGH-UHFFFAOYSA-N -1 1 311.333 1.490 20 0 DDADMM CN(C)c1nnc(SCCC[N-]C(=O)C(F)(F)F)s1 ZINC000151782364 696890604 /nfs/dbraw/zinc/89/06/04/696890604.db2.gz MFKKRUQVZLJDPX-UHFFFAOYSA-N -1 1 314.358 1.765 20 0 DDADMM Cc1cccc([C@@H](O)CNC(=O)c2nnc3ccccc3c2O)c1 ZINC000153391561 696914713 /nfs/dbraw/zinc/91/47/13/696914713.db2.gz FXMATFGUPXPHBA-HNNXBMFYSA-N -1 1 323.352 1.695 20 0 DDADMM O=C(NC[C@H]1CCCS1(=O)=O)c1c([O-])cccc1Cl ZINC000768258347 701165579 /nfs/dbraw/zinc/16/55/79/701165579.db2.gz WSBMNBPYQUCEPG-MRVPVSSYSA-N -1 1 303.767 1.353 20 0 DDADMM O=C(CC1CC1)N[C@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000983411439 697258481 /nfs/dbraw/zinc/25/84/81/697258481.db2.gz KFUBXIBHTYHQDP-QWHCGFSZSA-N -1 1 317.389 1.745 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000983915521 697315195 /nfs/dbraw/zinc/31/51/95/697315195.db2.gz PYNSZLFKRZSQDK-STQMWFEESA-N -1 1 319.405 1.896 20 0 DDADMM CN(C)c1ccc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000164511124 697336283 /nfs/dbraw/zinc/33/62/83/697336283.db2.gz QCPVDLOINZGNCR-GFCCVEGCSA-N -1 1 305.378 1.488 20 0 DDADMM C[C@@H](CN(C)C)NS(=O)(=O)c1ccc(Cl)c(C(=O)[O-])c1 ZINC000168625739 697342958 /nfs/dbraw/zinc/34/29/58/697342958.db2.gz IETHDYHECLUCNZ-QMMMGPOBSA-N -1 1 320.798 1.267 20 0 DDADMM O=C([N-]CCCOC(=O)c1cn2c(n1)CCCC2)C(F)(F)F ZINC000799586491 700151777 /nfs/dbraw/zinc/15/17/77/700151777.db2.gz BCRQKZRKOMGEHK-UHFFFAOYSA-N -1 1 319.283 1.445 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1C[C@H]1C ZINC000984803012 697431261 /nfs/dbraw/zinc/43/12/61/697431261.db2.gz NYMCASPZEHCHGT-FVCCEPFGSA-N -1 1 317.389 1.553 20 0 DDADMM N#Cc1cc(C(=O)N[N-]C(=O)c2ccc(Cl)cc2F)c[nH]1 ZINC000182280712 697472891 /nfs/dbraw/zinc/47/28/91/697472891.db2.gz MZGRLVKGRXYWRD-UHFFFAOYSA-N -1 1 306.684 1.754 20 0 DDADMM Cc1nc([N-]S(=O)(=O)C2=Cc3ccccc3CC2)n(C)n1 ZINC000185834927 697525388 /nfs/dbraw/zinc/52/53/88/697525388.db2.gz TWDDMQKGWDSOQC-UHFFFAOYSA-N -1 1 304.375 1.853 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000772709140 697664679 /nfs/dbraw/zinc/66/46/79/697664679.db2.gz YUMUJIWIHBNZQZ-LLVKDONJSA-N -1 1 322.336 1.405 20 0 DDADMM C[C@@H]1[C@@H](NCc2cscn2)CCN1C(=O)c1ncccc1[O-] ZINC000986169684 697716593 /nfs/dbraw/zinc/71/65/93/697716593.db2.gz KMXLNSPALWCMFZ-PWSUYJOCSA-N -1 1 318.402 1.637 20 0 DDADMM O=C(c1cccc(F)c1F)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773157104 697720383 /nfs/dbraw/zinc/72/03/83/697720383.db2.gz FYOFHBRVIITDLD-SECBINFHSA-N -1 1 311.317 1.408 20 0 DDADMM COc1ccsc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773156412 697720809 /nfs/dbraw/zinc/72/08/09/697720809.db2.gz AQJPHCIKLAYBEJ-ZETCQYMHSA-N -1 1 311.392 1.200 20 0 DDADMM COc1ccccc1CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773160124 697721334 /nfs/dbraw/zinc/72/13/34/697721334.db2.gz HYZUGKUMJOYCIW-LLVKDONJSA-N -1 1 319.390 1.068 20 0 DDADMM CSc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1 ZINC000773165634 697723310 /nfs/dbraw/zinc/72/33/10/697723310.db2.gz ZSVOMVOENYQHHJ-NSHDSACASA-N -1 1 321.431 1.852 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000773316726 697743522 /nfs/dbraw/zinc/74/35/22/697743522.db2.gz NYCGREOKUGCUFH-DLRQAJBASA-N -1 1 318.308 1.729 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000773316272 697743532 /nfs/dbraw/zinc/74/35/32/697743532.db2.gz XYGHKEHTBOEMSD-VVEJJEBESA-N -1 1 315.329 1.900 20 0 DDADMM CC(C)C[C@H](O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000228549533 697774828 /nfs/dbraw/zinc/77/48/28/697774828.db2.gz XUJPKMSWVUBTGT-QMMMGPOBSA-N -1 1 311.325 1.789 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)C1=COCC1 ZINC000774011544 697833407 /nfs/dbraw/zinc/83/34/07/697833407.db2.gz DRFIXPYEYLCXLJ-UHFFFAOYSA-N -1 1 300.311 1.440 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CC2(CCC2)C1 ZINC000986660325 697867299 /nfs/dbraw/zinc/86/72/99/697867299.db2.gz ABVDYOBNMKJNOY-JQWIXIFHSA-N -1 1 319.409 1.170 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NN=c2nc(C)cc(C)[nH]2)c1 ZINC000775668678 698021222 /nfs/dbraw/zinc/02/12/22/698021222.db2.gz GMWFWNYCIHBCEL-UHFFFAOYSA-N -1 1 324.362 1.113 20 0 DDADMM NS(=O)(=O)c1ccc(CC(=O)[N-]OC2CCCCC2)s1 ZINC000777950598 698232898 /nfs/dbraw/zinc/23/28/98/698232898.db2.gz URTOSOOCVUSHDG-UHFFFAOYSA-N -1 1 318.420 1.319 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2CCO[C@@H](C(C)C)C2)cc1 ZINC000778244171 698252959 /nfs/dbraw/zinc/25/29/59/698252959.db2.gz XIQWCEBCLOLOLP-OAHLLOKOSA-N -1 1 320.389 1.308 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc(F)c1 ZINC000987922661 698266927 /nfs/dbraw/zinc/26/69/27/698266927.db2.gz XYMYZRHUGIWNFR-JOYOIKCWSA-N -1 1 319.340 1.042 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](O)COc2cccc(F)c2)c([O-])c1 ZINC000778993231 698389493 /nfs/dbraw/zinc/38/94/93/698389493.db2.gz NCVLLIOFTMRNSU-GFCCVEGCSA-N -1 1 320.320 1.404 20 0 DDADMM Cc1cscc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000988511814 698394316 /nfs/dbraw/zinc/39/43/16/698394316.db2.gz JWQRPACPPHWHJD-UWVGGRQHSA-N -1 1 321.406 1.273 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2c(c1)CCN2C)c1nn[n-]n1 ZINC000779085221 698394826 /nfs/dbraw/zinc/39/48/26/698394826.db2.gz NIQZDEYMVYFXHI-SNVBAGLBSA-N -1 1 300.366 1.068 20 0 DDADMM Cc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)oc1C ZINC000988630832 698423645 /nfs/dbraw/zinc/42/36/45/698423645.db2.gz GKJMGEMIIRTLKO-MWLCHTKSSA-N -1 1 319.365 1.113 20 0 DDADMM CC1(C)[C@H](C(=O)[O-])[C@@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000263570284 698514464 /nfs/dbraw/zinc/51/44/64/698514464.db2.gz ZYHMGJAPISPHCJ-NEPJUHHUSA-N -1 1 305.309 1.675 20 0 DDADMM Cc1ccc([C@H](CNC(=O)NC(C)(C)C(=O)[O-])N2CCCC2)o1 ZINC000780513813 698527210 /nfs/dbraw/zinc/52/72/10/698527210.db2.gz XMVPHDQSTDODJY-LBPRGKRZSA-N -1 1 323.393 1.887 20 0 DDADMM CC(F)(F)c1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000781465650 698622345 /nfs/dbraw/zinc/62/23/45/698622345.db2.gz VAJBXNDXGTWXFV-UHFFFAOYSA-N -1 1 323.299 1.677 20 0 DDADMM O=C([N-]N1CN=NC1=O)c1cc(F)c(F)cc1Br ZINC000782125598 698686163 /nfs/dbraw/zinc/68/61/63/698686163.db2.gz KZNLKRMCFUNMOP-UHFFFAOYSA-N -1 1 319.065 1.408 20 0 DDADMM CSc1nnc(C[N-]S(=O)(=O)c2c(C)n[nH]c2C)s1 ZINC000782515448 698733799 /nfs/dbraw/zinc/73/37/99/698733799.db2.gz ANYVUWMVZQHXDX-UHFFFAOYSA-N -1 1 319.437 1.078 20 0 DDADMM C[C@H](C[C@H](C)O)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000782995194 698795825 /nfs/dbraw/zinc/79/58/25/698795825.db2.gz ACCPFVGNFALUKQ-RQJHMYQMSA-N -1 1 313.206 1.826 20 0 DDADMM O=C(CCCc1ccsc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000783571274 698852904 /nfs/dbraw/zinc/85/29/04/698852904.db2.gz CRRXXHMRDMPWOG-NSHDSACASA-N -1 1 307.379 1.184 20 0 DDADMM Cc1c(C(=O)Nc2nc(Br)ccc2[O-])nnn1C ZINC000783900675 698890549 /nfs/dbraw/zinc/89/05/49/698890549.db2.gz DVQSDSOHENDSQF-UHFFFAOYSA-N -1 1 312.127 1.239 20 0 DDADMM CO[C@H]([C@@H](C)[N-]S(=O)(=O)c1ncn(C)c1Cl)C1CC1 ZINC000388217652 699084446 /nfs/dbraw/zinc/08/44/46/699084446.db2.gz HBLMWYIPJLXTKN-VXNVDRBHSA-N -1 1 307.803 1.165 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@@H]1CO)c1ccc(Cl)nc1F ZINC000703060144 699235162 /nfs/dbraw/zinc/23/51/62/699235162.db2.gz OHYJJKIRRKJOOB-BDAKNGLRSA-N -1 1 322.789 1.704 20 0 DDADMM C[C@](O)(CN1C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C1)C(F)(F)F ZINC000720365758 699305526 /nfs/dbraw/zinc/30/55/26/699305526.db2.gz DGQWGTKGPHLUJN-HAFWLYHUSA-N -1 1 309.206 1.495 20 0 DDADMM CCCOc1cc(OC)ccc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000789212406 699370339 /nfs/dbraw/zinc/37/03/39/699370339.db2.gz KVIYIGJJTVQAHZ-UHFFFAOYSA-N -1 1 321.333 1.263 20 0 DDADMM COCCCOC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000729076879 699466567 /nfs/dbraw/zinc/46/65/67/699466567.db2.gz JENFCEVHERAZMV-UHFFFAOYSA-N -1 1 300.380 1.725 20 0 DDADMM CCCCN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CCCCC1 ZINC000733141937 699580525 /nfs/dbraw/zinc/58/05/25/699580525.db2.gz YPTVNOSAJKSOGK-UHFFFAOYSA-N -1 1 321.421 1.888 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)Cc1ccccc1F)C(F)(F)F ZINC000733320471 699588548 /nfs/dbraw/zinc/58/85/48/699588548.db2.gz OJGVYKZRDMFVAR-SNVBAGLBSA-N -1 1 315.288 1.822 20 0 DDADMM Cn1cccc(NC(=O)c2cc(F)cc3nc([S-])[nH]c32)c1=O ZINC000733958719 699627362 /nfs/dbraw/zinc/62/73/62/699627362.db2.gz AVVGJFDXOYBUFL-UHFFFAOYSA-N -1 1 318.333 1.942 20 0 DDADMM Cc1cc(C(=O)OCc2nc(=O)n(C)[n-]2)c2nccc(C)c2c1 ZINC000792675591 699707094 /nfs/dbraw/zinc/70/70/94/699707094.db2.gz WWPGHIBXHOQODZ-UHFFFAOYSA-N -1 1 312.329 1.630 20 0 DDADMM O=C(Cc1ccc(Cl)cn1)OCCC[N-]C(=O)C(F)(F)F ZINC000792703724 699708206 /nfs/dbraw/zinc/70/82/06/699708206.db2.gz AJVBDFIPTHBPKJ-UHFFFAOYSA-N -1 1 324.686 1.889 20 0 DDADMM Cc1c(C(=O)[O-])sc2ncnc(N[C@@H](CCO)C3CC3)c12 ZINC000738189237 699754715 /nfs/dbraw/zinc/75/47/15/699754715.db2.gz LVQZKBFBFCIBIU-VIFPVBQESA-N -1 1 307.375 1.693 20 0 DDADMM O=C(c1cccc2ccccc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000738278321 699756635 /nfs/dbraw/zinc/75/66/35/699756635.db2.gz IVYJPYOYKJBMGF-AWEZNQCLSA-N -1 1 309.329 1.567 20 0 DDADMM CCCC(=O)COC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000738645133 699764459 /nfs/dbraw/zinc/76/44/59/699764459.db2.gz WBWBNOWMLFGBHU-UHFFFAOYSA-N -1 1 305.334 1.507 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@@H]1CCCN1Cc1ccccn1 ZINC000795089406 699845339 /nfs/dbraw/zinc/84/53/39/699845339.db2.gz JYUSALDTQWAZFL-HNNXBMFYSA-N -1 1 307.394 1.519 20 0 DDADMM CN(C)C(=O)CCCOC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000795250559 699853802 /nfs/dbraw/zinc/85/38/02/699853802.db2.gz AIBKXOJZNDYISS-UHFFFAOYSA-N -1 1 317.345 1.603 20 0 DDADMM O=C(CCc1cnccn1)OCCC[N-]C(=O)C(F)(F)F ZINC000795917225 699901426 /nfs/dbraw/zinc/90/14/26/699901426.db2.gz FLTLQKXNVRIMPW-UHFFFAOYSA-N -1 1 305.256 1.021 20 0 DDADMM COC(=O)CCCc1nnc([N-]C(=O)c2ncccn2)s1 ZINC000743489679 699914658 /nfs/dbraw/zinc/91/46/58/699914658.db2.gz RROJNSHRCJPUDO-UHFFFAOYSA-N -1 1 307.335 1.076 20 0 DDADMM COC(=O)[C@H](OC(=O)c1nn(-c2ccccc2)cc1[O-])C1CC1 ZINC000801202766 700277419 /nfs/dbraw/zinc/27/74/19/700277419.db2.gz BBCGCQLZNQYBRB-CQSZACIVSA-N -1 1 316.313 1.686 20 0 DDADMM CC(C)OC[C@@H](O)COC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801203381 700277442 /nfs/dbraw/zinc/27/74/42/700277442.db2.gz KAHRRYVGZTXXGZ-CYBMUJFWSA-N -1 1 320.345 1.521 20 0 DDADMM CC1(O)CCC([N-]S(=O)(=O)c2cccc(F)c2F)CC1 ZINC000751477844 700293549 /nfs/dbraw/zinc/29/35/49/700293549.db2.gz BPOQZLCEYWOORK-UHFFFAOYSA-N -1 1 305.346 1.937 20 0 DDADMM COC(=O)COC(=O)c1nn(-c2cccc(C)c2C)cc1[O-] ZINC000801416971 700305628 /nfs/dbraw/zinc/30/56/28/700305628.db2.gz UWLFJMYDRGQLDV-UHFFFAOYSA-N -1 1 304.302 1.525 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3CCCN3c3ccccc3)ccnc1-2 ZINC000801702362 700332595 /nfs/dbraw/zinc/33/25/95/700332595.db2.gz CDZGUBLMVPREEB-MRXNPFEDSA-N -1 1 321.384 1.949 20 0 DDADMM Cc1c(C(=O)N=c2ccnc3n(C)[n-]cc2-3)sc2nccn12 ZINC000801701714 700332739 /nfs/dbraw/zinc/33/27/39/700332739.db2.gz VEPZZBUPTYTEHB-UHFFFAOYSA-N -1 1 312.358 1.612 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc(-n4cccc4)nc3)ccnc1-2 ZINC000801704178 700333297 /nfs/dbraw/zinc/33/32/97/700333297.db2.gz DQNUOPWAEQDOEY-UHFFFAOYSA-N -1 1 318.340 1.780 20 0 DDADMM O=C([N-]N1C(=O)c2ccccc2C1=O)c1cccc2nccnc21 ZINC000753998769 700475422 /nfs/dbraw/zinc/47/54/22/700475422.db2.gz LCGJNHWTCBZHNL-UHFFFAOYSA-N -1 1 318.292 1.571 20 0 DDADMM Cn1[n-]c(CN2CC=C(c3ccc(Cl)nc3)CC2)nc1=O ZINC000754112276 700484378 /nfs/dbraw/zinc/48/43/78/700484378.db2.gz DMEUJQAMTJYHFN-UHFFFAOYSA-N -1 1 305.769 1.446 20 0 DDADMM CC(C)(C)OC1CC(CC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)C1 ZINC000754646456 700516415 /nfs/dbraw/zinc/51/64/15/700516415.db2.gz KWHRLEINKOVSHQ-HTAVTVPLSA-N -1 1 323.397 1.083 20 0 DDADMM Cc1cc(CNC(=O)CCn2cc[n-]c(=O)c2=O)ccc1Cl ZINC000754687978 700520057 /nfs/dbraw/zinc/52/00/57/700520057.db2.gz TTZRVFDBQPUQEI-UHFFFAOYSA-N -1 1 321.764 1.205 20 0 DDADMM Cc1cc(F)c(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1F ZINC000758221747 700690949 /nfs/dbraw/zinc/69/09/49/700690949.db2.gz RLIHEGFETBQRJA-LLVKDONJSA-N -1 1 309.276 1.000 20 0 DDADMM CC(C)CC1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000760938705 700830362 /nfs/dbraw/zinc/83/03/62/700830362.db2.gz GNRFVSPDZUIWCJ-UHFFFAOYSA-N -1 1 307.394 1.211 20 0 DDADMM O=C([N-]C[C@@H](O)COc1cccc(F)c1)C(F)(F)C(F)F ZINC000762297677 700888284 /nfs/dbraw/zinc/88/82/84/700888284.db2.gz KJIPKOQHIJVZJP-MRVPVSSYSA-N -1 1 313.222 1.582 20 0 DDADMM CC[C@H](NC(=O)[C@H](N)c1cccc(C(F)(F)F)c1)C(=O)[O-] ZINC000763030869 700920783 /nfs/dbraw/zinc/92/07/83/700920783.db2.gz XLVQXXVTLLBOSR-VHSXEESVSA-N -1 1 304.268 1.685 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000763056928 700922797 /nfs/dbraw/zinc/92/27/97/700922797.db2.gz QVAIUHPYMNWUMD-OCCSQVGLSA-N -1 1 320.389 1.798 20 0 DDADMM C[C@@H]1CC(=O)CC[C@@H]1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000763975668 700955781 /nfs/dbraw/zinc/95/57/81/700955781.db2.gz AGTQGLGFPAJYMQ-SWHYSGLUSA-N -1 1 313.361 1.411 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc3ccccc3c(Cl)n2)nc1=O ZINC000765440843 701013024 /nfs/dbraw/zinc/01/30/24/701013024.db2.gz RIHFBFVRMJZITH-UHFFFAOYSA-N -1 1 318.720 1.667 20 0 DDADMM Cn1[n-]c(COC(=O)CC2CCC(C(F)(F)F)CC2)nc1=O ZINC000765506283 701016526 /nfs/dbraw/zinc/01/65/26/701016526.db2.gz AEERAMDXOCBSGF-UHFFFAOYSA-N -1 1 321.299 1.910 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccccc2OC(F)(F)F)nc1=O ZINC000765503786 701016741 /nfs/dbraw/zinc/01/67/41/701016741.db2.gz RBRQWTCGIKOWQH-UHFFFAOYSA-N -1 1 317.223 1.364 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(F)(F)C2(O)CCCC2)c[n-]1 ZINC000803191534 701087870 /nfs/dbraw/zinc/08/78/70/701087870.db2.gz CDYOYNUMIWAOKA-UHFFFAOYSA-N -1 1 317.292 1.465 20 0 DDADMM CCOC(=O)N1CCC(NC(=O)c2ccc([O-])c(F)c2)CC1 ZINC000767296451 701095827 /nfs/dbraw/zinc/09/58/27/701095827.db2.gz PRPCATPNSVWHAR-UHFFFAOYSA-N -1 1 310.325 1.882 20 0 DDADMM O=C(C[C@@H]1COC(=O)C1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000767869202 701142592 /nfs/dbraw/zinc/14/25/92/701142592.db2.gz JEKOGUVDZWSADF-VIFPVBQESA-N -1 1 301.302 1.674 20 0 DDADMM CCCOc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000768919880 701216269 /nfs/dbraw/zinc/21/62/69/701216269.db2.gz ZABWDTNNTVEEMZ-UHFFFAOYSA-N -1 1 317.345 1.354 20 0 DDADMM Cn1[n-]c(CN2CCC(O)(c3ccccc3Cl)CC2)nc1=O ZINC000769814385 701257720 /nfs/dbraw/zinc/25/77/20/701257720.db2.gz NTFKBWUORSHYOE-UHFFFAOYSA-N -1 1 322.796 1.245 20 0 DDADMM COC(=O)C[C@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)C1CC1 ZINC000771945287 701337873 /nfs/dbraw/zinc/33/78/73/701337873.db2.gz IBKQDORQZDTZJA-JTQLQIEISA-N -1 1 318.420 1.380 20 0 DDADMM CC(C)NC(=O)CN1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000805788468 701409461 /nfs/dbraw/zinc/40/94/61/701409461.db2.gz HCEWUTWADQDSJV-NHCYSSNCSA-N -1 1 323.359 1.288 20 0 DDADMM C[C@H]1CCN(Cc2cn(C)nn2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000805789185 701409581 /nfs/dbraw/zinc/40/95/81/701409581.db2.gz XIOUHILTRPPHOM-QXEWZRGKSA-N -1 1 319.331 1.093 20 0 DDADMM CC[C@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CCCO1 ZINC000806481478 701436978 /nfs/dbraw/zinc/43/69/78/701436978.db2.gz DAAAKQLTYASTEV-WCQYABFASA-N -1 1 303.366 1.421 20 0 DDADMM CON(Cc1ccccc1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806486707 701437440 /nfs/dbraw/zinc/43/74/40/701437440.db2.gz DLNASPNASCHVNF-UHFFFAOYSA-N -1 1 311.345 1.937 20 0 DDADMM CCc1cnccc1CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806488313 701437570 /nfs/dbraw/zinc/43/75/70/701437570.db2.gz LXRFIKVUQDUVFP-UHFFFAOYSA-N -1 1 310.361 1.621 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1C[C@@H](C)OC1=O ZINC000808203970 701507398 /nfs/dbraw/zinc/50/73/98/701507398.db2.gz YXDMWWTWCFEZMF-APPZFPTMSA-N -1 1 307.350 1.222 20 0 DDADMM C[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@H](O)c1ccccc1 ZINC000808492448 701519810 /nfs/dbraw/zinc/51/98/10/701519810.db2.gz VEODOLAXBDJCCW-NHYWBVRUSA-N -1 1 323.352 1.775 20 0 DDADMM O=C(C=CC1CCCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952152 706609578 /nfs/dbraw/zinc/60/95/78/706609578.db2.gz CSTCQVKJEPITGW-WAYWQWQTSA-N -1 1 303.362 1.618 20 0 DDADMM O=C(C=Cc1cccs1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830953771 706609812 /nfs/dbraw/zinc/60/98/12/706609812.db2.gz ZDTHVONMDXYENQ-ARJAWSKDSA-N -1 1 317.370 1.646 20 0 DDADMM CC(=Cc1ccco1)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830953563 706609966 /nfs/dbraw/zinc/60/99/66/706609966.db2.gz XMACWKQHCWGERB-YFHOEESVSA-N -1 1 315.329 1.568 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]Cc1nn(C)cc1C(F)F ZINC000867798027 701756475 /nfs/dbraw/zinc/75/64/75/701756475.db2.gz HQEJHXDNZBKKHJ-UHFFFAOYSA-N -1 1 320.321 1.441 20 0 DDADMM CN(CCC[N-]C(=O)C(F)(F)F)Cc1noc(C2CC2)n1 ZINC000839672091 701777049 /nfs/dbraw/zinc/77/70/49/701777049.db2.gz RLJIZEIHPWZGOQ-UHFFFAOYSA-N -1 1 306.288 1.447 20 0 DDADMM O=C(C=C(C1CC1)C1CC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830966512 706612929 /nfs/dbraw/zinc/61/29/29/706612929.db2.gz ZOPHRMBUSGTHLB-UHFFFAOYSA-N -1 1 315.373 1.618 20 0 DDADMM Cc1ccc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)s1 ZINC000830969905 706613199 /nfs/dbraw/zinc/61/31/99/706613199.db2.gz IOWOVSUBACMLCC-UHFFFAOYSA-N -1 1 305.359 1.555 20 0 DDADMM Cc1nccn1C[C@H](C)OC(=O)C(C)(C)[N-]C(=O)C(F)(F)F ZINC000810621180 701803268 /nfs/dbraw/zinc/80/32/68/701803268.db2.gz LPQZQGUOSBVTSJ-QMMMGPOBSA-N -1 1 321.299 1.580 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCO[C@@H](C2CCC2)C1 ZINC000839806838 701833449 /nfs/dbraw/zinc/83/34/49/701833449.db2.gz IYLGOBRMBGFSQN-GFCCVEGCSA-N -1 1 318.402 1.659 20 0 DDADMM CO[C@](C)([C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000839828110 701841050 /nfs/dbraw/zinc/84/10/50/701841050.db2.gz DAHKOPRNPPEMFN-BJOHPYRUSA-N -1 1 320.418 1.951 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@H](O)C2CCCC2)c1Cl ZINC000867933750 701841373 /nfs/dbraw/zinc/84/13/73/701841373.db2.gz VHEWOMHACNYFAN-JTQLQIEISA-N -1 1 321.830 1.293 20 0 DDADMM O=Cc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)s1 ZINC000868064499 701921322 /nfs/dbraw/zinc/92/13/22/701921322.db2.gz ZYWHONOHBXKYBA-UHFFFAOYSA-N -1 1 307.331 1.669 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)C(=O)[N-]OCCC1CC1 ZINC000815792329 701934356 /nfs/dbraw/zinc/93/43/56/701934356.db2.gz YRWIDQHWDZSBIL-UHFFFAOYSA-N -1 1 302.334 1.658 20 0 DDADMM O=C(CCCC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000831121690 706638654 /nfs/dbraw/zinc/63/86/54/706638654.db2.gz OLDFRAPFGWLQHQ-UHFFFAOYSA-N -1 1 320.311 1.273 20 0 DDADMM CCC[C@H](O)[C@@H](CO)[N-]c1nc(-c2cccc(OC)c2)no1 ZINC000840363238 702084766 /nfs/dbraw/zinc/08/47/66/702084766.db2.gz YJDKZKKPCZAIHH-OLZOCXBDSA-N -1 1 307.350 1.679 20 0 DDADMM CC[C@H]1CC[C@@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)O1 ZINC000831138902 706641946 /nfs/dbraw/zinc/64/19/46/706641946.db2.gz CYEDFMQKGFDBSR-UWVGGRQHSA-N -1 1 308.300 1.081 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)c2ncccn2)C1)C(F)(F)F ZINC000812277198 702133573 /nfs/dbraw/zinc/13/35/73/702133573.db2.gz REWVRTPNGURFIY-VIFPVBQESA-N -1 1 316.283 1.007 20 0 DDADMM CC(C)OCC(C)(C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000840543454 702147613 /nfs/dbraw/zinc/14/76/13/702147613.db2.gz ZLCHVFMDHCPKFS-UHFFFAOYSA-N -1 1 308.407 1.951 20 0 DDADMM CCn1ncn([N-]C(=O)c2cc(SC)ccc2Cl)c1=O ZINC000816735584 702170691 /nfs/dbraw/zinc/17/06/91/702170691.db2.gz NRPQCSCZMKXFMC-UHFFFAOYSA-N -1 1 312.782 1.824 20 0 DDADMM O=C(C[C@H]1CCC(=O)N1)Nc1nc(Br)ccc1[O-] ZINC000816952116 702235423 /nfs/dbraw/zinc/23/54/23/702235423.db2.gz CXCLDBSTWHKPBR-ZCFIWIBFSA-N -1 1 314.139 1.157 20 0 DDADMM O=C(c1ccoc1C(F)(F)F)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000813444126 702349528 /nfs/dbraw/zinc/34/95/28/702349528.db2.gz AFNMGNNHNDFBJG-ZETCQYMHSA-N -1 1 317.227 1.025 20 0 DDADMM Cc1cc(C(=O)NOCCC2CC2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000817437259 702380146 /nfs/dbraw/zinc/38/01/46/702380146.db2.gz JFBKPOLCPWGAPC-UHFFFAOYSA-N -1 1 304.306 1.206 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCn2nc(C)cc2C)[n-]1 ZINC000841221863 702393037 /nfs/dbraw/zinc/39/30/37/702393037.db2.gz LJAJDXYMQJZKGX-UHFFFAOYSA-N -1 1 305.338 1.429 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCn2nc(C)cc2C)n1 ZINC000841221863 702393040 /nfs/dbraw/zinc/39/30/40/702393040.db2.gz LJAJDXYMQJZKGX-UHFFFAOYSA-N -1 1 305.338 1.429 20 0 DDADMM CC[C@@H]1C[C@H](C)CN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869213372 702512876 /nfs/dbraw/zinc/51/28/76/702512876.db2.gz IQDOYRAEQGVLMC-WDEREUQCSA-N -1 1 320.393 1.585 20 0 DDADMM CCC(C)(C)CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869424027 702602741 /nfs/dbraw/zinc/60/27/41/702602741.db2.gz RBMITIIQMCJBGB-UHFFFAOYSA-N -1 1 311.300 1.994 20 0 DDADMM COCCC[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000866378790 706685370 /nfs/dbraw/zinc/68/53/70/706685370.db2.gz CFRDHDNMUSRBAW-SFHVURJKSA-N -1 1 306.409 1.014 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C23CCC(CC2)C3)CCC1 ZINC000843015619 702803710 /nfs/dbraw/zinc/80/37/10/702803710.db2.gz NOGAMVOUZQJIPB-UHFFFAOYSA-N -1 1 314.451 1.497 20 0 DDADMM CC[C@@H](C)CCC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843015435 702803768 /nfs/dbraw/zinc/80/37/68/702803768.db2.gz WCJJULDWZLWABH-GFCCVEGCSA-N -1 1 304.456 1.743 20 0 DDADMM Cc1cccc(CC(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)c1 ZINC000843018859 702804079 /nfs/dbraw/zinc/80/40/79/702804079.db2.gz VSWZTEIUFZMZHU-UHFFFAOYSA-N -1 1 324.446 1.468 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])[C@@H]1CCc2ncncc2C1 ZINC000843866587 702935622 /nfs/dbraw/zinc/93/56/22/702935622.db2.gz RJVAOEVHRBMXNJ-MRVPVSSYSA-N -1 1 304.737 1.974 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2coc3ccc(F)cc23)C1=O ZINC000843873924 702936034 /nfs/dbraw/zinc/93/60/34/702936034.db2.gz VIEVQVRFFQHMSF-HNNXBMFYSA-N -1 1 319.292 1.937 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CC2CCCC2)c1C(F)(F)F ZINC000866476516 706709025 /nfs/dbraw/zinc/70/90/25/706709025.db2.gz OQNLMWAODNNBRQ-UHFFFAOYSA-N -1 1 311.329 1.907 20 0 DDADMM O=[S@]1C[C@@H]2C[C@H]1CN2Cn1[n-]c(-c2ccccn2)nc1=S ZINC000844745631 703067926 /nfs/dbraw/zinc/06/79/26/703067926.db2.gz BXBLNAXFKMSIRV-FRGLDACASA-N -1 1 321.431 1.165 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000866543070 706725446 /nfs/dbraw/zinc/72/54/46/706725446.db2.gz LFULYTDPOHVFJM-GFCCVEGCSA-N -1 1 313.361 1.695 20 0 DDADMM CCO[N-]C(=O)CNCc1ccc(F)cc1Br ZINC000846088341 703236056 /nfs/dbraw/zinc/23/60/56/703236056.db2.gz XNJOZDKVTMEFBT-UHFFFAOYSA-N -1 1 305.147 1.746 20 0 DDADMM C[C@@H]1C[C@H]1CNC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000847289735 703397348 /nfs/dbraw/zinc/39/73/48/703397348.db2.gz WUNROWDRSWBLEA-CHWFTXMASA-N -1 1 321.343 1.883 20 0 DDADMM CC(C)Oc1ccccc1C(F)(F)C(=O)NCc1nn[n-]n1 ZINC000847484224 703425264 /nfs/dbraw/zinc/42/52/64/703425264.db2.gz VOFLLUCSFJTNDV-UHFFFAOYSA-N -1 1 311.292 1.395 20 0 DDADMM CCO[C@@H](CC)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341761 703540966 /nfs/dbraw/zinc/54/09/66/703540966.db2.gz VDOLAGNILKKSQN-MXWKQRLJSA-N -1 1 324.343 1.860 20 0 DDADMM O=S(=O)([N-][C@@H](C1CC1)[C@@H]1CCCCO1)c1c[nH]nc1Cl ZINC000848467875 703553465 /nfs/dbraw/zinc/55/34/65/703553465.db2.gz WGKGXZHWJYDWBO-ONGXEEELSA-N -1 1 319.814 1.689 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@]2(C)CC2(F)F)co1 ZINC000851260258 703788673 /nfs/dbraw/zinc/78/86/73/703788673.db2.gz MLCLWSMMKQXDEO-JTQLQIEISA-N -1 1 309.290 1.390 20 0 DDADMM C[C@@H](COC(=O)Cc1ccc([O-])c(Cl)c1)CS(C)(=O)=O ZINC000869736951 703839444 /nfs/dbraw/zinc/83/94/44/703839444.db2.gz WHVHBQQTRGARCP-VIFPVBQESA-N -1 1 320.794 1.812 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](C)c2ccc[nH]2)cc1C ZINC000851774735 703852455 /nfs/dbraw/zinc/85/24/55/703852455.db2.gz HGZHNJNPOZMCMC-VIFPVBQESA-N -1 1 312.347 1.742 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2C(C)(C)C2(F)F)nc1Cl ZINC000851820240 703864293 /nfs/dbraw/zinc/86/42/93/703864293.db2.gz USIMADPOJQDBFF-LURJTMIESA-N -1 1 313.757 1.643 20 0 DDADMM CC1(c2ccc(CNC(=O)c3cncc([O-])c3)cc2)OCCO1 ZINC000869969582 703885854 /nfs/dbraw/zinc/88/58/54/703885854.db2.gz BSPHFQFUOFDCPH-UHFFFAOYSA-N -1 1 314.341 1.937 20 0 DDADMM C[C@H]1C[C@@H]1CNC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000851960035 703891661 /nfs/dbraw/zinc/89/16/61/703891661.db2.gz UJNFQODMGHSPNV-YTWAJWBKSA-N -1 1 321.343 1.741 20 0 DDADMM C[C@]12CN(C(=O)c3c([O-])cccc3Cl)C[C@@]1(C)C(=O)NC2=O ZINC000869999651 703892292 /nfs/dbraw/zinc/89/22/92/703892292.db2.gz NKDWIQZRJBDPMQ-GASCZTMLSA-N -1 1 322.748 1.170 20 0 DDADMM O=C([N-]CCCOC(=O)c1ncc2n1CCCC2)C(F)(F)F ZINC000870012522 703894136 /nfs/dbraw/zinc/89/41/36/703894136.db2.gz BZVWKOSFPNJOGW-UHFFFAOYSA-N -1 1 319.283 1.445 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCSC(C)(C)C ZINC000866718823 706774697 /nfs/dbraw/zinc/77/46/97/706774697.db2.gz OKLIKIPALMBHCC-UHFFFAOYSA-N -1 1 316.514 1.860 20 0 DDADMM CCN1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)OC(C)(C)C ZINC000852521477 704067616 /nfs/dbraw/zinc/06/76/16/704067616.db2.gz YWVWMLRKUPHWIH-ZJUUUORDSA-N -1 1 324.343 1.860 20 0 DDADMM CCCCNC(=O)[C@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764020 706784664 /nfs/dbraw/zinc/78/46/64/706784664.db2.gz RTDXQIVGKZJPML-GWCFXTLKSA-N -1 1 323.359 1.434 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(-c3nccc(N)n3)no2)c1 ZINC000820892745 704342914 /nfs/dbraw/zinc/34/29/14/704342914.db2.gz XYTIRILENVTWSD-UHFFFAOYSA-N -1 1 312.289 1.270 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1ccc(-c2cc[nH]n2)cc1 ZINC000821163098 704377465 /nfs/dbraw/zinc/37/74/65/704377465.db2.gz FYDQAIDPVSSKFS-UHFFFAOYSA-N -1 1 314.392 1.461 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2ccc(Cl)nc2F)n[nH]1 ZINC000866817051 706812940 /nfs/dbraw/zinc/81/29/40/706812940.db2.gz MOECBAFKNCLELE-UHFFFAOYSA-N -1 1 318.761 1.638 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCC(C)(C)O)c1 ZINC000821354021 704395755 /nfs/dbraw/zinc/39/57/55/704395755.db2.gz WYUOQQPJTKHLPF-HXUWFJFHSA-N -1 1 300.376 1.507 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@@H](C)n2ncnc21 ZINC000855326331 704478612 /nfs/dbraw/zinc/47/86/12/704478612.db2.gz CFJSZGWTYNNTKV-PSASIEDQSA-N -1 1 316.365 1.641 20 0 DDADMM C[C@H]1OCC[C@H]1C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417250 704528034 /nfs/dbraw/zinc/52/80/34/704528034.db2.gz MVWIUSDPHBHPDN-DBIOUOCHSA-N -1 1 322.327 1.327 20 0 DDADMM CN(OCC(F)(F)F)C(=O)CNC(=O)c1cc(F)ccc1[O-] ZINC000857426885 704589033 /nfs/dbraw/zinc/58/90/33/704589033.db2.gz LMRJJBQHVXAUEX-UHFFFAOYSA-N -1 1 324.230 1.213 20 0 DDADMM CC(=CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1cccs1 ZINC000857709846 704615090 /nfs/dbraw/zinc/61/50/90/704615090.db2.gz IXPIFQCIJUVCJF-RNKPRXRFSA-N -1 1 305.363 1.265 20 0 DDADMM O=c1nc(N2CCC([C@@H](O)C(F)(F)F)CC2)cc(Cl)[n-]1 ZINC000858447416 704712402 /nfs/dbraw/zinc/71/24/02/704712402.db2.gz FTBQNPKXSNEEFP-SECBINFHSA-N -1 1 311.691 1.975 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858399699 704705793 /nfs/dbraw/zinc/70/57/93/704705793.db2.gz JYMHODZXNNBMSX-MRVPVSSYSA-N -1 1 314.773 1.939 20 0 DDADMM CO[C@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867228269 706931438 /nfs/dbraw/zinc/93/14/38/706931438.db2.gz OBOWQLKZESEEKP-IUCAKERBSA-N -1 1 306.334 1.596 20 0 DDADMM O=C(NCC(F)(F)F)[C@@H]1CCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858491091 704718062 /nfs/dbraw/zinc/71/80/62/704718062.db2.gz XUIZJQKDMUTPIT-ZCFIWIBFSA-N -1 1 324.690 1.340 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC(CO)(CO)CC1 ZINC000832531556 706932961 /nfs/dbraw/zinc/93/29/61/706932961.db2.gz VFIWCFOEKRMQRL-UHFFFAOYSA-N -1 1 316.357 1.147 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CCCSC1 ZINC000867270997 706943496 /nfs/dbraw/zinc/94/34/96/706943496.db2.gz TZPXYANJXASJGM-SNVBAGLBSA-N -1 1 314.498 1.472 20 0 DDADMM O=S(=O)([N-]C1(CCO)CC1)c1cc(Cl)cnc1Cl ZINC000867301623 706952971 /nfs/dbraw/zinc/95/29/71/706952971.db2.gz CLJQVEOETIRRIH-UHFFFAOYSA-N -1 1 311.190 1.582 20 0 DDADMM CC[C@@H]1C[N@H+](CC)CCN1C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000821781275 704805260 /nfs/dbraw/zinc/80/52/60/704805260.db2.gz GOELIGNFJFOKOS-OAHLLOKOSA-N -1 1 322.453 1.318 20 0 DDADMM Cc1ccccc1CC(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822356683 704926887 /nfs/dbraw/zinc/92/68/87/704926887.db2.gz XSEVMQVPOQVZJW-UHFFFAOYSA-N -1 1 300.347 1.813 20 0 DDADMM Cc1ccccc1CC(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822356683 704926892 /nfs/dbraw/zinc/92/68/92/704926892.db2.gz XSEVMQVPOQVZJW-UHFFFAOYSA-N -1 1 300.347 1.813 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1ccc(Cl)nc1F)C(=O)OC ZINC000867449350 707004584 /nfs/dbraw/zinc/00/45/84/707004584.db2.gz RNEWDJVNRMRAHJ-ZETCQYMHSA-N -1 1 324.761 1.352 20 0 DDADMM CC[C@@H](C)C[C@H](CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867449453 707004947 /nfs/dbraw/zinc/00/49/47/707004947.db2.gz VDOHYCPUXISRLR-RKDXNWHRSA-N -1 1 308.350 1.435 20 0 DDADMM COCCOCc1ccc(CN2CC[C@@](F)(C(=O)[O-])C2)cc1 ZINC000859810468 705010567 /nfs/dbraw/zinc/01/05/67/705010567.db2.gz OWRDZFKOXZCMMS-INIZCTEOSA-N -1 1 311.353 1.848 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3CCOC3(C)C)ccnc1-2 ZINC000823057668 705126709 /nfs/dbraw/zinc/12/67/09/705126709.db2.gz GOGXYRVDGKGMSE-SNVBAGLBSA-N -1 1 303.366 1.278 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@@](O)(C4CC4)C3)ccnc1-2 ZINC000823061452 705127966 /nfs/dbraw/zinc/12/79/66/705127966.db2.gz BLDRUUBTEXJWRQ-INIZCTEOSA-N -1 1 315.377 1.111 20 0 DDADMM O=C([O-])C[C@]1(NC(=O)c2n[nH]c3ccccc32)CCCOC1 ZINC000823349793 705216159 /nfs/dbraw/zinc/21/61/59/705216159.db2.gz SQUNGQIZRKEDDR-OAHLLOKOSA-N -1 1 303.318 1.317 20 0 DDADMM CCN(OC)C(=O)C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000824041388 705361833 /nfs/dbraw/zinc/36/18/33/705361833.db2.gz KOFASHUPQCXMIW-UHFFFAOYSA-N -1 1 322.258 1.583 20 0 DDADMM O=C(CN1CCN(C2CC2)C1=O)NCc1ccc([O-])c(Cl)c1 ZINC000834821912 707130340 /nfs/dbraw/zinc/13/03/40/707130340.db2.gz HLYHCOMVNSDYBV-UHFFFAOYSA-N -1 1 323.780 1.562 20 0 DDADMM CCOC(=O)CCc1c(C)nc(SC[C@H](C)CO)[n-]c1=O ZINC000871694532 707171624 /nfs/dbraw/zinc/17/16/24/707171624.db2.gz LVWVEGIOJVVXDX-SECBINFHSA-N -1 1 314.407 1.707 20 0 DDADMM CC1(C)OC[C@@H](CNC(=O)NCc2ccc([O-])c(Cl)c2)O1 ZINC000875478687 705402087 /nfs/dbraw/zinc/40/20/87/705402087.db2.gz MXWCKHPWMGRGGF-SNVBAGLBSA-N -1 1 314.769 1.996 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)cc(=O)[n-]1 ZINC000824611158 705492716 /nfs/dbraw/zinc/49/27/16/705492716.db2.gz LTPZRKWFVLMFRY-QZERSUKASA-N -1 1 305.403 1.812 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]3[C@@H]3C[C@H]3C)nc2n1 ZINC000875838785 705531911 /nfs/dbraw/zinc/53/19/11/705531911.db2.gz VZILKINPVZIXKI-QCNRFFRDSA-N -1 1 301.350 1.211 20 0 DDADMM CC[C@@]1(C)CCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825164722 705614161 /nfs/dbraw/zinc/61/41/61/705614161.db2.gz HRHVYNMARWWYFK-AWEZNQCLSA-N -1 1 304.354 1.354 20 0 DDADMM CC[C@@]1(C)CCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825164722 705614163 /nfs/dbraw/zinc/61/41/63/705614163.db2.gz HRHVYNMARWWYFK-AWEZNQCLSA-N -1 1 304.354 1.354 20 0 DDADMM CC[C@@H]1CCCCCN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825193080 705621409 /nfs/dbraw/zinc/62/14/09/705621409.db2.gz JZNAWOXITZCKTA-LLVKDONJSA-N -1 1 318.381 1.887 20 0 DDADMM CC[C@@H]1CCCCCN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825193080 705621411 /nfs/dbraw/zinc/62/14/11/705621411.db2.gz JZNAWOXITZCKTA-LLVKDONJSA-N -1 1 318.381 1.887 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1C[C@@H](O)CC(F)(F)C1 ZINC000876395861 705707928 /nfs/dbraw/zinc/70/79/28/705707928.db2.gz QAGSSBDQVPOUKW-VIFPVBQESA-N -1 1 320.723 1.957 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N[C@H]1Cc2cncn2C1 ZINC000876399011 705708188 /nfs/dbraw/zinc/70/81/88/705708188.db2.gz LCRSFWXLUSNXNL-JTQLQIEISA-N -1 1 306.753 1.666 20 0 DDADMM CO[C@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)C(F)(F)F ZINC000825827189 705734710 /nfs/dbraw/zinc/73/47/10/705734710.db2.gz OHLCBOUIBBNCPE-SSDOTTSWSA-N -1 1 320.296 1.325 20 0 DDADMM COC(=O)C1(CNc2nccnc2-c2nnn[n-]2)CCCCC1 ZINC000826124120 705775002 /nfs/dbraw/zinc/77/50/02/705775002.db2.gz PDRUGHOAEUMLHN-UHFFFAOYSA-N -1 1 317.353 1.192 20 0 DDADMM COC(=O)C1(CNc2nccnc2-c2nn[n-]n2)CCCCC1 ZINC000826124120 705775005 /nfs/dbraw/zinc/77/50/05/705775005.db2.gz PDRUGHOAEUMLHN-UHFFFAOYSA-N -1 1 317.353 1.192 20 0 DDADMM COC1(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)CCOCC1 ZINC000826144228 705777823 /nfs/dbraw/zinc/77/78/23/705777823.db2.gz DXBIUWLQIPMQKT-UHFFFAOYSA-N -1 1 324.772 1.523 20 0 DDADMM COC1(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)CCOCC1 ZINC000826144228 705777826 /nfs/dbraw/zinc/77/78/26/705777826.db2.gz DXBIUWLQIPMQKT-UHFFFAOYSA-N -1 1 324.772 1.523 20 0 DDADMM C[C@@H]1COC2(CCC2)CN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000864067838 706059098 /nfs/dbraw/zinc/05/90/98/706059098.db2.gz LDFZADHCDYLYAC-SNVBAGLBSA-N -1 1 303.362 1.843 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H](C)CSC)co1 ZINC000835674722 707304344 /nfs/dbraw/zinc/30/43/44/707304344.db2.gz LMZFLRBJVMBMHM-QMMMGPOBSA-N -1 1 307.393 1.344 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCO[C@H](C2CC2)C1 ZINC000828936459 706258874 /nfs/dbraw/zinc/25/88/74/706258874.db2.gz PAEUIORBNUEWRR-NSHDSACASA-N -1 1 304.375 1.269 20 0 DDADMM CC[C@H]1CN2CCCC[C@H]2CN1C(=O)c1ccnc(C(=O)[O-])c1 ZINC000829159931 706295568 /nfs/dbraw/zinc/29/55/68/706295568.db2.gz APWSYOJQPNDAGB-KBPBESRZSA-N -1 1 317.389 1.869 20 0 DDADMM CCN(Cc1nc2c(c(=O)[n-]1)COCC2)c1cccc(O)c1 ZINC000878497130 706408110 /nfs/dbraw/zinc/40/81/10/706408110.db2.gz QYADHQPERGCTMK-UHFFFAOYSA-N -1 1 301.346 1.987 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2c[nH]nc2Cl)CCCCC1 ZINC000829869184 706410428 /nfs/dbraw/zinc/41/04/28/706410428.db2.gz ZKBPYWZRFCOXOG-UHFFFAOYSA-N -1 1 321.786 1.217 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)N=[S@@](C)(=O)C2CCCCC2)CCOC1 ZINC000882017965 707467675 /nfs/dbraw/zinc/46/76/75/707467675.db2.gz MHNYGOJPMVRLAK-BLVKFPJESA-N -1 1 324.468 1.430 20 0 DDADMM COCC1(C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)CC1 ZINC000872502197 707449718 /nfs/dbraw/zinc/44/97/18/707449718.db2.gz BNCXWAZUTNYKBF-SFHVURJKSA-N -1 1 312.457 1.144 20 0 DDADMM COC(=O)[C@H]1C[C@@H](O)CN1C(=O)c1cc2ccccc2cc1[O-] ZINC000830378441 706506335 /nfs/dbraw/zinc/50/63/35/706506335.db2.gz IQTJUYCXFYLVDI-TZMCWYRMSA-N -1 1 315.325 1.294 20 0 DDADMM O=C(Nc1c([O-])cccc1F)[C@@H]1C[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000878868020 706520201 /nfs/dbraw/zinc/52/02/01/706520201.db2.gz ZYISFLWVHDTVPL-OWUUHHOZSA-N -1 1 313.350 1.826 20 0 DDADMM CCC(=O)C1(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000830796269 706581358 /nfs/dbraw/zinc/58/13/58/706581358.db2.gz ZBHBXWFFWPEXBL-LBPRGKRZSA-N -1 1 320.311 1.415 20 0 DDADMM COCCC[C@@H](C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807514 706583214 /nfs/dbraw/zinc/58/32/14/706583214.db2.gz LDQZOHLCGVQWLR-MFKMUULPSA-N -1 1 324.343 1.719 20 0 DDADMM CN(C)Cc1cc(CNC(=O)N[C@H](C(=O)[O-])C2CC2)ccc1F ZINC000908985523 712908944 /nfs/dbraw/zinc/90/89/44/712908944.db2.gz KXCFOPPYQSSLTA-AWEZNQCLSA-N -1 1 323.368 1.550 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@H]2CCCCO2)C1 ZINC000830815482 706585240 /nfs/dbraw/zinc/58/52/40/706585240.db2.gz BWIOCYRVYFRXSY-ZWNOBZJWSA-N -1 1 322.327 1.615 20 0 DDADMM C[C@@H](OCC1CC1)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827371 706588380 /nfs/dbraw/zinc/58/83/80/706588380.db2.gz LCIJSIVQWHBLGZ-RNCFNFMXSA-N -1 1 322.327 1.471 20 0 DDADMM O=Cc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)ccc1F ZINC000866901755 706840296 /nfs/dbraw/zinc/84/02/96/706840296.db2.gz NOQCGGKZDJIYHJ-JTQLQIEISA-N -1 1 303.297 1.171 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CC(C)(C)c1ccncc1 ZINC000867040723 706879691 /nfs/dbraw/zinc/87/96/91/706879691.db2.gz DWQPULBYUYSAHF-LJQANCHMSA-N -1 1 319.452 1.311 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C(=O)OC ZINC000867054448 706883413 /nfs/dbraw/zinc/88/34/13/706883413.db2.gz OMLSGEKVNMVEQB-SSDOTTSWSA-N -1 1 324.761 1.494 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CC[C@@H]1CCCCO1 ZINC000867072685 706889555 /nfs/dbraw/zinc/88/95/55/706889555.db2.gz JUBGWLFTPUYOGU-NSHDSACASA-N -1 1 310.441 1.042 20 0 DDADMM CC[C@H](O)CC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000832579179 706944026 /nfs/dbraw/zinc/94/40/26/706944026.db2.gz DCLDQECYGYISIW-GMOBBJLQSA-N -1 1 324.343 1.451 20 0 DDADMM CC1=NO[C@H](C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000867344145 706967786 /nfs/dbraw/zinc/96/77/86/706967786.db2.gz DQMSMASKIVJEEE-ZETCQYMHSA-N -1 1 308.281 1.547 20 0 DDADMM C[C@@H](CN(C)C(=O)C1([C@@H]2CCCCO2)CCC1)c1nn[n-]n1 ZINC000867380977 706982052 /nfs/dbraw/zinc/98/20/52/706982052.db2.gz LOFHHDYJOLHDCQ-RYUDHWBXSA-N -1 1 307.398 1.501 20 0 DDADMM O=C([O-])CN(C(=O)N[C@@H]1CCc2nc[nH]c2C1)C1CCCCC1 ZINC000909020152 712918461 /nfs/dbraw/zinc/91/84/61/712918461.db2.gz OCFWPNMETOEXKC-LLVKDONJSA-N -1 1 320.393 1.696 20 0 DDADMM CCCN(C(=O)N[C@@H]1CCc2nc[nH]c2C1)[C@@H](CC)C(=O)[O-] ZINC000909021247 712918774 /nfs/dbraw/zinc/91/87/74/712918774.db2.gz VDXRRHPJXBXORR-MFKMUULPSA-N -1 1 308.382 1.552 20 0 DDADMM O=C([O-])[C@H]1CCCCCN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000909020490 712918780 /nfs/dbraw/zinc/91/87/80/712918780.db2.gz PMNOVZJKIRVYTQ-ZWNOBZJWSA-N -1 1 306.366 1.306 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@H]2CCc3nc[nH]c3C2)[C@H](C(=O)[O-])C1 ZINC000909021281 712919098 /nfs/dbraw/zinc/91/90/98/712919098.db2.gz WCFRXYDXHZMCFC-NRUUGDAUSA-N -1 1 306.366 1.162 20 0 DDADMM Cc1nc(NC(=O)[O-])sc1C(=O)N(C)[C@@H]1CN2CCC1CC2 ZINC000833914274 707016816 /nfs/dbraw/zinc/01/68/16/707016816.db2.gz UDZPTAJBHGGJGV-SNVBAGLBSA-N -1 1 324.406 1.708 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1CCc2ccccc21 ZINC000867514115 707024409 /nfs/dbraw/zinc/02/44/09/707024409.db2.gz NCYGVZXRUPTRSY-CYBMUJFWSA-N -1 1 314.432 1.770 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCn2c(cnc2C(F)(F)F)C1 ZINC000834312059 707028129 /nfs/dbraw/zinc/02/81/29/707028129.db2.gz BXTGJXGVADGYBV-UHFFFAOYSA-N -1 1 312.251 1.659 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC[C@]12CCOC2=O ZINC000867543167 707034489 /nfs/dbraw/zinc/03/44/89/707034489.db2.gz LMKUJNWEYGZQTN-OAHLLOKOSA-N -1 1 309.749 1.896 20 0 DDADMM N[C@@H](C(=O)N[C@H]1C[C@H](C(=O)[O-])C1)c1ccc(C(F)(F)F)cc1 ZINC000871670988 707163323 /nfs/dbraw/zinc/16/33/23/707163323.db2.gz HLWZYUPQOJXEIW-FBIMIBRVSA-N -1 1 316.279 1.685 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@]2(C)CC2(C)C)co1 ZINC000881541198 707288733 /nfs/dbraw/zinc/28/87/33/707288733.db2.gz BAGNOVVNLANNTO-CYBMUJFWSA-N -1 1 300.380 1.354 20 0 DDADMM C=C/C=C\CCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000881563632 707295494 /nfs/dbraw/zinc/29/54/94/707295494.db2.gz IUIGJOUWYCBABN-WAYWQWQTSA-N -1 1 300.362 1.843 20 0 DDADMM CO[C@@H]1CN(C[C@H](O)c2cccc(Cl)c2)[C@@](C)(C(=O)[O-])C1 ZINC000872161443 707310947 /nfs/dbraw/zinc/31/09/47/707310947.db2.gz SZAFGAPQONQNHV-KCQAQPDRSA-N -1 1 313.781 1.937 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccoc1)C(=O)c1ccc([O-])cc1 ZINC000881718636 707333656 /nfs/dbraw/zinc/33/36/56/707333656.db2.gz KXKKWEGMNWRXAP-LBPRGKRZSA-N -1 1 303.314 1.135 20 0 DDADMM CN(CC(=O)NCCCCCCC(=O)[O-])[C@@H]1CCSC1 ZINC000909148281 712946882 /nfs/dbraw/zinc/94/68/82/712946882.db2.gz WKLVBVKATXSMBF-GFCCVEGCSA-N -1 1 302.440 1.575 20 0 DDADMM CCO[C@H]1C[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)C1 ZINC000872504282 707450911 /nfs/dbraw/zinc/45/09/11/707450911.db2.gz CJUBRJLYLIRURC-CLFVBBPSSA-N -1 1 312.457 1.285 20 0 DDADMM O=C1OCC[C@@H]1CCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000872565234 707483339 /nfs/dbraw/zinc/48/33/39/707483339.db2.gz QCPIARHCLVEDPX-VIFPVBQESA-N -1 1 319.329 1.586 20 0 DDADMM CCC(CC)N1C[C@@H](C(=O)[N-]O[C@@H](CO)C(C)C)CC1=O ZINC000836899824 707545438 /nfs/dbraw/zinc/54/54/38/707545438.db2.gz KZETUNJRCFNWGC-AAEUAGOBSA-N -1 1 300.399 1.088 20 0 DDADMM Cc1nc([C@@H]2CCN(C(=O)C(=O)c3ccc([O-])cc3)C2)no1 ZINC000927326823 712971922 /nfs/dbraw/zinc/97/19/22/712971922.db2.gz FHYFDZBSLZUVQS-LLVKDONJSA-N -1 1 301.302 1.282 20 0 DDADMM Cc1ccc([C@H](CNC(=O)CN(C)C2CCC2)C(=O)[O-])cc1 ZINC000909251002 712974322 /nfs/dbraw/zinc/97/43/22/712974322.db2.gz TYWWYKRCUSQUMP-HNNXBMFYSA-N -1 1 304.390 1.764 20 0 DDADMM COc1ccc(C2CC2)cc1CNc1nc2[nH][n-]cc-2c(=O)n1 ZINC000882790631 707796115 /nfs/dbraw/zinc/79/61/15/707796115.db2.gz FVZAQELQLBGBKD-UHFFFAOYSA-N -1 1 311.345 1.930 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)C[C@H](C)C(C)(C)C)N(C)C ZINC000873604127 707902987 /nfs/dbraw/zinc/90/29/87/707902987.db2.gz RCYHOEGQTKKWSL-RYUDHWBXSA-N -1 1 306.472 1.701 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCC2)O1)c1nc2ccccc2c(=O)[n-]1 ZINC000883153612 707942302 /nfs/dbraw/zinc/94/23/02/707942302.db2.gz JBUVHUQAPMMAGN-NSHDSACASA-N -1 1 313.357 1.755 20 0 DDADMM COC(=O)CCN(CC(=O)[O-])Cc1cccc(O)c1Cl ZINC000883518903 708020096 /nfs/dbraw/zinc/02/00/96/708020096.db2.gz CNANBQZMLSIBEF-UHFFFAOYSA-N -1 1 301.726 1.495 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C12CCC2 ZINC000839075262 708022892 /nfs/dbraw/zinc/02/28/92/708022892.db2.gz RZEGTIGNAVOCNG-STQMWFEESA-N -1 1 315.377 1.421 20 0 DDADMM CCC(=O)[C@@H]1CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000909354792 712999088 /nfs/dbraw/zinc/99/90/88/712999088.db2.gz DRCKHHHTUFTHDO-JTQLQIEISA-N -1 1 304.375 1.602 20 0 DDADMM O=C(C=CC=C(Cl)Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000897162023 708241955 /nfs/dbraw/zinc/24/19/55/708241955.db2.gz RZOVSSPNHJFBKT-GINQSWSWSA-N -1 1 302.165 1.781 20 0 DDADMM C[C@H](C(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)[O-])N(C)C ZINC000909444405 713019816 /nfs/dbraw/zinc/01/98/16/713019816.db2.gz ZCKFSEAODXIMJM-QMTHXVAHSA-N -1 1 303.362 1.230 20 0 DDADMM CCOC(=O)c1ccc(C[N-]S(=O)(=O)c2ccns2)o1 ZINC000885047062 708454933 /nfs/dbraw/zinc/45/49/33/708454933.db2.gz MBIJQPOIBLQFOA-UHFFFAOYSA-N -1 1 316.360 1.391 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(C)(C)CC(C)(C)C ZINC000912528269 713030709 /nfs/dbraw/zinc/03/07/09/713030709.db2.gz RYFNBVYXKUTTJJ-UHFFFAOYSA-N -1 1 317.411 1.689 20 0 DDADMM O=C(CC[N-]S(=O)(=O)c1ccns1)OC1CCCCC1 ZINC000885172104 708485440 /nfs/dbraw/zinc/48/54/40/708485440.db2.gz SFCWDKCBGVNJFT-UHFFFAOYSA-N -1 1 318.420 1.687 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CC2(C1)CNC(=O)O2 ZINC000897999462 708515269 /nfs/dbraw/zinc/51/52/69/708515269.db2.gz AYIXFFFNDNWNCD-UHFFFAOYSA-N -1 1 314.297 1.185 20 0 DDADMM CCOC(=O)C1=CC[C@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC000885321096 708519170 /nfs/dbraw/zinc/51/91/70/708519170.db2.gz YFZIUOZKNZJFMU-VIFPVBQESA-N -1 1 302.377 1.073 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000885381830 708531114 /nfs/dbraw/zinc/53/11/14/708531114.db2.gz CDSSCPFMJQYQEP-HTQZYQBOSA-N -1 1 324.855 1.983 20 0 DDADMM CC1(C)CO[C@@H](CC[N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000885677133 708595727 /nfs/dbraw/zinc/59/57/27/708595727.db2.gz PWQHBDRDJLCCQH-VIFPVBQESA-N -1 1 320.361 1.843 20 0 DDADMM Cc1nn(CC(=O)Nc2cc(F)c([O-])cc2Cl)c(=O)n1C ZINC000885680698 708596713 /nfs/dbraw/zinc/59/67/13/708596713.db2.gz WPAPFXYECVROIQ-UHFFFAOYSA-N -1 1 314.704 1.027 20 0 DDADMM Cc1cnc(C(=O)NCc2nc3c(s2)COCC3)c([O-])c1 ZINC000885974116 708674392 /nfs/dbraw/zinc/67/43/92/708674392.db2.gz OWMFDMGAWKSDAO-UHFFFAOYSA-N -1 1 305.359 1.555 20 0 DDADMM Cc1ccn(CC(=O)NCCc2c(F)cc([O-])cc2F)c(=O)c1 ZINC000886268567 708732903 /nfs/dbraw/zinc/73/29/03/708732903.db2.gz VCIDEBKAZODHQQ-UHFFFAOYSA-N -1 1 322.311 1.499 20 0 DDADMM CCC[C@@H](O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927781228 713054509 /nfs/dbraw/zinc/05/45/09/713054509.db2.gz MASNPKREFADVIM-SECBINFHSA-N -1 1 302.321 1.673 20 0 DDADMM CC(=O)Nc1nc(C)c(S(=O)(=O)[N-][C@@H](C)C(F)F)s1 ZINC000886455139 708763175 /nfs/dbraw/zinc/76/31/75/708763175.db2.gz BTBAWDQKASACNW-BYPYZUCNSA-N -1 1 313.351 1.342 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@H](CO)CC(F)F ZINC000927784787 713055413 /nfs/dbraw/zinc/05/54/13/713055413.db2.gz SEUWVJLHFYDQFF-ZETCQYMHSA-N -1 1 324.274 1.528 20 0 DDADMM C[C@@]1(CO)CCCN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927785228 713055693 /nfs/dbraw/zinc/05/56/93/713055693.db2.gz ZGOXMAFOTMYXOX-HNNXBMFYSA-N -1 1 314.332 1.769 20 0 DDADMM COCCn1cc(C(=O)N(C)c2nn[n-]n2)c2ccccc21 ZINC000912618075 713052552 /nfs/dbraw/zinc/05/25/52/713052552.db2.gz MCANCSINORGEAF-UHFFFAOYSA-N -1 1 300.322 1.077 20 0 DDADMM Cc1ccc(C(C)(C)C(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC000898756782 708856607 /nfs/dbraw/zinc/85/66/07/708856607.db2.gz LUWOCCFVDWTRMF-UHFFFAOYSA-N -1 1 301.346 1.790 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CCSc2ccccc21 ZINC000898758188 708857137 /nfs/dbraw/zinc/85/71/37/708857137.db2.gz XCWCIIMREKIJLG-SNVBAGLBSA-N -1 1 317.370 1.784 20 0 DDADMM Cc1ccsc1[C@H]1C[C@H]1C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898758793 708857202 /nfs/dbraw/zinc/85/72/02/708857202.db2.gz ZFOCUWLDQAAIOO-DTWKUNHWSA-N -1 1 305.359 1.678 20 0 DDADMM Cn1cncc1[C@H](CO)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000898894644 708912492 /nfs/dbraw/zinc/91/24/92/708912492.db2.gz CLUHOBKNYKTCKF-NSHDSACASA-N -1 1 309.753 1.171 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)C(=O)[C@H](N)Cc1cc2ccccc2o1 ZINC000887398180 709036252 /nfs/dbraw/zinc/03/62/52/709036252.db2.gz RFIHYGVGSXHHQR-OLZOCXBDSA-N -1 1 304.346 1.624 20 0 DDADMM Cc1ccc(-c2n[n-]c(S(=O)(=O)CCOC3CC3)n2)cc1 ZINC000899487346 709097115 /nfs/dbraw/zinc/09/71/15/709097115.db2.gz PDWPYOARKQNHOS-UHFFFAOYSA-N -1 1 307.375 1.733 20 0 DDADMM Cc1ccc(-c2nc(S(=O)(=O)CCOC3CC3)n[n-]2)cc1 ZINC000899487346 709097117 /nfs/dbraw/zinc/09/71/17/709097117.db2.gz PDWPYOARKQNHOS-UHFFFAOYSA-N -1 1 307.375 1.733 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=S)NCC(C)C)[n-]c1=O ZINC000899667901 709142777 /nfs/dbraw/zinc/14/27/77/709142777.db2.gz ZKUZLZPZMAUDDW-SNVBAGLBSA-N -1 1 310.423 1.858 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OCc1ccccn1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000909527486 709502546 /nfs/dbraw/zinc/50/25/46/709502546.db2.gz FRZRHZUUGYQWGC-LZWOXQAQSA-N -1 1 321.421 1.763 20 0 DDADMM CCc1ncsc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912861401 713109920 /nfs/dbraw/zinc/10/99/20/713109920.db2.gz JEMSRLWJXHYRQG-QMMMGPOBSA-N -1 1 312.424 1.443 20 0 DDADMM CSCC[C@H](NC(=O)CC[C@@H]1CCCCO1)c1nn[n-]n1 ZINC000912860724 713109935 /nfs/dbraw/zinc/10/99/35/713109935.db2.gz VYAUAPVKYSGKSN-QWRGUYRKSA-N -1 1 313.427 1.459 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](C)Cc1ccco1)c1nn[n-]n1 ZINC000912862746 713111180 /nfs/dbraw/zinc/11/11/80/713111180.db2.gz AXAJQOCZJNPQQT-KOLCDFICSA-N -1 1 309.395 1.582 20 0 DDADMM CN(C)C1(C(=O)N2C[C@@H](c3ccccc3)[C@H](C(=O)[O-])C2)CC1 ZINC000909625374 709545642 /nfs/dbraw/zinc/54/56/42/709545642.db2.gz YOPILQFPIDTKGR-UONOGXRCSA-N -1 1 302.374 1.407 20 0 DDADMM CC(C)[C@](C)(CC(=O)[O-])NC(=O)CN(C)[C@@H]1CCSC1 ZINC000909688634 709579056 /nfs/dbraw/zinc/57/90/56/709579056.db2.gz PMFGOWFFMXQNEQ-RISCZKNCSA-N -1 1 302.440 1.429 20 0 DDADMM CC(C)[C@](C)(CC(=O)[O-])NC(=O)c1ccc(CN(C)C)nc1 ZINC000909687621 709578323 /nfs/dbraw/zinc/57/83/23/709578323.db2.gz JHAVSWOZKOMOQQ-INIZCTEOSA-N -1 1 307.394 1.762 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N([C@@H](C)C(=O)[O-])C1CC1 ZINC000900462646 709606665 /nfs/dbraw/zinc/60/66/65/709606665.db2.gz NIIYAVLPWMGNJS-SMDDNHRTSA-N -1 1 320.389 1.939 20 0 DDADMM C[C@@H]1[C@@H](C(=O)[O-])CCN1C(=O)CN(C)CCc1ccccc1 ZINC000909807435 709631054 /nfs/dbraw/zinc/63/10/54/709631054.db2.gz HEIUVLYZWRQLHX-HIFRSBDPSA-N -1 1 304.390 1.483 20 0 DDADMM CC[C@H](C)[C@H](OC)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889788001 709636397 /nfs/dbraw/zinc/63/63/97/709636397.db2.gz UQURRTNACYCWNA-LOWVWBTDSA-N -1 1 323.393 1.915 20 0 DDADMM COc1cccc([C@](C)(CC(=O)[O-])NC(=O)[C@H]2CCCN2C)c1 ZINC000909816814 709637187 /nfs/dbraw/zinc/63/71/87/709637187.db2.gz AZJLZJPTOJEHTO-PBHICJAKSA-N -1 1 320.389 1.596 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC12CCC2 ZINC000909935704 709694420 /nfs/dbraw/zinc/69/44/20/709694420.db2.gz MEPNKCAMJIIWFQ-HWPZZCPQSA-N -1 1 321.352 1.635 20 0 DDADMM CS(=O)(=O)CC1(CC(=O)Nc2cc([O-])c(F)cc2F)CC1 ZINC000909983459 709718782 /nfs/dbraw/zinc/71/87/82/709718782.db2.gz XFSKFKHYTMWZGK-UHFFFAOYSA-N -1 1 319.329 1.824 20 0 DDADMM C/C(=C\C(=O)Nc1cc([O-])c(F)cc1F)CN1CCOCC1 ZINC000909988567 709722886 /nfs/dbraw/zinc/72/28/86/709722886.db2.gz QOFOLPGYRTURAV-UXBLZVDNSA-N -1 1 312.316 1.887 20 0 DDADMM CCn1cc(CN(C)C(=O)c2cnc(C3CC3)[n-]c2=O)cn1 ZINC000900711023 709723481 /nfs/dbraw/zinc/72/34/81/709723481.db2.gz LGBAKJURRQSYTK-UHFFFAOYSA-N -1 1 301.350 1.548 20 0 DDADMM CC1(C)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]2CCCO[C@@H]21 ZINC000900837149 709784597 /nfs/dbraw/zinc/78/45/97/709784597.db2.gz BAZXLWKTDMPOQK-RTXFEEFZSA-N -1 1 317.389 1.993 20 0 DDADMM O=C([O-])[C@@H]1CSCCN(C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC000910150705 709792997 /nfs/dbraw/zinc/79/29/97/709792997.db2.gz USDJSKQBNBCNAX-LURJTMIESA-N -1 1 305.306 1.237 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cc3occc3[nH]2)CC1 ZINC000910171300 709796573 /nfs/dbraw/zinc/79/65/73/709796573.db2.gz WBIYOGDIRPUZCE-SNVBAGLBSA-N -1 1 305.334 1.382 20 0 DDADMM CNc1nc(C)ccc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910179804 709799794 /nfs/dbraw/zinc/79/97/94/709799794.db2.gz DGGNJJPVQBNJSA-UHFFFAOYSA-N -1 1 320.393 1.053 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@@H]1CCc2nc[nH]c2C1)C1CCCCC1 ZINC000910230826 709828691 /nfs/dbraw/zinc/82/86/91/709828691.db2.gz NJZIKLIAUZFVMT-OLZOCXBDSA-N -1 1 319.405 1.912 20 0 DDADMM COc1ccc([C@@H](C)NC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000910243277 709836053 /nfs/dbraw/zinc/83/60/53/709836053.db2.gz AZHORWLMXROVDG-OCCSQVGLSA-N -1 1 320.389 1.669 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2cccc3c2CCO3)C1 ZINC000910242892 709836246 /nfs/dbraw/zinc/83/62/46/709836246.db2.gz IZHMFLXNJFYUGK-LLVKDONJSA-N -1 1 304.346 1.357 20 0 DDADMM C[C@@H]1C[C@H]1c1ccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)o1 ZINC000910245718 709837056 /nfs/dbraw/zinc/83/70/56/709837056.db2.gz NCTIMJQKZFUESA-MBNYWOFBSA-N -1 1 320.389 1.816 20 0 DDADMM COc1ccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c(F)c1 ZINC000910250399 709840764 /nfs/dbraw/zinc/84/07/64/709840764.db2.gz IWEJDPZTCOAFEP-GFCCVEGCSA-N -1 1 324.352 1.247 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@H]2COCC[C@H]21 ZINC000901019148 709884203 /nfs/dbraw/zinc/88/42/03/709884203.db2.gz OZKPVMGMYNUKRA-WCQYABFASA-N -1 1 303.362 1.701 20 0 DDADMM C[C@]1(C(=O)[O-])CN(C(=O)c2cc(-c3cccs3)[nH]n2)CCO1 ZINC000910322981 709890960 /nfs/dbraw/zinc/89/09/60/709890960.db2.gz FBDDNHRFZZGSMP-CQSZACIVSA-N -1 1 321.358 1.454 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)C(C)(F)F ZINC000910347197 709904088 /nfs/dbraw/zinc/90/40/88/709904088.db2.gz BGLAHWOIHQIJAQ-JTQLQIEISA-N -1 1 306.353 1.581 20 0 DDADMM Cc1nc(C2CCN(C(=O)c3cnc[nH]3)CC2)sc1C(=O)[O-] ZINC000910353809 709907042 /nfs/dbraw/zinc/90/70/42/709907042.db2.gz IFTZTMJQODRALR-UHFFFAOYSA-N -1 1 320.374 1.893 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(C(=O)c1c[nH]cc3ncnc1-3)C2 ZINC000910418796 709931072 /nfs/dbraw/zinc/93/10/72/709931072.db2.gz QCZASSHUXSLJOD-VFZGTOFNSA-N -1 1 300.318 1.285 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1c1nnc(C(C)(C)C)s1 ZINC000910457071 709953048 /nfs/dbraw/zinc/95/30/48/709953048.db2.gz RVXODNSSRQACDD-JTQLQIEISA-N -1 1 312.439 1.821 20 0 DDADMM CC(C)CCC[C@@H](NC(=O)CN(C)[C@@H]1CCSC1)C(=O)[O-] ZINC000910578177 710007693 /nfs/dbraw/zinc/00/76/93/710007693.db2.gz VMGKQVLXMFMMNN-CHWSQXEVSA-N -1 1 316.467 1.819 20 0 DDADMM Cn1[nH]c(=O)c2c1CN(C(=O)c1c([O-])cccc1Cl)CC2 ZINC000913031948 713148679 /nfs/dbraw/zinc/14/86/79/713148679.db2.gz YITCWLUGQQQMMF-UHFFFAOYSA-N -1 1 307.737 1.683 20 0 DDADMM CC(C)(C)[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1O ZINC000901335400 710013918 /nfs/dbraw/zinc/01/39/18/710013918.db2.gz KBDJHNWIXFKTOH-STQMWFEESA-N -1 1 319.405 1.929 20 0 DDADMM Cc1cc2cc([N-]S(=O)(=O)N=S(C)(C)=O)ccc2[nH]1 ZINC000901600418 710090004 /nfs/dbraw/zinc/09/00/04/710090004.db2.gz ZYNXFOGUAAQVHI-UHFFFAOYSA-N -1 1 301.393 1.861 20 0 DDADMM CCN(CCC(=O)[O-])Cc1c(C)nn(C)c1OCC(F)(F)F ZINC000901602370 710090986 /nfs/dbraw/zinc/09/09/86/710090986.db2.gz PYFQZBLBGOCJFZ-UHFFFAOYSA-N -1 1 323.315 1.966 20 0 DDADMM CO[C@@H](CC(C)C)CN(C)CC(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000901623054 710099406 /nfs/dbraw/zinc/09/94/06/710099406.db2.gz GBYSPJSZTZTFBV-KBPBESRZSA-N -1 1 314.426 1.303 20 0 DDADMM CCCOc1ccc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])cc1 ZINC000901742323 710137085 /nfs/dbraw/zinc/13/70/85/710137085.db2.gz URFURSPAFXBRBO-RYUDHWBXSA-N -1 1 308.378 1.543 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cnn(C2CCCC2)c1 ZINC000901768054 710144802 /nfs/dbraw/zinc/14/48/02/710144802.db2.gz QFFCKUXAMLKZFG-UHFFFAOYSA-N -1 1 301.368 1.303 20 0 DDADMM O=C(C[N@@H+]1CCC[C@@H](C(=O)[O-])C1)NC[C@H]1CCCC2(CCC2)O1 ZINC000910997517 710147320 /nfs/dbraw/zinc/14/73/20/710147320.db2.gz KGZWLQCDSZLSDI-ZIAGYGMSSA-N -1 1 324.421 1.391 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC[C@H]1CCCC2(CCC2)O1 ZINC000910997517 710147324 /nfs/dbraw/zinc/14/73/24/710147324.db2.gz KGZWLQCDSZLSDI-ZIAGYGMSSA-N -1 1 324.421 1.391 20 0 DDADMM C[C@@H]1CN(C(=O)c2cncc([O-])c2)C[C@H](C)N1CC(F)(F)F ZINC000891287349 710152812 /nfs/dbraw/zinc/15/28/12/710152812.db2.gz QDUUEQGGTLWPMZ-AOOOYVTPSA-N -1 1 317.311 1.884 20 0 DDADMM O=C([O-])C[C@@]1(NCc2ccc(Br)o2)CCOC1 ZINC000901835276 710157147 /nfs/dbraw/zinc/15/71/47/710157147.db2.gz AEKCTZWTQNZWPG-NSHDSACASA-N -1 1 304.140 1.766 20 0 DDADMM CN(C)c1ncc(CN2CCc3ccccc3[C@H]2C(=O)[O-])cn1 ZINC000901990857 710194884 /nfs/dbraw/zinc/19/48/84/710194884.db2.gz UOMDKNUWIJFUQL-HNNXBMFYSA-N -1 1 312.373 1.727 20 0 DDADMM CN(CC(C)(C)N1CCOCC1)c1ccc(OCC(=O)[O-])cc1 ZINC000902016423 710202099 /nfs/dbraw/zinc/20/20/99/710202099.db2.gz LDAMWVCJIXANHI-UHFFFAOYSA-N -1 1 322.405 1.697 20 0 DDADMM CN1CCOC[C@@H]1CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000913154794 713172407 /nfs/dbraw/zinc/17/24/07/713172407.db2.gz JIMIMQVMNYMPPR-JTQLQIEISA-N -1 1 319.336 1.140 20 0 DDADMM Cc1nc(CC2CCN(C(=O)c3ncc(C)cc3[O-])CC2)no1 ZINC000928348091 713178211 /nfs/dbraw/zinc/17/82/11/713178211.db2.gz MRQDOTINRXPLGB-UHFFFAOYSA-N -1 1 316.361 1.882 20 0 DDADMM CO[C@@H]1CCCN([N-]C(=O)C(F)(F)C2(O)CCCCC2)C1 ZINC000928417771 713186729 /nfs/dbraw/zinc/18/67/29/713186729.db2.gz IZFJVQHZFVFPTK-LLVKDONJSA-N -1 1 306.353 1.459 20 0 DDADMM CN(C(=O)[C@@H]1COc2ccc(Br)cc21)c1nn[n-]n1 ZINC000892954195 710531367 /nfs/dbraw/zinc/53/13/67/710531367.db2.gz FMPWXEXYFNZILQ-MRVPVSSYSA-N -1 1 324.138 1.101 20 0 DDADMM COCc1nc(NCCc2cccc(C(=O)OC)c2)cc(=O)[n-]1 ZINC000893076678 710551192 /nfs/dbraw/zinc/55/11/92/710551192.db2.gz CZJJZXFLNBNRJK-UHFFFAOYSA-N -1 1 317.345 1.770 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)NCC3(C(=O)[O-])CCCC3)c2C1 ZINC000902063143 710601037 /nfs/dbraw/zinc/60/10/37/710601037.db2.gz SRBULBVBLQYKDH-SNVBAGLBSA-N -1 1 305.378 1.909 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2ccc(O)c(Cl)c2)C1 ZINC000911155863 710659584 /nfs/dbraw/zinc/65/95/84/710659584.db2.gz VIICOIXBPIBRIS-VIFPVBQESA-N -1 1 312.753 1.781 20 0 DDADMM O=C([O-])C[C@H](NC(=O)[C@@H]1CCCN1C1CCCC1)C(F)(F)F ZINC000911163038 710663637 /nfs/dbraw/zinc/66/36/37/710663637.db2.gz VTLMJMSWBMMXQN-QWRGUYRKSA-N -1 1 322.327 1.915 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@@H](CCO)CC1 ZINC000911209644 710686910 /nfs/dbraw/zinc/68/69/10/710686910.db2.gz LFMODAPDVMYSEA-LLVKDONJSA-N -1 1 305.378 1.684 20 0 DDADMM C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cc1cn(CC(=O)[O-])nn1 ZINC000902541376 710788698 /nfs/dbraw/zinc/78/86/98/710788698.db2.gz IJVJLGDPQHJHAG-UKRRQHHQSA-N -1 1 314.389 1.816 20 0 DDADMM C[C@@H]1CN(Cc2cnn(-c3ccccc3)n2)C[C@H]1CC(=O)[O-] ZINC000902557391 710793947 /nfs/dbraw/zinc/79/39/47/710793947.db2.gz YZBCYQOEHWBXHG-CHWSQXEVSA-N -1 1 300.362 1.810 20 0 DDADMM Cc1ccc(C(=O)N2CCC(c3nc[nH]n3)CC2)cc1C(=O)[O-] ZINC000911439344 710799269 /nfs/dbraw/zinc/79/92/69/710799269.db2.gz VVFROVZUUXOYLT-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)N2CC[C@H](C3CCCC3)C2)C1 ZINC000911497623 710824042 /nfs/dbraw/zinc/82/40/42/710824042.db2.gz YAGFZUPIDKCLFU-LSDHHAIUSA-N -1 1 308.422 1.822 20 0 DDADMM COCC[C@@H](NC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccco1 ZINC000911500553 710825135 /nfs/dbraw/zinc/82/51/35/710825135.db2.gz NXTUEGWFERSNSF-QWHCGFSZSA-N -1 1 324.377 1.270 20 0 DDADMM COCc1nc(NCc2ccc(C[S@@](C)=O)cc2)cc(=O)[n-]1 ZINC000893847277 710855755 /nfs/dbraw/zinc/85/57/55/710855755.db2.gz DVXOOVMUVXIJCS-JOCHJYFZSA-N -1 1 321.402 1.819 20 0 DDADMM Cc1cc(C2CCN(C(=O)c3ccoc3CC(=O)[O-])CC2)n[nH]1 ZINC000911651310 710906750 /nfs/dbraw/zinc/90/67/50/710906750.db2.gz QAAIJVMPHCQVRP-UHFFFAOYSA-N -1 1 317.345 1.958 20 0 DDADMM O=C([O-])[C@@]1(C(=O)Nc2cccc(C(F)(F)F)c2)CNCCO1 ZINC000911905826 711061724 /nfs/dbraw/zinc/06/17/24/711061724.db2.gz FFRUSNGEGRYFMS-LBPRGKRZSA-N -1 1 318.251 1.087 20 0 DDADMM O=C([O-])[C@]1(C(=O)Nc2ccc3ccccc3c2)CNCCO1 ZINC000911906462 711062544 /nfs/dbraw/zinc/06/25/44/711062544.db2.gz LFIRYXNQEUCTTC-MRXNPFEDSA-N -1 1 300.314 1.222 20 0 DDADMM O=S(=O)(CC1(F)CC1)[N-]c1ccc(N2CCOCC2)cn1 ZINC000903599665 711218498 /nfs/dbraw/zinc/21/84/98/711218498.db2.gz AKNNINRIGYXSQY-UHFFFAOYSA-N -1 1 315.370 1.162 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1cc(CN(C)C)ccn1 ZINC000903605174 711220654 /nfs/dbraw/zinc/22/06/54/711220654.db2.gz AKZWCEXVSMEHDO-UHFFFAOYSA-N -1 1 322.390 1.348 20 0 DDADMM O=C(c1cccc(C(F)F)c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494547 713237318 /nfs/dbraw/zinc/23/73/18/713237318.db2.gz FBYDIHCRGXMRKX-JTQLQIEISA-N -1 1 309.276 1.351 20 0 DDADMM C[C@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1c(F)cccc1F ZINC000913494963 713237948 /nfs/dbraw/zinc/23/79/48/713237948.db2.gz DYHRVJPXORUVER-GZMMTYOYSA-N -1 1 323.303 1.182 20 0 DDADMM COc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c(Cl)c1 ZINC000913495093 713237966 /nfs/dbraw/zinc/23/79/66/713237966.db2.gz RECFKBFBTYSKKK-LLVKDONJSA-N -1 1 323.740 1.075 20 0 DDADMM CN(C)C(=O)N[C@H]1CCCN(Cc2ccc(C(=O)[O-])s2)C1 ZINC000903690769 711245675 /nfs/dbraw/zinc/24/56/75/711245675.db2.gz RFVPQODTWWSWBB-JTQLQIEISA-N -1 1 311.407 1.682 20 0 DDADMM CCCCCCO[C@@H](C)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913498806 713239139 /nfs/dbraw/zinc/23/91/39/713239139.db2.gz JTLSTGQDPVEKFO-RYUDHWBXSA-N -1 1 311.386 1.085 20 0 DDADMM CCCCn1nnnc1CN1[C@H](C)C[C@H](C)[C@@H](C(=O)[O-])[C@@H]1C ZINC000903948849 711345615 /nfs/dbraw/zinc/34/56/15/711345615.db2.gz HQZSMECAWGXZIB-KZVDOYCCSA-N -1 1 309.414 1.793 20 0 DDADMM C[C@@H]1C[C@H](C)N(Cc2nnnn2C(C)(C)C)[C@H](C)[C@@H]1C(=O)[O-] ZINC000903954467 711346483 /nfs/dbraw/zinc/34/64/83/711346483.db2.gz YDIAHOXHNKIFHO-LSCVPOLPSA-N -1 1 309.414 1.748 20 0 DDADMM COc1ccnc(CN[C@H]2C[C@@H](NC(=O)[O-])C23CCC3)c1F ZINC000904251784 711418361 /nfs/dbraw/zinc/41/83/61/711418361.db2.gz OYBQVYJDJMTPGJ-NWDGAFQWSA-N -1 1 309.341 1.898 20 0 DDADMM O=C([O-])COCCOCCNCc1ccc(Cl)cc1F ZINC000904272076 711421539 /nfs/dbraw/zinc/42/15/39/711421539.db2.gz JJTHPWIQKOUZGW-UHFFFAOYSA-N -1 1 305.733 1.687 20 0 DDADMM O=C(NC12CCN(CC1)C2)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000913631501 713272730 /nfs/dbraw/zinc/27/27/30/713272730.db2.gz YADIGNUGWGMKEP-UHFFFAOYSA-N -1 1 316.336 1.295 20 0 DDADMM O=C(CCC(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccc1 ZINC000913742136 713291612 /nfs/dbraw/zinc/29/16/12/713291612.db2.gz JOTFIUWYOCCQSR-UHFFFAOYSA-N -1 1 313.361 1.569 20 0 DDADMM COc1cccc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1OC ZINC000913742114 713291702 /nfs/dbraw/zinc/29/17/02/713291702.db2.gz HOYYKYIWOWFNHT-UHFFFAOYSA-N -1 1 317.349 1.237 20 0 DDADMM COc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)ccc1Cl ZINC000913744630 713293273 /nfs/dbraw/zinc/29/32/73/713293273.db2.gz XEAQOMVVZIHUAE-UHFFFAOYSA-N -1 1 321.768 1.882 20 0 DDADMM O=C(c1ccnc(-n2cccc2)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746779 713294407 /nfs/dbraw/zinc/29/44/07/713294407.db2.gz HNOVXWDSCYRKAF-UHFFFAOYSA-N -1 1 323.360 1.405 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1ccc(C(C)C)nc1 ZINC000906071668 712237390 /nfs/dbraw/zinc/23/73/90/712237390.db2.gz JCVAIGMJCFZYHP-SFHVURJKSA-N -1 1 305.425 1.979 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@]1(c2ccccc2)C[C@H](F)C1)c1nn[n-]n1 ZINC000907348905 712549462 /nfs/dbraw/zinc/54/94/62/712549462.db2.gz BYSBWVRJUMSSFN-RBOXIYTFSA-N -1 1 317.368 1.832 20 0 DDADMM O=C(CCc1ccc(O)cc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480354 712583550 /nfs/dbraw/zinc/58/35/50/712583550.db2.gz SVADWYOHLSHXAI-LBPRGKRZSA-N -1 1 319.390 1.155 20 0 DDADMM CC[C@H]1C(=O)N(CC)CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907550163 712593640 /nfs/dbraw/zinc/59/36/40/712593640.db2.gz ZWTPIJRYXVVFAZ-LBPRGKRZSA-N -1 1 318.377 1.143 20 0 DDADMM COc1ccc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)nc1 ZINC000907771554 712624542 /nfs/dbraw/zinc/62/45/42/712624542.db2.gz XQDGBTPUQLGROR-UHFFFAOYSA-N -1 1 300.318 1.393 20 0 DDADMM C[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H](C2CC2)O1 ZINC000907868411 712638667 /nfs/dbraw/zinc/63/86/67/712638667.db2.gz UCNSGIIOJMSIDH-RNCFNFMXSA-N -1 1 303.362 1.699 20 0 DDADMM C[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H](C2CC2)O1 ZINC000907868412 712638671 /nfs/dbraw/zinc/63/86/71/712638671.db2.gz UCNSGIIOJMSIDH-TVQRCGJNSA-N -1 1 303.362 1.699 20 0 DDADMM CC1(C)C[C@@H](NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCS1 ZINC000907985329 712660659 /nfs/dbraw/zinc/66/06/59/712660659.db2.gz ZIRNALCCHNJJRM-NEPJUHHUSA-N -1 1 314.451 1.573 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N(Cc2ccoc2)C2CC2)C1 ZINC000908016072 712666602 /nfs/dbraw/zinc/66/66/02/712666602.db2.gz HVMLNGKMBVXDEX-ZDUSSCGKSA-N -1 1 306.362 1.567 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)OC[C@H]2CCCO2)[n-]c1=O ZINC000908017568 712666797 /nfs/dbraw/zinc/66/67/97/712666797.db2.gz VTYIDKKAKDLEKB-GHMZBOCLSA-N -1 1 323.349 1.643 20 0 DDADMM CCO[C@]12CCC[C@@]1([N-]S(=O)(=O)C[C@H](CC)OC)CCO2 ZINC000908019462 712667275 /nfs/dbraw/zinc/66/72/75/712667275.db2.gz XKZQWAUXVFIGKZ-MJBXVCDLSA-N -1 1 321.439 1.407 20 0 DDADMM COCCO[C@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000908037357 712670299 /nfs/dbraw/zinc/67/02/99/712670299.db2.gz VGOYGBHNJMZXDA-LBPRGKRZSA-N -1 1 321.377 1.327 20 0 DDADMM O=C([O-])c1ccc2cc(CCNC(=O)CN3CCCC3)oc2c1 ZINC000908220987 712713360 /nfs/dbraw/zinc/71/33/60/712713360.db2.gz KURDRIHRXNETQB-UHFFFAOYSA-N -1 1 316.357 1.886 20 0 DDADMM CC1CCN(CC(=O)N[C@H](CC(=O)[O-])Cc2ccccc2)CC1 ZINC000908241778 712718057 /nfs/dbraw/zinc/71/80/57/712718057.db2.gz YBQKBAUETNWEMH-INIZCTEOSA-N -1 1 318.417 1.921 20 0 DDADMM CCC[C@@]1(CO)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000908247782 712719113 /nfs/dbraw/zinc/71/91/13/712719113.db2.gz ANKBKMCFIOELMN-MRXNPFEDSA-N -1 1 305.378 1.684 20 0 DDADMM CCOC(=O)[C@H]1CCCN(CC(=O)[N-]OC/C=C\Cl)C1 ZINC000908309948 712736903 /nfs/dbraw/zinc/73/69/03/712736903.db2.gz BUZVIQPKFIQCDH-QZPNVGJNSA-N -1 1 304.774 1.062 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cc1ccccc1N1CCCC1=O ZINC000908371903 712754964 /nfs/dbraw/zinc/75/49/64/712754964.db2.gz QCCDSRBQFYKHFL-UHFFFAOYSA-N -1 1 314.345 1.732 20 0 DDADMM O=S(=O)([N-][C@H]1COC[C@@H]1C1CC1)c1ccc(C(F)F)o1 ZINC000908402527 712761851 /nfs/dbraw/zinc/76/18/51/712761851.db2.gz ANBMLNTWZHVGCO-BDAKNGLRSA-N -1 1 307.318 1.921 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@H]1C1CC1)c1cc(F)c(F)cc1F ZINC000908405843 712763238 /nfs/dbraw/zinc/76/32/38/712763238.db2.gz AALAQNPQIAXAHI-QPUJVOFHSA-N -1 1 321.320 1.807 20 0 DDADMM O=C([O-])C[C@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)c1cccs1 ZINC000908641252 712827372 /nfs/dbraw/zinc/82/73/72/712827372.db2.gz AOKKKODRKVKANN-BBBLOLIVSA-N -1 1 305.359 1.907 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@H]2C[C@H]2c2ccccc2)C1 ZINC000908787818 712854933 /nfs/dbraw/zinc/85/49/33/712854933.db2.gz HXFUUJJUISOSNI-KKUMJFAQSA-N -1 1 302.374 1.455 20 0 DDADMM CN(C(=O)N[C@@H]1CCCN(C)C1)[C@@H](C(=O)[O-])c1ccccc1 ZINC000908871434 712874925 /nfs/dbraw/zinc/87/49/25/712874925.db2.gz RLEKBKNLDNNZGJ-ZIAGYGMSSA-N -1 1 305.378 1.548 20 0 DDADMM CCN1CCC[C@H](NC(=O)c2ncc3ccccc3c2[O-])C1=O ZINC000928864625 713497139 /nfs/dbraw/zinc/49/71/39/713497139.db2.gz FYUGIDPLTGBIQV-ZDUSSCGKSA-N -1 1 313.357 1.681 20 0 DDADMM CN1C[C@H](CC[N-]S(=O)(=O)c2cccc(F)c2F)CC1=O ZINC000921068569 713711797 /nfs/dbraw/zinc/71/17/97/713711797.db2.gz KPXBZUXSWJQKKA-SECBINFHSA-N -1 1 318.345 1.112 20 0 DDADMM COC(=O)CC1CC([N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000921186081 713719501 /nfs/dbraw/zinc/71/95/01/713719501.db2.gz QCBVQAVRCOGXEZ-UHFFFAOYSA-N -1 1 319.329 1.585 20 0 DDADMM COC(=O)/C(C)=C/C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000921233170 713724341 /nfs/dbraw/zinc/72/43/41/713724341.db2.gz QEEUZLAETMSLPT-SOFGYWHQSA-N -1 1 321.757 1.877 20 0 DDADMM O=S(=O)([N-][C@@H]1c2ccccc2OC[C@@H]1F)c1ccns1 ZINC000921318047 713731895 /nfs/dbraw/zinc/73/18/95/713731895.db2.gz NWJYLUWFZRNSMQ-JOYOIKCWSA-N -1 1 314.363 1.893 20 0 DDADMM Cn1nc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cc1C(F)F ZINC000930471821 713843299 /nfs/dbraw/zinc/84/32/99/713843299.db2.gz VAWGHSPCXYJZFU-UHFFFAOYSA-N -1 1 310.186 1.747 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccnn1C1CCC1 ZINC000930472093 713843886 /nfs/dbraw/zinc/84/38/86/713843886.db2.gz VQBKTRFYZVGUAB-UHFFFAOYSA-N -1 1 300.244 1.997 20 0 DDADMM CCOCCOCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000921890250 713898479 /nfs/dbraw/zinc/89/84/79/713898479.db2.gz OIINGKUAUXWRRC-UHFFFAOYSA-N -1 1 309.334 1.296 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C(C)C ZINC000921976093 713926118 /nfs/dbraw/zinc/92/61/18/713926118.db2.gz YEHQWZYUFDEVOL-SECBINFHSA-N -1 1 311.306 1.693 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@]23C[C@H]2COC32CCC2)sn1 ZINC000922107449 713963990 /nfs/dbraw/zinc/96/39/90/713963990.db2.gz XTULLZVGEJDIMH-JOYOIKCWSA-N -1 1 300.405 1.441 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3CC[C@@H](C4CC4)O3)ccnc1-2 ZINC000931131361 714010541 /nfs/dbraw/zinc/01/05/41/714010541.db2.gz FSGDUZSRGJBJRB-RISCZKNCSA-N -1 1 315.377 1.421 20 0 DDADMM O=c1ccn(-c2ccc(NCc3cnc4n3CCOC4)cc2)[n-]1 ZINC000922294819 714014442 /nfs/dbraw/zinc/01/44/42/714014442.db2.gz DQMBUPSQVTZEHZ-UHFFFAOYSA-N -1 1 311.345 1.917 20 0 DDADMM CCOC[C@@H]1CN(C[C@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000931253133 714049000 /nfs/dbraw/zinc/04/90/00/714049000.db2.gz QSUKHEYEIDMZMG-BBRMVZONSA-N -1 1 307.390 1.948 20 0 DDADMM O=C(NC[C@@H]1CCC[C@H]1O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932044309 714245359 /nfs/dbraw/zinc/24/53/59/714245359.db2.gz DQTQVAXDEGYFNC-SMDDNHRTSA-N -1 1 316.361 1.860 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1C[C@H]2CC[C@@H](C1)O2 ZINC000932046116 714245862 /nfs/dbraw/zinc/24/58/62/714245862.db2.gz UOQMHBSRQTUENK-OKILXGFUSA-N -1 1 314.345 1.973 20 0 DDADMM COC[C@]1(C)NC(=O)N(CCc2c(F)cc([O-])cc2F)C1=O ZINC000925459295 714863656 /nfs/dbraw/zinc/86/36/56/714863656.db2.gz ADORNXKGZQTNJK-AWEZNQCLSA-N -1 1 314.288 1.170 20 0 DDADMM CCS(=O)(CC)=NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000925888041 714956585 /nfs/dbraw/zinc/95/65/85/714956585.db2.gz CSJHKEVTIXNPHD-UHFFFAOYSA-N -1 1 324.406 1.629 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N[C@@H](CO)C3CC3)c2)o1 ZINC000935202076 715002454 /nfs/dbraw/zinc/00/24/54/715002454.db2.gz YGVNIRHLTXNPNO-AWEZNQCLSA-N -1 1 315.325 1.965 20 0 DDADMM Cc1ccc2c(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)cnn2c1C ZINC000935368451 715039130 /nfs/dbraw/zinc/03/91/30/715039130.db2.gz RMFXHWLMCNWEQC-SNVBAGLBSA-N -1 1 313.365 1.340 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@@H]1C ZINC000926615045 715099049 /nfs/dbraw/zinc/09/90/49/715099049.db2.gz OHDQEZWOPPMUDC-GWCFXTLKSA-N -1 1 314.341 1.822 20 0 DDADMM Cc1cccc(C(=O)C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000935792804 715128747 /nfs/dbraw/zinc/12/87/47/715128747.db2.gz BUCIJLORHKECKU-GFCCVEGCSA-N -1 1 315.329 1.673 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2CC2(C)C)C1 ZINC000937172686 715288566 /nfs/dbraw/zinc/28/85/66/715288566.db2.gz UEFAHWZKRQVSGB-NWDGAFQWSA-N -1 1 317.389 1.506 20 0 DDADMM CC1(C)CC(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000937861623 715617249 /nfs/dbraw/zinc/61/72/49/715617249.db2.gz UYQDWWDWTIQXKK-LBPRGKRZSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CC1 ZINC000955463839 715796789 /nfs/dbraw/zinc/79/67/89/715796789.db2.gz KPMWDHPQUZVCHZ-ZYHUDNBSSA-N -1 1 303.362 1.307 20 0 DDADMM C/C=C(\C)C(=O)N1CCC(CN(CC)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000957698793 716006184 /nfs/dbraw/zinc/00/61/84/716006184.db2.gz OKMMERCUDQLKTF-UUILKARUSA-N -1 1 321.425 1.537 20 0 DDADMM C[C@@H]1CN(C(=O)C2(C)CCCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939064380 716110308 /nfs/dbraw/zinc/11/03/08/716110308.db2.gz VPLQOIWHUPFBIP-VXGBXAGGSA-N -1 1 321.425 1.417 20 0 DDADMM CC(C)(C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000940130355 716641017 /nfs/dbraw/zinc/64/10/17/716641017.db2.gz NCPRQUOLHYMTJD-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H]1CCN(C(C)=O)C1 ZINC000942797677 717870733 /nfs/dbraw/zinc/87/07/33/717870733.db2.gz KMWGQLBOASLGFK-GFCCVEGCSA-N -1 1 318.377 1.143 20 0 DDADMM CS[C@H](C)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943882221 718215080 /nfs/dbraw/zinc/21/50/80/718215080.db2.gz HOWMEWBAKGCWNV-GHMZBOCLSA-N -1 1 323.418 1.260 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944319510 718288044 /nfs/dbraw/zinc/28/80/44/718288044.db2.gz ZYZXKSRZNPDAPT-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C(F)F ZINC000945291400 718436689 /nfs/dbraw/zinc/43/66/89/718436689.db2.gz YVVICMHKRGGOAU-BDAKNGLRSA-N -1 1 313.304 1.019 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(C)(C)C ZINC000966349631 718522740 /nfs/dbraw/zinc/52/27/40/718522740.db2.gz OKHVWCMBNNSJMX-MNOVXSKESA-N -1 1 305.378 1.410 20 0 DDADMM O=C(/C=C\C1CC1)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000945899916 718609149 /nfs/dbraw/zinc/60/91/49/718609149.db2.gz ASFUBIXUOGRHJR-WAYWQWQTSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2[C@@H](CCN2C(=O)C2CC2)C1 ZINC000945930211 718617601 /nfs/dbraw/zinc/61/76/01/718617601.db2.gz OGHNWNSUJUZSMN-QWHCGFSZSA-N -1 1 315.373 1.260 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H](C)C(C)C)C1 ZINC000967904737 719081701 /nfs/dbraw/zinc/08/17/01/719081701.db2.gz NZXBXVDDSLUPSJ-LBPRGKRZSA-N -1 1 319.405 1.752 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@H]2C[C@@H]2C)C1 ZINC000967905753 719082233 /nfs/dbraw/zinc/08/22/33/719082233.db2.gz DDTGSJSPLIRAJN-NWDGAFQWSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])c1ccccc1 ZINC000948748871 719685279 /nfs/dbraw/zinc/68/52/79/719685279.db2.gz DQXBPQYSRVJVCK-ZDUSSCGKSA-N -1 1 311.341 1.432 20 0 DDADMM C/C(=C/C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000949530574 720142280 /nfs/dbraw/zinc/14/22/80/720142280.db2.gz BEZPYIJXQBZXLB-PRWOLLLXSA-N -1 1 315.373 1.474 20 0 DDADMM CS[C@H](C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950118414 720497632 /nfs/dbraw/zinc/49/76/32/720497632.db2.gz QMKQGYKDYJWPDO-MNOVXSKESA-N -1 1 323.418 1.260 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000950538950 720674702 /nfs/dbraw/zinc/67/47/02/720674702.db2.gz DEBPVQZBCVUQHA-NSHDSACASA-N -1 1 315.329 1.415 20 0 DDADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000950542738 720676828 /nfs/dbraw/zinc/67/68/28/720676828.db2.gz IEWRHEQBPRHMQR-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CCC(=O)N1CC[C@@]2(C1)CCCN(C(=O)c1ncccc1[O-])C2 ZINC000952814796 721511728 /nfs/dbraw/zinc/51/17/28/721511728.db2.gz GZCHDUQNISODSZ-QGZVFWFLSA-N -1 1 317.389 1.652 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCC2(C)C)C1 ZINC000954127659 721725054 /nfs/dbraw/zinc/72/50/54/721725054.db2.gz CLRZHSZYLIKPCX-LBPRGKRZSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001021167629 732976652 /nfs/dbraw/zinc/97/66/52/732976652.db2.gz JDZHCJYLCALXAA-YHWZYXNKSA-N -1 1 319.409 1.312 20 0 DDADMM C[C@@H](c1ncccn1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001038195878 733220505 /nfs/dbraw/zinc/22/05/05/733220505.db2.gz XOWOGMDNLLPKOG-NWDGAFQWSA-N -1 1 313.361 1.143 20 0 DDADMM O=C(NC[C@H]1CCCN1Cc1cscn1)c1ncccc1[O-] ZINC001027841704 738724249 /nfs/dbraw/zinc/72/42/49/738724249.db2.gz KYHDMOZEORSSOD-GFCCVEGCSA-N -1 1 318.402 1.638 20 0 DDADMM O=C1O[C@@H](c2ccccc2)CC1=C([O-])N=S1(=O)CCOCC1 ZINC000891368256 733578175 /nfs/dbraw/zinc/57/81/75/733578175.db2.gz CUTQZIDRDKXFKH-CHWSQXEVSA-N -1 1 323.370 1.316 20 0 DDADMM O=C(Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12)[C@H]1C[C@@H]1F ZINC001143438541 734701222 /nfs/dbraw/zinc/70/12/22/734701222.db2.gz LPIQCQNDVFBMOQ-YUMQZZPRSA-N -1 1 315.264 1.270 20 0 DDADMM CC[C@@H](C)[C@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167556903 734711904 /nfs/dbraw/zinc/71/19/04/734711904.db2.gz NRZJPBHZYUUXLY-NEPJUHHUSA-N -1 1 323.441 1.569 20 0 DDADMM C[C@@H]1[C@H](c2ccccc2)CCN1c1nnc(-c2nnn[n-]2)n1C ZINC001121359450 782471422 /nfs/dbraw/zinc/47/14/22/782471422.db2.gz ISNVIVFQFNMQMZ-ZYHUDNBSSA-N -1 1 310.365 1.378 20 0 DDADMM C[C@@H]1[C@H](c2ccccc2)CCN1c1nnc(-c2nn[n-]n2)n1C ZINC001121359450 782471425 /nfs/dbraw/zinc/47/14/25/782471425.db2.gz ISNVIVFQFNMQMZ-ZYHUDNBSSA-N -1 1 310.365 1.378 20 0 DDADMM O=C(NC[C@H]1CCCN(Cc2ccon2)C1)c1ncccc1[O-] ZINC001023326125 735154670 /nfs/dbraw/zinc/15/46/70/735154670.db2.gz QKBKXTQTCXOQQX-GFCCVEGCSA-N -1 1 316.361 1.417 20 0 DDADMM Nc1ccc(F)cc1S(=O)(=O)Nc1ccsc1C(=O)[O-] ZINC001215397887 739236538 /nfs/dbraw/zinc/23/65/38/739236538.db2.gz RHWKLMSGVGHGPS-UHFFFAOYSA-N -1 1 316.335 1.968 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001011434369 736093232 /nfs/dbraw/zinc/09/32/32/736093232.db2.gz CDTMXDVAMQAZKR-RYUDHWBXSA-N -1 1 303.362 1.473 20 0 DDADMM O=C(CC1CCC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024913453 736166404 /nfs/dbraw/zinc/16/64/04/736166404.db2.gz FSRVJALSHBSDBH-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM Cc1cc(NC[C@@H](C)N(C)C(=O)c2ncccc2[O-])nc(C)n1 ZINC001104536857 736203480 /nfs/dbraw/zinc/20/34/80/736203480.db2.gz WIJNRSPWFBUCPG-LLVKDONJSA-N -1 1 315.377 1.767 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1Cc1cccnc1 ZINC001088536136 741708411 /nfs/dbraw/zinc/70/84/11/741708411.db2.gz GJTWYRORROFDKT-OCCSQVGLSA-N -1 1 312.373 1.575 20 0 DDADMM O=C(NCCNCc1ncc(C2CC2)o1)c1ncccc1[O-] ZINC001126119523 738330695 /nfs/dbraw/zinc/33/06/95/738330695.db2.gz VWJVLLCOOLWJMV-UHFFFAOYSA-N -1 1 302.334 1.172 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H](Nc2cc(F)ncn2)C1 ZINC001058879033 739007101 /nfs/dbraw/zinc/00/71/01/739007101.db2.gz JURZNPLMWBNEIL-VIFPVBQESA-N -1 1 303.297 1.043 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cnc2ccccc2c1 ZINC001149138846 740090601 /nfs/dbraw/zinc/09/06/01/740090601.db2.gz GEGCIEPMHUKMEU-UHFFFAOYSA-N -1 1 308.274 1.923 20 0 DDADMM CC(C)(C)C(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059517000 741090303 /nfs/dbraw/zinc/09/03/03/741090303.db2.gz ZLHJJWHPVSMHNO-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM CC1(CC(=O)N2CCCCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001088348062 741422530 /nfs/dbraw/zinc/42/25/30/741422530.db2.gz KCRSRKCQNAWFGD-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1CCCC1 ZINC001076245375 742624740 /nfs/dbraw/zinc/62/47/40/742624740.db2.gz ZHCKTNMKOWJQFU-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM CSc1nc(NC(=O)[C@H]2CCCN(C(C)=O)C2)cc(=O)[n-]1 ZINC001180679555 742892935 /nfs/dbraw/zinc/89/29/35/742892935.db2.gz FMJUYIJDJOLIRU-VIFPVBQESA-N -1 1 310.379 1.101 20 0 DDADMM CCOC(CC(=O)Nc1cc(=O)[n-]c(SC)n1)OCC ZINC001181790856 743343928 /nfs/dbraw/zinc/34/39/28/743343928.db2.gz MSEDEWOPEGDYKE-UHFFFAOYSA-N -1 1 301.368 1.632 20 0 DDADMM O=C(c1ccccc1F)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998419518 751825022 /nfs/dbraw/zinc/82/50/22/751825022.db2.gz CDYZZOXYCAJXNM-SNVBAGLBSA-N -1 1 319.340 1.044 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@H](C)OCc3ccccc3)c1-2 ZINC001183492485 743847361 /nfs/dbraw/zinc/84/73/61/743847361.db2.gz QUPWPXVJJFPYBU-NSHDSACASA-N -1 1 311.345 1.579 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@@H]2CCCCN2C(C)=O)[n-]1 ZINC001184125036 743971175 /nfs/dbraw/zinc/97/11/75/743971175.db2.gz YUAQHWZOLQXLDW-NSHDSACASA-N -1 1 322.365 1.316 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@@H]2CCCCN2C(C)=O)n1 ZINC001184125036 743971177 /nfs/dbraw/zinc/97/11/77/743971177.db2.gz YUAQHWZOLQXLDW-NSHDSACASA-N -1 1 322.365 1.316 20 0 DDADMM Cc1nc(CCN2CC(NC(=O)c3ncccc3[O-])C2)cs1 ZINC001030242078 743977987 /nfs/dbraw/zinc/97/79/87/743977987.db2.gz ODFICPIJDRVXIE-UHFFFAOYSA-N -1 1 318.402 1.209 20 0 DDADMM CC(=O)c1c(C)c(C)[nH]c1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001184730869 744093553 /nfs/dbraw/zinc/09/35/53/744093553.db2.gz QLOYZJCRVINDLO-UHFFFAOYSA-N -1 1 319.277 1.686 20 0 DDADMM O=S(=O)([N-]c1cc(O)ccc1F)c1cnc(Cl)nc1 ZINC001185042889 744150018 /nfs/dbraw/zinc/15/00/18/744150018.db2.gz ALZGPGLVNBLDHF-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cnc([C@H]3C[C@@H]3C)nc2)n[nH]1 ZINC001185117696 744163706 /nfs/dbraw/zinc/16/37/06/744163706.db2.gz SFBNYEBZLVFSFI-WPRPVWTQSA-N -1 1 315.333 1.752 20 0 DDADMM O=C(NCc1ccc(F)cc1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001185489387 744227988 /nfs/dbraw/zinc/22/79/88/744227988.db2.gz LQBSJSXTKGIREO-UHFFFAOYSA-N -1 1 318.268 1.177 20 0 DDADMM CC(C)[C@H](NC(=O)c1ccc([O-])c(F)c1)C(=O)N1CCOCC1 ZINC001186233136 744360616 /nfs/dbraw/zinc/36/06/16/744360616.db2.gz DHHCUSZJXXMJFD-AWEZNQCLSA-N -1 1 324.352 1.145 20 0 DDADMM O=C(NCC1CC(NC(=O)C2CC=CC2)C1)c1ncccc1[O-] ZINC000992047486 744560227 /nfs/dbraw/zinc/56/02/27/744560227.db2.gz BZLUKWMHOPQKCD-UHFFFAOYSA-N -1 1 315.373 1.378 20 0 DDADMM CC(C)n1ccc(NC(=O)c2n[n-]nc2C(F)(F)F)cc1=O ZINC001187773686 744600335 /nfs/dbraw/zinc/60/03/35/744600335.db2.gz IYSQOIPQURZEPI-UHFFFAOYSA-N -1 1 315.255 1.818 20 0 DDADMM COc1ccc(CC[N-]S(=O)(=O)c2nccs2)cc1O ZINC001187908541 744627892 /nfs/dbraw/zinc/62/78/92/744627892.db2.gz JFQHXHVKCZEJIC-UHFFFAOYSA-N -1 1 314.388 1.378 20 0 DDADMM COc1ccccc1C(=O)C[N-]S(=O)(=O)c1nccs1 ZINC001187912643 744629079 /nfs/dbraw/zinc/62/90/79/744629079.db2.gz MELNMEYWOSNQQX-UHFFFAOYSA-N -1 1 312.372 1.313 20 0 DDADMM Cc1cc2c(cc1CNC(=O)c1ccncc1[O-])OCCO2 ZINC001188622272 744739878 /nfs/dbraw/zinc/73/98/78/744739878.db2.gz QDIDEFDIURDTBZ-UHFFFAOYSA-N -1 1 300.314 1.797 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])Nc1cnc(F)cn1 ZINC001089273178 744848877 /nfs/dbraw/zinc/84/88/77/744848877.db2.gz VQEWOLSMEAUNPR-ZJUUUORDSA-N -1 1 319.340 1.725 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC(OC[C@@H]2CCCO2)CC1 ZINC000176399344 744900251 /nfs/dbraw/zinc/90/02/51/744900251.db2.gz WCPHHRSQOURUFF-HNNXBMFYSA-N -1 1 306.362 1.587 20 0 DDADMM O=C1OCC=C1[N-]S(=O)(=O)Cc1ccccc1C(F)(F)F ZINC001189563675 744917441 /nfs/dbraw/zinc/91/74/41/744917441.db2.gz OBWHWJYBSFIZEH-UHFFFAOYSA-N -1 1 321.276 1.566 20 0 DDADMM O=S(=O)(Cc1cc(F)ccc1F)[N-]C[C@H](O)C(F)(F)F ZINC001189708407 744960729 /nfs/dbraw/zinc/96/07/29/744960729.db2.gz KUOXWBSYYQQIIR-VIFPVBQESA-N -1 1 319.251 1.307 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(F)cc(F)c1F)c1cnn(C)c1 ZINC001190401557 745200930 /nfs/dbraw/zinc/20/09/30/745200930.db2.gz KNCCBKCGOBFXGG-SSDOTTSWSA-N -1 1 319.308 1.877 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc(-n2cccc2)nc1 ZINC001190402802 745201152 /nfs/dbraw/zinc/20/11/52/745201152.db2.gz OXPLNDZRMABHMA-UHFFFAOYSA-N -1 1 321.300 1.497 20 0 DDADMM O=C(c1cnc(-c2ccccn2)[n-]c1=O)N1CC(F)(C2CC2)C1 ZINC001190618624 745260730 /nfs/dbraw/zinc/26/07/30/745260730.db2.gz UPSAUPPBRBTUER-UHFFFAOYSA-N -1 1 314.320 1.818 20 0 DDADMM O=S(=O)([N-]c1cnccc1Br)c1ncc[nH]1 ZINC001190689319 745297966 /nfs/dbraw/zinc/29/79/66/745297966.db2.gz GHPHTLBNNIBZNF-UHFFFAOYSA-N -1 1 303.141 1.368 20 0 DDADMM Cc1nccc(Br)c1[N-]S(=O)(=O)c1ncc[nH]1 ZINC001190689984 745298650 /nfs/dbraw/zinc/29/86/50/745298650.db2.gz SRJZOWVPYRKYJH-UHFFFAOYSA-N -1 1 317.168 1.676 20 0 DDADMM O=S(=O)([N-]c1c(F)cccc1OC1COC1)c1ccncc1 ZINC001190903294 745379995 /nfs/dbraw/zinc/37/99/95/745379995.db2.gz BRIBSBQWBRWFFV-UHFFFAOYSA-N -1 1 324.333 1.799 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)CCCC(C)(C)O)c(=O)[n-]1 ZINC001191437282 745512100 /nfs/dbraw/zinc/51/21/00/745512100.db2.gz NCNSJARHTXZCID-SECBINFHSA-N -1 1 313.423 1.964 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)CC2CCCC2)CCOCC1 ZINC001191535288 745536385 /nfs/dbraw/zinc/53/63/85/745536385.db2.gz LHDUMYGGCAJKLE-UHFFFAOYSA-N -1 1 319.423 1.208 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CCOCC2)C(Cl)(Cl)Cl ZINC001192050255 745671715 /nfs/dbraw/zinc/67/17/15/745671715.db2.gz TVRSPOBMYPYGBY-LURJTMIESA-N -1 1 308.614 1.803 20 0 DDADMM CCc1c(Br)cccc1C(=O)NCc1nn[n-]n1 ZINC001192422404 745779291 /nfs/dbraw/zinc/77/92/91/745779291.db2.gz GUTDVFSSOMXHCW-UHFFFAOYSA-N -1 1 310.155 1.455 20 0 DDADMM Cc1cc(NC(=O)c2c(F)ccc([O-])c2F)n2ncnc2n1 ZINC001192523649 745807404 /nfs/dbraw/zinc/80/74/04/745807404.db2.gz FTIMBMXKGUUOJD-UHFFFAOYSA-N -1 1 305.244 1.669 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1nc(Cl)ncc1C ZINC001193235093 746025272 /nfs/dbraw/zinc/02/52/72/746025272.db2.gz IURHMTYWXCMSHI-UHFFFAOYSA-N -1 1 310.697 1.774 20 0 DDADMM N=CNC(=O)c1ccc([N-]S(=O)(=O)c2ccccc2)cc1O ZINC001193284533 746047212 /nfs/dbraw/zinc/04/72/12/746047212.db2.gz UJPJQLSDMQWEJP-UHFFFAOYSA-N -1 1 319.342 1.530 20 0 DDADMM CCOC(=O)c1ccncc1NC(=O)c1nccc(OC)c1[O-] ZINC001193525480 746133649 /nfs/dbraw/zinc/13/36/49/746133649.db2.gz ZJAFTASUSGGWOX-UHFFFAOYSA-N -1 1 317.301 1.620 20 0 DDADMM CN(C1CN(C(=O)c2ccc(F)c([O-])c2F)C1)[C@H]1CCOC1 ZINC001193784859 746192487 /nfs/dbraw/zinc/19/24/87/746192487.db2.gz SYUBHHCFJQQRKL-VIFPVBQESA-N -1 1 312.316 1.216 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1cccc(C2=NCCO2)c1 ZINC001193935116 746227195 /nfs/dbraw/zinc/22/71/95/746227195.db2.gz UJUBHLMNKUNWLN-UHFFFAOYSA-N -1 1 317.370 1.846 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccnn2CC(F)(F)F)[n-]n1 ZINC001194284474 746340848 /nfs/dbraw/zinc/34/08/48/746340848.db2.gz YIARPKUDHJZDOI-UHFFFAOYSA-N -1 1 317.227 1.207 20 0 DDADMM O=S(=O)([N-]C1CC2(COC2)C1)c1ncccc1C(F)(F)F ZINC001194578715 746413772 /nfs/dbraw/zinc/41/37/72/746413772.db2.gz QWZGZBLSFJJLQT-UHFFFAOYSA-N -1 1 322.308 1.558 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1ccc(CO)cc1F ZINC001194712200 746447483 /nfs/dbraw/zinc/44/74/83/746447483.db2.gz WDYLJPKFTUEVGT-UHFFFAOYSA-N -1 1 312.322 1.522 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccc2nccnc21)C(F)(F)F ZINC001194989820 746506175 /nfs/dbraw/zinc/50/61/75/746506175.db2.gz PCRXRNHGUNPHMW-SSDOTTSWSA-N -1 1 305.281 1.859 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCc3nc(C4CC4)ncc3C2)n1 ZINC001195323476 746565434 /nfs/dbraw/zinc/56/54/34/746565434.db2.gz JHPDZKMKWNJTQP-UHFFFAOYSA-N -1 1 310.357 1.962 20 0 DDADMM CC(C)(C)N(C[C@@H](O)CO)C(=O)c1cc([O-])cnc1Cl ZINC001195300207 746570517 /nfs/dbraw/zinc/57/05/17/746570517.db2.gz YEZYFUKVUWRXFM-SECBINFHSA-N -1 1 302.758 1.035 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2c(C)cccc2Cl)o1 ZINC001195332242 746588340 /nfs/dbraw/zinc/58/83/40/746588340.db2.gz YPSVXWVIMAVJOL-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cccc2c1N(C)C(=O)NC2 ZINC001195492361 746624862 /nfs/dbraw/zinc/62/48/62/746624862.db2.gz JQAKAZMDGJUKMQ-UHFFFAOYSA-N -1 1 308.363 1.789 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccccc1CC(=O)N(C)C ZINC001195490145 746624886 /nfs/dbraw/zinc/62/48/86/746624886.db2.gz DOVZZCLXUJCCNV-UHFFFAOYSA-N -1 1 309.391 1.760 20 0 DDADMM O=C([N-]c1noc2nccnc12)c1cc(F)c(CO)c(F)c1 ZINC001195608226 746665756 /nfs/dbraw/zinc/66/57/56/746665756.db2.gz QAFZNXJTGCARQT-UHFFFAOYSA-N -1 1 306.228 1.641 20 0 DDADMM O=S(=O)([N-]c1cc(F)cc(F)c1CO)c1ccc(O)cc1 ZINC001195810902 746713067 /nfs/dbraw/zinc/71/30/67/746713067.db2.gz OOUDKOKJTGKDAU-UHFFFAOYSA-N -1 1 315.297 1.964 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC2(C[C@H](F)CO2)CC1 ZINC001196016626 746757402 /nfs/dbraw/zinc/75/74/02/746757402.db2.gz WJLCAGSZJMDAOS-QMMMGPOBSA-N -1 1 313.354 1.204 20 0 DDADMM Cc1oncc1C[NH2+]C1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000998671960 752120387 /nfs/dbraw/zinc/12/03/87/752120387.db2.gz DUDDRGNSYPQTGS-UHFFFAOYSA-N -1 1 316.361 1.476 20 0 DDADMM COc1nc([N-]C(=O)c2noc(C(C)(C)C)n2)c(C)c(OC)n1 ZINC001196980928 747023286 /nfs/dbraw/zinc/02/32/86/747023286.db2.gz MIWAMUPQYXXVNY-UHFFFAOYSA-N -1 1 321.337 1.735 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2noc(C(C)(C)C)n2)n1 ZINC001196978795 747023398 /nfs/dbraw/zinc/02/33/98/747023398.db2.gz MYCOZYFJIHEVKQ-UHFFFAOYSA-N -1 1 307.310 1.519 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(F)cc(C(F)(F)F)c1Cl ZINC001198168963 747398641 /nfs/dbraw/zinc/39/86/41/747398641.db2.gz QGFOANMMONZUOM-UHFFFAOYSA-N -1 1 323.637 1.941 20 0 DDADMM C[C@H]1CCc2c(C(=O)N=c3nc4[nH][nH]cc-4c(Cl)n3)[nH]nc21 ZINC001198434907 747502815 /nfs/dbraw/zinc/50/28/15/747502815.db2.gz SEERBDKWWXDSGZ-YFKPBYRVSA-N -1 1 317.740 1.405 20 0 DDADMM O=C(NC[C@@H]1CC[N@H+]1CCOC1CCC1)c1ccccc1O ZINC001038073577 747704559 /nfs/dbraw/zinc/70/45/59/747704559.db2.gz GRSKQQXVXVHGTL-ZDUSSCGKSA-N -1 1 304.390 1.765 20 0 DDADMM Cc1cc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)n(C(C)C)n1 ZINC001199221006 747747307 /nfs/dbraw/zinc/74/73/07/747747307.db2.gz VBBLGPBGKRBHHW-UHFFFAOYSA-N -1 1 306.282 1.624 20 0 DDADMM CCOc1nccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)n1 ZINC001199635515 747926199 /nfs/dbraw/zinc/92/61/99/747926199.db2.gz HMQQVTICGCCOFG-UHFFFAOYSA-N -1 1 302.216 1.265 20 0 DDADMM CC[C@@]1(C)C[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001004051696 747944944 /nfs/dbraw/zinc/94/49/44/747944944.db2.gz PLDVMSJPXVSHFG-PXAZEXFGSA-N -1 1 317.389 1.412 20 0 DDADMM [O-]c1c(F)cc(F)cc1NC(=S)NCCN1CCOCC1 ZINC001200131609 748145067 /nfs/dbraw/zinc/14/50/67/748145067.db2.gz BCRGOWILKOKKJS-UHFFFAOYSA-N -1 1 317.361 1.289 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](O)C1)c1cc(Cl)c(Cl)cc1F ZINC001201275600 748465123 /nfs/dbraw/zinc/46/51/23/748465123.db2.gz BLYMSQMTKVVTQW-OLQVQODUSA-N -1 1 314.165 1.934 20 0 DDADMM CCCCCC(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202472677 748799551 /nfs/dbraw/zinc/79/95/51/748799551.db2.gz YESKWQRINZLXOT-RYUDHWBXSA-N -1 1 321.425 1.608 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)C1CC=CC1 ZINC001004793942 748807601 /nfs/dbraw/zinc/80/76/01/748807601.db2.gz XYUDDIADEAJYGD-YPMHNXCESA-N -1 1 319.409 1.336 20 0 DDADMM CC1(C)CN(C(=O)CC2CCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995606616 748979705 /nfs/dbraw/zinc/97/97/05/748979705.db2.gz PTGYHNQKICJUSP-NSHDSACASA-N -1 1 307.398 1.027 20 0 DDADMM Cc1cc(NC[C@H](C)NC(=O)c2ncccc2[O-])nc(C)n1 ZINC001108323093 761932954 /nfs/dbraw/zinc/93/29/54/761932954.db2.gz KDMHQTRJDUMGOT-JTQLQIEISA-N -1 1 301.350 1.424 20 0 DDADMM CC(C)(F)C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996145536 749395793 /nfs/dbraw/zinc/39/57/93/749395793.db2.gz QRIBZYUMYSVABI-VWYCJHECSA-N -1 1 321.352 1.397 20 0 DDADMM Cc1ccc(N(C)[C@H]2CCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC001056900819 761995339 /nfs/dbraw/zinc/99/53/39/761995339.db2.gz PKZUHNRZRQGWAE-LBPRGKRZSA-N -1 1 313.361 1.237 20 0 DDADMM C[C@@H]1C[C@@H](C[N-]S(=O)(=O)c2ncn(C)c2Cl)[C@@H](C)O1 ZINC000686390238 750365762 /nfs/dbraw/zinc/36/57/62/750365762.db2.gz LAFKCJIBUXUVPQ-HLTSFMKQSA-N -1 1 307.803 1.165 20 0 DDADMM C[C@H]1C[C@H]([NH2+]CCP(=O)([O-])[O-])CN1C(=O)OC(C)(C)C ZINC001168810825 762044865 /nfs/dbraw/zinc/04/48/65/762044865.db2.gz JDXOCYNXOLEMFZ-UWVGGRQHSA-N -1 1 308.315 1.152 20 0 DDADMM CC1(CC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001034928502 751038941 /nfs/dbraw/zinc/03/89/41/751038941.db2.gz YVYQQGVOEJFZFX-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM CCC1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001035089867 751189805 /nfs/dbraw/zinc/18/98/05/751189805.db2.gz FJMJDQPREQQSLY-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM CC(C)(F)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062390548 752947982 /nfs/dbraw/zinc/94/79/82/752947982.db2.gz VSLTWMBUNQTIKC-UHFFFAOYSA-N -1 1 309.341 1.304 20 0 DDADMM O=C(C=C1CCC1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036686417 753353781 /nfs/dbraw/zinc/35/37/81/753353781.db2.gz ODCUGJFQYWSMQI-QWHCGFSZSA-N -1 1 317.393 1.043 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2ccco2)C1 ZINC001005952591 753414178 /nfs/dbraw/zinc/41/41/78/753414178.db2.gz QLYQVIBGCIUMOQ-JTQLQIEISA-N -1 1 315.329 1.271 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2(C)CC2)C1 ZINC001005957080 753420703 /nfs/dbraw/zinc/42/07/03/753420703.db2.gz MPLYKFKMDYEDPN-SNVBAGLBSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)CC(=O)N1C[C@H](CNC(=O)c2ncccc2[O-])C[C@H]1C ZINC001009983412 753521039 /nfs/dbraw/zinc/52/10/39/753521039.db2.gz YRJFLHFDAZEJFC-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H](CCNC(=O)Cc1ccco1)NC(=O)c1ncccc1[O-] ZINC001077994858 753603303 /nfs/dbraw/zinc/60/33/03/753603303.db2.gz DCQMBESIQINRRX-NSHDSACASA-N -1 1 317.345 1.248 20 0 DDADMM CC(C)=CC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062838938 753759072 /nfs/dbraw/zinc/75/90/72/753759072.db2.gz ATJANGOUGPWGBR-UHFFFAOYSA-N -1 1 303.362 1.522 20 0 DDADMM CC/C(C)=C\C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839547 753759726 /nfs/dbraw/zinc/75/97/26/753759726.db2.gz VJQVQDYVKSQJSS-BENRWUELSA-N -1 1 317.389 1.912 20 0 DDADMM CC[C@@]1(C)C[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035288 754318295 /nfs/dbraw/zinc/31/82/95/754318295.db2.gz BVSSCBVTNSJNJC-SJCJKPOMSA-N -1 1 317.389 1.508 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@H]2CC23CCC3)CC1 ZINC001011037687 754320074 /nfs/dbraw/zinc/32/00/74/754320074.db2.gz HTSDAMIDIHHEGD-GFCCVEGCSA-N -1 1 315.373 1.262 20 0 DDADMM C[C@@H](CCNC(=O)CC1CCCCCC1)NC(=O)c1cnn[nH]1 ZINC001078747827 754364550 /nfs/dbraw/zinc/36/45/50/754364550.db2.gz TVISRAHMYWUHIN-LBPRGKRZSA-N -1 1 321.425 1.790 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CC=CC1 ZINC001012413257 755056023 /nfs/dbraw/zinc/05/60/23/755056023.db2.gz QAURNZOXPRZMCN-DGCLKSJQSA-N -1 1 315.373 1.473 20 0 DDADMM CC(C)=C(F)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001012474396 755096126 /nfs/dbraw/zinc/09/61/26/755096126.db2.gz JWUPMWGJUYPNMF-MNOVXSKESA-N -1 1 321.352 1.770 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C(C)(F)F)CN1C(=O)c1ncccc1[O-] ZINC001012507894 755114122 /nfs/dbraw/zinc/11/41/22/755114122.db2.gz XAAVKEYPOYWPBY-RKDXNWHRSA-N -1 1 313.304 1.162 20 0 DDADMM CCN(C(=O)CC(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079236361 755169066 /nfs/dbraw/zinc/16/90/66/755169066.db2.gz LASDTOIVPVJXAH-UHFFFAOYSA-N -1 1 305.378 1.506 20 0 DDADMM CC[C@@H](F)C(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080252962 755799463 /nfs/dbraw/zinc/79/94/63/755799463.db2.gz KHKNJXCMORPTQM-LLVKDONJSA-N -1 1 309.341 1.208 20 0 DDADMM CC(C)c1nccc(N[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097765636 757512281 /nfs/dbraw/zinc/51/22/81/757512281.db2.gz UVPWYNNCBNTTQV-NSHDSACASA-N -1 1 315.377 1.931 20 0 DDADMM CCCC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001014891043 757535328 /nfs/dbraw/zinc/53/53/28/757535328.db2.gz AEMPXPQTDUBBIE-RYUDHWBXSA-N -1 1 305.378 1.697 20 0 DDADMM CC1(C(=O)N2CC=C(CNC(=O)c3ncccc3[O-])CC2)CC1 ZINC001017400804 757899594 /nfs/dbraw/zinc/89/95/94/757899594.db2.gz WLNDHNGUSFBSPX-UHFFFAOYSA-N -1 1 315.373 1.476 20 0 DDADMM CC[C@@H](F)CN1CC[C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C[C@@H]21 ZINC001084922191 758067268 /nfs/dbraw/zinc/06/72/68/758067268.db2.gz PQXIZZPSEQBMEN-UPJWGTAASA-N -1 1 324.400 1.708 20 0 DDADMM Cc1coc(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)c1 ZINC001085303694 759247619 /nfs/dbraw/zinc/24/76/19/759247619.db2.gz VLDSETPQLVARDA-GHMZBOCLSA-N -1 1 319.365 1.243 20 0 DDADMM CC(C)(C(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccn1 ZINC000827654450 759359200 /nfs/dbraw/zinc/35/92/00/759359200.db2.gz MUDOGFVPBYQLGE-UHFFFAOYSA-N -1 1 300.366 1.279 20 0 DDADMM CC(C)C(C)(C)C(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054707065 760000180 /nfs/dbraw/zinc/00/01/80/760000180.db2.gz UMCRUJUTAUKMOP-WDEREUQCSA-N -1 1 309.414 1.129 20 0 DDADMM Cc1c(C(=O)N(C)c2nn[n-]n2)cnn1-c1ccc(Cl)cc1 ZINC001131170402 767917020 /nfs/dbraw/zinc/91/70/20/767917020.db2.gz ZWSMLBLQDVEHGH-UHFFFAOYSA-N -1 1 317.740 1.624 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)C2=CCCC2)C1 ZINC001016430171 760111345 /nfs/dbraw/zinc/11/13/45/760111345.db2.gz FRHFAPNZJUIRRU-UHFFFAOYSA-N -1 1 315.373 1.617 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2C[C@@H](NCc3nccs3)C2)c1[O-] ZINC001086062995 760882706 /nfs/dbraw/zinc/88/27/06/760882706.db2.gz VQSOOXDDXVQNJI-AOOOYVTPSA-N -1 1 321.406 1.178 20 0 DDADMM C[C@@H](CN(C)c1cncc(Cl)n1)NC(=O)c1ncccc1[O-] ZINC001108932932 763142302 /nfs/dbraw/zinc/14/23/02/763142302.db2.gz HPLVBRQLSSXDFG-VIFPVBQESA-N -1 1 321.768 1.485 20 0 DDADMM C[C@@H](c1cnccn1)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042646387 764309949 /nfs/dbraw/zinc/30/99/49/764309949.db2.gz QELBXLWBWRFHKO-NSHDSACASA-N -1 1 313.361 1.095 20 0 DDADMM Cc1cc(N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001113575449 765897096 /nfs/dbraw/zinc/89/70/96/765897096.db2.gz VRZUPHZLUPNSFV-NSHDSACASA-N -1 1 315.377 1.449 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nc(Cl)cc4cc[nH]c43)c2[nH]1 ZINC001170212785 766172522 /nfs/dbraw/zinc/17/25/22/766172522.db2.gz AKVJZMPTHUMMAS-UHFFFAOYSA-N -1 1 317.696 1.989 20 0 DDADMM CSc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc(C)n1 ZINC001170213638 766172669 /nfs/dbraw/zinc/17/26/69/766172669.db2.gz NSORIMJZGVVZKC-UHFFFAOYSA-N -1 1 305.323 1.280 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(C(F)(F)F)cn3)c2[nH]1 ZINC001170217478 766176725 /nfs/dbraw/zinc/17/67/25/766176725.db2.gz RUOYATCAUGAMJZ-UHFFFAOYSA-N -1 1 312.211 1.873 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc4[nH]cnc4c(F)c3)c2[nH]1 ZINC001170219968 766177892 /nfs/dbraw/zinc/17/78/92/766177892.db2.gz QRTVKVPKMJVPNL-UHFFFAOYSA-N -1 1 301.241 1.475 20 0 DDADMM O=C(N[C@H]1CCN(c2cccc(F)n2)C1)c1ncccc1[O-] ZINC001058344279 766437407 /nfs/dbraw/zinc/43/74/07/766437407.db2.gz WDFXZWRKWZTURP-JTQLQIEISA-N -1 1 302.309 1.330 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(Cc2cscn2)C1 ZINC001046260329 767315957 /nfs/dbraw/zinc/31/59/57/767315957.db2.gz XYEAXNHQVGVAJW-OAHLLOKOSA-N -1 1 318.402 1.638 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001048498137 769458334 /nfs/dbraw/zinc/45/83/34/769458334.db2.gz DAYPCGKXVRLWSD-UJPOAAIJSA-N -1 1 319.409 1.026 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001048498137 769458336 /nfs/dbraw/zinc/45/83/36/769458336.db2.gz DAYPCGKXVRLWSD-UJPOAAIJSA-N -1 1 319.409 1.026 20 0 DDADMM CCC(C)(C)C(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952301 770335597 /nfs/dbraw/zinc/33/55/97/770335597.db2.gz KKNXJQXVHZSSJM-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(NC[C@H](Nc1ncc(F)cn1)C1CC1)c1ncccc1[O-] ZINC001096638850 771363509 /nfs/dbraw/zinc/36/35/09/771363509.db2.gz FKJJYSSDBYYBLT-NSHDSACASA-N -1 1 317.324 1.337 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCC[C@H](C3OCCO3)C2)c1 ZINC001136571591 772022018 /nfs/dbraw/zinc/02/20/18/772022018.db2.gz WYUPXCMZKZJEPI-LBPRGKRZSA-N -1 1 319.357 1.738 20 0 DDADMM CCC(=O)C(=O)N(C(=S)[N-]C(=O)OC)c1ccccc1N ZINC001143968658 772349760 /nfs/dbraw/zinc/34/97/60/772349760.db2.gz IQCWDUIOUMUCNL-UHFFFAOYSA-N -1 1 309.347 1.222 20 0 DDADMM COC(=O)[C@@]1(C)COCCN1Cc1cc(F)c([O-])cc1F ZINC001144663803 772589887 /nfs/dbraw/zinc/58/98/87/772589887.db2.gz JQKVPNRQASARFA-CQSZACIVSA-N -1 1 301.289 1.434 20 0 DDADMM CS(=O)(=O)c1cc(F)c([N-]C(=O)c2csnn2)cc1F ZINC001146823877 772948689 /nfs/dbraw/zinc/94/86/89/772948689.db2.gz WPKROSHNWOTHGS-UHFFFAOYSA-N -1 1 319.314 1.472 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)c1cc(=O)[nH]c(=O)[nH]1 ZINC001147701101 773206344 /nfs/dbraw/zinc/20/63/44/773206344.db2.gz OGWYXACFHJYODB-UHFFFAOYSA-N -1 1 313.273 1.031 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cnc(Cl)nc2C)c1[O-] ZINC001147834372 773260752 /nfs/dbraw/zinc/26/07/52/773260752.db2.gz RHFRNRQCRBNZKE-UHFFFAOYSA-N -1 1 308.725 1.592 20 0 DDADMM CSCC[C@H](NC(=O)C=C(C)c1cnccn1)c1nn[n-]n1 ZINC001148261052 773414463 /nfs/dbraw/zinc/41/44/63/773414463.db2.gz OCHQKXFSVYQDIM-RNKPRXRFSA-N -1 1 319.394 1.004 20 0 DDADMM CCCCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001073900748 773511723 /nfs/dbraw/zinc/51/17/23/773511723.db2.gz NOKQDAFLARWQBU-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NC[C@H]1CNC(=O)C1)c1cccc(-c2ccccc2)c1[O-] ZINC001148873006 773622422 /nfs/dbraw/zinc/62/24/22/773622422.db2.gz PMBFVVMLSUYXOG-GFCCVEGCSA-N -1 1 310.353 1.925 20 0 DDADMM CC[C@](C)(CC(=O)OC)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001148923861 773650225 /nfs/dbraw/zinc/65/02/25/773650225.db2.gz OXDUHOBJCFSRAG-CQSZACIVSA-N -1 1 322.390 1.480 20 0 DDADMM CCn1cc(Nc2cc(S(=O)(=O)C(N)=O)ccc2[O-])cn1 ZINC001174573391 777509240 /nfs/dbraw/zinc/50/92/40/777509240.db2.gz IWOKTOQWJLJCQV-UHFFFAOYSA-N -1 1 310.335 1.619 20 0 DDADMM CSc1nc(Nc2ccc(-c3nn[nH]n3)cc2)cc(=O)[n-]1 ZINC001175424699 777785063 /nfs/dbraw/zinc/78/50/63/777785063.db2.gz BTVCHSNXRLXBCG-UHFFFAOYSA-N -1 1 301.335 1.828 20 0 DDADMM CN(C)c1nc(Nc2cccc(N3CCOCC3)c2)cc(=O)[n-]1 ZINC001176016131 777999074 /nfs/dbraw/zinc/99/90/74/777999074.db2.gz GACJKHXJZLSMBY-UHFFFAOYSA-N -1 1 315.377 1.828 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1O ZINC001176259623 778109587 /nfs/dbraw/zinc/10/95/87/778109587.db2.gz KJGAIBBBVDCOBM-UHFFFAOYSA-N -1 1 309.347 1.366 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cc(F)cc(Br)c1 ZINC001176842517 778271068 /nfs/dbraw/zinc/27/10/68/778271068.db2.gz LLAYAGYKIWIRRV-UHFFFAOYSA-N -1 1 300.091 1.283 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccc2nc(C(F)(F)F)ccc2c1 ZINC001176844965 778299722 /nfs/dbraw/zinc/29/97/22/778299722.db2.gz LMMAWBKMTNNYKG-UHFFFAOYSA-N -1 1 322.250 1.948 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1nc(C)ncc1C ZINC001103068713 778616943 /nfs/dbraw/zinc/61/69/43/778616943.db2.gz IVQWDKWTMXMEJV-LBPRGKRZSA-N -1 1 315.377 1.815 20 0 DDADMM CN(C)c1nc(NC(=O)C=Cc2ccc[nH]2)c(N=O)c(=O)[n-]1 ZINC001177933054 778796748 /nfs/dbraw/zinc/79/67/48/778796748.db2.gz OSFLMTNKNBLCRL-AATRIKPKSA-N -1 1 302.294 1.626 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(c3cnccn3)CC2)n1 ZINC001178017376 778813996 /nfs/dbraw/zinc/81/39/96/778813996.db2.gz FDPDJLDTFDNEBN-UHFFFAOYSA-N -1 1 301.306 1.047 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNc1nccnc1F ZINC001103924383 779175515 /nfs/dbraw/zinc/17/55/15/779175515.db2.gz KDHYXTBDUPUIPG-VIFPVBQESA-N -1 1 305.313 1.194 20 0 DDADMM COc1ccccc1/C=C/C(=O)NCCCC[P@](=O)([O-])O ZINC001180531697 779802514 /nfs/dbraw/zinc/80/25/14/779802514.db2.gz LLNHYLFXWYJDEI-CMDGGOBGSA-N -1 1 313.290 1.783 20 0 DDADMM O=C(Nc1cccc(C(F)(F)F)c1[O-])[C@@H]1CSCC(=O)N1 ZINC001180551434 779827218 /nfs/dbraw/zinc/82/72/18/779827218.db2.gz CLQMDJONVIVJSE-QMMMGPOBSA-N -1 1 320.292 1.581 20 0 DDADMM Cc1cc(C)c(C(=O)N(C)CCNC(=O)c2ncccc2[O-])[nH]1 ZINC001408555351 837262260 /nfs/dbraw/zinc/26/22/60/837262260.db2.gz ZPDIWCRHAJEXPH-UHFFFAOYSA-N -1 1 316.361 1.234 20 0 DDADMM CC/C(C)=C\C(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001267556377 838300073 /nfs/dbraw/zinc/30/00/73/838300073.db2.gz PBJPJMKTJAGWLT-KGTBHZDVSA-N -1 1 307.398 1.195 20 0 DDADMM CN(CCN(C)C(=O)c1ncccc1[O-])CC(F)(F)C(F)F ZINC001268233823 839868112 /nfs/dbraw/zinc/86/81/12/839868112.db2.gz AUKRZWSZJMCCLR-UHFFFAOYSA-N -1 1 323.290 1.691 20 0 DDADMM CCCCCC(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001268265208 839915644 /nfs/dbraw/zinc/91/56/44/839915644.db2.gz WLMJGKYLQLHBDY-QWHCGFSZSA-N -1 1 321.425 1.513 20 0 DDADMM CCCCN1CC[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC001268843415 840892335 /nfs/dbraw/zinc/89/23/35/840892335.db2.gz VLXBXSXOTKCJTL-QGZVFWFLSA-N -1 1 317.389 1.652 20 0 DDADMM CN1C[C@@H]2CN(C(=O)c3ccc4sccc4c3[O-])C[C@H](C1)O2 ZINC001269048678 841146524 /nfs/dbraw/zinc/14/65/24/841146524.db2.gz WNOCDCSAVXMDAB-PHIMTYICSA-N -1 1 318.398 1.762 20 0 DDADMM CN(C1CN(Cc2ccc(Cl)cc2C(=O)[O-])C1)[C@@H]1CCOC1 ZINC001142660125 861219733 /nfs/dbraw/zinc/21/97/33/861219733.db2.gz GFWPPBMKPGNRLV-CYBMUJFWSA-N -1 1 324.808 1.943 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CN(C(=O)c1cc(Cl)ccc1[O-])C2 ZINC001269576407 841783514 /nfs/dbraw/zinc/78/35/14/841783514.db2.gz KUCIRCDZBRJLMK-LLVKDONJSA-N -1 1 308.765 1.596 20 0 DDADMM NC(=O)[C@H]1CCC2(C1)CCN(C(=O)c1ccc([O-])cn1)CC2 ZINC001270138776 842326174 /nfs/dbraw/zinc/32/61/74/842326174.db2.gz JSTALGNHOFHBMB-NSHDSACASA-N -1 1 303.362 1.295 20 0 DDADMM CN(C)C(=O)C12CC(NC(=O)c3[n-][nH]c4cc(=O)ccc3-4)(C1)C2 ZINC001270406114 842553508 /nfs/dbraw/zinc/55/35/08/842553508.db2.gz NKRLDAPLAACYOW-UHFFFAOYSA-N -1 1 314.345 1.009 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CCC=CCCC1 ZINC001271014272 843134360 /nfs/dbraw/zinc/13/43/60/843134360.db2.gz WANMWZFWGNUYMT-OLZOCXBDSA-N -1 1 321.425 1.583 20 0 DDADMM Cc1cc(F)cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1N ZINC001154775742 861443541 /nfs/dbraw/zinc/44/35/41/861443541.db2.gz HHYWLCWROFYIQG-JTQLQIEISA-N -1 1 322.369 1.160 20 0 DDADMM CN1C(=O)C[C@]2(CCCN(C(=O)c3cc([O-])cc(F)c3)C2)C1=O ZINC001271560641 843698579 /nfs/dbraw/zinc/69/85/79/843698579.db2.gz ANZLBHDLGZFHBC-INIZCTEOSA-N -1 1 320.320 1.142 20 0 DDADMM Cc1cc2c(c(N[C@H](C)c3nnc4ccccn43)n1)C(=O)[N-]C2=O ZINC001154815084 861478836 /nfs/dbraw/zinc/47/88/36/861478836.db2.gz JVDJIUBHDULOEI-SECBINFHSA-N -1 1 322.328 1.489 20 0 DDADMM Cc1ccc(C)n1-c1sccc1C(=O)N(C)c1nn[n-]n1 ZINC001149219811 861492573 /nfs/dbraw/zinc/49/25/73/861492573.db2.gz IMBGQZSXZKNFLA-UHFFFAOYSA-N -1 1 302.363 1.945 20 0 DDADMM C[C@@]1(CO)CN(C(=O)c2ccc3cccnc3c2[O-])CC[C@H]1O ZINC001149319082 861544032 /nfs/dbraw/zinc/54/40/32/861544032.db2.gz IEQIEZYLPMNNMW-DYVFJYSZSA-N -1 1 316.357 1.146 20 0 DDADMM CCC1(C(=O)NC[C@@H](C)CNC(=O)c2ncccc2[O-])CC1 ZINC001409666417 845532592 /nfs/dbraw/zinc/53/25/92/845532592.db2.gz DBTIELGPYXSNHH-NSHDSACASA-N -1 1 305.378 1.460 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001409676966 845552568 /nfs/dbraw/zinc/55/25/68/845552568.db2.gz PJNQXLFCSUZREG-VXGBXAGGSA-N -1 1 307.394 1.561 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2CCO[C@H](C)C2)sn1 ZINC001365381379 846158209 /nfs/dbraw/zinc/15/82/09/846158209.db2.gz NEWZEVHYAZQEPZ-RKDXNWHRSA-N -1 1 306.409 1.245 20 0 DDADMM COC[C@H](NC(=O)C(C)=CC1CCC(C)CC1)c1nn[n-]n1 ZINC001155069392 861699783 /nfs/dbraw/zinc/69/97/83/861699783.db2.gz PAMJIPDNNHKNIE-SRJYQHIASA-N -1 1 307.398 1.776 20 0 DDADMM COC[C@H](NC(=O)/C(C)=C/C1CCC(C)CC1)c1nn[n-]n1 ZINC001155069392 861699792 /nfs/dbraw/zinc/69/97/92/861699792.db2.gz PAMJIPDNNHKNIE-SRJYQHIASA-N -1 1 307.398 1.776 20 0 DDADMM O=C(NC[C@@H]1CNC(=O)C1)c1cc2cccc(O)c2cc1[O-] ZINC001149652836 861784019 /nfs/dbraw/zinc/78/40/19/861784019.db2.gz AHVBWPCLJOFHRE-VIFPVBQESA-N -1 1 300.314 1.117 20 0 DDADMM O=C(N[C@H]1C=CS(=O)(=O)C1)c1cc2cccc(O)c2cc1[O-] ZINC001149654317 861785501 /nfs/dbraw/zinc/78/55/01/861785501.db2.gz XTGKQEYPEYAZOW-JTQLQIEISA-N -1 1 319.338 1.292 20 0 DDADMM CC[C@@H](C)N1C[C@]2(CC[N@@H+](Cc3ncccc3O)C2)OCC1=O ZINC001273325226 849536774 /nfs/dbraw/zinc/53/67/74/849536774.db2.gz JAEZKFAQNWATJI-CXAGYDPISA-N -1 1 319.405 1.389 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CN(C(=O)c1c([O-])cccc1Cl)C2 ZINC001275466957 853162946 /nfs/dbraw/zinc/16/29/46/853162946.db2.gz XOKKWUJLHXSZJC-RFAUZJTJSA-N -1 1 308.765 1.596 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc4cccc(F)c4[nH]3)c1-2 ZINC001150750982 862450214 /nfs/dbraw/zinc/45/02/14/862450214.db2.gz DJNFDOLWEOXRJF-UHFFFAOYSA-N -1 1 310.292 1.908 20 0 DDADMM CCOC(=O)[C@H](Cc1ccncc1)NC(=O)c1ccc([O-])cn1 ZINC001411852353 853836383 /nfs/dbraw/zinc/83/63/83/853836383.db2.gz SYDXJQDSLAVYSY-AWEZNQCLSA-N -1 1 315.329 1.086 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2ccc([O-])c(F)c2)nc1 ZINC001412048450 854152789 /nfs/dbraw/zinc/15/27/89/854152789.db2.gz FSEWGAVGZKFBMS-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM Cn1ccc(NC(=O)CNC(=O)Cc2ccc([O-])c(Cl)c2)n1 ZINC001412070694 854185995 /nfs/dbraw/zinc/18/59/95/854185995.db2.gz IWNDSJFBHQMVHA-UHFFFAOYSA-N -1 1 322.752 1.077 20 0 DDADMM CCC(CC)(NC(=O)c1cccc2c1COCC2)c1nn[n-]n1 ZINC001412108185 854227233 /nfs/dbraw/zinc/22/72/33/854227233.db2.gz IPECASPRCSUEQC-UHFFFAOYSA-N -1 1 315.377 1.718 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)C(=O)OC(C)C ZINC001412146796 854264343 /nfs/dbraw/zinc/26/43/43/854264343.db2.gz UHOHBHORKAIWAZ-QMMMGPOBSA-N -1 1 313.379 1.282 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C(C)(C)[C@H]3CCCCO3)nc2n1 ZINC001412156053 854272483 /nfs/dbraw/zinc/27/24/83/854272483.db2.gz BZFYUWOAFOVXGK-SNVBAGLBSA-N -1 1 319.365 1.260 20 0 DDADMM Cc1cnc(C(=O)N2CCC(c3nnc(N)o3)CC2)c([O-])c1 ZINC001412305510 854425353 /nfs/dbraw/zinc/42/53/53/854425353.db2.gz AGQZIYZQGKFIKS-UHFFFAOYSA-N -1 1 303.322 1.081 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2ccc(O)cc2)n[n-]1 ZINC001412327627 854444983 /nfs/dbraw/zinc/44/49/83/854444983.db2.gz PECSLOZFHYCMJW-VIFPVBQESA-N -1 1 318.333 1.107 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2ccc(O)cc2)[n-]1 ZINC001412327627 854444984 /nfs/dbraw/zinc/44/49/84/854444984.db2.gz PECSLOZFHYCMJW-VIFPVBQESA-N -1 1 318.333 1.107 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2ccc(O)cc2)n1 ZINC001412327627 854444986 /nfs/dbraw/zinc/44/49/86/854444986.db2.gz PECSLOZFHYCMJW-VIFPVBQESA-N -1 1 318.333 1.107 20 0 DDADMM O=C(NCCc1nnc2n1CCCCC2)c1ccc(F)c([O-])c1 ZINC001412378888 854489236 /nfs/dbraw/zinc/48/92/36/854489236.db2.gz AJCOABPCEAQXTC-UHFFFAOYSA-N -1 1 318.352 1.822 20 0 DDADMM O=C(c1ccccc1C(F)F)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001412526695 854660150 /nfs/dbraw/zinc/66/01/50/854660150.db2.gz CRZYMUNLWGAYHM-JTQLQIEISA-N -1 1 309.276 1.351 20 0 DDADMM NC(=O)c1[nH]nc2c1CN(C(=O)c1cccc([O-])c1Cl)CC2 ZINC001276050582 854671143 /nfs/dbraw/zinc/67/11/43/854671143.db2.gz XVUVECGJRWTTJY-UHFFFAOYSA-N -1 1 320.736 1.066 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1CCOC2(CCCC2)C1 ZINC001412540692 854685246 /nfs/dbraw/zinc/68/52/46/854685246.db2.gz KZEAPGCVZOKOPV-NSHDSACASA-N -1 1 305.382 1.435 20 0 DDADMM COCCC1(C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)CCC1 ZINC001412690951 854965427 /nfs/dbraw/zinc/96/54/27/854965427.db2.gz GXEAJHCTXIDQNU-UHFFFAOYSA-N -1 1 323.393 1.404 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC(=O)c1cncs1 ZINC001412724218 855024556 /nfs/dbraw/zinc/02/45/56/855024556.db2.gz FXHNGDDXUPWDHT-UHFFFAOYSA-N -1 1 320.374 1.683 20 0 DDADMM C[C@@H](CN(C)C(=O)c1noc2c1CC(C)(C)CC2)c1nn[n-]n1 ZINC001412797876 855282049 /nfs/dbraw/zinc/28/20/49/855282049.db2.gz SHEJXDWKSFKGBW-VIFPVBQESA-N -1 1 318.381 1.578 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCOC[C@@H]1[C@H]1CCCC1=O ZINC001412813921 855301055 /nfs/dbraw/zinc/30/10/55/855301055.db2.gz FTMSZANVYMUEFE-ZYHUDNBSSA-N -1 1 307.321 1.742 20 0 DDADMM CCC(CC)(NC(=O)C[C@@H](C)n1ccc(C)n1)c1nn[n-]n1 ZINC001412939449 855796204 /nfs/dbraw/zinc/79/62/04/855796204.db2.gz YYOHRMZIOKQBDD-LLVKDONJSA-N -1 1 305.386 1.487 20 0 DDADMM NC(=O)C[C@@H](NC(=O)c1cccc([O-])c1F)c1ccccc1 ZINC001413003831 855913952 /nfs/dbraw/zinc/91/39/52/855913952.db2.gz QCWSPGRHFDBYPF-GFCCVEGCSA-N -1 1 302.305 1.878 20 0 DDADMM Cn1cc([C@@H]2C[C@H](NC(=O)c3cccc([O-])c3F)CCO2)cn1 ZINC001413018289 855949374 /nfs/dbraw/zinc/94/93/74/855949374.db2.gz PTZCSKNJTOCEJO-RISCZKNCSA-N -1 1 319.336 1.915 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)Cc1ccno1)[C@H](O)C(F)(F)F ZINC001413351259 856701346 /nfs/dbraw/zinc/70/13/46/856701346.db2.gz WVUIGIIPCAPDSA-IUCAKERBSA-N -1 1 316.301 1.042 20 0 DDADMM CN(CC(C)(C)S(C)(=O)=O)C(=O)c1ccc(F)c([O-])c1 ZINC001413518911 856922860 /nfs/dbraw/zinc/92/28/60/856922860.db2.gz HFDXTIXPTGWLEU-UHFFFAOYSA-N -1 1 303.355 1.427 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])cn2)CC[C@@H](C(F)(F)F)O1 ZINC001413518960 856923148 /nfs/dbraw/zinc/92/31/48/856923148.db2.gz IJKMZQJUBBYDHH-KWQFWETISA-N -1 1 304.268 1.969 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@@H]2C[C@H]2c2ccco2)[n-]c1=O ZINC001413621895 857248061 /nfs/dbraw/zinc/24/80/61/857248061.db2.gz IFGHXSLRPVYDCU-NXEZZACHSA-N -1 1 317.345 1.939 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)c1nnc2c(F)cccn12 ZINC001156326961 862938303 /nfs/dbraw/zinc/93/83/03/862938303.db2.gz LRVOGPFNTSVHHG-UHFFFAOYSA-N -1 1 318.268 1.021 20 0 DDADMM Cn1nccc1CN1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001413805302 858552086 /nfs/dbraw/zinc/55/20/86/858552086.db2.gz WEMYRRZRQXRMIZ-UHFFFAOYSA-N -1 1 318.352 1.223 20 0 DDADMM O=C([O-])[C@@]1(C(=O)NC(C2CCCC2)C2CCCC2)CNCCO1 ZINC001122034639 858668765 /nfs/dbraw/zinc/66/87/65/858668765.db2.gz MGNLWQBTLHUTHZ-KRWDZBQOSA-N -1 1 324.421 1.295 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](C1CCC1)[C@@H]1CCCO1 ZINC001123846865 859438908 /nfs/dbraw/zinc/43/89/08/859438908.db2.gz HCSQKORDCWDMAH-CMPLNLGQSA-N -1 1 323.418 1.857 20 0 DDADMM O=C(C=Cc1ccnc(Cl)c1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123870105 859449582 /nfs/dbraw/zinc/44/95/82/859449582.db2.gz YHKFDAFSPBRZFX-TXXBHVLJSA-N -1 1 304.741 1.277 20 0 DDADMM O=C(c1cc2c(cccc2F)[nH]1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001123962529 859499639 /nfs/dbraw/zinc/49/96/39/859499639.db2.gz UHOGYNARLDAEJO-MRVPVSSYSA-N -1 1 300.297 1.450 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2cc(C)cc(C=O)c2[O-])[C@H]1OC ZINC001138618410 860146255 /nfs/dbraw/zinc/14/62/55/860146255.db2.gz CGVCWXNQEMGSAJ-UMVBOHGHSA-N -1 1 307.346 1.435 20 0 DDADMM COc1cccc([N-]C(=O)c2nc(-c3cnccn3)no2)c1F ZINC001138651365 860154265 /nfs/dbraw/zinc/15/42/65/860154265.db2.gz AVVOZPZPYFDWBQ-UHFFFAOYSA-N -1 1 315.264 1.927 20 0 DDADMM COC[C@@H](CO)NC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC001139704840 860458894 /nfs/dbraw/zinc/45/88/94/860458894.db2.gz NWKUNCVEQUGCDQ-LLVKDONJSA-N -1 1 319.313 1.202 20 0 DDADMM [O-]c1cnccc1CN1CCn2cc(Cn3cccn3)nc2C1 ZINC001140274825 860606451 /nfs/dbraw/zinc/60/64/51/860606451.db2.gz MNXORAMPHTYVMP-UHFFFAOYSA-N -1 1 310.361 1.244 20 0 DDADMM [O-]c1c(F)cc(CN2Cc3ccnn3CC[C@@H]2CO)cc1F ZINC001140992303 860753788 /nfs/dbraw/zinc/75/37/88/860753788.db2.gz WULAJTZQCJGZAV-GFCCVEGCSA-N -1 1 309.316 1.634 20 0 DDADMM CCn1cc(Cl)c(C(=O)[N-]c2nc(C(=O)OC)ns2)n1 ZINC001361439367 881654366 /nfs/dbraw/zinc/65/43/66/881654366.db2.gz ZKYNZIDBCNQLLQ-UHFFFAOYSA-N -1 1 315.742 1.447 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cnn2cccc(F)c12 ZINC001156922460 863449352 /nfs/dbraw/zinc/44/93/52/863449352.db2.gz QOFMUVNYAOCKDA-UHFFFAOYSA-N -1 1 313.252 1.098 20 0 DDADMM C[C@@H]1CO[C@@H]2CN(C(=O)c3cc(=O)c4cccc(O)c4[n-]3)C[C@H]12 ZINC001152671232 863486159 /nfs/dbraw/zinc/48/61/59/863486159.db2.gz DBEBFOQIQOLALL-XDMRBOTDSA-N -1 1 314.341 1.753 20 0 DDADMM CC1(C)[C@H](O)C[C@H]1NC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681199 863490898 /nfs/dbraw/zinc/49/08/98/863490898.db2.gz GXDLJIQTMLDOJY-CHWSQXEVSA-N -1 1 302.330 1.535 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2c[nH]c3ncncc23)n1 ZINC001152895983 863592074 /nfs/dbraw/zinc/59/20/74/863592074.db2.gz ASOCMJQWQVLIPH-UHFFFAOYSA-N -1 1 300.278 1.062 20 0 DDADMM CSc1nc(NC(=O)c2cccc3c2CC(=O)N3)cc(=O)[n-]1 ZINC001153322245 863824288 /nfs/dbraw/zinc/82/42/88/863824288.db2.gz IXGUYBFSOHJDQL-UHFFFAOYSA-N -1 1 316.342 1.651 20 0 DDADMM Cc1cc2c(c(N[C@@H]3CCc4ccccc4[C@H]3O)n1)C(=O)[N-]C2=O ZINC001158687546 864816663 /nfs/dbraw/zinc/81/66/63/864816663.db2.gz YNKDVTIBTWBHGE-UKRRQHHQSA-N -1 1 323.352 1.734 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nc(Cl)cnc3Cl)c2[nH]1 ZINC001159184886 865151718 /nfs/dbraw/zinc/15/17/18/865151718.db2.gz ATZDEDUEDAJXBP-UHFFFAOYSA-N -1 1 314.092 1.556 20 0 DDADMM CCOc1c(Cl)ncnc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001159189158 865155938 /nfs/dbraw/zinc/15/59/38/865155938.db2.gz HPPQUMANHXJYOK-UHFFFAOYSA-N -1 1 323.700 1.301 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc(Cl)nc3c2CSC3)[n-]1 ZINC001159192587 865158229 /nfs/dbraw/zinc/15/82/29/865158229.db2.gz UHZUUFKRLLQDKL-UHFFFAOYSA-N -1 1 321.753 1.992 20 0 DDADMM Cc1noc(-c2ccnc(Nc3[n-]c(=O)nc4nc[nH]c43)c2)n1 ZINC001159199071 865162298 /nfs/dbraw/zinc/16/22/98/865162298.db2.gz BTSOLWRKVROKPC-UHFFFAOYSA-N -1 1 310.277 1.556 20 0 DDADMM Cc1cc(Nc2[n-]c(=O)nc3nc[nH]c32)nc(-c2ccccn2)n1 ZINC001159199952 865164215 /nfs/dbraw/zinc/16/42/15/865164215.db2.gz UUOMRJNNWREPHF-UHFFFAOYSA-N -1 1 320.316 1.963 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccc(-c3ccncc3)nn2)[n-]1 ZINC001159199920 865164305 /nfs/dbraw/zinc/16/43/05/865164305.db2.gz UDTLLDVPYUOUSP-UHFFFAOYSA-N -1 1 306.289 1.654 20 0 DDADMM C[C@H]1CN(CCCNC(=O)CCCCC(=O)[O-])C[C@H](C)O1 ZINC001332928207 866263099 /nfs/dbraw/zinc/26/30/99/866263099.db2.gz FCOUAZIIWIZQMG-STQMWFEESA-N -1 1 300.399 1.247 20 0 DDADMM COC(=O)c1nc(Cl)ccc1S(=O)(=O)[N-]C(C)(C)CF ZINC001320337444 866654028 /nfs/dbraw/zinc/65/40/28/866654028.db2.gz VEYDZDCUTFJRAY-UHFFFAOYSA-N -1 1 324.761 1.548 20 0 DDADMM O=C(C=Cc1ccncn1)OCC(=O)c1ccc([O-])cc1O ZINC001320392151 866703704 /nfs/dbraw/zinc/70/37/04/866703704.db2.gz HGCIOTJFTIFRDL-DAFODLJHSA-N -1 1 300.270 1.327 20 0 DDADMM O=C([N-]c1nnc(C2CCOCC2)s1)c1ccc[n+]([O-])c1 ZINC001324748215 867495012 /nfs/dbraw/zinc/49/50/12/867495012.db2.gz STIYHYVXJZZHAT-UHFFFAOYSA-N -1 1 306.347 1.318 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COCc2cc(C)on2)n1 ZINC001361650402 882089283 /nfs/dbraw/zinc/08/92/83/882089283.db2.gz NHUYAVXDIZJVDV-UHFFFAOYSA-N -1 1 308.294 1.038 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2cc(C(F)F)ncn2)n1 ZINC001361651518 882091550 /nfs/dbraw/zinc/09/15/50/882091550.db2.gz VNJGRFVJBUIDEY-UHFFFAOYSA-N -1 1 315.261 1.305 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCCc2ncnn2C)c1 ZINC001163361528 868393985 /nfs/dbraw/zinc/39/39/85/868393985.db2.gz OOBGOMHTWJYRSK-UHFFFAOYSA-N -1 1 302.334 1.004 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-][C@@H]1C=C[C@H](CO)C1 ZINC001322899150 868450976 /nfs/dbraw/zinc/45/09/76/868450976.db2.gz VGLVVTSONSZFBV-WCBMZHEXSA-N -1 1 319.329 1.189 20 0 DDADMM C[C@@H](c1ccc(Cl)cc1)N(C)c1nnc(-c2nnn[n-]2)n1C ZINC001337934011 869698258 /nfs/dbraw/zinc/69/82/58/869698258.db2.gz XINJDCPIJGMBBW-QMMMGPOBSA-N -1 1 318.772 1.846 20 0 DDADMM C[C@@H](c1ccc(Cl)cc1)N(C)c1nnc(-c2nn[n-]n2)n1C ZINC001337934011 869698267 /nfs/dbraw/zinc/69/82/67/869698267.db2.gz XINJDCPIJGMBBW-QMMMGPOBSA-N -1 1 318.772 1.846 20 0 DDADMM Nc1ncnc(SCc2cc(=O)oc3cc([O-])ccc23)n1 ZINC001166957004 870417335 /nfs/dbraw/zinc/41/73/35/870417335.db2.gz XOXKWVQQMNFWIG-UHFFFAOYSA-N -1 1 302.315 1.558 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1cc(C)cc(C)c1 ZINC001339381331 870469945 /nfs/dbraw/zinc/46/99/45/870469945.db2.gz DJAVXZOCKZUBNO-UHFFFAOYSA-N -1 1 312.381 1.731 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1cc(C)cc(C)c1 ZINC001339381331 870469956 /nfs/dbraw/zinc/46/99/56/870469956.db2.gz DJAVXZOCKZUBNO-UHFFFAOYSA-N -1 1 312.381 1.731 20 0 DDADMM CCc1nnc(CNC(=O)c2c([O-])cnc3c(F)cccc32)[nH]1 ZINC001298439095 870548709 /nfs/dbraw/zinc/54/87/09/870548709.db2.gz WNQRWGGASFXXAE-UHFFFAOYSA-N -1 1 315.308 1.690 20 0 DDADMM Cc1noc([C@H]([N-]S(=O)(=O)[C@@H]2CCO[C@H]2C)C(C)C)n1 ZINC001475809297 870805132 /nfs/dbraw/zinc/80/51/32/870805132.db2.gz SXGSURCUHADLAE-JMJZKYOTSA-N -1 1 303.384 1.172 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N1CCc2cc(C)ccc21 ZINC001340263271 870995140 /nfs/dbraw/zinc/99/51/40/870995140.db2.gz APMMMMLZDFTGAY-UHFFFAOYSA-N -1 1 312.333 1.644 20 0 DDADMM C/C=C\C[C@H]1CCCN(c2nnc(-c3noc(=O)[n-]3)n2CC)C1 ZINC001340265920 870996556 /nfs/dbraw/zinc/99/65/56/870996556.db2.gz PRCHNNLWOUJDGB-MZBZXASESA-N -1 1 318.381 1.824 20 0 DDADMM C[C@@H](CCNC(=O)[C@]1(C(=O)[O-])CNCCO1)CC(C)(C)C ZINC001340322905 871034538 /nfs/dbraw/zinc/03/45/38/871034538.db2.gz YXXIYOAYINEQLS-NHYWBVRUSA-N -1 1 300.399 1.008 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C=Cc1ccc(O)cc1 ZINC001303201712 871107815 /nfs/dbraw/zinc/10/78/15/871107815.db2.gz NAOJXXHAZJGFCO-YVMONPNESA-N -1 1 301.350 1.603 20 0 DDADMM C[C@H]1CCc2nc(CNCCn3cc(C(=O)[O-])nn3)sc2C1 ZINC001339268431 871395317 /nfs/dbraw/zinc/39/53/17/871395317.db2.gz OBUWMUDYMAJUIS-VIFPVBQESA-N -1 1 321.406 1.347 20 0 DDADMM CCC(C)(C)OCC[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001309563041 871581271 /nfs/dbraw/zinc/58/12/71/871581271.db2.gz CREPLQWNUJXCEC-UHFFFAOYSA-N -1 1 308.425 1.635 20 0 DDADMM CN(Cc1ncc[nH]1)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001311062549 871673896 /nfs/dbraw/zinc/67/38/96/871673896.db2.gz HAHSMBLAMMTLJW-UHFFFAOYSA-N -1 1 312.333 1.965 20 0 DDADMM CS(C)(=O)=Nc1ccc(C(=O)Nc2ccncc2[O-])cc1 ZINC001413845848 871927355 /nfs/dbraw/zinc/92/73/55/871927355.db2.gz FCGPQWHBEPKECU-UHFFFAOYSA-N -1 1 305.359 1.821 20 0 DDADMM Cc1cc2c(c(-c3cnn(Cc4cccnc4)c3)n1)C(=O)[N-]C2=O ZINC001206393823 872280251 /nfs/dbraw/zinc/28/02/51/872280251.db2.gz NAPAUMAKHWXHIB-UHFFFAOYSA-N -1 1 319.324 1.580 20 0 DDADMM O=c1ncc(I)c(O[C@@H]2C=CCC2)[n-]1 ZINC001226774447 882643181 /nfs/dbraw/zinc/64/31/81/882643181.db2.gz OMCNCJNEOOEVTL-ZCFIWIBFSA-N -1 1 304.087 1.884 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)CCc1ccncc1 ZINC001346360646 873714593 /nfs/dbraw/zinc/71/45/93/873714593.db2.gz RRAOKVIPIALVGF-UHFFFAOYSA-N -1 1 304.375 1.489 20 0 DDADMM CCOCCC1(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)CCC1 ZINC001347672757 874238688 /nfs/dbraw/zinc/23/86/88/874238688.db2.gz UEOCDOUEADQKOP-ZDUSSCGKSA-N -1 1 321.425 1.578 20 0 DDADMM Cc1cccc(-c2cn(Cc3nc4c(c(=O)[n-]3)COCC4)nn2)n1 ZINC001348512182 874605563 /nfs/dbraw/zinc/60/55/63/874605563.db2.gz KPLUJIIPEYLFKU-UHFFFAOYSA-N -1 1 324.344 1.265 20 0 DDADMM CCCC1(C(=O)NC[C@@H](CC)NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001378308663 874662769 /nfs/dbraw/zinc/66/27/69/874662769.db2.gz ZCGJHBKIFOFEFD-LLVKDONJSA-N -1 1 309.414 1.465 20 0 DDADMM C=C/C(C)=C/CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209100438 874668340 /nfs/dbraw/zinc/66/83/40/874668340.db2.gz JCKLEAWRRNDXLQ-BCMYLCSRSA-N -1 1 305.382 1.113 20 0 DDADMM O=c1ncc(Br)c(O[C@H]2CCc3nccn3C2)[n-]1 ZINC001227138702 882870870 /nfs/dbraw/zinc/87/08/70/882870870.db2.gz UIEAMEUNUIUTCL-ZETCQYMHSA-N -1 1 311.139 1.535 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(CC1CCC1)[C@@H](C)CO ZINC001349454746 875181930 /nfs/dbraw/zinc/18/19/30/875181930.db2.gz BOJJQGDIFRNMQF-QMMMGPOBSA-N -1 1 311.407 1.402 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCN(C(=O)N2CCCC2)CC1 ZINC001362050507 882918052 /nfs/dbraw/zinc/91/80/52/882918052.db2.gz UXOPGMIXPMVWKB-UHFFFAOYSA-N -1 1 321.352 1.505 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@](CO)(C(C)C)C1 ZINC001349857732 875415405 /nfs/dbraw/zinc/41/54/05/875415405.db2.gz LWMUTMYSETVBQQ-CQSZACIVSA-N -1 1 311.407 1.260 20 0 DDADMM O=C(C[C@@H]1C[C@H]1C1CC1)NCCCNC(=O)c1ncccc1[O-] ZINC001349940030 875467135 /nfs/dbraw/zinc/46/71/35/875467135.db2.gz JBPDHUSKZGMLRA-STQMWFEESA-N -1 1 317.389 1.460 20 0 DDADMM CCOc1cncc([N-]S(=O)(=O)c2ccc(N)c(N)c2)c1 ZINC001210665204 875480418 /nfs/dbraw/zinc/48/04/18/875480418.db2.gz CQSOCOWARCUHFV-UHFFFAOYSA-N -1 1 308.363 1.446 20 0 DDADMM Nc1c(F)cccc1CN1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001350600186 875780088 /nfs/dbraw/zinc/78/00/88/875780088.db2.gz OHYNEMCUXLNBRA-LLVKDONJSA-N -1 1 319.340 1.535 20 0 DDADMM CC/C(C)=C/C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001350677852 875828424 /nfs/dbraw/zinc/82/84/24/875828424.db2.gz BELBEKBYXVGMIA-RSKUSDAESA-N -1 1 317.389 1.816 20 0 DDADMM C[C@@H](c1cccs1)N(C)c1nnc(Cc2nnn[n-]2)n1C ZINC001350687370 875835574 /nfs/dbraw/zinc/83/55/74/875835574.db2.gz FOPYBBKOARWVHI-QMMMGPOBSA-N -1 1 304.383 1.178 20 0 DDADMM C[C@@H](c1cccs1)N(C)c1nnc(Cc2nn[n-]n2)n1C ZINC001350687370 875835586 /nfs/dbraw/zinc/83/55/86/875835586.db2.gz FOPYBBKOARWVHI-QMMMGPOBSA-N -1 1 304.383 1.178 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1cccs1)NCc1n[nH]c(=O)[n-]1 ZINC001378935493 875942981 /nfs/dbraw/zinc/94/29/81/875942981.db2.gz GERKYQPCHQBIPZ-SECBINFHSA-N -1 1 309.395 1.116 20 0 DDADMM C[C@H](O)C(=O)Oc1cccc([O-])c1I ZINC001227383106 882992392 /nfs/dbraw/zinc/99/23/92/882992392.db2.gz ZBTWXYNTARMFDG-YFKPBYRVSA-N -1 1 308.071 1.283 20 0 DDADMM O=C1CC[C@H](Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)c2ccccc21 ZINC001227429331 883008061 /nfs/dbraw/zinc/00/80/61/883008061.db2.gz AUBKZHWFQUSIQC-JTQLQIEISA-N -1 1 312.285 1.861 20 0 DDADMM CCC(CC)C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001351406156 876233083 /nfs/dbraw/zinc/23/30/83/876233083.db2.gz HJLKPDPJYFSLIC-UHFFFAOYSA-N -1 1 307.394 1.802 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@](C)(O)CCC(C)C ZINC001351512407 876298460 /nfs/dbraw/zinc/29/84/60/876298460.db2.gz DXGRLFABLXZVHB-CQSZACIVSA-N -1 1 313.423 1.696 20 0 DDADMM C[C@@H](CS(C)(=O)=O)N(C(=O)c1ccc(F)c([O-])c1)C1CC1 ZINC001362098135 883031186 /nfs/dbraw/zinc/03/11/86/883031186.db2.gz UXVSTTWYBDGXNR-VIFPVBQESA-N -1 1 315.366 1.569 20 0 DDADMM COc1cc(CO)ccc1Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001215202715 876607914 /nfs/dbraw/zinc/60/79/14/876607914.db2.gz VACDDOQHGWKYBF-UHFFFAOYSA-N -1 1 319.321 1.891 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1ccsn1 ZINC001381960348 883061649 /nfs/dbraw/zinc/06/16/49/883061649.db2.gz HHVHSRWADKNKJO-SECBINFHSA-N -1 1 320.374 1.134 20 0 DDADMM O=C(Nc1nc(C2CC2)c[nH]1)c1ccc2n[n-]c(=S)n2c1 ZINC001300061505 876819352 /nfs/dbraw/zinc/81/93/52/876819352.db2.gz CVDKYRZIEDZIPQ-UHFFFAOYSA-N -1 1 300.347 1.871 20 0 DDADMM COc1ncc(Nc2nnccc2C)cc1[N-]S(C)(=O)=O ZINC001216153452 876880828 /nfs/dbraw/zinc/88/08/28/876880828.db2.gz SKGPTRMYGKKVRN-UHFFFAOYSA-N -1 1 309.351 1.304 20 0 DDADMM COc1ncc(Nc2cnc(C)s2)cc1[N-]S(C)(=O)=O ZINC001216152406 876881328 /nfs/dbraw/zinc/88/13/28/876881328.db2.gz RFOSZWBAHAPAKG-UHFFFAOYSA-N -1 1 314.392 1.970 20 0 DDADMM CNc1cc(F)cc([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001216189757 876886471 /nfs/dbraw/zinc/88/64/71/876886471.db2.gz PUKVWLGRGASWAG-UHFFFAOYSA-N -1 1 310.354 1.833 20 0 DDADMM COC(=O)c1cc([O-])c(Br)c(O[C@H]2C[C@H](O)C2)c1 ZINC001218366306 877464477 /nfs/dbraw/zinc/46/44/77/877464477.db2.gz LHNBFXPWQPDXOV-ZKCHVHJHSA-N -1 1 317.135 1.843 20 0 DDADMM Nc1cc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)ccc1Cl ZINC001218670877 877656400 /nfs/dbraw/zinc/65/64/00/877656400.db2.gz JLITYNWWPKOFKD-UHFFFAOYSA-N -1 1 309.669 1.774 20 0 DDADMM C[C@@H]1CN(c2nnc(-c3nnn[n-]3)n2C)CC[C@@H]1c1ccccc1 ZINC001355332502 878506142 /nfs/dbraw/zinc/50/61/42/878506142.db2.gz COJJMCCDTXLJJO-YPMHNXCESA-N -1 1 324.392 1.625 20 0 DDADMM C[C@@H]1CN(c2nnc(-c3nn[n-]n3)n2C)CC[C@@H]1c1ccccc1 ZINC001355332502 878506153 /nfs/dbraw/zinc/50/61/53/878506153.db2.gz COJJMCCDTXLJJO-YPMHNXCESA-N -1 1 324.392 1.625 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CCCC1(C)C ZINC001374271710 912389622 /nfs/dbraw/zinc/38/96/22/912389622.db2.gz PABZPVFQJKOYBZ-GHMZBOCLSA-N -1 1 309.414 1.273 20 0 DDADMM CCc1ccccc1-n1cc(C(=O)[N-]c2nncs2)nn1 ZINC001356402923 879036927 /nfs/dbraw/zinc/03/69/27/879036927.db2.gz WXCIENMLAKXROE-UHFFFAOYSA-N -1 1 300.347 1.934 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N(C)Cc1cnc(C)s1 ZINC001356533776 879089948 /nfs/dbraw/zinc/08/99/48/879089948.db2.gz BYYSJEACGYJHMA-UHFFFAOYSA-N -1 1 319.394 1.795 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000316636369 879432463 /nfs/dbraw/zinc/43/24/63/879432463.db2.gz AEOONZDSXHRPBM-SNVBAGLBSA-N -1 1 307.350 1.249 20 0 DDADMM C[C@H](c1ccccc1)N1C[C@H](COCc2nn[n-]n2)OC1=O ZINC001223026823 880788470 /nfs/dbraw/zinc/78/84/70/880788470.db2.gz JOLIIBHCKVQZGA-ZYHUDNBSSA-N -1 1 303.322 1.298 20 0 DDADMM CCOC(=O)[C@H]1C[C@@H](OCc2nn[n-]n2)c2ccccc2O1 ZINC001223027794 880790201 /nfs/dbraw/zinc/79/02/01/880790201.db2.gz SSVALWSYGVVHTN-VXGBXAGGSA-N -1 1 304.306 1.172 20 0 DDADMM CC[C@@H](CNC(=O)c1cc(F)c[nH]1)NC(=O)c1ncccc1[O-] ZINC001416259143 881042934 /nfs/dbraw/zinc/04/29/34/881042934.db2.gz BMKQNJSEUGQHHR-JTQLQIEISA-N -1 1 320.324 1.193 20 0 DDADMM CC1(C)OC[C@H]2OC=C[C@@H](Oc3[n-]c(=O)nc4c3COC4)[C@@H]2O1 ZINC001227686035 883130629 /nfs/dbraw/zinc/13/06/29/883130629.db2.gz DUAQGZCRBVABDS-UTUOFQBUSA-N -1 1 322.317 1.024 20 0 DDADMM CCc1nc(NC(=O)c2ccc([O-])cn2)sc1C(=O)OC ZINC001362174348 883214154 /nfs/dbraw/zinc/21/41/54/883214154.db2.gz AEUAYKDZOKLXJW-UHFFFAOYSA-N -1 1 307.331 1.845 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCS(=O)(=O)C2(CCCCC2)C1 ZINC001362232317 883365969 /nfs/dbraw/zinc/36/59/69/883365969.db2.gz BCSJWIPAHOBEJC-UHFFFAOYSA-N -1 1 324.402 1.361 20 0 DDADMM C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)c1ccc(F)c([O-])c1 ZINC001362237077 883376123 /nfs/dbraw/zinc/37/61/23/883376123.db2.gz UCFBNNMFLPWQMY-RKDXNWHRSA-N -1 1 301.339 1.179 20 0 DDADMM C[C@@H](CN(C)C(=O)C(C)(C)C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001382087929 883392852 /nfs/dbraw/zinc/39/28/52/883392852.db2.gz FLOPRKIXQPBHAX-NSHDSACASA-N -1 1 323.441 1.663 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])cn2)CCN1CC(F)(F)F ZINC001362312980 883541610 /nfs/dbraw/zinc/54/16/10/883541610.db2.gz WGRYDUONHUDNHN-VIFPVBQESA-N -1 1 303.284 1.496 20 0 DDADMM Cc1cc(F)ccc1C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001382160019 883543047 /nfs/dbraw/zinc/54/30/47/883543047.db2.gz NFQPISBZMGBIHK-SNVBAGLBSA-N -1 1 321.356 1.208 20 0 DDADMM Cc1nnc([C@@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)s1 ZINC001362330505 883580853 /nfs/dbraw/zinc/58/08/53/883580853.db2.gz UNJOAJLYDVSJAP-ZCFIWIBFSA-N -1 1 305.363 1.711 20 0 DDADMM C[C@H](Oc1cnnc(=S)[n-]1)C1CN(C(=O)OC(C)(C)C)C1 ZINC001228639172 883586544 /nfs/dbraw/zinc/58/65/44/883586544.db2.gz JZTOYXFRTABAJK-QMMMGPOBSA-N -1 1 312.395 1.795 20 0 DDADMM C[C@H]1c2nc(C(=O)N(C)C)cn2CCN1Cc1ncccc1[O-] ZINC001277318758 883598796 /nfs/dbraw/zinc/59/87/96/883598796.db2.gz SULLMIXYQNLPPF-NSHDSACASA-N -1 1 315.377 1.262 20 0 DDADMM Cn1[nH]c(C2CCN(C(=O)c3ccc(F)c([O-])c3)CC2)nc1=N ZINC001362384146 883688578 /nfs/dbraw/zinc/68/85/78/883688578.db2.gz CSIDXUNNSBOEMY-UHFFFAOYSA-N -1 1 319.340 1.092 20 0 DDADMM CCC(CC)(NC(=O)c1cc2c([nH]c1=O)CCC2)c1nn[n-]n1 ZINC001362425035 883779281 /nfs/dbraw/zinc/77/92/81/883779281.db2.gz NOWZQRMSTODBOS-UHFFFAOYSA-N -1 1 316.365 1.234 20 0 DDADMM CSc1ncc(C(=O)Nc2cccc3c2OCCO3)c(=O)[n-]1 ZINC001362437474 883806741 /nfs/dbraw/zinc/80/67/41/883806741.db2.gz UXDRFNHRALDGPO-UHFFFAOYSA-N -1 1 319.342 1.928 20 0 DDADMM CSc1ncc(C(=O)NCC2([C@@H](O)C(C)C)CC2)c(=O)[n-]1 ZINC001362557372 884089463 /nfs/dbraw/zinc/08/94/63/884089463.db2.gz REXSVMIABHXIDZ-JTQLQIEISA-N -1 1 311.407 1.431 20 0 DDADMM C[C@](CO)(NC(=O)c1ccc([O-])c(F)c1)c1ccc(O)cc1 ZINC001362602048 884193530 /nfs/dbraw/zinc/19/35/30/884193530.db2.gz IPOQGNIXRQGGAW-MRXNPFEDSA-N -1 1 305.305 1.874 20 0 DDADMM Cc1cn(C2CCOCC2)nc1C(=O)Nc1c(C)[n-][nH]c1=O ZINC001362655782 884335882 /nfs/dbraw/zinc/33/58/82/884335882.db2.gz CRKNESWLHYYQIL-UHFFFAOYSA-N -1 1 305.338 1.532 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(Br)c[nH]c1=O ZINC001362655795 884336011 /nfs/dbraw/zinc/33/60/11/884336011.db2.gz CZHNZPNQYQAXIS-UHFFFAOYSA-N -1 1 313.111 1.539 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H](C)[C@@H]2CCO)c(=O)[n-]1 ZINC001362665956 884363693 /nfs/dbraw/zinc/36/36/93/884363693.db2.gz YPUAJTIURDZOGQ-ONGXEEELSA-N -1 1 311.407 1.527 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)c2c(C)n[nH]c2C)c(=O)[n-]1 ZINC001362679670 884399255 /nfs/dbraw/zinc/39/92/55/884399255.db2.gz RLFWNSQPDCBWFI-ZCFIWIBFSA-N -1 1 307.379 1.735 20 0 DDADMM O=C(NCc1cc(=O)cc[nH]1)c1cc(Br)ccc1[O-] ZINC001362701361 884444800 /nfs/dbraw/zinc/44/48/00/884444800.db2.gz NSEJDFOFRWTLHV-UHFFFAOYSA-N -1 1 323.146 1.773 20 0 DDADMM COC(=O)[C@H](C)c1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001362727198 884494949 /nfs/dbraw/zinc/49/49/49/884494949.db2.gz IHYNMOVPZKSMMU-SECBINFHSA-N -1 1 303.322 1.048 20 0 DDADMM CCc1ccc(O)c(C(=O)NC(CC)(CC)c2nn[n-]n2)c1 ZINC001362784927 884631806 /nfs/dbraw/zinc/63/18/06/884631806.db2.gz KBNWYLQOIWNSLR-UHFFFAOYSA-N -1 1 303.366 1.913 20 0 DDADMM O=C(N[C@H]1CCCc2c1cnn2CCO)c1cccc([O-])c1F ZINC001362809833 884694892 /nfs/dbraw/zinc/69/48/92/884694892.db2.gz FVDQMZYPPCLGCV-LBPRGKRZSA-N -1 1 319.336 1.528 20 0 DDADMM CCC(CC)(NC(=O)CCCC(=O)NC(C)C)c1nn[n-]n1 ZINC001362856173 884808450 /nfs/dbraw/zinc/80/84/50/884808450.db2.gz LTJVHCLWLOHNCX-UHFFFAOYSA-N -1 1 310.402 1.026 20 0 DDADMM CSc1nc(CNC(=O)CCc2ccnc(C)n2)cc(=O)[n-]1 ZINC001362857515 884812166 /nfs/dbraw/zinc/81/21/66/884812166.db2.gz ZUEQNIHSBFAJBB-UHFFFAOYSA-N -1 1 319.390 1.252 20 0 DDADMM COc1cccc(O)c1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001362901394 884930264 /nfs/dbraw/zinc/93/02/64/884930264.db2.gz BENMFRULEDZAMA-JTQLQIEISA-N -1 1 317.349 1.009 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(COC)o2)n[n-]1 ZINC001362931467 885016535 /nfs/dbraw/zinc/01/65/35/885016535.db2.gz FKRPSOZSJIAALG-QMMMGPOBSA-N -1 1 322.321 1.212 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(COC)o2)[n-]1 ZINC001362931467 885016552 /nfs/dbraw/zinc/01/65/52/885016552.db2.gz FKRPSOZSJIAALG-QMMMGPOBSA-N -1 1 322.321 1.212 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(COC)o2)n1 ZINC001362931467 885016568 /nfs/dbraw/zinc/01/65/68/885016568.db2.gz FKRPSOZSJIAALG-QMMMGPOBSA-N -1 1 322.321 1.212 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cnc(C3CC3)o2)n[n-]1 ZINC001362932999 885018563 /nfs/dbraw/zinc/01/85/63/885018563.db2.gz IDRHFSXFYGDNHF-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cnc(C3CC3)o2)[n-]1 ZINC001362932999 885018565 /nfs/dbraw/zinc/01/85/65/885018565.db2.gz IDRHFSXFYGDNHF-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cnc(C3CC3)o2)n1 ZINC001362932999 885018567 /nfs/dbraw/zinc/01/85/67/885018567.db2.gz IDRHFSXFYGDNHF-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CSCC[C@H](NC(=O)c1ccnc(C2CC2)n1)c1nn[n-]n1 ZINC001362999967 885190819 /nfs/dbraw/zinc/19/08/19/885190819.db2.gz QQIHIYWOVLUXHR-VIFPVBQESA-N -1 1 319.394 1.091 20 0 DDADMM CC(C)(COCc1ccccc1)NC(=O)c1cnncc1[O-] ZINC001363029147 885266920 /nfs/dbraw/zinc/26/69/20/885266920.db2.gz OLHQSXAEDNCDFS-UHFFFAOYSA-N -1 1 301.346 1.907 20 0 DDADMM CC[C@H]1CCC[C@@]1(C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001363113109 885492226 /nfs/dbraw/zinc/49/22/26/885492226.db2.gz URZKSBREPKZOND-QPUJVOFHSA-N -1 1 300.384 1.363 20 0 DDADMM CC[C@H]1CCC[C@@]1(C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001363113109 885492232 /nfs/dbraw/zinc/49/22/32/885492232.db2.gz URZKSBREPKZOND-QPUJVOFHSA-N -1 1 300.384 1.363 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1C[C@@H](C(C)=O)C1(C)C)c1nn[n-]n1 ZINC001363135301 885551587 /nfs/dbraw/zinc/55/15/87/885551587.db2.gz IKLMWGSQOCJPRZ-WDEREUQCSA-N -1 1 307.398 1.583 20 0 DDADMM CCC(CC)(NC(=O)C[C@H](OC)C(F)(F)F)c1nn[n-]n1 ZINC001363136977 885553667 /nfs/dbraw/zinc/55/36/67/885553667.db2.gz PRDMDXRBKOTALA-ZETCQYMHSA-N -1 1 309.292 1.299 20 0 DDADMM CCC(CC)(NC(=O)c1cnc2n1[C@@H](C)CCC2)c1nn[n-]n1 ZINC001363137672 885556351 /nfs/dbraw/zinc/55/63/51/885556351.db2.gz SCUHBWXLBBGVRL-JTQLQIEISA-N -1 1 317.397 1.739 20 0 DDADMM C[C@H](O)CNC(=O)c1cccc(Oc2cc(Cl)nc(=O)[n-]2)c1 ZINC001363147707 885579534 /nfs/dbraw/zinc/57/95/34/885579534.db2.gz GINQJXKWRQMPOH-QMMMGPOBSA-N -1 1 323.736 1.739 20 0 DDADMM Cn1ncc(Br)c1CNC(=O)c1ccc([O-])cn1 ZINC001363236379 885786782 /nfs/dbraw/zinc/78/67/82/885786782.db2.gz GPZXTBMCXATJFF-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM COc1ccc([C@H](C)CC(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001363276564 885886656 /nfs/dbraw/zinc/88/66/56/885886656.db2.gz FYQFZPMXLPKLQP-LLVKDONJSA-N -1 1 315.377 1.898 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1c2c[nH]nc2CC[C@H]1C ZINC001363277982 885892784 /nfs/dbraw/zinc/89/27/84/885892784.db2.gz WXDUEYAOFWYLDP-YGRLFVJLSA-N -1 1 317.397 1.274 20 0 DDADMM COc1cccc(CC(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001363277826 885893557 /nfs/dbraw/zinc/89/35/57/885893557.db2.gz VCHQEQWUBGNDAZ-UHFFFAOYSA-N -1 1 303.366 1.436 20 0 DDADMM COc1ccnc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c1 ZINC001363459632 886398038 /nfs/dbraw/zinc/39/80/38/886398038.db2.gz XFZXROOZFKFADA-UHFFFAOYSA-N -1 1 306.347 1.238 20 0 DDADMM CN1c2ccccc2C[C@H](NC(=O)c2cc(F)ccc2[O-])C1=O ZINC001363481391 886454313 /nfs/dbraw/zinc/45/43/13/886454313.db2.gz IMVISOCFNOQGME-ZDUSSCGKSA-N -1 1 314.316 1.849 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2CCC3(CCC3)O2)c(=O)[n-]1 ZINC001363526175 886553475 /nfs/dbraw/zinc/55/34/75/886553475.db2.gz HRMQXWIVWXEMSR-VIFPVBQESA-N -1 1 309.391 1.736 20 0 DDADMM C[C@@H]1OC[C@]2(CC[C@@H](CNC(=O)c3ccc([O-])cn3)O2)[C@H]1C ZINC001363534168 886571206 /nfs/dbraw/zinc/57/12/06/886571206.db2.gz LYYFZTOIEBRXNI-XALPAYFRSA-N -1 1 306.362 1.490 20 0 DDADMM C[C@@H]1CN(C2CN(Cc3ccc(F)c([O-])c3F)C2)C[C@@H](C)O1 ZINC001232939359 886684476 /nfs/dbraw/zinc/68/44/76/886684476.db2.gz NMAAHSFBUWGTHV-GHMZBOCLSA-N -1 1 312.360 1.964 20 0 DDADMM CO[C@H](C)C(=O)NCCCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001288824322 913084261 /nfs/dbraw/zinc/08/42/61/913084261.db2.gz IMYVRYKZBIXZTB-NWDGAFQWSA-N -1 1 323.393 1.227 20 0 DDADMM COC(C)(C)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001363726367 887077900 /nfs/dbraw/zinc/07/79/00/887077900.db2.gz OPZUDOWKUGDNMC-IUCAKERBSA-N -1 1 310.316 1.469 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)c1noc(C)n1 ZINC001363817067 887301086 /nfs/dbraw/zinc/30/10/86/887301086.db2.gz PUNYSVVYBKFDKM-SSDOTTSWSA-N -1 1 319.774 1.195 20 0 DDADMM C[C@@H]([C@H](C)S(C)(=O)=O)N(C)Cc1ccc([O-])c(F)c1F ZINC001363894668 887490041 /nfs/dbraw/zinc/49/00/41/887490041.db2.gz FYHYXQMEMYFKJD-IUCAKERBSA-N -1 1 307.362 1.924 20 0 DDADMM COC(=O)c1cccc2[n-]c(O[C@H]3CCCN(C(C)=O)C3)nc21 ZINC001234040373 887583219 /nfs/dbraw/zinc/58/32/19/887583219.db2.gz ZGEHPYLCNAXRGA-NSHDSACASA-N -1 1 317.345 1.739 20 0 DDADMM COC(=O)c1cccc2nc(O[C@H]3CCCN(C(C)=O)C3)[n-]c21 ZINC001234040373 887583230 /nfs/dbraw/zinc/58/32/30/887583230.db2.gz ZGEHPYLCNAXRGA-NSHDSACASA-N -1 1 317.345 1.739 20 0 DDADMM COC[C@H](NC(=O)C(F)(F)c1ccc(C)cc1)c1nn[n-]n1 ZINC001364035646 887767269 /nfs/dbraw/zinc/76/72/69/887767269.db2.gz QVWMRNTXUHGIHZ-JTQLQIEISA-N -1 1 311.292 1.104 20 0 DDADMM Cc1cc(N2C[C@@H](C(=O)Nc3c[n-][nH]c3=O)CC2=O)ccc1F ZINC001364089117 887888316 /nfs/dbraw/zinc/88/83/16/887888316.db2.gz FJROJWSCXABKPO-VIFPVBQESA-N -1 1 318.308 1.554 20 0 DDADMM Cc1cncc(S(=O)(=O)[N-][C@@H]([C@H]2CCOC2)C(F)(F)F)c1 ZINC001364127746 887980711 /nfs/dbraw/zinc/98/07/11/887980711.db2.gz GCTAYWDCWZQFRY-ONGXEEELSA-N -1 1 324.324 1.636 20 0 DDADMM Cc1cc(NC(=O)N2CCC(c3nn[n-]n3)CC2)nn1C(C)C ZINC001364132220 887992292 /nfs/dbraw/zinc/99/22/92/887992292.db2.gz QCQZWZLDCUXIFR-UHFFFAOYSA-N -1 1 318.385 1.697 20 0 DDADMM COCC[C@H](CO)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001364152668 888031115 /nfs/dbraw/zinc/03/11/15/888031115.db2.gz HWPOMMVBAIHOII-GFCCVEGCSA-N -1 1 320.349 1.097 20 0 DDADMM Cc1noc([C@H]([N-]S(=O)(=O)c2cnn(C)c2C)C(C)C)n1 ZINC001364175732 888082059 /nfs/dbraw/zinc/08/20/59/888082059.db2.gz FWUQMYIAPXXHJV-LLVKDONJSA-N -1 1 313.383 1.096 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)CC(C)(C)C ZINC001384857732 888112594 /nfs/dbraw/zinc/11/25/94/888112594.db2.gz DFFVGDKHOFDHEH-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM CCOC(=O)C[C@H](Oc1nc2sccc2[n-]1)C(=O)OCC ZINC001234848178 888222980 /nfs/dbraw/zinc/22/29/80/888222980.db2.gz MORAHERJUKUDQU-VIFPVBQESA-N -1 1 312.347 1.888 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Nc2ccnn2C)[n-]c1=O ZINC001364332907 888402185 /nfs/dbraw/zinc/40/21/85/888402185.db2.gz GUTZPBIWDIZIDB-VIFPVBQESA-N -1 1 318.337 1.293 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCc4c(O)cccc4C3)ccnc1-2 ZINC001364337399 888412516 /nfs/dbraw/zinc/41/25/16/888412516.db2.gz TXPCXTGPLYBXTI-UHFFFAOYSA-N -1 1 323.356 1.638 20 0 DDADMM CCC(C)(C)CC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001385260515 888756430 /nfs/dbraw/zinc/75/64/30/888756430.db2.gz RIOBJCTVZGEKAH-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001364497960 888775466 /nfs/dbraw/zinc/77/54/66/888775466.db2.gz XJIGUJPQJLFPBM-LLVKDONJSA-N -1 1 306.362 1.981 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)Nc3c[n-][nH]c3=O)CC2=O)cc1C ZINC001364507500 888796395 /nfs/dbraw/zinc/79/63/95/888796395.db2.gz OSWIDCDQFMVQBU-LLVKDONJSA-N -1 1 314.345 1.724 20 0 DDADMM CS[C@@H](C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001385566424 889335253 /nfs/dbraw/zinc/33/52/53/889335253.db2.gz FWSYOAGTHWKBRL-WDEREUQCSA-N -1 1 323.418 1.260 20 0 DDADMM COC[C@H](NC(=O)CCC(=O)c1ccc(C)s1)c1nn[n-]n1 ZINC001364814228 889469208 /nfs/dbraw/zinc/46/92/08/889469208.db2.gz MUGKMCNKPIIQDZ-VIFPVBQESA-N -1 1 323.378 1.036 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1cscc1C ZINC001364836737 889513703 /nfs/dbraw/zinc/51/37/03/889513703.db2.gz XYRUTUSNSYSEOR-UHFFFAOYSA-N -1 1 315.376 1.045 20 0 DDADMM C[C@H]1C(=O)NCCN1Cc1c(Br)ccc([O-])c1F ZINC001238307993 890163511 /nfs/dbraw/zinc/16/35/11/890163511.db2.gz WPTCQCFYLSUJNI-ZETCQYMHSA-N -1 1 317.158 1.614 20 0 DDADMM O=c1[n-]c(CN2CCC[C@H]2c2ncccn2)nc2c1COCC2 ZINC001365319322 890578529 /nfs/dbraw/zinc/57/85/29/890578529.db2.gz QCZNURFSYPCGGK-ZDUSSCGKSA-N -1 1 313.361 1.382 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](C)c2ccccc2)[n-]n1 ZINC001365377123 890689849 /nfs/dbraw/zinc/68/98/49/890689849.db2.gz CZSJQFZDDORYLJ-JTQLQIEISA-N -1 1 323.374 1.278 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](C)c2ccccc2)n[n-]1 ZINC001365377123 890689853 /nfs/dbraw/zinc/68/98/53/890689853.db2.gz CZSJQFZDDORYLJ-JTQLQIEISA-N -1 1 323.374 1.278 20 0 DDADMM CN1CCN(c2nccc(-c3ccc(C(=O)[O-])s3)n2)CC1 ZINC001240846166 891071076 /nfs/dbraw/zinc/07/10/76/891071076.db2.gz SVTLRCFYOGVHHL-UHFFFAOYSA-N -1 1 304.375 1.655 20 0 DDADMM CC[C@@H](C[C@H](C)CO)[N-]S(=O)(=O)c1csnc1OC ZINC001365673794 891289412 /nfs/dbraw/zinc/28/94/12/891289412.db2.gz VMZNGOZTUNXGJY-IUCAKERBSA-N -1 1 308.425 1.227 20 0 DDADMM O=C1Cc2cc(-c3ccc(-n4ccnn4)cc3)ccc2C(=O)[N-]1 ZINC001242417419 891422213 /nfs/dbraw/zinc/42/22/13/891422213.db2.gz BDUPHOAZYBFWRO-UHFFFAOYSA-N -1 1 304.309 1.747 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@]12C[C@H]1COC21CCC1 ZINC001365740396 891432278 /nfs/dbraw/zinc/43/22/78/891432278.db2.gz DSDXIXFOCUUPBN-QPUJVOFHSA-N -1 1 316.404 1.142 20 0 DDADMM Cc1ccccc1C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001386786518 891705890 /nfs/dbraw/zinc/70/58/90/891705890.db2.gz HPAAVROGZIMFGQ-UHFFFAOYSA-N -1 1 313.357 1.598 20 0 DDADMM Cc1cc2c(c(-c3ccc4nc(N)ncc4c3)n1)C(=O)[N-]C2=O ZINC001243993361 891770321 /nfs/dbraw/zinc/77/03/21/891770321.db2.gz TYPKMPVRNNLPHF-UHFFFAOYSA-N -1 1 305.297 1.466 20 0 DDADMM COc1ncc(-c2cc(F)cnc2F)cc1[N-]S(C)(=O)=O ZINC001244794149 891927245 /nfs/dbraw/zinc/92/72/45/891927245.db2.gz LLJHQXCEWKTJKO-UHFFFAOYSA-N -1 1 315.301 1.802 20 0 DDADMM O=C(NCc1nc(Cc2ccccc2)no1)c1ccc([O-])cn1 ZINC001292237891 913626319 /nfs/dbraw/zinc/62/63/19/913626319.db2.gz GFBUAOJQKUGTRM-UHFFFAOYSA-N -1 1 310.313 1.691 20 0 DDADMM COC(=O)c1ccc(-c2nc(C)cc3c2C(=O)[N-]C3=O)cc1N ZINC001245899849 892262506 /nfs/dbraw/zinc/26/25/06/892262506.db2.gz KWNXFICAWDMOQZ-UHFFFAOYSA-N -1 1 311.297 1.309 20 0 DDADMM CO[C@@H](CC(C)C)C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001387086864 892350109 /nfs/dbraw/zinc/35/01/09/892350109.db2.gz APMUDHPGJXHYRI-ZDUSSCGKSA-N -1 1 323.393 1.037 20 0 DDADMM C[S@](=O)CCCNC(=S)Nc1cc(F)c(F)cc1[O-] ZINC001246541244 892685201 /nfs/dbraw/zinc/68/52/01/892685201.db2.gz HKTXYNKPCUVUHR-IBGZPJMESA-N -1 1 308.375 1.725 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)CC(C)(F)F ZINC001387443122 893112603 /nfs/dbraw/zinc/11/26/03/893112603.db2.gz TZAFTDKKXPWBDD-SECBINFHSA-N -1 1 315.320 1.457 20 0 DDADMM c1cc(N2CCCCC2)ccc1-c1noc(Cc2nnn[n-]2)n1 ZINC001247139080 893121193 /nfs/dbraw/zinc/12/11/93/893121193.db2.gz AWASREBZEYRACS-UHFFFAOYSA-N -1 1 311.349 1.831 20 0 DDADMM c1cc(N2CCCCC2)ccc1-c1noc(Cc2nn[n-]n2)n1 ZINC001247139080 893121200 /nfs/dbraw/zinc/12/12/00/893121200.db2.gz AWASREBZEYRACS-UHFFFAOYSA-N -1 1 311.349 1.831 20 0 DDADMM c1nnc2ccc([N-]c3nonc3-c3cn4ccncc4n3)cn12 ZINC001249999751 894183615 /nfs/dbraw/zinc/18/36/15/894183615.db2.gz DTFINXHONZBGLY-UHFFFAOYSA-N -1 1 319.288 1.566 20 0 DDADMM O=C1NCc2cc([N-]S(=O)(=O)c3ccc(F)cc3)ccc21 ZINC001251657457 894762298 /nfs/dbraw/zinc/76/22/98/894762298.db2.gz GKUZXMWJGSDXHP-UHFFFAOYSA-N -1 1 306.318 1.870 20 0 DDADMM CCN(CCNC(=O)[C@H]1CC12CCC2)C(=O)c1ncccc1[O-] ZINC001388264614 894898219 /nfs/dbraw/zinc/89/82/19/894898219.db2.gz BTDWMGOPCNHEQY-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM CN(C)c1nc(NC[C@@H](O)c2ccccc2)c(N=O)c(=O)[n-]1 ZINC001251979013 894925902 /nfs/dbraw/zinc/92/59/02/894925902.db2.gz AKRHHVOFEZTKNV-SNVBAGLBSA-N -1 1 303.322 1.792 20 0 DDADMM CCCC[C@@H](CC)COC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001253022678 895611356 /nfs/dbraw/zinc/61/13/56/895611356.db2.gz QGUYFIFOMYLYKU-VXGBXAGGSA-N -1 1 300.403 1.194 20 0 DDADMM CCC(=O)NC[C@@H]1[C@H](C)CCCN1C(=O)c1ncccc1[O-] ZINC001388818029 895978917 /nfs/dbraw/zinc/97/89/17/895978917.db2.gz MNUVNUISKJVUSB-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(Cl)nc(C(F)(F)F)c1 ZINC001292871862 914059247 /nfs/dbraw/zinc/05/92/47/914059247.db2.gz GEGLHIMJKGRAMP-UHFFFAOYSA-N -1 1 306.635 1.197 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CC1CCCCC1 ZINC001367340739 896484484 /nfs/dbraw/zinc/48/44/84/896484484.db2.gz HYXHLSODQIIWNN-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM Cc1ccccc1S(=O)(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001254661634 896557237 /nfs/dbraw/zinc/55/72/37/896557237.db2.gz QSTSPUNVGMRQTH-UHFFFAOYSA-N -1 1 305.319 1.168 20 0 DDADMM O=C(CNS(=O)(=O)c1cccc(F)c1)c1ccc([O-])cc1 ZINC001255703597 897092764 /nfs/dbraw/zinc/09/27/64/897092764.db2.gz BPGMIDZEVWZQFU-UHFFFAOYSA-N -1 1 309.318 1.693 20 0 DDADMM CC[C@@H](C)CCC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001389635284 897688928 /nfs/dbraw/zinc/68/89/28/897688928.db2.gz CUUSVCHJHNPJDR-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1c(=O)cc[nH]c1Cl ZINC001258536165 898238748 /nfs/dbraw/zinc/23/87/48/898238748.db2.gz FEEFECHCBLONMX-UHFFFAOYSA-N -1 1 303.727 1.846 20 0 DDADMM CN(C(=O)CC[N-]S(=O)(=O)c1cc(F)ccc1F)C1CC1 ZINC001258948265 898374612 /nfs/dbraw/zinc/37/46/12/898374612.db2.gz GNAKFELGWUACIH-UHFFFAOYSA-N -1 1 318.345 1.254 20 0 DDADMM O=S(=O)([N-][C@H]1CN2CCC1CC2)c1cc(F)ccc1F ZINC001258948285 898375312 /nfs/dbraw/zinc/37/53/12/898375312.db2.gz HYFQWXNBEPLQGU-LBPRGKRZSA-N -1 1 302.346 1.337 20 0 DDADMM CCOC(=O)C(C)(C)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258950098 898376430 /nfs/dbraw/zinc/37/64/30/898376430.db2.gz KBOJWSAPIIMKJM-UHFFFAOYSA-N -1 1 321.345 1.832 20 0 DDADMM CC(C)C[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(N)=O ZINC001258949877 898376761 /nfs/dbraw/zinc/37/67/61/898376761.db2.gz ZCTMYIOEMRDLJJ-JTQLQIEISA-N -1 1 306.334 1.143 20 0 DDADMM CC(=O)NC[C@@H](C)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001258950390 898378373 /nfs/dbraw/zinc/37/83/73/898378373.db2.gz YVAPJIIXFSAOCY-MRVPVSSYSA-N -1 1 306.334 1.015 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2cc(O)cc(C(N)=O)c2)c1 ZINC001259191089 898518978 /nfs/dbraw/zinc/51/89/78/898518978.db2.gz SLJWHOYYYKNNIY-UHFFFAOYSA-N -1 1 322.342 1.301 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(C(N)=O)c(O)c2)cc1F ZINC001259480747 898691345 /nfs/dbraw/zinc/69/13/45/898691345.db2.gz VRPBSBQYBBPQLD-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM Cc1coc(C[N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)n1 ZINC001259494640 898699202 /nfs/dbraw/zinc/69/92/02/898699202.db2.gz GVZXUDZGRKXWJI-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001299599242 898725759 /nfs/dbraw/zinc/72/57/59/898725759.db2.gz SEXXCIMMMCABTH-XAANGBPESA-N -1 1 317.389 1.722 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2cnn(C)c2)c(Cl)c1O ZINC001259826658 898835204 /nfs/dbraw/zinc/83/52/04/898835204.db2.gz GMDNPMNMWOKWRY-UHFFFAOYSA-N -1 1 301.755 1.888 20 0 DDADMM COc1cccc(Cl)c1[N-]S(=O)(=O)c1cnn(C)c1 ZINC001259826819 898835496 /nfs/dbraw/zinc/83/54/96/898835496.db2.gz RCGLQESRNOYDHO-UHFFFAOYSA-N -1 1 301.755 1.883 20 0 DDADMM Cn1ncc(Cl)c1[N-]S(=O)(=O)c1ccc2c(c1)OCO2 ZINC001259844327 898861331 /nfs/dbraw/zinc/86/13/31/898861331.db2.gz LYNOJWATTRYVDN-UHFFFAOYSA-N -1 1 315.738 1.603 20 0 DDADMM COc1cccc(C2([N-]S(=O)(=O)C(F)F)CCOCC2)c1 ZINC001259963870 898985833 /nfs/dbraw/zinc/98/58/33/898985833.db2.gz RHEKBVZYJFPHDM-UHFFFAOYSA-N -1 1 321.345 1.843 20 0 DDADMM COCCS(=O)(=O)[N-]c1ncc(C(F)(F)F)cc1F ZINC001259965690 898988207 /nfs/dbraw/zinc/98/82/07/898988207.db2.gz HRSPUGIXKNLFEY-UHFFFAOYSA-N -1 1 302.249 1.628 20 0 DDADMM O=S(=O)([N-]C1(Cc2ccccc2)CCOCC1)C(F)F ZINC001259964445 898988319 /nfs/dbraw/zinc/98/83/19/898988319.db2.gz RGUVMPKLNOZDFB-UHFFFAOYSA-N -1 1 305.346 1.920 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2ccn3cnnc3c2)c1 ZINC001260183975 899075808 /nfs/dbraw/zinc/07/58/08/899075808.db2.gz LNHIPNHYOSYHKN-UHFFFAOYSA-N -1 1 318.314 1.228 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cncc(Cl)c1Cl ZINC001260584818 899175253 /nfs/dbraw/zinc/17/52/53/899175253.db2.gz YBYHHXILXDACLY-UHFFFAOYSA-N -1 1 319.191 1.132 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)[C@H](C)CC)c1ccccn1 ZINC001260747974 899246076 /nfs/dbraw/zinc/24/60/76/899246076.db2.gz DVHZLUSRFASIFQ-PWSUYJOCSA-N -1 1 300.380 1.404 20 0 DDADMM CC[C@H](CNC(=O)CC[C@@H](C)OC)NC(=O)c1ncccc1[O-] ZINC001390381939 899298131 /nfs/dbraw/zinc/29/81/31/899298131.db2.gz XPSGSCJZPXXDDD-VXGBXAGGSA-N -1 1 323.393 1.227 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2cnc(Cl)cc2Cl)CO1 ZINC001261457356 899581879 /nfs/dbraw/zinc/58/18/79/899581879.db2.gz GVVBCEZLTMCIDR-BQBZGAKWSA-N -1 1 311.190 1.844 20 0 DDADMM O=C(NC1(CNC(=O)C(F)F)CCCC1)c1ncccc1[O-] ZINC001390741896 900072605 /nfs/dbraw/zinc/07/26/05/900072605.db2.gz QYGWELAXPOYFAN-UHFFFAOYSA-N -1 1 313.304 1.211 20 0 DDADMM C[C@H](NC(=O)NCC[N-]C(=O)C(F)(F)F)c1ccccc1 ZINC000586429795 900635872 /nfs/dbraw/zinc/63/58/72/900635872.db2.gz XFEIXGFWJMIZJF-VIFPVBQESA-N -1 1 303.284 1.725 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1sc(Cl)nc1C)C(=O)OC ZINC000385341939 900901514 /nfs/dbraw/zinc/90/15/14/900901514.db2.gz XPFGTHBDBHBDSL-LURJTMIESA-N -1 1 312.800 1.335 20 0 DDADMM CC(C)CCCCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001265447858 902025688 /nfs/dbraw/zinc/02/56/88/902025688.db2.gz AYTBUVGWRYJDBB-ZDUSSCGKSA-N -1 1 323.441 1.807 20 0 DDADMM Cc1ccccc1C(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370123254 902587272 /nfs/dbraw/zinc/58/72/72/902587272.db2.gz DSRSIMUYBOFMSI-CYBMUJFWSA-N -1 1 315.377 1.117 20 0 DDADMM C[C@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)C1CCCCC1)C1CC1 ZINC001391787994 902605098 /nfs/dbraw/zinc/60/50/98/902605098.db2.gz XJRQPOHDVARNJK-MRXNPFEDSA-N -1 1 321.425 1.465 20 0 DDADMM CC(C)(C)C(=O)NC[C@@H]1CCC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001391928226 902919864 /nfs/dbraw/zinc/91/98/64/902919864.db2.gz WFYNUPCAXMOSBU-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1nnc([C@H](C)N(C)CCN(C)C(=O)c2ncccc2[O-])o1 ZINC001392269571 903714372 /nfs/dbraw/zinc/71/43/72/903714372.db2.gz QJHRDVBEFXVHJP-JTQLQIEISA-N -1 1 319.365 1.244 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CC=CCC1)NC(=O)c1ncccc1[O-] ZINC001280979975 904266804 /nfs/dbraw/zinc/26/68/04/904266804.db2.gz WUCOPLPELYDCEF-QWHCGFSZSA-N -1 1 317.389 1.720 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CC(C)(C)C1 ZINC001375061007 914825248 /nfs/dbraw/zinc/82/52/48/914825248.db2.gz RNLWUPMQDKNODN-LBPRGKRZSA-N -1 1 319.405 1.848 20 0 DDADMM CCOCCC(=O)N(CC)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001281482919 904920735 /nfs/dbraw/zinc/92/07/35/904920735.db2.gz UVLBJRQDMSDMPL-GFCCVEGCSA-N -1 1 323.393 1.181 20 0 DDADMM CC(C)=C(F)C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001294036798 914870131 /nfs/dbraw/zinc/87/01/31/914870131.db2.gz DDKQUQXJYOBPTG-UHFFFAOYSA-N -1 1 309.341 1.629 20 0 DDADMM CC/C=C(\C)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001283932364 908203929 /nfs/dbraw/zinc/20/39/29/908203929.db2.gz XRGGMQSATFVTGM-HQIZRNBFSA-N -1 1 317.389 1.768 20 0 DDADMM CCCCCCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001284024939 908335842 /nfs/dbraw/zinc/33/58/42/908335842.db2.gz FUGCEIGHCQKAFA-VXGBXAGGSA-N -1 1 309.414 1.560 20 0 DDADMM C[C@H](CNC(=O)[C@@H](C)C(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001373102795 908966842 /nfs/dbraw/zinc/96/68/42/908966842.db2.gz IVYJKGBZYNLSBX-RKDXNWHRSA-N -1 1 315.320 1.313 20 0 DDADMM C/C=C(/C)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C(C)C ZINC001285313646 910338232 /nfs/dbraw/zinc/33/82/32/910338232.db2.gz HHCRMPJWPKZJOE-DKRCXCIFSA-N -1 1 305.378 1.624 20 0 DDADMM Cc1ncoc1C(=O)N[C@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001394964633 911036076 /nfs/dbraw/zinc/03/60/76/911036076.db2.gz QJCJQYSHIVNTFO-RKDXNWHRSA-N -1 1 318.333 1.020 20 0 DDADMM C=C/C(C)=C\CC(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001285956543 911495765 /nfs/dbraw/zinc/49/57/65/911495765.db2.gz VPVBOPBMNOQIDL-SDQBBNPISA-N -1 1 315.373 1.688 20 0 DDADMM CC[C@H](C)CC(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001397010664 914956004 /nfs/dbraw/zinc/95/60/04/914956004.db2.gz MZMKLDSPHNBBRT-WCQYABFASA-N -1 1 319.405 1.848 20 0 DDADMM CC/C(C)=C\C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001294880848 915431591 /nfs/dbraw/zinc/43/15/91/915431591.db2.gz COHQUPNWVFIGQH-FUWURINLSA-N -1 1 317.389 1.768 20 0 DDADMM O=C(c1c[nH]nc1-c1ccco1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001295412260 915764928 /nfs/dbraw/zinc/76/49/28/915764928.db2.gz URHYGGJWOSSRNQ-VIFPVBQESA-N -1 1 313.321 1.203 20 0 DDADMM CC(C)CO[C@H](C)C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001397413455 915972188 /nfs/dbraw/zinc/97/21/88/915972188.db2.gz IDHBMARQCZXVQM-NWDGAFQWSA-N -1 1 323.393 1.083 20 0 DDADMM CCCC[C@@H](NC(=O)c1cc(C)cc(C=O)c1[O-])C(=O)OC ZINC001296046917 916205590 /nfs/dbraw/zinc/20/55/90/916205590.db2.gz PXGWQZBKXHYELY-CYBMUJFWSA-N -1 1 307.346 1.975 20 0 DDADMM Cc1ncsc1CCC(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000622870352 365550647 /nfs/dbraw/zinc/55/06/47/365550647.db2.gz ZELIEUDBKLMONP-UHFFFAOYSA-N -1 1 318.362 1.062 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3C[C@@H](C)C[C@H](C)C3)nc2n1 ZINC000622870971 365550684 /nfs/dbraw/zinc/55/06/84/365550684.db2.gz NBSGBIJJBYYVQM-IUCAKERBSA-N -1 1 303.366 1.737 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCC4(CC4)C3)nc2n1 ZINC000622870022 365550788 /nfs/dbraw/zinc/55/07/88/365550788.db2.gz PCBLLBSOFVLBPP-JTQLQIEISA-N -1 1 301.350 1.635 20 0 DDADMM CCc1cc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)oc1CC ZINC000622871314 365552189 /nfs/dbraw/zinc/55/21/89/365552189.db2.gz YDLORSKAUVXTCR-UHFFFAOYSA-N -1 1 315.333 1.118 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)C(CC)CC)nc2n1 ZINC000622994580 365588649 /nfs/dbraw/zinc/58/86/49/365588649.db2.gz VFBBEWKSNQDPOQ-SECBINFHSA-N -1 1 305.382 1.991 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC[C@@H](C)C3)nc2n1 ZINC000622997052 365589537 /nfs/dbraw/zinc/58/95/37/365589537.db2.gz KAVFDLQRYHJRRV-ZJUUUORDSA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCO[C@@H](C)C3)nc2n1 ZINC000622997145 365590211 /nfs/dbraw/zinc/59/02/11/365590211.db2.gz MUQBBWQKXJIMGG-VHSXEESVSA-N -1 1 319.365 1.124 20 0 DDADMM CCc1c(NC(=O)NN2CC(=O)[N-]C2=O)cnn1CCC(C)C ZINC000278424385 214142989 /nfs/dbraw/zinc/14/29/89/214142989.db2.gz CPRSISCBOOYYHZ-UHFFFAOYSA-N -1 1 322.369 1.080 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCOC[C@H]1C ZINC000278519147 214207500 /nfs/dbraw/zinc/20/75/00/214207500.db2.gz MSRSLDJZYMTAPD-MWLCHTKSSA-N -1 1 307.394 1.943 20 0 DDADMM CCOCC[C@H](O)CN(C)Cc1nc(=O)c2sccc2[n-]1 ZINC000278616833 214277777 /nfs/dbraw/zinc/27/77/77/214277777.db2.gz FTPRDCLYKWEGAI-JTQLQIEISA-N -1 1 311.407 1.616 20 0 DDADMM CC[C@]1(C(C)C)C[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000457305381 529388771 /nfs/dbraw/zinc/38/87/71/529388771.db2.gz VGDSUMSIJHTFLG-BLLLJJGKSA-N -1 1 305.378 1.458 20 0 DDADMM NC(=O)CC1([N-]S(=O)(=O)c2sccc2Cl)CCC1 ZINC000451296268 231060427 /nfs/dbraw/zinc/06/04/27/231060427.db2.gz MHDVAUULJWDTGF-UHFFFAOYSA-N -1 1 308.812 1.478 20 0 DDADMM Cn1ccc(CC[N-]S(=O)(=O)c2sccc2Cl)n1 ZINC000451400810 231086005 /nfs/dbraw/zinc/08/60/05/231086005.db2.gz PKIZNLHVBSVMMN-UHFFFAOYSA-N -1 1 305.812 1.656 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C(=O)OC ZINC000425155811 529507317 /nfs/dbraw/zinc/50/73/17/529507317.db2.gz LGKJDARLOUPCHP-CYBMUJFWSA-N -1 1 321.345 1.893 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2c(F)cccc2F)CC1 ZINC000165808537 197682266 /nfs/dbraw/zinc/68/22/66/197682266.db2.gz UGNHNMCKDZOXDU-UHFFFAOYSA-N -1 1 305.302 1.090 20 0 DDADMM COCC[C@H](COC)NC(=O)c1ncc2ccccc2c1[O-] ZINC000615048749 362127843 /nfs/dbraw/zinc/12/78/43/362127843.db2.gz PWTQAOXWBVLZDJ-GFCCVEGCSA-N -1 1 304.346 1.722 20 0 DDADMM COC(=C([O-])Nc1[nH]nc2nc(O)ccc21)C1CCCCC1 ZINC000615081351 362141428 /nfs/dbraw/zinc/14/14/28/362141428.db2.gz MYQQPSWRLOVPGN-LBPRGKRZSA-N -1 1 304.350 1.619 20 0 DDADMM CC(C)[C@@H](CO)NC(=O)c1ccc(Br)cc1[O-] ZINC000092404838 185316514 /nfs/dbraw/zinc/31/65/14/185316514.db2.gz AHQGBMSLPHMNIB-SNVBAGLBSA-N -1 1 302.168 1.901 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CC(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000320447640 539297222 /nfs/dbraw/zinc/29/72/22/539297222.db2.gz JFDRZYLBNPFMQD-NSHDSACASA-N -1 1 320.345 1.541 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1ccc2c(c1)CCO2)c1nn[n-]n1 ZINC000255517325 282262386 /nfs/dbraw/zinc/26/23/86/282262386.db2.gz AVXPJYPBLDNLBQ-VGVVRCBHSA-N -1 1 313.361 1.805 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2c(F)cccc2Cl)n[nH]1 ZINC000451590228 529740156 /nfs/dbraw/zinc/74/01/56/529740156.db2.gz BHUCTSQQVYAGSI-UHFFFAOYSA-N -1 1 318.761 1.638 20 0 DDADMM CN1CC[C@H](C[N-]S(=O)(=O)c2cccc(F)c2F)CC1=O ZINC000425182088 529805000 /nfs/dbraw/zinc/80/50/00/529805000.db2.gz ITAPMGKIQSNMFD-VIFPVBQESA-N -1 1 318.345 1.112 20 0 DDADMM CN1CC[C@H](C[N-]S(=O)(=O)c2sccc2Cl)CC1=O ZINC000425180752 529805020 /nfs/dbraw/zinc/80/50/20/529805020.db2.gz FQLGJIQPCVDTGY-QMMMGPOBSA-N -1 1 322.839 1.548 20 0 DDADMM CNC(=O)C1(C(=O)N=c2cc(-c3cccc(F)c3F)[n-][nH]2)CC1 ZINC000419911474 529840594 /nfs/dbraw/zinc/84/05/94/529840594.db2.gz CFUQOXGTWVHLRU-UHFFFAOYSA-N -1 1 320.299 1.242 20 0 DDADMM CNC(=O)C[C@H](C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000451952568 529872786 /nfs/dbraw/zinc/87/27/86/529872786.db2.gz GVLNTJGHPJRNQK-ZETCQYMHSA-N -1 1 308.762 1.282 20 0 DDADMM O=C(NCCCOC[C@H]1CCCO1)C(=O)c1ccc([O-])cc1 ZINC000280666496 282332601 /nfs/dbraw/zinc/33/26/01/282332601.db2.gz AZCIWFKHQHYBIH-CQSZACIVSA-N -1 1 307.346 1.277 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)c1Br ZINC000616011611 362520552 /nfs/dbraw/zinc/52/05/52/362520552.db2.gz POIHWMFWSKAQSH-PRJMDXOYSA-N -1 1 300.156 1.409 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](C)Cc1ccc(C)cc1C ZINC000330898147 232147969 /nfs/dbraw/zinc/14/79/69/232147969.db2.gz URIGUJCHOFOSCG-NSHDSACASA-N -1 1 323.418 1.922 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)Cc1ccc(C)cc1C ZINC000330898147 232147971 /nfs/dbraw/zinc/14/79/71/232147971.db2.gz URIGUJCHOFOSCG-NSHDSACASA-N -1 1 323.418 1.922 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H](C)c2ccccn2)co1 ZINC000617074879 362944446 /nfs/dbraw/zinc/94/44/46/362944446.db2.gz APNISFAZEWVWTF-SNVBAGLBSA-N -1 1 323.374 1.116 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCO[C@@](C)(C2CC2)C1 ZINC000617394804 363087315 /nfs/dbraw/zinc/08/73/15/363087315.db2.gz LTSSHUISWGLGRG-OAHLLOKOSA-N -1 1 323.418 1.854 20 0 DDADMM CN1CC[C@@H](NC(=O)c2ccc(Br)cc2[O-])C1=O ZINC000172417559 198094291 /nfs/dbraw/zinc/09/42/91/198094291.db2.gz BCPFFXHNAGZZMZ-SECBINFHSA-N -1 1 313.151 1.115 20 0 DDADMM CCC(Nc1cc(C)ccc1OC)=C1C(=O)[N-]C(=S)NC1=O ZINC000009777127 352136726 /nfs/dbraw/zinc/13/67/26/352136726.db2.gz PALXBDQYRLJDKY-UHFFFAOYSA-N -1 1 319.386 1.611 20 0 DDADMM CCCc1cc(=O)[n-]c(S[C@H](C)C(=O)NC(=O)NC2CC2)n1 ZINC000045599389 352416412 /nfs/dbraw/zinc/41/64/12/352416412.db2.gz WDKKFRKSHKXWEY-MRVPVSSYSA-N -1 1 324.406 1.604 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCCc2ccco2)c(=O)[n-]1 ZINC000054671426 352700534 /nfs/dbraw/zinc/70/05/34/352700534.db2.gz RXVQHKCMFPWRER-UHFFFAOYSA-N -1 1 321.402 1.685 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2c[nH]ccc2=O)c(=O)[n-]1 ZINC000068338840 353105265 /nfs/dbraw/zinc/10/52/65/353105265.db2.gz QKPYPAZLLGIDFC-UHFFFAOYSA-N -1 1 320.374 1.060 20 0 DDADMM O=S(=O)([N-]CCOC[C@@H]1CCOC1)c1cccc(F)c1F ZINC000068942478 353137428 /nfs/dbraw/zinc/13/74/28/353137428.db2.gz KHKRMAXYXABUHU-SNVBAGLBSA-N -1 1 321.345 1.296 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CC[C@@H](F)C2)o1 ZINC000344906537 282867458 /nfs/dbraw/zinc/86/74/58/282867458.db2.gz KUGRRFGAMDKIKG-RKDXNWHRSA-N -1 1 305.327 1.625 20 0 DDADMM COC(=O)[C@]1(F)CCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000074829901 353333739 /nfs/dbraw/zinc/33/37/39/353333739.db2.gz BOEVSJMGWVQYCP-ZDUSSCGKSA-N -1 1 301.701 1.773 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC[C@]2(CNC(=O)C2)C1 ZINC000075259428 353356673 /nfs/dbraw/zinc/35/66/73/353356673.db2.gz IMKSCBYAFQCVEP-HNNXBMFYSA-N -1 1 308.765 1.788 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1C[C@H](C)C[C@H](C)C1 ZINC000617668577 363218564 /nfs/dbraw/zinc/21/85/64/363218564.db2.gz SZSLWBZUTGEEQL-MYJAWHEDSA-N -1 1 309.366 1.699 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCc3nnc4n3CCC4)cnc2n1 ZINC000077324068 353464933 /nfs/dbraw/zinc/46/49/33/353464933.db2.gz FPKTVWAUFMOJLW-UHFFFAOYSA-N -1 1 324.344 1.112 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCc1nnc3n1CCC3)c2=O ZINC000077324068 353464934 /nfs/dbraw/zinc/46/49/34/353464934.db2.gz FPKTVWAUFMOJLW-UHFFFAOYSA-N -1 1 324.344 1.112 20 0 DDADMM Cc1nc(-c2ccc(N3CC[C@H](C)[C@H](O)C3)nc2)[n-]c(=O)c1C ZINC000092977959 353877830 /nfs/dbraw/zinc/87/78/30/353877830.db2.gz CJQRAJZCROLYPN-IINYFYTJSA-N -1 1 314.389 1.656 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc(=O)[nH]1 ZINC000121681159 354021191 /nfs/dbraw/zinc/02/11/91/354021191.db2.gz LLTNLTQJOSEPJD-JTQLQIEISA-N -1 1 316.365 1.444 20 0 DDADMM O=C(Nc1nc(-c2ccccn2)n[nH]1)c1ccc2nncn2c1 ZINC000130146385 354090182 /nfs/dbraw/zinc/09/01/82/354090182.db2.gz ROCXDTPGPNPPAH-UHFFFAOYSA-N -1 1 306.289 1.162 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(C(=O)Nc2ccccc2)cc1 ZINC000135109115 354114640 /nfs/dbraw/zinc/11/46/40/354114640.db2.gz SGXXAWKSZBGRJN-UHFFFAOYSA-N -1 1 308.301 1.704 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(C(=O)Nc2ccccc2)cc1 ZINC000135109115 354114642 /nfs/dbraw/zinc/11/46/42/354114642.db2.gz SGXXAWKSZBGRJN-UHFFFAOYSA-N -1 1 308.301 1.704 20 0 DDADMM CCCc1n[n-]c(=S)n1CC(=O)NCc1ccnc(OC)c1 ZINC000144237904 354153267 /nfs/dbraw/zinc/15/32/67/354153267.db2.gz WUFVAEDWWCQRDG-UHFFFAOYSA-N -1 1 321.406 1.613 20 0 DDADMM O=C([O-])[C@]1(C(F)(F)F)CCN(CCn2cc(Cl)cn2)C1 ZINC000312877223 354478591 /nfs/dbraw/zinc/47/85/91/354478591.db2.gz KPGMZEPBSUFQIF-JTQLQIEISA-N -1 1 311.691 1.876 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CNC(=O)c2cccs2)n1 ZINC000585682252 354827269 /nfs/dbraw/zinc/82/72/69/354827269.db2.gz IKGHQKWEDDVLGY-UHFFFAOYSA-N -1 1 322.346 1.016 20 0 DDADMM COC[C@@H](C)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000588680595 354928210 /nfs/dbraw/zinc/92/82/10/354928210.db2.gz ZEQYBPYCUHWQGA-QMMMGPOBSA-N -1 1 309.334 1.534 20 0 DDADMM CCOc1cc(C(=O)[N-]c2nc(CC(=O)OC)cs2)on1 ZINC000590623588 355133062 /nfs/dbraw/zinc/13/30/62/355133062.db2.gz GHNNWHABWNIHSJ-UHFFFAOYSA-N -1 1 311.319 1.498 20 0 DDADMM COC(=O)[C@H](C)c1ccc(NC(=O)C2=C([O-])C(C)N=N2)cc1 ZINC000591103336 355250024 /nfs/dbraw/zinc/25/00/24/355250024.db2.gz LSINGSYKYOPGSU-MRVPVSSYSA-N -1 1 303.318 1.953 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC[C@H](C)[C@H]2C)c(=O)[n-]1 ZINC000177096321 198665499 /nfs/dbraw/zinc/66/54/99/198665499.db2.gz LYNBPOQYZVPBQQ-GXSJLCMTSA-N -1 1 309.435 1.990 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1CC[S@@](=O)c1ccccc1 ZINC000593745898 355983932 /nfs/dbraw/zinc/98/39/32/355983932.db2.gz CKGRIPPCIMPJOP-MPBGBICISA-N -1 1 313.444 1.686 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H](C)O2)c1 ZINC000594853254 356338327 /nfs/dbraw/zinc/33/83/27/356338327.db2.gz WNPHYXOEQNNWSB-ONGXEEELSA-N -1 1 317.363 1.302 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](C)C2CCC2)cc1C ZINC000595323910 356448913 /nfs/dbraw/zinc/44/89/13/356448913.db2.gz WLPCWBICAYWVNR-VIFPVBQESA-N -1 1 301.364 1.842 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@]2(C)CCO[C@H]2C)cc1C ZINC000595323039 356449521 /nfs/dbraw/zinc/44/95/21/356449521.db2.gz VOXREHITSJTQSC-TVQRCGJNSA-N -1 1 317.363 1.220 20 0 DDADMM CNC(=O)[C@@H](C)CN(C)C(=O)c1ccc2ccccc2c1[O-] ZINC000081467940 192271898 /nfs/dbraw/zinc/27/18/98/192271898.db2.gz BZHIWWVAIKKLFU-NSHDSACASA-N -1 1 300.358 2.000 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1cccnc1 ZINC000081523380 192280437 /nfs/dbraw/zinc/28/04/37/192280437.db2.gz MGWJVVNOSNCNPS-UHFFFAOYSA-N -1 1 314.389 1.743 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000618269689 363554469 /nfs/dbraw/zinc/55/44/69/363554469.db2.gz YDXUNENQEQSTML-IWIIMEHWSA-N -1 1 321.421 1.877 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)Cc2ccccc2C)nc1 ZINC000358790912 299256290 /nfs/dbraw/zinc/25/62/90/299256290.db2.gz MUPBWWYZVNGRCF-UHFFFAOYSA-N -1 1 319.386 1.692 20 0 DDADMM CCOC(=O)[C@]1(C)CCC[C@@H]1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000598471586 357596574 /nfs/dbraw/zinc/59/65/74/357596574.db2.gz IBCLCUORMLSRAE-SUMWQHHRSA-N -1 1 319.357 1.813 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)[C@H]1COC(C)(C)C1 ZINC000599210637 357852212 /nfs/dbraw/zinc/85/22/12/357852212.db2.gz WNHLXHRALXUVBG-GHMZBOCLSA-N -1 1 307.412 1.061 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccc(OCc2cccnc2)c1 ZINC000599334010 357898017 /nfs/dbraw/zinc/89/80/17/357898017.db2.gz FDFODTFOKHCPLI-UHFFFAOYSA-N -1 1 310.317 1.104 20 0 DDADMM O=C(COc1ccccc1Cc1ccccc1)NCc1nn[n-]n1 ZINC000599334420 357898039 /nfs/dbraw/zinc/89/80/39/357898039.db2.gz LVOKDZJDNSIJAA-UHFFFAOYSA-N -1 1 323.356 1.486 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)[C@H]1COC(C)(C)C1 ZINC000599338245 357900090 /nfs/dbraw/zinc/90/00/90/357900090.db2.gz AHUDYBCAKIDRNN-MNOVXSKESA-N -1 1 321.439 1.451 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCCC23CC3)c1 ZINC000599521946 357963247 /nfs/dbraw/zinc/96/32/47/357963247.db2.gz WNSPJVBYSYVANQ-LLVKDONJSA-N -1 1 313.375 1.925 20 0 DDADMM CCC(F)(F)C[N-]S(=O)(=O)c1cc(C(=O)OC)cs1 ZINC000599431697 357932532 /nfs/dbraw/zinc/93/25/32/357932532.db2.gz OGKLXPYRVFMKNL-UHFFFAOYSA-N -1 1 313.347 1.858 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2[C@@H](C)[C@H](C)O[C@H]2C)o1 ZINC000599460363 357946412 /nfs/dbraw/zinc/94/64/12/357946412.db2.gz XCWWCQZOGFXTKV-PEFMBERDSA-N -1 1 317.363 1.156 20 0 DDADMM CCOC[C@@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)C(C)C ZINC000276676833 213039625 /nfs/dbraw/zinc/03/96/25/213039625.db2.gz LDVVHBYCKJTWNK-LLVKDONJSA-N -1 1 319.379 1.406 20 0 DDADMM C[C@@H](C(=O)NCc1nn[n-]n1)c1c(Cl)cccc1Cl ZINC000600495863 358240407 /nfs/dbraw/zinc/24/04/07/358240407.db2.gz OGLNEZGKZRLOIF-ZCFIWIBFSA-N -1 1 300.149 1.926 20 0 DDADMM C[C@@H](Oc1cc(Cl)cc(Cl)c1)C(=O)NCc1nn[n-]n1 ZINC000600496552 358240777 /nfs/dbraw/zinc/24/07/77/358240777.db2.gz SULNBRBNPTVGQZ-ZCFIWIBFSA-N -1 1 316.148 1.590 20 0 DDADMM O=C(CCOc1ccc(Cl)cc1Cl)NCc1nn[n-]n1 ZINC000600496342 358241073 /nfs/dbraw/zinc/24/10/73/358241073.db2.gz DMJIHQHCUNRWIH-UHFFFAOYSA-N -1 1 316.148 1.592 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000600676884 358280317 /nfs/dbraw/zinc/28/03/17/358280317.db2.gz ZYUROQQKTMUCQD-NWDGAFQWSA-N -1 1 301.302 1.326 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2([S@](C)=O)CCC2)sn1 ZINC000601410601 358540906 /nfs/dbraw/zinc/54/09/06/358540906.db2.gz HQTBTWCNAHTCTN-KRWDZBQOSA-N -1 1 308.450 1.031 20 0 DDADMM CCOC(=O)N1CCC(NC(=O)c2ccc([O-])cc2F)CC1 ZINC000181071721 199248895 /nfs/dbraw/zinc/24/88/95/199248895.db2.gz ZXXKOBONWCGXFL-UHFFFAOYSA-N -1 1 310.325 1.882 20 0 DDADMM O=C([O-])CSCC(=O)N1CCN(C2CCCCC2)CC1 ZINC000565596715 304078860 /nfs/dbraw/zinc/07/88/60/304078860.db2.gz KBIGOMNEIAMLFQ-UHFFFAOYSA-N -1 1 300.424 1.281 20 0 DDADMM COC(=O)COCCNC(=O)N=c1[n-]sc2ccccc21 ZINC000603050483 359376885 /nfs/dbraw/zinc/37/68/85/359376885.db2.gz IQMAIOKEYLXFDL-UHFFFAOYSA-N -1 1 309.347 1.029 20 0 DDADMM CC(C)COCCC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000618488253 363650654 /nfs/dbraw/zinc/65/06/54/363650654.db2.gz OPGUSYVFFGVDIO-CQSZACIVSA-N -1 1 303.366 1.468 20 0 DDADMM COCc1nc(=N[C@@H]2CCC[C@@H]3CN(C(C)=O)C[C@H]32)s[n-]1 ZINC000565679628 304083740 /nfs/dbraw/zinc/08/37/40/304083740.db2.gz XZSRLIQJRSMOLD-IJLUTSLNSA-N -1 1 310.423 1.165 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)[N-]OC[C@H]3CCOC3)CC2=O)cc1 ZINC000187859296 200167742 /nfs/dbraw/zinc/16/77/42/200167742.db2.gz XXEDGDLANPESKF-UONOGXRCSA-N -1 1 318.373 1.432 20 0 DDADMM CC(C)(C)S(=O)(=O)CC(=O)Nc1nc(Cl)ccc1[O-] ZINC000188368553 200235180 /nfs/dbraw/zinc/23/51/80/200235180.db2.gz NPRPFRYQUHGWAM-UHFFFAOYSA-N -1 1 306.771 1.592 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2ccccc2n1 ZINC000605381419 359847957 /nfs/dbraw/zinc/84/79/57/359847957.db2.gz OADWHYHHLBWMDF-UHFFFAOYSA-N -1 1 308.345 1.947 20 0 DDADMM O=C(NC[C@@H](O)[C@H]1CCOC1)c1cc2ccccc2cc1[O-] ZINC000605891481 359890593 /nfs/dbraw/zinc/89/05/93/359890593.db2.gz JGDXHYSWATXRJV-XJKSGUPXSA-N -1 1 301.342 1.673 20 0 DDADMM NS(=O)(=O)Cc1ccc(C(=O)Nc2c([O-])cccc2F)cc1 ZINC000608365791 360167392 /nfs/dbraw/zinc/16/73/92/360167392.db2.gz BUHLMUQTNMPQLJ-UHFFFAOYSA-N -1 1 324.333 1.572 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2cc(C(N)=O)sc2C)on1 ZINC000611589606 360771193 /nfs/dbraw/zinc/77/11/93/360771193.db2.gz LSEDWPKXLIQRJK-UHFFFAOYSA-N -1 1 309.303 1.182 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCn2cnnc2C1 ZINC000271911917 209319437 /nfs/dbraw/zinc/31/94/37/209319437.db2.gz ZIDATLMMRXLAAE-UHFFFAOYSA-N -1 1 312.251 1.659 20 0 DDADMM CC1(C)CCC[C@](O)(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1 ZINC000612817311 361155381 /nfs/dbraw/zinc/15/53/81/361155381.db2.gz PXALSTUDDLXGTE-IAQYHMDHSA-N -1 1 307.398 1.237 20 0 DDADMM CCn1cc(CN2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)cn1 ZINC000292820411 223260004 /nfs/dbraw/zinc/26/00/04/223260004.db2.gz PUFZAPICBVQQHQ-ZYHUDNBSSA-N -1 1 318.343 1.934 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cnn(Cc2ccncc2)c1 ZINC000193322600 201029019 /nfs/dbraw/zinc/02/90/19/201029019.db2.gz AWEJVUODXOPYJF-NSHDSACASA-N -1 1 310.379 1.103 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N(C)Cc2ccccc2)n1 ZINC000193540837 201072117 /nfs/dbraw/zinc/07/21/17/201072117.db2.gz ATBWWABHZHWRNM-UHFFFAOYSA-N -1 1 319.386 1.947 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc(-c2cnco2)c1)c1nn[n-]n1 ZINC000613476762 361435472 /nfs/dbraw/zinc/43/54/72/361435472.db2.gz ZYTPCFRYDLIWEY-SNVBAGLBSA-N -1 1 312.333 1.730 20 0 DDADMM O=C(N=c1nc(C2CCC2)[nH][n-]1)c1nc2cnccc2s1 ZINC000613500415 361444188 /nfs/dbraw/zinc/44/41/88/361444188.db2.gz ZCXGFJXVQZRDNL-UHFFFAOYSA-N -1 1 300.347 1.751 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H](C2CCC2)C1 ZINC000620206935 364334526 /nfs/dbraw/zinc/33/45/26/364334526.db2.gz TXWDNIGFEVNBPA-SNVBAGLBSA-N -1 1 307.350 1.549 20 0 DDADMM Cc1ccc(COCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000621928654 365119726 /nfs/dbraw/zinc/11/97/26/365119726.db2.gz JOKKVAHSOYIAOM-CQSZACIVSA-N -1 1 315.377 1.431 20 0 DDADMM CCO[C@H]1C[C@H]1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000091159927 193007312 /nfs/dbraw/zinc/00/73/12/193007312.db2.gz QRHNDPKWFVSXOM-SKDRFNHKSA-N -1 1 316.354 1.561 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)CCOC(C)C)cc1 ZINC000091946153 193129446 /nfs/dbraw/zinc/12/94/46/193129446.db2.gz GUNNYKQCDCYZBA-UHFFFAOYSA-N -1 1 301.364 1.640 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622609749 365450097 /nfs/dbraw/zinc/45/00/97/365450097.db2.gz HHWJRIDBGWCMEI-JSGCOSHPSA-N -1 1 317.393 1.857 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccc(Cl)o1 ZINC000622612054 365452578 /nfs/dbraw/zinc/45/25/78/365452578.db2.gz PNRAVBBNSACCOK-NSHDSACASA-N -1 1 303.709 1.966 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC000625706666 367100503 /nfs/dbraw/zinc/10/05/03/367100503.db2.gz JMSJHBHOQHMLPD-BFHYXJOUSA-N -1 1 309.373 1.671 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)C[C@@H](C)c1ccccc1 ZINC000349725409 284031367 /nfs/dbraw/zinc/03/13/67/284031367.db2.gz XEWRBDQHNHMDDA-SNVBAGLBSA-N -1 1 309.391 1.820 20 0 DDADMM O=C([O-])[C@]1(NC(=O)c2cc(F)cc3nc[nH]c32)CCSC1 ZINC000262154599 203213538 /nfs/dbraw/zinc/21/35/38/203213538.db2.gz MEXJUSGTAVDXMT-ZDUSSCGKSA-N -1 1 309.322 1.392 20 0 DDADMM NC(=O)NC[C@@H]1CCCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000094089995 193344784 /nfs/dbraw/zinc/34/47/84/193344784.db2.gz HTILKJDXOLJCAP-JTQLQIEISA-N -1 1 311.769 1.709 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)N[C@H](C)Cc2cc(C)[nH]n2)s[n-]1 ZINC000350639976 284231846 /nfs/dbraw/zinc/23/18/46/284231846.db2.gz QRSSISZJNLXJMT-APPZFPTMSA-N -1 1 324.410 1.452 20 0 DDADMM COCCN1CN(C(=O)c2ccc3ccccc3c2[O-])CC1=O ZINC000282533491 217068696 /nfs/dbraw/zinc/06/86/96/217068696.db2.gz VGIBNJOVVMRLOF-UHFFFAOYSA-N -1 1 314.341 1.434 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc3c(c2)OCO3)c(C)n1 ZINC000351509049 284353623 /nfs/dbraw/zinc/35/36/23/284353623.db2.gz WZMMBDCLFLZERL-UHFFFAOYSA-N -1 1 322.342 1.928 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccncc2Br)o1 ZINC000351508624 284353765 /nfs/dbraw/zinc/35/37/65/284353765.db2.gz FRQCCGHALROMQP-UHFFFAOYSA-N -1 1 317.164 1.968 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H](C)OC[C@@H]2CCCO2)c1 ZINC000282817295 217270961 /nfs/dbraw/zinc/27/09/61/217270961.db2.gz PFQHRCRUXQQYIQ-JQWIXIFHSA-N -1 1 323.345 1.701 20 0 DDADMM O=C(C(=O)N1CCCN(CC(F)F)CC1)c1ccc([O-])cc1 ZINC000288373127 220114949 /nfs/dbraw/zinc/11/49/49/220114949.db2.gz HWKFHSCFUPSXCA-UHFFFAOYSA-N -1 1 312.316 1.374 20 0 DDADMM C[C@@H](CNC(=O)OC(C)(C)C)N(C)C(=O)c1cncc([O-])c1 ZINC000267560619 206144936 /nfs/dbraw/zinc/14/49/36/206144936.db2.gz GNRRTAUXIAFNAJ-JTQLQIEISA-N -1 1 309.366 1.772 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000042015781 183229245 /nfs/dbraw/zinc/22/92/45/183229245.db2.gz FEJUPVXHAXWLPV-SNVBAGLBSA-N -1 1 300.380 1.592 20 0 DDADMM CC[C@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000338212045 249840140 /nfs/dbraw/zinc/84/01/40/249840140.db2.gz BQJZJTXFJSUWBX-TVQRCGJNSA-N -1 1 319.379 1.142 20 0 DDADMM CCCCN(CC)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000104826570 194045513 /nfs/dbraw/zinc/04/55/13/194045513.db2.gz ODFFLXMAJQKUMZ-UHFFFAOYSA-N -1 1 304.394 1.831 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1coc(C2CCCC2)n1 ZINC000338912841 250192057 /nfs/dbraw/zinc/19/20/57/250192057.db2.gz MYFNYBCYRMSWGZ-UHFFFAOYSA-N -1 1 317.349 1.398 20 0 DDADMM CCNc1ncc(C(=O)N=c2nc([C@H](C)OC)[n-]s2)s1 ZINC000338926861 250200240 /nfs/dbraw/zinc/20/02/40/250200240.db2.gz SZPNHUCVCJEZMH-LURJTMIESA-N -1 1 313.408 1.230 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@H](CO)C1)c1sccc1F ZINC000338989171 250236925 /nfs/dbraw/zinc/23/69/25/250236925.db2.gz HAUOUPJUUUOCOD-UWVGGRQHSA-N -1 1 307.412 1.964 20 0 DDADMM C[C@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1cccnc1 ZINC000339188774 250335632 /nfs/dbraw/zinc/33/56/32/250335632.db2.gz KSDQXTWPBRJCHM-JTQLQIEISA-N -1 1 302.334 1.680 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)NCCc1nnc(-c2ccc(Cl)cc2)[nH]1 ZINC000567470604 304221560 /nfs/dbraw/zinc/22/15/60/304221560.db2.gz HTDBAKHRAHLVPU-QMMMGPOBSA-N -1 1 322.752 1.505 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OC ZINC000340961803 251305495 /nfs/dbraw/zinc/30/54/95/251305495.db2.gz SJKQDMDUBZSAFC-LLVKDONJSA-N -1 1 304.368 1.134 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1C[C@H](O)C[C@@H]1C)c2=O ZINC000412285664 224004854 /nfs/dbraw/zinc/00/48/54/224004854.db2.gz UQSVHYCOEJVCAO-VHSXEESVSA-N -1 1 302.330 1.132 20 0 DDADMM O=S(=O)([N-]c1ccc(-c2nn[nH]n2)cc1)c1ccc(F)cc1 ZINC000074803985 285044638 /nfs/dbraw/zinc/04/46/38/285044638.db2.gz CNCGRLGAMPIZDV-UHFFFAOYSA-N -1 1 319.321 1.807 20 0 DDADMM COC(=O)[C@@H]1CSCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000412955970 533030976 /nfs/dbraw/zinc/03/09/76/533030976.db2.gz SLYLCSPDRXVWOZ-VIFPVBQESA-N -1 1 313.350 1.510 20 0 DDADMM COc1ccc(O[C@H](C)CN=c2[n-]c(C(N)=O)cs2)cc1 ZINC000432311714 533033149 /nfs/dbraw/zinc/03/31/49/533033149.db2.gz LXQZUTFMEQXNCH-SECBINFHSA-N -1 1 307.375 1.552 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H](C)C(F)(F)F)cs1 ZINC000288626468 220301762 /nfs/dbraw/zinc/30/17/62/220301762.db2.gz NGJLZMJMGYFHMF-YFKPBYRVSA-N -1 1 317.310 1.764 20 0 DDADMM COCCC(=O)N1CCC(NC(=O)c2cc(F)ccc2[O-])CC1 ZINC000158100693 197243959 /nfs/dbraw/zinc/24/39/59/197243959.db2.gz QPOUWESMWRKZND-UHFFFAOYSA-N -1 1 324.352 1.289 20 0 DDADMM COC(=O)C(C)(C)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000157996540 197234399 /nfs/dbraw/zinc/23/43/99/197234399.db2.gz CSOOTFSHLRCNQO-UHFFFAOYSA-N -1 1 323.393 1.305 20 0 DDADMM O=C([O-])c1coc(=NCC2(CO)CC(c3ccccc3)C2)[nH]1 ZINC000567985087 304259014 /nfs/dbraw/zinc/25/90/14/304259014.db2.gz KCMLNSOZNXRQRA-UHFFFAOYSA-N -1 1 302.330 1.763 20 0 DDADMM Cn1ncc(C(F)(F)F)c1CN=c1cc[nH]c(C(=O)[O-])c1 ZINC000629768472 422806104 /nfs/dbraw/zinc/80/61/04/422806104.db2.gz WIZZVIMTZCORQA-UHFFFAOYSA-N -1 1 300.240 1.566 20 0 DDADMM CN(C)C(=O)c1ccc(=NCCCNc2ccccc2F)[n-]n1 ZINC000413222370 224156813 /nfs/dbraw/zinc/15/68/13/224156813.db2.gz BJUPJWKJGZHINI-UHFFFAOYSA-N -1 1 317.368 1.654 20 0 DDADMM C[C@@H]1CC(CCN=c2ccc(C(=O)N(C)C)n[n-]2)C[C@@H](C)O1 ZINC000413286905 224169713 /nfs/dbraw/zinc/16/97/13/224169713.db2.gz DRCPWQUISUKZEF-VXGBXAGGSA-N -1 1 306.410 1.606 20 0 DDADMM Cc1cn(C)nc1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000413467047 224200656 /nfs/dbraw/zinc/20/06/56/224200656.db2.gz YWDIPORBZBTILI-UHFFFAOYSA-N -1 1 314.349 1.024 20 0 DDADMM CCn1cnc(S(=O)(=O)[N-]CC(F)(F)c2ccccc2)c1 ZINC000352727581 285258028 /nfs/dbraw/zinc/25/80/28/285258028.db2.gz ROZUXWBRJRXZFE-UHFFFAOYSA-N -1 1 315.345 1.973 20 0 DDADMM CC[C@H](C)N[C@@H](CNC(=O)N=c1[n-]ncs1)c1ccco1 ZINC000353350647 285676471 /nfs/dbraw/zinc/67/64/71/285676471.db2.gz IHXOZPQQSWVJEX-UWVGGRQHSA-N -1 1 309.395 1.804 20 0 DDADMM O=C(C[C@H]1Sc2ccc(Cl)cc2NC1=O)Nc1ncn[n-]1 ZINC000026259721 406903808 /nfs/dbraw/zinc/90/38/08/406903808.db2.gz XPOVMMWKBCAVQW-SECBINFHSA-N -1 1 323.765 1.322 20 0 DDADMM CNC(=O)c1ccc(C[N-]S(=O)(=O)c2c(C)noc2C)cc1 ZINC000028047812 406914990 /nfs/dbraw/zinc/91/49/90/406914990.db2.gz HQWWCJAOPSFCGG-UHFFFAOYSA-N -1 1 323.374 1.130 20 0 DDADMM CCn1cc([N-]S(=O)(=O)CCOc2ccc(F)cc2)cn1 ZINC000078002439 407016087 /nfs/dbraw/zinc/01/60/87/407016087.db2.gz JNORNRPGJQXWFI-UHFFFAOYSA-N -1 1 313.354 1.863 20 0 DDADMM O=C1OCCN1CCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000077392671 406988909 /nfs/dbraw/zinc/98/89/09/406988909.db2.gz WUPXYUWWOFMDLV-UHFFFAOYSA-N -1 1 320.317 1.085 20 0 DDADMM CN(C)C(=O)c1cc(NC(=O)c2cc(F)ccc2[O-])ccn1 ZINC000080028995 407069270 /nfs/dbraw/zinc/06/92/70/407069270.db2.gz BGSCXIMLUGTRTE-UHFFFAOYSA-N -1 1 303.293 1.880 20 0 DDADMM CC[C@@H](NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O)C(=O)OC ZINC000084372670 407088547 /nfs/dbraw/zinc/08/85/47/407088547.db2.gz CMFARNINQNNGMU-SECBINFHSA-N -1 1 309.366 1.057 20 0 DDADMM COc1ccccc1CCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000095976959 407219669 /nfs/dbraw/zinc/21/96/69/407219669.db2.gz KTMZQOCVWALSKX-CYBMUJFWSA-N -1 1 315.377 1.547 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cn(-c2cccc(C(F)(F)F)c2)nn1 ZINC000123203693 407322537 /nfs/dbraw/zinc/32/25/37/407322537.db2.gz CRHRTZUKJIWVES-UHFFFAOYSA-N -1 1 324.226 1.052 20 0 DDADMM CCOC(=O)C(C)(C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000066937981 407263494 /nfs/dbraw/zinc/26/34/94/407263494.db2.gz DYQOOHWHHIHSKO-UHFFFAOYSA-N -1 1 307.318 1.585 20 0 DDADMM NC(=O)NCc1ccc([N-]S(=O)(=O)c2cccc(F)c2)cc1 ZINC000067124186 407267197 /nfs/dbraw/zinc/26/71/97/407267197.db2.gz VHJOITDZVOZRON-UHFFFAOYSA-N -1 1 323.349 1.795 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)Cc2c(F)cccc2F)o1 ZINC000122890087 407313798 /nfs/dbraw/zinc/31/37/98/407313798.db2.gz YQZKMZCKMAAAFD-UHFFFAOYSA-N -1 1 302.302 1.881 20 0 DDADMM C[C@@H](Cc1ccccc1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000112114837 407421955 /nfs/dbraw/zinc/42/19/55/407421955.db2.gz PPKKQBDPMGDTIX-VIFPVBQESA-N -1 1 308.363 1.026 20 0 DDADMM C[C@@H](Cc1ccccc1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000112114837 407421956 /nfs/dbraw/zinc/42/19/56/407421956.db2.gz PPKKQBDPMGDTIX-VIFPVBQESA-N -1 1 308.363 1.026 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCN(CCO)CC1 ZINC000112552151 407432016 /nfs/dbraw/zinc/43/20/16/407432016.db2.gz NOMNWUDKAKSTQD-UHFFFAOYSA-N -1 1 300.358 1.296 20 0 DDADMM CCc1ccsc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000113421806 407503608 /nfs/dbraw/zinc/50/36/08/407503608.db2.gz ZDZLTNLVCJHOPO-UHFFFAOYSA-N -1 1 300.365 1.084 20 0 DDADMM CCc1ccsc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000113421806 407503614 /nfs/dbraw/zinc/50/36/14/407503614.db2.gz ZDZLTNLVCJHOPO-UHFFFAOYSA-N -1 1 300.365 1.084 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1=C(C)OCCC1 ZINC000151840354 407510299 /nfs/dbraw/zinc/51/02/99/407510299.db2.gz VNHOGGOERONPFC-UHFFFAOYSA-N -1 1 316.361 1.340 20 0 DDADMM CC(C)c1ccc(N2CC[C@H](C(=O)Nc3nnn[n-]3)C2=O)cc1 ZINC000265967849 407529020 /nfs/dbraw/zinc/52/90/20/407529020.db2.gz UBSJOQSVLMVYLV-GFCCVEGCSA-N -1 1 314.349 1.315 20 0 DDADMM CC(C)c1ccc(N2CC[C@H](C(=O)Nc3nn[n-]n3)C2=O)cc1 ZINC000265967849 407529025 /nfs/dbraw/zinc/52/90/25/407529025.db2.gz UBSJOQSVLMVYLV-GFCCVEGCSA-N -1 1 314.349 1.315 20 0 DDADMM COCc1cccc(S(=O)(=O)[N-]c2cccc(C(N)=O)c2)c1 ZINC000171331293 407660451 /nfs/dbraw/zinc/66/04/51/407660451.db2.gz MBXLBLBXWSHEOK-UHFFFAOYSA-N -1 1 320.370 1.733 20 0 DDADMM Cn1cnnc1[C@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000271331767 407638154 /nfs/dbraw/zinc/63/81/54/407638154.db2.gz ZTJOTAXGTHLENW-JTQLQIEISA-N -1 1 304.325 1.680 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)c1ccccc1O ZINC000115163643 407655698 /nfs/dbraw/zinc/65/56/98/407655698.db2.gz MGHJTOHPYZMCQS-UHFFFAOYSA-N -1 1 312.329 1.275 20 0 DDADMM CCc1ccccc1CNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000153035250 407742364 /nfs/dbraw/zinc/74/23/64/407742364.db2.gz RIZFXTRGCPVRJZ-UHFFFAOYSA-N -1 1 322.386 1.680 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@]1(C)CCCO1 ZINC000153037012 407742638 /nfs/dbraw/zinc/74/26/38/407742638.db2.gz HDRXXZWCXXSVHA-MRXNPFEDSA-N -1 1 307.394 1.675 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@]3(C)CCCO3)cnc2n1 ZINC000153113709 407757546 /nfs/dbraw/zinc/75/75/46/407757546.db2.gz SSOMAINCXMODOW-INIZCTEOSA-N -1 1 301.346 1.943 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@]1(C)CCCO1)c2=O ZINC000153113709 407757558 /nfs/dbraw/zinc/75/75/58/407757558.db2.gz SSOMAINCXMODOW-INIZCTEOSA-N -1 1 301.346 1.943 20 0 DDADMM O=C(NC1CC1)c1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)cc1 ZINC000171806506 407773597 /nfs/dbraw/zinc/77/35/97/407773597.db2.gz JVXWKSYXQHXGMT-CQSZACIVSA-N -1 1 324.402 1.500 20 0 DDADMM CN(C(=O)c1c[n-]c2c(cnn2C)c1=O)C(C1CC1)C1CC1 ZINC000179464263 407792790 /nfs/dbraw/zinc/79/27/90/407792790.db2.gz RGCXIYNJGXFJTK-UHFFFAOYSA-N -1 1 300.362 1.935 20 0 DDADMM CNC(=O)[C@@H](C)CN(C)C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000267243541 407803974 /nfs/dbraw/zinc/80/39/74/407803974.db2.gz SIAQHELXODDTTI-VIFPVBQESA-N -1 1 322.409 1.242 20 0 DDADMM CC(=O)NC[C@@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CCO1 ZINC000172233446 407813712 /nfs/dbraw/zinc/81/37/12/407813712.db2.gz KOCIHYRKXSWENU-LLVKDONJSA-N -1 1 312.753 1.023 20 0 DDADMM Cn1c(CCNC(=O)c2ncccc2[O-])nc2c1cccc2F ZINC000153794643 407905592 /nfs/dbraw/zinc/90/55/92/407905592.db2.gz KCJLFXFZLCQTRT-UHFFFAOYSA-N -1 1 314.320 1.786 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CC[C@@H](OCc2ccncc2)C1 ZINC000188016909 407913325 /nfs/dbraw/zinc/91/33/25/407913325.db2.gz OJSXXIJZVAEPRF-CYBMUJFWSA-N -1 1 323.356 1.784 20 0 DDADMM COC[C@@H](C)N(C)c1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000174690973 407979884 /nfs/dbraw/zinc/97/98/84/407979884.db2.gz IXLULSVZHDOZEW-SNVBAGLBSA-N -1 1 302.378 1.920 20 0 DDADMM CCc1ccc(O)c(C(=O)N(C)CCC[NH+]2CCN(C)CC2)c1 ZINC000174342714 407934672 /nfs/dbraw/zinc/93/46/72/407934672.db2.gz NVHAIDBNDDIMAF-UHFFFAOYSA-N -1 1 319.449 1.664 20 0 DDADMM Cc1n[nH]cc1CCCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000073656165 161602464 /nfs/dbraw/zinc/60/24/64/161602464.db2.gz JGIUJLIJMFPQHV-UHFFFAOYSA-N -1 1 311.345 1.317 20 0 DDADMM CCOC(=O)c1cc(NC(=O)CCOc2ccccc2)n[nH]1 ZINC000181755676 408034621 /nfs/dbraw/zinc/03/46/21/408034621.db2.gz AWFVKIKUFULFFF-UHFFFAOYSA-N -1 1 303.318 1.994 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3CC[C@H](C(=O)[O-])C3)[nH]c2c1 ZINC000263149402 408040260 /nfs/dbraw/zinc/04/02/60/408040260.db2.gz AWULICAAKMUUQF-JTQLQIEISA-N -1 1 302.334 1.487 20 0 DDADMM COC[C@@](C)(NC(=O)c1ccc(Cl)cc1[O-])C(=O)OC ZINC000268304648 407993040 /nfs/dbraw/zinc/99/30/40/407993040.db2.gz XSZCAVQNNIKKMY-CYBMUJFWSA-N -1 1 301.726 1.354 20 0 DDADMM O=C(NCc1cccnc1OCC(F)F)c1ncccc1[O-] ZINC000135245892 407995247 /nfs/dbraw/zinc/99/52/47/407995247.db2.gz KXTKHYUHVASVRU-UHFFFAOYSA-N -1 1 309.272 1.756 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCc1cscn1)c2=O ZINC000119234489 408018022 /nfs/dbraw/zinc/01/80/22/408018022.db2.gz PUFYDQQNXQTNPE-UHFFFAOYSA-N -1 1 303.347 1.103 20 0 DDADMM C[C@H]1CN=C(N2CCN(C(=O)c3cc(F)ccc3[O-])CC2)S1 ZINC000175253585 408086876 /nfs/dbraw/zinc/08/68/76/408086876.db2.gz REJDRGARRHWZLM-JTQLQIEISA-N -1 1 323.393 1.780 20 0 DDADMM Cc1cc([C@@H]2CCCN(C(=O)[C@@H]3[C@H](C(=O)[O-])C3(C)C)C2)n[nH]1 ZINC000263557821 408172442 /nfs/dbraw/zinc/17/24/42/408172442.db2.gz PKHJBFASEOWIKN-KGYLQXTDSA-N -1 1 305.378 1.781 20 0 DDADMM O=C(c1cc(-c2ccco2)on1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155623753 408190214 /nfs/dbraw/zinc/19/02/14/408190214.db2.gz YJSQQFCGGHMTPH-SECBINFHSA-N -1 1 314.305 1.468 20 0 DDADMM CCC[C@@H]1CCCCN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000183026691 408308152 /nfs/dbraw/zinc/30/81/52/408308152.db2.gz SGIOVNLFAJGLBW-LLVKDONJSA-N -1 1 314.407 1.983 20 0 DDADMM CO[C@H](C)CCNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000263907360 408309816 /nfs/dbraw/zinc/30/98/16/408309816.db2.gz RFYVCMPQUMSXIW-SECBINFHSA-N -1 1 313.423 1.686 20 0 DDADMM O=C(CCCc1nc(-c2cccs2)no1)Nc1nnn[n-]1 ZINC000263992873 408338078 /nfs/dbraw/zinc/33/80/78/408338078.db2.gz HAFIQZXRVMZBBG-UHFFFAOYSA-N -1 1 305.323 1.273 20 0 DDADMM O=C(CCCc1nc(-c2cccs2)no1)Nc1nn[n-]n1 ZINC000263992873 408338081 /nfs/dbraw/zinc/33/80/81/408338081.db2.gz HAFIQZXRVMZBBG-UHFFFAOYSA-N -1 1 305.323 1.273 20 0 DDADMM Cc1ccc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)cc1 ZINC000172601148 162286045 /nfs/dbraw/zinc/28/60/45/162286045.db2.gz JALHYNZOJLKOEF-UHFFFAOYSA-N -1 1 310.313 1.893 20 0 DDADMM CO[C@H](C)C[N-]S(=O)(=O)c1sccc1Br ZINC000191217169 408388984 /nfs/dbraw/zinc/38/89/84/408388984.db2.gz WOFNXILRHAUZPN-ZCFIWIBFSA-N -1 1 314.226 1.824 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1cc(CN(C)C)ccn1 ZINC000274397369 408390424 /nfs/dbraw/zinc/39/04/24/408390424.db2.gz CSPWTSDRIIKXRU-UHFFFAOYSA-N -1 1 309.395 1.160 20 0 DDADMM CCOc1ccccc1OCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183401517 408401289 /nfs/dbraw/zinc/40/12/89/408401289.db2.gz KTTBAJGQXGZPPB-NSHDSACASA-N -1 1 319.365 1.239 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)Oc1cccc(Cl)c1)c1nn[n-]n1 ZINC000183402627 408402133 /nfs/dbraw/zinc/40/21/33/408402133.db2.gz OXBPGBLCFYQQBC-UWVGGRQHSA-N -1 1 323.784 1.883 20 0 DDADMM Cc1ccc(-c2cc(C(=O)N(C)C[C@H](C)c3nn[n-]n3)[nH]n2)o1 ZINC000183428412 408406857 /nfs/dbraw/zinc/40/68/57/408406857.db2.gz RBZXOBLZEDOVAR-QMMMGPOBSA-N -1 1 315.337 1.367 20 0 DDADMM C[C@@H](CN(C)C(=O)c1c(Cl)nc2ccccn21)c1nn[n-]n1 ZINC000183435326 408410227 /nfs/dbraw/zinc/41/02/27/408410227.db2.gz PBMAROOJSNTPDV-QMMMGPOBSA-N -1 1 319.756 1.377 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc(OC(F)F)c1)c1nn[n-]n1 ZINC000183446846 408414144 /nfs/dbraw/zinc/41/41/44/408414144.db2.gz QANYPNLNOGKWAR-MRVPVSSYSA-N -1 1 311.292 1.677 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)NC(=O)c1cccs1)c1nn[n-]n1 ZINC000176772601 408440700 /nfs/dbraw/zinc/44/07/00/408440700.db2.gz PXGAPYPDLAWHGI-RKDXNWHRSA-N -1 1 322.394 1.037 20 0 DDADMM C[NH+](C)[C@H](CNc1nc2n[nH]cc2c(=O)[nH]1)c1ccc(F)cc1 ZINC000274707396 408502696 /nfs/dbraw/zinc/50/26/96/408502696.db2.gz WUFZXGXNBZZBDA-GFCCVEGCSA-N -1 1 316.340 1.912 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]c2cc(C3CC3)n[nH]2)c1 ZINC000269912895 408466701 /nfs/dbraw/zinc/46/67/01/408466701.db2.gz KHIJBHJBPSZLAI-UHFFFAOYSA-N -1 1 311.319 1.468 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC[C@H](C)C2)co1 ZINC000184380111 408602428 /nfs/dbraw/zinc/60/24/28/408602428.db2.gz MVLVCXWVFIAWLH-JTQLQIEISA-N -1 1 300.380 1.450 20 0 DDADMM COc1ccccc1C(C)(C)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000274805435 408537970 /nfs/dbraw/zinc/53/79/70/408537970.db2.gz UGQAPAMURFATNS-NSHDSACASA-N -1 1 317.393 1.748 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(-c2ccccc2)on1)c1nn[n-]n1 ZINC000274808782 408540200 /nfs/dbraw/zinc/54/02/00/408540200.db2.gz UZPHHRPNGDHUSN-SNVBAGLBSA-N -1 1 312.333 1.730 20 0 DDADMM Cc1nnc2n1C[C@H](CNC(=O)c1ccc(Cl)cc1[O-])CC2 ZINC000265420653 408645471 /nfs/dbraw/zinc/64/54/71/408645471.db2.gz JUOJXMPINHEUBS-JTQLQIEISA-N -1 1 320.780 1.938 20 0 DDADMM CCNC(=O)c1ccc([N-]S(=O)(=O)C[C@H](C)OC)cc1 ZINC000193314055 408710938 /nfs/dbraw/zinc/71/09/38/408710938.db2.gz LQUWYDANRBLCQM-JTQLQIEISA-N -1 1 300.380 1.213 20 0 DDADMM CCO[N-]C(=O)C(=O)Nc1ccccc1CN1CCSCC1 ZINC000275932610 408723915 /nfs/dbraw/zinc/72/39/15/408723915.db2.gz BFPYBSAJDFYJCP-UHFFFAOYSA-N -1 1 323.418 1.242 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H](C)CC(C)C ZINC000184791299 408691557 /nfs/dbraw/zinc/69/15/57/408691557.db2.gz UOWYPMUXSZSEIS-JTQLQIEISA-N -1 1 304.394 1.938 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC(C)(C)CCO)cnc2n1 ZINC000177754207 408700702 /nfs/dbraw/zinc/70/07/02/408700702.db2.gz JJUMOWSOVFWROF-UHFFFAOYSA-N -1 1 303.362 1.782 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC(C)(C)CCO)c2=O ZINC000177754207 408700704 /nfs/dbraw/zinc/70/07/04/408700704.db2.gz JJUMOWSOVFWROF-UHFFFAOYSA-N -1 1 303.362 1.782 20 0 DDADMM CCC[C@@H](NCC(=O)NCc1cccc(OCC)c1)C(=O)[O-] ZINC000185061381 408747808 /nfs/dbraw/zinc/74/78/08/408747808.db2.gz QTEOWYKOTKEIOD-CQSZACIVSA-N -1 1 308.378 1.544 20 0 DDADMM C[C@H]1C[C@@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)CN1C1CC1 ZINC000185105059 408755687 /nfs/dbraw/zinc/75/56/87/408755687.db2.gz FIAYLKMDQZGOHB-CMPLNLGQSA-N -1 1 324.402 1.288 20 0 DDADMM CO[C@@]1(C)C[C@@H]([N-]S(=O)(=O)c2c(C)onc2N)C1(C)C ZINC000290818871 408838101 /nfs/dbraw/zinc/83/81/01/408838101.db2.gz SXCNXGQJVAOUAO-PELKAZGASA-N -1 1 303.384 1.047 20 0 DDADMM CCCN1CCCN(C(=O)c2c(F)ccc([O-])c2F)CC1=O ZINC000280933484 408861912 /nfs/dbraw/zinc/86/19/12/408861912.db2.gz HIXZPUWCSQHDLM-UHFFFAOYSA-N -1 1 312.316 1.755 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CC[C@H]2C(=O)OC)c1 ZINC000286016791 408908323 /nfs/dbraw/zinc/90/83/23/408908323.db2.gz RNXVRXCTLYZRDZ-VHSXEESVSA-N -1 1 307.302 1.317 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000286022671 408909450 /nfs/dbraw/zinc/90/94/50/408909450.db2.gz JDNHGQYWHUNDKW-IUCAKERBSA-N -1 1 322.390 1.336 20 0 DDADMM CCc1nc([C@H](C)NC(=O)C(C)(C)[N-]C(=O)C(F)(F)F)n[nH]1 ZINC000277270271 408909763 /nfs/dbraw/zinc/90/97/63/408909763.db2.gz HELFXOUXNMBHEO-LURJTMIESA-N -1 1 321.303 1.001 20 0 DDADMM CCc1cc(C(=O)N2CC[N@H+](C[C@H](C)O)C[C@H]2C)ccc1O ZINC000291749897 408916340 /nfs/dbraw/zinc/91/63/40/408916340.db2.gz UJEHMUOHBGOANW-OLZOCXBDSA-N -1 1 306.406 1.482 20 0 DDADMM CC[C@@H]1C[C@H]1NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000281729981 408918693 /nfs/dbraw/zinc/91/86/93/408918693.db2.gz FWWGJKZKMGZFKC-DGCLKSJQSA-N -1 1 302.378 1.899 20 0 DDADMM CN(C)C(=O)CCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000193925711 163271557 /nfs/dbraw/zinc/27/15/57/163271557.db2.gz SKNRMNVHCHCYRY-UHFFFAOYSA-N -1 1 306.334 1.112 20 0 DDADMM O=S(=O)(Cc1nccn1C(F)F)c1n[n-]c(C2CC2)n1 ZINC000194817082 163297158 /nfs/dbraw/zinc/29/71/58/163297158.db2.gz DUXYHBXMGVYFBE-UHFFFAOYSA-N -1 1 303.294 1.248 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C3(CC)CC3)CC2)n1 ZINC000277584256 408969852 /nfs/dbraw/zinc/96/98/52/408969852.db2.gz CPGNHGIIAQWHTO-UHFFFAOYSA-N -1 1 320.393 1.878 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1Cc1nccn1C ZINC000292100911 408981073 /nfs/dbraw/zinc/98/10/73/408981073.db2.gz HZFUWEJCOBUFRQ-NXEZZACHSA-N -1 1 304.316 1.452 20 0 DDADMM COc1ccc2c(c1)[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)CC2 ZINC000278129925 409070374 /nfs/dbraw/zinc/07/03/74/409070374.db2.gz OANPYMQMQLHJSG-GWCFXTLKSA-N -1 1 315.377 1.500 20 0 DDADMM CCC[C@@]1(NC(=O)c2ccc3n[n-]c(=S)n3c2)CCOC1 ZINC000287820066 409099401 /nfs/dbraw/zinc/09/94/01/409099401.db2.gz KTFAMGVUTRPCPD-CQSZACIVSA-N -1 1 306.391 1.707 20 0 DDADMM CN(C(=O)CNC(=O)C(=O)c1ccc([O-])cc1)C1CCCCC1 ZINC000287894410 409110837 /nfs/dbraw/zinc/11/08/37/409110837.db2.gz UGXHVGQZMVLKIC-UHFFFAOYSA-N -1 1 318.373 1.482 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(C)C ZINC000283796313 409218970 /nfs/dbraw/zinc/21/89/70/409218970.db2.gz GHRBKYHABOCMGB-NSHDSACASA-N -1 1 323.773 1.955 20 0 DDADMM Cc1c(S(=O)(=O)[N-]CC(F)(F)F)cnn1-c1ccccn1 ZINC000283842773 409226452 /nfs/dbraw/zinc/22/64/52/409226452.db2.gz AOGHGOZGTJMILI-UHFFFAOYSA-N -1 1 320.296 1.416 20 0 DDADMM O=C(C(=O)N1CCN(Cc2ccco2)CC1)c1ccc([O-])cc1 ZINC000289168747 409227900 /nfs/dbraw/zinc/22/79/00/409227900.db2.gz XDWUJYREUGBNDB-UHFFFAOYSA-N -1 1 314.341 1.512 20 0 DDADMM CCC[C@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000279402959 409207241 /nfs/dbraw/zinc/20/72/41/409207241.db2.gz RVJDFWOBJSQYRZ-AFSRSGBESA-N -1 1 303.366 1.375 20 0 DDADMM Cc1cc(C[N-]C(=O)C(F)(F)C(F)F)nc(N2CCCC2)n1 ZINC000294297343 409273844 /nfs/dbraw/zinc/27/38/44/409273844.db2.gz ZPYHBOZAFHROSA-UHFFFAOYSA-N -1 1 320.290 1.902 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2C(C)(C)C2(C)C)co1 ZINC000280595223 409372193 /nfs/dbraw/zinc/37/21/93/409372193.db2.gz QHQSTTOJYZOYQQ-UHFFFAOYSA-N -1 1 314.407 1.600 20 0 DDADMM C[C@H](CO)[N-]S(=O)(=O)c1c(Cl)cc(F)cc1Cl ZINC000280609488 409380257 /nfs/dbraw/zinc/38/02/57/409380257.db2.gz QGEKXYURJJNKPI-RXMQYKEDSA-N -1 1 302.154 1.792 20 0 DDADMM CC[C@](C)(OC)c1noc(-c2coc(S(=O)(=O)[N-]C)c2)n1 ZINC000290383792 409381539 /nfs/dbraw/zinc/38/15/39/409381539.db2.gz GEPOUXYBMKBMOL-LBPRGKRZSA-N -1 1 315.351 1.509 20 0 DDADMM O=S(=O)([N-]C1CCC(CO)CC1)c1ccc(F)c(F)c1F ZINC000314510732 164015689 /nfs/dbraw/zinc/01/56/89/164015689.db2.gz ZCRTWTGHTRXVMS-UHFFFAOYSA-N -1 1 323.336 1.933 20 0 DDADMM Cc1noc([C@H](C)NC(=O)c2cnc3nc(C)ccc3c2[O-])n1 ZINC000338113400 164076901 /nfs/dbraw/zinc/07/69/01/164076901.db2.gz UMKUYCZJDYSQBR-QMMMGPOBSA-N -1 1 313.317 1.826 20 0 DDADMM Cc1noc([C@H](C)NC(=O)c2c[n-]c3nc(C)ccc3c2=O)n1 ZINC000338113400 164076903 /nfs/dbraw/zinc/07/69/03/164076903.db2.gz UMKUYCZJDYSQBR-QMMMGPOBSA-N -1 1 313.317 1.826 20 0 DDADMM CC[C@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C[C@H]1O ZINC000408021546 164200554 /nfs/dbraw/zinc/20/05/54/164200554.db2.gz QSSHBXXUXKLLMD-WDEREUQCSA-N -1 1 307.394 1.631 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]2[C@H]2COCC[C@@H]2O)c([O-])c1 ZINC000408261186 164277973 /nfs/dbraw/zinc/27/79/73/164277973.db2.gz MDVDFKTWZWGOIR-UPJWGTAASA-N -1 1 306.362 1.098 20 0 DDADMM CC[C@]1(C)CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000408264033 164279361 /nfs/dbraw/zinc/27/93/61/164279361.db2.gz HUZNHIQVZCIIBO-CYBMUJFWSA-N -1 1 300.380 1.450 20 0 DDADMM COc1cc(C(=O)N2CCCNC(=O)[C@H]2C)cc(Cl)c1[O-] ZINC000408294437 164288529 /nfs/dbraw/zinc/28/85/29/164288529.db2.gz MMRDQWVCNCAQJI-MRVPVSSYSA-N -1 1 312.753 1.405 20 0 DDADMM CC(C)(C)n1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)nn1 ZINC000408470013 164342257 /nfs/dbraw/zinc/34/22/57/164342257.db2.gz PYGQIJYVWLOYRG-VIFPVBQESA-N -1 1 320.353 1.142 20 0 DDADMM Cc1cccc(CCNC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000337914969 409563081 /nfs/dbraw/zinc/56/30/81/409563081.db2.gz STVLUDQBOVQPIA-UHFFFAOYSA-N -1 1 313.357 1.184 20 0 DDADMM CO[C@H](C)c1nc(=NCCCNC(=O)c2occc2C)s[n-]1 ZINC000337886059 409539754 /nfs/dbraw/zinc/53/97/54/409539754.db2.gz XZKOOORKOVIAAF-SNVBAGLBSA-N -1 1 324.406 1.801 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-][C@H]2CC[C@H](F)C2)s1 ZINC000344903529 409549661 /nfs/dbraw/zinc/54/96/61/409549661.db2.gz JRXSFGHJUYKWBB-YUMQZZPRSA-N -1 1 307.372 1.270 20 0 DDADMM Cc1ccc(NC(=O)CNC(=O)c2ncccc2[O-])c(F)c1 ZINC000337947269 409593799 /nfs/dbraw/zinc/59/37/99/409593799.db2.gz CZIZIDFKLZQZMH-UHFFFAOYSA-N -1 1 303.293 1.603 20 0 DDADMM CCN1CCN(CC(=O)N2CC[C@@H](C)c3cccc(O)c32)CC1 ZINC000345142377 409642501 /nfs/dbraw/zinc/64/25/01/409642501.db2.gz AJNVFWNPWLWZIO-CQSZACIVSA-N -1 1 317.433 1.870 20 0 DDADMM CCc1onc(-c2ccccc2)c1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000356926006 409725652 /nfs/dbraw/zinc/72/56/52/409725652.db2.gz CACDDEQCRIXVMQ-UHFFFAOYSA-N -1 1 324.344 1.836 20 0 DDADMM CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357056293 409822565 /nfs/dbraw/zinc/82/25/65/409822565.db2.gz OIFGTYXGLAVLDH-TUAOUCFPSA-N -1 1 307.398 1.679 20 0 DDADMM O=C(CCC(=O)c1ccc(Cl)cc1)NC1(c2nn[n-]n2)CC1 ZINC000357046902 409814489 /nfs/dbraw/zinc/81/44/89/409814489.db2.gz DSSSFNQXJNQADQ-UHFFFAOYSA-N -1 1 319.752 1.622 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@@H]1CCOc2ccccc21 ZINC000332094893 409878622 /nfs/dbraw/zinc/87/86/22/409878622.db2.gz AGLBXRQUTXSLQD-GXTWGEPZSA-N -1 1 318.373 1.423 20 0 DDADMM COCc1n[n-]c(=NCc2ccc(CN(C)C)c(F)c2)s1 ZINC000342771153 409895545 /nfs/dbraw/zinc/89/55/45/409895545.db2.gz MZRBARQRXTUMEL-UHFFFAOYSA-N -1 1 310.398 1.919 20 0 DDADMM COC(=O)c1ccc(C(=O)N=c2cc(C)n([C@@H](C)C3CC3)[nH]2)[n-]1 ZINC000346344061 409930092 /nfs/dbraw/zinc/93/00/92/409930092.db2.gz GPJTUBJGLUMJDV-JTQLQIEISA-N -1 1 316.361 1.951 20 0 DDADMM Cc1nc(=NC(=O)c2ccc3c(c2O)OC(C)(C)C3)s[n-]1 ZINC000332252739 410015951 /nfs/dbraw/zinc/01/59/51/410015951.db2.gz SPJVRBDTAFWKTM-UHFFFAOYSA-N -1 1 305.359 1.940 20 0 DDADMM CC(C)(NC(=O)c1ccc(Br)o1)c1nn[n-]n1 ZINC000354792388 410089027 /nfs/dbraw/zinc/08/90/27/410089027.db2.gz QWZFPONSONIZOW-UHFFFAOYSA-N -1 1 300.116 1.220 20 0 DDADMM CC(C)(C)[C@H](O)C[C@H](CO)N=c1nc(C(F)(F)F)[n-]s1 ZINC000343059097 410110945 /nfs/dbraw/zinc/11/09/45/410110945.db2.gz GJEUOIZMLQSYEI-RNFRBKRXSA-N -1 1 313.345 1.549 20 0 DDADMM CCOC(=O)c1c[n-]nc1S(=O)(=O)Oc1cccc(C)c1C ZINC000298114504 410120230 /nfs/dbraw/zinc/12/02/30/410120230.db2.gz PDDSHDSMJCHORY-UHFFFAOYSA-N -1 1 324.358 1.971 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N([C@@H](C)c1ccco1)C1CC1 ZINC000357588631 410120708 /nfs/dbraw/zinc/12/07/08/410120708.db2.gz ZBNBIFIRZDBUQY-QMMMGPOBSA-N -1 1 319.317 1.855 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCCO1 ZINC000298269099 410159845 /nfs/dbraw/zinc/15/98/45/410159845.db2.gz AURFZAHDTANPAT-QIQQCEGHSA-N -1 1 317.393 1.621 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)[C@@H](C)[C@@H]2C)o1 ZINC000332724545 410237423 /nfs/dbraw/zinc/23/74/23/410237423.db2.gz SAWQJYUBKYMUGC-AEJSXWLSSA-N -1 1 300.380 1.304 20 0 DDADMM Cc1cnc(C(=O)NCCn2c(=O)[nH]c3ccccc32)c([O-])c1 ZINC000339515144 410248811 /nfs/dbraw/zinc/24/88/11/410248811.db2.gz OQYWHRGWHNEDEY-UHFFFAOYSA-N -1 1 312.329 1.581 20 0 DDADMM Cc1ccc([C@H](C)[C@H](O)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000358030909 410343382 /nfs/dbraw/zinc/34/33/82/410343382.db2.gz YLUUGHCPFUADPK-DYEKYZERSA-N -1 1 317.393 1.235 20 0 DDADMM COC[C@]1(C)CN(C(=O)c2ncc3ccccc3c2[O-])CCO1 ZINC000629899759 422857211 /nfs/dbraw/zinc/85/72/11/422857211.db2.gz ZOAWTLUKSMBWKU-KRWDZBQOSA-N -1 1 316.357 1.818 20 0 DDADMM CC(C)(C)c1n[nH]cc1CN1CCN(c2nc(=N)[n-]s2)CC1 ZINC000355076508 410295373 /nfs/dbraw/zinc/29/53/73/410295373.db2.gz COGVNUBNRDDMJF-UHFFFAOYSA-N -1 1 321.454 1.293 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC(C2CCCC2)C1 ZINC000357871725 410309575 /nfs/dbraw/zinc/30/95/75/410309575.db2.gz IKWVFTJLFZULQK-UHFFFAOYSA-N -1 1 303.362 1.166 20 0 DDADMM C[C@H](OCCc1ccccc1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000298618350 410316726 /nfs/dbraw/zinc/31/67/26/410316726.db2.gz LHAYQRKJRXUSGP-JPDJOPTESA-N -1 1 324.384 1.928 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)c2cccc(C)c2)o1 ZINC000339729250 410421560 /nfs/dbraw/zinc/42/15/60/410421560.db2.gz VRBJGJTZZBCQSJ-UHFFFAOYSA-N -1 1 308.359 1.773 20 0 DDADMM CCN(CC)CCS(=O)(=O)NCc1nnc(C(C)C)s1 ZINC000352069620 410455271 /nfs/dbraw/zinc/45/52/71/410455271.db2.gz XYMSMGHXCQEOKC-UHFFFAOYSA-N -1 1 320.484 1.423 20 0 DDADMM COC(=O)c1c[n-]c(SCc2ncccc2C(=O)OC)n1 ZINC000352155399 410513884 /nfs/dbraw/zinc/51/38/84/410513884.db2.gz YGZVJNYRFCKUMU-UHFFFAOYSA-N -1 1 307.331 1.670 20 0 DDADMM CO[C@H](CCNC(=O)c1csc(=NC2CC2)[n-]1)C(F)(F)F ZINC000347450168 410556484 /nfs/dbraw/zinc/55/64/84/410556484.db2.gz ZTPSPWZGDWSHPD-SECBINFHSA-N -1 1 323.340 1.837 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCC[C@@H]2CCO)sc1C ZINC000330273750 410609083 /nfs/dbraw/zinc/60/90/83/410609083.db2.gz ZQZOZGORUYMXLJ-MNOVXSKESA-N -1 1 304.437 1.589 20 0 DDADMM Cc1cccc([C@H](NCc2nc(=O)n(C)[n-]2)C(F)(F)F)c1 ZINC000347611662 410629478 /nfs/dbraw/zinc/62/94/78/410629478.db2.gz NDGMEFQUCJNVJP-NSHDSACASA-N -1 1 300.284 1.810 20 0 DDADMM O=C(N=c1cc([C@H]2CCCO2)[nH][nH]1)c1cnc2ccccc2c1[O-] ZINC000352982683 410716559 /nfs/dbraw/zinc/71/65/59/410716559.db2.gz DESUOLHGNDPEBV-CQSZACIVSA-N -1 1 324.340 1.777 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@H]2CCC[C@H]2OC(F)F)s1 ZINC000337347842 410727404 /nfs/dbraw/zinc/72/74/04/410727404.db2.gz YACLYHWEMIXOPK-JGVFFNPUSA-N -1 1 312.363 1.890 20 0 DDADMM CC[C@]1(C)C[C@@H]1C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000359674143 410809408 /nfs/dbraw/zinc/80/94/08/410809408.db2.gz CINAJTQKPGDRFJ-QMTHXVAHSA-N -1 1 312.391 1.675 20 0 DDADMM CCC[C@@H]1CCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000348195892 410850820 /nfs/dbraw/zinc/85/08/20/410850820.db2.gz ZLHPOIMHAPOOIP-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM COc1ccc(C(C)=O)cc1CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000359779976 410873741 /nfs/dbraw/zinc/87/37/41/410873741.db2.gz BBAQYGGJHYCHHJ-UHFFFAOYSA-N -1 1 317.349 1.005 20 0 DDADMM CC(C)(NC(=O)Cc1coc(-c2ccccc2)n1)c1nn[n-]n1 ZINC000359798268 410889543 /nfs/dbraw/zinc/88/95/43/410889543.db2.gz PGDKLKVEBZDAQB-UHFFFAOYSA-N -1 1 312.333 1.449 20 0 DDADMM COc1ccc(S[C@@H](C)C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000359806240 410892151 /nfs/dbraw/zinc/89/21/51/410892151.db2.gz ZQGFMCKGIZDXAL-VIFPVBQESA-N -1 1 321.406 1.740 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cccc(OC2CCCC2)c1 ZINC000348297059 410902988 /nfs/dbraw/zinc/90/29/88/410902988.db2.gz JKHBWHCOKVEDSR-UHFFFAOYSA-N -1 1 313.361 1.940 20 0 DDADMM O=S1(=O)CC[C@H]2CN(Cc3cccc([O-])c3Cl)C[C@H]21 ZINC000353474521 411014109 /nfs/dbraw/zinc/01/41/09/411014109.db2.gz UWUJOSDGKOPVHT-JOYOIKCWSA-N -1 1 301.795 1.665 20 0 DDADMM COC(=O)[C@@H](CC(F)F)[N-]S(=O)(=O)CC1CCCCC1 ZINC000341720252 411052103 /nfs/dbraw/zinc/05/21/03/411052103.db2.gz ZLIQHPGYFNJGFR-SNVBAGLBSA-N -1 1 313.366 1.683 20 0 DDADMM CCC(CC)[C@H](O)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331286694 411056453 /nfs/dbraw/zinc/05/64/53/411056453.db2.gz MITJIVYTUNKCDG-LLVKDONJSA-N -1 1 306.453 1.835 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC[C@H](C)[S@@](C)=O)sc1C ZINC000331249820 411041108 /nfs/dbraw/zinc/04/11/08/411041108.db2.gz SAKSLVCUODWHFK-BWKAKNAASA-N -1 1 310.466 1.195 20 0 DDADMM CC(C)CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCC1 ZINC000353757533 411124402 /nfs/dbraw/zinc/12/44/02/411124402.db2.gz ZKSRSERXHAZKQZ-UHFFFAOYSA-N -1 1 317.393 1.849 20 0 DDADMM CC[C@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])CCO1 ZINC000631712480 422891475 /nfs/dbraw/zinc/89/14/75/422891475.db2.gz NXLUJHCDBJUYJH-JTQLQIEISA-N -1 1 301.364 1.200 20 0 DDADMM CC[C@H](CNC(=O)CCc1nn[n-]n1)Oc1cccc(F)c1 ZINC000631699867 422887097 /nfs/dbraw/zinc/88/70/97/422887097.db2.gz FVKBXDHKBYKONI-LLVKDONJSA-N -1 1 307.329 1.245 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1cccc(O)c1)CC1CC1 ZINC000631797231 422925498 /nfs/dbraw/zinc/92/54/98/422925498.db2.gz QPEMIKDFNJZLRZ-UHFFFAOYSA-N -1 1 301.350 1.277 20 0 DDADMM NS(=O)(=O)c1c[n-]c(=NC[C@H]2CC3CCC2CC3)s1 ZINC000645302913 422984283 /nfs/dbraw/zinc/98/42/83/422984283.db2.gz APRRQIMHUSGVMC-UDNWOFFPSA-N -1 1 301.437 1.451 20 0 DDADMM O=C([O-])C[C@@H]1COCCN1CCN1CCCc2ccccc21 ZINC000652475228 423034244 /nfs/dbraw/zinc/03/42/44/423034244.db2.gz CMWRUQZOXAFNNE-OAHLLOKOSA-N -1 1 304.390 1.615 20 0 DDADMM COc1cncc(/C=C/CCN2CCO[C@H](CC(=O)[O-])C2)c1 ZINC000652479691 423036912 /nfs/dbraw/zinc/03/69/12/423036912.db2.gz XYAGWSMOQDWCPN-TXTHVTMNSA-N -1 1 306.362 1.669 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)CC(C)(C)O)c(F)c1 ZINC000650129991 423057228 /nfs/dbraw/zinc/05/72/28/423057228.db2.gz GAUMSRJQJCUWCF-MRVPVSSYSA-N -1 1 323.361 1.801 20 0 DDADMM O=C(NCc1cc2n(n1)CCCC2)c1csc(=NC2CC2)[n-]1 ZINC000640623503 423092674 /nfs/dbraw/zinc/09/26/74/423092674.db2.gz RWVSSXCINZZGGT-UHFFFAOYSA-N -1 1 317.418 1.602 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H](CO)C1)c1cc(F)c(F)cc1F ZINC000416636089 225013971 /nfs/dbraw/zinc/01/39/71/225013971.db2.gz KBWKTSSAVDPOJT-RKDXNWHRSA-N -1 1 323.336 1.933 20 0 DDADMM O=S(=O)([N-][C@H](CO)[C@H]1CCCOC1)c1cccc(F)c1F ZINC000416611500 225003561 /nfs/dbraw/zinc/00/35/61/225003561.db2.gz PJUWYIKEEMMFEM-GXSJLCMTSA-N -1 1 321.345 1.031 20 0 DDADMM CSc1nc(CNC(=O)c2cnn3c2CCCC3)cc(=O)[n-]1 ZINC000640656531 423118972 /nfs/dbraw/zinc/11/89/72/423118972.db2.gz QULJVGSPEFSXGE-UHFFFAOYSA-N -1 1 319.390 1.367 20 0 DDADMM COc1cccc(-c2nc(C(=O)[N-]c3nncn3C)no2)c1 ZINC000622512291 417456500 /nfs/dbraw/zinc/45/65/00/417456500.db2.gz CMIMNCAMVHFRGI-UHFFFAOYSA-N -1 1 300.278 1.126 20 0 DDADMM C[C@H]1[C@@H](c2ccccc2)[C@H]1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000373751099 418456978 /nfs/dbraw/zinc/45/69/78/418456978.db2.gz JTZDWCGLMXYLLO-PYJNHQTQSA-N -1 1 313.361 1.149 20 0 DDADMM CCN(C(=O)CNC(=O)c1c[nH]c2ccccc2c1=O)C(C)C ZINC000194416374 418544498 /nfs/dbraw/zinc/54/44/98/418544498.db2.gz SNZNIJSDTWVLNN-UHFFFAOYSA-N -1 1 315.373 1.927 20 0 DDADMM CCCCOCCNC(=O)CSc1nc(CC)cc(=O)[n-]1 ZINC000195077580 222189645 /nfs/dbraw/zinc/18/96/45/222189645.db2.gz LSAVSIKLLXHHRR-UHFFFAOYSA-N -1 1 313.423 1.357 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)C[C@@H](C)OC)c(Cl)c1 ZINC000295418239 418586652 /nfs/dbraw/zinc/58/66/52/418586652.db2.gz XVTVIJIYIQQTSH-MRVPVSSYSA-N -1 1 320.798 1.476 20 0 DDADMM O=C([O-])[C@@H]1CN(C[C@H](O)CC(F)(F)F)Cc2ccccc21 ZINC000307990633 418590078 /nfs/dbraw/zinc/59/00/78/418590078.db2.gz WMUPLLNENNVKHU-ZYHUDNBSSA-N -1 1 303.280 1.984 20 0 DDADMM O=C(C(C1CCC1)C1CCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000367522503 418607469 /nfs/dbraw/zinc/60/74/69/418607469.db2.gz GLBMIHVNJCFBMP-LBPRGKRZSA-N -1 1 305.382 1.316 20 0 DDADMM CCOCc1nc([C@H](C)NC(=O)C(=O)c2ccc([O-])cc2)no1 ZINC000291279534 222282437 /nfs/dbraw/zinc/28/24/37/222282437.db2.gz AGJSIOYENAKMAD-VIFPVBQESA-N -1 1 319.317 1.372 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@]2(C1)CCCOC2 ZINC000375076341 418600915 /nfs/dbraw/zinc/60/09/15/418600915.db2.gz YULBRZKZOFKLST-KRWDZBQOSA-N -1 1 313.357 1.566 20 0 DDADMM Cc1nc([C@H](NC(=O)[C@H]2CC[C@H]2C(=O)[O-])c2ccccc2)n[nH]1 ZINC000375612142 418662175 /nfs/dbraw/zinc/66/21/75/418662175.db2.gz NFEZHWMWWRHQTH-YNEHKIRRSA-N -1 1 314.345 1.430 20 0 DDADMM O=C(CN1CCOCC2(CCC2)C1)[N-]OCc1ccccc1 ZINC000375787446 418685826 /nfs/dbraw/zinc/68/58/26/418685826.db2.gz GSENKZFEWVOFNP-UHFFFAOYSA-N -1 1 304.390 1.737 20 0 DDADMM O=C(NCC[C@]1(O)CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000652803388 423144118 /nfs/dbraw/zinc/14/41/18/423144118.db2.gz DQRITPDNJFUJGM-ZDUSSCGKSA-N -1 1 319.279 1.682 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000411112367 418869864 /nfs/dbraw/zinc/86/98/64/418869864.db2.gz SPKOTBJWOLNBHD-LURJTMIESA-N -1 1 319.287 1.614 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CC[C@H]2C(=O)NC[C@H]2C1 ZINC000365675423 418892962 /nfs/dbraw/zinc/89/29/62/418892962.db2.gz FMNWIXOHGBTZOB-WDEREUQCSA-N -1 1 311.341 1.149 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H](CC)CC(F)F ZINC000410666146 418837566 /nfs/dbraw/zinc/83/75/66/418837566.db2.gz YDMGWCDZVMTRND-QMMMGPOBSA-N -1 1 303.309 1.639 20 0 DDADMM Cc1nc([C@@H]2CCCCN2CCC[N-]C(=O)C(F)(F)F)no1 ZINC000373138633 418929582 /nfs/dbraw/zinc/92/95/82/418929582.db2.gz LDAIKSQINHLAMV-JTQLQIEISA-N -1 1 320.315 1.974 20 0 DDADMM C[C@H]1CCCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)[C@@H]1C(=O)[O-] ZINC000424249478 228251534 /nfs/dbraw/zinc/25/15/34/228251534.db2.gz IUHZYNNRLZNDBD-GWCFXTLKSA-N -1 1 314.345 1.797 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NC[C@@H](CC(C)C)C(=O)[O-])n[nH]1 ZINC000424413438 228268238 /nfs/dbraw/zinc/26/82/38/228268238.db2.gz RBKPCTQEIGJXGM-ZYHUDNBSSA-N -1 1 310.398 1.695 20 0 DDADMM O=S(=O)([N-]CC1=CCCOC1)c1ncccc1C(F)(F)F ZINC000645715883 423152369 /nfs/dbraw/zinc/15/23/69/423152369.db2.gz NAFGZOKQOQSULG-UHFFFAOYSA-N -1 1 322.308 1.725 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H](C)O[C@@H](C)C(=O)[O-])[nH]c2c1 ZINC000421808081 419739255 /nfs/dbraw/zinc/73/92/55/419739255.db2.gz QOAYTIRDUBXVJG-UWVGGRQHSA-N -1 1 305.334 1.366 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@H](c1ccccc1)C1CC1 ZINC000431608838 229045522 /nfs/dbraw/zinc/04/55/22/229045522.db2.gz LGQPOAVBVXZZPH-ZDUSSCGKSA-N -1 1 321.402 1.868 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H](c1ccccc1)C1CC1 ZINC000431608838 229045525 /nfs/dbraw/zinc/04/55/25/229045525.db2.gz LGQPOAVBVXZZPH-ZDUSSCGKSA-N -1 1 321.402 1.868 20 0 DDADMM COC[C@@H](C(N)=O)N(C)C(=O)c1ccc2ccccc2c1[O-] ZINC000436935207 229542960 /nfs/dbraw/zinc/54/29/60/229542960.db2.gz NYEXWPIHQSKMDU-ZDUSSCGKSA-N -1 1 302.330 1.118 20 0 DDADMM Cc1nc2ccc([N-]S(=O)(=O)c3ccc(F)cc3)cn2n1 ZINC000430349066 420111037 /nfs/dbraw/zinc/11/10/37/420111037.db2.gz CWBULKSUYVKKSP-UHFFFAOYSA-N -1 1 306.322 1.978 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1ccc(C)cc1 ZINC000416166330 420264902 /nfs/dbraw/zinc/26/49/02/420264902.db2.gz LLBQFZVQMFZXHT-UHFFFAOYSA-N -1 1 303.318 1.177 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC[C@H]1CC(C)C ZINC000416192798 420275637 /nfs/dbraw/zinc/27/56/37/420275637.db2.gz OYVWVGXTLXRHCE-JTQLQIEISA-N -1 1 309.366 1.937 20 0 DDADMM Cc1ccc(CS(=O)(=O)N[C@@H]2C[NH2+]CCC2(F)F)cc1C ZINC000420437419 420286307 /nfs/dbraw/zinc/28/63/07/420286307.db2.gz CLDFKPLSOWZIFO-CYBMUJFWSA-N -1 1 318.389 1.720 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C(F)(F)F ZINC000416226146 420286811 /nfs/dbraw/zinc/28/68/11/420286811.db2.gz USEGQFGOSZIENL-SVGQVSJJSA-N -1 1 323.271 1.603 20 0 DDADMM O=C(C(=O)N1CC[C@H](C(=O)N2CCCC2)C1)c1ccc([O-])cc1 ZINC000436745196 420363519 /nfs/dbraw/zinc/36/35/19/420363519.db2.gz SOUGKXNPOHYCCU-ZDUSSCGKSA-N -1 1 316.357 1.046 20 0 DDADMM O=C(Nc1nncn1-c1cccnc1)C(=O)c1ccc([O-])cc1 ZINC000436740049 420363679 /nfs/dbraw/zinc/36/36/79/420363679.db2.gz QFLXUYZRNFHZOD-UHFFFAOYSA-N -1 1 309.285 1.189 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)[nH]1 ZINC000425292653 420342650 /nfs/dbraw/zinc/34/26/50/420342650.db2.gz AORMMSFNDSTGRG-VIFPVBQESA-N -1 1 304.306 1.326 20 0 DDADMM COc1cccc(C(=O)N=c2nc([C@H](C)OC)[n-]s2)c1O ZINC000436586826 420342874 /nfs/dbraw/zinc/34/28/74/420342874.db2.gz VBGDHTOQQRLAAP-ZETCQYMHSA-N -1 1 309.347 1.634 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C(C)C)[C@H]1C(C)C ZINC000416247652 420295878 /nfs/dbraw/zinc/29/58/78/420295878.db2.gz PHPSRFSWJYLJPG-MWLCHTKSSA-N -1 1 309.366 1.649 20 0 DDADMM C[C@@H](c1ccccc1)[C@@H](NC(=O)c1c([O-])cccc1F)C(N)=O ZINC000436834487 420375628 /nfs/dbraw/zinc/37/56/28/420375628.db2.gz ZQFFGUGFFKVAQG-ZUZCIYMTSA-N -1 1 316.332 1.919 20 0 DDADMM O=C(NC[C@H]1COc2ccccc2O1)C(=O)c1ccc([O-])cc1 ZINC000436860124 420377579 /nfs/dbraw/zinc/37/75/79/420377579.db2.gz DUIDRILKHUTNMJ-ZDUSSCGKSA-N -1 1 313.309 1.531 20 0 DDADMM CCNC(=O)NCCNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436907781 420382968 /nfs/dbraw/zinc/38/29/68/420382968.db2.gz TVUBQUIRHXOZIW-UHFFFAOYSA-N -1 1 315.757 1.103 20 0 DDADMM CCn1cnc(S(=O)(=O)[N-]c2ccn(-c3ccncc3)n2)c1 ZINC000437189493 420398327 /nfs/dbraw/zinc/39/83/27/420398327.db2.gz LMYGEHSPFPVICW-UHFFFAOYSA-N -1 1 318.362 1.285 20 0 DDADMM COCC[C@H](C)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000416595028 420428500 /nfs/dbraw/zinc/42/85/00/420428500.db2.gz XTPMRXMKOSAKJQ-VIFPVBQESA-N -1 1 305.352 1.017 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CO[C@H](C)C1 ZINC000439253775 420503451 /nfs/dbraw/zinc/50/34/51/420503451.db2.gz BKRBWJNHGIYAEP-LDYMZIIASA-N -1 1 304.350 1.093 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@@H](C)N(C)c1ccccc1 ZINC000439176138 420499377 /nfs/dbraw/zinc/49/93/77/420499377.db2.gz GDGCHVZQODAQFV-SNVBAGLBSA-N -1 1 324.406 1.199 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H](C)N(C)c1ccccc1 ZINC000439176138 420499378 /nfs/dbraw/zinc/49/93/78/420499378.db2.gz GDGCHVZQODAQFV-SNVBAGLBSA-N -1 1 324.406 1.199 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1cccc(F)c1F)c1nncn1C ZINC000439185471 420499461 /nfs/dbraw/zinc/49/94/61/420499461.db2.gz CHIPWERMAOXGGW-QMMMGPOBSA-N -1 1 316.333 1.175 20 0 DDADMM CN(C)c1nc(CN2CCC(c3n[n-]c(=O)o3)CC2)ns1 ZINC000450919938 420561905 /nfs/dbraw/zinc/56/19/05/420561905.db2.gz QZZBOHSVXVHLSL-UHFFFAOYSA-N -1 1 310.383 1.072 20 0 DDADMM NC(=O)C[C@H]1COCCN1C(=O)c1ccc2ccccc2c1[O-] ZINC000457034444 420593388 /nfs/dbraw/zinc/59/33/88/420593388.db2.gz OLSBIRNHBDAWSG-LBPRGKRZSA-N -1 1 314.341 1.262 20 0 DDADMM COC(=O)[C@@H](C)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442660843 420696077 /nfs/dbraw/zinc/69/60/77/420696077.db2.gz ZODOHDCNWAGDTD-ZETCQYMHSA-N -1 1 301.726 1.593 20 0 DDADMM COc1cc(C(=O)NCc2cncnc2N)cc(Cl)c1[O-] ZINC000442814230 420721151 /nfs/dbraw/zinc/72/11/51/420721151.db2.gz UTVKZSIYZWCNRI-UHFFFAOYSA-N -1 1 308.725 1.187 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(Oc2ccccc2F)CC1)c1nn[n-]n1 ZINC000442892243 420726525 /nfs/dbraw/zinc/72/65/25/420726525.db2.gz PAGPRLSNQZPDKY-JTQLQIEISA-N -1 1 319.340 1.512 20 0 DDADMM C[C@H](CNC(=O)OC(C)(C)C)CNC(=O)c1cncc([O-])c1 ZINC000493805838 420946112 /nfs/dbraw/zinc/94/61/12/420946112.db2.gz LFKMGFLWUSZNBD-JTQLQIEISA-N -1 1 309.366 1.678 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@@H]1NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000456140474 421107136 /nfs/dbraw/zinc/10/71/36/421107136.db2.gz VFLPNNHKAQIVCO-MNOVXSKESA-N -1 1 323.418 1.601 20 0 DDADMM CCC[C@@H](NC(=O)c1sc(COCC)nc1C)c1nn[n-]n1 ZINC000450122644 421145166 /nfs/dbraw/zinc/14/51/66/421145166.db2.gz QJYYGOLLILRGBG-SECBINFHSA-N -1 1 324.410 1.772 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)Cc3cn(C)nc3C)n2)o1 ZINC000450138565 421150853 /nfs/dbraw/zinc/15/08/53/421150853.db2.gz BOZSFZMCNURGCD-UHFFFAOYSA-N -1 1 300.322 1.596 20 0 DDADMM O=C(C=Cc1nc2ccccc2o1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000492210775 421214279 /nfs/dbraw/zinc/21/42/79/421214279.db2.gz ZWGFKDNGXKURFS-WSKFYRRCSA-N -1 1 324.344 1.760 20 0 DDADMM CCC1CCC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000546809723 421311789 /nfs/dbraw/zinc/31/17/89/421311789.db2.gz HGVXFPLDIZPQMS-UHFFFAOYSA-N -1 1 303.366 1.458 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CC[C@H](C(F)(F)F)C1)c1nn[n-]n1 ZINC000560638156 421286385 /nfs/dbraw/zinc/28/63/85/421286385.db2.gz MTSKCXDPYKMVID-HLTSFMKQSA-N -1 1 305.304 1.740 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnc([C@@H]2CCCO2)s1)c1nn[n-]n1 ZINC000547488387 421337409 /nfs/dbraw/zinc/33/74/09/421337409.db2.gz YGOJMUNBFUAZMC-IUCAKERBSA-N -1 1 322.394 1.383 20 0 DDADMM O=S(=O)([N-][C@@H]1CCn2ccnc2C1)c1cc2ccccc2o1 ZINC000547642791 421348505 /nfs/dbraw/zinc/34/85/05/421348505.db2.gz GJRVOKJHRUOKOS-GFCCVEGCSA-N -1 1 317.370 1.923 20 0 DDADMM C[C@@H](O)CN(Cc1ccccc1)C(=O)c1cccc2nn[nH]c21 ZINC000527108702 421355495 /nfs/dbraw/zinc/35/54/95/421355495.db2.gz WSXMNUDFKFOUMW-GFCCVEGCSA-N -1 1 310.357 1.981 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCC[C@@]2(CCCOC2)C1 ZINC000527107214 421356085 /nfs/dbraw/zinc/35/60/85/421356085.db2.gz MTZKOVXIRSPGBT-MRXNPFEDSA-N -1 1 300.362 1.991 20 0 DDADMM CC(C)(C)c1nc(=NC[C@@H]2C[C@@H](c3nc[nH]n3)C[C@@H]2O)s[n-]1 ZINC000527565711 421414574 /nfs/dbraw/zinc/41/45/74/421414574.db2.gz KQHGYZBGUAYJIU-UTLUCORTSA-N -1 1 322.438 1.342 20 0 DDADMM C[C@H](C(=O)NC(C)(C)C)N(C)C(=O)c1csc(=NC2CC2)[n-]1 ZINC000548254151 421415274 /nfs/dbraw/zinc/41/52/74/421415274.db2.gz BSMCYKILRWRYGN-SECBINFHSA-N -1 1 324.450 1.515 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@@H]1CC12CC2 ZINC000563007022 421437842 /nfs/dbraw/zinc/43/78/42/421437842.db2.gz OLIOXCVJYXDTGW-CYBMUJFWSA-N -1 1 310.357 1.352 20 0 DDADMM Cc1cc(C(=O)Nc2ccncc2[O-])nn1C1CCOCC1 ZINC000528913931 421524441 /nfs/dbraw/zinc/52/44/41/421524441.db2.gz CUAICWVFSJYVGO-UHFFFAOYSA-N -1 1 302.334 1.318 20 0 DDADMM C[C@@H](NCc1nc(=O)n(C)[n-]1)c1nc2ccccc2n1C(F)F ZINC000548731508 421468375 /nfs/dbraw/zinc/46/83/75/421468375.db2.gz XYVDIWKCYJHGPG-MRVPVSSYSA-N -1 1 322.319 1.704 20 0 DDADMM CCC[C@@H](C)[C@H](CO)NC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000563296139 421483728 /nfs/dbraw/zinc/48/37/28/421483728.db2.gz ONJPAFIBBNNSPV-SCZZXKLOSA-N -1 1 313.423 1.739 20 0 DDADMM CCc1nc([C@H](C)NC(=O)N=c2ccc(OC(C)C)n[n-]2)n[nH]1 ZINC000551950201 421558069 /nfs/dbraw/zinc/55/80/69/421558069.db2.gz SJHWNNCJDRXHSZ-VIFPVBQESA-N -1 1 319.369 1.249 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC[C@@H]2CC=CCC2)o1 ZINC000529865399 421564275 /nfs/dbraw/zinc/56/42/75/421564275.db2.gz BIJQOUQYUNQJKW-LLVKDONJSA-N -1 1 312.391 1.664 20 0 DDADMM O=C(Cc1ccc2n[nH]cc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000530288577 421591279 /nfs/dbraw/zinc/59/12/79/421591279.db2.gz OTJSKBMAJZARRZ-NSHDSACASA-N -1 1 311.349 1.025 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(-c2ccn(C)c2)n[nH]1)c1nn[n-]n1 ZINC000563633204 421531123 /nfs/dbraw/zinc/53/11/23/421531123.db2.gz ADZMLZUJQROLQU-SNVBAGLBSA-N -1 1 314.353 1.200 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2nc(-c3ccccc3)n[n-]2)o1 ZINC000556063496 421699077 /nfs/dbraw/zinc/69/90/77/421699077.db2.gz GHSCGWVAAQSTDT-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM Cc1cnc(CS(=O)(=O)c2n[n-]c(-c3ccccc3)n2)o1 ZINC000556063496 421699078 /nfs/dbraw/zinc/69/90/78/421699078.db2.gz GHSCGWVAAQSTDT-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM CCSc1cccnc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000571255040 421700454 /nfs/dbraw/zinc/70/04/54/421700454.db2.gz OWHKDNDBMHROPX-JTQLQIEISA-N -1 1 318.406 1.727 20 0 DDADMM CN(C)C(=O)C(C)(C)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000556362780 421709993 /nfs/dbraw/zinc/70/99/93/421709993.db2.gz PKYMJLLVUMCRMG-UHFFFAOYSA-N -1 1 322.789 1.624 20 0 DDADMM Cn1c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc2ccccc21 ZINC000533572801 421679541 /nfs/dbraw/zinc/67/95/41/421679541.db2.gz FBMDOAOMBZTVJC-GFCCVEGCSA-N -1 1 310.361 1.711 20 0 DDADMM Cn1cnc2cc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)ccc21 ZINC000538228086 421737449 /nfs/dbraw/zinc/73/74/49/421737449.db2.gz OCQUZGJTDMMTRM-NSHDSACASA-N -1 1 311.349 1.106 20 0 DDADMM Cn1cc([C@H](NCc2cc(C(=O)[O-])nn2C)C(C)(C)C)cn1 ZINC000635309891 421898552 /nfs/dbraw/zinc/89/85/52/421898552.db2.gz UZWMTQUYIXWJSJ-ZDUSSCGKSA-N -1 1 305.382 1.729 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@@H](O)C1CCOCC1 ZINC000631817684 421854380 /nfs/dbraw/zinc/85/43/80/421854380.db2.gz RXZHGGRJTRBDGJ-CQSZACIVSA-N -1 1 313.781 1.492 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N(C)Cc1ccc(C(=O)[O-])cc1 ZINC000630134826 421909981 /nfs/dbraw/zinc/90/99/81/421909981.db2.gz CRPWBDHOEGXRAX-NSHDSACASA-N -1 1 301.346 1.945 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@H]2CCCN2C2CC2)C(=O)[O-])cc1 ZINC000630140368 421914739 /nfs/dbraw/zinc/91/47/39/421914739.db2.gz WBAMXFMLEWQIJW-JKSUJKDBSA-N -1 1 316.401 1.906 20 0 DDADMM CCO[C@@H]1C[C@](NC(=O)[C@H](C)Cc2cnc[nH]2)(C(=O)[O-])C1(C)C ZINC000630170816 421935818 /nfs/dbraw/zinc/93/58/18/421935818.db2.gz PFYDMJDWYBBSJU-SIVJFFJCSA-N -1 1 323.393 1.363 20 0 DDADMM COc1cc(NC(=O)[C@@H](C)Cc2cnc[nH]2)ccc1C(=O)[O-] ZINC000630183911 421943987 /nfs/dbraw/zinc/94/39/87/421943987.db2.gz DUKAZULBWXVOJV-VIFPVBQESA-N -1 1 303.318 1.934 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1CCN(c2ccccc2)CC1 ZINC000635413420 421970781 /nfs/dbraw/zinc/97/07/81/421970781.db2.gz ZFINJOPUTRORCC-UHFFFAOYSA-N -1 1 314.393 1.308 20 0 DDADMM COC[C@@H]1CCN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000632016608 422024138 /nfs/dbraw/zinc/02/41/38/422024138.db2.gz XARYYGDVKKECCE-SNVBAGLBSA-N -1 1 301.364 1.058 20 0 DDADMM CC[C@@H](O)CCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632027746 422033429 /nfs/dbraw/zinc/03/34/29/422033429.db2.gz MHPSZGLQVBPFPP-SSDOTTSWSA-N -1 1 315.317 1.258 20 0 DDADMM CCCCO[C@@H](C)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630225254 421976682 /nfs/dbraw/zinc/97/66/82/421976682.db2.gz YOEUESIBXHEVIT-LBPRGKRZSA-N -1 1 300.399 1.199 20 0 DDADMM CN(C)c1ccc(Cl)cc1NC(=O)CCCc1nn[n-]n1 ZINC000635429121 421983944 /nfs/dbraw/zinc/98/39/44/421983944.db2.gz UKONIGWQMHSTIR-UHFFFAOYSA-N -1 1 308.773 1.881 20 0 DDADMM CN(Cc1ccc(S(C)(=O)=O)cc1)Cc1ncccc1[O-] ZINC000581634231 422016722 /nfs/dbraw/zinc/01/67/22/422016722.db2.gz FMYUUXJOXVCIRF-UHFFFAOYSA-N -1 1 306.387 1.823 20 0 DDADMM CO[C@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@](C)(C(=O)[O-])C1 ZINC000630314218 422018359 /nfs/dbraw/zinc/01/83/59/422018359.db2.gz UUHVQOSHGVNENP-BMIGLBTASA-N -1 1 319.317 1.374 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCCO1 ZINC000632088491 422079304 /nfs/dbraw/zinc/07/93/04/422079304.db2.gz BSMWKJMXKFSSPH-SECBINFHSA-N -1 1 313.301 1.276 20 0 DDADMM CC(=O)N1CC[C@@H](CN(C)C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000632103240 422089911 /nfs/dbraw/zinc/08/99/11/422089911.db2.gz SJOJGTHIJHUHIL-ZDUSSCGKSA-N -1 1 324.808 1.915 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN2CC[C@](C)(C(=O)[O-])C2)cc1 ZINC000574329868 422093859 /nfs/dbraw/zinc/09/38/59/422093859.db2.gz OTDUZOLBSJOGPO-INIZCTEOSA-N -1 1 320.345 1.208 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCCOC[C@@H]2C)c1 ZINC000632127381 422107648 /nfs/dbraw/zinc/10/76/48/422107648.db2.gz UTJPPESZKGEWLM-JTQLQIEISA-N -1 1 301.364 1.200 20 0 DDADMM C[C@@H]1CN(S(=O)(=O)c2cc(O)cc(F)c2)CC[C@H]1C(=O)[O-] ZINC000630447564 422109142 /nfs/dbraw/zinc/10/91/42/422109142.db2.gz SMLBDTHXNIDBHX-PRHODGIISA-N -1 1 317.338 1.263 20 0 DDADMM CC[C@@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])CCS1 ZINC000632049969 422051036 /nfs/dbraw/zinc/05/10/36/422051036.db2.gz UPQLTXZEUNMKSA-LLVKDONJSA-N -1 1 317.432 1.917 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCCCS2)c1 ZINC000632201048 422156991 /nfs/dbraw/zinc/15/69/91/422156991.db2.gz JDJWSMDNHNUFCB-LLVKDONJSA-N -1 1 317.432 1.965 20 0 DDADMM O=S(=O)([N-]CC[C@H]1CCOC1)c1c[nH]nc1C(F)(F)F ZINC000632203941 422160411 /nfs/dbraw/zinc/16/04/11/422160411.db2.gz ARNBZXDSKSAVQM-ZETCQYMHSA-N -1 1 313.301 1.133 20 0 DDADMM C[C@H](c1nc2ccccc2s1)N(C)C(=O)CCc1nn[n-]n1 ZINC000630537731 422165355 /nfs/dbraw/zinc/16/53/55/422165355.db2.gz CUKSEFBXTGYRSI-SECBINFHSA-N -1 1 316.390 1.962 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](CCO)c2ccco2)sn1 ZINC000632225896 422179561 /nfs/dbraw/zinc/17/95/61/422179561.db2.gz BZIAXBUOUHTIRY-VIFPVBQESA-N -1 1 302.377 1.447 20 0 DDADMM C[C@H]1CN(C(=O)CCCc2nn[n-]n2)[C@@H](c2ccccc2)CO1 ZINC000635630273 422180655 /nfs/dbraw/zinc/18/06/55/422180655.db2.gz MFYOHYFNDIPLGN-GXTWGEPZSA-N -1 1 315.377 1.511 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C(C)C)C(C)(C)O)c1 ZINC000632269261 422209433 /nfs/dbraw/zinc/20/94/33/422209433.db2.gz CANKBBFQSGIRRB-ZDUSSCGKSA-N -1 1 317.407 1.475 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC1(CCO)CCOCC1 ZINC000632332305 422252823 /nfs/dbraw/zinc/25/28/23/422252823.db2.gz IZNIIQLSJTXXHH-UHFFFAOYSA-N -1 1 313.781 1.636 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCC2(F)CCC2)c1 ZINC000632337410 422256244 /nfs/dbraw/zinc/25/62/44/422256244.db2.gz OISGVHSLHUIZDL-UHFFFAOYSA-N -1 1 303.355 1.961 20 0 DDADMM CCN(CCCNC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC000630578917 422195133 /nfs/dbraw/zinc/19/51/33/422195133.db2.gz DMBHHYYZHPUZKX-UHFFFAOYSA-N -1 1 302.382 1.165 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC(F)(F)CC2)co1 ZINC000628633227 422269222 /nfs/dbraw/zinc/26/92/22/422269222.db2.gz BTXVXQMIJXOTKV-UHFFFAOYSA-N -1 1 322.333 1.449 20 0 DDADMM N=c1nc(N2CCN(C(=O)N[C@@H]3CCCC34CC4)CC2)s[n-]1 ZINC000637599090 422290175 /nfs/dbraw/zinc/29/01/75/422290175.db2.gz CXGMFUOMSJQANN-SNVBAGLBSA-N -1 1 322.438 1.115 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2cnc(C)cc2C)c1 ZINC000632426348 422334420 /nfs/dbraw/zinc/33/44/20/422334420.db2.gz XLJYVVLOOIBCOF-UHFFFAOYSA-N -1 1 322.386 1.891 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CC[C@@H](C)O2)c1 ZINC000632433266 422340150 /nfs/dbraw/zinc/34/01/50/422340150.db2.gz OASAKQAKZKHGID-KOLCDFICSA-N -1 1 301.364 1.247 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@H]1CCCO[C@H]1c1ccccc1 ZINC000630826073 422357398 /nfs/dbraw/zinc/35/73/98/422357398.db2.gz HWNHTYKFFTXSTA-CJNGLKHVSA-N -1 1 315.377 1.416 20 0 DDADMM CN1CCOC[C@@H]1CNC(=O)c1ncc2ccccc2c1[O-] ZINC000628912983 422381511 /nfs/dbraw/zinc/38/15/11/422381511.db2.gz JARYEQPDIIHZPN-LBPRGKRZSA-N -1 1 301.346 1.001 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccccn1)c1nc(C(F)(F)F)no1 ZINC000632479196 422379523 /nfs/dbraw/zinc/37/95/23/422379523.db2.gz BYARTAVDKWXDBQ-LURJTMIESA-N -1 1 322.268 1.523 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CC23CCOCC3)c1 ZINC000632413813 422324613 /nfs/dbraw/zinc/32/46/13/422324613.db2.gz MIHHHCSJENIMKD-ZDUSSCGKSA-N -1 1 313.375 1.248 20 0 DDADMM COc1ccnc(C[N-]S(=O)(=O)c2cc(C)ns2)c1F ZINC000632560725 422437189 /nfs/dbraw/zinc/43/71/89/422437189.db2.gz YKWUXAXJNVLZFS-UHFFFAOYSA-N -1 1 317.367 1.473 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCN(C(C)(C)C)C2=O)sn1 ZINC000632496445 422391966 /nfs/dbraw/zinc/39/19/66/422391966.db2.gz GPNSWCOWDHBPNX-SECBINFHSA-N -1 1 317.436 1.129 20 0 DDADMM CC1(C)[C@@H](C(F)(F)F)CCN1C(=O)CCCc1nn[n-]n1 ZINC000635846935 422404400 /nfs/dbraw/zinc/40/44/00/422404400.db2.gz CGJZBVOGAPMHKP-QMMMGPOBSA-N -1 1 305.304 1.712 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(C)(F)CC2)co1 ZINC000634359713 422407712 /nfs/dbraw/zinc/40/77/12/422407712.db2.gz IXTWGYSUBCZFRL-UHFFFAOYSA-N -1 1 304.343 1.152 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@H]1CC[C@H](C(F)(F)F)NC1=O ZINC000628961089 422421121 /nfs/dbraw/zinc/42/11/21/422421121.db2.gz OPSXBSOMHWPOJJ-HZGVNTEJSA-N -1 1 320.242 1.927 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCN(c2ccccc2F)C1 ZINC000635956100 422509999 /nfs/dbraw/zinc/50/99/99/422509999.db2.gz OOMJTOVVNMXTFX-LLVKDONJSA-N -1 1 318.356 1.057 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)Nc1ccc(F)cc1Cl ZINC000578003868 422472250 /nfs/dbraw/zinc/47/22/50/422472250.db2.gz SJOAOFZTKGDCJO-UHFFFAOYSA-N -1 1 305.718 1.989 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)C[C@H]3COCCO3)cnc2n1 ZINC000622162274 422537798 /nfs/dbraw/zinc/53/77/98/422537798.db2.gz CSVZCKNDJKWCOL-NSHDSACASA-N -1 1 317.345 1.131 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N(C)C[C@H]1COCCO1)c2=O ZINC000622162274 422537802 /nfs/dbraw/zinc/53/78/02/422537802.db2.gz CSVZCKNDJKWCOL-NSHDSACASA-N -1 1 317.345 1.131 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-][C@@H]1C[C@H]1C(F)(F)F ZINC000632711604 422538822 /nfs/dbraw/zinc/53/88/22/422538822.db2.gz OQQMGMITYQOFKB-PHDIDXHHSA-N -1 1 301.311 1.986 20 0 DDADMM O=C(c1ccc(C(F)(F)F)[nH]1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000578412283 422562520 /nfs/dbraw/zinc/56/25/20/422562520.db2.gz NQKYDMFURPYJAO-ZETCQYMHSA-N -1 1 314.271 1.566 20 0 DDADMM COC[C@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)C[C@@H](C)O1 ZINC000632690129 422522665 /nfs/dbraw/zinc/52/26/65/422522665.db2.gz MCLQNSBVUNUGNO-ZYHUDNBSSA-N -1 1 313.781 1.850 20 0 DDADMM O=C([O-])[C@H]1C[C@H](NC(=O)[C@@H]2CCC[N@H+]2Cc2ccccc2)C1 ZINC000578644722 422616986 /nfs/dbraw/zinc/61/69/86/422616986.db2.gz DKNRCDCYTXZFLU-KKUMJFAQSA-N -1 1 302.374 1.630 20 0 DDADMM O=C([O-])[C@H]1C[C@H](NC(=O)[C@@H]2CCCN2Cc2ccccc2)C1 ZINC000578644722 422616995 /nfs/dbraw/zinc/61/69/95/422616995.db2.gz DKNRCDCYTXZFLU-KKUMJFAQSA-N -1 1 302.374 1.630 20 0 DDADMM O=C(CCc1ccc(F)c2c1CCCC2)NCc1nn[n-]n1 ZINC000631233939 422621213 /nfs/dbraw/zinc/62/12/13/422621213.db2.gz SYBSIQWZXBJEFP-UHFFFAOYSA-N -1 1 303.341 1.467 20 0 DDADMM CC(C)(COCc1ccccc1)NC(=O)CCc1nn[n-]n1 ZINC000632851731 422625255 /nfs/dbraw/zinc/62/52/55/422625255.db2.gz ZYISYTHSOZUQRJ-UHFFFAOYSA-N -1 1 303.366 1.244 20 0 DDADMM CN(CCCOCc1ccccc1)C(=O)CCc1nn[n-]n1 ZINC000632878759 422639735 /nfs/dbraw/zinc/63/97/35/422639735.db2.gz RBQDMJYAKOAGOW-UHFFFAOYSA-N -1 1 303.366 1.198 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC([C@H]2CCCCO2)CC1 ZINC000636205033 422714066 /nfs/dbraw/zinc/71/40/66/422714066.db2.gz QKTDCYNARZJKNR-CYBMUJFWSA-N -1 1 307.398 1.330 20 0 DDADMM CCC[C@@H](NC(=O)CNc1cccc(OC)c1)c1nn[n-]n1 ZINC000653099530 423309658 /nfs/dbraw/zinc/30/96/58/423309658.db2.gz SRWRSSUZPOHCMH-GFCCVEGCSA-N -1 1 304.354 1.278 20 0 DDADMM O=C([O-])CN(CC1CC1)C(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000653324998 423441305 /nfs/dbraw/zinc/44/13/05/423441305.db2.gz HNZNLPIRKNNDEG-INIZCTEOSA-N -1 1 316.401 1.974 20 0 DDADMM CCCOc1cccc(-c2cn(Cc3nc(=O)n(C)[n-]3)nn2)c1 ZINC000641155890 423428762 /nfs/dbraw/zinc/42/87/62/423428762.db2.gz IQQYYENIRUQMNR-UHFFFAOYSA-N -1 1 314.349 1.204 20 0 DDADMM CCc1nc(C)c(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)o1 ZINC000648894482 423525754 /nfs/dbraw/zinc/52/57/54/423525754.db2.gz ONHXTUHLDRAFOH-LBPRGKRZSA-N -1 1 312.333 1.578 20 0 DDADMM CN1CC[C@H](CSc2nc([O-])cc(=O)n2C2CCCC2)C1=O ZINC000641439030 423636725 /nfs/dbraw/zinc/63/67/25/423636725.db2.gz GNBPJFDOFYLDRW-SNVBAGLBSA-N -1 1 323.418 1.634 20 0 DDADMM CN1CC[C@H](CSc2nc(=O)cc([O-])n2C2CCCC2)C1=O ZINC000641439030 423636731 /nfs/dbraw/zinc/63/67/31/423636731.db2.gz GNBPJFDOFYLDRW-SNVBAGLBSA-N -1 1 323.418 1.634 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C)OC2(CCCC2)C1 ZINC000649179797 423662456 /nfs/dbraw/zinc/66/24/56/423662456.db2.gz UFHVSWHLFFKOFC-VIFPVBQESA-N -1 1 323.349 1.070 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cn(C2CCC2)nn1 ZINC000649210227 423678843 /nfs/dbraw/zinc/67/88/43/423678843.db2.gz XHKDRIJVRHOWNX-ZDUSSCGKSA-N -1 1 324.348 1.036 20 0 DDADMM COc1ccccc1OCCN1CCC(c2n[n-]c(=N)o2)CC1 ZINC000639724005 423692834 /nfs/dbraw/zinc/69/28/34/423692834.db2.gz BOVMUUHIQUDULP-UHFFFAOYSA-N -1 1 318.377 1.749 20 0 DDADMM CCN1C[C@H](C[N-]S(=O)(=O)c2cccc(F)c2F)CC1=O ZINC000651856683 423714015 /nfs/dbraw/zinc/71/40/15/423714015.db2.gz LDZAMCZLNRCUTN-VIFPVBQESA-N -1 1 318.345 1.112 20 0 DDADMM O=S(=O)([N-][C@H](CO)CCF)c1cccnc1C(F)(F)F ZINC000651893933 423729431 /nfs/dbraw/zinc/72/94/31/423729431.db2.gz LPYOLSRXEOLXQE-ZETCQYMHSA-N -1 1 316.276 1.099 20 0 DDADMM CC(=O)N1CC[C@H](C[N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC000641599119 423745407 /nfs/dbraw/zinc/74/54/07/423745407.db2.gz YUPGAGRBMRSYLX-SNVBAGLBSA-N -1 1 318.345 1.112 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc2c(cc1F)NC(=O)CC2)C(F)F ZINC000641702485 423881858 /nfs/dbraw/zinc/88/18/58/423881858.db2.gz RBKNNRFGIAVBAV-ZCFIWIBFSA-N -1 1 322.308 1.642 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc2oc(=O)[nH]c2cc1F)C(F)F ZINC000641706415 423886712 /nfs/dbraw/zinc/88/67/12/423886712.db2.gz UJJUUKBWNDMFOP-SCSAIBSYSA-N -1 1 310.253 1.192 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cnnn1-c1ccccc1)C(F)F ZINC000641706301 423887766 /nfs/dbraw/zinc/88/77/66/423887766.db2.gz RSQBJCSRGVRJBR-QMMMGPOBSA-N -1 1 302.306 1.199 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc2c(c1)ncn2C)C(C)C ZINC000647267557 423931795 /nfs/dbraw/zinc/93/17/95/423931795.db2.gz NMMYKINKTNWPMU-AWEZNQCLSA-N -1 1 311.407 1.986 20 0 DDADMM CN(C)c1ncccc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644883972 424009810 /nfs/dbraw/zinc/00/98/10/424009810.db2.gz KLMWNLDOURUCMY-JTQLQIEISA-N -1 1 317.349 1.256 20 0 DDADMM NC(=O)c1csc(=NC2CCN(c3cccs3)CC2)[n-]1 ZINC000644938012 424060612 /nfs/dbraw/zinc/06/06/12/424060612.db2.gz RMECOPABLUHQPF-UHFFFAOYSA-N -1 1 308.432 1.806 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2c(F)cccc2F)c2ncnn21 ZINC000657065105 424067062 /nfs/dbraw/zinc/06/70/62/424067062.db2.gz ZUOFIIKYTIGLAH-OIBJUYFYSA-N -1 1 314.317 1.541 20 0 DDADMM CN(C1CC1)S(=O)(=O)[N-]CC(F)(F)c1cccc(F)c1 ZINC000657080502 424087981 /nfs/dbraw/zinc/08/79/81/424087981.db2.gz XXTBUQOHCNEQDD-UHFFFAOYSA-N -1 1 308.325 1.846 20 0 DDADMM Cc1nn2c(CN3CC[C@H](C)[C@@H](C(=O)[O-])C3)c(C)nc2s1 ZINC000647461112 424050188 /nfs/dbraw/zinc/05/01/88/424050188.db2.gz FXEZRBHDGDHKRA-KWQFWETISA-N -1 1 308.407 1.950 20 0 DDADMM NC(=O)c1csc(=NCc2c(F)c(F)cc(F)c2F)[n-]1 ZINC000644937883 424057104 /nfs/dbraw/zinc/05/71/04/424057104.db2.gz ZIMLWHFIOMNNBV-UHFFFAOYSA-N -1 1 305.256 1.832 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2CCN(C)C(C)(C)C2)[n-]n1 ZINC000640042020 424116589 /nfs/dbraw/zinc/11/65/89/424116589.db2.gz HPIYITWXPXQUQY-UHFFFAOYSA-N -1 1 307.398 1.244 20 0 DDADMM O=C([O-])c1ccc(C[N@H+]2CC[C@@H](Cn3ccnn3)C2)cc1F ZINC000659788108 424253634 /nfs/dbraw/zinc/25/36/34/424253634.db2.gz GBJZBRNHJPDOQT-GFCCVEGCSA-N -1 1 304.325 1.638 20 0 DDADMM Cn1nnc2c1CC[C@H](NC(=O)c1c(F)ccc([O-])c1F)C2 ZINC000655303512 424381541 /nfs/dbraw/zinc/38/15/41/424381541.db2.gz SEDCSRYPURBBGF-ZETCQYMHSA-N -1 1 308.288 1.086 20 0 DDADMM CO[C@H]1C[C@@H](CO)N(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640340616 424364173 /nfs/dbraw/zinc/36/41/73/424364173.db2.gz WOXNZVZAEHQPST-UWVGGRQHSA-N -1 1 307.375 1.332 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N1C[C@H](O)CC(F)(F)C1 ZINC000640339892 424364196 /nfs/dbraw/zinc/36/41/96/424364196.db2.gz ZARNHKGKNKIEHZ-MRVPVSSYSA-N -1 1 313.329 1.952 20 0 DDADMM COCCOC[C@H](C)NC(=O)N=c1[n-]sc2ccccc21 ZINC000640340391 424364785 /nfs/dbraw/zinc/36/47/85/424364785.db2.gz HLQYNSHXFMVREZ-JTQLQIEISA-N -1 1 309.391 1.891 20 0 DDADMM Cn1ncnc1C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000664244055 424482550 /nfs/dbraw/zinc/48/25/50/424482550.db2.gz OPQNZDYRBOMRRJ-UHFFFAOYSA-N -1 1 314.345 1.256 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2ccc3c(c2)C(=O)CC3)n1 ZINC000655493289 424513370 /nfs/dbraw/zinc/51/33/70/424513370.db2.gz DZGFJDKKWNJOGV-QMMMGPOBSA-N -1 1 321.358 1.546 20 0 DDADMM C[C@@H]1C[C@H](c2cccc(F)c2)N([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662204492 424470437 /nfs/dbraw/zinc/47/04/37/424470437.db2.gz HTTVGCILLCOSGT-KCPJHIHWSA-N -1 1 320.364 1.894 20 0 DDADMM C[C@@H]1CN(S(=O)(=O)[N-]CC(F)(F)C(C)(C)C)C[C@@H](C)O1 ZINC000660107636 424532928 /nfs/dbraw/zinc/53/29/28/424532928.db2.gz QEYVRVSFIIYHIP-NXEZZACHSA-N -1 1 314.398 1.611 20 0 DDADMM C[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@]1(C)CO ZINC000664448085 424593563 /nfs/dbraw/zinc/59/35/63/424593563.db2.gz HQAGZROJZTUJIK-QLJPJBMISA-N -1 1 301.346 1.014 20 0 DDADMM CN(CCC(=O)Nc1cccc(Cl)c1)Cc1n[n-]c(=O)o1 ZINC000660849080 424789290 /nfs/dbraw/zinc/78/92/90/424789290.db2.gz NZLGQBNHFHENRU-UHFFFAOYSA-N -1 1 310.741 1.889 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1cccc(F)c1F)OCCO ZINC000341901634 271240382 /nfs/dbraw/zinc/24/03/82/271240382.db2.gz SZXSZOCALHMSJU-UHFFFAOYSA-N -1 1 309.334 1.031 20 0 DDADMM CO[C@H]1CCC[C@@H]1CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000342459905 271423401 /nfs/dbraw/zinc/42/34/01/271423401.db2.gz KPQAUAHPJHMQMQ-ZJUUUORDSA-N -1 1 311.407 1.757 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC2(CC2)c2ccccc21 ZINC000343736705 271849095 /nfs/dbraw/zinc/84/90/95/271849095.db2.gz SFEMRQABHGRZIM-UHFFFAOYSA-N -1 1 323.352 1.595 20 0 DDADMM CCC[C@H](NC(=O)[C@H](O)[C@@H](C)c1ccc(C)cc1)c1nn[n-]n1 ZINC000344642810 272078186 /nfs/dbraw/zinc/07/81/86/272078186.db2.gz AIBKKKGAVSBJAA-FPMFFAJLSA-N -1 1 317.393 1.630 20 0 DDADMM CC(C)[C@@H](O)C1(CNC(=O)c2csc(=NC3CC3)[n-]2)CC1 ZINC000344803329 272121773 /nfs/dbraw/zinc/12/17/73/272121773.db2.gz CEBGJNDDBZKWAW-GFCCVEGCSA-N -1 1 309.435 1.666 20 0 DDADMM COc1cc(NC(=O)c2ccnn2C)ccc1[N-]S(C)(=O)=O ZINC000345420914 272246129 /nfs/dbraw/zinc/24/61/29/272246129.db2.gz AZNNQBSGYVDGCO-UHFFFAOYSA-N -1 1 324.362 1.053 20 0 DDADMM O=C(COCCc1ccccc1)NC1(c2nn[n-]n2)CCCC1 ZINC000345480515 272261187 /nfs/dbraw/zinc/26/11/87/272261187.db2.gz IZOFRDMDYYZYOX-UHFFFAOYSA-N -1 1 315.377 1.345 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000345511024 272269768 /nfs/dbraw/zinc/26/97/68/272269768.db2.gz UMJQEDDEOPCCTQ-SCZZXKLOSA-N -1 1 318.345 1.158 20 0 DDADMM COCc1nc(=NC(=O)c2cnc3ccccc3c2O)s[n-]1 ZINC000346051588 272398899 /nfs/dbraw/zinc/39/88/99/272398899.db2.gz BHUJSNIRKFBIFP-UHFFFAOYSA-N -1 1 316.342 1.200 20 0 DDADMM CC(C)(C)c1n[nH]cc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000299166304 275537870 /nfs/dbraw/zinc/53/78/70/275537870.db2.gz ZWPUDQCXQOBDCI-VIFPVBQESA-N -1 1 318.381 1.138 20 0 DDADMM CCN(C(=O)c1ccc(Cl)cc1[O-])[C@@H](C)CS(C)(=O)=O ZINC000112615178 279012888 /nfs/dbraw/zinc/01/28/88/279012888.db2.gz FVEKDEGAWHTCHN-VIFPVBQESA-N -1 1 319.810 1.941 20 0 DDADMM CC(C)C[C@H](CNc1cccc(C(=O)[O-])n1)N1CCOCC1 ZINC000416460278 279165811 /nfs/dbraw/zinc/16/58/11/279165811.db2.gz LHCCALOOPDYBCU-CYBMUJFWSA-N -1 1 307.394 1.939 20 0 DDADMM Cn1[n-]c(CN2CCCN(c3ccccc3Cl)CC2)nc1=O ZINC000333673258 279299582 /nfs/dbraw/zinc/29/95/82/279299582.db2.gz JGXDVONIBSEGLR-UHFFFAOYSA-N -1 1 321.812 1.474 20 0 DDADMM CC(C)N1CC[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1=O ZINC000084938221 281014681 /nfs/dbraw/zinc/01/46/81/281014681.db2.gz AIAYGRLRMJKBBP-LBPRGKRZSA-N -1 1 322.386 1.720 20 0 DDADMM O=C(CCNC(=O)c1ccc([O-])cc1F)NCc1ccccn1 ZINC000123203844 281167619 /nfs/dbraw/zinc/16/76/19/281167619.db2.gz ABEQOABRKGLCLG-UHFFFAOYSA-N -1 1 317.320 1.363 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1cccc([N+](=O)[O-])c1)c1nn[n-]n1 ZINC000124264061 281187631 /nfs/dbraw/zinc/18/76/31/281187631.db2.gz AIUJGVIFIRFFTC-VQCYPWCPSA-N -1 1 316.321 1.383 20 0 DDADMM O=C(NC[C@H]1CCCS1(=O)=O)c1ccc(Cl)cc1[O-] ZINC000127030931 281260597 /nfs/dbraw/zinc/26/05/97/281260597.db2.gz XLMMPSODFFALPA-SECBINFHSA-N -1 1 303.767 1.353 20 0 DDADMM COc1cc(C(=O)N[C@@H](C(N)=O)C(C)(C)C)cc(Cl)c1[O-] ZINC000135077237 281574622 /nfs/dbraw/zinc/57/46/22/281574622.db2.gz PZXYJXFZXBDASF-NSHDSACASA-N -1 1 314.769 1.684 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1c2ccccc2O[C@@H]1C ZINC000289756999 294063231 /nfs/dbraw/zinc/06/32/31/294063231.db2.gz GVKGKRGHUPHFPN-HQJQHLMTSA-N -1 1 309.347 1.366 20 0 DDADMM COc1ccc(-n2ccc(CNC(=O)c3cncc([O-])c3)n2)cc1 ZINC000171866107 298237605 /nfs/dbraw/zinc/23/76/05/298237605.db2.gz MQVXDHQEPWVQLZ-UHFFFAOYSA-N -1 1 324.340 1.912 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cncnc2)c(C)c1C(=O)[O-] ZINC000362217532 300029998 /nfs/dbraw/zinc/02/99/98/300029998.db2.gz KALLDCRHJWBNSX-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM C[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@H](C)S1(=O)=O ZINC000291371453 300182420 /nfs/dbraw/zinc/18/24/20/300182420.db2.gz WEOXFCHRTDKMKP-YUMQZZPRSA-N -1 1 319.329 1.318 20 0 DDADMM O=C([C@H]1CCCc2ccccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363394995 300261902 /nfs/dbraw/zinc/26/19/02/300261902.db2.gz GTPRCMWPZQYYGV-KBPBESRZSA-N -1 1 313.361 1.220 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@@]2(CC=CCC2)C1 ZINC000368134004 300961011 /nfs/dbraw/zinc/96/10/11/300961011.db2.gz LCVTXCDQSLSUHR-AWEZNQCLSA-N -1 1 311.407 1.907 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000368500320 301041534 /nfs/dbraw/zinc/04/15/34/301041534.db2.gz BHLVWEXVANNHHF-ZYAQMDEOSA-N -1 1 309.732 1.854 20 0 DDADMM CCOCC(=O)N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000368502423 301042188 /nfs/dbraw/zinc/04/21/88/301042188.db2.gz MBTFXUVHKNZMBP-LLVKDONJSA-N -1 1 313.720 1.234 20 0 DDADMM CC(C)(C)c1ncsc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000369970160 301248525 /nfs/dbraw/zinc/24/85/25/301248525.db2.gz PICWEIMRENVLBE-QMMMGPOBSA-N -1 1 322.394 1.167 20 0 DDADMM CCCCC[C@@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)C(C)C ZINC000370329346 301317708 /nfs/dbraw/zinc/31/77/08/301317708.db2.gz CRNMRNJKPWAFKB-CHWSQXEVSA-N -1 1 309.414 1.952 20 0 DDADMM Cc1ccccc1C(C)(C)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370857644 301398072 /nfs/dbraw/zinc/39/80/72/301398072.db2.gz PEVIDLKFUIAXTL-ZDUSSCGKSA-N -1 1 315.377 1.386 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC3(CCC3)CC2)o1 ZINC000375855377 302005291 /nfs/dbraw/zinc/00/52/91/302005291.db2.gz ABDMUNVJWTZPRN-UHFFFAOYSA-N -1 1 312.391 1.594 20 0 DDADMM O=C(N=c1[n-]ncn1-c1cccnc1)c1cc(-c2ccco2)n[nH]1 ZINC000377172645 302151375 /nfs/dbraw/zinc/15/13/75/302151375.db2.gz WMIFIYODYCUDFZ-UHFFFAOYSA-N -1 1 321.300 1.320 20 0 DDADMM COC(=O)c1ccc(C(=O)OC2CN(C(=O)OC(C)(C)C)C2)[n-]1 ZINC000497188973 302686135 /nfs/dbraw/zinc/68/61/35/302686135.db2.gz CCZUNOHLGUIAGW-UHFFFAOYSA-N -1 1 324.333 1.577 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CC[C@H](O)CC2)o1 ZINC000513092496 302731453 /nfs/dbraw/zinc/73/14/53/302731453.db2.gz NRNYAOOPTPBYGQ-MGCOHNPYSA-N -1 1 317.363 1.038 20 0 DDADMM Cn1[n-]nnc1=NC[C@H](c1ccccc1Cl)N1CCCC1 ZINC000520990189 302869100 /nfs/dbraw/zinc/86/91/00/302869100.db2.gz NNTQZLOAWLGXEJ-CYBMUJFWSA-N -1 1 306.801 1.534 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC(C1CCC1)C1CCC1 ZINC000529614992 303141473 /nfs/dbraw/zinc/14/14/73/303141473.db2.gz XNIBQLAKDKCZTA-UHFFFAOYSA-N -1 1 321.377 1.843 20 0 DDADMM COc1ccc(OCCCN2C[C@@H](C)O[C@H](C(=O)[O-])C2)cc1 ZINC000530172705 303179606 /nfs/dbraw/zinc/17/96/06/303179606.db2.gz ODSIMMBDCNAURG-DOMZBBRYSA-N -1 1 309.362 1.638 20 0 DDADMM C[C@@H](Sc1ccccn1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000531010804 303224887 /nfs/dbraw/zinc/22/48/87/303224887.db2.gz LCSNFOKQQPPYCL-SNVBAGLBSA-N -1 1 318.406 1.661 20 0 DDADMM O=C(c1ccc(-c2cnco2)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000533113734 303309801 /nfs/dbraw/zinc/30/98/01/303309801.db2.gz RIAPBLSLXBHGOU-ZDUSSCGKSA-N -1 1 324.344 1.875 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2ccc3[nH]nnc3c2)nc1C ZINC000535053878 303342776 /nfs/dbraw/zinc/34/27/76/303342776.db2.gz MRDSXYVDMNPALS-UHFFFAOYSA-N -1 1 306.351 1.284 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2ccc3nn[nH]c3c2)nc1C ZINC000535053878 303342778 /nfs/dbraw/zinc/34/27/78/303342778.db2.gz MRDSXYVDMNPALS-UHFFFAOYSA-N -1 1 306.351 1.284 20 0 DDADMM COC[C@@](C)(O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000545214244 303484368 /nfs/dbraw/zinc/48/43/68/303484368.db2.gz YMTUYQZQUFFEOF-NSHDSACASA-N -1 1 311.762 1.155 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]2OCC[C@@H]21)c1nc2ccccc2c(=O)[n-]1 ZINC000152442538 303863573 /nfs/dbraw/zinc/86/35/73/303863573.db2.gz GLSUFPPEHWBURT-MPKXVKKWSA-N -1 1 313.357 1.611 20 0 DDADMM CCN(C)C(=O)CN1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000361666260 307002186 /nfs/dbraw/zinc/00/21/86/307002186.db2.gz DDYQABLOYRDFGL-UHFFFAOYSA-N -1 1 304.390 1.765 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@@]2(CCOC2)O1 ZINC000366560531 307076439 /nfs/dbraw/zinc/07/64/39/307076439.db2.gz BZTIITXCQKHOGE-MLGOLLRUSA-N -1 1 309.337 1.941 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(CCc2ccccc2)C1 ZINC000367772520 307103949 /nfs/dbraw/zinc/10/39/49/307103949.db2.gz FKPSCMCTQYWBSA-OAHLLOKOSA-N -1 1 320.389 1.162 20 0 DDADMM CS[C@@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000369557862 307124149 /nfs/dbraw/zinc/12/41/49/307124149.db2.gz CVTSCFFOUMPJCW-NWDGAFQWSA-N -1 1 319.390 1.204 20 0 DDADMM C[C@@]1(C2CCN(Cc3cc(O)cc(F)c3)CC2)NC(=O)NC1=O ZINC000372222461 307165500 /nfs/dbraw/zinc/16/55/00/307165500.db2.gz NUJGCAHOCRJZIL-INIZCTEOSA-N -1 1 321.352 1.341 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cccc2c1O[C@@H](C)C2 ZINC000451423170 307306625 /nfs/dbraw/zinc/30/66/25/307306625.db2.gz DPCVEVKQDGGTAE-QMMMGPOBSA-N -1 1 314.345 1.075 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)c2ccccc21 ZINC000544743796 307718894 /nfs/dbraw/zinc/71/88/94/307718894.db2.gz GXKAOTULTHAHIK-JTQLQIEISA-N -1 1 309.329 1.572 20 0 DDADMM O=C(CCNC(=O)c1c([O-])cccc1F)Nc1ccccn1 ZINC000548450121 307769894 /nfs/dbraw/zinc/76/98/94/307769894.db2.gz DRNIMWRAGGPERJ-UHFFFAOYSA-N -1 1 303.293 1.685 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCC(=O)CC1 ZINC000554511957 307833930 /nfs/dbraw/zinc/83/39/30/307833930.db2.gz UNIMFNPSJAXBAB-UHFFFAOYSA-N -1 1 316.361 1.176 20 0 DDADMM O=C(N[C@H]1C[C@H]2CCCCN2C1=O)c1cc(Cl)ccc1[O-] ZINC000563514269 307972344 /nfs/dbraw/zinc/97/23/44/307972344.db2.gz SUGGTZWQIPNMPW-PWSUYJOCSA-N -1 1 308.765 1.929 20 0 DDADMM CCN(CCC(=O)[O-])Cc1ccc(S(=O)(=O)N(C)C)s1 ZINC000565108181 308020889 /nfs/dbraw/zinc/02/08/89/308020889.db2.gz VUHYTHLCVQKWCR-UHFFFAOYSA-N -1 1 320.436 1.295 20 0 DDADMM CC[C@@H](C)C[C@H]([N-]S(=O)(=O)CC(C)(C)OC)C(=O)OC ZINC000566168745 308047917 /nfs/dbraw/zinc/04/79/17/308047917.db2.gz ZZMHUYGVYLCTTI-MNOVXSKESA-N -1 1 309.428 1.309 20 0 DDADMM CCC[C@@H](C)[C@H](CO)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000568032931 308104488 /nfs/dbraw/zinc/10/44/88/308104488.db2.gz WYRRPPGQTAERNO-KOLCDFICSA-N -1 1 319.379 1.142 20 0 DDADMM COCC[C@@H]([N-]S(=O)(=O)c1cscn1)c1ccco1 ZINC000568623677 308125087 /nfs/dbraw/zinc/12/50/87/308125087.db2.gz UZTLFUNSWGUONF-SECBINFHSA-N -1 1 302.377 1.792 20 0 DDADMM O=C(NCCOCC1CCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000573323867 308237701 /nfs/dbraw/zinc/23/77/01/308237701.db2.gz HPNGNVINMKIBAP-UHFFFAOYSA-N -1 1 315.373 1.860 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1CC(=O)Nc1ccc(Cl)cn1 ZINC000575922122 308282546 /nfs/dbraw/zinc/28/25/46/308282546.db2.gz GEVGPKVYVDKPAK-NXEZZACHSA-N -1 1 311.769 1.859 20 0 DDADMM C[C@H]1[C@@H](C(=O)[O-])CCN1c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000577182503 308368717 /nfs/dbraw/zinc/36/87/17/308368717.db2.gz MZGYHWLWUGHFLF-WDSKDSINSA-N -1 1 315.255 1.671 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCC[C@H](F)C2)co1 ZINC000579358074 308526398 /nfs/dbraw/zinc/52/63/98/308526398.db2.gz KCYUYQYIMMTBKC-UWVGGRQHSA-N -1 1 304.343 1.198 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2c([O-])cccc2F)[C@@H]1n1cccn1 ZINC000579518971 308537658 /nfs/dbraw/zinc/53/76/58/308537658.db2.gz WCTYBNYFCCMOJE-KYOSRNDESA-N -1 1 319.336 1.876 20 0 DDADMM NC(=O)N1CCC[C@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000581945520 325940893 /nfs/dbraw/zinc/94/08/93/325940893.db2.gz GUBLTCDQLJXASC-LBPRGKRZSA-N -1 1 315.333 1.264 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1nc2ccccc2s1)[C@@H](C)O ZINC000584587759 337369918 /nfs/dbraw/zinc/36/99/18/337369918.db2.gz BDBULMGIDBBYOJ-BDAKNGLRSA-N -1 1 300.405 1.734 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)NC[C@@H](C(=O)[O-])C(C)C)C2)n[nH]1 ZINC000655895213 483986854 /nfs/dbraw/zinc/98/68/54/483986854.db2.gz RUXKQSLINVTYNJ-VXGBXAGGSA-N -1 1 323.397 1.359 20 0 DDADMM O=C(c1cc2cccnc2[nH]1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425304713 484117439 /nfs/dbraw/zinc/11/74/39/484117439.db2.gz QMDSKTBAHOIQKL-SNVBAGLBSA-N -1 1 313.317 1.671 20 0 DDADMM COCCN(Cc1cccnc1)C(=O)C(=O)c1ccc([O-])cc1 ZINC000436955553 484131010 /nfs/dbraw/zinc/13/10/10/484131010.db2.gz LBKJPTWZCDUGSK-UHFFFAOYSA-N -1 1 314.341 1.645 20 0 DDADMM O=S(=O)([N-]c1ccn(C2CCOCC2)n1)N1CCCCC1 ZINC000656636823 484253576 /nfs/dbraw/zinc/25/35/76/484253576.db2.gz ZRUHJWFTYAFCRC-UHFFFAOYSA-N -1 1 314.411 1.377 20 0 DDADMM O=S(=O)(CCc1ccccc1)[N-]c1ccc2c(n1)OCCO2 ZINC000656740664 484311177 /nfs/dbraw/zinc/31/11/77/484311177.db2.gz GSDJCDZWTNZBFA-UHFFFAOYSA-N -1 1 320.370 1.837 20 0 DDADMM CCc1[nH][nH]c(=NC(=O)c2cc(OC)c([O-])c(OC)c2)c1C ZINC000564226327 484333984 /nfs/dbraw/zinc/33/39/84/484333984.db2.gz UXCYYRGPYSIWOG-UHFFFAOYSA-N -1 1 305.334 1.678 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)[nH]n1 ZINC000670383918 484801409 /nfs/dbraw/zinc/80/14/09/484801409.db2.gz TWZBDPZZAXTGEN-SECBINFHSA-N -1 1 319.365 1.816 20 0 DDADMM CC(C)(C)C(=O)NCCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670384020 484801452 /nfs/dbraw/zinc/80/14/52/484801452.db2.gz YRJXOVUTYFXZTA-JTQLQIEISA-N -1 1 324.381 1.034 20 0 DDADMM O=C([O-])[C@H]1CCN(c2nc(C(F)(F)F)nc3[nH]cnc32)C1 ZINC000668121784 484965822 /nfs/dbraw/zinc/96/58/22/484965822.db2.gz IGINACRSPXPCMJ-YFKPBYRVSA-N -1 1 301.228 1.283 20 0 DDADMM C[C@H]1NC(=O)N(CCSc2nc(C3CC3)cc(=O)[n-]2)C1=O ZINC000665686916 485004349 /nfs/dbraw/zinc/00/43/49/485004349.db2.gz AUIOIVLLEWXHOI-SSDOTTSWSA-N -1 1 308.363 1.092 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCC2(F)F)o1 ZINC000672494321 485306742 /nfs/dbraw/zinc/30/67/42/485306742.db2.gz AAWXKYJNGAPRJK-QMMMGPOBSA-N -1 1 308.306 1.105 20 0 DDADMM CCc1ccc(CC(=O)NC2(c3nn[n-]n3)CCCC2)nc1 ZINC000668868444 485345291 /nfs/dbraw/zinc/34/52/91/485345291.db2.gz BDSAOWAEYDKCGB-UHFFFAOYSA-N -1 1 300.366 1.285 20 0 DDADMM O=c1cc(/C=C\c2ccccc2-n2cncn2)nc2nc[n-]n21 ZINC000672775428 485346107 /nfs/dbraw/zinc/34/61/07/485346107.db2.gz XZDNEBBXEAEPJE-WAYWQWQTSA-N -1 1 305.301 1.169 20 0 DDADMM C[C@@H](CN(C)C(=O)CCCc1ccc(O)c(F)c1)c1nn[n-]n1 ZINC000682531204 485655761 /nfs/dbraw/zinc/65/57/61/485655761.db2.gz UVOXZVIZXPSZME-JTQLQIEISA-N -1 1 321.356 1.629 20 0 DDADMM C[C@H](C[C@H]1CCOC1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000675289899 485904041 /nfs/dbraw/zinc/90/40/41/485904041.db2.gz JCNPPBSTPAWAPF-GHMZBOCLSA-N -1 1 301.346 1.468 20 0 DDADMM CCN(C)[C@H](C(=O)N(CCOC)CC(=O)[O-])c1ccccc1 ZINC000676208448 486143448 /nfs/dbraw/zinc/14/34/48/486143448.db2.gz YZKLXLRNOXRRLP-HNNXBMFYSA-N -1 1 308.378 1.239 20 0 DDADMM CC(C)c1cc(C(=O)NCc2nn[n-]n2)nn1-c1ccccc1 ZINC000676473463 486232305 /nfs/dbraw/zinc/23/23/05/486232305.db2.gz ZDPFFXCUGKUHCS-UHFFFAOYSA-N -1 1 311.349 1.439 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2nc(C)no2)c(F)c1 ZINC000684105490 486250909 /nfs/dbraw/zinc/25/09/09/486250909.db2.gz FVZVNGGIYUOKJH-UHFFFAOYSA-N -1 1 319.289 1.143 20 0 DDADMM NC(=O)Nc1ccc(CNC(=O)c2ccc([O-])c(F)c2)cc1 ZINC000681014449 486324059 /nfs/dbraw/zinc/32/40/59/486324059.db2.gz MVCWHYUEXZXJNP-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCCC[C@@H]1CN1CCOCC1 ZINC000681045350 486329680 /nfs/dbraw/zinc/32/96/80/486329680.db2.gz QGEOMJPQMSVIKG-CQSZACIVSA-N -1 1 322.380 1.858 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@H](NC(=O)c2ccc([O-])c(F)c2)C1 ZINC000681049843 486332267 /nfs/dbraw/zinc/33/22/67/486332267.db2.gz UUKLLIXOJPLZKE-QWRGUYRKSA-N -1 1 315.366 1.617 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCCC[C@@H]1[C@@H]1CNC(=O)C1 ZINC000681063228 486335952 /nfs/dbraw/zinc/33/59/52/486335952.db2.gz QFORLPROWAJDCA-WCQYABFASA-N -1 1 306.337 1.662 20 0 DDADMM O=C(c1csc(NC2CC2)n1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000676840468 486340630 /nfs/dbraw/zinc/34/06/30/486340630.db2.gz OPOZESYTDWJTIG-MRVPVSSYSA-N -1 1 319.394 1.250 20 0 DDADMM C[C@@H]1CCCN1C(=O)CCN1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000676989670 486376049 /nfs/dbraw/zinc/37/60/49/486376049.db2.gz XFGFPGLQTZRNIG-NEPJUHHUSA-N -1 1 307.398 1.091 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CC2CC2)C2CCC2)o1 ZINC000684531265 486377839 /nfs/dbraw/zinc/37/78/39/486377839.db2.gz GHRGYCQKHKMRDU-UHFFFAOYSA-N -1 1 312.391 1.592 20 0 DDADMM Cc1noc(C(F)F)c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000681352852 486410512 /nfs/dbraw/zinc/41/05/12/486410512.db2.gz ILHMSARDJRPOCA-RXMQYKEDSA-N -1 1 300.269 1.309 20 0 DDADMM Cc1nn(C(C)(C)C)c(C)c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000681353438 486410575 /nfs/dbraw/zinc/41/05/75/486410575.db2.gz MCXZADBOGZNCHV-VIFPVBQESA-N -1 1 319.413 1.644 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H](C)C(C)(F)F)c(C)o1 ZINC000451072404 533918189 /nfs/dbraw/zinc/91/81/89/533918189.db2.gz OBONUBKAEBJBGW-ZETCQYMHSA-N -1 1 311.306 1.697 20 0 DDADMM CS[C@@H](CO)[C@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000330645434 533938443 /nfs/dbraw/zinc/93/84/43/533938443.db2.gz LEDBJQBLFLOCFV-CBAPKCEASA-N -1 1 310.466 1.151 20 0 DDADMM C[C@@H](Cn1ccnc1)[N-]S(=O)(=O)c1sccc1Cl ZINC000451061110 534272366 /nfs/dbraw/zinc/27/23/66/534272366.db2.gz ZSBDPLKNBBWOKJ-QMMMGPOBSA-N -1 1 305.812 1.965 20 0 DDADMM CCCC[C@H]1CCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337901900 517574635 /nfs/dbraw/zinc/57/46/35/517574635.db2.gz JPPQVYVCSNIHEF-QWHCGFSZSA-N -1 1 319.405 1.992 20 0 DDADMM CC[C@@H](OC1CCCCC1)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000156529302 523032143 /nfs/dbraw/zinc/03/21/43/523032143.db2.gz UQJFTTMTVLGEHH-DGCLKSJQSA-N -1 1 309.414 1.890 20 0 DDADMM CC(C)(C)OC(=O)C[N-]S(=O)(=O)C[C@@H]1CCCC1(F)F ZINC000451211486 526371143 /nfs/dbraw/zinc/37/11/43/526371143.db2.gz UGJFYHLDZZNIOZ-VIFPVBQESA-N -1 1 313.366 1.683 20 0 DDADMM CC(C)C(=O)N[C@@H](CO)C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000292376711 527518924 /nfs/dbraw/zinc/51/89/24/527518924.db2.gz ZOAFYGPYKMEXAT-JTQLQIEISA-N -1 1 300.742 1.117 20 0 DDADMM CCO[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000452039835 527788276 /nfs/dbraw/zinc/78/82/76/527788276.db2.gz PFQGDOUZZSIDQJ-GHMZBOCLSA-N -1 1 323.773 1.561 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1C[C@H]1c1ccccc1OC)c1nn[n-]n1 ZINC000413596687 528197695 /nfs/dbraw/zinc/19/76/95/528197695.db2.gz VJTRZQUZZPXCLN-XQQFMLRXSA-N -1 1 315.377 1.969 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C1CCC1 ZINC000458306176 528202819 /nfs/dbraw/zinc/20/28/19/528202819.db2.gz MJAWPELXKIKBLU-NSHDSACASA-N -1 1 314.407 1.886 20 0 DDADMM CCOc1cc(CN[C@@H](C(=O)[O-])c2cnn(C)c2)ccc1OC ZINC000417592716 528280313 /nfs/dbraw/zinc/28/03/13/528280313.db2.gz HILHFMHIYVLTNA-OAHLLOKOSA-N -1 1 319.361 1.743 20 0 DDADMM CC(C)C[C@@H](CNC(=O)N1CCN(C)C[C@H]1C(C)C)C(=O)[O-] ZINC000424405860 528321363 /nfs/dbraw/zinc/32/13/63/528321363.db2.gz FHCQXNRUATUOOW-KBPBESRZSA-N -1 1 313.442 1.715 20 0 DDADMM CC(C)C[C@H](CNC(=O)NCc1n[nH]c(C(C)C)n1)C(=O)[O-] ZINC000424396417 528526483 /nfs/dbraw/zinc/52/64/83/528526483.db2.gz HGYOEQFVJNWAIC-SNVBAGLBSA-N -1 1 311.386 1.474 20 0 DDADMM CCOC(=O)CC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451273113 528738794 /nfs/dbraw/zinc/73/87/94/528738794.db2.gz DAQBOGLVJGMEGD-UHFFFAOYSA-N -1 1 315.322 1.803 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1-c1nc(CNC)no1 ZINC000414496041 528820332 /nfs/dbraw/zinc/82/03/32/528820332.db2.gz CSAKORMRXUVGAG-UHFFFAOYSA-N -1 1 310.379 1.608 20 0 DDADMM CCC[C@@H](CCO)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000443307141 528936330 /nfs/dbraw/zinc/93/63/30/528936330.db2.gz KRPGUIPYGHCCLS-NSHDSACASA-N -1 1 306.453 1.837 20 0 DDADMM O=C(OCc1nc(C2CC2)no1)c1sccc1-c1nn[n-]n1 ZINC000738243872 598844487 /nfs/dbraw/zinc/84/44/87/598844487.db2.gz BWOWVEPDGRWSKL-UHFFFAOYSA-N -1 1 318.318 1.546 20 0 DDADMM COCCN(CC(C)C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736991119 598990063 /nfs/dbraw/zinc/99/00/63/598990063.db2.gz YEUJKBCLQCFLKB-UHFFFAOYSA-N -1 1 304.354 1.006 20 0 DDADMM COCCN(CC(C)C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736991119 598990064 /nfs/dbraw/zinc/99/00/64/598990064.db2.gz YEUJKBCLQCFLKB-UHFFFAOYSA-N -1 1 304.354 1.006 20 0 DDADMM CCN(CCc1ccccc1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736542640 598990122 /nfs/dbraw/zinc/99/01/22/598990122.db2.gz VUHXOPFFBRCHID-UHFFFAOYSA-N -1 1 322.372 1.967 20 0 DDADMM CCN(CCc1ccccc1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736542640 598990123 /nfs/dbraw/zinc/99/01/23/598990123.db2.gz VUHXOPFFBRCHID-UHFFFAOYSA-N -1 1 322.372 1.967 20 0 DDADMM C[C@@H]1C[C@H]1c1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n[nH]1 ZINC000736241250 599108464 /nfs/dbraw/zinc/10/84/64/599108464.db2.gz WPESKDPTURXXQP-VXNVDRBHSA-N -1 1 310.321 1.361 20 0 DDADMM C[C@@H]1C[C@H]1c1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n[nH]1 ZINC000736241250 599108469 /nfs/dbraw/zinc/10/84/69/599108469.db2.gz WPESKDPTURXXQP-VXNVDRBHSA-N -1 1 310.321 1.361 20 0 DDADMM Cc1cnc(Cn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)o1 ZINC000737446851 599167491 /nfs/dbraw/zinc/16/74/91/599167491.db2.gz WKFHAWQDCLOVSH-UHFFFAOYSA-N -1 1 308.301 1.526 20 0 DDADMM C[S@@](=O)CCCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000737171500 599204230 /nfs/dbraw/zinc/20/42/30/599204230.db2.gz BVLMNINQCSFGMQ-GOSISDBHSA-N -1 1 306.804 1.157 20 0 DDADMM C[S@@](=O)CCCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000737171500 599204231 /nfs/dbraw/zinc/20/42/31/599204231.db2.gz BVLMNINQCSFGMQ-GOSISDBHSA-N -1 1 306.804 1.157 20 0 DDADMM C[C@H](C(=O)NC(=O)Nc1ccccc1)N1CCC(C(=O)[O-])CC1 ZINC000738619971 596938103 /nfs/dbraw/zinc/93/81/03/596938103.db2.gz PKLNVDWJNMWZDL-LLVKDONJSA-N -1 1 319.361 1.520 20 0 DDADMM CN(CCC(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000818429121 596988714 /nfs/dbraw/zinc/98/87/14/596988714.db2.gz OWGKLANZBVSPLN-CYBMUJFWSA-N -1 1 323.393 1.923 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)N(C)CCC(=O)[O-])N2CCCC2)o1 ZINC000818879406 596993932 /nfs/dbraw/zinc/99/39/32/596993932.db2.gz YWIBPKRPSVPFLC-CYBMUJFWSA-N -1 1 323.393 1.841 20 0 DDADMM Cc1[nH]c(SCC(=O)NCC(=O)[O-])nc1Cc1ccccc1 ZINC000740034828 597020630 /nfs/dbraw/zinc/02/06/30/597020630.db2.gz MTKAHJSJBJVSHM-UHFFFAOYSA-N -1 1 319.386 1.602 20 0 DDADMM Cc1cc(NC(=O)CN[C@H](C)C(=O)[O-])ccc1Br ZINC000035388604 597066701 /nfs/dbraw/zinc/06/67/01/597066701.db2.gz DANLRGZPQKPXLB-MRVPVSSYSA-N -1 1 315.167 1.759 20 0 DDADMM OC[C@@H]1CCCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000738395745 599254460 /nfs/dbraw/zinc/25/44/60/599254460.db2.gz YSUMPZUNOZPKTE-LLVKDONJSA-N -1 1 310.361 1.624 20 0 DDADMM OC[C@@H]1CCCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000738395745 599254462 /nfs/dbraw/zinc/25/44/62/599254462.db2.gz YSUMPZUNOZPKTE-LLVKDONJSA-N -1 1 310.361 1.624 20 0 DDADMM CCCN1CCN(C(=O)Nc2ccccc2CC(=O)[O-])CC1 ZINC000315710136 597326174 /nfs/dbraw/zinc/32/61/74/597326174.db2.gz CPHASRNKYNAWRL-UHFFFAOYSA-N -1 1 305.378 1.873 20 0 DDADMM O=C([O-])[C@H]1CCN(C[C@H](O)c2cccc(Br)c2)C1 ZINC000819280970 597530576 /nfs/dbraw/zinc/53/05/76/597530576.db2.gz JNBWVBXNGVPLJJ-JQWIXIFHSA-N -1 1 314.179 1.889 20 0 DDADMM CCOc1ccc(NC(=O)[C@H](C)N2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000246731884 597534342 /nfs/dbraw/zinc/53/43/42/597534342.db2.gz YKCPLCIMQKQEPP-NWDGAFQWSA-N -1 1 306.362 1.819 20 0 DDADMM C[S@@](=O)Cc1cccc(NCc2ccc(-c3nnn[n-]3)o2)c1 ZINC000821942423 607317801 /nfs/dbraw/zinc/31/78/01/607317801.db2.gz NOJQHIITXLJEKB-JOCHJYFZSA-N -1 1 317.374 1.950 20 0 DDADMM C[S@@](=O)Cc1cccc(NCc2ccc(-c3nn[n-]n3)o2)c1 ZINC000821942423 607317802 /nfs/dbraw/zinc/31/78/02/607317802.db2.gz NOJQHIITXLJEKB-JOCHJYFZSA-N -1 1 317.374 1.950 20 0 DDADMM C[C@@H](NCC(=O)NCC(=O)[O-])c1cccc(C(F)(F)F)c1 ZINC000820142258 597807142 /nfs/dbraw/zinc/80/71/42/597807142.db2.gz RTCRGQQDECNRHL-MRVPVSSYSA-N -1 1 304.268 1.557 20 0 DDADMM Cc1nn(C)c(OCC(F)(F)F)c1CN(C)[C@H](C)C(=O)[O-] ZINC000821208726 598132209 /nfs/dbraw/zinc/13/22/09/598132209.db2.gz DXQMWNKGYOPJOD-MRVPVSSYSA-N -1 1 309.288 1.575 20 0 DDADMM FC(F)Oc1ccc(CNc2nccnc2-c2nnn[n-]2)cc1 ZINC000737639720 598337910 /nfs/dbraw/zinc/33/79/10/598337910.db2.gz VXGDNBZPFGTVQZ-UHFFFAOYSA-N -1 1 319.275 1.870 20 0 DDADMM FC(F)Oc1ccc(CNc2nccnc2-c2nn[n-]n2)cc1 ZINC000737639720 598337912 /nfs/dbraw/zinc/33/79/12/598337912.db2.gz VXGDNBZPFGTVQZ-UHFFFAOYSA-N -1 1 319.275 1.870 20 0 DDADMM CC(=O)N(C)c1ccc(Nc2nccnc2-c2nnn[n-]2)cc1 ZINC000735459005 598349065 /nfs/dbraw/zinc/34/90/65/598349065.db2.gz UZVWXKXJKZZCOB-UHFFFAOYSA-N -1 1 310.321 1.383 20 0 DDADMM CC(=O)N(C)c1ccc(Nc2nccnc2-c2nn[n-]n2)cc1 ZINC000735459005 598349067 /nfs/dbraw/zinc/34/90/67/598349067.db2.gz UZVWXKXJKZZCOB-UHFFFAOYSA-N -1 1 310.321 1.383 20 0 DDADMM COc1ccc([C@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000737077420 598351891 /nfs/dbraw/zinc/35/18/91/598351891.db2.gz TYDVGOAEWZXVEY-LBPRGKRZSA-N -1 1 323.360 1.659 20 0 DDADMM COc1ccc([C@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000737077420 598351893 /nfs/dbraw/zinc/35/18/93/598351893.db2.gz TYDVGOAEWZXVEY-LBPRGKRZSA-N -1 1 323.360 1.659 20 0 DDADMM Cc1oc(-c2ccsc2)nc1Cn1cnc(-c2nn[n-]n2)n1 ZINC000737546137 598363749 /nfs/dbraw/zinc/36/37/49/598363749.db2.gz DCEVRKKDONKUIZ-UHFFFAOYSA-N -1 1 314.334 1.531 20 0 DDADMM Cc1nn(C[C@H]2CC2(Cl)Cl)c(=O)c(-c2nn[n-]n2)c1C ZINC000737513737 598473368 /nfs/dbraw/zinc/47/33/68/598473368.db2.gz SQTKTSFZBLFOGT-SSDOTTSWSA-N -1 1 315.164 1.234 20 0 DDADMM CCN(CC)C(=O)CN(C)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736524387 598570797 /nfs/dbraw/zinc/57/07/97/598570797.db2.gz VLQOILRAMUKOFM-UHFFFAOYSA-N -1 1 323.788 1.220 20 0 DDADMM CCN(CC)C(=O)CN(C)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736524387 598570799 /nfs/dbraw/zinc/57/07/99/598570799.db2.gz VLQOILRAMUKOFM-UHFFFAOYSA-N -1 1 323.788 1.220 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC(c2cn[nH]c2)CC1 ZINC000738259804 599390003 /nfs/dbraw/zinc/39/00/03/599390003.db2.gz WIVKPZMRSWZFQC-UHFFFAOYSA-N -1 1 324.348 1.005 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC(c2cn[nH]c2)CC1 ZINC000738259804 599390009 /nfs/dbraw/zinc/39/00/09/599390009.db2.gz WIVKPZMRSWZFQC-UHFFFAOYSA-N -1 1 324.348 1.005 20 0 DDADMM COc1ccc(OCCNc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000737111783 599400182 /nfs/dbraw/zinc/40/01/82/599400182.db2.gz NTGLHDNIOYJOBI-UHFFFAOYSA-N -1 1 312.333 1.761 20 0 DDADMM COc1ccc(OCCNc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000737111783 599400183 /nfs/dbraw/zinc/40/01/83/599400183.db2.gz NTGLHDNIOYJOBI-UHFFFAOYSA-N -1 1 312.333 1.761 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)COc1cccc(Cl)c1 ZINC000314084271 599777363 /nfs/dbraw/zinc/77/73/63/599777363.db2.gz FZMLUHIHFKDSJM-LBPRGKRZSA-N -1 1 323.736 1.254 20 0 DDADMM COC(=O)C[C@@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821658692 607404914 /nfs/dbraw/zinc/40/49/14/607404914.db2.gz FNWQNTFDSQNNMT-QMMMGPOBSA-N -1 1 321.362 1.096 20 0 DDADMM COC(=O)C[C@@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821658692 607404915 /nfs/dbraw/zinc/40/49/15/607404915.db2.gz FNWQNTFDSQNNMT-QMMMGPOBSA-N -1 1 321.362 1.096 20 0 DDADMM C[C@H](O)CN1CCN([C@H](C(=O)[O-])c2ccc(F)cc2)C[C@H]1C ZINC000736678266 599915495 /nfs/dbraw/zinc/91/54/95/599915495.db2.gz UJBNOZCIJWODRM-XUJVJEKNSA-N -1 1 310.369 1.338 20 0 DDADMM O=C([O-])c1ccoc1CN1CCCN(c2cccnn2)CC1 ZINC000740248935 599915572 /nfs/dbraw/zinc/91/55/72/599915572.db2.gz MINBMSJQFPRNED-UHFFFAOYSA-N -1 1 302.334 1.480 20 0 DDADMM O=C([O-])c1ccc(C(=O)NCc2n[nH]c(-c3ccccc3)n2)nc1 ZINC000740109626 599929861 /nfs/dbraw/zinc/92/98/61/599929861.db2.gz JQLOKOMEKKTGEO-UHFFFAOYSA-N -1 1 323.312 1.495 20 0 DDADMM O=C([O-])c1ccc(C(=O)NCc2nc(-c3ccccc3)n[nH]2)nc1 ZINC000740109626 599929863 /nfs/dbraw/zinc/92/98/63/599929863.db2.gz JQLOKOMEKKTGEO-UHFFFAOYSA-N -1 1 323.312 1.495 20 0 DDADMM CC(C)N(CC(=O)Nc1ccsc1C(=O)[O-])C[C@@H](C)O ZINC000736678573 600135541 /nfs/dbraw/zinc/13/55/41/600135541.db2.gz WERJMHULGKYXRO-SECBINFHSA-N -1 1 300.380 1.476 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000736159856 600138888 /nfs/dbraw/zinc/13/88/88/600138888.db2.gz NTCGELOBGOUAGV-GFCCVEGCSA-N -1 1 319.361 1.380 20 0 DDADMM Cc1[nH]nc(S(=O)(=O)Nc2ccc(C)cc2F)c1C(=O)[O-] ZINC000738632766 600229339 /nfs/dbraw/zinc/22/93/39/600229339.db2.gz LWMAKKCEDDCOCM-UHFFFAOYSA-N -1 1 313.310 1.665 20 0 DDADMM C[C@](NS(=O)(=O)c1c(F)cc(F)cc1F)(C(=O)[O-])C1CC1 ZINC000037908966 600239920 /nfs/dbraw/zinc/23/99/20/600239920.db2.gz BXHTUDSMWNLDFB-GFCCVEGCSA-N -1 1 323.292 1.636 20 0 DDADMM O=C([O-])c1cn(CN(CCc2ccc(F)cc2)C2CC2)nn1 ZINC000740254644 600260287 /nfs/dbraw/zinc/26/02/87/600260287.db2.gz YROIQSGVBJMCPM-UHFFFAOYSA-N -1 1 304.325 1.780 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)c1cccc(C(=O)[O-])n1 ZINC000316525058 600311425 /nfs/dbraw/zinc/31/14/25/600311425.db2.gz FSJUGDKHEJBZSL-NWDGAFQWSA-N -1 1 303.362 1.479 20 0 DDADMM CC(C)CCN1C(=O)C[C@H](N2CCC3(C[C@H]3C(=O)[O-])CC2)C1=O ZINC000736426294 600314447 /nfs/dbraw/zinc/31/44/47/600314447.db2.gz KVMBOMNEMQDEAT-STQMWFEESA-N -1 1 322.405 1.347 20 0 DDADMM COc1ccc(NC(=O)CN(C)C[C@H](C)C(=O)[O-])cc1OC ZINC000738028342 600374643 /nfs/dbraw/zinc/37/46/43/600374643.db2.gz ONXWVAFRGVHGJB-JTQLQIEISA-N -1 1 310.350 1.295 20 0 DDADMM COc1ccccc1[C@@H]1CCN([C@@H](C)C(=O)NCC(=O)[O-])C1 ZINC000738129416 600442800 /nfs/dbraw/zinc/44/28/00/600442800.db2.gz PSKTVWGDXONZTD-NWDGAFQWSA-N -1 1 306.362 1.074 20 0 DDADMM CCCc1c(C(=O)NCc2cc(C)[nH]n2)[nH]c(C)c1C(=O)[O-] ZINC000737040210 600636049 /nfs/dbraw/zinc/63/60/49/600636049.db2.gz KTQJKQQYALNSDJ-UHFFFAOYSA-N -1 1 304.350 1.935 20 0 DDADMM C[C@H]1CN(CCC(=O)NCC(=O)[O-])[C@H](c2ccccc2)CO1 ZINC000828452231 600861348 /nfs/dbraw/zinc/86/13/48/600861348.db2.gz ZCQYSJUJOCXQKV-JSGCOSHPSA-N -1 1 306.362 1.039 20 0 DDADMM COCC[N@H+]1CCC[C@H](NC(=O)[C@H]2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000399484192 600936416 /nfs/dbraw/zinc/93/64/16/600936416.db2.gz DVWSONWEIDNSJL-MJBXVCDLSA-N -1 1 312.410 1.104 20 0 DDADMM COCCN1CCC[C@H](NC(=O)[C@H]2CCC[C@@H](C(=O)[O-])C2)C1 ZINC000399484192 600936419 /nfs/dbraw/zinc/93/64/19/600936419.db2.gz DVWSONWEIDNSJL-MJBXVCDLSA-N -1 1 312.410 1.104 20 0 DDADMM Cc1[nH]c(C(=O)CN2CCC[C@H]2CCCO)c(C)c1C(=O)[O-] ZINC000738153535 600955800 /nfs/dbraw/zinc/95/58/00/600955800.db2.gz NFMWFLFNFVHIMK-LBPRGKRZSA-N -1 1 308.378 1.749 20 0 DDADMM C[C@](NCC(=O)Nc1cccc2nsnc21)(C(=O)[O-])C1CC1 ZINC000827727469 601032079 /nfs/dbraw/zinc/03/20/79/601032079.db2.gz KAGIEXDUXWOUAG-CQSZACIVSA-N -1 1 320.374 1.473 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CN[C@](C)(C(=O)[O-])C2CC2)c1 ZINC000825917254 601033714 /nfs/dbraw/zinc/03/37/14/601033714.db2.gz HDDZWZNNGSMUBL-INIZCTEOSA-N -1 1 319.361 1.426 20 0 DDADMM COc1ccccc1CCNC(=O)CN[C@](C)(C(=O)[O-])C1CC1 ZINC000832612774 601035991 /nfs/dbraw/zinc/03/59/91/601035991.db2.gz BLYDTFRGUODWON-KRWDZBQOSA-N -1 1 320.389 1.197 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1C[C@@H](C2CCCCC2)C[C@H]1C(=O)[O-] ZINC000830935385 601082131 /nfs/dbraw/zinc/08/21/31/601082131.db2.gz XVRVBLNDZMXSNR-ZNMIVQPWSA-N -1 1 308.422 1.963 20 0 DDADMM Cc1nnc([C@@H]2CN([C@H](C(=O)[O-])c3ccccc3F)CCO2)[nH]1 ZINC000738824409 601106685 /nfs/dbraw/zinc/10/66/85/601106685.db2.gz VWRIQXHQRVYWLO-STQMWFEESA-N -1 1 320.324 1.451 20 0 DDADMM CCN1CC[C@H](NS(=O)(=O)c2ccc(C(=O)[O-])cc2C)C1 ZINC000389379539 601110504 /nfs/dbraw/zinc/11/05/04/601110504.db2.gz XRDALFYTVRZRPE-LBPRGKRZSA-N -1 1 312.391 1.066 20 0 DDADMM Cc1ccc(O)c(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000832952952 601122534 /nfs/dbraw/zinc/12/25/34/601122534.db2.gz IJEQCJCAYMZPQG-LBPRGKRZSA-N -1 1 306.362 1.322 20 0 DDADMM COc1ccc(NC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cn1 ZINC000832397929 601128660 /nfs/dbraw/zinc/12/86/60/601128660.db2.gz BCSKDGOMQMAFMT-LLVKDONJSA-N -1 1 322.365 1.103 20 0 DDADMM Cc1oc(S(=O)(=O)N[C@H]2CCN(C)[C@@H](C)C2)cc1C(=O)[O-] ZINC000833028238 601223504 /nfs/dbraw/zinc/22/35/04/601223504.db2.gz LYYDXVGYQMARAX-WPRPVWTQSA-N -1 1 316.379 1.047 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CSc1n[nH]c(=S)s1)C(=O)[O-] ZINC000820437748 601278832 /nfs/dbraw/zinc/27/88/32/601278832.db2.gz XMMDRJSJALSZQB-FSPLSTOPSA-N -1 1 321.449 1.534 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(CN1C(=O)[C@H]3CCCCC[C@@H]31)CC2 ZINC000833178014 601398558 /nfs/dbraw/zinc/39/85/58/601398558.db2.gz PCQBCWBQZUTGAD-IHRRRGAJSA-N -1 1 306.406 1.922 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](C2CCCCC2)CN1CC(=O)N1CCCC1 ZINC000833180371 601431951 /nfs/dbraw/zinc/43/19/51/601431951.db2.gz UDRVIWITMLQRMD-GJZGRUSLSA-N -1 1 308.422 1.964 20 0 DDADMM CCC1CCC(NCC(=O)Nc2cc(C)nn2C)(C(=O)[O-])CC1 ZINC000829101547 601494058 /nfs/dbraw/zinc/49/40/58/601494058.db2.gz ROMYTLKOGOAFDL-UHFFFAOYSA-N -1 1 322.409 1.680 20 0 DDADMM C[C@H](c1nnnn1-c1ccccc1)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828424855 601509114 /nfs/dbraw/zinc/50/91/14/601509114.db2.gz TXMBBNIFLMKZSM-WZRBSPASSA-N -1 1 301.350 1.376 20 0 DDADMM CC(=O)c1ccc(NC(=O)[C@H](C)N2C[C@@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000825951237 601532589 /nfs/dbraw/zinc/53/25/89/601532589.db2.gz BOLJRABDPJMEID-JRPNMDOOSA-N -1 1 318.373 1.869 20 0 DDADMM Cc1ccccc1NC(=O)CCN1CCO[C@H](CC(=O)[O-])C1 ZINC000832978379 601570176 /nfs/dbraw/zinc/57/01/76/601570176.db2.gz CNADQLHFINMXJZ-CYBMUJFWSA-N -1 1 306.362 1.499 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CCC(c2ccc(F)cc2)CC1 ZINC000826001125 601597497 /nfs/dbraw/zinc/59/74/97/601597497.db2.gz SLTWOGUOXFSBOO-LLVKDONJSA-N -1 1 308.353 1.594 20 0 DDADMM C[C@@H]1CN([C@@H]2CC(=O)N(c3ccc(F)cc3)C2=O)C[C@H]1C(=O)[O-] ZINC000828430258 601635136 /nfs/dbraw/zinc/63/51/36/601635136.db2.gz APFMMYLIRPMRCT-OASPWFOLSA-N -1 1 320.320 1.110 20 0 DDADMM CN(C)CCN(C1CCCCC1)S(=O)(=O)CCCC(=O)[O-] ZINC000830604093 601800027 /nfs/dbraw/zinc/80/00/27/601800027.db2.gz GTXYRMWYHCQVIH-UHFFFAOYSA-N -1 1 320.455 1.377 20 0 DDADMM C[C@H]1C(=O)N(CN2C[C@@H](C)[C@H](C(=O)[O-])C2)[C@H]1c1ccccc1 ZINC000828448636 601816624 /nfs/dbraw/zinc/81/66/24/601816624.db2.gz FHFYWVIOPJZYCF-QHSBEEBCSA-N -1 1 302.374 1.816 20 0 DDADMM CN(C)C(=O)[C@H](c1ccccc1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000830542433 601920723 /nfs/dbraw/zinc/92/07/23/601920723.db2.gz ANNDGJHVRBRHHW-ZFWWWQNUSA-N -1 1 322.430 1.708 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CC(=O)N2CCSc3ccccc32)C1 ZINC000387802757 601949228 /nfs/dbraw/zinc/94/92/28/601949228.db2.gz OVDQFJXOVYEEMP-MRXNPFEDSA-N -1 1 320.414 1.922 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000739320997 601953837 /nfs/dbraw/zinc/95/38/37/601953837.db2.gz UDHNTGBESMNGSN-LLVKDONJSA-N -1 1 317.349 1.524 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000739320997 601953839 /nfs/dbraw/zinc/95/38/39/601953839.db2.gz UDHNTGBESMNGSN-LLVKDONJSA-N -1 1 317.349 1.524 20 0 DDADMM CN(Cc1ccccc1F)C(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000739711400 601955033 /nfs/dbraw/zinc/95/50/33/601955033.db2.gz RTXMXOPURZFJTQ-GFCCVEGCSA-N -1 1 323.368 1.374 20 0 DDADMM O=C([O-])N(CCNC(=O)c1cc(F)cc2nc[nH]c21)C1CC1 ZINC000740437763 602028635 /nfs/dbraw/zinc/02/86/35/602028635.db2.gz CBEVOMAKGIRJSI-UHFFFAOYSA-N -1 1 306.297 1.574 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(C(=O)CSc2n[nH]c(=S)s2)C1 ZINC000827991333 602034533 /nfs/dbraw/zinc/03/45/33/602034533.db2.gz BSSUJUPNUVBKKP-JTQLQIEISA-N -1 1 319.433 1.242 20 0 DDADMM C[C@@H](C(=O)NCCc1ccccc1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738628101 602042869 /nfs/dbraw/zinc/04/28/69/602042869.db2.gz IZAJVPVXGDVWEB-ZFWWWQNUSA-N -1 1 319.405 1.323 20 0 DDADMM C[C@@H](C(=O)N1CCN(C(=O)[O-])CC1)N1CCc2ccccc2C1 ZINC000736206846 602435916 /nfs/dbraw/zinc/43/59/16/602435916.db2.gz YTAYINKWKZPRPM-ZDUSSCGKSA-N -1 1 317.389 1.255 20 0 DDADMM CCN1CCCC[C@@H]1C(=O)N[C@@H](C)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000739456782 602469687 /nfs/dbraw/zinc/46/96/87/602469687.db2.gz SIUSBHFHHBLQEV-BFHYXJOUSA-N -1 1 311.426 1.756 20 0 DDADMM CN(C)C(=O)[C@@H](c1ccccc1)N1CCC(N(C)C(=O)[O-])CC1 ZINC000737945804 602531951 /nfs/dbraw/zinc/53/19/51/602531951.db2.gz YLWALVGXGHSLOP-OAHLLOKOSA-N -1 1 319.405 1.890 20 0 DDADMM CN(C[C@@H]1CCN(CC(=O)NC(C2CC2)C2CC2)C1)C(=O)[O-] ZINC000739659562 602532013 /nfs/dbraw/zinc/53/20/13/602532013.db2.gz DTRZEIZQTKZUJE-NSHDSACASA-N -1 1 309.410 1.223 20 0 DDADMM CCc1nnc(CN[C@@]2(CNC(=O)[O-])CCCC[C@H]2C)n1C ZINC000739560306 602554428 /nfs/dbraw/zinc/55/44/28/602554428.db2.gz RVTQCFCSANSNDB-IAQYHMDHSA-N -1 1 309.414 1.684 20 0 DDADMM O=C([O-])N1CCC[C@H](CNC(=O)N2CCN3CCCC[C@@H]3C2)C1 ZINC000740518004 602629173 /nfs/dbraw/zinc/62/91/73/602629173.db2.gz RUDMLGWODAMTHN-ZIAGYGMSSA-N -1 1 324.425 1.256 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN([C@@H]2CCN(c3ccccc3)C2=O)C1 ZINC000740628736 602736216 /nfs/dbraw/zinc/73/62/16/602736216.db2.gz DBNIPCPMXRIZTL-DZGCQCFKSA-N -1 1 317.389 1.772 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CCN(C(=O)[O-])[C@H](C)C2)o1 ZINC000739410771 602932606 /nfs/dbraw/zinc/93/26/06/602932606.db2.gz NLQVATFJFHTXKK-GFCCVEGCSA-N -1 1 323.393 1.946 20 0 DDADMM CC(C)N(CCC(=O)N1C[C@H]2CCCCN2C[C@@H]1C)C(=O)[O-] ZINC000738839072 602989763 /nfs/dbraw/zinc/98/97/63/602989763.db2.gz XTKVPWIGHPCWFN-UONOGXRCSA-N -1 1 311.426 1.850 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1CCN(C(=O)[O-])Cc2ccccc21 ZINC000739728600 603048128 /nfs/dbraw/zinc/04/81/28/603048128.db2.gz KIXNYDLNXAODGA-CQSZACIVSA-N -1 1 303.362 1.607 20 0 DDADMM NC(=O)[C@@H](NC1CCC(NC(=O)[O-])CC1)c1ccc(F)cc1 ZINC000740322354 603088499 /nfs/dbraw/zinc/08/84/99/603088499.db2.gz CBOWWCZYXQXJME-BPCQOVAHSA-N -1 1 309.341 1.521 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@@H](C(=O)N2CCN(C3CCCC3)CC2)C1 ZINC000740566091 603237672 /nfs/dbraw/zinc/23/76/72/603237672.db2.gz SWORMFITALPHOH-KGLIPLIRSA-N -1 1 323.437 1.900 20 0 DDADMM C[C@H](CC(=O)NC[C@H](c1cccc(F)c1)N(C)C)NC(=O)[O-] ZINC000824629489 603250757 /nfs/dbraw/zinc/25/07/57/603250757.db2.gz PVLSAVSXOQMLQO-ZWNOBZJWSA-N -1 1 311.357 1.591 20 0 DDADMM C[C@@H](NC(=O)CN(C)CCCNC(=O)[O-])c1ccc(F)cc1 ZINC000738959212 603257250 /nfs/dbraw/zinc/25/72/50/603257250.db2.gz SPRNUMZZOQUHEE-LLVKDONJSA-N -1 1 311.357 1.592 20 0 DDADMM C[C@H]1CN(CCc2nc3ccccc3n2C)CCN1C(=O)[O-] ZINC000739187571 603354052 /nfs/dbraw/zinc/35/40/52/603354052.db2.gz VRTNFXWMDLTJJZ-LBPRGKRZSA-N -1 1 302.378 1.800 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc3n[nH]cc32)CC[C@H]1NC(=O)[O-] ZINC000739165883 603371348 /nfs/dbraw/zinc/37/13/48/603371348.db2.gz IVTDIIQVEDTRNC-JOYOIKCWSA-N -1 1 302.334 1.681 20 0 DDADMM CCN(CC(=O)NC[C@@H](c1cccc(F)c1)N(C)C)C(=O)[O-] ZINC000739393443 603421970 /nfs/dbraw/zinc/42/19/70/603421970.db2.gz QMFFODZRSOWNOA-ZDUSSCGKSA-N -1 1 311.357 1.545 20 0 DDADMM CCN(CC1CCN(Cc2cnc3ccnn3c2)CC1)C(=O)[O-] ZINC000827098752 603507716 /nfs/dbraw/zinc/50/77/16/603507716.db2.gz GAHXAZRJHXBLKL-UHFFFAOYSA-N -1 1 317.393 1.941 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N1CCC(NC(=O)[O-])CC1 ZINC000828047011 603524055 /nfs/dbraw/zinc/52/40/55/603524055.db2.gz VQARXXWQSZKQAQ-UHFFFAOYSA-N -1 1 311.407 1.682 20 0 DDADMM C[C@@H](CNC(=O)[O-])N(C)C(=O)c1c[nH]c2ccccc2c1=O ZINC000824966751 603548465 /nfs/dbraw/zinc/54/84/65/603548465.db2.gz JYMPLGQCKXMCQU-VIFPVBQESA-N -1 1 303.318 1.256 20 0 DDADMM O=C([O-])N1CCCC[C@H]1C(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000831274462 603566599 /nfs/dbraw/zinc/56/65/99/603566599.db2.gz WMAVLHCQTQRJQP-NSHDSACASA-N -1 1 307.354 1.043 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1)N(C)CC(C)(C)CNC(=O)[O-] ZINC000823701798 603627903 /nfs/dbraw/zinc/62/79/03/603627903.db2.gz HGTUVCNGOSXHJD-CYBMUJFWSA-N -1 1 321.421 1.917 20 0 DDADMM CCCCn1c(=O)[nH]nc1S[C@H]1CN(C(=O)[O-])CCC1=O ZINC000826853946 603680123 /nfs/dbraw/zinc/68/01/23/603680123.db2.gz TVEJUIBDMKAVGE-VIFPVBQESA-N -1 1 314.367 1.197 20 0 DDADMM CCc1cc(CNC(=O)N[C@@H]2CCC[C@@H]2CNC(=O)[O-])[nH]n1 ZINC000827563707 603808746 /nfs/dbraw/zinc/80/87/46/603808746.db2.gz ILJGXFLKNBVJIW-BXKDBHETSA-N -1 1 309.370 1.208 20 0 DDADMM C[C@@H](NC(=O)C[C@H](Cc1ccccc1)NC(=O)[O-])c1nnc[nH]1 ZINC000825101191 603938780 /nfs/dbraw/zinc/93/87/80/603938780.db2.gz NFWBKJAEUWCPGH-PWSUYJOCSA-N -1 1 317.349 1.251 20 0 DDADMM CC(C)[C@H](CCN(C)C(=O)[C@@H]1CCCc2[nH]ncc21)NC(=O)[O-] ZINC000824078943 603953834 /nfs/dbraw/zinc/95/38/34/603953834.db2.gz MPWYCORIKBYJNL-YPMHNXCESA-N -1 1 322.409 1.970 20 0 DDADMM C[C@H](CN(C)C(=O)[O-])C(=O)NC[C@@H](c1ccsc1)N(C)C ZINC000824853983 604086793 /nfs/dbraw/zinc/08/67/93/604086793.db2.gz FVDUZFYDPUEUEV-PWSUYJOCSA-N -1 1 313.423 1.713 20 0 DDADMM COC(=O)c1cc(CN2CCC[C@H]2CN(C)C(=O)[O-])oc1C ZINC000828741965 604096943 /nfs/dbraw/zinc/09/69/43/604096943.db2.gz GKLXMUVYEFNHOV-NSHDSACASA-N -1 1 310.350 1.949 20 0 DDADMM C[C@@H](NC(=O)[O-])c1ccc(NC(=O)NCc2c[nH]nn2)cc1 ZINC000825435029 604136712 /nfs/dbraw/zinc/13/67/12/604136712.db2.gz ZXYKPDRNYAWOCW-MRVPVSSYSA-N -1 1 304.310 1.455 20 0 DDADMM CN(CCNC(=O)C1(NC(=O)[O-])CC1)Cc1ccc(F)cc1 ZINC000828321172 604481358 /nfs/dbraw/zinc/48/13/58/604481358.db2.gz IQKMXYLDMWJUIS-UHFFFAOYSA-N -1 1 309.341 1.174 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000833722606 604483626 /nfs/dbraw/zinc/48/36/26/604483626.db2.gz ZKLVUOJDXKVBPB-OAHLLOKOSA-N -1 1 318.333 1.081 20 0 DDADMM Cc1sc2[nH]c(CN[C@@]3(C(=O)[O-])CCOC3)nc(=O)c2c1C ZINC000833758387 604484753 /nfs/dbraw/zinc/48/47/53/604484753.db2.gz FWBVVEDDDGHBPL-AWEZNQCLSA-N -1 1 323.374 1.347 20 0 DDADMM O=C([O-])N[C@@H]1CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC000740591161 604493709 /nfs/dbraw/zinc/49/37/09/604493709.db2.gz XPZSQBXNNZJUII-LLVKDONJSA-N -1 1 300.318 1.559 20 0 DDADMM CN(CC(=O)N(C)c1ccccc1C(=O)[O-])C[C@@H]1CCC[C@H]1O ZINC000833690733 604520884 /nfs/dbraw/zinc/52/08/84/604520884.db2.gz BVZPTUAPKUDORJ-SWLSCSKDSA-N -1 1 320.389 1.440 20 0 DDADMM C[C@@H]1CN(C)C[C@H](C)N1C(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000833619053 604580910 /nfs/dbraw/zinc/58/09/10/604580910.db2.gz APQHXOOADGBKLL-TXEJJXNPSA-N -1 1 306.362 1.315 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H]1CC(=O)[O-])N(C)Cc1ccccc1 ZINC000825982527 604603349 /nfs/dbraw/zinc/60/33/49/604603349.db2.gz IBHOLLYSDJBKSV-UKRRQHHQSA-N -1 1 304.390 1.973 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@@H](NC(=O)[O-])C(C)C)C2)n[nH]1 ZINC000830734536 604643922 /nfs/dbraw/zinc/64/39/22/604643922.db2.gz MSRXWHQUROOYKW-QWRGUYRKSA-N -1 1 309.370 1.111 20 0 DDADMM CC(C)(NCC(=O)NCCc1cccc2cccnc21)C(=O)[O-] ZINC000826630695 604785726 /nfs/dbraw/zinc/78/57/26/604785726.db2.gz NXSITWIDRZXNSR-UHFFFAOYSA-N -1 1 315.373 1.346 20 0 DDADMM CC(C)CN1CCN(C(=O)c2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000826885847 604913009 /nfs/dbraw/zinc/91/30/09/604913009.db2.gz KVCLDLCBGIJKSU-UHFFFAOYSA-N -1 1 308.353 1.938 20 0 DDADMM C[C@@H]1CN([C@@H]2CC(=O)N(c3ccsc3C(=O)[O-])C2=O)C[C@H]1C ZINC000833622391 605000960 /nfs/dbraw/zinc/00/09/60/605000960.db2.gz HOXUFYLUPBSVMF-FXPVBKGRSA-N -1 1 322.386 1.666 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)CN1CCC(C)(COC)CC1)C(=O)[O-] ZINC000833640492 605021001 /nfs/dbraw/zinc/02/10/01/605021001.db2.gz YZGQGQPVSWEUBH-TZMCWYRMSA-N -1 1 314.426 1.350 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2[nH]ccc2c1 ZINC000833627566 605100730 /nfs/dbraw/zinc/10/07/30/605100730.db2.gz YUWYYFUSDQQHBM-GFCCVEGCSA-N -1 1 315.373 1.789 20 0 DDADMM COc1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)ccc1F ZINC000833727033 605104141 /nfs/dbraw/zinc/10/41/41/605104141.db2.gz LRDMKYSZKBBNIQ-LLVKDONJSA-N -1 1 324.352 1.455 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NC2CCC(NC(=O)[O-])CC2)n[nH]1 ZINC000830030315 605294078 /nfs/dbraw/zinc/29/40/78/605294078.db2.gz OABUXFIQSHSXSY-OIKLOGQESA-N -1 1 323.397 1.527 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC[C@@H]2CN(C)C(=O)[O-])n[nH]1 ZINC000830029744 605300975 /nfs/dbraw/zinc/30/09/75/605300975.db2.gz IVFNFTMFCQMYEH-GXFFZTMASA-N -1 1 323.397 1.433 20 0 DDADMM O=C([O-])NCc1ccc(C(=O)N[C@@H]2CCc3nc[nH]c3C2)o1 ZINC000832691341 605470510 /nfs/dbraw/zinc/47/05/10/605470510.db2.gz QRQFWXUNXNPWJG-MRVPVSSYSA-N -1 1 304.306 1.058 20 0 DDADMM CCNC(=O)[C@@H](C)N[C@@H](C)c1ccc(CNC(=O)[O-])nc1C ZINC000833860210 605566720 /nfs/dbraw/zinc/56/67/20/605566720.db2.gz OLUSIDKKLXQBJX-GXSJLCMTSA-N -1 1 308.382 1.333 20 0 DDADMM O=C([O-])N1CCC[C@@H](CN2CCN(c3ncccc3F)CC2)C1 ZINC000834097637 605668605 /nfs/dbraw/zinc/66/86/05/605668605.db2.gz BVRWKDPWTZEKFW-ZDUSSCGKSA-N -1 1 322.384 1.733 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CC[C@@H](NC(=O)[O-])C[C@@H]1C ZINC000833844587 605771294 /nfs/dbraw/zinc/77/12/94/605771294.db2.gz JREPSCVTUJJNIK-BFHYXJOUSA-N -1 1 311.426 1.898 20 0 DDADMM O=C([O-])NCCCCC(=O)NCCN1CCc2ccccc2C1 ZINC000834236833 605889604 /nfs/dbraw/zinc/88/96/04/605889604.db2.gz ZBFUWSIAGDVQBB-UHFFFAOYSA-N -1 1 319.405 1.599 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NCc1cccs1 ZINC000833832925 605904794 /nfs/dbraw/zinc/90/47/94/605904794.db2.gz VHQSIAKJJLWFMK-WDEREUQCSA-N -1 1 311.407 1.342 20 0 DDADMM O=C([O-])N1CC[C@H](CN[C@H](CO)c2c(F)cccc2F)C1 ZINC000834077243 605933348 /nfs/dbraw/zinc/93/33/48/605933348.db2.gz DDWPEIHSCYBHAK-BXKDBHETSA-N -1 1 300.305 1.588 20 0 DDADMM O=C([O-])Nc1cc(C(=O)N[C@H]2CCc3nc[nH]c3C2)ccc1F ZINC000834253031 605960686 /nfs/dbraw/zinc/96/06/86/605960686.db2.gz DEVRPZLBWAYFTL-VIFPVBQESA-N -1 1 318.308 1.926 20 0 DDADMM O=C([O-])NCCCC(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000834230056 606094365 /nfs/dbraw/zinc/09/43/65/606094365.db2.gz RNJJRMXUYLSGLL-LLVKDONJSA-N -1 1 321.381 1.436 20 0 DDADMM COC(=O)[C@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)C(C)C ZINC000821648269 606137672 /nfs/dbraw/zinc/13/76/72/606137672.db2.gz FWMXEPUCJKWOAG-SECBINFHSA-N -1 1 310.335 1.283 20 0 DDADMM COC(=O)[C@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)C(C)C ZINC000821648269 606137674 /nfs/dbraw/zinc/13/76/74/606137674.db2.gz FWMXEPUCJKWOAG-SECBINFHSA-N -1 1 310.335 1.283 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@H]2C[C@@H]2c2ccccc2)n1 ZINC000822614263 606162931 /nfs/dbraw/zinc/16/29/31/606162931.db2.gz KRDMAAMEJMNMKZ-ZYHUDNBSSA-N -1 1 324.348 1.278 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@H]2C[C@@H]2c2ccccc2)n1 ZINC000822614263 606162932 /nfs/dbraw/zinc/16/29/32/606162932.db2.gz KRDMAAMEJMNMKZ-ZYHUDNBSSA-N -1 1 324.348 1.278 20 0 DDADMM CSCC[C@H](C)N(C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821961126 606164027 /nfs/dbraw/zinc/16/40/27/606164027.db2.gz GVXPQBIFVQOTHF-QMMMGPOBSA-N -1 1 324.414 1.206 20 0 DDADMM CSCC[C@H](C)N(C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821961126 606164028 /nfs/dbraw/zinc/16/40/28/606164028.db2.gz GVXPQBIFVQOTHF-QMMMGPOBSA-N -1 1 324.414 1.206 20 0 DDADMM C[C@H]1CCC[C@@H](N(C)C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820697363 606164854 /nfs/dbraw/zinc/16/48/54/606164854.db2.gz GGVHSFRRPYBNIJ-VHSXEESVSA-N -1 1 318.385 1.643 20 0 DDADMM C[C@H]1CCC[C@@H](N(C)C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820697363 606164855 /nfs/dbraw/zinc/16/48/55/606164855.db2.gz GGVHSFRRPYBNIJ-VHSXEESVSA-N -1 1 318.385 1.643 20 0 DDADMM C[C@H](OC(=O)c1sccc1-c1nn[n-]n1)c1cnccn1 ZINC000820519371 606205566 /nfs/dbraw/zinc/20/55/66/606205566.db2.gz SUVNINJLFWMCKI-ZETCQYMHSA-N -1 1 302.319 1.636 20 0 DDADMM C[C@@H](Cn1cccn1)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820307831 606409764 /nfs/dbraw/zinc/40/97/64/606409764.db2.gz PFIKDQZDWYXPFX-QMMMGPOBSA-N -1 1 304.335 1.370 20 0 DDADMM C[C@@H](Cn1cccn1)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820307831 606409765 /nfs/dbraw/zinc/40/97/65/606409765.db2.gz PFIKDQZDWYXPFX-QMMMGPOBSA-N -1 1 304.335 1.370 20 0 DDADMM Cc1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000822238919 606513495 /nfs/dbraw/zinc/51/34/95/606513495.db2.gz ZRMRRDKYFFNGGC-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM Cc1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000822238919 606513496 /nfs/dbraw/zinc/51/34/96/606513496.db2.gz ZRMRRDKYFFNGGC-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM CCc1nnc(Sc2nccn2C)c(-c2nn[n-]n2)c1CC ZINC000821547704 606909646 /nfs/dbraw/zinc/90/96/46/606909646.db2.gz AIMGVZIOAPFMAX-UHFFFAOYSA-N -1 1 316.394 1.666 20 0 DDADMM CCN1CCCC[C@H]1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000821268405 607106464 /nfs/dbraw/zinc/10/64/64/607106464.db2.gz WDALUQKYSPWWDF-QMMMGPOBSA-N -1 1 307.383 1.136 20 0 DDADMM CCN1CCCC[C@H]1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000821268405 607106466 /nfs/dbraw/zinc/10/64/66/607106466.db2.gz WDALUQKYSPWWDF-QMMMGPOBSA-N -1 1 307.383 1.136 20 0 DDADMM CC(=O)OC1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000824005034 607795211 /nfs/dbraw/zinc/79/52/11/607795211.db2.gz JHEMTQRMGBZVOL-UHFFFAOYSA-N -1 1 321.362 1.096 20 0 DDADMM CC(=O)OC1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000824005034 607795212 /nfs/dbraw/zinc/79/52/12/607795212.db2.gz JHEMTQRMGBZVOL-UHFFFAOYSA-N -1 1 321.362 1.096 20 0 DDADMM O=C1OCCN1CCCOc1cccc(F)c1-c1nnn[n-]1 ZINC000826483662 607864602 /nfs/dbraw/zinc/86/46/02/607864602.db2.gz VQRZUZLSYOXVAU-UHFFFAOYSA-N -1 1 307.285 1.227 20 0 DDADMM O=C1OCCN1CCCOc1cccc(F)c1-c1nn[n-]n1 ZINC000826483662 607864603 /nfs/dbraw/zinc/86/46/03/607864603.db2.gz VQRZUZLSYOXVAU-UHFFFAOYSA-N -1 1 307.285 1.227 20 0 DDADMM C[C@@H](c1ccccc1)[C@@H](CO)Nc1cccc(-c2nnn[n-]2)n1 ZINC000824772078 607898631 /nfs/dbraw/zinc/89/86/31/607898631.db2.gz TVOWGXQOPLQGPX-SMDDNHRTSA-N -1 1 310.361 1.838 20 0 DDADMM C[C@@H](c1ccccc1)[C@@H](CO)Nc1cccc(-c2nn[n-]n2)n1 ZINC000824772078 607898632 /nfs/dbraw/zinc/89/86/32/607898632.db2.gz TVOWGXQOPLQGPX-SMDDNHRTSA-N -1 1 310.361 1.838 20 0 DDADMM c1nn(-c2ccccn2)cc1Nc1cccc(-c2nnn[n-]2)n1 ZINC000826519900 607900407 /nfs/dbraw/zinc/90/04/07/607900407.db2.gz SVVNIJUYTVWOPM-UHFFFAOYSA-N -1 1 305.305 1.586 20 0 DDADMM c1nn(-c2ccccn2)cc1Nc1cccc(-c2nn[n-]n2)n1 ZINC000826519900 607900408 /nfs/dbraw/zinc/90/04/08/607900408.db2.gz SVVNIJUYTVWOPM-UHFFFAOYSA-N -1 1 305.305 1.586 20 0 DDADMM COCCN(Cc1cccnc1)c1cccc(-c2nnn[n-]2)n1 ZINC000826158835 607902487 /nfs/dbraw/zinc/90/24/87/607902487.db2.gz FMLZSZYPNDSGRJ-UHFFFAOYSA-N -1 1 311.349 1.310 20 0 DDADMM COCCN(Cc1cccnc1)c1cccc(-c2nn[n-]n2)n1 ZINC000826158835 607902488 /nfs/dbraw/zinc/90/24/88/607902488.db2.gz FMLZSZYPNDSGRJ-UHFFFAOYSA-N -1 1 311.349 1.310 20 0 DDADMM CCN(CCc1nccs1)c1cccc(-c2nnn[n-]2)n1 ZINC000825428343 607903008 /nfs/dbraw/zinc/90/30/08/607903008.db2.gz KBUDDGIFTWKFRM-UHFFFAOYSA-N -1 1 301.379 1.787 20 0 DDADMM CCN(CCc1nccs1)c1cccc(-c2nn[n-]n2)n1 ZINC000825428343 607903009 /nfs/dbraw/zinc/90/30/09/607903009.db2.gz KBUDDGIFTWKFRM-UHFFFAOYSA-N -1 1 301.379 1.787 20 0 DDADMM CO[C@@H]1CC[C@H]2OCCN(c3cccc(-c4nnn[n-]4)n3)[C@@H]2C1 ZINC000826146137 607903297 /nfs/dbraw/zinc/90/32/97/607903297.db2.gz QTSBASOANRKTIM-RAIGVLPGSA-N -1 1 316.365 1.034 20 0 DDADMM CO[C@@H]1CC[C@H]2OCCN(c3cccc(-c4nn[n-]n4)n3)[C@@H]2C1 ZINC000826146137 607903298 /nfs/dbraw/zinc/90/32/98/607903298.db2.gz QTSBASOANRKTIM-RAIGVLPGSA-N -1 1 316.365 1.034 20 0 DDADMM CNc1nc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)cs1 ZINC000826098908 607915084 /nfs/dbraw/zinc/91/50/84/607915084.db2.gz SUMUWLOJPYYIJE-UHFFFAOYSA-N -1 1 317.334 1.117 20 0 DDADMM CNc1nc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)cs1 ZINC000826098908 607915085 /nfs/dbraw/zinc/91/50/85/607915085.db2.gz SUMUWLOJPYYIJE-UHFFFAOYSA-N -1 1 317.334 1.117 20 0 DDADMM C[C@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@@H](c2ccccc2)O1 ZINC000824992894 607989572 /nfs/dbraw/zinc/98/95/72/607989572.db2.gz OEURJTSIKOPNRF-FZMZJTMJSA-N -1 1 323.360 1.623 20 0 DDADMM C[C@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@@H](c2ccccc2)O1 ZINC000824992894 607989573 /nfs/dbraw/zinc/98/95/73/607989573.db2.gz OEURJTSIKOPNRF-FZMZJTMJSA-N -1 1 323.360 1.623 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cccnc1Cl ZINC000826451251 607998964 /nfs/dbraw/zinc/99/89/64/607998964.db2.gz XRATWHKEAQQVEG-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)N1CCC[C@@H]2CCCC[C@@H]21 ZINC000826425335 608013575 /nfs/dbraw/zinc/01/35/75/608013575.db2.gz YJYZPDRHVJTAHV-RYUDHWBXSA-N -1 1 315.381 1.244 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)N1CCC[C@@H]2CCCC[C@@H]21 ZINC000826425335 608013576 /nfs/dbraw/zinc/01/35/76/608013576.db2.gz YJYZPDRHVJTAHV-RYUDHWBXSA-N -1 1 315.381 1.244 20 0 DDADMM O=C([N-]c1nc2ccccn2n1)c1sccc1-c1nn[nH]n1 ZINC000826459895 608091456 /nfs/dbraw/zinc/09/14/56/608091456.db2.gz DOWIZGUOJFNHMB-UHFFFAOYSA-N -1 1 312.318 1.223 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)Nc1nn(C(C)(C)C)cc1-c1nnn[n-]1 ZINC000825020529 608160178 /nfs/dbraw/zinc/16/01/78/608160178.db2.gz KTTIEAQGHIEBNV-IUCAKERBSA-N -1 1 319.369 1.182 20 0 DDADMM C[C@@H]1OCC[C@@H]1C(=O)Nc1nn(C(C)(C)C)cc1-c1nn[n-]n1 ZINC000825020529 608160179 /nfs/dbraw/zinc/16/01/79/608160179.db2.gz KTTIEAQGHIEBNV-IUCAKERBSA-N -1 1 319.369 1.182 20 0 DDADMM Cc1nnc(COC(=O)c2ccc(-c3nnn[n-]3)s2)s1 ZINC000826335786 608320915 /nfs/dbraw/zinc/32/09/15/608320915.db2.gz BLZCIFGHWCEHRU-UHFFFAOYSA-N -1 1 308.348 1.445 20 0 DDADMM Cc1nnc(COC(=O)c2ccc(-c3nn[n-]n3)s2)s1 ZINC000826335786 608320917 /nfs/dbraw/zinc/32/09/17/608320917.db2.gz BLZCIFGHWCEHRU-UHFFFAOYSA-N -1 1 308.348 1.445 20 0 DDADMM c1cnn(-c2ccccc2CNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826519908 608405773 /nfs/dbraw/zinc/40/57/73/608405773.db2.gz SZOGJYQBRAOWKS-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1cnn(-c2ccccc2CNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826519908 608405774 /nfs/dbraw/zinc/40/57/74/608405774.db2.gz SZOGJYQBRAOWKS-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM CC[C@H](Nc1ccc(-c2nnn[n-]2)nn1)c1nc(C)cs1 ZINC000825148215 608413357 /nfs/dbraw/zinc/41/33/57/608413357.db2.gz OQXPLZGHQKTNPH-QMMMGPOBSA-N -1 1 302.367 1.985 20 0 DDADMM CC[C@H](Nc1ccc(-c2nn[n-]n2)nn1)c1nc(C)cs1 ZINC000825148215 608413359 /nfs/dbraw/zinc/41/33/59/608413359.db2.gz OQXPLZGHQKTNPH-QMMMGPOBSA-N -1 1 302.367 1.985 20 0 DDADMM CCc1nc([C@H](C)Nc2ccc(-c3nnn[n-]3)nn2)cs1 ZINC000825745395 608416922 /nfs/dbraw/zinc/41/69/22/608416922.db2.gz LHIOCXUNXXTUAL-ZETCQYMHSA-N -1 1 302.367 1.849 20 0 DDADMM CCc1nc([C@H](C)Nc2ccc(-c3nn[n-]n3)nn2)cs1 ZINC000825745395 608416923 /nfs/dbraw/zinc/41/69/23/608416923.db2.gz LHIOCXUNXXTUAL-ZETCQYMHSA-N -1 1 302.367 1.849 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@@H]1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000825171755 608432656 /nfs/dbraw/zinc/43/26/56/608432656.db2.gz VLOIRLBGMQCMDT-WDEREUQCSA-N -1 1 303.370 1.662 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@@H]1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000825171755 608432658 /nfs/dbraw/zinc/43/26/58/608432658.db2.gz VLOIRLBGMQCMDT-WDEREUQCSA-N -1 1 303.370 1.662 20 0 DDADMM Cc1cccc2nc(CNc3ccc(-c4nnn[n-]4)nn3)n(C)c21 ZINC000826305214 608433287 /nfs/dbraw/zinc/43/32/87/608433287.db2.gz OMXMMPCYNUJNHT-UHFFFAOYSA-N -1 1 321.348 1.464 20 0 DDADMM Cc1cccc2nc(CNc3ccc(-c4nn[n-]n4)nn3)n(C)c21 ZINC000826305214 608433289 /nfs/dbraw/zinc/43/32/89/608433289.db2.gz OMXMMPCYNUJNHT-UHFFFAOYSA-N -1 1 321.348 1.464 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1(C)C ZINC000826144868 608591514 /nfs/dbraw/zinc/59/15/14/608591514.db2.gz UMIYJHGDSSZPEZ-XXILOJSOSA-N -1 1 316.365 1.051 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1(C)C ZINC000826144868 608591515 /nfs/dbraw/zinc/59/15/15/608591515.db2.gz UMIYJHGDSSZPEZ-XXILOJSOSA-N -1 1 316.365 1.051 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CCCN1Cc1ccc(-c2nnn[n-]2)o1 ZINC000824098860 608748834 /nfs/dbraw/zinc/74/88/34/608748834.db2.gz CENRUHWXRZGQND-NSHDSACASA-N -1 1 319.365 1.766 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CCCN1Cc1ccc(-c2nn[n-]n2)o1 ZINC000824098860 608748837 /nfs/dbraw/zinc/74/88/37/608748837.db2.gz CENRUHWXRZGQND-NSHDSACASA-N -1 1 319.365 1.766 20 0 DDADMM COCCC[C@@H](C)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826155375 609393197 /nfs/dbraw/zinc/39/31/97/609393197.db2.gz NYHUEQISUSSESW-SECBINFHSA-N -1 1 305.338 1.574 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)[C@@H]1CCCOC1 ZINC000824513361 609597055 /nfs/dbraw/zinc/59/70/55/609597055.db2.gz BSNQQQQYKIIEAP-DTWKUNHWSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)[C@@H]1CCCOC1 ZINC000824513361 609597057 /nfs/dbraw/zinc/59/70/57/609597057.db2.gz BSNQQQQYKIIEAP-DTWKUNHWSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@@H]1C[C@@H](CCNC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824874539 609610118 /nfs/dbraw/zinc/61/01/18/609610118.db2.gz DNQAWLNBXVQDLF-ZJUUUORDSA-N -1 1 321.406 1.863 20 0 DDADMM C[C@@H]1C[C@@H](CCNC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824874539 609610120 /nfs/dbraw/zinc/61/01/20/609610120.db2.gz DNQAWLNBXVQDLF-ZJUUUORDSA-N -1 1 321.406 1.863 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000121567106 696712507 /nfs/dbraw/zinc/71/25/07/696712507.db2.gz APDSJRXGSOHHQZ-NKWVEPMBSA-N -1 1 301.140 1.913 20 0 DDADMM O=C(Nc1ccc(OS(=O)(=O)c2c[n-]cn2)cc1)C1CC1 ZINC000121692784 696714522 /nfs/dbraw/zinc/71/45/22/696714522.db2.gz YXYYJJAHKIZETB-UHFFFAOYSA-N -1 1 307.331 1.526 20 0 DDADMM CC[C@]1(C)C[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973197326 695443807 /nfs/dbraw/zinc/44/38/07/695443807.db2.gz DFTSZPSSSUOZCJ-BDBYMAIGSA-N -1 1 317.389 1.600 20 0 DDADMM O=C(CNC(=O)C(=O)c1ccc([O-])cc1)OCc1ccccc1 ZINC000798153183 700044572 /nfs/dbraw/zinc/04/45/72/700044572.db2.gz MFISPPMWTXNMKT-UHFFFAOYSA-N -1 1 313.309 1.435 20 0 DDADMM CC1CC(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)C1 ZINC000976341997 695960747 /nfs/dbraw/zinc/96/07/47/695960747.db2.gz ZERIYKXCFAVXPA-SGQZKWBFSA-N -1 1 315.373 1.020 20 0 DDADMM O=C(CC1CCC1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396836 695971079 /nfs/dbraw/zinc/97/10/79/695971079.db2.gz INWUNAKDJKYHQF-JYAVWHMHSA-N -1 1 315.373 1.164 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1ccco1 ZINC000977277589 696102851 /nfs/dbraw/zinc/10/28/51/696102851.db2.gz WMIPZSQNFWDBTG-LLVKDONJSA-N -1 1 315.329 1.367 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCN1CCOC1=O ZINC000747382524 700067119 /nfs/dbraw/zinc/06/71/19/700067119.db2.gz VLYZVMHSHNUGEL-UHFFFAOYSA-N -1 1 322.365 1.186 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(SC(F)F)cc1 ZINC000029019919 696108197 /nfs/dbraw/zinc/10/81/97/696108197.db2.gz WMHMQGMPEWMIHG-UHFFFAOYSA-N -1 1 301.274 1.198 20 0 DDADMM CCC(NCCCc1ccccc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000054503664 696276645 /nfs/dbraw/zinc/27/66/45/696276645.db2.gz DQEWWQVJDQELIS-UHFFFAOYSA-N -1 1 317.414 1.404 20 0 DDADMM O=C(C[C@@H]1Oc2ccccc2NC1=O)[N-]OCc1cccnc1 ZINC000747582098 700076833 /nfs/dbraw/zinc/07/68/33/700076833.db2.gz WCQQJBMTTIOFKO-AWEZNQCLSA-N -1 1 313.313 1.419 20 0 DDADMM COc1ccc(S(=O)(=O)NN=c2nc(OC)cc(C)[n-]2)cc1 ZINC000747618268 700077643 /nfs/dbraw/zinc/07/76/43/700077643.db2.gz UOCYBBXDMDWMLP-UHFFFAOYSA-N -1 1 324.362 1.108 20 0 DDADMM C[C@@H](OC(=O)c1sccc1[N-]S(C)(=O)=O)C(=O)C1CC1 ZINC000798598734 700078099 /nfs/dbraw/zinc/07/80/99/700078099.db2.gz FWQGNBZDUDUIOX-SSDOTTSWSA-N -1 1 317.388 1.644 20 0 DDADMM COc1cc(Cl)ccc1C(=O)N[N-]C(=O)c1ccc[n+]([O-])c1 ZINC000073138908 696401135 /nfs/dbraw/zinc/40/11/35/696401135.db2.gz VDVSUVYNAFOFAL-UHFFFAOYSA-N -1 1 321.720 1.057 20 0 DDADMM CC(C)(F)C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978745960 696451273 /nfs/dbraw/zinc/45/12/73/696451273.db2.gz WJWGIGDWKKEYEF-AOOOYVTPSA-N -1 1 309.341 1.160 20 0 DDADMM Cc1ccc([C@H](C)N(C)C(=O)Cc2sc(N)nc2[O-])cc1 ZINC000079486612 696460640 /nfs/dbraw/zinc/46/06/40/696460640.db2.gz BUKWMGJFYFRSHU-CMPLNLGQSA-N -1 1 305.403 1.861 20 0 DDADMM CCCN(CCc1ccccn1)C(=O)Cc1sc(N)nc1[O-] ZINC000079813433 696463752 /nfs/dbraw/zinc/46/37/52/696463752.db2.gz RZNMRIVJTLZVQN-LBPRGKRZSA-N -1 1 320.418 1.210 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccnn2C2CCCCC2)s1 ZINC000079944118 696465303 /nfs/dbraw/zinc/46/53/03/696465303.db2.gz RWJMIOBOTIGFTN-JTQLQIEISA-N -1 1 321.406 1.674 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H](c2ccccc2)C2CCC2)s1 ZINC000080034455 696466067 /nfs/dbraw/zinc/46/60/67/696466067.db2.gz REFWTTOOTCYGAS-TZMCWYRMSA-N -1 1 317.414 1.991 20 0 DDADMM Cc1cc(C)cc([C@@H](C)NC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080107873 696530726 /nfs/dbraw/zinc/53/07/26/696530726.db2.gz DLXOPLRZIDQMOB-PWSUYJOCSA-N -1 1 305.403 1.827 20 0 DDADMM CCN(C)c1ccccc1CNC(=O)Cc1sc(N)nc1[O-] ZINC000080104211 696530763 /nfs/dbraw/zinc/53/07/63/696530763.db2.gz JEZXOLNJDIARIR-LBPRGKRZSA-N -1 1 320.418 1.106 20 0 DDADMM Cc1cccc([C@@H](C)NC(=O)Cc2sc(N)nc2[O-])c1C ZINC000080321937 696533119 /nfs/dbraw/zinc/53/31/19/696533119.db2.gz GRRXCFVGPPHLBC-ZYHUDNBSSA-N -1 1 305.403 1.827 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CC[C@H](SC)C2)o1 ZINC000080842601 696537783 /nfs/dbraw/zinc/53/77/83/696537783.db2.gz XSNILOYLVWRTSY-IUCAKERBSA-N -1 1 318.420 1.202 20 0 DDADMM COC(=O)C1CCN(CC(=O)[N-]OCc2ccccc2)CC1 ZINC000120561097 696697781 /nfs/dbraw/zinc/69/77/81/696697781.db2.gz KLYUWAZYDMFIOB-UHFFFAOYSA-N -1 1 306.362 1.119 20 0 DDADMM C[N@@H+](CC(=O)[O-])Cc1cc(Br)cc2c1OCC2 ZINC000127368999 696770730 /nfs/dbraw/zinc/77/07/30/696770730.db2.gz FNKRNUUZPPEYFA-UHFFFAOYSA-N -1 1 300.152 1.900 20 0 DDADMM CC(C(=O)OCCc1c(C)nc2[n-]cnn2c1=O)=C1CCCC1 ZINC000130390979 696797278 /nfs/dbraw/zinc/79/72/78/696797278.db2.gz PNSYBJWBVUDYQQ-UHFFFAOYSA-N -1 1 316.361 1.702 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCc3ccc(Cl)cc3C2)s1 ZINC000131451322 696806497 /nfs/dbraw/zinc/80/64/97/696806497.db2.gz WJGYVPCOXLMKSS-NSHDSACASA-N -1 1 323.805 1.572 20 0 DDADMM Cc1nc(CN2CCCN(C(=O)c3ncccc3[O-])CC2)co1 ZINC000981026894 696983307 /nfs/dbraw/zinc/98/33/07/696983307.db2.gz PUQLWPBUTACXTH-UHFFFAOYSA-N -1 1 316.361 1.432 20 0 DDADMM Cc1noc([C@@H](C)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000799173467 700126398 /nfs/dbraw/zinc/12/63/98/700126398.db2.gz CGYIWPQYXXLJIO-MRVPVSSYSA-N -1 1 320.315 1.830 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccccc2Cl)C1 ZINC000748768605 700133410 /nfs/dbraw/zinc/13/34/10/700133410.db2.gz UQSSFJOMCZOCFD-SNVBAGLBSA-N -1 1 312.753 1.387 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983340868 697243541 /nfs/dbraw/zinc/24/35/41/697243541.db2.gz DFQCECAJRFLMDL-CHWSQXEVSA-N -1 1 319.405 1.658 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H](NC(=O)C(F)F)C1)c1ncccc1[O-] ZINC000983392625 697253080 /nfs/dbraw/zinc/25/30/80/697253080.db2.gz SWTUEYXNIZSHPQ-RKDXNWHRSA-N -1 1 313.304 1.210 20 0 DDADMM CC1(C(=O)N[C@@H]2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000983586480 697282051 /nfs/dbraw/zinc/28/20/51/697282051.db2.gz VYQNDCBAKPWLFY-VXGBXAGGSA-N -1 1 317.389 1.745 20 0 DDADMM CC(=O)N1CCC[C@@H](N(C)C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000983736946 697293135 /nfs/dbraw/zinc/29/31/35/697293135.db2.gz GQPCBJLNPVLRCL-GFCCVEGCSA-N -1 1 318.377 1.143 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CC=CC[C@@H]2C)co1 ZINC000165946828 697340366 /nfs/dbraw/zinc/34/03/66/697340366.db2.gz MQCUMFUWBCPREZ-QWRGUYRKSA-N -1 1 313.375 1.947 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)C(C)(C)F)CCN1C(=O)c1ncccc1[O-] ZINC000984415320 697382465 /nfs/dbraw/zinc/38/24/65/697382465.db2.gz BGOOLSCGNOSMEV-WDEREUQCSA-N -1 1 323.368 1.645 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)C=Cc2ccc(F)cc2)n1 ZINC000176685081 697404544 /nfs/dbraw/zinc/40/45/44/697404544.db2.gz CPGVIGNMRFTOJQ-VQHVLOKHSA-N -1 1 324.337 1.542 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)C(C)(C)C)C1 ZINC000984636227 697410939 /nfs/dbraw/zinc/41/09/39/697410939.db2.gz HQONAKGPFWBNJG-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM CCCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1CC ZINC000984800953 697430731 /nfs/dbraw/zinc/43/07/31/697430731.db2.gz HEDFTOCVTGJHOR-NWDGAFQWSA-N -1 1 305.378 1.697 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)Nc2cc(Cl)ccc2F)n[nH]1 ZINC000183043520 697483014 /nfs/dbraw/zinc/48/30/14/697483014.db2.gz NMXKIWZCDJXJJL-UHFFFAOYSA-N -1 1 311.704 1.977 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1Cl)c1nc[nH]n1 ZINC000183200728 697484757 /nfs/dbraw/zinc/48/47/57/697484757.db2.gz YAEOOJCQUOLEHV-YFKPBYRVSA-N -1 1 322.177 1.546 20 0 DDADMM C[C@H](C(=O)[N-]OC[C@H]1CCOC1)N1CCc2ccccc2C1 ZINC000188332885 697557311 /nfs/dbraw/zinc/55/73/11/697557311.db2.gz NIMDZJRLAJKFRL-KGLIPLIRSA-N -1 1 304.390 1.518 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccccc2C(=O)NC(C)C)c1[O-] ZINC000749421998 700163180 /nfs/dbraw/zinc/16/31/80/700163180.db2.gz LVQPKSXLKFYKDP-UHFFFAOYSA-N -1 1 302.334 1.814 20 0 DDADMM O=C(Nc1ccccc1Cc1ccccc1)NN1CC(=O)[N-]C1=O ZINC000190920077 697599237 /nfs/dbraw/zinc/59/92/37/697599237.db2.gz JJLWQLJSKWGKQU-UHFFFAOYSA-N -1 1 324.340 1.866 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(COC)cc2)o1 ZINC000192806900 697640349 /nfs/dbraw/zinc/64/03/49/697640349.db2.gz HDMVNEDNGPQMML-UHFFFAOYSA-N -1 1 324.358 1.586 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000772706864 697664206 /nfs/dbraw/zinc/66/42/06/697664206.db2.gz LQSYBZBSIHGUHJ-GFCCVEGCSA-N -1 1 304.346 1.266 20 0 DDADMM O=C(C=Cc1cccnc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000773314378 697742584 /nfs/dbraw/zinc/74/25/84/697742584.db2.gz KHLXLISQURNUPG-FOQNGQEVSA-N -1 1 300.318 1.590 20 0 DDADMM Fc1[n-]c(F)c(F)c(=NNC(=S)NC[C@@H]2CCOC2)c1F ZINC000749640284 700174751 /nfs/dbraw/zinc/17/47/51/700174751.db2.gz RZYPLVFWZZILSM-YFKPBYRVSA-N -1 1 324.303 1.466 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2nccs2)cc1 ZINC000773490181 697767740 /nfs/dbraw/zinc/76/77/40/697767740.db2.gz PBMWYAMKOHTPDB-UHFFFAOYSA-N -1 1 320.326 1.265 20 0 DDADMM O=C(N[C@H](CO)C[C@H](O)c1ccccc1)c1c([O-])cccc1F ZINC000773491422 697767859 /nfs/dbraw/zinc/76/78/59/697767859.db2.gz SHBHYEMCUCKPTD-WFASDCNBSA-N -1 1 319.332 1.746 20 0 DDADMM O=C(NC[C@H](O)c1ccccc1)c1ccc2n[n-]c(=S)n2c1 ZINC000774050686 697837647 /nfs/dbraw/zinc/83/76/47/697837647.db2.gz XVVQPANDCDKBRT-LBPRGKRZSA-N -1 1 314.370 1.481 20 0 DDADMM Cn1ccsc1=NC(=O)c1[n-]cnc1C(=O)c1ccccc1 ZINC000776325763 698095832 /nfs/dbraw/zinc/09/58/32/698095832.db2.gz RKJNCOKHNCTZHN-UHFFFAOYSA-N -1 1 312.354 1.782 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H](C)C(F)(F)F ZINC000777361410 698182227 /nfs/dbraw/zinc/18/22/27/698182227.db2.gz VKFZQHHBCGLHNR-LURJTMIESA-N -1 1 318.255 1.010 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1CCC(C)(C)C1 ZINC000777357638 698182242 /nfs/dbraw/zinc/18/22/42/698182242.db2.gz ZHDUCOHDOHVXJP-LLVKDONJSA-N -1 1 318.377 1.638 20 0 DDADMM COCCOCCOC(=O)c1ccc(Br)c([O-])c1 ZINC000778829639 698373361 /nfs/dbraw/zinc/37/33/61/698373361.db2.gz QWLBUVGUHVRZRT-UHFFFAOYSA-N -1 1 319.151 1.975 20 0 DDADMM Cc1cnc(C(=O)N2CCC(N(C)CC(F)F)CC2)c([O-])c1 ZINC000778933772 698383288 /nfs/dbraw/zinc/38/32/88/698383288.db2.gz BCHBLIJVWOBJLG-UHFFFAOYSA-N -1 1 313.348 1.897 20 0 DDADMM Cc1cnc(C(=O)NCCC(=O)OCc2ccccc2)c([O-])c1 ZINC000778997331 698389925 /nfs/dbraw/zinc/38/99/25/698389925.db2.gz BDRGLFUHNRAWSQ-UHFFFAOYSA-N -1 1 314.341 1.959 20 0 DDADMM O=C([O-])[C@@H]1CN(CCOCC(F)(F)F)C[C@H]1C(F)(F)F ZINC000262975085 698486267 /nfs/dbraw/zinc/48/62/67/698486267.db2.gz METYBMGUJHRAPP-RNFRBKRXSA-N -1 1 309.206 1.760 20 0 DDADMM O=C([O-])[C@H]1CCCN1C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000780344478 698509372 /nfs/dbraw/zinc/50/93/72/698509372.db2.gz KRXQFBOJYHQVKS-CHWSQXEVSA-N -1 1 321.377 1.675 20 0 DDADMM O=S(=O)([N-][C@H](CCO)C1CC1)c1ccc(F)c(F)c1F ZINC000305213496 698641779 /nfs/dbraw/zinc/64/17/79/698641779.db2.gz QRRRJDZTDAAVTO-SECBINFHSA-N -1 1 309.309 1.543 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)[C@H](N)Cc1c[nH]c2ccccc12 ZINC000318824074 698707230 /nfs/dbraw/zinc/70/72/30/698707230.db2.gz VWQMWDIIDCVEIQ-CYBMUJFWSA-N -1 1 303.362 1.361 20 0 DDADMM CO[C@H](C)COC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000782321763 698709062 /nfs/dbraw/zinc/70/90/62/698709062.db2.gz FFJYLACOAMXYAE-SECBINFHSA-N -1 1 307.350 1.562 20 0 DDADMM CCNS(=O)(=O)CCNC(=O)c1cc2ccccc2cc1[O-] ZINC000320175513 698718299 /nfs/dbraw/zinc/71/82/99/698718299.db2.gz KHBMSAMDTIVBPK-UHFFFAOYSA-N -1 1 322.386 1.215 20 0 DDADMM Cc1ccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cc1 ZINC000989710217 698736551 /nfs/dbraw/zinc/73/65/51/698736551.db2.gz CEEUGWUUDCLDKZ-YPMHNXCESA-N -1 1 315.377 1.212 20 0 DDADMM Cc1ccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cc1 ZINC000989710215 698736736 /nfs/dbraw/zinc/73/67/36/698736736.db2.gz CEEUGWUUDCLDKZ-DGCLKSJQSA-N -1 1 315.377 1.212 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@H](O)c1cccnc1 ZINC000783911361 698891113 /nfs/dbraw/zinc/89/11/13/698891113.db2.gz XQGICUVFEGFTEA-SNVBAGLBSA-N -1 1 324.134 1.617 20 0 DDADMM COc1ccc(-c2nc(-c3cc(OC)ncn3)no2)c([O-])c1 ZINC000389574588 699089092 /nfs/dbraw/zinc/08/90/92/699089092.db2.gz CZNGCYIEKPURMO-UHFFFAOYSA-N -1 1 300.274 1.916 20 0 DDADMM CC(C)OCC(C)(C)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000396503269 699111190 /nfs/dbraw/zinc/11/11/90/699111190.db2.gz WVUPVBKMCVHOIB-UHFFFAOYSA-N -1 1 309.819 1.555 20 0 DDADMM CCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])CC(C)(C)C1 ZINC000990687968 699132591 /nfs/dbraw/zinc/13/25/91/699132591.db2.gz UGZGDYQCLOLTMZ-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NC1CN(C(=O)[C@@H]2C[C@H]2C2CCC2)C1)c1ncccc1[O-] ZINC000990977667 699197387 /nfs/dbraw/zinc/19/73/87/699197387.db2.gz KPUNMWIDIJPCNX-QWHCGFSZSA-N -1 1 315.373 1.164 20 0 DDADMM Cc1coc(C)c1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000991124248 699240229 /nfs/dbraw/zinc/24/02/29/699240229.db2.gz IDMSNLZMNBCWOS-UHFFFAOYSA-N -1 1 315.329 1.251 20 0 DDADMM CC(C)(C)S(=O)(=O)CCCN1CCC[C@@H](CC(=O)[O-])C1 ZINC000718721850 699294902 /nfs/dbraw/zinc/29/49/02/699294902.db2.gz RVACCSBCPCYOID-LBPRGKRZSA-N -1 1 305.440 1.777 20 0 DDADMM CCCCOc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)c(O)c1 ZINC000789251298 699373485 /nfs/dbraw/zinc/37/34/85/699373485.db2.gz QVMVULSUXNGZFP-UHFFFAOYSA-N -1 1 321.333 1.350 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2cccc3c2COC3=O)n1 ZINC000726795732 699381141 /nfs/dbraw/zinc/38/11/41/699381141.db2.gz QEHUVUVEIXGBNN-ZETCQYMHSA-N -1 1 323.330 1.088 20 0 DDADMM Cc1ccc2c(c1)CCCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000726866428 699386138 /nfs/dbraw/zinc/38/61/38/699386138.db2.gz TVKMKPFVKFNCFB-UHFFFAOYSA-N -1 1 313.357 1.215 20 0 DDADMM O=C(NC1CC(CNC(=O)C2CCCC2)C1)c1ncccc1[O-] ZINC000991845838 699420279 /nfs/dbraw/zinc/42/02/79/699420279.db2.gz CHKYPVSUGXQIIX-UHFFFAOYSA-N -1 1 317.389 1.602 20 0 DDADMM CN(CCCc1ccccc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727756020 699424698 /nfs/dbraw/zinc/42/46/98/699424698.db2.gz PGGZAHXHWGGZFI-UHFFFAOYSA-N -1 1 315.373 1.018 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000727850360 699428105 /nfs/dbraw/zinc/42/81/05/699428105.db2.gz WVTSRJHGHGGPDK-GFCCVEGCSA-N -1 1 301.346 1.113 20 0 DDADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CCc2ccccc21 ZINC000727848511 699428335 /nfs/dbraw/zinc/42/83/35/699428335.db2.gz NMKPXAOZBKDVCX-AWEZNQCLSA-N -1 1 313.357 1.073 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)C2=Cc3ccccc3OC2)n1 ZINC000790445788 699460683 /nfs/dbraw/zinc/46/06/83/699460683.db2.gz UPZZZLVJPYUMEQ-UHFFFAOYSA-N -1 1 307.331 1.231 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]c2c(F)cccc2-n2cccn2)cn1 ZINC000730204347 699503768 /nfs/dbraw/zinc/50/37/68/699503768.db2.gz QSADDYHFJWINTL-UHFFFAOYSA-N -1 1 321.337 1.546 20 0 DDADMM CNC(=O)NC(=O)COC(=O)c1ccc(Cl)c(Cl)c1[O-] ZINC000730362370 699509057 /nfs/dbraw/zinc/50/90/57/699509057.db2.gz MXNLRHKFTCIEQQ-UHFFFAOYSA-N -1 1 321.116 1.311 20 0 DDADMM CC(C)[C@@H]1CCCC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000733148227 699580682 /nfs/dbraw/zinc/58/06/82/699580682.db2.gz ZRKQVUDLGRXNIU-STQMWFEESA-N -1 1 307.394 1.258 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CN3CCc4ccccc43)ccnc1-2 ZINC000791165317 699613635 /nfs/dbraw/zinc/61/36/35/699613635.db2.gz DTUVZGIJUAWTHF-UHFFFAOYSA-N -1 1 307.357 1.343 20 0 DDADMM COC(=O)c1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000791165289 699613686 /nfs/dbraw/zinc/61/36/86/699613686.db2.gz DPOPGOJGFILRIE-UHFFFAOYSA-N -1 1 310.313 1.381 20 0 DDADMM Cc1cccc([C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)c1C ZINC000734469762 699652431 /nfs/dbraw/zinc/65/24/31/699652431.db2.gz QUIVCWHTEXYOPP-ZDUSSCGKSA-N -1 1 315.373 1.421 20 0 DDADMM Cc1cc(=O)c(COC(=O)c2ccc(-c3nnc[nH]3)cc2)n[n-]1 ZINC000793224444 699741746 /nfs/dbraw/zinc/74/17/46/699741746.db2.gz SVFMUEZCTQNDJY-UHFFFAOYSA-N -1 1 311.301 1.633 20 0 DDADMM Cc1cc(C)c(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1C=O ZINC000793526643 699763213 /nfs/dbraw/zinc/76/32/13/699763213.db2.gz OPKGBISILXQPTF-UHFFFAOYSA-N -1 1 313.361 1.828 20 0 DDADMM O=C(c1ccc2c(c1)CCC=C2)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000796264002 699922307 /nfs/dbraw/zinc/92/23/07/699922307.db2.gz IZRJKTCIJXJOHM-CQSZACIVSA-N -1 1 311.345 1.373 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N[C@H]2CCCC[C@@H]2C)[n-]1 ZINC000796343262 699927912 /nfs/dbraw/zinc/92/79/12/699927912.db2.gz AVPOMQVBXCDABL-QWRGUYRKSA-N -1 1 322.361 1.653 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)c2oc(C)nc2C)[n-]1 ZINC000796351661 699928810 /nfs/dbraw/zinc/92/88/10/699928810.db2.gz RYBPFBGTVHKMMM-UHFFFAOYSA-N -1 1 306.274 1.446 20 0 DDADMM O=C(COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-])C(F)F ZINC000801326565 700297784 /nfs/dbraw/zinc/29/77/84/700297784.db2.gz YGYWAWQNYUMHTQ-UHFFFAOYSA-N -1 1 314.219 1.708 20 0 DDADMM COC(=O)[C@@H](C)OC(=O)c1nn(-c2ccc(Cl)cc2)cc1[O-] ZINC000801372918 700301604 /nfs/dbraw/zinc/30/16/04/700301604.db2.gz NUVTZPZJQZOIAL-MRVPVSSYSA-N -1 1 324.720 1.950 20 0 DDADMM Cc1cc(F)ccc1-n1cc([O-])c(C(=O)O[C@H](C)C(N)=O)n1 ZINC000801428017 700308107 /nfs/dbraw/zinc/30/81/07/700308107.db2.gz PTQNQNHDSPCVQW-MRVPVSSYSA-N -1 1 307.281 1.056 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc4nsnc4c3)ccnc1-2 ZINC000801701234 700332092 /nfs/dbraw/zinc/33/20/92/700332092.db2.gz DHMDDSXBOFJKJP-UHFFFAOYSA-N -1 1 310.342 1.599 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cnn(-c4ccccc4)n3)ccnc1-2 ZINC000801701872 700332372 /nfs/dbraw/zinc/33/23/72/700332372.db2.gz XLXKWKGJUCQWEX-UHFFFAOYSA-N -1 1 319.328 1.175 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N(CC1CC1)c1ccccc1 ZINC000752513862 700370045 /nfs/dbraw/zinc/37/00/45/700370045.db2.gz DIHYFVIYKVOLEV-UHFFFAOYSA-N -1 1 313.357 1.370 20 0 DDADMM Cc1cccc2c1[C@H](C)C[C@H]2CC(=O)NN1CC(=O)[N-]C1=O ZINC000754163714 700487086 /nfs/dbraw/zinc/48/70/86/700487086.db2.gz RBGVLWAIUMQJKB-MNOVXSKESA-N -1 1 301.346 1.559 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CSC[C@H]2CCCO2)cc1 ZINC000754921984 700538402 /nfs/dbraw/zinc/53/84/02/700538402.db2.gz DSCMVOMRVXMLQM-CYBMUJFWSA-N -1 1 309.387 1.864 20 0 DDADMM C[C@@](O)(CC(=O)OCC(=O)[N-]C(=O)c1ccccc1)C1CC1 ZINC000756310866 700614379 /nfs/dbraw/zinc/61/43/79/700614379.db2.gz HNKNTNRWWATZBH-MRXNPFEDSA-N -1 1 305.330 1.037 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C(=O)[N-]OC2CCCCC2)CN1C1CC1 ZINC000809361756 701661291 /nfs/dbraw/zinc/66/12/91/701661291.db2.gz JQOXPXMBVXIDGI-RYUDHWBXSA-N -1 1 309.410 1.108 20 0 DDADMM CC[C@@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)C1CCC(C)CC1 ZINC000764101626 700960478 /nfs/dbraw/zinc/96/04/78/700960478.db2.gz SJEBBUSMMKGNPL-NWINJMCUSA-N -1 1 321.425 1.952 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(O)c3ccccc3c2O)nc1=O ZINC000765431570 701012466 /nfs/dbraw/zinc/01/24/66/701012466.db2.gz PQAFTJGJIBSBII-UHFFFAOYSA-N -1 1 315.285 1.030 20 0 DDADMM Cc1cc2[nH]c(C(=O)OCc3nc(=O)n(C)[n-]3)cc2c(C)c1 ZINC000765432580 701012574 /nfs/dbraw/zinc/01/25/74/701012574.db2.gz BXLZJXRMPUXWTM-UHFFFAOYSA-N -1 1 300.318 1.564 20 0 DDADMM CC[S@@](=O)CC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000766503192 701054254 /nfs/dbraw/zinc/05/42/54/701054254.db2.gz VJVMEYIZJOJINY-QGZVFWFLSA-N -1 1 313.803 1.526 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](c1ccccn1)C(C)C ZINC000809819250 701692478 /nfs/dbraw/zinc/69/24/78/701692478.db2.gz YWTHJYOJQHEPJB-NSHDSACASA-N -1 1 310.379 1.636 20 0 DDADMM COC(=O)c1cc(Cl)cc([N-]C(=O)c2nonc2C)c1O ZINC000804900319 701218318 /nfs/dbraw/zinc/21/83/18/701218318.db2.gz QOHSLYKDTZKLOV-UHFFFAOYSA-N -1 1 311.681 1.776 20 0 DDADMM C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)c1c([O-])cccc1Cl ZINC000769858480 701259588 /nfs/dbraw/zinc/25/95/88/701259588.db2.gz QWNAIANVVUAFGI-BDAKNGLRSA-N -1 1 319.810 1.939 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@@H]1CCCOC1 ZINC000769875866 701259946 /nfs/dbraw/zinc/25/99/46/701259946.db2.gz ACBHNVDQMCFVCR-SNVBAGLBSA-N -1 1 300.380 1.114 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]2OCC[C@H]21)c1c[nH]nc1Cl ZINC000830868415 706594554 /nfs/dbraw/zinc/59/45/54/706594554.db2.gz CIRDRVFREZDINY-DJLDLDEBSA-N -1 1 305.787 1.299 20 0 DDADMM Cc1n[nH]nc1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000805548151 701392344 /nfs/dbraw/zinc/39/23/44/701392344.db2.gz QWMQBVJVBLQIDA-UHFFFAOYSA-N -1 1 301.258 1.282 20 0 DDADMM O=C(O[C@@H]1CCN(c2ccccc2Cl)C1=O)c1cn[n-]n1 ZINC000805603103 701397297 /nfs/dbraw/zinc/39/72/97/701397297.db2.gz HCARWYMPNLVYRL-LLVKDONJSA-N -1 1 306.709 1.420 20 0 DDADMM COC(=O)[C@@H](OC(=O)c1cn[n-]n1)c1c(F)cccc1Cl ZINC000805607063 701399403 /nfs/dbraw/zinc/39/94/03/701399403.db2.gz GDUXTUWJTSVIST-JTQLQIEISA-N -1 1 313.672 1.668 20 0 DDADMM CC[C@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CCCO1 ZINC000806481475 701436930 /nfs/dbraw/zinc/43/69/30/701436930.db2.gz DAAAKQLTYASTEV-AAEUAGOBSA-N -1 1 303.366 1.421 20 0 DDADMM CN(C)c1cc(OS(=O)(=O)c2c[n-]cn2)cc(N(C)C)c1 ZINC000810079412 701725463 /nfs/dbraw/zinc/72/54/63/701725463.db2.gz OKAFMQBUFXBJFA-UHFFFAOYSA-N -1 1 310.379 1.309 20 0 DDADMM CCOC(=O)[C@H](CC=C(C)C)[N-]S(=O)(=O)[C@H]1CCO[C@@H]1C ZINC000867759294 701734491 /nfs/dbraw/zinc/73/44/91/701734491.db2.gz NUDRISKCXDBGHI-AGIUHOORSA-N -1 1 319.423 1.371 20 0 DDADMM O=C(CC1=CCCCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830960211 706611385 /nfs/dbraw/zinc/61/13/85/706611385.db2.gz YOXHFPSWYZTYML-UHFFFAOYSA-N -1 1 303.362 1.762 20 0 DDADMM CNc1ccccc1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830966440 706612780 /nfs/dbraw/zinc/61/27/80/706612780.db2.gz XAPWGDXVFQAWHH-UHFFFAOYSA-N -1 1 314.345 1.227 20 0 DDADMM O=S(=O)([N-][C@H](c1cccnc1)C1CC1)c1c[nH]nc1Cl ZINC000830967481 706613274 /nfs/dbraw/zinc/61/32/74/706613274.db2.gz ULMAAMHOGRUHEZ-NSHDSACASA-N -1 1 312.782 1.888 20 0 DDADMM Cc1nccn1C[C@@H](C)OC(=O)C(C)(C)[N-]C(=O)C(F)(F)F ZINC000810621179 701802764 /nfs/dbraw/zinc/80/27/64/701802764.db2.gz LPQZQGUOSBVTSJ-MRVPVSSYSA-N -1 1 321.299 1.580 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)[C@H](C)O1 ZINC000839843400 701843001 /nfs/dbraw/zinc/84/30/01/701843001.db2.gz BIGLVNLGVXQOEP-WCABBAIRSA-N -1 1 306.391 1.561 20 0 DDADMM O=C(NCCS(=O)(=O)C1CC1)c1cc2ccccc2cc1[O-] ZINC000839853896 701845111 /nfs/dbraw/zinc/84/51/11/701845111.db2.gz JXNBADRTGSMMQG-UHFFFAOYSA-N -1 1 319.382 1.852 20 0 DDADMM CC(C)n1cnc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1 ZINC000811217297 701938337 /nfs/dbraw/zinc/93/83/37/701938337.db2.gz ZKLSFIOJOJDBCI-UHFFFAOYSA-N -1 1 315.329 1.577 20 0 DDADMM CC1(C)CC[C@H](CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)OC1 ZINC000879263558 706633991 /nfs/dbraw/zinc/63/39/91/706633991.db2.gz QBXSSWDMPFXZNF-NWDGAFQWSA-N -1 1 307.398 1.501 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OCC ZINC000831110905 706636816 /nfs/dbraw/zinc/63/68/16/706636816.db2.gz OQOXKMXWHKDFAN-WGAJWPLOSA-N -1 1 321.786 1.239 20 0 DDADMM O=C([N-]CC1CN(C(=O)[C@@H]2C[C@H]2C2CCCC2)C1)C(F)(F)F ZINC000831120619 706638402 /nfs/dbraw/zinc/63/84/02/706638402.db2.gz BQYQLYMATSFPPW-NWDGAFQWSA-N -1 1 318.339 1.950 20 0 DDADMM C[C@H](CNC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000868365570 702087993 /nfs/dbraw/zinc/08/79/93/702087993.db2.gz OHXAKMSURVJHHQ-RNCFNFMXSA-N -1 1 321.343 1.885 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC2CCCC2)C1 ZINC000866179978 706641849 /nfs/dbraw/zinc/64/18/49/706641849.db2.gz VUOPOOREYLSNDB-GFCCVEGCSA-N -1 1 307.316 1.782 20 0 DDADMM Cc1ccnc(NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1 ZINC000866181606 706642304 /nfs/dbraw/zinc/64/23/04/706642304.db2.gz IXIILMDPFMYUCO-UHFFFAOYSA-N -1 1 316.283 1.532 20 0 DDADMM Cc1cscc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831139558 706642452 /nfs/dbraw/zinc/64/24/52/706642452.db2.gz KQCUWNFWCWCJJN-UHFFFAOYSA-N -1 1 306.309 1.807 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cccc(N2CCOC2=O)c1 ZINC000816740496 702172998 /nfs/dbraw/zinc/17/29/98/702172998.db2.gz FJKRFJGHGFPNER-UHFFFAOYSA-N -1 1 302.290 1.633 20 0 DDADMM COC(=O)[C@H]1[C@@H]2CN(C(=O)Cc3ccc([O-])c(Cl)c3)C[C@@H]21 ZINC000868521218 702176011 /nfs/dbraw/zinc/17/60/11/702176011.db2.gz MVRJNTCMNSWZLC-MSRIBSCDSA-N -1 1 309.749 1.466 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000868696619 702271419 /nfs/dbraw/zinc/27/14/19/702271419.db2.gz DPAIPNOYPPSWER-JFGNBEQYSA-N -1 1 318.377 1.243 20 0 DDADMM CN(C)C(=O)N1c2ccccc2C[C@H]1C[N-]C(=O)C(F)(F)F ZINC000817151702 702290979 /nfs/dbraw/zinc/29/09/79/702290979.db2.gz YDXGNECQTKHWGQ-JTQLQIEISA-N -1 1 315.295 1.778 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H](C)C2CCC2)co1 ZINC000817754712 702468867 /nfs/dbraw/zinc/46/88/67/702468867.db2.gz XWRYJCBJFIJIIW-VIFPVBQESA-N -1 1 301.364 1.781 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)Cc1ccccc1F ZINC000841535237 702485080 /nfs/dbraw/zinc/48/50/80/702485080.db2.gz QKMGLGWSDBYFMZ-LLVKDONJSA-N -1 1 301.339 1.197 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000841545729 702492244 /nfs/dbraw/zinc/49/22/44/702492244.db2.gz UNLNAWMCCRXCRW-VIFPVBQESA-N -1 1 301.295 1.222 20 0 DDADMM CC[C@H](C)[C@@H](C)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869470775 702617079 /nfs/dbraw/zinc/61/70/79/702617079.db2.gz QFHFBOIVDNAYQG-DTWKUNHWSA-N -1 1 311.300 1.850 20 0 DDADMM CC(C)(C)CC(=O)[N-]OCc1nnnn1CC1CCOCC1 ZINC000842061863 702665554 /nfs/dbraw/zinc/66/55/54/702665554.db2.gz QYEMQDRBLGBOCS-UHFFFAOYSA-N -1 1 311.386 1.084 20 0 DDADMM C[C@H]1CC[N@@H+](CCOc2ccccc2C(=O)[O-])C[C@H]1C(=O)[O-] ZINC000842935012 702792096 /nfs/dbraw/zinc/79/20/96/702792096.db2.gz CCOWDHOSYFQZDV-WCQYABFASA-N -1 1 307.346 1.806 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2Cc3ccccc32)CCC1 ZINC000843018892 702804787 /nfs/dbraw/zinc/80/47/87/702804787.db2.gz WTFTVEOTESYSAC-CQSZACIVSA-N -1 1 322.430 1.257 20 0 DDADMM O=C(NCC[C@H](O)C1CCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000831396253 706699753 /nfs/dbraw/zinc/69/97/53/706699753.db2.gz FILMBVJWWFLDGU-LBPRGKRZSA-N -1 1 320.418 1.689 20 0 DDADMM CC(C)CCC[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866469000 706706944 /nfs/dbraw/zinc/70/69/44/706706944.db2.gz MZFSFRZAHIKBIQ-UHFFFAOYSA-N -1 1 310.217 1.887 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)Cc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000844838638 703078050 /nfs/dbraw/zinc/07/80/50/703078050.db2.gz DJKQPLIAPUJLHY-NSHDSACASA-N -1 1 321.345 1.978 20 0 DDADMM CCO[N-]C(=O)CNCc1c(C)nn(CC(C)C)c1OCC ZINC000846091958 703236758 /nfs/dbraw/zinc/23/67/58/703236758.db2.gz YABVLOLZVDFIMV-UHFFFAOYSA-N -1 1 312.414 1.404 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H]1Cc2ccccc21 ZINC000866561625 706730678 /nfs/dbraw/zinc/73/06/78/706730678.db2.gz IHEDNSJDZPDSDQ-LBPRGKRZSA-N -1 1 314.432 1.423 20 0 DDADMM COC(=O)[C@@H](CCF)[N-]C(=O)C(F)(F)c1ccc(F)cc1 ZINC000846433068 703275419 /nfs/dbraw/zinc/27/54/19/703275419.db2.gz OPBUZQWWVKVSED-SNVBAGLBSA-N -1 1 307.243 1.935 20 0 DDADMM Cc1ncccc1C=CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847091281 703376658 /nfs/dbraw/zinc/37/66/58/703376658.db2.gz NOTXPBKRRCTSNJ-KTRBRXNASA-N -1 1 314.345 1.898 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]([N-]C(=O)C(F)F)C(F)(F)F ZINC000847215046 703388604 /nfs/dbraw/zinc/38/86/04/703388604.db2.gz UATWVTWVWODFFD-YFKPBYRVSA-N -1 1 306.231 1.823 20 0 DDADMM CC(C)OCCC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848342276 703541009 /nfs/dbraw/zinc/54/10/09/703541009.db2.gz JRNXBNDUMKNMTC-GHMZBOCLSA-N -1 1 324.343 1.860 20 0 DDADMM CC1=NO[C@@H](C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)C1 ZINC000831681992 706752679 /nfs/dbraw/zinc/75/26/79/706752679.db2.gz UBAGQELJHHPJCR-LLVKDONJSA-N -1 1 315.326 1.301 20 0 DDADMM CCC(=O)N1CCC([C@@H]2OCC[C@H]2[N-]C(=O)C(F)(F)F)CC1 ZINC000849396424 703643263 /nfs/dbraw/zinc/64/32/63/703643263.db2.gz FPNSZZJXKILEMB-PWSUYJOCSA-N -1 1 322.327 1.471 20 0 DDADMM COC[C@@H](COC(=O)c1nn(-c2ccccc2)cc1[O-])OC ZINC000849639784 703662365 /nfs/dbraw/zinc/66/23/65/703662365.db2.gz KEVLGJUMOJEFDB-LBPRGKRZSA-N -1 1 306.318 1.396 20 0 DDADMM Cn1nnnc1C1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000831729208 706764549 /nfs/dbraw/zinc/76/45/49/706764549.db2.gz NKFOLRHXIKXVQR-UHFFFAOYSA-N -1 1 305.313 1.075 20 0 DDADMM COCCn1c(C)cc(C(=O)CN2C[C@H](C)[C@H](C(=O)[O-])C2)c1C ZINC000831800606 706783250 /nfs/dbraw/zinc/78/32/50/706783250.db2.gz PNZKXBKBEQAHFR-XHDPSFHLSA-N -1 1 322.405 1.587 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)NC2COC2)c([O-])c1 ZINC000870869227 704150870 /nfs/dbraw/zinc/15/08/70/704150870.db2.gz HNHWFNRMHLRDRH-UHFFFAOYSA-N -1 1 308.334 1.868 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CCOCC1CC1)C(C)(C)C ZINC000819876809 704179267 /nfs/dbraw/zinc/17/92/67/704179267.db2.gz YRBUWHOVPFYKSQ-GFCCVEGCSA-N -1 1 321.439 1.310 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000819876067 704179377 /nfs/dbraw/zinc/17/93/77/704179377.db2.gz LAGFXAMDTYBERB-UHFFFAOYSA-N -1 1 303.290 1.443 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2C[C@H](C)OC2=O)c(F)c1 ZINC000819881011 704180097 /nfs/dbraw/zinc/18/00/97/704180097.db2.gz AIMFIZSMOTXQOA-XVKPBYJWSA-N -1 1 305.302 1.256 20 0 DDADMM O=C(COCc1ccccn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000819967416 704193598 /nfs/dbraw/zinc/19/35/98/704193598.db2.gz KIYLLTQIVAROPZ-NSHDSACASA-N -1 1 318.333 1.093 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866806396 706807907 /nfs/dbraw/zinc/80/79/07/706807907.db2.gz XIZPIKMENNXVHV-UHFFFAOYSA-N -1 1 324.761 1.496 20 0 DDADMM O=C([C@@H]1OC[C@@H]2CCC[C@@H]21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000879855359 706802467 /nfs/dbraw/zinc/80/24/67/706802467.db2.gz WDDFAXPOJBKCQU-FIQHERPVSA-N -1 1 307.350 1.296 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CC[C@H]1CCCCO1 ZINC000867072684 706889560 /nfs/dbraw/zinc/88/95/60/706889560.db2.gz JUBGWLFTPUYOGU-LLVKDONJSA-N -1 1 310.441 1.042 20 0 DDADMM CCOCCOCCOC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821384069 704400949 /nfs/dbraw/zinc/40/09/49/704400949.db2.gz OLJFTIMCSFJDMI-UHFFFAOYSA-N -1 1 312.351 1.138 20 0 DDADMM CCOCCOCCOC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821384069 704400951 /nfs/dbraw/zinc/40/09/51/704400951.db2.gz OLJFTIMCSFJDMI-UHFFFAOYSA-N -1 1 312.351 1.138 20 0 DDADMM CON1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CC1 ZINC000854858217 704459185 /nfs/dbraw/zinc/45/91/85/704459185.db2.gz DJEGSWXPEJFHIO-UHFFFAOYSA-N -1 1 304.268 1.730 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@@H](C)C1O ZINC000855693727 704497832 /nfs/dbraw/zinc/49/78/32/704497832.db2.gz ZQQUMVTYYQKUJN-RKDXNWHRSA-N -1 1 306.391 1.107 20 0 DDADMM COC(=O)N(C)CCN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000855889831 704504881 /nfs/dbraw/zinc/50/48/81/704504881.db2.gz TVWOFGDGBULCQA-UHFFFAOYSA-N -1 1 324.381 1.384 20 0 DDADMM O=C(NC[C@@H](CO)CC1CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000855913793 704506376 /nfs/dbraw/zinc/50/63/76/704506376.db2.gz MFPJQKDRTWYWMX-JTQLQIEISA-N -1 1 306.391 1.156 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1CCS(=O)(=O)C1CCCCC1 ZINC000857084085 704550076 /nfs/dbraw/zinc/55/00/76/704550076.db2.gz NVHNOTYQSVBPSM-OLZOCXBDSA-N -1 1 317.451 1.919 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CCS(=O)(=O)C2CCCCC2)C1 ZINC000857084739 704550101 /nfs/dbraw/zinc/55/01/01/704550101.db2.gz ZBDIBPWZXKYHBI-ZDUSSCGKSA-N -1 1 317.451 1.921 20 0 DDADMM CC(C)[C@@H](O)C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866856217 706827092 /nfs/dbraw/zinc/82/70/92/706827092.db2.gz WLCSAQKEKACTSO-QMMMGPOBSA-N -1 1 313.206 1.684 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H](F)CC3CCCCC3)nc2n1 ZINC000857622487 704608411 /nfs/dbraw/zinc/60/84/11/704608411.db2.gz VAHWDXNKEBQSIT-LLVKDONJSA-N -1 1 321.356 1.973 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3(C(F)F)CC3)nc2n1 ZINC000857684137 704613321 /nfs/dbraw/zinc/61/33/21/704613321.db2.gz HYJLFXBJTLELCR-UHFFFAOYSA-N -1 1 311.292 1.354 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC1(SC)CC1 ZINC000867204623 706924200 /nfs/dbraw/zinc/92/42/00/706924200.db2.gz HXPBROSQCUOOLV-UHFFFAOYSA-N -1 1 300.471 1.224 20 0 DDADMM C[C@@H]1CCC[C@H]1C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867224078 706929994 /nfs/dbraw/zinc/92/99/94/706929994.db2.gz FICOSVHGBIVFNJ-SFYZADRCSA-N -1 1 322.228 1.887 20 0 DDADMM CCOC(=O)C[C@@H]1C[C@H](OC)CN1c1cc(Cl)[n-]c(=O)n1 ZINC000858488027 704717352 /nfs/dbraw/zinc/71/73/52/704717352.db2.gz AOYPKPQLPRBLAE-IUCAKERBSA-N -1 1 315.757 1.383 20 0 DDADMM O=c1nc(N2CCC[C@@H]([C@H](O)C(F)(F)F)C2)cc(Cl)[n-]1 ZINC000858517831 704721387 /nfs/dbraw/zinc/72/13/87/704721387.db2.gz WVFMTRAXSRRREV-MUWHJKNJSA-N -1 1 311.691 1.975 20 0 DDADMM C/C=C\CNC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000858884926 704768195 /nfs/dbraw/zinc/76/81/95/704768195.db2.gz GRFUBPHHZXISOU-HWKXXFMVSA-N -1 1 307.316 1.804 20 0 DDADMM COC(COCCNC(=O)c1ncc2ccccc2c1[O-])OC ZINC000832682537 706962485 /nfs/dbraw/zinc/96/24/85/706962485.db2.gz JRCLHFUCQMPIOK-UHFFFAOYSA-N -1 1 320.345 1.306 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1csc(C2CC2)n1)c1nn[n-]n1 ZINC000821895910 704837747 /nfs/dbraw/zinc/83/77/47/704837747.db2.gz YOZKVCXZRNQHDZ-MRVPVSSYSA-N -1 1 306.395 1.338 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1C[C@H](C)n2ccnc21 ZINC000867357545 706973633 /nfs/dbraw/zinc/97/36/33/706973633.db2.gz MTLDIJVABBTACT-UWVGGRQHSA-N -1 1 320.440 1.231 20 0 DDADMM CCCCn1nc(C)c(CNCCOCC(=O)[O-])c1Cl ZINC000874043165 704924964 /nfs/dbraw/zinc/92/49/64/704924964.db2.gz OTLBHFDFSMDMJB-UHFFFAOYSA-N -1 1 303.790 1.836 20 0 DDADMM Cc1ncc(Cl)c(C(=O)Nc2ncc(-c3nnn[n-]3)s2)n1 ZINC000822471752 704955683 /nfs/dbraw/zinc/95/56/83/704955683.db2.gz JBQMOGFNNSDVMQ-UHFFFAOYSA-N -1 1 322.741 1.327 20 0 DDADMM Cc1ncc(Cl)c(C(=O)Nc2ncc(-c3nn[n-]n3)s2)n1 ZINC000822471752 704955686 /nfs/dbraw/zinc/95/56/86/704955686.db2.gz JBQMOGFNNSDVMQ-UHFFFAOYSA-N -1 1 322.741 1.327 20 0 DDADMM CC(C)OC1(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCC1 ZINC000822701167 705012448 /nfs/dbraw/zinc/01/24/48/705012448.db2.gz YFNNJZYTZVZJHQ-UHFFFAOYSA-N -1 1 309.366 1.829 20 0 DDADMM O=S(=O)([N-][C@@H]1COC2(CCC2)C1)c1ccc(F)nc1F ZINC000867513089 707024017 /nfs/dbraw/zinc/02/40/17/707024017.db2.gz WJCYGCADQUTNEY-QMMMGPOBSA-N -1 1 304.318 1.350 20 0 DDADMM Cc1csc([C@@H]2CCN(C(=O)CCCc3nn[n-]n3)C2)n1 ZINC000874503162 705088310 /nfs/dbraw/zinc/08/83/10/705088310.db2.gz VMPFSECBWAQPOP-SNVBAGLBSA-N -1 1 306.395 1.303 20 0 DDADMM CCCC1(CCC)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000874626375 705121461 /nfs/dbraw/zinc/12/14/61/705121461.db2.gz RQKDDHSRWPYLNE-UHFFFAOYSA-N -1 1 321.421 1.888 20 0 DDADMM O=C([N-]Oc1ccccc1F)C1CCN(c2cnccn2)CC1 ZINC000874912974 705225670 /nfs/dbraw/zinc/22/56/70/705225670.db2.gz YNEHJODORLULER-UHFFFAOYSA-N -1 1 316.336 1.942 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCNC(=O)CC12CCC2 ZINC000874918287 705227732 /nfs/dbraw/zinc/22/77/32/705227732.db2.gz MUTSNJCDUIMAMA-UHFFFAOYSA-N -1 1 308.765 1.930 20 0 DDADMM Cc1nc2sccn2c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000860785905 705282403 /nfs/dbraw/zinc/28/24/03/705282403.db2.gz NBFMHDCGSLJWQG-SSDOTTSWSA-N -1 1 305.367 1.093 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCC2(C3CC3)CC2)C1)C(F)(F)F ZINC000875418230 705380606 /nfs/dbraw/zinc/38/06/06/705380606.db2.gz WYZWIXJBMPNSAP-UHFFFAOYSA-N -1 1 319.327 1.497 20 0 DDADMM CC1(C)CC[C@@H](NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000875444321 705389067 /nfs/dbraw/zinc/38/90/67/705389067.db2.gz UBDLVZXANNHUMX-SNVBAGLBSA-N -1 1 321.343 1.885 20 0 DDADMM CC[C@H]1CCC[C@@H]1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825194397 705621732 /nfs/dbraw/zinc/62/17/32/705621732.db2.gz QMYFTVAFURYZJZ-UWVGGRQHSA-N -1 1 304.354 1.401 20 0 DDADMM CC[C@H]1CCC[C@@H]1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825194397 705621735 /nfs/dbraw/zinc/62/17/35/705621735.db2.gz QMYFTVAFURYZJZ-UWVGGRQHSA-N -1 1 304.354 1.401 20 0 DDADMM O=C([O-])[C@@H](F)CNS(=O)(=O)c1cc(Cl)sc1Cl ZINC000903035011 711009666 /nfs/dbraw/zinc/00/96/66/711009666.db2.gz HJOYMBBHEIRWRP-VKHMYHEASA-N -1 1 322.166 1.756 20 0 DDADMM O=C(NC[C@H]1CC2(CO1)CCOCC2)C(=O)c1ccc([O-])cc1 ZINC000825419305 705667901 /nfs/dbraw/zinc/66/79/01/705667901.db2.gz AYMSPLVVNXOLAW-CQSZACIVSA-N -1 1 319.357 1.277 20 0 DDADMM CCN(CC(C)(C)C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876300877 705683315 /nfs/dbraw/zinc/68/33/15/705683315.db2.gz KCAONZMZYADHGV-UHFFFAOYSA-N -1 1 322.409 1.833 20 0 DDADMM C[C@@H]1OCC[C@]1(O)CNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876396642 705708520 /nfs/dbraw/zinc/70/85/20/705708520.db2.gz LGNNMKXNIAIOEB-XPTSAGLGSA-N -1 1 314.769 1.385 20 0 DDADMM CN(C[C@@H]1COCCO1)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876400262 705708544 /nfs/dbraw/zinc/70/85/44/705708544.db2.gz XOHOLXGZUMEDKY-LLVKDONJSA-N -1 1 314.769 1.602 20 0 DDADMM COCCOCCN(C)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876397269 705708576 /nfs/dbraw/zinc/70/85/76/705708576.db2.gz ZUDAWMATZYZKPV-UHFFFAOYSA-N -1 1 316.785 1.850 20 0 DDADMM Cc1cccc([C@@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)c1 ZINC000826297879 705787478 /nfs/dbraw/zinc/78/74/78/705787478.db2.gz VWWYBRBKUQRVRM-CYBMUJFWSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1cccc([C@@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)c1 ZINC000826297879 705787479 /nfs/dbraw/zinc/78/74/79/705787479.db2.gz VWWYBRBKUQRVRM-CYBMUJFWSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H]3CCC[C@@H]32)c1-c1nnn[n-]1 ZINC000826344973 705793447 /nfs/dbraw/zinc/79/34/47/705793447.db2.gz YJWJPUPIIYLLOO-PWSUYJOCSA-N -1 1 316.365 1.497 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H]3CCC[C@@H]32)c1-c1nn[n-]n1 ZINC000826344973 705793450 /nfs/dbraw/zinc/79/34/50/705793450.db2.gz YJWJPUPIIYLLOO-PWSUYJOCSA-N -1 1 316.365 1.497 20 0 DDADMM Cc1onc(CC(=O)N2CCCCCCC2)c1-c1nnn[n-]1 ZINC000826344738 705793670 /nfs/dbraw/zinc/79/36/70/705793670.db2.gz QVTVOKGVKZCYQL-UHFFFAOYSA-N -1 1 304.354 1.498 20 0 DDADMM Cc1onc(CC(=O)N2CCCCCCC2)c1-c1nn[n-]n1 ZINC000826344738 705793673 /nfs/dbraw/zinc/79/36/73/705793673.db2.gz QVTVOKGVKZCYQL-UHFFFAOYSA-N -1 1 304.354 1.498 20 0 DDADMM Cc1onc(CC(=O)N2Cc3ccccc3C2)c1-c1nnn[n-]1 ZINC000826345285 705793891 /nfs/dbraw/zinc/79/38/91/705793891.db2.gz IBKUWDQIUDOCSR-UHFFFAOYSA-N -1 1 310.317 1.248 20 0 DDADMM Cc1onc(CC(=O)N2Cc3ccccc3C2)c1-c1nn[n-]n1 ZINC000826345285 705793894 /nfs/dbraw/zinc/79/38/94/705793894.db2.gz IBKUWDQIUDOCSR-UHFFFAOYSA-N -1 1 310.317 1.248 20 0 DDADMM c1cc(NC[C@@H]2COC3(CCCCC3)O2)nc(-c2nnn[n-]2)c1 ZINC000826517104 705806969 /nfs/dbraw/zinc/80/69/69/705806969.db2.gz QKNGUNWFXUTKNM-LLVKDONJSA-N -1 1 316.365 1.749 20 0 DDADMM c1cc(NC[C@@H]2COC3(CCCCC3)O2)nc(-c2nn[n-]n2)c1 ZINC000826517104 705806972 /nfs/dbraw/zinc/80/69/72/705806972.db2.gz QKNGUNWFXUTKNM-LLVKDONJSA-N -1 1 316.365 1.749 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@H]1CCCCC12OCCO2)C1CC1 ZINC000827370064 705987483 /nfs/dbraw/zinc/98/74/83/705987483.db2.gz ZFVMANGYKICPAV-OLZOCXBDSA-N -1 1 319.423 1.017 20 0 DDADMM Cn1cc(-c2nc(CC[N-]C(=O)C(F)(F)F)cs2)cn1 ZINC000864367112 706148158 /nfs/dbraw/zinc/14/81/58/706148158.db2.gz NAUAOANHNADCOR-UHFFFAOYSA-N -1 1 304.297 1.765 20 0 DDADMM Cn1nc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)cc1Cl ZINC000864436987 706169034 /nfs/dbraw/zinc/16/90/34/706169034.db2.gz SBYIDQAQVFDSBN-UHFFFAOYSA-N -1 1 317.802 1.463 20 0 DDADMM Cn1nc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)cc1Cl ZINC000864436987 706169039 /nfs/dbraw/zinc/16/90/39/706169039.db2.gz SBYIDQAQVFDSBN-UHFFFAOYSA-N -1 1 317.802 1.463 20 0 DDADMM O=C([N-]CCn1cc(C2CCC(=O)CC2)nn1)C(F)(F)F ZINC000864625513 706217055 /nfs/dbraw/zinc/21/70/55/706217055.db2.gz SRLPJQPXPRKQMK-UHFFFAOYSA-N -1 1 304.272 1.183 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC([C@@H](O)c2ccccc2)CC1 ZINC000864817809 706274839 /nfs/dbraw/zinc/27/48/39/706274839.db2.gz DURJNLHWBXUWLR-INIZCTEOSA-N -1 1 315.377 1.105 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC([C@H]2CCC[C@@H](C)C2)C1 ZINC000829121414 706290887 /nfs/dbraw/zinc/29/08/87/706290887.db2.gz YRGLYFUGTGOBLU-ZJUUUORDSA-N -1 1 321.377 1.795 20 0 DDADMM CC(C)[C@@](C)(Cc1ccccc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000864896853 706295903 /nfs/dbraw/zinc/29/59/03/706295903.db2.gz KTAMCLRBQVRTNX-MRXNPFEDSA-N -1 1 303.362 1.474 20 0 DDADMM C[C@H](N[C@@H]1C[C@@H](NC(=O)[O-])C12CCC2)c1nnc2n1CCC2 ZINC000904230111 711414188 /nfs/dbraw/zinc/41/41/88/711414188.db2.gz YMKDCVZSTVSZJI-HBNTYKKESA-N -1 1 305.382 1.454 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000865768188 706520339 /nfs/dbraw/zinc/52/03/39/706520339.db2.gz DQGOXEUMVQUWNJ-LBPRGKRZSA-N -1 1 307.304 1.774 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@]2(C)CCCOC2)C1 ZINC000830807232 706583380 /nfs/dbraw/zinc/58/33/80/706583380.db2.gz FQCWJQCPLOUYJE-STQMWFEESA-N -1 1 322.327 1.473 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2=COCCC2)C1 ZINC000830827136 706587899 /nfs/dbraw/zinc/58/78/99/706587899.db2.gz JMRMTMJPOAIRJO-GFCCVEGCSA-N -1 1 306.284 1.350 20 0 DDADMM C[C@@H]1C[C@@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867046822 706881667 /nfs/dbraw/zinc/88/16/67/706881667.db2.gz DBPBVVJOFLPJFX-BDAKNGLRSA-N -1 1 306.334 1.453 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H](C)c1cnccc1C ZINC000867049839 706882418 /nfs/dbraw/zinc/88/24/18/706882418.db2.gz ODQSYEWQYCHWGO-NSHDSACASA-N -1 1 319.452 1.793 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCc1sccc1C ZINC000867053437 706883037 /nfs/dbraw/zinc/88/30/37/706883037.db2.gz FVYNUNYXKNLODP-UHFFFAOYSA-N -1 1 324.493 1.941 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](SC)C(C)(C)C ZINC000867091381 706893349 /nfs/dbraw/zinc/89/33/49/706893349.db2.gz JVFYRYWQMKFYJD-XLFHBGCDSA-N -1 1 316.514 1.716 20 0 DDADMM CC1(C)OCC([N-]S(=O)(=O)c2ccc(C(F)F)o2)CO1 ZINC000867100847 706896072 /nfs/dbraw/zinc/89/60/72/706896072.db2.gz NUEVTJVURRCKTC-UHFFFAOYSA-N -1 1 311.306 1.647 20 0 DDADMM CCc1ccc(CN2CCN(Cc3n[nH]c(=O)[n-]3)CC2)cc1 ZINC000880245948 706918331 /nfs/dbraw/zinc/91/83/31/706918331.db2.gz SEOKRAXIUDGSTF-UHFFFAOYSA-N -1 1 301.394 1.391 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2cc(F)ccc2F)co1 ZINC000832474026 706922290 /nfs/dbraw/zinc/92/22/90/706922290.db2.gz SMAOWLFKJFOFOT-UHFFFAOYSA-N -1 1 302.302 1.994 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)C[C@H]1CCC(F)(F)C1 ZINC000867380419 706981554 /nfs/dbraw/zinc/98/15/54/706981554.db2.gz HXMGHLNFXVFLCE-IUCAKERBSA-N -1 1 311.350 1.293 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)N=[S@](C)(=O)CC)c1ccccn1 ZINC000867418091 706995350 /nfs/dbraw/zinc/99/53/50/706995350.db2.gz QUYJNJCRSKITNR-MLCYQJTMSA-N -1 1 305.425 1.485 20 0 DDADMM C[C@H](Oc1ccc(CN2C[C@@H]3[C@H](C2)OCCN3C)cc1)C(=O)[O-] ZINC000833542773 707009110 /nfs/dbraw/zinc/00/91/10/707009110.db2.gz SOTCUJVRBKNDAC-MAZHCROVSA-N -1 1 320.389 1.053 20 0 DDADMM CC1([N-]S(=O)(=O)c2nc[nH]c2Br)CC=CC1 ZINC000867485581 707015620 /nfs/dbraw/zinc/01/56/20/707015620.db2.gz LCRGFDRMVGMIKT-UHFFFAOYSA-N -1 1 306.185 1.559 20 0 DDADMM C[C@H](NC(=O)c1ncc2ccccc2c1[O-])c1nnnn1C1CC1 ZINC000834368553 707039022 /nfs/dbraw/zinc/03/90/22/707039022.db2.gz JBCPLRUKBWLENZ-VIFPVBQESA-N -1 1 324.344 1.753 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)cc(=O)[n-]1 ZINC000880653458 707048598 /nfs/dbraw/zinc/04/85/98/707048598.db2.gz YOXYKVJGWDWGTC-PTOFAABTSA-N -1 1 309.391 1.335 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)Cn2cc(CSC)nn2)cc1 ZINC000881011857 707106822 /nfs/dbraw/zinc/10/68/22/707106822.db2.gz UVKMQWDUPYUNND-UHFFFAOYSA-N -1 1 320.374 1.106 20 0 DDADMM COCCn1cc(C(=O)NCc2ccc([O-])c(Cl)c2)cn1 ZINC000834819472 707128878 /nfs/dbraw/zinc/12/88/78/707128878.db2.gz WROYCIFCAUICEW-UHFFFAOYSA-N -1 1 309.753 1.819 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C(C)(C)C1CCC1 ZINC000835292141 707214984 /nfs/dbraw/zinc/21/49/84/707214984.db2.gz CWFAGFVWGNIXDE-UHFFFAOYSA-N -1 1 318.377 1.638 20 0 DDADMM CO[C@H]1CCN2C(=O)N(Cc3ccc([O-])c(Cl)c3)C(=O)[C@@H]2C1 ZINC000871912905 707242579 /nfs/dbraw/zinc/24/25/79/707242579.db2.gz YXVFEAPELLDVAK-JQWIXIFHSA-N -1 1 324.764 1.987 20 0 DDADMM Cc1[n-]n(-c2ccc(S(=O)(=O)NC3CC3)cc2)c(=O)c1F ZINC000871949490 707252321 /nfs/dbraw/zinc/25/23/21/707252321.db2.gz BZKPNEVMNABEIV-LBPRGKRZSA-N -1 1 311.338 1.188 20 0 DDADMM Cn1nnnc1C1CCN(Cc2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000872165982 707311529 /nfs/dbraw/zinc/31/15/29/707311529.db2.gz QBWWZPUDBYVNQK-UHFFFAOYSA-N -1 1 319.340 1.427 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1C[C@@H](O)C12CCC2 ZINC000872504413 707450844 /nfs/dbraw/zinc/45/08/44/707450844.db2.gz LOGFMCPUPWLBHC-DQPNGWRMSA-N -1 1 324.468 1.021 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-]Cc1ncc(CC)o1 ZINC000882077435 707488139 /nfs/dbraw/zinc/48/81/39/707488139.db2.gz AKKJWYPTFGRGIX-LLVKDONJSA-N -1 1 318.395 1.388 20 0 DDADMM CCc1nc(SCC(=O)N=S(C)(C)=O)[n-]c(=O)c1C ZINC000882177413 707527218 /nfs/dbraw/zinc/52/72/18/707527218.db2.gz OWMVSISAHYZDGU-UHFFFAOYSA-N -1 1 303.409 1.399 20 0 DDADMM CCOC1CC2(C[C@H]2[N-]S(=O)(=O)c2nc(C)c(C)s2)C1 ZINC000872656982 707537704 /nfs/dbraw/zinc/53/77/04/707537704.db2.gz YYOVRTCNBAMQEJ-QWKFWESOSA-N -1 1 316.448 1.996 20 0 DDADMM C[C@H]1CCN(C(=O)NCc2ccc3cncn3c2)[C@@H](C(=O)[O-])C1 ZINC000909171605 712953202 /nfs/dbraw/zinc/95/32/02/712953202.db2.gz NRKZUQNXRVUEKU-SMDDNHRTSA-N -1 1 316.361 1.729 20 0 DDADMM COc1cccc(Cl)c1CC(=O)[N-]O[C@H](CO)C(C)C ZINC000836890859 707539842 /nfs/dbraw/zinc/53/98/42/707539842.db2.gz LFEIOGBXYSRQMX-CYBMUJFWSA-N -1 1 301.770 1.956 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@H]1CCCN1Cc1ccc(F)cc1 ZINC000836892847 707540166 /nfs/dbraw/zinc/54/01/66/707540166.db2.gz SNHKBPNLZJMILM-CVEARBPZSA-N -1 1 324.396 1.855 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@]1(C)CC(C)(C)OC1=O)C(C)C ZINC000882223287 707545962 /nfs/dbraw/zinc/54/59/62/707545962.db2.gz IWULEFHEIMZTTQ-ZWNOBZJWSA-N -1 1 307.412 1.061 20 0 DDADMM COc1cccnc1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000837115453 707581096 /nfs/dbraw/zinc/58/10/96/707581096.db2.gz ZTKQBAPVYJWOSO-UHFFFAOYSA-N -1 1 316.361 1.948 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C(=O)c1cnc(C)[nH]1)CC2 ZINC000872758800 707587803 /nfs/dbraw/zinc/58/78/03/707587803.db2.gz FILOMVORNNHJPD-UHFFFAOYSA-N -1 1 315.329 1.623 20 0 DDADMM CC(C)c1cc(C(=O)N2C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C2)n[nH]1 ZINC000872762786 707588910 /nfs/dbraw/zinc/58/89/10/707588910.db2.gz ZMCOCDXXDJIBJI-YUMQZZPRSA-N -1 1 319.283 1.868 20 0 DDADMM CCCCOC(=O)C(C)(C)[N-]S(=O)(=O)c1cccnc1F ZINC000882337192 707590094 /nfs/dbraw/zinc/59/00/94/707590094.db2.gz WMRDFTGJACWNMK-UHFFFAOYSA-N -1 1 318.370 1.621 20 0 DDADMM C[C@@H](C[S@@](C)=O)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872967624 707675737 /nfs/dbraw/zinc/67/57/37/707675737.db2.gz JKKXDNABZYFUNG-WPCRTTGESA-N -1 1 304.799 1.612 20 0 DDADMM O=C(NC[C@@H](O)C(F)(F)C(F)(F)F)c1ccc([O-])cc1F ZINC000882558262 707695424 /nfs/dbraw/zinc/69/54/24/707695424.db2.gz AUSODTHDZFJXRM-MRVPVSSYSA-N -1 1 317.185 1.820 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@]12CCCO2)c1ccc(F)nc1F ZINC000882791537 707796847 /nfs/dbraw/zinc/79/68/47/707796847.db2.gz CPTHFZHWTMTKBO-MFKMUULPSA-N -1 1 318.345 1.740 20 0 DDADMM C[C@H]([N-]S(=O)(=O)CCC(=O)OCc1ccccc1)C(F)F ZINC000882871019 707830351 /nfs/dbraw/zinc/83/03/51/707830351.db2.gz XATRODAOJVAJSQ-JTQLQIEISA-N -1 1 321.345 1.693 20 0 DDADMM COC(=O)[C@@H](F)CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000838076210 707832089 /nfs/dbraw/zinc/83/20/89/707832089.db2.gz DFUPPBILKGUEOR-QMMMGPOBSA-N -1 1 309.215 1.652 20 0 DDADMM CO[C@]1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCSC1 ZINC000921402448 713757027 /nfs/dbraw/zinc/75/70/27/713757027.db2.gz YGKMDVRFIOUHDL-NSHDSACASA-N -1 1 324.374 1.160 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C12CCC2 ZINC000839075259 708022834 /nfs/dbraw/zinc/02/28/34/708022834.db2.gz RZEGTIGNAVOCNG-CHWSQXEVSA-N -1 1 315.377 1.421 20 0 DDADMM CC1(C)CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)CCS1 ZINC000909410488 713011109 /nfs/dbraw/zinc/01/11/09/713011109.db2.gz HUPYNKRNHIJIPA-NSHDSACASA-N -1 1 300.424 1.137 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CC3(CC3)c3ccccc32)C1 ZINC000909412186 713011474 /nfs/dbraw/zinc/01/14/74/713011474.db2.gz YSFQAPBUQCZEMP-ZDUSSCGKSA-N -1 1 314.385 1.861 20 0 DDADMM C[C@@H](CN(C(=O)c1cc(-c2ccn(C)c2)[nH]n1)C1CC1)C(=O)[O-] ZINC000909457557 713023314 /nfs/dbraw/zinc/02/33/14/713023314.db2.gz ODQLPGRZWLJHJC-JTQLQIEISA-N -1 1 316.361 1.741 20 0 DDADMM CC1(C)CO[C@H](CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)C1 ZINC000897640080 708403185 /nfs/dbraw/zinc/40/31/85/708403185.db2.gz IERNYXHUWTXQBC-WDEREUQCSA-N -1 1 309.366 1.686 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)C[C@H]2CCNC2=O)c1 ZINC000884922562 708421018 /nfs/dbraw/zinc/42/10/18/708421018.db2.gz YQJHXEUYPNKERH-CYBMUJFWSA-N -1 1 305.330 1.207 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@]2(c3ccccc3)C[C@H](F)C2)nc1=O ZINC000885065973 708460764 /nfs/dbraw/zinc/46/07/64/708460764.db2.gz UKXZFBHYDCYVDU-FUKZOUPISA-N -1 1 305.309 1.222 20 0 DDADMM C[C@](O)(CC[N-]S(=O)(=O)c1ccns1)c1ccccc1 ZINC000885121137 708476388 /nfs/dbraw/zinc/47/63/88/708476388.db2.gz LTVCXRRUAKPEHM-ZDUSSCGKSA-N -1 1 312.416 1.719 20 0 DDADMM Cc1cc(C)cc([C@@H](O)C[N-]S(=O)(=O)c2ccns2)c1 ZINC000885172078 708485403 /nfs/dbraw/zinc/48/54/03/708485403.db2.gz RJWSWGCNDKMMCC-LBPRGKRZSA-N -1 1 312.416 1.772 20 0 DDADMM CC1(C)O[C@@H]2C[C@@H](NC(=O)C(=O)c3ccc([O-])cc3)C[C@@H]2O1 ZINC000885195047 708490821 /nfs/dbraw/zinc/49/08/21/708490821.db2.gz CEUTYNWHBUZAEF-UOJUARBOSA-N -1 1 305.330 1.374 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2cc(C)cnc2Cl)n1 ZINC000885316204 708518464 /nfs/dbraw/zinc/51/84/64/708518464.db2.gz JQSAVVUESHONAD-UHFFFAOYSA-N -1 1 315.786 1.133 20 0 DDADMM C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000885620932 708585791 /nfs/dbraw/zinc/58/57/91/708585791.db2.gz QINSNBITIRSFPR-HXFLIBJXSA-N -1 1 323.340 1.999 20 0 DDADMM O=C(C[C@H]1CCS(=O)(=O)C1)Nc1cc(F)c([O-])cc1Cl ZINC000885674398 708594453 /nfs/dbraw/zinc/59/44/53/708594453.db2.gz NUHATSHFIRCGCJ-SSDOTTSWSA-N -1 1 321.757 1.948 20 0 DDADMM CN1CCC[C@@H](C(=O)Nc2cc(F)c([O-])cc2Cl)C1=O ZINC000885677791 708595689 /nfs/dbraw/zinc/59/56/89/708595689.db2.gz ACOBBEYKTZVPAL-ZETCQYMHSA-N -1 1 300.717 1.992 20 0 DDADMM O=S(=O)([N-][C@H](CCO)C(F)(F)F)c1ccccc1Cl ZINC000885828327 708634376 /nfs/dbraw/zinc/63/43/76/708634376.db2.gz GOVAUHNDHLGPNC-SECBINFHSA-N -1 1 317.716 1.932 20 0 DDADMM CCOC(=O)[C@@H]1C[C@H]1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886263317 708731653 /nfs/dbraw/zinc/73/16/53/708731653.db2.gz SAOPQKNEBPJDSO-GHMZBOCLSA-N -1 1 313.300 1.528 20 0 DDADMM COC(=O)NC(C)(C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264903 708731886 /nfs/dbraw/zinc/73/18/86/708731886.db2.gz PNPGEAXDPKCVDL-UHFFFAOYSA-N -1 1 316.304 1.464 20 0 DDADMM COc1nn(C)cc1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886271443 708733722 /nfs/dbraw/zinc/73/37/22/708733722.db2.gz JKEGLKBDKKQNPR-UHFFFAOYSA-N -1 1 311.288 1.385 20 0 DDADMM CC(C)[C@](C)(O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927780711 713053968 /nfs/dbraw/zinc/05/39/68/713053968.db2.gz CCYZNKWFPKTPOI-OAHLLOKOSA-N -1 1 316.348 1.919 20 0 DDADMM C[C@@H]1CC[C@H](O)CN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927786934 713056212 /nfs/dbraw/zinc/05/62/12/713056212.db2.gz JYASDKBLNPEZTM-ZJUUUORDSA-N -1 1 314.332 1.768 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H](CO)C1CCC1 ZINC000927787407 713056359 /nfs/dbraw/zinc/05/63/59/713056359.db2.gz SUDUYAVUWSVQBN-AWEZNQCLSA-N -1 1 314.332 1.673 20 0 DDADMM CO[C@@]1(C)C[C@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927789782 713056924 /nfs/dbraw/zinc/05/69/24/713056924.db2.gz ZJXRCUHPSUPTIF-OCCSQVGLSA-N -1 1 300.305 1.690 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C1CC(c2ccc(F)cc2)C1 ZINC000898755710 708855820 /nfs/dbraw/zinc/85/58/20/708855820.db2.gz WQVXKOBFXPZYIJ-UHFFFAOYSA-N -1 1 317.320 1.837 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CCc2cc(F)ccc21 ZINC000898755140 708856128 /nfs/dbraw/zinc/85/61/28/708856128.db2.gz MPAYJUZLRUBGTR-LLVKDONJSA-N -1 1 303.293 1.373 20 0 DDADMM O=C([N-]C1(c2ncc(Br)cn2)CCC1)C(F)F ZINC000886834267 708857510 /nfs/dbraw/zinc/85/75/10/708857510.db2.gz SSPHCHAITIWSJT-UHFFFAOYSA-N -1 1 306.110 2.000 20 0 DDADMM C[C@H](C(=O)[O-])N(C(=O)[C@@H](N)Cc1cc2ccccc2o1)C1CC1 ZINC000887398134 709036119 /nfs/dbraw/zinc/03/61/19/709036119.db2.gz PYZMVXCEJPPGKT-YGRLFVJLSA-N -1 1 316.357 1.767 20 0 DDADMM O=C(NCc1cc(C2CC2)no1)c1ccc2n[n-]c(=S)n2c1 ZINC000899367953 709068321 /nfs/dbraw/zinc/06/83/21/709068321.db2.gz FSJGNTOEBJWBDN-UHFFFAOYSA-N -1 1 315.358 1.813 20 0 DDADMM NC(=O)[C@]12CCC[C@H]1CN(C(=O)c1cc(Cl)ccc1[O-])C2 ZINC000887953875 709179699 /nfs/dbraw/zinc/17/96/99/709179699.db2.gz OVEOVBOFCBTKTB-VFZGTOFNSA-N -1 1 308.765 1.773 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC[C@@H]3CC(C)(C)CO3)ccnc1-2 ZINC000888056333 709202694 /nfs/dbraw/zinc/20/26/94/709202694.db2.gz MAJVLDVPDAGJGU-LLVKDONJSA-N -1 1 317.393 1.669 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])C[C@@H]1C(=O)NCc1cc(N(C)C)ccn1 ZINC000899836652 709211227 /nfs/dbraw/zinc/21/12/27/709211227.db2.gz XNHJVWKMYWJICR-CZUORRHYSA-N -1 1 305.378 1.511 20 0 DDADMM NC(=O)[C@@H]1CCCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900159542 709311019 /nfs/dbraw/zinc/31/10/19/709311019.db2.gz JSBKDLRNLAOHTJ-NSHDSACASA-N -1 1 317.320 1.560 20 0 DDADMM C[C@@]1([C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)COC(=O)N1 ZINC000928024429 713105754 /nfs/dbraw/zinc/10/57/54/713105754.db2.gz SJSGQUVWCVASLW-BMIGLBTASA-N -1 1 305.334 1.138 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)N3CC[C@@H]3CO)c2)o1 ZINC000889165235 709456625 /nfs/dbraw/zinc/45/66/25/709456625.db2.gz VLXRCEUZAKBXLH-LLVKDONJSA-N -1 1 301.298 1.672 20 0 DDADMM CO[C@H]1CC[C@@H]1N(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000889348524 709477396 /nfs/dbraw/zinc/47/73/96/709477396.db2.gz BGJTXUAIEJTQDA-STQMWFEESA-N -1 1 318.377 1.230 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(F)c(C)c1)c1nn[n-]n1 ZINC000912860377 713109947 /nfs/dbraw/zinc/10/99/47/713109947.db2.gz NODYEUGSKMUXBV-NSHDSACASA-N -1 1 309.370 1.871 20 0 DDADMM COc1csc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c1 ZINC000912861624 713110526 /nfs/dbraw/zinc/11/05/26/713110526.db2.gz RYYWIMHJPRMERC-QMMMGPOBSA-N -1 1 313.408 1.494 20 0 DDADMM C[C@@]1(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)CCCS1 ZINC000909595209 709533919 /nfs/dbraw/zinc/53/39/19/709533919.db2.gz UKXGGOLFWSXXMP-FZMZJTMJSA-N -1 1 300.424 1.185 20 0 DDADMM CSCC[C@H](NC(=O)C(C)(C)c1ccccn1)c1nn[n-]n1 ZINC000912862110 713110584 /nfs/dbraw/zinc/11/05/84/713110584.db2.gz ILDUWBVHMPCXHS-JTQLQIEISA-N -1 1 320.422 1.483 20 0 DDADMM O=C([O-])C[C@@H]1COCCN1C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000909617126 709542086 /nfs/dbraw/zinc/54/20/86/709542086.db2.gz PWSATFVXKSZJJE-GFCCVEGCSA-N -1 1 315.329 1.392 20 0 DDADMM CO[C@@H]1CCCC[C@H]1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912863426 713111436 /nfs/dbraw/zinc/11/14/36/713111436.db2.gz XONYWQQJKFEGBQ-OUAUKWLOSA-N -1 1 313.427 1.315 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C[C@@H]2CCC[C@@H]12 ZINC000889593121 709557136 /nfs/dbraw/zinc/55/71/36/709557136.db2.gz NPHCSLHJPISKSK-OUCADQQQSA-N -1 1 314.389 1.899 20 0 DDADMM Cc1nnc(CNC(=O)c2ccc(C(F)(F)F)c([O-])c2)[nH]1 ZINC000889700807 709587464 /nfs/dbraw/zinc/58/74/64/709587464.db2.gz GXYROKQPDBHWGD-UHFFFAOYSA-N -1 1 300.240 1.768 20 0 DDADMM C[C@H]1Cc2ccccc2CN1C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000909728225 709598238 /nfs/dbraw/zinc/59/82/38/709598238.db2.gz YIOBFJQPWJEGHS-XJKSGUPXSA-N -1 1 316.401 1.756 20 0 DDADMM O=C([O-])CN(Cc1ccc(F)cc1)C(=O)CCc1cnc[nH]1 ZINC000909734951 709600974 /nfs/dbraw/zinc/60/09/74/709600974.db2.gz OCSYFGBRESYLQK-UHFFFAOYSA-N -1 1 305.309 1.595 20 0 DDADMM CCCN(C)CC(=O)Nc1ccc(C(=O)N[C@H](C)C(=O)[O-])cc1 ZINC000909747509 709603878 /nfs/dbraw/zinc/60/38/78/709603878.db2.gz YZLCFBVFFMECOX-LLVKDONJSA-N -1 1 321.377 1.170 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc([C@H]3CCCN3C)n[nH]2)[C@@H](C(=O)[O-])C1 ZINC000909783552 709618770 /nfs/dbraw/zinc/61/87/70/709618770.db2.gz UHCJAZQLYXVBCE-LERXQTSPSA-N -1 1 320.393 1.502 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC000909790267 709621285 /nfs/dbraw/zinc/62/12/85/709621285.db2.gz BBQTYQHYPZEHHO-ZFWWWQNUSA-N -1 1 318.373 1.348 20 0 DDADMM COc1ccc([C@@H](NC(=O)CN(C)C)C(=O)[O-])cc1Cl ZINC000909793405 709623868 /nfs/dbraw/zinc/62/38/68/709623868.db2.gz BWMPFXGXRJQNPP-GFCCVEGCSA-N -1 1 300.742 1.152 20 0 DDADMM CCC(F)(F)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889784972 709634684 /nfs/dbraw/zinc/63/46/84/709634684.db2.gz BBFLKDRRQOCVQZ-QMMMGPOBSA-N -1 1 301.293 1.900 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H](C)[C@H]2CCCO2)[n-]c1=O ZINC000889786320 709635571 /nfs/dbraw/zinc/63/55/71/709635571.db2.gz LVOSRHZGQXSHST-SDDRHHMPSA-N -1 1 321.377 1.669 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1C[C@H](C(=O)[O-])[C@H](c2ccccc2)C1 ZINC000909828686 709643052 /nfs/dbraw/zinc/64/30/52/709643052.db2.gz GJEVAVVZFHUFKR-JYJNAYRXSA-N -1 1 316.401 1.798 20 0 DDADMM O=C(Cc1n[nH]c2c1CCCC2)NC[C@H](CC1CC1)C(=O)[O-] ZINC000909881652 709667444 /nfs/dbraw/zinc/66/74/44/709667444.db2.gz OVHYPBLQGLHXSR-NSHDSACASA-N -1 1 305.378 1.448 20 0 DDADMM CCN(C)[C@@H](C(=O)N1C[C@@H](C)O[C@@H](C(=O)[O-])C1)c1ccccc1 ZINC000909897577 709676934 /nfs/dbraw/zinc/67/69/34/709676934.db2.gz LGWAPCRAYPLXMZ-BPLDGKMQSA-N -1 1 320.389 1.380 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)c1ccc(O)c(Cl)c1 ZINC000909938579 709696547 /nfs/dbraw/zinc/69/65/47/709696547.db2.gz RLYLGWJQIQYXIY-AWEZNQCLSA-N -1 1 313.737 1.751 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C(=O)N(C)C ZINC000900688957 709712710 /nfs/dbraw/zinc/71/27/10/709712710.db2.gz FCAOWXMTNOEFBC-QMMMGPOBSA-N -1 1 305.309 1.286 20 0 DDADMM C[C@@H]1CN(C(=O)c2cn[nH]c2-c2ccccn2)CC[C@@H]1C(=O)[O-] ZINC000909988597 709722877 /nfs/dbraw/zinc/72/28/77/709722877.db2.gz RMVKGETXRZLQLC-MNOVXSKESA-N -1 1 314.345 1.655 20 0 DDADMM CCc1ocnc1C(=O)[N-]c1nc(-c2cnn(C)c2)ns1 ZINC000900797246 709763187 /nfs/dbraw/zinc/76/31/87/709763187.db2.gz GRTCEXKPXIAJQF-UHFFFAOYSA-N -1 1 304.335 1.741 20 0 DDADMM CN1CCC[C@H]1C(=O)N1C[C@H](C2CCCCC2)C[C@H]1C(=O)[O-] ZINC000910091575 709768426 /nfs/dbraw/zinc/76/84/26/709768426.db2.gz XVRVBLNDZMXSNR-ILXRZTDVSA-N -1 1 308.422 1.963 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C2(c3cccnc3)CC2)CC1 ZINC000910176799 709798600 /nfs/dbraw/zinc/79/86/00/709798600.db2.gz DBTGZRQFDUBOAY-UHFFFAOYSA-N -1 1 317.389 1.121 20 0 DDADMM O=C(/C=C/c1cccnc1)OCCC[N-]C(=O)C(F)(F)F ZINC000910187553 709802889 /nfs/dbraw/zinc/80/28/89/709802889.db2.gz RBYXOUWQQJLWEX-SNAWJCMRSA-N -1 1 302.252 1.707 20 0 DDADMM Cc1ccc(CC[C@H](C)NC(=O)CN2CCC[C@H](C(=O)[O-])C2)o1 ZINC000910250941 709840820 /nfs/dbraw/zinc/84/08/20/709840820.db2.gz PGTNRCLWMONJSW-JSGCOSHPSA-N -1 1 322.405 1.822 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@@H]2COCC[C@H]21 ZINC000901019146 709884091 /nfs/dbraw/zinc/88/40/91/709884091.db2.gz OZKPVMGMYNUKRA-DGCLKSJQSA-N -1 1 303.362 1.701 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2CCO[C@@](C)(C(=O)[O-])C2)[nH]1 ZINC000910322760 709890646 /nfs/dbraw/zinc/89/06/46/709890646.db2.gz LCPZRZIRQVDTHE-OAHLLOKOSA-N -1 1 303.318 1.187 20 0 DDADMM CCC(CC)[C@H](CNc1ncncc1C(=O)[O-])N1CCOCC1 ZINC000910339499 709901618 /nfs/dbraw/zinc/90/16/18/709901618.db2.gz GCHNGUCKQCPDLO-AWEZNQCLSA-N -1 1 322.409 1.724 20 0 DDADMM Cc1cccc([C@H](CC(=O)[O-])NC(=O)CCc2cnc[nH]2)c1 ZINC000910368716 709911621 /nfs/dbraw/zinc/91/16/21/709911621.db2.gz YOIFTKSKIDNQNT-AWEZNQCLSA-N -1 1 301.346 1.983 20 0 DDADMM O=C(N[C@H]1CCCCC12OCCO2)c1cnc(C2CC2)[n-]c1=O ZINC000901151074 709948022 /nfs/dbraw/zinc/94/80/22/709948022.db2.gz DJSOXFIOUNICFG-LBPRGKRZSA-N -1 1 319.361 1.475 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3C[C@H]4CC[C@@H](C3)S4)nc2n1 ZINC000890963867 710045097 /nfs/dbraw/zinc/04/50/97/710045097.db2.gz NGXQBHPNZWYEEA-PBINXNQUSA-N -1 1 319.390 1.339 20 0 DDADMM COc1cc([N-]S(=O)(=O)N=S(C)(C)=O)ccc1Cl ZINC000901661277 710112595 /nfs/dbraw/zinc/11/25/95/710112595.db2.gz GWISCRSSLYWBRA-UHFFFAOYSA-N -1 1 312.800 1.733 20 0 DDADMM O=C(NCC(F)(F)C1(O)CCCCC1)c1cncc([O-])c1 ZINC000891354315 710170101 /nfs/dbraw/zinc/17/01/01/710170101.db2.gz RFRKKXHXMBBZMR-UHFFFAOYSA-N -1 1 300.305 1.848 20 0 DDADMM COCc1nc(N2CCN(c3ccc(F)cc3)CC2)cc(=O)[n-]1 ZINC000891415338 710193244 /nfs/dbraw/zinc/19/32/44/710193244.db2.gz ORNDSNJESOXLMG-UHFFFAOYSA-N -1 1 318.352 1.794 20 0 DDADMM COc1cccc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)n1 ZINC000891623701 710251419 /nfs/dbraw/zinc/25/14/19/710251419.db2.gz YMQZSPINXSNUSN-UHFFFAOYSA-N -1 1 300.318 1.717 20 0 DDADMM COCc1nc(N2CCO[C@H](c3ccccc3)C2)cc(=O)[n-]1 ZINC000891683721 710266059 /nfs/dbraw/zinc/26/60/59/710266059.db2.gz HEUBTVJAOGQLBX-ZDUSSCGKSA-N -1 1 301.346 1.907 20 0 DDADMM COCc1nc(N2CC[C@H](NC(=O)OC(C)(C)C)C2)cc(=O)[n-]1 ZINC000892403105 710430755 /nfs/dbraw/zinc/43/07/55/710430755.db2.gz VBAYOWOIOLVSTG-JTQLQIEISA-N -1 1 324.381 1.432 20 0 DDADMM COCc1nc(N[C@@H]2CCC[C@H](C(=O)N(C)C)C2)cc(=O)[n-]1 ZINC000892542663 710454914 /nfs/dbraw/zinc/45/49/14/710454914.db2.gz RXYOBBGFSVZLIJ-WDEREUQCSA-N -1 1 308.382 1.388 20 0 DDADMM COCc1nc(N2CCC(C)(c3nc(C)no3)CC2)cc(=O)[n-]1 ZINC000893119011 710557870 /nfs/dbraw/zinc/55/78/70/710557870.db2.gz MGOQLLXCCLQFLT-UHFFFAOYSA-N -1 1 319.365 1.578 20 0 DDADMM C[C@@H](NC(=O)[C@@H](C)Cc1cnc[nH]1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000902030655 710588307 /nfs/dbraw/zinc/58/83/07/710588307.db2.gz SYMRJWFFWKVOIF-JULQROHOSA-N -1 1 307.394 1.840 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2cncc(C(=O)[O-])c2)C[C@@H](C)O1 ZINC000911150345 710655703 /nfs/dbraw/zinc/65/57/03/710655703.db2.gz IHXBGOIMALTJEZ-VXGBXAGGSA-N -1 1 321.377 1.009 20 0 DDADMM C[C@@H]1C[N@H+](CCCNC(=O)C(C)(C)CCC(=O)[O-])C[C@H](C)O1 ZINC000911151317 710656385 /nfs/dbraw/zinc/65/63/85/710656385.db2.gz WLBWMZBAOLVLHK-BETUJISGSA-N -1 1 314.426 1.493 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)C(C)(C)CCC(=O)[O-])C[C@H](C)O1 ZINC000911151317 710656387 /nfs/dbraw/zinc/65/63/87/710656387.db2.gz WLBWMZBAOLVLHK-BETUJISGSA-N -1 1 314.426 1.493 20 0 DDADMM Cc1cc(N(C)C)ccc1NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911227295 710697877 /nfs/dbraw/zinc/69/78/77/710697877.db2.gz BOTHZGJVGVYRKV-CYBMUJFWSA-N -1 1 319.405 1.796 20 0 DDADMM CC(=O)NC[C@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000913351184 713203592 /nfs/dbraw/zinc/20/35/92/713203592.db2.gz ZJYIXYZZSBMIMG-GFCCVEGCSA-N -1 1 318.377 1.190 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2cc(C(=O)[O-])oc2C)C1 ZINC000911351787 710756516 /nfs/dbraw/zinc/75/65/16/710756516.db2.gz FVOXTADZRRMVGB-NSHDSACASA-N -1 1 310.350 1.127 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2ccc(C(=O)[O-])cc2F)C1 ZINC000911354248 710759694 /nfs/dbraw/zinc/75/96/94/710759694.db2.gz WALMNELGLFTQGY-GFCCVEGCSA-N -1 1 324.352 1.365 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2ccc(C(=O)[O-])cc2F)n[nH]1 ZINC000911390390 710775151 /nfs/dbraw/zinc/77/51/51/710775151.db2.gz QEPFYRNONSZNHZ-QMMMGPOBSA-N -1 1 305.309 1.916 20 0 DDADMM CC(C)(O)c1cccc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000911471518 710811936 /nfs/dbraw/zinc/81/19/36/710811936.db2.gz OJZBMXVHALFFDI-LBPRGKRZSA-N -1 1 320.389 1.649 20 0 DDADMM CN(CCNC(=O)c1cc(C(=O)[O-])co1)Cc1ccc(F)cc1 ZINC000911494211 710822273 /nfs/dbraw/zinc/82/22/73/710822273.db2.gz TXOCBLGIKYNVPL-UHFFFAOYSA-N -1 1 320.320 1.979 20 0 DDADMM C[C@H]1CN(C(=O)CC(C)(C)CC(=O)[O-])CCN1CC(C)(C)O ZINC000911501054 710825309 /nfs/dbraw/zinc/82/53/09/710825309.db2.gz RLJXICMAMHBNOQ-LBPRGKRZSA-N -1 1 314.426 1.181 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CCN1Cc1ccccc1 ZINC000911531058 710839379 /nfs/dbraw/zinc/83/93/79/710839379.db2.gz GZDWLKXLWUQEOH-OAGGEKHMSA-N -1 1 316.401 1.830 20 0 DDADMM COCc1nc(N2CCC[C@@H](CCNC(C)=O)C2)cc(=O)[n-]1 ZINC000893865557 710862948 /nfs/dbraw/zinc/86/29/48/710862948.db2.gz CGZKSNUOZGMUIM-LBPRGKRZSA-N -1 1 308.382 1.071 20 0 DDADMM O=C([O-])c1ccc(C(=O)N[C@H]2CCCN3CCSC[C@H]23)cc1 ZINC000902818424 710915396 /nfs/dbraw/zinc/91/53/96/710915396.db2.gz NNIUJHZYBFCJCC-UONOGXRCSA-N -1 1 320.414 1.694 20 0 DDADMM COCc1nc(N2CCN(c3cccc(F)n3)CC2)cc(=O)[n-]1 ZINC000894061682 710948059 /nfs/dbraw/zinc/94/80/59/710948059.db2.gz PGDDWHZVIQJIKS-UHFFFAOYSA-N -1 1 319.340 1.189 20 0 DDADMM COCc1nc(N(C)Cc2csc(C(C)(C)O)n2)cc(=O)[n-]1 ZINC000894113223 710972775 /nfs/dbraw/zinc/97/27/75/710972775.db2.gz ULMUWDRGMFTYGI-UHFFFAOYSA-N -1 1 324.406 1.649 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)[N-]OCc2ccc(F)cc2)C1 ZINC000911807009 711004355 /nfs/dbraw/zinc/00/43/55/711004355.db2.gz SQXPTZMKIQTOPK-GFCCVEGCSA-N -1 1 310.325 1.170 20 0 DDADMM CC[C@@H](O)[C@@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000912107717 711176825 /nfs/dbraw/zinc/17/68/25/711176825.db2.gz WDEQEVIKFDMATE-QWHCGFSZSA-N -1 1 305.378 1.825 20 0 DDADMM CC(C)n1nnc([N-]C(=O)c2ncsc2C(F)(F)F)n1 ZINC000913486241 713235341 /nfs/dbraw/zinc/23/53/41/713235341.db2.gz VAPFIIIIVMQWTA-UHFFFAOYSA-N -1 1 306.273 1.982 20 0 DDADMM O=C([C@H]1[C@@H]2CCCCCC[C@@H]21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913500448 713240034 /nfs/dbraw/zinc/24/00/34/713240034.db2.gz XREXCPUKTVMQOZ-QNWHQSFQSA-N -1 1 305.382 1.316 20 0 DDADMM COc1cc(C)cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1C ZINC000913494163 713236924 /nfs/dbraw/zinc/23/69/24/713236924.db2.gz UMMROPKDCSMIHE-GFCCVEGCSA-N -1 1 317.349 1.039 20 0 DDADMM C[C@@H](Cc1ccc(F)cc1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494598 713237243 /nfs/dbraw/zinc/23/72/43/713237243.db2.gz GEFRWGHKASMNGD-GXFFZTMASA-N -1 1 319.340 1.118 20 0 DDADMM CCc1ccc([C@@H](C)C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000913495127 713238011 /nfs/dbraw/zinc/23/80/11/713238011.db2.gz SKDGWEDPMLKNBP-BXUZGUMPSA-N -1 1 315.377 1.466 20 0 DDADMM O=S(=O)(CC1(F)CC1)[N-]C1(c2ccccc2F)COC1 ZINC000903654199 711236505 /nfs/dbraw/zinc/23/65/05/711236505.db2.gz ZQLKMEVODUHYHR-UHFFFAOYSA-N -1 1 303.330 1.473 20 0 DDADMM O=C(c1c[nH]c2cccc(F)c21)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495801 713238614 /nfs/dbraw/zinc/23/86/14/713238614.db2.gz OYFYRDCITKTCAT-NSHDSACASA-N -1 1 316.296 1.034 20 0 DDADMM O=C([O-])c1ccc(CN2CC[C@@H](NC(=O)NC3CC3)C2)s1 ZINC000903683071 711243984 /nfs/dbraw/zinc/24/39/84/711243984.db2.gz GZIZXNDULOEXDT-SNVBAGLBSA-N -1 1 309.391 1.482 20 0 DDADMM CCOc1ccc(CCN(C)[C@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000903712763 711251828 /nfs/dbraw/zinc/25/18/28/711251828.db2.gz RXSUDRZLWFMUQN-HNNXBMFYSA-N -1 1 320.389 1.245 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=Cc2cccs2)n[n-]1 ZINC000912362434 711292250 /nfs/dbraw/zinc/29/22/50/711292250.db2.gz FXUCESUCLGVISQ-XCODYQFDSA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=Cc2cccs2)[n-]1 ZINC000912362434 711292253 /nfs/dbraw/zinc/29/22/53/711292253.db2.gz FXUCESUCLGVISQ-XCODYQFDSA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C=Cc2cccs2)n1 ZINC000912362434 711292256 /nfs/dbraw/zinc/29/22/56/711292256.db2.gz FXUCESUCLGVISQ-XCODYQFDSA-N -1 1 320.374 1.934 20 0 DDADMM CC(C)NC(=O)[C@@H](C)NCc1ncc(Br)cc1[O-] ZINC000894933684 711326603 /nfs/dbraw/zinc/32/66/03/711326603.db2.gz LACGNOCCVYRHKF-MRVPVSSYSA-N -1 1 316.199 1.552 20 0 DDADMM C[C@H](N[C@H]1CCCN([C@@H](C)c2ccc(F)cc2)C1=O)C(=O)[O-] ZINC000904242375 711416234 /nfs/dbraw/zinc/41/62/34/711416234.db2.gz LAPDLDXUQSHZNT-MJVIPROJSA-N -1 1 308.353 1.940 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[n-]1 ZINC000913559790 713260578 /nfs/dbraw/zinc/26/05/78/713260578.db2.gz PHBWTZNWUKXFAR-QWHCGFSZSA-N -1 1 315.377 1.005 20 0 DDADMM Cc1c(Cl)cncc1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000905104850 711937654 /nfs/dbraw/zinc/93/76/54/711937654.db2.gz WSRUKQFYCZDYLU-UHFFFAOYSA-N -1 1 323.827 1.962 20 0 DDADMM CC(C)C[C@H](C)OCCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743673 713292429 /nfs/dbraw/zinc/29/24/29/713292429.db2.gz GHZRMOACZSHHBT-LBPRGKRZSA-N -1 1 309.414 1.747 20 0 DDADMM CCn1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)c(C2CC2)n1 ZINC000913747463 713294625 /nfs/dbraw/zinc/29/46/25/713294625.db2.gz YUGRRXGRYJHCIW-UHFFFAOYSA-N -1 1 315.381 1.313 20 0 DDADMM COc1ccc(CN[C@H](C(=O)[O-])c2ccc3c(c2)CCO3)nn1 ZINC000905392198 712025702 /nfs/dbraw/zinc/02/57/02/712025702.db2.gz XBUJKXUTPMNTDN-HNNXBMFYSA-N -1 1 315.329 1.336 20 0 DDADMM Cn1ncc(CNCCc2cc3ccc(C(=O)[O-])cc3o2)n1 ZINC000905799619 712148577 /nfs/dbraw/zinc/14/85/77/712148577.db2.gz PFYWEIJHIIRTCG-UHFFFAOYSA-N -1 1 300.318 1.592 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CC=CCC3)nc2n1 ZINC000906056976 712232604 /nfs/dbraw/zinc/23/26/04/712232604.db2.gz IPSUZHAHQZYLND-SNVBAGLBSA-N -1 1 301.350 1.665 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3(C4CC4)CCC3)nc2n1 ZINC000906058080 712233011 /nfs/dbraw/zinc/23/30/11/712233011.db2.gz QZZPKDPSIUKRAZ-UHFFFAOYSA-N -1 1 301.350 1.499 20 0 DDADMM O=C([C@@H]1CCOC2(CCCC2)C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000907103466 712488003 /nfs/dbraw/zinc/48/80/03/712488003.db2.gz GCBCDYIMDXZNJV-OLZOCXBDSA-N -1 1 319.409 1.645 20 0 DDADMM C[C@H](C[S@](C)=O)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907336634 712547024 /nfs/dbraw/zinc/54/70/24/712547024.db2.gz RKPNCVPXIUXZLH-ZEDNOMKYSA-N -1 1 310.350 1.576 20 0 DDADMM CCn1nc(C2CC2)cc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000907348950 712549914 /nfs/dbraw/zinc/54/99/14/712549914.db2.gz CQINBTYLFIRAPQ-SECBINFHSA-N -1 1 303.370 1.169 20 0 DDADMM O=C([C@H]1CCOC2(CCC2)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907476391 712582153 /nfs/dbraw/zinc/58/21/53/712582153.db2.gz MVVBGUWUARLFTN-QWRGUYRKSA-N -1 1 323.422 1.166 20 0 DDADMM CC1(C)CO[C@@H](CC(=O)N2CCSC[C@H]2c2nn[n-]n2)C1 ZINC000907476482 712582560 /nfs/dbraw/zinc/58/25/60/712582560.db2.gz NPUBPIJDTRZBPA-UWVGGRQHSA-N -1 1 311.411 1.021 20 0 DDADMM Cc1csc(CCC(=O)N2CCSC[C@@H]2c2nn[n-]n2)n1 ZINC000907478169 712582976 /nfs/dbraw/zinc/58/29/76/712582976.db2.gz HAZCWJJXOCMQKA-SECBINFHSA-N -1 1 324.435 1.214 20 0 DDADMM CC(C)c1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)nc1 ZINC000907480979 712583740 /nfs/dbraw/zinc/58/37/40/712583740.db2.gz RKYZBYWULRACDC-GFCCVEGCSA-N -1 1 318.406 1.648 20 0 DDADMM CC(C)(C)C[C@H](O)CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481420 712584087 /nfs/dbraw/zinc/58/40/87/712584087.db2.gz WEVOTAANHMYQDH-NXEZZACHSA-N -1 1 313.427 1.003 20 0 DDADMM O=C(NC[C@@H]1CC[C@@H](O)C1)c1c([O-])cnc2c(F)cccc21 ZINC000907674742 712612612 /nfs/dbraw/zinc/61/26/12/712612612.db2.gz FSRNWARCJUEGJJ-NXEZZACHSA-N -1 1 304.321 1.970 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)CC=C(Cl)Cl)CC2)n1 ZINC000907859802 712637299 /nfs/dbraw/zinc/63/72/99/712637299.db2.gz KLLORCZJLGSXKD-UHFFFAOYSA-N -1 1 312.178 1.606 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)C[C@]3(C)CC3(F)F)CC2)n1 ZINC000907861595 712637440 /nfs/dbraw/zinc/63/74/40/712637440.db2.gz ZQXGWXJWDRFZLF-VIFPVBQESA-N -1 1 307.322 1.332 20 0 DDADMM Cn1nc2c(c1C(=O)N1CCC[C@@H](c3n[n-]c(=O)o3)C1)CCC2 ZINC000907958134 712655243 /nfs/dbraw/zinc/65/52/43/712655243.db2.gz RQPHTUPZWPNPDZ-SECBINFHSA-N -1 1 317.349 1.017 20 0 DDADMM CN(CCOCCO)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000908025466 712668354 /nfs/dbraw/zinc/66/83/54/712668354.db2.gz JWDUXZBZOALJEF-UHFFFAOYSA-N -1 1 308.309 1.160 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C2CC(CCO)C2)c(F)c1 ZINC000908056456 712672707 /nfs/dbraw/zinc/67/27/07/712672707.db2.gz VCKZMJUZLWJSBS-UHFFFAOYSA-N -1 1 321.345 1.413 20 0 DDADMM C[C@@]1(NC(=O)c2ccc3ccc(O)cc3c2[O-])CCOC1=O ZINC000908294074 712730879 /nfs/dbraw/zinc/73/08/79/712730879.db2.gz WUSNKILHNCXNPU-MRXNPFEDSA-N -1 1 301.298 1.686 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C)N1Cc2ccccc2C1=O ZINC000908370235 712754351 /nfs/dbraw/zinc/75/43/51/712754351.db2.gz IRMXOMGSVCDZAL-SECBINFHSA-N -1 1 300.318 1.407 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCC(CC(=O)[O-])CC2)C1 ZINC000908682280 712834494 /nfs/dbraw/zinc/83/44/94/712834494.db2.gz YSOKZISGWUEDTJ-GXFFZTMASA-N -1 1 305.378 1.789 20 0 DDADMM C[C@@H](C(=O)[O-])N(C)C(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000908745151 712846077 /nfs/dbraw/zinc/84/60/77/712846077.db2.gz ZKDXKJZWUAINEX-QWHCGFSZSA-N -1 1 323.393 1.921 20 0 DDADMM O=C([O-])[C@H](NC(=O)NC[C@@H](c1ccco1)N1CCCC1)C1CC1 ZINC000908746666 712846922 /nfs/dbraw/zinc/84/69/22/712846922.db2.gz CJAWTMUGYGPCAB-GXTWGEPZSA-N -1 1 321.377 1.579 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCOCc2ccccc2)C1 ZINC000908773664 712852050 /nfs/dbraw/zinc/85/20/50/712852050.db2.gz KVYQYHSFFGATPT-HNNXBMFYSA-N -1 1 320.389 1.116 20 0 DDADMM CC(=O)N1C[C@H](C)[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000966035108 717895231 /nfs/dbraw/zinc/89/52/31/717895231.db2.gz MYNYXUQDYLKIMW-JOYOIKCWSA-N -1 1 320.393 1.211 20 0 DDADMM Cc1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c(C)[nH]1 ZINC000914966102 713405301 /nfs/dbraw/zinc/40/53/01/713405301.db2.gz IPTCNZZLINBZQO-UHFFFAOYSA-N -1 1 300.314 1.745 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@H]1CCCOC1 ZINC000917123959 713483556 /nfs/dbraw/zinc/48/35/56/713483556.db2.gz HFQCCLGGQOGGBW-VIFPVBQESA-N -1 1 300.305 1.691 20 0 DDADMM COCCCNC(=O)CN(C)C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000929245285 713575366 /nfs/dbraw/zinc/57/53/66/713575366.db2.gz BPUJBDKFAXXBAY-CYBMUJFWSA-N -1 1 322.405 1.296 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]CC2(C)CC(F)(F)C2)s1 ZINC000919702569 713628339 /nfs/dbraw/zinc/62/83/39/713628339.db2.gz DOQOEWSIIXVZIX-UHFFFAOYSA-N -1 1 312.363 1.865 20 0 DDADMM C[C@H](NC(=O)NCCc1c(F)cc([O-])cc1F)[C@H]1CCOC1 ZINC000920480311 713673540 /nfs/dbraw/zinc/67/35/40/713673540.db2.gz CTOSAAPDMXIYIN-UWVGGRQHSA-N -1 1 314.332 1.937 20 0 DDADMM CCCCCCNC(=O)CS(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000920489767 713674646 /nfs/dbraw/zinc/67/46/46/713674646.db2.gz VMMNILKEMFZWQD-UHFFFAOYSA-N -1 1 314.411 1.152 20 0 DDADMM CCOC(=O)[C@@H](C[C@@H](C)CC)[N-]S(=O)(=O)CC(C)(C)OC ZINC000921382606 713750540 /nfs/dbraw/zinc/75/05/40/713750540.db2.gz GNOKHQDHZVWKDC-NWDGAFQWSA-N -1 1 323.455 1.699 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)c2cn(C)nn2)c(F)c1 ZINC000921483698 713783652 /nfs/dbraw/zinc/78/36/52/713783652.db2.gz SSBXVFPEEILIPV-MRVPVSSYSA-N -1 1 316.333 1.441 20 0 DDADMM CCc1onc(C)c1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000922034483 713943040 /nfs/dbraw/zinc/94/30/40/713943040.db2.gz XDBJXHYELRJAIU-UHFFFAOYSA-N -1 1 317.317 1.697 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC3C[C@H]4CC[C@@H](C3)S4)ccnc1-2 ZINC000931132544 714010730 /nfs/dbraw/zinc/01/07/30/714010730.db2.gz ZLERKNIDEAECFL-FGWVZKOKSA-N -1 1 317.418 1.890 20 0 DDADMM CCN1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CC1=O ZINC000932048931 714246272 /nfs/dbraw/zinc/24/62/72/714246272.db2.gz DOJIBDBTTRDUFG-UHFFFAOYSA-N -1 1 315.333 1.231 20 0 DDADMM CC[C@H](NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H](C)O ZINC000932060139 714249245 /nfs/dbraw/zinc/24/92/45/714249245.db2.gz ZBIIIOBVOHYBOH-GWCFXTLKSA-N -1 1 304.350 1.859 20 0 DDADMM CCc1cc(C(=O)N2CC[C@H](C(=O)[O-])C[C@H]2[C@H]2CCCO2)n[nH]1 ZINC000923162785 714258760 /nfs/dbraw/zinc/25/87/60/714258760.db2.gz HWMPRCNWFGABGO-LEWSCRJBSA-N -1 1 321.377 1.457 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1(C(C)C)COC1 ZINC000932797742 714416024 /nfs/dbraw/zinc/41/60/24/714416024.db2.gz PFYYLEWFEWADJT-UHFFFAOYSA-N -1 1 318.377 1.341 20 0 DDADMM C[C@@H](NC(=O)NCc1ccc([O-])c(Cl)c1)c1cn(C)nn1 ZINC000923983171 714499837 /nfs/dbraw/zinc/49/98/37/714499837.db2.gz VZDJLYIVLGUFDQ-MRVPVSSYSA-N -1 1 309.757 1.735 20 0 DDADMM CCn1ncn([N-]C(=O)N[C@@](C)(C2CC2)C(F)(F)F)c1=O ZINC000933566023 714619126 /nfs/dbraw/zinc/61/91/26/714619126.db2.gz RMLLMKVJJGOBLC-JTQLQIEISA-N -1 1 307.276 1.049 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)Cc2cc(=O)n(CC(C)C)[n-]2)n[nH]1 ZINC000934925939 714931080 /nfs/dbraw/zinc/93/10/80/714931080.db2.gz VIQJLVIJLPDWNU-NSHDSACASA-N -1 1 319.409 1.154 20 0 DDADMM O=C(C[C@@H]1CC[C@H](C2CC2)O1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000935036846 714960866 /nfs/dbraw/zinc/96/08/66/714960866.db2.gz JBDBRQQKZYFVIU-FRRDWIJNSA-N -1 1 305.382 1.253 20 0 DDADMM O=C(C[C@@H]1CC[C@@H](C2CC2)O1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000935036844 714960992 /nfs/dbraw/zinc/96/09/92/714960992.db2.gz JBDBRQQKZYFVIU-AGIUHOORSA-N -1 1 305.382 1.253 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](NS(=O)(=O)c2c(F)cccc2F)[C@H]2C[C@H]21 ZINC000926601213 715094948 /nfs/dbraw/zinc/09/49/48/715094948.db2.gz QGPOTAFTUFSNPL-UVMAFCGOSA-N -1 1 317.313 1.352 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1cccc(O)c1 ZINC000935793993 715128771 /nfs/dbraw/zinc/12/87/71/715128771.db2.gz LAWORQUTTZYKDZ-JQWIXIFHSA-N -1 1 317.345 1.991 20 0 DDADMM O=C(c1cc2cccn2cn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000935795106 715129011 /nfs/dbraw/zinc/12/90/11/715129011.db2.gz VJWYLMOYURFYOE-JTQLQIEISA-N -1 1 313.317 1.443 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-][C@]2(C)CCOC2=O)cnc1Cl ZINC000936051512 715146251 /nfs/dbraw/zinc/14/62/51/715146251.db2.gz RAWBXIUINSHKCM-GFCCVEGCSA-N -1 1 318.707 1.957 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)c2ccc[nH]2)C1 ZINC000936608347 715222888 /nfs/dbraw/zinc/22/28/88/715222888.db2.gz MVYRKTMDSFKVGH-NSHDSACASA-N -1 1 314.345 1.102 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)c2ccoc2)C1 ZINC000936614969 715223792 /nfs/dbraw/zinc/22/37/92/715223792.db2.gz NULOXNOQOVWUKI-LBPRGKRZSA-N -1 1 315.329 1.367 20 0 DDADMM CC(C)=C(F)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000937124489 715279902 /nfs/dbraw/zinc/27/99/02/715279902.db2.gz WQNRNUUEZQKNBF-LLVKDONJSA-N -1 1 321.352 1.724 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000954263696 715313954 /nfs/dbraw/zinc/31/39/54/715313954.db2.gz SAYAAXYVTYUDSM-VXGBXAGGSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)C2CC3(CC3)C2)C1)c1ncccc1[O-] ZINC000937863047 715618641 /nfs/dbraw/zinc/61/86/41/715618641.db2.gz BLOJIZCWWWFDHF-LBPRGKRZSA-N -1 1 315.373 1.308 20 0 DDADMM CC1(C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)CC1 ZINC000956851631 715638251 /nfs/dbraw/zinc/63/82/51/715638251.db2.gz LDQKOZYHCQDNTQ-MRXNPFEDSA-N -1 1 303.362 1.308 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(F)F ZINC000955457338 715788938 /nfs/dbraw/zinc/78/89/38/715788938.db2.gz FRTOBTMNFGDMAI-BDAKNGLRSA-N -1 1 313.304 1.162 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C2(C)CC2)CCN1C(=O)c1ncccc1[O-] ZINC000955652257 715893133 /nfs/dbraw/zinc/89/31/33/715893133.db2.gz QFOQHVRFOMAARX-NWDGAFQWSA-N -1 1 317.389 1.697 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])C1CC=CC1 ZINC000955979674 716047135 /nfs/dbraw/zinc/04/71/35/716047135.db2.gz RMLCQVZBIRPQRQ-ZDUSSCGKSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H](C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC000959942936 716365611 /nfs/dbraw/zinc/36/56/11/716365611.db2.gz MVKSKYLUSSHOIO-JQWIXIFHSA-N -1 1 307.398 1.027 20 0 DDADMM CC(C)C(=O)N1CCC[C@H]([C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC000961134664 716827384 /nfs/dbraw/zinc/82/73/84/716827384.db2.gz HTYFVLRSSLFKKU-STQMWFEESA-N -1 1 321.425 1.227 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959107995 716870292 /nfs/dbraw/zinc/87/02/92/716870292.db2.gz MICDNTFHIAZJDW-BELPRIPISA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H](C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC000940663468 716903563 /nfs/dbraw/zinc/90/35/63/716903563.db2.gz JTIQKLAHWJSQTR-LBPRGKRZSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@H]1CN(C(=O)C2CC2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959305359 716940699 /nfs/dbraw/zinc/94/06/99/716940699.db2.gz QOTNDDIYPSATKC-JQWIXIFHSA-N -1 1 303.362 1.022 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000943608455 718159639 /nfs/dbraw/zinc/15/96/39/718159639.db2.gz GUSAJGHKCHNPMX-FDYHWXHSSA-N -1 1 317.389 1.600 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])C1CCCC1 ZINC000943976956 718236109 /nfs/dbraw/zinc/23/61/09/718236109.db2.gz PVAJTFIQYGTYIO-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944317223 718287490 /nfs/dbraw/zinc/28/74/90/718287490.db2.gz PXANUCHDSQPMNA-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1CC12CC2 ZINC000946538450 718823635 /nfs/dbraw/zinc/82/36/35/718823635.db2.gz CQTLWZICXXNMSY-LBPRGKRZSA-N -1 1 315.373 1.308 20 0 DDADMM Cc1noc([C@H](C)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000968485878 719615761 /nfs/dbraw/zinc/61/57/61/719615761.db2.gz IEZIWEFBFBQXNR-GXSJLCMTSA-N -1 1 317.349 1.044 20 0 DDADMM CC1=C(C)C[C@@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000968771535 719782130 /nfs/dbraw/zinc/78/21/30/719782130.db2.gz XYBDVXJZBNEHEW-QWHCGFSZSA-N -1 1 319.409 1.337 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)C1CCCCC1)c1ncccc1[O-] ZINC000949530970 720142987 /nfs/dbraw/zinc/14/29/87/720142987.db2.gz KAZYOPQHCUGMOO-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(C=C1CCC1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949534909 720145464 /nfs/dbraw/zinc/14/54/64/720145464.db2.gz JBCLRSLPZHOUCF-GFCCVEGCSA-N -1 1 301.346 1.228 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C[C@@H]2CCCCO2)C1 ZINC000949952822 720428329 /nfs/dbraw/zinc/42/83/29/720428329.db2.gz YZIPCZIOKHMUAD-AWEZNQCLSA-N -1 1 319.405 1.503 20 0 DDADMM CC(C)=C(F)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950399784 720617643 /nfs/dbraw/zinc/61/76/43/720617643.db2.gz IOWCTJIZFKCKPC-NSHDSACASA-N -1 1 321.352 1.771 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950473060 720648146 /nfs/dbraw/zinc/64/81/46/720648146.db2.gz LURVLOSUSRDHDL-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCCC1 ZINC000970451444 720768304 /nfs/dbraw/zinc/76/83/04/720768304.db2.gz QWRYKRZMRWPGAU-ZYHUDNBSSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1nocc1CN(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971394904 721276401 /nfs/dbraw/zinc/27/64/01/721276401.db2.gz RMPZRJJAYAGIOB-CYBMUJFWSA-N -1 1 316.361 1.430 20 0 DDADMM CCC(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000953233716 721572405 /nfs/dbraw/zinc/57/24/05/721572405.db2.gz SMGRTHYPYIGIBH-NWDGAFQWSA-N -1 1 303.362 1.260 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@]2(C)C=CCC2)C1 ZINC000954128018 721725067 /nfs/dbraw/zinc/72/50/67/721725067.db2.gz PBFFFIDBMYKSMS-KRWDZBQOSA-N -1 1 315.373 1.426 20 0 DDADMM Cc1cnc(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038193497 733196540 /nfs/dbraw/zinc/19/65/40/733196540.db2.gz JUURBAOEDRQLML-NSHDSACASA-N -1 1 302.334 1.088 20 0 DDADMM Cc1cc(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])no1 ZINC001038195096 733212605 /nfs/dbraw/zinc/21/26/05/733212605.db2.gz SDWZDPBOCOLCHO-LBPRGKRZSA-N -1 1 302.334 1.088 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)c(C)n1 ZINC001211043840 733379342 /nfs/dbraw/zinc/37/93/42/733379342.db2.gz HERYKZKTILROFL-UHFFFAOYSA-N -1 1 309.347 1.487 20 0 DDADMM Cc1nc(CN[C@H]2C[C@@H](NC(=O)c3[nH]nc(C)c3[O-])C2)c(C)o1 ZINC001021973484 733693094 /nfs/dbraw/zinc/69/30/94/733693094.db2.gz ABZVQLRHBPJRGJ-PHIMTYICSA-N -1 1 319.365 1.079 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cc(N)ccc2N)ccc1CO ZINC001211591987 735101811 /nfs/dbraw/zinc/10/18/11/735101811.db2.gz KHKUWRHEEVUNBW-UHFFFAOYSA-N -1 1 307.375 1.453 20 0 DDADMM CN(CCCN(C)c1ncccn1)C(=O)c1ncccc1[O-] ZINC001112081147 737557023 /nfs/dbraw/zinc/55/70/23/737557023.db2.gz HMRKGAWEVSUFSJ-UHFFFAOYSA-N -1 1 301.350 1.176 20 0 DDADMM C[C@@H](CCNC(=O)C[C@@H]1C=CCC1)NC(=O)c1ncccc1[O-] ZINC001075556646 737922026 /nfs/dbraw/zinc/92/20/26/737922026.db2.gz RKNQXIWNPQDIJS-QWHCGFSZSA-N -1 1 317.389 1.768 20 0 DDADMM CN(Cc1ccon1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001027380965 738247045 /nfs/dbraw/zinc/24/70/45/738247045.db2.gz PPWKGKQMSCTZND-CYBMUJFWSA-N -1 1 316.361 1.512 20 0 DDADMM Cc1nccc(N(C)CCN(C)C(=O)c2ncccc2[O-])n1 ZINC001105376502 739814970 /nfs/dbraw/zinc/81/49/70/739814970.db2.gz VRGNCUSAXGVTEA-UHFFFAOYSA-N -1 1 301.350 1.094 20 0 DDADMM C/C(=C/C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-])C1CC1 ZINC001075774102 740416818 /nfs/dbraw/zinc/41/68/18/740416818.db2.gz RLTJKXZUMAGWET-WEHUKYGOSA-N -1 1 317.389 1.768 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)C[C@H]2C=CCC2)C1 ZINC001029642295 741170659 /nfs/dbraw/zinc/17/06/59/741170659.db2.gz ZYVVKGYQJNONLJ-QWHCGFSZSA-N -1 1 319.409 1.147 20 0 DDADMM CN(C)c1nc(Nc2cnc(N3CCCC3)nc2)cc(=O)[n-]1 ZINC001211923449 741855459 /nfs/dbraw/zinc/85/54/59/741855459.db2.gz FEWQOSRJJIGJRQ-UHFFFAOYSA-N -1 1 301.354 1.382 20 0 DDADMM C[C@@H](CCNC(=O)/C=C\C1CC1)NC(=O)c1ncccc1[O-] ZINC001076035391 742505823 /nfs/dbraw/zinc/50/58/23/742505823.db2.gz DYTRARVSOAXDHT-ZADCQDASSA-N -1 1 303.362 1.378 20 0 DDADMM CS[C@H](C)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244158 742623024 /nfs/dbraw/zinc/62/30/24/742623024.db2.gz KLBKVOHEXCDHPE-VHSXEESVSA-N -1 1 311.407 1.163 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CCC1CC1 ZINC001076244472 742624036 /nfs/dbraw/zinc/62/40/36/742624036.db2.gz TWUWRMALALQEBH-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM COc1cc(Br)c([O-])c(NC(=O)C[C@H](C)O)c1 ZINC001181354686 743163907 /nfs/dbraw/zinc/16/39/07/743163907.db2.gz WRFGVEQCVXCYCV-LURJTMIESA-N -1 1 304.140 1.873 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@H]1NC(=O)c2ccccc21 ZINC001182414664 743617981 /nfs/dbraw/zinc/61/79/81/743617981.db2.gz OPCARHUOCQWYIG-ZETCQYMHSA-N -1 1 311.223 1.247 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccn(-c2cc(F)cc(F)c2)n1 ZINC001182628337 743675802 /nfs/dbraw/zinc/67/58/02/743675802.db2.gz YXEQIHCUOZZVOS-UHFFFAOYSA-N -1 1 316.267 1.925 20 0 DDADMM CSc1nc(NC(=O)Cc2cnc3ccccn23)cc(=O)[n-]1 ZINC001182836034 743735513 /nfs/dbraw/zinc/73/55/13/743735513.db2.gz YMRQQXULPIQYAN-UHFFFAOYSA-N -1 1 315.358 1.733 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@](C)(O)c2ccccc2)n1 ZINC001182921520 743745380 /nfs/dbraw/zinc/74/53/80/743745380.db2.gz FNQLANFZZSNUAA-HNNXBMFYSA-N -1 1 303.318 1.433 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@H]3CCc4ccccc4[C@@H]3O)c1-2 ZINC001183389888 743829717 /nfs/dbraw/zinc/82/97/17/743829717.db2.gz YTQUPIHXGGSSPN-JSGCOSHPSA-N -1 1 323.356 1.269 20 0 DDADMM CC(C)(CC1CCOCC1)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001185310007 744202270 /nfs/dbraw/zinc/20/22/70/744202270.db2.gz STBYZYOYOHNCIR-UHFFFAOYSA-N -1 1 310.354 1.251 20 0 DDADMM COc1nc(OC)c(C(=O)[N-]c2noc3nccnc23)cc1C ZINC001185416957 744227210 /nfs/dbraw/zinc/22/72/10/744227210.db2.gz BIRQZLLALSACMD-UHFFFAOYSA-N -1 1 315.289 1.591 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC2CC1(C(=O)N1CCCC1)C2 ZINC001186327424 744375113 /nfs/dbraw/zinc/37/51/13/744375113.db2.gz MJMLUZSGTHBSIG-UHFFFAOYSA-N -1 1 318.348 1.758 20 0 DDADMM CCOC(=O)C12CC(C(=O)Nc3cc(=O)[n-]c(SC)n3)(C1)C2 ZINC001186550844 744419553 /nfs/dbraw/zinc/41/95/53/744419553.db2.gz BMRKSTRRBDNFFS-UHFFFAOYSA-N -1 1 323.374 1.576 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(C(=O)NC2CC2)cc1 ZINC001187279374 744532840 /nfs/dbraw/zinc/53/28/40/744532840.db2.gz JSRZLNLHMYHGEI-UHFFFAOYSA-N -1 1 312.391 1.357 20 0 DDADMM COC(=O)C[C@H]([N-]S(=O)(=O)c1cnoc1C)c1ccccc1 ZINC001187324091 744539941 /nfs/dbraw/zinc/53/99/41/744539941.db2.gz FKWADFGJQHMPBT-LBPRGKRZSA-N -1 1 324.358 1.566 20 0 DDADMM CCCCC[C@H]([N-]S(=O)(=O)c1nccs1)C(=O)NC ZINC001187918027 744627640 /nfs/dbraw/zinc/62/76/40/744627640.db2.gz OJXLRUWUECMVEX-VIFPVBQESA-N -1 1 305.425 1.116 20 0 DDADMM C[C@@H](CNC(=O)OC(C)(C)C)[N-]S(=O)(=O)c1nccs1 ZINC001187908820 744627896 /nfs/dbraw/zinc/62/78/96/744627896.db2.gz NBSHAKPUHGWCMJ-QMMMGPOBSA-N -1 1 321.424 1.335 20 0 DDADMM O=S(=O)([N-]C1(Cc2ccccn2)CCC1)c1nccs1 ZINC001187920012 744635225 /nfs/dbraw/zinc/63/52/25/744635225.db2.gz DQFICKLCTGGOCV-UHFFFAOYSA-N -1 1 309.416 1.982 20 0 DDADMM CCCCCC(C)(C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188015761 744651283 /nfs/dbraw/zinc/65/12/83/744651283.db2.gz KSQDJCWIVRYPHZ-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1nnsc1C1CC1 ZINC001188101075 744660019 /nfs/dbraw/zinc/66/00/19/744660019.db2.gz CVAVUGFXBWSERD-UHFFFAOYSA-N -1 1 303.307 1.040 20 0 DDADMM COC(=O)c1scnc1NC(=O)c1ncc(C(F)(F)F)[n-]1 ZINC001188280756 744686564 /nfs/dbraw/zinc/68/65/64/744686564.db2.gz LCZCERXSZIVNSM-UHFFFAOYSA-N -1 1 320.252 1.924 20 0 DDADMM COC(=O)c1scnc1NC(=O)c1nc(C(F)(F)F)c[n-]1 ZINC001188280756 744686567 /nfs/dbraw/zinc/68/65/67/744686567.db2.gz LCZCERXSZIVNSM-UHFFFAOYSA-N -1 1 320.252 1.924 20 0 DDADMM Cc1cnn(CCO)c1NC(=O)c1ncc(C(F)(F)F)[n-]1 ZINC001188281305 744686759 /nfs/dbraw/zinc/68/67/59/744686759.db2.gz TTYCYWUFBAJLIM-UHFFFAOYSA-N -1 1 303.244 1.178 20 0 DDADMM Cc1cnn(CCO)c1NC(=O)c1nc(C(F)(F)F)c[n-]1 ZINC001188281305 744686761 /nfs/dbraw/zinc/68/67/61/744686761.db2.gz TTYCYWUFBAJLIM-UHFFFAOYSA-N -1 1 303.244 1.178 20 0 DDADMM O=C(NCCc1nc(-c2ccccc2)no1)c1ccncc1[O-] ZINC001188637466 744743902 /nfs/dbraw/zinc/74/39/02/744743902.db2.gz XPMUOZUIXRKYOI-UHFFFAOYSA-N -1 1 310.313 1.810 20 0 DDADMM CC(C)(C)C(=O)N1CCC(CNC(=O)c2ccncc2[O-])CC1 ZINC001188638996 744744232 /nfs/dbraw/zinc/74/42/32/744744232.db2.gz UMHRSZFDGBUIAR-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc(F)nc(F)c1F ZINC001189174681 744845883 /nfs/dbraw/zinc/84/58/83/744845883.db2.gz BHEXHIDLNAEWNS-UHFFFAOYSA-N -1 1 310.195 1.123 20 0 DDADMM Cc1nc(N)sc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001189274398 744867369 /nfs/dbraw/zinc/86/73/69/744867369.db2.gz LFEVNLJVVQYLIT-UHFFFAOYSA-N -1 1 323.338 1.246 20 0 DDADMM Cn1nc(C(=O)Nc2cccc(C(F)(F)F)c2[O-])ccc1=O ZINC001189496652 744905199 /nfs/dbraw/zinc/90/51/99/744905199.db2.gz MGJDHADELSAJAL-UHFFFAOYSA-N -1 1 313.235 1.757 20 0 DDADMM CCCOC(=O)C[N-]S(=O)(=O)Cc1ccc(F)cc1F ZINC001189854895 745021775 /nfs/dbraw/zinc/02/17/75/745021775.db2.gz UFFOLHSXQPADHR-UHFFFAOYSA-N -1 1 307.318 1.337 20 0 DDADMM O=S(=O)([N-]c1ccnc(F)c1Br)c1ncc[nH]1 ZINC001190690624 745298186 /nfs/dbraw/zinc/29/81/86/745298186.db2.gz ONQZJNSRYCDCHJ-UHFFFAOYSA-N -1 1 321.131 1.507 20 0 DDADMM O=S(=O)([N-]c1cc(Cl)nnc1Cl)c1ccncc1 ZINC001190853893 745352072 /nfs/dbraw/zinc/35/20/72/745352072.db2.gz DKUKAQPJWOFKLT-UHFFFAOYSA-N -1 1 305.146 1.979 20 0 DDADMM O=C(CCCO)c1ccc([N-]S(=O)(=O)c2ccncc2)cc1 ZINC001190904323 745380947 /nfs/dbraw/zinc/38/09/47/745380947.db2.gz YBEYJGLHSLBMDV-UHFFFAOYSA-N -1 1 320.370 1.838 20 0 DDADMM CSc1ncc(C(=O)NCC2(c3cnccn3)CC2)c(=O)[n-]1 ZINC001191440274 745513064 /nfs/dbraw/zinc/51/30/64/745513064.db2.gz KCOKYGPFNGZHHC-UHFFFAOYSA-N -1 1 317.374 1.156 20 0 DDADMM CC(C)NS(=O)(=O)c1ccccc1[N-]C(=O)c1ncon1 ZINC001192024389 745676184 /nfs/dbraw/zinc/67/61/84/745676184.db2.gz JEPVNWDQSSRJHW-UHFFFAOYSA-N -1 1 310.335 1.009 20 0 DDADMM C[C@H](O)c1ccc(C(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001192372158 745769250 /nfs/dbraw/zinc/76/92/50/745769250.db2.gz ASSLKCOAOWVAPO-JTQLQIEISA-N -1 1 301.279 1.428 20 0 DDADMM CC(C)Cc1ccc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001192463621 745793840 /nfs/dbraw/zinc/79/38/40/745793840.db2.gz LOYYXVMWIHZYGG-UHFFFAOYSA-N -1 1 302.334 1.921 20 0 DDADMM Cc1cccn2c(CNC(=O)c3c(F)ccc([O-])c3F)nnc12 ZINC001192520786 745804854 /nfs/dbraw/zinc/80/48/54/745804854.db2.gz UEVSRCQENFOVDY-UHFFFAOYSA-N -1 1 318.283 1.952 20 0 DDADMM COC(=O)N1CCN(C(=O)c2cc([O-])cc(F)c2F)CC1 ZINC001192651362 745845522 /nfs/dbraw/zinc/84/55/22/745845522.db2.gz HFJTWEYXOGKEHX-UHFFFAOYSA-N -1 1 300.261 1.195 20 0 DDADMM Cc1nccc(NS(=O)(=O)c2ccc(OCC(=O)[O-])cc2)n1 ZINC001192683425 745862181 /nfs/dbraw/zinc/86/21/81/745862181.db2.gz PFVSPQWRQPNPGO-UHFFFAOYSA-N -1 1 323.330 1.049 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1ccc([O-])c(F)c1F ZINC001192828395 745906710 /nfs/dbraw/zinc/90/67/10/745906710.db2.gz SSLITRZDOQDSBR-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cccc([C@@H](C)O)c1 ZINC001193008659 745948041 /nfs/dbraw/zinc/94/80/41/745948041.db2.gz KXXCPAUIXJZAKJ-SNVBAGLBSA-N -1 1 315.391 1.823 20 0 DDADMM O=C(NC1CN(CCC2CC2)C1)c1ccc2oc(=O)nc-2[n-]1 ZINC001031251076 746017644 /nfs/dbraw/zinc/01/76/44/746017644.db2.gz BZXKWKQIDQFDSN-UHFFFAOYSA-N -1 1 302.334 1.143 20 0 DDADMM Cc1nc(-c2ccc(C)cc2)c(C(=O)NCc2nn[n-]n2)s1 ZINC001193893943 746229834 /nfs/dbraw/zinc/22/98/34/746229834.db2.gz JSJWUSDJXDBKFQ-UHFFFAOYSA-N -1 1 314.374 1.870 20 0 DDADMM CS(=O)(=O)c1cncc(C(=O)[N-]c2noc3cccnc23)c1 ZINC001194121770 746280119 /nfs/dbraw/zinc/28/01/19/746280119.db2.gz SUOUTJYIFFEUSQ-UHFFFAOYSA-N -1 1 318.314 1.274 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cccn3c(C)c(C)nc23)[n-]n1 ZINC001194282102 746338497 /nfs/dbraw/zinc/33/84/97/746338497.db2.gz CRIDUAQOVPHUPH-UHFFFAOYSA-N -1 1 313.317 1.713 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000993926454 746376985 /nfs/dbraw/zinc/37/69/85/746376985.db2.gz RURFCGIMIKYPGA-LOWDOPEQSA-N -1 1 317.389 1.268 20 0 DDADMM COc1ccccc1OC(=O)Nc1cc(=O)[n-]c(N(C)C)n1 ZINC001195234523 746547182 /nfs/dbraw/zinc/54/71/82/746547182.db2.gz KTCWGQOSHCDBDY-UHFFFAOYSA-N -1 1 304.306 1.868 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3nccc(Cl)c3Cl)c1-2 ZINC001195448492 746604032 /nfs/dbraw/zinc/60/40/32/746604032.db2.gz ZOHUVOPURNVUSI-UHFFFAOYSA-N -1 1 323.143 1.989 20 0 DDADMM CCOC(=O)c1oc2nccnc2c1[N-]C(=O)c1n[nH]cc1C ZINC001195892691 746724056 /nfs/dbraw/zinc/72/40/56/746724056.db2.gz OGRDABQQDJBUDO-UHFFFAOYSA-N -1 1 315.289 1.683 20 0 DDADMM O=C(N[C@H]1CCCc2nccnc21)c1c[nH]c(=S)[n-]c1=O ZINC001196027410 746766081 /nfs/dbraw/zinc/76/60/81/746766081.db2.gz WIWHXYPWLOXBPK-VIFPVBQESA-N -1 1 303.347 1.068 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(N2CCCCCC2=O)cc1 ZINC001196524582 746886826 /nfs/dbraw/zinc/88/68/26/746886826.db2.gz ANWLXYHJJOOGBE-UHFFFAOYSA-N -1 1 314.349 1.037 20 0 DDADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)Cc1cc(Cl)ccc1Cl ZINC001197537047 747189299 /nfs/dbraw/zinc/18/92/99/747189299.db2.gz AJBCERLGPBCUCZ-JTQLQIEISA-N -1 1 324.185 1.728 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc(OC(F)F)cn1 ZINC001197930878 747312571 /nfs/dbraw/zinc/31/25/71/747312571.db2.gz GLGGOKVIIMCIPY-UHFFFAOYSA-N -1 1 322.231 1.307 20 0 DDADMM COC(=O)c1scnc1[N-]C(=O)c1nccnc1OC(C)C ZINC001198048271 747347239 /nfs/dbraw/zinc/34/72/39/747347239.db2.gz GDLFJRYMZAHGLZ-UHFFFAOYSA-N -1 1 322.346 1.759 20 0 DDADMM CN(C)c1ncc(NS(=O)(=O)c2ccccc2C(=O)[O-])cn1 ZINC001198740448 747586025 /nfs/dbraw/zinc/58/60/25/747586025.db2.gz FYTHYGBWAHVZBZ-UHFFFAOYSA-N -1 1 322.346 1.042 20 0 DDADMM O=C(NC[C@H]1CCCN1c1cc(F)ncn1)c1ncccc1[O-] ZINC001061343518 747676751 /nfs/dbraw/zinc/67/67/51/747676751.db2.gz KPXJISXIRXSQMD-SNVBAGLBSA-N -1 1 317.324 1.115 20 0 DDADMM Cc1nocc1CN1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001033024375 747961373 /nfs/dbraw/zinc/96/13/73/747961373.db2.gz CWDSGCXMXDJWKW-ZDUSSCGKSA-N -1 1 316.361 1.430 20 0 DDADMM CC1(C)C(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1(C)C ZINC000998833338 752271815 /nfs/dbraw/zinc/27/18/15/752271815.db2.gz SRSYEUBNDLRWHM-JTQLQIEISA-N -1 1 321.425 1.273 20 0 DDADMM COC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2sc(Cl)nc2C)C1 ZINC001201767233 748598735 /nfs/dbraw/zinc/59/87/35/748598735.db2.gz SYRFBXLZIIDRFM-LJGSYFOKSA-N -1 1 324.811 1.335 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cccc(C(C)=O)c1O ZINC001201915987 748641705 /nfs/dbraw/zinc/64/17/05/748641705.db2.gz VCNOUAIVWKBRBL-UHFFFAOYSA-N -1 1 315.347 1.290 20 0 DDADMM CC(C)c1nsc(NC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001107689962 750384293 /nfs/dbraw/zinc/38/42/93/750384293.db2.gz DHLROESLKMQYID-SECBINFHSA-N -1 1 321.406 1.993 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])c1nc(C)ns1 ZINC001095862867 750601654 /nfs/dbraw/zinc/60/16/54/750601654.db2.gz JDEZTEASWJQZEI-UHFFFAOYSA-N -1 1 321.406 1.594 20 0 DDADMM Cc1ccnc(N[C@@H](C)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001115719558 750902870 /nfs/dbraw/zinc/90/28/70/750902870.db2.gz TWMDAQISFXTKNU-NSHDSACASA-N -1 1 301.350 1.458 20 0 DDADMM C[C@H]1CCCN(C(=O)C2=CCCC2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036534905 752526090 /nfs/dbraw/zinc/52/60/90/752526090.db2.gz NMIMBWMBAUQSHS-WCQYABFASA-N -1 1 319.409 1.337 20 0 DDADMM C[C@@H]1CCC[C@@]1(C)C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000999171845 752600575 /nfs/dbraw/zinc/60/05/75/752600575.db2.gz UEONUVYZDWCUNF-PIGZYNQJSA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)NC[C@H]1C[C@H](C)N(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001010163731 753651549 /nfs/dbraw/zinc/65/15/49/753651549.db2.gz KUBCNIYQNRPFJW-GXSJLCMTSA-N -1 1 318.377 1.046 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]1CNc1nccnc1F ZINC001063474718 754170716 /nfs/dbraw/zinc/17/07/16/754170716.db2.gz RCVIUHOEOCTVGO-SNVBAGLBSA-N -1 1 317.324 1.433 20 0 DDADMM CCC(CC)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001011502826 754563907 /nfs/dbraw/zinc/56/39/07/754563907.db2.gz MZMYINLEIZBCRH-WCQYABFASA-N -1 1 319.405 1.943 20 0 DDADMM Cc1nsc(NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC001064896959 754937840 /nfs/dbraw/zinc/93/78/40/754937840.db2.gz DMZZGHGSGRLIFW-JTQLQIEISA-N -1 1 319.390 1.664 20 0 DDADMM Cc1conc1CN1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001079611186 755473342 /nfs/dbraw/zinc/47/33/42/755473342.db2.gz RPXZZMWLKPSEEX-ZYHUDNBSSA-N -1 1 316.361 1.334 20 0 DDADMM CC(=O)N[C@@H]1CCC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001014571409 755803291 /nfs/dbraw/zinc/80/32/91/755803291.db2.gz LMEINDKCALSVNP-FRRDWIJNSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001014780711 755938366 /nfs/dbraw/zinc/93/83/66/755938366.db2.gz YKFLOMYLEJJWHA-NEPJUHHUSA-N -1 1 305.378 1.553 20 0 DDADMM CSCC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001014893604 756004283 /nfs/dbraw/zinc/00/42/83/756004283.db2.gz MMHSCODDEUOJJI-QWRGUYRKSA-N -1 1 323.418 1.260 20 0 DDADMM O=C(CC1CC1)N[C@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015297659 756226037 /nfs/dbraw/zinc/22/60/37/756226037.db2.gz GPLGGIVWKYZXJK-OLZOCXBDSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(N[C@H]1CC[C@@H](CNC(=O)C2CC2)C1)c1ncccc1[O-] ZINC001015411960 756287456 /nfs/dbraw/zinc/28/74/56/756287456.db2.gz UPAWAKGSQFVAOI-PWSUYJOCSA-N -1 1 303.362 1.212 20 0 DDADMM CCCc1nsc(N[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097764149 757508661 /nfs/dbraw/zinc/50/86/61/757508661.db2.gz BKCOIURBPIVEFD-SECBINFHSA-N -1 1 321.406 1.822 20 0 DDADMM CCCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001014891042 757536466 /nfs/dbraw/zinc/53/64/66/757536466.db2.gz AEMPXPQTDUBBIE-NWDGAFQWSA-N -1 1 305.378 1.697 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)CC2(C)CC2)C1 ZINC001017163576 757682522 /nfs/dbraw/zinc/68/25/22/757682522.db2.gz MEBHBDHFEOKWFB-GFCCVEGCSA-N -1 1 321.425 1.371 20 0 DDADMM CCCC(=O)N1CC[C@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001018484574 758897303 /nfs/dbraw/zinc/89/73/03/758897303.db2.gz YQYQWNCHBZCJJX-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(Cc1ccc(F)c(Cl)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000827916264 759408840 /nfs/dbraw/zinc/40/88/40/759408840.db2.gz RKTORNVHOSVRGG-UHFFFAOYSA-N -1 1 323.759 1.941 20 0 DDADMM Cc1ncc(CN2CC[C@@H]2CN(C)C(=O)c2ncccc2[O-])o1 ZINC001085561526 759697746 /nfs/dbraw/zinc/69/77/46/759697746.db2.gz OAYAQILCSLSVQG-GFCCVEGCSA-N -1 1 316.361 1.430 20 0 DDADMM C[C@H]1CN(C(=O)CCC2CCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054527546 759772298 /nfs/dbraw/zinc/77/22/98/759772298.db2.gz VSYKDTVCKSYWJD-JQWIXIFHSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@@H]1CN(C(=O)C(F)=C2CCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054567674 759824790 /nfs/dbraw/zinc/82/47/90/759824790.db2.gz QVHFMOFMIXUHEX-MWLCHTKSSA-N -1 1 323.372 1.244 20 0 DDADMM Cc1csc(C(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001054641449 759935436 /nfs/dbraw/zinc/93/54/36/759935436.db2.gz GILTZAWHXNLZJE-VHSXEESVSA-N -1 1 321.406 1.131 20 0 DDADMM Cc1nc([C@@H](C)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001020098204 760549709 /nfs/dbraw/zinc/54/97/09/760549709.db2.gz AYLKHBHBZHAVLK-FBIMIBRVSA-N -1 1 317.349 1.090 20 0 DDADMM CC1(C)CC[C@H](C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001000124930 761524665 /nfs/dbraw/zinc/52/46/65/761524665.db2.gz XVXZSNUXUBWQSW-NWDGAFQWSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001001547051 762950297 /nfs/dbraw/zinc/95/02/97/762950297.db2.gz YIEMOQGPRPTRBM-DGCLKSJQSA-N -1 1 317.389 1.412 20 0 DDADMM Cc1ccnc(N(C)C[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001108932967 763142275 /nfs/dbraw/zinc/14/22/75/763142275.db2.gz IDTYKESHRBNSQF-NSHDSACASA-N -1 1 301.350 1.140 20 0 DDADMM C/C=C(\C)C(=O)N[C@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050524119 763705545 /nfs/dbraw/zinc/70/55/45/763705545.db2.gz UNVPOWUSEUXZOU-VVBALGDRSA-N -1 1 317.389 1.720 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3csc(Cl)n3)nc2n1 ZINC001131556043 768186709 /nfs/dbraw/zinc/18/67/09/768186709.db2.gz JRGWCUOASYAFHK-UHFFFAOYSA-N -1 1 324.753 1.342 20 0 DDADMM Cc1ccnc(N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001057623998 764158038 /nfs/dbraw/zinc/15/80/38/764158038.db2.gz RRFQNBDBMVIBBW-GFCCVEGCSA-N -1 1 313.361 1.602 20 0 DDADMM Cc1conc1CN1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042645894 764308606 /nfs/dbraw/zinc/30/86/06/764308606.db2.gz GEDQADHRJIMZHX-UHFFFAOYSA-N -1 1 302.334 1.040 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C[C@@H]2CCCCO2)C1 ZINC001042645942 764308649 /nfs/dbraw/zinc/30/86/49/764308649.db2.gz IFTFEAROFIGJLQ-ZDUSSCGKSA-N -1 1 305.378 1.113 20 0 DDADMM Cc1cc(C)nc(N[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112982919 765099827 /nfs/dbraw/zinc/09/98/27/765099827.db2.gz CWZVVQQNWCHLRA-NWDGAFQWSA-N -1 1 315.377 1.813 20 0 DDADMM CC(C)=CC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052420612 765808326 /nfs/dbraw/zinc/80/83/26/765808326.db2.gz GDCFPOPJSGEJBK-STQMWFEESA-N -1 1 317.389 1.578 20 0 DDADMM Cc1cnc(C)nc1N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001113575380 765896771 /nfs/dbraw/zinc/89/67/71/765896771.db2.gz UJIDSLKXTSBIQG-LLVKDONJSA-N -1 1 315.377 1.449 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nccc(-c4ccccc4)n3)c2[nH]1 ZINC001170214197 766174072 /nfs/dbraw/zinc/17/40/72/766174072.db2.gz ZWAWHABDZHAYAR-UHFFFAOYSA-N -1 1 321.300 1.916 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc(Cl)nc3scnc32)[n-]1 ZINC001170223783 766196789 /nfs/dbraw/zinc/19/67/89/766196789.db2.gz PFXVTRQFHDKINR-UHFFFAOYSA-N -1 1 320.725 1.855 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ncnc3c(Cl)nccc23)[n-]1 ZINC001170226125 766200422 /nfs/dbraw/zinc/20/04/22/766200422.db2.gz CCZGAPXRCSGARC-UHFFFAOYSA-N -1 1 314.696 1.794 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2cc3c(ncnc3Cl)[nH]2)[n-]1 ZINC001170227629 766201211 /nfs/dbraw/zinc/20/12/11/766201211.db2.gz QKBCWNPJMSTDQO-UHFFFAOYSA-N -1 1 302.685 1.727 20 0 DDADMM CC(C)Cn1cc(Nc2[n-]c(=O)nc3nc[nH]c32)ncc1=O ZINC001170228868 766203987 /nfs/dbraw/zinc/20/39/87/766203987.db2.gz MBIMCCXVXLWHDL-UHFFFAOYSA-N -1 1 301.310 1.015 20 0 DDADMM C[C@@H]1NCCn2c(C(=O)N(CC(=O)[O-])CC(C)(C)C)ccc21 ZINC000900464395 766864820 /nfs/dbraw/zinc/86/48/20/766864820.db2.gz CEOADQLTBHAWAA-NSHDSACASA-N -1 1 307.394 1.725 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1C[C@@H](c2ccccc2)C[C@@H]1C ZINC001121674180 782620813 /nfs/dbraw/zinc/62/08/13/782620813.db2.gz ZWKGTDLZHPAFOO-AAEUAGOBSA-N -1 1 324.392 1.861 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1C[C@@H](c2ccccc2)C[C@@H]1C ZINC001121674180 782620818 /nfs/dbraw/zinc/62/08/18/782620818.db2.gz ZWKGTDLZHPAFOO-AAEUAGOBSA-N -1 1 324.392 1.861 20 0 DDADMM Cc1ccc(N[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)nn1 ZINC001068822751 767639990 /nfs/dbraw/zinc/63/99/90/767639990.db2.gz LYIPGTMOFZMWDY-NWDGAFQWSA-N -1 1 313.361 1.601 20 0 DDADMM Cc1ccc(N[C@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)nn1 ZINC001069066100 767815797 /nfs/dbraw/zinc/81/57/97/767815797.db2.gz SRDRJBMQNDJULM-RYUDHWBXSA-N -1 1 313.361 1.601 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(-c2csnn2)cc1 ZINC001136366780 771946765 /nfs/dbraw/zinc/94/67/65/771946765.db2.gz WZLSSYIVGGAHHB-UHFFFAOYSA-N -1 1 301.335 1.290 20 0 DDADMM COC(=O)CC[C@H]1COCCN1Cc1c(F)cc([O-])cc1F ZINC001144567070 772567580 /nfs/dbraw/zinc/56/75/80/772567580.db2.gz BXMDXBWKEFEZTB-JTQLQIEISA-N -1 1 315.316 1.824 20 0 DDADMM C[C@]12CN(C(=O)c3ncccc3[O-])C[C@H]1C[N@H+](C/C=C/Cl)C2 ZINC001091618986 773061334 /nfs/dbraw/zinc/06/13/34/773061334.db2.gz OLNGCNDDWWNLJZ-OXUOGIBSSA-N -1 1 321.808 1.934 20 0 DDADMM Nc1ncc2c(n1)CN(C(=O)c1ncc3ccccc3c1[O-])CC2 ZINC001147559020 773168001 /nfs/dbraw/zinc/16/80/01/773168001.db2.gz LNKZIJSBYNXXFR-UHFFFAOYSA-N -1 1 321.340 1.511 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCCc2ccc(CO)cc2)c1[O-] ZINC001147848663 773269128 /nfs/dbraw/zinc/26/91/28/773269128.db2.gz PXAFJWKHIJNOAA-UHFFFAOYSA-N -1 1 316.357 1.053 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccccc1OC(F)F ZINC001148709144 773584743 /nfs/dbraw/zinc/58/47/43/773584743.db2.gz QEJRGSLONWEQGU-UHFFFAOYSA-N -1 1 321.243 1.912 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H](C(F)(F)F)[C@H](NCCF)C1 ZINC001099243002 774914105 /nfs/dbraw/zinc/91/41/05/774914105.db2.gz BLQUPEUQBIZJAJ-RKDXNWHRSA-N -1 1 321.274 1.349 20 0 DDADMM O=C(NCCCNc1cncc(Cl)n1)c1ncccc1[O-] ZINC001094375638 775821158 /nfs/dbraw/zinc/82/11/58/775821158.db2.gz ISPLPIBTUSPLMB-UHFFFAOYSA-N -1 1 307.741 1.463 20 0 DDADMM CNc1ncc2cc(Nc3c(N)[nH]c(=S)[n-]c3=O)ccc2n1 ZINC001174531563 777500663 /nfs/dbraw/zinc/50/06/63/777500663.db2.gz WSKXVXPFVQPZFN-UHFFFAOYSA-N -1 1 315.362 1.782 20 0 DDADMM CN(C)c1nc(Nc2ccc(N3CCCC3)nc2)cc(=O)[n-]1 ZINC001175348147 777744380 /nfs/dbraw/zinc/74/43/80/777744380.db2.gz AGVJQAZLAKEXDT-UHFFFAOYSA-N -1 1 300.366 1.987 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)CCc1ccc(F)nc1 ZINC001175368666 777764067 /nfs/dbraw/zinc/76/40/67/777764067.db2.gz YIYKTQYLEQUXDW-UHFFFAOYSA-N -1 1 307.241 1.391 20 0 DDADMM COc1cccnc1CCC(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001175500216 777815719 /nfs/dbraw/zinc/81/57/19/777815719.db2.gz YQQZZNLDOVSWKE-UHFFFAOYSA-N -1 1 314.305 1.033 20 0 DDADMM CN1CCN(c2cncc(Nc3cncc(C(=O)[O-])c3)c2)CC1 ZINC001175840596 777923232 /nfs/dbraw/zinc/92/32/32/777923232.db2.gz DVZDTMACVISLKG-UHFFFAOYSA-N -1 1 313.361 1.670 20 0 DDADMM COC(=O)c1ncc(N)nc1Nc1c(F)cc(F)c([O-])c1F ZINC001176668985 778206234 /nfs/dbraw/zinc/20/62/34/778206234.db2.gz ABRVICAPCKLEMR-UHFFFAOYSA-N -1 1 314.223 1.712 20 0 DDADMM CCN(C(=O)Cc1nn[n-]n1)[C@H](C)Cc1ccc(OC)cc1 ZINC001176838358 778269159 /nfs/dbraw/zinc/26/91/59/778269159.db2.gz VEGPZFYXZAJLDR-LLVKDONJSA-N -1 1 303.366 1.231 20 0 DDADMM CC/C(C)=C\C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102952009 778500787 /nfs/dbraw/zinc/50/07/87/778500787.db2.gz UAPYDZBLROMUON-CUOXCHRPSA-N -1 1 321.425 1.441 20 0 DDADMM Cc1ccc(CC(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)cn1 ZINC001177247025 778506149 /nfs/dbraw/zinc/50/61/49/778506149.db2.gz ZKDIUMCHTYXGHP-UHFFFAOYSA-N -1 1 316.321 1.531 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1ccc(C)nn1 ZINC001103068932 778617003 /nfs/dbraw/zinc/61/70/03/778617003.db2.gz LWCSMEQAVPWOGZ-LLVKDONJSA-N -1 1 301.350 1.506 20 0 DDADMM CC(C)(Cc1cccnc1)C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001178057636 778841486 /nfs/dbraw/zinc/84/14/86/778841486.db2.gz NOYINZYFUZDZOD-UHFFFAOYSA-N -1 1 317.305 1.888 20 0 DDADMM CCC[C@@H](C)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001178315188 778999386 /nfs/dbraw/zinc/99/93/86/778999386.db2.gz DXGOLKUTIGYBPB-WOPDTQHZSA-N -1 1 309.414 1.416 20 0 DDADMM Cc1cc(C)nc(NC[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001103924597 779175875 /nfs/dbraw/zinc/17/58/75/779175875.db2.gz OOWPZESSYCWRPX-SNVBAGLBSA-N -1 1 315.377 1.672 20 0 DDADMM CC[C@@H](C)CCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179775109 779474106 /nfs/dbraw/zinc/47/41/06/779474106.db2.gz JPESVSCMDBDJDG-WOPDTQHZSA-N -1 1 309.414 1.416 20 0 DDADMM CCOC(C)(C)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692823143 779490171 /nfs/dbraw/zinc/49/01/71/779490171.db2.gz KGFXWGLMIAKSNW-UHFFFAOYSA-N -1 1 310.778 1.968 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@H](C)Oc3ccccc3C)c1-2 ZINC001180520257 779798546 /nfs/dbraw/zinc/79/85/46/779798546.db2.gz WJOBJEGEPHDMBS-NSHDSACASA-N -1 1 311.345 1.749 20 0 DDADMM Cc1cc(Cl)cc(C)c1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001116372110 780501341 /nfs/dbraw/zinc/50/13/41/780501341.db2.gz DUNWIOJOAFLJOD-UHFFFAOYSA-N -1 1 321.764 1.836 20 0 DDADMM CCCN1C(=O)CC[C@@H](C(=O)[N-]OC(C)C)[C@@H]1c1cncn1C ZINC001117817145 780904235 /nfs/dbraw/zinc/90/42/35/780904235.db2.gz VFGCKJMUYVIZAM-IUODEOHRSA-N -1 1 322.409 1.566 20 0 DDADMM O=C([O-])CSCCNCc1ncc(Br)cc1F ZINC001118979504 781289678 /nfs/dbraw/zinc/28/96/78/781289678.db2.gz KLUIUSUCCYJARW-UHFFFAOYSA-N -1 1 323.187 1.891 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N1CC[C@H]2C[C@H]2C1 ZINC001119933887 781686809 /nfs/dbraw/zinc/68/68/09/781686809.db2.gz HDZLJDQEPDLRNH-UWVGGRQHSA-N -1 1 324.327 1.198 20 0 DDADMM CC(C)N(CCCNC(=O)C1CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001267227775 837565378 /nfs/dbraw/zinc/56/53/78/837565378.db2.gz ALIQWSDEJQXPLO-UHFFFAOYSA-N -1 1 309.414 1.417 20 0 DDADMM COCc1nocc1C(=O)[N-]c1nc2ccc(Cl)cn2n1 ZINC001266504230 836363493 /nfs/dbraw/zinc/36/34/93/836363493.db2.gz UVULMFHBJGPCDL-UHFFFAOYSA-N -1 1 307.697 1.769 20 0 DDADMM CCCCCC(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001266860529 836954838 /nfs/dbraw/zinc/95/48/38/836954838.db2.gz GTJWFKVZNBRYSF-GFCCVEGCSA-N -1 1 309.414 1.561 20 0 DDADMM CC(C)N(CCCNC(=O)c1ccsc1)Cc1n[nH]c(=O)[n-]1 ZINC001267497254 838183360 /nfs/dbraw/zinc/18/33/60/838183360.db2.gz BJIIAYVXKRWAHS-UHFFFAOYSA-N -1 1 323.422 1.602 20 0 DDADMM CC(C)N(CCCNC(=O)[C@H]1CC12CC2)Cc1n[nH]c(=O)[n-]1 ZINC001267510447 838217522 /nfs/dbraw/zinc/21/75/22/838217522.db2.gz QIZJHOSEHZWYOK-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM CN(CCNC(=O)CCCC1CC1)C(=O)c1ncccc1[O-] ZINC001408657470 838349819 /nfs/dbraw/zinc/34/98/19/838349819.db2.gz MTAMHAOAEDLKLP-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM CC[C@@H](C)N1CC[C@]2(CCCN2C(=O)c2ncccc2[O-])C1=O ZINC001268843387 840892435 /nfs/dbraw/zinc/89/24/35/840892435.db2.gz UZCWQFWTHJOAAI-SJKOYZFVSA-N -1 1 317.389 1.793 20 0 DDADMM CN1CCO[C@H]2CN(C(=O)Cc3ccc([O-])c(Cl)c3)C[C@@H]21 ZINC001268850973 840904323 /nfs/dbraw/zinc/90/43/23/840904323.db2.gz JCWOTFAJICLKKW-JSGCOSHPSA-N -1 1 310.781 1.130 20 0 DDADMM CN1CCO[C@H]2CN(C(=O)c3ccc4sccc4c3[O-])C[C@@H]21 ZINC001269048353 841146618 /nfs/dbraw/zinc/14/66/18/841146618.db2.gz RWEKQNWHJVSMBD-STQMWFEESA-N -1 1 318.398 1.762 20 0 DDADMM CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001269281651 841463892 /nfs/dbraw/zinc/46/38/92/841463892.db2.gz QMLFVQGVJXWBLX-PHIMTYICSA-N -1 1 313.357 1.565 20 0 DDADMM CN(C)C(=O)[C@]12C[C@H]1CCN2C(=O)c1cc(Cl)ccc1[O-] ZINC001269576778 841783364 /nfs/dbraw/zinc/78/33/64/841783364.db2.gz VYZVNMBOMZZVDY-PSLIRLAXSA-N -1 1 308.765 1.738 20 0 DDADMM CN1CC[C@]2(CCCN2C(=O)c2cc(Cl)ccc2[O-])C1=O ZINC001269686646 841941376 /nfs/dbraw/zinc/94/13/76/841941376.db2.gz LUHKPZYGEATQMH-OAHLLOKOSA-N -1 1 308.765 1.883 20 0 DDADMM Cn1c(C=O)ccc1C(=O)Nc1nc(Br)ccc1[O-] ZINC001154597820 861261960 /nfs/dbraw/zinc/26/19/60/861261960.db2.gz MWPVAGYKLGTBGZ-UHFFFAOYSA-N -1 1 324.134 1.953 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2ccc(CO)cc2)c1 ZINC001414291008 843227356 /nfs/dbraw/zinc/22/73/56/843227356.db2.gz FMMRCVDBNCLQSN-UHFFFAOYSA-N -1 1 323.370 1.372 20 0 DDADMM COCCN(CC(F)F)C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001143293180 861451868 /nfs/dbraw/zinc/45/18/68/861451868.db2.gz FQFXVUDLZCKHTC-UHFFFAOYSA-N -1 1 301.289 1.867 20 0 DDADMM CC[C@H](CNC(=O)[C@H]1CC1(C)C)NC(=O)c1ncccc1[O-] ZINC001409258235 844722483 /nfs/dbraw/zinc/72/24/83/844722483.db2.gz VIROERKSGSMERN-GHMZBOCLSA-N -1 1 305.378 1.458 20 0 DDADMM O=C(c1ccc2cccnc2c1[O-])N1[C@H]2COC[C@@H]1CC(=O)C2 ZINC001149320142 861544236 /nfs/dbraw/zinc/54/42/36/861544236.db2.gz JFOPFEFUWBSWMK-TXEJJXNPSA-N -1 1 312.325 1.513 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccccc1C1CCC1 ZINC001149365053 861573052 /nfs/dbraw/zinc/57/30/52/861573052.db2.gz VZTRDYODLYWACB-UHFFFAOYSA-N -1 1 315.377 1.297 20 0 DDADMM CCC1(C(=O)NC[C@@H](C)CNC(=O)c2ncccc2[O-])CCC1 ZINC001409677912 845553050 /nfs/dbraw/zinc/55/30/50/845553050.db2.gz REPLWCTVRRYRRK-LBPRGKRZSA-N -1 1 319.405 1.850 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H]2CCO[C@H](C)C2)sn1 ZINC001365381242 846159606 /nfs/dbraw/zinc/15/96/06/846159606.db2.gz NEWZEVHYAZQEPZ-BDAKNGLRSA-N -1 1 306.409 1.245 20 0 DDADMM Cc1cc(C)c(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c(=O)[nH]1 ZINC001149493452 861654265 /nfs/dbraw/zinc/65/42/65/861654265.db2.gz WIJAQCAOPQCAQF-ZDUSSCGKSA-N -1 1 324.344 1.437 20 0 DDADMM O=C(CCNc1ccccc1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001149501825 861661000 /nfs/dbraw/zinc/66/10/00/861661000.db2.gz XPDJZKVTCJECNV-MRXNPFEDSA-N -1 1 322.372 1.908 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001149500833 861662799 /nfs/dbraw/zinc/66/27/99/861662799.db2.gz PZCKICVOYFLLQR-JXMNSVBMSA-N -1 1 321.340 1.824 20 0 DDADMM Cc1cc2[nH]nc(NC(=O)c3c([O-])cccc3F)c2c(=O)n1C ZINC001299777915 846778375 /nfs/dbraw/zinc/77/83/75/846778375.db2.gz PUYHTBNOJFIBRV-UHFFFAOYSA-N -1 1 316.292 1.667 20 0 DDADMM O=C(c1ccncc1[O-])N1CC[C@H]2[C@@H]1CCN2Cc1ccc[nH]1 ZINC001272766032 847395567 /nfs/dbraw/zinc/39/55/67/847395567.db2.gz NWKURVREVFYCBQ-GJZGRUSLSA-N -1 1 312.373 1.604 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1cccc2cc(=O)[nH]cc21 ZINC001128847765 847999918 /nfs/dbraw/zinc/99/99/18/847999918.db2.gz UDWZOVIECFSWSX-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc(C2CCC2)cc1 ZINC001128859656 848033374 /nfs/dbraw/zinc/03/33/74/848033374.db2.gz HIVSJJPORGBSAR-UHFFFAOYSA-N -1 1 315.377 1.297 20 0 DDADMM C[C@](CO)(NC(=O)c1[n-][nH]c2cc(=O)ccc1-2)c1ccccc1 ZINC001155273699 861922922 /nfs/dbraw/zinc/92/29/22/861922922.db2.gz TUCSPPSDHXMVNQ-QGZVFWFLSA-N -1 1 311.341 1.906 20 0 DDADMM NN1CCC(Oc2nc3c(cc(Cl)nc3Cl)[n-]2)CC1 ZINC001231047751 849231653 /nfs/dbraw/zinc/23/16/53/849231653.db2.gz CBTGAAGGPTVCPC-UHFFFAOYSA-N -1 1 302.165 1.982 20 0 DDADMM C[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@H](C)NC(=O)C1CC1 ZINC001411336915 850855404 /nfs/dbraw/zinc/85/54/04/850855404.db2.gz BUZZUFIVZRVSKE-IUCAKERBSA-N -1 1 318.377 1.093 20 0 DDADMM CN1CC[C@@]2(CCCN2C(=O)c2cccc([O-])c2Cl)C1=O ZINC001273545406 851080580 /nfs/dbraw/zinc/08/05/80/851080580.db2.gz FDMANFSSEDBUNT-HNNXBMFYSA-N -1 1 308.765 1.883 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cnc(Cl)cc1Cl)C(C)(C)O ZINC001262502536 900203552 /nfs/dbraw/zinc/20/35/52/900203552.db2.gz QIJREADHCMNUSG-LURJTMIESA-N -1 1 313.206 1.826 20 0 DDADMM Cc1ccc2ccc(C(=O)N(C)c3nccnc3N)c([O-])c2n1 ZINC001155652075 862340456 /nfs/dbraw/zinc/34/04/56/862340456.db2.gz NIZVUPRYESGBCQ-UHFFFAOYSA-N -1 1 309.329 1.898 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC3(C=C(C(N)=O)CC3)CC2)n1 ZINC001274975374 852725472 /nfs/dbraw/zinc/72/54/72/852725472.db2.gz XZPNURCKKIWVCD-UHFFFAOYSA-N -1 1 315.373 1.524 20 0 DDADMM CC(C)NC(=O)N1CC2(CN(Cc3ccccc3C(=O)[O-])C2)C1 ZINC001275275908 852945338 /nfs/dbraw/zinc/94/53/38/852945338.db2.gz BGNAIIPSMDMWTG-UHFFFAOYSA-N -1 1 317.389 1.620 20 0 DDADMM O=C(NC12CC(C(=O)N3CC=CC3)(C1)C2)c1ccc([O-])cc1F ZINC001275399395 853065307 /nfs/dbraw/zinc/06/53/07/853065307.db2.gz QSBROPDFFJJGQS-UHFFFAOYSA-N -1 1 316.332 1.582 20 0 DDADMM CN(C(=O)[C@H]1C2CCC(CC2)[C@@H]1C(F)(F)F)c1nn[n-]n1 ZINC001411476036 853180505 /nfs/dbraw/zinc/18/05/05/853180505.db2.gz FMOWUVWSSMANGD-PEBLOWIWSA-N -1 1 303.288 1.777 20 0 DDADMM Cc1ncc2c(n1)CC[C@@H](NC(=O)c1ccc(F)c([O-])c1)C2 ZINC001411628802 853483067 /nfs/dbraw/zinc/48/30/67/853483067.db2.gz GNSBKQOQKXKDCG-GFCCVEGCSA-N -1 1 301.321 1.917 20 0 DDADMM COC1C[C@H]2CC[C@@H](C1)N2c1nc(C)cc2c1C(=O)[N-]C2=O ZINC001155811265 862470212 /nfs/dbraw/zinc/47/02/12/862470212.db2.gz WXCWXIKXYLXCGN-ZACCUICWSA-N -1 1 301.346 1.420 20 0 DDADMM CSc1ncc([O-])c(C(=O)N2CCCC3(CN(C)C3)C2)n1 ZINC001275736746 853653814 /nfs/dbraw/zinc/65/38/14/853653814.db2.gz QVDMLEQXEIWWRC-UHFFFAOYSA-N -1 1 308.407 1.072 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](C)CCc2cccn2C)c(=O)[n-]1 ZINC001411791506 853742816 /nfs/dbraw/zinc/74/28/16/853742816.db2.gz AMANJDICRFDDFO-JTQLQIEISA-N -1 1 320.418 1.994 20 0 DDADMM CS(=O)(=O)[C@H]1CCC[C@@H](NC(=O)c2cccc([O-])c2F)C1 ZINC001411797687 853752069 /nfs/dbraw/zinc/75/20/69/853752069.db2.gz GMDSUWLFYCGSEE-ZJUUUORDSA-N -1 1 315.366 1.617 20 0 DDADMM O=C(NCCS(=O)(=O)C(F)(F)F)c1ccc(F)c([O-])c1 ZINC001362656852 884339530 /nfs/dbraw/zinc/33/95/30/884339530.db2.gz LWJFWBZISPKZCP-UHFFFAOYSA-N -1 1 315.244 1.196 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC2(CN(Cc3ccc[nH]3)C2)C1 ZINC001275917901 853943618 /nfs/dbraw/zinc/94/36/18/853943618.db2.gz LLUAPLLKKYSBJJ-UHFFFAOYSA-N -1 1 315.348 1.817 20 0 DDADMM CC(C)NC(=O)N1CCC12CN(C(=O)c1ccc([O-])cc1F)C2 ZINC001275974971 854057855 /nfs/dbraw/zinc/05/78/55/854057855.db2.gz FSBVLEHGKOUZRN-UHFFFAOYSA-N -1 1 321.352 1.550 20 0 DDADMM CC(C)[C@](C)(NC(=O)c1ccc2ccc(O)cc2c1[O-])C(N)=O ZINC001412106326 854222411 /nfs/dbraw/zinc/22/24/11/854222411.db2.gz AASPLZQIHPRFAM-KRWDZBQOSA-N -1 1 316.357 1.881 20 0 DDADMM CC[C@H]1CN(C(=O)c2cccc([O-])c2F)C[C@H](C)S1(=O)=O ZINC001412113679 854226753 /nfs/dbraw/zinc/22/67/53/854226753.db2.gz YPGGONOLJIHQAH-UWVGGRQHSA-N -1 1 315.366 1.569 20 0 DDADMM O=C(Nc1cccc2c1COC2=O)c1cnc(C2CC2)[n-]c1=O ZINC001412201819 854329145 /nfs/dbraw/zinc/32/91/45/854329145.db2.gz HLQVSGBHEYUOOV-UHFFFAOYSA-N -1 1 311.297 1.982 20 0 DDADMM O=C(CCSc1ccccc1F)NCc1nc([O-])cc(=O)[nH]1 ZINC001412374165 854483613 /nfs/dbraw/zinc/48/36/13/854483613.db2.gz HTPMGJNDFDPGAO-UHFFFAOYSA-N -1 1 323.349 1.826 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@@H]1CCC2N=NC(=O)N2C1 ZINC001412547134 854701759 /nfs/dbraw/zinc/70/17/59/854701759.db2.gz HIMRNPZBEMDVOY-SECBINFHSA-N -1 1 322.752 1.222 20 0 DDADMM COC[C@H](NC(=O)[C@H](C)c1ccc(F)cc1F)c1nn[n-]n1 ZINC001412598554 854786300 /nfs/dbraw/zinc/78/63/00/854786300.db2.gz AOZSETXHYAKNJW-HQJQHLMTSA-N -1 1 311.292 1.085 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H](c3noc(C)n3)C2)c(=O)[n-]1 ZINC001412602240 854794219 /nfs/dbraw/zinc/79/42/19/854794219.db2.gz AIWWNLCPQUGQIP-MRVPVSSYSA-N -1 1 321.362 1.225 20 0 DDADMM CC(C)n1ccnc1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001412613864 854813541 /nfs/dbraw/zinc/81/35/41/854813541.db2.gz RZVRIMFSNKFIRZ-NSHDSACASA-N -1 1 303.370 1.072 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H](c3ncon3)C2)c(=O)[n-]1 ZINC001412654032 854884421 /nfs/dbraw/zinc/88/44/21/854884421.db2.gz MUYYPHOPWLAIIP-MRVPVSSYSA-N -1 1 321.362 1.307 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCCC(F)(F)C1 ZINC001412686196 854947867 /nfs/dbraw/zinc/94/78/67/854947867.db2.gz HWMMBFFUJKAQNJ-LURJTMIESA-N -1 1 303.265 1.204 20 0 DDADMM CCOC(=O)c1[nH]nc(NC(=O)c2ccc(F)c(O)c2)c1C ZINC001412688180 854952318 /nfs/dbraw/zinc/95/23/18/854952318.db2.gz LBCDQBAJWYEVHK-UHFFFAOYSA-N -1 1 307.281 1.992 20 0 DDADMM CCOC(=O)c1n[nH]c(NC(=O)c2ccc(F)c([O-])c2)c1C ZINC001412688180 854952330 /nfs/dbraw/zinc/95/23/30/854952330.db2.gz LBCDQBAJWYEVHK-UHFFFAOYSA-N -1 1 307.281 1.992 20 0 DDADMM NC(=O)CC[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257515050 855154056 /nfs/dbraw/zinc/15/40/56/855154056.db2.gz DQQZIARHDHZORX-UHFFFAOYSA-N -1 1 303.192 1.209 20 0 DDADMM CC(C)(C)NC(=O)C12CC(NC(=O)c3ccc(F)c(=O)[n-]3)(C1)C2 ZINC001276302294 855487009 /nfs/dbraw/zinc/48/70/09/855487009.db2.gz FUTCIQCCVGLPLA-UHFFFAOYSA-N -1 1 321.352 1.494 20 0 DDADMM CNc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)nc1 ZINC001412875549 855747180 /nfs/dbraw/zinc/74/71/80/855747180.db2.gz RJQXAZURXRFCSN-VIFPVBQESA-N -1 1 303.322 1.232 20 0 DDADMM CCC(CC)(NC(=O)c1ccnc(N(C)C)c1)c1nn[n-]n1 ZINC001412935644 855799864 /nfs/dbraw/zinc/79/98/64/855799864.db2.gz KVKDVIOKHNXQPB-UHFFFAOYSA-N -1 1 303.370 1.106 20 0 DDADMM CO[C@@H]([C@@H](C)NC(=O)c1c(C)[n-]c(=O)nc1SC)C1CC1 ZINC001412966306 855825917 /nfs/dbraw/zinc/82/59/17/855825917.db2.gz KNOZNNFHKJCOJO-KCJUWKMLSA-N -1 1 311.407 1.756 20 0 DDADMM O=C(Nc1cccc2c(=O)[nH]nc([O-])c12)c1cccn2ccnc12 ZINC001151475901 862793932 /nfs/dbraw/zinc/79/39/32/862793932.db2.gz IQGDIFWFXFYOAL-UHFFFAOYSA-N -1 1 321.296 1.941 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2C[C@@](C)(OC)C2(C)C)sn1 ZINC001413292301 856614695 /nfs/dbraw/zinc/61/46/95/856614695.db2.gz CVURTSRDMRSFPD-QPUJVOFHSA-N -1 1 320.436 1.634 20 0 DDADMM CO[C@@](C)(C(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccccc1 ZINC001413338834 856686855 /nfs/dbraw/zinc/68/68/55/856686855.db2.gz GETDHKQSKXQIMS-OAHLLOKOSA-N -1 1 303.318 1.066 20 0 DDADMM COCC1([N-]S(=O)(=O)c2cc(OC)ns2)CCCC1 ZINC001413402801 856770089 /nfs/dbraw/zinc/77/00/89/856770089.db2.gz HCUYZYMYWXVTRE-UHFFFAOYSA-N -1 1 306.409 1.389 20 0 DDADMM CSc1ncc(C(=O)N2CCO[C@@H](CCF)C2)c(=O)[n-]1 ZINC001413419537 856784693 /nfs/dbraw/zinc/78/46/93/856784693.db2.gz VWAVNBIDIJCGQS-QMMMGPOBSA-N -1 1 301.343 1.105 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CCC2(CCC2)O1)C(C)C ZINC001413433729 856804979 /nfs/dbraw/zinc/80/49/79/856804979.db2.gz CLJAYAQAEGEXNJ-NWDGAFQWSA-N -1 1 319.423 1.205 20 0 DDADMM O=S(=O)(Cc1ccno1)[N-][C@@H]1c2ccccc2OC[C@@H]1F ZINC001413441017 856818267 /nfs/dbraw/zinc/81/82/67/856818267.db2.gz WJIBZUSSANQLBE-WCQYABFASA-N -1 1 312.322 1.566 20 0 DDADMM C[C@H](NC(=O)CN(C)CCc1ccccc1)c1nn(C)cc1[O-] ZINC001413753370 858487612 /nfs/dbraw/zinc/48/76/12/858487612.db2.gz BBVTZZCOSJWUIW-ZDUSSCGKSA-N -1 1 316.405 1.477 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)c1cnn(CC2CCC2)c1 ZINC001413778765 858520789 /nfs/dbraw/zinc/52/07/89/858520789.db2.gz WIKCSTCZALLHFC-JTQLQIEISA-N -1 1 303.370 1.006 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])C1CC2(C1)CS(=O)(=O)C2 ZINC001123902993 859463008 /nfs/dbraw/zinc/46/30/08/859463008.db2.gz YDUMTRUARRKWOA-UHFFFAOYSA-N -1 1 317.313 1.434 20 0 DDADMM O=C([C@H]1CC1(Cl)Cl)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123998354 859517395 /nfs/dbraw/zinc/51/73/95/859517395.db2.gz BCVBJHHNJMUEGI-HTQZYQBOSA-N -1 1 304.181 1.175 20 0 DDADMM CCn1nnc2c1[C@H](COC)CN(Cc1ncccc1[O-])C2 ZINC001138390579 860084749 /nfs/dbraw/zinc/08/47/49/860084749.db2.gz BRFFNZRTSGBRIT-NSHDSACASA-N -1 1 303.366 1.144 20 0 DDADMM COc1cccc(C[N@H+]2CCC[C@@H](CO)CC2)c1OCC(=O)[O-] ZINC001139267763 860343295 /nfs/dbraw/zinc/34/32/95/860343295.db2.gz GUJCYDRDBRJDKT-CYBMUJFWSA-N -1 1 323.389 1.753 20 0 DDADMM CCOC(=O)c1ncn2c1CN(Cc1ccncc1[O-])CC2 ZINC001140273398 860605104 /nfs/dbraw/zinc/60/51/04/860605104.db2.gz JTZUFCFJXJWKIU-UHFFFAOYSA-N -1 1 302.334 1.176 20 0 DDADMM CCOC(=O)[C@H]1CCN(Cc2ccccc2C(=O)[O-])CCC1=O ZINC001140506666 860648162 /nfs/dbraw/zinc/64/81/62/860648162.db2.gz ZANGOMNOVIACNH-AWEZNQCLSA-N -1 1 319.357 1.729 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)c1cn([C@@H]2CC[C@H]2O)nn1 ZINC001140657879 860668009 /nfs/dbraw/zinc/66/80/09/860668009.db2.gz ZSGLALFHMKGXAV-RKDXNWHRSA-N -1 1 310.260 1.210 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CCC4(CCC4)O3)nc2n1 ZINC001361397178 881567708 /nfs/dbraw/zinc/56/77/08/881567708.db2.gz JSIREYKEYRQWEI-SNVBAGLBSA-N -1 1 317.349 1.156 20 0 DDADMM COC(=O)CC[C@H]1COCCN1Cc1ccc([O-])c(F)c1F ZINC001140895789 860728525 /nfs/dbraw/zinc/72/85/25/860728525.db2.gz VZNZVAVPPRAWRS-NSHDSACASA-N -1 1 315.316 1.824 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2cc(F)c([O-])c(F)c2)CCO1 ZINC001140991337 860753128 /nfs/dbraw/zinc/75/31/28/860753128.db2.gz MPWVWHWBONKCEZ-LBPRGKRZSA-N -1 1 301.289 1.434 20 0 DDADMM CCS[C@@H](C)c1noc(CN(C)C(=O)c2cnncc2[O-])n1 ZINC001362154053 883168290 /nfs/dbraw/zinc/16/82/90/883168290.db2.gz MIONQAYKMOVXDD-QMMMGPOBSA-N -1 1 323.378 1.652 20 0 DDADMM CN(C)c1nc(Nc2cccc(CCO)c2)c(N=O)c(=O)[n-]1 ZINC001203110602 860852739 /nfs/dbraw/zinc/85/27/39/860852739.db2.gz NWDZLAPSBWRQHU-UHFFFAOYSA-N -1 1 303.322 1.925 20 0 DDADMM CC[C@@H]1COCCN1CCNC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001154234245 860904137 /nfs/dbraw/zinc/90/41/37/860904137.db2.gz AXIODDHAXIKBGP-CQSZACIVSA-N -1 1 320.389 1.354 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N(C[C@H]2CCC(=O)N2)C(C)C)c1 ZINC001142399312 861142960 /nfs/dbraw/zinc/14/29/60/861142960.db2.gz TUHMVOXYRUUTDH-CYBMUJFWSA-N -1 1 318.373 1.642 20 0 DDADMM Cc1nc2ccc(C(=O)Nc3c(N)[nH]c(=O)[n-]c3=O)cc2o1 ZINC001152112862 863169698 /nfs/dbraw/zinc/16/96/98/863169698.db2.gz ZTJNJRSBHJZVLH-UHFFFAOYSA-N -1 1 301.262 1.172 20 0 DDADMM COC(=O)C1=C[C@@H](OCC(=O)[O-])C[N@@H+](Cc2ccccc2)C1 ZINC001225023329 881643310 /nfs/dbraw/zinc/64/33/10/881643310.db2.gz FUTQTORUGJVCJF-CQSZACIVSA-N -1 1 305.330 1.071 20 0 DDADMM COC(=O)C1=C[C@@H](OCC(=O)[O-])CN(Cc2ccccc2)C1 ZINC001225023329 881643316 /nfs/dbraw/zinc/64/33/16/881643316.db2.gz FUTQTORUGJVCJF-CQSZACIVSA-N -1 1 305.330 1.071 20 0 DDADMM CC[C@@H](F)CN(CC)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152835001 863560173 /nfs/dbraw/zinc/56/01/73/863560173.db2.gz HQDOICMDNLTRSQ-MWLCHTKSSA-N -1 1 300.378 1.612 20 0 DDADMM Cc1cnc(SCc2nc3c(cnn3C)c(=O)[nH]2)[n-]c1=O ZINC001329531629 863715791 /nfs/dbraw/zinc/71/57/91/863715791.db2.gz MZDGRSJOPFNODM-UHFFFAOYSA-N -1 1 304.335 1.165 20 0 DDADMM CC(=CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001225120476 881689925 /nfs/dbraw/zinc/68/99/25/881689925.db2.gz ABQHUUAVSMZWQO-BOAKYGJUSA-N -1 1 319.409 1.384 20 0 DDADMM O=C(Nc1ncnc2n[nH]nc21)c1c([O-])ccc2ccccc21 ZINC001153498462 863914042 /nfs/dbraw/zinc/91/40/42/863914042.db2.gz RJOSFYYXRYWWDR-UHFFFAOYSA-N -1 1 306.285 1.859 20 0 DDADMM O=C(c1c([O-])ccc2ccccc21)N1CCS(=O)(=O)CC1 ZINC001153498451 863914657 /nfs/dbraw/zinc/91/46/57/863914657.db2.gz FFWCGNZMDHRRCJ-UHFFFAOYSA-N -1 1 305.355 1.416 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cnc2ncccc2c1 ZINC001153766583 864080019 /nfs/dbraw/zinc/08/00/19/864080019.db2.gz KFVDEBKZZUARCC-UHFFFAOYSA-N -1 1 312.245 1.481 20 0 DDADMM O=C(CCCN1C(=O)CCC1=O)Nc1ccc([O-])c(F)c1F ZINC001157805409 864158396 /nfs/dbraw/zinc/15/83/96/864158396.db2.gz FKYNJBLMCVUTHZ-UHFFFAOYSA-N -1 1 312.272 1.538 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2c[n-]c3cccnc3c2=O)on1 ZINC001153854170 864160299 /nfs/dbraw/zinc/16/02/99/864160299.db2.gz OOVHZEYIUAFFSN-SECBINFHSA-N -1 1 312.329 1.581 20 0 DDADMM COc1ncc(Br)cc1CNCCOCC(=O)[O-] ZINC001330273463 864203438 /nfs/dbraw/zinc/20/34/38/864203438.db2.gz DLXDPTDZYBVJDR-UHFFFAOYSA-N -1 1 319.155 1.044 20 0 DDADMM Cc1cc2c(c(NCCn3nnc4ccccc43)n1)C(=O)[N-]C2=O ZINC001158085585 864418367 /nfs/dbraw/zinc/41/83/67/864418367.db2.gz DXDVACJURSLDNT-UHFFFAOYSA-N -1 1 322.328 1.130 20 0 DDADMM Cn1cncc1CCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001154131859 864432902 /nfs/dbraw/zinc/43/29/02/864432902.db2.gz WEKMBIBMYNXWHM-UHFFFAOYSA-N -1 1 314.320 1.786 20 0 DDADMM O=c1cc2[nH]c(Nc3[n-]c(=O)nc4nc[nH]c43)c(Cl)cc-2[nH]1 ZINC001159197921 865160318 /nfs/dbraw/zinc/16/03/18/865160318.db2.gz FFXBEOOWJOHMLD-UHFFFAOYSA-N -1 1 317.696 1.345 20 0 DDADMM CC(=O)NC(=Cc1ccccc1)C(=O)Nc1c(C)[n-][nH]c1=O ZINC001160088632 865674117 /nfs/dbraw/zinc/67/41/17/865674117.db2.gz JPHHFIWGULYQTH-WQLSENKSSA-N -1 1 300.318 1.539 20 0 DDADMM Cc1nn(C)c(C)c1C(=O)C(=O)Nc1cc([O-])c(F)cc1F ZINC001160915979 866184581 /nfs/dbraw/zinc/18/45/81/866184581.db2.gz ANAWROZLWFFNBS-UHFFFAOYSA-N -1 1 309.272 1.842 20 0 DDADMM CC(C)[C@@H](CNC(=O)C1(C)CC=CC1)NCc1n[nH]c(=O)[n-]1 ZINC001319942270 866394331 /nfs/dbraw/zinc/39/43/31/866394331.db2.gz BIAVKFARKXCBBS-LLVKDONJSA-N -1 1 307.398 1.097 20 0 DDADMM Cc1ccccc1-n1nc(C(=O)N(C)c2nn[n-]n2)c2c1CCC2 ZINC001333647571 866900108 /nfs/dbraw/zinc/90/01/08/866900108.db2.gz YBVMZWFHSFVIGB-UHFFFAOYSA-N -1 1 323.360 1.459 20 0 DDADMM CCCCCC(=O)N[C@@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001333828129 867053279 /nfs/dbraw/zinc/05/32/79/867053279.db2.gz PBQNKCLYLKOXMB-NWDGAFQWSA-N -1 1 307.394 1.991 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(CCOCc2nn[n-]n2)CC1 ZINC001334031819 867250501 /nfs/dbraw/zinc/25/05/01/867250501.db2.gz FHQFMXJELSDPMX-UHFFFAOYSA-N -1 1 311.386 1.754 20 0 DDADMM CCOCCCC(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001334158749 867340112 /nfs/dbraw/zinc/34/01/12/867340112.db2.gz PKWLSKLTXDCOSF-GFCCVEGCSA-N -1 1 323.393 1.181 20 0 DDADMM C[C@H]1COCCN1C1CCN(C(=O)C2(C(=O)[O-])CCCC2)CC1 ZINC001334229921 867406686 /nfs/dbraw/zinc/40/66/86/867406686.db2.gz LGSVSKCSNBVUGT-ZDUSSCGKSA-N -1 1 324.421 1.343 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc(F)cc(F)c2[O-])n(C)n1 ZINC001162296235 867420712 /nfs/dbraw/zinc/42/07/12/867420712.db2.gz VBHBSZKBGSQPBW-UHFFFAOYSA-N -1 1 311.244 1.443 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)Cn2ccc(C)n2)n1 ZINC001361649125 882086578 /nfs/dbraw/zinc/08/65/78/882086578.db2.gz FYTDKVFDLXOAEZ-SECBINFHSA-N -1 1 305.338 1.366 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1OC[C@@H](C)OC[C@@H](C)OC ZINC001225901036 882100689 /nfs/dbraw/zinc/10/06/89/882100689.db2.gz LHIHOIAUQSIQDX-NXEZZACHSA-N -1 1 314.338 1.178 20 0 DDADMM C[C@@H]1C[C@H]1CNC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001334717754 867791272 /nfs/dbraw/zinc/79/12/72/867791272.db2.gz IDTKVORCDFFIAV-BDAKNGLRSA-N -1 1 312.316 1.101 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(c2ccnc(F)c2)CC1 ZINC001325295871 867923499 /nfs/dbraw/zinc/92/34/99/867923499.db2.gz KPLJUKWDWNBVMV-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM O=S(=O)([N-]Cc1cccnc1)c1nc[nH]c1Br ZINC001474773969 868070528 /nfs/dbraw/zinc/07/05/28/868070528.db2.gz HJWDOONTRZIOFN-UHFFFAOYSA-N -1 1 317.168 1.046 20 0 DDADMM CCCC[C@@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001226001533 882157634 /nfs/dbraw/zinc/15/76/34/882157634.db2.gz GUKOJMMMHWPXOV-JHJVBQTASA-N -1 1 323.441 1.854 20 0 DDADMM COc1cc(OC)c(OC)cc1CNC(=O)c1cnncc1[O-] ZINC001361717540 882221364 /nfs/dbraw/zinc/22/13/64/882221364.db2.gz KZCMMELMEGOYRZ-UHFFFAOYSA-N -1 1 319.317 1.138 20 0 DDADMM C[Si](C)(C)c1cccnc1NCC[N-]C(=O)C(F)(F)F ZINC001164245479 869054411 /nfs/dbraw/zinc/05/44/11/869054411.db2.gz YINLXDDQNHOFAO-UHFFFAOYSA-N -1 1 305.376 1.717 20 0 DDADMM Cc1ccc(NC(=O)c2ccc(F)c([O-])c2)cc1S(N)(=O)=O ZINC001361754836 882304168 /nfs/dbraw/zinc/30/41/68/882304168.db2.gz GPCNHPSOPSYGER-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1Cc2cccc(Cl)c2C1 ZINC001337933733 869699004 /nfs/dbraw/zinc/69/90/04/869699004.db2.gz QXWQMKJROBSMJZ-UHFFFAOYSA-N -1 1 302.729 1.169 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1Cc2cccc(Cl)c2C1 ZINC001337933733 869699000 /nfs/dbraw/zinc/69/90/00/869699000.db2.gz QXWQMKJROBSMJZ-UHFFFAOYSA-N -1 1 302.729 1.169 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@@H](Oc2cc(=O)[n-]c(SC)n2)CO1 ZINC001228090811 883330746 /nfs/dbraw/zinc/33/07/46/883330746.db2.gz NNYJEHBOFLENFC-BDAKNGLRSA-N -1 1 314.363 1.394 20 0 DDADMM CO[C@H]1CC[C@@H]1N(C)c1nnc(-c2cc(Cl)ncc2[O-])n1C ZINC001338337938 869929186 /nfs/dbraw/zinc/92/91/86/869929186.db2.gz GZSMEOSVQKTGLJ-ONGXEEELSA-N -1 1 323.784 1.850 20 0 DDADMM CCCN(Cc1ccccc1F)c1nnc(-c2nnn[n-]2)n1C ZINC001339439088 870499125 /nfs/dbraw/zinc/49/91/25/870499125.db2.gz DEBQUESGPVHELR-UHFFFAOYSA-N -1 1 316.344 1.551 20 0 DDADMM CCCN(Cc1ccccc1F)c1nnc(-c2nn[n-]n2)n1C ZINC001339439088 870499134 /nfs/dbraw/zinc/49/91/34/870499134.db2.gz DEBQUESGPVHELR-UHFFFAOYSA-N -1 1 316.344 1.551 20 0 DDADMM CCCN(CCc1cccs1)c1nnc(-c2nnn[n-]2)n1C ZINC001339439633 870499208 /nfs/dbraw/zinc/49/92/08/870499208.db2.gz GXMVXLOUAYBKGY-UHFFFAOYSA-N -1 1 318.410 1.516 20 0 DDADMM CCCN(CCc1cccs1)c1nnc(-c2nn[n-]n2)n1C ZINC001339439633 870499215 /nfs/dbraw/zinc/49/92/15/870499215.db2.gz GXMVXLOUAYBKGY-UHFFFAOYSA-N -1 1 318.410 1.516 20 0 DDADMM Cc1ncc(CN(C)c2nnc(-c3c[n-][nH]c3=O)n2C)s1 ZINC001339890858 870764249 /nfs/dbraw/zinc/76/42/49/870764249.db2.gz BCDYUCGCRVECCM-UHFFFAOYSA-N -1 1 305.367 1.312 20 0 DDADMM CC(C)(C)OC(=O)C1(C(=O)NCc2nc([O-])cc(=O)[nH]2)CCC1 ZINC001301575221 871005463 /nfs/dbraw/zinc/00/54/63/871005463.db2.gz GBNOAPYBZTWJTM-UHFFFAOYSA-N -1 1 323.349 1.016 20 0 DDADMM CCOc1ncccc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001301650266 871016802 /nfs/dbraw/zinc/01/68/02/871016802.db2.gz QOPWVNCFFKPBOA-CYBMUJFWSA-N -1 1 324.344 1.513 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NOc2ccccc2F)co1 ZINC001303332979 871119862 /nfs/dbraw/zinc/11/98/62/871119862.db2.gz SFSJVLMZAXLTDC-UHFFFAOYSA-N -1 1 314.294 1.051 20 0 DDADMM COC[C@H](NC(=O)Cc1coc2cc(F)ccc12)c1nn[n-]n1 ZINC001303580276 871140300 /nfs/dbraw/zinc/14/03/00/871140300.db2.gz UMMJICZFLYXWJN-NSHDSACASA-N -1 1 319.296 1.131 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)CCCF ZINC001413826530 871208923 /nfs/dbraw/zinc/20/89/23/871208923.db2.gz CANIIZGGPKDUTP-LLVKDONJSA-N -1 1 311.357 1.504 20 0 DDADMM Cc1conc1CS(=O)(=O)[N-]Cc1noc(-c2ccco2)n1 ZINC001309853790 871604264 /nfs/dbraw/zinc/60/42/64/871604264.db2.gz YNFLHGYCFITSTK-UHFFFAOYSA-N -1 1 324.318 1.246 20 0 DDADMM O=C(CN1CC[C@H](c2ccccc2)NC1=O)Nc1c[n-][nH]c1=O ZINC001413845967 871929147 /nfs/dbraw/zinc/92/91/47/871929147.db2.gz LJHJNJBZQGCEGV-LLVKDONJSA-N -1 1 315.333 1.210 20 0 DDADMM Cc1c[nH]c2ncc([N-]S(=O)(=O)c3cc(N)ccc3N)cc12 ZINC001206062937 872027748 /nfs/dbraw/zinc/02/77/48/872027748.db2.gz JEYNWZGHYGZKJH-UHFFFAOYSA-N -1 1 317.374 1.837 20 0 DDADMM COc1cccc2c(C(=O)OCCOC(=O)N(C)C)[nH]nc21 ZINC001342932772 872441010 /nfs/dbraw/zinc/44/10/10/872441010.db2.gz ALPWKRQXLQSVDL-UHFFFAOYSA-N -1 1 307.306 1.427 20 0 DDADMM CC(C)(C)OC(=O)N1CC(NC(=O)c2ccc(F)c([O-])c2)C1 ZINC001361962710 882719045 /nfs/dbraw/zinc/71/90/45/882719045.db2.gz REEWDODLGCGNFW-UHFFFAOYSA-N -1 1 310.325 1.880 20 0 DDADMM CC(C)(C)CCCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207675764 873467579 /nfs/dbraw/zinc/46/75/79/873467579.db2.gz LSLROXVPAZNQCL-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM COC(=O)COc1cccc(NC(=O)C2=C([O-])C(C)N=N2)c1 ZINC001361966711 882726926 /nfs/dbraw/zinc/72/69/26/882726926.db2.gz OAJGUSDUIJICIN-UHFFFAOYSA-N -1 1 305.290 1.228 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC001346506624 873783135 /nfs/dbraw/zinc/78/31/35/873783135.db2.gz LNCQPPHNUZLXID-SFGNSQDASA-N -1 1 309.391 1.323 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC1(CCO)CCCC1 ZINC001348113000 874363656 /nfs/dbraw/zinc/36/36/56/874363656.db2.gz BIUVZTLXBUKIGZ-UHFFFAOYSA-N -1 1 311.407 1.452 20 0 DDADMM Cc1cnn([C@H]2CCN(C(=O)c3c(C)[nH]c(=O)[n-]c3=S)C2)c1 ZINC001348652510 874695163 /nfs/dbraw/zinc/69/51/63/874695163.db2.gz CXXDFBYCWQIJTJ-JTQLQIEISA-N -1 1 319.390 1.371 20 0 DDADMM CO[C@]1(C)C[C@@H](NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1(C)C ZINC001349159557 874998611 /nfs/dbraw/zinc/99/86/11/874998611.db2.gz LXBQUWNPIMEVAR-XLKFXECMSA-N -1 1 311.407 1.713 20 0 DDADMM Cc1cnc(F)c(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)c1 ZINC001349209962 875036481 /nfs/dbraw/zinc/03/64/81/875036481.db2.gz DFWHTQNMSDHFKZ-JTQLQIEISA-N -1 1 304.329 1.137 20 0 DDADMM COCC(=O)c1ccc([O-])cc1O[C@@H]1[C@H]2C[C@H]3C(=O)O[C@H]1[C@H]3C2 ZINC001227168718 882889433 /nfs/dbraw/zinc/88/94/33/882889433.db2.gz MGDATCMTHSUJMF-FMFOBPDKSA-N -1 1 318.325 1.550 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)NCCO2 ZINC001209797625 875130621 /nfs/dbraw/zinc/13/06/21/875130621.db2.gz GPXJLISRVNDJCH-UHFFFAOYSA-N -1 1 305.359 1.874 20 0 DDADMM C[C@]1(C(=O)NCCCNC(=O)c2ncccc2[O-])C=CCC1 ZINC001349939973 875466802 /nfs/dbraw/zinc/46/68/02/875466802.db2.gz IDXDCNYZMDXKLO-INIZCTEOSA-N -1 1 303.362 1.380 20 0 DDADMM O=C(c1ccnc(Cl)c1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001350429796 875684058 /nfs/dbraw/zinc/68/40/58/875684058.db2.gz UTVZJFIDQDDKSD-SECBINFHSA-N -1 1 306.757 1.343 20 0 DDADMM Cc1cccc([C@H]2CCCN2c2nnc(-c3nnn[n-]3)n2C)c1C ZINC001350431622 875685922 /nfs/dbraw/zinc/68/59/22/875685922.db2.gz ULCBSNXNJBTJJQ-CYBMUJFWSA-N -1 1 324.392 1.954 20 0 DDADMM Cc1cccc([C@H]2CCCN2c2nnc(-c3nn[n-]n3)n2C)c1C ZINC001350431622 875685926 /nfs/dbraw/zinc/68/59/26/875685926.db2.gz ULCBSNXNJBTJJQ-CYBMUJFWSA-N -1 1 324.392 1.954 20 0 DDADMM Nc1cccc(S(=O)(=O)[N-]c2cc(F)c(CO)c(F)c2)c1 ZINC001214034999 876145063 /nfs/dbraw/zinc/14/50/63/876145063.db2.gz MRNZYVGOMWJEJS-UHFFFAOYSA-N -1 1 314.313 1.840 20 0 DDADMM O=c1[nH]c2[n-]c(OC[C@H]3CC[C@@]4(CCCCO4)O3)nc2c(=O)[nH]1 ZINC001227429441 883012376 /nfs/dbraw/zinc/01/23/76/883012376.db2.gz CNIYWWVQCQHRTJ-XLKFXECMSA-N -1 1 322.321 1.219 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)c(CO)c1 ZINC001214459579 876347415 /nfs/dbraw/zinc/34/74/15/876347415.db2.gz HAURIXCGYSASQX-UHFFFAOYSA-N -1 1 308.359 1.576 20 0 DDADMM Cc1cc(C)c(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cn1 ZINC001352281356 876675528 /nfs/dbraw/zinc/67/55/28/876675528.db2.gz KCXUHIZETUASCT-UHFFFAOYSA-N -1 1 304.375 1.721 20 0 DDADMM COc1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c2ccccc2n1 ZINC001352586706 876817242 /nfs/dbraw/zinc/81/72/42/876817242.db2.gz UMSGRTOZYNCBMX-JTQLQIEISA-N -1 1 324.344 1.386 20 0 DDADMM CCn1c(-c2cc(Cl)ncc2[O-])nnc1N(C)CCOC ZINC001352713431 876879480 /nfs/dbraw/zinc/87/94/80/876879480.db2.gz WJHSOFGNVOMMAD-UHFFFAOYSA-N -1 1 311.773 1.802 20 0 DDADMM CNc1cc(F)cc([N-]S(=O)(=O)c2ccc(O)c(N)c2)c1 ZINC001216188047 876887434 /nfs/dbraw/zinc/88/74/34/876887434.db2.gz CJUILUBOXLGAFZ-UHFFFAOYSA-N -1 1 311.338 1.956 20 0 DDADMM CCC(CC)C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001379626722 877569182 /nfs/dbraw/zinc/56/91/82/877569182.db2.gz DUFAPTXFRZVUFS-YPMHNXCESA-N -1 1 323.441 1.014 20 0 DDADMM O=C(NC1CC(CNC(=O)C2(C3CC3)CCC2)C1)c1cnn[nH]1 ZINC001380308973 879294102 /nfs/dbraw/zinc/29/41/02/879294102.db2.gz CWNXGLOWZPNULD-UHFFFAOYSA-N -1 1 317.393 1.010 20 0 DDADMM CCNC(=O)c1ccc(-c2ccc(-c3nnn[n-]3)nc2)c(OC)c1 ZINC001222456177 880480784 /nfs/dbraw/zinc/48/07/84/880480784.db2.gz VXPXWIQFOIRCKF-UHFFFAOYSA-N -1 1 324.344 1.687 20 0 DDADMM CCNC(=O)c1ccc(-c2ccc(-c3nn[n-]n3)nc2)c(OC)c1 ZINC001222456177 880480789 /nfs/dbraw/zinc/48/07/89/880480789.db2.gz VXPXWIQFOIRCKF-UHFFFAOYSA-N -1 1 324.344 1.687 20 0 DDADMM CN(C)C(=O)CN1CC2(C1)CN(Cc1ccc([S-])cc1)C2 ZINC001276904656 881023374 /nfs/dbraw/zinc/02/33/74/881023374.db2.gz FBJLJCHTIUVJGH-UHFFFAOYSA-N -1 1 305.447 1.181 20 0 DDADMM CCNS(=O)(=O)[C@H]1CCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001414133638 881266380 /nfs/dbraw/zinc/26/63/80/881266380.db2.gz HCPJVXONWQNVTB-JTQLQIEISA-N -1 1 320.361 1.184 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCOC[C@@H]1c1ncon1 ZINC001362164043 883187542 /nfs/dbraw/zinc/18/75/42/883187542.db2.gz VYKNVUJHJARPKS-SNVBAGLBSA-N -1 1 309.709 1.642 20 0 DDADMM C[C@@](O)(CNC(=O)c1ccc([O-])cn1)c1ccc(F)cc1F ZINC001362174948 883213786 /nfs/dbraw/zinc/21/37/86/883213786.db2.gz IZSDRKVUGUBESG-OAHLLOKOSA-N -1 1 308.284 1.703 20 0 DDADMM CCCN(C)C(=O)[C@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001362206355 883296319 /nfs/dbraw/zinc/29/63/19/883296319.db2.gz VKZPYMZASFSUPW-GFCCVEGCSA-N -1 1 309.391 1.171 20 0 DDADMM CC(C)n1cc2c(n1)[C@H](NC(=O)CCCc1nn[n-]n1)CCC2 ZINC001362208686 883304033 /nfs/dbraw/zinc/30/40/33/883304033.db2.gz XYSXZPUIAZPFCA-GFCCVEGCSA-N -1 1 317.397 1.494 20 0 DDADMM COC(=O)c1nnc(O[C@H]2CCN3Cc4ccccc4N=C23)[n-]1 ZINC001228311233 883438321 /nfs/dbraw/zinc/43/83/21/883438321.db2.gz KVWZIFAUSUDSNA-NSHDSACASA-N -1 1 313.317 1.288 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H]2CCN3Cc4ccccc4N=C23)n1 ZINC001228311233 883438325 /nfs/dbraw/zinc/43/83/25/883438325.db2.gz KVWZIFAUSUDSNA-NSHDSACASA-N -1 1 313.317 1.288 20 0 DDADMM Cc1nn(C(C)(C)C)cc1[C@@H](C)NC(=O)CCc1nn[n-]n1 ZINC001362297658 883508115 /nfs/dbraw/zinc/50/81/15/883508115.db2.gz GMZPNNCKWDMEQA-SECBINFHSA-N -1 1 305.386 1.270 20 0 DDADMM CSC(C)(C)C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001362298307 883510145 /nfs/dbraw/zinc/51/01/45/883510145.db2.gz PIESFMGBEKQYAM-UHFFFAOYSA-N -1 1 307.379 1.859 20 0 DDADMM O=C(N[C@@H](CO)C[C@@H](O)c1ccccc1)c1ccc(F)c([O-])c1 ZINC001362315085 883547867 /nfs/dbraw/zinc/54/78/67/883547867.db2.gz CJMVALYLTWWZEM-UKRRQHHQSA-N -1 1 319.332 1.746 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2cccc([O-])c2F)[C@@]12CCCO2 ZINC001362321667 883561054 /nfs/dbraw/zinc/56/10/54/883561054.db2.gz FIHPHKRXDNVLRC-IOASZLSFSA-N -1 1 309.337 1.988 20 0 DDADMM CN(C)C(=O)CC1CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001362326419 883570970 /nfs/dbraw/zinc/57/09/70/883570970.db2.gz LTXCJOWCYVHZBQ-UHFFFAOYSA-N -1 1 308.353 1.862 20 0 DDADMM COC(=O)[C@@H](C)Cc1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001362467346 883878075 /nfs/dbraw/zinc/87/80/75/883878075.db2.gz SOQKNANEQNBFGG-JTQLQIEISA-N -1 1 315.329 1.786 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)c2c(C)[n-]c(=O)nc2SC)C12CCC2 ZINC001362539158 884039772 /nfs/dbraw/zinc/03/97/72/884039772.db2.gz YYSSFTJRQZFCIL-VHSXEESVSA-N -1 1 323.418 1.900 20 0 DDADMM Cc1c(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)cnn1C ZINC001362562820 884101523 /nfs/dbraw/zinc/10/15/23/884101523.db2.gz WTQZTMBPSCGONV-UHFFFAOYSA-N -1 1 305.338 1.659 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@H](c1nc(=O)o[n-]1)C(C)(C)C ZINC001362589002 884163873 /nfs/dbraw/zinc/16/38/73/884163873.db2.gz GLXDGYKLJWFWCV-PSASIEDQSA-N -1 1 307.354 1.168 20 0 DDADMM COC(=O)[C@@H](Oc1nc(C)[n-]c(=O)c1OC)c1cccc(F)c1 ZINC001230020864 884281505 /nfs/dbraw/zinc/28/15/05/884281505.db2.gz GWLIETBXILFQGW-NSHDSACASA-N -1 1 322.292 1.931 20 0 DDADMM COC[C@H](C)n1ccc(NC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC001362685831 884411793 /nfs/dbraw/zinc/41/17/93/884411793.db2.gz HCIAFCGTTVSFGQ-VIFPVBQESA-N -1 1 317.349 1.716 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1CCn2cncc21 ZINC001362711324 884466577 /nfs/dbraw/zinc/46/65/77/884466577.db2.gz UHJXHXKINCUSAT-MRVPVSSYSA-N -1 1 305.363 1.284 20 0 DDADMM COc1cc(C(N)=O)ccc1NC(=O)c1ccc(F)c([O-])c1 ZINC001362739494 884522169 /nfs/dbraw/zinc/52/21/69/884522169.db2.gz QQJLBDYNEPXCAJ-UHFFFAOYSA-N -1 1 304.277 1.891 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(C(=O)OC(C)(C)C)nn1C ZINC001362795443 884658074 /nfs/dbraw/zinc/65/80/74/884658074.db2.gz NVCYCLADOSYNEL-UHFFFAOYSA-N -1 1 321.337 1.365 20 0 DDADMM CC(=O)NCC[C@H]1CCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001362799604 884672186 /nfs/dbraw/zinc/67/21/86/884672186.db2.gz OQKWWRXBPGXWFX-GFCCVEGCSA-N -1 1 308.353 1.910 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cnn2c1C[C@H](C)CC2 ZINC001362833510 884751618 /nfs/dbraw/zinc/75/16/18/884751618.db2.gz UXFJHIKKYXLNMR-LLVKDONJSA-N -1 1 317.397 1.277 20 0 DDADMM COC(=O)c1ccc(C(=O)NCc2cc(=O)[n-]c(SC)n2)o1 ZINC001362852556 884798447 /nfs/dbraw/zinc/79/84/47/884798447.db2.gz LKNWZZRDHCHQIL-UHFFFAOYSA-N -1 1 323.330 1.214 20 0 DDADMM CCC(=O)c1cc(C(=O)N[C@H](COC)c2nn[n-]n2)ccc1F ZINC001362909919 884958477 /nfs/dbraw/zinc/95/84/77/884958477.db2.gz ONPUQHAYBXZRHG-LLVKDONJSA-N -1 1 321.312 1.049 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](C)[C@@H](C)COC)n[n-]1 ZINC001362934587 885024367 /nfs/dbraw/zinc/02/43/67/885024367.db2.gz KDYYADPIRVBEJR-GUBZILKMSA-N -1 1 312.370 1.077 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](C)[C@@H](C)COC)[n-]1 ZINC001362934587 885024385 /nfs/dbraw/zinc/02/43/85/885024385.db2.gz KDYYADPIRVBEJR-GUBZILKMSA-N -1 1 312.370 1.077 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](C)[C@@H](C)COC)n1 ZINC001362934587 885024403 /nfs/dbraw/zinc/02/44/03/885024403.db2.gz KDYYADPIRVBEJR-GUBZILKMSA-N -1 1 312.370 1.077 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2cccnc2C)n[n-]1 ZINC001362941161 885039822 /nfs/dbraw/zinc/03/98/22/885039822.db2.gz ZDZVQFKGAIEXBE-JTQLQIEISA-N -1 1 317.349 1.105 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2cccnc2C)n1 ZINC001362941161 885039837 /nfs/dbraw/zinc/03/98/37/885039837.db2.gz ZDZVQFKGAIEXBE-JTQLQIEISA-N -1 1 317.349 1.105 20 0 DDADMM O=C(N[C@H](c1ncccn1)C1CC1)c1cnc(C2CC2)[n-]c1=O ZINC001362956792 885081047 /nfs/dbraw/zinc/08/10/47/885081047.db2.gz XOCAYXWUZDKLBK-LBPRGKRZSA-N -1 1 311.345 1.731 20 0 DDADMM C[C@H](CNC(=O)c1ccsn1)N(C)C(=O)c1ncccc1[O-] ZINC001383013919 885116761 /nfs/dbraw/zinc/11/67/61/885116761.db2.gz JRDMCDFGLYMYSK-SECBINFHSA-N -1 1 320.374 1.134 20 0 DDADMM CCC[C@@H](O)CC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001362972693 885118630 /nfs/dbraw/zinc/11/86/30/885118630.db2.gz UCAWMXRJQBTOIV-AXFHLTTASA-N -1 1 324.343 1.596 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cc(C3CC3)no2)n1 ZINC001362978907 885132814 /nfs/dbraw/zinc/13/28/14/885132814.db2.gz QYVUGJFJCRAUID-UHFFFAOYSA-N -1 1 304.306 1.633 20 0 DDADMM O=C(NC[C@@H](CO)CC1CCOCC1)c1ccc(F)c([O-])c1 ZINC001363091731 885442097 /nfs/dbraw/zinc/44/20/97/885442097.db2.gz NDOINFXHKSKWPD-LBPRGKRZSA-N -1 1 311.353 1.686 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc([S@](C)=O)cc2)n1 ZINC001363209447 885720197 /nfs/dbraw/zinc/72/01/97/885720197.db2.gz AHCSUAYPZVFIBH-QFIPXVFZSA-N -1 1 321.358 1.576 20 0 DDADMM COc1cccc([C@@H]2C[C@H]2C(=O)N2CC[C@H](c3nn[n-]n3)C2)c1 ZINC001363221354 885747522 /nfs/dbraw/zinc/74/75/22/885747522.db2.gz WJRBYZJTTKFGJZ-FPMFFAJLSA-N -1 1 313.361 1.328 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@@H](C)n2cccc2)n[n-]1 ZINC001363231596 885773800 /nfs/dbraw/zinc/77/38/00/885773800.db2.gz NYWPOMRAPJWXCM-GHMZBOCLSA-N -1 1 319.365 1.611 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@@H](C)n2cccc2)[n-]1 ZINC001363231596 885773819 /nfs/dbraw/zinc/77/38/19/885773819.db2.gz NYWPOMRAPJWXCM-GHMZBOCLSA-N -1 1 319.365 1.611 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@@H](C)n2cccc2)n1 ZINC001363231596 885773835 /nfs/dbraw/zinc/77/38/35/885773835.db2.gz NYWPOMRAPJWXCM-GHMZBOCLSA-N -1 1 319.365 1.611 20 0 DDADMM CCOc1ccccc1OCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363277018 885890926 /nfs/dbraw/zinc/89/09/26/885890926.db2.gz IKJMYMXTOPIGNI-UHFFFAOYSA-N -1 1 317.349 1.173 20 0 DDADMM O=C(NCc1nc2c(c(=O)[nH]1)COCC2)c1ccc([O-])cc1F ZINC001363293775 885935289 /nfs/dbraw/zinc/93/52/89/885935289.db2.gz OHPQUESNXWUFOM-UHFFFAOYSA-N -1 1 319.292 1.030 20 0 DDADMM COC[C@H](NC(=O)[C@@H]1C[C@H]1c1ccc(Cl)cc1)c1nn[n-]n1 ZINC001363415098 886274302 /nfs/dbraw/zinc/27/43/02/886274302.db2.gz NMMVRRJFPYIVGV-TUAOUCFPSA-N -1 1 321.768 1.461 20 0 DDADMM O=S1(=O)CC[C@H](N(Cc2ccc([O-])c(F)c2F)C2CC2)C1 ZINC001363440058 886340037 /nfs/dbraw/zinc/34/00/37/886340037.db2.gz USLSHABNXPNAKB-NSHDSACASA-N -1 1 317.357 1.822 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cnc(SC)[n-]c2=O)C[C@H](CC)O1 ZINC001363448206 886364492 /nfs/dbraw/zinc/36/44/92/886364492.db2.gz AIPFLRQBMJQLCR-AOOOYVTPSA-N -1 1 311.407 1.934 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](CO)c1cccnc1 ZINC001363453746 886380838 /nfs/dbraw/zinc/38/08/38/886380838.db2.gz WGHWALKQKWWPHE-LBPRGKRZSA-N -1 1 316.361 1.472 20 0 DDADMM CCc1nnc(C(=O)NCc2cc(=O)[n-]c(SC)n2)s1 ZINC001363458204 886394598 /nfs/dbraw/zinc/39/45/98/886394598.db2.gz PGGGTHFMBOTOSQ-UHFFFAOYSA-N -1 1 311.392 1.248 20 0 DDADMM CSc1nc(CNC(=O)c2cccc(C)c2O)cc(=O)[n-]1 ZINC001363458216 886395213 /nfs/dbraw/zinc/39/52/13/886395213.db2.gz PODLQJZAJGCAKX-UHFFFAOYSA-N -1 1 305.359 1.848 20 0 DDADMM COCn1cc(NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)cn1 ZINC001363461202 886403411 /nfs/dbraw/zinc/40/34/11/886403411.db2.gz PHMBPOUITPBYFR-UHFFFAOYSA-N -1 1 319.365 1.841 20 0 DDADMM COC(=O)NCCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001363499338 886494116 /nfs/dbraw/zinc/49/41/16/886494116.db2.gz VAFTUBMZYGNLFB-UHFFFAOYSA-N -1 1 304.306 1.263 20 0 DDADMM O=C(c1ccco1)N1CCN(Cc2cc(Cl)ncc2[O-])CC1 ZINC001233033457 886741379 /nfs/dbraw/zinc/74/13/79/886741379.db2.gz OOLKDUPGXYFYBJ-UHFFFAOYSA-N -1 1 321.764 1.992 20 0 DDADMM O=C(NCCc1cccc2c1OCCO2)c1ccc([O-])cn1 ZINC001363608238 886753855 /nfs/dbraw/zinc/75/38/55/886753855.db2.gz IBNPDMAUWOWTIY-UHFFFAOYSA-N -1 1 300.314 1.531 20 0 DDADMM COC(=O)CCC1(NC(=O)CCCc2nn[n-]n2)CCCCC1 ZINC001363632672 886823541 /nfs/dbraw/zinc/82/35/41/886823541.db2.gz QGADEPMFJLZIJI-UHFFFAOYSA-N -1 1 323.397 1.295 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)CCCC(=O)C2CC2)[n-]c1=O ZINC001363632788 886823744 /nfs/dbraw/zinc/82/37/44/886823744.db2.gz QQNFXSVSGJUPKF-UHFFFAOYSA-N -1 1 321.377 1.692 20 0 DDADMM NC(=O)c1cc(C(=O)NCCc2c(F)cc([O-])cc2F)c[nH]1 ZINC001363635768 886833264 /nfs/dbraw/zinc/83/32/64/886833264.db2.gz ZDUDENULAKNQPL-UHFFFAOYSA-N -1 1 309.272 1.070 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2C[C@H](C)C[C@@H](C)C2)[n-]n1 ZINC001363766971 887171189 /nfs/dbraw/zinc/17/11/89/887171189.db2.gz IKNNGMDTANININ-RKDXNWHRSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2C[C@H](C)C[C@@H](C)C2)n[n-]1 ZINC001363766971 887171197 /nfs/dbraw/zinc/17/11/97/887171197.db2.gz IKNNGMDTANININ-RKDXNWHRSA-N -1 1 315.395 1.299 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCC1(CC2CC2)CC1 ZINC001363772646 887183043 /nfs/dbraw/zinc/18/30/43/887183043.db2.gz KGBRKEIRNIHNMC-UHFFFAOYSA-N -1 1 303.362 1.214 20 0 DDADMM CCOC(=O)CC1CC(Oc2c(=O)[n-]cnc2C(=O)OC)C1 ZINC001233755904 887282602 /nfs/dbraw/zinc/28/26/02/887282602.db2.gz HANJFQSNXFQFNG-UHFFFAOYSA-N -1 1 310.306 1.079 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H]1CC[C@@H](C(=O)OC)CC1 ZINC001233757931 887284171 /nfs/dbraw/zinc/28/41/71/887284171.db2.gz HWGVCTRFKGQCLI-DTORHVGOSA-N -1 1 310.306 1.079 20 0 DDADMM O=C(CNc1ccccc1Cl)NC1(c2nn[n-]n2)CCC1 ZINC001363818585 887304482 /nfs/dbraw/zinc/30/44/82/887304482.db2.gz IJMCZEJTLQJAAD-UHFFFAOYSA-N -1 1 306.757 1.461 20 0 DDADMM COCCN1CCC(Oc2cc([O-])cc3occc(=O)c23)CC1 ZINC001233833470 887367988 /nfs/dbraw/zinc/36/79/88/887367988.db2.gz IOHMLQNUJNMHMP-UHFFFAOYSA-N -1 1 319.357 1.988 20 0 DDADMM C[C@@H]1CCC(F)(F)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC001363923103 887548028 /nfs/dbraw/zinc/54/80/28/887548028.db2.gz XNVSQKZAWYFVRP-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2cccc(F)c2)sn1 ZINC001363925785 887554874 /nfs/dbraw/zinc/55/48/74/887554874.db2.gz ACHDUAAUECCCBX-UHFFFAOYSA-N -1 1 302.352 1.769 20 0 DDADMM Cc1nc(C2([N-]S(=O)(=O)Cc3ccno3)CCCC2)no1 ZINC001363934449 887570309 /nfs/dbraw/zinc/57/03/09/887570309.db2.gz QNGORMAIDGCHEO-UHFFFAOYSA-N -1 1 312.351 1.255 20 0 DDADMM COC(=O)c1cccc2[n-]c(OC3CCN(C(C)=O)CC3)nc21 ZINC001234038676 887578254 /nfs/dbraw/zinc/57/82/54/887578254.db2.gz FPQCTAUNVATYKO-UHFFFAOYSA-N -1 1 317.345 1.739 20 0 DDADMM COC(=O)c1cccc2nc(OC3CCN(C(C)=O)CC3)[n-]c21 ZINC001234038676 887578267 /nfs/dbraw/zinc/57/82/67/887578267.db2.gz FPQCTAUNVATYKO-UHFFFAOYSA-N -1 1 317.345 1.739 20 0 DDADMM COc1nscc1S(=O)(=O)N[C@H]1CCC[N@@H+](C(C)C)C1 ZINC001363994813 887687127 /nfs/dbraw/zinc/68/71/27/887687127.db2.gz WOYSZBFAYGIWQR-JTQLQIEISA-N -1 1 319.452 1.303 20 0 DDADMM CC[C@@H](F)C(=O)N(CC)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001384597292 887743451 /nfs/dbraw/zinc/74/34/51/887743451.db2.gz CSRNXSJTWSILOK-GHMZBOCLSA-N -1 1 311.357 1.502 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@H]2CCO[C@@H](CC)C2)n[n-]1 ZINC001364064318 887835146 /nfs/dbraw/zinc/83/51/46/887835146.db2.gz QFUUBJVJFKLTNX-QWRGUYRKSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H]2CCO[C@@H](CC)C2)n1 ZINC001364064318 887835166 /nfs/dbraw/zinc/83/51/66/887835166.db2.gz QFUUBJVJFKLTNX-QWRGUYRKSA-N -1 1 324.381 1.193 20 0 DDADMM Cc1nc(C(N)=O)c(OC[C@H]2CC[C@]3(CCCCO3)O2)c(=O)[n-]1 ZINC001234469964 888001089 /nfs/dbraw/zinc/00/10/89/888001089.db2.gz MIEZLBUVIVPJQR-BMIGLBTASA-N -1 1 323.349 1.044 20 0 DDADMM Cc1nc(C(N)=O)c(OC[C@H]2CCc3ccccc3O2)c(=O)[n-]1 ZINC001234471653 888005453 /nfs/dbraw/zinc/00/54/53/888005453.db2.gz FZBOSFPZGOLMCH-LLVKDONJSA-N -1 1 315.329 1.362 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@H]1CCOC[C@@H]1F ZINC001234557393 888090031 /nfs/dbraw/zinc/09/00/31/888090031.db2.gz JSTGIAKRIMEFRW-IUCAKERBSA-N -1 1 314.313 1.598 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H]1C[C@@H](C(=O)OC)C1(C)C ZINC001234562078 888100656 /nfs/dbraw/zinc/10/06/56/888100656.db2.gz PYITZPYKBNSKBI-IUCAKERBSA-N -1 1 324.333 1.244 20 0 DDADMM COc1ccccc1C(C)(C)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001364205063 888140901 /nfs/dbraw/zinc/14/09/01/888140901.db2.gz NDFJWPXVXDJUQB-UHFFFAOYSA-N -1 1 317.345 1.490 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(c2cccc(F)c2)CC1 ZINC001364394646 888534515 /nfs/dbraw/zinc/53/45/15/888534515.db2.gz UQLRDYQYRMFZSF-UHFFFAOYSA-N -1 1 301.321 1.889 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)OC(C)(C)C)CN1C(=O)c1ccc([O-])cn1 ZINC001364414988 888585682 /nfs/dbraw/zinc/58/56/82/888585682.db2.gz HOACDOGFLRSSEB-WDEREUQCSA-N -1 1 321.377 1.915 20 0 DDADMM Cn1cc([C@H]2CCCN(C(=O)c3c(F)ccc([O-])c3F)C2)nn1 ZINC001364429285 888617234 /nfs/dbraw/zinc/61/72/34/888617234.db2.gz KHAWBAZOPRCKDM-VIFPVBQESA-N -1 1 322.315 1.819 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC[C@](CO)(C(F)(F)F)C1 ZINC001364743475 889321917 /nfs/dbraw/zinc/32/19/17/889321917.db2.gz UXTVHLHKXQJSQY-LBPRGKRZSA-N -1 1 307.243 1.918 20 0 DDADMM CCC[C@H](O)[C@H](CO)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC001364746116 889326718 /nfs/dbraw/zinc/32/67/18/889326718.db2.gz RVUQHEHRIKHBLH-AAEUAGOBSA-N -1 1 316.785 1.367 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCc2ccc(C)s2)[n-]n1 ZINC001364758851 889354264 /nfs/dbraw/zinc/35/42/64/889354264.db2.gz PFPDIXYKBYTNGA-UHFFFAOYSA-N -1 1 315.376 1.045 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCc2ccc(C)s2)n[n-]1 ZINC001364758851 889354271 /nfs/dbraw/zinc/35/42/71/889354271.db2.gz PFPDIXYKBYTNGA-UHFFFAOYSA-N -1 1 315.376 1.045 20 0 DDADMM O=S(=O)([N-]Cc1ccoc1)c1ncccc1Br ZINC001364767473 889368929 /nfs/dbraw/zinc/36/89/29/889368929.db2.gz RBLMGAQXMZRLDJ-UHFFFAOYSA-N -1 1 317.164 1.916 20 0 DDADMM CC[C@@H]1CCCCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364773461 889383649 /nfs/dbraw/zinc/38/36/49/889383649.db2.gz PJWSZPUZGGCSTK-SECBINFHSA-N -1 1 301.368 1.150 20 0 DDADMM CC[C@@H]1CCCCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364773461 889383665 /nfs/dbraw/zinc/38/36/65/889383665.db2.gz PJWSZPUZGGCSTK-SECBINFHSA-N -1 1 301.368 1.150 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(OCC(C)C)cc1)c1nn[n-]n1 ZINC001364816512 889474297 /nfs/dbraw/zinc/47/42/97/889474297.db2.gz WGWYYDADQYNJPW-CYBMUJFWSA-N -1 1 319.365 1.352 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]3CCC[C@@H]3O2)sn1 ZINC001364914875 889659102 /nfs/dbraw/zinc/65/91/02/889659102.db2.gz GWDZNKBHZIMHAX-UTLUCORTSA-N -1 1 318.420 1.388 20 0 DDADMM CCC[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001385994396 890121847 /nfs/dbraw/zinc/12/18/47/890121847.db2.gz WWGYFRLPSYGDPQ-SDDRHHMPSA-N -1 1 305.378 1.600 20 0 DDADMM CC[C@@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001386005256 890142569 /nfs/dbraw/zinc/14/25/69/890142569.db2.gz SGJCSXIEDQOMBM-LPWJVIDDSA-N -1 1 319.405 1.846 20 0 DDADMM CNC(=O)NC1CCN(Cc2ccc(F)cc2C(=O)[O-])CC1 ZINC001238241060 890147074 /nfs/dbraw/zinc/14/70/74/890147074.db2.gz IOZIPYLLNAZSOR-UHFFFAOYSA-N -1 1 309.341 1.417 20 0 DDADMM CN(C)C(=O)c1ccc(NC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC001290409616 913427545 /nfs/dbraw/zinc/42/75/45/913427545.db2.gz VXGWKDIHVXCIIR-UHFFFAOYSA-N -1 1 312.325 1.915 20 0 DDADMM CCC[C@H]([N-]c1nc(C(F)(F)F)c(C(=O)OCC)o1)C(N)=O ZINC001365227137 890392173 /nfs/dbraw/zinc/39/21/73/890392173.db2.gz IULMDFVKEZCZNS-LURJTMIESA-N -1 1 323.271 1.936 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC(C)(C)C1 ZINC001365376420 890689013 /nfs/dbraw/zinc/68/90/13/890689013.db2.gz WBDPKHLUCNLGAK-QMMMGPOBSA-N -1 1 301.368 1.053 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCO[C@@H](C(C)C)C2)sn1 ZINC001365380651 890696875 /nfs/dbraw/zinc/69/68/75/890696875.db2.gz MWALBUFZKACEAM-NXEZZACHSA-N -1 1 320.436 1.634 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2CCO[C@@H](C(C)C)C2)sn1 ZINC001365381138 890697329 /nfs/dbraw/zinc/69/73/29/890697329.db2.gz MWALBUFZKACEAM-VHSXEESVSA-N -1 1 320.436 1.634 20 0 DDADMM CC[C@@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)[C@H]1C ZINC001365428176 890781464 /nfs/dbraw/zinc/78/14/64/890781464.db2.gz JMJHSPUISZZSCE-WDEREUQCSA-N -1 1 320.393 1.585 20 0 DDADMM O=S(=O)([N-]Cc1cnc(C(F)(F)F)nc1)c1ccns1 ZINC001365435125 890792901 /nfs/dbraw/zinc/79/29/01/890792901.db2.gz MTWBXYGTYPUGSY-UHFFFAOYSA-N -1 1 324.309 1.430 20 0 DDADMM Cc1cc2c(c(-c3cnc(N)cc3C(F)(F)F)n1)C(=O)[N-]C2=O ZINC001241679421 891242820 /nfs/dbraw/zinc/24/28/20/891242820.db2.gz MXQDTNCLJFHTOF-UHFFFAOYSA-N -1 1 322.246 1.937 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]CC(F)(F)c2ccccc2)nn1 ZINC001365710989 891378337 /nfs/dbraw/zinc/37/83/37/891378337.db2.gz BFAOXFXQVOJEPW-UHFFFAOYSA-N -1 1 313.329 1.855 20 0 DDADMM Cc1nn2cccnc2c1S(=O)(=O)[N-][C@@H]1CCCC[C@H]1F ZINC001365714183 891383392 /nfs/dbraw/zinc/38/33/92/891383392.db2.gz AWHFFTYSVXERDO-GHMZBOCLSA-N -1 1 312.370 1.597 20 0 DDADMM O=C1Cc2cc(-c3ccc4c(c3)CCNC4=O)ccc2C(=O)[N-]1 ZINC001242326190 891392462 /nfs/dbraw/zinc/39/24/62/891392462.db2.gz HEWLDMAIZBYMLJ-UHFFFAOYSA-N -1 1 306.321 1.452 20 0 DDADMM NC(=O)[C@H]1CCCN1c1cc(-c2cccc([O-])c2Cl)ncn1 ZINC001242800042 891516983 /nfs/dbraw/zinc/51/69/83/891516983.db2.gz CGJXVFSMYIKAHS-LLVKDONJSA-N -1 1 318.764 1.957 20 0 DDADMM C[C@H]1C[C@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)c2nccn21 ZINC001365784261 891546159 /nfs/dbraw/zinc/54/61/59/891546159.db2.gz FLGITQRHXSFFDQ-CABZTGNLSA-N -1 1 311.349 1.366 20 0 DDADMM COc1nc(-c2cccc(C(=O)[O-])c2OC)nc2nc[nH]c21 ZINC001242922108 891552990 /nfs/dbraw/zinc/55/29/90/891552990.db2.gz VQMFCDKCJSXYFW-UHFFFAOYSA-N -1 1 300.274 1.735 20 0 DDADMM COc1nnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)cc1C ZINC001244790348 891923623 /nfs/dbraw/zinc/92/36/23/891923623.db2.gz LEHHWOCNCKXBLD-UHFFFAOYSA-N -1 1 324.362 1.236 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCNc2cnccn2)c1 ZINC001292157265 913618238 /nfs/dbraw/zinc/61/82/38/913618238.db2.gz FHNYJJMIUYZRKG-UHFFFAOYSA-N -1 1 300.318 1.145 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnc4c(c3)NCCO4)nc2[n-]1 ZINC001245551031 892174845 /nfs/dbraw/zinc/17/48/45/892174845.db2.gz XQPKOADWMNWMEN-UHFFFAOYSA-N -1 1 311.301 1.611 20 0 DDADMM COc1ccc(-c2cc(C(=O)n3nc(CO)cc3[O-])[nH]n2)cc1 ZINC001245925320 892270599 /nfs/dbraw/zinc/27/05/99/892270599.db2.gz KBEOJCCWKUOLKD-UHFFFAOYSA-N -1 1 314.301 1.168 20 0 DDADMM COc1cccc(C(=O)n2[n-]c(CC(F)(F)F)cc2=O)n1 ZINC001245951471 892286358 /nfs/dbraw/zinc/28/63/58/892286358.db2.gz DIRPRMNQEDYFIQ-UHFFFAOYSA-N -1 1 301.224 1.786 20 0 DDADMM CCOc1ccc(-c2noc(Cc3nnn[n-]3)n2)c(F)c1F ZINC001247139091 893121859 /nfs/dbraw/zinc/12/18/59/893121859.db2.gz BFYJUBZDFJRTDH-UHFFFAOYSA-N -1 1 308.248 1.517 20 0 DDADMM CCOc1ccc(-c2noc(Cc3nn[n-]n3)n2)c(F)c1F ZINC001247139091 893121867 /nfs/dbraw/zinc/12/18/67/893121867.db2.gz BFYJUBZDFJRTDH-UHFFFAOYSA-N -1 1 308.248 1.517 20 0 DDADMM CCCC(CCC)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001387716022 893714163 /nfs/dbraw/zinc/71/41/63/893714163.db2.gz JYIBPKCRSIWLMF-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1cnc([C@@H](C)NC[C@@H](C)NC(=O)c2ncccc2[O-])o1 ZINC001374752292 913801439 /nfs/dbraw/zinc/80/14/39/913801439.db2.gz SJZPJVVFVAYXCE-MWLCHTKSSA-N -1 1 304.350 1.553 20 0 DDADMM COCC(C)(C)CC(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001387909646 894131123 /nfs/dbraw/zinc/13/11/23/894131123.db2.gz DIMHSCPXMBKZMX-UHFFFAOYSA-N -1 1 323.393 1.038 20 0 DDADMM CN1Cc2c(cccc2Nc2c(N)[nH]c(=S)[n-]c2=O)C1=O ZINC001249937557 894169560 /nfs/dbraw/zinc/16/95/60/894169560.db2.gz OWNKEBUXLCMUNE-UHFFFAOYSA-N -1 1 303.347 1.382 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])Cc1cnoc1C ZINC001366604407 894223853 /nfs/dbraw/zinc/22/38/53/894223853.db2.gz FIQHFZCSJRJVNB-UHFFFAOYSA-N -1 1 304.350 1.336 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CCCCC1 ZINC001388054166 894463092 /nfs/dbraw/zinc/46/30/92/894463092.db2.gz DOBORYVVHDWGAB-CYBMUJFWSA-N -1 1 319.405 1.992 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccoc1C ZINC001388055139 894464773 /nfs/dbraw/zinc/46/47/73/894464773.db2.gz IGNZPFBLZTVUJI-LLVKDONJSA-N -1 1 317.345 1.627 20 0 DDADMM CCC(=O)NCCN(CC)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001388145003 894646963 /nfs/dbraw/zinc/64/69/63/894646963.db2.gz AGYQLWRNAWVPNM-UHFFFAOYSA-N -1 1 322.409 1.602 20 0 DDADMM CCN(CCNC(=O)[C@@H](C)C1CC1)C(=O)c1ncccc1[O-] ZINC001388228212 894826892 /nfs/dbraw/zinc/82/68/92/894826892.db2.gz OYKRDOKIPWMLNK-NSHDSACASA-N -1 1 305.378 1.412 20 0 DDADMM C[C@H](CNC(=O)c1cccs1)CNC(=O)c1ncccc1[O-] ZINC001388383576 895114250 /nfs/dbraw/zinc/11/42/50/895114250.db2.gz SMKGBRGKJHWRRE-SNVBAGLBSA-N -1 1 319.386 1.645 20 0 DDADMM COC(=O)c1ncc([N-]S(=O)(=O)Cc2ccccc2)cn1 ZINC001252816923 895472476 /nfs/dbraw/zinc/47/24/76/895472476.db2.gz KDGKXWKQKMCMBN-UHFFFAOYSA-N -1 1 307.331 1.205 20 0 DDADMM CCCC(=O)N[C@@H](C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001388706426 895737152 /nfs/dbraw/zinc/73/71/52/895737152.db2.gz CMRCFNAVGNLBAQ-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM O=c1nc2nc[nH]c2c(NC[C@@H](O)c2ccc(F)c(F)c2)[n-]1 ZINC001253380558 895806613 /nfs/dbraw/zinc/80/66/13/895806613.db2.gz PURQHQCUJRSVAJ-SECBINFHSA-N -1 1 307.260 1.434 20 0 DDADMM O=C(Nc1cnc(C2CC2)nc1)c1ccc2n[n-]c(=S)n2c1 ZINC001292798279 914011409 /nfs/dbraw/zinc/01/14/09/914011409.db2.gz HTZUXPGMWYJDQX-UHFFFAOYSA-N -1 1 312.358 1.938 20 0 DDADMM Cc1conc1CNC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001367342576 896491251 /nfs/dbraw/zinc/49/12/51/896491251.db2.gz DSQHWUUYIZQGDH-LLVKDONJSA-N -1 1 304.350 1.334 20 0 DDADMM CCn1cccc([N-]S(=O)(=O)c2ccc(F)cc2F)c1=O ZINC001256098147 897283035 /nfs/dbraw/zinc/28/30/35/897283035.db2.gz IEWGUYHXZWNDRP-UHFFFAOYSA-N -1 1 314.313 1.947 20 0 DDADMM CC[C@@H](C)[C@@H](C)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001389647404 897716616 /nfs/dbraw/zinc/71/66/16/897716616.db2.gz CEVNITVCFOAUOD-VXGBXAGGSA-N -1 1 319.405 1.658 20 0 DDADMM CCOc1ccc(C[N-]S(=O)(=O)c2c(C)noc2C)cn1 ZINC001258732555 898306103 /nfs/dbraw/zinc/30/61/03/898306103.db2.gz ZLAGSGFGMWFHFW-UHFFFAOYSA-N -1 1 311.363 1.564 20 0 DDADMM COc1cccc(C[N-]S(=O)(=O)c2c(C)noc2C)c1F ZINC001258771303 898317053 /nfs/dbraw/zinc/31/70/53/898317053.db2.gz SLFVEOQEKJLPNW-UHFFFAOYSA-N -1 1 314.338 1.918 20 0 DDADMM COc1ccc([N-]S(=O)(=O)CCC(F)(F)F)nc1OC ZINC001259866081 898877940 /nfs/dbraw/zinc/87/79/40/898877940.db2.gz MCPNBFRDWGPAFI-UHFFFAOYSA-N -1 1 314.285 1.793 20 0 DDADMM COCCS(=O)(=O)[N-]c1cccc2c1CN(C1CC1)C2=O ZINC001259973104 898998206 /nfs/dbraw/zinc/99/82/06/898998206.db2.gz SAEAHTOQAJSJNG-UHFFFAOYSA-N -1 1 310.375 1.193 20 0 DDADMM CN1CCC[C@@H]2CN(S(=O)(=O)c3ccc(C(=O)[O-])cc3)C[C@H]21 ZINC001260226564 899098890 /nfs/dbraw/zinc/09/88/90/899098890.db2.gz LNPHHWKDBIZBSA-TZMCWYRMSA-N -1 1 324.402 1.100 20 0 DDADMM CC[C@H](CNC(=O)CCC1CC1)NC(=O)c1ncccc1[O-] ZINC001390316141 899149327 /nfs/dbraw/zinc/14/93/27/899149327.db2.gz MIXYGILWIMLDCC-GFCCVEGCSA-N -1 1 305.378 1.602 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cccc2ccc(O)cc21 ZINC001260591668 899177919 /nfs/dbraw/zinc/17/79/19/899177919.db2.gz FRTSNMKSIUYFFY-UHFFFAOYSA-N -1 1 315.372 1.289 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N(Cc1cccs1)C1CC1 ZINC001262851976 900396667 /nfs/dbraw/zinc/39/66/67/900396667.db2.gz AOEWUPATLCCWKS-UHFFFAOYSA-N -1 1 302.367 1.226 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N(Cc1cccs1)C1CC1 ZINC001262851976 900396677 /nfs/dbraw/zinc/39/66/77/900396677.db2.gz AOEWUPATLCCWKS-UHFFFAOYSA-N -1 1 302.367 1.226 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C=Cc2ccco2)[n-]c1=O ZINC001263944353 900829082 /nfs/dbraw/zinc/82/90/82/900829082.db2.gz ALSHAZNSGRGWSD-VOTSOKGWSA-N -1 1 303.318 1.849 20 0 DDADMM CC/C=C(/C)C(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001263980880 900853386 /nfs/dbraw/zinc/85/33/86/900853386.db2.gz KJQMLZFKXUAKRO-HLLZIVDSSA-N -1 1 321.425 1.583 20 0 DDADMM CC(C)CCC(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001391363440 901583403 /nfs/dbraw/zinc/58/34/03/901583403.db2.gz QJQBUYYLMOMFIQ-UHFFFAOYSA-N -1 1 323.441 1.617 20 0 DDADMM C[C@@H](NC(=O)c1cccs1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369692648 901783405 /nfs/dbraw/zinc/78/34/05/901783405.db2.gz VTJSEEWSYOJNAH-ZJUUUORDSA-N -1 1 319.386 1.786 20 0 DDADMM Cc1csc(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)C)c1 ZINC001392209955 903541547 /nfs/dbraw/zinc/54/15/47/903541547.db2.gz IJZXSEAKHNQYKV-SNVBAGLBSA-N -1 1 323.422 1.424 20 0 DDADMM CC/C=C(/C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001281753068 905199576 /nfs/dbraw/zinc/19/95/76/905199576.db2.gz QMAOMCVLLODRAV-RLLAQXBXSA-N -1 1 317.389 1.720 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)CC1CC1 ZINC001371567348 905224587 /nfs/dbraw/zinc/22/45/87/905224587.db2.gz LKXRMGLGGPYDAU-GFCCVEGCSA-N -1 1 303.362 1.260 20 0 DDADMM CCC[C@@H](CC)C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001393039801 906026957 /nfs/dbraw/zinc/02/69/57/906026957.db2.gz ZNNWOFIYIZNOLV-NWDGAFQWSA-N -1 1 307.394 1.848 20 0 DDADMM CN(CCCNC(=O)c1ccccn1)C(=O)c1ncccc1[O-] ZINC001283477063 907417992 /nfs/dbraw/zinc/41/79/92/907417992.db2.gz BUUXGEYUMSPWCK-UHFFFAOYSA-N -1 1 314.345 1.074 20 0 DDADMM C[C@@H](NC(=O)C1CCCCCC1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001393920504 908252244 /nfs/dbraw/zinc/25/22/44/908252244.db2.gz DEXNQIVDPVOSSO-WDEREUQCSA-N -1 1 309.414 1.464 20 0 DDADMM CCC[C@@H](C)C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001373153433 909098414 /nfs/dbraw/zinc/09/84/14/909098414.db2.gz VTGIMAKETGQFFW-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM C/C=C(/C)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001284858654 909582420 /nfs/dbraw/zinc/58/24/20/909582420.db2.gz FNBCTZOKTMJMKO-LCKPPEIMSA-N -1 1 303.362 1.474 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1CC=CCC1)NC(=O)c1ncccc1[O-] ZINC001285635802 910934939 /nfs/dbraw/zinc/93/49/39/910934939.db2.gz VEFZSGSQUDQSPB-VXGBXAGGSA-N -1 1 303.362 1.378 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C=C1CCC1 ZINC001285655494 910981930 /nfs/dbraw/zinc/98/19/30/910981930.db2.gz OXKMEMXYICIEQL-NSHDSACASA-N -1 1 303.362 1.474 20 0 DDADMM Cc1ncoc1C(=O)N[C@@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001394964628 911035082 /nfs/dbraw/zinc/03/50/82/911035082.db2.gz QJCJQYSHIVNTFO-BDAKNGLRSA-N -1 1 318.333 1.020 20 0 DDADMM CCC(=O)N[C@]1(CNC(=O)c2ncccc2[O-])CCCC[C@H]1C ZINC001375394329 915803562 /nfs/dbraw/zinc/80/35/62/915803562.db2.gz WWENGOZDFSGIHK-PXAZEXFGSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@H]1CC=CCC1 ZINC001295468529 915824918 /nfs/dbraw/zinc/82/49/18/915824918.db2.gz CASNSOIFSIFVTG-OLZOCXBDSA-N -1 1 317.389 1.768 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@]1(C)C[C@H]2C[C@H]2C1 ZINC001397418087 915984689 /nfs/dbraw/zinc/98/46/89/915984689.db2.gz DZEUBZVSISOHKQ-PXDYOCJYSA-N -1 1 317.389 1.458 20 0 DDADMM C[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)CCCF ZINC001397436794 916026462 /nfs/dbraw/zinc/02/64/62/916026462.db2.gz LDLVTWTXUYCPQG-VIFPVBQESA-N -1 1 324.356 1.044 20 0 DDADMM CCC(C)(C)CC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001376736151 919215548 /nfs/dbraw/zinc/21/55/48/919215548.db2.gz IHNDLBJWRYLGGV-UHFFFAOYSA-N -1 1 309.414 1.465 20 0 DDADMM CCC1(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)CCC1 ZINC001376769704 919318137 /nfs/dbraw/zinc/31/81/37/919318137.db2.gz ZTWZPIBZLCBQFQ-UHFFFAOYSA-N -1 1 307.398 1.219 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cc(C(C)C)on3)nc2n1 ZINC000622871352 365551782 /nfs/dbraw/zinc/55/17/82/365551782.db2.gz YTXCRYQGRAMTCF-UHFFFAOYSA-N -1 1 302.294 1.090 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)COC(C)(C)CC)nc2n1 ZINC000622993422 365586014 /nfs/dbraw/zinc/58/60/14/365586014.db2.gz MJFKZNMQEHSDNJ-UHFFFAOYSA-N -1 1 307.354 1.124 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC[C@H](OC)C3)nc2n1 ZINC000622993730 365586463 /nfs/dbraw/zinc/58/64/63/365586463.db2.gz YSRVMKCDAZZQSY-KOLCDFICSA-N -1 1 319.365 1.124 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC[C@@H](C)C3)nc2n1 ZINC000622994616 365588665 /nfs/dbraw/zinc/58/86/65/365588665.db2.gz VZVZLEOWRRXTSK-NXEZZACHSA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@]3(C)C(C)C)nc2n1 ZINC000622997091 365590461 /nfs/dbraw/zinc/59/04/61/365590461.db2.gz LCGBERNZXQLWJY-ZBEGNZNMSA-N -1 1 317.393 1.991 20 0 DDADMM COc1cc(C(=O)Nc2nnn[n-]2)ccc1OC1CCCC1 ZINC000076963884 185061402 /nfs/dbraw/zinc/06/14/02/185061402.db2.gz IPTRIQWGABEVFE-UHFFFAOYSA-N -1 1 303.322 1.782 20 0 DDADMM COc1cc(C(=O)Nc2nn[n-]n2)ccc1OC1CCCC1 ZINC000076963884 185061404 /nfs/dbraw/zinc/06/14/04/185061404.db2.gz IPTRIQWGABEVFE-UHFFFAOYSA-N -1 1 303.322 1.782 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCOC(C)(C)C3)cnc2n1 ZINC000076969725 185062556 /nfs/dbraw/zinc/06/25/56/185062556.db2.gz XUQDFBDJAFSWSH-UHFFFAOYSA-N -1 1 301.346 1.895 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CCOC(C)(C)C1)c2=O ZINC000076969725 185062557 /nfs/dbraw/zinc/06/25/57/185062557.db2.gz XUQDFBDJAFSWSH-UHFFFAOYSA-N -1 1 301.346 1.895 20 0 DDADMM CCC[C@H](NC(=O)COCc1cccc(C)c1)c1nn[n-]n1 ZINC000623020213 365601512 /nfs/dbraw/zinc/60/15/12/365601512.db2.gz CGGHOCUXWJZHOU-ZDUSSCGKSA-N -1 1 303.366 1.682 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1C[C@@H]2COC[C@H](C1)O2 ZINC000614527098 361907746 /nfs/dbraw/zinc/90/77/46/361907746.db2.gz BPBWVROKJGYPHJ-TXEJJXNPSA-N -1 1 300.314 1.180 20 0 DDADMM CC(C)(CNS(=O)(=O)c1c(F)cc(F)cc1F)C(=O)[O-] ZINC000164866419 197665109 /nfs/dbraw/zinc/66/51/09/197665109.db2.gz SNDNATYUXWMXMV-UHFFFAOYSA-N -1 1 311.281 1.493 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC[C@@H]1CCc2ccccc21 ZINC000451641455 231148553 /nfs/dbraw/zinc/14/85/53/231148553.db2.gz NKVWNDBBWWUWQJ-LBPRGKRZSA-N -1 1 321.402 1.794 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@@H]1CCc2ccccc21 ZINC000451641455 231148556 /nfs/dbraw/zinc/14/85/56/231148556.db2.gz NKVWNDBBWWUWQJ-LBPRGKRZSA-N -1 1 321.402 1.794 20 0 DDADMM CC(C)[C@H](NC(=O)c1cc(F)ccc1[O-])C(=O)N1CCCC1 ZINC000094149386 539177888 /nfs/dbraw/zinc/17/78/88/539177888.db2.gz IRTYLNKGCFHONW-AWEZNQCLSA-N -1 1 308.353 1.908 20 0 DDADMM CCn1ccnc1NC(=O)c1ccccc1[N-]S(=O)(=O)CC ZINC000414009974 529586523 /nfs/dbraw/zinc/58/65/23/529586523.db2.gz NVKRMFDVZAQAOG-UHFFFAOYSA-N -1 1 322.390 1.917 20 0 DDADMM CCc1cnc(N2CCN(C(=O)c3ncccc3[O-])CC2)s1 ZINC000332478349 529655805 /nfs/dbraw/zinc/65/58/05/529655805.db2.gz VQLBGCRDVSGWST-UHFFFAOYSA-N -1 1 318.402 1.769 20 0 DDADMM C[C@@](N)(C(=O)Nc1ccc(O)c(C(=O)[O-])c1)c1ccccc1 ZINC000315424696 539295279 /nfs/dbraw/zinc/29/52/79/539295279.db2.gz KKMHJIAWQPTEAF-INIZCTEOSA-N -1 1 300.314 1.903 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@]1(c2ccccc2)CCC(=O)NC1 ZINC000615220429 362201334 /nfs/dbraw/zinc/20/13/34/362201334.db2.gz VUALCKIKRLWCKT-MRXNPFEDSA-N -1 1 314.345 1.210 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C(C)(C)NC(=O)COC(C)(C)C ZINC000615226739 362204300 /nfs/dbraw/zinc/20/43/00/362204300.db2.gz BZVYZYGWYCYOSG-UHFFFAOYSA-N -1 1 312.370 1.072 20 0 DDADMM CN1CC[C@@H](C[N-]S(=O)(=O)c2sccc2F)CC1=O ZINC000425180083 529794324 /nfs/dbraw/zinc/79/43/24/529794324.db2.gz DBZQWKQIRIASJV-MRVPVSSYSA-N -1 1 306.384 1.034 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(F)ccc2C)co1 ZINC000157930199 290734894 /nfs/dbraw/zinc/73/48/94/290734894.db2.gz LUKWCJAWQMXGRA-UHFFFAOYSA-N -1 1 312.322 1.888 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCC[C@H]1CCCC[C@H]1O ZINC000330871648 232125227 /nfs/dbraw/zinc/12/52/27/232125227.db2.gz CCGVXSIIVNCHTJ-GHMZBOCLSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCC[C@H]1CCCC[C@H]1O ZINC000330871648 232125231 /nfs/dbraw/zinc/12/52/31/232125231.db2.gz CCGVXSIIVNCHTJ-GHMZBOCLSA-N -1 1 317.411 1.005 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CCNC(=O)CC2)cc(Cl)c1[O-] ZINC000616361577 362659953 /nfs/dbraw/zinc/65/99/53/362659953.db2.gz GQNPCUKNHXLOOX-VIFPVBQESA-N -1 1 312.753 1.453 20 0 DDADMM CCc1nc(C(=O)Nc2ccncc2[O-])nn1-c1ccccc1 ZINC000358333837 299123945 /nfs/dbraw/zinc/12/39/45/299123945.db2.gz FVFXGRRVLSXXII-UHFFFAOYSA-N -1 1 309.329 1.605 20 0 DDADMM Cn1[n-]cc2c(=O)c(C(=O)N3CCN(C)c4ccccc43)cnc1-2 ZINC000358356365 299129379 /nfs/dbraw/zinc/12/93/79/299129379.db2.gz YRRDTHSQDWBTJN-UHFFFAOYSA-N -1 1 323.356 1.771 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3CCOCC3)c(=O)c2c(OC)c1 ZINC000623359930 365830976 /nfs/dbraw/zinc/83/09/76/365830976.db2.gz JUQLFBJKMVPIPT-UHFFFAOYSA-N -1 1 318.329 1.018 20 0 DDADMM NC(=O)c1ccc(S(=O)(=O)[N-]c2ccc3c(c2)CCO3)cc1 ZINC000342000645 282525176 /nfs/dbraw/zinc/52/51/76/282525176.db2.gz CCNLCOVWZVTNPT-UHFFFAOYSA-N -1 1 318.354 1.521 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000286998488 219262054 /nfs/dbraw/zinc/26/20/54/219262054.db2.gz BAUFKYTWOIMJSO-VQWCDGFZSA-N -1 1 319.365 1.051 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccc(C(C)=O)s1 ZINC000342670747 282604855 /nfs/dbraw/zinc/60/48/55/282604855.db2.gz TYKXYQOEVRRJJL-UHFFFAOYSA-N -1 1 321.358 1.535 20 0 DDADMM CN(CCc1nccs1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287172824 219359450 /nfs/dbraw/zinc/35/94/50/219359450.db2.gz NCZRKZUIDSBDMG-WQRHYEAKSA-N -1 1 316.390 1.505 20 0 DDADMM CCC(CC)N1C[C@H](C(=O)[N-]OCc2cccnc2)CC1=O ZINC000155849028 186117502 /nfs/dbraw/zinc/11/75/02/186117502.db2.gz KUQMAAUEKMJGSX-CYBMUJFWSA-N -1 1 305.378 1.667 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000279845377 186505879 /nfs/dbraw/zinc/50/58/79/186505879.db2.gz FRLNYZKZDGCCOX-GZMMTYOYSA-N -1 1 323.418 1.852 20 0 DDADMM CCn1nccc1S(=O)(=O)Nc1ccc(C(=O)[O-])cc1C ZINC000471938976 233710781 /nfs/dbraw/zinc/71/07/81/233710781.db2.gz HRSPJGTYANFFFL-UHFFFAOYSA-N -1 1 309.347 1.710 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@H](C(C)C)CC1 ZINC000331697262 234007709 /nfs/dbraw/zinc/00/77/09/234007709.db2.gz YOENRONUVHGJJC-NSHDSACASA-N -1 1 301.412 1.842 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc3c(c2)CCC3)o1 ZINC000046673279 352458594 /nfs/dbraw/zinc/45/85/94/352458594.db2.gz ZAWTWRBATGWSQS-UHFFFAOYSA-N -1 1 320.370 1.929 20 0 DDADMM COc1cc(C)cc(C(=O)NCc2n[n-]c(=S)n2C)c1O ZINC000066626010 353008533 /nfs/dbraw/zinc/00/85/33/353008533.db2.gz KIKWAHLGUHCELD-UHFFFAOYSA-N -1 1 308.363 1.430 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CC[C@@H](F)C2)o1 ZINC000344906533 282867644 /nfs/dbraw/zinc/86/76/44/282867644.db2.gz KUGRRFGAMDKIKG-BDAKNGLRSA-N -1 1 305.327 1.625 20 0 DDADMM CC(=O)c1ccccc1C(=O)NCCn1c(C)n[n-]c1=S ZINC000073942475 353280015 /nfs/dbraw/zinc/28/00/15/353280015.db2.gz AHZOTEMTAVBFCN-UHFFFAOYSA-N -1 1 304.375 1.882 20 0 DDADMM COc1cccc(CC[N-]S(=O)(=O)c2c(C)onc2N)c1 ZINC000075490885 353364950 /nfs/dbraw/zinc/36/49/50/353364950.db2.gz LVYXTVNYRFAZPR-UHFFFAOYSA-N -1 1 311.363 1.095 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2nc3ccccc3o2)CC1 ZINC000131949454 354103666 /nfs/dbraw/zinc/10/36/66/354103666.db2.gz NVYDWQAXVXNTCW-UHFFFAOYSA-N -1 1 324.340 1.891 20 0 DDADMM C[C@@H]1CCN(Cc2cc(=O)oc3cc([O-])ccc23)CC[S@]1=O ZINC000277035837 213252638 /nfs/dbraw/zinc/25/26/38/213252638.db2.gz BKAKLGDYLBEAJZ-RKFFSXRUSA-N -1 1 321.398 1.842 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc(OC)c2OC)n1 ZINC000585681661 354827636 /nfs/dbraw/zinc/82/76/36/354827636.db2.gz IOUHXTASTPOWKZ-UHFFFAOYSA-N -1 1 319.317 1.856 20 0 DDADMM C[S@](=O)c1ccc(CNC(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000588639030 354922697 /nfs/dbraw/zinc/92/26/97/354922697.db2.gz VDVJNWDHSAGVMV-QFIPXVFZSA-N -1 1 317.366 1.629 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2cc(C)no2)c(F)c1 ZINC000588679458 354927728 /nfs/dbraw/zinc/92/77/28/354927728.db2.gz FSHCJMWDXPYHDC-UHFFFAOYSA-N -1 1 318.301 1.748 20 0 DDADMM Cc1noc2ncc(C(=O)NC3(c4nn[n-]n4)CCCC3)cc12 ZINC000346331725 283065974 /nfs/dbraw/zinc/06/59/74/283065974.db2.gz OESKNVCYBWOGFC-UHFFFAOYSA-N -1 1 313.321 1.244 20 0 DDADMM Cc1nc(S[C@@H](C)c2nc(N)nc(N(C)C)n2)[n-]c(=O)c1C ZINC000346718974 283146638 /nfs/dbraw/zinc/14/66/38/283146638.db2.gz XBGJFLGFZBQJGO-QMMMGPOBSA-N -1 1 321.410 1.316 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C)[C@H]2CCOC2)cc1C ZINC000595313691 356445217 /nfs/dbraw/zinc/44/52/17/356445217.db2.gz BNLQETASWMHVMT-ZJUUUORDSA-N -1 1 317.363 1.078 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2CCCS2)cc1C ZINC000595318214 356447589 /nfs/dbraw/zinc/44/75/89/356447589.db2.gz LXKFACGIMYGQQR-VIFPVBQESA-N -1 1 319.404 1.549 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC(=O)OC(C)(C)C ZINC000081752496 192320085 /nfs/dbraw/zinc/32/00/85/192320085.db2.gz AYXYRZGPUOZBAX-UHFFFAOYSA-N -1 1 323.393 1.447 20 0 DDADMM Cc1ccc(-n2[n-]c(C(=O)N(C)CC3CN(C)C3)cc2=O)cc1 ZINC000618192990 363496962 /nfs/dbraw/zinc/49/69/62/363496962.db2.gz FTQTXIKHDGTEEC-UHFFFAOYSA-N -1 1 314.389 1.108 20 0 DDADMM Cn1[n-]c(CN(CC(=O)OC(C)(C)C)CC(C)(C)C)nc1=O ZINC000595827240 356665969 /nfs/dbraw/zinc/66/59/69/356665969.db2.gz NAOVFTKDWSHWRD-UHFFFAOYSA-N -1 1 312.414 1.298 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3cccnc3C)no2)o1 ZINC000347579130 283235492 /nfs/dbraw/zinc/23/54/92/283235492.db2.gz UAGBWPZTPLZHOH-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]2CCCC[C@@H]12 ZINC000598194449 357492762 /nfs/dbraw/zinc/49/27/62/357492762.db2.gz ZLFUMKWDRUFNQO-YNEHKIRRSA-N -1 1 318.373 1.981 20 0 DDADMM CC(C)(C)OC(=O)C1(NC(=O)c2cncc([O-])c2)CCOCC1 ZINC000598524886 357618384 /nfs/dbraw/zinc/61/83/84/357618384.db2.gz JOIIHVRZDMLSQA-UHFFFAOYSA-N -1 1 322.361 1.408 20 0 DDADMM CCS[C@H](C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1)C(C)C ZINC000598837254 357739866 /nfs/dbraw/zinc/73/98/66/357739866.db2.gz CLUQQMJZWOJGTA-JTQLQIEISA-N -1 1 309.395 1.442 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3(SC)CCC3)nc2n1 ZINC000598893865 357752418 /nfs/dbraw/zinc/75/24/18/357752418.db2.gz ZMAHXBVXVYEQAX-UHFFFAOYSA-N -1 1 307.379 1.204 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-][C@@H](C)C2CC2)c1F ZINC000599207939 357851526 /nfs/dbraw/zinc/85/15/26/357851526.db2.gz UPXBUWVFYQAQTL-ZETCQYMHSA-N -1 1 319.329 1.828 20 0 DDADMM O=C(NCc1nn[n-]n1)c1csc(-c2ccccc2F)n1 ZINC000600498691 358242051 /nfs/dbraw/zinc/24/20/51/358242051.db2.gz XNMXVVGRQNFPGX-UHFFFAOYSA-N -1 1 304.310 1.392 20 0 DDADMM CC[C@@H](C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C(=O)OC ZINC000600674044 358279133 /nfs/dbraw/zinc/27/91/33/358279133.db2.gz CRTPYCNNQYIERE-LBPRGKRZSA-N -1 1 303.318 1.716 20 0 DDADMM C[C@H](C(=O)[O-])N1CCN(C(=O)CCCc2ccccc2)CC1 ZINC000237417159 202258879 /nfs/dbraw/zinc/25/88/79/202258879.db2.gz KFNCOYLJJUBUQS-CQSZACIVSA-N -1 1 304.390 1.627 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2C[C@H]2c2cncn2C)n1 ZINC000600825266 358326973 /nfs/dbraw/zinc/32/69/73/358326973.db2.gz NJDVYXVJVCZUGY-RKDXNWHRSA-N -1 1 303.322 1.062 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)CC1(OC)CCCC1)C(=O)OC ZINC000601359431 358515393 /nfs/dbraw/zinc/51/53/93/358515393.db2.gz UDUKXJYPVQPHMZ-UHFFFAOYSA-N -1 1 321.439 1.597 20 0 DDADMM COC(=O)[C@](C)(CCF)[N-]S(=O)(=O)C[C@@H]1CCC1(F)F ZINC000601466635 358566513 /nfs/dbraw/zinc/56/65/13/358566513.db2.gz KPSIDYLGQYMFJV-WPRPVWTQSA-N -1 1 317.329 1.242 20 0 DDADMM C[C@@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC[S@@]1=O ZINC000601534433 358591172 /nfs/dbraw/zinc/59/11/72/358591172.db2.gz UPCYUUKKAZNYBL-SBKAZYGRSA-N -1 1 315.822 1.958 20 0 DDADMM CCOC(=O)c1cnc(-n2[n-]c3c(c2=O)[C@H](C)CC3)nc1C ZINC000601937685 358744679 /nfs/dbraw/zinc/74/46/79/358744679.db2.gz DIJZYSMFLKUFJX-PRHODGIISA-N -1 1 302.334 1.710 20 0 DDADMM CCOC(=O)c1ccc(-n2[n-]cc(CC(=O)OC)c2=O)cc1C ZINC000601947778 358748394 /nfs/dbraw/zinc/74/83/94/358748394.db2.gz VNXBMPRSBWHVPI-NSHDSACASA-N -1 1 318.329 1.683 20 0 DDADMM CN1Cc2c(cccc2[N-]S(=O)(=O)CCOCC2CC2)C1=O ZINC000565651845 304082433 /nfs/dbraw/zinc/08/24/33/304082433.db2.gz OLXJWTRGLNYLBF-UHFFFAOYSA-N -1 1 324.402 1.441 20 0 DDADMM C[C@@H]1CCC[C@H](C(=O)OC(C)(C)C)N1Cc1nc(=O)n(C)[n-]1 ZINC000602849635 359236383 /nfs/dbraw/zinc/23/63/83/359236383.db2.gz KNDBDENUTLDKEC-GHMZBOCLSA-N -1 1 310.398 1.193 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(C)c2F)n[n-]1 ZINC000603017054 359359274 /nfs/dbraw/zinc/35/92/74/359359274.db2.gz ORYGNEVWBVLUQY-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(C)c2F)n1 ZINC000603017054 359359275 /nfs/dbraw/zinc/35/92/75/359359275.db2.gz ORYGNEVWBVLUQY-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C)cc2Cl)n[n-]1 ZINC000603018349 359360116 /nfs/dbraw/zinc/36/01/16/359360116.db2.gz QGYYZGBQYKMCLO-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C)cc2Cl)n1 ZINC000603018349 359360119 /nfs/dbraw/zinc/36/01/19/359360119.db2.gz QGYYZGBQYKMCLO-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CCO1 ZINC000603051752 359376992 /nfs/dbraw/zinc/37/69/92/359376992.db2.gz LJZLETDIVDESFY-SNVBAGLBSA-N -1 1 321.358 1.124 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CC[S@](=O)C1 ZINC000603051796 359377943 /nfs/dbraw/zinc/37/79/43/359377943.db2.gz LUDYDWZQXFKQHW-UZJPJQLHSA-N -1 1 323.443 1.951 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](C)C2CCCC2)n[n-]1 ZINC000603154347 359442162 /nfs/dbraw/zinc/44/21/62/359442162.db2.gz LMFLEUDLXVTEFH-ZJUUUORDSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](C)C2CCCC2)[n-]1 ZINC000603154347 359442164 /nfs/dbraw/zinc/44/21/64/359442164.db2.gz LMFLEUDLXVTEFH-ZJUUUORDSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](C)C2CCCC2)n1 ZINC000603154347 359442166 /nfs/dbraw/zinc/44/21/66/359442166.db2.gz LMFLEUDLXVTEFH-ZJUUUORDSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C23CCC(CC2)C3)n[n-]1 ZINC000603157891 359443784 /nfs/dbraw/zinc/44/37/84/359443784.db2.gz WVBBDVXREDLVQX-SBTGLGLWSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C23CCC(CC2)C3)[n-]1 ZINC000603157891 359443789 /nfs/dbraw/zinc/44/37/89/359443789.db2.gz WVBBDVXREDLVQX-SBTGLGLWSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C23CCC(CC2)C3)n1 ZINC000603157891 359443796 /nfs/dbraw/zinc/44/37/96/359443796.db2.gz WVBBDVXREDLVQX-SBTGLGLWSA-N -1 1 306.366 1.739 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2ccc(OC)cc2F)n1 ZINC000358963164 299293152 /nfs/dbraw/zinc/29/31/52/299293152.db2.gz ZMXFRGLOBVMZNH-UHFFFAOYSA-N -1 1 300.315 1.316 20 0 DDADMM CCO[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccccc1 ZINC000187330813 200096234 /nfs/dbraw/zinc/09/62/34/200096234.db2.gz BCAZSISHRQEPDE-DGCLKSJQSA-N -1 1 303.366 1.539 20 0 DDADMM CC(C)c1nc(=NCC[C@@H](O)COCc2ccccc2)o[n-]1 ZINC000624760594 366546345 /nfs/dbraw/zinc/54/63/45/366546345.db2.gz AXOGQYTTZHOAMR-CQSZACIVSA-N -1 1 305.378 1.995 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2c(c1)OCO2 ZINC000605381563 359848195 /nfs/dbraw/zinc/84/81/95/359848195.db2.gz AENNVRGDYKAFOU-UHFFFAOYSA-N -1 1 301.306 1.128 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1Cc2ccccc21 ZINC000608356935 360165282 /nfs/dbraw/zinc/16/52/82/360165282.db2.gz YMHJYVUCQPPMSG-HUUCEWRRSA-N -1 1 305.341 1.745 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@](C)(O)C3CC3)cnc2n1 ZINC000608473292 360187420 /nfs/dbraw/zinc/18/74/20/360187420.db2.gz FOYYUZJKKRBFKC-MRXNPFEDSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@@](C)(O)C3CC3)c[n-]c2n1 ZINC000608473292 360187426 /nfs/dbraw/zinc/18/74/26/360187426.db2.gz FOYYUZJKKRBFKC-MRXNPFEDSA-N -1 1 301.346 1.535 20 0 DDADMM Cn1ncc(C2CCC2)c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612816154 361156218 /nfs/dbraw/zinc/15/62/18/361156218.db2.gz KKOOCMYZWXMXNA-LLVKDONJSA-N -1 1 315.381 1.221 20 0 DDADMM O=C(c1ccc2cccnc2n1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612817036 361156585 /nfs/dbraw/zinc/15/65/85/361156585.db2.gz PGLHNBQLWDBSMW-NSHDSACASA-N -1 1 309.333 1.163 20 0 DDADMM CCOC(=O)c1ccc(CNC(=O)c2ncc(C)cc2[O-])o1 ZINC000613083514 361261552 /nfs/dbraw/zinc/26/15/52/361261552.db2.gz YLKBCABREYVBDZ-UHFFFAOYSA-N -1 1 304.302 1.795 20 0 DDADMM CN1CCN(C(=O)c2cccc(-c3nc(=O)o[n-]3)c2)C(C)(C)C1 ZINC000613160797 361299602 /nfs/dbraw/zinc/29/96/02/361299602.db2.gz SHCBBXBEPFDYBN-UHFFFAOYSA-N -1 1 316.361 1.196 20 0 DDADMM COC(=O)[C@@H]1CC12CCN(C(=O)c1ccc([O-])c(F)c1)CC2 ZINC000613510052 361448646 /nfs/dbraw/zinc/44/86/46/361448646.db2.gz DOAVXCONBIGUGI-NSHDSACASA-N -1 1 307.321 1.947 20 0 DDADMM O=C(N=c1nc(C2CCC2)[nH][n-]1)C(=O)Nc1c(F)cccc1F ZINC000613496364 361442040 /nfs/dbraw/zinc/44/20/40/361442040.db2.gz ZBAZOSIBYMVWBJ-UHFFFAOYSA-N -1 1 321.287 1.350 20 0 DDADMM Cn1[n-]c(C(=O)NCCN2CC=C(C(C)(C)C)CC2)cc1=O ZINC000613623349 361493744 /nfs/dbraw/zinc/49/37/44/361493744.db2.gz YJFUUOMCLJWXDH-UHFFFAOYSA-N -1 1 306.410 1.534 20 0 DDADMM Cn1cc(C[C@@H]2CCC[C@@H]2NC(=O)c2cncc([O-])c2)cn1 ZINC000618932164 363809697 /nfs/dbraw/zinc/80/96/97/363809697.db2.gz VAVMQWHJHAKMPS-WFASDCNBSA-N -1 1 300.362 1.662 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(=O)c2ccccc2OC)c[n-]1 ZINC000619446445 364013940 /nfs/dbraw/zinc/01/39/40/364013940.db2.gz KMIXTBRRAZRYIK-UHFFFAOYSA-N -1 1 317.301 1.416 20 0 DDADMM CC(C)(C)N1C[C@H](CNC(=O)c2c([O-])cccc2F)CC1=O ZINC000620013987 364244378 /nfs/dbraw/zinc/24/43/78/364244378.db2.gz BTOVIVSOICPUDL-JTQLQIEISA-N -1 1 308.353 1.908 20 0 DDADMM CC(C)NC(=O)[C@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000620415803 364406456 /nfs/dbraw/zinc/40/64/56/364406456.db2.gz IIEWRUWNCPGEJD-NSHDSACASA-N -1 1 308.353 1.908 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)C[C@@H]2CC2(C)C)o1 ZINC000621054928 364659634 /nfs/dbraw/zinc/65/96/34/364659634.db2.gz SZZUJZOYBLJRAL-VIFPVBQESA-N -1 1 300.380 1.306 20 0 DDADMM COc1cc(NCCCN=c2nc([C@H](C)OC)[n-]s2)ncn1 ZINC000621328561 364806741 /nfs/dbraw/zinc/80/67/41/364806741.db2.gz XQMIXSHOOHHPSY-VIFPVBQESA-N -1 1 324.410 1.380 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2[C@H]3CCCCCC[C@H]23)n1 ZINC000621791936 365031559 /nfs/dbraw/zinc/03/15/59/365031559.db2.gz NLMLIZVSYMZURH-IUCAKERBSA-N -1 1 312.395 1.363 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C2[C@H]3CCCCCC[C@H]23)[n-]1 ZINC000621791936 365031561 /nfs/dbraw/zinc/03/15/61/365031561.db2.gz NLMLIZVSYMZURH-IUCAKERBSA-N -1 1 312.395 1.363 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3C[C@H](O)C34CCC4)cnc2n1 ZINC000622174966 365311838 /nfs/dbraw/zinc/31/18/38/365311838.db2.gz UZBBPRMVBXXSTM-STQMWFEESA-N -1 1 313.357 1.677 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H]1C[C@H](O)C13CCC3)c2=O ZINC000622174966 365311844 /nfs/dbraw/zinc/31/18/44/365311844.db2.gz UZBBPRMVBXXSTM-STQMWFEESA-N -1 1 313.357 1.677 20 0 DDADMM O=C(CC[C@@H]1CCCO1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622609517 365451060 /nfs/dbraw/zinc/45/10/60/365451060.db2.gz BFWVFMGAWXLMKY-JSGCOSHPSA-N -1 1 301.350 1.365 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000625493508 366963780 /nfs/dbraw/zinc/96/37/80/366963780.db2.gz ZXBDZDBDCAIKJE-JTQLQIEISA-N -1 1 313.306 1.208 20 0 DDADMM CC(C)[C@@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000275313153 212266554 /nfs/dbraw/zinc/26/65/54/212266554.db2.gz NTROBRGZQZYMOD-MNOVXSKESA-N -1 1 323.418 1.463 20 0 DDADMM COc1cc2[n-]cc(C(=O)N[C@H](C)CCO)c(=O)c2c(OC)c1 ZINC000626136455 367357191 /nfs/dbraw/zinc/35/71/91/367357191.db2.gz XQKSLUJRPXIARH-SECBINFHSA-N -1 1 320.345 1.046 20 0 DDADMM CCC(=O)CNC(=O)c1c[n-]c2cc(OC)cc(OC)c2c1=O ZINC000626307637 367474400 /nfs/dbraw/zinc/47/44/00/367474400.db2.gz LPBUXHHOPAPSLP-UHFFFAOYSA-N -1 1 318.329 1.254 20 0 DDADMM CC(C)[N@@H+]1CCc2nc(NC(=O)c3cc(=O)[nH]cn3)sc2C1 ZINC000626427425 367539678 /nfs/dbraw/zinc/53/96/78/367539678.db2.gz RCWVVAWHBWSKHJ-UHFFFAOYSA-N -1 1 319.390 1.658 20 0 DDADMM O=C([O-])[C@H]1C=C[C@H](NS(=O)(=O)c2c(F)cccc2F)C1 ZINC000092181583 193171221 /nfs/dbraw/zinc/17/12/21/193171221.db2.gz GDDIXXGJULPMHQ-YUMQZZPRSA-N -1 1 303.286 1.272 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(Cc2cn(-c3ccccc3)nn2)C1 ZINC000566360593 304148807 /nfs/dbraw/zinc/14/88/07/304148807.db2.gz VWSQIVGOEFXRKW-INIZCTEOSA-N -1 1 316.361 1.190 20 0 DDADMM CCC(=O)C[C@H](NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000275025448 212080253 /nfs/dbraw/zinc/08/02/53/212080253.db2.gz PGGVPWUYLSVOTP-JTQLQIEISA-N -1 1 321.301 1.066 20 0 DDADMM C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1cc(F)ccc1[O-] ZINC000094158330 193346961 /nfs/dbraw/zinc/34/69/61/193346961.db2.gz RZTWWFOVCOFAQV-IUCAKERBSA-N -1 1 301.339 1.179 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N2CCN(C)[C@@H](C)[C@H]2C)s[n-]1 ZINC000350655019 284235918 /nfs/dbraw/zinc/23/59/18/284235918.db2.gz VXVCXZZTBAZXSD-IVZWLZJFSA-N -1 1 313.427 1.224 20 0 DDADMM COCCCCC[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000264667313 204064915 /nfs/dbraw/zinc/06/49/15/204064915.db2.gz ZTCOBXMMKKGRIZ-UHFFFAOYSA-N -1 1 305.352 1.161 20 0 DDADMM NC(=O)N1CCC[C@H](CNC(=O)c2cc(Cl)ccc2[O-])C1 ZINC000288289446 220065887 /nfs/dbraw/zinc/06/58/87/220065887.db2.gz SNSUWSYPGSECTJ-SECBINFHSA-N -1 1 311.769 1.566 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCN2C2CC2)c1 ZINC000282929592 217332976 /nfs/dbraw/zinc/33/29/76/217332976.db2.gz ZAWPSOIVRVIQDN-CYBMUJFWSA-N -1 1 304.346 1.744 20 0 DDADMM O=C(c1cc2ccccc2o1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282931277 217333703 /nfs/dbraw/zinc/33/37/03/217333703.db2.gz OTFQYKYYQDNKCG-NSHDSACASA-N -1 1 312.329 1.864 20 0 DDADMM Cn1cc(Cl)cc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282989323 217373242 /nfs/dbraw/zinc/37/32/42/217373242.db2.gz URUBOJVLDJCAEW-QMMMGPOBSA-N -1 1 309.757 1.110 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccsc1)c1ccccc1 ZINC000351660196 284436803 /nfs/dbraw/zinc/43/68/03/284436803.db2.gz AKINSZBBJAPTGA-LBPRGKRZSA-N -1 1 311.384 1.941 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(NC(=O)Cc2cc(C)[nH]n2)c1 ZINC000267996035 206385166 /nfs/dbraw/zinc/38/51/66/206385166.db2.gz LAQAUSKABYFGNV-UHFFFAOYSA-N -1 1 323.374 1.399 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(C(=O)c2nccn2C)cc1 ZINC000154299584 248300947 /nfs/dbraw/zinc/30/09/47/248300947.db2.gz ZSUGTAPDQNYCQB-UHFFFAOYSA-N -1 1 323.374 1.039 20 0 DDADMM CC[C@H](C)[C@H](O)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425208349 307302059 /nfs/dbraw/zinc/30/20/59/307302059.db2.gz SLANBGSKTYALGY-JOYOIKCWSA-N -1 1 307.362 1.959 20 0 DDADMM C[C@H]1OCC[C@]1(O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284660334 218119132 /nfs/dbraw/zinc/11/91/32/218119132.db2.gz MRDZYLLZYJQJRH-PELKAZGASA-N -1 1 323.773 1.297 20 0 DDADMM Cc1noc([C@@H]2CN(C(=O)c3ccc([O-])cc3F)CCN2C)n1 ZINC000284718380 218146439 /nfs/dbraw/zinc/14/64/39/218146439.db2.gz SDIUHCMJQNNCMO-ZDUSSCGKSA-N -1 1 320.324 1.352 20 0 DDADMM CC[C@@H](C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)C(=O)OC ZINC000338768912 250129545 /nfs/dbraw/zinc/12/95/45/250129545.db2.gz TZYGHRFQJAPKSN-NSHDSACASA-N -1 1 303.318 1.920 20 0 DDADMM O=S(=O)([N-]C[C@H]1CN(C2CC2)CCO1)c1sccc1F ZINC000338902813 250190415 /nfs/dbraw/zinc/19/04/15/250190415.db2.gz WWHWJQQPZMLDJE-JTQLQIEISA-N -1 1 320.411 1.029 20 0 DDADMM NC(=O)[C@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338924314 250199322 /nfs/dbraw/zinc/19/93/22/250199322.db2.gz HDWNKVZGWFSDON-CBAPKCEASA-N -1 1 306.384 1.210 20 0 DDADMM O=S(=O)([N-]Cc1noc(C2CC2)n1)c1sccc1F ZINC000338937885 250207026 /nfs/dbraw/zinc/20/70/26/250207026.db2.gz CFTTYCFVZPRVMC-UHFFFAOYSA-N -1 1 303.340 1.626 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCOC[C@@H]2C)o1 ZINC000285219812 218338877 /nfs/dbraw/zinc/33/88/77/218338877.db2.gz ALIJHSGQRWNPEI-VHSXEESVSA-N -1 1 317.363 1.017 20 0 DDADMM CC(C)[C@@](C)([N-]S(=O)(=O)c1cc(F)ccc1F)C(N)=O ZINC000285338499 218387123 /nfs/dbraw/zinc/38/71/23/218387123.db2.gz ONDBODSASRFJQM-GFCCVEGCSA-N -1 1 306.334 1.143 20 0 DDADMM O=C(Cn1nc(-c2ccccc2)ccc1=O)Nc1ccncc1[O-] ZINC000109891602 194295825 /nfs/dbraw/zinc/29/58/25/194295825.db2.gz NKCLSYNIGUNOHP-UHFFFAOYSA-N -1 1 322.324 1.650 20 0 DDADMM CCN(Cc1nc2ccccc2c(=O)[nH]1)C(=O)c1cncc([O-])c1 ZINC000109932953 194299380 /nfs/dbraw/zinc/29/93/80/194299380.db2.gz FVALZQSDABYHOW-UHFFFAOYSA-N -1 1 324.340 1.686 20 0 DDADMM CCO[C@H]1C[C@H](O)C12CCN(C(=O)c1cncc([O-])c1)CC2 ZINC000157232481 197171429 /nfs/dbraw/zinc/17/14/29/197171429.db2.gz MUMKMJCYDMBXSO-KBPBESRZSA-N -1 1 306.362 1.179 20 0 DDADMM CC(C)(NC(=O)c1cnc2c(F)ccc(F)c2c1)c1nn[n-]n1 ZINC000340731062 251181220 /nfs/dbraw/zinc/18/12/20/251181220.db2.gz ACTMYKWMZSDFEJ-UHFFFAOYSA-N -1 1 318.287 1.691 20 0 DDADMM CC(C)(NC(=O)Cc1c[nH]c2cccc(Cl)c12)c1nn[n-]n1 ZINC000340732258 251181439 /nfs/dbraw/zinc/18/14/39/251181439.db2.gz FKTGRVIGXMGOKS-UHFFFAOYSA-N -1 1 318.768 1.928 20 0 DDADMM CCOc1cc(Oc2ccc([N-]S(C)(=O)=O)nc2)ncn1 ZINC000340859368 251247757 /nfs/dbraw/zinc/24/77/57/251247757.db2.gz AVMUSRSKXLFYDJ-UHFFFAOYSA-N -1 1 310.335 1.434 20 0 DDADMM CCCC[C@H](COC)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000119648998 195010201 /nfs/dbraw/zinc/01/02/01/195010201.db2.gz UUITXKFZODGSTA-SNVBAGLBSA-N -1 1 318.395 1.123 20 0 DDADMM CCc1ccc([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000352423055 285040581 /nfs/dbraw/zinc/04/05/81/285040581.db2.gz MNJNITXFIFLROO-JTQLQIEISA-N -1 1 311.345 1.471 20 0 DDADMM CCCCOCCC[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000269999647 208051868 /nfs/dbraw/zinc/05/18/68/208051868.db2.gz ITHJEZCQYLQKJN-UHFFFAOYSA-N -1 1 319.379 1.551 20 0 DDADMM CCCC[C@H](COC)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000270044302 208098638 /nfs/dbraw/zinc/09/86/38/208098638.db2.gz STXHETQKGPIVSH-LLVKDONJSA-N -1 1 319.379 1.550 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)CC(C)(C)OC)c1 ZINC000270050741 208106518 /nfs/dbraw/zinc/10/65/18/208106518.db2.gz UUFMHJMBSSLFRJ-VIFPVBQESA-N -1 1 319.379 1.548 20 0 DDADMM CCCNC(=O)C[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000270125623 208184305 /nfs/dbraw/zinc/18/43/05/208184305.db2.gz LIZGUPRDISCGGM-UHFFFAOYSA-N -1 1 306.259 1.699 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2C[C@H](O)C[C@H]2C)c(=O)[n-]1 ZINC000331013723 533072415 /nfs/dbraw/zinc/07/24/15/533072415.db2.gz OIFBCRIGOIZZGW-PSASIEDQSA-N -1 1 311.407 1.127 20 0 DDADMM CCOCc1nc([C@H](C)[N-]S(=O)(=O)c2ccc(C)o2)no1 ZINC000352528558 285117731 /nfs/dbraw/zinc/11/77/31/285117731.db2.gz CNNZRLZVXYEIMZ-VIFPVBQESA-N -1 1 315.351 1.547 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccc(F)cc2)C1)c1ncccc1[O-] ZINC000412954455 224111789 /nfs/dbraw/zinc/11/17/89/224111789.db2.gz SMWRVDMTXLFTQI-GFCCVEGCSA-N -1 1 301.321 1.935 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(Cl)ncc1Cl)c1nn[n-]n1 ZINC000157719834 197209383 /nfs/dbraw/zinc/20/93/83/197209383.db2.gz YOAYETKABQLSGP-LURJTMIESA-N -1 1 315.164 1.777 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ncc(C)s2)co1 ZINC000120669329 195212253 /nfs/dbraw/zinc/21/22/53/195212253.db2.gz FKRFETFRQWNHTB-UHFFFAOYSA-N -1 1 301.349 1.205 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2cc(Cl)ccc2F)CCOC1=O ZINC000289557247 221065107 /nfs/dbraw/zinc/06/51/07/221065107.db2.gz NLULTUSPXZIWNU-LLVKDONJSA-N -1 1 307.730 1.463 20 0 DDADMM CCN(Cc1cccc(F)c1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352793980 285304964 /nfs/dbraw/zinc/30/49/64/285304964.db2.gz GVKCOVOTWFCGAF-UHFFFAOYSA-N -1 1 315.308 1.219 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCOC2(CCCC2)C1 ZINC000294932716 224320790 /nfs/dbraw/zinc/32/07/90/224320790.db2.gz LMMGLLCUYNRFCA-UHFFFAOYSA-N -1 1 318.402 1.803 20 0 DDADMM C[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CCS(=O)(=O)C1 ZINC000289992816 221365628 /nfs/dbraw/zinc/36/56/28/221365628.db2.gz CJLBKSKXOOJBON-MRVPVSSYSA-N -1 1 319.329 1.177 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000416242667 533445802 /nfs/dbraw/zinc/44/58/02/533445802.db2.gz AAMQQKSGCBMHGU-DTWKUNHWSA-N -1 1 309.366 1.841 20 0 DDADMM COC(=O)[C@H]1CCCN1C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000330697040 533392532 /nfs/dbraw/zinc/39/25/32/533392532.db2.gz CVNICRNEBSVICU-SNVBAGLBSA-N -1 1 313.737 1.832 20 0 DDADMM COc1cc(C(=O)N2CCn3nc(C)nc3C2)cc(Cl)c1[O-] ZINC000332614478 533527097 /nfs/dbraw/zinc/52/70/97/533527097.db2.gz VCYKXPDTHZMPDG-UHFFFAOYSA-N -1 1 322.752 1.610 20 0 DDADMM COc1cc(C=CC(=O)NC2(c3nn[n-]n3)CC2)ccc1F ZINC000491973543 533463706 /nfs/dbraw/zinc/46/37/06/533463706.db2.gz QVHCLASBFQKDQT-HWKANZROSA-N -1 1 303.297 1.166 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)cn1)c1nc(C(C)(C)C)no1 ZINC000330921373 533488258 /nfs/dbraw/zinc/48/82/58/533488258.db2.gz QJQZNEQHYUTZGN-MRVPVSSYSA-N -1 1 313.383 1.140 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2cncc(F)c2)s1 ZINC000352979513 285432115 /nfs/dbraw/zinc/43/21/15/285432115.db2.gz CRAISYVJTLRBPE-ZCFIWIBFSA-N -1 1 302.356 1.420 20 0 DDADMM COC(=O)c1ccc(C(=O)Nc2cc(C(=O)OC)ccc2[O-])[nH]1 ZINC000353006827 285452202 /nfs/dbraw/zinc/45/22/02/285452202.db2.gz HTXGLMYCVNDOPB-UHFFFAOYSA-N -1 1 318.285 1.546 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1 ZINC000353713046 285885746 /nfs/dbraw/zinc/88/57/46/285885746.db2.gz SBNPDXNVIUHAJA-NSHDSACASA-N -1 1 311.345 1.293 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCc2c1cccc2C ZINC000569202393 304341215 /nfs/dbraw/zinc/34/12/15/304341215.db2.gz FNEOQHVKVBXHLQ-NSHDSACASA-N -1 1 315.329 1.622 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2Cc3ccccc3CN2)C[C@@H]1C(=O)[O-] ZINC000569355758 304350372 /nfs/dbraw/zinc/35/03/72/304350372.db2.gz YECCQQPONIZAQP-BYCMXARLSA-N -1 1 302.374 1.270 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(C2CCCCC2)C1 ZINC000333193885 286188093 /nfs/dbraw/zinc/18/80/93/286188093.db2.gz SVHXUJDKLSMTPJ-UHFFFAOYSA-N -1 1 307.350 1.549 20 0 DDADMM C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000631555185 422829631 /nfs/dbraw/zinc/82/96/31/422829631.db2.gz NOEJVKFCHLZZDF-CDMKHQONSA-N -1 1 322.792 1.714 20 0 DDADMM CO[C@@H](CNC(=O)CCc1nn[n-]n1)c1cccc(Cl)c1 ZINC000631554192 422830465 /nfs/dbraw/zinc/83/04/65/422830465.db2.gz XGHVUGPHCONQIQ-NSHDSACASA-N -1 1 309.757 1.290 20 0 DDADMM C[C@H](OC[C@H]1CCCO1)C(=O)Nc1nc(-c2ccco2)n[n-]1 ZINC000069127657 406683668 /nfs/dbraw/zinc/68/36/68/406683668.db2.gz GFFMWTJEGHKJQE-VHSXEESVSA-N -1 1 306.322 1.009 20 0 DDADMM COC(=O)CCNC(=O)c1cc(Br)ccc1[O-] ZINC000070683200 406821254 /nfs/dbraw/zinc/82/12/54/406821254.db2.gz NDQWGKOUSQNHNF-UHFFFAOYSA-N -1 1 302.124 1.448 20 0 DDADMM O=C(CSc1nc(C(F)(F)F)cc(=O)[n-]1)N1CCCCC1 ZINC000012502951 406846134 /nfs/dbraw/zinc/84/61/34/406846134.db2.gz UDBHGUOSOKOIAX-UHFFFAOYSA-N -1 1 321.324 1.893 20 0 in-vivo DDADMM O=C(NC1CCS(=O)(=O)CC1)c1cc2ccccc2cc1[O-] ZINC000077942524 407016563 /nfs/dbraw/zinc/01/65/63/407016563.db2.gz WMVHGJCSWCLGSM-UHFFFAOYSA-N -1 1 319.382 1.852 20 0 DDADMM NC(=O)c1ccc(F)c([N-]S(=O)(=O)C[C@@H]2CCCCO2)c1 ZINC000084650646 407091483 /nfs/dbraw/zinc/09/14/83/407091483.db2.gz VNZJZLXWLGIQIS-JTQLQIEISA-N -1 1 316.354 1.235 20 0 DDADMM O=C(CCS(=O)(=O)c1nc[n-]n1)Nc1ccc(Cl)cc1 ZINC000086169604 407108222 /nfs/dbraw/zinc/10/82/22/407108222.db2.gz FXQAESMPDSAQMB-UHFFFAOYSA-N -1 1 314.754 1.261 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)N[C@@H](C)c2ccccc2)n[n-]1 ZINC000086170409 407108702 /nfs/dbraw/zinc/10/87/02/407108702.db2.gz FXNZEILBEQUPDH-JTQLQIEISA-N -1 1 322.390 1.018 20 0 DDADMM O=C(NCCNC(=O)c1cc(F)ccc1[O-])c1ccc(F)cc1 ZINC000080004219 407068472 /nfs/dbraw/zinc/06/84/72/407068472.db2.gz DQLGLVPKQUOJSN-UHFFFAOYSA-N -1 1 320.295 1.830 20 0 DDADMM CC[C@H](NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O)C(=O)OC ZINC000084372669 407088443 /nfs/dbraw/zinc/08/84/43/407088443.db2.gz CMFARNINQNNGMU-VIFPVBQESA-N -1 1 309.366 1.057 20 0 DDADMM Cn1ccnc1SCc1cccc(C(=O)Nc2nnn[n-]2)c1 ZINC000102895286 407328219 /nfs/dbraw/zinc/32/82/19/407328219.db2.gz TYQROTBBOBKWTP-UHFFFAOYSA-N -1 1 315.362 1.478 20 0 DDADMM Cn1ccnc1SCc1cccc(C(=O)Nc2nn[n-]n2)c1 ZINC000102895286 407328220 /nfs/dbraw/zinc/32/82/20/407328220.db2.gz TYQROTBBOBKWTP-UHFFFAOYSA-N -1 1 315.362 1.478 20 0 DDADMM O=C(Cc1cccc(O)c1)NCCc1n[n-]c(=S)n1C1CC1 ZINC000067119208 407267336 /nfs/dbraw/zinc/26/73/36/407267336.db2.gz UNGDXEOKSSIRRC-UHFFFAOYSA-N -1 1 318.402 1.883 20 0 DDADMM COc1cccc(CCNC(=O)c2nc3ccccc3c(=O)[n-]2)c1 ZINC000122766031 407310165 /nfs/dbraw/zinc/31/01/65/407310165.db2.gz DMSDWRCUVHWXCY-UHFFFAOYSA-N -1 1 323.352 1.904 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/CN(C)C)c1 ZINC000265919133 407511821 /nfs/dbraw/zinc/51/18/21/407511821.db2.gz SSWOUHGZOIBFFN-AATRIKPKSA-N -1 1 312.391 1.242 20 0 DDADMM O=C(NCCn1cccn1)c1cc(Br)ccc1[O-] ZINC000226765706 407622559 /nfs/dbraw/zinc/62/25/59/407622559.db2.gz XUQWJTXOQCIITD-UHFFFAOYSA-N -1 1 310.151 1.781 20 0 DDADMM O=C([O-])[C@@H]1CCN(CC(=O)NCC2(c3ccccc3)CC2)C1 ZINC000178898731 407646478 /nfs/dbraw/zinc/64/64/78/407646478.db2.gz GYZNXNWENGCGCX-CYBMUJFWSA-N -1 1 302.374 1.241 20 0 DDADMM COC(=O)c1cccc(CS(=O)(=O)[N-]c2ccccn2)c1 ZINC000152829232 407698694 /nfs/dbraw/zinc/69/86/94/407698694.db2.gz NNRREHAFIGGSNQ-UHFFFAOYSA-N -1 1 306.343 1.810 20 0 DDADMM O=C1NCCc2ccc(S(=O)(=O)[N-]c3ccc(F)cc3)cc21 ZINC000116158215 407727354 /nfs/dbraw/zinc/72/73/54/407727354.db2.gz NEVHYCNTBVURMI-UHFFFAOYSA-N -1 1 320.345 1.912 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](C)C[C@H]2C)co1 ZINC000152998491 407731288 /nfs/dbraw/zinc/73/12/88/407731288.db2.gz ZKZBMPDGORTJLF-VHSXEESVSA-N -1 1 300.380 1.448 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1CCCN1Cc1ccccc1)CC(=O)[O-] ZINC000262195532 407762273 /nfs/dbraw/zinc/76/22/73/407762273.db2.gz IQGVMKXHCLGEQW-HIFRSBDPSA-N -1 1 304.390 1.878 20 0 DDADMM COc1cc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)ccn1 ZINC000179405693 407783828 /nfs/dbraw/zinc/78/38/28/407783828.db2.gz YIDGMVDQGNIIJP-UHFFFAOYSA-N -1 1 313.317 1.008 20 0 DDADMM CC(C)(C)C[C@@H](O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000172274490 407815614 /nfs/dbraw/zinc/81/56/14/407815614.db2.gz LYCHYDBEPNBPCD-SNVBAGLBSA-N -1 1 303.362 1.450 20 0 DDADMM Cc1ccc2c([n-]cc(C(=O)N[C@@H](CO)CC(C)C)c2=O)[nH+]1 ZINC000179690921 407821442 /nfs/dbraw/zinc/82/14/42/407821442.db2.gz YGNLLEIZGHOBIS-LLVKDONJSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H](CO)CC(C)C)c2=O ZINC000179690921 407821449 /nfs/dbraw/zinc/82/14/49/407821449.db2.gz YGNLLEIZGHOBIS-LLVKDONJSA-N -1 1 303.362 1.781 20 0 DDADMM COCCN1CCN(C(=O)c2cc(Cl)ccc2[O-])C[C@H]1C ZINC000117425115 407835279 /nfs/dbraw/zinc/83/52/79/407835279.db2.gz AMXQSZKSIOSQJN-LLVKDONJSA-N -1 1 312.797 1.838 20 0 DDADMM COC(=O)[C@]1(NC(=O)c2ccc3ccccc3c2[O-])CCOC1 ZINC000268035866 407862796 /nfs/dbraw/zinc/86/27/96/407862796.db2.gz ZTJBHVBBPLRCPQ-KRWDZBQOSA-N -1 1 315.325 1.607 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H]3CCC[C@@H]32)co1 ZINC000153645340 407868320 /nfs/dbraw/zinc/86/83/20/407868320.db2.gz YBQSJGBXBRVHSX-JQWIXIFHSA-N -1 1 312.391 1.592 20 0 DDADMM COc1ccccc1N1C[C@H](C(=O)[N-]OCC(C)C)CC1=O ZINC000153676713 407874141 /nfs/dbraw/zinc/87/41/41/407874141.db2.gz NHTRRWZYEZGIEC-GFCCVEGCSA-N -1 1 306.362 1.752 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)Oc1cccc(CO)c1)c1nn[n-]n1 ZINC000180553236 407898724 /nfs/dbraw/zinc/89/87/24/407898724.db2.gz CZYFGEGXPFOOON-MFKMUULPSA-N -1 1 319.365 1.117 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1Cc2cc(Cl)ccc2O1)c1nn[n-]n1 ZINC000268245177 407967447 /nfs/dbraw/zinc/96/74/47/407967447.db2.gz VXAMOHQIGBWJNX-PWSUYJOCSA-N -1 1 321.768 1.814 20 0 DDADMM Cc1csc([C@@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)n1 ZINC000119212203 408015279 /nfs/dbraw/zinc/01/52/79/408015279.db2.gz XWMQVUCKSMQUJB-MRVPVSSYSA-N -1 1 317.374 1.930 20 0 DDADMM COCc1nnc(S(=O)(=O)[C@@H](C)c2ccc(F)c(F)c2)[n-]1 ZINC000268499543 408070189 /nfs/dbraw/zinc/07/01/89/408070189.db2.gz RRSGEBUNYFHYRC-ZETCQYMHSA-N -1 1 317.317 1.764 20 0 DDADMM COCc1nc(S(=O)(=O)[C@@H](C)c2ccc(F)c(F)c2)n[n-]1 ZINC000268499543 408070192 /nfs/dbraw/zinc/07/01/92/408070192.db2.gz RRSGEBUNYFHYRC-ZETCQYMHSA-N -1 1 317.317 1.764 20 0 DDADMM CNC(=O)c1cccc(CCNC(=O)c2cc(F)ccc2[O-])c1 ZINC000175249050 408088094 /nfs/dbraw/zinc/08/80/94/408088094.db2.gz DLUOVINLSCXCSB-UHFFFAOYSA-N -1 1 316.332 1.863 20 0 DDADMM CC(C)[C@@H]1C(=O)NCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000182257398 408106679 /nfs/dbraw/zinc/10/66/79/408106679.db2.gz UVTKJJCRZNODQX-MRXNPFEDSA-N -1 1 316.357 1.455 20 0 DDADMM CCC[C@@H](NC(=O)CCC(=O)c1ccc(F)cc1)c1nn[n-]n1 ZINC000136623839 408113690 /nfs/dbraw/zinc/11/36/90/408113690.db2.gz GCFLHVDGDQCJIG-GFCCVEGCSA-N -1 1 319.340 1.959 20 0 DDADMM CCC[C@H](NC(=O)c1occc1Br)c1nn[n-]n1 ZINC000136664232 408115130 /nfs/dbraw/zinc/11/51/30/408115130.db2.gz SETZHPJZMFMRRQ-ZETCQYMHSA-N -1 1 314.143 1.826 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(C(=O)N(C)C)c1)c1nn[n-]n1 ZINC000136734619 408122130 /nfs/dbraw/zinc/12/21/30/408122130.db2.gz RGYZZWOEGRSVSM-GFCCVEGCSA-N -1 1 316.365 1.173 20 0 DDADMM CS(=O)(=O)Cc1ccccc1CNC(=O)c1cncc([O-])c1 ZINC000175437307 408130627 /nfs/dbraw/zinc/13/06/27/408130627.db2.gz MQCPAUNCFSRYMN-UHFFFAOYSA-N -1 1 320.370 1.262 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCC[C@@H](C(=O)OC)C1)c1nn[n-]n1 ZINC000246140554 408150801 /nfs/dbraw/zinc/15/08/01/408150801.db2.gz XIVDHIYLILUCTC-AXFHLTTASA-N -1 1 309.370 1.137 20 0 DDADMM CCN(Cc1ccccn1)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000175721205 408188832 /nfs/dbraw/zinc/18/88/32/408188832.db2.gz POYZIFHQEAUMHJ-UHFFFAOYSA-N -1 1 323.374 1.245 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(-c2ccco2)on1)c1nn[n-]n1 ZINC000273584636 408254313 /nfs/dbraw/zinc/25/43/13/408254313.db2.gz QCTNYXJICIAXPR-QMMMGPOBSA-N -1 1 302.294 1.323 20 0 DDADMM NC(=O)[C@H]1CC[C@@H](CNC(=O)c2cc3ccccc3cc2[O-])O1 ZINC000150893558 408221683 /nfs/dbraw/zinc/22/16/83/408221683.db2.gz KZJRTWBWUCUUDA-SWLSCSKDSA-N -1 1 314.341 1.308 20 0 DDADMM Cc1nc2ccccc2cc1C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000176259865 408325063 /nfs/dbraw/zinc/32/50/63/408325063.db2.gz QQDGTYLHULABAL-UHFFFAOYSA-N -1 1 311.345 1.317 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@@H]1C[C@@H]1c1cccc(F)c1F ZINC000176264554 408326389 /nfs/dbraw/zinc/32/63/89/408326389.db2.gz TUAYTCJZPWWOHD-NXEZZACHSA-N -1 1 322.315 1.229 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CC[C@H](C)C1 ZINC000263813020 408267593 /nfs/dbraw/zinc/26/75/93/408267593.db2.gz OPGMUBFMCOAOAS-NSHDSACASA-N -1 1 312.373 1.964 20 0 DDADMM CCS(=O)(=O)N(C)CC(=O)Nc1ccc([O-])c(Cl)c1 ZINC000182862260 408268980 /nfs/dbraw/zinc/26/89/80/408268980.db2.gz LBPNWBCAWBNBQY-UHFFFAOYSA-N -1 1 306.771 1.266 20 0 DDADMM COc1n[nH]c(NC(=O)c2ccc(C(F)(F)F)cc2[O-])n1 ZINC000182991012 408299721 /nfs/dbraw/zinc/29/97/21/408299721.db2.gz YRUJYSNKYWGKNK-UHFFFAOYSA-N -1 1 302.212 1.790 20 0 DDADMM O=C(N[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21)c1nc2ccccc2c(=O)[n-]1 ZINC000133832266 162066720 /nfs/dbraw/zinc/06/67/20/162066720.db2.gz NFVQXMFTDYZNAM-VNQPRFMTSA-N -1 1 317.348 1.991 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1Cc2cc(F)ccc2O1)c1nn[n-]n1 ZINC000136661789 162120890 /nfs/dbraw/zinc/12/08/90/162120890.db2.gz APRBEHHATZCNGP-JQWIXIFHSA-N -1 1 305.313 1.300 20 0 DDADMM Cc1cccc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)c1 ZINC000172601091 162286027 /nfs/dbraw/zinc/28/60/27/162286027.db2.gz JOEGLLRTHDZKLA-UHFFFAOYSA-N -1 1 310.313 1.893 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2cnc(C)nc2)c(=O)[n-]1 ZINC000264163673 408387038 /nfs/dbraw/zinc/38/70/38/408387038.db2.gz USHBQVSEQMWEEH-UHFFFAOYSA-N -1 1 319.390 1.882 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1cnn(CC(F)(F)F)c1 ZINC000176470275 408374989 /nfs/dbraw/zinc/37/49/89/408374989.db2.gz RCJWIVFNQCLVBJ-UHFFFAOYSA-N -1 1 315.317 1.612 20 0 DDADMM CCOc1ccc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183398697 408398225 /nfs/dbraw/zinc/39/82/25/408398225.db2.gz SZHMNLJZRMLNNG-NSHDSACASA-N -1 1 303.366 1.403 20 0 DDADMM CCCN(C)c1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183405574 408400276 /nfs/dbraw/zinc/40/02/76/408400276.db2.gz JLZMBOAMABAKFO-LBPRGKRZSA-N -1 1 316.409 1.922 20 0 DDADMM CC(C)CO[N-]C(=O)[C@@H]1CCC(=O)N(C)[C@H]1c1cnn(C)c1 ZINC000269715734 408402346 /nfs/dbraw/zinc/40/23/46/408402346.db2.gz PXSADBWTOIQRPA-OCCSQVGLSA-N -1 1 308.382 1.033 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCS[C@H](C)CC2)o1 ZINC000191399140 408419410 /nfs/dbraw/zinc/41/94/10/408419410.db2.gz ITTKGDCGLFKKGA-SECBINFHSA-N -1 1 318.420 1.155 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)Oc1cccc(C)c1)c1nn[n-]n1 ZINC000176752160 408433241 /nfs/dbraw/zinc/43/32/41/408433241.db2.gz YQNYDHZSGLLKKG-WCQYABFASA-N -1 1 303.366 1.933 20 0 DDADMM C[C@@H](CC(=O)NCCCc1nc(=O)[n-][nH]1)c1cccc(F)c1 ZINC000176758151 408434506 /nfs/dbraw/zinc/43/45/06/408434506.db2.gz OAOCYXFIPABPCB-JTQLQIEISA-N -1 1 306.341 1.480 20 0 DDADMM COC[C@H]1CN(C(=O)c2c([O-])cnc3ccccc32)CCO1 ZINC000176761904 408435201 /nfs/dbraw/zinc/43/52/01/408435201.db2.gz GTPQAUYVERLNMK-LLVKDONJSA-N -1 1 302.330 1.428 20 0 DDADMM NC(=O)COc1cccc(C[N-]C(=O)C(F)(F)C(F)F)c1 ZINC000176935447 408479495 /nfs/dbraw/zinc/47/94/95/408479495.db2.gz GPAYKISFKNFZOZ-UHFFFAOYSA-N -1 1 308.231 1.067 20 0 DDADMM CCSCc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)no1 ZINC000274729416 408512343 /nfs/dbraw/zinc/51/23/43/408512343.db2.gz CFOUCVACILDADN-MRVPVSSYSA-N -1 1 310.383 1.317 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC[C@@H]1CC ZINC000269894794 408462393 /nfs/dbraw/zinc/46/23/93/408462393.db2.gz YBHNPJCEGJKJNJ-ONGXEEELSA-N -1 1 315.395 1.443 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1n[nH]c(=O)c2ccccc21 ZINC000247472673 408476296 /nfs/dbraw/zinc/47/62/96/408476296.db2.gz FYBJWOIELFHBMX-UHFFFAOYSA-N -1 1 324.222 1.312 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1c1cc(F)ccc1F)c1nn[n-]n1 ZINC000248423888 408564179 /nfs/dbraw/zinc/56/41/79/408564179.db2.gz FYVYRSAJWZOLSY-UBHAPETDSA-N -1 1 321.331 1.844 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC[C@H](Cn2ccnn2)C1 ZINC000162665582 408723963 /nfs/dbraw/zinc/72/39/63/408723963.db2.gz SFWJVRWPERRXJN-NSHDSACASA-N -1 1 304.325 1.675 20 0 DDADMM C[C@H](CNS(=O)(=O)c1cccc(C(=O)[O-])c1)N(C)C1CC1 ZINC000184994871 408732166 /nfs/dbraw/zinc/73/21/66/408732166.db2.gz XYYHBIHWWHMDRC-SNVBAGLBSA-N -1 1 312.391 1.146 20 0 DDADMM C[C@@](CNC(=O)c1ccc2[nH]nnc2c1)(C(=O)[O-])c1ccccc1 ZINC000193104120 408695212 /nfs/dbraw/zinc/69/52/12/408695212.db2.gz ZJOPHFFOMCPDHJ-KRWDZBQOSA-N -1 1 324.340 1.730 20 0 DDADMM COC1(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)CCCCC1 ZINC000185455701 408810374 /nfs/dbraw/zinc/81/03/74/408810374.db2.gz QVYGCIUOOFFSHP-UHFFFAOYSA-N -1 1 318.377 1.753 20 0 DDADMM COc1ccc(-c2n[n-]c(S(=O)(=O)C[C@H]3CCCO3)n2)cc1 ZINC000195120537 408813307 /nfs/dbraw/zinc/81/33/07/408813307.db2.gz DIUORRIZLICBRX-GFCCVEGCSA-N -1 1 323.374 1.433 20 0 DDADMM COc1ccc(-c2nc(S(=O)(=O)C[C@H]3CCCO3)n[n-]2)cc1 ZINC000195120537 408813309 /nfs/dbraw/zinc/81/33/09/408813309.db2.gz DIUORRIZLICBRX-GFCCVEGCSA-N -1 1 323.374 1.433 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(C3CC3)n(C)n2)o1 ZINC000290787546 408831942 /nfs/dbraw/zinc/83/19/42/408831942.db2.gz CTQRHCBNKPNJQB-UHFFFAOYSA-N -1 1 324.362 1.051 20 0 DDADMM Cn1cncc1[C@@H]1C[C@H](NC(=O)c2c([O-])cccc2F)CCO1 ZINC000276322952 408836245 /nfs/dbraw/zinc/83/62/45/408836245.db2.gz PHESQSDKHSFKFO-YGRLFVJLSA-N -1 1 319.336 1.915 20 0 DDADMM C[C@@H](NC(=O)c1c(F)ccc([O-])c1F)[C@@H](C)N1CCOCC1 ZINC000280855044 408847190 /nfs/dbraw/zinc/84/71/90/408847190.db2.gz CWPQTRQRKIWWPX-NXEZZACHSA-N -1 1 314.332 1.509 20 0 DDADMM Cc1cc(C)n(CCCNC(=O)C(=O)c2ccc([O-])cc2)n1 ZINC000280868212 408850521 /nfs/dbraw/zinc/85/05/21/408850521.db2.gz YBRZUUBXCHMYFV-UHFFFAOYSA-N -1 1 301.346 1.595 20 0 DDADMM CCc1nc(CCNC(=O)C(=O)c2ccc([O-])cc2)cs1 ZINC000280923466 408859640 /nfs/dbraw/zinc/85/96/40/408859640.db2.gz JRKNBMBJEUISAU-UHFFFAOYSA-N -1 1 304.371 1.953 20 0 DDADMM C[C@H](CO[C@H]1CCOC1)NC(=O)c1c(F)ccc([O-])c1F ZINC000281045788 408870545 /nfs/dbraw/zinc/87/05/45/408870545.db2.gz QJIUWLOHYSNUHH-BDAKNGLRSA-N -1 1 301.289 1.594 20 0 DDADMM CCC[C@H](NC(=O)c1cnc(OC)c(Cl)c1)c1nn[n-]n1 ZINC000287625459 409066500 /nfs/dbraw/zinc/06/65/00/409066500.db2.gz TWVZQMJRHNCXSU-VIFPVBQESA-N -1 1 310.745 1.528 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2CC(C)C2)c(Br)n1C ZINC000287627115 409066559 /nfs/dbraw/zinc/06/65/59/409066559.db2.gz BEDMVANIKJPRSO-UHFFFAOYSA-N -1 1 322.228 1.568 20 0 DDADMM C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)c1ccc([O-])cc1F ZINC000287588636 409061014 /nfs/dbraw/zinc/06/10/14/409061014.db2.gz XWCIWUMNYCVXKR-RYUDHWBXSA-N -1 1 308.353 1.467 20 0 DDADMM CC(C)OC(=O)[C@H](C)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283295918 409131326 /nfs/dbraw/zinc/13/13/26/409131326.db2.gz FIPITEHMOIKNIW-SECBINFHSA-N -1 1 322.390 1.336 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCC[C@@](C)(O)CC3)ccnc1-2 ZINC000287789168 409093608 /nfs/dbraw/zinc/09/36/08/409093608.db2.gz XBKXATKPLHPFBM-PWDRBQJJSA-N -1 1 303.366 1.111 20 0 DDADMM Cc1nc(CC(=O)N=c2ccnc3n(C)[n-]cc2-3)c(C)s1 ZINC000283337159 409138909 /nfs/dbraw/zinc/13/89/09/409138909.db2.gz YGKKJUXZJXITIW-WQRHYEAKSA-N -1 1 301.375 1.596 20 0 DDADMM CC[C@]1(C)Oc2ccc(NC(=O)NN3CC(=O)[N-]C3=O)cc2O1 ZINC000279116387 409151776 /nfs/dbraw/zinc/15/17/76/409151776.db2.gz VDNBOGDWAFMQHE-CQSZACIVSA-N -1 1 320.305 1.172 20 0 DDADMM O=C(c1ccnc2ccncc21)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000294164745 409252294 /nfs/dbraw/zinc/25/22/94/409252294.db2.gz IURYFJUCEVUVOY-SNVBAGLBSA-N -1 1 324.344 1.061 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC(C)(C)OC)c1ccccc1 ZINC000289375736 409256931 /nfs/dbraw/zinc/25/69/31/409256931.db2.gz NBAUXEZFOCXOQO-LBPRGKRZSA-N -1 1 315.391 1.245 20 0 DDADMM C[C@H](CN(C)C(=O)[C@](C)(O)CCc1ccccc1)c1nn[n-]n1 ZINC000283722177 409203500 /nfs/dbraw/zinc/20/35/00/409203500.db2.gz DJLCJANKQOSMNR-MLGOLLRUSA-N -1 1 317.393 1.145 20 0 DDADMM C[C@@H](C[S@](C)=O)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284177136 409290897 /nfs/dbraw/zinc/29/08/97/409290897.db2.gz GLMOMFUENJVGCF-SKLCBMLGSA-N -1 1 313.803 1.524 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2cc(Cl)ccc2F)OCCO1 ZINC000284289088 409311762 /nfs/dbraw/zinc/31/17/62/409311762.db2.gz MMOAYRLNLFBNJH-UHFFFAOYSA-N -1 1 309.746 1.520 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc(Cl)ccc1F)OCC1CC1 ZINC000284080882 409271371 /nfs/dbraw/zinc/27/13/71/409271371.db2.gz ZUKBOIHAWYOEAF-UHFFFAOYSA-N -1 1 321.757 1.711 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)c1ccccn1 ZINC000295173696 409356967 /nfs/dbraw/zinc/35/69/67/409356967.db2.gz AUUJGTKOHHIWAS-UHFFFAOYSA-N -1 1 310.379 1.216 20 0 DDADMM COC[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)CC(C)(C)O1 ZINC000285121676 409400614 /nfs/dbraw/zinc/40/06/14/409400614.db2.gz RYGHLMSSSISUIC-VIFPVBQESA-N -1 1 315.316 1.936 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)OCCc1ccccc1)c1nn[n-]n1 ZINC000295981190 409389660 /nfs/dbraw/zinc/38/96/60/409389660.db2.gz LEXOQZPGZHMJBJ-CHWSQXEVSA-N -1 1 317.393 1.409 20 0 DDADMM O=C(c1ccc([O-])cc1F)N(CCCO)Cc1ccccn1 ZINC000280683433 409409129 /nfs/dbraw/zinc/40/91/29/409409129.db2.gz WIFUJUGRMPISCP-UHFFFAOYSA-N -1 1 304.321 1.951 20 0 DDADMM CC(C)(C)S(=O)(=O)CCNC(=O)c1c(F)ccc([O-])c1F ZINC000280718558 409422645 /nfs/dbraw/zinc/42/26/45/409422645.db2.gz HEKKEFZMRAJRGB-UHFFFAOYSA-N -1 1 321.345 1.614 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cc(C)ccc1NC(C)=O ZINC000290515477 409428754 /nfs/dbraw/zinc/42/87/54/409428754.db2.gz MGBVZUKGGNLSSU-UHFFFAOYSA-N -1 1 314.363 1.258 20 0 DDADMM CC1(C)CN(C(=O)c2ccc([O-])cc2F)C[C@]2(CCOC2)O1 ZINC000280747726 409437581 /nfs/dbraw/zinc/43/75/81/409437581.db2.gz ZYFXEDQKACVSDE-INIZCTEOSA-N -1 1 309.337 1.941 20 0 DDADMM CNC(=O)c1ccc(OC)c([N-]S(=O)(=O)CCCCF)c1 ZINC000295351112 409446934 /nfs/dbraw/zinc/44/69/34/409446934.db2.gz QRKGSECJAJKHRA-UHFFFAOYSA-N -1 1 318.370 1.546 20 0 DDADMM COC(=O)CCCO[N-]C(=O)c1c[nH]c2ccccc2c1=O ZINC000290564831 409447606 /nfs/dbraw/zinc/44/76/06/409447606.db2.gz IOERUGIKQIJSIQ-UHFFFAOYSA-N -1 1 304.302 1.555 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2ccc(C)c(C(N)=O)c2)[nH]n1 ZINC000317640256 164027956 /nfs/dbraw/zinc/02/79/56/164027956.db2.gz PYSKZWNHHXHAGU-UHFFFAOYSA-N -1 1 322.390 1.570 20 0 DDADMM CC(C)(C)c1nnc(CN2CCCC[C@@H]2c2nc(=O)[n-][nH]2)[nH]1 ZINC000295412445 409473143 /nfs/dbraw/zinc/47/31/43/409473143.db2.gz XNANWLCDPWUCEQ-SECBINFHSA-N -1 1 305.386 1.241 20 0 DDADMM O=C(c1ccc(Cl)c(F)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000362429912 164157333 /nfs/dbraw/zinc/15/73/33/164157333.db2.gz BFEXGKGJFGMBPX-SNVBAGLBSA-N -1 1 311.704 1.206 20 0 DDADMM CC[C@]1(C)CCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000407997350 164193285 /nfs/dbraw/zinc/19/32/85/164193285.db2.gz JKJOEWSBMWURHV-CYBMUJFWSA-N -1 1 300.380 1.450 20 0 DDADMM C[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@H](C)S1=O ZINC000408204247 164259199 /nfs/dbraw/zinc/25/91/99/164259199.db2.gz UELJYSOGJDPTEL-YUMQZZPRSA-N -1 1 303.330 1.652 20 0 DDADMM Cc1noc([C@H]2CCCN(C(=O)c3ncc(C)cc3[O-])C2)n1 ZINC000408223970 164266606 /nfs/dbraw/zinc/26/66/06/164266606.db2.gz MBZNACJHQVVOLV-NSHDSACASA-N -1 1 302.334 1.807 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)CC(=O)c1ccccc1 ZINC000290668247 409481870 /nfs/dbraw/zinc/48/18/70/409481870.db2.gz GENHFWPQDKAIHS-VIFPVBQESA-N -1 1 323.374 1.505 20 0 DDADMM C[C@@H]1CN(C)C[C@@H]1C(=O)N=c1nc(-c2ccccc2)[n-]s1 ZINC000341929131 409519458 /nfs/dbraw/zinc/51/94/58/409519458.db2.gz LSDSKBVZFUTHSK-PWSUYJOCSA-N -1 1 302.403 1.763 20 0 DDADMM O=C(NCCn1ccc(C(F)(F)F)n1)c1cncc([O-])c1 ZINC000636419945 422846841 /nfs/dbraw/zinc/84/68/41/422846841.db2.gz CWAWOVUYQNIFCH-UHFFFAOYSA-N -1 1 300.240 1.433 20 0 DDADMM C[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)c1ccncc1F ZINC000337980852 409624594 /nfs/dbraw/zinc/62/45/94/409624594.db2.gz NKNKOZSQQPYZKR-SECBINFHSA-N -1 1 312.304 1.948 20 0 DDADMM C[C@@H](CN(C)C(=O)c1coc2cc(F)ccc12)c1nn[n-]n1 ZINC000356761343 409580685 /nfs/dbraw/zinc/58/06/85/409580685.db2.gz HGRDZKULXGYBCN-QMMMGPOBSA-N -1 1 303.297 1.961 20 0 DDADMM CCc1ccc(CNC(=O)CNC(=O)c2ncccc2[O-])s1 ZINC000337944293 409587730 /nfs/dbraw/zinc/58/77/30/409587730.db2.gz XLZPPEYJEAJGTQ-UHFFFAOYSA-N -1 1 319.386 1.457 20 0 DDADMM CCC[C@@H](NC(=O)C1CCC(OC(F)F)CC1)c1nn[n-]n1 ZINC000354012198 409600539 /nfs/dbraw/zinc/60/05/39/409600539.db2.gz YAHCTMRCVYJFKS-UDNWOFFPSA-N -1 1 317.340 1.955 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@H](O)C1)c1cccc(F)c1F ZINC000305196230 409725845 /nfs/dbraw/zinc/72/58/45/409725845.db2.gz ISFHWZFULCAIBI-ZJUUUORDSA-N -1 1 305.346 1.794 20 0 DDADMM CC[C@H]1CCC[C@@H]1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331643395 409726235 /nfs/dbraw/zinc/72/62/35/409726235.db2.gz JPFVHCDWBPYIBN-QWRGUYRKSA-N -1 1 324.454 1.361 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2C)cn1 ZINC000338123496 409734287 /nfs/dbraw/zinc/73/42/87/409734287.db2.gz FXQALFGPPWMNCD-UHFFFAOYSA-N -1 1 321.358 1.901 20 0 DDADMM CC(C)(NC(=O)c1nc(-c2ccccc2)cs1)c1nn[n-]n1 ZINC000338177172 409779004 /nfs/dbraw/zinc/77/90/04/409779004.db2.gz PPQYVVGNNVJGTF-UHFFFAOYSA-N -1 1 314.374 1.988 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1c[nH]nc1-c1ccsc1 ZINC000357052702 409825493 /nfs/dbraw/zinc/82/54/93/409825493.db2.gz RJWJLJPYZPBRIY-UHFFFAOYSA-N -1 1 301.335 1.070 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1cccc2cc[nH]c21 ZINC000349454600 409843001 /nfs/dbraw/zinc/84/30/01/409843001.db2.gz LKWFSCASOYFPMW-UHFFFAOYSA-N -1 1 306.347 1.355 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cccc2cc[nH]c21 ZINC000349454600 409843009 /nfs/dbraw/zinc/84/30/09/409843009.db2.gz LKWFSCASOYFPMW-UHFFFAOYSA-N -1 1 306.347 1.355 20 0 DDADMM CCC[C@@]1(COC)CCCN1S(=O)(=O)c1c(C)o[n-]c1=N ZINC000349468682 409847770 /nfs/dbraw/zinc/84/77/70/409847770.db2.gz ZHIPOQLUDLIOHQ-ZDUSSCGKSA-N -1 1 317.411 1.365 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1[nH]c2ccc(F)cc2c1Cl ZINC000357044003 409817545 /nfs/dbraw/zinc/81/75/45/409817545.db2.gz HSFBRCBTIQHKJA-UHFFFAOYSA-N -1 1 320.715 1.893 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)[N-]c2ccn(-c3ccccc3)n2)C1 ZINC000332142124 409922068 /nfs/dbraw/zinc/92/20/68/409922068.db2.gz FGSPBXQBVNUVKS-GFCCVEGCSA-N -1 1 306.391 1.871 20 0 DDADMM COc1cccc(N2CC[C@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC000342900299 409984446 /nfs/dbraw/zinc/98/44/46/409984446.db2.gz IBDMMJOCEDIHIW-LBPRGKRZSA-N -1 1 313.357 1.805 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)c1ccc(OC2CCC2)cc1 ZINC000297755802 409984807 /nfs/dbraw/zinc/98/48/07/409984807.db2.gz ORIBLOUQIRMTIO-UHFFFAOYSA-N -1 1 315.333 1.348 20 0 DDADMM Cc1cc(NC(=O)c2ccco2)sc1C(=O)Nc1nnn[n-]1 ZINC000342854199 409950317 /nfs/dbraw/zinc/95/03/17/409950317.db2.gz ZMXUVLVBCLFVCB-UHFFFAOYSA-N -1 1 318.318 1.667 20 0 DDADMM Cc1cc(NC(=O)c2ccco2)sc1C(=O)Nc1nn[n-]n1 ZINC000342854199 409950322 /nfs/dbraw/zinc/95/03/22/409950322.db2.gz ZMXUVLVBCLFVCB-UHFFFAOYSA-N -1 1 318.318 1.667 20 0 DDADMM CC[C@H](O[C@@H]1CCC[C@@H](C)C1)C(=O)Nc1nn[nH]c1C(N)=O ZINC000297673047 409951012 /nfs/dbraw/zinc/95/10/12/409951012.db2.gz GMENXXIFHGLLAQ-BBBLOLIVSA-N -1 1 309.370 1.216 20 0 DDADMM CC(C)(C)c1ccc(CCC(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000297910875 410045298 /nfs/dbraw/zinc/04/52/98/410045298.db2.gz WYRRHQDKBYHLOO-UHFFFAOYSA-N -1 1 315.377 1.772 20 0 DDADMM Cc1ccnc2nc(-c3nc(-c4ccc([O-])cc4F)no3)nn21 ZINC000350814992 409997885 /nfs/dbraw/zinc/99/78/85/409997885.db2.gz GIAUTAZYRQQPPK-UHFFFAOYSA-N -1 1 312.264 1.994 20 0 DDADMM Cc1ccnc2nc(-c3nc(-c4ccc([O-])c(F)c4)no3)nn21 ZINC000350825540 410001831 /nfs/dbraw/zinc/00/18/31/410001831.db2.gz UWZVRJPBJBWJNQ-UHFFFAOYSA-N -1 1 312.264 1.994 20 0 DDADMM CCN1C[C@H](C)N(C(=O)N=c2[n-]nc(C(F)F)s2)C[C@H]1C ZINC000346640599 410049968 /nfs/dbraw/zinc/04/99/68/410049968.db2.gz UMHNYMSEROHBGB-SFYZADRCSA-N -1 1 319.381 1.844 20 0 DDADMM CC(C)(NC(=O)C[C@H]1OCCc2ccccc21)c1nn[n-]n1 ZINC000354748792 410061048 /nfs/dbraw/zinc/06/10/48/410061048.db2.gz CNMPBIOWKGEPKR-GFCCVEGCSA-N -1 1 301.350 1.255 20 0 DDADMM CCOc1ccccc1CCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000354769407 410073077 /nfs/dbraw/zinc/07/30/77/410073077.db2.gz BRTNMBPTSZRYGE-UHFFFAOYSA-N -1 1 303.366 1.583 20 0 DDADMM COC(=O)c1c(F)cccc1S(=O)(=O)[N-]C(C)(C)CF ZINC000351740000 410198137 /nfs/dbraw/zinc/19/81/37/410198137.db2.gz AQLBREMJHPLPPA-UHFFFAOYSA-N -1 1 307.318 1.639 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)Cc1nc(C(F)(F)F)cs1 ZINC000329548546 410355243 /nfs/dbraw/zinc/35/52/43/410355243.db2.gz CGPBSJXRISIZGI-UHFFFAOYSA-N -1 1 307.301 1.216 20 0 DDADMM CC(C)(CNC(=O)Cc1ccc([O-])c(Cl)c1)S(C)(=O)=O ZINC000631624192 422857403 /nfs/dbraw/zinc/85/74/03/422857403.db2.gz AMEDXWUIQZGLOQ-UHFFFAOYSA-N -1 1 319.810 1.528 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H](C)Cn3cncn3)c[n-]c2[nH+]1 ZINC000357845791 410293848 /nfs/dbraw/zinc/29/38/48/410293848.db2.gz BIOMTGBTSNMXDW-SNVBAGLBSA-N -1 1 312.333 1.054 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H](C)Cn3cncn3)c[n-]c2n1 ZINC000357845791 410293865 /nfs/dbraw/zinc/29/38/65/410293865.db2.gz BIOMTGBTSNMXDW-SNVBAGLBSA-N -1 1 312.333 1.054 20 0 DDADMM CC(C)Cn1ccnc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343361313 410393860 /nfs/dbraw/zinc/39/38/60/410393860.db2.gz WJGACKLFSQMGFB-UHFFFAOYSA-N -1 1 317.349 1.008 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC2(CCC2)[C@H]1C(C)C ZINC000333177470 410405375 /nfs/dbraw/zinc/40/53/75/410405375.db2.gz OZOYXNFJEWRXMK-LLVKDONJSA-N -1 1 307.350 1.547 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 ZINC000343440032 410456153 /nfs/dbraw/zinc/45/61/53/410456153.db2.gz BHNKMGWNNNTZBV-OIBJUYFYSA-N -1 1 311.704 1.709 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1CCO[C@@H]1c1ccc(Cl)c(F)c1 ZINC000343440032 410456159 /nfs/dbraw/zinc/45/61/59/410456159.db2.gz BHNKMGWNNNTZBV-OIBJUYFYSA-N -1 1 311.704 1.709 20 0 DDADMM C[C@@H]1[C@H](N=c2ccc(C(=O)NC3CCCC3)n[nH]2)CCC[N@@H+]1C ZINC000343448749 410461939 /nfs/dbraw/zinc/46/19/39/410461939.db2.gz CAORBZIYEZQVBF-TZMCWYRMSA-N -1 1 317.437 1.466 20 0 DDADMM CCOC(=O)[C@H](Cc1ccccc1)NC(=O)c1cncc([O-])c1 ZINC000339812607 410476995 /nfs/dbraw/zinc/47/69/95/410476995.db2.gz AJXSGEDMMFLXGD-HNNXBMFYSA-N -1 1 314.341 1.691 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2ccc(C(=O)[O-])c(C)c2)c1 ZINC000347265420 410430378 /nfs/dbraw/zinc/43/03/78/410430378.db2.gz LJVLCXAYBNHVDZ-UHFFFAOYSA-N -1 1 321.358 1.780 20 0 DDADMM O=C(N1CCC[C@H](c2nc(=O)[n-][nH]2)C1)C(F)(F)c1ccccc1 ZINC000298872031 410432121 /nfs/dbraw/zinc/43/21/21/410432121.db2.gz GVRQQBSMAJMWNP-JTQLQIEISA-N -1 1 322.315 1.596 20 0 DDADMM COCCOc1cccc(/C=C\c2cc(=O)n3[n-]cnc3n2)c1 ZINC000352035039 410434138 /nfs/dbraw/zinc/43/41/38/410434138.db2.gz LFDPWAWFGYJNQA-WAYWQWQTSA-N -1 1 312.329 1.613 20 0 DDADMM O=c1cc(/C=C/c2cnn(Cc3ccccc3)c2)nc2nc[n-]n21 ZINC000352039921 410434340 /nfs/dbraw/zinc/43/43/40/410434340.db2.gz LENYEZKXFVGKGN-VOTSOKGWSA-N -1 1 318.340 1.833 20 0 DDADMM COc1cc([C@@H](C)NCc2nc(=O)n(C)[n-]2)ccc1OC(C)C ZINC000347538306 410603552 /nfs/dbraw/zinc/60/35/52/410603552.db2.gz SNIZFGNKOODMRU-LLVKDONJSA-N -1 1 320.393 1.755 20 0 DDADMM O=C(CN1CCc2ccccc21)Nc1n[n-]c(C(F)(F)F)n1 ZINC000299133648 410539923 /nfs/dbraw/zinc/53/99/23/410539923.db2.gz FLPPVASFMQDZKU-UHFFFAOYSA-N -1 1 311.267 1.825 20 0 DDADMM CCN(CCC(=O)OC)C(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000343749064 410668167 /nfs/dbraw/zinc/66/81/67/410668167.db2.gz OCXTXKMZAQTWGV-UHFFFAOYSA-N -1 1 317.345 1.669 20 0 DDADMM CCN(CCC(=O)OC)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000343749064 410668172 /nfs/dbraw/zinc/66/81/72/410668172.db2.gz OCXTXKMZAQTWGV-UHFFFAOYSA-N -1 1 317.345 1.669 20 0 DDADMM CN(C[C@H]1CCCCO1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000355615598 410607851 /nfs/dbraw/zinc/60/78/51/410607851.db2.gz CRTSGYCEIJLTRU-SNVBAGLBSA-N -1 1 304.350 1.315 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCCNC(=O)C(C)C)sc1C ZINC000330482938 410720021 /nfs/dbraw/zinc/72/00/21/410720021.db2.gz YKBUFUUKVXGTPR-UHFFFAOYSA-N -1 1 319.452 1.201 20 0 DDADMM Cc1nc(-c2ccc(N3CC[C@@H](C(N)=O)C3)nc2)[n-]c(=O)c1C ZINC000301440300 410721288 /nfs/dbraw/zinc/72/12/88/410721288.db2.gz XVUGFDJEOBBNSY-GFCCVEGCSA-N -1 1 313.361 1.173 20 0 DDADMM CC(C)N(C)C(=O)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000330423302 410681659 /nfs/dbraw/zinc/68/16/59/410681659.db2.gz SYEFEWGQUAWDAP-UHFFFAOYSA-N -1 1 305.378 1.506 20 0 DDADMM CSCC[C@H](C)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000343771056 410684712 /nfs/dbraw/zinc/68/47/12/410684712.db2.gz FTTLIGMLXZQPBS-JTQLQIEISA-N -1 1 311.407 1.117 20 0 DDADMM Nc1ncncc1CNC(=O)c1ccc(Br)c([O-])c1 ZINC000344147983 410990142 /nfs/dbraw/zinc/99/01/42/410990142.db2.gz HGAPMHJDSDMTMH-UHFFFAOYSA-N -1 1 323.150 1.287 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H](C)C(=O)C(C)(C)C(=O)OC)n1 ZINC000344169703 411004013 /nfs/dbraw/zinc/00/40/13/411004013.db2.gz MIAXVDKBQNNJAZ-QMMMGPOBSA-N -1 1 312.391 1.994 20 0 DDADMM Cc1cnc(C(=O)N2CCC(Cn3ccnn3)CC2)c([O-])c1 ZINC000331193662 411009408 /nfs/dbraw/zinc/00/94/08/411009408.db2.gz KXFCEYXFZHNHER-UHFFFAOYSA-N -1 1 301.350 1.240 20 0 DDADMM CCc1nc(S(=O)(=O)[C@@H](C)C(=O)N(C(C)C)C(C)C)n[n-]1 ZINC000331268049 411049873 /nfs/dbraw/zinc/04/98/73/411049873.db2.gz DICSVYYXABJKAM-JTQLQIEISA-N -1 1 316.427 1.175 20 0 DDADMM Cc1nc(-c2ccc(NC[C@@](C)(O)CO)nc2)[n-]c(=O)c1C ZINC000360117235 411054890 /nfs/dbraw/zinc/05/48/90/411054890.db2.gz WKXSRECWWWFPFP-OAHLLOKOSA-N -1 1 304.350 1.016 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCN(c2cccc(Cl)c2)C1 ZINC000631661230 422871113 /nfs/dbraw/zinc/87/11/13/422871113.db2.gz UQPOQTYJQHHULX-LLVKDONJSA-N -1 1 320.784 1.181 20 0 DDADMM COCCN(CC(C)C)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631723964 422894058 /nfs/dbraw/zinc/89/40/58/422894058.db2.gz NOXKTMOBSDQFRB-UHFFFAOYSA-N -1 1 317.407 1.694 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](C)[C@H]2CCCC[C@@H]21 ZINC000580170784 422896079 /nfs/dbraw/zinc/89/60/79/422896079.db2.gz YULAFGCVEIJQKA-AXFHLTTASA-N -1 1 321.377 1.937 20 0 DDADMM CC[C@@H](C)Oc1cc(C)ccc1CNC(=O)CCc1nn[n-]n1 ZINC000631752738 422906281 /nfs/dbraw/zinc/90/62/81/422906281.db2.gz UDBLROZNMYBLBE-GFCCVEGCSA-N -1 1 317.393 1.934 20 0 DDADMM O=C([O-])CCN(C(=O)[C@H]1CCCc2[nH]ncc21)C1CCOCC1 ZINC000647734173 423020631 /nfs/dbraw/zinc/02/06/31/423020631.db2.gz VVKFSDCLVUKQOO-LBPRGKRZSA-N -1 1 321.377 1.312 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2nc(CCO)cs2)c1 ZINC000647803228 423054736 /nfs/dbraw/zinc/05/47/36/423054736.db2.gz HBZNESVBJTWIOT-UHFFFAOYSA-N -1 1 321.358 1.594 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cn(-c2cccc(Cl)c2)nn1 ZINC000647815761 423058484 /nfs/dbraw/zinc/05/84/84/423058484.db2.gz KAMPFDFLUNCBSN-UHFFFAOYSA-N -1 1 318.724 1.910 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CC23CCOCC3)sc1C ZINC000650211599 423087173 /nfs/dbraw/zinc/08/71/73/423087173.db2.gz WWEZFGCOANNKQZ-SNVBAGLBSA-N -1 1 302.421 1.607 20 0 DDADMM O=S(=O)([N-]Cc1ccncc1)c1c[nH]nc1C(F)(F)F ZINC000647889598 423101000 /nfs/dbraw/zinc/10/10/00/423101000.db2.gz FNTGVSQXODAELS-UHFFFAOYSA-N -1 1 306.269 1.302 20 0 DDADMM COCCCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000647895635 423104438 /nfs/dbraw/zinc/10/44/38/423104438.db2.gz BTLHGWJXYAECBA-UHFFFAOYSA-N -1 1 301.290 1.133 20 0 DDADMM O=S(=O)([N-][C@@H](CO)[C@H]1CCCOC1)c1cc(F)ccc1F ZINC000416613718 225004879 /nfs/dbraw/zinc/00/48/79/225004879.db2.gz RKMZIJAXPWHUMW-CABZTGNLSA-N -1 1 321.345 1.031 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@@H](CO)C1)c1cc(F)c(F)cc1F ZINC000295777428 225223925 /nfs/dbraw/zinc/22/39/25/225223925.db2.gz QGUYPPPWOXTXIE-SFYZADRCSA-N -1 1 309.309 1.543 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)c1ncccc1F ZINC000528574093 417361566 /nfs/dbraw/zinc/36/15/66/417361566.db2.gz NMRZJTGIKBPRHL-LURJTMIESA-N -1 1 300.315 1.139 20 0 DDADMM CSc1nc(CNC(=O)c2occ3c2CCC3)cc(=O)[n-]1 ZINC000640655680 423115608 /nfs/dbraw/zinc/11/56/08/423115608.db2.gz QEWIFPAARPUUSQ-UHFFFAOYSA-N -1 1 305.359 1.916 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2Cc3ccccc3O2)cc(=O)[n-]1 ZINC000640658762 423118257 /nfs/dbraw/zinc/11/82/57/423118257.db2.gz SFSFKOXSWIDXID-GFCCVEGCSA-N -1 1 317.370 1.524 20 0 DDADMM CCCc1ccc(S(=O)(=O)NN=c2nc(OC)cc[n-]2)cc1 ZINC000046071512 418444344 /nfs/dbraw/zinc/44/43/44/418444344.db2.gz PJMOWJHRFMDOHP-UHFFFAOYSA-N -1 1 322.390 1.743 20 0 DDADMM CCN1CCc2c(cccc2[N-]S(=O)(=O)c2ccnn2C)C1 ZINC000366365845 418465887 /nfs/dbraw/zinc/46/58/87/418465887.db2.gz GRTYFAQSYHFABL-UHFFFAOYSA-N -1 1 320.418 1.599 20 0 DDADMM O=C(Cn1cccn1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000360679871 418490756 /nfs/dbraw/zinc/49/07/56/418490756.db2.gz IPZWZONGHUEKNB-UHFFFAOYSA-N -1 1 313.357 1.710 20 0 DDADMM O=C(NCC1(N2CCOCC2)CCCCC1)c1ncccc1[O-] ZINC000194642441 418546411 /nfs/dbraw/zinc/54/64/11/418546411.db2.gz KMSKMQXCXLTJBJ-UHFFFAOYSA-N -1 1 319.405 1.552 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cn(C)nc2C2CC2)co1 ZINC000291057501 222104007 /nfs/dbraw/zinc/10/40/07/222104007.db2.gz KOQNKDYOOXJPGF-UHFFFAOYSA-N -1 1 324.362 1.051 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H](C)C(=O)NC[C@@H]2CCCO2)n1 ZINC000195073903 222190289 /nfs/dbraw/zinc/19/02/89/222190289.db2.gz XADYGANQIJZEEP-KOLCDFICSA-N -1 1 311.407 1.108 20 0 DDADMM O=C([O-])[C@@H]1CN(C[C@@H](O)CC(F)(F)F)Cc2ccccc21 ZINC000307990623 418590215 /nfs/dbraw/zinc/59/02/15/418590215.db2.gz WMUPLLNENNVKHU-CMPLNLGQSA-N -1 1 303.280 1.984 20 0 DDADMM COC[C@@H](C[N-]S(=O)(=O)c1c(F)cccc1Cl)OC ZINC000361976631 418733559 /nfs/dbraw/zinc/73/35/59/418733559.db2.gz KWRMHEUMLOLUME-MRVPVSSYSA-N -1 1 311.762 1.419 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)[C@@H]1Cc2ccccc2CN1 ZINC000397111767 418761557 /nfs/dbraw/zinc/76/15/57/418761557.db2.gz SAAHWTFDTHEKHK-DFBGVHRSSA-N -1 1 302.374 1.413 20 0 DDADMM O=S(=O)([N-][C@H]1[C@@H]2COC[C@@H]21)c1ncccc1C(F)(F)F ZINC000645691905 423143286 /nfs/dbraw/zinc/14/32/86/423143286.db2.gz UMYRPBHSMNYLPL-QMSLJYSESA-N -1 1 308.281 1.024 20 0 DDADMM Cc1ccccc1[C@@H](C)CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000364776184 418810421 /nfs/dbraw/zinc/81/04/21/418810421.db2.gz YVDZJEZSLHKXBE-GXTWGEPZSA-N -1 1 315.377 1.602 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(c2cccnc2)CCCC1)c1nn[n-]n1 ZINC000365991242 418928411 /nfs/dbraw/zinc/92/84/11/418928411.db2.gz TUXPCUZEBMJVGA-LBPRGKRZSA-N -1 1 314.393 1.669 20 0 DDADMM Cn1nccc1[C@@H](NCc1ccc(OC(F)F)cc1)C(=O)[O-] ZINC000424627809 228296458 /nfs/dbraw/zinc/29/64/58/228296458.db2.gz VSSQGSRVXMPZTM-GFCCVEGCSA-N -1 1 311.288 1.937 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000298176988 228395793 /nfs/dbraw/zinc/39/57/93/228395793.db2.gz WYWFYLPOJFTOCW-SNVBAGLBSA-N -1 1 320.393 1.126 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2ccc3n[nH]nc3c2)c1 ZINC000426301825 419432992 /nfs/dbraw/zinc/43/29/92/419432992.db2.gz FKNUMDJWLSNNBC-UHFFFAOYSA-N -1 1 318.314 1.457 20 0 DDADMM Cc1cccc2nc(-c3cncc(S(N)(=O)=O)c3)[n-]c(=O)c12 ZINC000427464725 419667495 /nfs/dbraw/zinc/66/74/95/419667495.db2.gz FHGGMWXNXZJSSP-UHFFFAOYSA-N -1 1 316.342 1.353 20 0 DDADMM C/C(=C/c1ccccc1)CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000427556032 419683417 /nfs/dbraw/zinc/68/34/17/419683417.db2.gz NCTRNNZOPMSRQK-WQLSENKSSA-N -1 1 323.356 1.593 20 0 DDADMM O=C(N=c1nc(-c2c(F)cccc2F)[nH][n-]1)c1ccncn1 ZINC000429068554 419967194 /nfs/dbraw/zinc/96/71/94/419967194.db2.gz CPQOFMLJRWTDHP-UHFFFAOYSA-N -1 1 302.244 1.214 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc(C(C)=O)s2)n1 ZINC000415625557 420127802 /nfs/dbraw/zinc/12/78/02/420127802.db2.gz DOLAQSYNLJBSCG-UHFFFAOYSA-N -1 1 308.319 1.498 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccc(C(N)=O)c(Cl)c1 ZINC000436481019 420330124 /nfs/dbraw/zinc/33/01/24/420330124.db2.gz ZEWVTMNARGYFFY-UHFFFAOYSA-N -1 1 320.798 1.606 20 0 DDADMM CCNC(=O)c1ccc([N-]S(=O)(=O)CC(C)(C)OC)cc1 ZINC000436479765 420330651 /nfs/dbraw/zinc/33/06/51/420330651.db2.gz XOQLMDMWEWRJLM-UHFFFAOYSA-N -1 1 314.407 1.603 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cnn3cccnc23)c1 ZINC000436504120 420334427 /nfs/dbraw/zinc/33/44/27/420334427.db2.gz KAGZDAZAZGGELN-UHFFFAOYSA-N -1 1 312.285 1.474 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cc(C(C)=O)c[nH]2)c1 ZINC000436504721 420335656 /nfs/dbraw/zinc/33/56/56/420335656.db2.gz NXTCNUZNTIDSGG-UHFFFAOYSA-N -1 1 302.286 1.962 20 0 DDADMM CCN(C(=O)c1ccc2ccccc2c1[O-])[C@H]1CC(=O)NC1=O ZINC000436530452 420336193 /nfs/dbraw/zinc/33/61/93/420336193.db2.gz ASLTWXTWUBGTGY-ZDUSSCGKSA-N -1 1 312.325 1.423 20 0 DDADMM CCN(C(=O)c1ccc2ccccc2c1[O-])[C@@H]1CC(=O)NC1=O ZINC000436530446 420336629 /nfs/dbraw/zinc/33/66/29/420336629.db2.gz ASLTWXTWUBGTGY-CYBMUJFWSA-N -1 1 312.325 1.423 20 0 DDADMM C[C@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1ccnn1C ZINC000425317600 420347520 /nfs/dbraw/zinc/34/75/20/420347520.db2.gz GMARLFYYYDQGTI-VIFPVBQESA-N -1 1 305.338 1.018 20 0 DDADMM CC(C)c1n[nH]cc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425326255 420349375 /nfs/dbraw/zinc/34/93/75/420349375.db2.gz ULARSPHGLFBABV-UHFFFAOYSA-N -1 1 305.338 1.642 20 0 DDADMM COCCO[C@H]1COCC[C@@H]1NC(=O)c1ccc([O-])cc1F ZINC000436678705 420353046 /nfs/dbraw/zinc/35/30/46/420353046.db2.gz IPLLBBFAIWFKHI-KBPBESRZSA-N -1 1 313.325 1.082 20 0 DDADMM CO[C@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C[C@@H]1OC ZINC000436734402 420360389 /nfs/dbraw/zinc/36/03/89/420360389.db2.gz CZCPOERKAAYSDZ-RYUDHWBXSA-N -1 1 319.279 1.897 20 0 DDADMM CO[C@H](CNC(=O)c1c[n-]c2c(cnn2C)c1=O)CC(C)C ZINC000416257362 420297716 /nfs/dbraw/zinc/29/77/16/420297716.db2.gz CAIKPSIVWAISAJ-JTQLQIEISA-N -1 1 306.366 1.465 20 0 DDADMM O=C(Nc1ccc(S(=O)(=O)CCO)cc1)c1ccccc1[O-] ZINC000436868055 420379883 /nfs/dbraw/zinc/37/98/83/420379883.db2.gz GPBLAZAUPHRPFF-UHFFFAOYSA-N -1 1 321.354 1.411 20 0 DDADMM CCn1ncnc1CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000436917245 420385507 /nfs/dbraw/zinc/38/55/07/420385507.db2.gz WUCQQGGPLDLAJF-UHFFFAOYSA-N -1 1 314.267 1.952 20 0 DDADMM C[C@@H](c1nc(Cc2ccccc2)no1)S(=O)(=O)c1nnc[n-]1 ZINC000444408706 230095895 /nfs/dbraw/zinc/09/58/95/230095895.db2.gz VDBMPIHBMCKMMK-VIFPVBQESA-N -1 1 319.346 1.313 20 0 DDADMM C[C@@H](c1nc(Cc2ccccc2)no1)S(=O)(=O)c1ncn[n-]1 ZINC000444408706 230095899 /nfs/dbraw/zinc/09/58/99/230095899.db2.gz VDBMPIHBMCKMMK-VIFPVBQESA-N -1 1 319.346 1.313 20 0 DDADMM C[C@@H](c1nc(Cc2ccccc2)no1)S(=O)(=O)c1nc[n-]n1 ZINC000444408706 230095902 /nfs/dbraw/zinc/09/59/02/230095902.db2.gz VDBMPIHBMCKMMK-VIFPVBQESA-N -1 1 319.346 1.313 20 0 DDADMM C[C@H]1CN(CC(F)(F)F)CCN(C(=O)c2ncccc2[O-])C1 ZINC000456632190 420518780 /nfs/dbraw/zinc/51/87/80/420518780.db2.gz CKLRCFWWQNGFLX-JTQLQIEISA-N -1 1 317.311 1.743 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc2c(n1)CCC2 ZINC000456640039 420520781 /nfs/dbraw/zinc/52/07/81/420520781.db2.gz LAFJJLRJBDSHLS-UHFFFAOYSA-N -1 1 312.329 1.039 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCC(C)(C)C(C)(C)O)c2=O ZINC000456799511 420546439 /nfs/dbraw/zinc/54/64/39/420546439.db2.gz ODOQTARENAEBNH-UHFFFAOYSA-N -1 1 306.366 1.201 20 0 DDADMM CCOc1cccc(CN(CCO)C(=O)c2ncccc2[O-])c1 ZINC000456966234 420577298 /nfs/dbraw/zinc/57/72/98/420577298.db2.gz NTRGDYYCMKPCSZ-UHFFFAOYSA-N -1 1 316.357 1.821 20 0 DDADMM COc1cccc(C=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000492688845 420629806 /nfs/dbraw/zinc/62/98/06/420629806.db2.gz RBOMOJJNQMOHKW-SKVAFPRGSA-N -1 1 301.350 1.484 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC[C@@H](O)[C@H]3C)cnc2n1 ZINC000452711838 420648411 /nfs/dbraw/zinc/64/84/11/420648411.db2.gz ZNCBIOOPHFSYJK-ZWNOBZJWSA-N -1 1 301.346 1.629 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC[C@@H](O)[C@H]3C)c[n-]c2n1 ZINC000452711838 420648416 /nfs/dbraw/zinc/64/84/16/420648416.db2.gz ZNCBIOOPHFSYJK-ZWNOBZJWSA-N -1 1 301.346 1.629 20 0 DDADMM COC[C@H](CNC(=O)c1cc(Cl)c([O-])c(OC)c1)OC ZINC000442802138 420718078 /nfs/dbraw/zinc/71/80/78/420718078.db2.gz OMMILZMGAFNKBY-VIFPVBQESA-N -1 1 303.742 1.445 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCCC[C@H](C)O)c(=O)[n-]1 ZINC000447610891 420785580 /nfs/dbraw/zinc/78/55/80/420785580.db2.gz UNRGNXKXNSJOEY-VIFPVBQESA-N -1 1 313.423 1.422 20 0 DDADMM COC(=O)N1CCC[C@@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000495546604 421021633 /nfs/dbraw/zinc/02/16/33/421021633.db2.gz TYBSNRHDBRHKLP-WDEREUQCSA-N -1 1 307.350 1.384 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C1 ZINC000495930034 421097081 /nfs/dbraw/zinc/09/70/81/421097081.db2.gz NYICSWDKLUPARB-QWRGUYRKSA-N -1 1 323.397 1.199 20 0 DDADMM COC(=O)c1c[n-]c(SC2CN(C(=O)OC(C)(C)C)C2)n1 ZINC000495958201 421103515 /nfs/dbraw/zinc/10/35/15/421103515.db2.gz UUUXMZDHIFSAFQ-UHFFFAOYSA-N -1 1 313.379 1.908 20 0 DDADMM COc1ccc2c(c1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC000456160090 421110493 /nfs/dbraw/zinc/11/04/93/421110493.db2.gz OXMLIGWTAKHFQY-UHFFFAOYSA-N -1 1 300.314 1.831 20 0 DDADMM CC(C)[C@@H]1CCCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544738461 421229328 /nfs/dbraw/zinc/22/93/28/421229328.db2.gz YFVIZBJXXQFKEF-WDEREUQCSA-N -1 1 303.366 1.362 20 0 DDADMM CCOC(=O)c1csc(=NCCN2CCO[C@H](CC)C2)[n-]1 ZINC000450483577 421202782 /nfs/dbraw/zinc/20/27/82/421202782.db2.gz JREQXHIXOJHURN-LLVKDONJSA-N -1 1 313.423 1.264 20 0 DDADMM CC(C)(C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C1CCC1 ZINC000450556865 421210075 /nfs/dbraw/zinc/21/00/75/421210075.db2.gz HLHGSJMBHPQAKU-UHFFFAOYSA-N -1 1 312.391 1.804 20 0 DDADMM CC(C)(C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C(C)(F)F ZINC000450559489 421210144 /nfs/dbraw/zinc/21/01/44/421210144.db2.gz JXOXVFQLNJTMGA-UHFFFAOYSA-N -1 1 322.333 1.660 20 0 DDADMM CC(C)C[C@H]1CCCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000545388584 421256706 /nfs/dbraw/zinc/25/67/06/421256706.db2.gz XKVCWXOXRWEAGI-GFCCVEGCSA-N -1 1 317.393 1.849 20 0 DDADMM CC(C)O[C@H](C(=O)NC1(c2nn[n-]n2)CC1)c1ccccc1 ZINC000560410970 421261501 /nfs/dbraw/zinc/26/15/01/421261501.db2.gz NJSGSEMLZROTMN-LBPRGKRZSA-N -1 1 301.350 1.471 20 0 DDADMM Cn1[n-]c(CN2CCN(C3CC3)c3cc(Cl)ccc32)nc1=O ZINC000547947726 421380037 /nfs/dbraw/zinc/38/00/37/421380037.db2.gz VFSVBMOYISVYLW-UHFFFAOYSA-N -1 1 319.796 1.751 20 0 DDADMM CSc1nc(=NC(=O)NCCN2CC=C(C)CC2)s[n-]1 ZINC000547282237 421319648 /nfs/dbraw/zinc/31/96/48/421319648.db2.gz UUZCXLVYIYKHBF-UHFFFAOYSA-N -1 1 313.452 1.455 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)[C@@H]2CCOC2)n[n-]1 ZINC000548385627 421431832 /nfs/dbraw/zinc/43/18/32/421431832.db2.gz KBEAUFVACVKQIY-MNOVXSKESA-N -1 1 324.381 1.221 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)[C@@H]2CCOC2)[n-]1 ZINC000548385627 421431833 /nfs/dbraw/zinc/43/18/33/421431833.db2.gz KBEAUFVACVKQIY-MNOVXSKESA-N -1 1 324.381 1.221 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)[C@@H]2CCOC2)n1 ZINC000548385627 421431837 /nfs/dbraw/zinc/43/18/37/421431837.db2.gz KBEAUFVACVKQIY-MNOVXSKESA-N -1 1 324.381 1.221 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H](C)[C@@H]2CCCC[C@@H]21 ZINC000516118156 421528576 /nfs/dbraw/zinc/52/85/76/421528576.db2.gz SMWCFEBAQJOCDH-DLOVCJGASA-N -1 1 313.423 1.985 20 0 DDADMM CN(C)C(=O)c1ccc(=NC[C@H]2CCc3ccccc3N2)[n-]n1 ZINC000516011716 421523434 /nfs/dbraw/zinc/52/34/34/421523434.db2.gz ZMZHRISVGVPEDN-CYBMUJFWSA-N -1 1 311.389 1.439 20 0 DDADMM COc1nc(NCCC[N@H+]2CCCO[C@@H](C)C2)ccc1C(=O)[O-] ZINC000563208139 421472204 /nfs/dbraw/zinc/47/22/04/421472204.db2.gz PWZCYRDZHGZQCP-LBPRGKRZSA-N -1 1 323.393 1.123 20 0 DDADMM O=S(=O)(N=c1cc2ccccn2[n-]1)c1cncc(Cl)c1 ZINC000551952511 421559336 /nfs/dbraw/zinc/55/93/36/421559336.db2.gz LYEYOWPVOANPDR-UHFFFAOYSA-N -1 1 308.750 1.605 20 0 DDADMM c1ccc(N2CCN(CCN=c3[n-]nc(C4CC4)o3)CC2)cc1 ZINC000553308945 421611320 /nfs/dbraw/zinc/61/13/20/421611320.db2.gz DZNONBNDEWNHHA-UHFFFAOYSA-N -1 1 313.405 1.603 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000537125850 421727690 /nfs/dbraw/zinc/72/76/90/421727690.db2.gz JFIJVPGGNPZMGF-SECBINFHSA-N -1 1 305.363 1.484 20 0 DDADMM CCN1CCOC[C@@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000538275028 421739032 /nfs/dbraw/zinc/73/90/32/421739032.db2.gz QYAMZDRLBKZDQH-CQSZACIVSA-N -1 1 316.361 1.442 20 0 DDADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCc2ccccc2C1 ZINC000542769175 421828592 /nfs/dbraw/zinc/82/85/92/421828592.db2.gz NQHBZRSZPDQYJD-CYBMUJFWSA-N -1 1 323.356 1.047 20 0 DDADMM C[C@@H]1CN(Cc2nnnn2Cc2ccccc2)C[C@@]1(C)C(=O)[O-] ZINC000572609389 421805826 /nfs/dbraw/zinc/80/58/26/421805826.db2.gz NXHAVOSESHRXLB-MLGOLLRUSA-N -1 1 315.377 1.264 20 0 DDADMM CO[C@@H](CNC(=O)CCc1nn[n-]n1)c1ccccc1Cl ZINC000631854035 421891048 /nfs/dbraw/zinc/89/10/48/421891048.db2.gz MZEBDZMFKKAOJS-NSHDSACASA-N -1 1 309.757 1.290 20 0 DDADMM CC(C)(C(=O)[O-])C1CCN(c2nc3[nH]ncc3c(=O)[nH]2)CC1 ZINC000573004110 421897634 /nfs/dbraw/zinc/89/76/34/421897634.db2.gz OBVATMWJUUPLGT-UHFFFAOYSA-N -1 1 305.338 1.386 20 0 DDADMM COc1ccc([C@H](CCO)NCc2cc(C(=O)[O-])nn2C)cc1 ZINC000635306895 421897907 /nfs/dbraw/zinc/89/79/07/421897907.db2.gz DTTSFKKAOZGIID-AWEZNQCLSA-N -1 1 319.361 1.340 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccccc2Cl)CC1 ZINC000630221572 421972904 /nfs/dbraw/zinc/97/29/04/421972904.db2.gz COQIPXSLAZVDKK-UHFFFAOYSA-N -1 1 310.781 1.961 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1nsc2ccccc12)c1nn[n-]n1 ZINC000573084916 421911210 /nfs/dbraw/zinc/91/12/10/421911210.db2.gz YASXPFPPUPYJOL-SECBINFHSA-N -1 1 316.390 1.614 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@H](C)Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000630140756 421914129 /nfs/dbraw/zinc/91/41/29/421914129.db2.gz WVJAKFAMXSKKHY-DOMZBBRYSA-N -1 1 315.373 1.881 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000630158711 421928046 /nfs/dbraw/zinc/92/80/46/421928046.db2.gz UMIUJHUSXHSWGT-XHDPSFHLSA-N -1 1 313.357 1.799 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCc1ccc(C)cc1C ZINC000573141652 421928860 /nfs/dbraw/zinc/92/88/60/421928860.db2.gz REOSMEMKZJKZEQ-UHFFFAOYSA-N -1 1 309.391 1.533 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1ccc(C)cc1C ZINC000573141652 421928867 /nfs/dbraw/zinc/92/88/67/421928867.db2.gz REOSMEMKZJKZEQ-UHFFFAOYSA-N -1 1 309.391 1.533 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000630222845 421973730 /nfs/dbraw/zinc/97/37/30/421973730.db2.gz PLSARXFIQBSARN-UHFFFAOYSA-N -1 1 320.345 1.036 20 0 DDADMM CN(C(=O)[C@H]1CCCN1C1CC1)c1ccc(OCC(=O)[O-])cc1 ZINC000630189177 421947887 /nfs/dbraw/zinc/94/78/87/421947887.db2.gz JNTUPQJUFFNBJW-OAHLLOKOSA-N -1 1 318.373 1.740 20 0 DDADMM CCOC1(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)CCCC1 ZINC000630218014 421968498 /nfs/dbraw/zinc/96/84/98/421968498.db2.gz BXBPOEPVIMFWFI-ZDUSSCGKSA-N -1 1 312.410 1.343 20 0 DDADMM C[C@H](CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)[C@H]1CCCO1 ZINC000630220560 421969996 /nfs/dbraw/zinc/96/99/96/421969996.db2.gz PHAPJORIZFQJBH-HZSPNIEDSA-N -1 1 312.410 1.199 20 0 DDADMM C[C@H]1CO[C@H](c2ccccc2)CN1C(=O)CCCc1nn[n-]n1 ZINC000635483301 422033618 /nfs/dbraw/zinc/03/36/18/422033618.db2.gz TYOHGWMCMYTCRS-JSGCOSHPSA-N -1 1 315.377 1.511 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)[C@H]2CCOC2)c1 ZINC000632030870 422034920 /nfs/dbraw/zinc/03/49/20/422034920.db2.gz ANZZMFKKKZBPIV-UWVGGRQHSA-N -1 1 301.364 1.104 20 0 DDADMM C[C@H]1CN(C(=O)CCCc2nn[n-]n2)C[C@@H](c2ccccc2)O1 ZINC000635422411 421977361 /nfs/dbraw/zinc/97/73/61/421977361.db2.gz MBIWXWRDAQMHFB-JSGCOSHPSA-N -1 1 315.377 1.511 20 0 DDADMM Cc1nc2ccc([N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C)cc2o1 ZINC000581548446 421998907 /nfs/dbraw/zinc/99/89/07/421998907.db2.gz IISVHJGQGOKAIV-QMMMGPOBSA-N -1 1 311.363 1.355 20 0 DDADMM CC(C)(C)n1cnc(=NC(=O)NCc2ccc3cncn3c2)[n-]1 ZINC000581652942 422019377 /nfs/dbraw/zinc/01/93/77/422019377.db2.gz GPIFNCJANRAZHR-UHFFFAOYSA-N -1 1 313.365 1.424 20 0 DDADMM COc1ncc(CN2Cc3ccccc3C[C@H]2C(=O)[O-])c(C)n1 ZINC000574093701 422062271 /nfs/dbraw/zinc/06/22/71/422062271.db2.gz RLGPYXZYMVAWRQ-HNNXBMFYSA-N -1 1 313.357 1.805 20 0 DDADMM CCOc1cccc(CN(CCC(=O)[O-])C[C@H]2CCCO2)n1 ZINC000574405672 422105012 /nfs/dbraw/zinc/10/50/12/422105012.db2.gz BZFPYOSWXRLNMC-CQSZACIVSA-N -1 1 308.378 1.936 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCOc2ncccc2F)sn1 ZINC000632128878 422107592 /nfs/dbraw/zinc/10/75/92/422107592.db2.gz MXBODJQKFBIYGI-UHFFFAOYSA-N -1 1 317.367 1.343 20 0 DDADMM COC[C@@H](NS(=O)(=O)c1cc(OC)ccc1[O-])C1CC1 ZINC000632131902 422108322 /nfs/dbraw/zinc/10/83/22/422108322.db2.gz FZBAPHHZECGGFO-LLVKDONJSA-N -1 1 301.364 1.104 20 0 DDADMM CCC[C@H](CNC(=O)c1coc(S(=O)(=O)[N-]C)c1)OCC ZINC000628345175 422139727 /nfs/dbraw/zinc/13/97/27/422139727.db2.gz RABPVZFPEOGKSO-LLVKDONJSA-N -1 1 318.395 1.123 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(Oc2ccccc2F)CC1 ZINC000633936415 422183578 /nfs/dbraw/zinc/18/35/78/422183578.db2.gz UZGVAIJENFNARF-UHFFFAOYSA-N -1 1 319.340 1.341 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000574540868 422130140 /nfs/dbraw/zinc/13/01/40/422130140.db2.gz VUAAZFRDKNZBBX-SNVBAGLBSA-N -1 1 318.381 1.685 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H]3C[C@@H]3C2)o1 ZINC000628504934 422201410 /nfs/dbraw/zinc/20/14/10/422201410.db2.gz SCAOUJICBXIQAM-AOOOYVTPSA-N -1 1 312.391 1.448 20 0 DDADMM CC1(C(N)=O)CN(C(=O)c2ccc(Br)cc2[O-])C1 ZINC000574918856 422215632 /nfs/dbraw/zinc/21/56/32/422215632.db2.gz ZTNCMRSESKLQCY-UHFFFAOYSA-N -1 1 313.151 1.102 20 0 DDADMM C[C@@H]1CCNC(=O)[C@@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632305223 422237875 /nfs/dbraw/zinc/23/78/75/422237875.db2.gz OSUOHDRJJLWYQG-HZGVNTEJSA-N -1 1 308.306 1.020 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CSC2(C)C)c1 ZINC000632314577 422242498 /nfs/dbraw/zinc/24/24/98/422242498.db2.gz BPSMNDFWGGBMKZ-LLVKDONJSA-N -1 1 303.405 1.573 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(Cc1ccc(O)cc1)CC1CC1 ZINC000635708932 422265071 /nfs/dbraw/zinc/26/50/71/422265071.db2.gz JSZXZFMOOYRHDF-UHFFFAOYSA-N -1 1 315.377 1.667 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](CO)C2CCCC2)c1 ZINC000632347897 422266042 /nfs/dbraw/zinc/26/60/42/422266042.db2.gz AVSNNFDKVPGICO-GFCCVEGCSA-N -1 1 315.391 1.230 20 0 DDADMM CC[N@H+]1C[C@H](C)N(C(=O)c2cc(=O)[nH]c(C3CC3)n2)C[C@H]1C ZINC000584240839 422293384 /nfs/dbraw/zinc/29/33/84/422293384.db2.gz SZVAXIFQNCKFKY-MNOVXSKESA-N -1 1 304.394 1.614 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](C)Cc1ccsc1 ZINC000575368608 422293606 /nfs/dbraw/zinc/29/36/06/422293606.db2.gz MLEVUXRZERYSRO-ZETCQYMHSA-N -1 1 301.393 1.367 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)Cc1ccsc1 ZINC000575368608 422293612 /nfs/dbraw/zinc/29/36/12/422293612.db2.gz MLEVUXRZERYSRO-ZETCQYMHSA-N -1 1 301.393 1.367 20 0 DDADMM C[C@H](CC(C)(C)O)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632393841 422302123 /nfs/dbraw/zinc/30/21/23/422302123.db2.gz BFWXAHOVNSZWGX-ZCFIWIBFSA-N -1 1 315.317 1.256 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)c2ccn(C)n2)c1 ZINC000632441631 422346292 /nfs/dbraw/zinc/34/62/92/422346292.db2.gz HADGMNKOFJLTJF-SECBINFHSA-N -1 1 311.363 1.174 20 0 DDADMM CC(C)COc1cccc(CNC(=O)CCc2nn[n-]n2)c1 ZINC000630819920 422353944 /nfs/dbraw/zinc/35/39/44/422353944.db2.gz ZSCTYGKCSNDYQE-UHFFFAOYSA-N -1 1 303.366 1.484 20 0 DDADMM CC[N@H+]1CCCN(S(=O)(=O)c2cc([O-])cc(F)c2)[C@@H](C)C1 ZINC000632450587 422356100 /nfs/dbraw/zinc/35/61/00/422356100.db2.gz HVLKGZZWMKGAKD-NSHDSACASA-N -1 1 316.398 1.636 20 0 DDADMM C[C@@H]1CCN(C(=O)CCCc2nn[n-]n2)c2c(O)cccc21 ZINC000635808866 422365722 /nfs/dbraw/zinc/36/57/22/422365722.db2.gz YFBIOKOWDMRNCD-SNVBAGLBSA-N -1 1 301.350 1.768 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCCOC(C)(C)C)c1 ZINC000632556265 422433894 /nfs/dbraw/zinc/43/38/94/422433894.db2.gz CUEAMGHESUJHIF-UHFFFAOYSA-N -1 1 317.407 1.884 20 0 DDADMM COc1cc(C(=O)NC[C@@H]2COCCN2C)cc(Cl)c1[O-] ZINC000628922451 422400354 /nfs/dbraw/zinc/40/03/54/422400354.db2.gz NQUWWBZIZIJJOG-SNVBAGLBSA-N -1 1 314.769 1.115 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(c2cccc(F)c2F)CC1 ZINC000632526533 422410032 /nfs/dbraw/zinc/41/00/32/422410032.db2.gz VMNUYIKXSPUSKT-UHFFFAOYSA-N -1 1 321.331 1.817 20 0 DDADMM C[C@]12CCC(=O)N1[C@H](C(=O)Nc1cccc(F)c1[O-])CS2 ZINC000628960999 422422381 /nfs/dbraw/zinc/42/23/81/422422381.db2.gz LHTNQSUBRAJVTE-HZMBPMFUSA-N -1 1 310.350 1.924 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-][C@H](C)[C@@H](O)C(F)(F)F ZINC000632672334 422508931 /nfs/dbraw/zinc/50/89/31/422508931.db2.gz AXVUKYLJUCELSP-IYSWYEEDSA-N -1 1 319.326 1.347 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(F)cc1F)[C@H](O)C(F)(F)F ZINC000632673175 422509570 /nfs/dbraw/zinc/50/95/70/422509570.db2.gz JSLLFDZWDFLTLU-CDUCUWFYSA-N -1 1 319.251 1.555 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@]2(C)C[C@H]3C[C@H]3C2)co1 ZINC000629051190 422455089 /nfs/dbraw/zinc/45/50/89/422455089.db2.gz BKHFIRAEEXAFLT-WDAIWFPHSA-N -1 1 312.391 1.354 20 0 DDADMM Cc1nc(SCCCS(C)(=O)=O)[n-]c(=O)c1C1CC1 ZINC000631023593 422477558 /nfs/dbraw/zinc/47/75/58/422477558.db2.gz BKIAUAVFGVRLTL-UHFFFAOYSA-N -1 1 302.421 1.895 20 0 DDADMM Cc1nc(SCC(=O)Nc2ccncc2)[n-]c(=O)c1C1CC1 ZINC000631024176 422477633 /nfs/dbraw/zinc/47/76/33/422477633.db2.gz MWRXCVDSMDAPHC-UHFFFAOYSA-N -1 1 316.386 1.916 20 0 DDADMM O=C(c1ccoc1C(F)(F)F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000578104347 422497430 /nfs/dbraw/zinc/49/74/30/422497430.db2.gz UFAOBQSXVGQELP-SSDOTTSWSA-N -1 1 315.255 1.831 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCOC[C@H]1c1ccc(F)cc1 ZINC000635995044 422548442 /nfs/dbraw/zinc/54/84/42/422548442.db2.gz OQLVJXPQWYVJSG-ZDUSSCGKSA-N -1 1 319.340 1.262 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@H](CO)[C@@H]1CCOC1 ZINC000632825483 422608609 /nfs/dbraw/zinc/60/86/09/422608609.db2.gz GEWNNROQYJUIRP-VXGBXAGGSA-N -1 1 313.781 1.349 20 0 DDADMM O=C(CCN1CCCC1=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629376870 422638574 /nfs/dbraw/zinc/63/85/74/422638574.db2.gz BYMGWFWWRIHMFI-UHFFFAOYSA-N -1 1 314.345 1.529 20 0 DDADMM CCOC(=O)COCCSc1nc(CC)c(C)c(=O)[n-]1 ZINC000578766740 422644943 /nfs/dbraw/zinc/64/49/43/422644943.db2.gz GGQWNBYRHDSOBE-UHFFFAOYSA-N -1 1 300.380 1.725 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000636205889 422715745 /nfs/dbraw/zinc/71/57/45/422715745.db2.gz BVHKNZIPXBNDGW-CHWSQXEVSA-N -1 1 307.398 1.330 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000634846327 422681354 /nfs/dbraw/zinc/68/13/54/422681354.db2.gz GIYFSWVOVXDVIG-ZFWWWQNUSA-N -1 1 304.390 1.878 20 0 DDADMM CCc1cccc(CNC(=O)c2coc(S(=O)(=O)[N-]C)c2)c1 ZINC000652924394 423218502 /nfs/dbraw/zinc/21/85/02/423218502.db2.gz GZAKUBMDQHIESO-UHFFFAOYSA-N -1 1 322.386 1.680 20 0 DDADMM CSc1cccnc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000653030602 423267284 /nfs/dbraw/zinc/26/72/84/423267284.db2.gz MYVNVDWNOLTWGZ-UHFFFAOYSA-N -1 1 320.374 1.912 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2NCC2(C)C)c1Br ZINC000646137234 423363054 /nfs/dbraw/zinc/36/30/54/423363054.db2.gz NMFFEWHJZFCSRR-QMMMGPOBSA-N -1 1 301.188 1.093 20 0 DDADMM CC[C@@H](C)c1nc([C@H](C)NC(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)n[nH]1 ZINC000646178510 423379869 /nfs/dbraw/zinc/37/98/69/423379869.db2.gz RLLPAHWITWKKDR-VPOLOUISSA-N -1 1 323.397 1.932 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C)NC(=O)c1cccc(C)c1 ZINC000646411558 423481176 /nfs/dbraw/zinc/48/11/76/423481176.db2.gz JZHNWFJFLDWDHX-SNVBAGLBSA-N -1 1 302.334 1.489 20 0 DDADMM C[C@@]1(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCc2ccccc21 ZINC000648838200 423491661 /nfs/dbraw/zinc/49/16/61/423491661.db2.gz YZSVYBPGEISSSZ-SUMWQHHRSA-N -1 1 311.389 1.810 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)N1CCN(C2CCOCC2)CC1 ZINC000653304088 423429157 /nfs/dbraw/zinc/42/91/57/423429157.db2.gz BWBBRXSKVUOKJX-UHFFFAOYSA-N -1 1 312.410 1.201 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2)c1ccco1 ZINC000641366504 423586024 /nfs/dbraw/zinc/58/60/24/423586024.db2.gz ZNWFYFFBRDJRBG-YRRQLQLVSA-N -1 1 301.364 1.206 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C1CCC1)C1CCCC1 ZINC000649004925 423586242 /nfs/dbraw/zinc/58/62/42/423586242.db2.gz KTDCKFNXGFTBFW-LLVKDONJSA-N -1 1 321.377 1.985 20 0 DDADMM O=C(Nc1nc(-c2ccccn2)n[nH]1)c1ccc2oc(=O)nc-2[n-]1 ZINC000646683290 423573856 /nfs/dbraw/zinc/57/38/56/423573856.db2.gz YPXYLTJLKZGOBI-UHFFFAOYSA-N -1 1 323.272 1.361 20 0 DDADMM Cn1nnc2c1CC[C@@H](NC(=O)c1ccc3ccccc3c1[O-])C2 ZINC000654112906 423710362 /nfs/dbraw/zinc/71/03/62/423710362.db2.gz XNTGQCMALFUVMQ-GFCCVEGCSA-N -1 1 322.368 1.961 20 0 DDADMM CC(C)(C)N1CC[C@H](N2CCC[C@@H](c3n[n-]c(=N)o3)C2)C1=O ZINC000639819171 423757033 /nfs/dbraw/zinc/75/70/33/423757033.db2.gz FWVXHSQGXVCEQZ-MNOVXSKESA-N -1 1 307.398 1.061 20 0 DDADMM C[C@@H]1CCCCN1C(=O)CN1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639826139 423765257 /nfs/dbraw/zinc/76/52/57/423765257.db2.gz RSBIPQUNLGFVDJ-NEPJUHHUSA-N -1 1 307.398 1.063 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cccc2c1CN(C)C2=O)C1CC1 ZINC000647134270 423769246 /nfs/dbraw/zinc/76/92/46/423769246.db2.gz WCNSOWXLCVNMOT-AWEZNQCLSA-N -1 1 324.402 1.439 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H](N)c2cccc(Cl)c2)C[C@H]1C(=O)[O-] ZINC000656855063 423799605 /nfs/dbraw/zinc/79/96/05/423799605.db2.gz UJTIAOUYGZCJOT-WQAKAFBOSA-N -1 1 310.781 1.909 20 0 DDADMM COC1(C[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C(=O)[O-])CCC1 ZINC000652103007 423862773 /nfs/dbraw/zinc/86/27/73/423862773.db2.gz MCJBHMGROCZHNF-GFCCVEGCSA-N -1 1 318.333 1.100 20 0 DDADMM Cc1c(C(=O)N(C)C)occ1S(=O)(=O)[N-][C@H](C)C(F)F ZINC000641704867 423886332 /nfs/dbraw/zinc/88/63/32/423886332.db2.gz HUJWJCTWTMWYQL-SSDOTTSWSA-N -1 1 310.322 1.222 20 0 DDADMM CC(C)CCOCCNC(=O)CN1CCC(C)(C(=O)[O-])CC1 ZINC000647375021 424004917 /nfs/dbraw/zinc/00/49/17/424004917.db2.gz QLWSPNLNJAFTOU-UHFFFAOYSA-N -1 1 314.426 1.352 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1CCC(C)(C(=O)[O-])CC1 ZINC000647374669 424004942 /nfs/dbraw/zinc/00/49/42/424004942.db2.gz INCWOLAZRQRILV-UHFFFAOYSA-N -1 1 324.343 1.972 20 0 DDADMM CCn1nc(C)cc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887078 424017810 /nfs/dbraw/zinc/01/78/10/424017810.db2.gz KDNRECMHUDEGOT-UHFFFAOYSA-N -1 1 305.338 1.320 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCCn2cncn2)c(F)c1 ZINC000644854893 423978381 /nfs/dbraw/zinc/97/83/81/423978381.db2.gz MOFGJIZPWRIVKJ-UHFFFAOYSA-N -1 1 316.333 1.233 20 0 DDADMM O=S(=O)([N-]C/C=C\CO)c1cc(Cl)sc1Cl ZINC000657084094 424091577 /nfs/dbraw/zinc/09/15/77/424091577.db2.gz HHOCFOTVLCMMIU-UPHRSURJSA-N -1 1 302.204 1.882 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)C2(CO)CC2)c(F)c1 ZINC000657098489 424107789 /nfs/dbraw/zinc/10/77/89/424107789.db2.gz CLHGEAUBAVXOFW-MRVPVSSYSA-N -1 1 321.345 1.413 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](CO)CC(C)C)c(F)c1 ZINC000657122491 424130352 /nfs/dbraw/zinc/13/03/52/424130352.db2.gz ACRUSTGJPQWWNP-SECBINFHSA-N -1 1 323.361 1.659 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)C1CCC1 ZINC000645080839 424146816 /nfs/dbraw/zinc/14/68/16/424146816.db2.gz DVGZFXHFRQUWFE-SSDOTTSWSA-N -1 1 311.329 1.906 20 0 DDADMM CN(CC(=O)[O-])C(=O)c1ccc(-c2cc(C(F)(F)F)n[nH]2)o1 ZINC000657203400 424213439 /nfs/dbraw/zinc/21/34/39/424213439.db2.gz VHVBYMNWAWLYKS-UHFFFAOYSA-N -1 1 317.223 1.845 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)C1CC1 ZINC000657172405 424179751 /nfs/dbraw/zinc/17/97/51/424179751.db2.gz ASKWDJBEVSEZGT-QMMMGPOBSA-N -1 1 311.329 1.906 20 0 DDADMM CO[C@@H]1COCC[C@H]1CNC(=O)N=c1[n-]sc2ccccc21 ZINC000640340116 424364927 /nfs/dbraw/zinc/36/49/27/424364927.db2.gz OJZIHLYQXCCHFX-CMPLNLGQSA-N -1 1 321.402 1.891 20 0 DDADMM CC[C@@H]1Cc2ccccc2CN1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662211551 424480453 /nfs/dbraw/zinc/48/04/53/424480453.db2.gz QLTAYFPADQGVCR-HUUCEWRRSA-N -1 1 302.374 1.509 20 0 DDADMM Cc1ccc(CN(C(C)C)[C@H]2CCN(CC(=O)[O-])C2=O)s1 ZINC000662200684 424464732 /nfs/dbraw/zinc/46/47/32/424464732.db2.gz CNMLEZPOPQHFHD-ZDUSSCGKSA-N -1 1 310.419 1.952 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC[C@H]2Cc2cccc(F)c2)C1=O ZINC000662201698 424467172 /nfs/dbraw/zinc/46/71/72/424467172.db2.gz KNTUGGSOXOGUOC-GJZGRUSLSA-N -1 1 320.364 1.518 20 0 DDADMM C[C@@H]1CCN([C@@H]2CCN(CC(=O)[O-])C2=O)[C@@H]1c1cccc(F)c1 ZINC000662205905 424475364 /nfs/dbraw/zinc/47/53/64/424475364.db2.gz NOPNDJGLXCSGDI-XFJVYGCCSA-N -1 1 320.364 1.894 20 0 DDADMM CC(C)(C)C(F)(F)C[N-]S(=O)(=O)N1CCCOCC1 ZINC000660105129 424529629 /nfs/dbraw/zinc/52/96/29/424529629.db2.gz WIFCEFKZWKYAIQ-UHFFFAOYSA-N -1 1 300.371 1.225 20 0 DDADMM CO[C@@H](C[N@@H+](C)Cc1nc(=O)c2sccc2[n-]1)[C@@H]1CCOC1 ZINC000660292165 424626047 /nfs/dbraw/zinc/62/60/47/424626047.db2.gz FNNGVJZTXYMJSK-PWSUYJOCSA-N -1 1 323.418 1.880 20 0 DDADMM CO[C@@H](CN(C)Cc1nc(=O)c2sccc2[n-]1)[C@@H]1CCOC1 ZINC000660292165 424626052 /nfs/dbraw/zinc/62/60/52/424626052.db2.gz FNNGVJZTXYMJSK-PWSUYJOCSA-N -1 1 323.418 1.880 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1ccc(Cl)c(F)c1)c1nn[n-]n1 ZINC000344548007 272056827 /nfs/dbraw/zinc/05/68/27/272056827.db2.gz TWFZENXEYXSSAX-QMMMGPOBSA-N -1 1 311.748 1.797 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](O)[C@@H](C)c1ccc(C)cc1)c1nn[n-]n1 ZINC000344642834 272077871 /nfs/dbraw/zinc/07/78/71/272077871.db2.gz AIBKKKGAVSBJAA-UBHSHLNASA-N -1 1 317.393 1.630 20 0 DDADMM COc1ccc(O)c(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)c1 ZINC000345702096 272307765 /nfs/dbraw/zinc/30/77/65/272307765.db2.gz ZGRFFMSEPMSBAS-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM COc1nc(C)ccc1C(=O)N=c1nc(-c2ccccn2)[nH][n-]1 ZINC000347442397 272693593 /nfs/dbraw/zinc/69/35/93/272693593.db2.gz FHAWXLPAYSPNBL-UHFFFAOYSA-N -1 1 310.317 1.253 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3ccccc3c2[O-])CCS1(=O)=O ZINC000131850540 288042180 /nfs/dbraw/zinc/04/21/80/288042180.db2.gz YPAUQIWCQBKDAZ-LLVKDONJSA-N -1 1 319.382 1.805 20 0 DDADMM CC(C)C[C@H](CNc1cc(-n2cccn2)nc(N)n1)C(=O)[O-] ZINC000263043518 279165481 /nfs/dbraw/zinc/16/54/81/279165481.db2.gz JKDMUHLUENXOHV-SNVBAGLBSA-N -1 1 304.354 1.403 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCc4cc[nH]c(=O)c4C3)ccnc1-2 ZINC000287564324 279300330 /nfs/dbraw/zinc/30/03/30/279300330.db2.gz KKVZOMRICMECKU-MOSHPQCFSA-N -1 1 324.344 1.033 20 0 DDADMM CC(=O)N1CC[C@@H](CN(C)C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000119537110 281101730 /nfs/dbraw/zinc/10/17/30/281101730.db2.gz UFOXDKJUIJHCQI-NSHDSACASA-N -1 1 310.781 1.986 20 0 DDADMM C[C@H](NC(=O)c1c([O-])cccc1F)C(=O)NCc1ccco1 ZINC000121222789 281134579 /nfs/dbraw/zinc/13/45/79/281134579.db2.gz ASXKEIJEVXJSIA-VIFPVBQESA-N -1 1 306.293 1.559 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ncccc1[O-])c1ccc(F)cc1 ZINC000123440496 281171167 /nfs/dbraw/zinc/17/11/67/281171167.db2.gz KTRNDBWLQLPNPS-LBPRGKRZSA-N -1 1 318.304 1.961 20 0 DDADMM Cc1cnc(C(=O)N2CCCC[C@@H]2[C@@H]2CNC(=O)C2)c([O-])c1 ZINC000427527334 292872134 /nfs/dbraw/zinc/87/21/34/292872134.db2.gz IECOQSHAQRDNSO-NWDGAFQWSA-N -1 1 303.362 1.226 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(C(=O)N[C@@H]2CCCc3cn[nH]c32)C1 ZINC000263104724 297341297 /nfs/dbraw/zinc/34/12/97/297341297.db2.gz BFPXAJFODCTVCZ-ADEWGFFLSA-N -1 1 306.366 1.539 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cccc(F)c2)co1 ZINC000162844523 298203489 /nfs/dbraw/zinc/20/34/89/298203489.db2.gz NVYQSWWUYDMWKT-UHFFFAOYSA-N -1 1 312.322 1.257 20 0 DDADMM O=C(Nc1nn[n-]n1)c1n[nH]c2ccc(Br)cc21 ZINC000171864071 298237779 /nfs/dbraw/zinc/23/77/79/298237779.db2.gz XIVITVGXZGOYFY-UHFFFAOYSA-N -1 1 308.099 1.091 20 0 DDADMM CCCCC[C@@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000354000154 298335500 /nfs/dbraw/zinc/33/55/00/298335500.db2.gz AMJMLGUZFSBRNV-LLVKDONJSA-N -1 1 303.366 1.603 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000573612589 304603966 /nfs/dbraw/zinc/60/39/66/304603966.db2.gz KWKVWWWVCXCBEF-NXEZZACHSA-N -1 1 324.402 1.818 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H](C2CC2)C1 ZINC000356445749 298792629 /nfs/dbraw/zinc/79/26/29/298792629.db2.gz PDPMCXHLCFJNAS-GFCCVEGCSA-N -1 1 303.362 1.166 20 0 DDADMM O=C(Cc1ccc2c(c1)CCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000362419177 300059208 /nfs/dbraw/zinc/05/92/08/300059208.db2.gz RIVNHBOJCHBEJI-OAHLLOKOSA-N -1 1 311.389 1.637 20 0 DDADMM C[C@H]1CN(C)C[C@H]1C(=O)N=c1nc(Cc2ccccc2)[n-]s1 ZINC000362785479 300140664 /nfs/dbraw/zinc/14/06/64/300140664.db2.gz MDDIJEGBMJHQAC-WCQYABFASA-N -1 1 316.430 1.687 20 0 DDADMM COCCN(C)S(=O)(=O)[N-]c1cnoc1-c1ccccc1 ZINC000574628467 304668892 /nfs/dbraw/zinc/66/88/92/304668892.db2.gz IFCIOSPYUHOTRR-UHFFFAOYSA-N -1 1 311.363 1.577 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)C[C@H](OC)C2CC2)CCCCC1 ZINC000575042868 304707856 /nfs/dbraw/zinc/70/78/56/304707856.db2.gz ZQMRHAYYCCSZJE-LBPRGKRZSA-N -1 1 319.423 1.207 20 0 DDADMM Cc1n[n-]c(=NCc2nc3c(s2)CN(C(C)C)CC3)n1C ZINC000368415124 301023066 /nfs/dbraw/zinc/02/30/66/301023066.db2.gz YLZNBIQGOLWMCF-UHFFFAOYSA-N -1 1 306.439 1.381 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)[N-]OCC(F)(F)F)CC2=O)cc1 ZINC000368566756 301054140 /nfs/dbraw/zinc/05/41/40/301054140.db2.gz OODZWGLEKIGMAO-SNVBAGLBSA-N -1 1 316.279 1.958 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cccc(F)c1-c1nc[nH]n1 ZINC000370285597 301309239 /nfs/dbraw/zinc/30/92/39/301309239.db2.gz OFYNNDDSTOBDDH-MRVPVSSYSA-N -1 1 314.342 1.387 20 0 DDADMM COCCO[N-]C(=O)[C@H](C(C)C)[C@@H](C)NC(=O)OC(C)(C)C ZINC000497213790 302691767 /nfs/dbraw/zinc/69/17/67/302691767.db2.gz JQXFYIXIKUJVQK-VXGBXAGGSA-N -1 1 318.414 1.866 20 0 DDADMM CC(C)[C@@H]1CNCCN1C(=O)N=c1[n-]nc(C(C)(C)C)s1 ZINC000528674808 303055272 /nfs/dbraw/zinc/05/52/72/303055272.db2.gz MHUXWLGXLCCBJB-JTQLQIEISA-N -1 1 311.455 1.719 20 0 DDADMM CC(C)c1nocc1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000528819269 303066798 /nfs/dbraw/zinc/06/67/98/303066798.db2.gz ZUQQKVHNKGONQT-UHFFFAOYSA-N -1 1 322.394 1.024 20 0 DDADMM C[C@H](CN(C)C(=O)C1CCc2ccccc2CC1)c1nn[n-]n1 ZINC000529023791 303081892 /nfs/dbraw/zinc/08/18/92/303081892.db2.gz WSHIMCWDXNFKIV-GFCCVEGCSA-N -1 1 313.405 1.957 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC12CC(C1)CO2 ZINC000529873115 303160404 /nfs/dbraw/zinc/16/04/04/303160404.db2.gz FDVSTCCONRCBAL-UHFFFAOYSA-N -1 1 305.378 1.697 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cnn(C(F)F)c2)co1 ZINC000357515462 306890894 /nfs/dbraw/zinc/89/08/94/306890894.db2.gz HJEIPMFOPOQNPC-UHFFFAOYSA-N -1 1 320.277 1.032 20 0 DDADMM Cc1noc2ncc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)cc12 ZINC000533118744 303310383 /nfs/dbraw/zinc/31/03/83/303310383.db2.gz JJUPUSYHOZHTIG-VIFPVBQESA-N -1 1 313.321 1.064 20 0 DDADMM Cc1nc(=N[C@@H](CN2CCN(C)CC2)c2ccccc2)s[n-]1 ZINC000537766662 303379672 /nfs/dbraw/zinc/37/96/72/303379672.db2.gz GAZXMTJSWLQDLU-HNNXBMFYSA-N -1 1 317.462 1.669 20 0 DDADMM O=C(NC[C@H](O)COc1ccccc1)c1c([O-])cccc1F ZINC000547480516 303535037 /nfs/dbraw/zinc/53/50/37/303535037.db2.gz ISJCGXLMPAJJGS-NSHDSACASA-N -1 1 305.305 1.701 20 0 DDADMM CCC[C@H](C)[C@@H](CO)NC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000563296141 303939491 /nfs/dbraw/zinc/93/94/91/303939491.db2.gz ONJPAFIBBNNSPV-WCBMZHEXSA-N -1 1 313.423 1.739 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@@H]1CC(=O)N(c2nccs2)C1 ZINC000363524913 307030255 /nfs/dbraw/zinc/03/02/55/307030255.db2.gz JDAJIKNRZVPESD-ZCFIWIBFSA-N -1 1 309.269 1.106 20 0 DDADMM CN(C)[C@@]1(C(=O)[N-]OC[C@@H]2CCOC2)CCc2ccccc21 ZINC000365079230 307057945 /nfs/dbraw/zinc/05/79/45/307057945.db2.gz UOQINCFHKOKOCX-DYVFJYSZSA-N -1 1 304.390 1.474 20 0 DDADMM Nc1nccc([C@@H]2CCCN(C(=O)c3cc(F)ccc3[O-])C2)n1 ZINC000367122248 307086427 /nfs/dbraw/zinc/08/64/27/307086427.db2.gz YLANPLCZEQFBOQ-SNVBAGLBSA-N -1 1 316.336 1.754 20 0 DDADMM COC(=O)[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])c2ccccc21 ZINC000368615578 307106472 /nfs/dbraw/zinc/10/64/72/307106472.db2.gz UVQVTGDQQCJLGX-QWHCGFSZSA-N -1 1 312.325 1.919 20 0 DDADMM Cc1cccc(CN2CCCC[C@@H]2C(=O)NCCC(=O)[O-])c1 ZINC000370721004 307133858 /nfs/dbraw/zinc/13/38/58/307133858.db2.gz UTDXOCWPAQBPQL-OAHLLOKOSA-N -1 1 304.390 1.940 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC(O)(C2CC2)C1 ZINC000372690085 307173386 /nfs/dbraw/zinc/17/33/86/307173386.db2.gz CFIQLQYMSJUIIJ-UHFFFAOYSA-N -1 1 305.378 1.385 20 0 DDADMM O=C(C[C@H]1CCCCNC1=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000374217620 307203918 /nfs/dbraw/zinc/20/39/18/307203918.db2.gz LZGSSWGNWXFDHH-ZCFIWIBFSA-N -1 1 305.260 1.068 20 0 DDADMM Cn1[n-]cc2/c(=N/C(=O)N3CC[C@]4(C3)CCCOC4)ccnc1-2 ZINC000376608690 307249415 /nfs/dbraw/zinc/24/94/15/307249415.db2.gz FTUJKQVCMYHMQO-HUCUOGIVSA-N -1 1 315.377 1.376 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-][C@H]1COc2cccc(Cl)c21 ZINC000377384156 307268825 /nfs/dbraw/zinc/26/88/25/307268825.db2.gz LQLKBUNBGSKOAU-JTQLQIEISA-N -1 1 314.750 1.881 20 0 DDADMM O=C(NCCc1cnccn1)c1ccc(Br)cc1[O-] ZINC000390691917 307293871 /nfs/dbraw/zinc/29/38/71/307293871.db2.gz BRGCDHBYLVFVEV-UHFFFAOYSA-N -1 1 322.162 1.917 20 0 DDADMM COCCCO[N-]C(=O)C1(CNC(=O)OC(C)(C)C)CC1 ZINC000495772142 307317945 /nfs/dbraw/zinc/31/79/45/307317945.db2.gz WLUZCMXWRUTXKI-UHFFFAOYSA-N -1 1 302.371 1.376 20 0 DDADMM C[C@@H]1OC(=O)N[C@H]1C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000496698969 307356950 /nfs/dbraw/zinc/35/69/50/307356950.db2.gz HCHFYRQMJRQPDO-PWCHPLFNSA-N -1 1 322.271 1.212 20 0 DDADMM CO[C@@H]1C[C@@H](C(=O)[O-])N(C[C@@H](O)COc2cc(C)ccc2C)C1 ZINC000576046177 308286651 /nfs/dbraw/zinc/28/66/51/308286651.db2.gz BSKMDWJCDKNBNP-KFWWJZLASA-N -1 1 323.389 1.217 20 0 DDADMM CC[C@@H](C(=O)N1CC[C@H](C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000576279463 308302732 /nfs/dbraw/zinc/30/27/32/308302732.db2.gz WXUHULLGZSWTBL-GJZGRUSLSA-N -1 1 304.390 1.830 20 0 DDADMM O=C(NCCOCC(F)(F)F)c1nc2ccccc2c(=O)[n-]1 ZINC000079095577 483976724 /nfs/dbraw/zinc/97/67/24/483976724.db2.gz KZIUYSXLJFNDTE-UHFFFAOYSA-N -1 1 315.251 1.232 20 0 DDADMM CCN=c1[nH]cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)s1 ZINC000425304169 484117600 /nfs/dbraw/zinc/11/76/00/484117600.db2.gz PORUFKNMELAJJV-MRVPVSSYSA-N -1 1 323.378 1.105 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cc2oc(=O)[nH]c2cc1F ZINC000656633999 484252138 /nfs/dbraw/zinc/25/21/38/484252138.db2.gz LYTQEOKDABVTSV-LURJTMIESA-N -1 1 304.299 1.449 20 0 DDADMM CN(C)[C@H](CNC(=O)C1(C(=O)[O-])CC=CC1)c1ccsc1 ZINC000663112931 484678574 /nfs/dbraw/zinc/67/85/74/484678574.db2.gz DHKSPZXVTKJMKV-GFCCVEGCSA-N -1 1 308.403 1.888 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@H](C(=O)[O-])C1CC1)c1ccc(F)cc1 ZINC000663114905 484679899 /nfs/dbraw/zinc/67/98/99/484679899.db2.gz SIFDTUBEDULEBN-UONOGXRCSA-N -1 1 308.353 1.655 20 0 DDADMM CC(C)[C@@H](NC(=O)NC[C@@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663270667 484777360 /nfs/dbraw/zinc/77/73/60/484777360.db2.gz DQGJDGOSLKKBOT-LSDHHAIUSA-N -1 1 319.405 1.669 20 0 DDADMM Cc1nnc(CCNC(=O)c2ccc(C(F)(F)F)c([O-])c2)[nH]1 ZINC000670416806 484818827 /nfs/dbraw/zinc/81/88/27/484818827.db2.gz ZOFLXPPAWZQIHO-UHFFFAOYSA-N -1 1 314.267 1.810 20 0 DDADMM NC(=O)[C@H]1CCCCN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000670413734 484818896 /nfs/dbraw/zinc/81/88/96/484818896.db2.gz DSJXFYXSTMKRKH-SNVBAGLBSA-N -1 1 316.279 1.891 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@]1(C)c1ccccc1 ZINC000667959944 484873666 /nfs/dbraw/zinc/87/36/66/484873666.db2.gz RSZKDCPTAPQBAC-QLJPJBMISA-N -1 1 315.329 1.356 20 0 DDADMM COc1ccc(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)c(C)c1 ZINC000667968736 484881462 /nfs/dbraw/zinc/88/14/62/484881462.db2.gz CBITYOJDCJLOQG-UHFFFAOYSA-N -1 1 319.317 1.143 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2c[nH]nc2C)c(F)c1 ZINC000672379603 485293502 /nfs/dbraw/zinc/29/35/02/485293502.db2.gz ZAOCZFXYMJHZBK-UHFFFAOYSA-N -1 1 317.317 1.483 20 0 DDADMM CC(C)c1ncncc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000673440941 485413107 /nfs/dbraw/zinc/41/31/07/485413107.db2.gz WVQHGQWVRXMOEC-SNVBAGLBSA-N -1 1 317.349 1.708 20 0 DDADMM O=C(Nc1ccc(-n2c(=O)[nH][nH]c2=O)cc1)c1ccccc1[O-] ZINC000673487527 485418853 /nfs/dbraw/zinc/41/88/53/485418853.db2.gz UWCUVPGGKSXZSC-UHFFFAOYSA-N -1 1 312.285 1.636 20 0 DDADMM Cc1nnc(CN(C)CC(=O)Nc2c([O-])cccc2F)n1C ZINC000674015179 485512476 /nfs/dbraw/zinc/51/24/76/485512476.db2.gz KPBLCXDAFQEWFV-UHFFFAOYSA-N -1 1 307.329 1.039 20 0 DDADMM CC[C@H]1CN2CCCC[C@H]2CN1C(=O)c1ccc(C(=O)[O-])nc1 ZINC000674351007 485610626 /nfs/dbraw/zinc/61/06/26/485610626.db2.gz ARWFEBHPLXITSW-KBPBESRZSA-N -1 1 317.389 1.869 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCO1 ZINC000678960101 485740163 /nfs/dbraw/zinc/74/01/63/485740163.db2.gz GENNZKWPCBSAJW-WXHSDQCUSA-N -1 1 301.350 1.220 20 0 DDADMM Cn1nc2c(c1C(=O)Nc1n[n-]c(C(F)(F)F)n1)CCCC2 ZINC000675750563 486027055 /nfs/dbraw/zinc/02/70/55/486027055.db2.gz DWOACPHLZRWYIF-UHFFFAOYSA-N -1 1 314.271 1.688 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1ccoc1Br ZINC000683535546 486042256 /nfs/dbraw/zinc/04/22/56/486042256.db2.gz OERVEZNMTABJHC-UHFFFAOYSA-N -1 1 314.124 1.794 20 0 DDADMM Cc1ccsc1CNC(=O)CN1CCC[C@@H](CC(=O)[O-])C1 ZINC000676234167 486156466 /nfs/dbraw/zinc/15/64/66/486156466.db2.gz YDXQVEANLFSQAG-LBPRGKRZSA-N -1 1 310.419 1.859 20 0 DDADMM Cc1cnc(C(=O)N2CSC[C@@H]2C(=O)N(C)C2CC2)c([O-])c1 ZINC000680844618 486280825 /nfs/dbraw/zinc/28/08/25/486280825.db2.gz RXCCTJOQQQQIAC-LLVKDONJSA-N -1 1 321.402 1.232 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc3c(c2)N(C)C(=O)CO3)c1[O-] ZINC000676700395 486293470 /nfs/dbraw/zinc/29/34/70/486293470.db2.gz LEGFEGJBLDNEKS-UHFFFAOYSA-N -1 1 302.290 1.031 20 0 DDADMM NS(=O)(=O)Cc1cccc(NC(=O)c2ccc([O-])c(F)c2)c1 ZINC000681032825 486326320 /nfs/dbraw/zinc/32/63/20/486326320.db2.gz SBUYQTXUENTTLV-UHFFFAOYSA-N -1 1 324.333 1.572 20 0 DDADMM O=C(N[C@H]1CN(c2ccc(F)cc2)C1=O)c1ccc([O-])c(F)c1 ZINC000681066651 486336705 /nfs/dbraw/zinc/33/67/05/486336705.db2.gz FAQWQEYHNVGISD-ZDUSSCGKSA-N -1 1 318.279 1.816 20 0 DDADMM O=C(N[C@@H]1CCN(C2CCOCC2)C1=O)c1ccc([O-])cc1F ZINC000681530322 486445352 /nfs/dbraw/zinc/44/53/52/486445352.db2.gz WZYYJWZEUFEYTN-CQSZACIVSA-N -1 1 322.336 1.041 20 0 DDADMM O=C(Nc1c([O-])cccc1F)c1cc2c(=O)[nH]cnc2cn1 ZINC000681742650 486508594 /nfs/dbraw/zinc/50/85/94/486508594.db2.gz LLMOANHYELSEPY-UHFFFAOYSA-N -1 1 300.249 1.827 20 0 DDADMM Cc1cc(F)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1F ZINC000677925334 486580719 /nfs/dbraw/zinc/58/07/19/486580719.db2.gz FJYOFUGBDQQSMF-VIFPVBQESA-N -1 1 307.304 1.806 20 0 DDADMM Cc1nn2cccnc2c1C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000119308027 490603314 /nfs/dbraw/zinc/60/33/14/490603314.db2.gz HOPGQVXGYWFNQC-UHFFFAOYSA-N -1 1 322.332 1.414 20 0 DDADMM C[C@@H]1NC(=O)CC[C@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451508947 534102303 /nfs/dbraw/zinc/10/23/03/534102303.db2.gz NJKIUICWNURUBN-POYBYMJQSA-N -1 1 308.812 1.347 20 0 DDADMM COC(=O)NCc1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC000491391799 534136050 /nfs/dbraw/zinc/13/60/50/534136050.db2.gz SXWWXOZTESKRQF-UHFFFAOYSA-N -1 1 301.302 1.896 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cnn3ncccc23)c1 ZINC000436514766 534231953 /nfs/dbraw/zinc/23/19/53/534231953.db2.gz QLLGWMODKXLQAJ-UHFFFAOYSA-N -1 1 312.285 1.474 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC[C@@H](C)CCO)cnc2n1 ZINC000452245446 534401746 /nfs/dbraw/zinc/40/17/46/534401746.db2.gz HYSBSDGUJXIQHK-SNVBAGLBSA-N -1 1 303.362 1.782 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC[C@@H](C)CCO)c2=O ZINC000452245446 534401748 /nfs/dbraw/zinc/40/17/48/534401748.db2.gz HYSBSDGUJXIQHK-SNVBAGLBSA-N -1 1 303.362 1.782 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C)c2nnc3n2CCCCC3)c([O-])c1 ZINC000494920912 534531574 /nfs/dbraw/zinc/53/15/74/534531574.db2.gz FMZPELJJTVWCQE-NSHDSACASA-N -1 1 315.377 1.905 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CC[C@@H](C)C1 ZINC000444463630 534643427 /nfs/dbraw/zinc/64/34/27/534643427.db2.gz HCEQWTZRNPNJQP-BXKDBHETSA-N -1 1 302.378 1.899 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H]1CC[C@@H](C)O1 ZINC000457356628 534644194 /nfs/dbraw/zinc/64/41/94/534644194.db2.gz GZNWYSZOEJSCAJ-BXKDBHETSA-N -1 1 318.377 1.278 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC[C@@H]1C[C@@H]1C ZINC000299650207 534645054 /nfs/dbraw/zinc/64/50/54/534645054.db2.gz KKCXAPIHEWEGLS-CABZTGNLSA-N -1 1 302.378 1.757 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1ccc(-c2nn[nH]n2)cc1 ZINC000424069570 534860827 /nfs/dbraw/zinc/86/08/27/534860827.db2.gz VESBSGWARXCPPK-UHFFFAOYSA-N -1 1 323.378 1.035 20 0 DDADMM CC(C)(C)c1ncc(C=CC(=O)NC2(c3nn[n-]n3)CC2)s1 ZINC000492027643 526875612 /nfs/dbraw/zinc/87/56/12/526875612.db2.gz CEXQWHDABBFVMR-SNAWJCMRSA-N -1 1 318.406 1.772 20 0 DDADMM CCNC(=O)c1ccc(=NCc2nc3ccc(C)cc3[nH]2)[n-]n1 ZINC000413189212 528231714 /nfs/dbraw/zinc/23/17/14/528231714.db2.gz YNPJCUKIZZYOPB-UHFFFAOYSA-N -1 1 310.361 1.445 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@](C)(CC)OC)o1 ZINC000451797818 528243205 /nfs/dbraw/zinc/24/32/05/528243205.db2.gz RJFVRIVLRUKXDG-ZDUSSCGKSA-N -1 1 319.379 1.550 20 0 DDADMM CCC(=O)N[C@@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000331245289 528399930 /nfs/dbraw/zinc/39/99/30/528399930.db2.gz RKKLSSIAIIZMQJ-GFCCVEGCSA-N -1 1 304.346 1.092 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)[C@H](c1ccccc1)N(C)C ZINC000295795168 528561930 /nfs/dbraw/zinc/56/19/30/528561930.db2.gz ZMDDVOJSVBCQFK-ZDUSSCGKSA-N -1 1 308.378 1.679 20 0 DDADMM CCOC(=O)Nc1cccc(OS(=O)(=O)c2c[n-]cn2)c1 ZINC000491465989 529113337 /nfs/dbraw/zinc/11/33/37/529113337.db2.gz SUZNSSXHHNEEQE-UHFFFAOYSA-N -1 1 311.319 1.746 20 0 DDADMM CC[C@H]1CCCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000452414522 529204430 /nfs/dbraw/zinc/20/44/30/529204430.db2.gz FWXGNELXXSJTID-WDEREUQCSA-N -1 1 303.366 1.364 20 0 DDADMM Cc1c(Cl)cccc1NC(=O)Cn1cnnc1-c1nn[n-]n1 ZINC000737215534 598875904 /nfs/dbraw/zinc/87/59/04/598875904.db2.gz LBSLAJVQQWUSIU-UHFFFAOYSA-N -1 1 318.728 1.059 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737142819 598981849 /nfs/dbraw/zinc/98/18/49/598981849.db2.gz PXQXTEALTDHORY-SNVBAGLBSA-N -1 1 324.344 1.761 20 0 DDADMM COc1ccccc1[C@@H](C)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737142819 598981850 /nfs/dbraw/zinc/98/18/50/598981850.db2.gz PXQXTEALTDHORY-SNVBAGLBSA-N -1 1 324.344 1.761 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCOc2ccccc21 ZINC000738259525 598991812 /nfs/dbraw/zinc/99/18/12/598991812.db2.gz QXDCIVBPRSHLRF-UHFFFAOYSA-N -1 1 308.301 1.301 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCOc2ccccc21 ZINC000738259525 598991813 /nfs/dbraw/zinc/99/18/13/598991813.db2.gz QXDCIVBPRSHLRF-UHFFFAOYSA-N -1 1 308.301 1.301 20 0 DDADMM CC(C)N(Cc1ccccn1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735874533 598993523 /nfs/dbraw/zinc/99/35/23/598993523.db2.gz QLYOHKYLEHEXQO-UHFFFAOYSA-N -1 1 323.360 1.708 20 0 DDADMM CC(C)N(Cc1ccccn1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735874533 598993525 /nfs/dbraw/zinc/99/35/25/598993525.db2.gz QLYOHKYLEHEXQO-UHFFFAOYSA-N -1 1 323.360 1.708 20 0 DDADMM CC(C)(C)n1nccc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735663981 598995290 /nfs/dbraw/zinc/99/52/90/598995290.db2.gz CDAWXSXHMDRTCN-UHFFFAOYSA-N -1 1 312.337 1.466 20 0 DDADMM CC(C)(C)n1nccc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735663981 598995291 /nfs/dbraw/zinc/99/52/91/598995291.db2.gz CDAWXSXHMDRTCN-UHFFFAOYSA-N -1 1 312.337 1.466 20 0 DDADMM CN(C(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccc(F)c(F)c1 ZINC000736742921 598997019 /nfs/dbraw/zinc/99/70/19/598997019.db2.gz ULYJZGUDXIUXGB-UHFFFAOYSA-N -1 1 316.271 1.817 20 0 DDADMM CN(C(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccc(F)c(F)c1 ZINC000736742921 598997020 /nfs/dbraw/zinc/99/70/20/598997020.db2.gz ULYJZGUDXIUXGB-UHFFFAOYSA-N -1 1 316.271 1.817 20 0 DDADMM COC[C@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccccc1 ZINC000736978366 599001358 /nfs/dbraw/zinc/00/13/58/599001358.db2.gz PRLLFMCZCQHBNK-AWEZNQCLSA-N -1 1 324.344 1.379 20 0 DDADMM COC[C@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccccc1 ZINC000736978366 599001360 /nfs/dbraw/zinc/00/13/60/599001360.db2.gz PRLLFMCZCQHBNK-AWEZNQCLSA-N -1 1 324.344 1.379 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(-c2nnn[n-]2)nc1)Oc1ccccc1 ZINC000736020104 599005651 /nfs/dbraw/zinc/00/56/51/599005651.db2.gz MKQYTHTWLPYVCI-NSHDSACASA-N -1 1 324.344 1.459 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(-c2nn[n-]n2)nc1)Oc1ccccc1 ZINC000736020104 599005653 /nfs/dbraw/zinc/00/56/53/599005653.db2.gz MKQYTHTWLPYVCI-NSHDSACASA-N -1 1 324.344 1.459 20 0 DDADMM CSC1(CNc2cccc(-c3nnn[n-]3)n2)CCOCC1 ZINC000821951284 599052793 /nfs/dbraw/zinc/05/27/93/599052793.db2.gz VWHMBLISVHBHFP-UHFFFAOYSA-N -1 1 306.395 1.586 20 0 DDADMM CSC1(CNc2cccc(-c3nn[n-]n3)n2)CCOCC1 ZINC000821951284 599052797 /nfs/dbraw/zinc/05/27/97/599052797.db2.gz VWHMBLISVHBHFP-UHFFFAOYSA-N -1 1 306.395 1.586 20 0 DDADMM Fc1cccc(F)c1[C@@H]1C[C@H]1Nc1nccnc1-c1nnn[n-]1 ZINC000737659949 599171502 /nfs/dbraw/zinc/17/15/02/599171502.db2.gz GNZUIZPEVJJQQR-GMSGAONNSA-N -1 1 315.287 1.903 20 0 DDADMM Fc1cccc(F)c1[C@@H]1C[C@H]1Nc1nccnc1-c1nn[n-]n1 ZINC000737659949 599171505 /nfs/dbraw/zinc/17/15/05/599171505.db2.gz GNZUIZPEVJJQQR-GMSGAONNSA-N -1 1 315.287 1.903 20 0 DDADMM CCc1cnc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000821499567 599195456 /nfs/dbraw/zinc/19/54/56/599195456.db2.gz MWXFHLOHWXHFJF-UHFFFAOYSA-N -1 1 315.362 1.211 20 0 DDADMM CCc1cnc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000821499567 599195459 /nfs/dbraw/zinc/19/54/59/599195459.db2.gz MWXFHLOHWXHFJF-UHFFFAOYSA-N -1 1 315.362 1.211 20 0 DDADMM Cc1ccc(-c2n[nH]c(SCC(=O)N(C)CC(=O)[O-])n2)cc1 ZINC000738251604 597228535 /nfs/dbraw/zinc/22/85/35/597228535.db2.gz NKOYQAZTYGTRLK-UHFFFAOYSA-N -1 1 320.374 1.415 20 0 DDADMM NC(=O)[C@@H]1CCCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000737740542 599256924 /nfs/dbraw/zinc/25/69/24/599256924.db2.gz ADXOUWVQQPQBJM-SNVBAGLBSA-N -1 1 323.360 1.117 20 0 DDADMM NC(=O)[C@@H]1CCCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000737740542 599256926 /nfs/dbraw/zinc/25/69/26/599256926.db2.gz ADXOUWVQQPQBJM-SNVBAGLBSA-N -1 1 323.360 1.117 20 0 DDADMM CCN1CCN(C(=O)Nc2ccccc2CC(=O)[O-])C[C@H]1C ZINC000315948223 597387344 /nfs/dbraw/zinc/38/73/44/597387344.db2.gz UUMSHBQWIRCPMA-GFCCVEGCSA-N -1 1 305.378 1.872 20 0 DDADMM NC(=O)c1cccc(NCc2ccc(-c3nn[n-]n3)s2)c1 ZINC000822890121 607310927 /nfs/dbraw/zinc/31/09/27/607310927.db2.gz MJEHIMYYHFNSOP-UHFFFAOYSA-N -1 1 300.347 1.639 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1cc2cc(F)ccc2o1 ZINC000737777295 599276654 /nfs/dbraw/zinc/27/66/54/599276654.db2.gz TVZFAZZSRASOCK-UHFFFAOYSA-N -1 1 313.252 1.219 20 0 DDADMM CO[C@H](c1ccccc1Cl)[C@H](C)NCC(=O)NCC(=O)[O-] ZINC000820696392 597810115 /nfs/dbraw/zinc/81/01/15/597810115.db2.gz FBOUOGVKQMQTJT-XPTSAGLGSA-N -1 1 314.769 1.206 20 0 DDADMM O=C([O-])C1CCN(CN2C[C@@H](c3cccnc3)CC2=O)CC1 ZINC000821511630 598059217 /nfs/dbraw/zinc/05/92/17/598059217.db2.gz MSYDACYEQDJDPF-AWEZNQCLSA-N -1 1 303.362 1.152 20 0 DDADMM O=[S@]1CC[C@@H](Nc2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000823612563 607327369 /nfs/dbraw/zinc/32/73/69/607327369.db2.gz BAHKRFPSSBIVRG-CLTRCRFRSA-N -1 1 314.374 1.348 20 0 DDADMM O=[S@]1CC[C@@H](Nc2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000823612563 607327370 /nfs/dbraw/zinc/32/73/70/607327370.db2.gz BAHKRFPSSBIVRG-CLTRCRFRSA-N -1 1 314.374 1.348 20 0 DDADMM CC(=O)N(c1nc(CN[C@@H](C(=O)[O-])C(C)C)cs1)C1CC1 ZINC000819731297 598188802 /nfs/dbraw/zinc/18/88/02/598188802.db2.gz HZYOTGGPIXWGIR-GFCCVEGCSA-N -1 1 311.407 1.857 20 0 DDADMM O=S(=O)(NCCC1CCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738342877 598264344 /nfs/dbraw/zinc/26/43/44/598264344.db2.gz MFJBKEPQHGPPTM-UHFFFAOYSA-N -1 1 322.394 1.120 20 0 DDADMM O=S(=O)(NCCC1CCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738342877 598264346 /nfs/dbraw/zinc/26/43/46/598264346.db2.gz MFJBKEPQHGPPTM-UHFFFAOYSA-N -1 1 322.394 1.120 20 0 DDADMM c1cnn(-c2ccc(CNc3nccnc3-c3nnn[n-]3)cc2)c1 ZINC000738447560 598345031 /nfs/dbraw/zinc/34/50/31/598345031.db2.gz HCSUTAIRRMEGMQ-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1cnn(-c2ccc(CNc3nccnc3-c3nn[n-]n3)cc2)c1 ZINC000738447560 598345032 /nfs/dbraw/zinc/34/50/32/598345032.db2.gz HCSUTAIRRMEGMQ-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM Cc1csc(CCCCNc2nccnc2-c2nnn[n-]2)n1 ZINC000737451276 598422084 /nfs/dbraw/zinc/42/20/84/598422084.db2.gz AGSBUWRAPCLCFJ-UHFFFAOYSA-N -1 1 316.394 1.856 20 0 DDADMM Cc1csc(CCCCNc2nccnc2-c2nn[n-]n2)n1 ZINC000737451276 598422086 /nfs/dbraw/zinc/42/20/86/598422086.db2.gz AGSBUWRAPCLCFJ-UHFFFAOYSA-N -1 1 316.394 1.856 20 0 DDADMM CCCO[C@@H]1CCCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000736488198 598503157 /nfs/dbraw/zinc/50/31/57/598503157.db2.gz LUTVZBGPZXXEAX-LLVKDONJSA-N -1 1 303.370 1.442 20 0 DDADMM CCCO[C@@H]1CCCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000736488198 598503159 /nfs/dbraw/zinc/50/31/59/598503159.db2.gz LUTVZBGPZXXEAX-LLVKDONJSA-N -1 1 303.370 1.442 20 0 DDADMM CCN(C[C@@H]1CCCO1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736535938 598745858 /nfs/dbraw/zinc/74/58/58/598745858.db2.gz ZAFIEPYGHDIPLY-VIFPVBQESA-N -1 1 308.773 1.920 20 0 DDADMM CCN(C[C@@H]1CCCO1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736535938 598745859 /nfs/dbraw/zinc/74/58/59/598745859.db2.gz ZAFIEPYGHDIPLY-VIFPVBQESA-N -1 1 308.773 1.920 20 0 DDADMM CC[C@@H](C)[C@H](O)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000736335798 598774814 /nfs/dbraw/zinc/77/48/14/598774814.db2.gz ZWVQHFYXHZWXEA-PHDIDXHHSA-N -1 1 302.791 1.796 20 0 DDADMM CC[C@@H](C)[C@H](O)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000736335798 598774815 /nfs/dbraw/zinc/77/48/15/598774815.db2.gz ZWVQHFYXHZWXEA-PHDIDXHHSA-N -1 1 302.791 1.796 20 0 DDADMM CCc1ccc(CCNC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000736684664 599376291 /nfs/dbraw/zinc/37/62/91/599376291.db2.gz ZBRRGMLBQQFXKK-UHFFFAOYSA-N -1 1 322.372 1.797 20 0 DDADMM CCc1ccc(CCNC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000736684664 599376294 /nfs/dbraw/zinc/37/62/94/599376294.db2.gz ZBRRGMLBQQFXKK-UHFFFAOYSA-N -1 1 322.372 1.797 20 0 DDADMM O=C(NC1(c2ccccc2F)CC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738011182 599379942 /nfs/dbraw/zinc/37/99/42/599379942.db2.gz KUIWRLQFIYIJKX-UHFFFAOYSA-N -1 1 324.319 1.820 20 0 DDADMM O=C(NC1(c2ccccc2F)CC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738011182 599379944 /nfs/dbraw/zinc/37/99/44/599379944.db2.gz KUIWRLQFIYIJKX-UHFFFAOYSA-N -1 1 324.319 1.820 20 0 DDADMM CCOC(=O)N1CCC(Nc2cccc(-c3nnn[n-]3)n2)CC1 ZINC000736600469 599394464 /nfs/dbraw/zinc/39/44/64/599394464.db2.gz LXHHMODRQUYIBA-UHFFFAOYSA-N -1 1 317.353 1.295 20 0 DDADMM CCOC(=O)N1CCC(Nc2cccc(-c3nn[n-]n3)n2)CC1 ZINC000736600469 599394466 /nfs/dbraw/zinc/39/44/66/599394466.db2.gz LXHHMODRQUYIBA-UHFFFAOYSA-N -1 1 317.353 1.295 20 0 DDADMM c1cc(-c2nnn[n-]2)oc1CNCc1nncn1-c1ccccc1 ZINC000823773130 599405534 /nfs/dbraw/zinc/40/55/34/599405534.db2.gz PRNNBJKCLLQODF-UHFFFAOYSA-N -1 1 322.332 1.330 20 0 DDADMM c1cc(-c2nn[n-]n2)oc1CNCc1nncn1-c1ccccc1 ZINC000823773130 599405536 /nfs/dbraw/zinc/40/55/36/599405536.db2.gz PRNNBJKCLLQODF-UHFFFAOYSA-N -1 1 322.332 1.330 20 0 DDADMM O[C@H](CNc1snc(Cl)c1-c1nnn[n-]1)c1cccnc1 ZINC000823690875 607383248 /nfs/dbraw/zinc/38/32/48/607383248.db2.gz WUTLBPVRZUHZGD-SSDOTTSWSA-N -1 1 323.769 1.517 20 0 DDADMM O[C@H](CNc1snc(Cl)c1-c1nn[n-]n1)c1cccnc1 ZINC000823690875 607383251 /nfs/dbraw/zinc/38/32/51/607383251.db2.gz WUTLBPVRZUHZGD-SSDOTTSWSA-N -1 1 323.769 1.517 20 0 DDADMM Cn1cc(CN2CCN(Cc3ccc(C(=O)[O-])cc3)CC2)cn1 ZINC000739035581 599925065 /nfs/dbraw/zinc/92/50/65/599925065.db2.gz WPVCHDHMYMPNQA-UHFFFAOYSA-N -1 1 314.389 1.436 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N1[C@@H](C)CCC[C@@H]1C ZINC000737794707 600224465 /nfs/dbraw/zinc/22/44/65/600224465.db2.gz SNVIWZVUTYSOMB-STQMWFEESA-N -1 1 300.399 1.199 20 0 DDADMM C[C@@H](Sc1ccccc1C(=O)NCc1nnc[nH]1)C(=O)[O-] ZINC000736716276 600267708 /nfs/dbraw/zinc/26/77/08/600267708.db2.gz KCDUCLMBQGLKQN-MRVPVSSYSA-N -1 1 306.347 1.300 20 0 DDADMM CC(C)CN(C(=O)CN1CCC2(C[C@H]2C(=O)[O-])CC1)C1CC1 ZINC000736437980 600309059 /nfs/dbraw/zinc/30/90/59/600309059.db2.gz MYJXTVAXJGIWBU-AWEZNQCLSA-N -1 1 308.422 1.820 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)c1cccc(C(=O)[O-])n1 ZINC000316525061 600311805 /nfs/dbraw/zinc/31/18/05/600311805.db2.gz FSJUGDKHEJBZSL-RYUDHWBXSA-N -1 1 303.362 1.479 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCC(Cc2ccccc2F)CC1 ZINC000739734622 600338728 /nfs/dbraw/zinc/33/87/28/600338728.db2.gz MMNRQBNRERXSDV-UHFFFAOYSA-N -1 1 322.380 1.671 20 0 DDADMM C[C@H](CN(C)[C@@H](C)C(=O)Nc1cc(F)ccc1F)C(=O)[O-] ZINC000736574407 600365918 /nfs/dbraw/zinc/36/59/18/600365918.db2.gz CTGGLXBYTGEDQR-BDAKNGLRSA-N -1 1 300.305 1.944 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN[C@](C)(CO)c1ccccc1)C(=O)[O-] ZINC000736882972 600459220 /nfs/dbraw/zinc/45/92/20/600459220.db2.gz IZGKNSCWEKBNOF-YLQAJVPDSA-N -1 1 322.405 1.099 20 0 DDADMM CCc1cc(CNC(=O)c2[nH]c(CC)c(C(=O)[O-])c2C)n[nH]1 ZINC000737252056 600497360 /nfs/dbraw/zinc/49/73/60/600497360.db2.gz CWHJQBOXTIKQSM-UHFFFAOYSA-N -1 1 304.350 1.799 20 0 DDADMM Cc1cn2cc([N-]S(=O)(=O)c3ccc(C(=O)[O-])o3)ccc2[nH+]1 ZINC000738798369 600513298 /nfs/dbraw/zinc/51/32/98/600513298.db2.gz JGWJCQWTLLQROR-UHFFFAOYSA-N -1 1 321.314 1.735 20 0 DDADMM CC[C@@H](O)CN1CCN([C@@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000736925707 600557735 /nfs/dbraw/zinc/55/77/35/600557735.db2.gz CEWWHDXVAUNFDP-HUUCEWRRSA-N -1 1 310.369 1.340 20 0 DDADMM COC[C@@H]1CCCN(CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC000737754132 600614412 /nfs/dbraw/zinc/61/44/12/600614412.db2.gz POQURWDKVUAXAW-GFCCVEGCSA-N -1 1 306.362 1.682 20 0 DDADMM CCc1nnsc1C(=O)Nc1nnc(CCC(=O)[O-])s1 ZINC000737292340 600629442 /nfs/dbraw/zinc/62/94/42/600629442.db2.gz OUGPCNHMFHTNSO-UHFFFAOYSA-N -1 1 313.364 1.222 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@@H]1C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000826316415 607460361 /nfs/dbraw/zinc/46/03/61/607460361.db2.gz NLFADKAXEQXKBA-SFYZADRCSA-N -1 1 315.362 1.459 20 0 DDADMM C[C@](O)(CN1CCn2cccc2[C@H]1c1ccccc1)C(=O)[O-] ZINC000736671436 600762253 /nfs/dbraw/zinc/76/22/53/600762253.db2.gz SWEZYSPJOWWDMM-WBVHZDCISA-N -1 1 300.358 1.729 20 0 DDADMM Cc1nnc(SCC(=O)N[C@H](CC(=O)[O-])c2ccccc2)[nH]1 ZINC000832991390 600875434 /nfs/dbraw/zinc/87/54/34/600875434.db2.gz VLENFJOEXVFUGO-LLVKDONJSA-N -1 1 320.374 1.537 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@H](CC(=O)[O-])c2ccccc2)n1 ZINC000832991390 600875435 /nfs/dbraw/zinc/87/54/35/600875435.db2.gz VLENFJOEXVFUGO-LLVKDONJSA-N -1 1 320.374 1.537 20 0 DDADMM Cc1nnc(SCC(=O)Nc2ccc(CC(=O)[O-])cc2)[nH]1 ZINC000832990559 600878235 /nfs/dbraw/zinc/87/82/35/600878235.db2.gz FWVZHRNIXPKGBV-UHFFFAOYSA-N -1 1 306.347 1.471 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2ccc(CC(=O)[O-])cc2)n1 ZINC000832990559 600878237 /nfs/dbraw/zinc/87/82/37/600878237.db2.gz FWVZHRNIXPKGBV-UHFFFAOYSA-N -1 1 306.347 1.471 20 0 DDADMM C[C@@H]1CC[C@H](C)N1CC(=O)Nc1cccc(OCC(=O)[O-])c1 ZINC000737739523 600887915 /nfs/dbraw/zinc/88/79/15/600887915.db2.gz MVCXXOILGYDZHL-TXEJJXNPSA-N -1 1 306.362 1.961 20 0 DDADMM O=C([O-])[C@H]1C[C@@H]1C(=O)Nc1nc2ccc(Br)cc2[nH]1 ZINC000833181376 600936471 /nfs/dbraw/zinc/93/64/71/600936471.db2.gz NQOQDJABUYIESM-BQBZGAKWSA-N -1 1 324.134 1.985 20 0 DDADMM C[C@H](C(=O)N1CCC(C(=O)[O-])CC1)N1C[C@@H](C)S[C@@H](C)C1 ZINC000828411554 601025288 /nfs/dbraw/zinc/02/52/88/601025288.db2.gz IHLNHPNFSHJYMF-GRYCIOLGSA-N -1 1 314.451 1.524 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CC2(O)CCCCC2)CC1 ZINC000827363078 601084927 /nfs/dbraw/zinc/08/49/27/601084927.db2.gz YYNJPIDPIJEBDP-CYBMUJFWSA-N -1 1 312.410 1.079 20 0 DDADMM Cc1nc(CN(C)[C@H]2CCN(Cc3ccc(C(=O)[O-])o3)C2)no1 ZINC000738854761 601104859 /nfs/dbraw/zinc/10/48/59/601104859.db2.gz IMAOCXVDLUNBPE-NSHDSACASA-N -1 1 320.349 1.376 20 0 DDADMM Cc1nnc([C@H]2CN([C@H](C(=O)[O-])c3ccc(F)cc3)CCO2)[nH]1 ZINC000738823593 601105760 /nfs/dbraw/zinc/10/57/60/601105760.db2.gz NTGBXIQLUNFQIG-OLZOCXBDSA-N -1 1 320.324 1.451 20 0 DDADMM Cc1cc(S(=O)(=O)N2CC[C@H](N(C)C)C2)ccc1C(=O)[O-] ZINC000314889189 601110727 /nfs/dbraw/zinc/11/07/27/601110727.db2.gz RPLQNIKOCPRDBJ-NSHDSACASA-N -1 1 312.391 1.018 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCC(=O)Nc1cc(F)ccc1F ZINC000831578818 601135430 /nfs/dbraw/zinc/13/54/30/601135430.db2.gz RBNYMBSQNRHPPN-CQSZACIVSA-N -1 1 316.304 1.373 20 0 DDADMM Cc1nnc(SCC(=O)N[C@H](Cc2ccccc2)C(=O)[O-])[nH]1 ZINC000818981406 601184782 /nfs/dbraw/zinc/18/47/82/601184782.db2.gz RNYVICODVQFUAL-LLVKDONJSA-N -1 1 320.374 1.017 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@H](Cc2ccccc2)C(=O)[O-])n1 ZINC000818981406 601184783 /nfs/dbraw/zinc/18/47/83/601184783.db2.gz RNYVICODVQFUAL-LLVKDONJSA-N -1 1 320.374 1.017 20 0 DDADMM O=C([O-])[C@@H]1CCCCN1C(=O)CSc1n[nH]c(=S)s1 ZINC000821509369 601198004 /nfs/dbraw/zinc/19/80/04/601198004.db2.gz CKVUDKOZYYGOGE-LURJTMIESA-N -1 1 319.433 1.385 20 0 DDADMM O=C([O-])[C@H]1CCC[C@@H](C(=O)N2CCN(C3CCOCC3)CC2)C1 ZINC000833185577 601293511 /nfs/dbraw/zinc/29/35/11/601293511.db2.gz NYWBUULWPVZFKB-KGLIPLIRSA-N -1 1 324.421 1.201 20 0 DDADMM Cc1nc(NC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)oc1C ZINC000833004115 601294456 /nfs/dbraw/zinc/29/44/56/601294456.db2.gz RQAURVBKYUOABW-VIFPVBQESA-N -1 1 310.354 1.304 20 0 DDADMM O=C([O-])c1cccc(C(=O)N2CCN(C[C@@H]3CCOC3)CC2)c1 ZINC000821547236 601330954 /nfs/dbraw/zinc/33/09/54/601330954.db2.gz OZXKEOJITRLDPI-ZDUSSCGKSA-N -1 1 318.373 1.179 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C[C@@H](O)COc2ccc(F)cc2)C1 ZINC000833175216 601447605 /nfs/dbraw/zinc/44/76/05/601447605.db2.gz OCXIXKAQKQVBAI-BXUZGUMPSA-N -1 1 301.289 1.064 20 0 DDADMM C[C@H]1CN(Cc2nc(Cc3ccccc3)no2)C[C@H]1C(=O)[O-] ZINC000828463064 601509523 /nfs/dbraw/zinc/50/95/23/601509523.db2.gz OGUIHDZFORXAFY-WCQYABFASA-N -1 1 301.346 1.813 20 0 DDADMM CCOc1ccccc1NC(=O)CN1C[C@H](C)[C@H](C(=O)[O-])C1 ZINC000315412036 601526625 /nfs/dbraw/zinc/52/66/25/601526625.db2.gz LCFWEMPNSQANQZ-NWDGAFQWSA-N -1 1 306.362 1.676 20 0 DDADMM CSc1cccc(NC(=O)CN2C[C@@H](C)[C@H](C(=O)[O-])C2)c1 ZINC000832786006 601527512 /nfs/dbraw/zinc/52/75/12/601527512.db2.gz USCZHTYOHMTQCR-ZWNOBZJWSA-N -1 1 308.403 2.000 20 0 DDADMM COc1ccc(C)cc1NC(=O)[C@H](C)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000832258347 601528496 /nfs/dbraw/zinc/52/84/96/601528496.db2.gz HQPOPZNXLKTJAE-FRRDWIJNSA-N -1 1 320.389 1.983 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N1CC[C@@](F)(C(=O)[O-])C1 ZINC000830624137 601559467 /nfs/dbraw/zinc/55/94/67/601559467.db2.gz XAHBZBODBIUDOT-ZDUSSCGKSA-N -1 1 300.355 1.449 20 0 DDADMM COc1ccc(CNC(=O)CN2CCC(C)CC2)cc1C(=O)[O-] ZINC000832323541 601585153 /nfs/dbraw/zinc/58/51/53/601585153.db2.gz MJNGOOKMQXRJCT-UHFFFAOYSA-N -1 1 320.389 1.742 20 0 DDADMM CC[C@@H](O)CN1CCN(Cc2ccc(C(=O)[O-])c(F)c2)CC1 ZINC000829004537 601640891 /nfs/dbraw/zinc/64/08/91/601640891.db2.gz KZXOLNUEZBUJRG-CYBMUJFWSA-N -1 1 310.369 1.412 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCC[C@@H]2COCC[C@@H]21)C(=O)[O-] ZINC000828715037 601661884 /nfs/dbraw/zinc/66/18/84/601661884.db2.gz CIQQTSYIJJPLEP-XFMPKHEZSA-N -1 1 312.410 1.103 20 0 DDADMM Cc1cc(C(=O)NCc2cnc[nH]2)cc(C)c1OCC(=O)[O-] ZINC000832862931 601757452 /nfs/dbraw/zinc/75/74/52/601757452.db2.gz FKQRTLNYBJLZIQ-UHFFFAOYSA-N -1 1 303.318 1.420 20 0 DDADMM C[C@H]1CN(C(=O)c2c[nH]c3ccccc3c2=O)C[C@@H]1C(=O)[O-] ZINC000315168514 601759095 /nfs/dbraw/zinc/75/90/95/601759095.db2.gz WEHSKFDPWOSDSA-CABZTGNLSA-N -1 1 300.314 1.733 20 0 DDADMM CC(C)C[C@@]1(C)CC(=O)N(CN2CC[C@@](C)(C(=O)[O-])C2)C1=O ZINC000826826292 601890903 /nfs/dbraw/zinc/89/09/03/601890903.db2.gz GKYLRHXRYKODQV-CVEARBPZSA-N -1 1 310.394 1.552 20 0 DDADMM COC(=O)C[C@@H]1CSCCN1Cc1ccsc1C(=O)[O-] ZINC000831147941 601892777 /nfs/dbraw/zinc/89/27/77/601892777.db2.gz LWMITRLHVAZVEH-SNVBAGLBSA-N -1 1 315.416 1.927 20 0 DDADMM O=C([O-])NC[C@H]1CCN(Cn2nccc2-c2cccnc2)C1 ZINC000740646958 601913476 /nfs/dbraw/zinc/91/34/76/601913476.db2.gz UUXWOECVAAUODQ-GFCCVEGCSA-N -1 1 301.350 1.492 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1F)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738629168 601943558 /nfs/dbraw/zinc/94/35/58/601943558.db2.gz NAGVYORRENGBRD-RYUDHWBXSA-N -1 1 323.368 1.420 20 0 DDADMM C[C@]1(C(=O)[O-])CC[N@H+](Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000387853684 601949647 /nfs/dbraw/zinc/94/96/47/601949647.db2.gz JRQYLFAEDLZRTC-INIZCTEOSA-N -1 1 303.314 1.795 20 0 DDADMM C[C@]1(C(=O)[O-])CC[N@@H+](Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000387853684 601949648 /nfs/dbraw/zinc/94/96/48/601949648.db2.gz JRQYLFAEDLZRTC-INIZCTEOSA-N -1 1 303.314 1.795 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(C(=O)[C@H]1CCCc3[nH]ncc31)CC2 ZINC000833179203 602019781 /nfs/dbraw/zinc/01/97/81/602019781.db2.gz KZISELSWJOHEFG-CMPLNLGQSA-N -1 1 303.362 1.543 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)NCC1CCN(C(=O)[O-])CC1 ZINC000740029955 602053027 /nfs/dbraw/zinc/05/30/27/602053027.db2.gz GDPXSOKWHGHYPP-UHFFFAOYSA-N -1 1 323.397 1.340 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)CN[C@H](C(=O)[O-])C(C)(C)C ZINC000832596113 602225642 /nfs/dbraw/zinc/22/56/42/602225642.db2.gz OICLOFNOJGSETF-XHDPSFHLSA-N -1 1 322.405 1.961 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)Nc1ccc2n[nH]nc2c1 ZINC000833334903 602319274 /nfs/dbraw/zinc/31/92/74/602319274.db2.gz KCNIVHZGACBINB-UHFFFAOYSA-N -1 1 318.314 1.457 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000739311545 602377954 /nfs/dbraw/zinc/37/79/54/602377954.db2.gz DPVWTLFMZSPLBW-OAHLLOKOSA-N -1 1 319.405 1.597 20 0 DDADMM C[C@H]1CCCC[C@]1(CNC(=O)[O-])NCc1nnnn1C1CC1 ZINC000739105549 602432966 /nfs/dbraw/zinc/43/29/66/602432966.db2.gz YMPIOKUTQVNQRR-IINYFYTJSA-N -1 1 308.386 1.314 20 0 DDADMM CN(C[C@@H]1CCN(C[C@@H](O)c2cc(F)ccc2F)C1)C(=O)[O-] ZINC000739665126 602529060 /nfs/dbraw/zinc/52/90/60/602529060.db2.gz LADBJWTTXXPYEJ-IINYFYTJSA-N -1 1 314.332 1.930 20 0 DDADMM COC(=O)c1cc(CN2CCC(N(C)C(=O)[O-])CC2)c(C)o1 ZINC000738047882 602537446 /nfs/dbraw/zinc/53/74/46/602537446.db2.gz CNDXKXLIUSVOLL-UHFFFAOYSA-N -1 1 310.350 1.949 20 0 DDADMM C[C@@H](C(=O)NC1CCCCC1)N1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738627314 602540782 /nfs/dbraw/zinc/54/07/82/602540782.db2.gz XYWHSYGHNRBBLS-STQMWFEESA-N -1 1 311.426 1.756 20 0 DDADMM CN(C[C@@H]1CCN(CC(=O)N(C2CC2)C2CCCC2)C1)C(=O)[O-] ZINC000739659312 602543152 /nfs/dbraw/zinc/54/31/52/602543152.db2.gz HXKJTXXYEAWKFL-ZDUSSCGKSA-N -1 1 323.437 1.852 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CNC(=O)N1CCN2CCCC[C@@H]2C1 ZINC000740420696 602615126 /nfs/dbraw/zinc/61/51/26/602615126.db2.gz SIZZQHDJQBVNOG-CHWSQXEVSA-N -1 1 310.398 1.009 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCC[N@H+]1Cc1cc(=O)n2[n-]ccc2n1 ZINC000739002320 602678819 /nfs/dbraw/zinc/67/88/19/602678819.db2.gz PYOUVPXVAIFAQP-JQWIXIFHSA-N -1 1 319.365 1.033 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)C[C@H]2CCCN(C(=O)[O-])C2)c1 ZINC000740195687 602704826 /nfs/dbraw/zinc/70/48/26/602704826.db2.gz NFDBHIDDWXRPSF-CYBMUJFWSA-N -1 1 320.393 1.650 20 0 DDADMM O=C([O-])N1CC[C@@H](CCNC(=O)N2CCN3CCCC[C@@H]3C2)C1 ZINC000740502563 602722460 /nfs/dbraw/zinc/72/24/60/602722460.db2.gz TVTIBTBXJPNJBH-ZIAGYGMSSA-N -1 1 324.425 1.256 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)c2ccc(F)c(F)c2)CC[C@H]1NC(=O)[O-] ZINC000739180574 602785525 /nfs/dbraw/zinc/78/55/25/602785525.db2.gz PKRXCMAYYKVFKK-OWYVNGRQSA-N -1 1 314.332 1.976 20 0 DDADMM C[C@@H]1CN(CC(=O)N2[C@H](C)CCC[C@@H]2C)CC[C@H]1NC(=O)[O-] ZINC000739170756 602787462 /nfs/dbraw/zinc/78/74/62/602787462.db2.gz HHMBOQFOPKPBLZ-YIYPIFLZSA-N -1 1 311.426 1.754 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)C[C@H](C)N1C(=O)[O-] ZINC000739166631 602806766 /nfs/dbraw/zinc/80/67/66/602806766.db2.gz OPRGPJLSHSSDGG-AOOOYVTPSA-N -1 1 318.333 1.883 20 0 DDADMM Cc1ccc([C@H](CNC(=O)C[C@@H](C)NC(=O)[O-])N2CCCC2)o1 ZINC000830256556 602807238 /nfs/dbraw/zinc/80/72/38/602807238.db2.gz JFTAQGCNVRUGDA-YPMHNXCESA-N -1 1 323.393 1.887 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc3n[nH]cc32)C[C@H](C)N1C(=O)[O-] ZINC000739168452 602808007 /nfs/dbraw/zinc/80/80/07/602808007.db2.gz PNLOEXPQFQLPEN-UWVGGRQHSA-N -1 1 302.334 1.776 20 0 DDADMM C[NH+](C)[C@@H](CNC(=O)c1ccc(NC(=O)[O-])cc1[O-])C1CC1 ZINC000739609906 602850783 /nfs/dbraw/zinc/85/07/83/602850783.db2.gz YMOAVHDCZBKYCJ-LBPRGKRZSA-N -1 1 307.350 1.552 20 0 DDADMM C[C@H](C(=O)NCc1nnc[nH]1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823704757 602903282 /nfs/dbraw/zinc/90/32/82/602903282.db2.gz TVICRWWBKNJNGV-JOYOIKCWSA-N -1 1 303.322 1.066 20 0 DDADMM CC(C)CN1CCO[C@@H](COC(=O)CC(C)(C)NC(=O)[O-])C1 ZINC000738823594 602964014 /nfs/dbraw/zinc/96/40/14/602964014.db2.gz GQALMBXNVGFOBD-GFCCVEGCSA-N -1 1 316.398 1.323 20 0 DDADMM CCc1nnc([C@H]2CN(C[C@@H]3CCCN(C(=O)[O-])C3)CCO2)[nH]1 ZINC000739555335 603073160 /nfs/dbraw/zinc/07/31/60/603073160.db2.gz ZSYBTZVSKYJPQS-NWDGAFQWSA-N -1 1 323.397 1.130 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCC[C@H]2CNC(=O)[O-])c1 ZINC000829925142 603113465 /nfs/dbraw/zinc/11/34/65/603113465.db2.gz PBSWYXHVSYRPEX-NSHDSACASA-N -1 1 302.334 1.744 20 0 DDADMM CN(CCCNC(=O)[O-])CC(=O)N1CCc2ccccc2C1 ZINC000739682538 603250117 /nfs/dbraw/zinc/25/01/17/603250117.db2.gz RSTRBVQLNVVRRC-UHFFFAOYSA-N -1 1 305.378 1.161 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CC(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000831345629 603391301 /nfs/dbraw/zinc/39/13/01/603391301.db2.gz KPUXUMDSDGISBR-SECBINFHSA-N -1 1 316.321 1.338 20 0 DDADMM O=C([O-])NCCCNC(=O)Nc1cnn(-c2ccncc2)c1 ZINC000832617143 603556693 /nfs/dbraw/zinc/55/66/93/603556693.db2.gz RGRQWJWEGDCGHP-UHFFFAOYSA-N -1 1 304.310 1.047 20 0 DDADMM COc1cccc(NC(=O)CCN2CCN(C(=O)[O-])[C@H](C)C2)c1 ZINC000829570313 603568397 /nfs/dbraw/zinc/56/83/97/603568397.db2.gz JKEIEXZEWNWZPY-GFCCVEGCSA-N -1 1 321.377 1.708 20 0 DDADMM O=C([O-])N1CCC(N(CN2C(=O)CC23CCC3)C2CC2)CC1 ZINC000831942396 603600703 /nfs/dbraw/zinc/60/07/03/603600703.db2.gz RAKWEGQACCGGBX-UHFFFAOYSA-N -1 1 307.394 1.706 20 0 DDADMM Cc1cc(CNC(=O)N(C)CC[C@H](NC(=O)[O-])C(C)C)[nH]n1 ZINC000830042189 603661492 /nfs/dbraw/zinc/66/14/92/603661492.db2.gz YYEIWPHAUFRYKA-LBPRGKRZSA-N -1 1 311.386 1.542 20 0 DDADMM CN(C(=O)c1cc(-c2cccs2)[nH]n1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000827926795 603676041 /nfs/dbraw/zinc/67/60/41/603676041.db2.gz IXLWMHJVHQZQNP-SECBINFHSA-N -1 1 320.374 1.963 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H]3CCC[C@H]3NC(=O)[O-])[nH]c2c1 ZINC000830432309 603763903 /nfs/dbraw/zinc/76/39/03/603763903.db2.gz CSVCBXSSRXSVIM-WDEREUQCSA-N -1 1 316.361 1.924 20 0 DDADMM C[C@](CNC(=O)[O-])(NC(=O)[C@H]1CCCc2[nH]ncc21)C1CC1 ZINC000824946406 603983959 /nfs/dbraw/zinc/98/39/59/603983959.db2.gz QMGAFCVBNCJZFX-ZUZCIYMTSA-N -1 1 306.366 1.382 20 0 DDADMM CN(C[C@@H]1CCCN1CC[S@@](=O)c1ccccc1)C(=O)[O-] ZINC000828223057 604104837 /nfs/dbraw/zinc/10/48/37/604104837.db2.gz HAJHGCULPVYQJV-YEJXKQKISA-N -1 1 310.419 1.868 20 0 DDADMM O=C([O-])N1CCCc2cc(NC(=O)CCc3nc[nH]n3)ccc21 ZINC000831441023 604152795 /nfs/dbraw/zinc/15/27/95/604152795.db2.gz AYISNGVYOBSLSO-UHFFFAOYSA-N -1 1 315.333 1.807 20 0 DDADMM CCc1cc(CNC(=O)N2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])[nH]n1 ZINC000827556540 604174376 /nfs/dbraw/zinc/17/43/76/604174376.db2.gz LLIXMGKXWZASRC-OLZOCXBDSA-N -1 1 321.381 1.398 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)NC(C2CC2)C2CC2)C1 ZINC000825252974 604195769 /nfs/dbraw/zinc/19/57/69/604195769.db2.gz HGIVAEPPNZFPJZ-FZMZJTMJSA-N -1 1 323.437 1.659 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)NC2CCCCC2)C1 ZINC000825256713 604206151 /nfs/dbraw/zinc/20/61/51/604206151.db2.gz ZTFRFHOUVBDHEC-QWHCGFSZSA-N -1 1 311.426 1.803 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(Cc2cnc3ccnn3c2)C1 ZINC000825272614 604251819 /nfs/dbraw/zinc/25/18/19/604251819.db2.gz MNIPPDHVPRHJRL-WCQYABFASA-N -1 1 303.366 1.597 20 0 DDADMM C[C@@H](CC(=O)N[C@@H](C)c1nnc[nH]1)[C@H]1CCCN(C(=O)[O-])C1 ZINC000825100434 604386736 /nfs/dbraw/zinc/38/67/36/604386736.db2.gz FAUATYXZFZHJPW-DCAQKATOSA-N -1 1 309.370 1.398 20 0 DDADMM CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)c1cccc2c[nH]nc21 ZINC000827011347 604448588 /nfs/dbraw/zinc/44/85/88/604448588.db2.gz OMBANWCSYIGCDF-LBPRGKRZSA-N -1 1 305.334 1.513 20 0 DDADMM CN(C)c1ncc(CN2Cc3ccccc3C[C@H]2C(=O)[O-])cn1 ZINC000830651645 604466642 /nfs/dbraw/zinc/46/66/42/604466642.db2.gz YNUXVLPECICNJR-HNNXBMFYSA-N -1 1 312.373 1.554 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NCC2(C(=O)[O-])CCCC2)n[nH]1 ZINC000832882722 604686900 /nfs/dbraw/zinc/68/69/00/604686900.db2.gz AVYJWOVYSLCIMY-SNVBAGLBSA-N -1 1 308.382 1.593 20 0 DDADMM CC(C)(C)C1=CCN(CC(=O)N2CCC(C(=O)[O-])CC2)CC1 ZINC000382470731 604771366 /nfs/dbraw/zinc/77/13/66/604771366.db2.gz BGNOQLTZSZFOHK-UHFFFAOYSA-N -1 1 308.422 1.988 20 0 DDADMM Cc1ccc2c(n1)c(=O)c(-c1nn[n-]n1)cn2C[C@H]1CCCO1 ZINC000826294865 607716399 /nfs/dbraw/zinc/71/63/99/607716399.db2.gz GZMWJHQLDFHYGA-SNVBAGLBSA-N -1 1 312.333 1.064 20 0 DDADMM CC1CCN(CC(=O)NCC[C@@H]2CCCN(C(=O)[O-])C2)CC1 ZINC000825945145 604816701 /nfs/dbraw/zinc/81/67/01/604816701.db2.gz SZQVAOCLVWIZII-AWEZNQCLSA-N -1 1 311.426 1.615 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)Nc2ccn(CC(=O)[O-])n2)n[nH]1 ZINC000833511746 605019607 /nfs/dbraw/zinc/01/96/07/605019607.db2.gz CABGMNZJKJSVEM-QMMMGPOBSA-N -1 1 321.341 1.092 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCC(C)(COC)CC1)C(=O)[O-] ZINC000833640490 605021060 /nfs/dbraw/zinc/02/10/60/605021060.db2.gz YZGQGQPVSWEUBH-JSGCOSHPSA-N -1 1 314.426 1.350 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)CCc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000833673664 605023369 /nfs/dbraw/zinc/02/33/69/605023369.db2.gz LOZVCBOHCINLNA-SNVBAGLBSA-N -1 1 316.361 1.875 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccc(F)c1F ZINC000833627274 605100752 /nfs/dbraw/zinc/10/07/52/605100752.db2.gz WBCFJEOVSNOXQV-JTQLQIEISA-N -1 1 312.316 1.586 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(Cl)cc1 ZINC000833625320 605112232 /nfs/dbraw/zinc/11/22/32/605112232.db2.gz KWDKKSCNXAIWLA-LLVKDONJSA-N -1 1 310.781 1.961 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)C1(C(F)(F)F)CC1 ZINC000833620653 605158053 /nfs/dbraw/zinc/15/80/53/605158053.db2.gz LVUNANVIMRUTRU-SECBINFHSA-N -1 1 308.300 1.336 20 0 DDADMM Cc1nnc(COC(=O)[C@H](CNC(=O)[O-])c2ccccc2)[nH]1 ZINC000830758299 605263306 /nfs/dbraw/zinc/26/33/06/605263306.db2.gz YZHKRIQUZXTATJ-LLVKDONJSA-N -1 1 304.306 1.208 20 0 DDADMM CC[C@@H]1CN(C(=O)NCc2cc(C)n[nH]2)CC[C@@H]1NC(=O)[O-] ZINC000833846325 605317134 /nfs/dbraw/zinc/31/71/34/605317134.db2.gz GSVGRKIVNDPQCW-PWSUYJOCSA-N -1 1 309.370 1.296 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)CN(C(=O)[O-])c1ccccc1 ZINC000824165222 605351968 /nfs/dbraw/zinc/35/19/68/605351968.db2.gz ULOHFWUCNURMHG-HNNXBMFYSA-N -1 1 319.405 1.970 20 0 DDADMM Cc1oc(-c2ccco2)nc1CC(=O)NOC[C@@H](C)NC(=O)[O-] ZINC000833934463 605402191 /nfs/dbraw/zinc/40/21/91/605402191.db2.gz AJEIYBMRJVLHIE-MRVPVSSYSA-N -1 1 323.305 1.489 20 0 DDADMM CCOCCC1(CNC(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000825590435 607758630 /nfs/dbraw/zinc/75/86/30/607758630.db2.gz UTCCSFKZXBFHAI-UHFFFAOYSA-N -1 1 321.406 1.865 20 0 DDADMM CCOCCC1(CNC(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000825590435 607758631 /nfs/dbraw/zinc/75/86/31/607758631.db2.gz UTCCSFKZXBFHAI-UHFFFAOYSA-N -1 1 321.406 1.865 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)C[C@@H]21 ZINC000834181159 605578035 /nfs/dbraw/zinc/57/80/35/605578035.db2.gz QBMREGUGKAXEQA-GRYCIOLGSA-N -1 1 318.377 1.795 20 0 DDADMM O=C([O-])N1CCC[C@H](NCCS(=O)(=O)c2ccccc2)C1 ZINC000834101569 605587684 /nfs/dbraw/zinc/58/76/84/605587684.db2.gz TVKRXCAOWVISBT-LBPRGKRZSA-N -1 1 312.391 1.192 20 0 DDADMM C[N@@H+](CCC[N-]C(=O)C(F)(F)F)C1CCN(C(=O)[O-])CC1 ZINC000833873428 605783699 /nfs/dbraw/zinc/78/36/99/605783699.db2.gz VRURDNYXABLVRG-UHFFFAOYSA-N -1 1 311.304 1.129 20 0 DDADMM C[N@H+](CCC[N-]C(=O)C(F)(F)F)C1CCN(C(=O)[O-])CC1 ZINC000833873428 605783695 /nfs/dbraw/zinc/78/36/95/605783695.db2.gz VRURDNYXABLVRG-UHFFFAOYSA-N -1 1 311.304 1.129 20 0 DDADMM O=C([O-])Nc1cccc(CC(=O)N[C@@H]2CCc3nc[nH]c3C2)c1 ZINC000834266713 605834214 /nfs/dbraw/zinc/83/42/14/605834214.db2.gz ILHNXYJPYQJXJS-GFCCVEGCSA-N -1 1 314.345 1.716 20 0 DDADMM Cc1nc2c([nH]1)C[C@@H](C(=O)N1CCC(NC(=O)[O-])CC1)CC2 ZINC000833915675 605847434 /nfs/dbraw/zinc/84/74/34/605847434.db2.gz JIMADZOMOFHRRS-JTQLQIEISA-N -1 1 306.366 1.082 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NC1CCCCCC1 ZINC000833830553 605880580 /nfs/dbraw/zinc/88/05/80/605880580.db2.gz KKUPZTVFFBIQHD-CHWSQXEVSA-N -1 1 311.426 1.803 20 0 DDADMM O=C([O-])NCCCCCCC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000834237421 605960123 /nfs/dbraw/zinc/96/01/23/605960123.db2.gz DWUVVSBFBDPVGL-NSHDSACASA-N -1 1 308.382 1.601 20 0 DDADMM Cn1c(CN[C@@](C)(CNC(=O)[O-])C2CC2)nnc1C1CCC1 ZINC000833941645 605998427 /nfs/dbraw/zinc/99/84/27/605998427.db2.gz OTUYHHNUBGDVRV-HNNXBMFYSA-N -1 1 307.398 1.609 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(Cc2ccnc(N(C)C)n2)C1 ZINC000833811570 606023999 /nfs/dbraw/zinc/02/39/99/606023999.db2.gz CTJSDEOFOQSXNG-VXGBXAGGSA-N -1 1 307.398 1.411 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820876020 606139112 /nfs/dbraw/zinc/13/91/12/606139112.db2.gz KHAAYXWJXWABGQ-YUMQZZPRSA-N -1 1 323.378 1.388 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820876020 606139113 /nfs/dbraw/zinc/13/91/13/606139113.db2.gz KHAAYXWJXWABGQ-YUMQZZPRSA-N -1 1 323.378 1.388 20 0 DDADMM Cc1cc(C)n(CCOC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000822199130 606139841 /nfs/dbraw/zinc/13/98/41/606139841.db2.gz XIWMSLIUTUDWCF-UHFFFAOYSA-N -1 1 318.362 1.599 20 0 DDADMM Cc1cc(C)n(CCOC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000822199130 606139843 /nfs/dbraw/zinc/13/98/43/606139843.db2.gz XIWMSLIUTUDWCF-UHFFFAOYSA-N -1 1 318.362 1.599 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC2CCC(C)(C)CC2)n1 ZINC000822613217 606161624 /nfs/dbraw/zinc/16/16/24/606161624.db2.gz CGWHMAUDYAJEKZ-UHFFFAOYSA-N -1 1 318.385 1.691 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC2CCC(C)(C)CC2)n1 ZINC000822613217 606161626 /nfs/dbraw/zinc/16/16/26/606161626.db2.gz CGWHMAUDYAJEKZ-UHFFFAOYSA-N -1 1 318.385 1.691 20 0 DDADMM COCc1ccc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])o1 ZINC000821695430 606196838 /nfs/dbraw/zinc/19/68/38/606196838.db2.gz ZDMUZTYBQRAWBY-UHFFFAOYSA-N -1 1 315.289 1.564 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)c2cccc(-c3nnn[n-]3)n2)c1 ZINC000822374407 606216844 /nfs/dbraw/zinc/21/68/44/606216844.db2.gz VHEANNPHDGRBAX-UHFFFAOYSA-N -1 1 324.348 1.040 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)c2cccc(-c3nn[n-]n3)n2)c1 ZINC000822374407 606216845 /nfs/dbraw/zinc/21/68/45/606216845.db2.gz VHEANNPHDGRBAX-UHFFFAOYSA-N -1 1 324.348 1.040 20 0 DDADMM CC(C)[C@H]1OCCC[C@@H]1CNc1nccnc1-c1nnn[n-]1 ZINC000820050856 606427141 /nfs/dbraw/zinc/42/71/41/606427141.db2.gz HDTZSFSCIVTIEU-ZYHUDNBSSA-N -1 1 303.370 1.520 20 0 DDADMM CC(C)[C@H]1OCCC[C@@H]1CNc1nccnc1-c1nn[n-]n1 ZINC000820050856 606427143 /nfs/dbraw/zinc/42/71/43/606427143.db2.gz HDTZSFSCIVTIEU-ZYHUDNBSSA-N -1 1 303.370 1.520 20 0 DDADMM O=C(CNc1ccc(Cl)c(-c2nnn[n-]2)n1)N1CCCCC1 ZINC000823013903 606454357 /nfs/dbraw/zinc/45/43/57/606454357.db2.gz AVVRNZUUOBJMDJ-UHFFFAOYSA-N -1 1 321.772 1.340 20 0 DDADMM O=C(CNc1ccc(Cl)c(-c2nn[n-]n2)n1)N1CCCCC1 ZINC000823013903 606454358 /nfs/dbraw/zinc/45/43/58/606454358.db2.gz AVVRNZUUOBJMDJ-UHFFFAOYSA-N -1 1 321.772 1.340 20 0 DDADMM O=C(NCCOCC(F)(F)F)c1ccc(-c2nnn[n-]2)s1 ZINC000823211691 606524156 /nfs/dbraw/zinc/52/41/56/606524156.db2.gz QPHJRKXMKSVPFB-UHFFFAOYSA-N -1 1 321.284 1.237 20 0 DDADMM O=C(NCCOCC(F)(F)F)c1ccc(-c2nn[n-]n2)s1 ZINC000823211691 606524158 /nfs/dbraw/zinc/52/41/58/606524158.db2.gz QPHJRKXMKSVPFB-UHFFFAOYSA-N -1 1 321.284 1.237 20 0 DDADMM CCN(C[C@@H]1CCCO1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821198412 606531461 /nfs/dbraw/zinc/53/14/61/606531461.db2.gz UDZOVLANUZYJPM-VIFPVBQESA-N -1 1 307.379 1.569 20 0 DDADMM CCN(C[C@@H]1CCCO1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821198412 606531463 /nfs/dbraw/zinc/53/14/63/606531463.db2.gz UDZOVLANUZYJPM-VIFPVBQESA-N -1 1 307.379 1.569 20 0 DDADMM O=C(Cc1ccccc1Cl)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000823115913 606532784 /nfs/dbraw/zinc/53/27/84/606532784.db2.gz XUWZDSZCFJVPER-UHFFFAOYSA-N -1 1 303.713 1.424 20 0 DDADMM O=C(Cc1ccccc1Cl)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000823115913 606532786 /nfs/dbraw/zinc/53/27/86/606532786.db2.gz XUWZDSZCFJVPER-UHFFFAOYSA-N -1 1 303.713 1.424 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nnn[n-]1)c1cc2c(s1)CCC2 ZINC000823290458 606533340 /nfs/dbraw/zinc/53/33/40/606533340.db2.gz XSXAFXZSDWCUOB-UHFFFAOYSA-N -1 1 301.335 1.392 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nn[n-]n1)c1cc2c(s1)CCC2 ZINC000823290458 606533342 /nfs/dbraw/zinc/53/33/42/606533342.db2.gz XSXAFXZSDWCUOB-UHFFFAOYSA-N -1 1 301.335 1.392 20 0 DDADMM Cc1ncsc1CN(C)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822482273 606557588 /nfs/dbraw/zinc/55/75/88/606557588.db2.gz OGUGFCKAZXVZTC-UHFFFAOYSA-N -1 1 320.403 1.965 20 0 DDADMM Cc1ncsc1CN(C)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822482273 606557590 /nfs/dbraw/zinc/55/75/90/606557590.db2.gz OGUGFCKAZXVZTC-UHFFFAOYSA-N -1 1 320.403 1.965 20 0 DDADMM COC[C@@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821683898 606561612 /nfs/dbraw/zinc/56/16/12/606561612.db2.gz MVJKIIUKIBBSLS-SECBINFHSA-N -1 1 307.379 1.427 20 0 DDADMM COC[C@@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821683898 606561614 /nfs/dbraw/zinc/56/16/14/606561614.db2.gz MVJKIIUKIBBSLS-SECBINFHSA-N -1 1 307.379 1.427 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)CCS1 ZINC000820988317 606576927 /nfs/dbraw/zinc/57/69/27/606576927.db2.gz FMRRHMQVICWBFZ-MRVPVSSYSA-N -1 1 309.420 1.896 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)CCS1 ZINC000820988317 606576928 /nfs/dbraw/zinc/57/69/28/606576928.db2.gz FMRRHMQVICWBFZ-MRVPVSSYSA-N -1 1 309.420 1.896 20 0 DDADMM O=C(NC[C@@H](O)c1ccccc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823166876 606590704 /nfs/dbraw/zinc/59/07/04/606590704.db2.gz RLTROFHVXURUPX-SNVBAGLBSA-N -1 1 315.358 1.392 20 0 DDADMM O=C(NC[C@@H](O)c1ccccc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823166876 606590706 /nfs/dbraw/zinc/59/07/06/606590706.db2.gz RLTROFHVXURUPX-SNVBAGLBSA-N -1 1 315.358 1.392 20 0 DDADMM COCCCOC1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000821687997 606643370 /nfs/dbraw/zinc/64/33/70/606643370.db2.gz ZGJOSZVVEZZQOS-UHFFFAOYSA-N -1 1 324.772 1.157 20 0 DDADMM COCCCOC1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000821687997 606643371 /nfs/dbraw/zinc/64/33/71/606643371.db2.gz ZGJOSZVVEZZQOS-UHFFFAOYSA-N -1 1 324.772 1.157 20 0 DDADMM O=C(CCc1ccsc1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822982614 606649249 /nfs/dbraw/zinc/64/92/49/606649249.db2.gz FFFDPOAHTRWJBQ-UHFFFAOYSA-N -1 1 315.358 1.999 20 0 DDADMM O=C(CCc1ccsc1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822982614 606649250 /nfs/dbraw/zinc/64/92/50/606649250.db2.gz FFFDPOAHTRWJBQ-UHFFFAOYSA-N -1 1 315.358 1.999 20 0 DDADMM CCCc1cc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)n[nH]1 ZINC000821138807 606650404 /nfs/dbraw/zinc/65/04/04/606650404.db2.gz HXTGNFXXCVAVDB-UHFFFAOYSA-N -1 1 313.321 1.294 20 0 DDADMM CCCc1cc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)n[nH]1 ZINC000821138807 606650405 /nfs/dbraw/zinc/65/04/05/606650405.db2.gz HXTGNFXXCVAVDB-UHFFFAOYSA-N -1 1 313.321 1.294 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cccc2n[nH]cc21 ZINC000823436494 606651571 /nfs/dbraw/zinc/65/15/71/606651571.db2.gz YAPZYWXBEKGXPQ-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM Fc1ccc(-c2nc(Cn3ccnc3-c3nnn[n-]3)co2)cc1 ZINC000822753175 606655200 /nfs/dbraw/zinc/65/52/00/606655200.db2.gz HPUBMIYJFNTYEG-UHFFFAOYSA-N -1 1 311.280 1.906 20 0 DDADMM Fc1ccc(-c2nc(Cn3ccnc3-c3nn[n-]n3)co2)cc1 ZINC000822753175 606655201 /nfs/dbraw/zinc/65/52/01/606655201.db2.gz HPUBMIYJFNTYEG-UHFFFAOYSA-N -1 1 311.280 1.906 20 0 DDADMM COCCC1(CNc2nccnc2-c2nnn[n-]2)CCCC1 ZINC000821687172 606721387 /nfs/dbraw/zinc/72/13/87/606721387.db2.gz RZQYGRSSYFDTHD-UHFFFAOYSA-N -1 1 303.370 1.666 20 0 DDADMM COCCC1(CNc2nccnc2-c2nn[n-]n2)CCCC1 ZINC000821687172 606721389 /nfs/dbraw/zinc/72/13/89/606721389.db2.gz RZQYGRSSYFDTHD-UHFFFAOYSA-N -1 1 303.370 1.666 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC[C@]2(C)CCCS2)n1 ZINC000822614349 606956805 /nfs/dbraw/zinc/95/68/05/606956805.db2.gz MDFCYQSYLQKAEC-LBPRGKRZSA-N -1 1 322.398 1.007 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC[C@]2(C)CCCS2)n1 ZINC000822614349 606956807 /nfs/dbraw/zinc/95/68/07/606956807.db2.gz MDFCYQSYLQKAEC-LBPRGKRZSA-N -1 1 322.398 1.007 20 0 DDADMM O[C@@]12CCCC[C@@H]1CN(c1cccc(-c3nnn[n-]3)n1)CC2 ZINC000823697462 607034561 /nfs/dbraw/zinc/03/45/61/607034561.db2.gz LKBLIAPIKQWXLQ-IAQYHMDHSA-N -1 1 300.366 1.393 20 0 DDADMM O[C@@]12CCCC[C@@H]1CN(c1cccc(-c3nn[n-]n3)n1)CC2 ZINC000823697462 607034563 /nfs/dbraw/zinc/03/45/63/607034563.db2.gz LKBLIAPIKQWXLQ-IAQYHMDHSA-N -1 1 300.366 1.393 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)[C@@H]1CCc2ccccc21 ZINC000823380169 607107791 /nfs/dbraw/zinc/10/77/91/607107791.db2.gz RNGBAZGAVMSSTC-SNVBAGLBSA-N -1 1 312.358 1.992 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)[C@@H]1CCc2ccccc21 ZINC000823380169 607107793 /nfs/dbraw/zinc/10/77/93/607107793.db2.gz RNGBAZGAVMSSTC-SNVBAGLBSA-N -1 1 312.358 1.992 20 0 DDADMM Cc1cccc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)c1O ZINC000822284100 607110058 /nfs/dbraw/zinc/11/00/58/607110058.db2.gz JROHJOMVRKDPDP-UHFFFAOYSA-N -1 1 302.319 1.590 20 0 DDADMM Cc1cccc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)c1O ZINC000822284100 607110059 /nfs/dbraw/zinc/11/00/59/607110059.db2.gz JROHJOMVRKDPDP-UHFFFAOYSA-N -1 1 302.319 1.590 20 0 DDADMM c1cc(N[C@@H]2CCO[C@]3(CCSC3)C2)nc(-c2nnn[n-]2)c1 ZINC000823756965 607176875 /nfs/dbraw/zinc/17/68/75/607176875.db2.gz IBPJGPJWIYAQEE-QMTHXVAHSA-N -1 1 318.406 1.728 20 0 DDADMM c1cc(N[C@@H]2CCO[C@]3(CCSC3)C2)nc(-c2nn[n-]n2)c1 ZINC000823756965 607176876 /nfs/dbraw/zinc/17/68/76/607176876.db2.gz IBPJGPJWIYAQEE-QMTHXVAHSA-N -1 1 318.406 1.728 20 0 DDADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)Cc2ccco2)n1 ZINC000819971157 607238516 /nfs/dbraw/zinc/23/85/16/607238516.db2.gz FARCTZUTDWADAB-UHFFFAOYSA-N -1 1 315.337 1.592 20 0 DDADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)Cc2ccco2)n1 ZINC000819971157 607238517 /nfs/dbraw/zinc/23/85/17/607238517.db2.gz FARCTZUTDWADAB-UHFFFAOYSA-N -1 1 315.337 1.592 20 0 DDADMM CCOC(=O)C1CCC(Nc2cccc(-c3nnn[n-]3)n2)CC1 ZINC000825498987 607897379 /nfs/dbraw/zinc/89/73/79/607897379.db2.gz FMVAMXZBZBILJB-UHFFFAOYSA-N -1 1 316.365 1.796 20 0 DDADMM CCOC(=O)C1CCC(Nc2cccc(-c3nn[n-]n3)n2)CC1 ZINC000825498987 607897380 /nfs/dbraw/zinc/89/73/80/607897380.db2.gz FMVAMXZBZBILJB-UHFFFAOYSA-N -1 1 316.365 1.796 20 0 DDADMM CC1=C(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCCO1 ZINC000824853011 607928381 /nfs/dbraw/zinc/92/83/81/607928381.db2.gz UWVDSMLXCXBUAO-UHFFFAOYSA-N -1 1 301.306 1.595 20 0 DDADMM COc1ccc(CN(c2ccc(-c3nnn[n-]3)nn2)C2CC2)cc1 ZINC000826193496 607988343 /nfs/dbraw/zinc/98/83/43/607988343.db2.gz FLUJHHRNFPUZDG-UHFFFAOYSA-N -1 1 323.360 1.834 20 0 DDADMM COc1ccc(CN(c2ccc(-c3nn[n-]n3)nn2)C2CC2)cc1 ZINC000826193496 607988344 /nfs/dbraw/zinc/98/83/44/607988344.db2.gz FLUJHHRNFPUZDG-UHFFFAOYSA-N -1 1 323.360 1.834 20 0 DDADMM CC1(C)OC[C@@H](CCOC(=O)c2ccc(-c3nnn[n-]3)s2)O1 ZINC000824813117 607993442 /nfs/dbraw/zinc/99/34/42/607993442.db2.gz ULEOPCCLHWVCDS-MRVPVSSYSA-N -1 1 324.362 1.627 20 0 DDADMM CC1(C)OC[C@@H](CCOC(=O)c2ccc(-c3nn[n-]n3)s2)O1 ZINC000824813117 607993443 /nfs/dbraw/zinc/99/34/43/607993443.db2.gz ULEOPCCLHWVCDS-MRVPVSSYSA-N -1 1 324.362 1.627 20 0 DDADMM CC(C)(C)[C@@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)CCO1 ZINC000824077757 608149344 /nfs/dbraw/zinc/14/93/44/608149344.db2.gz FHRIKOJOMOKKCC-UWVGGRQHSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)(C)[C@@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)CCO1 ZINC000824077757 608149345 /nfs/dbraw/zinc/14/93/45/608149345.db2.gz FHRIKOJOMOKKCC-UWVGGRQHSA-N -1 1 303.370 1.662 20 0 DDADMM Cn1ncc2c1nc(Oc1ccc(-c3nn[nH]n3)cc1)[n-]c2=O ZINC000826366629 608169088 /nfs/dbraw/zinc/16/90/88/608169088.db2.gz QXLCKXGTEVJJRI-UHFFFAOYSA-N -1 1 310.277 1.041 20 0 DDADMM OC1C[C@H]2CC[C@@H](C1)N2c1snc(Cl)c1-c1nnn[n-]1 ZINC000826507669 608178033 /nfs/dbraw/zinc/17/80/33/608178033.db2.gz UCAVCISRSGRVEY-MEKDEQNOSA-N -1 1 312.786 1.469 20 0 DDADMM OC1C[C@H]2CC[C@@H](C1)N2c1snc(Cl)c1-c1nn[n-]n1 ZINC000826507669 608178035 /nfs/dbraw/zinc/17/80/35/608178035.db2.gz UCAVCISRSGRVEY-MEKDEQNOSA-N -1 1 312.786 1.469 20 0 DDADMM CN(Cc1cnccn1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825991868 608183410 /nfs/dbraw/zinc/18/34/10/608183410.db2.gz KGFMVUNYUDEHEN-UHFFFAOYSA-N -1 1 308.758 1.403 20 0 DDADMM CN(Cc1cnccn1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825991868 608183412 /nfs/dbraw/zinc/18/34/12/608183412.db2.gz KGFMVUNYUDEHEN-UHFFFAOYSA-N -1 1 308.758 1.403 20 0 DDADMM CC(C)(C)OC(=O)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000824099848 608183817 /nfs/dbraw/zinc/18/38/17/608183817.db2.gz KBBXVIZQYFDXLS-UHFFFAOYSA-N -1 1 316.774 1.730 20 0 DDADMM CC(C)(C)OC(=O)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000824099848 608183818 /nfs/dbraw/zinc/18/38/18/608183818.db2.gz KBBXVIZQYFDXLS-UHFFFAOYSA-N -1 1 316.774 1.730 20 0 DDADMM COc1ccc(F)cc1[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826209035 608399986 /nfs/dbraw/zinc/39/99/86/608399986.db2.gz KCSCEYIJXAWYSR-MRVPVSSYSA-N -1 1 315.312 1.978 20 0 DDADMM COc1ccc(F)cc1[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826209035 608399988 /nfs/dbraw/zinc/39/99/88/608399988.db2.gz KCSCEYIJXAWYSR-MRVPVSSYSA-N -1 1 315.312 1.978 20 0 DDADMM C[C@H]1CCCC[C@H]1OCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000824938204 608400118 /nfs/dbraw/zinc/40/01/18/608400118.db2.gz UUKPPGMHUCZQKC-CMPLNLGQSA-N -1 1 303.370 1.664 20 0 DDADMM C[C@H]1CCCC[C@H]1OCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000824938204 608400120 /nfs/dbraw/zinc/40/01/20/608400120.db2.gz UUKPPGMHUCZQKC-CMPLNLGQSA-N -1 1 303.370 1.664 20 0 DDADMM Fc1ccc(F)c(CCNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826374957 608428463 /nfs/dbraw/zinc/42/84/63/608428463.db2.gz UHVYAWDHDUWXTD-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM Fc1ccc(F)c(CCNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826374957 608428465 /nfs/dbraw/zinc/42/84/65/608428465.db2.gz UHVYAWDHDUWXTD-UHFFFAOYSA-N -1 1 303.276 1.590 20 0 DDADMM c1cc(N2CCC[C@]3(CCCOC3)C2)nnc1-c1nnn[n-]1 ZINC000826517218 608428555 /nfs/dbraw/zinc/42/85/55/608428555.db2.gz VJPGMFWNQORTNP-AWEZNQCLSA-N -1 1 301.354 1.054 20 0 DDADMM c1cc(N2CCC[C@]3(CCCOC3)C2)nnc1-c1nn[n-]n1 ZINC000826517218 608428556 /nfs/dbraw/zinc/42/85/56/608428556.db2.gz VJPGMFWNQORTNP-AWEZNQCLSA-N -1 1 301.354 1.054 20 0 DDADMM CCc1noc(CC)c1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000825776502 608430566 /nfs/dbraw/zinc/43/05/66/608430566.db2.gz JSWQKTPBGBZMKY-UHFFFAOYSA-N -1 1 300.326 1.382 20 0 DDADMM CCc1noc(CC)c1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000825776502 608430567 /nfs/dbraw/zinc/43/05/67/608430567.db2.gz JSWQKTPBGBZMKY-UHFFFAOYSA-N -1 1 300.326 1.382 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NC[C@H](O)c1ccc(F)cc1 ZINC000826280404 608542219 /nfs/dbraw/zinc/54/22/19/608542219.db2.gz ANVUDLFCPGTGAI-ZDUSSCGKSA-N -1 1 314.324 1.855 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NCCc1ccc2c(c1)OCO2 ZINC000826280546 608542860 /nfs/dbraw/zinc/54/28/60/608542860.db2.gz GJNAKJBEHGTSRA-UHFFFAOYSA-N -1 1 324.344 1.953 20 0 DDADMM C[C@@H]1[C@@H](C)CCCN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000824937925 608608276 /nfs/dbraw/zinc/60/82/76/608608276.db2.gz RYHVLCKTKQHLAX-DTWKUNHWSA-N -1 1 304.358 1.253 20 0 DDADMM C[C@@H]1[C@@H](C)CCCN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000824937925 608608277 /nfs/dbraw/zinc/60/82/77/608608277.db2.gz RYHVLCKTKQHLAX-DTWKUNHWSA-N -1 1 304.358 1.253 20 0 DDADMM Fc1ccc(OCCn2cnc(-c3nn[n-]n3)n2)c(Cl)c1 ZINC000826376199 608754533 /nfs/dbraw/zinc/75/45/33/608754533.db2.gz SNENTFCVMANVAZ-UHFFFAOYSA-N -1 1 309.692 1.330 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCO1 ZINC000825182629 609161175 /nfs/dbraw/zinc/16/11/75/609161175.db2.gz LHEXVYMBKFGUON-GHMZBOCLSA-N -1 1 317.349 1.716 20 0 DDADMM C[C@]1(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCCOC1 ZINC000824783150 609293731 /nfs/dbraw/zinc/29/37/31/609293731.db2.gz DMBZPPRSMIFJJA-AWEZNQCLSA-N -1 1 303.322 1.122 20 0 DDADMM C[C@]1(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCCOC1 ZINC000824783150 609293732 /nfs/dbraw/zinc/29/37/32/609293732.db2.gz DMBZPPRSMIFJJA-AWEZNQCLSA-N -1 1 303.322 1.122 20 0 DDADMM Cc1cc(Nc2nccnc2-c2nnn[n-]2)nn1[C@H](C)C1CC1 ZINC000826271224 609587326 /nfs/dbraw/zinc/58/73/26/609587326.db2.gz IODPIKHIMMFDTC-SECBINFHSA-N -1 1 311.353 1.876 20 0 DDADMM Cc1cc(Nc2nccnc2-c2nn[n-]n2)nn1[C@H](C)C1CC1 ZINC000826271224 609587328 /nfs/dbraw/zinc/58/73/28/609587328.db2.gz IODPIKHIMMFDTC-SECBINFHSA-N -1 1 311.353 1.876 20 0 DDADMM COCC(=O)COC(=O)c1ccc(Br)c([O-])c1 ZINC000795562156 699875353 /nfs/dbraw/zinc/87/53/53/699875353.db2.gz PARAFWDRYQTQDB-UHFFFAOYSA-N -1 1 303.108 1.527 20 0 DDADMM CC(=O)N[C@H](C)C(=O)Nc1nc(Br)ccc1[O-] ZINC000121528963 696711772 /nfs/dbraw/zinc/71/17/72/696711772.db2.gz QCTFTWGOPIXURP-RXMQYKEDSA-N -1 1 302.128 1.013 20 0 DDADMM CC(C)c1ncc(S(=O)(=O)Oc2ccccc2C(N)=O)[n-]1 ZINC000122660072 696724001 /nfs/dbraw/zinc/72/40/01/696724001.db2.gz PHXNKYOIESDIEJ-UHFFFAOYSA-N -1 1 309.347 1.400 20 0 DDADMM CC(C)c1nc(S(=O)(=O)Oc2ccccc2C(N)=O)c[n-]1 ZINC000122660072 696724004 /nfs/dbraw/zinc/72/40/04/696724004.db2.gz PHXNKYOIESDIEJ-UHFFFAOYSA-N -1 1 309.347 1.400 20 0 DDADMM O=C([O-])C1(NC(=O)N[C@H]2CCCc3cn[nH]c32)CCSCC1 ZINC000797725763 700021233 /nfs/dbraw/zinc/02/12/33/700021233.db2.gz VKCDZGXFMVERTE-JTQLQIEISA-N -1 1 324.406 1.437 20 0 DDADMM O=C([O-])[C@H](NC(=O)N[C@H]1CCCc2cn[nH]c21)c1cccs1 ZINC000797725924 700021323 /nfs/dbraw/zinc/02/13/23/700021323.db2.gz XNQASPQHYDWZDO-JOYOIKCWSA-N -1 1 320.374 1.974 20 0 DDADMM CC(=O)N1CCCC[C@@H]1[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000975961942 695885038 /nfs/dbraw/zinc/88/50/38/695885038.db2.gz JSQAIDFDUANMDW-ZIAGYGMSSA-N -1 1 317.389 1.793 20 0 DDADMM COc1ccccc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000005078968 696012108 /nfs/dbraw/zinc/01/21/08/696012108.db2.gz OXWBEXGNTZTPDU-UHFFFAOYSA-N -1 1 313.309 1.809 20 0 DDADMM CC(Nc1ccc(C)c(Cl)c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009771480 696037754 /nfs/dbraw/zinc/03/77/54/696037754.db2.gz VLKGWDIZUXSJOS-UHFFFAOYSA-N -1 1 309.778 1.865 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C(N)=S)cc1)c1nn[n-]n1 ZINC000798330344 700057038 /nfs/dbraw/zinc/05/70/38/700057038.db2.gz KDSMOHVHLMKMSQ-SNVBAGLBSA-N -1 1 304.379 1.105 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)CCN1CCOCC1 ZINC000747217947 700060569 /nfs/dbraw/zinc/06/05/69/700060569.db2.gz KTPFMEGKLRGNDW-UHFFFAOYSA-N -1 1 322.409 1.018 20 0 DDADMM CCn1cnnc1SCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000018966742 696068258 /nfs/dbraw/zinc/06/82/58/696068258.db2.gz XNBTXAVAEBATPO-UHFFFAOYSA-N -1 1 320.374 1.355 20 0 DDADMM COc1ncccc1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747355378 700065846 /nfs/dbraw/zinc/06/58/46/700065846.db2.gz OJHCROITZRBBHB-UHFFFAOYSA-N -1 1 316.361 1.948 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCC(=O)N(C)C ZINC000747366124 700065924 /nfs/dbraw/zinc/06/59/24/700065924.db2.gz UMYLYLPIASZPPV-UHFFFAOYSA-N -1 1 308.382 1.212 20 0 DDADMM CCC1(C(=O)N2CC[C@H]2CN(C)C(=O)c2ncccc2[O-])CC1 ZINC000977604149 696231223 /nfs/dbraw/zinc/23/12/23/696231223.db2.gz VNCZBKJYEUFQBY-LBPRGKRZSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H]([C@@H](C)O)C1 ZINC000747463798 700071833 /nfs/dbraw/zinc/07/18/33/700071833.db2.gz RSGVXBFJEAKMFX-VXGBXAGGSA-N -1 1 307.394 1.847 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccc2c(c1)CCO2 ZINC000747474747 700072076 /nfs/dbraw/zinc/07/20/76/700072076.db2.gz ZDFWNDPBIXWQOI-OAHLLOKOSA-N -1 1 321.340 1.654 20 0 DDADMM C/C(=C/C(=O)OCC(=O)N[N-]C(=O)c1cccs1)C1CC1 ZINC000052112616 696251965 /nfs/dbraw/zinc/25/19/65/696251965.db2.gz DZHPJZLQYYIOQT-CLFYSBASSA-N -1 1 308.359 1.409 20 0 DDADMM Cc1ccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c(C)n1 ZINC000052106644 696252014 /nfs/dbraw/zinc/25/20/14/696252014.db2.gz PISZTUKHHQEQRC-UHFFFAOYSA-N -1 1 312.325 1.812 20 0 DDADMM CC(=O)CCCOC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000057604533 696298333 /nfs/dbraw/zinc/29/83/33/696298333.db2.gz OBYICAFKFROZNA-UHFFFAOYSA-N -1 1 319.361 1.897 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OC[C@@H]1CCOC1 ZINC000057604070 696298614 /nfs/dbraw/zinc/29/86/14/696298614.db2.gz ZKJYDTODGPBQCT-GFCCVEGCSA-N -1 1 319.361 1.564 20 0 DDADMM CCc1ncc(C(=O)NCCc2n[n-]c(=S)n2CC)s1 ZINC000067076589 696358856 /nfs/dbraw/zinc/35/88/56/696358856.db2.gz WFHBMHOMKIIJIE-UHFFFAOYSA-N -1 1 311.436 1.952 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)c1cc2ccccn2c1 ZINC000073942335 696409314 /nfs/dbraw/zinc/40/93/14/696409314.db2.gz QVYGRQFLCANPOP-UHFFFAOYSA-N -1 1 301.375 1.932 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000080609463 696536144 /nfs/dbraw/zinc/53/61/44/696536144.db2.gz NFPCNZPNMXZYQR-GXSJLCMTSA-N -1 1 322.409 1.235 20 0 DDADMM COc1cccc([S@](=O)CCC[N-]C(=O)C(F)(F)F)c1 ZINC000091537809 696588801 /nfs/dbraw/zinc/58/88/01/696588801.db2.gz CNVXELKUDLXZOT-FQEVSTJZSA-N -1 1 309.309 1.871 20 0 DDADMM O=C(N[C@H]1C[C@H](CNC(=O)C2CC=CC2)C1)c1ncccc1[O-] ZINC000979589220 696605243 /nfs/dbraw/zinc/60/52/43/696605243.db2.gz HAEWMZRMPUDVRH-AULYBMBSSA-N -1 1 315.373 1.378 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)cc1C ZINC000798812501 700098561 /nfs/dbraw/zinc/09/85/61/700098561.db2.gz SMZHQYSMNTYXOU-CQSZACIVSA-N -1 1 312.435 1.695 20 0 DDADMM CC(C)C(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000979817978 696648969 /nfs/dbraw/zinc/64/89/69/696648969.db2.gz IUSQQPBUXFIAIC-YNEHKIRRSA-N -1 1 317.389 1.410 20 0 DDADMM CC(C)(F)C(=O)NC1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000979983435 696672642 /nfs/dbraw/zinc/67/26/42/696672642.db2.gz SDMRPAFPSWJICS-UHFFFAOYSA-N -1 1 323.368 1.693 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)c1cccc(F)c1F)N(C)C ZINC000798854914 700102263 /nfs/dbraw/zinc/10/22/63/700102263.db2.gz ITRPAQQYRCCDPP-GFCCVEGCSA-N -1 1 320.361 1.356 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@H](c2ccccc2)C2CC2)s1 ZINC000120877981 696702976 /nfs/dbraw/zinc/70/29/76/696702976.db2.gz CRJFVVJBGHFEJU-WCQYABFASA-N -1 1 303.387 1.601 20 0 DDADMM C[C@@H](CCNS(=O)(=O)c1c(F)cc(F)cc1F)C(=O)[O-] ZINC000128884322 696782954 /nfs/dbraw/zinc/78/29/54/696782954.db2.gz OWTHSDBCZURVEG-LURJTMIESA-N -1 1 311.281 1.493 20 0 DDADMM CNC(=O)NC(=O)[C@@H](C)OC(=O)c1cc(F)cc(Cl)c1[O-] ZINC000748476090 700120580 /nfs/dbraw/zinc/12/05/80/700120580.db2.gz DPVFNDPXIMDFIB-RXMQYKEDSA-N -1 1 318.688 1.186 20 0 DDADMM Cn1ccc(C(=O)Nc2nc(Br)ccc2[O-])cc1=O ZINC000156336157 696961287 /nfs/dbraw/zinc/96/12/87/696961287.db2.gz DONLTHJTMIHALM-UHFFFAOYSA-N -1 1 324.134 1.501 20 0 DDADMM COc1cncc(S(=O)(=O)[N-]c2[nH]nc(C3CC3)c2C)c1 ZINC000799184639 700127086 /nfs/dbraw/zinc/12/70/86/700127086.db2.gz BRPSDTROLRWXSE-UHFFFAOYSA-N -1 1 308.363 1.800 20 0 DDADMM Cc1nonc1[N-]C(=O)c1sc(-c2ncccn2)nc1C ZINC000170799767 697350649 /nfs/dbraw/zinc/35/06/49/697350649.db2.gz PZJZPHVLLWQTPA-UHFFFAOYSA-N -1 1 302.319 1.852 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)N1CCc2ccccc21)c1nn[n-]n1 ZINC000176740565 697405794 /nfs/dbraw/zinc/40/57/94/697405794.db2.gz AIXCQGMDJCRBSB-AAEUAGOBSA-N -1 1 314.393 1.608 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CCC2CC2)CC1 ZINC000985347109 697495740 /nfs/dbraw/zinc/49/57/40/697495740.db2.gz CXDRSQOEVLCPFO-UHFFFAOYSA-N -1 1 307.398 1.123 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1nnc2ccccc2c1O ZINC000188372733 697558004 /nfs/dbraw/zinc/55/80/04/697558004.db2.gz IGFZOQUDTDDAHD-UHFFFAOYSA-N -1 1 316.704 1.929 20 0 DDADMM Cc1cc(C(=O)Nc2nc(Br)ccc2[O-])cnn1 ZINC000190574569 697593427 /nfs/dbraw/zinc/59/34/27/697593427.db2.gz KBTWQQFSNJVVMA-UHFFFAOYSA-N -1 1 309.123 1.900 20 0 DDADMM Cc1c(C(=O)NNC(=O)c2cc(Cl)ccc2[O-])ccn1C ZINC000192682223 697636181 /nfs/dbraw/zinc/63/61/81/697636181.db2.gz XMJOHHJUIQMRRR-UHFFFAOYSA-N -1 1 307.737 1.767 20 0 DDADMM C[C@H]1COCC[C@@H]1C(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000193176312 697653172 /nfs/dbraw/zinc/65/31/72/697653172.db2.gz IQPIVCNBANZNLC-WPRPVWTQSA-N -1 1 312.753 1.479 20 0 DDADMM Cc1cccc(OCC(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000773160977 697721957 /nfs/dbraw/zinc/72/19/57/697721957.db2.gz JDTLHUBJKZAIPE-LBPRGKRZSA-N -1 1 319.390 1.204 20 0 DDADMM Cc1ccsc1CC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000773344156 697747283 /nfs/dbraw/zinc/74/72/83/697747283.db2.gz KPMMQFKLYFNVBX-UHFFFAOYSA-N -1 1 319.839 1.964 20 0 DDADMM CCN(CC(=O)NC)C(=O)c1ccc(Br)c([O-])c1 ZINC000231326700 697786296 /nfs/dbraw/zinc/78/62/96/697786296.db2.gz DEDPLKRXVWCEGG-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM C[C@H](Cn1cccn1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773795920 697806534 /nfs/dbraw/zinc/80/65/34/697806534.db2.gz YJUHWNXTAOICBB-SECBINFHSA-N -1 1 302.363 1.033 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2cccc(Cl)c2[O-])C1 ZINC000775726113 698026563 /nfs/dbraw/zinc/02/65/63/698026563.db2.gz WIFGJWBSQYXTJM-NSHDSACASA-N -1 1 312.797 1.886 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2CC[C@@H](C)C2)cc1 ZINC000776409684 698103980 /nfs/dbraw/zinc/10/39/80/698103980.db2.gz BNPXCHUHJYJPFQ-DGCLKSJQSA-N -1 1 319.357 1.931 20 0 DDADMM O=C(C=Cc1ccccc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776562067 698117112 /nfs/dbraw/zinc/11/71/12/698117112.db2.gz AMUZNMNHQDRGEV-DGMVEKRQSA-N -1 1 301.375 1.530 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)/C=C1/CC[C@H](C)C1 ZINC000777361268 698182177 /nfs/dbraw/zinc/18/21/77/698182177.db2.gz OEBANXVAKAXNOJ-UVIKPUKZSA-N -1 1 316.361 1.558 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987772898 698225486 /nfs/dbraw/zinc/22/54/86/698225486.db2.gz BLQLWNNURPJDPW-OLZOCXBDSA-N -1 1 319.405 1.754 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccccc1F ZINC000987859421 698248971 /nfs/dbraw/zinc/24/89/71/698248971.db2.gz ULGPEDDAOIOFRL-VHSXEESVSA-N -1 1 319.340 1.042 20 0 DDADMM CC[C@H](NC(=O)NC[C@H](c1ccco1)N(CC)CC)C(=O)[O-] ZINC000780486118 698523648 /nfs/dbraw/zinc/52/36/48/698523648.db2.gz PLOAWXQIUGDZHU-NWDGAFQWSA-N -1 1 311.382 1.825 20 0 DDADMM COCCn1cc2c(n1)[C@@H]([N-]C(=O)C(F)(F)C(F)F)CCC2 ZINC000781057896 698586639 /nfs/dbraw/zinc/58/66/39/698586639.db2.gz KAENYHGPAWMDKV-VIFPVBQESA-N -1 1 323.290 1.924 20 0 DDADMM CC(C)[N@@H+](C)C[C@H](C)NS(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000314346019 698688673 /nfs/dbraw/zinc/68/86/73/698688673.db2.gz DKTQKCHTPWQHSU-NSHDSACASA-N -1 1 314.407 1.392 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCOCC2(CC2)C1 ZINC000782845152 698773279 /nfs/dbraw/zinc/77/32/79/698773279.db2.gz FTKRHZXYDGOTEY-UHFFFAOYSA-N -1 1 305.378 1.867 20 0 DDADMM Cc1cc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)c(C)o1 ZINC000990207875 698995557 /nfs/dbraw/zinc/99/55/57/698995557.db2.gz NSFFZAOOGRVNJG-UHFFFAOYSA-N -1 1 315.329 1.251 20 0 DDADMM CC1(C)CC[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)O1 ZINC000785241030 699062033 /nfs/dbraw/zinc/06/20/33/699062033.db2.gz XZYVISHHSRPFOA-GFCCVEGCSA-N -1 1 305.330 1.444 20 0 DDADMM CN1CCC[C@H](NC(=O)N2CCc3ccccc3[C@@H]2C(=O)[O-])C1 ZINC000390836899 699096563 /nfs/dbraw/zinc/09/65/63/699096563.db2.gz ABNMJTIYDCKAQC-DZGCQCFKSA-N -1 1 317.389 1.474 20 0 DDADMM C[C@@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C(C)(F)F ZINC000990975936 699197601 /nfs/dbraw/zinc/19/76/01/699197601.db2.gz COYMLBOWGILZJX-QMMMGPOBSA-N -1 1 313.304 1.019 20 0 DDADMM C[C@H]1COCC[C@@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703057413 699235117 /nfs/dbraw/zinc/23/51/17/699235117.db2.gz CLVFTBNFTQTVPY-YUMQZZPRSA-N -1 1 308.762 1.577 20 0 DDADMM O=C([O-])[C@]1(O)CCN(Cc2cccc(Br)c2)C1 ZINC000706471532 699243569 /nfs/dbraw/zinc/24/35/69/699243569.db2.gz XQRRZMYFIVADDB-LBPRGKRZSA-N -1 1 300.152 1.471 20 0 DDADMM NC(=O)NCCCCCC(=O)Nc1nc(Cl)ccc1[O-] ZINC000790051211 699437847 /nfs/dbraw/zinc/43/78/47/699437847.db2.gz NNEXBEYRSBYFKP-UHFFFAOYSA-N -1 1 300.746 1.608 20 0 DDADMM CS(=O)(=O)C1(C(=O)Nc2nc(Cl)ccc2[O-])CCCC1 ZINC000790050774 699437859 /nfs/dbraw/zinc/43/78/59/699437859.db2.gz IQZSVAVNRYFMME-UHFFFAOYSA-N -1 1 318.782 1.737 20 0 DDADMM CCOC(=O)[C@@H](C)[N-]S(=O)(=O)Cc1cc(C(C)(C)C)on1 ZINC000790164299 699446440 /nfs/dbraw/zinc/44/64/40/699446440.db2.gz LAOBTNQWZHAOLQ-SECBINFHSA-N -1 1 318.395 1.343 20 0 DDADMM O=C(CSc1ccc(F)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000731854860 699538165 /nfs/dbraw/zinc/53/81/65/699538165.db2.gz IYAPZCFCKUJGLS-NSHDSACASA-N -1 1 323.353 1.031 20 0 DDADMM CC(=CC(=O)NCc1nn[n-]n1)c1ccc(C(F)(F)F)cc1 ZINC000732157886 699548153 /nfs/dbraw/zinc/54/81/53/699548153.db2.gz KEHKNGBHDNOPOX-VURMDHGXSA-N -1 1 311.267 1.938 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H](O)c3ccccc3)ccnc1-2 ZINC000791089684 699611064 /nfs/dbraw/zinc/61/10/64/699611064.db2.gz PGVBGKRLYQRPSR-CQSZACIVSA-N -1 1 311.345 1.197 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3Cc4ccccc4C(=O)O3)ccnc1-2 ZINC000791160247 699613511 /nfs/dbraw/zinc/61/35/11/699613511.db2.gz CGAPHGUEZATHJR-AWEZNQCLSA-N -1 1 322.324 1.062 20 0 DDADMM Cc1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)nn1C(C)(C)C ZINC000791167071 699613882 /nfs/dbraw/zinc/61/38/82/699613882.db2.gz NKLVYNSJDHFEAK-UHFFFAOYSA-N -1 1 312.377 1.854 20 0 DDADMM O=C(c1cc2occc2s1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000736078199 699717498 /nfs/dbraw/zinc/71/74/98/699717498.db2.gz QXQXKIJXTHNMHL-QMMMGPOBSA-N -1 1 305.319 1.221 20 0 DDADMM C[C@H]1CCCC[C@@H]1OCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000736271953 699722148 /nfs/dbraw/zinc/72/21/48/699722148.db2.gz PLRAEHWTRMBREM-AAEUAGOBSA-N -1 1 307.398 1.501 20 0 DDADMM CCOc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)ccc1C ZINC000736627677 699728770 /nfs/dbraw/zinc/72/87/70/699728770.db2.gz QXHZHJYEKNZTLJ-UHFFFAOYSA-N -1 1 315.377 1.927 20 0 DDADMM O=C([C@H]1CSc2ccccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000737793773 699744821 /nfs/dbraw/zinc/74/48/21/699744821.db2.gz ZPTANARPJRIXSR-LBPRGKRZSA-N -1 1 315.402 1.795 20 0 DDADMM O=C([N-]S(=O)(=O)CCC(F)(F)F)c1ccc2cncn2c1 ZINC000793322398 699750207 /nfs/dbraw/zinc/75/02/07/699750207.db2.gz WEJXEMXWZGRCSB-UHFFFAOYSA-N -1 1 321.280 1.346 20 0 DDADMM O=C(c1csc2ccccc12)N1CCOC[C@H]1c1nn[n-]n1 ZINC000738292211 699756496 /nfs/dbraw/zinc/75/64/96/699756496.db2.gz IYQZQHQILRVKKN-NSHDSACASA-N -1 1 315.358 1.628 20 0 DDADMM COC(=O)[C@@H]1C[C@H](F)CN1C(=O)c1cc(Cl)ccc1[O-] ZINC000794204732 699797360 /nfs/dbraw/zinc/79/73/60/699797360.db2.gz DYCKFRORFUNEGV-WPRPVWTQSA-N -1 1 301.701 1.771 20 0 DDADMM CS(=O)(=O)c1ccc(C(=O)[N-]c2cccc3nonc32)o1 ZINC000808799087 701621054 /nfs/dbraw/zinc/62/10/54/701621054.db2.gz HSYUUURNBXTRGQ-UHFFFAOYSA-N -1 1 307.287 1.472 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)Nc2ccccc2C)[n-]1 ZINC000796368576 699929399 /nfs/dbraw/zinc/92/93/99/699929399.db2.gz FQAOIJHTALONPH-UHFFFAOYSA-N -1 1 316.313 1.905 20 0 DDADMM CCCC(=O)NCC(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000744707471 699958741 /nfs/dbraw/zinc/95/87/41/699958741.db2.gz MUIKGVPONJHMTD-UHFFFAOYSA-N -1 1 307.346 1.597 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OC[C@@H]3COC(=O)O3)n2)cc1 ZINC000801371660 700301420 /nfs/dbraw/zinc/30/14/20/700301420.db2.gz QVLJSCXICXIODG-LLVKDONJSA-N -1 1 318.285 1.579 20 0 DDADMM O=C(COC(=O)C1CCC(=O)CC1)[N-]C(=O)c1ccccc1 ZINC000751674799 700306434 /nfs/dbraw/zinc/30/64/34/700306434.db2.gz CEGMKXIGZSUNDU-UHFFFAOYSA-N -1 1 303.314 1.246 20 0 DDADMM O=C(NN1CCCNC1=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000801642010 700328058 /nfs/dbraw/zinc/32/80/58/700328058.db2.gz PDKWMDSAEJBGCY-UHFFFAOYSA-N -1 1 320.736 1.654 20 0 DDADMM CC(=O)Nc1cccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000801701258 700332064 /nfs/dbraw/zinc/33/20/64/700332064.db2.gz GAQPOKVCRWJGET-UHFFFAOYSA-N -1 1 309.329 1.553 20 0 DDADMM C[C@](O)(C(=O)Nc1nnn[n-]1)c1cccc(C(F)(F)F)c1 ZINC000754846338 700532542 /nfs/dbraw/zinc/53/25/42/700532542.db2.gz RXSGAKLFQIRKHX-SNVBAGLBSA-N -1 1 301.228 1.065 20 0 DDADMM C[C@](O)(C(=O)Nc1nn[n-]n1)c1cccc(C(F)(F)F)c1 ZINC000754846338 700532546 /nfs/dbraw/zinc/53/25/46/700532546.db2.gz RXSGAKLFQIRKHX-SNVBAGLBSA-N -1 1 301.228 1.065 20 0 DDADMM CC(=O)N1CC[C@H](CN(C)C(=O)c2c([O-])cccc2Cl)C1 ZINC000755295142 700560006 /nfs/dbraw/zinc/56/00/06/700560006.db2.gz WADULHYTYCCRQX-LLVKDONJSA-N -1 1 310.781 1.986 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2ccc(C)cn2)co1 ZINC000756404151 700619825 /nfs/dbraw/zinc/61/98/25/700619825.db2.gz KPLYERRTGKPOBQ-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM O=C(COC(=O)c1cncc(F)c1)[N-]C(=O)c1ccccc1 ZINC000759418790 700750594 /nfs/dbraw/zinc/75/05/94/700750594.db2.gz IGXSSFBEDSYFKH-UHFFFAOYSA-N -1 1 302.261 1.334 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2coc(C(N)=O)c2)c1 ZINC000759780456 700772265 /nfs/dbraw/zinc/77/22/65/700772265.db2.gz GAIBQEZNBXXSFR-UHFFFAOYSA-N -1 1 317.297 1.686 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@H]1CCNC(=O)C1 ZINC000761218886 700851118 /nfs/dbraw/zinc/85/11/18/700851118.db2.gz PGHDIESKAPOMFA-VIFPVBQESA-N -1 1 317.297 1.068 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CC(C)(C)OC1=O ZINC000762038418 700877364 /nfs/dbraw/zinc/87/73/64/700877364.db2.gz NXVIYZODZKBENX-VIFPVBQESA-N -1 1 307.350 1.438 20 0 DDADMM C[C@@H](Sc1nc2ccccc2s1)C(=O)NCc1nn[n-]n1 ZINC000762984966 700918439 /nfs/dbraw/zinc/91/84/39/700918439.db2.gz ZEDOWIDRGSHUHH-SSDOTTSWSA-N -1 1 320.403 1.606 20 0 DDADMM CC(C)c1cc(C(=O)OCc2nc(=O)n(C)[n-]2)nn1C(C)(C)C ZINC000765446874 701013087 /nfs/dbraw/zinc/01/30/87/701013087.db2.gz LNSVIYQFPUQZAP-UHFFFAOYSA-N -1 1 321.381 1.540 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCCCS2)o1 ZINC000802923027 701068302 /nfs/dbraw/zinc/06/83/02/701068302.db2.gz AAIQIJZOJSADMA-VIFPVBQESA-N -1 1 319.404 1.630 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1COc2ccccc2C1 ZINC000809806150 701691226 /nfs/dbraw/zinc/69/12/26/701691226.db2.gz DKXBSJJEAHVEMF-JTQLQIEISA-N -1 1 323.374 1.095 20 0 DDADMM CN(CC(C)(C)S(C)(=O)=O)C(=O)c1ccc([O-])c(F)c1 ZINC000768368947 701171754 /nfs/dbraw/zinc/17/17/54/701171754.db2.gz LWKWGEQYRTUWSV-UHFFFAOYSA-N -1 1 303.355 1.427 20 0 DDADMM O=C([O-])c1ccccc1OCCN1CCSC[C@@H]1CCO ZINC000768781887 701202162 /nfs/dbraw/zinc/20/21/62/701202162.db2.gz POAFPCCFGBLQPN-LBPRGKRZSA-N -1 1 311.403 1.563 20 0 DDADMM COCCCO[N-]C(=O)[C@H](C(C)C)N1C[C@@H](C)O[C@H](C)C1 ZINC000805045558 701228861 /nfs/dbraw/zinc/22/88/61/701228861.db2.gz SUNJRQLCRFUXNI-MCIONIFRSA-N -1 1 302.415 1.205 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000771953175 701338373 /nfs/dbraw/zinc/33/83/73/701338373.db2.gz KLGKJPDOFVTRPF-UHFFFAOYSA-N -1 1 306.409 1.382 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)Cc1cccc(Br)c1 ZINC000805603985 701397963 /nfs/dbraw/zinc/39/79/63/701397963.db2.gz ZLTAWHKACCYJRO-UHFFFAOYSA-N -1 1 324.134 1.536 20 0 DDADMM CCOc1ccc(CN(C)C(=O)COC(=O)c2cn[n-]n2)cc1 ZINC000805604997 701398098 /nfs/dbraw/zinc/39/80/98/701398098.db2.gz HHGGMWZMKIWJSQ-UHFFFAOYSA-N -1 1 318.333 1.019 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@H]2CCO[C@@H]2C12CCC2)c1c[nH]nc1Cl ZINC000830944881 706607758 /nfs/dbraw/zinc/60/77/58/706607758.db2.gz RMBWVCFPZOWYSP-QNSHHTMESA-N -1 1 317.798 1.299 20 0 DDADMM CCC1(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCCC1 ZINC000830958799 706611047 /nfs/dbraw/zinc/61/10/47/706611047.db2.gz FHOWERJYVFHBNA-UHFFFAOYSA-N -1 1 305.378 1.842 20 0 DDADMM C[C@@H]1CCC[C@@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000830959839 706611666 /nfs/dbraw/zinc/61/16/66/706611666.db2.gz UUGPGLLTHTZUGX-GHMZBOCLSA-N -1 1 305.378 1.697 20 0 DDADMM O=C(C=C1CCCCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830965910 706612704 /nfs/dbraw/zinc/61/27/04/706612704.db2.gz KCUNCRDAPVKFED-UHFFFAOYSA-N -1 1 303.362 1.762 20 0 DDADMM Cn1ncc(COC(=O)c2ccc(Br)c([O-])c2)n1 ZINC000811158305 701925897 /nfs/dbraw/zinc/92/58/97/701925897.db2.gz LKCVCJZTTRMPEN-UHFFFAOYSA-N -1 1 312.123 1.640 20 0 DDADMM COC(=O)CCCO[N-]C(=O)Cc1cc2ccccc2[nH]c1=O ZINC000811559698 702004916 /nfs/dbraw/zinc/00/49/16/702004916.db2.gz KRJBSBYCQAFVIN-UHFFFAOYSA-N -1 1 318.329 1.484 20 0 DDADMM CO[C@@H]1CCC[C@@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000831125589 706639932 /nfs/dbraw/zinc/63/99/32/706639932.db2.gz MHAJQVMVZYXTHP-GHMZBOCLSA-N -1 1 322.327 1.329 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@@H]2CSC[C@@H]2C1 ZINC000866152645 706633840 /nfs/dbraw/zinc/63/38/40/706633840.db2.gz ZQYZZIZIWKOUTC-MNOVXSKESA-N -1 1 315.398 1.748 20 0 DDADMM C[C@]1(c2ccccc2)C[C@H]1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000879263907 706634221 /nfs/dbraw/zinc/63/42/21/706634221.db2.gz UNYKIENQJKBZBA-RVSPLBMKSA-N -1 1 311.389 1.884 20 0 DDADMM Cc1cc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)ncn1 ZINC000811855978 702073119 /nfs/dbraw/zinc/07/31/19/702073119.db2.gz CNHUWWRMTHUHTN-SNVBAGLBSA-N -1 1 302.300 1.680 20 0 DDADMM O=C(/C=C\c1cccnc1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831154042 706645525 /nfs/dbraw/zinc/64/55/25/706645525.db2.gz HNVVRRVBZWOUKV-ARJAWSKDSA-N -1 1 313.279 1.232 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@H]1CCCCC12OCCO2)OC ZINC000817134563 702282994 /nfs/dbraw/zinc/28/29/94/702282994.db2.gz DEWTWWHKAKCWBM-NEPJUHHUSA-N -1 1 307.412 1.017 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@H]2C=CCC2)C1 ZINC000868767935 702310604 /nfs/dbraw/zinc/31/06/04/702310604.db2.gz KKDBKNPKZMXAMG-JOYOIKCWSA-N -1 1 305.300 1.558 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COCc2ccccn2)n1 ZINC000841222168 702393628 /nfs/dbraw/zinc/39/36/28/702393628.db2.gz RLHPKPZZYJEADF-UHFFFAOYSA-N -1 1 304.306 1.137 20 0 DDADMM CO[C@@](C)([C@@H](C)[N-]S(=O)(=O)c1c[nH]nc1Cl)C1CC1 ZINC000841562036 702499024 /nfs/dbraw/zinc/49/90/24/702499024.db2.gz TXYPQQDZIMAKFY-HQJQHLMTSA-N -1 1 307.803 1.545 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]Cc2ccccc2)c1C(F)(F)F ZINC000866372462 706683673 /nfs/dbraw/zinc/68/36/73/706683673.db2.gz GVHFYXSJARDQHX-UHFFFAOYSA-N -1 1 319.308 1.917 20 0 DDADMM CC1(C)CC(CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869523865 702634940 /nfs/dbraw/zinc/63/49/40/702634940.db2.gz LBFAFFQWAQMPNE-UHFFFAOYSA-N -1 1 323.311 1.994 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc2c(c1)C(=O)OC2)C(C)C ZINC000842585873 702736645 /nfs/dbraw/zinc/73/66/45/702736645.db2.gz IWPKXEYUMZDDQI-ZDUSSCGKSA-N -1 1 313.375 1.770 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2CC3(CCC3)C2)CCC1 ZINC000843015127 702803959 /nfs/dbraw/zinc/80/39/59/702803959.db2.gz QIOGXUUHXLXCRI-UHFFFAOYSA-N -1 1 314.451 1.497 20 0 DDADMM C/C(=C\C(C)(C)C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016902 702804435 /nfs/dbraw/zinc/80/44/35/702804435.db2.gz XHEDTLSPXQCNOW-ZRDIBKRKSA-N -1 1 316.467 1.909 20 0 DDADMM O=C([O-])CCCC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000844248713 702999955 /nfs/dbraw/zinc/99/99/55/702999955.db2.gz DQSQCZXTTIKXAQ-UHFFFAOYSA-N -1 1 306.297 1.482 20 0 DDADMM O=C([O-])CCCC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000844248713 702999957 /nfs/dbraw/zinc/99/99/57/702999957.db2.gz DQSQCZXTTIKXAQ-UHFFFAOYSA-N -1 1 306.297 1.482 20 0 DDADMM CCn1ccc(CC(=O)OCCC[N-]C(=O)C(F)(F)F)n1 ZINC000845289697 703139500 /nfs/dbraw/zinc/13/95/00/703139500.db2.gz GCKQFMSWPVLVFT-UHFFFAOYSA-N -1 1 307.272 1.057 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(Cl)nc1 ZINC000866546026 706726213 /nfs/dbraw/zinc/72/62/13/706726213.db2.gz LJMLJPGLIKZNSV-KRWDZBQOSA-N -1 1 311.816 1.187 20 0 DDADMM COc1ccc(OC)c2c1CN(CC1(C(=O)[O-])CC1)C[C@@H]2O ZINC000846233336 703254663 /nfs/dbraw/zinc/25/46/63/703254663.db2.gz HKYBQOOPEZZIDN-NSHDSACASA-N -1 1 307.346 1.418 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)cc1F ZINC000882660590 707736416 /nfs/dbraw/zinc/73/64/16/707736416.db2.gz RWEZOAJLTYGZTF-SFHVURJKSA-N -1 1 309.388 1.365 20 0 DDADMM Cn1nccc1[C@@H]1COCCN1C(=O)c1c([O-])cccc1Cl ZINC000846613350 703303635 /nfs/dbraw/zinc/30/36/35/703303635.db2.gz WKFXPUBZUBEVEN-LBPRGKRZSA-N -1 1 321.764 1.993 20 0 DDADMM C[C@@](CNC(=O)c1[nH]nc2c1CCC2)(C(=O)[O-])c1ccccc1 ZINC000846711882 703322762 /nfs/dbraw/zinc/32/27/62/703322762.db2.gz RANNEHXBOGIBOO-KRWDZBQOSA-N -1 1 313.357 1.671 20 0 DDADMM Cc1[nH]nc(C(=O)N(CC(=O)[O-])CC2CC2)c1Br ZINC000846711856 703322782 /nfs/dbraw/zinc/32/27/82/703322782.db2.gz QEHVCMTVOWDHBR-UHFFFAOYSA-N -1 1 316.155 1.417 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC=CCC1)c1nc[nH]c1Br ZINC000866617882 706745222 /nfs/dbraw/zinc/74/52/22/706745222.db2.gz QYTDOAYMRXQTIB-MRVPVSSYSA-N -1 1 320.212 1.807 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[C@@H](OC)C1(C)C ZINC000866938535 706850535 /nfs/dbraw/zinc/85/05/35/706850535.db2.gz VDQZULKHVLFKKE-NXEZZACHSA-N -1 1 312.457 1.142 20 0 DDADMM CSCCC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848342832 703541071 /nfs/dbraw/zinc/54/10/71/703541071.db2.gz DHIBCBZBRGPBSK-RKDXNWHRSA-N -1 1 312.357 1.798 20 0 DDADMM Cc1[nH]nc(C(=O)N(CC(=O)[O-])C2CCC2)c1Br ZINC000848454949 703552203 /nfs/dbraw/zinc/55/22/03/703552203.db2.gz DKZUBDGZUIVJPR-UHFFFAOYSA-N -1 1 316.155 1.560 20 0 DDADMM CSCC1(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000849427869 703646855 /nfs/dbraw/zinc/64/68/55/703646855.db2.gz QIXMMHSNOSHPEO-UHFFFAOYSA-N -1 1 323.871 1.885 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]C[C@]23OCCC[C@H]2C3(F)F)no1 ZINC000849459163 703650664 /nfs/dbraw/zinc/65/06/64/703650664.db2.gz XITCBOUHPMQAJI-MNOVXSKESA-N -1 1 322.333 1.217 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)CCC1 ZINC000851104553 703780644 /nfs/dbraw/zinc/78/06/44/703780644.db2.gz KQCNCMILTOACAV-UPJWGTAASA-N -1 1 314.451 1.353 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC12OCCO2)c1sccc1Cl ZINC000851817737 703863794 /nfs/dbraw/zinc/86/37/94/703863794.db2.gz HZGZKCZDXHECBX-VIFPVBQESA-N -1 1 323.823 1.975 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1c[nH]nc1Cl)C1CCCC1 ZINC000851833087 703866691 /nfs/dbraw/zinc/86/66/91/703866691.db2.gz XETSKERQELXYEJ-SECBINFHSA-N -1 1 307.803 1.547 20 0 DDADMM COc1ccc(OC)c(NC(=O)[C@H](C)N2CC[C@@H](C(=O)[O-])C2)c1 ZINC000818606868 703938418 /nfs/dbraw/zinc/93/84/18/703938418.db2.gz MFAOCSRUCLPFNJ-WDEREUQCSA-N -1 1 322.361 1.437 20 0 DDADMM Cc1noc(C)c1CCN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764342 706784962 /nfs/dbraw/zinc/78/49/62/706784962.db2.gz UBIOPGYWHZUHJS-ZDUSSCGKSA-N -1 1 319.327 1.977 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)/C=C\[C@H]1CCCO1 ZINC000819285238 704100679 /nfs/dbraw/zinc/10/06/79/704100679.db2.gz ZGIPEKYPGPBLDJ-FOQNGQEVSA-N -1 1 316.361 1.403 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)s1 ZINC000819681100 704157794 /nfs/dbraw/zinc/15/77/94/704157794.db2.gz SPWQOILDEFFLAP-NSCUHMNNSA-N -1 1 320.374 1.960 20 0 DDADMM C[C@H](C(=O)n1ncc(-c2nn[n-]n2)c1N)c1ccc(Cl)s1 ZINC000819883604 704180303 /nfs/dbraw/zinc/18/03/03/704180303.db2.gz IUOKDZVYKDJUPS-YFKPBYRVSA-N -1 1 323.769 1.804 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cnn(C)c2)c1 ZINC000819954242 704192036 /nfs/dbraw/zinc/19/20/36/704192036.db2.gz NCCRNWQSKDSKNN-OAQYLSRUSA-N -1 1 308.359 1.280 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@H]1[C@H](c2ccccc2)C1(F)F ZINC000831875832 706796634 /nfs/dbraw/zinc/79/66/34/706796634.db2.gz WDLVGTOZYBNMFB-GWCFXTLKSA-N -1 1 307.304 1.297 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H](C)c1cnn(C(C)C)c1 ZINC000866779662 706798062 /nfs/dbraw/zinc/79/80/62/706798062.db2.gz QSPMZFBVDKGGJD-DGIBIBHMSA-N -1 1 322.456 1.477 20 0 DDADMM CCCONC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000854204581 704366473 /nfs/dbraw/zinc/36/64/73/704366473.db2.gz IHEHYDQZRRZNGC-BDAKNGLRSA-N -1 1 311.304 1.427 20 0 DDADMM CCCC[C@H](COC)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866812756 706811367 /nfs/dbraw/zinc/81/13/67/706811367.db2.gz KYZSJTCMWMLFJP-SECBINFHSA-N -1 1 308.350 1.843 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-]c1c[nH]c(=O)cc1C ZINC000821186475 704379580 /nfs/dbraw/zinc/37/95/80/704379580.db2.gz YZTFKBSVUVDESO-NSHDSACASA-N -1 1 316.379 1.569 20 0 DDADMM O=C(Cc1ccc2cccnc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000821195414 704379988 /nfs/dbraw/zinc/37/99/88/704379988.db2.gz RIUZMIUOUAOQTI-AWEZNQCLSA-N -1 1 322.372 1.697 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342235 704382165 /nfs/dbraw/zinc/38/21/65/704382165.db2.gz MIIAKXLPUYCAEO-KHPPLWFESA-N -1 1 314.345 1.980 20 0 DDADMM Cn1c([N-]C(=O)c2conc2C(F)(F)F)nnc1C1CC1 ZINC000855252010 704475349 /nfs/dbraw/zinc/47/53/49/704475349.db2.gz UCWWLLRJNSBGKH-UHFFFAOYSA-N -1 1 301.228 1.952 20 0 DDADMM COc1cccc([C@H](C)C(=O)[N-]OCCN2CCCC2=O)c1 ZINC000856039156 704511018 /nfs/dbraw/zinc/51/10/18/704511018.db2.gz RLQMXKPIDQKQOR-LBPRGKRZSA-N -1 1 306.362 1.469 20 0 DDADMM CC[C@H](C(=O)[N-]OCCN1CCCC1=O)c1ccc(F)cc1 ZINC000856042575 704511407 /nfs/dbraw/zinc/51/14/07/704511407.db2.gz JAZPHDLRPYKDBC-AWEZNQCLSA-N -1 1 308.353 1.990 20 0 DDADMM CCC[C@@H](O)C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866848643 706824325 /nfs/dbraw/zinc/82/43/25/706824325.db2.gz ORPUNSJUIRJQTE-MRVPVSSYSA-N -1 1 313.206 1.828 20 0 DDADMM Cc1nnc([N-]C(=O)c2cnc(C3(C)OCCO3)s2)s1 ZINC000858678432 704743885 /nfs/dbraw/zinc/74/38/85/704743885.db2.gz NWFSKGWNPADCHZ-UHFFFAOYSA-N -1 1 312.376 1.775 20 0 DDADMM CS(=O)(=O)c1ccc(/C=C\C(=O)[N-]O[C@@H]2CCCCO2)o1 ZINC000858987558 704780900 /nfs/dbraw/zinc/78/09/00/704780900.db2.gz SPJULXNHYIFFPX-SMYYYNMVSA-N -1 1 315.347 1.271 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-][C@@H]2C=CCC2)c1F ZINC000859221608 704826714 /nfs/dbraw/zinc/82/67/14/704826714.db2.gz VPUFACQKFYNQFW-SECBINFHSA-N -1 1 317.313 1.748 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@@H]2CCCOC2)c1Cl ZINC000859253467 704836185 /nfs/dbraw/zinc/83/61/85/704836185.db2.gz WLYBTGOHWXNGAQ-VIFPVBQESA-N -1 1 307.803 1.169 20 0 DDADMM Cc1cc2ccccc2nc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000821893611 704836680 /nfs/dbraw/zinc/83/66/80/704836680.db2.gz HUIIYWLQZKULBM-LLVKDONJSA-N -1 1 310.361 1.932 20 0 DDADMM COC(=O)C1=CC[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000859287827 704850187 /nfs/dbraw/zinc/85/01/87/704850187.db2.gz QTUKWABJFMGWOS-LBPRGKRZSA-N -1 1 321.354 1.973 20 0 DDADMM CCCNC(=O)C[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000873837222 704866117 /nfs/dbraw/zinc/86/61/17/704866117.db2.gz NWPHPHNEQRSQKJ-UHFFFAOYSA-N -1 1 306.259 1.699 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2ccc(F)nc2F)co1 ZINC000867362122 706974824 /nfs/dbraw/zinc/97/48/24/706974824.db2.gz XRIDLRRTYCBRNH-UHFFFAOYSA-N -1 1 303.290 1.389 20 0 DDADMM O=C([N-]Cc1ccc(C(=O)NCc2cnc[nH]2)o1)C(F)(F)F ZINC000859498639 704918815 /nfs/dbraw/zinc/91/88/15/704918815.db2.gz MFGWDXZCCVRVCB-UHFFFAOYSA-N -1 1 316.239 1.111 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@H]1c1ccccc1F ZINC000867384704 706983240 /nfs/dbraw/zinc/98/32/40/706983240.db2.gz JVACQXWDOQOYQD-DJHSXXLPSA-N -1 1 320.411 1.634 20 0 DDADMM CC(C)[C@]1(C)C[C@@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867386183 706983723 /nfs/dbraw/zinc/98/37/23/706983723.db2.gz RNSYIZHZSXWOTJ-XVKPBYJWSA-N -1 1 322.228 1.885 20 0 DDADMM Cc1nsc(N(C)C(=O)C=Cc2ccco2)c1-c1nnn[n-]1 ZINC000822531345 704968957 /nfs/dbraw/zinc/96/89/57/704968957.db2.gz YUXKJVTYWXVOKQ-WAYWQWQTSA-N -1 1 316.346 1.901 20 0 DDADMM Cc1nsc(N(C)C(=O)C=Cc2ccco2)c1-c1nn[n-]n1 ZINC000822531345 704968963 /nfs/dbraw/zinc/96/89/63/704968963.db2.gz YUXKJVTYWXVOKQ-WAYWQWQTSA-N -1 1 316.346 1.901 20 0 DDADMM Cc1ccc(OC[C@@H](C)NC(=O)CCCc2nn[n-]n2)cc1 ZINC000874237962 704978206 /nfs/dbraw/zinc/97/82/06/704978206.db2.gz BTBZHTNYYNBTTL-GFCCVEGCSA-N -1 1 303.366 1.415 20 0 DDADMM C[C@@H]1COC2(CCC2)CN1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000823061771 705127822 /nfs/dbraw/zinc/12/78/22/705127822.db2.gz FPDDYSSCCVGMMV-LLVKDONJSA-N -1 1 315.377 1.517 20 0 DDADMM O=C(Cc1ccccc1O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000823115447 705144291 /nfs/dbraw/zinc/14/42/91/705144291.db2.gz NZQLMAVBOINCAX-UHFFFAOYSA-N -1 1 311.301 1.459 20 0 DDADMM CN(C)C(=O)N1CCC(C(=O)[N-]Oc2ccccc2F)CC1 ZINC000874912661 705225084 /nfs/dbraw/zinc/22/50/84/705225084.db2.gz ODLCQWJSLSUYFT-UHFFFAOYSA-N -1 1 309.341 1.629 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])c1cn(C[C@@H]2CCOC2)nn1 ZINC000861252352 705409365 /nfs/dbraw/zinc/40/93/65/705409365.db2.gz XYKSCBBJFPOKAP-QMMMGPOBSA-N -1 1 323.740 1.321 20 0 DDADMM CC1(C)OC[C@@H](COC(=O)c2ccc(-c3nnn[n-]3)s2)O1 ZINC000824818682 705535184 /nfs/dbraw/zinc/53/51/84/705535184.db2.gz VEBSPCOANGCAMS-SSDOTTSWSA-N -1 1 310.335 1.237 20 0 DDADMM CC1(C)OC[C@@H](COC(=O)c2ccc(-c3nn[n-]n3)s2)O1 ZINC000824818682 705535185 /nfs/dbraw/zinc/53/51/85/705535185.db2.gz VEBSPCOANGCAMS-SSDOTTSWSA-N -1 1 310.335 1.237 20 0 DDADMM CCCCOCCCNC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825291891 705640304 /nfs/dbraw/zinc/64/03/04/705640304.db2.gz ZLHORGUWUBHJHK-UHFFFAOYSA-N -1 1 322.369 1.029 20 0 DDADMM CCCCOCCCNC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825291891 705640309 /nfs/dbraw/zinc/64/03/09/705640309.db2.gz ZLHORGUWUBHJHK-UHFFFAOYSA-N -1 1 322.369 1.029 20 0 DDADMM CCO[C@@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000825542088 705690333 /nfs/dbraw/zinc/69/03/33/705690333.db2.gz HQMYBGQECNLZOZ-LLVKDONJSA-N -1 1 306.391 1.659 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(C)(C)C(C)(F)F)co1 ZINC000825843000 705736250 /nfs/dbraw/zinc/73/62/50/705736250.db2.gz ZTGQHPWJGYDHPQ-UHFFFAOYSA-N -1 1 324.349 1.599 20 0 DDADMM COCC[C@H](Nc1ccc(-c2nnn[n-]2)nn1)c1ccco1 ZINC000826155069 705780133 /nfs/dbraw/zinc/78/01/33/705780133.db2.gz FHNOXVCRFHMAFD-VIFPVBQESA-N -1 1 301.310 1.439 20 0 DDADMM COCC[C@H](Nc1ccc(-c2nn[n-]n2)nn1)c1ccco1 ZINC000826155069 705780136 /nfs/dbraw/zinc/78/01/36/705780136.db2.gz FHNOXVCRFHMAFD-VIFPVBQESA-N -1 1 301.310 1.439 20 0 DDADMM Cc1nccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1Cl ZINC000826330486 705791841 /nfs/dbraw/zinc/79/18/41/705791841.db2.gz WDUCUUGIRCCEDG-UHFFFAOYSA-N -1 1 315.724 1.293 20 0 DDADMM Cc1nccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1Cl ZINC000826330486 705791843 /nfs/dbraw/zinc/79/18/43/705791843.db2.gz WDUCUUGIRCCEDG-UHFFFAOYSA-N -1 1 315.724 1.293 20 0 DDADMM Cc1onc(CC(=O)N2CCC(C)(C)CC2)c1-c1nnn[n-]1 ZINC000826343515 705792926 /nfs/dbraw/zinc/79/29/26/705792926.db2.gz DNIAFINKMDZAON-UHFFFAOYSA-N -1 1 304.354 1.354 20 0 DDADMM Cc1onc(CC(=O)N2CCC(C)(C)CC2)c1-c1nn[n-]n1 ZINC000826343515 705792929 /nfs/dbraw/zinc/79/29/29/705792929.db2.gz DNIAFINKMDZAON-UHFFFAOYSA-N -1 1 304.354 1.354 20 0 DDADMM Cn1cc(CNc2cc(-c3nnn[n-]3)nc3ccccc32)nn1 ZINC000826361738 705795593 /nfs/dbraw/zinc/79/55/93/705795593.db2.gz MECMMZPFZNSDTQ-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM Cn1cc(CNc2cc(-c3nn[n-]n3)nc3ccccc32)nn1 ZINC000826361738 705795594 /nfs/dbraw/zinc/79/55/94/705795594.db2.gz MECMMZPFZNSDTQ-UHFFFAOYSA-N -1 1 307.321 1.156 20 0 DDADMM O=C([O-])c1ccn(-c2ccccc2NC(=O)CN2CCCC2)n1 ZINC000908960265 712903471 /nfs/dbraw/zinc/90/34/71/712903471.db2.gz AWNHYYPRSLBSPV-UHFFFAOYSA-N -1 1 314.345 1.605 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1(C)C ZINC000826764874 705868061 /nfs/dbraw/zinc/86/80/61/705868061.db2.gz SKMMHBXYUIUGAC-ZJUUUORDSA-N -1 1 306.391 1.561 20 0 DDADMM O=C(CC[C@H]1CC1(Cl)Cl)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826834031 705881145 /nfs/dbraw/zinc/88/11/45/705881145.db2.gz LJHOHQLBPCYAPF-YUMQZZPRSA-N -1 1 320.180 1.074 20 0 DDADMM CON(C)C(=O)[C@@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000863710594 705993205 /nfs/dbraw/zinc/99/32/05/705993205.db2.gz SAAMWQPFAPICBJ-SECBINFHSA-N -1 1 303.318 1.079 20 0 DDADMM Cc1n[nH]c(C)c1C[N@H+]1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000827851353 706076246 /nfs/dbraw/zinc/07/62/46/706076246.db2.gz SZKUPZMJRMPPCK-PELKAZGASA-N -1 1 318.343 1.915 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CC2(CCC2)[C@@](F)(C(=O)[O-])C1 ZINC000864157372 706083782 /nfs/dbraw/zinc/08/37/82/706083782.db2.gz YCPKWROKLGMLQA-BONVTDFDSA-N -1 1 309.341 1.394 20 0 DDADMM O=C(N[C@H](CO)CC(F)(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000827958380 706093839 /nfs/dbraw/zinc/09/38/39/706093839.db2.gz UCEKJDRWXNTYEU-ZETCQYMHSA-N -1 1 320.296 1.061 20 0 DDADMM CC(C)Cc1ncc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)s1 ZINC000827961843 706094187 /nfs/dbraw/zinc/09/41/87/706094187.db2.gz VKTAQENTJCNERR-SECBINFHSA-N -1 1 322.394 1.068 20 0 DDADMM COC(=O)C1=NO[C@]2(CCN(Cc3cccc([O-])c3Cl)C2)C1 ZINC000877712011 706184965 /nfs/dbraw/zinc/18/49/65/706184965.db2.gz FGOLEWNKPDUGFU-OAHLLOKOSA-N -1 1 324.764 1.939 20 0 DDADMM CC(=O)[C@@H](OC(=O)c1sccc1[N-]S(C)(=O)=O)C(C)C ZINC000828751081 706225845 /nfs/dbraw/zinc/22/58/45/706225845.db2.gz QMXTVUDOOQDXDQ-JTQLQIEISA-N -1 1 319.404 1.890 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)c1ccnn1C ZINC000828945951 706260081 /nfs/dbraw/zinc/26/00/81/706260081.db2.gz DALFNOVWDKIELT-VIFPVBQESA-N -1 1 303.366 1.839 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC([C@@H]2CCC[C@H](C)C2)C1 ZINC000829121412 706290635 /nfs/dbraw/zinc/29/06/35/706290635.db2.gz YRGLYFUGTGOBLU-VHSXEESVSA-N -1 1 321.377 1.795 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2cccc(CC(=O)[O-])c2)n[nH]1 ZINC000829318832 706318593 /nfs/dbraw/zinc/31/85/93/706318593.db2.gz NDVCCIIOEOFPFB-UHFFFAOYSA-N -1 1 323.374 1.078 20 0 DDADMM COC(=O)[C@H](C)N(Cc1nc2c(c(=O)[n-]1)COCC2)C1CCC1 ZINC000878500882 706408846 /nfs/dbraw/zinc/40/88/46/706408846.db2.gz GSFKJOKSZUAIRN-JTQLQIEISA-N -1 1 321.377 1.171 20 0 DDADMM COC(=O)C(=O)CCCSc1nc(C)c(C2CC2)c(=O)[n-]1 ZINC000865373415 706415708 /nfs/dbraw/zinc/41/57/08/706415708.db2.gz TXYOKYYWFXPUKC-UHFFFAOYSA-N -1 1 310.375 1.982 20 0 DDADMM Cc1cc(F)ccc1[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000830355098 706501883 /nfs/dbraw/zinc/50/18/83/706501883.db2.gz GQLCSYORWJPWIU-LLVKDONJSA-N -1 1 319.336 1.252 20 0 DDADMM C[C@](O)(C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CCC1 ZINC000830802193 706582789 /nfs/dbraw/zinc/58/27/89/706582789.db2.gz QZCYGDJKYMPCOJ-QWHCGFSZSA-N -1 1 322.327 1.207 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](O)c2ccc(C)cc2)sn1 ZINC000866832436 706818980 /nfs/dbraw/zinc/81/89/80/706818980.db2.gz BSBLTEFYKSRUOV-GFCCVEGCSA-N -1 1 312.416 1.772 20 0 DDADMM CCS[C@@H]1CCC[C@H]1[N-]S(=O)(=O)N=[S@@](C)(=O)CC ZINC000866915966 706843786 /nfs/dbraw/zinc/84/37/86/706843786.db2.gz NHSZPNUTTMISJX-YHTYYEMMSA-N -1 1 314.498 1.613 20 0 DDADMM COC(=O)CCC(C)(C)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866934287 706849021 /nfs/dbraw/zinc/84/90/21/706849021.db2.gz RQPWMIITDKVCBD-UHFFFAOYSA-N -1 1 322.333 1.370 20 0 DDADMM O=S(=O)([N-]CCC1CCC1)c1nc[nH]c1Br ZINC000866945617 706852681 /nfs/dbraw/zinc/85/26/81/706852681.db2.gz JALGQTKCFRSSGT-UHFFFAOYSA-N -1 1 308.201 1.641 20 0 DDADMM CSc1cc(C)ccc1C[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866947544 706853061 /nfs/dbraw/zinc/85/30/61/706853061.db2.gz CINNWTZWNYSCBE-UHFFFAOYSA-N -1 1 322.477 1.779 20 0 DDADMM O=S(=O)([N-][C@@H]1CCOC2(CCC2)C1)c1ccc(F)nc1F ZINC000866984473 706864916 /nfs/dbraw/zinc/86/49/16/706864916.db2.gz RSWBDDBQCNJCHR-SECBINFHSA-N -1 1 318.345 1.740 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CCO[C@@H](C)C1 ZINC000867047097 706881524 /nfs/dbraw/zinc/88/15/24/706881524.db2.gz HMMQEPLATKTMOX-QWRGUYRKSA-N -1 1 312.457 1.144 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCO[C@@H](C)C1 ZINC000867047098 706881635 /nfs/dbraw/zinc/88/16/35/706881635.db2.gz HMMQEPLATKTMOX-WDEREUQCSA-N -1 1 312.457 1.144 20 0 DDADMM Cc1ccncc1[C@H](C)[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867050736 706882453 /nfs/dbraw/zinc/88/24/53/706882453.db2.gz RSVOVDYKYFZGIE-NSHDSACASA-N -1 1 317.436 1.547 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1CCO[C@@H](C2CC2)C1 ZINC000867113939 706900598 /nfs/dbraw/zinc/90/05/98/706900598.db2.gz YMJRWUIAADELHV-VXGBXAGGSA-N -1 1 322.452 1.040 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H](C)c1ccncc1OC ZINC000867156019 706911359 /nfs/dbraw/zinc/91/13/59/706911359.db2.gz JBMSNFXZPBBLBF-UGZDLDLSSA-N -1 1 321.424 1.103 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCSC[C@H]2CC(=O)[O-])C1 ZINC000909011574 712916088 /nfs/dbraw/zinc/91/60/88/712916088.db2.gz SIZPNYBNJRTTFA-JLLWLGSASA-N -1 1 323.418 1.494 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000832651705 706956861 /nfs/dbraw/zinc/95/68/61/706956861.db2.gz ZHRANSJJQJYYPL-CYBMUJFWSA-N -1 1 305.330 1.423 20 0 DDADMM CC(C)C[C@@H](C(=O)[O-])N(C)C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000909020884 712918600 /nfs/dbraw/zinc/91/86/00/712918600.db2.gz RMYSTFAREQHHRQ-MFKMUULPSA-N -1 1 308.382 1.408 20 0 DDADMM CC(C)(C(=O)NCc1ccc([O-])c(Cl)c1)N1CCOCC1 ZINC000834826503 707132165 /nfs/dbraw/zinc/13/21/65/707132165.db2.gz RQRKXXYUAQXBJL-UHFFFAOYSA-N -1 1 312.797 1.773 20 0 DDADMM CCOC(=O)CCc1c(C)nc(SCC[C@@H](C)O)[n-]c1=O ZINC000871692187 707170794 /nfs/dbraw/zinc/17/07/94/707170794.db2.gz IDNMRLGGPYVYSR-SECBINFHSA-N -1 1 314.407 1.849 20 0 DDADMM CCc1[n-]n(C2=NCc3cnn(C(C)(C)C)c3N2)c(=O)c1F ZINC000871962538 707256835 /nfs/dbraw/zinc/25/68/35/707256835.db2.gz DIIDZLZEYKYTPM-SNVBAGLBSA-N -1 1 306.345 1.866 20 0 DDADMM Cc1ccc(S(=O)(=O)NC[C@H](C)N(C)C)c(C)c1C(=O)[O-] ZINC000871970331 707260664 /nfs/dbraw/zinc/26/06/64/707260664.db2.gz NQEWGKNMIMRZFW-JTQLQIEISA-N -1 1 314.407 1.230 20 0 DDADMM CC[C@H](OC(=O)c1coc(S(=O)(=O)[N-]C)c1)C(F)(F)F ZINC000835654343 707302388 /nfs/dbraw/zinc/30/23/88/707302388.db2.gz NZOOLQREHMFLJI-ZETCQYMHSA-N -1 1 315.269 1.685 20 0 DDADMM COCC(C)(C)N1CCN(Cc2cc(C(=O)[O-])cs2)CC1 ZINC000872158403 707309974 /nfs/dbraw/zinc/30/99/74/707309974.db2.gz DGSYNMBYUGDYPR-UHFFFAOYSA-N -1 1 312.435 1.989 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCc2ccc(C)cn2)o1 ZINC000835907502 707345852 /nfs/dbraw/zinc/34/58/52/707345852.db2.gz KGCCMLOTSAFLDM-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM CC(C)CN(CC(=O)[O-])C(=O)NCc1ccc2cncn2c1 ZINC000909172705 712953750 /nfs/dbraw/zinc/95/37/50/712953750.db2.gz VPEOMTLQYSZPFO-UHFFFAOYSA-N -1 1 304.350 1.587 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3CCCC3(F)F)ccnc1-2 ZINC000836576598 707482987 /nfs/dbraw/zinc/48/29/87/707482987.db2.gz XYHQQLOPXYYIGQ-VIFPVBQESA-N -1 1 309.320 1.899 20 0 DDADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CCC[C@@H](C)C1 ZINC000837155146 707586334 /nfs/dbraw/zinc/58/63/34/707586334.db2.gz KCMIXIJYLYPUAO-FRRDWIJNSA-N -1 1 307.394 1.258 20 0 DDADMM CC1(C)C[C@@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000837221146 707600343 /nfs/dbraw/zinc/60/03/43/707600343.db2.gz RPNFNIBKERVSBB-JTQLQIEISA-N -1 1 320.418 1.953 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000909235515 712969857 /nfs/dbraw/zinc/96/98/57/712969857.db2.gz LLRGEPVFFKYUIY-VIFPVBQESA-N -1 1 301.350 1.154 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2ccns2)sc1C ZINC000882562927 707698776 /nfs/dbraw/zinc/69/87/76/707698776.db2.gz OAFJMQATUHHKLR-UHFFFAOYSA-N -1 1 303.434 1.737 20 0 DDADMM CO[C@@H]1COC[C@@H]1NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873041250 707705409 /nfs/dbraw/zinc/70/54/09/707705409.db2.gz JIOREMJOACFSID-CMPLNLGQSA-N -1 1 300.742 1.259 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@]2(C)CC2(C)C)o1 ZINC000882579625 707706642 /nfs/dbraw/zinc/70/66/42/707706642.db2.gz DTQRBOBYHAZFEZ-CYBMUJFWSA-N -1 1 301.364 1.781 20 0 DDADMM COC(=O)COCCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873084385 707723487 /nfs/dbraw/zinc/72/34/87/707723487.db2.gz XRFGVPPIZLKTQY-UHFFFAOYSA-N -1 1 316.741 1.034 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C(=O)[O-])cc1 ZINC000909252992 712974712 /nfs/dbraw/zinc/97/47/12/712974712.db2.gz OZBGOZUCMQFLDD-MCIONIFRSA-N -1 1 313.357 1.806 20 0 DDADMM CCN1CC[C@@H]1C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000882765324 707780595 /nfs/dbraw/zinc/78/05/95/707780595.db2.gz WJAAYKZVHNKDEM-SECBINFHSA-N -1 1 306.790 1.852 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CC[C@H](O)[C@@H](F)C1 ZINC000896754625 708142494 /nfs/dbraw/zinc/14/24/94/708142494.db2.gz KTQBAAMWNZGKOV-STQMWFEESA-N -1 1 318.295 1.327 20 0 DDADMM CN(CC(=O)NC[C@H](Oc1ccc(F)cc1)C(=O)[O-])C1CCC1 ZINC000909388203 713006188 /nfs/dbraw/zinc/00/61/88/713006188.db2.gz MPDDNXRDEPBXJL-AWEZNQCLSA-N -1 1 324.352 1.258 20 0 DDADMM COc1cnc([C@@H]2CCCN2c2cc(C)nc(C)n2)[n-]c1=O ZINC000897225160 708260982 /nfs/dbraw/zinc/26/09/82/708260982.db2.gz ZLHPXJWWKSFALJ-NSHDSACASA-N -1 1 301.350 1.939 20 0 DDADMM CCc1cc(N[C@H]2CCN(c3cc(=O)[nH]cn3)C2)nc(C)[nH+]1 ZINC000897349714 708301354 /nfs/dbraw/zinc/30/13/54/708301354.db2.gz YKAGDNKGNWJINW-LBPRGKRZSA-N -1 1 300.366 1.534 20 0 DDADMM O=C(C[C@H]1CCC2(CCC2)O1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000897641196 708403781 /nfs/dbraw/zinc/40/37/81/708403781.db2.gz YGWNJFVJWCSLHY-VXGBXAGGSA-N -1 1 321.377 1.973 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@H](CC1CC1)C(=O)[O-] ZINC000909469822 713026372 /nfs/dbraw/zinc/02/63/72/713026372.db2.gz SUAPJYATVPGQQT-HNNXBMFYSA-N -1 1 304.390 1.530 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CCCC(C)(C)O1)C1CC1 ZINC000885038013 708451839 /nfs/dbraw/zinc/45/18/39/708451839.db2.gz CRZYXQDZRGSWOM-VXGBXAGGSA-N -1 1 319.423 1.205 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C[N-]S(=O)(=O)c1ccns1 ZINC000885069832 708461671 /nfs/dbraw/zinc/46/16/71/708461671.db2.gz YVLBQDOTLJPLJR-ZETCQYMHSA-N -1 1 301.393 1.830 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCC1CCCC1 ZINC000912529712 713031183 /nfs/dbraw/zinc/03/11/83/713031183.db2.gz DOKPFLJKRIMOAH-UHFFFAOYSA-N -1 1 301.368 1.055 20 0 DDADMM O=S(=O)([N-]C[C@@]1(O)CCc2ccccc21)c1ccns1 ZINC000885075267 708463392 /nfs/dbraw/zinc/46/33/92/708463392.db2.gz XNQKJUVTKBBYDN-ZDUSSCGKSA-N -1 1 310.400 1.255 20 0 DDADMM O=S(=O)([N-][C@@H]1CC[C@@H]2SCCS[C@H]12)c1ccns1 ZINC000885078291 708464612 /nfs/dbraw/zinc/46/46/12/708464612.db2.gz GSJXMGDINHKWJI-KHQFGBGNSA-N -1 1 322.502 1.801 20 0 DDADMM CC(C)OC(=O)C[C@H]([N-]S(=O)(=O)c1ccns1)C(C)C ZINC000885279096 708509454 /nfs/dbraw/zinc/50/94/54/708509454.db2.gz DFSWGPFKGVQPIB-JTQLQIEISA-N -1 1 320.436 1.788 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC12CCOCC2)c1ccns1 ZINC000885341134 708522647 /nfs/dbraw/zinc/52/26/47/708522647.db2.gz DNUQESOMLIVATH-SNVBAGLBSA-N -1 1 302.421 1.628 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC2(CO1)CCOCC2)c1ccns1 ZINC000885341707 708523395 /nfs/dbraw/zinc/52/33/95/708523395.db2.gz KSJTUGMLTJWLKE-SNVBAGLBSA-N -1 1 318.420 1.007 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000885383181 708531972 /nfs/dbraw/zinc/53/19/72/708531972.db2.gz NYFOWCSEPCKZDY-YUMQZZPRSA-N -1 1 324.349 1.799 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2C[C@@H]2[C@@H]2C[C@H]2C)c1 ZINC000885620329 708585755 /nfs/dbraw/zinc/58/57/55/708585755.db2.gz IAJXUBDIFVMORT-DNIRFERGSA-N -1 1 311.403 1.971 20 0 DDADMM COC[C@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)C(C)C ZINC000885801017 708630057 /nfs/dbraw/zinc/63/00/57/708630057.db2.gz OCZKNWPMURPMFA-NSHDSACASA-N -1 1 308.407 1.666 20 0 DDADMM Nc1c(C(=O)NCCc2c(F)cc([O-])cc2F)cnn1C1CC1 ZINC000886271212 708733765 /nfs/dbraw/zinc/73/37/65/708733765.db2.gz APGTUTMMBLDPMU-UHFFFAOYSA-N -1 1 322.315 1.757 20 0 DDADMM C[C@H]1C[C@H](O)CN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782288 713054503 /nfs/dbraw/zinc/05/45/03/713054503.db2.gz BQFHWHVJIPGCQA-WPRPVWTQSA-N -1 1 300.305 1.378 20 0 DDADMM CC1(C)[C@H](O)C[C@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782744 713054783 /nfs/dbraw/zinc/05/47/83/713054783.db2.gz JIEPIVZXWHPDIC-CHWSQXEVSA-N -1 1 314.332 1.672 20 0 DDADMM CC(C)(O)C1CN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927784964 713055668 /nfs/dbraw/zinc/05/56/68/713055668.db2.gz UNKJSRYIQPJMEI-UHFFFAOYSA-N -1 1 314.332 1.625 20 0 DDADMM O=C(N[C@@H]1CCS(=O)(=O)C2(CCC2)C1)c1cncc([O-])c1 ZINC000886772722 708841792 /nfs/dbraw/zinc/84/17/92/708841792.db2.gz FFWWLVUINRFNQT-LLVKDONJSA-N -1 1 310.375 1.017 20 0 DDADMM C[C@@]1(C(=O)NCc2nc([O-])cc(=O)[nH]2)CCc2ccccc2C1 ZINC000898758103 708857083 /nfs/dbraw/zinc/85/70/83/708857083.db2.gz WIXZNZGRZBQNAP-QGZVFWFLSA-N -1 1 313.357 1.699 20 0 DDADMM CC1(C)CO[C@H](CCNC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000899454538 709085674 /nfs/dbraw/zinc/08/56/74/709085674.db2.gz AKCXQJXSLCDHJI-LLVKDONJSA-N -1 1 320.418 1.953 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)NC[C@H]2CCCO2)[n-]c1=O ZINC000899462993 709087776 /nfs/dbraw/zinc/08/77/76/709087776.db2.gz QZXNOBCGWFZFTO-MNOVXSKESA-N -1 1 322.365 1.216 20 0 DDADMM C[C@@H]1C[C@]2(CC[C@@H](CNC(=O)c3cc(F)ccc3[O-])O2)CO1 ZINC000899478635 709093588 /nfs/dbraw/zinc/09/35/88/709093588.db2.gz JCYGMCWBMFBDMS-RSAASHCRSA-N -1 1 309.337 1.988 20 0 DDADMM CC(C)c1cncc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000888242178 709251774 /nfs/dbraw/zinc/25/17/74/709251774.db2.gz BKVQUEBUBZOQHN-UHFFFAOYSA-N -1 1 302.334 1.084 20 0 DDADMM CN(C)C(=O)CCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900239948 709500420 /nfs/dbraw/zinc/50/04/20/709500420.db2.gz LVHVKCZIUMMHNK-UHFFFAOYSA-N -1 1 305.309 1.288 20 0 DDADMM CSCc1noc(CCC(=O)[N-]OCc2ccccn2)n1 ZINC000909544653 709509642 /nfs/dbraw/zinc/50/96/42/709509642.db2.gz KKHFJOMEYRWGCK-UHFFFAOYSA-N -1 1 308.363 1.508 20 0 DDADMM CCc1ncc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)s1 ZINC000912860220 713109662 /nfs/dbraw/zinc/10/96/62/713109662.db2.gz LRSLSHVHJIWFIU-ZETCQYMHSA-N -1 1 312.424 1.443 20 0 DDADMM CCC[C@H](NC(=O)N[C@@H]1CCCN2CCSC[C@H]12)C(=O)[O-] ZINC000900295743 709526344 /nfs/dbraw/zinc/52/63/44/709526344.db2.gz NCYMHRMATWZQSQ-GRYCIOLGSA-N -1 1 315.439 1.119 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC1(C2CC2)CCC1 ZINC000889628113 709565585 /nfs/dbraw/zinc/56/55/85/709565585.db2.gz FFHUOUMNSHOCDJ-UHFFFAOYSA-N -1 1 318.377 1.387 20 0 DDADMM Cc1cc(CC(=O)N[C@@H](C(=O)[O-])c2ccc(C)c(C)c2)[nH]n1 ZINC000909693498 709581107 /nfs/dbraw/zinc/58/11/07/709581107.db2.gz IOGXZZVRSGDIBE-OAHLLOKOSA-N -1 1 301.346 1.820 20 0 DDADMM Cc1ccc(F)c(CN(C)C(=O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000909728318 709598184 /nfs/dbraw/zinc/59/81/84/709598184.db2.gz FLWFYGBYCIUWBO-CYBMUJFWSA-N -1 1 322.380 1.889 20 0 DDADMM Cc1ccc(F)c(CN(C)C(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000909728318 709598186 /nfs/dbraw/zinc/59/81/86/709598186.db2.gz FLWFYGBYCIUWBO-CYBMUJFWSA-N -1 1 322.380 1.889 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccn(C)c2C)[n-]c1=O ZINC000889787749 709636105 /nfs/dbraw/zinc/63/61/05/709636105.db2.gz OYSKFCZKPKYDEY-LBPRGKRZSA-N -1 1 316.361 1.815 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C=Cc2ccc[nH]2)[n-]c1=O ZINC000889791432 709637264 /nfs/dbraw/zinc/63/72/64/709637264.db2.gz ZHDWFBFHIPNOOB-SYTKJHMZSA-N -1 1 314.345 1.896 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2CCO[C@@H]2C)[n-]c1=O ZINC000889790475 709637414 /nfs/dbraw/zinc/63/74/14/709637414.db2.gz RMXZPPXRNSWJAM-MXWKQRLJSA-N -1 1 307.350 1.279 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)COC(C)(C)C)[n-]c1=O ZINC000889790860 709637467 /nfs/dbraw/zinc/63/74/67/709637467.db2.gz VSTBEWWHJYEEMP-SNVBAGLBSA-N -1 1 309.366 1.669 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H]2CCC(C)(C)O2)[n-]c1=O ZINC000889790480 709637699 /nfs/dbraw/zinc/63/76/99/709637699.db2.gz RQEZSVAGQDLDMS-MNOVXSKESA-N -1 1 321.377 1.812 20 0 DDADMM C[C@@H](NC(=O)Cc1cnc[nH]1)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000909819107 709639012 /nfs/dbraw/zinc/63/90/12/709639012.db2.gz HVAMLWRJDIQFSX-BXUZGUMPSA-N -1 1 301.346 1.400 20 0 DDADMM Cc1ccccc1C1(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)CC1 ZINC000909858052 709655713 /nfs/dbraw/zinc/65/57/13/709655713.db2.gz XMBFZJUQECNSEW-AWEZNQCLSA-N -1 1 316.401 1.897 20 0 DDADMM CC[C@H](C)C[C@@H](NC(=O)CN(C)[C@@H]1CCSC1)C(=O)[O-] ZINC000909867384 709660443 /nfs/dbraw/zinc/66/04/43/709660443.db2.gz MSQFQSXNLKISLQ-QJPTWQEYSA-N -1 1 302.440 1.429 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCSC[C@@H]2C)o1 ZINC000889913719 709674841 /nfs/dbraw/zinc/67/48/41/709674841.db2.gz UDQFGTPVLPORCK-VIFPVBQESA-N -1 1 318.420 1.155 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)CCCCc2cn[nH]n2)C[C@H]1C1CC1 ZINC000909902320 709679136 /nfs/dbraw/zinc/67/91/36/709679136.db2.gz DPLJXBHIPJQMPO-QWHCGFSZSA-N -1 1 306.366 1.087 20 0 DDADMM CS(=O)(=O)N1CCC[C@@H]1C(=O)Nc1cc([O-])c(F)cc1F ZINC000909974519 709714849 /nfs/dbraw/zinc/71/48/49/709714849.db2.gz IIELRLBBDLTPHI-SNVBAGLBSA-N -1 1 320.317 1.033 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)cnc2n1 ZINC000900766777 709749078 /nfs/dbraw/zinc/74/90/78/709749078.db2.gz MEJJPIWCLOPENF-OLNSGIQNSA-N -1 1 311.341 1.549 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1C[C@@H]3O[C@H]1[C@H]1C[C@H]13)c2=O ZINC000900766777 709749079 /nfs/dbraw/zinc/74/90/79/709749079.db2.gz MEJJPIWCLOPENF-OLNSGIQNSA-N -1 1 311.341 1.549 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)N1C[C@@H]2CCCC[C@]2(C(=O)[O-])C1 ZINC000910151444 709792796 /nfs/dbraw/zinc/79/27/96/709792796.db2.gz DOWDFJAXMUSDMH-ZQIUZPCESA-N -1 1 324.421 1.058 20 0 DDADMM O=C([O-])[C@]12CN(C(=O)[C@@H]3CCc4nc[nH]c4C3)C[C@H]1CCCC2 ZINC000910161315 709795222 /nfs/dbraw/zinc/79/52/22/709795222.db2.gz WKKYUPMFYVTHOQ-PSTGCABASA-N -1 1 317.389 1.618 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)COCC2CCCC2)CC1 ZINC000910174862 709797933 /nfs/dbraw/zinc/79/79/33/709797933.db2.gz YMFTZUDXOBEYCZ-ZDUSSCGKSA-N -1 1 312.410 1.201 20 0 DDADMM O=C(NC[C@@]1(O)CCSC1)c1c([O-])cnc2c(F)cccc21 ZINC000900934069 709835540 /nfs/dbraw/zinc/83/55/40/709835540.db2.gz NARWBNNTOARQOX-HNNXBMFYSA-N -1 1 322.361 1.677 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC1([C@@H]2CCCCO2)CCC1 ZINC000910363632 709909770 /nfs/dbraw/zinc/90/97/70/709909770.db2.gz GWEOQTIWRJEUKF-KBPBESRZSA-N -1 1 324.421 1.391 20 0 DDADMM O=C([O-])c1ccc(N2CCN(CCc3ccncc3)CC2)nc1 ZINC000910383202 709917036 /nfs/dbraw/zinc/91/70/36/709917036.db2.gz AMIJAVNCTSSZLR-UHFFFAOYSA-N -1 1 312.373 1.540 20 0 DDADMM CN(CC(=O)N1C[C@@H]2CCC[C@]2(C(=O)[O-])C1)[C@H]1CCSC1 ZINC000910419560 709931505 /nfs/dbraw/zinc/93/15/05/709931505.db2.gz OLSCWGBDBPWBFE-HUBLWGQQSA-N -1 1 312.435 1.137 20 0 DDADMM COC(=O)c1cc([N-]C(=O)c2ocnc2C(F)(F)F)nn1C ZINC000913023418 713145628 /nfs/dbraw/zinc/14/56/28/713145628.db2.gz BPZIHKQHSFMEMA-UHFFFAOYSA-N -1 1 318.211 1.466 20 0 DDADMM CCN(CC(=O)N[C@@](C)(Cc1ccc(F)cc1)C(=O)[O-])C1CC1 ZINC000910513646 709978154 /nfs/dbraw/zinc/97/81/54/709978154.db2.gz ULWIAWDPWIPQFR-KRWDZBQOSA-N -1 1 322.380 1.812 20 0 DDADMM CCN(CC)S(=O)(=O)c1ccc([O-])c(NC(=O)CCF)c1 ZINC000901293690 709999873 /nfs/dbraw/zinc/99/98/73/709999873.db2.gz LDGDVWGOIGJJLE-UHFFFAOYSA-N -1 1 318.370 1.721 20 0 DDADMM Cc1sc([C@H]2CCCN2C(=O)c2cnc[nH]2)nc1C(=O)[O-] ZINC000910724798 710057091 /nfs/dbraw/zinc/05/70/91/710057091.db2.gz UMFKPQPNPXWXLW-SECBINFHSA-N -1 1 306.347 1.850 20 0 DDADMM COc1cc(CN2CC[C@@](OC)(C(=O)[O-])C2)cc2c1OCCO2 ZINC000901543553 710070876 /nfs/dbraw/zinc/07/08/76/710070876.db2.gz VKNKTAMLSJQKBM-INIZCTEOSA-N -1 1 323.345 1.142 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)/C=C/c1ccc(C(=O)[O-])o1 ZINC000901613849 710096122 /nfs/dbraw/zinc/09/61/22/710096122.db2.gz GVGRKLHEJCBDII-VUDGCMKMSA-N -1 1 306.362 1.790 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)[C@@H]1CO[C@H](CCC(=O)[O-])C1 ZINC000901614927 710096304 /nfs/dbraw/zinc/09/63/04/710096304.db2.gz PVGBJIQHYQXMNB-MJBXVCDLSA-N -1 1 312.410 1.055 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCC1CCC(=O)CC1 ZINC000901656267 710110471 /nfs/dbraw/zinc/11/04/71/710110471.db2.gz XLFRBJJUFGPUSG-UHFFFAOYSA-N -1 1 312.391 1.447 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000901662953 710113062 /nfs/dbraw/zinc/11/30/62/710113062.db2.gz GTRHDUCHYMLQLU-SIVJFFJCSA-N -1 1 321.377 1.168 20 0 DDADMM CSc1ccc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])s1 ZINC000901740814 710136493 /nfs/dbraw/zinc/13/64/93/710136493.db2.gz FARSSKIIROBHJJ-YUMQZZPRSA-N -1 1 302.421 1.537 20 0 DDADMM O=C([O-])[C@]12CN(Cc3cc(C(F)(F)F)n[nH]3)C[C@H]1COCC2 ZINC000901949164 710182547 /nfs/dbraw/zinc/18/25/47/710182547.db2.gz IKVRNNGXFNEUFB-QPUJVOFHSA-N -1 1 319.283 1.352 20 0 DDADMM O=C([O-])C[C@H]1COCCN1Cc1cnc(-c2ccccc2)[nH]1 ZINC000902001413 710198688 /nfs/dbraw/zinc/19/86/88/710198688.db2.gz GWRMVMSTJQEJNF-AWEZNQCLSA-N -1 1 301.346 1.752 20 0 DDADMM CCCNC(=O)C1CCN(c2cc(=O)[n-]c(COC)n2)CC1 ZINC000891681312 710265276 /nfs/dbraw/zinc/26/52/76/710265276.db2.gz SYUAULXXUSWBTC-UHFFFAOYSA-N -1 1 308.382 1.071 20 0 DDADMM CCOC(=O)Cn1nc(C)cc1NC(=O)c1ccccc1[O-] ZINC000892341947 710420493 /nfs/dbraw/zinc/42/04/93/710420493.db2.gz CWDBGJKQJIISNO-UHFFFAOYSA-N -1 1 303.318 1.713 20 0 DDADMM Cc1[nH+]ccn1CC[NH2+]Cc1ncc(Br)cc1O ZINC000892759023 710493448 /nfs/dbraw/zinc/49/34/48/710493448.db2.gz QYXLKWINEMIHTD-UHFFFAOYSA-N -1 1 311.183 1.844 20 0 DDADMM COCc1nc(N2CC(c3nc4ccccc4[nH]3)C2)cc(=O)[n-]1 ZINC000892899068 710522325 /nfs/dbraw/zinc/52/23/25/710522325.db2.gz IRBPYAKFHHVXFJ-UHFFFAOYSA-N -1 1 311.345 1.809 20 0 DDADMM COCC[C@H](Cc1ccco1)Nc1cc(=O)[n-]c(COC)n1 ZINC000893126809 710559137 /nfs/dbraw/zinc/55/91/37/710559137.db2.gz CEFSXPJWIXEYGS-LLVKDONJSA-N -1 1 307.350 1.981 20 0 DDADMM C[C@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000902029318 710588098 /nfs/dbraw/zinc/58/80/98/710588098.db2.gz KHTQEMZSXFCJBD-MTVMDMGHSA-N -1 1 305.378 1.765 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@H](C)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000902030657 710588380 /nfs/dbraw/zinc/58/83/80/710588380.db2.gz SYMRJWFFWKVOIF-VCDKRKBESA-N -1 1 307.394 1.840 20 0 DDADMM C[C@@H](NCc1ccc(O[C@H](C)C(=O)[O-])cc1)C(=O)NC(C)(C)C ZINC000902179992 710651191 /nfs/dbraw/zinc/65/11/91/710651191.db2.gz MVWNXIOWOKYGGQ-VXGBXAGGSA-N -1 1 322.405 1.931 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2ccc(O)c(Cl)c2)C1 ZINC000911155862 710659289 /nfs/dbraw/zinc/65/92/89/710659289.db2.gz VIICOIXBPIBRIS-SECBINFHSA-N -1 1 312.753 1.781 20 0 DDADMM O=C([O-])C1(C(=O)NCCCCN2CCOCC2)CC2(CCC2)C1 ZINC000911203461 710683042 /nfs/dbraw/zinc/68/30/42/710683042.db2.gz ZBWUDMRSGKJQPJ-UHFFFAOYSA-N -1 1 324.421 1.250 20 0 DDADMM CC[C@@H](CNCc1cn(CC(=O)[O-])nn1)Oc1ccccc1F ZINC000902356206 710728557 /nfs/dbraw/zinc/72/85/57/710728557.db2.gz QEDBGDDZJRIEEX-LBPRGKRZSA-N -1 1 322.340 1.449 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1CC[C@@H]1C(=O)[O-])N1CCc2ccccc2C1 ZINC000911304625 710735551 /nfs/dbraw/zinc/73/55/51/710735551.db2.gz WYYGQAOCPFJTTO-MAZHCROVSA-N -1 1 316.401 1.660 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2ccc(/C=C/C(=O)[O-])o2)C1 ZINC000911351360 710755851 /nfs/dbraw/zinc/75/58/51/710755851.db2.gz BMXVTNNWSFRHLW-HOSRBBHYSA-N -1 1 322.361 1.218 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2ccc(C(=O)[O-])cc2F)C1 ZINC000911354249 710759751 /nfs/dbraw/zinc/75/97/51/710759751.db2.gz WALMNELGLFTQGY-LBPRGKRZSA-N -1 1 324.352 1.365 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)CCCC(C)(F)F)N(C)C ZINC000893803611 710836463 /nfs/dbraw/zinc/83/64/63/710836463.db2.gz FFKSLJKTYBMRHB-SNVBAGLBSA-N -1 1 314.398 1.454 20 0 DDADMM C[C@H]1CCN(c2cc(=O)[nH]cn2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000893869284 710865159 /nfs/dbraw/zinc/86/51/59/710865159.db2.gz WBDOIVVZKWVNIR-LAEOZQHASA-N -1 1 318.299 1.464 20 0 DDADMM COC[C@@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)C(C)C ZINC000902979789 710983284 /nfs/dbraw/zinc/98/32/84/710983284.db2.gz FNGMTDFXTXPZSZ-LLVKDONJSA-N -1 1 303.362 1.572 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1ccc(SC)nc1 ZINC000903081326 711026232 /nfs/dbraw/zinc/02/62/32/711026232.db2.gz VEDTVBHPZPFGLW-UHFFFAOYSA-N -1 1 323.465 1.968 20 0 DDADMM COCc1nc(N[C@H](CO)[C@H]2CCC[C@H](OC)C2)cc(=O)[n-]1 ZINC000894500473 711150636 /nfs/dbraw/zinc/15/06/36/711150636.db2.gz UJLQDFPOWLEKCY-SDDRHHMPSA-N -1 1 311.382 1.307 20 0 DDADMM CCOC(=O)CCN(C(=O)c1cnc(C2CC2)[n-]c1=O)C(C)C ZINC000912067055 711155586 /nfs/dbraw/zinc/15/55/86/711155586.db2.gz ZKSGMNCIPQINOF-UHFFFAOYSA-N -1 1 321.377 1.863 20 0 DDADMM CSCc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000913493341 713236700 /nfs/dbraw/zinc/23/67/00/713236700.db2.gz OYOBJZBKMNYCNX-LBPRGKRZSA-N -1 1 319.390 1.276 20 0 DDADMM C[C@]1(c2ccccc2)C[C@H]1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494024 713236945 /nfs/dbraw/zinc/23/69/45/713236945.db2.gz PNGSPFJLILADLE-HEHGZKQESA-N -1 1 313.361 1.077 20 0 DDADMM Cc1c(Br)cccc1CN1CC[C@](O)(C(=O)[O-])C1 ZINC000903623766 711228045 /nfs/dbraw/zinc/22/80/45/711228045.db2.gz RLVVKRDUMGGBTG-CYBMUJFWSA-N -1 1 314.179 1.779 20 0 DDADMM Cc1ccc(C[C@H](C)C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000913494970 713237667 /nfs/dbraw/zinc/23/76/67/713237667.db2.gz DZTHEWFVZZZKJP-JSGCOSHPSA-N -1 1 315.377 1.287 20 0 DDADMM O=C([C@@H]1CC[C@@H]2CCCC[C@@H]2C1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496089 713238282 /nfs/dbraw/zinc/23/82/82/713238282.db2.gz SDILRPSYXIOJKD-DGAVXFQQSA-N -1 1 319.409 1.706 20 0 DDADMM CC(C)COC[C@H](O)CN1CCOc2cc(C(=O)[O-])ccc2C1 ZINC000903957521 711347775 /nfs/dbraw/zinc/34/77/75/711347775.db2.gz SWYMFMRODUXJJZ-OAHLLOKOSA-N -1 1 323.389 1.613 20 0 DDADMM O=C([O-])N[C@@H]1C[C@@H](NCc2nccn2C(F)F)C12CCC2 ZINC000904213198 711411494 /nfs/dbraw/zinc/41/14/94/711411494.db2.gz GPRQJUBKAVXTFS-RKDXNWHRSA-N -1 1 300.309 1.947 20 0 DDADMM CN(Cc1cnc[nH]1)Cc1ccc(N2CCC(C(=O)[O-])CC2)o1 ZINC000904252391 711418384 /nfs/dbraw/zinc/41/83/84/711418384.db2.gz ZKCUUHNDADIJTE-UHFFFAOYSA-N -1 1 318.377 1.936 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2ncc(CC)s2)n1 ZINC000895235623 711460506 /nfs/dbraw/zinc/46/05/06/711460506.db2.gz ZBQCWVBLLZBUEP-QMMMGPOBSA-N -1 1 309.395 1.851 20 0 DDADMM CCN(C(=O)CNc1cc(=O)[n-]c(COC)n1)c1ccccc1 ZINC000895468978 711519899 /nfs/dbraw/zinc/51/98/99/711519899.db2.gz XIYOUZXZYJPZDC-UHFFFAOYSA-N -1 1 316.361 1.794 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(-c3ccc[nH]c3=O)no2)c1 ZINC000904747064 711889535 /nfs/dbraw/zinc/88/95/35/711889535.db2.gz PVRSPIGGNUXNEQ-JTQLQIEISA-N -1 1 312.285 1.651 20 0 DDADMM Cn1c(C(=O)N2CCC(c3nn[n-]n3)CC2)cc2ccccc21 ZINC000913743035 713292056 /nfs/dbraw/zinc/29/20/56/713292056.db2.gz BOGHQXBDUVZNJQ-UHFFFAOYSA-N -1 1 310.361 1.711 20 0 DDADMM Cc1cccc2c1O[C@@H](C(=O)N1CCC(c3nn[n-]n3)CC1)C2 ZINC000913746283 713294022 /nfs/dbraw/zinc/29/40/22/713294022.db2.gz MRNXIUFCXYSSQP-CYBMUJFWSA-N -1 1 313.361 1.218 20 0 DDADMM O=C([C@@H]1CCc2cc(F)ccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913745842 713294025 /nfs/dbraw/zinc/29/40/25/713294025.db2.gz QBPAHQARHDCPHT-CQSZACIVSA-N -1 1 315.352 1.775 20 0 DDADMM O=C(c1coc(C(F)F)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907472129 712580629 /nfs/dbraw/zinc/58/06/29/712580629.db2.gz MARJXAAITVTADT-ZETCQYMHSA-N -1 1 315.305 1.661 20 0 DDADMM Cc1cccnc1C=CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479078 712583392 /nfs/dbraw/zinc/58/33/92/712583392.db2.gz IZGZZRWNMJOIJN-ITKZLYELSA-N -1 1 316.390 1.233 20 0 DDADMM O=C([C@H]1C[C@@H]1c1ccccc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481463 712584110 /nfs/dbraw/zinc/58/41/10/712584110.db2.gz WLCPGFFKEBKTSN-FRRDWIJNSA-N -1 1 315.402 1.620 20 0 DDADMM Cc1nc(-c2ccn(C)n2)sc1CC(=O)[N-]OCC(C)(C)O ZINC000907794948 712627550 /nfs/dbraw/zinc/62/75/50/712627550.db2.gz VPFSYDJWLWAQPE-UHFFFAOYSA-N -1 1 324.406 1.213 20 0 DDADMM O=C(c1ccsc1Cl)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907941286 712652302 /nfs/dbraw/zinc/65/23/02/712652302.db2.gz QBPISEJFDXQLEI-UHFFFAOYSA-N -1 1 313.770 1.038 20 0 DDADMM O=C(c1ccc(C(F)F)cc1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907943990 712652871 /nfs/dbraw/zinc/65/28/71/712652871.db2.gz OVDMDONHBRVZNX-UHFFFAOYSA-N -1 1 323.303 1.261 20 0 DDADMM O=C(NC[C@@H]1C[C@H]1c1cccnc1)c1cnc(C2CC2)[n-]c1=O ZINC000907975290 712658884 /nfs/dbraw/zinc/65/88/84/712658884.db2.gz KLVLHHGMVXAWSQ-STQMWFEESA-N -1 1 310.357 1.988 20 0 DDADMM C[C@H](Cc1cccnc1)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000907981508 712659646 /nfs/dbraw/zinc/65/96/46/712659646.db2.gz JOLMQFVTYHSQQJ-MRVPVSSYSA-N -1 1 300.771 1.368 20 0 DDADMM O=C([O-])c1ccc2c(c1)N(C(=O)[C@@H]1CCCN1C1CC1)CC2 ZINC000908070091 712677378 /nfs/dbraw/zinc/67/73/78/712677378.db2.gz YLNNWGMYTMGIBA-AWEZNQCLSA-N -1 1 300.358 1.901 20 0 DDADMM O=CN1CCC[C@H]1C(=O)Nc1cccc([O-])c1Br ZINC000908707884 712839120 /nfs/dbraw/zinc/83/91/20/712839120.db2.gz NTUXCNPRQFVRRL-VIFPVBQESA-N -1 1 313.151 1.714 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](CC(=O)N2CC[C@@H](c3ccccc3)C2)C1 ZINC000908730274 712842707 /nfs/dbraw/zinc/84/27/07/712842707.db2.gz REHGAOPGDANMGA-HZPDHXFCSA-N -1 1 316.401 1.799 20 0 DDADMM CC[C@@](C)(NC(=O)NC[C@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000908746703 712846783 /nfs/dbraw/zinc/84/67/83/712846783.db2.gz DCHAJAAEVJWKPJ-MLGOLLRUSA-N -1 1 323.393 1.969 20 0 DDADMM O=C([O-])C1(NC(=O)NC[C@@H](c2ccco2)N2CCCC2)CCC1 ZINC000908751477 712847877 /nfs/dbraw/zinc/84/78/77/712847877.db2.gz OWAKVTFBFNZWAS-LBPRGKRZSA-N -1 1 321.377 1.723 20 0 DDADMM CN(C)[C@@H](CNC(=O)NC1(C(=O)[O-])CCC1)c1ccsc1 ZINC000908814989 712860354 /nfs/dbraw/zinc/86/03/54/712860354.db2.gz VHZWREYCBBULIB-NSHDSACASA-N -1 1 311.407 1.657 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H](CF)C(=O)[O-])c1ccc(F)cc1 ZINC000908816946 712861092 /nfs/dbraw/zinc/86/10/92/712861092.db2.gz DRYUCFKPECFGOI-NEPJUHHUSA-N -1 1 315.320 1.150 20 0 DDADMM CN(C)CC(=O)N(CC(=O)[O-])Cc1ccc(C(F)(F)F)cc1 ZINC000908903994 712883730 /nfs/dbraw/zinc/88/37/30/712883730.db2.gz KWFCTQNYVSNEPP-UHFFFAOYSA-N -1 1 318.295 1.680 20 0 DDADMM O=S(=O)([N-]CC(F)(F)CO)c1cscc1C(F)F ZINC000914382600 713386561 /nfs/dbraw/zinc/38/65/61/713386561.db2.gz JEWOYGFNCGRJLU-UHFFFAOYSA-N -1 1 307.290 1.592 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1cnn2c1OCC(C)(C)C2)C(F)F ZINC000915119367 713409901 /nfs/dbraw/zinc/40/99/01/713409901.db2.gz QKHKCAUXMQFUTA-UHFFFAOYSA-N -1 1 323.365 1.624 20 0 DDADMM Cc1[nH][n-]c(=O)c1Sc1nnc(COc2cccc(C)c2)o1 ZINC000915231860 713413790 /nfs/dbraw/zinc/41/37/90/713413790.db2.gz ANOKHXVDKKVWTH-LBPRGKRZSA-N -1 1 318.358 1.923 20 0 DDADMM CC[C@](C)(NC(=O)N[C@H]1CCCN2CCSC[C@@H]12)C(=O)[O-] ZINC000929063605 713542377 /nfs/dbraw/zinc/54/23/77/713542377.db2.gz OMYLQGMXEWLQDX-MJVIPROJSA-N -1 1 315.439 1.119 20 0 DDADMM COC(=O)C[N-]C(=O)C(F)(F)c1cccc(Br)c1 ZINC000929222859 713570322 /nfs/dbraw/zinc/57/03/22/713570322.db2.gz LTMHVSXTQBIGEN-UHFFFAOYSA-N -1 1 322.105 1.830 20 0 DDADMM C[C@H](CN(C)CC(=O)N1CCOCC1)C(=O)c1ccc([O-])cc1 ZINC000929225690 713570531 /nfs/dbraw/zinc/57/05/31/713570531.db2.gz VWTLQFRFGMYLCE-CYBMUJFWSA-N -1 1 320.389 1.002 20 0 DDADMM CCOC(=O)[C@@H]1CN(C[C@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929700035 713671980 /nfs/dbraw/zinc/67/19/80/713671980.db2.gz ABKQKLRDACYHEH-WFASDCNBSA-N -1 1 321.373 1.475 20 0 DDADMM CN1C[C@@H](CC[N-]S(=O)(=O)c2sccc2Cl)CC1=O ZINC000921059976 713711268 /nfs/dbraw/zinc/71/12/68/713711268.db2.gz UDXBHGFZGVYBBW-QMMMGPOBSA-N -1 1 322.839 1.548 20 0 DDADMM COC(=O)c1cnc(C[N-]S(=O)(=O)c2ccc(C)o2)s1 ZINC000921195955 713720567 /nfs/dbraw/zinc/72/05/67/713720567.db2.gz NLKDKYHBZMWYJO-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-][C@H]1c2ccccc2OC[C@@H]1F ZINC000921318771 713732519 /nfs/dbraw/zinc/73/25/19/713732519.db2.gz CKLUWRDSNMTJRA-JKOKRWQUSA-N -1 1 315.366 1.557 20 0 DDADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)CCC(C)(F)F ZINC000921377759 713748816 /nfs/dbraw/zinc/74/88/16/713748816.db2.gz FHULLHXTPYKRFG-MRVPVSSYSA-N -1 1 319.395 1.246 20 0 DDADMM CCOC(=O)[C@H](C[C@@H](C)CC)[N-]S(=O)(=O)Cc1ccon1 ZINC000921382191 713750022 /nfs/dbraw/zinc/75/00/22/713750022.db2.gz ZCYGKQVNBBJTEE-JQWIXIFHSA-N -1 1 318.395 1.462 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@H](C)CC2)c1 ZINC000921410492 713760420 /nfs/dbraw/zinc/76/04/20/713760420.db2.gz XTRYJHQLMNKAKR-SNVBAGLBSA-N -1 1 301.364 1.200 20 0 DDADMM CCN1CCC[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1=O ZINC000921490557 713786183 /nfs/dbraw/zinc/78/61/83/713786183.db2.gz MPOKQYJMYSRNQP-MRVPVSSYSA-N -1 1 322.333 1.506 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@]2(C)CCCCO2)c1Cl ZINC000921678634 713840743 /nfs/dbraw/zinc/84/07/43/713840743.db2.gz MTLPRSIEIFTWFF-LLVKDONJSA-N -1 1 307.803 1.311 20 0 DDADMM CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000922399809 714042934 /nfs/dbraw/zinc/04/29/34/714042934.db2.gz RSNUJPFNBCDHJS-XYPYZODXSA-N -1 1 320.370 1.246 20 0 DDADMM CC[C@H](C)[C@H](C(=O)OC)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000931568235 714130451 /nfs/dbraw/zinc/13/04/51/714130451.db2.gz CVQPQJDRTYBRBZ-CWSCBRNRSA-N -1 1 324.343 1.717 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@@H](CCO)C1CC1 ZINC000932041794 714244227 /nfs/dbraw/zinc/24/42/27/714244227.db2.gz AVGYSYFTNCKUHJ-AWEZNQCLSA-N -1 1 316.361 1.860 20 0 DDADMM C[C@@](O)(CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1CC1 ZINC000932041785 714244330 /nfs/dbraw/zinc/24/43/30/714244330.db2.gz FZAZWTJTBCNFGT-MRXNPFEDSA-N -1 1 316.361 1.860 20 0 DDADMM O=C(NCC1(CCO)CC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932047862 714246275 /nfs/dbraw/zinc/24/62/75/714246275.db2.gz FRAJEUCFWMKDKM-UHFFFAOYSA-N -1 1 316.361 1.862 20 0 DDADMM C[C@H](CCO)N(C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932060662 714249597 /nfs/dbraw/zinc/24/95/97/714249597.db2.gz UZKKQGFOGNZDGU-LLVKDONJSA-N -1 1 304.350 1.813 20 0 DDADMM CC1(CC(=O)OCc2cc(=O)oc3cc([O-])ccc23)COC1 ZINC000924113302 714529669 /nfs/dbraw/zinc/52/96/69/714529669.db2.gz VNDJVPOSHXDVMR-UHFFFAOYSA-N -1 1 304.298 1.968 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2cnc(C)cn2)[n-]c1=O ZINC000934277502 714785033 /nfs/dbraw/zinc/78/50/33/714785033.db2.gz PQCGNNGRFRHPGC-LBPRGKRZSA-N -1 1 301.350 1.626 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1cccc(O)c1 ZINC000935036628 714960889 /nfs/dbraw/zinc/96/08/89/714960889.db2.gz FYSFXPFEWOSNKW-PWSUYJOCSA-N -1 1 301.350 1.415 20 0 DDADMM CC(C)[C@@H]1C[C@H](C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCO1 ZINC000935037676 714961250 /nfs/dbraw/zinc/96/12/50/714961250.db2.gz YNQGSTPNIMIDBR-UPJWGTAASA-N -1 1 307.398 1.357 20 0 DDADMM C[C@@H]1COC[C@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000926023183 714991479 /nfs/dbraw/zinc/99/14/79/714991479.db2.gz CGOZZTJRUWQZNK-AMIZOPFISA-N -1 1 300.305 1.547 20 0 DDADMM Cc1cccc(C(=O)C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000935457474 715060275 /nfs/dbraw/zinc/06/02/75/715060275.db2.gz TUHLLLFDTVVFPA-LLVKDONJSA-N -1 1 317.374 1.008 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])C[C@H]1C ZINC000926615058 715099072 /nfs/dbraw/zinc/09/90/72/715099072.db2.gz OHDQEZWOPPMUDC-MFKMUULPSA-N -1 1 314.341 1.822 20 0 DDADMM Cc1cccc(C(=O)C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000935792806 715128733 /nfs/dbraw/zinc/12/87/33/715128733.db2.gz BUCIJLORHKECKU-LBPRGKRZSA-N -1 1 315.329 1.673 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCC2(C)CC2)c1 ZINC000936053164 715146373 /nfs/dbraw/zinc/14/63/73/715146373.db2.gz LLFKKWCNOUAPEM-UHFFFAOYSA-N -1 1 312.391 1.819 20 0 DDADMM CC1(NC(=O)C2CC2)CCN(C(=O)c2ncccc2[O-])CC1 ZINC000956254437 715371115 /nfs/dbraw/zinc/37/11/15/715371115.db2.gz RRILSVDFYABOAZ-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)C2(C3CC3)CC2)C1)c1ncccc1[O-] ZINC000937865615 715619703 /nfs/dbraw/zinc/61/97/03/715619703.db2.gz LCZWTFANLNXEPW-LBPRGKRZSA-N -1 1 315.373 1.308 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC12CCC2 ZINC000937957230 715663097 /nfs/dbraw/zinc/66/30/97/715663097.db2.gz MRWVQIJYYICNDQ-RYUDHWBXSA-N -1 1 315.373 1.308 20 0 DDADMM CC(=O)N(C)[C@H]1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000938990838 716074993 /nfs/dbraw/zinc/07/49/93/716074993.db2.gz MVZXIIAWGUWTPS-LBPRGKRZSA-N -1 1 320.393 1.307 20 0 DDADMM O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)C1CC1)C2)c1ncccc1[O-] ZINC000957975485 716258762 /nfs/dbraw/zinc/25/87/62/716258762.db2.gz CVGZEUHMWNAQPX-DRZSPHRISA-N -1 1 315.373 1.307 20 0 DDADMM O=C([C@@H]1CC12CCC2)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960154617 716433956 /nfs/dbraw/zinc/43/39/56/716433956.db2.gz NCENBQBWIOANGH-RYUDHWBXSA-N -1 1 319.409 1.171 20 0 DDADMM CC(=O)N[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000964664791 717366803 /nfs/dbraw/zinc/36/68/03/717366803.db2.gz POBWBKCHQCSWCM-GFCCVEGCSA-N -1 1 318.377 1.190 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964851924 717453985 /nfs/dbraw/zinc/45/39/85/717453985.db2.gz ZKYFHLVVJPSPLK-ACDNVWSCSA-N -1 1 317.389 1.864 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CSC)C1 ZINC000942758960 717858254 /nfs/dbraw/zinc/85/82/54/717858254.db2.gz SNVQXBATTWMYOG-NSHDSACASA-N -1 1 323.418 1.213 20 0 DDADMM CC(=O)N1CCCC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000943259353 718060932 /nfs/dbraw/zinc/06/09/32/718060932.db2.gz LXXJWQIHXDDNKC-GFCCVEGCSA-N -1 1 318.377 1.190 20 0 DDADMM O=C(N[C@H]1CC[C@H](NC(=O)C(F)F)CC1)c1ncccc1[O-] ZINC000943584129 718151557 /nfs/dbraw/zinc/15/15/57/718151557.db2.gz IBYZCGMAMCCRGQ-KYZUINATSA-N -1 1 313.304 1.210 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000943978894 718236517 /nfs/dbraw/zinc/23/65/17/718236517.db2.gz IBCZHFPYRSUFBK-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000945250238 718430462 /nfs/dbraw/zinc/43/04/62/718430462.db2.gz NINRYWUCRQLSPU-VVBALGDRSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946533330 718821185 /nfs/dbraw/zinc/82/11/85/718821185.db2.gz RWRYELQNNHNGGL-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM CN(C(=O)C1CC1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000967453324 718904601 /nfs/dbraw/zinc/90/46/01/718904601.db2.gz LYXTZVBFXJQQGB-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM Cc1cc(C)c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)s1 ZINC000968807975 719796520 /nfs/dbraw/zinc/79/65/20/719796520.db2.gz PMDCFIKBTCCRIO-SNVBAGLBSA-N -1 1 321.406 1.193 20 0 DDADMM CC1CC(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000949342966 720029557 /nfs/dbraw/zinc/02/95/57/720029557.db2.gz XYQLRZPZZUDYTL-MCIGGMRASA-N -1 1 303.362 1.164 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ccccc1)c1ncccc1[O-] ZINC000949531538 720143424 /nfs/dbraw/zinc/14/34/24/720143424.db2.gz RLIDYOHJOSCQMC-CYBMUJFWSA-N -1 1 311.341 1.432 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)[C@]12C[C@H]1CCC2)c1ncccc1[O-] ZINC000949537768 720146898 /nfs/dbraw/zinc/14/68/98/720146898.db2.gz BJTJYPAOWGXGCX-PSTGCABASA-N -1 1 315.373 1.308 20 0 DDADMM Cc1ncoc1CN1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000969542730 720181681 /nfs/dbraw/zinc/18/16/81/720181681.db2.gz HUXYYTLGFZPXKM-SNVBAGLBSA-N -1 1 316.361 1.334 20 0 DDADMM CC(=O)N1CCC([C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000952260750 721386363 /nfs/dbraw/zinc/38/63/63/721386363.db2.gz JSHOCCVATLFEBV-AWEZNQCLSA-N -1 1 317.389 1.508 20 0 DDADMM CCC(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC000953334509 721595047 /nfs/dbraw/zinc/59/50/47/721595047.db2.gz YBPOSXGIOHSNCG-RYUDHWBXSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N(C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953725387 721648656 /nfs/dbraw/zinc/64/86/56/721648656.db2.gz KMQVQTAQQABBCS-AAEUAGOBSA-N -1 1 317.389 1.506 20 0 DDADMM CC(C)=CC(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC000953887803 721674465 /nfs/dbraw/zinc/67/44/65/721674465.db2.gz DMZLVYSSHQULTO-UHFFFAOYSA-N -1 1 317.389 1.816 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954127838 721724889 /nfs/dbraw/zinc/72/48/89/721724889.db2.gz IAFPJQXGFMHMAX-GFCCVEGCSA-N -1 1 309.341 1.064 20 0 DDADMM C[C@H](CN(C)C)NS(=O)(=O)c1ccc(Cl)c(C(=O)[O-])c1 ZINC000168625827 735285090 /nfs/dbraw/zinc/28/50/90/735285090.db2.gz IETHDYHECLUCNZ-MRVPVSSYSA-N -1 1 320.798 1.267 20 0 DDADMM CC[C@H](O)CCC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692848025 738529242 /nfs/dbraw/zinc/52/92/42/738529242.db2.gz CPBGDZFETBBXMI-QMMMGPOBSA-N -1 1 310.778 1.704 20 0 DDADMM C[C@H](C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001021222046 733074093 /nfs/dbraw/zinc/07/40/93/733074093.db2.gz SNHZLWNMRZWQAZ-SMHCIBLRSA-N -1 1 319.409 1.168 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCC[C@@H]2[C@H]2CCN(CCF)C2)c1[O-] ZINC001039199168 733193762 /nfs/dbraw/zinc/19/37/62/733193762.db2.gz QDIWALVLEKLWKC-QWHCGFSZSA-N -1 1 324.400 1.710 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021441859 733206077 /nfs/dbraw/zinc/20/60/77/733206077.db2.gz CXRVGDYSEIOTNM-YVECIDJPSA-N -1 1 315.373 1.116 20 0 DDADMM C[C@]1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C=CCC1 ZINC001011036476 734379257 /nfs/dbraw/zinc/37/92/57/734379257.db2.gz MVTBSAOJOKJEEJ-KRWDZBQOSA-N -1 1 315.373 1.428 20 0 DDADMM CC(=O)N1CCC([C@@H]2CCCN(Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001075443139 734657135 /nfs/dbraw/zinc/65/71/35/734657135.db2.gz IFTOFJSMNZCHTB-CYBMUJFWSA-N -1 1 321.425 1.371 20 0 DDADMM CC(C)C[C@@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167553149 734705770 /nfs/dbraw/zinc/70/57/70/734705770.db2.gz NDHRDMPJAMLTKL-GFCCVEGCSA-N -1 1 323.441 1.569 20 0 DDADMM O=C(NC[C@H]1CCCC[N@H+]1C/C=C/Cl)c1cnc[nH]c1=O ZINC001024500888 735876393 /nfs/dbraw/zinc/87/63/93/735876393.db2.gz ZMKOZTJYCRNOTA-JOAKQRRISA-N -1 1 310.785 1.519 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(F)F ZINC001007758564 737080154 /nfs/dbraw/zinc/08/01/54/737080154.db2.gz LZLRKUVRNSSFRB-VIFPVBQESA-N -1 1 313.304 1.019 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974055790 737353088 /nfs/dbraw/zinc/35/30/88/737353088.db2.gz GAQBNBHOYPFXMW-WISYIIOYSA-N -1 1 303.362 1.210 20 0 DDADMM CCN(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692888561 738958119 /nfs/dbraw/zinc/95/81/19/738958119.db2.gz KMEOBANBNZTUOA-ZETCQYMHSA-N -1 1 323.777 1.019 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CCOCC2)c1ccc(Cl)nc1F ZINC000692822517 738102361 /nfs/dbraw/zinc/10/23/61/738102361.db2.gz JQHDRFDAAOVSCC-VIFPVBQESA-N -1 1 320.773 1.722 20 0 DDADMM O=C(N[C@H]1CCCN(Cc2cccnc2)C1)c1ncccc1[O-] ZINC001007191125 751486340 /nfs/dbraw/zinc/48/63/40/751486340.db2.gz RVCLVGBGDPADRX-AWEZNQCLSA-N -1 1 312.373 1.577 20 0 DDADMM CCc1nsc(N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001059201256 740370050 /nfs/dbraw/zinc/37/00/50/740370050.db2.gz XRTVCVSWLIIVPR-KYZUINATSA-N -1 1 319.390 1.574 20 0 DDADMM CC1(C(=O)NCC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001059517977 741092004 /nfs/dbraw/zinc/09/20/04/741092004.db2.gz FUBHGOXZZDAZTK-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001029637725 741163177 /nfs/dbraw/zinc/16/31/77/741163177.db2.gz FRXOQENSMXTMOZ-NSHDSACASA-N -1 1 319.365 1.006 20 0 DDADMM Cc1cc(NC(=N)SCCS(=O)(=O)[O-])nc2[nH]cnc21 ZINC001168088484 741386874 /nfs/dbraw/zinc/38/68/74/741386874.db2.gz GYUNJZAGOQJSTI-UHFFFAOYSA-N -1 1 315.380 1.234 20 0 DDADMM O=C([C@@H]1CC12CC2)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088389515 741455531 /nfs/dbraw/zinc/45/55/31/741455531.db2.gz QQWSDWDUJXQIIH-RYUDHWBXSA-N -1 1 319.409 1.171 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H](CNc2ncccn2)C1 ZINC001060021077 742216307 /nfs/dbraw/zinc/21/63/07/742216307.db2.gz JCBQWDXWVZIHJI-GFCCVEGCSA-N -1 1 313.361 1.542 20 0 DDADMM CCC[C@@H](OC)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076246149 742618678 /nfs/dbraw/zinc/61/86/78/742618678.db2.gz HLCKCJVUASLWHK-WCQYABFASA-N -1 1 323.393 1.227 20 0 DDADMM CN(C)c1nc(NC(=O)[C@H]2CCCC[C@@H]2CO)c(N=O)c(=O)[n-]1 ZINC001184160288 743987036 /nfs/dbraw/zinc/98/70/36/743987036.db2.gz RXSILKULDFDKRK-BDAKNGLRSA-N -1 1 323.353 1.383 20 0 DDADMM CSc1ncc([O-])c(C(=O)Nc2nc(C)nc3nc[nH]c32)n1 ZINC001185169204 744170131 /nfs/dbraw/zinc/17/01/31/744170131.db2.gz XNTOMWDJNBPMPW-UHFFFAOYSA-N -1 1 317.334 1.131 20 0 DDADMM O=C(Nc1noc2nccnc12)c1cc(F)c(F)c([O-])c1F ZINC001186771140 744447162 /nfs/dbraw/zinc/44/71/62/744447162.db2.gz RYKDAYMUBHHSBD-UHFFFAOYSA-N -1 1 310.191 1.993 20 0 DDADMM CC(C)C[C@H](C)CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187018199 744485110 /nfs/dbraw/zinc/48/51/10/744485110.db2.gz HWUBESZCOHYZQS-NWDGAFQWSA-N -1 1 309.414 1.273 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1nc(F)c(F)c(Cl)c1F ZINC001187256476 744537834 /nfs/dbraw/zinc/53/78/34/744537834.db2.gz FONMBCLXKYDWBN-UHFFFAOYSA-N -1 1 318.704 1.931 20 0 DDADMM O=C(Cc1ccc2[nH]ccc2n1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001187429950 744568014 /nfs/dbraw/zinc/56/80/14/744568014.db2.gz SEMFUTGXSBYSDV-UHFFFAOYSA-N -1 1 309.289 1.116 20 0 DDADMM O=C(NCC1Oc2ccccc2O1)c1n[n-]nc1C(F)(F)F ZINC001187774093 744600706 /nfs/dbraw/zinc/60/07/06/744600706.db2.gz QIQYUXPEKFAQSW-UHFFFAOYSA-N -1 1 314.223 1.351 20 0 DDADMM Cc1cccnc1CCCNC(=O)c1n[n-]nc1C(F)(F)F ZINC001187756965 744605637 /nfs/dbraw/zinc/60/56/37/744605637.db2.gz JUNGMVMUCJAUSU-UHFFFAOYSA-N -1 1 313.283 1.890 20 0 DDADMM Cn1ncc2cc(NC(=O)c3n[n-]nc3C(F)(F)F)cnc21 ZINC001187758861 744605790 /nfs/dbraw/zinc/60/57/90/744605790.db2.gz LJWGXYDZICKKQL-UHFFFAOYSA-N -1 1 311.227 1.358 20 0 DDADMM O=C(NCc1cc(OCC2CC2)ncn1)c1ccncc1[O-] ZINC001188644724 744735413 /nfs/dbraw/zinc/73/54/13/744735413.db2.gz MKWIFXWNOCQZAU-UHFFFAOYSA-N -1 1 300.318 1.296 20 0 DDADMM COC(=O)c1cc(F)cc(F)c1[N-]S(=O)(=O)CCCF ZINC001189921665 745037056 /nfs/dbraw/zinc/03/70/56/745037056.db2.gz UBWFTLNHCPFGDX-UHFFFAOYSA-N -1 1 311.281 1.853 20 0 DDADMM C[C@H]1C[C@@H](CO)N(C(=O)c2cnc(-c3ccccn3)[n-]c2=O)C1 ZINC001190619082 745260060 /nfs/dbraw/zinc/26/00/60/745260060.db2.gz VFZQTSFSBMGQJQ-QWRGUYRKSA-N -1 1 314.345 1.087 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H](c3ncc[nH]3)C2)c(=O)[n-]1 ZINC001191430533 745510514 /nfs/dbraw/zinc/51/05/14/745510514.db2.gz IFQAUQMYAKSKND-VIFPVBQESA-N -1 1 319.390 1.647 20 0 DDADMM CNC(=O)c1cnc([N-][C@@H](c2ccccn2)C(F)(F)F)nc1 ZINC001168479383 745567513 /nfs/dbraw/zinc/56/75/13/745567513.db2.gz SHEAZKOBUUZSLO-JTQLQIEISA-N -1 1 311.267 1.947 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCCN(Cc3ccsc3)C2)c1[O-] ZINC001007132587 752030471 /nfs/dbraw/zinc/03/04/71/752030471.db2.gz ANGYEWVWRMLVGI-GFCCVEGCSA-N -1 1 320.418 1.880 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1ccc([C@H](C)O)cc1 ZINC001192349886 745763560 /nfs/dbraw/zinc/76/35/60/745763560.db2.gz ANNDSYXWKINARP-VIFPVBQESA-N -1 1 303.318 1.892 20 0 DDADMM N=C(NC(=O)c1c(F)ccc([O-])c1F)n1nnc2ccccc21 ZINC001192556084 745815258 /nfs/dbraw/zinc/81/52/58/745815258.db2.gz MMOZSBCRMMGSCM-UHFFFAOYSA-N -1 1 317.255 1.628 20 0 DDADMM O=C(c1cc([O-])cc(F)c1F)N1CCC[C@@](O)(CF)CC1 ZINC001192661497 745868507 /nfs/dbraw/zinc/86/85/07/745868507.db2.gz ZMRGPGHWUXXVGV-AWEZNQCLSA-N -1 1 303.280 1.997 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1ccc(F)c(F)c1[O-] ZINC001192784826 745891179 /nfs/dbraw/zinc/89/11/79/745891179.db2.gz QWYUKEPANMAPBP-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1cc(F)c([O-])cc1F ZINC001192853357 745916616 /nfs/dbraw/zinc/91/66/16/745916616.db2.gz QOAJBROLWOSKAF-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM COc1ccnc(C(=O)Nc2ccc3[nH]c(=O)[nH]c3c2)c1[O-] ZINC001193531217 746121678 /nfs/dbraw/zinc/12/16/78/746121678.db2.gz IARDAVBWCIUIDP-UHFFFAOYSA-N -1 1 300.274 1.630 20 0 DDADMM CCOC(=O)c1cc[nH]c1NC(=O)c1nccc(OC)c1[O-] ZINC001193520472 746132371 /nfs/dbraw/zinc/13/23/71/746132371.db2.gz OCXNSXFKKWUOMR-UHFFFAOYSA-N -1 1 305.290 1.553 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)OCC(=O)N2 ZINC001193924493 746239120 /nfs/dbraw/zinc/23/91/20/746239120.db2.gz OBLXRJSNIMGPFQ-UHFFFAOYSA-N -1 1 319.342 1.401 20 0 DDADMM Cc1nc(CNC(=O)c2c(C)[n-]n(-c3ccccc3)c2=O)n[nH]1 ZINC001194279694 746315593 /nfs/dbraw/zinc/31/55/93/746315593.db2.gz WNPRUNGWVJVMIM-UHFFFAOYSA-N -1 1 312.333 1.243 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cnc3ccc(O)cc3c2)[n-]n1 ZINC001194283272 746338689 /nfs/dbraw/zinc/33/86/89/746338689.db2.gz MMHDLWRBBXLLIT-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@H](O)C1)c1ncccc1C(F)(F)F ZINC001194577824 746412623 /nfs/dbraw/zinc/41/26/23/746412623.db2.gz DOGLEWMASNRLIR-ZKCHVHJHSA-N -1 1 310.297 1.150 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccnc1OCc1ccccc1 ZINC001194613265 746426777 /nfs/dbraw/zinc/42/67/77/746426777.db2.gz BWVDFLQWAFBFMB-UHFFFAOYSA-N -1 1 310.317 1.104 20 0 DDADMM Cc1cc(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)cn(C)c1=O ZINC001195117256 746532120 /nfs/dbraw/zinc/53/21/20/746532120.db2.gz JTBMWOTWDLPHKT-UHFFFAOYSA-N -1 1 324.340 1.837 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2ncccc2N2CCOCC2)n1 ZINC001195323060 746564852 /nfs/dbraw/zinc/56/48/52/746564852.db2.gz SXVFBWSKJYZVDR-UHFFFAOYSA-N -1 1 314.345 1.580 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2ccc3c(cnn3CCO)c2)n1 ZINC001195327051 746566943 /nfs/dbraw/zinc/56/69/43/746566943.db2.gz WVBYYPCFTCXZMF-UHFFFAOYSA-N -1 1 312.329 1.690 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CC(F)(F)CC[C@H]1CO ZINC001195299152 746570641 /nfs/dbraw/zinc/57/06/41/746570641.db2.gz IXFFVCLPJOEVME-ZETCQYMHSA-N -1 1 306.696 1.673 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CCC[C@](F)(CO)CC1 ZINC001195299007 746570696 /nfs/dbraw/zinc/57/06/96/746570696.db2.gz FPLVYDDWGULLRG-CYBMUJFWSA-N -1 1 302.733 1.767 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2cc(Br)nn2C)n1 ZINC001195318650 746575781 /nfs/dbraw/zinc/57/57/81/746575781.db2.gz MFPOFNUKFOLUPI-UHFFFAOYSA-N -1 1 311.139 1.844 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2csc(N3CCOCC3)n2)n1 ZINC001195318705 746575766 /nfs/dbraw/zinc/57/57/66/746575766.db2.gz MUDGKDRMYLFWKJ-UHFFFAOYSA-N -1 1 320.374 1.641 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccccc1CN1CCOCC1 ZINC001195484549 746622560 /nfs/dbraw/zinc/62/25/60/746622560.db2.gz NROQMQCYWSZRMH-UHFFFAOYSA-N -1 1 323.418 1.962 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(C(N)=O)c(Cl)c1 ZINC001195486434 746622916 /nfs/dbraw/zinc/62/29/16/746622916.db2.gz CMFPWTNXMLAESG-UHFFFAOYSA-N -1 1 301.755 1.882 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc([C@H](C)O)cc2)cn1 ZINC001195722604 746685845 /nfs/dbraw/zinc/68/58/45/746685845.db2.gz CAPBXTQBBUALJZ-JTQLQIEISA-N -1 1 308.359 1.944 20 0 DDADMM O=C(Nc1ncc(C(F)(F)F)cn1)c1c[nH]c(=S)[n-]c1=O ZINC001196008967 746755151 /nfs/dbraw/zinc/75/51/51/746755151.db2.gz OLYIQBODNHJIKL-UHFFFAOYSA-N -1 1 317.252 1.532 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CC[C@H](c2cccnc2)C1 ZINC001196012791 746755990 /nfs/dbraw/zinc/75/59/90/746755990.db2.gz BFMNTTXKPJLWKC-JTQLQIEISA-N -1 1 302.359 1.496 20 0 DDADMM CN1Cc2cccc(NC(=O)c3c[nH]c(=S)[n-]c3=O)c2C1=O ZINC001196019768 746759370 /nfs/dbraw/zinc/75/93/70/746759370.db2.gz CKMCZOWWTHAHGB-UHFFFAOYSA-N -1 1 316.342 1.309 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cn(CC2CC2)cn1 ZINC001196924460 746998494 /nfs/dbraw/zinc/99/84/94/746998494.db2.gz GEBWQDAASYHBET-UHFFFAOYSA-N -1 1 315.395 1.378 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-][C@H]1CCCCC1(F)F ZINC001196973700 747012094 /nfs/dbraw/zinc/01/20/94/747012094.db2.gz BTOGOGMOBLBEIR-JTQLQIEISA-N -1 1 313.366 1.827 20 0 DDADMM COc1ncc([N-]S(=O)(=O)Cc2c(F)cccc2F)cn1 ZINC001197566341 747202681 /nfs/dbraw/zinc/20/26/81/747202681.db2.gz FZGCDFVZENBZJO-UHFFFAOYSA-N -1 1 315.301 1.705 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)Cc2c(F)cccc2F)CC1 ZINC001197616430 747207646 /nfs/dbraw/zinc/20/76/46/747207646.db2.gz UXUBVYNGAKSRQU-UHFFFAOYSA-N -1 1 319.329 1.480 20 0 DDADMM CCOc1ccc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1CC ZINC001198176145 747400917 /nfs/dbraw/zinc/40/09/17/747400917.db2.gz RAFZBSRPMRTQNQ-UHFFFAOYSA-N -1 1 318.333 1.683 20 0 DDADMM C=CS(=O)(=O)[N-]c1c(C(=O)OCC)cnn1-c1ccccc1 ZINC001198569632 747529560 /nfs/dbraw/zinc/52/95/60/747529560.db2.gz JMXBVXAHPUTYKZ-UHFFFAOYSA-N -1 1 321.358 1.934 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)Nc1cnc(F)c(F)c1 ZINC001198750349 747588224 /nfs/dbraw/zinc/58/82/24/747588224.db2.gz PBUVHLVJJCLAJX-UHFFFAOYSA-N -1 1 314.269 1.859 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccnc(OC(C)C)c3)c1-2 ZINC001199209382 747742800 /nfs/dbraw/zinc/74/28/00/747742800.db2.gz YKLWZWXPBXDKLK-UHFFFAOYSA-N -1 1 312.333 1.469 20 0 DDADMM COc1cc(C)c(C(=O)Nc2cc(=O)[n-]c(SC)n2)cn1 ZINC001199704989 747957657 /nfs/dbraw/zinc/95/76/57/747957657.db2.gz FTLDLGCUEYDYIR-UHFFFAOYSA-N -1 1 306.347 1.868 20 0 DDADMM O=S(=O)([N-]C1(CO)CC1)c1cc(Cl)c(Cl)cc1F ZINC001201277011 748465160 /nfs/dbraw/zinc/46/51/60/748465160.db2.gz XPQBCTCQXNJYRZ-UHFFFAOYSA-N -1 1 314.165 1.936 20 0 DDADMM Cn1ncc2c1cccc2C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001201306359 748471951 /nfs/dbraw/zinc/47/19/51/748471951.db2.gz AOXKKPUBKGEIQR-UHFFFAOYSA-N -1 1 309.289 1.198 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]Cc1nccc(C)n1 ZINC001201728330 748586130 /nfs/dbraw/zinc/58/61/30/748586130.db2.gz JRVPYEMDIAMAPS-UHFFFAOYSA-N -1 1 318.811 1.682 20 0 DDADMM CCC1(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)CC1 ZINC000996242643 749450612 /nfs/dbraw/zinc/45/06/12/749450612.db2.gz ZDFZDPINFUROHX-SNVBAGLBSA-N -1 1 307.398 1.027 20 0 DDADMM CN(C)[C@H](CCNC(=O)C(=O)c1ccc([O-])cc1)C(F)(F)F ZINC000831647778 750416109 /nfs/dbraw/zinc/41/61/09/750416109.db2.gz ODIUETDICDEKJG-LLVKDONJSA-N -1 1 318.295 1.574 20 0 DDADMM CC(C)[C@]1(C)C[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998869497 752323595 /nfs/dbraw/zinc/32/35/95/752323595.db2.gz PNDOHYJEEGWOCX-SJCJKPOMSA-N -1 1 317.389 1.410 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](NCc2nncs2)C1 ZINC000999000471 752440610 /nfs/dbraw/zinc/44/06/10/752440610.db2.gz JKVFSHHGGJJWHP-SNVBAGLBSA-N -1 1 319.390 1.033 20 0 DDADMM CC(=O)NC[C@]12CCC[C@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC001009200088 753106964 /nfs/dbraw/zinc/10/69/64/753106964.db2.gz XZEGOLZTYUVYSD-LRDDRELGSA-N -1 1 303.362 1.166 20 0 DDADMM O=C(C[C@@H]1C[C@H]1C1CC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999739713 753114561 /nfs/dbraw/zinc/11/45/61/753114561.db2.gz FLCWOPOJDDQICI-AVGNSLFASA-N -1 1 319.409 1.027 20 0 DDADMM CC/C=C(/C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062654149 753272294 /nfs/dbraw/zinc/27/22/94/753272294.db2.gz YGDYYVDXBOZNNC-SDQBBNPISA-N -1 1 317.389 1.912 20 0 DDADMM C[C@@H](CCNC(=O)CCCC1CC1)NC(=O)c1ncccc1[O-] ZINC001077906236 753386051 /nfs/dbraw/zinc/38/60/51/753386051.db2.gz YLPKNTLXMJMYOH-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CC(=O)c1cc(-c2nc(-c3ccc(-n4cccn4)nc3)no2)n[n-]1 ZINC001212431317 753485787 /nfs/dbraw/zinc/48/57/87/753485787.db2.gz KGNVVMNKOUNFJG-UHFFFAOYSA-N -1 1 321.300 1.910 20 0 DDADMM CC(=O)c1cc(-c2nc(-c3ccc(-n4cccn4)nc3)no2)[n-]n1 ZINC001212431317 753485794 /nfs/dbraw/zinc/48/57/94/753485794.db2.gz KGNVVMNKOUNFJG-UHFFFAOYSA-N -1 1 321.300 1.910 20 0 DDADMM CC[C@@H](C)CC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839075 753759124 /nfs/dbraw/zinc/75/91/24/753759124.db2.gz HBAWAGPQDHNPCY-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CC/C=C(\C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839276 753760214 /nfs/dbraw/zinc/76/02/14/753760214.db2.gz KDSMQVUCOLPJLW-WUXMJOGZSA-N -1 1 317.389 1.912 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]1CNc1ncc(F)cn1 ZINC001063474567 754170836 /nfs/dbraw/zinc/17/08/36/754170836.db2.gz MRPFGMAEWSEXQJ-LLVKDONJSA-N -1 1 317.324 1.433 20 0 DDADMM CC(C)(C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064476642 754690828 /nfs/dbraw/zinc/69/08/28/754690828.db2.gz KCMFREPFSQKBFE-UHFFFAOYSA-N -1 1 305.378 1.602 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1(C)CC1 ZINC001011903753 754763002 /nfs/dbraw/zinc/76/30/02/754763002.db2.gz RTOXZKIBDIEPCV-VXGBXAGGSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C2CCCC2)CN1C(=O)c1ncccc1[O-] ZINC001012509171 755114481 /nfs/dbraw/zinc/11/44/81/755114481.db2.gz HFJLHJBLHBAITG-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM Cc1cc(N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)ncn1 ZINC001067075532 755686449 /nfs/dbraw/zinc/68/64/49/755686449.db2.gz HWJBWOKLOCPIIA-JQWIXIFHSA-N -1 1 313.361 1.458 20 0 DDADMM CC(=O)N[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@H]1C ZINC001014649131 755851557 /nfs/dbraw/zinc/85/15/57/755851557.db2.gz FCVWWUQEYVKZJQ-TVQRCGJNSA-N -1 1 318.377 1.189 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)CC1CC1 ZINC001014894308 756004440 /nfs/dbraw/zinc/00/44/40/756004440.db2.gz OSGXBNWUKWSBLE-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)C(=O)N[C@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015342946 756252652 /nfs/dbraw/zinc/25/26/52/756252652.db2.gz NVYMLVAAHFLGOE-NEPJUHHUSA-N -1 1 305.378 1.458 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017055158 757598642 /nfs/dbraw/zinc/59/86/42/757598642.db2.gz HEZSGFIWQAFVHI-VXGBXAGGSA-N -1 1 309.414 1.227 20 0 DDADMM CN(C(=O)[C@H]1CC12CC2)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001017098837 757636086 /nfs/dbraw/zinc/63/60/86/757636086.db2.gz KXAQDCPIQIBDGP-HTAVTVPLSA-N -1 1 315.373 1.307 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NCC1=CCN(C(=O)c2ncccc2[O-])CC1 ZINC001017258054 757766257 /nfs/dbraw/zinc/76/62/57/757766257.db2.gz WTZMQYXNUYKUGC-DGCLKSJQSA-N -1 1 315.373 1.332 20 0 DDADMM C[C@@H]1CCN(C(=O)C2CC2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC001018460336 758856987 /nfs/dbraw/zinc/85/69/87/758856987.db2.gz AEBHYUXZGRSUEL-DGCLKSJQSA-N -1 1 317.389 1.412 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(C)s1 ZINC001019105067 759593746 /nfs/dbraw/zinc/59/37/46/759593746.db2.gz XZSWLFCYDBQPHW-JTQLQIEISA-N -1 1 321.406 1.193 20 0 DDADMM O=C(NC[C@H](O)Cc1ccccc1)c1cnc(C2CC2)[n-]c1=O ZINC000828594370 759684248 /nfs/dbraw/zinc/68/42/48/759684248.db2.gz QYLASBUGZMXVKY-CYBMUJFWSA-N -1 1 313.357 1.393 20 0 DDADMM O=C(CC1CCCCCC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019439601 759938923 /nfs/dbraw/zinc/93/89/23/759938923.db2.gz TVCDGSGPPMBVKH-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM C[C@@H]1CN(C(=O)C2(C3CC3)CCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054934775 760201747 /nfs/dbraw/zinc/20/17/47/760201747.db2.gz SWGYMYFPMYMNFP-PWSUYJOCSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@@H]1CN(C(=O)C2(C)CCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054943435 760211323 /nfs/dbraw/zinc/21/13/23/760211323.db2.gz IEBSZYHDLGFQKX-GHMZBOCLSA-N -1 1 307.398 1.027 20 0 DDADMM CC1CC(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)C1 ZINC001020205416 760676639 /nfs/dbraw/zinc/67/66/39/760676639.db2.gz YWJSSDHEKNZFTH-MVKMKZAISA-N -1 1 317.389 1.553 20 0 DDADMM CN(CCCN(C)C(=O)[C@@H]1CC12CC2)C(=O)c1ncccc1[O-] ZINC001067254501 761055104 /nfs/dbraw/zinc/05/51/04/761055104.db2.gz AGWZLBMLRDCHNY-LBPRGKRZSA-N -1 1 317.389 1.508 20 0 DDADMM CC1(C)CC[C@H](C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001000124935 761524671 /nfs/dbraw/zinc/52/46/71/761524671.db2.gz XVXZSNUXUBWQSW-RYUDHWBXSA-N -1 1 321.425 1.417 20 0 DDADMM COc1ccnc(NCCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001109437092 763706654 /nfs/dbraw/zinc/70/66/54/763706654.db2.gz ZUIIHMMCEGBYFS-UHFFFAOYSA-N -1 1 317.349 1.160 20 0 DDADMM Cc1ccnc(NCCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001109436986 763707268 /nfs/dbraw/zinc/70/72/68/763707268.db2.gz SWZRZTNZKRLQOD-UHFFFAOYSA-N -1 1 301.350 1.460 20 0 DDADMM C[C@H](NC(=O)C(C)(C)C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050528036 763711390 /nfs/dbraw/zinc/71/13/90/763711390.db2.gz ADTVZIUHEYCXOS-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H](NC(=O)CC1CC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530270 763715554 /nfs/dbraw/zinc/71/55/54/763715554.db2.gz MDZAPISITALPKE-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1ncc(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)s1 ZINC001042643174 764305372 /nfs/dbraw/zinc/30/53/72/764305372.db2.gz WXGUAIVCCLPJOA-UHFFFAOYSA-N -1 1 318.402 1.509 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(Cc2nccs2)C1 ZINC001042642383 764305446 /nfs/dbraw/zinc/30/54/46/764305446.db2.gz FKTISHXDJAJTFJ-UHFFFAOYSA-N -1 1 304.375 1.200 20 0 DDADMM Cc1ncccc1CN1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042645568 764308767 /nfs/dbraw/zinc/30/87/67/764308767.db2.gz YRIBCIXGUGEXQN-UHFFFAOYSA-N -1 1 312.373 1.447 20 0 DDADMM COc1ccnc(N[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112984811 765084579 /nfs/dbraw/zinc/08/45/79/765084579.db2.gz YJMRCOXYEAYQEU-NXEZZACHSA-N -1 1 317.349 1.205 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001044921383 765918809 /nfs/dbraw/zinc/91/88/09/765918809.db2.gz RZGXQSNIUUUJKC-NSHDSACASA-N -1 1 319.365 1.115 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001045092590 766089540 /nfs/dbraw/zinc/08/95/40/766089540.db2.gz AXONKLVPNCYPSX-MNOVXSKESA-N -1 1 309.414 1.273 20 0 DDADMM CCC1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCC1 ZINC001045162318 766126689 /nfs/dbraw/zinc/12/66/89/766126689.db2.gz XIEIKSOQCCDNQY-NSHDSACASA-N -1 1 307.398 1.171 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cncc(-c4ccccc4)n3)c2[nH]1 ZINC001170212860 766172762 /nfs/dbraw/zinc/17/27/62/766172762.db2.gz DSNRPVWDDBAAPW-UHFFFAOYSA-N -1 1 321.300 1.916 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc4ccccc4[n+]([O-])c3)c2[nH]1 ZINC001170220467 766178696 /nfs/dbraw/zinc/17/86/96/766178696.db2.gz ZCIWYFMXGMFPIL-UHFFFAOYSA-N -1 1 310.273 1.246 20 0 DDADMM Cc1cc2c(cc1Nc1[nH]c(=O)nc3[n-]c(=O)[nH]c31)CC(=O)N2 ZINC001170220133 766178703 /nfs/dbraw/zinc/17/87/03/766178703.db2.gz TZIZHXKJPFLRMH-UHFFFAOYSA-N -1 1 312.289 1.262 20 0 DDADMM COc1ccc2nccc(Nc3[n-]c(=O)nc4nc[nH]c43)c2n1 ZINC001170234712 766189644 /nfs/dbraw/zinc/18/96/44/766189644.db2.gz XDWJHACDPZNVHZ-UHFFFAOYSA-N -1 1 309.289 1.176 20 0 DDADMM C[C@@H]1OCc2c1nc(Cl)nc2Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170223304 766196848 /nfs/dbraw/zinc/19/68/48/766196848.db2.gz LPSLCWOBHRPFOU-BYPYZUCNSA-N -1 1 319.712 1.837 20 0 DDADMM C[C@H]1OCc2c1nc(Cl)nc2Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170223305 766196996 /nfs/dbraw/zinc/19/69/96/766196996.db2.gz LPSLCWOBHRPFOU-SCSAIBSYSA-N -1 1 319.712 1.837 20 0 DDADMM C[Si](C)(C)c1cccnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170227037 766201508 /nfs/dbraw/zinc/20/15/08/766201508.db2.gz XITYNDZWGJSUCW-UHFFFAOYSA-N -1 1 300.398 1.742 20 0 DDADMM CN1C(=O)NCc2cc(Nc3[n-]c(=O)nc4nc[nH]c43)ccc21 ZINC001170229242 766204137 /nfs/dbraw/zinc/20/41/37/766204137.db2.gz OVSDATLZHNZXSL-UHFFFAOYSA-N -1 1 311.305 1.462 20 0 DDADMM O=C(NCC1(Nc2nccnc2F)CC1)c1ncccc1[O-] ZINC001110097602 766225382 /nfs/dbraw/zinc/22/53/82/766225382.db2.gz ARAKMWSKEYIZCI-UHFFFAOYSA-N -1 1 303.297 1.091 20 0 DDADMM C[C@@H]1C[C@@H](Nc2ncc(F)cn2)CN1C(=O)c1ncccc1[O-] ZINC001069063001 767811121 /nfs/dbraw/zinc/81/11/21/767811121.db2.gz LKKSALUIOLTKNB-MWLCHTKSSA-N -1 1 317.324 1.431 20 0 DDADMM CCC(C)(C)C(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001132252785 768706450 /nfs/dbraw/zinc/70/64/50/768706450.db2.gz KHKIMWRFMSNJQR-NWDGAFQWSA-N -1 1 323.441 1.014 20 0 DDADMM COC(C)(C)c1nsc([N-]C(=O)c2ccnc3n[nH]nc32)n1 ZINC001170713279 770490677 /nfs/dbraw/zinc/49/06/77/770490677.db2.gz QFDVVFBLUJIRMJ-UHFFFAOYSA-N -1 1 319.350 1.338 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)C[C@H](C)NCc2ccon2)c1[O-] ZINC001134089700 770642215 /nfs/dbraw/zinc/64/22/15/770642215.db2.gz HEHCZHYMISAYMH-IUCAKERBSA-N -1 1 307.354 1.098 20 0 DDADMM COCC(=O)N[C@@H]1CCCN(Cc2sccc2C(=O)[O-])C1 ZINC001144684405 772596203 /nfs/dbraw/zinc/59/62/03/772596203.db2.gz CTFZOONYZMAXLK-SNVBAGLBSA-N -1 1 312.391 1.173 20 0 DDADMM COc1ccc(CC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1O ZINC001144786484 772622926 /nfs/dbraw/zinc/62/29/26/772622926.db2.gz RNVSPLQLFFVWSZ-UHFFFAOYSA-N -1 1 320.261 1.181 20 0 DDADMM CO[C@@]1(CO)CCCN(C(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001146518870 772886376 /nfs/dbraw/zinc/88/63/76/772886376.db2.gz WPFYTIPIDQMKFY-INIZCTEOSA-N -1 1 307.346 1.127 20 0 DDADMM COc1ccc(F)c(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)n1 ZINC001147767043 773220413 /nfs/dbraw/zinc/22/04/13/773220413.db2.gz GIXAAKQJSOPTJW-UHFFFAOYSA-N -1 1 309.213 1.081 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2noc3cccnc32)c1[O-] ZINC001147832302 773259722 /nfs/dbraw/zinc/25/97/22/773259722.db2.gz NDHFVROYNAHXJW-UHFFFAOYSA-N -1 1 300.274 1.376 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2noc3cnccc32)c1[O-] ZINC001147832990 773259806 /nfs/dbraw/zinc/25/98/06/773259806.db2.gz BNCDTJMVUAPUQR-UHFFFAOYSA-N -1 1 300.274 1.376 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC(=O)[C@@H]3CCCC[C@@H]32)c1[O-] ZINC001147834377 773261177 /nfs/dbraw/zinc/26/11/77/773261177.db2.gz RJZCHDGLQGGDOC-OLZOCXBDSA-N -1 1 318.373 1.562 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cccc(Cl)c2CO)c1[O-] ZINC001147841126 773265001 /nfs/dbraw/zinc/26/50/01/773265001.db2.gz POFHEHWXORWHSX-UHFFFAOYSA-N -1 1 322.748 1.986 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)Nc3cn[nH]c(=O)c3)cc2)[n-]1 ZINC001148328967 773437670 /nfs/dbraw/zinc/43/76/70/773437670.db2.gz CZQXQJIREREXFD-UHFFFAOYSA-N -1 1 311.301 1.547 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)Nc3c[nH]ccc3=O)cc2)[n-]1 ZINC001148329269 773438359 /nfs/dbraw/zinc/43/83/59/773438359.db2.gz MMFNCTYRJMFHKE-UHFFFAOYSA-N -1 1 310.313 1.740 20 0 DDADMM NC(=O)c1ccccc1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001148525087 773496585 /nfs/dbraw/zinc/49/65/85/773496585.db2.gz FJQFVWGSZJDQPA-UHFFFAOYSA-N -1 1 322.324 1.929 20 0 DDADMM CCCCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001073900747 773511708 /nfs/dbraw/zinc/51/17/08/773511708.db2.gz NOKQDAFLARWQBU-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CC(=O)c1cc(C(=O)N2C[C@@H]3CCC[N@H+](C)[C@@H]3C2)ccc1O ZINC001148661880 773569824 /nfs/dbraw/zinc/56/98/24/773569824.db2.gz FOZZNSDHNAZTKA-DZGCQCFKSA-N -1 1 302.374 1.761 20 0 DDADMM O=C(NCC1(O)CCC1)c1cc([O-])c(Br)c(O)c1 ZINC001148996429 773654693 /nfs/dbraw/zinc/65/46/93/773654693.db2.gz MVJIDJHQVQWZCD-UHFFFAOYSA-N -1 1 316.151 1.505 20 0 DDADMM CC(C)CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001074786664 774130992 /nfs/dbraw/zinc/13/09/92/774130992.db2.gz GVTFORJWZOYBML-OLZOCXBDSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])Nc1cc(F)ncn1 ZINC001099105119 774835722 /nfs/dbraw/zinc/83/57/22/774835722.db2.gz RGRGKKDGGGTSAJ-SECBINFHSA-N -1 1 305.313 1.337 20 0 DDADMM COCCOc1ccccc1Nc1c(N)[nH]c(=S)[n-]c1=O ZINC001212636057 776600853 /nfs/dbraw/zinc/60/08/53/776600853.db2.gz OSZYOUOQCIMURM-UHFFFAOYSA-N -1 1 308.363 1.822 20 0 DDADMM CS(=O)(=O)c1ccccc1Nc1c(N)[nH]c(=S)[n-]c1=O ZINC001173986859 777387368 /nfs/dbraw/zinc/38/73/68/777387368.db2.gz AIGIUIKCZGHHHY-UHFFFAOYSA-N -1 1 312.376 1.200 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)c2cncc(N)c2)cc1F ZINC001174932153 777641347 /nfs/dbraw/zinc/64/13/47/777641347.db2.gz XFGKUCKHLFAUQY-UHFFFAOYSA-N -1 1 315.301 1.751 20 0 DDADMM O=C(C=Cc1ccccc1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001175215271 777717338 /nfs/dbraw/zinc/71/73/38/777717338.db2.gz ZAIBSEUPJZQNEO-SREVYHEPSA-N -1 1 311.341 1.585 20 0 DDADMM CCNC(=O)c1ccc([N-]S(=O)(=O)c2ccccc2N)cc1 ZINC001175632809 777850893 /nfs/dbraw/zinc/85/08/93/777850893.db2.gz YIPCRTPIPNUSRH-UHFFFAOYSA-N -1 1 319.386 1.819 20 0 DDADMM CCCCC(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102670449 778289871 /nfs/dbraw/zinc/28/98/71/778289871.db2.gz ASCOVKCTIOIBPP-VXGBXAGGSA-N -1 1 309.414 1.275 20 0 DDADMM Cn1ccc(C(=O)NCc2[nH]nc3ccccc32)c1CC(=O)[O-] ZINC001177370082 778551792 /nfs/dbraw/zinc/55/17/92/778551792.db2.gz FYTWSDIMARHUCH-UHFFFAOYSA-N -1 1 312.329 1.459 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1cncc(Cl)n1 ZINC001103069645 778617526 /nfs/dbraw/zinc/61/75/26/778617526.db2.gz ZATFTCUVLIXIIH-VIFPVBQESA-N -1 1 321.768 1.851 20 0 DDADMM O=C(Cc1cc(Cl)ccn1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001177661306 778683936 /nfs/dbraw/zinc/68/39/36/778683936.db2.gz CZUNHXWPYJTWGH-UHFFFAOYSA-N -1 1 304.697 1.288 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C3(c4ccc(C)cn4)CC3)c1-2 ZINC001178138355 778899222 /nfs/dbraw/zinc/89/92/22/778899222.db2.gz IEFFNJMHOYNAKV-UHFFFAOYSA-N -1 1 308.345 1.409 20 0 DDADMM Cc1ccc(C2(C(=O)NCCCC[P@](=O)([O-])O)CC2)nc1 ZINC001178146180 778903611 /nfs/dbraw/zinc/90/36/11/778903611.db2.gz JIQMXCWTJDKZAH-UHFFFAOYSA-N -1 1 312.306 1.496 20 0 DDADMM CC(C)c1ccnc(CC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001178220692 778958202 /nfs/dbraw/zinc/95/82/02/778958202.db2.gz QFLHEJGMEPBHKY-UHFFFAOYSA-N -1 1 317.305 1.985 20 0 DDADMM Cc1cc(NC[C@H](C)CNC(=O)c2ncccc2[O-])ncn1 ZINC001103917277 779169268 /nfs/dbraw/zinc/16/92/68/779169268.db2.gz IMUMDTFGCVMOBL-JTQLQIEISA-N -1 1 301.350 1.364 20 0 DDADMM COc1cnc(C2(NC(=O)CCCCCO)CCCC2)[n-]c1=O ZINC001179195170 779311035 /nfs/dbraw/zinc/31/10/35/779311035.db2.gz XBZQECHHHSFABP-UHFFFAOYSA-N -1 1 323.393 1.629 20 0 DDADMM Cn1cc(C(=O)CNC(=O)c2cc3ccccc3cc2[O-])cn1 ZINC001179584503 779432803 /nfs/dbraw/zinc/43/28/03/779432803.db2.gz XJLZCRLSHQBQQL-UHFFFAOYSA-N -1 1 309.325 1.892 20 0 DDADMM O=C(Cc1cc(F)cc(F)c1F)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001179844299 779506270 /nfs/dbraw/zinc/50/62/70/779506270.db2.gz YSFNCQANWKHYKH-UHFFFAOYSA-N -1 1 323.234 1.657 20 0 DDADMM Cc1cc(=O)n2nc(CSc3ncc(C)c(=O)[n-]3)sc2n1 ZINC001118418094 781119421 /nfs/dbraw/zinc/11/94/21/781119421.db2.gz TYLONBYYIALTEC-UHFFFAOYSA-N -1 1 321.387 1.556 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC2(CC(=O)N2)CC1 ZINC001118635817 781185081 /nfs/dbraw/zinc/18/50/81/781185081.db2.gz GBHOZVRUSIMLOG-UHFFFAOYSA-N -1 1 311.341 1.435 20 0 DDADMM NC(=O)C12CC(NC(=O)c3cc4cc(O)ccc4cc3[O-])(C1)C2 ZINC001269104277 841211404 /nfs/dbraw/zinc/21/14/04/841211404.db2.gz AHVILUNVKXNYNO-UHFFFAOYSA-N -1 1 312.325 1.389 20 0 DDADMM O=C(c1cccs1)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001279952611 841377424 /nfs/dbraw/zinc/37/74/24/841377424.db2.gz YFFWGYARXWQYGG-SNVBAGLBSA-N -1 1 321.406 1.214 20 0 DDADMM COc1ccnc(C2(C[N-]C(=O)C(F)(F)F)CCOCC2)c1 ZINC001142771029 861255772 /nfs/dbraw/zinc/25/57/72/861255772.db2.gz QACYPFBHAMCQHE-UHFFFAOYSA-N -1 1 318.295 1.817 20 0 DDADMM O=C(/C=C/Cn1cncn1)Nc1nc(Br)ccc1[O-] ZINC001154598126 861261288 /nfs/dbraw/zinc/26/12/88/861261288.db2.gz ZBZCHARTRCHSER-OWOJBTEDSA-N -1 1 324.138 1.336 20 0 DDADMM CC(C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)=C1CCC1 ZINC001270743048 842864133 /nfs/dbraw/zinc/86/41/33/842864133.db2.gz HRBOCNBZPIMWIX-UHFFFAOYSA-N -1 1 319.409 1.529 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)Nc3nonc3N)cc2c1 ZINC001154749665 861421406 /nfs/dbraw/zinc/42/14/06/861421406.db2.gz SGRYXBZNUSDMPN-UHFFFAOYSA-N -1 1 300.274 1.772 20 0 DDADMM O=C(CN1CCCC1=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001143242133 861438784 /nfs/dbraw/zinc/43/87/84/861438784.db2.gz ZTWLEOJUFCGFTA-UHFFFAOYSA-N -1 1 300.318 1.139 20 0 DDADMM Cc1c(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)nc2ccccn21 ZINC001149259832 861504273 /nfs/dbraw/zinc/50/42/73/861504273.db2.gz IYPXMMCUWZSISC-UHFFFAOYSA-N -1 1 314.261 1.494 20 0 DDADMM CC(=O)N1C[C@@H]2C[C@]2(NC(=O)c2ccc3cccnc3c2[O-])C1 ZINC001149326754 861547193 /nfs/dbraw/zinc/54/71/93/861547193.db2.gz MXRWTXSJNSHFCJ-SJCJKPOMSA-N -1 1 311.341 1.291 20 0 DDADMM Cc1cnc([C@H](C)N(C)[C@H](C)CNC(=O)c2ncccc2[O-])o1 ZINC001409543131 845283509 /nfs/dbraw/zinc/28/35/09/845283509.db2.gz QJPBVFLAHXBCLL-PWSUYJOCSA-N -1 1 318.377 1.895 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)C1=CNN2C=CNC=C12 ZINC001149449929 861624187 /nfs/dbraw/zinc/62/41/87/861624187.db2.gz IUEZTALPDDGOQS-UHFFFAOYSA-N -1 1 322.328 1.136 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2Cc1ccsc1 ZINC001032368712 847153652 /nfs/dbraw/zinc/15/36/52/847153652.db2.gz HJFPWCUBUUBTPV-STQMWFEESA-N -1 1 315.398 1.948 20 0 DDADMM Cc1cnn(CCO)c1NC(=O)c1nc2ccc(Cl)nc2[n-]1 ZINC001155177669 861803200 /nfs/dbraw/zinc/80/32/00/861803200.db2.gz VXLZMWWKLOYDES-UHFFFAOYSA-N -1 1 320.740 1.361 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCC[C@@H](n2ccnn2)C1 ZINC001155266779 861914829 /nfs/dbraw/zinc/91/48/29/861914829.db2.gz PPIVRYGUDMKYBN-SNVBAGLBSA-N -1 1 312.333 1.337 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCC2(CCC(=O)O2)CC1 ZINC001155267138 861915233 /nfs/dbraw/zinc/91/52/33/861915233.db2.gz VUQLNDOGCZAYLQ-UHFFFAOYSA-N -1 1 315.329 1.580 20 0 DDADMM C[C@@](CO)(NC(=O)c1[n-][nH]c2cc(=O)ccc1-2)c1ccccc1 ZINC001155273698 861923906 /nfs/dbraw/zinc/92/39/06/861923906.db2.gz TUCSPPSDHXMVNQ-KRWDZBQOSA-N -1 1 311.341 1.906 20 0 DDADMM O=C(NC[C@@H](CO)c1ccccc1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155276768 861926215 /nfs/dbraw/zinc/92/62/15/861926215.db2.gz RWUCUKRKNLLWBK-LBPRGKRZSA-N -1 1 311.341 1.774 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2ccsc2)c1 ZINC001434558978 848656164 /nfs/dbraw/zinc/65/61/64/848656164.db2.gz WBZFASHEABKJCP-UHFFFAOYSA-N -1 1 313.400 1.983 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CCCCC1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001410522317 849440938 /nfs/dbraw/zinc/44/09/38/849440938.db2.gz VUUREFWEBBUQSI-NWDGAFQWSA-N -1 1 323.441 1.663 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc2n[nH]cc2s1 ZINC001155555930 862221292 /nfs/dbraw/zinc/22/12/92/862221292.db2.gz QAYVCNFMBGEKIW-UHFFFAOYSA-N -1 1 301.291 1.249 20 0 DDADMM C[C@H](NC/C=C\CNC(=O)c1ncccc1[O-])c1csnn1 ZINC001273975024 851727078 /nfs/dbraw/zinc/72/70/78/851727078.db2.gz RMLAMTNPFPECMZ-ZMWSMAPVSA-N -1 1 319.390 1.276 20 0 DDADMM CN1CC[C@@]2(CCCN2Cc2ccc(F)cc2C(=O)[O-])C1=O ZINC001274153287 851977073 /nfs/dbraw/zinc/97/70/73/851977073.db2.gz MDLZVEFLHCDMNH-INIZCTEOSA-N -1 1 306.337 1.721 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CCC4(C3)OCCO4)c([O-])c2n1 ZINC001155653084 862346242 /nfs/dbraw/zinc/34/62/42/862346242.db2.gz UBPUOYKIGVPGFA-UHFFFAOYSA-N -1 1 314.341 1.838 20 0 DDADMM CSc1ccc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)cc1 ZINC001274686399 852521544 /nfs/dbraw/zinc/52/15/44/852521544.db2.gz AHRGTQOWUCLRPL-UHFFFAOYSA-N -1 1 315.358 1.700 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cc2ncccn2n1 ZINC001150677369 862407853 /nfs/dbraw/zinc/40/78/53/862407853.db2.gz CEHKQMQRYYALKE-UHFFFAOYSA-N -1 1 320.312 1.873 20 0 DDADMM CC(C)(C)NC(=O)[C@@H]1CC12CN(C(=O)c1ccc([O-])cc1F)C2 ZINC001275399508 853065802 /nfs/dbraw/zinc/06/58/02/853065802.db2.gz SCVNFYVZICUGFK-LBPRGKRZSA-N -1 1 320.364 1.908 20 0 DDADMM C[C@@H](CC(N)=O)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC001411882573 853900666 /nfs/dbraw/zinc/90/06/66/853900666.db2.gz AIBLCVOPHKRIAR-NSHDSACASA-N -1 1 318.373 1.325 20 0 DDADMM O=C(Nc1cccc(CN2CCOCC2)n1)c1ccc([O-])cn1 ZINC001411991649 854083682 /nfs/dbraw/zinc/08/36/82/854083682.db2.gz XVJONNMIXRCTOJ-UHFFFAOYSA-N -1 1 314.345 1.267 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)c1c(C)noc1C)c1nn[n-]n1 ZINC001412110080 854229132 /nfs/dbraw/zinc/22/91/32/854229132.db2.gz OAJJZWZHJPFOFD-MRVPVSSYSA-N -1 1 306.370 1.740 20 0 DDADMM NC(=O)[C@@]1(c2ccccc2)CCN(C(=O)c2ncccc2[O-])C1 ZINC001412246277 854372073 /nfs/dbraw/zinc/37/20/73/854372073.db2.gz KFQLDFZRMKPCEN-KRWDZBQOSA-N -1 1 311.341 1.056 20 0 DDADMM O=C(NCc1nc(C(F)F)no1)c1c(F)ccc([O-])c1F ZINC001412259860 854385506 /nfs/dbraw/zinc/38/55/06/854385506.db2.gz IDPFGTUIANVXKS-UHFFFAOYSA-N -1 1 305.187 1.921 20 0 DDADMM C[C@](CO)(NC(=O)c1ccc([O-])cc1F)c1ccc(O)cc1 ZINC001412291737 854414532 /nfs/dbraw/zinc/41/45/32/854414532.db2.gz UEXNGLFWXZNUHS-MRXNPFEDSA-N -1 1 305.305 1.874 20 0 DDADMM CSc1ncc(C(=O)N2CCCc3n[nH]cc3C2)c(=O)[n-]1 ZINC001412381672 854490554 /nfs/dbraw/zinc/49/05/54/854490554.db2.gz XSHMHSRGXVIMDL-UHFFFAOYSA-N -1 1 305.363 1.216 20 0 DDADMM CC(C)n1nnc(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)n1 ZINC001412402623 854511393 /nfs/dbraw/zinc/51/13/93/854511393.db2.gz OFSGAOMVZWEGNZ-UHFFFAOYSA-N -1 1 321.341 1.185 20 0 DDADMM O=C(CNC(=O)c1ccc([O-])cc1F)NCc1ccccc1 ZINC001412431552 854542667 /nfs/dbraw/zinc/54/26/67/854542667.db2.gz RFBNJXGEVOTXQL-UHFFFAOYSA-N -1 1 302.305 1.578 20 0 DDADMM COC(=O)N1CCC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001412492912 854621399 /nfs/dbraw/zinc/62/13/99/854621399.db2.gz RUAFGOXEYFPGOK-JTQLQIEISA-N -1 1 320.349 1.020 20 0 DDADMM Cc1nnc(C2CN(C(=O)c3c(C)nc(C(C)C)[n-]c3=O)C2)[nH]1 ZINC001412573172 854739721 /nfs/dbraw/zinc/73/97/21/854739721.db2.gz LMEIFKCIBZNLRJ-UHFFFAOYSA-N -1 1 316.365 1.280 20 0 DDADMM CCOc1ccc(NC(=O)c2n[nH]c(C)c2[O-])c(C(N)=O)c1 ZINC001412571930 854741977 /nfs/dbraw/zinc/74/19/77/854741977.db2.gz DZEOQECEUBYAMX-UHFFFAOYSA-N -1 1 304.306 1.174 20 0 DDADMM CCCCCC(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001276181868 854914582 /nfs/dbraw/zinc/91/45/82/854914582.db2.gz CCRNCWGZQHFBFS-UHFFFAOYSA-N -1 1 323.441 1.999 20 0 DDADMM COc1ccc([C@H](CCO)NC(=O)c2ccc([O-])cn2)cc1 ZINC001412681354 854933445 /nfs/dbraw/zinc/93/34/45/854933445.db2.gz YZISGFXVAYQYOK-AWEZNQCLSA-N -1 1 302.330 1.649 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H](C(F)(F)F)C2)c(=O)[n-]1 ZINC001412702500 854982204 /nfs/dbraw/zinc/98/22/04/854982204.db2.gz FBOJGGKWWAHSTC-ZCFIWIBFSA-N -1 1 307.297 1.929 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc2c(cccc2F)[nH]1 ZINC001151113041 862631414 /nfs/dbraw/zinc/63/14/14/862631414.db2.gz CYKJZIDGSNSRRM-UHFFFAOYSA-N -1 1 314.253 1.995 20 0 DDADMM O=C(N[C@]1(CCO)CCOC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001412882869 855751856 /nfs/dbraw/zinc/75/18/56/855751856.db2.gz PUELUDPQSHHHPY-CYBMUJFWSA-N -1 1 319.279 1.682 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3cn(C)nc3c2)n1 ZINC001151507997 862810064 /nfs/dbraw/zinc/81/00/64/862810064.db2.gz NPTFAWZKLOTWER-UHFFFAOYSA-N -1 1 313.317 1.725 20 0 DDADMM CN1CCc2cc(NC(=O)c3ccc(O)cc3[O-])ccc2C1=O ZINC001413241167 856576550 /nfs/dbraw/zinc/57/65/50/856576550.db2.gz PKNKWBKYCUUNER-UHFFFAOYSA-N -1 1 312.325 1.978 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2[C@H](C)CCC[C@H]2C)[n-]n1 ZINC001413351662 856702630 /nfs/dbraw/zinc/70/26/30/856702630.db2.gz WTNXRVKTYRQYDJ-RKDXNWHRSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC2[C@H](C)CCC[C@H]2C)n[n-]1 ZINC001413351662 856702642 /nfs/dbraw/zinc/70/26/42/856702642.db2.gz WTNXRVKTYRQYDJ-RKDXNWHRSA-N -1 1 315.395 1.299 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ncnc2ccsc21 ZINC001151538378 862830350 /nfs/dbraw/zinc/83/03/50/862830350.db2.gz ZKLOGTPRMISIFA-UHFFFAOYSA-N -1 1 315.291 1.379 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1cccc(F)c1F)c1ncon1 ZINC001413444722 856821816 /nfs/dbraw/zinc/82/18/16/856821816.db2.gz HFSOKLGMLHQJQW-UHFFFAOYSA-N -1 1 303.290 1.561 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C(C)(C)C1CCC(O)CC1 ZINC001413501228 856896142 /nfs/dbraw/zinc/89/61/42/856896142.db2.gz CTDJUAQJNRLOHL-UHFFFAOYSA-N -1 1 323.441 1.762 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@H](CO)c1ccc(Cl)cc1 ZINC001413514432 856916124 /nfs/dbraw/zinc/91/61/24/856916124.db2.gz DRHBNWQBOXRFKR-LLVKDONJSA-N -1 1 323.784 1.068 20 0 DDADMM COC[C@H](NC(=O)c1cc(C2CCCCC2)n[nH]1)c1nn[n-]n1 ZINC001413554607 857058250 /nfs/dbraw/zinc/05/82/50/857058250.db2.gz OAIRGCABORELRM-LBPRGKRZSA-N -1 1 319.369 1.088 20 0 DDADMM COC[C@@H](NC(=O)c1cccc(F)c1SC)c1nn[n-]n1 ZINC001413557512 857064586 /nfs/dbraw/zinc/06/45/86/857064586.db2.gz SSQOJLDRMKGHPI-SECBINFHSA-N -1 1 311.342 1.178 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC001151772362 862961292 /nfs/dbraw/zinc/96/12/92/862961292.db2.gz OMYPAJFPQNUIJR-MRVPVSSYSA-N -1 1 304.281 1.246 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ncnc3[nH]ccc32)n1 ZINC001151866885 863021332 /nfs/dbraw/zinc/02/13/32/863021332.db2.gz ODBKODJIUSEYHI-UHFFFAOYSA-N -1 1 300.278 1.062 20 0 DDADMM C[C@@H](NC(=O)c1ccc(CN(C)C)nc1)c1nn(C)cc1[O-] ZINC001413766427 858501034 /nfs/dbraw/zinc/50/10/34/858501034.db2.gz SBBLIKRSSLFQRQ-SNVBAGLBSA-N -1 1 303.366 1.073 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1cnc([C@H]2CCCO2)s1 ZINC001119042899 858572784 /nfs/dbraw/zinc/57/27/84/858572784.db2.gz XECADGQLAMMOFV-ZCFIWIBFSA-N -1 1 322.375 1.746 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cc(CC(C)C)nn1C ZINC001123637487 859338116 /nfs/dbraw/zinc/33/81/16/859338116.db2.gz UDSKQWOHTSAGEH-UHFFFAOYSA-N -1 1 321.406 1.964 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H](CO)c1ccccc1 ZINC001123756063 859396854 /nfs/dbraw/zinc/39/68/54/859396854.db2.gz MHNIBENLFNLMER-LLVKDONJSA-N -1 1 319.386 1.285 20 0 DDADMM O=C(c1ccc2cnccc2c1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123910485 859467305 /nfs/dbraw/zinc/46/73/05/859467305.db2.gz PNRYMNCTRLXQDT-GFCCVEGCSA-N -1 1 322.372 1.843 20 0 DDADMM Cc1ccc(N2CCC[C@H](OCC[P@](=O)([O-])O)C2)nc1 ZINC001224601776 881463905 /nfs/dbraw/zinc/46/39/05/881463905.db2.gz KSKKUIZUEBOAQY-LBPRGKRZSA-N -1 1 300.295 1.553 20 0 DDADMM O=[P@]([O-])(O)CCOC[C@H]1CN(Cc2ccccc2)CCO1 ZINC001224602522 881463101 /nfs/dbraw/zinc/46/31/01/881463101.db2.gz RTGDWPZEHRZIFO-CQSZACIVSA-N -1 1 315.306 1.082 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CC[C@@H]2OCC[P@](=O)([O-])O)C1 ZINC001224606216 881465318 /nfs/dbraw/zinc/46/53/18/881465318.db2.gz MTXXGMRJLBITQQ-JTQLQIEISA-N -1 1 321.310 1.580 20 0 DDADMM COc1cccc(C[N@H+]2CCC[C@](C)(O)C2)c1OCC(=O)[O-] ZINC001139268321 860342522 /nfs/dbraw/zinc/34/25/22/860342522.db2.gz UOZAIJLXLVCAEO-INIZCTEOSA-N -1 1 309.362 1.505 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H]1COCCN1Cc1ccncc1[O-] ZINC001140280331 860609945 /nfs/dbraw/zinc/60/99/45/860609945.db2.gz HBAPEFOHYIBLBY-ZDUSSCGKSA-N -1 1 323.393 1.513 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H](C)Cc2cnn(C)c2)c1 ZINC001140871979 860720886 /nfs/dbraw/zinc/72/08/86/860720886.db2.gz WBKOLTMYRBWBFS-LLVKDONJSA-N -1 1 301.346 1.608 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3n[nH]c4cc(F)ccc43)c1-2 ZINC001154344276 861020943 /nfs/dbraw/zinc/02/09/43/861020943.db2.gz VHFWVKXSSNSDEA-UHFFFAOYSA-N -1 1 311.280 1.303 20 0 DDADMM CSc1nc(NC(=O)c2cnn3cc(F)ccc23)cc(=O)[n-]1 ZINC001156922797 863449336 /nfs/dbraw/zinc/44/93/36/863449336.db2.gz MDHDMLXKNKKTII-UHFFFAOYSA-N -1 1 319.321 1.943 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cnn2cccc(F)c12 ZINC001156924482 863451311 /nfs/dbraw/zinc/45/13/11/863451311.db2.gz ROPJAKWZUWPRFM-UHFFFAOYSA-N -1 1 315.241 1.161 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)[C@@H](C)C(=O)[O-] ZINC001329411243 863651022 /nfs/dbraw/zinc/65/10/22/863651022.db2.gz PKDDGXNIURXVLC-GFCCVEGCSA-N -1 1 305.378 1.406 20 0 DDADMM C[C@@]1(CO)CN(C(=O)c2c([O-])ccc3ccccc32)CC[C@H]1O ZINC001153499073 863914915 /nfs/dbraw/zinc/91/49/15/863914915.db2.gz NHQJFEFOMCMFMZ-QAPCUYQASA-N -1 1 315.369 1.751 20 0 DDADMM C=CC(=O)Nc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC001153677325 864012512 /nfs/dbraw/zinc/01/25/12/864012512.db2.gz YYNNMIQKGPCFMV-SNVBAGLBSA-N -1 1 314.349 1.200 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1cnc2ncccc2c1 ZINC001153767126 864080465 /nfs/dbraw/zinc/08/04/65/864080465.db2.gz WXAIAJKUWMWNCF-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM O=C(C[C@@H]1C=CS(=O)(=O)C1)Nc1ccc([O-])c(F)c1F ZINC001157811733 864162421 /nfs/dbraw/zinc/16/24/21/864162421.db2.gz QAVNSFOMTLKEMA-ZETCQYMHSA-N -1 1 303.286 1.557 20 0 DDADMM Nc1ccc(NC(=O)c2cnc3cccnc3c2[O-])c(CCO)c1 ZINC001153857013 864166307 /nfs/dbraw/zinc/16/63/07/864166307.db2.gz FZRVEJANHBPRBP-UHFFFAOYSA-N -1 1 324.340 1.292 20 0 DDADMM CC1(C)C[C@H](CNC(=O)c2c[n-]c3cccnc3c2=O)CCO1 ZINC001153862996 864174446 /nfs/dbraw/zinc/17/44/46/864174446.db2.gz HKCZHALZRPXMIC-LLVKDONJSA-N -1 1 315.373 1.858 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@H]2CC=CCC2)C1 ZINC001330264089 864198702 /nfs/dbraw/zinc/19/87/02/864198702.db2.gz HBQLDBVYLMRFGU-GXFFZTMASA-N -1 1 319.327 1.948 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[C@@H](OC(=O)N(C)C)C2)c1 ZINC001158949417 864988627 /nfs/dbraw/zinc/98/86/27/864988627.db2.gz ACQOCUOSENUWRM-GFCCVEGCSA-N -1 1 320.345 1.426 20 0 DDADMM COC(OC)c1cc(C)cnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159199958 865164716 /nfs/dbraw/zinc/16/47/16/865164716.db2.gz UXVYHTADXKSIPJ-UHFFFAOYSA-N -1 1 316.321 1.797 20 0 DDADMM CC(C)(C)n1cc(CNCCc2nc(C(=O)[O-])cs2)nn1 ZINC001331933674 865442852 /nfs/dbraw/zinc/44/28/52/865442852.db2.gz HJKUINXISNXPOW-UHFFFAOYSA-N -1 1 309.395 1.520 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)/C=C/C(C)(C)C ZINC001331973283 865473708 /nfs/dbraw/zinc/47/37/08/865473708.db2.gz UIJGVFRMNPYJJJ-XIJCSBCJSA-N -1 1 307.398 1.192 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCn3cccc3C1)c2=O ZINC001161785843 866991984 /nfs/dbraw/zinc/99/19/84/866991984.db2.gz ZHLOSFGUCHNLFA-UHFFFAOYSA-N -1 1 323.352 1.994 20 0 DDADMM Cc1cc2c(c(Nc3ccn(C(C)C)c(=O)c3)n1)C(=O)[N-]C2=O ZINC001162078496 867233586 /nfs/dbraw/zinc/23/35/86/867233586.db2.gz ZTQHVJNMLJNMCW-UHFFFAOYSA-N -1 1 312.329 1.760 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H]2OCCC[C@H]2C1 ZINC001324475138 867298641 /nfs/dbraw/zinc/29/86/41/867298641.db2.gz LUAMYYMGCLJQKI-CMPLNLGQSA-N -1 1 318.402 1.659 20 0 DDADMM O=C([N-]CCCSCc1nnc2n1CCOC2)C(F)(F)F ZINC001321158722 867360141 /nfs/dbraw/zinc/36/01/41/867360141.db2.gz MVKPBCQHHLKRTQ-UHFFFAOYSA-N -1 1 324.328 1.110 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@]1(C)C=CCC1 ZINC001334234683 867408982 /nfs/dbraw/zinc/40/89/82/867408982.db2.gz KMFYQUWMJQACEK-SJKOYZFVSA-N -1 1 317.389 1.720 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)NCC=CC[NH2+]Cc1nccs1 ZINC001321217457 867409305 /nfs/dbraw/zinc/40/93/05/867409305.db2.gz AKHSNGWFNGTZNK-IHWYPQMZSA-N -1 1 319.390 1.023 20 0 DDADMM CCOc1ccc(N2C[C@H](C(=O)[N-]OC(C)C)CC2=O)cc1 ZINC001324792288 867527168 /nfs/dbraw/zinc/52/71/68/867527168.db2.gz RXWHEXHWCNZWMT-GFCCVEGCSA-N -1 1 306.362 1.894 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@@H]1CCC[C@H]1C(=O)OCC ZINC001225898784 882099303 /nfs/dbraw/zinc/09/93/03/882099303.db2.gz DQBCRZTXFAHVOA-MWLCHTKSSA-N -1 1 324.333 1.470 20 0 DDADMM CCCC[C@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001226001535 882157674 /nfs/dbraw/zinc/15/76/74/882157674.db2.gz GUKOJMMMHWPXOV-YNEHKIRRSA-N -1 1 323.441 1.854 20 0 DDADMM COc1cccc(N2CCN(C(=O)c3ccc([O-])cn3)CC2)c1 ZINC001361701248 882186897 /nfs/dbraw/zinc/18/68/97/882186897.db2.gz KYXJNHZPFPKYOE-UHFFFAOYSA-N -1 1 313.357 1.758 20 0 DDADMM CSc1nc(CNC(=O)c2cnc3c[nH]nc3c2)cc(=O)[n-]1 ZINC001163578955 868545720 /nfs/dbraw/zinc/54/57/20/868545720.db2.gz YSLWIVAWWJXCRT-UHFFFAOYSA-N -1 1 316.346 1.105 20 0 DDADMM Cc1cc(CN2CCN(C(=O)c3ccc([O-])cn3)CC2)no1 ZINC001361715202 882215889 /nfs/dbraw/zinc/21/58/89/882215889.db2.gz HSXJCVBDPGTLJJ-UHFFFAOYSA-N -1 1 302.334 1.042 20 0 DDADMM O=C([N-]CCNc1cccc2c1CS(=O)(=O)C2)C(F)(F)F ZINC001164243850 869050547 /nfs/dbraw/zinc/05/05/47/869050547.db2.gz IOPATISYGFMNJY-UHFFFAOYSA-N -1 1 322.308 1.205 20 0 DDADMM CC(=O)c1c[n-]c(=O)nc1OC[C@@H]1CC[C@@]2(CCCCO2)O1 ZINC001226238057 882299076 /nfs/dbraw/zinc/29/90/76/882299076.db2.gz PFRUSXRDHBSRPW-XHDPSFHLSA-N -1 1 308.334 1.839 20 0 DDADMM COc1cnc(=S)[n-]c1OC1CN(C(=O)OC(C)(C)C)C1 ZINC001226242770 882303098 /nfs/dbraw/zinc/30/30/98/882303098.db2.gz SNUWGOHZMNOXHZ-UHFFFAOYSA-N -1 1 313.379 1.772 20 0 DDADMM CCCCO[C@H](C)C(=O)N[C@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC001166125791 869847239 /nfs/dbraw/zinc/84/72/39/869847239.db2.gz CYMUJWCFBARYEE-NXEZZACHSA-N -1 1 312.370 1.364 20 0 DDADMM CCCCO[C@H](C)C(=O)N[C@H](C)c1nnc(C(=O)OCC)[n-]1 ZINC001166125791 869847259 /nfs/dbraw/zinc/84/72/59/869847259.db2.gz CYMUJWCFBARYEE-NXEZZACHSA-N -1 1 312.370 1.364 20 0 DDADMM CCCCO[C@H](C)C(=O)N[C@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC001166125791 869847276 /nfs/dbraw/zinc/84/72/76/869847276.db2.gz CYMUJWCFBARYEE-NXEZZACHSA-N -1 1 312.370 1.364 20 0 DDADMM O=C(Nc1c([O-])cc(Br)cc1Cl)[C@H]1CC(=O)N1 ZINC001297573848 870107951 /nfs/dbraw/zinc/10/79/51/870107951.db2.gz DVFVCHJSWBGOIC-ZCFIWIBFSA-N -1 1 319.542 1.635 20 0 DDADMM CCC1(C(=O)NC/C=C/CNC(=O)c2ncccc2[O-])CCC1 ZINC001298357316 870498792 /nfs/dbraw/zinc/49/87/92/870498792.db2.gz UBEDVPZGNVOHHY-ONEGZZNKSA-N -1 1 317.389 1.770 20 0 DDADMM CN(CCCc1ccccc1)c1nnc(-c2c[n-][nH]c2=O)n1C ZINC001339838237 870726559 /nfs/dbraw/zinc/72/65/59/870726559.db2.gz SDAMRLSPERDRLZ-UHFFFAOYSA-N -1 1 312.377 1.980 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1C[C@H]2C[C@@]2(c2ccccc2)C1 ZINC001340047610 870861656 /nfs/dbraw/zinc/86/16/56/870861656.db2.gz GSRYRIPJCZWWMV-WBMJQRKESA-N -1 1 322.376 1.256 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1C[C@H]2C[C@@]2(c2ccccc2)C1 ZINC001340047610 870861670 /nfs/dbraw/zinc/86/16/70/870861670.db2.gz GSRYRIPJCZWWMV-WBMJQRKESA-N -1 1 322.376 1.256 20 0 DDADMM O=C(Cn1cnc2sccc2c1=O)Nc1cccc(F)c1[O-] ZINC001302481712 871071383 /nfs/dbraw/zinc/07/13/83/871071383.db2.gz DCRYTKQEKIVMFF-UHFFFAOYSA-N -1 1 319.317 1.941 20 0 DDADMM CNC(=O)N[C@H]1CCCN(C(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001303522720 871132473 /nfs/dbraw/zinc/13/24/73/871132473.db2.gz ZSWDUWXKMQBHNL-LBPRGKRZSA-N -1 1 319.361 1.047 20 0 DDADMM CC(C)=C[C@@H]1[C@@H](C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)C1(C)C ZINC001317608118 871192848 /nfs/dbraw/zinc/19/28/48/871192848.db2.gz NJKICLRYEPHVEQ-YPMHNXCESA-N -1 1 321.425 1.297 20 0 DDADMM CC(C)=C[C@H]1[C@H](C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)C1(C)C ZINC001317608117 871193089 /nfs/dbraw/zinc/19/30/89/871193089.db2.gz NJKICLRYEPHVEQ-WCQYABFASA-N -1 1 321.425 1.297 20 0 DDADMM Cc1cnc(SCCc2nnnn2-c2ccccc2)[n-]c1=O ZINC001307347183 871423925 /nfs/dbraw/zinc/42/39/25/871423925.db2.gz MGAIYKAQUSUOBR-UHFFFAOYSA-N -1 1 314.374 1.801 20 0 DDADMM O=C([O-])[C@@]1(C(=O)N2CCCC3(CCCCC3)CC2)CNCCO1 ZINC001340987502 871453035 /nfs/dbraw/zinc/45/30/35/871453035.db2.gz UFAQGBPECVLPIL-KRWDZBQOSA-N -1 1 324.421 1.393 20 0 DDADMM C[C@H](c1cc(F)ccc1F)N(C)c1nnc(-c2nnn[n-]2)n1C ZINC001341255401 871581513 /nfs/dbraw/zinc/58/15/13/871581513.db2.gz POYHFRJPJBONMV-SSDOTTSWSA-N -1 1 320.307 1.471 20 0 DDADMM C[C@H](c1cc(F)ccc1F)N(C)c1nnc(-c2nn[n-]n2)n1C ZINC001341255401 871581522 /nfs/dbraw/zinc/58/15/22/871581522.db2.gz POYHFRJPJBONMV-SSDOTTSWSA-N -1 1 320.307 1.471 20 0 DDADMM CC(C)c1ccc(N(C)c2nnc(Cc3nnn[n-]3)n2C)cc1 ZINC001341415126 871650244 /nfs/dbraw/zinc/65/02/44/871650244.db2.gz LVKOLLMBTZAZGJ-UHFFFAOYSA-N -1 1 312.381 1.810 20 0 DDADMM CC(C)c1ccc(N(C)c2nnc(Cc3nn[n-]n3)n2C)cc1 ZINC001341415126 871650259 /nfs/dbraw/zinc/65/02/59/871650259.db2.gz LVKOLLMBTZAZGJ-UHFFFAOYSA-N -1 1 312.381 1.810 20 0 DDADMM CCC1(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)COC1 ZINC001361869183 882537608 /nfs/dbraw/zinc/53/76/08/882537608.db2.gz LTBGBDUAIWNYSW-UHFFFAOYSA-N -1 1 303.322 1.144 20 0 DDADMM c1ccc(Cn2c(-c3nnn[n-]3)nnc2N2CC[C@H]3C[C@H]3C2)cc1 ZINC001342189404 872059303 /nfs/dbraw/zinc/05/93/03/872059303.db2.gz LNSQKFYQDDXWLG-STQMWFEESA-N -1 1 322.376 1.353 20 0 DDADMM c1ccc(Cn2c(-c3nn[n-]n3)nnc2N2CC[C@H]3C[C@H]3C2)cc1 ZINC001342189404 872059325 /nfs/dbraw/zinc/05/93/25/872059325.db2.gz LNSQKFYQDDXWLG-STQMWFEESA-N -1 1 322.376 1.353 20 0 DDADMM CN(Cc1cccc(C(N)=O)c1)C(=O)c1ccc(F)c([O-])c1 ZINC001361892322 882588287 /nfs/dbraw/zinc/58/82/87/882588287.db2.gz HJYQQGRYEMHPKV-UHFFFAOYSA-N -1 1 302.305 1.902 20 0 DDADMM CC/C(C)=C/C(=O)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318793654 872275661 /nfs/dbraw/zinc/27/56/61/872275661.db2.gz JQHIBTJZIQGTEK-SRXBQZRASA-N -1 1 321.425 1.585 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2c(C)cccc2C1 ZINC001342590622 872282514 /nfs/dbraw/zinc/28/25/14/872282514.db2.gz KQBJZMCVERIMLG-UHFFFAOYSA-N -1 1 310.365 1.349 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2c(C)cccc2C1 ZINC001342590622 872282530 /nfs/dbraw/zinc/28/25/30/872282530.db2.gz KQBJZMCVERIMLG-UHFFFAOYSA-N -1 1 310.365 1.349 20 0 DDADMM CCc1cccc(N(C)c2nnc(Cc3nnn[n-]3)n2CC)c1 ZINC001342699630 872341344 /nfs/dbraw/zinc/34/13/44/872341344.db2.gz KOCNUIVJJVDWBX-UHFFFAOYSA-N -1 1 312.381 1.732 20 0 DDADMM CCc1cccc(N(C)c2nnc(Cc3nn[n-]n3)n2CC)c1 ZINC001342699630 872341363 /nfs/dbraw/zinc/34/13/63/872341363.db2.gz KOCNUIVJJVDWBX-UHFFFAOYSA-N -1 1 312.381 1.732 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCN1CCCC1=O ZINC001319036010 872405831 /nfs/dbraw/zinc/40/58/31/872405831.db2.gz NIBIKWGMXULZAY-UHFFFAOYSA-N -1 1 320.393 1.356 20 0 DDADMM Cc1nn(C)cc1[C@H](C)Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226723255 882612041 /nfs/dbraw/zinc/61/20/41/882612041.db2.gz VFQNNZXPKUXBMZ-NSHDSACASA-N -1 1 319.365 1.201 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](C)Cn2ccnc2)c(=O)[n-]1 ZINC001361916184 882634337 /nfs/dbraw/zinc/63/43/37/882634337.db2.gz ZIEAVQFFMJINFQ-VIFPVBQESA-N -1 1 307.379 1.167 20 0 DDADMM CCOCCCn1c(-c2c[n-][nH]c2=O)nnc1N1CC=CCC1 ZINC001344120702 872860447 /nfs/dbraw/zinc/86/04/47/872860447.db2.gz WXDQJIXCKHTSLN-UHFFFAOYSA-N -1 1 318.381 1.567 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@]1(C(=O)[O-])CNCCO1)C1CCCCC1 ZINC001344369346 872988232 /nfs/dbraw/zinc/98/82/32/872988232.db2.gz STANWLFANQOTMS-MLGOLLRUSA-N -1 1 312.410 1.104 20 0 DDADMM CC(C)[C@@H](C)CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207660389 873450485 /nfs/dbraw/zinc/45/04/85/873450485.db2.gz GJPIGGCOAFWMPZ-NWDGAFQWSA-N -1 1 309.414 1.273 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1Cc2cccc(O)c2C1 ZINC001346910552 873933551 /nfs/dbraw/zinc/93/35/51/873933551.db2.gz OMFUXULCJNOTPL-SECBINFHSA-N -1 1 317.370 1.382 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H]1CCC(F)(F)C1 ZINC001346957774 873951535 /nfs/dbraw/zinc/95/15/35/873951535.db2.gz MONOLNQKSHYKTF-ZETCQYMHSA-N -1 1 303.334 1.945 20 0 DDADMM CSc1cc2c(cc1NC(=O)CCc1nn[n-]n1)OCCO2 ZINC001361999014 882792283 /nfs/dbraw/zinc/79/22/83/882792283.db2.gz HZOAHHHSIBOOIA-UHFFFAOYSA-N -1 1 321.362 1.264 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCN(c2ccccn2)CC1 ZINC001362012358 882821885 /nfs/dbraw/zinc/82/18/85/882821885.db2.gz ZTSRARQGPJJTER-UHFFFAOYSA-N -1 1 301.321 1.889 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H](C)c1cccs1)NCc1n[nH]c(=O)[n-]1 ZINC001378292424 874627043 /nfs/dbraw/zinc/62/70/43/874627043.db2.gz JSSVEZGKQSAQOO-UWVGGRQHSA-N -1 1 323.422 1.360 20 0 DDADMM O=C(CCCC1CC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209195063 874718820 /nfs/dbraw/zinc/71/88/20/874718820.db2.gz USCQPMMSIWISRT-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@H](c2cccnc2)C1 ZINC001348745788 874755837 /nfs/dbraw/zinc/75/58/37/874755837.db2.gz AWMQUCJETNYLKV-NSHDSACASA-N -1 1 316.386 1.804 20 0 DDADMM O=C(NCCNC(=O)c1ncccc1[O-])c1cccc(Cl)c1 ZINC001348839324 874820564 /nfs/dbraw/zinc/82/05/64/874820564.db2.gz UXMZWUJXARNDEL-UHFFFAOYSA-N -1 1 319.748 1.600 20 0 DDADMM CCCCC1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001209474663 874911241 /nfs/dbraw/zinc/91/12/41/874911241.db2.gz IODXCQRSGBKNOK-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM Cc1ncc(C=CC(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)s1 ZINC001349214448 875039157 /nfs/dbraw/zinc/03/91/57/875039157.db2.gz UPIKRYQMPXLHOZ-WYGGZMRJSA-N -1 1 318.406 1.459 20 0 DDADMM CCC[C@H](NC(=O)c1cnn(CC2CCCC2)c1)c1nn[n-]n1 ZINC001362050403 882915956 /nfs/dbraw/zinc/91/59/56/882915956.db2.gz GCIWMSSSWQACBQ-ZDUSSCGKSA-N -1 1 317.397 1.858 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3ocnc3c2)c1 ZINC001210575904 875442334 /nfs/dbraw/zinc/44/23/34/875442334.db2.gz HCDKLLOOMSTNJL-UHFFFAOYSA-N -1 1 304.331 1.793 20 0 DDADMM COc1cnccc1Nc1ccc(S(=O)(=O)[N-]C(C)=O)cc1 ZINC001211263204 875733198 /nfs/dbraw/zinc/73/31/98/875733198.db2.gz VYUNNEICRNWTHQ-UHFFFAOYSA-N -1 1 321.358 1.081 20 0 DDADMM Cn1c(-c2cc(Cl)ncc2[O-])nnc1N1CCC2(COC2)C1 ZINC001350777895 875884610 /nfs/dbraw/zinc/88/46/10/875884610.db2.gz HGPKFGJAFJOZDP-UHFFFAOYSA-N -1 1 321.768 1.463 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N[C@H]1CC=CCC1 ZINC001351261596 876150019 /nfs/dbraw/zinc/15/00/19/876150019.db2.gz WZBMMESXKURVCP-JTQLQIEISA-N -1 1 324.327 1.554 20 0 DDADMM Cc1ccc(C(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)s1 ZINC001379061305 876268866 /nfs/dbraw/zinc/26/88/66/876268866.db2.gz JISWCOSAYSXVKY-QMMMGPOBSA-N -1 1 309.395 1.131 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2cccnc2F)s1 ZINC000401806729 876690314 /nfs/dbraw/zinc/69/03/14/876690314.db2.gz YUIMXWWKYWAECE-LURJTMIESA-N -1 1 302.356 1.420 20 0 DDADMM O=C(CCCOCc1ccccc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001352595664 876823479 /nfs/dbraw/zinc/82/34/79/876823479.db2.gz CGPNKCKMQVLWET-CQSZACIVSA-N -1 1 315.377 1.513 20 0 DDADMM COc1ncc(Nc2nc(C)cnc2C)cc1[N-]S(C)(=O)=O ZINC001216151222 876877359 /nfs/dbraw/zinc/87/73/59/876877359.db2.gz IRULLZFMBMHDPJ-UHFFFAOYSA-N -1 1 323.378 1.612 20 0 DDADMM COc1ncc(Nc2cc(C)n(C)n2)cc1[N-]S(C)(=O)=O ZINC001216152400 876880437 /nfs/dbraw/zinc/88/04/37/876880437.db2.gz ZZEWKZHFHHLAHT-UHFFFAOYSA-N -1 1 311.367 1.247 20 0 DDADMM COc1cc(CO)cc([N-]S(=O)(=O)c2ccc(O)c(N)c2)c1 ZINC001216202412 876889633 /nfs/dbraw/zinc/88/96/33/876889633.db2.gz STVQUJQJCYHHFU-UHFFFAOYSA-N -1 1 324.358 1.276 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)C1CCC1)NC(=O)c1ncccc1[O-] ZINC001395601342 912362467 /nfs/dbraw/zinc/36/24/67/912362467.db2.gz ZFFGOJCHTZABCI-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N(C)Cc1ccoc1C ZINC001356427152 879046719 /nfs/dbraw/zinc/04/67/19/879046719.db2.gz BNIKFUYAMGWSDE-UHFFFAOYSA-N -1 1 302.338 1.932 20 0 DDADMM CC/C=C(\C)C(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001356839519 879460394 /nfs/dbraw/zinc/46/03/94/879460394.db2.gz YGXOTSSGMXHMTQ-BYDLAGSTSA-N -1 1 315.373 1.569 20 0 DDADMM COc1cc(F)c(NC(=O)CCCc2nn[n-]n2)cc1OC ZINC001362133723 883121442 /nfs/dbraw/zinc/12/14/42/883121442.db2.gz LNRQXJGIIVRUKA-UHFFFAOYSA-N -1 1 309.301 1.317 20 0 DDADMM NC(=O)c1ccc(CNC(=O)Cc2ccc([O-])c(Cl)c2)cn1 ZINC001362155835 883169539 /nfs/dbraw/zinc/16/95/39/883169539.db2.gz XAOPOZWUXAGLNH-UHFFFAOYSA-N -1 1 319.748 1.398 20 0 DDADMM C[C@@H]1COCC[C@H]1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001362158038 883176578 /nfs/dbraw/zinc/17/65/78/883176578.db2.gz CRGUSBYDUHZQLR-ZWNOBZJWSA-N -1 1 317.349 1.390 20 0 DDADMM CSc1ncc(C(=O)NCCc2ccccc2O)c(=O)[n-]1 ZINC001362262554 883434639 /nfs/dbraw/zinc/43/46/39/883434639.db2.gz GXMLAVGRWKPZNQ-UHFFFAOYSA-N -1 1 305.359 1.582 20 0 DDADMM O=C(N[C@@H]1CCCOc2cc(F)ccc21)c1cnncc1[O-] ZINC001362277005 883465077 /nfs/dbraw/zinc/46/50/77/883465077.db2.gz ZCOMPBXFPUFTIM-GFCCVEGCSA-N -1 1 303.293 1.965 20 0 DDADMM C[C@@H]1C[C@H](C)[C@H](C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)O1 ZINC001362300540 883513617 /nfs/dbraw/zinc/51/36/17/883513617.db2.gz UPFDLLGSKZFEER-IMSIIYSGSA-N -1 1 317.349 1.531 20 0 DDADMM Cc1cc(C)nc(N2CCN(C(=O)c3ccc([O-])cn3)CC2)n1 ZINC001362312791 883540950 /nfs/dbraw/zinc/54/09/50/883540950.db2.gz XEOARSCFLAXISI-UHFFFAOYSA-N -1 1 313.361 1.156 20 0 DDADMM O=C(NCC(F)(F)CO)c1c([O-])cnc2c(F)cccc21 ZINC001362322459 883563034 /nfs/dbraw/zinc/56/30/34/883563034.db2.gz BPKUBUHQJOJKLM-UHFFFAOYSA-N -1 1 300.236 1.437 20 0 DDADMM O=C(Nc1cc2c(cc1F)NC(=O)CC2)c1cnncc1[O-] ZINC001362393740 883707094 /nfs/dbraw/zinc/70/70/94/883707094.db2.gz FABOAMBDWOBKPE-UHFFFAOYSA-N -1 1 302.265 1.458 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1CCOC2(CCC2)C1)c1nn[n-]n1 ZINC001362429898 883789590 /nfs/dbraw/zinc/78/95/90/883789590.db2.gz XMMUSQFFHXVPEH-NSHDSACASA-N -1 1 307.398 1.681 20 0 DDADMM CC(C)(C)OC(=O)C[C@H]1CCCN(C(=O)CCc2nn[n-]n2)C1 ZINC001362441095 883816306 /nfs/dbraw/zinc/81/63/06/883816306.db2.gz MDAAMYNUNIXXNM-LLVKDONJSA-N -1 1 323.397 1.103 20 0 DDADMM CC(=O)N1CCC[C@H](Oc2cc([O-])cc3oc(=O)ccc23)C1 ZINC001229129705 883836809 /nfs/dbraw/zinc/83/68/09/883836809.db2.gz PNYJMJTXQNVCNG-LBPRGKRZSA-N -1 1 303.314 1.888 20 0 DDADMM CC(C)(C)Oc1ccc(CNC(=O)CCc2nn[n-]n2)cn1 ZINC001362566717 884111332 /nfs/dbraw/zinc/11/13/32/884111332.db2.gz ILTWEXPYXXQBBK-UHFFFAOYSA-N -1 1 304.354 1.021 20 0 DDADMM O=C(NCC[C@H]1COc2ccccc2O1)c1ccc([O-])cn1 ZINC001362566390 884111343 /nfs/dbraw/zinc/11/13/43/884111343.db2.gz AJDKKTNOUXZUOS-LBPRGKRZSA-N -1 1 300.314 1.747 20 0 DDADMM CC(C)(C)OC(=O)C(C)(C)CCNC(=O)CCc1nn[n-]n1 ZINC001362579723 884139129 /nfs/dbraw/zinc/13/91/29/884139129.db2.gz FSOUTMXGUATLJF-UHFFFAOYSA-N -1 1 311.386 1.007 20 0 DDADMM O=C(N[C@@H](CO)[C@@H]1CCOC1)c1ncc2ccccc2c1[O-] ZINC001362585409 884153087 /nfs/dbraw/zinc/15/30/87/884153087.db2.gz MOEAKGNPMZXSDP-YPMHNXCESA-N -1 1 302.330 1.068 20 0 DDADMM O=C(N[C@H](CO)[C@H]1CCOC1)c1ncc2ccccc2c1[O-] ZINC001362585397 884153222 /nfs/dbraw/zinc/15/32/22/884153222.db2.gz MOEAKGNPMZXSDP-WCQYABFASA-N -1 1 302.330 1.068 20 0 DDADMM CN(Cc1ccc2c(c1)OC(F)(F)O2)C(=O)c1cnncc1[O-] ZINC001362613242 884221477 /nfs/dbraw/zinc/22/14/77/884221477.db2.gz GAMMJQWMWCSZLN-UHFFFAOYSA-N -1 1 323.255 1.776 20 0 DDADMM C[C@H]1OCC[C@@]12CN(C(=O)c1cccc([O-])c1F)C[C@@H](C)O2 ZINC001362624847 884254885 /nfs/dbraw/zinc/25/48/85/884254885.db2.gz ZOGOAAJWSFUAON-GLKRBJQHSA-N -1 1 309.337 1.940 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(F)c([O-])c2)CCS(=O)(=O)C1 ZINC001362660557 884348672 /nfs/dbraw/zinc/34/86/72/884348672.db2.gz SUQYYBULFXQXBS-VIFPVBQESA-N -1 1 301.339 1.038 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CC[C@@]2(C1)C(=O)Nc1ccccc12 ZINC001362662644 884353068 /nfs/dbraw/zinc/35/30/68/884353068.db2.gz OSOZQFKYKZLBKO-KRWDZBQOSA-N -1 1 309.325 1.523 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H](C)[C@@H]2CCO)c(=O)[n-]1 ZINC001362665953 884363258 /nfs/dbraw/zinc/36/32/58/884363258.db2.gz YPUAJTIURDZOGQ-KOLCDFICSA-N -1 1 311.407 1.527 20 0 DDADMM CC(C)CCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001382645537 884397792 /nfs/dbraw/zinc/39/77/92/884397792.db2.gz DYCZJHIXYINSAI-CHWSQXEVSA-N -1 1 319.405 1.943 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccsc2C)o1 ZINC001362757335 884566597 /nfs/dbraw/zinc/56/65/97/884566597.db2.gz NUTKUHYYYWEZMA-UHFFFAOYSA-N -1 1 300.361 1.810 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(F)c([O-])c2)C[C@]2(CCCOC2)O1 ZINC001362762385 884579187 /nfs/dbraw/zinc/57/91/87/884579187.db2.gz BNZRMQONIREEPP-BZNIZROVSA-N -1 1 309.337 1.941 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1CCc2cccc(O)c21)c1nn[n-]n1 ZINC001362788840 884642818 /nfs/dbraw/zinc/64/28/18/884642818.db2.gz WNVUVDKSIYRCSJ-LLVKDONJSA-N -1 1 315.377 1.767 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(=O)N(c2cccc(F)c2)C1 ZINC001362797224 884664486 /nfs/dbraw/zinc/66/44/86/884664486.db2.gz UWPALBOLYUTTLU-VIFPVBQESA-N -1 1 318.308 1.554 20 0 DDADMM CC(=O)NCC[C@@H]1CCCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001362801720 884678159 /nfs/dbraw/zinc/67/81/59/884678159.db2.gz WVFBRRVEODELCB-LBPRGKRZSA-N -1 1 308.353 1.910 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@]1(C)CCC(=O)N1 ZINC001362809477 884694977 /nfs/dbraw/zinc/69/49/77/884694977.db2.gz ASRDUGUOYJKKEP-OAHLLOKOSA-N -1 1 306.366 1.013 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H]1C[C@@H](C)n2ccnc21 ZINC001362827011 884734627 /nfs/dbraw/zinc/73/46/27/884734627.db2.gz WWQJOIMYHAOIBB-APPZFPTMSA-N -1 1 319.390 1.845 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCN2CC(F)(F)C[C@H]2C1 ZINC001362950034 885062624 /nfs/dbraw/zinc/06/26/24/885062624.db2.gz UCJCBHDMLGJTMJ-VIFPVBQESA-N -1 1 300.280 1.697 20 0 DDADMM CSc1nc(CNC(=O)c2cnn(C(C)C)c2N)cc(=O)[n-]1 ZINC001362963328 885099769 /nfs/dbraw/zinc/09/97/69/885099769.db2.gz GPKHHSHMQVCAQK-UHFFFAOYSA-N -1 1 322.394 1.194 20 0 DDADMM CCn1ccnc1C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001362968158 885109679 /nfs/dbraw/zinc/10/96/79/885109679.db2.gz GUAVNWGNHBVQHJ-UHFFFAOYSA-N -1 1 305.338 1.072 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)COCC1CC1 ZINC001362971770 885119963 /nfs/dbraw/zinc/11/99/63/885119963.db2.gz QQLWTPITKQIAKD-ONGXEEELSA-N -1 1 322.327 1.471 20 0 DDADMM CCC(C)(C)OCC(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001362974711 885124905 /nfs/dbraw/zinc/12/49/05/885124905.db2.gz XBCPWGZDVRCYJK-UHFFFAOYSA-N -1 1 311.382 1.402 20 0 DDADMM Cn1cnc(C(=O)Nc2cccc([O-])c2Br)cc1=O ZINC001363015609 885233776 /nfs/dbraw/zinc/23/37/76/885233776.db2.gz HQEBPFKBBSTYOT-UHFFFAOYSA-N -1 1 324.134 1.501 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2c([O-])cccc2F)nc1 ZINC001363017063 885240627 /nfs/dbraw/zinc/24/06/27/885240627.db2.gz JVLWQLBPKSMNBP-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM O=C([N-]n1ccc(=O)[nH]c1=O)c1cc(Cl)ccc1Cl ZINC001363054845 885345092 /nfs/dbraw/zinc/34/50/92/885345092.db2.gz ORMQVEZOLWILHG-UHFFFAOYSA-N -1 1 300.101 1.640 20 0 DDADMM CC(=O)CCc1ccc(OCC(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001363125219 885528234 /nfs/dbraw/zinc/52/82/34/885528234.db2.gz BZXWTISSGFVMHC-UHFFFAOYSA-N -1 1 303.318 1.654 20 0 DDADMM O=C(NCc1c[nH]c(=O)[nH]1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001363148367 885583099 /nfs/dbraw/zinc/58/30/99/885583099.db2.gz RXUGXRJFBAKBPU-UHFFFAOYSA-N -1 1 301.224 1.770 20 0 DDADMM CNC(=O)[C@H](CCSC)NC(=O)c1ccc(F)c([O-])c1 ZINC001363148944 885583331 /nfs/dbraw/zinc/58/33/31/885583331.db2.gz XFJPRVZVAGJCNT-JTQLQIEISA-N -1 1 300.355 1.129 20 0 DDADMM CSc1ncc(C(=O)NCC(C)(C)n2cccn2)c(=O)[n-]1 ZINC001363157070 885602015 /nfs/dbraw/zinc/60/20/15/885602015.db2.gz MZJJVQUENSXIBI-UHFFFAOYSA-N -1 1 307.379 1.266 20 0 DDADMM O=C([O-])c1ccc(CN2CC[C@H](Oc3cnccn3)C2)s1 ZINC001231466087 885685214 /nfs/dbraw/zinc/68/52/14/885685214.db2.gz RMGNACCTDBEPAU-JTQLQIEISA-N -1 1 305.359 1.890 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CC[C@@H]2CCCCO2)n[n-]1 ZINC001363229228 885768912 /nfs/dbraw/zinc/76/89/12/885768912.db2.gz ALTQZVYEUCAJBE-MNOVXSKESA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CC[C@@H]2CCCCO2)[n-]1 ZINC001363229228 885768921 /nfs/dbraw/zinc/76/89/21/885768921.db2.gz ALTQZVYEUCAJBE-MNOVXSKESA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CC[C@@H]2CCCCO2)n1 ZINC001363229228 885768930 /nfs/dbraw/zinc/76/89/30/885768930.db2.gz ALTQZVYEUCAJBE-MNOVXSKESA-N -1 1 324.381 1.508 20 0 DDADMM CCOC(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001363277026 885891099 /nfs/dbraw/zinc/89/10/99/885891099.db2.gz INDZGRPOSPDXDF-UHFFFAOYSA-N -1 1 315.333 1.186 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1COc2cc(F)ccc2C1 ZINC001363278491 885895638 /nfs/dbraw/zinc/89/56/38/885895638.db2.gz UBGLGANULXZRFF-SNVBAGLBSA-N -1 1 317.324 1.086 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C(F)(F)C1CCOCC1 ZINC001363357594 886121779 /nfs/dbraw/zinc/12/17/79/886121779.db2.gz SQLYFPCYGFFKAS-UHFFFAOYSA-N -1 1 317.340 1.246 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H](n3cccn3)C2)c(=O)[n-]1 ZINC001363374097 886164776 /nfs/dbraw/zinc/16/47/76/886164776.db2.gz IPSTYZSMEKLSKY-SECBINFHSA-N -1 1 305.363 1.188 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1c[nH]c(=O)cc1C(F)(F)F ZINC001363376391 886173245 /nfs/dbraw/zinc/17/32/45/886173245.db2.gz LUNWHZVMYWLPNJ-UHFFFAOYSA-N -1 1 302.212 1.795 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001383698495 886347263 /nfs/dbraw/zinc/34/72/63/886347263.db2.gz FHFWBUIYQIMKAG-JHJVBQTASA-N -1 1 319.405 1.800 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](CO)c1cccnc1 ZINC001363453744 886380046 /nfs/dbraw/zinc/38/00/46/886380046.db2.gz WGHWALKQKWWPHE-GFCCVEGCSA-N -1 1 316.361 1.472 20 0 DDADMM Cc1cnc(C(=O)N2CCC3(CCC3)S(=O)(=O)CC2)c([O-])c1 ZINC001363464891 886410569 /nfs/dbraw/zinc/41/05/69/886410569.db2.gz XJXBEFTXHWRRLG-UHFFFAOYSA-N -1 1 324.402 1.279 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CC[C@H](Oc2ncccn2)C1 ZINC001233040110 886746057 /nfs/dbraw/zinc/74/60/57/886746057.db2.gz KBFXXIAMOQGLGJ-NSHDSACASA-N -1 1 306.753 1.884 20 0 DDADMM COc1ccc(CC(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)nc1 ZINC001363629510 886813863 /nfs/dbraw/zinc/81/38/63/886813863.db2.gz PLFLMUPLFCUSMJ-UHFFFAOYSA-N -1 1 318.333 1.560 20 0 DDADMM C[C@@H]1CCN(C(=O)CCCc2nn[n-]n2)[C@H](C(F)(F)F)C1 ZINC001363696023 887000188 /nfs/dbraw/zinc/00/01/88/887000188.db2.gz PZBGDNLLDCOKPZ-BDAKNGLRSA-N -1 1 305.304 1.712 20 0 DDADMM CCc1ccc(C(=O)Nc2nn(C)cc2C(=O)OC)c([O-])c1 ZINC001363696515 887002874 /nfs/dbraw/zinc/00/28/74/887002874.db2.gz HHZCJMVFRKQCTQ-UHFFFAOYSA-N -1 1 303.318 1.727 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H](C)C(=O)OCCC(C)C ZINC001233757480 887280964 /nfs/dbraw/zinc/28/09/64/887280964.db2.gz DEIWEBYXTONHAH-SECBINFHSA-N -1 1 312.322 1.325 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2nc(C)sc2C)n[n-]1 ZINC001363881679 887462463 /nfs/dbraw/zinc/46/24/63/887462463.db2.gz AJFYDVLIEYUAIG-ZCFIWIBFSA-N -1 1 323.378 1.546 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2nc(C)sc2C)[n-]1 ZINC001363881679 887462470 /nfs/dbraw/zinc/46/24/70/887462470.db2.gz AJFYDVLIEYUAIG-ZCFIWIBFSA-N -1 1 323.378 1.546 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2nc(C)sc2C)n1 ZINC001363881679 887462475 /nfs/dbraw/zinc/46/24/75/887462475.db2.gz AJFYDVLIEYUAIG-ZCFIWIBFSA-N -1 1 323.378 1.546 20 0 DDADMM CC(=O)Nc1ccccc1C[N-]S(=O)(=O)c1conc1C ZINC001363943023 887586050 /nfs/dbraw/zinc/58/60/50/887586050.db2.gz KQGCMNYDWKIBHB-UHFFFAOYSA-N -1 1 309.347 1.420 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@H](F)C(C)C ZINC001363964700 887626247 /nfs/dbraw/zinc/62/62/47/887626247.db2.gz MMPMYOCPUHMJPS-NXEZZACHSA-N -1 1 312.345 1.639 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@H](F)C(C)C ZINC001363964700 887626249 /nfs/dbraw/zinc/62/62/49/887626249.db2.gz MMPMYOCPUHMJPS-NXEZZACHSA-N -1 1 312.345 1.639 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@H](F)C(C)C ZINC001363964700 887626256 /nfs/dbraw/zinc/62/62/56/887626256.db2.gz MMPMYOCPUHMJPS-NXEZZACHSA-N -1 1 312.345 1.639 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)COCC1CC1 ZINC001364005842 887709369 /nfs/dbraw/zinc/70/93/69/887709369.db2.gz GGZUNHXGRZPNPO-MRVPVSSYSA-N -1 1 306.409 1.245 20 0 DDADMM CCCc1nc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)co1 ZINC001364036301 887767752 /nfs/dbraw/zinc/76/77/52/887767752.db2.gz SOKICZAMZDAPOJ-JTQLQIEISA-N -1 1 304.354 1.235 20 0 DDADMM COC[C@H](NC(=O)[C@@H]1SCCc2ccccc21)c1nn[n-]n1 ZINC001364040551 887776999 /nfs/dbraw/zinc/77/69/99/887776999.db2.gz ZIHOXVSWEMENRY-NWDGAFQWSA-N -1 1 319.390 1.034 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(C)onc1N)[C@@H]1CCCOC1 ZINC001364078323 887864187 /nfs/dbraw/zinc/86/41/87/887864187.db2.gz YJZXZHHNDNYEHG-ZJUUUORDSA-N -1 1 303.384 1.049 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1C[C@H](C(=O)Nc2c[n-][nH]c2=O)C1(C)C ZINC001364088960 887889691 /nfs/dbraw/zinc/88/96/91/887889691.db2.gz DUSLVUFZNWVXGI-PSASIEDQSA-N -1 1 324.381 1.993 20 0 DDADMM COc1ccc(C[C@@H](C)C(=O)Nc2c[n-][nH]c2=O)cc1OC ZINC001364130339 887987641 /nfs/dbraw/zinc/98/76/41/887987641.db2.gz APEXEOUCVMAJOS-SECBINFHSA-N -1 1 305.334 1.950 20 0 DDADMM CC(C)[C@@H](O)[C@H](NC(=O)CCc1nn[n-]n1)c1ccccc1 ZINC001364149489 888029545 /nfs/dbraw/zinc/02/95/45/888029545.db2.gz QIXRCZMBNLRKFM-HUUCEWRRSA-N -1 1 303.366 1.007 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1OC[C@H]1COC(C)(C)O1 ZINC001234609856 888138243 /nfs/dbraw/zinc/13/82/43/888138243.db2.gz QXGXREZBTQAVQV-ZETCQYMHSA-N -1 1 301.270 1.243 20 0 DDADMM Cc1nccnc1[C@@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001364334945 888408562 /nfs/dbraw/zinc/40/85/62/888408562.db2.gz OSNVHJXKXXDXJV-SNVBAGLBSA-N -1 1 311.349 1.323 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CCN2C(=O)N=NC2C1 ZINC001364342996 888423357 /nfs/dbraw/zinc/42/33/57/888423357.db2.gz VLNRXRXQOYEVHT-UHFFFAOYSA-N -1 1 323.740 1.068 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](OC)C2CCCCC2)n[n-]1 ZINC001364506545 888797306 /nfs/dbraw/zinc/79/73/06/888797306.db2.gz MNFZWYVYSJRBTO-GFCCVEGCSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](OC)C2CCCCC2)n1 ZINC001364506545 888797317 /nfs/dbraw/zinc/79/73/17/888797317.db2.gz MNFZWYVYSJRBTO-GFCCVEGCSA-N -1 1 324.381 1.193 20 0 DDADMM CCCC[C@H](C)C(=O)Nc1n[n-]c(OCCO)c1C(=O)OC ZINC001364509106 888801600 /nfs/dbraw/zinc/80/16/00/888801600.db2.gz KILMQERNXJTHOL-VIFPVBQESA-N -1 1 313.354 1.332 20 0 DDADMM CCCC[C@H](C)C(=O)Nc1[n-]nc(OCCO)c1C(=O)OC ZINC001364509106 888801612 /nfs/dbraw/zinc/80/16/12/888801612.db2.gz KILMQERNXJTHOL-VIFPVBQESA-N -1 1 313.354 1.332 20 0 DDADMM Cc1csc(CCC(=O)N(Cc2nn[n-]n2)CC(C)C)n1 ZINC001364652321 889125343 /nfs/dbraw/zinc/12/53/43/889125343.db2.gz SVNCHILNTYWMEI-UHFFFAOYSA-N -1 1 308.411 1.582 20 0 DDADMM NC(=O)c1ncc(NC(=O)Cc2ccc([O-])c(Cl)c2)s1 ZINC001364762910 889361122 /nfs/dbraw/zinc/36/11/22/889361122.db2.gz VQDPCIPJHMXBIW-UHFFFAOYSA-N -1 1 311.750 1.782 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H]1C[C@@H]1C1CC1 ZINC001364770381 889375488 /nfs/dbraw/zinc/37/54/88/889375488.db2.gz QTVUWOOQCYLBHP-WOPDTQHZSA-N -1 1 318.377 1.691 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H]1C[C@@H]1C1CC1 ZINC001364770381 889375506 /nfs/dbraw/zinc/37/55/06/889375506.db2.gz QTVUWOOQCYLBHP-WOPDTQHZSA-N -1 1 318.377 1.691 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H]1C[C@@H]1C1CC1 ZINC001364770381 889375520 /nfs/dbraw/zinc/37/55/20/889375520.db2.gz QTVUWOOQCYLBHP-WOPDTQHZSA-N -1 1 318.377 1.691 20 0 DDADMM O=S(=O)([N-]Cc1ccncc1)c1nc[nH]c1Br ZINC001364775901 889391005 /nfs/dbraw/zinc/39/10/05/889391005.db2.gz XPKAFWLITXDWER-UHFFFAOYSA-N -1 1 317.168 1.046 20 0 DDADMM CC[C@@H](C)[C@H](C[N-]S(=O)(=O)c1csnc1OC)OC ZINC001364850723 889547759 /nfs/dbraw/zinc/54/77/59/889547759.db2.gz YFFKFZMNTTXFRS-BDAKNGLRSA-N -1 1 308.425 1.491 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCC[C@@H]1CC[C@@H]2C[C@@H]21 ZINC001364861735 889566163 /nfs/dbraw/zinc/56/61/63/889566163.db2.gz SXRFPORBRRBDRG-QJPTWQEYSA-N -1 1 303.362 1.069 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCCC[C@H]2OC)sn1 ZINC001364877741 889593440 /nfs/dbraw/zinc/59/34/40/889593440.db2.gz IYCZDADKAJAMMI-RKDXNWHRSA-N -1 1 306.409 1.388 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc(C(C)(C)C)o1 ZINC001364902424 889639301 /nfs/dbraw/zinc/63/93/01/889639301.db2.gz JBPXQSDTFDGPTI-UHFFFAOYSA-N -1 1 313.379 1.934 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1ccc(OC(C)C)nc1 ZINC001364933924 889697780 /nfs/dbraw/zinc/69/77/80/889697780.db2.gz WTOPLBBXURORPA-UHFFFAOYSA-N -1 1 312.351 1.039 20 0 DDADMM Cc1ncoc1C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC001364971022 889786505 /nfs/dbraw/zinc/78/65/05/889786505.db2.gz RNOGUVNMPBFJNJ-UHFFFAOYSA-N -1 1 321.152 1.817 20 0 DDADMM CCCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1C ZINC001396219361 913397480 /nfs/dbraw/zinc/39/74/80/913397480.db2.gz BFPHIJNKOFBNMB-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN(C)C1CCS(=O)(=O)CC1 ZINC001238149936 890076303 /nfs/dbraw/zinc/07/63/03/890076303.db2.gz SMAHRCGGDLPWNR-UHFFFAOYSA-N -1 1 311.403 1.702 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@@H](C)[C@H](C)C2)[n-]n1 ZINC001365377777 890691595 /nfs/dbraw/zinc/69/15/95/890691595.db2.gz GFYPJMDFFZGUPW-OPRDCNLKSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@@H](C)[C@H](C)C2)n[n-]1 ZINC001365377777 890691600 /nfs/dbraw/zinc/69/16/00/890691600.db2.gz GFYPJMDFFZGUPW-OPRDCNLKSA-N -1 1 315.395 1.299 20 0 DDADMM Cc1cc2c(c(C3=CCC4(CC3)OCCO4)n1)C(=O)[N-]C2=O ZINC001240704555 891021295 /nfs/dbraw/zinc/02/12/95/891021295.db2.gz PUBWAEQXMXKJOR-UHFFFAOYSA-N -1 1 300.314 1.584 20 0 DDADMM COC(=O)c1nc2ccc(C3=CCN(C(C)=O)CC3)[n-]c-2n1 ZINC001241969878 891316395 /nfs/dbraw/zinc/31/63/95/891316395.db2.gz KTTIPLAUISXSCY-UHFFFAOYSA-N -1 1 300.318 1.380 20 0 DDADMM CCc1[nH]nc2ncc(-c3ccc4c(c3)CC(=O)[N-]C4=O)cc12 ZINC001242825954 891522384 /nfs/dbraw/zinc/52/23/84/891522384.db2.gz CIKLCMYXWROQPL-UHFFFAOYSA-N -1 1 306.325 2.000 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3CCOC(C)(C)C3)ccnc1-2 ZINC001365784605 891546119 /nfs/dbraw/zinc/54/61/19/891546119.db2.gz SMIWRXANSMQYSY-LLVKDONJSA-N -1 1 317.393 1.669 20 0 DDADMM Cc1cc(-c2cc(N3CCN(C)CC3)ncn2)ccc1C(=O)[O-] ZINC001243212836 891622926 /nfs/dbraw/zinc/62/29/26/891622926.db2.gz UERDUZUKWSGNGP-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM COCCCNC(=S)Nc1[nH]c(=S)[n-]c(=O)c1N=O ZINC001245581111 892183468 /nfs/dbraw/zinc/18/34/68/892183468.db2.gz MKAXWUBIAQJQBB-UHFFFAOYSA-N -1 1 303.369 1.192 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnc(OC)cc3OC)nc2[n-]1 ZINC001245615088 892196076 /nfs/dbraw/zinc/19/60/76/892196076.db2.gz VGGGKRYKZUNSGJ-UHFFFAOYSA-N -1 1 314.301 1.824 20 0 DDADMM COc1nc(OC)c(-c2ccc3c(c2)CC(=O)[N-]C3=O)cc1C ZINC001245798052 892247418 /nfs/dbraw/zinc/24/74/18/892247418.db2.gz BYWGGCPCDHROCQ-UHFFFAOYSA-N -1 1 312.325 1.887 20 0 DDADMM Cc1cc(C(N)=O)ccc1NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001292404819 913663828 /nfs/dbraw/zinc/66/38/28/913663828.db2.gz GJGVAZPOTHUTER-UHFFFAOYSA-N -1 1 318.358 1.439 20 0 DDADMM CC(C)C1(C(=O)N[C@H](C)CCNC(=O)c2ncccc2[O-])CC1 ZINC001387440994 893107957 /nfs/dbraw/zinc/10/79/57/893107957.db2.gz LOLOMTCVFIPWBT-GFCCVEGCSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1c(Br)cncc1-c1noc(Cc2nnn[n-]2)n1 ZINC001247139618 893122110 /nfs/dbraw/zinc/12/21/10/893122110.db2.gz KEQMRBWPMFXRDT-UHFFFAOYSA-N -1 1 322.126 1.306 20 0 DDADMM Cc1c(Br)cncc1-c1noc(Cc2nn[n-]n2)n1 ZINC001247139618 893122117 /nfs/dbraw/zinc/12/21/17/893122117.db2.gz KEQMRBWPMFXRDT-UHFFFAOYSA-N -1 1 322.126 1.306 20 0 DDADMM Cc1ccc(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)cn1 ZINC000345473969 894026222 /nfs/dbraw/zinc/02/62/22/894026222.db2.gz VWZVRDYACMUEHE-UHFFFAOYSA-N -1 1 310.317 1.734 20 0 DDADMM COC(=O)c1ccc(Nc2cc(=O)[n-]c(N(C)C)n2)c(OC)c1 ZINC001249949981 894172312 /nfs/dbraw/zinc/17/23/12/894172312.db2.gz SWKNGIMJEJIZAJ-UHFFFAOYSA-N -1 1 318.333 1.787 20 0 DDADMM Nc1cc(F)ccc1S(=O)(=O)[N-]c1ccc2c(c1)NC(=O)C2 ZINC001250322317 894234377 /nfs/dbraw/zinc/23/43/77/894234377.db2.gz NFYUZRSWGSOXIV-UHFFFAOYSA-N -1 1 321.333 1.703 20 0 DDADMM NS(=O)(=O)c1ccc2[nH]c(-c3cccc(F)c3[O-])nc2c1 ZINC001250683435 894360201 /nfs/dbraw/zinc/36/02/01/894360201.db2.gz FXBHETBASUUPRC-UHFFFAOYSA-N -1 1 307.306 1.722 20 0 DDADMM CN(C)S(=O)(=O)[N-]c1cnc(Br)c(Cl)n1 ZINC001251049444 894607243 /nfs/dbraw/zinc/60/72/43/894607243.db2.gz JNUGSWMZBPQKMS-UHFFFAOYSA-N -1 1 315.580 1.111 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)Nc1ccnn1C ZINC001251498392 894715239 /nfs/dbraw/zinc/71/52/39/894715239.db2.gz UFRAIBXTYKLADJ-UHFFFAOYSA-N -1 1 324.344 1.055 20 0 DDADMM O=c1nc2nc[nH]c2c(NC[C@@H](O)COc2ccc(F)cc2)[n-]1 ZINC001251946469 894906150 /nfs/dbraw/zinc/90/61/50/894906150.db2.gz ZNWWIORNBCCJIG-SECBINFHSA-N -1 1 319.296 1.001 20 0 DDADMM CC(C)(C)c1ccc(S(=O)(=O)NCC[P@](=O)([O-])O)cc1 ZINC001252055779 894987954 /nfs/dbraw/zinc/98/79/54/894987954.db2.gz YGCRNGOPIQLJNL-UHFFFAOYSA-N -1 1 321.335 1.440 20 0 DDADMM C[C@@H](CNC(=O)c1cccs1)CNC(=O)c1ncccc1[O-] ZINC001388383573 895114389 /nfs/dbraw/zinc/11/43/89/895114389.db2.gz SMKGBRGKJHWRRE-JTQLQIEISA-N -1 1 319.386 1.645 20 0 DDADMM CC1(CC(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])CC1 ZINC001388524536 895385423 /nfs/dbraw/zinc/38/54/23/895385423.db2.gz RUCGJXYRSWOKCA-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM O=S(=O)(CC(F)(F)F)[N-]c1ccnn1Cc1cccnc1 ZINC001253113219 895639174 /nfs/dbraw/zinc/63/91/74/895639174.db2.gz CWRGFNBOQBOFFI-UHFFFAOYSA-N -1 1 320.296 1.630 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@H](C)C1CCCCC1 ZINC001374820399 914035348 /nfs/dbraw/zinc/03/53/48/914035348.db2.gz JVZMPHWCLCDMNY-NWDGAFQWSA-N -1 1 323.441 1.711 20 0 DDADMM Cc1ccc(C)c(S(=O)(=O)[N-]c2ccc(C(N)=O)c(O)c2)c1 ZINC001254800156 896605990 /nfs/dbraw/zinc/60/59/90/896605990.db2.gz HEMOKTVMTVBZOH-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccc(F)s1 ZINC001389219017 896697149 /nfs/dbraw/zinc/69/71/49/896697149.db2.gz ZNLIECOTHZIIJS-MRVPVSSYSA-N -1 1 323.349 1.536 20 0 DDADMM C[C@H](CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001389248393 896750405 /nfs/dbraw/zinc/75/04/05/896750405.db2.gz JUNQCFKCXZBVEO-SNVBAGLBSA-N -1 1 303.362 1.164 20 0 DDADMM CCCc1ccc(S(=O)(=O)[N-]c2ccnc(C(N)=O)c2)cc1 ZINC001255158461 896758111 /nfs/dbraw/zinc/75/81/11/896758111.db2.gz JHLVDCZXDVBKJX-UHFFFAOYSA-N -1 1 319.386 1.356 20 0 DDADMM CCn1ccc([N-]S(=O)(=O)c2ccc(F)cc2F)cc1=O ZINC001256094460 897283185 /nfs/dbraw/zinc/28/31/85/897283185.db2.gz JKHUCCXJLWECRB-UHFFFAOYSA-N -1 1 314.313 1.947 20 0 DDADMM COC(=O)[C@H]1C[C@@H](N[C@H]2[CH]CC=C2)CN1C(=O)OC(C)(C)C ZINC001256263227 897344686 /nfs/dbraw/zinc/34/46/86/897344686.db2.gz KMARJDKCJOAGFL-JHJVBQTASA-N -1 1 309.386 1.660 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C(F)(F)F)c1ccccc1Cl ZINC001256352592 897397637 /nfs/dbraw/zinc/39/76/37/897397637.db2.gz CAIFTFHPJMSKAV-QMMMGPOBSA-N -1 1 303.689 1.542 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CC=CC1 ZINC001278950830 897505737 /nfs/dbraw/zinc/50/57/37/897505737.db2.gz IXRUPEDVBLNMRO-NSHDSACASA-N -1 1 307.398 1.097 20 0 DDADMM C[C@H]1CC[C@H](C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)CC1 ZINC001389686800 897789427 /nfs/dbraw/zinc/78/94/27/897789427.db2.gz HYEBRLBZXXYOIR-DRZSPHRISA-N -1 1 321.425 1.321 20 0 DDADMM CN1CC([N-]S(=O)(=O)c2cc(Cl)c(Cl)s2)C1 ZINC001257509032 897868810 /nfs/dbraw/zinc/86/88/10/897868810.db2.gz ZEWCMBOVAIKZAX-UHFFFAOYSA-N -1 1 301.220 1.647 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001367896674 898141758 /nfs/dbraw/zinc/14/17/58/898141758.db2.gz CQMROJPZTLZAKQ-UPJWGTAASA-N -1 1 321.425 1.177 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1cc(C(=O)OC)c(C)cc1C ZINC001259025996 898424248 /nfs/dbraw/zinc/42/42/48/898424248.db2.gz GPHHLGUYCBXTJB-UHFFFAOYSA-N -1 1 315.347 1.005 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)CC(=O)OC)cc1Cl ZINC001259026394 898424390 /nfs/dbraw/zinc/42/43/90/898424390.db2.gz RVMBKPLTXQWMEG-UHFFFAOYSA-N -1 1 307.755 1.653 20 0 DDADMM O=S(=O)([N-]CCc1nncs1)c1ccc(F)c(F)c1F ZINC001259083950 898458012 /nfs/dbraw/zinc/45/80/12/898458012.db2.gz UZYYYFIKYKCKHL-UHFFFAOYSA-N -1 1 323.321 1.476 20 0 DDADMM O=c1[nH]c2ccc(S(=O)(=O)[N-]c3ccc(CO)cc3)cc2o1 ZINC001259383629 898644427 /nfs/dbraw/zinc/64/44/27/898644427.db2.gz KZWOYDVEONCGRI-UHFFFAOYSA-N -1 1 320.326 1.827 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NS(=O)(=O)C1CCCCC1 ZINC001259809435 898819129 /nfs/dbraw/zinc/81/91/29/898819129.db2.gz MDHCRQXVOFLQDX-UHFFFAOYSA-N -1 1 302.312 1.360 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc3c(c2)OCO3)cc(C)n1 ZINC001259844205 898861879 /nfs/dbraw/zinc/86/18/79/898861879.db2.gz DWPQYDNPNFXOHT-UHFFFAOYSA-N -1 1 322.342 1.928 20 0 DDADMM CCOC(=O)c1ncc([N-]S(=O)(=O)CCOC)cc1Cl ZINC001259966310 898990724 /nfs/dbraw/zinc/99/07/24/898990724.db2.gz WAFWOGFSKUAQOD-UHFFFAOYSA-N -1 1 322.770 1.300 20 0 DDADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260286817 899109290 /nfs/dbraw/zinc/10/92/90/899109290.db2.gz HTOUCXKRSZMEAG-QMMMGPOBSA-N -1 1 311.693 1.212 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N[C@@H](CC)CNC(=O)c1ncccc1[O-] ZINC001390376073 899286217 /nfs/dbraw/zinc/28/62/17/899286217.db2.gz FBFSUPFYNYEDBE-FRRDWIJNSA-N -1 1 319.405 1.848 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N[C@H](CC)CNC(=O)c1ncccc1[O-] ZINC001390376099 899287540 /nfs/dbraw/zinc/28/75/40/899287540.db2.gz FBFSUPFYNYEDBE-JHJVBQTASA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](CNC(=O)CC1(C)CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001390433095 899394725 /nfs/dbraw/zinc/39/47/25/899394725.db2.gz OXEGMWCBYXIFON-NSHDSACASA-N -1 1 309.414 1.465 20 0 DDADMM COc1ncc(C=CC(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC001262806806 900384719 /nfs/dbraw/zinc/38/47/19/900384719.db2.gz PEYFISCACCNNGT-NSCUHMNNSA-N -1 1 314.227 1.274 20 0 DDADMM CCn1c(-c2c[n-][nH]c2=O)nnc1N(C)CCc1nccs1 ZINC001263033651 900473071 /nfs/dbraw/zinc/47/30/71/900473071.db2.gz SKQLHBQLEGBVSP-UHFFFAOYSA-N -1 1 319.394 1.529 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001263886687 900799444 /nfs/dbraw/zinc/79/94/44/900799444.db2.gz SHYGYDVKEQQQAD-OANVXVOSSA-N -1 1 301.325 1.758 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCC1(C)CC1 ZINC001369397648 901185170 /nfs/dbraw/zinc/18/51/70/901185170.db2.gz HHPTXDFPWCFEMP-MNOVXSKESA-N -1 1 307.398 1.170 20 0 DDADMM CN(C/C=C/Cl)CCCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001265057906 901500622 /nfs/dbraw/zinc/50/06/22/901500622.db2.gz AGIFTTTVWXMFGI-QHHAFSJGSA-N -1 1 324.768 1.733 20 0 DDADMM O=C(NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CC=CCC1 ZINC001265152462 901636710 /nfs/dbraw/zinc/63/67/10/901636710.db2.gz YZSQHSAYOOEXHS-GFCCVEGCSA-N -1 1 319.409 1.337 20 0 DDADMM C[C@@H](CNC(=O)[C@H]1CC12CC2)N(C)C(=O)c1ncccc1[O-] ZINC001369955188 902275328 /nfs/dbraw/zinc/27/53/28/902275328.db2.gz AHJRFRAKMSXMRZ-WDEREUQCSA-N -1 1 303.362 1.164 20 0 DDADMM CC[C@@H](CNC(=O)c1ccc(C)cc1)NCc1n[nH]c(=O)[n-]1 ZINC001391742216 902503727 /nfs/dbraw/zinc/50/37/27/902503727.db2.gz OEJKWZMMYZUZOG-LBPRGKRZSA-N -1 1 303.366 1.117 20 0 DDADMM CCN(CCCNC(=O)[C@H]1C[C@H]1C(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001266098035 902935404 /nfs/dbraw/zinc/93/54/04/902935404.db2.gz LKRYQUJITLILBZ-RYUDHWBXSA-N -1 1 309.414 1.131 20 0 DDADMM O=C(N[C@@H]1CC[C@H](CNC(=O)C(F)F)C1)c1ncccc1[O-] ZINC001370960009 904056445 /nfs/dbraw/zinc/05/64/45/904056445.db2.gz QIEXEVXLAWSPFN-DTWKUNHWSA-N -1 1 313.304 1.067 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CCSC ZINC001375061526 914829922 /nfs/dbraw/zinc/82/99/22/914829922.db2.gz VPAFEAWRQROAMT-JTQLQIEISA-N -1 1 311.407 1.165 20 0 DDADMM CCC(C)(CC)C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001392852109 905463301 /nfs/dbraw/zinc/46/33/01/905463301.db2.gz RFZWWUDXTSVVRM-NSHDSACASA-N -1 1 307.394 1.848 20 0 DDADMM C/C=C(/C)C(=O)NCCCN(C(=O)c1ncccc1[O-])C1CC1 ZINC001283783891 907956697 /nfs/dbraw/zinc/95/66/97/907956697.db2.gz XCUZIKTZYMIOGB-BASWHVEKSA-N -1 1 317.389 1.864 20 0 DDADMM CSC[C@H](C)C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001372837913 908394941 /nfs/dbraw/zinc/39/49/41/908394941.db2.gz VDBHHGLRALTKHJ-VHSXEESVSA-N -1 1 311.407 1.021 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C[C@@H]1C=CCC1 ZINC001285653558 910978977 /nfs/dbraw/zinc/97/89/77/910978977.db2.gz AQBRKRBUWQVOEA-QWHCGFSZSA-N -1 1 317.389 1.720 20 0 DDADMM CC(C)(C)C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001397089547 915143855 /nfs/dbraw/zinc/14/38/55/915143855.db2.gz UDQUKXFPBXDFEE-NSHDSACASA-N -1 1 305.378 1.458 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCOC[C@H]2c2ncon2)c1 ZINC001295078599 915550039 /nfs/dbraw/zinc/55/00/39/915550039.db2.gz QPBSMUDVGAIKBB-LBPRGKRZSA-N -1 1 317.301 1.110 20 0 DDADMM O=C(CCCF)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001397647371 916598548 /nfs/dbraw/zinc/59/85/48/916598548.db2.gz LZVWUHQFBIOAGL-NSHDSACASA-N -1 1 309.341 1.115 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001376257943 918086615 /nfs/dbraw/zinc/08/66/15/918086615.db2.gz GTTWVHKAUQQTAE-NEPJUHHUSA-N -1 1 305.378 1.364 20 0 DDADMM CCC(C)(CC)C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001377414566 921814559 /nfs/dbraw/zinc/81/45/59/921814559.db2.gz IDGPLVGZZYSPPZ-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM CC[C@@H]1C[C@@H](CC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)CCO1 ZINC000622871130 365551652 /nfs/dbraw/zinc/55/16/52/365551652.db2.gz RIVGWDLEYKEGGD-WDEREUQCSA-N -1 1 319.365 1.260 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CC[C@@H]3CCCCO3)nc2n1 ZINC000622871146 365551672 /nfs/dbraw/zinc/55/16/72/365551672.db2.gz RTSKDVWJRWIOEJ-JTQLQIEISA-N -1 1 305.338 1.014 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H](C)[C@H]3CCCO3)nc2n1 ZINC000622992339 365584819 /nfs/dbraw/zinc/58/48/19/365584819.db2.gz HQUNDBDGESVZQQ-MWLCHTKSSA-N -1 1 319.365 1.124 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)C(F)(F)F)nc2n1 ZINC000622994359 365587672 /nfs/dbraw/zinc/58/76/72/365587672.db2.gz LVPKWXGCPNYGND-YFKPBYRVSA-N -1 1 303.244 1.117 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3cc(C)c(C)o3)nc2n1 ZINC000622996961 365589446 /nfs/dbraw/zinc/58/94/46/365589446.db2.gz HEXLTISFPVXOOE-UHFFFAOYSA-N -1 1 315.333 1.254 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cnc2n1CCCCC2 ZINC000623019151 365600983 /nfs/dbraw/zinc/60/09/83/365600983.db2.gz IXJRAKWMCMCBAV-UHFFFAOYSA-N -1 1 315.381 1.322 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])cc2F)CCS(=O)(=O)C1 ZINC000278522486 214209106 /nfs/dbraw/zinc/20/91/06/214209106.db2.gz MWMZFQCIMHFCAV-VIFPVBQESA-N -1 1 301.339 1.038 20 0 DDADMM Cc1cc(CN2CCN(C(=O)c3cc(F)ccc3[O-])CC2)on1 ZINC000081931740 192341738 /nfs/dbraw/zinc/34/17/38/192341738.db2.gz AKIDCRNJXJMCHA-UHFFFAOYSA-N -1 1 319.336 1.786 20 0 DDADMM CCCn1nccc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614390085 361834402 /nfs/dbraw/zinc/83/44/02/361834402.db2.gz QXYFVNAAEVSWPG-SNVBAGLBSA-N -1 1 305.338 1.402 20 0 DDADMM C[C@@H](CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)[C@H]1CCCO1 ZINC000614475604 361875868 /nfs/dbraw/zinc/87/58/68/361875868.db2.gz OVBPVYWPYUSZIR-CMPLNLGQSA-N -1 1 309.366 1.686 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cc(F)ccc1F)[C@@H]1CCCO1 ZINC000278655763 214305172 /nfs/dbraw/zinc/30/51/72/214305172.db2.gz GVDMNCFGCKNPHP-RYUDHWBXSA-N -1 1 321.345 1.437 20 0 DDADMM O=C(c1ncccc1[O-])N(C[C@H]1CCCO1)[C@@H]1CCSC1 ZINC000126481825 539181794 /nfs/dbraw/zinc/18/17/94/539181794.db2.gz DYSQYVDGTPEIBZ-VXGBXAGGSA-N -1 1 308.403 1.914 20 0 DDADMM CC[C@@H](C[C@H](C)CO)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000443317644 529538031 /nfs/dbraw/zinc/53/80/31/529538031.db2.gz HKJNZZUTJISNOE-UWVGGRQHSA-N -1 1 319.379 1.142 20 0 DDADMM CN1CC[C@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)CC1=O ZINC000192859993 539260665 /nfs/dbraw/zinc/26/06/65/539260665.db2.gz OHAAXJSGVKHLKX-QMMMGPOBSA-N -1 1 320.773 1.378 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(Br)o1)[C@H](C)O ZINC000451897108 529644943 /nfs/dbraw/zinc/64/49/43/529644943.db2.gz TUSYIWNNDNRQSU-BQBZGAKWSA-N -1 1 312.185 1.480 20 0 DDADMM O=C(NCCn1ccnn1)c1ccc(Br)c([O-])c1 ZINC000227994604 539266442 /nfs/dbraw/zinc/26/64/42/539266442.db2.gz WKRRLNKQQPYZOU-UHFFFAOYSA-N -1 1 311.139 1.176 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1cnc2ccccc2n1)c1nn[n-]n1 ZINC000254988604 282223280 /nfs/dbraw/zinc/22/32/80/282223280.db2.gz BMPRNJNIKAHUAO-QIAWRQBBSA-N -1 1 323.360 1.814 20 0 DDADMM C[C@H]1Cc2cn[nH]c2[C@H](C(=O)N=c2cc(C(F)(F)F)[n-][nH]2)C1 ZINC000623202433 365715948 /nfs/dbraw/zinc/71/59/48/365715948.db2.gz QZEYPELCBGQEMP-POYBYMJQSA-N -1 1 313.283 1.878 20 0 DDADMM CC(C)(CO)C(=O)Nc1nc(-c2ccc3ccccc3n2)n[nH]1 ZINC000615119729 362161257 /nfs/dbraw/zinc/16/12/57/362161257.db2.gz NUFNBVGIBWZIFW-UHFFFAOYSA-N -1 1 311.345 1.977 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1c(C)nn(C)c1Cl)c1nn[n-]n1 ZINC000255376320 282252706 /nfs/dbraw/zinc/25/27/06/282252706.db2.gz NZTNXTQRSLQLEL-JYESYGNLSA-N -1 1 323.788 1.566 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc2c(c1)C(C)(C)C(=O)N2C ZINC000615226822 362204492 /nfs/dbraw/zinc/20/44/92/362204492.db2.gz CQZAXQKHECRHMC-UHFFFAOYSA-N -1 1 314.345 1.930 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)NCC(CC)(CC)C(=O)[O-])n[nH]1 ZINC000424401797 529744719 /nfs/dbraw/zinc/74/47/19/529744719.db2.gz BLMQQQJEMHADLN-SECBINFHSA-N -1 1 311.386 1.618 20 0 DDADMM CCc1ncsc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000331798560 529762701 /nfs/dbraw/zinc/76/27/01/529762701.db2.gz JCNLTTJTQYLARB-QMMMGPOBSA-N -1 1 308.363 1.814 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1[C@H]2CCc3ccccc3[C@@H]12 ZINC000290658398 282351794 /nfs/dbraw/zinc/35/17/94/282351794.db2.gz FLLIHPBWIIRDFA-YNEHKIRRSA-N -1 1 319.386 1.572 20 0 DDADMM Cc1ncc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)s1 ZINC000358316652 299119316 /nfs/dbraw/zinc/11/93/16/299119316.db2.gz SNHDKYQTNJQFJB-UHFFFAOYSA-N -1 1 303.347 1.369 20 0 DDADMM C[C@@H](NC(=O)c1ncc2ccccc2c1[O-])[C@H](O)C(F)(F)F ZINC000616248637 362601083 /nfs/dbraw/zinc/60/10/83/362601083.db2.gz UHYPHMNMFKAXFA-KRTXAFLBSA-N -1 1 314.263 1.982 20 0 DDADMM O=C(N[C@@H]1CCc2nnnn2CC1)c1ncc2ccccc2c1[O-] ZINC000616390817 362672851 /nfs/dbraw/zinc/67/28/51/362672851.db2.gz JBWGMLSGLZJZGN-LLVKDONJSA-N -1 1 324.344 1.062 20 0 DDADMM O=C(N[C@H]1Cc2ccccc2[C@@H]1O)c1nc2ccccc2c(=O)[n-]1 ZINC000170993345 303992828 /nfs/dbraw/zinc/99/28/28/303992828.db2.gz AMHINQJYRZIKSG-GJZGRUSLSA-N -1 1 321.336 1.311 20 0 DDADMM CC(C)(C)OC(=O)NCCCCNC(=O)c1cncc([O-])c1 ZINC000272663702 210070194 /nfs/dbraw/zinc/07/01/94/210070194.db2.gz IOUGFUFUQIEPIL-UHFFFAOYSA-N -1 1 309.366 1.822 20 0 DDADMM C[C@@H](CN(C)C(=O)c1c[nH]nc1-c1ccoc1)c1nn[n-]n1 ZINC000279686318 215061082 /nfs/dbraw/zinc/06/10/82/215061082.db2.gz HVLAOOSDXCWHTQ-QMMMGPOBSA-N -1 1 301.310 1.059 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)C[C@H](C)NC(N)=O)[nH][n-]2)s1 ZINC000616811536 362829556 /nfs/dbraw/zinc/82/95/56/362829556.db2.gz SDIMKCKUPWWBNR-ZETCQYMHSA-N -1 1 307.379 1.254 20 0 DDADMM COc1cc(C(=O)N2C[C@@H](OC)[C@@H](OC)C2)cc(Cl)c1[O-] ZINC000331227799 232834740 /nfs/dbraw/zinc/83/47/40/232834740.db2.gz DVDIWAXVZOKGGR-TXEJJXNPSA-N -1 1 315.753 1.540 20 0 DDADMM O=C(N[C@@H](C1CCOCC1)C1(CO)CCC1)c1ncccc1[O-] ZINC000616910195 362864241 /nfs/dbraw/zinc/86/42/41/362864241.db2.gz GDJYKJDENBIMHQ-HNNXBMFYSA-N -1 1 320.389 1.475 20 0 DDADMM COC(=O)C[C@@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CCO1 ZINC000148542027 186045186 /nfs/dbraw/zinc/04/51/86/186045186.db2.gz UOVJCPOIORSQAS-SNVBAGLBSA-N -1 1 313.737 1.450 20 0 DDADMM COC(=O)c1ccc(C(F)(F)F)nc1SCc1nn[n-]n1 ZINC000358499765 299174209 /nfs/dbraw/zinc/17/42/09/299174209.db2.gz RHYCSFIXWJGDCU-UHFFFAOYSA-N -1 1 319.268 1.692 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc(-n2cnnn2)cc1 ZINC000045571905 352415522 /nfs/dbraw/zinc/41/55/22/352415522.db2.gz SMDKUUPKEAWKIZ-UHFFFAOYSA-N -1 1 324.226 1.052 20 0 DDADMM CCc1ccc(CCC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1 ZINC000060242216 352866320 /nfs/dbraw/zinc/86/63/20/352866320.db2.gz ZBDRVUHHZXYREN-UHFFFAOYSA-N -1 1 322.390 1.342 20 0 DDADMM CCc1ccc(CCC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cc1 ZINC000060242216 352866326 /nfs/dbraw/zinc/86/63/26/352866326.db2.gz ZBDRVUHHZXYREN-UHFFFAOYSA-N -1 1 322.390 1.342 20 0 DDADMM Cc1nsc(C)c1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000331872439 234220949 /nfs/dbraw/zinc/22/09/49/234220949.db2.gz TZYOLDDGDVCZCO-VIFPVBQESA-N -1 1 308.363 1.868 20 0 DDADMM Cc1c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)nnn1C(C)C ZINC000331882825 234233127 /nfs/dbraw/zinc/23/31/27/234233127.db2.gz GIVPATITTAGHHJ-UHFFFAOYSA-N -1 1 320.353 1.276 20 0 DDADMM Cn1c(CCNC(=O)COCc2ccccc2)n[n-]c1=S ZINC000067051630 353032391 /nfs/dbraw/zinc/03/23/91/353032391.db2.gz GBTXPKAHPIKKCG-UHFFFAOYSA-N -1 1 306.391 1.353 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1cnn(C[C@@H]2CCCO2)c1 ZINC000080782931 353628223 /nfs/dbraw/zinc/62/82/23/353628223.db2.gz YJOOJICUKPZHRW-ZDUSSCGKSA-N -1 1 317.411 1.229 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(C2CC2)C2CC2)o1 ZINC000081242838 353658013 /nfs/dbraw/zinc/65/80/13/353658013.db2.gz NGRSXXZVSOSLSY-UHFFFAOYSA-N -1 1 312.391 1.354 20 0 DDADMM CC(C)(CN=c1ccc(C(=O)NCCO)n[n-]1)C1=CCCCC1 ZINC000355787262 291007296 /nfs/dbraw/zinc/00/72/96/291007296.db2.gz VASPNWUFLRLFNX-UHFFFAOYSA-N -1 1 318.421 1.559 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H](CO)C(C)C)c(=O)[n-]1 ZINC000355797813 291010765 /nfs/dbraw/zinc/01/07/65/291010765.db2.gz YXXPPLFUKWGMRX-NSHDSACASA-N -1 1 313.423 1.278 20 0 DDADMM CC(C)n1nccc1[C@@H]1OCC[C@H]1NC(=O)c1cncc([O-])c1 ZINC000346191767 283017070 /nfs/dbraw/zinc/01/70/70/283017070.db2.gz PCUDQNNTDZQBJP-UKRRQHHQSA-N -1 1 316.361 1.825 20 0 DDADMM O=S(=O)([N-][C@@H]1CCN(C2CC2)C1)c1nc2ccccc2s1 ZINC000584836201 354779310 /nfs/dbraw/zinc/77/93/10/354779310.db2.gz ICRANFTXCZYYFY-SNVBAGLBSA-N -1 1 323.443 1.811 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CNC(=O)CCC(C)C)n1 ZINC000585682794 354827235 /nfs/dbraw/zinc/82/72/35/354827235.db2.gz QRXFCULLKSIHNK-UHFFFAOYSA-N -1 1 310.354 1.077 20 0 DDADMM CCOC(=O)c1csc(=NC2CCN(CC(F)F)CC2)[n-]1 ZINC000590687346 355147873 /nfs/dbraw/zinc/14/78/73/355147873.db2.gz VKBXKTCQUGUPCM-UHFFFAOYSA-N -1 1 319.377 1.883 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cc(C)[nH]c1C(=O)OC)OC ZINC000591490494 355321487 /nfs/dbraw/zinc/32/14/87/355321487.db2.gz YYVBMIDRUCQSGL-VIFPVBQESA-N -1 1 304.368 1.276 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc3c(c2)COC3)n1 ZINC000591302375 355284630 /nfs/dbraw/zinc/28/46/30/355284630.db2.gz PFDKZSZWWBEGJD-UHFFFAOYSA-N -1 1 315.329 1.798 20 0 DDADMM CCC(CC)(C(=O)N=c1ccc(O)n[n-]1)C(=O)OC(C)(C)C ZINC000593138445 355810041 /nfs/dbraw/zinc/81/00/41/355810041.db2.gz UDMRTWXCLAGIMV-UHFFFAOYSA-N -1 1 309.366 1.691 20 0 DDADMM COC(=O)[C@H](CCF)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000593800426 356001392 /nfs/dbraw/zinc/00/13/92/356001392.db2.gz OFYUNDDVITXBMS-VIFPVBQESA-N -1 1 319.716 1.685 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)Cc2ccccn2)o1 ZINC000594479664 356231797 /nfs/dbraw/zinc/23/17/97/356231797.db2.gz UZJPFZHGSPRXDW-SNVBAGLBSA-N -1 1 324.358 1.371 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCC2CC(OC)C2)o1 ZINC000594790174 356317689 /nfs/dbraw/zinc/31/76/89/356317689.db2.gz SUDABXOJRCAEGK-UHFFFAOYSA-N -1 1 317.363 1.160 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CS[C@H](C)C2)cc1C ZINC000595338006 356453977 /nfs/dbraw/zinc/45/39/77/356453977.db2.gz OFROOLADFPXFHX-BDAKNGLRSA-N -1 1 319.404 1.547 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CSC[C@H]2C)cc1C ZINC000595337056 356454068 /nfs/dbraw/zinc/45/40/68/356454068.db2.gz JDUKXERDOQDFRF-BDAKNGLRSA-N -1 1 319.404 1.404 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@]2(C)CCCOC2)cc1C ZINC000595320114 356448515 /nfs/dbraw/zinc/44/85/15/356448515.db2.gz CIKKSBNKCXCALW-CYBMUJFWSA-N -1 1 317.363 1.222 20 0 DDADMM CCc1nc(SCC(=O)Nc2ccncc2)[n-]c(=O)c1C ZINC000565241275 304057129 /nfs/dbraw/zinc/05/71/29/304057129.db2.gz BYLMNKOKFNHHAW-UHFFFAOYSA-N -1 1 304.375 1.601 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@H]2CCCc3cn[nH]c32)C[C@@H]1C(=O)[O-] ZINC000579349113 422752782 /nfs/dbraw/zinc/75/27/82/422752782.db2.gz ARGQBNMSMGFKND-USWWRNFRSA-N -1 1 306.366 1.539 20 0 DDADMM CC(C)(C)OC(=O)[C@@H](CN=c1ccc(C(N)=O)n[n-]1)C1CCC1 ZINC000596582326 356923522 /nfs/dbraw/zinc/92/35/22/356923522.db2.gz HNNIECUTCOGTEA-NSHDSACASA-N -1 1 320.393 1.167 20 0 DDADMM C[S@](=O)[C@H]1CCC[C@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000598752353 357716457 /nfs/dbraw/zinc/71/64/57/357716457.db2.gz JPHCBGJFKFOWCA-KDKPCJNHSA-N -1 1 309.387 1.381 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H]2CCC[C@@H](C)C2)co1 ZINC000179276795 199009155 /nfs/dbraw/zinc/00/91/55/199009155.db2.gz GVBQMHUXSFXGMK-PWSUYJOCSA-N -1 1 314.407 1.838 20 0 DDADMM COC[C@@H](C)[N-]S(=O)(=O)c1cc(F)cc(C(=O)OC)c1F ZINC000599205557 357850301 /nfs/dbraw/zinc/85/03/01/357850301.db2.gz HGNDMNSMZRXTHN-SSDOTTSWSA-N -1 1 323.317 1.065 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C)c(C(=O)OC)o1)c1nn[n-]n1 ZINC000599656947 358011095 /nfs/dbraw/zinc/01/10/95/358011095.db2.gz ZAHRKRJWZNDGBO-MRVPVSSYSA-N -1 1 307.310 1.159 20 0 DDADMM Cc1cnc(C(=O)N2CCN(c3cccc(O)c3)CC2)c([O-])c1 ZINC000358898392 299273635 /nfs/dbraw/zinc/27/36/35/299273635.db2.gz FLTKHDAZVDOAGN-UHFFFAOYSA-N -1 1 313.357 1.764 20 0 DDADMM COc1ccc(-c2cc(C(=O)N(C)C[C@H](C)C(=O)[O-])n[nH]2)cc1 ZINC000237280206 202214516 /nfs/dbraw/zinc/21/45/16/202214516.db2.gz YINQXHNQIPTCRH-JTQLQIEISA-N -1 1 317.345 1.878 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc2cc(Br)ccc2o1 ZINC000600503145 358242616 /nfs/dbraw/zinc/24/26/16/358242616.db2.gz ATUTWWNUBYZDIH-UHFFFAOYSA-N -1 1 322.122 1.638 20 0 DDADMM CO[C@@H]1C[C@H](C(=O)[O-])N(C[C@@H](O)COc2ccc(C)cc2C)C1 ZINC000565543793 304075471 /nfs/dbraw/zinc/07/54/71/304075471.db2.gz JBRMJWVCHSOEDZ-RBSFLKMASA-N -1 1 323.389 1.217 20 0 DDADMM C[C@@H]1[C@@H](C)[S@](=O)CCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601205149 358446419 /nfs/dbraw/zinc/44/64/19/358446419.db2.gz XZOFWDLTAPKWLL-ODXZIHIVSA-N -1 1 315.822 1.956 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)CCCSC)c1 ZINC000601409763 358540267 /nfs/dbraw/zinc/54/02/67/358540267.db2.gz WBGOVLJHDDVPKP-UHFFFAOYSA-N -1 1 305.421 1.774 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](C)C(=O)OC(C)(C)C)sn1 ZINC000601459916 358562818 /nfs/dbraw/zinc/56/28/18/358562818.db2.gz LBVYAHMWALVUIJ-QMMMGPOBSA-N -1 1 320.436 1.708 20 0 DDADMM COC(=O)[C@@]1(C)C[C@H](OC)CN1C(=O)c1cc(F)ccc1[O-] ZINC000601734581 358670250 /nfs/dbraw/zinc/67/02/50/358670250.db2.gz DLZNFENQLRWZDA-ZUZCIYMTSA-N -1 1 311.309 1.324 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CNCc1ccc(C[S@](C)=O)cc1 ZINC000602067434 358799326 /nfs/dbraw/zinc/79/93/26/358799326.db2.gz RPJOEGCWRGMSET-QFIPXVFZSA-N -1 1 321.402 1.287 20 0 DDADMM CC(C)[C@@]1(C)C[C@@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000186645949 199985369 /nfs/dbraw/zinc/98/53/69/199985369.db2.gz GDOIEMZXRQCBOO-QMTHXVAHSA-N -1 1 312.391 1.660 20 0 DDADMM COC(=O)c1ccc(OC)cc1S(=O)(=O)[N-][C@@H](C)C(F)F ZINC000603424909 359633386 /nfs/dbraw/zinc/63/33/86/359633386.db2.gz RFKQNZKFRRALOQ-ZETCQYMHSA-N -1 1 323.317 1.414 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)NCc1cc(C)[nH]n1 ZINC000603487352 359646003 /nfs/dbraw/zinc/64/60/03/359646003.db2.gz HQSCIJURLBKJOG-UHFFFAOYSA-N -1 1 322.390 1.410 20 0 DDADMM CSCCNC(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC000604043968 359713901 /nfs/dbraw/zinc/71/39/01/359713901.db2.gz KPHVTTYXCZQCLW-UHFFFAOYSA-N -1 1 318.402 1.162 20 0 DDADMM O=C(CNC(=O)Cc1ccccc1)Nc1c([O-])cccc1F ZINC000605439517 359858040 /nfs/dbraw/zinc/85/80/40/359858040.db2.gz IMNXBKZDFPTCRH-UHFFFAOYSA-N -1 1 302.305 1.829 20 0 DDADMM Cc1nnc2n1C[C@@H](CNC(=O)c1c(F)ccc([O-])c1F)CC2 ZINC000348461827 283519396 /nfs/dbraw/zinc/51/93/96/283519396.db2.gz RCCPXAGMEFAIAD-SECBINFHSA-N -1 1 322.315 1.563 20 0 DDADMM Cn1ncc2c1C[C@@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)CC2 ZINC000281209452 216155109 /nfs/dbraw/zinc/15/51/09/216155109.db2.gz KUOCQSRIOYHDNN-LURJTMIESA-N -1 1 314.271 1.301 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cc(F)ccc1[O-])c1ccccn1 ZINC000611281793 360688681 /nfs/dbraw/zinc/68/86/81/360688681.db2.gz GGLISUKSJSAVLY-ZDUSSCGKSA-N -1 1 304.277 1.570 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@@H]3CCC[C@@H]4C[C@@H]43)CC2)s[n-]1 ZINC000612119635 360925083 /nfs/dbraw/zinc/92/50/83/360925083.db2.gz QZPULSHYITWXRU-MXWKQRLJSA-N -1 1 307.423 1.035 20 0 DDADMM CCc1nnc([N-]C(=O)c2cc(Cn3cccn3)on2)s1 ZINC000292612946 223170697 /nfs/dbraw/zinc/17/06/97/223170697.db2.gz WXMUYMJCJOFNCA-UHFFFAOYSA-N -1 1 304.335 1.586 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1C ZINC000612814410 361154603 /nfs/dbraw/zinc/15/46/03/361154603.db2.gz CPEGHQFDQVSIRA-NSHDSACASA-N -1 1 301.350 1.537 20 0 DDADMM O=C(CCCF)N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000613554145 361465738 /nfs/dbraw/zinc/46/57/38/361465738.db2.gz DUHVXCXWUBNVSH-LLVKDONJSA-N -1 1 315.711 1.948 20 0 DDADMM CCC[C@@H](CC)S(=O)(=O)[N-]c1c(C(N)=O)[nH]nc1C(C)C ZINC000565954003 304107335 /nfs/dbraw/zinc/10/73/35/304107335.db2.gz KIQRVEBECJWVMK-SECBINFHSA-N -1 1 316.427 1.952 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1c([O-])cccc1F)c1ccccn1 ZINC000613978054 361648070 /nfs/dbraw/zinc/64/80/70/361648070.db2.gz JYBCKHSPUCRDLN-ZDUSSCGKSA-N -1 1 304.277 1.570 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2COc3ccccc32)n1 ZINC000619865044 364165905 /nfs/dbraw/zinc/16/59/05/364165905.db2.gz JFOLDTDUVQPCIL-SNVBAGLBSA-N -1 1 301.302 1.701 20 0 DDADMM O=C(NCCN1C[C@@H]2CCCCN2C1=O)c1c([O-])cccc1F ZINC000620104015 364285929 /nfs/dbraw/zinc/28/59/29/364285929.db2.gz WBWDGBZSALWSAE-NSHDSACASA-N -1 1 321.352 1.551 20 0 DDADMM O=c1c(C(F)(F)F)cc(-c2ccccc2)nn1Cc1nn[n-]n1 ZINC000621111012 364686349 /nfs/dbraw/zinc/68/63/49/364686349.db2.gz IHCZCVJWFOVZBY-UHFFFAOYSA-N -1 1 322.250 1.491 20 0 DDADMM CCCC[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C(C)C ZINC000621791568 365032016 /nfs/dbraw/zinc/03/20/16/365032016.db2.gz AVLUFFJWAWICAJ-SECBINFHSA-N -1 1 302.400 1.609 20 0 DDADMM CCCC[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C(C)C ZINC000621791568 365032020 /nfs/dbraw/zinc/03/20/20/365032020.db2.gz AVLUFFJWAWICAJ-SECBINFHSA-N -1 1 302.400 1.609 20 0 DDADMM C[C@@H]1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@@H]1CO ZINC000622034363 365199589 /nfs/dbraw/zinc/19/95/89/365199589.db2.gz FDZYGJPWTHHGJR-GHMZBOCLSA-N -1 1 301.346 1.014 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)C3(CO)CC3)cnc2n1 ZINC000622146112 365291315 /nfs/dbraw/zinc/29/13/15/365291315.db2.gz IXYUYZMORVWWFZ-SNVBAGLBSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H](C)C3(CO)CC3)c[n-]c2n1 ZINC000622146112 365291318 /nfs/dbraw/zinc/29/13/18/365291318.db2.gz IXYUYZMORVWWFZ-SNVBAGLBSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1C[C@H]1c1ccc(F)cc1 ZINC000349666725 284007747 /nfs/dbraw/zinc/00/77/47/284007747.db2.gz OCRQUWUAFAOWEW-QWRGUYRKSA-N -1 1 311.338 1.369 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@H]1c1ccc(F)cc1 ZINC000349666725 284007752 /nfs/dbraw/zinc/00/77/52/284007752.db2.gz OCRQUWUAFAOWEW-QWRGUYRKSA-N -1 1 311.338 1.369 20 0 DDADMM Cc1cc(C)cc(OCC(=O)N2CCN([C@H](C)C(=O)[O-])CC2)c1 ZINC000261668758 203089889 /nfs/dbraw/zinc/08/98/89/203089889.db2.gz GWNQJOKKKYPHBS-CQSZACIVSA-N -1 1 320.389 1.300 20 0 DDADMM CO[C@@H]1CC[C@@H]2OCCN(C(=O)c3cc(F)ccc3[O-])[C@@H]2C1 ZINC000093246232 193220853 /nfs/dbraw/zinc/22/08/53/193220853.db2.gz UKJBJAXMDQSUNB-KYOSRNDESA-N -1 1 309.337 1.940 20 0 DDADMM COc1ccc(CNC(=O)c2cncc([O-])c2)c(OC)c1OC ZINC000264849990 204206835 /nfs/dbraw/zinc/20/68/35/204206835.db2.gz MUEJFZCGLAILFH-UHFFFAOYSA-N -1 1 318.329 1.743 20 0 DDADMM O=C([O-])[C@@]12CCC[C@H]1CN(C(=O)N[C@@H]1CCCc3cn[nH]c31)C2 ZINC000263216728 304166375 /nfs/dbraw/zinc/16/63/75/304166375.db2.gz JPZKHZPKKQQKEX-HWWQOWPSSA-N -1 1 318.377 1.683 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-][C@@H](C1CC1)C(F)(F)F ZINC000288286086 220064172 /nfs/dbraw/zinc/06/41/72/220064172.db2.gz FLJXEEOUWFMJKK-JTQLQIEISA-N -1 1 311.329 1.656 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H](C)OC[C@@H]2CCCO2)c1 ZINC000282817296 217270775 /nfs/dbraw/zinc/27/07/75/217270775.db2.gz PFQHRCRUXQQYIQ-PWSUYJOCSA-N -1 1 323.345 1.701 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2cccc(OCC(=O)[O-])c2)CCN1C ZINC000633141058 422776649 /nfs/dbraw/zinc/77/66/49/422776649.db2.gz JDCWTRAWPUEVRT-OAHLLOKOSA-N -1 1 320.389 1.562 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H](CO)C1)c1cc(F)ccc1F ZINC000336843396 249249802 /nfs/dbraw/zinc/24/98/02/249249802.db2.gz WWGOSAOZDBDAGI-MWLCHTKSSA-N -1 1 305.346 1.794 20 0 DDADMM COc1cc(C(=O)Nc2ccncc2[O-])cc(OC)c1OC ZINC000336907388 249287032 /nfs/dbraw/zinc/28/70/32/249287032.db2.gz YVQZOSKRVPAEJP-UHFFFAOYSA-N -1 1 304.302 1.487 20 0 DDADMM CCOCC[N-]S(=O)(=O)c1sccc1Br ZINC000042351293 183257143 /nfs/dbraw/zinc/25/71/43/183257143.db2.gz DUTYCDTYXKGOOM-UHFFFAOYSA-N -1 1 314.226 1.825 20 0 DDADMM COCC[C@@H]1COCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633177596 422792029 /nfs/dbraw/zinc/79/20/29/422792029.db2.gz IQYWLOVVIOYZBJ-GFCCVEGCSA-N -1 1 313.781 1.852 20 0 DDADMM O=S(=O)([N-][C@H]1c2ccccc2C[C@@H]1O)c1sccc1F ZINC000338903000 250190906 /nfs/dbraw/zinc/19/09/06/250190906.db2.gz KIEDHFHVFKPABA-RYUDHWBXSA-N -1 1 313.375 1.824 20 0 DDADMM COC(=O)CSCC[N-]S(=O)(=O)c1sccc1F ZINC000338890579 250183037 /nfs/dbraw/zinc/18/30/37/250183037.db2.gz SFYXNROQNVQTED-UHFFFAOYSA-N -1 1 313.397 1.072 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)c2cccc(CN(C)C)c2)s[n-]1 ZINC000338926037 250201132 /nfs/dbraw/zinc/20/11/32/250201132.db2.gz PWNCLRQMDQRLHY-SNVBAGLBSA-N -1 1 320.418 1.981 20 0 DDADMM C[C@H]1CN(C2CC2)C[C@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338932146 250204202 /nfs/dbraw/zinc/20/42/02/250204202.db2.gz ZTZZLDXLPJBZPV-GZMMTYOYSA-N -1 1 304.412 1.648 20 0 DDADMM O=C([O-])C1(C(=O)NCCc2nc3ccc(F)cc3[nH]2)CCC1 ZINC000567390675 304217242 /nfs/dbraw/zinc/21/72/42/304217242.db2.gz FUCGFZNDHHVJJG-UHFFFAOYSA-N -1 1 305.309 1.616 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2cc(Cl)c(=O)n(C)c2)s1 ZINC000339033052 250258767 /nfs/dbraw/zinc/25/87/67/250258767.db2.gz NPDHOBMFVMLRKS-UHFFFAOYSA-N -1 1 319.795 1.604 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(OC)cc2)n1 ZINC000339177427 250329560 /nfs/dbraw/zinc/32/95/60/250329560.db2.gz AXUNZLCRAJSEMD-UHFFFAOYSA-N -1 1 303.318 1.776 20 0 DDADMM O=S(=O)([N-]CCC1(O)CCOCC1)c1cccc(F)c1F ZINC000285129024 218302034 /nfs/dbraw/zinc/30/20/34/218302034.db2.gz ADORJGLUZYPLJO-UHFFFAOYSA-N -1 1 321.345 1.175 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccc(=O)n(-c2ccccc2)n1 ZINC000109890209 194296032 /nfs/dbraw/zinc/29/60/32/194296032.db2.gz HOUJLIMJRKAOMV-UHFFFAOYSA-N -1 1 308.297 1.585 20 0 DDADMM C[C@H](CNc1ncncc1C(=O)[O-])N1CCc2sccc2C1 ZINC000579597334 422799422 /nfs/dbraw/zinc/79/94/22/422799422.db2.gz JJNNODCKTYJDFE-SNVBAGLBSA-N -1 1 318.402 1.517 20 0 DDADMM CCOC(=O)CC[C@@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000340603776 251134271 /nfs/dbraw/zinc/13/42/71/251134271.db2.gz JDIRLFFUXRDCDQ-MRVPVSSYSA-N -1 1 320.436 1.770 20 0 DDADMM CC(=O)c1ccc([O-])c(NS(=O)(=O)N2CCC(C)CC2)c1 ZINC000340943327 251293472 /nfs/dbraw/zinc/29/34/72/251293472.db2.gz AFJHTQNSJCRGST-UHFFFAOYSA-N -1 1 312.391 1.983 20 0 DDADMM CO[C@@]1(C[N-]S(=O)(=O)c2cc(Cl)ccc2F)CCOC1 ZINC000340922135 251278277 /nfs/dbraw/zinc/27/82/77/251278277.db2.gz XWJMFWPEQYBPQD-GFCCVEGCSA-N -1 1 323.773 1.563 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H](CO)c2ccccc2)c1Cl ZINC000063003564 184266043 /nfs/dbraw/zinc/26/60/43/184266043.db2.gz ZCIPFJKCGOGLGZ-JTQLQIEISA-N -1 1 315.782 1.085 20 0 DDADMM COCCC1(C[N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CC1 ZINC000269141063 207272481 /nfs/dbraw/zinc/27/24/81/207272481.db2.gz NIDMKWATIQOYBF-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM O=C(c1cncc2ccccc21)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000294437517 224029092 /nfs/dbraw/zinc/02/90/92/224029092.db2.gz XSEXIHPQMAYULP-GFCCVEGCSA-N -1 1 323.356 1.666 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCSCC(C)C)co1 ZINC000270086895 208144585 /nfs/dbraw/zinc/14/45/85/208144585.db2.gz GSINEAGAABBUAH-UHFFFAOYSA-N -1 1 320.436 1.307 20 0 DDADMM CC(C)(C)[C@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C[C@H]1O ZINC000288664834 220333199 /nfs/dbraw/zinc/33/31/99/220333199.db2.gz SRHOZXBXVSWHQR-UONOGXRCSA-N -1 1 305.374 1.830 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1c(F)cccc1CO ZINC000288654391 220326253 /nfs/dbraw/zinc/32/62/53/220326253.db2.gz YHTMBSQUDCZUPK-UHFFFAOYSA-N -1 1 319.354 1.259 20 0 DDADMM CCCC1(CNC(=O)c2coc(S(=O)(=O)[N-]C)c2)CC1 ZINC000156685236 197133220 /nfs/dbraw/zinc/13/32/20/197133220.db2.gz BHKUPZLPPOYGHG-UHFFFAOYSA-N -1 1 300.380 1.498 20 0 DDADMM COc1cccc([C@H](C)[N-]S(=O)(=O)c2c(C)onc2N)c1 ZINC000289531573 221045437 /nfs/dbraw/zinc/04/54/37/221045437.db2.gz QKWRTPZSEGPYEL-QMMMGPOBSA-N -1 1 311.363 1.613 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCCOc2ccccc21 ZINC000289591009 221088580 /nfs/dbraw/zinc/08/85/80/221088580.db2.gz VVONUQNRHNMHJG-NSHDSACASA-N -1 1 323.374 1.757 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@@H]1CCO[C@@H](C)C1 ZINC000289657990 221134302 /nfs/dbraw/zinc/13/43/02/221134302.db2.gz BKCFCLFXKUXKSN-WCBMZHEXSA-N -1 1 303.384 1.049 20 0 DDADMM O=C(N[C@H]1CCC[C@H](CO)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000414401753 224334184 /nfs/dbraw/zinc/33/41/84/224334184.db2.gz DUDAUIHYGGVHSX-QWRGUYRKSA-N -1 1 301.346 1.204 20 0 DDADMM Cc1nnc([C@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)s1 ZINC000295008031 224375414 /nfs/dbraw/zinc/37/54/14/224375414.db2.gz SFALFRQGSMJCFS-LURJTMIESA-N -1 1 320.403 1.669 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@@H]1c1ccccc1 ZINC000352850629 285335349 /nfs/dbraw/zinc/33/53/49/285335349.db2.gz YISYHANZBYRCAY-CYBMUJFWSA-N -1 1 309.329 1.395 20 0 DDADMM COc1cc(C(=O)N[C@H](CO)CC(F)F)cc(Cl)c1[O-] ZINC000430520343 533553266 /nfs/dbraw/zinc/55/32/66/533553266.db2.gz OJPZYTKXYREJPV-ZETCQYMHSA-N -1 1 309.696 1.800 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H](O)CC(C)(C)C ZINC000223488367 407569507 /nfs/dbraw/zinc/56/95/07/407569507.db2.gz VQVLWRQZAJXBAX-VIFPVBQESA-N -1 1 313.423 1.327 20 0 DDADMM O=C(CSc1cccc(C(F)(F)F)c1)Nc1nnn[n-]1 ZINC000076963329 406975833 /nfs/dbraw/zinc/97/58/33/406975833.db2.gz BOTBZOCOAWKFSM-UHFFFAOYSA-N -1 1 303.269 1.949 20 0 DDADMM O=C(CSc1cccc(C(F)(F)F)c1)Nc1nn[n-]n1 ZINC000076963329 406975834 /nfs/dbraw/zinc/97/58/34/406975834.db2.gz BOTBZOCOAWKFSM-UHFFFAOYSA-N -1 1 303.269 1.949 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN(C)Cc2cc(C)on2)cc1 ZINC000031656297 406941733 /nfs/dbraw/zinc/94/17/33/406941733.db2.gz FFYCEAIIWCCNGB-UHFFFAOYSA-N -1 1 317.345 1.380 20 0 DDADMM CCNC(=O)CNC(=O)c1ccc(Br)cc1[O-] ZINC000044490732 407024215 /nfs/dbraw/zinc/02/42/15/407024215.db2.gz DOAIQECOCNRILD-UHFFFAOYSA-N -1 1 301.140 1.021 20 0 DDADMM C[C@@H](CN1CCOCC1)NC(=O)c1ccc2ccccc2c1[O-] ZINC000078360398 407026113 /nfs/dbraw/zinc/02/61/13/407026113.db2.gz NIJNAXGBVRLSGM-ZDUSSCGKSA-N -1 1 314.385 1.996 20 0 DDADMM Cn1c(CNC(=O)Nc2nnc(C(F)F)s2)n[n-]c1=S ZINC000085576742 407104169 /nfs/dbraw/zinc/10/41/69/407104169.db2.gz GXEXPSNTUIDCOM-UHFFFAOYSA-N -1 1 321.338 1.588 20 0 DDADMM CCCc1nnc(NC(=O)NCc2n[n-]c(=S)n2C)s1 ZINC000085551430 407104399 /nfs/dbraw/zinc/10/43/99/407104399.db2.gz FNVFBNMBRSSNOP-UHFFFAOYSA-N -1 1 313.412 1.603 20 0 DDADMM C[C@@H](c1nc(-c2ccccc2)no1)S(=O)(=O)c1nnc[n-]1 ZINC000086169803 407108859 /nfs/dbraw/zinc/10/88/59/407108859.db2.gz KMWGYIYDZCWJPD-QMMMGPOBSA-N -1 1 305.319 1.390 20 0 DDADMM C[C@@H](c1nc(-c2ccccc2)no1)S(=O)(=O)c1ncn[n-]1 ZINC000086169803 407108861 /nfs/dbraw/zinc/10/88/61/407108861.db2.gz KMWGYIYDZCWJPD-QMMMGPOBSA-N -1 1 305.319 1.390 20 0 DDADMM C[C@@H](c1nc(-c2ccccc2)no1)S(=O)(=O)c1nc[n-]n1 ZINC000086169803 407108862 /nfs/dbraw/zinc/10/88/62/407108862.db2.gz KMWGYIYDZCWJPD-QMMMGPOBSA-N -1 1 305.319 1.390 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(Br)ccc2[O-])C[C@H]1O ZINC000089423922 407135248 /nfs/dbraw/zinc/13/52/48/407135248.db2.gz PNAIAFWCCMXUDG-PRHODGIISA-N -1 1 314.179 1.998 20 0 DDADMM C[C@H](OC[C@H]1CCCCO1)C(=O)Nc1nc(-c2ccco2)n[n-]1 ZINC000066913826 407262814 /nfs/dbraw/zinc/26/28/14/407262814.db2.gz OJLUOTPXWQYMLJ-WDEREUQCSA-N -1 1 320.349 1.399 20 0 DDADMM C[C@@H]1CCC[C@H](CC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1 ZINC000101664083 407314313 /nfs/dbraw/zinc/31/43/13/407314313.db2.gz KOTAUHWXTLWQKY-BDAKNGLRSA-N -1 1 300.384 1.363 20 0 DDADMM C[C@@H]1CCC[C@H](CC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1 ZINC000101664083 407314314 /nfs/dbraw/zinc/31/43/14/407314314.db2.gz KOTAUHWXTLWQKY-BDAKNGLRSA-N -1 1 300.384 1.363 20 0 DDADMM C[C@H](CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C1CCCCC1 ZINC000101664137 407314326 /nfs/dbraw/zinc/31/43/26/407314326.db2.gz PLESPSUMNNPIFQ-SECBINFHSA-N -1 1 314.411 1.753 20 0 DDADMM C[C@H](CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C1CCCCC1 ZINC000101664137 407314327 /nfs/dbraw/zinc/31/43/27/407314327.db2.gz PLESPSUMNNPIFQ-SECBINFHSA-N -1 1 314.411 1.753 20 0 DDADMM C[C@@H](Oc1cccc(Cl)c1Cl)C(=O)Nc1nnn[n-]1 ZINC000102895067 407328774 /nfs/dbraw/zinc/32/87/74/407328774.db2.gz TZKPPSPURBSOMM-RXMQYKEDSA-N -1 1 302.121 1.913 20 0 DDADMM C[C@@H](Oc1cccc(Cl)c1Cl)C(=O)Nc1nn[n-]n1 ZINC000102895067 407328775 /nfs/dbraw/zinc/32/87/75/407328775.db2.gz TZKPPSPURBSOMM-RXMQYKEDSA-N -1 1 302.121 1.913 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cnc(C3CC3)nc2)o1 ZINC000103088467 407330520 /nfs/dbraw/zinc/33/05/20/407330520.db2.gz APVOQKHEVUASTL-UHFFFAOYSA-N -1 1 322.346 1.107 20 0 DDADMM CCS(=O)(=O)CCNC(=O)c1cc2ccccc2cc1[O-] ZINC000068059251 407294562 /nfs/dbraw/zinc/29/45/62/407294562.db2.gz TYKGARLYOGLDOD-UHFFFAOYSA-N -1 1 307.371 1.710 20 0 DDADMM Cc1ccc(NC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)nc1 ZINC000104030657 407345315 /nfs/dbraw/zinc/34/53/15/407345315.db2.gz MIQFYXIYFRDTMQ-UHFFFAOYSA-N -1 1 311.345 1.524 20 0 DDADMM CC(C)Oc1ccccc1CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000124401705 407357554 /nfs/dbraw/zinc/35/75/54/407357554.db2.gz OCRDAYVASIHWOU-LBPRGKRZSA-N -1 1 317.393 1.792 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CC[C@@H](C)C[C@H]2C)co1 ZINC000151741188 407488565 /nfs/dbraw/zinc/48/85/65/407488565.db2.gz DZQSIMSQVSDWDS-FOGDFJRCSA-N -1 1 314.407 1.742 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC ZINC000186131719 407583925 /nfs/dbraw/zinc/58/39/25/407583925.db2.gz CJJWCDNFYGEUAY-LLVKDONJSA-N -1 1 321.345 1.975 20 0 DDADMM CC[C@H](CCO)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000128341741 407523185 /nfs/dbraw/zinc/52/31/85/407523185.db2.gz JBTBJMWSAGJREU-LLVKDONJSA-N -1 1 309.410 1.514 20 0 DDADMM CNC(=O)[C@@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(C)C ZINC000185865857 407524502 /nfs/dbraw/zinc/52/45/02/407524502.db2.gz KZGMNPGCHLFTMX-JTQLQIEISA-N -1 1 322.789 1.528 20 0 DDADMM O=S(=O)([N-]CCCO[C@H]1CCOC1)c1cccc(F)c1F ZINC000152344254 407617562 /nfs/dbraw/zinc/61/75/62/407617562.db2.gz UMFVNOUDBIKGIC-JTQLQIEISA-N -1 1 321.345 1.439 20 0 DDADMM O=C(NC1CCN(C(=O)c2ccco2)CC1)c1ncccc1[O-] ZINC000171242076 407637260 /nfs/dbraw/zinc/63/72/60/407637260.db2.gz AJROFAGBHWZBDK-UHFFFAOYSA-N -1 1 315.329 1.415 20 0 DDADMM O=C(NC1CCN(C(=O)c2ccoc2)CC1)c1ncccc1[O-] ZINC000171244063 407637297 /nfs/dbraw/zinc/63/72/97/407637297.db2.gz PILJGMKGOGDORH-UHFFFAOYSA-N -1 1 315.329 1.415 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC2(C)CCOCC2)c1Cl ZINC000227837152 407638472 /nfs/dbraw/zinc/63/84/72/407638472.db2.gz UKXNNTPTSFTXBM-UHFFFAOYSA-N -1 1 307.803 1.169 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCC(CO)CC1 ZINC000231208828 407694212 /nfs/dbraw/zinc/69/42/12/407694212.db2.gz LPAPSSDHRANDBL-UHFFFAOYSA-N -1 1 314.179 1.999 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])c1nnnn1-c1ccccc1 ZINC000115727104 407702374 /nfs/dbraw/zinc/70/23/74/407702374.db2.gz RCCOYVRDKKRQAM-JTQLQIEISA-N -1 1 310.317 1.254 20 0 DDADMM CCC1(O)CN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000232883556 407703089 /nfs/dbraw/zinc/70/30/89/407703089.db2.gz UBSZZFBAMJQTFP-UHFFFAOYSA-N -1 1 300.152 1.752 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)C1CCOCC1 ZINC000271516245 407714325 /nfs/dbraw/zinc/71/43/25/407714325.db2.gz OKNZOUIWHQOPLJ-UHFFFAOYSA-N -1 1 316.354 1.562 20 0 DDADMM CCc1ccc(C=CC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)o1 ZINC000131058664 407721651 /nfs/dbraw/zinc/72/16/51/407721651.db2.gz CIQNIDFNCAFOGK-VOTSOKGWSA-N -1 1 310.335 1.016 20 0 DDADMM CCc1ccc(C=CC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)o1 ZINC000131058664 407721655 /nfs/dbraw/zinc/72/16/55/407721655.db2.gz CIQNIDFNCAFOGK-VOTSOKGWSA-N -1 1 310.335 1.016 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c(C)[n-]c(=O)nc2SC)C[C@H](C)O1 ZINC000267044831 407725940 /nfs/dbraw/zinc/72/59/40/407725940.db2.gz UXHXMVAUSJVRPJ-WCBMZHEXSA-N -1 1 311.407 1.852 20 0 DDADMM CN1CC[C@H](NC(=O)c2ccc(Br)c([O-])c2)C1=O ZINC000179148372 407738054 /nfs/dbraw/zinc/73/80/54/407738054.db2.gz MUIXUBDZQDIOQT-VIFPVBQESA-N -1 1 313.151 1.115 20 0 DDADMM O=C([O-])[C@@]12CCC[C@H]1CN(CC(=O)Nc1ccccc1F)C2 ZINC000262240408 407773006 /nfs/dbraw/zinc/77/30/06/407773006.db2.gz XYAYNHOJVWVFCP-MEDUHNTESA-N -1 1 306.337 1.951 20 0 DDADMM C[C@@H]1[C@@H](C)[S@@](=O)CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000272361230 407882856 /nfs/dbraw/zinc/88/28/56/407882856.db2.gz YRQHVJUMLVWKPL-RUYXUOGBSA-N -1 1 321.398 1.840 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)CN1CC[C@@H](C(=O)[O-])C1 ZINC000262637085 407886536 /nfs/dbraw/zinc/88/65/36/407886536.db2.gz IDZIADGGVUKPFA-NWDGAFQWSA-N -1 1 306.362 1.279 20 0 DDADMM O=C([O-])CCN(CCO)Cc1cc(Br)ccc1F ZINC000238184443 407896332 /nfs/dbraw/zinc/89/63/32/407896332.db2.gz CGRLGQJDFJSAHT-UHFFFAOYSA-N -1 1 320.158 1.857 20 0 DDADMM C[C@@H]1CCc2sc(C(=O)NCCCc3nc(=O)[n-][nH]3)cc2C1 ZINC000174582807 407967771 /nfs/dbraw/zinc/96/77/71/407967771.db2.gz KLEWVCSKDWBJHG-SECBINFHSA-N -1 1 320.418 1.647 20 0 DDADMM COC(=O)[C@](C)(CNC(=O)c1ncccc1[O-])c1ccccc1 ZINC000188754539 407977046 /nfs/dbraw/zinc/97/70/46/407977046.db2.gz CYWXLFULVNGEAF-QGZVFWFLSA-N -1 1 314.341 1.648 20 0 DDADMM CC1(C)[C@H](O)C[C@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000188108826 407915861 /nfs/dbraw/zinc/91/58/61/407915861.db2.gz OANSUAXCOUNCEH-NXEZZACHSA-N -1 1 307.774 1.917 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@@H](C)c2nc(-c3nc[nH]n3)no2)n1 ZINC000188098012 407917029 /nfs/dbraw/zinc/91/70/29/407917029.db2.gz XEIHIXAOEHZROD-LURJTMIESA-N -1 1 319.350 1.354 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CS[C@H]2CCCOC2)cc1 ZINC000272432859 407917896 /nfs/dbraw/zinc/91/78/96/407917896.db2.gz JWKBFFCEMATREH-ZDUSSCGKSA-N -1 1 309.387 1.864 20 0 DDADMM C[C@@H]1[C@H](C)SCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000118460590 407920217 /nfs/dbraw/zinc/92/02/17/407920217.db2.gz RVUDCUBEQFZYLF-ZJUUUORDSA-N -1 1 303.387 1.889 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H]([C@@H](C)O)C3)cnc2n1 ZINC000180989319 407944030 /nfs/dbraw/zinc/94/40/30/407944030.db2.gz BVUAPVJMCQRGLL-GHMZBOCLSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H]([C@@H](C)O)C3)c[n-]c2n1 ZINC000180989319 407944035 /nfs/dbraw/zinc/94/40/35/407944035.db2.gz BVUAPVJMCQRGLL-GHMZBOCLSA-N -1 1 301.346 1.487 20 0 DDADMM O=C([O-])Cn1ccc(NC(=O)c2cc(F)cc3[nH]cnc32)n1 ZINC000181789082 408040413 /nfs/dbraw/zinc/04/04/13/408040413.db2.gz ZYHUCCFHNCPDOW-UHFFFAOYSA-N -1 1 303.253 1.235 20 0 DDADMM CN(Cc1ccc(O)cc1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119335115 408043448 /nfs/dbraw/zinc/04/34/48/408043448.db2.gz UHBMIGDOLHACPL-UHFFFAOYSA-N -1 1 312.329 1.652 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000119073568 407989057 /nfs/dbraw/zinc/98/90/57/407989057.db2.gz MCGWCZBSKCYPLM-LLVKDONJSA-N -1 1 306.362 1.981 20 0 DDADMM Cc1c(O)ccc2c(C[N@@H+]3CCCN(C=O)CC3)cc(=O)oc12 ZINC000273020949 408021096 /nfs/dbraw/zinc/02/10/96/408021096.db2.gz WWKJTQCAFDKWQS-UHFFFAOYSA-N -1 1 316.357 1.471 20 0 DDADMM Cc1cc(C(=O)Nc2nc(-c3ccco3)n[nH]2)c2cnn(C)c2n1 ZINC000175219096 408079347 /nfs/dbraw/zinc/07/93/47/408079347.db2.gz LNJMKFIVOJEVOZ-UHFFFAOYSA-N -1 1 323.316 1.907 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1CC(C)(C)OC1(C)C)c2=O ZINC000119491325 408081555 /nfs/dbraw/zinc/08/15/55/408081555.db2.gz TYIORWGTLSXGAM-NSHDSACASA-N -1 1 318.377 1.750 20 0 DDADMM CC[C@@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)N1CCCC1=O ZINC000175225782 408082798 /nfs/dbraw/zinc/08/27/98/408082798.db2.gz QCVOTHHXNIJMOY-LURJTMIESA-N -1 1 305.260 1.163 20 0 DDADMM O=C1Cc2cc(S(=O)(=O)[N-]c3cc(O)cc(F)c3)ccc2N1 ZINC000156506585 408258312 /nfs/dbraw/zinc/25/83/12/408258312.db2.gz VFWRLJKFXAXCAU-UHFFFAOYSA-N -1 1 322.317 1.827 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccccc1-n1nc(C)nc1C ZINC000150812777 408206288 /nfs/dbraw/zinc/20/62/88/408206288.db2.gz ROCPIAHBBSBZFL-UHFFFAOYSA-N -1 1 310.379 1.272 20 0 DDADMM Cc1cc(C)c(S(=O)(=O)N[C@H](C)c2nnc[nH]2)cc1C(=O)[O-] ZINC000273683034 408287813 /nfs/dbraw/zinc/28/78/13/408287813.db2.gz HPQDVOSLMADDOS-SECBINFHSA-N -1 1 324.362 1.159 20 0 DDADMM Cc1nc(-c2ccc(N(C)CCn3cccn3)nc2)[n-]c(=O)c1C ZINC000172759537 162300241 /nfs/dbraw/zinc/30/02/41/162300241.db2.gz YMQYLTCHEDPEFH-UHFFFAOYSA-N -1 1 324.388 1.782 20 0 DDADMM O=C(Nc1n[nH]c(Cc2cccc(F)c2)n1)c1cncc([O-])c1 ZINC000183258274 408366184 /nfs/dbraw/zinc/36/61/84/408366184.db2.gz BZSUGNYTYWEOQZ-UHFFFAOYSA-N -1 1 313.292 1.888 20 0 DDADMM Cc1nc(-c2ccc([N-]S(=O)(=O)CCOC(C)C)cc2)n[nH]1 ZINC000176467007 408374664 /nfs/dbraw/zinc/37/46/64/408374664.db2.gz BBPNBQICPFFNAN-UHFFFAOYSA-N -1 1 324.406 1.947 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](CCO)C(C)(C)C)o1 ZINC000269655036 408377304 /nfs/dbraw/zinc/37/73/04/408377304.db2.gz AAFPMGOHJHHUBY-SNVBAGLBSA-N -1 1 319.379 1.142 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1cccc(F)c1F)c1nn[n-]n1 ZINC000183405778 408402923 /nfs/dbraw/zinc/40/29/23/408402923.db2.gz BPBWJSKDLVCYLT-SECBINFHSA-N -1 1 309.320 1.673 20 0 DDADMM COc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc(OC)c1C ZINC000183416845 408405104 /nfs/dbraw/zinc/40/51/04/408405104.db2.gz DCGAZMDAYVAEJS-VIFPVBQESA-N -1 1 319.365 1.401 20 0 DDADMM Cc1cccc(C)c1OCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183432636 408411142 /nfs/dbraw/zinc/41/11/42/408411142.db2.gz UZBSPGAIPAEHLG-LBPRGKRZSA-N -1 1 303.366 1.457 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2c(c1)OCCO2)c1nn[n-]n1 ZINC000176753713 408431382 /nfs/dbraw/zinc/43/13/82/408431382.db2.gz GVXBGKIUJVXEQZ-SNVBAGLBSA-N -1 1 303.322 1.242 20 0 DDADMM CCC[C@@H](NC(=O)CSc1nc(C)cc(C)n1)c1nn[n-]n1 ZINC000176784971 408443098 /nfs/dbraw/zinc/44/30/98/408443098.db2.gz LPIAMKPTQKDFMW-SNVBAGLBSA-N -1 1 321.410 1.356 20 0 DDADMM CCC[C@H](NC(=O)c1cc(OC)c(C)c(OC)c1)c1nn[n-]n1 ZINC000176785379 408443689 /nfs/dbraw/zinc/44/36/89/408443689.db2.gz BYIAFLSQLIJFFK-NSHDSACASA-N -1 1 319.365 1.797 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)c2c([O-])cccc2F)C1 ZINC000274706799 408501857 /nfs/dbraw/zinc/50/18/57/408501857.db2.gz SBLVLKKDGVZXGY-WDEREUQCSA-N -1 1 308.353 1.908 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(F)ccc2[O-])CCS(=O)(=O)C1 ZINC000191906007 408505109 /nfs/dbraw/zinc/50/51/09/408505109.db2.gz ONVZBLLWVRVDTA-VIFPVBQESA-N -1 1 301.339 1.038 20 0 DDADMM CC(C)(C)O[C@@H](C(=O)NN1CC(=O)[N-]C1=O)c1ccccc1 ZINC000269916311 408469253 /nfs/dbraw/zinc/46/92/53/408469253.db2.gz IENHPBFJRWQUET-GFCCVEGCSA-N -1 1 305.334 1.126 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cc2ccccc2cc1[O-])[C@H](C)O ZINC000274617779 408472289 /nfs/dbraw/zinc/47/22/89/408472289.db2.gz YTEAMRZZNNQEAD-XPTSAGLGSA-N -1 1 303.314 1.198 20 0 DDADMM C[C@H]1CN(C(=O)c2cc3ccccc3cc2[O-])C[C@@H](CO)O1 ZINC000191722051 408477428 /nfs/dbraw/zinc/47/74/28/408477428.db2.gz KSZAFJAAPWBMBT-FZMZJTMJSA-N -1 1 301.342 1.767 20 0 DDADMM COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000275493019 408591138 /nfs/dbraw/zinc/59/11/38/408591138.db2.gz GSXBMGQUFFJRJW-JQWIXIFHSA-N -1 1 313.737 1.184 20 0 DDADMM CCCC[C@@H](COC)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000265459610 408658581 /nfs/dbraw/zinc/65/85/81/408658581.db2.gz FCSMISKYAWXRNU-JTQLQIEISA-N -1 1 319.379 1.550 20 0 DDADMM C[C@H](O)C[C@@H]1COCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000184701356 408670295 /nfs/dbraw/zinc/67/02/95/408670295.db2.gz KHTNNAWJEBFBMZ-WCQYABFASA-N -1 1 319.357 1.470 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)NCCOc1cccc(C)c1)C(=O)[O-] ZINC000185034317 408742015 /nfs/dbraw/zinc/74/20/15/408742015.db2.gz DKTGZNKSYDKQBC-DZGCQCFKSA-N -1 1 322.405 1.721 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(-c2cccs2)n[nH]1)c1nn[n-]n1 ZINC000177654299 408677542 /nfs/dbraw/zinc/67/75/42/408677542.db2.gz ULISTVLGDHSNPD-MRVPVSSYSA-N -1 1 317.378 1.923 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@@H]3CCCCC(=O)N3)n2)o1 ZINC000193136165 408700824 /nfs/dbraw/zinc/70/08/24/408700824.db2.gz RPXQKQMTYWDHAM-VIFPVBQESA-N -1 1 303.322 1.370 20 0 DDADMM COc1ccc(NC(=O)[C@H](C)N2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000164569820 408801099 /nfs/dbraw/zinc/80/10/99/408801099.db2.gz CBQKJVQHDQJJNV-RYUDHWBXSA-N -1 1 306.362 1.819 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2c(C)cccc2O)co1 ZINC000183039298 162840245 /nfs/dbraw/zinc/84/02/45/162840245.db2.gz IDRQCDRXYMCMEZ-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM CC(C)(C)C[C@@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000162994779 408751371 /nfs/dbraw/zinc/75/13/71/408751371.db2.gz XTTVLEWVCUBYIX-JTQLQIEISA-N -1 1 303.362 1.450 20 0 DDADMM Cc1nsc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000290771840 408830098 /nfs/dbraw/zinc/83/00/98/408830098.db2.gz VLSFNOXFPNIKKH-MRVPVSSYSA-N -1 1 308.329 1.741 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN(CC(F)(F)F)CC1 ZINC000280806407 408838533 /nfs/dbraw/zinc/83/85/33/408838533.db2.gz QTEBTHKMFBXCLG-UHFFFAOYSA-N -1 1 324.249 1.991 20 0 DDADMM COCCC[N@H+](C)CCNC(=O)c1c(F)ccc([O-])c1F ZINC000280857979 408847620 /nfs/dbraw/zinc/84/76/20/408847620.db2.gz DDBWSIKTZVVVRF-UHFFFAOYSA-N -1 1 302.321 1.369 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C[C@H]1C ZINC000280915751 408858121 /nfs/dbraw/zinc/85/81/21/408858121.db2.gz FYLFXPPLPQIXPD-MFKMUULPSA-N -1 1 305.330 1.233 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1OCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000187339923 163015236 /nfs/dbraw/zinc/01/52/36/163015236.db2.gz JQTQCRVGPDEHLA-UPJWGTAASA-N -1 1 309.414 1.747 20 0 DDADMM CN(C)c1noc(C[N-]S(=O)(=O)c2ccc(Cl)cc2)n1 ZINC000188058636 163038502 /nfs/dbraw/zinc/03/85/02/163038502.db2.gz FHGXQNDEJITOMY-UHFFFAOYSA-N -1 1 316.770 1.268 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC[C@@H](C(F)(F)F)[C@H](CO)C1 ZINC000286124796 408928035 /nfs/dbraw/zinc/92/80/35/408928035.db2.gz GJOMABVECVTTIX-GXSJLCMTSA-N -1 1 304.268 1.420 20 0 DDADMM O=C(NC[C@H](O)C(F)F)c1ccc(Br)c([O-])c1 ZINC000227040267 163338182 /nfs/dbraw/zinc/33/81/82/163338182.db2.gz WGOQLECHPJKKHK-QMMMGPOBSA-N -1 1 310.094 1.511 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CC[C@@H](CO)C1 ZINC000231358612 163350196 /nfs/dbraw/zinc/35/01/96/163350196.db2.gz CXXYGTJYJVBVBW-MRVPVSSYSA-N -1 1 300.152 1.609 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000291953777 408951679 /nfs/dbraw/zinc/95/16/79/408951679.db2.gz WVIVGADRJZFVGN-WXJAXGNASA-N -1 1 308.765 1.835 20 0 DDADMM CO[C@](C)(C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C)C1CC1 ZINC000292063686 408972767 /nfs/dbraw/zinc/97/27/67/408972767.db2.gz ZNUSNSHFSGBGHX-INIZCTEOSA-N -1 1 318.377 1.071 20 0 DDADMM CCN(C(=O)c1ccc(C(F)(F)F)cc1[O-])[C@H]1CCNC1=O ZINC000282122442 408995378 /nfs/dbraw/zinc/99/53/78/408995378.db2.gz HSZTUPFMGLFEOZ-JTQLQIEISA-N -1 1 316.279 1.762 20 0 DDADMM CN(CCNC(=O)C(=O)c1ccc([O-])cc1)CC(F)(F)F ZINC000287252753 409007799 /nfs/dbraw/zinc/00/77/99/409007799.db2.gz AMBCGYDBRPIUAR-UHFFFAOYSA-N -1 1 304.268 1.185 20 0 DDADMM CC(=O)NC1CCC(NC(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000287507984 409047233 /nfs/dbraw/zinc/04/72/33/409047233.db2.gz UMWJYAPNKWDJHR-UHFFFAOYSA-N -1 1 304.346 1.138 20 0 DDADMM CCOC[C@H]1CCCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000283099570 409087710 /nfs/dbraw/zinc/08/77/10/409087710.db2.gz PESQKSSYRQVLKS-NSHDSACASA-N -1 1 320.418 1.907 20 0 DDADMM Cc1noc(C)c1CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283162274 409100908 /nfs/dbraw/zinc/10/09/08/409100908.db2.gz UAFYPNBKDFBATE-UHFFFAOYSA-N -1 1 317.374 1.595 20 0 DDADMM CCCn1nc(C)c(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)c1C ZINC000279179521 409164376 /nfs/dbraw/zinc/16/43/76/409164376.db2.gz ICUXDUQRWNHWFO-UYRXBGFRSA-N -1 1 312.377 1.817 20 0 DDADMM CCOC[C@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000283789002 409217615 /nfs/dbraw/zinc/21/76/15/409217615.db2.gz UQKGYKPWCBCEHT-JTQLQIEISA-N -1 1 306.391 1.517 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000280710715 409420662 /nfs/dbraw/zinc/42/06/62/409420662.db2.gz BFVFIPDHGFDQPU-RGDDPNHNSA-N -1 1 323.414 1.771 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc[nH]c2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000290562445 409444101 /nfs/dbraw/zinc/44/41/01/409444101.db2.gz PITYMHUWZZUOCS-QXEWZRGKSA-N -1 1 317.311 1.932 20 0 DDADMM CC(C)(CO)CC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000295366537 409452672 /nfs/dbraw/zinc/45/26/72/409452672.db2.gz SFZOCTDOPGNRIE-UHFFFAOYSA-N -1 1 311.325 1.791 20 0 DDADMM COC(=O)C(C)(C)CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000313899634 164011531 /nfs/dbraw/zinc/01/15/31/164011531.db2.gz XOJNZFYJGSPKLO-UHFFFAOYSA-N -1 1 313.379 1.142 20 0 DDADMM COc1ccc(NS(=O)(=O)c2ccc(CC(=O)[O-])cc2)cn1 ZINC000314203281 164013944 /nfs/dbraw/zinc/01/39/44/164013944.db2.gz JCYTWFNKZJPOSY-UHFFFAOYSA-N -1 1 322.342 1.518 20 0 DDADMM CNC(=O)N1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000631593523 422843395 /nfs/dbraw/zinc/84/33/95/422843395.db2.gz JJGCFNQOIYIDFU-UHFFFAOYSA-N -1 1 311.769 1.072 20 0 DDADMM CN1C[C@@H]2CN(C(=O)c3ccc(Cl)cc3[O-])CCN2C1=O ZINC000373278847 164169351 /nfs/dbraw/zinc/16/93/51/164169351.db2.gz QBYFHNLTPTYSRI-SNVBAGLBSA-N -1 1 309.753 1.237 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Br)o1)[C@@H]1CCCO1 ZINC000408215982 164263359 /nfs/dbraw/zinc/26/33/59/164263359.db2.gz RYLXLFDHZOUCMS-YUMQZZPRSA-N -1 1 324.196 1.888 20 0 DDADMM Cc1ccc2snc(C(=O)NC3(c4nn[n-]n4)CC3)c2c1 ZINC000348817132 409528746 /nfs/dbraw/zinc/52/87/46/409528746.db2.gz XHSXOCLNGMYAQG-UHFFFAOYSA-N -1 1 300.347 1.537 20 0 DDADMM COC(=O)[C@H](CN=c1[n-]c([C@@H](C)OC)ns1)CC(C)C ZINC000337880443 409532749 /nfs/dbraw/zinc/53/27/49/409532749.db2.gz UGCDGQJNMBRLCQ-ZJUUUORDSA-N -1 1 301.412 1.915 20 0 DDADMM COC(=O)[C@H](CN=c1nc([C@@H](C)OC)[n-]s1)CC(C)C ZINC000337880443 409532753 /nfs/dbraw/zinc/53/27/53/409532753.db2.gz UGCDGQJNMBRLCQ-ZJUUUORDSA-N -1 1 301.412 1.915 20 0 DDADMM CC[C@H]1CN(CCN=c2[n-]c([C@H](C)OC)ns2)CCO1 ZINC000337940183 409585482 /nfs/dbraw/zinc/58/54/82/409585482.db2.gz ACWFRBVYNMCQLB-QWRGUYRKSA-N -1 1 300.428 1.190 20 0 DDADMM CCOc1ccccc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337947466 409593024 /nfs/dbraw/zinc/59/30/24/409593024.db2.gz GCJKXJBUNSQZCT-UHFFFAOYSA-N -1 1 315.329 1.554 20 0 DDADMM Cc1ccc2nc(CNC(=O)c3ccc(C(=O)[O-])nc3)[nH]c2c1 ZINC000342568715 409730768 /nfs/dbraw/zinc/73/07/68/409730768.db2.gz MLPNINUDVGHNPX-UHFFFAOYSA-N -1 1 310.313 1.895 20 0 DDADMM O=C(NCCF)c1cc(I)ccc1[O-] ZINC000306619370 409775991 /nfs/dbraw/zinc/77/59/91/409775991.db2.gz UXQPVICIBBFVIV-UHFFFAOYSA-N -1 1 309.078 1.696 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000315077523 409833613 /nfs/dbraw/zinc/83/36/13/409833613.db2.gz SPHYMRKRFRHVAI-GFCCVEGCSA-N -1 1 308.382 1.547 20 0 DDADMM COc1ccccc1NC(=O)CN1CCC[C@H](CC(=O)[O-])C1 ZINC000315251076 409838887 /nfs/dbraw/zinc/83/88/87/409838887.db2.gz WIJHSPRJXJRXHP-GFCCVEGCSA-N -1 1 306.362 1.820 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC(C(C)(C)C)CC1 ZINC000349456077 409845297 /nfs/dbraw/zinc/84/52/97/409845297.db2.gz RICKERXWKWGUGD-UHFFFAOYSA-N -1 1 301.412 1.842 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(-c2ccccc2)ns1 ZINC000357049221 409820181 /nfs/dbraw/zinc/82/01/81/409820181.db2.gz GNRPCJWQAPXSAS-UHFFFAOYSA-N -1 1 312.358 1.742 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)Cc2ccncc2)c1 ZINC000338230537 409820278 /nfs/dbraw/zinc/82/02/78/409820278.db2.gz NUSCEBRHKLCNLF-SNVBAGLBSA-N -1 1 324.358 1.371 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@@H]2CCOc3ccccc32)C1 ZINC000332094899 409879558 /nfs/dbraw/zinc/87/95/58/409879558.db2.gz AGLBXRQUTXSLQD-TZMCWYRMSA-N -1 1 318.373 1.423 20 0 DDADMM O=c1ccncn1CCc1nc(-c2ccc([O-])cc2F)no1 ZINC000350589630 409971142 /nfs/dbraw/zinc/97/11/42/409971142.db2.gz ZOEKSZZNXMEQEP-UHFFFAOYSA-N -1 1 302.265 1.381 20 0 DDADMM CCN1CCOC[C@H]1C(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000328970862 410027192 /nfs/dbraw/zinc/02/71/92/410027192.db2.gz BJJJCXRCPCTPHJ-NSHDSACASA-N -1 1 306.391 1.219 20 0 DDADMM CCCCS[C@H](C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000354728446 410046159 /nfs/dbraw/zinc/04/61/59/410046159.db2.gz NIZVMXGWAXBUDE-SSDOTTSWSA-N -1 1 306.413 1.069 20 0 DDADMM CCCCS[C@H](C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000354728446 410046171 /nfs/dbraw/zinc/04/61/71/410046171.db2.gz NIZVMXGWAXBUDE-SSDOTTSWSA-N -1 1 306.413 1.069 20 0 DDADMM Cc1noc2nc(C)cc(C(=O)NC(C)(C)c3nn[n-]n3)c12 ZINC000354769760 410071568 /nfs/dbraw/zinc/07/15/68/410071568.db2.gz HPJXGALCEOOEAQ-UHFFFAOYSA-N -1 1 301.310 1.018 20 0 DDADMM CC[C@H](C)[C@@H](C)N(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CC1 ZINC000357606186 410130025 /nfs/dbraw/zinc/13/00/25/410130025.db2.gz LNPXXTHGEBJRPP-DTWKUNHWSA-N -1 1 309.366 1.936 20 0 DDADMM CC1CCC(CNC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343150982 410189637 /nfs/dbraw/zinc/18/96/37/410189637.db2.gz LTLUUTGTQBVVFP-UHFFFAOYSA-N -1 1 305.378 1.460 20 0 DDADMM CCN(C)C(=O)CSc1nc([O-])cc(=O)n1C1CCCC1 ZINC000298455573 410236583 /nfs/dbraw/zinc/23/65/83/410236583.db2.gz ZWRBDDVRIMXEDL-UHFFFAOYSA-N -1 1 311.407 1.634 20 0 DDADMM CCN(C)C(=O)CSc1nc(=O)cc([O-])n1C1CCCC1 ZINC000298455573 410236589 /nfs/dbraw/zinc/23/65/89/410236589.db2.gz ZWRBDDVRIMXEDL-UHFFFAOYSA-N -1 1 311.407 1.634 20 0 DDADMM Cn1c(=O)cccc1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000343231634 410258407 /nfs/dbraw/zinc/25/84/07/410258407.db2.gz LIFWCEULBDVHQQ-UHFFFAOYSA-N -1 1 310.313 1.733 20 0 DDADMM C[C@@H](Cn1ccnc1)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000298507920 410264357 /nfs/dbraw/zinc/26/43/57/410264357.db2.gz OQOQKKTUPCBVTF-VIFPVBQESA-N -1 1 301.318 1.528 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CCc2n[nH]nc2C1 ZINC000343292118 410322350 /nfs/dbraw/zinc/32/23/50/410322350.db2.gz DTNUJYQOQVIDMG-UHFFFAOYSA-N -1 1 323.150 1.471 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC2(CCCC2)[C@@H]1C(C)C ZINC000333044329 410325262 /nfs/dbraw/zinc/32/52/62/410325262.db2.gz FOEWIHBFMLPKHY-LBPRGKRZSA-N -1 1 313.423 1.985 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCCO[C@H]1CC(C)C ZINC000329439910 410298263 /nfs/dbraw/zinc/29/82/63/410298263.db2.gz MTGDXTDOBPPHAY-QWRGUYRKSA-N -1 1 317.411 1.268 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCCO[C@H]1CC(C)C ZINC000329439910 410298267 /nfs/dbraw/zinc/29/82/67/410298267.db2.gz MTGDXTDOBPPHAY-QWRGUYRKSA-N -1 1 317.411 1.268 20 0 DDADMM CN(C)c1noc(C[N-]S(=O)(=O)CC23CCC(CC2)C3)n1 ZINC000329616307 410385530 /nfs/dbraw/zinc/38/55/30/410385530.db2.gz FNJBFDILVPGUHZ-UHFFFAOYSA-N -1 1 314.411 1.135 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn(CCC(C)C)nn2)n1 ZINC000352158742 410516340 /nfs/dbraw/zinc/51/63/40/410516340.db2.gz NBNYEKXMEZSYPO-UHFFFAOYSA-N -1 1 320.353 1.476 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnc(N(C)C)c2)n1 ZINC000352158904 410517042 /nfs/dbraw/zinc/51/70/42/410517042.db2.gz OZCDMCGKYOVVGB-UHFFFAOYSA-N -1 1 303.322 1.300 20 0 DDADMM C[C@H](CCC1CC1)NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000347501408 410590257 /nfs/dbraw/zinc/59/02/57/410590257.db2.gz UUUDQFHGQSYYPG-SNVBAGLBSA-N -1 1 324.454 1.361 20 0 DDADMM Cc1ccc(-c2cc(-c3nc(CS(C)(=O)=O)no3)[nH]n2)o1 ZINC000355521392 410562829 /nfs/dbraw/zinc/56/28/29/410562829.db2.gz SAPCNJIYPIFSNN-UHFFFAOYSA-N -1 1 308.319 1.573 20 0 DDADMM CC(C)(NC(=O)c1ccn(Cc2ccccc2)c1)c1nn[n-]n1 ZINC000359433606 410656903 /nfs/dbraw/zinc/65/69/03/410656903.db2.gz DIDPKFDMXOLCRV-UHFFFAOYSA-N -1 1 310.361 1.715 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H](C)n1nc(C)cc1C)c1nn[n-]n1 ZINC000343739040 410658942 /nfs/dbraw/zinc/65/89/42/410658942.db2.gz YHPYFFWQDUNZRR-NWDGAFQWSA-N -1 1 305.386 1.622 20 0 DDADMM CCCc1nc(=NC(=O)N[C@H](C)Cc2cc(C)[nH]n2)s[n-]1 ZINC000340254242 410800200 /nfs/dbraw/zinc/80/02/00/410800200.db2.gz ZDOJRJHDTLPNNR-MRVPVSSYSA-N -1 1 308.411 1.697 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1[C@H](C)C1(F)F ZINC000359793998 410885573 /nfs/dbraw/zinc/88/55/73/410885573.db2.gz KZYQCMZCRSNBJU-QTTZVWFDSA-N -1 1 310.304 1.569 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)C(=O)NCCF)cc(Cl)c1[O-] ZINC000337559916 410863949 /nfs/dbraw/zinc/86/39/49/410863949.db2.gz ZVTFFYAAIYRWMI-ZETCQYMHSA-N -1 1 318.732 1.258 20 0 DDADMM O=C(Cc1c(Cl)cccc1Cl)NC1(c2nn[n-]n2)CC1 ZINC000348276047 410890413 /nfs/dbraw/zinc/89/04/13/410890413.db2.gz MZXAKNQQWDHHPG-UHFFFAOYSA-N -1 1 312.160 1.855 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(-c2ccc(F)cc2)n[nH]1 ZINC000348272820 410891466 /nfs/dbraw/zinc/89/14/66/410891466.db2.gz CURLPECEAWKFEH-UHFFFAOYSA-N -1 1 313.296 1.148 20 0 DDADMM COc1cccc([C@H](C)CC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000348298066 410907299 /nfs/dbraw/zinc/90/72/99/410907299.db2.gz NWPYCVHATMFIFF-SNVBAGLBSA-N -1 1 301.350 1.507 20 0 DDADMM CN(C)Cc1ccc(C(=O)N=c2ncn(C(C)(C)C)[n-]2)cc1 ZINC000344051059 410920310 /nfs/dbraw/zinc/92/03/10/410920310.db2.gz PDHIQLYECCIFKN-UHFFFAOYSA-N -1 1 301.394 1.769 20 0 DDADMM Cc1cc2c(cc1C)[C@@H](C(=O)NC(C)(C)c1nn[n-]n1)CO2 ZINC000353349275 410935440 /nfs/dbraw/zinc/93/54/40/410935440.db2.gz GJYXVDOSSCBMFB-NSHDSACASA-N -1 1 301.350 1.344 20 0 DDADMM CC(C)c1ccc(CNC(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000353368026 410946161 /nfs/dbraw/zinc/94/61/61/410946161.db2.gz ACQLZKPQXBHLHD-UHFFFAOYSA-N -1 1 311.345 1.471 20 0 DDADMM CO[C@H](C)CNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000331262440 411047469 /nfs/dbraw/zinc/04/74/69/411047469.db2.gz DIVZQGGNHWHNFJ-SECBINFHSA-N -1 1 318.395 1.121 20 0 DDADMM CSC[C@H](CCO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331281335 411054702 /nfs/dbraw/zinc/05/47/02/411054702.db2.gz QQIUJRFYVDCOOR-VIFPVBQESA-N -1 1 310.466 1.152 20 0 DDADMM CCCc1nc(=NC[C@H](C(=O)OC)C2CCOCC2)s[n-]1 ZINC000360084922 411036476 /nfs/dbraw/zinc/03/64/76/411036476.db2.gz VGNOHIWZRXQEEB-NSHDSACASA-N -1 1 313.423 1.540 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H]2Cc3ccccc3O2)sn1 ZINC000631725715 422895650 /nfs/dbraw/zinc/89/56/50/422895650.db2.gz CPAYJQOPUXLAJU-NSHDSACASA-N -1 1 310.400 1.734 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCOCC(F)(F)F)sn1 ZINC000631730329 422897113 /nfs/dbraw/zinc/89/71/13/422897113.db2.gz ZDSQLDGTQALENF-UHFFFAOYSA-N -1 1 318.342 1.699 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2[C@@H](C)CCC[C@@H]2C)co1 ZINC000130550998 196089563 /nfs/dbraw/zinc/08/95/63/196089563.db2.gz TUJVSUHFCLOFPE-UWVGGRQHSA-N -1 1 300.380 1.591 20 0 DDADMM C[C@@H]1CN(C(=O)CCc2nn[n-]n2)C[C@H](c2ccsc2)O1 ZINC000631789722 422922700 /nfs/dbraw/zinc/92/27/00/422922700.db2.gz WHUXFKLTLVQMQV-MWLCHTKSSA-N -1 1 307.379 1.182 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CC2CCC1CC2 ZINC000580555700 422941815 /nfs/dbraw/zinc/94/18/15/422941815.db2.gz WHKOUJPMVYQSHW-RTBKNWGFSA-N -1 1 307.350 1.453 20 0 DDADMM CC(C)c1nc(CNc2cc(C(C)(C)C)nc(C(=O)[O-])n2)n[nH]1 ZINC000580451519 422934128 /nfs/dbraw/zinc/93/41/28/422934128.db2.gz DZVPARBZSMOHMJ-UHFFFAOYSA-N -1 1 318.381 1.748 20 0 DDADMM O=C([O-])C[C@H]1COCCN1CCN1CCCc2ccccc21 ZINC000652475227 423034588 /nfs/dbraw/zinc/03/45/88/423034588.db2.gz CMWRUQZOXAFNNE-HNNXBMFYSA-N -1 1 304.390 1.615 20 0 DDADMM C[C@@H]1CN(CCCOc2ccc3c(c2)OCO3)C[C@H](C(=O)[O-])O1 ZINC000652508444 423049647 /nfs/dbraw/zinc/04/96/47/423049647.db2.gz FBDJJRLEYSQXIP-IAQYHMDHSA-N -1 1 323.345 1.358 20 0 DDADMM CCOc1cc(C(=O)NCC(C)(CO)CO)cc(Cl)c1[O-] ZINC000647828371 423063517 /nfs/dbraw/zinc/06/35/17/423063517.db2.gz DOOVPKPGPQJUPL-UHFFFAOYSA-N -1 1 317.769 1.165 20 0 DDADMM C[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(=O)OC(C)(C)C ZINC000295615967 225049610 /nfs/dbraw/zinc/04/96/10/225049610.db2.gz ZHBHXGFXHTUJTG-QMMMGPOBSA-N -1 1 322.390 1.478 20 0 DDADMM CSc1nc(CNC(=O)[C@@H](C)C2CCOCC2)cc(=O)[n-]1 ZINC000640655846 423115041 /nfs/dbraw/zinc/11/50/41/423115041.db2.gz KOWVUPIKOWDCQP-VIFPVBQESA-N -1 1 311.407 1.583 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCn2c(CC3CC3)nnc2C1 ZINC000652750425 423115501 /nfs/dbraw/zinc/11/55/01/423115501.db2.gz PARRQBOYSKDXFY-UHFFFAOYSA-N -1 1 316.336 1.731 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2Cc3ccc(F)cc32)cc(=O)[n-]1 ZINC000640656393 423118102 /nfs/dbraw/zinc/11/81/02/423118102.db2.gz ZFBGWPIFVRIOAH-GFCCVEGCSA-N -1 1 319.361 1.999 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])cc2F)CC2(CCOCC2)O1 ZINC000279234791 296920550 /nfs/dbraw/zinc/92/05/50/296920550.db2.gz PPGVSJXSVIEUDE-LLVKDONJSA-N -1 1 309.337 1.941 20 0 DDADMM CCS(=O)(=O)[N-]c1nnc2ccc(Br)cn21 ZINC000296753184 226196075 /nfs/dbraw/zinc/19/60/75/226196075.db2.gz PVRNCRFELZDUQP-UHFFFAOYSA-N -1 1 305.157 1.253 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H](n4cccn4)C3)cnc2n1 ZINC000366006628 418417247 /nfs/dbraw/zinc/41/72/47/418417247.db2.gz SBVWYLOCQKLLJT-GFCCVEGCSA-N -1 1 323.356 1.928 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](n4cccn4)C3)c[n-]c2n1 ZINC000366006628 418417251 /nfs/dbraw/zinc/41/72/51/418417251.db2.gz SBVWYLOCQKLLJT-GFCCVEGCSA-N -1 1 323.356 1.928 20 0 DDADMM O=C(NC1(CO)Cc2ccccc2C1)c1ccc([O-])cc1F ZINC000373437359 418429159 /nfs/dbraw/zinc/42/91/59/418429159.db2.gz WDMRRESBFHGXGB-UHFFFAOYSA-N -1 1 301.317 1.791 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCOCC1)c1cc(F)ccc1F ZINC000360605509 418475447 /nfs/dbraw/zinc/47/54/47/418475447.db2.gz SBHOCWKJLHUOEW-LBPRGKRZSA-N -1 1 321.345 1.031 20 0 DDADMM CCCCN(C)S(=O)(=O)[N-][C@@H](CC(F)(F)F)C(=O)OC ZINC000360866282 418536124 /nfs/dbraw/zinc/53/61/24/418536124.db2.gz ZCPZPPBZDFPURI-QMMMGPOBSA-N -1 1 320.333 1.047 20 0 DDADMM O=C(Nc1csc2ccccc12)c1cc(=O)n2[n-]cnc2n1 ZINC000353964348 306766737 /nfs/dbraw/zinc/76/67/37/306766737.db2.gz VFLUYXHXCLHZJM-UHFFFAOYSA-N -1 1 311.326 1.885 20 0 DDADMM CC[C@H](C)CS(=O)(=O)[N-][C@@H](CC(F)(F)F)C(=O)OC ZINC000360845706 418532385 /nfs/dbraw/zinc/53/23/85/418532385.db2.gz LTUNZMRGHOQFPY-YUMQZZPRSA-N -1 1 305.318 1.446 20 0 DDADMM O=C(NCc1ncc2c(n1)CCOC2)c1cc(Cl)ccc1[O-] ZINC000650358084 423139131 /nfs/dbraw/zinc/13/91/31/423139131.db2.gz GWWKCPKKDZLLDX-UHFFFAOYSA-N -1 1 319.748 1.838 20 0 DDADMM O=C(N[C@H]1CC(=O)N(c2ccccc2F)C1)c1cncc([O-])c1 ZINC000360937785 418551335 /nfs/dbraw/zinc/55/13/35/418551335.db2.gz NSKLOHPRVQAWQU-NSHDSACASA-N -1 1 315.304 1.462 20 0 DDADMM O=C(N[C@@H]1CCc2n[nH]cc2C1)c1ccc2n[n-]c(=S)n2c1 ZINC000367115990 418562031 /nfs/dbraw/zinc/56/20/31/418562031.db2.gz XPHQVCIZLXGVFV-SNVBAGLBSA-N -1 1 314.374 1.028 20 0 DDADMM CON(C)C(=O)C1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000290994979 222056745 /nfs/dbraw/zinc/05/67/45/222056745.db2.gz ATQUFUCYFZEEDW-UHFFFAOYSA-N -1 1 310.325 1.403 20 0 DDADMM NC(=O)C[C@@H](NC(=O)c1ncccc1[O-])c1cccc(Cl)c1 ZINC000190148641 222069682 /nfs/dbraw/zinc/06/96/82/222069682.db2.gz CHKFZLTZOWABKW-LLVKDONJSA-N -1 1 319.748 1.787 20 0 DDADMM CCN(CC(C)(C)C)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000291100991 222137019 /nfs/dbraw/zinc/13/70/19/222137019.db2.gz AULPGAYYCWRMDZ-UHFFFAOYSA-N -1 1 302.396 1.696 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(C3CC3)ccn2)o1 ZINC000291139957 222167930 /nfs/dbraw/zinc/16/79/30/222167930.db2.gz ITYNVCWMCNYKGU-UHFFFAOYSA-N -1 1 321.358 1.712 20 0 DDADMM C[C@@H]1CN(C(=O)CN2CCC(CCC(=O)[O-])CC2)C[C@H](C)O1 ZINC000315740859 418598122 /nfs/dbraw/zinc/59/81/22/418598122.db2.gz GXDHXTXHIWVKOS-BETUJISGSA-N -1 1 312.410 1.199 20 0 DDADMM CCCN(CC(N)=O)C(=O)c1cc(Br)ccc1[O-] ZINC000381320622 418729021 /nfs/dbraw/zinc/72/90/21/418729021.db2.gz FZITUPCZXQQCNG-UHFFFAOYSA-N -1 1 315.167 1.492 20 0 DDADMM CC1(C)CN(C(=O)CCC(=O)[O-])CCN1Cc1ccccc1 ZINC000382596936 418731310 /nfs/dbraw/zinc/73/13/10/418731310.db2.gz NKILTZVEJHQEIF-UHFFFAOYSA-N -1 1 304.390 1.974 20 0 DDADMM CC1(C)CCC(=CC(=O)N2CCO[C@H](c3nn[n-]n3)C2)CC1 ZINC000370976162 418753913 /nfs/dbraw/zinc/75/39/13/418753913.db2.gz LIPDNWSUJBXZIT-LBPRGKRZSA-N -1 1 305.382 1.626 20 0 DDADMM O=C(CCCc1cccs1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000372156967 418840240 /nfs/dbraw/zinc/84/02/40/418840240.db2.gz MOPWLFACSSDRMU-LLVKDONJSA-N -1 1 305.407 1.990 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2CCCN(C)C2=O)c(F)c1 ZINC000425171421 228379447 /nfs/dbraw/zinc/37/94/47/228379447.db2.gz VRNYSRDJYZACRE-LLVKDONJSA-N -1 1 318.345 1.172 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCN2CC=CCC2)c(F)c1 ZINC000425184058 228384694 /nfs/dbraw/zinc/38/46/94/228384694.db2.gz CXHDFOFPGOGEHS-UHFFFAOYSA-N -1 1 316.373 1.813 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1nc2cccnc2n1CC)OC ZINC000421252797 419537964 /nfs/dbraw/zinc/53/79/64/419537964.db2.gz VEDAVSQMQYGWRV-SNVBAGLBSA-N -1 1 312.395 1.618 20 0 DDADMM Cc1cnc(C(=O)NCCCc2nnc3ccccn32)c([O-])c1 ZINC000427121467 419595760 /nfs/dbraw/zinc/59/57/60/419595760.db2.gz ITAABWNJGMNRHE-UHFFFAOYSA-N -1 1 311.345 1.501 20 0 DDADMM CCCN(C)C(=O)[C@H]1CSCN1C(=O)c1ncc(C)cc1[O-] ZINC000427107692 419596165 /nfs/dbraw/zinc/59/61/65/419596165.db2.gz LSWCMZHZZHHDKV-LLVKDONJSA-N -1 1 323.418 1.479 20 0 DDADMM Cc1cnc(C(=O)NCCCNC(=O)c2ccccc2)c([O-])c1 ZINC000427124400 419599922 /nfs/dbraw/zinc/59/99/22/419599922.db2.gz RBKZBZDCHATVQQ-UHFFFAOYSA-N -1 1 313.357 1.646 20 0 DDADMM CO[C@@](C)(CNC(=O)c1c(C)[n-]c(=O)nc1SC)C1CC1 ZINC000428269319 419841567 /nfs/dbraw/zinc/84/15/67/419841567.db2.gz IYUYKBWEOLGDNI-AWEZNQCLSA-N -1 1 311.407 1.757 20 0 DDADMM CC[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@H](CC)O1 ZINC000421993439 419797975 /nfs/dbraw/zinc/79/79/75/419797975.db2.gz ZWOOLLSMTOUVQO-QWRGUYRKSA-N -1 1 318.377 1.704 20 0 DDADMM O=S(=O)([N-][C@H](c1cc(F)ccc1F)C(F)F)c1c[nH]cn1 ZINC000432969002 229142359 /nfs/dbraw/zinc/14/23/59/229142359.db2.gz DBNQYDMRKTUEKQ-SNVBAGLBSA-N -1 1 323.271 1.973 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccccc1C1N=NC(=O)O1 ZINC000436476550 420331157 /nfs/dbraw/zinc/33/11/57/420331157.db2.gz JKUQRLUOLGMALD-MRVPVSSYSA-N -1 1 313.335 1.219 20 0 DDADMM COc1cncc(S(=O)(=O)Nc2ccc(C(=O)[O-])c(O)c2)c1 ZINC000436495049 420332655 /nfs/dbraw/zinc/33/26/55/420332655.db2.gz UPHIKBLZVCAFFL-UHFFFAOYSA-N -1 1 324.314 1.295 20 0 DDADMM O=C(Cn1cc(Cl)cn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425294542 420342546 /nfs/dbraw/zinc/34/25/46/420342546.db2.gz DDFTYBYSPFYPJF-QMMMGPOBSA-N -1 1 311.729 1.031 20 0 DDADMM O=C(c1occ2c1CCOC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425309441 420345629 /nfs/dbraw/zinc/34/56/29/420345629.db2.gz WKACIDSPDPLWBF-VIFPVBQESA-N -1 1 319.317 1.461 20 0 DDADMM O=C(c1ccc(Cl)cn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425317322 420347064 /nfs/dbraw/zinc/34/70/64/420347064.db2.gz FLPBSWPTVPQVAU-UHFFFAOYSA-N -1 1 308.725 1.843 20 0 DDADMM O=C(Cc1cnccc1Cl)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425318494 420347983 /nfs/dbraw/zinc/34/79/83/420347983.db2.gz IIVPMXZMQPDWDG-UHFFFAOYSA-N -1 1 322.752 1.772 20 0 DDADMM NC(=O)NC(=O)c1cccc(NC(=O)c2ccc(O)cc2[O-])c1 ZINC000436717686 420361469 /nfs/dbraw/zinc/36/14/69/420361469.db2.gz USMGEZGMQWMSGI-UHFFFAOYSA-N -1 1 315.285 1.159 20 0 DDADMM CC(C)CN1CCO[C@H](CNC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000436739708 420362153 /nfs/dbraw/zinc/36/21/53/420362153.db2.gz XBLLBKCNPLRKJM-OAHLLOKOSA-N -1 1 320.389 1.048 20 0 DDADMM O=C(NC[C@H](O)[C@H]1CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000436750883 420362320 /nfs/dbraw/zinc/36/23/20/420362320.db2.gz IDBDSCOFIZFLFG-UFBFGSQYSA-N -1 1 319.279 1.538 20 0 DDADMM CS(=O)(=O)c1ccc(NC(=O)c2ccc(O)cc2[O-])cn1 ZINC000436793406 420368703 /nfs/dbraw/zinc/36/87/03/420368703.db2.gz RCTQVVPWBSKBPW-UHFFFAOYSA-N -1 1 308.315 1.149 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CS[C@@H](C)C2)c1 ZINC000416579625 420423162 /nfs/dbraw/zinc/42/31/62/420423162.db2.gz CTIJZZFDJQELKY-IONNQARKSA-N -1 1 305.377 1.239 20 0 DDADMM O=C(NCCOc1ncccc1F)c1csc(=NC2CC2)[n-]1 ZINC000438371792 420452903 /nfs/dbraw/zinc/45/29/03/420452903.db2.gz ACTOSOVJYDENND-UHFFFAOYSA-N -1 1 322.365 1.482 20 0 DDADMM Cc1n[nH]c(=O)c(C(=O)Nc2nc(-c3ccccn3)n[nH]2)c1C ZINC000439024360 420476306 /nfs/dbraw/zinc/47/63/06/420476306.db2.gz XSFLYJZRCWIXLR-UHFFFAOYSA-N -1 1 311.305 1.231 20 0 DDADMM Cc1cccc2c1O[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)C2 ZINC000450838197 420543100 /nfs/dbraw/zinc/54/31/00/420543100.db2.gz FBMYYPUBUFOBNB-ZYHUDNBSSA-N -1 1 301.350 1.074 20 0 DDADMM C/C=C\COc1cccc(CC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000450956518 420568677 /nfs/dbraw/zinc/56/86/77/420568677.db2.gz FKYQMFJYYPIMMI-IHWYPQMZSA-N -1 1 313.361 1.503 20 0 DDADMM Cc1nn(C)c(Cl)c1C=CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000492477955 420570116 /nfs/dbraw/zinc/57/01/16/420570116.db2.gz VYCIYWMQJYEMLE-RPSMYOMKSA-N -1 1 323.788 1.170 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1ccc(OC)cn1)c1nn[n-]n1 ZINC000492552605 420590634 /nfs/dbraw/zinc/59/06/34/420590634.db2.gz PSQLEWIJGZZAPO-WMADIVHISA-N -1 1 302.338 1.274 20 0 DDADMM COc1ccccc1C=CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000492554808 420591443 /nfs/dbraw/zinc/59/14/43/420591443.db2.gz ULAXUDNIWHONDC-IQQGHNRFSA-N -1 1 301.350 1.484 20 0 DDADMM NC(=O)C[C@@H]1COCCN1C(=O)c1ccc2ccccc2c1[O-] ZINC000457034440 420592856 /nfs/dbraw/zinc/59/28/56/420592856.db2.gz OLSBIRNHBDAWSG-GFCCVEGCSA-N -1 1 314.341 1.262 20 0 DDADMM COc1cncc(/C=C\C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000492856801 420687655 /nfs/dbraw/zinc/68/76/55/420687655.db2.gz JCIMBSYDWPIMRD-JVYITJIXSA-N -1 1 309.329 1.397 20 0 DDADMM COCCOC[C@H](C)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442669706 420698472 /nfs/dbraw/zinc/69/84/72/420698472.db2.gz HVHXCRGGUOIKTL-VIFPVBQESA-N -1 1 317.769 1.836 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)C[C@@H]2CCCC2(F)F)n1 ZINC000453025204 420704469 /nfs/dbraw/zinc/70/44/69/420704469.db2.gz LNFNQEKHNQUHBZ-ZETCQYMHSA-N -1 1 308.306 1.405 20 0 DDADMM Cn1ccc(/C=C\C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1 ZINC000493319709 420817655 /nfs/dbraw/zinc/81/76/55/420817655.db2.gz LLNPGNGZAIYYEP-DJWKRKHSSA-N -1 1 321.358 1.030 20 0 DDADMM CCN1C[C@H](NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CC1=O ZINC000456159411 421110840 /nfs/dbraw/zinc/11/08/40/421110840.db2.gz FKQZHQCOZMNAPX-SNVBAGLBSA-N -1 1 320.393 1.139 20 0 DDADMM CNC(=O)C[C@@H](C)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000456519523 421177500 /nfs/dbraw/zinc/17/75/00/421177500.db2.gz UOKOIWHEORIECY-SSDOTTSWSA-N -1 1 304.268 1.665 20 0 DDADMM CC(=O)NCC[C@H]1CCCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000489936771 421181072 /nfs/dbraw/zinc/18/10/72/421181072.db2.gz MQGLVNOUIFIJDP-CYBMUJFWSA-N -1 1 305.378 1.474 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccccc1-n1cccn1)c1nn[n-]n1 ZINC000544689548 421227219 /nfs/dbraw/zinc/22/72/19/421227219.db2.gz OJOJPPLMHGLYSM-NSHDSACASA-N -1 1 311.349 1.261 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000496694387 421300160 /nfs/dbraw/zinc/30/01/60/421300160.db2.gz YFASESFEEYTPOY-NXEZZACHSA-N -1 1 311.386 1.436 20 0 DDADMM c1nc(N2CCCC2)ncc1CNC1(c2nn[n-]n2)CCCC1 ZINC000546035233 421282177 /nfs/dbraw/zinc/28/21/77/421282177.db2.gz MQMPRQBQJAVPJQ-UHFFFAOYSA-N -1 1 314.397 1.149 20 0 DDADMM c1nc(N2CCCC2)ncc1CNC1(c2nnn[n-]2)CCCC1 ZINC000546035233 421282180 /nfs/dbraw/zinc/28/21/80/421282180.db2.gz MQMPRQBQJAVPJQ-UHFFFAOYSA-N -1 1 314.397 1.149 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2ccccc2[O-])c2ccccc2O1 ZINC000560593416 421283096 /nfs/dbraw/zinc/28/30/96/421283096.db2.gz JXJIINMAALTZKE-HNNXBMFYSA-N -1 1 313.309 1.973 20 0 DDADMM O=C(Nc1nc(C2CC2)cs1)c1cc(=O)n2[n-]cnc2n1 ZINC000546085224 421283146 /nfs/dbraw/zinc/28/31/46/421283146.db2.gz LAWKFTGWEJPIMG-UHFFFAOYSA-N -1 1 302.319 1.004 20 0 DDADMM O=C(NC[C@@H](O)COc1ccccc1)c1c([O-])cccc1F ZINC000547480515 421335702 /nfs/dbraw/zinc/33/57/02/421335702.db2.gz ISJCGXLMPAJJGS-LLVKDONJSA-N -1 1 305.305 1.701 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)CC[C@H]1CCCO1 ZINC000527047941 421351942 /nfs/dbraw/zinc/35/19/42/421351942.db2.gz KDDBZVXRYMERKB-SNVBAGLBSA-N -1 1 311.407 1.854 20 0 DDADMM O=C1OCCN1C[C@@H]1CCN(Cc2cc(=O)[nH]c(C3CC3)n2)C1 ZINC000497021803 421352212 /nfs/dbraw/zinc/35/22/12/421352212.db2.gz MXYNWMDVUNGLHS-LLVKDONJSA-N -1 1 318.377 1.334 20 0 DDADMM CO[C@@H]1CCC[C@@H](CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1 ZINC000527250873 421371366 /nfs/dbraw/zinc/37/13/66/421371366.db2.gz RHQXOIDOEWEVPX-FRRDWIJNSA-N -1 1 307.398 1.501 20 0 DDADMM O=C([O-])c1cnc(N2CCC(CCN3CCOCC3)CC2)nc1 ZINC000514605892 421438771 /nfs/dbraw/zinc/43/87/71/421438771.db2.gz SHAFYNXLKZXTST-UHFFFAOYSA-N -1 1 320.393 1.114 20 0 DDADMM CN(Cc1ccccc1)C1CN(C(=O)NCCCC(=O)[O-])C1 ZINC000563091674 421454232 /nfs/dbraw/zinc/45/42/32/421454232.db2.gz CXJXZZHONDVXNV-UHFFFAOYSA-N -1 1 305.378 1.377 20 0 DDADMM C[C@H](C(=O)[O-])C(=O)N1CCC(CCCN2CCOCC2)CC1 ZINC000563131318 421460517 /nfs/dbraw/zinc/46/05/17/421460517.db2.gz YFGCBWPMYCVEML-ZDUSSCGKSA-N -1 1 312.410 1.058 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2CCN(C3CCC3)CC2)[n-]n1 ZINC000551865496 421547037 /nfs/dbraw/zinc/54/70/37/421547037.db2.gz LLYFGORLZPBDCA-UHFFFAOYSA-N -1 1 319.409 1.388 20 0 DDADMM CCn1cccc(CNC(=O)c2csc(=NC3CC3)[n-]2)c1=O ZINC000516907129 421576860 /nfs/dbraw/zinc/57/68/60/421576860.db2.gz KVBCAPXNHYGCSK-UHFFFAOYSA-N -1 1 318.402 1.251 20 0 DDADMM O=C(C(=O)N1CCC[C@H]1c1ccc(=O)[nH]n1)c1ccc([O-])cc1 ZINC000530081415 421577345 /nfs/dbraw/zinc/57/73/45/421577345.db2.gz ZAWKZSDXWNQWGL-ZDUSSCGKSA-N -1 1 313.313 1.434 20 0 DDADMM Cc1cnc(SCC(=O)N2CCO[C@@H]3CCCC[C@@H]32)[n-]c1=O ZINC000563636702 421531257 /nfs/dbraw/zinc/53/12/57/421531257.db2.gz NBOASNPVHDIUKQ-NWDGAFQWSA-N -1 1 323.418 1.753 20 0 DDADMM O=C(c1cccc2[nH]c(=O)oc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000551786845 421543459 /nfs/dbraw/zinc/54/34/59/421543459.db2.gz LADHDPJPCQOLAP-QMMMGPOBSA-N -1 1 314.305 1.066 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccccc1C(=O)OC)c1nn[n-]n1 ZINC000553527000 421619171 /nfs/dbraw/zinc/61/91/71/421619171.db2.gz IZPCHLHGOPRGNF-GFCCVEGCSA-N -1 1 317.349 1.186 20 0 DDADMM O=C(CCC(=O)c1ccccc1)NC1(c2nn[n-]n2)CCCC1 ZINC000517619566 421622360 /nfs/dbraw/zinc/62/23/60/421622360.db2.gz BXSVLKFNBUMWAL-UHFFFAOYSA-N -1 1 313.361 1.748 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000534288749 421687527 /nfs/dbraw/zinc/68/75/27/421687527.db2.gz BQIQXUNHMZNTKB-NEPJUHHUSA-N -1 1 322.386 1.626 20 0 DDADMM O=S(=O)([N-]c1cc([C@@H]2CCCOC2)n[nH]1)c1cscn1 ZINC000519535906 421698144 /nfs/dbraw/zinc/69/81/44/421698144.db2.gz HIFHYTROJJFNCZ-MRVPVSSYSA-N -1 1 314.392 1.561 20 0 DDADMM CCc1noc(CC)c1CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538223829 421737396 /nfs/dbraw/zinc/73/73/96/421737396.db2.gz CTXWDJSFODFFJD-JTQLQIEISA-N -1 1 318.381 1.261 20 0 DDADMM CN(C)c1ccc(NS(=O)(=O)c2ccoc2)c(C(=O)[O-])c1 ZINC000571748354 421740307 /nfs/dbraw/zinc/74/03/07/421740307.db2.gz GGIMGLWHMXQHKS-UHFFFAOYSA-N -1 1 310.331 1.845 20 0 DDADMM Cc1c[nH]cc(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)c1=O ZINC000539790406 421753233 /nfs/dbraw/zinc/75/32/33/421753233.db2.gz SMEMQFDGTYLXGZ-UHFFFAOYSA-N -1 1 300.343 1.809 20 0 DDADMM COC(=O)[C@@H](NCc1ncccc1[O-])c1ccc(OC)c(O)c1 ZINC000558409366 421804645 /nfs/dbraw/zinc/80/46/45/421804645.db2.gz NKFDUUMLARNPKM-HNNXBMFYSA-N -1 1 318.329 1.505 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(CC(C)C)c1)C1CC1 ZINC000580952452 421872655 /nfs/dbraw/zinc/87/26/55/421872655.db2.gz FOMJKKPCUXTNHW-CYBMUJFWSA-N -1 1 301.412 1.706 20 0 DDADMM C[C@H]1CN(CCN2CCc3c(cccc3C(=O)[O-])C2)CCO1 ZINC000580964327 421874458 /nfs/dbraw/zinc/87/44/58/421874458.db2.gz ZATGAMJTWOGRML-ZDUSSCGKSA-N -1 1 304.390 1.464 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccc(C(F)F)o1)NC1CCCC1 ZINC000631836435 421869498 /nfs/dbraw/zinc/86/94/98/421869498.db2.gz YDIZEXAJEDIQKU-UHFFFAOYSA-N -1 1 322.333 1.554 20 0 DDADMM COCc1cccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1 ZINC000630223756 421972803 /nfs/dbraw/zinc/97/28/03/421972803.db2.gz LHHBPUNOLRHHFP-UHFFFAOYSA-N -1 1 320.389 1.454 20 0 DDADMM COc1ccc(CNCCOCC(=O)[O-])c(Br)c1 ZINC000635329579 421915131 /nfs/dbraw/zinc/91/51/31/421915131.db2.gz VGBZKPOLVSDUDM-UHFFFAOYSA-N -1 1 318.167 1.649 20 0 DDADMM COc1ccc([C@@H](NC(=O)[C@H](C)Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000630143417 421916704 /nfs/dbraw/zinc/91/67/04/421916704.db2.gz FOLRQAVKHPPCBX-QMTHXVAHSA-N -1 1 317.345 1.539 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccccc2)CC1 ZINC000630223616 421973285 /nfs/dbraw/zinc/97/32/85/421973285.db2.gz KBMDAUUDIOYKDG-AWEZNQCLSA-N -1 1 304.390 1.627 20 0 DDADMM CC[C@@H](C)C[C@@H](N=c1nc(CCOC)[n-]s1)C(=O)OC ZINC000573115228 421924252 /nfs/dbraw/zinc/92/42/52/421924252.db2.gz MTWDFBLDXCHXGL-NXEZZACHSA-N -1 1 301.412 1.539 20 0 DDADMM CC(C)OCCCC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630218700 421968472 /nfs/dbraw/zinc/96/84/72/421968472.db2.gz FDXPWRXTZPSDES-CYBMUJFWSA-N -1 1 300.399 1.199 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@H](C)c1ncc(C(=O)[O-])s1 ZINC000630220812 421969950 /nfs/dbraw/zinc/96/99/50/421969950.db2.gz XEFIRTJHRGELLT-HTQZYQBOSA-N -1 1 308.363 1.620 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCc2cc(Cl)ccc21 ZINC000635415210 421971642 /nfs/dbraw/zinc/97/16/42/421971642.db2.gz APXJJWYTJPLTKS-LBPRGKRZSA-N -1 1 305.769 1.980 20 0 DDADMM CNC(=O)[C@H](C)CN(C)C(=O)N=c1[n-]sc2ccccc21 ZINC000630347997 422035697 /nfs/dbraw/zinc/03/56/97/422035697.db2.gz IKDDKFQGNSKQAI-SECBINFHSA-N -1 1 306.391 1.564 20 0 DDADMM CCC(=O)N1CC[C@H](NC(=O)N=c2[n-]sc3ccccc32)C1 ZINC000630352157 422040603 /nfs/dbraw/zinc/04/06/03/422040603.db2.gz UGRJPAJHJDDUME-JTQLQIEISA-N -1 1 318.402 1.851 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000630224168 421975448 /nfs/dbraw/zinc/97/54/48/421975448.db2.gz MMISSXKMLNLONX-NSHDSACASA-N -1 1 320.345 1.036 20 0 DDADMM COc1cccc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000630224182 421975464 /nfs/dbraw/zinc/97/54/64/421975464.db2.gz MTDXATYFZUOOIN-LBPRGKRZSA-N -1 1 306.362 1.316 20 0 DDADMM COCCC1(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)CCC1 ZINC000630225734 421976267 /nfs/dbraw/zinc/97/62/67/421976267.db2.gz SFQJCAVNUWXDEI-ZDUSSCGKSA-N -1 1 312.410 1.201 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccc3cc[nH]c3n2)CC1 ZINC000630226648 421976498 /nfs/dbraw/zinc/97/64/98/421976498.db2.gz BNMBHKYAUYKMAI-UHFFFAOYSA-N -1 1 316.361 1.184 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)CCCC(=O)[O-])c[n-]c2[nH+]1 ZINC000581607580 422012345 /nfs/dbraw/zinc/01/23/45/422012345.db2.gz JVOIBJUATQAWIG-UHFFFAOYSA-N -1 1 303.318 1.581 20 0 DDADMM O=C(N=c1ccc([O-])n[nH]1)[C@H]1[C@@H]2c3cccc(Cl)c3C[C@H]12 ZINC000637127791 422018013 /nfs/dbraw/zinc/01/80/13/422018013.db2.gz MDTBMTMPJPFFDE-CUOATXAZSA-N -1 1 301.733 1.782 20 0 DDADMM CC[C@@H](CSc1ccccc1)NC(=O)CCc1nn[n-]n1 ZINC000632072868 422069206 /nfs/dbraw/zinc/06/92/06/422069206.db2.gz OLNBCAPPFLWUHZ-NSHDSACASA-N -1 1 305.407 1.819 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCCS2)c1 ZINC000632091653 422080891 /nfs/dbraw/zinc/08/08/91/422080891.db2.gz LKKWDSHWVPPCFU-SNVBAGLBSA-N -1 1 303.405 1.575 20 0 DDADMM CC(C)Cc1n[n-]c(=NC(=O)N2CC[C@H](c3nc[nH]n3)C2)s1 ZINC000574272250 422085966 /nfs/dbraw/zinc/08/59/66/422085966.db2.gz LOHSKQQSPLOSMX-VIFPVBQESA-N -1 1 321.410 1.298 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)C12CC3CC(CC(C3)C1)C2 ZINC000630421592 422089907 /nfs/dbraw/zinc/08/99/07/422089907.db2.gz RAVJCEZELXCCGL-GYPVXTSCSA-N -1 1 303.410 1.853 20 0 DDADMM CN(C)[C@H](CNC(=O)NCCCCC(=O)[O-])c1ccsc1 ZINC000635505021 422051005 /nfs/dbraw/zinc/05/10/05/422051005.db2.gz GRSMEHGCRZZBEQ-GFCCVEGCSA-N -1 1 313.423 1.905 20 0 DDADMM COc1cc(CN[C@@H](C(=O)[O-])c2cnn(C)c2)ccc1Cl ZINC000630458381 422115838 /nfs/dbraw/zinc/11/58/38/422115838.db2.gz ZSHSNYGENDIYBS-CYBMUJFWSA-N -1 1 309.753 1.998 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccccc1OC1CC1 ZINC000633691376 422053161 /nfs/dbraw/zinc/05/31/61/422053161.db2.gz OLQMYXIGWBSHGR-UHFFFAOYSA-N -1 1 314.345 1.293 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)[C@@H]2CCCCO2)c1 ZINC000632187006 422147162 /nfs/dbraw/zinc/14/71/62/422147162.db2.gz ZNDREMAGPFMEJN-GWCFXTLKSA-N -1 1 315.391 1.637 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](C)[C@H](F)C2)c1 ZINC000632187186 422151264 /nfs/dbraw/zinc/15/12/64/422151264.db2.gz WTUFCQMWCOMLIB-MWLCHTKSSA-N -1 1 303.355 1.769 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC2C[C@@H](C)O[C@H](C)C2)c1 ZINC000632167718 422133562 /nfs/dbraw/zinc/13/35/62/422133562.db2.gz VUXLYJRFTSAMLG-NXEZZACHSA-N -1 1 315.391 1.635 20 0 DDADMM CCN(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632269014 422209908 /nfs/dbraw/zinc/20/99/08/422209908.db2.gz WFUOAAMJYHCJKJ-ZETCQYMHSA-N -1 1 310.322 1.362 20 0 DDADMM O=C1CCC[C@H](C[N-]S(=O)(=O)c2ccc(C(F)F)o2)N1 ZINC000632288981 422224606 /nfs/dbraw/zinc/22/46/06/422224606.db2.gz OOHWQJNRZWAJTQ-SSDOTTSWSA-N -1 1 308.306 1.164 20 0 DDADMM O=C(N[C@H]1CC(=O)N2CCCC[C@@H]12)c1csc(=NC2CC2)[n-]1 ZINC000575432509 422298933 /nfs/dbraw/zinc/29/89/33/422298933.db2.gz FRPFDIRZHWGWDW-JQWIXIFHSA-N -1 1 320.418 1.023 20 0 DDADMM COC1([C@H](C)[N-]S(=O)(=O)c2cc(C)ns2)CCOCC1 ZINC000632476337 422376435 /nfs/dbraw/zinc/37/64/35/422376435.db2.gz ZRXLNXCVSUMCGL-JTQLQIEISA-N -1 1 320.436 1.314 20 0 DDADMM CC1(C)CNC(=O)[C@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632566811 422442201 /nfs/dbraw/zinc/44/22/01/422442201.db2.gz MYSJEIIPWDJLKL-MRVPVSSYSA-N -1 1 308.306 1.020 20 0 DDADMM CC(C)N1C[C@H](NC(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000630887903 422396294 /nfs/dbraw/zinc/39/62/94/422396294.db2.gz WCLNMEUEJHAHHJ-LLVKDONJSA-N -1 1 310.781 1.714 20 0 DDADMM CC1(C)Cc2cccc(NC(=O)CCCc3nn[n-]n3)c2O1 ZINC000635844271 422402147 /nfs/dbraw/zinc/40/21/47/422402147.db2.gz NZPXXLIJRAXVPI-UHFFFAOYSA-N -1 1 301.350 1.875 20 0 DDADMM NC(=O)C1CCC(NC(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000630925625 422417161 /nfs/dbraw/zinc/41/71/61/422417161.db2.gz JZNRLNMKACDAKS-UHFFFAOYSA-N -1 1 310.781 1.748 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CC1 ZINC000632538655 422419596 /nfs/dbraw/zinc/41/95/96/422419596.db2.gz QUGDLDDVBSTRBA-UHFFFAOYSA-N -1 1 313.301 1.133 20 0 DDADMM C[C@@H]1CCN([C@H]2CCN(CC(F)(F)F)C2=O)C[C@H]1C(=O)[O-] ZINC000578131510 422505737 /nfs/dbraw/zinc/50/57/37/422505737.db2.gz FNNWYEWXJAMQNQ-BBBLOLIVSA-N -1 1 308.300 1.192 20 0 DDADMM Cc1c(=O)n(CCCN2CCOCC2)[n-]c1-c1ccccc1 ZINC000634616430 422508159 /nfs/dbraw/zinc/50/81/59/422508159.db2.gz MHNAALDPTSQUJH-AWEZNQCLSA-N -1 1 301.390 1.591 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(F)cc(F)c1)[C@@H](O)C(F)(F)F ZINC000632673554 422509459 /nfs/dbraw/zinc/50/94/59/422509459.db2.gz NTNYCFWSEMMAGK-MLUIRONXSA-N -1 1 319.251 1.555 20 0 DDADMM COc1ccc(CC(C)(C)NC(=O)CCc2nn[n-]n2)cc1 ZINC000632682634 422519918 /nfs/dbraw/zinc/51/99/18/422519918.db2.gz KTVLGPUXUHFULN-UHFFFAOYSA-N -1 1 303.366 1.278 20 0 DDADMM Cc1ccccc1[C@]1(F)CCN(C(=O)CCCc2nn[n-]n2)C1 ZINC000635898119 422452838 /nfs/dbraw/zinc/45/28/38/422452838.db2.gz IPCGMCYJVWZNOZ-INIZCTEOSA-N -1 1 317.368 1.928 20 0 DDADMM C[C@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)[C@H](C(N)=O)C1 ZINC000632583515 422453466 /nfs/dbraw/zinc/45/34/66/422453466.db2.gz FWDYGDDRSIGINM-CABZTGNLSA-N -1 1 310.781 1.701 20 0 DDADMM COc1ccc(-c2[n-]n([C@@H]3CCS(=O)(=O)C3)c(=O)c2C)cc1 ZINC000634584726 422494677 /nfs/dbraw/zinc/49/46/77/422494677.db2.gz LJOSGQMXDIDYCW-CMPLNLGQSA-N -1 1 322.386 1.065 20 0 DDADMM CCc1[n-]n(-c2nccc(N3CCOCC3)n2)c(=O)c1C(C)C ZINC000634607135 422503675 /nfs/dbraw/zinc/50/36/75/422503675.db2.gz NSSXVVNUISLHJH-CQSZACIVSA-N -1 1 317.393 1.698 20 0 DDADMM C[C@@H](Cc1cncc2ccccc21)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000578272207 422536981 /nfs/dbraw/zinc/53/69/81/422536981.db2.gz GZGKVCIGTOYQPR-NSHDSACASA-N -1 1 324.388 1.978 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CC[C@@H](c2cccc(F)c2)C1 ZINC000632818701 422600940 /nfs/dbraw/zinc/60/09/40/422600940.db2.gz VFCRCWYHDTUTQK-DGCLKSJQSA-N -1 1 303.341 1.724 20 0 DDADMM Cc1ccc(CN(CC2CC2)C(=O)CCCc2nn[n-]n2)cn1 ZINC000636063175 422606244 /nfs/dbraw/zinc/60/62/44/422606244.db2.gz NGLBPSWTXACPQA-UHFFFAOYSA-N -1 1 314.393 1.665 20 0 DDADMM C[C@@H]1C[C@H](CN=c2ccc(C(=O)NC3CCCC3)n[n-]2)[C@@H](C)O1 ZINC000578725245 422632120 /nfs/dbraw/zinc/63/21/20/422632120.db2.gz JZBXTIBDIMGVSA-JHJVBQTASA-N -1 1 318.421 1.796 20 0 DDADMM O=C([O-])[C@@H]1CCN(Cc2cn(CC3CC3)nn2)[C@@H]2CCC[C@@H]21 ZINC000629375216 422637915 /nfs/dbraw/zinc/63/79/15/422637915.db2.gz YIIPLFNPRSFZIH-RBSFLKMASA-N -1 1 304.394 1.763 20 0 DDADMM CCn1ncc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1C ZINC000632877644 422639535 /nfs/dbraw/zinc/63/95/35/422639535.db2.gz WWUPDWQRGWILKP-UHFFFAOYSA-N -1 1 305.338 1.320 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC[C@@H](n2ccnn2)CC1 ZINC000636190588 422702991 /nfs/dbraw/zinc/70/29/91/422702991.db2.gz SPLHPNWELCQUFF-GFCCVEGCSA-N -1 1 304.325 1.990 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC([C@@H]2CCCCO2)CC1 ZINC000636205034 422713561 /nfs/dbraw/zinc/71/35/61/422713561.db2.gz QKTDCYNARZJKNR-ZDUSSCGKSA-N -1 1 307.398 1.330 20 0 DDADMM O=C(CCc1nn[n-]n1)N(CCc1cccc(F)c1)C1CC1 ZINC000631305238 422674294 /nfs/dbraw/zinc/67/42/94/422674294.db2.gz RZPKIISDCVCLPV-UHFFFAOYSA-N -1 1 303.341 1.505 20 0 DDADMM CC1(C)CN(C(=O)CCCc2nn[n-]n2)CCc2ccccc21 ZINC000636160224 422684710 /nfs/dbraw/zinc/68/47/10/422684710.db2.gz BNCAJXCMXZRBPG-UHFFFAOYSA-N -1 1 313.405 1.885 20 0 DDADMM Cn1cnc(CCC[N-]S(=O)(=O)c2cc(F)ccc2F)n1 ZINC000645805094 423195690 /nfs/dbraw/zinc/19/56/90/423195690.db2.gz PIZXFWKPAMQRSG-UHFFFAOYSA-N -1 1 316.333 1.004 20 0 DDADMM CSc1ccncc1[N-]S(=O)(=O)CCOCC1CC1 ZINC000643834363 423375321 /nfs/dbraw/zinc/37/53/21/423375321.db2.gz MAZHBYLYWLFZGL-UHFFFAOYSA-N -1 1 302.421 1.972 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCc3cccs3)nc2n1 ZINC000643909038 423401562 /nfs/dbraw/zinc/40/15/62/423401562.db2.gz AYGIRTGURXJWGR-UHFFFAOYSA-N -1 1 303.347 1.359 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CSc3ccccc3)nc2n1 ZINC000643909526 423402466 /nfs/dbraw/zinc/40/24/66/423402466.db2.gz FKPHDQWKZDIXSJ-UHFFFAOYSA-N -1 1 315.358 1.457 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CN(Cc2ccccc2)CCO1 ZINC000646412718 423479974 /nfs/dbraw/zinc/47/99/74/423479974.db2.gz KWBPOEZGCGOHIY-ZDUSSCGKSA-N -1 1 316.361 1.263 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@H](C(=O)Nc2c(C)[n-][nH]c2=O)C1 ZINC000646411715 423480218 /nfs/dbraw/zinc/48/02/18/423480218.db2.gz OWUOYWUCXGJTJD-ONGXEEELSA-N -1 1 308.382 1.647 20 0 DDADMM C[C@@]1(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCc2ccccc21 ZINC000648838197 423491224 /nfs/dbraw/zinc/49/12/24/423491224.db2.gz YZSVYBPGEISSSZ-CXAGYDPISA-N -1 1 311.389 1.810 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cn(-c2ccccc2)cn1 ZINC000651353460 423503812 /nfs/dbraw/zinc/50/38/12/423503812.db2.gz HKVQCOHLZXFDTQ-UHFFFAOYSA-N -1 1 323.360 1.585 20 0 DDADMM C[C@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@@H](C(=O)[O-])C1 ZINC000653661012 423569661 /nfs/dbraw/zinc/56/96/61/423569661.db2.gz LRIVOSXURXXVDP-TVQRCGJNSA-N -1 1 303.318 1.995 20 0 DDADMM CC(C)(O)C1CCC(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CC1 ZINC000651561489 423594991 /nfs/dbraw/zinc/59/49/91/423594991.db2.gz NGJXWJMSMVXVQO-CPCZMJQVSA-N -1 1 321.425 1.483 20 0 DDADMM O=C(NC1COCCOC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000646799766 423612427 /nfs/dbraw/zinc/61/24/27/423612427.db2.gz IEHWWBBUBWIQEB-UHFFFAOYSA-N -1 1 305.252 1.556 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnc2n1[C@H](C)CCC2)c1nn[n-]n1 ZINC000651721023 423657118 /nfs/dbraw/zinc/65/71/18/423657118.db2.gz QMJWXBTZFQTVRF-VHSXEESVSA-N -1 1 303.370 1.169 20 0 DDADMM COc1ccc(CN[C@H](C(=O)[O-])c2ccnn2C)cc1OC ZINC000644598229 423718431 /nfs/dbraw/zinc/71/84/31/423718431.db2.gz SZDKOBSLJFWIAG-AWEZNQCLSA-N -1 1 305.334 1.353 20 0 DDADMM N=c1[n-]nc([C@@H]2CCCN(C[C@@H]3COc4ccccc4O3)C2)o1 ZINC000639818729 423756679 /nfs/dbraw/zinc/75/66/79/423756679.db2.gz CKZFYDBKSWNJEE-VXGBXAGGSA-N -1 1 316.361 1.502 20 0 DDADMM CC(C)(C)N1CC[C@@H](N2CCC[C@@H](c3n[n-]c(=N)o3)C2)C1=O ZINC000639819169 423757173 /nfs/dbraw/zinc/75/71/73/423757173.db2.gz FWVXHSQGXVCEQZ-GHMZBOCLSA-N -1 1 307.398 1.061 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnn([C@@H](C)c2ccccc2)c1 ZINC000647135248 423773415 /nfs/dbraw/zinc/77/34/15/423773415.db2.gz IOMJCGWJLTXBDI-LBPRGKRZSA-N -1 1 309.391 1.881 20 0 DDADMM COC[C@@H](C)[N-]S(=O)(=O)c1ncccc1Br ZINC000656860610 423808755 /nfs/dbraw/zinc/80/87/55/423808755.db2.gz IBCMHRLQWWQZNW-SSDOTTSWSA-N -1 1 309.185 1.157 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]Cc1noc(C)n1)C1CCCCC1 ZINC000656919086 423877235 /nfs/dbraw/zinc/87/72/35/423877235.db2.gz PCXCWUAWIYWSKX-LBPRGKRZSA-N -1 1 317.411 1.393 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccc(-c3n[nH]c(C)n3)cc2)CC1 ZINC000641683574 423856794 /nfs/dbraw/zinc/85/67/94/423856794.db2.gz LMCGVEPGMKUNGE-UHFFFAOYSA-N -1 1 322.390 1.701 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccnc1NC(C)=O)C(C)C ZINC000647273181 423942533 /nfs/dbraw/zinc/94/25/33/423942533.db2.gz CPPBUZFZWRIDFO-GFCCVEGCSA-N -1 1 315.395 1.453 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C[C@@H](CO)O1 ZINC000649824260 424225882 /nfs/dbraw/zinc/22/58/82/424225882.db2.gz BDKNWLAHLSVRBI-WPRPVWTQSA-N -1 1 319.279 1.633 20 0 DDADMM O=C([O-])COc1cccc(C(=O)NCCN2CC=CCC2)c1 ZINC000659759500 424226615 /nfs/dbraw/zinc/22/66/15/424226615.db2.gz CDKJTBOCFLUCLX-UHFFFAOYSA-N -1 1 304.346 1.142 20 0 DDADMM COc1cc(C(=O)N(C)[C@@H]2CCN(C)C2=O)cc(Cl)c1[O-] ZINC000341730543 271189871 /nfs/dbraw/zinc/18/98/71/271189871.db2.gz OBKLOOYIKNFPRJ-SNVBAGLBSA-N -1 1 312.753 1.357 20 0 DDADMM CN(C(=O)c1c([O-])cnc2ccc(Cl)cc21)[C@H]1COC[C@@H]1O ZINC000342360980 271389812 /nfs/dbraw/zinc/38/98/12/271389812.db2.gz XSZMRWFOCBXVSG-AAEUAGOBSA-N -1 1 322.748 1.426 20 0 DDADMM CC(=O)c1ccc(NC(=O)CNC(=O)c2ncccc2[O-])cc1 ZINC000343178957 271674344 /nfs/dbraw/zinc/67/43/44/271674344.db2.gz GXIOALGJGPBTOM-UHFFFAOYSA-N -1 1 313.313 1.358 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1C[C@@H]1C1CCCCC1 ZINC000343528905 271793824 /nfs/dbraw/zinc/79/38/24/271793824.db2.gz AOMATQKFQDNEGV-OLZOCXBDSA-N -1 1 317.389 1.602 20 0 DDADMM CCCCN(CC)C(=O)CN1C[C@@H](C(=O)[O-])[C@H](C(F)(F)F)C1 ZINC000345502424 272267325 /nfs/dbraw/zinc/26/73/25/272267325.db2.gz GLDNWYMSNJAVPY-GHMZBOCLSA-N -1 1 324.343 1.830 20 0 DDADMM CC(C)c1ccc(N2CC[C@@H](C(=O)Nc3nnn[n-]3)C2=O)cc1 ZINC000265967850 276054047 /nfs/dbraw/zinc/05/40/47/276054047.db2.gz UBSJOQSVLMVYLV-LBPRGKRZSA-N -1 1 314.349 1.315 20 0 DDADMM CC(C)c1ccc(N2CC[C@@H](C(=O)Nc3nn[n-]n3)C2=O)cc1 ZINC000265967850 276054048 /nfs/dbraw/zinc/05/40/48/276054048.db2.gz UBSJOQSVLMVYLV-LBPRGKRZSA-N -1 1 314.349 1.315 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@H](Nc2nc3[nH][n-]cc-3c(=O)n2)C1(C)C ZINC000413599469 277217950 /nfs/dbraw/zinc/21/79/50/277217950.db2.gz CFGNEYOVHOGEQH-VHSXEESVSA-N -1 1 305.382 1.826 20 0 DDADMM C[C@H]1CO[C@@H](CO)CN1C(=O)c1cc2ccccc2cc1[O-] ZINC000129260291 281338399 /nfs/dbraw/zinc/33/83/99/281338399.db2.gz XTEVGNCEGBBLCF-SMDDNHRTSA-N -1 1 301.342 1.767 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1c2ccccc2O[C@H]1C ZINC000289756998 294063348 /nfs/dbraw/zinc/06/33/48/294063348.db2.gz GVKGKRGHUPHFPN-CPCISQLKSA-N -1 1 309.347 1.366 20 0 DDADMM C/C=C\C[C@H](CO)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000354191162 298357233 /nfs/dbraw/zinc/35/72/33/298357233.db2.gz PRFQQZALXYKLFJ-JMEBYUIHSA-N -1 1 307.394 1.845 20 0 DDADMM CCN1C[C@H](C)N(C(=O)N=c2nc3ccccn3[n-]2)C[C@@H]1C ZINC000354205721 298362905 /nfs/dbraw/zinc/36/29/05/298362905.db2.gz RSZXRWCALLIFPX-RYUDHWBXSA-N -1 1 302.382 1.098 20 0 DDADMM C[C@H](CCC(=O)[O-])NS(=O)(=O)c1c(F)cc(F)cc1F ZINC000070312197 298369018 /nfs/dbraw/zinc/36/90/18/298369018.db2.gz USDZECBDIFUOLT-ZCFIWIBFSA-N -1 1 311.281 1.636 20 0 DDADMM O=c1cc(CN2CC[C@@H]3CCOC(=O)[C@H]32)c2ccc([O-])cc2o1 ZINC000364358211 300382865 /nfs/dbraw/zinc/38/28/65/300382865.db2.gz FMSSHIJILYDBTN-HWPZZCPQSA-N -1 1 315.325 1.636 20 0 DDADMM CCCCCNC(=O)[C@@H](C)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000367362237 300836447 /nfs/dbraw/zinc/83/64/47/300836447.db2.gz VPELHZUIDKUFSN-LLVKDONJSA-N -1 1 309.414 1.385 20 0 DDADMM O=C(c1ccc(Cl)cc1F)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370857355 301398126 /nfs/dbraw/zinc/39/81/26/301398126.db2.gz NLWKJKMFFXGSCM-JTQLQIEISA-N -1 1 311.704 1.206 20 0 DDADMM O=C(c1ccc(-c2ccccc2)[nH]1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370858761 301398236 /nfs/dbraw/zinc/39/82/36/301398236.db2.gz SBVJYWJQCOHWCB-CQSZACIVSA-N -1 1 324.344 1.409 20 0 DDADMM Cn1nncc1C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000374224001 301781092 /nfs/dbraw/zinc/78/10/92/301781092.db2.gz GWSBAWJPEWGMRT-UHFFFAOYSA-N -1 1 314.345 1.256 20 0 DDADMM CNC(=O)c1ccc(=NC[C@H]2CCCc3sc(C)nc32)[n-]n1 ZINC000376020139 302025580 /nfs/dbraw/zinc/02/55/80/302025580.db2.gz UFZYFHHQPOSDMS-SNVBAGLBSA-N -1 1 317.418 1.555 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H]3CCCCC[C@@H]32)o1 ZINC000377234580 302157926 /nfs/dbraw/zinc/15/79/26/302157926.db2.gz AJNZWIUSMVVZMB-MNOVXSKESA-N -1 1 312.391 1.592 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3OCCc4ccccc43)ccnc1-2 ZINC000377394902 302176524 /nfs/dbraw/zinc/17/65/24/302176524.db2.gz ZDJNCUAOJBJRSQ-AUQXIXNCSA-N -1 1 308.341 1.594 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@H]1c1cccc(C)n1 ZINC000377850976 302243072 /nfs/dbraw/zinc/24/30/72/302243072.db2.gz HXCWNRSYWNYTCX-LBPRGKRZSA-N -1 1 322.390 1.625 20 0 DDADMM COC(=O)c1ccc2c(c1)CN(C(=O)c1ncccc1[O-])CC2 ZINC000356675571 306860072 /nfs/dbraw/zinc/86/00/72/306860072.db2.gz LJJZVUVPCMPADY-UHFFFAOYSA-N -1 1 312.325 1.772 20 0 DDADMM CC(C)c1n[n-]c(=NCc2cccnc2N2CCN(C)CC2)o1 ZINC000519864373 302857159 /nfs/dbraw/zinc/85/71/59/302857159.db2.gz ZWSVUCPTFKIFCJ-UHFFFAOYSA-N -1 1 316.409 1.374 20 0 DDADMM C[C@]1(CN=c2[n-]c(C(N)=O)cs2)COc2ccccc2O1 ZINC000528081752 303002213 /nfs/dbraw/zinc/00/22/13/303002213.db2.gz WCBKACBKKNITPA-AWEZNQCLSA-N -1 1 305.359 1.306 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@@H]1CCCO1)c1cc(Cl)ccc1F ZINC000528483570 303032869 /nfs/dbraw/zinc/03/28/69/303032869.db2.gz GSEMYJORGYDAAY-QWRGUYRKSA-N -1 1 323.773 1.297 20 0 DDADMM Cn1[n-]c(CN2CCC[C@]3(CCc4ccccc4O3)C2)nc1=O ZINC000528728879 303058590 /nfs/dbraw/zinc/05/85/90/303058590.db2.gz LSSUAPYOHTZOPZ-KRWDZBQOSA-N -1 1 314.389 1.468 20 0 DDADMM O=C(NC[C@H](O)[C@H]1CCCO1)c1ncc2ccccc2c1[O-] ZINC000529771173 303153651 /nfs/dbraw/zinc/15/36/51/303153651.db2.gz PMTDMFNYERDTHT-QWHCGFSZSA-N -1 1 302.330 1.210 20 0 DDADMM c1ccc(CCN=c2[n-]nc(SCc3nn[nH]n3)s2)cc1 ZINC000531438458 303245025 /nfs/dbraw/zinc/24/50/25/303245025.db2.gz SBJCTHFGKGLDQZ-UHFFFAOYSA-N -1 1 319.419 1.420 20 0 DDADMM O=C([O-])CCN(C[C@H]1CCCO1)C(=O)c1cccc2c[nH]nc21 ZINC000532257595 303280311 /nfs/dbraw/zinc/28/03/11/303280311.db2.gz ZORFJRTVKMZHCT-GFCCVEGCSA-N -1 1 317.345 1.659 20 0 DDADMM CC(=O)c1c(C)[nH]c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1C ZINC000533113630 303309780 /nfs/dbraw/zinc/30/97/80/303309780.db2.gz PYQUGZJYHDOOIX-LLVKDONJSA-N -1 1 316.365 1.367 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc(S(C)(=O)=O)cc2)c([O-])c1 ZINC000358607617 306944856 /nfs/dbraw/zinc/94/48/56/306944856.db2.gz ZFXYPJFFTISTIX-UHFFFAOYSA-N -1 1 320.370 1.429 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2ccc(C3CCC3)cc2)n[n-]1 ZINC000361857981 307009358 /nfs/dbraw/zinc/00/93/58/307009358.db2.gz MXRLAERTHJKDLH-UHFFFAOYSA-N -1 1 320.374 1.728 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc(C3CCC3)cc2)n1 ZINC000361857981 307009359 /nfs/dbraw/zinc/00/93/59/307009359.db2.gz MXRLAERTHJKDLH-UHFFFAOYSA-N -1 1 320.374 1.728 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1ccc([C@H]2C[C@@H]2C)o1 ZINC000363682033 307033489 /nfs/dbraw/zinc/03/34/89/307033489.db2.gz WFWSGLDXXYUGDH-UWVGGRQHSA-N -1 1 317.345 1.987 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCN2CCOC[C@H]2C1 ZINC000363865136 307037055 /nfs/dbraw/zinc/03/70/55/307037055.db2.gz GAMTWUFYPNJMDD-OAHLLOKOSA-N -1 1 312.369 1.702 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@H](n2cccn2)C1 ZINC000365115531 307059187 /nfs/dbraw/zinc/05/91/87/307059187.db2.gz VHZPPZSPULFJHM-LBPRGKRZSA-N -1 1 323.356 1.597 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCOC2(CCCC2)C1 ZINC000367689227 307102147 /nfs/dbraw/zinc/10/21/47/307102147.db2.gz UJQLXGNKIFNHTL-SNVBAGLBSA-N -1 1 315.395 1.166 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCOC2(CCCC2)C1 ZINC000367689227 307102148 /nfs/dbraw/zinc/10/21/48/307102148.db2.gz UJQLXGNKIFNHTL-SNVBAGLBSA-N -1 1 315.395 1.166 20 0 DDADMM Cc1c(Cl)cccc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370603326 307130723 /nfs/dbraw/zinc/13/07/23/307130723.db2.gz UGZQSDYVBTYGIW-NSHDSACASA-N -1 1 307.741 1.375 20 0 DDADMM COC(=O)[C@]12CCC[C@@H]1CN(C(=O)c1cc(F)ccc1[O-])C2 ZINC000371736014 307157186 /nfs/dbraw/zinc/15/71/86/307157186.db2.gz VJGPEDVPSHEJEE-HWPZZCPQSA-N -1 1 307.321 1.947 20 0 DDADMM C[C@H]1c2ccccc2O[C@H]1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000374743101 307213397 /nfs/dbraw/zinc/21/33/97/307213397.db2.gz GVCSOEWEXIORFY-PKJYWQBISA-N -1 1 308.341 1.845 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3Cc4ccccc4CO3)ccnc1-2 ZINC000376623664 307249982 /nfs/dbraw/zinc/24/99/82/307249982.db2.gz VCXBBLXILVJFKP-RGEXLXHISA-N -1 1 309.329 1.821 20 0 DDADMM CC(=O)Nc1cccc(CN2CCC[C@@H](c3n[nH]c(=O)[n-]3)C2)c1 ZINC000377485143 307270704 /nfs/dbraw/zinc/27/07/04/307270704.db2.gz OYRCEPHLZWSFTM-CYBMUJFWSA-N -1 1 315.377 1.848 20 0 DDADMM CCOC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)c2cncc([O-])c2)C1 ZINC000495521673 307309044 /nfs/dbraw/zinc/30/90/44/307309044.db2.gz WTMYYKRJCPMZSM-VXGBXAGGSA-N -1 1 321.377 1.774 20 0 DDADMM O=C([O-])C1(C(=O)N2CCC(CCCN3CCOCC3)CC2)CC1 ZINC000557823860 307884603 /nfs/dbraw/zinc/88/46/03/307884603.db2.gz SMXRPLABCJWNEF-UHFFFAOYSA-N -1 1 324.421 1.202 20 0 DDADMM CCC[C@H]1CCCC[C@@H]1NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000562776761 307944900 /nfs/dbraw/zinc/94/49/00/307944900.db2.gz OFYRESRNQWJBDB-UWVGGRQHSA-N -1 1 309.366 1.985 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)N=c1nc([C@@H](C)OC)[n-]s1 ZINC000567017696 308068542 /nfs/dbraw/zinc/06/85/42/308068542.db2.gz HZTMFYUPMVGVAX-ZJUUUORDSA-N -1 1 313.427 1.225 20 0 DDADMM CC[C@@H](CCO)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000567782820 308095243 /nfs/dbraw/zinc/09/52/43/308095243.db2.gz CNKHAWQFPHKAMO-JTQLQIEISA-N -1 1 314.432 1.983 20 0 DDADMM COc1cccc(CN2CCC([C@@H]3OCC[C@H]3C(=O)[O-])CC2)n1 ZINC000571410134 308195659 /nfs/dbraw/zinc/19/56/59/308195659.db2.gz PORSHVMWLQJCAF-ZBFHGGJFSA-N -1 1 320.389 1.792 20 0 DDADMM COC[C@H](NS(=O)(=O)c1cc(C(=O)[O-])co1)c1ccco1 ZINC000572360412 308219042 /nfs/dbraw/zinc/21/90/42/308219042.db2.gz WSYOBBFEDGJRMI-VIFPVBQESA-N -1 1 315.303 1.237 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC2(CCC2(F)F)C1 ZINC000573174451 308231141 /nfs/dbraw/zinc/23/11/41/308231141.db2.gz YZUSWHGBVHDGPV-UHFFFAOYSA-N -1 1 305.284 1.794 20 0 DDADMM O=C([O-])C1(NS(=O)(=O)c2c(Cl)ccc(F)c2F)CC1 ZINC000573376391 308238904 /nfs/dbraw/zinc/23/89/04/308238904.db2.gz QKKOMSCHMAYQEW-UHFFFAOYSA-N -1 1 311.693 1.514 20 0 DDADMM CC[C@@H](C(=O)N1CC[C@@H](C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000576279462 308302864 /nfs/dbraw/zinc/30/28/64/308302864.db2.gz WXUHULLGZSWTBL-CABCVRRESA-N -1 1 304.390 1.830 20 0 DDADMM CCc1nc(SCc2nc(CCOC)no2)[n-]c(=O)c1C ZINC000576837521 308343746 /nfs/dbraw/zinc/34/37/46/308343746.db2.gz IAKOXDBOVUJAIE-UHFFFAOYSA-N -1 1 310.379 1.917 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@H]2NCCc3ccccc32)C[C@@H]1C(=O)[O-] ZINC000577388743 308385196 /nfs/dbraw/zinc/38/51/96/308385196.db2.gz MFSNCYOZKXMBPX-UGFHNGPFSA-N -1 1 302.374 1.443 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3c([O-])cccc3F)[C@@H]2C1 ZINC000579286067 308520308 /nfs/dbraw/zinc/52/03/08/308520308.db2.gz OOFRWMMGFACMBD-OUCADQQQSA-N -1 1 320.364 1.908 20 0 DDADMM CCCc1nc(=NC(=O)N2CCN(C3CCC3)CC2)s[n-]1 ZINC000580261134 308590285 /nfs/dbraw/zinc/59/02/85/308590285.db2.gz UMPJUUTVJNUETQ-UHFFFAOYSA-N -1 1 309.439 1.615 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2nc3ccccc3s2)C[C@@H]1O ZINC000583379010 332374101 /nfs/dbraw/zinc/37/41/01/332374101.db2.gz ZOOJOUXEJYYKBH-MNOVXSKESA-N -1 1 312.416 1.734 20 0 DDADMM C[C@@H](C(=O)[O-])[C@H](C)NCc1nc(-c2ccc3c(c2)OCO3)no1 ZINC000583569484 335734147 /nfs/dbraw/zinc/73/41/47/335734147.db2.gz BAPXUQRZEWUHOC-BDAKNGLRSA-N -1 1 319.317 1.664 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(Cc1ccccn1)C(C)C ZINC000583661519 336035627 /nfs/dbraw/zinc/03/56/27/336035627.db2.gz QVCZRKSAJMZAHS-UHFFFAOYSA-N -1 1 310.379 1.390 20 0 DDADMM C[C@@H](CNC(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1)C(=O)[O-] ZINC000655883726 483975708 /nfs/dbraw/zinc/97/57/08/483975708.db2.gz FVQCUHGFYAYPOQ-ONGXEEELSA-N -1 1 321.381 1.292 20 0 DDADMM Cn1cnc(N2CCC(C(=O)c3ccc([O-])cc3)CC2)cc1=O ZINC000656150413 483975705 /nfs/dbraw/zinc/97/57/05/483975705.db2.gz QVBXFRPPZGNMPB-UHFFFAOYSA-N -1 1 313.357 1.585 20 0 DDADMM CC(C)c1nnc(CNC(=O)C(=O)c2ccc([O-])cc2)s1 ZINC000436936846 484129929 /nfs/dbraw/zinc/12/99/29/484129929.db2.gz OMWYBRFPADPSJO-UHFFFAOYSA-N -1 1 305.359 1.866 20 0 DDADMM CO[C@@H]1CC[C@H]2OCCN(C(=O)C(=O)c3ccc([O-])cc3)[C@H]2C1 ZINC000436990370 484133327 /nfs/dbraw/zinc/13/33/27/484133327.db2.gz RBJLHSJIANNBOM-QLFBSQMISA-N -1 1 319.357 1.370 20 0 DDADMM COc1ccc(-c2nnc([N-][C@@H](C)C(=O)NCCF)o2)cc1 ZINC000656469832 484153939 /nfs/dbraw/zinc/15/39/39/484153939.db2.gz AQSZSIBAQQTQMD-VIFPVBQESA-N -1 1 308.313 1.631 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cc2oc(=O)[nH]c2cc1F)OC ZINC000656633817 484252281 /nfs/dbraw/zinc/25/22/81/484252281.db2.gz ICEINHUCHAPGOW-ZETCQYMHSA-N -1 1 318.326 1.839 20 0 DDADMM C[C@@H](CNC(=O)[C@H](C(=O)[O-])C1CC1)N1CCc2ccccc2C1 ZINC000663094675 484661169 /nfs/dbraw/zinc/66/11/69/484661169.db2.gz DQIROQTUWJPFHY-BLLLJJGKSA-N -1 1 316.401 1.660 20 0 DDADMM O=C([O-])[C@H](C(=O)N1CCN(C[C@@H]2CCOC2)CC1)C1CCCC1 ZINC000663103445 484668542 /nfs/dbraw/zinc/66/85/42/484668542.db2.gz AZGZLLLUTSKBTC-ZFWWWQNUSA-N -1 1 324.421 1.058 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000663136259 484695428 /nfs/dbraw/zinc/69/54/28/484695428.db2.gz WWVZCIGJHNROKI-HUUCEWRRSA-N -1 1 302.374 1.681 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1cc(F)cc2nc[nH]c21)C1CCC1 ZINC000663152635 484706541 /nfs/dbraw/zinc/70/65/41/484706541.db2.gz GQEHAOHLRRKEPI-LLVKDONJSA-N -1 1 305.309 1.933 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1ccc(-c2nnc[nH]2)cc1)C1CCC1 ZINC000663153878 484707908 /nfs/dbraw/zinc/70/79/08/484707908.db2.gz UPVTYUHJLKGFKC-CYBMUJFWSA-N -1 1 314.345 1.702 20 0 DDADMM O=C(NCCN1Cc2ccccc2C1)C(=O)c1ccc([O-])cc1 ZINC000672812935 485354204 /nfs/dbraw/zinc/35/42/04/485354204.db2.gz RSNVRAPUNQDLCJ-UHFFFAOYSA-N -1 1 310.353 1.707 20 0 DDADMM NC(=O)C1(NC(=O)c2ncc3ccccc3c2[O-])CCCCC1 ZINC000666871101 485370952 /nfs/dbraw/zinc/37/09/52/485370952.db2.gz VRGABKXTFPCBQD-UHFFFAOYSA-N -1 1 313.357 1.858 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1CCC(=O)CC1 ZINC000673671536 485443773 /nfs/dbraw/zinc/44/37/73/485443773.db2.gz GZZVLZRNTKDWJH-UHFFFAOYSA-N -1 1 316.361 1.427 20 0 DDADMM C[C@H](C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)c1cccnc1 ZINC000673701770 485446201 /nfs/dbraw/zinc/44/62/01/485446201.db2.gz OBSJAWGFKLBDEX-FZMZJTMJSA-N -1 1 308.345 1.604 20 0 DDADMM CC(C)n1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cn1 ZINC000682607937 485703578 /nfs/dbraw/zinc/70/35/78/485703578.db2.gz SEAYZVGOLZMXFX-CYBMUJFWSA-N -1 1 311.349 1.497 20 0 DDADMM C[C@@H](CC(=O)NCc1nn[n-]n1)C(=O)c1ccc(Cl)cc1 ZINC000679101494 485776561 /nfs/dbraw/zinc/77/65/61/485776561.db2.gz USVUCBAZQGCSME-QMMMGPOBSA-N -1 1 307.741 1.378 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H](C)[C@@H](F)C1 ZINC000679260916 485824851 /nfs/dbraw/zinc/82/48/51/485824851.db2.gz ZNOLJTKSPUNODM-RNCFNFMXSA-N -1 1 320.368 1.801 20 0 DDADMM CC(C)(C)OC1CC(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1 ZINC000683115703 485883680 /nfs/dbraw/zinc/88/36/80/485883680.db2.gz DFIGAUDPPBPVTO-CPCZMJQVSA-N -1 1 321.425 1.890 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NC1CC=CC1 ZINC000675394401 485930617 /nfs/dbraw/zinc/93/06/17/485930617.db2.gz ULFCIKPHJMNROQ-UHFFFAOYSA-N -1 1 310.357 1.930 20 0 DDADMM Cc1noc([C@H](C)S(=O)(=O)c2nc(-c3ccccc3)n[n-]2)n1 ZINC000683687277 486080600 /nfs/dbraw/zinc/08/06/00/486080600.db2.gz SCQZCFZLYCYVBF-QMMMGPOBSA-N -1 1 319.346 1.698 20 0 DDADMM Cc1noc([C@H](C)S(=O)(=O)c2n[n-]c(-c3ccccc3)n2)n1 ZINC000683687277 486080608 /nfs/dbraw/zinc/08/06/08/486080608.db2.gz SCQZCFZLYCYVBF-QMMMGPOBSA-N -1 1 319.346 1.698 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC[C@H]2CCOC2)c(F)c1 ZINC000680104156 486093676 /nfs/dbraw/zinc/09/36/76/486093676.db2.gz DXXTXXPVKXEZOB-VIFPVBQESA-N -1 1 321.345 1.678 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc3c(c2)N(C(N)=O)CCC3)c1[O-] ZINC000683885075 486145175 /nfs/dbraw/zinc/14/51/75/486145175.db2.gz MLEBOPPPACCWTL-UHFFFAOYSA-N -1 1 315.333 1.507 20 0 DDADMM CC(C)(C)c1cc(NC(=O)CNC2(C(=O)[O-])CCCC2)on1 ZINC000676230909 486153332 /nfs/dbraw/zinc/15/33/32/486153332.db2.gz WMMFUFCOJKSVNH-UHFFFAOYSA-N -1 1 309.366 1.898 20 0 DDADMM Cn1[n-]c(CN2CCC3(CC2)OCc2ccccc23)nc1=O ZINC000680374033 486166946 /nfs/dbraw/zinc/16/69/46/486166946.db2.gz AEFTVXKQJIVKSZ-UHFFFAOYSA-N -1 1 300.362 1.130 20 0 DDADMM CCc1ncsc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000676761295 486313561 /nfs/dbraw/zinc/31/35/61/486313561.db2.gz GIQRMFWHYWUVRL-NSHDSACASA-N -1 1 314.374 1.738 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC(C)(C)C(F)F ZINC000684340069 486325371 /nfs/dbraw/zinc/32/53/71/486325371.db2.gz VHMQXGQVXJKACC-UHFFFAOYSA-N -1 1 312.320 1.754 20 0 DDADMM CCN(C(=O)c1ccc([O-])c(F)c1)[C@H](C)CS(C)(=O)=O ZINC000681047512 486331413 /nfs/dbraw/zinc/33/14/13/486331413.db2.gz ZKXPGONUEQHCOS-SECBINFHSA-N -1 1 303.355 1.427 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCCC[C@@H]1[C@H]1CNC(=O)C1 ZINC000681063227 486335456 /nfs/dbraw/zinc/33/54/56/486335456.db2.gz QFORLPROWAJDCA-DGCLKSJQSA-N -1 1 306.337 1.662 20 0 DDADMM COc1c(NC(=O)c2ccc([O-])c(F)c2)cccc1C(N)=O ZINC000681066739 486336788 /nfs/dbraw/zinc/33/67/88/486336788.db2.gz ACHRADQXNHLBJB-UHFFFAOYSA-N -1 1 304.277 1.891 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cnn2c1CCCC2 ZINC000676829239 486337809 /nfs/dbraw/zinc/33/78/09/486337809.db2.gz HNMYJHRFYSLADX-CQSZACIVSA-N -1 1 323.360 1.252 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](CCO)C(C)C)c(F)c1 ZINC000676862563 486349319 /nfs/dbraw/zinc/34/93/19/486349319.db2.gz OMUVBGCVVVZKCS-LBPRGKRZSA-N -1 1 323.361 1.659 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCCc3c2cnn3CCO)c([O-])c1 ZINC000681743332 486508682 /nfs/dbraw/zinc/50/86/82/486508682.db2.gz SOIZJNSQDQWNOQ-GFCCVEGCSA-N -1 1 316.361 1.092 20 0 DDADMM C[C@@H](NC(=O)c1ccc2ccccc2c1[O-])[C@@H]1CN(C)CCO1 ZINC000119025015 490598911 /nfs/dbraw/zinc/59/89/11/490598911.db2.gz GOULWJGRUHZOAL-WBMJQRKESA-N -1 1 314.385 1.994 20 0 DDADMM C[C@H](O)CNC(=O)c1ccc(NC(=O)OC(C)(C)C)cc1[O-] ZINC000494148476 534086166 /nfs/dbraw/zinc/08/61/66/534086166.db2.gz NQAOTVCNAYQBGO-VIFPVBQESA-N -1 1 310.350 1.850 20 0 DDADMM COc1ccc(NC(=O)c2ccncn2)cc1[N-]S(C)(=O)=O ZINC000494956852 534175663 /nfs/dbraw/zinc/17/56/63/534175663.db2.gz UKMKGTSUZDLLAR-UHFFFAOYSA-N -1 1 322.346 1.109 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-]CCC(F)(F)F ZINC000443323657 534373205 /nfs/dbraw/zinc/37/32/05/534373205.db2.gz WXFJDYUCALXENM-UHFFFAOYSA-N -1 1 318.298 1.160 20 0 DDADMM CCCCNC(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000338376110 520645701 /nfs/dbraw/zinc/64/57/01/520645701.db2.gz KPMITBRDPJXDDN-UHFFFAOYSA-N -1 1 315.395 1.988 20 0 DDADMM NC(=O)c1csc(=N[C@@H]2CCN(c3ccc(F)cc3)C2)[n-]1 ZINC000413600766 534523206 /nfs/dbraw/zinc/52/32/06/534523206.db2.gz GTYHIUJFMZVWSO-SNVBAGLBSA-N -1 1 306.366 1.494 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C[C@H]1C(C)C ZINC000458142792 534644272 /nfs/dbraw/zinc/64/42/72/534644272.db2.gz PRLSOMJPCUAZBZ-WCQYABFASA-N -1 1 302.378 1.755 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCOC[C@@H](O)C1 ZINC000295775580 534695338 /nfs/dbraw/zinc/69/53/38/534695338.db2.gz CZLQZQXIYTYTQF-VIFPVBQESA-N -1 1 305.252 1.244 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-][C@@H]2CCCC[C@H]2F)s1 ZINC000416585042 527105714 /nfs/dbraw/zinc/10/57/14/527105714.db2.gz DSNWTEKDUMBTAH-RKDXNWHRSA-N -1 1 321.399 1.661 20 0 DDADMM CC(C)(NC(=O)C=Cc1nc2ccccc2s1)c1nn[n-]n1 ZINC000492080690 527264770 /nfs/dbraw/zinc/26/47/70/527264770.db2.gz DFXUYUCYGAKHOQ-FPLPWBNLSA-N -1 1 314.374 1.874 20 0 DDADMM CC(C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000416617748 527710384 /nfs/dbraw/zinc/71/03/84/527710384.db2.gz DUNPWVODQYAOCE-QMMMGPOBSA-N -1 1 306.334 1.156 20 0 DDADMM CCN(C)S(=O)(=O)[N-]c1ccn(CCC(F)(F)F)n1 ZINC000424086731 527857259 /nfs/dbraw/zinc/85/72/59/527857259.db2.gz QIVLEMMHMSTCKG-UHFFFAOYSA-N -1 1 300.306 1.444 20 0 DDADMM CCC[C@@]1(CO)CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000293038141 527953489 /nfs/dbraw/zinc/95/34/89/527953489.db2.gz OEXVOLLRHGQZNO-OAHLLOKOSA-N -1 1 320.418 1.643 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)nn1C ZINC000331996205 528017087 /nfs/dbraw/zinc/01/70/87/528017087.db2.gz YWXFRLRNXSBMPQ-UHFFFAOYSA-N -1 1 319.365 1.652 20 0 DDADMM CC(C)c1cc(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)on1 ZINC000331877793 528019901 /nfs/dbraw/zinc/01/99/01/528019901.db2.gz FVOGRVBVOQDGNY-UHFFFAOYSA-N -1 1 322.394 1.024 20 0 DDADMM CC(C)CNC(=O)CCCS(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000414202532 528032475 /nfs/dbraw/zinc/03/24/75/528032475.db2.gz DAAZSCRYKWMHEE-UHFFFAOYSA-N -1 1 314.411 1.008 20 0 DDADMM CCOC(=O)c1csc(=NCc2nnc(C(C)(C)C)[nH]2)[n-]1 ZINC000413422883 528332277 /nfs/dbraw/zinc/33/22/77/528332277.db2.gz YPRJRVXJHPOABM-UHFFFAOYSA-N -1 1 309.395 1.769 20 0 DDADMM CCOC(=O)c1csc(=NCc2n[nH]c(C(C)(C)C)n2)[n-]1 ZINC000413422883 528332282 /nfs/dbraw/zinc/33/22/82/528332282.db2.gz YPRJRVXJHPOABM-UHFFFAOYSA-N -1 1 309.395 1.769 20 0 DDADMM CC(C)C[C@H](CO)N(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294974243 528541505 /nfs/dbraw/zinc/54/15/05/528541505.db2.gz LGKIAMAVOWAFEK-LLVKDONJSA-N -1 1 308.407 1.497 20 0 DDADMM CCC[C@@H](C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1)OC ZINC000451639434 528957746 /nfs/dbraw/zinc/95/77/46/528957746.db2.gz MIANSFVPDZBBFB-VIFPVBQESA-N -1 1 305.352 1.160 20 0 DDADMM CCO[C@@H](C)c1nc(Cn2cnc(-c3nn[n-]n3)n2)cs1 ZINC000736619076 598912717 /nfs/dbraw/zinc/91/27/17/598912717.db2.gz XFURZOMKIWDBTN-ZETCQYMHSA-N -1 1 306.355 1.061 20 0 DDADMM C[C@](O)(CNc1nccnc1-c1nnn[n-]1)c1ccsc1 ZINC000736142788 598976112 /nfs/dbraw/zinc/97/61/12/598976112.db2.gz OZJWXEJJFKIPMZ-LBPRGKRZSA-N -1 1 303.351 1.038 20 0 DDADMM C[C@](O)(CNc1nccnc1-c1nn[n-]n1)c1ccsc1 ZINC000736142788 598976113 /nfs/dbraw/zinc/97/61/13/598976113.db2.gz OZJWXEJJFKIPMZ-LBPRGKRZSA-N -1 1 303.351 1.038 20 0 DDADMM C[C@](O)(CNc1cccc(-c2nnn[n-]2)n1)c1ccsc1 ZINC000736144368 598976198 /nfs/dbraw/zinc/97/61/98/598976198.db2.gz ZBSWRDNJXZYLGL-ZDUSSCGKSA-N -1 1 302.363 1.643 20 0 DDADMM C[C@](O)(CNc1cccc(-c2nn[n-]n2)n1)c1ccsc1 ZINC000736144368 598976201 /nfs/dbraw/zinc/97/62/01/598976201.db2.gz ZBSWRDNJXZYLGL-ZDUSSCGKSA-N -1 1 302.363 1.643 20 0 DDADMM O[C@H]1CCCC[C@@H]1CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000738389324 598976738 /nfs/dbraw/zinc/97/67/38/598976738.db2.gz KQUMXXGHGZSOHN-RQJHMYQMSA-N -1 1 314.802 1.940 20 0 DDADMM O[C@H]1CCCC[C@@H]1CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000738389324 598976740 /nfs/dbraw/zinc/97/67/40/598976740.db2.gz KQUMXXGHGZSOHN-RQJHMYQMSA-N -1 1 314.802 1.940 20 0 DDADMM C[C@@H]1C[C@H]1c1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)o1 ZINC000736236128 598994215 /nfs/dbraw/zinc/99/42/15/598994215.db2.gz IDWZLJXMUNIRRM-BXKDBHETSA-N -1 1 324.344 1.908 20 0 DDADMM C[C@@H]1C[C@H]1c1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)o1 ZINC000736236128 598994216 /nfs/dbraw/zinc/99/42/16/598994216.db2.gz IDWZLJXMUNIRRM-BXKDBHETSA-N -1 1 324.344 1.908 20 0 DDADMM C[C@@H](CCO)Sc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000820249549 599083022 /nfs/dbraw/zinc/08/30/22/599083022.db2.gz WPKLNXQKKXDDLC-QMMMGPOBSA-N -1 1 302.363 1.673 20 0 DDADMM CCO[C@@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)C12CCCC2 ZINC000821358518 599100787 /nfs/dbraw/zinc/10/07/87/599100787.db2.gz JKWSOXDCESNAER-GHMZBOCLSA-N -1 1 315.381 1.806 20 0 DDADMM CCO[C@@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)C12CCCC2 ZINC000821358518 599100790 /nfs/dbraw/zinc/10/07/90/599100790.db2.gz JKWSOXDCESNAER-GHMZBOCLSA-N -1 1 315.381 1.806 20 0 DDADMM O=C1CN(Cc2ccccc2)[C@H](c2ccc(-c3nnn[n-]3)o2)N1 ZINC000823536304 599120077 /nfs/dbraw/zinc/12/00/77/599120077.db2.gz ZYVDAHOMQTTXLD-OAHLLOKOSA-N -1 1 310.317 1.090 20 0 DDADMM O=C1CN(Cc2ccccc2)[C@H](c2ccc(-c3nn[n-]n3)o2)N1 ZINC000823536304 599120080 /nfs/dbraw/zinc/12/00/80/599120080.db2.gz ZYVDAHOMQTTXLD-OAHLLOKOSA-N -1 1 310.317 1.090 20 0 DDADMM N#Cc1ccc(S(=O)(=O)Nc2ccccc2CC(=O)[O-])cn1 ZINC000389628471 597314246 /nfs/dbraw/zinc/31/42/46/597314246.db2.gz HBNKWJQECMWQFB-UHFFFAOYSA-N -1 1 317.326 1.381 20 0 DDADMM C[C@H](C(=O)[O-])N(C)Cc1nc(=O)c2c3c(sc2[nH]1)CCC3 ZINC000817779778 597472955 /nfs/dbraw/zinc/47/29/55/597472955.db2.gz XQXHERONELIWOK-SSDOTTSWSA-N -1 1 307.375 1.791 20 0 DDADMM CCc1noc([C@@H](C)N2CCN(CCCCC(=O)[O-])CC2)n1 ZINC000737911778 597776497 /nfs/dbraw/zinc/77/64/97/597776497.db2.gz BNJYTIXDHKUDDO-GFCCVEGCSA-N -1 1 310.398 1.566 20 0 DDADMM CO[C@@H](c1ccccc1Cl)[C@@H](C)NCC(=O)NCC(=O)[O-] ZINC000820696394 597810121 /nfs/dbraw/zinc/81/01/21/597810121.db2.gz FBOUOGVKQMQTJT-YMTOWFKASA-N -1 1 314.769 1.206 20 0 DDADMM Cc1ccc(NC(=O)NC(=O)CN[C@@H](C(=O)[O-])C(C)C)cc1C ZINC000821087602 598188280 /nfs/dbraw/zinc/18/82/80/598188280.db2.gz ASWDOESNCYWPBS-CQSZACIVSA-N -1 1 321.377 1.650 20 0 DDADMM CC(C)[C@@H](NCC(=O)NC(=O)N[C@H]1CCCC[C@H]1C)C(=O)[O-] ZINC000820236018 598188920 /nfs/dbraw/zinc/18/89/20/598188920.db2.gz ZTRZUPQYOZMCOH-NTZNESFSSA-N -1 1 313.398 1.090 20 0 DDADMM c1ccc2c(c1)CC[C@H](CNc1nccnc1-c1nnn[n-]1)N2 ZINC000738435925 598244569 /nfs/dbraw/zinc/24/45/69/598244569.db2.gz FFCBKTATLJXCRS-LLVKDONJSA-N -1 1 308.349 1.496 20 0 DDADMM c1ccc2c(c1)CC[C@H](CNc1nccnc1-c1nn[n-]n1)N2 ZINC000738435925 598244570 /nfs/dbraw/zinc/24/45/70/598244570.db2.gz FFCBKTATLJXCRS-LLVKDONJSA-N -1 1 308.349 1.496 20 0 DDADMM CN(Cc1ccc(Cl)cc1)c1nccnc1-c1nnn[n-]1 ZINC000736817282 598338029 /nfs/dbraw/zinc/33/80/29/598338029.db2.gz WOCIXLUHBXGSLT-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM CN(Cc1ccc(Cl)cc1)c1nccnc1-c1nn[n-]n1 ZINC000736817282 598338031 /nfs/dbraw/zinc/33/80/31/598338031.db2.gz WOCIXLUHBXGSLT-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM c1nnc(-c2cccc(Oc3nccnc3-c3nn[n-]n3)c2)o1 ZINC000738420239 598343464 /nfs/dbraw/zinc/34/34/64/598343464.db2.gz AAJJRLDGSPEFRN-UHFFFAOYSA-N -1 1 308.261 1.499 20 0 DDADMM Fc1ccc(C2(CNc3nccnc3-c3nnn[n-]3)CC2)cc1 ZINC000737651136 598345459 /nfs/dbraw/zinc/34/54/59/598345459.db2.gz PZMVNPRIUHXQLK-UHFFFAOYSA-N -1 1 311.324 1.940 20 0 DDADMM Fc1ccc(C2(CNc3nccnc3-c3nn[n-]n3)CC2)cc1 ZINC000737651136 598345461 /nfs/dbraw/zinc/34/54/61/598345461.db2.gz PZMVNPRIUHXQLK-UHFFFAOYSA-N -1 1 311.324 1.940 20 0 DDADMM CN(C)C(=O)Cc1ccc(Nc2nccnc2-c2nnn[n-]2)cc1 ZINC000736745707 598345742 /nfs/dbraw/zinc/34/57/42/598345742.db2.gz AYBGLSNLFDAMLE-UHFFFAOYSA-N -1 1 324.348 1.031 20 0 DDADMM CN(C)C(=O)Cc1ccc(Nc2nccnc2-c2nn[n-]n2)cc1 ZINC000736745707 598345744 /nfs/dbraw/zinc/34/57/44/598345744.db2.gz AYBGLSNLFDAMLE-UHFFFAOYSA-N -1 1 324.348 1.031 20 0 DDADMM FC(F)(F)COCCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000737628047 598573860 /nfs/dbraw/zinc/57/38/60/598573860.db2.gz UYIPJBIRNKIXBF-UHFFFAOYSA-N -1 1 322.678 1.906 20 0 DDADMM FC(F)(F)COCCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000737628047 598573861 /nfs/dbraw/zinc/57/38/61/598573861.db2.gz UYIPJBIRNKIXBF-UHFFFAOYSA-N -1 1 322.678 1.906 20 0 DDADMM CCc1nn(CCOC(C)(C)C)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736706380 598642789 /nfs/dbraw/zinc/64/27/89/598642789.db2.gz KIYIVYKTDFFTAW-UHFFFAOYSA-N -1 1 320.397 1.363 20 0 DDADMM CC(C)(C)[C@@H](O)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000735595560 598775186 /nfs/dbraw/zinc/77/51/86/598775186.db2.gz GRLVENSIUYAOQH-YFKPBYRVSA-N -1 1 302.791 1.796 20 0 DDADMM CC(C)(C)[C@@H](O)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000735595560 598775188 /nfs/dbraw/zinc/77/51/88/598775188.db2.gz GRLVENSIUYAOQH-YFKPBYRVSA-N -1 1 302.791 1.796 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@@H]1Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000822860394 607382822 /nfs/dbraw/zinc/38/28/22/607382822.db2.gz TXTRACBWRXJZPC-APPZFPTMSA-N -1 1 321.772 1.371 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@@H]1Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000822860394 607382824 /nfs/dbraw/zinc/38/28/24/607382824.db2.gz TXTRACBWRXJZPC-APPZFPTMSA-N -1 1 321.772 1.371 20 0 DDADMM COc1ccc(C=CC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000319842489 599775589 /nfs/dbraw/zinc/77/55/89/599775589.db2.gz OUHFLWPEZSFXCB-ZBKLQPJUSA-N -1 1 315.329 1.244 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)Nc1ccsc1C(=O)[O-] ZINC000035306366 599873346 /nfs/dbraw/zinc/87/33/46/599873346.db2.gz GYKZHWHLSRJZGO-UHFFFAOYSA-N -1 1 302.333 1.852 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2ccc(C(=O)[O-])s2)C1 ZINC000399565163 599954063 /nfs/dbraw/zinc/95/40/63/599954063.db2.gz GOLONAFGJBQTAI-JTQLQIEISA-N -1 1 312.391 1.287 20 0 DDADMM CN(C)[C@H](CNC(=O)CCSCC(=O)[O-])c1ccsc1 ZINC000737360393 599962003 /nfs/dbraw/zinc/96/20/03/599962003.db2.gz XRHRSBCBWMVZRM-LLVKDONJSA-N -1 1 316.448 1.675 20 0 DDADMM O=C([O-])CCCNC(=S)Nc1cnn(-c2ccncc2)c1 ZINC000739680816 600008792 /nfs/dbraw/zinc/00/87/92/600008792.db2.gz HGSMVNBDZCVDCK-UHFFFAOYSA-N -1 1 305.363 1.419 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000737360688 600102613 /nfs/dbraw/zinc/10/26/13/600102613.db2.gz ZTTQTBLOHVKDCM-GJZGRUSLSA-N -1 1 304.390 1.483 20 0 DDADMM COc1ccc(CNC(=O)CNC2(C(=O)[O-])CCCCC2)cc1 ZINC000738001901 600150323 /nfs/dbraw/zinc/15/03/23/600150323.db2.gz LYEDPVWEJSSRIC-UHFFFAOYSA-N -1 1 320.389 1.689 20 0 DDADMM COC[C@H]1CCCN(CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC000737755586 600223542 /nfs/dbraw/zinc/22/35/42/600223542.db2.gz YSUNDQIUFDVUSX-ZDUSSCGKSA-N -1 1 320.389 1.706 20 0 DDADMM COc1cc(CN(CC(=O)[O-])C(=O)c2cnc[nH]2)cc(OC)c1 ZINC000737880575 600257078 /nfs/dbraw/zinc/25/70/78/600257078.db2.gz GSASDOGWCPREQO-UHFFFAOYSA-N -1 1 319.317 1.154 20 0 DDADMM CN(Cc1cccs1)C(=O)CN1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000405473038 600332068 /nfs/dbraw/zinc/33/20/68/600332068.db2.gz GJWOWXAINAPOIW-ZDUSSCGKSA-N -1 1 322.430 1.893 20 0 DDADMM CN([C@@H]1CCN(C2CC2)C1)S(=O)(=O)c1ccccc1C(=O)[O-] ZINC000737386653 600349050 /nfs/dbraw/zinc/34/90/50/600349050.db2.gz MEBIRTNNTJJKFG-GFCCVEGCSA-N -1 1 324.402 1.242 20 0 DDADMM C[C@@H](CN(C)[C@@H](C(=O)NC1CC1)c1ccc(F)cc1)C(=O)[O-] ZINC000736576088 600364992 /nfs/dbraw/zinc/36/49/92/600364992.db2.gz UAMOFOAMZXTTSH-IINYFYTJSA-N -1 1 308.353 1.798 20 0 DDADMM C[C@H](c1ccc(C(=O)[O-])o1)N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000736718794 600441939 /nfs/dbraw/zinc/44/19/39/600441939.db2.gz UFXWNSVDFLNUIP-NXEZZACHSA-N -1 1 316.379 1.039 20 0 DDADMM Cc1ccccc1C[C@@H]1CCCN1Cn1cnc(C(=O)[O-])n1 ZINC000738767410 600502510 /nfs/dbraw/zinc/50/25/10/600502510.db2.gz GUAZDOVEHZCFPX-AWEZNQCLSA-N -1 1 300.362 1.949 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CCN(C3CCC3)CC2)cc1 ZINC000738465339 600508695 /nfs/dbraw/zinc/50/86/95/600508695.db2.gz VDQOPVNIBNLRJP-UHFFFAOYSA-N -1 1 317.389 1.493 20 0 DDADMM O=C([O-])CCN(Cc1cnc2ccnn2c1)C[C@H]1CCCO1 ZINC000739723515 600512167 /nfs/dbraw/zinc/51/21/67/600512167.db2.gz LHIATVAZCPBZJM-CYBMUJFWSA-N -1 1 304.350 1.185 20 0 DDADMM O=C([O-])CCN(CC(=O)NC1CCCCC1)C[C@H]1CCCO1 ZINC000739719083 600516269 /nfs/dbraw/zinc/51/62/69/600516269.db2.gz QJOILNAULWNCIC-CQSZACIVSA-N -1 1 312.410 1.391 20 0 DDADMM CCOCCN(Cc1ccc(C(=O)[O-])o1)C(=O)Cc1ccn[nH]1 ZINC000830007199 600704941 /nfs/dbraw/zinc/70/49/41/600704941.db2.gz KQKMIOVUCVWXMX-UHFFFAOYSA-N -1 1 321.333 1.309 20 0 DDADMM O=C([O-])[C@H]1C[C@H]1C(=O)Nc1nc2ccc(Br)cc2[nH]1 ZINC000833181382 600936483 /nfs/dbraw/zinc/93/64/83/600936483.db2.gz NQOQDJABUYIESM-RQJHMYQMSA-N -1 1 324.134 1.985 20 0 DDADMM CN(C)c1ccccc1NS(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000037508958 600979561 /nfs/dbraw/zinc/97/95/61/600979561.db2.gz GZMJWXZVTYEYCN-UHFFFAOYSA-N -1 1 309.347 1.580 20 0 DDADMM C[C@H](NC(=O)CN[C@](C)(C(=O)[O-])C1CC1)c1ccc(F)cc1 ZINC000827637748 601028920 /nfs/dbraw/zinc/02/89/20/601028920.db2.gz WXLYHIKSTQKIDB-QFYYESIMSA-N -1 1 308.353 1.846 20 0 DDADMM CSCCCCC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000832763091 601100765 /nfs/dbraw/zinc/10/07/65/601100765.db2.gz VIHRLMFXPJZJTC-LBPRGKRZSA-N -1 1 302.440 1.527 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCC2CCOCC2)CC1 ZINC000827363015 601102542 /nfs/dbraw/zinc/10/25/42/601102542.db2.gz YAQWFMGORRQFDG-ZDUSSCGKSA-N -1 1 312.410 1.201 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCc2ccccc2O)CC1 ZINC000827361874 601103929 /nfs/dbraw/zinc/10/39/29/601103929.db2.gz GKXMDHWGKWWUKJ-CYBMUJFWSA-N -1 1 320.389 1.332 20 0 DDADMM Cc1nnc([C@H]2CN([C@@H](C(=O)[O-])c3ccc(F)cc3)CCO2)[nH]1 ZINC000738823591 601105449 /nfs/dbraw/zinc/10/54/49/601105449.db2.gz NTGBXIQLUNFQIG-CHWSQXEVSA-N -1 1 320.324 1.451 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2cccs2)CC1 ZINC000827354687 601107462 /nfs/dbraw/zinc/10/74/62/601107462.db2.gz GFUBVIWROLNNCF-QMAVJUDZSA-N -1 1 308.403 1.769 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(N(C)C)cc2)CC1 ZINC000827375317 601121824 /nfs/dbraw/zinc/12/18/24/601121824.db2.gz UHPAOXCXNOUJMU-CYBMUJFWSA-N -1 1 319.405 1.374 20 0 DDADMM O=C([O-])CC[C@H](NC(=O)CCc1nc[nH]n1)c1ccccc1 ZINC000833222545 601137388 /nfs/dbraw/zinc/13/73/88/601137388.db2.gz SKMAHLLBBSVBLN-LBPRGKRZSA-N -1 1 302.334 1.460 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)c1ccnc(C(=O)[O-])c1 ZINC000317118598 601288046 /nfs/dbraw/zinc/28/80/46/601288046.db2.gz ZTKMSDKSFGSNLL-WCQYABFASA-N -1 1 303.362 1.479 20 0 DDADMM CSCCCNC(=S)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000832762922 601338321 /nfs/dbraw/zinc/33/83/21/601338321.db2.gz JVQZHMNENAEBHL-LLVKDONJSA-N -1 1 319.496 1.095 20 0 DDADMM CC[C@@](C)(CC(=O)[O-])NS(=O)(=O)c1c(F)cccc1F ZINC000828672329 601479803 /nfs/dbraw/zinc/47/98/03/601479803.db2.gz PYKOIYIDXOGMCI-LBPRGKRZSA-N -1 1 307.318 1.887 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN2C[C@@H](C)[C@H](C(=O)[O-])C2)cc1 ZINC000825903156 601499521 /nfs/dbraw/zinc/49/95/21/601499521.db2.gz WXTGXDTYLYLAAO-QMTHXVAHSA-N -1 1 319.361 1.236 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@H](C)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828420115 601511874 /nfs/dbraw/zinc/51/18/74/601511874.db2.gz AASGTUMTAVWJDT-WOPDTQHZSA-N -1 1 308.382 1.444 20 0 DDADMM COC(=O)c1ccccc1NC(=O)CN1C[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000831457529 601527354 /nfs/dbraw/zinc/52/73/54/601527354.db2.gz IVPMOGNDQPDFDH-JQWIXIFHSA-N -1 1 320.345 1.064 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C(=O)c2cc(-c3ccc(F)cc3)[nH]n2)C1 ZINC000262707507 601655456 /nfs/dbraw/zinc/65/54/56/601655456.db2.gz DPMTWGOXGYBVFB-HNNXBMFYSA-N -1 1 321.283 1.855 20 0 DDADMM Cc1cc(CC(=O)NC[C@@H](Cc2cccc(C)c2)C(=O)[O-])[nH]n1 ZINC000832969746 601680930 /nfs/dbraw/zinc/68/09/30/601680930.db2.gz OYHZNIXAIWBZPS-CQSZACIVSA-N -1 1 315.373 1.629 20 0 DDADMM C[C@@H]1CN(C(=O)CSc2n[nH]c(=S)s2)C[C@@H]1C(=O)[O-] ZINC000828340520 601759458 /nfs/dbraw/zinc/75/94/58/601759458.db2.gz IZRKCBIGGVAPNE-RITPCOANSA-N -1 1 319.433 1.098 20 0 DDADMM CN(CC1CC1)C(=O)CN1CCCC[C@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000739634440 601923058 /nfs/dbraw/zinc/92/30/58/601923058.db2.gz GZXIBVRBWVFJLB-GJZGRUSLSA-N -1 1 323.437 1.852 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000826009496 601924406 /nfs/dbraw/zinc/92/44/06/601924406.db2.gz YNVQUQPRRCRBHY-JSGCOSHPSA-N -1 1 322.430 1.583 20 0 DDADMM COc1ccccc1NC(=O)[C@H](C)N1CC[C@](C)(C(=O)[O-])C1 ZINC000832622634 601930442 /nfs/dbraw/zinc/93/04/42/601930442.db2.gz DWPIPHIOBMKRJS-ZBEGNZNMSA-N -1 1 306.362 1.819 20 0 DDADMM Cc1ccccc1CNC(=O)[C@@H](C)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000740185532 601946252 /nfs/dbraw/zinc/94/62/52/601946252.db2.gz UYFNNBMESORWSI-KGLIPLIRSA-N -1 1 319.405 1.589 20 0 DDADMM CC(C)(C(=O)[O-])c1ccc(NC(=O)NCCc2nc[nH]n2)cc1 ZINC000321727011 601946419 /nfs/dbraw/zinc/94/64/19/601946419.db2.gz IEGFGWSAIWXRER-UHFFFAOYSA-N -1 1 317.349 1.531 20 0 DDADMM CCN(C)[C@@H](C(=O)NCCN(C(=O)[O-])C1CC1)c1ccccc1 ZINC000739384356 602320333 /nfs/dbraw/zinc/32/03/33/602320333.db2.gz FAEWPOOQYURERK-OAHLLOKOSA-N -1 1 319.405 1.938 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)Nc1ccccc1N1CCN(C)CC1 ZINC000739529601 602367522 /nfs/dbraw/zinc/36/75/22/602367522.db2.gz DHDHSSDFKOGWEO-LBPRGKRZSA-N -1 1 320.393 1.423 20 0 DDADMM Fc1cccnc1OCCNc1cccc(-c2nnn[n-]2)n1 ZINC000826378489 607563922 /nfs/dbraw/zinc/56/39/22/607563922.db2.gz MXCODGWHTVRBTF-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM Fc1cccnc1OCCNc1cccc(-c2nn[n-]n2)n1 ZINC000826378489 607563925 /nfs/dbraw/zinc/56/39/25/607563925.db2.gz MXCODGWHTVRBTF-UHFFFAOYSA-N -1 1 301.285 1.287 20 0 DDADMM CC[C@@H](C)NS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000825068044 607570114 /nfs/dbraw/zinc/57/01/14/607570114.db2.gz OTOXTEIUPDFFSU-SSDOTTSWSA-N -1 1 315.786 1.597 20 0 DDADMM CC[C@@H](C)NS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000825068044 607570117 /nfs/dbraw/zinc/57/01/17/607570117.db2.gz OTOXTEIUPDFFSU-SSDOTTSWSA-N -1 1 315.786 1.597 20 0 DDADMM CN(CC(=O)NCc1ccco1)C[C@H]1CCCN(C(=O)[O-])C1 ZINC000739624899 602701668 /nfs/dbraw/zinc/70/16/68/602701668.db2.gz ABIRYVLWJMDKBL-GFCCVEGCSA-N -1 1 309.366 1.218 20 0 DDADMM C[C@@H]1CN(C[C@H](O)COc2ccccc2)C[C@@H](C)N1C(=O)[O-] ZINC000739179275 602834419 /nfs/dbraw/zinc/83/44/19/602834419.db2.gz MAQUGRPVDDGPEU-MCIONIFRSA-N -1 1 308.378 1.499 20 0 DDADMM O=C([O-])N1CCC[C@H]1CNC(=O)N1CCN(C2CCC2)CC1 ZINC000740415473 602966285 /nfs/dbraw/zinc/96/62/85/602966285.db2.gz FTGQQDNFNOBXHV-ZDUSSCGKSA-N -1 1 310.398 1.009 20 0 DDADMM Cc1nn(C)c2ncc(CN(C)[C@H]3CCN(C(=O)[O-])C3)cc12 ZINC000830861619 603493023 /nfs/dbraw/zinc/49/30/23/603493023.db2.gz PIRCWLMWNCGYNT-LBPRGKRZSA-N -1 1 303.366 1.461 20 0 DDADMM CN(C[C@H]1CN(c2ccccc2)C(=O)O1)[C@H]1CCN(C(=O)[O-])C1 ZINC000828267752 603504512 /nfs/dbraw/zinc/50/45/12/603504512.db2.gz YMODQGJELGKZMN-KBPBESRZSA-N -1 1 319.361 1.696 20 0 DDADMM C[C@]1(C(=O)N2CCC(c3cnc[nH]3)CC2)CCN(C(=O)[O-])C1 ZINC000825651697 603548496 /nfs/dbraw/zinc/54/84/96/603548496.db2.gz ZBCMZAPSAGJVGL-HNNXBMFYSA-N -1 1 306.366 1.506 20 0 DDADMM O=C([O-])N[C@@H]1CC[C@H](C(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000832247692 603549910 /nfs/dbraw/zinc/54/99/10/603549910.db2.gz RPAZCUIIYJXNIF-NWDGAFQWSA-N -1 1 306.366 1.552 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)C1CCN(C(=O)[O-])CC1 ZINC000826690475 603556476 /nfs/dbraw/zinc/55/64/76/603556476.db2.gz LDECETYHMXKLBL-HUUCEWRRSA-N -1 1 323.437 1.852 20 0 DDADMM CC(C)C[C@@H](NC(=O)[O-])C(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000824210644 603560934 /nfs/dbraw/zinc/56/09/34/603560934.db2.gz IISOLFZZKQMASR-LLVKDONJSA-N -1 1 309.370 1.193 20 0 DDADMM O=C([O-])N1CCCC[C@@H]1C(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000831274460 603566211 /nfs/dbraw/zinc/56/62/11/603566211.db2.gz WMAVLHCQTQRJQP-LLVKDONJSA-N -1 1 307.354 1.043 20 0 DDADMM CCN(C)[C@H](C(=O)N(C)[C@@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000826988291 603709865 /nfs/dbraw/zinc/70/98/65/603709865.db2.gz CCSMEFQLKJBYAF-CABCVRRESA-N -1 1 319.405 1.890 20 0 DDADMM CCC(CC)(CNC(=O)[O-])NC(=O)CSc1nnc(C)[nH]1 ZINC000826544881 603778762 /nfs/dbraw/zinc/77/87/62/603778762.db2.gz VDCXNTGYFGHILO-UHFFFAOYSA-N -1 1 315.399 1.148 20 0 DDADMM CCC(CC)(CNC(=O)[O-])NC(=O)CSc1nc(C)n[nH]1 ZINC000826544881 603778765 /nfs/dbraw/zinc/77/87/65/603778765.db2.gz VDCXNTGYFGHILO-UHFFFAOYSA-N -1 1 315.399 1.148 20 0 DDADMM COc1ccc(OC)c([C@@H](O)CN2CC[C@@H](N(C)C(=O)[O-])C2)c1 ZINC000829494799 603801846 /nfs/dbraw/zinc/80/18/46/603801846.db2.gz WIACWZLDCBHBHZ-RISCZKNCSA-N -1 1 324.377 1.421 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)[C@@H](C)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000826669314 603811420 /nfs/dbraw/zinc/81/14/20/603811420.db2.gz VNKRVQDMJAOGFN-MGPQQGTHSA-N -1 1 311.426 1.850 20 0 DDADMM CC(C)[C@H](CC[N@@H+](C)Cc1cc(=O)n2[n-]ccc2n1)NC(=O)[O-] ZINC000824104561 603853202 /nfs/dbraw/zinc/85/32/02/603853202.db2.gz FPVRCFRESBSNGD-LBPRGKRZSA-N -1 1 321.381 1.137 20 0 DDADMM CNC(=O)c1cccc(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])c1 ZINC000828566993 603977161 /nfs/dbraw/zinc/97/71/61/603977161.db2.gz OOYOWCCGGCCDFH-CABCVRRESA-N -1 1 317.389 1.763 20 0 DDADMM CCCC[C@H](CNC(=O)[O-])NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000826782085 603979530 /nfs/dbraw/zinc/97/95/30/603979530.db2.gz VWKXFZQEQPLLDV-MNOVXSKESA-N -1 1 308.382 1.772 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2CCCc3[nH]ncc32)CC[C@@H]1NC(=O)[O-] ZINC000825976081 603988730 /nfs/dbraw/zinc/98/87/30/603988730.db2.gz TVMQVWTWNQZTDE-SCVCMEIPSA-N -1 1 306.366 1.334 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1C(=O)c1c[nH]c2ccccc2c1=O ZINC000832561277 603997777 /nfs/dbraw/zinc/99/77/77/603997777.db2.gz GORKRBBDZUJPSG-JTQLQIEISA-N -1 1 315.329 1.400 20 0 DDADMM CC(C)N1CCN(C(=O)N[C@H]2CCC[C@H]2CNC(=O)[O-])CC1 ZINC000824362668 604183243 /nfs/dbraw/zinc/18/32/43/604183243.db2.gz FTPQLPFPDGCZIC-STQMWFEESA-N -1 1 312.414 1.158 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC000825238022 604261492 /nfs/dbraw/zinc/26/14/92/604261492.db2.gz WZVCBRXKLFIFSN-ONGXEEELSA-N -1 1 306.366 1.795 20 0 DDADMM Fc1cccc(CNc2c3ccccc3nnc2-c2nnn[n-]2)n1 ZINC000826376418 607682155 /nfs/dbraw/zinc/68/21/55/607682155.db2.gz CZSXKMKQZNQNQW-UHFFFAOYSA-N -1 1 322.307 1.956 20 0 DDADMM Fc1cccc(CNc2c3ccccc3nnc2-c2nn[n-]n2)n1 ZINC000826376418 607682156 /nfs/dbraw/zinc/68/21/56/607682156.db2.gz CZSXKMKQZNQNQW-UHFFFAOYSA-N -1 1 322.307 1.956 20 0 DDADMM CCN(CC)[C@@H](C(=O)N1CC[C@@H](NC(=O)[O-])C1)c1ccccc1 ZINC000739406703 604468550 /nfs/dbraw/zinc/46/85/50/604468550.db2.gz LDTLJPBJHCPZBT-HUUCEWRRSA-N -1 1 319.405 1.938 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)CCc1ccccn1 ZINC000833403508 604476195 /nfs/dbraw/zinc/47/61/95/604476195.db2.gz INTGMKCHOIMBRY-ZDUSSCGKSA-N -1 1 319.405 1.268 20 0 DDADMM O=C([O-])N1CCC(CN[C@H]2CS(=O)(=O)c3ccccc32)CC1 ZINC000831879173 604520422 /nfs/dbraw/zinc/52/04/22/604520422.db2.gz UPWCJVYHHSERNK-ZDUSSCGKSA-N -1 1 324.402 1.495 20 0 DDADMM CC(C)(C)[C@@H](NCC(=O)Nc1nnc(-c2ccco2)o1)C(=O)[O-] ZINC000833424709 604662495 /nfs/dbraw/zinc/66/24/95/604662495.db2.gz VMKOZFANBUAEJD-JTQLQIEISA-N -1 1 322.321 1.357 20 0 DDADMM O=C([O-])[C@]1(F)CCN(Cc2ccc(C(=O)NC3CC3)cc2)C1 ZINC000833766186 604684624 /nfs/dbraw/zinc/68/46/24/604684624.db2.gz MSLVPZFUAOWSOO-INIZCTEOSA-N -1 1 306.337 1.577 20 0 DDADMM CCN(CC)C(=O)CSCc1ccnc(-c2nnn[n-]2)c1 ZINC000825406905 607714626 /nfs/dbraw/zinc/71/46/26/607714626.db2.gz UGUXZESXKJATBY-UHFFFAOYSA-N -1 1 306.395 1.363 20 0 DDADMM CCN(CC)C(=O)CSCc1ccnc(-c2nn[n-]n2)c1 ZINC000825406905 607714628 /nfs/dbraw/zinc/71/46/28/607714628.db2.gz UGUXZESXKJATBY-UHFFFAOYSA-N -1 1 306.395 1.363 20 0 DDADMM CC(C)=C[C@H]1[C@@H](C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C1(C)C ZINC000833462201 604896323 /nfs/dbraw/zinc/89/63/23/604896323.db2.gz CBKIMIWMJKRVLN-AVGNSLFASA-N -1 1 305.378 1.760 20 0 DDADMM O=C([O-])C[C@@H]1CCCN1CN1C[C@H](c2ccccn2)CC1=O ZINC000833210276 604979010 /nfs/dbraw/zinc/97/90/10/604979010.db2.gz GEORFAVHCLAFAA-OLZOCXBDSA-N -1 1 303.362 1.294 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCC1CCCC1 ZINC000833627352 605044520 /nfs/dbraw/zinc/04/45/20/605044520.db2.gz WTDRWRFGBCUQLF-ZDUSSCGKSA-N -1 1 311.426 1.757 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)C1CCC(C(=O)[O-])CC1 ZINC000317188656 605087565 /nfs/dbraw/zinc/08/75/65/605087565.db2.gz HXXDRDOYMDRZGU-PPWQZUPISA-N -1 1 308.422 1.963 20 0 DDADMM CC(C)(CO)N1CCN(C(=O)Nc2ccccc2C(=O)[O-])CC1 ZINC000833462014 605121843 /nfs/dbraw/zinc/12/18/43/605121843.db2.gz QXXUZUZCQNNSEJ-UHFFFAOYSA-N -1 1 321.377 1.305 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)Nc2cncc(C(=O)[O-])c2)C[C@@H]1C ZINC000833655630 605170164 /nfs/dbraw/zinc/17/01/64/605170164.db2.gz FQWMIVPGGXXSES-WDEREUQCSA-N -1 1 306.366 1.726 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Cl)cc2)CCN1CCC(=O)[O-] ZINC000833621603 605256854 /nfs/dbraw/zinc/25/68/54/605256854.db2.gz KSWKLFFSICMYLR-LLVKDONJSA-N -1 1 310.781 1.961 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCc1nnc(C2CC2)n1C1CC1 ZINC000833722186 605266622 /nfs/dbraw/zinc/26/66/22/605266622.db2.gz MSBMJVIBILKZBQ-OAHLLOKOSA-N -1 1 308.382 1.460 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC[C@@H]2CNC(=O)[O-])n[nH]1 ZINC000830030277 605301704 /nfs/dbraw/zinc/30/17/04/605301704.db2.gz NLQYJJZHCPNMSI-BXKDBHETSA-N -1 1 309.370 1.091 20 0 DDADMM Cc1cc(C(=O)N2CCN(CCC(=O)[O-])[C@H](C)C2)ccc1O ZINC000833742382 605529748 /nfs/dbraw/zinc/52/97/48/605529748.db2.gz LAYAUEWVZYULPA-GFCCVEGCSA-N -1 1 306.362 1.322 20 0 DDADMM O=C([O-])N1CCC[C@H]1[C@H]1CCCCN1CC(=O)N1CCCC1 ZINC000834008435 605670585 /nfs/dbraw/zinc/67/05/85/605670585.db2.gz ZHPZPOVLFFETAE-KGLIPLIRSA-N -1 1 309.410 1.606 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCS[C@H]3COCC[C@@H]32)cn1 ZINC000834259725 605672543 /nfs/dbraw/zinc/67/25/43/605672543.db2.gz GLUULTMFRXQBKN-RYUDHWBXSA-N -1 1 309.391 1.878 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)N(C)c1ccccc1 ZINC000833829195 605894971 /nfs/dbraw/zinc/89/49/71/605894971.db2.gz GRQGNYZHSWASPP-CHWSQXEVSA-N -1 1 305.378 1.627 20 0 DDADMM O=C([O-])N1CC(CNCc2ncc(Br)s2)C1 ZINC000834068376 605949596 /nfs/dbraw/zinc/94/95/96/605949596.db2.gz CKRQNAWOXYZNDT-UHFFFAOYSA-N -1 1 306.185 1.605 20 0 DDADMM O=C([O-])N[C@H]1CC(=O)N(CNC2(c3ccccc3)CCC2)C1 ZINC000834158324 605995017 /nfs/dbraw/zinc/99/50/17/605995017.db2.gz LOBDQHQQZPEUNP-ZDUSSCGKSA-N -1 1 303.362 1.481 20 0 DDADMM O=C([O-])N1CCC[C@H](NCc2cnn(-c3ccccc3)n2)CC1 ZINC000834109391 606033744 /nfs/dbraw/zinc/03/37/44/606033744.db2.gz ZITDXMPWSYPCSF-ZDUSSCGKSA-N -1 1 315.377 1.889 20 0 DDADMM O=C([O-])NC1(C(=O)OC[C@@H]2CCCN2Cc2ccco2)CC1 ZINC000834154055 606092756 /nfs/dbraw/zinc/09/27/56/606092756.db2.gz MLDZZHHPTCOYSH-NSHDSACASA-N -1 1 308.334 1.587 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCC(C2CC2)C2CC2)n1 ZINC000822613763 606159657 /nfs/dbraw/zinc/15/96/57/606159657.db2.gz GYEKARFPSIHAMZ-UHFFFAOYSA-N -1 1 316.369 1.158 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCC(C2CC2)C2CC2)n1 ZINC000822613763 606159659 /nfs/dbraw/zinc/15/96/59/606159659.db2.gz GYEKARFPSIHAMZ-UHFFFAOYSA-N -1 1 316.369 1.158 20 0 DDADMM C[C@H]1CC[C@@H](CCC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])O1 ZINC000820690343 606297590 /nfs/dbraw/zinc/29/75/90/606297590.db2.gz JVSYSJHGKCEMKK-ONGXEEELSA-N -1 1 317.349 1.859 20 0 DDADMM C[C@@H](Nc1ccc(Cl)c(-c2nnn[n-]2)n1)c1nncn1C ZINC000820455346 606455313 /nfs/dbraw/zinc/45/53/13/606455313.db2.gz HMBDIXCNUXTACE-ZCFIWIBFSA-N -1 1 305.733 1.217 20 0 DDADMM C[C@@H](Nc1ccc(Cl)c(-c2nn[n-]n2)n1)c1nncn1C ZINC000820455346 606455315 /nfs/dbraw/zinc/45/53/15/606455315.db2.gz HMBDIXCNUXTACE-ZCFIWIBFSA-N -1 1 305.733 1.217 20 0 DDADMM CN(C)C(=O)CCCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821575776 606460034 /nfs/dbraw/zinc/46/00/34/606460034.db2.gz OYHIXYVEGHPDGY-UHFFFAOYSA-N -1 1 309.761 1.195 20 0 DDADMM CN(C)C(=O)CCCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821575776 606460033 /nfs/dbraw/zinc/46/00/33/606460033.db2.gz OYHIXYVEGHPDGY-UHFFFAOYSA-N -1 1 309.761 1.195 20 0 DDADMM O[C@@]1(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)CCSC1 ZINC000823699174 606471149 /nfs/dbraw/zinc/47/11/49/606471149.db2.gz SZYVHSIXGLELMV-LLVKDONJSA-N -1 1 312.786 1.195 20 0 DDADMM O[C@@]1(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)CCSC1 ZINC000823699174 606471150 /nfs/dbraw/zinc/47/11/50/606471150.db2.gz SZYVHSIXGLELMV-LLVKDONJSA-N -1 1 312.786 1.195 20 0 DDADMM CCc1ccccc1OCC(=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000821497175 606532326 /nfs/dbraw/zinc/53/23/26/606532326.db2.gz QLKVOPQRGIBVLT-UHFFFAOYSA-N -1 1 313.321 1.170 20 0 DDADMM CCc1ccccc1OCC(=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000821497175 606532327 /nfs/dbraw/zinc/53/23/27/606532327.db2.gz QLKVOPQRGIBVLT-UHFFFAOYSA-N -1 1 313.321 1.170 20 0 DDADMM CN(CCc1ccccn1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821604720 606536108 /nfs/dbraw/zinc/53/61/08/606536108.db2.gz CJJHZYXLBZGUCJ-UHFFFAOYSA-N -1 1 314.374 1.638 20 0 DDADMM CN(CCc1ccccn1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821604720 606536110 /nfs/dbraw/zinc/53/61/10/606536110.db2.gz CJJHZYXLBZGUCJ-UHFFFAOYSA-N -1 1 314.374 1.638 20 0 DDADMM C[C@H](CNC(=O)c1ccc(-c2nnn[n-]2)nc1)c1ccncc1 ZINC000820268385 606587749 /nfs/dbraw/zinc/58/77/49/606587749.db2.gz AWPSAGOKUPURNH-SNVBAGLBSA-N -1 1 309.333 1.190 20 0 DDADMM C[C@H](CNC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccncc1 ZINC000820268385 606587750 /nfs/dbraw/zinc/58/77/50/606587750.db2.gz AWPSAGOKUPURNH-SNVBAGLBSA-N -1 1 309.333 1.190 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccc(Cl)cn1 ZINC000823436502 606653818 /nfs/dbraw/zinc/65/38/18/606653818.db2.gz YGWBNFMXSJNBNG-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc(Cl)cn1 ZINC000823436502 606653819 /nfs/dbraw/zinc/65/38/19/606653819.db2.gz YGWBNFMXSJNBNG-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)NCCC1=CCCCC1 ZINC000823122645 606655255 /nfs/dbraw/zinc/65/52/55/606655255.db2.gz FUWZCDOKICOGPQ-UHFFFAOYSA-N -1 1 301.354 1.070 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)NCCC1=CCCCC1 ZINC000823122645 606655256 /nfs/dbraw/zinc/65/52/56/606655256.db2.gz FUWZCDOKICOGPQ-UHFFFAOYSA-N -1 1 301.354 1.070 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]2OCC[C@H]21)c1ccc(-c2nnn[n-]2)s1 ZINC000823151309 606752652 /nfs/dbraw/zinc/75/26/52/606752652.db2.gz ZLUAARRAFNAXSK-AEJSXWLSSA-N -1 1 319.390 1.616 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]2OCC[C@H]21)c1ccc(-c2nn[n-]n2)s1 ZINC000823151309 606752654 /nfs/dbraw/zinc/75/26/54/606752654.db2.gz ZLUAARRAFNAXSK-AEJSXWLSSA-N -1 1 319.390 1.616 20 0 DDADMM OCC[C@@H]1CCCCN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000823717724 607025374 /nfs/dbraw/zinc/02/53/74/607025374.db2.gz KMPDSBCLNNUQMC-VIFPVBQESA-N -1 1 308.773 1.656 20 0 DDADMM OCC[C@@H]1CCCCN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000823717724 607025376 /nfs/dbraw/zinc/02/53/76/607025376.db2.gz KMPDSBCLNNUQMC-VIFPVBQESA-N -1 1 308.773 1.656 20 0 DDADMM O=C(Nc1ncc(-c2nnn[n-]2)s1)c1ccc2[nH]cnc2c1 ZINC000823380171 607105292 /nfs/dbraw/zinc/10/52/92/607105292.db2.gz RQJBKSVZEVIMCH-UHFFFAOYSA-N -1 1 312.318 1.452 20 0 DDADMM O=C(Nc1ncc(-c2nn[n-]n2)s1)c1ccc2[nH]cnc2c1 ZINC000823380171 607105294 /nfs/dbraw/zinc/10/52/94/607105294.db2.gz RQJBKSVZEVIMCH-UHFFFAOYSA-N -1 1 312.318 1.452 20 0 DDADMM CC(C)[C@@H](O)C(C)(C)CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824201043 607824028 /nfs/dbraw/zinc/82/40/28/607824028.db2.gz XCFKDDSZYWLBNL-GFCCVEGCSA-N -1 1 318.381 1.035 20 0 DDADMM CC(C)[C@@H](O)C(C)(C)CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824201043 607824029 /nfs/dbraw/zinc/82/40/29/607824029.db2.gz XCFKDDSZYWLBNL-GFCCVEGCSA-N -1 1 318.381 1.035 20 0 DDADMM COCCn1nc(C)c(Nc2cccc(-c3nnn[n-]3)n2)c1C ZINC000826163570 607901807 /nfs/dbraw/zinc/90/18/07/607901807.db2.gz AFQGJAMOYYELSU-UHFFFAOYSA-N -1 1 314.353 1.465 20 0 DDADMM COCCn1nc(C)c(Nc2cccc(-c3nn[n-]n3)n2)c1C ZINC000826163570 607901808 /nfs/dbraw/zinc/90/18/08/607901808.db2.gz AFQGJAMOYYELSU-UHFFFAOYSA-N -1 1 314.353 1.465 20 0 DDADMM CN(Cc1ccc2c(c1)OCO2)c1ccc(-c2nnn[n-]2)nn1 ZINC000825971410 607988228 /nfs/dbraw/zinc/98/82/28/607988228.db2.gz FTIYZVZEFOXJQJ-UHFFFAOYSA-N -1 1 311.305 1.022 20 0 DDADMM CN(Cc1ccc2c(c1)OCO2)c1ccc(-c2nn[n-]n2)nn1 ZINC000825971410 607988229 /nfs/dbraw/zinc/98/82/29/607988229.db2.gz FTIYZVZEFOXJQJ-UHFFFAOYSA-N -1 1 311.305 1.022 20 0 DDADMM CCc1cccc(C)c1NC(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000825717487 608012893 /nfs/dbraw/zinc/01/28/93/608012893.db2.gz MXWWKNRZDIOKFT-UHFFFAOYSA-N -1 1 311.349 1.573 20 0 DDADMM CCc1cccc(C)c1NC(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000825717487 608012894 /nfs/dbraw/zinc/01/28/94/608012894.db2.gz MXWWKNRZDIOKFT-UHFFFAOYSA-N -1 1 311.349 1.573 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)c1cccc(OC(F)F)c1 ZINC000826424744 608013743 /nfs/dbraw/zinc/01/37/43/608013743.db2.gz ATAWOSIMCHLDMB-UHFFFAOYSA-N -1 1 320.259 1.548 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)c1cccc(OC(F)F)c1 ZINC000826424744 608013744 /nfs/dbraw/zinc/01/37/44/608013744.db2.gz ATAWOSIMCHLDMB-UHFFFAOYSA-N -1 1 320.259 1.548 20 0 DDADMM CCOC(=O)CC[C@H](C)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825508345 608027855 /nfs/dbraw/zinc/02/78/55/608027855.db2.gz XIFXDHOQRSDZGD-QMMMGPOBSA-N -1 1 323.378 1.390 20 0 DDADMM CCOC(=O)CC[C@H](C)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825508345 608027856 /nfs/dbraw/zinc/02/78/56/608027856.db2.gz XIFXDHOQRSDZGD-QMMMGPOBSA-N -1 1 323.378 1.390 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCC1=O ZINC000824868308 608169977 /nfs/dbraw/zinc/16/99/77/608169977.db2.gz UTQQFJIMSRSSJG-SCZZXKLOSA-N -1 1 315.333 1.516 20 0 DDADMM Cc1nnc(COC(=O)c2sccc2-c2nn[n-]n2)s1 ZINC000826335753 608319546 /nfs/dbraw/zinc/31/95/46/608319546.db2.gz AHEYXYRMMAAECK-UHFFFAOYSA-N -1 1 308.348 1.445 20 0 DDADMM c1nn(-c2ccccc2)cc1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826519987 608405926 /nfs/dbraw/zinc/40/59/26/608405926.db2.gz WVBBNNSTHKHVOG-UHFFFAOYSA-N -1 1 305.305 1.586 20 0 DDADMM c1nn(-c2ccccc2)cc1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826519987 608405928 /nfs/dbraw/zinc/40/59/28/608405928.db2.gz WVBBNNSTHKHVOG-UHFFFAOYSA-N -1 1 305.305 1.586 20 0 DDADMM c1ccc2c(c1)OCCC[C@@H]2Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826524224 608409443 /nfs/dbraw/zinc/40/94/43/608409443.db2.gz NBPUZXTZXXUBDL-NSHDSACASA-N -1 1 309.333 1.983 20 0 DDADMM c1ccc2c(c1)OCCC[C@@H]2Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826524224 608409445 /nfs/dbraw/zinc/40/94/45/608409445.db2.gz NBPUZXTZXXUBDL-NSHDSACASA-N -1 1 309.333 1.983 20 0 DDADMM C[C@@H]1CO[C@H](c2ccccc2)CN1c1ccc(-c2nnn[n-]2)nn1 ZINC000825007409 608429074 /nfs/dbraw/zinc/42/90/74/608429074.db2.gz YXLWDOKOSDXXDE-RISCZKNCSA-N -1 1 323.360 1.623 20 0 DDADMM C[C@@H]1CO[C@H](c2ccccc2)CN1c1ccc(-c2nn[n-]n2)nn1 ZINC000825007409 608429076 /nfs/dbraw/zinc/42/90/76/608429076.db2.gz YXLWDOKOSDXXDE-RISCZKNCSA-N -1 1 323.360 1.623 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@H]1N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC000825171184 608432836 /nfs/dbraw/zinc/43/28/36/608432836.db2.gz MLESYXWRMHYHPA-VXGBXAGGSA-N -1 1 317.397 1.687 20 0 DDADMM CCC1(CC)[C@H](OC)C[C@H]1N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC000825171184 608432837 /nfs/dbraw/zinc/43/28/37/608432837.db2.gz MLESYXWRMHYHPA-VXGBXAGGSA-N -1 1 317.397 1.687 20 0 DDADMM O=C(Nc1ccc2c(c1)COC2)c1ccc(-c2nnn[n-]2)nc1 ZINC000826455515 608561122 /nfs/dbraw/zinc/56/11/22/608561122.db2.gz RPJTWJCDPRFZIH-UHFFFAOYSA-N -1 1 308.301 1.544 20 0 DDADMM O=C(Nc1ccc2c(c1)COC2)c1ccc(-c2nn[n-]n2)nc1 ZINC000826455515 608561124 /nfs/dbraw/zinc/56/11/24/608561124.db2.gz RPJTWJCDPRFZIH-UHFFFAOYSA-N -1 1 308.301 1.544 20 0 DDADMM Cc1ccc(NC(=O)Cn2ccnc2-c2nnn[n-]2)c(F)c1 ZINC000826291178 608894913 /nfs/dbraw/zinc/89/49/13/608894913.db2.gz SZUYXISQVMZCDB-UHFFFAOYSA-N -1 1 301.285 1.149 20 0 DDADMM Cc1ccc(NC(=O)Cn2ccnc2-c2nn[n-]n2)c(F)c1 ZINC000826291178 608894914 /nfs/dbraw/zinc/89/49/14/608894914.db2.gz SZUYXISQVMZCDB-UHFFFAOYSA-N -1 1 301.285 1.149 20 0 DDADMM c1cc(-c2nn[n-]n2)sc1CNc1nnc([C@H]2CCCO2)o1 ZINC000826515156 609266602 /nfs/dbraw/zinc/26/66/02/609266602.db2.gz OUNQHFRXKDPQBA-MRVPVSSYSA-N -1 1 319.350 1.775 20 0 DDADMM Cc1nn(C[C@@H]2CCC[C@H](C)C2)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334359 609379003 /nfs/dbraw/zinc/37/90/03/609379003.db2.gz RRRZXLONSVPODZ-JOYOIKCWSA-N -1 1 302.382 1.867 20 0 DDADMM CCc1cc(C(=O)[N-]NC(=O)Cc2ccc(Cl)cc2)n[nH]1 ZINC000122509148 696722519 /nfs/dbraw/zinc/72/25/19/696722519.db2.gz CUEKURKFEBSVQO-UHFFFAOYSA-N -1 1 306.753 1.629 20 0 DDADMM CC1(C(=O)N2C[C@H]3CCN(C(=O)c4ncccc4[O-])C[C@H]32)CC1 ZINC000972555008 695281349 /nfs/dbraw/zinc/28/13/49/695281349.db2.gz SSXVMWWEDJZHEV-VXGBXAGGSA-N -1 1 315.373 1.260 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C(F)F)[C@@H]2CCCO2)[n-]1 ZINC000797179189 699997648 /nfs/dbraw/zinc/99/76/48/699997648.db2.gz IDTOTQIGDRQNKT-UWVGGRQHSA-N -1 1 303.261 1.771 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)[C@@H](C)SC)o1 ZINC000797195750 699998609 /nfs/dbraw/zinc/99/86/09/699998609.db2.gz RHEXQZSWAYJCAR-HTQZYQBOSA-N -1 1 307.393 1.485 20 0 DDADMM O=C(C=C1CCC1)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973155857 695434463 /nfs/dbraw/zinc/43/44/63/695434463.db2.gz NCDPQWDQMMGXGI-HAQNSBGRSA-N -1 1 301.346 1.275 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)[C@@H]2CC23CCC3)C1)c1ncccc1[O-] ZINC000973197066 695443736 /nfs/dbraw/zinc/44/37/36/695443736.db2.gz KKVYVWAHNLOUCS-SRVKXCTJSA-N -1 1 315.373 1.354 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974054976 695629200 /nfs/dbraw/zinc/62/92/00/695629200.db2.gz XBLQEOKLYMBBCX-GRYCIOLGSA-N -1 1 317.389 1.600 20 0 DDADMM O=C([O-])CN(C(=O)N[C@@H]1CCCc2cn[nH]c21)C1CCCC1 ZINC000797723635 700020881 /nfs/dbraw/zinc/02/08/81/700020881.db2.gz CVNSNWHETRKBQT-GFCCVEGCSA-N -1 1 306.366 1.826 20 0 DDADMM CC(=O)N1CCC[C@@H]1[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000975962246 695884979 /nfs/dbraw/zinc/88/49/79/695884979.db2.gz WHKJZPPVLVAZMY-KGLIPLIRSA-N -1 1 317.389 1.793 20 0 DDADMM CCc1cc(C(=O)[N-]NC(=O)c2cc(OC)cc(OC)c2)n[nH]1 ZINC000028834378 696107103 /nfs/dbraw/zinc/10/71/03/696107103.db2.gz QTNHVNNBIYTZIS-UHFFFAOYSA-N -1 1 318.333 1.064 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cccc(C#N)c2)o1 ZINC000042263914 696147339 /nfs/dbraw/zinc/14/73/39/696147339.db2.gz IFAPJIPYJCMWBI-UHFFFAOYSA-N -1 1 320.326 1.416 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCN(C(C)C)C1=O ZINC000747395596 700067743 /nfs/dbraw/zinc/06/77/43/700067743.db2.gz PXUQAXVEYCKGSV-NSHDSACASA-N -1 1 320.393 1.353 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1scnc1Cl ZINC000747473234 700072102 /nfs/dbraw/zinc/07/21/02/700072102.db2.gz AXMFMLPDHKELMH-MRVPVSSYSA-N -1 1 320.765 1.829 20 0 DDADMM CC(N[C@H](C)CCc1ccccc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000054502731 696276595 /nfs/dbraw/zinc/27/65/95/696276595.db2.gz VYSZEENBYPUQQB-SNVBAGLBSA-N -1 1 317.414 1.402 20 0 DDADMM CCOC1CCN(CC(=O)N[N-]C(=O)c2cccs2)CC1 ZINC000060485543 696311103 /nfs/dbraw/zinc/31/11/03/696311103.db2.gz XAAGBZIZGKWXIN-UHFFFAOYSA-N -1 1 311.407 1.010 20 0 DDADMM C[C@H](OC(=O)c1sccc1[N-]S(C)(=O)=O)C(=O)C1CC1 ZINC000798598735 700078121 /nfs/dbraw/zinc/07/81/21/700078121.db2.gz FWQGNBZDUDUIOX-ZETCQYMHSA-N -1 1 317.388 1.644 20 0 DDADMM O=C(COC(=O)c1c([O-])cc(F)cc1F)NCc1ccco1 ZINC000063543471 696328922 /nfs/dbraw/zinc/32/89/22/696328922.db2.gz WBVNHPONHKDYCX-UHFFFAOYSA-N -1 1 311.240 1.737 20 0 DDADMM C[C@@H](CC(=O)OCC(=O)N[N-]C(=O)c1cccs1)C1CC1 ZINC000064278718 696338158 /nfs/dbraw/zinc/33/81/58/696338158.db2.gz KPTSWSKBLLAUFS-VIFPVBQESA-N -1 1 310.375 1.489 20 0 DDADMM Cc1nn(C(C)(C)C)c(C)c1C(=O)NCc1n[n-]c(=S)n1C ZINC000066625763 696353885 /nfs/dbraw/zinc/35/38/85/696353885.db2.gz OZVICOPVZHGMJU-UHFFFAOYSA-N -1 1 322.438 1.976 20 0 DDADMM Cn1c(CCNC(=O)c2ccc3[nH]cnc3c2)n[n-]c1=S ZINC000067119008 696359369 /nfs/dbraw/zinc/35/93/69/696359369.db2.gz OIICWXYQTNMZKL-UHFFFAOYSA-N -1 1 302.363 1.326 20 0 DDADMM CC(C)(CNC(=O)Cc1sc(N)nc1[O-])Cc1ccccc1 ZINC000080352176 696533628 /nfs/dbraw/zinc/53/36/28/696533628.db2.gz FMCFOVQTOPKFLX-LBPRGKRZSA-N -1 1 319.430 1.718 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H](Cc2ccccc2)C2CC2)s1 ZINC000080387159 696533926 /nfs/dbraw/zinc/53/39/26/696533926.db2.gz POGUPTOMOLSFFF-QWHCGFSZSA-N -1 1 317.414 1.471 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C1CCOCC1 ZINC000081186962 696541279 /nfs/dbraw/zinc/54/12/79/696541279.db2.gz GKIKUFXHMWSLNO-JTQLQIEISA-N -1 1 321.830 1.557 20 0 DDADMM CCCCC[C@@H](C)NC(=O)CS(=O)(=O)c1n[n-]c(CC)n1 ZINC000086170669 696565582 /nfs/dbraw/zinc/56/55/82/696565582.db2.gz YTNNYHKPIZYIKC-SNVBAGLBSA-N -1 1 316.427 1.226 20 0 DDADMM NC(=O)CO[N-]C(=O)CCc1ncc(-c2ccccc2Cl)o1 ZINC000089465425 696577076 /nfs/dbraw/zinc/57/70/76/696577076.db2.gz OTJNYIGRQOELOX-UHFFFAOYSA-N -1 1 323.736 1.461 20 0 DDADMM C[C@H]1OCC[C@@H]1C(=O)N[N-]C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000092208703 696593073 /nfs/dbraw/zinc/59/30/73/696593073.db2.gz IZSQXBRKXSBVJV-PWSUYJOCSA-N -1 1 314.345 1.263 20 0 DDADMM Cc1c(C(=O)NCCc2n[n-]c(=S)n2C)cnn1C(C)C ZINC000092413208 696594276 /nfs/dbraw/zinc/59/42/76/696594276.db2.gz ZBWZQAISENBUOL-UHFFFAOYSA-N -1 1 308.411 1.536 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(Cc2cccs2)C2CC2)s1 ZINC000120881847 696703137 /nfs/dbraw/zinc/70/31/37/696703137.db2.gz WHSJIJKDHNKCPH-JTQLQIEISA-N -1 1 309.416 1.586 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCC1CCC1 ZINC000133711178 696829540 /nfs/dbraw/zinc/82/95/40/696829540.db2.gz PIEFVGJQJRRJEG-UHFFFAOYSA-N -1 1 302.378 1.488 20 0 DDADMM CCO[C@H](CC)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000139816311 696864993 /nfs/dbraw/zinc/86/49/93/696864993.db2.gz URDZTGDCLPYJRP-CYBMUJFWSA-N -1 1 323.345 1.310 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)CCc2cccc(Cl)c2)n[nH]1 ZINC000153124419 696909955 /nfs/dbraw/zinc/90/99/55/696909955.db2.gz YCTZXQGMROGWPC-UHFFFAOYSA-N -1 1 306.753 1.765 20 0 DDADMM CS[C@@H](C)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982483520 697137655 /nfs/dbraw/zinc/13/76/55/697137655.db2.gz IGGLDMIHSURWJZ-WDEREUQCSA-N -1 1 323.418 1.117 20 0 DDADMM O=C(CCc1ccccc1)N1CC[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000158881833 697305101 /nfs/dbraw/zinc/30/51/01/697305101.db2.gz VXLDBZDIEBTRLS-GFCCVEGCSA-N -1 1 314.307 1.899 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)c2cccnc2)co1 ZINC000163544829 697333535 /nfs/dbraw/zinc/33/35/35/697333535.db2.gz SUTIZTPMLQBNCW-SECBINFHSA-N -1 1 310.331 1.501 20 0 DDADMM CC[C@@H]1CN(CC(=O)N2CCC(C(=O)[O-])CC2)CCS1 ZINC000166997482 697341951 /nfs/dbraw/zinc/34/19/51/697341951.db2.gz MBBUPLZDLHYGNV-GFCCVEGCSA-N -1 1 300.424 1.137 20 0 DDADMM Cc1cc(C(=O)Nc2ccc(F)nc2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000178597382 697426423 /nfs/dbraw/zinc/42/64/23/697426423.db2.gz MYJMUJQSRCUQLN-UHFFFAOYSA-N -1 1 315.264 1.119 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]CCC1(F)CCC1 ZINC000805123508 701368387 /nfs/dbraw/zinc/36/83/87/701368387.db2.gz PGWMYVZYNRXNIF-UHFFFAOYSA-N -1 1 309.794 1.943 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC2(SC)CC2)o1 ZINC000799682538 700157974 /nfs/dbraw/zinc/15/79/74/700157974.db2.gz CXWHOBYOEAOWAJ-UHFFFAOYSA-N -1 1 305.377 1.240 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C2(C3CC3)CC2)CC1 ZINC000985485534 697517931 /nfs/dbraw/zinc/51/79/31/697517931.db2.gz SORQHMVFDQCMMC-UHFFFAOYSA-N -1 1 319.409 1.123 20 0 DDADMM C[C@H](C[C@@H](O)c1ccco1)NC(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000185843140 697525416 /nfs/dbraw/zinc/52/54/16/697525416.db2.gz CSPBZGKEVHOAGW-LDYMZIIASA-N -1 1 321.289 1.530 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@]2(C)C=CCC2)CC1 ZINC000985582748 697535021 /nfs/dbraw/zinc/53/50/21/697535021.db2.gz WKDUGQXTCFRFLD-MRXNPFEDSA-N -1 1 319.409 1.289 20 0 DDADMM CC[C@@]1(CO)CCCN(C(=O)c2nnc3ccccc3c2O)C1 ZINC000187232069 697542842 /nfs/dbraw/zinc/54/28/42/697542842.db2.gz FIUSTZAIYLDXCS-QGZVFWFLSA-N -1 1 315.373 1.548 20 0 DDADMM Cc1n[nH]c(C)c1CC(=O)N[N-]C(=O)c1ccc(Cl)cc1F ZINC000188232621 697555641 /nfs/dbraw/zinc/55/56/41/697555641.db2.gz LPQOBLQGZFNTPF-UHFFFAOYSA-N -1 1 324.743 1.823 20 0 DDADMM Cc1cc(C)n2nc(C(=O)Nc3nc(Cl)ccc3[O-])nc2n1 ZINC000188365607 697557675 /nfs/dbraw/zinc/55/76/75/697557675.db2.gz MPBGUHOPAILJGC-UHFFFAOYSA-N -1 1 318.724 1.747 20 0 DDADMM COC(=O)CC1([N-]C(=O)C(F)(F)C(F)F)CCOCC1 ZINC000749506022 700167198 /nfs/dbraw/zinc/16/71/98/700167198.db2.gz MHIVRPWHNQNDBT-UHFFFAOYSA-N -1 1 301.236 1.115 20 0 DDADMM COc1cc(C(=O)OCCc2cc(C(C)=O)ccc2[O-])nn1C ZINC000772643106 697656486 /nfs/dbraw/zinc/65/64/86/697656486.db2.gz IRXBYMQPCLUJET-UHFFFAOYSA-N -1 1 318.329 1.736 20 0 DDADMM CCNC(=O)CN(CC)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000193585685 697673545 /nfs/dbraw/zinc/67/35/45/697673545.db2.gz ITDRXRVOAUDJAH-UHFFFAOYSA-N -1 1 304.346 1.457 20 0 DDADMM Cc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)ccc1F ZINC000773155359 697719835 /nfs/dbraw/zinc/71/98/35/697719835.db2.gz URGNPVLOZUBQRJ-NSHDSACASA-N -1 1 307.354 1.578 20 0 DDADMM Cc1cccc(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000773157869 697720793 /nfs/dbraw/zinc/72/07/93/697720793.db2.gz MBRKQPKFICHEOS-LBPRGKRZSA-N -1 1 303.391 1.367 20 0 DDADMM COCc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1 ZINC000773159117 697721232 /nfs/dbraw/zinc/72/12/32/697721232.db2.gz RARVGTOSHWISDY-LBPRGKRZSA-N -1 1 319.390 1.276 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C(=O)CCCCc2cn[nH]n2)cc1 ZINC000195249830 697736331 /nfs/dbraw/zinc/73/63/31/697736331.db2.gz LAZQCOHUGDOLPV-UHFFFAOYSA-N -1 1 322.390 1.331 20 0 DDADMM O=C(C=Cc1ccc(O)cc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000773316274 697743573 /nfs/dbraw/zinc/74/35/73/697743573.db2.gz XYGHKEHTBOEMSD-ZCRIDZFUSA-N -1 1 315.329 1.900 20 0 DDADMM O=C(COC(=O)c1ccc([O-])cc1F)NC(=O)c1cccs1 ZINC000773570512 697782833 /nfs/dbraw/zinc/78/28/33/697782833.db2.gz QKOPNOYFSKZYCO-UHFFFAOYSA-N -1 1 323.301 1.706 20 0 DDADMM O=C(Cc1ccc(O)cc1)OCCC[N-]C(=O)C(F)(F)F ZINC000774893406 697939324 /nfs/dbraw/zinc/93/93/24/697939324.db2.gz AEDYZYPQJYIYLD-UHFFFAOYSA-N -1 1 305.252 1.547 20 0 DDADMM C[C@H]1CC[C@H](CCC(=O)OCCC[N-]C(=O)C(F)(F)F)O1 ZINC000774956967 697947051 /nfs/dbraw/zinc/94/70/51/697947051.db2.gz BTINARVLGUGNML-VHSXEESVSA-N -1 1 311.300 1.946 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cs1 ZINC000987176123 698017994 /nfs/dbraw/zinc/01/79/94/698017994.db2.gz YRPAIXCBJMKXQH-ONGXEEELSA-N -1 1 321.406 1.273 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000988211865 698309977 /nfs/dbraw/zinc/30/99/77/698309977.db2.gz QIMQPPZTSJQTRQ-VXGBXAGGSA-N -1 1 323.368 1.456 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1C(C)(C)C1(C)C ZINC000988554762 698404550 /nfs/dbraw/zinc/40/45/50/698404550.db2.gz QKMSCWNZQJWHCU-NXEZZACHSA-N -1 1 321.425 1.272 20 0 DDADMM Cc1coc(C)c1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000988753207 698455769 /nfs/dbraw/zinc/45/57/69/698455769.db2.gz AQUMWNXLEBFUSP-ONGXEEELSA-N -1 1 319.365 1.113 20 0 DDADMM Cc1csc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)c1 ZINC000988794360 698467157 /nfs/dbraw/zinc/46/71/57/698467157.db2.gz UZSIZELEHUBCLF-UWVGGRQHSA-N -1 1 321.406 1.273 20 0 DDADMM CO[C@@H]1C[C@@H](CC(=O)[O-])N(C(=O)c2cc(C)cc3c[nH]nc32)C1 ZINC000263001724 698487291 /nfs/dbraw/zinc/48/72/91/698487291.db2.gz KVSZWACOQHUSQN-NWDGAFQWSA-N -1 1 317.345 1.576 20 0 DDADMM CO[C@@H]1C[C@@H](CC(=O)[O-])N(C(=O)c2[nH]nc3ccccc32)C1 ZINC000263161016 698493872 /nfs/dbraw/zinc/49/38/72/698493872.db2.gz VMZJBYKUPKDAPD-VHSXEESVSA-N -1 1 303.318 1.267 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])C[N@@H+](CC(=O)N(C2CC2)C2CCCC2)C1 ZINC000263372535 698502949 /nfs/dbraw/zinc/50/29/49/698502949.db2.gz VURBDDIWCPEITA-QWHCGFSZSA-N -1 1 308.422 1.963 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CC2(CCC2)C1 ZINC000989325707 698586630 /nfs/dbraw/zinc/58/66/30/698586630.db2.gz IOVMALUQOIGTFV-ZYHUDNBSSA-N -1 1 319.409 1.170 20 0 DDADMM Cc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(Cl)c1C ZINC000783571230 698852982 /nfs/dbraw/zinc/85/29/82/698852982.db2.gz BWLWBPQWLSBQSC-LLVKDONJSA-N -1 1 321.768 1.684 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1ccc2nc(C)[nH]c2c1 ZINC000350124531 698867274 /nfs/dbraw/zinc/86/72/74/698867274.db2.gz ALBMYDYKXKNESZ-UHFFFAOYSA-N -1 1 305.363 1.714 20 0 DDADMM CC(F)(F)C(=O)[N-]C1CN(C(=O)c2c(F)ccc(F)c2F)C1 ZINC000990054649 698925119 /nfs/dbraw/zinc/92/51/19/698925119.db2.gz BHBJJQNCOAUGEZ-UHFFFAOYSA-N -1 1 322.233 1.700 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)[C@H]2CC23CC3)CCN1C(=O)c1ncccc1[O-] ZINC000990409094 699045475 /nfs/dbraw/zinc/04/54/75/699045475.db2.gz VJTUFKUSDUPCPE-UTUOFQBUSA-N -1 1 315.373 1.307 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H]2CCOC(C)(C)C2)o1 ZINC000785904504 699109721 /nfs/dbraw/zinc/10/97/21/699109721.db2.gz LGRVNIZRJNOVMA-SECBINFHSA-N -1 1 317.363 1.302 20 0 DDADMM CC[C@H](O[C@@H]1CCC[C@H](C)C1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000786351654 699142090 /nfs/dbraw/zinc/14/20/90/699142090.db2.gz MZQZPNKPXFVCPQ-TUAOUCFPSA-N -1 1 311.382 1.526 20 0 DDADMM CC[C@@H](C[C@H](C)O)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000867903189 701818692 /nfs/dbraw/zinc/81/86/92/701818692.db2.gz BMRIEZRXUJIFMJ-BQBZGAKWSA-N -1 1 315.317 1.256 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cnc(Cl)n1C)C(F)(F)F ZINC000786917313 699179829 /nfs/dbraw/zinc/17/98/29/699179829.db2.gz VDDKABWWAQSSNF-YFKPBYRVSA-N -1 1 305.709 1.693 20 0 DDADMM O=C(C[C@H]1C=CCC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990970672 699196028 /nfs/dbraw/zinc/19/60/28/699196028.db2.gz XWWXFCSZAZBCEK-NSHDSACASA-N -1 1 301.346 1.084 20 0 DDADMM Cc1ccc(N2CCNC2=O)cc1NC(=O)C1=C([O-])C(C)N=N1 ZINC000787698683 699232065 /nfs/dbraw/zinc/23/20/65/699232065.db2.gz KYXLOHZORKRJKO-UHFFFAOYSA-N -1 1 315.333 1.514 20 0 DDADMM Cc1ccc(Cl)cc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000726864522 699386102 /nfs/dbraw/zinc/38/61/02/699386102.db2.gz DCDFSOOZPWQVGY-UHFFFAOYSA-N -1 1 307.737 1.527 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1(c2ccccc2)CCC1 ZINC000726868154 699386624 /nfs/dbraw/zinc/38/66/24/699386624.db2.gz XZUWWQBUSIPFOJ-UHFFFAOYSA-N -1 1 313.357 1.122 20 0 DDADMM CCC1(CC)CCC(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000789876525 699416453 /nfs/dbraw/zinc/41/64/53/699416453.db2.gz FHXAKELSBMBZKE-UHFFFAOYSA-N -1 1 321.421 1.792 20 0 DDADMM Cc1ccccc1[C@H](C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727850267 699428048 /nfs/dbraw/zinc/42/80/48/699428048.db2.gz VUCITRDPWKRSQX-ZDUSSCGKSA-N -1 1 315.373 1.455 20 0 DDADMM CCc1cc2c(COC(=O)C(C)(C)O)cc(=O)oc2cc1[O-] ZINC000728339825 699445036 /nfs/dbraw/zinc/44/50/36/699445036.db2.gz DGDIXHVQYIKCBS-UHFFFAOYSA-N -1 1 306.314 1.875 20 0 DDADMM O=C(Nc1ccc(CN2CCOC2=O)cc1)c1cncc([O-])c1 ZINC000732274277 699553043 /nfs/dbraw/zinc/55/30/43/699553043.db2.gz QISRONLPXMMUFR-UHFFFAOYSA-N -1 1 313.313 1.992 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3COc4ccccc4O3)ccnc1-2 ZINC000791160267 699613455 /nfs/dbraw/zinc/61/34/55/699613455.db2.gz DECAMPQDZSMIDH-CQSZACIVSA-N -1 1 310.313 1.120 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cc(C)ccn2)o1 ZINC000791321073 699622517 /nfs/dbraw/zinc/62/25/17/699622517.db2.gz QXSKKTZUFKQVRM-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM C[C@H](CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC000735977904 699715320 /nfs/dbraw/zinc/71/53/20/699715320.db2.gz WSBUWKKKCSAXAL-YPMHNXCESA-N -1 1 301.350 1.293 20 0 DDADMM Cc1cccc(C(C)(C)NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000736233155 699721035 /nfs/dbraw/zinc/72/10/35/699721035.db2.gz MXPSUOVYFVZFLM-UHFFFAOYSA-N -1 1 315.373 1.287 20 0 DDADMM CCC[C@H](NC(=O)CCOc1ccc(C=O)cc1)c1nn[n-]n1 ZINC000736429872 699725379 /nfs/dbraw/zinc/72/53/79/699725379.db2.gz JKPIYCDCGMTLDG-ZDUSSCGKSA-N -1 1 317.349 1.439 20 0 DDADMM O=C(c1ccc(-n2cccc2)cc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000738259353 699756088 /nfs/dbraw/zinc/75/60/88/699756088.db2.gz ZMKDWXPEHDKDSE-CQSZACIVSA-N -1 1 324.344 1.204 20 0 DDADMM O=C([O-])CN1CCCN(C(=O)c2cc3occc3s2)CC1 ZINC000738609671 699763376 /nfs/dbraw/zinc/76/33/76/699763376.db2.gz FUGZUHZEJZZDRO-UHFFFAOYSA-N -1 1 308.359 1.727 20 0 DDADMM C[C@H](N[C@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O)C(=O)[O-] ZINC000739032705 699770238 /nfs/dbraw/zinc/77/02/38/699770238.db2.gz NNGSATRMCCOKHB-KWQFWETISA-N -1 1 316.279 1.873 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@@H]1C1CCCCC1 ZINC000741731267 699849704 /nfs/dbraw/zinc/84/97/04/699849704.db2.gz ONDVCDDURBTQEY-OLZOCXBDSA-N -1 1 305.378 1.012 20 0 DDADMM O=C(c1cncc([O-])c1)N(CCO)Cc1cccnc1Cl ZINC000796216971 699920009 /nfs/dbraw/zinc/92/00/09/699920009.db2.gz VWJJYFAURAUMJY-UHFFFAOYSA-N -1 1 307.737 1.470 20 0 DDADMM C[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)[C@@H]1OCCc2sccc21 ZINC000796505761 699936458 /nfs/dbraw/zinc/93/64/58/699936458.db2.gz PKWDJDZEUVLJOL-PELKAZGASA-N -1 1 323.374 1.163 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OC[C@H]1CCCO1 ZINC000744565361 699954124 /nfs/dbraw/zinc/95/41/24/699954124.db2.gz ZKPMNXAXJLLMRN-LLVKDONJSA-N -1 1 313.375 1.784 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)Cn2cc(C)cn2)c1 ZINC000744835545 699962716 /nfs/dbraw/zinc/96/27/16/699962716.db2.gz OSIIWABBJFIAHA-UHFFFAOYSA-N -1 1 302.330 1.886 20 0 DDADMM CCOC(=O)[C@H](C)OC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801203447 700277576 /nfs/dbraw/zinc/27/75/76/700277576.db2.gz RSSAWUQOVKXGOG-JTQLQIEISA-N -1 1 304.302 1.686 20 0 DDADMM O=C(O[C@@H]1CCOC1=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801325862 700297452 /nfs/dbraw/zinc/29/74/52/700297452.db2.gz INZAOTGCMFVRAW-LLVKDONJSA-N -1 1 306.249 1.189 20 0 DDADMM COc1ccc(CCC(C)=O)cc1OS(=O)(=O)c1c[n-]cn1 ZINC000755182047 700554928 /nfs/dbraw/zinc/55/49/28/700554928.db2.gz DZVUJUXKECPXLV-UHFFFAOYSA-N -1 1 324.358 1.708 20 0 DDADMM CCC1(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)COC1 ZINC000755385941 700563608 /nfs/dbraw/zinc/56/36/08/700563608.db2.gz PRQVGJXKHDJHLJ-UHFFFAOYSA-N -1 1 304.350 1.095 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC[C@@H]2CCCC2=O)co1 ZINC000756404671 700619876 /nfs/dbraw/zinc/61/98/76/700619876.db2.gz MDJLAPVKSMKTFN-VIFPVBQESA-N -1 1 315.347 1.104 20 0 DDADMM COC(=O)c1ccc(C[N-]C(=O)C(F)(F)c2nccs2)o1 ZINC000756499682 700624314 /nfs/dbraw/zinc/62/43/14/700624314.db2.gz ZLSWJJLAPFBNLN-UHFFFAOYSA-N -1 1 316.285 1.931 20 0 DDADMM C[C@H](CN(C)C(=O)C(F)(F)c1nccs1)c1nn[n-]n1 ZINC000757598467 700665499 /nfs/dbraw/zinc/66/54/99/700665499.db2.gz SCUNHWPAZORMCF-ZCFIWIBFSA-N -1 1 302.310 1.010 20 0 DDADMM CCC(=O)CCCOC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000759512701 700755410 /nfs/dbraw/zinc/75/54/10/700755410.db2.gz MOZAHJXYOJBAIC-UHFFFAOYSA-N -1 1 319.361 1.897 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2ncn(C)c2Cl)n[nH]c1C1CC1 ZINC000760322080 700802061 /nfs/dbraw/zinc/80/20/61/700802061.db2.gz UKXMWFVOKIMYTH-UHFFFAOYSA-N -1 1 315.786 1.783 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](COC)C(C)C)o1 ZINC000761241205 700852536 /nfs/dbraw/zinc/85/25/36/700852536.db2.gz MAAKCTJTVKMWJA-SNVBAGLBSA-N -1 1 305.352 1.016 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3CC(C)(C)OC3=O)cnc2n1 ZINC000763234546 700930549 /nfs/dbraw/zinc/93/05/49/700930549.db2.gz JWHYUQLEAUVGJG-LLVKDONJSA-N -1 1 315.329 1.468 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1CC(C)(C)OC1=O)c2=O ZINC000763234546 700930551 /nfs/dbraw/zinc/93/05/51/700930551.db2.gz JWHYUQLEAUVGJG-LLVKDONJSA-N -1 1 315.329 1.468 20 0 DDADMM CC(C)COc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765385993 701009242 /nfs/dbraw/zinc/00/92/42/701009242.db2.gz KFGVRHHEUPGEDH-UHFFFAOYSA-N -1 1 305.334 1.500 20 0 DDADMM C[C@@H](Oc1cccc(Cl)c1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765415940 701010842 /nfs/dbraw/zinc/01/08/42/701010842.db2.gz VWVNYUZOKDXAKP-MRVPVSSYSA-N -1 1 311.725 1.273 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2c[nH]c3ccc(F)cc23)nc1=O ZINC000765474242 701014590 /nfs/dbraw/zinc/01/45/90/701014590.db2.gz OCGRJBRREUZHLX-UHFFFAOYSA-N -1 1 304.281 1.015 20 0 DDADMM CCc1cccnc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000767060642 701081503 /nfs/dbraw/zinc/08/15/03/701081503.db2.gz XASIKEHIHATGBA-UHFFFAOYSA-N -1 1 312.325 1.757 20 0 DDADMM CC(C)(C)[C@H](O)C[C@H](CO)NC(=O)c1c([O-])cccc1Cl ZINC000803564540 701127539 /nfs/dbraw/zinc/12/75/39/701127539.db2.gz NRHZRXQQGMYKSK-BXKDBHETSA-N -1 1 315.797 1.933 20 0 DDADMM C[C@H]1OCC[C@H]1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000771081124 701308836 /nfs/dbraw/zinc/30/88/36/701308836.db2.gz JYPLGFDZLHOHAC-BXKDBHETSA-N -1 1 304.298 1.967 20 0 DDADMM CCOC(=O)C1(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)CC1 ZINC000771604819 701325291 /nfs/dbraw/zinc/32/52/91/701325291.db2.gz WXKLWJPHVZTMTQ-UHFFFAOYSA-N -1 1 321.377 1.687 20 0 DDADMM O=C(CN1CCSC[C@@H]1CCO)[N-]OCc1ccccc1 ZINC000772200894 701345698 /nfs/dbraw/zinc/34/56/98/701345698.db2.gz QTPUNKZFKBHTKN-AWEZNQCLSA-N -1 1 310.419 1.034 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)c1nnc(-c2ccc(F)cc2)o1 ZINC000805603592 701397486 /nfs/dbraw/zinc/39/74/86/701397486.db2.gz QSMSSMCCSCBOKZ-SSDOTTSWSA-N -1 1 303.253 1.912 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)N1c2ccccc2CC[C@H]1C ZINC000805606034 701398346 /nfs/dbraw/zinc/39/83/46/701398346.db2.gz RRPUNAMAZJETNA-MNOVXSKESA-N -1 1 314.345 1.718 20 0 DDADMM Cc1cc(C)c(NC(=O)[C@@H](C)OC(=O)c2cn[n-]n2)c(C)c1 ZINC000805606230 701398881 /nfs/dbraw/zinc/39/88/81/701398881.db2.gz VDHAIIIVIYNRHN-LLVKDONJSA-N -1 1 302.334 1.914 20 0 DDADMM O=C(O[C@H]1CCN(c2cccc(Cl)c2)C1=O)c1cn[n-]n1 ZINC000805608834 701399964 /nfs/dbraw/zinc/39/99/64/701399964.db2.gz JKBUDPVENKRMHL-NSHDSACASA-N -1 1 306.709 1.420 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C(C)(C)C2CC2)cc1 ZINC000805701054 701406422 /nfs/dbraw/zinc/40/64/22/701406422.db2.gz NKEUCXALYJJJLP-UHFFFAOYSA-N -1 1 319.357 1.931 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCCSC2)o1 ZINC000806051586 701423103 /nfs/dbraw/zinc/42/31/03/701423103.db2.gz VANVLUJWKDFIQM-SECBINFHSA-N -1 1 319.404 1.488 20 0 DDADMM CC[C@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CCOCC1 ZINC000806488619 701437597 /nfs/dbraw/zinc/43/75/97/701437597.db2.gz PIYDAMQJKPNVJY-ZDUSSCGKSA-N -1 1 317.393 1.669 20 0 DDADMM C[C@H]1CCN(Cc2cnn(C)n2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000810018336 701712912 /nfs/dbraw/zinc/71/29/12/701712912.db2.gz VVYFJXKCVILZPU-QXEWZRGKSA-N -1 1 319.331 1.093 20 0 DDADMM Cc1cnc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c(C)c1 ZINC000830958786 706610902 /nfs/dbraw/zinc/61/09/02/706610902.db2.gz DYTGDIOFPCXFJH-UHFFFAOYSA-N -1 1 314.345 1.197 20 0 DDADMM CC(C)(C)[C@H]1CCC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000839889147 701856416 /nfs/dbraw/zinc/85/64/16/701856416.db2.gz CPCLWOTWIFBXMJ-RYUDHWBXSA-N -1 1 307.394 1.258 20 0 DDADMM CCS(=O)(=O)CCCNC(=O)c1c([O-])cccc1Cl ZINC000839905147 701863510 /nfs/dbraw/zinc/86/35/10/701863510.db2.gz ZUWDIEWCXLFFLK-UHFFFAOYSA-N -1 1 305.783 1.600 20 0 DDADMM O=C(COC(=O)c1conc1C1CC1)[N-]C(=O)c1ccccc1 ZINC000811319605 701958953 /nfs/dbraw/zinc/95/89/53/701958953.db2.gz XVWQXUDILGGPPB-UHFFFAOYSA-N -1 1 314.297 1.665 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCCC2(F)F)o1 ZINC000816395266 702081160 /nfs/dbraw/zinc/08/11/60/702081160.db2.gz MJZWJRVDKWOWHZ-QMMMGPOBSA-N -1 1 323.317 1.780 20 0 DDADMM COCC1(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)CC1 ZINC000840418795 702103621 /nfs/dbraw/zinc/10/36/21/702103621.db2.gz QCYPPWRDJWNOAH-UHFFFAOYSA-N -1 1 304.298 1.968 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nnc3ccccc3n2)n1 ZINC000816535982 702109020 /nfs/dbraw/zinc/10/90/20/702109020.db2.gz IKNBKAKIEDDNPL-UHFFFAOYSA-N -1 1 312.289 1.177 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2nc(Cl)cs2)n1 ZINC000816536275 702109047 /nfs/dbraw/zinc/10/90/47/702109047.db2.gz QINIBNTWROGUOT-UHFFFAOYSA-N -1 1 300.727 1.949 20 0 DDADMM COC(=O)[C@H]1[C@@H]2CN(C(=O)c3c(C)nc(C(C)C)[n-]c3=O)C[C@@H]21 ZINC000816963580 702245166 /nfs/dbraw/zinc/24/51/66/702245166.db2.gz OGMHXUCQLHDLKZ-IAZYJMLFSA-N -1 1 319.361 1.105 20 0 DDADMM CC[C@@H](C)CO[N-]C(=O)[C@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000816978249 702252618 /nfs/dbraw/zinc/25/26/18/702252618.db2.gz PWKOWCDSZOJYCV-OLZOCXBDSA-N -1 1 305.378 1.524 20 0 DDADMM CCn1nc(C)nc1[N-]S(=O)(=O)C=Cc1ccc(C)cc1 ZINC000840955543 702292376 /nfs/dbraw/zinc/29/23/76/702292376.db2.gz XVEOUFPAIJZJPU-MDZDMXLPSA-N -1 1 306.391 1.749 20 0 DDADMM CCc1cc(NC(=O)c2cc(NC(C)=O)ccc2[O-])nn1C ZINC000817690356 702452059 /nfs/dbraw/zinc/45/20/59/702452059.db2.gz HJPIKKDSCMNODI-UHFFFAOYSA-N -1 1 302.334 1.899 20 0 DDADMM CC[C@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CCCOC1 ZINC000879414453 706673678 /nfs/dbraw/zinc/67/36/78/706673678.db2.gz KXYPKWFWWUCIAS-AAEUAGOBSA-N -1 1 317.393 1.669 20 0 DDADMM CCCN(CCC)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869212347 702511765 /nfs/dbraw/zinc/51/17/65/702511765.db2.gz BFABBUNJJHRRSF-UHFFFAOYSA-N -1 1 308.382 1.587 20 0 DDADMM C[C@]1(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C[C@H]2C[C@H]2C1 ZINC000869526616 702636007 /nfs/dbraw/zinc/63/60/07/702636007.db2.gz KZOMTDVZMUFYLB-WOFXILAISA-N -1 1 321.295 1.604 20 0 DDADMM CC(C)(C)CC(=O)[N-]OCC(=O)NCc1ccc2c(c1)OCO2 ZINC000842060611 702665668 /nfs/dbraw/zinc/66/56/68/702665668.db2.gz OMCTVVOOQUBMJL-UHFFFAOYSA-N -1 1 322.361 1.516 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H](C)c1cccnc1 ZINC000866417758 706693699 /nfs/dbraw/zinc/69/36/99/706693699.db2.gz DUXJPJDBKYDMQH-JTQLQIEISA-N -1 1 305.425 1.485 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCO[C@@H](C(F)F)C1 ZINC000831368583 706694621 /nfs/dbraw/zinc/69/46/21/706694621.db2.gz MRHJUKOUPZPELI-MRVPVSSYSA-N -1 1 314.317 1.124 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)/C=C\C(C)(C)C)CCC1 ZINC000843019076 702804544 /nfs/dbraw/zinc/80/45/44/702804544.db2.gz ZZYFUDCDAMVULB-YFHOEESVSA-N -1 1 302.440 1.519 20 0 DDADMM CCCN1C[C@@H](c2noc(-c3ccc(N)cc3[O-])n2)CC1=O ZINC000843242483 702848558 /nfs/dbraw/zinc/84/85/58/702848558.db2.gz PFMFEAUMGOVBAJ-VIFPVBQESA-N -1 1 302.334 1.750 20 0 DDADMM O=C([N-]C(CO)CO)C(F)(F)c1cccc(Cl)c1Cl ZINC000843844327 702932679 /nfs/dbraw/zinc/93/26/79/702932679.db2.gz IUMZVUNZEMBZPA-UHFFFAOYSA-N -1 1 314.115 1.555 20 0 DDADMM CC(C)(C)OC(=O)COCC(=O)Nc1nc(Cl)ccc1[O-] ZINC000843866968 702935449 /nfs/dbraw/zinc/93/54/49/702935449.db2.gz VQVDHOKPLAWXJN-UHFFFAOYSA-N -1 1 316.741 1.737 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC2(CCC2)O1 ZINC000844101407 702971531 /nfs/dbraw/zinc/97/15/31/702971531.db2.gz PYEGUWCFQHNOQY-SNVBAGLBSA-N -1 1 318.402 1.802 20 0 DDADMM C[C@@H]1Oc2ccccc2[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000831550454 706729670 /nfs/dbraw/zinc/72/96/70/706729670.db2.gz OFDKHMNFESBUIE-WRWORJQWSA-N -1 1 313.766 1.864 20 0 DDADMM Cn1cc([C@@H]([N-]C(=O)C(F)(F)C(F)F)C2(CO)CCC2)cn1 ZINC000846202004 703250502 /nfs/dbraw/zinc/25/05/02/703250502.db2.gz RPOMXDWWZIRNCY-SECBINFHSA-N -1 1 323.290 1.640 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(F)(F)C2(O)CCCC2)n1 ZINC000846651470 703308550 /nfs/dbraw/zinc/30/85/50/703308550.db2.gz UELCSIHHPSIMIB-UHFFFAOYSA-N -1 1 317.292 1.465 20 0 DDADMM Cc1ncccc1C=CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847091279 703376588 /nfs/dbraw/zinc/37/65/88/703376588.db2.gz NOTXPBKRRCTSNJ-FWWRYZNZSA-N -1 1 314.345 1.898 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc(N3CCCC3)c2)nc1=O ZINC000850247818 703716999 /nfs/dbraw/zinc/71/69/99/703716999.db2.gz VAYWLBYFFBEJBL-UHFFFAOYSA-N -1 1 302.334 1.066 20 0 DDADMM CC1(C)CC[C@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869554237 703812151 /nfs/dbraw/zinc/81/21/51/703812151.db2.gz GGXOMSKAYGPZER-VIFPVBQESA-N -1 1 309.284 1.604 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2CCC=CO2)cc1C ZINC000851783586 703854909 /nfs/dbraw/zinc/85/49/09/703854909.db2.gz BWYBREHIVUOLRR-SNVBAGLBSA-N -1 1 315.347 1.346 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC(C)(CC(F)F)C3)ccnc1-2 ZINC000831748826 706770378 /nfs/dbraw/zinc/77/03/78/706770378.db2.gz ORFGGKQZZWQSKX-UHFFFAOYSA-N -1 1 309.320 1.851 20 0 DDADMM CN(C)C(=O)OC1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000818663825 703955646 /nfs/dbraw/zinc/95/56/46/703955646.db2.gz VFIYXFSOLDMIHW-UHFFFAOYSA-N -1 1 310.325 1.834 20 0 DDADMM C[C@H]1CC[C@H]1NC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852331365 704014308 /nfs/dbraw/zinc/01/43/08/704014308.db2.gz MYFDXEBPAZBKRP-ZDCRXTMVSA-N -1 1 321.343 1.883 20 0 DDADMM CCCCOC(=O)CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879761988 706782952 /nfs/dbraw/zinc/78/29/52/706782952.db2.gz AZAOIUBURPCWHC-GFCCVEGCSA-N -1 1 310.316 1.473 20 0 DDADMM CCCCC[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000852735129 704109242 /nfs/dbraw/zinc/10/92/42/704109242.db2.gz GIFDTMMWAGPWLV-VHSXEESVSA-N -1 1 310.316 1.773 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]C(=O)C(F)(F)C(F)F)[C@@H]1n1cccn1 ZINC000819397109 704120472 /nfs/dbraw/zinc/12/04/72/704120472.db2.gz JGPWURJGYPEUMX-YIZRAAEISA-N -1 1 309.263 1.618 20 0 DDADMM CCOC(=O)[C@H]1CN(C(=O)c2cncc([O-])c2)CCC1(F)F ZINC000870919379 704168869 /nfs/dbraw/zinc/16/88/69/704168869.db2.gz VXEZVFZYDWAAAN-LLVKDONJSA-N -1 1 314.288 1.448 20 0 DDADMM COC(=O)CC(C)(C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000819875483 704179368 /nfs/dbraw/zinc/17/93/68/704179368.db2.gz ILBYQKPOGJBLJN-UHFFFAOYSA-N -1 1 321.345 1.893 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C(C)(C)c1cccs1 ZINC000866800960 706806134 /nfs/dbraw/zinc/80/61/34/706806134.db2.gz DVSTVSNTOPHNKV-QGZVFWFLSA-N -1 1 310.466 1.935 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)c1cccnc1 ZINC000820779400 704329911 /nfs/dbraw/zinc/32/99/11/704329911.db2.gz GVQFJPWLOMELHE-SNVBAGLBSA-N -1 1 303.409 1.239 20 0 DDADMM C[C@@H]1CCN(C(=O)CCC(C)(C)O)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856417146 704528015 /nfs/dbraw/zinc/52/80/15/704528015.db2.gz IPQKCOZSBFQQFA-ZJUUUORDSA-N -1 1 324.343 1.453 20 0 DDADMM COCCCC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856420170 704528254 /nfs/dbraw/zinc/52/82/54/704528254.db2.gz ZVAORTXUYNDZIK-ZJUUUORDSA-N -1 1 310.316 1.329 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCOC(=O)N(C)C)cnc2n1 ZINC000857316393 704578990 /nfs/dbraw/zinc/57/89/90/704578990.db2.gz WJAGLPIPRCSXAA-UHFFFAOYSA-N -1 1 318.333 1.072 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCOC(=O)N(C)C)c2=O ZINC000857316393 704578991 /nfs/dbraw/zinc/57/89/91/704578991.db2.gz WJAGLPIPRCSXAA-UHFFFAOYSA-N -1 1 318.333 1.072 20 0 DDADMM Cn1[n-]c(CN2CC[C@H](Oc3ccc(C4CC4)cn3)C2)nc1=O ZINC000858047424 704662185 /nfs/dbraw/zinc/66/21/85/704662185.db2.gz MHQUQOBDJOXDEQ-ZDUSSCGKSA-N -1 1 315.377 1.034 20 0 DDADMM CCCC(=O)NC[C@@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858384712 704703205 /nfs/dbraw/zinc/70/32/05/704703205.db2.gz UEVFFGCXTHLOPT-JTQLQIEISA-N -1 1 312.801 1.968 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)c1ccsc1 ZINC000867235690 706933864 /nfs/dbraw/zinc/93/38/64/706933864.db2.gz MYLCMFHDVZURPO-VIFPVBQESA-N -1 1 308.450 1.905 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H]1CCCSC1 ZINC000867269424 706943081 /nfs/dbraw/zinc/94/30/81/706943081.db2.gz DSLIIFKCGUIVQP-JTQLQIEISA-N -1 1 312.482 1.226 20 0 DDADMM CCOCC(C)(C)C[N-]S(=O)(=O)N=[S@](C)(=O)CC ZINC000867273321 706944315 /nfs/dbraw/zinc/94/43/15/706944315.db2.gz DCGPRDUSENTFOX-QGZVFWFLSA-N -1 1 300.446 1.001 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@H](CO)CC2)c1 ZINC000867313841 706957133 /nfs/dbraw/zinc/95/71/33/706957133.db2.gz RMOVCSHDJSRHKB-NSHDSACASA-N -1 1 315.391 1.184 20 0 DDADMM COC[C@H](OC)C(=O)Nc1nc(Br)ccc1[O-] ZINC000834297597 707024305 /nfs/dbraw/zinc/02/43/05/707024305.db2.gz BANFAVGVRLHIEO-ZETCQYMHSA-N -1 1 305.128 1.150 20 0 DDADMM C[C@@]12CCN(C(=O)CCCc3nn[n-]n3)C[C@@H]1C2(Cl)Cl ZINC000822788450 705039771 /nfs/dbraw/zinc/03/97/71/705039771.db2.gz GPIMRKWVGPBOOC-GZMMTYOYSA-N -1 1 318.208 1.565 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C23CC(C2)C3)cc1 ZINC000860272576 705145712 /nfs/dbraw/zinc/14/57/12/705145712.db2.gz UZRPRJZOEVBUOU-UHFFFAOYSA-N -1 1 303.314 1.295 20 0 DDADMM CCN(C(=O)OC(C)(C)C)[C@H](C)C(=O)Nc1ccncc1[O-] ZINC000860463000 705195939 /nfs/dbraw/zinc/19/59/39/705195939.db2.gz JTHZFGPTOSVFDT-SNVBAGLBSA-N -1 1 309.366 1.793 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)CC1(C)COC1 ZINC000824346893 705432882 /nfs/dbraw/zinc/43/28/82/705432882.db2.gz YGLWYFIFRYBYHY-NXEZZACHSA-N -1 1 322.327 1.471 20 0 DDADMM CC(C)[C@]1(C)C[C@H]1NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875660433 705466866 /nfs/dbraw/zinc/46/68/66/705466866.db2.gz KLZJOWNQZOHXMK-MFKMUULPSA-N -1 1 321.343 1.741 20 0 DDADMM CSc1nc(CNC(=O)[C@]23CCO[C@H]2CCCC3)cc(=O)[n-]1 ZINC000824609273 705492799 /nfs/dbraw/zinc/49/27/99/705492799.db2.gz BYEOKYPANNUEMK-XHDPSFHLSA-N -1 1 323.418 1.870 20 0 DDADMM CC[C@H](C)CN(CC)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825060182 705590341 /nfs/dbraw/zinc/59/03/41/705590341.db2.gz ODPYGUTWSWZMRS-VIFPVBQESA-N -1 1 306.370 1.600 20 0 DDADMM CC[C@H](C)CN(CC)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825060182 705590346 /nfs/dbraw/zinc/59/03/46/705590346.db2.gz ODPYGUTWSWZMRS-VIFPVBQESA-N -1 1 306.370 1.600 20 0 DDADMM CC[C@@H]1C[C@H](C)CN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825183242 705619225 /nfs/dbraw/zinc/61/92/25/705619225.db2.gz NZIBTHJHEQSJJM-WCBMZHEXSA-N -1 1 304.354 1.353 20 0 DDADMM CC[C@@H]1C[C@H](C)CN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825183242 705619230 /nfs/dbraw/zinc/61/92/30/705619230.db2.gz NZIBTHJHEQSJJM-WCBMZHEXSA-N -1 1 304.354 1.353 20 0 DDADMM CC[C@@H]1CN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)CCS1 ZINC000825200322 705623157 /nfs/dbraw/zinc/62/31/57/705623157.db2.gz AKZIGJRRPXWZQV-SECBINFHSA-N -1 1 322.394 1.060 20 0 DDADMM CC[C@@H]1CN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)CCS1 ZINC000825200322 705623160 /nfs/dbraw/zinc/62/31/60/705623160.db2.gz AKZIGJRRPXWZQV-SECBINFHSA-N -1 1 322.394 1.060 20 0 DDADMM CC[C@H]1CN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)CCS1 ZINC000825200327 705623366 /nfs/dbraw/zinc/62/33/66/705623366.db2.gz AKZIGJRRPXWZQV-VIFPVBQESA-N -1 1 322.394 1.060 20 0 DDADMM CC[C@H]1CN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)CCS1 ZINC000825200327 705623369 /nfs/dbraw/zinc/62/33/69/705623369.db2.gz AKZIGJRRPXWZQV-VIFPVBQESA-N -1 1 322.394 1.060 20 0 DDADMM CCCCC[C@H](C)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825268155 705636531 /nfs/dbraw/zinc/63/65/31/705636531.db2.gz RAIOXFYGLICZRX-VIFPVBQESA-N -1 1 306.370 1.791 20 0 DDADMM CCCCC[C@H](C)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825268155 705636534 /nfs/dbraw/zinc/63/65/34/705636534.db2.gz RAIOXFYGLICZRX-VIFPVBQESA-N -1 1 306.370 1.791 20 0 DDADMM CCN(CCC1CC1)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000876299983 705682839 /nfs/dbraw/zinc/68/28/39/705682839.db2.gz HCRVIOQIDMRMER-UHFFFAOYSA-N -1 1 320.393 1.587 20 0 DDADMM CC(C)CN(C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CC1 ZINC000876300520 705683606 /nfs/dbraw/zinc/68/36/06/705683606.db2.gz VMNCPSQNMBWPCL-UHFFFAOYSA-N -1 1 320.393 1.585 20 0 DDADMM O=C(NCC[C@@H](O)C(F)F)NCc1ccc([O-])c(Cl)c1 ZINC000876400230 705708471 /nfs/dbraw/zinc/70/84/71/705708471.db2.gz WKMNHNSWCZCQOK-SNVBAGLBSA-N -1 1 308.712 1.861 20 0 DDADMM C[C@H]1CCCC[C@H]1NC(=O)CN(C)CC(C)(C)CNC(=O)[O-] ZINC000825893834 705742635 /nfs/dbraw/zinc/74/26/35/705742635.db2.gz BKGMGEQMQWVAJG-QWHCGFSZSA-N -1 1 313.442 1.907 20 0 DDADMM CC(C)n1ccc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC000825972667 705752973 /nfs/dbraw/zinc/75/29/73/705752973.db2.gz ACSHWDDJTCMPMM-UHFFFAOYSA-N -1 1 316.390 1.725 20 0 DDADMM CS[C@H]1CC[C@@H](NC(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000826226695 705783652 /nfs/dbraw/zinc/78/36/52/705783652.db2.gz DZXBABHFLUBQHW-BDAKNGLRSA-N -1 1 322.394 1.106 20 0 DDADMM CS[C@H]1CC[C@@H](NC(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000826226695 705783656 /nfs/dbraw/zinc/78/36/56/705783656.db2.gz DZXBABHFLUBQHW-BDAKNGLRSA-N -1 1 322.394 1.106 20 0 DDADMM Cc1nc(C)c(CCNc2ccc(-c3nnn[n-]3)nn2)s1 ZINC000826324013 705790508 /nfs/dbraw/zinc/79/05/08/705790508.db2.gz BBHOWQFWNQXSAN-UHFFFAOYSA-N -1 1 302.367 1.385 20 0 DDADMM Cc1nc(C)c(CCNc2ccc(-c3nn[n-]n3)nn2)s1 ZINC000826324013 705790511 /nfs/dbraw/zinc/79/05/11/705790511.db2.gz BBHOWQFWNQXSAN-UHFFFAOYSA-N -1 1 302.367 1.385 20 0 DDADMM Cc1csc(N(C)C(=O)Cc2noc(C)c2-c2nnn[n-]2)n1 ZINC000826320694 705791016 /nfs/dbraw/zinc/79/10/16/705791016.db2.gz AEEVBGRIERRWEQ-UHFFFAOYSA-N -1 1 319.350 1.134 20 0 DDADMM Cc1csc(N(C)C(=O)Cc2noc(C)c2-c2nn[n-]n2)n1 ZINC000826320694 705791018 /nfs/dbraw/zinc/79/10/18/705791018.db2.gz AEEVBGRIERRWEQ-UHFFFAOYSA-N -1 1 319.350 1.134 20 0 DDADMM Cc1nc(CNc2ccc(-c3nnn[n-]3)nn2)ccc1[C@H](C)O ZINC000826326256 705792081 /nfs/dbraw/zinc/79/20/81/705792081.db2.gz HTBWGDJXHBJXGN-VIFPVBQESA-N -1 1 312.337 1.026 20 0 DDADMM Cc1nc(CNc2ccc(-c3nn[n-]n3)nn2)ccc1[C@H](C)O ZINC000826326256 705792084 /nfs/dbraw/zinc/79/20/84/705792084.db2.gz HTBWGDJXHBJXGN-VIFPVBQESA-N -1 1 312.337 1.026 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H]2C2CCC2)c1-c1nnn[n-]1 ZINC000826344969 705793834 /nfs/dbraw/zinc/79/38/34/705793834.db2.gz YJHIZJKTRPQANN-GFCCVEGCSA-N -1 1 316.365 1.497 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H]2C2CCC2)c1-c1nn[n-]n1 ZINC000826344969 705793838 /nfs/dbraw/zinc/79/38/38/705793838.db2.gz YJHIZJKTRPQANN-GFCCVEGCSA-N -1 1 316.365 1.497 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(F)cc2F)c1-c1nnn[n-]1 ZINC000826348224 705794818 /nfs/dbraw/zinc/79/48/18/705794818.db2.gz BNGFOTCAYWIRBY-UHFFFAOYSA-N -1 1 320.259 1.623 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(F)cc2F)c1-c1nn[n-]n1 ZINC000826348224 705794820 /nfs/dbraw/zinc/79/48/20/705794820.db2.gz BNGFOTCAYWIRBY-UHFFFAOYSA-N -1 1 320.259 1.623 20 0 DDADMM Cc1onc(CC(=O)NCc2cccs2)c1-c1nnn[n-]1 ZINC000826347756 705794888 /nfs/dbraw/zinc/79/48/88/705794888.db2.gz DOUNHWIQDZFKJP-UHFFFAOYSA-N -1 1 304.335 1.084 20 0 DDADMM Cc1onc(CC(=O)NCc2cccs2)c1-c1nn[n-]n1 ZINC000826347756 705794890 /nfs/dbraw/zinc/79/48/90/705794890.db2.gz DOUNHWIQDZFKJP-UHFFFAOYSA-N -1 1 304.335 1.084 20 0 DDADMM COc1cnc(F)c([N-]S(=O)(=O)c2cnc(C)n2C)c1 ZINC000863449559 705932692 /nfs/dbraw/zinc/93/26/92/705932692.db2.gz NTLDGKHAVSGYSS-UHFFFAOYSA-N -1 1 300.315 1.072 20 0 DDADMM CCOC(=O)CN(CC)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000827181193 705945830 /nfs/dbraw/zinc/94/58/30/705945830.db2.gz YAUMBSUECJUYLB-UHFFFAOYSA-N -1 1 308.363 1.043 20 0 DDADMM CC[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H]1CCCO1 ZINC000827353563 705983907 /nfs/dbraw/zinc/98/39/07/705983907.db2.gz FXQKAUQYBVQSOW-MNOVXSKESA-N -1 1 306.391 1.705 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cc3ccccn3c2)CC1 ZINC000827375570 705989496 /nfs/dbraw/zinc/98/94/96/705989496.db2.gz ZXPBTNPESKQRRZ-ZDUSSCGKSA-N -1 1 315.373 1.560 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cc3ccccn3c2)CC1 ZINC000827375569 705989518 /nfs/dbraw/zinc/98/95/18/705989518.db2.gz ZXPBTNPESKQRRZ-CYBMUJFWSA-N -1 1 315.373 1.560 20 0 DDADMM CC1CCC(O)(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000864072727 706060477 /nfs/dbraw/zinc/06/04/77/706060477.db2.gz MCZWDVMKGCAEHU-UHFFFAOYSA-N -1 1 305.378 1.731 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC ZINC000827814225 706071191 /nfs/dbraw/zinc/07/11/91/706071191.db2.gz AQRPUKGJLTVVOC-JGVFFNPUSA-N -1 1 323.802 1.319 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2c(Cl)ccnc2Cl)CO1 ZINC000828288253 706154592 /nfs/dbraw/zinc/15/45/92/706154592.db2.gz DXWUFFTYRMFWMW-BQBZGAKWSA-N -1 1 311.190 1.844 20 0 DDADMM O=C([N-]CC1CN(C(=O)Nc2cccc(F)c2)C1)C(F)(F)F ZINC000864429262 706166266 /nfs/dbraw/zinc/16/62/66/706166266.db2.gz PGWKTXZFKSHZRL-UHFFFAOYSA-N -1 1 319.258 1.968 20 0 DDADMM O=C([N-]CCCS(=O)(=O)C[C@@H]1C[C@H]2C[C@H]2C1)C(F)(F)F ZINC000864441473 706170921 /nfs/dbraw/zinc/17/09/21/706170921.db2.gz NAALGFQMDKWGGZ-ILWJIGKKSA-N -1 1 313.341 1.516 20 0 DDADMM CCOCCC[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872430800 707406391 /nfs/dbraw/zinc/40/63/91/707406391.db2.gz KRQLPUZKWDCCSX-KRWDZBQOSA-N -1 1 300.446 1.144 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCO[C@@H](C2CC2)C1 ZINC000828936457 706258922 /nfs/dbraw/zinc/25/89/22/706258922.db2.gz PAEUIORBNUEWRR-LLVKDONJSA-N -1 1 304.375 1.269 20 0 DDADMM C[C@H](NCc1cn(C)nc1C(=O)[O-])c1ccc([S@@](C)=O)cc1 ZINC000864784461 706262553 /nfs/dbraw/zinc/26/25/53/706262553.db2.gz JIKNJIYKOAMCKZ-MLMJSJRWSA-N -1 1 321.402 1.707 20 0 DDADMM O=C(NCCCO)c1ccc(I)cc1[O-] ZINC000864815189 706274371 /nfs/dbraw/zinc/27/43/71/706274371.db2.gz NCMATKLZOZTKFX-UHFFFAOYSA-N -1 1 321.114 1.109 20 0 DDADMM CN1C(=S)N=NC1CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000865163055 706368025 /nfs/dbraw/zinc/36/80/25/706368025.db2.gz GIBBELNYNVSKJQ-UHFFFAOYSA-N -1 1 312.782 1.322 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C1CCC1)c1ccccc1F ZINC000881983870 707454438 /nfs/dbraw/zinc/45/44/38/707454438.db2.gz FEVQSNYEQBFZTC-LBPRGKRZSA-N -1 1 301.339 1.512 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCN2C(=O)OC[C@@H]2C1 ZINC000830363986 706503445 /nfs/dbraw/zinc/50/34/45/706503445.db2.gz XXRPORZZFBDGPG-NSHDSACASA-N -1 1 313.313 1.217 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCC[C@@H]2CCOC2=O)sc1C ZINC000872566364 707483950 /nfs/dbraw/zinc/48/39/50/707483950.db2.gz KHSSSPODWLJVHZ-SNVBAGLBSA-N -1 1 318.420 1.382 20 0 DDADMM CCCCOC(=O)C[N-]S(=O)(=O)C[C@@H]1CCCC1(F)F ZINC000830548771 706534814 /nfs/dbraw/zinc/53/48/14/706534814.db2.gz FNLUXEWPVRFGCJ-JTQLQIEISA-N -1 1 313.366 1.685 20 0 DDADMM O=C([N-]CC1CN(C(=O)Nc2ccc(F)nc2)C1)C(F)(F)F ZINC000878961797 706545087 /nfs/dbraw/zinc/54/50/87/706545087.db2.gz HXLIPQIJAVSCBH-UHFFFAOYSA-N -1 1 320.246 1.363 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]Cc1nonc1C)c1ccccc1 ZINC000830713878 706567196 /nfs/dbraw/zinc/56/71/96/706567196.db2.gz GHBICGWWJJWPKL-CYBMUJFWSA-N -1 1 311.363 1.185 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830784450 706578932 /nfs/dbraw/zinc/57/89/32/706578932.db2.gz QMTCEADQJRKCIU-SLVJWFQDSA-N -1 1 318.339 1.948 20 0 DDADMM CC[C@](C)(OC)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830800805 706582262 /nfs/dbraw/zinc/58/22/62/706582262.db2.gz AGFUICQOHQUVKV-RYUDHWBXSA-N -1 1 310.316 1.471 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@H]2CCCCO2)C1 ZINC000830815481 706585108 /nfs/dbraw/zinc/58/51/08/706585108.db2.gz BWIOCYRVYFRXSY-MFKMUULPSA-N -1 1 322.327 1.615 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CC[C@H](SC)C2)c1 ZINC000866885428 706834993 /nfs/dbraw/zinc/83/49/93/706834993.db2.gz PODVCQJKGTYTFT-ONGXEEELSA-N -1 1 317.432 1.963 20 0 DDADMM O=Cc1ccc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000866901240 706839780 /nfs/dbraw/zinc/83/97/80/706839780.db2.gz AXYCHPANXWFZLL-HSBSLETESA-N -1 1 311.345 1.432 20 0 DDADMM O=C(C[C@H]1Cc2ccccc2O1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000866901548 706839788 /nfs/dbraw/zinc/83/97/88/706839788.db2.gz IAYOOSNVHMYRCJ-CHWSQXEVSA-N -1 1 313.361 1.300 20 0 DDADMM CC(C)(C)OC(=O)CC[C@H]1C[C@@H](C(=O)[N-]OCC2CC2)CO1 ZINC000880111383 706880827 /nfs/dbraw/zinc/88/08/27/706880827.db2.gz SSUAKAKZLGGHHL-OLZOCXBDSA-N -1 1 313.394 1.971 20 0 DDADMM O=C([O-])CN(C(=O)c1cccc2nn[nH]c21)C1CCCCC1 ZINC000909004422 712913880 /nfs/dbraw/zinc/91/38/80/712913880.db2.gz OHQUYMCIEHHBGW-UHFFFAOYSA-N -1 1 302.334 1.817 20 0 DDADMM CCCO[N-]C(=O)[C@@H]1CO[C@@H](CCC(=O)OC(C)(C)C)C1 ZINC000880418718 706968312 /nfs/dbraw/zinc/96/83/12/706968312.db2.gz DBTCLADDORFRDI-RYUDHWBXSA-N -1 1 301.383 1.971 20 0 DDADMM CO[C@@H]1COCC[C@@H]1N(C)Cc1nc(=O)c2sccc2[n-]1 ZINC000880427668 706971606 /nfs/dbraw/zinc/97/16/06/706971606.db2.gz DYWNAOGTCNIWGQ-WDEREUQCSA-N -1 1 309.391 1.633 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnn(CC2CCCC2)c1)c1nn[n-]n1 ZINC000867380106 706981547 /nfs/dbraw/zinc/98/15/47/706981547.db2.gz BUTPLXDGXRQGNI-LLVKDONJSA-N -1 1 317.397 1.462 20 0 DDADMM COc1cccc(C(C)(C)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000867380389 706981584 /nfs/dbraw/zinc/98/15/84/706981584.db2.gz HFRNOCVHGGICRY-LLVKDONJSA-N -1 1 317.393 1.748 20 0 DDADMM CN(C(=O)N[C@@H]1CCc2nc[nH]c2C1)C1(C(=O)[O-])CCCC1 ZINC000909019011 712918053 /nfs/dbraw/zinc/91/80/53/712918053.db2.gz GMTSIEJHEGGRBF-SNVBAGLBSA-N -1 1 306.366 1.306 20 0 DDADMM CC[C@H]1CN(C(=O)CC(C)(C)CC(=O)[O-])CCN1CCOC ZINC000833649812 707012067 /nfs/dbraw/zinc/01/20/67/707012067.db2.gz VRJNAZLFTQUCTE-ZDUSSCGKSA-N -1 1 314.426 1.447 20 0 DDADMM CC1CCC(O)(C[N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000867538935 707033196 /nfs/dbraw/zinc/03/31/96/707033196.db2.gz BHFNWPYGBIOYGT-UHFFFAOYSA-N -1 1 320.361 1.579 20 0 DDADMM CC1CC(C[N-]S(=O)(=O)c2cnn(C)c2C(F)(F)F)C1 ZINC000867549351 707036428 /nfs/dbraw/zinc/03/64/28/707036428.db2.gz LKNKNNHYOHQLFH-UHFFFAOYSA-N -1 1 311.329 1.763 20 0 DDADMM CCC[C@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)OCC ZINC000867554157 707037923 /nfs/dbraw/zinc/03/79/23/707037923.db2.gz QIWDPCIXEMWIQU-SECBINFHSA-N -1 1 308.350 1.843 20 0 DDADMM CSc1nc(CNC(=O)c2cnn(C(C)C)c2C)cc(=O)[n-]1 ZINC000880652367 707048702 /nfs/dbraw/zinc/04/87/02/707048702.db2.gz HESJEHCPYJLLEH-UHFFFAOYSA-N -1 1 321.406 1.920 20 0 DDADMM C[C@H](C(=O)[N-]OCC(C)(C)O)c1ccc(S(C)(=O)=O)cc1 ZINC000834621744 707094818 /nfs/dbraw/zinc/09/48/18/707094818.db2.gz JEQQYZUTMNTMAN-JTQLQIEISA-N -1 1 315.391 1.012 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)C=CCOC)n[n-]1 ZINC000834686195 707102982 /nfs/dbraw/zinc/10/29/82/707102982.db2.gz FLDRHPVMZZPHBB-XUIVZRPNSA-N -1 1 324.381 1.387 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)C=CCOC)[n-]1 ZINC000834686195 707102983 /nfs/dbraw/zinc/10/29/83/707102983.db2.gz FLDRHPVMZZPHBB-XUIVZRPNSA-N -1 1 324.381 1.387 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)/C=C/COC)n1 ZINC000834686195 707102984 /nfs/dbraw/zinc/10/29/84/707102984.db2.gz FLDRHPVMZZPHBB-XUIVZRPNSA-N -1 1 324.381 1.387 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@]1(C)CCC[C@H]1C ZINC000835292366 707215111 /nfs/dbraw/zinc/21/51/11/707215111.db2.gz HZBQAJKMUDLWGX-QLJPJBMISA-N -1 1 318.377 1.638 20 0 DDADMM CCC[C@H](COC(=O)c1ccc(S(=O)(=O)[N-]C)o1)OC ZINC000835541421 707275151 /nfs/dbraw/zinc/27/51/51/707275151.db2.gz PFDUOCSODZCQOP-SECBINFHSA-N -1 1 305.352 1.160 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3CCCC[C@@H]3O)ccnc1-2 ZINC000836575046 707482844 /nfs/dbraw/zinc/48/28/44/707482844.db2.gz OMLVHVVCLMCABK-MFKMUULPSA-N -1 1 303.366 1.014 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)C[C@H](O)c1ccc(Cl)cc1 ZINC000836893337 707541045 /nfs/dbraw/zinc/54/10/45/707541045.db2.gz XPBXWPSRZDSVAO-STQMWFEESA-N -1 1 301.770 1.828 20 0 DDADMM CC(C)OC1(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)CCC1 ZINC000872736411 707578074 /nfs/dbraw/zinc/57/80/74/707578074.db2.gz RLSBVCZIYDMYAZ-ZDUSSCGKSA-N -1 1 312.410 1.342 20 0 DDADMM C[C@H](CS(C)(=O)=O)NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872929487 707658179 /nfs/dbraw/zinc/65/81/79/707658179.db2.gz BESKVDOUJAAKJL-MRVPVSSYSA-N -1 1 320.798 1.278 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCc2cscn2)co1 ZINC000837599071 707692086 /nfs/dbraw/zinc/69/20/86/707692086.db2.gz ZLZCQBLURBILJI-UHFFFAOYSA-N -1 1 316.360 1.044 20 0 DDADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]c1ccc2[nH]ccc2c1 ZINC000882671588 707742354 /nfs/dbraw/zinc/74/23/54/707742354.db2.gz QVYMMOBGKDWAFB-IBGZPJMESA-N -1 1 316.408 1.399 20 0 DDADMM COC[C@H]1C[C@@H](NS(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000882701792 707753768 /nfs/dbraw/zinc/75/37/68/707753768.db2.gz DPDMLCPIMAPBCK-AOOOYVTPSA-N -1 1 301.364 1.104 20 0 DDADMM COCC1(S(=O)(=O)[N-]N=c2nc[nH]c3ccsc32)CC1 ZINC000882709741 707756446 /nfs/dbraw/zinc/75/64/46/707756446.db2.gz WQWYJAZLNMPOJO-UHFFFAOYSA-N -1 1 314.392 1.117 20 0 DDADMM O=C([N-]CCCOC(=O)C1(CF)CCOCC1)C(F)(F)F ZINC000837859079 707766716 /nfs/dbraw/zinc/76/67/16/707766716.db2.gz XKYOAIYOHKJDCD-UHFFFAOYSA-N -1 1 315.263 1.365 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc(OC(C)(C)C)c2)nc1=O ZINC000873437342 707851546 /nfs/dbraw/zinc/85/15/46/707851546.db2.gz YHNVRYONOPXWGL-UHFFFAOYSA-N -1 1 305.334 1.643 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1C[C@@H](O)CC2(CCOCC2)C1 ZINC000882964119 707875972 /nfs/dbraw/zinc/87/59/72/707875972.db2.gz TUHRWMSSFDUATD-LBPRGKRZSA-N -1 1 309.337 1.535 20 0 DDADMM O=C(COC(=O)[C@H]1C[C@H]2CC(=O)[C@@H]1C2)[N-]C(=O)c1ccccc1 ZINC000838445930 707921504 /nfs/dbraw/zinc/92/15/04/707921504.db2.gz GXYQTCVLDIUHOX-UHTWSYAYSA-N -1 1 315.325 1.101 20 0 DDADMM C[C@H](C(=O)N[C@@H](CC(=O)[O-])c1ccc(F)cc1F)N(C)C ZINC000909341025 712995649 /nfs/dbraw/zinc/99/56/49/712995649.db2.gz FMYQWGOILROJPB-PELKAZGASA-N -1 1 300.305 1.547 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(C3CSC3)no2)o1 ZINC000896717889 708129021 /nfs/dbraw/zinc/12/90/21/708129021.db2.gz DESLJXBBFYGRQY-UHFFFAOYSA-N -1 1 301.349 1.068 20 0 DDADMM COc1cc(C)ccc1CNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909413267 713011572 /nfs/dbraw/zinc/01/15/72/713011572.db2.gz PAGHEXDMRDALRU-AWEZNQCLSA-N -1 1 320.389 1.416 20 0 DDADMM Cc1nc(COC(=O)c2cn[n-]n2)sc1Br ZINC000884508245 708318850 /nfs/dbraw/zinc/31/88/50/708318850.db2.gz YTAJUAUKHCCQAB-UHFFFAOYSA-N -1 1 303.141 1.689 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)NCCc1cccc(C(=O)[O-])c1 ZINC000909464226 713024868 /nfs/dbraw/zinc/02/48/68/713024868.db2.gz ISOKUDXRDSZTKO-ZDUSSCGKSA-N -1 1 320.389 1.012 20 0 DDADMM CS(=O)(=O)C[C@H]1CN(Cc2cccc([O-])c2Cl)CCO1 ZINC000897598755 708388257 /nfs/dbraw/zinc/38/82/57/708388257.db2.gz FOAJJXYNMOQYHD-LLVKDONJSA-N -1 1 319.810 1.291 20 0 DDADMM O=C(CC[N-]S(=O)(=O)c1ccns1)NC1CCCCC1 ZINC000884970789 708431940 /nfs/dbraw/zinc/43/19/40/708431940.db2.gz SGTJGCVUCYULOF-UHFFFAOYSA-N -1 1 317.436 1.260 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CCC(C)C ZINC000912527906 713030876 /nfs/dbraw/zinc/03/08/76/713030876.db2.gz JBWBBGUZOFJNNI-SECBINFHSA-N -1 1 303.384 1.299 20 0 DDADMM C[C@@]1(CNC(=O)c2ccc3ccc(O)cc3c2[O-])CCC(=O)N1 ZINC000897942920 708501300 /nfs/dbraw/zinc/50/13/00/708501300.db2.gz QDPQCNQRIURPGU-KRWDZBQOSA-N -1 1 314.341 1.650 20 0 DDADMM Cn1[n-]c(COC(=O)C[C@@H]2CCc3sccc3C2=O)nc1=O ZINC000885858435 708641131 /nfs/dbraw/zinc/64/11/31/708641131.db2.gz PAPTVJADBBVWIH-QMMMGPOBSA-N -1 1 321.358 1.049 20 0 DDADMM CSc1nc(CNC(=O)Cc2sc(C)nc2C)cc(=O)[n-]1 ZINC000898525973 708667979 /nfs/dbraw/zinc/66/79/79/708667979.db2.gz NRUOXFPRVZZYIB-UHFFFAOYSA-N -1 1 324.431 1.836 20 0 DDADMM CC(C)(C)C(=O)NCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886262784 708731378 /nfs/dbraw/zinc/73/13/78/708731378.db2.gz AREVDJBZKHQTBW-UHFFFAOYSA-N -1 1 314.332 1.491 20 0 DDADMM C[C@]1(O)CCCN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927784058 713055315 /nfs/dbraw/zinc/05/53/15/713055315.db2.gz GYURJNMPBSZFHB-HNNXBMFYSA-N -1 1 314.332 1.769 20 0 DDADMM CN(CC(C)(C)CO)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927787219 713056089 /nfs/dbraw/zinc/05/60/89/713056089.db2.gz NLMVAQMMBNXLHX-UHFFFAOYSA-N -1 1 316.348 1.873 20 0 DDADMM Cc1cc(C)cc(CCC(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC000898756466 708856340 /nfs/dbraw/zinc/85/63/40/708856340.db2.gz JIMZMLOHFITTKF-UHFFFAOYSA-N -1 1 301.346 1.754 20 0 DDADMM Cc1cccc(CO[N-]C(=O)Cc2c(C)nc(C)[nH]c2=O)n1 ZINC000898936450 708928974 /nfs/dbraw/zinc/92/89/74/708928974.db2.gz UWDDCOUOAMXHME-UHFFFAOYSA-N -1 1 302.334 1.293 20 0 DDADMM C[C@@H]1CO[C@@H](C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)C1 ZINC000898989908 708946251 /nfs/dbraw/zinc/94/62/51/708946251.db2.gz ADDNKWJCDPXKBJ-ZRUFSTJUSA-N -1 1 322.327 1.327 20 0 DDADMM O=C([O-])[C@H]1[C@H]2C=C[C@H](C2)[C@H]1C(=O)NCc1ccc2cncn2c1 ZINC000887296367 709010138 /nfs/dbraw/zinc/01/01/38/709010138.db2.gz AFBVPUMKMQGTBY-OSRDXIQISA-N -1 1 311.341 1.473 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)CC1 ZINC000899537766 709108140 /nfs/dbraw/zinc/10/81/40/709108140.db2.gz AYURTXMJNYSAJP-ZQDZILKHSA-N -1 1 308.422 1.820 20 0 DDADMM NC(=O)[C@]12CCC[C@H]1CN(C(=O)c1c([O-])cccc1Cl)C2 ZINC000887948153 709177456 /nfs/dbraw/zinc/17/74/56/709177456.db2.gz QFOLHFKPCAGCED-VFZGTOFNSA-N -1 1 308.765 1.773 20 0 DDADMM Cc1sc([C@H](C)NS(=O)(=O)C(F)F)nc1C(=O)[O-] ZINC000899951119 709247899 /nfs/dbraw/zinc/24/78/99/709247899.db2.gz VGJUDAWZYPDENM-VKHMYHEASA-N -1 1 300.308 1.353 20 0 DDADMM COC(=O)CCCONC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000888818168 709385686 /nfs/dbraw/zinc/38/56/86/709385686.db2.gz VYALIBSGCAPTAN-UHFFFAOYSA-N -1 1 322.292 1.694 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)c2c(F)cccc2F)CCNC1=O ZINC000889034239 709436959 /nfs/dbraw/zinc/43/69/59/709436959.db2.gz RSLGDTSKIXUQBL-GFCCVEGCSA-N -1 1 304.243 1.451 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCCCCNC(=O)CC1 ZINC000889431731 709500099 /nfs/dbraw/zinc/50/00/99/709500099.db2.gz QLAYMVLCHHPVCX-UHFFFAOYSA-N -1 1 312.316 1.803 20 0 DDADMM Cc1ccc2c(c1)[C@@H](NC(=O)CN1CCC[C@H](C(=O)[O-])C1)CO2 ZINC000909551086 709512282 /nfs/dbraw/zinc/51/22/82/709512282.db2.gz DMKBOGHSGBJRKL-JSGCOSHPSA-N -1 1 318.373 1.341 20 0 DDADMM CSCC[C@H](NC(=O)Cc1cccc(F)c1)c1nn[n-]n1 ZINC000912859902 713109543 /nfs/dbraw/zinc/10/95/43/713109543.db2.gz IPCXSXVMPNDCBM-NSHDSACASA-N -1 1 309.370 1.492 20 0 DDADMM CCn1cc(CC(=O)OCCc2cc(C(C)=O)ccc2[O-])nn1 ZINC000909587292 709530015 /nfs/dbraw/zinc/53/00/15/709530015.db2.gz UKRTXQHPBSVVFT-UHFFFAOYSA-N -1 1 317.345 1.535 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2)c1nn[n-]n1 ZINC000912863007 713111415 /nfs/dbraw/zinc/11/14/15/713111415.db2.gz ILRMGEHCQTXSHK-KXNHARMFSA-N -1 1 307.423 1.712 20 0 DDADMM CSCC[C@H](NC(=O)C[C@@H](C)[C@@H]1CCCO1)c1nn[n-]n1 ZINC000912863499 713111845 /nfs/dbraw/zinc/11/18/45/713111845.db2.gz ZSRQCEULRQKASB-VWYCJHECSA-N -1 1 313.427 1.315 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(C(=O)CN(C)[C@@H]2CCSC2)C1 ZINC000909697436 709583802 /nfs/dbraw/zinc/58/38/02/709583802.db2.gz FYTMJHUYJPZVLR-IUODEOHRSA-N -1 1 314.451 1.383 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000909709741 709590242 /nfs/dbraw/zinc/59/02/42/709590242.db2.gz AGRHFYTWZFBJKR-BDJLRTHQSA-N -1 1 321.377 1.169 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCC[C@@H](OCC3CC3)C2)C1 ZINC000909727848 709598268 /nfs/dbraw/zinc/59/82/68/709598268.db2.gz HVSKCIVJGJJHDF-LSDHHAIUSA-N -1 1 324.421 1.201 20 0 DDADMM C[C@@H]1NCCn2c(C(=O)NC3(C(=O)[O-])CCCCC3)ccc21 ZINC000900456149 709603044 /nfs/dbraw/zinc/60/30/44/709603044.db2.gz FQYIZQBKUCSVLQ-NSHDSACASA-N -1 1 305.378 1.670 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2C[C@@H](C)O[C@H]2C)[n-]c1=O ZINC000889786294 709635345 /nfs/dbraw/zinc/63/53/45/709635345.db2.gz LHPZCIPEOLVLQF-KXNHARMFSA-N -1 1 321.377 1.668 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Cc2occc2C)[n-]c1=O ZINC000889787483 709635967 /nfs/dbraw/zinc/63/59/67/709635967.db2.gz VCQGWQPLOXMOJD-LLVKDONJSA-N -1 1 317.345 1.998 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cnccc2C)[n-]c1=O ZINC000889791950 709638201 /nfs/dbraw/zinc/63/82/01/709638201.db2.gz PRVWVVWIWVKTKR-GFCCVEGCSA-N -1 1 314.345 1.872 20 0 DDADMM CCO[C@@H]1C[C@H]1C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889794212 709639573 /nfs/dbraw/zinc/63/95/73/709639573.db2.gz GWMHGNMPXRHUTK-OUAUKWLOSA-N -1 1 307.350 1.279 20 0 DDADMM C[C@]1(C(=O)[O-])CCCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000909840363 709647363 /nfs/dbraw/zinc/64/73/63/709647363.db2.gz IKXJAIWMZZVNAD-INIZCTEOSA-N -1 1 314.345 1.799 20 0 DDADMM O=C(c1cc(F)c(F)c([O-])c1F)N1CC[C@@H](N2CCC2)C1 ZINC000912909864 713121238 /nfs/dbraw/zinc/12/12/38/713121238.db2.gz ABPIXMXOOYPAJT-MRVPVSSYSA-N -1 1 300.280 1.730 20 0 DDADMM O=C(CCCCc1ccccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000900624216 709683675 /nfs/dbraw/zinc/68/36/75/709683675.db2.gz FZJORYZWXKEDMT-AWEZNQCLSA-N -1 1 315.377 1.513 20 0 DDADMM O=C([O-])c1ccc2c(c1)[C@@H](CNC(=O)Cc1cnc[nH]1)CCC2 ZINC000909952268 709705447 /nfs/dbraw/zinc/70/54/47/709705447.db2.gz WHVPTJPMSYYCAL-CYBMUJFWSA-N -1 1 313.357 1.887 20 0 DDADMM C[C@@]1(C(=O)Nc2cc([O-])c(F)cc2F)CCCS1(=O)=O ZINC000909971543 709713742 /nfs/dbraw/zinc/71/37/42/709713742.db2.gz FNLUEPFVVOASHD-LBPRGKRZSA-N -1 1 305.302 1.576 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@H](C)CO1 ZINC000900709778 709722143 /nfs/dbraw/zinc/72/21/43/709722143.db2.gz JUILFBFOYRNIQP-UFBFGSQYSA-N -1 1 304.350 1.093 20 0 DDADMM O=C([O-])CN(C(=O)c1n[nH]cc1C(F)(F)F)C1CCCC1 ZINC000910061285 709760399 /nfs/dbraw/zinc/76/03/99/709760399.db2.gz IRRKBWRBZSYTME-UHFFFAOYSA-N -1 1 305.256 1.898 20 0 DDADMM CC1(C)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]2CCCO[C@@H]21 ZINC000900837150 709784576 /nfs/dbraw/zinc/78/45/76/709784576.db2.gz BAZXLWKTDMPOQK-UHTWSYAYSA-N -1 1 317.389 1.993 20 0 DDADMM CC(C)(C)c1nc[nH]c1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910179990 709799882 /nfs/dbraw/zinc/79/98/82/709799882.db2.gz PQPRKICWOBRTMH-UHFFFAOYSA-N -1 1 322.409 1.328 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C3C[C@H]4CC[C@@H](C3)S4)ccnc1-2 ZINC000890283972 709810969 /nfs/dbraw/zinc/81/09/69/709810969.db2.gz ROBFZQAJGJPECP-FGWVZKOKSA-N -1 1 302.403 1.955 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3coc4c3C(=O)CCC4)ccnc1-2 ZINC000890283038 709811144 /nfs/dbraw/zinc/81/11/44/709811144.db2.gz CRIGCSVUQZMNLO-UHFFFAOYSA-N -1 1 310.313 1.706 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000910208758 709815854 /nfs/dbraw/zinc/81/58/54/709815854.db2.gz ZMFSJTBEVMUBRD-CQSZACIVSA-N -1 1 306.337 1.503 20 0 DDADMM CCC(C)(C)OCCNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000910245691 709837146 /nfs/dbraw/zinc/83/71/46/709837146.db2.gz MRFJRDBKKADBIE-LBPRGKRZSA-N -1 1 300.399 1.104 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccn(C)c3)[nH]n2)C[C@@]1(C)C(=O)[O-] ZINC000910260598 709844762 /nfs/dbraw/zinc/84/47/62/709844762.db2.gz MJFWJMCJCBIHCS-QLJPJBMISA-N -1 1 316.361 1.598 20 0 DDADMM Cc1nc(N2CCN(C[C@H]3CCCO3)CC2)ccc1C(=O)[O-] ZINC000910276438 709859444 /nfs/dbraw/zinc/85/94/44/709859444.db2.gz FEJSAPQDWIOHPR-CYBMUJFWSA-N -1 1 305.378 1.389 20 0 DDADMM C[C@@H]1c2sccc2CCN1C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000910503920 709975064 /nfs/dbraw/zinc/97/50/64/709975064.db2.gz ISGWESVXINMJEF-DGCLKSJQSA-N -1 1 322.430 1.990 20 0 DDADMM C[C@@](Cc1ccc(F)cc1)(NC(=O)CCc1cnc[nH]1)C(=O)[O-] ZINC000910510867 709976890 /nfs/dbraw/zinc/97/68/90/709976890.db2.gz CPHLCKGYCRFEPE-INIZCTEOSA-N -1 1 319.336 1.684 20 0 DDADMM CCN(CC)[C@@H](C(=O)N1CC[C@](O)(C(=O)[O-])C1)c1ccccc1 ZINC000910531950 709986766 /nfs/dbraw/zinc/98/67/66/709986766.db2.gz VYYUYKSQSRXTSC-RHSMWYFYSA-N -1 1 320.389 1.118 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NC(C)(C)CCC(=O)[O-] ZINC000901446369 710042645 /nfs/dbraw/zinc/04/26/45/710042645.db2.gz CPZIZINWWYNLNO-UHFFFAOYSA-N -1 1 306.406 1.921 20 0 DDADMM C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)NC1CCC(C(=O)[O-])CC1 ZINC000901482796 710052161 /nfs/dbraw/zinc/05/21/61/710052161.db2.gz MHBGVZSGKGMYCE-JSRZKYIESA-N -1 1 305.378 1.835 20 0 DDADMM COc1ccsc1CN1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC000901524321 710065817 /nfs/dbraw/zinc/06/58/17/710065817.db2.gz LLCWLBMKQCUIBC-JTQLQIEISA-N -1 1 322.390 1.884 20 0 DDADMM COc1cccc([C@H](C(=O)[O-])N(C)C(=O)[C@@H]2CCCN2C)c1 ZINC000910771461 710070397 /nfs/dbraw/zinc/07/03/97/710070397.db2.gz JNRYZUURQPUKMX-UONOGXRCSA-N -1 1 306.362 1.374 20 0 DDADMM CS(=O)(=O)C1(C(=O)Nc2cc(F)cc(F)c2[O-])CCCC1 ZINC000910982675 710140226 /nfs/dbraw/zinc/14/02/26/710140226.db2.gz AMHPZVYAQISFJX-UHFFFAOYSA-N -1 1 319.329 1.966 20 0 DDADMM COCCN1C[C@@H](C(=O)Nc2cc(F)cc(F)c2[O-])CC1=O ZINC000910982048 710140276 /nfs/dbraw/zinc/14/02/76/710140276.db2.gz VVFFGFVHIPHBAZ-QMMMGPOBSA-N -1 1 314.288 1.104 20 0 DDADMM C[C@@]1(C(=O)[O-])CCCN1Cc1cnc(N2CCOCC2)s1 ZINC000902005254 710199848 /nfs/dbraw/zinc/19/98/48/710199848.db2.gz CWFRSRPZUKZSOG-AWEZNQCLSA-N -1 1 311.407 1.419 20 0 DDADMM CCn1ccc(CC(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)n1 ZINC000891615919 710249522 /nfs/dbraw/zinc/24/95/22/710249522.db2.gz XOBVVWGZGXDQMQ-UHFFFAOYSA-N -1 1 301.350 1.459 20 0 DDADMM CCC(CC)C(=O)N1CCN(c2cc(=O)[n-]c(COC)n2)CC1 ZINC000891732613 710278133 /nfs/dbraw/zinc/27/81/33/710278133.db2.gz VXSBIUCYHOUMRG-UHFFFAOYSA-N -1 1 322.409 1.413 20 0 DDADMM COCc1nc(NCCCNC(=O)c2cccs2)cc(=O)[n-]1 ZINC000892167347 710385771 /nfs/dbraw/zinc/38/57/71/710385771.db2.gz RVFPBDKDFFTXIG-UHFFFAOYSA-N -1 1 322.390 1.622 20 0 DDADMM COCc1nc(N2CCC[C@H](C(=O)N3CCCC3)C2)cc(=O)[n-]1 ZINC000892471890 710442952 /nfs/dbraw/zinc/44/29/52/710442952.db2.gz UVSMRCYHOPUGAR-LBPRGKRZSA-N -1 1 320.393 1.167 20 0 DDADMM COCc1nc(NCCCc2nnc3n2CCCC3)cc(=O)[n-]1 ZINC000893040575 710545728 /nfs/dbraw/zinc/54/57/28/710545728.db2.gz GOOJIHDSFVHFEK-UHFFFAOYSA-N -1 1 318.381 1.301 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CCC[C@]1(C)C(=O)[O-] ZINC000902106696 710617388 /nfs/dbraw/zinc/61/73/88/710617388.db2.gz DINSIKFGRJDIPE-QGZVFWFLSA-N -1 1 304.390 1.627 20 0 DDADMM CN(C)CC(=O)N[C@@H](C(=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC000911062705 710617550 /nfs/dbraw/zinc/61/75/50/710617550.db2.gz XMKRKUHGKLGZJK-LLVKDONJSA-N -1 1 304.268 1.509 20 0 DDADMM CS(=O)(=O)Cc1cccc(CNCc2ccc(C(=O)[O-])o2)c1 ZINC000902190427 710657731 /nfs/dbraw/zinc/65/77/31/710657731.db2.gz INOUSWHBIQKVQU-UHFFFAOYSA-N -1 1 323.370 1.812 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000911333261 710746650 /nfs/dbraw/zinc/74/66/50/710746650.db2.gz UHJMDBMIMYRWHJ-CHWSQXEVSA-N -1 1 308.378 1.952 20 0 DDADMM Cc1ccccc1C(=O)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000913363383 713204952 /nfs/dbraw/zinc/20/49/52/713204952.db2.gz SKDLJFFTTFIEQF-UHFFFAOYSA-N -1 1 311.341 1.981 20 0 DDADMM Cn1ccc2cccc(NC(=O)CN3CCC[C@H](C(=O)[O-])C3)c21 ZINC000911405942 710783427 /nfs/dbraw/zinc/78/34/27/710783427.db2.gz NFFZOAMKEGUPPN-ZDUSSCGKSA-N -1 1 315.373 1.913 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)CCC(=O)[O-])CCN1CC(C)(C)O ZINC000911500346 710825420 /nfs/dbraw/zinc/82/54/20/710825420.db2.gz NNJAWWINMHLHJN-LBPRGKRZSA-N -1 1 314.426 1.181 20 0 DDADMM O=S1(=O)C=C([O-])c2ccccc2N1CCN1CCCCC1 ZINC000902659973 710846626 /nfs/dbraw/zinc/84/66/26/710846626.db2.gz AKHMQLBAPFCZRA-UHFFFAOYSA-N -1 1 308.403 1.505 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)C1(C(F)(F)F)CC1 ZINC000913437343 713215530 /nfs/dbraw/zinc/21/55/30/713215530.db2.gz FHYBLXMZEKTLOF-SECBINFHSA-N -1 1 311.267 1.748 20 0 DDADMM COCCC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CC1 ZINC000913437573 713215718 /nfs/dbraw/zinc/21/57/18/713215718.db2.gz MYLCVUMZYMMEMW-LBPRGKRZSA-N -1 1 301.350 1.222 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)C1(Br)CC1 ZINC000913439079 713215908 /nfs/dbraw/zinc/21/59/08/713215908.db2.gz XXWUNSZMGNKVIF-VIFPVBQESA-N -1 1 322.166 1.333 20 0 DDADMM CC1(C)CCN(C(=O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)CCS1 ZINC000911671855 710919938 /nfs/dbraw/zinc/91/99/38/710919938.db2.gz FGPFUENGJJXQAO-GFCCVEGCSA-N -1 1 314.451 1.527 20 0 DDADMM CC1(C)CCN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCS1 ZINC000911671855 710919943 /nfs/dbraw/zinc/91/99/43/710919943.db2.gz FGPFUENGJJXQAO-GFCCVEGCSA-N -1 1 314.451 1.527 20 0 DDADMM COc1ccc(NCc2ocnc2C)cc1[N-]S(C)(=O)=O ZINC000894117074 710974461 /nfs/dbraw/zinc/97/44/61/710974461.db2.gz LDNXFJGVQUMHQF-UHFFFAOYSA-N -1 1 311.363 1.975 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1ccnc(Cl)c1 ZINC000903109207 711033446 /nfs/dbraw/zinc/03/34/46/711033446.db2.gz LALFVFOCCSXVRE-UHFFFAOYSA-N -1 1 311.816 1.900 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cc(C)nnc1N(C)C)OC ZINC000903176942 711055300 /nfs/dbraw/zinc/05/53/00/711055300.db2.gz VNJKNROUYPZUET-SNVBAGLBSA-N -1 1 302.400 1.018 20 0 DDADMM Cn1cc([C@H]2CN(C(=O)c3ccc([O-])cc3F)CCN2)cn1 ZINC000913464318 713227499 /nfs/dbraw/zinc/22/74/99/713227499.db2.gz QOLHGYSCWRSHGL-CQSZACIVSA-N -1 1 304.325 1.052 20 0 DDADMM Cn1cc([C@@H]2CN(C(=O)c3cc(Cl)ccc3[O-])CCN2)cn1 ZINC000913474017 713231636 /nfs/dbraw/zinc/23/16/36/713231636.db2.gz YNVJMONBYTZHOB-ZDUSSCGKSA-N -1 1 320.780 1.566 20 0 DDADMM Cc1cnn(-c2ccncc2[N-]S(=O)(=O)CC2(F)CC2)c1 ZINC000903614785 711224716 /nfs/dbraw/zinc/22/47/16/711224716.db2.gz KYUNVYMFIFBYKG-UHFFFAOYSA-N -1 1 310.354 1.820 20 0 DDADMM CC(=CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000913494881 713237302 /nfs/dbraw/zinc/23/73/02/713237302.db2.gz MOMKGGJHBAALNQ-SZNGSJQDSA-N -1 1 317.324 1.342 20 0 DDADMM Cc1ccc(OC(F)F)c(CN2CC[C@@](O)(C(=O)[O-])C2)c1 ZINC000903623957 711228735 /nfs/dbraw/zinc/22/87/35/711228735.db2.gz UKRZTKAYWCDJHJ-AWEZNQCLSA-N -1 1 301.289 1.618 20 0 DDADMM C[C@@H]1CN(Cc2nnnn2-c2ccccc2)C[C@H]1CC(=O)[O-] ZINC000903631752 711230851 /nfs/dbraw/zinc/23/08/51/711230851.db2.gz XTXQDLGKILNTMW-VXGBXAGGSA-N -1 1 301.350 1.205 20 0 DDADMM Cc1ccc(C[C@@H](C)C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000913494971 713237611 /nfs/dbraw/zinc/23/76/11/713237611.db2.gz DZTHEWFVZZZKJP-OCCSQVGLSA-N -1 1 315.377 1.287 20 0 DDADMM CSc1nc(CNC(=O)C[C@@H](C)n2ccc(C)n2)cc(=O)[n-]1 ZINC000912241503 711240048 /nfs/dbraw/zinc/24/00/48/711240048.db2.gz ZEPHSLHVODIWSK-SNVBAGLBSA-N -1 1 321.406 1.677 20 0 DDADMM O=C(c1cc2cccc(F)c2o1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496057 713238553 /nfs/dbraw/zinc/23/85/53/713238553.db2.gz RPHMBULBXIPWDP-SNVBAGLBSA-N -1 1 317.280 1.299 20 0 DDADMM CSc1nc(CNC(=O)[C@H](C)c2ccccn2)cc(=O)[n-]1 ZINC000912247439 711243722 /nfs/dbraw/zinc/24/37/22/711243722.db2.gz JKJBKKFRLCLQAU-SECBINFHSA-N -1 1 304.375 1.719 20 0 DDADMM Cc1cc(C(F)F)oc1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499964 713239599 /nfs/dbraw/zinc/23/95/99/713239599.db2.gz TZPDWOCKCVIZOT-SSDOTTSWSA-N -1 1 313.264 1.252 20 0 DDADMM COCc1nc(NC[C@@H]2c3ccccc3C(=O)N2C)cc(=O)[n-]1 ZINC000894766704 711264748 /nfs/dbraw/zinc/26/47/48/711264748.db2.gz WENRWQSVNHVJPL-GFCCVEGCSA-N -1 1 314.345 1.567 20 0 DDADMM C[C@@H](CN1CCOCC1)N1CCOc2c(cccc2C(=O)[O-])C1 ZINC000903926321 711335811 /nfs/dbraw/zinc/33/58/11/711335811.db2.gz AYBPSAMVBDXGLF-ZDUSSCGKSA-N -1 1 320.389 1.300 20 0 DDADMM COCc1nc(NC[C@H]2CC(=O)c3ccccc3O2)cc(=O)[n-]1 ZINC000895020961 711372114 /nfs/dbraw/zinc/37/21/14/711372114.db2.gz YJYAILTVTUGWKI-SNVBAGLBSA-N -1 1 315.329 1.775 20 0 DDADMM COCc1nc(NC[C@@H]2CC[C@]3(CO[C@H](C)[C@@H]3C)O2)cc(=O)[n-]1 ZINC000895226737 711457726 /nfs/dbraw/zinc/45/77/26/711457726.db2.gz TZRYPWVRAKCQRH-MEQWQQMJSA-N -1 1 323.393 1.713 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NCc2csc(CC)n2)n[n-]1 ZINC000895235860 711460380 /nfs/dbraw/zinc/46/03/80/711460380.db2.gz OBXZGCMFKLWNKH-MRVPVSSYSA-N -1 1 309.395 1.851 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2csc(CC)n2)n1 ZINC000895235860 711460382 /nfs/dbraw/zinc/46/03/82/711460382.db2.gz OBXZGCMFKLWNKH-MRVPVSSYSA-N -1 1 309.395 1.851 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2cc(F)ncc2F)n1 ZINC000895239954 711461539 /nfs/dbraw/zinc/46/15/39/711461539.db2.gz XTKFBBQEORAZHS-ZETCQYMHSA-N -1 1 311.292 1.505 20 0 DDADMM CC[S@@](=O)CCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896285866 711700991 /nfs/dbraw/zinc/70/09/91/711700991.db2.gz UESBVXDOEQMNDP-OAQYLSRUSA-N -1 1 307.371 1.749 20 0 DDADMM CCOc1ncccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742832 713292216 /nfs/dbraw/zinc/29/22/16/713292216.db2.gz WVVHNOMFDQFAMP-UHFFFAOYSA-N -1 1 302.338 1.013 20 0 DDADMM C[C@H](Sc1ccccn1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743720 713292601 /nfs/dbraw/zinc/29/26/01/713292601.db2.gz IMMSIULDCGNGRW-JTQLQIEISA-N -1 1 318.406 1.482 20 0 DDADMM C[C@H]1C(=O)CC[C@H](C(=O)N2CCC(c3nn[n-]n3)CC2)C1(C)C ZINC000913744169 713293037 /nfs/dbraw/zinc/29/30/37/713293037.db2.gz CNWRGCQGLBFPGU-CMPLNLGQSA-N -1 1 319.409 1.547 20 0 DDADMM Cc1cnc(Cl)c(C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913747155 713294592 /nfs/dbraw/zinc/29/45/92/713294592.db2.gz RNYXCCOPSXQXCC-UHFFFAOYSA-N -1 1 306.757 1.576 20 0 DDADMM O=C(NC[C@H]1CCC12CCOCC2)c1cnc(C2CC2)[n-]c1=O ZINC000913753087 713295640 /nfs/dbraw/zinc/29/56/40/713295640.db2.gz HRJOFVUOAGFGET-GFCCVEGCSA-N -1 1 317.389 1.996 20 0 DDADMM Cn1ccc(=O)c(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)c1 ZINC000905946381 712196631 /nfs/dbraw/zinc/19/66/31/712196631.db2.gz BCBMNOMDPJJULM-UHFFFAOYSA-N -1 1 300.318 1.012 20 0 DDADMM CC(C)S(=O)(=O)C=C([O-])N=[S@](C)(=O)c1cccc(F)c1 ZINC000906342526 712302720 /nfs/dbraw/zinc/30/27/20/712302720.db2.gz PHKPBTUYKUYPGH-LJQANCHMSA-N -1 1 321.395 1.632 20 0 DDADMM CC(C)[C@H](C)N(C)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000906820209 712417547 /nfs/dbraw/zinc/41/75/47/712417547.db2.gz XRSBJBMQNJYHHR-VIFPVBQESA-N -1 1 301.364 1.755 20 0 DDADMM COC[C@H]1CCCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000907432620 712570898 /nfs/dbraw/zinc/57/08/98/712570898.db2.gz HTPGVIFJYNVFEK-NSHDSACASA-N -1 1 305.378 1.948 20 0 DDADMM O=C(c1ccncc1Cl)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907474424 712581622 /nfs/dbraw/zinc/58/16/22/712581622.db2.gz KCXBKXABDMRKJF-VIFPVBQESA-N -1 1 310.770 1.178 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1c(F)cccc1Cl ZINC000907764163 712623525 /nfs/dbraw/zinc/62/35/25/712623525.db2.gz SFUCEGQPYFRZQK-YODLHVAQSA-N -1 1 317.769 1.933 20 0 DDADMM CC1(C)CN(C(=O)c2c([O-])cnc3c(F)cccc32)C[C@H]1O ZINC000907857906 712636906 /nfs/dbraw/zinc/63/69/06/712636906.db2.gz IFMJGOFJTATJMO-GFCCVEGCSA-N -1 1 304.321 1.922 20 0 DDADMM O=C(/C=C/C1CCCCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907944013 712652916 /nfs/dbraw/zinc/65/29/16/712652916.db2.gz PWCGDMHPPCRNMQ-VOTSOKGWSA-N -1 1 305.382 1.146 20 0 DDADMM O=C([O-])c1cccc2c1CCN2C(=O)CCCCc1cn[nH]n1 ZINC000907984311 712660386 /nfs/dbraw/zinc/66/03/86/712660386.db2.gz SYCUHEDCFIGNSY-UHFFFAOYSA-N -1 1 314.345 1.805 20 0 DDADMM CON(CC1CCOCC1)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000908049551 712671717 /nfs/dbraw/zinc/67/17/17/712671717.db2.gz HZHXUXQVCVASTI-UHFFFAOYSA-N -1 1 307.350 1.490 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCOC23CCOCC3)sn1 ZINC000908194817 712707209 /nfs/dbraw/zinc/70/72/09/712707209.db2.gz UWTVHRCYFHBROD-SNVBAGLBSA-N -1 1 318.420 1.068 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC[C@@H](O)C2CC2)c(F)c1 ZINC000908311118 712737048 /nfs/dbraw/zinc/73/70/48/712737048.db2.gz AXVIIYWARNEONP-GFCCVEGCSA-N -1 1 305.346 1.713 20 0 DDADMM O=C([O-])[C@H](Cc1ccccc1)NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000908583322 712814597 /nfs/dbraw/zinc/81/45/97/712814597.db2.gz RIIUITVNTGOXGY-WFASDCNBSA-N -1 1 313.357 1.327 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCOc3ccccc3C2)C1 ZINC000908748018 712847211 /nfs/dbraw/zinc/84/72/11/712847211.db2.gz TVSDRSHUPHRQSA-AWEZNQCLSA-N -1 1 318.373 1.204 20 0 DDADMM CO[C@@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccccc1 ZINC000908750857 712847759 /nfs/dbraw/zinc/84/77/59/712847759.db2.gz DUIJWNNOTYXIPS-CABCVRRESA-N -1 1 320.389 1.287 20 0 DDADMM CN1CCC[C@@H](NC(=O)N(CCc2ccccc2)CC(=O)[O-])C1 ZINC000908871700 712875481 /nfs/dbraw/zinc/87/54/81/712875481.db2.gz UMAWGOPTGIMEFK-OAHLLOKOSA-N -1 1 319.405 1.420 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)N(CC(=O)[O-])Cc1ccccc1 ZINC000908900062 712882881 /nfs/dbraw/zinc/88/28/81/712882881.db2.gz GZJPLGKBVCKWEY-CQSZACIVSA-N -1 1 320.389 1.068 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)C1CCC(N2CCOCC2)CC1 ZINC000908949001 712894363 /nfs/dbraw/zinc/89/43/63/712894363.db2.gz LAYNWLJJKVEMQU-UHFFFAOYSA-N -1 1 312.410 1.201 20 0 DDADMM COC(=O)[C@@H](C[N-]C(=O)C(F)(F)C(F)F)Oc1ccccc1 ZINC000918134871 713530210 /nfs/dbraw/zinc/53/02/10/713530210.db2.gz APHYKRXJFDXSRL-SECBINFHSA-N -1 1 323.242 1.624 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(F)F)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966049538 717910587 /nfs/dbraw/zinc/91/05/87/717910587.db2.gz CJTHGFZTLYBKKX-IUCAKERBSA-N -1 1 313.304 1.019 20 0 DDADMM CC[C@@H](CCO)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000919981655 713644206 /nfs/dbraw/zinc/64/42/06/713644206.db2.gz OHKMVCWRCITUTG-VIFPVBQESA-N -1 1 302.321 1.673 20 0 DDADMM COC1(OC)CC([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000920077851 713649408 /nfs/dbraw/zinc/64/94/08/713649408.db2.gz NSSSHCZHFBVOOJ-UHFFFAOYSA-N -1 1 311.359 1.863 20 0 DDADMM CC(=O)NC[C@@H]1CN(C[C@@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929669368 713664839 /nfs/dbraw/zinc/66/48/39/713664839.db2.gz MQEUTMFWQSYJSW-MLGOLLRUSA-N -1 1 320.389 1.048 20 0 DDADMM CC(C)C(=O)[C@H]([N-]S(=O)(=O)c1cnnn1C)c1ccccc1 ZINC000920554289 713679000 /nfs/dbraw/zinc/67/90/00/713679000.db2.gz JZFOIZOMICWDAK-CYBMUJFWSA-N -1 1 322.390 1.060 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1nccc2c1CCCC2 ZINC000920954460 713704530 /nfs/dbraw/zinc/70/45/30/713704530.db2.gz LDLHBLSJGPFISD-UHFFFAOYSA-N -1 1 317.436 1.022 20 0 DDADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)CCC1CCCC1 ZINC000921380372 713749756 /nfs/dbraw/zinc/74/97/56/713749756.db2.gz VLFUSSDNVAAIEM-LBPRGKRZSA-N -1 1 307.412 1.064 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-]Cc1c(C)cc(CO)cc1C ZINC000921399065 713755954 /nfs/dbraw/zinc/75/59/54/713755954.db2.gz DWQMBWDSLNCLNO-UHFFFAOYSA-N -1 1 310.375 1.571 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC2(CCOCC2)O1)c1ccns1 ZINC000921673221 713838327 /nfs/dbraw/zinc/83/83/27/713838327.db2.gz FJKVLHDDUMMCHS-JTQLQIEISA-N -1 1 318.420 1.150 20 0 DDADMM CCC[C@H](O)CC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000921684702 713842703 /nfs/dbraw/zinc/84/27/03/713842703.db2.gz RBJWXLUGPAQZTR-ZETCQYMHSA-N -1 1 315.317 1.258 20 0 DDADMM O=S(=O)([N-]CCO[C@@H]1CCOC1)c1cc(Cl)ccc1F ZINC000921883331 713896261 /nfs/dbraw/zinc/89/62/61/713896261.db2.gz JKIBVMUQLIEKSR-SNVBAGLBSA-N -1 1 323.773 1.563 20 0 DDADMM CCOCCOCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000921888977 713898188 /nfs/dbraw/zinc/89/81/88/713898188.db2.gz XCTUYASTNWZFEC-UHFFFAOYSA-N -1 1 309.334 1.296 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCC1=CCOCC1 ZINC000922673831 714116545 /nfs/dbraw/zinc/11/65/45/714116545.db2.gz CMOXXGFLKHHPBZ-UHFFFAOYSA-N -1 1 312.316 1.859 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)NC1CCOCC1 ZINC000932040741 714244232 /nfs/dbraw/zinc/24/42/32/714244232.db2.gz OTWWUUXARRABHV-UHFFFAOYSA-N -1 1 302.334 1.878 20 0 DDADMM C[C@H](C[C@H](C)O)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932046969 714245759 /nfs/dbraw/zinc/24/57/59/714245759.db2.gz UOKDFZPWEGWCHC-MNOVXSKESA-N -1 1 304.350 1.859 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CC[C@](F)(CO)C1 ZINC000932055713 714247707 /nfs/dbraw/zinc/24/77/07/714247707.db2.gz VFQAIPBWBGYCRZ-OAHLLOKOSA-N -1 1 320.324 1.516 20 0 DDADMM CN(C[C@H]1C[C@@H](O)C1)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932059306 714249270 /nfs/dbraw/zinc/24/92/70/714249270.db2.gz FJDCEGYCVOBMIO-VDISTLRHSA-N -1 1 316.361 1.813 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCC[C@@H]3C[C@@]32C(=O)[O-])C1 ZINC000923148971 714255198 /nfs/dbraw/zinc/25/51/98/714255198.db2.gz BDFUOQZQMLCYGW-YVYGNJPRSA-N -1 1 303.362 1.541 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H]2C[C@@]21C(=O)[O-])[N@@H+](C)Cc1ccccc1 ZINC000923150121 714255660 /nfs/dbraw/zinc/25/56/60/714255660.db2.gz USZVPZFTTXDMAQ-SIIHOXLZSA-N -1 1 316.401 1.973 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H]2C[C@@]21C(=O)[O-])N(C)Cc1ccccc1 ZINC000923150121 714255661 /nfs/dbraw/zinc/25/56/61/714255661.db2.gz USZVPZFTTXDMAQ-SIIHOXLZSA-N -1 1 316.401 1.973 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](NC(=O)c2cc(F)cc3nc[nH]c32)[C@H]2C[C@H]21 ZINC000923199802 714270405 /nfs/dbraw/zinc/27/04/05/714270405.db2.gz NOQOOOVIVYTWPA-LOKLDPHHSA-N -1 1 303.293 1.541 20 0 DDADMM CCCOC(=O)[C@H](C)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934275337 714783560 /nfs/dbraw/zinc/78/35/60/714783560.db2.gz DJRUAHCUBMVZIT-QWRGUYRKSA-N -1 1 309.366 1.669 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2cc(C)ncn2)[n-]c1=O ZINC000934278776 714785492 /nfs/dbraw/zinc/78/54/92/714785492.db2.gz HMFUXFNOGHYEAE-LBPRGKRZSA-N -1 1 301.350 1.626 20 0 DDADMM CC[S@](C)(=O)=NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000925846038 714949729 /nfs/dbraw/zinc/94/97/29/714949729.db2.gz NQBZZPOZMITQJS-NRFANRHFSA-N -1 1 310.379 1.239 20 0 DDADMM Cn1cc(Br)c(C(=O)[N-]OC2CCCC2)cc1=O ZINC000935240899 715010516 /nfs/dbraw/zinc/01/05/16/715010516.db2.gz WPIAROGJHXBLQL-UHFFFAOYSA-N -1 1 315.167 1.752 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cccc3c2OCC3)n[nH]1 ZINC000935260285 715014267 /nfs/dbraw/zinc/01/42/67/715014267.db2.gz HBUXHTZWGFBNJM-UHFFFAOYSA-N -1 1 301.302 1.774 20 0 DDADMM C[C@H](CC(=O)[O-])NS(=O)(=O)c1occc1Br ZINC000926452250 715068702 /nfs/dbraw/zinc/06/87/02/715068702.db2.gz CKLRZGGITKJYLQ-RXMQYKEDSA-N -1 1 312.141 1.184 20 0 DDADMM O=C([N-][C@H]1CCN(C(=O)c2c(F)ccc(F)c2F)C1)C(F)F ZINC000937585916 715421117 /nfs/dbraw/zinc/42/11/17/715421117.db2.gz LWSQBANUMSIXED-LURJTMIESA-N -1 1 322.233 1.700 20 0 DDADMM O=C(/C=C\C1CC1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937862091 715618082 /nfs/dbraw/zinc/61/80/82/715618082.db2.gz WQKYBWUCVGPMJO-FJOGCWAESA-N -1 1 301.346 1.084 20 0 DDADMM CC(C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC000955511207 715829387 /nfs/dbraw/zinc/82/93/87/715829387.db2.gz JRMGUXMQPVLHAD-STQMWFEESA-N -1 1 319.405 1.943 20 0 DDADMM O=C(CCC1CCC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959948369 716366647 /nfs/dbraw/zinc/36/66/47/716366647.db2.gz QXQRJNHIVIJPHP-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960152815 716433769 /nfs/dbraw/zinc/43/37/69/716433769.db2.gz JVJAZSWCMZCMSW-NEPJUHHUSA-N -1 1 309.414 1.273 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964851912 717453966 /nfs/dbraw/zinc/45/39/66/717453966.db2.gz ZJFXHUMDPJHCLU-CYBMUJFWSA-N -1 1 317.389 1.864 20 0 DDADMM CCC(=O)N1CC[C@H]2[C@@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962947316 717636640 /nfs/dbraw/zinc/63/66/40/717636640.db2.gz SCVGQPDUUHOFQM-RYUDHWBXSA-N -1 1 303.362 1.403 20 0 DDADMM CC(C)(C)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944280250 718283443 /nfs/dbraw/zinc/28/34/43/718283443.db2.gz LFASAQZSIYNAST-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM CCCC(=O)N1CCC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000945564265 718494340 /nfs/dbraw/zinc/49/43/40/718494340.db2.gz AEPGMKSOESZETF-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CC1CC1 ZINC000966325332 718515739 /nfs/dbraw/zinc/51/57/39/718515739.db2.gz DOLYUJCUWPKKCF-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM CC(=O)N[C@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@H]1C ZINC000966654782 718618066 /nfs/dbraw/zinc/61/80/66/718618066.db2.gz FUXBDBMZFRTXBJ-SKDRFNHKSA-N -1 1 320.393 1.211 20 0 DDADMM C/C=C(\C)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000946203177 718710635 /nfs/dbraw/zinc/71/06/35/718710635.db2.gz IQBVSBVNYDVZSJ-QDEBKDIKSA-N -1 1 303.362 1.474 20 0 DDADMM O=C(NC[C@@H]1CCCC[C@@H]1NC(=O)C1CC1)c1ncccc1[O-] ZINC000946344356 718756817 /nfs/dbraw/zinc/75/68/17/718756817.db2.gz ZQOUPLKGZGXHQK-STQMWFEESA-N -1 1 317.389 1.602 20 0 DDADMM CCN(C(=O)c1ccc[nH]1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967783810 719019971 /nfs/dbraw/zinc/01/99/71/719019971.db2.gz JGBGJKIYPUXYSP-UHFFFAOYSA-N -1 1 314.345 1.102 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C=C(C)C)C1 ZINC000967898321 719078412 /nfs/dbraw/zinc/07/84/12/719078412.db2.gz FDQAFAUYZZBOAX-UHFFFAOYSA-N -1 1 303.362 1.426 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2ccoc2)C1 ZINC000967900919 719079291 /nfs/dbraw/zinc/07/92/91/719079291.db2.gz PUMZCZJBTSFELG-UHFFFAOYSA-N -1 1 315.329 1.367 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@@H]2C[C@H]2C)C1 ZINC000967905750 719081880 /nfs/dbraw/zinc/08/18/80/719081880.db2.gz DDTGSJSPLIRAJN-NEPJUHHUSA-N -1 1 317.389 1.506 20 0 DDADMM CCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1CC ZINC000948389719 719478540 /nfs/dbraw/zinc/47/85/40/719478540.db2.gz KGOUXPYRRNDLON-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM CC1CC(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)C1 ZINC000948618798 719592284 /nfs/dbraw/zinc/59/22/84/719592284.db2.gz IODITRKOOLYYLA-POOIEITISA-N -1 1 317.389 1.410 20 0 DDADMM Cc1oc(C)c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC000969258586 720028332 /nfs/dbraw/zinc/02/83/32/720028332.db2.gz SVGSMFRCQVMJNC-LLVKDONJSA-N -1 1 319.365 1.033 20 0 DDADMM CC(C)(C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC000949541740 720149468 /nfs/dbraw/zinc/14/94/68/720149468.db2.gz OQDYZBXNFNSILI-GFCCVEGCSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C[C@H]2CCCCO2)C1 ZINC000949952824 720428233 /nfs/dbraw/zinc/42/82/33/720428233.db2.gz YZIPCZIOKHMUAD-CQSZACIVSA-N -1 1 319.405 1.503 20 0 DDADMM O=C(/C=C/C1CC1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950285490 720555813 /nfs/dbraw/zinc/55/58/13/720555813.db2.gz OXUPBAMFTQCUNJ-SBDDDAINSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)c1ccsc1 ZINC000969925449 720563056 /nfs/dbraw/zinc/56/30/56/720563056.db2.gz XFCNLVNUKJANRX-GXSJLCMTSA-N -1 1 321.406 1.066 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)CC2CC2)CC1 ZINC000953888525 721674584 /nfs/dbraw/zinc/67/45/84/721674584.db2.gz SFIPBMPCAGPXQP-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CN(C(=O)C1(C)CC1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000954009050 721691426 /nfs/dbraw/zinc/69/14/26/721691426.db2.gz NUZUOXPGDNEJPY-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954125216 721723951 /nfs/dbraw/zinc/72/39/51/721723951.db2.gz GRUJDFPWSCCBAL-CHWSQXEVSA-N -1 1 317.389 1.362 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC[C@H](F)C2)C1 ZINC000954125600 721724337 /nfs/dbraw/zinc/72/43/37/721724337.db2.gz UYUMQRAHNXAIID-MNOVXSKESA-N -1 1 321.352 1.208 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3ccccc3)C2)c1[O-] ZINC001010630995 732932821 /nfs/dbraw/zinc/93/28/21/732932821.db2.gz PZBNXEVCNIVYEB-ZDUSSCGKSA-N -1 1 300.362 1.428 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001021340337 733147378 /nfs/dbraw/zinc/14/73/78/733147378.db2.gz OBOUFYMJNNPKPJ-CJULTGEBSA-N -1 1 315.373 1.426 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001087141925 733526204 /nfs/dbraw/zinc/52/62/04/733526204.db2.gz ABNYIIMIKVVKKI-MDZLAQPJSA-N -1 1 321.425 1.273 20 0 DDADMM Cc1cccc([C@@H]2CCCN2c2nnc(-c3nnn[n-]3)n2C)c1 ZINC001121359621 782470785 /nfs/dbraw/zinc/47/07/85/782470785.db2.gz KXMBJOCUNBWXRT-LBPRGKRZSA-N -1 1 310.365 1.645 20 0 DDADMM Cc1cccc([C@@H]2CCCN2c2nnc(-c3nn[n-]n3)n2C)c1 ZINC001121359621 782470792 /nfs/dbraw/zinc/47/07/92/782470792.db2.gz KXMBJOCUNBWXRT-LBPRGKRZSA-N -1 1 310.365 1.645 20 0 DDADMM O=C(CC1CCCC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024853619 736128739 /nfs/dbraw/zinc/12/87/39/736128739.db2.gz QYOBADJMLKDDQH-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM CC1(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC=CC1 ZINC001025067100 736274277 /nfs/dbraw/zinc/27/42/77/736274277.db2.gz KUATXSAJMAAFFO-GFCCVEGCSA-N -1 1 319.409 1.337 20 0 DDADMM CCS(=O)(=O)c1cccc(S(=O)(=O)[N-]c2cnc[nH]2)c1 ZINC000519853201 737246857 /nfs/dbraw/zinc/24/68/57/737246857.db2.gz WHGJYAKCPWCZCT-UHFFFAOYSA-N -1 1 315.376 1.004 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974055865 737353033 /nfs/dbraw/zinc/35/30/33/737353033.db2.gz AZSQWLMFFJCQSV-XQHKEYJVSA-N -1 1 317.389 1.456 20 0 DDADMM CO[C@@H]([C@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CC1 ZINC000692894601 738964861 /nfs/dbraw/zinc/96/48/61/738964861.db2.gz QODJDKLCFBWAOZ-CPCISQLKSA-N -1 1 322.789 1.966 20 0 DDADMM C[C@H]1CC[C@@H](CC(=O)N[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)C1 ZINC000974297573 737495022 /nfs/dbraw/zinc/49/50/22/737495022.db2.gz YQPAVKHKRIAPHL-WHOHXGKFSA-N -1 1 305.382 1.008 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)CC1 ZINC000692892033 738965346 /nfs/dbraw/zinc/96/53/46/738965346.db2.gz ICFRIWKZATZNRK-UHFFFAOYSA-N -1 1 308.762 1.579 20 0 DDADMM Cc1nc(C(C)C)[nH]c(=O)c1C(=O)NC[C@@H]1CCC[N@@H+]1CCF ZINC001028065970 738984423 /nfs/dbraw/zinc/98/44/23/738984423.db2.gz OOUBZESVRRUSMR-LBPRGKRZSA-N -1 1 324.400 1.778 20 0 DDADMM CC(C)OCCC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075690031 739902415 /nfs/dbraw/zinc/90/24/15/739902415.db2.gz ZLQZVAOXIOZICX-LBPRGKRZSA-N -1 1 323.393 1.227 20 0 DDADMM CCCCC(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059170282 740314949 /nfs/dbraw/zinc/31/49/49/740314949.db2.gz WFMXPIWTPAOEGT-CYBMUJFWSA-N -1 1 319.405 1.946 20 0 DDADMM Cc1nsc(N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001059196686 740362079 /nfs/dbraw/zinc/36/20/79/740362079.db2.gz AMJVPRDAMCHQJJ-KYZUINATSA-N -1 1 305.363 1.320 20 0 DDADMM O=C(N[C@H]1C[C@@H](Nc2ncncc2Cl)C1)c1ncccc1[O-] ZINC001059613348 741414936 /nfs/dbraw/zinc/41/49/36/741414936.db2.gz AUGVHDMWPXYFRQ-DTORHVGOSA-N -1 1 319.752 1.604 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)CC(C)(C)C)CC1 ZINC001029935456 741607398 /nfs/dbraw/zinc/60/73/98/741607398.db2.gz DJYVPTWHUHATMH-UHFFFAOYSA-N -1 1 323.441 1.617 20 0 DDADMM CN(C(=O)c1ccc(OCc2ccccn2)cc1)c1nn[n-]n1 ZINC001168358198 742277150 /nfs/dbraw/zinc/27/71/50/742277150.db2.gz HQZSZZUOYPXXTH-UHFFFAOYSA-N -1 1 310.317 1.450 20 0 DDADMM O=C([O-])c1cc(Cl)ccc1CN1CCc2nncn2CC1 ZINC001142647209 742480839 /nfs/dbraw/zinc/48/08/39/742480839.db2.gz RUENTYXLMYETIN-UHFFFAOYSA-N -1 1 306.753 1.688 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076247413 742619778 /nfs/dbraw/zinc/61/97/78/742619778.db2.gz MLPRLOSKQBDLGY-QJPTWQEYSA-N -1 1 305.378 1.458 20 0 DDADMM CC[C@@H](C)OCC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076245290 742624677 /nfs/dbraw/zinc/62/46/77/742624677.db2.gz WFYXMFQJIIQNJT-NWDGAFQWSA-N -1 1 323.393 1.227 20 0 DDADMM O=C(NC[C@@H]1CCCCN1c1ncccn1)c1ncccc1[O-] ZINC001060136148 742958463 /nfs/dbraw/zinc/95/84/63/742958463.db2.gz SXHOKDLILMYAOB-LBPRGKRZSA-N -1 1 313.361 1.366 20 0 DDADMM Cc1cncc(C=CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC001168696960 743213179 /nfs/dbraw/zinc/21/31/79/743213179.db2.gz YTEPVUBHGHAJAU-WAVCKPEOSA-N -1 1 320.356 1.822 20 0 DDADMM Cc1ccsc1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998412015 751814862 /nfs/dbraw/zinc/81/48/62/751814862.db2.gz JFEGUPHYAYHNMI-SNVBAGLBSA-N -1 1 321.406 1.275 20 0 DDADMM C[C@H]1C(=O)OC[C@@H]1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001182075767 743470928 /nfs/dbraw/zinc/47/09/28/743470928.db2.gz AZIRYGAGUPBDHN-KOLCDFICSA-N -1 1 301.302 1.326 20 0 DDADMM C[C@H]1C(=O)OC[C@@H]1C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001182091144 743489709 /nfs/dbraw/zinc/48/97/09/743489709.db2.gz WYHUDAHUELTJLV-SKDRFNHKSA-N -1 1 301.302 1.326 20 0 DDADMM CN(c1ncccn1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001061196419 743591705 /nfs/dbraw/zinc/59/17/05/743591705.db2.gz SZRLWQSCAJHISQ-LBPRGKRZSA-N -1 1 313.361 1.318 20 0 DDADMM O=C(C[C@@H](O)C(F)(F)F)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001184028871 743950782 /nfs/dbraw/zinc/95/07/82/743950782.db2.gz JLEYJKDOEFWZNW-SECBINFHSA-N -1 1 315.251 1.830 20 0 DDADMM CCOC(=O)c1c(C)[nH]nc1NC(=O)c1ccc([O-])c(F)c1 ZINC001186202857 744356332 /nfs/dbraw/zinc/35/63/32/744356332.db2.gz YMCKXYRWGNYBMT-UHFFFAOYSA-N -1 1 307.281 1.992 20 0 DDADMM O=C(NCc1ccc2c(c1)CC(=O)N2)c1ccc([O-])c(F)c1 ZINC001186242838 744362621 /nfs/dbraw/zinc/36/26/21/744362621.db2.gz QXGSLBKHTXFJLM-UHFFFAOYSA-N -1 1 300.289 1.956 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccccc1C1OCCO1 ZINC001187291894 744535229 /nfs/dbraw/zinc/53/52/29/744535229.db2.gz HDRBNINBRZSZJH-UHFFFAOYSA-N -1 1 301.364 1.510 20 0 DDADMM O=S(=O)([N-]CC1(c2ccc(F)cn2)CC1)c1nccs1 ZINC001187920274 744635458 /nfs/dbraw/zinc/63/54/58/744635458.db2.gz HNBCBZDJKSXULK-UHFFFAOYSA-N -1 1 313.379 1.687 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCc2ccccc2O1)c1nccs1 ZINC001187923211 744635900 /nfs/dbraw/zinc/63/59/00/744635900.db2.gz KCHOJGOZBBZOSW-LLVKDONJSA-N -1 1 310.400 1.815 20 0 DDADMM O=C(Nc1ccc2c(c1)CCNC2=O)c1ncc(C(F)(F)F)[n-]1 ZINC001188289356 744679206 /nfs/dbraw/zinc/67/92/06/744679206.db2.gz UFVXXPPPPXMWRS-UHFFFAOYSA-N -1 1 324.262 1.967 20 0 DDADMM O=C(Nc1ccc2c(c1)CCNC2=O)c1nc(C(F)(F)F)c[n-]1 ZINC001188289356 744679208 /nfs/dbraw/zinc/67/92/08/744679208.db2.gz UFVXXPPPPXMWRS-UHFFFAOYSA-N -1 1 324.262 1.967 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ccc(C(C)=O)c(OC)c1 ZINC001188389131 744697110 /nfs/dbraw/zinc/69/71/10/744697110.db2.gz TWOVMJUAYCVPCL-UHFFFAOYSA-N -1 1 315.347 1.203 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc(C(C)(C)C)nn3C)c1-2 ZINC001189023738 744812488 /nfs/dbraw/zinc/81/24/88/744812488.db2.gz NGJVELHELXCKSI-UHFFFAOYSA-N -1 1 313.365 1.318 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])Nc1nccnc1F ZINC001089278960 744875235 /nfs/dbraw/zinc/87/52/35/744875235.db2.gz WZNOFENEXGOOCM-ZJUUUORDSA-N -1 1 319.340 1.725 20 0 DDADMM CC(=O)Nc1ccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC001189898919 745048275 /nfs/dbraw/zinc/04/82/75/745048275.db2.gz OKYNYAQUFCYLCH-UHFFFAOYSA-N -1 1 314.227 1.429 20 0 DDADMM O=C1Cc2cc([N-]S(=O)(=O)c3ccncc3)ccc2CN1 ZINC001190903607 745380098 /nfs/dbraw/zinc/38/00/98/745380098.db2.gz HYLOZERVRMXVOH-UHFFFAOYSA-N -1 1 303.343 1.055 20 0 DDADMM Cc1cccc2c1[C@H]([N-]S(=O)(=O)c1ccncc1)C(=O)N2 ZINC001190907069 745381490 /nfs/dbraw/zinc/38/14/90/745381490.db2.gz MRQOZWQSOPAUFQ-ZDUSSCGKSA-N -1 1 303.343 1.362 20 0 DDADMM COc1cc(C(=O)N2CCc3nn(C)cc3C2)cc(Cl)c1[O-] ZINC001191145141 745443946 /nfs/dbraw/zinc/44/39/46/745443946.db2.gz ZNXVNOWWZFIHRX-UHFFFAOYSA-N -1 1 321.764 1.986 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(-c2cccc(Cl)c2)n[nH]1 ZINC001191372506 745497975 /nfs/dbraw/zinc/49/79/75/745497975.db2.gz IHXOUHVLCDZEIR-UHFFFAOYSA-N -1 1 303.713 1.173 20 0 DDADMM COC(=O)c1cc(NC(=O)c2cnc(SC)[n-]c2=O)cn1C ZINC001191428137 745509464 /nfs/dbraw/zinc/50/94/64/745509464.db2.gz BOUVGHHVXJUXTH-UHFFFAOYSA-N -1 1 322.346 1.282 20 0 DDADMM CSc1ncc(C(=O)NCCO[C@H]2CCCCO2)c(=O)[n-]1 ZINC001191439881 745512803 /nfs/dbraw/zinc/51/28/03/745512803.db2.gz GDPFZBPEUXQSGL-JTQLQIEISA-N -1 1 313.379 1.177 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2ncc(C)cc2F)cc1 ZINC001191578803 745543042 /nfs/dbraw/zinc/54/30/42/745543042.db2.gz FSRLWKSMMGMVES-UHFFFAOYSA-N -1 1 323.349 1.690 20 0 DDADMM Cc1cc(=O)oc(C)c1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001192513677 745803434 /nfs/dbraw/zinc/80/34/34/745803434.db2.gz XPTWLJAWBCDNJX-UHFFFAOYSA-N -1 1 306.234 1.108 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cnc(C(F)F)cn1 ZINC001192558976 745816175 /nfs/dbraw/zinc/81/61/75/745816175.db2.gz YKQHWTNGEOQURQ-UHFFFAOYSA-N -1 1 312.192 1.266 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2c(F)ccc(F)c2[O-])n[nH]1 ZINC001192695283 745864756 /nfs/dbraw/zinc/86/47/56/745864756.db2.gz XGUXSSJUPSVQNO-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1c(F)ccc(F)c1[O-] ZINC001192695286 745866515 /nfs/dbraw/zinc/86/65/15/745866515.db2.gz XKVDHFMDWNKKKK-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM O=C(N[C@H]1CCCN(Cc2nncs2)C1)c1ncccc1[O-] ZINC001007177570 752048957 /nfs/dbraw/zinc/04/89/57/752048957.db2.gz MVFCZIIPFXMJRZ-JTQLQIEISA-N -1 1 319.390 1.033 20 0 DDADMM Nc1nonc1[N-]C(=O)c1cc(Cl)cnc1Br ZINC001193262323 746034936 /nfs/dbraw/zinc/03/49/36/746034936.db2.gz UNJWHMJKAOUOCH-UHFFFAOYSA-N -1 1 318.518 1.715 20 0 DDADMM N#CNC(=O)c1ccc(NS(=O)(=O)c2ccccc2)cc1[O-] ZINC001193284234 746047085 /nfs/dbraw/zinc/04/70/85/746047085.db2.gz PSROISRMAAWGBW-UHFFFAOYSA-N -1 1 317.326 1.404 20 0 DDADMM O=S(=O)(CC1CCC1)[N-]c1ncccc1N1CCOCC1 ZINC001193478831 746115640 /nfs/dbraw/zinc/11/56/40/746115640.db2.gz ITRBHYUYBZXAFJ-UHFFFAOYSA-N -1 1 311.407 1.460 20 0 DDADMM CSc1ncc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1C ZINC001194340896 746358699 /nfs/dbraw/zinc/35/86/99/746358699.db2.gz PZPWGCFZWIAVFE-UHFFFAOYSA-N -1 1 316.346 1.736 20 0 DDADMM O=S(=O)([N-]Cc1ccnnc1)c1ncccc1C(F)(F)F ZINC001194578335 746413678 /nfs/dbraw/zinc/41/36/78/746413678.db2.gz MBZZJPGBWQKDPI-UHFFFAOYSA-N -1 1 318.280 1.369 20 0 DDADMM O=S(=O)([N-]Cc1cocn1)c1ncccc1C(F)(F)F ZINC001194578449 746413724 /nfs/dbraw/zinc/41/37/24/746413724.db2.gz OOUVTFFNMXSSQR-UHFFFAOYSA-N -1 1 307.253 1.567 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]c1cnc(C)nc1Cl ZINC001194676677 746435160 /nfs/dbraw/zinc/43/51/60/746435160.db2.gz PKLARASBBPHJFS-UHFFFAOYSA-N -1 1 314.754 1.643 20 0 DDADMM O=C(Nc1ccc2c(c1)CCNC2=O)c1c[n-]c(C(F)(F)F)n1 ZINC001194782864 746453840 /nfs/dbraw/zinc/45/38/40/746453840.db2.gz XKNVNZSQPZSLBS-UHFFFAOYSA-N -1 1 324.262 1.967 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnc(OC)c2Cl)n1 ZINC001195183263 746539700 /nfs/dbraw/zinc/53/97/00/746539700.db2.gz CJZGNTZUORYGPJ-UHFFFAOYSA-N -1 1 324.724 1.896 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1cnn(C)c1C(F)F ZINC001195256557 746558229 /nfs/dbraw/zinc/55/82/29/746558229.db2.gz RXRNEVGDDNHEAT-UHFFFAOYSA-N -1 1 313.264 1.510 20 0 DDADMM CC[C@H]1N(C(=O)c2nc(C)ccc2[O-])CCC[C@]12CCC(=O)N2 ZINC001195321082 746564183 /nfs/dbraw/zinc/56/41/83/746564183.db2.gz AZPVEIMPVYKVGN-DYVFJYSZSA-N -1 1 317.389 1.759 20 0 DDADMM CCOC(=O)c1ncc(NC(=O)c2nc(C)ccc2[O-])cn1 ZINC001195322877 746564910 /nfs/dbraw/zinc/56/49/10/746564910.db2.gz PVKGAOLSJYCFGS-UHFFFAOYSA-N -1 1 302.290 1.315 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2cc([O-])cnc2Cl)cn1 ZINC001195298260 746570448 /nfs/dbraw/zinc/57/04/48/746570448.db2.gz SQUZXBKGMGCKOT-UHFFFAOYSA-N -1 1 307.693 1.875 20 0 DDADMM Cn1nnc2cc(NC(=O)c3cc([O-])cnc3Cl)ccc21 ZINC001195309264 746572886 /nfs/dbraw/zinc/57/28/86/746572886.db2.gz PTSPYVODSWMIKW-UHFFFAOYSA-N -1 1 303.709 1.975 20 0 DDADMM CCCCOC(=O)[C@H](C)NC(=O)c1cc([O-])cnc1Cl ZINC001195313320 746574648 /nfs/dbraw/zinc/57/46/48/746574648.db2.gz YECUNKNJPVRIRJ-QMMMGPOBSA-N -1 1 300.742 1.902 20 0 DDADMM O=C(NCc1ccc2c(c1)CC(=O)N2)c1cc([O-])cnc1Cl ZINC001195316076 746574929 /nfs/dbraw/zinc/57/49/29/746574929.db2.gz YOIUZYUYVZMRTH-UHFFFAOYSA-N -1 1 317.732 1.865 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(OC(C)=O)cc2)cn1 ZINC001195725740 746677866 /nfs/dbraw/zinc/67/78/66/746677866.db2.gz SJARCKFGRVVQGM-UHFFFAOYSA-N -1 1 322.342 1.816 20 0 DDADMM Cc1sc(Br)cc1C(=O)NCc1nn[n-]n1 ZINC001195966175 746742185 /nfs/dbraw/zinc/74/21/85/746742185.db2.gz WAXRXHVYHNFBFK-UHFFFAOYSA-N -1 1 302.157 1.262 20 0 DDADMM O=C(Nc1ccc(C2=NCCO2)cc1)c1c[nH]c(=S)[n-]c1=O ZINC001196020987 746759174 /nfs/dbraw/zinc/75/91/74/746759174.db2.gz MTNZEXYGFIJLRZ-UHFFFAOYSA-N -1 1 316.342 1.500 20 0 DDADMM CSCC[C@@H](NC(=O)c1c[nH]c(=S)[n-]c1=O)C(C)(C)O ZINC001196023076 746759841 /nfs/dbraw/zinc/75/98/41/746759841.db2.gz DMXCEPLZSOLLLD-MRVPVSSYSA-N -1 1 317.436 1.093 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc(C(F)F)nc1 ZINC001196100115 746777245 /nfs/dbraw/zinc/77/72/45/746777245.db2.gz SVODTJFBRSTTQD-UHFFFAOYSA-N -1 1 306.232 1.643 20 0 DDADMM Cc1noc(C(C)C)c1C(=O)N=c1ncnc2[nH][n-]c(C)c1-2 ZINC001197345648 747132906 /nfs/dbraw/zinc/13/29/06/747132906.db2.gz FZZHVJKZGYVUEE-UHFFFAOYSA-N -1 1 300.322 1.707 20 0 DDADMM Cc1noc(C(F)(F)F)c1C(=O)[N-]c1oncc1C(N)=O ZINC001197593439 747215044 /nfs/dbraw/zinc/21/50/44/747215044.db2.gz WVSNSLYHOYAGCM-UHFFFAOYSA-N -1 1 304.184 1.341 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)c2cccc(Cl)n2)c(=O)[nH]1 ZINC001198199762 747405430 /nfs/dbraw/zinc/40/54/30/747405430.db2.gz RRYOCKRVJFYDNF-UHFFFAOYSA-N -1 1 300.727 1.340 20 0 DDADMM C=CS(=O)(=O)[N-]c1cc(Br)cnc1C(=O)OC ZINC001198570227 747530157 /nfs/dbraw/zinc/53/01/57/747530157.db2.gz XTZGHOYKWWUMAS-UHFFFAOYSA-N -1 1 321.152 1.516 20 0 DDADMM C=CS(=O)(=O)[N-]c1cc(OC)c(OC)cc1C(=O)OC ZINC001198602944 747545326 /nfs/dbraw/zinc/54/53/26/747545326.db2.gz QDVNKSQNEDKCQU-UHFFFAOYSA-N -1 1 301.320 1.376 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CC[C@@H](CNCc3ccon3)C2)c1[O-] ZINC000994879721 747805282 /nfs/dbraw/zinc/80/52/82/747805282.db2.gz GWLLFDZABXEACE-GHMZBOCLSA-N -1 1 319.365 1.100 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(Cc2ccns2)C1 ZINC001033035805 748001195 /nfs/dbraw/zinc/00/11/95/748001195.db2.gz JPXPRFKGMZGRSR-LLVKDONJSA-N -1 1 318.402 1.590 20 0 DDADMM O=S(=O)([N-]C1COC1)c1c(F)cccc1Br ZINC001200903090 748377979 /nfs/dbraw/zinc/37/79/79/748377979.db2.gz HCCVKTMMCOUWTB-UHFFFAOYSA-N -1 1 310.144 1.265 20 0 DDADMM CC(C)CC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202362073 748761611 /nfs/dbraw/zinc/76/16/11/748761611.db2.gz OVALLIVSCWNMQP-MNOVXSKESA-N -1 1 307.398 1.073 20 0 DDADMM C[C@@H]1CCN(C(=O)C(C)(C)C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004769061 748772339 /nfs/dbraw/zinc/77/23/39/748772339.db2.gz PXMXFLZZOZXLJE-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1nsc(N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001061585601 748887845 /nfs/dbraw/zinc/88/78/45/748887845.db2.gz ABARHRVMFPENNJ-JTQLQIEISA-N -1 1 319.390 1.203 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])c1ncc(Cl)cn1 ZINC001095540363 750024914 /nfs/dbraw/zinc/02/49/14/750024914.db2.gz OYTCARFBOMVDQJ-UHFFFAOYSA-N -1 1 321.768 1.487 20 0 DDADMM C[C@H](CNc1cncc(Cl)n1)NC(=O)c1ncccc1[O-] ZINC001107690005 750384315 /nfs/dbraw/zinc/38/43/15/750384315.db2.gz GOAXTRQVGFKSSF-MRVPVSSYSA-N -1 1 307.741 1.461 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017226504 750628115 /nfs/dbraw/zinc/62/81/15/750628115.db2.gz KGIHSYIVBWCYCB-OLZOCXBDSA-N -1 1 323.441 1.473 20 0 DDADMM Cc1ccc(NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC001060856578 751020502 /nfs/dbraw/zinc/02/05/02/751020502.db2.gz LZTYBQMCCWMRCJ-GFCCVEGCSA-N -1 1 313.361 1.460 20 0 DDADMM O=C(C[C@@H]1C[C@H]1C1CC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000997500200 751021783 /nfs/dbraw/zinc/02/17/83/751021783.db2.gz NQIQXJQYYVYZKM-AAEUAGOBSA-N -1 1 315.373 1.164 20 0 DDADMM CC(C)CC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008072533 752533494 /nfs/dbraw/zinc/53/34/94/752533494.db2.gz VVQPBJZLRMIXAG-ZDUSSCGKSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)=C1CCC1 ZINC000999120680 752546189 /nfs/dbraw/zinc/54/61/89/752546189.db2.gz LTAIWVINGJXFBF-LBPRGKRZSA-N -1 1 305.382 1.091 20 0 DDADMM C[C@@]1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC=CCC1 ZINC000999239771 752693884 /nfs/dbraw/zinc/69/38/84/752693884.db2.gz JPENENMXEDUEHZ-MLGOLLRUSA-N -1 1 319.409 1.337 20 0 DDADMM CC(C)=CC(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008972333 753015316 /nfs/dbraw/zinc/01/53/16/753015316.db2.gz GEEGKGDHBRPLPI-XYYAHUGASA-N -1 1 315.373 1.188 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999682640 753062369 /nfs/dbraw/zinc/06/23/69/753062369.db2.gz KOPAPXVQUALMFT-IJLUTSLNSA-N -1 1 321.425 1.273 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC000999963480 753280200 /nfs/dbraw/zinc/28/02/00/753280200.db2.gz FFYSELUJLHXJPS-NSHDSACASA-N -1 1 307.398 1.027 20 0 DDADMM C[C@@H](CCNC(=O)[C@@]1(C)C=CCC1)NC(=O)c1ncccc1[O-] ZINC001077866500 753316161 /nfs/dbraw/zinc/31/61/61/753316161.db2.gz IXMOZYOSPXDJEK-SJCJKPOMSA-N -1 1 317.389 1.768 20 0 DDADMM CCc1cnc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001010724323 754124694 /nfs/dbraw/zinc/12/46/94/754124694.db2.gz DBTZFXGZPUMAIC-LLVKDONJSA-N -1 1 316.361 1.342 20 0 DDADMM Cc1ccc(NC[C@H]2CCCN2C(=O)c2ncccc2[O-])nn1 ZINC001063474659 754170918 /nfs/dbraw/zinc/17/09/18/754170918.db2.gz PWDKUNGVQJHSMI-GFCCVEGCSA-N -1 1 313.361 1.602 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C(C)(C)C)CCN1C(=O)c1ncccc1[O-] ZINC001011676260 754671649 /nfs/dbraw/zinc/67/16/49/754671649.db2.gz DWZGVEPTEVVIEW-GHMZBOCLSA-N -1 1 305.378 1.553 20 0 DDADMM Cc1oncc1CN1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001079610949 755472969 /nfs/dbraw/zinc/47/29/69/755472969.db2.gz GQLGCQDZVNZPOC-ZWNOBZJWSA-N -1 1 316.361 1.334 20 0 DDADMM C[C@@H]1CN(Cc2ccon2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001079611075 755473031 /nfs/dbraw/zinc/47/30/31/755473031.db2.gz MGBQFORUDHGEMH-ZYHUDNBSSA-N -1 1 302.334 1.026 20 0 DDADMM C[C@@H]1CN(Cc2cscn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001079612587 755488181 /nfs/dbraw/zinc/48/81/81/755488181.db2.gz WFEAZQYPQSTLRU-ZYHUDNBSSA-N -1 1 318.402 1.494 20 0 DDADMM O=C(N[C@@]12CCC[C@@H]1N(C(=O)c1ncccc1[O-])CC2)C1CC1 ZINC001014123650 755612809 /nfs/dbraw/zinc/61/28/09/755612809.db2.gz GVETWEIGJYGPHG-SUMWQHHRSA-N -1 1 315.373 1.451 20 0 DDADMM Cc1ccnc(N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)n1 ZINC001067077076 755710123 /nfs/dbraw/zinc/71/01/23/755710123.db2.gz XFBYPSMTKFMRTN-PWSUYJOCSA-N -1 1 313.361 1.458 20 0 DDADMM Cc1cnc(C)nc1N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001097765691 757512073 /nfs/dbraw/zinc/51/20/73/757512073.db2.gz WFXJCVUAHWTJLN-JTQLQIEISA-N -1 1 301.350 1.424 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)c2ccco2)C1 ZINC001017034761 757579577 /nfs/dbraw/zinc/57/95/77/757579577.db2.gz ZEYOHQQZETZWKX-LLVKDONJSA-N -1 1 319.365 1.088 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017055157 757598407 /nfs/dbraw/zinc/59/84/07/757598407.db2.gz HEZSGFIWQAFVHI-RYUDHWBXSA-N -1 1 309.414 1.227 20 0 DDADMM O=C([O-])Cn1cc(CNCCCOc2ccc(F)cc2)nn1 ZINC001119540043 757809173 /nfs/dbraw/zinc/80/91/73/757809173.db2.gz YGVFZXWVCVUIFO-UHFFFAOYSA-N -1 1 308.313 1.061 20 0 DDADMM C/C=C(\C)C(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400668 757899942 /nfs/dbraw/zinc/89/99/42/757899942.db2.gz SNRHIQHKWSLSAF-KGVSQERTSA-N -1 1 315.373 1.642 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CC1 ZINC001018098205 758545784 /nfs/dbraw/zinc/54/57/84/758545784.db2.gz BZKMYOFVSJIENS-JQWIXIFHSA-N -1 1 303.362 1.307 20 0 DDADMM CCC(=O)N1CC[C@@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001018453321 758846343 /nfs/dbraw/zinc/84/63/43/758846343.db2.gz VSEOTYXSVDRWFL-VXGBXAGGSA-N -1 1 305.378 1.412 20 0 DDADMM Cc1nc(CN[C@H]2CCN(C(=O)c3ncccc3[O-])C2)co1 ZINC001018756344 759227590 /nfs/dbraw/zinc/22/75/90/759227590.db2.gz OBCLQISFASWABT-NSHDSACASA-N -1 1 302.334 1.088 20 0 DDADMM CC(C)(CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001018812980 759295843 /nfs/dbraw/zinc/29/58/43/759295843.db2.gz YPXYEPACBVITQN-NSHDSACASA-N -1 1 307.398 1.027 20 0 DDADMM O=C([C@@H]1CC[C@@H]2C[C@@H]2CC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019178915 759652395 /nfs/dbraw/zinc/65/23/95/759652395.db2.gz HAMPRUSLAODIAI-RVMXOQNASA-N -1 1 319.409 1.027 20 0 DDADMM Cc1cc(CN2CC[C@H]2CN(C)C(=O)c2ncccc2[O-])no1 ZINC001085562681 759728943 /nfs/dbraw/zinc/72/89/43/759728943.db2.gz RMAFXNZXZSVGCN-ZDUSSCGKSA-N -1 1 316.361 1.430 20 0 DDADMM CC(C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)=C1CCCC1 ZINC001054549354 759798677 /nfs/dbraw/zinc/79/86/77/759798677.db2.gz MTWZOKWXPADKIS-ZWNOBZJWSA-N -1 1 319.409 1.337 20 0 DDADMM Cc1noc([C@@H](C)[NH2+][C@H]2C[C@H](NC(=O)c3ccccc3O)C2)n1 ZINC001019993101 760398046 /nfs/dbraw/zinc/39/80/46/760398046.db2.gz BPRMJOBZYGKZCF-YUSALJHKSA-N -1 1 316.361 1.695 20 0 DDADMM CCc1cnc(CN[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001020098660 760551534 /nfs/dbraw/zinc/55/15/34/760551534.db2.gz YDNOPYHHDCOLEW-XYPYZODXSA-N -1 1 316.361 1.388 20 0 DDADMM Cc1cncc(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])c1 ZINC001038194600 760909167 /nfs/dbraw/zinc/90/91/67/760909167.db2.gz PMTMZGFHPMWDHM-AWEZNQCLSA-N -1 1 312.373 1.495 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1c1ncccn1 ZINC001069359662 767990751 /nfs/dbraw/zinc/99/07/51/767990751.db2.gz PDZYONPKEMVGCQ-NWDGAFQWSA-N -1 1 313.361 1.365 20 0 DDADMM O=C([C@@H]1C[C@H]1C1CCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000432338 761737860 /nfs/dbraw/zinc/73/78/60/761737860.db2.gz MVKAYTPEDDZWQG-FRRDWIJNSA-N -1 1 319.409 1.027 20 0 DDADMM CC(C)CC(=O)N[C@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050531625 763718061 /nfs/dbraw/zinc/71/80/61/763718061.db2.gz USLAMDGAPJNFSS-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(Cc2ccccc2F)C1 ZINC001042643189 764305635 /nfs/dbraw/zinc/30/56/35/764305635.db2.gz XMENTJIYXWJTJV-UHFFFAOYSA-N -1 1 315.348 1.883 20 0 DDADMM O=C([O-])Cc1c[nH]c2cc(Cl)c(NC[C@@H]3COCCN3)cc12 ZINC001170047133 764390765 /nfs/dbraw/zinc/39/07/65/764390765.db2.gz KAJOFYPYQBQELJ-SNVBAGLBSA-N -1 1 323.780 1.849 20 0 DDADMM Cc1ccc(N[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])nn1 ZINC001112983570 765082740 /nfs/dbraw/zinc/08/27/40/765082740.db2.gz NIMQEORGXJJNGL-GHMZBOCLSA-N -1 1 301.350 1.505 20 0 DDADMM O=C(NCC1CC(Nc2nccnc2F)C1)c1ncccc1[O-] ZINC001051910777 765270911 /nfs/dbraw/zinc/27/09/11/765270911.db2.gz GEZWIOPKNRKQQI-UHFFFAOYSA-N -1 1 317.324 1.337 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cccc(-c4ccccn4)n3)c2[nH]1 ZINC001170212793 766172400 /nfs/dbraw/zinc/17/24/00/766172400.db2.gz AUIXWUWYRGBFPB-UHFFFAOYSA-N -1 1 321.300 1.916 20 0 DDADMM CN(CC1CC1)c1cncc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001170227646 766201017 /nfs/dbraw/zinc/20/10/17/766201017.db2.gz RIIGYBUFPLQROF-UHFFFAOYSA-N -1 1 312.337 1.438 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccn(-c3cccnc3)c(=O)c2)[n-]1 ZINC001170229224 766204156 /nfs/dbraw/zinc/20/41/56/766204156.db2.gz OMKABSSJXMRHFQ-UHFFFAOYSA-N -1 1 321.300 1.348 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC[C@H](c2cccc(C)c2)C1 ZINC001121673848 782621212 /nfs/dbraw/zinc/62/12/12/782621212.db2.gz OMWKMMDYRFAYHC-ZDUSSCGKSA-N -1 1 324.392 1.780 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC[C@H](c2cccc(C)c2)C1 ZINC001121673848 782621218 /nfs/dbraw/zinc/62/12/18/782621218.db2.gz OMWKMMDYRFAYHC-ZDUSSCGKSA-N -1 1 324.392 1.780 20 0 DDADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cc2sccc2s1 ZINC001130283981 767422484 /nfs/dbraw/zinc/42/24/84/767422484.db2.gz MCFOFOVJUMOWLX-UHFFFAOYSA-N -1 1 323.403 1.306 20 0 DDADMM CC(C)c1cccc(CC(=O)NCCNCc2n[nH]c(=O)[n-]2)c1 ZINC001130827614 767748494 /nfs/dbraw/zinc/74/84/94/767748494.db2.gz NKXPQOHHVMISMD-UHFFFAOYSA-N -1 1 317.393 1.082 20 0 DDADMM Cc1nccc(N[C@@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)n1 ZINC001069062882 767810588 /nfs/dbraw/zinc/81/05/88/767810588.db2.gz KPLHKILHQLMWLG-CMPLNLGQSA-N -1 1 313.361 1.601 20 0 DDADMM CC(C)[C@@H](C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648783 768643315 /nfs/dbraw/zinc/64/33/15/768643315.db2.gz XIRIPJYLACRHOO-CHWSQXEVSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1(CF)CC1 ZINC001071952407 770336615 /nfs/dbraw/zinc/33/66/15/770336615.db2.gz LPUSCTRGLHSHEH-GHMZBOCLSA-N -1 1 321.352 1.114 20 0 DDADMM COc1ccccc1-c1ccc(C(=O)Nc2nnn[n-]2)c(=O)[nH]1 ZINC001136946767 772219466 /nfs/dbraw/zinc/21/94/66/772219466.db2.gz LSTOGIUFZDMESU-UHFFFAOYSA-N -1 1 312.289 1.228 20 0 DDADMM COc1ccccc1-c1ccc(C(=O)Nc2nn[n-]n2)c(=O)[nH]1 ZINC001136946767 772219470 /nfs/dbraw/zinc/21/94/70/772219470.db2.gz LSTOGIUFZDMESU-UHFFFAOYSA-N -1 1 312.289 1.228 20 0 DDADMM O=C(C[C@H]1C[C@@H]2CC[C@H]1C2)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001144301065 772475110 /nfs/dbraw/zinc/47/51/10/772475110.db2.gz MJGRQNDGRKZHHM-GJMOJQLCSA-N -1 1 303.322 1.481 20 0 DDADMM Cc1cc2c(cn1)[C@@H](NC(=O)c1c(CO)cnc(C)c1[O-])CC2 ZINC001147848225 773268597 /nfs/dbraw/zinc/26/85/97/773268597.db2.gz HNWKSJDNNSUNIM-AWEZNQCLSA-N -1 1 313.357 1.709 20 0 DDADMM COC[C@H](NC(=O)c1ccc(-n2[n-]c(C)cc2=O)cc1)C1CC1 ZINC001148341348 773443944 /nfs/dbraw/zinc/44/39/44/773443944.db2.gz ZHJOVXZMTHBBCR-HNNXBMFYSA-N -1 1 315.373 1.954 20 0 DDADMM Cc1cc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc(C)c1O ZINC001148562393 773524227 /nfs/dbraw/zinc/52/42/27/773524227.db2.gz RZBCUVBOQIIEHC-UHFFFAOYSA-N -1 1 315.289 1.290 20 0 DDADMM COC(=O)[C@@]1(C)CN(C(=O)c2cc(C)cc(C=O)c2[O-])C[C@@H]1C ZINC001171385276 774267370 /nfs/dbraw/zinc/26/73/70/774267370.db2.gz NLYRXEZDTPYHFV-GTNSWQLSSA-N -1 1 319.357 1.784 20 0 DDADMM Cc1cc(NCCNC(=O)c2ncccc2[O-])nc(C2CC2)n1 ZINC001093563175 774891031 /nfs/dbraw/zinc/89/10/31/774891031.db2.gz YFFFGBCIRHNJRY-UHFFFAOYSA-N -1 1 313.361 1.605 20 0 DDADMM Cn1ncc2cc([N-]S(=O)(=O)c3cccc(N)c3)ccc21 ZINC001175420792 777783643 /nfs/dbraw/zinc/78/36/43/777783643.db2.gz AADYOFRSDJMADR-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM Cn1cc2c(cccc2[N-]S(=O)(=O)c2ccc(N)cc2)n1 ZINC001175594803 777836472 /nfs/dbraw/zinc/83/64/72/777836472.db2.gz QRLVJLUCJMXZSU-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM Cc1[nH]nc2cc(NS(=O)(=O)c3ccc(O)c(N)c3)ccc12 ZINC001175662231 777867096 /nfs/dbraw/zinc/86/70/96/777867096.db2.gz ANVRQVXXISADGG-UHFFFAOYSA-N -1 1 318.358 1.960 20 0 DDADMM COc1cncc(CCC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001175775296 777906558 /nfs/dbraw/zinc/90/65/58/777906558.db2.gz GFLKXGRWTDEHOT-UHFFFAOYSA-N -1 1 319.277 1.261 20 0 DDADMM CCC(CC)CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102483875 778165711 /nfs/dbraw/zinc/16/57/11/778165711.db2.gz KTEJZZQWHMAWFF-DGCLKSJQSA-N -1 1 323.441 1.521 20 0 DDADMM CCOC(=O)c1c2c(sc1NC(=O)Cc1nn[n-]n1)CCC2 ZINC001176838438 778268887 /nfs/dbraw/zinc/26/88/87/778268887.db2.gz WCUCKWLCUOACAU-UHFFFAOYSA-N -1 1 321.362 1.108 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1ccc(OC[C@H]2CCCO2)c(F)c1 ZINC001176842937 778272376 /nfs/dbraw/zinc/27/23/76/778272376.db2.gz VTFYNFDQKIHFTA-SNVBAGLBSA-N -1 1 321.312 1.078 20 0 DDADMM C/C=C(\C)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102839604 778437167 /nfs/dbraw/zinc/43/71/67/778437167.db2.gz ZCBNYEHNQFQTOA-LGSVWZNTSA-N -1 1 307.398 1.051 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1cc(F)ncn1 ZINC001103068376 778615955 /nfs/dbraw/zinc/61/59/55/778615955.db2.gz CWJWKWHUDAVSHZ-SECBINFHSA-N -1 1 305.313 1.337 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)C(F)(F)C(F)(F)Cl ZINC001179774368 779472853 /nfs/dbraw/zinc/47/28/53/779472853.db2.gz LFSPWTGQAOUILP-UHFFFAOYSA-N -1 1 317.626 1.992 20 0 DDADMM O=C(CCC(F)=C(F)F)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001180281258 779703581 /nfs/dbraw/zinc/70/35/81/779703581.db2.gz AKXNKMBRDIEZHR-UHFFFAOYSA-N -1 1 303.200 1.512 20 0 DDADMM Cc1ccccc1O[C@@H](C)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001180519082 779799019 /nfs/dbraw/zinc/79/90/19/779799019.db2.gz ULKRQNKPBADOMW-QMMMGPOBSA-N -1 1 304.306 1.184 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H]2CCCC23OCCO3)c1 ZINC001301123015 844098554 /nfs/dbraw/zinc/09/85/54/844098554.db2.gz WUNODCVLWOLBIW-ZDUSSCGKSA-N -1 1 305.330 1.539 20 0 DDADMM Cc1occc1C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001267497632 838183477 /nfs/dbraw/zinc/18/34/77/838183477.db2.gz HFDLZQCSVPGINH-UHFFFAOYSA-N -1 1 321.381 1.442 20 0 DDADMM CC(C)N(CCCNC(=O)C[C@@H]1C=CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001267498012 838184808 /nfs/dbraw/zinc/18/48/08/838184808.db2.gz NAJZBGBWLZCOAL-CYBMUJFWSA-N -1 1 321.425 1.583 20 0 DDADMM CC/C(C)=C\C(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001267730386 838770148 /nfs/dbraw/zinc/77/01/48/838770148.db2.gz UTXZLGAKARRPTC-XFXZXTDPSA-N -1 1 321.425 1.537 20 0 DDADMM CCCCN1CC[C@@]2(CCCN2C(=O)c2ncccc2[O-])C1=O ZINC001268843392 840891912 /nfs/dbraw/zinc/89/19/12/840891912.db2.gz VAYXBXBHBYRGEF-KRWDZBQOSA-N -1 1 317.389 1.794 20 0 DDADMM NC(=O)C1=CC2(CC1)CCN(C(=O)c1ccc([O-])cn1)CC2 ZINC001270138894 842326472 /nfs/dbraw/zinc/32/64/72/842326472.db2.gz LNEKLQACDBNFDR-UHFFFAOYSA-N -1 1 301.346 1.215 20 0 DDADMM Cc1ccc(CN2CCCN(C(=O)[C@H](C)C(=O)[O-])CC2)cc1 ZINC001326487625 861403819 /nfs/dbraw/zinc/40/38/19/861403819.db2.gz VGBMYWJZRGUWCV-AWEZNQCLSA-N -1 1 304.390 1.750 20 0 DDADMM CCOC(=O)[C@H](Oc1[n-]c(=O)nc2c1COC2)C(F)(F)F ZINC001227686479 843611812 /nfs/dbraw/zinc/61/18/12/843611812.db2.gz QKMGNCZAMCELDH-ZETCQYMHSA-N -1 1 308.212 1.085 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CCOC(C)C ZINC001409261967 844728249 /nfs/dbraw/zinc/72/82/49/844728249.db2.gz WSVSMPKJGLCXIR-GFCCVEGCSA-N -1 1 323.393 1.227 20 0 DDADMM C[C@@]1(CO)CN(C(=O)c2ccc3cccnc3c2[O-])CC[C@@H]1O ZINC001149319083 861545857 /nfs/dbraw/zinc/54/58/57/861545857.db2.gz IEQIEZYLPMNNMW-GUYCJALGSA-N -1 1 316.357 1.146 20 0 DDADMM CC1(C)OCC(NC(=O)c2ccc3cccnc3c2[O-])CO1 ZINC001149326167 861547973 /nfs/dbraw/zinc/54/79/73/861547973.db2.gz BEFFGOHLLIIASK-UHFFFAOYSA-N -1 1 302.330 1.822 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn(CC3CC3)c2)n1 ZINC001361436488 845705922 /nfs/dbraw/zinc/70/59/22/845705922.db2.gz AEPVINJUCGVSGJ-UHFFFAOYSA-N -1 1 303.322 1.445 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001149500338 861660974 /nfs/dbraw/zinc/66/09/74/861660974.db2.gz KBWFYGSUWFEKJP-LQYUOIDQSA-N -1 1 324.319 1.653 20 0 DDADMM CN1CCOC[C@@H]1CNC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149656849 861789325 /nfs/dbraw/zinc/78/93/25/861789325.db2.gz PWVOMRQEFARWBN-LBPRGKRZSA-N -1 1 316.357 1.311 20 0 DDADMM CC(C)[C@H](F)C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001410691820 849654195 /nfs/dbraw/zinc/65/41/95/849654195.db2.gz KGFGKULNTLGJEG-NSHDSACASA-N -1 1 309.341 1.160 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4[nH]ccc4c3F)c1-2 ZINC001155411409 862057286 /nfs/dbraw/zinc/05/72/86/862057286.db2.gz XBBVQGTYXIKMIN-UHFFFAOYSA-N -1 1 310.292 1.908 20 0 DDADMM CCC[C@@H](C)C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001410989398 850066262 /nfs/dbraw/zinc/06/62/62/850066262.db2.gz AKUPQZGDSSQTPD-SJKOYZFVSA-N -1 1 319.405 1.944 20 0 DDADMM O=S(=O)([N-][C@@H]1CCc2ccccc2[C@H]1O)c1nccs1 ZINC001187912331 851086651 /nfs/dbraw/zinc/08/66/51/851086651.db2.gz FKSCTAAUUFRSHI-VXGBXAGGSA-N -1 1 310.400 1.470 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1cc(NC(C)=O)ccc1F ZINC001411366145 851764815 /nfs/dbraw/zinc/76/48/15/851764815.db2.gz AKGPXLJLWDMOBZ-UHFFFAOYSA-N -1 1 320.280 1.546 20 0 DDADMM Cc1cccn2ncc(C(=O)Nc3[nH]c(=O)[n-]c(=O)c3N=O)c12 ZINC001155615481 862292586 /nfs/dbraw/zinc/29/25/86/862292586.db2.gz JKGKQYLPWCJAQB-UHFFFAOYSA-N -1 1 314.261 1.494 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@H]3COCC[C@@H]3O)c([O-])c2n1 ZINC001155660374 862352096 /nfs/dbraw/zinc/35/20/96/862352096.db2.gz RSPDJQWQIDGNCQ-STQMWFEESA-N -1 1 302.330 1.128 20 0 DDADMM Cc1cc(C)c(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c(=O)o1 ZINC001411550023 853346765 /nfs/dbraw/zinc/34/67/65/853346765.db2.gz VNFJCEOOQWGBLN-UHFFFAOYSA-N -1 1 302.212 1.646 20 0 DDADMM CC(=CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)c1cnccn1 ZINC001275597829 853416672 /nfs/dbraw/zinc/41/66/72/853416672.db2.gz UAGOHQPEMXQLMJ-CSKARUKUSA-N -1 1 311.345 1.893 20 0 DDADMM COC(=O)Cn1ccc(NC(=O)c2c([O-])cccc2OC)n1 ZINC001411741937 853664198 /nfs/dbraw/zinc/66/41/98/853664198.db2.gz IVWYKYDESASGNJ-UHFFFAOYSA-N -1 1 305.290 1.023 20 0 DDADMM CCO[C@@H]1C[C@H](O)C12CCN(C(=O)c1ccc(F)c([O-])c1)CC2 ZINC001411837604 853808809 /nfs/dbraw/zinc/80/88/09/853808809.db2.gz VNSQYWUWNYNASW-LSDHHAIUSA-N -1 1 323.364 1.923 20 0 DDADMM CCC[C@H](NC(=O)c1cc(=O)[nH]c2c1CCCC2)c1nn[n-]n1 ZINC001411855997 853845939 /nfs/dbraw/zinc/84/59/39/853845939.db2.gz JQMPAZJHJVPGFT-LBPRGKRZSA-N -1 1 316.365 1.450 20 0 DDADMM NC(=O)[C@H]1COCCC12CN(C(=O)c1ccc(Cl)cc1[O-])C2 ZINC001275934402 853979233 /nfs/dbraw/zinc/97/92/33/853979233.db2.gz NAGPCDBTKQZRNW-LLVKDONJSA-N -1 1 324.764 1.010 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001411942535 854009546 /nfs/dbraw/zinc/00/95/46/854009546.db2.gz AYZYDFARFHLPAT-BDAKNGLRSA-N -1 1 307.350 1.377 20 0 DDADMM C[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCCCCC1 ZINC001411999811 854092121 /nfs/dbraw/zinc/09/21/21/854092121.db2.gz IFNUDEQYFCPXAL-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CN1CCO[C@]2(CCCN(Cc3cc(C(=O)[O-])ccc3F)C2)C1 ZINC001276015603 854159057 /nfs/dbraw/zinc/15/90/57/854159057.db2.gz PDCSDOJVNOJLSN-QGZVFWFLSA-N -1 1 322.380 1.821 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](C)N(C)CC(F)(F)F)c1nn[n-]n1 ZINC001412109528 854228594 /nfs/dbraw/zinc/22/85/94/854228594.db2.gz MAPYIVDWPHNSMM-MRVPVSSYSA-N -1 1 322.335 1.214 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](O)c2ccccc2OC)n1 ZINC001412215518 854342445 /nfs/dbraw/zinc/34/24/45/854342445.db2.gz YIGFOHZQBFWVMJ-LBPRGKRZSA-N -1 1 319.317 1.267 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)c2nccc(C)n2)c(=O)[n-]1 ZINC001412254710 854380013 /nfs/dbraw/zinc/38/00/13/854380013.db2.gz XLSOPPJOVGWXGN-MRVPVSSYSA-N -1 1 305.363 1.494 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001412387008 854494981 /nfs/dbraw/zinc/49/49/81/854494981.db2.gz NHGGYNYSGAWUBB-SKDRFNHKSA-N -1 1 309.391 1.217 20 0 DDADMM Cc1ccc(NC(=O)c2ccc([O-])cn2)cc1NS(C)(=O)=O ZINC001412454828 854569835 /nfs/dbraw/zinc/56/98/35/854569835.db2.gz KLELWUVSKZLMAE-UHFFFAOYSA-N -1 1 321.358 1.719 20 0 DDADMM NS(=O)(=O)c1cc([N-]C(=O)c2scnc2Cl)cs1 ZINC001412466400 854585750 /nfs/dbraw/zinc/58/57/50/854585750.db2.gz CMTBURGIVRSPMZ-UHFFFAOYSA-N -1 1 323.808 1.758 20 0 DDADMM NC(=O)[C@H]1COCCC12CN(C(=O)c1cccc([O-])c1Cl)C2 ZINC001276049838 854674638 /nfs/dbraw/zinc/67/46/38/854674638.db2.gz JGFHYITWZCWYGN-SNVBAGLBSA-N -1 1 324.764 1.010 20 0 DDADMM CSCc1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001412545105 854694661 /nfs/dbraw/zinc/69/46/61/854694661.db2.gz RIVNODJHVHLSSJ-UHFFFAOYSA-N -1 1 303.391 1.872 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H](C)CCSC ZINC001412576016 854744498 /nfs/dbraw/zinc/74/44/98/854744498.db2.gz LKCGBJULGPFYFQ-ZETCQYMHSA-N -1 1 301.368 1.110 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@H](NC(=O)c2ccc([O-])cc2F)C1 ZINC001412576632 854745842 /nfs/dbraw/zinc/74/58/42/854745842.db2.gz LCOVBYYXAMLFQL-GXSJLCMTSA-N -1 1 315.366 1.617 20 0 DDADMM COC(=O)[C@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C1CC1 ZINC001412616071 854818817 /nfs/dbraw/zinc/81/88/17/854818817.db2.gz DRITWAYYIOSPJU-CYBMUJFWSA-N -1 1 318.304 1.761 20 0 DDADMM O=C(N[C@H]1CCCC[C@H]1N1CCOCC1)c1ccc(F)c([O-])c1 ZINC001412647344 854874978 /nfs/dbraw/zinc/87/49/78/854874978.db2.gz SCVPITIQBVSZEU-LSDHHAIUSA-N -1 1 322.380 1.905 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(C(F)(F)F)ncn1)c1nn[n-]n1 ZINC001412648077 854877635 /nfs/dbraw/zinc/87/76/35/854877635.db2.gz XQIUOHSKCHVYMF-ZCFIWIBFSA-N -1 1 315.259 1.280 20 0 DDADMM CSc1ncc(C(=O)N(C)Cc2cccc(=O)[nH]2)c(=O)[n-]1 ZINC001412685267 854946576 /nfs/dbraw/zinc/94/65/76/854946576.db2.gz ZAJUXMBMMNMKRP-UHFFFAOYSA-N -1 1 306.347 1.277 20 0 DDADMM Cc1sc(Cl)nc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC001412790977 855272269 /nfs/dbraw/zinc/27/22/69/855272269.db2.gz DRTKMVOZJUFXCA-YFKPBYRVSA-N -1 1 300.775 1.494 20 0 DDADMM O=C(NCc1nc(C(F)(F)F)no1)c1ccc([O-])c(F)c1 ZINC001412811096 855292970 /nfs/dbraw/zinc/29/29/70/855292970.db2.gz XYYUWAXWTZNKLJ-UHFFFAOYSA-N -1 1 305.187 1.863 20 0 DDADMM CCC(CC)(NC(=O)c1cnn2c1CCCC2)c1nn[n-]n1 ZINC001412933163 855798058 /nfs/dbraw/zinc/79/80/58/855798058.db2.gz GMQQEPOZEOQZDM-UHFFFAOYSA-N -1 1 303.370 1.178 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cnc2c(F)cccc21)c1nc[nH]n1 ZINC001151338814 862736873 /nfs/dbraw/zinc/73/68/73/862736873.db2.gz SACGFEBLZSSCLF-SSDOTTSWSA-N -1 1 301.281 1.689 20 0 DDADMM C[C@@H](NC(=O)c1c([O-])cnc2c(F)cccc21)c1nnc[nH]1 ZINC001151338814 862736874 /nfs/dbraw/zinc/73/68/74/862736874.db2.gz SACGFEBLZSSCLF-SSDOTTSWSA-N -1 1 301.281 1.689 20 0 DDADMM Cc1ccnc(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)n1 ZINC001413141400 856476418 /nfs/dbraw/zinc/47/64/18/856476418.db2.gz JEEJSIUONRLXNS-UHFFFAOYSA-N -1 1 315.377 1.817 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4cn(C)nc4c3)c1-2 ZINC001151507923 862808935 /nfs/dbraw/zinc/80/89/35/862808935.db2.gz KQZOMVYVMUSEHC-UHFFFAOYSA-N -1 1 307.317 1.174 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H]2c2ncccn2)c(=O)[n-]1 ZINC001413290723 856612809 /nfs/dbraw/zinc/61/28/09/856612809.db2.gz LHFWPSWBWRHZEM-SNVBAGLBSA-N -1 1 317.374 1.671 20 0 DDADMM COc1cccc(C(=O)CCCC(=O)N(C)c2nn[n-]n2)c1 ZINC001413327082 856657635 /nfs/dbraw/zinc/65/76/35/856657635.db2.gz ODONVSQKHKXEAW-UHFFFAOYSA-N -1 1 303.322 1.224 20 0 DDADMM O=S(=O)([N-]CCC1CSC1)c1c[nH]nc1C(F)(F)F ZINC001413483286 856873167 /nfs/dbraw/zinc/87/31/67/856873167.db2.gz QQJYODHATXEEFI-UHFFFAOYSA-N -1 1 315.342 1.460 20 0 DDADMM COc1ncnc(OC)c1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001413527900 856935191 /nfs/dbraw/zinc/93/51/91/856935191.db2.gz URQIIGFBGYXTOG-UHFFFAOYSA-N -1 1 323.736 1.783 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CCC(F)F ZINC001413547357 857046556 /nfs/dbraw/zinc/04/65/56/857046556.db2.gz ZNRSCDVEVJPZDU-QMMMGPOBSA-N -1 1 316.308 1.690 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CCC(F)F ZINC001413547357 857046559 /nfs/dbraw/zinc/04/65/59/857046559.db2.gz ZNRSCDVEVJPZDU-QMMMGPOBSA-N -1 1 316.308 1.690 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CCC(F)F ZINC001413547357 857046561 /nfs/dbraw/zinc/04/65/61/857046561.db2.gz ZNRSCDVEVJPZDU-QMMMGPOBSA-N -1 1 316.308 1.690 20 0 DDADMM COC[C@@H](NC(=O)c1cc(Cl)sc1Cl)c1nn[n-]n1 ZINC001413555799 857059754 /nfs/dbraw/zinc/05/97/54/857059754.db2.gz PVKZBJJJHROHHK-RXMQYKEDSA-N -1 1 322.177 1.686 20 0 DDADMM Cc1cc2c(c(NCc3ccc4nonc4c3)n1)C(=O)[N-]C2=O ZINC001156266217 862881555 /nfs/dbraw/zinc/88/15/55/862881555.db2.gz KMRCFIAEUJGRPB-UHFFFAOYSA-N -1 1 309.285 1.422 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CCc2c[nH]cn2)[n-]c1=O ZINC001413767565 858504545 /nfs/dbraw/zinc/50/45/45/858504545.db2.gz OAOMIBBNCPVEPW-NSHDSACASA-N -1 1 317.349 1.210 20 0 DDADMM O=C([O-])CCCCNC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC001121840067 858609520 /nfs/dbraw/zinc/60/95/20/858609520.db2.gz HJVNRBCGMYMYOB-NEPJUHHUSA-N -1 1 315.439 1.120 20 0 DDADMM Cc1[nH]c2c(cccc2C(=O)N2CC[C@H](c3nn[n-]n3)C2)c1C ZINC001123962570 859499299 /nfs/dbraw/zinc/49/92/99/859499299.db2.gz ULPZMDQSKAKUGW-NSHDSACASA-N -1 1 310.361 1.928 20 0 DDADMM Cc1onc(CO)c1C(=O)[N-]c1nnc(-c2ccncc2)s1 ZINC001137720848 859966486 /nfs/dbraw/zinc/96/64/86/859966486.db2.gz WDJBIPLWNAOOIR-UHFFFAOYSA-N -1 1 317.330 1.641 20 0 DDADMM COC[C@H](O)CNC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC001139145518 860306595 /nfs/dbraw/zinc/30/65/95/860306595.db2.gz HSBWPHFWDXIIAF-LLVKDONJSA-N -1 1 319.313 1.202 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ncccc2SC)n1 ZINC001361382509 881538243 /nfs/dbraw/zinc/53/82/43/881538243.db2.gz CAFGGFDDVHKKDQ-UHFFFAOYSA-N -1 1 306.347 1.956 20 0 DDADMM O=C([O-])c1ccccc1CN1CC2CC1(C(=O)N1CCCC1)C2 ZINC001140502310 860646384 /nfs/dbraw/zinc/64/63/84/860646384.db2.gz FEJIBQIAMDJZSK-UHFFFAOYSA-N -1 1 314.385 1.972 20 0 DDADMM O=C1CN(Cc2cc(F)c([O-])c(F)c2)CCN1c1ccccn1 ZINC001140992131 860754022 /nfs/dbraw/zinc/75/40/22/860754022.db2.gz OLFUYYKYLHLPKU-UHFFFAOYSA-N -1 1 319.311 1.914 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@H](C)c2nccc(N)n2)c1 ZINC001141406790 860843114 /nfs/dbraw/zinc/84/31/14/860843114.db2.gz GRUCXWXHBNFUBF-SECBINFHSA-N -1 1 300.318 1.376 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1[nH]nc2cc(F)ccc21 ZINC001154344490 861022044 /nfs/dbraw/zinc/02/20/44/861022044.db2.gz XQEYSFCRCWHLQE-UHFFFAOYSA-N -1 1 318.224 1.553 20 0 DDADMM NC(=O)CO[N-]C(=O)Cc1c(Cl)cccc1Br ZINC001152778388 863534767 /nfs/dbraw/zinc/53/47/67/863534767.db2.gz BMKZMBWAILDQAV-UHFFFAOYSA-N -1 1 321.558 1.178 20 0 DDADMM COc1ccnc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)n1 ZINC001157157214 863619400 /nfs/dbraw/zinc/61/94/00/863619400.db2.gz VOJQDJRQOBYAMI-UHFFFAOYSA-N -1 1 321.273 1.570 20 0 DDADMM O=C([O-])COCCN1CCC(c2nc(C3CCC3)no2)CC1 ZINC001329644852 863769404 /nfs/dbraw/zinc/76/94/04/863769404.db2.gz YVJGGNRBQIJIIU-UHFFFAOYSA-N -1 1 309.366 1.618 20 0 DDADMM O=S(=O)([N-]C[C@H]1COCO1)c1cnc(Cl)cc1Cl ZINC001329785704 863855003 /nfs/dbraw/zinc/85/50/03/863855003.db2.gz GFVLUKGGZWYUOH-LURJTMIESA-N -1 1 313.162 1.040 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc2ccc(C(F)(F)F)cc2n1 ZINC001153780555 864093936 /nfs/dbraw/zinc/09/39/36/864093936.db2.gz LWXOJDDDYUYMNX-UHFFFAOYSA-N -1 1 322.250 1.697 20 0 DDADMM O=C(Nc1ncnc2[nH]ccc21)c1cnc2cccnc2c1[O-] ZINC001153850412 864156208 /nfs/dbraw/zinc/15/62/08/864156208.db2.gz BCLLXWFLVIZQFY-UHFFFAOYSA-N -1 1 306.285 1.447 20 0 DDADMM O=C(C[C@H]1C=CS(=O)(=O)C1)Nc1ccc([O-])c(F)c1F ZINC001157811732 864162386 /nfs/dbraw/zinc/16/23/86/864162386.db2.gz QAVNSFOMTLKEMA-SSDOTTSWSA-N -1 1 303.286 1.557 20 0 DDADMM C[C@H](CCCC(C)(C)O)NC(=O)c1c[n-]c2cccnc2c1=O ZINC001153862541 864174382 /nfs/dbraw/zinc/17/43/82/864174382.db2.gz YFAYBFLBTSDATA-LLVKDONJSA-N -1 1 317.389 1.983 20 0 DDADMM O=C(NC1=CCOC1=O)c1cc(=O)c2cc(Cl)ccc2[n-]1 ZINC001153907784 864213895 /nfs/dbraw/zinc/21/38/95/864213895.db2.gz ATZWKNXRYCSRLU-UHFFFAOYSA-N -1 1 304.689 1.352 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001158171226 864469718 /nfs/dbraw/zinc/46/97/18/864469718.db2.gz ONPVJGVZSIZSIX-OCCSQVGLSA-N -1 1 319.357 1.975 20 0 DDADMM CCOC(=O)c1cccc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159192367 865157359 /nfs/dbraw/zinc/15/73/59/865157359.db2.gz NDBWNLKVIZYYAA-UHFFFAOYSA-N -1 1 300.278 1.374 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)/C=C/C(C)(C)C ZINC001331973282 865473717 /nfs/dbraw/zinc/47/37/17/865473717.db2.gz UIJGVFRMNPYJJJ-PVTPVBHGSA-N -1 1 307.398 1.192 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCCn1cccn1 ZINC001332053546 865534740 /nfs/dbraw/zinc/53/47/40/865534740.db2.gz QYEPBGABQACTMU-UHFFFAOYSA-N -1 1 307.379 1.229 20 0 DDADMM CN(C(=O)c1ccc(/C=C\C(=O)[O-])o1)[C@H]1CN2CCC1CC2 ZINC001332654287 866026600 /nfs/dbraw/zinc/02/66/00/866026600.db2.gz UXEPNHCQQPOSNS-DXTPNHAXSA-N -1 1 304.346 1.544 20 0 DDADMM C[C@@H]1CCC[C@H]1NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001332808591 866159287 /nfs/dbraw/zinc/15/92/87/866159287.db2.gz RDICYYIDWIUWCI-BXKDBHETSA-N -1 1 310.781 1.967 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@@H]1CC=CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001319894993 866369142 /nfs/dbraw/zinc/36/91/42/866369142.db2.gz HLXSHKUDNGKAFS-NEPJUHHUSA-N -1 1 307.398 1.097 20 0 DDADMM CC(C)CO[N-]C(=O)c1cc(=O)n(C)cc1Br ZINC001334250276 867426394 /nfs/dbraw/zinc/42/63/94/867426394.db2.gz XQFJARCANBMJNA-UHFFFAOYSA-N -1 1 303.156 1.465 20 0 DDADMM CCCCNC(=O)CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC001335259896 868183900 /nfs/dbraw/zinc/18/39/00/868183900.db2.gz OBGHMSXZIRAHFB-NSHDSACASA-N -1 1 308.382 1.244 20 0 DDADMM CN(Cc1nc(=O)o[n-]1)C(=O)c1cc(-c2ccc(F)cc2)[nH]n1 ZINC001163340267 868373551 /nfs/dbraw/zinc/37/35/51/868373551.db2.gz VSACTFXLCIRWSO-UHFFFAOYSA-N -1 1 317.280 1.164 20 0 DDADMM CC(C)(C)/C=C/C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163831145 868742335 /nfs/dbraw/zinc/74/23/35/868742335.db2.gz RHUIWRRHSVKOJK-SNAWJCMRSA-N -1 1 321.425 1.489 20 0 DDADMM CON(C)C(=O)c1cccc(NCC[N-]C(=O)C(F)(F)F)c1 ZINC001164246147 869055136 /nfs/dbraw/zinc/05/51/36/869055136.db2.gz QCTADKRUQKBXEV-UHFFFAOYSA-N -1 1 319.283 1.410 20 0 DDADMM COC(=O)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1C ZINC001361769512 882336447 /nfs/dbraw/zinc/33/64/47/882336447.db2.gz PVZYFLPFTWIKDA-UHFFFAOYSA-N -1 1 303.322 1.256 20 0 DDADMM COc1ccc2c(c1)C(=O)N(CC(=O)c1ccc([O-])cc1)C2=O ZINC001166473235 870013594 /nfs/dbraw/zinc/01/35/94/870013594.db2.gz AKLGLEQBBOOSRV-UHFFFAOYSA-N -1 1 311.293 1.880 20 0 DDADMM CCC(CC)(NC(=O)CCCNC(=O)C(C)(C)C)c1nn[n-]n1 ZINC001297488334 870072501 /nfs/dbraw/zinc/07/25/01/870072501.db2.gz VULWPFQSTORCTL-UHFFFAOYSA-N -1 1 324.429 1.274 20 0 DDADMM COc1cc(CCNCc2cn(CC(=O)[O-])nn2)ccc1C ZINC001339168673 870383793 /nfs/dbraw/zinc/38/37/93/870383793.db2.gz FHNSCKUTXANVJY-UHFFFAOYSA-N -1 1 304.350 1.012 20 0 DDADMM CN(C(=O)c1ccnc(NC(=O)OC(C)(C)C)c1)c1nn[n-]n1 ZINC001361805848 882407636 /nfs/dbraw/zinc/40/76/36/882407636.db2.gz PARRMUALNQATBM-UHFFFAOYSA-N -1 1 319.325 1.218 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CC[C@H](c2ccccc2)C1 ZINC001339352566 870456889 /nfs/dbraw/zinc/45/68/89/870456889.db2.gz VVGSTKAQRIXNFY-NSHDSACASA-N -1 1 312.333 1.152 20 0 DDADMM CCN(CCc1ccccc1)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001340191374 870948413 /nfs/dbraw/zinc/94/84/13/870948413.db2.gz STPCCIUCBRBBAW-UHFFFAOYSA-N -1 1 314.349 1.227 20 0 DDADMM O=C([N-]n1ccc(=O)[nH]c1=O)c1cc2c(cccc2F)s1 ZINC001301698376 871018246 /nfs/dbraw/zinc/01/82/46/871018246.db2.gz WNJHUSSFHQPCIL-UHFFFAOYSA-N -1 1 305.290 1.687 20 0 DDADMM Cc1onc(-c2ccccc2)c1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001302937249 871088703 /nfs/dbraw/zinc/08/87/03/871088703.db2.gz MCBAZOWPFQBZCV-LBPRGKRZSA-N -1 1 324.344 1.793 20 0 DDADMM COC[C@H](NC(=O)c1cc2cccc(Cl)c2o1)c1nn[n-]n1 ZINC001303580230 871140074 /nfs/dbraw/zinc/14/00/74/871140074.db2.gz STFCVRRQVSTGPC-VIFPVBQESA-N -1 1 321.724 1.717 20 0 DDADMM O=C(NC[C@@H](O)c1cncs1)c1ncc2ccccc2c1[O-] ZINC001303587126 871142626 /nfs/dbraw/zinc/14/26/26/871142626.db2.gz WPDBXBJVFBWQSF-LLVKDONJSA-N -1 1 315.354 1.860 20 0 DDADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)C1(C2CCCCC2)CC1 ZINC001317658636 871342554 /nfs/dbraw/zinc/34/25/54/871342554.db2.gz VYPJZFGRMFZFTI-UHFFFAOYSA-N -1 1 321.425 1.419 20 0 DDADMM CC(C)N(CCCNC(=O)C1(C)CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001317694630 871419385 /nfs/dbraw/zinc/41/93/85/871419385.db2.gz HZAAXCPXZKARAG-UHFFFAOYSA-N -1 1 323.441 1.807 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N(C)Cc1ccsc1 ZINC001341019648 871468615 /nfs/dbraw/zinc/46/86/15/871468615.db2.gz KNFPSUPOOKXAEN-UHFFFAOYSA-N -1 1 306.351 1.339 20 0 DDADMM C[C@@H](CN(C)c1nnc(-c2c[n-][nH]c2=O)n1C)c1nccs1 ZINC001341611082 871735272 /nfs/dbraw/zinc/73/52/72/871735272.db2.gz KPTHKGRCPDTEJI-QMMMGPOBSA-N -1 1 319.394 1.607 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2ccccc2[C@@H]1C ZINC001342588869 872279329 /nfs/dbraw/zinc/27/93/29/872279329.db2.gz GGHROIHPBAEPQS-JTQLQIEISA-N -1 1 310.365 1.602 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2ccccc2[C@@H]1C ZINC001342588869 872279343 /nfs/dbraw/zinc/27/93/43/872279343.db2.gz GGHROIHPBAEPQS-JTQLQIEISA-N -1 1 310.365 1.602 20 0 DDADMM CC1(C)CC(=O)CC[C@H]1Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226721315 882609957 /nfs/dbraw/zinc/60/99/57/882609957.db2.gz FIIAWWOCYPGMIX-GFCCVEGCSA-N -1 1 321.377 1.545 20 0 DDADMM CCOC(=O)c1nc2ccc(-c3cnn(CCO)c3)cc2[n-]1 ZINC001206586906 872456669 /nfs/dbraw/zinc/45/66/69/872456669.db2.gz SXPJEFIGVHFYGP-UHFFFAOYSA-N -1 1 300.318 1.595 20 0 DDADMM CCOC(=O)c1nc2cc(-c3cnn(CCO)c3)ccc2[n-]1 ZINC001206586906 872456678 /nfs/dbraw/zinc/45/66/78/872456678.db2.gz SXPJEFIGVHFYGP-UHFFFAOYSA-N -1 1 300.318 1.595 20 0 DDADMM CC(C)[C@H](NC(=O)c1ccc([O-])cc1F)C(=O)N1CCOCC1 ZINC000164640934 872868147 /nfs/dbraw/zinc/86/81/47/872868147.db2.gz DRCRAQDEOSTHSU-AWEZNQCLSA-N -1 1 324.352 1.145 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@]1(C(=O)[O-])CNCCO1)C1CCCCC1 ZINC001344369345 872989036 /nfs/dbraw/zinc/98/90/36/872989036.db2.gz STANWLFANQOTMS-LRDDRELGSA-N -1 1 312.410 1.104 20 0 DDADMM Cc1c([C@H](C)NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cnn1C ZINC001346162856 873629932 /nfs/dbraw/zinc/62/99/32/873629932.db2.gz CBNOVAMVXHJYSM-LURJTMIESA-N -1 1 307.379 1.312 20 0 DDADMM O=C(NC1(C(=O)NCC2CC2)CCCC1)c1ccc([O-])cn1 ZINC001361983093 882758377 /nfs/dbraw/zinc/75/83/77/882758377.db2.gz LAYOFIBMWMLMTD-UHFFFAOYSA-N -1 1 303.362 1.356 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC1(O)CCCCCC1 ZINC001347683588 874243880 /nfs/dbraw/zinc/24/38/80/874243880.db2.gz OPJDVGYOROAFCN-UHFFFAOYSA-N -1 1 311.407 1.594 20 0 DDADMM O=C(c1nc(Cl)sc1Cl)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347975738 874325235 /nfs/dbraw/zinc/32/52/35/874325235.db2.gz UHXIRFCICCFALS-SCSAIBSYSA-N -1 1 319.177 1.593 20 0 DDADMM CSc1ncc(C(=O)NCCc2nc(C)cs2)c(=O)[n-]1 ZINC001362017956 882835167 /nfs/dbraw/zinc/83/51/67/882835167.db2.gz WXVVLOUGKSPHHJ-UHFFFAOYSA-N -1 1 310.404 1.642 20 0 DDADMM CC[C@@H](CNC(=O)c1cccc(C)c1F)NCc1n[nH]c(=O)[n-]1 ZINC001378257170 874563569 /nfs/dbraw/zinc/56/35/69/874563569.db2.gz OMEJUWYCGGVMEZ-JTQLQIEISA-N -1 1 321.356 1.256 20 0 DDADMM O=C(NCCNC(=O)c1ncccc1[O-])c1occ2c1CCC2 ZINC001348838929 874820393 /nfs/dbraw/zinc/82/03/93/874820393.db2.gz MTWDVEYJLXLFDC-UHFFFAOYSA-N -1 1 315.329 1.029 20 0 DDADMM O=C(CC[C@H]1CC1(Cl)Cl)N1CC[C@H](c2nn[n-]n2)C1 ZINC001349001986 874917527 /nfs/dbraw/zinc/91/75/27/874917527.db2.gz HUFDSSOKMFKCJX-YUMQZZPRSA-N -1 1 304.181 1.490 20 0 DDADMM C[C@@H](C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001378747245 875535681 /nfs/dbraw/zinc/53/56/81/875535681.db2.gz IPKNJJCJLUUFQB-SNVBAGLBSA-N -1 1 303.362 1.212 20 0 DDADMM C[C@@H](Cn1ccc2ccccc21)C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001350222436 875585906 /nfs/dbraw/zinc/58/59/06/875585906.db2.gz FQZKORQXACABOJ-JSGCOSHPSA-N -1 1 324.388 1.807 20 0 DDADMM O=c1oc2cc([O-])ccc2cc1Nc1cnc(NCCO)nc1 ZINC001210897556 875586898 /nfs/dbraw/zinc/58/68/98/875586898.db2.gz VUFTVVDCEGQHBB-UHFFFAOYSA-N -1 1 314.301 1.436 20 0 DDADMM COC(=O)c1ccc(F)cc1Nc1c(N)[nH]c(=S)[n-]c1=O ZINC001211347626 875764490 /nfs/dbraw/zinc/76/44/90/875764490.db2.gz YFLZHNDKEJZKOO-UHFFFAOYSA-N -1 1 310.310 1.722 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CC=C(C(F)(F)F)CC1 ZINC001350907670 875953958 /nfs/dbraw/zinc/95/39/58/875953958.db2.gz HXJUZSJMQOOPEG-UHFFFAOYSA-N -1 1 314.271 1.610 20 0 DDADMM CC[C@@H](O)C(=O)Oc1cccc([O-])c1I ZINC001227380440 882989984 /nfs/dbraw/zinc/98/99/84/882989984.db2.gz LOLRAGTUMDZEAT-ZCFIWIBFSA-N -1 1 322.098 1.673 20 0 DDADMM CCC1(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C(C)C)CCC1 ZINC001378980297 876061513 /nfs/dbraw/zinc/06/15/13/876061513.db2.gz JFMXRSGQPOJNMV-NSHDSACASA-N -1 1 309.414 1.321 20 0 DDADMM C[C@@H](Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)c1cccc(N(C)C)c1 ZINC001227430510 883009883 /nfs/dbraw/zinc/00/98/83/883009883.db2.gz LKJMHQWRCRZRSW-MRVPVSSYSA-N -1 1 315.333 1.970 20 0 DDADMM O=c1[nH]c2nc(O[C@H]3COCc4ccccc43)[n-]c2c(=O)[nH]1 ZINC001227429500 883013137 /nfs/dbraw/zinc/01/31/37/883013137.db2.gz DCNCPNMMMMUWHR-VIFPVBQESA-N -1 1 300.274 1.414 20 0 DDADMM O=c1[nH]c2[n-]c(O[C@H]3COCc4ccccc43)nc2c(=O)[nH]1 ZINC001227429500 883013152 /nfs/dbraw/zinc/01/31/52/883013152.db2.gz DCNCPNMMMMUWHR-VIFPVBQESA-N -1 1 300.274 1.414 20 0 DDADMM CCOC(=O)N[C@@H](CNC(=O)c1ccc([O-])cn1)CC(C)C ZINC001362092282 883017419 /nfs/dbraw/zinc/01/74/19/883017419.db2.gz LMEQXWAEDTWGJB-LLVKDONJSA-N -1 1 309.366 1.678 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@]1(C)CCO[C@H]1C1CC1 ZINC001351774551 876426976 /nfs/dbraw/zinc/42/69/76/876426976.db2.gz AVZGQEQGIZOFQF-NHYWBVRUSA-N -1 1 323.418 1.714 20 0 DDADMM COC1(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CCCC1 ZINC001362101362 883040660 /nfs/dbraw/zinc/04/06/60/883040660.db2.gz CPGWCMSTIVMSHR-UHFFFAOYSA-N -1 1 317.349 1.676 20 0 DDADMM C[C@@H](CC(=O)c1ccc(F)cc1)NC(=O)CCc1nn[n-]n1 ZINC001362102820 883042303 /nfs/dbraw/zinc/04/23/03/883042303.db2.gz LKHZIPNGNASXLP-VIFPVBQESA-N -1 1 305.313 1.049 20 0 DDADMM COc1cc(CO)ccc1[N-]S(=O)(=O)c1ccc(N)cc1 ZINC001215210054 876610942 /nfs/dbraw/zinc/61/09/42/876610942.db2.gz HADBUVWKCWEUBG-UHFFFAOYSA-N -1 1 308.359 1.571 20 0 DDADMM CCN(CCN[C@H](C)c1noc(C)n1)C(=O)c1ncccc1[O-] ZINC001379300012 876769307 /nfs/dbraw/zinc/76/93/07/876769307.db2.gz RFGZESJCTQJQPA-SNVBAGLBSA-N -1 1 319.365 1.292 20 0 DDADMM CN(C)c1nc(Nc2ccc(O)c(CO)c2)c(N=O)c(=O)[n-]1 ZINC001216137963 876874368 /nfs/dbraw/zinc/87/43/68/876874368.db2.gz LTUCQRATEYYVCE-UHFFFAOYSA-N -1 1 305.294 1.588 20 0 DDADMM COc1ncc(Nc2cc(S(C)(=O)=O)ccc2[O-])cc1O ZINC001216210594 876892906 /nfs/dbraw/zinc/89/29/06/876892906.db2.gz OKDDSRLSIIYAMM-UHFFFAOYSA-N -1 1 310.331 1.649 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cncc(N2CCOCC2)c1 ZINC001216628765 877001556 /nfs/dbraw/zinc/00/15/56/877001556.db2.gz KKNLAIOFLYDSPN-UHFFFAOYSA-N -1 1 320.378 1.028 20 0 DDADMM COC1(OC)CC2(CN(C(=O)c3cnncc3[O-])[C@@H]2C(C)C)C1 ZINC001353724915 877511502 /nfs/dbraw/zinc/51/15/02/877511502.db2.gz FFCJMPWYSLZAAW-CYBMUJFWSA-N -1 1 321.377 1.432 20 0 DDADMM COC1(OC)CC(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC001353961429 877663736 /nfs/dbraw/zinc/66/37/36/877663736.db2.gz FSJHNDABBMBFGU-UHFFFAOYSA-N -1 1 323.393 1.743 20 0 DDADMM O=C(C[C@H]1COCCN1)Nc1ccc2[n-]c(=S)sc2c1 ZINC001218906303 877799842 /nfs/dbraw/zinc/79/98/42/877799842.db2.gz DNSVHWZNGGCOAZ-VIFPVBQESA-N -1 1 309.416 1.902 20 0 DDADMM CC(C)(C)C(=O)N1CC[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001379938968 878363053 /nfs/dbraw/zinc/36/30/53/878363053.db2.gz HLBUNJACCTWEJD-KRWDZBQOSA-N -1 1 319.405 1.802 20 0 DDADMM CC/C(C)=C/C(=O)N[C@H](C)CCNC(=O)c1ncccc1[O-] ZINC001355122587 878437540 /nfs/dbraw/zinc/43/75/40/878437540.db2.gz VLXYOIUBMREGSB-HCRIHEDKSA-N -1 1 305.378 1.768 20 0 DDADMM CC/C(C)=C\C(=O)NCCN(CC)C(=O)c1ncccc1[O-] ZINC001356042319 878842981 /nfs/dbraw/zinc/84/29/81/878842981.db2.gz JVAJRPDQEYVYHT-QXMHVHEDSA-N -1 1 305.378 1.722 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCC[C@@]1(C)c1ccccc1 ZINC001356292030 878969060 /nfs/dbraw/zinc/96/90/60/878969060.db2.gz XVPQYANZSDCUFU-INIZCTEOSA-N -1 1 324.392 1.994 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCC[C@@]1(C)c1ccccc1 ZINC001356292030 878969066 /nfs/dbraw/zinc/96/90/66/878969066.db2.gz XVPQYANZSDCUFU-INIZCTEOSA-N -1 1 324.392 1.994 20 0 DDADMM CC[C@@H](C)CC(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001380323757 879335136 /nfs/dbraw/zinc/33/51/36/879335136.db2.gz YLYADGJXTSCMJL-YPMHNXCESA-N -1 1 323.441 1.711 20 0 DDADMM COc1cc(C(N)=O)ccc1-c1nc(C)cc2c1C(=O)[N-]C2=O ZINC001222550268 880553935 /nfs/dbraw/zinc/55/39/35/880553935.db2.gz ZPFAJKSDKXVSNB-UHFFFAOYSA-N -1 1 311.297 1.048 20 0 DDADMM CC[C@@H](C)CC(=O)N[C@@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001381178116 881200985 /nfs/dbraw/zinc/20/09/85/881200985.db2.gz NYJDFXUUWBLSBU-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM O=c1nc2c(c(OC[C@@H]3COc4cscc4O3)[n-]1)COC2 ZINC001227686061 883131591 /nfs/dbraw/zinc/13/15/91/883131591.db2.gz FINQJGPZBPAFEL-ZETCQYMHSA-N -1 1 308.315 1.493 20 0 DDADMM O=C(NC1CC1)[C@@H]1CCCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001362172746 883208291 /nfs/dbraw/zinc/20/82/91/883208291.db2.gz BFACMKPAXPMIJO-LLVKDONJSA-N -1 1 306.337 1.662 20 0 DDADMM CN(C)C(=O)c1cc(NC(=O)CCCc2nn[n-]n2)ccc1F ZINC001362173224 883209961 /nfs/dbraw/zinc/20/99/61/883209961.db2.gz CUVRASSYDUOWPH-UHFFFAOYSA-N -1 1 320.328 1.002 20 0 DDADMM CSc1ncc(C(=O)NCc2ccccc2CO)c(=O)[n-]1 ZINC001362173688 883212279 /nfs/dbraw/zinc/21/22/79/883212279.db2.gz AWZZQJZTOWWQOB-UHFFFAOYSA-N -1 1 305.359 1.326 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1C[C@H](C)Cc2c[nH]nc21)c1nn[n-]n1 ZINC001362210068 883307671 /nfs/dbraw/zinc/30/76/71/883307671.db2.gz ISXYYOWWTACJDE-MIMYLULJSA-N -1 1 303.370 1.246 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](CCO)c2ccccc2)c(=O)[n-]1 ZINC001362246474 883400508 /nfs/dbraw/zinc/40/05/08/883400508.db2.gz QNSBJCDSLWOCNM-LBPRGKRZSA-N -1 1 319.386 1.758 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]c2nnc3n2CCCC3)on1 ZINC001362273190 883456250 /nfs/dbraw/zinc/45/62/50/883456250.db2.gz ZAYDIMAQRWEAKU-UHFFFAOYSA-N -1 1 305.294 1.031 20 0 DDADMM C[C@](O)(CNC(=O)c1c([O-])cnc2c(F)cccc21)C1CC1 ZINC001362283581 883478482 /nfs/dbraw/zinc/47/84/82/883478482.db2.gz JMCGEOCTYHOIBX-INIZCTEOSA-N -1 1 304.321 1.970 20 0 DDADMM O=C(c1nc[n-]c(=O)c1Br)N1CCC=C(F)C1 ZINC001362289498 883489218 /nfs/dbraw/zinc/48/92/18/883489218.db2.gz IQHRLGYHGIXVQT-UHFFFAOYSA-N -1 1 302.103 1.644 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H](NC(=O)c1ccc([O-])cn1)C1CC1 ZINC001362328739 883576225 /nfs/dbraw/zinc/57/62/25/883576225.db2.gz GNWCYMRYZZWQGB-CYBMUJFWSA-N -1 1 321.377 1.820 20 0 DDADMM O=C1C[C@H](Oc2cnnc(=S)[n-]2)CN1Cc1ccccc1 ZINC001228635933 883583584 /nfs/dbraw/zinc/58/35/84/883583584.db2.gz FQYDRLPWFXHEEX-NSHDSACASA-N -1 1 302.359 1.340 20 0 DDADMM COC(=O)c1ncc2n1CCC[C@H]2Oc1cnnc(=S)[n-]1 ZINC001228635502 883584083 /nfs/dbraw/zinc/58/40/83/883584083.db2.gz BCXUQWSFGKBLSD-MRVPVSSYSA-N -1 1 307.335 1.057 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccccn1 ZINC001362375658 883671115 /nfs/dbraw/zinc/67/11/15/883671115.db2.gz OMUXYGPFAFTODS-NSHDSACASA-N -1 1 300.366 1.279 20 0 DDADMM CCC(CC)(NC(=O)C[C@H](C)c1ccncc1)c1nn[n-]n1 ZINC001362421582 883772842 /nfs/dbraw/zinc/77/28/42/883772842.db2.gz FFSSOHKKCUEJDH-NSHDSACASA-N -1 1 302.382 1.920 20 0 DDADMM C[C@H](CN1CCOCC1)Oc1cc([O-])cc2oc(=O)ccc12 ZINC001229129999 883836538 /nfs/dbraw/zinc/83/65/38/883836538.db2.gz SSWUTEBMEIAZSG-LLVKDONJSA-N -1 1 305.330 1.598 20 0 DDADMM CN(C)C(=O)O[C@@H]1CCCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001362464547 883872540 /nfs/dbraw/zinc/87/25/40/883872540.db2.gz MIPANIFSFPOEBI-LLVKDONJSA-N -1 1 310.325 1.834 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)C1=COCC1 ZINC001362465609 883875217 /nfs/dbraw/zinc/87/52/17/883875217.db2.gz SAMIGWYMZSKAOX-NSHDSACASA-N -1 1 323.715 1.502 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCn2ccnc21 ZINC001362478125 883900289 /nfs/dbraw/zinc/90/02/89/883900289.db2.gz WFDQOBYOBBVKEI-SNVBAGLBSA-N -1 1 301.350 1.685 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](O)c2cccc(F)c2)c(=O)[n-]1 ZINC001362508243 883966260 /nfs/dbraw/zinc/96/62/60/883966260.db2.gz VKBVUUQOXFYENW-LLVKDONJSA-N -1 1 323.349 1.507 20 0 DDADMM COC(=O)C[C@@]1(NC(=O)c2cccc([O-])c2F)CCCOC1 ZINC001362513879 883979332 /nfs/dbraw/zinc/97/93/32/883979332.db2.gz BJOASWNNWTUGFO-HNNXBMFYSA-N -1 1 311.309 1.373 20 0 DDADMM Cc1n[nH]c(Cl)c1C(=O)[N-]N1CCc2ccccc2C1=O ZINC001362528600 884013176 /nfs/dbraw/zinc/01/31/76/884013176.db2.gz DRHLRDLRQFCTPK-UHFFFAOYSA-N -1 1 304.737 1.715 20 0 DDADMM CCCC[C@H](O)CCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001362539455 884041089 /nfs/dbraw/zinc/04/10/89/884041089.db2.gz NEEIJHNIFJTMCZ-LBPRGKRZSA-N -1 1 311.382 1.813 20 0 DDADMM C[C@@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)[C@H](C)C(C)(C)C ZINC001362563999 884105007 /nfs/dbraw/zinc/10/50/07/884105007.db2.gz WVFWBBCHUUWWKN-MNOVXSKESA-N -1 1 309.414 1.328 20 0 DDADMM COC(=O)CC1(NC(=O)c2cnc(C3CC3)[n-]c2=O)CCCC1 ZINC001362624888 884252341 /nfs/dbraw/zinc/25/23/41/884252341.db2.gz WVSKSDPNYPZPOM-UHFFFAOYSA-N -1 1 319.361 1.665 20 0 DDADMM CC(C)[C@@H](CNC(=O)C(C)(C)C(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001374422176 912808279 /nfs/dbraw/zinc/80/82/79/912808279.db2.gz JSCRGDAUNRXNJP-LLVKDONJSA-N -1 1 311.430 1.423 20 0 DDADMM C[C@@H](COc1c(F)cccc1F)NC(=O)c1cnncc1[O-] ZINC001362658376 884342546 /nfs/dbraw/zinc/34/25/46/884342546.db2.gz GPUINDUKGFIAEO-QMMMGPOBSA-N -1 1 309.272 1.658 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCC2CCOCC2)n[n-]1 ZINC001362661532 884350995 /nfs/dbraw/zinc/35/09/95/884350995.db2.gz QXXAUFFXDRTEHI-SNVBAGLBSA-N -1 1 324.381 1.365 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCC2CCOCC2)[n-]1 ZINC001362661532 884351011 /nfs/dbraw/zinc/35/10/11/884351011.db2.gz QXXAUFFXDRTEHI-SNVBAGLBSA-N -1 1 324.381 1.365 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCC2CCOCC2)n1 ZINC001362661532 884351025 /nfs/dbraw/zinc/35/10/25/884351025.db2.gz QXXAUFFXDRTEHI-SNVBAGLBSA-N -1 1 324.381 1.365 20 0 DDADMM CCOC(=O)C12CC(C1)CN2C(=O)c1cnc(SC)[n-]c1=O ZINC001362681335 884402521 /nfs/dbraw/zinc/40/25/21/884402521.db2.gz JBMKKDKGQTVSSS-UHFFFAOYSA-N -1 1 323.374 1.072 20 0 DDADMM C[C@@]1(C(N)=O)CCN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC001362714386 884470662 /nfs/dbraw/zinc/47/06/62/884470662.db2.gz ASKXXEHVOSBAFR-QGZVFWFLSA-N -1 1 314.341 1.589 20 0 DDADMM CC(C)[C@H](CNC(=O)CC1CC(C)(C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001374431629 912838609 /nfs/dbraw/zinc/83/86/09/912838609.db2.gz LCVXZSMTXPIQEY-LBPRGKRZSA-N -1 1 323.441 1.567 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)[C@@H]2C[C@H]2OC)n[n-]1 ZINC001362771173 884598606 /nfs/dbraw/zinc/59/86/06/884598606.db2.gz CWCVELFSDYRMIN-OUAUKWLOSA-N -1 1 324.381 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)[C@@H]2C[C@H]2OC)[n-]1 ZINC001362771173 884598616 /nfs/dbraw/zinc/59/86/16/884598616.db2.gz CWCVELFSDYRMIN-OUAUKWLOSA-N -1 1 324.381 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)[C@@H]2C[C@H]2OC)n1 ZINC001362771173 884598623 /nfs/dbraw/zinc/59/86/23/884598623.db2.gz CWCVELFSDYRMIN-OUAUKWLOSA-N -1 1 324.381 1.220 20 0 DDADMM C[C@@H](NC(=O)c1ccsn1)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001382767556 884657437 /nfs/dbraw/zinc/65/74/37/884657437.db2.gz QPBATKNGJRLFCE-RKDXNWHRSA-N -1 1 320.374 1.181 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001362829121 884741556 /nfs/dbraw/zinc/74/15/56/884741556.db2.gz FFEJJQYFDWDUBP-SECBINFHSA-N -1 1 305.386 1.328 20 0 DDADMM C[C@H]1CC[N@H+](CC(=O)Nc2cc(-c3nn[nH]n3)ccc2F)C1 ZINC001362831425 884747116 /nfs/dbraw/zinc/74/71/16/884747116.db2.gz PNEXNSIUJQFWJH-VIFPVBQESA-N -1 1 304.329 1.286 20 0 DDADMM CC[C@H](O)[C@@H](C)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001362867887 884837065 /nfs/dbraw/zinc/83/70/65/884837065.db2.gz VOZWKJSZEWDNDJ-RCWTZXSCSA-N -1 1 324.343 1.451 20 0 DDADMM O=C(NCC1N=NC(=O)N1C1CC1)c1cc(Cl)ccc1[O-] ZINC001362871555 884845381 /nfs/dbraw/zinc/84/53/81/884845381.db2.gz YNGNOZXEFGLZDW-UHFFFAOYSA-N -1 1 308.725 1.608 20 0 DDADMM COC[C@@H](NC(=O)[C@@H](C)OC1CCCCCC1)c1nn[n-]n1 ZINC001362907618 884952756 /nfs/dbraw/zinc/95/27/56/884952756.db2.gz ICZVSOHOIAPKAC-ZYHUDNBSSA-N -1 1 311.386 1.131 20 0 DDADMM COc1cc2c(cc1O)CCN(C(=O)c1ccc([O-])cn1)C2 ZINC001362917843 884982901 /nfs/dbraw/zinc/98/29/01/884982901.db2.gz KAZNHQMHTPDOBB-UHFFFAOYSA-N -1 1 300.314 1.700 20 0 DDADMM CC(C)(C)[C@H](NC(=O)[C@H]1CCCc2[nH]ncc21)c1nc(=O)o[n-]1 ZINC001362937805 885031112 /nfs/dbraw/zinc/03/11/12/885031112.db2.gz MDTLLRYKHJBQCA-GZMMTYOYSA-N -1 1 319.365 1.410 20 0 DDADMM COC[C@@]1(C(=O)OC)CCCN1C(=O)c1cccc([O-])c1F ZINC001362953045 885070987 /nfs/dbraw/zinc/07/09/87/885070987.db2.gz ZWLJVYVVGLOTKD-OAHLLOKOSA-N -1 1 311.309 1.326 20 0 DDADMM Cn1cc([C@@H]2C[C@@H](NC(=O)c3ccc(F)c([O-])c3)CCO2)cn1 ZINC001362971420 885116998 /nfs/dbraw/zinc/11/69/98/885116998.db2.gz ANXRGYWNOJBZST-WFASDCNBSA-N -1 1 319.336 1.915 20 0 DDADMM CCc1[nH]c(=O)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1C ZINC001362993711 885175136 /nfs/dbraw/zinc/17/51/36/885175136.db2.gz IQZJKBNEFNRAJN-SNVBAGLBSA-N -1 1 316.365 1.191 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC[C@](O)(C(F)F)C1 ZINC001362997774 885186291 /nfs/dbraw/zinc/18/62/91/885186291.db2.gz ODAVXXYLGKNVOS-GFCCVEGCSA-N -1 1 319.333 1.055 20 0 DDADMM C[S@@](=N)(=O)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001363018384 885242275 /nfs/dbraw/zinc/24/22/75/885242275.db2.gz PNAPYPLQQUZAOR-OAQYLSRUSA-N -1 1 308.367 1.197 20 0 DDADMM CCN(C(=O)c1ccc(C(F)(F)F)c([O-])c1)[C@@H]1CCNC1=O ZINC001363045209 885316816 /nfs/dbraw/zinc/31/68/16/885316816.db2.gz YFKIKWINDJUNRF-SNVBAGLBSA-N -1 1 316.279 1.762 20 0 DDADMM CSc1ncc(C(=O)Nc2cncc(N(C)C)c2)c(=O)[n-]1 ZINC001363120021 885511918 /nfs/dbraw/zinc/51/19/18/885511918.db2.gz HIGWCTFLRPXSAA-UHFFFAOYSA-N -1 1 305.363 1.617 20 0 DDADMM CCO[C@H]1C[C@H]1C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001363158122 885605020 /nfs/dbraw/zinc/60/50/20/885605020.db2.gz VHDROZQUVPTBOO-VLEAKVRGSA-N -1 1 322.327 1.469 20 0 DDADMM C[C@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1cnccn1 ZINC001363192748 885679525 /nfs/dbraw/zinc/67/95/25/885679525.db2.gz SPYNYWSEKUALAX-VIFPVBQESA-N -1 1 303.322 1.075 20 0 DDADMM CC(C)(C)C[C@H](O)CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363206640 885714544 /nfs/dbraw/zinc/71/45/44/885714544.db2.gz JRPKULAZMBCIFH-SNVBAGLBSA-N -1 1 323.393 1.058 20 0 DDADMM O=C(c1oc(C2CC2)nc1C(F)F)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363218910 885742822 /nfs/dbraw/zinc/74/28/22/885742822.db2.gz PAVPSMWDKBQRLN-SSDOTTSWSA-N -1 1 324.291 1.632 20 0 DDADMM CC(C)N(Cc1nc(=O)c2sccc2[n-]1)C[C@H]1CCC(=O)N1 ZINC001363265909 885859404 /nfs/dbraw/zinc/85/94/04/885859404.db2.gz REOFUKVOHPQHHO-SNVBAGLBSA-N -1 1 320.418 1.886 20 0 DDADMM COC(=O)Cc1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC001363316885 886002202 /nfs/dbraw/zinc/00/22/02/886002202.db2.gz KOUZPTXQMMBHQU-UHFFFAOYSA-N -1 1 300.314 1.433 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001363353117 886108345 /nfs/dbraw/zinc/10/83/45/886108345.db2.gz NVVVBGNSPGVEIV-PSASIEDQSA-N -1 1 318.304 1.618 20 0 DDADMM CN(C)c1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)cc1F ZINC001363357648 886122042 /nfs/dbraw/zinc/12/20/42/886122042.db2.gz DCQSTNFECIEMEK-UHFFFAOYSA-N -1 1 304.329 1.214 20 0 DDADMM COc1ccc([C@@H](C)NC(=O)CCc2nn[n-]n2)c(C)c1OC ZINC001363375075 886168070 /nfs/dbraw/zinc/16/80/70/886168070.db2.gz VEZDXKZCDMVRSY-SNVBAGLBSA-N -1 1 319.365 1.335 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc(OCC(F)F)ccn1 ZINC001363376369 886170825 /nfs/dbraw/zinc/17/08/25/886170825.db2.gz SZPCYIJPTWOKDG-UHFFFAOYSA-N -1 1 324.291 1.048 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc2c(c1)N[C@@H](C)CC(=O)N2 ZINC001363376873 886173433 /nfs/dbraw/zinc/17/34/33/886173433.db2.gz YABXQGOVYSPJER-ZETCQYMHSA-N -1 1 315.333 1.819 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H](CO)[C@H]1C ZINC001363445189 886354370 /nfs/dbraw/zinc/35/43/70/886354370.db2.gz XIGUILMEVNSPHN-NEPJUHHUSA-N -1 1 307.394 1.847 20 0 DDADMM CCOC(=O)Cc1cnc([N-]C(=O)c2nnc(C3CC3)o2)s1 ZINC001363456755 886389965 /nfs/dbraw/zinc/38/99/65/886389965.db2.gz YSAIXQGURFGQFT-UHFFFAOYSA-N -1 1 322.346 1.761 20 0 DDADMM C[C@@]1(CCNC(=O)CNC(=O)c2ncccc2[O-])CC1(F)F ZINC001363479292 886448805 /nfs/dbraw/zinc/44/88/05/886448805.db2.gz CAXVBJKMUHCDCN-CYBMUJFWSA-N -1 1 313.304 1.069 20 0 DDADMM C=C/C(C)=C/CC(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001277684848 886480833 /nfs/dbraw/zinc/48/08/33/886480833.db2.gz QKYUQWJMLRRQJM-HQIZRNBFSA-N -1 1 319.409 1.359 20 0 DDADMM CSc1ncc(C(=O)N2CC(O)(c3ccccc3)C2)c(=O)[n-]1 ZINC001363559998 886633227 /nfs/dbraw/zinc/63/32/27/886633227.db2.gz LBFKHUSHQVNCLC-UHFFFAOYSA-N -1 1 317.370 1.248 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)C1=COCCC1 ZINC001363561841 886640364 /nfs/dbraw/zinc/64/03/64/886640364.db2.gz UQTXAWCKAHHQJJ-LLVKDONJSA-N -1 1 320.349 1.339 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)C1=COCCC1 ZINC001363561841 886640371 /nfs/dbraw/zinc/64/03/71/886640371.db2.gz UQTXAWCKAHHQJJ-LLVKDONJSA-N -1 1 320.349 1.339 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)C1=COCCC1 ZINC001363561841 886640378 /nfs/dbraw/zinc/64/03/78/886640378.db2.gz UQTXAWCKAHHQJJ-LLVKDONJSA-N -1 1 320.349 1.339 20 0 DDADMM CNC(=O)c1cnc2n1CCN(Cc1ccc(F)c([O-])c1F)C2 ZINC001232935611 886683291 /nfs/dbraw/zinc/68/32/91/886683291.db2.gz IHVUXSOLAQTIBY-UHFFFAOYSA-N -1 1 322.315 1.242 20 0 DDADMM COc1ccc([S@@](C)(=O)=NC(=O)c2cnncc2[O-])cc1 ZINC001363584848 886697578 /nfs/dbraw/zinc/69/75/78/886697578.db2.gz XFVHDZKHTIAPBE-OAQYLSRUSA-N -1 1 307.331 1.488 20 0 DDADMM O=C([N-][C@H](CO)c1ncc[nH]1)C(F)(F)c1c(F)cccc1F ZINC001363637855 886840471 /nfs/dbraw/zinc/84/04/71/886840471.db2.gz DUXCTMVJKRSIFZ-SECBINFHSA-N -1 1 317.242 1.630 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@@H]2CCC3(CCC3)O2)n[n-]1 ZINC001363711530 887040360 /nfs/dbraw/zinc/04/03/60/887040360.db2.gz QTAATZAYOHNCPD-JTQLQIEISA-N -1 1 322.365 1.089 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H]2CCC3(CCC3)O2)n1 ZINC001363711530 887040373 /nfs/dbraw/zinc/04/03/73/887040373.db2.gz QTAATZAYOHNCPD-JTQLQIEISA-N -1 1 322.365 1.089 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@H]2CCC3(CCC3)O2)n[n-]1 ZINC001363711733 887040426 /nfs/dbraw/zinc/04/04/26/887040426.db2.gz QTAATZAYOHNCPD-SNVBAGLBSA-N -1 1 322.365 1.089 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H]2CCC3(CCC3)O2)n1 ZINC001363711733 887040439 /nfs/dbraw/zinc/04/04/39/887040439.db2.gz QTAATZAYOHNCPD-SNVBAGLBSA-N -1 1 322.365 1.089 20 0 DDADMM CCC1(CNS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCC1 ZINC001363819099 887308174 /nfs/dbraw/zinc/30/81/74/887308174.db2.gz URCFNRKICGINMW-UHFFFAOYSA-N -1 1 301.368 1.055 20 0 DDADMM CCC1(CNS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCC1 ZINC001363819099 887308191 /nfs/dbraw/zinc/30/81/91/887308191.db2.gz URCFNRKICGINMW-UHFFFAOYSA-N -1 1 301.368 1.055 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1occc1Br)c1nc[nH]n1 ZINC001363832352 887340746 /nfs/dbraw/zinc/34/07/46/887340746.db2.gz LJPKGIMGTLMMQY-RXMQYKEDSA-N -1 1 321.156 1.200 20 0 DDADMM O=S(=O)([N-]Cc1ncc[nH]1)c1ccc(Br)o1 ZINC001363840458 887365197 /nfs/dbraw/zinc/36/51/97/887365197.db2.gz OJNVSROWHXZIFI-UHFFFAOYSA-N -1 1 306.141 1.244 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H](c1ccccc1F)N1CCOCC1 ZINC001364092590 887899424 /nfs/dbraw/zinc/89/94/24/887899424.db2.gz MDRGFHNTSFRTPY-CYBMUJFWSA-N -1 1 320.324 1.266 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H](c3ncccn3)C3CC3)ccnc1-2 ZINC001364116723 887960135 /nfs/dbraw/zinc/96/01/35/887960135.db2.gz RYZPUDZFTUYZNN-ZDUSSCGKSA-N -1 1 323.360 1.405 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC(=O)c2cncs2)sn1 ZINC001364124356 887974637 /nfs/dbraw/zinc/97/46/37/887974637.db2.gz YGCGBNGMCWLCNZ-UHFFFAOYSA-N -1 1 303.390 1.069 20 0 DDADMM Cc1nc(C(N)=O)c(OC[C@H](C)OCc2ccccc2)c(=O)[n-]1 ZINC001234470325 888001379 /nfs/dbraw/zinc/00/13/79/888001379.db2.gz QHSVXQXDAROCFF-JTQLQIEISA-N -1 1 317.345 1.574 20 0 DDADMM COC(=O)C[C@@H](C)Oc1c(=O)[n-]c(C(C)C)nc1C(=O)OC ZINC001234555931 888088851 /nfs/dbraw/zinc/08/88/51/888088851.db2.gz ZPGJRPVXXNHKSQ-MRVPVSSYSA-N -1 1 312.322 1.423 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H]1CC[C@H](C(=O)OC)CC1 ZINC001234564331 888101957 /nfs/dbraw/zinc/10/19/57/888101957.db2.gz JQHVFKBNADZGGL-MGCOHNPYSA-N -1 1 324.333 1.388 20 0 DDADMM CCOC(=O)[C@@H](Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O)C1CC1 ZINC001234565965 888105597 /nfs/dbraw/zinc/10/55/97/888105597.db2.gz RVXHSNHSWCZDOP-JTQLQIEISA-N -1 1 323.349 1.125 20 0 DDADMM CCc1cc(NC(=O)N[C@@H](CCSC)c2nn[n-]n2)no1 ZINC001364251863 888222442 /nfs/dbraw/zinc/22/24/42/888222442.db2.gz AZXBTRPRSBJCRF-QMMMGPOBSA-N -1 1 311.371 1.366 20 0 DDADMM O=c1[n-]c([C@@H]2CCCN(C[C@H](O)CC3(O)CCCCC3)C2)n[nH]1 ZINC001364415243 888584927 /nfs/dbraw/zinc/58/49/27/888584927.db2.gz MFCMZICHIFOPHZ-CHWSQXEVSA-N -1 1 324.425 1.136 20 0 DDADMM CC1(C)[C@H](C(=O)N2CC[C@H](c3nn[n-]n3)C2)[C@H]1c1ccccc1 ZINC001364569868 888947243 /nfs/dbraw/zinc/94/72/43/888947243.db2.gz XXOWJIWMNARIJM-MJBXVCDLSA-N -1 1 311.389 1.955 20 0 DDADMM CCC[C@H]1C[C@H](C(=O)N(Cc2nn[n-]n2)CC(C)C)CCO1 ZINC001364650999 889123186 /nfs/dbraw/zinc/12/31/86/889123186.db2.gz JZGNXBDAMONASG-OLZOCXBDSA-N -1 1 309.414 1.780 20 0 DDADMM O=C(CSCc1ccncc1)NC1(c2nn[n-]n2)CCC1 ZINC001364663280 889150133 /nfs/dbraw/zinc/15/01/33/889150133.db2.gz NHJJLVJAMHWAFH-UHFFFAOYSA-N -1 1 304.379 1.024 20 0 DDADMM CSc1ncc(C(=O)N2CCO[C@H](C(F)F)C2)c(=O)[n-]1 ZINC001364717550 889263140 /nfs/dbraw/zinc/26/31/40/889263140.db2.gz KPBUIASDOBMOPN-ZETCQYMHSA-N -1 1 305.306 1.010 20 0 DDADMM CC(C)(CNC(=O)c1ccc(F)c([O-])c1)CS(C)(=O)=O ZINC001364735296 889306468 /nfs/dbraw/zinc/30/64/68/889306468.db2.gz QHFXRZBMURYEHI-UHFFFAOYSA-N -1 1 303.355 1.332 20 0 DDADMM C[C@]1(CO)CN(Cc2ccc([O-])c(F)c2F)C[C@@]1(C)CO ZINC001364739475 889315829 /nfs/dbraw/zinc/31/58/29/889315829.db2.gz DJLDREHVWWFIQS-GASCZTMLSA-N -1 1 301.333 1.483 20 0 DDADMM CC1(C)CCC([N-]S(=O)(=O)c2ccns2)(C(N)=O)CC1 ZINC001364797190 889435465 /nfs/dbraw/zinc/43/54/65/889435465.db2.gz HUCVREQWDFFJAC-UHFFFAOYSA-N -1 1 317.436 1.246 20 0 DDADMM COC[C@@H](NC(=O)C/C=C\c1ccc(F)cc1)c1nn[n-]n1 ZINC001364817249 889477463 /nfs/dbraw/zinc/47/74/63/889477463.db2.gz ZJVMENZBNDEFBY-ZZKXABKFSA-N -1 1 305.313 1.246 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1ccc(Cl)cc1)c1ccns1 ZINC001364853339 889551188 /nfs/dbraw/zinc/55/11/88/889551188.db2.gz RWIBLEUEYZGMBM-JTQLQIEISA-N -1 1 318.807 1.808 20 0 DDADMM Cc1nocc1C[N-]S(=O)(=O)c1occc1Br ZINC001364873695 889586491 /nfs/dbraw/zinc/58/64/91/889586491.db2.gz VOAIGMHTTWEFEY-UHFFFAOYSA-N -1 1 321.152 1.817 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1c(C)n[nH]c1C ZINC001364895213 889624393 /nfs/dbraw/zinc/62/43/93/889624393.db2.gz RYIQHXRFDZCFAW-UHFFFAOYSA-N -1 1 316.408 1.013 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C2([C@@H]3CCCCO3)CC2)sn1 ZINC001364977988 889803655 /nfs/dbraw/zinc/80/36/55/889803655.db2.gz ZCQJNWBRZDLZLW-VIFPVBQESA-N -1 1 318.420 1.532 20 0 DDADMM Cc1c[nH]c(C2(NC(=O)c3ccc(F)c([O-])c3)CCOCC2)n1 ZINC001365019503 889907502 /nfs/dbraw/zinc/90/75/02/889907502.db2.gz NCPXQEUWWOYBQY-UHFFFAOYSA-N -1 1 319.336 1.999 20 0 DDADMM O=C1CN(Cc2c(Br)ccc([O-])c2F)CCCN1 ZINC001238305726 890163722 /nfs/dbraw/zinc/16/37/22/890163722.db2.gz QWQNQKXLOSQDRK-UHFFFAOYSA-N -1 1 317.158 1.616 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccccc1CN1CCOCC1 ZINC001365214978 890369294 /nfs/dbraw/zinc/36/92/94/890369294.db2.gz ZGANNSBCVLQVGQ-UHFFFAOYSA-N -1 1 302.334 1.200 20 0 DDADMM C[C@@H](NC(=O)NCc1ccc([O-])c(Cl)c1)c1nnnn1C ZINC001365431835 890787778 /nfs/dbraw/zinc/78/77/78/890787778.db2.gz OEIOOSDRUAEQIC-SSDOTTSWSA-N -1 1 310.745 1.130 20 0 DDADMM COc1ccc(-c2nc3[nH]cnc3c(OC)n2)cc1C(=O)[O-] ZINC001241856669 891280472 /nfs/dbraw/zinc/28/04/72/891280472.db2.gz VEWBUXJZPSUSRV-UHFFFAOYSA-N -1 1 300.274 1.735 20 0 DDADMM CN1CCN(c2cc(-c3cccc(CC(=O)[O-])c3)ncn2)CC1 ZINC001242262025 891380659 /nfs/dbraw/zinc/38/06/59/891380659.db2.gz OVAYSZHUQXLCFD-UHFFFAOYSA-N -1 1 312.373 1.523 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnc(NCCO)nc3)cc2[n-]1 ZINC001242833980 891529176 /nfs/dbraw/zinc/52/91/76/891529176.db2.gz GPCLJRAHOYPWJQ-UHFFFAOYSA-N -1 1 313.317 1.211 20 0 DDADMM COC(=O)c1nc2cc(-c3cnc(NCCO)nc3)ccc2[n-]1 ZINC001242833980 891529183 /nfs/dbraw/zinc/52/91/83/891529183.db2.gz GPCLJRAHOYPWJQ-UHFFFAOYSA-N -1 1 313.317 1.211 20 0 DDADMM NS(=O)(=O)c1ccc(-c2c(F)c([O-])ccc2Cl)nn1 ZINC001244540014 891865106 /nfs/dbraw/zinc/86/51/06/891865106.db2.gz FFCCUVRPGWDZGX-UHFFFAOYSA-N -1 1 303.702 1.289 20 0 DDADMM NS(=O)(=O)c1ccc(-c2c(F)c([O-])ccc2Cl)nc1 ZINC001244539318 891865158 /nfs/dbraw/zinc/86/51/58/891865158.db2.gz VPDSGFJRBWLTGV-UHFFFAOYSA-N -1 1 302.714 1.894 20 0 DDADMM CCc1cc(C)nc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)n1 ZINC001244790287 891923795 /nfs/dbraw/zinc/92/37/95/891923795.db2.gz IRCDAXTYADPSHU-UHFFFAOYSA-N -1 1 322.390 1.790 20 0 DDADMM COc1ncc(-c2ncc(F)c(C)n2)cc1[N-]S(C)(=O)=O ZINC001244791545 891924604 /nfs/dbraw/zinc/92/46/04/891924604.db2.gz WDDJLMFTDMFBSW-UHFFFAOYSA-N -1 1 312.326 1.366 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NCCC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001396331179 913629151 /nfs/dbraw/zinc/62/91/51/913629151.db2.gz QPTNOQSALXLINI-RYUDHWBXSA-N -1 1 317.389 1.460 20 0 DDADMM CCC[C@H](CC)C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001387068796 892305518 /nfs/dbraw/zinc/30/55/18/892305518.db2.gz GXOXXSCPDAFJKW-LBPRGKRZSA-N -1 1 307.394 1.802 20 0 DDADMM CCC(CC)[C@H](C)C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001387768502 893820020 /nfs/dbraw/zinc/82/00/20/893820020.db2.gz UEQJZUZKDVPHKY-NSHDSACASA-N -1 1 319.405 1.800 20 0 DDADMM C[NH+]1CCN(CCCc2cc(C(=O)[O-])cc(C(=O)[O-])c2)CC1 ZINC001250229585 894215869 /nfs/dbraw/zinc/21/58/69/894215869.db2.gz XRBKZEHUQYQBNZ-UHFFFAOYSA-N -1 1 306.362 1.263 20 0 DDADMM CC[C@H](CNC(=O)C(C)(C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001388156054 894671640 /nfs/dbraw/zinc/67/16/40/894671640.db2.gz BDVACXHRVNGNCE-GFCCVEGCSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)(C)CC(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001396521279 913977480 /nfs/dbraw/zinc/97/74/80/913977480.db2.gz MWSXGCQKFOVAEL-TXEJJXNPSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)[C@@H](F)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001388941468 896212256 /nfs/dbraw/zinc/21/22/56/896212256.db2.gz AIQXYJDCOASQDK-WCQYABFASA-N -1 1 323.368 1.502 20 0 DDADMM Cc1ccc([C@H](C)C(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)o1 ZINC001367388029 896634267 /nfs/dbraw/zinc/63/42/67/896634267.db2.gz GLEQCWNIKHDJOO-ONGXEEELSA-N -1 1 321.381 1.152 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)CCC(C)(C)C ZINC001367705197 897523577 /nfs/dbraw/zinc/52/35/77/897523577.db2.gz BQRXDIIGAQUDHE-GHMZBOCLSA-N -1 1 311.430 1.567 20 0 DDADMM CCc1[nH]ccc1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC000353089862 897884039 /nfs/dbraw/zinc/88/40/39/897884039.db2.gz SQTDPDPOZZAHNM-UHFFFAOYSA-N -1 1 312.333 1.922 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1cc(=O)[nH]cc1C ZINC001258360946 898154505 /nfs/dbraw/zinc/15/45/05/898154505.db2.gz JXJHQNVCNLWENX-UHFFFAOYSA-N -1 1 316.770 1.592 20 0 DDADMM O=c1cc([N-]S(=O)(=O)c2cc(F)ccc2F)[nH]c(=S)[nH]1 ZINC001258941831 898369104 /nfs/dbraw/zinc/36/91/04/898369104.db2.gz DBZPYIUHRVDEEX-UHFFFAOYSA-N -1 1 319.314 1.550 20 0 DDADMM Cc1cccc2c1[C@H]([N-]S(=O)(=O)c1ccccn1)C(=O)N2 ZINC001259290193 898588639 /nfs/dbraw/zinc/58/86/39/898588639.db2.gz DUPRKNKHNGORIE-ZDUSSCGKSA-N -1 1 303.343 1.362 20 0 DDADMM O=c1[nH]c2ccc(S(=O)(=O)[N-]c3ncccc3F)cc2o1 ZINC001259377165 898640507 /nfs/dbraw/zinc/64/05/07/898640507.db2.gz FZBHKGSRYOECGC-UHFFFAOYSA-N -1 1 309.278 1.868 20 0 DDADMM CN1C(=O)CC[C@H]1C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259460691 898679600 /nfs/dbraw/zinc/67/96/00/898679600.db2.gz OGSOKSRCJHGCPT-VIFPVBQESA-N -1 1 320.773 1.378 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)c2cc(Cl)ccc2F)c(=O)[nH]1 ZINC001259462652 898682040 /nfs/dbraw/zinc/68/20/40/898682040.db2.gz UANIDWOTJSEQMH-VIFPVBQESA-N -1 1 317.729 1.155 20 0 DDADMM COc1ccccc1C1([N-]S(=O)(=O)c2cccnc2)COC1 ZINC001259611945 898743379 /nfs/dbraw/zinc/74/33/79/898743379.db2.gz PCBKIIUANGTUSO-UHFFFAOYSA-N -1 1 320.370 1.294 20 0 DDADMM O=[P@]([O-])(O)CCCCNS(=O)(=O)CCc1ccccc1 ZINC001259740033 898771126 /nfs/dbraw/zinc/77/11/26/898771126.db2.gz CDGBSNHCVZDRJD-UHFFFAOYSA-N -1 1 321.335 1.106 20 0 DDADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)[C@H]1CC12CC2 ZINC001368140519 898790867 /nfs/dbraw/zinc/79/08/67/898790867.db2.gz WIBFDYRYYWYHLX-VXGBXAGGSA-N -1 1 319.409 1.075 20 0 DDADMM CCc1cnn2cc([N-]S(=O)(=O)CCC(F)(F)F)cnc12 ZINC001259867120 898878825 /nfs/dbraw/zinc/87/88/25/898878825.db2.gz IFENHNOGGNGEID-UHFFFAOYSA-N -1 1 322.312 1.986 20 0 DDADMM CC(=O)c1cc(F)ccc1[N-]S(=O)(=O)C1CCOCC1 ZINC001259915135 898925399 /nfs/dbraw/zinc/92/53/99/898925399.db2.gz PBSNGLQNRFTEDL-UHFFFAOYSA-N -1 1 301.339 1.949 20 0 DDADMM Cc1c(=O)[n-]n(-c2ccccc2)c1NS(=O)(=O)C(F)F ZINC001259964717 898988691 /nfs/dbraw/zinc/98/86/91/898988691.db2.gz FCZRZCBBOZTZIP-UHFFFAOYSA-N -1 1 303.290 1.851 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2ncccc2CCO)c1 ZINC001260185218 899077990 /nfs/dbraw/zinc/07/79/90/899077990.db2.gz XDZDIHMVBZTAFF-UHFFFAOYSA-N -1 1 322.342 1.115 20 0 DDADMM CNC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260288762 899112230 /nfs/dbraw/zinc/11/22/30/899112230.db2.gz AYYLHNQQOPYTNZ-YFKPBYRVSA-N -1 1 312.725 1.031 20 0 DDADMM Cc1coc(C[N-]S(=O)(=O)c2cccc3nsnc32)n1 ZINC001260382631 899128659 /nfs/dbraw/zinc/12/86/59/899128659.db2.gz BOVKXQMFXSOGEK-UHFFFAOYSA-N -1 1 310.360 1.466 20 0 DDADMM CC[C@H](C)S(=O)(=O)[N-]c1ccccc1-c1nnn(CC)n1 ZINC001260742234 899242144 /nfs/dbraw/zinc/24/21/44/899242144.db2.gz AQTWIBUCZJJTCD-JTQLQIEISA-N -1 1 309.395 1.900 20 0 DDADMM CCCC[C@H](C)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001396730086 914335392 /nfs/dbraw/zinc/33/53/92/914335392.db2.gz UZJGPBYGTZZRBO-ZDUSSCGKSA-N -1 1 319.405 1.898 20 0 DDADMM O=S(=O)([N-]C1CC(F)(F)C1)c1ccc(Cl)c2nonc21 ZINC001261065947 899340562 /nfs/dbraw/zinc/34/05/62/899340562.db2.gz UJNBJPORCHWEAL-UHFFFAOYSA-N -1 1 323.708 1.952 20 0 DDADMM C[C@@H](CNC(=O)[C@@H](C)c1ccccc1F)NCc1n[nH]c(=O)[n-]1 ZINC001390490379 899529654 /nfs/dbraw/zinc/52/96/54/899529654.db2.gz OPRGSVXBSNYVNK-UWVGGRQHSA-N -1 1 321.356 1.047 20 0 DDADMM CC(C)[C@H](NC=O)C(=O)Nc1ccc(Br)c([O-])c1 ZINC001261841022 899784774 /nfs/dbraw/zinc/78/47/74/899784774.db2.gz CDZQSGFKGLGYAV-NSHDSACASA-N -1 1 315.167 1.864 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C1CC(C)(C)C1 ZINC001369836345 902065594 /nfs/dbraw/zinc/06/55/94/902065594.db2.gz RYAKZGJWIUAVKF-NSHDSACASA-N -1 1 319.405 1.800 20 0 DDADMM Cc1coc(C(=O)N(C)C[C@@H](C)NC(=O)c2ncccc2[O-])c1 ZINC001370580190 903446511 /nfs/dbraw/zinc/44/65/11/903446511.db2.gz NTNCVNHCDFQYBP-LLVKDONJSA-N -1 1 317.345 1.579 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCCF ZINC001371245958 904561539 /nfs/dbraw/zinc/56/15/39/904561539.db2.gz YQMITOSJVBZMCA-NWDGAFQWSA-N -1 1 323.368 1.646 20 0 DDADMM CCC[C@@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001371785050 905721577 /nfs/dbraw/zinc/72/15/77/905721577.db2.gz HWZYKUHYDWZSOX-UPJWGTAASA-N -1 1 319.405 1.943 20 0 DDADMM Cc1ncc(C(=O)NCCCNC(=O)c2ncccc2[O-])s1 ZINC001283199807 906868545 /nfs/dbraw/zinc/86/85/45/906868545.db2.gz IQVKHGXXLQBMNE-UHFFFAOYSA-N -1 1 320.374 1.102 20 0 DDADMM CC(C)CCCN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001393508140 907170239 /nfs/dbraw/zinc/17/02/39/907170239.db2.gz BSCJZLJYZOSLTO-AWEZNQCLSA-N -1 1 321.421 1.654 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)[C@@H](C)NCc2c(C)noc2C)c1[O-] ZINC001393938834 908325986 /nfs/dbraw/zinc/32/59/86/908325986.db2.gz VPOGFDLOHNZSGU-SFYZADRCSA-N -1 1 321.381 1.325 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)C[C@@H]1C=CCC1 ZINC001284514542 909122457 /nfs/dbraw/zinc/12/24/57/909122457.db2.gz JXXBWDCLODAMND-GFCCVEGCSA-N -1 1 303.362 1.332 20 0 DDADMM Cc1cc(C(=O)N[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])on1 ZINC001394934410 910946771 /nfs/dbraw/zinc/94/67/71/910946771.db2.gz LBLCLEOUJJZXTB-ZJUUUORDSA-N -1 1 318.333 1.020 20 0 DDADMM CC[C@H](CNC(=O)c1cc(C)ccc1C)NCc1n[nH]c(=O)[n-]1 ZINC001373819245 911096723 /nfs/dbraw/zinc/09/67/23/911096723.db2.gz TXHOBLSQDYGMRY-GFCCVEGCSA-N -1 1 317.393 1.425 20 0 DDADMM CC[C@H](CNC(=O)[C@H]1CC[C@H](C)CC1)NCc1n[nH]c(=O)[n-]1 ZINC001373818865 911096884 /nfs/dbraw/zinc/09/68/84/911096884.db2.gz PVUDYMXJVUHBEW-IJLUTSLNSA-N -1 1 309.414 1.321 20 0 DDADMM CCN(CCNC(=O)c1ccns1)C(=O)c1ncccc1[O-] ZINC001373837717 911153456 /nfs/dbraw/zinc/15/34/56/911153456.db2.gz OVBFQWXBQXHDSO-UHFFFAOYSA-N -1 1 320.374 1.136 20 0 DDADMM CCCCC(=O)N[C@@]1(CNCc2n[nH]c(=O)[n-]2)CCCC[C@@H]1C ZINC001373892819 911293255 /nfs/dbraw/zinc/29/32/55/911293255.db2.gz BXGYSMFCVZVEKP-BLLLJJGKSA-N -1 1 323.441 1.855 20 0 DDADMM CO[C@H](C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-])C(C)C ZINC001395165718 911501348 /nfs/dbraw/zinc/50/13/48/911501348.db2.gz ANNKXRKTJKPZLY-RISCZKNCSA-N -1 1 323.393 1.035 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001294290653 915041402 /nfs/dbraw/zinc/04/14/02/915041402.db2.gz UBNHCLSTCHHENZ-QWHCGFSZSA-N -1 1 319.405 1.658 20 0 DDADMM C/C(=C\C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001297171980 916778323 /nfs/dbraw/zinc/77/83/23/916778323.db2.gz UXBVUOZYQTUZNZ-YRNVUSSQSA-N -1 1 315.373 1.332 20 0 DDADMM C[C@@H]1CC[C@@H](C[N@H+](CCNC(=O)c2ncccc2[O-])C2CC2)O1 ZINC001377528863 922234703 /nfs/dbraw/zinc/23/47/03/922234703.db2.gz YKNGCPDLOZYXPZ-OCCSQVGLSA-N -1 1 319.405 1.549 20 0 DDADMM Cc1cc(C(=O)N=c2nc(-c3ccccn3)[nH][n-]2)nn1C(C)C ZINC000448772555 230550141 /nfs/dbraw/zinc/55/01/41/230550141.db2.gz UCBHIOXWAFYMLG-UHFFFAOYSA-N -1 1 311.349 1.627 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CCc3cncs3)nc2n1 ZINC000622993266 365585438 /nfs/dbraw/zinc/58/54/38/365585438.db2.gz HHAUXFZMUBEUNE-UHFFFAOYSA-N -1 1 318.362 1.008 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCSC3)nc2n1 ZINC000622993601 365587302 /nfs/dbraw/zinc/58/73/02/365587302.db2.gz UJTOIURPVKNRFN-MRVPVSSYSA-N -1 1 307.379 1.062 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)Cc3ccsc3C)nc2n1 ZINC000622993554 365587350 /nfs/dbraw/zinc/58/73/50/365587350.db2.gz SEXYLHXKGGFPNA-UHFFFAOYSA-N -1 1 317.374 1.531 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)COCC3CCCC3)nc2n1 ZINC000622993509 365587379 /nfs/dbraw/zinc/58/73/79/365587379.db2.gz QFKIRTUHBSCZIR-UHFFFAOYSA-N -1 1 319.365 1.125 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3cccc(C)n3)nc2n1 ZINC000622995791 365588350 /nfs/dbraw/zinc/58/83/50/365588350.db2.gz PHJIVNALLBYGAO-UHFFFAOYSA-N -1 1 312.333 1.326 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)C[C@H]3CCCO3)cnc2n1 ZINC000076967821 185062576 /nfs/dbraw/zinc/06/25/76/185062576.db2.gz JUEVUWUVPLIEPT-LLVKDONJSA-N -1 1 301.346 1.895 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N(C)C[C@H]1CCCO1)c2=O ZINC000076967821 185062577 /nfs/dbraw/zinc/06/25/77/185062577.db2.gz JUEVUWUVPLIEPT-LLVKDONJSA-N -1 1 301.346 1.895 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)C[C@@H](C)OC)cc1 ZINC000278522237 214209078 /nfs/dbraw/zinc/20/90/78/214209078.db2.gz CCEHNTNFAOXAJH-SNVBAGLBSA-N -1 1 301.364 1.640 20 0 DDADMM O=C(c1cccc(CO)c1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614390619 361833964 /nfs/dbraw/zinc/83/39/64/361833964.db2.gz VYRKCSYYCMTBOP-LBPRGKRZSA-N -1 1 303.318 1.287 20 0 DDADMM C[S@@](=O)CCC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451210114 231033805 /nfs/dbraw/zinc/03/38/05/231033805.db2.gz RZMHWKTZTCAANZ-LJQANCHMSA-N -1 1 319.379 1.619 20 0 DDADMM COCC[C@@H](COC)[N-]S(=O)(=O)c1sccc1Cl ZINC000451573847 231129051 /nfs/dbraw/zinc/12/90/51/231129051.db2.gz UYBWLXNNUPSIBY-QMMMGPOBSA-N -1 1 313.828 1.731 20 0 DDADMM CO[C@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000451782880 231190975 /nfs/dbraw/zinc/19/09/75/231190975.db2.gz ZIYYNQNKDAJURE-SKDRFNHKSA-N -1 1 321.345 1.295 20 0 DDADMM CC[C@@H](CNS(=O)(=O)c1c(F)cc(F)cc1F)C(=O)[O-] ZINC000087499032 185226964 /nfs/dbraw/zinc/22/69/64/185226964.db2.gz MQMNHXKZKJQIJP-LURJTMIESA-N -1 1 311.281 1.493 20 0 DDADMM CCCC[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000286580969 219065426 /nfs/dbraw/zinc/06/54/26/219065426.db2.gz RKGUOEVTZIQJCR-UHFFFAOYSA-N -1 1 310.217 1.569 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc([C@H]3CCCS3)no2)o1 ZINC000274250280 539279146 /nfs/dbraw/zinc/27/91/46/539279146.db2.gz WYRZTRUHOBKWEK-MRVPVSSYSA-N -1 1 315.376 1.806 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@H](C)OC(C)(C)C1 ZINC000266980383 295689582 /nfs/dbraw/zinc/68/95/82/295689582.db2.gz PVDBNPJSJWRSNX-QMMMGPOBSA-N -1 1 311.407 1.852 20 0 DDADMM C[C@H]1Cc2cn[nH]c2[C@@H](C(=O)N=c2cc(C(F)(F)F)[n-][nH]2)C1 ZINC000623202435 365716995 /nfs/dbraw/zinc/71/69/95/365716995.db2.gz QZEYPELCBGQEMP-XPUUQOCRSA-N -1 1 313.283 1.878 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC2(CNC(=O)C2)CC1 ZINC000289270232 539286745 /nfs/dbraw/zinc/28/67/45/539286745.db2.gz GFERASHTUOGUFE-UHFFFAOYSA-N -1 1 310.300 1.413 20 0 DDADMM CN(CCc1ccc(Br)cc1)Cc1n[nH]c(=O)[n-]1 ZINC000092618579 185329081 /nfs/dbraw/zinc/32/90/81/185329081.db2.gz VHZZLPASZVHDSL-UHFFFAOYSA-N -1 1 311.183 1.535 20 0 DDADMM CCc1ncc(NS(=O)(=O)c2cccc(C(=O)[O-])c2C)cn1 ZINC000446993448 529758943 /nfs/dbraw/zinc/75/89/43/529758943.db2.gz IJAJPJQSDMYQQV-UHFFFAOYSA-N -1 1 321.358 1.846 20 0 DDADMM COCC[C@@H](C)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000155756642 290701672 /nfs/dbraw/zinc/70/16/72/290701672.db2.gz DCKMNWFUICRBPN-SECBINFHSA-N -1 1 313.423 1.274 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1C[C@]1(F)c1ccccc1 ZINC000412318037 529930843 /nfs/dbraw/zinc/93/08/43/529930843.db2.gz PQFMQAXNHDZRSZ-BZNIZROVSA-N -1 1 316.336 1.323 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@H]1CCOC(C)(C)C1)c2=O ZINC000457211563 232015223 /nfs/dbraw/zinc/01/52/23/232015223.db2.gz MSQAMSWVYBSESI-JTQLQIEISA-N -1 1 318.377 1.609 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2(C)CCC(C)CC2)o1 ZINC000299627435 530028141 /nfs/dbraw/zinc/02/81/41/530028141.db2.gz RPORPJBUXVDDIO-UHFFFAOYSA-N -1 1 314.407 1.886 20 0 DDADMM Cc1cc(C(=O)Nc2nnn[n-]2)cc(C(=O)OC(C)(C)C)c1 ZINC000457595808 232087024 /nfs/dbraw/zinc/08/70/24/232087024.db2.gz MHQUSFCKSZOGFQ-UHFFFAOYSA-N -1 1 303.322 1.716 20 0 DDADMM Cc1cc(C(=O)Nc2nn[n-]n2)cc(C(=O)OC(C)(C)C)c1 ZINC000457595808 232087028 /nfs/dbraw/zinc/08/70/28/232087028.db2.gz MHQUSFCKSZOGFQ-UHFFFAOYSA-N -1 1 303.322 1.716 20 0 DDADMM CO[C@H]1CC[C@H](C(=O)N=c2[nH][n-]c(C)c2Br)C1 ZINC000616011711 362520322 /nfs/dbraw/zinc/52/03/22/362520322.db2.gz RPFJWXPKDAHDOE-YUMQZZPRSA-N -1 1 302.172 1.656 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)CCC1CCCCC1 ZINC000330904645 232151421 /nfs/dbraw/zinc/15/14/21/232151421.db2.gz YYBRSMGZSXGOQN-UHFFFAOYSA-N -1 1 301.412 1.986 20 0 DDADMM C[C@H](c1nc2ccccc2s1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC000564290252 303992390 /nfs/dbraw/zinc/99/23/90/303992390.db2.gz MDMIPJRTISXRTO-SECBINFHSA-N -1 1 303.391 1.911 20 0 DDADMM CO[C@H](C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1)[C@@H]1CCOC1 ZINC000616811141 362829886 /nfs/dbraw/zinc/82/98/86/362829886.db2.gz KPQPQEITIGDZPL-YGRLFVJLSA-N -1 1 321.402 1.859 20 0 DDADMM CCOC(COCCNC(=O)c1ccc([O-])cc1F)OCC ZINC000277636737 213651421 /nfs/dbraw/zinc/65/14/21/213651421.db2.gz NHHXMXDUHYFZHN-UHFFFAOYSA-N -1 1 315.341 1.677 20 0 DDADMM CC(C)[C@@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343394094 282655951 /nfs/dbraw/zinc/65/59/51/282655951.db2.gz RUJURKANFUHWOI-CYBMUJFWSA-N -1 1 319.405 1.802 20 0 DDADMM Cc1c(NC(=O)c2cc(=O)n3[n-]cnc3n2)cccc1N(C)C ZINC000353941955 290884340 /nfs/dbraw/zinc/88/43/40/290884340.db2.gz PXUVCWGPDYFYSA-UHFFFAOYSA-N -1 1 312.333 1.044 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C)c(C(C)=O)c1)c1nn[n-]n1 ZINC000194281822 186276048 /nfs/dbraw/zinc/27/60/48/186276048.db2.gz JTCSOPRFFWLGFT-CYBMUJFWSA-N -1 1 301.350 1.982 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1CCc2cc(C)ccc2O1)c1nn[n-]n1 ZINC000280038698 215329578 /nfs/dbraw/zinc/32/95/78/215329578.db2.gz VJIMBNDMGLELNU-JSGCOSHPSA-N -1 1 315.377 1.859 20 0 DDADMM CC[C@@H]1CCC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343709874 282728011 /nfs/dbraw/zinc/72/80/11/282728011.db2.gz DDIZSLXCSUVHAA-NEPJUHHUSA-N -1 1 305.378 1.602 20 0 DDADMM NC(=O)c1cc(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)c[nH]1 ZINC000617367246 363075498 /nfs/dbraw/zinc/07/54/98/363075498.db2.gz JIGAARHNKLEIQB-UHFFFAOYSA-N -1 1 301.331 1.239 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)C2CCCC2)o1 ZINC000172135429 198044933 /nfs/dbraw/zinc/04/49/33/198044933.db2.gz MUSZWGCIKXCXLY-VIFPVBQESA-N -1 1 300.380 1.496 20 0 DDADMM Cc1nc(SCC(=O)N2C[C@H](C)C[C@@H](C)C2)[n-]c(=O)c1C ZINC000019974375 352169907 /nfs/dbraw/zinc/16/99/07/352169907.db2.gz HTNMKHYISYOUJT-NXEZZACHSA-N -1 1 309.435 1.983 20 0 DDADMM COCCCNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000032648152 352282335 /nfs/dbraw/zinc/28/23/35/352282335.db2.gz UCIDOMLWJKODJM-UHFFFAOYSA-N -1 1 318.395 1.123 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NC1CCCC1 ZINC000047327647 352490441 /nfs/dbraw/zinc/49/04/41/352490441.db2.gz YKFGXJUORINANA-UHFFFAOYSA-N -1 1 312.373 1.742 20 0 DDADMM CN(Cc1ccccc1)C(=O)CS(=O)(=O)c1ccc([O-])cc1 ZINC000063927644 352928193 /nfs/dbraw/zinc/92/81/93/352928193.db2.gz GZSULTAUNQKYCV-UHFFFAOYSA-N -1 1 319.382 1.825 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2C[C@H]2c2ccccc2)o1 ZINC000062299116 352898439 /nfs/dbraw/zinc/89/84/39/352898439.db2.gz JJLURAFCDBQGGM-RYUDHWBXSA-N -1 1 320.370 1.474 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2ccc(C(=O)[O-])cc2C)c1 ZINC000358555650 299196756 /nfs/dbraw/zinc/19/67/56/299196756.db2.gz NQCIXGDIESNQQP-UHFFFAOYSA-N -1 1 321.358 1.780 20 0 DDADMM COc1cc([C@@H](C)[N-]S(=O)(=O)c2c(C)noc2C)ccn1 ZINC000344922462 282871456 /nfs/dbraw/zinc/87/14/56/282871456.db2.gz DCGCCGVSCWRNKL-MRVPVSSYSA-N -1 1 311.363 1.735 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)Nc2cccc(C)c2C(=O)[O-])c1 ZINC000358567137 299200110 /nfs/dbraw/zinc/20/01/10/299200110.db2.gz NXQCVLPJBYAWAI-UHFFFAOYSA-N -1 1 321.358 1.780 20 0 DDADMM CCO[C@H](C)c1noc(CS(=O)(=O)c2ccc([O-])cc2)n1 ZINC000077647181 353479978 /nfs/dbraw/zinc/47/99/78/353479978.db2.gz QHURMSHGTUSEFY-SECBINFHSA-N -1 1 312.347 1.847 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCC[C@H]1O ZINC000081953857 353698962 /nfs/dbraw/zinc/69/89/62/353698962.db2.gz AAQWQPNVONGMMM-WDEREUQCSA-N -1 1 307.394 1.267 20 0 DDADMM CNC(=O)c1ccc(OC)c([N-]S(=O)(=O)CCC2CC2)c1 ZINC000092263308 353849370 /nfs/dbraw/zinc/84/93/70/353849370.db2.gz BFQMYIVUNVLJBT-UHFFFAOYSA-N -1 1 312.391 1.597 20 0 DDADMM Cn1c(CNC(=O)c2ncccc2C(F)(F)F)n[n-]c1=S ZINC000092411947 353856276 /nfs/dbraw/zinc/85/62/76/353856276.db2.gz CQPOOCVOGLPJDF-UHFFFAOYSA-N -1 1 317.296 1.821 20 0 DDADMM NC(=O)NC[C@@H]1CCCCN1C(=O)c1cc(Cl)ccc1[O-] ZINC000094089958 353909805 /nfs/dbraw/zinc/90/98/05/353909805.db2.gz TTZMKUACUKMENR-JTQLQIEISA-N -1 1 311.769 1.709 20 0 DDADMM C[C@@H](CO)[C@@H]1CCCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000617860441 363346356 /nfs/dbraw/zinc/34/63/56/363346356.db2.gz VMLZIBKJKYMUEZ-GWCFXTLKSA-N -1 1 309.435 1.762 20 0 DDADMM C[C@H](CO)[C@H]1CCCCN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000617860444 363346465 /nfs/dbraw/zinc/34/64/65/363346465.db2.gz VMLZIBKJKYMUEZ-ZWNOBZJWSA-N -1 1 309.435 1.762 20 0 DDADMM CS(=O)(=O)c1ccc(CN2C[C@@H]3CCC[C@@H]3[C@H]2C(=O)[O-])cc1 ZINC000318830163 354518201 /nfs/dbraw/zinc/51/82/01/354518201.db2.gz ZMPUWXVJMMMZGT-QEJZJMRPSA-N -1 1 323.414 1.775 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(CC(=O)NC3CC3)no2)c1 ZINC000350721710 354608563 /nfs/dbraw/zinc/60/85/63/354608563.db2.gz KUKGDAMYQCBFDH-UHFFFAOYSA-N -1 1 316.317 1.222 20 0 DDADMM Cc1nnc(CCNC(=O)c2nc3ccccc3c(=O)[n-]2)s1 ZINC000357264832 291038399 /nfs/dbraw/zinc/03/83/99/291038399.db2.gz ASTNWZNEJXYMGV-UHFFFAOYSA-N -1 1 315.358 1.056 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)c1ccco1 ZINC000584837028 354779572 /nfs/dbraw/zinc/77/95/72/354779572.db2.gz SVEUZTPDMJQSCG-YPMHNXCESA-N -1 1 303.380 1.312 20 0 DDADMM CCOC(=O)c1coc(=NCCCN2C[C@H](C)O[C@@H](C)C2)[n-]1 ZINC000346285968 283053224 /nfs/dbraw/zinc/05/32/24/283053224.db2.gz ZKYYDNWZYZGHST-RYUDHWBXSA-N -1 1 311.382 1.184 20 0 DDADMM CO[C@@H]1CCCC[C@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000332475936 235010921 /nfs/dbraw/zinc/01/09/21/235010921.db2.gz UXKPFVCCXRLKFJ-VXGBXAGGSA-N -1 1 309.366 1.686 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000588926354 354949336 /nfs/dbraw/zinc/94/93/36/354949336.db2.gz VCZOZOWNVWGTME-DOMZBBRYSA-N -1 1 323.356 1.873 20 0 DDADMM C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1ccc([O-])cc1F ZINC000287588632 291066225 /nfs/dbraw/zinc/06/62/25/291066225.db2.gz XWCIWUMNYCVXKR-NWDGAFQWSA-N -1 1 308.353 1.467 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1c(F)cccc1Cl)C(=O)OC ZINC000592076797 355486515 /nfs/dbraw/zinc/48/65/15/355486515.db2.gz DOFKPALGWTXGFC-MRVPVSSYSA-N -1 1 323.773 1.957 20 0 DDADMM NC(=O)[C@H]1CCC[C@@H](NC(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000631416459 422750453 /nfs/dbraw/zinc/75/04/53/422750453.db2.gz WHCCOKUJZFRSHL-WDEREUQCSA-N -1 1 310.781 1.748 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3C[C@@H]32)cc1C ZINC000595338922 356454744 /nfs/dbraw/zinc/45/47/44/356454744.db2.gz UVJUTUIGBJVKKC-OUAUKWLOSA-N -1 1 313.375 1.842 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C)c2ccccn2)cc1C ZINC000595300787 356439318 /nfs/dbraw/zinc/43/93/18/356439318.db2.gz VJCQUECTLRUWPB-SNVBAGLBSA-N -1 1 324.358 1.809 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C2CCCCC2)cc1C ZINC000595302863 356440170 /nfs/dbraw/zinc/44/01/70/356440170.db2.gz MMKKZADJWRXHOY-UHFFFAOYSA-N -1 1 301.364 1.986 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2CC2(C)C)cc1C ZINC000595312396 356444703 /nfs/dbraw/zinc/44/47/03/356444703.db2.gz MEUQZFNVQOHIFF-SECBINFHSA-N -1 1 301.364 1.699 20 0 DDADMM CC[C@@H](O)CCC[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595314512 356445911 /nfs/dbraw/zinc/44/59/11/356445911.db2.gz GSFUKWIHONNQSA-SNVBAGLBSA-N -1 1 319.379 1.204 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCO[C@H](C)C2)cc1C ZINC000595320899 356448458 /nfs/dbraw/zinc/44/84/58/356448458.db2.gz JMMQWWAFLBBHDV-NXEZZACHSA-N -1 1 317.363 1.220 20 0 DDADMM COC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C1 ZINC000595402097 356479569 /nfs/dbraw/zinc/47/95/69/356479569.db2.gz OKZFDVMKVLLYOQ-ZKCHVHJHSA-N -1 1 321.757 1.709 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H]3CCC[C@H](O)C3)cnc2n1 ZINC000081716216 192316849 /nfs/dbraw/zinc/31/68/49/192316849.db2.gz DUUDCCGLAOAOJS-NEPJUHHUSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@@H]3CCC[C@H](O)C3)c[n-]c2n1 ZINC000081716216 192316850 /nfs/dbraw/zinc/31/68/50/192316850.db2.gz DUUDCCGLAOAOJS-NEPJUHHUSA-N -1 1 315.373 1.925 20 0 DDADMM CSC[C@@H](C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000601349635 358510906 /nfs/dbraw/zinc/51/09/06/358510906.db2.gz HOERONSLMXGOJM-RXMQYKEDSA-N -1 1 303.331 1.458 20 0 DDADMM COC(=O)[C@H](c1ccccc1C)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000595882750 356689778 /nfs/dbraw/zinc/68/97/78/356689778.db2.gz OVTIFUIDHPJXTF-HACGYAERSA-N -1 1 319.357 1.242 20 0 DDADMM CCOC(=O)c1c[n-]c(=Nc2ccn(CCCOC)n2)s1 ZINC000596137081 356787568 /nfs/dbraw/zinc/78/75/68/356787568.db2.gz PVLZWTQSPVPWJI-UHFFFAOYSA-N -1 1 310.379 1.718 20 0 DDADMM COC(=O)C(C)(C)n1cc(NC(=O)c2ccccc2[O-])cn1 ZINC000598371790 357555059 /nfs/dbraw/zinc/55/50/59/357555059.db2.gz KOXULUQGEWFPKR-UHFFFAOYSA-N -1 1 303.318 1.749 20 0 DDADMM Cc1cc(O[C@H](C)C(=O)NCc2nn[n-]n2)ccc1C(C)C ZINC000599334529 357899086 /nfs/dbraw/zinc/89/90/86/357899086.db2.gz PBRKDGFYZBDDRR-LLVKDONJSA-N -1 1 303.366 1.715 20 0 DDADMM COc1ccc(-c2nc(C(=O)NCc3nn[n-]n3)cs2)cc1 ZINC000599344416 357902646 /nfs/dbraw/zinc/90/26/46/357902646.db2.gz JNYQJMJRMHLGHI-UHFFFAOYSA-N -1 1 316.346 1.262 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CC[C@H]3C[C@H]3C2)o1 ZINC000599369538 357912760 /nfs/dbraw/zinc/91/27/60/357912760.db2.gz DUUKVVIGGFWSIK-OUAUKWLOSA-N -1 1 313.375 1.781 20 0 DDADMM COC(=O)C[C@@H](O)CNC(=O)c1cc2ccccc2cc1[O-] ZINC000600460836 358228703 /nfs/dbraw/zinc/22/87/03/358228703.db2.gz FWYDZHLOPBFJOM-GFCCVEGCSA-N -1 1 303.314 1.199 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC[C@@H](C)[C@H](C)C2)co1 ZINC000348071625 283354123 /nfs/dbraw/zinc/35/41/23/283354123.db2.gz IPSRPYLINZONKI-CKYFFXLPSA-N -1 1 314.407 1.742 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CC23CCCCC3)o1 ZINC000180795899 199210526 /nfs/dbraw/zinc/21/05/26/199210526.db2.gz WFZGSRKEYZRZOM-NSHDSACASA-N -1 1 312.391 1.640 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC23CCCCC3)o1 ZINC000180795877 199210651 /nfs/dbraw/zinc/21/06/51/199210651.db2.gz WFZGSRKEYZRZOM-LLVKDONJSA-N -1 1 312.391 1.640 20 0 DDADMM CC[C@@H](C)C[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)C(=O)OC ZINC000601451501 358559247 /nfs/dbraw/zinc/55/92/47/358559247.db2.gz CSOOBTNLJAZIJR-PSASIEDQSA-N -1 1 320.436 1.708 20 0 DDADMM Cc1cc(CN2CCN(C(=O)c3ccc([O-])cc3F)CC2)on1 ZINC000181069698 199247770 /nfs/dbraw/zinc/24/77/70/199247770.db2.gz HOPSCFIKOUQZJC-UHFFFAOYSA-N -1 1 319.336 1.786 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2cccc(Br)c2)c1=O ZINC000601932231 358743943 /nfs/dbraw/zinc/74/39/43/358743943.db2.gz OURRCAFVJDAVJD-QMMMGPOBSA-N -1 1 311.135 1.961 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)CC2(OC)CCC2)c1 ZINC000601977260 358762727 /nfs/dbraw/zinc/76/27/27/358762727.db2.gz YZVXBNXKVMWREX-UHFFFAOYSA-N -1 1 313.375 1.784 20 0 DDADMM CCOC(=O)[C@@]1(C(C)C)CCN(Cc2cc(C(=O)[O-])nn2C)C1 ZINC000602068542 358799885 /nfs/dbraw/zinc/79/98/85/358799885.db2.gz XPHDQMWIFWLSMW-INIZCTEOSA-N -1 1 323.393 1.530 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(C(F)F)c2)n[n-]1 ZINC000603019183 359361123 /nfs/dbraw/zinc/36/11/23/359361123.db2.gz SRPOAHPGHJOFLS-UHFFFAOYSA-N -1 1 324.287 1.849 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(C(F)F)c2)n1 ZINC000603019183 359361125 /nfs/dbraw/zinc/36/11/25/359361125.db2.gz SRPOAHPGHJOFLS-UHFFFAOYSA-N -1 1 324.287 1.849 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCCC(F)(F)F)n[n-]1 ZINC000603019253 359361231 /nfs/dbraw/zinc/36/12/31/359361231.db2.gz UDRWQFFWMOPYIP-UHFFFAOYSA-N -1 1 308.260 1.330 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCCC(F)(F)F)n1 ZINC000603019253 359361235 /nfs/dbraw/zinc/36/12/35/359361235.db2.gz UDRWQFFWMOPYIP-UHFFFAOYSA-N -1 1 308.260 1.330 20 0 DDADMM COCc1cccc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000618487829 363649008 /nfs/dbraw/zinc/64/90/08/363649008.db2.gz YEEVAPGQUNABPL-HNNXBMFYSA-N -1 1 323.356 1.866 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2CC(F)(F)C2)n[n-]1 ZINC000603153719 359440329 /nfs/dbraw/zinc/44/03/29/359440329.db2.gz JOGAPTCHAXUIHI-ZCFIWIBFSA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2CC(F)(F)C2)[n-]1 ZINC000603153719 359440333 /nfs/dbraw/zinc/44/03/33/359440333.db2.gz JOGAPTCHAXUIHI-ZCFIWIBFSA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2CC(F)(F)C2)n1 ZINC000603153719 359440338 /nfs/dbraw/zinc/44/03/38/359440338.db2.gz JOGAPTCHAXUIHI-ZCFIWIBFSA-N -1 1 302.281 1.204 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@@H]2C=CCCC2)n[n-]1 ZINC000603152187 359439094 /nfs/dbraw/zinc/43/90/94/359439094.db2.gz AFQZESCYPUNCFZ-GHMZBOCLSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@@H]2C=CCCC2)[n-]1 ZINC000603152187 359439096 /nfs/dbraw/zinc/43/90/96/359439096.db2.gz AFQZESCYPUNCFZ-GHMZBOCLSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@@H]2C=CCCC2)n1 ZINC000603152187 359439100 /nfs/dbraw/zinc/43/91/00/359439100.db2.gz AFQZESCYPUNCFZ-GHMZBOCLSA-N -1 1 306.366 1.905 20 0 DDADMM COC(=O)CC[C@@H](Sc1nc(C)c(C)c(=O)[n-]1)C(=O)OC ZINC000603325665 359570336 /nfs/dbraw/zinc/57/03/36/359570336.db2.gz YTQWFUPBSCKBRC-SECBINFHSA-N -1 1 314.363 1.386 20 0 DDADMM Cn1nccc1S(=O)(=O)[N-]c1c(F)cccc1N1CCCC1 ZINC000606753525 359959248 /nfs/dbraw/zinc/95/92/48/359959248.db2.gz KGJVQHHJGLCQDI-UHFFFAOYSA-N -1 1 324.381 1.960 20 0 DDADMM Cc1[nH][nH]c(=O)c1CCNC(=O)c1ccc2ccccc2c1[O-] ZINC000606931353 359977808 /nfs/dbraw/zinc/97/78/08/359977808.db2.gz ISCQBTUFSLQMNI-GFCCVEGCSA-N -1 1 311.341 1.787 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CCO[C@H](C)C1 ZINC000271929687 209331893 /nfs/dbraw/zinc/33/18/93/209331893.db2.gz KLVJUUGPYRDXEE-SKDRFNHKSA-N -1 1 318.377 1.071 20 0 DDADMM O=C(c1cccc(-c2cnco2)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612814395 361154811 /nfs/dbraw/zinc/15/48/11/361154811.db2.gz CGQVJABLQHFACZ-ZDUSSCGKSA-N -1 1 324.344 1.875 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cnnn1-c1ccccc1)C(F)(F)F ZINC000625216202 366779376 /nfs/dbraw/zinc/77/93/76/366779376.db2.gz CUGNGQXLNVDGBY-QMMMGPOBSA-N -1 1 320.296 1.496 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncnc2ccsc21)c1nn[n-]n1 ZINC000613473946 361434020 /nfs/dbraw/zinc/43/40/20/361434020.db2.gz DGOJCAMYRDEJAB-SSDOTTSWSA-N -1 1 303.351 1.080 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H]3CCCOC3)cnc2n1 ZINC000194436198 201227568 /nfs/dbraw/zinc/22/75/68/201227568.db2.gz DMMUDTXEXXGJSM-NSHDSACASA-N -1 1 301.346 1.800 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@H]1CCCOC1)c2=O ZINC000194436198 201227573 /nfs/dbraw/zinc/22/75/73/201227573.db2.gz DMMUDTXEXXGJSM-NSHDSACASA-N -1 1 301.346 1.800 20 0 DDADMM O=S(=O)(CCn1cccn1)c1nnc(Cc2cccs2)[n-]1 ZINC000195161507 201348824 /nfs/dbraw/zinc/34/88/24/201348824.db2.gz IROGPOIEDAFSBD-UHFFFAOYSA-N -1 1 323.403 1.127 20 0 DDADMM O=S(=O)(CCn1cccn1)c1nc(Cc2cccs2)n[n-]1 ZINC000195161507 201348827 /nfs/dbraw/zinc/34/88/27/201348827.db2.gz IROGPOIEDAFSBD-UHFFFAOYSA-N -1 1 323.403 1.127 20 0 DDADMM O=S(=O)(CCn1cccn1)c1n[n-]c(Cc2cccs2)n1 ZINC000195161507 201348831 /nfs/dbraw/zinc/34/88/31/201348831.db2.gz IROGPOIEDAFSBD-UHFFFAOYSA-N -1 1 323.403 1.127 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(=O)Nc2ccc(C)cc2)c[n-]1 ZINC000619446084 364013724 /nfs/dbraw/zinc/01/37/24/364013724.db2.gz NWVMFRXFCMWFAY-UHFFFAOYSA-N -1 1 316.317 1.472 20 0 DDADMM O=C(Nc1ccnc(OCCO)c1)c1csc(=NC2CC2)[n-]1 ZINC000620639495 364501949 /nfs/dbraw/zinc/50/19/49/364501949.db2.gz VNMNPCZIUZPQEU-UHFFFAOYSA-N -1 1 320.374 1.158 20 0 DDADMM C[C@]1(C(F)F)CN(C(=O)c2csc(=NC3CC3)[n-]2)CCO1 ZINC000621193368 364729975 /nfs/dbraw/zinc/72/99/75/364729975.db2.gz WFVJFWKCQZGKQJ-CYBMUJFWSA-N -1 1 317.361 1.636 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cnc2n1CCCCC2 ZINC000621443740 364857972 /nfs/dbraw/zinc/85/79/72/364857972.db2.gz RIOXOWXPWNOMCF-UHFFFAOYSA-N -1 1 314.271 1.999 20 0 DDADMM CC[C@H](CSC)N(C)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000091148250 193004695 /nfs/dbraw/zinc/00/46/95/193004695.db2.gz OLWXPFGNCTXVKF-SNVBAGLBSA-N -1 1 320.436 1.401 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000566220820 304131054 /nfs/dbraw/zinc/13/10/54/304131054.db2.gz NWJNFVQMXAYLSK-KXUCPTDWSA-N -1 1 308.300 1.335 20 0 DDADMM Cn1cc(N2C[C@H](C(=O)Nc3ccc(F)cc3[O-])CC2=O)cn1 ZINC000626277671 367457911 /nfs/dbraw/zinc/45/79/11/367457911.db2.gz KFODVQDTTUTNDR-SECBINFHSA-N -1 1 318.308 1.256 20 0 DDADMM COC(=O)C[C@](C)(NC(=O)c1ncccc1[O-])c1ccncc1 ZINC000275158125 212157706 /nfs/dbraw/zinc/15/77/06/212157706.db2.gz ZTVDODCPCIXOPX-INIZCTEOSA-N -1 1 315.329 1.391 20 0 DDADMM COc1ccc(CNC(=O)c2csc(=NC3CC3)[n-]2)cn1 ZINC000349803049 284058802 /nfs/dbraw/zinc/05/88/02/284058802.db2.gz RICZPPQSGIDBIQ-UHFFFAOYSA-N -1 1 304.375 1.473 20 0 DDADMM COc1cc(CNC(=O)c2csc(=NC3CC3)[n-]2)ccn1 ZINC000349804094 284059409 /nfs/dbraw/zinc/05/94/09/284059409.db2.gz UTIVFEKXBFGFSK-UHFFFAOYSA-N -1 1 304.375 1.473 20 0 DDADMM C[C@@H]1CCC[C@@H](C)N1C(=O)N1Cc2[nH]cnc2C[C@H]1C(=O)[O-] ZINC000275140139 212145604 /nfs/dbraw/zinc/14/56/04/212145604.db2.gz QDGDYTUNFADREK-BREBYQMCSA-N -1 1 306.366 1.604 20 0 DDADMM COCC[C@H](NS(=O)(=O)c1c(C)o[n-]c1=N)C(C)(C)C ZINC000349882378 284092931 /nfs/dbraw/zinc/09/29/31/284092931.db2.gz YVTUFJXKQCFZBN-VIFPVBQESA-N -1 1 305.400 1.125 20 0 DDADMM COCC[C@H]([N-]S(=O)(=O)c1c(C)onc1N)C(C)(C)C ZINC000349882378 284092935 /nfs/dbraw/zinc/09/29/35/284092935.db2.gz YVTUFJXKQCFZBN-VIFPVBQESA-N -1 1 305.400 1.125 20 0 DDADMM C[C@@H](C(=O)[O-])N1CCN(C(=O)N(C)Cc2ccccc2)CC1 ZINC000261548362 203075913 /nfs/dbraw/zinc/07/59/13/203075913.db2.gz NOUPVKWSDNYFJH-ZDUSSCGKSA-N -1 1 305.378 1.329 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)C(=O)[O-] ZINC000262300941 203255028 /nfs/dbraw/zinc/25/50/28/203255028.db2.gz QDSOZPWOJKCZSW-KCJUWKMLSA-N -1 1 320.349 1.026 20 0 DDADMM Cc1ccc(NC(=O)NC(=O)CN2CC[C@H](C(=O)[O-])C2)c(C)c1 ZINC000262643213 203361189 /nfs/dbraw/zinc/36/11/89/203361189.db2.gz JJXWJHLQZHAABD-LBPRGKRZSA-N -1 1 319.361 1.358 20 0 DDADMM O=C(N[C@H]1CCO[C@H](C2CC2)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000157869025 284209420 /nfs/dbraw/zinc/20/94/20/284209420.db2.gz KFOIWGHOYFSYNF-FZMZJTMJSA-N -1 1 313.357 1.611 20 0 DDADMM COC(=O)[C@H]1CC[C@@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000351352588 284320815 /nfs/dbraw/zinc/32/08/15/284320815.db2.gz NGQJFJJLMVEODO-RYUDHWBXSA-N -1 1 315.329 1.920 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000266243692 205210906 /nfs/dbraw/zinc/21/09/06/205210906.db2.gz WLSKXYGRARLNSZ-DTWKUNHWSA-N -1 1 307.803 1.309 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282873919 217292535 /nfs/dbraw/zinc/29/25/35/217292535.db2.gz ISYNBFZYWAESBW-NWDGAFQWSA-N -1 1 316.361 1.272 20 0 DDADMM CN1Cc2c(cccc2[N-]S(=O)(=O)C[C@@H]2CCCCO2)C1=O ZINC000333896828 249142688 /nfs/dbraw/zinc/14/26/88/249142688.db2.gz AVKAKMFHJSIWKG-NSHDSACASA-N -1 1 324.402 1.583 20 0 DDADMM CCNC(=O)[C@H](C)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000271868537 209282267 /nfs/dbraw/zinc/28/22/67/209282267.db2.gz NITDVWDEQQYZQV-ZETCQYMHSA-N -1 1 304.268 1.665 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(C3(OC)CCC3)no2)co1 ZINC000274097686 211352170 /nfs/dbraw/zinc/35/21/70/211352170.db2.gz SONQEVWERBIISN-UHFFFAOYSA-N -1 1 313.335 1.263 20 0 DDADMM C[C@H](CN1CCCC1=O)[N-]S(=O)(=O)c1sccc1F ZINC000338869007 250172685 /nfs/dbraw/zinc/17/26/85/250172685.db2.gz ZHRJLUUIVFVSPM-MRVPVSSYSA-N -1 1 306.384 1.176 20 0 DDADMM O=S(=O)([N-]CCOCC(F)(F)F)c1sccc1F ZINC000338855916 250164955 /nfs/dbraw/zinc/16/49/55/250164955.db2.gz SQHYTAMJMADYMI-UHFFFAOYSA-N -1 1 307.290 1.744 20 0 DDADMM C[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)c1cncs1 ZINC000339109649 250298531 /nfs/dbraw/zinc/29/85/31/250298531.db2.gz CDQGHGNVHGQXDN-MRVPVSSYSA-N -1 1 300.343 1.871 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COc2ccc(F)cc2)n1 ZINC000339176318 250328858 /nfs/dbraw/zinc/32/88/58/250328858.db2.gz UTUWGAKSISWJIH-UHFFFAOYSA-N -1 1 307.281 1.743 20 0 DDADMM Cc1nc([C@@H](C)NC(=O)N=c2ccc(C(F)(F)F)n[n-]2)n[nH]1 ZINC000567482910 304223057 /nfs/dbraw/zinc/22/30/57/304223057.db2.gz VESQRRPWHNSDOD-RXMQYKEDSA-N -1 1 315.259 1.227 20 0 DDADMM CCc1onc(C)c1[N-]C(=O)c1coc(S(=O)(=O)NC)c1 ZINC000340436735 251064262 /nfs/dbraw/zinc/06/42/62/251064262.db2.gz PDKQURGYXOWUQQ-UHFFFAOYSA-N -1 1 313.335 1.299 20 0 DDADMM C[C@@H](CNC(=O)OC(C)(C)C)C(=O)[N-]O[C@H]1CCCCO1 ZINC000495678830 307314057 /nfs/dbraw/zinc/31/40/57/307314057.db2.gz CZJMHXJIHJYILG-QWRGUYRKSA-N -1 1 302.371 1.722 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCC(C)(C)OCC1CC1)c2=O ZINC000412633934 224062103 /nfs/dbraw/zinc/06/21/03/224062103.db2.gz ZASLPKOFFRZFIN-UHFFFAOYSA-N -1 1 318.377 1.609 20 0 DDADMM CC(C)(NC(=O)c1cc(=O)n2[n-]cnc2n1)c1cccc(F)c1 ZINC000352472760 285076090 /nfs/dbraw/zinc/07/60/90/285076090.db2.gz JUBNIXRKTXQMTA-UHFFFAOYSA-N -1 1 315.308 1.222 20 0 DDADMM CCN(CCCO)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000270133470 208191914 /nfs/dbraw/zinc/19/19/14/208191914.db2.gz BXGXMNPPZBRNEN-UHFFFAOYSA-N -1 1 313.423 1.376 20 0 DDADMM COCCOCC(=O)N=c1cc(-c2cc(C)ccc2F)[n-][nH]1 ZINC000416253280 533050046 /nfs/dbraw/zinc/05/00/46/533050046.db2.gz QYFIXUDJGUYIKF-UHFFFAOYSA-N -1 1 307.325 1.548 20 0 DDADMM COC(=O)c1csc(S(=O)(=O)[N-]C2(CF)CCC2)c1 ZINC000567894888 304253338 /nfs/dbraw/zinc/25/33/38/304253338.db2.gz BNGZBKJLNRNFLK-UHFFFAOYSA-N -1 1 307.368 1.705 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cc(C)cnc1OC ZINC000288624382 220300128 /nfs/dbraw/zinc/30/01/28/220300128.db2.gz VZKSNPMWXRXLIO-UHFFFAOYSA-N -1 1 316.379 1.340 20 0 DDADMM CN(C(=O)CNC(=O)c1ncccc1[O-])c1ccc(F)cc1 ZINC000352538998 285126098 /nfs/dbraw/zinc/12/60/98/285126098.db2.gz RSFFPKPYQWNEOV-UHFFFAOYSA-N -1 1 303.293 1.319 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCCO2)cn1 ZINC000272881635 210270843 /nfs/dbraw/zinc/27/08/43/210270843.db2.gz HBQYNUUMXHEOJV-NSHDSACASA-N -1 1 314.363 1.179 20 0 DDADMM COc1ccc([C@@H](CO)CNC(=O)c2cncc([O-])c2)cc1 ZINC000458232626 533124246 /nfs/dbraw/zinc/12/42/46/533124246.db2.gz SXWPCBBIGGBGCF-CYBMUJFWSA-N -1 1 302.330 1.302 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(F)(F)c2ccccc2)cn1C ZINC000352734173 285264018 /nfs/dbraw/zinc/26/40/18/285264018.db2.gz JJPYALJRPDEBDZ-UHFFFAOYSA-N -1 1 315.345 1.799 20 0 DDADMM Cc1oc(C(C)C)cc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000352794024 285305618 /nfs/dbraw/zinc/30/56/18/285305618.db2.gz DUBBCWXNOUOHFN-UHFFFAOYSA-N -1 1 312.351 1.485 20 0 DDADMM Cc1oc(C(C)C)cc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000352794024 285305623 /nfs/dbraw/zinc/30/56/23/285305623.db2.gz DUBBCWXNOUOHFN-UHFFFAOYSA-N -1 1 312.351 1.485 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@H]1CCO[C@@H](C)C1 ZINC000289657994 221134122 /nfs/dbraw/zinc/13/41/22/221134122.db2.gz BKCFCLFXKUXKSN-WPRPVWTQSA-N -1 1 303.384 1.049 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H](O)CC1 ZINC000294930733 224319055 /nfs/dbraw/zinc/31/90/55/224319055.db2.gz REKVAPYMBIPYGX-LLVKDONJSA-N -1 1 307.394 1.775 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1ccnc(Cl)c1)c1nn[n-]n1 ZINC000121854049 195361727 /nfs/dbraw/zinc/36/17/27/195361727.db2.gz HSPHGAWUBRRQGI-ORAHPGNNSA-N -1 1 306.757 1.919 20 0 DDADMM C[C@H]1CCNC(=O)[C@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451500876 533660111 /nfs/dbraw/zinc/66/01/11/533660111.db2.gz GNXORDIXELDJJB-XPUUQOCRSA-N -1 1 308.812 1.204 20 0 DDADMM O=C(N=c1[n-]nc([C@H]2CCCO2)s1)c1cccc2[nH]ncc21 ZINC000636350796 422814951 /nfs/dbraw/zinc/81/49/51/422814951.db2.gz MZGBAPISYRXXPU-LLVKDONJSA-N -1 1 315.358 1.940 20 0 DDADMM C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1ccc2ccccc2c1[O-] ZINC000569050818 304332318 /nfs/dbraw/zinc/33/23/18/304332318.db2.gz IMRFGAIPYGASHH-IINYFYTJSA-N -1 1 319.382 1.708 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]Cc2ccccc2C(F)(F)F)n1 ZINC000569335305 304347679 /nfs/dbraw/zinc/34/76/79/304347679.db2.gz NVRSOYGWCRAKCV-UHFFFAOYSA-N -1 1 319.308 1.917 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(c2cc(-n3cccn3)nc(N)n2)C1 ZINC000569582415 304366059 /nfs/dbraw/zinc/36/60/59/304366059.db2.gz STCRSJGNMFBAOX-HNNXBMFYSA-N -1 1 316.365 1.012 20 0 DDADMM O=C([O-])c1coc(N2CCC(CCN3CCOCC3)CC2)n1 ZINC000570283084 304404476 /nfs/dbraw/zinc/40/44/76/304404476.db2.gz MBBRQSQPHNXNGI-UHFFFAOYSA-N -1 1 309.366 1.312 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCOC(C)C ZINC000114413347 407570312 /nfs/dbraw/zinc/57/03/12/407570312.db2.gz OESIKUPMHUXDAH-UHFFFAOYSA-N -1 1 306.366 1.071 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccc3c(c2)OCO3)cn1 ZINC000036078096 406976386 /nfs/dbraw/zinc/97/63/86/406976386.db2.gz CHRYGRKZPCTOMK-UHFFFAOYSA-N -1 1 308.315 1.620 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCC(C)C ZINC000037984390 406996339 /nfs/dbraw/zinc/99/63/39/406996339.db2.gz AMYFNDAILILIBF-UHFFFAOYSA-N -1 1 303.384 1.301 20 0 DDADMM Cn1cnc(C[N-]S(=O)(=O)c2cccc(Cl)c2F)n1 ZINC000092265969 407186787 /nfs/dbraw/zinc/18/67/87/407186787.db2.gz WZQWJUBBVIDZAK-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM CCN1C[C@H](C(=O)NCc2n[n-]c(=S)n2C(C)C)CC1=O ZINC000066639436 407256273 /nfs/dbraw/zinc/25/62/73/407256273.db2.gz IUHCOCFIJIMPHB-SECBINFHSA-N -1 1 311.411 1.006 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1OCCc2ccccc21)c1nn[n-]n1 ZINC000124360699 407356034 /nfs/dbraw/zinc/35/60/34/407356034.db2.gz QNMSUTPMOWCUGF-GWCFXTLKSA-N -1 1 301.350 1.076 20 0 DDADMM O=C(Cc1cccc(F)c1)NCCNC(=O)c1cncc([O-])c1 ZINC000111590301 407412294 /nfs/dbraw/zinc/41/22/94/407412294.db2.gz DEYHZRVFZZCJJG-UHFFFAOYSA-N -1 1 317.320 1.015 20 0 DDADMM CCOCCN1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000111622259 407412534 /nfs/dbraw/zinc/41/25/34/407412534.db2.gz RJZMNYUNCZKGLF-UHFFFAOYSA-N -1 1 312.797 1.840 20 0 DDADMM CCC(CC)[C@@H](O)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000228558907 407647732 /nfs/dbraw/zinc/64/77/32/407647732.db2.gz ORJRMGZCFILTQQ-VIFPVBQESA-N -1 1 309.819 1.149 20 0 DDADMM CNC(=O)[C@@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(C)C ZINC000178923767 407658544 /nfs/dbraw/zinc/65/85/44/407658544.db2.gz TZXFKKOJYFPSNL-NSHDSACASA-N -1 1 324.324 1.153 20 0 DDADMM O=C([N-]OCc1cccnc1)[C@@H]1CC(=O)N(c2nccs2)C1 ZINC000152635343 407667210 /nfs/dbraw/zinc/66/72/10/407667210.db2.gz MTTWTMLTPIHPMZ-LLVKDONJSA-N -1 1 318.358 1.139 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2C[C@H]3CCC[C@@H]3C2)c(=O)[n-]1 ZINC000152964363 407725383 /nfs/dbraw/zinc/72/53/83/407725383.db2.gz SEKGLLPAAJATTB-VXGBXAGGSA-N -1 1 321.446 1.991 20 0 DDADMM C[C@H](NC(=O)c1cncc([O-])c1)c1ccc(-n2cncn2)cc1 ZINC000171760976 407761337 /nfs/dbraw/zinc/76/13/37/407761337.db2.gz MVISYXLGMRNXPG-NSHDSACASA-N -1 1 309.329 1.859 20 0 DDADMM CCN(C(=O)c1ccc([O-])cc1F)[C@@H]1CCS(=O)(=O)C1 ZINC000272077815 407766071 /nfs/dbraw/zinc/76/60/71/407766071.db2.gz BDWJKMUSAFQZJH-SECBINFHSA-N -1 1 301.339 1.181 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CCC(F)(F)F)c1 ZINC000272121094 407783422 /nfs/dbraw/zinc/78/34/22/407783422.db2.gz JOKOIYKALBXWMG-UHFFFAOYSA-N -1 1 312.269 1.321 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCc1cccc(F)c1)c2=O ZINC000179395073 407784120 /nfs/dbraw/zinc/78/41/20/407784120.db2.gz XAUXNAQAXPADEM-UHFFFAOYSA-N -1 1 314.320 1.786 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)CC(=O)NCC(=O)[O-])C12CCCCC2 ZINC000262261035 407779796 /nfs/dbraw/zinc/77/97/96/407779796.db2.gz SGCGYFWYPOBBSP-CHWSQXEVSA-N -1 1 312.410 1.247 20 0 DDADMM C[C@]1(O)CCN(C(=O)c2ccc(Br)c([O-])c2)C1 ZINC000179528785 407802347 /nfs/dbraw/zinc/80/23/47/407802347.db2.gz NBNBCBPGRBHYHM-LBPRGKRZSA-N -1 1 300.152 1.752 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnc(-c2ccco2)s1)c1nn[n-]n1 ZINC000187263692 407837878 /nfs/dbraw/zinc/83/78/78/407837878.db2.gz LMZVQWXUUPKSDS-QMMMGPOBSA-N -1 1 318.362 1.792 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NCCc1ccccc1C)C(=O)[O-] ZINC000263123937 408031433 /nfs/dbraw/zinc/03/14/33/408031433.db2.gz MIARKULWDORJAF-LRDDRELGSA-N -1 1 306.406 1.743 20 0 DDADMM Cn1cc(C(=O)Nc2nnn[n-]2)c(-c2ccccc2Cl)n1 ZINC000135464013 408019584 /nfs/dbraw/zinc/01/95/84/408019584.db2.gz DNKPXPSKSPUZEK-UHFFFAOYSA-N -1 1 303.713 1.506 20 0 DDADMM Cn1cc(C(=O)Nc2nn[n-]n2)c(-c2ccccc2Cl)n1 ZINC000135464013 408019589 /nfs/dbraw/zinc/01/95/89/408019589.db2.gz DNKPXPSKSPUZEK-UHFFFAOYSA-N -1 1 303.713 1.506 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@H]1CCCCO1)c1ccco1 ZINC000175353690 408113931 /nfs/dbraw/zinc/11/39/31/408113931.db2.gz LWKZLQGZNCSLPR-VXGBXAGGSA-N -1 1 303.380 1.456 20 0 DDADMM C[C@]1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCCC[C@@H]1O ZINC000175423765 408127939 /nfs/dbraw/zinc/12/79/39/408127939.db2.gz VFWXNARVNOERBA-SUMWQHHRSA-N -1 1 315.373 1.594 20 0 DDADMM O=C(c1ccc(F)c(F)c1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000155551722 408179934 /nfs/dbraw/zinc/17/99/34/408179934.db2.gz JDWJZOHKJDBZHC-SSDOTTSWSA-N -1 1 311.267 1.637 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)NN1CCCNC1=O)c2=O ZINC000273389991 408179950 /nfs/dbraw/zinc/17/99/50/408179950.db2.gz ZSXUQSCBZSUVHV-UHFFFAOYSA-N -1 1 316.317 1.009 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@](C)(O)c1ccccc1)c1nn[n-]n1 ZINC000182574180 408197021 /nfs/dbraw/zinc/19/70/21/408197021.db2.gz PHFHANOCMUVSQR-IUODEOHRSA-N -1 1 303.366 1.455 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000274106579 408302823 /nfs/dbraw/zinc/30/28/23/408302823.db2.gz PXUNCUPYQYDSNU-SNVBAGLBSA-N -1 1 301.339 1.181 20 0 DDADMM CO[C@H]1CCCN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000176212174 408310622 /nfs/dbraw/zinc/31/06/22/408310622.db2.gz ZMLMCXAWWGONFR-NSHDSACASA-N -1 1 307.394 1.627 20 0 DDADMM COC[C@@H](O)CC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000133208453 162054147 /nfs/dbraw/zinc/05/41/47/162054147.db2.gz OPOGLJSELLFFSF-QMMMGPOBSA-N -1 1 311.762 1.155 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)c1nc[nH]n1 ZINC000133215737 162054720 /nfs/dbraw/zinc/05/47/20/162054720.db2.gz YXNYTKYZHKEXSV-ZCFIWIBFSA-N -1 1 304.734 1.637 20 0 DDADMM C[C@H](O)C(=O)Nc1cccc([N-]S(=O)(=O)c2ccccc2)c1 ZINC000136428118 162119599 /nfs/dbraw/zinc/11/95/99/162119599.db2.gz WVHRAGWDYUCNFF-NSHDSACASA-N -1 1 320.370 1.807 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cccc(OC)c2)co1 ZINC000158577241 408346435 /nfs/dbraw/zinc/34/64/35/408346435.db2.gz AUCRCYVUSBUQNX-UHFFFAOYSA-N -1 1 324.358 1.126 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cccs2)co1 ZINC000158788903 408359820 /nfs/dbraw/zinc/35/98/20/408359820.db2.gz MEOIPICYIAAYQI-UHFFFAOYSA-N -1 1 300.361 1.179 20 0 DDADMM CO[C@@H]1CC[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000191050081 408366061 /nfs/dbraw/zinc/36/60/61/408366061.db2.gz BGARMVLSGDKNMJ-HTQZYQBOSA-N -1 1 309.309 1.950 20 0 DDADMM COc1ccc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1F ZINC000183417932 408404402 /nfs/dbraw/zinc/40/44/02/408404402.db2.gz AWUQSHWJANRSTK-SECBINFHSA-N -1 1 307.329 1.152 20 0 DDADMM COc1ccc(CCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183425047 408407459 /nfs/dbraw/zinc/40/74/59/408407459.db2.gz GJZFDPOYDMHZPJ-LLVKDONJSA-N -1 1 303.366 1.403 20 0 DDADMM COc1ccccc1OCCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183432144 408411669 /nfs/dbraw/zinc/41/16/69/408411669.db2.gz WECVQOGIXOWXBS-LLVKDONJSA-N -1 1 319.365 1.239 20 0 DDADMM Cc1cncc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)c1 ZINC000176726757 408424580 /nfs/dbraw/zinc/42/45/80/408424580.db2.gz IQAFXUUGPCUGQT-UHFFFAOYSA-N -1 1 311.301 1.288 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2c([O-])cccc2F)[C@]12CCCO2 ZINC000274683704 408494731 /nfs/dbraw/zinc/49/47/31/408494731.db2.gz HVHJISUTBFNEQZ-WOSRLPQWSA-N -1 1 309.337 1.988 20 0 DDADMM Cc1c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)oc2c1C(=O)CCC2 ZINC000274751557 408520867 /nfs/dbraw/zinc/52/08/67/408520867.db2.gz HMJPTHDAOPSNHS-QMMMGPOBSA-N -1 1 317.349 1.496 20 0 DDADMM CC(C)n1cnnc1[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000265403733 408637883 /nfs/dbraw/zinc/63/78/83/408637883.db2.gz LIZSPMNNORFMNW-GFCCVEGCSA-N -1 1 315.377 1.979 20 0 DDADMM COC[C@H](NCC(=O)[N-]OCc1ccccc1)c1ccco1 ZINC000184630391 408657998 /nfs/dbraw/zinc/65/79/98/408657998.db2.gz KAHKPFIHZDBPSI-AWEZNQCLSA-N -1 1 304.346 1.805 20 0 DDADMM COC(=O)[C@@H](C)Sc1ccccc1C(=O)Nc1nnn[n-]1 ZINC000265459989 408660215 /nfs/dbraw/zinc/66/02/15/408660215.db2.gz MSLNWWRSCBSPSV-SSDOTTSWSA-N -1 1 307.335 1.106 20 0 DDADMM COC(=O)[C@@H](C)Sc1ccccc1C(=O)Nc1nn[n-]n1 ZINC000265459989 408660221 /nfs/dbraw/zinc/66/02/21/408660221.db2.gz MSLNWWRSCBSPSV-SSDOTTSWSA-N -1 1 307.335 1.106 20 0 DDADMM CC[C@@H]1[C@@H](C)CCN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000185405025 408804536 /nfs/dbraw/zinc/80/45/36/408804536.db2.gz BXFJKHAKGCVXKQ-VHSXEESVSA-N -1 1 300.380 1.448 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(-n2cccc2)cc1)c1nn[n-]n1 ZINC000183410416 162851485 /nfs/dbraw/zinc/85/14/85/162851485.db2.gz XVZSLBTZUPXBLD-GFCCVEGCSA-N -1 1 310.361 1.866 20 0 DDADMM CSCCCN(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000281326014 408881725 /nfs/dbraw/zinc/88/17/25/408881725.db2.gz QFILRLMFGNBIFQ-UHFFFAOYSA-N -1 1 322.434 1.393 20 0 DDADMM C[C@H](C[S@@](C)=O)NC(=O)c1ccc(Br)cc1[O-] ZINC000168285441 408818676 /nfs/dbraw/zinc/81/86/76/408818676.db2.gz GODLUBFEMQJRKB-GJEGPGMTSA-N -1 1 320.208 1.652 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1CCCCO1 ZINC000276084185 408820560 /nfs/dbraw/zinc/82/05/60/408820560.db2.gz XMIZFBKSDJPUJD-UHFFFAOYSA-N -1 1 314.345 1.649 20 0 DDADMM Cn1cncc1[C@H]1C[C@@H](NC(=O)c2c([O-])cccc2F)CCO1 ZINC000276322946 408836521 /nfs/dbraw/zinc/83/65/21/408836521.db2.gz PHESQSDKHSFKFO-IINYFYTJSA-N -1 1 319.336 1.915 20 0 DDADMM Cc1noc([C@H]2CCCN(C(=O)C(=O)c3ccc([O-])cc3)C2)n1 ZINC000280822345 408841053 /nfs/dbraw/zinc/84/10/53/408841053.db2.gz RSSVEJALJUTLFS-LBPRGKRZSA-N -1 1 315.329 1.673 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2ccc3ccccc3c2[O-])CC1 ZINC000280848589 408846728 /nfs/dbraw/zinc/84/67/28/408846728.db2.gz UWTMSSXZFRQUFZ-UHFFFAOYSA-N -1 1 319.382 1.852 20 0 DDADMM COC[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)c1ccc(C)o1 ZINC000280945820 408864149 /nfs/dbraw/zinc/86/41/49/408864149.db2.gz LUACLWLQHGJBMH-CYBMUJFWSA-N -1 1 303.314 1.980 20 0 DDADMM CSc1n[nH]c(NC(=O)[C@@H]2CC(c3cccnc3)=NO2)n1 ZINC000276610986 408893829 /nfs/dbraw/zinc/89/38/29/408893829.db2.gz LTWXTGVEMHAHFX-VIFPVBQESA-N -1 1 304.335 1.053 20 0 DDADMM COCCn1cc(CNC(=O)c2ccc(Cl)cc2[O-])cn1 ZINC000281816992 408935051 /nfs/dbraw/zinc/93/50/51/408935051.db2.gz SSNQHDMSOWOIHA-UHFFFAOYSA-N -1 1 309.753 1.819 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CC[S@@](=O)C1 ZINC000277726604 409000406 /nfs/dbraw/zinc/00/04/06/409000406.db2.gz XIBBGYNXDNHSLT-ZRNGKTOUSA-N -1 1 301.795 1.886 20 0 DDADMM CC(C)CCOc1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000277623028 408980051 /nfs/dbraw/zinc/98/00/51/408980051.db2.gz CHYQXSIEKXYRST-UHFFFAOYSA-N -1 1 320.349 1.700 20 0 DDADMM CSC[C@@H]1CCCN1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287525924 409050451 /nfs/dbraw/zinc/05/04/51/409050451.db2.gz IMQLGJFMHKCDOL-JCROAMGPSA-N -1 1 305.407 1.701 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCCCc3ccccn3)ccnc1-2 ZINC000287541627 409053401 /nfs/dbraw/zinc/05/34/01/409053401.db2.gz JGTRBQSOZWDTMU-STZFKDTASA-N -1 1 310.361 1.491 20 0 DDADMM CC[C@](C)(NCc1cc(=O)oc2cc([O-])ccc12)C(=O)OC ZINC000293144030 409058145 /nfs/dbraw/zinc/05/81/45/409058145.db2.gz LZUCGWDOILKSJO-INIZCTEOSA-N -1 1 305.330 1.930 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCC3(C)CCOCC3)ccnc1-2 ZINC000287643742 409069711 /nfs/dbraw/zinc/06/97/11/409069711.db2.gz OSMQNWDPYIYXFR-UNOMPAQXSA-N -1 1 303.366 1.280 20 0 DDADMM CC[C@@]1(CO)CCCN1C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287680288 409075561 /nfs/dbraw/zinc/07/55/61/409075561.db2.gz QRRCOPDXNOESHJ-BRYHAGSVSA-N -1 1 303.366 1.111 20 0 DDADMM CC(C)(C)c1nnc(S(=O)(=O)Cc2ncc(C3CC3)o2)[n-]1 ZINC000278168260 409075762 /nfs/dbraw/zinc/07/57/62/409075762.db2.gz JYHJHKSUHTTZAX-UHFFFAOYSA-N -1 1 310.379 1.942 20 0 DDADMM CC(C)(C)c1n[n-]c(S(=O)(=O)Cc2ncc(C3CC3)o2)n1 ZINC000278168260 409075763 /nfs/dbraw/zinc/07/57/63/409075763.db2.gz JYHJHKSUHTTZAX-UHFFFAOYSA-N -1 1 310.379 1.942 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2ncc(C3CC3)o2)n[n-]1 ZINC000278168260 409075765 /nfs/dbraw/zinc/07/57/65/409075765.db2.gz JYHJHKSUHTTZAX-UHFFFAOYSA-N -1 1 310.379 1.942 20 0 DDADMM COC(=O)C[C@@H]1CSCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000283182558 409106500 /nfs/dbraw/zinc/10/65/00/409106500.db2.gz VIODYNCTVAUBBD-LLVKDONJSA-N -1 1 323.370 1.082 20 0 DDADMM Cn1nc([C@H]2CCCO2)cc1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000287907487 409112561 /nfs/dbraw/zinc/11/25/61/409112561.db2.gz BPYUFEHTVPYEJJ-CYBMUJFWSA-N -1 1 315.329 1.799 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)c1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000293597739 409145564 /nfs/dbraw/zinc/14/55/64/409145564.db2.gz VOOQSSKYHCQMIW-ZJUUUORDSA-N -1 1 317.349 1.294 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cn(C)nc1C)c1ccc(C)o1 ZINC000288131443 409151269 /nfs/dbraw/zinc/15/12/69/409151269.db2.gz MQSIEIYZTBZYAX-NSHDSACASA-N -1 1 313.379 1.296 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]CC(F)F)c(F)cc1C ZINC000293676862 409159377 /nfs/dbraw/zinc/15/93/77/409159377.db2.gz ZYNOQGBXQASDHY-UHFFFAOYSA-N -1 1 311.281 1.464 20 0 DDADMM COc1ccc(N2C[C@H](C(=O)[N-]OCCSC)CC2=O)cc1 ZINC000280173256 409263730 /nfs/dbraw/zinc/26/37/30/409263730.db2.gz ZGTNPIHYZCQQOV-LLVKDONJSA-N -1 1 324.402 1.459 20 0 DDADMM CCO[C@@H]1C[C@@](CO)(NC(=O)C(=O)c2ccc([O-])cc2)C1(C)C ZINC000295521259 409328797 /nfs/dbraw/zinc/32/87/97/409328797.db2.gz ILAKBJUNEWHYNJ-DYVFJYSZSA-N -1 1 321.373 1.257 20 0 DDADMM C[C@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)CCS1(=O)=O ZINC000290157180 409311382 /nfs/dbraw/zinc/31/13/82/409311382.db2.gz LOXOKNFQBPOLJI-QMMMGPOBSA-N -1 1 319.329 1.320 20 0 DDADMM CCC[C@@H](NC(=O)c1cc2nccnc2cc1F)c1nn[n-]n1 ZINC000280497648 409329839 /nfs/dbraw/zinc/32/98/39/409329839.db2.gz WDYUOWXVFMRBRG-SNVBAGLBSA-N -1 1 315.312 1.553 20 0 DDADMM CC[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@H](O)C(F)F ZINC000295506883 409320370 /nfs/dbraw/zinc/32/03/70/409320370.db2.gz PCAMXCCLWOQMBV-APPZFPTMSA-N -1 1 316.333 1.152 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@H](C(=O)N2CCCC2)C1 ZINC000290363336 409374331 /nfs/dbraw/zinc/37/43/31/409374331.db2.gz ACDVZLRYNQMWPM-JTQLQIEISA-N -1 1 324.327 1.755 20 0 DDADMM COCCO[C@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000290259407 409339094 /nfs/dbraw/zinc/33/90/94/409339094.db2.gz WNJJHQXTVZTLBV-VIFPVBQESA-N -1 1 301.289 1.548 20 0 DDADMM CCn1nnc(C)c1C(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000296036593 409405730 /nfs/dbraw/zinc/40/57/30/409405730.db2.gz FCJYQRMLSIUUQX-UHFFFAOYSA-N -1 1 304.306 1.351 20 0 DDADMM CC(C)n1nccc1[C@H]1OCC[C@@H]1NC(=O)c1cncc([O-])c1 ZINC000285505593 409471711 /nfs/dbraw/zinc/47/17/11/409471711.db2.gz PCUDQNNTDZQBJP-ZFWWWQNUSA-N -1 1 316.361 1.825 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C[C@H]1O ZINC000408021539 164200634 /nfs/dbraw/zinc/20/06/34/164200634.db2.gz QSSHBXXUXKLLMD-GHMZBOCLSA-N -1 1 307.394 1.631 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]2[C@H]2COCC[C@]2(C)O)c([O-])c1 ZINC000408065669 164215010 /nfs/dbraw/zinc/21/50/10/164215010.db2.gz PLSYXPREHNZXAG-XNJGSVPQSA-N -1 1 320.389 1.488 20 0 DDADMM Cc1cnc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)nc1 ZINC000290757662 409509350 /nfs/dbraw/zinc/50/93/50/409509350.db2.gz TYVWKKUJARRFFB-SNVBAGLBSA-N -1 1 302.300 1.680 20 0 DDADMM O=C(NCC1(O)CCCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000303257053 409545857 /nfs/dbraw/zinc/54/58/57/409545857.db2.gz ITMDQZUJIDAWAL-UHFFFAOYSA-N -1 1 301.346 1.760 20 0 DDADMM CO[C@@H](C)c1nc(=NCCOCC(F)(F)C(F)F)s[n-]1 ZINC000337941857 409588460 /nfs/dbraw/zinc/58/84/60/409588460.db2.gz HYNQXAQYPQGHPC-LURJTMIESA-N -1 1 317.308 1.996 20 0 DDADMM CCN(C(=O)CNC(=O)c1ncccc1[O-])C1CCCCC1 ZINC000337950611 409596243 /nfs/dbraw/zinc/59/62/43/409596243.db2.gz ZLXKJBJAORNFKH-UHFFFAOYSA-N -1 1 305.378 1.698 20 0 DDADMM CCOc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1 ZINC000345554091 409693725 /nfs/dbraw/zinc/69/37/25/409693725.db2.gz SNMKAXRJBLOKIR-UHFFFAOYSA-N -1 1 301.350 1.798 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC=C(c2ccc(O)cc2)CC1 ZINC000635156091 422848802 /nfs/dbraw/zinc/84/88/02/422848802.db2.gz JCIYAIKIIBWCNY-UHFFFAOYSA-N -1 1 313.361 1.544 20 0 DDADMM O=C(COc1ccc2c(c1)CCCC2)NC1(c2nn[n-]n2)CC1 ZINC000357040514 409812009 /nfs/dbraw/zinc/81/20/09/409812009.db2.gz CZDCKXJQAWWRPX-UHFFFAOYSA-N -1 1 313.361 1.263 20 0 DDADMM CC[C@@H](C(=O)NC1(c2nn[n-]n2)CC1)c1ccc(OC)cc1 ZINC000357043285 409813857 /nfs/dbraw/zinc/81/38/57/409813857.db2.gz HOIKJBBXHPXZFQ-GFCCVEGCSA-N -1 1 301.350 1.507 20 0 DDADMM Cc1c([C@H]2OCC[C@@H]2NC(=O)c2ccc([O-])cc2F)cnn1C ZINC000332025754 409814989 /nfs/dbraw/zinc/81/49/89/409814989.db2.gz QUDAHAIJFDZHSH-LSDHHAIUSA-N -1 1 319.336 1.833 20 0 DDADMM CCOc1ncc(C(=O)NC2(c3nn[n-]n3)CC2)cc1Cl ZINC000357083464 409856722 /nfs/dbraw/zinc/85/67/22/409856722.db2.gz YQCYLTBXAIZWRC-UHFFFAOYSA-N -1 1 308.729 1.066 20 0 DDADMM O=C(CCc1ccccc1O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332107235 409891842 /nfs/dbraw/zinc/89/18/42/409891842.db2.gz ABEVJZYYVCBNHQ-GFCCVEGCSA-N -1 1 317.345 1.820 20 0 DDADMM CCC(CC)(NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(=O)OC ZINC000338309269 409894671 /nfs/dbraw/zinc/89/46/71/409894671.db2.gz QRWQDJDVBXCUOL-UHFFFAOYSA-N -1 1 320.349 1.136 20 0 DDADMM CC(=O)Nc1ccc([O-])c(-c2nc(Cc3ccnn3C)no2)c1 ZINC000350565849 409962909 /nfs/dbraw/zinc/96/29/09/409962909.db2.gz IVLOSEWQOQCGGM-UHFFFAOYSA-N -1 1 313.317 1.725 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3ccc(O)cc3)no2)co1 ZINC000350564144 409963710 /nfs/dbraw/zinc/96/37/10/409963710.db2.gz DPAHAFCWWBAPMY-UHFFFAOYSA-N -1 1 321.314 1.610 20 0 DDADMM C[C@@H](CCN1CCN(C)CC1)N=c1nc(C(F)(F)F)[n-]s1 ZINC000342894736 409979755 /nfs/dbraw/zinc/97/97/55/409979755.db2.gz VHEVBZLUCOAXSS-VIFPVBQESA-N -1 1 323.388 1.417 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)CC1CC(c2ccccc2)C1 ZINC000297738968 409982309 /nfs/dbraw/zinc/98/23/09/409982309.db2.gz MYMJPCJEHHLLOD-UHFFFAOYSA-N -1 1 313.361 1.687 20 0 DDADMM C[C@@H](Oc1cccnc1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332167668 409944898 /nfs/dbraw/zinc/94/48/98/409944898.db2.gz KXTZXHKJAKHGSZ-GHMZBOCLSA-N -1 1 318.333 1.344 20 0 DDADMM O=C(N[C@H](CO)C1CCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000357412778 410022875 /nfs/dbraw/zinc/02/28/75/410022875.db2.gz BJLJZBHURLBBRO-CYBMUJFWSA-N -1 1 301.346 1.204 20 0 DDADMM Cn1nnnc1SCCc1nc(-c2ccc([O-])c(F)c2)no1 ZINC000350824881 410000976 /nfs/dbraw/zinc/00/09/76/410000976.db2.gz RIWDUKQGKKAYCG-UHFFFAOYSA-N -1 1 322.325 1.440 20 0 DDADMM Cc1ccc(-c2cc(C(=O)NC(C)(C)c3nn[n-]n3)on2)cc1 ZINC000354794417 410093067 /nfs/dbraw/zinc/09/30/67/410093067.db2.gz ZMTSWAJYGKSBFH-UHFFFAOYSA-N -1 1 312.333 1.828 20 0 DDADMM Cc1cnc(C(=O)N2CCN(c3ccnc(C)n3)CC2)c([O-])c1 ZINC000332393428 410122098 /nfs/dbraw/zinc/12/20/98/410122098.db2.gz NIURERNDFUDSIS-UHFFFAOYSA-N -1 1 313.361 1.156 20 0 DDADMM C[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@H]1CCCCO1 ZINC000346769980 410143949 /nfs/dbraw/zinc/14/39/49/410143949.db2.gz PIOSQTGQLCHUJR-ZWNOBZJWSA-N -1 1 301.346 1.611 20 0 DDADMM Cc1ccc(OC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cc1C ZINC000329270995 410203178 /nfs/dbraw/zinc/20/31/78/410203178.db2.gz HVUKAVCEWYKLOU-UHFFFAOYSA-N -1 1 316.405 1.769 20 0 DDADMM CC[C@H](C(=O)NCCc1n[n-]c(=N)o1)N(C)Cc1ccccc1 ZINC000355045435 410264897 /nfs/dbraw/zinc/26/48/97/410264897.db2.gz RFIPMAARJWZNQI-CYBMUJFWSA-N -1 1 317.393 1.051 20 0 DDADMM C[C@@H]1CCCc2nc(S(=O)(=O)NC[C@H]3CCC[N@H+]3C)cn21 ZINC000333197916 410417955 /nfs/dbraw/zinc/41/79/55/410417955.db2.gz UOOLVLVTTSXAOJ-VXGBXAGGSA-N -1 1 312.439 1.153 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](C(N)=O)O2)sc1C ZINC000333163128 410395698 /nfs/dbraw/zinc/39/56/98/410395698.db2.gz OAMKBQORQIWMKU-IUCAKERBSA-N -1 1 319.408 1.121 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(C)(C)[C@H]2CCC[C@@H]21 ZINC000333169580 410400657 /nfs/dbraw/zinc/40/06/57/410400657.db2.gz NIXPFOWESDOGQA-IUCAKERBSA-N -1 1 307.350 1.547 20 0 DDADMM Cc1nn(C)cc1CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000347311749 410461022 /nfs/dbraw/zinc/46/10/22/410461022.db2.gz PVZXPCAXJKBAHR-UHFFFAOYSA-N -1 1 311.345 1.616 20 0 DDADMM Cc1nn(C)cc1CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000347311749 410461027 /nfs/dbraw/zinc/46/10/27/410461027.db2.gz PVZXPCAXJKBAHR-UHFFFAOYSA-N -1 1 311.345 1.616 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1C[C@@H]2CC[C@H](O)C[C@@H]2C1 ZINC000333287101 410463086 /nfs/dbraw/zinc/46/30/86/410463086.db2.gz NQOIQTWEGHXGJH-UMNHJUIQSA-N -1 1 307.419 1.372 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3nsnc3c2)n1 ZINC000352164707 410518887 /nfs/dbraw/zinc/51/88/87/410518887.db2.gz WBCSCAQCEHTHGP-UHFFFAOYSA-N -1 1 317.330 1.843 20 0 DDADMM O=C(NCCCN(C(=O)C1CCC1)C1CC1)c1cncc([O-])c1 ZINC000339944776 410566788 /nfs/dbraw/zinc/56/67/88/410566788.db2.gz MAAFBVDNALSTJV-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)c1nc(=NC[C@H]2CCCC[C@H]2NS(C)(=O)=O)o[n-]1 ZINC000343618024 410573383 /nfs/dbraw/zinc/57/33/83/410573383.db2.gz BVYDNCHPGWAHEF-GHMZBOCLSA-N -1 1 316.427 1.135 20 0 DDADMM C[C@H]1CC(CCN2Cc3n[nH]c(=O)n3C[C@H]2C(=O)[O-])C[C@H](C)C1 ZINC000333618844 410588166 /nfs/dbraw/zinc/58/81/66/410588166.db2.gz TWXHYVQHRPUUQR-WZRBSPASSA-N -1 1 322.409 1.715 20 0 DDADMM CCOC(=O)[C@H](CCOC(C)(C)C)NC(=O)c1cncc([O-])c1 ZINC000340004243 410610254 /nfs/dbraw/zinc/61/02/54/410610254.db2.gz GFMWVUVHZYXAOG-ZDUSSCGKSA-N -1 1 324.377 1.654 20 0 DDADMM Cc1cccc([C@@H](NCc2nc(=O)n(C)[n-]2)C(F)(F)F)c1 ZINC000347611661 410630329 /nfs/dbraw/zinc/63/03/29/410630329.db2.gz NDGMEFQUCJNVJP-LLVKDONJSA-N -1 1 300.284 1.810 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCC[C@H](C)CO)o1 ZINC000340072102 410655679 /nfs/dbraw/zinc/65/56/79/410655679.db2.gz XUSOCIMYLXYYEP-JTQLQIEISA-N -1 1 319.379 1.143 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2ccc3nncn3c2)c1 ZINC000347743949 410697472 /nfs/dbraw/zinc/69/74/72/410697472.db2.gz QMFZKBATRWQOQQ-UHFFFAOYSA-N -1 1 311.301 1.646 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccc(C)n2C)c1 ZINC000359683810 410815872 /nfs/dbraw/zinc/81/58/72/410815872.db2.gz QWHZEOIUMZWIJU-UHFFFAOYSA-N -1 1 323.374 1.200 20 0 DDADMM CCn1cnnc1NC(=O)c1[n-]cnc1C(=O)c1ccccc1 ZINC000356071291 410811172 /nfs/dbraw/zinc/81/11/72/410811172.db2.gz SYUCKXQHISCWDY-UHFFFAOYSA-N -1 1 310.317 1.504 20 0 DDADMM CC(C)(NC(=O)c1cc2ccc(Cl)cc2[nH]1)c1nn[n-]n1 ZINC000359791858 410885891 /nfs/dbraw/zinc/88/58/91/410885891.db2.gz JJCMACHLLAXXLR-UHFFFAOYSA-N -1 1 304.741 2.000 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCCC[C@@H]1C(F)F ZINC000337570771 410869101 /nfs/dbraw/zinc/86/91/01/410869101.db2.gz CTTCNPZOABCYKB-SECBINFHSA-N -1 1 313.304 1.163 20 0 DDADMM COc1cc(NCCNC(=O)c2ncccc2[O-])cc(OC)c1 ZINC000337581423 410875056 /nfs/dbraw/zinc/87/50/56/410875056.db2.gz IWFYGCPXYSWHKJ-UHFFFAOYSA-N -1 1 317.345 1.646 20 0 DDADMM CC(C)(NC(=O)c1csc(Br)c1)c1nn[n-]n1 ZINC000359787671 410882482 /nfs/dbraw/zinc/88/24/82/410882482.db2.gz MAFMPBJFCLIZDS-UHFFFAOYSA-N -1 1 316.184 1.689 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1COc2ccc(Cl)cc2C1 ZINC000348282156 410898502 /nfs/dbraw/zinc/89/85/02/410898502.db2.gz MACVYKJVNIFTSB-VIFPVBQESA-N -1 1 319.752 1.210 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCc2c1cccc2F ZINC000359839608 410911182 /nfs/dbraw/zinc/91/11/82/410911182.db2.gz JLCWMXMENVYMOW-UHFFFAOYSA-N -1 1 315.304 1.245 20 0 DDADMM Cc1ccccc1[C@@H](C)N(C)C(=O)c1cc(=O)n2nc[n-]c2n1 ZINC000353383200 410954212 /nfs/dbraw/zinc/95/42/12/410954212.db2.gz IQVDMNJQWZHXPB-LLVKDONJSA-N -1 1 311.345 1.559 20 0 DDADMM O=S(=O)([N-]Cc1nccs1)c1ccc(Br)o1 ZINC000353379890 410954466 /nfs/dbraw/zinc/95/44/66/410954466.db2.gz ACWAQTAVOQCCTF-UHFFFAOYSA-N -1 1 323.193 1.977 20 0 DDADMM CC[C@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331289873 411060328 /nfs/dbraw/zinc/06/03/28/411060328.db2.gz OEOOVFBQAZMLSQ-QPUJVOFHSA-N -1 1 306.453 1.835 20 0 DDADMM C[C@H]1C[C@@H](C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)CO1 ZINC000331296444 411064588 /nfs/dbraw/zinc/06/45/88/411064588.db2.gz NDSDKWXJMUTQNS-DTWKUNHWSA-N -1 1 316.354 1.561 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H](O)CC(C)(C)C)sc1C ZINC000331297132 411065135 /nfs/dbraw/zinc/06/51/35/411065135.db2.gz ZJIJFIGJYSFOGP-SNVBAGLBSA-N -1 1 306.453 1.835 20 0 DDADMM CC(=O)c1cnccc1[N-]S(=O)(=O)Cc1ccccc1F ZINC000356531346 411073880 /nfs/dbraw/zinc/07/38/80/411073880.db2.gz LBDRFVLLFMOSOJ-UHFFFAOYSA-N -1 1 308.334 1.787 20 0 DDADMM CC(C)(NC(=O)C1CCC(OC(F)F)CC1)c1nn[n-]n1 ZINC000353480206 411017625 /nfs/dbraw/zinc/01/76/25/411017625.db2.gz FDCZBTAVIJYAEV-UHFFFAOYSA-N -1 1 303.313 1.349 20 0 DDADMM COc1ccc(CC[N-]S(=O)(=O)c2cc(C)ns2)cc1 ZINC000631640772 422865470 /nfs/dbraw/zinc/86/54/70/422865470.db2.gz JXKLRAHTYLHZCK-UHFFFAOYSA-N -1 1 312.416 1.981 20 0 DDADMM O=C(N[C@@H]1CCN(C2CCC2)C1=O)c1c(F)ccc([O-])c1F ZINC000629921046 422877160 /nfs/dbraw/zinc/87/71/60/422877160.db2.gz JNZPKNAQMLPBQD-SNVBAGLBSA-N -1 1 310.300 1.554 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC2(CC1)OCc1ccccc12 ZINC000631793624 422924251 /nfs/dbraw/zinc/92/42/51/422924251.db2.gz ATNLLXRUXBFTGX-UHFFFAOYSA-N -1 1 313.361 1.180 20 0 DDADMM O=C(c1cc(F)cc(Cl)c1[O-])N1CC[C@H](c2nc[nH]n2)C1 ZINC000580555449 422941714 /nfs/dbraw/zinc/94/17/14/422941714.db2.gz VUEDYNNLHOAUAV-ZETCQYMHSA-N -1 1 310.716 1.933 20 0 DDADMM COCCn1cc(C(=O)Nc2cccc([O-])c2F)c(=O)cc1C ZINC000571569796 304471711 /nfs/dbraw/zinc/47/17/11/304471711.db2.gz PNITZPFAYAMKBB-UHFFFAOYSA-N -1 1 320.320 1.900 20 0 DDADMM Cc1nc(C(C)(C)C)[nH]c(=O)c1C(=O)N1CCc2nc[nH]c2C1 ZINC000647735704 423019554 /nfs/dbraw/zinc/01/95/54/423019554.db2.gz HXQCHHONUVSIMB-UHFFFAOYSA-N -1 1 315.377 1.710 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC[C@H](C)CCO)c(F)c1 ZINC000650040567 423028874 /nfs/dbraw/zinc/02/88/74/423028874.db2.gz CFONTWCVXHQNQR-VIFPVBQESA-N -1 1 323.361 1.660 20 0 DDADMM COc1cncc(/C=C\CCN2CCO[C@H](CC(=O)[O-])C2)c1 ZINC000652479689 423037640 /nfs/dbraw/zinc/03/76/40/423037640.db2.gz XYAGWSMOQDWCPN-NSRYLSIASA-N -1 1 306.362 1.669 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3cscn3)no2)o1 ZINC000350563092 306753065 /nfs/dbraw/zinc/75/30/65/306753065.db2.gz JKYHEWFZYGPPPS-UHFFFAOYSA-N -1 1 312.332 1.361 20 0 DDADMM COC(=O)c1cc(C(=O)[N-]c2nnc3cc(C)ccn32)on1 ZINC000611592774 416664155 /nfs/dbraw/zinc/66/41/55/416664155.db2.gz ZNFFNOBOUSWNNP-UHFFFAOYSA-N -1 1 301.262 1.065 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCOCC12CCCCC2 ZINC000650264951 423105214 /nfs/dbraw/zinc/10/52/14/423105214.db2.gz DRROYJGSIIUPCN-UHFFFAOYSA-N -1 1 315.395 1.119 20 0 DDADMM NC(=O)c1ccc(-c2nc(-c3ccc([O-])cc3F)no2)cn1 ZINC000350820481 306757553 /nfs/dbraw/zinc/75/75/53/306757553.db2.gz VSDVAKIBDOMDKZ-UHFFFAOYSA-N -1 1 300.249 1.742 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C)n(C)c2C)cc(=O)[n-]1 ZINC000640656203 423117336 /nfs/dbraw/zinc/11/73/36/423117336.db2.gz PFXUMTABNDETDX-UHFFFAOYSA-N -1 1 306.391 1.789 20 0 DDADMM COCc1ccc(C(=O)NCc2cc(=O)[n-]c(SC)n2)o1 ZINC000640658724 423118371 /nfs/dbraw/zinc/11/83/71/423118371.db2.gz RHJZOIGTLAWCGK-UHFFFAOYSA-N -1 1 309.347 1.574 20 0 DDADMM CSc1nc(CNC(=O)c2ccc3c(c2)COC3)cc(=O)[n-]1 ZINC000640657421 423117703 /nfs/dbraw/zinc/11/77/03/423117703.db2.gz DSUCAEYLCWPZSV-UHFFFAOYSA-N -1 1 317.370 1.864 20 0 DDADMM COc1ccc(-c2nc(-c3cc(C(N)=O)c[nH]3)no2)c([O-])c1 ZINC000351022056 306760981 /nfs/dbraw/zinc/76/09/81/306760981.db2.gz FTOIBHTWSREWJY-UHFFFAOYSA-N -1 1 300.274 1.545 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCC1 ZINC000645644524 423123874 /nfs/dbraw/zinc/12/38/74/423123874.db2.gz GIYRLNVXYSZSKN-UHFFFAOYSA-N -1 1 324.324 1.948 20 0 DDADMM COC[C@@H]1C[C@@H](O)CN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000652783183 423131812 /nfs/dbraw/zinc/13/18/12/423131812.db2.gz MEVKSONVBAYQEK-VHSXEESVSA-N -1 1 319.279 1.633 20 0 DDADMM Cc1nc(C(F)(F)F)sc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000360714258 418498139 /nfs/dbraw/zinc/49/81/39/418498139.db2.gz KUNGEFSPBDVONH-UHFFFAOYSA-N -1 1 318.284 1.403 20 0 DDADMM O=C([O-])C(=O)N1CCC[C@@H](c2nnc(-c3ccccc3)[nH]2)C1 ZINC000366731914 418510075 /nfs/dbraw/zinc/51/00/75/418510075.db2.gz GXFLUHRQQZOMAP-LLVKDONJSA-N -1 1 300.318 1.262 20 0 DDADMM CCn1c(C)cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1C ZINC000367109480 418560771 /nfs/dbraw/zinc/56/07/71/418560771.db2.gz JASNXXXYJNKJQQ-GFCCVEGCSA-N -1 1 302.382 1.658 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N(C)c2nccs2)n1 ZINC000188089795 222006382 /nfs/dbraw/zinc/00/63/82/222006382.db2.gz JTJPHCNLORXYDS-UHFFFAOYSA-N -1 1 310.404 1.544 20 0 DDADMM CN(C)C(=O)CCCCS(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195165534 222193633 /nfs/dbraw/zinc/19/36/33/222193633.db2.gz ZXBCFEOUQNPJBD-UHFFFAOYSA-N -1 1 316.427 1.134 20 0 DDADMM COc1ccc(NC(=O)CN2CCCC[C@H]2CC(=O)[O-])cc1 ZINC000314760419 418595584 /nfs/dbraw/zinc/59/55/84/418595584.db2.gz QWFPCLGCICOCTJ-ZDUSSCGKSA-N -1 1 306.362 1.963 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@H]1C1CC1)c1cc(F)ccc1F ZINC000361179824 418599358 /nfs/dbraw/zinc/59/93/58/418599358.db2.gz OJYVYBTYZSXQTP-YPMHNXCESA-N -1 1 303.330 1.811 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@H]1C1CC1)c1cccc(F)c1F ZINC000361178523 418599371 /nfs/dbraw/zinc/59/93/71/418599371.db2.gz DSQUYQRYKKDNPJ-MFKMUULPSA-N -1 1 303.330 1.811 20 0 DDADMM Cn1ccc(=NC(=O)c2cnc3c(F)cc(F)cc3c2[O-])[nH]1 ZINC000361862298 418719701 /nfs/dbraw/zinc/71/97/01/418719701.db2.gz MLLMZIZQAXRYBW-UHFFFAOYSA-N -1 1 304.256 1.214 20 0 DDADMM COCCc1nsc(N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000368171122 418699274 /nfs/dbraw/zinc/69/92/74/418699274.db2.gz MQINVUYJKLWRDW-QMMMGPOBSA-N -1 1 311.367 1.200 20 0 DDADMM Cc1ccc(CNC(=O)CN2CCC(C)(C(=O)[O-])CC2)cc1F ZINC000390934165 418756821 /nfs/dbraw/zinc/75/68/21/418756821.db2.gz NJWZNWLIYKGDSX-UHFFFAOYSA-N -1 1 322.380 1.937 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H]1OCCc2ccsc21)c1nn[n-]n1 ZINC000371927624 418825695 /nfs/dbraw/zinc/82/56/95/418825695.db2.gz HJEDNJXAMPQTTB-GHMZBOCLSA-N -1 1 321.406 1.923 20 0 DDADMM Cc1c([N-]S(=O)(=O)c2ccnn2C)n[nH]c1-c1ccncc1 ZINC000372449819 418872110 /nfs/dbraw/zinc/87/21/10/418872110.db2.gz MCWGHQNSFNKJCT-UHFFFAOYSA-N -1 1 318.362 1.314 20 0 DDADMM C[C@H]1CC[C@H](C(=O)OC(C)(C)C)CN1Cc1nc(=O)n(C)[n-]1 ZINC000420930767 419361692 /nfs/dbraw/zinc/36/16/92/419361692.db2.gz SJNKHJUVAQTIJC-QWRGUYRKSA-N -1 1 310.398 1.051 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CC[C@H](O)C2)c(F)c1 ZINC000425182416 228383445 /nfs/dbraw/zinc/38/34/45/228383445.db2.gz YYIGSKXZLNXRHL-ZJUUUORDSA-N -1 1 305.346 1.713 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCC2(O)CCC2)c(F)c1 ZINC000425195215 228386831 /nfs/dbraw/zinc/38/68/31/228386831.db2.gz STNBSHOVSUBWBT-UHFFFAOYSA-N -1 1 305.346 1.857 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)Cc1cnn(C)c1 ZINC000298175843 228396229 /nfs/dbraw/zinc/39/62/29/228396229.db2.gz VQSJFGAAQXHLJQ-UHFFFAOYSA-N -1 1 317.393 1.794 20 0 DDADMM Cc1cnc(C(=O)NC(C)(C)c2noc(CN(C)C)n2)c([O-])c1 ZINC000427101655 419593015 /nfs/dbraw/zinc/59/30/15/419593015.db2.gz PXJDUNSTQAMKLU-UHFFFAOYSA-N -1 1 319.365 1.205 20 0 DDADMM COCCN(Cc1cccnc1)C(=O)c1ncc(C)cc1[O-] ZINC000427127868 419601321 /nfs/dbraw/zinc/60/13/21/419601321.db2.gz XKWMXPNQMLJVJX-UHFFFAOYSA-N -1 1 301.346 1.779 20 0 DDADMM O=C(CC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)NCC1CC1 ZINC000427943240 419771998 /nfs/dbraw/zinc/77/19/98/419771998.db2.gz CQKZOCZGDWVMHI-UHFFFAOYSA-N -1 1 314.345 1.637 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000421995698 419799313 /nfs/dbraw/zinc/79/93/13/419799313.db2.gz FHQOTKZHNJOFMH-SCZZXKLOSA-N -1 1 316.279 1.795 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000436937909 229541728 /nfs/dbraw/zinc/54/17/28/229541728.db2.gz HIPLOGNXPQRAAR-CQSZACIVSA-N -1 1 313.353 1.542 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCn2cc(Cl)cn2)[n-]1 ZINC000415631748 420130157 /nfs/dbraw/zinc/13/01/57/420130157.db2.gz FHECHPIVMYKOLZ-UHFFFAOYSA-N -1 1 311.729 1.465 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCn2cc(Cl)cn2)n1 ZINC000415631748 420130160 /nfs/dbraw/zinc/13/01/60/420130160.db2.gz FHECHPIVMYKOLZ-UHFFFAOYSA-N -1 1 311.729 1.465 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1Cc3cccc(O)c3C1)c2=O ZINC000430230585 420092287 /nfs/dbraw/zinc/09/22/87/420092287.db2.gz AAEQXWMJDRHLBE-SNVBAGLBSA-N -1 1 324.340 1.277 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](C)c1ccc(F)cc1 ZINC000416127778 420251721 /nfs/dbraw/zinc/25/17/21/420251721.db2.gz DFQRBJZYWRVJPS-MRVPVSSYSA-N -1 1 321.308 1.569 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cc3n(n2)CCCO3)c1 ZINC000436515823 420334973 /nfs/dbraw/zinc/33/49/73/420334973.db2.gz TWEXIIMPXYJLQD-UHFFFAOYSA-N -1 1 317.301 1.410 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCN(Cc2ncc[nH]2)CC1 ZINC000436546052 420339740 /nfs/dbraw/zinc/33/97/40/420339740.db2.gz FEQIVADJVVUPIM-UHFFFAOYSA-N -1 1 320.780 1.727 20 0 DDADMM COc1cnccc1[C@@H](C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436564173 420340173 /nfs/dbraw/zinc/34/01/73/420340173.db2.gz PNVMYGZHGYBREC-SNVBAGLBSA-N -1 1 300.314 1.856 20 0 DDADMM COc1ccc(O)c(C(=O)N=c2nc([C@H](C)OC)[n-]s2)c1 ZINC000436580525 420341919 /nfs/dbraw/zinc/34/19/19/420341919.db2.gz BPHPPOZSPYOCRX-ZETCQYMHSA-N -1 1 309.347 1.634 20 0 DDADMM Cc1cccc(C[C@H](CO)NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436589095 420341929 /nfs/dbraw/zinc/34/19/29/420341929.db2.gz VCAFUPOVOIQJAP-OAHLLOKOSA-N -1 1 313.353 1.603 20 0 DDADMM O=C(c1ccc2nc[nH]c2n1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425293514 420341976 /nfs/dbraw/zinc/34/19/76/420341976.db2.gz BWXAHRSSEBWSCD-MRVPVSSYSA-N -1 1 314.305 1.066 20 0 DDADMM NC(=O)[C@H]1Cc2ccccc2N(C(=O)c2cc(F)ccc2[O-])C1 ZINC000436570183 420342216 /nfs/dbraw/zinc/34/22/16/420342216.db2.gz NMHZINAQBUJSDW-NSHDSACASA-N -1 1 314.316 1.836 20 0 DDADMM O=C(Nc1cccc([C@H]2CNC(=O)C2)c1)c1ccc(O)cc1[O-] ZINC000436623738 420345957 /nfs/dbraw/zinc/34/59/57/420345957.db2.gz GVQSSFZDCJPVGC-LLVKDONJSA-N -1 1 312.325 1.954 20 0 DDADMM CN1CCC2(CCN(C(=O)C(=O)c3ccc([O-])cc3)CC2)C1=O ZINC000436628621 420346038 /nfs/dbraw/zinc/34/60/38/420346038.db2.gz GFRITKLJBLVPKB-UHFFFAOYSA-N -1 1 316.357 1.046 20 0 DDADMM Cc1ncccc1CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425317553 420346977 /nfs/dbraw/zinc/34/69/77/420346977.db2.gz GHIHPPXMIRQFPF-UHFFFAOYSA-N -1 1 302.334 1.427 20 0 DDADMM CS(=O)(=O)c1cccnc1CNC(=O)c1c([O-])cccc1F ZINC000436821244 420371723 /nfs/dbraw/zinc/37/17/23/420371723.db2.gz KHRURWSLKUUCFF-UHFFFAOYSA-N -1 1 324.333 1.260 20 0 DDADMM O=C(NCCCc1nnc2ccccn21)C(=O)c1ccc([O-])cc1 ZINC000436967672 420386533 /nfs/dbraw/zinc/38/65/33/420386533.db2.gz YBORFDWJHQUBRN-UHFFFAOYSA-N -1 1 324.340 1.367 20 0 DDADMM CCC[C@@H](C)CS(=O)(=O)[N-][C@@](CC)(COC)C(=O)OC ZINC000416513339 420402391 /nfs/dbraw/zinc/40/23/91/420402391.db2.gz CSLFLDFODOFHIP-YPMHNXCESA-N -1 1 309.428 1.310 20 0 DDADMM NC(=O)c1cc(-c2csc([C@@H]3COCCN3)n2)ccc1[O-] ZINC000446138780 230235728 /nfs/dbraw/zinc/23/57/28/230235728.db2.gz PRLZYVYNWHAEAU-JTQLQIEISA-N -1 1 305.359 1.276 20 0 DDADMM CC[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C1CCCC1 ZINC000456675189 420525895 /nfs/dbraw/zinc/52/58/95/420525895.db2.gz AUYOUXYKOFXGOR-NSHDSACASA-N -1 1 314.407 1.886 20 0 DDADMM CN(C)c1noc(CCC(=O)Nc2ccc([O-])c(F)c2F)n1 ZINC000456783905 420545281 /nfs/dbraw/zinc/54/52/81/420545281.db2.gz LYNYOQREADIMRJ-UHFFFAOYSA-N -1 1 312.276 1.691 20 0 DDADMM O=C(N=c1nc2n([n-]1)CCCC2)c1[nH]nc2c1CCCCC2 ZINC000457114807 420619748 /nfs/dbraw/zinc/61/97/48/420619748.db2.gz AJBOXLLOBNGAPB-UHFFFAOYSA-N -1 1 300.366 1.281 20 0 DDADMM O=C(C(=O)N1CCC[C@@H]1[C@H]1CCC[C@H]1O)c1ccc([O-])cc1 ZINC000452689789 420645523 /nfs/dbraw/zinc/64/55/23/420645523.db2.gz WOVCBXHOBTZQDH-RBSFLKMASA-N -1 1 303.358 1.727 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000492738765 420647267 /nfs/dbraw/zinc/64/72/67/420647267.db2.gz GRJSACUPRFWCBJ-UMCURTJPSA-N -1 1 304.379 1.384 20 0 DDADMM CCO[C@H]1C[C@]([N-]S(=O)(=O)CC2CC2)(C(=O)OC)C1(C)C ZINC000444274837 420757779 /nfs/dbraw/zinc/75/77/79/420757779.db2.gz FMIDISLZBADAQQ-FZMZJTMJSA-N -1 1 319.423 1.063 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc2cc[nH]c2c1 ZINC000447645638 420790200 /nfs/dbraw/zinc/79/02/00/420790200.db2.gz CGOUYKMHXVNDFM-UHFFFAOYSA-N -1 1 310.313 1.637 20 0 DDADMM CCNC(=O)c1ccc(=NCCCc2nc(C(C)C)no2)[n-]n1 ZINC000447649460 420790900 /nfs/dbraw/zinc/79/09/00/420790900.db2.gz XILUHUFTUBLLFS-UHFFFAOYSA-N -1 1 318.381 1.199 20 0 DDADMM O=C(NCCOCC(F)(F)F)c1csc(=NC2CC2)[n-]1 ZINC000493253032 420800137 /nfs/dbraw/zinc/80/01/37/420800137.db2.gz JCSNORPIPAMAOO-UHFFFAOYSA-N -1 1 309.313 1.448 20 0 DDADMM COC(=O)c1cnccc1[N-]S(=O)(=O)Cc1cccc(C)c1 ZINC000448540168 420858611 /nfs/dbraw/zinc/85/86/11/420858611.db2.gz YCBIDCSBWLVJLA-UHFFFAOYSA-N -1 1 320.370 1.540 20 0 DDADMM CC[C@@H](C)N1CCN(C(=O)N=c2ccc(OC(C)C)n[n-]2)CC1 ZINC000455232564 420986452 /nfs/dbraw/zinc/98/64/52/420986452.db2.gz LCTWKVLNGITMIZ-CYBMUJFWSA-N -1 1 321.425 1.634 20 0 DDADMM Cc1cc(C=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccc1F ZINC000493748400 420931316 /nfs/dbraw/zinc/93/13/16/420931316.db2.gz CGZULGNUPMTRTH-JPVGGKMYSA-N -1 1 303.341 1.923 20 0 DDADMM C[C@H](Cc1ccncc1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000487707926 421045861 /nfs/dbraw/zinc/04/58/61/421045861.db2.gz KEFOXOGTIRIEFQ-LLVKDONJSA-N -1 1 308.341 1.679 20 0 DDADMM Cc1cccc(Br)c1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000487323916 421015800 /nfs/dbraw/zinc/01/58/00/421015800.db2.gz SLUGLTUESSDUGY-UHFFFAOYSA-N -1 1 322.166 1.690 20 0 DDADMM CN1C[C@@H](CSc2nc(C(F)(F)F)cc(=O)[n-]2)OC1=O ZINC000495586758 421030063 /nfs/dbraw/zinc/03/00/63/421030063.db2.gz DAJPRZOXMLCFOS-YFKPBYRVSA-N -1 1 309.269 1.744 20 0 DDADMM CCC[C@H](NC(=O)CN(C)C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC000495962737 421105098 /nfs/dbraw/zinc/10/50/98/421105098.db2.gz XVGQGZYWTQTEFF-VIFPVBQESA-N -1 1 312.374 1.024 20 0 DDADMM CC(C)(NC(=O)c1ccsc1Br)c1nn[n-]n1 ZINC000450004761 421125735 /nfs/dbraw/zinc/12/57/35/421125735.db2.gz MAGDTYMPIQJPPX-UHFFFAOYSA-N -1 1 316.184 1.689 20 0 DDADMM O=C1NCCC[N@H+](Cc2ncccc2O)[C@@H]1Cc1ccccc1 ZINC000560595824 421282967 /nfs/dbraw/zinc/28/29/67/421282967.db2.gz UYPSYIZQYCSMIY-MRXNPFEDSA-N -1 1 311.385 1.720 20 0 DDADMM O=S(=O)(Cc1ncc(Cl)s1)c1n[n-]c(C2CC2)n1 ZINC000547491510 421337178 /nfs/dbraw/zinc/33/71/78/421337178.db2.gz PUDXUDKHHCHVLP-UHFFFAOYSA-N -1 1 304.784 1.766 20 0 DDADMM COc1cc(C(=O)N2CC(n3ccnc3)C2)cc(Cl)c1[O-] ZINC000526942649 421339971 /nfs/dbraw/zinc/33/99/71/421339971.db2.gz LEOYQEWFTWOOJF-UHFFFAOYSA-N -1 1 307.737 1.948 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N=c2ccc(C3CC3)n[n-]2)n[nH]1 ZINC000548158723 421406561 /nfs/dbraw/zinc/40/65/61/421406561.db2.gz IHFRRKNHHUOIFP-SECBINFHSA-N -1 1 300.366 1.560 20 0 DDADMM CCc1cccc(OCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000548466235 421442311 /nfs/dbraw/zinc/44/23/11/421442311.db2.gz VFTNKEFSAVQZJL-NSHDSACASA-N -1 1 303.366 1.403 20 0 DDADMM CC(C)C[C@H](C(=O)[O-])N(C)CCS(=O)(=O)c1ccccc1 ZINC000563282921 421480391 /nfs/dbraw/zinc/48/03/91/421480391.db2.gz UTBAVBSVMXKUNZ-CQSZACIVSA-N -1 1 313.419 1.891 20 0 DDADMM O=C([O-])[C@H]1CCCCN1CCCS(=O)(=O)c1ccccc1 ZINC000563274635 421481319 /nfs/dbraw/zinc/48/13/19/421481319.db2.gz RQKRYYKLRUIKTH-CQSZACIVSA-N -1 1 311.403 1.790 20 0 DDADMM O=C(N[C@@H]1CC(=O)N2CCCC[C@@H]12)c1cc(Cl)ccc1[O-] ZINC000548895635 421483782 /nfs/dbraw/zinc/48/37/82/421483782.db2.gz PYQMNDHTJFQJQI-NEPJUHHUSA-N -1 1 308.765 1.929 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)c2cnc[nH]2)n1 ZINC000552007575 421565703 /nfs/dbraw/zinc/56/57/03/421565703.db2.gz QMUYRBCHCBNPHY-SECBINFHSA-N -1 1 320.353 1.222 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-][C@@H](C(C)(C)C)C(F)(F)F ZINC000564130317 421571192 /nfs/dbraw/zinc/57/11/92/421571192.db2.gz CDTWKZUDAHTXQK-VIFPVBQESA-N -1 1 313.345 1.984 20 0 DDADMM Cc1cc(CC(=O)N=c2cc(-c3cccc(F)c3F)[n-][nH]2)[nH]n1 ZINC000517004802 421586732 /nfs/dbraw/zinc/58/67/32/421586732.db2.gz UHGMXUWUOUGLGV-UHFFFAOYSA-N -1 1 317.299 1.990 20 0 DDADMM CCOC(=O)c1ccc(NC(=O)CN(C)C[C@H](C)C(=O)[O-])cc1 ZINC000518381499 421650284 /nfs/dbraw/zinc/65/02/84/421650284.db2.gz TYTXVVWEWJARAV-NSHDSACASA-N -1 1 322.361 1.454 20 0 DDADMM NC(=O)CC[C@H]1CCCN(C(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000532645203 421664249 /nfs/dbraw/zinc/66/42/49/421664249.db2.gz MMMNFKQVRJXWNW-SNVBAGLBSA-N -1 1 322.434 1.257 20 0 DDADMM O=C(c1n[nH]nc1-c1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000554882930 421664859 /nfs/dbraw/zinc/66/48/59/421664859.db2.gz ZZLOHMVUMRKQQO-LLVKDONJSA-N -1 1 324.348 1.005 20 0 DDADMM COc1cc(OC)cc(OCCCN=c2[nH]c(C(=O)[O-])co2)c1 ZINC000519711671 421719958 /nfs/dbraw/zinc/71/99/58/421719958.db2.gz XAQQFQDIFIKCFS-UHFFFAOYSA-N -1 1 322.317 1.693 20 0 DDADMM Cn1c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc2ccccc21 ZINC000533572802 421679852 /nfs/dbraw/zinc/67/98/52/421679852.db2.gz FBMDOAOMBZTVJC-LBPRGKRZSA-N -1 1 310.361 1.711 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])c1nc(C(F)(F)F)no1 ZINC000627819360 421901805 /nfs/dbraw/zinc/90/18/05/421901805.db2.gz DVSKYJUUYOODLR-YFKPBYRVSA-N -1 1 302.212 1.680 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccnc(O[C@@H]2CCOC2)c1 ZINC000543645721 421839534 /nfs/dbraw/zinc/83/95/34/421839534.db2.gz BJKJQWYKSIBINL-LLVKDONJSA-N -1 1 301.302 1.024 20 0 DDADMM CC(C)[C@@H]1C[C@H](CC(=O)NC2(c3nn[n-]n3)CCCC2)CCO1 ZINC000543822688 421842124 /nfs/dbraw/zinc/84/21/24/421842124.db2.gz CJKFRPOFVXINIM-OLZOCXBDSA-N -1 1 321.425 1.927 20 0 DDADMM Cc1nocc1S(=O)(=O)NCC[N@H+](C)C1CCCCC1 ZINC000631812161 421849876 /nfs/dbraw/zinc/84/98/76/421849876.db2.gz BPQMPPFPQRQHAQ-UHFFFAOYSA-N -1 1 301.412 1.526 20 0 DDADMM CC(C)CN1CCO[C@H](CN=c2[n-]c(C3CCOCC3)no2)C1 ZINC000581177720 421914215 /nfs/dbraw/zinc/91/42/15/421914215.db2.gz JVLHHMGHZWULPW-CQSZACIVSA-N -1 1 324.425 1.154 20 0 DDADMM O=C([O-])c1ccc(OCCNC(=O)[C@H]2CCCN2C2CC2)cc1 ZINC000630158836 421927309 /nfs/dbraw/zinc/92/73/09/421927309.db2.gz VRWMRTPXGGZKHV-OAHLLOKOSA-N -1 1 318.373 1.507 20 0 DDADMM Cc1nc(CNC(=O)c2cnccc2N(C)C)sc1C(=O)[O-] ZINC000630159286 421927883 /nfs/dbraw/zinc/92/78/83/421927883.db2.gz FWYBKEWVQQACNC-UHFFFAOYSA-N -1 1 320.374 1.541 20 0 DDADMM CN1CC[C@@H](CNC(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000633486763 421929801 /nfs/dbraw/zinc/92/98/01/421929801.db2.gz WSYHIXFIQXFXLS-LLVKDONJSA-N -1 1 310.781 1.573 20 0 DDADMM CN(CCCCNC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC000635409038 421963880 /nfs/dbraw/zinc/96/38/80/421963880.db2.gz HNPPVKXAYBQHGT-UHFFFAOYSA-N -1 1 316.409 1.555 20 0 DDADMM C[C@H](CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)[C@H]1CCCO1 ZINC000630220562 421971188 /nfs/dbraw/zinc/97/11/88/421971188.db2.gz PHAPJORIZFQJBH-MGPQQGTHSA-N -1 1 312.410 1.199 20 0 DDADMM CC[C@@](C)([N-]S(=O)(=O)c1c[nH]c(=O)s1)c1nccs1 ZINC000632015932 422024118 /nfs/dbraw/zinc/02/41/18/422024118.db2.gz ANJGIZSGXOXURF-SNVBAGLBSA-N -1 1 319.433 1.909 20 0 DDADMM O=C([O-])[C@]1(CNC(=O)c2n[nH]c3ccccc32)CCCOC1 ZINC000630335930 422027948 /nfs/dbraw/zinc/02/79/48/422027948.db2.gz AYRQESKCQUWBPF-HNNXBMFYSA-N -1 1 303.318 1.174 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](CCO)c2ccccc2)sn1 ZINC000632022916 422028760 /nfs/dbraw/zinc/02/87/60/422028760.db2.gz YSEJMUHVGBHZTA-GFCCVEGCSA-N -1 1 312.416 1.854 20 0 DDADMM Cn1cc([C@@H](CO)[N-]S(=O)(=O)c2sccc2Cl)cn1 ZINC000573982996 422045848 /nfs/dbraw/zinc/04/58/48/422045848.db2.gz YNNQCFXVULFBCS-SECBINFHSA-N -1 1 321.811 1.147 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)[C@H]1CCOC1 ZINC000632032263 422038039 /nfs/dbraw/zinc/03/80/39/422038039.db2.gz USUQNMBXFRKJLM-RQJHMYQMSA-N -1 1 313.301 1.132 20 0 DDADMM CCCCO[C@H](C)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630225253 421976383 /nfs/dbraw/zinc/97/63/83/421976383.db2.gz YOEUESIBXHEVIT-GFCCVEGCSA-N -1 1 300.399 1.199 20 0 DDADMM COc1ccc(C(C)(C)CNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635449396 421996128 /nfs/dbraw/zinc/99/61/28/421996128.db2.gz WRSQRKMFLXTQSH-UHFFFAOYSA-N -1 1 317.393 1.625 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@H]2NC(=O)CC[C@H]2C1 ZINC000633624823 422011305 /nfs/dbraw/zinc/01/13/05/422011305.db2.gz JHJLIWVHJABHKF-WCQYABFASA-N -1 1 322.792 1.715 20 0 DDADMM COC(=O)c1ccccc1NC(=O)CN(C)C[C@@H](C)C(=O)[O-] ZINC000581946576 422074142 /nfs/dbraw/zinc/07/41/42/422074142.db2.gz SVNWIJVCCBKLTC-SNVBAGLBSA-N -1 1 308.334 1.064 20 0 DDADMM C[C@H]1CCc2onc(C(=O)NC3(c4nn[n-]n4)CCCC3)c2C1 ZINC000582100053 422106828 /nfs/dbraw/zinc/10/68/28/422106828.db2.gz PRIWKWWRIDSITC-VIFPVBQESA-N -1 1 316.365 1.512 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@H](C)C(F)(F)F)nc2n1 ZINC000637271720 422109500 /nfs/dbraw/zinc/10/95/00/422109500.db2.gz OMUJRGSZBVJUHH-RXMQYKEDSA-N -1 1 303.244 1.253 20 0 DDADMM CN(CCc1ccc(Cl)cc1)C(=O)CCCc1nn[n-]n1 ZINC000635563468 422115747 /nfs/dbraw/zinc/11/57/47/422115747.db2.gz PZOKQPDVFIMUJT-UHFFFAOYSA-N -1 1 307.785 1.877 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C(C)(C)c1cccc(F)c1 ZINC000633693070 422055702 /nfs/dbraw/zinc/05/57/02/422055702.db2.gz WGMQVNVAHYZHLP-UHFFFAOYSA-N -1 1 318.352 1.555 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H](OC)C(C)(C)C)c1 ZINC000632055649 422055767 /nfs/dbraw/zinc/05/57/67/422055767.db2.gz CRBJUABURMDKLI-ZDUSSCGKSA-N -1 1 317.407 1.740 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC1(c2ccc(F)cc2Cl)CC1 ZINC000632223516 422176204 /nfs/dbraw/zinc/17/62/04/422176204.db2.gz MWGFGYYEXRDFGD-UHFFFAOYSA-N -1 1 323.759 1.773 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)CCCc2nn[n-]n2)C12CCCC2 ZINC000635625774 422177946 /nfs/dbraw/zinc/17/79/46/422177946.db2.gz FDYCNTOMBQLBIT-NWDGAFQWSA-N -1 1 307.398 1.376 20 0 DDADMM CC[NH+]1C[C@@H](C)N(C(=O)c2csc(=NC3CC3)[nH]2)[C@H](C)C1 ZINC000574926493 422217392 /nfs/dbraw/zinc/21/73/92/422217392.db2.gz FDKYAHKDKILIMX-GHMZBOCLSA-N -1 1 308.451 1.694 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccccc1OC(F)(F)F ZINC000630622735 422228030 /nfs/dbraw/zinc/22/80/30/422228030.db2.gz SHHCRESKDZYAGF-UHFFFAOYSA-N -1 1 315.255 1.347 20 0 DDADMM CCC[C@@H](NC(=O)c1noc2c1C[C@H](C)CC2)c1nn[n-]n1 ZINC000574828761 422191749 /nfs/dbraw/zinc/19/17/49/422191749.db2.gz ZMFRTYFRBSNIMF-PSASIEDQSA-N -1 1 304.354 1.584 20 0 DDADMM CCC[C@@H](C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)OC ZINC000632379188 422291305 /nfs/dbraw/zinc/29/13/05/422291305.db2.gz MNTGKMQCYLULEJ-ZETCQYMHSA-N -1 1 315.317 1.522 20 0 DDADMM CON1CCC(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CC1 ZINC000630730317 422297780 /nfs/dbraw/zinc/29/77/80/422297780.db2.gz DOSLBRAGYVCBTR-UHFFFAOYSA-N -1 1 316.361 1.994 20 0 DDADMM CCC(CC)[C@H]1C[C@@H](NC(=O)CCCc2nn[n-]n2)CCO1 ZINC000635775906 422335152 /nfs/dbraw/zinc/33/51/52/422335152.db2.gz GLZPQTDSZIJOOB-QWHCGFSZSA-N -1 1 309.414 1.622 20 0 DDADMM O=C([C@@H]1C[C@H]1C(F)(F)F)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000575863041 422338110 /nfs/dbraw/zinc/33/81/10/422338110.db2.gz ADKSXFGRUHCSHR-XLPZGREQSA-N -1 1 305.256 1.680 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccnn1CC1CCC1)c1nn[n-]n1 ZINC000632436081 422341957 /nfs/dbraw/zinc/34/19/57/422341957.db2.gz BONCLKOVLDGKAJ-JTQLQIEISA-N -1 1 303.370 1.072 20 0 DDADMM CO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cc(C)ns2)C12CCC2 ZINC000632440217 422347089 /nfs/dbraw/zinc/34/70/89/422347089.db2.gz VZYCVYRDEISHTH-NXEZZACHSA-N -1 1 302.421 1.687 20 0 DDADMM C[C@H]1C[C@H](c2cccnc2)N(C(=O)CCCc2nn[n-]n2)C1 ZINC000635794304 422349101 /nfs/dbraw/zinc/34/91/01/422349101.db2.gz JSTNXUHAOAEGQP-WCQYABFASA-N -1 1 300.366 1.527 20 0 DDADMM CC(C)(C)Oc1ccc(CNC(=O)CCc2nn[n-]n2)cc1 ZINC000630830744 422360326 /nfs/dbraw/zinc/36/03/26/422360326.db2.gz IBKBDLZEWCYTFK-UHFFFAOYSA-N -1 1 303.366 1.626 20 0 DDADMM O=C(N[C@@H]1COCCC1=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000628889920 422371770 /nfs/dbraw/zinc/37/17/70/422371770.db2.gz UZLYMBHVXSDVEG-SECBINFHSA-N -1 1 303.236 1.499 20 0 DDADMM CC[C@@](C)(CNS(=O)(=O)c1cc(OC)ccc1[O-])OC ZINC000632409127 422317907 /nfs/dbraw/zinc/31/79/07/422317907.db2.gz IRURMKFTVOVHHZ-ZDUSSCGKSA-N -1 1 303.380 1.494 20 0 DDADMM C[C@@H]1CC[C@H](C(N)=O)CN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630770172 422327877 /nfs/dbraw/zinc/32/78/77/422327877.db2.gz RRKGFESGAOSJKI-KOLCDFICSA-N -1 1 310.781 1.701 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1C[C@H]1c1c(F)cccc1F ZINC000635887352 422440971 /nfs/dbraw/zinc/44/09/71/422440971.db2.gz ONRFGCHAZMYELS-LDYMZIIASA-N -1 1 307.304 1.473 20 0 DDADMM CC(C)c1nccn1CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000635890093 422443342 /nfs/dbraw/zinc/44/33/42/422443342.db2.gz QTWUAEZSZYFEHK-LLVKDONJSA-N -1 1 319.365 1.501 20 0 DDADMM CC(C)[C@@H]1CN(S(=O)(=O)c2cccc(Cl)c2[O-])CCN1 ZINC000632579839 422449927 /nfs/dbraw/zinc/44/99/27/422449927.db2.gz CPWNOGSWDGLOMU-NSHDSACASA-N -1 1 318.826 1.664 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC1(c2ccc(F)cc2)CCC1 ZINC000630873004 422387082 /nfs/dbraw/zinc/38/70/82/422387082.db2.gz MVNDVOPWQVFWOM-UHFFFAOYSA-N -1 1 303.341 1.510 20 0 DDADMM Nc1cc2c(cc1NC(=O)c1csc(=NC3CC3)[n-]1)OCO2 ZINC000637856194 422427147 /nfs/dbraw/zinc/42/71/47/422427147.db2.gz XIZCYAPTLRNVBE-UHFFFAOYSA-N -1 1 318.358 1.703 20 0 DDADMM C[C@@H]1CCc2[n-]n(-c3ccccc3S(=O)(=O)N(C)C)c(=O)c21 ZINC000634613571 422506193 /nfs/dbraw/zinc/50/61/93/422506193.db2.gz BQVIITZIFGRKJX-QMTHXVAHSA-N -1 1 321.402 1.686 20 0 DDADMM COc1cc(C(=O)[O-])ccc1-n1[nH]c2c(c1=O)CSCC2 ZINC000634612208 422506873 /nfs/dbraw/zinc/50/68/73/422506873.db2.gz ROHFXTNGWBBBMF-SECBINFHSA-N -1 1 306.343 1.849 20 0 DDADMM CC(C)(CNC(=O)C(=O)c1ccc([O-])cc1)C(=O)N1CCCC1 ZINC000629062668 422460198 /nfs/dbraw/zinc/46/01/98/422460198.db2.gz DZUNTAYLEPKJJS-UHFFFAOYSA-N -1 1 318.373 1.340 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@@H](C2CCC2)CC1 ZINC000632714862 422538897 /nfs/dbraw/zinc/53/88/97/422538897.db2.gz KGQHBOQYMCBIRN-GFCCVEGCSA-N -1 1 313.423 1.986 20 0 DDADMM NC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632722387 422542442 /nfs/dbraw/zinc/54/24/42/422542442.db2.gz KKZBECPNZBMODK-HFAKWTLXSA-N -1 1 322.792 1.701 20 0 DDADMM CN(C[C@H]1C[C@@H](O)C1)C(=O)c1cc(Br)ccc1[O-] ZINC000629261594 422565668 /nfs/dbraw/zinc/56/56/68/422565668.db2.gz SSLADJMVHUBJTK-WAAGHKOSSA-N -1 1 314.179 1.998 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCOc2c(F)c(F)ccc21 ZINC000636034549 422586331 /nfs/dbraw/zinc/58/63/31/422586331.db2.gz LELRUCIYSBGQJU-UHFFFAOYSA-N -1 1 309.276 1.226 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1cnn(CCF)c1)C1CC1 ZINC000629319744 422606934 /nfs/dbraw/zinc/60/69/34/422606934.db2.gz OFAPQAWFMQQOSY-LBPRGKRZSA-N -1 1 305.375 1.409 20 0 DDADMM CC(C)C[C@@H](C(N)=O)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629380151 422640557 /nfs/dbraw/zinc/64/05/57/422640557.db2.gz LHMANFPPZBYQFG-ZDUSSCGKSA-N -1 1 316.361 1.664 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1C[C@H](Oc2ccccc2)C1 ZINC000636182625 422698596 /nfs/dbraw/zinc/69/85/96/422698596.db2.gz UKFPHWSLTGXUBM-AULYBMBSSA-N -1 1 301.350 1.249 20 0 DDADMM COc1cccc(C)c1CN1CCN(c2nc(=N)[n-]s2)CC1 ZINC000638169338 422722835 /nfs/dbraw/zinc/72/28/35/422722835.db2.gz LBIWXBNMFBDVJW-UHFFFAOYSA-N -1 1 319.434 1.590 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCO[C@H](Cn2ccnn2)C1 ZINC000636224717 422726269 /nfs/dbraw/zinc/72/62/69/422726269.db2.gz NHKNOBXKCBTXRD-NSHDSACASA-N -1 1 322.752 1.178 20 0 DDADMM CCC[C@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)OCC ZINC000629427377 422667472 /nfs/dbraw/zinc/66/74/72/422667472.db2.gz MSIYPKNNSHIYBB-LLVKDONJSA-N -1 1 303.362 1.858 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H]1C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000634846823 422681721 /nfs/dbraw/zinc/68/17/21/422681721.db2.gz RZQZKJKEMKMRNW-HRCADAONSA-N -1 1 316.401 1.878 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H]1C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000634846824 422682441 /nfs/dbraw/zinc/68/24/41/422682441.db2.gz RZQZKJKEMKMRNW-OWCLPIDISA-N -1 1 316.401 1.878 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)Nc2ccccc2O)n1 ZINC000032522339 263252447 /nfs/dbraw/zinc/25/24/47/263252447.db2.gz DZFIJGAHKWKDKU-UHFFFAOYSA-N -1 1 305.359 1.769 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)NCc2cccs2)n1 ZINC000096640433 263335835 /nfs/dbraw/zinc/33/58/35/263335835.db2.gz XJGPFCKECUGAKA-UHFFFAOYSA-N -1 1 309.416 1.802 20 0 DDADMM Cc1cc(C)cc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1 ZINC000194032431 263501694 /nfs/dbraw/zinc/50/16/94/263501694.db2.gz XYGLMWVFRGJOLY-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@@H]2C2CCCC2)c1 ZINC000651638029 423627339 /nfs/dbraw/zinc/62/73/39/423627339.db2.gz JFKLODVQMBDJIY-NEPJUHHUSA-N -1 1 324.402 1.804 20 0 DDADMM C[C@H]1CC2(CN1C(=O)C(=O)c1ccc([O-])cc1)CCOCC2 ZINC000649125324 423641253 /nfs/dbraw/zinc/64/12/53/423641253.db2.gz ALAINAMOERTTPP-LBPRGKRZSA-N -1 1 303.358 1.993 20 0 DDADMM COc1cc(C(=O)N2CC[C@H](CC(N)=O)C2)cc(Cl)c1[O-] ZINC000644511851 423680970 /nfs/dbraw/zinc/68/09/70/423680970.db2.gz IAXKCVOMNWRQRH-MRVPVSSYSA-N -1 1 312.753 1.392 20 0 DDADMM N=c1[n-]nc(C2CCN([C@@H]3C[C@H]4CCCC[C@H]4NC3=O)CC2)o1 ZINC000639724556 423692410 /nfs/dbraw/zinc/69/24/10/423692410.db2.gz PGGQTUSPPIGNRD-JHJVBQTASA-N -1 1 319.409 1.109 20 0 DDADMM N=c1[n-]nc([C@H]2CCCN(Cc3cc(CO)ccc3F)C2)o1 ZINC000639820066 423755663 /nfs/dbraw/zinc/75/56/63/423755663.db2.gz MKAJFCXCXJOJBC-NSHDSACASA-N -1 1 306.341 1.493 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncccc1Br)C1CC1 ZINC000656863288 423813017 /nfs/dbraw/zinc/81/30/17/423813017.db2.gz FMQASLPPSQQRSI-SSDOTTSWSA-N -1 1 305.197 1.921 20 0 DDADMM CO[C@H]1CCCC[C@H]1S(=O)(=O)[N-]c1cn(C)nc1C1CC1 ZINC000641686970 423862554 /nfs/dbraw/zinc/86/25/54/423862554.db2.gz GHGWZJSOMUARST-QWHCGFSZSA-N -1 1 313.423 1.997 20 0 DDADMM COC1(C[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C(=O)[O-])CCC1 ZINC000652106405 423862882 /nfs/dbraw/zinc/86/28/82/423862882.db2.gz HKGOQFURJRYMTO-GFCCVEGCSA-N -1 1 321.377 1.431 20 0 DDADMM CO[C@H](C)CC[N-]S(=O)(=O)c1ncccc1Br ZINC000656891194 423838398 /nfs/dbraw/zinc/83/83/98/423838398.db2.gz AXEADOVEFVOHNR-MRVPVSSYSA-N -1 1 323.212 1.547 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cncc(F)c1)C1CCOCC1 ZINC000647275402 423939658 /nfs/dbraw/zinc/93/96/58/423939658.db2.gz ZUMQROUFEKVOEW-ZDUSSCGKSA-N -1 1 318.370 1.404 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCC(c3cnc[nH]3)CC2)nc1 ZINC000649463336 423927058 /nfs/dbraw/zinc/92/70/58/423927058.db2.gz GZMMLPQLTCJGHU-UHFFFAOYSA-N -1 1 300.318 1.523 20 0 DDADMM C[C@H]1CCN(Cc2cnnn2Cc2ccccc2)C[C@H]1C(=O)[O-] ZINC000647461756 424049075 /nfs/dbraw/zinc/04/90/75/424049075.db2.gz XDCFVXSDHYNPOC-XJKSGUPXSA-N -1 1 314.389 1.869 20 0 DDADMM CCn1cc(C[N-]S(=O)(=O)c2cc(Cl)ccc2F)nn1 ZINC000657120694 424128497 /nfs/dbraw/zinc/12/84/97/424128497.db2.gz HZPLPLDUQLIPSE-UHFFFAOYSA-N -1 1 318.761 1.569 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@H](Oc2ccccc2)C1 ZINC000657142458 424155111 /nfs/dbraw/zinc/15/51/11/424155111.db2.gz CVDBLHPUVVQOFB-UMSPYCQHSA-N -1 1 323.374 1.454 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCC[C@](O)(CO)C1 ZINC000655127668 424232815 /nfs/dbraw/zinc/23/28/15/424232815.db2.gz DBZPFNUBDJLSKF-CYBMUJFWSA-N -1 1 319.279 1.370 20 0 DDADMM Cc1cnc(SCC(=O)N2CCOC[C@@H]2C2CC2)[n-]c1=O ZINC000657261892 424251641 /nfs/dbraw/zinc/25/16/41/424251641.db2.gz PWMNJVXYSXUGHF-LLVKDONJSA-N -1 1 309.391 1.220 20 0 DDADMM Cc1nnc([C@H](C)N2C[C@H](C(=O)[O-])[C@@H](c3ccccc3)C2)[nH]1 ZINC000659797296 424261061 /nfs/dbraw/zinc/26/10/61/424261061.db2.gz RYBGSFOWWQGGDC-GDLCADMTSA-N -1 1 300.362 1.974 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H](OC2CCC2)C1 ZINC000655283837 424358154 /nfs/dbraw/zinc/35/81/54/424358154.db2.gz PABITRRPPBVSDS-JTQLQIEISA-N -1 1 323.349 1.070 20 0 DDADMM Cc1ccc(NC(=O)CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c(C)c1 ZINC000662220801 424488231 /nfs/dbraw/zinc/48/82/31/424488231.db2.gz XDWGMOSKJUAHEW-CXAGYDPISA-N -1 1 318.373 1.275 20 0 DDADMM CCC[C@@H]1CN(C(=O)CCCCC(=O)[O-])CCN1CCOC ZINC000655549669 424561433 /nfs/dbraw/zinc/56/14/33/424561433.db2.gz YYFPYIXLZZTAET-CQSZACIVSA-N -1 1 314.426 1.591 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C2(C)CC=CC2)c(C(F)(F)F)n1 ZINC000655629273 424604006 /nfs/dbraw/zinc/60/40/06/424604006.db2.gz FZOUQTBVXXMDTA-UHFFFAOYSA-N -1 1 309.313 1.826 20 0 DDADMM CCN1C[C@H](C)N(C(=O)NC[C@@H](C(=O)[O-])C2CCC2)C[C@@H]1C ZINC000665322289 424783219 /nfs/dbraw/zinc/78/32/19/424783219.db2.gz APRFQFPRFLSXIJ-SGMGOOAPSA-N -1 1 311.426 1.611 20 0 DDADMM CCN(C[C@H](C)O)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000341382183 271057008 /nfs/dbraw/zinc/05/70/08/271057008.db2.gz BVCIIQCRRRRRID-VIFPVBQESA-N -1 1 313.423 1.374 20 0 DDADMM CC[C@H](NC(=O)CNC(=O)c1ncccc1[O-])c1ccccc1 ZINC000343169072 271669599 /nfs/dbraw/zinc/66/95/99/271669599.db2.gz HLIFNYWOLBPYAH-ZDUSSCGKSA-N -1 1 313.357 1.785 20 0 DDADMM CCCc1c(C(=O)Nc2nnn[n-]2)cnn1-c1cccc(F)c1 ZINC000344908616 272138633 /nfs/dbraw/zinc/13/86/33/272138633.db2.gz GUEZTQCDTUCZNF-UHFFFAOYSA-N -1 1 315.312 1.729 20 0 DDADMM CCCc1c(C(=O)Nc2nn[n-]n2)cnn1-c1cccc(F)c1 ZINC000344908616 272138635 /nfs/dbraw/zinc/13/86/35/272138635.db2.gz GUEZTQCDTUCZNF-UHFFFAOYSA-N -1 1 315.312 1.729 20 0 DDADMM Cc1nn(-c2ccncc2)cc1CN=c1[n-]nc(C(F)F)o1 ZINC000346035255 272395284 /nfs/dbraw/zinc/39/52/84/272395284.db2.gz FTJOWGHDPYSKDD-UHFFFAOYSA-N -1 1 306.276 1.930 20 0 DDADMM Cn1[n-]c(CN2CCCN(C)c3ccc(Cl)cc32)nc1=O ZINC000329296619 279299636 /nfs/dbraw/zinc/29/96/36/279299636.db2.gz SXGLJSVGKZVULA-UHFFFAOYSA-N -1 1 307.785 1.608 20 0 DDADMM C[C@@H]1CN(CC(F)(F)F)CCN(C(=O)c2ncccc2[O-])C1 ZINC000456632199 288148179 /nfs/dbraw/zinc/14/81/79/288148179.db2.gz CKLRCFWWQNGFLX-SNVBAGLBSA-N -1 1 317.311 1.743 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)c2c[nH]nc2C)cc1F ZINC000126520358 281242606 /nfs/dbraw/zinc/24/26/06/281242606.db2.gz OKJLMIBRHLRKIW-UHFFFAOYSA-N -1 1 303.290 1.806 20 0 DDADMM C[C@H]([C@H](C)N(C)C(=O)c1ccc(Cl)cc1[O-])S(C)(=O)=O ZINC000113086572 290200159 /nfs/dbraw/zinc/20/01/59/290200159.db2.gz VAGXIYODGCKJME-DTWKUNHWSA-N -1 1 319.810 1.939 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H]2CCC[C@@H](C(=O)N(C)C)C2)s[n-]1 ZINC000337879898 294343070 /nfs/dbraw/zinc/34/30/70/294343070.db2.gz TXDVBGZMZGMJGI-GMTAPVOTSA-N -1 1 312.439 1.726 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@@H]1[C@@H]1CCCC[C@H]1O ZINC000408409987 304526852 /nfs/dbraw/zinc/52/68/52/304526852.db2.gz HMFSSKFRFAUAIM-NUEKZKHPSA-N -1 1 323.364 1.923 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cccc3cc[nH]c32)o1 ZINC000170026403 298219026 /nfs/dbraw/zinc/21/90/26/298219026.db2.gz WALVGOADPCEXLI-UHFFFAOYSA-N -1 1 319.342 1.921 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)N=c2nc3ccccn3[n-]2)C[C@@H]1C ZINC000354205720 298363286 /nfs/dbraw/zinc/36/32/86/298363286.db2.gz RSZXRWCALLIFPX-NWDGAFQWSA-N -1 1 302.382 1.098 20 0 DDADMM COC(=O)C[C@@](C)(NC(=O)c1cncc([O-])c1)c1ccccn1 ZINC000354842404 298545732 /nfs/dbraw/zinc/54/57/32/298545732.db2.gz UWLMJLAJNPMNCG-MRXNPFEDSA-N -1 1 315.329 1.391 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC000573688445 304608116 /nfs/dbraw/zinc/60/81/16/304608116.db2.gz RZAQNTNBLGWSEB-ZIAGYGMSSA-N -1 1 310.369 1.901 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cccc(F)c2F)cn(C)c1=O ZINC000362861992 300164002 /nfs/dbraw/zinc/16/40/02/300164002.db2.gz HHPWSMIMEQLMBH-UHFFFAOYSA-N -1 1 314.313 1.773 20 0 DDADMM CC(C)c1cccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000363150988 300221162 /nfs/dbraw/zinc/22/11/62/300221162.db2.gz ZGAQOSYQKGCPCO-LLVKDONJSA-N -1 1 300.366 1.738 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@@]2(CNC(=O)O2)C1 ZINC000368144061 300963124 /nfs/dbraw/zinc/96/31/24/300963124.db2.gz VZVYMHDNWNDFFS-CQSZACIVSA-N -1 1 312.272 1.385 20 0 DDADMM FC(F)c1n[n-]c(=NC[C@H]2CCC[C@H]2N2CCOCC2)s1 ZINC000368562313 301052670 /nfs/dbraw/zinc/05/26/70/301052670.db2.gz OWOGBTLPUVDDHF-NXEZZACHSA-N -1 1 318.393 1.810 20 0 DDADMM CN(C)c1noc([C@@H]2CCCN2C(=O)c2ccc([O-])cc2F)n1 ZINC000370209043 301294948 /nfs/dbraw/zinc/29/49/48/301294948.db2.gz CVDGGWFGPZZLMM-LBPRGKRZSA-N -1 1 320.324 1.958 20 0 DDADMM [O-]C(=NO[C@H]1CCCCO1)Nc1ncccc1N1CCOCC1 ZINC000370239581 301300762 /nfs/dbraw/zinc/30/07/62/301300762.db2.gz VPRAXZBFDUYWMO-ZDUSSCGKSA-N -1 1 322.365 1.498 20 0 DDADMM O=C([N-]O[C@H]1CCCCO1)Nc1ncccc1N1CCOCC1 ZINC000370239581 301300764 /nfs/dbraw/zinc/30/07/64/301300764.db2.gz VPRAXZBFDUYWMO-ZDUSSCGKSA-N -1 1 322.365 1.498 20 0 DDADMM O=C(Nc1cccc(-c2n[nH]c(=O)[n-]2)c1)[C@H]1C[C@H]1c1nc[nH]n1 ZINC000370564072 301365839 /nfs/dbraw/zinc/36/58/39/301365839.db2.gz RUWYWKANJYDLBQ-ZJUUUORDSA-N -1 1 311.305 1.038 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H]3CCCCC[C@H]32)o1 ZINC000377234582 302157990 /nfs/dbraw/zinc/15/79/90/302157990.db2.gz AJNZWIUSMVVZMB-WDEREUQCSA-N -1 1 312.391 1.592 20 0 DDADMM Cc1n[n-]c(=NC[C@@H]2CCCN(C)[C@H]2c2cnn(C)c2)s1 ZINC000377783340 302232247 /nfs/dbraw/zinc/23/22/47/302232247.db2.gz FDIPTOYVBLQXIE-WCQYABFASA-N -1 1 306.439 1.497 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@H](C)C[C@@H]1c1cccnc1 ZINC000377863688 302244079 /nfs/dbraw/zinc/24/40/79/302244079.db2.gz ITDMKGYRCUATET-BXKDBHETSA-N -1 1 322.390 1.562 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@H](C3CC3)C1)c2=O ZINC000378130152 302282720 /nfs/dbraw/zinc/28/27/20/302282720.db2.gz LPKLNBHHJMWJBN-NSHDSACASA-N -1 1 300.362 1.936 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2[C@H]2CCCC2=O)c([O-])c1 ZINC000330301214 302333118 /nfs/dbraw/zinc/33/31/18/302333118.db2.gz IXTCGNVOMVLGPR-VXGBXAGGSA-N -1 1 304.346 1.306 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])CN(CC(=O)Nc2cc(F)cc(F)c2)C1 ZINC000398862040 302363622 /nfs/dbraw/zinc/36/36/22/302363622.db2.gz REAPWISQIIAMEX-UWVGGRQHSA-N -1 1 312.316 1.946 20 0 DDADMM CN(C)C(=O)OC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000495703093 302450652 /nfs/dbraw/zinc/45/06/52/302450652.db2.gz ZGQGSAVIZYQSGA-NSHDSACASA-N -1 1 307.350 1.480 20 0 DDADMM CC(C)c1nnc([C@@H]2COCCN2Cc2ncccc2[O-])o1 ZINC000520288417 302860157 /nfs/dbraw/zinc/86/01/57/302860157.db2.gz PKKZKXYUCWYBEK-LBPRGKRZSA-N -1 1 304.350 1.867 20 0 DDADMM COCc1n[n-]c(=NC[C@@H](c2ccco2)N2CCCC2)s1 ZINC000357054051 306868473 /nfs/dbraw/zinc/86/84/73/306868473.db2.gz LRTIBOQPQAVADD-NSHDSACASA-N -1 1 308.407 1.948 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H](O)[C@@H]2CCCO2)c(F)c1 ZINC000528483508 303032804 /nfs/dbraw/zinc/03/28/04/303032804.db2.gz GKLXNFJPHFOLLB-NEPJUHHUSA-N -1 1 321.345 1.091 20 0 DDADMM CC1(C)OC[C@H]2C[C@]21[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000528487861 303033724 /nfs/dbraw/zinc/03/37/24/303033724.db2.gz LXQNKKNAMVRJJZ-OQPBUACISA-N -1 1 303.330 1.811 20 0 DDADMM O=C(NC[C@@H](O)[C@@H]1CCCO1)c1ncc2ccccc2c1[O-] ZINC000529771172 303153491 /nfs/dbraw/zinc/15/34/91/303153491.db2.gz PMTDMFNYERDTHT-OLZOCXBDSA-N -1 1 302.330 1.210 20 0 DDADMM Cc1ccc(F)c(NS(=O)(=O)c2cn(C)cn2)c1C(=O)[O-] ZINC000532279116 303281273 /nfs/dbraw/zinc/28/12/73/303281273.db2.gz COVKCFQYMRLIAC-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM O=C(c1ccc(-c2cnco2)cc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000533113733 303309505 /nfs/dbraw/zinc/30/95/05/303309505.db2.gz RIAPBLSLXBHGOU-CYBMUJFWSA-N -1 1 324.344 1.875 20 0 DDADMM C[C@@H](CNC(=O)c1ccc2ccccc2c1[O-])S(C)(=O)=O ZINC000533163194 303312412 /nfs/dbraw/zinc/31/24/12/303312412.db2.gz BGBYTBGBRGXEOT-JTQLQIEISA-N -1 1 307.371 1.708 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2nsnc2c1 ZINC000539627009 303396899 /nfs/dbraw/zinc/39/68/99/303396899.db2.gz JABGUOMDEXMPEE-UHFFFAOYSA-N -1 1 315.362 1.404 20 0 DDADMM Cc1ccc(-n2cnnc2SCc2nc(=O)n(C)[n-]2)c(C)c1 ZINC000541780916 303428444 /nfs/dbraw/zinc/42/84/44/303428444.db2.gz WMHSGVPGIXVMBZ-UHFFFAOYSA-N -1 1 316.390 1.598 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](C)Cc1ccccc1 ZINC000357682978 306906317 /nfs/dbraw/zinc/90/63/17/306906317.db2.gz CJFXBAMWTPXAES-JTQLQIEISA-N -1 1 300.362 1.317 20 0 DDADMM CCNC(=O)c1ccc(=NCC(C)(C)c2ncccc2C)[n-]n1 ZINC000357825333 306917974 /nfs/dbraw/zinc/91/79/74/306917974.db2.gz IXMHLLMKKWEVPT-UHFFFAOYSA-N -1 1 313.405 1.741 20 0 DDADMM CC1(C)CC(=O)c2cc(OS(=O)(=O)c3c[n-]cn3)ccc2O1 ZINC000366493534 307075382 /nfs/dbraw/zinc/07/53/82/307075382.db2.gz OTFMYXQVTQOKFR-UHFFFAOYSA-N -1 1 322.342 1.921 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H]2CCC(=O)NC23CCC3)sc1C ZINC000367219995 307089376 /nfs/dbraw/zinc/08/93/76/307089376.db2.gz PTQPTGOGSIWFHY-VIFPVBQESA-N -1 1 309.391 1.273 20 0 DDADMM Nc1ccnc(CN2CCC(C(=O)c3ccc([O-])cc3)CC2)n1 ZINC000372169108 307164874 /nfs/dbraw/zinc/16/48/74/307164874.db2.gz DOICZMMUMAXQNJ-UHFFFAOYSA-N -1 1 312.373 1.690 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@@H]1C1CC1 ZINC000376466624 307245070 /nfs/dbraw/zinc/24/50/70/307245070.db2.gz JDEVPIBLEKLVOG-OLZOCXBDSA-N -1 1 307.321 1.803 20 0 DDADMM CC(=O)N1CC[C@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)C1 ZINC000531157439 307611199 /nfs/dbraw/zinc/61/11/99/307611199.db2.gz LSCYAIKNJVFRMO-LBPRGKRZSA-N -1 1 314.345 1.589 20 0 DDADMM C[C@@H](NCc1nc(=O)n(C)[n-]1)c1nc(-c2cccc(F)c2)no1 ZINC000543541982 307707361 /nfs/dbraw/zinc/70/73/61/307707361.db2.gz UALGWEJPLATMEL-MRVPVSSYSA-N -1 1 318.312 1.148 20 0 DDADMM COc1ccccc1NC(=O)CN1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000566222500 308049645 /nfs/dbraw/zinc/04/96/45/308049645.db2.gz ZKWHZPOAEBQOEU-NEPJUHHUSA-N -1 1 306.362 1.676 20 0 DDADMM CC[C@@H](O)CC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000568505701 308120895 /nfs/dbraw/zinc/12/08/95/308120895.db2.gz AFLVBZFFPHUENJ-SECBINFHSA-N -1 1 300.405 1.736 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)C[C@@H](CC)OC)cn1 ZINC000573554346 308244596 /nfs/dbraw/zinc/24/45/96/308244596.db2.gz QGGAYCFCNXJLHE-LLVKDONJSA-N -1 1 316.379 1.425 20 0 DDADMM CN(C)[C@H](CNC(=O)c1cnc(C(=O)[O-])cn1)c1ccsc1 ZINC000575179610 308272314 /nfs/dbraw/zinc/27/23/14/308272314.db2.gz DBIIBBCGCFLYHE-GFCCVEGCSA-N -1 1 320.374 1.269 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000577180793 308368463 /nfs/dbraw/zinc/36/84/63/308368463.db2.gz IZTYZUKPXFYMBZ-CZUORRHYSA-N -1 1 318.417 1.906 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1CC[C@H](C(=O)[O-])O1)c1ccc(F)cc1 ZINC000580645797 308620162 /nfs/dbraw/zinc/62/01/62/308620162.db2.gz ZXSQDJQGEDKYKH-HZSPNIEDSA-N -1 1 324.352 1.177 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)N=c2nc3n([n-]2)CCCC3)n[nH]1 ZINC000584275670 332180805 /nfs/dbraw/zinc/18/08/05/332180805.db2.gz ZUWJPVLTQOIZRQ-SECBINFHSA-N -1 1 318.385 1.161 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccc(C3CC3)cc2)[n-]n1C ZINC000583422357 332399296 /nfs/dbraw/zinc/39/92/96/332399296.db2.gz WYKXKIJKKVFBPA-UHFFFAOYSA-N -1 1 307.375 1.529 20 0 DDADMM CC[C@H](CNS(=O)(=O)c1c(C)o[n-]c1=N)c1ccccc1 ZINC000583661369 336035658 /nfs/dbraw/zinc/03/56/58/336035658.db2.gz LLDFMWQJZJTIPM-LLVKDONJSA-N -1 1 309.391 1.868 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1c(C)onc1N)c1ccccc1 ZINC000583661369 336035659 /nfs/dbraw/zinc/03/56/59/336035659.db2.gz LLDFMWQJZJTIPM-LLVKDONJSA-N -1 1 309.391 1.868 20 0 DDADMM C[C@H](CCNS(=O)(=O)c1c(F)cccc1F)CC(=O)[O-] ZINC000392835453 337066338 /nfs/dbraw/zinc/06/63/38/337066338.db2.gz KOYIGXDSDPAFFC-MRVPVSSYSA-N -1 1 307.318 1.744 20 0 DDADMM CCc1nc(SCc2nc(N)nc(N(C)C)n2)[n-]c(=O)c1C ZINC000582498205 337102215 /nfs/dbraw/zinc/10/22/15/337102215.db2.gz LIABCWHZDKZRRM-UHFFFAOYSA-N -1 1 321.410 1.009 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)[C@@H]1NCCc2ccccc21 ZINC000397093016 337249417 /nfs/dbraw/zinc/24/94/17/337249417.db2.gz LUZORONZHPMYJN-OSAQELSMSA-N -1 1 302.374 1.585 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC000372367444 483932093 /nfs/dbraw/zinc/93/20/93/483932093.db2.gz QLUBSWYKFOLMOD-PWSUYJOCSA-N -1 1 303.341 1.849 20 0 DDADMM CCN(CC)[C@H](CNC(=O)NC[C@@H](C)C(=O)[O-])c1ccco1 ZINC000655866549 483970690 /nfs/dbraw/zinc/97/06/90/483970690.db2.gz YWXMPWSLJBRFSN-VXGBXAGGSA-N -1 1 311.382 1.682 20 0 DDADMM O=C(N[C@H]1CC(=O)N(c2ccccc2)C1)C(=O)c1ccc([O-])cc1 ZINC000436942316 484129640 /nfs/dbraw/zinc/12/96/40/484129640.db2.gz HRWKFHFSSYRTPR-ZDUSSCGKSA-N -1 1 324.336 1.497 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnn(C(C)C)c1)[C@@H]1CCOC1 ZINC000656621857 484245858 /nfs/dbraw/zinc/24/58/58/484245858.db2.gz UJPZTPFTYYZJOK-DGCLKSJQSA-N -1 1 317.411 1.257 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccc(O)c1F)[C@H]1CCOC1 ZINC000656627085 484248989 /nfs/dbraw/zinc/24/89/89/484248989.db2.gz RUCDPOPRBODXEQ-JOYOIKCWSA-N -1 1 319.354 1.325 20 0 DDADMM O=S(=O)([N-]c1cnc[nH]1)c1ccc(Br)cc1 ZINC000522058570 484281400 /nfs/dbraw/zinc/28/14/00/484281400.db2.gz VQHARYZODBDFQI-UHFFFAOYSA-N -1 1 302.153 1.973 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@@H](C(=O)[O-])C2CC2)N2CCCC2)o1 ZINC000663106553 484671749 /nfs/dbraw/zinc/67/17/49/484671749.db2.gz RUWJMXMADGYIQM-ZFWWWQNUSA-N -1 1 320.389 1.952 20 0 DDADMM CC1(C)C[C@]1(C(=O)[O-])C(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000663121524 484685017 /nfs/dbraw/zinc/68/50/17/484685017.db2.gz KRHJUDSHKXTNDV-CXAGYDPISA-N -1 1 324.421 1.201 20 0 DDADMM CCNC(=O)CN(C)C(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000669945902 484695346 /nfs/dbraw/zinc/69/53/46/484695346.db2.gz RERRGRSWVDPWSV-UHFFFAOYSA-N -1 1 321.764 1.802 20 0 DDADMM O=C(CCOc1ccccc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405128 484809050 /nfs/dbraw/zinc/80/90/50/484809050.db2.gz PSSAVDAPUNCHRL-UHFFFAOYSA-N -1 1 317.345 1.950 20 0 DDADMM Cc1nc(C(C)(C)NC(=O)c2ccc3[nH][n-]c(=O)c3c2)no1 ZINC000667858643 484826304 /nfs/dbraw/zinc/82/63/04/484826304.db2.gz VOUQRYAVHZUJHZ-UHFFFAOYSA-N -1 1 301.306 1.625 20 0 DDADMM CN1CC2(C1)CCN(C(=O)c1nn(-c3ccccc3)cc1[O-])C2 ZINC000670432192 484826700 /nfs/dbraw/zinc/82/67/00/484826700.db2.gz OBNLBPMRPMMAOC-UHFFFAOYSA-N -1 1 312.373 1.356 20 0 DDADMM CCC[C@@H](O)[C@H](CO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000666044399 485060598 /nfs/dbraw/zinc/06/05/98/485060598.db2.gz NXRCFOPQQMSLKI-WDEREUQCSA-N -1 1 321.295 1.663 20 0 DDADMM C[C@H](CN1CCOCC1)NC(=O)c1ncc2ccccc2c1[O-] ZINC000666430137 485214664 /nfs/dbraw/zinc/21/46/64/485214664.db2.gz QNTOSDUQVNSDHA-GFCCVEGCSA-N -1 1 315.373 1.391 20 0 DDADMM CN(C(=O)c1ccc(C(F)(F)F)c([O-])c1)[C@H]1CCN(C)C1=O ZINC000672167593 485246160 /nfs/dbraw/zinc/24/61/60/485246160.db2.gz NLKMBDASDPIZCW-JTQLQIEISA-N -1 1 316.279 1.714 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H](C)C(C)(C)O)c(=O)[n-]1 ZINC000673688778 485445398 /nfs/dbraw/zinc/44/53/98/485445398.db2.gz BAXHFMMQKOKLIL-VIFPVBQESA-N -1 1 313.423 1.421 20 0 DDADMM NC(=O)Cc1ccc(CNC(=O)c2ccc([O-])c(F)c2)cc1 ZINC000685878689 485472523 /nfs/dbraw/zinc/47/25/23/485472523.db2.gz WSCXNBDFHSRGAL-UHFFFAOYSA-N -1 1 302.305 1.489 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCN(C)C(=O)C1 ZINC000682601627 485700930 /nfs/dbraw/zinc/70/09/30/485700930.db2.gz BJMKONAAFQOFSX-SNVBAGLBSA-N -1 1 320.393 1.139 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)CN(C)C(=O)C3CC3)n2)o1 ZINC000675708358 486016738 /nfs/dbraw/zinc/01/67/38/486016738.db2.gz OYEIABFRJQTSQD-UHFFFAOYSA-N -1 1 303.322 1.180 20 0 DDADMM CC(C)(C(N)=O)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000683492347 486024381 /nfs/dbraw/zinc/02/43/81/486024381.db2.gz MRDWVIPGOGQETO-UHFFFAOYSA-N -1 1 318.373 1.325 20 0 DDADMM Cc1ccc(NC(=O)C2=C([O-])C(C)N=N2)cc1N1CCNC1=O ZINC000683885774 486144960 /nfs/dbraw/zinc/14/49/60/486144960.db2.gz UVLXNVPCEMSOSL-UHFFFAOYSA-N -1 1 315.333 1.514 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1CCC[C@H](CC(=O)[O-])C1 ZINC000676232406 486155008 /nfs/dbraw/zinc/15/50/08/486155008.db2.gz AWEQQUYJDJEDBV-LLVKDONJSA-N -1 1 324.343 1.972 20 0 DDADMM C[C@]1(CCCC(=O)Nc2c([O-])cccc2F)NC(=O)NC1=O ZINC000676476584 486232021 /nfs/dbraw/zinc/23/20/21/486232021.db2.gz WRNNPOIJWLWCRR-CQSZACIVSA-N -1 1 309.297 1.238 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1c(F)cc(OC)cc1F)C1CC1 ZINC000676733533 486304594 /nfs/dbraw/zinc/30/45/94/486304594.db2.gz JJVAOQFDVHRXNM-GFCCVEGCSA-N -1 1 321.345 1.677 20 0 DDADMM Cn1cnnc1C1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681018743 486324956 /nfs/dbraw/zinc/32/49/56/486324956.db2.gz PCVLAKPOLYYFNR-UHFFFAOYSA-N -1 1 304.325 1.680 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC(Cn2ccnn2)CC1 ZINC000681057803 486334379 /nfs/dbraw/zinc/33/43/79/486334379.db2.gz DINOIPIISYGWER-UHFFFAOYSA-N -1 1 304.325 1.675 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](C)CCCO)c(F)c1 ZINC000676865012 486350531 /nfs/dbraw/zinc/35/05/31/486350531.db2.gz SYGYXAFEXVNAOC-QMMMGPOBSA-N -1 1 309.334 1.413 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H](C)CCO)c(F)c1 ZINC000676863361 486349118 /nfs/dbraw/zinc/34/91/18/486349118.db2.gz XBEOXTJGCGOZBX-QMMMGPOBSA-N -1 1 309.334 1.270 20 0 DDADMM CN(C)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c(F)c1 ZINC000676889410 486356158 /nfs/dbraw/zinc/35/61/58/486356158.db2.gz ZNHPPTWNBQYVGY-UHFFFAOYSA-N -1 1 318.356 1.604 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCC(F)(F)C1 ZINC000684566615 486384630 /nfs/dbraw/zinc/38/46/30/486384630.db2.gz HTDLIHSIFHVVQQ-WDEREUQCSA-N -1 1 307.304 1.841 20 0 DDADMM C[C@@H](CN(C)C(=O)CCOc1cccc(F)c1)c1nn[n-]n1 ZINC000681353634 486411103 /nfs/dbraw/zinc/41/11/03/486411103.db2.gz RLIZIZIDUBUOPC-JTQLQIEISA-N -1 1 307.329 1.370 20 0 DDADMM Cc1nc([C@H]2COCCN2C(=O)c2ncc(C)cc2[O-])no1 ZINC000681743619 486509451 /nfs/dbraw/zinc/50/94/51/486509451.db2.gz QBQSBLNNSNOZDV-SNVBAGLBSA-N -1 1 304.306 1.001 20 0 DDADMM Cn1cnc(C(=O)[N-]c2nnc(Cc3ccccc3)s2)n1 ZINC000685587009 486569705 /nfs/dbraw/zinc/56/97/05/486569705.db2.gz LYEVHOPBBUOABO-UHFFFAOYSA-N -1 1 300.347 1.510 20 0 DDADMM CS[C@@H](CO)[C@@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000330645433 533935063 /nfs/dbraw/zinc/93/50/63/533935063.db2.gz LEDBJQBLFLOCFV-APPZFPTMSA-N -1 1 310.466 1.151 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCCO[C@H](CF)C3)cnc2n1 ZINC000412437769 534397677 /nfs/dbraw/zinc/39/76/77/534397677.db2.gz CCBTXJNXPORDFN-LLVKDONJSA-N -1 1 319.336 1.844 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCCO[C@H](CF)C3)c[n-]c2n1 ZINC000412437769 534397686 /nfs/dbraw/zinc/39/76/86/534397686.db2.gz CCBTXJNXPORDFN-LLVKDONJSA-N -1 1 319.336 1.844 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H]3CC[C@@H](CO)C3)cnc2n1 ZINC000452488255 534398838 /nfs/dbraw/zinc/39/88/38/534398838.db2.gz GSNVYEVIOUMPBO-MNOVXSKESA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H]3CC[C@@H](CO)C3)c[n-]c2n1 ZINC000452488255 534398843 /nfs/dbraw/zinc/39/88/43/534398843.db2.gz GSNVYEVIOUMPBO-MNOVXSKESA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H]3CC[C@@H](C)O3)cnc2n1 ZINC000412417548 534401544 /nfs/dbraw/zinc/40/15/44/534401544.db2.gz NIROYVZQUCLPNR-GHMZBOCLSA-N -1 1 301.346 1.941 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@H]1CC[C@@H](C)O1)c2=O ZINC000412417548 534401553 /nfs/dbraw/zinc/40/15/53/534401553.db2.gz NIROYVZQUCLPNR-GHMZBOCLSA-N -1 1 301.346 1.941 20 0 DDADMM Cc1noc(CC[N-]S(=O)(=O)c2sccc2Cl)n1 ZINC000451135836 534405660 /nfs/dbraw/zinc/40/56/60/534405660.db2.gz NYTPXZZSSVWEPQ-UHFFFAOYSA-N -1 1 307.784 1.614 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCC[C@@H](F)C2)c1 ZINC000424755450 534703302 /nfs/dbraw/zinc/70/33/02/534703302.db2.gz CMCOKMDQYDUAJA-RKDXNWHRSA-N -1 1 316.354 1.506 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1c(C(N)=O)[nH]nc1C(C)C ZINC000451113274 534833078 /nfs/dbraw/zinc/83/30/78/534833078.db2.gz IXZKISXSBTUEKK-UHFFFAOYSA-N -1 1 322.390 1.741 20 0 DDADMM O=S(=O)([N-]C[C@]1(O)CCOC1)c1cc2cc(F)ccc2o1 ZINC000451336209 534868818 /nfs/dbraw/zinc/86/88/18/534868818.db2.gz FCAUDDIRCZFENG-CYBMUJFWSA-N -1 1 315.322 1.002 20 0 DDADMM C[C@H]1C(=O)NCCN1C(=O)c1cc(Br)ccc1[O-] ZINC000156142166 526206716 /nfs/dbraw/zinc/20/67/16/526206716.db2.gz PQTWULLVWVTXSS-ZETCQYMHSA-N -1 1 313.151 1.115 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3ncc(C)cc3[O-])[C@@H]2C1 ZINC000495179705 526683327 /nfs/dbraw/zinc/68/33/27/526683327.db2.gz FRGHKLXFGZGMRN-BFHYXJOUSA-N -1 1 317.389 1.472 20 0 DDADMM C=CC(=O)Nc1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000490705918 526939555 /nfs/dbraw/zinc/93/95/55/526939555.db2.gz YEINOBUUPGJVNB-UHFFFAOYSA-N -1 1 321.340 1.719 20 0 DDADMM CC(C)(CO)O[N-]C(=O)CCC(=O)c1ccc(F)c(F)c1 ZINC000296803390 527210134 /nfs/dbraw/zinc/21/01/34/527210134.db2.gz FFOAWLIJLPMWLC-UHFFFAOYSA-N -1 1 301.289 1.746 20 0 DDADMM CCN(CC)C(=O)[C@H]1CSCN1C(=O)c1ncc(C)cc1[O-] ZINC000330893541 528064962 /nfs/dbraw/zinc/06/49/62/528064962.db2.gz YWFCGLZRVHOBOB-LLVKDONJSA-N -1 1 323.418 1.479 20 0 DDADMM CC(C)c1nnc([C@H]2CN(C(=O)c3ncccc3[O-])CCO2)o1 ZINC000332367095 528464890 /nfs/dbraw/zinc/46/48/90/528464890.db2.gz VYPNMVJFUVJGQU-LLVKDONJSA-N -1 1 318.333 1.507 20 0 DDADMM CC(C)C[C@H](CNC(=O)c1ccc(-c2nnc[nH]2)cc1)C(=O)[O-] ZINC000424254008 528530177 /nfs/dbraw/zinc/53/01/77/528530177.db2.gz SMIZDUFXMQSMGY-CYBMUJFWSA-N -1 1 316.361 1.948 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)c1cc2cc(F)ccc2o1)C(N)=O ZINC000451173383 528594333 /nfs/dbraw/zinc/59/43/33/528594333.db2.gz AQWCODMADUUISC-GFCCVEGCSA-N -1 1 314.338 1.360 20 0 DDADMM CC(C)[C@@H](O)C1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000297629563 528610607 /nfs/dbraw/zinc/61/06/07/528610607.db2.gz WXXHKIRDDXUVLI-GFCCVEGCSA-N -1 1 320.418 1.545 20 0 DDADMM CCOC(=O)Cn1cnc(NC(=O)c2ccc(CC)cc2[O-])n1 ZINC000425097818 528931640 /nfs/dbraw/zinc/93/16/40/528931640.db2.gz JANGJXUNLUMPLO-UHFFFAOYSA-N -1 1 318.333 1.362 20 0 DDADMM CC[C@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000443300311 529232807 /nfs/dbraw/zinc/23/28/07/529232807.db2.gz KGSHWAGSTZGRRL-ZANVPECISA-N -1 1 319.379 1.142 20 0 DDADMM CN(CCc1ccc(Cl)cc1)c1nccnc1-c1nnn[n-]1 ZINC000736804168 598829933 /nfs/dbraw/zinc/82/99/33/598829933.db2.gz ITCKTWHJCFCLLD-UHFFFAOYSA-N -1 1 315.768 1.989 20 0 DDADMM CN(CCc1ccc(Cl)cc1)c1nccnc1-c1nn[n-]n1 ZINC000736804168 598829935 /nfs/dbraw/zinc/82/99/35/598829935.db2.gz ITCKTWHJCFCLLD-UHFFFAOYSA-N -1 1 315.768 1.989 20 0 DDADMM O[C@H]1CCC[C@H](CNc2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000823696723 599574581 /nfs/dbraw/zinc/57/45/81/599574581.db2.gz GUGNEKLCPUDUIK-BQBZGAKWSA-N -1 1 314.802 1.940 20 0 DDADMM O[C@H]1CCC[C@H](CNc2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000823696723 599574582 /nfs/dbraw/zinc/57/45/82/599574582.db2.gz GUGNEKLCPUDUIK-BQBZGAKWSA-N -1 1 314.802 1.940 20 0 DDADMM CC[C@H]1CCC[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736399861 598942101 /nfs/dbraw/zinc/94/21/01/598942101.db2.gz LDBJZNOFYUWHCM-CMPLNLGQSA-N -1 1 300.366 1.960 20 0 DDADMM CC[C@H]1CCC[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736399861 598942102 /nfs/dbraw/zinc/94/21/02/598942102.db2.gz LDBJZNOFYUWHCM-CMPLNLGQSA-N -1 1 300.366 1.960 20 0 DDADMM C[C@@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)CCCO1 ZINC000736291341 598964778 /nfs/dbraw/zinc/96/47/78/598964778.db2.gz VWIGTXREACPVNR-SNVBAGLBSA-N -1 1 311.349 1.425 20 0 DDADMM C[C@@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)CCCO1 ZINC000736291341 598964780 /nfs/dbraw/zinc/96/47/80/598964780.db2.gz VWIGTXREACPVNR-SNVBAGLBSA-N -1 1 311.349 1.425 20 0 DDADMM CC[C@H](C)[C@H](O)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736331900 598968863 /nfs/dbraw/zinc/96/88/63/598968863.db2.gz QWEPYIGMQCTUCM-JOYOIKCWSA-N -1 1 313.365 1.629 20 0 DDADMM CC[C@H](C)[C@H](O)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736331900 598968865 /nfs/dbraw/zinc/96/88/65/598968865.db2.gz QWEPYIGMQCTUCM-JOYOIKCWSA-N -1 1 313.365 1.629 20 0 DDADMM O=C(Nc1nc2ccccc2[nH]1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738207357 598978835 /nfs/dbraw/zinc/97/88/35/598978835.db2.gz AQASLEYUVZOJMQ-UHFFFAOYSA-N -1 1 306.289 1.390 20 0 DDADMM O=C(Nc1nc2ccccc2[nH]1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738207357 598978836 /nfs/dbraw/zinc/97/88/36/598978836.db2.gz AQASLEYUVZOJMQ-UHFFFAOYSA-N -1 1 306.289 1.390 20 0 DDADMM O[C@H]1CCCC[C@@H]1CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000738390533 598978951 /nfs/dbraw/zinc/97/89/51/598978951.db2.gz QXDLCQLUNVGANF-SCZZXKLOSA-N -1 1 308.773 1.878 20 0 DDADMM O[C@H]1CCCC[C@@H]1CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000738390533 598978952 /nfs/dbraw/zinc/97/89/52/598978952.db2.gz QXDLCQLUNVGANF-SCZZXKLOSA-N -1 1 308.773 1.878 20 0 DDADMM CC(C)N=c1ccccn1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735882413 598985700 /nfs/dbraw/zinc/98/57/00/598985700.db2.gz QJGSXTGUKLBOBQ-UHFFFAOYSA-N -1 1 309.333 1.061 20 0 DDADMM CC(C)N=c1ccccn1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735882413 598985701 /nfs/dbraw/zinc/98/57/01/598985701.db2.gz QJGSXTGUKLBOBQ-UHFFFAOYSA-N -1 1 309.333 1.061 20 0 DDADMM O=C(NCCCOc1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738074388 598988376 /nfs/dbraw/zinc/98/83/76/598988376.db2.gz BRKFZMUZGNOYSZ-UHFFFAOYSA-N -1 1 324.344 1.461 20 0 DDADMM O=C(NCCCOc1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738074388 598988377 /nfs/dbraw/zinc/98/83/77/598988377.db2.gz BRKFZMUZGNOYSZ-UHFFFAOYSA-N -1 1 324.344 1.461 20 0 DDADMM O=C(NCc1ccc(Cl)s1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738103266 598990829 /nfs/dbraw/zinc/99/08/29/598990829.db2.gz GSDNIUQTPICHOY-UHFFFAOYSA-N -1 1 320.765 1.907 20 0 DDADMM O=C(NCc1ccc(Cl)s1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738103266 598990830 /nfs/dbraw/zinc/99/08/30/598990830.db2.gz GSDNIUQTPICHOY-UHFFFAOYSA-N -1 1 320.765 1.907 20 0 DDADMM O=C(N[C@@H]1C[C@H]1c1ccccc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738018973 598997746 /nfs/dbraw/zinc/99/77/46/598997746.db2.gz HAJBRRLRGGVUKQ-GXTWGEPZSA-N -1 1 306.329 1.548 20 0 DDADMM O=C(N[C@@H]1C[C@H]1c1ccccc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738018973 598997748 /nfs/dbraw/zinc/99/77/48/598997748.db2.gz HAJBRRLRGGVUKQ-GXTWGEPZSA-N -1 1 306.329 1.548 20 0 DDADMM CCN(CCC(F)(F)F)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736538556 599000393 /nfs/dbraw/zinc/00/03/93/599000393.db2.gz YSUDQCIVEQNZPS-UHFFFAOYSA-N -1 1 314.271 1.676 20 0 DDADMM CCN(CCC(F)(F)F)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736538556 599000395 /nfs/dbraw/zinc/00/03/95/599000395.db2.gz YSUDQCIVEQNZPS-UHFFFAOYSA-N -1 1 314.271 1.676 20 0 DDADMM O=C(Nc1n[nH]c2ccc(F)cc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000738198413 599029953 /nfs/dbraw/zinc/02/99/53/599029953.db2.gz QRBJEQHIGQKNDF-UHFFFAOYSA-N -1 1 324.279 1.529 20 0 DDADMM O=C(Nc1n[nH]c2ccc(F)cc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000738198413 599029955 /nfs/dbraw/zinc/02/99/55/599029955.db2.gz QRBJEQHIGQKNDF-UHFFFAOYSA-N -1 1 324.279 1.529 20 0 DDADMM C[C@@H]1C[C@@H]1c1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n[nH]1 ZINC000736241242 599107838 /nfs/dbraw/zinc/10/78/38/599107838.db2.gz WPESKDPTURXXQP-APPZFPTMSA-N -1 1 310.321 1.361 20 0 DDADMM C[C@@H]1C[C@@H]1c1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n[nH]1 ZINC000736241242 599107839 /nfs/dbraw/zinc/10/78/39/599107839.db2.gz WPESKDPTURXXQP-APPZFPTMSA-N -1 1 310.321 1.361 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1cccc(O)c1 ZINC000736118415 599108359 /nfs/dbraw/zinc/10/83/59/599108359.db2.gz JCZJREQGKKIRCX-VIFPVBQESA-N -1 1 310.317 1.458 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1cccc(O)c1 ZINC000736118415 599108362 /nfs/dbraw/zinc/10/83/62/599108362.db2.gz JCZJREQGKKIRCX-VIFPVBQESA-N -1 1 310.317 1.458 20 0 DDADMM c1cn(C2CCCC2)nc1CNc1nccnc1-c1nnn[n-]1 ZINC000823810499 607307559 /nfs/dbraw/zinc/30/75/59/607307559.db2.gz ZERPLZWLRWSVGC-UHFFFAOYSA-N -1 1 311.353 1.580 20 0 DDADMM c1cn(C2CCCC2)nc1CNc1nccnc1-c1nn[n-]n1 ZINC000823810499 607307560 /nfs/dbraw/zinc/30/75/60/607307560.db2.gz ZERPLZWLRWSVGC-UHFFFAOYSA-N -1 1 311.353 1.580 20 0 DDADMM Cc1n[nH]c(CCNC(=O)Nc2ccc(C(=O)[O-])c(C)c2)n1 ZINC000392437902 598212496 /nfs/dbraw/zinc/21/24/96/598212496.db2.gz UALIGOAZQMYMBW-UHFFFAOYSA-N -1 1 303.322 1.484 20 0 DDADMM CC[C@@H]1CCCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736401286 598263863 /nfs/dbraw/zinc/26/38/63/598263863.db2.gz HSCQCLCPFSIKAD-SNVBAGLBSA-N -1 1 322.394 1.072 20 0 DDADMM CC[C@@H]1CCCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736401286 598263865 /nfs/dbraw/zinc/26/38/65/598263865.db2.gz HSCQCLCPFSIKAD-SNVBAGLBSA-N -1 1 322.394 1.072 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(NCc2ccc3c(c2)OCCO3)n1 ZINC000738445690 598339186 /nfs/dbraw/zinc/33/91/86/598339186.db2.gz KLMSDBPTMQBYAA-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(NCc2ccc3c(c2)OCCO3)n1 ZINC000738445690 598339188 /nfs/dbraw/zinc/33/91/88/598339188.db2.gz KLMSDBPTMQBYAA-UHFFFAOYSA-N -1 1 311.305 1.040 20 0 DDADMM Cc1nccc([C@@H]2CCCN(c3nccnc3-c3nnn[n-]3)C2)n1 ZINC000737499732 598348091 /nfs/dbraw/zinc/34/80/91/598348091.db2.gz NDZDNDMOPWVTCI-LLVKDONJSA-N -1 1 323.364 1.139 20 0 DDADMM Cc1nccc([C@@H]2CCCN(c3nccnc3-c3nn[n-]n3)C2)n1 ZINC000737499732 598348093 /nfs/dbraw/zinc/34/80/93/598348093.db2.gz NDZDNDMOPWVTCI-LLVKDONJSA-N -1 1 323.364 1.139 20 0 DDADMM c1nn(Cc2ccccn2)cc1Nc1nccnc1-c1nnn[n-]1 ZINC000738432844 598352230 /nfs/dbraw/zinc/35/22/30/598352230.db2.gz MWLGITNHRLNNEE-UHFFFAOYSA-N -1 1 320.320 1.040 20 0 DDADMM c1nn(Cc2ccccn2)cc1Nc1nccnc1-c1nn[n-]n1 ZINC000738432844 598352232 /nfs/dbraw/zinc/35/22/32/598352232.db2.gz MWLGITNHRLNNEE-UHFFFAOYSA-N -1 1 320.320 1.040 20 0 DDADMM c1cc2c(cc1Nc1cnc(-c3nnn[n-]3)cn1)OCCCO2 ZINC000738422471 598384734 /nfs/dbraw/zinc/38/47/34/598384734.db2.gz ZWQIIXGGIBBWRM-UHFFFAOYSA-N -1 1 311.305 1.562 20 0 DDADMM c1cc2c(cc1Nc1cnc(-c3nn[n-]n3)cn1)OCCCO2 ZINC000738422471 598384735 /nfs/dbraw/zinc/38/47/35/598384735.db2.gz ZWQIIXGGIBBWRM-UHFFFAOYSA-N -1 1 311.305 1.562 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCc1nccn1CC(F)(F)F ZINC000831586692 601134869 /nfs/dbraw/zinc/13/48/69/601134869.db2.gz YFTVMSGBYQGWRV-NSHDSACASA-N -1 1 309.288 1.415 20 0 DDADMM CCN(C[C@@H]1CCCO1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000736535303 598746064 /nfs/dbraw/zinc/74/60/64/598746064.db2.gz SQRUKULXJBQNMW-ZETCQYMHSA-N -1 1 314.802 1.982 20 0 DDADMM CCN(C[C@@H]1CCCO1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000736535303 598746065 /nfs/dbraw/zinc/74/60/65/598746065.db2.gz SQRUKULXJBQNMW-ZETCQYMHSA-N -1 1 314.802 1.982 20 0 DDADMM CN1C(=O)CCc2cc(Nc3nccnc3-c3nnn[n-]3)ccc21 ZINC000736845866 598797619 /nfs/dbraw/zinc/79/76/19/598797619.db2.gz OIOSVLSBMXBTNS-UHFFFAOYSA-N -1 1 322.332 1.309 20 0 DDADMM CN1C(=O)CCc2cc(Nc3nccnc3-c3nn[n-]n3)ccc21 ZINC000736845866 598797621 /nfs/dbraw/zinc/79/76/21/598797621.db2.gz OIOSVLSBMXBTNS-UHFFFAOYSA-N -1 1 322.332 1.309 20 0 DDADMM COC[C@@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000736970019 598817930 /nfs/dbraw/zinc/81/79/30/598817930.db2.gz AIPOOAMRWMMCGY-SECBINFHSA-N -1 1 308.773 1.778 20 0 DDADMM COC[C@@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000736970019 598817931 /nfs/dbraw/zinc/81/79/31/598817931.db2.gz AIPOOAMRWMMCGY-SECBINFHSA-N -1 1 308.773 1.778 20 0 DDADMM c1ccc2c(c1)CCO[C@H]2CNc1nccnc1-c1nnn[n-]1 ZINC000738439771 598818452 /nfs/dbraw/zinc/81/84/52/598818452.db2.gz DARJZKSZUFNZCA-LBPRGKRZSA-N -1 1 309.333 1.383 20 0 DDADMM c1ccc2c(c1)CCO[C@H]2CNc1nccnc1-c1nn[n-]n1 ZINC000738439771 598818453 /nfs/dbraw/zinc/81/84/53/598818453.db2.gz DARJZKSZUFNZCA-LBPRGKRZSA-N -1 1 309.333 1.383 20 0 DDADMM Clc1ccc(N2CCC3(C2)CCOCC3)nc1-c1nnn[n-]1 ZINC000822573595 599357937 /nfs/dbraw/zinc/35/79/37/599357937.db2.gz CACZUMLEPMCNPR-UHFFFAOYSA-N -1 1 320.784 1.922 20 0 DDADMM Clc1ccc(N2CCC3(C2)CCOCC3)nc1-c1nn[n-]n1 ZINC000822573595 599357939 /nfs/dbraw/zinc/35/79/39/599357939.db2.gz CACZUMLEPMCNPR-UHFFFAOYSA-N -1 1 320.784 1.922 20 0 DDADMM COC[C@@H]1CCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000821684615 599566353 /nfs/dbraw/zinc/56/63/53/599566353.db2.gz FRDRTCLZYPPMSI-LLVKDONJSA-N -1 1 310.361 1.888 20 0 DDADMM COC[C@@H]1CCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000821684615 599566356 /nfs/dbraw/zinc/56/63/56/599566356.db2.gz FRDRTCLZYPPMSI-LLVKDONJSA-N -1 1 310.361 1.888 20 0 DDADMM Cc1ccc(C)n1C1CCN(CC(=O)NCCC(=O)[O-])CC1 ZINC000738579793 599714665 /nfs/dbraw/zinc/71/46/65/599714665.db2.gz VATFXARHBQKBAI-UHFFFAOYSA-N -1 1 307.394 1.333 20 0 DDADMM COc1c(C)cc(CN(C)[C@@H](C)C(=O)NCC(=O)[O-])cc1C ZINC000737838751 599720308 /nfs/dbraw/zinc/72/03/08/599720308.db2.gz AUFLHQFAKBLLCA-LBPRGKRZSA-N -1 1 308.378 1.333 20 0 DDADMM C[C@@H]1CCN(CC(=O)N2C[C@@H](C(=O)[O-])Oc3ccccc32)C1 ZINC000736797175 599752772 /nfs/dbraw/zinc/75/27/72/599752772.db2.gz IVSCLEZPFOKIET-RISCZKNCSA-N -1 1 304.346 1.207 20 0 DDADMM C[C@@H](CNC(=O)Nc1ccccc1N1CCN(C)CC1)C(=O)[O-] ZINC000736599281 599788718 /nfs/dbraw/zinc/78/87/18/599788718.db2.gz UNYUAGQEIDPPTL-LBPRGKRZSA-N -1 1 320.393 1.281 20 0 DDADMM COc1ccccc1CCNC(=O)CN1CCC(C(=O)[O-])CC1 ZINC000738130201 600034261 /nfs/dbraw/zinc/03/42/61/600034261.db2.gz PBXHBFGNAMTSRM-UHFFFAOYSA-N -1 1 320.389 1.151 20 0 DDADMM CCn1nccc1NS(=O)(=O)c1ccc(C(=O)[O-])cc1C ZINC000737312941 600054438 /nfs/dbraw/zinc/05/44/38/600054438.db2.gz WQMPTZMMPUPWQE-UHFFFAOYSA-N -1 1 309.347 1.710 20 0 DDADMM Cc1cccc(C[C@H](CNC(=O)[C@H]2CCCN2C)C(=O)[O-])c1 ZINC000738704891 600102475 /nfs/dbraw/zinc/10/24/75/600102475.db2.gz WBABPKCQYNXXJH-HUUCEWRRSA-N -1 1 304.390 1.449 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCN(C[C@@H]2CCCO2)CC1 ZINC000740080113 600119826 /nfs/dbraw/zinc/11/98/26/600119826.db2.gz WMRMDHDSIPXMGF-HNNXBMFYSA-N -1 1 322.380 1.821 20 0 DDADMM CCC[C@@](C)(NCC(=O)N[C@@H]1CCOc2ccccc21)C(=O)[O-] ZINC000736964267 600324178 /nfs/dbraw/zinc/32/41/78/600324178.db2.gz MGIDJLVWMNUVPS-CXAGYDPISA-N -1 1 320.389 1.859 20 0 DDADMM O=C([O-])c1ccoc1CN1CCCC[C@H]1CN1CCCC1=O ZINC000740248859 600333427 /nfs/dbraw/zinc/33/34/27/600333427.db2.gz IZNYQFXHXGAISD-LBPRGKRZSA-N -1 1 306.362 1.955 20 0 DDADMM C[C@H](CN(C)[C@H](C)C(=O)Nc1cc(F)ccc1F)C(=O)[O-] ZINC000736574414 600365473 /nfs/dbraw/zinc/36/54/73/600365473.db2.gz CTGGLXBYTGEDQR-RKDXNWHRSA-N -1 1 300.305 1.944 20 0 DDADMM O=C([O-])[C@H](c1ccccc1)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000738523386 600405169 /nfs/dbraw/zinc/40/51/69/600405169.db2.gz BEBWNISYNSESEN-PMPSAXMXSA-N -1 1 304.390 1.343 20 0 DDADMM CC(C)[C@@H](C)NC(=O)CN(CCC(=O)[O-])C[C@H]1CCCO1 ZINC000736354026 600514122 /nfs/dbraw/zinc/51/41/22/600514122.db2.gz TTWDOODHFPQGPZ-CHWSQXEVSA-N -1 1 300.399 1.103 20 0 DDADMM C[C@]1(c2ccccc2)CCCN(CC(=O)NCCC(=O)[O-])C1 ZINC000736743440 600644051 /nfs/dbraw/zinc/64/40/51/600644051.db2.gz YUXODUPKRRUDOJ-KRWDZBQOSA-N -1 1 304.390 1.631 20 0 DDADMM Cc1cc(C#N)ccc1S(=O)(=O)Nc1cnccc1C(=O)[O-] ZINC000319795534 600756576 /nfs/dbraw/zinc/75/65/76/600756576.db2.gz SYMIIJJCCCNSHR-UHFFFAOYSA-N -1 1 317.326 1.761 20 0 DDADMM CCO[C@@H]1C[C@H](O)C12CCN(Cc1cc(C(=O)[O-])co1)CC2 ZINC000737167388 600795565 /nfs/dbraw/zinc/79/55/65/600795565.db2.gz ZWCBWCTZIOYRHK-UONOGXRCSA-N -1 1 309.362 1.730 20 0 DDADMM C[C@H](C(=O)N[C@@H](C(=O)[O-])c1ccccn1)N1CCCCCC1 ZINC000825995115 600976276 /nfs/dbraw/zinc/97/62/76/600976276.db2.gz MXDVOYQZAKYMMW-TZMCWYRMSA-N -1 1 305.378 1.588 20 0 DDADMM CCC(=O)N1CCCN([C@@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000828563002 600989671 /nfs/dbraw/zinc/98/96/71/600989671.db2.gz USWZANBMRBEUJD-OAHLLOKOSA-N -1 1 308.353 1.896 20 0 DDADMM Cc1csc(C2(NCCC(=O)NCC(=O)[O-])CCCC2)n1 ZINC000832988846 601045778 /nfs/dbraw/zinc/04/57/78/601045778.db2.gz KLUFKCFEHJLCFI-UHFFFAOYSA-N -1 1 311.407 1.401 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](C2CCCCC2)CN1C(=O)CCc1nc[nH]n1 ZINC000833177213 601138384 /nfs/dbraw/zinc/13/83/84/601138384.db2.gz LBFJMKUEONGOLX-STQMWFEESA-N -1 1 320.393 1.619 20 0 DDADMM O=C([O-])CCCCNC(=O)CSc1n[nH]c(=S)s1 ZINC000821517299 601198378 /nfs/dbraw/zinc/19/83/78/601198378.db2.gz DVQPOAUJZAZBJW-UHFFFAOYSA-N -1 1 307.422 1.290 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=S)Nc2ccccc2)CC1 ZINC000827386757 601338028 /nfs/dbraw/zinc/33/80/28/601338028.db2.gz XJBKIEKKPSLEST-LBPRGKRZSA-N -1 1 307.419 1.864 20 0 DDADMM CCCc1c(C(=O)NCCc2nc[nH]n2)[nH]c(C)c1C(=O)[O-] ZINC000829555311 601383931 /nfs/dbraw/zinc/38/39/31/601383931.db2.gz AKYHEWOJVOMMLI-UHFFFAOYSA-N -1 1 305.338 1.064 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCc1csc(-c2cnn(C)c2)n1 ZINC000831585710 601488957 /nfs/dbraw/zinc/48/89/57/601488957.db2.gz OTJZPENIWUIWHO-AWEZNQCLSA-N -1 1 324.406 1.513 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@H](C)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828420112 601511799 /nfs/dbraw/zinc/51/17/99/601511799.db2.gz AASGTUMTAVWJDT-GRYCIOLGSA-N -1 1 308.382 1.444 20 0 DDADMM c1coc([C@H]2CN(Cc3ccnc(-c4nn[n-]n4)c3)CCO2)c1 ZINC000826525895 607513951 /nfs/dbraw/zinc/51/39/51/607513951.db2.gz CVQGSBCEEZXIMO-CQSZACIVSA-N -1 1 312.333 1.428 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3C[C@H](C)[C@@H](C(=O)[O-])C3)[nH]c2c1 ZINC000832958855 601666319 /nfs/dbraw/zinc/66/63/19/601666319.db2.gz NINSLWLQADRSGI-QWRGUYRKSA-N -1 1 316.361 1.733 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2C[C@H](C)[C@H](C(=O)[O-])C2)o1 ZINC000387692457 601691203 /nfs/dbraw/zinc/69/12/03/601691203.db2.gz HNPDJNZFMANMLG-WCQYABFASA-N -1 1 308.378 1.914 20 0 DDADMM C[C@]1(C(=O)[O-])CCN([C@H]2CC(=O)N(c3ccc(F)cc3)C2=O)C1 ZINC000828020222 601850061 /nfs/dbraw/zinc/85/00/61/601850061.db2.gz MMWUNJCCCCEGGX-LRDDRELGSA-N -1 1 320.320 1.254 20 0 DDADMM CC(C)C[C@@H]1CCC(=O)N(CN2CC[C@@](C)(C(=O)[O-])C2)C1=O ZINC000826832542 601888013 /nfs/dbraw/zinc/88/80/13/601888013.db2.gz SZHPCCSNJMMOMB-BLLLJJGKSA-N -1 1 310.394 1.552 20 0 DDADMM CN(C(=O)[O-])c1ccc(NC(=O)NCCc2nc[nH]n2)cc1 ZINC000830514306 601948100 /nfs/dbraw/zinc/94/81/00/601948100.db2.gz QVWQFOJWFBCYQJ-UHFFFAOYSA-N -1 1 304.310 1.283 20 0 DDADMM O=C([O-])N(CCNC(=O)c1c[nH]c2ccccc2c1=O)C1CC1 ZINC000740440040 602028603 /nfs/dbraw/zinc/02/86/03/602028603.db2.gz PGXYNOHYAMRYPU-UHFFFAOYSA-N -1 1 315.329 1.400 20 0 DDADMM C[C@H](C(=O)N1CC[C@@](C)(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000825982928 602029906 /nfs/dbraw/zinc/02/99/06/602029906.db2.gz NTMBULJFCYUXGA-CXAGYDPISA-N -1 1 304.390 1.830 20 0 DDADMM CN(CC(=O)NC[C@@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000739623836 602159178 /nfs/dbraw/zinc/15/91/78/602159178.db2.gz QIGOBEMBRVLNMZ-LBPRGKRZSA-N -1 1 309.366 1.533 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)N1CCO[C@H](c2nc(C(C)(C)C)n[nH]2)C1 ZINC000827261785 602174794 /nfs/dbraw/zinc/17/47/94/602174794.db2.gz BMJUVBBJYIBUDL-ZJUUUORDSA-N -1 1 324.381 1.113 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)N1CCO[C@H](c2nnc(C(C)(C)C)[nH]2)C1 ZINC000827261785 602174795 /nfs/dbraw/zinc/17/47/95/602174795.db2.gz BMJUVBBJYIBUDL-ZJUUUORDSA-N -1 1 324.381 1.113 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C[C@@H](O)COc2cccc3[nH]ccc32)C1 ZINC000833176030 602206471 /nfs/dbraw/zinc/20/64/71/602206471.db2.gz VVMTZRPPKJCQJE-BZNIZROVSA-N -1 1 322.336 1.406 20 0 DDADMM COCc1cccc(CNC(=O)CN[C@H](C(=O)[O-])C(C)(C)C)c1 ZINC000831817536 602224767 /nfs/dbraw/zinc/22/47/67/602224767.db2.gz PEVCYMNIWFFRKT-OAHLLOKOSA-N -1 1 322.405 1.538 20 0 DDADMM Cc1[nH]ncc1C(=O)Nc1cccc(OCCNC(=O)[O-])c1 ZINC000740030668 602280050 /nfs/dbraw/zinc/28/00/50/602280050.db2.gz IOHLLQBGPBTUGU-UHFFFAOYSA-N -1 1 304.306 1.617 20 0 DDADMM COCCS(=O)(=O)Nc1cc(OC)ccc1NC(=O)[O-] ZINC000739839499 602456473 /nfs/dbraw/zinc/45/64/73/602456473.db2.gz XIDMNKXFRKTJOL-UHFFFAOYSA-N -1 1 304.324 1.173 20 0 DDADMM CN(C)C(=O)[C@H](c1ccccc1)N1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000739593389 602537549 /nfs/dbraw/zinc/53/75/49/602537549.db2.gz DPZJTRNEZSPFBH-ZFWWWQNUSA-N -1 1 319.405 1.748 20 0 DDADMM O=S(=O)(NC1CCCC1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826488740 607574119 /nfs/dbraw/zinc/57/41/19/607574119.db2.gz YCGSXLRKNMCSSP-UHFFFAOYSA-N -1 1 311.342 1.227 20 0 DDADMM O=S(=O)(NC1CCCC1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826488740 607574120 /nfs/dbraw/zinc/57/41/20/607574120.db2.gz YCGSXLRKNMCSSP-UHFFFAOYSA-N -1 1 311.342 1.227 20 0 DDADMM O=S(=O)(NC1CCCC1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826488743 607574147 /nfs/dbraw/zinc/57/41/47/607574147.db2.gz YGDSCINQHOHROA-UHFFFAOYSA-N -1 1 311.342 1.227 20 0 DDADMM CCCNS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000825332275 607575413 /nfs/dbraw/zinc/57/54/13/607575413.db2.gz GHGXQDQPOCEXBZ-UHFFFAOYSA-N -1 1 301.759 1.208 20 0 DDADMM CCCNS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000825332275 607575414 /nfs/dbraw/zinc/57/54/14/607575414.db2.gz GHGXQDQPOCEXBZ-UHFFFAOYSA-N -1 1 301.759 1.208 20 0 DDADMM O=C([O-])NC[C@@H]1CCN([C@H]2CCN(c3ccccc3)C2=O)C1 ZINC000740644039 602736144 /nfs/dbraw/zinc/73/61/44/602736144.db2.gz WRJZZUDRZCMUPS-JSGCOSHPSA-N -1 1 303.362 1.381 20 0 DDADMM CCN(CC1CCN(C(=O)[O-])CC1)[C@@H](C)CS(C)(=O)=O ZINC000739412596 602737352 /nfs/dbraw/zinc/73/73/52/602737352.db2.gz BSOGEAWPSCHULY-NSHDSACASA-N -1 1 306.428 1.131 20 0 DDADMM Cc1nnc(NC(=O)[C@H](C)[C@H](NC(=O)[O-])c2ccccc2)[nH]1 ZINC000830770238 602847738 /nfs/dbraw/zinc/84/77/38/602847738.db2.gz PLPVPNDZDWNWPJ-KCJUWKMLSA-N -1 1 303.322 1.697 20 0 DDADMM Cc1n[nH]c(NC(=O)[C@H](C)[C@H](NC(=O)[O-])c2ccccc2)n1 ZINC000830770238 602847741 /nfs/dbraw/zinc/84/77/41/602847741.db2.gz PLPVPNDZDWNWPJ-KCJUWKMLSA-N -1 1 303.322 1.697 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@@H]2CC[N@@H+]3CCC[C@H]3C2)c([O-])c1 ZINC000740698484 602851723 /nfs/dbraw/zinc/85/17/23/602851723.db2.gz MTGGUSQBHGGPJO-NEPJUHHUSA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@@H]2CC[N@H+]3CCC[C@H]3C2)c([O-])c1 ZINC000740698484 602851726 /nfs/dbraw/zinc/85/17/26/602851726.db2.gz MTGGUSQBHGGPJO-NEPJUHHUSA-N -1 1 319.361 1.839 20 0 DDADMM CCc1cc(CNC(=O)[C@H](CNC(=O)[O-])c2ccccc2)n[nH]1 ZINC000827556008 602920242 /nfs/dbraw/zinc/92/02/42/602920242.db2.gz CEYOXELBAHVCAV-CQSZACIVSA-N -1 1 316.361 1.640 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)O[C@H]1CCN(Cc2ccccc2)C1 ZINC000736331897 602977009 /nfs/dbraw/zinc/97/70/09/602977009.db2.gz AKZWWFPMYVMQGG-ZDUSSCGKSA-N -1 1 306.362 1.850 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](CN2CCN(C(=O)[O-])CC2)C1 ZINC000736272364 602977100 /nfs/dbraw/zinc/97/71/00/602977100.db2.gz FOSHQXBMDBIJDK-GFCCVEGCSA-N -1 1 313.398 1.539 20 0 DDADMM Cc1cccc(N)c1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826299198 607607893 /nfs/dbraw/zinc/60/78/93/607607893.db2.gz VJIRDWBTRDXMIX-UHFFFAOYSA-N -1 1 310.317 1.509 20 0 DDADMM Cc1cccc(N)c1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826299198 607607895 /nfs/dbraw/zinc/60/78/95/607607895.db2.gz VJIRDWBTRDXMIX-UHFFFAOYSA-N -1 1 310.317 1.509 20 0 DDADMM CC(C)CN1CCN(C(=O)N[C@H](CNC(=O)[O-])C2CC2)CC1 ZINC000738819083 603134087 /nfs/dbraw/zinc/13/40/87/603134087.db2.gz DZYZBAVXXZNYGU-CYBMUJFWSA-N -1 1 312.414 1.016 20 0 DDADMM CCc1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)cc1N ZINC000825705028 607610460 /nfs/dbraw/zinc/61/04/60/607610460.db2.gz UJDBJHVEWWLYOQ-UHFFFAOYSA-N -1 1 324.344 1.763 20 0 DDADMM CCc1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)cc1N ZINC000825705028 607610462 /nfs/dbraw/zinc/61/04/62/607610462.db2.gz UJDBJHVEWWLYOQ-UHFFFAOYSA-N -1 1 324.344 1.763 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])CCN1C[C@@H](O)COCc1ccccc1 ZINC000739151913 603163721 /nfs/dbraw/zinc/16/37/21/603163721.db2.gz ARDXSMKEUMTCMZ-UKRRQHHQSA-N -1 1 308.378 1.248 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1CCN(C(=O)[O-])C1)c1cccc(F)c1 ZINC000739601501 603268405 /nfs/dbraw/zinc/26/84/05/603268405.db2.gz FWBSQJIRDRJMBS-TZMCWYRMSA-N -1 1 323.368 1.545 20 0 DDADMM CN(C(=O)OC(C)(C)C)C1CCN(CCNC(=O)[O-])CC1 ZINC000827927747 603453481 /nfs/dbraw/zinc/45/34/81/603453481.db2.gz UJIDIZXKFCLUAC-UHFFFAOYSA-N -1 1 301.387 1.585 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CCC(N(CC2CC2)C(=O)[O-])CC1 ZINC000828488233 603464955 /nfs/dbraw/zinc/46/49/55/603464955.db2.gz LWJOURHKESIUSH-HNNXBMFYSA-N -1 1 323.437 1.852 20 0 DDADMM CN(CCCNC(=O)[O-])C(=O)CSc1n[nH]c(=S)s1 ZINC000828297532 603492458 /nfs/dbraw/zinc/49/24/58/603492458.db2.gz BISWFYLVJCRYOR-UHFFFAOYSA-N -1 1 322.437 1.035 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCN(C(=O)c2cc(-c3ccccc3)[nH]n2)C1 ZINC000827865766 603516517 /nfs/dbraw/zinc/51/65/17/603516517.db2.gz WACQIVQLAGZRPN-GFCCVEGCSA-N -1 1 314.345 1.901 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@@H]1CC[C@H](NC(=O)[O-])C1 ZINC000826689938 603523097 /nfs/dbraw/zinc/52/30/97/603523097.db2.gz IQBXQBOJWLLDEN-LXTVHRRPSA-N -1 1 323.437 1.898 20 0 DDADMM C[C@H](CCNC(=O)Nc1cnn(-c2ccncc2)c1)NC(=O)[O-] ZINC000824793950 603555314 /nfs/dbraw/zinc/55/53/14/603555314.db2.gz QWVPDSWFXVBRFF-SNVBAGLBSA-N -1 1 318.337 1.435 20 0 DDADMM CCN(C)[C@H](C(=O)NCC(C)(C)NC(=O)[O-])c1ccccc1 ZINC000826989203 603667367 /nfs/dbraw/zinc/66/73/67/603667367.db2.gz XSXAHUROLOCQQM-ZDUSSCGKSA-N -1 1 307.394 1.842 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@H](C)[C@@H]2CNC(=O)[O-])n[nH]1 ZINC000826948283 603691728 /nfs/dbraw/zinc/69/17/28/603691728.db2.gz AEELZONSPCVEEL-GWCFXTLKSA-N -1 1 308.382 1.871 20 0 DDADMM CCN1CCN(CC(=O)Nc2ccc(NC(=O)[O-])cc2)CC1 ZINC000737117521 603752827 /nfs/dbraw/zinc/75/28/27/603752827.db2.gz WBCKKXOHZMATCM-UHFFFAOYSA-N -1 1 306.366 1.353 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCN(C[C@@H]2CN(c3ccccc3)C(=O)O2)C1 ZINC000827883423 603819688 /nfs/dbraw/zinc/81/96/88/603819688.db2.gz XZZYVBTYMLWWKR-UONOGXRCSA-N -1 1 319.361 1.696 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@]1(C)CCN(C(=O)[O-])C1 ZINC000826087660 604054105 /nfs/dbraw/zinc/05/41/05/604054105.db2.gz QDRWUDBDKHBCAZ-XJKCOSOUSA-N -1 1 309.410 1.462 20 0 DDADMM O=C([O-])C1(CNC(=O)N[C@H]2CCCc3cn[nH]c32)CCCC1 ZINC000833134705 604306416 /nfs/dbraw/zinc/30/64/16/604306416.db2.gz ACLHOKAQTWUMKE-NSHDSACASA-N -1 1 306.366 1.731 20 0 DDADMM O=C([O-])NC1(C(=O)NCCc2nc3ccc(F)cc3[nH]2)CC1 ZINC000832166944 604518699 /nfs/dbraw/zinc/51/86/99/604518699.db2.gz ARYNOLASXLYFDE-UHFFFAOYSA-N -1 1 306.297 1.161 20 0 DDADMM O=C([O-])NC[C@H]1CCCC[N@@H+]1CCC[N-]C(=O)C(F)(F)F ZINC000832527445 604561614 /nfs/dbraw/zinc/56/16/14/604561614.db2.gz JSKIOMKMPQBIMR-SECBINFHSA-N -1 1 311.304 1.177 20 0 DDADMM O=C([O-])NC[C@H]1CCCC[N@H+]1CCC[N-]C(=O)C(F)(F)F ZINC000832527445 604561616 /nfs/dbraw/zinc/56/16/16/604561616.db2.gz JSKIOMKMPQBIMR-SECBINFHSA-N -1 1 311.304 1.177 20 0 DDADMM CN(C)[C@H](CNC(=O)NC1CN(C(=O)[O-])C1)c1ccsc1 ZINC000827994491 604570970 /nfs/dbraw/zinc/57/09/70/604570970.db2.gz LLNBJCOSPDJGOR-LLVKDONJSA-N -1 1 312.395 1.012 20 0 DDADMM C[C@@H]1CN(C)C[C@@H](C)N1C(=O)c1cccc(OCC(=O)[O-])c1 ZINC000833620704 604580476 /nfs/dbraw/zinc/58/04/76/604580476.db2.gz DIZQXMLFXHDHOL-VXGBXAGGSA-N -1 1 306.362 1.315 20 0 DDADMM CCOC[C@H](C(=O)[O-])N(C)C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000829962105 604722978 /nfs/dbraw/zinc/72/29/78/604722978.db2.gz ZCHWVYDNDFMWIG-GFCCVEGCSA-N -1 1 307.306 1.231 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCCN1C(=O)CN1CCC(C)CC1 ZINC000825943796 604850941 /nfs/dbraw/zinc/85/09/41/604850941.db2.gz HMEMRKJBQWGTSW-KGLIPLIRSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCN(C)[C@H](C)C1 ZINC000833621619 604933539 /nfs/dbraw/zinc/93/35/39/604933539.db2.gz GDANVOAZTJEROH-CHWSQXEVSA-N -1 1 319.405 1.025 20 0 DDADMM CC(C)(C(=O)[O-])[C@@H]1CCC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC000833420492 604952835 /nfs/dbraw/zinc/95/28/35/604952835.db2.gz SSHBRSJWLHOTLT-LLVKDONJSA-N -1 1 318.377 1.345 20 0 DDADMM Cc1nc2ccccn2c1CN1CCC([C@@H](O)C(=O)[O-])CC1 ZINC000833756574 604983990 /nfs/dbraw/zinc/98/39/90/604983990.db2.gz NCPGNAWRYVUMMZ-OAHLLOKOSA-N -1 1 303.362 1.300 20 0 DDADMM Cn1ccnc1[C@@H](O)C1CCN(Cc2ccc(C(=O)[O-])o2)CC1 ZINC000833759779 604989811 /nfs/dbraw/zinc/98/98/11/604989811.db2.gz HWYBXGDIVGOATI-AWEZNQCLSA-N -1 1 319.361 1.657 20 0 DDADMM CS(=O)(=O)c1ccc(CN[C@@H](C(=O)[O-])c2cccnc2)cc1 ZINC000832716458 604991136 /nfs/dbraw/zinc/99/11/36/604991136.db2.gz MWCFXVLGFAGHHW-CQSZACIVSA-N -1 1 320.370 1.401 20 0 DDADMM O=C([O-])[C@H](NCc1ccc(N2CCCC2)nc1)c1cccnc1 ZINC000833166183 604991485 /nfs/dbraw/zinc/99/14/85/604991485.db2.gz NTYAUIDWLOOFJJ-MRXNPFEDSA-N -1 1 312.373 1.992 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)c1cncc(C(=O)[O-])c1 ZINC000833635930 605031066 /nfs/dbraw/zinc/03/10/66/605031066.db2.gz ZTFFXDMCIXJBPP-BXUZGUMPSA-N -1 1 303.362 1.479 20 0 DDADMM C[C@@H]1C[C@@H](NS(=O)(=O)c2ccccc2C(=O)[O-])CCN1C ZINC000833599671 605039982 /nfs/dbraw/zinc/03/99/82/605039982.db2.gz ZITKPXCRCOKPSU-MNOVXSKESA-N -1 1 312.391 1.146 20 0 DDADMM C[C@@H]1C[C@H](NS(=O)(=O)c2ccccc2C(=O)[O-])CN1C1CC1 ZINC000833597020 605046296 /nfs/dbraw/zinc/04/62/96/605046296.db2.gz IMDPQMJCYSXADM-MNOVXSKESA-N -1 1 324.402 1.288 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)[C@@H](C)CC(=O)[O-])[nH]c21 ZINC000833716828 605059376 /nfs/dbraw/zinc/05/93/76/605059376.db2.gz RNLCJOHISPSXPU-ZETCQYMHSA-N -1 1 305.290 1.399 20 0 DDADMM CC(C)Oc1cccc([C@@H](C)NCC(=O)NCCC(=O)[O-])c1 ZINC000833507135 605069977 /nfs/dbraw/zinc/06/99/77/605069977.db2.gz VNVWHNIQYTXCOV-GFCCVEGCSA-N -1 1 308.378 1.715 20 0 DDADMM CC(C)CO[C@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833490229 605107964 /nfs/dbraw/zinc/10/79/64/605107964.db2.gz QVEBQKDAFFZJJY-QWHCGFSZSA-N -1 1 300.399 1.055 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN[C@H](C)c1cccc(O)c1)C(=O)[O-] ZINC000833637183 605122079 /nfs/dbraw/zinc/12/20/79/605122079.db2.gz CRYYDUAMNGHNEO-FIXISWKDSA-N -1 1 308.378 1.658 20 0 DDADMM O=C([O-])N[C@H]1CCN(C(=O)c2cn[nH]c2-c2ccccc2F)C1 ZINC000832375344 605132766 /nfs/dbraw/zinc/13/27/66/605132766.db2.gz GHEZHMOBGJNJSS-VIFPVBQESA-N -1 1 318.308 1.698 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C/c1cncc(F)c1 ZINC000833618718 605161017 /nfs/dbraw/zinc/16/10/17/605161017.db2.gz DXOHJIQXOQEKNY-QAVQXKDTSA-N -1 1 321.352 1.241 20 0 DDADMM C[C@](CNC(=O)[O-])(NCc1nnc2n1CCCCC2)C1CC1 ZINC000824952313 605166287 /nfs/dbraw/zinc/16/62/87/605166287.db2.gz NBAXQMFYKHOMJS-OAHLLOKOSA-N -1 1 307.398 1.530 20 0 DDADMM C[C@@H]1CN(C(=O)CC[C@H]2CCCCO2)CCN1CCC(=O)[O-] ZINC000833617770 605253089 /nfs/dbraw/zinc/25/30/89/605253089.db2.gz GVHBAISCOKKKGD-ZIAGYGMSSA-N -1 1 312.410 1.343 20 0 DDADMM CC(C)(C)CCN1CCN(C(=O)c2cc(C(=O)[O-])no2)CC1 ZINC000833429216 605263518 /nfs/dbraw/zinc/26/35/18/605263518.db2.gz FTHMRXNAMUTXTI-UHFFFAOYSA-N -1 1 309.366 1.567 20 0 DDADMM Cc1oc(-c2ccco2)nc1CC(=O)NOC[C@H](C)NC(=O)[O-] ZINC000833934464 605402299 /nfs/dbraw/zinc/40/22/99/605402299.db2.gz AJEIYBMRJVLHIE-QMMMGPOBSA-N -1 1 323.305 1.489 20 0 DDADMM CCn1c(C)nnc1CN1C[C@@H]2CCC[C@@H](NC(=O)[O-])[C@@H]2C1 ZINC000833866470 605435160 /nfs/dbraw/zinc/43/51/60/605435160.db2.gz HVNRASBXHFCULY-YNEHKIRRSA-N -1 1 307.398 1.475 20 0 DDADMM O=C([O-])N1CCC[C@@H](NCCS(=O)(=O)c2ccccc2)C1 ZINC000834101567 605587960 /nfs/dbraw/zinc/58/79/60/605587960.db2.gz TVKRXCAOWVISBT-GFCCVEGCSA-N -1 1 312.391 1.192 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(Cc2cnc3cnccn23)C1 ZINC000833813203 605597400 /nfs/dbraw/zinc/59/74/00/605597400.db2.gz OERUGNLUKGTDEI-RYUDHWBXSA-N -1 1 303.366 1.597 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC[C@@H](CNC(=O)[O-])C2)n[nH]1 ZINC000830031277 605699388 /nfs/dbraw/zinc/69/93/88/605699388.db2.gz UQFMHBMKTBNVKH-PWSUYJOCSA-N -1 1 323.397 1.338 20 0 DDADMM C[C@H](C(=O)N[C@H]1CCCN(C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823685836 605711746 /nfs/dbraw/zinc/71/17/46/605711746.db2.gz MTCAYIULMJRKNR-HIFRSBDPSA-N -1 1 319.405 1.766 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H](C(=O)N[C@H]2CCc3nc[nH]c3C2)C1 ZINC000834165985 605959926 /nfs/dbraw/zinc/95/99/26/605959926.db2.gz CEJBDKODOPKAQA-AXFHLTTASA-N -1 1 306.366 1.210 20 0 DDADMM C[C@H]1CCC[C@H](CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820698155 606168309 /nfs/dbraw/zinc/16/83/09/606168309.db2.gz QJPFHTWMLWSEME-UWVGGRQHSA-N -1 1 318.385 1.548 20 0 DDADMM C[C@H]1CCC[C@H](CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820698155 606168310 /nfs/dbraw/zinc/16/83/10/606168310.db2.gz QJPFHTWMLWSEME-UWVGGRQHSA-N -1 1 318.385 1.548 20 0 DDADMM O=C(OCC[C@@H]1CCCC1=O)c1sccc1-c1nn[n-]n1 ZINC000823400271 606227088 /nfs/dbraw/zinc/22/70/88/606227088.db2.gz AJPCDOOBOLWFLZ-QMMMGPOBSA-N -1 1 306.347 1.844 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1n[nH]c2c1CCC2 ZINC000823294698 606296155 /nfs/dbraw/zinc/29/61/55/606296155.db2.gz NKCFGYUHSSLDEK-UHFFFAOYSA-N -1 1 311.305 1.036 20 0 DDADMM O=C1CCC[C@H]1CCn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000823525931 606330298 /nfs/dbraw/zinc/33/02/98/606330298.db2.gz VXWOWFRSBIKXHM-NSHDSACASA-N -1 1 323.356 1.941 20 0 DDADMM C[C@H](CNC(=O)c1ccc(-c2nnn[n-]2)s1)Cn1cccn1 ZINC000820269651 606525802 /nfs/dbraw/zinc/52/58/02/606525802.db2.gz NBOQCNANDVGCOM-SECBINFHSA-N -1 1 317.378 1.191 20 0 DDADMM C[C@H](CNC(=O)c1ccc(-c2nn[n-]n2)s1)Cn1cccn1 ZINC000820269651 606525803 /nfs/dbraw/zinc/52/58/03/606525803.db2.gz NBOQCNANDVGCOM-SECBINFHSA-N -1 1 317.378 1.191 20 0 DDADMM CC[C@H](C)[C@@](C)(O)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820862940 606574227 /nfs/dbraw/zinc/57/42/27/606574227.db2.gz RYXDVMXMUJOIMT-SDBXPKJASA-N -1 1 309.395 1.455 20 0 DDADMM CC[C@H](C)[C@@](C)(O)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820862940 606574228 /nfs/dbraw/zinc/57/42/28/606574228.db2.gz RYXDVMXMUJOIMT-SDBXPKJASA-N -1 1 309.395 1.455 20 0 DDADMM Cc1oc(NC(=O)COC(=O)C2(C)CC2)c(-c2nn[n-]n2)c1C ZINC000822547651 606766784 /nfs/dbraw/zinc/76/67/84/606766784.db2.gz AHQNOIMZVSEHHX-UHFFFAOYSA-N -1 1 319.321 1.358 20 0 DDADMM CCC(CC)(CO)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825090345 607808870 /nfs/dbraw/zinc/80/88/70/607808870.db2.gz XIENMNTYXWZOPY-UHFFFAOYSA-N -1 1 309.395 1.457 20 0 DDADMM CCC(CC)(CO)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825090345 607808871 /nfs/dbraw/zinc/80/88/71/607808871.db2.gz XIENMNTYXWZOPY-UHFFFAOYSA-N -1 1 309.395 1.457 20 0 DDADMM CCn1ncc2c1CCC[C@H]2Nc1cccc(-c2nnn[n-]2)n1 ZINC000825806834 607901913 /nfs/dbraw/zinc/90/19/13/607901913.db2.gz GYQHLUMGGZXACL-LLVKDONJSA-N -1 1 310.365 1.968 20 0 DDADMM CCn1ncc2c1CCC[C@H]2Nc1cccc(-c2nn[n-]n2)n1 ZINC000825806834 607901914 /nfs/dbraw/zinc/90/19/14/607901914.db2.gz GYQHLUMGGZXACL-LLVKDONJSA-N -1 1 310.365 1.968 20 0 DDADMM CC[C@H]1CN(C(C)=O)CC[C@H]1Nc1cccc(-c2nnn[n-]2)n1 ZINC000825212225 607904837 /nfs/dbraw/zinc/90/48/37/607904837.db2.gz QKTLHOQFHPWTCE-NWDGAFQWSA-N -1 1 315.381 1.321 20 0 DDADMM CC[C@H]1CN(C(C)=O)CC[C@H]1Nc1cccc(-c2nn[n-]n2)n1 ZINC000825212225 607904838 /nfs/dbraw/zinc/90/48/38/607904838.db2.gz QKTLHOQFHPWTCE-NWDGAFQWSA-N -1 1 315.381 1.321 20 0 DDADMM Cc1cc2oc(=O)cc(Cn3ccnc3-c3nnn[n-]3)c2cc1C ZINC000826272999 608012905 /nfs/dbraw/zinc/01/29/05/608012905.db2.gz LCTKWXQOKGGQRR-UHFFFAOYSA-N -1 1 322.328 1.835 20 0 DDADMM Cc1cc2oc(=O)cc(Cn3ccnc3-c3nn[n-]n3)c2cc1C ZINC000826272999 608012906 /nfs/dbraw/zinc/01/29/06/608012906.db2.gz LCTKWXQOKGGQRR-UHFFFAOYSA-N -1 1 322.328 1.835 20 0 DDADMM CC[C@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)C[C@@H]1O ZINC000825212495 608018028 /nfs/dbraw/zinc/01/80/28/608018028.db2.gz RPOQJNWFWMCLJP-CABZTGNLSA-N -1 1 311.349 1.017 20 0 DDADMM CC[C@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)C[C@@H]1O ZINC000825212495 608018029 /nfs/dbraw/zinc/01/80/29/608018029.db2.gz RPOQJNWFWMCLJP-CABZTGNLSA-N -1 1 311.349 1.017 20 0 DDADMM O=C(C=C1CCSCC1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826400087 608257330 /nfs/dbraw/zinc/25/73/30/608257330.db2.gz OKZIBFSQPMSZBV-UHFFFAOYSA-N -1 1 317.374 1.758 20 0 DDADMM O=C(C=C1CCSCC1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826400087 608257332 /nfs/dbraw/zinc/25/73/32/608257332.db2.gz OKZIBFSQPMSZBV-UHFFFAOYSA-N -1 1 317.374 1.758 20 0 DDADMM c1cc2c(cc1Sc1ccc(-c3nnn[n-]3)nn1)OCCO2 ZINC000826518355 608389253 /nfs/dbraw/zinc/38/92/53/608389253.db2.gz KMBJIXZLQQRUMH-UHFFFAOYSA-N -1 1 314.330 1.579 20 0 DDADMM c1cc2c(cc1Sc1ccc(-c3nn[n-]n3)nn1)OCCO2 ZINC000826518355 608389256 /nfs/dbraw/zinc/38/92/56/608389256.db2.gz KMBJIXZLQQRUMH-UHFFFAOYSA-N -1 1 314.330 1.579 20 0 DDADMM c1cc(N2CCOCC2)ccc1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826516882 608389680 /nfs/dbraw/zinc/38/96/80/608389680.db2.gz GJKZWNSQRCBHCN-UHFFFAOYSA-N -1 1 324.348 1.237 20 0 DDADMM c1cc(N2CCOCC2)ccc1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826516882 608389682 /nfs/dbraw/zinc/38/96/82/608389682.db2.gz GJKZWNSQRCBHCN-UHFFFAOYSA-N -1 1 324.348 1.237 20 0 DDADMM CCN(CCNc1ccc(-c2nnn[n-]2)nn1)c1cccc(C)c1 ZINC000825424955 608391593 /nfs/dbraw/zinc/39/15/93/608391593.db2.gz UYPHUJVFGOGRKZ-UHFFFAOYSA-N -1 1 324.392 1.904 20 0 DDADMM CCN(CCNc1ccc(-c2nn[n-]n2)nn1)c1cccc(C)c1 ZINC000825424955 608391596 /nfs/dbraw/zinc/39/15/96/608391596.db2.gz UYPHUJVFGOGRKZ-UHFFFAOYSA-N -1 1 324.392 1.904 20 0 DDADMM CN(C[C@H](O)C(F)(F)F)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825901171 608409631 /nfs/dbraw/zinc/40/96/31/608409631.db2.gz CMKSFGMOLMDTAU-LURJTMIESA-N -1 1 322.678 1.275 20 0 DDADMM CN(C[C@H](O)C(F)(F)F)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825901171 608409632 /nfs/dbraw/zinc/40/96/32/608409632.db2.gz CMKSFGMOLMDTAU-LURJTMIESA-N -1 1 322.678 1.275 20 0 DDADMM Fc1ccccc1[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000826377761 608415831 /nfs/dbraw/zinc/41/58/31/608415831.db2.gz FHSPTGGUPCPYOG-JTQLQIEISA-N -1 1 311.324 1.790 20 0 DDADMM Fc1ccccc1[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000826377761 608415833 /nfs/dbraw/zinc/41/58/33/608415833.db2.gz FHSPTGGUPCPYOG-JTQLQIEISA-N -1 1 311.324 1.790 20 0 DDADMM c1cc(N2CCS[C@@H]3CCCC[C@@H]32)nnc1-c1nnn[n-]1 ZINC000826517362 608431055 /nfs/dbraw/zinc/43/10/55/608431055.db2.gz ZPKXHWBXFYTSQE-WDEREUQCSA-N -1 1 303.395 1.521 20 0 DDADMM c1cc(N2CCS[C@@H]3CCCC[C@@H]32)nnc1-c1nn[n-]n1 ZINC000826517362 608431056 /nfs/dbraw/zinc/43/10/56/608431056.db2.gz ZPKXHWBXFYTSQE-WDEREUQCSA-N -1 1 303.395 1.521 20 0 DDADMM CCc1nc([C@H]2CCCN2Cc2ccc(-c3nnn[n-]3)o2)no1 ZINC000825746064 608662758 /nfs/dbraw/zinc/66/27/58/608662758.db2.gz RCLSNIXZDDVRSB-SNVBAGLBSA-N -1 1 315.337 1.742 20 0 DDADMM CCc1nc([C@H]2CCCN2Cc2ccc(-c3nn[n-]n3)o2)no1 ZINC000825746064 608662760 /nfs/dbraw/zinc/66/27/60/608662760.db2.gz RCLSNIXZDDVRSB-SNVBAGLBSA-N -1 1 315.337 1.742 20 0 DDADMM C[C@@H]1[C@H](C)[S@@](=O)CCN1Cc1ccc(-c2nn[n-]n2)s1 ZINC000824860713 608714316 /nfs/dbraw/zinc/71/43/16/608714316.db2.gz XFWCWRJQDFFLGR-DYCLVXDSSA-N -1 1 311.436 1.270 20 0 DDADMM Cc1cccc(Cl)c1NC(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000826298318 608890899 /nfs/dbraw/zinc/89/08/99/608890899.db2.gz NNXRLHFMPTXOEI-UHFFFAOYSA-N -1 1 317.740 1.664 20 0 DDADMM Cc1cccc(Cl)c1NC(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000826298318 608890902 /nfs/dbraw/zinc/89/09/02/608890902.db2.gz NNXRLHFMPTXOEI-UHFFFAOYSA-N -1 1 317.740 1.664 20 0 DDADMM CCNC(=O)Nc1ccn(-c2cccc(F)c2-c2nn[n-]n2)n1 ZINC000825476382 609356011 /nfs/dbraw/zinc/35/60/11/609356011.db2.gz IINXINJCPFJWHX-UHFFFAOYSA-N -1 1 316.300 1.333 20 0 DDADMM Cc1cccc(N)c1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000745050368 699969484 /nfs/dbraw/zinc/96/94/84/699969484.db2.gz NUZBVYIWCVXLSX-UHFFFAOYSA-N -1 1 312.325 1.691 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)CC1CC(C)(C)C1 ZINC000797056639 699991913 /nfs/dbraw/zinc/99/19/13/699991913.db2.gz DSCPTPASEJYXLI-UHFFFAOYSA-N -1 1 318.377 1.638 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)[C@H]2CC=CCC2)C1)c1ncccc1[O-] ZINC000972958949 695379370 /nfs/dbraw/zinc/37/93/70/695379370.db2.gz XSMBKXNYMLFFJI-AVGNSLFASA-N -1 1 315.373 1.521 20 0 DDADMM CC(=O)N1CC[C@H]2[C@H](CCCN2C(=O)c2ncccc2[O-])C1 ZINC000973716381 695518692 /nfs/dbraw/zinc/51/86/92/695518692.db2.gz CRNXKABCEIFTIK-OLZOCXBDSA-N -1 1 303.362 1.260 20 0 DDADMM O=C([O-])[C@H](CC(F)(F)F)NC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000797724103 700020964 /nfs/dbraw/zinc/02/09/64/700020964.db2.gz JISAHCDCFCTUMX-SFYZADRCSA-N -1 1 320.271 1.492 20 0 DDADMM COc1cccc([C@@H](O)CNC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000798170394 700046194 /nfs/dbraw/zinc/04/61/94/700046194.db2.gz XGLJZMAIYCMYRU-HNNXBMFYSA-N -1 1 315.325 1.433 20 0 DDADMM O=Cc1ccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cc1 ZINC000005405498 696013506 /nfs/dbraw/zinc/01/35/06/696013506.db2.gz CDGVVWOUQWKOJK-UHFFFAOYSA-N -1 1 311.293 1.613 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1ccco1 ZINC000977277592 696102884 /nfs/dbraw/zinc/10/28/84/696102884.db2.gz WMIPZSQNFWDBTG-NSHDSACASA-N -1 1 315.329 1.367 20 0 DDADMM O=C(NC[C@H]1CCCO1)NN=c1c(F)c(F)[n-]c(F)c1F ZINC000042868082 696151483 /nfs/dbraw/zinc/15/14/83/696151483.db2.gz IGEFQBUBHBVPQG-RXMQYKEDSA-N -1 1 308.235 1.443 20 0 DDADMM N#Cc1ccccc1NC(=S)NNC(=O)c1ccccc1[O-] ZINC000044052293 696158131 /nfs/dbraw/zinc/15/81/31/696158131.db2.gz BLZZYAQWDLDWGD-UHFFFAOYSA-N -1 1 312.354 1.895 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)/C=C\C1CC1)C(=O)c1ncccc1[O-] ZINC000977600125 696229934 /nfs/dbraw/zinc/22/99/34/696229934.db2.gz APUDSJMDWXRTOK-FWWRYZNZSA-N -1 1 315.373 1.426 20 0 DDADMM O=C(NC[C@H]1CCS(=O)(=O)C1)c1c([O-])cccc1Cl ZINC000057306901 696296034 /nfs/dbraw/zinc/29/60/34/696296034.db2.gz RWBHHCDUEZYQGF-MRVPVSSYSA-N -1 1 303.767 1.210 20 0 DDADMM Cc1cc(C)cc(OCC(=O)NCCc2n[n-]c(=S)n2C)c1 ZINC000067051649 696358538 /nfs/dbraw/zinc/35/85/38/696358538.db2.gz JXWVXDCPDXTWGC-UHFFFAOYSA-N -1 1 320.418 1.832 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741157 696450543 /nfs/dbraw/zinc/45/05/43/696450543.db2.gz IAVXOEUUCGJANM-GRYCIOLGSA-N -1 1 317.389 1.458 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H](O)c3ccco3)cnc2n1 ZINC000079979624 696465734 /nfs/dbraw/zinc/46/57/34/696465734.db2.gz VYLCHPUIAKAYBR-LBPRGKRZSA-N -1 1 313.313 1.700 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@H](O)c1ccco1)c2=O ZINC000079979624 696465735 /nfs/dbraw/zinc/46/57/35/696465735.db2.gz VYLCHPUIAKAYBR-LBPRGKRZSA-N -1 1 313.313 1.700 20 0 DDADMM C[C@H]1OCC[C@@H]1C(=O)N[N-]C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000092547136 696595537 /nfs/dbraw/zinc/59/55/37/696595537.db2.gz JXRIVNCSEGBHOZ-BDAKNGLRSA-N -1 1 320.374 1.324 20 0 DDADMM CCNC(=O)NNC(=O)c1ccc(Br)cc1[O-] ZINC000094057092 696602241 /nfs/dbraw/zinc/60/22/41/696602241.db2.gz PDLGMFXLMUNOHZ-UHFFFAOYSA-N -1 1 302.128 1.119 20 0 DDADMM CC(=CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccc(F)cc1 ZINC000101664021 696608437 /nfs/dbraw/zinc/60/84/37/696608437.db2.gz GBXNMSSMSJKFIQ-FPLPWBNLSA-N -1 1 324.337 1.389 20 0 DDADMM CC(=CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccc(F)cc1 ZINC000101664021 696608438 /nfs/dbraw/zinc/60/84/38/696608438.db2.gz GBXNMSSMSJKFIQ-FPLPWBNLSA-N -1 1 324.337 1.389 20 0 DDADMM CS(=O)(=O)CCSc1nc(C(F)(F)F)cc(=O)[n-]1 ZINC000109713111 696638222 /nfs/dbraw/zinc/63/82/22/696638222.db2.gz YSJVTWKROYAHRQ-UHFFFAOYSA-N -1 1 302.299 1.338 20 0 DDADMM CC(C)C(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC000979817972 696648997 /nfs/dbraw/zinc/64/89/97/696648997.db2.gz IUSQQPBUXFIAIC-RWMBFGLXSA-N -1 1 317.389 1.410 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCC(F)(F)C2)o1 ZINC000798921453 700105468 /nfs/dbraw/zinc/10/54/68/700105468.db2.gz LWNXNDOPMNIIOA-MRVPVSSYSA-N -1 1 323.317 1.780 20 0 DDADMM CCC[C@H](NC(=O)C[C@@H]1CSCCS1)c1nn[n-]n1 ZINC000119619244 696682963 /nfs/dbraw/zinc/68/29/63/696682963.db2.gz CHEAPBQDCJITAP-BDAKNGLRSA-N -1 1 301.441 1.396 20 0 DDADMM CCC[C@@H](NC(=O)C[C@H]1CSCCS1)c1nn[n-]n1 ZINC000119619675 696683065 /nfs/dbraw/zinc/68/30/65/696683065.db2.gz CHEAPBQDCJITAP-DTWKUNHWSA-N -1 1 301.441 1.396 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccc(F)c(Cl)c2)s1 ZINC000120784170 696701314 /nfs/dbraw/zinc/70/13/14/696701314.db2.gz JMGDPHWYZVVOMF-MRVPVSSYSA-N -1 1 301.730 1.764 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCC(F)(F)F)o1 ZINC000120874122 696702764 /nfs/dbraw/zinc/70/27/64/696702764.db2.gz XFOFVVGBNAAPTE-UHFFFAOYSA-N -1 1 301.242 1.297 20 0 DDADMM CCCOCC(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799021627 700110047 /nfs/dbraw/zinc/11/00/47/700110047.db2.gz JHGRDWMKYMQWRS-UHFFFAOYSA-N -1 1 310.316 1.330 20 0 DDADMM Cc1ccc(C(=O)NNC(=O)c2cc(Cl)ccc2[O-])nc1 ZINC000156191273 696959362 /nfs/dbraw/zinc/95/93/62/696959362.db2.gz SUQBPCTZNZDLLE-UHFFFAOYSA-N -1 1 305.721 1.824 20 0 DDADMM O=C(NC[C@@H]1CCN(C(=O)c2cc[nH]c2)C1)c1ncccc1[O-] ZINC000982854484 697185738 /nfs/dbraw/zinc/18/57/38/697185738.db2.gz RIVTWRNACQFMJP-NSHDSACASA-N -1 1 314.345 1.007 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000983489881 697271051 /nfs/dbraw/zinc/27/10/51/697271051.db2.gz HSGDSRUGUBTPNO-VXGBXAGGSA-N -1 1 305.378 1.600 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)C(F)F)C1 ZINC000983737873 697293325 /nfs/dbraw/zinc/29/33/25/697293325.db2.gz WKBVXRDFFREDLP-SECBINFHSA-N -1 1 313.304 1.115 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)C2CCC2)C1 ZINC000983775970 697298652 /nfs/dbraw/zinc/29/86/52/697298652.db2.gz XNOAXIXTTLQGEV-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM Cn1nccc1CCC(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000161556409 697323925 /nfs/dbraw/zinc/32/39/25/697323925.db2.gz VTYWIXJJMPYCHC-UHFFFAOYSA-N -1 1 322.752 1.173 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CC=CC[C@H]2C)o1 ZINC000163754376 697334364 /nfs/dbraw/zinc/33/43/64/697334364.db2.gz NYCMIBJHAUPZIE-GHMZBOCLSA-N -1 1 313.375 1.947 20 0 DDADMM Cc1ccc(-c2cc(C(=O)OC[C@@H]3CCCN3C(N)=O)[nH]n2)o1 ZINC000174299239 697387148 /nfs/dbraw/zinc/38/71/48/697387148.db2.gz KTBCKTIIFKHCIV-JTQLQIEISA-N -1 1 318.333 1.678 20 0 DDADMM CC1N=NC(C(=O)Nc2ccc(N3CCOCC3)cc2)=C1[O-] ZINC000749175093 700149599 /nfs/dbraw/zinc/14/95/99/700149599.db2.gz DMPIAZKMBSKJIO-UHFFFAOYSA-N -1 1 302.334 1.513 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2cc(C)cc(F)c2)n1 ZINC000176685047 697404572 /nfs/dbraw/zinc/40/45/72/697404572.db2.gz SQMUYYMLPWFCAO-UHFFFAOYSA-N -1 1 312.326 1.238 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(C)C ZINC000984801432 697430838 /nfs/dbraw/zinc/43/08/38/697430838.db2.gz PWCXPLATDQHXAP-NWDGAFQWSA-N -1 1 305.378 1.553 20 0 DDADMM Cc1occc1C(=O)OCCc1c(C)nc2[n-]cnn2c1=O ZINC000181997623 697468932 /nfs/dbraw/zinc/46/89/32/697468932.db2.gz WGRONWZTXJMLSN-UHFFFAOYSA-N -1 1 302.290 1.027 20 0 DDADMM CNc1snc(C)c1C(=O)[N-]N1Cc2ccccc2C1=O ZINC000183868578 697495594 /nfs/dbraw/zinc/49/55/94/697495594.db2.gz AUCXOYCFPVRMLY-UHFFFAOYSA-N -1 1 302.359 1.794 20 0 DDADMM C[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)N1CCc2ccccc21 ZINC000187262848 697543165 /nfs/dbraw/zinc/54/31/65/697543165.db2.gz WSQQIOPAOUNLDF-NWDGAFQWSA-N -1 1 314.393 1.213 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCC1CCCC1 ZINC000985928212 697605002 /nfs/dbraw/zinc/60/50/02/697605002.db2.gz SVFFRQACZGGMBZ-AAEUAGOBSA-N -1 1 321.425 1.560 20 0 DDADMM COc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c(F)c1 ZINC000773147263 697718640 /nfs/dbraw/zinc/71/86/40/697718640.db2.gz AOCUCUJYTNXDAJ-LLVKDONJSA-N -1 1 323.353 1.278 20 0 DDADMM COC(=O)Cc1ccccc1CNC(=O)c1ncccc1[O-] ZINC000773436044 697759781 /nfs/dbraw/zinc/75/97/81/697759781.db2.gz GAUMKDGDQDYVTE-UHFFFAOYSA-N -1 1 300.314 1.433 20 0 DDADMM O=C(O[C@H]1CCN(C2CCOCC2)C1=O)c1ccc([O-])cc1F ZINC000773573408 697782975 /nfs/dbraw/zinc/78/29/75/697782975.db2.gz MGBNVEBVPXRLMJ-AWEZNQCLSA-N -1 1 323.320 1.468 20 0 DDADMM CCOC(=O)CCN(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773952016 697826353 /nfs/dbraw/zinc/82/63/53/697826353.db2.gz IAIYXMQSVVZJQK-UHFFFAOYSA-N -1 1 308.363 1.043 20 0 DDADMM Cc1noc(C(F)(F)F)c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000773999120 697832456 /nfs/dbraw/zinc/83/24/56/697832456.db2.gz TUMIOANUCUFVDG-YFKPBYRVSA-N -1 1 318.259 1.391 20 0 DDADMM CCn1nc(C)cc1C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774941101 697945251 /nfs/dbraw/zinc/94/52/51/697945251.db2.gz NQLLFJAXHVMBRN-UHFFFAOYSA-N -1 1 307.272 1.437 20 0 DDADMM C[C@@H]1CC[C@@H](CCC(=O)OCCC[N-]C(=O)C(F)(F)F)O1 ZINC000774956968 697947029 /nfs/dbraw/zinc/94/70/29/697947029.db2.gz BTINARVLGUGNML-ZJUUUORDSA-N -1 1 311.300 1.946 20 0 DDADMM O=C([N-]CCCOC(=O)[C@H]1CCc2c[nH]nc2C1)C(F)(F)F ZINC000774961487 697947734 /nfs/dbraw/zinc/94/77/34/697947734.db2.gz SJXHYQXHCFICCH-QMMMGPOBSA-N -1 1 319.283 1.126 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H](F)C(F)(F)F)o1 ZINC000800134102 700192077 /nfs/dbraw/zinc/19/20/77/700192077.db2.gz BRYSKECFIHSYBO-ZCFIWIBFSA-N -1 1 319.232 1.245 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2ccc(-c3ccco3)s2)C1=O ZINC000776242638 698087159 /nfs/dbraw/zinc/08/71/59/698087159.db2.gz KROLZDGJDGNIJK-UHFFFAOYSA-N -1 1 305.315 1.547 20 0 DDADMM O=C(C=Cc1cccc(F)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000776562404 698117087 /nfs/dbraw/zinc/11/70/87/698117087.db2.gz CWDYZQDYCMXRHA-QMAVJUDZSA-N -1 1 319.365 1.669 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C2=CCCC2)CCN1C(=O)c1ncccc1[O-] ZINC000987586760 698158454 /nfs/dbraw/zinc/15/84/54/698158454.db2.gz YAKPSNLATCAVSJ-YPMHNXCESA-N -1 1 315.373 1.617 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CCCCC1 ZINC000987958140 698272750 /nfs/dbraw/zinc/27/27/50/698272750.db2.gz CHJPSUYMYBRTPV-DGCLKSJQSA-N -1 1 321.425 1.560 20 0 DDADMM CN(C[C@H]1CCN(C(=O)C2(C)CC2)C1)C(=O)c1ncccc1[O-] ZINC000988046046 698287646 /nfs/dbraw/zinc/28/76/46/698287646.db2.gz GTDPNLWEILOLJH-GFCCVEGCSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@@H]1C[C@@H](NCc2cnsn2)CN1C(=O)c1ncccc1[O-] ZINC000988684266 698436226 /nfs/dbraw/zinc/43/62/26/698436226.db2.gz LASCEUNGKXSMKO-NXEZZACHSA-N -1 1 319.390 1.032 20 0 DDADMM CCCCO[C@@H]1C[C@H](N(C)CC(=O)NCC(=O)[O-])C1(C)C ZINC000262230645 698460252 /nfs/dbraw/zinc/46/02/52/698460252.db2.gz NAMIFTFNGKNLJQ-NWDGAFQWSA-N -1 1 300.399 1.103 20 0 DDADMM O=C([O-])CN(C(=O)NC[C@@H](c1ccco1)N1CCCC1)C1CC1 ZINC000780343777 698508806 /nfs/dbraw/zinc/50/88/06/698508806.db2.gz FANUXRZDYKVWSW-ZDUSSCGKSA-N -1 1 321.377 1.675 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000780380533 698513170 /nfs/dbraw/zinc/51/31/70/698513170.db2.gz DTDIWMCBJLLYQU-UHFFFAOYSA-N -1 1 305.378 1.161 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000780506424 698525954 /nfs/dbraw/zinc/52/59/54/698525954.db2.gz HXVKUGSDMLTYKH-UHFFFAOYSA-N -1 1 303.322 1.088 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000780506424 698525955 /nfs/dbraw/zinc/52/59/55/698525955.db2.gz HXVKUGSDMLTYKH-UHFFFAOYSA-N -1 1 303.322 1.088 20 0 DDADMM C[C@H](NC(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C)C(=O)[O-] ZINC000780618573 698538952 /nfs/dbraw/zinc/53/89/52/698538952.db2.gz HUQKSEOFCGYQQL-CABZTGNLSA-N -1 1 313.785 1.715 20 0 DDADMM CC(C)[N@@H+](C)C[C@H](C)NS(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000314604739 698690712 /nfs/dbraw/zinc/69/07/12/698690712.db2.gz YJQVGONINVTJBO-NSHDSACASA-N -1 1 314.407 1.392 20 0 DDADMM COc1cccc([C@H](CNC(=O)N(C)[C@@H](C)C(=O)[O-])N(C)C)c1 ZINC000320604121 698721639 /nfs/dbraw/zinc/72/16/39/698721639.db2.gz BQVIUHZWQJRKPN-FZMZJTMJSA-N -1 1 323.393 1.412 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2=CCCCC2)cc1 ZINC000782458362 698727170 /nfs/dbraw/zinc/72/71/70/698727170.db2.gz PFTIBDCAJZPGRW-UHFFFAOYSA-N -1 1 317.341 1.995 20 0 DDADMM [O-]c1cc2c(cc1-c1nc(-c3n[nH]c(C4CC4)n3)no1)OCO2 ZINC000351165600 698877039 /nfs/dbraw/zinc/87/70/39/698877039.db2.gz ZUVUQDGYPPAGLK-UHFFFAOYSA-N -1 1 313.273 1.833 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1C[C@@H]2C[C@]2(CO)C1 ZINC000783784619 698878910 /nfs/dbraw/zinc/87/89/10/698878910.db2.gz OQNLPFYBUDLCLI-TVQRCGJNSA-N -1 1 312.163 1.609 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CC(C)(C)OC2=O)cc(Cl)c1[O-] ZINC000785420083 699075636 /nfs/dbraw/zinc/07/56/36/699075636.db2.gz XHLIDKBUJAFPBL-SECBINFHSA-N -1 1 313.737 1.878 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCCF)c(Br)n1C ZINC000390081834 699092420 /nfs/dbraw/zinc/09/24/20/699092420.db2.gz CLPHBRMHJWCRFJ-UHFFFAOYSA-N -1 1 314.180 1.129 20 0 DDADMM C[C@@H]1OC(=O)N[C@@H]1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000786073480 699123567 /nfs/dbraw/zinc/12/35/67/699123567.db2.gz RRZIBXWFSKDCJV-CPFSXVBKSA-N -1 1 319.269 1.039 20 0 DDADMM Cn1ncc(C(=O)[N-]c2nc(Cc3ccccc3)ns2)n1 ZINC000786123112 699128286 /nfs/dbraw/zinc/12/82/86/699128286.db2.gz XVQRTYKEVHYECX-UHFFFAOYSA-N -1 1 300.347 1.510 20 0 DDADMM CCc1nc(COC(=O)[C@@H]([N-]C(=O)C(F)(F)F)C(C)C)n[nH]1 ZINC000786778677 699167432 /nfs/dbraw/zinc/16/74/32/699167432.db2.gz WXUQVETZEQKDNV-VIFPVBQESA-N -1 1 322.287 1.113 20 0 DDADMM O=C(NC1CN(C(=O)[C@@]23C[C@@H]2CCCC3)C1)c1ncccc1[O-] ZINC000990969229 699195655 /nfs/dbraw/zinc/19/56/55/699195655.db2.gz BRSMNZUJTFEYDS-GTNSWQLSSA-N -1 1 315.373 1.308 20 0 DDADMM Cc1cc(C)cc([C@H]2CCN(Cc3cn(CC(=O)[O-])nn3)C2)c1 ZINC000515660089 699208104 /nfs/dbraw/zinc/20/81/04/699208104.db2.gz LNNMHZGILKTVKZ-AWEZNQCLSA-N -1 1 314.389 1.969 20 0 DDADMM O=C([O-])[C@H]1CCCCN1CCS(=O)(=O)C1CCCCC1 ZINC000700000899 699220916 /nfs/dbraw/zinc/22/09/16/699220916.db2.gz YHJSSDZIOGEGSP-CYBMUJFWSA-N -1 1 303.424 1.673 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(O)cc(Br)c2)CC[N@@H+]1C ZINC000700786739 699222374 /nfs/dbraw/zinc/22/23/74/699222374.db2.gz GIVBPYPGDSPYSH-SECBINFHSA-N -1 1 313.195 1.931 20 0 DDADMM O=C(c1cccc2nc[nH]c21)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000787903686 699252710 /nfs/dbraw/zinc/25/27/10/699252710.db2.gz YNYCUZLWTOIESU-SNVBAGLBSA-N -1 1 315.362 1.006 20 0 DDADMM CC(C)(CNC(=O)c1ccc(Cl)cc1[O-])CS(C)(=O)=O ZINC000723861094 699322262 /nfs/dbraw/zinc/32/22/62/699322262.db2.gz SNWVCKZQTFHOHZ-UHFFFAOYSA-N -1 1 319.810 1.846 20 0 DDADMM CC(C)[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000726865885 699385858 /nfs/dbraw/zinc/38/58/58/699385858.db2.gz JKDVVJVRTDFKBM-OAHLLOKOSA-N -1 1 315.373 1.440 20 0 DDADMM CC(C)c1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000726864959 699386056 /nfs/dbraw/zinc/38/60/56/699386056.db2.gz MXLUIPLPXWGBAW-UHFFFAOYSA-N -1 1 301.346 1.689 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H]1c1ccccc1 ZINC000726866510 699386148 /nfs/dbraw/zinc/38/61/48/699386148.db2.gz WFCJZQNLTLECSA-CQSZACIVSA-N -1 1 313.357 1.290 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc2ccccc2n1 ZINC000726867530 699386664 /nfs/dbraw/zinc/38/66/64/699386664.db2.gz LWSYFQGJCQJIRU-UHFFFAOYSA-N -1 1 310.313 1.114 20 0 DDADMM CCCCC1CCC(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000727851662 699428072 /nfs/dbraw/zinc/42/80/72/699428072.db2.gz IJPRPIDTAMXDOI-UHFFFAOYSA-N -1 1 321.421 1.792 20 0 DDADMM CCCC[C@@H]1CCC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727860682 699428754 /nfs/dbraw/zinc/42/87/54/699428754.db2.gz GAQPSWQOJSGYBB-OLZOCXBDSA-N -1 1 307.394 1.402 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1nc(Cl)cs1 ZINC000790567087 699467080 /nfs/dbraw/zinc/46/70/80/699467080.db2.gz GDOQNJUOBJKDJS-SECBINFHSA-N -1 1 320.765 1.829 20 0 DDADMM O=C(OCCC1CS(=O)(=O)C1)c1c([O-])cc(F)cc1F ZINC000790650747 699471883 /nfs/dbraw/zinc/47/18/83/699471883.db2.gz QULKZQFPJLYIKS-UHFFFAOYSA-N -1 1 306.286 1.262 20 0 DDADMM O=C(CCSc1ccccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000731880719 699539604 /nfs/dbraw/zinc/53/96/04/699539604.db2.gz XEGKFTKRSIDBKW-LBPRGKRZSA-N -1 1 319.390 1.282 20 0 DDADMM Cc1ccc2[nH]c(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)cc2c1 ZINC000732091028 699546146 /nfs/dbraw/zinc/54/61/46/699546146.db2.gz YEKHLFJAJPEBOR-ZDUSSCGKSA-N -1 1 312.333 1.203 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(C2SCCCS2)cc1 ZINC000732158046 699548381 /nfs/dbraw/zinc/54/83/81/699548381.db2.gz QXAWJFKBGQVORY-UHFFFAOYSA-N -1 1 321.431 1.998 20 0 DDADMM O=C(/C=C\C1CCOCC1)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000732687654 699566657 /nfs/dbraw/zinc/56/66/57/699566657.db2.gz JLWNEIYRQIVYSA-SREVYHEPSA-N -1 1 317.341 1.469 20 0 DDADMM CC[C@H](C(=O)OC)C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000791634640 699644578 /nfs/dbraw/zinc/64/45/78/699644578.db2.gz PINJRLCWAMRUOP-CYBMUJFWSA-N -1 1 308.330 1.880 20 0 DDADMM CC(C)Cc1ccccc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000792295740 699690951 /nfs/dbraw/zinc/69/09/51/699690951.db2.gz AYLNFQNPZPDLPP-UHFFFAOYSA-N -1 1 322.390 1.659 20 0 DDADMM CC(C)Cc1ccccc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000792295740 699690952 /nfs/dbraw/zinc/69/09/52/699690952.db2.gz AYLNFQNPZPDLPP-UHFFFAOYSA-N -1 1 322.390 1.659 20 0 DDADMM CC(C)=C[C@@H]1[C@@H](C(=O)N2CCOC[C@H]2c2nn[n-]n2)C1(C)C ZINC000735679837 699700389 /nfs/dbraw/zinc/70/03/89/699700389.db2.gz PCGOCFQMJVMBMH-WOPDTQHZSA-N -1 1 305.382 1.338 20 0 DDADMM COc1ccc(NC(=O)c2ncccn2)cc1[N-]S(C)(=O)=O ZINC000735847090 699705925 /nfs/dbraw/zinc/70/59/25/699705925.db2.gz ZHFYNQJVOUXNCE-UHFFFAOYSA-N -1 1 322.346 1.109 20 0 DDADMM CCC[C@H](NC(=O)COc1cccc(C=O)c1)c1nn[n-]n1 ZINC000736430532 699725422 /nfs/dbraw/zinc/72/54/22/699725422.db2.gz UGICNOPMXXVKOA-LBPRGKRZSA-N -1 1 303.322 1.049 20 0 DDADMM O=C(c1ccc2c(c1)CCCC2)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000738268984 699756218 /nfs/dbraw/zinc/75/62/18/699756218.db2.gz JOTOFWXAVWFZQK-CQSZACIVSA-N -1 1 313.361 1.292 20 0 DDADMM O=C(c1csc2ccccc12)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000738292210 699756642 /nfs/dbraw/zinc/75/66/42/699756642.db2.gz IYQZQHQILRVKKN-LLVKDONJSA-N -1 1 315.358 1.628 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=O)c2cc(C=O)c(C)cc2C)n1 ZINC000793600849 699766931 /nfs/dbraw/zinc/76/69/31/699766931.db2.gz ZEUDJZJLWGFYFH-UHFFFAOYSA-N -1 1 314.345 1.980 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1c[nH]c2ncccc12 ZINC000741277402 699828072 /nfs/dbraw/zinc/82/80/72/699828072.db2.gz HAAQSTFFRFYLEC-CYBMUJFWSA-N -1 1 319.328 1.595 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@H]1CCCN1Cc1ccccn1 ZINC000795089407 699845395 /nfs/dbraw/zinc/84/53/95/699845395.db2.gz JYUSALDTQWAZFL-OAHLLOKOSA-N -1 1 307.394 1.519 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc(F)c(C=O)c1F ZINC000795257468 699854162 /nfs/dbraw/zinc/85/41/62/699854162.db2.gz QEASSIFICVZTTF-UHFFFAOYSA-N -1 1 302.258 1.577 20 0 DDADMM Cn1[n-]c(COC(=O)C2CC(c3ccc(F)cc3)C2)nc1=O ZINC000796111285 699913147 /nfs/dbraw/zinc/91/31/47/699913147.db2.gz OAAJBQHPCOFZMR-UHFFFAOYSA-N -1 1 305.309 1.485 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nnc(C3CCC3)n2C)[n-]1 ZINC000796351755 699928596 /nfs/dbraw/zinc/92/85/96/699928596.db2.gz TXPRRYUSJUBPDW-UHFFFAOYSA-N -1 1 318.333 1.554 20 0 DDADMM CCO[C@@H](C)c1noc(COC(=O)c2ccc(C(=O)OC)[n-]2)n1 ZINC000796350131 699928765 /nfs/dbraw/zinc/92/87/65/699928765.db2.gz JTKZLHUAIRYGES-QMMMGPOBSA-N -1 1 323.305 1.639 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)Nc2cccc(C)c2)[n-]1 ZINC000796368571 699929496 /nfs/dbraw/zinc/92/94/96/699929496.db2.gz FNAFIZPDKIXESF-UHFFFAOYSA-N -1 1 316.313 1.905 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C)C(=O)Nc2cc(C)no2)[n-]1 ZINC000796370553 699929870 /nfs/dbraw/zinc/92/98/70/699929870.db2.gz XEAIRSSOFDOMMI-MRVPVSSYSA-N -1 1 321.289 1.282 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)CNC(=O)C(C)(C)C)c1 ZINC000744505767 699952122 /nfs/dbraw/zinc/95/21/22/699952122.db2.gz HALZSVBUYJLANQ-UHFFFAOYSA-N -1 1 321.373 1.843 20 0 DDADMM COC(=O)[C@@H](C)OC(=O)c1nn(-c2cccc(Cl)c2)cc1[O-] ZINC000801359839 700300778 /nfs/dbraw/zinc/30/07/78/700300778.db2.gz MLFDQFJNZATVEL-MRVPVSSYSA-N -1 1 324.720 1.950 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OC[C@H]3COC(=O)O3)n2)cc1 ZINC000801371661 700301302 /nfs/dbraw/zinc/30/13/02/700301302.db2.gz QVLJSCXICXIODG-NSHDSACASA-N -1 1 318.285 1.579 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@H]1CCCCO1 ZINC000751982444 700333251 /nfs/dbraw/zinc/33/32/51/700333251.db2.gz NEFWRSOFIZJXTI-OLZOCXBDSA-N -1 1 319.423 1.207 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2COc3ccccc3[C@@H]2O)c([O-])c1 ZINC000752919534 700396484 /nfs/dbraw/zinc/39/64/84/700396484.db2.gz ZZZYLNDYLACECY-ABAIWWIYSA-N -1 1 300.314 1.320 20 0 DDADMM O=C([N-]N1CN=NC1=O)[C@@H](F)c1ccc(C(F)(F)F)cc1 ZINC000802571993 700411612 /nfs/dbraw/zinc/41/16/12/700411612.db2.gz QOXRANKGXIGUMO-QMMMGPOBSA-N -1 1 304.203 1.783 20 0 DDADMM Cc1ccc(/C=C\CC(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000753604841 700445607 /nfs/dbraw/zinc/44/56/07/700445607.db2.gz CBQKEZRIHBVIRU-SFAKSCPVSA-N -1 1 313.361 1.512 20 0 DDADMM COC(=O)[C@]1([N-]C(=O)C(F)(F)c2nccs2)CCSC1 ZINC000756744625 700639127 /nfs/dbraw/zinc/63/91/27/700639127.db2.gz PUVCWXRTQCSBQE-JTQLQIEISA-N -1 1 322.358 1.400 20 0 DDADMM O=C(N1CCC[C@@H](c2nn[n-]n2)C1)C(F)(F)c1nccs1 ZINC000756875092 700646929 /nfs/dbraw/zinc/64/69/29/700646929.db2.gz IPVCICMCJKEIRN-SSDOTTSWSA-N -1 1 314.321 1.154 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)c2ccncc2)co1 ZINC000757734318 700669859 /nfs/dbraw/zinc/66/98/59/700669859.db2.gz MZMNGZZPRICNPY-SECBINFHSA-N -1 1 310.331 1.501 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H](O)c2ccsc2)sc1C ZINC000758515216 700709749 /nfs/dbraw/zinc/70/97/49/700709749.db2.gz JXGJVGGPPVKTOY-JTQLQIEISA-N -1 1 318.445 1.833 20 0 DDADMM CC1(C)OCC([N-]S(=O)(=O)Cc2c(F)cccc2F)CO1 ZINC000758625793 700716537 /nfs/dbraw/zinc/71/65/37/700716537.db2.gz OLMZMJYJZTWKOG-UHFFFAOYSA-N -1 1 321.345 1.536 20 0 DDADMM Cc1cccc(N2C[C@@H](C(=O)[N-]OCC(F)F)CC2=O)c1C ZINC000759331282 700746784 /nfs/dbraw/zinc/74/67/84/700746784.db2.gz OASXTQXIVAIGSY-NSHDSACASA-N -1 1 312.316 1.969 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2cn(C)nn2)c(=O)[n-]1 ZINC000759570676 700759886 /nfs/dbraw/zinc/75/98/86/700759886.db2.gz YOCNHLRAFGXERB-UHFFFAOYSA-N -1 1 323.378 1.017 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H](C)c1ccc[nH]1 ZINC000760729320 700821149 /nfs/dbraw/zinc/82/11/49/700821149.db2.gz KUIXEVKQIJEJRY-SNVBAGLBSA-N -1 1 313.361 1.800 20 0 DDADMM CCC[C@@H](C)c1noc(C[N-]S(=O)(=O)c2cnn(C)c2)n1 ZINC000761844002 700870789 /nfs/dbraw/zinc/87/07/89/700870789.db2.gz CRMVBCOYTVIGSA-SECBINFHSA-N -1 1 313.383 1.185 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000764430660 700970456 /nfs/dbraw/zinc/97/04/56/700970456.db2.gz YMHYHNHPAUZLEO-VIFPVBQESA-N -1 1 317.794 1.695 20 0 DDADMM Cn1[n-]c(COC(=O)c2c3c(nc4ccccc42)CCC3)nc1=O ZINC000765388819 701009186 /nfs/dbraw/zinc/00/91/86/701009186.db2.gz AETIRXLBXACLQM-UHFFFAOYSA-N -1 1 324.340 1.502 20 0 DDADMM Cc1ccc(SCCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765385538 701009227 /nfs/dbraw/zinc/00/92/27/701009227.db2.gz AQDFAJUVJGQFDW-UHFFFAOYSA-N -1 1 307.375 1.642 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H](OC(C)(C)C)c2ccccc2)nc1=O ZINC000765503591 701016400 /nfs/dbraw/zinc/01/64/00/701016400.db2.gz STMKLSQWRASGIP-ZDUSSCGKSA-N -1 1 319.361 1.708 20 0 DDADMM O=C([N-]c1nnc(CC(F)(F)F)s1)c1ccc[n+]([O-])c1 ZINC000766788408 701063098 /nfs/dbraw/zinc/06/30/98/701063098.db2.gz FTULYIIYQJMATA-UHFFFAOYSA-N -1 1 304.253 1.529 20 0 DDADMM Cc1nc(Cl)ccc1CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000767003620 701077390 /nfs/dbraw/zinc/07/73/90/701077390.db2.gz OIGMNBHVTMPQDI-UHFFFAOYSA-N -1 1 320.784 1.685 20 0 DDADMM O=C(OCCN1C[C@H]2CC[C@@H](C1)O2)c1c([O-])cc(F)cc1F ZINC000767528029 701114147 /nfs/dbraw/zinc/11/41/47/701114147.db2.gz QHDLVCPEEHYHHG-PHIMTYICSA-N -1 1 313.300 1.690 20 0 DDADMM CCc1ccc([C@H](C)NC(=O)[C@H](C)OC(=O)c2cn[n-]n2)cc1 ZINC000805604328 701397979 /nfs/dbraw/zinc/39/79/79/701397979.db2.gz FBHDOOUWWPUUFL-QWRGUYRKSA-N -1 1 316.361 1.790 20 0 DDADMM O=C(COCc1cccnc1)OCCC[N-]C(=O)C(F)(F)F ZINC000805645998 701401840 /nfs/dbraw/zinc/40/18/40/701401840.db2.gz ZUEXAZBOKWREMP-UHFFFAOYSA-N -1 1 320.267 1.210 20 0 DDADMM Cc1noc(C(C)(C)NC(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC000806489721 701437845 /nfs/dbraw/zinc/43/78/45/701437845.db2.gz BEGPVHBSKQIVQV-UHFFFAOYSA-N -1 1 315.337 1.090 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@@H]([C@H]4CCOC4)C3)ccnc1-2 ZINC000806491218 701437893 /nfs/dbraw/zinc/43/78/93/701437893.db2.gz WLPGYWLVOYMEIL-NEPJUHHUSA-N -1 1 315.377 1.232 20 0 DDADMM CN1C(=O)CCc2cc(/C=C\c3cc(=O)n4[n-]cnc4n3)ccc21 ZINC000806571670 701441683 /nfs/dbraw/zinc/44/16/83/701441683.db2.gz XXQCPBRDMCFJCT-DJWKRKHSSA-N -1 1 321.340 1.497 20 0 DDADMM COCCC(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807708214 701484431 /nfs/dbraw/zinc/48/44/31/701484431.db2.gz HWTXVWTUWDWJAE-QXEWZRGKSA-N -1 1 310.316 1.327 20 0 DDADMM O=S(=O)([N-][C@]12CCC[C@H]1OCC2)c1ccc(Cl)nc1F ZINC000867883221 701809597 /nfs/dbraw/zinc/80/95/97/701809597.db2.gz SKWSRXWFNPYWRQ-SKDRFNHKSA-N -1 1 320.773 1.864 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)OC[C@@H]2CCCO2)C1 ZINC000867890225 701812895 /nfs/dbraw/zinc/81/28/95/701812895.db2.gz LJGGFRXEIBZQFS-CABZTGNLSA-N -1 1 324.299 1.445 20 0 DDADMM COC[C@@H](C)OC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000867889943 701813025 /nfs/dbraw/zinc/81/30/25/701813025.db2.gz DSNAWQVDYQJAAP-KCJUWKMLSA-N -1 1 312.288 1.301 20 0 DDADMM CSCCNC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000867997179 701880745 /nfs/dbraw/zinc/88/07/45/701880745.db2.gz SDIXJOJHPLMLBM-SNVBAGLBSA-N -1 1 313.345 1.202 20 0 DDADMM CCCCC[C@@H](O)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831121888 706638697 /nfs/dbraw/zinc/63/86/97/706638697.db2.gz QCEBPUWBBZJHSM-SNVBAGLBSA-N -1 1 310.316 1.065 20 0 DDADMM CCC[C@@H](NC(=O)C[C@](O)(CC)c1ccccc1)c1nn[n-]n1 ZINC000840387586 702093448 /nfs/dbraw/zinc/09/34/48/702093448.db2.gz PMFDRWZBALBMKC-CZUORRHYSA-N -1 1 317.393 1.845 20 0 DDADMM CC[C@](O)(CC(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccccc1 ZINC000840423156 702104997 /nfs/dbraw/zinc/10/49/97/702104997.db2.gz YYTDSXNHRKETPA-WBMJQRKESA-N -1 1 317.393 1.450 20 0 DDADMM CC(C)C[C@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868432205 702124781 /nfs/dbraw/zinc/12/47/81/702124781.db2.gz BAYPQFRMEMUSFJ-JTQLQIEISA-N -1 1 308.382 1.489 20 0 DDADMM C[S@@](=O)C1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCC1 ZINC000831140964 706643140 /nfs/dbraw/zinc/64/31/40/706643140.db2.gz OZQVVNKVJBRYQL-OAQYLSRUSA-N -1 1 324.431 1.049 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@H](C)C2CC2)c1 ZINC000812363008 702169401 /nfs/dbraw/zinc/16/94/01/702169401.db2.gz QAQXRSWHIKBGRI-VIFPVBQESA-N -1 1 312.391 1.675 20 0 DDADMM O=C([N-]OC/C=C\Cl)c1cc[nH]c(=O)c1Br ZINC000812402185 702176110 /nfs/dbraw/zinc/17/61/10/702176110.db2.gz CIVVRVNRJBAKDK-IWQZZHSRSA-N -1 1 307.531 1.964 20 0 DDADMM CC1(C)CN(C(=O)CCc2nn[n-]n2)C[C@@](C)(C(F)(F)F)O1 ZINC000868571483 702205099 /nfs/dbraw/zinc/20/50/99/702205099.db2.gz KYZSIYRIEANLDH-NSHDSACASA-N -1 1 321.303 1.091 20 0 DDADMM Cc1cc(Br)ncc1NC(=O)CCc1nn[n-]n1 ZINC000866222067 706652825 /nfs/dbraw/zinc/65/28/25/706652825.db2.gz XUWQHTCEBWDWHG-UHFFFAOYSA-N -1 1 311.143 1.237 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NOC2CCC2)C1 ZINC000868666922 702254036 /nfs/dbraw/zinc/25/40/36/702254036.db2.gz VNGLNRZNMKISFV-NSHDSACASA-N -1 1 309.288 1.323 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@H](n4cccn4)C3)ccnc1-2 ZINC000812971505 702269195 /nfs/dbraw/zinc/26/91/95/702269195.db2.gz LVMACAXFLJBSSU-NSHDSACASA-N -1 1 311.349 1.017 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)[C@@H]2C[C@H]2c2ccccc2)n1 ZINC000840911805 702277313 /nfs/dbraw/zinc/27/73/13/702277313.db2.gz RQCXTVYDWIBMEJ-NWDGAFQWSA-N -1 1 320.374 1.288 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3snnc3C3CC3)ccnc1-2 ZINC000813235607 702314865 /nfs/dbraw/zinc/31/48/65/702314865.db2.gz SGHZNFQWMRMBBO-UHFFFAOYSA-N -1 1 300.347 1.323 20 0 DDADMM CSCc1cccnc1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000813235545 702314900 /nfs/dbraw/zinc/31/49/00/702314900.db2.gz PNNJEYRCGQKGMD-UHFFFAOYSA-N -1 1 313.386 1.852 20 0 DDADMM O=C([N-]OCC1CC1)[C@H]1CC(=O)N(c2ccc3c(c2)CCC3)C1 ZINC000817334025 702343853 /nfs/dbraw/zinc/34/38/53/702343853.db2.gz BVBJMMRLONTYJM-HNNXBMFYSA-N -1 1 314.385 1.986 20 0 DDADMM CC[C@H](CO)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000813637087 702388006 /nfs/dbraw/zinc/38/80/06/702388006.db2.gz MPFXJKJQOKMPKG-MMWGEVLESA-N -1 1 324.343 1.309 20 0 DDADMM C[C@H]1CCN(C(=O)C(C)(C)O)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000813636306 702388435 /nfs/dbraw/zinc/38/84/35/702388435.db2.gz UUCMZBTTXSUZIL-CIUDSAMLSA-N -1 1 310.316 1.061 20 0 DDADMM Cc1ccc(CS(=O)(=O)[N-][C@H]2C[C@@H](C)OC2=O)c(F)c1 ZINC000841521420 702477551 /nfs/dbraw/zinc/47/75/51/702477551.db2.gz DSLCLTNPRDBPHA-SKDRFNHKSA-N -1 1 301.339 1.258 20 0 DDADMM CO[C@@](C)([C@@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CC1 ZINC000841705583 702543875 /nfs/dbraw/zinc/54/38/75/702543875.db2.gz PQDJYAIWSMTFQS-HWPZZCPQSA-N -1 1 317.393 1.667 20 0 DDADMM CCCC1(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)CC1 ZINC000869436630 702606734 /nfs/dbraw/zinc/60/67/34/702606734.db2.gz KPYQRXVOJUXIAN-UHFFFAOYSA-N -1 1 309.284 1.748 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2CC23CCC3)CCC1 ZINC000843015308 702803702 /nfs/dbraw/zinc/80/37/02/702803702.db2.gz FKGLUXYUJZWEEY-LLVKDONJSA-N -1 1 300.424 1.107 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014959 702803872 /nfs/dbraw/zinc/80/38/72/702803872.db2.gz JGJJXBNQOBSOQY-NWDGAFQWSA-N -1 1 302.440 1.209 20 0 DDADMM C[C@H]1CCC[C@@H]1CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014955 702804019 /nfs/dbraw/zinc/80/40/19/702804019.db2.gz JEYSCCUXRIWONW-QWHCGFSZSA-N -1 1 316.467 1.743 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H]2OCC[C@@H]2C1 ZINC000843974796 702948065 /nfs/dbraw/zinc/94/80/65/702948065.db2.gz CMBXTXJIVQOTMK-NEPJUHHUSA-N -1 1 305.378 1.865 20 0 DDADMM COCCN1CCN(c2c3ccccc3nnc2C(=O)[O-])CC1 ZINC000844206958 702991936 /nfs/dbraw/zinc/99/19/36/702991936.db2.gz QWXHKMQJYOXROJ-UHFFFAOYSA-N -1 1 316.361 1.096 20 0 DDADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-]c1ccc(=O)[nH]c1 ZINC000844663996 703052517 /nfs/dbraw/zinc/05/25/17/703052517.db2.gz ORDKZGXJVSGGIF-SNVBAGLBSA-N -1 1 302.352 1.261 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CC[C@@H]3C[C@@H]3C2)o1 ZINC000845766678 703198116 /nfs/dbraw/zinc/19/81/16/703198116.db2.gz SDZSBMOXDITMSX-MXWKQRLJSA-N -1 1 313.375 1.781 20 0 DDADMM CCC[C@H](C)[C@@H](CO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000846360093 703269039 /nfs/dbraw/zinc/26/90/39/703269039.db2.gz KWCGLKROLJHORA-GXSJLCMTSA-N -1 1 308.407 1.545 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCC(=O)NC2CCCC2)[n-]1 ZINC000846650974 703308193 /nfs/dbraw/zinc/30/81/93/703308193.db2.gz OCSOIKOYQWEACG-UHFFFAOYSA-N -1 1 322.365 1.364 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCC(=O)NC2CCCC2)n1 ZINC000846650974 703308195 /nfs/dbraw/zinc/30/81/95/703308195.db2.gz OCSOIKOYQWEACG-UHFFFAOYSA-N -1 1 322.365 1.364 20 0 DDADMM C[C@@H]1CCCC[C@@H]1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866597497 706740702 /nfs/dbraw/zinc/74/07/02/706740702.db2.gz UFFCWTFIVZKJHI-VXGBXAGGSA-N -1 1 308.469 1.909 20 0 DDADMM C[C@@](O)(C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ccccc1 ZINC000847090596 703376241 /nfs/dbraw/zinc/37/62/41/703376241.db2.gz KCGRAURJZIXRQZ-ZBEGNZNMSA-N -1 1 317.345 1.389 20 0 DDADMM COC[C@@H](CC(C)(C)C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000847178638 703384984 /nfs/dbraw/zinc/38/49/84/703384984.db2.gz RHFPYLZMUIUKGO-LLVKDONJSA-N -1 1 319.409 1.915 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C=C(C)c2ccccc2)n[n-]1 ZINC000879654559 706750709 /nfs/dbraw/zinc/75/07/09/706750709.db2.gz MLQVUMWIMQWMHN-LUAWRHEFSA-N -1 1 314.345 1.701 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C=C(C)c2ccccc2)n1 ZINC000879654559 706750712 /nfs/dbraw/zinc/75/07/12/706750712.db2.gz MLQVUMWIMQWMHN-LUAWRHEFSA-N -1 1 314.345 1.701 20 0 DDADMM CCC[C@H](OC)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848339510 703540433 /nfs/dbraw/zinc/54/04/33/703540433.db2.gz FZHONTNMEWSUPH-MXWKQRLJSA-N -1 1 324.343 1.860 20 0 DDADMM COCCCCC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341771 703540934 /nfs/dbraw/zinc/54/09/34/703540934.db2.gz VZJORTQQOYLUHG-GHMZBOCLSA-N -1 1 324.343 1.861 20 0 DDADMM CCN1C[C@H](COC(=O)c2c([O-])cc(F)cc2F)OC1=O ZINC000848709225 703578647 /nfs/dbraw/zinc/57/86/47/703578647.db2.gz LSRISQSLQVHCMZ-MRVPVSSYSA-N -1 1 301.245 1.668 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2ccc(C)nc2Cl)n[n-]1 ZINC000849228935 703626696 /nfs/dbraw/zinc/62/66/96/703626696.db2.gz RQBMFIYFGKKTSJ-UHFFFAOYSA-N -1 1 300.771 1.698 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@H](C)C(C)=O)c1 ZINC000849812199 703680639 /nfs/dbraw/zinc/68/06/39/703680639.db2.gz UKUJNUFBSZEYIG-WIUDPPPLSA-N -1 1 312.387 1.961 20 0 DDADMM C[C@@H](CC(=O)OCC(=O)[N-]C(=O)c1ccccc1)[C@@H]1CCCO1 ZINC000850081716 703702043 /nfs/dbraw/zinc/70/20/43/703702043.db2.gz ORYGOPUXWPZFGZ-JSGCOSHPSA-N -1 1 319.357 1.691 20 0 DDADMM Cn1nnnc1C1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000831724252 706763121 /nfs/dbraw/zinc/76/31/21/706763121.db2.gz WYKQCDQRNZMCBW-UHFFFAOYSA-N -1 1 323.303 1.214 20 0 DDADMM CCCC[C@](C)(F)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000851104677 703780559 /nfs/dbraw/zinc/78/05/59/703780559.db2.gz MAIDZRYDFGEWEL-ZDUSSCGKSA-N -1 1 322.446 1.835 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCCC23OCCO3)sc1C ZINC000851817154 703863789 /nfs/dbraw/zinc/86/37/89/703863789.db2.gz ZBIZONYXQABNLC-SNVBAGLBSA-N -1 1 318.420 1.334 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@H](c4cccnc4)C3)ccnc1-2 ZINC000831748704 706770159 /nfs/dbraw/zinc/77/01/59/706770159.db2.gz KQNKDGVSVUJIED-ZDUSSCGKSA-N -1 1 322.372 1.758 20 0 DDADMM Cc1nc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)co1 ZINC000852006850 703902464 /nfs/dbraw/zinc/90/24/64/703902464.db2.gz FWULKVGGDFCQGM-UHFFFAOYSA-N -1 1 305.300 1.874 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC2(CCNC2=O)CC1 ZINC000831761941 706774016 /nfs/dbraw/zinc/77/40/16/706774016.db2.gz CAKYNFJBQFHSER-UHFFFAOYSA-N -1 1 322.792 1.717 20 0 DDADMM C[C@H]1CC[C@@H]1NC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852331364 704014239 /nfs/dbraw/zinc/01/42/39/704014239.db2.gz MYFDXEBPAZBKRP-VLEAKVRGSA-N -1 1 321.343 1.883 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CC1CCC1 ZINC000852736094 704109262 /nfs/dbraw/zinc/10/92/62/704109262.db2.gz KYTXHJBECWRIPB-UWVGGRQHSA-N -1 1 308.300 1.383 20 0 DDADMM O=C([O-])[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1C/C=C\Cl ZINC000852737068 704109987 /nfs/dbraw/zinc/10/99/87/704109987.db2.gz QKNWYUMYKLSSPR-KTXBOUKSSA-N -1 1 314.691 1.335 20 0 DDADMM CC(C)(C)[C@@H](C(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000852948966 704177490 /nfs/dbraw/zinc/17/74/90/704177490.db2.gz QHYBGWRLGRWVNN-GFCCVEGCSA-N -1 1 316.361 1.835 20 0 DDADMM CC(C)(C)[C@@H](C(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000852948966 704177491 /nfs/dbraw/zinc/17/74/91/704177491.db2.gz QHYBGWRLGRWVNN-GFCCVEGCSA-N -1 1 316.361 1.835 20 0 DDADMM C[C@@H]1CCN(C(=O)NC(C)(C)C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000853016079 704184511 /nfs/dbraw/zinc/18/45/11/704184511.db2.gz NEZFHGABYKJWJG-BDAKNGLRSA-N -1 1 309.332 1.883 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F)C1CCC1 ZINC000867012043 706871885 /nfs/dbraw/zinc/87/18/85/706871885.db2.gz IMZXKQCGJBRHBF-ZETCQYMHSA-N -1 1 311.329 1.906 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)N=S(C)(C)=O)c1cccs1 ZINC000866789290 706801633 /nfs/dbraw/zinc/80/16/33/706801633.db2.gz GWANMXOZBVEZKT-UHFFFAOYSA-N -1 1 310.466 1.588 20 0 DDADMM CCn1ncn(NC(=O)c2ccc(C(F)(F)F)cc2[O-])c1=O ZINC000820388352 704270272 /nfs/dbraw/zinc/27/02/72/704270272.db2.gz NXKVUQPEAUHLHR-UHFFFAOYSA-N -1 1 316.239 1.173 20 0 DDADMM O=C(NCC1SCCS1)c1nc2ccccc2c(=O)[n-]1 ZINC000853862082 704327211 /nfs/dbraw/zinc/32/72/11/704327211.db2.gz LMIFZZLUQYSPLW-UHFFFAOYSA-N -1 1 307.400 1.459 20 0 DDADMM C[C@@H]1CCN(C(=O)N2CCCC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000853874508 704329107 /nfs/dbraw/zinc/32/91/07/704329107.db2.gz LEPQEIOBQDJVFF-ZJUUUORDSA-N -1 1 307.316 1.591 20 0 DDADMM CC(C)c1cc([N-]S(=O)(=O)c2cnn3c2OCCC3)[nH]n1 ZINC000820802292 704333021 /nfs/dbraw/zinc/33/30/21/704333021.db2.gz MESSQYZJSHYFIP-UHFFFAOYSA-N -1 1 311.367 1.313 20 0 DDADMM CSC[C@H](C)[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866814041 706811906 /nfs/dbraw/zinc/81/19/06/706811906.db2.gz JLXFYAGVHLOUOM-LURJTMIESA-N -1 1 317.358 1.469 20 0 DDADMM Cn1cc(Br)c(C(=O)[N-]OC2CCC2)cc1=O ZINC000821222732 704382025 /nfs/dbraw/zinc/38/20/25/704382025.db2.gz WFCFFHGWVWDOQR-UHFFFAOYSA-N -1 1 301.140 1.362 20 0 DDADMM CCC1(S(=O)(=O)[N-]C(=O)c2cc3[nH]cnc3cc2F)CC1 ZINC000821293971 704386709 /nfs/dbraw/zinc/38/67/09/704386709.db2.gz OEDQMJBGUDWMMY-UHFFFAOYSA-N -1 1 311.338 1.704 20 0 DDADMM Nc1c(Cl)c(F)cc(C(=O)[N-]N2CCCNC2=O)c1Cl ZINC000821755982 704438940 /nfs/dbraw/zinc/43/89/40/704438940.db2.gz IQIIBAURKBRMHF-UHFFFAOYSA-N -1 1 321.139 1.775 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)c1nccc(N)n1 ZINC000854759597 704448591 /nfs/dbraw/zinc/44/85/91/704448591.db2.gz MVJOFGSENDLAJG-VIFPVBQESA-N -1 1 316.365 1.477 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)[N-]O[C@H](CO)C(C)C)c2=O ZINC000857287384 704574730 /nfs/dbraw/zinc/57/47/30/704574730.db2.gz PSBRDCODDRGRJL-CQSZACIVSA-N -1 1 320.345 1.215 20 0 DDADMM Cc1cscc1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867201040 706922707 /nfs/dbraw/zinc/92/27/07/706922707.db2.gz ZCQQBQNXLXALCK-UHFFFAOYSA-N -1 1 308.450 1.653 20 0 DDADMM CC(C)(C)NC(=O)N1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858484962 704717054 /nfs/dbraw/zinc/71/70/54/704717054.db2.gz HCICAQCCHKYFTN-UHFFFAOYSA-N -1 1 313.789 1.466 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]C[C@@H](OC)C(F)(F)F)C1CC1 ZINC000858982039 704779670 /nfs/dbraw/zinc/77/96/70/704779670.db2.gz PXGOSZDDYLVSPL-VHSXEESVSA-N -1 1 319.345 1.298 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2cncc(OC(C)C)c2)o1 ZINC000859046019 704790829 /nfs/dbraw/zinc/79/08/29/704790829.db2.gz GOZQAMPNKZPSBF-UHFFFAOYSA-N -1 1 311.363 1.644 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CC1(C2CCC2)CCC1 ZINC000867376952 706980273 /nfs/dbraw/zinc/98/02/73/706980273.db2.gz DFHAFHLAJHPINS-SFHVURJKSA-N -1 1 308.469 1.909 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2cc(Cl)cnc2Cl)CO1 ZINC000867453974 707006267 /nfs/dbraw/zinc/00/62/67/707006267.db2.gz SPTITOGWUVCSAS-SVRRBLITSA-N -1 1 311.190 1.844 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H]1CCCC12CC2 ZINC000867567821 707042470 /nfs/dbraw/zinc/04/24/70/707042470.db2.gz ADDJGPCPSGUTJF-NSHDSACASA-N -1 1 306.453 1.663 20 0 DDADMM CCC[C@@H](OCC)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000859836525 705017016 /nfs/dbraw/zinc/01/70/16/705017016.db2.gz STGPSWWRYGZKIQ-CYBMUJFWSA-N -1 1 307.346 1.691 20 0 DDADMM CC(C)(C)n1nnc(CC(=O)Nc2nc(Cl)ccc2[O-])n1 ZINC000834297953 707024410 /nfs/dbraw/zinc/02/44/10/707024410.db2.gz ICZRDCBZHXCKSC-UHFFFAOYSA-N -1 1 310.745 1.363 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@@H]1CC12CCOCC2 ZINC000823289233 705195698 /nfs/dbraw/zinc/19/56/98/705195698.db2.gz GUVWZXSXGNEEMW-JTQLQIEISA-N -1 1 315.333 1.328 20 0 DDADMM COC(=O)[C@]12CCC[C@H]1N(C(=O)C(=O)c1ccc([O-])cc1)CC2 ZINC000874932566 705232272 /nfs/dbraw/zinc/23/22/72/705232272.db2.gz XAQXFIDPPMDHQX-DYVFJYSZSA-N -1 1 317.341 1.519 20 0 DDADMM CN(CC(=O)NC(C)(C)C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000823742153 705306757 /nfs/dbraw/zinc/30/67/57/705306757.db2.gz KGIUXGNJPZJDNC-UHFFFAOYSA-N -1 1 321.406 1.005 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000823942562 705343195 /nfs/dbraw/zinc/34/31/95/705343195.db2.gz GJZGRJZFVYGPRV-FLIBITNWSA-N -1 1 323.360 1.951 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000823942562 705343200 /nfs/dbraw/zinc/34/32/00/705343200.db2.gz GJZGRJZFVYGPRV-FLIBITNWSA-N -1 1 323.360 1.951 20 0 DDADMM CCN(C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CCCC1 ZINC000876300229 705683041 /nfs/dbraw/zinc/68/30/41/705683041.db2.gz NODZYQDAWZEEJP-UHFFFAOYSA-N -1 1 320.393 1.729 20 0 DDADMM COCC[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)c1ccco1 ZINC000826155068 705780019 /nfs/dbraw/zinc/78/00/19/705780019.db2.gz FHNOXVCRFHMAFD-SECBINFHSA-N -1 1 301.310 1.439 20 0 DDADMM COCC[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)c1ccco1 ZINC000826155068 705780023 /nfs/dbraw/zinc/78/00/23/705780023.db2.gz FHNOXVCRFHMAFD-SECBINFHSA-N -1 1 301.310 1.439 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(C)cc2C)c1-c1nnn[n-]1 ZINC000826290962 705787194 /nfs/dbraw/zinc/78/71/94/705787194.db2.gz IUPZECITKVJPOG-UHFFFAOYSA-N -1 1 312.333 1.961 20 0 DDADMM Cc1onc(CC(=O)Nc2ccc(C)cc2C)c1-c1nn[n-]n1 ZINC000826290962 705787199 /nfs/dbraw/zinc/78/71/99/705787199.db2.gz IUPZECITKVJPOG-UHFFFAOYSA-N -1 1 312.333 1.961 20 0 DDADMM O=C(CC[C@@H]1CC1(Cl)Cl)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000826834028 705881292 /nfs/dbraw/zinc/88/12/92/705881292.db2.gz LJHOHQLBPCYAPF-HTQZYQBOSA-N -1 1 320.180 1.074 20 0 DDADMM CN(CCOC(C)(C)C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000827059232 705919044 /nfs/dbraw/zinc/91/90/44/705919044.db2.gz GPWJXRITMZXITG-UHFFFAOYSA-N -1 1 308.407 1.905 20 0 DDADMM COC(=O)NC(C)(C)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000863474426 705936143 /nfs/dbraw/zinc/93/61/43/705936143.db2.gz BWRLOVRUMYIJRL-UHFFFAOYSA-N -1 1 318.333 1.651 20 0 DDADMM O=C(C[C@H]1COC(=O)C1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000863476964 705936772 /nfs/dbraw/zinc/93/67/72/705936772.db2.gz RKIDLJPRYBYSMY-SNVBAGLBSA-N -1 1 301.302 1.470 20 0 DDADMM C[C@H]1C[N@@H+](CCOc2ccccc2C(=O)[O-])CC[C@@H]1C(=O)[O-] ZINC000863531692 705949620 /nfs/dbraw/zinc/94/96/20/705949620.db2.gz AYFXTPBMFMIVPB-RYUDHWBXSA-N -1 1 307.346 1.806 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@H](OC)C(C)C ZINC000827320702 705975038 /nfs/dbraw/zinc/97/50/38/705975038.db2.gz NLQBVXKDRHWVFV-OLZOCXBDSA-N -1 1 321.439 1.309 20 0 DDADMM O=C(NCCN1CC[C@@H](O)C1)c1cc(Cl)cc(Cl)c1[O-] ZINC000827528064 706019590 /nfs/dbraw/zinc/01/95/90/706019590.db2.gz GRBJZRLRIZXYMM-SECBINFHSA-N -1 1 319.188 1.495 20 0 DDADMM O=C(N[C@H](C1CC1)C1CCOCC1)c1cnc(C2CC2)[n-]c1=O ZINC000864065879 706057906 /nfs/dbraw/zinc/05/79/06/706057906.db2.gz LCGLOZBEWMYXOD-CQSZACIVSA-N -1 1 317.389 1.995 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H](C)CSC)o1 ZINC000835675303 707304517 /nfs/dbraw/zinc/30/45/17/707304517.db2.gz XWLHSBUELUTRDZ-QMMMGPOBSA-N -1 1 307.393 1.344 20 0 DDADMM CCOc1cncc(CN2CC(C[N-]C(=O)C(F)(F)F)C2)c1 ZINC000877776587 706207201 /nfs/dbraw/zinc/20/72/01/706207201.db2.gz QJKIOACQTVNKRG-UHFFFAOYSA-N -1 1 317.311 1.591 20 0 DDADMM CC(C)n1cc(CN2CC(C[N-]C(=O)C(F)(F)F)C2)cn1 ZINC000877776799 706207401 /nfs/dbraw/zinc/20/74/01/706207401.db2.gz ARAQPOBMGWLUQS-UHFFFAOYSA-N -1 1 304.316 1.574 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H](Cc1cccnc1)C1CCCC1 ZINC000864896396 706295440 /nfs/dbraw/zinc/29/54/40/706295440.db2.gz GUAXVDLDBHIQOF-ZDUSSCGKSA-N -1 1 316.361 1.014 20 0 DDADMM CCO[C@@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)C1CCOCC1 ZINC000864974175 706316244 /nfs/dbraw/zinc/31/62/44/706316244.db2.gz AYFKXZUZPFLWFU-MRVPVSSYSA-N -1 1 322.287 1.594 20 0 DDADMM O=C(CCCOC1CCOCC1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000864974601 706316656 /nfs/dbraw/zinc/31/66/56/706316656.db2.gz IOHSLKQKNUSRBI-UHFFFAOYSA-N -1 1 322.287 1.738 20 0 DDADMM CCNS(=O)(=O)[N-]CC(F)(F)c1ccc(F)cc1F ZINC000872549978 707473973 /nfs/dbraw/zinc/47/39/73/707473973.db2.gz CIIZANZBWNDKNA-UHFFFAOYSA-N -1 1 300.277 1.501 20 0 DDADMM C[C@]12COC[C@H]1C[N@@H+](Cc1nnc(-c3ccccc3O)o1)C2 ZINC000878949599 706541615 /nfs/dbraw/zinc/54/16/15/706541615.db2.gz NMSAZZFZHLVNTE-BZNIZROVSA-N -1 1 301.346 1.911 20 0 DDADMM CC[C@H](C)[C@H](OC)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830801560 706582234 /nfs/dbraw/zinc/58/22/34/706582234.db2.gz MMRSJBXWXSUWOQ-KWBADKCTSA-N -1 1 324.343 1.717 20 0 DDADMM COCCC[C@H](C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807512 706583152 /nfs/dbraw/zinc/58/31/52/706583152.db2.gz LDQZOHLCGVQWLR-GWCFXTLKSA-N -1 1 324.343 1.719 20 0 DDADMM C[C@H](OCC1CC1)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830827377 706588223 /nfs/dbraw/zinc/58/82/23/706588223.db2.gz LCIJSIVQWHBLGZ-ZANVPECISA-N -1 1 322.327 1.471 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1CCCSC1 ZINC000866919692 706844658 /nfs/dbraw/zinc/84/46/58/706844658.db2.gz SIPANCWYRCVGEJ-VIFPVBQESA-N -1 1 300.471 1.224 20 0 DDADMM CCc1cc(CNC(=O)N2C[C@@H]3CCC[C@@H]3[C@@H]2C(=O)[O-])n[nH]1 ZINC000908980724 712907904 /nfs/dbraw/zinc/90/79/04/712907904.db2.gz DZYBXPMDXUTKOX-TVYUQYBPSA-N -1 1 306.366 1.367 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(OC)cc1F ZINC000866955481 706855242 /nfs/dbraw/zinc/85/52/42/706855242.db2.gz VCAYQPBAKQXGCP-IBGZPJMESA-N -1 1 324.399 1.286 20 0 DDADMM CC1(C)OCC(NC(=O)c2ncc3ccccc3c2[O-])CO1 ZINC000832154001 706856174 /nfs/dbraw/zinc/85/61/74/706856174.db2.gz KUQWOHHDBRYGKO-UHFFFAOYSA-N -1 1 302.330 1.822 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)c1nccs1 ZINC000867099805 706895811 /nfs/dbraw/zinc/89/58/11/706895811.db2.gz AGINLXPQDXSTNK-ZETCQYMHSA-N -1 1 319.358 1.898 20 0 DDADMM COC/C=C\C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000867211647 706926347 /nfs/dbraw/zinc/92/63/47/706926347.db2.gz QSAVRFOQPMHTJN-ARJAWSKDSA-N -1 1 302.327 1.338 20 0 DDADMM CC1(C)OCC[C@@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867331822 706963412 /nfs/dbraw/zinc/96/34/12/706963412.db2.gz WWAGWNBIZZFYEL-LURJTMIESA-N -1 1 324.200 1.018 20 0 DDADMM CSc1nc(CNC(=O)C2SCCS2)cc(=O)[n-]1 ZINC000880652363 707048665 /nfs/dbraw/zinc/04/86/65/707048665.db2.gz HCKPEIVFHFJXAJ-UHFFFAOYSA-N -1 1 303.434 1.326 20 0 DDADMM CCC1(S(=O)(=O)[N-]C(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC000835532916 707273237 /nfs/dbraw/zinc/27/32/37/707273237.db2.gz AFXUQFHKMGPRKE-UHFFFAOYSA-N -1 1 320.374 1.474 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-]Cc1cc(C)no1 ZINC000881813422 707375768 /nfs/dbraw/zinc/37/57/68/707375768.db2.gz HCEJVIHENCZKCO-NSHDSACASA-N -1 1 304.368 1.134 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCC1(F)CCC1 ZINC000872488669 707441327 /nfs/dbraw/zinc/44/13/27/707441327.db2.gz ZFTQQYCIDGJQNC-SFHVURJKSA-N -1 1 314.448 1.999 20 0 DDADMM C/C=C\C[C@H](NC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000909168973 712952484 /nfs/dbraw/zinc/95/24/84/712952484.db2.gz HAWLOXHTYOMEBX-ZRMMWKCHSA-N -1 1 302.334 1.553 20 0 DDADMM COC[C@H]1CCCCN1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000836573649 707482573 /nfs/dbraw/zinc/48/25/73/707482573.db2.gz JMLRACWUFUPAMJ-LLVKDONJSA-N -1 1 303.366 1.375 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1cccnc1F)c1nncn1C ZINC000882186536 707529654 /nfs/dbraw/zinc/52/96/54/707529654.db2.gz DLXQFALIGOYADR-JTQLQIEISA-N -1 1 313.358 1.025 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)c1cccnc1F)c1nncn1C ZINC000882186537 707529738 /nfs/dbraw/zinc/52/97/38/707529738.db2.gz DLXQFALIGOYADR-SNVBAGLBSA-N -1 1 313.358 1.025 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@@H]2CC3(CC[C@@H]21)OCCO3 ZINC000836963650 707555248 /nfs/dbraw/zinc/55/52/48/707555248.db2.gz OKNTZNGTXUMVQZ-DOMZBBRYSA-N -1 1 318.373 1.935 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000836974178 707557118 /nfs/dbraw/zinc/55/71/18/707557118.db2.gz XMYLYPGQQFKLOZ-VIFPVBQESA-N -1 1 316.390 1.113 20 0 DDADMM CO[C@@H]1COCC[C@@H]1N(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000882375118 707611840 /nfs/dbraw/zinc/61/18/40/707611840.db2.gz LXKZVPXMHVDRAN-GXTWGEPZSA-N -1 1 313.781 1.850 20 0 DDADMM CC[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H]1CCCOC1 ZINC000882462593 707642702 /nfs/dbraw/zinc/64/27/02/707642702.db2.gz UUZJDZVUCZAKJA-VXGBXAGGSA-N -1 1 320.418 1.953 20 0 DDADMM NC(=O)CC1CC([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000921310323 713729362 /nfs/dbraw/zinc/72/93/62/713729362.db2.gz NDOWWNVFTNSUFI-UHFFFAOYSA-N -1 1 308.812 1.334 20 0 DDADMM C[C@@H]1CCNC(=O)[C@@H]1NC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000873001555 707689602 /nfs/dbraw/zinc/68/96/02/707689602.db2.gz PASZOWXTYMTBPZ-PRHODGIISA-N -1 1 311.769 1.369 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@H](CF)C2)c1 ZINC000882598700 707712018 /nfs/dbraw/zinc/71/20/18/707712018.db2.gz JUFGKJOTECAXHA-SNVBAGLBSA-N -1 1 303.355 1.771 20 0 DDADMM CCN(CC(=O)NC[C@H](C(=O)[O-])c1ccc(C)cc1)C1CC1 ZINC000909252478 712974796 /nfs/dbraw/zinc/97/47/96/712974796.db2.gz LUESZDHLIHZUFH-HNNXBMFYSA-N -1 1 304.390 1.764 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C[C@H](O)C(F)(F)C(F)(F)F)o1 ZINC000882781485 707792825 /nfs/dbraw/zinc/79/28/25/707792825.db2.gz NQNBGXGTWQOTAL-LURJTMIESA-N -1 1 323.239 1.425 20 0 DDADMM COC(=O)[C@@H](F)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000838074710 707831613 /nfs/dbraw/zinc/83/16/13/707831613.db2.gz IZVBAUWENFJTQI-QMMMGPOBSA-N -1 1 309.215 1.652 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C12CCC2 ZINC000839075261 708022678 /nfs/dbraw/zinc/02/26/78/708022678.db2.gz RZEGTIGNAVOCNG-QWHCGFSZSA-N -1 1 315.377 1.421 20 0 DDADMM Cc1nc2cccc(C(=O)NC3(C(=O)[O-])CCSCC3)c2[nH]1 ZINC000909350406 712997901 /nfs/dbraw/zinc/99/79/01/712997901.db2.gz YSLQQHXWTSTUPY-UHFFFAOYSA-N -1 1 319.386 1.952 20 0 DDADMM CNC(=O)C1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000897414378 708319764 /nfs/dbraw/zinc/31/97/64/708319764.db2.gz ZJLDLGFIPGZGBA-UHFFFAOYSA-N -1 1 300.314 1.069 20 0 DDADMM CC(C)Cn1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000897641113 708403862 /nfs/dbraw/zinc/40/38/62/708403862.db2.gz WJWSWVZGZWBYBD-NSHDSACASA-N -1 1 319.365 1.648 20 0 DDADMM C[C@H](c1ccccc1)[C@@H](CO)[N-]S(=O)(=O)c1ccns1 ZINC000885028111 708447518 /nfs/dbraw/zinc/44/75/18/708447518.db2.gz UMIGSABIHRGMJB-ZYHUDNBSSA-N -1 1 312.416 1.586 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)c1ccns1)c1ccsc1 ZINC000885028590 708447807 /nfs/dbraw/zinc/44/78/07/708447807.db2.gz JEPONWNKPZOGFC-JTQLQIEISA-N -1 1 304.418 1.391 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2ccns2)[C@H]2CCCO[C@@H]21 ZINC000885032633 708449189 /nfs/dbraw/zinc/44/91/89/708449189.db2.gz AIHKWWPIXRTNHL-IEBDPFPHSA-N -1 1 302.421 1.625 20 0 DDADMM COC(=O)C1(C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)CC1 ZINC000885398664 708535954 /nfs/dbraw/zinc/53/59/54/708535954.db2.gz LILCSYUHSMBOSV-UHFFFAOYSA-N -1 1 319.329 1.505 20 0 DDADMM CN(C)S(=O)(=O)CC(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885676152 708594995 /nfs/dbraw/zinc/59/49/95/708594995.db2.gz KKFHFLKADCATCQ-UHFFFAOYSA-N -1 1 310.734 1.015 20 0 DDADMM COC(=O)C[C@H]([N-]S(=O)(=O)c1ccns1)C1CCCC1 ZINC000885740994 708614050 /nfs/dbraw/zinc/61/40/50/708614050.db2.gz FYMYOOPKECYYFF-JTQLQIEISA-N -1 1 318.420 1.543 20 0 DDADMM CC(=O)OC(C)(C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266414 708732376 /nfs/dbraw/zinc/73/23/76/708732376.db2.gz LMVVDKAXVHTYCY-UHFFFAOYSA-N -1 1 301.289 1.671 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1CCn2cncc2C1 ZINC000886266670 708732476 /nfs/dbraw/zinc/73/24/76/708732476.db2.gz UYMWHOUQHQYGIE-SNVBAGLBSA-N -1 1 321.327 1.788 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]1NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000886318826 708741719 /nfs/dbraw/zinc/74/17/19/708741719.db2.gz FSEHRFDRNCGUKA-SCZZXKLOSA-N -1 1 313.737 1.736 20 0 DDADMM C[C@H](O)[C@@H]1CCN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927785030 713055619 /nfs/dbraw/zinc/05/56/19/713055619.db2.gz WNUWGHRWNGMNOA-VHSXEESVSA-N -1 1 314.332 1.625 20 0 DDADMM O=C([O-])c1ccccc1-n1[nH]c2c(c1=O)CC1(CC2)OCCO1 ZINC000887287024 709007790 /nfs/dbraw/zinc/00/77/90/709007790.db2.gz JFZLLQUZFUMBPC-NSHDSACASA-N -1 1 316.313 1.631 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])C[C@@H]1C(=O)NCc1ccc2cncn2c1 ZINC000899789607 709196830 /nfs/dbraw/zinc/19/68/30/709196830.db2.gz UJGMUEHPFVHJSP-CZUORRHYSA-N -1 1 301.346 1.697 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)[C@]23C[C@H]2COC32CCC2)n[nH]1 ZINC000888120428 709218285 /nfs/dbraw/zinc/21/82/85/709218285.db2.gz MDPMDOWVHIZRDY-QFYYESIMSA-N -1 1 319.361 1.873 20 0 DDADMM CCCN(C(=O)c1ccc2n[n-]c(=S)n2c1)[C@H](C)C(=O)OC ZINC000888610444 709350961 /nfs/dbraw/zinc/35/09/61/709350961.db2.gz ILRGFGICQVKWBN-SECBINFHSA-N -1 1 322.390 1.432 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)CC1(F)CC1)c1nc(C(C)(C)C)no1 ZINC000914206269 713359216 /nfs/dbraw/zinc/35/92/16/713359216.db2.gz LFIQKZUALBUWQY-MRVPVSSYSA-N -1 1 305.375 1.850 20 0 DDADMM C[C@]1(NC(=O)[C@@H]2CCc3nc[nH]c3C2)CCCC[C@@H]1C(=O)[O-] ZINC000909532608 709504708 /nfs/dbraw/zinc/50/47/08/709504708.db2.gz ZPPQOCZOMMDLJF-UVWXRNBGSA-N -1 1 305.378 1.664 20 0 DDADMM Cc1ccc2c(c1)[C@H](NC(=O)CN1CCC[C@H](C(=O)[O-])C1)CO2 ZINC000909551069 709512291 /nfs/dbraw/zinc/51/22/91/709512291.db2.gz DMKBOGHSGBJRKL-GXTWGEPZSA-N -1 1 318.373 1.341 20 0 DDADMM CCO[C@H](C(=O)N[C@@H](CCSC)c1nn[n-]n1)C(C)C ZINC000912858313 713109080 /nfs/dbraw/zinc/10/90/80/713109080.db2.gz KFIFYDUDYGSJIW-UWVGGRQHSA-N -1 1 301.416 1.171 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000900277757 709517180 /nfs/dbraw/zinc/51/71/80/709517180.db2.gz FHKTWFJEFIWWJF-SECBINFHSA-N -1 1 318.304 1.715 20 0 DDADMM O=CN1CCCN(C(=O)c2c([O-])cnc3c(F)cccc32)CC1 ZINC000900306575 709531607 /nfs/dbraw/zinc/53/16/07/709531607.db2.gz TWNCJRFAZSQWMA-UHFFFAOYSA-N -1 1 317.320 1.384 20 0 DDADMM C[C@@]1(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCCS1 ZINC000909595210 709533767 /nfs/dbraw/zinc/53/37/67/709533767.db2.gz UKXGGOLFWSXXMP-RISCZKNCSA-N -1 1 300.424 1.185 20 0 DDADMM COC(=O)CC1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000900321328 709538244 /nfs/dbraw/zinc/53/82/44/709538244.db2.gz RISQBPXLLIBMAA-UHFFFAOYSA-N -1 1 319.361 1.475 20 0 DDADMM CSCC[C@H](NC(=O)c1ccn2ccccc12)c1nn[n-]n1 ZINC000912863157 713111291 /nfs/dbraw/zinc/11/12/91/713111291.db2.gz NBPGLGWAKJKLEG-NSHDSACASA-N -1 1 316.390 1.677 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000909675637 709570701 /nfs/dbraw/zinc/57/07/01/709570701.db2.gz GYQPASUWZQWPHV-XSSZXYGBSA-N -1 1 317.267 1.754 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC000909698870 709584775 /nfs/dbraw/zinc/58/47/75/709584775.db2.gz NDAJVGPRJQDYQS-OAHLLOKOSA-N -1 1 308.382 1.477 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@@H](CC(F)F)C(=O)[O-])c2C1 ZINC000909775741 709613854 /nfs/dbraw/zinc/61/38/54/709613854.db2.gz QULOQVVVXBTEGV-RCOVLWMOSA-N -1 1 301.293 1.373 20 0 DDADMM COc1ccc([C@@H](NC(=O)[C@@H](C)N(C)C)C(=O)[O-])cc1Cl ZINC000909796165 709625050 /nfs/dbraw/zinc/62/50/50/709625050.db2.gz NIBHCPAQBSIKOA-PRHODGIISA-N -1 1 314.769 1.541 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H](C(=O)[O-])[C@H]1C)N1CCc2ccccc2C1 ZINC000909806173 709630772 /nfs/dbraw/zinc/63/07/72/709630772.db2.gz LRMFEYATIVQMFC-XJKCOSOUSA-N -1 1 316.401 1.755 20 0 DDADMM CCn1cnc(C(=O)N2CCC[C@H]2c2ncc(OC)c(=O)[n-]2)c1 ZINC000889785942 709634871 /nfs/dbraw/zinc/63/48/71/709634871.db2.gz JPGSYXZKOORQSE-NSHDSACASA-N -1 1 317.349 1.385 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2ccncc2C)[n-]c1=O ZINC000889786051 709635629 /nfs/dbraw/zinc/63/56/29/709635629.db2.gz KAPXVQNFUMDMGP-LBPRGKRZSA-N -1 1 314.345 1.872 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Cc2occc2C)[n-]c1=O ZINC000889787484 709636133 /nfs/dbraw/zinc/63/61/33/709636133.db2.gz VCQGWQPLOXMOJD-NSHDSACASA-N -1 1 317.345 1.998 20 0 DDADMM CC[C@H](C)[C@@H](OC)C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889787998 709636140 /nfs/dbraw/zinc/63/61/40/709636140.db2.gz UQURRTNACYCWNA-DMDPSCGWSA-N -1 1 323.393 1.915 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2CCO[C@@H]2C)[n-]c1=O ZINC000889790476 709637238 /nfs/dbraw/zinc/63/72/38/709637238.db2.gz RMXZPPXRNSWJAM-VWYCJHECSA-N -1 1 307.350 1.279 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cnc(C)s2)[n-]c1=O ZINC000889794995 709639366 /nfs/dbraw/zinc/63/93/66/709639366.db2.gz UBADQFGGGNKMIR-SECBINFHSA-N -1 1 320.374 1.933 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2scnc2C)[n-]c1=O ZINC000889794984 709639679 /nfs/dbraw/zinc/63/96/79/709639679.db2.gz SYWHWJJGZZZPOM-VIFPVBQESA-N -1 1 320.374 1.933 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)N1CCC[C@@](C)(C(=O)[O-])C1 ZINC000909844031 709648589 /nfs/dbraw/zinc/64/85/89/709648589.db2.gz RSLOKMCBRXZUJV-MRXNPFEDSA-N -1 1 312.410 1.058 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)[C@H]2CCc3[nH]cnc3C2)s1 ZINC000909848730 709651070 /nfs/dbraw/zinc/65/10/70/709651070.db2.gz WEBXKOVLWXSNEC-QMMMGPOBSA-N -1 1 305.359 1.591 20 0 DDADMM O=C([O-])[C@@]1(F)CN(C(=O)c2c[nH]cc3ncnc2-3)CC12CCC2 ZINC000909942586 709699243 /nfs/dbraw/zinc/69/92/43/709699243.db2.gz XLDFBMIALHMBRP-HNNXBMFYSA-N -1 1 318.308 1.377 20 0 DDADMM CC1=C(C)C(=O)N(CCC(=O)Nc2cc([O-])c(F)cc2F)C1=O ZINC000909971525 709713693 /nfs/dbraw/zinc/71/36/93/709713693.db2.gz FCIBLFOELNBJFJ-UHFFFAOYSA-N -1 1 324.283 1.704 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@@H](C)CO1 ZINC000900709771 709722113 /nfs/dbraw/zinc/72/21/13/709722113.db2.gz JUILFBFOYRNIQP-PELKAZGASA-N -1 1 304.350 1.093 20 0 DDADMM CCOCCOCCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000900719582 709726902 /nfs/dbraw/zinc/72/69/02/709726902.db2.gz XZBZTBARVWRIMC-UHFFFAOYSA-N -1 1 319.361 1.427 20 0 DDADMM CCOCCOCCNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000900719582 709726904 /nfs/dbraw/zinc/72/69/04/709726904.db2.gz XZBZTBARVWRIMC-UHFFFAOYSA-N -1 1 319.361 1.427 20 0 DDADMM C[C@@H](C(=O)N[C@@H](Cc1cccc2ccccc21)C(=O)[O-])N(C)C ZINC000910071078 709763378 /nfs/dbraw/zinc/76/33/78/709763378.db2.gz UWHPWONRVAJFOY-LRDDRELGSA-N -1 1 314.385 1.902 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1[C@H](C(=O)[O-])CC[C@H]1c1ccccc1 ZINC000910097855 709771551 /nfs/dbraw/zinc/77/15/51/709771551.db2.gz BLMSBJRQMHSMHL-ZNMIVQPWSA-N -1 1 302.374 1.898 20 0 DDADMM Cc1ccc(/C=C/C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cn1 ZINC000910173830 709797773 /nfs/dbraw/zinc/79/77/73/709797773.db2.gz AZRGLDDIRNBPSN-VBROQKIQSA-N -1 1 317.389 1.411 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)[C@@]23CCO[C@@H]2CCCC3)CC1 ZINC000910181482 709800400 /nfs/dbraw/zinc/80/04/00/709800400.db2.gz UDTABBUFXKOKMU-DYVFJYSZSA-N -1 1 324.421 1.343 20 0 DDADMM COc1ccc(O)cc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910182661 709800923 /nfs/dbraw/zinc/80/09/23/709800923.db2.gz YVVVCENDCRCNJD-UHFFFAOYSA-N -1 1 322.361 1.022 20 0 DDADMM C[C@H](CC[S@](C)=O)NC(=O)c1ncc2ccccc2c1[O-] ZINC000900881862 709808297 /nfs/dbraw/zinc/80/82/97/709808297.db2.gz KGUHERMDSFRMBB-UZJPJQLHSA-N -1 1 306.387 1.827 20 0 DDADMM O=C([O-])C[C@H]1CN(C(=O)CCc2c[nH]nn2)c2ccccc21 ZINC000910362295 709909234 /nfs/dbraw/zinc/90/92/34/709909234.db2.gz HVYLLFNRKZJPKE-JTQLQIEISA-N -1 1 300.318 1.342 20 0 DDADMM O=C([O-])C[C@H]1CN(C(=O)CCc2cnn[nH]2)c2ccccc21 ZINC000910362295 709909236 /nfs/dbraw/zinc/90/92/36/709909236.db2.gz HVYLLFNRKZJPKE-JTQLQIEISA-N -1 1 300.318 1.342 20 0 DDADMM O=C(N[C@H]1CCN(c2cc(=O)[nH]cn2)C1)c1ccc([O-])c(F)c1 ZINC000890535456 709910636 /nfs/dbraw/zinc/91/06/36/709910636.db2.gz SBHAVZICQCALCQ-JTQLQIEISA-N -1 1 318.308 1.036 20 0 DDADMM Cc1nc(N2CCC(N3CCOC[C@@H]3C)CC2)ccc1C(=O)[O-] ZINC000910383691 709917432 /nfs/dbraw/zinc/91/74/32/709917432.db2.gz JKRIZQWXMMBMKC-LBPRGKRZSA-N -1 1 319.405 1.778 20 0 DDADMM O=C(NCC1(CO)CCCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000901083058 709917940 /nfs/dbraw/zinc/91/79/40/709917940.db2.gz ZQHHMCDZBXPRNR-UHFFFAOYSA-N -1 1 305.378 1.732 20 0 DDADMM Cc1n[nH]c(C(C)C)c1C(=O)NC[C@@H]1[C@H](C(=O)[O-])C1(F)F ZINC000910484922 709967193 /nfs/dbraw/zinc/96/71/93/709967193.db2.gz GNJKIKVOKTWASM-VXNVDRBHSA-N -1 1 301.293 1.537 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1(C)C ZINC000901332073 710012634 /nfs/dbraw/zinc/01/26/34/710012634.db2.gz UOQAZEIYXOTTIV-GGZOMVNGSA-N -1 1 305.378 1.849 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]1CNC(=O)c1ccc(CN2CCCCC2)o1 ZINC000910609266 710021286 /nfs/dbraw/zinc/02/12/86/710021286.db2.gz ISPSWMLOMFWFJX-DGCLKSJQSA-N -1 1 306.362 1.716 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1cncc([O-])c1)CC1CCCC1 ZINC000890959572 710043172 /nfs/dbraw/zinc/04/31/72/710043172.db2.gz XMCFXHFWJAUQPW-CYBMUJFWSA-N -1 1 306.362 1.887 20 0 DDADMM C[C@@H]1CN(Cc2c(C(F)(F)F)cnn2C)C[C@@]1(C)C(=O)[O-] ZINC000901542586 710069629 /nfs/dbraw/zinc/06/96/29/710069629.db2.gz NYZVNFIPIKGFGD-PRHODGIISA-N -1 1 305.300 1.982 20 0 DDADMM CC[C@@H](C)[C@H](CN(C)CC(=O)N1CCC(C(=O)[O-])CC1)OC ZINC000901626019 710100778 /nfs/dbraw/zinc/10/07/78/710100778.db2.gz IKVXYEZLPUNKFY-OCCSQVGLSA-N -1 1 314.426 1.303 20 0 DDADMM CCN(CC(=O)N[C@H](Cc1ccc(F)cc1)C(=O)[O-])C1CC1 ZINC000910906387 710114178 /nfs/dbraw/zinc/11/41/78/710114178.db2.gz CDTLWRFCEYWJDD-CQSZACIVSA-N -1 1 308.353 1.422 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)C[C@@H]1C(=O)[O-] ZINC000901698534 710123581 /nfs/dbraw/zinc/12/35/81/710123581.db2.gz HEPSYSLJQOHECI-KLTVKPSUSA-N -1 1 305.378 1.645 20 0 DDADMM CO[C@@H](C(=O)[O-])C(=O)NC[C@@H](c1ccc(Cl)cc1)N(C)C ZINC000901928035 710177020 /nfs/dbraw/zinc/17/70/20/710177020.db2.gz OGCQNXBHFVTECG-NWDGAFQWSA-N -1 1 314.769 1.159 20 0 DDADMM CO[C@@H](C(=O)[O-])C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000901928037 710177143 /nfs/dbraw/zinc/17/71/43/710177143.db2.gz OGCQNXBHFVTECG-VXGBXAGGSA-N -1 1 314.769 1.159 20 0 DDADMM COCc1nc(NC[C@@H](CO)Cc2ccc(F)cc2)cc(=O)[n-]1 ZINC000891788026 710295435 /nfs/dbraw/zinc/29/54/35/710295435.db2.gz YSHLQXUDAXBCNZ-LBPRGKRZSA-N -1 1 321.352 1.731 20 0 DDADMM COCc1nc(N2CC[C@@H](Cc3ccncc3)C2)cc(=O)[n-]1 ZINC000893124504 710558728 /nfs/dbraw/zinc/55/87/28/710558728.db2.gz YYGCFGAMSLZAEM-ZDUSSCGKSA-N -1 1 300.362 1.793 20 0 DDADMM CN(C)CC(=O)N[C@H](C(=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC000911062706 710617515 /nfs/dbraw/zinc/61/75/15/710617515.db2.gz XMKRKUHGKLGZJK-NSHDSACASA-N -1 1 304.268 1.509 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc3[nH]cnc3cc2F)CC[C@@H]1C(=O)[O-] ZINC000902177563 710650398 /nfs/dbraw/zinc/65/03/98/710650398.db2.gz LNMRWJBZYORLRH-BDAKNGLRSA-N -1 1 305.309 1.885 20 0 DDADMM Cc1ccc(OCCCNCc2cn(CC(=O)[O-])nn2)cc1 ZINC000902192425 710658542 /nfs/dbraw/zinc/65/85/42/710658542.db2.gz ZSNDLWJNXBKXFP-UHFFFAOYSA-N -1 1 304.350 1.230 20 0 DDADMM O=C([O-])C[C@H](NC(=O)Cc1n[nH]c2c1CCCC2)C(F)(F)F ZINC000911163058 710663781 /nfs/dbraw/zinc/66/37/81/710663781.db2.gz VYALJNLICKSOAW-JTQLQIEISA-N -1 1 319.283 1.353 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@H](CC(=O)[O-])C(F)(F)F)c2C1 ZINC000911166304 710664888 /nfs/dbraw/zinc/66/48/88/710664888.db2.gz DVKNAMCALJVIRO-IMTBSYHQSA-N -1 1 319.283 1.670 20 0 DDADMM CCC1(CC)[C@H](NCc2cn(CC(=O)[O-])nn2)[C@@H](C)[C@H]1OC ZINC000902429122 710751057 /nfs/dbraw/zinc/75/10/57/710751057.db2.gz SZWJIEGZDNUMQJ-LERXQTSPSA-N -1 1 310.398 1.292 20 0 DDADMM CC(C)[C@H]1CN(C(=O)[C@@H]2CO[C@@H](CCC(=O)[O-])C2)CCN1C ZINC000902491581 710769692 /nfs/dbraw/zinc/76/96/92/710769692.db2.gz MOWFGHNXNSMYOC-MELADBBJSA-N -1 1 312.410 1.055 20 0 DDADMM O=C([O-])C12CCC(C(=O)N[C@H]3CCc4nc[nH]c4C3)(CC1)CC2 ZINC000911392648 710776179 /nfs/dbraw/zinc/77/61/79/710776179.db2.gz TYYPDDORSCVLSQ-OSSATUEASA-N -1 1 317.389 1.808 20 0 DDADMM O=C([O-])Cc1cccc(C(=O)N2CCC(c3nc[nH]n3)CC2)c1 ZINC000911439840 710799465 /nfs/dbraw/zinc/79/94/65/710799465.db2.gz ZNGXWUYYCLFTET-UHFFFAOYSA-N -1 1 314.345 1.452 20 0 DDADMM C[C@]1(c2ccccc2)C[C@H]1NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000911446860 710803112 /nfs/dbraw/zinc/80/31/12/710803112.db2.gz SRJOOVPUPJUCAC-DDUZABMNSA-N -1 1 316.401 1.629 20 0 DDADMM COCCN(C(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000911452188 710805085 /nfs/dbraw/zinc/80/50/85/710805085.db2.gz LBAQGKYXLVZQSC-AWEZNQCLSA-N -1 1 320.389 1.463 20 0 DDADMM CC1(C(N)=O)CN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000911483768 710815904 /nfs/dbraw/zinc/81/59/04/710815904.db2.gz DIVNWMXMXODVSR-UHFFFAOYSA-N -1 1 303.293 1.027 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)Cc1cccc(C(=O)[O-])c1 ZINC000911575015 710862012 /nfs/dbraw/zinc/86/20/12/710862012.db2.gz XFBOHYDLZWKJPD-OAHLLOKOSA-N -1 1 304.390 1.870 20 0 DDADMM CN(CC(=O)N[C@H](c1nc(=O)o[n-]1)C(C)(C)C)CC(C)(C)C ZINC000911589203 710870100 /nfs/dbraw/zinc/87/01/00/710870100.db2.gz QMGFBNVLUFIIIX-LLVKDONJSA-N -1 1 312.414 1.544 20 0 DDADMM CCCN(C(=O)CN(C)CCc1ccccc1)[C@@H](C)C(=O)[O-] ZINC000911713234 710944594 /nfs/dbraw/zinc/94/45/94/710944594.db2.gz JOEIHSFYDBRESD-AWEZNQCLSA-N -1 1 306.406 1.873 20 0 DDADMM O=S(=O)([N-]c1nnc(C(F)F)[nH]1)c1ccc2c(c1)COC2 ZINC000903150595 711048966 /nfs/dbraw/zinc/04/89/66/711048966.db2.gz UMPASZBYEVKKFR-UHFFFAOYSA-N -1 1 316.289 1.573 20 0 DDADMM Cn1cc([C@H]2CN(C(=O)c3c(F)ccc([O-])c3F)CCN2)cn1 ZINC000913461912 713226739 /nfs/dbraw/zinc/22/67/39/713226739.db2.gz WCQBVVDXOGOMSB-LLVKDONJSA-N -1 1 322.315 1.191 20 0 DDADMM COc1ccc(C(=O)[O-])cc1CN1CCC[C@@H](n2ccnn2)C1 ZINC000903597160 711218153 /nfs/dbraw/zinc/21/81/53/711218153.db2.gz KBPJWEORUBZAPA-CQSZACIVSA-N -1 1 316.361 1.822 20 0 DDADMM CC1(C)CCC(CC(=O)N2CCOC[C@H]2c2nn[n-]n2)CC1 ZINC000913494702 713237389 /nfs/dbraw/zinc/23/73/89/713237389.db2.gz CDWPQHSBXIJPOK-LBPRGKRZSA-N -1 1 307.398 1.706 20 0 DDADMM O=C(c1cc2sccc2s1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913494920 713237497 /nfs/dbraw/zinc/23/74/97/713237497.db2.gz ONVMERILNBAMLA-ZETCQYMHSA-N -1 1 321.387 1.690 20 0 DDADMM CC[C@H](OC1CCCCC1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495236 713237825 /nfs/dbraw/zinc/23/78/25/713237825.db2.gz IWGZGFGUKQRZCL-STQMWFEESA-N -1 1 323.397 1.228 20 0 DDADMM C[C@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1cccc(Cl)c1 ZINC000913496269 713238579 /nfs/dbraw/zinc/23/85/79/713238579.db2.gz WWZKAYFKATYIBM-JOYOIKCWSA-N -1 1 321.768 1.557 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)NCc1ccccc1 ZINC000903712595 711251008 /nfs/dbraw/zinc/25/10/08/711251008.db2.gz ZOOSIVSLNBHGNH-ZDUSSCGKSA-N -1 1 308.378 1.114 20 0 DDADMM CCc1nc(CN2CCOc3ccccc3[C@@H]2C(=O)[O-])n[nH]1 ZINC000903931912 711339102 /nfs/dbraw/zinc/33/91/02/711339102.db2.gz YRKKTHWYBOXYQE-CQSZACIVSA-N -1 1 302.334 1.387 20 0 DDADMM CSC[C@@H](NCc1cnn(-c2cc(C)cc(C)c2)n1)C(=O)[O-] ZINC000904041045 711368910 /nfs/dbraw/zinc/36/89/10/711368910.db2.gz YKXCYQVACPFPSV-CQSZACIVSA-N -1 1 320.418 1.790 20 0 DDADMM O=C(CN1CCCC1)N[C@@H](Cc1ccccc1)c1n[nH]c(=O)[n-]1 ZINC000913558992 713259897 /nfs/dbraw/zinc/25/98/97/713259897.db2.gz BZPCXADKIAUTMB-ZDUSSCGKSA-N -1 1 315.377 1.006 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1Cc2c[nH]nc2[C@@H](C)C1 ZINC000913591747 713268074 /nfs/dbraw/zinc/26/80/74/713268074.db2.gz UOSGGOHXWFKVOH-ZETCQYMHSA-N -1 1 319.390 1.695 20 0 DDADMM O=C(CCC(=O)N1CCC(c2nn[n-]n2)CC1)c1cccs1 ZINC000913742195 713291625 /nfs/dbraw/zinc/29/16/25/713291625.db2.gz MTRNNWWUTRNVPZ-UHFFFAOYSA-N -1 1 319.390 1.630 20 0 DDADMM Cc1nn(C(C)(C)C)cc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744522 713292721 /nfs/dbraw/zinc/29/27/21/713292721.db2.gz RZZBRULEJVNTFM-UHFFFAOYSA-N -1 1 317.397 1.479 20 0 DDADMM C[C@H]1C(=O)CC[C@@H](C(=O)N2CCC(c3nn[n-]n3)CC2)C1(C)C ZINC000913744170 713292886 /nfs/dbraw/zinc/29/28/86/713292886.db2.gz CNWRGCQGLBFPGU-JQWIXIFHSA-N -1 1 319.409 1.547 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCC(c3nn[n-]n3)CC2)c(C)n1 ZINC000913745414 713293672 /nfs/dbraw/zinc/29/36/72/713293672.db2.gz CXWUGNJZJIFKGR-UHFFFAOYSA-N -1 1 300.366 1.540 20 0 DDADMM CC(C)(C(=O)N1CCC(c2nn[n-]n2)CC1)c1nccs1 ZINC000913746208 713293861 /nfs/dbraw/zinc/29/38/61/713293861.db2.gz XBMZTZLEJXMESO-UHFFFAOYSA-N -1 1 306.395 1.340 20 0 DDADMM O=C(c1scnc1C(F)F)N1CCC(c2nn[n-]n2)CC1 ZINC000913746580 713294262 /nfs/dbraw/zinc/29/42/62/713294262.db2.gz AWLFVDLMIPGPDU-UHFFFAOYSA-N -1 1 314.321 1.614 20 0 DDADMM CCCS(=O)(=O)CCN[C@@H](C(=O)[O-])c1cc(C)cc(C)c1 ZINC000905391068 712025473 /nfs/dbraw/zinc/02/54/73/712025473.db2.gz MGDWPJHSUMXIJN-CQSZACIVSA-N -1 1 313.419 1.844 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@@H]1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000913780324 713299554 /nfs/dbraw/zinc/29/95/54/713299554.db2.gz UEVNLLFBVQGOMK-BSTOTGJRSA-N -1 1 322.327 1.327 20 0 DDADMM Cc1ccc2nc(CN[C@@](C)(Cn3cccn3)C(=O)[O-])cn2c1 ZINC000905808349 712151742 /nfs/dbraw/zinc/15/17/42/712151742.db2.gz QDUZVGFFYWFQKN-INIZCTEOSA-N -1 1 313.361 1.472 20 0 DDADMM C[C@H]1C[C@@H](CCNC(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000907334082 712545917 /nfs/dbraw/zinc/54/59/17/712545917.db2.gz DJDBOSQNDNPKMO-QWRGUYRKSA-N -1 1 305.378 1.995 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@]1(C)OCCc2ccccc21)c1nn[n-]n1 ZINC000907350194 712549987 /nfs/dbraw/zinc/54/99/87/712549987.db2.gz OACPLVAIFUUDPL-BZNIZROVSA-N -1 1 315.377 1.250 20 0 DDADMM O=C(c1cccc2c1CCC2)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907474482 712581596 /nfs/dbraw/zinc/58/15/96/712581596.db2.gz LCLMLUHLTUCRSP-CYBMUJFWSA-N -1 1 315.402 1.619 20 0 DDADMM O=C(C=C1CCSCC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477521 712582787 /nfs/dbraw/zinc/58/27/87/712582787.db2.gz FGEOGVAXLVYIQD-JTQLQIEISA-N -1 1 311.436 1.270 20 0 DDADMM CC(=CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccco1 ZINC000907479394 712583266 /nfs/dbraw/zinc/58/32/66/712583266.db2.gz CWSWFRXAJIZNEU-UJICNMFASA-N -1 1 305.363 1.513 20 0 DDADMM CC(=CC(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccco1 ZINC000907479391 712583359 /nfs/dbraw/zinc/58/33/59/712583359.db2.gz CWSWFRXAJIZNEU-RNKPRXRFSA-N -1 1 305.363 1.513 20 0 DDADMM O=C(Cc1cccnc1Cl)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480192 712583577 /nfs/dbraw/zinc/58/35/77/712583577.db2.gz MGVYJNZOHIZACM-VIFPVBQESA-N -1 1 324.797 1.107 20 0 DDADMM O=C(c1cncc(Cl)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481972 712584095 /nfs/dbraw/zinc/58/40/95/712584095.db2.gz ZYQQWMSIBRZQLM-VIFPVBQESA-N -1 1 310.770 1.178 20 0 DDADMM C[C@@H](O)C[C@@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907854364 712636110 /nfs/dbraw/zinc/63/61/10/712636110.db2.gz DYNMCJNKUWZFOF-PWSUYJOCSA-N -1 1 305.378 1.825 20 0 DDADMM O=C(C1CC2(C1)CCCCC2)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907940049 712652106 /nfs/dbraw/zinc/65/21/06/712652106.db2.gz IOEYIYKXRHVNHJ-UHFFFAOYSA-N -1 1 319.409 1.370 20 0 DDADMM O=C([O-])[C@H]1C[C@@H]2C[C@H](NC(=O)c3cc(F)cc4nc[nH]c43)[C@@H]2C1 ZINC000907940166 712652142 /nfs/dbraw/zinc/65/21/42/712652142.db2.gz OBOQLYSUWMYYCQ-CCCLYZFWSA-N -1 1 317.320 1.931 20 0 DDADMM CCC[C@H]1CCC[C@H]1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907941874 712652358 /nfs/dbraw/zinc/65/23/58/712652358.db2.gz SAGMQLQFQIZADE-NWDGAFQWSA-N -1 1 307.398 1.226 20 0 DDADMM CC(C)(CNC(=O)c1c([O-])cnc2c(F)cccc21)OCCO ZINC000908235594 712716329 /nfs/dbraw/zinc/71/63/29/712716329.db2.gz ZGZYQDZUOGVVAW-UHFFFAOYSA-N -1 1 322.336 1.597 20 0 DDADMM O=C([O-])C[C@H](Cc1ccccc1)NC(=O)[C@@H]1CCCN1C1CC1 ZINC000908243798 712718499 /nfs/dbraw/zinc/71/84/99/712718499.db2.gz WJZZSRNRQVMQAJ-HOCLYGCPSA-N -1 1 316.401 1.815 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)[C@H]1CCC(=O)O1 ZINC000908716625 712840396 /nfs/dbraw/zinc/84/03/96/712840396.db2.gz JMRVJAIBIZHJRL-MRVPVSSYSA-N -1 1 300.108 1.799 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@@H]2CCc3c2cccc3F)C1 ZINC000908754394 712848519 /nfs/dbraw/zinc/84/85/19/712848519.db2.gz UEIUTQNLPPBFFD-IAQYHMDHSA-N -1 1 320.364 1.726 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCCc3sccc3C2)C1 ZINC000908792845 712856089 /nfs/dbraw/zinc/85/60/89/712856089.db2.gz ZARSJXKVQROANP-ZDUSSCGKSA-N -1 1 322.430 1.820 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N(CCC(=O)[O-])CC2CC2)C1 ZINC000908875441 712876825 /nfs/dbraw/zinc/87/68/25/712876825.db2.gz GVJHNMFEBSAUTR-GWCFXTLKSA-N -1 1 305.378 1.789 20 0 DDADMM CC[C@@](NC(=O)CCc1cnc[nH]1)(C(=O)[O-])c1ccccc1 ZINC000908943596 712893360 /nfs/dbraw/zinc/89/33/60/712893360.db2.gz NCNCGDPSIKLHLB-INIZCTEOSA-N -1 1 301.346 1.849 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC1CCOCC1 ZINC000914263636 713367274 /nfs/dbraw/zinc/36/72/74/713367274.db2.gz IWAFCXJKRXCBFZ-UHFFFAOYSA-N -1 1 300.305 1.691 20 0 DDADMM C/C=C\C[C@@H]([N-]S(=O)(=O)CC1(F)CCC1)C(=O)OCC ZINC000914374356 713385557 /nfs/dbraw/zinc/38/55/57/713385557.db2.gz BEKHFVNQXKFVOD-USEMIUTHSA-N -1 1 307.387 1.696 20 0 DDADMM CCCNC(=O)COC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000917945529 713520456 /nfs/dbraw/zinc/52/04/56/713520456.db2.gz SNMBZIDHMSKNPA-UHFFFAOYSA-N -1 1 303.318 1.261 20 0 DDADMM CCCCOCCOC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000917974302 713521808 /nfs/dbraw/zinc/52/18/08/713521808.db2.gz KFPFERWZVACLFE-UHFFFAOYSA-N -1 1 305.352 1.161 20 0 DDADMM COC1(CS(=O)(=O)[N-][C@H]2CCCCC2(OC)OC)CCC1 ZINC000918060957 713527585 /nfs/dbraw/zinc/52/75/85/713527585.db2.gz IWGRDHLZTSTAOL-LBPRGKRZSA-N -1 1 321.439 1.407 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1CCCCC1(OC)OC)C(C)C ZINC000918061169 713527785 /nfs/dbraw/zinc/52/77/85/713527785.db2.gz NCXYOZNGWABPPF-CHWSQXEVSA-N -1 1 323.455 1.509 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@H]1c2ccccc2OC[C@H]1F)OC ZINC000921317745 713731885 /nfs/dbraw/zinc/73/18/85/713731885.db2.gz HSIZWURMRAFGAA-QKCSRTOESA-N -1 1 317.382 1.803 20 0 DDADMM CN1CC[C@@H]1C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000921413973 713762451 /nfs/dbraw/zinc/76/24/51/713762451.db2.gz YUFAGAPZVPVPKH-SSDOTTSWSA-N -1 1 309.185 1.025 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@@H]2COC[C@@H]2O1)c1sccc1Cl ZINC000921610769 713821094 /nfs/dbraw/zinc/82/10/94/713821094.db2.gz CWLDKKFJEACWJI-WEDXCCLWSA-N -1 1 323.823 1.484 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@@H]2COC[C@@H]2O1)c1ccc(C(F)F)o1 ZINC000921610506 713821087 /nfs/dbraw/zinc/82/10/87/713821087.db2.gz AMNMXKFIKFTRJW-WEDXCCLWSA-N -1 1 323.317 1.299 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc2c1OCC2 ZINC000921892808 713899196 /nfs/dbraw/zinc/89/91/96/713899196.db2.gz SHPFMLWSNXEFIN-LJQANCHMSA-N -1 1 318.420 1.074 20 0 DDADMM O=C(OC[C@@H]1CCC2(COC2)O1)c1c([O-])cc(F)cc1F ZINC000922393497 714039851 /nfs/dbraw/zinc/03/98/51/714039851.db2.gz BVEAFTIJBAAEQS-VIFPVBQESA-N -1 1 300.257 1.775 20 0 DDADMM CCC[C@H](O)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932043632 714244837 /nfs/dbraw/zinc/24/48/37/714244837.db2.gz ZNHSDDYGKBFXQG-ZDUSSCGKSA-N -1 1 304.350 1.860 20 0 DDADMM CC(C)C[C@](C)(CNC(=O)CN(C)[C@H]1CCSC1)C(=O)[O-] ZINC000923191593 714266585 /nfs/dbraw/zinc/26/65/85/714266585.db2.gz YPNSDHBZBGQNQS-SWLSCSKDSA-N -1 1 316.467 1.677 20 0 DDADMM O=C(N[C@H](CO)C[C@H]1CCCO1)c1ncc2ccccc2c1[O-] ZINC000923739746 714461608 /nfs/dbraw/zinc/46/16/08/714461608.db2.gz LZLJLSIWSQXBKZ-QWHCGFSZSA-N -1 1 316.357 1.600 20 0 DDADMM CO[C@@H](C(=O)Nc1nnn[n-]1)c1ccccc1C(F)(F)F ZINC000933072469 714512318 /nfs/dbraw/zinc/51/23/18/714512318.db2.gz IDTVDHZDMROSSV-MRVPVSSYSA-N -1 1 301.228 1.545 20 0 DDADMM CO[C@@H](C(=O)Nc1nn[n-]n1)c1ccccc1C(F)(F)F ZINC000933072469 714512320 /nfs/dbraw/zinc/51/23/20/714512320.db2.gz IDTVDHZDMROSSV-MRVPVSSYSA-N -1 1 301.228 1.545 20 0 DDADMM COc1cnc([C@@H]2CCCN2CCO[C@H]2CC2(F)F)[n-]c1=O ZINC000934270869 714781515 /nfs/dbraw/zinc/78/15/15/714781515.db2.gz WKEXBRRTAJOHEJ-ONGXEEELSA-N -1 1 315.320 1.752 20 0 DDADMM CN1C[C@@H]2CC[C@H](CC1=O)N2C(=O)c1cc(Cl)ccc1[O-] ZINC000925741287 714924604 /nfs/dbraw/zinc/92/46/04/714924604.db2.gz OYBTZVJALLCYBA-MNOVXSKESA-N -1 1 308.765 1.881 20 0 DDADMM C[C@H](CO[N-]C(=O)[C@@H](C)[C@@H]1CCCO1)NC(=O)OC(C)(C)C ZINC000935159836 714991239 /nfs/dbraw/zinc/99/12/39/714991239.db2.gz KDIMTVFGLSPVHB-WOPDTQHZSA-N -1 1 316.398 1.763 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000936680769 715230744 /nfs/dbraw/zinc/23/07/44/715230744.db2.gz ZFSLYIJQWURPJX-NWDGAFQWSA-N -1 1 305.378 1.506 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000937065735 715272584 /nfs/dbraw/zinc/27/25/84/715272584.db2.gz CEHTZMFXLWMABQ-KBVBDRTLSA-N -1 1 303.362 1.426 20 0 DDADMM CC1CC(C(=O)N(C)[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000937210878 715293002 /nfs/dbraw/zinc/29/30/02/715293002.db2.gz GFEKBVLWMACMLU-BPCQOVAHSA-N -1 1 317.389 1.506 20 0 DDADMM CN(C(=O)C1(C)CCC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216568 715293529 /nfs/dbraw/zinc/29/35/29/715293529.db2.gz GXXHORHZBUXFTJ-LBPRGKRZSA-N -1 1 317.389 1.650 20 0 DDADMM CC[C@@H](SC)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937860110 715616063 /nfs/dbraw/zinc/61/60/63/715616063.db2.gz CWDMSJMNQNWROB-CMPLNLGQSA-N -1 1 323.418 1.260 20 0 DDADMM C[C@]1(NC(=O)C2=CCCC2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956818216 715618577 /nfs/dbraw/zinc/61/85/77/715618577.db2.gz UIGRLMZAQOIFIH-KRWDZBQOSA-N -1 1 315.373 1.618 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937863531 715618669 /nfs/dbraw/zinc/61/86/69/715618669.db2.gz IVPOAKVEWMHJMH-CMPLNLGQSA-N -1 1 309.341 1.112 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955178782 715658496 /nfs/dbraw/zinc/65/84/96/715658496.db2.gz UOKUJYQGDGPUDA-STQMWFEESA-N -1 1 317.389 1.362 20 0 DDADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)C2CCC2)CC1 ZINC000957662638 715991636 /nfs/dbraw/zinc/99/16/36/715991636.db2.gz PRLWRDJFFIWHMT-UHFFFAOYSA-N -1 1 321.425 1.371 20 0 DDADMM CC(=O)NC[C@H]1CC[C@H](CNC(=O)c2ncccc2[O-])CC1 ZINC000959751521 716259905 /nfs/dbraw/zinc/25/99/05/716259905.db2.gz QDLNWITYLKTWOU-JOCQHMNTSA-N -1 1 305.378 1.460 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2CC23CC3)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000959759406 716263306 /nfs/dbraw/zinc/26/33/06/716263306.db2.gz OWAVJEWHNOTXRZ-IJLUTSLNSA-N -1 1 315.373 1.164 20 0 DDADMM Cc1coc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC000960102779 716416993 /nfs/dbraw/zinc/41/69/93/716416993.db2.gz RZXPXJPEUSOYBP-NSHDSACASA-N -1 1 319.365 1.196 20 0 DDADMM O=C(CC1CCCC1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940125235 716637146 /nfs/dbraw/zinc/63/71/46/716637146.db2.gz GKEPEOMTRGOGCK-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H]([C@H]2CC[N@@H+](CCF)C2)C1 ZINC000961144305 716831993 /nfs/dbraw/zinc/83/19/93/716831993.db2.gz KBNQDYBLJBYQAX-KGLIPLIRSA-N -1 1 321.396 1.931 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2(C)CC2)C1 ZINC000959181631 716894060 /nfs/dbraw/zinc/89/40/60/716894060.db2.gz GGPNOEHFEDDKJE-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2CC2)C1 ZINC000942757630 717857760 /nfs/dbraw/zinc/85/77/60/717857760.db2.gz IAEFOCJCYLQOGC-GFCCVEGCSA-N -1 1 303.362 1.260 20 0 DDADMM CC(C)C(=O)N1CCC2(C[C@H]2NC(=O)c2ncccc2[O-])CC1 ZINC000963686433 717880020 /nfs/dbraw/zinc/88/00/20/717880020.db2.gz XYYAQMNNSJUDCO-CYBMUJFWSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(CC1CC1)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943214981 718049846 /nfs/dbraw/zinc/04/98/46/718049846.db2.gz UKYAWAZUTFIGQB-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1CC=CC1 ZINC000966352035 718523872 /nfs/dbraw/zinc/52/38/72/718523872.db2.gz UGKQDHFYWXDQQA-YPMHNXCESA-N -1 1 315.373 1.330 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CC(C)(C)C)C1 ZINC000967900545 719079504 /nfs/dbraw/zinc/07/95/04/719079504.db2.gz NDSUXBWUMDQDNE-UHFFFAOYSA-N -1 1 319.405 1.896 20 0 DDADMM C[C@@H]1CN(C(=O)C2CC=CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948068417 719348651 /nfs/dbraw/zinc/34/86/51/719348651.db2.gz KQMLUEKKXHNPAX-DGCLKSJQSA-N -1 1 315.373 1.330 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000948708647 719661065 /nfs/dbraw/zinc/66/10/65/719661065.db2.gz HJUMGZSWTGNGOT-YPMHNXCESA-N -1 1 317.389 1.412 20 0 DDADMM Cc1oncc1C[NH+]1CC([C@H](C)NC(=O)c2ccccc2O)C1 ZINC000969326409 720060377 /nfs/dbraw/zinc/06/03/77/720060377.db2.gz HACLCZDABBSGMW-NSHDSACASA-N -1 1 315.373 1.939 20 0 DDADMM CCCC(=O)N1CC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000950037144 720462426 /nfs/dbraw/zinc/46/24/26/720462426.db2.gz FFINXWRPAYETQB-NSHDSACASA-N -1 1 318.377 1.190 20 0 DDADMM CC1CC(C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000950539216 720675276 /nfs/dbraw/zinc/67/52/76/720675276.db2.gz KHKHWKUKGPGVGK-WXRRBKDZSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(CC(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000951390529 721016666 /nfs/dbraw/zinc/01/66/66/721016666.db2.gz ISYSRHAJDKOBIM-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM CC(=O)N1CCC(N(C)C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000953945541 721682424 /nfs/dbraw/zinc/68/24/24/721682424.db2.gz HOTVNMBJMRUYIJ-UHFFFAOYSA-N -1 1 318.377 1.143 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2(C3CC3)CC2)C1 ZINC000954127966 721725084 /nfs/dbraw/zinc/72/50/84/721725084.db2.gz NAWYUNCEMIBQFA-UHFFFAOYSA-N -1 1 315.373 1.260 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1ccc(Cl)nc1F)C[C@H](C)O ZINC000692850887 738534874 /nfs/dbraw/zinc/53/48/74/738534874.db2.gz ZEFMHHDVIGVMRZ-SFYZADRCSA-N -1 1 310.778 1.559 20 0 DDADMM Cc1cc(C(=O)NCC[C@H](C)NC(=O)c2ncccc2[O-])on1 ZINC001075583994 738748649 /nfs/dbraw/zinc/74/86/49/738748649.db2.gz BXDFLTDYHGHBLV-VIFPVBQESA-N -1 1 318.333 1.022 20 0 DDADMM COc1ccc(CO)cc1Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001211519339 734417738 /nfs/dbraw/zinc/41/77/38/734417738.db2.gz NGZPWLNOZSFQIQ-UHFFFAOYSA-N -1 1 319.321 1.891 20 0 DDADMM COC[C@@H]1CCN(c2nnc(-c3cc(Cl)ncc3[O-])n2C)C1 ZINC001121338608 782462828 /nfs/dbraw/zinc/46/28/28/782462828.db2.gz XXQBTIIJBPRXEZ-SECBINFHSA-N -1 1 323.784 1.709 20 0 DDADMM C[C@H](C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001025000152 736223282 /nfs/dbraw/zinc/22/32/82/736223282.db2.gz INCUXSBQQHNXPU-NEPJUHHUSA-N -1 1 323.441 1.663 20 0 DDADMM C[C@H](N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)c1csnn1 ZINC001020095995 736989786 /nfs/dbraw/zinc/98/97/86/736989786.db2.gz IKDQHVCMGCTZOX-GUBZILKMSA-N -1 1 319.390 1.250 20 0 DDADMM CC[C@@]1(C)C[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974055926 737353072 /nfs/dbraw/zinc/35/30/72/737353072.db2.gz DFTSZPSSSUOZCJ-DRAKQNMHSA-N -1 1 317.389 1.600 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974055866 737353151 /nfs/dbraw/zinc/35/31/51/737353151.db2.gz AZSQWLMFFJCQSV-YVECIDJPSA-N -1 1 317.389 1.456 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCOC1)c1ccc(Cl)nc1F ZINC000692889245 738959359 /nfs/dbraw/zinc/95/93/59/738959359.db2.gz TZGUEFCQZPATLQ-QMMMGPOBSA-N -1 1 308.762 1.579 20 0 DDADMM CO[C@H]([C@@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CC1 ZINC000692894607 738964929 /nfs/dbraw/zinc/96/49/29/738964929.db2.gz QODJDKLCFBWAOZ-RDDDGLTNSA-N -1 1 322.789 1.966 20 0 DDADMM COC(=O)C[C@H]1CN(Cc2cc(F)c([O-])c(F)c2)CCO1 ZINC000093458790 741918193 /nfs/dbraw/zinc/91/81/93/741918193.db2.gz BJRDGSUZHFJEGK-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)/C=C/C2CC2)C1 ZINC001017088944 751461118 /nfs/dbraw/zinc/46/11/18/751461118.db2.gz VOMRRCSRNBHSJL-KTRBRXNASA-N -1 1 319.409 1.147 20 0 DDADMM CSCC(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059099848 739944273 /nfs/dbraw/zinc/94/42/73/739944273.db2.gz ATXGUQDLXRXQNG-LLVKDONJSA-N -1 1 323.418 1.119 20 0 DDADMM CC[C@H](C)C(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514577 741088141 /nfs/dbraw/zinc/08/81/41/741088141.db2.gz GJSDQYBKFVLNIU-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C)=CC(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059515264 741089179 /nfs/dbraw/zinc/08/91/79/741089179.db2.gz WDLZGJWLJBGMHB-ZDUSSCGKSA-N -1 1 317.389 1.722 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@]2(C)C=CCC2)C1 ZINC001029806804 741327492 /nfs/dbraw/zinc/32/74/92/741327492.db2.gz RRVPMQGDKBDAIZ-BLLLJJGKSA-N -1 1 319.409 1.147 20 0 DDADMM O=C(CC1CCC1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088288056 741331418 /nfs/dbraw/zinc/33/14/18/741331418.db2.gz LIMUOOYTQJOZKQ-ZDUSSCGKSA-N -1 1 321.425 1.561 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001029990314 741672155 /nfs/dbraw/zinc/67/21/55/741672155.db2.gz JMBRYZDDEGDIGO-DGCLKSJQSA-N -1 1 321.425 1.227 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(Cc3ccccn3)[C@@H]2C)c1[O-] ZINC001088525673 741698779 /nfs/dbraw/zinc/69/87/79/741698779.db2.gz IUAVKELIBJQJQL-YPMHNXCESA-N -1 1 315.377 1.212 20 0 DDADMM C[C@]1(CO)CCN(Cc2ccc(Cl)cc2C(=O)[O-])C[C@H]1O ZINC001142646940 742480120 /nfs/dbraw/zinc/48/01/20/742480120.db2.gz JSTSWWDMDBDLLD-UKRRQHHQSA-N -1 1 313.781 1.603 20 0 DDADMM CO[C@H](C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-])C(C)C ZINC001076245166 742624440 /nfs/dbraw/zinc/62/44/40/742624440.db2.gz QSPDDJJRSGDRLM-FZMZJTMJSA-N -1 1 323.393 1.083 20 0 DDADMM C[C@@H](CCNC(=O)[C@@H]1CC1(F)F)NC(=O)c1ncccc1[O-] ZINC001076391786 742705824 /nfs/dbraw/zinc/70/58/24/742705824.db2.gz VSIMHZZKQHJYFK-IUCAKERBSA-N -1 1 313.304 1.067 20 0 DDADMM O=C(Cc1c[nH]c2ccc(O)cc12)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001180663362 742884683 /nfs/dbraw/zinc/88/46/83/742884683.db2.gz RSZOIBDVLDKJTF-UHFFFAOYSA-N -1 1 324.300 1.427 20 0 DDADMM O=C(Cc1ccc2nccnc2c1)NCCCC[P@@](=O)([O-])O ZINC001181024652 743027165 /nfs/dbraw/zinc/02/71/65/743027165.db2.gz NRRVZXLPESDTJF-UHFFFAOYSA-N -1 1 323.289 1.246 20 0 DDADMM C[C@@H](CCNC(=O)c1cc[nH]c1)NC(=O)c1ncccc1[O-] ZINC001076873007 743054066 /nfs/dbraw/zinc/05/40/66/743054066.db2.gz CAEOZHDNUPULHX-JTQLQIEISA-N -1 1 302.334 1.054 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001181303231 743150676 /nfs/dbraw/zinc/15/06/76/743150676.db2.gz HIBJQEDMYDVKKD-ZCFIWIBFSA-N -1 1 313.142 1.253 20 0 DDADMM O=C(c1ccccc1F)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998419515 751824780 /nfs/dbraw/zinc/82/47/80/751824780.db2.gz CDYZZOXYCAJXNM-JTQLQIEISA-N -1 1 319.340 1.044 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C(F)(F)c3ccc(F)cc3)c1-2 ZINC001182885352 743745787 /nfs/dbraw/zinc/74/57/87/743745787.db2.gz QKZIPSZJDVCNMJ-UHFFFAOYSA-N -1 1 321.262 1.904 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@H]2CCCCN2C(C)=O)[n-]1 ZINC001184125035 743971198 /nfs/dbraw/zinc/97/11/98/743971198.db2.gz YUAQHWZOLQXLDW-LLVKDONJSA-N -1 1 322.365 1.316 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@H]2CCCCN2C(C)=O)n1 ZINC001184125035 743971201 /nfs/dbraw/zinc/97/12/01/743971201.db2.gz YUAQHWZOLQXLDW-LLVKDONJSA-N -1 1 322.365 1.316 20 0 DDADMM Cc1nnc([C@@H](C)N2CC(NC(=O)c3ncccc3[O-])C2)s1 ZINC001030241324 743976790 /nfs/dbraw/zinc/97/67/90/743976790.db2.gz DXTYKQYXOHRETK-MRVPVSSYSA-N -1 1 319.390 1.122 20 0 DDADMM CC[C@@H](C)C(=O)C(=O)Nc1c(C(=O)OC)c[n-]c1C(=O)OC ZINC001184316812 744013501 /nfs/dbraw/zinc/01/35/01/744013501.db2.gz NKEMUNABTBKTDJ-SSDOTTSWSA-N -1 1 310.306 1.142 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)NCc1ccc(F)cc1 ZINC001185441077 744223604 /nfs/dbraw/zinc/22/36/04/744223604.db2.gz LGMFEBKBOILNAI-UHFFFAOYSA-N -1 1 307.241 1.747 20 0 DDADMM COc1nccc(F)c1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001185544316 744252409 /nfs/dbraw/zinc/25/24/09/744252409.db2.gz JUBKPUROOXBGKQ-UHFFFAOYSA-N -1 1 309.213 1.081 20 0 DDADMM O=C(Nc1n[nH]c2nccnc12)c1cc(F)c(F)c([O-])c1F ZINC001186770312 744447138 /nfs/dbraw/zinc/44/71/38/744447138.db2.gz FLQKSMDPJBZBSO-UHFFFAOYSA-N -1 1 309.207 1.680 20 0 DDADMM Cc1c(O)cccc1[N-]S(=O)(=O)c1ccc2c(c1)CC(=O)N2 ZINC001186932025 744467920 /nfs/dbraw/zinc/46/79/20/744467920.db2.gz AVFZVSFEXNKVRM-UHFFFAOYSA-N -1 1 318.354 1.996 20 0 DDADMM COC(=O)[C@H]1CC[C@H](C[N-]S(=O)(=O)c2nccs2)CC1 ZINC001187918301 744631405 /nfs/dbraw/zinc/63/14/05/744631405.db2.gz AJUPKPOWDSMNFQ-MGCOHNPYSA-N -1 1 318.420 1.401 20 0 DDADMM CC(=O)Nc1cc(NC(=O)c2ncc(C(F)(F)F)[n-]2)ncn1 ZINC001188278698 744686152 /nfs/dbraw/zinc/68/61/52/744686152.db2.gz UFIAMMANXDQHCS-UHFFFAOYSA-N -1 1 314.227 1.429 20 0 DDADMM CC(=O)Nc1cc(NC(=O)c2nc(C(F)(F)F)c[n-]2)ncn1 ZINC001188278698 744686154 /nfs/dbraw/zinc/68/61/54/744686154.db2.gz UFIAMMANXDQHCS-UHFFFAOYSA-N -1 1 314.227 1.429 20 0 DDADMM COCc1oncc1C(=O)[N-]c1nnc2cc(OC)ccc2n1 ZINC001188319280 744688683 /nfs/dbraw/zinc/68/86/83/744688683.db2.gz DGIHGKPZPILYHR-UHFFFAOYSA-N -1 1 315.289 1.420 20 0 DDADMM O=C(Nc1nc[n-]c(=O)c1Br)c1cc(F)c[nH]1 ZINC001188479652 744706814 /nfs/dbraw/zinc/70/68/14/744706814.db2.gz ZDMFQBUKIIBFII-UHFFFAOYSA-N -1 1 301.075 1.664 20 0 DDADMM COCc1ccc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)o1 ZINC001189257824 744846386 /nfs/dbraw/zinc/84/63/86/744846386.db2.gz FJOGKKJJWYUMQV-UHFFFAOYSA-N -1 1 321.293 1.638 20 0 DDADMM C[C@@H](C[C@H](C)Nc1ncccn1)NC(=O)c1ncccc1[O-] ZINC001089273260 744849185 /nfs/dbraw/zinc/84/91/85/744849185.db2.gz WCLGYERSULWGHE-QWRGUYRKSA-N -1 1 301.350 1.586 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cc(-c2ccccn2)n[nH]1 ZINC001189480238 744911158 /nfs/dbraw/zinc/91/11/58/744911158.db2.gz MNBTYQUSDFPASG-UHFFFAOYSA-N -1 1 322.288 1.096 20 0 DDADMM COc1ccc(C(F)(F)F)cc1C(=O)NCc1nn[n-]n1 ZINC001190064373 745078071 /nfs/dbraw/zinc/07/80/71/745078071.db2.gz IRTPUNZXIADXNK-UHFFFAOYSA-N -1 1 301.228 1.157 20 0 DDADMM CCCCNC(=O)Nc1ccc(S(=O)(=O)N(C)C)cc1[O-] ZINC001190615565 745258831 /nfs/dbraw/zinc/25/88/31/745258831.db2.gz GBIXJLAKJGILDR-UHFFFAOYSA-N -1 1 315.395 1.564 20 0 DDADMM CC(=O)[C@H]1CCN(C(=O)c2cnc(-c3ccccn3)[n-]c2=O)C1 ZINC001190628272 745278565 /nfs/dbraw/zinc/27/85/65/745278565.db2.gz MWCHPCJXKVWZJU-NSHDSACASA-N -1 1 312.329 1.295 20 0 DDADMM CN1C(=O)Cc2ccc([N-]S(=O)(=O)c3ccncc3)cc21 ZINC001190893032 745370046 /nfs/dbraw/zinc/37/00/46/745370046.db2.gz AODPCEPGEBTJMU-UHFFFAOYSA-N -1 1 303.343 1.401 20 0 DDADMM COc1cc(C(=O)Nc2nccnc2C(N)=O)cc(Cl)c1[O-] ZINC001191129386 745432559 /nfs/dbraw/zinc/43/25/59/745432559.db2.gz MSEPNWMUFJSFIH-UHFFFAOYSA-N -1 1 322.708 1.195 20 0 DDADMM CCN=c1ncc(N)cn1C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC001191138260 745443359 /nfs/dbraw/zinc/44/33/59/745443359.db2.gz BJTNFVPJYAWHTQ-UHFFFAOYSA-N -1 1 322.752 1.442 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc3c(c2)OCO3)c(=O)[n-]1 ZINC001191420551 745506740 /nfs/dbraw/zinc/50/67/40/745506740.db2.gz NRUANQSZLJFCJU-UHFFFAOYSA-N -1 1 305.315 1.885 20 0 DDADMM CSc1ncc(C(=O)NCCCc2ccncc2)c(=O)[n-]1 ZINC001191437757 745512731 /nfs/dbraw/zinc/51/27/31/745512731.db2.gz PIKXXNDXOUSGFP-UHFFFAOYSA-N -1 1 304.375 1.662 20 0 DDADMM Cc1ccc(NCC[C@H](C)NC(=O)c2ncccc2[O-])nn1 ZINC001106296111 745562404 /nfs/dbraw/zinc/56/24/04/745562404.db2.gz HMUPFLNBJXANKS-JTQLQIEISA-N -1 1 301.350 1.506 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(C2CCC2)C1)C(Cl)(Cl)Cl ZINC001192055103 745686371 /nfs/dbraw/zinc/68/63/71/745686371.db2.gz MYHRIVMKKHXIJB-ZETCQYMHSA-N -1 1 321.657 1.860 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)c1c(F)ccc(O)c1F ZINC001192551911 745812791 /nfs/dbraw/zinc/81/27/91/745812791.db2.gz IGBVFYWDCURQKL-UHFFFAOYSA-N -1 1 309.205 1.358 20 0 DDADMM COCc1n[nH]c2c1CN(C(=O)c1c(F)ccc([O-])c1F)C2 ZINC001192527529 745820516 /nfs/dbraw/zinc/82/05/16/745820516.db2.gz YKCRQYBQLLUYHQ-UHFFFAOYSA-N -1 1 309.272 1.696 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1C[C@@H]2OCC(F)(F)[C@@H]2C1 ZINC001192527554 745822253 /nfs/dbraw/zinc/82/22/53/745822253.db2.gz YWMKLILITFJQCZ-MUWHJKNJSA-N -1 1 305.227 1.777 20 0 DDADMM CN1C(=O)CC[C@@H]2CN(C(=O)c3c(F)ccc([O-])c3F)CC[C@H]21 ZINC001192540219 745826622 /nfs/dbraw/zinc/82/66/22/745826622.db2.gz KMHMUKJHIMCHCB-MWLCHTKSSA-N -1 1 324.327 1.753 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](CO)C(F)(F)F)cnc1Cl ZINC001192587202 745834337 /nfs/dbraw/zinc/83/43/37/745834337.db2.gz FSTJRKZOPKHQAT-SSDOTTSWSA-N -1 1 318.704 1.245 20 0 DDADMM Cc1cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)nc(Cl)n1 ZINC001192822593 745904882 /nfs/dbraw/zinc/90/48/82/745904882.db2.gz KPKHQNYHKZKTRF-UHFFFAOYSA-N -1 1 305.685 1.063 20 0 DDADMM COc1ccnc(C(=O)Nc2nc(Cl)nc3[nH]cnc32)c1[O-] ZINC001193524466 746133413 /nfs/dbraw/zinc/13/34/13/746133413.db2.gz BGTGUIFAZVHUGO-UHFFFAOYSA-N -1 1 320.696 1.368 20 0 DDADMM CNC(=O)Cc1cccc([N-]S(=O)(=O)c2ccccc2N)c1 ZINC001193929424 746224659 /nfs/dbraw/zinc/22/46/59/746224659.db2.gz ROPWUSOQUCJSSF-UHFFFAOYSA-N -1 1 319.386 1.358 20 0 DDADMM Cc1ccc(C(=O)NCC[N-]C(=O)C(F)(F)Br)[nH]1 ZINC001193914871 746235824 /nfs/dbraw/zinc/23/58/24/746235824.db2.gz CRHPHSPUTPSNND-UHFFFAOYSA-N -1 1 324.125 1.157 20 0 DDADMM Cc1ccc(OC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001194480156 746390060 /nfs/dbraw/zinc/39/00/60/746390060.db2.gz PXPOHJWZNUABAH-UHFFFAOYSA-N -1 1 301.262 1.683 20 0 DDADMM COc1cncc(Cl)c1C(=O)[N-]c1ncnc2n[nH]nc21 ZINC001195227930 746553615 /nfs/dbraw/zinc/55/36/15/746553615.db2.gz YIIAMSPPOLJUOF-UHFFFAOYSA-N -1 1 305.685 1.057 20 0 DDADMM CC(=O)N1CCC[C@H](N(C)C(=O)c2cc([O-])cnc2Cl)C1 ZINC001195309884 746573097 /nfs/dbraw/zinc/57/30/97/746573097.db2.gz WLLPKXTZROWUDB-JTQLQIEISA-N -1 1 311.769 1.524 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccccn2)C1)c1cc([O-])cnc1Cl ZINC001195313902 746574514 /nfs/dbraw/zinc/57/45/14/746574514.db2.gz AFHLDUDPLDMRTH-SNVBAGLBSA-N -1 1 318.764 1.844 20 0 DDADMM O=C([N-]c1ncnc2n[nH]nc21)c1nccc(Cl)c1Cl ZINC001195446681 746603434 /nfs/dbraw/zinc/60/34/34/746603434.db2.gz DJDYVQSJHROHDB-UHFFFAOYSA-N -1 1 310.104 1.702 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1c(F)cncc1Cl ZINC001195468010 746617702 /nfs/dbraw/zinc/61/77/02/746617702.db2.gz GTSLOBQUZNBHKM-UHFFFAOYSA-N -1 1 313.632 1.726 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cccnc1C1CC1 ZINC001195553151 746629815 /nfs/dbraw/zinc/62/98/15/746629815.db2.gz FSWHZVRYEDUNLH-UHFFFAOYSA-N -1 1 301.262 1.810 20 0 DDADMM Cc1nc2c(C)cc([N-]S(=O)(=O)c3ccc(O)cc3)cn2n1 ZINC001195766472 746691378 /nfs/dbraw/zinc/69/13/78/746691378.db2.gz OWLMSBPDRKJQCL-UHFFFAOYSA-N -1 1 318.358 1.853 20 0 DDADMM CC(=O)N1CCC[C@@H]([C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003076666 746954750 /nfs/dbraw/zinc/95/47/50/746954750.db2.gz YEVKZZYEPKSALE-KGLIPLIRSA-N -1 1 317.389 1.508 20 0 DDADMM O=C1NCc2cccc([N-]S(=O)(=O)Cc3cccnc3)c2N1 ZINC001197464709 747166088 /nfs/dbraw/zinc/16/60/88/747166088.db2.gz MEKOKHJGIRGNHW-UHFFFAOYSA-N -1 1 318.358 1.659 20 0 DDADMM O=C(CNS(=O)(=O)Cc1cccnc1)c1ccc([O-])cc1 ZINC001197490803 747175054 /nfs/dbraw/zinc/17/50/54/747175054.db2.gz CJDWUTDNQSUZJC-UHFFFAOYSA-N -1 1 306.343 1.090 20 0 DDADMM O=C(C1CCCCCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998720904 752163344 /nfs/dbraw/zinc/16/33/44/752163344.db2.gz HLSCTCIJHWQWAS-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM Cc1ccccc1NC(=S)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001198448280 747507430 /nfs/dbraw/zinc/50/74/30/747507430.db2.gz CBZLSBIFEFUJGW-UHFFFAOYSA-N -1 1 316.346 1.881 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(Cc2ccon2)C1 ZINC001033026089 747974760 /nfs/dbraw/zinc/97/47/60/747974760.db2.gz SHQFLCUBHPHTDF-LBPRGKRZSA-N -1 1 302.334 1.122 20 0 DDADMM CCOC(=O)[C@H](C)NC(=S)Nc1cc(F)c([O-])c(F)c1 ZINC001199834915 748012474 /nfs/dbraw/zinc/01/24/74/748012474.db2.gz HGKDKTRHRVQYAN-LURJTMIESA-N -1 1 304.318 1.908 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(NC(=S)Nc3ccccc3)c2[nH]1 ZINC001200844265 748357635 /nfs/dbraw/zinc/35/76/35/748357635.db2.gz MSJGANZWOZDKON-UHFFFAOYSA-N -1 1 302.319 1.573 20 0 DDADMM CC1(C)CN(C(=O)c2cccs2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995376551 748628412 /nfs/dbraw/zinc/62/84/12/748628412.db2.gz UGHHYCCBRXTNEB-JTQLQIEISA-N -1 1 321.406 1.212 20 0 DDADMM COc1ccccc1NC(=O)Nc1cc(=O)[n-]c(N(C)C)n1 ZINC001202116307 748688619 /nfs/dbraw/zinc/68/86/19/748688619.db2.gz GPDMTKAXHKKGTL-UHFFFAOYSA-N -1 1 303.322 1.901 20 0 DDADMM Cc1cc(Br)cnc1-c1noc(-c2cn[n-]n2)n1 ZINC001212800216 749525674 /nfs/dbraw/zinc/52/56/74/749525674.db2.gz WPBMKHHBTFPHLX-UHFFFAOYSA-N -1 1 307.111 1.988 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C2CCN(C/C=C\Cl)CC2)c1[O-] ZINC001005259824 749645266 /nfs/dbraw/zinc/64/52/66/749645266.db2.gz ABDXGZWQCCCVLR-UTCJRWHESA-N -1 1 312.801 1.713 20 0 DDADMM CC(C)(C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000996579701 749711049 /nfs/dbraw/zinc/71/10/49/749711049.db2.gz XAYSAJGVEGDOJK-UHFFFAOYSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H](CNc1nc(C2CC2)ns1)NC(=O)c1ncccc1[O-] ZINC001107690316 750385438 /nfs/dbraw/zinc/38/54/38/750385438.db2.gz UDCGUWVNYQYTHG-MRVPVSSYSA-N -1 1 319.390 1.747 20 0 DDADMM C[C@@]1(CNC(=O)c2ncccc2[O-])C[N@@H+](CC2CCC2)CCO1 ZINC001107890725 750570304 /nfs/dbraw/zinc/57/03/04/750570304.db2.gz UOPQLHZQLARONJ-QGZVFWFLSA-N -1 1 319.405 1.408 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001008439786 752728774 /nfs/dbraw/zinc/72/87/74/752728774.db2.gz IDKBNMRYNHWSFE-GMXVVIOVSA-N -1 1 317.389 1.410 20 0 DDADMM Cc1csc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c1Cl ZINC001128075563 752775232 /nfs/dbraw/zinc/77/52/32/752775232.db2.gz LYKSRHFTFMRWEP-UHFFFAOYSA-N -1 1 315.786 1.053 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2CCCC2)C1 ZINC001005949610 753409017 /nfs/dbraw/zinc/40/90/17/753409017.db2.gz HILDTMKSPPPAGR-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM CCC(CC)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005948955 753409265 /nfs/dbraw/zinc/40/92/65/753409265.db2.gz FOCNXOWLZUMECK-NSHDSACASA-N -1 1 319.405 1.800 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005952296 753412818 /nfs/dbraw/zinc/41/28/18/753412818.db2.gz AODAUFHWSIVFQC-NQBHXWOUSA-N -1 1 317.389 1.410 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C(C)(F)F)C1 ZINC001005953663 753414734 /nfs/dbraw/zinc/41/47/34/753414734.db2.gz VIRWESOWMLQZGN-MRVPVSSYSA-N -1 1 313.304 1.019 20 0 DDADMM COc1cc(OC)c(-c2noc(-c3cc(C(C)=O)[n-]n3)n2)cn1 ZINC001212431620 753494767 /nfs/dbraw/zinc/49/47/67/753494767.db2.gz SSPVKCKXMBKOAM-UHFFFAOYSA-N -1 1 315.289 1.742 20 0 DDADMM COc1cc(OC)c(-c2noc(-c3cc(C(C)=O)n[n-]3)n2)cn1 ZINC001212431620 753494771 /nfs/dbraw/zinc/49/47/71/753494771.db2.gz SSPVKCKXMBKOAM-UHFFFAOYSA-N -1 1 315.289 1.742 20 0 DDADMM CCC(=O)N1CC([C@@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001006098763 753588306 /nfs/dbraw/zinc/58/83/06/753588306.db2.gz HDYSXGIGTXOIQG-SECBINFHSA-N -1 1 318.377 1.046 20 0 DDADMM C[C@@H](C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1)C1CC1 ZINC001062761811 753685225 /nfs/dbraw/zinc/68/52/25/753685225.db2.gz OKZHGZFLZPQCJI-LLVKDONJSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(N[C@H]1CCN(Cc2ccccc2F)C1)c1ncccc1[O-] ZINC001010715867 754119915 /nfs/dbraw/zinc/11/99/15/754119915.db2.gz AONOAAHRYDBGDL-ZDUSSCGKSA-N -1 1 315.348 1.931 20 0 DDADMM Cc1nc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)c(C)o1 ZINC001010735568 754132126 /nfs/dbraw/zinc/13/21/26/754132126.db2.gz YANGYRRZJSMZOJ-GFCCVEGCSA-N -1 1 316.361 1.396 20 0 DDADMM CC[C@@H](F)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064000501 754439021 /nfs/dbraw/zinc/43/90/21/754439021.db2.gz CCJDPRNWGDGCNZ-SNVBAGLBSA-N -1 1 309.341 1.304 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C=C1CCC1 ZINC001012466119 755091124 /nfs/dbraw/zinc/09/11/24/755091124.db2.gz ZTQVTQUMSZJFDS-WCQYABFASA-N -1 1 315.373 1.617 20 0 DDADMM CCC(C)(CC)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000951911 762404401 /nfs/dbraw/zinc/40/44/01/762404401.db2.gz SOJVVBCEJYUCBE-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM CC(C)(F)C(=O)N[C@@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015298680 756227393 /nfs/dbraw/zinc/22/73/93/756227393.db2.gz CBCUJJKZBJJNBQ-GHMZBOCLSA-N -1 1 323.368 1.550 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001001013485 762467711 /nfs/dbraw/zinc/46/77/11/762467711.db2.gz KHWCHRLQLJNEEB-PWSUYJOCSA-N -1 1 307.398 1.027 20 0 DDADMM C/C=C\[C@H](O)C(=O)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000822521865 758215291 /nfs/dbraw/zinc/21/52/91/758215291.db2.gz BKPRVIGQUAKHLQ-GWQWAINWSA-N -1 1 324.808 1.627 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001085121219 758267092 /nfs/dbraw/zinc/26/70/92/758267092.db2.gz WNRMSZSGDBBDKC-CHWSQXEVSA-N -1 1 315.377 1.341 20 0 DDADMM Nc1cccc(N(c2ccc(Cl)c([O-])c2)S(N)(=O)=O)c1 ZINC001212593950 762616122 /nfs/dbraw/zinc/61/61/22/762616122.db2.gz NKXMHFIDVDMJPY-UHFFFAOYSA-N -1 1 313.766 1.969 20 0 DDADMM CC1(C)CCCC[C@@H]1CC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000827968061 759431815 /nfs/dbraw/zinc/43/18/15/759431815.db2.gz UNRZUNCEBSQSDQ-VXGBXAGGSA-N -1 1 307.398 1.706 20 0 DDADMM O=C([C@@H]1CC2CCC1CC2)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019834004 760281337 /nfs/dbraw/zinc/28/13/37/760281337.db2.gz UQMSSKGBTXQMNG-IFWUJCSASA-N -1 1 319.409 1.027 20 0 DDADMM Cc1cnc(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])s1 ZINC001038190382 760906113 /nfs/dbraw/zinc/90/61/13/760906113.db2.gz BRZIXJOZQQJMPF-NSHDSACASA-N -1 1 318.402 1.556 20 0 DDADMM O=C(NC[C@H]1CCN1Cc1ccccc1F)c1ncccc1[O-] ZINC001038195530 760910852 /nfs/dbraw/zinc/91/08/52/760910852.db2.gz WDTNVXALDJJIPY-CYBMUJFWSA-N -1 1 315.348 1.931 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCC[C@@H]2[C@@H]2CCN(CCF)C2)c1[O-] ZINC001039199166 761828209 /nfs/dbraw/zinc/82/82/09/761828209.db2.gz QDIWALVLEKLWKC-CHWSQXEVSA-N -1 1 324.400 1.710 20 0 DDADMM CCCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001050078010 763100849 /nfs/dbraw/zinc/10/08/49/763100849.db2.gz FRJAWHAIPHXGRW-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1c1nccnc1F ZINC001050206679 763320997 /nfs/dbraw/zinc/32/09/97/763320997.db2.gz VXOFYHLPKHLECQ-ZJUUUORDSA-N -1 1 317.324 1.114 20 0 DDADMM Cc1cc(N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)ncn1 ZINC001057622607 764154480 /nfs/dbraw/zinc/15/44/80/764154480.db2.gz AKCKCSMGAHDUGE-LBPRGKRZSA-N -1 1 313.361 1.602 20 0 DDADMM Cc1nc(C)c(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)o1 ZINC001042646701 764310008 /nfs/dbraw/zinc/31/00/08/764310008.db2.gz XUHDOGZGEATHGO-UHFFFAOYSA-N -1 1 316.361 1.348 20 0 DDADMM Cc1nccc(N[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983840 765083821 /nfs/dbraw/zinc/08/38/21/765083821.db2.gz QSYAZDIABLKTEO-VHSXEESVSA-N -1 1 301.350 1.505 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc4c(c3)NCCO4)c2[nH]1 ZINC001170216811 766174974 /nfs/dbraw/zinc/17/49/74/766174974.db2.gz OYDJPJMTRKSILH-UHFFFAOYSA-N -1 1 300.278 1.264 20 0 DDADMM Cc1cnc2ncc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)cc2n1 ZINC001170216400 766175123 /nfs/dbraw/zinc/17/51/23/766175123.db2.gz KTYVHHYNGZFFBT-UHFFFAOYSA-N -1 1 310.277 1.106 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(N4CCCC4)nc3)c2[nH]1 ZINC001170220348 766179305 /nfs/dbraw/zinc/17/93/05/766179305.db2.gz WHOLHFZWOHSCKB-UHFFFAOYSA-N -1 1 313.321 1.455 20 0 DDADMM COC(=O)c1nnc(Cl)cc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170223283 766197060 /nfs/dbraw/zinc/19/70/60/766197060.db2.gz LDPBPRCXUJOYBF-UHFFFAOYSA-N -1 1 321.684 1.032 20 0 DDADMM Cn1cc(C[N@H+]2CC[C@@](C)(NC(=O)c3ccccc3O)C2)cn1 ZINC001046146088 766996433 /nfs/dbraw/zinc/99/64/33/766996433.db2.gz CUJKVKSDKKJIMB-QGZVFWFLSA-N -1 1 314.389 1.520 20 0 DDADMM CN(C(=O)Cc1csc(Cc2ccccc2)n1)c1nn[n-]n1 ZINC001130972267 767825384 /nfs/dbraw/zinc/82/53/84/767825384.db2.gz TZKMNCUDNVYEIE-UHFFFAOYSA-N -1 1 314.374 1.453 20 0 DDADMM CCCCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070441889 768485247 /nfs/dbraw/zinc/48/52/47/768485247.db2.gz LPGMMKRXGIJOMS-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM CC(C)CCC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648381 768643198 /nfs/dbraw/zinc/64/31/98/768643198.db2.gz HMYLMMISJSGZGW-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H](CC(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccccc1F ZINC001135391108 771475625 /nfs/dbraw/zinc/47/56/25/771475625.db2.gz SRBRZJURGJNNKG-JTQLQIEISA-N -1 1 321.356 1.049 20 0 DDADMM CC(C)CC1(C(=O)NCCNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001135417359 771495293 /nfs/dbraw/zinc/49/52/93/771495293.db2.gz ACQVYAJZMTYPGB-UHFFFAOYSA-N -1 1 309.414 1.323 20 0 DDADMM CN(CCCc1nc2ccccc2[nH]1)C(=O)CCc1nn[n-]n1 ZINC001136482855 771980718 /nfs/dbraw/zinc/98/07/18/771980718.db2.gz PYSDRKCNQQUNKR-UHFFFAOYSA-N -1 1 313.365 1.100 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCNC(=O)[C@@H]2C(C)C)c1 ZINC001136613628 772043755 /nfs/dbraw/zinc/04/37/55/772043755.db2.gz YUSDPLCOIOJXGL-ZDUSSCGKSA-N -1 1 304.346 1.110 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](CC(C)C)NC(C)=O)n1 ZINC001144688796 772597493 /nfs/dbraw/zinc/59/74/93/772597493.db2.gz SNJOLRAWONYALZ-SNVBAGLBSA-N -1 1 310.354 1.076 20 0 DDADMM COc1cnc(C(=O)[N-]c2c(Cl)cnnc2Cl)nc1 ZINC001147030749 773022294 /nfs/dbraw/zinc/02/22/94/773022294.db2.gz NXLWPQMNOHRORB-UHFFFAOYSA-N -1 1 300.105 1.834 20 0 DDADMM CCc1oncc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001147492157 773151920 /nfs/dbraw/zinc/15/19/20/773151920.db2.gz HPHLWORMPVLMHY-UHFFFAOYSA-N -1 1 306.282 1.449 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2cccc3nsnc32)c1[O-] ZINC001147832554 773260259 /nfs/dbraw/zinc/26/02/59/773260259.db2.gz RYIXCKCEBVDYND-UHFFFAOYSA-N -1 1 316.342 1.845 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC(n3ccnc3)CC2)c1[O-] ZINC001147837999 773264465 /nfs/dbraw/zinc/26/44/65/773264465.db2.gz HKIXYALAGCUEFK-UHFFFAOYSA-N -1 1 316.361 1.262 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CCn4nncc4C3)cc2)[n-]1 ZINC001148332619 773438568 /nfs/dbraw/zinc/43/85/68/773438568.db2.gz AUJOAQVKMRUIBB-UHFFFAOYSA-N -1 1 324.344 1.047 20 0 DDADMM CCCN1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001074218859 773741039 /nfs/dbraw/zinc/74/10/39/773741039.db2.gz GLWOZQGSNYSBJM-GXTWGEPZSA-N -1 1 305.378 1.113 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1ccccc1 ZINC001092437736 774118533 /nfs/dbraw/zinc/11/85/33/774118533.db2.gz LESAVFDWIRSTJI-DGCLKSJQSA-N -1 1 315.377 1.008 20 0 DDADMM O=C(NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2)C1CCC1 ZINC001094347794 775742814 /nfs/dbraw/zinc/74/28/14/775742814.db2.gz ZAQUMBWNMBKPNT-MLGOLLRUSA-N -1 1 319.409 1.171 20 0 DDADMM Cc1cc(C(=O)N(C)C)ccc1Nc1c(N)[nH]c(=S)[n-]c1=O ZINC001212728692 777192230 /nfs/dbraw/zinc/19/22/30/777192230.db2.gz CVOWLZQWHJHGHO-UHFFFAOYSA-N -1 1 319.390 1.807 20 0 DDADMM CN(CCNc1ncccn1)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001101982059 777762007 /nfs/dbraw/zinc/76/20/07/777762007.db2.gz GNEUGOROIXEUQR-UHFFFAOYSA-N -1 1 314.349 1.034 20 0 DDADMM COc1cccnc1CCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001175458179 777809841 /nfs/dbraw/zinc/80/98/41/777809841.db2.gz DKYINPFVOOBVRQ-UHFFFAOYSA-N -1 1 315.255 1.798 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1ccc2n[nH]cc2c1 ZINC001176602627 778201633 /nfs/dbraw/zinc/20/16/33/778201633.db2.gz NTYCNXROZZASJI-UHFFFAOYSA-N -1 1 313.365 1.303 20 0 DDADMM COC(=O)c1cc2cccc(NC(=O)Cc3nn[n-]n3)c2s1 ZINC001176845310 778299406 /nfs/dbraw/zinc/29/94/06/778299406.db2.gz RLVZNSKHNHNSBL-UHFFFAOYSA-N -1 1 317.330 1.382 20 0 DDADMM CN(C)c1nc(NC(=O)CCn2cccc2)c(N=O)c(=O)[n-]1 ZINC001176931924 778321660 /nfs/dbraw/zinc/32/16/60/778321660.db2.gz UFOQOJGWPIVPEB-UHFFFAOYSA-N -1 1 304.310 1.476 20 0 DDADMM CC/C(C)=C/C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102952010 778500672 /nfs/dbraw/zinc/50/06/72/778500672.db2.gz UAPYDZBLROMUON-PGJRDNSLSA-N -1 1 321.425 1.441 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1nc(C)cc(C)n1 ZINC001103068231 778615676 /nfs/dbraw/zinc/61/56/76/778615676.db2.gz APAJGFCDUFGBGC-LBPRGKRZSA-N -1 1 315.377 1.815 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])Nc1nccc(C)n1 ZINC001103068226 778615769 /nfs/dbraw/zinc/61/57/69/778615769.db2.gz AMGJIQNAUCSGPR-LLVKDONJSA-N -1 1 301.350 1.506 20 0 DDADMM Cc1cc(-c2ccc(CC(=O)NCc3nn[n-]n3)cc2)ccn1 ZINC001178381125 779010835 /nfs/dbraw/zinc/01/08/35/779010835.db2.gz VGBMVRRALCSBII-UHFFFAOYSA-N -1 1 308.345 1.429 20 0 DDADMM O=C([N-]CC1(N2CCCC2)COC1)C(F)(F)C(F)(F)Cl ZINC001179780279 779476649 /nfs/dbraw/zinc/47/66/49/779476649.db2.gz SAMBCIPHYPWYIV-UHFFFAOYSA-N -1 1 318.698 1.434 20 0 DDADMM COc1cc(CC(=O)NCCCC[P@](=O)([O-])O)ccc1F ZINC001180426605 779755587 /nfs/dbraw/zinc/75/55/87/779755587.db2.gz BBJHISUTVBYEMW-UHFFFAOYSA-N -1 1 319.269 1.451 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@@H](C)Oc3ccccc3C)c1-2 ZINC001180520256 779798782 /nfs/dbraw/zinc/79/87/82/779798782.db2.gz WJOBJEGEPHDMBS-LLVKDONJSA-N -1 1 311.345 1.749 20 0 DDADMM NC(=O)C[C@H](NCc1ccc(F)c(Cl)c1Cl)C(=O)[O-] ZINC001119549846 781507661 /nfs/dbraw/zinc/50/76/61/781507661.db2.gz WEPWZWXTCYHNRY-ZETCQYMHSA-N -1 1 309.124 1.551 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1sc(Cl)nc1C)C1CC1 ZINC000398295645 836615230 /nfs/dbraw/zinc/61/52/30/836615230.db2.gz JEFCEGFWZXTQLY-MRVPVSSYSA-N -1 1 310.828 1.808 20 0 DDADMM CC[C@H](CNC(=O)C=C1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001267797453 838995076 /nfs/dbraw/zinc/99/50/76/838995076.db2.gz ITBKHGBLJKFPQB-GFCCVEGCSA-N -1 1 307.398 1.385 20 0 DDADMM CCCC[C@H](C)C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409137960 844416184 /nfs/dbraw/zinc/41/61/84/844416184.db2.gz JYGPYDFINKLNFR-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM CCCOC(=O)CCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC001414228341 844434404 /nfs/dbraw/zinc/43/44/04/844434404.db2.gz JYAGKPVGCYRILL-UHFFFAOYSA-N -1 1 317.363 1.022 20 0 DDADMM CC(C)CCCC(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409186106 844482882 /nfs/dbraw/zinc/48/28/82/844482882.db2.gz JTSADTVJIVLEDW-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2CCCOC(C)C)c1[O-] ZINC001269213837 841364406 /nfs/dbraw/zinc/36/44/06/841364406.db2.gz GBYMYZPORGXQJS-LBPRGKRZSA-N -1 1 310.398 1.043 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CCN(C(=O)c1ncccc1[O-])CC2 ZINC001269347024 841552493 /nfs/dbraw/zinc/55/24/93/841552493.db2.gz QAJCELCWYMEGOK-NSHDSACASA-N -1 1 303.362 1.118 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@]12CCN(C(=O)c1ccc([O-])cn1)C2 ZINC001270139689 842327173 /nfs/dbraw/zinc/32/71/73/842327173.db2.gz YWRKICXKEXOOOW-CXAGYDPISA-N -1 1 317.389 1.508 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NC[C@H](C)N2CCCCC2=O)c1 ZINC001154640400 861311716 /nfs/dbraw/zinc/31/17/16/861311716.db2.gz OTBJWMRIJDJXTG-LBPRGKRZSA-N -1 1 318.373 1.644 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)NC[C@H]3CNC(=O)C3)cc2c1 ZINC001154755817 861428490 /nfs/dbraw/zinc/42/84/90/861428490.db2.gz BCCKLOVAYMXSTP-SNVBAGLBSA-N -1 1 314.341 1.420 20 0 DDADMM CN1C[C@@]2(CC1=O)CCCCN2C(=O)c1cc([O-])cc(F)c1F ZINC001272250019 844896300 /nfs/dbraw/zinc/89/63/00/844896300.db2.gz QCHBIPRDINZQMY-INIZCTEOSA-N -1 1 324.327 1.897 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001409777760 845755378 /nfs/dbraw/zinc/75/53/78/845755378.db2.gz YWRVTXFMIGXBNW-MNOVXSKESA-N -1 1 307.398 1.075 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)Nc1cnsn1 ZINC001365056396 846009182 /nfs/dbraw/zinc/00/91/82/846009182.db2.gz OXIHFVFQOOKRBB-UHFFFAOYSA-N -1 1 300.290 1.886 20 0 DDADMM CN1C[C@@]2(CC1=O)CCCCN2C(=O)c1cc([O-])cnc1Cl ZINC001272386842 846091500 /nfs/dbraw/zinc/09/15/00/846091500.db2.gz NZYVTMYJFPJSAO-HNNXBMFYSA-N -1 1 323.780 1.668 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H](C)C1CC1)c1ccsc1 ZINC001365701986 846412410 /nfs/dbraw/zinc/41/24/10/846412410.db2.gz IAAXPBIETGOHLW-SKDRFNHKSA-N -1 1 317.432 1.928 20 0 DDADMM O=C(NCC1Oc2ccccc2O1)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273224 861921122 /nfs/dbraw/zinc/92/11/22/861921122.db2.gz JJXZIQOZJFFPMD-UHFFFAOYSA-N -1 1 311.297 1.796 20 0 DDADMM C[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@H](C)NC(=O)C1CC1 ZINC001411336905 850854759 /nfs/dbraw/zinc/85/47/59/850854759.db2.gz BUZZUFIVZRVSKE-DTWKUNHWSA-N -1 1 318.377 1.093 20 0 DDADMM CN(C)C(=O)C12CC(NC(=O)c3cc([O-])cnc3Cl)(C1)C2 ZINC001274970576 852719611 /nfs/dbraw/zinc/71/96/11/852719611.db2.gz SZWAJKADVJZACP-UHFFFAOYSA-N -1 1 309.753 1.181 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC[C@H]2C[C@]21C(=O)N1CC=CC1 ZINC001275376405 853036910 /nfs/dbraw/zinc/03/69/10/853036910.db2.gz CNQGJHQCSGXZDY-YVEFUNNKSA-N -1 1 316.332 1.534 20 0 DDADMM C[C@H](NC(=O)c1cnncc1[O-])c1noc(-c2ccccc2)n1 ZINC001150837040 862487684 /nfs/dbraw/zinc/48/76/84/862487684.db2.gz RCXBHOBGFJQPFZ-VIFPVBQESA-N -1 1 311.301 1.723 20 0 DDADMM O=C(NCc1n[nH]cc1Br)c1ccc(F)c([O-])c1 ZINC001411768928 853705020 /nfs/dbraw/zinc/70/50/20/853705020.db2.gz CKWUYDZDMIAGLX-UHFFFAOYSA-N -1 1 314.114 1.947 20 0 DDADMM COCCOCCOCCN(C)C(=O)c1ccc(F)c([O-])c1 ZINC001447770371 853867234 /nfs/dbraw/zinc/86/72/34/853867234.db2.gz WXPDCOUFDWOCKQ-UHFFFAOYSA-N -1 1 315.341 1.283 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC2(CN(Cc3ccc[nH]3)C2)C1 ZINC001275974887 854057872 /nfs/dbraw/zinc/05/78/72/854057872.db2.gz DOKQMEWEJDORDJ-UHFFFAOYSA-N -1 1 315.348 1.817 20 0 DDADMM CCCc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc(=O)[nH]1 ZINC001411988906 854077653 /nfs/dbraw/zinc/07/76/53/854077653.db2.gz GTOLQYWISIGATE-SECBINFHSA-N -1 1 304.354 1.129 20 0 DDADMM CCS(=O)(=O)C1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001448738645 854119033 /nfs/dbraw/zinc/11/90/33/854119033.db2.gz PEXGFYLHJCWCEX-UHFFFAOYSA-N -1 1 315.366 1.571 20 0 DDADMM CSc1ncc(C(=O)N2CCC([C@H]3CCCO3)CC2)c(=O)[n-]1 ZINC001412032626 854135046 /nfs/dbraw/zinc/13/50/46/854135046.db2.gz RRDMCYWVNRMKGB-GFCCVEGCSA-N -1 1 323.418 1.935 20 0 DDADMM Cc1conc1[N-]C(=O)c1nc(S(C)(=O)=O)ccc1Cl ZINC001412091668 854211764 /nfs/dbraw/zinc/21/17/64/854211764.db2.gz QYUNMJINDOXSSC-UHFFFAOYSA-N -1 1 315.738 1.687 20 0 DDADMM CC[C@H]1[C@@H](C(=O)N(C)c2nn[n-]n2)CCN1C(=O)OC(C)(C)C ZINC001412234775 854358336 /nfs/dbraw/zinc/35/83/36/854358336.db2.gz YUVCQTQRDTZUAN-UWVGGRQHSA-N -1 1 324.385 1.198 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)CC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001412372836 854479044 /nfs/dbraw/zinc/47/90/44/854479044.db2.gz DPOYSZGQXKZMIL-ZETCQYMHSA-N -1 1 305.338 1.038 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(CCc2ccncc2)CC1 ZINC001412405495 854512862 /nfs/dbraw/zinc/51/28/62/854512862.db2.gz LQWJZXGLUXRCCG-UHFFFAOYSA-N -1 1 312.373 1.183 20 0 DDADMM Cc1noc(C(C)C)c1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001412524839 854657861 /nfs/dbraw/zinc/65/78/61/854657861.db2.gz CDEZUHBXOQECNP-UHFFFAOYSA-N -1 1 306.370 1.918 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)C1CCc2ccccc2CC1 ZINC001412534892 854674895 /nfs/dbraw/zinc/67/48/95/854674895.db2.gz AIOOZDDRSXWHLT-UHFFFAOYSA-N -1 1 311.389 1.890 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc([O-])cn2)C(=O)N1c1ccccc1 ZINC001412589634 854778480 /nfs/dbraw/zinc/77/84/80/854778480.db2.gz MNAYWPATTGKYKN-XHDPSFHLSA-N -1 1 311.341 1.711 20 0 DDADMM COC[C@H](NC(=O)CC(C)(C)c1ccccc1)c1nn[n-]n1 ZINC001412604141 854797824 /nfs/dbraw/zinc/79/78/24/854797824.db2.gz IFEDIDUSOUYVJY-LBPRGKRZSA-N -1 1 303.366 1.371 20 0 DDADMM CC[C@H](C)c1ccc(C(=O)N[C@H](COC)c2nn[n-]n2)cc1 ZINC001412605965 854801613 /nfs/dbraw/zinc/80/16/13/854801613.db2.gz LOIZUXIBPOTTDT-GXFFZTMASA-N -1 1 303.366 1.831 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2CCO[C@H](C(C)C)C2)c(=O)[n-]1 ZINC001412751441 855103696 /nfs/dbraw/zinc/10/36/96/855103696.db2.gz MFGIKRZYUNWBQR-ONGXEEELSA-N -1 1 311.407 1.838 20 0 DDADMM C[C@@](O)(CNC(=O)CCCc1nn[n-]n1)c1ccccc1Cl ZINC001412799811 855282221 /nfs/dbraw/zinc/28/22/21/855282221.db2.gz XBTGIQCQYJJJDH-CQSZACIVSA-N -1 1 323.784 1.200 20 0 DDADMM Cc1ccc2c(c1)C(=O)O[C@](C)(C(=O)Nc1c[n-][nH]c1=O)C2 ZINC001412852652 855728323 /nfs/dbraw/zinc/72/83/23/855728323.db2.gz HPCNMRAYGWMWQQ-HNNXBMFYSA-N -1 1 301.302 1.534 20 0 DDADMM CCC(CC)(NC(=O)c1cccc(OC)c1OC)c1nn[n-]n1 ZINC001412938955 855794875 /nfs/dbraw/zinc/79/48/75/855794875.db2.gz WYQLLMQPAKMFDE-UHFFFAOYSA-N -1 1 319.365 1.662 20 0 DDADMM CSc1nc(NC(=O)c2ccn3ccnc3c2)cc(=O)[n-]1 ZINC001151322650 862727855 /nfs/dbraw/zinc/72/78/55/862727855.db2.gz KQANSCRUVAHCFR-UHFFFAOYSA-N -1 1 301.331 1.804 20 0 DDADMM O=C(Nc1nnc(C2CCOCC2)s1)c1cnncc1[O-] ZINC001413018518 855950043 /nfs/dbraw/zinc/95/00/43/855950043.db2.gz DVBRTXVPUUEWCI-UHFFFAOYSA-N -1 1 307.335 1.180 20 0 DDADMM C[C@H]1[C@@H](NC(=O)CC2(C)CC2)CCN1C(=O)c1ncccc1[O-] ZINC001413032069 855994766 /nfs/dbraw/zinc/99/47/66/855994766.db2.gz NNMQIRORUGVJCC-RYUDHWBXSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCCF ZINC001413084272 856388189 /nfs/dbraw/zinc/38/81/89/856388189.db2.gz HPAPFPXICWDZDY-MNOVXSKESA-N -1 1 309.341 1.256 20 0 DDADMM COC(=O)c1c(Cl)nccc1[N-]S(=O)(=O)CC(C)C ZINC001259878806 856504891 /nfs/dbraw/zinc/50/48/91/856504891.db2.gz SCUAZOFAXAHCBW-UHFFFAOYSA-N -1 1 306.771 1.919 20 0 DDADMM CSc1ncc(C(=O)N2CCC([C@@H](C)CO)CC2)c(=O)[n-]1 ZINC001413238870 856575123 /nfs/dbraw/zinc/57/51/23/856575123.db2.gz HMTODDRMRZSWGJ-VIFPVBQESA-N -1 1 311.407 1.385 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CC(C)(C)OC2(C)C)sn1 ZINC001413318769 856642182 /nfs/dbraw/zinc/64/21/82/856642182.db2.gz HIWRYGABPCCJEQ-MRVPVSSYSA-N -1 1 320.436 1.776 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)c1nnc(C)s1 ZINC001413321292 856649978 /nfs/dbraw/zinc/64/99/78/856649978.db2.gz YCUGLSSMEGSTNU-YFKPBYRVSA-N -1 1 320.421 1.351 20 0 DDADMM COc1ccc(CCC(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001413512429 856914130 /nfs/dbraw/zinc/91/41/30/856914130.db2.gz VWLQQXCWFAAXTJ-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM C[C@]1([C@H]2CCCN(C(=O)c3ccc([O-])cn3)C2)COC(=O)N1 ZINC001413601006 857218661 /nfs/dbraw/zinc/21/86/61/857218661.db2.gz SSQOIGDNBACXLA-ZUZCIYMTSA-N -1 1 305.334 1.138 20 0 DDADMM O=C(N[C@]12CC(=O)N[C@H]1CCCC2)c1c([O-])cccc1Cl ZINC001413763682 858497566 /nfs/dbraw/zinc/49/75/66/858497566.db2.gz IKPTUXNIJCZDCT-XHDPSFHLSA-N -1 1 308.765 1.977 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(n2cccn2)CC1 ZINC001123631344 859334369 /nfs/dbraw/zinc/33/43/69/859334369.db2.gz QOAGSRRZKWYFTC-UHFFFAOYSA-N -1 1 319.390 1.453 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1CCOC2(CCC2)C1 ZINC001123769379 859405807 /nfs/dbraw/zinc/40/58/07/859405807.db2.gz JUBJTRNZEBXXNS-VIFPVBQESA-N -1 1 309.391 1.611 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCOc2ncccc2F)c1 ZINC001137638895 859955218 /nfs/dbraw/zinc/95/52/18/859955218.db2.gz ZHDWFQMEAMBMNO-UHFFFAOYSA-N -1 1 318.304 1.856 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(Cc2ccncc2[O-])[C@H]2C[C@H]21 ZINC001140274114 860604530 /nfs/dbraw/zinc/60/45/30/860604530.db2.gz UNQNPNHOFCZCAA-QWHCGFSZSA-N -1 1 305.378 1.981 20 0 DDADMM CCOC(=O)c1nc2c(o1)CCN(Cc1ccncc1[O-])C2 ZINC001140281012 860609135 /nfs/dbraw/zinc/60/91/35/860609135.db2.gz SUTKWWAQEHWCPM-UHFFFAOYSA-N -1 1 303.318 1.510 20 0 DDADMM O=C([O-])c1ccc(CN2CCCN(c3ncccn3)CC2)o1 ZINC001140946394 860742858 /nfs/dbraw/zinc/74/28/58/860742858.db2.gz GEPQYICUAUURLO-UHFFFAOYSA-N -1 1 302.334 1.480 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1nc2ccccn2c1F ZINC001154249902 860920633 /nfs/dbraw/zinc/92/06/33/860920633.db2.gz UFOQHAYUCQDGIG-UHFFFAOYSA-N -1 1 313.252 1.098 20 0 DDADMM O=C([N-]c1cc(-c2nn[nH]n2)ccc1F)c1c(F)cncc1F ZINC001142019138 860996332 /nfs/dbraw/zinc/99/63/32/860996332.db2.gz BXGNFJLAICJHHA-UHFFFAOYSA-N -1 1 320.234 1.931 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@]23C[C@H]2CCCC3)c1 ZINC001152169800 863197692 /nfs/dbraw/zinc/19/76/92/863197692.db2.gz ZLOIHXFEYKXATC-YMTOWFKASA-N -1 1 310.375 1.558 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cnc2sccn12 ZINC001152212834 863219630 /nfs/dbraw/zinc/21/96/30/863219630.db2.gz DIWBSTPDKVRZNR-UHFFFAOYSA-N -1 1 302.241 1.785 20 0 DDADMM Cn1cnc(CCNC(=O)c2cc(=O)c3cccc(O)c3[n-]2)c1 ZINC001152679515 863488070 /nfs/dbraw/zinc/48/80/70/863488070.db2.gz BODYEPODSAOHTJ-UHFFFAOYSA-N -1 1 312.329 1.352 20 0 DDADMM O=C(N[C@H]1CCc2n[nH]cc2C1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681876 863492509 /nfs/dbraw/zinc/49/25/09/863492509.db2.gz RQEDJZNUAGYAMP-JTQLQIEISA-N -1 1 324.340 1.656 20 0 DDADMM CSc1nc(NC(=O)c2ccc3ncnn3c2)cc(=O)[n-]1 ZINC001152850343 863570454 /nfs/dbraw/zinc/57/04/54/863570454.db2.gz IOMMXLVGEHVNTP-UHFFFAOYSA-N -1 1 302.319 1.199 20 0 DDADMM CSc1nc(NC(=O)c2nn(C)c3c2CCCC3)cc(=O)[n-]1 ZINC001153538297 863933464 /nfs/dbraw/zinc/93/34/64/863933464.db2.gz NAPZTWOYKHGGMW-UHFFFAOYSA-N -1 1 319.390 1.769 20 0 DDADMM COc1ccc2c(=O)cc(C(=O)Nc3cn[nH]c(=O)c3)[n-]c2c1 ZINC001154113186 864418542 /nfs/dbraw/zinc/41/85/42/864418542.db2.gz KNRPKSZAQARRBO-UHFFFAOYSA-N -1 1 312.285 1.285 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3c[nH]c4ccc(F)cc34)c1-2 ZINC001154133770 864437709 /nfs/dbraw/zinc/43/77/09/864437709.db2.gz LAWAONLODNFIFP-UHFFFAOYSA-N -1 1 310.292 1.908 20 0 DDADMM C[C@H](NC(=O)c1nnc2ccccc2c1O)c1nn(C)cc1[O-] ZINC001158308963 864563695 /nfs/dbraw/zinc/56/36/95/864563695.db2.gz ZTNOSYSRSJKUSO-QMMMGPOBSA-N -1 1 313.317 1.266 20 0 DDADMM CCc1cc(NCc2cc(=O)[n-]o2)nc(N2CCOCC2)n1 ZINC001158563606 864730982 /nfs/dbraw/zinc/73/09/82/864730982.db2.gz MFNPUELENNXRKB-UHFFFAOYSA-N -1 1 305.338 1.181 20 0 DDADMM C[C@@H](CN(C)C(=O)C[C@@H]1C=CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001331490548 865113958 /nfs/dbraw/zinc/11/39/58/865113958.db2.gz PPVKCOAUQJPKRX-NWDGAFQWSA-N -1 1 307.398 1.193 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cc(-c4ccncc4)ncn3)c2[nH]1 ZINC001159195021 865159668 /nfs/dbraw/zinc/15/96/68/865159668.db2.gz LJLJGNRQUGKDRT-UHFFFAOYSA-N -1 1 322.288 1.311 20 0 DDADMM C[C@H]1CCc2nnc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)cc2C1 ZINC001159196731 865160271 /nfs/dbraw/zinc/16/02/71/865160271.db2.gz ZVVXWUIJIVIWFP-LURJTMIESA-N -1 1 313.321 1.374 20 0 DDADMM COc1c(Cl)nc(C)nc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159198584 865162377 /nfs/dbraw/zinc/16/23/77/865162377.db2.gz RPMDYQJSJCAKAW-UHFFFAOYSA-N -1 1 307.701 1.563 20 0 DDADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@]12C[C@H]1CCCC2 ZINC001332206255 865665018 /nfs/dbraw/zinc/66/50/18/865665018.db2.gz CGDNVCAJCQLPLY-OZVIIMIRSA-N -1 1 305.378 1.012 20 0 DDADMM Cc1c[nH]c2nc(Cl)cc(Nc3c(O)[nH]c(=O)[n-]c3=S)c12 ZINC001160853197 866151200 /nfs/dbraw/zinc/15/12/00/866151200.db2.gz KVNZIRJCFOQIRW-MRVPVSSYSA-N -1 1 323.765 1.472 20 0 DDADMM CSc1ncc(C(=O)NCC2(Br)CC2)c(=O)[n-]1 ZINC001160986580 866248676 /nfs/dbraw/zinc/24/86/76/866248676.db2.gz WRXZGMTVIAHAAD-UHFFFAOYSA-N -1 1 318.196 1.561 20 0 DDADMM CNC(=O)CC1CCN(Cc2ccc(/C=C\C(=O)[O-])o2)CC1 ZINC001333120942 866440049 /nfs/dbraw/zinc/44/00/49/866440049.db2.gz ILIJOCRVRFNYFJ-PLNGDYQASA-N -1 1 306.362 1.726 20 0 DDADMM C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC001333138722 866453481 /nfs/dbraw/zinc/45/34/81/866453481.db2.gz WEMMECPLVPXZFI-PXAZEXFGSA-N -1 1 317.389 1.488 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)/C=C/[C@H]2CCOC2)[n-]c1=O ZINC001333561143 866841009 /nfs/dbraw/zinc/84/10/09/866841009.db2.gz WPOZXIKAMWHCOG-XMXMHJJKSA-N -1 1 319.361 1.447 20 0 DDADMM C[C@H](Oc1cc(=O)[n-]c(=S)[nH]1)C(=O)OCc1ccccc1 ZINC001225764705 882017604 /nfs/dbraw/zinc/01/76/04/882017604.db2.gz GVQWCUICFREEPN-VIFPVBQESA-N -1 1 306.343 1.982 20 0 DDADMM O=S(=O)([N-][C@H]1[C@@H]2COC[C@@H]21)c1cnc(Cl)cc1Cl ZINC001321113485 867327927 /nfs/dbraw/zinc/32/79/27/867327927.db2.gz MYNPGXHNKJODMU-KJXVDCTPSA-N -1 1 309.174 1.312 20 0 DDADMM Cn1ccc(-c2nc(CN[C@H]3C=C[C@H](C(=O)[O-])C3)cs2)n1 ZINC001334840719 867858492 /nfs/dbraw/zinc/85/84/92/867858492.db2.gz FAVIWFJCTJEMKG-UWVGGRQHSA-N -1 1 304.375 1.663 20 0 DDADMM C/C=C(\C)C(=O)NCC1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]12 ZINC001335342529 868239642 /nfs/dbraw/zinc/23/96/42/868239642.db2.gz WNLAPPYDTZBLAD-CTSKZHDKSA-N -1 1 315.373 1.188 20 0 DDADMM O=C([N-]OCc1ccccc1)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC001322840813 868417716 /nfs/dbraw/zinc/41/77/16/868417716.db2.gz KHFDHLVSOAAESV-CYBMUJFWSA-N -1 1 314.345 1.151 20 0 DDADMM COC(=O)c1cc2cc(N[C@@H]3SC(=O)[N-]C3=O)cnc2[nH]1 ZINC001163666209 868609568 /nfs/dbraw/zinc/60/95/68/868609568.db2.gz JAIRXGFFRNOEKU-SNVBAGLBSA-N -1 1 306.303 1.071 20 0 DDADMM Cn1ncc(Cl)c1CNCCc1ncc(C(=O)[O-])s1 ZINC001336307767 868859080 /nfs/dbraw/zinc/85/90/80/868859080.db2.gz JCWKNYPUVXWFBZ-UHFFFAOYSA-N -1 1 300.771 1.561 20 0 DDADMM Cc1cc(=O)[n-]c(O[C@H]2COCCN(Cc3ccccc3)C2)n1 ZINC001226159340 882241347 /nfs/dbraw/zinc/24/13/47/882241347.db2.gz KOFGFMOFYVYPJF-OAHLLOKOSA-N -1 1 315.373 1.770 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1cncnc1C1CC1 ZINC001361727904 882244269 /nfs/dbraw/zinc/24/42/69/882244269.db2.gz YGVXNIJFXYUXQS-UHFFFAOYSA-N -1 1 311.345 1.981 20 0 DDADMM C[C@@H](c1ccccn1)N1CCC[C@H](Oc2nncc(=O)[n-]2)C1 ZINC001226168752 882245204 /nfs/dbraw/zinc/24/52/04/882245204.db2.gz AUEPOEXYDCQANQ-RYUDHWBXSA-N -1 1 301.350 1.577 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@H](n3ccnc3)C2)c(=O)[n-]1 ZINC001361765535 882322955 /nfs/dbraw/zinc/32/29/55/882322955.db2.gz GENWAORJRBMTEE-JTQLQIEISA-N -1 1 319.390 1.578 20 0 DDADMM COc1ccc(NC(=O)CCCc2nn[n-]n2)cc1NC(C)=O ZINC001361768601 882333175 /nfs/dbraw/zinc/33/31/75/882333175.db2.gz UXNORYKDBCBBAN-UHFFFAOYSA-N -1 1 318.337 1.128 20 0 DDADMM CN(Cc1nnc2n1CCC2)C(=O)c1cc(Cl)ccc1[O-] ZINC001361772378 882342301 /nfs/dbraw/zinc/34/23/01/882342301.db2.gz WLRSEDZZXBMHLK-UHFFFAOYSA-N -1 1 306.753 1.856 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=Cc2ccc[nH]2)n[n-]1 ZINC001166126245 869848102 /nfs/dbraw/zinc/84/81/02/869848102.db2.gz JAKFPQJUJHRYJQ-NMTCXDENSA-N -1 1 303.322 1.200 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=Cc2ccc[nH]2)[n-]1 ZINC001166126245 869848120 /nfs/dbraw/zinc/84/81/20/869848120.db2.gz JAKFPQJUJHRYJQ-NMTCXDENSA-N -1 1 303.322 1.200 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C=Cc2ccc[nH]2)n1 ZINC001166126245 869848135 /nfs/dbraw/zinc/84/81/35/869848135.db2.gz JAKFPQJUJHRYJQ-NMTCXDENSA-N -1 1 303.322 1.200 20 0 DDADMM C[C@@]1(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)CC=CCC1 ZINC001317020511 870128350 /nfs/dbraw/zinc/12/83/50/870128350.db2.gz TUQAOHYXDQYXQV-BLLLJJGKSA-N -1 1 319.409 1.241 20 0 DDADMM CC(=O)Nc1ccc(C(=O)Nc2n[nH]c3cc(O)ccc32)nc1 ZINC001298306506 870457384 /nfs/dbraw/zinc/45/73/84/870457384.db2.gz KRDAYEMILULUBY-UHFFFAOYSA-N -1 1 311.301 1.874 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](NCC(F)(F)C(F)F)C1 ZINC001317297874 870596147 /nfs/dbraw/zinc/59/61/47/870596147.db2.gz MPTRQBBEIUMXMY-MRVPVSSYSA-N -1 1 321.274 1.492 20 0 DDADMM COC(=O)[C@]1([N-]S(=O)(=O)C[C@@H](C)C2CC2)CCSC1 ZINC001363963634 887624192 /nfs/dbraw/zinc/62/41/92/887624192.db2.gz BPAWYXHHRJGSAX-SKDRFNHKSA-N -1 1 307.437 1.001 20 0 DDADMM O=C([N-]n1ccc(=O)[nH]c1=O)c1cc2cc(F)ccc2s1 ZINC001301695879 871017940 /nfs/dbraw/zinc/01/79/40/871017940.db2.gz AKLCWAIOMRCENL-UHFFFAOYSA-N -1 1 305.290 1.687 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cc(Cl)c2c(c1)OCCO2 ZINC001413836338 871492612 /nfs/dbraw/zinc/49/26/12/871492612.db2.gz RTFBDBSUGYFFJX-UHFFFAOYSA-N -1 1 323.740 1.586 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@@H](c2ccco2)C1 ZINC001361863979 882525766 /nfs/dbraw/zinc/52/57/66/882525766.db2.gz WVOKYRNDQGLEHL-LLVKDONJSA-N -1 1 315.329 1.126 20 0 DDADMM Cc1sc(-n2cccc2)c(C(=O)NCc2nn[n-]n2)c1C ZINC001318434997 872036334 /nfs/dbraw/zinc/03/63/34/872036334.db2.gz UKHANXAVIMWHRJ-UHFFFAOYSA-N -1 1 302.363 1.599 20 0 DDADMM C[C@@H](c1ncccn1)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001316819546 872109124 /nfs/dbraw/zinc/10/91/24/872109124.db2.gz NNMMBCXKMMAZPG-LBPRGKRZSA-N -1 1 315.377 1.390 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)[C@H]2CCC(=O)[C@@H](C)C2)[n-]1 ZINC001361895339 882594523 /nfs/dbraw/zinc/59/45/23/882594523.db2.gz MMXFQQPHAVPBLP-WPRPVWTQSA-N -1 1 320.345 1.948 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N(C)c1cn[nH]c1 ZINC001318870632 872315341 /nfs/dbraw/zinc/31/53/41/872315341.db2.gz CYMCPLPBYOGWSN-UHFFFAOYSA-N -1 1 324.344 1.481 20 0 DDADMM Cc1nn(C)c(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)c1C ZINC001361924575 882650059 /nfs/dbraw/zinc/65/00/59/882650059.db2.gz LWIBYQYGSQQLNR-UHFFFAOYSA-N -1 1 319.365 1.968 20 0 DDADMM CCOCCCn1c(-c2c[n-][nH]c2=O)nnc1N(C)C1CCC1 ZINC001344120510 872860734 /nfs/dbraw/zinc/86/07/34/872860734.db2.gz VASRNCSHADGDOA-UHFFFAOYSA-N -1 1 320.397 1.789 20 0 DDADMM O=C([N-]C1CCN(Cc2ccc(F)cn2)CC1)C(F)(F)F ZINC001207041122 872953684 /nfs/dbraw/zinc/95/36/84/872953684.db2.gz OXFBDQNRRQGWNE-UHFFFAOYSA-N -1 1 305.275 1.864 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CCc2c1cccc2O ZINC001345952565 873570611 /nfs/dbraw/zinc/57/06/11/873570611.db2.gz JMBMCTKWFOAEPF-SNVBAGLBSA-N -1 1 317.370 1.902 20 0 DDADMM CNC(=O)c1ccc(NC(=O)c2cnc(C3CC3)[n-]c2=O)cc1 ZINC001361998747 882791770 /nfs/dbraw/zinc/79/17/70/882791770.db2.gz IBYJFZYKVHXCQW-UHFFFAOYSA-N -1 1 312.329 1.672 20 0 DDADMM O=C(CN1C(=O)CCC1=O)Nc1cc(F)c([O-])cc1Cl ZINC001347343044 874106384 /nfs/dbraw/zinc/10/63/84/874106384.db2.gz DBMOMYUINGLYPN-UHFFFAOYSA-N -1 1 300.673 1.272 20 0 DDADMM CC[C@H](CNC(=O)[C@@H](C)c1cccs1)NCc1n[nH]c(=O)[n-]1 ZINC001378292428 874627027 /nfs/dbraw/zinc/62/70/27/874627027.db2.gz JSSVEZGKQSAQOO-VHSXEESVSA-N -1 1 323.422 1.360 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCN(C(=O)N2CCCC2)CC1 ZINC001362050374 882916772 /nfs/dbraw/zinc/91/67/72/882916772.db2.gz UBMCDZLMFFFSGX-UHFFFAOYSA-N -1 1 321.352 1.505 20 0 DDADMM C/C(=C/C(=O)NCCCNC(=O)c1ncccc1[O-])C1CC1 ZINC001349763744 875353320 /nfs/dbraw/zinc/35/33/20/875353320.db2.gz AKKQXYBTVKLKCL-KHPPLWFESA-N -1 1 303.362 1.380 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H](C2CCCC2)C1 ZINC001349857935 875415577 /nfs/dbraw/zinc/41/55/77/875415577.db2.gz PMBNPTMLNLRIFZ-CQSZACIVSA-N -1 1 319.405 1.356 20 0 DDADMM CN(C)C(=O)Nc1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc1 ZINC001210631311 875465301 /nfs/dbraw/zinc/46/53/01/875465301.db2.gz ZVAMMBNYCUZEOV-UHFFFAOYSA-N -1 1 320.378 1.890 20 0 DDADMM Cc1ccc2c(CCC(=O)N3CC[C@H](c4nn[n-]n4)C3)c[nH]c2c1 ZINC001350234479 875591135 /nfs/dbraw/zinc/59/11/35/875591135.db2.gz MKHWCGJARIUHME-ZDUSSCGKSA-N -1 1 324.388 1.938 20 0 DDADMM O=C(NC1(CNC(=O)[C@@H]2CC23CCC3)CC1)c1ncccc1[O-] ZINC001378801701 875662559 /nfs/dbraw/zinc/66/25/59/875662559.db2.gz LODXGUKAZXAVAD-NSHDSACASA-N -1 1 315.373 1.356 20 0 DDADMM CCC[C@H](NC(=O)c1cn2c(n1)C[C@H](C)CC2)c1nn[n-]n1 ZINC001362068206 882957290 /nfs/dbraw/zinc/95/72/90/882957290.db2.gz CRUPPPYLHLIMAE-ZJUUUORDSA-N -1 1 303.370 1.250 20 0 DDADMM CC(C)(CO)n1cc(Nc2[nH]c(=S)[n-]c(=O)c2N=O)cn1 ZINC001213400271 875923484 /nfs/dbraw/zinc/92/34/84/875923484.db2.gz IZCVZXFEPZKNIA-UHFFFAOYSA-N -1 1 310.339 1.536 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ccc4c(cnn4C)c3)no2)[n-]n1 ZINC001213463936 875946442 /nfs/dbraw/zinc/94/64/42/875946442.db2.gz SNWYGDICWOUIER-UHFFFAOYSA-N -1 1 324.300 1.800 20 0 DDADMM CCC(CC)c1nnc(NC(=O)CCCc2nn[n-]n2)s1 ZINC001362078293 882980893 /nfs/dbraw/zinc/98/08/93/882980893.db2.gz KZXRKMBFLWEYKE-UHFFFAOYSA-N -1 1 309.399 1.916 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001381935267 882992106 /nfs/dbraw/zinc/99/21/06/882992106.db2.gz TWIXUAOUAUZSJF-MNOVXSKESA-N -1 1 305.378 1.410 20 0 DDADMM COc1ncccc1-c1noc(-c2c[nH]c(=S)[n-]c2=O)n1 ZINC001213866785 876086080 /nfs/dbraw/zinc/08/60/80/876086080.db2.gz KREADWXZTOJCTG-UHFFFAOYSA-N -1 1 303.303 1.592 20 0 DDADMM COc1nccc(-c2noc(-c3c[nH]c(=S)[n-]c3=O)n2)c1F ZINC001213868461 876086924 /nfs/dbraw/zinc/08/69/24/876086924.db2.gz JFRCHWBLVAXHCX-UHFFFAOYSA-N -1 1 321.293 1.731 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1cc(F)c(CO)c(F)c1 ZINC001214037166 876145480 /nfs/dbraw/zinc/14/54/80/876145480.db2.gz MUCSHVHUKGTYOY-UHFFFAOYSA-N -1 1 314.313 1.840 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC[C@H](C)[S@@](C)=O ZINC001362090996 883009278 /nfs/dbraw/zinc/00/92/78/883009278.db2.gz SHGAFVDFOCZASK-SVWIBVJCSA-N -1 1 317.436 1.099 20 0 DDADMM CN(CCCNC(=O)[C@@]1(C)C=CCC1)C(=O)c1ncccc1[O-] ZINC001351841366 876459296 /nfs/dbraw/zinc/45/92/96/876459296.db2.gz ONCLSSRZKZLTKA-KRWDZBQOSA-N -1 1 317.389 1.722 20 0 DDADMM CC[C@H](NC(=O)c1cnncc1[O-])c1nnc2n1CCCCC2 ZINC001362097983 883031818 /nfs/dbraw/zinc/03/18/18/883031818.db2.gz DFQWWQDYQAEGAJ-NSHDSACASA-N -1 1 316.365 1.381 20 0 DDADMM COc1ccc(C(N)=O)cc1Nc1[nH]c(=S)[n-]c(=O)c1N=O ZINC001215328177 876652617 /nfs/dbraw/zinc/65/26/17/876652617.db2.gz OEXGIIQHZXQTBW-UHFFFAOYSA-N -1 1 321.318 1.720 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@H]2[C@@H]1C(C)(C)[C@H]2O ZINC001352485369 876768648 /nfs/dbraw/zinc/76/86/48/876768648.db2.gz DRTZLGFPSBJIIS-GDPRMGEGSA-N -1 1 323.418 1.401 20 0 DDADMM CN(Cc1ccc(F)c(Cl)c1)c1nnc(-c2nnn[n-]2)n1C ZINC001355332458 878506344 /nfs/dbraw/zinc/50/63/44/878506344.db2.gz BGZJZBZSLCURNU-UHFFFAOYSA-N -1 1 322.735 1.424 20 0 DDADMM CN(Cc1ccc(F)c(Cl)c1)c1nnc(-c2nn[n-]n2)n1C ZINC001355332458 878506355 /nfs/dbraw/zinc/50/63/55/878506355.db2.gz BGZJZBZSLCURNU-UHFFFAOYSA-N -1 1 322.735 1.424 20 0 DDADMM CC[C@H](C)CC(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001380060195 878686940 /nfs/dbraw/zinc/68/69/40/878686940.db2.gz CVENGXNOTGKCBC-WDEREUQCSA-N -1 1 311.430 1.567 20 0 DDADMM CC[C@H](C)CC(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001380323753 879333275 /nfs/dbraw/zinc/33/32/75/879333275.db2.gz YLYADGJXTSCMJL-WCQYABFASA-N -1 1 323.441 1.711 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1ccco1)NC(=O)c1ncccc1[O-] ZINC001395647817 912458752 /nfs/dbraw/zinc/45/87/52/912458752.db2.gz GURCAMJXFLKDLC-NSHDSACASA-N -1 1 317.345 1.200 20 0 DDADMM CC[C@@H](CNC(=O)C1(C)CC=CC1)NC(=O)c1ncccc1[O-] ZINC001356920362 879587407 /nfs/dbraw/zinc/58/74/07/879587407.db2.gz QOPVVYUKYKDIHX-LBPRGKRZSA-N -1 1 317.389 1.768 20 0 DDADMM C[C@@H](CNC(=O)C1CC1)N(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001380586983 879993180 /nfs/dbraw/zinc/99/31/80/879993180.db2.gz HQEAQFDHWFMHBT-VIFPVBQESA-N -1 1 318.377 1.046 20 0 DDADMM CC[C@@H](OCc1nn[n-]n1)c1cc(OC)c(OC)c(OC)c1 ZINC001223026734 880788409 /nfs/dbraw/zinc/78/84/09/880788409.db2.gz HLNFVTYINMCOFB-SNVBAGLBSA-N -1 1 308.338 1.893 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@]2(CC[C@H]2OCc2nn[n-]n2)C1 ZINC001223029482 880790634 /nfs/dbraw/zinc/79/06/34/880790634.db2.gz MBNAWHVLYSDUPZ-QMTHXVAHSA-N -1 1 309.370 1.506 20 0 DDADMM C[C@@H](NC(=O)C(C)(C)F)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001381139053 881114039 /nfs/dbraw/zinc/11/40/39/881114039.db2.gz QWYCNGDHSSSJGK-SECBINFHSA-N -1 1 309.341 1.112 20 0 DDADMM CCOC(=O)C[C@H](Oc1nc(C(=O)OC)n[n-]1)c1ccccc1 ZINC001228308466 883436643 /nfs/dbraw/zinc/43/66/43/883436643.db2.gz HZKINUYMCHFLQR-NSHDSACASA-N -1 1 319.317 1.665 20 0 DDADMM CCOC(=O)C[C@H](Oc1n[n-]c(C(=O)OC)n1)c1ccccc1 ZINC001228308466 883436645 /nfs/dbraw/zinc/43/66/45/883436645.db2.gz HZKINUYMCHFLQR-NSHDSACASA-N -1 1 319.317 1.665 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H](C)COc2cccc(OC)c2)n1 ZINC001228312399 883440578 /nfs/dbraw/zinc/44/05/78/883440578.db2.gz SJVHJHILVDRNDO-SECBINFHSA-N -1 1 307.306 1.446 20 0 DDADMM COC(=O)c1nc(O[C@H](C)COc2cccc(OC)c2)n[n-]1 ZINC001228312399 883440590 /nfs/dbraw/zinc/44/05/90/883440590.db2.gz SJVHJHILVDRNDO-SECBINFHSA-N -1 1 307.306 1.446 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(Cc2cccc(F)c2)CC1 ZINC001362306121 883527157 /nfs/dbraw/zinc/52/71/57/883527157.db2.gz FKQAROKZDHKONL-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM CCOC(=O)c1cn(CCNC(=O)c2cccc([O-])c2F)cn1 ZINC001362483544 883913106 /nfs/dbraw/zinc/91/31/06/883913106.db2.gz YFJYSIBDSPJFAJ-UHFFFAOYSA-N -1 1 321.308 1.335 20 0 DDADMM CC(C)C[C@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001229354424 883939377 /nfs/dbraw/zinc/93/93/77/883939377.db2.gz HNPNMIWKPIQPQX-YNEHKIRRSA-N -1 1 323.441 1.710 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)c1nnc(C)[nH]1 ZINC001362525017 884003477 /nfs/dbraw/zinc/00/34/77/884003477.db2.gz VHKWYHDXINBRIW-ZCFIWIBFSA-N -1 1 308.367 1.130 20 0 DDADMM COc1c(NC(=O)c2ccc(F)c([O-])c2)cccc1C(N)=O ZINC001362547382 884063046 /nfs/dbraw/zinc/06/30/46/884063046.db2.gz JTPOCJZTQPVQMQ-UHFFFAOYSA-N -1 1 304.277 1.891 20 0 DDADMM CC(C)[C@H](NC(=O)c1ccc(F)c([O-])c1)C(=O)N1CCCC1 ZINC001362602429 884194900 /nfs/dbraw/zinc/19/49/00/884194900.db2.gz JFUVSHYNXBAIGZ-AWEZNQCLSA-N -1 1 308.353 1.908 20 0 DDADMM Cn1nc([C@@H]2CCCOC2)cc1NC(=O)c1ccc([O-])cn1 ZINC001362630385 884268254 /nfs/dbraw/zinc/26/82/54/884268254.db2.gz FUBHQNOUJDAJGE-SNVBAGLBSA-N -1 1 302.334 1.667 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@H](CO)NC(=O)c2cccc([O-])c2F)C1 ZINC001362655956 884336382 /nfs/dbraw/zinc/33/63/82/884336382.db2.gz GVICIGXIWSFVQC-WZRBSPASSA-N -1 1 311.353 1.827 20 0 DDADMM COC(=O)[C@H](CC1CCCCC1)NC(=O)CCCc1nn[n-]n1 ZINC001362657171 884340316 /nfs/dbraw/zinc/34/03/16/884340316.db2.gz IHIHSYWJGALQIX-LBPRGKRZSA-N -1 1 323.397 1.151 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(OC)o2)n[n-]1 ZINC001362661518 884352344 /nfs/dbraw/zinc/35/23/44/884352344.db2.gz QWSTYCKTBARZOL-ZETCQYMHSA-N -1 1 308.294 1.074 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(OC)o2)[n-]1 ZINC001362661518 884352357 /nfs/dbraw/zinc/35/23/57/884352357.db2.gz QWSTYCKTBARZOL-ZETCQYMHSA-N -1 1 308.294 1.074 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(OC)o2)n1 ZINC001362661518 884352368 /nfs/dbraw/zinc/35/23/68/884352368.db2.gz QWSTYCKTBARZOL-ZETCQYMHSA-N -1 1 308.294 1.074 20 0 DDADMM O=C([C@@H]1C[C@H]1c1cccc(O)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362665259 884361272 /nfs/dbraw/zinc/36/12/72/884361272.db2.gz OMVKSVLIPGOWJL-FPMFFAJLSA-N -1 1 313.361 1.415 20 0 DDADMM O=C(c1ocnc1C(F)F)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001362674271 884383826 /nfs/dbraw/zinc/38/38/26/884383826.db2.gz SAMHFROXPXSFDQ-RXMQYKEDSA-N -1 1 316.293 1.056 20 0 DDADMM CCOC(=O)[C@@H](CCOC(C)(C)C)NC(=O)c1ccc([O-])cn1 ZINC001362750753 884549004 /nfs/dbraw/zinc/54/90/04/884549004.db2.gz OGCWJXWQIVQYHY-CYBMUJFWSA-N -1 1 324.377 1.654 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)Oc1ccccn1)c1nn[n-]n1 ZINC001362785028 884633046 /nfs/dbraw/zinc/63/30/46/884633046.db2.gz KGZIXFIAIWMYIN-JTQLQIEISA-N -1 1 304.354 1.194 20 0 DDADMM CCC(CC)(NC(=O)c1cc(C)c(C(=O)OC)o1)c1nn[n-]n1 ZINC001362788532 884640823 /nfs/dbraw/zinc/64/08/23/884640823.db2.gz VZDNXJMACFBPNL-UHFFFAOYSA-N -1 1 321.337 1.333 20 0 DDADMM COC(=O)c1c(F)ccc(NC(=O)CCc2nn[n-]n2)c1C ZINC001362799165 884669971 /nfs/dbraw/zinc/66/99/71/884669971.db2.gz NCAYHDRWVZQEGK-UHFFFAOYSA-N -1 1 307.285 1.005 20 0 DDADMM O=C(CCNC(=O)c1ccc(Cl)cc1[O-])Nc1ncc[nH]1 ZINC001362806294 884687581 /nfs/dbraw/zinc/68/75/81/884687581.db2.gz JWPZVXNSZRVEOM-UHFFFAOYSA-N -1 1 308.725 1.527 20 0 DDADMM CCN(C)C(=O)c1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001362811501 884698094 /nfs/dbraw/zinc/69/80/94/884698094.db2.gz NYMCAXLNXZOZSQ-UHFFFAOYSA-N -1 1 300.318 1.526 20 0 DDADMM Cc1n[nH]c(C)c1C[C@@H](C)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001362828933 884740587 /nfs/dbraw/zinc/74/05/87/884740587.db2.gz DIEPGWXTJWRDBK-SNVBAGLBSA-N -1 1 319.413 1.403 20 0 DDADMM COC(=O)c1occc1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362984097 885145143 /nfs/dbraw/zinc/14/51/43/885145143.db2.gz NWTSUQXOBQPMIP-UHFFFAOYSA-N -1 1 317.301 1.369 20 0 DDADMM CCNc1cccnc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362995430 885179523 /nfs/dbraw/zinc/17/95/23/885179523.db2.gz SPDVCBDQTCCMHD-SNVBAGLBSA-N -1 1 301.354 1.046 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCN(Cc2cn[nH]c2)CC1 ZINC001363086882 885430742 /nfs/dbraw/zinc/43/07/42/885430742.db2.gz DPMZMOVAOXYLFP-UHFFFAOYSA-N -1 1 304.325 1.212 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1CCC(=O)C[C@@H]1C)c1nn[n-]n1 ZINC001363098645 885457911 /nfs/dbraw/zinc/45/79/11/885457911.db2.gz HIBXHLAVWDZRQZ-LSJOCFKGSA-N -1 1 311.411 1.115 20 0 DDADMM COC(=O)Nc1cccc(NC(=O)CCc2nn[n-]n2)c1C ZINC001363102464 885468940 /nfs/dbraw/zinc/46/89/40/885468940.db2.gz IERVYKFBIFLHKY-UHFFFAOYSA-N -1 1 304.310 1.258 20 0 DDADMM Cn1cc(O)c(=O)cc1CNC(=O)c1c(F)ccc([O-])c1F ZINC001363152687 885592775 /nfs/dbraw/zinc/59/27/75/885592775.db2.gz BJSRRQNZLPBGBX-UHFFFAOYSA-N -1 1 310.256 1.005 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@H](CNC(=O)c2cnncc2O)C1 ZINC001363167850 885627622 /nfs/dbraw/zinc/62/76/22/885627622.db2.gz NXOGCJKAOPPBIY-MGCOHNPYSA-N -1 1 322.365 1.215 20 0 DDADMM CN(C)C(=O)OC[C@@H]1CCCCN1C(=O)c1ccc([O-])cn1 ZINC001363171876 885634173 /nfs/dbraw/zinc/63/41/73/885634173.db2.gz FLPCFXZIPVIKHS-NSHDSACASA-N -1 1 307.350 1.480 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)c1cc2n(n1)CCC[C@H]2O ZINC001363186861 885666142 /nfs/dbraw/zinc/66/61/42/885666142.db2.gz ZSHXEMZJQJTCNF-GFCCVEGCSA-N -1 1 309.272 1.946 20 0 DDADMM CNC(=O)NC1CCN(Cc2cc(C(=O)[O-])ccc2F)CC1 ZINC001231460274 885682264 /nfs/dbraw/zinc/68/22/64/885682264.db2.gz JEMRQTMXMLRYBX-UHFFFAOYSA-N -1 1 309.341 1.417 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1csc(C)c1C ZINC001363223748 885753845 /nfs/dbraw/zinc/75/38/45/885753845.db2.gz HUXAPNVHDBGSDK-UHFFFAOYSA-N -1 1 309.347 1.505 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc2c(c1)[C@H](O)CCCC2 ZINC001363225168 885758791 /nfs/dbraw/zinc/75/87/91/885758791.db2.gz BAUMXWOPVPRUIP-CQSZACIVSA-N -1 1 315.377 1.921 20 0 DDADMM NC(=O)c1noc2c1CN(Cc1ccc([O-])c(F)c1F)CC2 ZINC001277543786 885769538 /nfs/dbraw/zinc/76/95/38/885769538.db2.gz VRCVRDBQZZGQSZ-UHFFFAOYSA-N -1 1 309.272 1.316 20 0 DDADMM NC(=O)[C@H]1CCSC12CN(Cc1cc(F)c([O-])c(F)c1)C2 ZINC001277565392 885869635 /nfs/dbraw/zinc/86/96/35/885869635.db2.gz GKGJVLYPUZFGMG-SECBINFHSA-N -1 1 314.357 1.463 20 0 DDADMM O=C([O-])COc1ccccc1CN1CCc2c(=O)[nH]ccc2C1 ZINC001231793459 885877588 /nfs/dbraw/zinc/87/75/88/885877588.db2.gz AHMYEPNIRUHIEV-UHFFFAOYSA-N -1 1 314.341 1.809 20 0 DDADMM CCc1noc(C)c1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001363303706 885961198 /nfs/dbraw/zinc/96/11/98/885961198.db2.gz NARBZBIXKFWTTB-UHFFFAOYSA-N -1 1 302.334 1.848 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)CCCF)C1)C(=O)c1ncccc1[O-] ZINC001374482380 913006861 /nfs/dbraw/zinc/00/68/61/913006861.db2.gz NZFFEADIWRCLOY-LBPRGKRZSA-N -1 1 323.368 1.457 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)C[C@H]1CCOC1 ZINC001363402698 886240562 /nfs/dbraw/zinc/24/05/62/886240562.db2.gz YOPXJQJKZDGIEL-MNOVXSKESA-N -1 1 322.365 1.072 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)C[C@H]1CCOC1 ZINC001363402698 886240584 /nfs/dbraw/zinc/24/05/84/886240584.db2.gz YOPXJQJKZDGIEL-MNOVXSKESA-N -1 1 322.365 1.072 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)C[C@H]1CCOC1 ZINC001363402698 886240604 /nfs/dbraw/zinc/24/06/04/886240604.db2.gz YOPXJQJKZDGIEL-MNOVXSKESA-N -1 1 322.365 1.072 20 0 DDADMM COC[C@@H](NC(=O)[C@@H](C)CCCc1ccccc1)c1nn[n-]n1 ZINC001363413810 886270351 /nfs/dbraw/zinc/27/03/51/886270351.db2.gz KDNHAMIMQXBMDX-GXTWGEPZSA-N -1 1 317.393 1.662 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(C(C)C)cc1O)c1nn[n-]n1 ZINC001363428863 886314089 /nfs/dbraw/zinc/31/40/89/886314089.db2.gz BCXJCBZSBNGMLF-LLVKDONJSA-N -1 1 305.338 1.146 20 0 DDADMM COC[C@@H](NC(=O)[C@H](C)CSc1ccccc1)c1nn[n-]n1 ZINC001363428872 886315087 /nfs/dbraw/zinc/31/50/87/886315087.db2.gz BDYYPDATQWUWEX-ZYHUDNBSSA-N -1 1 321.406 1.432 20 0 DDADMM CC(C)(C)OC(=O)c1cccc(NC(=O)CCc2nn[n-]n2)c1 ZINC001363469316 886423323 /nfs/dbraw/zinc/42/33/23/886423323.db2.gz DENUHZBRGVWYCJ-UHFFFAOYSA-N -1 1 317.349 1.726 20 0 DDADMM C[C@@H]1C[C@]2(CC[C@@H](CNC(=O)c3cccc([O-])c3F)O2)CO1 ZINC001363531900 886566432 /nfs/dbraw/zinc/56/64/32/886566432.db2.gz PSGCXRLZRGOINV-OHUAYANFSA-N -1 1 309.337 1.988 20 0 DDADMM CCC(CC)n1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)n1 ZINC001363555333 886626188 /nfs/dbraw/zinc/62/61/88/886626188.db2.gz XBFVIVDIXYXGFP-UHFFFAOYSA-N -1 1 303.370 1.567 20 0 DDADMM C[C@H](CCCCNC(=O)c1ncccc1[O-])NC(=O)C1CCC1 ZINC001288795334 913068369 /nfs/dbraw/zinc/06/83/69/913068369.db2.gz ZSCOWWGFJVCHBT-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM CCOC(=O)[C@@H]1CN(Cc2ccc(F)c([O-])c2F)CCO1 ZINC001232935510 886682143 /nfs/dbraw/zinc/68/21/43/886682143.db2.gz QXGMXBWPSGMJCS-NSHDSACASA-N -1 1 301.289 1.434 20 0 DDADMM CSc1nc(CNC(=O)c2ccc(C(C)=O)o2)cc(=O)[n-]1 ZINC001363631584 886821519 /nfs/dbraw/zinc/82/15/19/886821519.db2.gz KEERYBDMHGCCHC-UHFFFAOYSA-N -1 1 307.331 1.630 20 0 DDADMM NC(=O)[C@@H](C1CC1)N(CC1CC1)C(=O)c1ccc(F)c([O-])c1 ZINC001363632286 886823479 /nfs/dbraw/zinc/82/34/79/886823479.db2.gz VHJSZYKBSKLODA-CQSZACIVSA-N -1 1 306.337 1.647 20 0 DDADMM C[C@@H]1CCc2[nH]nc(C(=O)N3CCC(c4nn[n-]n4)CC3)c2C1 ZINC001363660898 886911932 /nfs/dbraw/zinc/91/19/32/886911932.db2.gz OPRSOEDCBTXHCV-SECBINFHSA-N -1 1 315.381 1.067 20 0 DDADMM COc1cnc(C2(NC(=O)c3cn(C)cn3)CCCC2)[n-]c1=O ZINC001363730809 887091322 /nfs/dbraw/zinc/09/13/22/887091322.db2.gz MYJFAFAZNGMIKE-UHFFFAOYSA-N -1 1 317.349 1.124 20 0 DDADMM CC(C)N(CCN(C)C(=O)c1ncccc1[O-])C(=O)C1CC1 ZINC001384301131 887216795 /nfs/dbraw/zinc/21/67/95/887216795.db2.gz VCHBAODZSYVGJG-UHFFFAOYSA-N -1 1 305.378 1.506 20 0 DDADMM CC(C)(C)[C@H](CNC(=O)C1CCC1)NC(=O)c1ncccc1[O-] ZINC001384355376 887325252 /nfs/dbraw/zinc/32/52/52/887325252.db2.gz HBCURHQJKQOINO-ZDUSSCGKSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)CCC(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001384434756 887455161 /nfs/dbraw/zinc/45/51/61/887455161.db2.gz FPHCRERASMAWJV-UHFFFAOYSA-N -1 1 319.405 1.943 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2ccc(Cl)nn2)n1 ZINC001363919621 887542377 /nfs/dbraw/zinc/54/23/77/887542377.db2.gz OMZIRINOTUOESM-ZETCQYMHSA-N -1 1 310.745 1.276 20 0 DDADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-][C@@H](C)c1nc(C)no1 ZINC001363939759 887579004 /nfs/dbraw/zinc/57/90/04/887579004.db2.gz XENTUWRLAGMTBV-WPRPVWTQSA-N -1 1 319.383 1.090 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc([O-])cc2F)CCN1c1cnccn1 ZINC001364001172 887700250 /nfs/dbraw/zinc/70/02/50/887700250.db2.gz OOTREVGJWRYAFR-LLVKDONJSA-N -1 1 316.336 1.672 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1([C@@H]2CCCCO2)CCC1 ZINC001364039965 887778205 /nfs/dbraw/zinc/77/82/05/887778205.db2.gz PNHYASCNSSMQLL-JTQLQIEISA-N -1 1 315.395 1.335 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cnn(CC2CC2)c1)C(F)(F)F ZINC001364067195 887839979 /nfs/dbraw/zinc/83/99/79/887839979.db2.gz BQCVHMZWAMBBQN-SNVBAGLBSA-N -1 1 311.329 1.912 20 0 DDADMM CC(C)(C)OC(=O)NCC1(CC(=O)Nc2c[n-][nH]c2=O)CC1 ZINC001364092709 887900786 /nfs/dbraw/zinc/90/07/86/887900786.db2.gz MNXWRKJXZLKMAD-UHFFFAOYSA-N -1 1 310.354 1.749 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Nc2cccnn2)[n-]c1=O ZINC001364103317 887925501 /nfs/dbraw/zinc/92/55/01/887925501.db2.gz WPDCLOKZAXDDOZ-SECBINFHSA-N -1 1 316.321 1.350 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2cc(C)ccn2)[n-]c1=O ZINC001364109029 887940570 /nfs/dbraw/zinc/94/05/70/887940570.db2.gz MFGBKKRIOQPODW-UHFFFAOYSA-N -1 1 317.349 1.951 20 0 DDADMM COc1ccc([C@@H](C)Oc2c(C(N)=O)nc(C)[n-]c2=O)cn1 ZINC001234470031 888000834 /nfs/dbraw/zinc/00/08/34/888000834.db2.gz MYEHDUBFZXCPIN-SSDOTTSWSA-N -1 1 304.306 1.133 20 0 DDADMM CC/C=C\CCOC(=O)[C@@H](C)Oc1c(C(N)=O)nc(C)[n-]c1=O ZINC001234469769 888001102 /nfs/dbraw/zinc/00/11/02/888001102.db2.gz KIRRCPIEGSMJOC-SSJHQANKSA-N -1 1 323.349 1.256 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H]1CCC[C@@H](C(=O)OC)C1 ZINC001234564896 888104599 /nfs/dbraw/zinc/10/45/99/888104599.db2.gz QXQCAENNTPXRFP-NXEZZACHSA-N -1 1 324.333 1.388 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H]2CCC(F)(F)C2)c(=O)[n-]1 ZINC001234573929 888113382 /nfs/dbraw/zinc/11/33/82/888113382.db2.gz WIHYEGNBPSMLAZ-ZETCQYMHSA-N -1 1 301.293 1.971 20 0 DDADMM COC1(CC(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)CCC1 ZINC001364248169 888216144 /nfs/dbraw/zinc/21/61/44/888216144.db2.gz VILLPMCUFCMHGP-UHFFFAOYSA-N -1 1 309.366 1.156 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]2C[C@H](NC(=O)c3ccc(F)c([O-])c3)[C@@H]2C1 ZINC001364369082 888473599 /nfs/dbraw/zinc/47/35/99/888473599.db2.gz WEWPZXFPHHHEQD-UZWSLXQKSA-N -1 1 307.321 1.849 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cc(Cl)no2)[n-]c1=O ZINC001364417954 888592771 /nfs/dbraw/zinc/59/27/71/888592771.db2.gz WEWJKHDSBMVNOD-SSDOTTSWSA-N -1 1 324.724 1.810 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Cc2ccon2)[n-]c1=O ZINC001364417591 888593343 /nfs/dbraw/zinc/59/33/43/888593343.db2.gz VFAUPYBSONQMSX-SNVBAGLBSA-N -1 1 304.306 1.085 20 0 DDADMM C[N@@H+](Cc1nnc(-c2ccccc2O)o1)[C@H]1C[C@@H](C(N)=O)C1 ZINC001364484574 888740184 /nfs/dbraw/zinc/74/01/84/888740184.db2.gz YUXWVHMDUQRCLN-AOOOYVTPSA-N -1 1 302.334 1.138 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCO[C@H](C(C)C)C2)n[n-]1 ZINC001364503099 888784689 /nfs/dbraw/zinc/78/46/89/888784689.db2.gz DBUSOHAXANTSSE-QWRGUYRKSA-N -1 1 324.381 1.049 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCO[C@H](C(C)C)C2)n1 ZINC001364503099 888784698 /nfs/dbraw/zinc/78/46/98/888784698.db2.gz DBUSOHAXANTSSE-QWRGUYRKSA-N -1 1 324.381 1.049 20 0 DDADMM CN(C)C(=O)[C@H]1CCCN(Cc2nc(=O)c3sccc3[n-]2)C1 ZINC001364507717 888796132 /nfs/dbraw/zinc/79/61/32/888796132.db2.gz VKWCERXXCWVARP-JTQLQIEISA-N -1 1 320.418 1.697 20 0 DDADMM COC[C@H](NC(=O)C/C=C/c1ccc(F)cc1)c1nn[n-]n1 ZINC001364817246 889477855 /nfs/dbraw/zinc/47/78/55/889477855.db2.gz ZJVMENZBNDEFBY-JDGPPOGSSA-N -1 1 305.313 1.246 20 0 DDADMM CCOCC(C)(C)C[N-]S(=O)(=O)c1csnc1OC ZINC001364850299 889545938 /nfs/dbraw/zinc/54/59/38/889545938.db2.gz DDBANRJBYGGOPE-UHFFFAOYSA-N -1 1 308.425 1.493 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)OCCC(F)(F)F)n[n-]1 ZINC001364879983 889597387 /nfs/dbraw/zinc/59/73/87/889597387.db2.gz HSJIVDZNHJJGAN-LURJTMIESA-N -1 1 324.259 1.721 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)OCCC(F)(F)F)[n-]1 ZINC001364879983 889597394 /nfs/dbraw/zinc/59/73/94/889597394.db2.gz HSJIVDZNHJJGAN-LURJTMIESA-N -1 1 324.259 1.721 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)OCCC(F)(F)F)n1 ZINC001364879983 889597407 /nfs/dbraw/zinc/59/74/07/889597407.db2.gz HSJIVDZNHJJGAN-LURJTMIESA-N -1 1 324.259 1.721 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1C[C@@H]2CCC[C@H]2O1 ZINC001364914995 889658926 /nfs/dbraw/zinc/65/89/26/889658926.db2.gz KIKZZGSQVURLMV-LPEHRKFASA-N -1 1 318.420 1.388 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@@H]1CCC2(CCC2)O1 ZINC001364942069 889721605 /nfs/dbraw/zinc/72/16/05/889721605.db2.gz IZQNGPYAUMXJLR-JTQLQIEISA-N -1 1 315.395 1.335 20 0 DDADMM Cc1ccnc(C)c1NC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001365056652 890001505 /nfs/dbraw/zinc/00/15/05/890001505.db2.gz XKPOIGGPGDOZFZ-UHFFFAOYSA-N -1 1 301.354 1.623 20 0 DDADMM Cc1cc(C(=O)[O-])ccc1CN1Cc2ccnn2CC[C@@H]1CO ZINC001238145452 890070374 /nfs/dbraw/zinc/07/03/74/890070374.db2.gz FIRPKYRVANCJJU-MRXNPFEDSA-N -1 1 315.373 1.657 20 0 DDADMM Cc1cccnc1CCNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001365197341 890318731 /nfs/dbraw/zinc/31/87/31/890318731.db2.gz BSWMLCKQOVOPPV-UHFFFAOYSA-N -1 1 310.361 1.409 20 0 DDADMM COC(=O)c1ccc(C[N-]S(=O)(=O)c2ccns2)o1 ZINC001365394482 890723669 /nfs/dbraw/zinc/72/36/69/890723669.db2.gz GUPLGEHPBJYHNW-UHFFFAOYSA-N -1 1 302.333 1.001 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2cc(C)ccn2)c1 ZINC001365407822 890751829 /nfs/dbraw/zinc/75/18/29/890751829.db2.gz RWPPBSLDXBTFNT-UHFFFAOYSA-N -1 1 308.359 1.583 20 0 DDADMM O=C([O-])c1ccc(-c2ccc(S(=O)(=O)C3CNC3)cc2)s1 ZINC001240848389 891071670 /nfs/dbraw/zinc/07/16/70/891071670.db2.gz XOKWZNLYCAREFI-UHFFFAOYSA-N -1 1 323.395 1.859 20 0 DDADMM CS[C@H](C)C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001386553860 891200440 /nfs/dbraw/zinc/20/04/40/891200440.db2.gz ZYVNJBKRMIUBCA-MNOVXSKESA-N -1 1 323.418 1.117 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H](O)C2CCCCC2)sn1 ZINC001365667295 891280018 /nfs/dbraw/zinc/28/00/18/891280018.db2.gz UYJLPUVMZFGPQY-JTQLQIEISA-N -1 1 320.436 1.371 20 0 DDADMM Cc1ccsc1[C@@H](CO)[N-]S(=O)(=O)c1ccns1 ZINC001365680079 891303197 /nfs/dbraw/zinc/30/31/97/891303197.db2.gz XWTPOINYGJWKBV-MRVPVSSYSA-N -1 1 304.418 1.525 20 0 DDADMM Cc1cc(C(=O)N(C)C)ccc1-c1ccc(-c2nnn[n-]2)nc1 ZINC001243682976 891710777 /nfs/dbraw/zinc/71/07/77/891710777.db2.gz JVDXLYPXTLXKNS-UHFFFAOYSA-N -1 1 308.345 1.939 20 0 DDADMM Cc1cc(C(=O)N(C)C)ccc1-c1ccc(-c2nn[n-]n2)nc1 ZINC001243682976 891710781 /nfs/dbraw/zinc/71/07/81/891710781.db2.gz JVDXLYPXTLXKNS-UHFFFAOYSA-N -1 1 308.345 1.939 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)C1CC(C)(C)C1 ZINC001386850705 891856121 /nfs/dbraw/zinc/85/61/21/891856121.db2.gz HQZWRICQTDADFG-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM CCNC(=O)c1ccc(-c2ccc(-c3nnn[n-]3)nc2)c(F)c1 ZINC001244671703 891885154 /nfs/dbraw/zinc/88/51/54/891885154.db2.gz LYPXLFGMFQPXED-UHFFFAOYSA-N -1 1 312.308 1.818 20 0 DDADMM CCNC(=O)c1ccc(-c2ccc(-c3nn[n-]n3)nc2)c(F)c1 ZINC001244671703 891885165 /nfs/dbraw/zinc/88/51/65/891885165.db2.gz LYPXLFGMFQPXED-UHFFFAOYSA-N -1 1 312.308 1.818 20 0 DDADMM CN(C)C(=O)c1cccc(-c2ccc(-c3nnn[n-]3)nc2)c1F ZINC001244767202 891915197 /nfs/dbraw/zinc/91/51/97/891915197.db2.gz FGPBRZYEFLIXDP-UHFFFAOYSA-N -1 1 312.308 1.770 20 0 DDADMM CN(C)C(=O)c1cccc(-c2ccc(-c3nn[n-]n3)nc2)c1F ZINC001244767202 891915206 /nfs/dbraw/zinc/91/52/06/891915206.db2.gz FGPBRZYEFLIXDP-UHFFFAOYSA-N -1 1 312.308 1.770 20 0 DDADMM C=Cc1cnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nc1 ZINC001244792240 891929522 /nfs/dbraw/zinc/92/95/22/891929522.db2.gz IFZMBIZZRVYJQE-UHFFFAOYSA-N -1 1 306.347 1.562 20 0 DDADMM COc1cc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)cc(C)n1 ZINC001244795907 891930165 /nfs/dbraw/zinc/93/01/65/891930165.db2.gz LIZJRHDBMIYEED-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM COc1ncc(-c2cc(C)c(=O)n(C)c2)cc1[N-]S(C)(=O)=O ZINC001244796467 891930332 /nfs/dbraw/zinc/93/03/32/891930332.db2.gz XSZLVJHPJOXESM-UHFFFAOYSA-N -1 1 323.374 1.136 20 0 DDADMM c1cc(-c2nnn[n-]2)ncc1-c1cncc(N2CCOCC2)c1 ZINC001245274813 892065850 /nfs/dbraw/zinc/06/58/50/892065850.db2.gz VGMXEIVSUSKCFV-UHFFFAOYSA-N -1 1 309.333 1.160 20 0 DDADMM c1cc(-c2nn[n-]n2)ncc1-c1cncc(N2CCOCC2)c1 ZINC001245274813 892065869 /nfs/dbraw/zinc/06/58/69/892065869.db2.gz VGMXEIVSUSKCFV-UHFFFAOYSA-N -1 1 309.333 1.160 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)c1cc(F)c[nH]1 ZINC001387184229 892567627 /nfs/dbraw/zinc/56/76/27/892567627.db2.gz ZXTRQCJGWOZIPU-UHFFFAOYSA-N -1 1 320.324 1.147 20 0 DDADMM C[C@H](CNC(=O)C[C@H](C)C1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001366333241 893198882 /nfs/dbraw/zinc/19/88/82/893198882.db2.gz QDOJESQGSCZZTO-NWDGAFQWSA-N -1 1 323.441 1.711 20 0 DDADMM COC(=O)c1ccc(Nc2c(N)[nH]c(=S)[n-]c2=O)c(OC)c1 ZINC001249948943 894169824 /nfs/dbraw/zinc/16/98/24/894169824.db2.gz CUKFSOCVGWKAHD-UHFFFAOYSA-N -1 1 322.346 1.592 20 0 DDADMM O=S(=O)([N-]c1ccc2nn(CCO)cc2c1)c1ccccc1 ZINC001251141428 894647641 /nfs/dbraw/zinc/64/76/41/894647641.db2.gz UREPIPGSKADLQA-UHFFFAOYSA-N -1 1 317.370 1.829 20 0 DDADMM CCS(=O)(=O)[N-]c1cn2cc(Br)ccc2n1 ZINC001253277987 895767342 /nfs/dbraw/zinc/76/73/42/895767342.db2.gz YVABKOVQMKUMBD-UHFFFAOYSA-N -1 1 304.169 1.858 20 0 DDADMM CCCC(=O)NC[C@H]1CCC[C@H]1NC(=O)c1ncccc1[O-] ZINC001389031799 896382578 /nfs/dbraw/zinc/38/25/78/896382578.db2.gz GJEYQWBLOUFTPJ-VXGBXAGGSA-N -1 1 305.378 1.602 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)CCN[C@H](C)c2ncccn2)c1[O-] ZINC001367638760 897307213 /nfs/dbraw/zinc/30/72/13/897307213.db2.gz HRRCDOXIBPFNHU-MWLCHTKSSA-N -1 1 318.381 1.073 20 0 DDADMM Cc1ccc(F)cc1S(=O)(=O)[N-][C@@H](CO)C(F)(F)F ZINC001258929321 898364938 /nfs/dbraw/zinc/36/49/38/898364938.db2.gz KUMPRMPIRJYIBL-VIFPVBQESA-N -1 1 301.261 1.336 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2cc(F)ccc2F)CC[C@@H](O)CC1 ZINC001258951061 898377299 /nfs/dbraw/zinc/37/72/99/898377299.db2.gz MEKIMARRNBNNNJ-MJHDQNEOSA-N -1 1 305.346 1.937 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)CC(=O)OC)cc1OCC ZINC001259026318 898424041 /nfs/dbraw/zinc/42/40/41/898424041.db2.gz OLJYGUYSFWOEHT-UHFFFAOYSA-N -1 1 317.363 1.399 20 0 DDADMM CC1(C)C[C@@H](C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)O1 ZINC001259081220 898456453 /nfs/dbraw/zinc/45/64/53/898456453.db2.gz GKJPXPRVYIMRIC-ZETCQYMHSA-N -1 1 309.309 1.950 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC[C@@H]1F)c1cccc(Cl)c1F ZINC001259471759 898683789 /nfs/dbraw/zinc/68/37/89/898683789.db2.gz QXQWBJIULNXNFO-IUCAKERBSA-N -1 1 311.737 1.884 20 0 DDADMM O=S(=O)([N-]c1c(F)cccc1OC1COC1)c1cccnc1 ZINC001259609442 898739133 /nfs/dbraw/zinc/73/91/33/898739133.db2.gz WSTOBHRQOYNUJF-UHFFFAOYSA-N -1 1 324.333 1.799 20 0 DDADMM O=c1[nH]ncc([N-]S(=O)(=O)CCc2ccccc2)c1Cl ZINC001259732635 898766826 /nfs/dbraw/zinc/76/68/26/898766826.db2.gz CKPHVMKKDWXRQM-UHFFFAOYSA-N -1 1 313.766 1.820 20 0 DDADMM COc1ccc(CS(=O)(=O)[N-]c2cn(C)nc2C(C)=O)cc1 ZINC001259892687 898902633 /nfs/dbraw/zinc/90/26/33/898902633.db2.gz CSLPJELUKHXNPI-UHFFFAOYSA-N -1 1 323.374 1.573 20 0 DDADMM CCOC(=O)C[C@@H]([N-]S(=O)(=O)C(F)F)c1ccccc1 ZINC001259961804 898983222 /nfs/dbraw/zinc/98/32/22/898983222.db2.gz VGFPOIALFNIFAL-SNVBAGLBSA-N -1 1 307.318 1.823 20 0 DDADMM Cc1cnc(NS(=O)(=O)c2cccc(C(=O)[O-])c2)nc1C ZINC001260182802 899075419 /nfs/dbraw/zinc/07/54/19/899075419.db2.gz OVVWXCTXDUMCQQ-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cc(F)ccn2)ccc1O ZINC001260392794 899134678 /nfs/dbraw/zinc/13/46/78/899134678.db2.gz BQTJZSURWJIIOR-UHFFFAOYSA-N -1 1 312.278 1.425 20 0 DDADMM CC(C)c1nc(C[N-]S(=O)(=O)Cc2ccccc2F)no1 ZINC001260628759 899187849 /nfs/dbraw/zinc/18/78/49/899187849.db2.gz PGUIXDCTNCXOHZ-UHFFFAOYSA-N -1 1 313.354 1.952 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]C2CC(F)(F)C2)s1 ZINC001260699846 899223485 /nfs/dbraw/zinc/22/34/85/899223485.db2.gz KWTRGJZRIFNTPY-UHFFFAOYSA-N -1 1 311.335 1.178 20 0 DDADMM Cc1n[nH]c(C(=O)NCC[C@@H](C)NCc2nccs2)c1[O-] ZINC001390665245 899902982 /nfs/dbraw/zinc/90/29/82/899902982.db2.gz LTOHULMJJMBVDG-MRVPVSSYSA-N -1 1 309.395 1.178 20 0 DDADMM Cc1n[nH]c(C(=O)NCCN(C)C/C=C/c2ccccc2)c1[O-] ZINC001390752719 900100895 /nfs/dbraw/zinc/10/08/95/900100895.db2.gz NTNAVLYQEMJFRG-RMKNXTFCSA-N -1 1 314.389 1.799 20 0 DDADMM O=C(c1[nH]ccc1-c1ccccc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001263886776 900800674 /nfs/dbraw/zinc/80/06/74/900800674.db2.gz WKUPJELWOYKFMU-GFCCVEGCSA-N -1 1 308.345 1.825 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2ccc(CO)cc2)cc1 ZINC000386878374 901027895 /nfs/dbraw/zinc/02/78/95/901027895.db2.gz CFDGCNUDKFIFSW-UHFFFAOYSA-N -1 1 320.370 1.339 20 0 DDADMM Cc1ccoc1C(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001370442534 903166505 /nfs/dbraw/zinc/16/65/05/903166505.db2.gz MIJHDRMJCYCZSZ-LLVKDONJSA-N -1 1 317.345 1.579 20 0 DDADMM CCc1cc(C(=O)N(C)c2nn[n-]n2)c2c(n1)onc2C(C)C ZINC001279188117 903189951 /nfs/dbraw/zinc/18/99/51/903189951.db2.gz OYFQLOCMVVEPRZ-UHFFFAOYSA-N -1 1 315.337 1.698 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001370475325 903248131 /nfs/dbraw/zinc/24/81/31/903248131.db2.gz UEGZBAPAJCRNQW-QJPTWQEYSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C1(C)C(C)(C)C1(C)C ZINC001392144793 903384038 /nfs/dbraw/zinc/38/40/38/903384038.db2.gz KWVOWKNPZOWARX-SNVBAGLBSA-N -1 1 323.441 1.519 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC1(C)C ZINC001375060501 914823054 /nfs/dbraw/zinc/82/30/54/914823054.db2.gz PLDMLUYXGQKTKB-GHMZBOCLSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1c(F)cccc1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282983922 906400377 /nfs/dbraw/zinc/40/03/77/906400377.db2.gz YAEDSQQUSLDFNF-UHFFFAOYSA-N -1 1 317.320 1.395 20 0 DDADMM C[C@H](CCNC(=O)[C@]12C[C@H]1CCC2)NC(=O)c1ncccc1[O-] ZINC001373323323 909513817 /nfs/dbraw/zinc/51/38/17/909513817.db2.gz ACUQUBUJNYXAID-PSTGCABASA-N -1 1 317.389 1.602 20 0 DDADMM CCC(CC)CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001394670470 910226101 /nfs/dbraw/zinc/22/61/01/910226101.db2.gz NYNHPEZQXMHFEC-PWSUYJOCSA-N -1 1 309.414 1.416 20 0 DDADMM C[C@@H](CNC(=O)C[C@@H]1CC=CCC1)NC(=O)c1ncccc1[O-] ZINC001285723166 911100313 /nfs/dbraw/zinc/10/03/13/911100313.db2.gz NIOKNGVLCINDSS-QWHCGFSZSA-N -1 1 317.389 1.768 20 0 DDADMM CC/C(C)=C/C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001285852870 911304502 /nfs/dbraw/zinc/30/45/02/911304502.db2.gz MDDAIVYWUGTXCM-ZKQHCESOSA-N -1 1 303.362 1.474 20 0 DDADMM CC/C(C)=C/C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001285852867 911306043 /nfs/dbraw/zinc/30/60/43/911306043.db2.gz MDDAIVYWUGTXCM-LMMOQWNQSA-N -1 1 303.362 1.474 20 0 DDADMM CCC(=O)N[C@@]1(CNC(=O)c2ncccc2[O-])CCCC[C@H]1C ZINC001375394331 915802796 /nfs/dbraw/zinc/80/27/96/915802796.db2.gz WWENGOZDFSGIHK-SJKOYZFVSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)/C=C/C(C)(C)C ZINC001295469010 915824713 /nfs/dbraw/zinc/82/47/13/915824713.db2.gz FYPHRWDLMNQRIZ-AEZGRPFRSA-N -1 1 305.378 1.624 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@@H]1CC12CCC2 ZINC001375698622 916735970 /nfs/dbraw/zinc/73/59/70/916735970.db2.gz UGEYVRZALOQQKL-RYUDHWBXSA-N -1 1 317.389 1.460 20 0 DDADMM COc1cc(CCO)ccc1NC(=O)C(=O)c1ccc([O-])cc1 ZINC001297229021 916821510 /nfs/dbraw/zinc/82/15/10/916821510.db2.gz KKUAMDAPOMWSHI-UHFFFAOYSA-N -1 1 315.325 1.757 20 0 DDADMM CCn1ccc(C[NH2+][C@@H](C)CCNC(=O)c2ncccc2[O-])n1 ZINC001377421830 921841180 /nfs/dbraw/zinc/84/11/80/921841180.db2.gz XQZUFZWHTPINHZ-LBPRGKRZSA-N -1 1 317.393 1.302 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)c3ccco3)nc2n1 ZINC000622994716 365588072 /nfs/dbraw/zinc/58/80/72/365588072.db2.gz ZLLLHXSYMFUCPQ-QMMMGPOBSA-N -1 1 301.306 1.315 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3(COCC)CC3)nc2n1 ZINC000622997548 365589592 /nfs/dbraw/zinc/58/95/92/365589592.db2.gz BPHSTZPYPRVMCN-UHFFFAOYSA-N -1 1 319.365 1.125 20 0 DDADMM Cc1c(C(=O)Nc2nnn[n-]2)cnn1-c1cccc(Cl)c1 ZINC000076963707 185061835 /nfs/dbraw/zinc/06/18/35/185061835.db2.gz TXAATFLYLABPDI-UHFFFAOYSA-N -1 1 303.713 1.600 20 0 DDADMM Cc1c(C(=O)Nc2nn[n-]n2)cnn1-c1cccc(Cl)c1 ZINC000076963707 185061836 /nfs/dbraw/zinc/06/18/36/185061836.db2.gz TXAATFLYLABPDI-UHFFFAOYSA-N -1 1 303.713 1.600 20 0 DDADMM COCCOC(=O)CSCc1cc(=O)oc2cc([O-])ccc12 ZINC000278391221 214137347 /nfs/dbraw/zinc/13/73/47/214137347.db2.gz RVFXXFZAVGBAFV-UHFFFAOYSA-N -1 1 324.354 1.921 20 0 DDADMM CCCc1nc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)co1 ZINC000614388910 361831938 /nfs/dbraw/zinc/83/19/38/361831938.db2.gz JDYWDLJAPONGQZ-VIFPVBQESA-N -1 1 306.322 1.736 20 0 DDADMM CC[C@](COC)(NC(=O)c1ccc(Cl)cc1[O-])C(=O)OC ZINC000457256535 529383658 /nfs/dbraw/zinc/38/36/58/529383658.db2.gz JRORNRIPUMZEPI-CQSZACIVSA-N -1 1 315.753 1.744 20 0 DDADMM CCc1c([N-]S(=O)(=O)CCOC)cnn1CCC(C)C ZINC000491593365 529498008 /nfs/dbraw/zinc/49/80/08/529498008.db2.gz FPGCYZCSHJBDSO-UHFFFAOYSA-N -1 1 303.428 1.880 20 0 DDADMM O=C(NC1CCN(c2ncccn2)CC1)c1c([O-])cccc1F ZINC000130300388 539186068 /nfs/dbraw/zinc/18/60/68/539186068.db2.gz NZJPBTBARZDQKU-UHFFFAOYSA-N -1 1 316.336 1.720 20 0 DDADMM CO[C@H]1COCC[C@H]1CNC(=O)c1ncc2ccccc2c1[O-] ZINC000615739002 362419849 /nfs/dbraw/zinc/41/98/49/362419849.db2.gz LTURTKMKGOEYOL-JSGCOSHPSA-N -1 1 316.357 1.722 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc(C(F)F)cc1 ZINC000289934783 282350754 /nfs/dbraw/zinc/35/07/54/282350754.db2.gz RLRJPTJKIAGEBL-UHFFFAOYSA-N -1 1 317.317 1.981 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@]2(C)CCCC[C@H]2C)co1 ZINC000457260196 530026136 /nfs/dbraw/zinc/02/61/36/530026136.db2.gz NIUPQDTVXVNKJD-QMTHXVAHSA-N -1 1 314.407 1.886 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCn3ccnc3C2)c1Br ZINC000616006891 362518042 /nfs/dbraw/zinc/51/80/42/362518042.db2.gz MFGOLMFXDJRJLN-QMMMGPOBSA-N -1 1 324.182 1.300 20 0 DDADMM COCc1nc(C(=O)N=c2[nH][n-]c(C)c2Br)co1 ZINC000616009491 362519675 /nfs/dbraw/zinc/51/96/75/362519675.db2.gz VQAZUQOMQPRQNV-UHFFFAOYSA-N -1 1 315.127 1.289 20 0 DDADMM Cc1n[nH]c(C)c1CCNC(=O)c1csc(=NC2CC2)[n-]1 ZINC000616029602 362525288 /nfs/dbraw/zinc/52/52/88/362525288.db2.gz VJNLFICPEGPEQI-UHFFFAOYSA-N -1 1 305.407 1.452 20 0 DDADMM CC(C)C(=O)NCCC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000158698153 290746738 /nfs/dbraw/zinc/74/67/38/290746738.db2.gz ZEJUPDNGQNYWHV-UHFFFAOYSA-N -1 1 324.402 1.873 20 0 DDADMM CC(C)NC(=O)[C@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000616310056 362628740 /nfs/dbraw/zinc/62/87/40/362628740.db2.gz IGQGXRIBJWXREB-JTQLQIEISA-N -1 1 301.346 1.583 20 0 DDADMM Cc1ccc(C(=O)Nc2ccncc2[O-])cc1S(C)(=O)=O ZINC000358363985 299131101 /nfs/dbraw/zinc/13/11/01/299131101.db2.gz XZRTXNDGQQHJQE-UHFFFAOYSA-N -1 1 306.343 1.173 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCCc3c2ccc(=O)n3C)c([O-])c1 ZINC000616555010 362750909 /nfs/dbraw/zinc/75/09/09/362750909.db2.gz MXLWLONDZAGVHR-GFCCVEGCSA-N -1 1 313.357 1.602 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3CCCN3C(N)=O)[nH][n-]2)s1 ZINC000616811116 362829892 /nfs/dbraw/zinc/82/98/92/362829892.db2.gz JPAJAMWMZKKZKN-JTQLQIEISA-N -1 1 319.390 1.350 20 0 DDADMM CC(=O)Nc1cccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000358403025 299143226 /nfs/dbraw/zinc/14/32/26/299143226.db2.gz ABCMKHYFICPALF-SECBINFHSA-N -1 1 302.338 1.034 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)N(C)Cc1cnc[nH]1 ZINC000616924751 362871059 /nfs/dbraw/zinc/87/10/59/362871059.db2.gz VCXRAFUBGNXXMX-UHFFFAOYSA-N -1 1 322.390 1.444 20 0 DDADMM Cc1cnc(C(=O)N(C)[C@H](CO)Cc2ccccc2)c([O-])c1 ZINC000616932377 362877894 /nfs/dbraw/zinc/87/78/94/362877894.db2.gz SCNCQQZANDZZFZ-AWEZNQCLSA-N -1 1 300.358 1.771 20 0 DDADMM O=S(=O)(C[C@H]1CCCCO1)[N-]c1ccccc1-n1ccnn1 ZINC000172590524 198119886 /nfs/dbraw/zinc/11/98/86/198119886.db2.gz NBFBQWRUCMDTDX-GFCCVEGCSA-N -1 1 322.390 1.578 20 0 DDADMM CCCc1cc(=O)[n-]c(SCc2nc(N)nc(N(C)C)n2)n1 ZINC000024959166 352193120 /nfs/dbraw/zinc/19/31/20/352193120.db2.gz VLJZHGPJULKRQR-UHFFFAOYSA-N -1 1 321.410 1.260 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2csc(=NC3CC3)[n-]2)c2ncnn21 ZINC000617442793 363111647 /nfs/dbraw/zinc/11/16/47/363111647.db2.gz RBFCOHDZKUWHIE-VXNVDRBHSA-N -1 1 304.379 1.167 20 0 DDADMM COc1cc(NC(=O)[C@H]2CCCO2)ccc1[N-]S(C)(=O)=O ZINC000029966822 352248106 /nfs/dbraw/zinc/24/81/06/352248106.db2.gz AWXYQOYWIGHPKP-LLVKDONJSA-N -1 1 314.363 1.184 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)C2CCC(C)CC2)o1 ZINC000032993361 352286663 /nfs/dbraw/zinc/28/66/63/352286663.db2.gz AGZBEIJBPIBQBO-UHFFFAOYSA-N -1 1 314.407 1.838 20 0 DDADMM COc1cc[n-]c(=NNC(=O)NC[C@H](C)c2ccccc2)n1 ZINC000043306463 352364487 /nfs/dbraw/zinc/36/44/87/352364487.db2.gz KLSDODYGBWZUHO-NSHDSACASA-N -1 1 301.350 1.915 20 0 DDADMM CCC(C)(C)NC(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000047330672 352490885 /nfs/dbraw/zinc/49/08/85/352490885.db2.gz ZTMIKQSLXRMGSM-UHFFFAOYSA-N -1 1 314.389 1.988 20 0 DDADMM O=C1NCCC[C@H]1[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC000051157187 352580458 /nfs/dbraw/zinc/58/04/58/352580458.db2.gz ANPYZLYZTLJNPZ-SECBINFHSA-N -1 1 323.201 1.550 20 0 DDADMM CCc1n[nH]cc1C(=O)NCCc1n[n-]c(=S)n1C(C)C ZINC000067073069 353032934 /nfs/dbraw/zinc/03/29/34/353032934.db2.gz JNLCGGGDAZDOCR-UHFFFAOYSA-N -1 1 308.411 1.780 20 0 DDADMM O=S(=O)([N-]c1ccc(F)cc1)c1cccc(-n2cnnn2)c1 ZINC000067660102 353060999 /nfs/dbraw/zinc/06/09/99/353060999.db2.gz AKAFZCQSLNEWDO-UHFFFAOYSA-N -1 1 319.321 1.602 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@@H](C)c2ccco2)o1 ZINC000073919553 353278730 /nfs/dbraw/zinc/27/87/30/353278730.db2.gz BNYOFGAHGOZEFW-VIFPVBQESA-N -1 1 312.347 1.614 20 0 DDADMM COc1ccccc1O[C@@H](C)CNC(=O)c1cncc([O-])c1 ZINC000174571100 198353522 /nfs/dbraw/zinc/35/35/22/198353522.db2.gz AJYVYVRQDQENMS-NSHDSACASA-N -1 1 302.330 1.993 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCC1(O)CCC1 ZINC000081431526 353673248 /nfs/dbraw/zinc/67/32/48/353673248.db2.gz XFJYNJGEUBAORI-UHFFFAOYSA-N -1 1 318.377 1.016 20 0 DDADMM O=c1[n-]c(CN2CCC[C@@H]2c2n[nH]c(-c3ccccc3)n2)n[nH]1 ZINC000089267148 353763439 /nfs/dbraw/zinc/76/34/39/353763439.db2.gz PWIZQRPXLBJLIZ-LLVKDONJSA-N -1 1 311.349 1.220 20 0 DDADMM CCn1nc(C)c(S(=O)(=O)[N-]CC(F)(F)C(F)F)c1C ZINC000277079785 213280560 /nfs/dbraw/zinc/28/05/60/213280560.db2.gz RYIUWINUZPJNMS-UHFFFAOYSA-N -1 1 317.308 1.699 20 0 DDADMM COCCOc1ncccc1CNC(=O)c1cc(F)ccc1[O-] ZINC000094056799 353908967 /nfs/dbraw/zinc/90/89/67/353908967.db2.gz NPFUSVXSUSSIFA-UHFFFAOYSA-N -1 1 320.320 1.882 20 0 DDADMM CO[C@]1(CO)CCCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000617802401 363317914 /nfs/dbraw/zinc/31/79/14/363317914.db2.gz KEJDFXRLHWOHDL-CQSZACIVSA-N -1 1 301.289 1.284 20 0 DDADMM CC(C)[C@H]1C[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000357229003 291022224 /nfs/dbraw/zinc/02/22/24/291022224.db2.gz UVHNUEUQKHKIDK-BXUZGUMPSA-N -1 1 315.373 1.857 20 0 DDADMM Cn1nccc1CS(=O)(=O)c1nc(-c2ccccc2F)n[n-]1 ZINC000195128775 354295406 /nfs/dbraw/zinc/29/54/06/354295406.db2.gz BMANQTKBCQJGRG-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM Cn1nccc1CS(=O)(=O)c1n[n-]c(-c2ccccc2F)n1 ZINC000195128775 354295408 /nfs/dbraw/zinc/29/54/08/354295408.db2.gz BMANQTKBCQJGRG-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM O=C([O-])c1ccc([N+](=O)[O-])c(NCCN2CCSCC2)c1 ZINC000230940224 354328048 /nfs/dbraw/zinc/32/80/48/354328048.db2.gz ZARLGZQNIFHQKM-UHFFFAOYSA-N -1 1 311.363 1.754 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1 ZINC000332468671 235002036 /nfs/dbraw/zinc/00/20/36/235002036.db2.gz RQSFXGMIRSJADB-GHMZBOCLSA-N -1 1 322.365 1.823 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCCOC(C)C)o1 ZINC000358843158 291052308 /nfs/dbraw/zinc/05/23/08/291052308.db2.gz SUXJDKBBFLVAKO-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM Cc1cnc(C(=O)N[C@H](C)c2nnc3n2CCCCC3)c([O-])c1 ZINC000494920911 235103304 /nfs/dbraw/zinc/10/33/04/235103304.db2.gz FMZPELJJTVWCQE-LLVKDONJSA-N -1 1 315.377 1.905 20 0 DDADMM CC(C)n1c(CNC(=O)[C@H](O)c2ccccc2)n[n-]c1=S ZINC000073497136 191320747 /nfs/dbraw/zinc/32/07/47/191320747.db2.gz OKTYCWGQYNPPPM-GFCCVEGCSA-N -1 1 306.391 1.871 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC(Oc2ccccc2)C1 ZINC000591399998 355305032 /nfs/dbraw/zinc/30/50/32/355305032.db2.gz STAYZTCFJHIOIZ-UHFFFAOYSA-N -1 1 321.336 1.827 20 0 DDADMM COCCS(=O)(=O)[N-][C@H](c1nc(C2CC2)no1)C(C)C ZINC000075941404 191539442 /nfs/dbraw/zinc/53/94/42/191539442.db2.gz YZZDSBMQDIBMBR-JTQLQIEISA-N -1 1 303.384 1.210 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OC(C)(C)C ZINC000592101224 355498626 /nfs/dbraw/zinc/49/86/26/355498626.db2.gz PSNPIJZFWSIJCX-NSHDSACASA-N -1 1 318.395 1.523 20 0 DDADMM C[C@@H](CCNC(=O)Cc1ccc([O-])c(Cl)c1)[S@](C)=O ZINC000601334586 358503909 /nfs/dbraw/zinc/50/39/09/358503909.db2.gz BEDJFTBUMNNBDV-UGZDLDLSSA-N -1 1 303.811 1.861 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C(C)(C2CC2)C2CC2)c1 ZINC000595360326 356464311 /nfs/dbraw/zinc/46/43/11/356464311.db2.gz FSDYTVIRTFNTAS-UHFFFAOYSA-N -1 1 313.375 1.923 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C2(C)CCOCC2)cc1C ZINC000595320722 356448119 /nfs/dbraw/zinc/44/81/19/356448119.db2.gz GSBMOHHZJRUNPT-UHFFFAOYSA-N -1 1 317.363 1.222 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC[C@H]2CCOC2)cc1C ZINC000595321056 356448379 /nfs/dbraw/zinc/44/83/79/356448379.db2.gz JZXMVCDANAPQQJ-JTQLQIEISA-N -1 1 317.363 1.080 20 0 DDADMM CCOCCN(CC)C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081688679 192313321 /nfs/dbraw/zinc/31/33/21/192313321.db2.gz NJGVQJCRXHKAQN-UHFFFAOYSA-N -1 1 309.410 1.874 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)CC(C)(C)C ZINC000081758575 192319831 /nfs/dbraw/zinc/31/98/31/192319831.db2.gz ZCWBDLHMXPPFPM-LLVKDONJSA-N -1 1 323.437 1.903 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)[C@@H]1CCCC[C@@H]1OC)C(C)(C)C ZINC000601348009 358509981 /nfs/dbraw/zinc/50/99/81/358509981.db2.gz PDDKWPZIENWSIO-QJPTWQEYSA-N -1 1 321.439 1.451 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCC(C)(F)F)C(C)(C)C ZINC000601348252 358510178 /nfs/dbraw/zinc/51/01/78/358510178.db2.gz VDLKCJQLQIVVSW-MRVPVSSYSA-N -1 1 301.355 1.539 20 0 DDADMM CSCc1cnc(CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)s1 ZINC000596656539 356943617 /nfs/dbraw/zinc/94/36/17/356943617.db2.gz KOLTZXMUWNALAC-NOZJJQNGSA-N -1 1 314.432 1.539 20 0 DDADMM Cc1ccccc1CN1CCN(c2ccc(C(=O)[O-])nn2)CC1 ZINC000565307008 304061480 /nfs/dbraw/zinc/06/14/80/304061480.db2.gz GOUDTZLAURZLCD-UHFFFAOYSA-N -1 1 312.373 1.805 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H](C)Cc1ccco1 ZINC000598825166 357736607 /nfs/dbraw/zinc/73/66/07/357736607.db2.gz SLGKKZMEFKIOJU-JTQLQIEISA-N -1 1 305.334 1.429 20 0 DDADMM CC(C)Cc1nc(=NC(=O)c2cnc3nc[nH]c3c2)s[n-]1 ZINC000618348363 363599448 /nfs/dbraw/zinc/59/94/48/363599448.db2.gz LPQFNACIPJNZSV-UHFFFAOYSA-N -1 1 302.363 1.682 20 0 DDADMM O=C(CCc1cc(Br)cs1)NCc1nn[n-]n1 ZINC000600506552 358243211 /nfs/dbraw/zinc/24/32/11/358243211.db2.gz ZTPJGLOSNQEADG-UHFFFAOYSA-N -1 1 316.184 1.273 20 0 DDADMM CN(C)C[C@H](NC(=O)N=c1[n-]ncs1)c1ccc(F)cc1 ZINC000348152518 283392758 /nfs/dbraw/zinc/39/27/58/283392758.db2.gz HSUBPVOXTBKZOI-NSHDSACASA-N -1 1 309.370 1.524 20 0 DDADMM Cc1ocnc1C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000358923727 299283094 /nfs/dbraw/zinc/28/30/94/299283094.db2.gz XRQLBUKDDIDPCF-UHFFFAOYSA-N -1 1 313.310 1.746 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2Cc3ccccc3C2)n[n-]1 ZINC000603018290 359359655 /nfs/dbraw/zinc/35/96/55/359359655.db2.gz QCCONABFPOANEE-UHFFFAOYSA-N -1 1 314.345 1.013 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2Cc3ccccc3C2)n1 ZINC000603018290 359359661 /nfs/dbraw/zinc/35/96/61/359359661.db2.gz QCCONABFPOANEE-UHFFFAOYSA-N -1 1 314.345 1.013 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)CC[S@@](=O)C1 ZINC000603051794 359377989 /nfs/dbraw/zinc/37/79/89/359377989.db2.gz LUDYDWZQXFKQHW-LADRHHBVSA-N -1 1 323.443 1.951 20 0 DDADMM COc1cccc(CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000618487106 363648762 /nfs/dbraw/zinc/64/87/62/363648762.db2.gz JTPOOHXHVLNKOG-MRXNPFEDSA-N -1 1 323.356 1.657 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](CC)CC(F)F)n[n-]1 ZINC000603156684 359443163 /nfs/dbraw/zinc/44/31/63/359443163.db2.gz SKJAHGLMHZIHER-SFYZADRCSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](CC)CC(F)F)[n-]1 ZINC000603156684 359443166 /nfs/dbraw/zinc/44/31/66/359443166.db2.gz SKJAHGLMHZIHER-SFYZADRCSA-N -1 1 318.324 1.840 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](CC)CC(F)F)n1 ZINC000603156684 359443171 /nfs/dbraw/zinc/44/31/71/359443171.db2.gz SKJAHGLMHZIHER-SFYZADRCSA-N -1 1 318.324 1.840 20 0 DDADMM Cc1ccc(OCc2cccc(C(=O)NCc3nn[n-]n3)c2)cn1 ZINC000603870014 359690537 /nfs/dbraw/zinc/69/05/37/359690537.db2.gz IJOFVBRSFUIQOI-UHFFFAOYSA-N -1 1 324.344 1.412 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1=CCCCC1 ZINC000187970558 200184937 /nfs/dbraw/zinc/18/49/37/200184937.db2.gz SFRIKVGPUUTETE-UHFFFAOYSA-N -1 1 300.362 1.756 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2noc(C)n2)sc1Cl ZINC000188051937 200186652 /nfs/dbraw/zinc/18/66/52/200186652.db2.gz UPRKEEPPSYLVCJ-UHFFFAOYSA-N -1 1 307.784 1.880 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2noc(C3CCOCC3)n2)n1 ZINC000188100788 200193767 /nfs/dbraw/zinc/19/37/67/200193767.db2.gz PKUPRHZCQZGHLL-UHFFFAOYSA-N -1 1 322.390 1.902 20 0 DDADMM CO[C@@H]1CC[C@@H](NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000188282935 200221815 /nfs/dbraw/zinc/22/18/15/200221815.db2.gz FDSSGLFULPWTDK-GHMZBOCLSA-N -1 1 307.394 1.673 20 0 DDADMM CCn1cnnc1CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000605434615 359857380 /nfs/dbraw/zinc/85/73/80/359857380.db2.gz BXTQLQHYAIQTGM-UHFFFAOYSA-N -1 1 316.333 1.097 20 0 DDADMM O=C(NCC1COC1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000624892813 366611388 /nfs/dbraw/zinc/61/13/88/366611388.db2.gz LHZCARPCHRRMDL-UHFFFAOYSA-N -1 1 318.373 1.643 20 0 DDADMM Cc1ncc(Cl)c(C(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000607910550 360067421 /nfs/dbraw/zinc/06/74/21/360067421.db2.gz NKDGQVYYMOOTTJ-UHFFFAOYSA-N -1 1 307.745 1.151 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccccc2F)C1 ZINC000287822290 219747846 /nfs/dbraw/zinc/74/78/46/219747846.db2.gz NBCALBHKKODHBO-NSHDSACASA-N -1 1 310.325 1.263 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)CCc1nc(C)no1 ZINC000610231992 360392764 /nfs/dbraw/zinc/39/27/64/360392764.db2.gz ADKDEYVQTBWJNS-UHFFFAOYSA-N -1 1 307.310 1.158 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CCO[C@@H](C)C1 ZINC000271929685 209332019 /nfs/dbraw/zinc/33/20/19/209332019.db2.gz KLVJUUGPYRDXEE-JOYOIKCWSA-N -1 1 318.377 1.071 20 0 DDADMM CCC[C@H](NC(=O)c1cc2nc[nH]c2cc1F)c1nn[n-]n1 ZINC000612055638 360903461 /nfs/dbraw/zinc/90/34/61/360903461.db2.gz GDIXFSHWXPGKJD-VIFPVBQESA-N -1 1 303.301 1.486 20 0 DDADMM CCC[C@H](NC(=O)c1ccnn1C1CCOCC1)c1nn[n-]n1 ZINC000612060456 360905307 /nfs/dbraw/zinc/90/53/07/360905307.db2.gz KCWNHVUMTHFYOG-NSHDSACASA-N -1 1 319.369 1.019 20 0 DDADMM CCC[C@H](NC(=O)c1ncnc2ccsc21)c1nn[n-]n1 ZINC000612060472 360905569 /nfs/dbraw/zinc/90/55/69/360905569.db2.gz KFRFAKDTXDOPQX-QMMMGPOBSA-N -1 1 303.351 1.476 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@]2(O)CCCC2(C)C)c1Cl ZINC000292776613 223240826 /nfs/dbraw/zinc/24/08/26/223240826.db2.gz KCSYVGJBJVIZFY-LBPRGKRZSA-N -1 1 321.830 1.293 20 0 DDADMM COCCCn1nc(C(=O)Nc2ccc(F)cc2[O-])ccc1=O ZINC000613026622 361245086 /nfs/dbraw/zinc/24/50/86/361245086.db2.gz HBXWALUCRLMQOZ-UHFFFAOYSA-N -1 1 321.308 1.377 20 0 DDADMM CCOC(=O)c1nnc(NC(=O)c2ccc([O-])c(F)c2)s1 ZINC000613563115 361468713 /nfs/dbraw/zinc/46/87/13/361468713.db2.gz VNYLQCPLKAFMPW-UHFFFAOYSA-N -1 1 311.294 1.812 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1nc2cccnc2n1C)C1CC1 ZINC000566009579 304109980 /nfs/dbraw/zinc/10/99/80/304109980.db2.gz BKNOMKRUNSNBLN-NSHDSACASA-N -1 1 310.379 1.135 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)C1CCC(F)CC1 ZINC000619954720 364213942 /nfs/dbraw/zinc/21/39/42/364213942.db2.gz AFLUVECXNQEDBK-AFPNSQJFSA-N -1 1 313.329 1.543 20 0 DDADMM CCC(O)(CC)CN(C)C(=O)c1c(C)[n-]c(=O)nc1SC ZINC000629637939 422767303 /nfs/dbraw/zinc/76/73/03/422767303.db2.gz GVDRSGUTGFUSJU-UHFFFAOYSA-N -1 1 313.423 1.836 20 0 DDADMM C[C@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C[C@@H]1C(=O)[O-] ZINC000566235093 304131384 /nfs/dbraw/zinc/13/13/84/304131384.db2.gz NDCIZBBUVZUHNL-GWCFXTLKSA-N -1 1 314.345 1.655 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H](C(F)(F)F)[C@H]1C ZINC000349653293 283999528 /nfs/dbraw/zinc/99/95/28/283999528.db2.gz IDHLPAMTRRZGKN-VDTYLAMSSA-N -1 1 313.301 1.357 20 0 DDADMM NC(=O)Nc1cccc(NC(=O)c2csc(=NC3CC3)[n-]2)c1 ZINC000349802363 284058213 /nfs/dbraw/zinc/05/82/13/284058213.db2.gz PFFMECLKVKNSLC-UHFFFAOYSA-N -1 1 317.374 1.882 20 0 DDADMM CCCn1nccc1NC(=O)CN1C[C@@H](C(=O)[O-])CC[C@@H]1C ZINC000635018177 422771728 /nfs/dbraw/zinc/77/17/28/422771728.db2.gz LUBIOWWGPWRCLU-RYUDHWBXSA-N -1 1 308.382 1.417 20 0 DDADMM CCCCc1noc([C@H](C)N2CC[C@](COC)(C(=O)[O-])C2)n1 ZINC000566360052 304148948 /nfs/dbraw/zinc/14/89/48/304148948.db2.gz GEGHUBUIMJCITM-NHYWBVRUSA-N -1 1 311.382 1.896 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCN(CC(F)(F)F)C1)c1nn[n-]n1 ZINC000350182285 284165914 /nfs/dbraw/zinc/16/59/14/284165914.db2.gz XTAPJHZBRJROIO-DTWKUNHWSA-N -1 1 320.319 1.041 20 0 DDADMM CCN(C(=O)c1cc(F)ccc1[O-])[C@H](C)CS(=O)(=O)CC ZINC000094137114 284253081 /nfs/dbraw/zinc/25/30/81/284253081.db2.gz SJVMRWMFBNXSAY-SNVBAGLBSA-N -1 1 317.382 1.817 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1ccc2c(C)[nH]nc2c1 ZINC000350891953 284275977 /nfs/dbraw/zinc/27/59/77/284275977.db2.gz BXJXAUPPLHZMDW-UHFFFAOYSA-N -1 1 305.363 1.714 20 0 DDADMM CCCCN(CCCO)C(=O)c1c(C)[n-]c(=O)nc1SC ZINC000271780521 209207721 /nfs/dbraw/zinc/20/77/21/209207721.db2.gz SBKMLZVXLOHAEA-UHFFFAOYSA-N -1 1 313.423 1.837 20 0 DDADMM NC(=O)N1CCC[C@H](CNC(=O)c2ccc(Cl)cc2[O-])C1 ZINC000288295217 220068810 /nfs/dbraw/zinc/06/88/10/220068810.db2.gz SVESHKAKRGVLQH-SECBINFHSA-N -1 1 311.769 1.566 20 0 DDADMM C[C@@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C[C@H]1n1ccnc1 ZINC000288295833 220069129 /nfs/dbraw/zinc/06/91/29/220069129.db2.gz HMSLPPUISMVVMU-IUODEOHRSA-N -1 1 313.357 1.881 20 0 DDADMM CN(CC(=O)OC(C)(C)C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000282929352 217332629 /nfs/dbraw/zinc/33/26/29/217332629.db2.gz CTUDXOZRWWUKDC-UHFFFAOYSA-N -1 1 322.390 1.432 20 0 DDADMM CCOc1ccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)cc1 ZINC000283007481 217384222 /nfs/dbraw/zinc/38/42/22/217384222.db2.gz WBVWVDGODIVOKE-LBPRGKRZSA-N -1 1 316.361 1.517 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H](C)C[C@H](C)O)c(F)c1 ZINC000425208361 307302069 /nfs/dbraw/zinc/30/20/69/307302069.db2.gz SMMGNCHQCJIIIH-UWVGGRQHSA-N -1 1 307.362 1.959 20 0 DDADMM COc1ccc(CN[C@]2(C(=O)[O-])CCOc3ccccc32)cn1 ZINC000336865541 249272753 /nfs/dbraw/zinc/27/27/53/249272753.db2.gz DRJMPRQOGWZBBR-QGZVFWFLSA-N -1 1 314.341 1.942 20 0 DDADMM C[C@@H]1CN(C(=O)CCc2nn[n-]n2)C[C@@H](c2ccc(F)cc2)O1 ZINC000631488095 422793319 /nfs/dbraw/zinc/79/33/19/422793319.db2.gz DFNZZKAFAXTGAO-MFKMUULPSA-N -1 1 319.340 1.260 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(=O)OC ZINC000284379712 218003773 /nfs/dbraw/zinc/00/37/73/218003773.db2.gz RXPPUWIHYLPYFK-SECBINFHSA-N -1 1 309.746 1.709 20 0 DDADMM O=C(NC1(CO)CCOCC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000273997829 211256123 /nfs/dbraw/zinc/25/61/23/211256123.db2.gz MQECSCOMYJKXBV-UHFFFAOYSA-N -1 1 319.279 1.682 20 0 DDADMM CC(=O)NCCN(C(=O)c1ncccc1[O-])C1CCSCC1 ZINC000338726244 250107238 /nfs/dbraw/zinc/10/72/38/250107238.db2.gz WHMILZKRVPGQCC-UHFFFAOYSA-N -1 1 323.418 1.261 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2sccc2F)[C@H]2CCO[C@@H]21 ZINC000338912658 250192228 /nfs/dbraw/zinc/19/22/28/250192228.db2.gz PWOIPCPVYFOALD-QNSHHTMESA-N -1 1 305.396 1.979 20 0 DDADMM C[C@@H]1C[C@@H]1N1C[C@@H]([N-]S(=O)(=O)c2sccc2F)CC1=O ZINC000338921824 250196686 /nfs/dbraw/zinc/19/66/86/250196686.db2.gz VJKWKDDUCLLRKO-WEDXCCLWSA-N -1 1 318.395 1.175 20 0 DDADMM CCN1CN(C(=O)c2c([O-])cnc3ccc(Cl)cc32)CC1=O ZINC000338886447 250181935 /nfs/dbraw/zinc/18/19/35/250181935.db2.gz LMQURRNZVDAGTJ-UHFFFAOYSA-N -1 1 319.748 1.856 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@H]2CC[C@@H](F)C2)c(C(F)(F)F)n1 ZINC000338989147 250236745 /nfs/dbraw/zinc/23/67/45/250236745.db2.gz GJJNHTRVAMFGFY-RQJHMYQMSA-N -1 1 315.292 1.608 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CSc2nncs2)n1 ZINC000339168249 250324734 /nfs/dbraw/zinc/32/47/34/250324734.db2.gz SANWZDZGRZVXJG-UHFFFAOYSA-N -1 1 313.364 1.169 20 0 DDADMM O=C(Cc1ccccc1F)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339186130 250334522 /nfs/dbraw/zinc/33/45/22/250334522.db2.gz OZLHWXDIXCSHOX-UHFFFAOYSA-N -1 1 305.309 1.863 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCOc1ccccc1 ZINC000352139779 284816533 /nfs/dbraw/zinc/81/65/33/284816533.db2.gz HHPCTWUXXOPPRK-UHFFFAOYSA-N -1 1 319.317 1.095 20 0 DDADMM O=C(NCCc1nnc2n1CCCCC2)c1cncc([O-])c1 ZINC000109938645 194299211 /nfs/dbraw/zinc/29/92/11/194299211.db2.gz CEIPFMNJSZGEQV-UHFFFAOYSA-N -1 1 301.350 1.078 20 0 DDADMM COC(C)(C)c1nc(=NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)s[n-]1 ZINC000636311983 422798491 /nfs/dbraw/zinc/79/84/91/422798491.db2.gz JQJCUAQIMKVLTR-HTQZYQBOSA-N -1 1 307.379 1.307 20 0 DDADMM CC(C)CS(=O)(=O)CCC(=O)Nc1ccc(F)cc1[O-] ZINC000340331190 251011732 /nfs/dbraw/zinc/01/17/32/251011732.db2.gz ZPGATYKFJRVMHJ-UHFFFAOYSA-N -1 1 303.355 1.931 20 0 DDADMM Cc1cc(C(=O)N=c2nc(-c3ccccc3Cl)[nH][n-]2)nn1C ZINC000340631618 251145691 /nfs/dbraw/zinc/14/56/91/251145691.db2.gz SHQNIKFFPQKRBE-UHFFFAOYSA-N -1 1 316.752 1.841 20 0 DDADMM O=C(CSc1nc(C(F)(F)F)cc(=O)[n-]1)c1cnccn1 ZINC000340670781 251160776 /nfs/dbraw/zinc/16/07/76/251160776.db2.gz XQOBOLMUWCJRJZ-UHFFFAOYSA-N -1 1 316.264 1.966 20 0 DDADMM C[C@@](O)(C[N-]S(=O)(=O)c1cc(Cl)ccc1F)C1CC1 ZINC000340838215 251237169 /nfs/dbraw/zinc/23/71/69/251237169.db2.gz GZIFBSMHDXTQTA-GFCCVEGCSA-N -1 1 307.774 1.918 20 0 DDADMM COC[C@@H](C)n1ccc([N-]S(=O)(=O)N2CCC(C)CC2)n1 ZINC000340976255 251313296 /nfs/dbraw/zinc/31/32/96/251313296.db2.gz MZUVTOKJNATFBG-GFCCVEGCSA-N -1 1 316.427 1.479 20 0 DDADMM C[C@@H](N=c1[n-]c(C(N)=O)cs1)C(=O)N1Cc2ccccc2C1 ZINC000432141496 533011896 /nfs/dbraw/zinc/01/18/96/533011896.db2.gz DZLMOPSFFTUXAG-SECBINFHSA-N -1 1 316.386 1.007 20 0 DDADMM CN1CC[C@@H]([N-]C(=O)C(F)(F)c2c(F)cccc2F)C1=O ZINC000270173397 208239730 /nfs/dbraw/zinc/23/97/30/208239730.db2.gz CPVRAAOACBRNRV-SECBINFHSA-N -1 1 304.243 1.404 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cscn1)[C@@H](O)C(F)(F)F ZINC000567895292 304253299 /nfs/dbraw/zinc/25/32/99/304253299.db2.gz ZBQZYDCZGCEKKQ-CAHLUQPWSA-N -1 1 304.315 1.123 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(N)=O ZINC000352512993 285104076 /nfs/dbraw/zinc/10/40/76/285104076.db2.gz TWZVQBLNLNCQJA-VIFPVBQESA-N -1 1 308.762 1.411 20 0 DDADMM COCC[C@@H](COC)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000352528612 285117691 /nfs/dbraw/zinc/11/76/91/285117691.db2.gz CZKFIPQYPZQRSX-JTQLQIEISA-N -1 1 308.425 1.090 20 0 DDADMM CC[C@@H]1CC[C@@H](C)N1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000271437858 208913192 /nfs/dbraw/zinc/91/31/92/208913192.db2.gz NWCIWWXNROWZGF-NXEZZACHSA-N -1 1 300.380 1.591 20 0 DDADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-]c1cccc2cnccc21 ZINC000414457428 533168952 /nfs/dbraw/zinc/16/89/52/533168952.db2.gz WCDUCXQNUYCNOM-JTQLQIEISA-N -1 1 307.375 1.453 20 0 DDADMM COCCn1cc(CNC(=O)c2c(F)ccc([O-])c2F)cn1 ZINC000289327259 220878685 /nfs/dbraw/zinc/87/86/85/220878685.db2.gz LPFRVVXGMYXUSW-UHFFFAOYSA-N -1 1 311.288 1.443 20 0 DDADMM CCCc1cc(=O)[n-]c(SCc2noc(CCOC)n2)n1 ZINC000289547185 221056982 /nfs/dbraw/zinc/05/69/82/221056982.db2.gz CZCSPFJVUYZNLD-UHFFFAOYSA-N -1 1 310.379 1.999 20 0 DDADMM CC(C)(C)N1C[C@@H](NC(=O)c2c(F)ccc([O-])c2F)CC1=O ZINC000289617983 221107389 /nfs/dbraw/zinc/10/73/89/221107389.db2.gz LLKMZCMWPSAGFD-QMMMGPOBSA-N -1 1 312.316 1.800 20 0 DDADMM COC[C@@H]1C[C@H](O)CN1C(=O)c1cc2ccccc2cc1[O-] ZINC000413906971 224272279 /nfs/dbraw/zinc/27/22/79/224272279.db2.gz NVVPAEKYNRSGFP-KBPBESRZSA-N -1 1 301.342 1.767 20 0 DDADMM C[C@]1(C[N-]S(=O)(=O)c2ccc(Br)o2)CCOC1 ZINC000352874051 285351866 /nfs/dbraw/zinc/35/18/66/285351866.db2.gz URLHXZUPOXKMLV-SNVBAGLBSA-N -1 1 324.196 1.747 20 0 DDADMM COC(=O)[C@H](CC(F)F)[N-]S(=O)(=O)CCC1CCCC1 ZINC000451350907 533244826 /nfs/dbraw/zinc/24/48/26/533244826.db2.gz DUZKFLCZXGEXQS-JTQLQIEISA-N -1 1 313.366 1.683 20 0 DDADMM CS(=O)(=O)CCCCNC(=O)c1ccc(Cl)cc1[O-] ZINC000424914006 533348346 /nfs/dbraw/zinc/34/83/46/533348346.db2.gz CFHVCIKCNLYUCH-UHFFFAOYSA-N -1 1 305.783 1.600 20 0 DDADMM Cc1nc(C)n(C2CN(C(=O)c3c(F)ccc([O-])c3F)C2)n1 ZINC000568814235 304312810 /nfs/dbraw/zinc/31/28/10/304312810.db2.gz XZNXXSDBFPSHHA-UHFFFAOYSA-N -1 1 308.288 1.576 20 0 DDADMM CC(C)CNC(=O)CS(=O)(=O)c1nc(-c2ccccc2)n[n-]1 ZINC000568830390 304313851 /nfs/dbraw/zinc/31/38/51/304313851.db2.gz NASSPNBDFVKVFY-UHFFFAOYSA-N -1 1 322.390 1.018 20 0 DDADMM CC(C)CNC(=O)CS(=O)(=O)c1n[n-]c(-c2ccccc2)n1 ZINC000568830390 304313853 /nfs/dbraw/zinc/31/38/53/304313853.db2.gz NASSPNBDFVKVFY-UHFFFAOYSA-N -1 1 322.390 1.018 20 0 DDADMM CC(C)CC[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C(C)(C)C ZINC000353459220 285745380 /nfs/dbraw/zinc/74/53/80/285745380.db2.gz LLGHAHVGIIOMRV-GFCCVEGCSA-N -1 1 319.409 1.998 20 0 DDADMM CCO[C@H]1C[C@@](CO)(NC(=O)c2ncc(C)cc2[O-])C1(C)C ZINC000332484582 286500890 /nfs/dbraw/zinc/50/08/90/286500890.db2.gz VUKSXDWDDMWHGE-LRDDRELGSA-N -1 1 308.378 1.392 20 0 DDADMM O=S(=O)([N-]CC1(O)CCOCC1)c1sccc1Cl ZINC000570176162 304399567 /nfs/dbraw/zinc/39/95/67/304399567.db2.gz KPZGRMQAMKLWQJ-UHFFFAOYSA-N -1 1 311.812 1.221 20 0 DDADMM O=C(CCCNC(=O)C1CC1)NCc1n[n-]c(=S)n1C1CC1 ZINC000078502583 407031233 /nfs/dbraw/zinc/03/12/33/407031233.db2.gz ASQSGCHPDWJRNU-UHFFFAOYSA-N -1 1 323.422 1.198 20 0 DDADMM Cn1c(CNC(=O)Nc2cnn(CC(F)F)c2)n[n-]c1=S ZINC000085602575 407103864 /nfs/dbraw/zinc/10/38/64/407103864.db2.gz VTKWQNUHKQUTMY-UHFFFAOYSA-N -1 1 317.325 1.261 20 0 DDADMM O=C([N-]CCCN1CCO[C@@H](c2ccco2)C1)C(F)(F)F ZINC000091590802 407182193 /nfs/dbraw/zinc/18/21/93/407182193.db2.gz XGXOTBGUCZRITB-LLVKDONJSA-N -1 1 306.284 1.722 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCc1cccs1 ZINC000103939126 407344279 /nfs/dbraw/zinc/34/42/79/407344279.db2.gz FEBHOKRBRQYYHV-UHFFFAOYSA-N -1 1 316.386 1.560 20 0 DDADMM COc1ccc(O[C@@H](C)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000124190862 407351007 /nfs/dbraw/zinc/35/10/07/407351007.db2.gz NPGBCJATIYYSPO-MNOVXSKESA-N -1 1 319.365 1.238 20 0 DDADMM C[C@@H](CN(C)C(=O)CCSc1ccccn1)c1nn[n-]n1 ZINC000124491334 407360295 /nfs/dbraw/zinc/36/02/95/407360295.db2.gz DWHWLJSKIJIHNA-JTQLQIEISA-N -1 1 306.395 1.339 20 0 DDADMM CC[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)c1ccncc1 ZINC000124567164 407361850 /nfs/dbraw/zinc/36/18/50/407361850.db2.gz RZYZSGJDBMHPFE-NSHDSACASA-N -1 1 323.374 1.464 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cccs2)o1 ZINC000107698057 407378652 /nfs/dbraw/zinc/37/86/52/407378652.db2.gz QXNJJKWDQFEYGZ-UHFFFAOYSA-N -1 1 301.345 1.606 20 0 DDADMM CCCC(=O)NC[C@@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000111594508 407412428 /nfs/dbraw/zinc/41/24/28/407412428.db2.gz VVWKUPHANMDILU-LBPRGKRZSA-N -1 1 305.378 1.556 20 0 DDADMM Cc1cnn(CCCCCS(=O)(=O)c2n[n-]c(C3CC3)n2)c1 ZINC000111902492 407419529 /nfs/dbraw/zinc/41/95/29/407419529.db2.gz OZPNIENJXRWSCP-UHFFFAOYSA-N -1 1 323.422 1.831 20 0 DDADMM CCC(CC)NC(=O)[C@H](C)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127187245 407430578 /nfs/dbraw/zinc/43/05/78/407430578.db2.gz GXYQJEBZOWJNSE-QMMMGPOBSA-N -1 1 314.411 1.149 20 0 DDADMM CC1(CNC(=O)c2cc(Br)ccc2[O-])OCCO1 ZINC000178508598 407476025 /nfs/dbraw/zinc/47/60/25/407476025.db2.gz ZVQOQVRTNQJTNB-UHFFFAOYSA-N -1 1 316.151 1.648 20 0 DDADMM C[C@H](CC1CCCCC1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000114538545 407587877 /nfs/dbraw/zinc/58/78/77/407587877.db2.gz XXQLUKXIJAOTJX-SECBINFHSA-N -1 1 314.411 1.753 20 0 DDADMM C[C@H](CC1CCCCC1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000114538545 407587879 /nfs/dbraw/zinc/58/78/79/407587879.db2.gz XXQLUKXIJAOTJX-SECBINFHSA-N -1 1 314.411 1.753 20 0 DDADMM CO[C@@H]1CCCN(C(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000171193640 407623951 /nfs/dbraw/zinc/62/39/51/407623951.db2.gz OVKVMSSYZXDGHM-LLVKDONJSA-N -1 1 301.346 1.895 20 0 DDADMM CO[C@@H]1CCCN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000171193640 407623955 /nfs/dbraw/zinc/62/39/55/407623955.db2.gz OVKVMSSYZXDGHM-LLVKDONJSA-N -1 1 301.346 1.895 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(c2nccs2)CC1 ZINC000171275748 407645160 /nfs/dbraw/zinc/64/51/60/407645160.db2.gz OJOLGVXYQPEENS-UHFFFAOYSA-N -1 1 304.375 1.596 20 0 DDADMM CCCCNC(=O)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000171291334 407653631 /nfs/dbraw/zinc/65/36/31/407653631.db2.gz FVWFDIKWYRQDCJ-GFCCVEGCSA-N -1 1 305.378 1.556 20 0 DDADMM Cc1noc([N-]C(=O)c2sc(-c3cnn(C)c3)nc2C)n1 ZINC000115340442 407667404 /nfs/dbraw/zinc/66/74/04/407667404.db2.gz CJOJIOGEZNXSHQ-UHFFFAOYSA-N -1 1 304.335 1.796 20 0 DDADMM Cc1ccc(OCCCC(=O)NN2CC(=O)[N-]C2=O)cc1C ZINC000266909786 407671432 /nfs/dbraw/zinc/67/14/32/407671432.db2.gz COOIELMWTXEWMF-UHFFFAOYSA-N -1 1 305.334 1.045 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N(CCO)CC(F)F ZINC000236496077 407726674 /nfs/dbraw/zinc/72/66/74/407726674.db2.gz BPCYJXACXMGCSD-UHFFFAOYSA-N -1 1 324.121 1.854 20 0 DDADMM O=C(N[C@@H]1C=C[C@H](CO)C1)c1ccc(Br)cc1[O-] ZINC000267112213 407752670 /nfs/dbraw/zinc/75/26/70/407752670.db2.gz RKOZNLXCSBMCGQ-WCBMZHEXSA-N -1 1 312.163 1.822 20 0 DDADMM CC(C)c1ccccc1NC(=O)CS(=O)(=O)c1ncn[n-]1 ZINC000153017001 407734226 /nfs/dbraw/zinc/73/42/26/407734226.db2.gz KXSMMMITSXRFIP-UHFFFAOYSA-N -1 1 308.363 1.341 20 0 DDADMM CC(C)c1ccccc1NC(=O)CS(=O)(=O)c1nc[n-]n1 ZINC000153017001 407734231 /nfs/dbraw/zinc/73/42/31/407734231.db2.gz KXSMMMITSXRFIP-UHFFFAOYSA-N -1 1 308.363 1.341 20 0 DDADMM CC(C)NC(=O)[C@@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000116454156 407757081 /nfs/dbraw/zinc/75/70/81/407757081.db2.gz LBXXQADTAWRCET-VXGBXAGGSA-N -1 1 305.378 1.600 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC[C@H]2[C@H](CCC(=O)N2C2CC2)C1 ZINC000179228684 407759341 /nfs/dbraw/zinc/75/93/41/407759341.db2.gz KRRPLORMICCNOA-ABAIWWIYSA-N -1 1 315.373 1.403 20 0 DDADMM Cc1cc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c(C)o1 ZINC000179537412 407804159 /nfs/dbraw/zinc/80/41/59/407804159.db2.gz MMBSEJOABRYESF-UHFFFAOYSA-N -1 1 310.331 1.502 20 0 DDADMM CC(C)OCCN1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000272245643 407833627 /nfs/dbraw/zinc/83/36/27/407833627.db2.gz OIRQGVZGXBZQHV-UHFFFAOYSA-N -1 1 310.369 1.714 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)CCOC(C)C)c(F)c1 ZINC000267318161 407833682 /nfs/dbraw/zinc/83/36/82/407833682.db2.gz MSXWHSMPEDGQLS-UHFFFAOYSA-N -1 1 319.354 1.779 20 0 DDADMM O=C([O-])[C@H]1CCN([C@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000262646145 407889242 /nfs/dbraw/zinc/88/92/42/407889242.db2.gz KCOKERMFVRDZKN-FZMZJTMJSA-N -1 1 306.337 1.552 20 0 DDADMM CCOCc1ccccc1CNC(=O)CN(C)CCC(=O)[O-] ZINC000262682759 407898824 /nfs/dbraw/zinc/89/88/24/407898824.db2.gz WQJLEZMFIHLJFR-UHFFFAOYSA-N -1 1 308.378 1.246 20 0 DDADMM COc1ccc(OC)c([C@@H](C)NC(=O)CN(C)CCC(=O)[O-])c1 ZINC000262689595 407901996 /nfs/dbraw/zinc/90/19/96/407901996.db2.gz YZEMJTTWIBTRMU-LLVKDONJSA-N -1 1 324.377 1.288 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN(Cc2ccon2)CC1 ZINC000174498737 407953454 /nfs/dbraw/zinc/95/34/54/407953454.db2.gz LTLQAGWZBPJXGS-UHFFFAOYSA-N -1 1 321.764 1.992 20 0 DDADMM C[C@H]1CCc2c(C(=O)NCCCc3nc(=O)[n-][nH]3)csc2C1 ZINC000174583058 407968033 /nfs/dbraw/zinc/96/80/33/407968033.db2.gz AFIKARSRVZGRTB-VIFPVBQESA-N -1 1 320.418 1.647 20 0 DDADMM O=C(N[C@@H](C(=O)Nc1nnn[n-]1)c1ccccc1)c1ccccc1 ZINC000118685893 407936087 /nfs/dbraw/zinc/93/60/87/407936087.db2.gz PZWPJWPOPLPLJR-CYBMUJFWSA-N -1 1 322.328 1.310 20 0 DDADMM O=C(N[C@@H](C(=O)Nc1nn[n-]n1)c1ccccc1)c1ccccc1 ZINC000118685893 407936092 /nfs/dbraw/zinc/93/60/92/407936092.db2.gz PZWPJWPOPLPLJR-CYBMUJFWSA-N -1 1 322.328 1.310 20 0 DDADMM COc1ccc(C2CCN(C(=O)CCc3nn[n-]n3)CC2)cc1 ZINC000631574902 422838182 /nfs/dbraw/zinc/83/81/82/422838182.db2.gz OBXCVWRYAHFSLT-UHFFFAOYSA-N -1 1 315.377 1.547 20 0 DDADMM C[S@](=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCCO2)cc1 ZINC000174741154 407985520 /nfs/dbraw/zinc/98/55/20/407985520.db2.gz ZBARLEOPKVIWDC-BUXKBTBVSA-N -1 1 317.432 1.735 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(OC)cc2O)CCN1C[C@H](C)O ZINC000245718248 408052331 /nfs/dbraw/zinc/05/23/31/408052331.db2.gz DDERZWANXHFDEE-STQMWFEESA-N -1 1 322.405 1.318 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCCc1ccccn1)c2=O ZINC000182274803 408112583 /nfs/dbraw/zinc/11/25/83/408112583.db2.gz RURFDRWCDZMGKB-UHFFFAOYSA-N -1 1 311.345 1.432 20 0 DDADMM CC(=O)c1ccc(NC(=O)NCCCc2nc(=O)[n-][nH]2)cc1 ZINC000175355508 408113880 /nfs/dbraw/zinc/11/38/80/408113880.db2.gz CZGSGVTVPXSUPQ-UHFFFAOYSA-N -1 1 303.322 1.055 20 0 DDADMM CCOC(=O)CNC(=O)c1ccc(Br)c([O-])c1 ZINC000136774974 408123362 /nfs/dbraw/zinc/12/33/62/408123362.db2.gz BFYYXJDCTXHKNY-UHFFFAOYSA-N -1 1 302.124 1.448 20 0 DDADMM CC[C@H]1C(=O)NCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000182327639 408129699 /nfs/dbraw/zinc/12/96/99/408129699.db2.gz JIJSIKPYSSODBG-ZDUSSCGKSA-N -1 1 302.330 1.209 20 0 DDADMM CCc1nc([C@H](C)NC(=O)c2cc(F)c(F)c([O-])c2F)n[nH]1 ZINC000273285777 408139548 /nfs/dbraw/zinc/13/95/48/408139548.db2.gz BDZBAMDOLASMIZ-YFKPBYRVSA-N -1 1 314.267 1.981 20 0 DDADMM C[C@H](C(=O)[O-])N(C(=O)c1ccc(-c2nnc[nH]2)cc1)C1CC1 ZINC000263550168 408170504 /nfs/dbraw/zinc/17/05/04/408170504.db2.gz KFKRNLROIWOVAJ-SECBINFHSA-N -1 1 300.318 1.549 20 0 DDADMM C[C@H](OCc1ccccc1)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155563308 408179351 /nfs/dbraw/zinc/17/93/51/408179351.db2.gz XHTSUSWSIRNPGA-JSGCOSHPSA-N -1 1 315.377 1.511 20 0 DDADMM O=c1nc(CN2CC[C@H]3OCCC[C@@H]3C2)[n-]c2ccsc21 ZINC000155572462 408181388 /nfs/dbraw/zinc/18/13/88/408181388.db2.gz YSZGUKCSOJYJAC-ZYHUDNBSSA-N -1 1 305.403 1.986 20 0 DDADMM Cc1noc(C)c1[C@@H](C)CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000273451935 408204675 /nfs/dbraw/zinc/20/46/75/408204675.db2.gz CWNMVBDJVKNZEZ-IUCAKERBSA-N -1 1 306.370 1.560 20 0 DDADMM Cc1nc(C)c(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)s1 ZINC000274189138 408319167 /nfs/dbraw/zinc/31/91/67/408319167.db2.gz KNJBDMVDGPNFST-UHFFFAOYSA-N -1 1 313.408 1.946 20 0 DDADMM CN(C(=O)c1ccccc1[O-])c1ccc(N2CCOCC2)nc1 ZINC000182886686 408273940 /nfs/dbraw/zinc/27/39/40/408273940.db2.gz PIJZCLKDIOOFFV-UHFFFAOYSA-N -1 1 313.357 1.900 20 0 DDADMM O=C(NCC[N-]C(=O)C(F)(F)C(F)F)c1ccc(F)cc1 ZINC000176154562 408295284 /nfs/dbraw/zinc/29/52/84/408295284.db2.gz VNHXCLZRBRPGNS-UHFFFAOYSA-N -1 1 310.222 1.572 20 0 DDADMM COC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1cc(F)ccc1[O-] ZINC000190676825 408300323 /nfs/dbraw/zinc/30/03/23/408300323.db2.gz LGQFPMKNSLYBME-PUYPPJJSSA-N -1 1 307.321 1.945 20 0 DDADMM CC(C)NC(=O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131022988 162002495 /nfs/dbraw/zinc/00/24/95/162002495.db2.gz HWQHSSRYWMTBSX-UHFFFAOYSA-N -1 1 308.762 1.282 20 0 DDADMM CC(C)COC[C@H](O)CNC(=O)c1ccc(Cl)cc1[O-] ZINC000176342566 408345335 /nfs/dbraw/zinc/34/53/35/408345335.db2.gz JYZNSHLXWBTQIU-LLVKDONJSA-N -1 1 301.770 1.809 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000165557401 162160275 /nfs/dbraw/zinc/16/02/75/162160275.db2.gz PUYSNSDBJDUANK-BBRMVZONSA-N -1 1 316.401 1.756 20 0 DDADMM O=C(NCCc1cn2c(n1)SCC2)c1ccc([O-])cc1F ZINC000274340683 408368985 /nfs/dbraw/zinc/36/89/85/408368985.db2.gz DODZENBKVWNIKN-UHFFFAOYSA-N -1 1 307.350 1.806 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccccc1OC(F)F)c1nn[n-]n1 ZINC000183397551 408398361 /nfs/dbraw/zinc/39/83/61/408398361.db2.gz JAHGFADZVCALNT-QMMMGPOBSA-N -1 1 311.292 1.677 20 0 DDADMM COc1ccccc1[C@H](C)CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183413670 408403339 /nfs/dbraw/zinc/40/33/39/408403339.db2.gz OPQKVFBTTANAKF-NEPJUHHUSA-N -1 1 317.393 1.964 20 0 DDADMM COc1cccc(OC)c1CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183437896 408410772 /nfs/dbraw/zinc/41/07/72/408410772.db2.gz VXFXNTVQJZWPJB-JTQLQIEISA-N -1 1 319.365 1.022 20 0 DDADMM CCOCCC1(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)CCCC1 ZINC000183431310 408411648 /nfs/dbraw/zinc/41/16/48/408411648.db2.gz QQGMBHQIXLPEIJ-GFCCVEGCSA-N -1 1 309.414 1.749 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CSc2ccccc21)c1nn[n-]n1 ZINC000183430204 408411886 /nfs/dbraw/zinc/41/18/86/408411886.db2.gz YBGQMJOODCYZJW-GXSJLCMTSA-N -1 1 303.391 1.651 20 0 DDADMM C[C@H](CN(C)C(=O)CCOc1ccccc1F)c1nn[n-]n1 ZINC000183439611 408412689 /nfs/dbraw/zinc/41/26/89/408412689.db2.gz XGXYFGCMQJXDFD-SNVBAGLBSA-N -1 1 307.329 1.370 20 0 DDADMM CCC[C@@](C)(O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000269795856 408431215 /nfs/dbraw/zinc/43/12/15/408431215.db2.gz RKJKXGRPNISSJJ-GFCCVEGCSA-N -1 1 311.325 1.933 20 0 DDADMM CCC[C@@H](NC(=O)COc1cc(C)ccc1C)c1nn[n-]n1 ZINC000176769626 408437513 /nfs/dbraw/zinc/43/75/13/408437513.db2.gz JECIOFGAYWVCQS-GFCCVEGCSA-N -1 1 303.366 1.853 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(-n2cccn2)cc1)c1nn[n-]n1 ZINC000176765993 408438194 /nfs/dbraw/zinc/43/81/94/408438194.db2.gz WSBNAUIYLQEHFO-ZDUSSCGKSA-N -1 1 311.349 1.657 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(F)c(F)c([O-])c1F)c1nn[nH]n1 ZINC000274770414 408525152 /nfs/dbraw/zinc/52/51/52/408525152.db2.gz LZDWCPVPYPVCKX-RXMQYKEDSA-N -1 1 315.255 1.198 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCCOC(C)C)c(=O)[n-]1 ZINC000183662869 408463751 /nfs/dbraw/zinc/46/37/51/408463751.db2.gz GPSBQDNQAUCECM-UHFFFAOYSA-N -1 1 313.423 1.274 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1CCC[C@H]3OCC[C@H]31)c2=O ZINC000248407658 408560545 /nfs/dbraw/zinc/56/05/45/408560545.db2.gz UQPMWSOICKIEMB-ZWKOPEQDSA-N -1 1 316.361 1.361 20 0 DDADMM [O-]C(=NO[C@@H]1CCCCO1)Nc1cnn(-c2ccccc2)n1 ZINC000274812957 408542306 /nfs/dbraw/zinc/54/23/06/408542306.db2.gz IFCGPSFJMOTQBT-CYBMUJFWSA-N -1 1 303.322 1.847 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1cnn(-c2ccccc2)n1 ZINC000274812957 408542309 /nfs/dbraw/zinc/54/23/09/408542309.db2.gz IFCGPSFJMOTQBT-CYBMUJFWSA-N -1 1 303.322 1.847 20 0 DDADMM O=C([N-]OC[C@H]1CCOC1)[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC000248983526 408672944 /nfs/dbraw/zinc/67/29/44/408672944.db2.gz WDYZZBYLXANNQI-HOCLYGCPSA-N -1 1 322.380 1.874 20 0 DDADMM COCCN(CC(=O)OC)C(=O)c1ccc2ccccc2c1[O-] ZINC000265595011 408706594 /nfs/dbraw/zinc/70/65/94/408706594.db2.gz YLEICBIYYADOCF-UHFFFAOYSA-N -1 1 317.341 1.807 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cnc(C)s1)[C@H](O)C(F)(F)F ZINC000270867661 408717231 /nfs/dbraw/zinc/71/72/31/408717231.db2.gz SJMWZGKIVFZIHI-XPUUQOCRSA-N -1 1 318.342 1.432 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C)c(NC(C)=O)c1)c1nn[n-]n1 ZINC000177653638 408678780 /nfs/dbraw/zinc/67/87/80/408678780.db2.gz ZDEXZEKFDTYQCA-LBPRGKRZSA-N -1 1 316.365 1.738 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H]3CCCC[C@@H]3C2)o1 ZINC000178347592 408809788 /nfs/dbraw/zinc/80/97/88/408809788.db2.gz WCRAILRGRHHJSN-PHIMTYICSA-N -1 1 312.391 1.450 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCc2c1cccc2O ZINC000290770770 408829664 /nfs/dbraw/zinc/82/96/64/408829664.db2.gz OJZFMKKNTOASJR-JTQLQIEISA-N -1 1 309.347 1.237 20 0 DDADMM CCO[C@@H](CC[N-]S(=O)(=O)c1c(C)onc1N)C(C)C ZINC000290779708 408831359 /nfs/dbraw/zinc/83/13/59/408831359.db2.gz PIHOSMULHYIOCR-JTQLQIEISA-N -1 1 305.400 1.295 20 0 DDADMM CC(=O)Nc1cc(F)c(S(=O)(=O)[N-]CCF)cc1Cl ZINC000280852488 408846847 /nfs/dbraw/zinc/84/68/47/408846847.db2.gz YNHAWGRXQIMNJJ-UHFFFAOYSA-N -1 1 312.725 1.685 20 0 DDADMM CCC(O)(CC)CCNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000276494600 408869317 /nfs/dbraw/zinc/86/93/17/408869317.db2.gz SXAWHDOKEONLAY-UHFFFAOYSA-N -1 1 313.423 1.884 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCC(F)(F)C2)c1 ZINC000281614285 408897518 /nfs/dbraw/zinc/89/75/18/408897518.db2.gz KUWOBXNGDSBAFE-MRVPVSSYSA-N -1 1 323.317 1.780 20 0 DDADMM CCOC[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C(C)C ZINC000191461502 163187245 /nfs/dbraw/zinc/18/72/45/163187245.db2.gz HFTYNKSWLLTHDO-CYBMUJFWSA-N -1 1 303.362 1.714 20 0 DDADMM COC[C@@H](C)NC(=O)Cc1noc(-c2ccc(OC)cc2[O-])n1 ZINC000277741700 409003754 /nfs/dbraw/zinc/00/37/54/409003754.db2.gz AENPSLPYJNJUJA-SECBINFHSA-N -1 1 321.333 1.144 20 0 DDADMM CCC[C@@]1(CO)CCCN1C(=O)c1cc(F)cc2nn[nH]c21 ZINC000282008898 408970980 /nfs/dbraw/zinc/97/09/80/408970980.db2.gz IMHMITSEWQHQPZ-HNNXBMFYSA-N -1 1 306.341 1.864 20 0 DDADMM CCOc1ccc(OCC)c(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000277923164 409035028 /nfs/dbraw/zinc/03/50/28/409035028.db2.gz ZTIUMORQXJOMAC-UHFFFAOYSA-N -1 1 322.321 1.072 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H](CCO)C(C)(C)C)ccnc1-2 ZINC000287721611 409082582 /nfs/dbraw/zinc/08/25/82/409082582.db2.gz SOBPICWWQRAMJT-OTWJJXBCSA-N -1 1 305.382 1.260 20 0 DDADMM CC(C)(C)[C@@H](CCO)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283624465 409186250 /nfs/dbraw/zinc/18/62/50/409186250.db2.gz ZVZYNICNXHWJEM-SNVBAGLBSA-N -1 1 308.407 1.545 20 0 DDADMM CCOC(=O)[C@H](F)C1CN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000293869252 409197142 /nfs/dbraw/zinc/19/71/42/409197142.db2.gz GZRNYBQCKZDPPQ-LLVKDONJSA-N -1 1 317.263 1.644 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)c1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000293595801 409145086 /nfs/dbraw/zinc/14/50/86/409145086.db2.gz VOOQSSKYHCQMIW-NXEZZACHSA-N -1 1 317.349 1.294 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2ccc(Cl)nc2C)no1 ZINC000288134093 409151071 /nfs/dbraw/zinc/15/10/71/409151071.db2.gz MVGXYTAURKZKMD-UHFFFAOYSA-N -1 1 302.743 1.213 20 0 DDADMM Cn1nc([C@@H]2CCCO2)cc1NC(=O)c1ccc(O)cc1[O-] ZINC000279150909 409158637 /nfs/dbraw/zinc/15/86/37/409158637.db2.gz PKUPKYOZIHJOGB-ZDUSSCGKSA-N -1 1 303.318 1.935 20 0 DDADMM COCCN(CC(C)C)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279169208 409162758 /nfs/dbraw/zinc/16/27/58/409162758.db2.gz AYVWWUUAJHKXKD-AQTBWJFISA-N -1 1 305.382 1.478 20 0 DDADMM C[C@H](c1ccccn1)N(C)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279288989 409185263 /nfs/dbraw/zinc/18/52/63/409185263.db2.gz KSRFCONKYWXVGQ-QTVDBSQPSA-N -1 1 310.361 1.962 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc(Br)cc2[O-])C(=O)O1 ZINC000293957545 409214696 /nfs/dbraw/zinc/21/46/96/409214696.db2.gz PPRSFNJPKZYVNJ-RCOVLWMOSA-N -1 1 314.135 1.589 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc(Br)cc2[O-])C(=O)O1 ZINC000293957542 409214845 /nfs/dbraw/zinc/21/48/45/409214845.db2.gz PPRSFNJPKZYVNJ-MUWHJKNJSA-N -1 1 314.135 1.589 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(NCC2CC2)nc1)c1nn[n-]n1 ZINC000283793624 409217328 /nfs/dbraw/zinc/21/73/28/409217328.db2.gz PMESAPVOXJZZGF-JTQLQIEISA-N -1 1 315.381 1.292 20 0 DDADMM C[C@@H](CN(C)C(=O)c1nc2cccnc2s1)c1nn[n-]n1 ZINC000283797521 409218861 /nfs/dbraw/zinc/21/88/61/409218861.db2.gz PYRAMKBTTSEIEZ-ZETCQYMHSA-N -1 1 303.351 1.080 20 0 DDADMM CCCN(CC(=O)OCC)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283803175 409219452 /nfs/dbraw/zinc/21/94/52/409219452.db2.gz WIPBNVIJPCKCEX-UHFFFAOYSA-N -1 1 322.390 1.433 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)c1cccc(F)c1F ZINC000290337588 409366339 /nfs/dbraw/zinc/36/63/39/409366339.db2.gz BFTZVTYEIATHIY-ZCFIWIBFSA-N -1 1 317.317 1.883 20 0 DDADMM C[C@H](O)CN1CCN(C(=O)c2c(F)ccc([O-])c2F)C[C@H]1C ZINC000280707976 409419223 /nfs/dbraw/zinc/41/92/23/409419223.db2.gz FZVTVPBKGUCAOF-ZJUUUORDSA-N -1 1 314.332 1.198 20 0 DDADMM CC(C)(NC(=O)c1cncc([O-])c1)C(=O)NCC1CCCC1 ZINC000285398302 409430287 /nfs/dbraw/zinc/43/02/87/409430287.db2.gz VOLPLIWJGYEBJN-UHFFFAOYSA-N -1 1 305.378 1.602 20 0 DDADMM CCC[C@@]1([N-]S(=O)(=O)c2cc(C(=O)OC)co2)CCOC1 ZINC000285420315 409437826 /nfs/dbraw/zinc/43/78/26/409437826.db2.gz VSBWIAZERXCSRK-CYBMUJFWSA-N -1 1 317.363 1.304 20 0 DDADMM COCc1n[n-]c(=NC[C@H](c2cccc(F)c2)N(C)C)s1 ZINC000357033612 164136818 /nfs/dbraw/zinc/13/68/18/164136818.db2.gz ZYMFGYSNCWEYMV-GFCCVEGCSA-N -1 1 310.398 1.960 20 0 DDADMM CO[C@@H](C)c1nsc(=NC[C@H](C(C)C)N2CCOCC2)[n-]1 ZINC000337906454 409556845 /nfs/dbraw/zinc/55/68/45/409556845.db2.gz CUANQRXWIMIZGD-NWDGAFQWSA-N -1 1 314.455 1.436 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@@H](C2CC2)O1 ZINC000296359538 409511570 /nfs/dbraw/zinc/51/15/70/409511570.db2.gz QTXFHBFLPUYMPX-CABZTGNLSA-N -1 1 318.402 1.658 20 0 DDADMM CO[C@H](C)c1nc(=NCC2(S(C)(=O)=O)CCC2)s[n-]1 ZINC000337936767 409583938 /nfs/dbraw/zinc/58/39/38/409583938.db2.gz NPKBXQHSJJAADA-MRVPVSSYSA-N -1 1 305.425 1.047 20 0 DDADMM COc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)c2ccccc12 ZINC000357062278 409829486 /nfs/dbraw/zinc/82/94/86/409829486.db2.gz XOMHIKLNGBHSFT-UHFFFAOYSA-N -1 1 309.329 1.781 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc([C@@H]2C[C@H]2C(=O)NC2(c3nn[n-]n3)CC2)o1 ZINC000357074762 409844751 /nfs/dbraw/zinc/84/47/51/409844751.db2.gz STAVOVMTYIZCQJ-LMLFDSFASA-N -1 1 313.361 1.825 20 0 DDADMM CC(C)(C)O[C@H](C(=O)NC1(c2nn[n-]n2)CC1)c1ccccc1 ZINC000357077869 409847332 /nfs/dbraw/zinc/84/73/32/409847332.db2.gz OLDTUVDSVYWVCT-LBPRGKRZSA-N -1 1 315.377 1.861 20 0 DDADMM COc1ccc(C)cc1NC(=O)CN1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000323740161 409922113 /nfs/dbraw/zinc/92/21/13/409922113.db2.gz BGPTVXWOUNQFGG-OLZOCXBDSA-N -1 1 320.389 1.985 20 0 DDADMM C[C@@H]1CN(CC(=O)NCc2ccccc2F)CC[C@@H]1C(=O)[O-] ZINC000318788088 409881618 /nfs/dbraw/zinc/88/16/18/409881618.db2.gz RZYZEACFGAQPDV-YPMHNXCESA-N -1 1 308.353 1.485 20 0 DDADMM O=C(COc1ccccc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000332202437 409972811 /nfs/dbraw/zinc/97/28/11/409972811.db2.gz QTZXZBDNRJSBDW-LLVKDONJSA-N -1 1 303.318 1.560 20 0 DDADMM CCCCS[C@@H](C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000354728447 410047538 /nfs/dbraw/zinc/04/75/38/410047538.db2.gz NIZVMXGWAXBUDE-ZETCQYMHSA-N -1 1 306.413 1.069 20 0 DDADMM CCCCS[C@@H](C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000354728447 410047544 /nfs/dbraw/zinc/04/75/44/410047544.db2.gz NIZVMXGWAXBUDE-ZETCQYMHSA-N -1 1 306.413 1.069 20 0 DDADMM CC(C)Oc1ccc(=NC(=O)N2CCN(C)[C@H](C(C)C)C2)[n-]n1 ZINC000346502291 409996706 /nfs/dbraw/zinc/99/67/06/409996706.db2.gz FNAXXUWCFOWFMR-ZDUSSCGKSA-N -1 1 321.425 1.490 20 0 DDADMM CC(C)N1CC[C@H]([N-]S(=O)(=O)c2sccc2F)C1=O ZINC000338910325 410048945 /nfs/dbraw/zinc/04/89/45/410048945.db2.gz KRBYAAKWQSUKGR-VIFPVBQESA-N -1 1 306.384 1.175 20 0 DDADMM CC(C)c1ncc(Cl)c(C(=O)NC(C)(C)c2nn[n-]n2)n1 ZINC000354777618 410080317 /nfs/dbraw/zinc/08/03/17/410080317.db2.gz FMVLJXFODBCZAD-UHFFFAOYSA-N -1 1 309.761 1.432 20 0 DDADMM CC(C)(NC(=O)CCC(=O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000354784066 410082064 /nfs/dbraw/zinc/08/20/64/410082064.db2.gz KKCRVPGJWUKVEM-UHFFFAOYSA-N -1 1 321.768 1.868 20 0 DDADMM CN(C)c1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)ccn1 ZINC000332345056 410083540 /nfs/dbraw/zinc/08/35/40/410083540.db2.gz PUFISRDCWXPWAQ-NSHDSACASA-N -1 1 317.349 1.256 20 0 DDADMM COC[C@H](CCO)Nc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000357532477 410090168 /nfs/dbraw/zinc/09/01/68/410090168.db2.gz KMNPHQGXIBQIKP-ZDUSSCGKSA-N -1 1 318.377 1.670 20 0 DDADMM O=C(CNC(=O)C1CCCCC1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000298192939 410129674 /nfs/dbraw/zinc/12/96/74/410129674.db2.gz QAUCGGPNJJPSQM-UHFFFAOYSA-N -1 1 319.287 1.459 20 0 DDADMM CC[C@@H]1CN(c2ccccc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000332407351 410131332 /nfs/dbraw/zinc/13/13/32/410131332.db2.gz CPTLMERBZPOFJU-CYBMUJFWSA-N -1 1 301.394 1.209 20 0 DDADMM NC(=O)c1ccc(=NC[C@H](Cc2ccccc2)C(F)(F)F)[n-]n1 ZINC000357621537 410143522 /nfs/dbraw/zinc/14/35/22/410143522.db2.gz AIQPFHANRIPZPQ-NSHDSACASA-N -1 1 324.306 1.831 20 0 DDADMM Cn1cncc1[C@@H]1C[C@H](NC(=O)c2ccc([O-])cc2F)CCO1 ZINC000332422396 410145716 /nfs/dbraw/zinc/14/57/16/410145716.db2.gz OGEAAZHBLABCOQ-BMIGLBTASA-N -1 1 319.336 1.915 20 0 DDADMM Cc1ccc(C[C@H](CO)NC(=O)c2ncc(C)cc2[O-])cc1 ZINC000346929786 410254866 /nfs/dbraw/zinc/25/48/66/410254866.db2.gz WKIGNGSKARUUJF-CQSZACIVSA-N -1 1 300.358 1.737 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cc(C)cnc1N)c1ccco1 ZINC000347092339 410339569 /nfs/dbraw/zinc/33/95/69/410339569.db2.gz MWNAHSHFSUDMBA-JTQLQIEISA-N -1 1 311.363 1.231 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC2(CCCC2)[C@H]1C(C)C ZINC000333317457 410476699 /nfs/dbraw/zinc/47/66/99/410476699.db2.gz MLUVOBQUPUNTKA-GFCCVEGCSA-N -1 1 321.377 1.937 20 0 DDADMM CSC[C@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000347344544 410484101 /nfs/dbraw/zinc/48/41/01/410484101.db2.gz PFZIYBVRIYKJDZ-NSHDSACASA-N -1 1 323.418 1.119 20 0 DDADMM Cc1ccccc1-n1cc(/C=C\c2cc(=O)n3[n-]cnc3n2)nn1 ZINC000352024976 410425288 /nfs/dbraw/zinc/42/52/88/410425288.db2.gz BBPBDLJJLKOFJG-SREVYHEPSA-N -1 1 319.328 1.477 20 0 DDADMM Cn1ncc(Br)c1/C=C\c1cc(=O)n2[n-]cnc2n1 ZINC000352035399 410433715 /nfs/dbraw/zinc/43/37/15/410433715.db2.gz QJGDCLNLDHYWJV-IHWYPQMZSA-N -1 1 321.138 1.084 20 0 DDADMM CCS[C@H]1CCC[C@@H]1NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000352051257 410444481 /nfs/dbraw/zinc/44/44/81/410444481.db2.gz ATHZLNXYLOZVDV-YUMQZZPRSA-N -1 1 313.379 1.300 20 0 DDADMM CS(=O)(=O)[N-]c1ccc(Oc2ncnc3[nH]ccc32)cn1 ZINC000339970875 410586299 /nfs/dbraw/zinc/58/62/99/410586299.db2.gz BVUISFNTGMZVQJ-UHFFFAOYSA-N -1 1 305.319 1.517 20 0 DDADMM COc1ccc(NC(=O)c2cncc([O-])c2)cc1-n1cnnn1 ZINC000339896467 410537045 /nfs/dbraw/zinc/53/70/45/410537045.db2.gz OUSAOOBBVLXUHU-UHFFFAOYSA-N -1 1 312.289 1.024 20 0 DDADMM C[C@@H](CS(C)(=O)=O)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000352309113 410613978 /nfs/dbraw/zinc/61/39/78/410613978.db2.gz PUVBXJCEJXARHJ-VIFPVBQESA-N -1 1 323.374 1.402 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@@H](COCC3CC3)C2)c([O-])c1 ZINC000330325905 410628626 /nfs/dbraw/zinc/62/86/26/410628626.db2.gz UWUDXYSSCPMKFU-CYBMUJFWSA-N -1 1 306.362 1.363 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1ccc3cc[nH]c3n1)c2=O ZINC000359408256 410645676 /nfs/dbraw/zinc/64/56/76/410645676.db2.gz CPRBHQUDJNKGJG-UHFFFAOYSA-N -1 1 322.328 1.480 20 0 DDADMM O=C1NCCC[C@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000330514688 410742759 /nfs/dbraw/zinc/74/27/59/410742759.db2.gz LHWPOGWVOSMVMZ-SECBINFHSA-N -1 1 306.746 1.036 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cc(C)ccc1OC)c1nn[n-]n1 ZINC000343772411 410686081 /nfs/dbraw/zinc/68/60/81/410686081.db2.gz ZOTOISSILPORJY-GFCCVEGCSA-N -1 1 303.366 1.717 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2CC(F)(F)C2)c1 ZINC000359679166 410812603 /nfs/dbraw/zinc/81/26/03/410812603.db2.gz LBBVNEMGNPKZMX-UHFFFAOYSA-N -1 1 320.317 1.284 20 0 DDADMM O=C(c1ncccc1[O-])N1CCc2c(nnn2-c2ccccc2)C1 ZINC000348179564 410838502 /nfs/dbraw/zinc/83/85/02/410838502.db2.gz GZXWDXIORJQSRP-UHFFFAOYSA-N -1 1 321.340 1.566 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1[C@H](C)C1(F)F ZINC000359793995 410886422 /nfs/dbraw/zinc/88/64/22/410886422.db2.gz KZYQCMZCRSNBJU-PWCHPLFNSA-N -1 1 310.304 1.569 20 0 DDADMM C[C@@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000344001911 410884536 /nfs/dbraw/zinc/88/45/36/410884536.db2.gz BWPMLLLKICSTON-MADCSZMMSA-N -1 1 309.309 1.950 20 0 DDADMM O=C(COc1ccc(F)cc1Cl)NC1(c2nn[n-]n2)CC1 ZINC000348275182 410889074 /nfs/dbraw/zinc/88/90/74/410889074.db2.gz IJPXOZYLAZQQKF-UHFFFAOYSA-N -1 1 311.704 1.177 20 0 DDADMM O=C(Cc1cccc(C(F)(F)F)c1)NC1(c2nn[n-]n2)CC1 ZINC000348274290 410891588 /nfs/dbraw/zinc/89/15/88/410891588.db2.gz GSAYHRWLIQAIIP-UHFFFAOYSA-N -1 1 311.267 1.567 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1C[C@H]1c1cccc(Cl)c1 ZINC000348297923 410907812 /nfs/dbraw/zinc/90/78/12/410907812.db2.gz MHBDVAWXQQMDHN-WDEREUQCSA-N -1 1 303.753 1.762 20 0 DDADMM CCOC(=O)CC1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000331121680 410962326 /nfs/dbraw/zinc/96/23/26/410962326.db2.gz BGWWZKHFFCSFFA-UHFFFAOYSA-N -1 1 306.362 1.901 20 0 DDADMM CCC[C@H](NC(=O)C[C@H]1C[C@H](C(C)=O)C1(C)C)c1nn[n-]n1 ZINC000353840586 411134782 /nfs/dbraw/zinc/13/47/82/411134782.db2.gz GKNQSXVAAJUURC-UTUOFQBUSA-N -1 1 307.398 1.799 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](CC)CCO)o1 ZINC000360220826 411105591 /nfs/dbraw/zinc/10/55/91/411105591.db2.gz RRRCPWNUTNJTND-SNVBAGLBSA-N -1 1 319.379 1.143 20 0 DDADMM COc1ccc([C@H](NC(=O)CCCc2nn[n-]n2)C2CC2)cc1 ZINC000635221241 422883451 /nfs/dbraw/zinc/88/34/51/422883451.db2.gz CMVYBGMMBIMDMW-MRXNPFEDSA-N -1 1 315.377 1.799 20 0 DDADMM CCO[C@@H](C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C1CC1 ZINC000629952073 422891781 /nfs/dbraw/zinc/89/17/81/422891781.db2.gz QFXYYHRITULIQE-QWHCGFSZSA-N -1 1 301.350 1.220 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2Cc3ccccc3O2)sn1 ZINC000631725711 422895904 /nfs/dbraw/zinc/89/59/04/422895904.db2.gz CPAYJQOPUXLAJU-LLVKDONJSA-N -1 1 310.400 1.734 20 0 DDADMM C[C@H](CN1CCCC1=O)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631777482 422917603 /nfs/dbraw/zinc/91/76/03/422917603.db2.gz KOGQYGNKFNAJOB-MRVPVSSYSA-N -1 1 322.333 1.506 20 0 DDADMM CC[C@@H](C)C[C@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000647673152 422994565 /nfs/dbraw/zinc/99/45/65/422994565.db2.gz CSXDRZRBZIFFDF-GHMZBOCLSA-N -1 1 303.362 1.450 20 0 DDADMM COC[C@H](C)[C@@H](C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000643165785 423049449 /nfs/dbraw/zinc/04/94/49/423049449.db2.gz GOAXFMCAAJCATE-LOWVWBTDSA-N -1 1 303.366 1.324 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCCC[C@@H]1C(=O)N1CCCC1 ZINC000647815865 423059086 /nfs/dbraw/zinc/05/90/86/423059086.db2.gz OVWDPJCGTCIWEE-RYUDHWBXSA-N -1 1 320.393 1.791 20 0 DDADMM CCNc1ncc(C(=O)NC2(c3nn[n-]n3)CCCC2)s1 ZINC000625707375 417151815 /nfs/dbraw/zinc/15/18/15/417151815.db2.gz RLCQOSVXUQXBBC-UHFFFAOYSA-N -1 1 307.383 1.287 20 0 DDADMM CC1(C)CN(C(=O)c2ccc(Cl)cc2[O-])CCS1(=O)=O ZINC000155495806 221660800 /nfs/dbraw/zinc/66/08/00/221660800.db2.gz RDYJTNHDZFWSQI-UHFFFAOYSA-N -1 1 317.794 1.695 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)CNC(=O)c1cccc(O)c1 ZINC000360433427 418433539 /nfs/dbraw/zinc/43/35/39/418433539.db2.gz KDGRJGYQSPXVLI-UHFFFAOYSA-N -1 1 324.340 1.945 20 0 DDADMM CN(C)c1noc([C@@H]2CCCN2C(=O)c2cc(F)ccc2[O-])n1 ZINC000373582179 418442333 /nfs/dbraw/zinc/44/23/33/418442333.db2.gz YBFNJRKXCDAZNA-NSHDSACASA-N -1 1 320.324 1.958 20 0 DDADMM C[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@@H](C2CC2)O1 ZINC000366247758 418448893 /nfs/dbraw/zinc/44/88/93/418448893.db2.gz MEWOIOIDYQAIEW-ZANVPECISA-N -1 1 316.361 1.313 20 0 DDADMM O=C([N-][C@H]1CC(=O)N([C@H]2C[C@H]2c2ccccc2)C1)C(F)(F)F ZINC000374133659 418492502 /nfs/dbraw/zinc/49/25/02/418492502.db2.gz WLLDDUPWASWSMU-SRVKXCTJSA-N -1 1 312.291 1.822 20 0 DDADMM COC(=O)Cc1cccc([N-]S(=O)(=O)Cc2ccccc2)n1 ZINC000191818578 418523130 /nfs/dbraw/zinc/52/31/30/418523130.db2.gz LEPMXACTWSZNRE-UHFFFAOYSA-N -1 1 320.370 1.739 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCn3ccnc3C2)c1 ZINC000374396505 418527244 /nfs/dbraw/zinc/52/72/44/418527244.db2.gz UAGYQWFZBNRHIC-JTQLQIEISA-N -1 1 315.329 1.576 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3CCCc4c[nH]nc43)ccnc1-2 ZINC000287028800 418574058 /nfs/dbraw/zinc/57/40/58/418574058.db2.gz CONQAWGDXSBIED-XHEYCZLTSA-N -1 1 311.349 1.264 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2ccc3cc[nH]c3c2)c[nH]1 ZINC000193476149 222155471 /nfs/dbraw/zinc/15/54/71/222155471.db2.gz ALUIHSJRRSDVOS-UHFFFAOYSA-N -1 1 305.315 1.995 20 0 DDADMM CC(C)NS(=O)(=O)CCNC(=O)c1ccc(Cl)cc1[O-] ZINC000195220224 222195452 /nfs/dbraw/zinc/19/54/52/222195452.db2.gz UKJSAGWWPJDXNG-UHFFFAOYSA-N -1 1 320.798 1.103 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@@]2(C1)CCCOC2 ZINC000375076342 418600775 /nfs/dbraw/zinc/60/07/75/418600775.db2.gz YULBRZKZOFKLST-QGZVFWFLSA-N -1 1 313.357 1.566 20 0 DDADMM CCc1cccc(N2C[C@H](C(=O)[N-]OCCCOC)CC2=O)c1 ZINC000367814285 418638597 /nfs/dbraw/zinc/63/85/97/418638597.db2.gz KQTOMPBMPJLWFM-CQSZACIVSA-N -1 1 320.389 1.686 20 0 DDADMM CC[C@H]1COC(C)(C)CN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000650362918 423141405 /nfs/dbraw/zinc/14/14/05/423141405.db2.gz XXGWZKRKGOEYFU-NSHDSACASA-N -1 1 315.373 1.953 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CC[C@@H]([C@H]3CCOC3)C1)c2=O ZINC000364697176 418801964 /nfs/dbraw/zinc/80/19/64/418801964.db2.gz NLEQSNAOGBAEKC-MNOVXSKESA-N -1 1 316.361 1.173 20 0 DDADMM CCCc1cc(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)ccn1 ZINC000411113877 418870004 /nfs/dbraw/zinc/87/00/04/418870004.db2.gz WLPQGTRHLRBMFT-UHFFFAOYSA-N -1 1 314.349 1.297 20 0 DDADMM Cc1ccc(OCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1C ZINC000372156862 418841036 /nfs/dbraw/zinc/84/10/36/418841036.db2.gz QEMWUNGVPWSULS-ZDUSSCGKSA-N -1 1 315.377 1.602 20 0 DDADMM CCOCCC1(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCC1 ZINC000372949217 418915580 /nfs/dbraw/zinc/91/55/80/418915580.db2.gz SVPFFXKEJJKCJU-LBPRGKRZSA-N -1 1 307.398 1.503 20 0 DDADMM CC(C)C[C@@H](C(N)=O)C(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000411567818 419347230 /nfs/dbraw/zinc/34/72/30/419347230.db2.gz JFYIAPPBFOGFRX-VIFPVBQESA-N -1 1 306.391 1.646 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ccnn1C ZINC000425302551 228411635 /nfs/dbraw/zinc/41/16/35/228411635.db2.gz NRBMQEBCPNQNME-ZJUUUORDSA-N -1 1 305.338 1.018 20 0 DDADMM Cc1cnc(C(=O)NC[C@]2(CO)C[C@@H]2c2ccccc2)c([O-])c1 ZINC000426736470 419544143 /nfs/dbraw/zinc/54/41/43/419544143.db2.gz JFMYFJAJIKKOTH-KDOFPFPSSA-N -1 1 312.369 1.992 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc3c(c2)C(=O)NCC3)c([O-])c1 ZINC000427903450 419766117 /nfs/dbraw/zinc/76/61/17/419766117.db2.gz IORVROMYFQVILA-UHFFFAOYSA-N -1 1 311.341 1.312 20 0 DDADMM CCOC(=O)[C@H](NC(=O)c1ncc(C)cc1[O-])[C@H]1CCCOC1 ZINC000428050671 419802872 /nfs/dbraw/zinc/80/28/72/419802872.db2.gz XFUTZLYAYIWRDY-WCQYABFASA-N -1 1 322.361 1.184 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)F)c1ccc(Cl)s1 ZINC000428402476 419859096 /nfs/dbraw/zinc/85/90/96/419859096.db2.gz NMYFVWDJRCRZSI-RXMQYKEDSA-N -1 1 305.755 1.696 20 0 DDADMM CCc1ccc(O)c([N-]S(=O)(=O)c2c[nH]c(=O)s2)c1 ZINC000436475171 420329818 /nfs/dbraw/zinc/32/98/18/420329818.db2.gz GDDJVXBHFGWCTQ-UHFFFAOYSA-N -1 1 300.361 1.918 20 0 DDADMM CCCN1C[C@@H](CN=c2nc(C(F)(F)F)[n-]s2)CC1=O ZINC000420647624 420340591 /nfs/dbraw/zinc/34/05/91/420340591.db2.gz CEVHHJSUNOEUHE-SSDOTTSWSA-N -1 1 308.329 1.649 20 0 DDADMM CCC(=O)c1ccc([O-])c(NS(=O)(=O)N(CC)CC)c1 ZINC000436610272 420343664 /nfs/dbraw/zinc/34/36/64/420343664.db2.gz UCQSFEKHOJTBPL-UHFFFAOYSA-N -1 1 300.380 1.983 20 0 DDADMM O=C(c1cnn2ccccc12)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425297152 420343809 /nfs/dbraw/zinc/34/38/09/420343809.db2.gz HTLBJWWBVJEANZ-SNVBAGLBSA-N -1 1 313.317 1.443 20 0 DDADMM CSc1c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cnn1C ZINC000425298742 420344218 /nfs/dbraw/zinc/34/42/18/420344218.db2.gz JKVGJRZCCLJSPF-QMMMGPOBSA-N -1 1 323.378 1.250 20 0 DDADMM O=C(N=c1cc([C@H]2CCCO2)[nH][nH]1)C(=O)c1ccc([O-])cc1 ZINC000436633517 420345526 /nfs/dbraw/zinc/34/55/26/420345526.db2.gz ZUKFUCMQADQHBW-GFCCVEGCSA-N -1 1 301.302 1.210 20 0 DDADMM CO[C@@]1(CNC(=O)c2ccc(C(F)(F)F)cc2[O-])CCOC1 ZINC000436617255 420346187 /nfs/dbraw/zinc/34/61/87/420346187.db2.gz NBJURHAUHDJNIO-CYBMUJFWSA-N -1 1 319.279 1.946 20 0 DDADMM O=C(NC[C@H](CCO)c1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000436703920 420358855 /nfs/dbraw/zinc/35/88/55/420358855.db2.gz NCRKSPZZWDKNSR-HNNXBMFYSA-N -1 1 313.353 1.857 20 0 DDADMM CC(C)(NC(=O)c1ccc(C(F)(F)F)cc1O)c1nn[n-]n1 ZINC000436807432 420369471 /nfs/dbraw/zinc/36/94/71/420369471.db2.gz LASQULAOAGOSBQ-UHFFFAOYSA-N -1 1 315.255 1.589 20 0 DDADMM COC(=O)C(C)(C)N1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000436820651 420371007 /nfs/dbraw/zinc/37/10/07/420371007.db2.gz IDCDJPOACNCOQI-UHFFFAOYSA-N -1 1 324.352 1.241 20 0 DDADMM CC(C)(C)NC(=O)N1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000436926386 420384927 /nfs/dbraw/zinc/38/49/27/420384927.db2.gz NEBXIAXWQQZGIG-UHFFFAOYSA-N -1 1 323.368 1.797 20 0 DDADMM O=C(N[C@H]1CN(c2ccc(F)cc2)C1=O)c1c([O-])cccc1F ZINC000436923067 420385459 /nfs/dbraw/zinc/38/54/59/420385459.db2.gz ATQGUUNLPLONML-LBPRGKRZSA-N -1 1 318.279 1.816 20 0 DDADMM COC(=O)[C@@H]1CC12CCN(C(=O)C(=O)c1ccc([O-])cc1)CC2 ZINC000437005055 420391980 /nfs/dbraw/zinc/39/19/80/420391980.db2.gz VCBVUBQHHMCWFA-ZDUSSCGKSA-N -1 1 317.341 1.377 20 0 DDADMM COCCN1C[C@H](C(=O)Nc2ccc([O-])c(F)c2F)CC1=O ZINC000456795853 420546587 /nfs/dbraw/zinc/54/65/87/420546587.db2.gz VGPXVLKEQIPKGL-MRVPVSSYSA-N -1 1 314.288 1.104 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2c(C(N)=O)[nH]nc2C(C)C)o1 ZINC000451118365 420592344 /nfs/dbraw/zinc/59/23/44/420592344.db2.gz XRWCPBZWSYDOOY-UHFFFAOYSA-N -1 1 312.351 1.334 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)C[C@H]2CCC(F)(F)C2)n1 ZINC000453029371 420703530 /nfs/dbraw/zinc/70/35/30/420703530.db2.gz PYPQERZJHDLWHQ-ZETCQYMHSA-N -1 1 308.306 1.405 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](C)C[C@H](C)O)o1 ZINC000443240563 420750954 /nfs/dbraw/zinc/75/09/54/420750954.db2.gz JXDWOMHZURBCQP-ZJUUUORDSA-N -1 1 319.379 1.142 20 0 DDADMM COc1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)cc1OC ZINC000492945852 420718147 /nfs/dbraw/zinc/71/81/47/420718147.db2.gz FWAPKLOKZXQNBM-SOFGYWHQSA-N -1 1 317.349 1.282 20 0 DDADMM CCCOc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)c(OC)c1 ZINC000450003312 421126756 /nfs/dbraw/zinc/12/67/56/421126756.db2.gz GTEYWPCBFAMAKJ-UHFFFAOYSA-N -1 1 319.365 1.662 20 0 DDADMM Cc1ncsc1CCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000560823860 421295542 /nfs/dbraw/zinc/29/55/42/421295542.db2.gz RIBHMOOCVCCBSI-UHFFFAOYSA-N -1 1 306.395 1.483 20 0 DDADMM Cn1[n-]c(CN(Cc2cccs2)[C@@H]2C=C[C@H](CO)C2)nc1=O ZINC000561908034 421336994 /nfs/dbraw/zinc/33/69/94/421336994.db2.gz VQPFZLJHHICSDM-NWDGAFQWSA-N -1 1 320.418 1.109 20 0 DDADMM Cc1c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cnc2ccccc12 ZINC000547488577 421337301 /nfs/dbraw/zinc/33/73/01/421337301.db2.gz WEZFWSUYXYWDAS-JTQLQIEISA-N -1 1 310.361 1.932 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N[C@@H]2CCCc3cn[nH]c32)s[n-]1 ZINC000562017790 421339546 /nfs/dbraw/zinc/33/95/46/421339546.db2.gz JSCDSBDQIJDGKR-VXNVDRBHSA-N -1 1 322.394 1.590 20 0 DDADMM CC(C)[C@]1(C)C[C@@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000547841357 421373792 /nfs/dbraw/zinc/37/37/92/421373792.db2.gz MCTJPSGUWICLJA-YGRLFVJLSA-N -1 1 309.439 1.281 20 0 DDADMM C[C@@H]1C[C@H](O)CN1c1ccc(=NCc2ccccc2F)[n-]n1 ZINC000561229914 421316098 /nfs/dbraw/zinc/31/60/98/421316098.db2.gz LUBBPZHWBFHCRJ-YPMHNXCESA-N -1 1 302.353 1.609 20 0 DDADMM O=C([C@H]1COc2ccccc21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000550123393 421513292 /nfs/dbraw/zinc/51/32/92/421513292.db2.gz MLKQNIXEEBSFPB-PWSUYJOCSA-N -1 1 315.329 1.657 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)C2CCC2)n[n-]1 ZINC000548712049 421465995 /nfs/dbraw/zinc/46/59/95/421465995.db2.gz LJVHFOCXKMNKDO-NSHDSACASA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)C2CCC2)[n-]1 ZINC000548712049 421465997 /nfs/dbraw/zinc/46/59/97/421465997.db2.gz LJVHFOCXKMNKDO-NSHDSACASA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)C2CCC2)n1 ZINC000548712049 421466000 /nfs/dbraw/zinc/46/60/00/421466000.db2.gz LJVHFOCXKMNKDO-NSHDSACASA-N -1 1 308.382 1.985 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)CN2CC[C@](C)(C(=O)[O-])C2)c1C ZINC000514941305 421468646 /nfs/dbraw/zinc/46/86/46/421468646.db2.gz CFEKJCWUTLTKGB-INIZCTEOSA-N -1 1 322.361 1.397 20 0 DDADMM O=C(c1cnc2cnccc2c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000548761250 421470755 /nfs/dbraw/zinc/47/07/55/421470755.db2.gz KYLTWLMDUBWCIA-NSHDSACASA-N -1 1 309.333 1.163 20 0 DDADMM Cc1nc(SC[C@@H]2CCCS(=O)(=O)C2)[n-]c(=O)c1C ZINC000551461795 421533419 /nfs/dbraw/zinc/53/34/19/421533419.db2.gz MGGDZBWVGFZDKV-JTQLQIEISA-N -1 1 302.421 1.716 20 0 DDADMM Cc1ncc2c(n1)CCN(C(=O)c1csc(=NC3CC3)[n-]1)C2 ZINC000531766690 421645710 /nfs/dbraw/zinc/64/57/10/421645710.db2.gz QBLYWQVIJAXQEG-UHFFFAOYSA-N -1 1 315.402 1.436 20 0 DDADMM CSc1cccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000518310385 421647313 /nfs/dbraw/zinc/64/73/13/421647313.db2.gz KCYXEAXOFLQZMG-LLVKDONJSA-N -1 1 303.391 1.941 20 0 DDADMM O=C(CCc1cccnc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000518364472 421648937 /nfs/dbraw/zinc/64/89/37/421648937.db2.gz YZKFLAXTUDCDLE-UHFFFAOYSA-N -1 1 302.334 1.509 20 0 DDADMM COCC[N@H+](CC(=O)[O-])C[C@H](O)COc1ccccc1Cl ZINC000571649848 421735932 /nfs/dbraw/zinc/73/59/32/421735932.db2.gz WITVPDSQIHCSPF-NSHDSACASA-N -1 1 317.769 1.113 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC[C@@H](CC(=O)[O-])C2)n[nH]1 ZINC000556907499 421749744 /nfs/dbraw/zinc/74/97/44/421749744.db2.gz HGAWOJXTNQDDSQ-PWSUYJOCSA-N -1 1 308.382 1.545 20 0 DDADMM O=C(NCCCOCC(F)(F)F)C(=O)c1ccc([O-])cc1 ZINC000558411388 421805205 /nfs/dbraw/zinc/80/52/05/421805205.db2.gz OLPNBUMDCGGCDH-UHFFFAOYSA-N -1 1 305.252 1.660 20 0 DDADMM O=C(c1cccc2nccnc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000544553559 421845545 /nfs/dbraw/zinc/84/55/45/421845545.db2.gz NTCRKXPPIRKHRU-SNVBAGLBSA-N -1 1 309.333 1.163 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CCCS(=O)(=O)c2ccccc2)C1 ZINC000580838485 421846924 /nfs/dbraw/zinc/84/69/24/421846924.db2.gz KVDALNCPUWPWSC-CYBMUJFWSA-N -1 1 311.403 1.647 20 0 DDADMM Cc1ccc(C)c(N2CCN(C(=O)CCc3nn[n-]n3)CC2)c1 ZINC000631892088 421916922 /nfs/dbraw/zinc/91/69/22/421916922.db2.gz UIYJGAPLJBTARS-UHFFFAOYSA-N -1 1 314.393 1.098 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CC(=O)N(C3CC3)C2)c1 ZINC000573108737 421922104 /nfs/dbraw/zinc/92/21/04/421922104.db2.gz HQGFEFNRLGXJNQ-JTQLQIEISA-N -1 1 318.329 1.128 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@@H]2CC[C@H]3C[C@H]32)c1 ZINC000581232160 421926088 /nfs/dbraw/zinc/92/60/88/421926088.db2.gz HCOFKDJCEQYOHU-ATZCPNFKSA-N -1 1 310.375 1.414 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)CC(F)(F)CN)[nH][n-]2)s1 ZINC000581259074 421933049 /nfs/dbraw/zinc/93/30/49/421933049.db2.gz XEKNHBRLNGHWIM-UHFFFAOYSA-N -1 1 300.334 1.791 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C)c2nnc3n2CCC3)sn1 ZINC000631924096 421943621 /nfs/dbraw/zinc/94/36/21/421943621.db2.gz MDCDFDGKAYQUFU-QMMMGPOBSA-N -1 1 313.408 1.029 20 0 DDADMM C[C@H]1NCCN(S(=O)(=O)c2cccc(Cl)c2[O-])[C@H]1C ZINC000631935124 421953351 /nfs/dbraw/zinc/95/33/51/421953351.db2.gz DNADRZCZWNGHLF-BDAKNGLRSA-N -1 1 304.799 1.417 20 0 DDADMM Cc1ccc(C)c(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000630222236 421974234 /nfs/dbraw/zinc/97/42/34/421974234.db2.gz FBVGAUVGCONHES-CQSZACIVSA-N -1 1 304.390 1.924 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)COc2ccccc2F)CC1 ZINC000630224012 421975272 /nfs/dbraw/zinc/97/52/72/421975272.db2.gz LBOPHKKGMNXEHK-LBPRGKRZSA-N -1 1 324.352 1.212 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3cc[nH]c32)CC1 ZINC000630225923 421975975 /nfs/dbraw/zinc/97/59/75/421975975.db2.gz TYDWSBWDDFFONV-GFCCVEGCSA-N -1 1 315.373 1.789 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2n[nH]c3ccccc32)CC1 ZINC000630225119 421976106 /nfs/dbraw/zinc/97/61/06/421976106.db2.gz FAOBGZLECKMYLF-UHFFFAOYSA-N -1 1 316.361 1.184 20 0 DDADMM CC(C)(C)n1nnc(CNC(=O)Cc2ccc([O-])c(Cl)c2)n1 ZINC000633587798 421983618 /nfs/dbraw/zinc/98/36/18/421983618.db2.gz HOSAZDCAADXPMY-UHFFFAOYSA-N -1 1 323.784 1.646 20 0 DDADMM COc1ccccc1C(C)(C)CNC(=O)CCCc1nn[n-]n1 ZINC000635446365 421992158 /nfs/dbraw/zinc/99/21/58/421992158.db2.gz KIYYRTZBHWYZGB-UHFFFAOYSA-N -1 1 317.393 1.625 20 0 DDADMM CCCCCc1cc(C(=O)N2CC[C@@](OC)(C(=O)[O-])C2)n[nH]1 ZINC000630255370 421993733 /nfs/dbraw/zinc/99/37/33/421993733.db2.gz ISNSDELWKUTRTI-HNNXBMFYSA-N -1 1 309.366 1.458 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(-c2cnco2)cc1)c1nn[n-]n1 ZINC000581978324 422078028 /nfs/dbraw/zinc/07/80/28/422078028.db2.gz PWOMHCWHNXYODK-SNVBAGLBSA-N -1 1 312.333 1.730 20 0 DDADMM C[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CCS1(=O)=O ZINC000633893458 422137220 /nfs/dbraw/zinc/13/72/20/422137220.db2.gz GKSNKCBGZLPETM-JTQLQIEISA-N -1 1 320.370 1.200 20 0 DDADMM N[C@H](C(=O)N1CC[C@@H](C(=O)[O-])C1)c1ccc(C(F)(F)F)cc1 ZINC000630571436 422186453 /nfs/dbraw/zinc/18/64/53/422186453.db2.gz NDRDCUDCCDGSPY-KOLCDFICSA-N -1 1 316.279 1.638 20 0 DDADMM CNC(=O)[C@H]1CCC[C@H]1[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632162620 422132311 /nfs/dbraw/zinc/13/23/11/422132311.db2.gz IDJSYKDILXISAB-JGVFFNPUSA-N -1 1 322.333 1.410 20 0 DDADMM O=c1cc(C(F)F)nc(SCc2nnc3n2CCOC3)[n-]1 ZINC000574559793 422132648 /nfs/dbraw/zinc/13/26/48/422132648.db2.gz MUDUNFHWNLUDGW-UHFFFAOYSA-N -1 1 315.305 1.534 20 0 DDADMM CCCCO[C@@H]1C[C@@H](N(C)C(=O)CCCc2nn[n-]n2)C1(C)C ZINC000635644433 422198354 /nfs/dbraw/zinc/19/83/54/422198354.db2.gz RQHKSBARJAYBCW-CHWSQXEVSA-N -1 1 323.441 1.965 20 0 DDADMM CC(C)c1ncc(CN2Cc3n[nH]c(=O)n3C[C@H]2C(=O)[O-])s1 ZINC000574911657 422212900 /nfs/dbraw/zinc/21/29/00/422212900.db2.gz XZCCPCCNTIHVTN-VIFPVBQESA-N -1 1 323.378 1.033 20 0 DDADMM C[C@H](NC(=O)CCCc1nn[n-]n1)[C@H]1Oc2ccccc2[C@@H]1C ZINC000635673008 422227453 /nfs/dbraw/zinc/22/74/53/422227453.db2.gz PUOUBKLDCNTFHP-MMPTUQATSA-N -1 1 315.377 1.592 20 0 DDADMM COc1ccc2c(c1)N(C(=O)CCCc1nn[n-]n1)CCCC2 ZINC000635674123 422229619 /nfs/dbraw/zinc/22/96/19/422229619.db2.gz BXXDCAJBAKPHLT-UHFFFAOYSA-N -1 1 315.377 1.901 20 0 DDADMM CC[C@H](NC(=O)[C@@H](N)c1ccc(C(F)(F)F)cc1)C(=O)[O-] ZINC000630573002 422189054 /nfs/dbraw/zinc/18/90/54/422189054.db2.gz BGLMBBYAPGCMNO-UWVGGRQHSA-N -1 1 304.268 1.685 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(Cc1cn(-c3ccccc3)nn1)CC2 ZINC000574816778 422189137 /nfs/dbraw/zinc/18/91/37/422189137.db2.gz UOAQILBUWQDLAY-OAHLLOKOSA-N -1 1 312.373 1.954 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H](OC)C2CC2)c1 ZINC000632372275 422284632 /nfs/dbraw/zinc/28/46/32/422284632.db2.gz HGRGIXQMEXKBDS-LBPRGKRZSA-N -1 1 301.364 1.104 20 0 DDADMM CC1(C)OCC[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632390894 422301443 /nfs/dbraw/zinc/30/14/43/422301443.db2.gz MVTBPOQOLBYIPI-ZETCQYMHSA-N -1 1 313.301 1.274 20 0 DDADMM CN(Cc1ccc(Cl)c(F)c1)C(=O)CCCc1nn[n-]n1 ZINC000635746178 422304643 /nfs/dbraw/zinc/30/46/43/422304643.db2.gz CCDMRDAAKATTKU-UHFFFAOYSA-N -1 1 311.748 1.974 20 0 DDADMM COc1cc2[n-]cc(C(=O)NC3(C)COC3)c(=O)c2c(OC)c1 ZINC000634192717 422316131 /nfs/dbraw/zinc/31/61/31/422316131.db2.gz QTSFTCHEOTYUHM-UHFFFAOYSA-N -1 1 318.329 1.064 20 0 DDADMM CC(C)(O)CN(Cc1ccccc1)C(=O)CCCc1nn[n-]n1 ZINC000635769845 422328814 /nfs/dbraw/zinc/32/88/14/422328814.db2.gz QQBUFHITJGSFBQ-UHFFFAOYSA-N -1 1 317.393 1.322 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC2(C1)CCCO2 ZINC000628787313 422328899 /nfs/dbraw/zinc/32/88/99/422328899.db2.gz MRHKPKKITILBDC-UHFFFAOYSA-N -1 1 305.378 1.793 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCNc1ccccc1F ZINC000635794127 422350393 /nfs/dbraw/zinc/35/03/93/422350393.db2.gz IDSJOHKDKYADHM-UHFFFAOYSA-N -1 1 306.345 1.280 20 0 DDADMM C[C@@](CO)(Cc1ccccc1F)NC(=O)c1ncccc1[O-] ZINC000634282210 422365061 /nfs/dbraw/zinc/36/50/61/422365061.db2.gz XYBPSORJIDTDGA-INIZCTEOSA-N -1 1 304.321 1.650 20 0 DDADMM O=S(=O)(CCC(F)(F)F)[N-]Cc1nc(C(F)F)no1 ZINC000632479810 422378376 /nfs/dbraw/zinc/37/83/76/422378376.db2.gz IZORAFQGNMBUBZ-UHFFFAOYSA-N -1 1 309.216 1.379 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(=Cc2cccc(F)c2)CC1 ZINC000630767863 422325291 /nfs/dbraw/zinc/32/52/91/422325291.db2.gz XWRCOBMFAGHRBW-UHFFFAOYSA-N -1 1 315.352 1.977 20 0 DDADMM CN1CCOC[C@@H]1CNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000628918005 422390853 /nfs/dbraw/zinc/39/08/53/422390853.db2.gz CRBQIDZHMKIAFE-JTQLQIEISA-N -1 1 318.295 1.471 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])C1(n2cnnn2)CCCCC1 ZINC000628959827 422419494 /nfs/dbraw/zinc/41/94/94/422419494.db2.gz BXBHNPYLNYYDNM-UHFFFAOYSA-N -1 1 305.313 1.816 20 0 DDADMM O=c1c2c([n-]n1CCCN1CCOCC1)-c1ccccc1CC2 ZINC000634616408 422509492 /nfs/dbraw/zinc/50/94/92/422509492.db2.gz LQKOXKBYSSMDLC-MRXNPFEDSA-N -1 1 313.401 1.518 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-][C@H](C)[C@@H](O)C(F)(F)F)s1 ZINC000632673261 422509653 /nfs/dbraw/zinc/50/96/53/422509653.db2.gz IYXLMJXSXLEDSX-SVGQVSJJSA-N -1 1 318.342 1.296 20 0 DDADMM COC1(CS(=O)(=O)[N-][C@H]2Cc3ccccc3NC2=O)CCC1 ZINC000632597516 422463290 /nfs/dbraw/zinc/46/32/90/422463290.db2.gz VFEWGFQWSDYVKU-ZDUSSCGKSA-N -1 1 324.402 1.038 20 0 DDADMM CCCn1nccc1NC(=O)CSc1ncc(C)c(=O)[n-]1 ZINC000631016888 422474575 /nfs/dbraw/zinc/47/45/75/422474575.db2.gz ABNBFYHRABVLDG-UHFFFAOYSA-N -1 1 307.379 1.828 20 0 DDADMM CCC[C@H](CCO)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000632620587 422477725 /nfs/dbraw/zinc/47/77/25/422477725.db2.gz RBHIQDFUWFBPFC-SECBINFHSA-N -1 1 323.361 1.803 20 0 DDADMM COc1cccc([C@H]2C[C@H](NC(=O)CCCc3nn[n-]n3)C2)c1 ZINC000635996102 422551209 /nfs/dbraw/zinc/55/12/09/422551209.db2.gz SODFAABIXKDZQB-JOCQHMNTSA-N -1 1 315.377 1.593 20 0 DDADMM COc1ccccc1OCCN1C[C@@H](OC)C[C@]1(C)C(=O)[O-] ZINC000634693849 422554369 /nfs/dbraw/zinc/55/43/69/422554369.db2.gz JFRTYCFHAXTGTH-BLLLJJGKSA-N -1 1 309.362 1.638 20 0 DDADMM O=C(N[C@@H]1CCOC[C@H]1O)c1ccc(Br)cc1[O-] ZINC000629246266 422559018 /nfs/dbraw/zinc/55/90/18/422559018.db2.gz TWPSVDWQXUSCDE-MWLCHTKSSA-N -1 1 316.151 1.034 20 0 DDADMM NC(=O)C[C@@H]1CN(C(=O)c2ccc3ccccc3c2[O-])CCO1 ZINC000629403066 422657066 /nfs/dbraw/zinc/65/70/66/422657066.db2.gz QJIPOKMUIYSBRF-GFCCVEGCSA-N -1 1 314.341 1.262 20 0 DDADMM O=C(Nc1nn[nH]c1C(=O)NC1CC1)c1sccc1C1CC1 ZINC000578843683 422657711 /nfs/dbraw/zinc/65/77/11/422657711.db2.gz FDFZGQIEFYKFMC-UHFFFAOYSA-N -1 1 317.374 1.888 20 0 DDADMM [O-]C(=Cc1cncc(O)c1)Nc1nc(-c2ccccc2)ns1 ZINC000578683477 422622438 /nfs/dbraw/zinc/62/24/38/422622438.db2.gz XPBHNSJYCGHKND-UHFFFAOYSA-N -1 1 312.354 1.909 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN(C)CCCC(=O)[O-])cc1 ZINC000578753396 422638229 /nfs/dbraw/zinc/63/82/29/422638229.db2.gz UOOSQCLYDQEYLM-UHFFFAOYSA-N -1 1 308.334 1.208 20 0 DDADMM O=C(Cc1csc(CCC(F)(F)F)n1)Nc1nnn[n-]1 ZINC000631363249 422717624 /nfs/dbraw/zinc/71/76/24/422717624.db2.gz YYVDCGQFIAITDW-UHFFFAOYSA-N -1 1 306.273 1.332 20 0 DDADMM O=C(Cc1csc(CCC(F)(F)F)n1)Nc1nn[n-]n1 ZINC000631363249 422717629 /nfs/dbraw/zinc/71/76/29/422717629.db2.gz YYVDCGQFIAITDW-UHFFFAOYSA-N -1 1 306.273 1.332 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@@H](C)O[C@@H]1C ZINC000631295323 422667987 /nfs/dbraw/zinc/66/79/87/422667987.db2.gz RZZNEZFLEGCKEC-GGZOMVNGSA-N -1 1 318.377 1.481 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H]3CCC[C@@H]3C(=O)[O-])[nH]c2c1 ZINC000634822632 422669855 /nfs/dbraw/zinc/66/98/55/422669855.db2.gz ALBAIZMHZQEYEH-MNOVXSKESA-N -1 1 301.346 1.988 20 0 DDADMM CC[C@@H](C(=O)[O-])N(C)CCS(=O)(=O)c1cccc(OC)c1 ZINC000650516343 423184974 /nfs/dbraw/zinc/18/49/74/423184974.db2.gz XLIXVZAWWDWYTB-ZDUSSCGKSA-N -1 1 315.391 1.264 20 0 DDADMM O=c1[n-]c(CN(Cc2ccccc2)[C@H](CO)C2CCC2)n[nH]1 ZINC000645986452 423277692 /nfs/dbraw/zinc/27/76/92/423277692.db2.gz RANKJGNRVMNJQC-CQSZACIVSA-N -1 1 302.378 1.674 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000646113525 423343968 /nfs/dbraw/zinc/34/39/68/423343968.db2.gz GAKQTXBIYXGOLW-MRVPVSSYSA-N -1 1 320.349 1.890 20 0 DDADMM CN(CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ccccc1 ZINC000646067727 423322314 /nfs/dbraw/zinc/32/23/14/423322314.db2.gz LVGOBWJVTXXIBU-GFCCVEGCSA-N -1 1 316.361 1.618 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)c1cccc2n[nH]cc21 ZINC000643849048 423378166 /nfs/dbraw/zinc/37/81/66/423378166.db2.gz RQBPQKMWZQRRFQ-HNNXBMFYSA-N -1 1 303.318 1.269 20 0 DDADMM Cc1nc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)c(C)s1 ZINC000643909450 423401373 /nfs/dbraw/zinc/40/13/73/423401373.db2.gz YYEDEUITDCPABP-UHFFFAOYSA-N -1 1 304.335 1.052 20 0 DDADMM C[C@@H]1[C@H](C(=O)N=c2nc(-c3ccsc3)[n-]s2)CCN1C ZINC000648777147 423456802 /nfs/dbraw/zinc/45/68/02/423456802.db2.gz NACPKACUDDXNFG-PSASIEDQSA-N -1 1 308.432 1.967 20 0 DDADMM CC(=O)N1c2ccccc2C[C@H]1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000646410441 423479295 /nfs/dbraw/zinc/47/92/95/423479295.db2.gz IBNZSQNLRRMWPI-LBPRGKRZSA-N -1 1 300.318 1.340 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H](C)N1CCc2ccccc2C1 ZINC000646413154 423480367 /nfs/dbraw/zinc/48/03/67/423480367.db2.gz QSIBVJSFMUMRFB-NSHDSACASA-N -1 1 300.362 1.809 20 0 DDADMM CC(C)Oc1ccccc1-c1cn(Cc2nc(=O)n(C)[n-]2)nn1 ZINC000641156439 423429028 /nfs/dbraw/zinc/42/90/28/423429028.db2.gz RJXBCNQMJVXBEE-UHFFFAOYSA-N -1 1 314.349 1.202 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@H]2CC[C@](O)(C(F)(F)F)C2)o1 ZINC000644276402 423582238 /nfs/dbraw/zinc/58/22/38/423582238.db2.gz VGROGIDDKLGUJY-WCBMZHEXSA-N -1 1 313.297 1.712 20 0 DDADMM Cn1nccc1[C@H]1C[C@@H](NC(=O)c2cc(F)ccc2[O-])CCO1 ZINC000654049155 423682372 /nfs/dbraw/zinc/68/23/72/423682372.db2.gz QPIIDJBTMUKJDU-XHDPSFHLSA-N -1 1 319.336 1.915 20 0 DDADMM C[C@@H]1CCCCN1C(=O)CN1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639826151 423765906 /nfs/dbraw/zinc/76/59/06/423765906.db2.gz RSBIPQUNLGFVDJ-VXGBXAGGSA-N -1 1 307.398 1.063 20 0 DDADMM O=S(=O)([N-][C@@H]1CCSC1)c1ncccc1Br ZINC000656920995 423881704 /nfs/dbraw/zinc/88/17/04/423881704.db2.gz JVSYWEYPWXODGF-SSDOTTSWSA-N -1 1 323.237 1.628 20 0 DDADMM CC[C@@H]1C[C@H]1[N-]S(=O)(=O)c1ncccc1Br ZINC000656980455 423953477 /nfs/dbraw/zinc/95/34/77/423953477.db2.gz WMBFHFCAJQEKGH-VXNVDRBHSA-N -1 1 305.197 1.921 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](CO)CC(C)C)c(F)c1 ZINC000657122509 424130431 /nfs/dbraw/zinc/13/04/31/424130431.db2.gz ACRUSTGJPQWWNP-VIFPVBQESA-N -1 1 323.361 1.659 20 0 DDADMM CC(C)(C)n1nnc(CC[N@H+]2CCC[C@@H](CCC(=O)[O-])C2)n1 ZINC000659788043 424254782 /nfs/dbraw/zinc/25/47/82/424254782.db2.gz DYWQBNSMNIMOBT-LBPRGKRZSA-N -1 1 309.414 1.547 20 0 DDADMM CO[C@@](C)(CO)CNC(=O)c1cc(Br)ccc1[O-] ZINC000655209597 424295993 /nfs/dbraw/zinc/29/59/93/424295993.db2.gz RKJKEHJGGBIONH-GFCCVEGCSA-N -1 1 318.167 1.282 20 0 DDADMM C[C@@H](CC(F)(F)F)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000663968407 424309878 /nfs/dbraw/zinc/30/98/78/424309878.db2.gz HCEUEEQDLZKFMU-WPRPVWTQSA-N -1 1 313.283 1.994 20 0 DDADMM COCCO[C@@H]1CCN(C(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640338766 424359444 /nfs/dbraw/zinc/35/94/44/424359444.db2.gz GRMSJOAGEXMACZ-LLVKDONJSA-N -1 1 321.402 1.987 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)C[C@@H](CO)O1 ZINC000640338715 424360392 /nfs/dbraw/zinc/36/03/92/424360392.db2.gz GIAMXEQCGSYGDA-ZJUUUORDSA-N -1 1 307.375 1.332 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N[C@@H](CO)CC(F)F ZINC000640339609 424360460 /nfs/dbraw/zinc/36/04/60/424360460.db2.gz SWOJKRGVLXWALW-SSDOTTSWSA-N -1 1 301.318 1.856 20 0 DDADMM CO[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)[C@](C)(CO)C1 ZINC000640343067 424364662 /nfs/dbraw/zinc/36/46/62/424364662.db2.gz OUYSNDUBRCQPDE-BONVTDFDSA-N -1 1 321.402 1.722 20 0 DDADMM Cc1ccc(NC(=O)CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)c(F)c1 ZINC000662220093 424487702 /nfs/dbraw/zinc/48/77/02/424487702.db2.gz CMJSANLVIRCFED-BDJLRTHQSA-N -1 1 322.336 1.106 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2sccc2OC)on1 ZINC000660014963 424458682 /nfs/dbraw/zinc/45/86/82/424458682.db2.gz ZDQPMJVFLKMFQT-UHFFFAOYSA-N -1 1 304.349 1.232 20 0 DDADMM O=C([O-])CN1CC[C@@H](N(Cc2cccc(O)c2)CC2CC2)C1=O ZINC000662201093 424466489 /nfs/dbraw/zinc/46/64/89/424466489.db2.gz GKYAPRHAJLPOPX-OAHLLOKOSA-N -1 1 318.373 1.290 20 0 DDADMM CCN([C@@H](C)c1ccc(F)cc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662201124 424467335 /nfs/dbraw/zinc/46/73/35/424467335.db2.gz GYDQIDOTZFOYGE-FZMZJTMJSA-N -1 1 308.353 1.894 20 0 DDADMM Cn1ncc(C2CC2)c1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000664382625 424567347 /nfs/dbraw/zinc/56/73/47/424567347.db2.gz XUHLPWCYOLQPNK-UHFFFAOYSA-N -1 1 323.356 1.464 20 0 DDADMM C[C@H](CNC(=O)NC[C@@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000655855132 424682780 /nfs/dbraw/zinc/68/27/80/424682780.db2.gz CVGDRDFUBXYJFO-OLZOCXBDSA-N -1 1 323.393 1.827 20 0 DDADMM C[C@H](c1nc2cc(Cl)ccc2[nH]1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC000660708804 424753307 /nfs/dbraw/zinc/75/33/07/424753307.db2.gz WASLJVZQPBVHKP-MRVPVSSYSA-N -1 1 320.784 1.831 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CCC1)c1ccc(F)c(F)c1F ZINC000315643126 271014244 /nfs/dbraw/zinc/01/42/44/271014244.db2.gz ATQXJHKCBCKNAN-SECBINFHSA-N -1 1 309.309 1.543 20 0 DDADMM CNC(=O)C[C@H](C)NC(=O)c1ccc(Br)cc1[O-] ZINC000323088325 271030508 /nfs/dbraw/zinc/03/05/08/271030508.db2.gz VOTZHKPTZNMPOC-ZETCQYMHSA-N -1 1 315.167 1.409 20 0 DDADMM Cc1ccc2nc(NC(=O)CSc3n[nH]c(=O)[n-]3)[nH]c2c1 ZINC000344513171 272046334 /nfs/dbraw/zinc/04/63/34/272046334.db2.gz AROMKLTWZYHIGU-UHFFFAOYSA-N -1 1 304.335 1.426 20 0 DDADMM C[C@H](CN(C)C(=O)c1c[nH]c(C(F)(F)F)c1)c1nn[n-]n1 ZINC000293655104 279276810 /nfs/dbraw/zinc/27/68/10/279276810.db2.gz ZWFMYQLBCMDQFF-ZCFIWIBFSA-N -1 1 302.260 1.422 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000452414493 280261208 /nfs/dbraw/zinc/26/12/08/280261208.db2.gz FWXGNELXXSJTID-GHMZBOCLSA-N -1 1 303.366 1.364 20 0 DDADMM CC(=O)N1CC[C@H](CN(C)C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000119536988 281101702 /nfs/dbraw/zinc/10/17/02/281101702.db2.gz UFOXDKJUIJHCQI-LLVKDONJSA-N -1 1 310.781 1.986 20 0 DDADMM CC[C@H]1CCc2nc(NC(=O)NN3CC(=O)[N-]C3=O)sc2C1 ZINC000282286939 281123283 /nfs/dbraw/zinc/12/32/83/281123283.db2.gz YCQPUOCLVCPUBR-ZETCQYMHSA-N -1 1 323.378 1.246 20 0 DDADMM CC[C@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C[C@H](C)O1 ZINC000287157475 281125393 /nfs/dbraw/zinc/12/53/93/281125393.db2.gz MEDLSFQQXALAIU-DWAGSSQZSA-N -1 1 303.366 1.373 20 0 DDADMM CS[C@@H](C)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000130491382 281383229 /nfs/dbraw/zinc/38/32/29/281383229.db2.gz WUXWHFVPWAYHBR-QMMMGPOBSA-N -1 1 308.407 1.050 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)c1ccn(C)c1 ZINC000292491311 289049752 /nfs/dbraw/zinc/04/97/52/289049752.db2.gz FOYFMZSUXMOIJR-MWLCHTKSSA-N -1 1 317.311 1.697 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)c1cccn1C ZINC000292576381 289049846 /nfs/dbraw/zinc/04/98/46/289049846.db2.gz PBQNTGRBDXVKPC-NXEZZACHSA-N -1 1 317.311 1.697 20 0 DDADMM Cc1nc(-c2ccc(N[C@H](C)CC(N)=O)nc2)[n-]c(=O)c1C ZINC000441794304 293141739 /nfs/dbraw/zinc/14/17/39/293141739.db2.gz JOCVOXAHWQRUKU-MRVPVSSYSA-N -1 1 301.350 1.537 20 0 DDADMM N=c1nc(N2CCN(Cc3scnc3C3CC3)CC2)s[n-]1 ZINC000573044458 304572143 /nfs/dbraw/zinc/57/21/43/304572143.db2.gz TWYSJSRGCNDOEX-UHFFFAOYSA-N -1 1 322.463 1.607 20 0 DDADMM Cc1noc(C)c1[N-]C(=O)c1ccc(S(N)(=O)=O)s1 ZINC000354774531 298523880 /nfs/dbraw/zinc/52/38/80/298523880.db2.gz BFCBPOPKQGALQQ-UHFFFAOYSA-N -1 1 301.349 1.253 20 0 DDADMM CC(C)N(C)S(=O)(=O)[N-]c1ccn(-c2ncccc2F)n1 ZINC000362165607 300020145 /nfs/dbraw/zinc/02/01/45/300020145.db2.gz NMSRZLPXHWZCDB-UHFFFAOYSA-N -1 1 313.358 1.403 20 0 DDADMM CCC[C@@](C)([N-]S(=O)(=O)Cc1cc(C)no1)C(=O)OC ZINC000362604383 300105023 /nfs/dbraw/zinc/10/50/23/300105023.db2.gz SNBBCFQCEWMQPS-GFCCVEGCSA-N -1 1 304.368 1.134 20 0 DDADMM O=C(CN1CCC(C(=O)c2ccc([O-])cc2)CC1)N1CCC1 ZINC000363111019 300213170 /nfs/dbraw/zinc/21/31/70/300213170.db2.gz NTPOOKQKKAJBFF-UHFFFAOYSA-N -1 1 302.374 1.519 20 0 DDADMM Cc1oc2ccccc2c1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000364166401 300352821 /nfs/dbraw/zinc/35/28/21/300352821.db2.gz HURIHBACYOJYAA-LBPRGKRZSA-N -1 1 313.317 1.468 20 0 DDADMM O=C(Nc1cccc2c1OCC(=O)N2)c1ccc(O)cc1[O-] ZINC000366021317 300629519 /nfs/dbraw/zinc/62/95/19/300629519.db2.gz GSENRBJPJINBED-UHFFFAOYSA-N -1 1 300.270 1.681 20 0 DDADMM NC(=O)c1ccccc1N1CCC[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000369136539 301135654 /nfs/dbraw/zinc/13/56/54/301135654.db2.gz GZNUBFIQEVTKMK-SECBINFHSA-N -1 1 315.295 1.433 20 0 DDADMM C[C@@]1(C(=O)Nc2nnn[n-]2)CCCCN1C(=O)c1ccccc1 ZINC000369376031 301176456 /nfs/dbraw/zinc/17/64/56/301176456.db2.gz QXVXDQAFUPAOCS-HNNXBMFYSA-N -1 1 314.349 1.223 20 0 DDADMM C[C@@]1(C(=O)Nc2nn[n-]n2)CCCCN1C(=O)c1ccccc1 ZINC000369376031 301176457 /nfs/dbraw/zinc/17/64/57/301176457.db2.gz QXVXDQAFUPAOCS-HNNXBMFYSA-N -1 1 314.349 1.223 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@](C)(C(N)=O)C(C)C)c(F)c1 ZINC000425233324 301297078 /nfs/dbraw/zinc/29/70/78/301297078.db2.gz LARRUWPUYLBZHB-ZDUSSCGKSA-N -1 1 320.361 1.452 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C(C)(C)CC(N)=O)c(F)c1 ZINC000425167117 301297330 /nfs/dbraw/zinc/29/73/30/301297330.db2.gz FRCSBNMNNVKQTI-UHFFFAOYSA-N -1 1 306.334 1.206 20 0 DDADMM CCC[C@H](NC(=O)CN1CCc2sccc2C1)c1nn[n-]n1 ZINC000370242918 301301310 /nfs/dbraw/zinc/30/13/10/301301310.db2.gz LBVNDNUJPUDDML-NSHDSACASA-N -1 1 320.422 1.277 20 0 DDADMM O=C1C[C@@H](N=c2nc(C(F)(F)F)[n-]s2)[C@@H]2CCCCN12 ZINC000376390420 302070978 /nfs/dbraw/zinc/07/09/78/302070978.db2.gz CJUJQPDYRCMSPI-RQJHMYQMSA-N -1 1 306.313 1.544 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@H](O)c2ccccc21 ZINC000377886693 302247479 /nfs/dbraw/zinc/24/74/79/302247479.db2.gz ABPLJUGXQDYGQT-LBPRGKRZSA-N -1 1 323.374 1.418 20 0 DDADMM Cc1ccc(-c2[n-][nH]c(=NC(=O)[C@H]3C[C@H]3c3nc[nH]n3)c2C)o1 ZINC000378570068 302337320 /nfs/dbraw/zinc/33/73/20/302337320.db2.gz RLKKZRZNAIZGJK-ZJUUUORDSA-N -1 1 312.333 1.569 20 0 DDADMM C[C@H](CCO)CC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000397653715 302355923 /nfs/dbraw/zinc/35/59/23/302355923.db2.gz GDSNLSZDHSQPBZ-QMMMGPOBSA-N -1 1 311.325 1.791 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCC[C@H]1C(=O)[N-]OC(C)(C)CO ZINC000496457056 302576666 /nfs/dbraw/zinc/57/66/66/302576666.db2.gz IGTDSPWKLKWSHF-MNOVXSKESA-N -1 1 316.398 1.499 20 0 DDADMM CO[C@@H]1CN(c2ccc(=N[C@@H](C)c3ccccc3)[n-]n2)C[C@H]1O ZINC000517925708 302820485 /nfs/dbraw/zinc/82/04/85/302820485.db2.gz UNRIQMNQHDTPSX-NWANDNLSSA-N -1 1 314.389 1.268 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc2cc(=O)[nH]cc21)c1nn[n-]n1 ZINC000528796336 303064857 /nfs/dbraw/zinc/06/48/57/303064857.db2.gz CTBONIGTSXVBQL-GFCCVEGCSA-N -1 1 312.333 1.725 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CC[C@@H]3C[C@@H]3C2)co1 ZINC000529583453 303138628 /nfs/dbraw/zinc/13/86/28/303138628.db2.gz NZHNPTZLJUWEHK-MXWKQRLJSA-N -1 1 312.391 1.354 20 0 DDADMM Cn1cccc(NS(=O)(=O)c2ccc3nc([O-])oc3c2)c1=O ZINC000357549931 306893496 /nfs/dbraw/zinc/89/34/96/306893496.db2.gz MNCFAXYAPZYTKW-UHFFFAOYSA-N -1 1 321.314 1.033 20 0 DDADMM O=C([O-])C[C@H]1CCCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000532876068 303300218 /nfs/dbraw/zinc/30/02/18/303300218.db2.gz OSIAIUQQINMXJQ-SNVBAGLBSA-N -1 1 303.318 1.997 20 0 DDADMM Cc1cc(NCCNC(=O)c2csc(=NC3CC3)[n-]2)n(C)n1 ZINC000537722347 303378784 /nfs/dbraw/zinc/37/87/84/303378784.db2.gz SKDUGXOBJTUREF-UHFFFAOYSA-N -1 1 320.422 1.023 20 0 DDADMM NC(=O)c1ccc(-c2noc(-c3ccc([O-])cc3F)n2)cn1 ZINC000547941988 303562164 /nfs/dbraw/zinc/56/21/64/303562164.db2.gz RMHJMXSLMDCIJY-UHFFFAOYSA-N -1 1 300.249 1.742 20 0 DDADMM CN(C(=O)CNC(=O)c1ncccc1[O-])[C@@H]1CCC(C)(C)C1 ZINC000358105714 306929471 /nfs/dbraw/zinc/92/94/71/306929471.db2.gz YEMOUVJSYOLEGZ-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1CCC(F)(F)C1 ZINC000361842261 307008580 /nfs/dbraw/zinc/00/85/80/307008580.db2.gz KDCIYPKVNUPQCK-QMMMGPOBSA-N -1 1 301.293 1.393 20 0 DDADMM O=c1nc(NCc2c(F)cc(F)c(F)c2F)nc2[nH][n-]cc1-2 ZINC000362366288 307018936 /nfs/dbraw/zinc/01/89/36/307018936.db2.gz VCAOWNOBESTNIW-UHFFFAOYSA-N -1 1 313.214 1.601 20 0 DDADMM CO[C@@]1(C)CCCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000366397043 307072187 /nfs/dbraw/zinc/07/21/87/307072187.db2.gz DEUCLXIRAIHMPY-KRWDZBQOSA-N -1 1 315.373 1.954 20 0 DDADMM C[C@H](Oc1ccc(F)cc1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000367182561 307088113 /nfs/dbraw/zinc/08/81/13/307088113.db2.gz BPZNTINSOTUURP-WDEREUQCSA-N -1 1 319.340 1.512 20 0 DDADMM O=C(c1csc2ccccc12)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370862398 307138594 /nfs/dbraw/zinc/13/85/94/307138594.db2.gz PYMMZJMMZAVMEH-LLVKDONJSA-N -1 1 315.358 1.628 20 0 DDADMM CSc1ccc(C)c(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000370863488 307138768 /nfs/dbraw/zinc/13/87/68/307138768.db2.gz WFIPLMPBPISCOT-GFCCVEGCSA-N -1 1 319.390 1.444 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(C)c1 ZINC000372349573 307168396 /nfs/dbraw/zinc/16/83/96/307168396.db2.gz JWLFWCOEQWVVEQ-LBPRGKRZSA-N -1 1 301.350 1.339 20 0 DDADMM Cc1ccc2c(c1)[C@@H](NC(=O)/N=c1/ccnc3n(C)[n-]cc1-3)CO2 ZINC000376598725 307248944 /nfs/dbraw/zinc/24/89/44/307248944.db2.gz UOWRBVQSOLKLRL-DABDWFRDSA-N -1 1 323.356 1.905 20 0 DDADMM C[C@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C[C@@H](C2CC2)O1 ZINC000376596169 307248982 /nfs/dbraw/zinc/24/89/82/307248982.db2.gz BQPILNQOISRHMB-CJJLZIKDSA-N -1 1 315.377 1.373 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@]3(C)CCO[C@@H]3C3CC3)ccnc1-2 ZINC000376647407 307251410 /nfs/dbraw/zinc/25/14/10/307251410.db2.gz XAENGCLGTWMMAC-HMERBLNSSA-N -1 1 315.377 1.421 20 0 DDADMM O=C([O-])CCCNC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000520806259 307462728 /nfs/dbraw/zinc/46/27/28/307462728.db2.gz LKVYXGYSIAPSPJ-ZDUSSCGKSA-N -1 1 323.393 1.971 20 0 DDADMM CC1(N2CCOCC2)CCN(c2cccc(C(=O)[O-])n2)CC1 ZINC000530251126 307590403 /nfs/dbraw/zinc/59/04/03/307590403.db2.gz DZZYLKFCKDLXOD-UHFFFAOYSA-N -1 1 305.378 1.471 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3ccc([O-])cc3F)[C@@H]2C1 ZINC000562223166 307931904 /nfs/dbraw/zinc/93/19/04/307931904.db2.gz HTVYDWMTWFTODO-PEYYIBSZSA-N -1 1 320.364 1.908 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1nc2ccccc2s1)C[C@H](C)O ZINC000564724958 308003913 /nfs/dbraw/zinc/00/39/13/308003913.db2.gz JTBKFWCTIZVIEM-ZJUUUORDSA-N -1 1 314.432 1.982 20 0 DDADMM CCN(CCCN1CCO[C@@H](CC(=O)[O-])C1)CC(F)(F)F ZINC000565100736 308020468 /nfs/dbraw/zinc/02/04/68/308020468.db2.gz CXCWLOJUDHIQQC-NSHDSACASA-N -1 1 312.332 1.436 20 0 DDADMM C[C@@H](C(=O)[O-])N(CCS(=O)(=O)c1ccccc1)C1CCC1 ZINC000568290624 308115299 /nfs/dbraw/zinc/11/52/99/308115299.db2.gz NIUABQCJDXACIL-LBPRGKRZSA-N -1 1 311.403 1.788 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccn(-c2ccncc2)n1)C1CC1 ZINC000570044784 308157480 /nfs/dbraw/zinc/15/74/80/308157480.db2.gz ZXJOLMOHLZQEPY-ZDUSSCGKSA-N -1 1 322.390 1.434 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)CNC[C@H]3CCCO3)[nH][n-]2)s1 ZINC000570923937 308185592 /nfs/dbraw/zinc/18/55/92/308185592.db2.gz ZRKZFJUSGQZTTE-LLVKDONJSA-N -1 1 320.418 1.576 20 0 DDADMM COc1ccccc1[C@@H](CN=c1[nH]c(C(=O)[O-])co1)N(C)C ZINC000571093894 308190557 /nfs/dbraw/zinc/19/05/57/308190557.db2.gz VGGWUERRUILQIQ-GFCCVEGCSA-N -1 1 305.334 1.518 20 0 DDADMM COc1ccccc1[C@@H](CNc1nc(C(=O)[O-])co1)N(C)C ZINC000571093894 308190558 /nfs/dbraw/zinc/19/05/58/308190558.db2.gz VGGWUERRUILQIQ-GFCCVEGCSA-N -1 1 305.334 1.518 20 0 DDADMM CC(C)(C)c1cc(N2CC[C@H](c3nc[nH]n3)C2)nc(C(=O)[O-])n1 ZINC000572246497 308215559 /nfs/dbraw/zinc/21/55/59/308215559.db2.gz DFTSRBYYMBIXGP-VIFPVBQESA-N -1 1 316.365 1.584 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)NCCCC(=O)[O-])N2CCCC2)o1 ZINC000574320204 308268033 /nfs/dbraw/zinc/26/80/33/308268033.db2.gz ZOKBCFGGGVIUCC-CYBMUJFWSA-N -1 1 323.393 1.889 20 0 DDADMM CC[C@H]1CN(C)CCN1C(=O)N=c1nc2cc(Cl)ccn2[n-]1 ZINC000576678710 308331352 /nfs/dbraw/zinc/33/13/52/308331352.db2.gz KJOWWLRZTTVSCQ-NSHDSACASA-N -1 1 322.800 1.363 20 0 DDADMM O=C(N[C@H]1CCN(CC(F)F)C1)c1csc(=NC2CC2)[n-]1 ZINC000576806453 308340584 /nfs/dbraw/zinc/34/05/84/308340584.db2.gz HLSSDTMDKDRRLW-VIFPVBQESA-N -1 1 316.377 1.209 20 0 DDADMM Cc1nc(C)c(CN2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)s1 ZINC000582366000 326020961 /nfs/dbraw/zinc/02/09/61/326020961.db2.gz VHTCOEKXDRIJDD-LLVKDONJSA-N -1 1 321.406 1.887 20 0 DDADMM C[C@H](O)CCC[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000584170029 331851683 /nfs/dbraw/zinc/85/16/83/331851683.db2.gz VVKQWXDJHBKIAN-VIFPVBQESA-N -1 1 300.405 1.736 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc2c1O[C@H](C)C2)c1nn[n-]n1 ZINC000583422159 332399180 /nfs/dbraw/zinc/39/91/80/332399180.db2.gz GKXQNNBQNAZXTP-NXEZZACHSA-N -1 1 301.350 1.399 20 0 DDADMM CC(C)n1cc([N-]S(=O)(=O)CCOCC(F)(F)F)cn1 ZINC000583295123 337308871 /nfs/dbraw/zinc/30/88/71/337308871.db2.gz PPEFARBOIZOENV-UHFFFAOYSA-N -1 1 315.317 1.785 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@@H](C)OC2(CCC2)C1 ZINC000584532011 337357076 /nfs/dbraw/zinc/35/70/76/337357076.db2.gz WHTRZMGVGYFNHO-SECBINFHSA-N -1 1 323.418 1.996 20 0 DDADMM Cc1cccc2c(CC(=O)N3CCC[C@@H](c4nn[n-]n4)C3)c[nH]c21 ZINC000372369827 483932186 /nfs/dbraw/zinc/93/21/86/483932186.db2.gz ZSHKLBULHQYPDY-GFCCVEGCSA-N -1 1 324.388 1.938 20 0 DDADMM Cc1c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cnn1C(C)C ZINC000425303429 484115867 /nfs/dbraw/zinc/11/58/67/484115867.db2.gz OMQRYINIKIAMGO-LLVKDONJSA-N -1 1 319.365 1.881 20 0 DDADMM O=C(COCc1cccnc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425306865 484117624 /nfs/dbraw/zinc/11/76/24/484117624.db2.gz SLQWFOAYVOUJND-GFCCVEGCSA-N -1 1 318.333 1.093 20 0 DDADMM CNC(=O)Oc1cccc(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000495641860 484244610 /nfs/dbraw/zinc/24/46/10/484244610.db2.gz WDQDZPMJHBXXPG-UHFFFAOYSA-N -1 1 314.297 1.932 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc2c(c1)CCN(C)C2=O ZINC000656635297 484253927 /nfs/dbraw/zinc/25/39/27/484253927.db2.gz SSASVJWGNNKZMM-JTQLQIEISA-N -1 1 312.391 1.091 20 0 DDADMM CC[C@H]1CCN([C@@H]2CC(=O)N(c3cccc(C(=O)[O-])c3)C2=O)C1 ZINC000531264901 484298507 /nfs/dbraw/zinc/29/85/07/484298507.db2.gz VUTCXKFSZOGRTE-SMDDNHRTSA-N -1 1 316.357 1.749 20 0 DDADMM O=C([O-])C12CC(C1)CN2Cc1nc(-c2ccc(F)cc2)no1 ZINC000656760027 484316918 /nfs/dbraw/zinc/31/69/18/484316918.db2.gz NSTOCNPEJIPWAR-UHFFFAOYSA-N -1 1 303.293 1.925 20 0 DDADMM CCc1nc(C2CCN(C(=O)[C@@]3(C(=O)[O-])CC3(C)C)CC2)n[nH]1 ZINC000663050914 484629644 /nfs/dbraw/zinc/62/96/44/484629644.db2.gz WNNWXFJXFOJYDR-MRXNPFEDSA-N -1 1 320.393 1.574 20 0 DDADMM O=C([O-])[C@@H](C(=O)N1CCCN(Cc2ccccc2)CC1)C1CC1 ZINC000663063327 484638294 /nfs/dbraw/zinc/63/82/94/484638294.db2.gz XCPFSMRNXXHPCQ-MRXNPFEDSA-N -1 1 316.401 1.832 20 0 DDADMM C[C@H](CNC(=O)[C@@H](C(=O)[O-])C1CC1)N1CCc2ccccc2C1 ZINC000663094680 484660869 /nfs/dbraw/zinc/66/08/69/484660869.db2.gz DQIROQTUWJPFHY-WBMJQRKESA-N -1 1 316.401 1.660 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)c2ccsc2)co1 ZINC000669900815 484674677 /nfs/dbraw/zinc/67/46/77/484674677.db2.gz GBQWCWADOAHPQR-QMMMGPOBSA-N -1 1 314.388 1.740 20 0 DDADMM O=C([O-])[C@]1(C(=O)N[C@H]2CCC[N@H+]3CCCC[C@H]23)CC=CCC1 ZINC000663120233 484684167 /nfs/dbraw/zinc/68/41/67/484684167.db2.gz UKRNOEOWSHQEJW-JJRVBVJISA-N -1 1 306.406 1.931 20 0 DDADMM CC[C@@H](NC(=O)NC[C@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663271821 484778496 /nfs/dbraw/zinc/77/84/96/484778496.db2.gz QNQFYEMKSJWGAR-ZIAGYGMSSA-N -1 1 305.378 1.423 20 0 DDADMM O=C(c1n[nH]c2c1CCC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405595 484809068 /nfs/dbraw/zinc/80/90/68/484809068.db2.gz CLDLPJWPLATMDH-UHFFFAOYSA-N -1 1 303.322 1.007 20 0 DDADMM Cc1cnc(C(=O)N[C@H](CO)[C@@H](C)c2ccccc2)c([O-])c1 ZINC000667977057 484887350 /nfs/dbraw/zinc/88/73/50/484887350.db2.gz KUVWMDHHCSAQEG-GXTWGEPZSA-N -1 1 300.358 1.990 20 0 DDADMM CSc1ccncc1[N-]S(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000671151681 484961016 /nfs/dbraw/zinc/96/10/16/484961016.db2.gz MMDCRKRXOPFYQL-MRVPVSSYSA-N -1 1 303.409 1.022 20 0 DDADMM CCn1ccnc1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000665670797 484998906 /nfs/dbraw/zinc/99/89/06/484998906.db2.gz PCXRLONEHVIYEK-UHFFFAOYSA-N -1 1 314.349 1.449 20 0 DDADMM CN(C)c1n[nH]c(NC(=O)c2ccc(C(F)(F)F)c([O-])c2)n1 ZINC000672117398 485228753 /nfs/dbraw/zinc/22/87/53/485228753.db2.gz XSVVABVWGMGYFW-UHFFFAOYSA-N -1 1 315.255 1.847 20 0 DDADMM O=C(C(=O)N1CCO[C@@H](C(F)(F)F)C1)c1ccc([O-])cc1 ZINC000672917177 485368348 /nfs/dbraw/zinc/36/83/48/485368348.db2.gz HQJLYYSFKBFANW-SNVBAGLBSA-N -1 1 303.236 1.365 20 0 DDADMM CCc1csc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000673445332 485413058 /nfs/dbraw/zinc/41/30/58/485413058.db2.gz YQISKDMXYIIOAZ-UHFFFAOYSA-N -1 1 322.390 1.743 20 0 DDADMM COc1cc(C(=O)NCc2nnnn2C2CC2)cc(Cl)c1[O-] ZINC000682360804 485565675 /nfs/dbraw/zinc/56/56/75/485565675.db2.gz UAXHQBNRJNJOHE-UHFFFAOYSA-N -1 1 323.740 1.306 20 0 DDADMM COc1nc(C)ccc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000679122914 485783605 /nfs/dbraw/zinc/78/36/05/485783605.db2.gz CQBHJVHXJXUAOB-CYBMUJFWSA-N -1 1 324.344 1.431 20 0 DDADMM Cn1cc(CNC(=O)c2ccc(C(F)(F)F)cc2[O-])nn1 ZINC000680003482 486071113 /nfs/dbraw/zinc/07/11/13/486071113.db2.gz IWPQBOLVTNDZDZ-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM CC(C)n1nccc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000676038201 486093980 /nfs/dbraw/zinc/09/39/80/486093980.db2.gz PMDBNJIOINCSPD-CYBMUJFWSA-N -1 1 311.349 1.497 20 0 DDADMM O=C1NC(=O)[C@]2(CCC[N@@H+](Cc3nc4c(s3)CCCC4)C2)N1 ZINC000684027506 486219980 /nfs/dbraw/zinc/21/99/80/486219980.db2.gz GYWDCNHVGFPFNM-OAHLLOKOSA-N -1 1 320.418 1.196 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)Cc2csc(C)n2)n1 ZINC000684099109 486246391 /nfs/dbraw/zinc/24/63/91/486246391.db2.gz PZHVRNVBJDXAKZ-ZCFIWIBFSA-N -1 1 302.381 1.324 20 0 DDADMM CC(=O)N1CCc2cc(NC(=O)C3=C([O-])C(C)N=N3)ccc21 ZINC000676629953 486271800 /nfs/dbraw/zinc/27/18/00/486271800.db2.gz KIDYBZDDNHSGNT-UHFFFAOYSA-N -1 1 300.318 1.585 20 0 DDADMM C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1ccc([O-])c(F)c1 ZINC000681047788 486331283 /nfs/dbraw/zinc/33/12/83/486331283.db2.gz HTDBGNICILVFLE-IUCAKERBSA-N -1 1 301.339 1.179 20 0 DDADMM O=C(NCCNC(=O)c1ccc([O-])c(F)c1)NC1CCCCC1 ZINC000681057521 486334892 /nfs/dbraw/zinc/33/48/92/486334892.db2.gz YAGWPEZEGJCXAO-UHFFFAOYSA-N -1 1 323.368 1.893 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)c2ccc([O-])c(F)c2)n[nH]1 ZINC000681089439 486346691 /nfs/dbraw/zinc/34/66/91/486346691.db2.gz ILBDXFFWXYULAM-UHFFFAOYSA-N -1 1 301.281 1.907 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@](C)(O)C2CC2)c(F)c1 ZINC000676856941 486347753 /nfs/dbraw/zinc/34/77/53/486347753.db2.gz HLUPLHLVTRFABB-ZDUSSCGKSA-N -1 1 321.345 1.413 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](Cc2nc[nH]n2)c2ccccc2)c1[O-] ZINC000684482637 486365054 /nfs/dbraw/zinc/36/50/54/486365054.db2.gz RHROZOCICXXNBY-NSHDSACASA-N -1 1 312.333 1.256 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@@]2(CCOC2)O1 ZINC000684523759 486376191 /nfs/dbraw/zinc/37/61/91/486376191.db2.gz GGBNWIHMCFOGFM-BLLLJJGKSA-N -1 1 309.337 1.941 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2c(F)cccc2Cl)n[nH]1 ZINC000681996855 486617402 /nfs/dbraw/zinc/61/74/02/486617402.db2.gz BBTYWQSERMYDKB-UHFFFAOYSA-N -1 1 318.717 1.102 20 0 DDADMM COc1ccc(C)cc1N1C[C@@H](C(=O)[N-]OCC2CC2)CC1=O ZINC000293721808 500846570 /nfs/dbraw/zinc/84/65/70/500846570.db2.gz GPVLHEJXISNHBO-ZDUSSCGKSA-N -1 1 318.373 1.814 20 0 DDADMM C[C@H](CC(N)=O)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451242738 533760194 /nfs/dbraw/zinc/76/01/94/533760194.db2.gz OFGRCTBMQBOUSP-SSDOTTSWSA-N -1 1 300.311 1.114 20 0 DDADMM COc1ccc(Cl)cc1C=CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000491930926 533835630 /nfs/dbraw/zinc/83/56/30/533835630.db2.gz NQOOXXNGJVCTPE-DAXSKMNVSA-N -1 1 321.768 1.926 20 0 DDADMM COc1cc(CS(=O)(=O)c2ncn[n-]2)ccc1OC(F)F ZINC000444403335 533917473 /nfs/dbraw/zinc/91/74/73/533917473.db2.gz HGJCSBYOUFHYGM-UHFFFAOYSA-N -1 1 319.289 1.389 20 0 DDADMM COc1cc(CS(=O)(=O)c2nc[n-]n2)ccc1OC(F)F ZINC000444403335 533917479 /nfs/dbraw/zinc/91/74/79/533917479.db2.gz HGJCSBYOUFHYGM-UHFFFAOYSA-N -1 1 319.289 1.389 20 0 DDADMM Cc1ccc(C[C@@H](CO)N=c2[n-]c(C(N)=O)cs2)cc1C ZINC000413364512 534124714 /nfs/dbraw/zinc/12/47/14/534124714.db2.gz BWLBRVRMKQXFBA-LBPRGKRZSA-N -1 1 305.403 1.296 20 0 DDADMM COC(=O)c1cncc([N-]S(=O)(=O)CCOCC2CC2)c1 ZINC000424206153 534311942 /nfs/dbraw/zinc/31/19/42/534311942.db2.gz GSXPNBDGPRLKGW-UHFFFAOYSA-N -1 1 314.363 1.037 20 0 DDADMM NC(=O)c1csc(=N[C@H]2CC[C@@H](C(=O)N3CCCCC3)C2)[n-]1 ZINC000432150253 534522698 /nfs/dbraw/zinc/52/26/98/534522698.db2.gz BIIOZRZTGNVRDU-MNOVXSKESA-N -1 1 322.434 1.257 20 0 DDADMM O=C([O-])[C@]1(NCc2nccn2CC(F)(F)F)CCSC1 ZINC000417578927 534540621 /nfs/dbraw/zinc/54/06/21/534540621.db2.gz OJJPEJXXYOQFCV-JTQLQIEISA-N -1 1 309.313 1.495 20 0 DDADMM Cc1nc([C@@H]2CCCN2C(=O)C(=O)c2ccc([O-])cc2)no1 ZINC000331919263 534565572 /nfs/dbraw/zinc/56/55/72/534565572.db2.gz BMBMBSKFIADSLV-LBPRGKRZSA-N -1 1 301.302 1.630 20 0 DDADMM CC(C)(C)n1cnc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000331905089 526930675 /nfs/dbraw/zinc/93/06/75/526930675.db2.gz XRFMRTWMUAZYTO-VIFPVBQESA-N -1 1 320.353 1.142 20 0 DDADMM CC(C)(NC(=O)C=Cc1cnn(-c2ccccc2)c1)c1nn[n-]n1 ZINC000491934523 527264277 /nfs/dbraw/zinc/26/42/77/527264277.db2.gz VXCHWRBJSYFAQB-CMDGGOBGSA-N -1 1 323.360 1.450 20 0 DDADMM C(CN1CCCOCC1)Sc1n[n-]c(=NC2CC2)s1 ZINC000412991373 527480806 /nfs/dbraw/zinc/48/08/06/527480806.db2.gz RLHVRSPRQHRSEO-UHFFFAOYSA-N -1 1 300.453 1.349 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)c2cccc(F)c2F)[C@H]1OC ZINC000332497803 527947126 /nfs/dbraw/zinc/94/71/26/527947126.db2.gz YPQTYADMZJFWBE-OPQQBVKSSA-N -1 1 321.345 1.436 20 0 DDADMM CCN1C[C@@H]([N-]S(=O)(=O)c2sccc2Cl)CC1=O ZINC000451864524 527952214 /nfs/dbraw/zinc/95/22/14/527952214.db2.gz HDLWSZVCOHVPOO-ZETCQYMHSA-N -1 1 308.812 1.301 20 0 DDADMM CCC[C@H](NC(=O)Cc1cccc2cccnc21)c1nn[n-]n1 ZINC000434782375 528180074 /nfs/dbraw/zinc/18/00/74/528180074.db2.gz FVVSTRFLBFCGIS-ZDUSSCGKSA-N -1 1 310.361 1.948 20 0 DDADMM CC1(C)CCC(C)(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000412617748 528898389 /nfs/dbraw/zinc/89/83/89/528898389.db2.gz VXWQJJBJISLWHW-UHFFFAOYSA-N -1 1 317.393 1.754 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@H](O)C(C)C ZINC000416626666 529198838 /nfs/dbraw/zinc/19/88/38/529198838.db2.gz QFEIOHMVHXTWMA-GHMZBOCLSA-N -1 1 306.453 1.834 20 0 DDADMM CC[C@H]1CCCC[C@@H]1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416242332 529204658 /nfs/dbraw/zinc/20/46/58/529204658.db2.gz RPZNMJFLWHEGRG-VHSXEESVSA-N -1 1 309.366 1.843 20 0 DDADMM CC[C@](C)(C[N-]S(=O)(=O)c1cc(C(=O)OC)co1)OC ZINC000451798317 529363400 /nfs/dbraw/zinc/36/34/00/529363400.db2.gz TUGKQQZUAPESGR-GFCCVEGCSA-N -1 1 305.352 1.160 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000330951095 529371633 /nfs/dbraw/zinc/37/16/33/529371633.db2.gz KIYSIIWFEODGFI-BXKDBHETSA-N -1 1 309.391 1.217 20 0 DDADMM CCS(=O)(=O)c1cccc(CNC(=O)c2ncccc2[O-])c1 ZINC000337121737 535682053 /nfs/dbraw/zinc/68/20/53/535682053.db2.gz HEOCRUPGHPJGNE-UHFFFAOYSA-N -1 1 320.370 1.511 20 0 DDADMM CCCN(C)S(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000736472794 598874099 /nfs/dbraw/zinc/87/40/99/598874099.db2.gz SECDCNNJBFZFCD-UHFFFAOYSA-N -1 1 315.786 1.551 20 0 DDADMM CCCN(C)S(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000736472794 598874100 /nfs/dbraw/zinc/87/41/00/598874100.db2.gz SECDCNNJBFZFCD-UHFFFAOYSA-N -1 1 315.786 1.551 20 0 DDADMM CC[C@@H]1CCC[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736399863 598942582 /nfs/dbraw/zinc/94/25/82/598942582.db2.gz LDBJZNOFYUWHCM-PWSUYJOCSA-N -1 1 300.366 1.960 20 0 DDADMM CC[C@@H]1CCC[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736399863 598942584 /nfs/dbraw/zinc/94/25/84/598942584.db2.gz LDBJZNOFYUWHCM-PWSUYJOCSA-N -1 1 300.366 1.960 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NC[C@H]1CCCCO1 ZINC000738437275 598963171 /nfs/dbraw/zinc/96/31/71/598963171.db2.gz NLIAYKCFQZREJU-SNVBAGLBSA-N -1 1 311.349 1.791 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NC[C@H]1CCCCO1 ZINC000738437275 598963172 /nfs/dbraw/zinc/96/31/72/598963172.db2.gz NLIAYKCFQZREJU-SNVBAGLBSA-N -1 1 311.349 1.791 20 0 DDADMM Cc1nc(C)c(COC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000737474606 599002327 /nfs/dbraw/zinc/00/23/27/599002327.db2.gz UGGVAGWIUZEFJQ-UHFFFAOYSA-N -1 1 316.346 1.692 20 0 DDADMM Cc1nc(C)c(COC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000737474606 599002328 /nfs/dbraw/zinc/00/23/28/599002328.db2.gz UGGVAGWIUZEFJQ-UHFFFAOYSA-N -1 1 316.346 1.692 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NCCOC1CCC1 ZINC000823796458 599084500 /nfs/dbraw/zinc/08/45/00/599084500.db2.gz BMJFYIUWGPDSGG-UHFFFAOYSA-N -1 1 311.349 1.791 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NCCOC1CCC1 ZINC000823796458 599084502 /nfs/dbraw/zinc/08/45/02/599084502.db2.gz BMJFYIUWGPDSGG-UHFFFAOYSA-N -1 1 311.349 1.791 20 0 DDADMM C[C@@]1(c2ccccc2)C[C@@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736224257 599093538 /nfs/dbraw/zinc/09/35/38/599093538.db2.gz RFBAIAFWXOVWKS-YOEHRIQHSA-N -1 1 320.356 1.722 20 0 DDADMM C[C@@]1(c2ccccc2)C[C@@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736224257 599093541 /nfs/dbraw/zinc/09/35/41/599093541.db2.gz RFBAIAFWXOVWKS-YOEHRIQHSA-N -1 1 320.356 1.722 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CCS1 ZINC000820988610 599213137 /nfs/dbraw/zinc/21/31/37/599213137.db2.gz GUSSCKKFIWAOET-JTQLQIEISA-N -1 1 304.379 1.229 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CCS1 ZINC000820988610 599213139 /nfs/dbraw/zinc/21/31/39/599213139.db2.gz GUSSCKKFIWAOET-JTQLQIEISA-N -1 1 304.379 1.229 20 0 DDADMM O=C(N[C@@H]1CC[C@@H]2CCC[C@@H]2C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738025322 599227292 /nfs/dbraw/zinc/22/72/92/599227292.db2.gz MNIDNIIDQXXSCG-DMDPSCGWSA-N -1 1 312.377 1.960 20 0 DDADMM O=C(N[C@@H]1CC[C@@H]2CCC[C@@H]2C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738025322 599227294 /nfs/dbraw/zinc/22/72/94/599227294.db2.gz MNIDNIIDQXXSCG-DMDPSCGWSA-N -1 1 312.377 1.960 20 0 DDADMM CN(CCC(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000818428998 596981531 /nfs/dbraw/zinc/98/15/31/596981531.db2.gz DYNSKSFLWHAXDM-GFCCVEGCSA-N -1 1 309.366 1.533 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)[C@H](C)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000819023571 597080037 /nfs/dbraw/zinc/08/00/37/597080037.db2.gz RDXYDMJZXQJTNP-STQMWFEESA-N -1 1 322.409 1.551 20 0 DDADMM Cc1ccc(-c2n[nH]c(SCC(=O)NCC(=O)[O-])n2)cc1 ZINC000818864382 597231503 /nfs/dbraw/zinc/23/15/03/597231503.db2.gz WHWUGIMBPDOWOG-UHFFFAOYSA-N -1 1 306.347 1.073 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CC[C@@H](C(=O)[O-])C1)c1cccc(F)c1 ZINC000818375173 597505841 /nfs/dbraw/zinc/50/58/41/597505841.db2.gz WNDASJIZZSHIRT-TZMCWYRMSA-N -1 1 323.368 1.545 20 0 DDADMM CC(=O)NCCN(Cc1cnc2cccc(C)n12)[C@@H](C)C(=O)[O-] ZINC000819781332 597705411 /nfs/dbraw/zinc/70/54/11/597705411.db2.gz URHZROKVKQZONH-LBPRGKRZSA-N -1 1 318.377 1.054 20 0 DDADMM CC(C)(C)c1n[nH]c([C@@H]2CN(CCCCC(=O)[O-])CCO2)n1 ZINC000737587417 597782615 /nfs/dbraw/zinc/78/26/15/597782615.db2.gz NWYDTZLSUQTAGB-NSHDSACASA-N -1 1 310.398 1.730 20 0 DDADMM CC(C)(C)c1nnc([C@@H]2CN(CCCCC(=O)[O-])CCO2)[nH]1 ZINC000737587417 597782616 /nfs/dbraw/zinc/78/26/16/597782616.db2.gz NWYDTZLSUQTAGB-NSHDSACASA-N -1 1 310.398 1.730 20 0 DDADMM C[C@@H](CC(=O)[O-])NCc1nnc2n1CCC[C@H]2C(F)(F)F ZINC000820089026 598216396 /nfs/dbraw/zinc/21/63/96/598216396.db2.gz JTRDPWOWJPCDSQ-JGVFFNPUSA-N -1 1 306.288 1.671 20 0 DDADMM CSc1[nH]c(=O)c(C(=O)N2CC[C@H](C)C2)cc1-c1nn[n-]n1 ZINC000737186971 598288580 /nfs/dbraw/zinc/28/85/80/598288580.db2.gz MQYKROBSKBNCOF-ZETCQYMHSA-N -1 1 320.378 1.171 20 0 DDADMM c1cnc2c(c1)cccc2CNc1nccnc1-c1nnn[n-]1 ZINC000738445046 598351164 /nfs/dbraw/zinc/35/11/64/598351164.db2.gz CMIBLYQCNMHTAS-UHFFFAOYSA-N -1 1 304.317 1.817 20 0 DDADMM c1cnc2c(c1)cccc2CNc1nccnc1-c1nn[n-]n1 ZINC000738445046 598351166 /nfs/dbraw/zinc/35/11/66/598351166.db2.gz CMIBLYQCNMHTAS-UHFFFAOYSA-N -1 1 304.317 1.817 20 0 DDADMM CCc1noc(Cn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)n1 ZINC000736713785 598503677 /nfs/dbraw/zinc/50/36/77/598503677.db2.gz RXZRFCKWZAFSGB-UHFFFAOYSA-N -1 1 323.316 1.175 20 0 DDADMM O=C(NCCCc1ccc(O)cc1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738075986 599335890 /nfs/dbraw/zinc/33/58/90/599335890.db2.gz OEZJHODRMNJJDC-UHFFFAOYSA-N -1 1 324.344 1.330 20 0 DDADMM O=C(NCCCc1ccc(O)cc1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738075986 599335892 /nfs/dbraw/zinc/33/58/92/599335892.db2.gz OEZJHODRMNJJDC-UHFFFAOYSA-N -1 1 324.344 1.330 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)CCc1ccc(F)cc1F ZINC000826395189 607781186 /nfs/dbraw/zinc/78/11/86/607781186.db2.gz QJNUVNIQZBWCEM-UHFFFAOYSA-N -1 1 319.275 1.197 20 0 DDADMM COC(=O)c1ccc(CCNc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000736949509 599401398 /nfs/dbraw/zinc/40/13/98/599401398.db2.gz CAPJHTHOSPGYQO-UHFFFAOYSA-N -1 1 324.344 1.703 20 0 DDADMM COC(=O)c1ccc(CCNc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000736949509 599401399 /nfs/dbraw/zinc/40/13/99/599401399.db2.gz CAPJHTHOSPGYQO-UHFFFAOYSA-N -1 1 324.344 1.703 20 0 DDADMM OC[C@H](CNc1cccc(-c2nnn[n-]2)n1)Cc1ccco1 ZINC000738390739 599402982 /nfs/dbraw/zinc/40/29/82/599402982.db2.gz SEQGLSLUJRXYMK-JTQLQIEISA-N -1 1 300.322 1.118 20 0 DDADMM OC[C@H](CNc1cccc(-c2nn[n-]n2)n1)Cc1ccco1 ZINC000738390739 599402984 /nfs/dbraw/zinc/40/29/84/599402984.db2.gz SEQGLSLUJRXYMK-JTQLQIEISA-N -1 1 300.322 1.118 20 0 DDADMM c1cnn(-c2ccc(Oc3nccnc3-c3nn[n-]n3)cc2)c1 ZINC000738447462 599529332 /nfs/dbraw/zinc/52/93/32/599529332.db2.gz FTIIGHPWWYPCPJ-UHFFFAOYSA-N -1 1 306.289 1.635 20 0 DDADMM C[C@H](Nc1ccc(Cl)c(-c2nnn[n-]2)n1)c1cnn(C)c1 ZINC000820456638 599573817 /nfs/dbraw/zinc/57/38/17/599573817.db2.gz VVVWBTZKEXKJOT-ZETCQYMHSA-N -1 1 304.745 1.822 20 0 DDADMM C[C@H](Nc1ccc(Cl)c(-c2nn[n-]n2)n1)c1cnn(C)c1 ZINC000820456638 599573820 /nfs/dbraw/zinc/57/38/20/599573820.db2.gz VVVWBTZKEXKJOT-ZETCQYMHSA-N -1 1 304.745 1.822 20 0 DDADMM CN1CCN(Cc2ccc(NC(=O)CCNC(=O)[O-])cc2)CC1 ZINC000737528306 599720563 /nfs/dbraw/zinc/72/05/63/599720563.db2.gz CVETWUCGYWIXNK-UHFFFAOYSA-N -1 1 320.393 1.030 20 0 DDADMM CCOCCN(CC)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000737169805 599763578 /nfs/dbraw/zinc/76/35/78/599763578.db2.gz GRWGEIBACIJVQZ-UHFFFAOYSA-N -1 1 300.399 1.249 20 0 DDADMM CCc1sc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1C ZINC000036972357 599776883 /nfs/dbraw/zinc/77/68/83/599776883.db2.gz YXIMOWUYEXCWGV-JTQLQIEISA-N -1 1 307.375 1.768 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)C=Cc1ccccc1F ZINC000314132588 599777371 /nfs/dbraw/zinc/77/73/71/599777371.db2.gz NWULDUNHALDQKK-SZZPACECSA-N -1 1 303.293 1.374 20 0 DDADMM Cc1ccc(CC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1C ZINC000315591750 599777583 /nfs/dbraw/zinc/77/75/83/599777583.db2.gz JWHIDLMTMQTKTI-AWEZNQCLSA-N -1 1 301.346 1.381 20 0 DDADMM CCN(CCNS(=O)(=O)c1cc(C(=O)[O-])cs1)C1CC1 ZINC000217936471 599877044 /nfs/dbraw/zinc/87/70/44/599877044.db2.gz KJESFDGQWHILRO-UHFFFAOYSA-N -1 1 318.420 1.209 20 0 DDADMM CC(C)(NCC(=O)NCCOc1cccc(Cl)c1)C(=O)[O-] ZINC000736339826 599923327 /nfs/dbraw/zinc/92/33/27/599923327.db2.gz UKFVERWAYUEAML-UHFFFAOYSA-N -1 1 314.769 1.288 20 0 DDADMM C[C@H](C[N@@H+](Cc1c([O-])nnn1-c1ccccc1)C1CC1)C(=O)[O-] ZINC000736585141 599931733 /nfs/dbraw/zinc/93/17/33/599931733.db2.gz VDNYMQVESFXPMQ-LLVKDONJSA-N -1 1 316.361 1.658 20 0 DDADMM CC[C@H]1CN(Cc2cc(C(=O)[O-])c(C)o2)CC[N@H+]1CCOC ZINC000736943150 599961007 /nfs/dbraw/zinc/96/10/07/599961007.db2.gz IOLDTPZHSNSKCS-ZDUSSCGKSA-N -1 1 310.394 1.829 20 0 DDADMM CC[C@H]1CN(Cc2cc(C(=O)[O-])c(C)o2)CCN1CCOC ZINC000736943150 599961008 /nfs/dbraw/zinc/96/10/08/599961008.db2.gz IOLDTPZHSNSKCS-ZDUSSCGKSA-N -1 1 310.394 1.829 20 0 DDADMM CCOCCN(Cc1ccc(C(=O)[O-])o1)C(=O)c1cnc[nH]1 ZINC000737176464 600161973 /nfs/dbraw/zinc/16/19/73/600161973.db2.gz MRXXRQLUKLGKRG-UHFFFAOYSA-N -1 1 307.306 1.380 20 0 DDADMM O=C([O-])c1cccc(NC(=O)c2nnc3ccccc3c2O)c1 ZINC000238229197 600174503 /nfs/dbraw/zinc/17/45/03/600174503.db2.gz KVYBXKDRWFOGIF-UHFFFAOYSA-N -1 1 309.281 1.874 20 0 DDADMM C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1Cn1cnc(C(=O)[O-])n1 ZINC000736764434 600259536 /nfs/dbraw/zinc/25/95/36/600259536.db2.gz WOPPVZPNZQKDHO-ZYHUDNBSSA-N -1 1 304.325 1.951 20 0 DDADMM O=C([O-])c1ccnc(NC(=O)c2cn[nH]c2-c2ccncc2)c1 ZINC000740244776 600453381 /nfs/dbraw/zinc/45/33/81/600453381.db2.gz JOXISDAKIFAXNK-UHFFFAOYSA-N -1 1 309.285 1.817 20 0 DDADMM COCCN1CCCN(C(=O)c2ccccc2NC(=O)[O-])CC1 ZINC000737813931 600574200 /nfs/dbraw/zinc/57/42/00/600574200.db2.gz SZMPGJLHZFNMQR-UHFFFAOYSA-N -1 1 321.377 1.571 20 0 DDADMM C[C@H](c1nc(-c2cccnc2)no1)N1CCC(C(=O)[O-])CC1 ZINC000736723567 600612424 /nfs/dbraw/zinc/61/24/24/600612424.db2.gz CUOZOXCMEJZOSQ-SNVBAGLBSA-N -1 1 302.334 1.989 20 0 DDADMM O=C([O-])CSCCNC(=O)c1c[nH]c(-c2ccccc2)n1 ZINC000739863206 600641319 /nfs/dbraw/zinc/64/13/19/600641319.db2.gz ZACSVDIBRHWERU-UHFFFAOYSA-N -1 1 305.359 1.624 20 0 DDADMM CCCC(O)(CCC)CC(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000825242485 607459776 /nfs/dbraw/zinc/45/97/76/607459776.db2.gz SEEBIWOBVLAIGT-UHFFFAOYSA-N -1 1 307.358 1.007 20 0 DDADMM Cc1nnc(SCC(=O)Nc2cc(C(=O)[O-])ccc2C)[nH]1 ZINC000832991427 600834679 /nfs/dbraw/zinc/83/46/79/600834679.db2.gz VPMTUBDPRFXKCY-UHFFFAOYSA-N -1 1 306.347 1.851 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2cc(C(=O)[O-])ccc2C)n1 ZINC000832991427 600834681 /nfs/dbraw/zinc/83/46/81/600834681.db2.gz VPMTUBDPRFXKCY-UHFFFAOYSA-N -1 1 306.347 1.851 20 0 DDADMM CCOC(=O)C1(O)CCN(Cc2cccc(C(=O)[O-])c2)CC1 ZINC000820364356 601011401 /nfs/dbraw/zinc/01/14/01/601011401.db2.gz DPDCIUQODXDMFR-UHFFFAOYSA-N -1 1 307.346 1.275 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1C[C@H](C2CCCCC2)C[C@H]1C(=O)[O-] ZINC000830935382 601082234 /nfs/dbraw/zinc/08/22/34/601082234.db2.gz XVRVBLNDZMXSNR-KFWWJZLASA-N -1 1 308.422 1.963 20 0 DDADMM CC(C)c1cc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)[nH]n1 ZINC000827102061 601094656 /nfs/dbraw/zinc/09/46/56/601094656.db2.gz NFKLIUPFEYCTFF-NSHDSACASA-N -1 1 308.382 1.154 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(Cl)nc2)CC1 ZINC000827374432 601102618 /nfs/dbraw/zinc/10/26/18/601102618.db2.gz IGYDHRQETVMUTB-JTQLQIEISA-N -1 1 311.769 1.356 20 0 DDADMM CCc1ccc(O)c(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000830254077 601122526 /nfs/dbraw/zinc/12/25/26/601122526.db2.gz TZBDZVIEUHSNKP-GFCCVEGCSA-N -1 1 320.389 1.576 20 0 DDADMM O=C([O-])Cc1csc(NC(=O)CN2C[C@@H]3CCCC[C@H]3C2)n1 ZINC000833270145 601425519 /nfs/dbraw/zinc/42/55/19/601425519.db2.gz YRIFVOPLEGIOJX-QWRGUYRKSA-N -1 1 323.418 1.831 20 0 DDADMM CC(=O)c1cccc(NC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)c1 ZINC000825960930 601602152 /nfs/dbraw/zinc/60/21/52/601602152.db2.gz TXERQBWOTMEDJH-AWEZNQCLSA-N -1 1 306.362 1.917 20 0 DDADMM CCN(C)[C@H](C(=O)N1CC[C@](F)(C(=O)[O-])C1)c1ccccc1 ZINC000829608238 601717623 /nfs/dbraw/zinc/71/76/23/601717623.db2.gz HEDPYUNLSAGHEA-XJKSGUPXSA-N -1 1 308.353 1.705 20 0 DDADMM O=C([O-])CN(C(=O)c1ccc(Nc2ccncc2)cc1)C1CC1 ZINC000833245712 601745210 /nfs/dbraw/zinc/74/52/10/601745210.db2.gz KTQNUDRICIHCFL-UHFFFAOYSA-N -1 1 311.341 1.936 20 0 DDADMM CC(C)C[C@]1(C)CC(=O)N(CN2C[C@@H](C)[C@H](C(=O)[O-])C2)C1=O ZINC000826827091 601815677 /nfs/dbraw/zinc/81/56/77/601815677.db2.gz YXXABKMCBXEBEW-XHBSWPGZSA-N -1 1 310.394 1.408 20 0 DDADMM CC(C)[C@H](NCC(=O)Nc1ccc(OC(F)F)cc1)C(=O)[O-] ZINC000817977566 601822329 /nfs/dbraw/zinc/82/23/29/601822329.db2.gz NVFOLSTVPHMEKJ-LBPRGKRZSA-N -1 1 316.304 1.925 20 0 DDADMM COc1ccc(NC(=O)NC(=O)CN[C@H](C(=O)[O-])C(C)C)cc1 ZINC000832398864 601825215 /nfs/dbraw/zinc/82/52/15/601825215.db2.gz TWGOVDUQDUJUAZ-ZDUSSCGKSA-N -1 1 323.349 1.042 20 0 DDADMM O=C([O-])NC1(CNC(=O)c2ccc3[nH]nnc3c2)CCCCC1 ZINC000740548810 601861634 /nfs/dbraw/zinc/86/16/34/601861634.db2.gz WHUYBXSTQQDILL-UHFFFAOYSA-N -1 1 317.349 1.658 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2nnn[n-]2)c1)c1ccccc1F ZINC000826487499 607531687 /nfs/dbraw/zinc/53/16/87/607531687.db2.gz QCCQDJZEINKVLH-UHFFFAOYSA-N -1 1 319.321 1.375 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2nn[n-]n2)c1)c1ccccc1F ZINC000826487499 607531689 /nfs/dbraw/zinc/53/16/89/607531689.db2.gz QCCQDJZEINKVLH-UHFFFAOYSA-N -1 1 319.321 1.375 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CC(=O)Nc2ccc3c(c2)OCO3)C1 ZINC000387753402 601912065 /nfs/dbraw/zinc/91/20/65/601912065.db2.gz BLOAHLPTHJZORJ-HNNXBMFYSA-N -1 1 306.318 1.150 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1F)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738629171 601943582 /nfs/dbraw/zinc/94/35/82/601943582.db2.gz NAGVYORRENGBRD-VXGBXAGGSA-N -1 1 323.368 1.420 20 0 DDADMM c1cc(CSCCOC[C@H]2CCCO2)cc(-c2nnn[n-]2)n1 ZINC000826516697 607537221 /nfs/dbraw/zinc/53/72/21/607537221.db2.gz WUUQOHGSUXYVDF-GFCCVEGCSA-N -1 1 321.406 1.691 20 0 DDADMM c1cc(CSCCOC[C@H]2CCCO2)cc(-c2nn[n-]n2)n1 ZINC000826516697 607537222 /nfs/dbraw/zinc/53/72/22/607537222.db2.gz WUUQOHGSUXYVDF-GFCCVEGCSA-N -1 1 321.406 1.691 20 0 DDADMM CC(C)[C@H](C(=O)Nc1ccc(C(=O)NCC(=O)[O-])cc1)N(C)C ZINC000736348538 602102556 /nfs/dbraw/zinc/10/25/56/602102556.db2.gz ZDHRWRHELOSEOJ-CQSZACIVSA-N -1 1 321.377 1.026 20 0 DDADMM O=C([O-])C[C@H]1C(=O)NCCN1CCC12CC3CC(CC(C3)C1)C2 ZINC000391291154 602185097 /nfs/dbraw/zinc/18/50/97/602185097.db2.gz AHPHSQQWLRINBS-URZJAHPPSA-N -1 1 320.433 1.868 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000739525321 602359030 /nfs/dbraw/zinc/35/90/30/602359030.db2.gz QTVUBLDPFDZBMO-HNNXBMFYSA-N -1 1 319.405 1.767 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000739311213 602362818 /nfs/dbraw/zinc/36/28/18/602362818.db2.gz DGSIUHLTYJAMBD-AVGNSLFASA-N -1 1 315.414 1.038 20 0 DDADMM CC1CCN(CC(=O)N(CCC(=O)[O-])C[C@H]2CCCO2)CC1 ZINC000828285146 602365450 /nfs/dbraw/zinc/36/54/50/602365450.db2.gz LCEPUNSNOMDFOU-CQSZACIVSA-N -1 1 312.410 1.201 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000739030316 602464494 /nfs/dbraw/zinc/46/44/94/602464494.db2.gz ZTYUQYMUUFXYRZ-STQMWFEESA-N -1 1 311.426 1.756 20 0 DDADMM CN(C[C@H]1CCN(C(=O)c2cccc3n[nH]cc32)C1)C(=O)[O-] ZINC000739657436 602484065 /nfs/dbraw/zinc/48/40/65/602484065.db2.gz OHRIVCZWRXOOTL-SNVBAGLBSA-N -1 1 302.334 1.635 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CC[C@H](CN(C)C(=O)[O-])C2)c1 ZINC000740054715 602491044 /nfs/dbraw/zinc/49/10/44/602491044.db2.gz VFBVYQMVURBHKH-LLVKDONJSA-N -1 1 316.361 1.943 20 0 DDADMM CCCCCNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825276425 607570752 /nfs/dbraw/zinc/57/07/52/607570752.db2.gz XLZIIUKZWPNBEZ-UHFFFAOYSA-N -1 1 313.358 1.474 20 0 DDADMM CCCCCNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825276425 607570754 /nfs/dbraw/zinc/57/07/54/607570754.db2.gz XLZIIUKZWPNBEZ-UHFFFAOYSA-N -1 1 313.358 1.474 20 0 DDADMM C[C@](CNC(=O)[O-])(NC(=O)c1ccc2[nH]nnc2c1)C1CC1 ZINC000738923856 602507536 /nfs/dbraw/zinc/50/75/36/602507536.db2.gz HNHONVHGLVFTBU-CQSZACIVSA-N -1 1 303.322 1.124 20 0 DDADMM CN(C[C@H]1CCN(CC[S@](=O)c2ccccc2)C1)C(=O)[O-] ZINC000739664656 602529006 /nfs/dbraw/zinc/52/90/06/602529006.db2.gz JUFBDNFAIXJSCH-ASSNKEHSSA-N -1 1 310.419 1.726 20 0 DDADMM CN(C[C@@H]1CCN(CC(=O)N2CCc3ccccc32)C1)C(=O)[O-] ZINC000739662317 602538748 /nfs/dbraw/zinc/53/87/48/602538748.db2.gz ZEGCMRQVYNPLBJ-ZDUSSCGKSA-N -1 1 317.389 1.507 20 0 DDADMM CC(C)[C@H](CNC(=O)c1cc(F)cc2nc[nH]c21)NC(=O)[O-] ZINC000738786103 602772533 /nfs/dbraw/zinc/77/25/33/602772533.db2.gz STQFHVCODPAYCI-NSHDSACASA-N -1 1 308.313 1.724 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)c2cc(F)c(F)cc2F)n1 ZINC000826357560 607588136 /nfs/dbraw/zinc/58/81/36/607588136.db2.gz JORDBAJLWJDXFM-UHFFFAOYSA-N -1 1 323.238 1.270 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)c2cc(F)c(F)cc2F)n1 ZINC000826357560 607588137 /nfs/dbraw/zinc/58/81/37/607588137.db2.gz JORDBAJLWJDXFM-UHFFFAOYSA-N -1 1 323.238 1.270 20 0 DDADMM CC(C)CN1CCN(C(=O)NC[C@@H](NC(=O)[O-])C(C)C)CC1 ZINC000738826003 602844910 /nfs/dbraw/zinc/84/49/10/602844910.db2.gz SYXGDTKBOVBADT-CYBMUJFWSA-N -1 1 314.430 1.262 20 0 DDADMM CC(C)[C@@H](CNC(=O)N1CCN(C)C[C@H]1C(C)C)NC(=O)[O-] ZINC000738785556 602916150 /nfs/dbraw/zinc/91/61/50/602916150.db2.gz NKMJHEYQBQOQKX-OLZOCXBDSA-N -1 1 314.430 1.260 20 0 DDADMM CN(C)c1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)cc1 ZINC000825875262 607595035 /nfs/dbraw/zinc/59/50/35/607595035.db2.gz HOLONGHAUFPMKX-UHFFFAOYSA-N -1 1 324.344 1.685 20 0 DDADMM CN(C)c1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)cc1 ZINC000825875262 607595036 /nfs/dbraw/zinc/59/50/36/607595036.db2.gz HOLONGHAUFPMKX-UHFFFAOYSA-N -1 1 324.344 1.685 20 0 DDADMM C[C@H]1CN(C[C@@H](O)COCc2ccccc2)C[C@H](C)N1C(=O)[O-] ZINC000739183073 603138332 /nfs/dbraw/zinc/13/83/32/603138332.db2.gz FUZCJTBQKYEESO-OFQRWUPVSA-N -1 1 322.405 1.637 20 0 DDADMM O=S(=O)(N[C@H]1CC=CCC1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826488784 607620839 /nfs/dbraw/zinc/62/08/39/607620839.db2.gz ZGQGTAWOBYVYKE-JTQLQIEISA-N -1 1 323.353 1.393 20 0 DDADMM O=C([O-])N1CC[C@H](C(=O)NCc2nc3ccc(Cl)cc3[nH]2)C1 ZINC000740452680 603310443 /nfs/dbraw/zinc/31/04/43/603310443.db2.gz GFTIFNSVJCLJML-QMMMGPOBSA-N -1 1 322.752 1.832 20 0 DDADMM CCN(CC(=O)NC[C@@H](c1cccc(OC)c1)N(C)C)C(=O)[O-] ZINC000739393897 603421946 /nfs/dbraw/zinc/42/19/46/603421946.db2.gz SQKUJWLYRXMZFJ-AWEZNQCLSA-N -1 1 323.393 1.414 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)NCC(C)(C)NC(=O)[O-] ZINC000826086992 603458324 /nfs/dbraw/zinc/45/83/24/603458324.db2.gz GDNHXFBPOVNLMS-RYUDHWBXSA-N -1 1 312.414 1.301 20 0 DDADMM C[C@@H](C(=O)Nc1ncccn1)N(C)CC(C)(C)CNC(=O)[O-] ZINC000823722571 603629780 /nfs/dbraw/zinc/62/97/80/603629780.db2.gz GODDDKHILPANHD-JTQLQIEISA-N -1 1 309.370 1.029 20 0 DDADMM C[C@@H](C(=O)N(C)Cc1nnc[nH]1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823655787 603644873 /nfs/dbraw/zinc/64/48/73/603644873.db2.gz VXDZQCPBKMVVOR-ZWNOBZJWSA-N -1 1 317.349 1.408 20 0 DDADMM O=C([O-])N1CCC[C@H]1[C@H]1CCCCN1C(=O)Cc1ccn[nH]1 ZINC000831998339 603712963 /nfs/dbraw/zinc/71/29/63/603712963.db2.gz UEFDSWSNZXCPDW-OLZOCXBDSA-N -1 1 306.366 1.476 20 0 DDADMM Cc1csc(=O)n1CCN1CCC[C@@H](C)[C@@H]1CNC(=O)[O-] ZINC000830655409 603716268 /nfs/dbraw/zinc/71/62/68/603716268.db2.gz PWBSAGFBHNVYMT-PWSUYJOCSA-N -1 1 313.423 1.586 20 0 DDADMM COc1c(Br)cccc1CNCCNC(=O)[O-] ZINC000829067628 603735755 /nfs/dbraw/zinc/73/57/55/603735755.db2.gz YBQGBDNQIYTHAX-UHFFFAOYSA-N -1 1 303.156 1.815 20 0 DDADMM C[C@@H]1CCC[C@H](C)N1C(=O)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000825889361 603980830 /nfs/dbraw/zinc/98/08/30/603980830.db2.gz XGHFAPJYNAOCEW-BARDWOONSA-N -1 1 323.437 1.993 20 0 DDADMM NC(=O)c1cccc(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])c1 ZINC000831234858 603981698 /nfs/dbraw/zinc/98/16/98/603981698.db2.gz XSQWZEWLPFOAJI-KGLIPLIRSA-N -1 1 303.362 1.502 20 0 DDADMM Cc1noc(C)c1CN1CCC(OCCCNC(=O)[O-])CC1 ZINC000830914369 604107782 /nfs/dbraw/zinc/10/77/82/604107782.db2.gz PRXBGGWMGAQMIQ-UHFFFAOYSA-N -1 1 311.382 1.930 20 0 DDADMM Cc1ccc2nc(CNC(=O)NCCCNC(=O)[O-])[nH]c2c1 ZINC000830432777 604109090 /nfs/dbraw/zinc/10/90/90/604109090.db2.gz LIRYVYSOFKAURX-UHFFFAOYSA-N -1 1 305.338 1.328 20 0 DDADMM COc1ccc(NC(=O)[O-])c(NC(=O)Cc2cc(C)[nH]n2)c1 ZINC000829469199 604202457 /nfs/dbraw/zinc/20/24/57/604202457.db2.gz HIBHAALJDATMGD-UHFFFAOYSA-N -1 1 304.306 1.998 20 0 DDADMM C[C@H](C(=O)NC1CC1)N1CCC(N(CC2CC2)C(=O)[O-])CC1 ZINC000823685089 604229587 /nfs/dbraw/zinc/22/95/87/604229587.db2.gz IYPDKRDNTJZUIN-LLVKDONJSA-N -1 1 309.410 1.508 20 0 DDADMM C[C@H](CN1CCC[C@@H]([C@@H](C)NC(=O)[O-])C1)CS(C)(=O)=O ZINC000824902996 604230113 /nfs/dbraw/zinc/23/01/13/604230113.db2.gz SOSCWUOUGBUMIX-IJLUTSLNSA-N -1 1 306.428 1.035 20 0 DDADMM CC(C)c1cnc(CN(C)[C@@H]2CCN(CCNC(=O)[O-])C2)o1 ZINC000824476125 604367164 /nfs/dbraw/zinc/36/71/64/604367164.db2.gz GJAJVGYVZWTFFU-GFCCVEGCSA-N -1 1 310.398 1.572 20 0 DDADMM C[C@@H](CC(=O)N1CCc2[nH]nnc2C1)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000824606481 604582013 /nfs/dbraw/zinc/58/20/13/604582013.db2.gz QCKBBCKVJSQHKU-WDEREUQCSA-N -1 1 321.381 1.106 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H]1CC(=O)[O-])N(C)Cc1ccccc1 ZINC000825982525 604603342 /nfs/dbraw/zinc/60/33/42/604603342.db2.gz IBHOLLYSDJBKSV-HIFRSBDPSA-N -1 1 304.390 1.973 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)[C@@H]2CCC[C@@H](C(=O)[O-])C2)[nH]n1 ZINC000833057381 604645219 /nfs/dbraw/zinc/64/52/19/604645219.db2.gz BKQVIJSRMCOTFL-NXEZZACHSA-N -1 1 317.349 1.640 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CCC[C@H](Nc2ccccc2)C1 ZINC000826001766 604798108 /nfs/dbraw/zinc/79/81/08/604798108.db2.gz ZKSHKOAKQXQTTR-OCCSQVGLSA-N -1 1 305.378 1.152 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN[C@](C)(C(N)=O)c2ccccc2)O1 ZINC000833580918 604833613 /nfs/dbraw/zinc/83/36/13/604833613.db2.gz SRYRUKPKJZEDFW-WBMJQRKESA-N -1 1 304.346 1.124 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)NC2CCC(C(=O)[O-])CC2)n[nH]1 ZINC000820492898 605021517 /nfs/dbraw/zinc/02/15/17/605021517.db2.gz TZRZKSHDOHAWJT-XNWIYYODSA-N -1 1 309.370 1.371 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC1(C2CC2)CCC1 ZINC000833627126 605056242 /nfs/dbraw/zinc/05/62/42/605056242.db2.gz UMDLXNYQDGBIAY-CYBMUJFWSA-N -1 1 323.437 1.757 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC12CCC(CC1)C2 ZINC000833621964 605057792 /nfs/dbraw/zinc/05/77/92/605057792.db2.gz CROUXYSELHFIMT-TUBUQKNSSA-N -1 1 323.437 1.757 20 0 DDADMM Cc1noc(C)c1[C@@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC000826338860 607734177 /nfs/dbraw/zinc/73/41/77/607734177.db2.gz KCYZCVQOVJHAOJ-ZETCQYMHSA-N -1 1 300.326 1.477 20 0 DDADMM Cc1noc(C)c1[C@@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC000826338860 607734178 /nfs/dbraw/zinc/73/41/78/607734178.db2.gz KCYZCVQOVJHAOJ-ZETCQYMHSA-N -1 1 300.326 1.477 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2c(c1)COC2 ZINC000833626997 605100017 /nfs/dbraw/zinc/10/00/17/605100017.db2.gz UOXKTAODLGXYIN-LBPRGKRZSA-N -1 1 318.373 1.338 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000833460561 605135540 /nfs/dbraw/zinc/13/55/40/605135540.db2.gz CSAZWECCTIDGDY-SNVBAGLBSA-N -1 1 321.381 1.434 20 0 DDADMM C[C@@H](O)C[C@H]1CCCN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000824699318 607738216 /nfs/dbraw/zinc/73/82/16/607738216.db2.gz RLSNFZWFGITFBF-RKDXNWHRSA-N -1 1 308.773 1.655 20 0 DDADMM C[C@@H](O)C[C@H]1CCCN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000824699318 607738217 /nfs/dbraw/zinc/73/82/17/607738217.db2.gz RLSNFZWFGITFBF-RKDXNWHRSA-N -1 1 308.773 1.655 20 0 DDADMM Cc1c(NS(=O)(=O)c2ccc(C)cc2C(=O)[O-])cnn1C ZINC000833751072 605188850 /nfs/dbraw/zinc/18/88/50/605188850.db2.gz NTUREHIGITZIHC-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N[C@@H]2CCCCN(C(=O)[O-])C2)n[nH]1 ZINC000830036632 605301632 /nfs/dbraw/zinc/30/16/32/605301632.db2.gz WSRWHMXNOAHYIR-ZYHUDNBSSA-N -1 1 323.397 1.481 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)[C@H]2CC[C@H](NC(=O)[O-])C2)[nH]n1 ZINC000831031944 605337443 /nfs/dbraw/zinc/33/74/43/605337443.db2.gz YOMXPTAQPVMYOV-IUCAKERBSA-N -1 1 318.337 1.185 20 0 DDADMM O=C([O-])N1CCC(CCN2CCN(CC(F)(F)F)CC2)CC1 ZINC000834078828 605464357 /nfs/dbraw/zinc/46/43/57/605464357.db2.gz PFEDHBYCHPFWDC-UHFFFAOYSA-N -1 1 323.359 1.946 20 0 DDADMM CCCN(CC(=O)Nc1cc(C)on1)C1CCN(C(=O)[O-])CC1 ZINC000826903101 605471093 /nfs/dbraw/zinc/47/10/93/605471093.db2.gz GNIWHRQLIUTRDA-UHFFFAOYSA-N -1 1 324.381 1.776 20 0 DDADMM C[C@H](NC(=O)NCCc1cccc(C(=O)[O-])c1)c1nnc[nH]1 ZINC000320206676 605509208 /nfs/dbraw/zinc/50/92/08/605509208.db2.gz PBIAKNAFHAMOGP-VIFPVBQESA-N -1 1 303.322 1.106 20 0 DDADMM O=C([O-])N[C@H]1CCN(C(=O)c2cc(C3CCCCC3)[nH]n2)C1 ZINC000834184895 605527573 /nfs/dbraw/zinc/52/75/73/605527573.db2.gz XCZIRYNHBQSSHH-NSHDSACASA-N -1 1 306.366 1.940 20 0 DDADMM O=C([O-])N1CC[C@](F)(C(=O)Nc2ccccc2-c2nnc[nH]2)C1 ZINC000834087241 605710708 /nfs/dbraw/zinc/71/07/08/605710708.db2.gz YDJIWLFZKGNNLP-CQSZACIVSA-N -1 1 319.296 1.502 20 0 DDADMM O=C([O-])N1CC[C@H](NCc2cccnc2OCC(F)(F)F)C1 ZINC000831964150 605836802 /nfs/dbraw/zinc/83/68/02/605836802.db2.gz SVKRMUFEUXTZIY-JTQLQIEISA-N -1 1 319.283 1.865 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N1CCC[C@H]1CNC(=O)[O-])C2 ZINC000833916204 605847667 /nfs/dbraw/zinc/84/76/67/605847667.db2.gz OPGDUPAKHUQPMB-QWRGUYRKSA-N -1 1 306.366 1.082 20 0 DDADMM O=C(CN1CCC2(C1)CCN(C(=O)[O-])CC2)NC1CCCC1 ZINC000834008062 605893575 /nfs/dbraw/zinc/89/35/75/605893575.db2.gz RYKYOCXTFWKJJG-UHFFFAOYSA-N -1 1 309.410 1.511 20 0 DDADMM O=C([O-])N1CCC[C@@H](N[C@@H]2CS(=O)(=O)c3ccccc32)CC1 ZINC000834100041 606044700 /nfs/dbraw/zinc/04/47/00/606044700.db2.gz GXBKPLYUZYRGAH-DGCLKSJQSA-N -1 1 324.402 1.637 20 0 DDADMM CC1(C)CCC[C@@H]1NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820589454 606122370 /nfs/dbraw/zinc/12/23/70/606122370.db2.gz FXAXFOSLGQCYDQ-NSHDSACASA-N -1 1 322.394 1.119 20 0 DDADMM CC1(C)CCC[C@@H]1NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820589454 606122371 /nfs/dbraw/zinc/12/23/71/606122371.db2.gz FXAXFOSLGQCYDQ-NSHDSACASA-N -1 1 322.394 1.119 20 0 DDADMM CC1CCC(C)(NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000820683950 606163870 /nfs/dbraw/zinc/16/38/70/606163870.db2.gz JYKIUCDMIZWEFC-UHFFFAOYSA-N -1 1 318.385 1.691 20 0 DDADMM CC1CCC(C)(NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000820683950 606163874 /nfs/dbraw/zinc/16/38/74/606163874.db2.gz JYKIUCDMIZWEFC-UHFFFAOYSA-N -1 1 318.385 1.691 20 0 DDADMM CCN(C(=O)Cn1cccc(-c2nn[n-]n2)c1=O)c1ccccc1 ZINC000821153451 606176808 /nfs/dbraw/zinc/17/68/08/606176808.db2.gz CICRNIMYKVQBLR-UHFFFAOYSA-N -1 1 324.344 1.082 20 0 DDADMM Cc1nsc(N(C)C(=O)[C@H]2CC=CCC2)c1-c1nnn[n-]1 ZINC000822530802 606238586 /nfs/dbraw/zinc/23/85/86/606238586.db2.gz PBPUOSUXRBEDDC-VIFPVBQESA-N -1 1 304.379 1.951 20 0 DDADMM Cc1nsc(N(C)C(=O)[C@H]2CC=CCC2)c1-c1nn[n-]n1 ZINC000822530802 606238588 /nfs/dbraw/zinc/23/85/88/606238588.db2.gz PBPUOSUXRBEDDC-VIFPVBQESA-N -1 1 304.379 1.951 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccnc(C2CC2)n1 ZINC000823294730 606296196 /nfs/dbraw/zinc/29/61/96/606296196.db2.gz PBSUHQBWTGHIRF-UHFFFAOYSA-N -1 1 323.316 1.492 20 0 DDADMM O[C@@H](CNc1cccc(-c2nnn[n-]2)n1)c1ccccc1F ZINC000823689311 606450737 /nfs/dbraw/zinc/45/07/37/606450737.db2.gz DIMVWNZIRUAPCQ-LBPRGKRZSA-N -1 1 300.297 1.546 20 0 DDADMM O[C@@H](CNc1cccc(-c2nn[n-]n2)n1)c1ccccc1F ZINC000823689311 606450739 /nfs/dbraw/zinc/45/07/39/606450739.db2.gz DIMVWNZIRUAPCQ-LBPRGKRZSA-N -1 1 300.297 1.546 20 0 DDADMM COC(=O)C[C@@H](C)Sc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821657342 606466334 /nfs/dbraw/zinc/46/63/34/606466334.db2.gz MGVITZULGQJNGN-ZCFIWIBFSA-N -1 1 313.770 1.959 20 0 DDADMM COC(=O)C[C@@H](C)Sc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821657342 606466335 /nfs/dbraw/zinc/46/63/35/606466335.db2.gz MGVITZULGQJNGN-ZCFIWIBFSA-N -1 1 313.770 1.959 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCN2CCCC[C@H]2C1 ZINC000823484110 606538744 /nfs/dbraw/zinc/53/87/44/606538744.db2.gz RUVPUBUKRGFDKQ-JTQLQIEISA-N -1 1 318.406 1.239 20 0 DDADMM COC(C)(C)C[C@H](C)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821672214 606574412 /nfs/dbraw/zinc/57/44/12/606574412.db2.gz CPZSXFQRPADZTB-QMMMGPOBSA-N -1 1 309.395 1.862 20 0 DDADMM COC(C)(C)C[C@H](C)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821672214 606574415 /nfs/dbraw/zinc/57/44/15/606574415.db2.gz CPZSXFQRPADZTB-QMMMGPOBSA-N -1 1 309.395 1.862 20 0 DDADMM Cc1nc(Cc2noc(-c3ccc(-c4nnn[n-]4)s3)n2)no1 ZINC000822449622 606620150 /nfs/dbraw/zinc/62/01/50/606620150.db2.gz HMROOBMFPFDJEP-UHFFFAOYSA-N -1 1 316.306 1.260 20 0 DDADMM Cc1nc(Cc2noc(-c3ccc(-c4nn[n-]n4)s3)n2)no1 ZINC000822449622 606620152 /nfs/dbraw/zinc/62/01/52/606620152.db2.gz HMROOBMFPFDJEP-UHFFFAOYSA-N -1 1 316.306 1.260 20 0 DDADMM Cc1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cnc1Cl ZINC000822209837 606640507 /nfs/dbraw/zinc/64/05/07/606640507.db2.gz ZOCHLVAUDNIDEF-UHFFFAOYSA-N -1 1 315.724 1.871 20 0 DDADMM Cc1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cnc1Cl ZINC000822209837 606640508 /nfs/dbraw/zinc/64/05/08/606640508.db2.gz ZOCHLVAUDNIDEF-UHFFFAOYSA-N -1 1 315.724 1.871 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000820096790 606648056 /nfs/dbraw/zinc/64/80/56/606648056.db2.gz HCHGVOXTMUAUCH-JTQLQIEISA-N -1 1 305.338 1.366 20 0 DDADMM CC(C)CO[C@@H](C)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000820096790 606648057 /nfs/dbraw/zinc/64/80/57/606648057.db2.gz HCHGVOXTMUAUCH-JTQLQIEISA-N -1 1 305.338 1.366 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)[C@@H]1CC1(Cl)Cl ZINC000823436184 606648641 /nfs/dbraw/zinc/64/86/41/606648641.db2.gz LJKWTJQIZMCTOI-ZETCQYMHSA-N -1 1 314.132 1.499 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)[C@@H]1CC1(Cl)Cl ZINC000823436184 606648642 /nfs/dbraw/zinc/64/86/42/606648642.db2.gz LJKWTJQIZMCTOI-ZETCQYMHSA-N -1 1 314.132 1.499 20 0 DDADMM O=C(C[C@H]1CCCCO1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822941531 606752074 /nfs/dbraw/zinc/75/20/74/606752074.db2.gz YQZMIAACVHIDMO-LLVKDONJSA-N -1 1 303.322 1.264 20 0 DDADMM O=C(C[C@H]1CCCCO1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822941531 606752076 /nfs/dbraw/zinc/75/20/76/606752076.db2.gz YQZMIAACVHIDMO-LLVKDONJSA-N -1 1 303.322 1.264 20 0 DDADMM COC(C)(C)CN(C)c1snc(Cl)c1-c1nnn[n-]1 ZINC000821672715 606951041 /nfs/dbraw/zinc/95/10/41/606951041.db2.gz VJXJZTFIZNRRNP-UHFFFAOYSA-N -1 1 302.791 1.838 20 0 DDADMM COC(C)(C)CN(C)c1snc(Cl)c1-c1nn[n-]n1 ZINC000821672715 606951043 /nfs/dbraw/zinc/95/10/43/606951043.db2.gz VJXJZTFIZNRRNP-UHFFFAOYSA-N -1 1 302.791 1.838 20 0 DDADMM CCN1CCCC[C@@H]1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000821268404 607106335 /nfs/dbraw/zinc/10/63/35/607106335.db2.gz WDALUQKYSPWWDF-MRVPVSSYSA-N -1 1 307.383 1.136 20 0 DDADMM CCN1CCCC[C@@H]1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000821268404 607106337 /nfs/dbraw/zinc/10/63/37/607106337.db2.gz WDALUQKYSPWWDF-MRVPVSSYSA-N -1 1 307.383 1.136 20 0 DDADMM CCOCc1ccccc1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000825605233 607989196 /nfs/dbraw/zinc/98/91/96/607989196.db2.gz RXZVTUGKIQIIHP-UHFFFAOYSA-N -1 1 311.349 1.805 20 0 DDADMM CCOCc1ccccc1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000825605233 607989197 /nfs/dbraw/zinc/98/91/97/607989197.db2.gz RXZVTUGKIQIIHP-UHFFFAOYSA-N -1 1 311.349 1.805 20 0 DDADMM Cc1cc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c(C)n1C ZINC000826260185 607999598 /nfs/dbraw/zinc/99/95/98/607999598.db2.gz ACGYDNWECHWFCV-UHFFFAOYSA-N -1 1 312.333 1.780 20 0 DDADMM COc1cc(C)c(Nc2ccc(-c3nnn[n-]3)nn2)cc1OC ZINC000826178006 608388543 /nfs/dbraw/zinc/38/85/43/608388543.db2.gz FSQBEGOXMIBZHL-UHFFFAOYSA-N -1 1 313.321 1.726 20 0 DDADMM COc1cc(C)c(Nc2ccc(-c3nn[n-]n3)nn2)cc1OC ZINC000826178006 608388545 /nfs/dbraw/zinc/38/85/45/608388545.db2.gz FSQBEGOXMIBZHL-UHFFFAOYSA-N -1 1 313.321 1.726 20 0 DDADMM CC(=O)c1ccc(CNc2cccc(-c3nnn[n-]3)n2)nc1C ZINC000824024496 608405444 /nfs/dbraw/zinc/40/54/44/608405444.db2.gz HASPFRULJGFNIU-UHFFFAOYSA-N -1 1 309.333 1.780 20 0 DDADMM CC(=O)c1ccc(CNc2cccc(-c3nn[n-]n3)n2)nc1C ZINC000824024496 608405446 /nfs/dbraw/zinc/40/54/46/608405446.db2.gz HASPFRULJGFNIU-UHFFFAOYSA-N -1 1 309.333 1.780 20 0 DDADMM CCCN(C[C@@H]1CCCCO1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825314074 608415654 /nfs/dbraw/zinc/41/56/54/608415654.db2.gz WTPNSJIYGUQKMS-NSHDSACASA-N -1 1 303.370 1.442 20 0 DDADMM CCCN(C[C@@H]1CCCCO1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825314074 608415655 /nfs/dbraw/zinc/41/56/55/608415655.db2.gz WTPNSJIYGUQKMS-NSHDSACASA-N -1 1 303.370 1.442 20 0 DDADMM c1cc(N2CCCC3(CCOCC3)C2)nnc1-c1nnn[n-]1 ZINC000826517090 608425532 /nfs/dbraw/zinc/42/55/32/608425532.db2.gz PQKXGWQNPNBJBU-UHFFFAOYSA-N -1 1 301.354 1.054 20 0 DDADMM c1cc(N2CCCC3(CCOCC3)C2)nnc1-c1nn[n-]n1 ZINC000826517090 608425534 /nfs/dbraw/zinc/42/55/34/608425534.db2.gz PQKXGWQNPNBJBU-UHFFFAOYSA-N -1 1 301.354 1.054 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1N1CCC(c2ccnn2C)CC1 ZINC000826280568 608544363 /nfs/dbraw/zinc/54/43/63/608544363.db2.gz HINQDIAKUYYEMZ-UHFFFAOYSA-N -1 1 324.392 1.688 20 0 DDADMM CN1Cc2cc(Oc3nccnc3-c3nn[n-]n3)ccc2C1=O ZINC000826045503 608553869 /nfs/dbraw/zinc/55/38/69/608553869.db2.gz XHQNPBMXGBKNOY-UHFFFAOYSA-N -1 1 309.289 1.035 20 0 DDADMM FC(F)(F)CSCCNc1nccnc1-c1nnn[n-]1 ZINC000826367605 608799074 /nfs/dbraw/zinc/79/90/74/608799074.db2.gz GTLNOJYZAVTNKO-UHFFFAOYSA-N -1 1 305.289 1.364 20 0 DDADMM FC(F)(F)CSCCNc1nccnc1-c1nn[n-]n1 ZINC000826367605 608799075 /nfs/dbraw/zinc/79/90/75/608799075.db2.gz GTLNOJYZAVTNKO-UHFFFAOYSA-N -1 1 305.289 1.364 20 0 DDADMM C[C@@H](C[S@](C)=O)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000824448959 609598213 /nfs/dbraw/zinc/59/82/13/609598213.db2.gz LGWXGASPCWLDLC-XUWHUGODSA-N -1 1 306.804 1.156 20 0 DDADMM C[C@@H](O)[C@H]1CCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000824690528 609569488 /nfs/dbraw/zinc/56/94/88/609569488.db2.gz SUDHDUWZDRUHGM-RITPCOANSA-N -1 1 300.775 1.184 20 0 DDADMM C[C@@H](O)[C@H]1CCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000824690528 609569491 /nfs/dbraw/zinc/56/94/91/609569491.db2.gz SUDHDUWZDRUHGM-RITPCOANSA-N -1 1 300.775 1.184 20 0 DDADMM OCCOCCCNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000826510460 609581394 /nfs/dbraw/zinc/58/13/94/609581394.db2.gz SBQKPLPIKMEMPK-UHFFFAOYSA-N -1 1 314.349 1.226 20 0 DDADMM OCCOCCCNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000826510460 609581398 /nfs/dbraw/zinc/58/13/98/609581398.db2.gz SBQKPLPIKMEMPK-UHFFFAOYSA-N -1 1 314.349 1.226 20 0 DDADMM C[C@@H](C[S@](C)=O)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000824448959 609598211 /nfs/dbraw/zinc/59/82/11/609598211.db2.gz LGWXGASPCWLDLC-XUWHUGODSA-N -1 1 306.804 1.156 20 0 DDADMM C[C@H]1C[C@@H](CCNC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824874532 609609723 /nfs/dbraw/zinc/60/97/23/609609723.db2.gz DNQAWLNBXVQDLF-UWVGGRQHSA-N -1 1 321.406 1.863 20 0 DDADMM C[C@H]1C[C@@H](CCNC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824874532 609609726 /nfs/dbraw/zinc/60/97/26/609609726.db2.gz DNQAWLNBXVQDLF-UWVGGRQHSA-N -1 1 321.406 1.863 20 0 DDADMM O=S(=O)(Oc1ccc(Br)cc1)c1c[nH]cn1 ZINC000121499681 696711085 /nfs/dbraw/zinc/71/10/85/696711085.db2.gz YAXSSPJSGXDDBU-UHFFFAOYSA-N -1 1 303.137 1.940 20 0 DDADMM O=S(=O)(Oc1ccc(Br)cc1)c1c[n-]cn1 ZINC000121499681 696711086 /nfs/dbraw/zinc/71/10/86/696711086.db2.gz YAXSSPJSGXDDBU-UHFFFAOYSA-N -1 1 303.137 1.940 20 0 DDADMM CC[C@H](C)C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971895350 695118473 /nfs/dbraw/zinc/11/84/73/695118473.db2.gz BVHDTTRVMOYHSZ-YNEHKIRRSA-N -1 1 317.389 1.506 20 0 DDADMM CCC(=O)N1CCC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000973684387 695511274 /nfs/dbraw/zinc/51/12/74/695511274.db2.gz RZGAGMIVZZUTJQ-STQMWFEESA-N -1 1 317.389 1.650 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C[C@@H](C)C(=O)[O-])c1Br ZINC000746374017 700020931 /nfs/dbraw/zinc/02/09/31/700020931.db2.gz RFCOJDYHPNCUIN-RXMQYKEDSA-N -1 1 304.144 1.273 20 0 DDADMM O=C(NC[C@H]1CCCN(C(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000975226758 695817329 /nfs/dbraw/zinc/81/73/29/695817329.db2.gz RRAJQSUUMCRWIQ-GFCCVEGCSA-N -1 1 317.389 1.556 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975261542 695821276 /nfs/dbraw/zinc/82/12/76/695821276.db2.gz VRESKYFQCOLURJ-NWDGAFQWSA-N -1 1 323.368 1.504 20 0 DDADMM CCC(Nc1ccc(OC)cc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009771329 696037537 /nfs/dbraw/zinc/03/75/37/696037537.db2.gz HDJSKKMGPOKHON-UHFFFAOYSA-N -1 1 305.359 1.302 20 0 DDADMM COc1ccc(C)cc1NC(C)=C1C(=O)[N-]C(=S)NC1=O ZINC000009777118 696038019 /nfs/dbraw/zinc/03/80/19/696038019.db2.gz XBMAEVOFWDZZAF-UHFFFAOYSA-N -1 1 305.359 1.220 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2CC=CCC2)cc1 ZINC000010849252 696041299 /nfs/dbraw/zinc/04/12/99/696041299.db2.gz PFWKNWABXJXSOC-CYBMUJFWSA-N -1 1 317.341 1.851 20 0 DDADMM O=C([N-]C[C@@H](c1cccs1)N1CCOCC1)C(F)(F)F ZINC000025325764 696091348 /nfs/dbraw/zinc/09/13/48/696091348.db2.gz GBLFBVLWNKQAHX-VIFPVBQESA-N -1 1 308.325 1.800 20 0 DDADMM O=C([O-])[C@H]1CCCN1C(=O)c1ccc(CN2CCCCC2)o1 ZINC000033452037 696126887 /nfs/dbraw/zinc/12/68/87/696126887.db2.gz DCOSBWWEFSGETH-CYBMUJFWSA-N -1 1 306.362 1.955 20 0 DDADMM C=CCNC(=S)N[N-]C(=O)c1cc([N+](=O)[O-])ccc1Cl ZINC000041961739 696143775 /nfs/dbraw/zinc/14/37/75/696143775.db2.gz HOILEVXEBNXRDS-UHFFFAOYSA-N -1 1 314.754 1.543 20 0 DDADMM O=C1CN(NC(=S)Nc2ccc3ccccc3c2)C(=O)[N-]1 ZINC000044057869 696158092 /nfs/dbraw/zinc/15/80/92/696158092.db2.gz JCLKRNGASNVRBB-UHFFFAOYSA-N -1 1 300.343 1.593 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977598182 696229101 /nfs/dbraw/zinc/22/91/01/696229101.db2.gz HBGCRJBAQUZGDW-FRRDWIJNSA-N -1 1 317.389 1.506 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=S)NCCc2cccs2)n1 ZINC000747654982 700080138 /nfs/dbraw/zinc/08/01/38/700080138.db2.gz UQMWJVIIWJRZSR-UHFFFAOYSA-N -1 1 323.447 1.889 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)C(c1ccccc1)c1ccccc1 ZINC000072706905 696395865 /nfs/dbraw/zinc/39/58/65/696395865.db2.gz NZKGJOGJAARPLC-UHFFFAOYSA-N -1 1 309.325 1.402 20 0 DDADMM CC(C)(C)c1ccc(CNC(=O)Cc2sc(N)nc2[O-])cc1 ZINC000079481758 696460638 /nfs/dbraw/zinc/46/06/38/696460638.db2.gz UPYKYLFETVSSQD-LBPRGKRZSA-N -1 1 319.430 1.947 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC2(c3ccc(F)cc3)CCC2)s1 ZINC000079755378 696463336 /nfs/dbraw/zinc/46/33/36/696463336.db2.gz PPWRECNPEMRPGC-NSHDSACASA-N -1 1 321.377 1.668 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@@H](Nc3ccccc3)C2)s1 ZINC000079993602 696466224 /nfs/dbraw/zinc/46/62/24/696466224.db2.gz VJPDAXXJMRAJDI-NEPJUHHUSA-N -1 1 318.402 1.046 20 0 DDADMM Cc1cccc([C@@H](C)CNC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080439655 696534586 /nfs/dbraw/zinc/53/45/86/696534586.db2.gz CEIZIBXPMBPLCP-JQWIXIFHSA-N -1 1 305.403 1.562 20 0 DDADMM O=C(N[C@H]1C[C@@H](CNC(=O)[C@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000979363722 696535873 /nfs/dbraw/zinc/53/58/73/696535873.db2.gz BHODMFUGERVRAR-GRYCIOLGSA-N -1 1 315.373 1.212 20 0 DDADMM Cc1ncoc1C(=O)N[N-]C(=O)C(F)(F)Oc1ccccc1 ZINC000081830955 696548205 /nfs/dbraw/zinc/54/82/05/696548205.db2.gz NIZJHPURCXJDNX-UHFFFAOYSA-N -1 1 311.244 1.416 20 0 DDADMM NC(=O)CO[N-]C(=O)CCc1ccc(-c2ccccc2F)o1 ZINC000089463572 696577082 /nfs/dbraw/zinc/57/70/82/696577082.db2.gz RZTMCOCMAJLHAP-UHFFFAOYSA-N -1 1 306.293 1.551 20 0 DDADMM CCn1c(CNC(=O)c2cnn(C(C)C)c2C)n[n-]c1=S ZINC000092412718 696594269 /nfs/dbraw/zinc/59/42/69/696594269.db2.gz UHSIGXVTTZHBLX-UHFFFAOYSA-N -1 1 308.411 1.976 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCc2ccsc2)o1 ZINC000113475678 696657412 /nfs/dbraw/zinc/65/74/12/696657412.db2.gz ITPBSHZTWPKTQA-UHFFFAOYSA-N -1 1 315.372 1.649 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCc2c[nH]c3ccccc23)s1 ZINC000120786997 696701129 /nfs/dbraw/zinc/70/11/29/696701129.db2.gz CBFGDHMGTUAKGI-LBPRGKRZSA-N -1 1 316.386 1.173 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccccc1)c1cccc(F)c1F ZINC000120824557 696702058 /nfs/dbraw/zinc/70/20/58/696702058.db2.gz OLSMQTNCSYMVRR-GFCCVEGCSA-N -1 1 313.325 1.977 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C[C@H](C)CC(C)C ZINC000125608246 696754395 /nfs/dbraw/zinc/75/43/95/696754395.db2.gz DHPSGWVBIPJGGB-LLVKDONJSA-N -1 1 320.393 1.884 20 0 DDADMM N#CCC[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000153693581 696920178 /nfs/dbraw/zinc/92/01/78/696920178.db2.gz PGDNAGVQVNVMAW-RYUDHWBXSA-N -1 1 316.386 1.494 20 0 DDADMM Cc1ncc(CN2CCCN(C(=O)c3ncccc3[O-])CC2)o1 ZINC000981026714 696982881 /nfs/dbraw/zinc/98/28/81/696982881.db2.gz LQBURTHXUDECAS-UHFFFAOYSA-N -1 1 316.361 1.432 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2cccc(C)c2C)C1 ZINC000748770459 700133761 /nfs/dbraw/zinc/13/37/61/700133761.db2.gz URIPBIFOXZOQFA-ZDUSSCGKSA-N -1 1 306.362 1.351 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N(C)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984109721 697341436 /nfs/dbraw/zinc/34/14/36/697341436.db2.gz DOJVLQRSYPIZHD-XQQFMLRXSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@H](O)c1ccc(CNC(=O)c2nnc3ccccc3c2O)cc1 ZINC000171865912 697363088 /nfs/dbraw/zinc/36/30/88/697363088.db2.gz WVZXNDRLQIBOBP-NSHDSACASA-N -1 1 323.352 1.906 20 0 DDADMM C/C(=C/C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC000985302670 697485516 /nfs/dbraw/zinc/48/55/16/697485516.db2.gz KNKHWUDSXMMGBB-LUAWRHEFSA-N -1 1 319.409 1.289 20 0 DDADMM Cn1nccc1CCNC(=O)c1cc(Br)ccc1[O-] ZINC000185871544 697526126 /nfs/dbraw/zinc/52/61/26/697526126.db2.gz CCAOGYFIOJBTLK-UHFFFAOYSA-N -1 1 324.178 1.861 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccccc1F ZINC000985898680 697598035 /nfs/dbraw/zinc/59/80/35/697598035.db2.gz MIKIAMCSWLWBNB-BXKDBHETSA-N -1 1 319.340 1.042 20 0 DDADMM O=C(NNc1nc2ccccn2n1)c1cc(Cl)ccc1[O-] ZINC000191896915 697616283 /nfs/dbraw/zinc/61/62/83/697616283.db2.gz PYBKASSTKNADLW-UHFFFAOYSA-N -1 1 303.709 1.845 20 0 DDADMM CN1CC[C@H](C(=O)OCc2cc(=O)oc3cc([O-])ccc23)C1=O ZINC000772530209 697645545 /nfs/dbraw/zinc/64/55/45/697645545.db2.gz IUAIANBXIFXTPB-LBPRGKRZSA-N -1 1 317.297 1.020 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)c1ccoc1Cl ZINC000193000526 697647077 /nfs/dbraw/zinc/64/70/77/697647077.db2.gz XVFAFNQBPHQJRR-UHFFFAOYSA-N -1 1 322.708 1.372 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000772719904 697667207 /nfs/dbraw/zinc/66/72/07/697667207.db2.gz YJNSOFWOHAUGHH-LBPRGKRZSA-N -1 1 310.394 1.394 20 0 DDADMM NC(=O)CCCCC(=O)Nc1nc(Br)ccc1[O-] ZINC000194573176 697713814 /nfs/dbraw/zinc/71/38/14/697713814.db2.gz VHQXKXYSZLIODG-UHFFFAOYSA-N -1 1 316.155 1.534 20 0 DDADMM Cc1nc(CN[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)co1 ZINC000986174191 697720259 /nfs/dbraw/zinc/72/02/59/697720259.db2.gz XXOAAOVQFKLTBP-GXFFZTMASA-N -1 1 316.361 1.476 20 0 DDADMM Cc1sccc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986269161 697760580 /nfs/dbraw/zinc/76/05/80/697760580.db2.gz QWPRPDFHLLAIFF-KWQFWETISA-N -1 1 321.406 1.273 20 0 DDADMM O=C(CCc1ccc(O)cc1)OCCC[N-]C(=O)C(F)(F)F ZINC000774933461 697944187 /nfs/dbraw/zinc/94/41/87/697944187.db2.gz JTMQSACUHZNQOB-UHFFFAOYSA-N -1 1 319.279 1.937 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccc(O)cc1F ZINC000776120898 698069456 /nfs/dbraw/zinc/06/94/56/698069456.db2.gz GYPSDFRQJIYZRK-CYBMUJFWSA-N -1 1 313.292 1.564 20 0 DDADMM COCCS(=O)(=O)CCCSc1nc(C)c(C)c(=O)[n-]1 ZINC000776869354 698144655 /nfs/dbraw/zinc/14/46/55/698144655.db2.gz MRLVRMLENMXCIF-UHFFFAOYSA-N -1 1 320.436 1.342 20 0 DDADMM COC(=O)N1CCC[C@H]([C@@H](C)NC(=O)c2ncc(C)cc2[O-])C1 ZINC000779101674 698395866 /nfs/dbraw/zinc/39/58/66/698395866.db2.gz QWEFCYPUARXWNO-NEPJUHHUSA-N -1 1 321.377 1.692 20 0 DDADMM Cc1ncoc1CN[C@H]1C[C@H](C)N(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC000988631428 698424095 /nfs/dbraw/zinc/42/40/95/698424095.db2.gz GVUKABCITQMTPG-KWQFWETISA-N -1 1 319.365 1.113 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000780343015 698508727 /nfs/dbraw/zinc/50/87/27/698508727.db2.gz CTJIYVOVKFGYEY-CYBMUJFWSA-N -1 1 323.393 1.923 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000780343722 698508854 /nfs/dbraw/zinc/50/88/54/698508854.db2.gz CHQATJLNHGINMQ-LBPRGKRZSA-N -1 1 309.366 1.533 20 0 DDADMM CN(C)[C@H](CNC(=O)N1CCC[C@H]1C(=O)[O-])c1cccc(F)c1 ZINC000780354286 698510061 /nfs/dbraw/zinc/51/00/61/698510061.db2.gz PRUWMAHWUSEDED-UONOGXRCSA-N -1 1 323.368 1.687 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CCC[C@@H]1C(=O)[O-])c1ccsc1 ZINC000780385216 698514166 /nfs/dbraw/zinc/51/41/66/698514166.db2.gz NXRNTLKJZBEHHB-NEPJUHHUSA-N -1 1 311.407 1.609 20 0 DDADMM CCOC(=O)N[C@@H](CNC(=O)c1ncccc1[O-])CC(C)C ZINC000750666259 700238393 /nfs/dbraw/zinc/23/83/93/700238393.db2.gz SKCQYCHKJXMUOH-LLVKDONJSA-N -1 1 309.366 1.678 20 0 DDADMM O=C([N-]CCS(=O)(=O)CCc1ccccc1)C(F)(F)F ZINC000800684544 700238791 /nfs/dbraw/zinc/23/87/91/700238791.db2.gz TXUMAXQSDSPAIG-UHFFFAOYSA-N -1 1 309.309 1.322 20 0 DDADMM Nc1cnccc1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000783178486 698814918 /nfs/dbraw/zinc/81/49/18/698814918.db2.gz GWLDOJCAQDQIPF-UHFFFAOYSA-N -1 1 312.281 1.833 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1c[nH]nc1-c1cccc(Cl)c1 ZINC000783599992 698856134 /nfs/dbraw/zinc/85/61/34/698856134.db2.gz KVQDWAXLBNICGH-UHFFFAOYSA-N -1 1 319.752 1.790 20 0 DDADMM Cc1nnccc1C(=O)Nc1nc(Br)ccc1[O-] ZINC000783911885 698891187 /nfs/dbraw/zinc/89/11/87/698891187.db2.gz PQPULPCQZVWWFE-UHFFFAOYSA-N -1 1 309.123 1.900 20 0 DDADMM CN(C[C@@H]1CCCCN1C(=O)c1ncccc1[O-])C(=O)C1CC1 ZINC000990521257 699080332 /nfs/dbraw/zinc/08/03/32/699080332.db2.gz FQURUMDARQQNGY-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM O=C([O-])c1cc(C(=O)N[C@H]2CCN(Cc3ccccc3)C2)no1 ZINC000390735802 699095783 /nfs/dbraw/zinc/09/57/83/699095783.db2.gz IDCMIHKZUWRTPG-LBPRGKRZSA-N -1 1 315.329 1.377 20 0 DDADMM C[C@H]1CC[C@H](C)N1CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000785736327 699096736 /nfs/dbraw/zinc/09/67/36/699096736.db2.gz XYABJPXKUZTDDC-STQMWFEESA-N -1 1 318.373 1.359 20 0 DDADMM CC[C@H](Oc1ccccc1F)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000786533235 699152882 /nfs/dbraw/zinc/15/28/82/699152882.db2.gz JHGRUAMLBDJVTO-JTQLQIEISA-N -1 1 309.297 1.148 20 0 DDADMM COC(CN(CCCO)C(=O)c1c([O-])cccc1Cl)OC ZINC000787277919 699201396 /nfs/dbraw/zinc/20/13/96/699201396.db2.gz LYMZCEZRZHPLBV-UHFFFAOYSA-N -1 1 317.769 1.489 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])CN(Cc2cn(C)nc2Br)C1 ZINC000712429048 699262325 /nfs/dbraw/zinc/26/23/25/699262325.db2.gz DLSHUTWUGURPFY-IUCAKERBSA-N -1 1 316.199 1.725 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCC[C@@H](F)C1 ZINC000991308733 699298110 /nfs/dbraw/zinc/29/81/10/699298110.db2.gz WDFCJDNMVQGSAV-WDEREUQCSA-N -1 1 321.352 1.256 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)C1CCC=CCC1 ZINC000991801759 699405660 /nfs/dbraw/zinc/40/56/60/699405660.db2.gz HKNGPQUPJOTKQP-UHFFFAOYSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)c1ccc(Cl)s1)N(C)C ZINC000789798469 699411030 /nfs/dbraw/zinc/41/10/30/699411030.db2.gz IENLCPQMQSFEHB-SNVBAGLBSA-N -1 1 324.855 1.793 20 0 DDADMM Cc1ccc([C@@H](C)N(C)C(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000727849645 699428002 /nfs/dbraw/zinc/42/80/02/699428002.db2.gz IYSLCRGYGJOTMT-CYBMUJFWSA-N -1 1 315.373 1.455 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H]1C1CCCC1 ZINC000727852538 699428161 /nfs/dbraw/zinc/42/81/61/699428161.db2.gz YDRSLDNXALGZRF-CYBMUJFWSA-N -1 1 305.378 1.108 20 0 DDADMM NC(=O)COc1cccc(C(=O)Nc2nc(Cl)ccc2[O-])c1 ZINC000790048043 699437342 /nfs/dbraw/zinc/43/73/42/699437342.db2.gz PTGYZSUHXJCEOT-UHFFFAOYSA-N -1 1 321.720 1.557 20 0 DDADMM CC(C)Cc1ccccc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000790604169 699468462 /nfs/dbraw/zinc/46/84/62/699468462.db2.gz MWDHBCGRZHSLOY-AWEZNQCLSA-N -1 1 315.377 1.612 20 0 DDADMM CC(C)(C)OC(=O)Nc1cccc(C(=O)NCc2nn[n-]n2)c1 ZINC000731873326 699539275 /nfs/dbraw/zinc/53/92/75/699539275.db2.gz NVMZLBVNVNIZHC-UHFFFAOYSA-N -1 1 318.337 1.477 20 0 DDADMM O=C(N1CCO[C@@H](c2nn[n-]n2)C1)C1(c2ccccc2)CCC1 ZINC000731880616 699539637 /nfs/dbraw/zinc/53/96/37/699539637.db2.gz RPGKXJZXNQBXHD-CYBMUJFWSA-N -1 1 313.361 1.222 20 0 DDADMM Cc1csc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC000791090257 699611000 /nfs/dbraw/zinc/61/10/00/699611000.db2.gz SEDYCUIZVURFTB-UHFFFAOYSA-N -1 1 302.363 1.428 20 0 DDADMM CCCCOCC(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000735729205 699701915 /nfs/dbraw/zinc/70/19/15/699701915.db2.gz WVMYLBNVVINJHC-UHFFFAOYSA-N -1 1 323.345 1.312 20 0 DDADMM CNc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc(Cl)n1 ZINC000736887305 699734272 /nfs/dbraw/zinc/73/42/72/699734272.db2.gz NRPQXMGWOLPWFS-UHFFFAOYSA-N -1 1 321.772 1.310 20 0 DDADMM O=C(Nc1ccc(F)c(F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000738165700 699754519 /nfs/dbraw/zinc/75/45/19/699754519.db2.gz MCCYRPPZRFHPRR-UHFFFAOYSA-N -1 1 308.292 1.889 20 0 DDADMM O=C(c1ccc(F)cc1Cl)N1CCOC[C@H]1c1nn[n-]n1 ZINC000738267841 699756212 /nfs/dbraw/zinc/75/62/12/699756212.db2.gz PWEXDBCTTBSCFJ-JTQLQIEISA-N -1 1 311.704 1.206 20 0 DDADMM O=C([O-])C1(C(=O)NC[C@@H](c2ccco2)N2CCCCC2)CC1 ZINC000738524249 699761906 /nfs/dbraw/zinc/76/19/06/699761906.db2.gz LPNSEWGXRYURHV-LBPRGKRZSA-N -1 1 306.362 1.788 20 0 DDADMM CSCCO[N-]C(=O)c1cc[nH]c(=O)c1Br ZINC000794191372 699796838 /nfs/dbraw/zinc/79/68/38/699796838.db2.gz BWACCJPUWPLSNN-UHFFFAOYSA-N -1 1 307.169 1.574 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN(CC(=O)N2CCC[C@H](C)C2)CC1 ZINC000739103003 699770932 /nfs/dbraw/zinc/77/09/32/699770932.db2.gz JTLUHKAKVCHSRF-QWHCGFSZSA-N -1 1 311.426 1.613 20 0 DDADMM Cc1ccc([C@H](O)CNC(=O)c2nc3ccccc3c(=O)[n-]2)o1 ZINC000741261781 699825981 /nfs/dbraw/zinc/82/59/81/699825981.db2.gz CXWPFXXQHAMBDE-GFCCVEGCSA-N -1 1 313.313 1.288 20 0 DDADMM COc1ncc(C)cc1[N-]S(=O)(=O)C[C@H]1CCCOC1 ZINC000795326023 699858990 /nfs/dbraw/zinc/85/89/90/699858990.db2.gz HQOGQENBYFUXKU-NSHDSACASA-N -1 1 300.380 1.567 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2CCCN(C(C)C)C2=O)[n-]1 ZINC000796345119 699928088 /nfs/dbraw/zinc/92/80/88/699928088.db2.gz IVOPNAIXOGERJB-LBPRGKRZSA-N -1 1 308.334 1.358 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](CC(C)(C)C)C(=O)OC)[n-]1 ZINC000796346705 699928306 /nfs/dbraw/zinc/92/83/06/699928306.db2.gz PYELHKKAQUSPSA-NSHDSACASA-N -1 1 311.334 1.936 20 0 DDADMM O=C(COC(=O)c1ccc2n[nH]cc2c1)[N-]C(=O)c1ccccc1 ZINC000744454116 699949965 /nfs/dbraw/zinc/94/99/65/699949965.db2.gz SQKXNMFAIIFEEG-UHFFFAOYSA-N -1 1 323.308 1.676 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)C(=O)c2cnn(C)c2)c1 ZINC000744900238 699965032 /nfs/dbraw/zinc/96/50/32/699965032.db2.gz DMWOVFGVIPYDGK-UHFFFAOYSA-N -1 1 316.313 1.297 20 0 DDADMM CCOCCCOC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000744954507 699966674 /nfs/dbraw/zinc/96/66/74/699966674.db2.gz QDHCZRJRBSYDLJ-UHFFFAOYSA-N -1 1 307.350 1.564 20 0 DDADMM C[C@@H]1Oc2ccccc2[C@H]1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000808861753 701624148 /nfs/dbraw/zinc/62/41/48/701624148.db2.gz MZWAOKDQHIEIHM-BONVTDFDSA-N -1 1 323.356 1.986 20 0 DDADMM COCCOCCOC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801325747 700297501 /nfs/dbraw/zinc/29/75/01/700297501.db2.gz VHEKNGDIZYOGEF-UHFFFAOYSA-N -1 1 324.308 1.537 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OCc3ccon3)n2)cc1 ZINC000801364357 700300678 /nfs/dbraw/zinc/30/06/78/700300678.db2.gz QVMDMPLCIYSRFK-UHFFFAOYSA-N -1 1 315.285 1.932 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)O[C@H](C)C(C)=O)n2)cc1 ZINC000801364262 700301053 /nfs/dbraw/zinc/30/10/53/700301053.db2.gz OKHFFSCPJQZZNK-SNVBAGLBSA-N -1 1 304.302 1.721 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCC(C)(C)OC)o1 ZINC000867729224 701717337 /nfs/dbraw/zinc/71/73/37/701717337.db2.gz QRFXNKNNXCAFHW-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CC[C@@H](SC)C2)c1 ZINC000754020635 700476698 /nfs/dbraw/zinc/47/66/98/700476698.db2.gz IFHZMRLGMUVSDK-NXEZZACHSA-N -1 1 319.404 1.629 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCC(=O)C1CCC1 ZINC000754089063 700482706 /nfs/dbraw/zinc/48/27/06/700482706.db2.gz UYWHKSXJJONAEU-UHFFFAOYSA-N -1 1 317.388 1.646 20 0 DDADMM COC(C)(C)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000809249095 701656328 /nfs/dbraw/zinc/65/63/28/701656328.db2.gz OXKRPHRYCCUIGI-GUBZILKMSA-N -1 1 324.343 1.715 20 0 DDADMM CCc1cnc(COC(=O)c2coc(S(=O)(=O)[N-]C)c2)o1 ZINC000756405991 700620365 /nfs/dbraw/zinc/62/03/65/700620365.db2.gz SQWZJPKLLIWNLU-UHFFFAOYSA-N -1 1 314.319 1.095 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H]2CCC[C@@H](OC)C2)co1 ZINC000756525609 700625624 /nfs/dbraw/zinc/62/56/24/700625624.db2.gz AGGURYOEZCIHJA-GHMZBOCLSA-N -1 1 317.363 1.302 20 0 DDADMM CNc1ccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cc1 ZINC000759622063 700762713 /nfs/dbraw/zinc/76/27/13/700762713.db2.gz SJFKWUDOKWQVSR-UHFFFAOYSA-N -1 1 312.325 1.842 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)Cc1noc2ccccc12 ZINC000760553722 700813647 /nfs/dbraw/zinc/81/36/47/700813647.db2.gz CIQQGPRFZSPARZ-UHFFFAOYSA-N -1 1 312.347 1.199 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)NC1(C(=O)[O-])CCCC1 ZINC000763102173 700925690 /nfs/dbraw/zinc/92/56/90/700925690.db2.gz FKQDFIMYWUHJBG-ZDUSSCGKSA-N -1 1 320.389 1.989 20 0 DDADMM CCCOc1ccc(OCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765386052 701009204 /nfs/dbraw/zinc/00/92/04/701009204.db2.gz KZPLPENHFMHSIY-UHFFFAOYSA-N -1 1 321.333 1.019 20 0 DDADMM Cn1[n-]c(COC(=O)CCOc2ccccc2Cl)nc1=O ZINC000765436168 701012655 /nfs/dbraw/zinc/01/26/55/701012655.db2.gz XERVOLSYLDDKKK-UHFFFAOYSA-N -1 1 311.725 1.274 20 0 DDADMM Cn1nc(COC(=O)c2c(Cl)c3ccccc3n2C)[n-]c1=O ZINC000765439363 701012855 /nfs/dbraw/zinc/01/28/55/701012855.db2.gz GCVNAVQUDRFCRH-UHFFFAOYSA-N -1 1 320.736 1.611 20 0 DDADMM CCc1cccc2c(CC(=O)OCc3nc(=O)n(C)[n-]3)c[nH]c21 ZINC000765474186 701014484 /nfs/dbraw/zinc/01/44/84/701014484.db2.gz RUJFCAXCRZIJLB-UHFFFAOYSA-N -1 1 314.345 1.438 20 0 DDADMM Cn1[n-]c(COC(=O)C[C@@H]2CCCc3ccccc32)nc1=O ZINC000765473973 701014547 /nfs/dbraw/zinc/01/45/47/701014547.db2.gz QNOUIKPODXSEAQ-LBPRGKRZSA-N -1 1 301.346 1.662 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc(C(F)(F)F)c2N)nc1=O ZINC000765483692 701015114 /nfs/dbraw/zinc/01/51/14/701015114.db2.gz WJRJEBLMDGZWCB-UHFFFAOYSA-N -1 1 316.239 1.066 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2C[C@@]23CCCc2ccccc23)nc1=O ZINC000765486174 701015476 /nfs/dbraw/zinc/01/54/76/701015476.db2.gz GFBQYYPJAROFSG-SUMWQHHRSA-N -1 1 313.357 1.446 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2cnc(C)nc2N)c1 ZINC000767412095 701105188 /nfs/dbraw/zinc/10/51/88/701105188.db2.gz ULORQARMAIWBTH-UHFFFAOYSA-N -1 1 315.329 1.675 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H](O)c2cccnc2)c1 ZINC000803954232 701158477 /nfs/dbraw/zinc/15/84/77/701158477.db2.gz MBLVPMBKKAXUQB-MRXNPFEDSA-N -1 1 315.325 1.809 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)Cc1cc(Cl)ccc1F ZINC000769906315 701260673 /nfs/dbraw/zinc/26/06/73/701260673.db2.gz KXRIUXXIXMHSGA-UHFFFAOYSA-N -1 1 319.745 1.790 20 0 DDADMM CC(C)[C@](C)(O)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000770557263 701283912 /nfs/dbraw/zinc/28/39/12/701283912.db2.gz OYHWSMOWDXMQIS-INIZCTEOSA-N -1 1 306.314 1.949 20 0 DDADMM O=C([C@@H]1CCCc2sccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000771468688 701320829 /nfs/dbraw/zinc/32/08/29/701320829.db2.gz AUVIAHRHBJYUHV-MNOVXSKESA-N -1 1 319.390 1.281 20 0 DDADMM O=C(OCc1nnc(-c2ccc(Cl)cc2)o1)c1cn[n-]n1 ZINC000805607531 701399464 /nfs/dbraw/zinc/39/94/64/701399464.db2.gz WYHNMKAKOINDRO-UHFFFAOYSA-N -1 1 305.681 1.865 20 0 DDADMM CO[C@@H]1CCCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000806490127 701437716 /nfs/dbraw/zinc/43/77/16/701437716.db2.gz OANVPOUFZFJAKC-LLVKDONJSA-N -1 1 303.366 1.375 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Nc3ccn(CC(F)F)n3)ccnc1-2 ZINC000806490380 701437922 /nfs/dbraw/zinc/43/79/22/701437922.db2.gz GUVRLZUHPHJAOA-UHFFFAOYSA-N -1 1 321.291 1.447 20 0 DDADMM O=c1cc(/C=C/c2cn(-c3ccccc3)nn2)nc2nc[n-]n21 ZINC000806570627 701441669 /nfs/dbraw/zinc/44/16/69/701441669.db2.gz FTVWABCZBKLWST-VOTSOKGWSA-N -1 1 305.301 1.169 20 0 DDADMM Cc1nnc([C@@H](C)N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)o1 ZINC000810067775 701719813 /nfs/dbraw/zinc/71/98/13/701719813.db2.gz BFVCKPVEUKEYIW-PSASIEDQSA-N -1 1 320.315 1.830 20 0 DDADMM O=C(CCc1cncs1)OCCC[N-]C(=O)C(F)(F)F ZINC000814589148 701727395 /nfs/dbraw/zinc/72/73/95/701727395.db2.gz MJUVDGVVOBCXQN-UHFFFAOYSA-N -1 1 310.297 1.688 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])[C@@H]1C[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000879182907 706609064 /nfs/dbraw/zinc/60/90/64/706609064.db2.gz IIICZIYWUZYGLT-UIKWRGBMSA-N -1 1 313.350 1.826 20 0 DDADMM CC(C)[C@@H](CCc1ccccc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000815033553 701786237 /nfs/dbraw/zinc/78/62/37/701786237.db2.gz LETKTNDUJQFVLS-CYBMUJFWSA-N -1 1 303.362 1.474 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N[C@H](CO)C1CC1)c2=O ZINC000839726180 701799017 /nfs/dbraw/zinc/79/90/17/701799017.db2.gz VHTMBARYLYXQSC-CQSZACIVSA-N -1 1 302.330 1.037 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)c1cc[nH]c(=O)c1Br ZINC000836900084 707545556 /nfs/dbraw/zinc/54/55/56/707545556.db2.gz REOLNAWWAJSGAF-QMMMGPOBSA-N -1 1 319.155 1.228 20 0 DDADMM COCc1ccc(COC(=O)c2cc(=O)[n-]c(N(C)C)n2)cc1 ZINC000815240929 701829209 /nfs/dbraw/zinc/82/92/09/701829209.db2.gz RYFFCYPYSIGMLF-UHFFFAOYSA-N -1 1 317.345 1.752 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC[C@@H](O)C2CCCC2)sn1 ZINC000867931846 701838970 /nfs/dbraw/zinc/83/89/70/701838970.db2.gz RDCHFWBMMOPIAM-LLVKDONJSA-N -1 1 304.437 1.671 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1(C)C ZINC000831039071 706625301 /nfs/dbraw/zinc/62/53/01/706625301.db2.gz SWUITCTXEJOWES-FTLITQJKSA-N -1 1 307.803 1.401 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@@H]2CSC[C@H]2C1 ZINC000866152644 706633730 /nfs/dbraw/zinc/63/37/30/706633730.db2.gz ZQYZZIZIWKOUTC-GHMZBOCLSA-N -1 1 315.398 1.748 20 0 DDADMM CC[C@](O)(CC(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccc1 ZINC000840423154 702104814 /nfs/dbraw/zinc/10/48/14/702104814.db2.gz YYTDSXNHRKETPA-LRDDRELGSA-N -1 1 317.393 1.450 20 0 DDADMM Cc1ncoc1C(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000812278889 702134681 /nfs/dbraw/zinc/13/46/81/702134681.db2.gz JKSUQLMFIJFOOC-VIFPVBQESA-N -1 1 319.283 1.514 20 0 DDADMM CC(C)CCO[C@@H](C)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831149365 706644520 /nfs/dbraw/zinc/64/45/20/706644520.db2.gz NIOJHJXGMHMCIK-JTQLQIEISA-N -1 1 324.343 1.575 20 0 DDADMM Cc1ccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cc1 ZINC000831162660 706647234 /nfs/dbraw/zinc/64/72/34/706647234.db2.gz VOJSLBDFTDBMJV-UHFFFAOYSA-N -1 1 300.280 1.746 20 0 DDADMM CO[C@@]1(C)C[C@H]1NC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868788834 702320831 /nfs/dbraw/zinc/32/08/31/702320831.db2.gz AFDLFYQZSFKWNO-ZHAHWJHGSA-N -1 1 323.315 1.016 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000868817839 702334363 /nfs/dbraw/zinc/33/43/63/702334363.db2.gz MYYIAPCJLWWKQV-AUTRQRHGSA-N -1 1 323.315 1.016 20 0 DDADMM CC[C@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@H](CC)O1 ZINC000817315837 702338247 /nfs/dbraw/zinc/33/82/47/702338247.db2.gz HXLJKZVYKHTKOJ-RYUDHWBXSA-N -1 1 317.393 1.763 20 0 DDADMM CN(C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CCCC[C@H]1O ZINC000879416742 706674382 /nfs/dbraw/zinc/67/43/82/706674382.db2.gz YBOCFWANVZIOOP-CHWSQXEVSA-N -1 1 303.366 1.109 20 0 DDADMM COc1ccc2ccc(C(=O)OCc3nc(=O)n(C)[n-]3)cc2c1 ZINC000814501018 702534591 /nfs/dbraw/zinc/53/45/91/702534591.db2.gz QLTAIQNNIGXWQQ-UHFFFAOYSA-N -1 1 313.313 1.627 20 0 DDADMM C[C@H]1CCC[C@@H]1CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869475640 702618651 /nfs/dbraw/zinc/61/86/51/702618651.db2.gz TWPATXHDUCBHPE-VHSXEESVSA-N -1 1 323.311 1.994 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869476525 702619174 /nfs/dbraw/zinc/61/91/74/702619174.db2.gz DNPKFLNYKYOISP-VHSXEESVSA-N -1 1 309.284 1.459 20 0 DDADMM CCOCCC[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000866387096 706687198 /nfs/dbraw/zinc/68/71/98/706687198.db2.gz MLHCGKIEZUEEIE-IBGZPJMESA-N -1 1 320.436 1.404 20 0 DDADMM Cn1cc(N2CCC[C@@H](O[N-]C(=O)CC(C)(C)C)C2=O)cn1 ZINC000842062760 702665558 /nfs/dbraw/zinc/66/55/58/702665558.db2.gz AJPWKCWXNGNWGX-GFCCVEGCSA-N -1 1 308.382 1.400 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H](CC(C)C)OC)co1 ZINC000842084203 702669116 /nfs/dbraw/zinc/66/91/16/702669116.db2.gz BIUKSNHXWKVCFK-LLVKDONJSA-N -1 1 319.379 1.406 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(COC)c(F)c1)c1nn[n-]n1 ZINC000866421357 706694703 /nfs/dbraw/zinc/69/47/03/706694703.db2.gz ZMOHPFPGLHLCFA-GFCCVEGCSA-N -1 1 307.329 1.756 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)/C=C\C2CCCC2)CCC1 ZINC000843013545 702803287 /nfs/dbraw/zinc/80/32/87/702803287.db2.gz FLRMDNZJZMUEDM-HJWRWDBZSA-N -1 1 314.451 1.663 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@H]2CCSC2)c1C(F)(F)F ZINC000866886181 706835501 /nfs/dbraw/zinc/83/55/01/706835501.db2.gz SJDKOALWYMPLTP-LURJTMIESA-N -1 1 315.342 1.223 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCC[C@H]1C1CCC1 ZINC000844123298 702975245 /nfs/dbraw/zinc/97/52/45/702975245.db2.gz BXRJEMIFOZCZNZ-ZDUSSCGKSA-N -1 1 305.378 1.108 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2CCCC(F)(F)C2)cc1 ZINC000844376251 703022651 /nfs/dbraw/zinc/02/26/51/703022651.db2.gz XUGGSCYEXHLKKT-UHFFFAOYSA-N -1 1 312.316 1.683 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1ccc(C)s1 ZINC000866515878 706718494 /nfs/dbraw/zinc/71/84/94/706718494.db2.gz KVJJHCIJAZTFPZ-UHFFFAOYSA-N -1 1 310.466 1.899 20 0 DDADMM O=C([O-])[C@H](Cc1ccncc1)NC(=O)c1[nH]nc2c1CCCC2 ZINC000848457263 703552618 /nfs/dbraw/zinc/55/26/18/703552618.db2.gz XFLOKMXWUWXXEP-ZDUSSCGKSA-N -1 1 314.345 1.109 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2COC3(CCC3)C2)o1 ZINC000849450252 703649542 /nfs/dbraw/zinc/64/95/42/703649542.db2.gz WCUULPXRXLJCJI-VIFPVBQESA-N -1 1 315.347 1.056 20 0 DDADMM O=S(=O)([N-][C@@H]1COC2(CCC2)C1)c1cccc(F)c1F ZINC000849449644 703649624 /nfs/dbraw/zinc/64/96/24/703649624.db2.gz KYWSGERPFMJSGY-VIFPVBQESA-N -1 1 303.330 1.955 20 0 DDADMM CCc1nnc([C@H](C)OC(=O)C(C)(C)[N-]C(=O)C(F)(F)F)[nH]1 ZINC000849765529 703675970 /nfs/dbraw/zinc/67/59/70/703675970.db2.gz SUUXSPYGOYDRBG-LURJTMIESA-N -1 1 322.287 1.428 20 0 DDADMM CON(C(=O)CCc1nn[n-]n1)[C@@H]1CCCOc2ccccc21 ZINC000866669726 706759334 /nfs/dbraw/zinc/75/93/34/706759334.db2.gz LIXOOMANXYUGSM-GFCCVEGCSA-N -1 1 317.349 1.436 20 0 DDADMM CCC(C)(C)[C@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000850584678 703737116 /nfs/dbraw/zinc/73/71/16/703737116.db2.gz PHWJOHMKQZDUGO-JTQLQIEISA-N -1 1 317.393 1.221 20 0 DDADMM COc1cccc(C(C)(C)C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000870024951 703896384 /nfs/dbraw/zinc/89/63/84/703896384.db2.gz HURFXCCCKVCABI-UHFFFAOYSA-N -1 1 305.334 1.138 20 0 DDADMM CC(C)(O)C(=O)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000870162187 703939683 /nfs/dbraw/zinc/93/96/83/703939683.db2.gz JGFCCGGHZZTURC-UHFFFAOYSA-N -1 1 312.797 1.461 20 0 DDADMM C[C@H](C(=O)NC(C)(C)C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763510 706784490 /nfs/dbraw/zinc/78/44/90/706784490.db2.gz ODUQQIFFBJSICW-RNCFNFMXSA-N -1 1 323.359 1.433 20 0 DDADMM CC(C)(C)OC(=O)CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879762707 706783753 /nfs/dbraw/zinc/78/37/53/706783753.db2.gz ILZZFVGTGQQAPB-GFCCVEGCSA-N -1 1 310.316 1.471 20 0 DDADMM CC[C@H](C)C[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000852736890 704109873 /nfs/dbraw/zinc/10/98/73/704109873.db2.gz PFNNWDCZXUYQOP-LPEHRKFASA-N -1 1 310.316 1.629 20 0 DDADMM O=C(CCCn1cccn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000819965556 704193576 /nfs/dbraw/zinc/19/35/76/704193576.db2.gz CQGAYOQVPHGUDS-NSHDSACASA-N -1 1 305.338 1.158 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C1C[C@H](C)O[C@@H](C)C1 ZINC000867042038 706880087 /nfs/dbraw/zinc/88/00/87/706880087.db2.gz XPVFVMMOOGZBPQ-UWVGGRQHSA-N -1 1 312.457 1.285 20 0 DDADMM Nc1n[nH]c(C2CCN(C(=O)c3cc(Cl)ccc3[O-])CC2)n1 ZINC000854503947 704409198 /nfs/dbraw/zinc/40/91/98/704409198.db2.gz QCIWBAFXQRTLQB-UHFFFAOYSA-N -1 1 321.768 1.766 20 0 DDADMM Nc1n[nH]c(C2CCN(C(=O)c3c([O-])cccc3Cl)CC2)n1 ZINC000854505514 704409503 /nfs/dbraw/zinc/40/95/03/704409503.db2.gz YNPOJTSJVAAZEQ-UHFFFAOYSA-N -1 1 321.768 1.766 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCO[C@@H]1CCCCO1 ZINC000854551354 704416915 /nfs/dbraw/zinc/41/69/15/704416915.db2.gz HXZWTHMVJWDIQT-GFCCVEGCSA-N -1 1 323.393 1.887 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CC1CCN(OC)CC1 ZINC000855134833 704470252 /nfs/dbraw/zinc/47/02/52/704470252.db2.gz AULCSVKHLZRKPR-UHFFFAOYSA-N -1 1 302.371 1.039 20 0 DDADMM C[C@H]1COC2(CCC2)CN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000855519974 704490472 /nfs/dbraw/zinc/49/04/72/704490472.db2.gz AVNZVMIFVXDXPU-JTQLQIEISA-N -1 1 318.402 1.802 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2c([O-])cccc2Cl)CS1(=O)=O ZINC000855704035 704498504 /nfs/dbraw/zinc/49/85/04/704498504.db2.gz RQWDVQARWLOJBY-IUCAKERBSA-N -1 1 317.794 1.741 20 0 DDADMM CO[C@H](C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000856415571 704527973 /nfs/dbraw/zinc/52/79/73/704527973.db2.gz GSKCWJOKOYHENK-MIMYLULJSA-N -1 1 322.327 1.327 20 0 DDADMM C[C@@H]1CCN(C(=O)COC(C)(C)C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856417101 704528028 /nfs/dbraw/zinc/52/80/28/704528028.db2.gz GVIWKDFVCROXFB-ZJUUUORDSA-N -1 1 324.343 1.717 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3COC[C@@H]3c3ccccc3)ccnc1-2 ZINC000857614703 704607728 /nfs/dbraw/zinc/60/77/28/704607728.db2.gz JDJHGZBXZBUFBU-HUUCEWRRSA-N -1 1 322.368 1.711 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCC[C@H]1O)c1ccc(F)nc1F ZINC000866860672 706828607 /nfs/dbraw/zinc/82/86/07/706828607.db2.gz ZWYWDSXRYOWZON-DTWKUNHWSA-N -1 1 306.334 1.189 20 0 DDADMM COCCO[C@@H]1COCC[C@@H]1Nc1cc(Cl)[n-]c(=O)n1 ZINC000858488696 704717519 /nfs/dbraw/zinc/71/75/19/704717519.db2.gz KEAZYCGPMUZJRA-DTWKUNHWSA-N -1 1 303.746 1.068 20 0 DDADMM CC[C@H]1CN(c2cc(Cl)[n-]c(=O)n2)C[C@H](C)S1(=O)=O ZINC000858546749 704725341 /nfs/dbraw/zinc/72/53/41/704725341.db2.gz ZRKXYEIGACZBQM-YUMQZZPRSA-N -1 1 305.787 1.238 20 0 DDADMM CN(Cc1ccc2cc[nH]c2c1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000873646574 704815946 /nfs/dbraw/zinc/81/59/46/704815946.db2.gz LQRJKFQRKTVNFL-AWEZNQCLSA-N -1 1 301.346 1.285 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(F)c2ncccc2c1)c1nn[n-]n1 ZINC000821895651 704837798 /nfs/dbraw/zinc/83/77/98/704837798.db2.gz WROUITAAWOLTAC-VIFPVBQESA-N -1 1 314.324 1.763 20 0 DDADMM COC(=O)C1=CC[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC000859287826 704850033 /nfs/dbraw/zinc/85/00/33/704850033.db2.gz QTUKWABJFMGWOS-GFCCVEGCSA-N -1 1 321.354 1.973 20 0 DDADMM Cc1cc(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)ccc1Cl ZINC000822183609 704892309 /nfs/dbraw/zinc/89/23/09/704892309.db2.gz IVRSAOQNCXGZLY-UHFFFAOYSA-N -1 1 317.740 1.814 20 0 DDADMM Cc1cc(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)ccc1Cl ZINC000822183609 704892314 /nfs/dbraw/zinc/89/23/14/704892314.db2.gz IVRSAOQNCXGZLY-UHFFFAOYSA-N -1 1 317.740 1.814 20 0 DDADMM C[C@@H](Cc1ccccc1Cl)NC(=O)CCCc1nn[n-]n1 ZINC000874044375 704925011 /nfs/dbraw/zinc/92/50/11/704925011.db2.gz BLMHQJNPTWIWDN-JTQLQIEISA-N -1 1 307.785 1.923 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2nc[nH]c2Br)CC=CCC1 ZINC000867389662 706984532 /nfs/dbraw/zinc/98/45/32/706984532.db2.gz WTXKXTJPRFMOCT-SNVBAGLBSA-N -1 1 320.212 1.949 20 0 DDADMM CCOC(=O)Cn1cnc([N-]C(=O)c2csc(Cl)n2)n1 ZINC000822438379 704947790 /nfs/dbraw/zinc/94/77/90/704947790.db2.gz HVLYTZAENIUONM-UHFFFAOYSA-N -1 1 315.742 1.203 20 0 DDADMM CCc1noc(COCC(=O)Nc2cc([O-])c(F)cc2F)n1 ZINC000822543600 704971537 /nfs/dbraw/zinc/97/15/37/704971537.db2.gz XWXQKSOKXATEPU-UHFFFAOYSA-N -1 1 313.260 1.771 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@H]2CC[C@H](C3CC3)O2)[n-]1 ZINC000822547059 704972033 /nfs/dbraw/zinc/97/20/33/704972033.db2.gz FZCUUWQZJJSFBW-ZYHUDNBSSA-N -1 1 307.350 1.873 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@H]2CC[C@H](C3CC3)O2)n1 ZINC000822547059 704972037 /nfs/dbraw/zinc/97/20/37/704972037.db2.gz FZCUUWQZJJSFBW-ZYHUDNBSSA-N -1 1 307.350 1.873 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H]1CCC[C@H]2C[C@H]21 ZINC000867514428 707024624 /nfs/dbraw/zinc/02/46/24/707024624.db2.gz AGSQCUFINRJEIS-SDDRHHMPSA-N -1 1 306.453 1.519 20 0 DDADMM Cc1ccccc1CO[C@H](C)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000859876674 705027002 /nfs/dbraw/zinc/02/70/02/705027002.db2.gz MFGZOWUMANRPDS-LLVKDONJSA-N -1 1 305.334 1.065 20 0 DDADMM O=C([N-]CCCOC(=O)c1cnn(C2CC2)c1)C(F)(F)F ZINC000859892201 705032275 /nfs/dbraw/zinc/03/22/75/705032275.db2.gz RZAIYGPZERFETB-UHFFFAOYSA-N -1 1 305.256 1.443 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)[C@H]2C[C@H](C)Cc3c[nH]nc32)C1 ZINC000902322580 710716427 /nfs/dbraw/zinc/71/64/27/710716427.db2.gz LUSMUNVLSZONTE-XAGWURHQSA-N -1 1 321.377 1.025 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CSC(F)F)n[n-]1 ZINC000880667673 707052545 /nfs/dbraw/zinc/05/25/45/707052545.db2.gz ZQEAOABNPIYZMC-RXMQYKEDSA-N -1 1 308.310 1.114 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CSC(F)F)[n-]1 ZINC000880667673 707052548 /nfs/dbraw/zinc/05/25/48/707052548.db2.gz ZQEAOABNPIYZMC-RXMQYKEDSA-N -1 1 308.310 1.114 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CSC(F)F)n1 ZINC000880667673 707052551 /nfs/dbraw/zinc/05/25/51/707052551.db2.gz ZQEAOABNPIYZMC-RXMQYKEDSA-N -1 1 308.310 1.114 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](C)C(C)(F)F)n[n-]1 ZINC000880667605 707052707 /nfs/dbraw/zinc/05/27/07/707052707.db2.gz XYNJIKHBSBTGKO-RNFRBKRXSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](C)C(C)(F)F)[n-]1 ZINC000880667605 707052709 /nfs/dbraw/zinc/05/27/09/707052709.db2.gz XYNJIKHBSBTGKO-RNFRBKRXSA-N -1 1 304.297 1.450 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](C)C(C)(F)F)n1 ZINC000880667605 707052711 /nfs/dbraw/zinc/05/27/11/707052711.db2.gz XYNJIKHBSBTGKO-RNFRBKRXSA-N -1 1 304.297 1.450 20 0 DDADMM CCC[C@H](CNC(=O)N=c1ccnc2n(C)[n-]cc1-2)OCC ZINC000861027011 705352599 /nfs/dbraw/zinc/35/25/99/705352599.db2.gz SWSOCBDQXKFXPT-LLVKDONJSA-N -1 1 305.382 1.669 20 0 DDADMM COCc1nsc([N-]C(=O)c2c(OC)ccnc2OC)n1 ZINC000875546542 705425517 /nfs/dbraw/zinc/42/55/17/705425517.db2.gz CDOSDKYDMKKNKI-UHFFFAOYSA-N -1 1 310.335 1.349 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCc1ncnn1C(C)C ZINC000861451442 705471735 /nfs/dbraw/zinc/47/17/35/705471735.db2.gz SKADIKKOUOMGEA-UHFFFAOYSA-N -1 1 318.381 1.716 20 0 DDADMM CC1(C)CCc2cccc(NC(=O)NN3CC(=O)[N-]C3=O)c2O1 ZINC000861560958 705503378 /nfs/dbraw/zinc/50/33/78/705503378.db2.gz RNWBJCGRIGBGNF-UHFFFAOYSA-N -1 1 318.333 1.379 20 0 DDADMM CCCOc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1OC ZINC000861664418 705533217 /nfs/dbraw/zinc/53/32/17/705533217.db2.gz AUZMCDGKQLXRMD-UHFFFAOYSA-N -1 1 322.321 1.072 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)[C@@H]1C[C@]1(C)Br ZINC000862152330 705665260 /nfs/dbraw/zinc/66/52/60/705665260.db2.gz BZXMUOURYWBFCK-CPCISQLKSA-N -1 1 308.172 1.549 20 0 DDADMM O=C([N-]CC1CN(C(=O)N[C@H]2CC23CCC3)C1)C(F)(F)F ZINC000876420734 705715164 /nfs/dbraw/zinc/71/51/64/705715164.db2.gz JVJKJZJMPXGFGY-VIFPVBQESA-N -1 1 305.300 1.249 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccc(OC(F)F)cc1F ZINC000825696425 705716526 /nfs/dbraw/zinc/71/65/26/705716526.db2.gz WCLVPXRXBMTHPY-UHFFFAOYSA-N -1 1 315.255 1.189 20 0 DDADMM C[C@@H]1CCCC[C@H]1NC(=O)CN(C)CC(C)(C)CNC(=O)[O-] ZINC000825893732 705742663 /nfs/dbraw/zinc/74/26/63/705742663.db2.gz BKGMGEQMQWVAJG-CHWSQXEVSA-N -1 1 313.442 1.907 20 0 DDADMM CC(=O)c1ccc(NC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)cc1 ZINC000825951274 705750635 /nfs/dbraw/zinc/75/06/35/705750635.db2.gz DORFDMFBUBTXSA-AWEZNQCLSA-N -1 1 306.362 1.917 20 0 DDADMM Cc1ccc(C[C@H](C)C(=O)n2ncc(-c3nn[n-]n3)c2N)cc1 ZINC000826286791 705787143 /nfs/dbraw/zinc/78/71/43/705787143.db2.gz QCCORQRTEHAZFQ-JTQLQIEISA-N -1 1 311.349 1.473 20 0 DDADMM Cc1onc(CC(=O)N([C@@H](C)C(C)C)C2CC2)c1-c1nnn[n-]1 ZINC000826342908 705792888 /nfs/dbraw/zinc/79/28/88/705792888.db2.gz DZTMCKFARHECDX-VIFPVBQESA-N -1 1 318.381 1.741 20 0 DDADMM Cc1onc(CC(=O)N([C@@H](C)C(C)C)C2CC2)c1-c1nn[n-]n1 ZINC000826342908 705792890 /nfs/dbraw/zinc/79/28/90/705792890.db2.gz DZTMCKFARHECDX-VIFPVBQESA-N -1 1 318.381 1.741 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H]2CC(C)C)c1-c1nnn[n-]1 ZINC000826344906 705793948 /nfs/dbraw/zinc/79/39/48/705793948.db2.gz WGHGZJJOPFJPKT-NSHDSACASA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@H]2CC(C)C)c1-c1nn[n-]n1 ZINC000826344906 705793950 /nfs/dbraw/zinc/79/39/50/705793950.db2.gz WGHGZJJOPFJPKT-NSHDSACASA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CC[C@@H](C)C[C@H]2C)c1-c1nnn[n-]1 ZINC000826346488 705794692 /nfs/dbraw/zinc/79/46/92/705794692.db2.gz NUYKEKTYDSOVGW-FXPVBKGRSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CC[C@@H](C)C[C@H]2C)c1-c1nn[n-]n1 ZINC000826346488 705794693 /nfs/dbraw/zinc/79/46/93/705794693.db2.gz NUYKEKTYDSOVGW-FXPVBKGRSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)NCCSC(C)(C)C)c1-c1nnn[n-]1 ZINC000826347744 705794790 /nfs/dbraw/zinc/79/47/90/705794790.db2.gz CWMSHDGHNKDZSG-UHFFFAOYSA-N -1 1 324.410 1.354 20 0 DDADMM Cc1onc(CC(=O)NCCSC(C)(C)C)c1-c1nn[n-]n1 ZINC000826347744 705794792 /nfs/dbraw/zinc/79/47/92/705794792.db2.gz CWMSHDGHNKDZSG-UHFFFAOYSA-N -1 1 324.410 1.354 20 0 DDADMM c1ccc(C[C@@H]2CN(c3cccc(-c4nnn[n-]4)n3)CCO2)cc1 ZINC000826520530 705807194 /nfs/dbraw/zinc/80/71/94/705807194.db2.gz LKLBMPNTNPRVBG-CQSZACIVSA-N -1 1 322.372 1.710 20 0 DDADMM c1ccc(C[C@@H]2CN(c3cccc(-c4nn[n-]n4)n3)CCO2)cc1 ZINC000826520530 705807197 /nfs/dbraw/zinc/80/71/97/705807197.db2.gz LKLBMPNTNPRVBG-CQSZACIVSA-N -1 1 322.372 1.710 20 0 DDADMM CSc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(C)o1 ZINC000826833609 705880941 /nfs/dbraw/zinc/88/09/41/705880941.db2.gz FZQPALIUIANZDP-VIFPVBQESA-N -1 1 309.351 1.037 20 0 DDADMM COc1cnc(F)c([N-]S(=O)(=O)c2cnn(C)c2C)c1 ZINC000863449460 705933022 /nfs/dbraw/zinc/93/30/22/705933022.db2.gz JCBGBLVTALYZOQ-UHFFFAOYSA-N -1 1 300.315 1.072 20 0 DDADMM C[C@@H](CO[N-]C(=O)C1(N(C)C)CC1)NC(=O)OC(C)(C)C ZINC000863902848 706033392 /nfs/dbraw/zinc/03/33/92/706033392.db2.gz VYJCPAZKJMDALE-JTQLQIEISA-N -1 1 301.387 1.042 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H](C(=O)[O-])c1cc(F)ccc1F ZINC000864115836 706071280 /nfs/dbraw/zinc/07/12/80/706071280.db2.gz ZUQACCYGZPVCAZ-AMIZOPFISA-N -1 1 323.299 1.809 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N(CCC(=O)[O-])C1CCOCC1 ZINC000864118256 706072186 /nfs/dbraw/zinc/07/21/86/706072186.db2.gz VHVPJOPLUNZXDM-NSHDSACASA-N -1 1 309.366 1.071 20 0 DDADMM C[C@@H]1[C@@H](Cc2ccccc2)CCN1Cn1cc(C(=O)[O-])nn1 ZINC000828150261 706128613 /nfs/dbraw/zinc/12/86/13/706128613.db2.gz PIGIEGHIXGJACM-TZMCWYRMSA-N -1 1 300.362 1.887 20 0 DDADMM CC(C)C1(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)COC1 ZINC000828680378 706216388 /nfs/dbraw/zinc/21/63/88/706216388.db2.gz LZULEHDPTVUNFQ-LBPRGKRZSA-N -1 1 322.327 1.329 20 0 DDADMM CCCc1nnc(NC(=O)[N-]OC(C)(C)C(=O)OCC)s1 ZINC000836225242 707419815 /nfs/dbraw/zinc/41/98/15/707419815.db2.gz RMGYEFNTECURBY-UHFFFAOYSA-N -1 1 316.383 1.885 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCSC1 ZINC000872456083 707421859 /nfs/dbraw/zinc/42/18/59/707421859.db2.gz BNDONULZHLFRLU-PWJLMRLQSA-N -1 1 300.471 1.223 20 0 DDADMM Cc1cnccc1C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872474878 707433960 /nfs/dbraw/zinc/43/39/60/707433960.db2.gz JPLWRXRVPFBOPR-IBGZPJMESA-N -1 1 319.452 1.621 20 0 DDADMM Cc1ccn(C[C@@H](C)C(=O)Nc2n[n-]c(C(F)(F)F)n2)n1 ZINC000864975122 706316710 /nfs/dbraw/zinc/31/67/10/706316710.db2.gz PWSYDEJRMITFSJ-ZCFIWIBFSA-N -1 1 302.260 1.603 20 0 DDADMM O=C(Cc1ccc(-n2ccnc2)cc1)[N-]O[C@@H]1CCCCO1 ZINC000865082588 706344426 /nfs/dbraw/zinc/34/44/26/706344426.db2.gz VGPGTTSZFPFSJS-MRXNPFEDSA-N -1 1 301.346 1.989 20 0 DDADMM O=C(Cc1ccc(-n2ccnc2)cc1)[N-]O[C@H]1CCCCO1 ZINC000865082587 706344492 /nfs/dbraw/zinc/34/44/92/706344492.db2.gz VGPGTTSZFPFSJS-INIZCTEOSA-N -1 1 301.346 1.989 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCCN1CCOC1=O ZINC000865153220 706365300 /nfs/dbraw/zinc/36/53/00/706365300.db2.gz PLLOZJRVOFICJR-UHFFFAOYSA-N -1 1 312.753 1.547 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cccnc1F)[C@H](O)C(F)(F)F ZINC000882029462 707472072 /nfs/dbraw/zinc/47/20/72/707472072.db2.gz IJGHTBRUEBARDW-SVRRBLITSA-N -1 1 316.276 1.201 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1c[nH]nc1Cl)Oc1ccccc1 ZINC000830520045 706529425 /nfs/dbraw/zinc/52/94/25/706529425.db2.gz OFIFNHBNCUNGRQ-SECBINFHSA-N -1 1 315.782 1.809 20 0 DDADMM CC(C)c1cc(C[N-]S(=O)(=O)c2c[nH]nc2Cl)on1 ZINC000830525365 706530905 /nfs/dbraw/zinc/53/09/05/706530905.db2.gz ZFXQMJBPLSWDJW-UHFFFAOYSA-N -1 1 304.759 1.653 20 0 DDADMM CN(C)S(=O)(=O)Nc1nc(-c2c[nH]c(C(=O)[O-])c2)cs1 ZINC000830623631 706547510 /nfs/dbraw/zinc/54/75/10/706547510.db2.gz GYTSXKHQMVQRRM-UHFFFAOYSA-N -1 1 316.364 1.055 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1c[nH]nc1Cl)c1ccccc1 ZINC000830745367 706573118 /nfs/dbraw/zinc/57/31/18/706573118.db2.gz OTSTVYQPKXGPCF-SNVBAGLBSA-N -1 1 315.782 1.729 20 0 DDADMM CCOC1(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CCC1 ZINC000830790462 706580299 /nfs/dbraw/zinc/58/02/99/706580299.db2.gz VPKWGJHQEKVTJR-LBPRGKRZSA-N -1 1 322.327 1.615 20 0 DDADMM COCCO[N-]C(=O)[C@@H]1CO[C@@H](CCC(=O)OC(C)(C)C)C1 ZINC000879087964 706584738 /nfs/dbraw/zinc/58/47/38/706584738.db2.gz QIGZZRXVGRRNJA-RYUDHWBXSA-N -1 1 317.382 1.208 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CC23CCC3)C1 ZINC000830816449 706585649 /nfs/dbraw/zinc/58/56/49/706585649.db2.gz PDYKVRRJWQKXGL-JOYOIKCWSA-N -1 1 304.312 1.846 20 0 DDADMM CC(C)COCCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830832801 706589549 /nfs/dbraw/zinc/58/95/49/706589549.db2.gz JFQORZJDHDWIBK-ZDUSSCGKSA-N -1 1 324.343 1.719 20 0 DDADMM CC1(C[N-]S(=O)(=O)N=[S@](C)(=O)c2ccccc2F)CC1 ZINC000866914530 706843607 /nfs/dbraw/zinc/84/36/07/706843607.db2.gz YBAFYYSFBVLAPD-LJQANCHMSA-N -1 1 320.411 1.917 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](C)c1ccncc1 ZINC000866922975 706845730 /nfs/dbraw/zinc/84/57/30/706845730.db2.gz KTBHNHHCFSYRMD-MLCYQJTMSA-N -1 1 305.425 1.137 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2ccc(F)nc2F)CCC1 ZINC000866928750 706847040 /nfs/dbraw/zinc/84/70/40/706847040.db2.gz PJRRYSXZFKLCSB-UHFFFAOYSA-N -1 1 320.317 1.124 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cc(C)cc(OC)c1 ZINC000866998558 706868078 /nfs/dbraw/zinc/86/80/78/706868078.db2.gz NMBAVTVJRKUQBR-LJQANCHMSA-N -1 1 320.436 1.456 20 0 DDADMM COc1cc2c(cc1OC)[C@H](C)N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000880090476 706876344 /nfs/dbraw/zinc/87/63/44/706876344.db2.gz RYLFLVILSKUDDJ-JTQLQIEISA-N -1 1 318.377 1.245 20 0 DDADMM CC(=O)N1CCC[C@@H]1c1noc(-c2cc3c(cc2[O-])OCO3)n1 ZINC000867084780 706892159 /nfs/dbraw/zinc/89/21/59/706892159.db2.gz VUUSCHXREODANC-SNVBAGLBSA-N -1 1 317.301 1.854 20 0 DDADMM CCOC[C@H](C)[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000867130913 706906074 /nfs/dbraw/zinc/90/60/74/706906074.db2.gz POPPIHXFERSOMG-JEOXALJRSA-N -1 1 320.436 1.403 20 0 DDADMM Cc1ccc2nc(CNC(=O)N(CC(=O)[O-])C(C)C)[nH]c2c1 ZINC000909004595 712913866 /nfs/dbraw/zinc/91/38/66/712913866.db2.gz AHVVEUBPIFFGLM-UHFFFAOYSA-N -1 1 304.350 1.876 20 0 DDADMM CCc1ncc(C[N-]S(=O)(=O)c2cnc(Cl)c(F)c2)o1 ZINC000832494246 706925998 /nfs/dbraw/zinc/92/59/98/706925998.db2.gz KEVMONBOTDQVFR-UHFFFAOYSA-N -1 1 319.745 1.903 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCCC12CCOCC2 ZINC000867232055 706933109 /nfs/dbraw/zinc/93/31/09/706933109.db2.gz HYQXUAUVEZBQKB-JEOXALJRSA-N -1 1 324.468 1.288 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)Cc2ccc(F)cc2Cl)C(=O)O1 ZINC000867269909 706942879 /nfs/dbraw/zinc/94/28/79/706942879.db2.gz MRXNDFSWYULSAH-CPCISQLKSA-N -1 1 321.757 1.603 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]C[C@@H](c1ccccc1)C1CC1 ZINC000867302712 706952892 /nfs/dbraw/zinc/95/28/92/706952892.db2.gz SXJBJSFRIJIBQH-ZDUSSCGKSA-N -1 1 316.448 1.742 20 0 DDADMM COc1csc(C(=O)NCc2cc(=O)[n-]c(SC)n2)c1 ZINC000880653291 707048620 /nfs/dbraw/zinc/04/86/20/707048620.db2.gz SKZGADSOWBIXGE-UHFFFAOYSA-N -1 1 311.388 1.904 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)[C@H]1C ZINC000867697831 707077397 /nfs/dbraw/zinc/07/73/97/707077397.db2.gz PBLYNUUWOSMVRZ-GXSJLCMTSA-N -1 1 311.765 1.998 20 0 DDADMM Cc1nnc2n1C[C@@H](C(=O)NCc1ccc([O-])c(Cl)c1)CC2 ZINC000834829514 707132676 /nfs/dbraw/zinc/13/26/76/707132676.db2.gz WPMBFCRWRJYZTM-NSHDSACASA-N -1 1 320.780 1.824 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C1C[C@@H]2COC[C@H](C1)C2=O ZINC000834829359 707132714 /nfs/dbraw/zinc/13/27/14/707132714.db2.gz UXFBJIRZOUHACB-YOGCLGLASA-N -1 1 323.776 1.904 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C1(C(=O)NC2CC2)CC1 ZINC000834829029 707132865 /nfs/dbraw/zinc/13/28/65/707132865.db2.gz IOYUDRJZSQAPII-UHFFFAOYSA-N -1 1 308.765 1.721 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)Nc1ccc(/C=C\C(=O)[O-])cc1 ZINC000909098776 712936604 /nfs/dbraw/zinc/93/66/04/712936604.db2.gz NDILRQPBLLEKMX-IRBQFCFKSA-N -1 1 318.373 1.691 20 0 DDADMM CN(Cc1ccc(C(=O)[O-])cc1)C(=O)CCCCc1cn[nH]n1 ZINC000909135100 712943400 /nfs/dbraw/zinc/94/34/00/712943400.db2.gz ZEPWZEQDGGJKGF-UHFFFAOYSA-N -1 1 316.361 1.874 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCC1(O)CCC1 ZINC000872486217 707439895 /nfs/dbraw/zinc/43/98/95/707439895.db2.gz ZMCFZBXEXKSHPK-SFHVURJKSA-N -1 1 312.457 1.022 20 0 DDADMM O=C([O-])C12CC(C1)CN2C(=O)NCc1ccc2cncn2c1 ZINC000909172452 712953433 /nfs/dbraw/zinc/95/34/33/712953433.db2.gz SNRDIEOAKUXGJS-UHFFFAOYSA-N -1 1 300.318 1.093 20 0 DDADMM CC[C@H](C)N(CC(=O)[O-])C(=O)NCc1ccc2cncn2c1 ZINC000909172932 712953644 /nfs/dbraw/zinc/95/36/44/712953644.db2.gz WZRCFKMWRHGCJW-NSHDSACASA-N -1 1 304.350 1.729 20 0 DDADMM O=S(=O)([N-]CCO[C@H]1CCCCO1)c1ccc(F)nc1F ZINC000882212263 707540740 /nfs/dbraw/zinc/54/07/40/707540740.db2.gz OSHVRFCPNHYVGU-NSHDSACASA-N -1 1 322.333 1.181 20 0 DDADMM CC[C@@H](C)C[C@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OC ZINC000882298217 707575149 /nfs/dbraw/zinc/57/51/49/707575149.db2.gz DXBQXBQSCRPCSE-ZJUUUORDSA-N -1 1 318.370 1.477 20 0 DDADMM CC1(C)[C@@H](C(=O)[O-])[C@@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000872931290 707659683 /nfs/dbraw/zinc/65/96/83/707659683.db2.gz ZYHMGJAPISPHCJ-VXGBXAGGSA-N -1 1 305.309 1.675 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)CCc1cnc[nH]1)Cc1cccc(F)c1 ZINC000909237058 712970461 /nfs/dbraw/zinc/97/04/61/712970461.db2.gz XJDICEPTJPELGC-GFCCVEGCSA-N -1 1 319.336 1.541 20 0 DDADMM Cn1ncc(CCCNC(=O)NCc2ccc([O-])c(Cl)c2)n1 ZINC000873023601 707698445 /nfs/dbraw/zinc/69/84/45/707698445.db2.gz PCBQEJWZLHBMTL-UHFFFAOYSA-N -1 1 323.784 1.606 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@H](CF)C2)c1 ZINC000882598699 707711969 /nfs/dbraw/zinc/71/19/69/707711969.db2.gz JUFGKJOTECAXHA-JTQLQIEISA-N -1 1 303.355 1.771 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H](O)c2cnn(C)c2)c1 ZINC000837727389 707728366 /nfs/dbraw/zinc/72/83/66/707728366.db2.gz HXUDEMWSSZMJRG-OAHLLOKOSA-N -1 1 318.329 1.148 20 0 DDADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]c1ccc(Cl)cc1 ZINC000882661122 707737150 /nfs/dbraw/zinc/73/71/50/707737150.db2.gz UMHKHZKCPHAESJ-QGZVFWFLSA-N -1 1 311.816 1.571 20 0 DDADMM CS(=O)(=O)[C@H]1C[C@H](NC(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000882666870 707738942 /nfs/dbraw/zinc/73/89/42/707738942.db2.gz REGCHMJPSISJFF-MGCOHNPYSA-N -1 1 317.794 1.280 20 0 DDADMM CCN1CC[C@@H]1C[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000882764035 707780196 /nfs/dbraw/zinc/78/01/96/707780196.db2.gz HXUMHSOTHVNJDH-SECBINFHSA-N -1 1 324.233 1.761 20 0 DDADMM CCN1CC[C@@H]1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000882767434 707784386 /nfs/dbraw/zinc/78/43/86/707784386.db2.gz NSKIIZYKMRYFPA-MRVPVSSYSA-N -1 1 308.325 1.476 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@H]2CC23CCC3)C1 ZINC000873267238 707791365 /nfs/dbraw/zinc/79/13/65/707791365.db2.gz AEOCYQCOXDJLFP-JOYOIKCWSA-N -1 1 319.327 1.782 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C2CCC3(COC3)CC2)sc1C ZINC000882823881 707810173 /nfs/dbraw/zinc/81/01/73/707810173.db2.gz XFZAJBNQDOKNPS-UHFFFAOYSA-N -1 1 316.448 1.997 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC000909300610 712985856 /nfs/dbraw/zinc/98/58/56/712985856.db2.gz RYEZSUSQXSHZSW-OAHLLOKOSA-N -1 1 300.318 1.409 20 0 DDADMM Cn1[n-]c(COC(=O)C[C@@H]2CSc3ccccc3O2)nc1=O ZINC000838263971 707882012 /nfs/dbraw/zinc/88/20/12/707882012.db2.gz KOZNDCVVJZKMSK-SECBINFHSA-N -1 1 321.358 1.095 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H]2C[C@@H](OC(C)C)C2)co1 ZINC000838985289 708010071 /nfs/dbraw/zinc/01/00/71/708010071.db2.gz UVZCTDGEHMYVPJ-PHIMTYICSA-N -1 1 317.363 1.301 20 0 DDADMM CCCCC[C@H](NC(=O)[C@H](C)CN1CCOCC1)C(=O)[O-] ZINC000909342743 712996050 /nfs/dbraw/zinc/99/60/50/712996050.db2.gz OOSLBPGAEWRZMX-OLZOCXBDSA-N -1 1 300.399 1.104 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)c1cccn1C ZINC000839067291 708021624 /nfs/dbraw/zinc/02/16/24/708021624.db2.gz SPDSYMHQHPRTHC-UHFFFAOYSA-N -1 1 315.439 1.342 20 0 DDADMM C[C@@H]1CC(=O)NCCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896680810 708117443 /nfs/dbraw/zinc/11/74/43/708117443.db2.gz IXDLXRNBKRXMBB-SNVBAGLBSA-N -1 1 314.341 1.602 20 0 DDADMM O=C([C@@H]1CCOC2(CCC2)C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000897161367 708241863 /nfs/dbraw/zinc/24/18/63/708241863.db2.gz GIFMDYCHWYCVQE-VXGBXAGGSA-N -1 1 305.382 1.255 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(CCCCF)no2)o1 ZINC000897213730 708255210 /nfs/dbraw/zinc/25/52/10/708255210.db2.gz OIAXIAWFJNBEPG-UHFFFAOYSA-N -1 1 303.315 1.530 20 0 DDADMM O=c1nc(N2CCOC[C@@H]2CC2CCOCC2)cc(Cl)[n-]1 ZINC000897398372 708315833 /nfs/dbraw/zinc/31/58/33/708315833.db2.gz BWHORFDJTZBFFO-NSHDSACASA-N -1 1 313.785 1.858 20 0 DDADMM Cc1nc(C)c(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)s1 ZINC000897641176 708403619 /nfs/dbraw/zinc/40/36/19/708403619.db2.gz XWDKOORPMOIULL-SNVBAGLBSA-N -1 1 322.390 1.797 20 0 DDADMM O=S(=O)([N-]Cc1ccc(N2CCCC2)nc1)c1ccns1 ZINC000884918065 708419745 /nfs/dbraw/zinc/41/97/45/708419745.db2.gz UZPFLQPTDZGHEY-UHFFFAOYSA-N -1 1 324.431 1.617 20 0 DDADMM O=S(=O)([N-]CC1(CCO)CCCCC1)c1ccns1 ZINC000885085577 708467239 /nfs/dbraw/zinc/46/72/39/708467239.db2.gz OBRCRTUECXWAPD-UHFFFAOYSA-N -1 1 304.437 1.754 20 0 DDADMM C/C=C/C[C@@H]([N-]S(=O)(=O)c1ccns1)C(=O)OCC ZINC000885106026 708474719 /nfs/dbraw/zinc/47/47/19/708474719.db2.gz IZFPEHGFJVFUGP-HYYFJVDXSA-N -1 1 304.393 1.319 20 0 DDADMM COCCOC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000885281553 708510320 /nfs/dbraw/zinc/51/03/20/708510320.db2.gz VCYLINHALZETQY-RKDXNWHRSA-N -1 1 312.288 1.301 20 0 DDADMM COC(=O)C1(C[N-]S(=O)(=O)c2cc3ccccc3o2)CC1 ZINC000885401637 708536517 /nfs/dbraw/zinc/53/65/17/708536517.db2.gz YIQLACAUFJNPIB-UHFFFAOYSA-N -1 1 309.343 1.664 20 0 DDADMM O=C(N[C@H]1CCCN(CCF)C1)c1c(F)ccc([O-])c1F ZINC000898501409 708661224 /nfs/dbraw/zinc/66/12/24/708661224.db2.gz GIAPKVGWWYQYFO-VIFPVBQESA-N -1 1 302.296 1.834 20 0 DDADMM O=C(COCC(F)(F)F)NCCc1c(F)cc([O-])cc1F ZINC000886264973 708731855 /nfs/dbraw/zinc/73/18/55/708731855.db2.gz SUBUCQXPFCNPJL-UHFFFAOYSA-N -1 1 313.222 1.908 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1CCc2n[nH]cc2C1 ZINC000886266419 708732547 /nfs/dbraw/zinc/73/25/47/708732547.db2.gz LROPXUNIYDPXJM-SECBINFHSA-N -1 1 321.327 1.857 20 0 DDADMM CC[C@@H](NC(C)=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886269637 708733496 /nfs/dbraw/zinc/73/34/96/708733496.db2.gz BRDWRSIAOXGFRP-CYBMUJFWSA-N -1 1 300.305 1.244 20 0 DDADMM CN1C(=O)CCC[C@H]1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886271246 708733826 /nfs/dbraw/zinc/73/38/26/708733826.db2.gz BRPMJYCGNCYHRZ-ZDUSSCGKSA-N -1 1 312.316 1.340 20 0 DDADMM C[C@](O)(CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C(F)F ZINC000886310458 708740266 /nfs/dbraw/zinc/74/02/66/708740266.db2.gz LVKCWPFIVFTOEK-UWVGGRQHSA-N -1 1 304.259 1.145 20 0 DDADMM CC(C)(C(=O)NCc1nc([O-])cc(=O)[nH]1)c1cccc(F)c1 ZINC000898756072 708856686 /nfs/dbraw/zinc/85/66/86/708856686.db2.gz GCEYDZWZNHLNAR-UHFFFAOYSA-N -1 1 305.309 1.621 20 0 DDADMM O=C(NC[C@@H](O)[C@H]1CCCO1)c1ccc2ccc(O)cc2c1[O-] ZINC000899131114 708994479 /nfs/dbraw/zinc/99/44/79/708994479.db2.gz MHTRUNZCKPHGLR-HUUCEWRRSA-N -1 1 317.341 1.521 20 0 DDADMM CCN1CC[C@H]1CNC(=O)C[C@]1(C(=O)[O-])CCc2ccccc21 ZINC000887316117 709015712 /nfs/dbraw/zinc/01/57/12/709015712.db2.gz KRKLSQNNSADMNP-KBXCAEBGSA-N -1 1 316.401 1.556 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)NC[C@@H]2CCCO2)[n-]c1=O ZINC000899462994 709087717 /nfs/dbraw/zinc/08/77/17/709087717.db2.gz QZXNOBCGWFZFTO-QWRGUYRKSA-N -1 1 322.365 1.216 20 0 DDADMM C[C@@H]1OC[C@]2(CC[C@@H](CNC(=O)c3ncccc3[O-])O2)[C@H]1C ZINC000899485445 709096335 /nfs/dbraw/zinc/09/63/35/709096335.db2.gz SRFYFYLHFREEHS-CENBSLRLSA-N -1 1 306.362 1.490 20 0 DDADMM CC(C)(C)c1n[n-]c(S(=O)(=O)Cc2cnn(CCF)c2)n1 ZINC000899489002 709097639 /nfs/dbraw/zinc/09/76/39/709097639.db2.gz DPFUWZNBGKJGPH-UHFFFAOYSA-N -1 1 315.374 1.242 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2cnn(CCF)c2)n[n-]1 ZINC000899489002 709097641 /nfs/dbraw/zinc/09/76/41/709097641.db2.gz DPFUWZNBGKJGPH-UHFFFAOYSA-N -1 1 315.374 1.242 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@]12C[C@H]1CCC2 ZINC000921924861 713910175 /nfs/dbraw/zinc/91/01/75/713910175.db2.gz VXHGCPAGPJBFRF-GDAOTKPNSA-N -1 1 308.469 1.907 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@@H]1C[C@@H]1CCCO1 ZINC000887845472 709141790 /nfs/dbraw/zinc/14/17/90/709141790.db2.gz ZCUYHTGXENGWTI-AAEUAGOBSA-N -1 1 309.337 1.941 20 0 DDADMM CCOc1cc(C(=O)N2CC[C@@H]2C(=O)OC)cc(Cl)c1[O-] ZINC000888045336 709198710 /nfs/dbraw/zinc/19/87/10/709198710.db2.gz CIPJRJXWNIPDOS-SNVBAGLBSA-N -1 1 313.737 1.832 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@H](C2OCCO2)C1 ZINC000927992339 713097728 /nfs/dbraw/zinc/09/77/28/713097728.db2.gz ZQLAMKMIOKPSCJ-NSHDSACASA-N -1 1 311.765 1.810 20 0 DDADMM C[C@@]1([C@@H]2CCCN(C(=O)c3cncc([O-])c3)C2)COC(=O)N1 ZINC000928023396 713105581 /nfs/dbraw/zinc/10/55/81/713105581.db2.gz PVQUUXWJJRYUJB-ABAIWWIYSA-N -1 1 305.334 1.138 20 0 DDADMM Cc1noc(C2([N-]C(=O)C(F)(F)c3nccs3)CC2)n1 ZINC000889244699 709467154 /nfs/dbraw/zinc/46/71/54/709467154.db2.gz BYRKGHCQBKCZCH-UHFFFAOYSA-N -1 1 300.290 1.732 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000909497356 709489562 /nfs/dbraw/zinc/48/95/62/709489562.db2.gz LTMUGYJUSBJUHB-ZETCQYMHSA-N -1 1 305.256 1.898 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1ccc(O)c(Cl)c1)[C@H]1CCCOC1 ZINC000909544021 709509005 /nfs/dbraw/zinc/50/90/05/709509005.db2.gz HXGHYAADIBCQSK-CABZTGNLSA-N -1 1 313.737 1.655 20 0 DDADMM O=c1[nH]c(CC(F)(F)F)c([O-])n1-c1noc2c1CCCC2 ZINC000900275108 709516147 /nfs/dbraw/zinc/51/61/47/709516147.db2.gz ZYRMEDBGECRSAB-ZETCQYMHSA-N -1 1 303.240 1.931 20 0 DDADMM Cc1ccn2cc(CNC(=O)c3cnc(C4CC4)[n-]c3=O)nc2c1 ZINC000900323652 709539065 /nfs/dbraw/zinc/53/90/65/709539065.db2.gz XVVCCTJFKAMFON-UHFFFAOYSA-N -1 1 323.356 1.946 20 0 DDADMM C[C@H](C(=O)N1C[C@@H](c2ccc(Cl)cc2)[C@H](C(=O)[O-])C1)N(C)C ZINC000909628545 709547822 /nfs/dbraw/zinc/54/78/22/709547822.db2.gz LHCWMBHBXKXXHC-DDTOSNHZSA-N -1 1 324.808 1.917 20 0 DDADMM CCC(C)(C)C(=O)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889787140 709635405 /nfs/dbraw/zinc/63/54/05/709635405.db2.gz QYEYOLKGSJJZHG-JTQLQIEISA-N -1 1 321.377 1.860 20 0 DDADMM CC[C@H](C)[C@H](OC)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889788000 709635989 /nfs/dbraw/zinc/63/59/89/709635989.db2.gz UQURRTNACYCWNA-GVXVVHGQSA-N -1 1 323.393 1.915 20 0 DDADMM CC[C@@H](SC)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889790165 709637335 /nfs/dbraw/zinc/63/73/35/709637335.db2.gz OGUULRYGMZSHLM-GXSJLCMTSA-N -1 1 311.407 1.996 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2CCO[C@H]2C)[n-]c1=O ZINC000889790474 709637345 /nfs/dbraw/zinc/63/73/45/709637345.db2.gz RMXZPPXRNSWJAM-DCAQKATOSA-N -1 1 307.350 1.279 20 0 DDADMM CC[C@@H](C)OCC(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889790647 709637715 /nfs/dbraw/zinc/63/77/15/709637715.db2.gz TXDXNZUZACAWOC-MNOVXSKESA-N -1 1 309.366 1.669 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CC2(O)CCCC2)[n-]c1=O ZINC000889792383 709638043 /nfs/dbraw/zinc/63/80/43/709638043.db2.gz XZCZLWFCLYJJQN-NSHDSACASA-N -1 1 321.377 1.550 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Cn2cc(C)cn2)[n-]c1=O ZINC000889793860 709638630 /nfs/dbraw/zinc/63/86/30/709638630.db2.gz DCGZGKCNZHQOOX-NSHDSACASA-N -1 1 317.349 1.059 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CC[C@@H]2CCCO2)[n-]c1=O ZINC000889793867 709638654 /nfs/dbraw/zinc/63/86/54/709638654.db2.gz DDOTXUDXUIFGPL-RYUDHWBXSA-N -1 1 321.377 1.814 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CSC(C)C)[n-]c1=O ZINC000889794742 709639581 /nfs/dbraw/zinc/63/95/81/709639581.db2.gz PJEZGGAOAXDLSU-JTQLQIEISA-N -1 1 311.407 1.996 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)N[C@@H]1CCC[C@H](C2CC2)C1 ZINC000909850187 709651606 /nfs/dbraw/zinc/65/16/06/709651606.db2.gz CGJDJAFYHKMUKI-SOUVJXGZSA-N -1 1 308.422 1.868 20 0 DDADMM CC[C@@H]1C(=O)NCCN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900607725 709675632 /nfs/dbraw/zinc/67/56/32/709675632.db2.gz GZELAXSLWMHGHD-LLVKDONJSA-N -1 1 317.320 1.430 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)C1 ZINC000909903473 709680088 /nfs/dbraw/zinc/68/00/88/709680088.db2.gz PXARLQRMLQKHGG-WBMYTEFPSA-N -1 1 317.389 1.645 20 0 DDADMM O=C(Nc1cc([O-])c(F)cc1F)[C@@H]1COCCN1CC(F)F ZINC000909985950 709720728 /nfs/dbraw/zinc/72/07/28/709720728.db2.gz UWUOHKANRGUHKG-JTQLQIEISA-N -1 1 322.258 1.575 20 0 DDADMM O=C([O-])c1ccc2c(c1)CN(C(=O)CCCCc1cn[nH]n1)C2 ZINC000910043474 709748653 /nfs/dbraw/zinc/74/86/53/709748653.db2.gz CTYLAWQDRADFNR-UHFFFAOYSA-N -1 1 314.345 1.758 20 0 DDADMM CCc1cc(C(=O)[N-]c2nc(-c3cnn(C)c3)ns2)no1 ZINC000900793488 709761275 /nfs/dbraw/zinc/76/12/75/709761275.db2.gz WPAKQYLCZBCIGD-UHFFFAOYSA-N -1 1 304.335 1.741 20 0 DDADMM Cc1cccnc1/C=C\C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910175613 709797929 /nfs/dbraw/zinc/79/79/29/709797929.db2.gz PXOSIJRGRCNCIF-GXMNPTEKSA-N -1 1 317.389 1.411 20 0 DDADMM CC(C)(CCN1CCOCC1)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000912950267 713132566 /nfs/dbraw/zinc/13/25/66/713132566.db2.gz HCDBUQCVVYLQKB-UHFFFAOYSA-N -1 1 320.389 1.192 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CC[C@H]3CC(C)(C)CO3)ccnc1-2 ZINC000890283617 709810588 /nfs/dbraw/zinc/81/05/88/709810588.db2.gz LRLWGRUQLRRQLB-NSHDSACASA-N -1 1 302.378 1.876 20 0 DDADMM CCCN(C)CC(=O)Nc1ccc(O[C@H](C)C(=O)[O-])c(F)c1 ZINC000910227969 709827045 /nfs/dbraw/zinc/82/70/45/709827045.db2.gz JNHSMNFSXZGZSV-SNVBAGLBSA-N -1 1 312.341 1.958 20 0 DDADMM C[C@]1(C2CCN(C(=O)c3ccc([O-])cc3F)CC2)COC(=O)N1 ZINC000928164772 713142914 /nfs/dbraw/zinc/14/29/14/713142914.db2.gz SUNAVVBLFHSWEH-MRXNPFEDSA-N -1 1 322.336 1.882 20 0 DDADMM O=C(N[C@@H]1C=C[C@H](CO)C1)c1c([O-])cnc2c(F)cccc21 ZINC000901095563 709922872 /nfs/dbraw/zinc/92/28/72/709922872.db2.gz XOVZAKXDKIOCBC-VHSXEESVSA-N -1 1 302.305 1.746 20 0 DDADMM O=C(N[C@H]1CCOC2(CCC2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000901120691 709934309 /nfs/dbraw/zinc/93/43/09/709934309.db2.gz GZSRGCUWCWGQNB-NSHDSACASA-N -1 1 303.362 1.891 20 0 DDADMM O=C(N[C@@H]1[C@H]2CCO[C@H]2C12CCC2)c1cnc(C2CC2)[n-]c1=O ZINC000901201216 709965826 /nfs/dbraw/zinc/96/58/26/709965826.db2.gz VMJRLOBGQPCJDU-RAIGVLPGSA-N -1 1 315.373 1.747 20 0 DDADMM O=C([O-])c1ccc2c(c1)CCN(C(=O)CCc1c[nH]nn1)C2 ZINC000910503329 709975152 /nfs/dbraw/zinc/97/51/52/709975152.db2.gz QAWPXNAVRGLOPH-UHFFFAOYSA-N -1 1 300.318 1.020 20 0 DDADMM O=C([O-])c1ccc2c(c1)CCN(C(=O)CCc1cnn[nH]1)C2 ZINC000910503329 709975156 /nfs/dbraw/zinc/97/51/56/709975156.db2.gz QAWPXNAVRGLOPH-UHFFFAOYSA-N -1 1 300.318 1.020 20 0 DDADMM CN(C(=O)c1c([O-])cnc2c(F)cccc21)[C@@H]1CCNC1=O ZINC000901237065 709979516 /nfs/dbraw/zinc/97/95/16/709979516.db2.gz KEGCQXDVMHJSDI-SNVBAGLBSA-N -1 1 303.293 1.040 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cc(C(F)(F)F)[nH]n2)C[C@@]1(F)C(=O)[O-] ZINC000910553746 709996895 /nfs/dbraw/zinc/99/68/95/709996895.db2.gz OIDXJUIANCQJPL-KBUNVGBDSA-N -1 1 323.246 1.703 20 0 DDADMM CN(CC(=O)N[C@@H](CC(=O)[O-])C1CCCC1)[C@H]1CCSC1 ZINC000910558893 709999209 /nfs/dbraw/zinc/99/92/09/709999209.db2.gz HORMOOMLKKLDPS-STQMWFEESA-N -1 1 314.451 1.573 20 0 DDADMM O=C([O-])CN(CCc1ccccc1)C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000901426900 710035832 /nfs/dbraw/zinc/03/58/32/710035832.db2.gz RJNJOJSUKXCVDG-ZIAGYGMSSA-N -1 1 313.357 1.669 20 0 DDADMM CN(CCc1ccccc1)CC(=O)NC1CCC(C(=O)[O-])CC1 ZINC000901482405 710052237 /nfs/dbraw/zinc/05/22/37/710052237.db2.gz LLCHMAVDGNZRQX-UHFFFAOYSA-N -1 1 318.417 1.921 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)c2cc3[nH]cnc3cc2F)C1 ZINC000901662790 710113433 /nfs/dbraw/zinc/11/34/33/710113433.db2.gz QFBCCCSDHFPKKT-RKDXNWHRSA-N -1 1 305.309 1.885 20 0 DDADMM CC(C)C[C@H](CNC(=O)C(C)(C)CN1CCOCC1)C(=O)[O-] ZINC000901692379 710119730 /nfs/dbraw/zinc/11/97/30/710119730.db2.gz MBTVNHNBZLHLIB-CYBMUJFWSA-N -1 1 314.426 1.208 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])C1(n2cnnn2)CCCCC1 ZINC000910985805 710141652 /nfs/dbraw/zinc/14/16/52/710141652.db2.gz FRIKYJICLHCXCB-UHFFFAOYSA-N -1 1 323.303 1.955 20 0 DDADMM COCCn1cc(CN[C@H](C(=O)[O-])c2cccc(OC)c2)cn1 ZINC000901755437 710142835 /nfs/dbraw/zinc/14/28/35/710142835.db2.gz SNULSCFMNLOYKR-HNNXBMFYSA-N -1 1 319.361 1.454 20 0 DDADMM O=C([O-])C1(C(=O)N[C@H]2CCCN3CCSC[C@@H]23)CCCC1 ZINC000910990538 710143728 /nfs/dbraw/zinc/14/37/28/710143728.db2.gz YTDDFWGOUHVCNB-RYUDHWBXSA-N -1 1 312.435 1.327 20 0 DDADMM C[C@@H](C(=O)Nc1cc(F)cc(F)c1[O-])[C@H](C)S(C)(=O)=O ZINC000910991472 710144412 /nfs/dbraw/zinc/14/44/12/710144412.db2.gz MFLBWSYRVJQVIG-RQJHMYQMSA-N -1 1 307.318 1.678 20 0 DDADMM CO[C@H](C(=O)[O-])C(=O)NC[C@H](c1ccc(Cl)cc1)N(C)C ZINC000901928034 710177198 /nfs/dbraw/zinc/17/71/98/710177198.db2.gz OGCQNXBHFVTECG-NEPJUHHUSA-N -1 1 314.769 1.159 20 0 DDADMM Cn1cc(Cl)c(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)n1 ZINC000891616754 710249542 /nfs/dbraw/zinc/24/95/42/710249542.db2.gz ZPDDJYVJQLDTNF-UHFFFAOYSA-N -1 1 307.741 1.700 20 0 DDADMM COCc1nc(N2CC(O)(c3ccc(F)cc3)C2)cc(=O)[n-]1 ZINC000893249976 710579596 /nfs/dbraw/zinc/57/95/96/710579596.db2.gz XLIGNJYFYQBQJD-UHFFFAOYSA-N -1 1 305.309 1.176 20 0 DDADMM CN(CC(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1)CC(C)(C)C ZINC000902081212 710607433 /nfs/dbraw/zinc/60/74/33/710607433.db2.gz SKZCLDZXVATJPZ-UHFFFAOYSA-N -1 1 310.398 1.619 20 0 DDADMM Cc1cc(N(C)C)ccc1NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000911227297 710697965 /nfs/dbraw/zinc/69/79/65/710697965.db2.gz BOTHZGJVGVYRKV-ZDUSSCGKSA-N -1 1 319.405 1.796 20 0 DDADMM COc1cccc([C@H](CNCc2cc(C(=O)[O-])no2)OC)c1 ZINC000902492517 710770206 /nfs/dbraw/zinc/77/02/06/710770206.db2.gz RRVUPINCJHGLSD-AWEZNQCLSA-N -1 1 306.318 1.859 20 0 DDADMM CN(C)c1ccnc(CNC(=O)[C@@H](C(=O)[O-])C2CCCC2)c1 ZINC000902815058 710913205 /nfs/dbraw/zinc/91/32/05/710913205.db2.gz SIIUQAUJBOYJRT-AWEZNQCLSA-N -1 1 305.378 1.655 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN[C@@H](c2cn[nH]c2)C1 ZINC000913444356 713218884 /nfs/dbraw/zinc/21/88/84/713218884.db2.gz ZGSUYUXPCDDCBI-GFCCVEGCSA-N -1 1 306.753 1.555 20 0 DDADMM COCc1nc(N[C@@H]2CCO[C@@H](c3ccnn3C)C2)cc(=O)[n-]1 ZINC000894100029 710966636 /nfs/dbraw/zinc/96/66/36/710966636.db2.gz XLLAAYFSPLZMLT-ZYHUDNBSSA-N -1 1 319.365 1.394 20 0 DDADMM Cc1nc([C@@H]2CCN(C(=O)[C@H]3CCC[C@@H](C(=O)[O-])C3)C2)n[nH]1 ZINC000911771738 710975911 /nfs/dbraw/zinc/97/59/11/710975911.db2.gz DIBJKOMYUHWNCE-QJPTWQEYSA-N -1 1 306.366 1.320 20 0 DDADMM O=C(N[C@@H]([C@H]1CCCO1)C1(CO)CCC1)c1cc(F)ccc1[O-] ZINC000912208885 711222164 /nfs/dbraw/zinc/22/21/64/711222164.db2.gz LZAWPDNSLDPHET-CABCVRRESA-N -1 1 323.364 1.971 20 0 DDADMM Cc1cccc([C@@H]2C[C@H]2C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1 ZINC000913493241 713237025 /nfs/dbraw/zinc/23/70/25/713237025.db2.gz KEJMUHRVZFJJKQ-BFHYXJOUSA-N -1 1 313.361 1.212 20 0 DDADMM COc1ncccc1S(=O)(=O)[N-]CC(F)(F)C(F)F ZINC000903625481 711228381 /nfs/dbraw/zinc/22/83/81/711228381.db2.gz XZMVPTGZMBLNMN-UHFFFAOYSA-N -1 1 302.249 1.269 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]([C@@H]2CCCO2)C2(CO)CCC2)c([O-])c1 ZINC000912219653 711228632 /nfs/dbraw/zinc/22/86/32/711228632.db2.gz UEUMHTWGAWWGGG-ZFWWWQNUSA-N -1 1 320.389 1.536 20 0 DDADMM O=C(CCc1ccc(F)cc1F)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496065 713238530 /nfs/dbraw/zinc/23/85/30/713238530.db2.gz RQSFMZZHKOOQAM-LBPRGKRZSA-N -1 1 323.303 1.011 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1cccnc1C1CC1 ZINC000903679295 711243531 /nfs/dbraw/zinc/24/35/31/711243531.db2.gz AOVIAIASHUDVMU-SFHVURJKSA-N -1 1 303.409 1.733 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1ccc(C(=O)OC)s1 ZINC000903712034 711251258 /nfs/dbraw/zinc/25/12/58/711251258.db2.gz BXANOEDOJMOPKJ-UHFFFAOYSA-N -1 1 301.364 1.458 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2CC2(Cl)Cl)n[n-]1 ZINC000912363102 711292669 /nfs/dbraw/zinc/29/26/69/711292669.db2.gz JPTQWXYYZVICNW-PHDIDXHHSA-N -1 1 321.164 1.352 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2CC2(Cl)Cl)[n-]1 ZINC000912363102 711292673 /nfs/dbraw/zinc/29/26/73/711292673.db2.gz JPTQWXYYZVICNW-PHDIDXHHSA-N -1 1 321.164 1.352 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2CC2(Cl)Cl)n1 ZINC000912363102 711292677 /nfs/dbraw/zinc/29/26/77/711292677.db2.gz JPTQWXYYZVICNW-PHDIDXHHSA-N -1 1 321.164 1.352 20 0 DDADMM CO[C@H]1CN(CCOC2CCSCC2)[C@@](C)(C(=O)[O-])C1 ZINC000903911288 711328070 /nfs/dbraw/zinc/32/80/70/711328070.db2.gz AYEMNTQCBPXJFG-TZMCWYRMSA-N -1 1 303.424 1.463 20 0 DDADMM O=C([O-])[C@@H]1c2ccccc2OCCN1CCOCC(F)F ZINC000903930871 711338070 /nfs/dbraw/zinc/33/80/70/711338070.db2.gz JJRNRTIGIYOSSE-ZDUSSCGKSA-N -1 1 301.289 1.788 20 0 DDADMM Cn1cc(-c2nc(CN3CCC[C@@H]4C[C@@]43C(=O)[O-])cs2)cn1 ZINC000903936670 711341450 /nfs/dbraw/zinc/34/14/50/711341450.db2.gz QOTIUVZEHLTCLI-ABAIWWIYSA-N -1 1 318.402 1.983 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]c1cccc(F)c1O ZINC000905106800 711938294 /nfs/dbraw/zinc/93/82/94/711938294.db2.gz OSIIIKTZXGUFJL-UHFFFAOYSA-N -1 1 308.356 1.450 20 0 DDADMM COc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)ccc1C ZINC000913742794 713292220 /nfs/dbraw/zinc/29/22/20/713292220.db2.gz VETYISRJHJOFJK-UHFFFAOYSA-N -1 1 301.350 1.537 20 0 DDADMM CCO[C@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccc1 ZINC000913743519 713292424 /nfs/dbraw/zinc/29/24/24/713292424.db2.gz ZCAYVNPXJPQYQF-AWEZNQCLSA-N -1 1 315.377 1.684 20 0 DDADMM C[C@@H]1C(=O)CC[C@@H](C(=O)N2CCC(c3nn[n-]n3)CC2)C1(C)C ZINC000913744171 713293052 /nfs/dbraw/zinc/29/30/52/713293052.db2.gz CNWRGCQGLBFPGU-PWSUYJOCSA-N -1 1 319.409 1.547 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CCC3(CCC3)C2)c1 ZINC000906887273 712428138 /nfs/dbraw/zinc/42/81/38/712428138.db2.gz KUMGLDCVJQGVFN-UHFFFAOYSA-N -1 1 311.359 1.655 20 0 DDADMM CC(C)(C)OC(=O)NOCC(=O)Nc1ccc(F)cc1[O-] ZINC000907140074 712496930 /nfs/dbraw/zinc/49/69/30/712496930.db2.gz RQZPRPQTLDAQDD-UHFFFAOYSA-N -1 1 300.286 1.926 20 0 DDADMM COC[C@@](C)(CO)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000907407485 712563195 /nfs/dbraw/zinc/56/31/95/712563195.db2.gz MEASSGLKZWLEQN-MRXNPFEDSA-N -1 1 305.330 1.378 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccsc1 ZINC000907472379 712580939 /nfs/dbraw/zinc/58/09/39/712580939.db2.gz CDPHZJGGLXWLAV-WCBMZHEXSA-N -1 1 309.420 1.681 20 0 DDADMM CSc1ccccc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907475447 712581802 /nfs/dbraw/zinc/58/18/02/712581802.db2.gz KDEGBQJGDMBTQK-JTQLQIEISA-N -1 1 321.431 1.852 20 0 DDADMM CC(=O)C(C)(C)CCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907475653 712582246 /nfs/dbraw/zinc/58/22/46/712582246.db2.gz OYWNAWFOCIHJES-JTQLQIEISA-N -1 1 311.411 1.212 20 0 DDADMM Cc1nc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)sc1C ZINC000907479501 712583287 /nfs/dbraw/zinc/58/32/87/712583287.db2.gz KLQNDYAIVUWAOE-MRVPVSSYSA-N -1 1 310.408 1.203 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1c[nH]c2ccccc12 ZINC000907597791 712600466 /nfs/dbraw/zinc/60/04/66/712600466.db2.gz FPWATQPQNWBVMP-SFHVURJKSA-N -1 1 301.393 1.942 20 0 DDADMM Cc1ncoc1C[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000907598199 712600698 /nfs/dbraw/zinc/60/06/98/712600698.db2.gz ZOZVXWURBHAYAA-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCSC(C)(C)C1 ZINC000907750894 712621768 /nfs/dbraw/zinc/62/17/68/712621768.db2.gz AWKGBTAVNGQIPE-QMMMGPOBSA-N -1 1 305.425 1.518 20 0 DDADMM CCC[C@]1(CO)CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907771667 712624451 /nfs/dbraw/zinc/62/44/51/712624451.db2.gz MHQPYGCCHBQZHQ-MRXNPFEDSA-N -1 1 305.378 1.827 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)OCCCO ZINC000919560183 713614496 /nfs/dbraw/zinc/61/44/96/713614496.db2.gz WYTLKMSOVDFRMA-UHFFFAOYSA-N -1 1 301.364 1.378 20 0 DDADMM CCC[C@@H]1CCC[C@H]1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907941877 712652221 /nfs/dbraw/zinc/65/22/21/712652221.db2.gz SAGMQLQFQIZADE-VXGBXAGGSA-N -1 1 307.398 1.226 20 0 DDADMM O=C([O-])C[C@@H](Cc1ccccc1)NC(=O)[C@@H]1CCCN1C1CC1 ZINC000908232779 712715259 /nfs/dbraw/zinc/71/52/59/712715259.db2.gz WJZZSRNRQVMQAJ-ZBFHGGJFSA-N -1 1 316.401 1.815 20 0 DDADMM CCOc1cccc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000908713151 712839783 /nfs/dbraw/zinc/83/97/83/712839783.db2.gz PEAUBWLMYRVJOG-LBPRGKRZSA-N -1 1 306.362 1.820 20 0 DDADMM O=C(CN1CCOC1=O)Nc1cccc([O-])c1Br ZINC000908716148 712840457 /nfs/dbraw/zinc/84/04/57/712840457.db2.gz AFJLPUHIRCUQKK-UHFFFAOYSA-N -1 1 315.123 1.545 20 0 DDADMM C[C@@H]1CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)c2ccccc21 ZINC000908737716 712844832 /nfs/dbraw/zinc/84/48/32/712844832.db2.gz NJFRTKXVEQGVFJ-OLZOCXBDSA-N -1 1 302.374 1.933 20 0 DDADMM O=C([O-])C12CC(C(=O)NCc3nc4ccc(F)cc4[nH]3)(C1)C2 ZINC000908796066 712856512 /nfs/dbraw/zinc/85/65/12/712856512.db2.gz VXBCGQKPJLPHRU-UHFFFAOYSA-N -1 1 303.293 1.573 20 0 DDADMM C[C@H](CNC(=O)N[C@@H](CF)C(=O)[O-])N1CCc2ccccc2C1 ZINC000908912433 712885125 /nfs/dbraw/zinc/88/51/25/712885125.db2.gz JPVFXTMVLTZKAM-RISCZKNCSA-N -1 1 323.368 1.155 20 0 DDADMM C[S@@](=O)CCCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000928762787 713479627 /nfs/dbraw/zinc/47/96/27/713479627.db2.gz OAWBCINSIICHNQ-HXUWFJFHSA-N -1 1 309.309 1.909 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H]2COC[C@@H]2C)c(=O)[n-]1 ZINC000928889176 713505212 /nfs/dbraw/zinc/50/52/12/713505212.db2.gz KJNIGUPHKQMAJH-GZMMTYOYSA-N -1 1 311.407 1.296 20 0 DDADMM COC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2cc(C)ns2)C1 ZINC000920422409 713668079 /nfs/dbraw/zinc/66/80/79/713668079.db2.gz YLIAXCABQMLARF-BDAKNGLRSA-N -1 1 304.393 1.072 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@H]2Cc3ccccc3[C@@H]12)c1ccc(F)nc1F ZINC000920507158 713676033 /nfs/dbraw/zinc/67/60/33/713676033.db2.gz ONSNUCXYJRAKPZ-ZLKJLUDKSA-N -1 1 322.336 1.976 20 0 DDADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)CC1(C)CCC1 ZINC000921378073 713748827 /nfs/dbraw/zinc/74/88/27/713748827.db2.gz NFEMKJPICJEFHS-SNVBAGLBSA-N -1 1 309.453 1.391 20 0 DDADMM C[C@@H]1COC[C@@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000921497801 713789663 /nfs/dbraw/zinc/78/96/63/713789663.db2.gz UCGKCIFUQPCUEQ-RQJHMYQMSA-N -1 1 310.169 1.355 20 0 DDADMM COC(=O)[C@@H]1C[C@H](F)CN1C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000930289338 713794469 /nfs/dbraw/zinc/79/44/69/713794469.db2.gz WOKHEHLNTFHMSH-OSMZGAPFSA-N -1 1 309.337 1.796 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]CC[C@H](N(C)C)C(F)(F)F)o1 ZINC000921631513 713826518 /nfs/dbraw/zinc/82/65/18/713826518.db2.gz CNTJJWUVPIOPJW-VIFPVBQESA-N -1 1 314.329 1.749 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H](C1CC1)[C@@H]1CCCOC1 ZINC000921849440 713885443 /nfs/dbraw/zinc/88/54/43/713885443.db2.gz KOEQKXMWTIYORS-UFYHVXEKSA-N -1 1 324.468 1.144 20 0 DDADMM Cn1nccc1CCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000921977776 713926972 /nfs/dbraw/zinc/92/69/72/713926972.db2.gz QCLQZWIZVGWPEI-UHFFFAOYSA-N -1 1 324.331 1.488 20 0 DDADMM O=C(OCCO[C@@H]1CCOC1)c1nn(-c2ccccc2)cc1[O-] ZINC000922195487 713987553 /nfs/dbraw/zinc/98/75/53/713987553.db2.gz FDGSNQHUKHATMT-CYBMUJFWSA-N -1 1 318.329 1.540 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Nc3cnn(C4CCC4)c3)ccnc1-2 ZINC000931132354 714010684 /nfs/dbraw/zinc/01/06/84/714010684.db2.gz WTOGNCPDOMGEAO-UHFFFAOYSA-N -1 1 311.349 1.907 20 0 DDADMM Cc1nc2c(c(=O)[nH]1)C[N@@H+]([C@H]1CCCN(CC(C)(C)C)C1=O)C2 ZINC000931315656 714064148 /nfs/dbraw/zinc/06/41/48/714064148.db2.gz WMECTOCZNQHRGR-AWEZNQCLSA-N -1 1 318.421 1.843 20 0 DDADMM COC(OC)[C@@H](C)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932059761 714248990 /nfs/dbraw/zinc/24/89/90/714248990.db2.gz JJQOBPUJRCKXDP-SNVBAGLBSA-N -1 1 320.349 1.707 20 0 DDADMM Cc1cc(C(=O)N2CC[C@H](C(=O)[O-])C[C@H]2[C@H]2CCCO2)n[nH]1 ZINC000923162729 714258704 /nfs/dbraw/zinc/25/87/04/714258704.db2.gz HMRNOEAZMUSSDM-WCFLWFBJSA-N -1 1 307.350 1.203 20 0 DDADMM Cn1[n-]c(CN[C@@H]2CC3(CCC3)Oc3ccccc32)nc1=O ZINC000932216203 714286259 /nfs/dbraw/zinc/28/62/59/714286259.db2.gz NYQSZABTNQPMNH-GFCCVEGCSA-N -1 1 300.362 1.645 20 0 DDADMM O=C(c1ccsc1C(F)F)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000932282678 714299798 /nfs/dbraw/zinc/29/97/98/714299798.db2.gz MMMUXXGIFZGGAF-ZETCQYMHSA-N -1 1 315.305 1.413 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2cnc(C)nc2)[n-]c1=O ZINC000934279127 714785338 /nfs/dbraw/zinc/78/53/38/714785338.db2.gz KUHKCNZQZVDCFS-LBPRGKRZSA-N -1 1 301.350 1.626 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)NC[C@H](O)C3CC3)c2)o1 ZINC000934655270 714872717 /nfs/dbraw/zinc/87/27/17/714872717.db2.gz RHNYCOZQJINZSW-HNNXBMFYSA-N -1 1 315.325 1.965 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])C1(n2cccn2)CC1 ZINC000935595149 715097190 /nfs/dbraw/zinc/09/71/90/715097190.db2.gz VMGXVRKNLWLIEC-UHFFFAOYSA-N -1 1 323.150 1.874 20 0 DDADMM O=C(C[C@@H]1CC[C@@H](C2CC2)O1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000935795132 715128915 /nfs/dbraw/zinc/12/89/15/715128915.db2.gz VQZLPVQEPSQASZ-AGIUHOORSA-N -1 1 321.377 1.829 20 0 DDADMM CN(C(=O)[C@@H]1CC12CC2)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216641 715293604 /nfs/dbraw/zinc/29/36/04/715293604.db2.gz ILPXGNZNMSMPBJ-RYUDHWBXSA-N -1 1 315.373 1.260 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937863463 715618680 /nfs/dbraw/zinc/61/86/80/715618680.db2.gz HIVOJUGGYFZITQ-FRRDWIJNSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)C2CCC2)C1 ZINC000956849322 715636275 /nfs/dbraw/zinc/63/62/75/715636275.db2.gz QELJXLHPSQGJJM-INIZCTEOSA-N -1 1 303.362 1.308 20 0 DDADMM C[C@@H](C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000956849191 715636411 /nfs/dbraw/zinc/63/64/11/715636411.db2.gz NBWDVWVOGWPGQX-DIFFPNOSSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)C)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959595369 716231765 /nfs/dbraw/zinc/23/17/65/716231765.db2.gz YNPVFFXDSWQQBP-NEPJUHHUSA-N -1 1 319.405 1.658 20 0 DDADMM CC(C)(C)CC(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959875521 716330633 /nfs/dbraw/zinc/33/06/33/716330633.db2.gz CMNQXDCOVJFNAP-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM CC1(CC(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC000960067362 716405876 /nfs/dbraw/zinc/40/58/76/716405876.db2.gz SXYVASVDQVWTMN-NSHDSACASA-N -1 1 307.398 1.171 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)C1)c1ncccc1[O-] ZINC000940130545 716641916 /nfs/dbraw/zinc/64/19/16/716641916.db2.gz QRGQEPWSUYCDPD-MROQNXINSA-N -1 1 315.373 1.164 20 0 DDADMM CC[C@@H](F)C(=O)N1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC000961428063 716942759 /nfs/dbraw/zinc/94/27/59/716942759.db2.gz WCLJNBVJSYOCNV-GRYCIOLGSA-N -1 1 321.352 1.066 20 0 DDADMM C/C=C(\C)C(=O)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943212709 718048685 /nfs/dbraw/zinc/04/86/85/718048685.db2.gz HXFXALPLMMGHGH-ACDNVWSCSA-N -1 1 317.389 1.864 20 0 DDADMM CC(=O)N1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H](C)C1 ZINC000945082340 718388732 /nfs/dbraw/zinc/38/87/32/718388732.db2.gz QHPZIUODRUOOBK-ZANVPECISA-N -1 1 318.377 1.046 20 0 DDADMM CCC(=O)N1CC[C@H]2[C@@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945811219 718568034 /nfs/dbraw/zinc/56/80/34/718568034.db2.gz JAJFQIXTWLUSAB-RYUDHWBXSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@H]1CN(C(=O)C2(CF)CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966800885 718665055 /nfs/dbraw/zinc/66/50/55/718665055.db2.gz PCFAEMYIAMBVOF-WDEREUQCSA-N -1 1 321.352 1.114 20 0 DDADMM C[C@@H](C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC000946532840 718820794 /nfs/dbraw/zinc/82/07/94/718820794.db2.gz DZEASAJAJLHWIM-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM CCN(C(=O)c1cc[nH]c1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968033596 719129501 /nfs/dbraw/zinc/12/95/01/719129501.db2.gz FDKVCFSIRRABNK-UHFFFAOYSA-N -1 1 314.345 1.102 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000948186960 719385963 /nfs/dbraw/zinc/38/59/63/719385963.db2.gz RZPMROKAKPXECB-NEPJUHHUSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C1CC1 ZINC000948389802 719478559 /nfs/dbraw/zinc/47/85/59/719478559.db2.gz LCKDXPMVGXZKAO-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@H](F)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886550 719844180 /nfs/dbraw/zinc/84/41/80/719844180.db2.gz QRQBIJKHOZESIL-TUAOUCFPSA-N -1 1 321.352 1.351 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2cc(C)on2)C1 ZINC000949947602 720426230 /nfs/dbraw/zinc/42/62/30/720426230.db2.gz CFKXENKKXBCEIJ-UHFFFAOYSA-N -1 1 316.361 1.430 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(CC[C@H]2CCCO2)C1 ZINC000949952099 720428165 /nfs/dbraw/zinc/42/81/65/720428165.db2.gz GRNCPPZHLHXCFG-CQSZACIVSA-N -1 1 319.405 1.503 20 0 DDADMM CC[C@H](CN1CC(N(CC)C(=O)c2ncccc2[O-])C1)OC ZINC000949952858 720428723 /nfs/dbraw/zinc/42/87/23/720428723.db2.gz ZQNRMDQJCCEELV-CYBMUJFWSA-N -1 1 307.394 1.359 20 0 DDADMM CC(=O)N(CC1CC1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000952617896 721481067 /nfs/dbraw/zinc/48/10/67/721481067.db2.gz XGNKUZFNAGOMLG-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)C(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC000953328628 721594373 /nfs/dbraw/zinc/59/43/73/721594373.db2.gz RMGYKPHFWDIHRQ-STQMWFEESA-N -1 1 317.389 1.506 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C=C2CCC2)C1 ZINC000954124314 721723520 /nfs/dbraw/zinc/72/35/20/721723520.db2.gz VKLLECXXIXQCMD-UHFFFAOYSA-N -1 1 301.346 1.180 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1nccs1)C(=O)N1CCCC1 ZINC001187913052 744629072 /nfs/dbraw/zinc/62/90/72/744629072.db2.gz SWTYTWCYXWLDKB-JTQLQIEISA-N -1 1 317.436 1.068 20 0 DDADMM Cc1ncc(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038195923 733220215 /nfs/dbraw/zinc/22/02/15/733220215.db2.gz YJSWOBHXQXOQIT-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001167382434 733348587 /nfs/dbraw/zinc/34/85/87/733348587.db2.gz VJAILKDIZSZDKU-NWDGAFQWSA-N -1 1 321.425 1.323 20 0 DDADMM CC[C@H](CCO)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692821131 738057878 /nfs/dbraw/zinc/05/78/78/738057878.db2.gz FWWSBOZGTJWEDU-MRVPVSSYSA-N -1 1 310.778 1.561 20 0 DDADMM CCCCc1noc(CNCCNC(=O)c2ncccc2[O-])n1 ZINC001126119852 738328970 /nfs/dbraw/zinc/32/89/70/738328970.db2.gz YPALMQKHSMQZMA-UHFFFAOYSA-N -1 1 319.365 1.032 20 0 DDADMM O=C(NCC[C@H]1CCN(C(=O)C(F)F)C1)c1ncccc1[O-] ZINC001058979968 739421015 /nfs/dbraw/zinc/42/10/15/739421015.db2.gz BJNIXZTYOIJBJD-VIFPVBQESA-N -1 1 313.304 1.021 20 0 DDADMM CCCCC(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059170283 740315056 /nfs/dbraw/zinc/31/50/56/740315056.db2.gz WFMXPIWTPAOEGT-ZDUSSCGKSA-N -1 1 319.405 1.946 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)CCN1CCF ZINC001087795190 740755236 /nfs/dbraw/zinc/75/52/36/740755236.db2.gz QTFAGBYGXBDZTM-GXSJLCMTSA-N -1 1 322.340 1.481 20 0 DDADMM C[N@H+](Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2ccccc2)C1 ZINC001029630037 741158191 /nfs/dbraw/zinc/15/81/91/741158191.db2.gz IKDPFNGYGDUNNV-LBPRGKRZSA-N -1 1 315.377 1.104 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2ccccc2)C1 ZINC001029630037 741158194 /nfs/dbraw/zinc/15/81/94/741158194.db2.gz IKDPFNGYGDUNNV-LBPRGKRZSA-N -1 1 315.377 1.104 20 0 DDADMM C[N@H+](Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)C(C)(C)C2CC2)C1 ZINC001029814029 741344105 /nfs/dbraw/zinc/34/41/05/741344105.db2.gz IFJZMXYNKWQHEX-NSHDSACASA-N -1 1 321.425 1.227 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)C(C)(C)C2CC2)C1 ZINC001029814029 741344110 /nfs/dbraw/zinc/34/41/10/741344110.db2.gz IFJZMXYNKWQHEX-NSHDSACASA-N -1 1 321.425 1.227 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002201190 742951865 /nfs/dbraw/zinc/95/18/65/742951865.db2.gz DXPOUUVKFVUBGR-OFTGVCEQSA-N -1 1 315.373 1.305 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@](C)(O)c2ccccc2)n1 ZINC001182921522 743745138 /nfs/dbraw/zinc/74/51/38/743745138.db2.gz FNQLANFZZSNUAA-OAHLLOKOSA-N -1 1 303.318 1.433 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cnn2cc(Br)cnc12 ZINC001183562568 743856407 /nfs/dbraw/zinc/85/64/07/743856407.db2.gz JDFVTWQYVWJNGV-UHFFFAOYSA-N -1 1 323.110 1.173 20 0 DDADMM CCCC[C@H](NC=O)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001184589870 744067012 /nfs/dbraw/zinc/06/70/12/744067012.db2.gz QKNDWPQCZXUTQJ-AWEZNQCLSA-N -1 1 316.361 1.821 20 0 DDADMM C[C@H]1C[C@H]1c1ncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC001185116200 744163797 /nfs/dbraw/zinc/16/37/97/744163797.db2.gz MZKAKDXVZQWBMG-CAHLUQPWSA-N -1 1 312.255 1.989 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1cnc([C@@H]2C[C@@H]2C)nc1 ZINC001185118674 744164371 /nfs/dbraw/zinc/16/43/71/744164371.db2.gz WJRJJBVQLNIETR-WCBMZHEXSA-N -1 1 315.333 1.752 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)C34CCC(O)(CC3)CC4)c1-2 ZINC001185270296 744194992 /nfs/dbraw/zinc/19/49/92/744194992.db2.gz YYHMQOKVWXOLQT-UHFFFAOYSA-N -1 1 301.350 1.059 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2cnoc2C)cc(OC)c1 ZINC001187324635 744540126 /nfs/dbraw/zinc/54/01/26/744540126.db2.gz SOBXNLFDFRHMAL-UHFFFAOYSA-N -1 1 312.347 1.479 20 0 DDADMM O=C(NCC1CC(NC(=O)[C@@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000992057019 744565441 /nfs/dbraw/zinc/56/54/41/744565441.db2.gz RYXHXRDHFAWSQG-MCIGGMRASA-N -1 1 315.373 1.212 20 0 DDADMM O=S(=O)([N-]Cc1ncco1)c1ccc(Cl)nc1Cl ZINC001187926373 744636180 /nfs/dbraw/zinc/63/61/80/744636180.db2.gz KRWRPGMQYPYRTB-UHFFFAOYSA-N -1 1 308.146 1.855 20 0 DDADMM CCC(=O)N1CCC(C[N-]S(=O)(=O)c2nccs2)CC1 ZINC001187918501 744635349 /nfs/dbraw/zinc/63/53/49/744635349.db2.gz IBPKPMHVLYBAJX-UHFFFAOYSA-N -1 1 317.436 1.070 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cnc(N)cn1 ZINC001187986729 744643686 /nfs/dbraw/zinc/64/36/86/744643686.db2.gz GZRQTSSQTMVJQU-UHFFFAOYSA-N -1 1 321.362 1.073 20 0 DDADMM CS(=O)(=O)c1ccc([N-]S(=O)(=O)C2CCC2)cc1F ZINC001188137362 744664347 /nfs/dbraw/zinc/66/43/47/744664347.db2.gz AFYLVEVBKQEROR-UHFFFAOYSA-N -1 1 307.368 1.523 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cccc2ccc(=O)[nH]c21 ZINC001188394434 744698391 /nfs/dbraw/zinc/69/83/91/744698391.db2.gz CZIPGZCTXQABNE-UHFFFAOYSA-N -1 1 310.331 1.245 20 0 DDADMM Cc1c[nH]c(CCNC(=O)c2cnc(-c3ccccc3)[n-]c2=O)n1 ZINC001190267701 745168491 /nfs/dbraw/zinc/16/84/91/745168491.db2.gz NKXFGIUPDWMTBU-UHFFFAOYSA-N -1 1 323.356 1.853 20 0 DDADMM Cc1cnc(NS(=O)(=O)c2ncc[n-]2)c(Br)n1 ZINC001190689682 745297932 /nfs/dbraw/zinc/29/79/32/745297932.db2.gz OAKFMIDWFUAIEQ-UHFFFAOYSA-N -1 1 318.156 1.071 20 0 DDADMM O=S(=O)(Nc1c(F)cccc1OC1COC1)c1ncc[n-]1 ZINC001190728906 745309222 /nfs/dbraw/zinc/30/92/22/745309222.db2.gz BBWWPTURNRWEKM-UHFFFAOYSA-N -1 1 313.310 1.127 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCCCCCC(C)=O)sn1 ZINC001191810812 745605640 /nfs/dbraw/zinc/60/56/40/745605640.db2.gz RTNHLKJWYYHIMO-UHFFFAOYSA-N -1 1 320.436 1.970 20 0 DDADMM Cc1nn(C)c(C)c1C[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192044483 745681483 /nfs/dbraw/zinc/68/14/83/745681483.db2.gz UPJHLXKRQSEVNS-UHFFFAOYSA-N -1 1 320.629 1.784 20 0 DDADMM COc1ccc(-c2cc(C(=O)NCc3nn[n-]n3)ccn2)cc1 ZINC001192134921 745708125 /nfs/dbraw/zinc/70/81/25/745708125.db2.gz CHXZLGAQBYODQN-UHFFFAOYSA-N -1 1 310.317 1.200 20 0 DDADMM O=C(NCCNC(=O)c1c(F)ccc([O-])c1F)c1ccncc1 ZINC001192540060 745827345 /nfs/dbraw/zinc/82/73/45/745827345.db2.gz QGRXRWFFJZEROM-UHFFFAOYSA-N -1 1 321.283 1.225 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cc(C2CC2)nn1C ZINC001193008628 745946294 /nfs/dbraw/zinc/94/62/94/745946294.db2.gz KDNIGPWNDVVLRA-UHFFFAOYSA-N -1 1 315.395 1.381 20 0 DDADMM CCc1cnn2cc([N-]S(=O)(=O)C[C@H]3CCCO3)cnc12 ZINC001193212898 746015623 /nfs/dbraw/zinc/01/56/23/746015623.db2.gz HCYMYZCOLNJMEA-GFCCVEGCSA-N -1 1 310.379 1.212 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1cccc2c1COC2=O ZINC001193930204 746224517 /nfs/dbraw/zinc/22/45/17/746224517.db2.gz YRSDNBHBHZIJTN-UHFFFAOYSA-N -1 1 304.327 1.740 20 0 DDADMM Cn1nnc2cc([N-]S(=O)(=O)c3ccccc3N)ccc21 ZINC001193924080 746239154 /nfs/dbraw/zinc/23/91/54/746239154.db2.gz ILSFVASDKWOJGR-UHFFFAOYSA-N -1 1 303.347 1.351 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)C(=O)NC2 ZINC001193924251 746239397 /nfs/dbraw/zinc/23/93/97/746239397.db2.gz HOVWRJQBKRACAY-UHFFFAOYSA-N -1 1 303.343 1.313 20 0 DDADMM CCNc1ccnc(Cl)c1NC(=O)c1cc(C(=O)OC)n[n-]1 ZINC001194282269 746338858 /nfs/dbraw/zinc/33/88/58/746338858.db2.gz GISQCPOZJJQFPF-UHFFFAOYSA-N -1 1 323.740 1.929 20 0 DDADMM COc1ccc(OC(=O)Nc2cc(=O)[n-]c(N(C)C)n2)cc1 ZINC001194506116 746396851 /nfs/dbraw/zinc/39/68/51/746396851.db2.gz VZENDKODEOFKPL-UHFFFAOYSA-N -1 1 304.306 1.868 20 0 DDADMM O=S(=O)([N-]Cc1ccccn1)c1ncccc1C(F)(F)F ZINC001194529012 746403059 /nfs/dbraw/zinc/40/30/59/746403059.db2.gz FISOFIXPBDPHSB-UHFFFAOYSA-N -1 1 317.292 1.974 20 0 DDADMM O=C1CCC[C@@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC001194585479 746415108 /nfs/dbraw/zinc/41/51/08/746415108.db2.gz JYCISMBVRCYNCK-MRVPVSSYSA-N -1 1 322.308 1.890 20 0 DDADMM CCC[C@@H](CO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC001194586377 746415161 /nfs/dbraw/zinc/41/51/61/746415161.db2.gz JDAYWIVKPMKYAW-QMMMGPOBSA-N -1 1 312.313 1.540 20 0 DDADMM CC(=O)c1nn(C)cc1NC(=O)c1c[n-]c(C(F)(F)F)n1 ZINC001194773826 746462781 /nfs/dbraw/zinc/46/27/81/746462781.db2.gz YFWBRNHONNNDJH-UHFFFAOYSA-N -1 1 301.228 1.617 20 0 DDADMM O=C(NC[C@@H](O)COc1ccccc1)c1cc([O-])cnc1Cl ZINC001195305628 746572578 /nfs/dbraw/zinc/57/25/78/746572578.db2.gz HOMQYBDKFJVKDW-LLVKDONJSA-N -1 1 322.748 1.610 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cnc(OC)c(C(=O)OC)c1 ZINC001195458370 746606169 /nfs/dbraw/zinc/60/61/69/746606169.db2.gz SGDJSFZRKZDDRG-UHFFFAOYSA-N -1 1 313.335 1.320 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(S(C)(=O)=O)c(F)c1 ZINC001195487664 746622849 /nfs/dbraw/zinc/62/28/49/746622849.db2.gz XSBZXDICTIXBIV-UHFFFAOYSA-N -1 1 320.367 1.672 20 0 DDADMM CCOC(=O)CNC(=S)Nc1cc2ccc([O-])cc2oc1=O ZINC001195521770 746635787 /nfs/dbraw/zinc/63/57/87/746635787.db2.gz ZENAERVPGLXAEQ-UHFFFAOYSA-N -1 1 322.342 1.348 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2cnc(C)nc2Cl)cn1 ZINC001195684503 746672183 /nfs/dbraw/zinc/67/21/83/746672183.db2.gz IYFMSGLTACJJMA-UHFFFAOYSA-N -1 1 314.754 1.643 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ncc(OC)cc2F)n[nH]1 ZINC001197799819 747267451 /nfs/dbraw/zinc/26/74/51/747267451.db2.gz HTVFIVVNTKJNOQ-UHFFFAOYSA-N -1 1 308.269 1.381 20 0 DDADMM CSc1nc(NC(=O)c2ccncc2N(C)C)cc(=O)[n-]1 ZINC001198160287 747395041 /nfs/dbraw/zinc/39/50/41/747395041.db2.gz CUIJQCNLOUHPLZ-UHFFFAOYSA-N -1 1 305.363 1.617 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccn(C4CCC4)c(=O)c3)c1-2 ZINC001198744667 747588147 /nfs/dbraw/zinc/58/81/47/747588147.db2.gz ZISVNEGPHDQELX-UHFFFAOYSA-N -1 1 324.344 1.174 20 0 DDADMM Cc1ccc(N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])nn1 ZINC001061343121 747691618 /nfs/dbraw/zinc/69/16/18/747691618.db2.gz FPZDYSWRSKOEEE-GFCCVEGCSA-N -1 1 313.361 1.284 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc(C2CCC2)nc1 ZINC001199291860 747777597 /nfs/dbraw/zinc/77/75/97/747777597.db2.gz WZUMGWZBOCADOG-UHFFFAOYSA-N -1 1 310.317 1.973 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cncn2CC2CCC2)n1 ZINC001199606925 747913172 /nfs/dbraw/zinc/91/31/72/747913172.db2.gz KPLODWADEVMUJK-UHFFFAOYSA-N -1 1 317.349 1.835 20 0 DDADMM Cc1cc(CN2CC[C@H](N(C)C(=O)c3ncccc3[O-])C2)on1 ZINC001033025610 747966884 /nfs/dbraw/zinc/96/68/84/747966884.db2.gz OGCKNRHMJCOZJP-LBPRGKRZSA-N -1 1 316.361 1.430 20 0 DDADMM COc1cnc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c(C)c1 ZINC001199757213 747982288 /nfs/dbraw/zinc/98/22/88/747982288.db2.gz VLPMDDOIBFABCN-UHFFFAOYSA-N -1 1 300.278 1.023 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NC(=S)NCc1ccco1 ZINC001200556966 748278077 /nfs/dbraw/zinc/27/80/77/748278077.db2.gz YYQVARBFUNAASE-UHFFFAOYSA-N -1 1 311.348 1.948 20 0 DDADMM Cc1ncoc1CN1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001007176057 752272453 /nfs/dbraw/zinc/27/24/53/752272453.db2.gz DDNMINMIDIMURK-LBPRGKRZSA-N -1 1 316.361 1.478 20 0 DDADMM O=C(Nc1cccc(Cl)n1)N1CCC(c2nn[n-]n2)CC1 ZINC001201440320 748520674 /nfs/dbraw/zinc/52/06/74/748520674.db2.gz YETOABMHQRHXIE-UHFFFAOYSA-N -1 1 307.745 1.660 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@H]1CC[C@@H](CO)CC1 ZINC001201767154 748598886 /nfs/dbraw/zinc/59/88/86/748598886.db2.gz PKHZBCBCDACTDO-DTORHVGOSA-N -1 1 324.855 1.934 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cc(F)cc(F)c1O ZINC001201916151 748641774 /nfs/dbraw/zinc/64/17/74/748641774.db2.gz NQYVRMCCVQFGBF-UHFFFAOYSA-N -1 1 309.290 1.365 20 0 DDADMM CSCC(=O)N1CC[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001004746635 748712637 /nfs/dbraw/zinc/71/26/37/748712637.db2.gz BPJWDWDXGMTNCK-GHMZBOCLSA-N -1 1 323.418 1.117 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001004755691 748738864 /nfs/dbraw/zinc/73/88/64/748738864.db2.gz XCVLRUOXLGMGNT-STQMWFEESA-N -1 1 317.389 1.720 20 0 DDADMM C[C@@H](CNc1ncnc2c1CCC2)NC(=O)c1ncccc1[O-] ZINC001108323111 761933246 /nfs/dbraw/zinc/93/32/46/761933246.db2.gz KYBDIZRYHCHPBO-JTQLQIEISA-N -1 1 313.361 1.296 20 0 DDADMM CNC(=O)[C@H](C)N1CCC(N(C)C(=O)c2ccccc2O)CC1 ZINC001005135013 749272599 /nfs/dbraw/zinc/27/25/99/749272599.db2.gz YJDQBOJHSGBNOT-LBPRGKRZSA-N -1 1 319.405 1.063 20 0 DDADMM Cc1cc(C)nc(NC/C=C/CNC(=O)c2ncccc2[O-])n1 ZINC001107139560 749358687 /nfs/dbraw/zinc/35/86/87/749358687.db2.gz PIBUEFDIUJDFNA-ONEGZZNKSA-N -1 1 313.361 1.592 20 0 DDADMM Cc1cccc(C(=O)N2CC([C@@H](C)NC(=O)c3cnn[nH]3)C2)c1 ZINC001006380651 749432257 /nfs/dbraw/zinc/43/22/57/749432257.db2.gz BSKLLLXFVYXMKZ-LLVKDONJSA-N -1 1 313.361 1.004 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996367315 749528106 /nfs/dbraw/zinc/52/81/06/749528106.db2.gz FERNUTUCCDULGL-GHMZBOCLSA-N -1 1 309.414 1.129 20 0 DDADMM COc1ccc([C@@H]2C[C@@H]([NH2+]CCP(=O)([O-])[O-])CCO2)cc1 ZINC001168599461 751302190 /nfs/dbraw/zinc/30/21/90/751302190.db2.gz YXJVSNJXEIEPSV-JSGCOSHPSA-N -1 1 315.306 1.683 20 0 DDADMM C[C@@H]1CCCN(C(=O)CC2CCC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036528966 752523309 /nfs/dbraw/zinc/52/33/09/752523309.db2.gz BSAUTWUKLGMNIL-YPMHNXCESA-N -1 1 321.425 1.417 20 0 DDADMM CC1(C)CN(C(=O)CC2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001008069119 752530834 /nfs/dbraw/zinc/53/08/34/752530834.db2.gz JVCNGLDGKVQZHJ-CYBMUJFWSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC000999507194 752956877 /nfs/dbraw/zinc/95/68/77/752956877.db2.gz DFDXTMQNIXQUEE-WDEREUQCSA-N -1 1 309.414 1.273 20 0 DDADMM CC1(C)CCC[C@@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999956600 753272229 /nfs/dbraw/zinc/27/22/29/753272229.db2.gz OYRRUHHSBSFLGK-NWDGAFQWSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H](NC(=O)C1=CCCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005891713 753321639 /nfs/dbraw/zinc/32/16/39/753321639.db2.gz DDRXTTUQIFVGAC-NSHDSACASA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2ccc[nH]2)C1 ZINC001005949520 753409070 /nfs/dbraw/zinc/40/90/70/753409070.db2.gz GWPXSEDSKRJCRL-JTQLQIEISA-N -1 1 314.345 1.006 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005956099 753416693 /nfs/dbraw/zinc/41/66/93/753416693.db2.gz IXNCMOOLWZQJNP-NWDGAFQWSA-N -1 1 319.405 1.656 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)C(F)F ZINC001009913262 753464130 /nfs/dbraw/zinc/46/41/30/753464130.db2.gz XHPNPGALPUXNAN-RKDXNWHRSA-N -1 1 313.304 1.019 20 0 DDADMM COC(=O)C1(C)CCC(Nc2[n-]c(=O)nc3nc[nH]c32)CC1 ZINC001168763167 753996209 /nfs/dbraw/zinc/99/62/09/753996209.db2.gz CIQTZRXUJJXTNC-UHFFFAOYSA-N -1 1 305.338 1.592 20 0 DDADMM Cc1nc([C@@H](C)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001010733001 754130101 /nfs/dbraw/zinc/13/01/01/754130101.db2.gz OJHGXAOEBZIXOD-MWLCHTKSSA-N -1 1 317.349 1.044 20 0 DDADMM Cc1cc(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)co1 ZINC001011035267 754318046 /nfs/dbraw/zinc/31/80/46/754318046.db2.gz AWVOVLZEKZNMEG-UHFFFAOYSA-N -1 1 315.329 1.287 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CC=CC1 ZINC001012413258 755056056 /nfs/dbraw/zinc/05/60/56/755056056.db2.gz QAURNZOXPRZMCN-WCQYABFASA-N -1 1 315.373 1.473 20 0 DDADMM CCCCC(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079318208 755217235 /nfs/dbraw/zinc/21/72/35/755217235.db2.gz SHGAMCYPCKYFLU-UHFFFAOYSA-N -1 1 305.378 1.650 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cc[nH]c1 ZINC001012813431 755240896 /nfs/dbraw/zinc/24/08/96/755240896.db2.gz YPDLDQMXIMLXIT-ZYHUDNBSSA-N -1 1 314.345 1.148 20 0 DDADMM CCN(C(=O)/C=C\C1CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079551528 755436137 /nfs/dbraw/zinc/43/61/37/755436137.db2.gz RWUKAGRCJUMDOA-FPLPWBNLSA-N -1 1 315.373 1.426 20 0 DDADMM C[C@@H]1CN(Cc2nccs2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001079611185 755472962 /nfs/dbraw/zinc/47/29/62/755472962.db2.gz RPSOYIPSTZVBIA-GHMZBOCLSA-N -1 1 318.402 1.494 20 0 DDADMM Cc1cc(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)co1 ZINC001085181837 758349563 /nfs/dbraw/zinc/34/95/63/758349563.db2.gz UZXRIROMVZHCEN-VXGBXAGGSA-N -1 1 319.365 1.243 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C(C)(C)F ZINC001018099950 758547519 /nfs/dbraw/zinc/54/75/19/758547519.db2.gz NVILWFZUNJRWQO-QWRGUYRKSA-N -1 1 323.368 1.645 20 0 DDADMM O=C(NC[C@@H]1CCC2(CN(CCCF)C2)O1)c1ncccc1[O-] ZINC001053594148 758613541 /nfs/dbraw/zinc/61/35/41/758613541.db2.gz FOXZGTRKTZZCRT-LBPRGKRZSA-N -1 1 323.368 1.110 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)C2(C)CC2)CN1C(=O)c1ncccc1[O-] ZINC001018194167 758619908 /nfs/dbraw/zinc/61/99/08/758619908.db2.gz HXRSQQRXDQAUNH-VXGBXAGGSA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962653 759454178 /nfs/dbraw/zinc/45/41/78/759454178.db2.gz VUEWPBMGKOVLLI-UHFFFAOYSA-N -1 1 303.362 1.118 20 0 DDADMM Cc1conc1CN1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001085561460 759698077 /nfs/dbraw/zinc/69/80/77/759698077.db2.gz MGTZRSXCNNYHDJ-GFCCVEGCSA-N -1 1 316.361 1.430 20 0 DDADMM CC(C(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1)=C1CCCC1 ZINC001054549351 759798233 /nfs/dbraw/zinc/79/82/33/759798233.db2.gz MTWZOKWXPADKIS-MFKMUULPSA-N -1 1 319.409 1.337 20 0 DDADMM CC[C@@H](F)C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001067254865 761064178 /nfs/dbraw/zinc/06/41/78/761064178.db2.gz QNYYACZNEQDRFT-LLVKDONJSA-N -1 1 311.357 1.456 20 0 DDADMM C[C@H](NC(=O)C1(C)CC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532088 763719720 /nfs/dbraw/zinc/71/97/20/763719720.db2.gz WZQDLZIHRXEXNM-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(N[C@@H](CNc1cc(F)ncn1)C1CC1)c1ncccc1[O-] ZINC001109821573 764105412 /nfs/dbraw/zinc/10/54/12/764105412.db2.gz JVXBVFBXGQEULT-JTQLQIEISA-N -1 1 317.324 1.337 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C[C@H]2CCCCO2)C1 ZINC001042645941 764308708 /nfs/dbraw/zinc/30/87/08/764308708.db2.gz IFTFEAROFIGJLQ-CYBMUJFWSA-N -1 1 305.378 1.113 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C[C@@H]2CC(C)(C)CO2)C1 ZINC001042646116 764309988 /nfs/dbraw/zinc/30/99/88/764309988.db2.gz KGWQHADUPSHELI-ZDUSSCGKSA-N -1 1 319.405 1.359 20 0 DDADMM CC1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001045140344 766109928 /nfs/dbraw/zinc/10/99/28/766109928.db2.gz RARXVGJTZTXASX-NSHDSACASA-N -1 1 307.398 1.171 20 0 DDADMM CCOC(=O)c1cc(Nc2[n-]c(=O)nc3nc[nH]c32)nc(C)n1 ZINC001170224411 766197764 /nfs/dbraw/zinc/19/77/64/766197764.db2.gz YQFQYMCQJMBEIL-UHFFFAOYSA-N -1 1 315.293 1.077 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2cnn(-c3ccccc3)c(=O)c2)[n-]1 ZINC001170229695 766203779 /nfs/dbraw/zinc/20/37/79/766203779.db2.gz XMKIZGRKRFQHCX-UHFFFAOYSA-N -1 1 321.300 1.348 20 0 DDADMM C[C@@H]1[C@H](Nc2nccnc2F)CCN1C(=O)c1ncccc1[O-] ZINC001068821457 767638915 /nfs/dbraw/zinc/63/89/15/767638915.db2.gz BGLQUCRGAKKMMN-NXEZZACHSA-N -1 1 317.324 1.431 20 0 DDADMM CC(C)=CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071953492 770341346 /nfs/dbraw/zinc/34/13/46/770341346.db2.gz ZTSSWXWXYUYSIQ-NWDGAFQWSA-N -1 1 303.362 1.330 20 0 DDADMM Cc1conc1CN[C@H](C)C[C@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001134089367 770642714 /nfs/dbraw/zinc/64/27/14/770642714.db2.gz DZGWTVHJUTWRFZ-ZJUUUORDSA-N -1 1 321.381 1.407 20 0 DDADMM CC(=O)[C@@H](Cc1ccccc1)NC(=O)C(=O)c1ccc([O-])cc1 ZINC001138059491 772066954 /nfs/dbraw/zinc/06/69/54/772066954.db2.gz QABGCLFDODISSI-MRXNPFEDSA-N -1 1 311.337 1.891 20 0 DDADMM Cc1conc1CNC1CC(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001090982937 772407061 /nfs/dbraw/zinc/40/70/61/772407061.db2.gz HGOTXFLPLGNWMX-UHFFFAOYSA-N -1 1 319.365 1.018 20 0 DDADMM COC(=O)C[C@H]1CN(Cc2c(F)cc([O-])cc2F)CCO1 ZINC001144555162 772564286 /nfs/dbraw/zinc/56/42/86/772564286.db2.gz XUJVIWMDIXDGLO-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM O=C(N1CCN(Cc2cc(F)c([O-])cc2F)CC1)C(F)(F)F ZINC001144647158 772587081 /nfs/dbraw/zinc/58/70/81/772587081.db2.gz AQDXDJLIZNOVKO-UHFFFAOYSA-N -1 1 324.249 1.877 20 0 DDADMM CN(C)C(=O)NC1CCN(Cc2cc(F)c([O-])cc2F)CC1 ZINC001144663835 772589990 /nfs/dbraw/zinc/58/99/90/772589990.db2.gz KTHQVQTWBOPILT-UHFFFAOYSA-N -1 1 313.348 1.906 20 0 DDADMM COc1nn(C)cc1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001147019394 773017460 /nfs/dbraw/zinc/01/74/60/773017460.db2.gz SHJJHEOVGJEWJA-UHFFFAOYSA-N -1 1 313.317 1.572 20 0 DDADMM COc1cnc(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)nc1 ZINC001147030577 773022319 /nfs/dbraw/zinc/02/23/19/773022319.db2.gz FYRZTYZNGFJHMF-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM Cc1cccc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)n1 ZINC001147209653 773068527 /nfs/dbraw/zinc/06/85/27/773068527.db2.gz FYWHLJNGSBSYPT-UHFFFAOYSA-N -1 1 302.294 1.602 20 0 DDADMM CC[C@H](CC(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001147473837 773144800 /nfs/dbraw/zinc/14/48/00/773144800.db2.gz BDONGLVWLXNZLE-GFCCVEGCSA-N -1 1 317.393 1.300 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CCc2n[nH]nc2C1 ZINC001147529117 773170072 /nfs/dbraw/zinc/17/00/72/773170072.db2.gz IHVOCSGULKYUDU-UHFFFAOYSA-N -1 1 310.313 1.568 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCCC2CCC(O)CC2)c1[O-] ZINC001147844309 773267747 /nfs/dbraw/zinc/26/77/47/773267747.db2.gz NOESEXBBDAAJQG-UHFFFAOYSA-N -1 1 308.378 1.259 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NC[C@@H](F)C(C)(C)O)cc2)[n-]1 ZINC001148340845 773442843 /nfs/dbraw/zinc/44/28/43/773442843.db2.gz QSWGXSJVFFEPIJ-CYBMUJFWSA-N -1 1 321.352 1.638 20 0 DDADMM CC(=O)c1nn(C)cc1NC(=O)c1ccc([O-])c(C(C)=O)c1 ZINC001148656992 773568878 /nfs/dbraw/zinc/56/88/78/773568878.db2.gz DEPYSWJNIOWWMX-UHFFFAOYSA-N -1 1 301.302 1.783 20 0 DDADMM O=C(NC1(CCO)COC1)c1cccc(-c2ccccc2)c1[O-] ZINC001148871627 773622513 /nfs/dbraw/zinc/62/25/13/773622513.db2.gz BCSSHBVYIFUGJR-UHFFFAOYSA-N -1 1 313.353 1.940 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cc4c(cn3)OCC4(C)C)c1-2 ZINC001174931088 777626087 /nfs/dbraw/zinc/62/60/87/777626087.db2.gz XWURZGGUVLTICH-UHFFFAOYSA-N -1 1 324.344 1.352 20 0 DDADMM O=C(Cc1nn[n-]n1)N1CCC[C@@]2(CCc3c2cccc3F)C1 ZINC001176842913 778272330 /nfs/dbraw/zinc/27/23/30/778272330.db2.gz VAHCJCOTKCVMLG-MRXNPFEDSA-N -1 1 315.352 1.388 20 0 DDADMM CC(C)CC[C@@H](NC(=O)Cc1nn[n-]n1)C(=O)OC(C)(C)C ZINC001176846156 778300805 /nfs/dbraw/zinc/30/08/05/778300805.db2.gz WWGGODSBYUEQFZ-SNVBAGLBSA-N -1 1 311.386 1.005 20 0 DDADMM CC[C@H](C)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102680020 778303442 /nfs/dbraw/zinc/30/34/42/778303442.db2.gz SNJYNXWHNWWPSA-QJPTWQEYSA-N -1 1 309.414 1.131 20 0 DDADMM Cn1ccc(C(=O)Nc2cc(=O)[nH]c(Cl)n2)c1CC(=O)[O-] ZINC001177363817 778569251 /nfs/dbraw/zinc/56/92/51/778569251.db2.gz SSPIZWWIRLOZGL-UHFFFAOYSA-N -1 1 310.697 1.054 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1nccnc1F ZINC001103069661 778617920 /nfs/dbraw/zinc/61/79/20/778617920.db2.gz ZJZGKJFISYSJTR-VIFPVBQESA-N -1 1 305.313 1.337 20 0 DDADMM CC(C)(Cc1ccncc1)C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001178247219 778950750 /nfs/dbraw/zinc/95/07/50/778950750.db2.gz SJXAASYDGUJPEH-UHFFFAOYSA-N -1 1 317.305 1.888 20 0 DDADMM CC(C)c1ccnc(CC(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001178229448 778960961 /nfs/dbraw/zinc/96/09/61/778960961.db2.gz ZLEYYRGOSHXRAW-UHFFFAOYSA-N -1 1 314.322 1.822 20 0 DDADMM Cc1nsc(NC2(CNC(=O)c3ncccc3[O-])CCC2)n1 ZINC001111788733 779482644 /nfs/dbraw/zinc/48/26/44/779482644.db2.gz XWJZCVKDGDLEEL-UHFFFAOYSA-N -1 1 319.390 1.712 20 0 DDADMM Cc1ccccc1O[C@H](C)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001180519081 779798585 /nfs/dbraw/zinc/79/85/85/779798585.db2.gz ULKRQNKPBADOMW-MRVPVSSYSA-N -1 1 304.306 1.184 20 0 DDADMM CC(C)(C)O[N-]C(=O)CNS(=O)(=O)c1c(F)cccc1F ZINC001117679485 780878961 /nfs/dbraw/zinc/87/89/61/780878961.db2.gz ZDMFQCSTYJBYGT-UHFFFAOYSA-N -1 1 322.333 1.089 20 0 DDADMM CC(C)C[C@@]1(C)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001117770984 780897585 /nfs/dbraw/zinc/89/75/85/780897585.db2.gz VABYYHJTAXTVDM-MRXNPFEDSA-N -1 1 307.394 1.354 20 0 DDADMM CC[C@@H](NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1)C1CC1 ZINC001119244896 781377261 /nfs/dbraw/zinc/37/72/61/781377261.db2.gz HWXDIPMAYQJCIB-GFCCVEGCSA-N -1 1 310.781 1.967 20 0 DDADMM Cc1cnc(Cl)cc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001119968538 781700385 /nfs/dbraw/zinc/70/03/85/781700385.db2.gz RQLOJCRZNVKMMD-SECBINFHSA-N -1 1 324.797 1.487 20 0 DDADMM CC(C)N(CCCNC(=O)CC1CCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001267497050 838182448 /nfs/dbraw/zinc/18/24/48/838182448.db2.gz KZLJDZDQSONHHK-UHFFFAOYSA-N -1 1 323.441 1.807 20 0 DDADMM CN(C)C(=O)N(C)[C@H]1CCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001414173739 838540901 /nfs/dbraw/zinc/54/09/01/838540901.db2.gz RJUBDKGPTYNJRM-NSHDSACASA-N -1 1 313.348 1.858 20 0 DDADMM CC[C@H](C)N1CC[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC001268842760 840892565 /nfs/dbraw/zinc/89/25/65/840892565.db2.gz PLCMUVFNFNEREB-YVEFUNNKSA-N -1 1 317.389 1.650 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCCC[C@@H]2C)[n-]n1 ZINC001414212356 842294897 /nfs/dbraw/zinc/29/48/97/842294897.db2.gz ZWXLSAUCYUABCU-UWVGGRQHSA-N -1 1 315.395 1.301 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCCC[C@@H]2C)n[n-]1 ZINC001414212356 842294905 /nfs/dbraw/zinc/29/49/05/842294905.db2.gz ZWXLSAUCYUABCU-UWVGGRQHSA-N -1 1 315.395 1.301 20 0 DDADMM CN(C)C(=O)[C@@H]1CCC[C@@]12CCN(C(=O)c1ccc([O-])cn1)C2 ZINC001270139691 842327380 /nfs/dbraw/zinc/32/73/80/842327380.db2.gz YWRKICXKEXOOOW-GUYCJALGSA-N -1 1 317.389 1.508 20 0 DDADMM CNC(=O)C1CCN(C(=O)c2ccc3cccnc3c2[O-])CC1 ZINC001149315024 861539055 /nfs/dbraw/zinc/53/90/55/861539055.db2.gz RPQSVQGOMUBHEX-UHFFFAOYSA-N -1 1 313.357 1.539 20 0 DDADMM C[C@]1(CO)CN(C(=O)c2ccc3cccnc3c2[O-])CC[C@H]1O ZINC001149318925 861546114 /nfs/dbraw/zinc/54/61/14/861546114.db2.gz IEQIEZYLPMNNMW-CXAGYDPISA-N -1 1 316.357 1.146 20 0 DDADMM O=C(NCCc1nncs1)c1ccc2cccnc2c1[O-] ZINC001149329268 861551491 /nfs/dbraw/zinc/55/14/91/861551491.db2.gz PCDCYLUKAUIXKC-UHFFFAOYSA-N -1 1 300.343 1.764 20 0 DDADMM CN(C)C(=O)CN(C)C(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149646244 861778053 /nfs/dbraw/zinc/77/80/53/861778053.db2.gz KTGYOUQYEIIOOY-UHFFFAOYSA-N -1 1 302.330 1.411 20 0 DDADMM Nc1cnc(Cl)c(NC(=O)c2nc3ccc(Cl)nc3[n-]2)n1 ZINC001155171118 861798046 /nfs/dbraw/zinc/79/80/46/861798046.db2.gz PLNQOMSAEHNOBJ-UHFFFAOYSA-N -1 1 324.131 1.889 20 0 DDADMM CCCCCCN1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001272850713 847549137 /nfs/dbraw/zinc/54/91/37/847549137.db2.gz IMKMKVTXDINOKN-CQSZACIVSA-N -1 1 321.421 1.798 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCCCN(C/C=C/Cl)C2)c1[O-] ZINC001034163823 848056898 /nfs/dbraw/zinc/05/68/98/848056898.db2.gz BMIXMTWSIWNBOR-DUMNWFOQSA-N -1 1 312.801 1.761 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn3c(cccc3C)n2)n1 ZINC001128946706 848449907 /nfs/dbraw/zinc/44/99/07/848449907.db2.gz XDLRUKDQKFLFPA-UHFFFAOYSA-N -1 1 313.317 1.795 20 0 DDADMM O=C(NC[C@@H]1C(=O)Nc2ccccc21)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155277624 861924825 /nfs/dbraw/zinc/92/48/25/861924825.db2.gz ZULYGEDKOVJCQX-LBPRGKRZSA-N -1 1 322.324 1.734 20 0 DDADMM COC(=O)[C@H]1CC[C@@H](NC(=O)c2[n-][nH]c3cc(=O)ccc2-3)C1 ZINC001155273103 861920777 /nfs/dbraw/zinc/92/07/77/861920777.db2.gz ISOPEKPFFIAMNL-DTWKUNHWSA-N -1 1 303.318 1.340 20 0 DDADMM CC[C@@H](C)[C@H](C[N-]S(=O)(=O)c1cc(OC)ns1)OC ZINC001364863987 849220990 /nfs/dbraw/zinc/22/09/90/849220990.db2.gz BBUOEEIDLBUWJX-BDAKNGLRSA-N -1 1 308.425 1.491 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H]2CCCOC2)[n-]c1Cl ZINC001433560781 849283801 /nfs/dbraw/zinc/28/38/01/849283801.db2.gz PZJNPCOHBAHFIK-ZETCQYMHSA-N -1 1 301.730 1.605 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])C1(F)CCCC1 ZINC001410595879 849524232 /nfs/dbraw/zinc/52/42/32/849524232.db2.gz GZYJOPKDVWXRIN-NSHDSACASA-N -1 1 321.352 1.400 20 0 DDADMM CCCC(=O)N(C)C1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001410852554 849863891 /nfs/dbraw/zinc/86/38/91/849863891.db2.gz JOLJMGRRMZXKSX-UHFFFAOYSA-N -1 1 318.377 1.143 20 0 DDADMM CCCCC(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001410977953 850049045 /nfs/dbraw/zinc/04/90/45/850049045.db2.gz LVOQJSWHDNNOFM-MRXNPFEDSA-N -1 1 305.378 1.698 20 0 DDADMM CN1CC[C@@]2(CCN(C(=O)c3cccc([O-])c3Cl)C2)C1=O ZINC001273545310 851080201 /nfs/dbraw/zinc/08/02/01/851080201.db2.gz DFNWTJFWLBFUBZ-HNNXBMFYSA-N -1 1 308.765 1.740 20 0 DDADMM CN1C[C@H]2C[C@@H](C1)N(C(=O)c1cc(Cl)nc(Cl)c1[O-])C2 ZINC001274311206 852146901 /nfs/dbraw/zinc/14/69/01/852146901.db2.gz MSPGKQULXQNDJX-SFYZADRCSA-N -1 1 316.188 1.870 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CC(N4CC(F)C4)C3)c([O-])c2n1 ZINC001155651234 862341503 /nfs/dbraw/zinc/34/15/03/862341503.db2.gz BRFIOMBWNGEBAN-UHFFFAOYSA-N -1 1 315.348 1.727 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CC[C@@H](O)[C@H](F)C3)c([O-])c2n1 ZINC001155653594 862344046 /nfs/dbraw/zinc/34/40/46/862344046.db2.gz NSVLTRHGMRXQOL-CHWSQXEVSA-N -1 1 304.321 1.794 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2cc(O)ccc2o1 ZINC001155664237 862358218 /nfs/dbraw/zinc/35/82/18/862358218.db2.gz PBRIRZOTJBIOGY-UHFFFAOYSA-N -1 1 316.229 1.990 20 0 DDADMM CN1C[C@]2(CCN(Cc3ccc(F)c([O-])c3F)C2)OCC1=O ZINC001274793538 852609184 /nfs/dbraw/zinc/60/91/84/852609184.db2.gz BXHUXQZTBCKOJO-HNNXBMFYSA-N -1 1 312.316 1.104 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC2(C[C@@H]2C(=O)N2CC=CC2)C1 ZINC001275398859 853068245 /nfs/dbraw/zinc/06/82/45/853068245.db2.gz JAMWUBSZPZFSRE-CYBMUJFWSA-N -1 1 316.332 1.392 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CC(F)(F)F ZINC001411529491 853306924 /nfs/dbraw/zinc/30/69/24/853306924.db2.gz GQKLOHKHSHWIIA-QMMMGPOBSA-N -1 1 319.283 1.316 20 0 DDADMM C[C@H](CNC(=O)C1(C)CCCC1)N(C)C(=O)c1ncccc1[O-] ZINC001411633526 853491344 /nfs/dbraw/zinc/49/13/44/853491344.db2.gz KGSLANNPELTVCD-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccnc(OC2CCCC2)c1 ZINC001411647510 853519263 /nfs/dbraw/zinc/51/92/63/853519263.db2.gz ULXRTEIMAXHDJZ-UHFFFAOYSA-N -1 1 316.365 1.165 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CC[C@H]1C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001411987030 854075529 /nfs/dbraw/zinc/07/55/29/854075529.db2.gz AOBKNYQLRLBEML-GMTAPVOTSA-N -1 1 323.397 1.130 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2c(C)cc(C)[nH]c2=O)n1 ZINC001412210016 854336783 /nfs/dbraw/zinc/33/67/83/854336783.db2.gz ONYDAEJIOXQUGH-UHFFFAOYSA-N -1 1 318.333 1.485 20 0 DDADMM Cn1cc(O)c(=O)cc1CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC001412291314 854413674 /nfs/dbraw/zinc/41/36/74/854413674.db2.gz IYCJSQCXQIYDFH-UHFFFAOYSA-N -1 1 322.748 1.309 20 0 DDADMM O=C(NCCN1CCc2sccc2C1)c1ccc([O-])cn1 ZINC001412458025 854574278 /nfs/dbraw/zinc/57/42/78/854574278.db2.gz TVOTUTZTVPZRHY-UHFFFAOYSA-N -1 1 303.387 1.637 20 0 DDADMM CS[C@H](C)CNC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001330224171 864170697 /nfs/dbraw/zinc/17/06/97/864170697.db2.gz BLQYETVVCWNVDA-MRVPVSSYSA-N -1 1 316.810 1.530 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccc(F)cc1F)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001412495193 854625088 /nfs/dbraw/zinc/62/50/88/854625088.db2.gz YZMXLHHZAQPNKP-JFUSQASVSA-N -1 1 319.315 1.598 20 0 DDADMM Cc1nn(C)c(C)c1CCC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001412533255 854674448 /nfs/dbraw/zinc/67/44/48/854674448.db2.gz XLCBNYHDNDLWMM-UHFFFAOYSA-N -1 1 319.413 1.167 20 0 DDADMM COC(=O)NCc1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001412564940 854729152 /nfs/dbraw/zinc/72/91/52/854729152.db2.gz JGVQHAINZHFBTO-UHFFFAOYSA-N -1 1 318.337 1.017 20 0 DDADMM CC[C@@H](Sc1ccccc1)C(=O)N[C@H](COC)c1nn[n-]n1 ZINC001412600521 854791968 /nfs/dbraw/zinc/79/19/68/854791968.db2.gz DVBHIXOZXYTCJY-VXGBXAGGSA-N -1 1 321.406 1.574 20 0 DDADMM CCN(C(=O)c1cnncc1[O-])[C@H](COC)Cc1ccccc1 ZINC001412645539 854872310 /nfs/dbraw/zinc/87/23/10/854872310.db2.gz SMCUARJUONGFNQ-AWEZNQCLSA-N -1 1 315.373 1.902 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H](C(F)(F)F)C2)c(=O)[n-]1 ZINC001412702480 854981494 /nfs/dbraw/zinc/98/14/94/854981494.db2.gz FBOJGGKWWAHSTC-LURJTMIESA-N -1 1 307.297 1.929 20 0 DDADMM O=C(N[C@H]1CS(=O)(=O)c2ccccc21)c1cccc([O-])c1F ZINC001412729755 855039509 /nfs/dbraw/zinc/03/95/09/855039509.db2.gz YGEVJPQXEYCJAL-NSHDSACASA-N -1 1 321.329 1.790 20 0 DDADMM CCc1nn(C)c(OC)c1CNC(=O)c1ccc(F)c([O-])c1 ZINC001412752385 855105420 /nfs/dbraw/zinc/10/54/20/855105420.db2.gz XXKLMYCZXGAGHL-UHFFFAOYSA-N -1 1 307.325 1.766 20 0 DDADMM CCC(CC)(NC(=O)c1cccc(OC)c1F)c1nn[n-]n1 ZINC001412938030 855794989 /nfs/dbraw/zinc/79/49/89/855794989.db2.gz VJQVOKRHBQVERA-UHFFFAOYSA-N -1 1 307.329 1.793 20 0 DDADMM Cn1ccnc1[C@H]1C[C@H](NC(=O)c2cccc([O-])c2F)CCO1 ZINC001413092422 856408567 /nfs/dbraw/zinc/40/85/67/856408567.db2.gz ZRBZYBRUWBOMPE-ZWNOBZJWSA-N -1 1 319.336 1.915 20 0 DDADMM COC(=O)c1cccc(NC(=O)c2ccc([O-])cn2)c1OC ZINC001413229543 856568371 /nfs/dbraw/zinc/56/83/71/856568371.db2.gz SEWWKFJDHRYWDT-UHFFFAOYSA-N -1 1 302.286 1.835 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1C[C@H]1c1ccccc1 ZINC001413329997 856672657 /nfs/dbraw/zinc/67/26/57/856672657.db2.gz QDQMJZMTLSOHPL-CMPLNLGQSA-N -1 1 321.358 1.031 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC(C)(C)C2CCC2)[n-]n1 ZINC001413358251 856710638 /nfs/dbraw/zinc/71/06/38/856710638.db2.gz PWFNYBYGZMJFRU-UHFFFAOYSA-N -1 1 315.395 1.301 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC(C)(C)C2CCC2)n[n-]1 ZINC001413358251 856710646 /nfs/dbraw/zinc/71/06/46/856710646.db2.gz PWFNYBYGZMJFRU-UHFFFAOYSA-N -1 1 315.395 1.301 20 0 DDADMM CCCC[C@@H](O)CCC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC001413462840 856843665 /nfs/dbraw/zinc/84/36/65/856843665.db2.gz SHWOXHPLGBUDQT-LLVKDONJSA-N -1 1 324.343 1.455 20 0 DDADMM Cc1noc(C)c1C(C)(C)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001413501277 856895991 /nfs/dbraw/zinc/89/59/91/856895991.db2.gz DJAPLVWRCNRVJW-UHFFFAOYSA-N -1 1 320.397 1.767 20 0 DDADMM O=C(COc1ccc2c(c1)CCC2)NC1(c2nn[n-]n2)CCC1 ZINC001413506663 856906337 /nfs/dbraw/zinc/90/63/37/856906337.db2.gz HANHTQODHYHQHW-UHFFFAOYSA-N -1 1 313.361 1.263 20 0 DDADMM CC(=O)c1ccc(CC(=O)N(Cc2nn[n-]n2)CC(C)C)cc1 ZINC001413506751 856906967 /nfs/dbraw/zinc/90/69/67/856906967.db2.gz VPEYTDTVQQONER-UHFFFAOYSA-N -1 1 315.377 1.630 20 0 DDADMM Cc1cc2c(cc1C)[C@@H](C(=O)NC1(c3nn[n-]n3)CCC1)CO2 ZINC001413511282 856912730 /nfs/dbraw/zinc/91/27/30/856912730.db2.gz RSFSWIBSNPVVJA-LBPRGKRZSA-N -1 1 313.361 1.488 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2ccncc2)[n-]c1=O ZINC001413539377 856965122 /nfs/dbraw/zinc/96/51/22/856965122.db2.gz BMTYLRLZYBIELR-UHFFFAOYSA-N -1 1 303.322 1.065 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1cnc(C(N)=O)s1 ZINC001413580009 857164593 /nfs/dbraw/zinc/16/45/93/857164593.db2.gz DFPXVOVTHHPIHR-UHFFFAOYSA-N -1 1 321.362 1.422 20 0 DDADMM COc1cc2cc(C(=O)N=c3ncnc4[nH][n-]c(C)c3-4)[nH]c2cn1 ZINC001151630221 862885327 /nfs/dbraw/zinc/88/53/27/862885327.db2.gz RXTHFZOJDHRLML-UHFFFAOYSA-N -1 1 323.316 1.172 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn3cnc(C)cc3n2)n1 ZINC001156424790 863034154 /nfs/dbraw/zinc/03/41/54/863034154.db2.gz WCNZJWLWTNGHTC-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)CCCOC)co1 ZINC001123223103 859134971 /nfs/dbraw/zinc/13/49/71/859134971.db2.gz YGIGTAKMZQYFSF-SECBINFHSA-N -1 1 305.352 1.160 20 0 DDADMM Cc1ccncc1CCNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001123923741 859475488 /nfs/dbraw/zinc/47/54/88/859475488.db2.gz FHMKYVAIXCGMCH-UHFFFAOYSA-N -1 1 304.375 1.455 20 0 DDADMM CNC(=O)[C@H](C)NC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC001137565103 859940791 /nfs/dbraw/zinc/94/07/91/859940791.db2.gz WGPOOQQOJGVNOC-VIFPVBQESA-N -1 1 316.313 1.329 20 0 DDADMM CCOC[C@@H]1CN(Cc2ncccc2[O-])Cc2nnn(CC)c21 ZINC001138393262 860087816 /nfs/dbraw/zinc/08/78/16/860087816.db2.gz SPJWFMPOZZKRLS-LBPRGKRZSA-N -1 1 317.393 1.535 20 0 DDADMM COc1cccc(C[N@H+]2CCC[C@@H](C)[C@@H]2CO)c1OCC(=O)[O-] ZINC001139268661 860343023 /nfs/dbraw/zinc/34/30/23/860343023.db2.gz PPKQLXRYZGIWFB-OCCSQVGLSA-N -1 1 323.389 1.751 20 0 DDADMM Cc1[nH]c(CN2CCC(N3CCOC3=O)CC2)c(C)c1C(=O)[O-] ZINC001140498007 860646588 /nfs/dbraw/zinc/64/65/88/860646588.db2.gz YVQIVJMMKKSXJF-UHFFFAOYSA-N -1 1 321.377 1.746 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc3c(N)n[nH]c3c2)n1 ZINC001154168248 860831057 /nfs/dbraw/zinc/83/10/57/860831057.db2.gz KGQWAQPRHOKLQH-UHFFFAOYSA-N -1 1 314.305 1.297 20 0 DDADMM CC1(C)COc2c(C(=O)[N-]c3noc4nccnc34)cnn2C1 ZINC001154360379 861035919 /nfs/dbraw/zinc/03/59/19/861035919.db2.gz LVVVWDGSJLPPLR-UHFFFAOYSA-N -1 1 314.305 1.485 20 0 DDADMM Cc1cccc2[nH]c(C(=O)Nc3[nH]c(=O)[n-]c(=O)c3N=O)nc21 ZINC001151985969 863092908 /nfs/dbraw/zinc/09/29/08/863092908.db2.gz YJLPRPFYUFMOFD-UHFFFAOYSA-N -1 1 314.261 1.723 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn(CC)c2CC)n1 ZINC001361440501 881657051 /nfs/dbraw/zinc/65/70/51/881657051.db2.gz GAPOWNOVGSEZHC-UHFFFAOYSA-N -1 1 305.338 1.618 20 0 DDADMM CC1(C)C[C@@H](CNC(=O)c2cc(=O)c3cccc(O)c3[n-]2)O1 ZINC001152681526 863490939 /nfs/dbraw/zinc/49/09/39/863490939.db2.gz OARMTOKKGSXIEY-VIFPVBQESA-N -1 1 302.330 1.943 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H]2CC(=O)N(CC3CC3)C2)c1 ZINC001153346965 863839717 /nfs/dbraw/zinc/83/97/17/863839717.db2.gz YQZWADVENSTUKB-CYBMUJFWSA-N -1 1 316.357 1.254 20 0 DDADMM O=C(c1c([O-])ccc2ccccc21)N1CC[C@@H](CO)[C@@H](O)C1 ZINC001153499221 863915715 /nfs/dbraw/zinc/91/57/15/863915715.db2.gz QLQFPUWUTAYYRP-WFASDCNBSA-N -1 1 301.342 1.361 20 0 DDADMM CC1(C)CC(=O)N1CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001330117370 864090607 /nfs/dbraw/zinc/09/06/07/864090607.db2.gz YKRIZZNCMMEYFE-LBPRGKRZSA-N -1 1 307.316 1.098 20 0 DDADMM CC1(C)CC(=O)N1CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001330117369 864090914 /nfs/dbraw/zinc/09/09/14/864090914.db2.gz YKRIZZNCMMEYFE-GFCCVEGCSA-N -1 1 307.316 1.098 20 0 DDADMM O=C(Nc1cnc2nccn2c1)c1cnc2cccnc2c1[O-] ZINC001153850729 864156351 /nfs/dbraw/zinc/15/63/51/864156351.db2.gz HGNBEJGSPMGYNF-UHFFFAOYSA-N -1 1 306.285 1.218 20 0 DDADMM O=C(Nc1c[nH]c(Cl)cc1=O)c1cnc2cccnc2c1[O-] ZINC001153852089 864158494 /nfs/dbraw/zinc/15/84/94/864158494.db2.gz WDDOTHYEGWEOCR-UHFFFAOYSA-N -1 1 316.704 1.929 20 0 DDADMM Cc1ccc(C(N)=O)cc1NC(=O)c1cnc2cccnc2c1[O-] ZINC001153857405 864166580 /nfs/dbraw/zinc/16/65/80/864166580.db2.gz MPCGLBTUQFVHDZ-UHFFFAOYSA-N -1 1 322.324 1.583 20 0 DDADMM COC1CCC(NC(=O)c2c[n-]c3cccnc3c2=O)CC1 ZINC001153862104 864171690 /nfs/dbraw/zinc/17/16/90/864171690.db2.gz PPMBOVXGAAZMFZ-UHFFFAOYSA-N -1 1 301.346 1.611 20 0 DDADMM Cc1nc2ccc(C(=O)NC3(c4nn[n-]n4)CCC3)cc2nc1C ZINC001153871681 864185357 /nfs/dbraw/zinc/18/53/57/864185357.db2.gz FJZZNBXLTOTRFG-UHFFFAOYSA-N -1 1 323.360 1.569 20 0 DDADMM CC1(NC(=S)NCc2nc3c(c(=O)[n-]2)COCC3)CCC1 ZINC001330306752 864225897 /nfs/dbraw/zinc/22/58/97/864225897.db2.gz NWMXUZPAGITGIW-UHFFFAOYSA-N -1 1 308.407 1.162 20 0 DDADMM Cc1cnc(NC(=O)c2c(O)cc3ccccc3c2[O-])c(=O)[nH]1 ZINC001154029577 864336846 /nfs/dbraw/zinc/33/68/46/864336846.db2.gz ALOUVFHLFKMBTD-UHFFFAOYSA-N -1 1 311.297 1.895 20 0 DDADMM CSc1nc(Cl)ncc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159198790 865161415 /nfs/dbraw/zinc/16/14/15/865161415.db2.gz YCNZWQACFYDVGB-UHFFFAOYSA-N -1 1 309.742 1.967 20 0 DDADMM NCc1nccnc1[N-]C(F)(F)COc1cncc(Cl)n1 ZINC001160249659 865746952 /nfs/dbraw/zinc/74/69/52/865746952.db2.gz BTZBIAXQRSGVRI-UHFFFAOYSA-N -1 1 316.699 1.462 20 0 DDADMM CN(C(=O)COc1c(Cl)cccc1Cl)c1nn[n-]n1 ZINC001361565926 881908812 /nfs/dbraw/zinc/90/88/12/881908812.db2.gz RJINJPYMBMALFV-UHFFFAOYSA-N -1 1 302.121 1.548 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1C2CCC1(CO)CC2 ZINC001332465515 865858215 /nfs/dbraw/zinc/85/82/15/865858215.db2.gz UDKRCJFPAIJRQL-UHFFFAOYSA-N -1 1 304.375 1.148 20 0 DDADMM CN(C(=O)c1cc(Cl)nc(N2CCCC2)c1)c1nn[n-]n1 ZINC001332603772 865971839 /nfs/dbraw/zinc/97/18/39/865971839.db2.gz HIFDOEKCMGUTQC-UHFFFAOYSA-N -1 1 307.745 1.125 20 0 DDADMM CCc1cc(O)ccc1NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC001332653706 866026365 /nfs/dbraw/zinc/02/63/65/866026365.db2.gz NYNVGBCFZAZFGR-GFCCVEGCSA-N -1 1 306.362 1.690 20 0 DDADMM Cc1nc2ccc(F)cc2c(Nc2c(O)[nH]c(=O)[n-]c2=S)n1 ZINC001160849514 866148396 /nfs/dbraw/zinc/14/83/96/866148396.db2.gz QKSVWEYFVWQJFT-SECBINFHSA-N -1 1 319.321 1.025 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]c2nc(Cl)ccc2O)on1 ZINC001161179699 866428105 /nfs/dbraw/zinc/42/81/05/866428105.db2.gz PXPFVLFNGOTCNI-UHFFFAOYSA-N -1 1 311.681 1.858 20 0 DDADMM CCOC(=O)c1cc(C(=O)Nc2nc(Cl)ccc2[O-])on1 ZINC001161179699 866428107 /nfs/dbraw/zinc/42/81/07/866428107.db2.gz PXPFVLFNGOTCNI-UHFFFAOYSA-N -1 1 311.681 1.858 20 0 DDADMM CC(C)[C@@H](CO)[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001320023197 866434670 /nfs/dbraw/zinc/43/46/70/866434670.db2.gz UWZJCNQPNIZESM-MRVPVSSYSA-N -1 1 313.206 1.684 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1cc(Br)cnc1F ZINC001161391408 866622163 /nfs/dbraw/zinc/62/21/63/866622163.db2.gz HIKUWZAAUIIYFL-UHFFFAOYSA-N -1 1 301.075 1.664 20 0 DDADMM COC(=O)c1c(NC(=O)C[C@@H]2CC=CCC2)n[n-]c1OCCO ZINC001161533693 866745558 /nfs/dbraw/zinc/74/55/58/866745558.db2.gz OGNMHNZCRSOAIP-SNVBAGLBSA-N -1 1 323.349 1.252 20 0 DDADMM COC(=O)c1c(NC(=O)C[C@@H]2CC=CCC2)[n-]nc1OCCO ZINC001161533693 866745571 /nfs/dbraw/zinc/74/55/71/866745571.db2.gz OGNMHNZCRSOAIP-SNVBAGLBSA-N -1 1 323.349 1.252 20 0 DDADMM Cn1[n-]c(COC(=O)c2csc(-c3ccco3)n2)nc1=O ZINC001320544321 866814066 /nfs/dbraw/zinc/81/40/66/866814066.db2.gz QXVXXUKHIPOZRK-UHFFFAOYSA-N -1 1 306.303 1.182 20 0 DDADMM COc1cc(OC)cc(N2C[C@@H](C(=O)[N-]OC(C)C)CC2=O)c1 ZINC001324558757 867351842 /nfs/dbraw/zinc/35/18/42/867351842.db2.gz VLROJILWAQRVNG-NSHDSACASA-N -1 1 322.361 1.513 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H](C)CC(OC)OC ZINC001225899096 882098812 /nfs/dbraw/zinc/09/88/12/882098812.db2.gz IRJKZLXFXFWGDH-MRVPVSSYSA-N -1 1 300.311 1.135 20 0 DDADMM Cc1cccc(CO[N-]C(=O)CCc2nnc(C(F)F)o2)n1 ZINC001334733628 867799314 /nfs/dbraw/zinc/79/93/14/867799314.db2.gz PTYNKAQOQRHCRE-UHFFFAOYSA-N -1 1 312.276 1.891 20 0 DDADMM O=C([O-])/C=C/c1cc(C(=O)NCCCCN2CCOCC2)co1 ZINC001334793078 867831624 /nfs/dbraw/zinc/83/16/24/867831624.db2.gz ILMKRAYQBLOLEM-ONEGZZNKSA-N -1 1 322.361 1.220 20 0 DDADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)c1cccs1 ZINC001163222342 868229078 /nfs/dbraw/zinc/22/90/78/868229078.db2.gz JGZMZLIPGBILFM-UHFFFAOYSA-N -1 1 321.406 1.262 20 0 DDADMM C[C@@H](C(=O)NCCC[C@H](C)NC(=O)c1ncccc1[O-])C1CC1 ZINC001336013809 868680229 /nfs/dbraw/zinc/68/02/29/868680229.db2.gz SGXVSHSWXRQLAY-NWDGAFQWSA-N -1 1 319.405 1.848 20 0 DDADMM O=C([N-]CCNc1ccc2c(c1)OCC(=O)N2)C(F)(F)F ZINC001164246176 869054341 /nfs/dbraw/zinc/05/43/41/869054341.db2.gz RVMVOLFZCNWBLZ-UHFFFAOYSA-N -1 1 303.240 1.108 20 0 DDADMM O=c1cc(O[C@H]2CCS(=O)(=O)C2)nc(-c2ccccc2)[n-]1 ZINC001226184182 882259531 /nfs/dbraw/zinc/25/95/31/882259531.db2.gz BSDXAPYCDALMLD-NSHDSACASA-N -1 1 306.343 1.415 20 0 DDADMM CC1(C)CC(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001164402383 869179495 /nfs/dbraw/zinc/17/94/95/869179495.db2.gz FYEAUCJKJYREBG-UHFFFAOYSA-N -1 1 321.425 1.323 20 0 DDADMM CCCCOCC(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001337090621 869318050 /nfs/dbraw/zinc/31/80/50/869318050.db2.gz SUECISPXKYGSIR-GFCCVEGCSA-N -1 1 323.393 1.181 20 0 DDADMM Cn1[n-]c(C(=O)N2CCc3nc[nH]c3[C@H]2c2cccnc2)cc1=O ZINC001337320130 869435899 /nfs/dbraw/zinc/43/58/99/869435899.db2.gz PYYRDLMEIIMWJC-OAHLLOKOSA-N -1 1 324.344 1.032 20 0 DDADMM COC(=O)C[C@H]1CCC[C@@H](Oc2nc(=O)[n-]cc2C(C)=O)C1 ZINC001226238306 882300548 /nfs/dbraw/zinc/30/05/48/882300548.db2.gz QYBDFRWGCCNXBZ-WDEREUQCSA-N -1 1 308.334 1.886 20 0 DDADMM O=C(N[C@H](Cc1ccccc1)c1ncco1)c1cnncc1[O-] ZINC001299940352 870947451 /nfs/dbraw/zinc/94/74/51/870947451.db2.gz FNKODOHNZGKSOM-CYBMUJFWSA-N -1 1 310.313 1.884 20 0 DDADMM CCOc1cc(C(=O)Nc2cc(-c3nccn3C)n[nH]2)n[n-]1 ZINC001299948338 870949713 /nfs/dbraw/zinc/94/97/13/870949713.db2.gz DDHXSXQTESPSTM-UHFFFAOYSA-N -1 1 301.310 1.184 20 0 DDADMM COc1nscc1CN(C)C(=O)C(=O)c1ccc([O-])cc1 ZINC001304118884 871191424 /nfs/dbraw/zinc/19/14/24/871191424.db2.gz UAXQSUDTAGRWEG-UHFFFAOYSA-N -1 1 306.343 1.699 20 0 DDADMM Cc1cc(C(=O)Nc2c[n-][nH]c2=O)nn1-c1nc(C)cc(C)n1 ZINC001306847888 871366275 /nfs/dbraw/zinc/36/62/75/871366275.db2.gz CWOXROGURBULBD-UHFFFAOYSA-N -1 1 313.321 1.269 20 0 DDADMM O=S(=O)([N-]C1(c2ncon2)CC1)c1cc2cc(F)ccc2o1 ZINC001309895855 871605012 /nfs/dbraw/zinc/60/50/12/871605012.db2.gz KSPOAFCFSAZWRP-UHFFFAOYSA-N -1 1 323.305 1.923 20 0 DDADMM CSc1nc(Nc2ccc(S(C)(=O)=O)nc2)cc(=O)[n-]1 ZINC001205611004 871658961 /nfs/dbraw/zinc/65/89/61/871658961.db2.gz MFNRCBPFXICKCE-UHFFFAOYSA-N -1 1 312.376 1.446 20 0 DDADMM CCC(CC)C(=O)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318774047 872260574 /nfs/dbraw/zinc/26/05/74/872260574.db2.gz RPDOVYXIXLWNRM-GFCCVEGCSA-N -1 1 323.441 1.665 20 0 DDADMM CN(CC(=O)NCc1ccco1)C(=O)c1ccc(F)c([O-])c1 ZINC001361915090 882631870 /nfs/dbraw/zinc/63/18/70/882631870.db2.gz YGOWSEAKUATEJR-UHFFFAOYSA-N -1 1 306.293 1.513 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cnn(CC2CC2)c1 ZINC001346237332 873662060 /nfs/dbraw/zinc/66/20/60/873662060.db2.gz QZRWSNRRTSNCCW-UHFFFAOYSA-N -1 1 305.363 1.638 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1cccc(N(C)C)c1 ZINC001347538458 874179073 /nfs/dbraw/zinc/17/90/73/874179073.db2.gz QINVZKSTGKTQJI-UHFFFAOYSA-N -1 1 318.402 1.775 20 0 DDADMM CCCCCCO[C@H](C)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001347660997 874231904 /nfs/dbraw/zinc/23/19/04/874231904.db2.gz NVVWAKNZTCPCQI-KGLIPLIRSA-N -1 1 323.441 1.966 20 0 DDADMM CCc1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)cc(Cl)n1 ZINC001347972890 874323740 /nfs/dbraw/zinc/32/37/40/874323740.db2.gz ASRSVQGRLWRXHQ-QMMMGPOBSA-N -1 1 306.757 1.440 20 0 DDADMM O=C(CCCC1CC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209195064 874719874 /nfs/dbraw/zinc/71/98/74/874719874.db2.gz USCQPMMSIWISRT-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM CC(C)(C(=O)NCCNC(=O)c1ncccc1[O-])C1CCC1 ZINC001348839206 874820873 /nfs/dbraw/zinc/82/08/73/874820873.db2.gz UCQQLZZCWQKRIU-UHFFFAOYSA-N -1 1 305.378 1.460 20 0 DDADMM O=C(Nc1cc2c(cc1F)CCC(=O)N2)c1cnncc1[O-] ZINC001362037507 882888584 /nfs/dbraw/zinc/88/85/84/882888584.db2.gz HYRKIDJVXKEJNV-UHFFFAOYSA-N -1 1 302.265 1.458 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cccc(N2CCOC2=O)c1 ZINC001362039072 882892845 /nfs/dbraw/zinc/89/28/45/882892845.db2.gz JEYTTZTWFAHUEZ-UHFFFAOYSA-N -1 1 316.321 1.118 20 0 DDADMM NC(=O)[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccccc1 ZINC001362049696 882915915 /nfs/dbraw/zinc/91/59/15/882915915.db2.gz PTDLEEPPTWRLMH-GFCCVEGCSA-N -1 1 312.329 1.016 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cncc(N2CCCC2)c1 ZINC001210608576 875456901 /nfs/dbraw/zinc/45/69/01/875456901.db2.gz SNYILLGLUDPFPU-UHFFFAOYSA-N -1 1 304.379 1.792 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(SC(F)(F)F)nc1 ZINC001362062808 882941301 /nfs/dbraw/zinc/94/13/01/882941301.db2.gz XNAGPLJYGUGHGT-UHFFFAOYSA-N -1 1 304.257 1.137 20 0 DDADMM COC(=O)[N-]C(=S)N(c1ccncn1)c1ccccc1N ZINC001211031542 875632089 /nfs/dbraw/zinc/63/20/89/875632089.db2.gz AWALYVFAHWNHHL-UHFFFAOYSA-N -1 1 303.347 1.838 20 0 DDADMM CC[C@@H](NC(=O)c1cnc(SC)[n-]c1=O)c1noc(C)n1 ZINC001362066483 882952238 /nfs/dbraw/zinc/95/22/38/882952238.db2.gz DHVOLKOONRTGHF-MRVPVSSYSA-N -1 1 309.351 1.477 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NC1=CCN(C(=O)C2CC2)CC1 ZINC001211181608 875701698 /nfs/dbraw/zinc/70/16/98/875701698.db2.gz ZGFAZMQTJWBZKY-UHFFFAOYSA-N -1 1 321.362 1.807 20 0 DDADMM COc1ccc(-c2noc(-c3nccc(OC)c3[O-])n2)cn1 ZINC001213326203 875898207 /nfs/dbraw/zinc/89/82/07/875898207.db2.gz BSUPKRPZRTVXLO-UHFFFAOYSA-N -1 1 300.274 1.916 20 0 DDADMM CN(CCCNC(=O)C(C)(F)F)C(=O)c1ncccc1[O-] ZINC001351173546 876098188 /nfs/dbraw/zinc/09/81/88/876098188.db2.gz LGWYQMWLBVIUDJ-UHFFFAOYSA-N -1 1 301.293 1.021 20 0 DDADMM COc1cccc([C@@H](C)Oc2nc3[nH]c(=O)[nH]c(=O)c3[n-]2)c1 ZINC001227429340 883008217 /nfs/dbraw/zinc/00/82/17/883008217.db2.gz BALGQLJTGLCALA-SSDOTTSWSA-N -1 1 302.290 1.913 20 0 DDADMM COc1cccc([C@@H](C)Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)c1 ZINC001227429340 883008232 /nfs/dbraw/zinc/00/82/32/883008232.db2.gz BALGQLJTGLCALA-SSDOTTSWSA-N -1 1 302.290 1.913 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCOCC2(CCC2)C1 ZINC001351753810 876417362 /nfs/dbraw/zinc/41/73/62/876417362.db2.gz CJUXPGVFZFRVQT-UHFFFAOYSA-N -1 1 309.391 1.422 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC[C@@H](c2nncn2C2CC2)C1 ZINC001362099157 883034247 /nfs/dbraw/zinc/03/42/47/883034247.db2.gz DJLPDUGMCAQQLC-LLVKDONJSA-N -1 1 313.361 1.733 20 0 DDADMM COc1ncc(Nc2c(C)cnn2C)cc1[N-]S(C)(=O)=O ZINC001216151829 876878221 /nfs/dbraw/zinc/87/82/21/876878221.db2.gz IWNLAOJRROYVOE-UHFFFAOYSA-N -1 1 311.367 1.247 20 0 DDADMM COc1cc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cnc1F ZINC001216420383 876938153 /nfs/dbraw/zinc/93/81/53/876938153.db2.gz TVYQKGYTIMAUCK-UHFFFAOYSA-N -1 1 308.273 1.932 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2C[C@@H]1C[C@H]2Oc1nc(=O)[nH]c(=O)[n-]1 ZINC001227566528 883083542 /nfs/dbraw/zinc/08/35/42/883083542.db2.gz HELXMOGBZQWHRG-IWSPIJDZSA-N -1 1 324.337 1.060 20 0 DDADMM O=c1nc(O[C@H]2CC[C@H](OCc3ccccc3)C2)[n-]c(=O)[nH]1 ZINC001227570805 883088949 /nfs/dbraw/zinc/08/89/49/883088949.db2.gz WQLNNKVGZPLDOK-RYUDHWBXSA-N -1 1 303.318 1.800 20 0 DDADMM Cn1ccc([N-]C(=O)C(F)(F)Oc2ccc(C=O)cc2)nc1=O ZINC001300166548 877053829 /nfs/dbraw/zinc/05/38/29/877053829.db2.gz OZGUAGHLSNTTJE-UHFFFAOYSA-N -1 1 323.255 1.037 20 0 DDADMM C[C@@H]1CN(CCS(=O)(=O)CC(=O)[O-])CCc2ccccc21 ZINC000404716544 877066465 /nfs/dbraw/zinc/06/64/65/877066465.db2.gz QMGMIWXLEYUDCE-GFCCVEGCSA-N -1 1 311.403 1.148 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)nc1 ZINC001362128657 883111155 /nfs/dbraw/zinc/11/11/55/883111155.db2.gz ZKZDIBKXCBQYOO-LBPRGKRZSA-N -1 1 316.365 1.106 20 0 DDADMM CC(C)CCC1CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1 ZINC001353434132 877327456 /nfs/dbraw/zinc/32/74/56/877327456.db2.gz WTVYUWYVCURAQU-MRXNPFEDSA-N -1 1 312.410 1.104 20 0 DDADMM COC(=O)c1c(NC(=O)[C@@H](C)CC(C)C)n[n-]c1OCCO ZINC001413866181 877548933 /nfs/dbraw/zinc/54/89/33/877548933.db2.gz MAVVPVOMBUETSZ-VIFPVBQESA-N -1 1 313.354 1.188 20 0 DDADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)[C@@H](C)CC(C)C ZINC001413866181 877548939 /nfs/dbraw/zinc/54/89/39/877548939.db2.gz MAVVPVOMBUETSZ-VIFPVBQESA-N -1 1 313.354 1.188 20 0 DDADMM CC(C(=O)N(C)CCNC(=O)c1ncccc1[O-])=C1CCC1 ZINC001355556174 878614980 /nfs/dbraw/zinc/61/49/80/878614980.db2.gz YUMCVNGHMJZDGI-UHFFFAOYSA-N -1 1 303.362 1.476 20 0 DDADMM C[C@H]1C[C@H]1C(=O)NCC(C)(C)CN(C)C(=O)c1ncccc1[O-] ZINC001380545083 879909523 /nfs/dbraw/zinc/90/95/23/879909523.db2.gz XYWIUZJIKLJDAQ-NWDGAFQWSA-N -1 1 319.405 1.658 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@]2(CC[C@@H]2OCc2nn[n-]n2)C1 ZINC001223029481 880790610 /nfs/dbraw/zinc/79/06/10/880790610.db2.gz MBNAWHVLYSDUPZ-IINYFYTJSA-N -1 1 309.370 1.506 20 0 DDADMM CN(C(=O)/C=C/C(C)(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001358723349 880803876 /nfs/dbraw/zinc/80/38/76/880803876.db2.gz KYVKPJSJDLYOHS-BQYQJAHWSA-N -1 1 317.389 1.672 20 0 DDADMM CCCC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(C)CC1 ZINC001381085191 880989555 /nfs/dbraw/zinc/98/95/55/880989555.db2.gz NFQUKOYESGRFJJ-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CCC(=O)N[C@H](C)C1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001381127105 881079607 /nfs/dbraw/zinc/07/96/07/881079607.db2.gz MVCFVEZHOXAZFW-SECBINFHSA-N -1 1 318.377 1.046 20 0 DDADMM CCC(=O)N[C@@H](C)C1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001381127108 881080917 /nfs/dbraw/zinc/08/09/17/881080917.db2.gz MVCFVEZHOXAZFW-VIFPVBQESA-N -1 1 318.377 1.046 20 0 DDADMM CSc1ncc(C(=O)NCc2ccc([C@H](C)O)cc2)c(=O)[n-]1 ZINC001362203928 883290854 /nfs/dbraw/zinc/29/08/54/883290854.db2.gz ZRLNCULATYPMNV-VIFPVBQESA-N -1 1 319.386 1.887 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CC[C@@H](C(=O)OCC)C1)c1nn[n-]n1 ZINC001362212554 883313416 /nfs/dbraw/zinc/31/34/16/883313416.db2.gz YLZQVDADPOTVED-HBNTYKKESA-N -1 1 309.370 1.137 20 0 DDADMM COc1cc(NC(C)=O)ccc1NC(=O)c1cnncc1[O-] ZINC001362249545 883405120 /nfs/dbraw/zinc/40/51/20/883405120.db2.gz HJGFTSFEKCWEJF-UHFFFAOYSA-N -1 1 302.290 1.402 20 0 DDADMM COC(=O)c1n[n-]c(OC2CCN(c3ccccc3)CC2)n1 ZINC001228312561 883439819 /nfs/dbraw/zinc/43/98/19/883439819.db2.gz UOYKXPSILBDTIH-UHFFFAOYSA-N -1 1 302.334 1.639 20 0 DDADMM COC(=O)c1nc(OC2CCN(c3ccccc3)CC2)n[n-]1 ZINC001228312561 883439827 /nfs/dbraw/zinc/43/98/27/883439827.db2.gz UOYKXPSILBDTIH-UHFFFAOYSA-N -1 1 302.334 1.639 20 0 DDADMM COC(=O)[C@H](COC(C)C)N(C)C(=O)c1cccc([O-])c1F ZINC001362286551 883484290 /nfs/dbraw/zinc/48/42/90/883484290.db2.gz MFJSQUPHZMZTRF-NSHDSACASA-N -1 1 313.325 1.570 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H](C)C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001382156106 883533647 /nfs/dbraw/zinc/53/36/47/883533647.db2.gz ZOXPJESKKRQBPY-QWRGUYRKSA-N -1 1 309.414 1.273 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc(Cl)c1)NCc1n[nH]c(=O)[n-]1 ZINC001382159500 883541734 /nfs/dbraw/zinc/54/17/34/883541734.db2.gz UQFHHTOZJZKHPU-VIFPVBQESA-N -1 1 323.784 1.414 20 0 DDADMM CC[C@](NC(=O)c1cnc(C2CC2)[n-]c1=O)(C(N)=O)C(C)C ZINC001362331876 883582976 /nfs/dbraw/zinc/58/29/76/883582976.db2.gz XLRVPRQNOUXKRQ-OAHLLOKOSA-N -1 1 306.366 1.080 20 0 DDADMM CC(=O)OC[C@@H](CO)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362464737 883873194 /nfs/dbraw/zinc/87/31/94/883873194.db2.gz FYPRNRVONBDPDH-SECBINFHSA-N -1 1 321.251 1.065 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1cnccc1C ZINC001362477913 883898003 /nfs/dbraw/zinc/89/80/03/883898003.db2.gz VQYHFGLDVUGCHS-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM Cc1cc(C(=O)Nn2c(=O)[n-][nH]c2=O)sc1Br ZINC001362506412 883964019 /nfs/dbraw/zinc/96/40/19/883964019.db2.gz WOIXKNNVYDVCFO-UHFFFAOYSA-N -1 1 319.140 1.206 20 0 DDADMM O=C1[N-]C(=O)[C@@H](Cc2ccc(OC[C@@H]3COCO3)cc2)S1 ZINC001229623499 884081989 /nfs/dbraw/zinc/08/19/89/884081989.db2.gz JNWIFGMFUNNWLC-NWDGAFQWSA-N -1 1 309.343 1.332 20 0 DDADMM Cc1cc(NC(=O)CCCc2nn[n-]n2)n(C2CCOCC2)n1 ZINC001362646363 884309405 /nfs/dbraw/zinc/30/94/05/884309405.db2.gz FURLBMNHPVIROD-UHFFFAOYSA-N -1 1 319.369 1.018 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@]1(N(C)C)COc2ccccc2C1 ZINC001362659740 884346113 /nfs/dbraw/zinc/34/61/13/884346113.db2.gz VOAHGJUSCNRIQA-INIZCTEOSA-N -1 1 316.361 1.298 20 0 DDADMM COC(=O)c1c[n-]c(O[C@H]2C=CCN(C(=O)OC(C)(C)C)C2)n1 ZINC001230282398 884409381 /nfs/dbraw/zinc/40/93/81/884409381.db2.gz UQGFBXRUFZYIDC-JTQLQIEISA-N -1 1 323.349 1.751 20 0 DDADMM CC(C)CN1CCN(C(=O)c2cccc([O-])c2F)[C@H](C)C1=O ZINC001362709289 884460728 /nfs/dbraw/zinc/46/07/28/884460728.db2.gz WDEXJNNMDCCPBO-LLVKDONJSA-N -1 1 308.353 1.860 20 0 DDADMM CC[C@H](C)Oc1cc(CNC(=O)c2cnncc2[O-])ccn1 ZINC001362718022 884478056 /nfs/dbraw/zinc/47/80/56/884478056.db2.gz YYHQUVUEPCWCHA-JTQLQIEISA-N -1 1 302.334 1.685 20 0 DDADMM COc1cccc([C@H](C)C(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC001362720546 884481965 /nfs/dbraw/zinc/48/19/65/884481965.db2.gz RCPPRUPIBDWFNW-VIFPVBQESA-N -1 1 303.318 1.316 20 0 DDADMM CC(C)c1nnc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)[nH]1 ZINC001362748523 884545095 /nfs/dbraw/zinc/54/50/95/884545095.db2.gz KPFUQOKUGXAANY-UHFFFAOYSA-N -1 1 302.338 1.231 20 0 DDADMM CCC[C@]1(C(=O)OCC)CCCN1C(=O)CCCc1nn[n-]n1 ZINC001362785752 884635572 /nfs/dbraw/zinc/63/55/72/884635572.db2.gz WAUQCBFQGCJEKU-OAHLLOKOSA-N -1 1 323.397 1.247 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(=O)N(c2cccc(F)c2)C1 ZINC001362797223 884665563 /nfs/dbraw/zinc/66/55/63/884665563.db2.gz UWPALBOLYUTTLU-SECBINFHSA-N -1 1 318.308 1.554 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H](C)OCc1ccccc1 ZINC001362829368 884742266 /nfs/dbraw/zinc/74/22/66/884742266.db2.gz GYDADXVDIUHLQJ-ZDUSSCGKSA-N -1 1 317.393 1.790 20 0 DDADMM O=C(NCCc1ccc(O)c(CO)c1)c1ccc(F)c([O-])c1 ZINC001362830031 884743545 /nfs/dbraw/zinc/74/35/45/884743545.db2.gz INNWHVCONMNXNY-UHFFFAOYSA-N -1 1 305.305 1.702 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)NC(=O)c1cccc([O-])c1F ZINC001362860486 884818847 /nfs/dbraw/zinc/81/88/47/884818847.db2.gz PMQLIWUDTWVKJO-SSDOTTSWSA-N -1 1 309.215 1.755 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)c1ccc(=O)[nH]n1 ZINC001362899848 884925943 /nfs/dbraw/zinc/92/59/43/884925943.db2.gz DLJZWJYRKMYEAM-UHFFFAOYSA-N -1 1 310.107 1.903 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](C)[C@H](C)COC)n[n-]1 ZINC001362934590 885022629 /nfs/dbraw/zinc/02/26/29/885022629.db2.gz KDYYADPIRVBEJR-UTLUCORTSA-N -1 1 312.370 1.077 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](C)[C@H](C)COC)[n-]1 ZINC001362934590 885022643 /nfs/dbraw/zinc/02/26/43/885022643.db2.gz KDYYADPIRVBEJR-UTLUCORTSA-N -1 1 312.370 1.077 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](C)[C@H](C)COC)n1 ZINC001362934590 885022656 /nfs/dbraw/zinc/02/26/56/885022656.db2.gz KDYYADPIRVBEJR-UTLUCORTSA-N -1 1 312.370 1.077 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H](O)C23CCCC3)c(=O)[n-]1 ZINC001362950577 885064279 /nfs/dbraw/zinc/06/42/79/885064279.db2.gz COBNHQQGEYKCDN-SNVBAGLBSA-N -1 1 309.391 1.424 20 0 DDADMM CCOC(=O)N1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001362959333 885086406 /nfs/dbraw/zinc/08/64/06/885086406.db2.gz YWVKQRUKGBJRLX-SNVBAGLBSA-N -1 1 320.349 1.020 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2oc(SC)cc2C)n[n-]1 ZINC001363117770 885502382 /nfs/dbraw/zinc/50/23/82/885502382.db2.gz JIWJIRMJAHLZHO-UHFFFAOYSA-N -1 1 324.362 1.535 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2oc(SC)cc2C)n1 ZINC001363117770 885502395 /nfs/dbraw/zinc/50/23/95/885502395.db2.gz JIWJIRMJAHLZHO-UHFFFAOYSA-N -1 1 324.362 1.535 20 0 DDADMM CCC(CC)(NC(=O)CCc1c(C)noc1C)c1nn[n-]n1 ZINC001363141046 885563238 /nfs/dbraw/zinc/56/32/38/885563238.db2.gz ZPELIMTVZMQPFD-UHFFFAOYSA-N -1 1 306.370 1.569 20 0 DDADMM CS(=O)(=O)c1cccc(CNC(=O)c2cncc([O-])c2)c1 ZINC001363205250 885709488 /nfs/dbraw/zinc/70/94/88/885709488.db2.gz CIKUMMXYVOWOLK-UHFFFAOYSA-N -1 1 306.343 1.121 20 0 DDADMM O=C(CCCF)N[C@@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001383432056 885774017 /nfs/dbraw/zinc/77/40/17/885774017.db2.gz IHCHZDRHLKUEFS-NEPJUHHUSA-N -1 1 323.368 1.694 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cnc(C3CC3)[nH]2)n1 ZINC001363233454 885780495 /nfs/dbraw/zinc/78/04/95/885780495.db2.gz YMEBAUJJJXHGOQ-SSDOTTSWSA-N -1 1 318.337 1.073 20 0 DDADMM Cc1nn(C2CCOCC2)cc1NC(=O)c1ccc([O-])cn1 ZINC001363248790 885816923 /nfs/dbraw/zinc/81/69/23/885816923.db2.gz HTTDWVADTGBTCS-UHFFFAOYSA-N -1 1 302.334 1.896 20 0 DDADMM CS[C@@H](C)C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001383454257 885819555 /nfs/dbraw/zinc/81/95/55/885819555.db2.gz DHVROMXNRTZADF-DCAQKATOSA-N -1 1 323.418 1.163 20 0 DDADMM CN1CCN(C2CN(Cc3ccc(Cl)c([O-])c3)C2)CC1=O ZINC001231734309 885841243 /nfs/dbraw/zinc/84/12/43/885841243.db2.gz VRXPLWUNPXSLEU-UHFFFAOYSA-N -1 1 309.797 1.004 20 0 DDADMM CCOC(=O)[C@H](C[C@H]1CCCO1)NC(=O)c1ccc([O-])cn1 ZINC001363305940 885970746 /nfs/dbraw/zinc/97/07/46/885970746.db2.gz AIRIGASIRQQVJE-YPMHNXCESA-N -1 1 308.334 1.018 20 0 DDADMM CCn1nc(C(=O)NCc2ccc([O-])c(Cl)c2)ccc1=O ZINC001363309849 885982015 /nfs/dbraw/zinc/98/20/15/885982015.db2.gz AODBXYGGBVKDHH-UHFFFAOYSA-N -1 1 307.737 1.552 20 0 DDADMM CNC(=O)N(C)c1ccc(NC(=O)c2cncc([O-])c2)cc1 ZINC001363341950 886075877 /nfs/dbraw/zinc/07/58/77/886075877.db2.gz ADZFZWMESUFOOQ-UHFFFAOYSA-N -1 1 300.318 1.815 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@@H](C)C(C)C ZINC001363349732 886099516 /nfs/dbraw/zinc/09/95/16/886099516.db2.gz JNUAZBPHXCOPCS-WDEREUQCSA-N -1 1 308.382 1.937 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@@H](C)C(C)C ZINC001363349732 886099527 /nfs/dbraw/zinc/09/95/27/886099527.db2.gz JNUAZBPHXCOPCS-WDEREUQCSA-N -1 1 308.382 1.937 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@@H](C)C(C)C ZINC001363349732 886099540 /nfs/dbraw/zinc/09/95/40/886099540.db2.gz JNUAZBPHXCOPCS-WDEREUQCSA-N -1 1 308.382 1.937 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccncc1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363401979 886235695 /nfs/dbraw/zinc/23/56/95/886235695.db2.gz WYNYTAYLVKPWEO-KWCYVHTRSA-N -1 1 312.377 1.180 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)c1csnn1 ZINC001363458734 886393978 /nfs/dbraw/zinc/39/39/78/886393978.db2.gz WYYXYPRXRLOYAQ-ZETCQYMHSA-N -1 1 307.379 1.957 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H]2CCC(=O)[C@@H]2C)n[n-]1 ZINC001363477072 886445000 /nfs/dbraw/zinc/44/50/00/886445000.db2.gz WGMZAFRFPAIRKC-UTLUCORTSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H]2CCC(=O)[C@@H]2C)[n-]1 ZINC001363477072 886445005 /nfs/dbraw/zinc/44/50/05/886445005.db2.gz WGMZAFRFPAIRKC-UTLUCORTSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H]2CCC(=O)[C@@H]2C)n1 ZINC001363477072 886445008 /nfs/dbraw/zinc/44/50/08/886445008.db2.gz WGMZAFRFPAIRKC-UTLUCORTSA-N -1 1 322.365 1.164 20 0 DDADMM CNC(=O)c1c(C)[nH]nc1NC(=O)c1c(F)ccc([O-])c1F ZINC001363508993 886515005 /nfs/dbraw/zinc/51/50/05/886515005.db2.gz OJLZGVAYJQEZGL-UHFFFAOYSA-N -1 1 310.260 1.314 20 0 DDADMM O=C(N[C@@H](CO)[C@@H](O)c1cccnc1)c1c([O-])cccc1Cl ZINC001363509021 886516184 /nfs/dbraw/zinc/51/61/84/886516184.db2.gz LAUXIHCTJYOCHX-FZMZJTMJSA-N -1 1 322.748 1.265 20 0 DDADMM CCc1nocc1C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001363633499 886827437 /nfs/dbraw/zinc/82/74/37/886827437.db2.gz QPXCTDNYTPXDEW-UHFFFAOYSA-N -1 1 306.322 1.406 20 0 DDADMM NC(=O)c1cc(C(=O)[N-]c2nnc([C@@H]3CCCO3)s2)co1 ZINC001363640794 886851979 /nfs/dbraw/zinc/85/19/79/886851979.db2.gz KWUXDJSFUYRBAP-ZETCQYMHSA-N -1 1 308.319 1.334 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC1C[C@H]2CC[C@@H](C1)S2 ZINC001363652048 886891950 /nfs/dbraw/zinc/89/19/50/886891950.db2.gz UIPXKCVRMAGXDJ-FGWVZKOKSA-N -1 1 321.402 1.060 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1ccc(F)cc1 ZINC001363728312 887084637 /nfs/dbraw/zinc/08/46/37/887084637.db2.gz JPVWNSZREYQFEJ-UHFFFAOYSA-N -1 1 316.379 1.812 20 0 DDADMM Cc1cnc(C(=O)NCCc2nc3c(s2)COCC3)c([O-])c1 ZINC001363752234 887140550 /nfs/dbraw/zinc/14/05/50/887140550.db2.gz NGAGNWGUAZFYAS-UHFFFAOYSA-N -1 1 319.386 1.597 20 0 DDADMM CSc1ncc(C(=O)NC[C@H]2CCC(C)(C)CO2)c(=O)[n-]1 ZINC001363765509 887166700 /nfs/dbraw/zinc/16/67/00/887166700.db2.gz VIHDZDDZEMYDLG-SECBINFHSA-N -1 1 311.407 1.839 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@H]1Oc1c(=O)[n-]cnc1C(=O)OC ZINC001233756961 887279872 /nfs/dbraw/zinc/27/98/72/887279872.db2.gz VFTUKYDLDADHTE-RKDXNWHRSA-N -1 1 310.306 1.079 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H](C)c1cnc2ccccn21 ZINC001233756531 887281091 /nfs/dbraw/zinc/28/10/91/887281091.db2.gz OWIMQBJUFFFUHG-VIFPVBQESA-N -1 1 314.301 1.757 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)c1noc(C)n1 ZINC001363817085 887303241 /nfs/dbraw/zinc/30/32/41/887303241.db2.gz PUNYSVVYBKFDKM-ZETCQYMHSA-N -1 1 319.774 1.195 20 0 DDADMM O=C(N[C@H]1Cc2cnccc2NC1=O)c1c([O-])cccc1F ZINC001363825529 887325866 /nfs/dbraw/zinc/32/58/66/887325866.db2.gz ZPIKQVBQXKHJKU-NSHDSACASA-N -1 1 301.277 1.220 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cncc(Cl)c2)n[n-]1 ZINC001363886223 887472254 /nfs/dbraw/zinc/47/22/54/887472254.db2.gz MOGSRYSHROXAMX-SSDOTTSWSA-N -1 1 323.740 1.521 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cncc(Cl)c2)[n-]1 ZINC001363886223 887472265 /nfs/dbraw/zinc/47/22/65/887472265.db2.gz MOGSRYSHROXAMX-SSDOTTSWSA-N -1 1 323.740 1.521 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cncc(Cl)c2)n1 ZINC001363886223 887472273 /nfs/dbraw/zinc/47/22/73/887472273.db2.gz MOGSRYSHROXAMX-SSDOTTSWSA-N -1 1 323.740 1.521 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC(C(C)C)CC2)[n-]n1 ZINC001363954628 887607088 /nfs/dbraw/zinc/60/70/88/887607088.db2.gz JTHKGWDMEIEWCZ-UHFFFAOYSA-N -1 1 315.395 1.253 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCC(C(C)C)CC2)n[n-]1 ZINC001363954628 887607095 /nfs/dbraw/zinc/60/70/95/887607095.db2.gz JTHKGWDMEIEWCZ-UHFFFAOYSA-N -1 1 315.395 1.253 20 0 DDADMM O=S(=O)([N-]Cc1cnccn1)c1ccc(Br)o1 ZINC001364058975 887820287 /nfs/dbraw/zinc/82/02/87/887820287.db2.gz CSEVHYJDPPXJFD-UHFFFAOYSA-N -1 1 318.152 1.311 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2(COC)CCCCC2)n[n-]1 ZINC001364083040 887875606 /nfs/dbraw/zinc/87/56/06/887875606.db2.gz KAGUBIWRBKLUIA-UHFFFAOYSA-N -1 1 324.381 1.195 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2(COC)CCCCC2)n1 ZINC001364083040 887875620 /nfs/dbraw/zinc/87/56/20/887875620.db2.gz KAGUBIWRBKLUIA-UHFFFAOYSA-N -1 1 324.381 1.195 20 0 DDADMM Cc1c[nH]c(=O)c(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC001364117462 887960900 /nfs/dbraw/zinc/96/09/00/887960900.db2.gz VMMBVLRGCOTSKD-UHFFFAOYSA-N -1 1 312.333 1.073 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@@H]1COC(=O)C1 ZINC001364152741 888035764 /nfs/dbraw/zinc/03/57/64/888035764.db2.gz ILBZYBQULRAEDM-JTQLQIEISA-N -1 1 302.290 1.015 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1O[C@H]1C(=O)OCC1(C)C ZINC001234559073 888094873 /nfs/dbraw/zinc/09/48/73/888094873.db2.gz CPHOFTDAJOQILW-JTQLQIEISA-N -1 1 324.333 1.423 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@@H]2CCc3cccnc32)c(=O)[n-]1 ZINC001234564236 888101744 /nfs/dbraw/zinc/10/17/44/888101744.db2.gz BDDRSPKQVXHWIN-SNVBAGLBSA-N -1 1 314.345 1.866 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H]1COc2cnccc21 ZINC001234563424 888102597 /nfs/dbraw/zinc/10/25/97/888102597.db2.gz XVLVTLMRYIVGAE-JTQLQIEISA-N -1 1 303.274 1.185 20 0 DDADMM CC(C)c1nc(C(N)=O)c(OC2CN(C(C)(C)C)C2)c(=O)[n-]1 ZINC001234563467 888103024 /nfs/dbraw/zinc/10/30/24/888103024.db2.gz YMCSDKWKFUPRKY-UHFFFAOYSA-N -1 1 308.382 1.266 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@H]2CCC(=O)C[C@@H]2C)[n-]c1=O ZINC001364231546 888184027 /nfs/dbraw/zinc/18/40/27/888184027.db2.gz BNZJFXGMXSNNRT-ONGXEEELSA-N -1 1 321.377 1.547 20 0 DDADMM CC(C)(C)n1cncc1[C@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001364562069 888930030 /nfs/dbraw/zinc/93/00/30/888930030.db2.gz JHIDDVYUGKSWCC-NSHDSACASA-N -1 1 304.398 1.841 20 0 DDADMM CCn1ccc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)n1 ZINC001364736201 889308468 /nfs/dbraw/zinc/30/84/68/889308468.db2.gz JDBKGTCVFSDUOK-PWSUYJOCSA-N -1 1 318.343 1.792 20 0 DDADMM CN(C)C(=O)[C@H]1C[C@@H]2[C@@H](CC[N@@H+]2Cc2ccc(F)c(O)c2)O1 ZINC001236703232 889314183 /nfs/dbraw/zinc/31/41/83/889314183.db2.gz UEGUYNHVBWCIJT-BPLDGKMQSA-N -1 1 308.353 1.351 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1cccn1C ZINC001364766804 889367804 /nfs/dbraw/zinc/36/78/04/889367804.db2.gz DMMCFFGFFCXQFP-SNVBAGLBSA-N -1 1 317.349 1.297 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1cccn1C ZINC001364766804 889367818 /nfs/dbraw/zinc/36/78/18/889367818.db2.gz DMMCFFGFFCXQFP-SNVBAGLBSA-N -1 1 317.349 1.297 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1cccn1C ZINC001364766804 889367825 /nfs/dbraw/zinc/36/78/25/889367825.db2.gz DMMCFFGFFCXQFP-SNVBAGLBSA-N -1 1 317.349 1.297 20 0 DDADMM COC(=O)CCCCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001290027137 913344711 /nfs/dbraw/zinc/34/47/11/913344711.db2.gz QBTXHRRMGAZTRV-UHFFFAOYSA-N -1 1 307.350 1.523 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2cnn(C3CCC3)c2)n1 ZINC001364794712 889430661 /nfs/dbraw/zinc/43/06/61/889430661.db2.gz GWFUNWWGVMKGGS-QMMMGPOBSA-N -1 1 311.367 1.339 20 0 DDADMM COc1cc(CS(=O)(=O)[N-][C@H](C)c2noc(C)n2)sn1 ZINC001364806642 889456007 /nfs/dbraw/zinc/45/60/07/889456007.db2.gz RFBRFLBITZDQQS-ZCFIWIBFSA-N -1 1 318.380 1.024 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CCC(C)(C)C2)[n-]n1 ZINC001364855777 889555951 /nfs/dbraw/zinc/55/59/51/889555951.db2.gz FZVQSIIOKNAGHH-VIFPVBQESA-N -1 1 315.395 1.396 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CCC(C)(C)C2)n[n-]1 ZINC001364855777 889555963 /nfs/dbraw/zinc/55/59/63/889555963.db2.gz FZVQSIIOKNAGHH-VIFPVBQESA-N -1 1 315.395 1.396 20 0 DDADMM O=C(N[C@H]1CC[C@@H](O)[C@@H](O)C1)c1ccc2ccccc2c1[O-] ZINC001365020266 889910190 /nfs/dbraw/zinc/91/01/90/889910190.db2.gz BRYSRTYJVSAXPT-GLQYFDAESA-N -1 1 301.342 1.550 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)CCc2ncc[nH]2)[n-]c1=O ZINC001365146340 890193312 /nfs/dbraw/zinc/19/33/12/890193312.db2.gz NNWRLXNSYZDAKL-JTQLQIEISA-N -1 1 317.349 1.210 20 0 DDADMM Cc1cc(C)c(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])[nH]1 ZINC001386233278 890610918 /nfs/dbraw/zinc/61/09/18/890610918.db2.gz CBWJXESXRDAJAM-LLVKDONJSA-N -1 1 316.361 1.280 20 0 DDADMM CC(=O)NCc1ccc(-c2nc(C)cc3c2C(=O)[N-]C3=O)cc1 ZINC001240149198 890813299 /nfs/dbraw/zinc/81/32/99/890813299.db2.gz BJWCMKZMJFIOAN-UHFFFAOYSA-N -1 1 309.325 1.577 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2c(C)n[nH]c2C)c1 ZINC001365457498 890830867 /nfs/dbraw/zinc/83/08/67/890830867.db2.gz AJBVVUSKJWHZFK-UHFFFAOYSA-N -1 1 311.363 1.219 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C(N)=O ZINC001365458414 890831656 /nfs/dbraw/zinc/83/16/56/890831656.db2.gz PVCNHMAZOAAWKB-RCOVLWMOSA-N -1 1 310.322 1.396 20 0 DDADMM O=S(=O)([N-]C1(c2ncon2)CC1)c1ccc(C(F)F)o1 ZINC001365465386 890844190 /nfs/dbraw/zinc/84/41/90/890844190.db2.gz SMQIWJODCOOIRI-UHFFFAOYSA-N -1 1 305.262 1.568 20 0 DDADMM COC(=O)CCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001365515590 890960723 /nfs/dbraw/zinc/96/07/23/890960723.db2.gz PRFHWFCAFXWUHF-UHFFFAOYSA-N -1 1 304.306 1.263 20 0 DDADMM CCS(=O)(=O)c1ccc(-c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC001240506093 890961133 /nfs/dbraw/zinc/96/11/33/890961133.db2.gz DORXAZPEVRTNQI-UHFFFAOYSA-N -1 1 315.358 1.722 20 0 DDADMM CCS(=O)(=O)c1ccc(-c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC001240506093 890961145 /nfs/dbraw/zinc/96/11/45/890961145.db2.gz DORXAZPEVRTNQI-UHFFFAOYSA-N -1 1 315.358 1.722 20 0 DDADMM Cn1cc(-c2ccc([O-])c(C(F)(F)F)c2)c(=O)n(C)c1=O ZINC001242162009 891356249 /nfs/dbraw/zinc/35/62/49/891356249.db2.gz IWAQYWPXIBYFHN-UHFFFAOYSA-N -1 1 300.236 1.475 20 0 DDADMM O=S(=O)([N-]Cc1c[nH]cn1)c1ccc(Br)o1 ZINC001365723381 891400076 /nfs/dbraw/zinc/40/00/76/891400076.db2.gz JRWWMKWIBCHDTO-UHFFFAOYSA-N -1 1 306.141 1.244 20 0 DDADMM C[C@H](CNC(=O)C1(C(F)F)CC1)NC(=O)c1ncccc1[O-] ZINC001386781426 891692338 /nfs/dbraw/zinc/69/23/38/891692338.db2.gz ITVFNDPVCQBZNK-MRVPVSSYSA-N -1 1 313.304 1.067 20 0 DDADMM COCCOc1ccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)cn1 ZINC001244450308 891856768 /nfs/dbraw/zinc/85/67/68/891856768.db2.gz MVRNTYANDALXIZ-UHFFFAOYSA-N -1 1 312.325 1.586 20 0 DDADMM COc1ncc(-c2nccc(C)c2F)cc1[N-]S(C)(=O)=O ZINC001244789963 891924566 /nfs/dbraw/zinc/92/45/66/891924566.db2.gz KCXDWOCSSQUJPI-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM COc1ncc(-c2cc(C)c(F)cn2)cc1[N-]S(C)(=O)=O ZINC001244790023 891925224 /nfs/dbraw/zinc/92/52/24/891925224.db2.gz ODJBCBKQLDNHRJ-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM O=C(CC1CCC1)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001387226300 892656724 /nfs/dbraw/zinc/65/67/24/892656724.db2.gz RLGCODAQKRFSSV-ZDUSSCGKSA-N -1 1 317.389 1.556 20 0 DDADMM CCN(CCNC(=O)C(C)(C)C1CC1)C(=O)c1ncccc1[O-] ZINC001387282265 892749653 /nfs/dbraw/zinc/74/96/53/892749653.db2.gz QDILLKZRCWSGQX-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM CCCN(CCNC(=O)C[C@H](C)OC)C(=O)c1ncccc1[O-] ZINC001387410711 893037012 /nfs/dbraw/zinc/03/70/12/893037012.db2.gz VXYDLBNNPDXSNL-LBPRGKRZSA-N -1 1 323.393 1.181 20 0 DDADMM CC(C)[C@H](C)C(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001387480174 893197966 /nfs/dbraw/zinc/19/79/66/893197966.db2.gz BLSPQRJZHZGUKQ-LBPRGKRZSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001387695933 893658617 /nfs/dbraw/zinc/65/86/17/893658617.db2.gz MJHOTQSNQBWMII-CHWSQXEVSA-N -1 1 319.405 1.658 20 0 DDADMM O=C(CC1CCCCC1)NCCNC(=O)c1ccc([O-])cn1 ZINC001292572098 913814326 /nfs/dbraw/zinc/81/43/26/913814326.db2.gz IFRPZJSSAYOXKW-UHFFFAOYSA-N -1 1 305.378 1.604 20 0 DDADMM O=C(CCc1ccccn1)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC000345899107 894107064 /nfs/dbraw/zinc/10/70/64/894107064.db2.gz HRWWXFCTKWFQRC-UHFFFAOYSA-N -1 1 324.344 1.745 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2cc(F)ccc2N)ccc1N ZINC001249724866 894112854 /nfs/dbraw/zinc/11/28/54/894112854.db2.gz SMQNPRXTKMBVFW-UHFFFAOYSA-N -1 1 311.338 1.800 20 0 DDADMM COc1ncc(-c2ccnc3ccnn32)cc1[N-]S(C)(=O)=O ZINC001250482110 894302191 /nfs/dbraw/zinc/30/21/91/894302191.db2.gz NSFFGOAJWSTZMJ-UHFFFAOYSA-N -1 1 319.346 1.171 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H](C)C1CCC1)NC(=O)c1ncccc1[O-] ZINC001388100854 894564639 /nfs/dbraw/zinc/56/46/39/894564639.db2.gz GTAPRCJHEXLVNQ-AAEUAGOBSA-N -1 1 319.405 1.848 20 0 DDADMM O=C1NCCc2cc([N-]S(=O)(=O)c3ccccc3)ccc21 ZINC001251146359 894646491 /nfs/dbraw/zinc/64/64/91/894646491.db2.gz UMWHQVKOHDGRHC-UHFFFAOYSA-N -1 1 302.355 1.773 20 0 DDADMM O=C1NCCc2c([N-]S(=O)(=O)c3ccccc3)cccc21 ZINC001251151881 894647580 /nfs/dbraw/zinc/64/75/80/894647580.db2.gz MIZSWDXHMSPXBT-UHFFFAOYSA-N -1 1 302.355 1.773 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)c2ccc(F)cc2)cc1O ZINC001251668625 894768573 /nfs/dbraw/zinc/76/85/73/894768573.db2.gz YMYHXSBPJOLCIC-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM O=C(CNS(=O)(=O)c1ccc(F)cc1)c1ccc([O-])cc1 ZINC001251693134 894774859 /nfs/dbraw/zinc/77/48/59/894774859.db2.gz DCACFANLJNTOHS-UHFFFAOYSA-N -1 1 309.318 1.693 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNC(=O)C1(C2CC2)CC1 ZINC001388432366 895226125 /nfs/dbraw/zinc/22/61/25/895226125.db2.gz HQNAWEKJPQWACD-LLVKDONJSA-N -1 1 317.389 1.460 20 0 DDADMM C[C@@H](CNC(=O)Cc1ccco1)CNC(=O)c1ncccc1[O-] ZINC001388432361 895226550 /nfs/dbraw/zinc/22/65/50/895226550.db2.gz HQJBBQBAPUEBFW-NSHDSACASA-N -1 1 317.345 1.105 20 0 DDADMM CCCCS(=O)(=O)[N-][C@H](C(=O)OCC)c1cccnc1 ZINC001253920270 896157742 /nfs/dbraw/zinc/15/77/42/896157742.db2.gz AHFKJDBOEIVCPB-LBPRGKRZSA-N -1 1 300.380 1.405 20 0 DDADMM O=C1NCC[C@H]1[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257504633 897868699 /nfs/dbraw/zinc/86/86/99/897868699.db2.gz QZHPLNJZAWKULE-RXMQYKEDSA-N -1 1 315.203 1.222 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]Cc1cnc2ccc(C)cn12 ZINC001258706537 898297599 /nfs/dbraw/zinc/29/75/99/898297599.db2.gz OBMBEDTVCCXKAP-UHFFFAOYSA-N -1 1 320.374 1.726 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)C[C@]23CC[C@H](CC2=O)C3(C)C)cn1 ZINC001258979521 898391947 /nfs/dbraw/zinc/39/19/47/898391947.db2.gz YALRPPZDAYEJHS-IAQYHMDHSA-N -1 1 323.418 1.922 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2ccc(C)c(C)c2)ccn1 ZINC001259162339 898497427 /nfs/dbraw/zinc/49/74/27/898497427.db2.gz NOILVMNKKWRZLO-UHFFFAOYSA-N -1 1 320.370 1.708 20 0 DDADMM Cc1ccc(S(=O)(=O)NCCCC[P@](=O)([O-])O)cc1C ZINC001259169958 898501632 /nfs/dbraw/zinc/50/16/32/898501632.db2.gz XLOOSNNHEHXRMS-UHFFFAOYSA-N -1 1 321.335 1.540 20 0 DDADMM CCC(CC)C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001368124953 898757305 /nfs/dbraw/zinc/75/73/05/898757305.db2.gz UKENQFNDDHKDIW-UHFFFAOYSA-N -1 1 323.441 1.015 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cnn(C)c2)n(-c2ccncc2)n1 ZINC001259821439 898831282 /nfs/dbraw/zinc/83/12/82/898831282.db2.gz ZBSGFYCOZJNPBQ-UHFFFAOYSA-N -1 1 318.362 1.110 20 0 DDADMM O=S(=O)([N-]CC1(c2ccccc2)CCOCC1)C(F)F ZINC001259964564 898988226 /nfs/dbraw/zinc/98/82/26/898988226.db2.gz XHNLWSXMSGMLSC-UHFFFAOYSA-N -1 1 305.346 1.877 20 0 DDADMM COc1c(O)cccc1NS(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC001260192341 899082881 /nfs/dbraw/zinc/08/28/81/899082881.db2.gz JRBXNGBAGIRWPU-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CC1)c1cc(Cl)c(F)cc1F ZINC001260207566 899091266 /nfs/dbraw/zinc/09/12/66/899091266.db2.gz XPYAGQQUQVCTGZ-SNVBAGLBSA-N -1 1 311.737 1.667 20 0 DDADMM O=S(=O)([N-]CC1OCCO1)c1cc(Cl)sc1Cl ZINC001260473415 899148946 /nfs/dbraw/zinc/14/89/46/899148946.db2.gz QCICTCZBIGWOOO-UHFFFAOYSA-N -1 1 318.203 1.706 20 0 DDADMM Cc1ccc2ccccc2c1[N-]S(=O)(=O)CS(C)(=O)=O ZINC001260583003 899175206 /nfs/dbraw/zinc/17/52/06/899175206.db2.gz HLQPLUMIMVZCDE-UHFFFAOYSA-N -1 1 313.400 1.892 20 0 DDADMM CC(C)C[C@@H](C)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001396725120 914324947 /nfs/dbraw/zinc/32/49/47/914324947.db2.gz GYJHEXIOQILOEL-CYBMUJFWSA-N -1 1 319.405 1.754 20 0 DDADMM COC(=O)c1cccc(S(=O)(=O)[N-]c2ccnc(CO)c2)c1 ZINC001260838582 899282921 /nfs/dbraw/zinc/28/29/21/899282921.db2.gz GPEBJOGZZYANPB-UHFFFAOYSA-N -1 1 322.342 1.161 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-]c1c(Cl)cccc1CO ZINC001261029276 899329416 /nfs/dbraw/zinc/32/94/16/899329416.db2.gz GKDBBOKGWOWSFB-UHFFFAOYSA-N -1 1 315.782 1.973 20 0 DDADMM C[C@H](CNC(=O)CCC1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001390515398 899583991 /nfs/dbraw/zinc/58/39/91/899583991.db2.gz BIPGVUYTVSHXGZ-LLVKDONJSA-N -1 1 309.414 1.465 20 0 DDADMM CC[C@H](CNC(=O)CCC(F)F)NC(=O)c1ncccc1[O-] ZINC001390562019 899676893 /nfs/dbraw/zinc/67/68/93/899676893.db2.gz XTNNNLFZKYAWPC-SECBINFHSA-N -1 1 315.320 1.457 20 0 DDADMM C[C@H]1CCCC[C@H]1C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001293261728 914376445 /nfs/dbraw/zinc/37/64/45/914376445.db2.gz CKBGCFDTZIWIFY-QWHCGFSZSA-N -1 1 319.405 1.850 20 0 DDADMM CCCCC(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001391035545 900734358 /nfs/dbraw/zinc/73/43/58/900734358.db2.gz LUQAJUWPZWBQFW-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM CCC(C)(C)OC1CN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001263866691 900783978 /nfs/dbraw/zinc/78/39/78/900783978.db2.gz WALIOEUAHLLORI-UHFFFAOYSA-N -1 1 311.407 1.809 20 0 DDADMM CCCN(CCNC(=O)c1[nH]nc(C)c1[O-])CCC(F)(F)F ZINC001391127211 900990118 /nfs/dbraw/zinc/99/01/18/900990118.db2.gz QPDYTZMGIKHWFA-UHFFFAOYSA-N -1 1 322.331 1.818 20 0 DDADMM CCN(CCCNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1)Cc1n[nH]c(=O)[n-]1 ZINC001265121303 901591278 /nfs/dbraw/zinc/59/12/78/901591278.db2.gz OUIXGYKSWGULFX-JHJVBQTASA-N -1 1 321.425 1.275 20 0 DDADMM CC1CC(C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001265158126 901644060 /nfs/dbraw/zinc/64/40/60/901644060.db2.gz ZJKDHKJOIJWVEA-UHFFFAOYSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)Cc1ccoc1 ZINC001369822600 902037431 /nfs/dbraw/zinc/03/74/31/902037431.db2.gz AOODJFBZKDJKFK-NSHDSACASA-N -1 1 317.345 1.200 20 0 DDADMM CC[C@H](CNC(=O)[C@@H]1CCCC12CC2)NCc1n[nH]c(=O)[n-]1 ZINC001391692552 902373007 /nfs/dbraw/zinc/37/30/07/902373007.db2.gz BFYFOHQHRQWTAH-MNOVXSKESA-N -1 1 307.398 1.075 20 0 DDADMM CC1(C)CCC[C@H]1C(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370212117 902741675 /nfs/dbraw/zinc/74/16/75/902741675.db2.gz KSRADVOZYOXBCU-NWDGAFQWSA-N -1 1 321.425 1.321 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)COC(C)(C)C ZINC001375061325 914824575 /nfs/dbraw/zinc/82/45/75/914824575.db2.gz TZLHBEIRVYWACY-LLVKDONJSA-N -1 1 323.393 1.227 20 0 DDADMM CCOCCC(=O)N[C@H](C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001281440423 904852359 /nfs/dbraw/zinc/85/23/59/904852359.db2.gz WZAVHSOZQRMSTR-NEPJUHHUSA-N -1 1 323.393 1.227 20 0 DDADMM CC[C@@H]1CCC[C@]1(C)C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282983925 906400295 /nfs/dbraw/zinc/40/02/95/906400295.db2.gz YDDYKKYRCJZUQG-PXAZEXFGSA-N -1 1 319.405 1.850 20 0 DDADMM C[C@@H](CNC(=O)C=C1CCCCC1)NC(=O)c1ncccc1[O-] ZINC001284203143 908638797 /nfs/dbraw/zinc/63/87/97/908638797.db2.gz DGWUFPAZKLQIRS-LBPRGKRZSA-N -1 1 317.389 1.912 20 0 DDADMM CC(=O)NCCCN(C(=O)c1cnc(C2CC2)[n-]c1=O)C(C)C ZINC001284764488 909458970 /nfs/dbraw/zinc/45/89/70/909458970.db2.gz WTVOSLNRAABOPK-UHFFFAOYSA-N -1 1 320.393 1.436 20 0 DDADMM Cc1ccoc1C(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001285478196 910617466 /nfs/dbraw/zinc/61/74/66/910617466.db2.gz QRFNAKSUXLFARW-NSCUHMNNSA-N -1 1 315.329 1.405 20 0 DDADMM CC(C)=CC(=O)NCC(C)(C)CN(C)C(=O)c1ncccc1[O-] ZINC001285489681 910648111 /nfs/dbraw/zinc/64/81/11/910648111.db2.gz INGADQDRZSRFDH-UHFFFAOYSA-N -1 1 319.405 1.968 20 0 DDADMM CCO[C@H](CC)C(=O)NCCN(CC)C(=O)c1ncccc1[O-] ZINC001373770086 910925557 /nfs/dbraw/zinc/92/55/57/910925557.db2.gz VCYSJSCONKUAQY-CYBMUJFWSA-N -1 1 323.393 1.181 20 0 DDADMM CC[C@@H](CNC(=O)c1cc(C)ccc1C)NCc1n[nH]c(=O)[n-]1 ZINC001373819249 911096132 /nfs/dbraw/zinc/09/61/32/911096132.db2.gz TXHOBLSQDYGMRY-LBPRGKRZSA-N -1 1 317.393 1.425 20 0 DDADMM CCCC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CC1CC1 ZINC001395231916 911604144 /nfs/dbraw/zinc/60/41/44/911604144.db2.gz JLIVZCJNTGGFDH-CYBMUJFWSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1nc(CNC[C@H](C)CNC(=O)c2[nH]nc(C)c2[O-])c(C)o1 ZINC001374008349 911624060 /nfs/dbraw/zinc/62/40/60/911624060.db2.gz OWBMPMIKMXDBHH-QMMMGPOBSA-N -1 1 321.381 1.184 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cc(Br)ccc1O ZINC001295980477 916162385 /nfs/dbraw/zinc/16/23/85/916162385.db2.gz ZVQYGMNFECGPMW-UHFFFAOYSA-N -1 1 312.127 1.239 20 0 DDADMM CC(=O)N[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)CC(C)C ZINC001375798087 916982212 /nfs/dbraw/zinc/98/22/12/916982212.db2.gz LEVNLHXWLFGZCE-GFCCVEGCSA-N -1 1 320.393 1.340 20 0 DDADMM O=C(N[C@@H]1C=C[C@H](CO)C1)c1cc(Br)ccc1[O-] ZINC000081767750 192321525 /nfs/dbraw/zinc/32/15/25/192321525.db2.gz CTZRIARMMMFNBL-WCBMZHEXSA-N -1 1 312.163 1.822 20 0 DDADMM CCC(CC)(CNC(=O)N1CCN(C)[C@H](C(C)C)C1)C(=O)[O-] ZINC000424437530 282093644 /nfs/dbraw/zinc/09/36/44/282093644.db2.gz YYXHSRRGRMZSCK-ZDUSSCGKSA-N -1 1 313.442 1.859 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H](c3ccccc3)C3CC3)nc2n1 ZINC000622871322 365552360 /nfs/dbraw/zinc/55/23/60/365552360.db2.gz YFYHMOPCFBTVQI-AWEZNQCLSA-N -1 1 323.356 1.858 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCC(F)(F)C3)nc2n1 ZINC000622993161 365585180 /nfs/dbraw/zinc/58/51/80/365585180.db2.gz DCKDOOJJSFXIQL-ZETCQYMHSA-N -1 1 311.292 1.354 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3C=CCCC3)nc2n1 ZINC000622993599 365587431 /nfs/dbraw/zinc/58/74/31/365587431.db2.gz UJACQYWNVUPISC-SNVBAGLBSA-N -1 1 301.350 1.665 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C(C3CC3)C3CC3)nc2n1 ZINC000622996774 365589274 /nfs/dbraw/zinc/58/92/74/365589274.db2.gz ABUUQPHKYUGKSD-UHFFFAOYSA-N -1 1 315.377 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3O[C@@H](C)C[C@@H]3C)nc2n1 ZINC000622997615 365591986 /nfs/dbraw/zinc/59/19/86/365591986.db2.gz FGSZOLHQVXRLLR-HOTUBEGUSA-N -1 1 319.365 1.122 20 0 DDADMM O=C(NCCNC(=O)c1cc(F)ccc1[O-])c1ccccc1 ZINC000081936095 192342585 /nfs/dbraw/zinc/34/25/85/192342585.db2.gz KRCWHJHLHOWPLD-UHFFFAOYSA-N -1 1 302.305 1.691 20 0 DDADMM CC[C@](COC)(NC(=O)c1cc(Cl)ccc1[O-])C(=O)OC ZINC000457269970 529383220 /nfs/dbraw/zinc/38/32/20/529383220.db2.gz TVTNJZOUSVDUOQ-CQSZACIVSA-N -1 1 315.753 1.744 20 0 DDADMM CN(C)S(=O)(=O)Cc1nc(=O)c2c3ccccc3sc2[n-]1 ZINC000358094295 299075667 /nfs/dbraw/zinc/07/56/67/299075667.db2.gz HIWRGXZPRCAUIK-UHFFFAOYSA-N -1 1 323.399 1.942 20 0 DDADMM CC(=O)c1ccccc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614473761 361874477 /nfs/dbraw/zinc/87/44/77/361874477.db2.gz KZNYORSLTPLTJU-UHFFFAOYSA-N -1 1 315.329 1.998 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1sccc1Cl)NCC1CC1 ZINC000451373847 231080368 /nfs/dbraw/zinc/08/03/68/231080368.db2.gz IYIJFSFTTBSGGV-UHFFFAOYSA-N -1 1 308.812 1.206 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)C1CC1)c1cc2cc(F)ccc2o1 ZINC000451567018 231127650 /nfs/dbraw/zinc/12/76/50/231127650.db2.gz NJNUPSHQLLSBDX-LLVKDONJSA-N -1 1 313.350 1.869 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CCC1)c1c(F)cccc1Cl ZINC000452054548 231284118 /nfs/dbraw/zinc/28/41/18/231284118.db2.gz RNCMFPULXQJLAR-LLVKDONJSA-N -1 1 307.774 1.918 20 0 DDADMM C[C@H](CCS(C)(=O)=O)NC(=O)c1ccc(Cl)cc1[O-] ZINC000153836794 290654893 /nfs/dbraw/zinc/65/48/93/290654893.db2.gz RNTMMZUQWLIOIU-MRVPVSSYSA-N -1 1 305.783 1.599 20 0 DDADMM COCC[N@H+]1CC[C@H](NC(=O)c2cc(O)c3ccccc3c2)C1 ZINC000280976552 539282894 /nfs/dbraw/zinc/28/28/94/539282894.db2.gz RYUJPJVPQQLSBC-HNNXBMFYSA-N -1 1 314.385 1.996 20 0 DDADMM COCC[N@H+]1CC[C@@H](NC(=O)c2cc(O)c3ccccc3c2)C1 ZINC000280976556 539282901 /nfs/dbraw/zinc/28/29/01/539282901.db2.gz RYUJPJVPQQLSBC-OAHLLOKOSA-N -1 1 314.385 1.996 20 0 DDADMM CC[C@H](NC(=O)c1ccc(Br)cc1[O-])C(=O)OC ZINC000092426465 185317612 /nfs/dbraw/zinc/31/76/12/185317612.db2.gz MNTIOEBONFQSTR-VIFPVBQESA-N -1 1 316.151 1.836 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1ccccc1OC)c1nn[n-]n1 ZINC000255679641 282274476 /nfs/dbraw/zinc/27/44/76/282274476.db2.gz PBDYAXZNHDDIAU-PRDAAYKISA-N -1 1 301.350 1.879 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cc3ccccc3[nH]c2=O)n[n-]1 ZINC000444446500 529744389 /nfs/dbraw/zinc/74/43/89/529744389.db2.gz GNEZBWJRDZZLII-UHFFFAOYSA-N -1 1 318.358 1.595 20 0 DDADMM CCC[C@H](NC(=O)c1cc(=O)[nH]c(C(C)C)c1)c1nn[n-]n1 ZINC000181525149 199310825 /nfs/dbraw/zinc/31/08/25/199310825.db2.gz CCQQOZYIFWXMAL-JTQLQIEISA-N -1 1 304.354 1.695 20 0 DDADMM CCOc1cc(C(=O)N[C@H]2Cc3cncn3C2)cc(Cl)c1[O-] ZINC000623280280 365777507 /nfs/dbraw/zinc/77/75/07/365777507.db2.gz SOAKOADBTAELIF-JTQLQIEISA-N -1 1 321.764 1.996 20 0 DDADMM CCc1ncc(NS(=O)(=O)c2cc(C(=O)[O-])ccc2C)cn1 ZINC000446988893 529759027 /nfs/dbraw/zinc/75/90/27/529759027.db2.gz AQJWOPDDBJZJOK-UHFFFAOYSA-N -1 1 321.358 1.846 20 0 DDADMM COC[C@@](C)(O)CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000615478260 362299054 /nfs/dbraw/zinc/29/90/54/362299054.db2.gz ITJNUSKIENLUGA-INIZCTEOSA-N -1 1 304.346 1.458 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(C)c(CC)o2)n[n-]1 ZINC000615919844 362486731 /nfs/dbraw/zinc/48/67/31/362486731.db2.gz NGHUPUGIGKRNKP-UHFFFAOYSA-N -1 1 306.322 1.375 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(C)c(CC)o2)n1 ZINC000615919844 362486736 /nfs/dbraw/zinc/48/67/36/362486736.db2.gz NGHUPUGIGKRNKP-UHFFFAOYSA-N -1 1 306.322 1.375 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(F)c2F)n[n-]1 ZINC000615918721 362487148 /nfs/dbraw/zinc/48/71/48/362487148.db2.gz XQRCLDDNTHQNDL-UHFFFAOYSA-N -1 1 310.260 1.190 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(F)c2F)n1 ZINC000615918721 362487155 /nfs/dbraw/zinc/48/71/55/362487155.db2.gz XQRCLDDNTHQNDL-UHFFFAOYSA-N -1 1 310.260 1.190 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1[C@@H]2CCc3ccccc3[C@@H]21 ZINC000290658375 282351776 /nfs/dbraw/zinc/35/17/76/282351776.db2.gz FLLIHPBWIIRDFA-FRRDWIJNSA-N -1 1 319.386 1.572 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)Cc2occc2C)o1 ZINC000292415864 530027309 /nfs/dbraw/zinc/02/73/09/530027309.db2.gz ZVQVVQSAXOUPDO-UHFFFAOYSA-N -1 1 312.347 1.361 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@]2(C)CC=CCC2)c1 ZINC000452164894 530031779 /nfs/dbraw/zinc/03/17/79/530031779.db2.gz FVCKSWGPXZMRRL-OAHLLOKOSA-N -1 1 324.402 1.985 20 0 DDADMM Cc1n[nH]cc1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000358320336 299119682 /nfs/dbraw/zinc/11/96/82/299119682.db2.gz ZUMRKGIYAUGOSD-UHFFFAOYSA-N -1 1 300.322 1.013 20 0 DDADMM C[C@H]1c2ccccc2OCCN1C(=O)CCCc1nn[n-]n1 ZINC000636237936 422736954 /nfs/dbraw/zinc/73/69/54/422736954.db2.gz CPYFPVNYWMBALI-NSHDSACASA-N -1 1 301.350 1.505 20 0 DDADMM CO[C@@H]1COC[C@@H]1NC(=O)c1ccc(Br)cc1[O-] ZINC000458361010 232370380 /nfs/dbraw/zinc/37/03/80/232370380.db2.gz ORIIRZFSIDMANV-GXSJLCMTSA-N -1 1 316.151 1.298 20 0 DDADMM COc1cc2[n-]cc(C(=O)NCC(C)C)c(=O)c2c(OC)c1 ZINC000623379206 365838504 /nfs/dbraw/zinc/83/85/04/365838504.db2.gz CGPWGSIPNLYRSE-UHFFFAOYSA-N -1 1 304.346 1.931 20 0 DDADMM C[C@@](O)(C(=O)Nc1nc(Br)ccc1[O-])C1CC1 ZINC000286882655 219208060 /nfs/dbraw/zinc/20/80/60/219208060.db2.gz ODNHUIFBMLADFR-NSHDSACASA-N -1 1 301.140 1.649 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@]3(O)CCSC3)[nH][n-]2)s1 ZINC000616811913 362829201 /nfs/dbraw/zinc/82/92/01/362829201.db2.gz ZDWHLYXPOAXWDO-CYBMUJFWSA-N -1 1 309.416 1.675 20 0 DDADMM O=C(N[C@H](C1CCOCC1)C1(CO)CCC1)c1cncc([O-])c1 ZINC000616908679 362864190 /nfs/dbraw/zinc/86/41/90/362864190.db2.gz IBOGDPAABRUZHB-OAHLLOKOSA-N -1 1 320.389 1.475 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1c(F)cc(F)cc1F)CC(=O)[O-] ZINC000147322662 186039608 /nfs/dbraw/zinc/03/96/08/186039608.db2.gz CSFKCWQDKHJYOE-ZCFIWIBFSA-N -1 1 311.281 1.493 20 0 DDADMM CCC[C@H](NC(=O)c1cc(CSCC)on1)c1nn[n-]n1 ZINC000186046396 186234904 /nfs/dbraw/zinc/23/49/04/186234904.db2.gz QPOFVIQFDQOLDZ-VIFPVBQESA-N -1 1 310.383 1.712 20 0 DDADMM Cc1cc(F)ccc1[N-]S(=O)(=O)c1cc(C(N)=O)n(C)c1 ZINC000028198202 352228438 /nfs/dbraw/zinc/22/84/38/352228438.db2.gz VOQNBXVHYRRCKR-UHFFFAOYSA-N -1 1 311.338 1.372 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2cccc(C)c2)o1 ZINC000031619355 352269768 /nfs/dbraw/zinc/26/97/68/352269768.db2.gz OMQHAQFNZUNVQM-UHFFFAOYSA-N -1 1 308.359 1.426 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@@H](CO)NC(=O)c2cc(F)ccc2[O-])C1 ZINC000617535176 363162441 /nfs/dbraw/zinc/16/24/41/363162441.db2.gz OYYNIBOFIUQZLW-ZKYQVNSYSA-N -1 1 311.353 1.827 20 0 DDADMM C[C@H]1C[C@@H]1CNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000032392691 352278921 /nfs/dbraw/zinc/27/89/21/352278921.db2.gz LMWDTRLHHNSVGE-VHSXEESVSA-N -1 1 314.407 1.742 20 0 DDADMM C[C@H](Oc1ccc(Cl)cc1Cl)C(=O)NCc1nn[n-]n1 ZINC000044927506 352397712 /nfs/dbraw/zinc/39/77/12/352397712.db2.gz VMBCDJKSJBXBDI-LURJTMIESA-N -1 1 316.148 1.590 20 0 DDADMM Cc1[nH]nc2ncc(C(=O)NCCc3n[n-]c(=S)n3C)cc12 ZINC000067050901 353032498 /nfs/dbraw/zinc/03/24/98/353032498.db2.gz YLJHFFKSDNUXFU-UHFFFAOYSA-N -1 1 317.378 1.030 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2ccccc2C(F)(F)F)no1 ZINC000173399071 198209953 /nfs/dbraw/zinc/20/99/53/198209953.db2.gz JJDDZSGZMBBQMT-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM COc1ccc(C(=O)NCc2n[n-]c(=S)n2C(C)C)cn1 ZINC000066639506 353010044 /nfs/dbraw/zinc/01/00/44/353010044.db2.gz AIDNIDLZQXYBQQ-UHFFFAOYSA-N -1 1 307.379 1.855 20 0 DDADMM CCc1nc([C@H]2CCCN(C(=O)c3ncccc3[O-])C2)no1 ZINC000069489328 353163028 /nfs/dbraw/zinc/16/30/28/353163028.db2.gz VALLDOURLYYMID-JTQLQIEISA-N -1 1 302.334 1.752 20 0 DDADMM O=S(=O)(C[C@@H]1CCCCO1)[N-]c1cnn(-c2ccccn2)c1 ZINC000076937908 353443737 /nfs/dbraw/zinc/44/37/37/353443737.db2.gz HUMPZFWKIWSHFF-ZDUSSCGKSA-N -1 1 322.390 1.578 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)[C@H]2CCCOC2)o1 ZINC000355762549 290998671 /nfs/dbraw/zinc/99/86/71/290998671.db2.gz QEQIJXLNDXJKRG-UWVGGRQHSA-N -1 1 317.363 1.160 20 0 DDADMM CCC[N@@H+](C)CC(=O)Nc1cccc([C@@]2(C)NC(=O)NC2=O)c1 ZINC000358600186 299204557 /nfs/dbraw/zinc/20/45/57/299204557.db2.gz WPSODRCMQJNKEM-MRXNPFEDSA-N -1 1 318.377 1.022 20 0 DDADMM CCO[C@@H](C)c1noc(CS(=O)(=O)c2ccc([O-])cc2)n1 ZINC000077647179 353480159 /nfs/dbraw/zinc/48/01/59/353480159.db2.gz QHURMSHGTUSEFY-VIFPVBQESA-N -1 1 312.347 1.847 20 0 DDADMM Cc1cc(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)ncn1 ZINC000091160910 353813735 /nfs/dbraw/zinc/81/37/35/353813735.db2.gz LUOSXCHQAJTZCZ-UHFFFAOYSA-N -1 1 324.337 1.548 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(Cc3ccncc3)no2)o1 ZINC000355798619 291011491 /nfs/dbraw/zinc/01/14/91/291011491.db2.gz BBZDKGBMBPMAAD-UHFFFAOYSA-N -1 1 320.330 1.224 20 0 DDADMM CNc1ccc(C(=O)Nc2cc(C(=O)OC)ccc2[O-])cn1 ZINC000355818658 291018116 /nfs/dbraw/zinc/01/81/16/291018116.db2.gz JDABWMPBPHIAOT-UHFFFAOYSA-N -1 1 301.302 1.290 20 0 DDADMM CCOCCS(=O)(=O)c1nc(-c2ccc(OC)cc2)n[n-]1 ZINC000195120121 354295144 /nfs/dbraw/zinc/29/51/44/354295144.db2.gz JJGCBDFODXUOEP-UHFFFAOYSA-N -1 1 311.363 1.291 20 0 DDADMM CCOCCS(=O)(=O)c1n[n-]c(-c2ccc(OC)cc2)n1 ZINC000195120121 354295147 /nfs/dbraw/zinc/29/51/47/354295147.db2.gz JJGCBDFODXUOEP-UHFFFAOYSA-N -1 1 311.363 1.291 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC1CCC1)c1cccs1 ZINC000357245619 291030861 /nfs/dbraw/zinc/03/08/61/291030861.db2.gz WIIIJNPJQZBZQU-LLVKDONJSA-N -1 1 303.405 1.682 20 0 DDADMM O=C([O-])c1coc(=N[C@H]2CCC[N@@H+](Cc3ccccc3)C2)[nH]1 ZINC000381831412 354628096 /nfs/dbraw/zinc/62/80/96/354628096.db2.gz OUEKUCCKTUQWOD-ZDUSSCGKSA-N -1 1 301.346 1.871 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1cnc(C(=O)[O-])cn1)c1cccs1 ZINC000584779754 354766002 /nfs/dbraw/zinc/76/60/02/354766002.db2.gz MNTAJRUBYSGMLA-NSHDSACASA-N -1 1 320.374 1.269 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)Cc3ccccc3Cl)nc2n1 ZINC000588006682 354892662 /nfs/dbraw/zinc/89/26/62/354892662.db2.gz QTHYMOXAGZKMPZ-UHFFFAOYSA-N -1 1 317.736 1.561 20 0 DDADMM C[C@@]1(O)C[C@H](NC(=O)c2ccc(Br)cc2[O-])C1 ZINC000332488661 235026428 /nfs/dbraw/zinc/02/64/28/235026428.db2.gz XFGWEOBXLYDSFR-LYWQIFSTSA-N -1 1 300.152 1.798 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CCCCO2)c(F)c1 ZINC000588679699 354928249 /nfs/dbraw/zinc/92/82/49/354928249.db2.gz JXTKSRGIWCHMAO-SECBINFHSA-N -1 1 321.345 1.821 20 0 DDADMM COC[C@H](C)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000588680594 354928484 /nfs/dbraw/zinc/92/84/84/354928484.db2.gz ZEQYBPYCUHWQGA-MRVPVSSYSA-N -1 1 309.334 1.534 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C(=O)c2ccc([O-])cc2)c[nH]1 ZINC000588719463 354930854 /nfs/dbraw/zinc/93/08/54/354930854.db2.gz CHTOCJATXKSNOU-UHFFFAOYSA-N -1 1 303.274 1.113 20 0 DDADMM CC(C)c1cccc(CNC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000358845385 291053032 /nfs/dbraw/zinc/05/30/32/291053032.db2.gz HCUBPITYBQEUCD-UHFFFAOYSA-N -1 1 311.345 1.471 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccn(C)c2)o1 ZINC000358847901 291053953 /nfs/dbraw/zinc/05/39/53/291053953.db2.gz ABVCLUSSFOFXNZ-UHFFFAOYSA-N -1 1 312.347 1.273 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](c3nncn3C)C2)c([O-])c1 ZINC000495008317 235114173 /nfs/dbraw/zinc/11/41/73/235114173.db2.gz RFDUEBMAYHNZGY-NSHDSACASA-N -1 1 301.350 1.244 20 0 DDADMM O=C(NC[C@@H](O)COc1ccc(F)cc1)c1ncccc1[O-] ZINC000171272131 306683677 /nfs/dbraw/zinc/68/36/77/306683677.db2.gz RAZMYGYCYAYNFH-LLVKDONJSA-N -1 1 306.293 1.096 20 0 DDADMM CC[C@H](CNC(=O)c1c(C)[n-]c(=O)nc1SC)C(=O)OC ZINC000592854241 355717240 /nfs/dbraw/zinc/71/72/40/355717240.db2.gz CCJZEKJMMOYBPA-MRVPVSSYSA-N -1 1 313.379 1.142 20 0 DDADMM COC(=O)c1cccc(NC(=O)CN2CC[C@@](C)(C(=O)[O-])C2)c1 ZINC000592990342 355763796 /nfs/dbraw/zinc/76/37/96/355763796.db2.gz QWKQTEPDQWXUTQ-MRXNPFEDSA-N -1 1 320.345 1.208 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H](OC)C1CCCC1)C1CC1 ZINC000594760360 356309708 /nfs/dbraw/zinc/30/97/08/356309708.db2.gz YQAZBTCUHAWBAB-CHWSQXEVSA-N -1 1 319.423 1.063 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H]3C[C@H]32)o1 ZINC000594854134 356338992 /nfs/dbraw/zinc/33/89/92/356338992.db2.gz YVIZAMNKWHFONC-GARJFASQSA-N -1 1 313.375 1.781 20 0 DDADMM CCc1cnc(NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)s1 ZINC000081229156 192238641 /nfs/dbraw/zinc/23/86/41/192238641.db2.gz FHOSCRCKEXFEQF-UHFFFAOYSA-N -1 1 315.376 1.459 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC[C@H](C)CCO)cc1C ZINC000595335637 356452551 /nfs/dbraw/zinc/45/25/51/356452551.db2.gz UQSQJJLZBNHWMY-VIFPVBQESA-N -1 1 319.379 1.062 20 0 DDADMM CCOCC(C)(C)[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595334223 356453026 /nfs/dbraw/zinc/45/30/26/356453026.db2.gz NWQNSPDNSSAWDE-UHFFFAOYSA-N -1 1 319.379 1.468 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C2(C3CCC3)CC2)cc1C ZINC000595342423 356455705 /nfs/dbraw/zinc/45/57/05/356455705.db2.gz NUBBSXLKQLFUQN-UHFFFAOYSA-N -1 1 313.375 1.986 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H]3CCC[C@@H](O)C3)cnc2n1 ZINC000081716226 192316613 /nfs/dbraw/zinc/31/66/13/192316613.db2.gz DUUDCCGLAOAOJS-NWDGAFQWSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@H]3CCC[C@@H](O)C3)c[n-]c2n1 ZINC000081716226 192316618 /nfs/dbraw/zinc/31/66/18/192316618.db2.gz DUUDCCGLAOAOJS-NWDGAFQWSA-N -1 1 315.373 1.925 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)C(C)(C)C ZINC000601347293 358510082 /nfs/dbraw/zinc/51/00/82/358510082.db2.gz DZKFCFNAEUDASF-SECBINFHSA-N -1 1 306.409 1.318 20 0 DDADMM CCOC(=O)c1csc(=NCCN2C[C@@H](C)OC[C@@H]2C)[n-]1 ZINC000596434397 356879785 /nfs/dbraw/zinc/87/97/85/356879785.db2.gz XSIVFJJQJUUMJN-WDEREUQCSA-N -1 1 313.423 1.263 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](CC)SC)nc2n1 ZINC000598893829 357752598 /nfs/dbraw/zinc/75/25/98/357752598.db2.gz YHXVGFTUDNBJAH-SECBINFHSA-N -1 1 309.395 1.450 20 0 DDADMM COCc1c(C(=O)NCc2nn[n-]n2)sc2cccc(F)c21 ZINC000599334118 357897836 /nfs/dbraw/zinc/89/78/36/357897836.db2.gz FQMNLGSSJHBUKB-UHFFFAOYSA-N -1 1 321.337 1.630 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCCC23CC3)c1 ZINC000599521947 357963533 /nfs/dbraw/zinc/96/35/33/357963533.db2.gz WNSPJVBYSYVANQ-NSHDSACASA-N -1 1 313.375 1.925 20 0 DDADMM COC(=O)[C@H]1C[C@@H](N(C)C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000601673206 358647921 /nfs/dbraw/zinc/64/79/21/358647921.db2.gz YCKLUVGDMRDAQN-PHIMTYICSA-N -1 1 311.765 1.998 20 0 DDADMM CCOC(=O)c1cnc(-n2[n-]c(C)c(C3CC3)c2=O)nc1C ZINC000601941161 358745527 /nfs/dbraw/zinc/74/55/27/358745527.db2.gz UOZNRAUTZVMZSB-LBPRGKRZSA-N -1 1 302.334 1.710 20 0 DDADMM CCOC(=O)[C@]1(CNC(=O)c2ncc(C)cc2[O-])CCCOC1 ZINC000601860311 358719185 /nfs/dbraw/zinc/71/91/85/358719185.db2.gz OQACACVJHKLEQM-INIZCTEOSA-N -1 1 322.361 1.185 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@@H](C)C(F)(F)F)n[n-]1 ZINC000603024652 359367219 /nfs/dbraw/zinc/36/72/19/359367219.db2.gz YDAHWDSTDDCOAL-ZCFIWIBFSA-N -1 1 308.260 1.186 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H](C)C(F)(F)F)n1 ZINC000603024652 359367221 /nfs/dbraw/zinc/36/72/21/359367221.db2.gz YDAHWDSTDDCOAL-ZCFIWIBFSA-N -1 1 308.260 1.186 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2CC3(CCC3)C2)n[n-]1 ZINC000603152223 359439319 /nfs/dbraw/zinc/43/93/19/359439319.db2.gz BPPBXPZNMPZCKR-SECBINFHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2CC3(CCC3)C2)[n-]1 ZINC000603152223 359439321 /nfs/dbraw/zinc/43/93/21/359439321.db2.gz BPPBXPZNMPZCKR-SECBINFHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2CC3(CCC3)C2)n1 ZINC000603152223 359439323 /nfs/dbraw/zinc/43/93/23/359439323.db2.gz BPPBXPZNMPZCKR-SECBINFHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCC(F)(F)C2)n[n-]1 ZINC000603152233 359439420 /nfs/dbraw/zinc/43/94/20/359439420.db2.gz BQFQGQUZSBZHFR-YUMQZZPRSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCC(F)(F)C2)[n-]1 ZINC000603152233 359439422 /nfs/dbraw/zinc/43/94/22/359439422.db2.gz BQFQGQUZSBZHFR-YUMQZZPRSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCC(F)(F)C2)n1 ZINC000603152233 359439424 /nfs/dbraw/zinc/43/94/24/359439424.db2.gz BQFQGQUZSBZHFR-YUMQZZPRSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2CCC=CCC2)n[n-]1 ZINC000603157144 359444538 /nfs/dbraw/zinc/44/45/38/359444538.db2.gz UPJSGZWPHKCKOY-JTQLQIEISA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2CCC=CCC2)[n-]1 ZINC000603157144 359444545 /nfs/dbraw/zinc/44/45/45/359444545.db2.gz UPJSGZWPHKCKOY-JTQLQIEISA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2CCC=CCC2)n1 ZINC000603157144 359444550 /nfs/dbraw/zinc/44/45/50/359444550.db2.gz UPJSGZWPHKCKOY-JTQLQIEISA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(F)cc(F)c2)n[n-]1 ZINC000603167742 359452051 /nfs/dbraw/zinc/45/20/51/359452051.db2.gz YVLVFXIFHMZQNT-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(F)cc(F)c2)[n-]1 ZINC000603167742 359452058 /nfs/dbraw/zinc/45/20/58/359452058.db2.gz YVLVFXIFHMZQNT-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(F)cc(F)c2)n1 ZINC000603167742 359452063 /nfs/dbraw/zinc/45/20/63/359452063.db2.gz YVLVFXIFHMZQNT-ZETCQYMHSA-N -1 1 324.287 1.751 20 0 DDADMM COc1ccc(Cn2ccc([N-]S(=O)(=O)N(C)C)n2)cc1 ZINC000187795219 200157302 /nfs/dbraw/zinc/15/73/02/200157302.db2.gz AKBYBJCUBRMDFA-UHFFFAOYSA-N -1 1 310.379 1.158 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(C)(C)C(C)C)co1 ZINC000187631608 200137813 /nfs/dbraw/zinc/13/78/13/200137813.db2.gz DOESYAJENOMKJW-UHFFFAOYSA-N -1 1 302.396 1.600 20 0 DDADMM COc1ccsc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000604081652 359716705 /nfs/dbraw/zinc/71/67/05/359716705.db2.gz APIJBIMTDXOYGY-NSHDSACASA-N -1 1 315.358 1.789 20 0 DDADMM CC(C)(C(=O)Nc1nnn[n-]1)c1ccccc1Br ZINC000281135234 216103082 /nfs/dbraw/zinc/10/30/82/216103082.db2.gz DICCSJJXPBRXSO-UHFFFAOYSA-N -1 1 310.155 1.879 20 0 DDADMM CC(C)(C(=O)Nc1nn[n-]n1)c1ccccc1Br ZINC000281135234 216103086 /nfs/dbraw/zinc/10/30/86/216103086.db2.gz DICCSJJXPBRXSO-UHFFFAOYSA-N -1 1 310.155 1.879 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ncc(Cl)s2)o1 ZINC000607952364 360077581 /nfs/dbraw/zinc/07/75/81/360077581.db2.gz ZERCXMZFQYOHAT-UHFFFAOYSA-N -1 1 321.767 1.550 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1c(F)cc(OC)cc1F)C(C)C ZINC000608028713 360106549 /nfs/dbraw/zinc/10/65/49/360106549.db2.gz AFHIADCQTPCIAO-GFCCVEGCSA-N -1 1 323.361 1.923 20 0 DDADMM NC(=O)[C@H]1CCCC[C@@H]1NC(=O)c1ncc2ccccc2c1[O-] ZINC000611991466 360884265 /nfs/dbraw/zinc/88/42/65/360884265.db2.gz ROCSAKFAKPNOJF-STQMWFEESA-N -1 1 313.357 1.714 20 0 DDADMM COCc1csc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000612816680 361156415 /nfs/dbraw/zinc/15/64/15/361156415.db2.gz MQZNQKSWRIWNOY-SNVBAGLBSA-N -1 1 307.379 1.427 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc(C(=O)NC(C)C)cc1 ZINC000193316903 201027820 /nfs/dbraw/zinc/02/78/20/201027820.db2.gz NSIXXFLCTIOMHC-NSHDSACASA-N -1 1 314.407 1.601 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2c(c1)C[C@H](C)O2)c1nn[n-]n1 ZINC000613471977 361432572 /nfs/dbraw/zinc/43/25/72/361432572.db2.gz IGPQLZGCKPGEEL-UWVGGRQHSA-N -1 1 301.350 1.399 20 0 DDADMM C[C@@H](O)C[C@@H]1COCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000613558106 361467033 /nfs/dbraw/zinc/46/70/33/361467033.db2.gz NXVAMTMNGFEESU-DGCLKSJQSA-N -1 1 316.357 1.552 20 0 DDADMM COC(=O)CN(Cc1cc(=O)oc2cc([O-])ccc12)C(C)C ZINC000194640809 201267582 /nfs/dbraw/zinc/26/75/82/201267582.db2.gz RMQXGKFMCZAURP-UHFFFAOYSA-N -1 1 305.330 1.882 20 0 DDADMM CCc1cc(=O)[n-]c(SCC2(CS(C)(=O)=O)CCC2)n1 ZINC000195071962 201335088 /nfs/dbraw/zinc/33/50/88/201335088.db2.gz PWVKLDUZURFRHE-UHFFFAOYSA-N -1 1 316.448 1.639 20 0 DDADMM CCS(=O)(=O)c1nnc(COc2ccc(Cl)cc2)[n-]1 ZINC000195117121 201341224 /nfs/dbraw/zinc/34/12/24/201341224.db2.gz VFSHZXBOKVFWEC-UHFFFAOYSA-N -1 1 301.755 1.831 20 0 DDADMM CCS(=O)(=O)c1n[n-]c(COc2ccc(Cl)cc2)n1 ZINC000195117121 201341227 /nfs/dbraw/zinc/34/12/27/201341227.db2.gz VFSHZXBOKVFWEC-UHFFFAOYSA-N -1 1 301.755 1.831 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc2[nH]c(=O)oc2c1 ZINC000619013201 363851733 /nfs/dbraw/zinc/85/17/33/363851733.db2.gz PEDKAENFDKIGNZ-UHFFFAOYSA-N -1 1 313.195 1.923 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](CO)CC(C)(C)C ZINC000271698003 209134100 /nfs/dbraw/zinc/13/41/00/209134100.db2.gz UBRZKMGRZSUYTG-VIFPVBQESA-N -1 1 313.423 1.739 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@H]2COC[C@H]2C1 ZINC000620145988 364312523 /nfs/dbraw/zinc/31/25/23/364312523.db2.gz SKUCULWNGRCBFE-NWDGAFQWSA-N -1 1 319.405 1.897 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H]4COC[C@]4(C)C3)cnc2n1 ZINC000622172646 365310484 /nfs/dbraw/zinc/31/04/84/365310484.db2.gz RPAKJUGYPASNMP-DIFFPNOSSA-N -1 1 313.357 1.752 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1C[C@@H]3COC[C@]3(C)C1)c2=O ZINC000622172646 365310490 /nfs/dbraw/zinc/31/04/90/365310490.db2.gz RPAKJUGYPASNMP-DIFFPNOSSA-N -1 1 313.357 1.752 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]CC(=O)C2(C)CCCC2)on1 ZINC000625547105 367003045 /nfs/dbraw/zinc/00/30/45/367003045.db2.gz MAVVWGYQWQCWFM-UHFFFAOYSA-N -1 1 300.380 1.552 20 0 DDADMM CCO[C@H](C(=O)N=c1[nH][n-]c(CC)c1Br)C1CC1 ZINC000625964355 367246682 /nfs/dbraw/zinc/24/66/82/367246682.db2.gz IYCGGKOWHOAUGN-JTQLQIEISA-N -1 1 316.199 1.910 20 0 DDADMM C/C=C\CNC(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000626408030 367529626 /nfs/dbraw/zinc/52/96/26/367529626.db2.gz HGLFNYIUNSYGRJ-PLNGDYQASA-N -1 1 313.379 1.764 20 0 DDADMM CO[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccc(F)cc1 ZINC000626443746 367547995 /nfs/dbraw/zinc/54/79/95/367547995.db2.gz SOMRKORUMJXEJI-BXKDBHETSA-N -1 1 307.329 1.288 20 0 DDADMM O=C(CCCc1ccc2c(c1)OCCCO2)NCc1nn[n-]n1 ZINC000626465762 367561547 /nfs/dbraw/zinc/56/15/47/367561547.db2.gz TXQXHVNUTZDXQI-UHFFFAOYSA-N -1 1 317.349 1.000 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ccccc2OC)n1 ZINC000350152733 284159645 /nfs/dbraw/zinc/15/96/45/284159645.db2.gz ZVUKDPXCUCQJDO-UHFFFAOYSA-N -1 1 310.331 1.179 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CC(=O)N1 ZINC000350550682 284215394 /nfs/dbraw/zinc/21/53/94/284215394.db2.gz DPISBVVGSFZHQR-VHSXEESVSA-N -1 1 318.402 1.803 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1(C)C ZINC000271743075 209174484 /nfs/dbraw/zinc/17/44/84/209174484.db2.gz AIBGDXJKTACYPK-HRDYMLBCSA-N -1 1 321.830 1.411 20 0 DDADMM C[C@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C[C@H]1n1ccnc1 ZINC000288295844 220068494 /nfs/dbraw/zinc/06/84/94/220068494.db2.gz HMSLPPUISMVVMU-SWLSCSKDSA-N -1 1 313.357 1.881 20 0 DDADMM C[C@]1(C(=O)Nc2nnn[n-]2)CCCN(C(=O)c2ccccc2)C1 ZINC000266265346 205225492 /nfs/dbraw/zinc/22/54/92/205225492.db2.gz XATNMTPQAZEMPH-HNNXBMFYSA-N -1 1 314.349 1.081 20 0 DDADMM C[C@]1(C(=O)Nc2nn[n-]n2)CCCN(C(=O)c2ccccc2)C1 ZINC000266265346 205225494 /nfs/dbraw/zinc/22/54/94/205225494.db2.gz XATNMTPQAZEMPH-HNNXBMFYSA-N -1 1 314.349 1.081 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCCNC(=O)C2CC2)c1 ZINC000282923246 217327627 /nfs/dbraw/zinc/32/76/27/217327627.db2.gz YNSFOGFTRMDOTI-UHFFFAOYSA-N -1 1 320.345 1.424 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N2C[C@@H](C)O[C@@H](C)C2)n1 ZINC000017835519 182086296 /nfs/dbraw/zinc/08/62/96/182086296.db2.gz AUDWLYUBXISWSX-AOOOYVTPSA-N -1 1 311.407 1.060 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1ccc(C)cc1O ZINC000288503167 220184700 /nfs/dbraw/zinc/18/47/00/220184700.db2.gz UMPDWBGZRJPUTR-UHFFFAOYSA-N -1 1 301.364 1.642 20 0 DDADMM CO[C@@H](C)c1nc(=NCc2nc(C3CCOCC3)n[nH]2)s[n-]1 ZINC000631459800 422776818 /nfs/dbraw/zinc/77/68/18/422776818.db2.gz IGBLWCSFGMBLNU-QMMMGPOBSA-N -1 1 324.410 1.292 20 0 DDADMM CO[C@@H](C)c1nc(=NCc2n[nH]c(C3CCOCC3)n2)s[n-]1 ZINC000631459800 422776823 /nfs/dbraw/zinc/77/68/23/422776823.db2.gz IGBLWCSFGMBLNU-QMMMGPOBSA-N -1 1 324.410 1.292 20 0 DDADMM CCOc1ccc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000019775026 182146560 /nfs/dbraw/zinc/14/65/60/182146560.db2.gz XLTZTOZISYSHTL-LBPRGKRZSA-N -1 1 306.362 1.820 20 0 DDADMM O=C(NCCN1CCOCC1)c1ccc2ccccc2c1[O-] ZINC000020260333 182201009 /nfs/dbraw/zinc/20/10/09/182201009.db2.gz LNJBHGINBXGIIT-UHFFFAOYSA-N -1 1 300.358 1.607 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(=O)OC)s1)c1nn[n-]n1 ZINC000267675408 206211569 /nfs/dbraw/zinc/21/15/69/206211569.db2.gz KSYUWJLSCRZMJP-ZETCQYMHSA-N -1 1 309.351 1.319 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2Cc3ccccc3C2)o1 ZINC000106027305 248250784 /nfs/dbraw/zinc/25/07/84/248250784.db2.gz UWLNDMXNYPCLKA-UHFFFAOYSA-N -1 1 306.343 1.344 20 0 DDADMM CO[C@@H](C(=O)N=c1cc(-c2cccs2)[n-][nH]1)c1cnn(C)c1 ZINC000336951553 249295278 /nfs/dbraw/zinc/29/52/78/249295278.db2.gz UUNCTPYAZJVVDW-CYBMUJFWSA-N -1 1 317.374 1.620 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc2cc(F)ccc2[nH]1 ZINC000337141716 249362964 /nfs/dbraw/zinc/36/29/64/249362964.db2.gz VYTPNKGTEPZIID-UHFFFAOYSA-N -1 1 315.308 1.372 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCC[C@@H](C)C1 ZINC000104033697 193975594 /nfs/dbraw/zinc/97/55/94/193975594.db2.gz QHEKARVBWUQAHI-MFKMUULPSA-N -1 1 316.405 1.877 20 0 DDADMM CN1CCO[C@@H]2CCN(C(=O)c3ccc(Cl)cc3[O-])C[C@@H]21 ZINC000284602465 218096494 /nfs/dbraw/zinc/09/64/94/218096494.db2.gz SVPTWUCSMGJAKK-GXTWGEPZSA-N -1 1 310.781 1.591 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCS[C@H](C(C)C)C1 ZINC000352045780 284735182 /nfs/dbraw/zinc/73/51/82/284735182.db2.gz RNNXSEFTQUDDQL-QMMMGPOBSA-N -1 1 313.379 1.110 20 0 DDADMM CCOc1cc(C(=O)NCCOC(N)=O)cc(Cl)c1[O-] ZINC000273913973 211169209 /nfs/dbraw/zinc/16/92/09/211169209.db2.gz ZXHAFEZQGQWJRS-UHFFFAOYSA-N -1 1 302.714 1.269 20 0 DDADMM Cc1noc([C@H]2CN(C(=O)c3ccc([O-])cc3F)CCN2C)n1 ZINC000284718377 218146570 /nfs/dbraw/zinc/14/65/70/218146570.db2.gz SDIUHCMJQNNCMO-CYBMUJFWSA-N -1 1 320.324 1.352 20 0 DDADMM O=c1nc(NCCc2cc(C(F)(F)F)ccn2)nc2[nH][n-]cc1-2 ZINC000338747222 250117820 /nfs/dbraw/zinc/11/78/20/250117820.db2.gz CBASSOMWVWKKIK-UHFFFAOYSA-N -1 1 324.266 1.500 20 0 DDADMM CC(C)(C)NC(=O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000105616388 194114956 /nfs/dbraw/zinc/11/49/56/194114956.db2.gz CGXGCRYPICEADC-UHFFFAOYSA-N -1 1 324.324 1.297 20 0 DDADMM CCn1cccc(C[N-]S(=O)(=O)c2sccc2F)c1=O ZINC000338973939 250227679 /nfs/dbraw/zinc/22/76/79/250227679.db2.gz WNTTYPYSYYMBFN-UHFFFAOYSA-N -1 1 316.379 1.547 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCn2cnnc2C1 ZINC000105875288 194153566 /nfs/dbraw/zinc/15/35/66/194153566.db2.gz BCVZUIRMJWPGCC-UHFFFAOYSA-N -1 1 323.150 1.402 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2csc(CC)n2)n1 ZINC000339176543 250330732 /nfs/dbraw/zinc/33/07/32/250330732.db2.gz RVPVHQRZKWYCQN-UHFFFAOYSA-N -1 1 308.363 1.787 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)C[C@@H]1CCC[C@@H]1O ZINC000339187320 250334011 /nfs/dbraw/zinc/33/40/11/250334011.db2.gz XRVVHVIPLWZSGE-UWVGGRQHSA-N -1 1 311.407 1.446 20 0 DDADMM CN(C(=O)Cc1ccc([O-])c(Cl)c1)[C@H]1CCC(=O)N(C)C1 ZINC000633191590 422797261 /nfs/dbraw/zinc/79/72/61/422797261.db2.gz TZHWGRAEMFLIAJ-NSHDSACASA-N -1 1 310.781 1.667 20 0 DDADMM CC(C)(C)c1noc(C[N-]S(=O)(=O)c2cccs2)n1 ZINC000109524237 194270827 /nfs/dbraw/zinc/27/08/27/194270827.db2.gz RPCKEBLLTFCQEN-UHFFFAOYSA-N -1 1 301.393 1.907 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)Cc1cccs1 ZINC000352177379 284843445 /nfs/dbraw/zinc/84/34/45/284843445.db2.gz AXOOFMYVWYLKRC-ZETCQYMHSA-N -1 1 309.347 1.319 20 0 DDADMM O=c1ccc([N-]S(=O)(=O)c2cc(Cl)ccc2F)n[nH]1 ZINC000340632841 251145501 /nfs/dbraw/zinc/14/55/01/251145501.db2.gz APACBYKHVIKNKT-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM O=c1[n-]c(SC[C@H]2CN(Cc3ccccc3)CCCO2)n[nH]1 ZINC000340711705 251173709 /nfs/dbraw/zinc/17/37/09/251173709.db2.gz RWZNCXVZFVLXFJ-CYBMUJFWSA-N -1 1 320.418 1.894 20 0 DDADMM CCOC(=O)C(C)(C)n1cc(NC(=O)c2cncc([O-])c2)cn1 ZINC000269053407 207203085 /nfs/dbraw/zinc/20/30/85/207203085.db2.gz OIYBOZOOTRDMSS-UHFFFAOYSA-N -1 1 318.333 1.534 20 0 DDADMM CC1CCN(S(=O)(=O)[N-]c2cc(C(F)(F)F)[nH]n2)CC1 ZINC000340983748 251318620 /nfs/dbraw/zinc/31/86/20/251318620.db2.gz RNORCDMAMGOJRL-UHFFFAOYSA-N -1 1 312.317 1.817 20 0 DDADMM O=C([O-])[C@H]1CC[N@@H+](Cc2cc(=O)n3cc(Cl)ccc3n2)C1 ZINC000062133872 184208381 /nfs/dbraw/zinc/20/83/81/184208381.db2.gz OLKZUAFLRDGYSF-VIFPVBQESA-N -1 1 307.737 1.254 20 0 DDADMM COc1cccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1 ZINC000261610846 207365246 /nfs/dbraw/zinc/36/52/46/207365246.db2.gz DWBQKPCVLQEBIC-ZDUSSCGKSA-N -1 1 306.362 1.108 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1CCC[C@@H]1C1CC1 ZINC000412475608 224036789 /nfs/dbraw/zinc/03/67/89/224036789.db2.gz MWFQKUXTLNVPLC-QWHCGFSZSA-N -1 1 317.389 1.460 20 0 DDADMM C[C@H]1CCC[C@@]1(O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000294548563 224082225 /nfs/dbraw/zinc/08/22/25/224082225.db2.gz KSNDGLVHHLVUGU-ISVAXAHUSA-N -1 1 323.336 1.933 20 0 DDADMM Cc1ncsc1NC(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC000351680588 207378813 /nfs/dbraw/zinc/37/88/13/207378813.db2.gz RZTBIFLBLPPHGD-UHFFFAOYSA-N -1 1 317.330 1.762 20 0 DDADMM CCN(C(=O)CNC(=O)c1ncccc1[O-])[C@H]1CCCC[C@@H]1C ZINC000352363814 284996277 /nfs/dbraw/zinc/99/62/77/284996277.db2.gz UXGOQVVPEOZGDZ-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NCc1cccc(Cl)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000352415457 285035682 /nfs/dbraw/zinc/03/56/82/285035682.db2.gz NVHJEKUEGZTICM-UHFFFAOYSA-N -1 1 303.709 1.001 20 0 DDADMM O=C(NCCc1cccc(Cl)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000352423464 285040770 /nfs/dbraw/zinc/04/07/70/285040770.db2.gz OHRFZNCCUDPJIX-UHFFFAOYSA-N -1 1 317.736 1.044 20 0 DDADMM CCc1nc2cc(NC(=O)c3cc(=O)n4[n-]cnc4n3)ccc2o1 ZINC000352424404 285041209 /nfs/dbraw/zinc/04/12/09/285041209.db2.gz SJRPZSMUKJQURS-UHFFFAOYSA-N -1 1 324.300 1.374 20 0 DDADMM O=C(Nc1cc(F)c(F)cc1F)c1cc(=O)n2[n-]cnc2n1 ZINC000352425035 285041647 /nfs/dbraw/zinc/04/16/47/285041647.db2.gz WNMHIRVQKOZJTL-UHFFFAOYSA-N -1 1 309.207 1.087 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CCCC[C@@H]2C)co1 ZINC000270040607 208097086 /nfs/dbraw/zinc/09/70/86/208097086.db2.gz JRYODSHRWJVLFG-WDEREUQCSA-N -1 1 314.407 1.744 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H](OC)C(C)(C)C)c1 ZINC000270068151 208125253 /nfs/dbraw/zinc/12/52/53/208125253.db2.gz YLUUEMYMNNIKTD-SNVBAGLBSA-N -1 1 319.379 1.406 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC(C)(C)c1ncccc1C ZINC000352574228 285151248 /nfs/dbraw/zinc/15/12/48/285151248.db2.gz DRCRZRSREHEZNS-UHFFFAOYSA-N -1 1 324.406 1.355 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)c1ncccc1C ZINC000352574228 285151249 /nfs/dbraw/zinc/15/12/49/285151249.db2.gz DRCRZRSREHEZNS-UHFFFAOYSA-N -1 1 324.406 1.355 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)C1CC1)c1c(F)cccc1Cl ZINC000352600438 285166686 /nfs/dbraw/zinc/16/66/86/285166686.db2.gz QQQUAJOOSZWXDI-VIFPVBQESA-N -1 1 307.774 1.776 20 0 DDADMM CN(C)C(=O)c1ccc(=NC[C@H]2CCCO[C@@H]2C(C)(C)C)[n-]n1 ZINC000413160208 224145967 /nfs/dbraw/zinc/14/59/67/224145967.db2.gz ULZJZZKWXMPQAA-DOMZBBRYSA-N -1 1 320.437 1.854 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)C[C@@H](C)C2)co1 ZINC000157517492 197193291 /nfs/dbraw/zinc/19/32/91/197193291.db2.gz UMICDUPMUOUHNB-NXEZZACHSA-N -1 1 300.380 1.306 20 0 DDADMM CCNC(=O)c1ccc(=NCC2([C@@H]3CCCCO3)CCC2)[n-]n1 ZINC000631510853 422806700 /nfs/dbraw/zinc/80/67/00/422806700.db2.gz NRZIJGSIMSPKNA-AWEZNQCLSA-N -1 1 318.421 1.800 20 0 DDADMM Cn1nc2c(c1NC(=O)c1ccc3n[n-]c(=S)n3c1)CCC2 ZINC000294716234 224187976 /nfs/dbraw/zinc/18/79/76/224187976.db2.gz ANOILJYHLSOXNT-UHFFFAOYSA-N -1 1 314.374 1.493 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2cc(Cl)ccc2F)CCOC1=O ZINC000289557252 221065184 /nfs/dbraw/zinc/06/51/84/221065184.db2.gz NLULTUSPXZIWNU-NSHDSACASA-N -1 1 307.730 1.463 20 0 DDADMM CC(=O)N(CCc1ccccc1)CC(=O)Nc1ccncc1[O-] ZINC000120924689 195264912 /nfs/dbraw/zinc/26/49/12/195264912.db2.gz QTRYXZNJTKJLDA-UHFFFAOYSA-N -1 1 313.357 1.817 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@H](c2ccccc2)C1 ZINC000289697898 221162463 /nfs/dbraw/zinc/16/24/63/221162463.db2.gz DMZUPDKCUMRLHL-HAQNSBGRSA-N -1 1 307.375 1.790 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccccc1-n1ccnn1 ZINC000414368611 224328217 /nfs/dbraw/zinc/32/82/17/224328217.db2.gz HPHPFTJOJLPFMD-UHFFFAOYSA-N -1 1 310.379 1.434 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCc3occc32)o1 ZINC000121017373 195280714 /nfs/dbraw/zinc/28/07/14/195280714.db2.gz KILDCEWAVUYMST-JTQLQIEISA-N -1 1 324.358 1.588 20 0 DDADMM COc1cc(C(=O)N2CCc3n[nH]cc3C2)cc(Cl)c1[O-] ZINC000442646016 533525690 /nfs/dbraw/zinc/52/56/90/533525690.db2.gz HDKHUAQABBYWRY-UHFFFAOYSA-N -1 1 307.737 1.976 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2cccc3c2OCO3)s1 ZINC000568783375 304312197 /nfs/dbraw/zinc/31/21/97/304312197.db2.gz DRRUJXDEXJLVCI-UHFFFAOYSA-N -1 1 313.360 1.054 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2c(c1)CCCC2=O)c1nn[n-]n1 ZINC000569247830 304343759 /nfs/dbraw/zinc/34/37/59/304343759.db2.gz UVPUYUQVLGTKFB-ZDUSSCGKSA-N -1 1 313.361 1.990 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCc1nnnn1C1CC1 ZINC000631548453 422826923 /nfs/dbraw/zinc/82/69/23/422826923.db2.gz ODTMXUMUYAQDMR-UHFFFAOYSA-N -1 1 307.741 1.226 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)CN2C[C@@H](C)[C@H](C(=O)[O-])C2)c1C ZINC000569977213 304388877 /nfs/dbraw/zinc/38/88/77/304388877.db2.gz ISYLMYROANNHAE-LDYMZIIASA-N -1 1 322.361 1.253 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C[C@@H]1C=CCC1 ZINC000074162148 406903690 /nfs/dbraw/zinc/90/36/90/406903690.db2.gz OMQCYGHMNKGCFI-GFCCVEGCSA-N -1 1 300.362 1.612 20 0 DDADMM CC(C)COCCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000027961846 406913844 /nfs/dbraw/zinc/91/38/44/406913844.db2.gz KNXZMRRXZMYUDB-UHFFFAOYSA-N -1 1 309.819 1.415 20 0 DDADMM C[C@@]1(NC(=O)c2ccc(Cl)cc2[O-])CCS(=O)(=O)C1 ZINC000044300019 407021926 /nfs/dbraw/zinc/02/19/26/407021926.db2.gz FNXXCJFGIWCIMI-GFCCVEGCSA-N -1 1 303.767 1.353 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Br)c(F)c1 ZINC000044929565 407033741 /nfs/dbraw/zinc/03/37/41/407033741.db2.gz URRMKXTYASAMDV-UHFFFAOYSA-N -1 1 300.091 1.031 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@H](C)C1 ZINC000037500707 406990540 /nfs/dbraw/zinc/99/05/40/406990540.db2.gz FHGWGXAWXHQYQV-VHSXEESVSA-N -1 1 315.395 1.443 20 0 DDADMM CN(C)c1ccc(NC(=O)NCc2n[n-]c(=S)n2C)cn1 ZINC000085561176 407103742 /nfs/dbraw/zinc/10/37/42/407103742.db2.gz FQBJLVWJXKXHHD-UHFFFAOYSA-N -1 1 307.383 1.260 20 0 DDADMM CCCNC(=O)CCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000080585489 407072100 /nfs/dbraw/zinc/07/21/00/407072100.db2.gz WXYRIRFBMKNMKO-UHFFFAOYSA-N -1 1 322.409 1.022 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1ccccc1OC)c1ccco1 ZINC000080790425 407073920 /nfs/dbraw/zinc/07/39/20/407073920.db2.gz BSGRVISEGSWVHV-LLVKDONJSA-N -1 1 311.359 1.954 20 0 DDADMM CCN(CC)C(=O)CCCC(=O)NCc1n[n-]c(=S)n1C ZINC000066625867 407256550 /nfs/dbraw/zinc/25/65/50/407256550.db2.gz JUYMLAXHASZKIC-UHFFFAOYSA-N -1 1 313.427 1.133 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCCC[C@H]1CN1CCOCC1 ZINC000094128813 407204312 /nfs/dbraw/zinc/20/43/12/407204312.db2.gz LIWSWLBBNFQPML-AWEZNQCLSA-N -1 1 322.380 1.858 20 0 DDADMM Cc1ccc(OCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c(C)c1 ZINC000123945318 407343446 /nfs/dbraw/zinc/34/34/46/407343446.db2.gz KLSMASLPYSDZJM-LBPRGKRZSA-N -1 1 303.366 1.457 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)C(C2CC2)C2CC2)co1 ZINC000125069529 407377922 /nfs/dbraw/zinc/37/79/22/407377922.db2.gz OAOJYCAROPNPHS-UHFFFAOYSA-N -1 1 312.391 1.448 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCC[C@@H](C)C2)o1 ZINC000107699132 407378857 /nfs/dbraw/zinc/37/88/57/407378857.db2.gz JUVSMHQYOKNETD-NXEZZACHSA-N -1 1 301.364 1.923 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccnc3ccccc32)n1 ZINC000113421741 407503013 /nfs/dbraw/zinc/50/30/13/407503013.db2.gz VKGQTTRMHMYPAJ-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2ccnc3ccccc32)[n-]1 ZINC000113421741 407503020 /nfs/dbraw/zinc/50/30/20/407503020.db2.gz VKGQTTRMHMYPAJ-UHFFFAOYSA-N -1 1 317.330 1.009 20 0 DDADMM Cc1cccc(O[C@H](C)C(=O)N2CCN([C@@H](C)C(=O)[O-])CC2)c1 ZINC000261617544 407555038 /nfs/dbraw/zinc/55/50/38/407555038.db2.gz AHLLZZMFCJDKHA-UONOGXRCSA-N -1 1 320.389 1.380 20 0 DDADMM O=C([C@H]1CSc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129400269 407607936 /nfs/dbraw/zinc/60/79/36/407607936.db2.gz UGUKUHVCDPTWAT-JQWIXIFHSA-N -1 1 315.402 1.795 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCO[C@H](C)C2)o1 ZINC000271286177 407614240 /nfs/dbraw/zinc/61/42/40/407614240.db2.gz RTVXDPJFWOFJIQ-ZJUUUORDSA-N -1 1 317.363 1.160 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCO[C@@H](C)C2)o1 ZINC000271286174 407615253 /nfs/dbraw/zinc/61/52/53/407615253.db2.gz RTVXDPJFWOFJIQ-UWVGGRQHSA-N -1 1 317.363 1.160 20 0 DDADMM CCCC1(C[N-]S(=O)(=O)c2n[nH]cc2C(=O)OCC)CC1 ZINC000228624728 407651533 /nfs/dbraw/zinc/65/15/33/407651533.db2.gz YNDHCBJHHNESKI-UHFFFAOYSA-N -1 1 315.395 1.445 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@H]1C(C)C ZINC000186396138 407655754 /nfs/dbraw/zinc/65/57/54/407655754.db2.gz VMZJBFMGOBQLTL-NWDGAFQWSA-N -1 1 302.378 1.548 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCO[C@H](C)C2)c1 ZINC000271239073 407592092 /nfs/dbraw/zinc/59/20/92/407592092.db2.gz CSGKCEHMFZGURH-ZJUUUORDSA-N -1 1 317.363 1.160 20 0 DDADMM Cc1c(NS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2C)cnn1C ZINC000178956520 407675185 /nfs/dbraw/zinc/67/51/85/407675185.db2.gz RCJVPMIRACPGFG-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM C[C@H]1CO[C@@H](c2ccccc2)C[N@@H+]1CCCOC(=O)NC(N)=O ZINC000271504774 407707437 /nfs/dbraw/zinc/70/74/37/407707437.db2.gz LATQGMIRGGTOSE-GXTWGEPZSA-N -1 1 321.377 1.643 20 0 DDADMM O=C(NC[C@@]1(O)CCOC1)c1cc(Br)ccc1[O-] ZINC000179087825 407718872 /nfs/dbraw/zinc/71/88/72/407718872.db2.gz LXZKAYCCKDMQDD-LBPRGKRZSA-N -1 1 316.151 1.036 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC[C@]2(CCCc3ccccc32)C1 ZINC000262168922 407757810 /nfs/dbraw/zinc/75/78/10/407757810.db2.gz CYMBBJOFUGKTPU-GOSISDBHSA-N -1 1 316.401 1.557 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1[C@H]3CCO[C@@H]3C1(C)C)c2=O ZINC000179514306 407799584 /nfs/dbraw/zinc/79/95/84/407799584.db2.gz WDMAPCFVAXTAAP-WQHBLYJGSA-N -1 1 316.361 1.217 20 0 DDADMM CSCCCCCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000186948788 407801913 /nfs/dbraw/zinc/80/19/13/407801913.db2.gz ZSBRLNBWPDNDSO-UHFFFAOYSA-N -1 1 308.407 1.937 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CC=CCC1 ZINC000172495682 407832915 /nfs/dbraw/zinc/83/29/15/407832915.db2.gz XPWJWYZJLDITBK-LBPRGKRZSA-N -1 1 300.362 1.407 20 0 DDADMM Cc1cc(F)ccc1S(=O)(=O)[N-]c1ccc2nncn2c1 ZINC000180114963 407856160 /nfs/dbraw/zinc/85/61/60/407856160.db2.gz PCNHMPLYDDGRAS-UHFFFAOYSA-N -1 1 306.322 1.978 20 0 DDADMM COc1cc(C(=O)NCC(C)(C)C(N)=O)cc(Cl)c1[O-] ZINC000153600712 407858494 /nfs/dbraw/zinc/85/84/94/407858494.db2.gz GKSOGJDCBSLJPL-UHFFFAOYSA-N -1 1 300.742 1.296 20 0 DDADMM NC(=O)c1cccc(S(=O)(=O)[N-]c2ncccc2Cl)c1 ZINC000180200074 407865957 /nfs/dbraw/zinc/86/59/57/407865957.db2.gz GAUCJNNIIOQWOD-UHFFFAOYSA-N -1 1 311.750 1.635 20 0 DDADMM COc1ccc(CN(C)C(=O)C[N@H+]2CC[C@H](C(=O)[O-])C2)cc1 ZINC000262628864 407886493 /nfs/dbraw/zinc/88/64/93/407886493.db2.gz GLLJTLKSFFQADL-ZDUSSCGKSA-N -1 1 306.362 1.060 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)c1ccccn1 ZINC000134569743 407909957 /nfs/dbraw/zinc/90/99/57/407909957.db2.gz UDTHLMVULIYYQC-UHFFFAOYSA-N -1 1 311.345 1.240 20 0 DDADMM O=C(NCC[C@@H]1CCCCO1)c1nc2ccccc2c(=O)[n-]1 ZINC000181416012 407996469 /nfs/dbraw/zinc/99/64/69/407996469.db2.gz VJPNUTLZRMGHSF-NSHDSACASA-N -1 1 301.346 1.612 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H]2CCO[C@@H]2C)c(=O)[n-]1 ZINC000135297641 408001352 /nfs/dbraw/zinc/00/13/52/408001352.db2.gz QFQMEDKPMWOZFU-KOLCDFICSA-N -1 1 311.407 1.026 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(C(=O)NC(C)C)cc1 ZINC000154350802 408023200 /nfs/dbraw/zinc/02/32/00/408023200.db2.gz ZDQNQBFXLGFYPU-UHFFFAOYSA-N -1 1 300.380 1.213 20 0 DDADMM Cc1cc(NC(=O)CN(C)C(=O)c2ccc([O-])cc2F)no1 ZINC000154627869 408062051 /nfs/dbraw/zinc/06/20/51/408062051.db2.gz JIRZLLYHEBFVSB-UHFFFAOYSA-N -1 1 307.281 1.538 20 0 DDADMM CC(C)C(=O)N1CCC(NC(=O)c2cc(F)ccc2[O-])CC1 ZINC000175191277 408073541 /nfs/dbraw/zinc/07/35/41/408073541.db2.gz LOLZGHDOJLTWTF-UHFFFAOYSA-N -1 1 308.353 1.908 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(c2cccnn2)CC1 ZINC000175213891 408079659 /nfs/dbraw/zinc/07/96/59/408079659.db2.gz OWJFGDUEGQNDMN-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CCC[C@H]3OCC[C@@H]32)c1Cl ZINC000268523668 408082270 /nfs/dbraw/zinc/08/22/70/408082270.db2.gz VJKOYXUBNYSWAT-OPRDCNLKSA-N -1 1 319.814 1.309 20 0 DDADMM CCO[C@H]1C[C@H](O)C12CCN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC000175249703 408086728 /nfs/dbraw/zinc/08/67/28/408086728.db2.gz AILQUEPIMVNAPS-GJZGRUSLSA-N -1 1 323.364 1.923 20 0 DDADMM CCCOc1ccc(CNC(=O)CN[C@@H](CCC)C(=O)[O-])cc1 ZINC000273167559 408087761 /nfs/dbraw/zinc/08/77/61/408087761.db2.gz CUISOIGSWFRPPK-HNNXBMFYSA-N -1 1 322.405 1.935 20 0 DDADMM COc1cc(C=O)ccc1OCCC(=O)[N-]O[C@@H]1CCCCO1 ZINC000273302139 408143906 /nfs/dbraw/zinc/14/39/06/408143906.db2.gz ZYFGDEBARWTRKY-MRXNPFEDSA-N -1 1 323.345 1.851 20 0 DDADMM CCCn1nc(C)c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1C ZINC000155552618 408181204 /nfs/dbraw/zinc/18/12/04/408181204.db2.gz WZEDVHFHRBETOQ-GFCCVEGCSA-N -1 1 317.397 1.443 20 0 DDADMM CCC[C@@H]1CCCCN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000183066086 408317682 /nfs/dbraw/zinc/31/76/82/408317682.db2.gz UBHAGAIRQDJBIU-GFCCVEGCSA-N -1 1 314.407 1.983 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@H]1SCCc2ccccc21 ZINC000176261654 408327040 /nfs/dbraw/zinc/32/70/40/408327040.db2.gz AOOWFUFGMCGGCJ-ZDUSSCGKSA-N -1 1 318.402 1.177 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)C(=O)NC2CC2)c1 ZINC000182900871 408277124 /nfs/dbraw/zinc/27/71/24/408277124.db2.gz ZVQCCTYWRSKTHD-UHFFFAOYSA-N -1 1 313.151 1.680 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@@H]2CCC(=O)N2)c1 ZINC000182903742 408280371 /nfs/dbraw/zinc/28/03/71/408280371.db2.gz XNQHXQHTFIDFTP-QMMMGPOBSA-N -1 1 313.151 1.680 20 0 DDADMM O=C([O-])[C@@H]1CCN([C@@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000246528163 408283404 /nfs/dbraw/zinc/28/34/04/408283404.db2.gz RSMHSTBWCUXHOY-ZWNOBZJWSA-N -1 1 308.765 1.852 20 0 DDADMM CCc1ccc(C(=O)[O-])cc1S(=O)(=O)N[C@H](C)c1nnc[nH]1 ZINC000273696098 408289891 /nfs/dbraw/zinc/28/98/91/408289891.db2.gz JCEYSBFOXWATMV-MRVPVSSYSA-N -1 1 324.362 1.105 20 0 DDADMM CC(C)C(=O)NCC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131013344 162002507 /nfs/dbraw/zinc/00/25/07/162002507.db2.gz XOCJEPRAONAKJN-UHFFFAOYSA-N -1 1 322.789 1.530 20 0 DDADMM COCc1nc(C)c(C(=O)Nc2n[n-]c(C(F)(F)F)n2)s1 ZINC000158657960 408351738 /nfs/dbraw/zinc/35/17/38/408351738.db2.gz JWHRWPFUGXMTQL-UHFFFAOYSA-N -1 1 321.284 1.987 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H](C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000165557785 162160423 /nfs/dbraw/zinc/16/04/23/162160423.db2.gz PUYSNSDBJDUANK-CZUORRHYSA-N -1 1 316.401 1.756 20 0 DDADMM CC[C@H](C)c1cc(C(=O)[N-]c2ncn(CC(=O)N(C)C)n2)on1 ZINC000173760783 162362242 /nfs/dbraw/zinc/36/22/42/162362242.db2.gz KOANLFLPSCCXRG-VIFPVBQESA-N -1 1 320.353 1.120 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183398910 408398506 /nfs/dbraw/zinc/39/85/06/408398506.db2.gz GPHHLAFZNGBLLS-RYUDHWBXSA-N -1 1 303.366 1.538 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc2c(c(Cl)c1)OCO2)c1nn[n-]n1 ZINC000183432809 408412053 /nfs/dbraw/zinc/41/20/53/408412053.db2.gz VJNXQFPCCJPZBF-SSDOTTSWSA-N -1 1 323.740 1.458 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)(F)F)c1cc(F)c(F)c(F)c1 ZINC000269742905 408413126 /nfs/dbraw/zinc/41/31/26/408413126.db2.gz VKLPBLNAFXEXRO-ZETCQYMHSA-N -1 1 323.214 1.305 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1C[C@@H]1c1cccc(F)c1F)c1nn[n-]n1 ZINC000183453325 408416039 /nfs/dbraw/zinc/41/60/39/408416039.db2.gz QPKFIGPPEXGBJM-GDPRMGEGSA-N -1 1 321.331 1.844 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2cc(C)ccc2C)n1 ZINC000176720719 408421836 /nfs/dbraw/zinc/42/18/36/408421836.db2.gz TXZMKQIQIOUXCN-UHFFFAOYSA-N -1 1 322.390 1.716 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CCOCC1)c1cc(F)ccc1F ZINC000269789950 408429092 /nfs/dbraw/zinc/42/90/92/408429092.db2.gz QMVGVMCDQWTIDS-LBPRGKRZSA-N -1 1 321.345 1.031 20 0 DDADMM CCO[C@H]1C[C@](O)(CNC(=O)c2ccc([O-])cc2F)C1(C)C ZINC000191728494 408480437 /nfs/dbraw/zinc/48/04/37/408480437.db2.gz POOPMAUEAGFQEI-BBRMVZONSA-N -1 1 311.353 1.827 20 0 DDADMM COc1ccc(NC(=O)C(C)(C)OC)cc1[N-]S(C)(=O)=O ZINC000264433683 408489777 /nfs/dbraw/zinc/48/97/77/408489777.db2.gz LJULOGOPJDYGOQ-UHFFFAOYSA-N -1 1 316.379 1.430 20 0 DDADMM CO[C@@](C)(C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccccc1F ZINC000192209611 408558056 /nfs/dbraw/zinc/55/80/56/408558056.db2.gz BRXFXKOYHZUNTM-MEBBXXQBSA-N -1 1 321.356 1.463 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1nc2ccccc2[nH]1)c1nn[n-]n1 ZINC000274795941 408534989 /nfs/dbraw/zinc/53/49/89/408534989.db2.gz RBLUGWMJJMRKAE-JTQLQIEISA-N -1 1 313.365 1.271 20 0 DDADMM C[C@@H](O)C[C@H]1COCCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000184701408 408671485 /nfs/dbraw/zinc/67/14/85/408671485.db2.gz KHTNNAWJEBFBMZ-YPMHNXCESA-N -1 1 319.357 1.470 20 0 DDADMM Cn1cc([C@H]2OCCC[C@@H]2NC(=O)c2cncc([O-])c2)cn1 ZINC000275588389 408612044 /nfs/dbraw/zinc/61/20/44/408612044.db2.gz HRMQNCJGWYWUHG-UONOGXRCSA-N -1 1 302.334 1.171 20 0 DDADMM O=C(N[C@]1(CO)CCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000185237447 408784594 /nfs/dbraw/zinc/78/45/94/408784594.db2.gz UDTFXVLKXQLDJD-LBPRGKRZSA-N -1 1 305.252 1.292 20 0 DDADMM Cc1cccc2c(=O)c(C(=O)NC[C@]3(O)CCSC3)c[nH]c12 ZINC000164452119 408798389 /nfs/dbraw/zinc/79/83/89/408798389.db2.gz JUMYCMUITZHNJF-MRXNPFEDSA-N -1 1 318.398 1.847 20 0 DDADMM CCN(C)C(=O)[C@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000188262877 163047453 /nfs/dbraw/zinc/04/74/53/163047453.db2.gz OWCXNJSUTQOJFB-JTQLQIEISA-N -1 1 316.361 1.240 20 0 DDADMM CCN(C)C(=O)[C@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000188262877 163047456 /nfs/dbraw/zinc/04/74/56/163047456.db2.gz OWCXNJSUTQOJFB-JTQLQIEISA-N -1 1 316.361 1.240 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@H]1CCO)c1cc(F)c(F)cc1F ZINC000188903468 163076618 /nfs/dbraw/zinc/07/66/18/163076618.db2.gz HLPGDVSYXKMGEP-QPUJVOFHSA-N -1 1 323.336 1.933 20 0 DDADMM CN1CCCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CC1=O ZINC000190378434 163138248 /nfs/dbraw/zinc/13/82/48/163138248.db2.gz MYQJNWASGYDWJU-UHFFFAOYSA-N -1 1 316.279 1.715 20 0 DDADMM CCC(CC)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000291876554 408937829 /nfs/dbraw/zinc/93/78/29/408937829.db2.gz GRKRFGXCYRDSQT-UHFFFAOYSA-N -1 1 300.380 1.675 20 0 DDADMM CS(=O)(=O)C1(C(=O)Nc2nc(Cl)ccc2[O-])CCC1 ZINC000281876653 408945846 /nfs/dbraw/zinc/94/58/46/408945846.db2.gz OQMQJRLTSGBQGD-UHFFFAOYSA-N -1 1 304.755 1.346 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(Cl)ccc2[O-])CC[S@](=O)C1 ZINC000277726603 408999860 /nfs/dbraw/zinc/99/98/60/408999860.db2.gz XIBBGYNXDNHSLT-UGZDLDLSSA-N -1 1 301.795 1.886 20 0 DDADMM C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)c1cc(F)ccc1[O-] ZINC000287627669 409066425 /nfs/dbraw/zinc/06/64/25/409066425.db2.gz ZLOZNFNBMNYKDB-YPMHNXCESA-N -1 1 308.353 1.467 20 0 DDADMM CCOC(=O)CN(C(=O)c1cc(F)cc2nn[nH]c21)C1CC1 ZINC000277860249 409025039 /nfs/dbraw/zinc/02/50/39/409025039.db2.gz ZHNONUYHBOSBAU-UHFFFAOYSA-N -1 1 306.297 1.265 20 0 DDADMM CC[C@@H](C)Oc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000277897772 409030754 /nfs/dbraw/zinc/03/07/54/409030754.db2.gz NYKXPPAZZVGAAZ-SECBINFHSA-N -1 1 306.322 1.452 20 0 DDADMM O=C(Nc1ncc(C2CCCCC2)s1)NN1CC(=O)[N-]C1=O ZINC000278050837 409057403 /nfs/dbraw/zinc/05/74/03/409057403.db2.gz MCHPGVBMFMLSBW-UHFFFAOYSA-N -1 1 323.378 1.779 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@@H](O)CC(C)(C)C)ccnc1-2 ZINC000287597623 409061693 /nfs/dbraw/zinc/06/16/93/409061693.db2.gz MGQRNUHPTIMXAN-FZUCCHQLSA-N -1 1 305.382 1.260 20 0 DDADMM O=C([N-]OCC1CC1)C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000293223478 409070261 /nfs/dbraw/zinc/07/02/61/409070261.db2.gz CWDONLXGMXTRSP-UHFFFAOYSA-N -1 1 324.287 1.897 20 0 DDADMM Cc1ccc(C(=O)CCN2CCC[C@@]3(C2)NC(=O)NC3=O)cc1 ZINC000279093929 409147234 /nfs/dbraw/zinc/14/72/34/409147234.db2.gz PUGZJWZMYOAVFM-KRWDZBQOSA-N -1 1 315.373 1.242 20 0 DDADMM C[C@@H]1OCC[C@]12CN(C(=O)c1c(F)ccc([O-])c1F)CCO2 ZINC000289330327 409249424 /nfs/dbraw/zinc/24/94/24/409249424.db2.gz MBTDROBQJLJZDT-VFZGTOFNSA-N -1 1 313.300 1.690 20 0 DDADMM COc1ccccc1N1C[C@@H](C(=O)[N-]OCCSC)CC1=O ZINC000280140798 409257911 /nfs/dbraw/zinc/25/79/11/409257911.db2.gz XHHFZYHHEJGORR-NSHDSACASA-N -1 1 324.402 1.459 20 0 DDADMM CC(C)CN1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1=O ZINC000290277684 409345597 /nfs/dbraw/zinc/34/55/97/409345597.db2.gz YTGISUFQONQHKS-UHFFFAOYSA-N -1 1 312.316 1.611 20 0 DDADMM Cc1nc([C@@H](C)NS(=O)(=O)c2cc(C(=O)[O-])ccc2C)n[nH]1 ZINC000285393619 409427522 /nfs/dbraw/zinc/42/75/22/409427522.db2.gz JKWOGEWMPZXADT-MRVPVSSYSA-N -1 1 324.362 1.159 20 0 DDADMM C[C@H]1CCCN(Cc2ccc(S(C)(=O)=O)cc2)[C@@H]1C(=O)[O-] ZINC000314025343 164012180 /nfs/dbraw/zinc/01/21/80/164012180.db2.gz XMBUONPXUSGYMB-FZMZJTMJSA-N -1 1 311.403 1.775 20 0 DDADMM CCC[C@H](OC)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000296223617 409467528 /nfs/dbraw/zinc/46/75/28/409467528.db2.gz WHPODWXZNLKGQX-LBPRGKRZSA-N -1 1 316.379 1.054 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)nc(C)n1 ZINC000408067469 164216381 /nfs/dbraw/zinc/21/63/81/164216381.db2.gz LPCGHHUEWATOEZ-JTQLQIEISA-N -1 1 303.322 1.202 20 0 DDADMM COC1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCCC1 ZINC000295458717 409491530 /nfs/dbraw/zinc/49/15/30/409491530.db2.gz XGAHCYALGCQOHP-UHFFFAOYSA-N -1 1 306.391 1.707 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc(F)cc1F ZINC000295470314 409498555 /nfs/dbraw/zinc/49/85/55/409498555.db2.gz CWZPBPNKVCGSJR-UHFFFAOYSA-N -1 1 301.289 1.897 20 0 DDADMM CO[C@@H](C)c1nc(=N[C@@H](CCO)C2CCOCC2)s[n-]1 ZINC000337858641 409516269 /nfs/dbraw/zinc/51/62/69/409516269.db2.gz IAVHSVXGAPFQRD-ONGXEEELSA-N -1 1 301.412 1.257 20 0 DDADMM C[C@H]1CCC[C@H](C)C1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337897355 409550215 /nfs/dbraw/zinc/55/02/15/409550215.db2.gz FEYJFNRWILYQMG-QWRGUYRKSA-N -1 1 305.378 1.458 20 0 DDADMM C[C@@H](CCS(C)(=O)=O)NC(=O)c1c(F)ccc([O-])c1F ZINC000348849438 409551100 /nfs/dbraw/zinc/55/11/00/409551100.db2.gz QNHWFECJSHJSRI-ZETCQYMHSA-N -1 1 307.318 1.223 20 0 DDADMM COCCCOC1CN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000348855431 409554367 /nfs/dbraw/zinc/55/43/67/409554367.db2.gz YKGYIGIDKCCEEE-UHFFFAOYSA-N -1 1 301.289 1.548 20 0 DDADMM C[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)c1ccncc1F ZINC000337980853 409623660 /nfs/dbraw/zinc/62/36/60/409623660.db2.gz NKNKOZSQQPYZKR-VIFPVBQESA-N -1 1 312.304 1.948 20 0 DDADMM CC[C@@H]1CN(CCN=c2[n-]c([C@@H](C)OC)ns2)CCO1 ZINC000337940177 409585230 /nfs/dbraw/zinc/58/52/30/409585230.db2.gz ACWFRBVYNMCQLB-GHMZBOCLSA-N -1 1 300.428 1.190 20 0 DDADMM Cc1ccc(CN(C)C(=O)CNC(=O)c2ncccc2[O-])cc1 ZINC000337945180 409589973 /nfs/dbraw/zinc/58/99/73/409589973.db2.gz WBQAIGNLIAHFER-UHFFFAOYSA-N -1 1 313.357 1.484 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccccc1Cl ZINC000337949428 409592824 /nfs/dbraw/zinc/59/28/24/409592824.db2.gz AYFMKTBVNVIZLG-UHFFFAOYSA-N -1 1 305.721 1.809 20 0 DDADMM C[C@H]1CC[C@H](CC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000331622703 409703839 /nfs/dbraw/zinc/70/38/39/409703839.db2.gz FQFRMYNNNDSWFS-QWRGUYRKSA-N -1 1 309.439 1.426 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)OC(C)(C)C)C1 ZINC000297001102 409752012 /nfs/dbraw/zinc/75/20/12/409752012.db2.gz RQPFXQJXOJPLOS-UHFFFAOYSA-N -1 1 321.377 1.915 20 0 DDADMM COC(=O)c1ccc(CNC(=O)c2cncc([O-])c2)cc1OC ZINC000338150667 409753357 /nfs/dbraw/zinc/75/33/57/409753357.db2.gz NQQLPMSWUGCPGN-UHFFFAOYSA-N -1 1 316.313 1.512 20 0 DDADMM Cc1ccc(Br)cc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357052179 409821177 /nfs/dbraw/zinc/82/11/77/409821177.db2.gz JKROKHXJNRDMQZ-UHFFFAOYSA-N -1 1 322.166 1.690 20 0 DDADMM CCO[C@@H]1COCC[C@@H]1NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000354353231 409790021 /nfs/dbraw/zinc/79/00/21/409790021.db2.gz LDZXCAPKOVEIOD-CMPLNLGQSA-N -1 1 311.407 1.063 20 0 DDADMM COCc1n[n-]c(=NCC(C)(C)N2C[C@@H](C)O[C@@H](C)C2)s1 ZINC000357047625 409816217 /nfs/dbraw/zinc/81/62/17/409816217.db2.gz FYYFJVXEYRAWIE-PHIMTYICSA-N -1 1 314.455 1.406 20 0 DDADMM CCn1cc(NS(=O)(=O)c2cc(OC)ccc2[O-])ccc1=O ZINC000342775557 409897841 /nfs/dbraw/zinc/89/78/41/409897841.db2.gz GUWFDIFMTDQWDQ-UHFFFAOYSA-N -1 1 324.358 1.383 20 0 DDADMM NC(=O)C[C@H](N=c1nc(C(F)(F)F)[n-]s1)C1CCOCC1 ZINC000342782111 409901896 /nfs/dbraw/zinc/90/18/96/409901896.db2.gz PCOQHINWTMPKPK-ZETCQYMHSA-N -1 1 324.328 1.061 20 0 DDADMM O=C(Cc1ccc(F)cc1F)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297816859 410011018 /nfs/dbraw/zinc/01/10/18/410011018.db2.gz ULQBKUKTBFDQQX-UHFFFAOYSA-N -1 1 321.287 1.156 20 0 DDADMM CCC(C)(C)c1ccc(C(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000297930055 410051541 /nfs/dbraw/zinc/05/15/41/410051541.db2.gz YLDMFDFYDOFPCG-UHFFFAOYSA-N -1 1 301.350 1.844 20 0 DDADMM O=S(=O)([N-]c1cnoc1-c1ccccc1)N1CCOCC1 ZINC000329476965 410317566 /nfs/dbraw/zinc/31/75/66/410317566.db2.gz ODPWENGEGMSFCC-UHFFFAOYSA-N -1 1 309.347 1.331 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cc(NC(C)=O)ccc1F ZINC000351990577 410393966 /nfs/dbraw/zinc/39/39/66/410393966.db2.gz JPNJUBYQVFQLIG-UHFFFAOYSA-N -1 1 318.326 1.089 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@H]3CC34CCCC4)CC2)s[n-]1 ZINC000329813096 410452372 /nfs/dbraw/zinc/45/23/72/410452372.db2.gz CQKIPGFKHBPVAZ-SNVBAGLBSA-N -1 1 307.423 1.180 20 0 DDADMM CC(=O)[C@@H]1C[C@H](CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)C1(C)C ZINC000358408606 410464285 /nfs/dbraw/zinc/46/42/85/410464285.db2.gz HUYUDMRBCSBQOY-JLLWLGSASA-N -1 1 307.398 1.403 20 0 DDADMM CSC[C@@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000347344542 410485165 /nfs/dbraw/zinc/48/51/65/410485165.db2.gz PFZIYBVRIYKJDZ-LLVKDONJSA-N -1 1 323.418 1.119 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CC[C@@H]2CCC[C@@H]2C1 ZINC000343494778 410491755 /nfs/dbraw/zinc/49/17/55/410491755.db2.gz NHMUJDVBVZPWRE-YNEHKIRRSA-N -1 1 317.389 1.602 20 0 DDADMM Cn1[n-]c(CN2CCc3c(Cl)cc(Cl)cc3C2)nc1=O ZINC000347471046 410569055 /nfs/dbraw/zinc/56/90/55/410569055.db2.gz WTXILNZTAYGXBP-UHFFFAOYSA-N -1 1 313.188 1.974 20 0 DDADMM COC(=O)c1[n-]c(=NCc2cnn3ccccc23)sc1C ZINC000343617240 410573668 /nfs/dbraw/zinc/57/36/68/410573668.db2.gz VKPXLUXKYRXMCE-UHFFFAOYSA-N -1 1 302.359 1.920 20 0 DDADMM CC(C)C1CCC(CN2Cc3n[nH]c(=O)n3C[C@@H]2C(=O)[O-])CC1 ZINC000333566729 410583498 /nfs/dbraw/zinc/58/34/98/410583498.db2.gz LVLZLYBEJOYRQB-WXRRBKDZSA-N -1 1 322.409 1.715 20 0 DDADMM CC1(C)CCC(C[N@H+]2CC3N=NC(=O)N3C[C@@H]2C(=O)[O-])CC1 ZINC000333582689 410585185 /nfs/dbraw/zinc/58/51/85/410585185.db2.gz ODCCFPRIFIPDIC-LLVKDONJSA-N -1 1 308.382 1.469 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H]1COCCN1C(=O)c1cncc([O-])c1 ZINC000339936529 410561759 /nfs/dbraw/zinc/56/17/59/410561759.db2.gz DMDVWEQSTZKTEP-ZDUSSCGKSA-N -1 1 302.334 1.341 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2cncc(Cl)c2)n1 ZINC000330449560 410698881 /nfs/dbraw/zinc/69/88/81/410698881.db2.gz HWJLHQCXDDRHQE-ZCFIWIBFSA-N -1 1 302.743 1.466 20 0 DDADMM Cc1nc(-c2ccc(N3CC[C@@H](CO)C3)nc2)[n-]c(=O)c1C ZINC000301384068 410711163 /nfs/dbraw/zinc/71/11/63/410711163.db2.gz BLRATESFYHZDSE-GFCCVEGCSA-N -1 1 300.362 1.680 20 0 DDADMM C[C@@]1(CO)CCC[C@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000330482278 410719100 /nfs/dbraw/zinc/71/91/00/410719100.db2.gz TZZUMBFGRRVMGK-MFKMUULPSA-N -1 1 323.336 1.933 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3cncc(C)c3)no2)o1 ZINC000355827015 410688163 /nfs/dbraw/zinc/68/81/63/410688163.db2.gz VKXUSXVMJASIFS-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM Cc1ccc(S(=O)(=O)N[C@@H]2CCC[N@H+](C)[C@H]2C)c(F)c1 ZINC000343973614 410859633 /nfs/dbraw/zinc/85/96/33/410859633.db2.gz PLNZFYPBJSTSFY-WCQYABFASA-N -1 1 300.399 1.895 20 0 DDADMM CCN1CCN(C(=O)[C@@H](C)Sc2nc(C)c(C)c(=O)[n-]2)CC1 ZINC000330667275 410863595 /nfs/dbraw/zinc/86/35/95/410863595.db2.gz BIGNIGXJQVWPDE-GFCCVEGCSA-N -1 1 324.450 1.444 20 0 DDADMM Cc1nc(SCCCN2C(=O)NC(C)(C)C2=O)[n-]c(=O)c1C ZINC000330683656 410876090 /nfs/dbraw/zinc/87/60/90/410876090.db2.gz ROZCETGXXKIANU-UHFFFAOYSA-N -1 1 324.406 1.816 20 0 DDADMM O=C(CCSc1ccccc1F)NC1(c2nn[n-]n2)CC1 ZINC000348273437 410891079 /nfs/dbraw/zinc/89/10/79/410891079.db2.gz FAVDPTIEPYZEIB-UHFFFAOYSA-N -1 1 307.354 1.627 20 0 DDADMM CCOc1ccc(C(=O)CCC(=O)Nc2nnn[n-]2)cc1F ZINC000353416914 410972933 /nfs/dbraw/zinc/97/29/33/410972933.db2.gz HQEVWPFFWDOHCA-UHFFFAOYSA-N -1 1 307.285 1.339 20 0 DDADMM CCOc1ccc(C(=O)CCC(=O)Nc2nn[n-]n2)cc1F ZINC000353416914 410972939 /nfs/dbraw/zinc/97/29/39/410972939.db2.gz HQEVWPFFWDOHCA-UHFFFAOYSA-N -1 1 307.285 1.339 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CC2CCC1CC2 ZINC000344127011 410973914 /nfs/dbraw/zinc/97/39/14/410973914.db2.gz MTLBXHGAERRBJP-MCIGGMRASA-N -1 1 303.362 1.212 20 0 DDADMM COc1cc2c(cc1F)CC[C@H]2Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000337811345 411013150 /nfs/dbraw/zinc/01/31/50/411013150.db2.gz MNPYIFJICVGLRR-LLVKDONJSA-N -1 1 315.308 1.679 20 0 DDADMM CCCN(Cc1nc(=O)n(C)[n-]1)[C@@H](C)C(=O)Nc1ccccc1 ZINC000353478926 411015093 /nfs/dbraw/zinc/01/50/93/411015093.db2.gz MOQIOXAOMYZWAZ-LBPRGKRZSA-N -1 1 317.393 1.348 20 0 DDADMM CC(C)C1CCC(NC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000344220121 411035503 /nfs/dbraw/zinc/03/55/03/411035503.db2.gz ZSDIZTDQCHEMBX-UHFFFAOYSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(C(=O)N1CCC[C@](O)(C(F)(F)F)C1)c1ccc([O-])cc1 ZINC000331245696 411037564 /nfs/dbraw/zinc/03/75/64/411037564.db2.gz RRYLBEIJYUTONI-CYBMUJFWSA-N -1 1 317.263 1.491 20 0 DDADMM CCC[C@](C)(O)CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000353797000 411131304 /nfs/dbraw/zinc/13/13/04/411131304.db2.gz WBXLHBHAAVQJLE-INIZCTEOSA-N -1 1 303.362 1.925 20 0 DDADMM CCC[C@](C)(O)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000353797000 411131305 /nfs/dbraw/zinc/13/13/05/411131305.db2.gz WBXLHBHAAVQJLE-INIZCTEOSA-N -1 1 303.362 1.925 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC000631691999 422883175 /nfs/dbraw/zinc/88/31/75/422883175.db2.gz POZUQWIDOMFQEO-BDAKNGLRSA-N -1 1 305.304 1.617 20 0 DDADMM CC(C)c1nc(CNC(=O)N2CC[C@H](C)[C@H](C(=O)[O-])C2)n[nH]1 ZINC000580070454 422884441 /nfs/dbraw/zinc/88/44/41/422884441.db2.gz JFPZVCCGJLHPOF-VHSXEESVSA-N -1 1 309.370 1.180 20 0 DDADMM CCC[C@H](OCC)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000629951963 422891210 /nfs/dbraw/zinc/89/12/10/422891210.db2.gz OOUQAUDYOXIDSY-STQMWFEESA-N -1 1 303.366 1.611 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@@H](C)SC)c(=O)[n-]1 ZINC000129748099 196072567 /nfs/dbraw/zinc/07/25/67/196072567.db2.gz ASWJWGBIDAWJQE-MRVPVSSYSA-N -1 1 315.464 1.601 20 0 DDADMM CCc1ccc(CN2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)nc1 ZINC000580440301 422932986 /nfs/dbraw/zinc/93/29/86/422932986.db2.gz AUCHJCVWDAQCBG-CQSZACIVSA-N -1 1 315.377 1.771 20 0 DDADMM C[C@H]1CC(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C[C@H](C)C1 ZINC000356775194 298871757 /nfs/dbraw/zinc/87/17/57/298871757.db2.gz ONMUPGZOYIAPTF-GHMZBOCLSA-N -1 1 323.466 1.672 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC[C@H]1C[C@H](O)c1ccco1 ZINC000132165388 196231874 /nfs/dbraw/zinc/23/18/74/196231874.db2.gz QFSKHVYPPFLJFF-YPMHNXCESA-N -1 1 318.329 1.345 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(Cc2noc3c2CCCC3)C1 ZINC000652504960 423048148 /nfs/dbraw/zinc/04/81/48/423048148.db2.gz WCNLVZGVLIETLQ-INIZCTEOSA-N -1 1 308.378 1.867 20 0 DDADMM CC[C@H](C)[C@H](O)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000647898926 423105705 /nfs/dbraw/zinc/10/57/05/423105705.db2.gz SOROKTYLWCPJJJ-NKWVEPMBSA-N -1 1 315.317 1.114 20 0 DDADMM O=c1nc([C@@H]2CCCCN2Cc2nnc3n2CCCCC3)[nH][n-]1 ZINC000295349380 224747834 /nfs/dbraw/zinc/74/78/34/224747834.db2.gz QPRSDOYMDUKUSK-NSHDSACASA-N -1 1 317.397 1.143 20 0 DDADMM CCc1c(C(=O)NCc2cc(=O)[n-]c(SC)n2)ccn1C ZINC000640654856 423115355 /nfs/dbraw/zinc/11/53/55/423115355.db2.gz BURXSYPYBNXZKJ-UHFFFAOYSA-N -1 1 306.391 1.735 20 0 DDADMM CC(C)(C)CNC(=O)C(C)(C)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000640704506 423136570 /nfs/dbraw/zinc/13/65/70/423136570.db2.gz BEPXQQXXTYDGDC-UHFFFAOYSA-N -1 1 320.389 1.632 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@](O)(C4CC4)C3)cnc2n1 ZINC000366004178 418415094 /nfs/dbraw/zinc/41/50/94/418415094.db2.gz NLOPXABTXRFJGO-KRWDZBQOSA-N -1 1 313.357 1.631 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@](O)(C4CC4)C3)c[n-]c2n1 ZINC000366004178 418415096 /nfs/dbraw/zinc/41/50/96/418415096.db2.gz NLOPXABTXRFJGO-KRWDZBQOSA-N -1 1 313.357 1.631 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCOCC1)c1cccc(F)c1F ZINC000360615624 418478287 /nfs/dbraw/zinc/47/82/87/418478287.db2.gz ZNSCLUXSWNJUQU-LLVKDONJSA-N -1 1 321.345 1.031 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1n[nH]c2c1CCCC2 ZINC000127089687 418509486 /nfs/dbraw/zinc/50/94/86/418509486.db2.gz UMAYDMNCPVYTRX-UHFFFAOYSA-N -1 1 300.244 1.678 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H]2CCN(c3ccn(C)n3)C2)sc1C ZINC000366830276 418523729 /nfs/dbraw/zinc/52/37/29/418523729.db2.gz RRIJHNWDWPMDPW-JTQLQIEISA-N -1 1 321.406 1.084 20 0 DDADMM CO[C@H](C)c1nc(=NC[C@@H]2COC3(CCOCC3)O2)s[n-]1 ZINC000367173039 418567793 /nfs/dbraw/zinc/56/77/93/418567793.db2.gz OTTKGOHHCJFXOS-NXEZZACHSA-N -1 1 315.395 1.001 20 0 DDADMM CNC(=O)Cn1cc(NC(=O)c2cc3ccccc3cc2[O-])cn1 ZINC000194348290 222174778 /nfs/dbraw/zinc/17/47/78/222174778.db2.gz IMXGHAHSXHLRFB-UHFFFAOYSA-N -1 1 324.340 1.740 20 0 DDADMM Cc1noc2ncnc(N3CCC[C@@H](c4n[n-]c(=O)o4)C3)c12 ZINC000367363724 418591010 /nfs/dbraw/zinc/59/10/10/418591010.db2.gz SGELKTDORCSWJJ-MRVPVSSYSA-N -1 1 302.294 1.399 20 0 DDADMM COc1cccc(Cl)c1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000361188416 418602180 /nfs/dbraw/zinc/60/21/80/418602180.db2.gz DCOFSZZVHVSBIZ-SECBINFHSA-N -1 1 321.768 1.882 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Cl)cc2[O-])C[C@H](C)S1(=O)=O ZINC000291276779 222278625 /nfs/dbraw/zinc/27/86/25/222278625.db2.gz OHJVQGHEUSTFRD-DTORHVGOSA-N -1 1 317.794 1.693 20 0 DDADMM CC1(C(=O)[O-])CCN(CC(=O)N2CCc3ccccc32)CC1 ZINC000316406737 418600675 /nfs/dbraw/zinc/60/06/75/418600675.db2.gz NDCOFFCMUDCYFP-UHFFFAOYSA-N -1 1 302.374 1.762 20 0 DDADMM CN(CCCCC(=O)[O-])[C@H]1CC(=O)N(Cc2ccccc2)C1=O ZINC000367830690 418641537 /nfs/dbraw/zinc/64/15/37/418641537.db2.gz IHECKCUJHFJJQE-AWEZNQCLSA-N -1 1 318.373 1.501 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@@H](N2CCOCC2)C1 ZINC000382522678 418731716 /nfs/dbraw/zinc/73/17/16/418731716.db2.gz QZOSCPXLCFQEEB-CYBMUJFWSA-N -1 1 324.808 1.982 20 0 DDADMM Cc1ccccc1[C@@H]1C[C@H]1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000365447265 418861868 /nfs/dbraw/zinc/86/18/68/418861868.db2.gz KBNIVVWPNHDLNB-BFHYXJOUSA-N -1 1 313.361 1.212 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1CCCN1c1ccccc1)c1nn[n-]n1 ZINC000365942178 418924790 /nfs/dbraw/zinc/92/47/90/418924790.db2.gz SODPBDXFLANZJL-OCCSQVGLSA-N -1 1 314.393 1.431 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)c2nncn2C)c(F)c1 ZINC000425140594 228372224 /nfs/dbraw/zinc/37/22/24/228372224.db2.gz GBDKZBSDYFZBGZ-MRVPVSSYSA-N -1 1 316.333 1.441 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCC[C@H](C)CO)c(F)c1 ZINC000425173534 228380745 /nfs/dbraw/zinc/38/07/45/228380745.db2.gz WGIQOEUHSVZOMN-VIFPVBQESA-N -1 1 307.362 1.960 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCCO2)c(F)c1 ZINC000425956674 419373829 /nfs/dbraw/zinc/37/38/29/419373829.db2.gz FLEYNOFRSITCGA-JTQLQIEISA-N -1 1 316.354 1.235 20 0 DDADMM Cc1nc2ccc([N-]S(=O)(=O)c3cnn(C)c3C)cc2[nH]1 ZINC000427747067 419723543 /nfs/dbraw/zinc/72/35/43/419723543.db2.gz KIEAFFGBWINZDW-UHFFFAOYSA-N -1 1 305.363 1.714 20 0 DDADMM CC[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C[C@@H](CC)O1 ZINC000421993433 419798940 /nfs/dbraw/zinc/79/89/40/419798940.db2.gz ZWOOLLSMTOUVQO-GHMZBOCLSA-N -1 1 318.377 1.704 20 0 DDADMM NC(=O)CC[N-]S(=O)(=O)c1c(Cl)cc(F)cc1Cl ZINC000435434750 229385342 /nfs/dbraw/zinc/38/53/42/229385342.db2.gz UJLFCYJRGWUKEI-UHFFFAOYSA-N -1 1 315.153 1.286 20 0 DDADMM O=C(C(=O)N1CC[C@@H](C2CCOCC2)C1)c1ccc([O-])cc1 ZINC000436986070 229550544 /nfs/dbraw/zinc/55/05/44/229550544.db2.gz FFEXKKYQVRTJDK-CQSZACIVSA-N -1 1 303.358 1.850 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2Cc3cccc(C)c3O2)n1 ZINC000415635500 420130574 /nfs/dbraw/zinc/13/05/74/420130574.db2.gz ZXWRBIWAWKZUIA-LBPRGKRZSA-N -1 1 315.329 1.837 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc3c(c2)CCO3)n1 ZINC000415644406 420134796 /nfs/dbraw/zinc/13/47/96/420134796.db2.gz ZGEZDKOERHOMFZ-UHFFFAOYSA-N -1 1 315.329 1.703 20 0 DDADMM Cc1ccc([C@H](NC(=O)CN(C)CCC(=O)[O-])C2CC2)cc1 ZINC000430666687 420174695 /nfs/dbraw/zinc/17/46/95/420174695.db2.gz ZESOWKVKNZUSBK-KRWDZBQOSA-N -1 1 304.390 1.969 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@]2(CC[C@H](C)C2)C1 ZINC000416197716 420277233 /nfs/dbraw/zinc/27/72/33/420277233.db2.gz ISMXSUWVLUBEHO-VFZGTOFNSA-N -1 1 307.350 1.549 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C)[C@@H]2CCCC[C@H]21 ZINC000416206191 420279299 /nfs/dbraw/zinc/27/92/99/420279299.db2.gz TXXDEVLBNJMEKL-LPEHRKFASA-N -1 1 307.350 1.547 20 0 DDADMM Cn1cc(C(=O)NC(C)(C)c2nn[n-]n2)c2cc(F)ccc21 ZINC000435754334 420283525 /nfs/dbraw/zinc/28/35/25/420283525.db2.gz WFKAPDAWFDQLRB-UHFFFAOYSA-N -1 1 302.313 1.496 20 0 DDADMM COc1ccc(NC(=O)c2[nH]ccc2C)cc1[N-]S(C)(=O)=O ZINC000436085301 420307926 /nfs/dbraw/zinc/30/79/26/420307926.db2.gz DWKMUFCOOFOFIM-UHFFFAOYSA-N -1 1 323.374 1.956 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccnc(N(C)C)c2)c1 ZINC000436507495 420334941 /nfs/dbraw/zinc/33/49/41/420334941.db2.gz WJXIECCJZBYABT-UHFFFAOYSA-N -1 1 315.329 1.892 20 0 DDADMM CC[C@H](NC(=O)c1cc(Cl)c([O-])c(OC)c1)C(=O)OC ZINC000436534271 420337465 /nfs/dbraw/zinc/33/74/65/420337465.db2.gz OLJYDVWGRCIZOZ-VIFPVBQESA-N -1 1 301.726 1.736 20 0 DDADMM O=C(N[C@H]1CCN(CC(F)F)C1)c1c(F)ccc([O-])c1F ZINC000416346331 420337947 /nfs/dbraw/zinc/33/79/47/420337947.db2.gz AQDCZCQVDSVDDY-ZETCQYMHSA-N -1 1 306.259 1.740 20 0 DDADMM CCO[C@H](CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)C(C)C ZINC000425293869 420341397 /nfs/dbraw/zinc/34/13/97/420341397.db2.gz CIZJMPDHXMJUCV-NWDGAFQWSA-N -1 1 311.382 1.932 20 0 DDADMM O=C(C(=O)N(CCCO)Cc1ccccn1)c1ccc([O-])cc1 ZINC000436638206 420348446 /nfs/dbraw/zinc/34/84/46/420348446.db2.gz CFLOKVIUNOOCHI-UHFFFAOYSA-N -1 1 314.341 1.381 20 0 DDADMM O=C(COCC1CCCC1)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000425325786 420350323 /nfs/dbraw/zinc/35/03/23/420350323.db2.gz TUQKGLYZFSYKLD-UHFFFAOYSA-N -1 1 309.366 1.688 20 0 DDADMM O=C(COCC1CCCC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425325786 420350329 /nfs/dbraw/zinc/35/03/29/420350329.db2.gz TUQKGLYZFSYKLD-UHFFFAOYSA-N -1 1 309.366 1.688 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@@H](CO)c1c(F)cccc1F)OC ZINC000420678933 420353612 /nfs/dbraw/zinc/35/36/12/420353612.db2.gz UFLHNDLAQPMLCX-SKDRFNHKSA-N -1 1 323.361 1.343 20 0 DDADMM O=C(C(=O)N1CCNC(=O)[C@@H]1c1ccccc1)c1ccc([O-])cc1 ZINC000436686338 420354403 /nfs/dbraw/zinc/35/44/03/420354403.db2.gz DXGOZJIWSGLDKX-HNNXBMFYSA-N -1 1 324.336 1.275 20 0 DDADMM C[C@@](NC(=O)C(=O)c1ccc([O-])cc1)(C(N)=O)c1ccccc1 ZINC000436702207 420359119 /nfs/dbraw/zinc/35/91/19/420359119.db2.gz HFVJBIQQGLJETE-KRWDZBQOSA-N -1 1 312.325 1.092 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](CC(C)(C)C)C1 ZINC000416246879 420294052 /nfs/dbraw/zinc/29/40/52/420294052.db2.gz NUEFXNFVNWMFGA-SECBINFHSA-N -1 1 309.366 1.795 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@]3(O)CCOC3)[nH][n-]2)c1 ZINC000416251437 420295827 /nfs/dbraw/zinc/29/58/27/420295827.db2.gz JOELDPDQRVATDZ-HNNXBMFYSA-N -1 1 305.309 1.036 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@@H]1CCC(F)(F)C1)c2=O ZINC000435962711 420300417 /nfs/dbraw/zinc/30/04/17/420300417.db2.gz XSSDNOZQKMAXRV-MRVPVSSYSA-N -1 1 310.304 1.839 20 0 DDADMM COc1ccc(C=CC(=O)Nc2ccncc2[O-])cc1OC ZINC000492309899 420518243 /nfs/dbraw/zinc/51/82/43/420518243.db2.gz DGPYGMVHQSMLAI-XQRVVYSFSA-N -1 1 300.314 1.878 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/c2ccsc2)c1 ZINC000492363752 420535501 /nfs/dbraw/zinc/53/55/01/420535501.db2.gz KWLKOFVIJRNVEI-DAFODLJHSA-N -1 1 324.383 1.753 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)c2c(C)[n-]c(=O)nc2SC)C1(C)C ZINC000440016479 420549198 /nfs/dbraw/zinc/54/91/98/420549198.db2.gz UOODMWNYMLFOOF-DTWKUNHWSA-N -1 1 311.407 1.756 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccc(S(=O)(=O)NCC)c(F)c1 ZINC000450966511 420572347 /nfs/dbraw/zinc/57/23/47/420572347.db2.gz JSRKAVKUAKDZNY-UHFFFAOYSA-N -1 1 324.399 1.276 20 0 DDADMM CC(C)C(=O)NCC[N-]S(=O)(=O)c1sccc1Cl ZINC000450991890 420576418 /nfs/dbraw/zinc/57/64/18/420576418.db2.gz OFESGCCPGJZZFL-UHFFFAOYSA-N -1 1 310.828 1.452 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2ccc3[nH]ccc3c2)[n-]n1 ZINC000440548405 420586005 /nfs/dbraw/zinc/58/60/05/420586005.db2.gz LKHBEGRYQNGNNN-UHFFFAOYSA-N -1 1 309.373 1.784 20 0 DDADMM COc1cc(C(=O)Nc2cc(C(N)=O)n(C)c2)cc(Cl)c1[O-] ZINC000442671760 420698158 /nfs/dbraw/zinc/69/81/58/420698158.db2.gz MAGHNSVJBOWIPS-UHFFFAOYSA-N -1 1 323.736 1.744 20 0 DDADMM COc1ccc2occ(C(=O)N(C)C[C@H](C)c3nn[n-]n3)c2c1 ZINC000442894254 420725503 /nfs/dbraw/zinc/72/55/03/420725503.db2.gz VFYDBACIIZORTA-VIFPVBQESA-N -1 1 315.333 1.830 20 0 DDADMM COCC1(C)CN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000447880209 420809940 /nfs/dbraw/zinc/80/99/40/420809940.db2.gz DHTBFDLFUDQNMP-UHFFFAOYSA-N -1 1 318.377 1.089 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454310752 420834742 /nfs/dbraw/zinc/83/47/42/420834742.db2.gz CVNWTPIGWDPURJ-JTQLQIEISA-N -1 1 311.345 1.018 20 0 DDADMM CSCC[C@H](NC(=O)c1ncc(C)cc1[O-])C(=O)N(C)C ZINC000493946138 420971384 /nfs/dbraw/zinc/97/13/84/420971384.db2.gz XQFGOGMZKUCTSP-JTQLQIEISA-N -1 1 311.407 1.035 20 0 DDADMM C[C@@H]1CCC[C@@H](CCN=c2ccc(C(=O)NCCO)n[n-]2)C1 ZINC000488383012 421086432 /nfs/dbraw/zinc/08/64/32/421086432.db2.gz DFVMADUUHVUELD-OLZOCXBDSA-N -1 1 306.410 1.249 20 0 DDADMM Cc1cccc([C@@H](C)CN=c2ccc(C(=O)NCCO)n[n-]2)c1 ZINC000488371623 421087015 /nfs/dbraw/zinc/08/70/15/421087015.db2.gz YOVZYFVNEVZAJY-ZDUSSCGKSA-N -1 1 314.389 1.145 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(F)(F)F)[nH]1)c1nn[n-]n1 ZINC000450122549 421144852 /nfs/dbraw/zinc/14/48/52/421144852.db2.gz PPJQQIPPMVFGLG-LURJTMIESA-N -1 1 302.260 1.818 20 0 DDADMM CCn1ccnc1[C@@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000456370837 421153371 /nfs/dbraw/zinc/15/33/71/421153371.db2.gz YOGGUSLNNRHLIK-SECBINFHSA-N -1 1 314.349 1.381 20 0 DDADMM Cc1ccccc1[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000544741183 421228788 /nfs/dbraw/zinc/22/87/88/421228788.db2.gz WKRPOXPADMVZPF-GFCCVEGCSA-N -1 1 323.356 1.356 20 0 DDADMM CC(C)[C@@H](C(=O)Nc1nnn[n-]1)[C@@H](C)NC(=O)OC(C)(C)C ZINC000496762830 421312793 /nfs/dbraw/zinc/31/27/93/421312793.db2.gz GZWQMULJPRHNSJ-RKDXNWHRSA-N -1 1 312.374 1.324 20 0 DDADMM CC(C)[C@@H](C(=O)Nc1nn[n-]n1)[C@@H](C)NC(=O)OC(C)(C)C ZINC000496762830 421312794 /nfs/dbraw/zinc/31/27/94/421312794.db2.gz GZWQMULJPRHNSJ-RKDXNWHRSA-N -1 1 312.374 1.324 20 0 DDADMM C[C@@H]1C[C@@H](C)N1C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000526934194 421338587 /nfs/dbraw/zinc/33/85/87/421338587.db2.gz UAIVGBGHBHOJDM-NXEZZACHSA-N -1 1 314.407 1.979 20 0 DDADMM CCCCn1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)c(C)n1 ZINC000547776751 421366463 /nfs/dbraw/zinc/36/64/63/421366463.db2.gz XWUKSAAYJBVOFO-UHFFFAOYSA-N -1 1 317.397 1.704 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnc2ccsc2c1)c1nn[n-]n1 ZINC000547809842 421369528 /nfs/dbraw/zinc/36/95/28/421369528.db2.gz WWRPOQZHRABJTJ-MRVPVSSYSA-N -1 1 302.363 1.685 20 0 DDADMM CO[C@H](Cc1ccccc1)CS(=O)(=O)[N-]c1cnc(C)nc1 ZINC000562936729 421428685 /nfs/dbraw/zinc/42/86/85/421428685.db2.gz BFFDUFQTGOEWJQ-OAHLLOKOSA-N -1 1 321.402 1.784 20 0 DDADMM CNc1cc(Cl)ccc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000515497364 421506068 /nfs/dbraw/zinc/50/60/68/421506068.db2.gz QXLYTCDBJSMMLL-MRVPVSSYSA-N -1 1 308.773 1.771 20 0 DDADMM O=c1cc(/C=C/c2ccc(-n3cncn3)c(F)c2)nc2nc[n-]n21 ZINC000515589108 421508321 /nfs/dbraw/zinc/50/83/21/421508321.db2.gz BRLINEKERQMTAD-HNQUOIGGSA-N -1 1 323.291 1.308 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]CC(C)(F)F)c1 ZINC000549912320 421509743 /nfs/dbraw/zinc/50/97/43/421509743.db2.gz JVAGIHXAYYJZDU-UHFFFAOYSA-N -1 1 311.281 1.546 20 0 DDADMM O=C(c1csc(C2CC2)n1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000516498357 421548915 /nfs/dbraw/zinc/54/89/15/421548915.db2.gz QDIZSUOCBIHWTM-VIFPVBQESA-N -1 1 304.379 1.553 20 0 DDADMM C[C@@H](c1nc2ccccc2s1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC000564290261 421578094 /nfs/dbraw/zinc/57/80/94/421578094.db2.gz MDMIPJRTISXRTO-VIFPVBQESA-N -1 1 303.391 1.911 20 0 DDADMM CC(C)c1ncncc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000537122576 421727723 /nfs/dbraw/zinc/72/77/23/421727723.db2.gz DUOKUVFXFLAHQJ-SNVBAGLBSA-N -1 1 301.354 1.133 20 0 DDADMM C[C@@H]1C[C@H](c2ccc(F)cc2)CN1Cc1cn(CC(=O)[O-])nn1 ZINC000571053432 421684931 /nfs/dbraw/zinc/68/49/31/421684931.db2.gz KOHWDVMXXWMUSE-YPMHNXCESA-N -1 1 318.352 1.880 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2oc(C(C)C)nc2C)n1 ZINC000572648929 421808430 /nfs/dbraw/zinc/80/84/30/421808430.db2.gz VTWBSAFQMVSUDV-UHFFFAOYSA-N -1 1 310.335 1.997 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2nnc(C3CC3)[nH]2)sc1C ZINC000572214386 421769799 /nfs/dbraw/zinc/76/97/99/421769799.db2.gz NWXDWJSSPUTUOF-UHFFFAOYSA-N -1 1 313.408 1.234 20 0 DDADMM O=C(Cc1cnccc1Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000572422100 421786999 /nfs/dbraw/zinc/78/69/99/421786999.db2.gz SEOQTWGMDUTCKX-SECBINFHSA-N -1 1 306.757 1.197 20 0 DDADMM Cc1ncc2c(n1)CC[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C2 ZINC000558397295 421805132 /nfs/dbraw/zinc/80/51/32/421805132.db2.gz FKRHWVFZJLYWSC-ZDUSSCGKSA-N -1 1 311.341 1.347 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@@H]1C[C@H]2CCCCN2C1=O ZINC000633382533 421875488 /nfs/dbraw/zinc/87/54/88/421875488.db2.gz QLZSLSKJXDFZEU-DGCLKSJQSA-N -1 1 322.792 1.858 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000635305888 421895210 /nfs/dbraw/zinc/89/52/10/421895210.db2.gz LEILURKTBNABPO-LLVKDONJSA-N -1 1 314.345 1.013 20 0 DDADMM CC[C@@H]1C[C@@H](CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCO1 ZINC000543816749 421842027 /nfs/dbraw/zinc/84/20/27/421842027.db2.gz ZFPIQPDTVFOYJM-RWMBFGLXSA-N -1 1 307.398 1.501 20 0 DDADMM O=C([O-])COCCNCc1cc(Br)ccc1F ZINC000635316997 421904883 /nfs/dbraw/zinc/90/48/83/421904883.db2.gz QYUIMMTUNLTZHD-UHFFFAOYSA-N -1 1 306.131 1.779 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCc2cnn(C)c2)sn1 ZINC000631884312 421911889 /nfs/dbraw/zinc/91/18/89/421911889.db2.gz QVUQVLORSYYVFH-UHFFFAOYSA-N -1 1 300.409 1.096 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@@H]1CCCS1(=O)=O ZINC000631886824 421912012 /nfs/dbraw/zinc/91/20/12/421912012.db2.gz JZBNBSGLPANYPC-JTQLQIEISA-N -1 1 317.794 1.282 20 0 DDADMM Cc1ccc(C)c(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC000630223534 421973076 /nfs/dbraw/zinc/97/30/76/421973076.db2.gz LBZSZNPUGAGCPM-HNNXBMFYSA-N -1 1 318.417 1.853 20 0 DDADMM CC(C)(NC(=O)c1ccc2c(c1)CCCCC2=O)c1nn[n-]n1 ZINC000573103306 421919519 /nfs/dbraw/zinc/91/95/19/421919519.db2.gz IJXMVGXKTJBVKP-UHFFFAOYSA-N -1 1 313.361 1.774 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1cc(F)cc2nc[nH]c21)CC1CC1 ZINC000573125471 421926829 /nfs/dbraw/zinc/92/68/29/421926829.db2.gz IRQCAHQWUWGQNT-VIFPVBQESA-N -1 1 305.309 1.933 20 0 DDADMM C[C@H](Cn1cncn1)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631904477 421928643 /nfs/dbraw/zinc/92/86/43/421928643.db2.gz OZEBODXLRWDBJW-SSDOTTSWSA-N -1 1 306.294 1.176 20 0 DDADMM CN1CC[C@H](CNC(=O)Cc2ccc([O-])c(Cl)c2)CC1=O ZINC000633486764 421930381 /nfs/dbraw/zinc/93/03/81/421930381.db2.gz WSYHIXFIQXFXLS-NSHDSACASA-N -1 1 310.781 1.573 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3CCCN(C)C3=O)[nH][n-]2)s1 ZINC000581291086 421940058 /nfs/dbraw/zinc/94/00/58/421940058.db2.gz AQPGKYWIGJTROX-JTQLQIEISA-N -1 1 318.402 1.675 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2[C@@H](C)O[C@@H](C)[C@@H]2C)CC1 ZINC000630221400 421971057 /nfs/dbraw/zinc/97/10/57/421971057.db2.gz ZPWGPSFARYGXRX-NLRWUALESA-N -1 1 312.410 1.053 20 0 DDADMM COC1(CS(=O)(=O)[N-][C@@H](C)c2nc(C)no2)CCCC1 ZINC000631868319 421903763 /nfs/dbraw/zinc/90/37/63/421903763.db2.gz DFWVCROXNWSWGJ-VIFPVBQESA-N -1 1 303.384 1.318 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](C)S[C@@H](C)C2)c1 ZINC000632039612 422042278 /nfs/dbraw/zinc/04/22/78/422042278.db2.gz JZERRSNSTJWURN-UWVGGRQHSA-N -1 1 317.432 1.915 20 0 DDADMM CC1(C)C[C@H](NC(=O)CCc2nn[n-]n2)c2cc(F)ccc2O1 ZINC000633569988 421975705 /nfs/dbraw/zinc/97/57/05/421975705.db2.gz FLELYSZKCSWMQE-NSHDSACASA-N -1 1 319.340 1.690 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC000573688444 422001136 /nfs/dbraw/zinc/00/11/36/422001136.db2.gz RZAQNTNBLGWSEB-UONOGXRCSA-N -1 1 310.369 1.901 20 0 DDADMM CCCCN(CCO)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632000008 422011068 /nfs/dbraw/zinc/01/10/68/422011068.db2.gz ZUDZJPVYALULMC-UHFFFAOYSA-N -1 1 303.380 1.184 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000632082492 422075284 /nfs/dbraw/zinc/07/52/84/422075284.db2.gz ANQSQXIHTBUDCY-GXTWGEPZSA-N -1 1 315.377 1.416 20 0 DDADMM O=C(CCc1nn[n-]n1)N(CCCO)Cc1cccc(Cl)c1 ZINC000632128649 422106256 /nfs/dbraw/zinc/10/62/56/422106256.db2.gz HQLSRBNQEWBXJS-UHFFFAOYSA-N -1 1 323.784 1.197 20 0 DDADMM C[C@@H]1CCc2onc(C(=O)NC3(c4nn[n-]n4)CCCC3)c2C1 ZINC000582100052 422108118 /nfs/dbraw/zinc/10/81/18/422108118.db2.gz PRIWKWWRIDSITC-SECBINFHSA-N -1 1 316.365 1.512 20 0 DDADMM O=C(N[C@H]1COC[C@H]1O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000633856804 422115579 /nfs/dbraw/zinc/11/55/79/422115579.db2.gz JOJXHLCTEJHSGH-CMPLNLGQSA-N -1 1 308.721 1.083 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1CCCC[C@@H]1C1CC1 ZINC000633691094 422053691 /nfs/dbraw/zinc/05/36/91/422053691.db2.gz LBTJARJOZADNSO-NEPJUHHUSA-N -1 1 304.394 1.655 20 0 DDADMM Cc1nocc1S(=O)(=O)N[C@H](C)C[N@@H+](C)Cc1ccccc1 ZINC000632184477 422145785 /nfs/dbraw/zinc/14/57/85/422145785.db2.gz SNHKEFNHMTUYGW-GFCCVEGCSA-N -1 1 323.418 1.782 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](C)c2nccs2)sn1 ZINC000632207548 422164134 /nfs/dbraw/zinc/16/41/34/422164134.db2.gz KAFGTHQKDTXXSK-SSDOTTSWSA-N -1 1 303.434 1.990 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCO[C@H](C3CC3)C2)sn1 ZINC000632216518 422171929 /nfs/dbraw/zinc/17/19/29/422171929.db2.gz ITHSVSKLEVRFND-QWRGUYRKSA-N -1 1 302.421 1.687 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCSC2)c1 ZINC000632289338 422224491 /nfs/dbraw/zinc/22/44/91/422224491.db2.gz SQDFXBYISJUNHB-VIFPVBQESA-N -1 1 303.405 1.432 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC(OC(F)F)C2)c1 ZINC000632256861 422196941 /nfs/dbraw/zinc/19/69/41/422196941.db2.gz PFCYMJGTKOUUJP-UHFFFAOYSA-N -1 1 309.290 1.013 20 0 DDADMM C[C@@H]1CN(CC[N-]S(=O)(=O)c2ccc(C(F)F)o2)CCO1 ZINC000632255103 422197609 /nfs/dbraw/zinc/19/76/09/422197609.db2.gz JVAWXVPQSXFIKR-SECBINFHSA-N -1 1 324.349 1.216 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C(C)C)C2(CO)CCC2)sn1 ZINC000632357088 422271133 /nfs/dbraw/zinc/27/11/33/422271133.db2.gz JCJBGEKXBPCVCU-LBPRGKRZSA-N -1 1 318.464 1.917 20 0 DDADMM C[C@H]([C@@H]1Cc2ccccc2O1)N(C)C(=O)CCCc1nn[n-]n1 ZINC000635716460 422275074 /nfs/dbraw/zinc/27/50/74/422275074.db2.gz RWYIIOUQUIZUHZ-RISCZKNCSA-N -1 1 315.377 1.373 20 0 DDADMM CC[C@H](C)N(CCO)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632423986 422332679 /nfs/dbraw/zinc/33/26/79/422332679.db2.gz ZXPVAUGFTUMGCL-JTQLQIEISA-N -1 1 303.380 1.182 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN2CCOC[C@H]2C1 ZINC000632438033 422345145 /nfs/dbraw/zinc/34/51/45/422345145.db2.gz OIBVFBCONSISBY-GFCCVEGCSA-N -1 1 310.781 1.131 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@H](CO)[C@@H]2C)c1 ZINC000632461230 422363624 /nfs/dbraw/zinc/36/36/24/422363624.db2.gz OAWIYVKGQVTJCL-QWRGUYRKSA-N -1 1 315.391 1.182 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2C[C@H]2c2ccco2)c1 ZINC000632461487 422363771 /nfs/dbraw/zinc/36/37/71/422363771.db2.gz UKQFQNBQZAZZDR-GHMZBOCLSA-N -1 1 309.343 1.828 20 0 DDADMM C[C@H](Cc1nc2ccccc2s1)NC(=O)CCc1nn[n-]n1 ZINC000630848912 422373959 /nfs/dbraw/zinc/37/39/59/422373959.db2.gz MQCCFGOEWJWAKJ-SECBINFHSA-N -1 1 316.390 1.489 20 0 DDADMM Cn1cc(-c2nc(=O)c3c4c(sc3[n-]2)CSCC4)nn1 ZINC000637796087 422377136 /nfs/dbraw/zinc/37/71/36/422377136.db2.gz RZRIXPCPCGKHDI-UHFFFAOYSA-N -1 1 305.388 1.982 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC2CCC(O)CC2)c1 ZINC000632567701 422443049 /nfs/dbraw/zinc/44/30/49/422443049.db2.gz LUBBTYUNGVIFMX-UHFFFAOYSA-N -1 1 315.391 1.230 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000632497681 422392691 /nfs/dbraw/zinc/39/26/91/422392691.db2.gz NIBJEBWVFOAOPM-GFCCVEGCSA-N -1 1 321.768 1.386 20 0 DDADMM CCO[C@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)C1 ZINC000632551607 422430500 /nfs/dbraw/zinc/43/05/00/422430500.db2.gz FKOTXNPQIOUNCZ-LJGSYFOKSA-N -1 1 313.301 1.274 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1C[C@@H](O)CC(F)(F)C1 ZINC000632721350 422540667 /nfs/dbraw/zinc/54/06/67/422540667.db2.gz ZMBUSOPEFUDUOI-VIFPVBQESA-N -1 1 305.708 1.817 20 0 DDADMM CO[C@@H]1CN(C[C@@H](O)COc2ccccc2C)[C@](C)(C(=O)[O-])C1 ZINC000634694903 422556370 /nfs/dbraw/zinc/55/63/70/422556370.db2.gz MSBORVXHQDTUAW-KEYYUXOJSA-N -1 1 323.389 1.299 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@]3(C)CCNC3=O)[nH][n-]2)c1 ZINC000636021127 422569739 /nfs/dbraw/zinc/56/97/39/422569739.db2.gz BYIZHFMREZQXAK-MRXNPFEDSA-N -1 1 316.336 1.411 20 0 DDADMM CC[C@H](O)CNC(=O)c1c[n-]c2cc(OC)cc(OC)c2c1=O ZINC000627497189 422574448 /nfs/dbraw/zinc/57/44/48/422574448.db2.gz DVLCJGJBFGJMMW-VIFPVBQESA-N -1 1 320.345 1.046 20 0 DDADMM CCC(=O)c1ccc([N-]S(=O)(=O)CCOCCOC)cc1 ZINC000629316558 422603163 /nfs/dbraw/zinc/60/31/63/422603163.db2.gz OVPHISCYBVNMTR-UHFFFAOYSA-N -1 1 315.391 1.684 20 0 DDADMM C[C@@]1(c2ccc(F)cc2)CCN(C(=O)CCc2nn[n-]n2)C1 ZINC000632832347 422613017 /nfs/dbraw/zinc/61/30/17/422613017.db2.gz VZJLNXWYGSJZMS-OAHLLOKOSA-N -1 1 303.341 1.462 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@H]1CCCC(=O)N1 ZINC000629376775 422640754 /nfs/dbraw/zinc/64/07/54/422640754.db2.gz ISLFTOQGENMDNZ-GFCCVEGCSA-N -1 1 300.318 1.185 20 0 DDADMM COc1cc(C(=O)NC(C)(C)c2cn(C)nn2)cc(Cl)c1[O-] ZINC000636107290 422648727 /nfs/dbraw/zinc/64/87/27/422648727.db2.gz MINWKYRTVNPNRF-UHFFFAOYSA-N -1 1 324.768 1.848 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCc1nsc2ccccc12 ZINC000636228911 422731241 /nfs/dbraw/zinc/73/12/41/422731241.db2.gz SJRQTFVLWAYRFM-UHFFFAOYSA-N -1 1 316.390 1.491 20 0 DDADMM CC[C@@H](C)n1ncc(C(=O)NC2(c3nn[n-]n3)CCCC2)c1C ZINC000579183598 422719136 /nfs/dbraw/zinc/71/91/36/422719136.db2.gz HNQWBMAYJWJFOJ-SNVBAGLBSA-N -1 1 317.397 1.875 20 0 DDADMM CN1CC2(C1)CCN(C(=O)c1ccc3c(c1O)OC(C)(C)C3)C2 ZINC000646021150 423294587 /nfs/dbraw/zinc/29/45/87/423294587.db2.gz OOOMKPQTYUELDG-UHFFFAOYSA-N -1 1 316.401 1.883 20 0 DDADMM O=C(NC[C@@H](O)C(F)F)c1cc(Br)ccc1[O-] ZINC000236536165 263552163 /nfs/dbraw/zinc/55/21/63/263552163.db2.gz UPOBJZBEWUGILY-MRVPVSSYSA-N -1 1 310.094 1.511 20 0 DDADMM O=C([O-])CC1(NC(=O)c2ccc(-c3nnc[nH]3)cc2)CCC1 ZINC000653321459 423439052 /nfs/dbraw/zinc/43/90/52/423439052.db2.gz QOWKZEDRPSFPJY-UHFFFAOYSA-N -1 1 300.318 1.599 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cnc(C2CC2)nc1 ZINC000648894544 423527303 /nfs/dbraw/zinc/52/73/03/423527303.db2.gz YHSFIIBWTGPUDD-ZDUSSCGKSA-N -1 1 321.344 1.387 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc2c1OCCCO2)c1nn[n-]n1 ZINC000651461904 423551305 /nfs/dbraw/zinc/55/13/05/423551305.db2.gz LMMODECHEDTYGR-LLVKDONJSA-N -1 1 317.349 1.632 20 0 DDADMM CCc1csc(CC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000653802535 423613203 /nfs/dbraw/zinc/61/32/03/423613203.db2.gz JGLIGCZQDDUOKD-UHFFFAOYSA-N -1 1 321.450 1.582 20 0 DDADMM CN1CCN(C(=O)N=c2nc(C(C)(C)C)[n-]s2)CC1(C)C ZINC000639640773 423624101 /nfs/dbraw/zinc/62/41/01/423624101.db2.gz YVZWHOCAQCPVRA-UHFFFAOYSA-N -1 1 311.455 1.815 20 0 DDADMM C[C@@H](C(=O)N1CCCCC1)N1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639825737 423762103 /nfs/dbraw/zinc/76/21/03/423762103.db2.gz QGIPORZQYJDVQH-RYUDHWBXSA-N -1 1 307.398 1.063 20 0 DDADMM CC(=O)N[C@H](C)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000656858167 423806402 /nfs/dbraw/zinc/80/64/02/423806402.db2.gz YAZDUUAYFQMDPU-LLVKDONJSA-N -1 1 318.373 1.338 20 0 DDADMM O=S(=O)(NC[C@H]1CCCCN1C1CC1)c1ccccc1[O-] ZINC000656974119 423948237 /nfs/dbraw/zinc/94/82/37/423948237.db2.gz LJGWUXJOOWVLQW-CYBMUJFWSA-N -1 1 310.419 1.687 20 0 DDADMM O=C(c1cc2nc[nH]c2cc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000644869189 423997968 /nfs/dbraw/zinc/99/79/68/423997968.db2.gz PLJSKXFEGQVAHW-MRVPVSSYSA-N -1 1 315.312 1.235 20 0 DDADMM O=S(=O)(N[C@H]1CCCN2CCCC[C@H]12)c1ccccc1[O-] ZINC000657022186 424003495 /nfs/dbraw/zinc/00/34/95/424003495.db2.gz JZLVNXIUPUWMGB-QWHCGFSZSA-N -1 1 310.419 1.687 20 0 DDADMM O=C(c1c[nH]c2ncccc12)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644884212 424011870 /nfs/dbraw/zinc/01/18/70/424011870.db2.gz QWHAAJAFWUHEPC-SECBINFHSA-N -1 1 313.317 1.671 20 0 DDADMM NC(=O)c1csc(=N[C@H]2CCN(c3ccc(F)cc3F)C2)[n-]1 ZINC000644936411 424060060 /nfs/dbraw/zinc/06/00/60/424060060.db2.gz ROZZEIQKXXIKNC-VIFPVBQESA-N -1 1 324.356 1.633 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)CC1CC1)c1cc(F)ccc1F ZINC000657184159 424195306 /nfs/dbraw/zinc/19/53/06/424195306.db2.gz ZJHFFHMXIYZYQH-JTQLQIEISA-N -1 1 305.346 1.652 20 0 DDADMM CCN(C(=O)c1cc(-c2c(C)nn(C)c2C)[nH]n1)[C@@H](C)C(=O)[O-] ZINC000659770453 424239877 /nfs/dbraw/zinc/23/98/77/424239877.db2.gz FKSLFIXWPSYNBA-JTQLQIEISA-N -1 1 319.365 1.362 20 0 DDADMM Cn1[n-]c(CN[C@H](CO)c2cccc(Cl)c2Cl)nc1=O ZINC000662051983 424355521 /nfs/dbraw/zinc/35/55/21/424355521.db2.gz PKVJHGYIASDBOU-SECBINFHSA-N -1 1 317.176 1.238 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N[C@@H](CO)[C@@H]1CCCOC1 ZINC000640337550 424359007 /nfs/dbraw/zinc/35/90/07/424359007.db2.gz HVFBXYAINZPQHZ-PWSUYJOCSA-N -1 1 321.402 1.627 20 0 DDADMM C[C@@H](O)CN(C(=O)N=c1[n-]sc2ccccc21)[C@@H](C)CO ZINC000640338745 424359061 /nfs/dbraw/zinc/35/90/61/424359061.db2.gz GPBKMKACURSCBD-VHSXEESVSA-N -1 1 309.391 1.314 20 0 DDADMM CO[C@H]1COCC[C@@H]1CNC(=O)N=c1[n-]sc2ccccc21 ZINC000640340118 424362868 /nfs/dbraw/zinc/36/28/68/424362868.db2.gz OJZIHLYQXCCHFX-PWSUYJOCSA-N -1 1 321.402 1.891 20 0 DDADMM Cc1csc(=O)n1CCN1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000662222576 424485835 /nfs/dbraw/zinc/48/58/35/424485835.db2.gz OMOYWGQBUNXGNK-AWEZNQCLSA-N -1 1 318.398 1.902 20 0 DDADMM CC(C)N1CC[C@@H](N2Cc3ccccc3[C@@H](C(=O)[O-])C2)C1=O ZINC000662224891 424490931 /nfs/dbraw/zinc/49/09/31/424490931.db2.gz WXKFQBAUOPBCDY-LSDHHAIUSA-N -1 1 302.374 1.680 20 0 DDADMM CC1(C)CNC(=O)[C@H]1[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC000660018609 424462681 /nfs/dbraw/zinc/46/26/81/424462681.db2.gz VMBJXSZORIQKEW-SNVBAGLBSA-N -1 1 320.773 1.282 20 0 DDADMM COCCN(CC(=O)[O-])Cc1cc(F)ccc1OC(F)F ZINC000662198953 424463840 /nfs/dbraw/zinc/46/38/40/424463840.db2.gz NOKRRYUFRHKPSN-UHFFFAOYSA-N -1 1 307.268 1.960 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC[C@@H]2c2ccc(O)cc2)C1=O ZINC000662200655 424465308 /nfs/dbraw/zinc/46/53/08/424465308.db2.gz BZKSVGKSYMFHPF-KGLIPLIRSA-N -1 1 304.346 1.215 20 0 DDADMM O=C([O-])CN1CC[C@@H](N2CCSC3(CCCCC3)C2)C1=O ZINC000662206493 424472755 /nfs/dbraw/zinc/47/27/55/424472755.db2.gz QIEHUTFGHSACEB-GFCCVEGCSA-N -1 1 312.435 1.424 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@H](Nc2nc3[nH][n-]cc-3c(=O)n2)C12CCC2 ZINC000664471181 424603389 /nfs/dbraw/zinc/60/33/89/424603389.db2.gz ZFTBUCNXRBLHGY-WDEREUQCSA-N -1 1 317.393 1.970 20 0 DDADMM CC(C)(CCNC(=O)N[C@@H]1CCC[N@H+]2CCCC[C@H]12)C(=O)[O-] ZINC000655879258 424689706 /nfs/dbraw/zinc/68/97/06/424689706.db2.gz LUPHKVWTKHYNKI-CHWSQXEVSA-N -1 1 311.426 1.803 20 0 DDADMM CCc1cc(C(=O)N2C[C@H](C(=O)[O-])[C@H](C(F)(F)F)C2)n[nH]1 ZINC000344592480 272066338 /nfs/dbraw/zinc/06/63/38/272066338.db2.gz PATGNKBJNTYZBL-JGVFFNPUSA-N -1 1 305.256 1.307 20 0 DDADMM Cc1n[n-]c(=NC(=O)c2ccc(Nc3ccncc3)cc2)n1C ZINC000345400678 272240437 /nfs/dbraw/zinc/24/04/37/272240437.db2.gz QCCDHZFPLURQKI-UHFFFAOYSA-N -1 1 308.345 1.358 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@@H](O)C1(C)C ZINC000190530965 279071631 /nfs/dbraw/zinc/07/16/31/279071631.db2.gz ZKDKNEFKKJCPTL-NXEZZACHSA-N -1 1 307.394 1.265 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1c2ccccc2O[C@H]1C ZINC000289759316 279280422 /nfs/dbraw/zinc/28/04/22/279280422.db2.gz GVKGKRGHUPHFPN-WRWORJQWSA-N -1 1 309.347 1.366 20 0 DDADMM CNC(=O)[C@@H](C)[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000119647357 281104770 /nfs/dbraw/zinc/10/47/70/281104770.db2.gz FZIGSQMVTUUSKQ-SCSAIBSYSA-N -1 1 317.219 1.468 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1cccc([N+](=O)[O-])c1)c1nn[n-]n1 ZINC000124263823 281187768 /nfs/dbraw/zinc/18/77/68/281187768.db2.gz AIUJGVIFIRFFTC-FGEFZZPRSA-N -1 1 316.321 1.383 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(F)c(C)c2)co1 ZINC000130316830 281375600 /nfs/dbraw/zinc/37/56/00/281375600.db2.gz YLLABRLFZWDBRV-UHFFFAOYSA-N -1 1 312.322 1.888 20 0 DDADMM CC[C@@]1(C)C[C@@H]1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000135564509 281597781 /nfs/dbraw/zinc/59/77/81/281597781.db2.gz UWTCSZWNIPHGOE-WBMJQRKESA-N -1 1 302.378 1.692 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H]2CCOC3(CCOCC3)C2)s[n-]1 ZINC000337858699 294343244 /nfs/dbraw/zinc/34/32/44/294343244.db2.gz ICJOXGKIYTWXNC-GHMZBOCLSA-N -1 1 313.423 1.808 20 0 DDADMM Cn1cccc1[C@@H]1COCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000436709872 294389295 /nfs/dbraw/zinc/38/92/95/294389295.db2.gz XAYPBLQWNDNVOW-HNNXBMFYSA-N -1 1 314.341 1.514 20 0 DDADMM CCc1nc(=NC(=O)c2ccn(CCc3ccccc3)n2)[n-][nH]1 ZINC000573435214 304595276 /nfs/dbraw/zinc/59/52/76/304595276.db2.gz HDEJLMQRZVJHBZ-UHFFFAOYSA-N -1 1 310.361 1.481 20 0 DDADMM CCc1nn(C)cc1CNC(=O)c1csc(=NC2CC2)[n-]1 ZINC000357977381 299038930 /nfs/dbraw/zinc/03/89/30/299038930.db2.gz VGQJVFCQIIVVDM-UHFFFAOYSA-N -1 1 305.407 1.365 20 0 DDADMM O=C(N[C@H]1CC(=O)N2CCCC[C@@H]12)c1c(F)ccc([O-])c1F ZINC000367724140 300877535 /nfs/dbraw/zinc/87/75/35/300877535.db2.gz WAYXZFUYOUKEAY-UWVGGRQHSA-N -1 1 310.300 1.554 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@H](O)C4(CC4)C3)nc2)[n-]c(=O)c1C ZINC000368800610 301089751 /nfs/dbraw/zinc/08/97/51/301089751.db2.gz PXELPAAQBFAUNJ-ZDUSSCGKSA-N -1 1 312.373 1.822 20 0 DDADMM O=C(Cc1csc(-c2ccc(F)cc2)n1)Nc1nnn[n-]1 ZINC000369283744 301156661 /nfs/dbraw/zinc/15/66/61/301156661.db2.gz DIQVGDQBDSWOCG-UHFFFAOYSA-N -1 1 304.310 1.644 20 0 DDADMM O=C(Cc1csc(-c2ccc(F)cc2)n1)Nc1nn[n-]n1 ZINC000369283744 301156662 /nfs/dbraw/zinc/15/66/62/301156662.db2.gz DIQVGDQBDSWOCG-UHFFFAOYSA-N -1 1 304.310 1.644 20 0 DDADMM O=c1cc(CN2CCC[C@]3(CCCCO3)C2)nc2cc[n-]n21 ZINC000369670171 301209003 /nfs/dbraw/zinc/20/90/03/301209003.db2.gz JTHMMFVKRIVRQM-MRXNPFEDSA-N -1 1 302.378 1.558 20 0 DDADMM CCc1cc(=O)[nH]c(C[N@H+]2C[C@@H]3CCC[C@]3(C(=O)OC)C2)n1 ZINC000369922217 301240274 /nfs/dbraw/zinc/24/02/74/301240274.db2.gz CAZJPNNFTLFASG-ZBEGNZNMSA-N -1 1 305.378 1.520 20 0 DDADMM CC(C)(C)c1ncsc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000369970159 301248679 /nfs/dbraw/zinc/24/86/79/301248679.db2.gz PICWEIMRENVLBE-MRVPVSSYSA-N -1 1 322.394 1.167 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])cc2F)C[C@@]2(CCCOC2)O1 ZINC000370716544 301382912 /nfs/dbraw/zinc/38/29/12/301382912.db2.gz MTYSSEMZZDPAGI-MEDUHNTESA-N -1 1 309.337 1.941 20 0 DDADMM COC(=O)c1[n-]c(=NCc2cnc3n2CCCC3)sc1C ZINC000376473041 302081768 /nfs/dbraw/zinc/08/17/68/302081768.db2.gz JMZOSDFMCRLFJP-UHFFFAOYSA-N -1 1 306.391 1.805 20 0 DDADMM CCC(CC)NC(=O)[C@H](C)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000377526114 302189608 /nfs/dbraw/zinc/18/96/08/302189608.db2.gz DJJVHKCTCWHMDH-JTQLQIEISA-N -1 1 309.414 1.383 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@@H](Cc2ccccc2)C1 ZINC000377905809 302248805 /nfs/dbraw/zinc/24/88/05/302248805.db2.gz GCBAOSAOVZNJQV-ZDUSSCGKSA-N -1 1 321.402 1.649 20 0 DDADMM C[C@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H]1CCCOC1 ZINC000355957457 306823001 /nfs/dbraw/zinc/82/30/01/306823001.db2.gz RSXYPZXYSRHEGQ-WDEREUQCSA-N -1 1 301.346 1.468 20 0 DDADMM Cc1cnc(C(=O)N2CCCC[C@@H](S(C)(=O)=O)C2)c([O-])c1 ZINC000332195770 302331468 /nfs/dbraw/zinc/33/14/68/302331468.db2.gz AOOQZBLZVXLVMN-LLVKDONJSA-N -1 1 312.391 1.135 20 0 DDADMM Cc1cnc(C(=O)N2CCCC[C@H]2[C@H]2CNC(=O)C2)c([O-])c1 ZINC000427527333 302331739 /nfs/dbraw/zinc/33/17/39/302331739.db2.gz IECOQSHAQRDNSO-NEPJUHHUSA-N -1 1 303.362 1.226 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H](O)CC1 ZINC000294930738 302560563 /nfs/dbraw/zinc/56/05/63/302560563.db2.gz REKVAPYMBIPYGX-NSHDSACASA-N -1 1 307.394 1.775 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2CN(c3ccccc3)C[C@H]2C1 ZINC000514976500 302758276 /nfs/dbraw/zinc/75/82/76/302758276.db2.gz SOXLIUCUPPMRNV-KBPBESRZSA-N -1 1 309.369 1.996 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cnn([C@@H](C)C2CC2)c1 ZINC000357098868 306871254 /nfs/dbraw/zinc/87/12/54/306871254.db2.gz BSZYSPJUUFLVOG-VIFPVBQESA-N -1 1 301.368 1.159 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCC[C@H]2CCCOC2)[n-]n1 ZINC000528072136 303001477 /nfs/dbraw/zinc/00/14/77/303001477.db2.gz IBXIBLYCXJBIED-CYBMUJFWSA-N -1 1 318.421 1.800 20 0 DDADMM O=S(=O)([N-]CC1COC1)c1sccc1Br ZINC000528563734 303045417 /nfs/dbraw/zinc/04/54/17/303045417.db2.gz KMVMCNYHRUDJHF-UHFFFAOYSA-N -1 1 312.210 1.435 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](C)c1c(C)noc1C ZINC000357395586 306881360 /nfs/dbraw/zinc/88/13/60/306881360.db2.gz CUHHOTFBLILMFS-LURJTMIESA-N -1 1 322.321 1.035 20 0 DDADMM CCO[C@@]1(C)C[C@H]1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000529855359 303158850 /nfs/dbraw/zinc/15/88/50/303158850.db2.gz WWEBRAXYPYXXFN-WBMJQRKESA-N -1 1 318.377 1.278 20 0 DDADMM O=C([O-])c1cnc(CN2CCC(C3CCOCC3)CC2)cn1 ZINC000530161561 303177247 /nfs/dbraw/zinc/17/72/47/303177247.db2.gz CKWUMNHZUQMZNF-UHFFFAOYSA-N -1 1 305.378 1.813 20 0 DDADMM CO[C@]1(C(=O)[O-])CC[N@@H+](Cc2ccccc2OC(F)F)C1 ZINC000530168565 303178565 /nfs/dbraw/zinc/17/85/65/303178565.db2.gz DLIXGOSKPCYJCF-CQSZACIVSA-N -1 1 301.289 1.964 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(Cc2ccccc2OC(F)F)C1 ZINC000530168565 303178566 /nfs/dbraw/zinc/17/85/66/303178566.db2.gz DLIXGOSKPCYJCF-CQSZACIVSA-N -1 1 301.289 1.964 20 0 DDADMM CCC[C@@H](NC(=O)COCc1cccc(OC)c1)c1nn[n-]n1 ZINC000531571128 303250227 /nfs/dbraw/zinc/25/02/27/303250227.db2.gz XTPLKALZFYRYND-CYBMUJFWSA-N -1 1 319.365 1.383 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1ncccc1C ZINC000531777141 303256107 /nfs/dbraw/zinc/25/61/07/303256107.db2.gz ZPMOLKDTXOKHPN-UHFFFAOYSA-N -1 1 304.375 1.846 20 0 DDADMM CC(C)(C)OCCN=c1ccc(C(=O)NC2CCCC2)n[n-]1 ZINC000532733218 303295353 /nfs/dbraw/zinc/29/53/53/303295353.db2.gz UNJHTGLKAKUVQX-UHFFFAOYSA-N -1 1 306.410 1.798 20 0 DDADMM CC[C@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1nc(C)cs1 ZINC000357604578 306898014 /nfs/dbraw/zinc/89/80/14/306898014.db2.gz YKSZBUVNPSJDFD-ZETCQYMHSA-N -1 1 324.362 1.542 20 0 DDADMM CCC[C@]1(NC(=O)c2nc3ccccc3c(=O)[n-]2)CCOC1 ZINC000556866369 303760500 /nfs/dbraw/zinc/76/05/00/303760500.db2.gz NWSXJNDELUCIMV-INIZCTEOSA-N -1 1 301.346 1.612 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(CN2CCSCC2)cc1)C(=O)[O-] ZINC000559223176 303788123 /nfs/dbraw/zinc/78/81/23/303788123.db2.gz MAUZVCCFVHKJFN-CQSZACIVSA-N -1 1 322.430 1.828 20 0 DDADMM CC(=O)Nc1ccc(NS(=O)(=O)c2ccoc2)c(C(=O)[O-])c1 ZINC000560417594 303810628 /nfs/dbraw/zinc/81/06/28/303810628.db2.gz UWDJNHMRFQMNAB-UHFFFAOYSA-N -1 1 324.314 1.737 20 0 DDADMM Cn1ncc(Cl)c1S(=O)(=O)[N-]c1ccc2n[nH]nc2c1 ZINC000362354383 307018158 /nfs/dbraw/zinc/01/81/58/307018158.db2.gz GSORDQLZXOSKJE-UHFFFAOYSA-N -1 1 312.742 1.146 20 0 DDADMM Cn1ncc(Cl)c1S(=O)(=O)[N-]c1ccc2nn[nH]c2c1 ZINC000362354383 307018159 /nfs/dbraw/zinc/01/81/59/307018159.db2.gz GSORDQLZXOSKJE-UHFFFAOYSA-N -1 1 312.742 1.146 20 0 DDADMM Cc1nc(-c2ccc(N[C@@H]3CC(=O)N(C)C3)nc2)[n-]c(=O)c1C ZINC000362627623 307022788 /nfs/dbraw/zinc/02/27/88/307022788.db2.gz ONSKEZJHDGYFJT-GFCCVEGCSA-N -1 1 313.361 1.504 20 0 DDADMM O=C(NC[C@H]1C[N@@H+]2CCCC[C@H]2CO1)c1cc(F)ccc1O ZINC000367176693 307087923 /nfs/dbraw/zinc/08/79/23/307087923.db2.gz OUUUZTWENDXWLZ-STQMWFEESA-N -1 1 308.353 1.514 20 0 DDADMM CSc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000370840275 307137609 /nfs/dbraw/zinc/13/76/09/307137609.db2.gz VCYMWQVLWQWMAX-NSHDSACASA-N -1 1 305.363 1.135 20 0 DDADMM O=C(CNC(=O)c1ccc2ccccc2c1[O-])NCC(F)F ZINC000393808051 307295298 /nfs/dbraw/zinc/29/52/98/307295298.db2.gz YUUQEVADEMIYOG-UHFFFAOYSA-N -1 1 308.284 1.657 20 0 DDADMM Cn1cnc(S(=O)(=O)Nc2cc(F)cc(C(=O)[O-])c2F)c1 ZINC000547610499 307740769 /nfs/dbraw/zinc/74/07/69/307740769.db2.gz UIOZHEJXQKJLDP-UHFFFAOYSA-N -1 1 317.273 1.197 20 0 DDADMM COc1cc(=NS(=O)(=O)c2c(C)c(C)cc(C)c2C)[n-]n1C ZINC000548150390 307759234 /nfs/dbraw/zinc/75/92/34/307759234.db2.gz VGCWYQMNSJYKDB-UHFFFAOYSA-N -1 1 323.418 1.885 20 0 DDADMM O=S(=O)(N=c1cc2ccccn2[n-]1)c1cccc2c1OCO2 ZINC000552036844 307815816 /nfs/dbraw/zinc/81/58/16/307815816.db2.gz AUDFZKHPSJZQLX-UHFFFAOYSA-N -1 1 317.326 1.286 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2ccccc2CC1 ZINC000564770805 308005462 /nfs/dbraw/zinc/00/54/62/308005462.db2.gz XVLKVUMENUEDPG-UHFFFAOYSA-N -1 1 315.329 1.137 20 0 DDADMM COCCOCc1ccc(CNc2nc(C(=O)[O-])co2)cc1 ZINC000565034412 308017256 /nfs/dbraw/zinc/01/72/56/308017256.db2.gz GHNTTZXVJONSLA-UHFFFAOYSA-N -1 1 306.318 1.570 20 0 DDADMM COCC1(S(=O)(=O)Nc2cc(C(=O)[O-])ccc2OC)CC1 ZINC000575409925 308276721 /nfs/dbraw/zinc/27/67/21/308276721.db2.gz APLVOAMHBSNUBG-UHFFFAOYSA-N -1 1 315.347 1.314 20 0 DDADMM CCc1nc(C(C)C)ccc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000575889472 308281511 /nfs/dbraw/zinc/28/15/11/308281511.db2.gz OLQWOVPJZGBUDA-UHFFFAOYSA-N -1 1 300.366 1.700 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[O-])CN1CC(=O)Nc1ccc(Cl)cn1 ZINC000575922123 308282539 /nfs/dbraw/zinc/28/25/39/308282539.db2.gz GEVGPKVYVDKPAK-UWVGGRQHSA-N -1 1 311.769 1.859 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1cnc(OCC2CC2)cn1 ZINC000576049835 308286833 /nfs/dbraw/zinc/28/68/33/308286833.db2.gz NKZSYYLAAOSQRT-UHFFFAOYSA-N -1 1 317.305 1.027 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCC[C@H](C2CC2)C1 ZINC000576273594 308302278 /nfs/dbraw/zinc/30/22/78/308302278.db2.gz LZTUCISWTCMDKM-VHSXEESVSA-N -1 1 307.350 1.595 20 0 DDADMM CCOC(=O)C(C)(C)CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000583475667 333364156 /nfs/dbraw/zinc/36/41/56/333364156.db2.gz ADQYPDPRXNLSCF-UHFFFAOYSA-N -1 1 309.370 1.065 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC(C)(C)C1CCCC1 ZINC000583488643 334276346 /nfs/dbraw/zinc/27/63/46/334276346.db2.gz RWDMYDZPTPWRIX-UHFFFAOYSA-N -1 1 309.366 1.843 20 0 DDADMM C[C@H](NC(=O)NCCN1CC=CCC1)[C@@H]1C[C@H](C(=O)[O-])C1(C)C ZINC000655902315 483995194 /nfs/dbraw/zinc/99/51/94/483995194.db2.gz KAGCBANRGMUOLB-MELADBBJSA-N -1 1 323.437 1.683 20 0 DDADMM C[C@@H]1CN(CCc2cn(CC(=O)[O-])nn2)CC2(CCCCC2)O1 ZINC000656192125 484008438 /nfs/dbraw/zinc/00/84/38/484008438.db2.gz MIEIZNWOOZINIU-CYBMUJFWSA-N -1 1 322.409 1.329 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)c2cc(C(=O)[O-])ccn2)CC1 ZINC000656247776 484045828 /nfs/dbraw/zinc/04/58/28/484045828.db2.gz VSFZNWPUBAEIFV-UHFFFAOYSA-N -1 1 305.378 1.726 20 0 DDADMM CCCCCc1cc(C(=O)N2CC[C@@H](OC)C[C@H]2C(=O)[O-])n[nH]1 ZINC000659222230 484696868 /nfs/dbraw/zinc/69/68/68/484696868.db2.gz RLOGXXRQFBQSMF-OCCSQVGLSA-N -1 1 323.393 1.847 20 0 DDADMM CC(C)C(=O)c1ccc([C@H](C)C(=O)NCc2nn[n-]n2)cc1 ZINC000670067691 484741344 /nfs/dbraw/zinc/74/13/44/484741344.db2.gz BMRAKNRJWSONTM-JTQLQIEISA-N -1 1 301.350 1.458 20 0 DDADMM CC(C)(CC(=O)NC[C@@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663229433 484754418 /nfs/dbraw/zinc/75/44/18/484754418.db2.gz OSCHPYYRNXCVAG-AWEZNQCLSA-N -1 1 304.390 1.878 20 0 DDADMM CC[C@H](NC(=O)NC[C@@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663271818 484778673 /nfs/dbraw/zinc/77/86/73/484778673.db2.gz QNQFYEMKSJWGAR-KBPBESRZSA-N -1 1 305.378 1.423 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@@H]1NCCc2ccccc21)C1CCC1 ZINC000663280988 484783395 /nfs/dbraw/zinc/78/33/95/484783395.db2.gz XFQTXOJJZJSLJZ-LSDHHAIUSA-N -1 1 302.374 1.491 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)CCC2CC2)nc2ccccc21 ZINC000663301781 484793920 /nfs/dbraw/zinc/79/39/20/484793920.db2.gz NYQAMCIGGWEJAP-UHFFFAOYSA-N -1 1 319.386 1.876 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@H]1c1ccccc1F ZINC000668253190 485054799 /nfs/dbraw/zinc/05/47/99/485054799.db2.gz AJCKYZKGMJYHBC-GXSJLCMTSA-N -1 1 311.338 1.539 20 0 DDADMM O=C(NC[C@H](CO)c1ccc(Cl)cc1)c1ncccc1[O-] ZINC000673377263 485408106 /nfs/dbraw/zinc/40/81/06/485408106.db2.gz QZACHBDCHICAQL-LLVKDONJSA-N -1 1 306.749 1.947 20 0 DDADMM O=C(N[C@H]1CCCc2c1cnn2CCO)c1cc(F)ccc1[O-] ZINC000678325008 485539311 /nfs/dbraw/zinc/53/93/11/485539311.db2.gz NGZMZBIZQPPAJE-ZDUSSCGKSA-N -1 1 319.336 1.528 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@@H]1c1cc(F)c(F)c(F)c1 ZINC000682825121 485788711 /nfs/dbraw/zinc/78/87/11/485788711.db2.gz UJHPOYIZNINVBU-SFYZADRCSA-N -1 1 323.278 1.526 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC1CC=CC1 ZINC000675393317 485930639 /nfs/dbraw/zinc/93/06/39/485930639.db2.gz GWELUQYZNINGIA-UHFFFAOYSA-N -1 1 310.357 1.518 20 0 DDADMM CS(=O)(=O)CCCCSc1nc(C2CC2)cc(=O)[n-]1 ZINC000683455668 486004388 /nfs/dbraw/zinc/00/43/88/486004388.db2.gz XWSQXKLGQXLSCW-UHFFFAOYSA-N -1 1 302.421 1.977 20 0 DDADMM CC(C)(O)CCS(=O)(=O)c1nc(Cc2cccs2)n[n-]1 ZINC000683688309 486081252 /nfs/dbraw/zinc/08/12/52/486081252.db2.gz JAPMKMURSWMWPJ-UHFFFAOYSA-N -1 1 315.420 1.392 20 0 DDADMM CC(C)(O)CCS(=O)(=O)c1nnc(Cc2cccs2)[n-]1 ZINC000683688309 486081254 /nfs/dbraw/zinc/08/12/54/486081254.db2.gz JAPMKMURSWMWPJ-UHFFFAOYSA-N -1 1 315.420 1.392 20 0 DDADMM CC(C)(O)CCS(=O)(=O)c1n[n-]c(Cc2cccs2)n1 ZINC000683688309 486081256 /nfs/dbraw/zinc/08/12/56/486081256.db2.gz JAPMKMURSWMWPJ-UHFFFAOYSA-N -1 1 315.420 1.392 20 0 DDADMM Cn1[n-]c(CN2C[C@@H](C(F)(F)F)[C@H](C(F)(F)F)C2)nc1=O ZINC000680373890 486167633 /nfs/dbraw/zinc/16/76/33/486167633.db2.gz CLERTJOLRUCTOB-PHDIDXHHSA-N -1 1 318.221 1.281 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CC(C)(F)C2)o1 ZINC000684325469 486322817 /nfs/dbraw/zinc/32/28/17/486322817.db2.gz NNORGHSBAIJTGE-UHFFFAOYSA-N -1 1 318.370 1.540 20 0 DDADMM O=C(N[C@@H]1CCCN(c2ncccn2)C1)c1ccc([O-])c(F)c1 ZINC000681018576 486324444 /nfs/dbraw/zinc/32/44/44/486324444.db2.gz HAKHGNUSQDAIKE-GFCCVEGCSA-N -1 1 316.336 1.720 20 0 DDADMM O=C(CCNC(=O)c1ccc([O-])c(F)c1)NCc1cccnc1 ZINC000681032582 486326946 /nfs/dbraw/zinc/32/69/46/486326946.db2.gz CVQXIIXTCCRPBK-UHFFFAOYSA-N -1 1 317.320 1.363 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CSC[C@@H]1C(=O)N1CCCC1 ZINC000681037434 486327702 /nfs/dbraw/zinc/32/77/02/486327702.db2.gz HGQDKIUNQKAERS-GFCCVEGCSA-N -1 1 324.377 1.669 20 0 DDADMM CO[C@H]1CCC[C@@H](CC(=O)NC2(c3nn[n-]n3)CCCC2)C1 ZINC000684444757 486355682 /nfs/dbraw/zinc/35/56/82/486355682.db2.gz DTWAWDNKWYOJNS-NEPJUHHUSA-N -1 1 307.398 1.681 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@@]2(CCOC2)O1 ZINC000684523761 486376100 /nfs/dbraw/zinc/37/61/00/486376100.db2.gz GGBNWIHMCFOGFM-MLGOLLRUSA-N -1 1 309.337 1.941 20 0 DDADMM Cn1cnc2cccc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)c21 ZINC000684829951 486438324 /nfs/dbraw/zinc/43/83/24/486438324.db2.gz HRXKBCBGIPHJIK-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM CSc1ccccc1S(=O)(=O)[N-]c1cc(C(N)=O)[nH]n1 ZINC000681997375 486617209 /nfs/dbraw/zinc/61/72/09/486617209.db2.gz YALHFSGMRBJFTE-UHFFFAOYSA-N -1 1 312.376 1.031 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)c1ncn(C)n1 ZINC000444229092 533964142 /nfs/dbraw/zinc/96/41/42/533964142.db2.gz TZSROKNCBDOLRB-LURJTMIESA-N -1 1 320.296 1.272 20 0 DDADMM C[C@H]1CNCCN1C(=O)N=c1[n-]nc(-c2ccsc2)s1 ZINC000331953524 534009610 /nfs/dbraw/zinc/00/96/10/534009610.db2.gz PBBAWHOARZEWKP-QMMMGPOBSA-N -1 1 309.420 1.514 20 0 DDADMM COC(=O)N[C@@H](C)C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000494297446 534149464 /nfs/dbraw/zinc/14/94/64/534149464.db2.gz CWCGOYLKVQCMRY-ZETCQYMHSA-N -1 1 324.287 1.460 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](CC(C)C)OC)o1 ZINC000416642070 534238733 /nfs/dbraw/zinc/23/87/33/534238733.db2.gz FFRZVYHPYFVHCX-SNVBAGLBSA-N -1 1 319.379 1.406 20 0 DDADMM COC(=O)c1csc(S(=O)(=O)[N-][C@@H](C)C(C)(F)F)c1 ZINC000451072988 534335619 /nfs/dbraw/zinc/33/56/19/534335619.db2.gz PVPJAGHGDJBTBK-LURJTMIESA-N -1 1 313.347 1.857 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3CCC[C@H](CO)C3)cnc2n1 ZINC000412910944 534398767 /nfs/dbraw/zinc/39/87/67/534398767.db2.gz JOCMLUJEBVTGPV-NWDGAFQWSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@@H]3CCC[C@H](CO)C3)c[n-]c2n1 ZINC000412910944 534398771 /nfs/dbraw/zinc/39/87/71/534398771.db2.gz JOCMLUJEBVTGPV-NWDGAFQWSA-N -1 1 315.373 1.925 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NC(CF)CF)o1 ZINC000452330054 526334067 /nfs/dbraw/zinc/33/40/67/526334067.db2.gz TVIODPAHIXXKKG-UHFFFAOYSA-N -1 1 324.349 1.394 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC000495492542 526479121 /nfs/dbraw/zinc/47/91/21/526479121.db2.gz NBPSDBYUQBIDEL-NSHDSACASA-N -1 1 321.377 1.820 20 0 DDADMM O=C(N[C@H]1CCC(=O)NC1)c1c([O-])cnc2ccc(Cl)cc21 ZINC000338388916 527018323 /nfs/dbraw/zinc/01/83/23/527018323.db2.gz VRWUVCWTWFVTQK-VIFPVBQESA-N -1 1 319.748 1.602 20 0 DDADMM CC(C)(NC(=O)COCc1cccc(Cl)c1)c1nn[n-]n1 ZINC000457592222 527268747 /nfs/dbraw/zinc/26/87/47/527268747.db2.gz FYICIXDCNCOKPN-UHFFFAOYSA-N -1 1 309.757 1.421 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2ccc(Br)o2)C[C@@H]1O ZINC000492068527 527874093 /nfs/dbraw/zinc/87/40/93/527874093.db2.gz ZWAJYEJMHQPXEU-RQJHMYQMSA-N -1 1 324.196 1.480 20 0 DDADMM CCCN(CC(=O)[N-]C(=O)c1ccc(OC)cc1)CC(F)F ZINC000298140968 527886331 /nfs/dbraw/zinc/88/63/31/527886331.db2.gz OEIVCQFJTLZFAI-UHFFFAOYSA-N -1 1 314.332 1.929 20 0 DDADMM CCO[C@H]1C[C@H](N(C)S(=O)(=O)c2c(C)o[n-]c2=N)C1(C)C ZINC000331750140 528132499 /nfs/dbraw/zinc/13/24/99/528132499.db2.gz FKBIDOJIBROIRJ-UWVGGRQHSA-N -1 1 317.411 1.220 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)NCCCCCC(=O)[O-])n[nH]1 ZINC000414632026 528311935 /nfs/dbraw/zinc/31/19/35/528311935.db2.gz XCWDPJCOCOGRFN-JTQLQIEISA-N -1 1 311.386 1.933 20 0 DDADMM CCOc1cccc(S(=O)(=O)[N-]c2ccc(C(N)=O)cc2)c1 ZINC000443401288 528678099 /nfs/dbraw/zinc/67/80/99/528678099.db2.gz UCZPIFKDZRBFEE-UHFFFAOYSA-N -1 1 320.370 1.985 20 0 DDADMM CCC(CC)(CNC(=O)c1ccc(S(=O)(=O)[N-]C)o1)OC ZINC000292392903 528688758 /nfs/dbraw/zinc/68/87/58/528688758.db2.gz SNJJDITVWLAOFD-UHFFFAOYSA-N -1 1 318.395 1.123 20 0 DDADMM CC[C@@H](O)CCCN=c1ccc(C(=O)NC2CCCC2)n[n-]1 ZINC000432239969 529217773 /nfs/dbraw/zinc/21/77/73/529217773.db2.gz BCZPPMXEFMDNRK-CYBMUJFWSA-N -1 1 306.410 1.534 20 0 DDADMM CC[C@@H](O)[C@@H](CC)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000412398165 529235574 /nfs/dbraw/zinc/23/55/74/529235574.db2.gz QKRIUAHNPAVXAB-CHWSQXEVSA-N -1 1 303.362 1.923 20 0 DDADMM CC[C@@H](O)[C@@H](CC)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000412398165 529235578 /nfs/dbraw/zinc/23/55/78/529235578.db2.gz QKRIUAHNPAVXAB-CHWSQXEVSA-N -1 1 303.362 1.923 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCCO1 ZINC000295038379 529283046 /nfs/dbraw/zinc/28/30/46/529283046.db2.gz ALXMKTQGZURLPV-NSHDSACASA-N -1 1 306.391 1.659 20 0 DDADMM Cc1nn(CCC(=O)C(C)(C)C)c(=O)c(-c2nn[n-]n2)c1C ZINC000737512984 598824816 /nfs/dbraw/zinc/82/48/16/598824816.db2.gz DPZAXHGOXOSPEC-UHFFFAOYSA-N -1 1 304.354 1.046 20 0 DDADMM FC(F)(F)[C@@H]1CN(c2cccc(-c3nnn[n-]3)n2)CCO1 ZINC000737625704 598855830 /nfs/dbraw/zinc/85/58/30/598855830.db2.gz ANYRQRFMDWAJTL-QMMMGPOBSA-N -1 1 300.244 1.029 20 0 DDADMM FC(F)(F)[C@@H]1CN(c2cccc(-c3nn[n-]n3)n2)CCO1 ZINC000737625704 598855832 /nfs/dbraw/zinc/85/58/32/598855832.db2.gz ANYRQRFMDWAJTL-QMMMGPOBSA-N -1 1 300.244 1.029 20 0 DDADMM OCC[C@@H](CNc1nccnc1-c1nnn[n-]1)c1ccccc1 ZINC000738394456 598974404 /nfs/dbraw/zinc/97/44/04/598974404.db2.gz ROIVCPWYVQMRFJ-LBPRGKRZSA-N -1 1 311.349 1.235 20 0 DDADMM OCC[C@@H](CNc1nccnc1-c1nn[n-]n1)c1ccccc1 ZINC000738394456 598974406 /nfs/dbraw/zinc/97/44/06/598974406.db2.gz ROIVCPWYVQMRFJ-LBPRGKRZSA-N -1 1 311.349 1.235 20 0 DDADMM CN(Cc1ccsc1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736830310 598984632 /nfs/dbraw/zinc/98/46/32/598984632.db2.gz UQPBYAXSPQDPNW-UHFFFAOYSA-N -1 1 300.347 1.595 20 0 DDADMM CN(Cc1ccsc1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736830310 598984633 /nfs/dbraw/zinc/98/46/33/598984633.db2.gz UQPBYAXSPQDPNW-UHFFFAOYSA-N -1 1 300.347 1.595 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N(Cc1ccccn1)C1CC1 ZINC000738258216 598985714 /nfs/dbraw/zinc/98/57/14/598985714.db2.gz IFCUZLJYZXZYNV-UHFFFAOYSA-N -1 1 321.344 1.462 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N(Cc1ccccn1)C1CC1 ZINC000738258216 598985715 /nfs/dbraw/zinc/98/57/15/598985715.db2.gz IFCUZLJYZXZYNV-UHFFFAOYSA-N -1 1 321.344 1.462 20 0 DDADMM Cc1nc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)cs1 ZINC000737476366 598986379 /nfs/dbraw/zinc/98/63/79/598986379.db2.gz CJUKWUCPGKUVSY-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM Cc1nc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)cs1 ZINC000737476366 598986381 /nfs/dbraw/zinc/98/63/81/598986381.db2.gz CJUKWUCPGKUVSY-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM Cc1cc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)ccc1F ZINC000737265206 598986754 /nfs/dbraw/zinc/98/67/54/598986754.db2.gz URKIZEMXEXCRNR-UHFFFAOYSA-N -1 1 312.308 1.639 20 0 DDADMM Cc1cc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)ccc1F ZINC000737265206 598986756 /nfs/dbraw/zinc/98/67/56/598986756.db2.gz URKIZEMXEXCRNR-UHFFFAOYSA-N -1 1 312.308 1.639 20 0 DDADMM O=C(Oc1cc(F)cc(F)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738251024 599001008 /nfs/dbraw/zinc/00/10/08/599001008.db2.gz LGNIIBRJULTSTA-UHFFFAOYSA-N -1 1 303.228 1.759 20 0 DDADMM O=C(Oc1cc(F)cc(F)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738251024 599001010 /nfs/dbraw/zinc/00/10/10/599001010.db2.gz LGNIIBRJULTSTA-UHFFFAOYSA-N -1 1 303.228 1.759 20 0 DDADMM Cc1ncsc1CN(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737502049 599004807 /nfs/dbraw/zinc/00/48/07/599004807.db2.gz DWUALMYHHNAQCO-UHFFFAOYSA-N -1 1 315.362 1.299 20 0 DDADMM Cc1ncsc1CN(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737502049 599004809 /nfs/dbraw/zinc/00/48/09/599004809.db2.gz DWUALMYHHNAQCO-UHFFFAOYSA-N -1 1 315.362 1.299 20 0 DDADMM O=C(OCCOC[C@@H]1CCCO1)c1sccc1-c1nn[n-]n1 ZINC000738223391 599056814 /nfs/dbraw/zinc/05/68/14/599056814.db2.gz IOJIAAIZQPCAFS-VIFPVBQESA-N -1 1 324.362 1.281 20 0 DDADMM O=C(O[C@H]1Cc2ccccc2C1=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000823397216 607262410 /nfs/dbraw/zinc/26/24/10/607262410.db2.gz SRJKJWYTVLZJCX-ZDUSSCGKSA-N -1 1 321.296 1.226 20 0 DDADMM O=C(O[C@H]1Cc2ccccc2C1=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000823397216 607262411 /nfs/dbraw/zinc/26/24/11/607262411.db2.gz SRJKJWYTVLZJCX-ZDUSSCGKSA-N -1 1 321.296 1.226 20 0 DDADMM CCC(=O)c1cc(C(=O)N(CCN(C)C)CC(=O)[O-])ccc1F ZINC000820261151 597411903 /nfs/dbraw/zinc/41/19/03/597411903.db2.gz FGBFFFICBSYBJB-UHFFFAOYSA-N -1 1 324.352 1.507 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)Nc2ccccc2CC(=O)[O-])n[nH]1 ZINC000820492626 597511004 /nfs/dbraw/zinc/51/10/04/597511004.db2.gz OTMLDJNJWOBNIX-SECBINFHSA-N -1 1 317.349 1.877 20 0 DDADMM O=C([O-])CCCCN1CCN(Cc2nccn2C(F)F)CC1 ZINC000821515914 597797293 /nfs/dbraw/zinc/79/72/93/597797293.db2.gz JEAWFRQDBOUFEQ-UHFFFAOYSA-N -1 1 316.352 1.651 20 0 DDADMM C[NH+](C)CCN(CC(=O)[O-])C(=O)c1c([O-])cccc1Cl ZINC000820538909 598142640 /nfs/dbraw/zinc/14/26/40/598142640.db2.gz ASQQHHGVGBERIQ-UHFFFAOYSA-N -1 1 300.742 1.134 20 0 DDADMM CCN(Cc1cccc(F)c1)C(=O)CN[C@@H](C(=O)[O-])C(C)C ZINC000820348223 598189524 /nfs/dbraw/zinc/18/95/24/598189524.db2.gz MHFPJEJDPXAMQT-OAHLLOKOSA-N -1 1 310.369 1.873 20 0 DDADMM CC(=O)Nc1cccc(Cn2cccc(-c3nn[n-]n3)c2=O)c1 ZINC000735489040 598675287 /nfs/dbraw/zinc/67/52/87/598675287.db2.gz SMSQRIWNTBKARV-UHFFFAOYSA-N -1 1 310.317 1.035 20 0 DDADMM FC(F)(F)Cn1cc(Nc2nccnc2-c2nnn[n-]2)cn1 ZINC000737628061 599303770 /nfs/dbraw/zinc/30/37/70/599303770.db2.gz VPABCULXICJNDQ-UHFFFAOYSA-N -1 1 311.231 1.159 20 0 DDADMM FC(F)(F)Cn1cc(Nc2nccnc2-c2nn[n-]n2)cn1 ZINC000737628061 599303773 /nfs/dbraw/zinc/30/37/73/599303773.db2.gz VPABCULXICJNDQ-UHFFFAOYSA-N -1 1 311.231 1.159 20 0 DDADMM O=C1OC(c2ccccc2)=NC1=Cc1ccc(-c2nnn[n-]2)o1 ZINC000823548511 599309656 /nfs/dbraw/zinc/30/96/56/599309656.db2.gz YEVMGDKCWLGAGE-DHZHZOJOSA-N -1 1 307.269 1.804 20 0 DDADMM O=C1OC(c2ccccc2)=NC1=Cc1ccc(-c2nn[n-]n2)o1 ZINC000823548511 599309657 /nfs/dbraw/zinc/30/96/57/599309657.db2.gz YEVMGDKCWLGAGE-DHZHZOJOSA-N -1 1 307.269 1.804 20 0 DDADMM CN(C1CCC1)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000821592401 599363153 /nfs/dbraw/zinc/36/31/53/599363153.db2.gz DRMWOQGMISXEMY-UHFFFAOYSA-N -1 1 311.342 1.179 20 0 DDADMM CO[C@@]1(C)C[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1(C)C ZINC000821679237 599655569 /nfs/dbraw/zinc/65/55/69/599655569.db2.gz YVOZNZGRNYGLCB-ABAIWWIYSA-N -1 1 316.365 1.195 20 0 DDADMM CO[C@@]1(C)C[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1(C)C ZINC000821679237 599655570 /nfs/dbraw/zinc/65/55/70/599655570.db2.gz YVOZNZGRNYGLCB-ABAIWWIYSA-N -1 1 316.365 1.195 20 0 DDADMM C[C@@H]1CCN(CC(=O)N2C[C@H](C(=O)[O-])Oc3ccccc32)C1 ZINC000736797171 599752932 /nfs/dbraw/zinc/75/29/32/599752932.db2.gz IVSCLEZPFOKIET-BXUZGUMPSA-N -1 1 304.346 1.207 20 0 DDADMM Cc1ccc(O[C@H](C)C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000320547213 599777171 /nfs/dbraw/zinc/77/71/71/599777171.db2.gz COUCWYVLZLHUJP-RISCZKNCSA-N -1 1 317.345 1.298 20 0 DDADMM NC(=O)c1ccc(CN[C@@H](C(=O)[O-])c2cc(F)ccc2F)cc1 ZINC000739176541 599788460 /nfs/dbraw/zinc/78/84/60/599788460.db2.gz HRYOPCOUEIJAOP-CQSZACIVSA-N -1 1 320.295 1.979 20 0 DDADMM CC1CCN(CC(=O)N2Cc3ccccc3C[C@@H]2C(=O)[O-])CC1 ZINC000736797673 600009300 /nfs/dbraw/zinc/00/93/00/600009300.db2.gz NJQZDNYFXRSPLH-MRXNPFEDSA-N -1 1 316.401 1.756 20 0 DDADMM O=C(COc1cccc(F)c1-c1nnn[n-]1)NC1CCCCC1 ZINC000826418836 607865587 /nfs/dbraw/zinc/86/55/87/607865587.db2.gz YGGQEQHSPNARBJ-UHFFFAOYSA-N -1 1 319.340 1.834 20 0 DDADMM O=C(COc1cccc(F)c1-c1nn[n-]n1)NC1CCCCC1 ZINC000826418836 607865588 /nfs/dbraw/zinc/86/55/88/607865588.db2.gz YGGQEQHSPNARBJ-UHFFFAOYSA-N -1 1 319.340 1.834 20 0 DDADMM CCOC[C@H]1CCCN(CC(=O)N[C@H](CC(C)C)C(=O)[O-])C1 ZINC000737161254 600286910 /nfs/dbraw/zinc/28/69/10/600286910.db2.gz FFLJIGRHAJMICS-UONOGXRCSA-N -1 1 314.426 1.350 20 0 DDADMM O=C([O-])[C@H]1CC12CCN([C@H]1CCN(c3ccccc3)C1=O)CC2 ZINC000739531326 600291639 /nfs/dbraw/zinc/29/16/39/600291639.db2.gz GVAFVVVHDCYDFO-CABCVRRESA-N -1 1 314.385 1.979 20 0 DDADMM CC(C)c1csc2nc(-c3ncn(CC(=O)[O-])n3)[nH]c(=O)c12 ZINC000736523037 600465475 /nfs/dbraw/zinc/46/54/75/600465475.db2.gz RCRHPJVCFTYZLJ-UHFFFAOYSA-N -1 1 319.346 1.863 20 0 DDADMM COC(=O)CCN(Cc1occc1C(=O)[O-])C[C@H]1CCCO1 ZINC000737598674 600516142 /nfs/dbraw/zinc/51/61/42/600516142.db2.gz IIAMWLFPCUUNOR-LLVKDONJSA-N -1 1 311.334 1.522 20 0 DDADMM O=C([O-])CCN(Cn1c(=O)oc2ccccc21)C1CCOCC1 ZINC000833235803 600721059 /nfs/dbraw/zinc/72/10/59/600721059.db2.gz DKDGGUFEEHIUNG-UHFFFAOYSA-N -1 1 320.345 1.508 20 0 DDADMM CCOCCCN(C[C@@H](C)C(=O)[O-])C(=O)[C@H]1CCCCN1C ZINC000830001464 600722923 /nfs/dbraw/zinc/72/29/23/600722923.db2.gz ZDTIUGKBRGZDRE-ZIAGYGMSSA-N -1 1 314.426 1.447 20 0 DDADMM COCCCN(C)[C@H]1CCN(c2sccc2C(=O)[O-])C1=O ZINC000831692149 600821321 /nfs/dbraw/zinc/82/13/21/600821321.db2.gz DLNPIWRYLKHCDH-NSHDSACASA-N -1 1 312.391 1.520 20 0 DDADMM C[C@@H](Sc1ccccc1C(=O)N[C@@H](C)c1nnc[nH]1)C(=O)[O-] ZINC000827916475 600833742 /nfs/dbraw/zinc/83/37/42/600833742.db2.gz TVMFCHHRFSPYOP-DTWKUNHWSA-N -1 1 320.374 1.861 20 0 DDADMM Cc1nnc(SCC(=O)N(C)Cc2ccc(C(=O)[O-])cc2)[nH]1 ZINC000832990696 600835719 /nfs/dbraw/zinc/83/57/19/600835719.db2.gz DREDFKBSCWKBGA-UHFFFAOYSA-N -1 1 320.374 1.562 20 0 DDADMM Cc1n[nH]c(SCC(=O)N(C)Cc2ccc(C(=O)[O-])cc2)n1 ZINC000832990696 600835721 /nfs/dbraw/zinc/83/57/21/600835721.db2.gz DREDFKBSCWKBGA-UHFFFAOYSA-N -1 1 320.374 1.562 20 0 DDADMM Cc1nnc(SCC(=O)Nc2ccc(F)c(C(=O)[O-])c2)[nH]1 ZINC000832991277 600835784 /nfs/dbraw/zinc/83/57/84/600835784.db2.gz SPNGLXSDYODNOI-UHFFFAOYSA-N -1 1 310.310 1.681 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2ccc(F)c(C(=O)[O-])c2)n1 ZINC000832991277 600835785 /nfs/dbraw/zinc/83/57/85/600835785.db2.gz SPNGLXSDYODNOI-UHFFFAOYSA-N -1 1 310.310 1.681 20 0 DDADMM C[C@H]1CN(CC(=O)N2CCC(C(=O)[O-])CC2)C[C@H](C)S1 ZINC000070383919 600840218 /nfs/dbraw/zinc/84/02/18/600840218.db2.gz KMCYNDCFSDWWQD-QWRGUYRKSA-N -1 1 300.424 1.135 20 0 DDADMM C[C@H]1CN(CCC(=O)NCC(=O)[O-])[C@@H](c2ccccc2)CO1 ZINC000828452230 600861253 /nfs/dbraw/zinc/86/12/53/600861253.db2.gz ZCQYSJUJOCXQKV-GXTWGEPZSA-N -1 1 306.362 1.039 20 0 DDADMM Cc1nnc(SCC(=O)N[C@@H](C(=O)[O-])c2cccs2)[nH]1 ZINC000832990984 600916501 /nfs/dbraw/zinc/91/65/01/600916501.db2.gz PPNVLPZTXPZOJU-SECBINFHSA-N -1 1 312.376 1.209 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@@H](C(=O)[O-])c2cccs2)n1 ZINC000832990984 600916504 /nfs/dbraw/zinc/91/65/04/600916504.db2.gz PPNVLPZTXPZOJU-SECBINFHSA-N -1 1 312.376 1.209 20 0 DDADMM Cc1nc2cc(NS(=O)(=O)c3c[nH]c(C(=O)[O-])c3)ccc2o1 ZINC000314226206 600977978 /nfs/dbraw/zinc/97/79/78/600977978.db2.gz CFSDYCNFBCDPAN-UHFFFAOYSA-N -1 1 321.314 1.963 20 0 DDADMM COCc1cccc(S(=O)(=O)Nc2cc(C(=O)[O-])ccn2)c1 ZINC000831821932 600982936 /nfs/dbraw/zinc/98/29/36/600982936.db2.gz HPOYZEWZXLDZFL-UHFFFAOYSA-N -1 1 322.342 1.727 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CNC1(c2ccccc2)CC1)C(=O)[O-] ZINC000828719005 600997617 /nfs/dbraw/zinc/99/76/17/600997617.db2.gz OXYIAIPOWNIGNE-WFASDCNBSA-N -1 1 304.390 1.881 20 0 DDADMM C[C@@](NCC(=O)NCc1ccc(Cl)cc1)(C(=O)[O-])C1CC1 ZINC000827727646 601038285 /nfs/dbraw/zinc/03/82/85/601038285.db2.gz KSZKFAHQIBNAIH-HNNXBMFYSA-N -1 1 310.781 1.799 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2c[nH]c3ncccc23)CC1 ZINC000827373935 601101332 /nfs/dbraw/zinc/10/13/32/601101332.db2.gz BWUARMMICMTWJV-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM Cc1nc([C@H]2CN(Cc3ccc(F)cc3C(=O)[O-])CCO2)n[nH]1 ZINC000738824414 601106526 /nfs/dbraw/zinc/10/65/26/601106526.db2.gz VYJXVGGMWDESBW-CYBMUJFWSA-N -1 1 320.324 1.524 20 0 DDADMM C[C@@H](Sc1c[nH]nn1)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000827921640 601349148 /nfs/dbraw/zinc/34/91/48/601349148.db2.gz INIHEPIWDLLRIO-MRVPVSSYSA-N -1 1 306.347 1.300 20 0 DDADMM CCC1CCC(NCC(=O)NCc2ccccn2)(C(=O)[O-])CC1 ZINC000829101370 601496857 /nfs/dbraw/zinc/49/68/57/601496857.db2.gz PGLZMTVDONHWNF-UHFFFAOYSA-N -1 1 319.405 1.711 20 0 DDADMM C[C@H]1CN(Cc2nc(Cc3ccccc3)no2)C[C@@H]1C(=O)[O-] ZINC000828463058 601509736 /nfs/dbraw/zinc/50/97/36/601509736.db2.gz OGUIHDZFORXAFY-AAEUAGOBSA-N -1 1 301.346 1.813 20 0 DDADMM O=C([O-])c1cccc(NC(=O)CN2CCC[C@H]3COCC[C@@H]32)c1 ZINC000833106715 601662728 /nfs/dbraw/zinc/66/27/28/601662728.db2.gz UMOAHUZDNUADLH-ZFWWWQNUSA-N -1 1 318.373 1.824 20 0 DDADMM COc1ccc([C@@H](NC(=O)Cc2cc(C)n[nH]2)C(=O)[O-])cc1 ZINC000832245077 601678510 /nfs/dbraw/zinc/67/85/10/601678510.db2.gz IXNXUSAEIUWEIV-CQSZACIVSA-N -1 1 303.318 1.211 20 0 DDADMM C[C@H](C(=O)N1CCO[C@@H](CC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000825989830 601740149 /nfs/dbraw/zinc/74/01/49/601740149.db2.gz GXVXFHYOGQPJKC-HIFRSBDPSA-N -1 1 320.389 1.209 20 0 DDADMM COC(=O)[C@H]1CN(Cn2ncc3cc(C(=O)[O-])ccc32)C[C@H]1C ZINC000831119930 601814778 /nfs/dbraw/zinc/81/47/78/601814778.db2.gz WEFQAVOCXUUAEL-MFKMUULPSA-N -1 1 317.345 1.433 20 0 DDADMM COc1cccc(CN(C)C(=O)CN[C@H](C(=O)[O-])C(C)C)c1 ZINC000832548797 601824208 /nfs/dbraw/zinc/82/42/08/601824208.db2.gz AEKGWOIHRHPNJP-HNNXBMFYSA-N -1 1 308.378 1.352 20 0 DDADMM Cc1nnc(SCC(=O)Nc2ccc(C(=O)[O-])c(F)c2)[nH]1 ZINC000832991732 601876958 /nfs/dbraw/zinc/87/69/58/601876958.db2.gz ZRNNKAJOVZLXBM-UHFFFAOYSA-N -1 1 310.310 1.681 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2ccc(C(=O)[O-])c(F)c2)n1 ZINC000832991732 601876961 /nfs/dbraw/zinc/87/69/61/601876961.db2.gz ZRNNKAJOVZLXBM-UHFFFAOYSA-N -1 1 310.310 1.681 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)[C@@H](C)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000833014381 601922159 /nfs/dbraw/zinc/92/21/59/601922159.db2.gz UUXQXARRFWGCIN-IAQYHMDHSA-N -1 1 308.382 1.161 20 0 DDADMM C[C@@H](C(=O)N1C[C@H](C)C[C@H](C)C1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000739078664 601960176 /nfs/dbraw/zinc/96/01/76/601960176.db2.gz XJLQZYFSMDPWAJ-CRWXNKLISA-N -1 1 311.426 1.469 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCCN(C[C@H]2COc3ccccc3O2)C1 ZINC000739582358 602047936 /nfs/dbraw/zinc/04/79/36/602047936.db2.gz CCHDIIPSANSJFT-STQMWFEESA-N -1 1 306.362 1.901 20 0 DDADMM CSc1n[nH]c(NC(=O)c2ccc(CNC(=O)[O-])cc2)n1 ZINC000740025469 602064184 /nfs/dbraw/zinc/06/41/84/602064184.db2.gz UGDVKIPLZRYOCB-UHFFFAOYSA-N -1 1 307.335 1.547 20 0 DDADMM Cc1ccc2nc([C@H]3CCCN(C(=O)CNC(=O)[O-])C3)[nH]c2c1 ZINC000738667856 602065222 /nfs/dbraw/zinc/06/52/22/602065222.db2.gz VIKINDCIIQEVNA-NSHDSACASA-N -1 1 316.361 1.845 20 0 DDADMM O=C([O-])NCCC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000739937037 602126194 /nfs/dbraw/zinc/12/61/94/602126194.db2.gz DIDUAQONBQCFSL-LBPRGKRZSA-N -1 1 309.366 1.581 20 0 DDADMM C[C@@H](c1ccc(C(=O)[O-])o1)N1CCN(CCC(=O)N(C)C)CC1 ZINC000827928493 602238601 /nfs/dbraw/zinc/23/86/01/602238601.db2.gz HOKFTKCHZJWURT-LBPRGKRZSA-N -1 1 323.393 1.135 20 0 DDADMM O=C([O-])NC[C@H](Nc1ncnc2[nH]cnc21)C1CCCCC1 ZINC000740615120 602456782 /nfs/dbraw/zinc/45/67/82/602456782.db2.gz VMRHLWOECSUSDZ-JTQLQIEISA-N -1 1 304.354 1.981 20 0 DDADMM CC1(C)CN(C(=O)CCNC(=O)[O-])CCN1Cc1ccccc1 ZINC000736735692 602523385 /nfs/dbraw/zinc/52/33/85/602523385.db2.gz NRDXWXJJRMGFRU-UHFFFAOYSA-N -1 1 319.405 1.767 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN(CC[S@](=O)c2ccccc2)C1 ZINC000740633084 602527602 /nfs/dbraw/zinc/52/76/02/602527602.db2.gz MZOQDFKCSSHBQR-ZSEKCTLFSA-N -1 1 310.419 1.774 20 0 DDADMM Cc1nn(C)c2ncc(CN3CCC(N(C)C(=O)[O-])CC3)cc12 ZINC000738376803 602535515 /nfs/dbraw/zinc/53/55/15/602535515.db2.gz HOZUJWFSSDASCJ-UHFFFAOYSA-N -1 1 317.393 1.851 20 0 DDADMM Cc1cccc(CNC(=O)CN2CCC[C@@H](CNC(=O)[O-])C2)c1 ZINC000740169427 602550869 /nfs/dbraw/zinc/55/08/69/602550869.db2.gz IIBYEOPBBQNEJD-HNNXBMFYSA-N -1 1 319.405 1.591 20 0 DDADMM C[C@@H]1CN(C[C@H](O)c2ccc(F)cc2F)CC[C@@H]1NC(=O)[O-] ZINC000739174869 602774588 /nfs/dbraw/zinc/77/45/88/602774588.db2.gz AGTJCBNSKDMALP-IIMNLJJBSA-N -1 1 314.332 1.976 20 0 DDADMM CC(C)[C@@H](CNC(=O)Cc1[nH]nc2ccccc21)NC(=O)[O-] ZINC000738778945 602775636 /nfs/dbraw/zinc/77/56/36/602775636.db2.gz UZUGMGBNOCWROI-CYBMUJFWSA-N -1 1 304.350 1.514 20 0 DDADMM C[C@H]([C@H](C)S(C)(=O)=O)N(C)CC1CCN(C(=O)[O-])CC1 ZINC000738650729 602862687 /nfs/dbraw/zinc/86/26/87/602862687.db2.gz JAISXJDMSSRAQO-MNOVXSKESA-N -1 1 306.428 1.130 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cccc(Cl)n1 ZINC000826467208 607604710 /nfs/dbraw/zinc/60/47/10/607604710.db2.gz LRUYDHDBUZUEJM-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cccc(Cl)n1 ZINC000826467208 607604711 /nfs/dbraw/zinc/60/47/11/607604711.db2.gz LRUYDHDBUZUEJM-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM CCCc1nnc([C@H]2CN(C[C@H]3CCN(C(=O)[O-])C3)CCO2)[nH]1 ZINC000739380291 603072961 /nfs/dbraw/zinc/07/29/61/603072961.db2.gz UABTVBHVTKGYKI-VXGBXAGGSA-N -1 1 323.397 1.130 20 0 DDADMM CCCc1n[nH]c([C@H]2CN(C[C@H]3CCN(C(=O)[O-])C3)CCO2)n1 ZINC000739380291 603072966 /nfs/dbraw/zinc/07/29/66/603072966.db2.gz UABTVBHVTKGYKI-VXGBXAGGSA-N -1 1 323.397 1.130 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(NC(=O)[O-])cc2[O-])C[C@H]1[NH+](C)C ZINC000739167789 603075572 /nfs/dbraw/zinc/07/55/72/603075572.db2.gz OCLUOSPOKTUJSA-BXKDBHETSA-N -1 1 307.350 1.504 20 0 DDADMM CC[N@H+]1CCC[C@H](NC(=O)NC2CCC(NC(=O)[O-])CC2)C1 ZINC000739459037 603144843 /nfs/dbraw/zinc/14/48/43/603144843.db2.gz ZGGAGRJIALSFBN-BPCQOVAHSA-N -1 1 312.414 1.349 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)c1cc(-c2ccoc2)[nH]n1)C(=O)[O-] ZINC000828211825 603155301 /nfs/dbraw/zinc/15/53/01/603155301.db2.gz LCGFGYWSTDVSAN-LLVKDONJSA-N -1 1 318.333 1.884 20 0 DDADMM CC(=O)C(C)(C)CCC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000823962544 607613475 /nfs/dbraw/zinc/61/34/75/607613475.db2.gz LFIQWMPKHDSIRQ-UHFFFAOYSA-N -1 1 317.349 1.700 20 0 DDADMM CC(=O)C(C)(C)CCC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000823962544 607613477 /nfs/dbraw/zinc/61/34/77/607613477.db2.gz LFIQWMPKHDSIRQ-UHFFFAOYSA-N -1 1 317.349 1.700 20 0 DDADMM O=C([O-])NCC(=O)Nc1nc2ccc(Br)cc2[nH]1 ZINC000832396701 603199061 /nfs/dbraw/zinc/19/90/61/603199061.db2.gz CRCSRGDYCJBMAC-UHFFFAOYSA-N -1 1 313.111 1.532 20 0 DDADMM C[C@H](C(=O)Nc1ccc(F)c(F)c1)N(C)CCCNC(=O)[O-] ZINC000738628362 603257482 /nfs/dbraw/zinc/25/74/82/603257482.db2.gz CSQFBTKMBLJPPY-SECBINFHSA-N -1 1 315.320 1.881 20 0 DDADMM Cc1ccc(C(=O)NCCN2CCN(C)CC2)cc1NC(=O)[O-] ZINC000830217456 603264758 /nfs/dbraw/zinc/26/47/58/603264758.db2.gz XKQSMAQBXAQITK-UHFFFAOYSA-N -1 1 320.393 1.062 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@H](C(=O)N2CCN(C3CCC3)CC2)C1 ZINC000740568679 603267407 /nfs/dbraw/zinc/26/74/07/603267407.db2.gz ZCXWGTUHCFZBGV-STQMWFEESA-N -1 1 309.410 1.510 20 0 DDADMM CN(CC(=O)NCc1cccs1)C[C@H]1CCN(C(=O)[O-])C1 ZINC000739625774 603345510 /nfs/dbraw/zinc/34/55/10/603345510.db2.gz UGKCOLORTQEHMU-LLVKDONJSA-N -1 1 311.407 1.296 20 0 DDADMM Cc1ccc(N2CC[C@H](N(C)CCCNC(=O)[O-])C2=O)cc1 ZINC000740129722 603349302 /nfs/dbraw/zinc/34/93/02/603349302.db2.gz GMTRFPAWTFNNRQ-AWEZNQCLSA-N -1 1 305.378 1.690 20 0 DDADMM CCN(CC(=O)NC[C@@H](C)N1CCc2ccccc2C1)C(=O)[O-] ZINC000739391965 603380062 /nfs/dbraw/zinc/38/00/62/603380062.db2.gz GVTCQWCOIUXOMH-CYBMUJFWSA-N -1 1 319.405 1.549 20 0 DDADMM O=C([O-])N1CCC[C@@H]1[C@@H]1CCCN(C(=O)Cc2ccn[nH]2)C1 ZINC000831562698 603543993 /nfs/dbraw/zinc/54/39/93/603543993.db2.gz SFHSGRUKKJHBCP-DGCLKSJQSA-N -1 1 306.366 1.333 20 0 DDADMM COc1cccc(NC(=O)CCN2CCN(C(=O)[O-])[C@@H](C)C2)c1 ZINC000829570314 603568875 /nfs/dbraw/zinc/56/88/75/603568875.db2.gz JKEIEXZEWNWZPY-LBPRGKRZSA-N -1 1 321.377 1.708 20 0 DDADMM Cc1ccc2nc(CNC(=O)CCCN(C)C(=O)[O-])[nH]c2c1 ZINC000830432929 603764239 /nfs/dbraw/zinc/76/42/39/603764239.db2.gz NLSBMFGJRJQLSH-UHFFFAOYSA-N -1 1 304.350 1.878 20 0 DDADMM Cc1nn(C)c2ncc(CN3CC[C@@H](N(C)C(=O)[O-])C3)cc12 ZINC000830862050 603804995 /nfs/dbraw/zinc/80/49/95/603804995.db2.gz SPGVKOUHJKNQBW-GFCCVEGCSA-N -1 1 303.366 1.461 20 0 DDADMM CC(C)[C@H](CCN(C)[C@@H](C)C(=O)N(C)C(C)C)NC(=O)[O-] ZINC000824100587 603854652 /nfs/dbraw/zinc/85/46/52/603854652.db2.gz WODXJQKSVHDRGB-STQMWFEESA-N -1 1 301.431 1.856 20 0 DDADMM C[C@H](C(=O)N1CCCCCC1)N1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000823669452 603985531 /nfs/dbraw/zinc/98/55/31/603985531.db2.gz NGWJUDBTFVAFIP-KFWWJZLASA-N -1 1 323.437 1.994 20 0 DDADMM O=C([O-])N[C@@H](C(=O)NCc1c[nH]nn1)c1cccc(Cl)c1 ZINC000832092857 604131976 /nfs/dbraw/zinc/13/19/76/604131976.db2.gz LMRIJLYSFAVACP-SNVBAGLBSA-N -1 1 309.713 1.083 20 0 DDADMM CCN(Cc1cccc(NC(=O)NCc2c[nH]nn2)c1)C(=O)[O-] ZINC000827172235 604136691 /nfs/dbraw/zinc/13/66/91/604136691.db2.gz VPUZCEMLEKYTQB-UHFFFAOYSA-N -1 1 318.337 1.626 20 0 DDADMM CN(Cc1ccccc1NC(=O)CCc1nc[nH]n1)C(=O)[O-] ZINC000828393911 604152284 /nfs/dbraw/zinc/15/22/84/604152284.db2.gz PYYSOLVEYJPBBJ-UHFFFAOYSA-N -1 1 303.322 1.486 20 0 DDADMM O=C([O-])N1CCC[C@H]1[C@H]1CCCN(C(=O)CCc2nc[nH]n2)C1 ZINC000831441108 604153114 /nfs/dbraw/zinc/15/31/14/604153114.db2.gz DNZNDDVXAYALLL-RYUDHWBXSA-N -1 1 321.381 1.118 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@H]1NC(=O)N1CCN(C2CCC2)CC1 ZINC000832469937 604185032 /nfs/dbraw/zinc/18/50/32/604185032.db2.gz ZGJKTPWYZDLGAY-TZMCWYRMSA-N -1 1 324.425 1.302 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)N[C@@H]2CCCc3cn[nH]c32)o1 ZINC000833144956 604302806 /nfs/dbraw/zinc/30/28/06/604302806.db2.gz WOCJUSKEBSJUII-SNVBAGLBSA-N -1 1 304.306 1.578 20 0 DDADMM C[C@@](CNC(=O)[O-])(NCc1cc(=O)n2ccsc2n1)C1CC1 ZINC000824953744 604342338 /nfs/dbraw/zinc/34/23/38/604342338.db2.gz WRYNWOXOLZYIDU-AWEZNQCLSA-N -1 1 322.390 1.282 20 0 DDADMM O=C([O-])N[C@@H]1CCN(C(=O)c2cc(-c3cccs3)[nH]n2)C1 ZINC000740586657 604470570 /nfs/dbraw/zinc/47/05/70/604470570.db2.gz NYZPBOGAZCQCIN-MRVPVSSYSA-N -1 1 306.347 1.620 20 0 DDADMM C[C@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1C(=O)Nc1ccc2[nH]nnc2c1 ZINC000826116189 604526095 /nfs/dbraw/zinc/52/60/95/604526095.db2.gz PTRQXWRJYFIFDK-HQJQHLMTSA-N -1 1 319.321 1.400 20 0 DDADMM C[C@@H]1CN(C)[C@@H](C)CN1CC(=O)Nc1ccsc1C(=O)[O-] ZINC000833627426 604571837 /nfs/dbraw/zinc/57/18/37/604571837.db2.gz RYKLVUPBLLWSJN-VHSXEESVSA-N -1 1 311.407 1.409 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)C[C@@H]3CCCN3C(=O)[O-])n2)o1 ZINC000830158840 604585400 /nfs/dbraw/zinc/58/54/00/604585400.db2.gz BNZXPEDJLFFGJZ-VIFPVBQESA-N -1 1 319.321 1.844 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CN(CCCCCn2cccn2)CCO1 ZINC000825350890 604620435 /nfs/dbraw/zinc/62/04/35/604620435.db2.gz LUAHKXZCIGJHGQ-KBPBESRZSA-N -1 1 310.398 1.410 20 0 DDADMM Cc1cnn(CCCCCN2CCO[C@H]([C@@H](C)NC(=O)[O-])C2)c1 ZINC000830646423 604629310 /nfs/dbraw/zinc/62/93/10/604629310.db2.gz VXPQNYWEHWWRSK-CABCVRRESA-N -1 1 324.425 1.719 20 0 DDADMM CCC[C@@](C)(NC(=O)[O-])C(=O)N1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000826711864 604643144 /nfs/dbraw/zinc/64/31/44/604643144.db2.gz GSMIRYWIFCCBEZ-IAQYHMDHSA-N -1 1 323.397 1.646 20 0 DDADMM CC(C)CN(CCC(=O)[O-])C(=O)CN(C)[C@@H]1CCSC1 ZINC000833487718 604662648 /nfs/dbraw/zinc/66/26/48/604662648.db2.gz GRIJYTDJKWKRQV-GFCCVEGCSA-N -1 1 302.440 1.383 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCN(C)[C@H](C)C1 ZINC000833621622 604934746 /nfs/dbraw/zinc/93/47/46/604934746.db2.gz GDANVOAZTJEROH-OLZOCXBDSA-N -1 1 319.405 1.025 20 0 DDADMM CC(C)(C(=O)[O-])[C@H]1CCC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC000833420495 604953040 /nfs/dbraw/zinc/95/30/40/604953040.db2.gz SSHBRSJWLHOTLT-NSHDSACASA-N -1 1 318.377 1.345 20 0 DDADMM COc1ccccc1N1CC[C@H](N2CCC[C@@H]2CC(=O)[O-])C1=O ZINC000833736725 604965677 /nfs/dbraw/zinc/96/56/77/604965677.db2.gz VPCWDNULGPJQCP-OCCSQVGLSA-N -1 1 318.373 1.740 20 0 DDADMM CC(C)C[C@@H](C)N(C)C(=O)CN1CCC([C@@H](O)C(=O)[O-])CC1 ZINC000833474369 604980386 /nfs/dbraw/zinc/98/03/86/604980386.db2.gz PRRHPQFQIURFDR-IUODEOHRSA-N -1 1 314.426 1.037 20 0 DDADMM Cc1cc(CNC(=O)Nc2nc(CCC(=O)[O-])cs2)n[nH]1 ZINC000832883236 604987713 /nfs/dbraw/zinc/98/77/13/604987713.db2.gz VEUZDPCTMLVMLX-UHFFFAOYSA-N -1 1 309.351 1.514 20 0 DDADMM C[C@H](O)C[C@@H]1CCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000824698831 607738204 /nfs/dbraw/zinc/73/82/04/607738204.db2.gz OQPBWMHRUWYZAX-BQBZGAKWSA-N -1 1 314.802 1.716 20 0 DDADMM C[C@H](O)C[C@@H]1CCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000824698831 607738205 /nfs/dbraw/zinc/73/82/05/607738205.db2.gz OQPBWMHRUWYZAX-BQBZGAKWSA-N -1 1 314.802 1.716 20 0 DDADMM C[C@@H]1[C@H](c2ccccc2)CCN1CN1C[C@H](C(=O)[O-])CC1=O ZINC000833586355 605176295 /nfs/dbraw/zinc/17/62/95/605176295.db2.gz FLIYFURGKVXYEY-BPLDGKMQSA-N -1 1 302.374 1.755 20 0 DDADMM COC[C@](C)(CC(=O)[O-])[NH2+]Cc1cc(=O)oc2cc([O-])ccc12 ZINC000833721384 605240990 /nfs/dbraw/zinc/24/09/90/605240990.db2.gz BBBQKLJWHNLNHJ-INIZCTEOSA-N -1 1 321.329 1.468 20 0 DDADMM COCCN1CC[C@@H](NC(=O)c2ccc(NC(=O)[O-])c(C)c2)C1 ZINC000828992900 605308170 /nfs/dbraw/zinc/30/81/70/605308170.db2.gz SRAIOOOLCBGDDM-CYBMUJFWSA-N -1 1 321.377 1.535 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cccnc1C1CC1 ZINC000833623122 605367462 /nfs/dbraw/zinc/36/74/62/605367462.db2.gz DOHUZILOYPJRGI-LBPRGKRZSA-N -1 1 317.389 1.580 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]2CN(CC(=O)NCc3ccco3)C[C@H]21 ZINC000834191069 605440792 /nfs/dbraw/zinc/44/07/92/605440792.db2.gz YSGAYQZQIMAUNQ-IACUBPJLSA-N -1 1 321.377 1.264 20 0 DDADMM O=C([O-])Nc1ccc(CC(=O)N[C@H]2CCc3nc[nH]c3C2)cc1 ZINC000832841241 605443562 /nfs/dbraw/zinc/44/35/62/605443562.db2.gz TZXCZRDXCMTMLF-LBPRGKRZSA-N -1 1 314.345 1.716 20 0 DDADMM C[C@@](O)(CN1CCC(C(F)(F)F)(C(F)(F)F)C1)C(=O)[O-] ZINC000833524037 605496547 /nfs/dbraw/zinc/49/65/47/605496547.db2.gz CJGIUKPNFKQYQM-SSDOTTSWSA-N -1 1 309.206 1.639 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1Cc1ccc(N2CCCC2)nc1 ZINC000834218115 605616142 /nfs/dbraw/zinc/61/61/42/605616142.db2.gz AKGYSDRPNOXENF-CQSZACIVSA-N -1 1 304.394 1.914 20 0 DDADMM O=C([O-])N1CC[C@@H](N(C(=O)[C@@H]2CCCc3[nH]ncc32)C2CC2)C1 ZINC000831920984 605797858 /nfs/dbraw/zinc/79/78/58/605797858.db2.gz ABNYRBSFKHVJST-VXGBXAGGSA-N -1 1 318.377 1.573 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)C2(NC(=O)[O-])CCCCC2)n[nH]1 ZINC000830028580 605805709 /nfs/dbraw/zinc/80/57/09/605805709.db2.gz AHBBJMHIYFQNHT-JTQLQIEISA-N -1 1 308.382 1.736 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)[C@@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830035409 605806743 /nfs/dbraw/zinc/80/67/43/605806743.db2.gz AQFBFRFZMLPODH-HZMBPMFUSA-N -1 1 316.361 1.774 20 0 DDADMM O=C([O-])N(CC(=O)NCCc1nc2ccc(F)cc2[nH]1)C1CC1 ZINC000833999002 605985117 /nfs/dbraw/zinc/98/51/17/605985117.db2.gz VSXLWSAWKGBLQJ-UHFFFAOYSA-N -1 1 320.324 1.503 20 0 DDADMM O=C([O-])NC1(C(=O)N2CCC[C@H](c3n[nH]c(C4CC4)n3)C2)CC1 ZINC000834152783 606094482 /nfs/dbraw/zinc/09/44/82/606094482.db2.gz XEDCGKJSSZMCNW-JTQLQIEISA-N -1 1 319.365 1.188 20 0 DDADMM CCc1noc([C@H](C)OC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000821553782 606139569 /nfs/dbraw/zinc/13/95/69/606139569.db2.gz JZCJHMHTFDEZAB-LURJTMIESA-N -1 1 320.334 1.792 20 0 DDADMM CCc1noc([C@H](C)OC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000821553782 606139570 /nfs/dbraw/zinc/13/95/70/606139570.db2.gz JZCJHMHTFDEZAB-LURJTMIESA-N -1 1 320.334 1.792 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCCCC(C)(C)C)n1 ZINC000822614534 606156120 /nfs/dbraw/zinc/15/61/20/606156120.db2.gz NJOIAOYXZYRACI-UHFFFAOYSA-N -1 1 320.401 1.938 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCCCC(C)(C)C)n1 ZINC000822614534 606156122 /nfs/dbraw/zinc/15/61/22/606156122.db2.gz NJOIAOYXZYRACI-UHFFFAOYSA-N -1 1 320.401 1.938 20 0 DDADMM CC(C)CN(C(=O)Nc1nn(C)cc1-c1nnn[n-]1)C1CC1 ZINC000820081835 606159294 /nfs/dbraw/zinc/15/92/94/606159294.db2.gz XTHFAXPKOUIGTO-UHFFFAOYSA-N -1 1 304.358 1.253 20 0 DDADMM CC(C)CN(C(=O)Nc1nn(C)cc1-c1nn[n-]n1)C1CC1 ZINC000820081835 606159296 /nfs/dbraw/zinc/15/92/96/606159296.db2.gz XTHFAXPKOUIGTO-UHFFFAOYSA-N -1 1 304.358 1.253 20 0 DDADMM C[C@@H]1CCCC[C@@H]1CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820699193 606162102 /nfs/dbraw/zinc/16/21/02/606162102.db2.gz YFZXPVMOZHOLAZ-NXEZZACHSA-N -1 1 318.385 1.548 20 0 DDADMM C[C@@H]1CCCC[C@@H]1CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820699193 606162104 /nfs/dbraw/zinc/16/21/04/606162104.db2.gz YFZXPVMOZHOLAZ-NXEZZACHSA-N -1 1 318.385 1.548 20 0 DDADMM O=C(OC1([C@H]2CCOC2)CC1)c1ccc(-c2nnn[n-]2)s1 ZINC000823391869 606179214 /nfs/dbraw/zinc/17/92/14/606179214.db2.gz HRSZZELRIHJLCG-QMMMGPOBSA-N -1 1 306.347 1.654 20 0 DDADMM O=C(OC1([C@H]2CCOC2)CC1)c1ccc(-c2nn[n-]n2)s1 ZINC000823391869 606179216 /nfs/dbraw/zinc/17/92/16/606179216.db2.gz HRSZZELRIHJLCG-QMMMGPOBSA-N -1 1 306.347 1.654 20 0 DDADMM COc1cc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)ccn1 ZINC000821717526 606501723 /nfs/dbraw/zinc/50/17/23/606501723.db2.gz PWHPQZPOPZWWNQ-UHFFFAOYSA-N -1 1 316.346 1.262 20 0 DDADMM COc1cc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)ccn1 ZINC000821717526 606501724 /nfs/dbraw/zinc/50/17/24/606501724.db2.gz PWHPQZPOPZWWNQ-UHFFFAOYSA-N -1 1 316.346 1.262 20 0 DDADMM COCCN(C(=O)c1ccc(-c2nnn[n-]2)s1)C1CCCC1 ZINC000821689252 606505768 /nfs/dbraw/zinc/50/57/68/606505768.db2.gz SDJHVELQAIPANL-UHFFFAOYSA-N -1 1 321.406 1.959 20 0 DDADMM COCCN(C(=O)c1ccc(-c2nn[n-]n2)s1)C1CCCC1 ZINC000821689252 606505771 /nfs/dbraw/zinc/50/57/71/606505771.db2.gz SDJHVELQAIPANL-UHFFFAOYSA-N -1 1 321.406 1.959 20 0 DDADMM CC(C)COCCC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000820103188 606517809 /nfs/dbraw/zinc/51/78/09/606517809.db2.gz OYDALXOVBVDZST-UHFFFAOYSA-N -1 1 305.338 1.368 20 0 DDADMM CC(C)COCCC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000820103188 606517810 /nfs/dbraw/zinc/51/78/10/606517810.db2.gz OYDALXOVBVDZST-UHFFFAOYSA-N -1 1 305.338 1.368 20 0 DDADMM C[C@@H]1c2cccn2CCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820825842 606522641 /nfs/dbraw/zinc/52/26/41/606522641.db2.gz FKBRJMLCETVSDA-SECBINFHSA-N -1 1 314.374 1.947 20 0 DDADMM C[C@@H]1c2cccn2CCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820825842 606522642 /nfs/dbraw/zinc/52/26/42/606522642.db2.gz FKBRJMLCETVSDA-SECBINFHSA-N -1 1 314.374 1.947 20 0 DDADMM C[C@@H](N(C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1)C1(C)CC1 ZINC000820306640 606579058 /nfs/dbraw/zinc/57/90/58/606579058.db2.gz AWYUSOOCSQROLV-MRVPVSSYSA-N -1 1 304.358 1.253 20 0 DDADMM C[C@@H](N(C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1)C1(C)CC1 ZINC000820306640 606579059 /nfs/dbraw/zinc/57/90/59/606579059.db2.gz AWYUSOOCSQROLV-MRVPVSSYSA-N -1 1 304.358 1.253 20 0 DDADMM C[C@]1(CNC(=O)c2ccc(-c3nnn[n-]3)s2)CCCC[C@H]1O ZINC000820618737 606582149 /nfs/dbraw/zinc/58/21/49/606582149.db2.gz NJWVQGWIWVYXSR-BXUZGUMPSA-N -1 1 321.406 1.599 20 0 DDADMM C[C@]1(CNC(=O)c2ccc(-c3nn[n-]n3)s2)CCCC[C@H]1O ZINC000820618737 606582151 /nfs/dbraw/zinc/58/21/51/606582151.db2.gz NJWVQGWIWVYXSR-BXUZGUMPSA-N -1 1 321.406 1.599 20 0 DDADMM Cc1nc(CC(=O)OCc2ccnc(-c3nnn[n-]3)c2)cs1 ZINC000822436076 606651043 /nfs/dbraw/zinc/65/10/43/606651043.db2.gz HQEVGYQNMFTXPK-UHFFFAOYSA-N -1 1 316.346 1.313 20 0 DDADMM Cc1nc(CC(=O)OCc2ccnc(-c3nn[n-]n3)c2)cs1 ZINC000822436076 606651044 /nfs/dbraw/zinc/65/10/44/606651044.db2.gz HQEVGYQNMFTXPK-UHFFFAOYSA-N -1 1 316.346 1.313 20 0 DDADMM Cc1cc([N-]C(=O)c2c(F)cc(-c3nn[nH]n3)cc2F)no1 ZINC000822208386 606662950 /nfs/dbraw/zinc/66/29/50/606662950.db2.gz PHOBQQVMKVOLJB-UHFFFAOYSA-N -1 1 306.232 1.694 20 0 DDADMM CN(CCOCC1CC1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821603688 606733256 /nfs/dbraw/zinc/73/32/56/606733256.db2.gz KDZSBCCJDSIEJJ-UHFFFAOYSA-N -1 1 308.773 1.778 20 0 DDADMM CN(CCOCC1CC1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821603688 606733257 /nfs/dbraw/zinc/73/32/57/606733257.db2.gz KDZSBCCJDSIEJJ-UHFFFAOYSA-N -1 1 308.773 1.778 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)C1CCOCC1 ZINC000820392243 606804330 /nfs/dbraw/zinc/80/43/30/606804330.db2.gz TTXNMRUJIVQCFZ-MRVPVSSYSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)C1CCOCC1 ZINC000820392243 606804331 /nfs/dbraw/zinc/80/43/31/606804331.db2.gz TTXNMRUJIVQCFZ-MRVPVSSYSA-N -1 1 307.379 1.473 20 0 DDADMM CC[C@H]1CCC[C@H]1NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820981875 606848370 /nfs/dbraw/zinc/84/83/70/606848370.db2.gz XEGIVOWMMOFGHX-GXSJLCMTSA-N -1 1 322.394 1.119 20 0 DDADMM CC[C@H]1CCC[C@H]1NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820981875 606848372 /nfs/dbraw/zinc/84/83/72/606848372.db2.gz XEGIVOWMMOFGHX-GXSJLCMTSA-N -1 1 322.394 1.119 20 0 DDADMM C[C@]1(CNC(=O)c2ccc(-c3nnn[n-]3)s2)CCCS1 ZINC000820619182 606954227 /nfs/dbraw/zinc/95/42/27/606954227.db2.gz YUKZEUQXNIZGGY-GFCCVEGCSA-N -1 1 309.420 1.944 20 0 DDADMM C[C@]1(CNC(=O)c2ccc(-c3nn[n-]n3)s2)CCCS1 ZINC000820619182 606954229 /nfs/dbraw/zinc/95/42/29/606954229.db2.gz YUKZEUQXNIZGGY-GFCCVEGCSA-N -1 1 309.420 1.944 20 0 DDADMM Cc1cc(C(=O)n2ncc(-c3nn[n-]n3)c2N)ccc1Cl ZINC000822183883 607043035 /nfs/dbraw/zinc/04/30/35/607043035.db2.gz KULUCOCKXAYYTQ-UHFFFAOYSA-N -1 1 303.713 1.296 20 0 DDADMM Fc1cccc(OCc2nnc3n2CCCC3)c1-c1nnn[n-]1 ZINC000826378175 607865662 /nfs/dbraw/zinc/86/56/62/607865662.db2.gz YTVAVLKOAFAGCR-UHFFFAOYSA-N -1 1 315.312 1.513 20 0 DDADMM Fc1cccc(OCc2nnc3n2CCCC3)c1-c1nn[n-]n1 ZINC000826378175 607865663 /nfs/dbraw/zinc/86/56/63/607865663.db2.gz YTVAVLKOAFAGCR-UHFFFAOYSA-N -1 1 315.312 1.513 20 0 DDADMM C[C@H](Nc1cccc(-c2nnn[n-]2)n1)[C@H](O)c1ccc(F)cc1 ZINC000824662129 607898622 /nfs/dbraw/zinc/89/86/22/607898622.db2.gz CZZAWLWHFICTQR-XPTSAGLGSA-N -1 1 314.324 1.935 20 0 DDADMM C[C@H](Nc1cccc(-c2nn[n-]n2)n1)[C@H](O)c1ccc(F)cc1 ZINC000824662129 607898623 /nfs/dbraw/zinc/89/86/23/607898623.db2.gz CZZAWLWHFICTQR-XPTSAGLGSA-N -1 1 314.324 1.935 20 0 DDADMM OC[C@H](Nc1cccc(-c2nnn[n-]2)n1)c1cccc(F)c1 ZINC000826508686 607900103 /nfs/dbraw/zinc/90/01/03/607900103.db2.gz ZAZVUXAFALXICH-LBPRGKRZSA-N -1 1 300.297 1.546 20 0 DDADMM OC[C@H](Nc1cccc(-c2nn[n-]n2)n1)c1cccc(F)c1 ZINC000826508686 607900104 /nfs/dbraw/zinc/90/01/04/607900104.db2.gz ZAZVUXAFALXICH-LBPRGKRZSA-N -1 1 300.297 1.546 20 0 DDADMM Cc1csc([C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C2CC2)n1 ZINC000826320258 607991535 /nfs/dbraw/zinc/99/15/35/607991535.db2.gz KWOSWCKRRSGKRY-NSHDSACASA-N -1 1 314.378 1.985 20 0 DDADMM Cc1csc([C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C2CC2)n1 ZINC000826320258 607991536 /nfs/dbraw/zinc/99/15/36/607991536.db2.gz KWOSWCKRRSGKRY-NSHDSACASA-N -1 1 314.378 1.985 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)CCCC(F)(F)F)n1 ZINC000826356934 608160761 /nfs/dbraw/zinc/16/07/61/608160761.db2.gz LEGLTQDAQHTBDS-UHFFFAOYSA-N -1 1 303.248 1.271 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)CCCC(F)(F)F)n1 ZINC000826356934 608160763 /nfs/dbraw/zinc/16/07/63/608160763.db2.gz LEGLTQDAQHTBDS-UHFFFAOYSA-N -1 1 303.248 1.271 20 0 DDADMM CN(CCc1cnccn1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825950107 608166201 /nfs/dbraw/zinc/16/62/01/608166201.db2.gz IRSYLWONOQNXCW-UHFFFAOYSA-N -1 1 315.362 1.033 20 0 DDADMM CN(CCc1cnccn1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825950107 608166202 /nfs/dbraw/zinc/16/62/02/608166202.db2.gz IRSYLWONOQNXCW-UHFFFAOYSA-N -1 1 315.362 1.033 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2N1CCOC2(CCC2)C1 ZINC000826523102 608235629 /nfs/dbraw/zinc/23/56/29/608235629.db2.gz AREOXUMESOTNQT-UHFFFAOYSA-N -1 1 323.360 1.569 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2N1CCOC2(CCC2)C1 ZINC000826523102 608235631 /nfs/dbraw/zinc/23/56/31/608235631.db2.gz AREOXUMESOTNQT-UHFFFAOYSA-N -1 1 323.360 1.569 20 0 DDADMM COc1ccc(CN(C)c2ccc(-c3nnn[n-]3)nn2)cc1C ZINC000826192874 608411759 /nfs/dbraw/zinc/41/17/59/608411759.db2.gz GNQBXLPYPXGBBD-UHFFFAOYSA-N -1 1 311.349 1.610 20 0 DDADMM COc1ccc(CN(C)c2ccc(-c3nn[n-]n3)nn2)cc1C ZINC000826192874 608411760 /nfs/dbraw/zinc/41/17/60/608411760.db2.gz GNQBXLPYPXGBBD-UHFFFAOYSA-N -1 1 311.349 1.610 20 0 DDADMM c1cn(Cc2ccccn2)nc1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826522306 608412460 /nfs/dbraw/zinc/41/24/60/608412460.db2.gz VEQJPLDWVYRBEW-UHFFFAOYSA-N -1 1 320.320 1.040 20 0 DDADMM c1cn(Cc2ccccn2)nc1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826522306 608412461 /nfs/dbraw/zinc/41/24/61/608412461.db2.gz VEQJPLDWVYRBEW-UHFFFAOYSA-N -1 1 320.320 1.040 20 0 DDADMM c1ccc2c(c1)CCO[C@H]2CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826523480 608418718 /nfs/dbraw/zinc/41/87/18/608418718.db2.gz OEULTJZIEIUANJ-ZDUSSCGKSA-N -1 1 309.333 1.383 20 0 DDADMM c1ccc2c(c1)CCO[C@H]2CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826523480 608418719 /nfs/dbraw/zinc/41/87/19/608418719.db2.gz OEULTJZIEIUANJ-ZDUSSCGKSA-N -1 1 309.333 1.383 20 0 DDADMM C[C@H](Nc1ccc(-c2nnn[n-]2)nn1)[C@H](O)Cc1ccccc1 ZINC000824649869 608434854 /nfs/dbraw/zinc/43/48/54/608434854.db2.gz NWBWVJWTORGITP-GXFFZTMASA-N -1 1 311.349 1.061 20 0 DDADMM C[C@H](Nc1ccc(-c2nn[n-]n2)nn1)[C@H](O)Cc1ccccc1 ZINC000824649869 608434856 /nfs/dbraw/zinc/43/48/56/608434856.db2.gz NWBWVJWTORGITP-GXFFZTMASA-N -1 1 311.349 1.061 20 0 DDADMM CCc1ccnc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000825728775 608458730 /nfs/dbraw/zinc/45/87/30/608458730.db2.gz ZJUOUVGRFFAIHV-UHFFFAOYSA-N -1 1 310.317 1.576 20 0 DDADMM CCc1ccnc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000825728775 608458731 /nfs/dbraw/zinc/45/87/31/608458731.db2.gz ZJUOUVGRFFAIHV-UHFFFAOYSA-N -1 1 310.317 1.576 20 0 DDADMM O=c1[nH]c2ccccc2n1CCCn1ccnc1-c1nnn[n-]1 ZINC000826501141 608891565 /nfs/dbraw/zinc/89/15/65/608891565.db2.gz BIANFWODAAJGAR-UHFFFAOYSA-N -1 1 310.321 1.209 20 0 DDADMM O=c1[nH]c2ccccc2n1CCCn1ccnc1-c1nn[n-]n1 ZINC000826501141 608891568 /nfs/dbraw/zinc/89/15/68/608891568.db2.gz BIANFWODAAJGAR-UHFFFAOYSA-N -1 1 310.321 1.209 20 0 DDADMM c1cn(Cc2ccc(Oc3ccccc3)nn2)c(-c2nnn[n-]2)n1 ZINC000826522442 608893821 /nfs/dbraw/zinc/89/38/21/608893821.db2.gz ALTZMVFZEZWLQD-UHFFFAOYSA-N -1 1 320.316 1.694 20 0 DDADMM c1cn(Cc2ccc(Oc3ccccc3)nn2)c(-c2nn[n-]n2)n1 ZINC000826522442 608893824 /nfs/dbraw/zinc/89/38/24/608893824.db2.gz ALTZMVFZEZWLQD-UHFFFAOYSA-N -1 1 320.316 1.694 20 0 DDADMM O=C(Nc1cnccc1CO)c1ccc(-c2nnn[n-]2)s1 ZINC000826459372 609261471 /nfs/dbraw/zinc/26/14/71/609261471.db2.gz HHMIVTFUFPZVHX-UHFFFAOYSA-N -1 1 302.319 1.068 20 0 DDADMM O=C(Nc1cnccc1CO)c1ccc(-c2nn[n-]n2)s1 ZINC000826459372 609261473 /nfs/dbraw/zinc/26/14/73/609261473.db2.gz HHMIVTFUFPZVHX-UHFFFAOYSA-N -1 1 302.319 1.068 20 0 DDADMM OCC1(CNc2cc(-c3nnn[n-]3)nc3ccccc32)CCC1 ZINC000826508606 609606664 /nfs/dbraw/zinc/60/66/64/609606664.db2.gz VTKRMCIKJCJPMT-UHFFFAOYSA-N -1 1 310.361 1.989 20 0 DDADMM OCC1(CNc2cc(-c3nn[n-]n3)nc3ccccc32)CCC1 ZINC000826508606 609606666 /nfs/dbraw/zinc/60/66/66/609606666.db2.gz VTKRMCIKJCJPMT-UHFFFAOYSA-N -1 1 310.361 1.989 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@@H]2CCCc3cn[nH]c32)[C@H](C(=O)[O-])C1 ZINC000797725115 700021035 /nfs/dbraw/zinc/02/10/35/700021035.db2.gz QTXOCEJOIQODJL-JLLWLGSASA-N -1 1 306.366 1.682 20 0 DDADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)c1ccccc1 ZINC000976077638 695910338 /nfs/dbraw/zinc/91/03/38/695910338.db2.gz GODOBOYBTAZPQA-NHAGDIPZSA-N -1 1 323.352 1.288 20 0 DDADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)C1=CCCC1 ZINC000976353352 695964165 /nfs/dbraw/zinc/96/41/65/695964165.db2.gz VJPBTRNGWFGIQK-IMRBUKKESA-N -1 1 313.357 1.084 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]NC(=O)C(C)C)cc([N+](=O)[O-])c1 ZINC000015983609 696060020 /nfs/dbraw/zinc/06/00/20/696060020.db2.gz YPVIHVBGAFEICA-UHFFFAOYSA-N -1 1 323.305 1.189 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)Nc1ccc(O)c(C(=O)[O-])c1 ZINC000021797718 696075077 /nfs/dbraw/zinc/07/50/77/696075077.db2.gz PDSBMNVAKHWMBD-UHFFFAOYSA-N -1 1 311.319 1.231 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CSc2nnc(C)s2)cc1 ZINC000028864539 696107414 /nfs/dbraw/zinc/10/74/14/696107414.db2.gz ZCYKOUYXQOQGDS-UHFFFAOYSA-N -1 1 323.399 1.904 20 0 DDADMM CN(C(=O)c1c([O-])cccc1Cl)[C@H]1CCS(=O)(=O)C1 ZINC000049085294 696220337 /nfs/dbraw/zinc/22/03/37/696220337.db2.gz ZOTJJMHNTYYDMK-QMMMGPOBSA-N -1 1 303.767 1.305 20 0 DDADMM CN(C[C@H]1CCN1C(=O)CC1CC1)C(=O)c1ncccc1[O-] ZINC000977604107 696231409 /nfs/dbraw/zinc/23/14/09/696231409.db2.gz VDPCEGMDKZYYQH-GFCCVEGCSA-N -1 1 303.362 1.260 20 0 DDADMM Cc1nc(CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cs1 ZINC000747470837 700072004 /nfs/dbraw/zinc/07/20/04/700072004.db2.gz OMZZUBLKKGMTJQ-ZDUSSCGKSA-N -1 1 314.374 1.413 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977617093 696236363 /nfs/dbraw/zinc/23/63/63/696236363.db2.gz LAZYFJKUXRPXOF-WDEREUQCSA-N -1 1 309.341 1.208 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977617083 696236373 /nfs/dbraw/zinc/23/63/73/696236373.db2.gz LAZYFJKUXRPXOF-GHMZBOCLSA-N -1 1 309.341 1.208 20 0 DDADMM Cn1c(CCNC(=O)c2cnc(C3CC3)s2)n[n-]c1=S ZINC000067051815 696358386 /nfs/dbraw/zinc/35/83/86/696358386.db2.gz UCWDLGODJPCEEG-UHFFFAOYSA-N -1 1 309.420 1.784 20 0 DDADMM Cn1c(CCNC(=O)[C@@H]2C[C@H]2c2ccccc2)n[n-]c1=S ZINC000067051387 696358529 /nfs/dbraw/zinc/35/85/29/696358529.db2.gz CAGAEADQMHCYFI-NWDGAFQWSA-N -1 1 302.403 1.940 20 0 DDADMM CC(=O)c1c[nH]c(C(=O)NCCc2n[n-]c(=S)n2C2CC2)c1 ZINC000067078533 696358811 /nfs/dbraw/zinc/35/88/11/696358811.db2.gz ODZLJAVBETUDAG-UHFFFAOYSA-N -1 1 319.390 1.779 20 0 DDADMM C[C@@H]1CN(CC(=O)N[N-]C(=O)c2cccs2)C[C@H](C)S1 ZINC000072770895 696396480 /nfs/dbraw/zinc/39/64/80/696396480.db2.gz MINCVSVFBCQBLU-AOOOYVTPSA-N -1 1 313.448 1.335 20 0 DDADMM CC(C)(C)[C@@H](NC(=O)Cc1sc(N)nc1[O-])C(F)(F)F ZINC000073133760 696401297 /nfs/dbraw/zinc/40/12/97/696401297.db2.gz CLMFNQKNMZCEJF-YLWLKBPMSA-N -1 1 311.329 1.426 20 0 DDADMM C[C@H](OCc1ccccc1)C(=O)NCCc1n[n-]c(=S)n1C ZINC000078502166 696451860 /nfs/dbraw/zinc/45/18/60/696451860.db2.gz UYFIXCOEXLDSKS-NSHDSACASA-N -1 1 320.418 1.742 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H]2CCc3ccc(F)cc32)s1 ZINC000080087946 696530287 /nfs/dbraw/zinc/53/02/87/696530287.db2.gz OLWLGLAZSRYXQX-MNOVXSKESA-N -1 1 307.350 1.276 20 0 DDADMM CN(C(=O)Cc1sc(N)nc1[O-])[C@H]1CCc2ccccc2C1 ZINC000080291695 696532351 /nfs/dbraw/zinc/53/23/51/696532351.db2.gz VKQRIVPOMYKOPL-QWHCGFSZSA-N -1 1 317.414 1.349 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC2(c3cccc(F)c3)CC2)s1 ZINC000080453493 696534993 /nfs/dbraw/zinc/53/49/93/696534993.db2.gz FODWIACEUXUSFD-SNVBAGLBSA-N -1 1 307.350 1.278 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)c1cnn(C(C)C)c1C ZINC000092468376 696595048 /nfs/dbraw/zinc/59/50/48/696595048.db2.gz WPUHSTHXLPNENU-UHFFFAOYSA-N -1 1 308.411 1.765 20 0 DDADMM CC1CC(C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000979664544 696621700 /nfs/dbraw/zinc/62/17/00/696621700.db2.gz MEXWSSKXLBOOKI-FLWUZPLOSA-N -1 1 317.389 1.458 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)sc1C ZINC000798847744 700101676 /nfs/dbraw/zinc/10/16/76/700101676.db2.gz SXZLGWXZBAFOCK-GFCCVEGCSA-N -1 1 318.464 1.756 20 0 DDADMM Nc1nc([O-])c(CC(=O)NC[C@@H]2CCCc3ccccc32)s1 ZINC000120880222 696703385 /nfs/dbraw/zinc/70/33/85/696703385.db2.gz HSLMSDZVOAFGLD-AAEUAGOBSA-N -1 1 317.414 1.570 20 0 DDADMM CC(C)c1cc(C[N-]S(=O)(=O)c2cn(C)c(Cl)n2)on1 ZINC000124120069 696739374 /nfs/dbraw/zinc/73/93/74/696739374.db2.gz LLIMINKPRKSDSF-UHFFFAOYSA-N -1 1 318.786 1.663 20 0 DDADMM CCCCO[C@H](C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000139012499 696863225 /nfs/dbraw/zinc/86/32/25/696863225.db2.gz GSWKLQYUJXTBQK-GFCCVEGCSA-N -1 1 307.346 1.691 20 0 DDADMM O=C(C=C1CCC1)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982627181 697161442 /nfs/dbraw/zinc/16/14/42/697161442.db2.gz WHNLBAPRTPVOFJ-ZDUSSCGKSA-N -1 1 315.373 1.476 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)C2=CCCC2)C1)c1ncccc1[O-] ZINC000982634961 697162669 /nfs/dbraw/zinc/16/26/69/697162669.db2.gz AJLPVRAUTIEORJ-GFCCVEGCSA-N -1 1 315.373 1.476 20 0 DDADMM O=C(N[C@H]1CCC[C@H](NC(=O)C2CC2)C1)c1ncccc1[O-] ZINC000983407868 697257297 /nfs/dbraw/zinc/25/72/97/697257297.db2.gz QRMWYYCFFAHJAM-RYUDHWBXSA-N -1 1 303.362 1.354 20 0 DDADMM CC(C)(F)C(=O)N[C@@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000983429602 697262742 /nfs/dbraw/zinc/26/27/42/697262742.db2.gz NYZCASLDYHZKQN-WDEREUQCSA-N -1 1 323.368 1.693 20 0 DDADMM CC1(C(=O)N[C@H]2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000983586477 697282047 /nfs/dbraw/zinc/28/20/47/697282047.db2.gz VYQNDCBAKPWLFY-NEPJUHHUSA-N -1 1 317.389 1.745 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)C(F)F)C1 ZINC000983737874 697293335 /nfs/dbraw/zinc/29/33/35/697293335.db2.gz WKBVXRDFFREDLP-VIFPVBQESA-N -1 1 313.304 1.115 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCc2ccc(C)cc2)co1 ZINC000163545880 697333671 /nfs/dbraw/zinc/33/36/71/697333671.db2.gz ZPYXYPYSBCTRJB-UHFFFAOYSA-N -1 1 323.370 1.896 20 0 DDADMM CC[C@H]1CN(CC(=O)N2CCC(C(=O)[O-])CC2)CCS1 ZINC000166997583 697341986 /nfs/dbraw/zinc/34/19/86/697341986.db2.gz MBBUPLZDLHYGNV-LBPRGKRZSA-N -1 1 300.424 1.137 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)Cc1cccs1 ZINC000181999791 697468999 /nfs/dbraw/zinc/46/89/99/697468999.db2.gz YHGXSFGSFFJVPR-UHFFFAOYSA-N -1 1 318.358 1.116 20 0 DDADMM N#Cc1ccc(C(=O)N2CCC(C3OCCO3)CC2)cc1[O-] ZINC000188413106 697559072 /nfs/dbraw/zinc/55/90/72/697559072.db2.gz XIAOZOHNASVZMV-UHFFFAOYSA-N -1 1 302.330 1.489 20 0 DDADMM CC1(C[N-]S(=O)(=O)c2cc(F)ccc2F)OCCCO1 ZINC000192222926 697623140 /nfs/dbraw/zinc/62/31/40/697623140.db2.gz IVERRRAZKIOOEI-UHFFFAOYSA-N -1 1 307.318 1.396 20 0 DDADMM CC(Nc1ccccn1)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000192697309 697636692 /nfs/dbraw/zinc/63/66/92/697636692.db2.gz AUXOEPMWALLBBK-ZRDIBKRKSA-N -1 1 318.402 1.667 20 0 DDADMM CN(OCc1ccccc1)C(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000193745814 697679315 /nfs/dbraw/zinc/67/93/15/697679315.db2.gz WNRHIGBLFLIOKT-UHFFFAOYSA-N -1 1 303.274 1.899 20 0 DDADMM O=C(CCc1ccccc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773148496 697719269 /nfs/dbraw/zinc/71/92/69/697719269.db2.gz DZUHYMUNPYAFDJ-GFCCVEGCSA-N -1 1 303.391 1.449 20 0 DDADMM O=C(CCc1ccccc1O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773153721 697719877 /nfs/dbraw/zinc/71/98/77/697719877.db2.gz PJJPWXPJIJVUKL-LLVKDONJSA-N -1 1 319.390 1.155 20 0 DDADMM Cc1ccc(C(=O)C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1 ZINC000773159134 697721470 /nfs/dbraw/zinc/72/14/70/697721470.db2.gz RIUQSUVMJHNBNW-LLVKDONJSA-N -1 1 317.374 1.008 20 0 DDADMM CSc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1 ZINC000773165633 697723420 /nfs/dbraw/zinc/72/34/20/697723420.db2.gz ZSVOMVOENYQHHJ-LLVKDONJSA-N -1 1 321.431 1.852 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)[C@@H]1CCCN(CC(F)(F)F)C1 ZINC000195458338 697743915 /nfs/dbraw/zinc/74/39/15/697743915.db2.gz KWLHNECBTPTPEM-GHMZBOCLSA-N -1 1 310.316 1.835 20 0 DDADMM Cc1csc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)c1 ZINC000986251997 697753062 /nfs/dbraw/zinc/75/30/62/697753062.db2.gz OOMQRXMSZDPSNZ-ZJUUUORDSA-N -1 1 321.406 1.273 20 0 DDADMM C[C@@H]1CN(C(=O)COC(=O)c2ccc([O-])cc2F)C[C@@H](C)O1 ZINC000773569261 697782439 /nfs/dbraw/zinc/78/24/39/697782439.db2.gz LGYOQNLHTMIAPA-NXEZZACHSA-N -1 1 311.309 1.324 20 0 DDADMM CC(=O)c1cnc(NC(=O)c2ccc3n[n-]c(=S)n3c2)s1 ZINC000774418063 697877252 /nfs/dbraw/zinc/87/72/52/697877252.db2.gz DTKFAHKVGXVRJU-UHFFFAOYSA-N -1 1 319.371 1.929 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2C[C@H]2C(C)C)co1 ZINC000774860786 697935712 /nfs/dbraw/zinc/93/57/12/697935712.db2.gz NUKGORZFJAIJTB-KOLCDFICSA-N -1 1 301.364 1.637 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C1(F)CCCC1 ZINC000777359246 698182189 /nfs/dbraw/zinc/18/21/89/698182189.db2.gz FPFDKOCEVIWCSD-UHFFFAOYSA-N -1 1 308.313 1.094 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987676066 698194574 /nfs/dbraw/zinc/19/45/74/698194574.db2.gz CXMUCGIVRIRZHB-XQQFMLRXSA-N -1 1 319.405 1.799 20 0 DDADMM COC(=O)[C@@H](C)SCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000777504833 698196488 /nfs/dbraw/zinc/19/64/88/698196488.db2.gz ZDJQLSFCFTYQPS-SECBINFHSA-N -1 1 311.359 1.246 20 0 DDADMM O=S(=O)([N-]c1cccc(O)c1F)c1cnn(CC2CC2)c1 ZINC000777644760 698211492 /nfs/dbraw/zinc/21/14/92/698211492.db2.gz OHEKCTFTLILLDO-UHFFFAOYSA-N -1 1 311.338 1.939 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2ncc(C)o2)c(F)c1 ZINC000750168224 700207790 /nfs/dbraw/zinc/20/77/90/700207790.db2.gz NPRVHTQHWKAHMO-UHFFFAOYSA-N -1 1 318.301 1.748 20 0 DDADMM CC(C)CN1CCN(C(=O)c2c([O-])cccc2Cl)CC1=O ZINC000779276293 698409346 /nfs/dbraw/zinc/40/93/46/698409346.db2.gz PGCUBMRWDJLJKE-UHFFFAOYSA-N -1 1 310.781 1.986 20 0 DDADMM O=C([N-]OC1CCCCC1)[C@@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000779316469 698412641 /nfs/dbraw/zinc/41/26/41/698412641.db2.gz DPFMUTQFEKIYAE-CYBMUJFWSA-N -1 1 317.389 1.811 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NOC1CCCCC1 ZINC000779321157 698412924 /nfs/dbraw/zinc/41/29/24/698412924.db2.gz DVCHCHDJWVMZSG-UHFFFAOYSA-N -1 1 318.377 1.975 20 0 DDADMM Cc1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)oc1C ZINC000988630830 698423682 /nfs/dbraw/zinc/42/36/82/698423682.db2.gz GKJMGEMIIRTLKO-KOLCDFICSA-N -1 1 319.365 1.113 20 0 DDADMM COC(=O)C(C)(C)NC(=O)c1ccc(Br)c([O-])c1 ZINC000779498505 698427968 /nfs/dbraw/zinc/42/79/68/698427968.db2.gz JBGMVKWXLLGWKM-UHFFFAOYSA-N -1 1 316.151 1.836 20 0 DDADMM Cc1cnc(CN[C@@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)o1 ZINC000988682356 698435728 /nfs/dbraw/zinc/43/57/28/698435728.db2.gz BHDCDNOSUXXBNN-ZYHUDNBSSA-N -1 1 316.361 1.476 20 0 DDADMM C[C@H]1C[C@@H](NCc2csnn2)CN1C(=O)c1ncccc1[O-] ZINC000988686710 698436999 /nfs/dbraw/zinc/43/69/99/698436999.db2.gz VWPWTPBYFDOEMO-VHSXEESVSA-N -1 1 319.390 1.032 20 0 DDADMM CCCC[C@H](NC(=O)c1cn[nH]c1-c1cnn(CC)c1)C(=O)[O-] ZINC000263432441 698506588 /nfs/dbraw/zinc/50/65/88/698506588.db2.gz NUSOLHAOCDXCCY-LBPRGKRZSA-N -1 1 319.365 1.666 20 0 DDADMM C[C@@H](OC(=O)c1ccc(Br)c([O-])c1)C(=O)N(C)C ZINC000750553249 700231262 /nfs/dbraw/zinc/23/12/62/700231262.db2.gz ZKSCWEWNXVFSLA-SSDOTTSWSA-N -1 1 316.151 1.788 20 0 DDADMM CC[C@H](NC(=O)NC[C@H](c1ccc(F)cc1)N(C)C)C(=O)[O-] ZINC000780450981 698519498 /nfs/dbraw/zinc/51/94/98/698519498.db2.gz KKPHBMQTPSGZEI-QWHCGFSZSA-N -1 1 311.357 1.591 20 0 DDADMM CC[C@@H](NC(=O)NCc1n[nH]c(-c2ccccc2)n1)C(=O)[O-] ZINC000780506213 698525856 /nfs/dbraw/zinc/52/58/56/698525856.db2.gz GCMZAQGNBXNZJD-SNVBAGLBSA-N -1 1 303.322 1.134 20 0 DDADMM CC[C@@H](NC(=O)NCc1nc(-c2ccccc2)n[nH]1)C(=O)[O-] ZINC000780506213 698525857 /nfs/dbraw/zinc/52/58/57/698525857.db2.gz GCMZAQGNBXNZJD-SNVBAGLBSA-N -1 1 303.322 1.134 20 0 DDADMM CC[C@H](NC(=O)NC[C@H](c1ccc(C)o1)N1CCCC1)C(=O)[O-] ZINC000780513374 698527263 /nfs/dbraw/zinc/52/72/63/698527263.db2.gz OUGQYTVFYKKGJB-QWHCGFSZSA-N -1 1 323.393 1.887 20 0 DDADMM Cc1ccc2nc(CNC(=O)N[C@@](C)(C(=O)[O-])C3CC3)[nH]c2c1 ZINC000780618603 698538941 /nfs/dbraw/zinc/53/89/41/698538941.db2.gz CLCVIVQNWUDWOI-MRXNPFEDSA-N -1 1 316.361 1.924 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC(C)(C)[C@H](C)O ZINC000782034239 698676895 /nfs/dbraw/zinc/67/68/95/698676895.db2.gz RTUJECHCJNMABM-NSHDSACASA-N -1 1 320.393 1.260 20 0 DDADMM C[C@@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccccc1 ZINC000314538114 698689674 /nfs/dbraw/zinc/68/96/74/698689674.db2.gz WYJXIUKQNGZPDB-ZFWWWQNUSA-N -1 1 304.390 1.703 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OC[C@@H](C)OC ZINC000782322792 698708936 /nfs/dbraw/zinc/70/89/36/698708936.db2.gz NRMZKVGAMCYOOR-SNVBAGLBSA-N -1 1 301.364 1.640 20 0 DDADMM O=C([N-]CCCOC(=O)c1ccc2cc[nH]c2n1)C(F)(F)F ZINC000782365550 698715275 /nfs/dbraw/zinc/71/52/75/698715275.db2.gz WWMYZVUAWYZKMB-UHFFFAOYSA-N -1 1 315.251 1.788 20 0 DDADMM Cn1[n-]c(COC(=O)C2(Cc3ccc(F)cc3)CC2)nc1=O ZINC000782467881 698727555 /nfs/dbraw/zinc/72/75/55/698727555.db2.gz NHLUZKNQRDTKHO-UHFFFAOYSA-N -1 1 305.309 1.314 20 0 DDADMM C[C@H]1CN(C)C(=O)CN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000783756822 698874546 /nfs/dbraw/zinc/87/45/46/698874546.db2.gz KQKHAYIFVPTGCD-QMMMGPOBSA-N -1 1 316.279 1.714 20 0 DDADMM CC(F)(F)C(=O)[N-]C1CN(C(=O)c2cc(F)c(F)cc2F)C1 ZINC000990055199 698925727 /nfs/dbraw/zinc/92/57/27/698925727.db2.gz HDYMHZVAHJLACA-UHFFFAOYSA-N -1 1 322.233 1.700 20 0 DDADMM CCC1(C(=O)Nc2nc(Br)ccc2[O-])COC1 ZINC000783911929 698891145 /nfs/dbraw/zinc/89/11/45/698891145.db2.gz RSISRUNRNHILOC-UHFFFAOYSA-N -1 1 301.140 1.915 20 0 DDADMM CCc1nnc(C[N-]S(=O)(=O)c2cccnc2Cl)s1 ZINC000392061333 699100356 /nfs/dbraw/zinc/10/03/56/699100356.db2.gz MSUWPUHRDDWRJF-UHFFFAOYSA-N -1 1 318.811 1.627 20 0 DDADMM O=C(NC1CN(C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1)c1ncccc1[O-] ZINC000990969858 699195559 /nfs/dbraw/zinc/19/55/59/699195559.db2.gz QFJHWQZEMJFXOU-IMRBUKKESA-N -1 1 315.373 1.164 20 0 DDADMM CCC1(CC)CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000787523389 699216338 /nfs/dbraw/zinc/21/63/38/699216338.db2.gz NTYGEOWXFGOMKG-UHFFFAOYSA-N -1 1 321.421 1.746 20 0 DDADMM Cn1cnc(CC[N-]S(=O)(=O)c2ccc(Cl)nc2F)c1 ZINC000703176149 699235655 /nfs/dbraw/zinc/23/56/55/699235655.db2.gz OSKAEMTURQUVOU-UHFFFAOYSA-N -1 1 318.761 1.129 20 0 DDADMM CC(C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)=C1CCC1 ZINC000991255034 699283817 /nfs/dbraw/zinc/28/38/17/699283817.db2.gz KNRSUIJNJFHZDG-UHFFFAOYSA-N -1 1 301.346 1.228 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC000788146176 699284304 /nfs/dbraw/zinc/28/43/04/699284304.db2.gz AAQJYTUPTVCZTF-PWSUYJOCSA-N -1 1 303.391 1.620 20 0 DDADMM O=C(Nc1nn[n-]n1)c1csc(COc2ccc(F)cc2)n1 ZINC000728207778 699441708 /nfs/dbraw/zinc/44/17/08/699441708.db2.gz GWFAAENASJJSED-UHFFFAOYSA-N -1 1 320.309 1.627 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc2c(C)cc(=O)oc2c1 ZINC000730099316 699500857 /nfs/dbraw/zinc/50/08/57/699500857.db2.gz LSQRPMNRRRTIRL-UHFFFAOYSA-N -1 1 320.326 1.901 20 0 DDADMM CCc1ccc(N(CC)C(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000733133254 699579982 /nfs/dbraw/zinc/57/99/82/699579982.db2.gz TUTGQFNYCJUPQM-UHFFFAOYSA-N -1 1 315.373 1.542 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCC(C)(C)O2)o1 ZINC000792022313 699670741 /nfs/dbraw/zinc/67/07/41/699670741.db2.gz QEYSJVFVBJWVCN-VIFPVBQESA-N -1 1 317.363 1.302 20 0 DDADMM CN(C)c1noc(C[N-]C(=O)C(F)(F)c2nccs2)n1 ZINC000793851360 699782552 /nfs/dbraw/zinc/78/25/52/699782552.db2.gz BHCYTDGAGWPUMJ-UHFFFAOYSA-N -1 1 303.294 1.000 20 0 DDADMM COC(=O)[C@@H](NCc1cc(=O)oc2cc([O-])ccc12)C1CC1 ZINC000794569572 699816730 /nfs/dbraw/zinc/81/67/30/699816730.db2.gz XLQXCUDBZVDTEB-HNNXBMFYSA-N -1 1 303.314 1.540 20 0 DDADMM O=S(=O)(Oc1ccc(-c2nncs2)cc1)c1c[n-]cn1 ZINC000795321583 699858685 /nfs/dbraw/zinc/85/86/85/699858685.db2.gz SCGKTTAMBVZVRW-UHFFFAOYSA-N -1 1 308.344 1.696 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1ccccc1C(F)(F)F ZINC000742325760 699873790 /nfs/dbraw/zinc/87/37/90/699873790.db2.gz ITGMFJXYSIFRHZ-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM CC(=O)Nc1ccc(OS(=O)(=O)c2c[n-]nc2C)c(F)c1 ZINC000742336850 699874456 /nfs/dbraw/zinc/87/44/56/699874456.db2.gz CHJJSYMPMOJIPK-UHFFFAOYSA-N -1 1 313.310 1.583 20 0 DDADMM O=C(N[C@@H]1CCCS(=O)(=O)C1)c1c([O-])cccc1Cl ZINC000743379525 699909896 /nfs/dbraw/zinc/90/98/96/699909896.db2.gz QEDWCMHLWHZUIG-MRVPVSSYSA-N -1 1 303.767 1.353 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nc([C@@H](C)OC)no2)[n-]1 ZINC000796361518 699929151 /nfs/dbraw/zinc/92/91/51/699929151.db2.gz IWRSRTCGXYGPEU-SSDOTTSWSA-N -1 1 309.278 1.249 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cnn(Cc2ccccc2)c1Cl ZINC000796528850 699937254 /nfs/dbraw/zinc/93/72/54/699937254.db2.gz YHGJNYXHJOHAFB-UHFFFAOYSA-N -1 1 303.713 1.350 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnn(Cc2ccccc2)c1Cl ZINC000796528850 699937256 /nfs/dbraw/zinc/93/72/56/699937256.db2.gz YHGJNYXHJOHAFB-UHFFFAOYSA-N -1 1 303.713 1.350 20 0 DDADMM CCS(=O)(=O)CC(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000744847878 699963199 /nfs/dbraw/zinc/96/31/99/699963199.db2.gz IDABPTIFDMPUGG-UHFFFAOYSA-N -1 1 314.359 1.115 20 0 DDADMM O=C(O[C@@H]1CCCNC1=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801203056 700277252 /nfs/dbraw/zinc/27/72/52/700277252.db2.gz DQZNHTCSZFCLDT-GFCCVEGCSA-N -1 1 301.302 1.013 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1Cl)C(C)(C)O ZINC000751283972 700278524 /nfs/dbraw/zinc/27/85/24/700278524.db2.gz WOFKJWOWKGXHIU-ZCFIWIBFSA-N -1 1 313.206 1.826 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCC2(OC)CCC2)o1 ZINC000809054473 701642253 /nfs/dbraw/zinc/64/22/53/701642253.db2.gz UEAMPKHSZOLWDB-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM COCCOC1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000754357983 700497593 /nfs/dbraw/zinc/49/75/93/700497593.db2.gz UXENSNOUTJPXRK-UHFFFAOYSA-N -1 1 309.366 1.101 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCCCSC)co1 ZINC000756142953 700605305 /nfs/dbraw/zinc/60/53/05/700605305.db2.gz ULJWMALWNOUUTP-UHFFFAOYSA-N -1 1 321.420 1.878 20 0 DDADMM C[C@](O)(C[N-]C(=O)C(F)(F)c1nccs1)C(F)(F)F ZINC000756879465 700646952 /nfs/dbraw/zinc/64/69/52/700646952.db2.gz MCNBMELYOJHPDC-ZETCQYMHSA-N -1 1 304.240 1.664 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2cnc(Cl)c(C)c2)o1 ZINC000759611202 700762266 /nfs/dbraw/zinc/76/22/66/700762266.db2.gz BEQOJTYANZUHCZ-SSDOTTSWSA-N -1 1 316.770 1.774 20 0 DDADMM CC(C)(CS(C)(=O)=O)NC(=O)c1c([O-])cccc1Cl ZINC000760124070 700792703 /nfs/dbraw/zinc/79/27/03/700792703.db2.gz OFPOPABUEKHYDE-UHFFFAOYSA-N -1 1 305.783 1.599 20 0 DDADMM COc1ccc(C(=O)Nn2cc(Br)cn2)c([O-])c1 ZINC000763236546 700930758 /nfs/dbraw/zinc/93/07/58/700930758.db2.gz NZYOOJQMKHBGQL-UHFFFAOYSA-N -1 1 312.123 1.744 20 0 DDADMM CSc1nc(C)c(CCC(=O)OC2CCOCC2)c(=O)[n-]1 ZINC000764775989 700986016 /nfs/dbraw/zinc/98/60/16/700986016.db2.gz VHMVMDVRGKUTCA-UHFFFAOYSA-N -1 1 312.391 1.867 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc3cc(F)ccc3s2)nc1=O ZINC000765404768 701010127 /nfs/dbraw/zinc/01/01/27/701010127.db2.gz JUJBZZXPUDARRB-UHFFFAOYSA-N -1 1 307.306 1.819 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2ccc(C(F)(F)F)cc2)nc1=O ZINC000765410713 701010435 /nfs/dbraw/zinc/01/04/35/701010435.db2.gz SUOCQWQTZNMLFH-UHFFFAOYSA-N -1 1 315.251 1.413 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc3cc(Cl)ccc3[nH]2)nc1=O ZINC000765437130 701012802 /nfs/dbraw/zinc/01/28/02/701012802.db2.gz CYSPGKZPNOBVJV-UHFFFAOYSA-N -1 1 306.709 1.600 20 0 DDADMM Cn1[n-]c(COC(=O)CCCOc2cccc(F)c2)nc1=O ZINC000765470918 701014360 /nfs/dbraw/zinc/01/43/60/701014360.db2.gz YYWOSEBBOPCJPH-UHFFFAOYSA-N -1 1 309.297 1.150 20 0 DDADMM O=C(NCCS(=O)(=O)CC1CC1)c1c(F)ccc([O-])c1F ZINC000809724563 701682963 /nfs/dbraw/zinc/68/29/63/701682963.db2.gz PPBOKTCSGUBNNB-UHFFFAOYSA-N -1 1 319.329 1.225 20 0 DDADMM CON(C)C(=O)c1cc(F)ccc1NC(=O)c1cncc([O-])c1 ZINC000803718068 701138177 /nfs/dbraw/zinc/13/81/77/701138177.db2.gz KNMUPNYEYUOBOC-UHFFFAOYSA-N -1 1 319.292 1.812 20 0 DDADMM COC(=O)C(C)(C)CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000804262049 701175680 /nfs/dbraw/zinc/17/56/80/701175680.db2.gz GQIRBUHUPXBLLQ-UHFFFAOYSA-N -1 1 323.393 1.933 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCc2cc(Cl)ccc21 ZINC000804347388 701181300 /nfs/dbraw/zinc/18/13/00/701181300.db2.gz KEFDPJOOVUDLFF-UHFFFAOYSA-N -1 1 319.748 1.169 20 0 DDADMM CCOC(=O)[C@@](C)(O)CNC(=O)c1c([O-])cccc1Cl ZINC000768730775 701199023 /nfs/dbraw/zinc/19/90/23/701199023.db2.gz KCUGJJFGSYAXBR-ZDUSSCGKSA-N -1 1 301.726 1.090 20 0 DDADMM O=C(N[C@H](CO)C1CC1)c1ccc(Br)cc1[O-] ZINC000771040526 701306045 /nfs/dbraw/zinc/30/60/45/701306045.db2.gz XTGMFOKIEXHHJI-SNVBAGLBSA-N -1 1 300.152 1.655 20 0 DDADMM COc1ccc(NC(=O)[C@@H](OC(=O)c2cn[n-]n2)C(C)C)cc1 ZINC000805604282 701397746 /nfs/dbraw/zinc/39/77/46/701397746.db2.gz CBKKFJDTHBMQFI-ZDUSSCGKSA-N -1 1 318.333 1.633 20 0 DDADMM CC(C)[C@@H](OC(=O)c1cn[n-]n1)C(=O)N1C[C@H](C)C[C@H](C)C1 ZINC000805604561 701398032 /nfs/dbraw/zinc/39/80/32/701398032.db2.gz BDCYIIPKXLVHQG-NTZNESFSSA-N -1 1 308.382 1.491 20 0 DDADMM CC(C)(C)c1ccc(NC(=O)COC(=O)c2cn[n-]n2)cc1 ZINC000805604377 701398040 /nfs/dbraw/zinc/39/80/40/701398040.db2.gz GYEWDPAMHSOTAB-UHFFFAOYSA-N -1 1 302.334 1.898 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)N[C@@H]1CCCc2ccccc21 ZINC000805605278 701398776 /nfs/dbraw/zinc/39/87/76/701398776.db2.gz HSMZALGSEWFPAH-GFCCVEGCSA-N -1 1 300.318 1.155 20 0 DDADMM CCC1(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)CCOCC1 ZINC000806491377 701437704 /nfs/dbraw/zinc/43/77/04/701437704.db2.gz YIFIXSROYOPVKR-UHFFFAOYSA-N -1 1 303.366 1.423 20 0 DDADMM CC(C)(C)OC1CCC(Nc2nc3[nH][n-]cc-3c(=O)n2)CC1 ZINC000866050276 706605234 /nfs/dbraw/zinc/60/52/34/706605234.db2.gz YHQSPGKMUUKOAS-UHFFFAOYSA-N -1 1 305.382 1.970 20 0 DDADMM O=C(N[C@@H]1[C@H]2C[C@H]3CO[C@H]1[C@H]3C2)c1nc2ccccc2c(=O)[n-]1 ZINC000866072475 706610723 /nfs/dbraw/zinc/61/07/23/706610723.db2.gz QGAWIDGUWCZURU-RZFPVDMASA-N -1 1 311.341 1.076 20 0 DDADMM CN(C)CCO[N-]C(=O)C(=O)c1ccc(Br)s1 ZINC000839781628 701824996 /nfs/dbraw/zinc/82/49/96/701824996.db2.gz VXYRVOVSFLOKOJ-UHFFFAOYSA-N -1 1 321.196 1.303 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000810900713 701870654 /nfs/dbraw/zinc/87/06/54/701870654.db2.gz JBVJNVZCIPTOFX-VHSXEESVSA-N -1 1 312.307 1.898 20 0 DDADMM CCCCCCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000867993811 701879084 /nfs/dbraw/zinc/87/90/84/701879084.db2.gz CDFCVOSZEPBUHG-UHFFFAOYSA-N -1 1 308.382 1.634 20 0 DDADMM C[C@]12CCN(C(=O)CCc3nn[n-]n3)C[C@H]1C2(Cl)Cl ZINC000868142065 701960988 /nfs/dbraw/zinc/96/09/88/701960988.db2.gz LGBUFWWETSMGFD-XCBNKYQSSA-N -1 1 304.181 1.175 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc3cc(Cl)sc3[nH]2)nc1=O ZINC000811394744 701978666 /nfs/dbraw/zinc/97/86/66/701978666.db2.gz ZLTITYHKOFZOMS-UHFFFAOYSA-N -1 1 312.738 1.662 20 0 DDADMM CCn1ncn([N-]C(=O)N[C@@H](C(C)(C)C)C(F)(F)F)c1=O ZINC000879259092 706631844 /nfs/dbraw/zinc/63/18/44/706631844.db2.gz FMUCEAZBHIXAED-ZETCQYMHSA-N -1 1 309.292 1.295 20 0 DDADMM O=C([N-]CC1CN(C(=O)[C@@H]2C[C@H]2c2ccco2)C1)C(F)(F)F ZINC000831117111 706638218 /nfs/dbraw/zinc/63/82/18/706638218.db2.gz KBXLCULYSJJLCR-NXEZZACHSA-N -1 1 316.279 1.520 20 0 DDADMM CC1(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCC1 ZINC000868345252 702073154 /nfs/dbraw/zinc/07/31/54/702073154.db2.gz DVIPXVHUEOXTDU-UHFFFAOYSA-N -1 1 306.366 1.244 20 0 DDADMM CC(C)S(=O)(=O)CCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000868404071 702109875 /nfs/dbraw/zinc/10/98/75/702109875.db2.gz HWRODSPAGSDOTJ-UHFFFAOYSA-N -1 1 319.810 1.528 20 0 DDADMM CC(C)n1nccc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831140182 706642545 /nfs/dbraw/zinc/64/25/45/706642545.db2.gz UYPWLFFIXRZGNY-UHFFFAOYSA-N -1 1 318.299 1.215 20 0 DDADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)[N-]OC/C=C\Cl ZINC000812408791 702177690 /nfs/dbraw/zinc/17/76/90/702177690.db2.gz MEXSSLOBOZBXKY-WYDVEAGSSA-N -1 1 322.752 1.482 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@H]1CC12CCCC2 ZINC000868541694 702187710 /nfs/dbraw/zinc/18/77/10/702187710.db2.gz WIQNTGWETPXEFS-LBPRGKRZSA-N -1 1 318.377 1.387 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC[C@](O)(C(F)F)C1 ZINC000868683787 702262453 /nfs/dbraw/zinc/26/24/53/702262453.db2.gz ADPUSSVTVIGYRX-CYBMUJFWSA-N -1 1 305.708 1.817 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@H]2C=CCC2)C1 ZINC000868767934 702310675 /nfs/dbraw/zinc/31/06/75/702310675.db2.gz KKDBKNPKZMXAMG-CABZTGNLSA-N -1 1 305.300 1.558 20 0 DDADMM C[C@H](O)CN1c2ccccc2C[C@@H]1C[N-]C(=O)C(F)(F)F ZINC000817295312 702333141 /nfs/dbraw/zinc/33/31/41/702333141.db2.gz YGUNRNVQQUEKFH-GXSJLCMTSA-N -1 1 302.296 1.477 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@@H](CCO)CC3)ccnc1-2 ZINC000817316060 702338412 /nfs/dbraw/zinc/33/84/12/702338412.db2.gz LZPCJROEMBFOPN-GFCCVEGCSA-N -1 1 317.393 1.358 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NOCCC1CC1 ZINC000817387849 702366701 /nfs/dbraw/zinc/36/67/01/702366701.db2.gz GRWFADWBRBCSHS-UHFFFAOYSA-N -1 1 304.350 1.442 20 0 DDADMM COCC(=O)N1c2ccccc2C[C@@H]1C[N-]C(=O)C(F)(F)F ZINC000817493330 702391187 /nfs/dbraw/zinc/39/11/87/702391187.db2.gz UKQYYWKWVKLJFU-SNVBAGLBSA-N -1 1 316.279 1.269 20 0 DDADMM CCc1cc(N2CC(C[N-]C(=O)C(F)(F)F)C2)nc(C)n1 ZINC000866294147 706667396 /nfs/dbraw/zinc/66/73/96/706667396.db2.gz MDRPWMRZVVIOPE-UHFFFAOYSA-N -1 1 302.300 1.462 20 0 DDADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CCC[C@H]1C(C)(C)C ZINC000841457165 702457396 /nfs/dbraw/zinc/45/73/96/702457396.db2.gz OYULCVSJKJHWQM-CHWSQXEVSA-N -1 1 321.421 1.600 20 0 DDADMM O=C(OCC[N-]S(=O)(=O)c1cc(Cl)ccc1F)C1CC1 ZINC000841535289 702485048 /nfs/dbraw/zinc/48/50/48/702485048.db2.gz VZQFHTWSKOUYEE-UHFFFAOYSA-N -1 1 321.757 1.711 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)CCOCC1CCCC1 ZINC000841535090 702485405 /nfs/dbraw/zinc/48/54/05/702485405.db2.gz OVFBJMQGMGIRPG-GFCCVEGCSA-N -1 1 319.423 1.064 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)c1cc(F)c(C)cc1F ZINC000841544044 702490713 /nfs/dbraw/zinc/49/07/13/702490713.db2.gz AAFRZVKUVGMQBT-VIFPVBQESA-N -1 1 311.281 1.063 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3CC(C)(C)CO3)ccnc1-2 ZINC000879416075 706674254 /nfs/dbraw/zinc/67/42/54/706674254.db2.gz MVVDLRQKJSAUJX-SNVBAGLBSA-N -1 1 303.366 1.278 20 0 DDADMM CN1C(=S)N=NC1CCCNC(=O)c1ccc([O-])c(F)c1 ZINC000818198974 702603844 /nfs/dbraw/zinc/60/38/44/702603844.db2.gz ORLUUNOXJNOLNT-UHFFFAOYSA-N -1 1 310.354 1.311 20 0 DDADMM C[C@@H]1CC[C@@H](CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869435497 702606229 /nfs/dbraw/zinc/60/62/29/702606229.db2.gz OIXYFUHDSFAKGD-NXEZZACHSA-N -1 1 323.311 1.994 20 0 DDADMM CC[C@@H](C)[C@H](C)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869470774 702617110 /nfs/dbraw/zinc/61/71/10/702617110.db2.gz QFHFBOIVDNAYQG-BDAKNGLRSA-N -1 1 311.300 1.850 20 0 DDADMM CC(C)[C@@H](F)C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869478928 702620319 /nfs/dbraw/zinc/62/03/19/702620319.db2.gz NWAYVFIICQKUQM-MRVPVSSYSA-N -1 1 301.236 1.161 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)Cc2ccco2)c1 ZINC000866477174 706708995 /nfs/dbraw/zinc/70/89/95/706708995.db2.gz GJNQSSPDJYACQL-JTQLQIEISA-N -1 1 311.359 1.903 20 0 DDADMM CC[C@H](C(=O)[O-])N(C)Cc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000844838637 703077991 /nfs/dbraw/zinc/07/79/91/703077991.db2.gz DJKQPLIAPUJLHY-LLVKDONJSA-N -1 1 321.345 1.978 20 0 DDADMM COC/C(C)=C/C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000845915017 703215707 /nfs/dbraw/zinc/21/57/07/703215707.db2.gz OEEGHNGQEIRVBM-RMKNXTFCSA-N -1 1 316.354 1.728 20 0 DDADMM CCCCn1nc(C)c(CNCC(=O)[N-]OCC)c1Cl ZINC000846090230 703236449 /nfs/dbraw/zinc/23/64/49/703236449.db2.gz CYZINLKMVKDVNC-UHFFFAOYSA-N -1 1 302.806 1.802 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1Cc2ccccc21 ZINC000866561534 706730540 /nfs/dbraw/zinc/73/05/40/706730540.db2.gz GVRZWSOMQYPIAB-LBPRGKRZSA-N -1 1 316.448 1.669 20 0 DDADMM CC(C)CNC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000846761467 703327674 /nfs/dbraw/zinc/32/76/74/703327674.db2.gz ARLZRAPIVMJJHK-NXEZZACHSA-N -1 1 309.332 1.883 20 0 DDADMM Cc1[nH]c(C=O)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847078182 703374844 /nfs/dbraw/zinc/37/48/44/703374844.db2.gz HNZILMBYALMCHU-VIFPVBQESA-N -1 1 304.306 1.244 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847094314 703377053 /nfs/dbraw/zinc/37/70/53/703377053.db2.gz ZSEPIUTWGKJMSH-PRWOLLLXSA-N -1 1 314.345 1.980 20 0 DDADMM C[C@H]1C[C@@H]1CNC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000847289737 703397350 /nfs/dbraw/zinc/39/73/50/703397350.db2.gz WUNROWDRSWBLEA-LNFKQOIKSA-N -1 1 321.343 1.883 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2C[C@H]2c2ccccc2)n[n-]1 ZINC000879654419 706750647 /nfs/dbraw/zinc/75/06/47/706750647.db2.gz HAVUEBUGXRRGOZ-NWDGAFQWSA-N -1 1 314.345 1.401 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2C[C@H]2c2ccccc2)n1 ZINC000879654419 706750651 /nfs/dbraw/zinc/75/06/51/706750651.db2.gz HAVUEBUGXRRGOZ-NWDGAFQWSA-N -1 1 314.345 1.401 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]CC1(C)OCCO1)C1CCCCC1 ZINC000849382421 703641673 /nfs/dbraw/zinc/64/16/73/703641673.db2.gz IPOVTOTWHCJVCO-ZDUSSCGKSA-N -1 1 321.439 1.264 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](O)c2ccccc21)c1c[nH]nc1Cl ZINC000849454794 703650206 /nfs/dbraw/zinc/65/02/06/703650206.db2.gz NXQMEAGHRDISGD-UWVGGRQHSA-N -1 1 313.766 1.520 20 0 DDADMM CC1(C)OC[C@@H]2C[C@@]21C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000849931045 703690168 /nfs/dbraw/zinc/69/01/68/703690168.db2.gz QTTLWFOBRXVXSJ-SJCJKPOMSA-N -1 1 317.341 1.301 20 0 DDADMM C[C@@H](CC(=O)OCC(=O)[N-]C(=O)c1ccccc1)[C@H]1CCCO1 ZINC000850081715 703702071 /nfs/dbraw/zinc/70/20/71/703702071.db2.gz ORYGOPUXWPZFGZ-GXTWGEPZSA-N -1 1 319.357 1.691 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2nccn2C)c1 ZINC000851572920 703810221 /nfs/dbraw/zinc/81/02/21/703810221.db2.gz DOUBTOOEPKMSLS-KPWVOAKYSA-N -1 1 322.386 1.841 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC[C@@H]2CCSC2)o1 ZINC000869608418 703819230 /nfs/dbraw/zinc/81/92/30/703819230.db2.gz YGIDLRZXVNGPFS-SECBINFHSA-N -1 1 318.420 1.061 20 0 DDADMM CC1(C)CNC(=O)[C@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000851818686 703864131 /nfs/dbraw/zinc/86/41/31/703864131.db2.gz WVNHVHVDUBKQBA-GFCCVEGCSA-N -1 1 308.359 1.236 20 0 DDADMM C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869887169 703871576 /nfs/dbraw/zinc/87/15/76/703871576.db2.gz SENQMOVOEKOSMG-DQDDRIPDSA-N -1 1 321.295 1.459 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H](C)c1cn(C)nc1C ZINC000866720308 706775343 /nfs/dbraw/zinc/77/53/43/706775343.db2.gz DMTDEAZXDCUHQX-SNVBAGLBSA-N -1 1 322.456 1.132 20 0 DDADMM COc1cccc([C@H]2CN(C(=O)c3ncccc3[O-])CCN2)c1 ZINC000870153784 703934939 /nfs/dbraw/zinc/93/49/39/703934939.db2.gz XXJUKEZFTBISSC-CQSZACIVSA-N -1 1 313.357 1.583 20 0 DDADMM CC1(C(=O)N2CCN(Cc3ccc([O-])c(Cl)c3)CC2)COC1 ZINC000870162740 703939281 /nfs/dbraw/zinc/93/92/81/703939281.db2.gz SQOQZNFMKNRIBZ-UHFFFAOYSA-N -1 1 324.808 1.726 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)Cc1ccc(F)cc1Cl ZINC000866735390 706780175 /nfs/dbraw/zinc/78/01/75/706780175.db2.gz NXQDVZGRQXTNTR-UHFFFAOYSA-N -1 1 323.773 1.850 20 0 DDADMM CC(C)COC(=O)CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764660 706785274 /nfs/dbraw/zinc/78/52/74/706785274.db2.gz YITOIMKCHYIISS-GFCCVEGCSA-N -1 1 310.316 1.329 20 0 DDADMM CO[C@H]1CC[C@@H](C)N(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000870723495 704113367 /nfs/dbraw/zinc/11/33/67/704113367.db2.gz FHJQIHLIZMPANN-KOLCDFICSA-N -1 1 306.391 1.658 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2cc3[nH]cnc3cc2F)C1=O ZINC000819808444 704175988 /nfs/dbraw/zinc/17/59/88/704175988.db2.gz COKFJNVFZXDTMG-AWEZNQCLSA-N -1 1 319.296 1.067 20 0 DDADMM CCn1ncn([N-]C(=O)c2cc(NC(C)=O)ccc2Cl)c1=O ZINC000820388828 704270681 /nfs/dbraw/zinc/27/06/81/704270681.db2.gz YMGNUROLDMUFNW-UHFFFAOYSA-N -1 1 323.740 1.060 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(c2cccc(F)c2)=NO1 ZINC000820402457 704272997 /nfs/dbraw/zinc/27/29/97/704272997.db2.gz KUBHUARJTIMVPW-NSHDSACASA-N -1 1 304.281 1.695 20 0 DDADMM O=C(c1ncccc1[O-])N1CC2(CC(=O)N2Cc2ccccc2)C1 ZINC000871454857 704297903 /nfs/dbraw/zinc/29/79/03/704297903.db2.gz ANYBAKLKDIGFPV-UHFFFAOYSA-N -1 1 323.352 1.414 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@@H]2CCO[C@H]2C)c1 ZINC000821035781 704362483 /nfs/dbraw/zinc/36/24/83/704362483.db2.gz SARHRNZBSCFRJE-QSRBQVKKSA-N -1 1 312.387 1.771 20 0 DDADMM O=C(c1nccc2occc21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342628 704382537 /nfs/dbraw/zinc/38/25/37/704382537.db2.gz ZQUJLLQRIMIPDG-UHFFFAOYSA-N -1 1 314.301 1.936 20 0 DDADMM O=C([N-][C@@H](CCO)C(F)(F)F)C(F)(F)C1(O)CCCC1 ZINC000854616649 704427164 /nfs/dbraw/zinc/42/71/64/704427164.db2.gz SBXHQFDMYKAMEC-ZETCQYMHSA-N -1 1 305.243 1.356 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@@H]1c1cn[nH]c1 ZINC000854834970 704457605 /nfs/dbraw/zinc/45/76/05/704457605.db2.gz VMFOFSASDAQDPO-LLVKDONJSA-N -1 1 314.374 1.718 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCCc1nccs1 ZINC000855131615 704469891 /nfs/dbraw/zinc/46/98/91/704469891.db2.gz BUZFNOHPFILVDR-UHFFFAOYSA-N -1 1 300.380 1.855 20 0 DDADMM Cc1cnc(C(=O)NCC[S@@](=O)CC(F)(F)F)c([O-])c1 ZINC000855269596 704476415 /nfs/dbraw/zinc/47/64/15/704476415.db2.gz AIOZSWPKCLRYKC-HXUWFJFHSA-N -1 1 310.297 1.136 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000855579911 704492223 /nfs/dbraw/zinc/49/22/23/704492223.db2.gz FVQYTGPDMKMNKV-NXEZZACHSA-N -1 1 305.378 1.911 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2COC[C@@]2(C)C1 ZINC000855840565 704502851 /nfs/dbraw/zinc/50/28/51/704502851.db2.gz ZEVAZYBKWQMPNX-BDJLRTHQSA-N -1 1 305.378 1.723 20 0 DDADMM C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856417252 704527953 /nfs/dbraw/zinc/52/79/53/704527953.db2.gz MVWIUSDPHBHPDN-YTWAJWBKSA-N -1 1 322.327 1.327 20 0 DDADMM CO[C@H](C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1)C(C)C ZINC000856417061 704528036 /nfs/dbraw/zinc/52/80/36/704528036.db2.gz FLTIWGJHZHBJFZ-VWYCJHECSA-N -1 1 324.343 1.573 20 0 DDADMM C[C@@H]1C[C@@H](C)CN(S(=O)(=O)NN=c2ncc(Cl)c[n-]2)C1 ZINC000857224277 704565723 /nfs/dbraw/zinc/56/57/23/704565723.db2.gz JCOCQCPVGHRKHX-RKDXNWHRSA-N -1 1 319.818 1.269 20 0 DDADMM CO[C@H](C(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CCCCC1 ZINC000857614916 704607793 /nfs/dbraw/zinc/60/77/93/704607793.db2.gz NBXXWRLLNVZRIG-AWEZNQCLSA-N -1 1 302.378 1.876 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CCC(F)(F)C3)nc2n1 ZINC000857622497 704608322 /nfs/dbraw/zinc/60/83/22/704608322.db2.gz VFIVJVYPAOMLHT-MRVPVSSYSA-N -1 1 311.292 1.490 20 0 DDADMM O=c1nc(Cl)cc(Sc2nnnn2C2CCOCC2)[n-]1 ZINC000858398792 704705844 /nfs/dbraw/zinc/70/58/44/704705844.db2.gz IGXNIXJEGABJPW-UHFFFAOYSA-N -1 1 314.758 1.325 20 0 DDADMM C[C@@H]1CCC[C@@H]1C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867224076 706930016 /nfs/dbraw/zinc/93/00/16/706930016.db2.gz FICOSVHGBIVFNJ-HTQZYQBOSA-N -1 1 322.228 1.887 20 0 DDADMM CCOC(=O)C[C@H]1C[C@@H](OC)CN1c1cc(Cl)[n-]c(=O)n1 ZINC000858488028 704717433 /nfs/dbraw/zinc/71/74/33/704717433.db2.gz AOYPKPQLPRBLAE-RKDXNWHRSA-N -1 1 315.757 1.383 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1COc2ccccc21 ZINC000867275385 706945103 /nfs/dbraw/zinc/94/51/03/706945103.db2.gz SMJXMGPGYAMZHM-APBUJDDRSA-N -1 1 318.420 1.115 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC1CC(F)(F)C1 ZINC000867294300 706950401 /nfs/dbraw/zinc/95/04/01/706950401.db2.gz BFUZTFWOWOLCSW-UHFFFAOYSA-N -1 1 304.384 1.374 20 0 DDADMM CON(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000859403420 704891486 /nfs/dbraw/zinc/89/14/86/704891486.db2.gz UXMNZOJKVDJAKP-ZETCQYMHSA-N -1 1 324.761 1.166 20 0 DDADMM O=C(N1CCC[C@H](c2n[n-]c(=O)o2)C1)C1(Br)CC1 ZINC000822657692 704998406 /nfs/dbraw/zinc/99/84/06/704998406.db2.gz PWNSWHWNTVJJJC-ZETCQYMHSA-N -1 1 316.155 1.409 20 0 DDADMM CCC(C)(C)[C@H](O)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000859762182 704998768 /nfs/dbraw/zinc/99/87/68/704998768.db2.gz YPUFNKFZFZCYPM-CYBMUJFWSA-N -1 1 307.346 1.283 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F)C1CC1 ZINC000867521002 707026996 /nfs/dbraw/zinc/02/69/96/707026996.db2.gz UKHMVUDNEZGJME-QMMMGPOBSA-N -1 1 311.329 1.906 20 0 DDADMM O=C(CN1C(=O)c2ccccc2S1(=O)=O)c1ccc([O-])cc1 ZINC000875370178 705367059 /nfs/dbraw/zinc/36/70/59/705367059.db2.gz YYAAJECWQOQEQD-UHFFFAOYSA-N -1 1 317.322 1.420 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC000875543882 705424933 /nfs/dbraw/zinc/42/49/33/705424933.db2.gz QLPZYRPJBPVINW-WTBMIXGQSA-N -1 1 319.327 1.637 20 0 DDADMM CSc1nc(CNC(=O)[C@@]23CCO[C@@H]2CCCC3)cc(=O)[n-]1 ZINC000824609264 705492896 /nfs/dbraw/zinc/49/28/96/705492896.db2.gz BYEOKYPANNUEMK-ABAIWWIYSA-N -1 1 323.418 1.870 20 0 DDADMM CC(C)(C)C1CN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000876300924 705683535 /nfs/dbraw/zinc/68/35/35/705683535.db2.gz MDPRRVNPMGUBLU-UHFFFAOYSA-N -1 1 320.393 1.442 20 0 DDADMM C[C@H]1CC(=O)NCCN1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876393135 705707979 /nfs/dbraw/zinc/70/79/79/705707979.db2.gz DASLLGPVNNCBFZ-VIFPVBQESA-N -1 1 311.769 1.466 20 0 DDADMM CC(C)c1nn(C)c2sc(C(=O)[N-]N3CCOC3=O)cc12 ZINC000862701154 705778994 /nfs/dbraw/zinc/77/89/94/705778994.db2.gz NICBHPBVNGTSJK-UHFFFAOYSA-N -1 1 308.363 1.855 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)C[C@@H](C)C2)c1-c1nnn[n-]1 ZINC000826343883 705792807 /nfs/dbraw/zinc/79/28/07/705792807.db2.gz PVKKTORMXORASQ-RKDXNWHRSA-N -1 1 304.354 1.210 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)C[C@@H](C)C2)c1-c1nn[n-]n1 ZINC000826343883 705792808 /nfs/dbraw/zinc/79/28/08/705792808.db2.gz PVKKTORMXORASQ-RKDXNWHRSA-N -1 1 304.354 1.210 20 0 DDADMM Cc1onc(CC(=O)NC[C@H]2CCC[C@H](C)C2)c1-c1nnn[n-]1 ZINC000826347416 705794281 /nfs/dbraw/zinc/79/42/81/705794281.db2.gz QGKMGZCQCXZKTO-ONGXEEELSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)NC[C@H]2CCC[C@H](C)C2)c1-c1nn[n-]n1 ZINC000826347416 705794282 /nfs/dbraw/zinc/79/42/82/705794282.db2.gz QGKMGZCQCXZKTO-ONGXEEELSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)NC[C@@H]2CCC[C@@H](C)C2)c1-c1nnn[n-]1 ZINC000826347415 705794780 /nfs/dbraw/zinc/79/47/80/705794780.db2.gz QGKMGZCQCXZKTO-MWLCHTKSSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)NC[C@@H]2CCC[C@@H](C)C2)c1-c1nn[n-]n1 ZINC000826347415 705794782 /nfs/dbraw/zinc/79/47/82/705794782.db2.gz QGKMGZCQCXZKTO-MWLCHTKSSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(F)ccc2O)c1-c1nnn[n-]1 ZINC000826348313 705795553 /nfs/dbraw/zinc/79/55/53/705795553.db2.gz GSVVWWDJGRCMAD-UHFFFAOYSA-N -1 1 318.268 1.189 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(F)ccc2O)c1-c1nn[n-]n1 ZINC000826348313 705795557 /nfs/dbraw/zinc/79/55/57/705795557.db2.gz GSVVWWDJGRCMAD-UHFFFAOYSA-N -1 1 318.268 1.189 20 0 DDADMM Cc1oc(C(C)(C)C)cc1C(=O)[N-]N1C(=O)[C@@H](C)N(C)C1=O ZINC000826831867 705880283 /nfs/dbraw/zinc/88/02/83/705880283.db2.gz LUZIHHPRRUNYKJ-MRVPVSSYSA-N -1 1 307.350 1.813 20 0 DDADMM Cc1cc(F)c(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(F)c1 ZINC000826834906 705881353 /nfs/dbraw/zinc/88/13/53/705881353.db2.gz YGCBKBLLWOUKOA-SNVBAGLBSA-N -1 1 309.276 1.000 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H]2CSC[C@@H]2C1 ZINC000827122174 705934502 /nfs/dbraw/zinc/93/45/02/705934502.db2.gz UQQLLKSAOSBDHD-QWRGUYRKSA-N -1 1 320.443 1.843 20 0 DDADMM O=C(C[C@@H]1CCC(=O)N1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000863475175 705936378 /nfs/dbraw/zinc/93/63/78/705936378.db2.gz IUXZYPIUFUZPNQ-NSHDSACASA-N -1 1 300.318 1.185 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)C[C@@H](OC)C(C)C)C(F)(F)F ZINC000827155594 705941592 /nfs/dbraw/zinc/94/15/92/705941592.db2.gz XLKZOPNLGGGGGT-RKDXNWHRSA-N -1 1 307.334 1.154 20 0 DDADMM COC(=O)[C@@H]1[C@H](CNC(=O)c2c(F)ccc([O-])c2F)C1(F)F ZINC000881825671 707382969 /nfs/dbraw/zinc/38/29/69/707382969.db2.gz RXJKQYBQCFGQTA-CDUCUWFYSA-N -1 1 321.226 1.455 20 0 DDADMM CCn1ccnc1CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000877775735 706206610 /nfs/dbraw/zinc/20/66/10/706206610.db2.gz OSSUDIDIFFVFIR-GFCCVEGCSA-N -1 1 304.316 1.546 20 0 DDADMM CC(=O)[C@H](OC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C(C)C ZINC000828826972 706238906 /nfs/dbraw/zinc/23/89/06/706238906.db2.gz YANWRKJOBWHIRK-MRXNPFEDSA-N -1 1 319.361 1.751 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C(C)(C)C(C)(C)O ZINC000872466637 707428724 /nfs/dbraw/zinc/42/87/24/707428724.db2.gz AUDNMNWVAYUFTB-GOSISDBHSA-N -1 1 314.473 1.267 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@]1(C)CC1(Cl)Cl)c1nn[n-]n1 ZINC000829165725 706296653 /nfs/dbraw/zinc/29/66/53/706296653.db2.gz AIMOYGBAYVUEQT-XCBNKYQSSA-N -1 1 306.197 1.736 20 0 DDADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCc1ccco1 ZINC000872473325 707432809 /nfs/dbraw/zinc/43/28/09/707432809.db2.gz HADAVBVQFAZGBM-SFHVURJKSA-N -1 1 308.425 1.553 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C=CC(=O)OC)o1)c1nn[n-]n1 ZINC000829800520 706398101 /nfs/dbraw/zinc/39/81/01/706398101.db2.gz GZWDHZIWHARIKM-PCGIRMHASA-N -1 1 319.321 1.250 20 0 DDADMM CC(C)c1cc(=O)n(CC(=O)CC[N-]C(=O)C(F)(F)F)cn1 ZINC000865362117 706413531 /nfs/dbraw/zinc/41/35/31/706413531.db2.gz LYAIPTOJNMQRBL-UHFFFAOYSA-N -1 1 319.283 1.004 20 0 DDADMM CCCc1cc(=O)[n-]c(SCC(=O)N=S(C)(C)=O)n1 ZINC000882037755 707474708 /nfs/dbraw/zinc/47/47/08/707474708.db2.gz MDEFECORAHEQNK-UHFFFAOYSA-N -1 1 303.409 1.481 20 0 DDADMM O=C(C[N@H+]1CCC[C@H]1c1cn[nH]c1)[N-]OCc1ccccc1 ZINC000878875761 706522548 /nfs/dbraw/zinc/52/25/48/706522548.db2.gz FGGUMCDZGQLLAN-HNNXBMFYSA-N -1 1 300.362 1.795 20 0 DDADMM O=C(CN1CCC[C@H]1c1cn[nH]c1)[N-]OCc1ccccc1 ZINC000878875761 706522551 /nfs/dbraw/zinc/52/25/51/706522551.db2.gz FGGUMCDZGQLLAN-HNNXBMFYSA-N -1 1 300.362 1.795 20 0 DDADMM O=S(=O)([N-][C@H]1CCc2c1cccc2O)c1c[nH]nc1Cl ZINC000830618919 706546534 /nfs/dbraw/zinc/54/65/34/706546534.db2.gz SDHZBEIBJDWCOP-VIFPVBQESA-N -1 1 313.766 1.735 20 0 DDADMM Cn1cc([C@H](O)C[N-]S(=O)(=O)c2sccc2Cl)cn1 ZINC000830691539 706563032 /nfs/dbraw/zinc/56/30/32/706563032.db2.gz XIFJHIHLIXHTCL-SECBINFHSA-N -1 1 321.811 1.147 20 0 DDADMM CCc1cc2c(CN3CN(C)C(=O)[C@H]3C)cc(=O)oc2cc1[O-] ZINC000830783314 706578889 /nfs/dbraw/zinc/57/88/89/706578889.db2.gz IQFAXHQEEOKUIM-SNVBAGLBSA-N -1 1 316.357 1.681 20 0 DDADMM CC[C@@](C)(OC)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830800804 706582311 /nfs/dbraw/zinc/58/23/11/706582311.db2.gz AGFUICQOHQUVKV-NWDGAFQWSA-N -1 1 310.316 1.471 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@]2(C)CCCOC2)C1 ZINC000830807230 706582962 /nfs/dbraw/zinc/58/29/62/706582962.db2.gz FQCWJQCPLOUYJE-OLZOCXBDSA-N -1 1 322.327 1.473 20 0 DDADMM CC(C)(O)CCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807449 706583183 /nfs/dbraw/zinc/58/31/83/706583183.db2.gz JRMRSVTYXWPBAV-LBPRGKRZSA-N -1 1 310.316 1.207 20 0 DDADMM Cn1cc(Br)c(NC([O-])=NO[C@@H]2CCCCO2)n1 ZINC000879965890 706836833 /nfs/dbraw/zinc/83/68/33/706836833.db2.gz CXJAZUZSYZTCGI-MRVPVSSYSA-N -1 1 319.159 1.762 20 0 DDADMM Cn1cc(Br)c(NC(=O)[N-]O[C@@H]2CCCCO2)n1 ZINC000879965890 706836837 /nfs/dbraw/zinc/83/68/37/706836837.db2.gz CXJAZUZSYZTCGI-MRVPVSSYSA-N -1 1 319.159 1.762 20 0 DDADMM CCOC1CC2(C[C@@H]2C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1 ZINC000866901653 706839892 /nfs/dbraw/zinc/83/98/92/706839892.db2.gz LBPFDFPFTZLXCY-NGWKBDRKSA-N -1 1 305.382 1.111 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C1CCOCC1 ZINC000866904873 706840639 /nfs/dbraw/zinc/84/06/39/706840639.db2.gz VJWYRFHECHUSMC-SNVBAGLBSA-N -1 1 320.361 1.843 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)CC1(OC)CCC1 ZINC000866914767 706843684 /nfs/dbraw/zinc/84/36/84/706843684.db2.gz UMKKQYJVXRIPOZ-GFCCVEGCSA-N -1 1 319.423 1.207 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-]CCC2CCC2)c1C(F)(F)F ZINC000866946506 706852745 /nfs/dbraw/zinc/85/27/45/706852745.db2.gz UQSSJHWHZPLDMK-UHFFFAOYSA-N -1 1 311.329 1.907 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCOC2(CCC2)C1 ZINC000866984329 706864471 /nfs/dbraw/zinc/86/44/71/706864471.db2.gz QCKHFXNUQAXPKP-YPMLDQLKSA-N -1 1 310.441 1.040 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCOC2(CCC2)C1 ZINC000866984328 706864500 /nfs/dbraw/zinc/86/45/00/706864500.db2.gz QCKHFXNUQAXPKP-XTZNXHDOSA-N -1 1 310.441 1.040 20 0 DDADMM C[C@H](O)CN(Cc1cc(=O)oc2cc([O-])ccc12)CC(F)F ZINC000832200295 706868446 /nfs/dbraw/zinc/86/84/46/706868446.db2.gz WBZVJWWWLXANJI-VIFPVBQESA-N -1 1 313.300 1.947 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC1CC2(C1)OCCO2 ZINC000832200518 706868662 /nfs/dbraw/zinc/86/86/62/706868662.db2.gz ALISGBOYGBGPTE-UHFFFAOYSA-N -1 1 321.377 1.497 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCC(=O)[C@H]1C[C@H]1C ZINC000908994009 712911045 /nfs/dbraw/zinc/91/10/45/712911045.db2.gz CTCVDZTUZPKTSO-KCJUWKMLSA-N -1 1 317.345 1.363 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H]1CCCCS1 ZINC000867090284 706893534 /nfs/dbraw/zinc/89/35/34/706893534.db2.gz UIHKQAHLOPARMB-JTQLQIEISA-N -1 1 312.482 1.368 20 0 DDADMM C[C@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867092764 706893928 /nfs/dbraw/zinc/89/39/28/706893928.db2.gz QLIOANNEKQAPGH-MUWHJKNJSA-N -1 1 324.200 1.018 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2ccc(F)nc2F)CCO[C@H]1C1CC1 ZINC000867096981 706894935 /nfs/dbraw/zinc/89/49/35/706894935.db2.gz STJMQSQOCDYNRJ-WCQYABFASA-N -1 1 318.345 1.596 20 0 DDADMM CC[C@]1([N-]S(=O)(=O)c2nc[nH]c2Br)CCOC1 ZINC000867183890 706917648 /nfs/dbraw/zinc/91/76/48/706917648.db2.gz NWGWEUHDLIPLCE-VIFPVBQESA-N -1 1 324.200 1.020 20 0 DDADMM CSC1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CCC1 ZINC000867204014 706923760 /nfs/dbraw/zinc/92/37/60/706923760.db2.gz RMRSJOLRVSKPHI-UHFFFAOYSA-N -1 1 308.375 1.924 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)N=S2(=O)CCCC2)cc(C)n1 ZINC000867419421 706996144 /nfs/dbraw/zinc/99/61/44/706996144.db2.gz IPLZFBREXFQRGP-UHFFFAOYSA-N -1 1 317.436 1.295 20 0 DDADMM O=C(CCc1nn[n-]n1)NCC(F)(F)c1ccc(F)cc1F ZINC000834292470 707023245 /nfs/dbraw/zinc/02/32/45/707023245.db2.gz ZAUWPZQBWHEZIZ-UHFFFAOYSA-N -1 1 317.246 1.319 20 0 DDADMM O=C([O-])C12CCC(CC1)[N@H+]2CCS(=O)(=O)Cc1ccccc1 ZINC000872163107 707310741 /nfs/dbraw/zinc/31/07/41/707310741.db2.gz WGAOQLZBJMPDRG-UHFFFAOYSA-N -1 1 323.414 1.683 20 0 DDADMM O=C([O-])C12CCC(CC1)N2CCS(=O)(=O)Cc1ccccc1 ZINC000872163107 707310747 /nfs/dbraw/zinc/31/07/47/707310747.db2.gz WGAOQLZBJMPDRG-UHFFFAOYSA-N -1 1 323.414 1.683 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1cccnc1F)C(F)(F)F ZINC000881891774 707409196 /nfs/dbraw/zinc/40/91/96/707409196.db2.gz DIOSJDQWCIHIEV-ZETCQYMHSA-N -1 1 302.249 1.076 20 0 DDADMM C[C@@](NC(=O)NCc1ccc2cncn2c1)(C(=O)[O-])C1CC1 ZINC000909173161 712954101 /nfs/dbraw/zinc/95/41/01/712954101.db2.gz YCEILVPSMNRNQV-HNNXBMFYSA-N -1 1 302.334 1.387 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@@H]1CCCN1Cc1ccccn1 ZINC000836893443 707540759 /nfs/dbraw/zinc/54/07/59/707540759.db2.gz BASNNRGLQNWDNI-LSDHHAIUSA-N -1 1 307.394 1.111 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](C(C)(C)O)C2)c1 ZINC000872675419 707553585 /nfs/dbraw/zinc/55/35/85/707553585.db2.gz DEFJFSZVTWCMGI-SNVBAGLBSA-N -1 1 315.391 1.182 20 0 DDADMM CO[C@H]1COCC[C@H]1N(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000882375120 707611965 /nfs/dbraw/zinc/61/19/65/707611965.db2.gz LXKZVPXMHVDRAN-OCCSQVGLSA-N -1 1 313.781 1.850 20 0 DDADMM CO[C@H]1C[C@H](C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)C1 ZINC000882588392 707708948 /nfs/dbraw/zinc/70/89/48/707708948.db2.gz LUTRVKPLIKNOLM-MGCOHNPYSA-N -1 1 305.346 1.977 20 0 DDADMM CO[C@H]1C[C@H](C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000882591713 707710186 /nfs/dbraw/zinc/71/01/86/707710186.db2.gz PJUUZHLBYNAULW-ZKCHVHJHSA-N -1 1 309.309 1.807 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H](O)c2cnn(C)c2)c1 ZINC000837727388 707728479 /nfs/dbraw/zinc/72/84/79/707728479.db2.gz HXUDEMWSSZMJRG-HNNXBMFYSA-N -1 1 318.329 1.148 20 0 DDADMM COC[C@H]1C[C@@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000882700897 707752857 /nfs/dbraw/zinc/75/28/57/707752857.db2.gz WLPCVVFEZDIVLS-OCAPTIKFSA-N -1 1 309.309 1.807 20 0 DDADMM CCc1c2ccccc2oc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000837833533 707758975 /nfs/dbraw/zinc/75/89/75/707758975.db2.gz WOIXCASZNDRIPD-UHFFFAOYSA-N -1 1 301.302 1.774 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)[C@@H]1CCCOC1 ZINC000882760863 707776347 /nfs/dbraw/zinc/77/63/47/707776347.db2.gz NURBNOAWPFMITE-RKDXNWHRSA-N -1 1 307.803 1.547 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1nn(C)cc1Cl)C1CC1 ZINC000882796727 707800802 /nfs/dbraw/zinc/80/08/02/707800802.db2.gz RBEWAWPJKOARDT-SNVBAGLBSA-N -1 1 307.803 1.630 20 0 DDADMM C[C@]1(CNc2nc3[nH][n-]cc-3c(=O)n2)OCCc2ccccc21 ZINC000882929183 707855935 /nfs/dbraw/zinc/85/59/35/707855935.db2.gz AUFUWTRBVWSLMI-MRXNPFEDSA-N -1 1 311.345 1.332 20 0 DDADMM C[C@@]1(CNc2nc3[nH][n-]cc-3c(=O)n2)OCCc2ccccc21 ZINC000882929182 707855970 /nfs/dbraw/zinc/85/59/70/707855970.db2.gz AUFUWTRBVWSLMI-INIZCTEOSA-N -1 1 311.345 1.332 20 0 DDADMM O=C(COCC1CCCC1)OCCC[N-]C(=O)C(F)(F)F ZINC000838328945 707900653 /nfs/dbraw/zinc/90/06/53/707900653.db2.gz UALHWDLKLUERJR-UHFFFAOYSA-N -1 1 311.300 1.805 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NC(=O)CN(C)CCc1ccccc1 ZINC000909374215 713003110 /nfs/dbraw/zinc/00/31/10/713003110.db2.gz OBZSJDPCANFMME-QGZVFWFLSA-N -1 1 322.405 1.157 20 0 DDADMM C[C@H]1CO[C@@H](C(=O)Nc2nc(Br)ccc2[O-])C1 ZINC000897112889 708232000 /nfs/dbraw/zinc/23/20/00/708232000.db2.gz SWDHYVGSROKDMF-HTRCEHHLSA-N -1 1 301.140 1.913 20 0 DDADMM COc1cc(C)nc(N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)n1 ZINC000897223964 708260742 /nfs/dbraw/zinc/26/07/42/708260742.db2.gz CRTOBNFFEPWWCB-SNVBAGLBSA-N -1 1 317.349 1.639 20 0 DDADMM COc1cnc([C@@H]2CCCN2c2cncc(Cl)n2)[n-]c1=O ZINC000897224145 708261302 /nfs/dbraw/zinc/26/13/02/708261302.db2.gz IOKKYTKWXFMJTR-QMMMGPOBSA-N -1 1 307.741 1.976 20 0 DDADMM C[C@H](CN(C(=O)CN(C)CCc1ccccc1)C1CC1)C(=O)[O-] ZINC000909453001 713021960 /nfs/dbraw/zinc/02/19/60/713021960.db2.gz AQEXUJUFHPCSPJ-CQSZACIVSA-N -1 1 318.417 1.873 20 0 DDADMM Cc1cnc(F)cc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000897640322 708403293 /nfs/dbraw/zinc/40/32/93/708403293.db2.gz LYSQUCSOXJLSCU-SECBINFHSA-N -1 1 306.297 1.638 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)c1ccns1)C(=O)OCC ZINC000885106028 708474471 /nfs/dbraw/zinc/47/44/71/708474471.db2.gz IZFPEHGFJVFUGP-SGRBOOSSSA-N -1 1 304.393 1.319 20 0 DDADMM COC(=O)C1(C[N-]S(=O)(=O)c2c(F)cccc2Cl)CC1 ZINC000885401529 708536542 /nfs/dbraw/zinc/53/65/42/708536542.db2.gz SQJNMSXYVMAQPO-UHFFFAOYSA-N -1 1 321.757 1.711 20 0 DDADMM COC(=O)c1ccc(OC)cc1S(=O)(=O)[N-]C(CF)CF ZINC000885414082 708538907 /nfs/dbraw/zinc/53/89/07/708538907.db2.gz QHSIGTPIJRVSTL-UHFFFAOYSA-N -1 1 323.317 1.068 20 0 DDADMM CCN(C[C@@H](C)OC)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000885445352 708547077 /nfs/dbraw/zinc/54/70/77/708547077.db2.gz NBRZXUQXYBWHNL-SNVBAGLBSA-N -1 1 303.380 1.446 20 0 DDADMM O=C([N-]CC1CN(C(=O)N[C@@H]2C[C@@H]3CCC[C@@H]23)C1)C(F)(F)F ZINC000898257503 708588034 /nfs/dbraw/zinc/58/80/34/708588034.db2.gz WWAZQJMEVOIWPF-HBNTYKKESA-N -1 1 319.327 1.495 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC[C@H]2CC(C)(C)CO2)sn1 ZINC000885675463 708594849 /nfs/dbraw/zinc/59/48/49/708594849.db2.gz VLRUFBBMDUOYMR-JTQLQIEISA-N -1 1 304.437 1.935 20 0 DDADMM C[C@H](CS(C)(=O)=O)C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885677080 708595200 /nfs/dbraw/zinc/59/52/00/708595200.db2.gz ZRJVTRLINJRITN-ZCFIWIBFSA-N -1 1 309.746 1.804 20 0 DDADMM CCC(=O)N[C@@H](C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264985 708732201 /nfs/dbraw/zinc/73/22/01/708732201.db2.gz TURGSXFQHAUKRG-QMMMGPOBSA-N -1 1 300.305 1.244 20 0 DDADMM CC(C)(C)n1cnc(C(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC000886268485 708732927 /nfs/dbraw/zinc/73/29/27/708732927.db2.gz QSLMMBJUAMXOHJ-UHFFFAOYSA-N -1 1 324.331 1.989 20 0 DDADMM C[C@@H]1CN(C(=O)NCCc2c(F)cc([O-])cc2F)CCO1 ZINC000927779629 713054280 /nfs/dbraw/zinc/05/42/80/713054280.db2.gz FABGMWWBWFOPNL-SECBINFHSA-N -1 1 300.305 1.643 20 0 DDADMM CC[C@@H](C)[C@H](O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927781673 713054475 /nfs/dbraw/zinc/05/44/75/713054475.db2.gz RXJJTVNNERPONM-YMTOWFKASA-N -1 1 316.348 1.919 20 0 DDADMM O=S(=O)([N-]c1[nH]nc2c1COCC2)c1ccccc1Cl ZINC000886480286 708767484 /nfs/dbraw/zinc/76/74/84/708767484.db2.gz JNPPEOHCXXFZSH-UHFFFAOYSA-N -1 1 313.766 1.937 20 0 DDADMM C[C@H](C[C@H](C)O)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927784120 713055339 /nfs/dbraw/zinc/05/53/39/713055339.db2.gz NIGTUVMQOYFZIR-BDAKNGLRSA-N -1 1 302.321 1.672 20 0 DDADMM CN(C(=O)CCCCC(=O)c1ccc(F)cc1)c1nn[n-]n1 ZINC000912618655 713052959 /nfs/dbraw/zinc/05/29/59/713052959.db2.gz XSGDSGQGALLXHC-UHFFFAOYSA-N -1 1 305.313 1.745 20 0 DDADMM COC(=O)N(C)CCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898790069 708868375 /nfs/dbraw/zinc/86/83/75/708868375.db2.gz VKGNNIOTIRSORC-UHFFFAOYSA-N -1 1 318.329 1.679 20 0 DDADMM C[C@H]1CO[C@@H](C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)C1 ZINC000898989905 708946183 /nfs/dbraw/zinc/94/61/83/708946183.db2.gz ADDNKWJCDPXKBJ-CHWFTXMASA-N -1 1 322.327 1.327 20 0 DDADMM O=C(NC[C@H]1CC2(CCC2)CO1)c1ccc2n[n-]c(=S)n2c1 ZINC000899424474 709078736 /nfs/dbraw/zinc/07/87/36/709078736.db2.gz JEZOMGZFTLXIOQ-LLVKDONJSA-N -1 1 318.402 1.707 20 0 DDADMM NC(=O)[C@]12CCC[C@H]1CN(C(=O)c1c(F)ccc([O-])c1F)C2 ZINC000887945239 709176324 /nfs/dbraw/zinc/17/63/24/709176324.db2.gz LGWDUXMONPVKSC-AYVTZFPOSA-N -1 1 310.300 1.398 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC(N2CCCC2=O)C1 ZINC000888750123 709371950 /nfs/dbraw/zinc/37/19/50/709371950.db2.gz IEPCFNLCXYGARV-UHFFFAOYSA-N -1 1 311.341 1.387 20 0 DDADMM C[C@]1(NC(=O)c2ccc3ccc(O)cc3c2[O-])CCNC1=O ZINC000889025503 709435032 /nfs/dbraw/zinc/43/50/32/709435032.db2.gz UUHGVORQQXYBRX-INIZCTEOSA-N -1 1 300.314 1.259 20 0 DDADMM C[C@]1(NC(=O)[C@H]2CCCc3[nH]ncc32)CCCC[C@H]1C(=O)[O-] ZINC000909529033 709503297 /nfs/dbraw/zinc/50/32/97/709503297.db2.gz LPRQFZHTAAVTLI-PKWAYOAASA-N -1 1 305.378 1.979 20 0 DDADMM CSCC[C@H](NC(=O)CSC(C)(C)C)c1nn[n-]n1 ZINC000912859721 713109457 /nfs/dbraw/zinc/10/94/57/713109457.db2.gz DYSHWBTVFWCBPV-QMMMGPOBSA-N -1 1 303.457 1.642 20 0 DDADMM O=C(NC[C@H]1COCCO1)c1c([O-])cnc2c(F)cccc21 ZINC000900291189 709523639 /nfs/dbraw/zinc/52/36/39/709523639.db2.gz BJCUTVYQJFVMAP-VIFPVBQESA-N -1 1 306.293 1.225 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H]1Cc2ccc(F)cc21)c1nn[n-]n1 ZINC000912862192 713110461 /nfs/dbraw/zinc/11/04/61/713110461.db2.gz KZVLRZKWOVHEIF-NEPJUHHUSA-N -1 1 321.381 1.589 20 0 DDADMM CC(C)[C@]1(C(=O)[O-])CCN(C(=O)c2ccc3cncn3c2)C1 ZINC000909700445 709585729 /nfs/dbraw/zinc/58/57/29/709585729.db2.gz MDATWMGEUDYADT-INIZCTEOSA-N -1 1 301.346 1.907 20 0 DDADMM CCO[C@H](CCNC(=O)CN1CCC[C@H](C(=O)[O-])C1)C(C)C ZINC000909728893 709598024 /nfs/dbraw/zinc/59/80/24/709598024.db2.gz GKYSMIFYCBMFAF-UONOGXRCSA-N -1 1 314.426 1.350 20 0 DDADMM CCN(CC(=O)N(Cc1ccccc1)[C@H](C)C(=O)[O-])C1CC1 ZINC000909745412 709603215 /nfs/dbraw/zinc/60/32/15/709603215.db2.gz DEMZZJRCBDOIRN-CYBMUJFWSA-N -1 1 304.390 1.973 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@H](CC(F)F)C(=O)[O-])c1ccccc1 ZINC000909775414 709614003 /nfs/dbraw/zinc/61/40/03/709614003.db2.gz YCEDFOCFTPJQFY-YPMHNXCESA-N -1 1 314.332 1.904 20 0 DDADMM CN(CC(=O)OC(C)(C)C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900481165 709616186 /nfs/dbraw/zinc/61/61/86/709616186.db2.gz ORUMNRRGMJGNKB-UHFFFAOYSA-N -1 1 307.350 1.473 20 0 DDADMM COc1ccc([C@@H](NC(=O)[C@H]2CCCN2C)C(=O)[O-])cc1F ZINC000909799002 709627081 /nfs/dbraw/zinc/62/70/81/709627081.db2.gz CSNWLNOIPIZNOH-DGCLKSJQSA-N -1 1 310.325 1.170 20 0 DDADMM COc1ccc([C@@H](NC(=O)CN2CCCC2)C(=O)[O-])cc1F ZINC000909799791 709627819 /nfs/dbraw/zinc/62/78/19/709627819.db2.gz GMDNYPONHPVIFS-CQSZACIVSA-N -1 1 310.325 1.172 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2C[C@H]3C[C@H]3C2)[n-]c1=O ZINC000889787656 709636001 /nfs/dbraw/zinc/63/60/01/709636001.db2.gz YNHIHPYRSXBPNV-QCNOEVLYSA-N -1 1 303.362 1.900 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCSC[C@@H]2C)co1 ZINC000889920538 709676249 /nfs/dbraw/zinc/67/62/49/709676249.db2.gz YXJFPLIVTNKLMU-VIFPVBQESA-N -1 1 318.420 1.155 20 0 DDADMM C[C@H]1CC(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C[C@H](C)C1 ZINC000909945279 709700897 /nfs/dbraw/zinc/70/08/97/709700897.db2.gz ZBNZUDHATLUBKT-UMVBOHGHSA-N -1 1 310.438 1.972 20 0 DDADMM CN(C)CC(=O)N[C@H](C(=O)[O-])c1ccccc1Br ZINC000909955013 709707134 /nfs/dbraw/zinc/70/71/34/709707134.db2.gz QCIBIEALHHQCGB-NSHDSACASA-N -1 1 315.167 1.253 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOC[C@@H]1c1ccco1 ZINC000900742238 709738883 /nfs/dbraw/zinc/73/88/83/709738883.db2.gz OMVPTLYSHBMGSD-GFCCVEGCSA-N -1 1 315.329 1.866 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N(CC2CC2)CC2CCC2)C1 ZINC000910023075 709740862 /nfs/dbraw/zinc/74/08/62/709740862.db2.gz RNNMGLLIYHTQQB-HNNXBMFYSA-N -1 1 308.422 1.822 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)C1CCCCC1 ZINC000910095695 709770308 /nfs/dbraw/zinc/77/03/08/709770308.db2.gz QMFCNDFJJDUQEH-RISCZKNCSA-N -1 1 305.378 1.664 20 0 DDADMM C[C@H](NC(=O)CN1[C@H](C)CC[C@H]1C)c1ncc(C(=O)[O-])s1 ZINC000910160380 709795324 /nfs/dbraw/zinc/79/53/24/709795324.db2.gz NCUIIRPEZFXHCV-BBBLOLIVSA-N -1 1 311.407 1.891 20 0 DDADMM Cc1ccc(F)c(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000910171109 709796659 /nfs/dbraw/zinc/79/66/59/709796659.db2.gz UDUIVQFVSDMBRR-CYBMUJFWSA-N -1 1 322.380 1.684 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)Cc2ccc(O)c(F)c2)CC1 ZINC000910170336 709796773 /nfs/dbraw/zinc/79/67/73/709796773.db2.gz KFCHEQRDNBYZNO-NSHDSACASA-N -1 1 324.352 1.081 20 0 DDADMM COc1cc(C)ccc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000910171193 709796853 /nfs/dbraw/zinc/79/68/53/709796853.db2.gz VEBKULIKASHWAK-ZDUSSCGKSA-N -1 1 320.389 1.625 20 0 DDADMM CCN(C)[C@H](C(=O)N1CC[C@@](OC)(C(=O)[O-])C1)c1ccccc1 ZINC000910219408 709821413 /nfs/dbraw/zinc/82/14/13/709821413.db2.gz KRKYMHYXICVEGU-YOEHRIQHSA-N -1 1 320.389 1.382 20 0 DDADMM O=C([O-])C12CCC(CC1)N2C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000910295982 709873830 /nfs/dbraw/zinc/87/38/30/709873830.db2.gz RFZRFFFHDWIGOZ-UHFFFAOYSA-N -1 1 303.240 1.650 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(C(=O)c1n[nH]cc1C(F)(F)F)C2 ZINC000910420042 709932258 /nfs/dbraw/zinc/93/22/58/709932258.db2.gz UMCJZGOOMJXDOE-MADCSZMMSA-N -1 1 317.267 1.755 20 0 DDADMM COCCCOCCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901316908 710007419 /nfs/dbraw/zinc/00/74/19/710007419.db2.gz FTWJBUVBXBGICJ-UHFFFAOYSA-N -1 1 309.366 1.185 20 0 DDADMM CC(C)(C)[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1O ZINC000901335399 710013771 /nfs/dbraw/zinc/01/37/71/710013771.db2.gz KBDJHNWIXFKTOH-QWHCGFSZSA-N -1 1 319.405 1.929 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1cncc([O-])c1)CC1CCCC1 ZINC000890959576 710042925 /nfs/dbraw/zinc/04/29/25/710042925.db2.gz XMCFXHFWJAUQPW-ZDUSSCGKSA-N -1 1 306.362 1.887 20 0 DDADMM Cn1nc2c(c1CN1CCC3(C[C@@H]3C(=O)[O-])CC1)CCCC2 ZINC000901499205 710057442 /nfs/dbraw/zinc/05/74/42/710057442.db2.gz HGCPWEMMNHDQMY-CYBMUJFWSA-N -1 1 303.406 1.986 20 0 DDADMM CN(C)c1ncc(CN(CCC(=O)[O-])Cc2ccccc2)n1C ZINC000901562196 710076216 /nfs/dbraw/zinc/07/62/16/710076216.db2.gz XQMSYNLRJIYSMP-UHFFFAOYSA-N -1 1 316.405 1.963 20 0 DDADMM CCN(CC)[C@@H](C(=O)N1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1)c1ccccc1 ZINC000910799566 710080693 /nfs/dbraw/zinc/08/06/93/710080693.db2.gz XPMSYCPXVSZMJT-FXUDXRNXSA-N -1 1 316.401 1.859 20 0 DDADMM C[C@@H](C(=O)NCc1ncc(C(=O)[O-])s1)N1CCCCCC1 ZINC000910816493 710086396 /nfs/dbraw/zinc/08/63/96/710086396.db2.gz KHYUXDPAOLFPAL-JTQLQIEISA-N -1 1 311.407 1.722 20 0 DDADMM CCN(C)[C@H](C(=O)N1CC[C@H]2[C@@H](C1)[C@H]2C(=O)[O-])c1ccccc1 ZINC000910847006 710093517 /nfs/dbraw/zinc/09/35/17/710093517.db2.gz VYBCTDDIFYJWLF-FZKCQIBNSA-N -1 1 316.401 1.859 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1C[C@H](C(=O)[O-])CC[C@H]1C ZINC000901649347 710107784 /nfs/dbraw/zinc/10/77/84/710107784.db2.gz GDXAGJPNPOHCBC-ZHSDAYTOSA-N -1 1 305.378 1.787 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N[C@H](Cc1ccc(F)cc1)C(=O)[O-] ZINC000910907323 710114732 /nfs/dbraw/zinc/11/47/32/710114732.db2.gz MXKPUFLTXYYSTG-ZIAGYGMSSA-N -1 1 308.353 1.422 20 0 DDADMM CC(C)C[C@H](CNC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C)C(=O)[O-] ZINC000901691390 710119357 /nfs/dbraw/zinc/11/93/57/710119357.db2.gz XOWHPABZOKIPFA-MISXGVKJSA-N -1 1 307.394 1.939 20 0 DDADMM C[C@@H]1CCN(C(=O)CN(C)CCc2ccccc2)C[C@H]1C(=O)[O-] ZINC000901698314 710122916 /nfs/dbraw/zinc/12/29/16/710122916.db2.gz WRJJSNGONOTHAD-GDBMZVCRSA-N -1 1 318.417 1.730 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC000901703643 710126048 /nfs/dbraw/zinc/12/60/48/710126048.db2.gz BFIVUTGVSWFWQU-UHFFFAOYSA-N -1 1 318.417 1.874 20 0 DDADMM C[C@H](NCc1ccc(OCC2CC2)cc1)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901742082 710137107 /nfs/dbraw/zinc/13/71/07/710137107.db2.gz QWZPMCSLKNPJMH-RYUDHWBXSA-N -1 1 320.389 1.543 20 0 DDADMM C[C@@](CCF)(NCc1cn(-c2ccc(F)cc2)nn1)C(=O)[O-] ZINC000901914751 710173113 /nfs/dbraw/zinc/17/31/13/710173113.db2.gz CPOIDMDDSXBZGU-AWEZNQCLSA-N -1 1 310.304 1.699 20 0 DDADMM COCc1nc(NC[C@@H]2COc3ccccc3O2)cc(=O)[n-]1 ZINC000891465054 710209115 /nfs/dbraw/zinc/20/91/15/710209115.db2.gz OVWCRGGVVADXFT-SNVBAGLBSA-N -1 1 303.318 1.581 20 0 DDADMM CC1(C(=O)CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCCC1 ZINC000913164227 713174186 /nfs/dbraw/zinc/17/41/86/713174186.db2.gz PPISOTPWTBPEGB-UHFFFAOYSA-N -1 1 303.362 1.939 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@]12C[C@H]1COC21CCC1 ZINC000892153513 710382095 /nfs/dbraw/zinc/38/20/95/710382095.db2.gz OMMJYUKNDCTXPY-KGFZYKRKSA-N -1 1 302.256 1.721 20 0 DDADMM C[C@@H](Cn1ccnc1)[NH2+]Cc1ncc(Br)cc1[O-] ZINC000892565767 710460281 /nfs/dbraw/zinc/46/02/81/710460281.db2.gz JCNBULSUVGQZGJ-VIFPVBQESA-N -1 1 311.183 1.925 20 0 DDADMM O=C(OCCO)c1cccc([N-]S(=O)(=O)c2ccccc2)c1 ZINC000913258431 713186506 /nfs/dbraw/zinc/18/65/06/713186506.db2.gz WZGXJCKXXCIWOD-UHFFFAOYSA-N -1 1 321.354 1.637 20 0 DDADMM COCc1nc(N2CCC(CCC(=O)OC)CC2)cc(=O)[n-]1 ZINC000892871090 710516281 /nfs/dbraw/zinc/51/62/81/710516281.db2.gz KGCIMGIZXUBLBN-UHFFFAOYSA-N -1 1 309.366 1.498 20 0 DDADMM COCc1nc(N2CCC[C@@H](CC(=O)OC(C)C)C2)cc(=O)[n-]1 ZINC000893202116 710570376 /nfs/dbraw/zinc/57/03/76/710570376.db2.gz ZJSHZKMSWVXQRT-LBPRGKRZSA-N -1 1 323.393 1.887 20 0 DDADMM O=C([O-])Cn1cc(CNCCCn2ccc3ccccc32)nn1 ZINC000902074184 710604971 /nfs/dbraw/zinc/60/49/71/710604971.db2.gz JMFRSIWPHNMYQE-UHFFFAOYSA-N -1 1 313.361 1.497 20 0 DDADMM O=C([O-])c1cnc([C@H]2CCN(C(=O)CCc3cnc[nH]3)C2)s1 ZINC000911039775 710606525 /nfs/dbraw/zinc/60/65/25/710606525.db2.gz NEDBCRLGBCUQNK-VIFPVBQESA-N -1 1 320.374 1.513 20 0 DDADMM CC(C)CN1CCN(C(=O)CCCn2ccc(C(=O)[O-])n2)CC1 ZINC000911107280 710636404 /nfs/dbraw/zinc/63/64/04/710636404.db2.gz PXQYLMWDOFJRRK-UHFFFAOYSA-N -1 1 322.409 1.162 20 0 DDADMM CON1CC[C@@H](O[N-]C(=O)Cc2cccc3ccccc32)C1=O ZINC000902252648 710682632 /nfs/dbraw/zinc/68/26/32/710682632.db2.gz HKWPIDFTLKGLMU-OAHLLOKOSA-N -1 1 314.341 1.592 20 0 DDADMM O=C([O-])[C@H]1CCC[N@@H+](CC(=O)Nc2ccc3[nH]cnc3c2)C1 ZINC000911213948 710688954 /nfs/dbraw/zinc/68/89/54/710688954.db2.gz KZMLUOYJWMRKSE-JTQLQIEISA-N -1 1 302.334 1.298 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)Nc2ccc3[nH]cnc3c2)C1 ZINC000911213948 710688957 /nfs/dbraw/zinc/68/89/57/710688957.db2.gz KZMLUOYJWMRKSE-JTQLQIEISA-N -1 1 302.334 1.298 20 0 DDADMM Cn1ccc2ccc(NC(=O)CN3CCC[C@H](C(=O)[O-])C3)cc21 ZINC000911253834 710710227 /nfs/dbraw/zinc/71/02/27/710710227.db2.gz KKQCJWSCHGSJBL-ZDUSSCGKSA-N -1 1 315.373 1.913 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC000902324120 710717273 /nfs/dbraw/zinc/71/72/73/710717273.db2.gz ZZEPAHWVSGRVBK-WNMQOVRZSA-N -1 1 321.377 1.025 20 0 DDADMM CC1CCN(CC(=O)N(CCC(=O)[O-])Cc2cccnc2)CC1 ZINC000911272031 710720440 /nfs/dbraw/zinc/72/04/40/710720440.db2.gz DZLNGNATWBADSO-UHFFFAOYSA-N -1 1 319.405 1.617 20 0 DDADMM Cc1ccc(CN2CC[C@@H](NC(=O)CSCC(=O)[O-])C2)cc1 ZINC000902385700 710738195 /nfs/dbraw/zinc/73/81/95/710738195.db2.gz IIPKKMAZZZXYEK-CQSZACIVSA-N -1 1 322.430 1.503 20 0 DDADMM CS(=O)(=O)Cc1ccccc1CNCc1ccoc1C(=O)[O-] ZINC000902400424 710741609 /nfs/dbraw/zinc/74/16/09/710741609.db2.gz QFJFSPJRWUFVNH-UHFFFAOYSA-N -1 1 323.370 1.812 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2CCc3c2cccc3O)C1 ZINC000911335402 710748349 /nfs/dbraw/zinc/74/83/49/710748349.db2.gz QCYHQYGSXODGFE-SMDDNHRTSA-N -1 1 318.373 1.292 20 0 DDADMM COc1ccc([C@H](C)NCc2cn(C)nc2C(=O)[O-])c(OC)c1 ZINC000902439280 710754683 /nfs/dbraw/zinc/75/46/83/710754683.db2.gz YBSPLXWRDSDPNX-JTQLQIEISA-N -1 1 319.361 1.986 20 0 DDADMM Cn1cccc1C(=O)N1CCN(CCC(C)(C)C(=O)[O-])CC1 ZINC000902458316 710760120 /nfs/dbraw/zinc/76/01/20/710760120.db2.gz HUPNMAWQQVUXCK-UHFFFAOYSA-N -1 1 307.394 1.284 20 0 DDADMM CC(C)[C@H]1CN(C(=O)[C@@H]2CO[C@H](CCC(=O)[O-])C2)CCN1C ZINC000902491579 710769439 /nfs/dbraw/zinc/76/94/39/710769439.db2.gz MOWFGHNXNSMYOC-BFHYXJOUSA-N -1 1 312.410 1.055 20 0 DDADMM O=C([O-])C12CCC(C(=O)N[C@@H]3CCCc4cn[nH]c43)(CC1)C2 ZINC000911384925 710772195 /nfs/dbraw/zinc/77/21/95/710772195.db2.gz LDMFVQQOUDUBOW-VHWKEVPUSA-N -1 1 303.362 1.938 20 0 DDADMM CC1CCC(N2CCN(C(=O)c3cc(C(=O)[O-])on3)CC2)CC1 ZINC000911386136 710772862 /nfs/dbraw/zinc/77/28/62/710772862.db2.gz ZQVKPKVQIULLMD-UHFFFAOYSA-N -1 1 321.377 1.709 20 0 DDADMM COCc1nc(N[C@@H](CCO)Cc2ccccc2)cc(=O)[n-]1 ZINC000893700126 710783826 /nfs/dbraw/zinc/78/38/26/710783826.db2.gz BAXGNDJPVFRRDE-ZDUSSCGKSA-N -1 1 303.362 1.734 20 0 DDADMM CC1(C)CO[C@H](CCNC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000902762389 710893039 /nfs/dbraw/zinc/89/30/39/710893039.db2.gz MRUYFMNOQOBSAR-LLVKDONJSA-N -1 1 315.373 1.858 20 0 DDADMM CCCc1[nH]ccc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913439012 713216106 /nfs/dbraw/zinc/21/61/06/713216106.db2.gz WAJUPKCMUPFKSP-CQSZACIVSA-N -1 1 310.361 2.000 20 0 DDADMM O=C([O-])CC1(CC(=O)N2CC[C@H](c3nc[nH]n3)C2)CCCC1 ZINC000911772187 710975957 /nfs/dbraw/zinc/97/59/57/710975957.db2.gz PGPGIHHCWUQNCN-NSHDSACASA-N -1 1 306.366 1.546 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)CCS1 ZINC000902974148 710981312 /nfs/dbraw/zinc/98/13/12/710981312.db2.gz XYBFECQRRFZOKU-ZJUUUORDSA-N -1 1 303.387 1.937 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cc(C)nnc1N(C)C)C(C)C ZINC000903176625 711055498 /nfs/dbraw/zinc/05/54/98/711055498.db2.gz RTEJYNVNWKNPSL-LBPRGKRZSA-N -1 1 316.427 1.264 20 0 DDADMM O=C(N[C@@H](C1CC1)C1(CO)CCOCC1)c1ccc([O-])cc1F ZINC000911982924 711103622 /nfs/dbraw/zinc/10/36/22/711103622.db2.gz PQHNMZFEFTTZKK-HNNXBMFYSA-N -1 1 323.364 1.829 20 0 DDADMM O=C([O-])c1cn([C@H]2CCCN(CCCOC(F)(F)F)C2)nn1 ZINC000903604146 711220479 /nfs/dbraw/zinc/22/04/79/711220479.db2.gz PYYUNMFFZLDTKM-VIFPVBQESA-N -1 1 322.287 1.540 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@H]1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494949 713237177 /nfs/dbraw/zinc/23/71/77/713237177.db2.gz DROVTMWAKALVKF-GMTAPVOTSA-N -1 1 319.390 1.273 20 0 DDADMM COC(=O)c1cnc([N-]S(=O)(=O)CC2(F)CC2)c(Cl)c1 ZINC000903632045 711231163 /nfs/dbraw/zinc/23/11/63/711231163.db2.gz JBQHNHFHQLBNAS-UHFFFAOYSA-N -1 1 322.745 1.765 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495673 713237735 /nfs/dbraw/zinc/23/77/35/713237735.db2.gz NNAXKLXHWMBCBR-UQTJOTSZSA-N -1 1 313.361 1.512 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@@H]1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913494951 713237924 /nfs/dbraw/zinc/23/79/24/713237924.db2.gz DROVTMWAKALVKF-OUAUKWLOSA-N -1 1 319.390 1.273 20 0 DDADMM CC1(C)CCC(=CC(=O)N2CCOC[C@H]2c2nn[n-]n2)CC1 ZINC000913495681 713238233 /nfs/dbraw/zinc/23/82/33/713238233.db2.gz NVDRCVSUTFAULK-LBPRGKRZSA-N -1 1 305.382 1.626 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)NCc1cccs1 ZINC000903711568 711250124 /nfs/dbraw/zinc/25/01/24/711250124.db2.gz CIXLTRATTQPOML-NSHDSACASA-N -1 1 314.407 1.176 20 0 DDADMM O=C(c1cc2cccnc2s1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913498721 713239188 /nfs/dbraw/zinc/23/91/88/713239188.db2.gz ROCHXNOPBBAYEO-VIFPVBQESA-N -1 1 316.346 1.023 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2CC3(CSC3)C2)n[n-]1 ZINC000912362517 711292119 /nfs/dbraw/zinc/29/21/19/711292119.db2.gz HBQNSOVMRSIQHU-MRVPVSSYSA-N -1 1 324.406 1.302 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2CC3(CSC3)C2)[n-]1 ZINC000912362517 711292124 /nfs/dbraw/zinc/29/21/24/711292124.db2.gz HBQNSOVMRSIQHU-MRVPVSSYSA-N -1 1 324.406 1.302 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2CC3(CSC3)C2)n1 ZINC000912362517 711292127 /nfs/dbraw/zinc/29/21/27/711292127.db2.gz HBQNSOVMRSIQHU-MRVPVSSYSA-N -1 1 324.406 1.302 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=C2CCSCC2)n[n-]1 ZINC000912361856 711292151 /nfs/dbraw/zinc/29/21/51/711292151.db2.gz CXEKMFDTBQQFKO-VIFPVBQESA-N -1 1 324.406 1.612 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=C2CCSCC2)[n-]1 ZINC000912361856 711292156 /nfs/dbraw/zinc/29/21/56/711292156.db2.gz CXEKMFDTBQQFKO-VIFPVBQESA-N -1 1 324.406 1.612 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C=C2CCSCC2)n1 ZINC000912361856 711292160 /nfs/dbraw/zinc/29/21/60/711292160.db2.gz CXEKMFDTBQQFKO-VIFPVBQESA-N -1 1 324.406 1.612 20 0 DDADMM O=C([O-])c1cnc([C@@H]2CCN(CCOCC(F)F)C2)s1 ZINC000903923791 711334006 /nfs/dbraw/zinc/33/40/06/711334006.db2.gz BOIOZJDWEURQKL-MRVPVSSYSA-N -1 1 306.334 1.912 20 0 DDADMM COCc1nc(NCCc2cn(C)c3ncccc23)cc(=O)[n-]1 ZINC000895022574 711372541 /nfs/dbraw/zinc/37/25/41/711372541.db2.gz WKESKWXGPCGLCK-UHFFFAOYSA-N -1 1 313.361 1.870 20 0 DDADMM CC1(C)CNC(=O)[C@H]1NCc1ncc(Br)cc1[O-] ZINC000895434340 711511829 /nfs/dbraw/zinc/51/18/29/711511829.db2.gz VDEJQYCRIQYYRZ-SNVBAGLBSA-N -1 1 314.183 1.164 20 0 DDADMM CC1([N-]C(=O)C(F)(F)c2ncccc2Br)COC1 ZINC000913664882 713277479 /nfs/dbraw/zinc/27/74/79/713277479.db2.gz PXAJXMDPZAMEOX-UHFFFAOYSA-N -1 1 321.121 1.841 20 0 DDADMM CNC(=O)[C@H]1CCCN1C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896427426 711724456 /nfs/dbraw/zinc/72/44/56/711724456.db2.gz ALIKSSNHQPSINA-CQSZACIVSA-N -1 1 314.341 1.602 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)NC12CCN(CC1)C2 ZINC000913628462 713272045 /nfs/dbraw/zinc/27/20/45/713272045.db2.gz IYYGKZJDRDAFFW-UHFFFAOYSA-N -1 1 323.418 1.026 20 0 DDADMM O=C([O-])[C@@]1(c2nc(C3CCCCCCC3)no2)CNCCO1 ZINC000904633568 711879510 /nfs/dbraw/zinc/87/95/10/711879510.db2.gz KEJRURDTSOWILX-HNNXBMFYSA-N -1 1 309.366 1.797 20 0 DDADMM COc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1OC ZINC000913742013 713291535 /nfs/dbraw/zinc/29/15/35/713291535.db2.gz BBNJOYDXURHAPD-UHFFFAOYSA-N -1 1 317.349 1.237 20 0 DDADMM CC(C)S(=O)(=O)c1nc(-c2ccc3c(c2)OCCO3)n[n-]1 ZINC000905253293 711980788 /nfs/dbraw/zinc/98/07/88/711980788.db2.gz PLQNVDZMXYPHRQ-UHFFFAOYSA-N -1 1 309.347 1.425 20 0 DDADMM CC(C)S(=O)(=O)c1n[n-]c(-c2ccc3c(c2)OCCO3)n1 ZINC000905253293 711980791 /nfs/dbraw/zinc/98/07/91/711980791.db2.gz PLQNVDZMXYPHRQ-UHFFFAOYSA-N -1 1 309.347 1.425 20 0 DDADMM CCn1ncc(Cl)c1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745523 713293720 /nfs/dbraw/zinc/29/37/20/713293720.db2.gz IISMDOXDMKHWNN-UHFFFAOYSA-N -1 1 309.761 1.089 20 0 DDADMM Cc1cccc(OC[C@H](O)CNCc2ccc(C(=O)[O-])cn2)c1 ZINC000905725751 712133003 /nfs/dbraw/zinc/13/30/03/712133003.db2.gz XUWZFPYSIMREFY-OAHLLOKOSA-N -1 1 316.357 1.618 20 0 DDADMM CCc1cc(CC(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)n(C)n1 ZINC000905946378 712196753 /nfs/dbraw/zinc/19/67/53/712196753.db2.gz AYWJCPWJHMVMKI-UHFFFAOYSA-N -1 1 315.377 1.538 20 0 DDADMM Cc1ncccc1[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000906058486 712233046 /nfs/dbraw/zinc/23/30/46/712233046.db2.gz VVHWWRJWTGEVRS-GOSISDBHSA-N -1 1 305.425 1.943 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1ccc(SC)nc1 ZINC000906059176 712233386 /nfs/dbraw/zinc/23/33/86/712233386.db2.gz IZIJDDDLEBBQGW-QGZVFWFLSA-N -1 1 309.438 1.578 20 0 DDADMM O=C([O-])c1ccc(O)c(S(=O)(=O)N2CCC[C@H]2C2CC2)c1 ZINC000906852939 712422509 /nfs/dbraw/zinc/42/25/09/712422509.db2.gz NDVCNTHAIRCOOV-NSHDSACASA-N -1 1 311.359 1.654 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1C ZINC000907103741 712488108 /nfs/dbraw/zinc/48/81/08/712488108.db2.gz HBRJMMZAWPSOOU-ZDUSSCGKSA-N -1 1 313.361 1.731 20 0 DDADMM COC[C@H](CNC(=O)c1c([O-])cnc2c(F)cccc21)OC ZINC000907284693 712533220 /nfs/dbraw/zinc/53/32/20/712533220.db2.gz OONYZQWIIIUVJK-VIFPVBQESA-N -1 1 308.309 1.471 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccnc(NC2CCC2)c1)c1nn[n-]n1 ZINC000907350035 712550103 /nfs/dbraw/zinc/55/01/03/712550103.db2.gz LGDCIHWQUJWPFX-JTQLQIEISA-N -1 1 315.381 1.435 20 0 DDADMM O=C(c1cscc1Cl)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907474304 712581441 /nfs/dbraw/zinc/58/14/41/712581441.db2.gz GKCJCAPGQMTIIE-MRVPVSSYSA-N -1 1 315.811 1.845 20 0 DDADMM Cc1ccccc1OCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479192 712583196 /nfs/dbraw/zinc/58/31/96/712583196.db2.gz ZJBHZACWTYZLHS-LLVKDONJSA-N -1 1 319.390 1.204 20 0 DDADMM CSc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)co1 ZINC000907479304 712583332 /nfs/dbraw/zinc/58/33/32/712583332.db2.gz BMUATSTYKXWWQG-MRVPVSSYSA-N -1 1 311.392 1.445 20 0 DDADMM CCc1c(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)ccn1C ZINC000907479753 712583362 /nfs/dbraw/zinc/58/33/62/712583362.db2.gz HYXMOZVPOQXVRY-LLVKDONJSA-N -1 1 306.395 1.031 20 0 DDADMM COC(=O)C[C@@H]([N-]S(=O)(=O)c1cc(C)ns1)C(C)(C)C ZINC000907840057 712634141 /nfs/dbraw/zinc/63/41/41/712634141.db2.gz YTMCXXYYASJTJW-SECBINFHSA-N -1 1 320.436 1.708 20 0 DDADMM C[C@@H]1CCNC(=O)[C@@H]1NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000908002827 712663919 /nfs/dbraw/zinc/66/39/19/712663919.db2.gz PVQOWQJDGOAONW-AMIZOPFISA-N -1 1 317.320 1.334 20 0 DDADMM COC[C@@H](OC)C(=O)Nc1cccc([O-])c1Br ZINC000908709641 712839087 /nfs/dbraw/zinc/83/90/87/712839087.db2.gz IOGCZBHRPNMUTJ-SECBINFHSA-N -1 1 304.140 1.755 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2C[C@H]2c2ccccc2)C1 ZINC000908787822 712854965 /nfs/dbraw/zinc/85/49/65/712854965.db2.gz HXFUUJJUISOSNI-SOUVJXGZSA-N -1 1 302.374 1.455 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC2(c3ccccc3F)CC2)C1 ZINC000908816971 712861147 /nfs/dbraw/zinc/86/11/47/712861147.db2.gz MZAZFBFHGRBJFN-GFCCVEGCSA-N -1 1 320.364 1.728 20 0 DDADMM C/C=C/C[C@@H]([N-]S(=O)(=O)CC1(F)CCC1)C(=O)OCC ZINC000914374353 713385491 /nfs/dbraw/zinc/38/54/91/713385491.db2.gz BEKHFVNQXKFVOD-JOAKQRRISA-N -1 1 307.387 1.696 20 0 DDADMM CCCc1ncc(C[N-]S(=O)(=O)c2cnc(OC)s2)o1 ZINC000916125784 713443990 /nfs/dbraw/zinc/44/39/90/713443990.db2.gz JAAQMHITEVLQIP-UHFFFAOYSA-N -1 1 317.392 1.571 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)CC1(F)CCC1)C(=O)OC ZINC000916589343 713459510 /nfs/dbraw/zinc/45/95/10/713459510.db2.gz WGONTMNSAMCMIW-QWRGUYRKSA-N -1 1 309.403 1.776 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-][C@@H]1CCCCC1(OC)OC)OC ZINC000918061329 713527595 /nfs/dbraw/zinc/52/75/95/713527595.db2.gz ORDJHGFVPNRYSE-VXGBXAGGSA-N -1 1 309.428 1.263 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2 ZINC000918060459 713527679 /nfs/dbraw/zinc/52/76/79/713527679.db2.gz COOYARFILSLGTA-UMSGYPCISA-N -1 1 319.423 1.157 20 0 DDADMM C[C@H](CN1CCS(=O)(=O)[C@H](C)C1)C(=O)c1ccc([O-])cc1 ZINC000929679394 713667293 /nfs/dbraw/zinc/66/72/93/713667293.db2.gz HGSYPBASGOYTGI-VXGBXAGGSA-N -1 1 311.403 1.330 20 0 DDADMM O=S(=O)([N-]Cc1nc2c(s1)CCC2)c1ccns1 ZINC000920976184 713705233 /nfs/dbraw/zinc/70/52/33/713705233.db2.gz HBLGAPDGPNOCIO-UHFFFAOYSA-N -1 1 301.418 1.567 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H]1C=C[C@H](CO)C1 ZINC000921290422 713727431 /nfs/dbraw/zinc/72/74/31/713727431.db2.gz CDUWOEGSQREZNT-VHSXEESVSA-N -1 1 312.316 1.449 20 0 DDADMM COC(=O)[C@@H]1COCCN1C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000930013509 713735859 /nfs/dbraw/zinc/73/58/59/713735859.db2.gz GMGJNORVFFAHKY-FZMZJTMJSA-N -1 1 307.346 1.085 20 0 DDADMM C[C@H]1COC[C@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC000921494549 713787847 /nfs/dbraw/zinc/78/78/47/713787847.db2.gz JUWVYRCXLSOWFA-NKWVEPMBSA-N -1 1 311.190 1.702 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1occc1C(=O)OC)c1ccccn1 ZINC000921519346 713795743 /nfs/dbraw/zinc/79/57/43/713795743.db2.gz BKGMGUSQUKUVAW-NSHDSACASA-N -1 1 324.358 1.891 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@]12CCC[C@H]1OCC2 ZINC000921585315 713813708 /nfs/dbraw/zinc/81/37/08/713813708.db2.gz OVHARFBMMWFSFR-VXGBXAGGSA-N -1 1 322.452 1.042 20 0 DDADMM CO[C@H]1COCC[C@@H]1N(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000921922521 713909141 /nfs/dbraw/zinc/90/91/41/713909141.db2.gz SDCNQYNXDUYOGL-KBPBESRZSA-N -1 1 316.357 1.816 20 0 DDADMM COC[C@@H](C)S(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921966331 713922089 /nfs/dbraw/zinc/92/20/89/713922089.db2.gz IVXBYAXMPOINBV-MRVPVSSYSA-N -1 1 309.334 1.167 20 0 DDADMM O=S(=O)([N-]C1([C@H]2CCCCO2)CC1)c1c[nH]nc1Cl ZINC000922098122 713959512 /nfs/dbraw/zinc/95/95/12/713959512.db2.gz GESTUBVFTUDXHW-SECBINFHSA-N -1 1 305.787 1.443 20 0 DDADMM CC(C)OCCONC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922567796 714090159 /nfs/dbraw/zinc/09/01/59/714090159.db2.gz UQJDTXWVIHIOCP-UHFFFAOYSA-N -1 1 318.320 1.869 20 0 DDADMM COC(=O)/C=C(\C)CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000931569301 714131041 /nfs/dbraw/zinc/13/10/41/714131041.db2.gz VBDYUGBHKXYNHJ-LZGFCCKTSA-N -1 1 308.300 1.249 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCc2cccc3c2OCC3)C1 ZINC000923110198 714239735 /nfs/dbraw/zinc/23/97/35/714239735.db2.gz VWDQWZNAKKTOQC-AWEZNQCLSA-N -1 1 318.373 1.034 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NCC[C@@H]1CCC2(CCC2)O1 ZINC000923113103 714240549 /nfs/dbraw/zinc/24/05/49/714240549.db2.gz SLZJKFHGJPVBFH-KBPBESRZSA-N -1 1 324.421 1.391 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@H](CO)C1CCC1 ZINC000932057215 714248391 /nfs/dbraw/zinc/24/83/91/714248391.db2.gz FMBUUKOQBUMOBP-CQSZACIVSA-N -1 1 316.361 1.860 20 0 DDADMM O=C(N[C@H]1C(=O)NCC12CCOCC2)c1cc(Cl)ccc1[O-] ZINC000923952209 714493790 /nfs/dbraw/zinc/49/37/90/714493790.db2.gz FVWBYYJFTYLUJU-LBPRGKRZSA-N -1 1 324.764 1.071 20 0 DDADMM C[C@H]1CN(C(=O)CC(C)(C)C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966157166 717985354 /nfs/dbraw/zinc/98/53/54/717985354.db2.gz WKIPOWNGPMVSHM-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM COc1cnc([C@@H]2CCCN2CCn2nc(C)cc2C)[n-]c1=O ZINC000934279802 714785531 /nfs/dbraw/zinc/78/55/31/714785531.db2.gz POVIHPDVXLKFME-ZDUSSCGKSA-N -1 1 317.393 1.841 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(F)c2occc21)c1nn[n-]n1 ZINC000935368838 715039070 /nfs/dbraw/zinc/03/90/70/715039070.db2.gz UUHNMJJXXVWZSA-MRVPVSSYSA-N -1 1 303.297 1.961 20 0 DDADMM O=C(C[C@H]1CCCC1(F)F)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000935457664 715060293 /nfs/dbraw/zinc/06/02/93/715060293.db2.gz WSRJNELRTMAFGX-RKDXNWHRSA-N -1 1 317.365 1.642 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)C2(CF)CCC2)C1)c1ncccc1[O-] ZINC000936117886 715150732 /nfs/dbraw/zinc/15/07/32/715150732.db2.gz BVAQFXQFINVRML-LLVKDONJSA-N -1 1 321.352 1.258 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956156765 715337765 /nfs/dbraw/zinc/33/77/65/715337765.db2.gz CKABGNWCFNXLJQ-AAEUAGOBSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000937865600 715619716 /nfs/dbraw/zinc/61/97/16/715619716.db2.gz KNYKXFHELLLPOH-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CCC(=O)N1CC[C@](C)(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000956926365 715667119 /nfs/dbraw/zinc/66/71/19/715667119.db2.gz LXLINDRNQHTHOI-INIZCTEOSA-N -1 1 318.377 1.190 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])c1ccco1 ZINC000955980301 716047805 /nfs/dbraw/zinc/04/78/05/716047805.db2.gz ZEIYIDVTEOLPHK-NSHDSACASA-N -1 1 315.329 1.415 20 0 DDADMM Cc1ccc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)o1 ZINC000959863014 716324838 /nfs/dbraw/zinc/32/48/38/716324838.db2.gz JVVAKUGLBWSXPE-NSHDSACASA-N -1 1 319.365 1.196 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2(CF)CC2)C1 ZINC000939568791 716329882 /nfs/dbraw/zinc/32/98/82/716329882.db2.gz XOTNRMGDRYHQRL-NSHDSACASA-N -1 1 321.352 1.210 20 0 DDADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1C[C@H]1C1CC1 ZINC000940696387 716919608 /nfs/dbraw/zinc/91/96/08/716919608.db2.gz TWFMRYSTIGPMBD-FRRDWIJNSA-N -1 1 315.373 1.164 20 0 DDADMM CCCC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000959339456 716971818 /nfs/dbraw/zinc/97/18/18/716971818.db2.gz QKEVIHAJCAMWMF-VXGBXAGGSA-N -1 1 305.378 1.412 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000965091088 717532728 /nfs/dbraw/zinc/53/27/28/717532728.db2.gz BOEUBYXOQKZJDB-AVGNSLFASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)C(C)(C)C ZINC000945278740 718435354 /nfs/dbraw/zinc/43/53/54/718435354.db2.gz JDNYGMRGQRRQNN-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM CC(=O)N[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1C ZINC000945327661 718441825 /nfs/dbraw/zinc/44/18/25/718441825.db2.gz MKBYHQQCPUBJLP-TVQRCGJNSA-N -1 1 318.377 1.046 20 0 DDADMM C[C@H]1CN(C(=O)c2cc[nH]c2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966498114 718570533 /nfs/dbraw/zinc/57/05/33/718570533.db2.gz CQDHMUBREZPGMC-JQWIXIFHSA-N -1 1 314.345 1.006 20 0 DDADMM CCC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000945933565 718618099 /nfs/dbraw/zinc/61/80/99/718618099.db2.gz XCKXTYRBSURIEN-NEPJUHHUSA-N -1 1 303.362 1.260 20 0 DDADMM CC(C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])CC1(C)C ZINC000966972926 718733126 /nfs/dbraw/zinc/73/31/26/718733126.db2.gz SDOLZFQIOYASPQ-ZDUSSCGKSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1CNC(=O)C1CC1)c1ncccc1[O-] ZINC000946379609 718765071 /nfs/dbraw/zinc/76/50/71/718765071.db2.gz JDVJVBIZUFWRRY-QWHCGFSZSA-N -1 1 317.389 1.602 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccoc2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000947501576 719188907 /nfs/dbraw/zinc/18/89/07/719188907.db2.gz ZSNCMECQXQCNHL-ZYHUDNBSSA-N -1 1 315.329 1.271 20 0 DDADMM CCCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](CC)C1 ZINC000948239175 719410298 /nfs/dbraw/zinc/41/02/98/719410298.db2.gz MPCGCAWJXBLOMQ-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C1CC1 ZINC000948389796 719478504 /nfs/dbraw/zinc/47/85/04/719478504.db2.gz LCKDXPMVGXZKAO-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000948506021 719528292 /nfs/dbraw/zinc/52/82/92/719528292.db2.gz XIZGRBCAMAPUES-GFCCVEGCSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(C=C1CCC1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949534910 720145244 /nfs/dbraw/zinc/14/52/44/720145244.db2.gz JBCLRSLPZHOUCF-LBPRGKRZSA-N -1 1 301.346 1.228 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)C2CN(CCC(F)(F)F)C2)c1[O-] ZINC000969499752 720161863 /nfs/dbraw/zinc/16/18/63/720161863.db2.gz AQGICUCYARZFCD-ZETCQYMHSA-N -1 1 320.315 1.426 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(Cc2cncs2)C1 ZINC000969541318 720180192 /nfs/dbraw/zinc/18/01/92/720180192.db2.gz BJYWSKBSSIIBPX-SNVBAGLBSA-N -1 1 318.402 1.494 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000970528540 720798350 /nfs/dbraw/zinc/79/83/50/720798350.db2.gz SNBXMZXOLHGASD-IJLUTSLNSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCCCN1C(=O)C1CC1 ZINC000953518152 721619807 /nfs/dbraw/zinc/61/98/07/721619807.db2.gz ZITXKIMSSIEMDC-AAEUAGOBSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@@H](C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000953981157 721686642 /nfs/dbraw/zinc/68/66/42/721686642.db2.gz OMQGMRSGFCOECD-SNVBAGLBSA-N -1 1 303.362 1.116 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2CC(C)(C)C2)C1 ZINC000954123847 721723345 /nfs/dbraw/zinc/72/33/45/721723345.db2.gz ACYUMVVUQRRFNV-UHFFFAOYSA-N -1 1 317.389 1.506 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2ccccc2)C1 ZINC000954123902 721723565 /nfs/dbraw/zinc/72/35/65/721723565.db2.gz CVHRWNSGGSHXIL-UHFFFAOYSA-N -1 1 311.341 1.384 20 0 DDADMM CCCc1onc(C)c1C(=O)NCCCC[P@](=O)([O-])O ZINC001187947173 744638326 /nfs/dbraw/zinc/63/83/26/744638326.db2.gz UPAGJASITPXQJP-UHFFFAOYSA-N -1 1 304.283 1.623 20 0 DDADMM Cc1noc(C)c1CN1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038194234 733203281 /nfs/dbraw/zinc/20/32/81/733203281.db2.gz PDAKVOBBIAYLQR-LBPRGKRZSA-N -1 1 316.361 1.396 20 0 DDADMM CC[C@H](C)C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021442676 733207856 /nfs/dbraw/zinc/20/78/56/733207856.db2.gz TXHXKSDHZQQGLC-RWMBFGLXSA-N -1 1 317.389 1.506 20 0 DDADMM O=c1[n-]cnc(Nc2c[nH]c(=O)c(F)c2)c1Br ZINC001210599069 733219486 /nfs/dbraw/zinc/21/94/86/733219486.db2.gz ALFMKMUTSQQSSU-UHFFFAOYSA-N -1 1 301.075 1.928 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCCN2CCC(F)(F)F)c1[O-] ZINC001027832199 738709092 /nfs/dbraw/zinc/70/90/92/738709092.db2.gz AZRIHIBPUMCTSV-VIFPVBQESA-N -1 1 320.315 1.570 20 0 DDADMM COc1nccc(Nc2cc(S(C)(=O)=O)ccc2[O-])c1OC ZINC001211087902 733404655 /nfs/dbraw/zinc/40/46/55/733404655.db2.gz QLVGNKILDUCJGL-UHFFFAOYSA-N -1 1 324.358 1.952 20 0 DDADMM Cc1cnc(CN[C@H]2C[C@@H](NC(=O)c3[nH]nc(C)c3[O-])C2)s1 ZINC001021973605 733693712 /nfs/dbraw/zinc/69/37/12/733693712.db2.gz FDHBVEYZNNHAOT-AOOOYVTPSA-N -1 1 321.406 1.239 20 0 DDADMM COc1ccc(CO)cc1[N-]S(=O)(=O)c1ccc(N)cc1 ZINC001211527124 734537312 /nfs/dbraw/zinc/53/73/12/734537312.db2.gz NIQHGRIGHSUUFF-UHFFFAOYSA-N -1 1 308.359 1.571 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCOC1)c1ccc(Cl)nc1F ZINC000692889244 738959406 /nfs/dbraw/zinc/95/94/06/738959406.db2.gz TZGUEFCQZPATLQ-MRVPVSSYSA-N -1 1 308.762 1.579 20 0 DDADMM Cc1nccc(N[C@@H](CNC(=O)c2ncccc2[O-])C(C)C)n1 ZINC001105174573 737595366 /nfs/dbraw/zinc/59/53/66/737595366.db2.gz FQNHVXKDYSPZJE-LBPRGKRZSA-N -1 1 315.377 1.752 20 0 DDADMM CC[C@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)C1CCC(C)CC1 ZINC001129155396 751396550 /nfs/dbraw/zinc/39/65/50/751396550.db2.gz KRZALLJVBPOFNJ-BPCQOVAHSA-N -1 1 323.441 1.569 20 0 DDADMM CC(C)CC(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017026399 751398809 /nfs/dbraw/zinc/39/88/09/751398809.db2.gz SZKKVNXHKOMNBD-GFCCVEGCSA-N -1 1 309.414 1.227 20 0 DDADMM CC(C)(C)c1cc(CNCCNC(=O)c2ncccc2[O-])no1 ZINC001126118921 738327397 /nfs/dbraw/zinc/32/73/97/738327397.db2.gz MLUMYUQTYWNRIE-UHFFFAOYSA-N -1 1 318.377 1.592 20 0 DDADMM C[N@H+](Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)C(C)(C)C2CC2)C1 ZINC001029814028 741344402 /nfs/dbraw/zinc/34/44/02/741344402.db2.gz IFJZMXYNKWQHEX-LLVKDONJSA-N -1 1 321.425 1.227 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)C(C)(C)C2CC2)C1 ZINC001029814028 741344403 /nfs/dbraw/zinc/34/44/03/741344403.db2.gz IFJZMXYNKWQHEX-LLVKDONJSA-N -1 1 321.425 1.227 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088385703 741454635 /nfs/dbraw/zinc/45/46/35/741454635.db2.gz QYPCVSWPNILTPS-FRRDWIJNSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1cccnc1CC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC001211923880 741855635 /nfs/dbraw/zinc/85/56/35/741855635.db2.gz ALVPRFXDYVFMTR-UHFFFAOYSA-N -1 1 313.329 1.584 20 0 DDADMM C[C@@H](CCNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)NC(=O)c1ncccc1[O-] ZINC001076067262 742518070 /nfs/dbraw/zinc/51/80/70/742518070.db2.gz GPYPOIUYMKUNKR-CIQGVGRVSA-N -1 1 317.389 1.458 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1(C)CCCC1 ZINC001076246007 742618467 /nfs/dbraw/zinc/61/84/67/742618467.db2.gz ACVJXCMMOKZCBT-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1(C)CC=CC1 ZINC001076245362 742624688 /nfs/dbraw/zinc/62/46/88/742624688.db2.gz YURKYCGHTZMMJC-LBPRGKRZSA-N -1 1 317.389 1.768 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(=O)CCc2ccccc2)n1 ZINC001180963151 742999610 /nfs/dbraw/zinc/99/96/10/742999610.db2.gz YBZDIAXWNGVJLG-UHFFFAOYSA-N -1 1 315.329 1.727 20 0 DDADMM Cc1ccncc1C=CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001168693121 743051378 /nfs/dbraw/zinc/05/13/78/743051378.db2.gz GGZBMWNDYCARSF-WAVCKPEOSA-N -1 1 320.356 1.822 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)C1(C)CC1)C(=O)c1ncccc1[O-] ZINC001002580981 743253816 /nfs/dbraw/zinc/25/38/16/743253816.db2.gz JAUXUXWJOWVGLT-GFCCVEGCSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)Cc1ccc(CC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001181818351 743353532 /nfs/dbraw/zinc/35/35/32/743353532.db2.gz HKNUKZBOWHMRFX-UHFFFAOYSA-N -1 1 316.361 1.850 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(=O)c2ccc(OC)cc2)n1 ZINC001183348990 743828649 /nfs/dbraw/zinc/82/86/49/743828649.db2.gz ZZTADRPYZJVLRD-UHFFFAOYSA-N -1 1 317.301 1.416 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@@H]3CCc4ccccc4[C@H]3O)c1-2 ZINC001183389895 743829686 /nfs/dbraw/zinc/82/96/86/743829686.db2.gz YTQUPIHXGGSSPN-TZMCWYRMSA-N -1 1 323.356 1.269 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2cncc(O)c2)cc1C(=O)[O-] ZINC001185269344 744194828 /nfs/dbraw/zinc/19/48/28/744194828.db2.gz JTNIWBMVKMWKAU-UHFFFAOYSA-N -1 1 324.314 1.295 20 0 DDADMM Nc1ccc(OCCO)c(NC(=O)c2ccc([O-])c(F)c2)c1 ZINC001186225418 744358800 /nfs/dbraw/zinc/35/88/00/744358800.db2.gz CNOHPAVNAICFLD-UHFFFAOYSA-N -1 1 306.293 1.737 20 0 DDADMM COCC(=O)N[C@H]1CC[C@H](NC(=O)c2ccc([O-])c(F)c2)CC1 ZINC001186234674 744361428 /nfs/dbraw/zinc/36/14/28/744361428.db2.gz YRCBMXWPDLPIDF-HAQNSBGRSA-N -1 1 324.352 1.335 20 0 DDADMM O=C(Nc1cccc2c1CC(=O)NC2)c1ccc([O-])c(F)c1 ZINC001186236597 744362034 /nfs/dbraw/zinc/36/20/34/744362034.db2.gz HHZRWFYPDWFTBN-UHFFFAOYSA-N -1 1 300.289 1.956 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1Cc2ccnn2CC[C@@H]1CO ZINC001186327875 744375432 /nfs/dbraw/zinc/37/54/32/744375432.db2.gz VBLSACSTDVXIES-LLVKDONJSA-N -1 1 305.309 1.135 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CCN(C(=O)c1ccc([O-])cc1F)CC2 ZINC001186327817 744375770 /nfs/dbraw/zinc/37/57/70/744375770.db2.gz SDCDVVLJJGIRFS-ZDUSSCGKSA-N -1 1 320.364 1.862 20 0 DDADMM COC(=O)c1csc(CNC(=O)c2ccc([O-])cc2F)n1 ZINC001186337882 744388621 /nfs/dbraw/zinc/38/86/21/744388621.db2.gz YJYFQFCYXHTDQI-UHFFFAOYSA-N -1 1 310.306 1.704 20 0 DDADMM COC(=O)c1ccnc(CNC(=O)c2ccc([O-])cc2F)c1 ZINC001186337810 744388645 /nfs/dbraw/zinc/38/86/45/744388645.db2.gz TVSAWURCFXLIMI-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM CC(=O)Nc1ccc(C[N-]S(=O)(=O)c2cnoc2C)cc1 ZINC001187400543 744556558 /nfs/dbraw/zinc/55/65/58/744556558.db2.gz GKIDBHNGRDPSQC-UHFFFAOYSA-N -1 1 309.347 1.420 20 0 DDADMM C[C@@](CO)(NC(=O)c1n[n-]nc1C(F)(F)F)c1ccccc1 ZINC001187773402 744600331 /nfs/dbraw/zinc/60/03/31/744600331.db2.gz FPLIECOBROOQDK-LBPRGKRZSA-N -1 1 314.267 1.461 20 0 DDADMM CSc1nc(NC(=O)c2ccccc2[S@@](C)=O)cc(=O)[n-]1 ZINC001187821240 744613228 /nfs/dbraw/zinc/61/32/28/744613228.db2.gz XEJVLRDZJZHAKB-OAQYLSRUSA-N -1 1 323.399 1.894 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2ccc(Cl)nc2Cl)C[C@@H](O)C1 ZINC001187979980 744642282 /nfs/dbraw/zinc/64/22/82/744642282.db2.gz FLHXAJNEBNXISZ-HDKIZWTHSA-N -1 1 311.190 1.580 20 0 DDADMM CCC[C@@H](CC(C)C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188242557 744674713 /nfs/dbraw/zinc/67/47/13/744674713.db2.gz CIMHMNOVJDZVIS-QWHCGFSZSA-N -1 1 323.441 1.663 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1c(C)scc1C(=O)OC ZINC001188355577 744700128 /nfs/dbraw/zinc/70/01/28/744700128.db2.gz PPSXWAXIUNPQIB-UHFFFAOYSA-N -1 1 321.376 1.148 20 0 DDADMM Cc1nc([N-]S(=O)(=O)Cc2cccc(F)c2F)cc(=O)[nH]1 ZINC001189416936 744892364 /nfs/dbraw/zinc/89/23/64/744892364.db2.gz JCYAXJSTVJFJGS-UHFFFAOYSA-N -1 1 315.301 1.711 20 0 DDADMM COC(=O)[C@H](Cc1ccccc1)NC(=O)Nc1ncccc1[O-] ZINC001189801521 745000947 /nfs/dbraw/zinc/00/09/47/745000947.db2.gz AYGXQLBXKKWCLJ-LBPRGKRZSA-N -1 1 315.329 1.693 20 0 DDADMM CN(C(=O)c1cnc(-c2ccccc2)[n-]c1=O)c1nccnc1N ZINC001190259042 745163819 /nfs/dbraw/zinc/16/38/19/745163819.db2.gz XAXXKUKUJFEABX-UHFFFAOYSA-N -1 1 322.328 1.498 20 0 DDADMM CSc1ncc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c(C)n1 ZINC001190506517 745233332 /nfs/dbraw/zinc/23/33/32/745233332.db2.gz SWDPLNTXVIRVMU-UHFFFAOYSA-N -1 1 322.306 1.358 20 0 DDADMM Nc1cccnc1NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190618352 745259935 /nfs/dbraw/zinc/25/99/35/745259935.db2.gz QUKHDBRUVLDCEY-UHFFFAOYSA-N -1 1 308.301 1.474 20 0 DDADMM C[C@H](NC(=O)c1cnc(-c2ccccn2)[n-]c1=O)c1cnn(C)c1 ZINC001190629636 745278927 /nfs/dbraw/zinc/27/89/27/745278927.db2.gz UOIUXPYEVLPZAY-JTQLQIEISA-N -1 1 324.344 1.469 20 0 DDADMM COC(=O)COc1ccc([N-]S(=O)(=O)c2ccncc2)cc1 ZINC001190896222 745370851 /nfs/dbraw/zinc/37/08/51/745370851.db2.gz GSERFAZPHMRRGA-UHFFFAOYSA-N -1 1 322.342 1.434 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ccccc2O)CCC[N@@H+]1CC(=O)N(C)C ZINC000993057750 745430827 /nfs/dbraw/zinc/43/08/27/745430827.db2.gz ZZQVMEQZEILPQA-TZMCWYRMSA-N -1 1 319.405 1.063 20 0 DDADMM CSc1ncc(C(=O)N2CC(C)(C)C[C@@]2(C)CO)c(=O)[n-]1 ZINC001191426469 745508326 /nfs/dbraw/zinc/50/83/26/745508326.db2.gz AYZAZQRGWJTSLW-AWEZNQCLSA-N -1 1 311.407 1.527 20 0 DDADMM CN(C)c1nc(NC(=O)c2cc(Cl)ncn2)c(N=O)c(=O)[n-]1 ZINC001191445902 745514524 /nfs/dbraw/zinc/51/45/24/745514524.db2.gz QGZSDZWFEXWIEH-UHFFFAOYSA-N -1 1 323.700 1.342 20 0 DDADMM C[C@H](O)c1ccc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001192350608 745763536 /nfs/dbraw/zinc/76/35/36/745763536.db2.gz PPCUPVMIXJTLGT-LURJTMIESA-N -1 1 304.262 1.591 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3nc(C(C)C)cs3)c1-2 ZINC001193500865 746127283 /nfs/dbraw/zinc/12/72/83/746127283.db2.gz LAYWIBIHRWUOGW-UHFFFAOYSA-N -1 1 302.363 1.867 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccc3oc(=O)[nH]c3c2)[n-]n1 ZINC001194288843 746344315 /nfs/dbraw/zinc/34/43/15/746344315.db2.gz OWSTZHKBFKYNMN-UHFFFAOYSA-N -1 1 302.246 1.295 20 0 DDADMM C[C@@H](CO)CC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC001194592787 746416601 /nfs/dbraw/zinc/41/66/01/746416601.db2.gz PKDFPXFIMUHOAA-MRVPVSSYSA-N -1 1 312.313 1.397 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(Cl)[nH]c2=O)n1 ZINC001195128430 746534591 /nfs/dbraw/zinc/53/45/91/746534591.db2.gz VIKYWYXAIBEFOU-UHFFFAOYSA-N -1 1 310.697 1.593 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCN(C(=O)OC(C)(C)C)C2)n1 ZINC001195325418 746565772 /nfs/dbraw/zinc/56/57/72/746565772.db2.gz CJMWYIDBXUUTTC-UHFFFAOYSA-N -1 1 307.350 1.746 20 0 DDADMM Cc1cc2n(n1)c(=O)cc(C)n2C(=O)c1cc([O-])cnc1Cl ZINC001195306484 746572534 /nfs/dbraw/zinc/57/25/34/746572534.db2.gz ZMGGQKDMUSFJAP-UHFFFAOYSA-N -1 1 318.720 1.555 20 0 DDADMM O=C([N-]c1noc2nccnc12)c1cccnc1OC(F)F ZINC001195725561 746677576 /nfs/dbraw/zinc/67/75/76/746677576.db2.gz HUQBUZYVXRLTSK-UHFFFAOYSA-N -1 1 307.216 1.867 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1ccc(Cl)nc1Cl ZINC001195933602 746739937 /nfs/dbraw/zinc/73/99/37/746739937.db2.gz CUMVSHGAMWEXPX-UHFFFAOYSA-N -1 1 313.162 1.693 20 0 DDADMM O=C(Nc1cc(Cl)nnc1Cl)c1c[nH]c(=S)[n-]c1=O ZINC001196009017 746754799 /nfs/dbraw/zinc/75/47/99/746754799.db2.gz RCOBKEHZMKLQSH-UHFFFAOYSA-N -1 1 318.145 1.820 20 0 DDADMM O=C(Nc1ccc2oc(=O)[nH]c2c1)c1c[nH]c(=S)[n-]c1=O ZINC001196020484 746759519 /nfs/dbraw/zinc/75/95/19/746759519.db2.gz HYCVNILCRKXKRH-UHFFFAOYSA-N -1 1 304.287 1.570 20 0 DDADMM CC(=O)N1CCC[C@H]([C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003076673 746954947 /nfs/dbraw/zinc/95/49/47/746954947.db2.gz YEVKZZYEPKSALE-UONOGXRCSA-N -1 1 317.389 1.508 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cccc2c1OCO2 ZINC001196913340 747007751 /nfs/dbraw/zinc/00/77/51/747007751.db2.gz LBTNUWRKXDUWPK-UHFFFAOYSA-N -1 1 315.347 1.500 20 0 DDADMM CN(C(=O)C1=CCCC1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016390010 747153578 /nfs/dbraw/zinc/15/35/78/747153578.db2.gz NEOZCLSEYWCRNO-UHFFFAOYSA-N -1 1 315.373 1.617 20 0 DDADMM O=C(CC1=CCCCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998733513 752179809 /nfs/dbraw/zinc/17/98/09/752179809.db2.gz AUAYSCWYJBUWNZ-CYBMUJFWSA-N -1 1 319.409 1.481 20 0 DDADMM Cc1cccc(NC(=S)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001198703471 747568120 /nfs/dbraw/zinc/56/81/20/747568120.db2.gz KWQTWHLQXKJCOL-UHFFFAOYSA-N -1 1 316.346 1.881 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2ccncc2[nH]1 ZINC001152574703 747721139 /nfs/dbraw/zinc/72/11/39/747721139.db2.gz DCXMEBVKMKPMMG-UHFFFAOYSA-N -1 1 300.234 1.414 20 0 DDADMM [O-]c1cc(F)c(NC(=S)NCCN2CCOCC2)c(F)c1 ZINC001200131037 748144267 /nfs/dbraw/zinc/14/42/67/748144267.db2.gz PGIYXHCBODTLML-UHFFFAOYSA-N -1 1 317.361 1.289 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H]1CCN(C)C(=O)C1 ZINC001201769292 748600139 /nfs/dbraw/zinc/60/01/39/748600139.db2.gz UZXCKNHWBGUSHV-SSDOTTSWSA-N -1 1 323.827 1.004 20 0 DDADMM O=C(Nc1ccc(F)cc1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001202362386 748761928 /nfs/dbraw/zinc/76/19/28/748761928.db2.gz JPYLOHSVAHVGEX-UHFFFAOYSA-N -1 1 304.241 1.499 20 0 DDADMM C[C@@H]1CCN(C(=O)C(C)(C)C)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004769055 748772674 /nfs/dbraw/zinc/77/26/74/748772674.db2.gz PXMXFLZZOZXLJE-NEPJUHHUSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1cc(C)nc(NC/C=C\CNC(=O)c2ncccc2[O-])n1 ZINC001107139559 749357771 /nfs/dbraw/zinc/35/77/71/749357771.db2.gz PIBUEFDIUJDFNA-ARJAWSKDSA-N -1 1 313.361 1.592 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CCN(Cc2ccon2)CC1 ZINC001005301776 749794313 /nfs/dbraw/zinc/79/43/13/749794313.db2.gz HIDSNQQVTQCSPK-UHFFFAOYSA-N -1 1 316.361 1.512 20 0 DDADMM Cc1nccc(N[C@@H](C)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001115719093 750878309 /nfs/dbraw/zinc/87/83/09/750878309.db2.gz HFJHXBNCKRXSEB-JTQLQIEISA-N -1 1 301.350 1.458 20 0 DDADMM C/C=C(\C)C(=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035171468 751258574 /nfs/dbraw/zinc/25/85/74/751258574.db2.gz AHKFOPIJJDNSQD-KGVSQERTSA-N -1 1 319.409 1.291 20 0 DDADMM C[C@@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000999762039 753128006 /nfs/dbraw/zinc/12/80/06/753128006.db2.gz BRSMRUSZDBBQQN-SNVBAGLBSA-N -1 1 315.329 1.125 20 0 DDADMM O=C(N[C@@H]1CCN(Cc2ccc(F)cn2)C1)c1ncccc1[O-] ZINC001010731379 754129052 /nfs/dbraw/zinc/12/90/52/754129052.db2.gz IUPAJCKWQVFWMY-CYBMUJFWSA-N -1 1 316.336 1.326 20 0 DDADMM O=C(N[C@@H]1CCN(Cc2cccc(F)c2)C1)c1ncccc1[O-] ZINC001010733033 754129823 /nfs/dbraw/zinc/12/98/23/754129823.db2.gz ONSWYTNXODGFDR-CQSZACIVSA-N -1 1 315.348 1.931 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CC=CC1 ZINC001011594532 754620502 /nfs/dbraw/zinc/62/05/02/754620502.db2.gz WLBKUWUFGYHQIK-DGCLKSJQSA-N -1 1 315.373 1.473 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1CC1 ZINC001012162246 754900850 /nfs/dbraw/zinc/90/08/50/754900850.db2.gz YTWDKRVUQKZZQY-PWSUYJOCSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C2CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012513578 755117104 /nfs/dbraw/zinc/11/71/04/755117104.db2.gz VLTPRQXQGFRBMS-ZYHUDNBSSA-N -1 1 303.362 1.307 20 0 DDADMM CC/C=C(/C)C(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC001079653151 755503566 /nfs/dbraw/zinc/50/35/66/755503566.db2.gz VGPCVWSLCROFMR-GHXNOFRVSA-N -1 1 317.389 1.816 20 0 DDADMM CCC(=O)N[C@@]12CCC[C@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001014104963 755597144 /nfs/dbraw/zinc/59/71/44/755597144.db2.gz QHUWZQISKUQCGW-MLGOLLRUSA-N -1 1 303.362 1.451 20 0 DDADMM O=C(NC[C@@H]1CC[C@@H](NC(=O)C(F)F)C1)c1ncccc1[O-] ZINC001015281876 756211961 /nfs/dbraw/zinc/21/19/61/756211961.db2.gz JPJNLONBCZSBCA-RKDXNWHRSA-N -1 1 313.304 1.067 20 0 DDADMM CCOc1cc(N[C@@H](C)CNC(=O)c2ncccc2[O-])ncn1 ZINC001097765697 757512151 /nfs/dbraw/zinc/51/21/51/757512151.db2.gz WIFPHYLLOKRLKV-JTQLQIEISA-N -1 1 317.349 1.206 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017055155 757599193 /nfs/dbraw/zinc/59/91/93/757599193.db2.gz HEZSGFIWQAFVHI-NEPJUHHUSA-N -1 1 309.414 1.227 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)C(F)F)CN1C(=O)c1ncccc1[O-] ZINC001017880030 758333741 /nfs/dbraw/zinc/33/37/41/758333741.db2.gz RVGYHRVQNIFORD-DTWKUNHWSA-N -1 1 313.304 1.162 20 0 DDADMM O=C(c1ccc2ncsc2c1)N1CCC(c2nn[n-]n2)CC1 ZINC000828169882 759509425 /nfs/dbraw/zinc/50/94/25/759509425.db2.gz HJHBXXLBAMWNOM-UHFFFAOYSA-N -1 1 314.374 1.829 20 0 DDADMM Cc1ccsc1C(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054341298 759512082 /nfs/dbraw/zinc/51/20/82/759512082.db2.gz YXZFZSOPHAJWPG-VHSXEESVSA-N -1 1 321.406 1.131 20 0 DDADMM Cc1oc(C)c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001019115807 759602195 /nfs/dbraw/zinc/60/21/95/759602195.db2.gz SVGSMFRCQVMJNC-NSHDSACASA-N -1 1 319.365 1.033 20 0 DDADMM Cc1cc(CN[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)no1 ZINC001054589230 759854309 /nfs/dbraw/zinc/85/43/09/759854309.db2.gz NKMIXLSWPSSDSO-GWCFXTLKSA-N -1 1 316.361 1.334 20 0 DDADMM Cc1cncc(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])c1 ZINC001038194602 760908874 /nfs/dbraw/zinc/90/88/74/760908874.db2.gz PMTMZGFHPMWDHM-CQSZACIVSA-N -1 1 312.373 1.495 20 0 DDADMM CC[C@H](F)C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001067254866 761062878 /nfs/dbraw/zinc/06/28/78/761062878.db2.gz QNYYACZNEQDRFT-NSHDSACASA-N -1 1 311.357 1.456 20 0 DDADMM CC(C)C[C@@H]1C[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001000019001 761457978 /nfs/dbraw/zinc/45/79/78/761457978.db2.gz VRHBELZSDVXGAU-DGCLKSJQSA-N -1 1 317.389 1.410 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@@H]3CCN(C/C=C/Cl)[C@H]3C2)c1[O-] ZINC001042016021 763567281 /nfs/dbraw/zinc/56/72/81/763567281.db2.gz NEAUJLJOMBYVGC-OMJJQCQUSA-N -1 1 324.812 1.713 20 0 DDADMM O=C(NC[C@@H]1COCCN1CC1CCC1)c1ncccc1[O-] ZINC001050887701 764236599 /nfs/dbraw/zinc/23/65/99/764236599.db2.gz JQGHTWHGTSGTHB-CYBMUJFWSA-N -1 1 305.378 1.018 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C)c(F)cc2N)cc(=O)[n-]1 ZINC001143619718 764459922 /nfs/dbraw/zinc/45/99/22/764459922.db2.gz AYXYWGJDYQEDGS-UHFFFAOYSA-N -1 1 322.365 1.864 20 0 DDADMM Cc1nccc(N[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983838 765083033 /nfs/dbraw/zinc/08/30/33/765083033.db2.gz QSYAZDIABLKTEO-NXEZZACHSA-N -1 1 301.350 1.505 20 0 DDADMM Cc1ccc(C2CC2)c(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c1 ZINC001131749939 768322152 /nfs/dbraw/zinc/32/21/52/768322152.db2.gz VCHAQLGOLLDRBL-UHFFFAOYSA-N -1 1 315.377 1.216 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@H]1CNCc1nncs1 ZINC001045008922 766025622 /nfs/dbraw/zinc/02/56/22/766025622.db2.gz UNKNXSKMDJTORU-JTQLQIEISA-N -1 1 319.390 1.033 20 0 DDADMM CC(C)(C)[C@@H]1C[C@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045118336 766100490 /nfs/dbraw/zinc/10/04/90/766100490.db2.gz MCNTWKMSZDOCSA-QJPTWQEYSA-N -1 1 321.425 1.273 20 0 DDADMM CC1(C)CCC[C@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045160007 766124623 /nfs/dbraw/zinc/12/46/23/766124623.db2.gz ICONCWAAFXVRNS-RYUDHWBXSA-N -1 1 321.425 1.417 20 0 DDADMM CCc1nc(Cl)c(C)c(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170216663 766175395 /nfs/dbraw/zinc/17/53/95/766175395.db2.gz MFAGBOFYJPCWLE-UHFFFAOYSA-N -1 1 321.728 1.774 20 0 DDADMM Cn1ccc2nc(Cl)nc(Nc3[n-]c(=O)nc4nc[nH]c43)c21 ZINC001170223298 766196845 /nfs/dbraw/zinc/19/68/45/766196845.db2.gz LJJBNIOKJIFTHB-UHFFFAOYSA-N -1 1 316.712 1.737 20 0 DDADMM O=C(N[C@@H]1CCCN(c2cc(F)ncn2)C1)c1ncccc1[O-] ZINC001058147658 766274012 /nfs/dbraw/zinc/27/40/12/766274012.db2.gz OWEFVKPPRSEVQG-SNVBAGLBSA-N -1 1 317.324 1.115 20 0 DDADMM Cc1cc(CN2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)on1 ZINC001046262372 767320277 /nfs/dbraw/zinc/32/02/77/767320277.db2.gz PWNAQRJALYBKDP-MRXNPFEDSA-N -1 1 316.361 1.478 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1Cc2ccccc2[C@H](C)C1 ZINC001121677866 782623491 /nfs/dbraw/zinc/62/34/91/782623491.db2.gz BKLKSLAXVSDSLM-SNVBAGLBSA-N -1 1 310.365 1.602 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1Cc2ccccc2[C@H](C)C1 ZINC001121677866 782623498 /nfs/dbraw/zinc/62/34/98/782623498.db2.gz BKLKSLAXVSDSLM-SNVBAGLBSA-N -1 1 310.365 1.602 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)C[C@@H](C)NCc2cscn2)c1[O-] ZINC001134095406 770626452 /nfs/dbraw/zinc/62/64/52/770626452.db2.gz TZXUNVVDONZRBI-BDAKNGLRSA-N -1 1 323.422 1.567 20 0 DDADMM CC(C)=CCC[C@@H](C)CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134189229 770752654 /nfs/dbraw/zinc/75/26/54/770752654.db2.gz CCCHBICXYPPDFN-GFCCVEGCSA-N -1 1 309.414 1.489 20 0 DDADMM CC(C)(C(=O)NCCNCc1n[nH]c(=O)[n-]1)C1CCCCC1 ZINC001135004840 771274708 /nfs/dbraw/zinc/27/47/08/771274708.db2.gz WHUBUNDMWWEZFS-UHFFFAOYSA-N -1 1 309.414 1.323 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(Cc2c(F)cc([O-])cc2F)C1 ZINC001144559561 772564733 /nfs/dbraw/zinc/56/47/33/772564733.db2.gz NXYREKPPEPZQCC-JTQLQIEISA-N -1 1 305.346 1.679 20 0 DDADMM COC[C@@H]1CN(Cc2sccc2C(=O)[O-])Cc2cn(C)nc21 ZINC001144671718 772591381 /nfs/dbraw/zinc/59/13/81/772591381.db2.gz NQOULOQNWDPLDG-NSHDSACASA-N -1 1 321.402 1.926 20 0 DDADMM CN(C)C(=O)N[C@@H]1CCCN(Cc2sccc2C(=O)[O-])C1 ZINC001144684742 772596218 /nfs/dbraw/zinc/59/62/18/772596218.db2.gz NXEVOMCCIXJZSX-SNVBAGLBSA-N -1 1 311.407 1.682 20 0 DDADMM Cc1ncnc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)c1C ZINC001147063217 773033374 /nfs/dbraw/zinc/03/33/74/773033374.db2.gz BDHREYCZDIDODZ-UHFFFAOYSA-N -1 1 317.309 1.305 20 0 DDADMM Cc1ncc(CO)c(C(=O)N2CCC[C@H](c3ncc[nH]3)C2)c1[O-] ZINC001147838034 773264421 /nfs/dbraw/zinc/26/44/21/773264421.db2.gz ILIIYTQDWNQBND-NSHDSACASA-N -1 1 316.361 1.331 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@H]2COCc3ccccc32)c1[O-] ZINC001147844751 773267855 /nfs/dbraw/zinc/26/78/55/773267855.db2.gz YCAUAKJLNDJKCH-AWEZNQCLSA-N -1 1 314.341 1.589 20 0 DDADMM Cc1ncc(CO)c(C(=O)NC[C@@H]2CCOC(C)(C)C2)c1[O-] ZINC001147849002 773269530 /nfs/dbraw/zinc/26/95/30/773269530.db2.gz WXSGVCLQANHBFV-LLVKDONJSA-N -1 1 308.378 1.523 20 0 DDADMM C[C@H](C(=O)N[N-]C(=O)c1cc(-c2ccccc2)[nH]n1)n1ccnc1 ZINC000044983500 773486137 /nfs/dbraw/zinc/48/61/37/773486137.db2.gz JCOMHFVDFMZJHI-LLVKDONJSA-N -1 1 324.344 1.295 20 0 DDADMM O=C(NCCC1COC1)c1cc([O-])c(Br)c(O)c1 ZINC001148995222 773653919 /nfs/dbraw/zinc/65/39/19/773653919.db2.gz ACEIBLUIPZFYEG-UHFFFAOYSA-N -1 1 316.151 1.627 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001074755513 774102401 /nfs/dbraw/zinc/10/24/01/774102401.db2.gz IGNMVEVXGFVJOZ-BQUFFADESA-N -1 1 319.409 1.026 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])c1nc2ccccc2o1 ZINC001100071135 775646283 /nfs/dbraw/zinc/64/62/83/775646283.db2.gz VROCUFIABHFTPC-UHFFFAOYSA-N -1 1 312.329 1.795 20 0 DDADMM O=C(NCCN(c1cccc(F)n1)C1CC1)c1ncccc1[O-] ZINC001101323357 777068664 /nfs/dbraw/zinc/06/86/64/777068664.db2.gz CYGDHZUEPXSVKL-UHFFFAOYSA-N -1 1 316.336 1.720 20 0 DDADMM CC(=O)NCc1ccc([N-]S(=O)(=O)c2cccc(N)c2)cc1 ZINC001174233154 777429600 /nfs/dbraw/zinc/42/96/00/777429600.db2.gz CECMFWNNEJDCJA-UHFFFAOYSA-N -1 1 319.386 1.706 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cccc(-n2cccn2)c1 ZINC001174300306 777442669 /nfs/dbraw/zinc/44/26/69/777442669.db2.gz XUSINHFWMTYDDX-UHFFFAOYSA-N -1 1 300.347 1.982 20 0 DDADMM COc1cc(C(=O)[O-])ccc1NS(=O)(=O)c1ccccc1N ZINC001174676156 777547244 /nfs/dbraw/zinc/54/72/44/777547244.db2.gz FWNBYUVSGDFOOC-UHFFFAOYSA-N -1 1 322.342 1.776 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cccnc2OC)n1 ZINC001176213778 778080278 /nfs/dbraw/zinc/08/02/78/778080278.db2.gz JAMLWHUWVKGJEV-UHFFFAOYSA-N -1 1 304.306 1.171 20 0 DDADMM CN(C)c1ncc([N-]c2nonc2-c2cn3ccncc3n2)cn1 ZINC001176329659 778117880 /nfs/dbraw/zinc/11/78/80/778117880.db2.gz ZNCUWPQWNISTDK-UHFFFAOYSA-N -1 1 323.320 1.379 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)Nc2csc(C(=O)[O-])c2)c1 ZINC001176440973 778143730 /nfs/dbraw/zinc/14/37/30/778143730.db2.gz UHXGYCAPYBBKRM-UHFFFAOYSA-N -1 1 313.360 1.412 20 0 DDADMM CCS(=O)(=O)c1ccc([O-])c(Nc2cnn(CCO)c2)c1 ZINC001176473474 778159673 /nfs/dbraw/zinc/15/96/73/778159673.db2.gz XTFDZPCRKABIBF-UHFFFAOYSA-N -1 1 311.363 1.118 20 0 DDADMM O=C(Cc1nn[n-]n1)N1CCC(n2ccc3ccccc32)CC1 ZINC001176841389 778271490 /nfs/dbraw/zinc/27/14/90/778271490.db2.gz YGJASBMPNSVYDZ-UHFFFAOYSA-N -1 1 310.361 1.561 20 0 DDADMM COc1cccc(C2(C(=O)N=c3ncnc4[nH][n-]c(C)c3-4)CC2)n1 ZINC001178044615 778830420 /nfs/dbraw/zinc/83/04/20/778830420.db2.gz GOFDANQDMGZTQP-UHFFFAOYSA-N -1 1 324.344 1.109 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(c3ccc(F)cn3)CC2)n1 ZINC001178075342 778885765 /nfs/dbraw/zinc/88/57/65/778885765.db2.gz FRNULDZGTGWXAC-UHFFFAOYSA-N -1 1 318.308 1.791 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCCC(C)(C)C ZINC001178351620 778995051 /nfs/dbraw/zinc/99/50/51/778995051.db2.gz SNYUOZZQAWAUPQ-RYUDHWBXSA-N -1 1 323.441 1.806 20 0 DDADMM O=C(NCCNC(=O)c1ccc(F)c([O-])c1)NC1CCCCC1 ZINC001137519172 779474290 /nfs/dbraw/zinc/47/42/90/779474290.db2.gz NGYBOCZZBRAASG-UHFFFAOYSA-N -1 1 323.368 1.893 20 0 DDADMM CC(C)C[C@H](C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179793595 779488336 /nfs/dbraw/zinc/48/83/36/779488336.db2.gz HWBMRMMQAXBENR-SRVKXCTJSA-N -1 1 309.414 1.272 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC2SCCS2)c1Cl ZINC000693686805 779541166 /nfs/dbraw/zinc/54/11/66/779541166.db2.gz WZUKQFWUNQWWKA-UHFFFAOYSA-N -1 1 313.857 1.158 20 0 DDADMM COc1c(F)nccc1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001180115190 779626556 /nfs/dbraw/zinc/62/65/56/779626556.db2.gz VNERHKNFLDEJLO-UHFFFAOYSA-N -1 1 319.321 1.813 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H](CNc1ncccn1)C1CC1 ZINC001115508089 780197724 /nfs/dbraw/zinc/19/77/24/780197724.db2.gz JWHWUVTYIGVIKJ-LBPRGKRZSA-N -1 1 313.361 1.540 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CCCCN1Cc1cccnc1 ZINC001116648309 780538617 /nfs/dbraw/zinc/53/86/17/780538617.db2.gz XDMTXFGSLWWZQK-HNNXBMFYSA-N -1 1 307.394 1.520 20 0 DDADMM CCOc1ccc(-c2nc(COCc3nn[n-]n3)co2)cc1 ZINC001119606204 781534335 /nfs/dbraw/zinc/53/43/35/781534335.db2.gz AIMGPGZTQBSKJL-UHFFFAOYSA-N -1 1 301.306 1.970 20 0 DDADMM CCCc1ccccc1C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001266768451 836802621 /nfs/dbraw/zinc/80/26/21/836802621.db2.gz IGZUGGGTNARNEN-UHFFFAOYSA-N -1 1 317.393 1.325 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H]1CCC=CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001267808944 839014502 /nfs/dbraw/zinc/01/45/02/839014502.db2.gz BUBLWWQDKLNRSW-OLZOCXBDSA-N -1 1 321.425 1.631 20 0 DDADMM Cc1ccc(NC(=S)NCC[N-]C(=O)C(F)(F)F)cc1 ZINC000586422267 844583149 /nfs/dbraw/zinc/58/31/49/844583149.db2.gz GZVPLOWAJNYGHE-UHFFFAOYSA-N -1 1 305.325 1.960 20 0 DDADMM O=C(C=C1CCCCC1)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001269050981 841149262 /nfs/dbraw/zinc/14/92/62/841149262.db2.gz KIHZYDUPTFBVIS-CYBMUJFWSA-N -1 1 319.409 1.385 20 0 DDADMM CC(C)(C)NC(=O)[C@H]1CC12CN(C(=O)c1ncccc1[O-])C2 ZINC001269345831 841541224 /nfs/dbraw/zinc/54/12/24/841541224.db2.gz HBKGLNSKIKKBOW-SNVBAGLBSA-N -1 1 303.362 1.164 20 0 DDADMM CCCCCC(=O)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001269697577 841943142 /nfs/dbraw/zinc/94/31/42/841943142.db2.gz LENBFJKQHGOCQD-ZDUSSCGKSA-N -1 1 323.441 1.809 20 0 DDADMM CCCNC(=O)[C@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC001364151749 843037599 /nfs/dbraw/zinc/03/75/99/843037599.db2.gz KVAYIINQIIIARC-ZETCQYMHSA-N -1 1 310.828 1.595 20 0 DDADMM Cc1ccnc(Nc2cccc(C(=O)N(C)c3nn[n-]n3)c2)n1 ZINC001149220056 861491160 /nfs/dbraw/zinc/49/11/60/861491160.db2.gz OUVDEDTURWAMSY-UHFFFAOYSA-N -1 1 310.321 1.318 20 0 DDADMM O=C(c1ccc2cccnc2c1[O-])N1CC(N2CC(F)C2)C1 ZINC001149314801 861539845 /nfs/dbraw/zinc/53/98/45/861539845.db2.gz PEAHWRPNWHLRFL-UHFFFAOYSA-N -1 1 301.321 1.419 20 0 DDADMM C[C@@]1(CO)CCN(C(=O)c2ccc3cccnc3c2[O-])C[C@@H]1O ZINC001149319103 861544745 /nfs/dbraw/zinc/54/47/45/861544745.db2.gz IUTNLEIWMFYFRX-GUYCJALGSA-N -1 1 316.357 1.146 20 0 DDADMM O=C(c1ccc2cccnc2c1[O-])N1CCN(CCF)CC1 ZINC001149320990 861545031 /nfs/dbraw/zinc/54/50/31/861545031.db2.gz SUYXYVWEVZCWLM-UHFFFAOYSA-N -1 1 303.337 1.668 20 0 DDADMM CN1CC[C@]2(CCCN2C(=O)c2cc([O-])cnc2Cl)C1=O ZINC001272386970 846091431 /nfs/dbraw/zinc/09/14/31/846091431.db2.gz TVDIWNIYMCWSAV-CQSZACIVSA-N -1 1 309.753 1.278 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NC[C@H](O)c2cncs2)c1 ZINC001155099139 861730563 /nfs/dbraw/zinc/73/05/63/861730563.db2.gz PSIFHTMPCMNEOX-NSHDSACASA-N -1 1 306.343 1.433 20 0 DDADMM O=C(Nc1ncccc1CCO)c1nc2ccc(Cl)nc2[n-]1 ZINC001155176592 861798910 /nfs/dbraw/zinc/79/89/10/861798910.db2.gz FKYCFKCFKQQYQR-UHFFFAOYSA-N -1 1 317.736 1.793 20 0 DDADMM COC(=O)[C@H](CCSC)NC(=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155269449 861915812 /nfs/dbraw/zinc/91/58/12/861915812.db2.gz GQWQNFGOTXVXKP-JTQLQIEISA-N -1 1 323.374 1.293 20 0 DDADMM O=C(NC[C@H]1C(=O)Nc2ccccc21)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155277623 861925275 /nfs/dbraw/zinc/92/52/75/861925275.db2.gz ZULYGEDKOVJCQX-GFCCVEGCSA-N -1 1 322.324 1.734 20 0 DDADMM CC(C)(C)CC[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(N)=O ZINC001434568444 849381618 /nfs/dbraw/zinc/38/16/18/849381618.db2.gz JRZIWUQWSVAQKX-ZETCQYMHSA-N -1 1 322.818 1.022 20 0 DDADMM CN1C[C@]2(CC1=O)COCCN(Cc1ccc(Cl)c([O-])c1)C2 ZINC001274183056 852013552 /nfs/dbraw/zinc/01/35/52/852013552.db2.gz DRNFIUNQCALLJN-INIZCTEOSA-N -1 1 324.808 1.726 20 0 DDADMM [O-]c1cc(F)c(CN2CC3(CN(Cc4cn[nH]c4)C3)C2)cc1F ZINC001275144597 852839199 /nfs/dbraw/zinc/83/91/99/852839199.db2.gz HSGVXGMRQMDSJA-UHFFFAOYSA-N -1 1 320.343 1.711 20 0 DDADMM [O-]c1cc(F)c(CN2CC3(CCN3Cc3cn[nH]c3)C2)cc1F ZINC001275144575 852839466 /nfs/dbraw/zinc/83/94/66/852839466.db2.gz GYHAJYFQSILQTL-UHFFFAOYSA-N -1 1 320.343 1.854 20 0 DDADMM O=C(NCc1nc2ncccn2n1)c1cc2ccccc2cc1[O-] ZINC001299469974 852932544 /nfs/dbraw/zinc/93/25/44/852932544.db2.gz IDKGHCNQOGSIOZ-UHFFFAOYSA-N -1 1 319.324 1.913 20 0 DDADMM NC(=O)[C@@H]1CCC[C@]12CCN(C(=O)c1ccc([O-])c(F)c1)C2 ZINC001275373064 853033124 /nfs/dbraw/zinc/03/31/24/853033124.db2.gz AYWDNSRVWNWEGP-MEDUHNTESA-N -1 1 306.337 1.649 20 0 DDADMM COc1nccc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)n1 ZINC001411446097 853130687 /nfs/dbraw/zinc/13/06/87/853130687.db2.gz SDKQQWFFZPKKDP-UHFFFAOYSA-N -1 1 301.306 1.112 20 0 DDADMM CCN(C(=O)[C@@H]1CC12CN(C(=O)c1cncc([O-])c1)C2)C(C)C ZINC001275624259 853447560 /nfs/dbraw/zinc/44/75/60/853447560.db2.gz VYUJJDNGFVJLHF-AWEZNQCLSA-N -1 1 317.389 1.506 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CCN(C(=O)c1cncc([O-])c1)CC2 ZINC001275624452 853447686 /nfs/dbraw/zinc/44/76/86/853447686.db2.gz ZJDABZVPMCCYAU-CYBMUJFWSA-N -1 1 303.362 1.118 20 0 DDADMM CC(C)(C)OC(=O)C1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001411805765 853764877 /nfs/dbraw/zinc/76/48/77/853764877.db2.gz RANDVLQUYQIOJJ-UHFFFAOYSA-N -1 1 306.362 1.981 20 0 DDADMM CN(C(=O)c1ccc([O-])cn1)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC001411821515 853783339 /nfs/dbraw/zinc/78/33/39/853783339.db2.gz FBGDVQMAEYMJHF-CHWSQXEVSA-N -1 1 312.391 1.215 20 0 DDADMM NC(=O)c1cc2c([nH]1)CN(C(=O)c1ccc(Cl)cc1[O-])CC2 ZINC001275934253 853979050 /nfs/dbraw/zinc/97/90/50/853979050.db2.gz KLWMMCRLOWCFTB-UHFFFAOYSA-N -1 1 319.748 1.671 20 0 DDADMM Cc1sc(C(N)=O)cc1NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001150938263 862539746 /nfs/dbraw/zinc/53/97/46/862539746.db2.gz CGDJDLZIYPQXQJ-UHFFFAOYSA-N -1 1 324.387 1.500 20 0 DDADMM C[C@H]1Cc2cc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)ccc2O1 ZINC001412085728 854207055 /nfs/dbraw/zinc/20/70/55/854207055.db2.gz YQNAZNGRFPGDBM-JTQLQIEISA-N -1 1 308.341 1.918 20 0 DDADMM CCC1(NC(=O)Cc2c(C)nc(-c3ccncc3)[n-]c2=O)CC1 ZINC001412165314 854281128 /nfs/dbraw/zinc/28/11/28/854281128.db2.gz GHRAEQPRMXNJOR-UHFFFAOYSA-N -1 1 312.373 1.742 20 0 DDADMM CSc1nc(CNC(=O)c2ocnc2C2CC2)cc(=O)[n-]1 ZINC001412289781 854409955 /nfs/dbraw/zinc/40/99/55/854409955.db2.gz WDOMJJOHQITVGX-UHFFFAOYSA-N -1 1 306.347 1.700 20 0 DDADMM CC(C)(C)N1C[C@H](CNC(=O)c2cccc([O-])c2F)CC1=O ZINC001412371607 854477946 /nfs/dbraw/zinc/47/79/46/854477946.db2.gz WPXOWFNSHUPJJE-JTQLQIEISA-N -1 1 308.353 1.908 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCN(CC(F)(F)F)CC1 ZINC001412385924 854496086 /nfs/dbraw/zinc/49/60/86/854496086.db2.gz RHNVLNSYMMVCAY-UHFFFAOYSA-N -1 1 306.259 1.851 20 0 DDADMM CS(=O)(=O)c1ccc(NC(=O)c2ccc(F)c([O-])c2)cn1 ZINC001412433485 854545810 /nfs/dbraw/zinc/54/58/10/854545810.db2.gz VNOQICKAVHIUQL-UHFFFAOYSA-N -1 1 310.306 1.582 20 0 DDADMM COC(=O)[C@@]1(C)C[C@@H](OC)CN1C(=O)c1cccc([O-])c1F ZINC001412443876 854559206 /nfs/dbraw/zinc/55/92/06/854559206.db2.gz JNZHGDASPHEVII-RFAUZJTJSA-N -1 1 311.309 1.324 20 0 DDADMM CC(=O)c1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c(C)nc1C ZINC001412492848 854621688 /nfs/dbraw/zinc/62/16/88/854621688.db2.gz SRYSWVAZPDGGIZ-NSHDSACASA-N -1 1 314.349 1.044 20 0 DDADMM Cc1nc(C(C)C)sc1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001412546937 854699867 /nfs/dbraw/zinc/69/98/67/854699867.db2.gz WKUYNDJQOKXCRN-UHFFFAOYSA-N -1 1 306.395 1.897 20 0 DDADMM CC(C)CNC(=O)C1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001412722549 855023363 /nfs/dbraw/zinc/02/33/63/855023363.db2.gz CVIHCOGKTPBQLI-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM O=C(N[C@H](CO)c1ncc[nH]1)c1ccc(C(F)(F)F)cc1[O-] ZINC001412724666 855026166 /nfs/dbraw/zinc/02/61/66/855026166.db2.gz UTPYTXHCMHBMPQ-SECBINFHSA-N -1 1 315.251 1.598 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc([O-])c2F)C[C@]2(CCCOC2)O1 ZINC001412889531 855763477 /nfs/dbraw/zinc/76/34/77/855763477.db2.gz FLWZGEVEFCXMFO-ZBEGNZNMSA-N -1 1 309.337 1.941 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)Oc1ccccc1)c1nn[n-]n1 ZINC001412934688 855798895 /nfs/dbraw/zinc/79/88/95/855798895.db2.gz KFPVHIHQOQDYIT-NSHDSACASA-N -1 1 303.366 1.799 20 0 DDADMM C[C@H]1COCCN1C1CCN(C(=O)c2ccc(F)c(O)c2)CC1 ZINC001413034186 856004756 /nfs/dbraw/zinc/00/47/56/856004756.db2.gz ZXIHIWBUOOJRLG-LBPRGKRZSA-N -1 1 322.380 1.857 20 0 DDADMM COC(=O)Cc1ccc(NC(=O)c2cnncc2[O-])cc1F ZINC001413131948 856471782 /nfs/dbraw/zinc/47/17/82/856471782.db2.gz MBADPEZZUACMIN-UHFFFAOYSA-N -1 1 305.265 1.289 20 0 DDADMM CN(C(=O)[C@@H](O)c1ccc(Cl)c(Cl)c1)c1nn[n-]n1 ZINC001413328782 856665712 /nfs/dbraw/zinc/66/57/12/856665712.db2.gz UAPGWIMOMCBYAN-QMMMGPOBSA-N -1 1 302.121 1.203 20 0 DDADMM O=S(=O)([N-]Cc1cc(Br)ccn1)C(F)F ZINC001259960421 856793196 /nfs/dbraw/zinc/79/31/96/856793196.db2.gz STLALEDFANOKNZ-UHFFFAOYSA-N -1 1 301.112 1.486 20 0 DDADMM NC(=O)[C@H](C1CC1)N(CC1CC1)C(=O)c1cccc([O-])c1F ZINC001413425262 856793485 /nfs/dbraw/zinc/79/34/85/856793485.db2.gz GRDOCHKPPBQUQE-AWEZNQCLSA-N -1 1 306.337 1.647 20 0 DDADMM Cc1oncc1C[N-]S(=O)(=O)c1occc1Br ZINC001413450737 856825355 /nfs/dbraw/zinc/82/53/55/856825355.db2.gz YHCWATSJSTWWEM-UHFFFAOYSA-N -1 1 321.152 1.817 20 0 DDADMM CCc1nc(CC(=O)N(Cc2nn[n-]n2)CC(C)C)cs1 ZINC001413502509 856897712 /nfs/dbraw/zinc/89/77/12/856897712.db2.gz IVXNMYNEDSPQTH-UHFFFAOYSA-N -1 1 308.411 1.446 20 0 DDADMM COc1cnc(C2(NC(=O)Cc3cc[nH]n3)CCCC2)[n-]c1=O ZINC001413712874 857747163 /nfs/dbraw/zinc/74/71/63/857747163.db2.gz RIBBFNZVXHHFQN-UHFFFAOYSA-N -1 1 317.349 1.042 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)CCCOC)co1 ZINC001123223106 859135058 /nfs/dbraw/zinc/13/50/58/859135058.db2.gz YGIGTAKMZQYFSF-VIFPVBQESA-N -1 1 305.352 1.160 20 0 DDADMM Cc1oc2ccccc2c1CC(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123960798 859497579 /nfs/dbraw/zinc/49/75/79/859497579.db2.gz GKXUHIHCSUGOMQ-NSHDSACASA-N -1 1 311.345 1.813 20 0 DDADMM COc1cccc(CN2CCN(C)[C@H](C)C2)c1OCC(=O)[O-] ZINC001139271759 860346823 /nfs/dbraw/zinc/34/68/23/860346823.db2.gz RMIIBCSNCUBQQX-GFCCVEGCSA-N -1 1 308.378 1.295 20 0 DDADMM CCOC(=O)c1cn2c(n1)CN(Cc1ccncc1[O-])CC2 ZINC001140279047 860608976 /nfs/dbraw/zinc/60/89/76/860608976.db2.gz ZPWQZGGLXCVDMT-UHFFFAOYSA-N -1 1 302.334 1.176 20 0 DDADMM O=C(N1CCN(Cc2cc(F)c([O-])c(F)c2)CC1)C(F)(F)F ZINC001140988087 860753479 /nfs/dbraw/zinc/75/34/79/860753479.db2.gz MUAMXSKKDNSVQV-UHFFFAOYSA-N -1 1 324.249 1.877 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccnc2cccnc21 ZINC001154307886 860971228 /nfs/dbraw/zinc/97/12/28/860971228.db2.gz SNTMTOAIZSLIPZ-UHFFFAOYSA-N -1 1 307.273 1.254 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1nccc2cc[nH]c21 ZINC001152243160 863237419 /nfs/dbraw/zinc/23/74/19/863237419.db2.gz XJMODNBZPACVJY-UHFFFAOYSA-N -1 1 300.234 1.414 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2cc(=O)c3cccc(O)c3[n-]2)CCO1 ZINC001152682199 863491999 /nfs/dbraw/zinc/49/19/99/863491999.db2.gz VOMPGUIIKTVKQR-UWVGGRQHSA-N -1 1 302.330 1.943 20 0 DDADMM O=C(N[C@H]1CCC[C@H]1CO)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152685148 863494562 /nfs/dbraw/zinc/49/45/62/863494562.db2.gz NFDBERWRBWKLEG-ONGXEEELSA-N -1 1 302.330 1.537 20 0 DDADMM CCN(Cc1cnsn1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152975243 863629132 /nfs/dbraw/zinc/62/91/32/863629132.db2.gz PECMPRLEOTYILU-SNVBAGLBSA-N -1 1 321.406 1.279 20 0 DDADMM O=C(c1cc(=O)[nH]c2ccccc21)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001152994644 863641108 /nfs/dbraw/zinc/64/11/08/863641108.db2.gz UAWCZWQQZGFWMD-SECBINFHSA-N -1 1 310.317 1.083 20 0 DDADMM CC[C@@H](O)Cn1cc(C(=O)Nc2ccc(Cl)cc2[O-])nn1 ZINC001153461235 863897624 /nfs/dbraw/zinc/89/76/24/863897624.db2.gz KXQHXYVUIFDWHP-SECBINFHSA-N -1 1 310.741 1.660 20 0 DDADMM CSc1nc(NC(=O)c2cc(C)nc3ccnn32)cc(=O)[n-]1 ZINC001153980222 864279331 /nfs/dbraw/zinc/27/93/31/864279331.db2.gz FMSHJPMWXQCFPB-UHFFFAOYSA-N -1 1 316.346 1.508 20 0 DDADMM COc1nn(C)cc1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001361495841 881764490 /nfs/dbraw/zinc/76/44/90/881764490.db2.gz DVOHVDVJZMMALA-UHFFFAOYSA-N -1 1 303.322 1.055 20 0 DDADMM CC(C)COc1nccnc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001159194617 865160074 /nfs/dbraw/zinc/16/00/74/865160074.db2.gz GFNWVHCUXHYABJ-UHFFFAOYSA-N -1 1 317.309 1.284 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1c(F)cc(OC)cc1F ZINC001361552216 881879080 /nfs/dbraw/zinc/87/90/80/881879080.db2.gz MJINHHGGCNRXKM-UHFFFAOYSA-N -1 1 311.244 1.735 20 0 DDADMM CCOC(=O)c1cnc([N-]c2nccnc2CN)c(Cl)c1 ZINC001160245651 865743838 /nfs/dbraw/zinc/74/38/38/865743838.db2.gz ILPOUYDDRGNIHO-UHFFFAOYSA-N -1 1 307.741 1.904 20 0 DDADMM CC(C)=C(F)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225669465 881969225 /nfs/dbraw/zinc/96/92/25/881969225.db2.gz XXXVAAYSIYGHHE-NXEZZACHSA-N -1 1 311.361 1.291 20 0 DDADMM COC[C@H](NC(=O)c1scc(C)c1Cl)c1nn[n-]n1 ZINC001363438833 886337223 /nfs/dbraw/zinc/33/72/23/886337223.db2.gz VOUNOKPJKMQSME-LURJTMIESA-N -1 1 301.759 1.341 20 0 DDADMM CCCn1cc(CNCCc2nc(C(=O)[O-])c(C)s2)nn1 ZINC001333947900 867183046 /nfs/dbraw/zinc/18/30/46/867183046.db2.gz NENQBYMZOMZLNM-UHFFFAOYSA-N -1 1 309.395 1.484 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)c3c[nH]cc(Cl)c3=O)n2)o1 ZINC001321426053 867583515 /nfs/dbraw/zinc/58/35/15/867583515.db2.gz FAAFCAKCNNXJBV-UHFFFAOYSA-N -1 1 319.708 1.967 20 0 DDADMM CCOC(=O)c1nc(NC(=O)COc2cccnc2)[n-]c1Cl ZINC001361670697 882125831 /nfs/dbraw/zinc/12/58/31/882125831.db2.gz AJLHEGAHLBMRCD-UHFFFAOYSA-N -1 1 324.724 1.652 20 0 DDADMM CC/C(C)=C\C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001334996174 867982332 /nfs/dbraw/zinc/98/23/32/867982332.db2.gz DJGWGAGDTJXCDV-KGTBHZDVSA-N -1 1 317.389 1.720 20 0 DDADMM O=C(c1cnncc1[O-])N1CC[C@]2(CC(F)(F)C2(F)F)C1 ZINC001336644540 869069568 /nfs/dbraw/zinc/06/95/68/869069568.db2.gz STEIPKYPVMJMTC-JTQLQIEISA-N -1 1 305.231 1.689 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nccnc2C)[n-]c1Cl ZINC001361767734 882331237 /nfs/dbraw/zinc/33/12/37/882331237.db2.gz OCECDNLBIPMGHE-UHFFFAOYSA-N -1 1 309.713 1.591 20 0 DDADMM CCOC(=O)c1nc(NC(=O)Cn2nccc2C)[n-]c1Cl ZINC001361767512 882330761 /nfs/dbraw/zinc/33/07/61/882330761.db2.gz MWAAIHSNLLZLCX-UHFFFAOYSA-N -1 1 311.729 1.383 20 0 DDADMM C[C@H]1C[C@H](c2ccccc2)CN1c1nnc(-c2nnn[n-]2)n1C ZINC001338074314 869786446 /nfs/dbraw/zinc/78/64/46/869786446.db2.gz ZWFRTCWYAOXJMN-JQWIXIFHSA-N -1 1 310.365 1.378 20 0 DDADMM C[C@H]1C[C@H](c2ccccc2)CN1c1nnc(-c2nn[n-]n2)n1C ZINC001338074314 869786454 /nfs/dbraw/zinc/78/64/54/869786454.db2.gz ZWFRTCWYAOXJMN-JQWIXIFHSA-N -1 1 310.365 1.378 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc3occc3[nH]2)n[n-]1 ZINC001166128255 869851458 /nfs/dbraw/zinc/85/14/58/869851458.db2.gz ZAIMFUQMLKSOOX-SSDOTTSWSA-N -1 1 317.305 1.547 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc3occc3[nH]2)[n-]1 ZINC001166128255 869851472 /nfs/dbraw/zinc/85/14/72/869851472.db2.gz ZAIMFUQMLKSOOX-SSDOTTSWSA-N -1 1 317.305 1.547 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc3occc3[nH]2)n1 ZINC001166128255 869851485 /nfs/dbraw/zinc/85/14/85/869851485.db2.gz ZAIMFUQMLKSOOX-SSDOTTSWSA-N -1 1 317.305 1.547 20 0 DDADMM CN(C[C@H]1CCCO1)c1nnc(-c2cc(Cl)ncc2[O-])n1C ZINC001338339671 869930906 /nfs/dbraw/zinc/93/09/06/869930906.db2.gz ZXQYFVVQHJHSQK-SECBINFHSA-N -1 1 323.784 1.851 20 0 DDADMM CCC1(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CCC1 ZINC001166703117 870172437 /nfs/dbraw/zinc/17/24/37/870172437.db2.gz BXLZYOXDOXOOSE-UHFFFAOYSA-N -1 1 321.425 1.467 20 0 DDADMM CC(=O)Nc1cccc([C@@H](C)NC(=O)CCCc2nn[n-]n2)c1 ZINC001361790387 882380425 /nfs/dbraw/zinc/38/04/25/882380425.db2.gz WIQCTJMPWZTASD-SNVBAGLBSA-N -1 1 316.365 1.358 20 0 DDADMM CCCCCC(C)(C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001317067963 870194404 /nfs/dbraw/zinc/19/44/04/870194404.db2.gz KSQDJCWIVRYPHZ-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM Cc1cc(C)nc(N2CCC([N-]C(=O)C(F)(F)F)CC2)n1 ZINC001166896002 870349306 /nfs/dbraw/zinc/34/93/06/870349306.db2.gz SSRGIFMSOQCBAE-UHFFFAOYSA-N -1 1 302.300 1.741 20 0 DDADMM CCN(Cc1ccccc1C)c1nnc(-c2nnn[n-]2)n1CC ZINC001339260297 870425069 /nfs/dbraw/zinc/42/50/69/870425069.db2.gz KYRAPAHPTAQQPS-UHFFFAOYSA-N -1 1 312.381 1.813 20 0 DDADMM CCN(Cc1ccccc1C)c1nnc(-c2nn[n-]n2)n1CC ZINC001339260297 870425079 /nfs/dbraw/zinc/42/50/79/870425079.db2.gz KYRAPAHPTAQQPS-UHFFFAOYSA-N -1 1 312.381 1.813 20 0 DDADMM CC[C@@H](SC)C(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001298349143 870491909 /nfs/dbraw/zinc/49/19/09/870491909.db2.gz UJHSJPUEHSOWJJ-QMAVJUDZSA-N -1 1 323.418 1.331 20 0 DDADMM CC/C(C)=C/C(=O)N1C[C@H](NC(=O)c2cnn[nH]2)CC[C@H]1C ZINC001339693067 870635595 /nfs/dbraw/zinc/63/55/95/870635595.db2.gz AFVISBIIYOBFIJ-SXSOHVCJSA-N -1 1 305.382 1.270 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H](c2ccc(F)cc2)N(C)C)c1[O-] ZINC001361822793 882440476 /nfs/dbraw/zinc/44/04/76/882440476.db2.gz BBZXAASJQKQIKG-GFCCVEGCSA-N -1 1 306.341 1.596 20 0 DDADMM C[C@H](CN(C)c1nnc(-c2noc(=O)[n-]2)n1C)c1ccccc1 ZINC001340070654 870874768 /nfs/dbraw/zinc/87/47/68/870874768.db2.gz UQORXSUPUHWSOS-SNVBAGLBSA-N -1 1 314.349 1.398 20 0 DDADMM C/C=C/C[C@@H]1CCCN(c2nnc(-c3noc(=O)[n-]3)n2C)C1 ZINC001340189808 870948005 /nfs/dbraw/zinc/94/80/05/870948005.db2.gz GJZUGAZGBWBFHY-HMDXOVGESA-N -1 1 304.354 1.341 20 0 DDADMM CCc1nnc(CNCCN(C)C(=O)c2ncccc2[O-])s1 ZINC001317582153 871136154 /nfs/dbraw/zinc/13/61/54/871136154.db2.gz OKOBHICKTOPBSG-UHFFFAOYSA-N -1 1 321.406 1.063 20 0 DDADMM O=C(CCOC[C@H]1CCCO1)OCC(=O)c1ccc([O-])cc1O ZINC001317892261 871620451 /nfs/dbraw/zinc/62/04/51/871620451.db2.gz MPFJPZGGFCWOKW-GFCCVEGCSA-N -1 1 324.329 1.409 20 0 DDADMM CN1CCN(c2nccc(-c3ccsc3C(=O)[O-])n2)CC1 ZINC001205565150 871634063 /nfs/dbraw/zinc/63/40/63/871634063.db2.gz JTZATABTHPHUNS-UHFFFAOYSA-N -1 1 304.375 1.655 20 0 DDADMM CSc1nc([N-]C(=O)c2nscc2Br)n[nH]1 ZINC001361866772 882533380 /nfs/dbraw/zinc/53/33/80/882533380.db2.gz BDUQCARVBYMBEV-UHFFFAOYSA-N -1 1 320.197 1.998 20 0 DDADMM CC(C)CNC(=O)N1CCC[C@H](C(=O)Nc2c[n-][nH]c2=O)C1 ZINC001413842072 871694651 /nfs/dbraw/zinc/69/46/51/871694651.db2.gz CPKVZKQABLBVIN-JTQLQIEISA-N -1 1 309.370 1.131 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1cnncc1[O-])c1ccc(C)cc1 ZINC001361877916 882558385 /nfs/dbraw/zinc/55/83/85/882558385.db2.gz OQLMXDGAPBEWBV-CYBMUJFWSA-N -1 1 315.329 1.525 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3c(c2)OCC3)c1 ZINC001206708599 872528405 /nfs/dbraw/zinc/52/84/05/872528405.db2.gz QUYSHDRWHYJRAR-UHFFFAOYSA-N -1 1 305.359 1.587 20 0 DDADMM CC(C)(C)/C=C\C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207710638 873494785 /nfs/dbraw/zinc/49/47/85/873494785.db2.gz OSENRCPQIOEFSX-JMEBYUIHSA-N -1 1 307.398 1.193 20 0 DDADMM CCOC(=O)[C@@H](Cc1ccccc1)NC(=O)c1ccc([O-])cn1 ZINC001361969315 882730693 /nfs/dbraw/zinc/73/06/93/882730693.db2.gz WCRNLRORXCNQSG-OAHLLOKOSA-N -1 1 314.341 1.691 20 0 DDADMM CC1(C)CC[C@H](C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)O1 ZINC001361979107 882751217 /nfs/dbraw/zinc/75/12/17/882751217.db2.gz FXXHXIBCHKLYGD-GFCCVEGCSA-N -1 1 317.349 1.675 20 0 DDADMM CC(C)(CCS(C)(=O)=O)NC(=O)c1cc(Cl)ccc1[O-] ZINC001361989030 882771847 /nfs/dbraw/zinc/77/18/47/882771847.db2.gz AGAZNYZWXOCZGK-UHFFFAOYSA-N -1 1 319.810 1.989 20 0 DDADMM CCOC1CC2(C[C@@H]2NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001347228623 874065053 /nfs/dbraw/zinc/06/50/53/874065053.db2.gz ARMGYVBKKZGKDM-PUUHTONNSA-N -1 1 309.391 1.467 20 0 DDADMM CCN(C)C(=O)[C@H]1CSCN1C(=O)c1ccc(F)c([O-])c1 ZINC001361998078 882790425 /nfs/dbraw/zinc/79/04/25/882790425.db2.gz YLXALRALJFLIQA-LLVKDONJSA-N -1 1 312.366 1.525 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](Oc2cnc[n-]c2=O)CC(=O)C1 ZINC001227049906 882796768 /nfs/dbraw/zinc/79/67/68/882796768.db2.gz XWMZCRYYFUJMAN-LLVKDONJSA-N -1 1 323.349 1.530 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCO[C@@H]1CCCCO1 ZINC001347607906 874209776 /nfs/dbraw/zinc/20/97/76/874209776.db2.gz HBEKHACEVUZGNP-SECBINFHSA-N -1 1 313.379 1.052 20 0 DDADMM COCC(=O)Nc1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001362015021 882827311 /nfs/dbraw/zinc/82/73/11/882827311.db2.gz IDRPNPQVXZGETL-UHFFFAOYSA-N -1 1 302.290 1.019 20 0 DDADMM O=C(CCNC(=O)c1cccc([O-])c1F)Nc1ccncc1 ZINC001362018517 882834974 /nfs/dbraw/zinc/83/49/74/882834974.db2.gz FOWYIYOFGDYEMD-UHFFFAOYSA-N -1 1 303.293 1.107 20 0 DDADMM COc1cccc(CCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c1 ZINC001348527976 874614989 /nfs/dbraw/zinc/61/49/89/874614989.db2.gz GSMGDRCQQJQZGN-UHFFFAOYSA-N -1 1 319.386 1.760 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H]1c1cccnc1 ZINC001362024233 882855997 /nfs/dbraw/zinc/85/59/97/882855997.db2.gz VNZPMAKFABDAIO-SNVBAGLBSA-N -1 1 316.317 1.269 20 0 DDADMM O=C(CC1CCCCCC1)NCCNC(=O)c1ncccc1[O-] ZINC001348839196 874821461 /nfs/dbraw/zinc/82/14/61/874821461.db2.gz SUUHQJJPHCFIGV-UHFFFAOYSA-N -1 1 319.405 1.994 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CC[C@H]2[C@@H](C1)C2(F)F ZINC001348862642 874839575 /nfs/dbraw/zinc/83/95/75/874839575.db2.gz DOXIANFUZOAJMS-GJMOJQLCSA-N -1 1 315.345 1.943 20 0 DDADMM CC1=CCCN(c2nnc(-c3c[n-][nH]c3=O)n2CC2CC2)C1 ZINC001348905743 874866138 /nfs/dbraw/zinc/86/61/38/874866138.db2.gz VNHYRJIGKYCVDL-UHFFFAOYSA-N -1 1 300.366 1.940 20 0 DDADMM COCC(=O)c1ccc([O-])cc1OC1CCN(C(C)=O)CC1 ZINC001227169081 882891268 /nfs/dbraw/zinc/89/12/68/882891268.db2.gz POSFJRCRBLJUJM-UHFFFAOYSA-N -1 1 307.346 1.611 20 0 DDADMM CO[C@@]1(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)CCSC1 ZINC001349383612 875144867 /nfs/dbraw/zinc/14/48/67/875144867.db2.gz NAUQVSWHXONJGT-GFCCVEGCSA-N -1 1 315.420 1.031 20 0 DDADMM Cc1cc2n[nH]cc2cc1[N-]S(=O)(=O)c1cc(N)ccc1N ZINC001210107178 875231056 /nfs/dbraw/zinc/23/10/56/875231056.db2.gz WEVZZTNYKZZUJG-UHFFFAOYSA-N -1 1 317.374 1.837 20 0 DDADMM COc1c(Nc2cc(=O)nc(N(C)C)[nH]2)cccc1C(=O)[O-] ZINC001211033193 875632121 /nfs/dbraw/zinc/63/21/21/875632121.db2.gz LQFQMBKUUGTPAU-UHFFFAOYSA-N -1 1 304.306 1.699 20 0 DDADMM Cc1ccccc1[C@H]1C[C@@H](C)N(c2nnc(-c3nnn[n-]3)n2C)C1 ZINC001350430059 875684575 /nfs/dbraw/zinc/68/45/75/875684575.db2.gz CAHDMYIRDONMKU-NEPJUHHUSA-N -1 1 324.392 1.686 20 0 DDADMM Cc1ccccc1[C@H]1C[C@@H](C)N(c2nnc(-c3nn[n-]n3)n2C)C1 ZINC001350430059 875684583 /nfs/dbraw/zinc/68/45/83/875684583.db2.gz CAHDMYIRDONMKU-NEPJUHHUSA-N -1 1 324.392 1.686 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc(OC)c(O)c1)c1nn[n-]n1 ZINC001362068788 882957089 /nfs/dbraw/zinc/95/70/89/882957089.db2.gz GJTOITKGMJOLDL-SNVBAGLBSA-N -1 1 305.338 1.114 20 0 DDADMM Cc1cc(Nc2c(N)[nH]c(=S)[n-]c2=O)cc2c1OCC(=O)N2 ZINC001213282403 875873837 /nfs/dbraw/zinc/87/38/37/875873837.db2.gz LTNRBNTWFXYHRB-UHFFFAOYSA-N -1 1 319.346 1.436 20 0 DDADMM O=C(N[C@H]1CCN(CC(F)(F)F)C1=O)c1ccc(F)c([O-])c1 ZINC001362079429 882981735 /nfs/dbraw/zinc/98/17/35/882981735.db2.gz NNNIYIQIFAMPJH-VIFPVBQESA-N -1 1 320.242 1.424 20 0 DDADMM C[C@H](COc1ccc(F)cc1)NC(=O)CCCc1nn[n-]n1 ZINC001362082118 882989752 /nfs/dbraw/zinc/98/97/52/882989752.db2.gz XYBRGPFAWOQVOU-SNVBAGLBSA-N -1 1 307.329 1.245 20 0 DDADMM C=C/C(C)=C/CC(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001351840554 876458731 /nfs/dbraw/zinc/45/87/31/876458731.db2.gz FSDYKPLYCCLDRB-MDWZMJQESA-N -1 1 317.389 1.888 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H](CCC(N)=O)C2)c(=O)[n-]1 ZINC001362107892 883058941 /nfs/dbraw/zinc/05/89/41/883058941.db2.gz GXCSONFFUBNXCJ-VIFPVBQESA-N -1 1 324.406 1.022 20 0 DDADMM COc1ncc(Nc2nccs2)cc1[N-]S(C)(=O)=O ZINC001216151905 876878204 /nfs/dbraw/zinc/87/82/04/876878204.db2.gz QMEMVMZYESSIEP-UHFFFAOYSA-N -1 1 300.365 1.662 20 0 DDADMM COc1ncc(Nc2ccnc(F)c2)cc1[N-]S(C)(=O)=O ZINC001216152527 876881048 /nfs/dbraw/zinc/88/10/48/876881048.db2.gz XITMLMCFBKQSMI-UHFFFAOYSA-N -1 1 312.326 1.739 20 0 DDADMM C[C@@H](Oc1nc(=O)[nH]c(=O)[n-]1)c1cccc(Br)n1 ZINC001227569294 883083808 /nfs/dbraw/zinc/08/38/08/883083808.db2.gz YLYQTRVPFRWBAO-RXMQYKEDSA-N -1 1 313.111 1.580 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCCc2n[nH]cc2C1 ZINC000404604375 877052595 /nfs/dbraw/zinc/05/25/95/877052595.db2.gz ATLHRWLLEOBMGZ-UHFFFAOYSA-N -1 1 315.377 1.926 20 0 DDADMM CC(C)(C)OC(=O)c1ccccc1CC(=O)NCc1nn[n-]n1 ZINC001362124136 883100175 /nfs/dbraw/zinc/10/01/75/883100175.db2.gz IPOHNVPNKBCKQF-UHFFFAOYSA-N -1 1 317.349 1.014 20 0 DDADMM CC(C)(C)C(=O)NCCOCCCNC(=O)c1ncccc1[O-] ZINC001287493000 912263225 /nfs/dbraw/zinc/26/32/25/912263225.db2.gz AAHPVEWRTRDFLZ-UHFFFAOYSA-N -1 1 323.393 1.086 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)NC1CCN(Cc2ccncc2)CC1 ZINC001354071069 877731100 /nfs/dbraw/zinc/73/11/00/877731100.db2.gz BJFSLIFDZUUFBX-AWEZNQCLSA-N -1 1 305.378 1.273 20 0 DDADMM Cc1cocc1C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001395561286 912283053 /nfs/dbraw/zinc/28/30/53/912283053.db2.gz OGFKBTUUHZVEFJ-NSHDSACASA-N -1 1 317.345 1.579 20 0 DDADMM Cc1cc(C)c2c(c1)CCN(c1nnc(-c3nnn[n-]3)n1C)C2 ZINC001355333008 878508297 /nfs/dbraw/zinc/50/82/97/878508297.db2.gz HGSWQIBXQMOEEE-UHFFFAOYSA-N -1 1 310.365 1.175 20 0 DDADMM Cc1cc(C)c2c(c1)CCN(c1nnc(-c3nn[n-]n3)n1C)C2 ZINC001355333008 878508308 /nfs/dbraw/zinc/50/83/08/878508308.db2.gz HGSWQIBXQMOEEE-UHFFFAOYSA-N -1 1 310.365 1.175 20 0 DDADMM CNC(=O)c1cccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)c1 ZINC000315351658 879049421 /nfs/dbraw/zinc/04/94/21/879049421.db2.gz ZCJOVBBHKSVLHJ-UHFFFAOYSA-N -1 1 321.358 1.135 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1cccn1C ZINC001380690355 880198038 /nfs/dbraw/zinc/19/80/38/880198038.db2.gz DAJSVZMHLUHPLM-NSHDSACASA-N -1 1 316.361 1.016 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C1CCCCC1 ZINC001380690162 880198096 /nfs/dbraw/zinc/19/80/96/880198096.db2.gz CEQPGNUFZTVYJM-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H](OCc2nn[n-]n2)CC(F)(F)C1 ZINC001223030025 880790440 /nfs/dbraw/zinc/79/04/40/880790440.db2.gz RXKXJSLOQZGCCF-QMMMGPOBSA-N -1 1 319.312 1.361 20 0 DDADMM C[C@H]1[C@@H](Oc2[n-]c(=O)nc3c2COC3)CN1C(=O)OC(C)(C)C ZINC001227686045 883131511 /nfs/dbraw/zinc/13/15/11/883131511.db2.gz FANCQUXJYPXSQM-KWQFWETISA-N -1 1 323.349 1.599 20 0 DDADMM CCOC(=O)C[C@H](Oc1[n-]c(=O)nc2c1COC2)C(F)(F)F ZINC001227690308 883133439 /nfs/dbraw/zinc/13/34/39/883133439.db2.gz KXDFGEDEKIIFFO-QMMMGPOBSA-N -1 1 322.239 1.475 20 0 DDADMM COC(=O)c1n[n-]c(OCc2cnc(F)c(OC(C)C)c2)n1 ZINC001228314704 883441516 /nfs/dbraw/zinc/44/15/16/883441516.db2.gz SYYXLRRYIZPVNN-UHFFFAOYSA-N -1 1 310.285 1.492 20 0 DDADMM COC(=O)c1nc(OCc2cnc(F)c(OC(C)C)c2)n[n-]1 ZINC001228314704 883441524 /nfs/dbraw/zinc/44/15/24/883441524.db2.gz SYYXLRRYIZPVNN-UHFFFAOYSA-N -1 1 310.285 1.492 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](OC1=NC(=O)CC([O-])=N1)C(F)(F)F ZINC001228391609 883478591 /nfs/dbraw/zinc/47/85/91/883478591.db2.gz XEYNGPPEOSTUDA-XRGYYRRGSA-N -1 1 310.228 1.397 20 0 DDADMM C[C@H](c1ccccn1)N1CC[C@@H](Oc2nc(O)cc(=O)[n-]2)C1 ZINC001228393201 883478765 /nfs/dbraw/zinc/47/87/65/883478765.db2.gz XHVIPXMMPRKBLW-GHMZBOCLSA-N -1 1 302.334 1.497 20 0 DDADMM C[C@@H](c1ccccn1)N1CC[C@@H](Oc2nc(O)cc(=O)[n-]2)C1 ZINC001228393202 883479507 /nfs/dbraw/zinc/47/95/07/883479507.db2.gz XHVIPXMMPRKBLW-WDEREUQCSA-N -1 1 302.334 1.497 20 0 DDADMM CC(C)(C(=O)Nc1nnn[n-]1)[C@@H]1CCC[C@H](C(F)(F)F)N1 ZINC001362334922 883591071 /nfs/dbraw/zinc/59/10/71/883591071.db2.gz FNMAQVMNNUVMND-NKWVEPMBSA-N -1 1 306.292 1.237 20 0 DDADMM CC(C)(C(=O)Nc1nn[n-]n1)[C@@H]1CCC[C@H](C(F)(F)F)N1 ZINC001362334922 883591090 /nfs/dbraw/zinc/59/10/90/883591090.db2.gz FNMAQVMNNUVMND-NKWVEPMBSA-N -1 1 306.292 1.237 20 0 DDADMM CCCN(C(=O)CCCc1nn[n-]n1)[C@H](CC)C(=O)OCC ZINC001362337821 883598551 /nfs/dbraw/zinc/59/85/51/883598551.db2.gz IUKYXRHZBJZHAZ-LLVKDONJSA-N -1 1 311.386 1.103 20 0 DDADMM CCCCn1cc(CNC(=O)CCCc2nn[n-]n2)c(C)n1 ZINC001362376547 883673663 /nfs/dbraw/zinc/67/36/63/883673663.db2.gz AIEFRROWOGFGTP-UHFFFAOYSA-N -1 1 305.386 1.144 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@@H]1CCC=CO1 ZINC001362381039 883681514 /nfs/dbraw/zinc/68/15/14/883681514.db2.gz QFQJWHHFDDKDIO-LBPRGKRZSA-N -1 1 314.338 1.828 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2c(c1)COC(=O)N2)c1nn[n-]n1 ZINC001362387114 883695926 /nfs/dbraw/zinc/69/59/26/883695926.db2.gz QFNLTKFTGAYOPN-LLVKDONJSA-N -1 1 316.321 1.533 20 0 DDADMM CCO[C@H](C(=O)NC(CC)(CC)c1nn[n-]n1)C1CCCC1 ZINC001362420577 883770955 /nfs/dbraw/zinc/77/09/55/883770955.db2.gz DJVFSNUKNXRWCU-LBPRGKRZSA-N -1 1 309.414 1.927 20 0 DDADMM COC(=O)[C@H]1C[C@H](Oc2c([O-])c(OC)cc3ccc(=O)oc32)C1 ZINC001229218620 883877941 /nfs/dbraw/zinc/87/79/41/883877941.db2.gz PHEDBULPNWJERB-MGCOHNPYSA-N -1 1 320.297 1.838 20 0 DDADMM CCC[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccccn1 ZINC001362496265 883939559 /nfs/dbraw/zinc/93/95/59/883939559.db2.gz GREUOOAQOXKLIZ-NEPJUHHUSA-N -1 1 302.382 1.741 20 0 DDADMM CC[C@H](NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O)C(=O)NC ZINC001362569092 884115968 /nfs/dbraw/zinc/11/59/68/884115968.db2.gz MHHRLVFYMGVFMN-VIFPVBQESA-N -1 1 308.382 1.043 20 0 DDADMM COc1ccc(F)cc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001362610783 884216152 /nfs/dbraw/zinc/21/61/52/884216152.db2.gz KHYZOTNJZJEEBH-UHFFFAOYSA-N -1 1 323.349 1.982 20 0 DDADMM COC(=O)c1c[n-]c(OC2CCN(c3cc(C)ccn3)CC2)n1 ZINC001230282614 884409031 /nfs/dbraw/zinc/40/90/31/884409031.db2.gz WYDJYURLWVIHQR-UHFFFAOYSA-N -1 1 316.361 1.948 20 0 DDADMM CC(C)(C)OC(=O)C1(NC(=O)c2ccc([O-])cn2)CCOCC1 ZINC001362710335 884464311 /nfs/dbraw/zinc/46/43/11/884464311.db2.gz YXHNNIRLLRAXQZ-UHFFFAOYSA-N -1 1 322.361 1.408 20 0 DDADMM CCC(CC)(NC(=O)c1cnn(CC(C)C)c1C)c1nn[n-]n1 ZINC001362788277 884639757 /nfs/dbraw/zinc/63/97/57/884639757.db2.gz VDEWVLJDJAKKNY-UHFFFAOYSA-N -1 1 319.413 1.806 20 0 DDADMM CC[C@H](NC(=O)CCc1nn[n-]n1)c1ccc(OC)c(OC)c1 ZINC001362811967 884701063 /nfs/dbraw/zinc/70/10/63/884701063.db2.gz CMIFJFGFBWRLMY-NSHDSACASA-N -1 1 319.365 1.417 20 0 DDADMM CCCn1ncc(C(=O)Nc2nc(C(=O)OCC)c[n-]2)c1C ZINC001362818480 884712344 /nfs/dbraw/zinc/71/23/44/884712344.db2.gz NMRXIHGIQDRBTQ-UHFFFAOYSA-N -1 1 305.338 1.754 20 0 DDADMM CCSc1cc(C(=O)NC2(c3nn[n-]n3)CCC2)ccn1 ZINC001362832021 884750173 /nfs/dbraw/zinc/75/01/73/884750173.db2.gz BRJWEABMAPAFTR-UHFFFAOYSA-N -1 1 304.379 1.516 20 0 DDADMM C[C@H](CCOc1ccccc1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001362833195 884751382 /nfs/dbraw/zinc/75/13/82/884751382.db2.gz DYCGAANFUWJZQU-GFCCVEGCSA-N -1 1 315.377 1.800 20 0 DDADMM CC(C)(CO)[C@@H](NC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC001362840027 884770071 /nfs/dbraw/zinc/77/00/71/884770071.db2.gz AMRJHCKRGNAYGQ-HNNXBMFYSA-N -1 1 317.393 1.398 20 0 DDADMM O=C(NCC(F)F)[C@@H]1CCCCN1C(=O)c1ccc([O-])cn1 ZINC001362854736 884803534 /nfs/dbraw/zinc/80/35/34/884803534.db2.gz HHFPATRNZUXANP-NSHDSACASA-N -1 1 313.304 1.163 20 0 DDADMM CCCC[C@@H](O)CCC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001362904956 884943733 /nfs/dbraw/zinc/94/37/33/884943733.db2.gz KPSSRNZLYRSNCQ-QWHCGFSZSA-N -1 1 309.414 1.312 20 0 DDADMM CC(C)(CC(F)(F)F)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001362943100 885045468 /nfs/dbraw/zinc/04/54/68/885045468.db2.gz UOJJLIOOYDITIK-UHFFFAOYSA-N -1 1 319.283 1.364 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cnn(C(C)C)c2)[n-]c1=O ZINC001362971066 885116397 /nfs/dbraw/zinc/11/63/97/885116397.db2.gz PEKHHJMLXXZXGP-UHFFFAOYSA-N -1 1 319.365 1.633 20 0 DDADMM CON1CCC(N(C)C(=O)c2cnc(SC)[n-]c2=O)CC1 ZINC001362994012 885175084 /nfs/dbraw/zinc/17/50/84/885175084.db2.gz JNFDWVQRBMMQTK-UHFFFAOYSA-N -1 1 312.395 1.002 20 0 DDADMM COc1cnc(C2(NC(=O)[C@H]3CC(C)=NO3)CCCC2)[n-]c1=O ZINC001363003368 885201494 /nfs/dbraw/zinc/20/14/94/885201494.db2.gz PDSJUJNZQPHQET-SNVBAGLBSA-N -1 1 320.349 1.241 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(C)c(C)o2)n[n-]1 ZINC001363047964 885326626 /nfs/dbraw/zinc/32/66/26/885326626.db2.gz LYDQMADZKRRXEM-MRVPVSSYSA-N -1 1 306.322 1.682 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(C)c(C)o2)[n-]1 ZINC001363047964 885326645 /nfs/dbraw/zinc/32/66/45/885326645.db2.gz LYDQMADZKRRXEM-MRVPVSSYSA-N -1 1 306.322 1.682 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(C)c(C)o2)n1 ZINC001363047964 885326666 /nfs/dbraw/zinc/32/66/66/885326666.db2.gz LYDQMADZKRRXEM-MRVPVSSYSA-N -1 1 306.322 1.682 20 0 DDADMM CSc1ncc(C(=O)N[C@@]2(C)CC(C)(C)OC2=O)c(=O)[n-]1 ZINC001363064689 885375884 /nfs/dbraw/zinc/37/58/84/885375884.db2.gz CXONYNIABUUPFY-ZDUSSCGKSA-N -1 1 311.363 1.118 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1C[C@@H]2C(=O)OC[C@@H]2C1 ZINC001363098551 885459020 /nfs/dbraw/zinc/45/90/20/885459020.db2.gz JWIGFTVLLLPENU-HZMBPMFUSA-N -1 1 313.309 1.496 20 0 DDADMM O=C(Nc1ccncc1[O-])c1csc(Br)n1 ZINC001363111435 885490064 /nfs/dbraw/zinc/49/00/64/885490064.db2.gz RUNYKUWDJNLLGA-UHFFFAOYSA-N -1 1 300.137 1.680 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc(Cl)cc1[O-])c1ccnn1C ZINC001363120092 885511559 /nfs/dbraw/zinc/51/15/59/885511559.db2.gz BDMVQAUNWXBYAF-LBPRGKRZSA-N -1 1 323.736 1.423 20 0 DDADMM CCC(CC)(NC(=O)C[C@@H]1CSCCS1)c1nn[n-]n1 ZINC001363134744 885551983 /nfs/dbraw/zinc/55/19/83/885551983.db2.gz DQAVNJSOOLZXHE-SECBINFHSA-N -1 1 315.468 1.570 20 0 DDADMM CCOC(=O)Cn1ccc(NC(=O)c2ccc(O)cc2[O-])n1 ZINC001363172034 885636463 /nfs/dbraw/zinc/63/64/63/885636463.db2.gz NCPFRBUUKFZLGZ-UHFFFAOYSA-N -1 1 305.290 1.110 20 0 DDADMM O=C([O-])c1ccc(CN2CCC[C@@H](NC(=O)C3CC3)C2)s1 ZINC001231465982 885684265 /nfs/dbraw/zinc/68/42/65/885684265.db2.gz PIVZCONZRFBPHX-LLVKDONJSA-N -1 1 308.403 1.937 20 0 DDADMM NC(=O)[C@H]1CCSC12CN(Cc1ccc([O-])c(F)c1F)C2 ZINC001277543223 885765255 /nfs/dbraw/zinc/76/52/55/885765255.db2.gz KGYHGCIBZQBOCN-SECBINFHSA-N -1 1 314.357 1.463 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@](O)(C3CC3)C2)c(=O)[n-]1 ZINC001363238345 885792747 /nfs/dbraw/zinc/79/27/47/885792747.db2.gz KCWMEVPMOMTRBE-AWEZNQCLSA-N -1 1 309.391 1.281 20 0 DDADMM CS[C@H](C)C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001383454259 885819397 /nfs/dbraw/zinc/81/93/97/885819397.db2.gz DHVROMXNRTZADF-GMTAPVOTSA-N -1 1 323.418 1.163 20 0 DDADMM COc1cc(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)[nH]n1 ZINC001363255274 885833214 /nfs/dbraw/zinc/83/32/14/885833214.db2.gz KWUFOCNIHSCHPZ-UHFFFAOYSA-N -1 1 307.310 1.349 20 0 DDADMM O=C([O-])COc1ccccc1CN1CCC(F)(F)[C@H](CO)C1 ZINC001231789831 885873403 /nfs/dbraw/zinc/87/34/03/885873403.db2.gz YJBYMGPDHMFAND-LBPRGKRZSA-N -1 1 315.316 1.600 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C1(c2cccnc2)CC1 ZINC001363357849 886122015 /nfs/dbraw/zinc/12/20/15/886122015.db2.gz UMEGFGGHTGCQGV-UHFFFAOYSA-N -1 1 300.366 1.311 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)C1=NO[C@H](c2ccccc2)C1 ZINC001363357699 886122279 /nfs/dbraw/zinc/12/22/79/886122279.db2.gz DICGZTQVNMXDOS-LBPRGKRZSA-N -1 1 312.333 1.213 20 0 DDADMM Cn1nc(C(F)(F)F)cc1CNC(=O)c1ccc([O-])cn1 ZINC001363387940 886196089 /nfs/dbraw/zinc/19/60/89/886196089.db2.gz QGNXKYJQSZXLRI-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CCCC(C)=O ZINC001363399037 886228610 /nfs/dbraw/zinc/22/86/10/886228610.db2.gz OPDGKSCRSNUDCS-NSHDSACASA-N -1 1 322.365 1.404 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CCCC(C)=O ZINC001363399037 886228630 /nfs/dbraw/zinc/22/86/30/886228630.db2.gz OPDGKSCRSNUDCS-NSHDSACASA-N -1 1 322.365 1.404 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CCCC(C)=O ZINC001363399037 886228651 /nfs/dbraw/zinc/22/86/51/886228651.db2.gz OPDGKSCRSNUDCS-NSHDSACASA-N -1 1 322.365 1.404 20 0 DDADMM CCc1noc(C)c1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363403085 886240253 /nfs/dbraw/zinc/24/02/53/886240253.db2.gz XDIYTEZRFLREHU-SNVBAGLBSA-N -1 1 304.354 1.153 20 0 DDADMM CS(C)(=O)=Nc1cccc(NC(=O)CCCc2nn[n-]n2)c1 ZINC001363432625 886324402 /nfs/dbraw/zinc/32/44/02/886324402.db2.gz ZFEPJJWTBNPHMR-UHFFFAOYSA-N -1 1 322.394 1.520 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)no1 ZINC001363436164 886332633 /nfs/dbraw/zinc/33/26/33/886332633.db2.gz PUZYSSWBGDUWPR-SNVBAGLBSA-N -1 1 304.354 1.235 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)CC(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363526378 886552286 /nfs/dbraw/zinc/55/22/86/886552286.db2.gz SMVIBXKONFRTGV-LDYMZIIASA-N -1 1 303.370 1.049 20 0 DDADMM CN1CCN(C2CN(Cc3cccc([O-])c3Cl)C2)CC1=O ZINC001232787854 886586130 /nfs/dbraw/zinc/58/61/30/886586130.db2.gz CSDYJCVGMBZYML-UHFFFAOYSA-N -1 1 309.797 1.004 20 0 DDADMM COC[C@@H]1CN(Cc2cccc([O-])c2Cl)Cc2nnn(C)c21 ZINC001232785448 886586608 /nfs/dbraw/zinc/58/66/08/886586608.db2.gz BPXOWOYCSYAMFG-NSHDSACASA-N -1 1 322.796 1.920 20 0 DDADMM COc1ccccc1NCC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363543315 886592569 /nfs/dbraw/zinc/59/25/69/886592569.db2.gz HVLGOFOJTMPQNW-UHFFFAOYSA-N -1 1 318.381 1.305 20 0 DDADMM CO[C@@H](Cc1ccccc1)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363543960 886595500 /nfs/dbraw/zinc/59/55/00/886595500.db2.gz KTZFLLKGPLGMPH-AWEZNQCLSA-N -1 1 317.393 1.442 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CCOCC2CC2)[n-]1 ZINC001288774333 913060471 /nfs/dbraw/zinc/06/04/71/913060471.db2.gz DNKPOJLAERCYND-UHFFFAOYSA-N -1 1 308.334 1.759 20 0 DDADMM CSc1c(F)cccc1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363556235 886627809 /nfs/dbraw/zinc/62/78/09/886627809.db2.gz ZMDTWUWAZHGUTA-UHFFFAOYSA-N -1 1 307.354 1.870 20 0 DDADMM Cc1cc(C(=O)N=S2(=O)CCCC2)[n-]c2nc(=O)[nH]c(=O)c1-2 ZINC001363576651 886677856 /nfs/dbraw/zinc/67/78/56/886677856.db2.gz KHHXLDJWACIYCT-UHFFFAOYSA-N -1 1 322.346 1.146 20 0 DDADMM C[C@H]1CN(C2CN(Cc3cc([O-])c(F)c(F)c3)C2)C[C@H](C)O1 ZINC001232974741 886704781 /nfs/dbraw/zinc/70/47/81/886704781.db2.gz YKPGORQFHUQGDE-QWRGUYRKSA-N -1 1 312.360 1.964 20 0 DDADMM CS(=O)(=O)[C@H]1CCCN(Cc2cc([O-])c(F)c(F)c2)C1 ZINC001232975787 886705156 /nfs/dbraw/zinc/70/51/56/886705156.db2.gz QHBUSUWOLMJXAG-JTQLQIEISA-N -1 1 305.346 1.679 20 0 DDADMM COC[C@H](NC(=O)c1snc(Cl)c1Cl)c1nn[n-]n1 ZINC001363589953 886709245 /nfs/dbraw/zinc/70/92/45/886709245.db2.gz QUJNEDUCVFVLMT-VKHMYHEASA-N -1 1 323.165 1.081 20 0 DDADMM C[C@H](CCCCNC(=O)[C@@H]1C[C@H]1C)NC(=O)c1ncccc1[O-] ZINC001288824941 913085934 /nfs/dbraw/zinc/08/59/34/913085934.db2.gz WARJOUUCODSDHR-JHJVBQTASA-N -1 1 319.405 1.848 20 0 DDADMM Cc1cnc(SCC2=NS(=O)(=O)c3ccccc32)[n-]c1=O ZINC001363754732 887146762 /nfs/dbraw/zinc/14/67/62/887146762.db2.gz KOWODWWEJBXIRC-UHFFFAOYSA-N -1 1 321.383 1.774 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC1CCC(C(=O)OC)CC1 ZINC001233756178 887281888 /nfs/dbraw/zinc/28/18/88/887281888.db2.gz HWGVCTRFKGQCLI-UHFFFAOYSA-N -1 1 310.306 1.079 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc(Br)co1 ZINC001363817046 887301109 /nfs/dbraw/zinc/30/11/09/887301109.db2.gz FILQFQYGALSWQR-UHFFFAOYSA-N -1 1 312.127 1.364 20 0 DDADMM CCc1ocnc1C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC001363852384 887398232 /nfs/dbraw/zinc/39/82/32/887398232.db2.gz XPUQEZCIVOFUCL-SECBINFHSA-N -1 1 318.333 1.719 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCCC2(C)C)[n-]n1 ZINC001363989018 887677278 /nfs/dbraw/zinc/67/72/78/887677278.db2.gz QOEFUYRVTZTUFN-SNVBAGLBSA-N -1 1 315.395 1.443 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCCC2(C)C)n[n-]1 ZINC001363989018 887677283 /nfs/dbraw/zinc/67/72/83/887677283.db2.gz QOEFUYRVTZTUFN-SNVBAGLBSA-N -1 1 315.395 1.443 20 0 DDADMM CN1c2ccccc2C[C@@H]([N-]S(=O)(=O)c2ccns2)C1=O ZINC001363999571 887698628 /nfs/dbraw/zinc/69/86/28/887698628.db2.gz LCPNALSQYNHQDV-SNVBAGLBSA-N -1 1 323.399 1.009 20 0 DDADMM Cc1nn2cccnc2c1S(=O)(=O)[N-]CCC(C)(F)F ZINC001364002951 887702811 /nfs/dbraw/zinc/70/28/11/887702811.db2.gz SEHCVIKGRPDFCX-UHFFFAOYSA-N -1 1 304.322 1.361 20 0 DDADMM CCc1c(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)cnn1CC ZINC001364053468 887805778 /nfs/dbraw/zinc/80/57/78/887805778.db2.gz XZJXUJBHTNUAAV-NSHDSACASA-N -1 1 317.397 1.073 20 0 DDADMM C[C@@]1(C2CCN(C(=O)c3ccc([O-])cn3)CC2)COC(=O)N1 ZINC001364107380 887936322 /nfs/dbraw/zinc/93/63/22/887936322.db2.gz AXNIODJRWACNHP-HNNXBMFYSA-N -1 1 305.334 1.138 20 0 DDADMM CC[C@@H]1OC(=O)C(Oc2[n-]c(=O)c(F)cc2C(=O)OC)=C1C ZINC001234609057 888138044 /nfs/dbraw/zinc/13/80/44/888138044.db2.gz NZRSXVRQMUSSEB-VIFPVBQESA-N -1 1 311.265 1.701 20 0 DDADMM O=C(N[C@H](CO)[C@@H](O)C1CCCCC1)c1cccc([O-])c1F ZINC001364311013 888355549 /nfs/dbraw/zinc/35/55/49/888355549.db2.gz PMCBKCMVJXJRCU-DOMZBBRYSA-N -1 1 311.353 1.563 20 0 DDADMM COc1cnc(C2(NC(=O)c3nocc3C)CCCC2)[n-]c1=O ZINC001364316378 888366789 /nfs/dbraw/zinc/36/67/89/888366789.db2.gz CKMFVIZEPSTOFM-UHFFFAOYSA-N -1 1 318.333 1.687 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H](CO)CCC(C)(C)C)ccnc1-2 ZINC001364333267 888403803 /nfs/dbraw/zinc/40/38/03/888403803.db2.gz NTPJHLPRAGQSKR-NSHDSACASA-N -1 1 319.409 1.651 20 0 DDADMM CCCOC(=O)[C@@H](C)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001364437090 888634252 /nfs/dbraw/zinc/63/42/52/888634252.db2.gz DXMFVZBNAJNXHR-AXFHLTTASA-N -1 1 324.343 1.860 20 0 DDADMM CC[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001385211198 888659194 /nfs/dbraw/zinc/65/91/94/888659194.db2.gz YWXWYRLGGSSHIC-LOWDOPEQSA-N -1 1 317.389 1.695 20 0 DDADMM COc1ccccc1C(F)(F)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001364661953 889147816 /nfs/dbraw/zinc/14/78/16/889147816.db2.gz GYAQXUGDMLSOPJ-UHFFFAOYSA-N -1 1 323.303 1.496 20 0 DDADMM O=C(NCc1cc(=O)[nH]c(C2CC2)n1)c1c([O-])cccc1F ZINC001364781663 889404063 /nfs/dbraw/zinc/40/40/63/889404063.db2.gz LJUJWYMQQKVZGW-UHFFFAOYSA-N -1 1 303.293 1.834 20 0 DDADMM CCN(Cc1ccoc1)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364795716 889433468 /nfs/dbraw/zinc/43/34/68/889433468.db2.gz GIYFYXGRKHHJNN-UHFFFAOYSA-N -1 1 313.335 1.000 20 0 DDADMM CCN(Cc1ccoc1)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364795716 889433476 /nfs/dbraw/zinc/43/34/76/889433476.db2.gz GIYFYXGRKHHJNN-UHFFFAOYSA-N -1 1 313.335 1.000 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)c1csnc1OC)C(=O)OC ZINC001364840375 889522647 /nfs/dbraw/zinc/52/26/47/889522647.db2.gz VIZDGJANUMNNGP-MRVPVSSYSA-N -1 1 322.408 1.162 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](CO)CC1CCCC1 ZINC001364853156 889551003 /nfs/dbraw/zinc/55/10/03/889551003.db2.gz FHWLXGJEDYDOCE-JTQLQIEISA-N -1 1 320.436 1.371 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC(=O)NC[C@@H]1c1ccccc1 ZINC001364920200 889667167 /nfs/dbraw/zinc/66/71/67/889667167.db2.gz SRLCXRHNNXJXQY-CYBMUJFWSA-N -1 1 314.316 1.845 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H]2C2CCCC2)[n-]n1 ZINC001364978346 889803802 /nfs/dbraw/zinc/80/38/02/889803802.db2.gz OKADHGPPXFWYOP-LLVKDONJSA-N -1 1 313.379 1.150 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H]2C2CCCC2)n[n-]1 ZINC001364978346 889803810 /nfs/dbraw/zinc/80/38/10/889803810.db2.gz OKADHGPPXFWYOP-LLVKDONJSA-N -1 1 313.379 1.150 20 0 DDADMM COC(=O)c1cnc(CCNC(=O)c2cncc([O-])c2)s1 ZINC001365065253 890023311 /nfs/dbraw/zinc/02/33/11/890023311.db2.gz MXPUNYHQSUGNTM-UHFFFAOYSA-N -1 1 307.331 1.003 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CC[C@@H](Oc2ncccn2)C1 ZINC001238245505 890149067 /nfs/dbraw/zinc/14/90/67/890149067.db2.gz UASFQNGGZAMNHI-CYBMUJFWSA-N -1 1 317.320 1.967 20 0 DDADMM CCc1ncoc1C(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC001365142080 890184755 /nfs/dbraw/zinc/18/47/55/890184755.db2.gz CJFMRBAJJUJWLJ-SNVBAGLBSA-N -1 1 318.333 1.719 20 0 DDADMM CC[C@@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001365149749 890200084 /nfs/dbraw/zinc/20/00/84/890200084.db2.gz URYGAKSBAPAIEI-LDYMZIIASA-N -1 1 308.425 1.227 20 0 DDADMM Cn1nccc1CN1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC001365160167 890222149 /nfs/dbraw/zinc/22/21/49/890222149.db2.gz TVRQKTQVYIYENA-UHFFFAOYSA-N -1 1 318.352 1.223 20 0 DDADMM CSCC[C@H](NC(=O)Nc1nc(C)ncc1C)c1nn[n-]n1 ZINC001365207001 890345819 /nfs/dbraw/zinc/34/58/19/890345819.db2.gz OATWJUUWMBQAOA-VIFPVBQESA-N -1 1 322.398 1.222 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1nc(N2CCCC2)ncc1Cl ZINC001365213956 890367950 /nfs/dbraw/zinc/36/79/50/890367950.db2.gz XHPBTSMTFCCERD-UHFFFAOYSA-N -1 1 308.729 1.411 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)Nc2cnns2)[n-]c1=O ZINC001365495108 890913531 /nfs/dbraw/zinc/91/35/31/890913531.db2.gz CIIKWXDPBXCZAC-UHFFFAOYSA-N -1 1 310.339 1.099 20 0 DDADMM COC(=O)C(C(=O)OC)c1cncc(-c2ccc([O-])c(F)c2)n1 ZINC001240782748 891049723 /nfs/dbraw/zinc/04/97/23/891049723.db2.gz HPUBGSCDGWUURE-UHFFFAOYSA-N -1 1 320.276 1.418 20 0 DDADMM Cc1cc(-c2c(F)cc([O-])cc2F)nc(S(C)(=O)=O)n1 ZINC001241118665 891122094 /nfs/dbraw/zinc/12/20/94/891122094.db2.gz UNQVTYWFSZWARO-UHFFFAOYSA-N -1 1 300.286 1.839 20 0 DDADMM COC(=O)c1nc2ccc(-c3cc(OC)ncc3F)nc2[n-]1 ZINC001241663363 891239035 /nfs/dbraw/zinc/23/90/35/891239035.db2.gz HDYCGTIQRSORRP-UHFFFAOYSA-N -1 1 302.265 1.954 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@](C)(OC)C1CC1 ZINC001365708560 891375706 /nfs/dbraw/zinc/37/57/06/891375706.db2.gz SRZCYNMBUXYXIQ-LLVKDONJSA-N -1 1 306.409 1.245 20 0 DDADMM CN1CCN(c2nccnc2-c2cccc(CC(=O)[O-])c2)CC1 ZINC001242262589 891380718 /nfs/dbraw/zinc/38/07/18/891380718.db2.gz KXAWSSPGKVSVDC-UHFFFAOYSA-N -1 1 312.373 1.523 20 0 DDADMM O=C([O-])c1ccc(-c2ccc(C(=O)N3CCNCC3)cc2)cn1 ZINC001242338345 891397064 /nfs/dbraw/zinc/39/70/64/891397064.db2.gz VACVVACWLAJIJR-UHFFFAOYSA-N -1 1 311.341 1.492 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCC[C@@H]2C(=O)NC2CC2)c1 ZINC001291621673 913557617 /nfs/dbraw/zinc/55/76/17/913557617.db2.gz XFWDLZMCUYRDRM-CQSZACIVSA-N -1 1 316.357 1.396 20 0 DDADMM COC(=O)C(F)(F)C[N-]S(=O)(=O)c1ccc(F)c(F)c1 ZINC001365745246 891444300 /nfs/dbraw/zinc/44/43/00/891444300.db2.gz INCKQANOBBABEO-UHFFFAOYSA-N -1 1 315.244 1.051 20 0 DDADMM CCc1nccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)n1 ZINC001244791544 891924353 /nfs/dbraw/zinc/92/43/53/891924353.db2.gz WCYUKXUDBFLEAD-UHFFFAOYSA-N -1 1 308.363 1.481 20 0 DDADMM O=C(c1cc(C2CC2)[nH]n1)n1[n-]c(CC(F)(F)F)cc1=O ZINC001245951515 892285932 /nfs/dbraw/zinc/28/59/32/892285932.db2.gz GDSGKJFLLLPDFF-UHFFFAOYSA-N -1 1 300.240 1.983 20 0 DDADMM O=C(c1cc(C2CC2)n[nH]1)n1[n-]c(CC(F)(F)F)cc1=O ZINC001245951515 892285945 /nfs/dbraw/zinc/28/59/45/892285945.db2.gz GDSGKJFLLLPDFF-UHFFFAOYSA-N -1 1 300.240 1.983 20 0 DDADMM O=C(c1cc(C2CC2)n[nH]1)n1nc(CC(F)(F)F)cc1[O-] ZINC001245951515 892285961 /nfs/dbraw/zinc/28/59/61/892285961.db2.gz GDSGKJFLLLPDFF-UHFFFAOYSA-N -1 1 300.240 1.983 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)c1cocc1C ZINC001387183475 892566294 /nfs/dbraw/zinc/56/62/94/892566294.db2.gz WUIRYRMZKCEMBU-UHFFFAOYSA-N -1 1 317.345 1.581 20 0 DDADMM O=C(NCC[N-]C(=O)C(F)F)c1cc(F)c(F)c(F)c1F ZINC001292433166 913677537 /nfs/dbraw/zinc/67/75/37/913677537.db2.gz LPCZEXMSQRCQKA-UHFFFAOYSA-N -1 1 314.185 1.354 20 0 DDADMM CCC(CC)C(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001387480126 893197556 /nfs/dbraw/zinc/19/75/56/893197556.db2.gz AVRYRIGZCGRCGV-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)[C@@H](C)C(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001387480173 893197726 /nfs/dbraw/zinc/19/77/26/893197726.db2.gz BLSPQRJZHZGUKQ-GFCCVEGCSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)C[C@H](C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001387719537 893723458 /nfs/dbraw/zinc/72/34/58/893723458.db2.gz WZZPZFRPTUNGLS-NSHDSACASA-N -1 1 305.378 1.410 20 0 DDADMM COC(=O)[C@H]1CN(Cc2ccc(F)c(C(=O)[O-])c2F)C[C@@H]1C ZINC001249782073 894124858 /nfs/dbraw/zinc/12/48/58/894124858.db2.gz WXIRLDVNUGYPKB-WPRPVWTQSA-N -1 1 313.300 1.904 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc3nncn3c2)cc1N ZINC001250007452 894186212 /nfs/dbraw/zinc/18/62/12/894186212.db2.gz WSCXMKRXJOBYLT-UHFFFAOYSA-N -1 1 303.347 1.421 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc3c(c2)NC(=O)C3)cc1N ZINC001250317342 894235013 /nfs/dbraw/zinc/23/50/13/894235013.db2.gz NZAASJVYPSZRLX-UHFFFAOYSA-N -1 1 317.370 1.873 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccoc1C ZINC001388055142 894464879 /nfs/dbraw/zinc/46/48/79/894464879.db2.gz IGNZPFBLZTVUJI-NSHDSACASA-N -1 1 317.345 1.627 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CC(C)(F)F ZINC001388055773 894466754 /nfs/dbraw/zinc/46/67/54/894466754.db2.gz KWROYDBTHKTETH-VIFPVBQESA-N -1 1 315.320 1.457 20 0 DDADMM CN1CCN(c2ccccc2[N-]S(=O)(=O)C(F)(F)F)CC1 ZINC001252347169 895087951 /nfs/dbraw/zinc/08/79/51/895087951.db2.gz MJACTFBBFBPOFC-UHFFFAOYSA-N -1 1 323.340 1.700 20 0 DDADMM CCC(CC)[C@@H](C)C(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367366714 896575729 /nfs/dbraw/zinc/57/57/29/896575729.db2.gz FSHCZGLDLLRRDQ-GHMZBOCLSA-N -1 1 311.430 1.519 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](NCC(=O)CCC(=O)[O-])C1 ZINC001255590913 897035257 /nfs/dbraw/zinc/03/52/57/897035257.db2.gz LVDXHCLBHFALNF-SNVBAGLBSA-N -1 1 300.355 1.019 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)NCC1CC1 ZINC001367633970 897294705 /nfs/dbraw/zinc/29/47/05/897294705.db2.gz BIJIDYQUQAXUKS-WPRPVWTQSA-N -1 1 307.316 1.637 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C)CCN[C@H](C)c2ncccn2)c1[O-] ZINC001367638756 897309206 /nfs/dbraw/zinc/30/92/06/897309206.db2.gz HRRCDOXIBPFNHU-GXSJLCMTSA-N -1 1 318.381 1.073 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)c2ccc(Cl)s2)c(=O)[nH]1 ZINC001256555828 897474544 /nfs/dbraw/zinc/47/45/44/897474544.db2.gz UVUBLPUBQOVJTL-LURJTMIESA-N -1 1 305.768 1.078 20 0 DDADMM CC[C@H](C)CC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001389662216 897745744 /nfs/dbraw/zinc/74/57/44/897745744.db2.gz VVKDWXQICCBJKG-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(Cl)c(Cl)s1)C(N)=O ZINC001257437776 897846225 /nfs/dbraw/zinc/84/62/25/897846225.db2.gz HGFUUDOYMBSZLQ-YFKPBYRVSA-N -1 1 317.219 1.597 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c(C)c1 ZINC001259096804 898467294 /nfs/dbraw/zinc/46/72/94/898467294.db2.gz IWPZLGJBOMQNQL-UHFFFAOYSA-N -1 1 324.318 1.703 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2c(Cl)cnn2C)c1 ZINC001259183820 898513638 /nfs/dbraw/zinc/51/36/38/898513638.db2.gz HAGFGKBGVRFLEF-UHFFFAOYSA-N -1 1 301.755 1.883 20 0 DDADMM COC(=O)c1ncc([N-]S(=O)(=O)Cc2ccc(C)cc2)cn1 ZINC001259259540 898554203 /nfs/dbraw/zinc/55/42/03/898554203.db2.gz RONNHNRSZRCBGK-UHFFFAOYSA-N -1 1 321.358 1.514 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1ccccn1)c1ccccc1 ZINC001259290682 898588131 /nfs/dbraw/zinc/58/81/31/898588131.db2.gz BBSYZUKNELDJQZ-CYBMUJFWSA-N -1 1 306.343 1.274 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])c1cc2n(n1)CCC[C@H]2O ZINC001299587374 898675721 /nfs/dbraw/zinc/67/57/21/898675721.db2.gz XDXBAFVTJRLIEC-GFCCVEGCSA-N -1 1 309.272 1.946 20 0 DDADMM O=C1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)CCN1 ZINC001259459744 898680386 /nfs/dbraw/zinc/68/03/86/898680386.db2.gz DIMWQCHTJKCWOU-QMMMGPOBSA-N -1 1 306.746 1.036 20 0 DDADMM COc1ncc([N-]S(=O)(=O)c2ccc3c(c2)OCO3)cc1C ZINC001259844054 898861056 /nfs/dbraw/zinc/86/10/56/898861056.db2.gz VJSXCHOJRAVCRK-UHFFFAOYSA-N -1 1 322.342 1.928 20 0 DDADMM O=C(CNS(=O)(=O)CCC(F)(F)F)c1ccc([O-])cc1 ZINC001259876727 898887230 /nfs/dbraw/zinc/88/72/30/898887230.db2.gz NVRLLEMQMUGTMF-UHFFFAOYSA-N -1 1 311.281 1.447 20 0 DDADMM COC(=O)c1sc(C)cc1[N-]S(=O)(=O)C1CCOCC1 ZINC001259907793 898916210 /nfs/dbraw/zinc/91/62/10/898916210.db2.gz FAMUHXRHIOZJDA-UHFFFAOYSA-N -1 1 319.404 1.764 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc(F)c(Cl)c1F ZINC001260592045 899180544 /nfs/dbraw/zinc/18/05/44/899180544.db2.gz ZPAIXQNYGXOPEV-UHFFFAOYSA-N -1 1 319.738 1.362 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@H](C)C1CC1 ZINC001390379952 899293460 /nfs/dbraw/zinc/29/34/60/899293460.db2.gz KRFFNBICHDFOPN-WCQYABFASA-N -1 1 319.405 1.848 20 0 DDADMM O=S(=O)(N=c1cc[nH]cc1Oc1ccccc1)c1cn[n-]c1 ZINC001260953929 899294047 /nfs/dbraw/zinc/29/40/47/899294047.db2.gz ZNCUEFQYFCKPMQ-UHFFFAOYSA-N -1 1 316.342 1.820 20 0 DDADMM O=S(=O)([N-]c1cccnc1Br)c1ncc[nH]1 ZINC000381225122 900638995 /nfs/dbraw/zinc/63/89/95/900638995.db2.gz IEBOHLNPHHDNHM-UHFFFAOYSA-N -1 1 303.141 1.368 20 0 DDADMM O=C(c1cc(Cl)nc(C2CC2)c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001263886396 900800570 /nfs/dbraw/zinc/80/05/70/900800570.db2.gz IQBAQZHTPICJBT-VIFPVBQESA-N -1 1 318.768 1.755 20 0 DDADMM C[C@@H](CC(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C)C1CC1 ZINC001369557221 901529140 /nfs/dbraw/zinc/52/91/40/901529140.db2.gz WAXANFDRPWWPSO-JQWIXIFHSA-N -1 1 323.441 1.567 20 0 DDADMM CC(C)[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1C[C@H]1C ZINC001391581519 902059347 /nfs/dbraw/zinc/05/93/47/902059347.db2.gz HMZPIMZMIPZAAH-UTUOFQBUSA-N -1 1 305.378 1.314 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc[nH]c1)NC(=O)c1ncccc1[O-] ZINC001370513892 903328710 /nfs/dbraw/zinc/32/87/10/903328710.db2.gz IFVRCWHJIHXVDY-SNVBAGLBSA-N -1 1 302.334 1.006 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CC=CCC1)NC(=O)c1ncccc1[O-] ZINC001280979970 904266862 /nfs/dbraw/zinc/26/68/62/904266862.db2.gz WUCOPLPELYDCEF-OLZOCXBDSA-N -1 1 317.389 1.720 20 0 DDADMM CS[C@H](C)CC(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001392758915 905163165 /nfs/dbraw/zinc/16/31/65/905163165.db2.gz LNSPXTBVWOTHCQ-VHSXEESVSA-N -1 1 311.407 1.163 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1=CCCCCC1 ZINC001392780729 905237740 /nfs/dbraw/zinc/23/77/40/905237740.db2.gz KXNMDUTWPGECQP-LBPRGKRZSA-N -1 1 317.389 1.912 20 0 DDADMM CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001371934101 906038065 /nfs/dbraw/zinc/03/80/65/906038065.db2.gz LUNQIDDXVLTKMT-UTUOFQBUSA-N -1 1 303.362 1.449 20 0 DDADMM O=C(NCCNC(=O)C1(C(F)F)CCC1)c1ncccc1[O-] ZINC001282983765 906398998 /nfs/dbraw/zinc/39/89/98/906398998.db2.gz RGBQLIBPRXZYNV-UHFFFAOYSA-N -1 1 313.304 1.069 20 0 DDADMM CC/C=C(/C)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001283932366 908204494 /nfs/dbraw/zinc/20/44/94/908204494.db2.gz XRGGMQSATFVTGM-PTJCHJMSSA-N -1 1 317.389 1.768 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001372829082 908368899 /nfs/dbraw/zinc/36/88/99/908368899.db2.gz AGHIELYSIHPUFL-DCQANWLSSA-N -1 1 303.362 1.068 20 0 DDADMM CCCC[C@H](C)C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001393983873 908456554 /nfs/dbraw/zinc/45/65/54/908456554.db2.gz FXPFSLGAGMQXKC-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM CC[C@H](C)C(=O)N[C@@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001372878893 908484558 /nfs/dbraw/zinc/48/45/58/908484558.db2.gz UODDXXZYFVIVLJ-UWVGGRQHSA-N -1 1 320.393 1.340 20 0 DDADMM CCCC(=O)N[C@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001372880146 908489261 /nfs/dbraw/zinc/48/92/61/908489261.db2.gz WMWSBVYPDVDWPO-SECBINFHSA-N -1 1 306.366 1.094 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)[C@@H](C)c1csnn1 ZINC001394068737 908699532 /nfs/dbraw/zinc/69/95/32/908699532.db2.gz XXKSLTPDWAIZLZ-ZJUUUORDSA-N -1 1 321.406 1.450 20 0 DDADMM CC[C@@H](C)OCC(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001285532723 910747904 /nfs/dbraw/zinc/74/79/04/910747904.db2.gz YUEQPLNVJQOKBN-ZYOFXKKJSA-N -1 1 321.377 1.005 20 0 DDADMM CC(C)[C@@H](C)C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001374175016 912078600 /nfs/dbraw/zinc/07/86/00/912078600.db2.gz ZWOLHWYBJGDUGH-LLVKDONJSA-N -1 1 309.414 1.321 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@@H](C)C(C)(C)C ZINC001375693778 916722978 /nfs/dbraw/zinc/72/29/78/916722978.db2.gz GTTSANQXJBZMRT-VXGBXAGGSA-N -1 1 321.421 1.952 20 0 DDADMM C[C@H]1CC[C@@H](CC(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)C1 ZINC001376768310 919314694 /nfs/dbraw/zinc/31/46/94/919314694.db2.gz OYPLHICCIFYFJI-NWDGAFQWSA-N -1 1 321.425 1.465 20 0 DDADMM C[C@@H](CNC(=O)c1ccc(Cl)cc1)NCc1n[nH]c(=O)[n-]1 ZINC001376845988 919558675 /nfs/dbraw/zinc/55/86/75/919558675.db2.gz GGHZZIAJLKMXBG-QMMMGPOBSA-N -1 1 309.757 1.072 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CCc4ccccc43)nc2n1 ZINC000622870775 365550299 /nfs/dbraw/zinc/55/02/99/365550299.db2.gz GRBVBOHWPWOAPI-GFCCVEGCSA-N -1 1 323.356 1.785 20 0 DDADMM CC[C@H]1CC[C@H](C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)CC1 ZINC000622870695 365550814 /nfs/dbraw/zinc/55/08/14/365550814.db2.gz CVBJJIPUOPMTBC-XYPYZODXSA-N -1 1 303.366 1.881 20 0 DDADMM CCCC[C@@H](COC)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000076777405 185040396 /nfs/dbraw/zinc/04/03/96/185040396.db2.gz ZPWPCXLVRPUZNU-NSHDSACASA-N -1 1 303.362 1.858 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC[C@H](OC)C3)nc2n1 ZINC000622997650 365591998 /nfs/dbraw/zinc/59/19/98/365591998.db2.gz GNEULOAONAGGCX-ONGXEEELSA-N -1 1 319.365 1.124 20 0 DDADMM CCC(CC)(CNC(=O)N[C@H]1CCCc2cn[nH]c21)C(=O)[O-] ZINC000424402450 282108295 /nfs/dbraw/zinc/10/82/95/282108295.db2.gz DIJNOOMLZBHBDC-NSHDSACASA-N -1 1 308.382 1.977 20 0 DDADMM CC(C)(O)CO[N-]C(=O)[C@H]1CCCCN1Cc1ccc(F)cc1 ZINC000278518429 214206619 /nfs/dbraw/zinc/20/66/19/214206619.db2.gz CGCKEBKLTJGVKG-OAHLLOKOSA-N -1 1 324.396 1.999 20 0 DDADMM CC(=O)c1ccccc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614390366 361834480 /nfs/dbraw/zinc/83/44/80/361834480.db2.gz UNTLWGFKDWGWDL-LLVKDONJSA-N -1 1 315.329 1.998 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)[C@H]1CCCO1 ZINC000614392678 361835334 /nfs/dbraw/zinc/83/53/34/361835334.db2.gz ZTMKEWXQFBKTDH-IJLUTSLNSA-N -1 1 309.366 1.686 20 0 DDADMM Cn1ncc(Cl)c1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614475959 361877918 /nfs/dbraw/zinc/87/79/18/361877918.db2.gz YKLQCTLQEBZOQV-UHFFFAOYSA-N -1 1 311.729 1.182 20 0 DDADMM CN(Cc1ccccc1)C1CN(C(=O)c2cc(=O)n(C)[n-]2)C1 ZINC000614659635 361966850 /nfs/dbraw/zinc/96/68/50/361966850.db2.gz BQHLVQBRHIHGHQ-UHFFFAOYSA-N -1 1 300.362 1.082 20 0 DDADMM COC[C@]1(C(=O)Nc2cc(C(=O)OC)ccc2[O-])CCOC1 ZINC000451456376 231101912 /nfs/dbraw/zinc/10/19/12/231101912.db2.gz LRNOFBTYJBCEOO-OAHLLOKOSA-N -1 1 309.318 1.170 20 0 DDADMM COC(=O)c1cnc(S[C@@H](C)C(=O)Nc2ncccn2)[n-]1 ZINC000278778633 214393675 /nfs/dbraw/zinc/39/36/75/214393675.db2.gz YZANBEOXGBSFOK-ZETCQYMHSA-N -1 1 307.335 1.106 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H](C)C(=O)Nc2ncccn2)n1 ZINC000278778633 214393677 /nfs/dbraw/zinc/39/36/77/214393677.db2.gz YZANBEOXGBSFOK-ZETCQYMHSA-N -1 1 307.335 1.106 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1c[nH]c(C(C)C)n1)c1ccco1 ZINC000080804776 539165798 /nfs/dbraw/zinc/16/57/98/539165798.db2.gz RTEYYPCJKDOAMF-JTQLQIEISA-N -1 1 313.379 1.792 20 0 DDADMM CO[C@@H]([C@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000454331311 231565719 /nfs/dbraw/zinc/56/57/19/231565719.db2.gz JDCVAWVDDSAXKK-ZANVPECISA-N -1 1 301.346 1.466 20 0 DDADMM O=C(NCCCOCCO)c1ccc(Br)cc1[O-] ZINC000181365521 199285877 /nfs/dbraw/zinc/28/58/77/199285877.db2.gz VDVHSXXPBKRLEL-UHFFFAOYSA-N -1 1 318.167 1.284 20 0 DDADMM Cc1nn(-c2ccc(Cl)cc2)cc1C(=O)Nc1nnn[n-]1 ZINC000080354039 282239445 /nfs/dbraw/zinc/23/94/45/282239445.db2.gz VHLFXTHKAOIPRX-UHFFFAOYSA-N -1 1 303.713 1.600 20 0 DDADMM Cc1nn(-c2ccc(Cl)cc2)cc1C(=O)Nc1nn[n-]n1 ZINC000080354039 282239448 /nfs/dbraw/zinc/23/94/48/282239448.db2.gz VHLFXTHKAOIPRX-UHFFFAOYSA-N -1 1 303.713 1.600 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C=Cc1cccnc1 ZINC000255217105 282241311 /nfs/dbraw/zinc/24/13/11/282241311.db2.gz LPMYPMRUJPSZTO-WAYWQWQTSA-N -1 1 323.356 1.364 20 0 DDADMM Cn1cnnc1CCCNC(=O)c1ncc2ccccc2c1[O-] ZINC000615124179 362163995 /nfs/dbraw/zinc/16/39/95/362163995.db2.gz SWQCMTQXDXTHLN-UHFFFAOYSA-N -1 1 311.345 1.432 20 0 DDADMM CCn1cnc(S(=O)(=O)Nc2cc(C(=O)[O-])ccc2F)c1 ZINC000092890078 185347940 /nfs/dbraw/zinc/34/79/40/185347940.db2.gz HBCQYOUNPJJXRZ-UHFFFAOYSA-N -1 1 313.310 1.541 20 0 DDADMM CCC(CC)c1nnc([N-]C(=O)c2nccnc2C(N)=O)s1 ZINC000266834441 282288405 /nfs/dbraw/zinc/28/84/05/282288405.db2.gz KVMOPPBKVFUILU-UHFFFAOYSA-N -1 1 320.378 1.583 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)c2cc(F)cc3nc[nH]c32)o1 ZINC000345872097 539371895 /nfs/dbraw/zinc/37/18/95/539371895.db2.gz UMPPTDNLBYRLIK-UHFFFAOYSA-N -1 1 303.249 1.923 20 0 DDADMM CCS(=O)(=O)C1CN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000615886197 362475868 /nfs/dbraw/zinc/47/58/68/362475868.db2.gz GZMKBJQZXTXSNM-UHFFFAOYSA-N -1 1 320.370 1.200 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cc(F)ccc2OC)n[n-]1 ZINC000615916864 362484106 /nfs/dbraw/zinc/48/41/06/362484106.db2.gz GCWUKZCVBDJWTF-UHFFFAOYSA-N -1 1 322.296 1.059 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(F)ccc2OC)n1 ZINC000615916864 362484112 /nfs/dbraw/zinc/48/41/12/362484112.db2.gz GCWUKZCVBDJWTF-UHFFFAOYSA-N -1 1 322.296 1.059 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CO[C@H]2CCC[C@H](C)C2)n[n-]1 ZINC000615918493 362485891 /nfs/dbraw/zinc/48/58/91/362485891.db2.gz TZVKGSMHRBOIOR-QWRGUYRKSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CO[C@H]2CCC[C@H](C)C2)n1 ZINC000615918493 362485895 /nfs/dbraw/zinc/48/58/95/362485895.db2.gz TZVKGSMHRBOIOR-QWRGUYRKSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(COC)c2)n[n-]1 ZINC000615920353 362488345 /nfs/dbraw/zinc/48/83/45/362488345.db2.gz YBNTYWAALBTZIZ-UHFFFAOYSA-N -1 1 318.333 1.058 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(COC)c2)n1 ZINC000615920353 362488348 /nfs/dbraw/zinc/48/83/48/362488348.db2.gz YBNTYWAALBTZIZ-UHFFFAOYSA-N -1 1 318.333 1.058 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cnc(C(C)C)s2)n[n-]1 ZINC000615920321 362488376 /nfs/dbraw/zinc/48/83/76/362488376.db2.gz WECMJHWWWQHSTR-UHFFFAOYSA-N -1 1 323.378 1.491 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cnc(C(C)C)s2)n1 ZINC000615920321 362488380 /nfs/dbraw/zinc/48/83/80/362488380.db2.gz WECMJHWWWQHSTR-UHFFFAOYSA-N -1 1 323.378 1.491 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2(C3CCC3)CCC2)o1 ZINC000458232369 530028186 /nfs/dbraw/zinc/02/81/86/530028186.db2.gz GGZWDWZOJVCBSC-UHFFFAOYSA-N -1 1 312.391 1.640 20 0 DDADMM CCCn1cc(C(=O)N=c2[nH][n-]c(C)c2Br)cn1 ZINC000616009098 362519218 /nfs/dbraw/zinc/51/92/18/362519218.db2.gz OLHCVKNROQPGDG-UHFFFAOYSA-N -1 1 312.171 1.761 20 0 DDADMM COCCCn1ccc([N-]S(=O)(=O)N2CCC[C@@H](C)C2)n1 ZINC000330889349 232140453 /nfs/dbraw/zinc/14/04/53/232140453.db2.gz GVKMLAWQDIUFEL-GFCCVEGCSA-N -1 1 316.427 1.308 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC(F)(F)C(F)(F)C1 ZINC000457917912 232154311 /nfs/dbraw/zinc/15/43/11/232154311.db2.gz AGGGLWQZTNGDKL-UHFFFAOYSA-N -1 1 309.288 1.866 20 0 DDADMM Cc1nc([C@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)cs1 ZINC000358335290 299124813 /nfs/dbraw/zinc/12/48/13/299124813.db2.gz SQZOYWLNFRGNCI-ZETCQYMHSA-N -1 1 317.374 1.930 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2s[n-]c(=O)c2Cl)C1 ZINC000616408486 362680911 /nfs/dbraw/zinc/68/09/11/362680911.db2.gz ZYXAEMOTGLQLNY-QMMMGPOBSA-N -1 1 319.814 1.343 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC(=O)N(C2CC2)C1 ZINC000279719644 215088681 /nfs/dbraw/zinc/08/86/81/215088681.db2.gz ZGOSTCVLOOAUBR-UHFFFAOYSA-N -1 1 314.263 1.815 20 0 DDADMM CCC[C@@H](C)S(=O)(=O)[N-][C@H](CC(F)(F)F)C(=O)OC ZINC000564574252 304003181 /nfs/dbraw/zinc/00/31/81/304003181.db2.gz CSBXYFSYPNTYPE-HTQZYQBOSA-N -1 1 305.318 1.588 20 0 DDADMM CCC[C@H](C)S(=O)(=O)[N-][C@@H](CC(F)(F)F)C(=O)OC ZINC000564574257 304003206 /nfs/dbraw/zinc/00/32/06/304003206.db2.gz CSBXYFSYPNTYPE-YUMQZZPRSA-N -1 1 305.318 1.588 20 0 DDADMM CC(C)(CNC(=O)c1ccc(C(F)(F)F)cc1[O-])C(N)=O ZINC000182017800 199375454 /nfs/dbraw/zinc/37/54/54/199375454.db2.gz GSCCOPKUEROBIO-UHFFFAOYSA-N -1 1 304.268 1.652 20 0 DDADMM COCCn1cc(S(=O)(=O)[N-]c2cccc(F)c2F)cn1 ZINC000269583150 186390903 /nfs/dbraw/zinc/39/09/03/186390903.db2.gz BQJWZMFNVDPKBF-UHFFFAOYSA-N -1 1 317.317 1.609 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@]12C[C@H]1CCC2 ZINC000617206756 362994628 /nfs/dbraw/zinc/99/46/28/362994628.db2.gz LXAOFPCSLRIWLO-BDJLRTHQSA-N -1 1 300.362 1.653 20 0 DDADMM CCCc1nc(=NC[C@]2(C(=O)OCC)CCCOC2)s[n-]1 ZINC000601244835 358461396 /nfs/dbraw/zinc/46/13/96/358461396.db2.gz UUBFGZIXFLGVKU-CQSZACIVSA-N -1 1 313.423 1.684 20 0 DDADMM CCOC(=O)[C@@]1(CN=c2nc(C3CC3)[n-]s2)CCCOC1 ZINC000601243838 358461589 /nfs/dbraw/zinc/46/15/89/358461589.db2.gz IHXSAZYWHFHTKN-CQSZACIVSA-N -1 1 311.407 1.609 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC[C@H](Cn2ccnn2)C1 ZINC000617266575 363028145 /nfs/dbraw/zinc/02/81/45/363028145.db2.gz XNCMNLLNHSVGDS-JTQLQIEISA-N -1 1 306.753 1.799 20 0 DDADMM CCn1nccc1S(=O)(=O)Nc1ccc(C(=O)[O-])c(C)c1 ZINC000471919105 233708723 /nfs/dbraw/zinc/70/87/23/233708723.db2.gz OBIJLDICLGVUCA-UHFFFAOYSA-N -1 1 309.347 1.710 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(C)nn1 ZINC000564874777 304022158 /nfs/dbraw/zinc/02/21/58/304022158.db2.gz IZLMPAXDZCIXQE-SNVBAGLBSA-N -1 1 317.349 1.456 20 0 DDADMM CC(C)(C)c1ccc(CCC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000029018975 352233856 /nfs/dbraw/zinc/23/38/56/352233856.db2.gz RSNXZJLVBUSVNN-UHFFFAOYSA-N -1 1 303.362 1.500 20 0 DDADMM CCCc1cc(=O)[n-]c(S[C@@H](C)C(=O)NC(=O)NC2CC2)n1 ZINC000045599387 352416284 /nfs/dbraw/zinc/41/62/84/352416284.db2.gz WDKKFRKSHKXWEY-QMMMGPOBSA-N -1 1 324.406 1.604 20 0 DDADMM CC[C@H](C)NC(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC000047343481 352491587 /nfs/dbraw/zinc/49/15/87/352491587.db2.gz AVOJZVURFSQZQE-JTQLQIEISA-N -1 1 300.362 1.598 20 0 DDADMM COc1ccc(NC(=O)c2cc(C)[nH]n2)cc1[N-]S(C)(=O)=O ZINC000054702880 352701243 /nfs/dbraw/zinc/70/12/43/352701243.db2.gz QGYBJECCJNZDOS-UHFFFAOYSA-N -1 1 324.362 1.351 20 0 DDADMM CCOCCCNC(=O)[C@@H](C)S(=O)(=O)c1ccc([O-])cc1 ZINC000063927596 352928321 /nfs/dbraw/zinc/92/83/21/352928321.db2.gz UCLITPWCSYDZCM-LLVKDONJSA-N -1 1 315.391 1.097 20 0 DDADMM COc1ccc(NC(=O)[C@H]2CCOC2)cc1[N-]S(C)(=O)=O ZINC000066913494 353023694 /nfs/dbraw/zinc/02/36/94/353023694.db2.gz JJYSCNHSUHUTPM-VIFPVBQESA-N -1 1 314.363 1.042 20 0 DDADMM COC(=O)NCCCC(=O)NCc1n[n-]c(=S)n1C1CC1 ZINC000066638537 353009467 /nfs/dbraw/zinc/00/94/67/353009467.db2.gz YYMTYPZMROCEFB-UHFFFAOYSA-N -1 1 313.383 1.028 20 0 DDADMM CC(C)(CNC(=O)c1ccc(C(F)(F)F)cc1[O-])OCCO ZINC000292124512 222851771 /nfs/dbraw/zinc/85/17/71/222851771.db2.gz QTIDHWDAMODPQC-UHFFFAOYSA-N -1 1 321.295 1.928 20 0 DDADMM O=C(CC[C@@H]1CCCCO1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000331935882 234296996 /nfs/dbraw/zinc/29/69/96/234296996.db2.gz QKLCNLWWHJOYMP-LBPRGKRZSA-N -1 1 309.366 1.831 20 0 DDADMM Cc1cccc(C(=O)N(C)CCC[NH+]2CCN(C)CC2)c1O ZINC000174346757 198322026 /nfs/dbraw/zinc/32/20/26/198322026.db2.gz PMZHRRNNKNPALK-UHFFFAOYSA-N -1 1 305.422 1.410 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000080285569 353600531 /nfs/dbraw/zinc/60/05/31/353600531.db2.gz XPDMZWVYWSGZAV-VXGBXAGGSA-N -1 1 302.378 1.692 20 0 DDADMM COc1cc(O)cc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)c1 ZINC000355808822 291015177 /nfs/dbraw/zinc/01/51/77/291015177.db2.gz COOHPKNFGPDWLG-UHFFFAOYSA-N -1 1 322.342 1.301 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1ccn(C)n1 ZINC000144358387 354154259 /nfs/dbraw/zinc/15/42/59/354154259.db2.gz PWFMJOIYWGWKFW-UHFFFAOYSA-N -1 1 322.390 1.824 20 0 DDADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)C[C@@H]1CCC1(F)F ZINC000601300046 358485812 /nfs/dbraw/zinc/48/58/12/358485812.db2.gz UWPFPRDHCMGJJD-UWVGGRQHSA-N -1 1 313.366 1.539 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(Cc2ccc([N+](=O)[O-])cc2F)C1 ZINC000319425947 354521592 /nfs/dbraw/zinc/52/15/92/354521592.db2.gz GFEUWUUCJREZGR-AWEZNQCLSA-N -1 1 312.297 1.657 20 0 DDADMM C[C@H]1CN(CCNC(=O)c2c(F)ccc([O-])c2F)CCO1 ZINC000584687260 354745503 /nfs/dbraw/zinc/74/55/03/354745503.db2.gz PDLRQIDUZPEPFV-VIFPVBQESA-N -1 1 300.305 1.121 20 0 DDADMM COc1c(NC(=O)c2cncc([O-])c2)cc(Cl)cc1C(N)=O ZINC000357266518 291039239 /nfs/dbraw/zinc/03/92/39/291039239.db2.gz IQKFKHKVIYIZNO-UHFFFAOYSA-N -1 1 321.720 1.800 20 0 DDADMM Cn1ccc(C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)n1 ZINC000589685288 355011181 /nfs/dbraw/zinc/01/11/81/355011181.db2.gz WCRKLCBXNMRMLB-UHFFFAOYSA-N -1 1 313.357 1.861 20 0 DDADMM CSCCNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000590615716 355131378 /nfs/dbraw/zinc/13/13/78/355131378.db2.gz ITMUPCKZWKMLAH-UHFFFAOYSA-N -1 1 301.437 1.624 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC[C@H]1CCCO1 ZINC000591070346 355242787 /nfs/dbraw/zinc/24/27/87/355242787.db2.gz ISEUJFOVFOCVTG-GFCCVEGCSA-N -1 1 318.377 1.280 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1ccc(-c2nn[nH]n2)cc1 ZINC000074804015 191363576 /nfs/dbraw/zinc/36/35/76/191363576.db2.gz SBSZFENXYALRID-UHFFFAOYSA-N -1 1 315.358 1.976 20 0 DDADMM CC(C)(C)CN(CCO)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000592471544 355592917 /nfs/dbraw/zinc/59/29/17/355592917.db2.gz OQGFOEZZAYRMCD-UHFFFAOYSA-N -1 1 303.362 1.404 20 0 DDADMM COC(=O)C12CC(C(=O)N=c3cc(-c4cccs4)[n-][nH]3)(C1)C2 ZINC000592946669 355747684 /nfs/dbraw/zinc/74/76/84/355747684.db2.gz WHHIAKUWAWDQKV-UHFFFAOYSA-N -1 1 317.370 1.842 20 0 DDADMM COC(=O)c1cc(Cl)cc(S(=O)(=O)[N-]C(C)C)c1F ZINC000594444244 356218669 /nfs/dbraw/zinc/21/86/69/356218669.db2.gz MRDRSIXVEMTZQI-UHFFFAOYSA-N -1 1 309.746 1.952 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CSC[C@H]2C)cc1C ZINC000595337059 356454006 /nfs/dbraw/zinc/45/40/06/356454006.db2.gz JDUKXERDOQDFRF-RKDXNWHRSA-N -1 1 319.404 1.404 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1(OC)CCCC1)C(C)(C)C ZINC000601348069 358510230 /nfs/dbraw/zinc/51/02/30/358510230.db2.gz RCAZZZTWSHCISV-LLVKDONJSA-N -1 1 321.439 1.453 20 0 DDADMM CCOC(=O)c1c[n-]c(=Nc2ccn(CC(F)F)n2)s1 ZINC000596150046 356791898 /nfs/dbraw/zinc/79/18/98/356791898.db2.gz DJHWBUFSQSZKEU-UHFFFAOYSA-N -1 1 302.306 1.947 20 0 DDADMM CC(C)OC(=O)C[C@@H](NCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000347632038 283247418 /nfs/dbraw/zinc/24/74/18/283247418.db2.gz GNIQATMWWVMNCX-CYBMUJFWSA-N -1 1 318.377 1.281 20 0 DDADMM COC(=O)[C@](C)(CCF)NC(=O)c1ncc2ccccc2c1[O-] ZINC000598290883 357527676 /nfs/dbraw/zinc/52/76/76/357527676.db2.gz QKXFFSKRHFBXNY-INIZCTEOSA-N -1 1 320.320 1.962 20 0 DDADMM CC[C@H]1C(=O)NCCN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180523831 199170802 /nfs/dbraw/zinc/17/08/02/199170802.db2.gz RLFLIEZJEAXBCI-JTQLQIEISA-N -1 1 316.279 1.762 20 0 DDADMM CCCCOc1ccc(C(=O)NCc2nn[n-]n2)cc1OCC ZINC000600496338 358240934 /nfs/dbraw/zinc/24/09/34/358240934.db2.gz DHBDLIJASBYPRQ-UHFFFAOYSA-N -1 1 319.365 1.707 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2cncc([O-])c2)[C@H]2CCC[C@@H]21 ZINC000600553148 358255462 /nfs/dbraw/zinc/25/54/62/358255462.db2.gz SHLBFSOQYTUPFI-MCIONIFRSA-N -1 1 304.346 1.591 20 0 DDADMM CS[C@H](CO)[C@@H](C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601378867 358524172 /nfs/dbraw/zinc/52/41/72/358524172.db2.gz QDEPSHPVESBTDL-HTRCEHHLSA-N -1 1 315.363 1.608 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ncccc1CSC ZINC000601685632 358654567 /nfs/dbraw/zinc/65/45/67/358654567.db2.gz OXIFJZFTKGSSKT-UHFFFAOYSA-N -1 1 319.390 1.010 20 0 DDADMM Cn1cc(Cl)cc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000618487363 363648950 /nfs/dbraw/zinc/64/89/50/363648950.db2.gz AIZORXOVUAGZJC-GFCCVEGCSA-N -1 1 316.752 1.711 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(SC)CCC2)n[n-]1 ZINC000603155580 359442091 /nfs/dbraw/zinc/44/20/91/359442091.db2.gz OFJMDOKBNBADJI-MRVPVSSYSA-N -1 1 312.395 1.444 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(SC)CCC2)[n-]1 ZINC000603155580 359442094 /nfs/dbraw/zinc/44/20/94/359442094.db2.gz OFJMDOKBNBADJI-MRVPVSSYSA-N -1 1 312.395 1.444 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(SC)CCC2)n1 ZINC000603155580 359442097 /nfs/dbraw/zinc/44/20/97/359442097.db2.gz OFJMDOKBNBADJI-MRVPVSSYSA-N -1 1 312.395 1.444 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCCSC2)n[n-]1 ZINC000603158463 359445927 /nfs/dbraw/zinc/44/59/27/359445927.db2.gz ZCZGGSNFORQUCO-IUCAKERBSA-N -1 1 312.395 1.302 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCCSC2)[n-]1 ZINC000603158463 359445930 /nfs/dbraw/zinc/44/59/30/359445930.db2.gz ZCZGGSNFORQUCO-IUCAKERBSA-N -1 1 312.395 1.302 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCCSC2)n1 ZINC000603158463 359445931 /nfs/dbraw/zinc/44/59/31/359445931.db2.gz ZCZGGSNFORQUCO-IUCAKERBSA-N -1 1 312.395 1.302 20 0 DDADMM COC(=O)[C@@](C)(CCF)[N-]S(=O)(=O)C[C@]1(C)CC1(F)F ZINC000603381630 359604913 /nfs/dbraw/zinc/60/49/13/359604913.db2.gz HYYDRNVWGXYPQU-VHSXEESVSA-N -1 1 317.329 1.242 20 0 DDADMM Cc1ccc(C(=O)NCc2nn[n-]n2)cc1Oc1ccncc1 ZINC000604331162 359736716 /nfs/dbraw/zinc/73/67/16/359736716.db2.gz UTMPENUZYNQDSZ-UHFFFAOYSA-N -1 1 310.317 1.625 20 0 DDADMM CO[C@H](C)[C@H](C)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000188303941 200224166 /nfs/dbraw/zinc/22/41/66/200224166.db2.gz JGTXOEXYLRKBGI-WCBMZHEXSA-N -1 1 313.423 1.272 20 0 DDADMM Cc1cccc(O[C@H](C)C(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000605381511 359848259 /nfs/dbraw/zinc/84/82/59/359848259.db2.gz VUYDCNIEIQEDDY-GFCCVEGCSA-N -1 1 315.377 1.861 20 0 DDADMM O=C(Cn1c(=O)cnc2ccccc21)Nc1c([O-])cccc1F ZINC000605440492 359859698 /nfs/dbraw/zinc/85/96/98/359859698.db2.gz SXQDLCLPCUDSMY-UHFFFAOYSA-N -1 1 313.288 1.880 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2cncc(OC(C)C)c2)no1 ZINC000625017174 366667312 /nfs/dbraw/zinc/66/73/12/366667312.db2.gz XEOMTXDCSJTCCA-UHFFFAOYSA-N -1 1 312.351 1.039 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1COc2ccccc21 ZINC000608356001 360164934 /nfs/dbraw/zinc/16/49/34/360164934.db2.gz HHZUARFNAAZUBP-UKRRQHHQSA-N -1 1 321.340 1.582 20 0 DDADMM COC(=O)c1ccc(=NCc2ccc(F)c(CN(C)C)c2)[n-]n1 ZINC000609670768 360343201 /nfs/dbraw/zinc/34/32/01/360343201.db2.gz LKVXXSCCTNJPHV-UHFFFAOYSA-N -1 1 318.352 1.498 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](CCF)C2)o1 ZINC000618690324 363721756 /nfs/dbraw/zinc/72/17/56/363721756.db2.gz PDLRJOBXYVMCQI-SNVBAGLBSA-N -1 1 318.370 1.400 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)N(C)CC1CC1)c1cccs1 ZINC000610005074 360372376 /nfs/dbraw/zinc/37/23/76/360372376.db2.gz FXKZLPSAUWXRSI-LLVKDONJSA-N -1 1 318.420 1.138 20 0 DDADMM COC[C@H](OC)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000612383270 360992011 /nfs/dbraw/zinc/99/20/11/360992011.db2.gz WNSUVHTUFNRSIR-HNNXBMFYSA-N -1 1 321.373 1.475 20 0 DDADMM CCC[C@@H](C(=O)Nc1ccc([O-])c(Cl)c1)S(N)(=O)=O ZINC000612759339 361136195 /nfs/dbraw/zinc/13/61/95/361136195.db2.gz JRCRPAZQBUZJQA-JTQLQIEISA-N -1 1 306.771 1.441 20 0 DDADMM O=C([C@H]1CCc2cc(F)ccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612814978 361154448 /nfs/dbraw/zinc/15/44/48/361154448.db2.gz GRHRFODBCQVSSB-RISCZKNCSA-N -1 1 315.352 1.775 20 0 DDADMM COC1(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)CCC1 ZINC000292770763 223238065 /nfs/dbraw/zinc/23/80/65/223238065.db2.gz JQBPXLHCHXGAEI-UHFFFAOYSA-N -1 1 307.803 1.311 20 0 DDADMM CCOCCOC1CN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000613298765 361372026 /nfs/dbraw/zinc/37/20/26/361372026.db2.gz XDHQURFFYMGRSD-UHFFFAOYSA-N -1 1 316.357 1.818 20 0 DDADMM COC(=O)C[C@@H]1CSCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000613509787 361448445 /nfs/dbraw/zinc/44/84/45/361448445.db2.gz PUHOYBDGBTUKCD-SNVBAGLBSA-N -1 1 313.350 1.652 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1cnn(C)c1)c1ccccc1 ZINC000193985459 201157619 /nfs/dbraw/zinc/15/76/19/201157619.db2.gz JGULBNROVBPUAG-CYBMUJFWSA-N -1 1 323.374 1.003 20 0 DDADMM COC1(CO)CCN(C(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000614080753 361692371 /nfs/dbraw/zinc/69/23/71/361692371.db2.gz GLANMJYCYCZMNO-UHFFFAOYSA-N -1 1 316.357 1.554 20 0 DDADMM CCS(=O)(=O)C1(CNC(=O)c2ccc([O-])cc2F)CC1 ZINC000620051524 364259501 /nfs/dbraw/zinc/25/95/01/364259501.db2.gz FFUFMRZFMVNYQT-UHFFFAOYSA-N -1 1 301.339 1.228 20 0 DDADMM O=C(C(=O)N1CCC(c2ccon2)CC1)c1ccc([O-])cc1 ZINC000620227171 364340823 /nfs/dbraw/zinc/34/08/23/364340823.db2.gz VQCPODRCJVQIFH-UHFFFAOYSA-N -1 1 300.314 1.969 20 0 DDADMM CC(C)(CNC(=O)c1cc(F)ccc1[O-])[C@]1(O)CCCOC1 ZINC000620113747 364293485 /nfs/dbraw/zinc/29/34/85/364293485.db2.gz GNUXKOOMTNNEPK-INIZCTEOSA-N -1 1 311.353 1.829 20 0 DDADMM CC1CC(C[N-]S(=O)(=O)c2cn(C)nc2C(F)(F)F)C1 ZINC000621313193 364795789 /nfs/dbraw/zinc/79/57/89/364795789.db2.gz YOOOHMUWZATOJO-UHFFFAOYSA-N -1 1 311.329 1.763 20 0 DDADMM COCCCCCS(=O)(=O)c1nc(Cc2ccccc2)n[n-]1 ZINC000359185696 299349154 /nfs/dbraw/zinc/34/91/54/299349154.db2.gz AEHPYYYLFWKEPF-UHFFFAOYSA-N -1 1 323.418 1.986 20 0 DDADMM COCCCCCS(=O)(=O)c1n[n-]c(Cc2ccccc2)n1 ZINC000359185696 299349155 /nfs/dbraw/zinc/34/91/55/299349155.db2.gz AEHPYYYLFWKEPF-UHFFFAOYSA-N -1 1 323.418 1.986 20 0 DDADMM COc1ccccc1[C@@H](CNC(=O)[C@H](C)C(=O)[O-])N1CCCC1 ZINC000566212387 304129812 /nfs/dbraw/zinc/12/98/12/304129812.db2.gz VOAOVZPEIDVQRC-GXTWGEPZSA-N -1 1 320.389 1.669 20 0 DDADMM Cc1ccc(C(C)(C)CNC(=O)CCCc2nn[n-]n2)nc1 ZINC000636272554 422769212 /nfs/dbraw/zinc/76/92/12/422769212.db2.gz NYSZTCBHCBPAKL-UHFFFAOYSA-N -1 1 302.382 1.320 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000635017131 422770981 /nfs/dbraw/zinc/77/09/81/422770981.db2.gz FLVKESBLHNJJSI-NXEZZACHSA-N -1 1 310.316 1.440 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)NCCOc1cccc(Cl)c1 ZINC000262664578 203372157 /nfs/dbraw/zinc/37/21/57/203372157.db2.gz OXHDGZWUZHJYCW-UHFFFAOYSA-N -1 1 314.769 1.242 20 0 DDADMM O=C([O-])CC1(NS(=O)(=O)c2c(F)cccc2F)CCC1 ZINC000094291232 193356072 /nfs/dbraw/zinc/35/60/72/193356072.db2.gz JRAQHMQRISLMKF-UHFFFAOYSA-N -1 1 305.302 1.641 20 0 DDADMM CC[C@H]1C[C@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)CC(=O)N1 ZINC000350550680 284215411 /nfs/dbraw/zinc/21/54/11/284215411.db2.gz DPISBVVGSFZHQR-UWVGGRQHSA-N -1 1 318.402 1.803 20 0 DDADMM O=C([N-]Cc1cccc(N2CCOCC2)n1)C(F)(F)C(F)F ZINC000274906705 212024043 /nfs/dbraw/zinc/02/40/43/212024043.db2.gz PAWVXJREABHDPV-UHFFFAOYSA-N -1 1 321.274 1.435 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)N2CCN(C(C)C)CC2)s[n-]1 ZINC000350636593 284230363 /nfs/dbraw/zinc/23/03/63/284230363.db2.gz GSMVTMZPRFCMNB-JTQLQIEISA-N -1 1 313.427 1.225 20 0 DDADMM CSCC(C)(C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000282459863 217012102 /nfs/dbraw/zinc/01/21/02/217012102.db2.gz FWRBDJPZXZFNRC-UHFFFAOYSA-N -1 1 322.434 1.645 20 0 DDADMM O=C(Nc1cc2n(n1)CCCC2)c1ccc2n[n-]c(=S)n2c1 ZINC000286300895 284289764 /nfs/dbraw/zinc/28/97/64/284289764.db2.gz VWDITYMLQCJAHS-UHFFFAOYSA-N -1 1 314.374 1.803 20 0 DDADMM C[S@@](=O)C1(C[N-]S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC000282615511 217127596 /nfs/dbraw/zinc/12/75/96/217127596.db2.gz RSHOYZYOPOUTSP-GOSISDBHSA-N -1 1 309.359 1.154 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(C)(C)CCCO)o1 ZINC000271699222 209137296 /nfs/dbraw/zinc/13/72/96/209137296.db2.gz PWYIIOLSHUGIFM-UHFFFAOYSA-N -1 1 319.379 1.143 20 0 DDADMM C/C=C/C[C@@H](CO)[N-]S(=O)(=O)c1ccc(C(=O)OCC)o1 ZINC000351583554 284393716 /nfs/dbraw/zinc/39/37/16/284393716.db2.gz UCZGAKAVSPPACS-GFAPAMAISA-N -1 1 317.363 1.062 20 0 DDADMM CCO[N-]C(=O)[C@@H]1CC(=O)N(c2cc(OC)cc(OC)c2)C1 ZINC000267718528 206239187 /nfs/dbraw/zinc/23/91/87/206239187.db2.gz JVRQGNQALHNNOI-SNVBAGLBSA-N -1 1 308.334 1.124 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC(F)(F)[C@@H](CO)C1 ZINC000567094034 304196853 /nfs/dbraw/zinc/19/68/53/304196853.db2.gz HPYMWTGCDMQZFX-MRVPVSSYSA-N -1 1 317.361 1.229 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Br)c([O-])c2)C[C@@H]1O ZINC000351859893 284591770 /nfs/dbraw/zinc/59/17/70/284591770.db2.gz PGXNPXOUUCTTIY-HQJQHLMTSA-N -1 1 300.152 1.608 20 0 DDADMM O=C(N[C@H]1CCc2nnnn2CC1)c1ccc(Cl)cc1[O-] ZINC000333751666 249092584 /nfs/dbraw/zinc/09/25/84/249092584.db2.gz NUFZVXVNPZNUPU-VIFPVBQESA-N -1 1 307.741 1.167 20 0 DDADMM Cn1ncc2c1CCCN(C(=O)c1csc(=NC3CC3)[n-]1)C2 ZINC000334013061 249179136 /nfs/dbraw/zinc/17/91/36/249179136.db2.gz WPDPZIRRNRVIBC-UHFFFAOYSA-N -1 1 317.418 1.461 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)CCC(C)C ZINC000104843628 194046353 /nfs/dbraw/zinc/04/63/53/194046353.db2.gz SPAMFSTUTGLETL-UHFFFAOYSA-N -1 1 304.394 1.686 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC2(C1)CCCCC2 ZINC000352052461 284740673 /nfs/dbraw/zinc/74/06/73/284740673.db2.gz LDDUQQJNNUVCNK-UHFFFAOYSA-N -1 1 307.350 1.693 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1sccc1F)C(=O)NC(C)(C)C ZINC000338875026 250176524 /nfs/dbraw/zinc/17/65/24/250176524.db2.gz UIBWNYBASLPONU-ZETCQYMHSA-N -1 1 308.400 1.469 20 0 DDADMM Cc1ncc(C[N-]S(=O)(=O)c2sccc2F)c(=O)[nH]1 ZINC000338951172 250214824 /nfs/dbraw/zinc/21/48/24/250214824.db2.gz MJGJYGXJGLFXFM-UHFFFAOYSA-N -1 1 303.340 1.170 20 0 DDADMM CCc1oc(CNC2(c3nn[n-]n3)CCCC2)cc1C(=O)OC ZINC000338934624 250206006 /nfs/dbraw/zinc/20/60/06/250206006.db2.gz FSIGCUFCFBXUDO-UHFFFAOYSA-N -1 1 319.365 1.701 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1sccc1F)[C@@H]1CCCO1 ZINC000338974973 250229953 /nfs/dbraw/zinc/22/99/53/250229953.db2.gz RJPZXYDMWMEMPL-UWVGGRQHSA-N -1 1 309.384 1.360 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C(=O)OC)co2)n1 ZINC000339170171 250325679 /nfs/dbraw/zinc/32/56/79/250325679.db2.gz NZRRQSCXZPEEII-UHFFFAOYSA-N -1 1 307.262 1.218 20 0 DDADMM CN(C)c1cccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000339192621 250336205 /nfs/dbraw/zinc/33/62/05/250336205.db2.gz HLFCHABHRLUHSM-UHFFFAOYSA-N -1 1 316.361 1.861 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2C[C@H]3CC[C@@H]2C3)c1Cl ZINC000110903912 194367510 /nfs/dbraw/zinc/36/75/10/194367510.db2.gz OLRNRPOCARFBBH-IVZWLZJFSA-N -1 1 303.815 1.788 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])c1ccc2c(c1)C(=O)NC2=O ZINC000340328995 251010419 /nfs/dbraw/zinc/01/04/19/251010419.db2.gz IFUMHPFVSSUXII-UHFFFAOYSA-N -1 1 300.245 1.667 20 0 DDADMM CCc1cccc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000340366181 251029092 /nfs/dbraw/zinc/02/90/92/251029092.db2.gz KUNIFOQRWFYRCT-UHFFFAOYSA-N -1 1 316.386 1.705 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1c(F)cc(C(C)=O)cc1F ZINC000340381642 251038400 /nfs/dbraw/zinc/03/84/00/251038400.db2.gz LFIRNDGXPVIAHE-SSDOTTSWSA-N -1 1 307.318 1.944 20 0 DDADMM CN(CC(=O)N1CCC(C(=O)[O-])CC1)[C@@H]1CCc2ccccc21 ZINC000567596098 304228074 /nfs/dbraw/zinc/22/80/74/304228074.db2.gz XJGHABBZTNWCRI-MRXNPFEDSA-N -1 1 316.401 1.929 20 0 DDADMM O=S(=O)([N-]CCO)c1c(Cl)cccc1C(F)(F)F ZINC000157886944 197223252 /nfs/dbraw/zinc/22/32/52/197223252.db2.gz KDCQONFARFOUTA-UHFFFAOYSA-N -1 1 303.689 1.629 20 0 DDADMM CC1CCN(S(=O)(=O)[N-]c2ccn(CC(F)F)n2)CC1 ZINC000340967160 251308255 /nfs/dbraw/zinc/30/82/55/251308255.db2.gz UIQSQQYLIOZGEL-UHFFFAOYSA-N -1 1 308.354 1.537 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCc1cccc(O)c1 ZINC000269102098 207242478 /nfs/dbraw/zinc/24/24/78/207242478.db2.gz AFIWKSYTYULLCG-UHFFFAOYSA-N -1 1 319.386 1.891 20 0 DDADMM COc1ccc(CCC(=O)NC(C)(C)c2nn[n-]n2)cc1F ZINC000359801516 207385103 /nfs/dbraw/zinc/38/51/03/207385103.db2.gz QWYHEIAUPGOPLW-UHFFFAOYSA-N -1 1 307.329 1.332 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H](O)CC(C)(C)C)c1 ZINC000269984797 208039045 /nfs/dbraw/zinc/03/90/45/208039045.db2.gz DONQMFHUDYHZAF-JTQLQIEISA-N -1 1 319.379 1.142 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(C)(C)SC ZINC000158957085 197313852 /nfs/dbraw/zinc/31/38/52/197313852.db2.gz IMTOJURHNXIIFY-UHFFFAOYSA-N -1 1 321.424 1.006 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC2(CCC2)C1 ZINC000158091598 197242346 /nfs/dbraw/zinc/24/23/46/197242346.db2.gz HTADIGYMMVDABN-UHFFFAOYSA-N -1 1 314.389 1.585 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2CCCCCC2)co1 ZINC000157991852 197233389 /nfs/dbraw/zinc/23/33/89/197233389.db2.gz OIBHMGGPOZZCLA-UHFFFAOYSA-N -1 1 300.380 1.640 20 0 DDADMM CCOC(=O)c1ccccc1NC(=O)CN1CC[C@H](C(=O)[O-])C1 ZINC000567988568 304259758 /nfs/dbraw/zinc/25/97/58/304259758.db2.gz IOPUOMOETSOIHJ-NSHDSACASA-N -1 1 320.345 1.208 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccc(OC(F)F)c(F)c1 ZINC000633209929 422808923 /nfs/dbraw/zinc/80/89/23/422808923.db2.gz UBTBSFVOZHGBTE-UHFFFAOYSA-N -1 1 315.255 1.189 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc3ncsc3c2)n1 ZINC000352747589 285273459 /nfs/dbraw/zinc/27/34/59/285273459.db2.gz GPCPUZJOACBQRV-UHFFFAOYSA-N -1 1 323.359 1.070 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2ccc3ncsc3c2)[n-]1 ZINC000352747589 285273460 /nfs/dbraw/zinc/27/34/60/285273460.db2.gz GPCPUZJOACBQRV-UHFFFAOYSA-N -1 1 323.359 1.070 20 0 DDADMM Cc1ccccc1NC(=O)CN1CCCC[C@H]1c1nc(=O)[n-][nH]1 ZINC000289481040 221006629 /nfs/dbraw/zinc/00/66/29/221006629.db2.gz ZWDFYZKWXHJYKV-ZDUSSCGKSA-N -1 1 315.377 1.572 20 0 DDADMM Cn1nnc2c1C[C@@H](c1nc(-c3ccc([O-])c(F)c3)no1)CC2 ZINC000289847557 221265656 /nfs/dbraw/zinc/26/56/56/221265656.db2.gz QBZWEPQMVCCWHX-VIFPVBQESA-N -1 1 315.308 1.982 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2sccc2Cl)CCC1 ZINC000451337210 533257316 /nfs/dbraw/zinc/25/73/16/533257316.db2.gz FRLGOHRTCXWSJM-UHFFFAOYSA-N -1 1 309.796 1.776 20 0 DDADMM C[C@@H](NC(=O)c1ccc(NC(=O)OC(C)(C)C)cc1[O-])C(N)=O ZINC000495326525 533291384 /nfs/dbraw/zinc/29/13/84/533291384.db2.gz MKCMQOOGJRQMET-MRVPVSSYSA-N -1 1 323.349 1.343 20 0 DDADMM C[C@@H](O)C(=O)Nc1ccc(C(=O)NC2CCCCC2)c([O-])c1 ZINC000444354480 533577429 /nfs/dbraw/zinc/57/74/29/533577429.db2.gz UWPIYYUCEBDBSP-SNVBAGLBSA-N -1 1 306.362 1.774 20 0 DDADMM COC(=O)C[C@@H](C)CC(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000436982949 533595105 /nfs/dbraw/zinc/59/51/05/533595105.db2.gz WACAKAPZVFRJEC-VIFPVBQESA-N -1 1 309.318 1.707 20 0 DDADMM CO[C@H](C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C)C(C)C ZINC000353308699 285655381 /nfs/dbraw/zinc/65/53/81/285655381.db2.gz BSFAMIFPUMWDSZ-AWEZNQCLSA-N -1 1 306.366 1.339 20 0 DDADMM CCN(C)C(=O)c1cccc(S(=O)(=O)[N-]c2cnc[nH]2)c1 ZINC000568812065 304312652 /nfs/dbraw/zinc/31/26/52/304312652.db2.gz SFKBGCDWDGKUAW-UHFFFAOYSA-N -1 1 308.363 1.302 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCn1ccc2ccccc21 ZINC000635115314 422819967 /nfs/dbraw/zinc/81/99/67/422819967.db2.gz DVPRAYMNHSEICY-UHFFFAOYSA-N -1 1 312.377 1.684 20 0 DDADMM C[C@H]1C[C@H](c2ccccc2F)N(C(=O)CCc2nn[n-]n2)C1 ZINC000631541100 422821989 /nfs/dbraw/zinc/82/19/89/422821989.db2.gz FXGIDASUQGUWSK-GXFFZTMASA-N -1 1 303.341 1.881 20 0 DDADMM Cc1cccc(S(=O)(=O)CCCN2CC[C@@H](C(=O)[O-])C2)c1 ZINC000569251081 304344126 /nfs/dbraw/zinc/34/41/26/304344126.db2.gz IBIMYJBCMYCITD-CYBMUJFWSA-N -1 1 311.403 1.565 20 0 DDADMM CO[C@H]1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1(C)C ZINC000452854932 286056628 /nfs/dbraw/zinc/05/66/28/286056628.db2.gz DJIIKCCKXRZLSD-LBPRGKRZSA-N -1 1 318.377 1.561 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cccc3c2OCO3)co1 ZINC000360721417 299729830 /nfs/dbraw/zinc/72/98/30/299729830.db2.gz LTEYTOHRFMGCOJ-UHFFFAOYSA-N -1 1 324.314 1.169 20 0 DDADMM O=C(NCCCOCC1CC1)c1nc2ccccc2c(=O)[n-]1 ZINC000074113537 406902547 /nfs/dbraw/zinc/90/25/47/406902547.db2.gz YNDGJZDIFDQARC-UHFFFAOYSA-N -1 1 301.346 1.470 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc2c(c1)[nH]c(=O)n2C1CCCC1 ZINC000076964202 406975937 /nfs/dbraw/zinc/97/59/37/406975937.db2.gz XCDSRRTYNNVLIG-UHFFFAOYSA-N -1 1 313.321 1.210 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc2c(c1)[nH]c(=O)n2C1CCCC1 ZINC000076964202 406975941 /nfs/dbraw/zinc/97/59/41/406975941.db2.gz XCDSRRTYNNVLIG-UHFFFAOYSA-N -1 1 313.321 1.210 20 0 DDADMM CCOc1ccc(S(=O)(=O)[N-]c2ccc3nncn3c2)cc1 ZINC000075311922 406929761 /nfs/dbraw/zinc/92/97/61/406929761.db2.gz HISCLFZVXFDXNN-UHFFFAOYSA-N -1 1 318.358 1.929 20 0 DDADMM O=C(NC[C@@H]1CCC[C@@H](O)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000075418671 406931622 /nfs/dbraw/zinc/93/16/22/406931622.db2.gz BDPQUWVVSRTJRB-GHMZBOCLSA-N -1 1 301.346 1.204 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCC[C@@H](CO)C1 ZINC000044489793 407024362 /nfs/dbraw/zinc/02/43/62/407024362.db2.gz DNLVSXUSMOECMB-SECBINFHSA-N -1 1 314.179 1.999 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCC(=O)OC(C)C ZINC000078805201 407046852 /nfs/dbraw/zinc/04/68/52/407046852.db2.gz DZQDAPQCXDHMJD-UHFFFAOYSA-N -1 1 323.393 1.447 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CCC(C)C ZINC000037490197 406989650 /nfs/dbraw/zinc/98/96/50/406989650.db2.gz WMDPURZELHZMAV-JTQLQIEISA-N -1 1 317.411 1.689 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCC[C@@H]1C ZINC000037490234 406990024 /nfs/dbraw/zinc/99/00/24/406990024.db2.gz ZZSSIXRUCLVPNU-ONGXEEELSA-N -1 1 315.395 1.443 20 0 DDADMM O=S(=O)([N-][C@H]1CCO[C@@H]1C1CC1)c1cccc(F)c1F ZINC000361178320 299814266 /nfs/dbraw/zinc/81/42/66/299814266.db2.gz DSQUYQRYKKDNPJ-GXFFZTMASA-N -1 1 303.330 1.811 20 0 DDADMM NC(=O)c1cccc(S(=O)(=O)[N-]c2ccc3n[nH]cc3c2)c1 ZINC000077704884 407002683 /nfs/dbraw/zinc/00/26/83/407002683.db2.gz RZFKKMCARGMPGR-UHFFFAOYSA-N -1 1 316.342 1.463 20 0 DDADMM CCCCN(CCCC)C(=O)CS(=O)(=O)c1nc[n-]n1 ZINC000086169999 407108528 /nfs/dbraw/zinc/10/85/28/407108528.db2.gz RGZNJORUTDVRMR-UHFFFAOYSA-N -1 1 302.400 1.007 20 0 DDADMM C[C@H](c1nc(-c2ccccc2)no1)S(=O)(=O)c1nnc[n-]1 ZINC000086169804 407109143 /nfs/dbraw/zinc/10/91/43/407109143.db2.gz KMWGYIYDZCWJPD-MRVPVSSYSA-N -1 1 305.319 1.390 20 0 DDADMM C[C@H](c1nc(-c2ccccc2)no1)S(=O)(=O)c1ncn[n-]1 ZINC000086169804 407109148 /nfs/dbraw/zinc/10/91/48/407109148.db2.gz KMWGYIYDZCWJPD-MRVPVSSYSA-N -1 1 305.319 1.390 20 0 DDADMM C[C@H](c1nc(-c2ccccc2)no1)S(=O)(=O)c1nc[n-]n1 ZINC000086169804 407109150 /nfs/dbraw/zinc/10/91/50/407109150.db2.gz KMWGYIYDZCWJPD-MRVPVSSYSA-N -1 1 305.319 1.390 20 0 DDADMM COc1ccc(Cl)c([N-]S(=O)(=O)c2cnn(C)c2)c1 ZINC000049124497 407115550 /nfs/dbraw/zinc/11/55/50/407115550.db2.gz FTUNGCJIJJQFGG-UHFFFAOYSA-N -1 1 301.755 1.883 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCN(c2ccccc2F)CC1 ZINC000082315303 407081206 /nfs/dbraw/zinc/08/12/06/407081206.db2.gz AQXXDKDIDKNEGF-UHFFFAOYSA-N -1 1 301.321 1.889 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc(Br)cc2[O-])C[C@@H]1O ZINC000089425930 407134914 /nfs/dbraw/zinc/13/49/14/407134914.db2.gz QFVQDDNGFLTRQE-UFBFGSQYSA-N -1 1 314.179 1.998 20 0 DDADMM Cc1cc(C)n(CC(=O)NCc2n[n-]c(=S)n2C(C)C)n1 ZINC000066639775 407256505 /nfs/dbraw/zinc/25/65/05/407256505.db2.gz RFRDOMMFGKCZAK-UHFFFAOYSA-N -1 1 308.411 1.651 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)c1ccccc1 ZINC000103939909 407344349 /nfs/dbraw/zinc/34/43/49/407344349.db2.gz GYEGDRPRKQBFIK-UHFFFAOYSA-N -1 1 310.357 1.845 20 0 DDADMM COc1ccc(O[C@H](C)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000124190408 407350802 /nfs/dbraw/zinc/35/08/02/407350802.db2.gz NPGBCJATIYYSPO-GHMZBOCLSA-N -1 1 319.365 1.238 20 0 DDADMM CC(C)CC[C@@](C)(O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000124556703 407362268 /nfs/dbraw/zinc/36/22/68/407362268.db2.gz DOVKXWHTDSTLEY-QGZVFWFLSA-N -1 1 317.389 1.840 20 0 DDADMM C[C@@H](Sc1ccccc1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000124636811 407364855 /nfs/dbraw/zinc/36/48/55/407364855.db2.gz ACYAEMZPBVCDFT-WDEREUQCSA-N -1 1 305.407 1.942 20 0 DDADMM CC(C)COC1CCN(Cc2cc(=O)n3[n-]ccc3n2)CC1 ZINC000108855309 407391663 /nfs/dbraw/zinc/39/16/63/407391663.db2.gz VFNNMLVLVCOUNF-UHFFFAOYSA-N -1 1 304.394 1.660 20 0 DDADMM NC(=O)c1ccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)s1 ZINC000195248919 407444756 /nfs/dbraw/zinc/44/47/56/407444756.db2.gz GJQNNIUVXMAQRE-UHFFFAOYSA-N -1 1 305.241 1.236 20 0 DDADMM Cc1cccc(O[C@H](C)C(=O)N2CCN([C@H](C)C(=O)[O-])CC2)c1 ZINC000261617548 407554223 /nfs/dbraw/zinc/55/42/23/407554223.db2.gz AHLLZZMFCJDKHA-ZIAGYGMSSA-N -1 1 320.389 1.380 20 0 DDADMM O=C(c1cc(-c2ccccc2)n[nH]1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129441981 407608524 /nfs/dbraw/zinc/60/85/24/407608524.db2.gz KOEJSYBEJRCBHF-LBPRGKRZSA-N -1 1 323.360 1.610 20 0 DDADMM COc1ccc(F)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129521442 407617461 /nfs/dbraw/zinc/61/74/61/407617461.db2.gz BECLAMNKCBGLPN-VIFPVBQESA-N -1 1 305.313 1.367 20 0 DDADMM CCOC(=O)Cn1cnc(-c2nc3ccc(C)cc3c(=O)[n-]2)n1 ZINC000178839584 407621820 /nfs/dbraw/zinc/62/18/20/407621820.db2.gz RIWMXIVMKXFPFP-UHFFFAOYSA-N -1 1 313.317 1.053 20 0 DDADMM Cn1cnnc1[C@@H]1CCCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000271331768 407638396 /nfs/dbraw/zinc/63/83/96/407638396.db2.gz ZTJOTAXGTHLENW-SNVBAGLBSA-N -1 1 304.325 1.680 20 0 DDADMM C[C@@H](CO)CCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000230152170 407681448 /nfs/dbraw/zinc/68/14/48/407681448.db2.gz IVDVYGYKEZSJCD-MRVPVSSYSA-N -1 1 311.325 1.791 20 0 DDADMM CC[C@H](C)c1ccc(NC(=O)CS(=O)(=O)c2ncn[n-]2)cc1 ZINC000153044199 407742331 /nfs/dbraw/zinc/74/23/31/407742331.db2.gz VHTDXKBACVXDHR-JTQLQIEISA-N -1 1 322.390 1.731 20 0 DDADMM CC[C@H](C)c1ccc(NC(=O)CS(=O)(=O)c2nc[n-]n2)cc1 ZINC000153044199 407742338 /nfs/dbraw/zinc/74/23/38/407742338.db2.gz VHTDXKBACVXDHR-JTQLQIEISA-N -1 1 322.390 1.731 20 0 DDADMM O=C1OCC[C@]12CCCN2Cc1cc(=O)oc2cc([O-])ccc12 ZINC000186726620 407760612 /nfs/dbraw/zinc/76/06/12/407760612.db2.gz FLUFVHJESLYVPY-QGZVFWFLSA-N -1 1 315.325 1.780 20 0 DDADMM CCN(CC)C(=O)c1ccc([N-]S(=O)(=O)CCOC)cc1 ZINC000171806104 407773237 /nfs/dbraw/zinc/77/32/37/407773237.db2.gz MCYLBPRNFCYCGW-UHFFFAOYSA-N -1 1 314.407 1.557 20 0 DDADMM COc1ncccc1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179416169 407785340 /nfs/dbraw/zinc/78/53/40/407785340.db2.gz YUFPZUYSVICMPD-UHFFFAOYSA-N -1 1 313.317 1.008 20 0 DDADMM CC1(C)CC[C@@H](CNC(=O)c2nc3ccccc3c(=O)[n-]2)O1 ZINC000187141607 407825843 /nfs/dbraw/zinc/82/58/43/407825843.db2.gz BHAYJCLASGBGNW-JTQLQIEISA-N -1 1 301.346 1.611 20 0 DDADMM Cc1cc(C(=O)Nc2nn[n-]n2)nn1-c1ccccc1Cl ZINC000180407306 407886502 /nfs/dbraw/zinc/88/65/02/407886502.db2.gz ZEJUJEVDAWDVJU-UHFFFAOYSA-N -1 1 303.713 1.600 20 0 DDADMM NC(=O)[C@@H]1CCCN1C(=O)c1ccc(Br)c([O-])c1 ZINC000134319981 407894014 /nfs/dbraw/zinc/89/40/14/407894014.db2.gz YSTUQUPOTOEUNG-VIFPVBQESA-N -1 1 313.151 1.245 20 0 DDADMM O=C([O-])[C@@H]1CCN(Cc2nc(-c3ccc4c(c3)OCO4)no2)C1 ZINC000262681168 407897978 /nfs/dbraw/zinc/89/79/78/407897978.db2.gz WCOWWFWJOHKIFQ-SNVBAGLBSA-N -1 1 317.301 1.372 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(-c2cccs2)on1)c1nn[n-]n1 ZINC000187327655 407844368 /nfs/dbraw/zinc/84/43/68/407844368.db2.gz AOZYBKQLOCSGGU-MRVPVSSYSA-N -1 1 318.362 1.792 20 0 DDADMM CN1CCN(CCNC(=O)c2ccc3[n-]c(=S)oc3c2)CC1 ZINC000118872177 407957300 /nfs/dbraw/zinc/95/73/00/407957300.db2.gz AGUVWVLUWAQVAU-UHFFFAOYSA-N -1 1 320.418 1.094 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CC(=O)N(C3CC3)C2)cc(Cl)c1[O-] ZINC000135163266 407981190 /nfs/dbraw/zinc/98/11/90/407981190.db2.gz MJIPCWSIJZJOLC-SECBINFHSA-N -1 1 324.764 1.547 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1cc(F)cc2nc[nH]c21)[C@@H]1CCCOC1 ZINC000262788753 407930843 /nfs/dbraw/zinc/93/08/43/407930843.db2.gz MWEPGPGZMPOSBX-PELKAZGASA-N -1 1 321.308 1.312 20 0 DDADMM CSCC[C@@H](C)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119270435 408027204 /nfs/dbraw/zinc/02/72/04/408027204.db2.gz KEMCKKPXKUUVLH-SECBINFHSA-N -1 1 308.407 1.888 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NCc1ccc2c(c1)OCO2)C(=O)[O-] ZINC000263130430 408032384 /nfs/dbraw/zinc/03/23/84/408032384.db2.gz NBQQDJFPEQWORM-BONVTDFDSA-N -1 1 322.361 1.120 20 0 DDADMM CC(C)[C@H](CNC(=O)c1c([O-])cccc1F)N1CCOCC1 ZINC000119318725 408038629 /nfs/dbraw/zinc/03/86/29/408038629.db2.gz MSJTUVWRADALOV-ZDUSSCGKSA-N -1 1 310.369 1.618 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2ccc(F)cc2Cl)n[n-]1 ZINC000268457451 408054154 /nfs/dbraw/zinc/05/41/54/408054154.db2.gz CSTKDUFQVKXTRO-UHFFFAOYSA-N -1 1 319.745 1.718 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2ccc(F)cc2Cl)[n-]1 ZINC000268457451 408054148 /nfs/dbraw/zinc/05/41/48/408054148.db2.gz CSTKDUFQVKXTRO-UHFFFAOYSA-N -1 1 319.745 1.718 20 0 DDADMM Cc1nc(SCC(=O)Nc2nnn[n-]2)c(C#N)c(C)c1C ZINC000135472951 408017210 /nfs/dbraw/zinc/01/72/10/408017210.db2.gz TVUNJKHGTYUPME-UHFFFAOYSA-N -1 1 303.351 1.122 20 0 DDADMM Cc1nc(SCC(=O)Nc2nn[n-]n2)c(C#N)c(C)c1C ZINC000135472951 408017215 /nfs/dbraw/zinc/01/72/15/408017215.db2.gz TVUNJKHGTYUPME-UHFFFAOYSA-N -1 1 303.351 1.122 20 0 DDADMM COC(=O)c1ccc(F)c([N-]S(=O)(=O)c2cccnc2)c1 ZINC000135908619 408060794 /nfs/dbraw/zinc/06/07/94/408060794.db2.gz IFAQLQWLEJFHBK-UHFFFAOYSA-N -1 1 310.306 1.808 20 0 DDADMM CCO[C@H]1C[C@@H](O)C12CCN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC000175249734 408087504 /nfs/dbraw/zinc/08/75/04/408087504.db2.gz AILQUEPIMVNAPS-CABCVRRESA-N -1 1 323.364 1.923 20 0 DDADMM CCC[N@H+]1CCC[C@H]1CNC(=O)N1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC000263325909 408107955 /nfs/dbraw/zinc/10/79/55/408107955.db2.gz KOBIMIDQQGNSTC-RDBSUJKOSA-N -1 1 311.426 1.613 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3ccc(F)cc3)nc2n1 ZINC000137345510 408153951 /nfs/dbraw/zinc/15/39/51/408153951.db2.gz WAHRDAAVPMGDFW-UHFFFAOYSA-N -1 1 315.308 1.762 20 0 DDADMM COc1cccc([C@H](C)C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000155629191 408189781 /nfs/dbraw/zinc/18/97/81/408189781.db2.gz XZLXZBAYLLGZJS-AAEUAGOBSA-N -1 1 315.377 1.718 20 0 DDADMM CO[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC[C@@H]1C ZINC000190414855 408239921 /nfs/dbraw/zinc/23/99/21/408239921.db2.gz LQAORXHWCQCBJS-GXFFZTMASA-N -1 1 301.346 1.420 20 0 DDADMM COCCC(C)(C)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000273608541 408263293 /nfs/dbraw/zinc/26/32/93/408263293.db2.gz NREPAXKYFDJQTA-UHFFFAOYSA-N -1 1 319.379 1.407 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)C[S@@](C)=O)cnc2n1 ZINC000182912246 408281483 /nfs/dbraw/zinc/28/14/83/408281483.db2.gz PGIFGJAUPZGVFV-AOUSDQRYSA-N -1 1 307.375 1.141 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)C[S@@](C)=O)c2=O ZINC000182912246 408281486 /nfs/dbraw/zinc/28/14/86/408281486.db2.gz PGIFGJAUPZGVFV-AOUSDQRYSA-N -1 1 307.375 1.141 20 0 DDADMM COC(=O)[C@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1ccc([O-])cc1F ZINC000190695461 408305009 /nfs/dbraw/zinc/30/50/09/408305009.db2.gz JASORUOQCCZKEE-OLUVUFQESA-N -1 1 307.321 1.945 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2ccc([O-])cc2F)[C@]12CCCO2 ZINC000274254507 408342809 /nfs/dbraw/zinc/34/28/09/408342809.db2.gz UAOCSLJCCXLLDT-IIAWOOMASA-N -1 1 309.337 1.988 20 0 DDADMM CNC(=O)[C@@H]1CCCN1C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000135034366 162093521 /nfs/dbraw/zinc/09/35/21/162093521.db2.gz NQWVQGHLXGUACU-JTQLQIEISA-N -1 1 312.753 1.405 20 0 DDADMM CNC(=O)[C@H]1CCCN1C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000135034382 162093596 /nfs/dbraw/zinc/09/35/96/162093596.db2.gz NQWVQGHLXGUACU-SNVBAGLBSA-N -1 1 312.753 1.405 20 0 DDADMM Cc1ccccc1NC(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[nH]1 ZINC000172601102 162285465 /nfs/dbraw/zinc/28/54/65/162285465.db2.gz UBRUGDYWUUJTKV-UHFFFAOYSA-N -1 1 310.313 1.893 20 0 DDADMM O=C(NC[C@@H](CCO)c1ccccc1)c1ccc2[nH]nnc2c1 ZINC000176824357 408451277 /nfs/dbraw/zinc/45/12/77/408451277.db2.gz BITJNDJWQREXOQ-CQSZACIVSA-N -1 1 310.357 1.854 20 0 DDADMM CC(=O)N1CC(NC(=O)c2ccc(Br)cc2[O-])C1 ZINC000176632920 408409617 /nfs/dbraw/zinc/40/96/17/408409617.db2.gz JKKYWCZKTZXUGH-UHFFFAOYSA-N -1 1 313.151 1.115 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(OCC)c(OC)c1)c1nn[n-]n1 ZINC000176782143 408443189 /nfs/dbraw/zinc/44/31/89/408443189.db2.gz ACMMVIBORGAHDB-NSHDSACASA-N -1 1 319.365 1.878 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@H]1COc2ccccc2O1 ZINC000247494788 408480369 /nfs/dbraw/zinc/48/03/69/408480369.db2.gz NUJBLORKKSXKJW-MRVPVSSYSA-N -1 1 314.223 1.602 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCn1cccn1 ZINC000176111033 162515860 /nfs/dbraw/zinc/51/58/60/162515860.db2.gz GXZVPWCQFIPWPN-UHFFFAOYSA-N -1 1 303.366 1.002 20 0 DDADMM C[NH+](C)[C@@H](CNc1nc2n[nH]cc2c(=O)[nH]1)c1ccc(F)cc1 ZINC000274707397 408503562 /nfs/dbraw/zinc/50/35/62/408503562.db2.gz WUFZXGXNBZZBDA-LBPRGKRZSA-N -1 1 316.340 1.912 20 0 DDADMM CCc1ccc(C(=O)Nc2ccn(CCC(N)=O)n2)c([O-])c1 ZINC000191701730 408471676 /nfs/dbraw/zinc/47/16/76/408471676.db2.gz RKBNPBRDPFULKL-UHFFFAOYSA-N -1 1 302.334 1.279 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1F)c1nn[n-]n1 ZINC000248425519 408563584 /nfs/dbraw/zinc/56/35/84/408563584.db2.gz IRQUHOXEOCOEML-JFUSQASVSA-N -1 1 321.331 1.844 20 0 DDADMM COc1ccc2c(c1)nc(CNC(=O)c1cncc([O-])c1)n2C ZINC000184507814 408631521 /nfs/dbraw/zinc/63/15/21/408631521.db2.gz MLROXIYCLNXFTI-UHFFFAOYSA-N -1 1 312.329 1.613 20 0 DDADMM O=C(Nc1ccc2c(c1)S(=O)(=O)CC2)c1ccccc1[O-] ZINC000177805866 408716915 /nfs/dbraw/zinc/71/69/15/408716915.db2.gz NXANKKXGKSFMKX-UHFFFAOYSA-N -1 1 303.339 1.974 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)N1CCN2CCC[C@H]2C1 ZINC000249379249 408720704 /nfs/dbraw/zinc/72/07/04/408720704.db2.gz OJDCHSCCZZHQQA-ZDUSSCGKSA-N -1 1 324.402 1.162 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]Cc1nc(C2CCCC2)no1 ZINC000177723753 408696181 /nfs/dbraw/zinc/69/61/81/408696181.db2.gz BCHCJMQKHRADAE-UHFFFAOYSA-N -1 1 317.411 1.572 20 0 DDADMM C=Cn1cc(CNCCNS(=O)(=O)c2cccs2)cn1 ZINC000194391342 408780648 /nfs/dbraw/zinc/78/06/48/408780648.db2.gz KVUKNRPRNWBBNX-UHFFFAOYSA-N -1 1 312.420 1.113 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCCSCC(C)C ZINC000290853631 408844086 /nfs/dbraw/zinc/84/40/86/408844086.db2.gz WSRQWFCKCRSOEV-UHFFFAOYSA-N -1 1 307.441 1.623 20 0 DDADMM CCS[C@H]1CCC[C@H]([N-]S(=O)(=O)c2c(C)onc2N)C1 ZINC000290882224 408848216 /nfs/dbraw/zinc/84/82/16/408848216.db2.gz YWWMTZIGCYVEEP-UWVGGRQHSA-N -1 1 319.452 1.908 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cncc(OC)c1)c1ccco1 ZINC000281710647 408915555 /nfs/dbraw/zinc/91/55/55/408915555.db2.gz BSBDYKZYHQCOPX-LBPRGKRZSA-N -1 1 312.347 1.349 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](C)Oc1ccc(C=O)cc1)c1nn[n-]n1 ZINC000286130508 408928379 /nfs/dbraw/zinc/92/83/79/408928379.db2.gz QOALHUVWYFSTTL-MNOVXSKESA-N -1 1 317.349 1.042 20 0 DDADMM C[C@H]1CCNC(=O)[C@H]1[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000281754497 408924408 /nfs/dbraw/zinc/92/44/08/408924408.db2.gz JEPLTDKVJUZWIG-CPCISQLKSA-N -1 1 318.270 1.697 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)F)c1cccc(Cl)c1F ZINC000228622645 163343066 /nfs/dbraw/zinc/34/30/66/163343066.db2.gz ZXSGWIJNJNIXDN-LURJTMIESA-N -1 1 303.689 1.383 20 0 DDADMM CN(Cc1nc[nH]n1)C(=O)c1ccc(Br)c([O-])c1 ZINC000231347937 163350204 /nfs/dbraw/zinc/35/02/04/163350204.db2.gz HMUCMJKDYBWOLI-UHFFFAOYSA-N -1 1 311.139 1.545 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCCc2nc(C)no2)c1 ZINC000281947805 408958992 /nfs/dbraw/zinc/95/89/92/408958992.db2.gz AFWWKDAQBUOXRW-UHFFFAOYSA-N -1 1 319.317 1.832 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)CSC)CC2)n1 ZINC000277569504 408967234 /nfs/dbraw/zinc/96/72/34/408967234.db2.gz BEOLBZAFELKNMG-UHFFFAOYSA-N -1 1 312.395 1.050 20 0 DDADMM COCCOCc1ccc(C(=O)Nc2nc(SC)n[nH]2)cc1 ZINC000292171877 408994765 /nfs/dbraw/zinc/99/47/65/408994765.db2.gz AGZZHPHLFIZTLN-UHFFFAOYSA-N -1 1 322.390 1.942 20 0 DDADMM CCOC(=O)C[C@@H](C)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287511763 409047709 /nfs/dbraw/zinc/04/77/09/409047709.db2.gz HSXVCRWDKMQNJO-IFYDOICBSA-N -1 1 319.365 1.053 20 0 DDADMM CN(Cc1nc(C(F)(F)F)cs1)C(=O)CCc1nn[n-]n1 ZINC000631590382 422842719 /nfs/dbraw/zinc/84/27/19/422842719.db2.gz UTMLJVHYBWKCNP-UHFFFAOYSA-N -1 1 320.300 1.266 20 0 DDADMM COc1ccccc1[C@H](CNC(=O)CNC(=O)[O-])N1CCCC1 ZINC000285507126 409473063 /nfs/dbraw/zinc/47/30/63/409473063.db2.gz AUXHPEBTUZKNEJ-ZDUSSCGKSA-N -1 1 321.377 1.216 20 0 DDADMM COc1cc(C(=O)N2CC(=O)N(C)[C@@H](C)C2)cc(Cl)c1[O-] ZINC000407971900 164184582 /nfs/dbraw/zinc/18/45/82/164184582.db2.gz RHHHIROGIUBGDM-QMMMGPOBSA-N -1 1 312.753 1.357 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]C(C)(C)C)o2)C1 ZINC000407980891 164187765 /nfs/dbraw/zinc/18/77/65/164187765.db2.gz PMFDHWGKFIQTLK-JTQLQIEISA-N -1 1 314.407 1.838 20 0 DDADMM Cc1ncc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(C)n1 ZINC000408066922 164215874 /nfs/dbraw/zinc/21/58/74/164215874.db2.gz GOHHQMLWAYODJV-SNVBAGLBSA-N -1 1 303.322 1.202 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000408410689 164323279 /nfs/dbraw/zinc/32/32/79/164323279.db2.gz XDBGTKPRUHVHCU-TZMCWYRMSA-N -1 1 302.374 1.660 20 0 DDADMM O=S(=O)([N-]c1ccc(OC(F)F)cc1F)c1cn[nH]c1 ZINC000337880936 409532597 /nfs/dbraw/zinc/53/25/97/409532597.db2.gz YCGRGPTWJKZWNG-UHFFFAOYSA-N -1 1 307.253 1.951 20 0 DDADMM O=C(NC[C@]1(CCO)CCOC1)c1c(F)ccc([O-])c1F ZINC000348850555 409551216 /nfs/dbraw/zinc/55/12/16/409551216.db2.gz VEABIJBNPHPVRJ-AWEZNQCLSA-N -1 1 301.289 1.189 20 0 DDADMM CC1(C)CCC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000353905550 409554005 /nfs/dbraw/zinc/55/40/05/409554005.db2.gz RFGUCHWURNIEFS-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC(C)(C)C(C)(C)C)o1 ZINC000356809990 409623835 /nfs/dbraw/zinc/62/38/35/409623835.db2.gz DUYKVIXGLFBSOD-UHFFFAOYSA-N -1 1 302.396 1.742 20 0 DDADMM Cc1cc(C)cc(N(C)C(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000337945283 409593118 /nfs/dbraw/zinc/59/31/18/409593118.db2.gz JAEVVBAQTNEVJD-UHFFFAOYSA-N -1 1 313.357 1.797 20 0 DDADMM CCNC(=O)C[C@H]1CCCCN1C(=O)c1ncc(C)cc1[O-] ZINC000356894807 409696391 /nfs/dbraw/zinc/69/63/91/409696391.db2.gz NSFZXGHVZXZNNX-GFCCVEGCSA-N -1 1 305.378 1.617 20 0 DDADMM O=C(Nc1n[nH]c2cc(F)ccc21)c1csc(=NC2CC2)[n-]1 ZINC000356833436 409645794 /nfs/dbraw/zinc/64/57/94/409645794.db2.gz DSJOBMZRMQMLMH-UHFFFAOYSA-N -1 1 317.349 1.829 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@H](C)C2CCC2)o1 ZINC000356884030 409689076 /nfs/dbraw/zinc/68/90/76/409689076.db2.gz WCIRWCJWOZLYMQ-SECBINFHSA-N -1 1 300.380 1.448 20 0 DDADMM COc1coc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cc1=O ZINC000342575285 409734995 /nfs/dbraw/zinc/73/49/95/409734995.db2.gz XMOJCODIZBOBRK-UHFFFAOYSA-N -1 1 304.184 1.038 20 0 DDADMM CCO[C@H]1COCC[C@H]1NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000354353235 409788468 /nfs/dbraw/zinc/78/84/68/409788468.db2.gz LDZXCAPKOVEIOD-PWSUYJOCSA-N -1 1 311.407 1.063 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)CC(C)(C)OC)C(=O)OCC ZINC000349579921 409876643 /nfs/dbraw/zinc/87/66/43/409876643.db2.gz KYXKBPAGPHNURE-JYKYSHPRSA-N -1 1 307.412 1.229 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(CCO)c2ccccc2)c1 ZINC000342780520 409900367 /nfs/dbraw/zinc/90/03/67/409900367.db2.gz LYSWFTLXIWLOKH-UHFFFAOYSA-N -1 1 323.370 1.588 20 0 DDADMM CC(=O)N1CC(NC(=O)c2c([O-])cnc3ccc(Cl)cc32)C1 ZINC000338384048 409951625 /nfs/dbraw/zinc/95/16/25/409951625.db2.gz GARIIXBHEGTGHB-UHFFFAOYSA-N -1 1 319.748 1.554 20 0 DDADMM Cn1[n-]c(CN2CC[C@@H](C(F)(F)F)C3(CCC3)C2)nc1=O ZINC000338350441 409932236 /nfs/dbraw/zinc/93/22/36/409932236.db2.gz QDXQFVOZCGJMON-SECBINFHSA-N -1 1 304.316 1.663 20 0 DDADMM COCc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cc1 ZINC000332286089 410041004 /nfs/dbraw/zinc/04/10/04/410041004.db2.gz FQKYAZFLEYNHDS-CYBMUJFWSA-N -1 1 317.345 1.941 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@@H]1CCC[C@H]2CCCC[C@H]21 ZINC000297776990 409994001 /nfs/dbraw/zinc/99/40/01/409994001.db2.gz QIIOYBKAOGMXSG-GMTAPVOTSA-N -1 1 305.382 1.709 20 0 DDADMM CSc1ccc(O[C@@H](C)C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354784322 410086722 /nfs/dbraw/zinc/08/67/22/410086722.db2.gz KWLOJEGOEWKEAB-VIFPVBQESA-N -1 1 321.406 1.740 20 0 DDADMM COc1cccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1F ZINC000332390005 410118864 /nfs/dbraw/zinc/11/88/64/410118864.db2.gz ZDWCALSWYVLZGE-SECBINFHSA-N -1 1 321.308 1.943 20 0 DDADMM Cc1ccc(C(=O)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cc1 ZINC000329297853 410219990 /nfs/dbraw/zinc/21/99/90/410219990.db2.gz UICPJEZITONMEO-UHFFFAOYSA-N -1 1 314.389 1.512 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@]3(C)CCOC3)cnc2n1 ZINC000354915493 410168872 /nfs/dbraw/zinc/16/88/72/410168872.db2.gz FMWHNGZMZFZPFB-MRXNPFEDSA-N -1 1 301.346 1.800 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@]1(C)CCOC1)c2=O ZINC000354915493 410168880 /nfs/dbraw/zinc/16/88/80/410168880.db2.gz FMWHNGZMZFZPFB-MRXNPFEDSA-N -1 1 301.346 1.800 20 0 DDADMM CC[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])c1ccccc1 ZINC000343169071 410204214 /nfs/dbraw/zinc/20/42/14/410204214.db2.gz HLIFNYWOLBPYAH-CYBMUJFWSA-N -1 1 313.357 1.785 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCCC(F)(F)C2)co1 ZINC000339510056 410243834 /nfs/dbraw/zinc/24/38/34/410243834.db2.gz KRWLTZFEWRCQAV-VIFPVBQESA-N -1 1 322.333 1.495 20 0 DDADMM CCC(CC)(CNC(=O)c1c[n-]c2c(cnn2C)c1=O)OC ZINC000346927668 410255867 /nfs/dbraw/zinc/25/58/67/410255867.db2.gz UYFQAWWIDNIHFP-UHFFFAOYSA-N -1 1 306.366 1.609 20 0 DDADMM Cc1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c(C)n1 ZINC000351843785 410281912 /nfs/dbraw/zinc/28/19/12/410281912.db2.gz HVRWTYPGECKCBM-UHFFFAOYSA-N -1 1 302.334 1.807 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1c(F)cccc1F)C1CC1 ZINC000347091331 410338626 /nfs/dbraw/zinc/33/86/26/410338626.db2.gz KPLZLOBHCFLJGJ-LBPRGKRZSA-N -1 1 319.329 1.336 20 0 DDADMM Cc1cccc(OCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000351855191 410294104 /nfs/dbraw/zinc/29/41/04/410294104.db2.gz ICDLQFUGPOGCCH-UHFFFAOYSA-N -1 1 317.345 1.869 20 0 DDADMM N=c1nc(N2CCN(Cc3ccc(Cl)s3)CC2)s[n-]1 ZINC000355077252 410297408 /nfs/dbraw/zinc/29/74/08/410297408.db2.gz FTWXRMPQDGHMHW-UHFFFAOYSA-N -1 1 315.855 1.988 20 0 DDADMM C[C@@H](NC(=O)c1c(F)ccc([O-])c1F)C(=O)NCc1ccco1 ZINC000343287502 410313306 /nfs/dbraw/zinc/31/33/06/410313306.db2.gz OBSVIZWZSZZOBW-MRVPVSSYSA-N -1 1 324.283 1.698 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1CCc3ccccc3C1)c2=O ZINC000358240912 410416194 /nfs/dbraw/zinc/41/61/94/410416194.db2.gz HVFTVDNFBAJSRB-ZDUSSCGKSA-N -1 1 322.368 1.961 20 0 DDADMM C[S@](=O)c1ccc(CNC2(c3nnn[n-]3)CCCC2)cc1 ZINC000351981499 410388720 /nfs/dbraw/zinc/38/87/20/410388720.db2.gz KYLMIYVNUSZLBD-NRFANRHFSA-N -1 1 305.407 1.496 20 0 DDADMM CC[C@@H](C)N1CCN(C(=O)N=c2ccc(C3CC3)n[n-]2)CC1 ZINC000333297317 410469652 /nfs/dbraw/zinc/46/96/52/410469652.db2.gz BSFDNDOQBZXHHK-GFCCVEGCSA-N -1 1 303.410 1.724 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H]1c1ccco1 ZINC000343405164 410427182 /nfs/dbraw/zinc/42/71/82/410427182.db2.gz ZUDQBLVQZSDXHH-NSHDSACASA-N -1 1 315.329 1.474 20 0 DDADMM O=C(N=c1cc(C2CC2)[nH][nH]1)c1[n-]cnc1C(=O)c1ccccc1 ZINC000352058176 410447197 /nfs/dbraw/zinc/44/71/97/410447197.db2.gz BERGQONKHLYGNC-UHFFFAOYSA-N -1 1 321.340 1.915 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H]2CCC[C@@H]21 ZINC000343550104 410526331 /nfs/dbraw/zinc/52/63/31/410526331.db2.gz SEHXJXWEGFUJFW-NEPJUHHUSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(NCCS(=O)(=O)c1ccc(F)cc1)c1cncc([O-])c1 ZINC000339915306 410549835 /nfs/dbraw/zinc/54/98/35/410549835.db2.gz KEIHATXPTQWWKG-UHFFFAOYSA-N -1 1 324.333 1.130 20 0 DDADMM Cc1cnc(C(=O)N2CCOCC3(CCOCC3)C2)c([O-])c1 ZINC000330318845 410627957 /nfs/dbraw/zinc/62/79/57/410627957.db2.gz RBSNPFJIVPYLIH-UHFFFAOYSA-N -1 1 306.362 1.365 20 0 DDADMM O=C(N=c1nc(C(F)(F)F)[n-][nH]1)c1ccc(OC(F)F)cn1 ZINC000355662556 410629309 /nfs/dbraw/zinc/62/93/09/410629309.db2.gz SJTDREIZMQKRBV-UHFFFAOYSA-N -1 1 323.181 1.494 20 0 DDADMM C[C@H](CCC(C)(C)C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343736515 410656667 /nfs/dbraw/zinc/65/66/67/410656667.db2.gz HOAQEPFQEHNQFJ-LLVKDONJSA-N -1 1 307.394 1.848 20 0 DDADMM CC(C)CN(C(=O)CNC(=O)c1ncccc1[O-])C1CCCC1 ZINC000343877722 410773525 /nfs/dbraw/zinc/77/35/25/410773525.db2.gz WREHTAIKRVIZAX-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM COCC[C@@](C)(O)CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000359661408 410801538 /nfs/dbraw/zinc/80/15/38/410801538.db2.gz GGKQHBPZPQOKOK-MRXNPFEDSA-N -1 1 319.361 1.161 20 0 DDADMM COCC[C@@](C)(O)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000359661408 410801544 /nfs/dbraw/zinc/80/15/44/410801544.db2.gz GGKQHBPZPQOKOK-MRXNPFEDSA-N -1 1 319.361 1.161 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2c[nH]cc2C)c1 ZINC000359676191 410808020 /nfs/dbraw/zinc/80/80/20/410808020.db2.gz FBZPLBNEHQWAIS-UHFFFAOYSA-N -1 1 309.347 1.189 20 0 DDADMM C[C@H]1CC(C)(C)CC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343938598 410826575 /nfs/dbraw/zinc/82/65/75/410826575.db2.gz FVWLWUNIIBGOHU-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM Cc1cccc(OCCCC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000359789531 410881923 /nfs/dbraw/zinc/88/19/23/410881923.db2.gz HQTWYNDQLJPKDR-UHFFFAOYSA-N -1 1 303.366 1.719 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)N2CCN(C)[C@H](C)[C@H]2C)s1 ZINC000330691779 410882123 /nfs/dbraw/zinc/88/21/23/410882123.db2.gz BIEOFASJPLTSSG-GHMZBOCLSA-N -1 1 311.455 1.859 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)c2ccnn2C)cc1F ZINC000344013140 410891958 /nfs/dbraw/zinc/89/19/58/410891958.db2.gz OEORZASAJACLCF-UHFFFAOYSA-N -1 1 303.290 1.508 20 0 DDADMM CC1CCC(C)(CN=c2ccc(C(=O)NCCO)n[n-]2)CC1 ZINC000359943522 410964133 /nfs/dbraw/zinc/96/41/33/410964133.db2.gz PXRPMNNRPCVOEZ-UHFFFAOYSA-N -1 1 306.410 1.249 20 0 DDADMM CCc1ccccc1OCCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000353469485 411009789 /nfs/dbraw/zinc/00/97/89/411009789.db2.gz FOEVRWSQZKCRPC-UHFFFAOYSA-N -1 1 303.366 1.583 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCN(Cc2cccc([O-])c2Cl)C1 ZINC000353588173 411076285 /nfs/dbraw/zinc/07/62/85/411076285.db2.gz CHHAUSAFGKUYLI-SNVBAGLBSA-N -1 1 303.811 1.912 20 0 DDADMM COc1cc(C(=O)[N-]c2cc(N3CCNC3=O)ccc2F)on1 ZINC000344296024 411076746 /nfs/dbraw/zinc/07/67/46/411076746.db2.gz UFFXKRUEKDOPBF-UHFFFAOYSA-N -1 1 320.280 1.604 20 0 DDADMM C[C@H](NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1)C1CCCC1 ZINC000331430930 411086662 /nfs/dbraw/zinc/08/66/62/411086662.db2.gz SYJJWGNDGXVAAC-JTQLQIEISA-N -1 1 324.454 1.361 20 0 DDADMM O=C(N[C@@H]1CCN(C2CCC2)C1=O)c1csc(=NC2CC2)[n-]1 ZINC000629923643 422878917 /nfs/dbraw/zinc/87/89/17/422878917.db2.gz BTTJOEQPCLXYRU-LLVKDONJSA-N -1 1 320.418 1.023 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCc3nc(C)ncc3C2)sn1 ZINC000631730471 422897564 /nfs/dbraw/zinc/89/75/64/422897564.db2.gz VGOKXHIZBSLIBU-LLVKDONJSA-N -1 1 324.431 1.386 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@@H]1[C@H](C(=O)[O-])C1(C)C)c1ccsc1 ZINC000580549819 422941185 /nfs/dbraw/zinc/94/11/85/422941185.db2.gz MCEDTWLQYGOAJD-GRYCIOLGSA-N -1 1 310.419 1.824 20 0 DDADMM CCN(CC)[C@H](C(=O)N(C)Cc1nc(=O)o[n-]1)c1ccccc1 ZINC000640452643 422966098 /nfs/dbraw/zinc/96/60/98/422966098.db2.gz NEZFTGXTRYHDTH-AWEZNQCLSA-N -1 1 318.377 1.404 20 0 DDADMM Cc1ccc(S(=O)(=O)CCCN(C)C[C@H](C)C(=O)[O-])cc1 ZINC000652358823 422975806 /nfs/dbraw/zinc/97/58/06/422975806.db2.gz IZKBYOUFEVANPN-ZDUSSCGKSA-N -1 1 313.419 1.811 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCCOc1ncccn1 ZINC000640572669 423037448 /nfs/dbraw/zinc/03/74/48/423037448.db2.gz KKGZWCQZSBENQR-UHFFFAOYSA-N -1 1 321.764 1.963 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(C)c(NS(C)(=O)=O)c1 ZINC000647816325 423059151 /nfs/dbraw/zinc/05/91/51/423059151.db2.gz FPLLTMQVEZYGKB-UHFFFAOYSA-N -1 1 324.362 1.356 20 0 DDADMM Nc1n[nH]c(CCNC(=O)c2ccc(C(F)(F)F)c([O-])c2)n1 ZINC000615023261 416741984 /nfs/dbraw/zinc/74/19/84/416741984.db2.gz ZWNCWNHPIMHFOD-UHFFFAOYSA-N -1 1 315.255 1.084 20 0 DDADMM Cc1nn(C)cc1CN[C@@]1(C(=O)[O-])CCOc2ccccc21 ZINC000417573587 225367663 /nfs/dbraw/zinc/36/76/63/225367663.db2.gz XDXNLVHAXGODMA-INIZCTEOSA-N -1 1 301.346 1.581 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2Cc3ccc(F)cc32)cc(=O)[n-]1 ZINC000640656394 423119151 /nfs/dbraw/zinc/11/91/51/423119151.db2.gz ZFBGWPIFVRIOAH-LBPRGKRZSA-N -1 1 319.361 1.999 20 0 DDADMM CSc1nc(CNC(=O)[C@@H](C)OCCC(C)C)cc(=O)[n-]1 ZINC000640659552 423120105 /nfs/dbraw/zinc/12/01/05/423120105.db2.gz YUMDQVLCSVQMOW-SNVBAGLBSA-N -1 1 313.423 1.972 20 0 DDADMM CSc1nc(CNC(=O)[C@H](C)c2cccnc2)cc(=O)[n-]1 ZINC000640659565 423120342 /nfs/dbraw/zinc/12/03/42/423120342.db2.gz ZMTQHGPZYVUWKT-SECBINFHSA-N -1 1 304.375 1.719 20 0 DDADMM COc1ccc(O[C@@H](C)CNC(=O)c2ncccc2[O-])cc1 ZINC000174566420 221795419 /nfs/dbraw/zinc/79/54/19/221795419.db2.gz NGDYPAVYWNQFMH-NSHDSACASA-N -1 1 302.330 1.993 20 0 DDADMM C[C@@H]1CN(CCCN=c2[n-]nc(C(F)F)s2)C[C@H](C)O1 ZINC000360880146 418540325 /nfs/dbraw/zinc/54/03/25/418540325.db2.gz QYRMUWIXVNQRCF-DTORHVGOSA-N -1 1 306.382 1.809 20 0 DDADMM CN(C(=O)c1cncc([O-])c1)C1CCN(c2ncccn2)CC1 ZINC000367061928 418555092 /nfs/dbraw/zinc/55/50/92/418555092.db2.gz IVPJPNAEIQHAGX-UHFFFAOYSA-N -1 1 313.361 1.318 20 0 DDADMM Cc1nccnc1N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000290505075 418576508 /nfs/dbraw/zinc/57/65/08/418576508.db2.gz AGZOMAOFGMRMLI-UHFFFAOYSA-N -1 1 302.300 1.680 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)C[C@H](C)OC)c(Cl)c1 ZINC000295418240 418585581 /nfs/dbraw/zinc/58/55/81/418585581.db2.gz XVTVIJIYIQQTSH-QMMMGPOBSA-N -1 1 320.798 1.476 20 0 DDADMM C[C@@](O)(C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1)C1CC1 ZINC000367330790 418585626 /nfs/dbraw/zinc/58/56/26/418585626.db2.gz BHKRARFAJXJVOR-SFHVURJKSA-N -1 1 317.385 1.975 20 0 DDADMM O=C([O-])CN(CC1CC1)C(=O)c1c[nH]c2ccccc2c1=O ZINC000315697063 418598093 /nfs/dbraw/zinc/59/80/93/418598093.db2.gz VCZLNFFZJJMWFY-UHFFFAOYSA-N -1 1 300.314 1.877 20 0 DDADMM Cc1cc(C(=O)Nc2nn[nH]c2C(N)=O)nn1C1CCCCC1 ZINC000410862011 418852674 /nfs/dbraw/zinc/85/26/74/418852674.db2.gz UMEKOSFFJOPOLP-UHFFFAOYSA-N -1 1 317.353 1.166 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C(F)=C(C)C)CC2)n1 ZINC000365632008 418884574 /nfs/dbraw/zinc/88/45/74/418884574.db2.gz YZOQRXTVCFLDPX-UHFFFAOYSA-N -1 1 324.356 1.951 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCn3cncc3C1)c2=O ZINC000365804645 418910070 /nfs/dbraw/zinc/91/00/70/418910070.db2.gz QTVNOHRPTAOCQH-UHFFFAOYSA-N -1 1 324.340 1.389 20 0 DDADMM CCN1CCO[C@@H](C(=O)N=c2cc(-c3ccc(C)s3)[n-][nH]2)C1 ZINC000365825824 418913629 /nfs/dbraw/zinc/91/36/29/418913629.db2.gz XFXNUNHHEYBIGR-GFCCVEGCSA-N -1 1 320.418 1.528 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC(=O)NC(C)(C)C)c(F)c1 ZINC000425146460 228373556 /nfs/dbraw/zinc/37/35/56/228373556.db2.gz WOUWWPSKCBKMGM-UHFFFAOYSA-N -1 1 320.361 1.466 20 0 DDADMM COc1ccc([C@@H](C)CC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000426921062 419568678 /nfs/dbraw/zinc/56/86/78/419568678.db2.gz MJYIWANVZDBUGN-JTQLQIEISA-N -1 1 303.366 1.753 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cnc(CC(C)C)nc1)OC ZINC000421164182 419509439 /nfs/dbraw/zinc/50/94/39/419509439.db2.gz JSOXUNWEQCSZTL-GFCCVEGCSA-N -1 1 301.412 1.842 20 0 DDADMM O=C(NCC1(CCO)CCCC1)c1csc(=NC2CC2)[n-]1 ZINC000427013675 419581592 /nfs/dbraw/zinc/58/15/92/419581592.db2.gz DKLGRSCPCVOGJU-UHFFFAOYSA-N -1 1 309.435 1.812 20 0 DDADMM O=c1cc(CN2CCN(CC(F)F)CC2)c2ccc([O-])cc2o1 ZINC000299390135 229092923 /nfs/dbraw/zinc/09/29/23/229092923.db2.gz GAWCJEIRLCLCTR-UHFFFAOYSA-N -1 1 324.327 1.881 20 0 DDADMM COCCOc1ncccc1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436985838 229551340 /nfs/dbraw/zinc/55/13/40/229551340.db2.gz PZGDJHBZMFMWLI-UHFFFAOYSA-N -1 1 316.313 1.634 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2cc(F)ccc2[O-])[C@H]1n1cccn1 ZINC000572037379 304503196 /nfs/dbraw/zinc/50/31/96/304503196.db2.gz GHWDATGIPNBIQF-BPLDGKMQSA-N -1 1 319.336 1.876 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CC[C@@H]2CCC[C@@H]2C1 ZINC000416159215 420262340 /nfs/dbraw/zinc/26/23/40/420262340.db2.gz VCOAHULZJPOGSW-IVZWLZJFSA-N -1 1 307.350 1.595 20 0 DDADMM CCO[C@H](CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C(C)C ZINC000416169119 420266918 /nfs/dbraw/zinc/26/69/18/420266918.db2.gz CFMYTKMIGSNNHC-SECBINFHSA-N -1 1 313.354 1.077 20 0 DDADMM Cc1n[nH]cc1S(=O)(=O)[N-]c1ccc(-c2nc[nH]n2)cc1F ZINC000436479591 420331063 /nfs/dbraw/zinc/33/10/63/420331063.db2.gz UWLISRXJIPEJOV-UHFFFAOYSA-N -1 1 322.325 1.443 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cn(C(C)(C)C)nn2)c1 ZINC000436501664 420332803 /nfs/dbraw/zinc/33/28/03/420332803.db2.gz AANSJHLLQRYAGO-UHFFFAOYSA-N -1 1 318.333 1.778 20 0 DDADMM O=C(NCCNc1cnccn1)c1ccc2ccccc2c1[O-] ZINC000436545007 420340370 /nfs/dbraw/zinc/34/03/70/420340370.db2.gz FMFOXWLGSFVBRO-UHFFFAOYSA-N -1 1 308.341 1.599 20 0 DDADMM O=C(Cc1ccc(NC(=O)c2ccccc2[O-])cc1)NCCO ZINC000436581402 420341584 /nfs/dbraw/zinc/34/15/84/420341584.db2.gz BAHWQOKHDYZRFY-UHFFFAOYSA-N -1 1 314.341 1.296 20 0 DDADMM CCc1cccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000425324072 420349497 /nfs/dbraw/zinc/34/94/97/420349497.db2.gz PNEIQXCKTDYKLM-UHFFFAOYSA-N -1 1 302.334 1.752 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@@H](CO)c1c(F)cccc1F)OC ZINC000420678929 420353733 /nfs/dbraw/zinc/35/37/33/420353733.db2.gz UFLHNDLAQPMLCX-CABZTGNLSA-N -1 1 323.361 1.343 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@@H](C(=O)OC(C)(C)C)C(C)C)OC ZINC000420688791 420356614 /nfs/dbraw/zinc/35/66/14/420356614.db2.gz FKTWIQXTVUZKPQ-NWDGAFQWSA-N -1 1 323.455 1.697 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)[C@H]1CCC[C@@H](C)C1 ZINC000416241667 420291199 /nfs/dbraw/zinc/29/11/99/420291199.db2.gz DLMMRXBUNNGKHF-UTLUCORTSA-N -1 1 309.366 1.841 20 0 DDADMM COC(=O)[C@H](C)N(C)C(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000435945542 420297963 /nfs/dbraw/zinc/29/79/63/420297963.db2.gz VDEWHSMNIIXHFV-ZETCQYMHSA-N -1 1 301.726 1.688 20 0 DDADMM CNC(=O)[C@@H](NC(=O)c1cc(Cl)c([O-])c(OC)c1)C(C)C ZINC000436820099 420369182 /nfs/dbraw/zinc/36/91/82/420369182.db2.gz GAPDVNUOYORWDL-NSHDSACASA-N -1 1 314.769 1.555 20 0 DDADMM Cn1c(CNC(=O)c2cc(Cl)ccc2[O-])nnc1C1CC1 ZINC000436863524 420377352 /nfs/dbraw/zinc/37/73/52/420377352.db2.gz UZNVJNJKKJVYAU-UHFFFAOYSA-N -1 1 306.753 1.982 20 0 DDADMM COC1([C@@H](C)[N-]S(=O)(=O)c2sccc2F)CCOCC1 ZINC000420759742 420381870 /nfs/dbraw/zinc/38/18/70/420381870.db2.gz ICYXSISXDKLWRB-SECBINFHSA-N -1 1 323.411 1.750 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2cc(Cl)cnc2N)n1 ZINC000443751985 230027737 /nfs/dbraw/zinc/02/77/37/230027737.db2.gz BHTCIHXOHFFAGB-YFKPBYRVSA-N -1 1 317.758 1.048 20 0 DDADMM O=c1nc(CCCNc2ccnc(Cc3ccccc3)n2)[nH][n-]1 ZINC000302051019 230064916 /nfs/dbraw/zinc/06/49/16/230064916.db2.gz XGUAWTZYSNWIKM-UHFFFAOYSA-N -1 1 310.361 1.524 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC1(C)COC1)C1CCCCC1 ZINC000572066168 304505110 /nfs/dbraw/zinc/50/51/10/304505110.db2.gz NYFRPMAUOCOOMC-GFCCVEGCSA-N -1 1 319.423 1.064 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cc(C(=O)OC)co2)c1C ZINC000438258444 420448317 /nfs/dbraw/zinc/44/83/17/420448317.db2.gz RVHKLHUANDKTKP-UHFFFAOYSA-N -1 1 313.335 1.461 20 0 DDADMM O=C(CSc1nnc(-c2cccs2)o1)Nc1nnn[n-]1 ZINC000439269496 420504163 /nfs/dbraw/zinc/50/41/63/420504163.db2.gz DZCUQDQJFWZPOP-UHFFFAOYSA-N -1 1 309.336 1.042 20 0 DDADMM O=C(CSc1nnc(-c2cccs2)o1)Nc1nn[n-]n1 ZINC000439269496 420504166 /nfs/dbraw/zinc/50/41/66/420504166.db2.gz DZCUQDQJFWZPOP-UHFFFAOYSA-N -1 1 309.336 1.042 20 0 DDADMM COC(=O)[C@H]1CC[C@@H](C(=O)Nc2ccc([O-])c(F)c2F)O1 ZINC000456778645 420543796 /nfs/dbraw/zinc/54/37/96/420543796.db2.gz HLGPSEIKASIVIX-DTWKUNHWSA-N -1 1 301.245 1.330 20 0 DDADMM CCCC[C@H](NC(N)=O)C(=O)Nc1ccc([O-])c(F)c1F ZINC000456795081 420546286 /nfs/dbraw/zinc/54/62/86/420546286.db2.gz SDIZHUCXLNKBIB-QMMMGPOBSA-N -1 1 301.293 1.836 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cnc3onc(CC)c3c2)n1 ZINC000451029066 420583374 /nfs/dbraw/zinc/58/33/74/420583374.db2.gz QKBGKNVPFAVEJV-UHFFFAOYSA-N -1 1 322.350 1.267 20 0 DDADMM CCC[C@H](O)CS(=O)(=O)c1nc(-c2ccc(C)cc2)n[n-]1 ZINC000453031737 420704997 /nfs/dbraw/zinc/70/49/97/420704997.db2.gz STQFWMWQZNQIAC-LBPRGKRZSA-N -1 1 309.391 1.715 20 0 DDADMM CCC[C@H](O)CS(=O)(=O)c1n[n-]c(-c2ccc(C)cc2)n1 ZINC000453031737 420705001 /nfs/dbraw/zinc/70/50/01/420705001.db2.gz STQFWMWQZNQIAC-LBPRGKRZSA-N -1 1 309.391 1.715 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2c[nH]c3cccc(F)c32)n1 ZINC000443193880 420747904 /nfs/dbraw/zinc/74/79/04/420747904.db2.gz WSKVQZTWZUFTNM-UHFFFAOYSA-N -1 1 310.310 1.477 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1CCO[C@H]1C)[C@H](C)CC ZINC000442751504 420706949 /nfs/dbraw/zinc/70/69/49/420706949.db2.gz BXZDZXVOEQOZFA-WRWGMCAJSA-N -1 1 307.412 1.061 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1CCO[C@@H]1C)[C@@H](C)CC ZINC000442751231 420708354 /nfs/dbraw/zinc/70/83/54/420708354.db2.gz BXZDZXVOEQOZFA-IRCOFANPSA-N -1 1 307.412 1.061 20 0 DDADMM CN(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@H](CO)CC(C)(C)C ZINC000454307380 420835747 /nfs/dbraw/zinc/83/57/47/420835747.db2.gz AJUJKWLPUKPUPC-NSHDSACASA-N -1 1 317.389 1.792 20 0 DDADMM Cc1ccc(C=CC(=O)Nc2nn[nH]c2C(=O)NC2CC2)cc1 ZINC000493749113 420931592 /nfs/dbraw/zinc/93/15/92/420931592.db2.gz GEAOMHKYFPHXPH-TWGQIWQCSA-N -1 1 311.345 1.657 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C3CC3)[C@@H]2C2CC2)o1 ZINC000456312288 421141113 /nfs/dbraw/zinc/14/11/13/421141113.db2.gz VWIKEYXHNDVCPO-RISCZKNCSA-N -1 1 324.402 1.448 20 0 DDADMM CCC(=O)N[C@@H]1CCCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000525863841 421307043 /nfs/dbraw/zinc/30/70/43/421307043.db2.gz SQHMFPJWEAZBJO-SNVBAGLBSA-N -1 1 301.350 1.089 20 0 DDADMM CCc1nnc(C)cc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000546807985 421310859 /nfs/dbraw/zinc/31/08/59/421310859.db2.gz BFXNDFZMOYMZDK-UHFFFAOYSA-N -1 1 301.354 1.060 20 0 DDADMM CCOC(=O)N1CC[C@@H](N=c2[n-]c(C(=O)OC)c(C)s2)C1 ZINC000496491721 421262263 /nfs/dbraw/zinc/26/22/63/421262263.db2.gz OZPTVDMRCJFUHX-SECBINFHSA-N -1 1 313.379 1.303 20 0 DDADMM CCC1(CC)CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000526066694 421328638 /nfs/dbraw/zinc/32/86/38/421328638.db2.gz JANPNBVYWKLCII-UHFFFAOYSA-N -1 1 317.393 1.850 20 0 DDADMM CC(C)[C@@H]1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000547427345 421331079 /nfs/dbraw/zinc/33/10/79/421331079.db2.gz VRGOIHXIXUUYSJ-VXGBXAGGSA-N -1 1 317.393 1.752 20 0 DDADMM CC(C)c1ccccc1OCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000547811617 421370490 /nfs/dbraw/zinc/37/04/90/421370490.db2.gz XFVMGIRIBDEOPK-GFCCVEGCSA-N -1 1 317.393 1.964 20 0 DDADMM CCC(CC)CS(=O)(=O)[N-]Cc1nc(C(F)(F)F)no1 ZINC000547304617 421321575 /nfs/dbraw/zinc/32/15/75/421321575.db2.gz OVYRQUNFYHZRJZ-UHFFFAOYSA-N -1 1 315.317 1.944 20 0 DDADMM O=C(c1cc(=O)[nH]c(C2CC2)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000548435662 421438027 /nfs/dbraw/zinc/43/80/27/421438027.db2.gz GLKMHUOTGGIIOZ-JTQLQIEISA-N -1 1 314.349 1.198 20 0 DDADMM O=S(=O)(Cc1c(F)cccc1F)N=c1cc2ccccn2[n-]1 ZINC000514621659 421440104 /nfs/dbraw/zinc/44/01/04/421440104.db2.gz RKCSGYLHAPNQRC-UHFFFAOYSA-N -1 1 323.324 1.976 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cccc(C(=O)[O-])c2)CCN1C[C@@H](C)O ZINC000564329538 421580970 /nfs/dbraw/zinc/58/09/70/421580970.db2.gz XNOYVMAYAKBRAI-IUODEOHRSA-N -1 1 320.389 1.302 20 0 DDADMM Cn1cc([C@@H]2C[C@@H](NC(=O)c3cc(F)ccc3[O-])CCO2)cn1 ZINC000552447205 421588131 /nfs/dbraw/zinc/58/81/31/421588131.db2.gz ITRBVWUMCFMLGF-WFASDCNBSA-N -1 1 319.336 1.915 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)N=c1cc2ccccn2[nH]1 ZINC000563683990 421534336 /nfs/dbraw/zinc/53/43/36/421534336.db2.gz BPJYUWDPNRGTPO-ZDUSSCGKSA-N -1 1 301.394 1.704 20 0 DDADMM O=C(c1c[nH]c(C(F)(F)F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000551733140 421540330 /nfs/dbraw/zinc/54/03/30/421540330.db2.gz FBMBUDMBGLFRHF-SSDOTTSWSA-N -1 1 314.271 1.566 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cc(C3CCOCC3)n[nH]2)cn1 ZINC000516317126 421540983 /nfs/dbraw/zinc/54/09/83/421540983.db2.gz ZBVJIFZOQLFGEM-UHFFFAOYSA-N -1 1 322.390 1.808 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC000532299109 421654280 /nfs/dbraw/zinc/65/42/80/421654280.db2.gz ZUBMTOYPHILNHP-JMJZKYOTSA-N -1 1 323.418 1.756 20 0 DDADMM CCC[C@H](NC(=O)Cc1cn2cccc(C)c2n1)c1nn[n-]n1 ZINC000532334581 421655555 /nfs/dbraw/zinc/65/55/55/421655555.db2.gz VOCJDCLUWCMNDN-LBPRGKRZSA-N -1 1 313.365 1.356 20 0 DDADMM CN1CC[C@@H](C[N-]S(=O)(=O)c2cc3ccccc3o2)CC1=O ZINC000518521531 421657928 /nfs/dbraw/zinc/65/79/28/421657928.db2.gz KZCUVDGWHWJLFU-LLVKDONJSA-N -1 1 322.386 1.580 20 0 DDADMM CCCc1nc(=NC(=O)N2CCN3CCCC[C@@H]3C2)s[n-]1 ZINC000556094304 421700229 /nfs/dbraw/zinc/70/02/29/421700229.db2.gz WSLUAHXCYWXQRV-LLVKDONJSA-N -1 1 309.439 1.615 20 0 DDADMM CCO[C@@H]1C[C@@H]1NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000571368612 421708179 /nfs/dbraw/zinc/70/81/79/421708179.db2.gz OSBWHEAIUPGYSQ-WDEREUQCSA-N -1 1 311.407 1.439 20 0 DDADMM C[C@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1 ZINC000519046224 421677668 /nfs/dbraw/zinc/67/76/68/421677668.db2.gz XJWZMFBNJUKYTL-LLVKDONJSA-N -1 1 311.345 1.341 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnc(-c2ccccc2)nc1)c1nn[n-]n1 ZINC000542773120 421829157 /nfs/dbraw/zinc/82/91/57/421829157.db2.gz OADHCVUWPPHPDK-LLVKDONJSA-N -1 1 323.360 1.532 20 0 DDADMM Cc1ccc(-c2n[nH]c(=O)[n-]2)cc1NC(=O)CN(C)C(C)C ZINC000559253652 421831731 /nfs/dbraw/zinc/83/17/31/421831731.db2.gz FTMBAUHHUOIKNS-UHFFFAOYSA-N -1 1 303.366 1.764 20 0 DDADMM CC(C)NC(=O)NCCSc1nc(C(F)F)cc(=O)[n-]1 ZINC000521623859 421793892 /nfs/dbraw/zinc/79/38/92/421793892.db2.gz XFDLAAOSKUFDFJ-UHFFFAOYSA-N -1 1 306.338 1.920 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1CCCN(c2ccccc2)CC1 ZINC000635298706 421888592 /nfs/dbraw/zinc/88/85/92/421888592.db2.gz FBULVPVNZMNQMN-UHFFFAOYSA-N -1 1 314.389 1.831 20 0 DDADMM C[C@@H]1C[C@H](C(=O)NCc2nc3ccc(F)cc3[nH]2)O[C@H]1C(=O)[O-] ZINC000630119751 421900586 /nfs/dbraw/zinc/90/05/86/421900586.db2.gz UKBDNRBKEOMDFR-TWPQKTAQSA-N -1 1 321.308 1.196 20 0 DDADMM CC(=O)c1cc(Br)cc(F)c1OCc1nn[n-]n1 ZINC000544080202 421842885 /nfs/dbraw/zinc/84/28/85/421842885.db2.gz ANESQJVICBIFNS-UHFFFAOYSA-N -1 1 315.102 1.883 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc2c(c1)COC2)c1nn[n-]n1 ZINC000544556614 421845720 /nfs/dbraw/zinc/84/57/20/421845720.db2.gz NKZCLYOUDIOMJB-CYBMUJFWSA-N -1 1 301.350 1.430 20 0 DDADMM O=C([O-])C[C@@H]1CSCCN1C(=O)c1cccc2n[nH]cc21 ZINC000630129027 421906468 /nfs/dbraw/zinc/90/64/68/421906468.db2.gz FDCGFJQTZBBXAU-SECBINFHSA-N -1 1 305.359 1.595 20 0 DDADMM COc1cc(C(=O)NCCCc2ncnn2C)cc(Cl)c1[O-] ZINC000627902862 421943247 /nfs/dbraw/zinc/94/32/47/421943247.db2.gz LDFORDASAWUZAN-UHFFFAOYSA-N -1 1 324.768 1.545 20 0 DDADMM COc1cc(C(=O)[O-])ccc1NC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC000630184091 421943314 /nfs/dbraw/zinc/94/33/14/421943314.db2.gz GQVJGPQSKDFCBU-VIFPVBQESA-N -1 1 303.318 1.934 20 0 DDADMM C[C@H](Oc1ccccc1)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630222359 421974324 /nfs/dbraw/zinc/97/43/24/421974324.db2.gz MHKMXWDMUYFWRD-ZDUSSCGKSA-N -1 1 320.389 1.461 20 0 DDADMM CC(C)COc1cccc(CNC(=O)CCCc2nn[n-]n2)c1 ZINC000635418607 421974632 /nfs/dbraw/zinc/97/46/32/421974632.db2.gz WOSAWZONSRTBQF-UHFFFAOYSA-N -1 1 317.393 1.874 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccnc(C3CC3)c2)CC1 ZINC000630221170 421970322 /nfs/dbraw/zinc/97/03/22/421970322.db2.gz VDKXMRMWRKCIQK-LBPRGKRZSA-N -1 1 317.389 1.580 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCC[C@@H](O)C2)c1 ZINC000632024745 422030753 /nfs/dbraw/zinc/03/07/53/422030753.db2.gz SXOSNCNOUCGWBM-GHMZBOCLSA-N -1 1 315.391 1.230 20 0 DDADMM COc1ccc(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cc1 ZINC000630224496 421975590 /nfs/dbraw/zinc/97/55/90/421975590.db2.gz OMTDFEUOEMELLO-ZDUSSCGKSA-N -1 1 320.389 1.245 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3cn[nH]c32)CC1 ZINC000630225695 421975751 /nfs/dbraw/zinc/97/57/51/421975751.db2.gz WEZKZJQKGFGHAB-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3c[nH]nc32)CC1 ZINC000630225695 421975754 /nfs/dbraw/zinc/97/57/54/421975754.db2.gz WEZKZJQKGFGHAB-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM CC(=O)N1CCC[C@@H]1C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000633612283 422000909 /nfs/dbraw/zinc/00/09/09/422000909.db2.gz FTWLIAKAXMXMLJ-GFCCVEGCSA-N -1 1 318.402 1.818 20 0 DDADMM CCc1ccc([C@@H]2CNCCN2C(=O)CSCC(=O)[O-])cc1 ZINC000574091867 422061841 /nfs/dbraw/zinc/06/18/41/422061841.db2.gz LDPYZYKBWMFZCL-AWEZNQCLSA-N -1 1 322.430 1.540 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)CC1(OC)CCCC1)c1ccco1 ZINC000632060438 422061927 /nfs/dbraw/zinc/06/19/27/422061927.db2.gz MGBKIFFAADHZRD-LBPRGKRZSA-N -1 1 317.407 1.846 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)C[C@H]2CCOC2)c1 ZINC000632070259 422067468 /nfs/dbraw/zinc/06/74/68/422067468.db2.gz AZSHDHJFQDRYSS-SNVBAGLBSA-N -1 1 301.364 1.058 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCOC3(CCC3)C2)sn1 ZINC000632120708 422102723 /nfs/dbraw/zinc/10/27/23/422102723.db2.gz ZLZYADUADVYXHF-SNVBAGLBSA-N -1 1 302.421 1.832 20 0 DDADMM CC[C@H](C(=O)N=c1[nH][n-]c(C)c1C(=O)NC)c1ccc(F)cc1 ZINC000633691192 422052494 /nfs/dbraw/zinc/05/24/94/422052494.db2.gz FFLVYSGRYMTFKJ-LBPRGKRZSA-N -1 1 318.352 1.771 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)CC1(OC)CCC1)c1ccc(C)o1 ZINC000632059707 422058617 /nfs/dbraw/zinc/05/86/17/422058617.db2.gz SLLFYXRKAYHDEI-GFCCVEGCSA-N -1 1 317.407 1.764 20 0 DDADMM O=S(=O)([N-]c1cc(C2CCOCC2)n[nH]1)c1cscn1 ZINC000574085371 422059168 /nfs/dbraw/zinc/05/91/68/422059168.db2.gz WZDNDYJHGJOPIO-UHFFFAOYSA-N -1 1 314.392 1.561 20 0 DDADMM C[C@@H]1OCC[C@@]1(C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632203097 422160109 /nfs/dbraw/zinc/16/01/09/422160109.db2.gz MEWVNHDXRKWXRU-IMTBSYHQSA-N -1 1 313.301 1.274 20 0 DDADMM Cc1ccccc1O[C@H](C)CNC(=O)CCCc1nn[n-]n1 ZINC000635617391 422168980 /nfs/dbraw/zinc/16/89/80/422168980.db2.gz ZEMMGDMRONIJHV-GFCCVEGCSA-N -1 1 303.366 1.415 20 0 DDADMM Cc1ccc(C(=O)Nc2ccncc2[O-])cc1N1CCOCC1 ZINC000632169974 422136712 /nfs/dbraw/zinc/13/67/12/422136712.db2.gz LUZQKRFKGGHROA-UHFFFAOYSA-N -1 1 313.357 1.606 20 0 DDADMM C[C@H](NC(=O)CCCc1nn[n-]n1)[C@H]1Oc2ccccc2[C@H]1C ZINC000635673007 422226889 /nfs/dbraw/zinc/22/68/89/422226889.db2.gz PUOUBKLDCNTFHP-GDLVEWKHSA-N -1 1 315.377 1.592 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCOC[C@H]2C)c1 ZINC000632333143 422254711 /nfs/dbraw/zinc/25/47/11/422254711.db2.gz JPDPEDOJTUZIPQ-GHMZBOCLSA-N -1 1 315.391 1.352 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)[C@@H](C)OC)n[n-]1 ZINC000574855254 422196126 /nfs/dbraw/zinc/19/61/26/422196126.db2.gz ASWXSPJTERRADN-ZJUUUORDSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)[C@@H](C)OC)[n-]1 ZINC000574855254 422196132 /nfs/dbraw/zinc/19/61/32/422196132.db2.gz ASWXSPJTERRADN-ZJUUUORDSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)[C@@H](C)OC)n1 ZINC000574855254 422196138 /nfs/dbraw/zinc/19/61/38/422196138.db2.gz ASWXSPJTERRADN-ZJUUUORDSA-N -1 1 312.370 1.220 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](CO)CCC(C)C ZINC000628708662 422292516 /nfs/dbraw/zinc/29/25/16/422292516.db2.gz OYZAXLPLGRHXSH-SNVBAGLBSA-N -1 1 313.423 1.739 20 0 DDADMM CCOc1cc(C(=O)N[C@H]2COCCC2=O)cc(Cl)c1[O-] ZINC000628867995 422356878 /nfs/dbraw/zinc/35/68/78/422356878.db2.gz WBNWFFMJXMPYFZ-JTQLQIEISA-N -1 1 313.737 1.532 20 0 DDADMM CC(=O)NC[C@@H]1CCCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000630841874 422366222 /nfs/dbraw/zinc/36/62/22/422366222.db2.gz NINNESOBERYHTP-ZDUSSCGKSA-N -1 1 324.808 1.963 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC[C@H]1C(=O)NC1CC1 ZINC000630756879 422317080 /nfs/dbraw/zinc/31/70/80/422317080.db2.gz NUVRUMISEXQDRH-ZDUSSCGKSA-N -1 1 322.792 1.858 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@H]2C2CCC2)c(C(F)(F)F)n1 ZINC000632493575 422390471 /nfs/dbraw/zinc/39/04/71/422390471.db2.gz XVKHLINXTMGOPC-DTWKUNHWSA-N -1 1 323.340 1.906 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCS[C@@H]2C)c1 ZINC000632504979 422396414 /nfs/dbraw/zinc/39/64/14/422396414.db2.gz QIIATJVRVGHXON-PSASIEDQSA-N -1 1 303.405 1.573 20 0 DDADMM CS(=O)(=O)CC1(CC(=O)Nc2cccc(F)c2[O-])CC1 ZINC000628960546 422419532 /nfs/dbraw/zinc/41/95/32/422419532.db2.gz MPFRFVVTIIFQLL-UHFFFAOYSA-N -1 1 301.339 1.685 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(Cl)s1)[C@@H](O)C(F)(F)F ZINC000632673502 422509861 /nfs/dbraw/zinc/50/98/61/422509861.db2.gz MTHBBVOGCRPIJU-CLZZGJSISA-N -1 1 323.745 1.992 20 0 DDADMM COCCOCc1ccc(NC(=O)C2=C([O-])C(C)N=N2)cc1 ZINC000629193416 422522122 /nfs/dbraw/zinc/52/21/22/422522122.db2.gz VPEPGXAUIPTKDD-UHFFFAOYSA-N -1 1 305.334 1.839 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1cccc2c1CNC2=O)C1CC1 ZINC000629299184 422592695 /nfs/dbraw/zinc/59/26/95/422592695.db2.gz NNBIRJVIIILHGS-AWEZNQCLSA-N -1 1 324.402 1.487 20 0 DDADMM Cc1ncc(S(=O)(=O)Nc2c(C)cc(C)cc2C(=O)[O-])n1C ZINC000629288831 422585673 /nfs/dbraw/zinc/58/56/73/422585673.db2.gz UWAWKCGCVMFFTL-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM COC[C@@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)C[C@H](C)O1 ZINC000632690127 422524016 /nfs/dbraw/zinc/52/40/16/422524016.db2.gz MCLQNSBVUNUGNO-JQWIXIFHSA-N -1 1 313.781 1.850 20 0 DDADMM CCO[C@H](C(=O)N=c1[nH][n-]c(C)c1Br)C(C)C ZINC000627669492 422655391 /nfs/dbraw/zinc/65/53/91/422655391.db2.gz IOTBXYMVDCBYOZ-VIFPVBQESA-N -1 1 304.188 1.902 20 0 DDADMM O=C(c1ncc2ccccn21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000632843831 422620554 /nfs/dbraw/zinc/62/05/54/422620554.db2.gz XXOBVVNUWINODH-SNVBAGLBSA-N -1 1 313.317 1.443 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000631240335 422623972 /nfs/dbraw/zinc/62/39/72/422623972.db2.gz KRQRLOKJGYJLLS-NSHDSACASA-N -1 1 305.769 1.802 20 0 DDADMM CCCNC(=O)CCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629378872 422638610 /nfs/dbraw/zinc/63/86/10/422638610.db2.gz XMYRNXMORBSJOM-UHFFFAOYSA-N -1 1 316.361 1.823 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H]3CCC[C@H]3C(=O)[O-])[nH]c2c1 ZINC000634822631 422669037 /nfs/dbraw/zinc/66/90/37/422669037.db2.gz ALBAIZMHZQEYEH-GHMZBOCLSA-N -1 1 301.346 1.988 20 0 DDADMM C[C@H]1CN(C(=O)CCCc2nn[n-]n2)CC2(CCCCC2)O1 ZINC000636142160 422674214 /nfs/dbraw/zinc/67/42/14/422674214.db2.gz GCIKBPDZVGDGPI-LBPRGKRZSA-N -1 1 307.398 1.473 20 0 DDADMM O=C([O-])c1cc(N[C@H]2CCCC[C@H]2N2CCOCC2)ccn1 ZINC000650767271 423253609 /nfs/dbraw/zinc/25/36/09/423253609.db2.gz OKOFESLIZUJZPF-DZGCQCFKSA-N -1 1 305.378 1.257 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)n[nH]1 ZINC000646176688 423380254 /nfs/dbraw/zinc/38/02/54/423380254.db2.gz LXCUYIHNBOUNBH-LPEHRKFASA-N -1 1 309.370 1.542 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCN(c2ccc(F)cc2)C1=O ZINC000646409378 423478140 /nfs/dbraw/zinc/47/81/40/423478140.db2.gz HEIAMRVCCFZUJJ-LLVKDONJSA-N -1 1 318.308 1.554 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccnc(O[C@H]2CCOC2)c1 ZINC000646412850 423480704 /nfs/dbraw/zinc/48/07/04/423480704.db2.gz CWQAEODQVSELMZ-JTQLQIEISA-N -1 1 304.306 1.239 20 0 DDADMM CC(C)c1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)no1 ZINC000648894448 423526933 /nfs/dbraw/zinc/52/69/33/423526933.db2.gz IPKMRCNPBPDNLJ-ZDUSSCGKSA-N -1 1 312.333 1.831 20 0 DDADMM CCC[C@H](NC(=O)c1cn(-c2ccccc2)cn1)c1nn[n-]n1 ZINC000651461582 423551481 /nfs/dbraw/zinc/55/14/81/423551481.db2.gz BYDHMDJPWTZVDJ-LBPRGKRZSA-N -1 1 311.349 1.657 20 0 DDADMM CC(C)(O)C1CCC(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CC1 ZINC000651561490 423596054 /nfs/dbraw/zinc/59/60/54/423596054.db2.gz NGJXWJMSMVXVQO-OTTFEQOBSA-N -1 1 321.425 1.483 20 0 DDADMM O=C(NC1COCCOC1)c1ccc(C(F)(F)F)cc1[O-] ZINC000646801204 423612076 /nfs/dbraw/zinc/61/20/76/423612076.db2.gz MFTADFBZORKGTA-UHFFFAOYSA-N -1 1 305.252 1.556 20 0 DDADMM C[C@@H](COCC(=O)N(C)C[C@H](C)c1nn[n-]n1)c1ccccc1 ZINC000651721239 423656618 /nfs/dbraw/zinc/65/66/18/423656618.db2.gz YTGXCHAUIPVCHZ-STQMWFEESA-N -1 1 317.393 1.582 20 0 DDADMM O=S(=O)([N-]CC[C@]1(O)CCCOC1)c1cc(F)ccc1F ZINC000651915141 423737777 /nfs/dbraw/zinc/73/77/77/423737777.db2.gz UEXQNCJEMHINLZ-CYBMUJFWSA-N -1 1 321.345 1.175 20 0 DDADMM C[C@H]([N-]S(=O)(=O)CCc1noc2ccccc21)C(F)F ZINC000641703986 423887365 /nfs/dbraw/zinc/88/73/65/423887365.db2.gz AMFHBLHDSHQETN-QMMMGPOBSA-N -1 1 304.318 1.943 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC[C@H](n2cc(CO)nn2)C1 ZINC000647237485 423900921 /nfs/dbraw/zinc/90/09/21/423900921.db2.gz VGPHKJFXKVECDV-LBPRGKRZSA-N -1 1 320.324 1.092 20 0 DDADMM COCc1cncc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000644886815 424018718 /nfs/dbraw/zinc/01/87/18/424018718.db2.gz ATENKPAIZKQMAS-UHFFFAOYSA-N -1 1 318.333 1.336 20 0 DDADMM CCN1CC[C@@H]([N-]S(=O)(=O)c2c(F)cc(C)cc2F)C1=O ZINC000644861383 423987954 /nfs/dbraw/zinc/98/79/54/423987954.db2.gz FNENNQULWQHHME-LLVKDONJSA-N -1 1 318.345 1.172 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2CCN(C)C(=O)C2)c(F)c1 ZINC000644860327 423988838 /nfs/dbraw/zinc/98/88/38/423988838.db2.gz IBLZTVQWOZMFEJ-VIFPVBQESA-N -1 1 318.345 1.172 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000659753013 424221247 /nfs/dbraw/zinc/22/12/47/424221247.db2.gz VXLDGIFSLBUERH-UHFFFAOYSA-N -1 1 317.345 1.544 20 0 DDADMM CC(C)(C)n1nnc(CC[N@H+]2CCCC[C@H]2CCC(=O)[O-])n1 ZINC000659788296 424253601 /nfs/dbraw/zinc/25/36/01/424253601.db2.gz GPYYXEUFJFFFJK-LBPRGKRZSA-N -1 1 309.414 1.690 20 0 DDADMM C[C@@H](CNC(=O)c1ccc([O-])c(F)c1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000655242517 424329949 /nfs/dbraw/zinc/32/99/49/424329949.db2.gz ASHOXXMBCQXLOO-SDDRHHMPSA-N -1 1 310.369 1.759 20 0 DDADMM C[C@@H](O)C[C@@H]1COCCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640339140 424359767 /nfs/dbraw/zinc/35/97/67/424359767.db2.gz MBPQFHRXQMMSKB-GHMZBOCLSA-N -1 1 321.402 1.722 20 0 DDADMM Cn1c(CN(CC(=O)[O-])CC(C)(C)C)nc2ccccc2c1=O ZINC000662226729 424490539 /nfs/dbraw/zinc/49/05/39/424490539.db2.gz RTRIQRVKZWVQPF-UHFFFAOYSA-N -1 1 317.389 1.866 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CC[C@H](c3ccc(Cl)cc3)C2)C1=O ZINC000662202919 424469133 /nfs/dbraw/zinc/46/91/33/424469133.db2.gz DAOBFUNSYSWLEB-JSGCOSHPSA-N -1 1 322.792 1.815 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]Cc2cnccc2C(F)(F)F)n1 ZINC000660194989 424591929 /nfs/dbraw/zinc/59/19/29/424591929.db2.gz YEHUCLDNPYXULL-UHFFFAOYSA-N -1 1 320.296 1.312 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H](C)C[C@@H](C)O)c(=O)[n-]1 ZINC000341955139 271258886 /nfs/dbraw/zinc/25/88/86/271258886.db2.gz MRQYTVHYIYAGDO-RKDXNWHRSA-N -1 1 313.423 1.421 20 0 DDADMM C[C@H]([C@H](C)N=c1[n-]c(C(F)(F)F)ns1)N1CCOCC1 ZINC000342046318 271282396 /nfs/dbraw/zinc/28/23/96/271282396.db2.gz RUFDIPFHKCGSFC-JGVFFNPUSA-N -1 1 310.345 1.500 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)CC(C)(C)C2)o1 ZINC000344493516 272038327 /nfs/dbraw/zinc/03/83/27/272038327.db2.gz HQPCOFULFGBWEN-SNVBAGLBSA-N -1 1 314.407 1.696 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCCCF)c1cccs1 ZINC000344550023 272057385 /nfs/dbraw/zinc/05/73/85/272057385.db2.gz QZJCPWBLKOLZCH-JTQLQIEISA-N -1 1 309.384 1.631 20 0 DDADMM Cc1cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc(C)c1F ZINC000126785430 281252141 /nfs/dbraw/zinc/25/21/41/281252141.db2.gz ANNJHBURCSGWAF-UHFFFAOYSA-N -1 1 312.326 1.216 20 0 DDADMM Cc1cc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cc(C)c1F ZINC000126785430 281252143 /nfs/dbraw/zinc/25/21/43/281252143.db2.gz ANNJHBURCSGWAF-UHFFFAOYSA-N -1 1 312.326 1.216 20 0 DDADMM Cn1ccc(CC[N-]S(=O)(=O)c2cc(F)c(F)cc2F)n1 ZINC000354717902 298505849 /nfs/dbraw/zinc/50/58/49/298505849.db2.gz SSVKVBRECMCFDI-UHFFFAOYSA-N -1 1 319.308 1.358 20 0 DDADMM CN(C)[C@]1(C(=O)[N-]OCC(F)(F)F)CCc2ccccc21 ZINC000363110767 300213313 /nfs/dbraw/zinc/21/33/13/300213313.db2.gz MKYNNAJNBBRCCE-CYBMUJFWSA-N -1 1 302.296 2.000 20 0 DDADMM c1cc(-c2nc(=NC[C@@H]3CN4CCCC[C@H]4CO3)[n-]o2)co1 ZINC000363703662 300299128 /nfs/dbraw/zinc/29/91/28/300299128.db2.gz FZVRPLZIRGLTNI-QWHCGFSZSA-N -1 1 304.350 1.417 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@]1(C)CCO[C@@H]1C1CC1)c2=O ZINC000363843772 300316479 /nfs/dbraw/zinc/31/64/79/300316479.db2.gz HDXBPOVCHSAMQH-CJNGLKHVSA-N -1 1 316.361 1.361 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCNC(=O)C[C@H]1c1ccccc1 ZINC000366818603 300753420 /nfs/dbraw/zinc/75/34/20/300753420.db2.gz FQXZQCYMWXOAMQ-HNNXBMFYSA-N -1 1 311.341 1.491 20 0 DDADMM FC(F)c1n[n-]c(=NC[C@H]2CCC[C@@H]2N2CCOCC2)o1 ZINC000368387764 301016756 /nfs/dbraw/zinc/01/67/56/301016756.db2.gz MLNFXYULMNRFLU-ZJUUUORDSA-N -1 1 302.325 1.342 20 0 DDADMM COCc1nc(=NC(=O)c2cccc(-c3nnc[nH]3)c2)s[n-]1 ZINC000369913595 301238851 /nfs/dbraw/zinc/23/88/51/301238851.db2.gz RPXJSYUOSIKLBD-UHFFFAOYSA-N -1 1 316.346 1.144 20 0 DDADMM CC(=O)N1CC[C@](C)(C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000369978180 301250918 /nfs/dbraw/zinc/25/09/18/301250918.db2.gz VVNWUTIZVFFRDJ-JTQLQIEISA-N -1 1 305.260 1.021 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cccnc1-c1cnn(C)c1 ZINC000370294603 301311126 /nfs/dbraw/zinc/31/11/26/301311126.db2.gz QOEVNPMYCDADDE-SNVBAGLBSA-N -1 1 310.379 1.259 20 0 DDADMM O=C(c1cccc2ccccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370857439 301398247 /nfs/dbraw/zinc/39/82/47/301398247.db2.gz NYWNPDIVGFBODM-CQSZACIVSA-N -1 1 309.329 1.567 20 0 DDADMM O=C([O-])NCC(=O)N=c1cc(-c2cc3ccccc3o2)[nH][nH]1 ZINC000376056741 302032776 /nfs/dbraw/zinc/03/27/76/302032776.db2.gz LUPDGOAGLMRDQS-UHFFFAOYSA-N -1 1 300.274 1.451 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@@H](Cn2ccnn2)C1 ZINC000377698911 302214487 /nfs/dbraw/zinc/21/44/87/302214487.db2.gz PZIMQHJZJGAMPF-SNVBAGLBSA-N -1 1 322.315 1.814 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000420718178 302385276 /nfs/dbraw/zinc/38/52/76/302385276.db2.gz NNQYBGCAGYCDEC-LDWIPMOCSA-N -1 1 322.308 1.036 20 0 DDADMM Cc1cccc2c1C[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)O2 ZINC000450836937 302398089 /nfs/dbraw/zinc/39/80/89/302398089.db2.gz BVWRIJQVWZGLLX-ZWNOBZJWSA-N -1 1 301.350 1.074 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC23CC(C2)CO3)c(F)c1 ZINC000528529391 303040045 /nfs/dbraw/zinc/04/00/45/303040045.db2.gz RDUSMDCUDAYKBU-UHFFFAOYSA-N -1 1 303.330 1.731 20 0 DDADMM C[C@@H](CN1CCOCC1)N1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000530169707 303178582 /nfs/dbraw/zinc/17/85/82/303178582.db2.gz RNOZCJKGOMSHNZ-LBPRGKRZSA-N -1 1 322.380 1.603 20 0 DDADMM Cc1ccccc1C[C@H](C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000530261436 303188851 /nfs/dbraw/zinc/18/88/51/303188851.db2.gz CFPZRKADNIFKBQ-JTQLQIEISA-N -1 1 322.390 1.334 20 0 DDADMM Cc1ccccc1C[C@H](C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000530261436 303188853 /nfs/dbraw/zinc/18/88/53/303188853.db2.gz CFPZRKADNIFKBQ-JTQLQIEISA-N -1 1 322.390 1.334 20 0 DDADMM C[C@H](CN1CCCC1=O)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000531008928 303224395 /nfs/dbraw/zinc/22/43/95/303224395.db2.gz QUHWHQNSACTNNZ-LLVKDONJSA-N -1 1 322.386 1.722 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2cc(C)ccc2OC)[n-]1 ZINC000532140766 303276431 /nfs/dbraw/zinc/27/64/31/303276431.db2.gz JAYQXHZCGDFKAN-UHFFFAOYSA-N -1 1 311.363 1.242 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2cc(C)ccc2OC)n[n-]1 ZINC000532140766 303276432 /nfs/dbraw/zinc/27/64/32/303276432.db2.gz JAYQXHZCGDFKAN-UHFFFAOYSA-N -1 1 311.363 1.242 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)N=c2ccc(C3CC3)n[n-]2)n[nH]1 ZINC000535671840 303347697 /nfs/dbraw/zinc/34/76/97/303347697.db2.gz LFPQWWJBSAZWIY-VIFPVBQESA-N -1 1 315.381 1.900 20 0 DDADMM CC[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1nc(C)cs1 ZINC000357604577 306897951 /nfs/dbraw/zinc/89/79/51/306897951.db2.gz YKSZBUVNPSJDFD-SSDOTTSWSA-N -1 1 324.362 1.542 20 0 DDADMM COC[C@](C)(O)C(=O)Nc1cc(C)cc(Br)c1[O-] ZINC000537597554 303375478 /nfs/dbraw/zinc/37/54/78/303375478.db2.gz IGICBJGJBUPZSL-LBPRGKRZSA-N -1 1 318.167 1.799 20 0 DDADMM COCc1ccsc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000538709588 303389236 /nfs/dbraw/zinc/38/92/36/303389236.db2.gz AGLMNNWXVMSKQD-UHFFFAOYSA-N -1 1 307.379 1.607 20 0 DDADMM C[C@]1(c2ccccc2)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000546853744 303521194 /nfs/dbraw/zinc/52/11/94/303521194.db2.gz SNAPDFHLGWXLIH-KRWDZBQOSA-N -1 1 323.356 1.221 20 0 DDADMM Cc1cnc(C(=O)NCCS(=O)(=O)c2ccccc2)c([O-])c1 ZINC000358624691 306945718 /nfs/dbraw/zinc/94/57/18/306945718.db2.gz FVXKKEYXZVVVCC-UHFFFAOYSA-N -1 1 320.370 1.299 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](CC3CC3)C2)co1 ZINC000359734307 306961408 /nfs/dbraw/zinc/96/14/08/306961408.db2.gz VZZJXLFOUCVIDK-LLVKDONJSA-N -1 1 312.391 1.450 20 0 DDADMM CC(C)[C@]1(CO)CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000366472100 307074696 /nfs/dbraw/zinc/07/46/96/307074696.db2.gz YZUZAENQNHMRRS-OAHLLOKOSA-N -1 1 320.418 1.499 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CN2CCO[C@@H](C3CC3)C2)cc1 ZINC000372261303 307166391 /nfs/dbraw/zinc/16/63/91/307166391.db2.gz MGKCOYWWZJUOJU-OAHLLOKOSA-N -1 1 318.373 1.062 20 0 DDADMM O=C(CCN1CCC[C@H](c2n[nH]c(=O)[n-]2)C1)NC1CCCC1 ZINC000377484557 307270454 /nfs/dbraw/zinc/27/04/54/307270454.db2.gz LBBGHNVJKXQVKO-NSHDSACASA-N -1 1 307.398 1.139 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@H]3C[C@@H]3c3nnc[nH]3)[nH][n-]2)s1 ZINC000378511189 307291038 /nfs/dbraw/zinc/29/10/38/307291038.db2.gz KUFUAWFQDSUMRQ-DTWKUNHWSA-N -1 1 314.374 1.729 20 0 DDADMM CN(CCNC(=O)OC(C)(C)C)C(=O)C(=O)c1ccc([O-])cc1 ZINC000497039278 307377260 /nfs/dbraw/zinc/37/72/60/307377260.db2.gz NPBOBCIDJWUUBX-UHFFFAOYSA-N -1 1 322.361 1.558 20 0 DDADMM CC(C)O[C@@]1(C)C[C@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000530194175 307588362 /nfs/dbraw/zinc/58/83/62/307588362.db2.gz MFXJCPDSXIWEPN-WBMJQRKESA-N -1 1 301.346 1.609 20 0 DDADMM C[C@H](CS(C)(=O)=O)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000536003656 307662832 /nfs/dbraw/zinc/66/28/32/307662832.db2.gz MTVADHJIJYOQLU-SECBINFHSA-N -1 1 317.388 1.144 20 0 DDADMM CC(C)(C)OC(=O)C[N-]S(=O)(=O)Cc1ccc(F)cc1F ZINC000543726142 307710432 /nfs/dbraw/zinc/71/04/32/307710432.db2.gz XYEFVGLNSXSRNB-UHFFFAOYSA-N -1 1 321.345 1.726 20 0 DDADMM O=C(N[C@H](c1cccs1)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000546067375 307725354 /nfs/dbraw/zinc/72/53/54/307725354.db2.gz DILAJHQMBATAEB-LBPRGKRZSA-N -1 1 315.358 1.360 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)c2ccccc21 ZINC000546131031 307726754 /nfs/dbraw/zinc/72/67/54/307726754.db2.gz UHNPJDUBEVBPJE-MFKMUULPSA-N -1 1 323.356 1.786 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cc(F)c(C)cc2F)[n-]n1C ZINC000547822651 307749470 /nfs/dbraw/zinc/74/94/70/307749470.db2.gz RHYXYOQORAFXGY-UHFFFAOYSA-N -1 1 317.317 1.238 20 0 DDADMM O=C(NCCc1nc[nH]n1)c1cccc(C(F)(F)F)c1[O-] ZINC000566444403 308052701 /nfs/dbraw/zinc/05/27/01/308052701.db2.gz GCLBKXJZIITELV-UHFFFAOYSA-N -1 1 300.240 1.502 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@@H]1CCC(C)C ZINC000566942706 308066705 /nfs/dbraw/zinc/06/67/05/308066705.db2.gz MYUXZUOHWBLKSF-LLVKDONJSA-N -1 1 301.412 1.985 20 0 DDADMM CNC(=O)[C@@H](Cc1ccccc1)Sc1ncc(C)c(=O)[n-]1 ZINC000567292057 308076705 /nfs/dbraw/zinc/07/67/05/308076705.db2.gz DIGAGSRSMSLGOZ-GFCCVEGCSA-N -1 1 303.387 1.940 20 0 DDADMM CC1=C(C)C[C@@H](C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CC1 ZINC000567941558 308101386 /nfs/dbraw/zinc/10/13/86/308101386.db2.gz WGVHXVXXXTZLHK-LBPRGKRZSA-N -1 1 321.450 1.736 20 0 DDADMM Cc1ccc([C@H](N)C(=O)N2C[C@@H]3CCC[C@@]3(C(=O)[O-])C2)cc1 ZINC000568008056 308103734 /nfs/dbraw/zinc/10/37/34/308103734.db2.gz HULPCPZMPDTUQF-GRDNDAEWSA-N -1 1 302.374 1.708 20 0 DDADMM CCC(=O)N1CCN(C(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000571199598 308191739 /nfs/dbraw/zinc/19/17/39/308191739.db2.gz HQPLFCRCCOFKOK-UHFFFAOYSA-N -1 1 314.345 1.296 20 0 DDADMM CCC(=O)N1CCN(C(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000571199598 308191743 /nfs/dbraw/zinc/19/17/43/308191743.db2.gz HQPLFCRCCOFKOK-UHFFFAOYSA-N -1 1 314.345 1.296 20 0 DDADMM C[C@H]1CCN(CC(=O)N2CCc3ccccc32)C[C@H]1C(=O)[O-] ZINC000574157284 308263423 /nfs/dbraw/zinc/26/34/23/308263423.db2.gz VIQFQXIURIGJJX-GXTWGEPZSA-N -1 1 302.374 1.618 20 0 DDADMM COc1ccc(C[C@@H](C)N=c2[nH]c(C(=O)[O-])co2)cc1OC ZINC000575752557 308278768 /nfs/dbraw/zinc/27/87/68/308278768.db2.gz NOTPRLCUGHGZEJ-SECBINFHSA-N -1 1 306.318 1.855 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]Cc1nnc(SC)s1)C1CC1 ZINC000576983788 308354427 /nfs/dbraw/zinc/35/44/27/308354427.db2.gz YQCCBUMTATUIQM-QMMMGPOBSA-N -1 1 323.465 1.104 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCC[C@@H](F)C2)co1 ZINC000579358077 308526290 /nfs/dbraw/zinc/52/62/90/308526290.db2.gz KCYUYQYIMMTBKC-ZJUUUORDSA-N -1 1 304.343 1.198 20 0 DDADMM Cn1[nH]c([C@@H]2CCCN2C(=O)c2cc(F)ccc2[O-])nc1=N ZINC000579967821 308570761 /nfs/dbraw/zinc/57/07/61/308570761.db2.gz ZHOGIKPTYFHUBA-JTQLQIEISA-N -1 1 305.313 1.050 20 0 DDADMM CCSCC[C@H](C)N(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000357349934 325726560 /nfs/dbraw/zinc/72/65/60/325726560.db2.gz BBRSVTWFWDHMPE-QMMMGPOBSA-N -1 1 315.395 1.500 20 0 DDADMM C[C@@H](CCNS(=O)(=O)c1c(F)cccc1F)CC(=O)[O-] ZINC000392835454 337066272 /nfs/dbraw/zinc/06/62/72/337066272.db2.gz KOYIGXDSDPAFFC-QMMMGPOBSA-N -1 1 307.318 1.744 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)N(C)CCCC(=O)[O-])c2=O ZINC000583025034 337226857 /nfs/dbraw/zinc/22/68/57/337226857.db2.gz OKPWBQJIHVTHAB-UHFFFAOYSA-N -1 1 318.329 1.474 20 0 DDADMM Nc1nc2c(c(N[C@@H](Cc3ccncc3)C(=O)[O-])n1)CCCC2 ZINC000656092769 483939851 /nfs/dbraw/zinc/93/98/51/483939851.db2.gz QMXKHYMZTMEGCI-ZDUSSCGKSA-N -1 1 313.361 1.440 20 0 DDADMM O=C(c1occ2c1CCC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425303912 484116087 /nfs/dbraw/zinc/11/60/87/484116087.db2.gz PJWDQJRITZNXNT-SECBINFHSA-N -1 1 303.318 1.877 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(F)cc1F)[C@@H]1CCOC1 ZINC000656614474 484244656 /nfs/dbraw/zinc/24/46/56/484244656.db2.gz ZPPZWWRAEKCBSS-RNCFNFMXSA-N -1 1 321.345 1.758 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccc2[nH]ccc21)[C@@H]1CCOC1 ZINC000656621730 484245807 /nfs/dbraw/zinc/24/58/07/484245807.db2.gz WJLWXTFLVJXNSE-IAQYHMDHSA-N -1 1 324.402 1.961 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@@H](C(=O)[O-])C3CCCC3)C2)n[nH]1 ZINC000663042116 484620182 /nfs/dbraw/zinc/62/01/82/484620182.db2.gz SJEWGVKIIVYKDP-STQMWFEESA-N -1 1 320.393 1.710 20 0 DDADMM O=C(c1cc(F)cc2nn[nH]c21)N1CCC([C@@H]2CCOC2)CC1 ZINC000669717017 484622070 /nfs/dbraw/zinc/62/20/70/484622070.db2.gz RUEMXHFPBGYDCU-LLVKDONJSA-N -1 1 318.352 1.986 20 0 DDADMM CCN1CC[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1=O ZINC000669983810 484705435 /nfs/dbraw/zinc/70/54/35/484705435.db2.gz CHBUATJXXPLNCS-JTQLQIEISA-N -1 1 322.308 1.003 20 0 DDADMM CCN1CC[C@@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1=O ZINC000671184106 484965437 /nfs/dbraw/zinc/96/54/37/484965437.db2.gz UYQKPFPYBWCDCV-SNVBAGLBSA-N -1 1 320.773 1.378 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](C)O2)c(F)c1 ZINC000668239547 485047166 /nfs/dbraw/zinc/04/71/66/485047166.db2.gz KCGVTEBMDKJYKD-BDAKNGLRSA-N -1 1 321.345 1.819 20 0 DDADMM C[C@@H](CN1CCOCC1)NC(=O)c1ncc2ccccc2c1[O-] ZINC000666430138 485214208 /nfs/dbraw/zinc/21/42/08/485214208.db2.gz QNTOSDUQVNSDHA-LBPRGKRZSA-N -1 1 315.373 1.391 20 0 DDADMM CN(Cc1nnc2ccccn21)C(=O)C(=O)c1ccc([O-])cc1 ZINC000672822987 485355219 /nfs/dbraw/zinc/35/52/19/485355219.db2.gz SJRVNRQTCPQULL-UHFFFAOYSA-N -1 1 310.313 1.276 20 0 DDADMM O=C(C(=O)N1CCC([C@@H]2CCOC2)CC1)c1ccc([O-])cc1 ZINC000673066510 485384151 /nfs/dbraw/zinc/38/41/51/485384151.db2.gz NUABTEBXPXIJDM-CQSZACIVSA-N -1 1 303.358 1.850 20 0 DDADMM CN(C)c1ccc(S(=O)(=O)[N-]c2cc(C(C)(C)C)n[nH]2)cn1 ZINC000685912496 485483976 /nfs/dbraw/zinc/48/39/76/485483976.db2.gz QDCZMZNGNDUZFY-UHFFFAOYSA-N -1 1 323.422 1.969 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](O)C2)c(F)c1 ZINC000682726551 485759875 /nfs/dbraw/zinc/75/98/75/485759875.db2.gz TYTOGRAFSUAVHY-RKDXNWHRSA-N -1 1 321.345 1.413 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](C)c2nc[nH]n2)c(F)c1 ZINC000679197514 485803572 /nfs/dbraw/zinc/80/35/72/485803572.db2.gz YKQUXBHOUPTFGW-LURJTMIESA-N -1 1 318.305 1.131 20 0 DDADMM CC(C)c1cccc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)c1 ZINC000679204977 485806104 /nfs/dbraw/zinc/80/61/04/485806104.db2.gz ZBFQDLJELNQZES-UHFFFAOYSA-N -1 1 308.363 1.433 20 0 DDADMM CC[C@H](C)c1ccc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)cc1 ZINC000679202379 485806203 /nfs/dbraw/zinc/80/62/03/485806203.db2.gz HPLXELJXBTZNFC-VIFPVBQESA-N -1 1 322.390 1.823 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@H](c3nc4ccccc4o3)C2)nc1=O ZINC000679367699 485863280 /nfs/dbraw/zinc/86/32/80/485863280.db2.gz ZIGRJUYRXDLKMX-LLVKDONJSA-N -1 1 313.361 1.629 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@]2(C)CCO[C@@H]2C)c(F)c1 ZINC000680105403 486095344 /nfs/dbraw/zinc/09/53/44/486095344.db2.gz SBUKMTQEFHGWCM-OQPBUACISA-N -1 1 321.345 1.819 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@H]3CCCC(=O)N3)cnc2n1 ZINC000684154002 486265141 /nfs/dbraw/zinc/26/51/41/486265141.db2.gz TUOSEPYXMWINPC-SNVBAGLBSA-N -1 1 314.345 1.042 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@H]1CCCC(=O)N1)c2=O ZINC000684154002 486265143 /nfs/dbraw/zinc/26/51/43/486265143.db2.gz TUOSEPYXMWINPC-SNVBAGLBSA-N -1 1 314.345 1.042 20 0 DDADMM O=C(N[C@@H]1CCN(CC(F)(F)F)C1=O)c1ccc([O-])c(F)c1 ZINC000681047059 486331386 /nfs/dbraw/zinc/33/13/86/486331386.db2.gz TWCCHWFPPMGFEA-SECBINFHSA-N -1 1 320.242 1.424 20 0 DDADMM COCC[C@](C)(O)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000676855518 486347301 /nfs/dbraw/zinc/34/73/01/486347301.db2.gz KFVODUJHHNJJDC-AWEZNQCLSA-N -1 1 313.375 1.499 20 0 DDADMM C[C@H]1CCCN1C(=O)CCN1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000676989671 486376165 /nfs/dbraw/zinc/37/61/65/486376165.db2.gz XFGFPGLQTZRNIG-NWDGAFQWSA-N -1 1 307.398 1.091 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ocnc1C1CC1 ZINC000685424566 486537273 /nfs/dbraw/zinc/53/72/73/486537273.db2.gz DKPJIEHKMUIBLR-GFCCVEGCSA-N -1 1 310.317 1.585 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F)OC ZINC000685608257 486573667 /nfs/dbraw/zinc/57/36/67/486573667.db2.gz UVIRWMVSIQDLOV-QMMMGPOBSA-N -1 1 309.334 1.677 20 0 DDADMM CCNc1nc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cs1 ZINC000677928753 486582134 /nfs/dbraw/zinc/58/21/34/486582134.db2.gz LFKXNCBICPSRNG-MRVPVSSYSA-N -1 1 307.383 1.108 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N(C)[C@@H]2CCCN(C)C2)c1 ZINC000424694786 533805688 /nfs/dbraw/zinc/80/56/88/533805688.db2.gz WQTVTWVYLHVGOO-DMZKTXOQSA-N -1 1 324.446 1.355 20 0 DDADMM C[C@H](CCN=c1ccc(C(=O)NCCO)n[n-]1)CC(C)(C)C ZINC000443514539 533923444 /nfs/dbraw/zinc/92/34/44/533923444.db2.gz YFBVLTTUWSQLRW-GFCCVEGCSA-N -1 1 308.426 1.495 20 0 DDADMM C[C@H]1CN(CCCN=c2[n-]c(C3CCOCC3)no2)C[C@H](C)O1 ZINC000413041120 533933246 /nfs/dbraw/zinc/93/32/46/533933246.db2.gz KKKJSMYZTFJMKV-STQMWFEESA-N -1 1 324.425 1.297 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CC[C@@H](C(=O)OC)C2)c1 ZINC000412324462 534232166 /nfs/dbraw/zinc/23/21/66/534232166.db2.gz ZWIFNZBMEWISBB-VHSXEESVSA-N -1 1 321.329 1.707 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H](C)c2ccnn2C)c1 ZINC000421886331 534232179 /nfs/dbraw/zinc/23/21/79/534232179.db2.gz MAFCRVYMDHTEBJ-SECBINFHSA-N -1 1 303.318 1.655 20 0 DDADMM O=C(CCC1CCOCC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000331894710 534336455 /nfs/dbraw/zinc/33/64/55/534336455.db2.gz KOPJNGNITDCPBG-UHFFFAOYSA-N -1 1 309.366 1.688 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc3c(c2)C[C@H](C)O3)n1 ZINC000332092123 534414537 /nfs/dbraw/zinc/41/45/37/534414537.db2.gz BRQPIZATSVXVBV-QMMMGPOBSA-N -1 1 309.347 1.180 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@@H](C)O[C@H](C)C(=O)[O-])[nH]c2c1 ZINC000421808080 534501568 /nfs/dbraw/zinc/50/15/68/534501568.db2.gz QOAYTIRDUBXVJG-NXEZZACHSA-N -1 1 305.334 1.366 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)NCCC(C)(C)C(=O)[O-])C2)n[nH]1 ZINC000424406545 534558109 /nfs/dbraw/zinc/55/81/09/534558109.db2.gz QXWFXFBCIUSWLR-LLVKDONJSA-N -1 1 323.397 1.503 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC[C@@H](F)C1 ZINC000452371335 534645651 /nfs/dbraw/zinc/64/56/51/534645651.db2.gz ICVALTGZWTXNII-LLVKDONJSA-N -1 1 306.341 1.555 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@@H](n2ccnn2)C1 ZINC000424998022 534648742 /nfs/dbraw/zinc/64/87/42/534648742.db2.gz DBBGPEXNMNXNTO-SECBINFHSA-N -1 1 308.288 1.739 20 0 DDADMM O=S(=O)([N-]CCC1CC(O)C1)c1c(F)cccc1Cl ZINC000432322131 534867283 /nfs/dbraw/zinc/86/72/83/534867283.db2.gz YEHKMVUOJPCDOF-UHFFFAOYSA-N -1 1 307.774 1.918 20 0 DDADMM CSc1ccc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)cc1 ZINC000338110200 525972138 /nfs/dbraw/zinc/97/21/38/525972138.db2.gz BGIVYTHEVYDNCL-UHFFFAOYSA-N -1 1 312.376 1.182 20 0 DDADMM CSc1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1 ZINC000338110200 525972145 /nfs/dbraw/zinc/97/21/45/525972145.db2.gz BGIVYTHEVYDNCL-UHFFFAOYSA-N -1 1 312.376 1.182 20 0 DDADMM CC(C)[C@H]1C[C@H](CN=c2ccc(C(=O)N(C)C)n[n-]2)CCO1 ZINC000413308441 527878869 /nfs/dbraw/zinc/87/88/69/527878869.db2.gz CYXGTUVOAKRWGO-TZMCWYRMSA-N -1 1 306.410 1.463 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H](C)N(C)c2ccccc2)[n-]n1 ZINC000413054596 528230281 /nfs/dbraw/zinc/23/02/81/528230281.db2.gz OCOSHQKMICZGDQ-ZDUSSCGKSA-N -1 1 313.405 1.585 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2csc(C(C)C)n2)[n-]n1 ZINC000413117689 528231253 /nfs/dbraw/zinc/23/12/53/528231253.db2.gz SEHDWVWCYSQDAO-UHFFFAOYSA-N -1 1 319.434 1.883 20 0 DDADMM CCC[C@H]1CCC[C@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331951952 528381003 /nfs/dbraw/zinc/38/10/03/528381003.db2.gz QTMSZCWRDZFTND-NWDGAFQWSA-N -1 1 323.466 1.816 20 0 DDADMM CC(C)C[C@@]1(C)CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000452509924 528426797 /nfs/dbraw/zinc/42/67/97/528426797.db2.gz LYBFQEIDEOPZLM-QGZVFWFLSA-N -1 1 319.405 1.944 20 0 DDADMM CCOCC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000292758657 528580587 /nfs/dbraw/zinc/58/05/87/528580587.db2.gz IQZDAJWEBWRVSD-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM CC(C)n1ncc2c1C[C@@H](N=c1[n-]c(C(N)=O)cs1)CC2 ZINC000432172536 528655080 /nfs/dbraw/zinc/65/50/80/528655080.db2.gz ONQKNGOUBLSKAB-JTQLQIEISA-N -1 1 305.407 1.411 20 0 DDADMM CCCn1ncc([N-]S(=O)(=O)C[C@@H](C)OC)c1C1CC1 ZINC000451091614 528885660 /nfs/dbraw/zinc/88/56/60/528885660.db2.gz WEAFQONXHIKBIX-SNVBAGLBSA-N -1 1 301.412 1.947 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)N2C[C@H]3CCC[C@@H](C2)N3)s1 ZINC000414719122 529034602 /nfs/dbraw/zinc/03/46/02/529034602.db2.gz XKJSORJTRAPTIA-PHIMTYICSA-N -1 1 309.439 1.661 20 0 DDADMM CCN1CCN(C(=O)c2ncc(C)cc2[O-])C[C@H]1c1ncc[nH]1 ZINC000433470327 529136020 /nfs/dbraw/zinc/13/60/20/529136020.db2.gz JZXSGWOLZBXFSP-LBPRGKRZSA-N -1 1 315.377 1.338 20 0 DDADMM CC[C@@H]1CCC[C@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000294911708 529318436 /nfs/dbraw/zinc/31/84/36/529318436.db2.gz JCZQESVKKURNQY-MWLCHTKSSA-N -1 1 312.391 1.804 20 0 DDADMM CC[C@H](CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C)OC ZINC000292386075 529339634 /nfs/dbraw/zinc/33/96/34/529339634.db2.gz YUWRMKATGMIQGP-GFCCVEGCSA-N -1 1 320.393 1.526 20 0 DDADMM CS(=O)(=O)C[C@H]1CCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000293765051 538896252 /nfs/dbraw/zinc/89/62/52/538896252.db2.gz QJCOVQSDZNFMEN-VIFPVBQESA-N -1 1 317.794 1.552 20 0 DDADMM CCO[C@H](C)c1nc(Cn2cnc(-c3nn[n-]n3)n2)cs1 ZINC000736619075 598912878 /nfs/dbraw/zinc/91/28/78/598912878.db2.gz XFURZOMKIWDBTN-SSDOTTSWSA-N -1 1 306.355 1.061 20 0 DDADMM c1[nH]nc2c1CN(c1c3ccccc3nnc1-c1nnn[n-]1)CC2 ZINC000738437449 598963073 /nfs/dbraw/zinc/96/30/73/598963073.db2.gz PXIGNKCPMPUYLW-UHFFFAOYSA-N -1 1 319.332 1.096 20 0 DDADMM c1[nH]nc2c1CN(c1c3ccccc3nnc1-c1nn[n-]n1)CC2 ZINC000738437449 598963074 /nfs/dbraw/zinc/96/30/74/598963074.db2.gz PXIGNKCPMPUYLW-UHFFFAOYSA-N -1 1 319.332 1.096 20 0 DDADMM O[C@H]1CCCC[C@H]1CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000738390539 598978534 /nfs/dbraw/zinc/97/85/34/598978534.db2.gz QXDLCQLUNVGANF-WPRPVWTQSA-N -1 1 308.773 1.878 20 0 DDADMM O[C@H]1CCCC[C@H]1CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000738390539 598978536 /nfs/dbraw/zinc/97/85/36/598978536.db2.gz QXDLCQLUNVGANF-WPRPVWTQSA-N -1 1 308.773 1.878 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCC[C@@H]1c1ccc[nH]1 ZINC000738259282 599023593 /nfs/dbraw/zinc/02/35/93/599023593.db2.gz PTHSUBINGKXMRS-CYBMUJFWSA-N -1 1 309.333 1.567 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCC[C@@H]1c1ccc[nH]1 ZINC000738259282 599023594 /nfs/dbraw/zinc/02/35/94/599023594.db2.gz PTHSUBINGKXMRS-CYBMUJFWSA-N -1 1 309.333 1.567 20 0 DDADMM Cc1csc(=O)n1CCN1CCSC[C@H]1CC(=O)[O-] ZINC000700202245 601885440 /nfs/dbraw/zinc/88/54/40/601885440.db2.gz JXQUQSFAUYUNQH-SNVBAGLBSA-N -1 1 302.421 1.110 20 0 DDADMM O=C(N[C@H]1C[C@H]1C1CCCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738020315 599096391 /nfs/dbraw/zinc/09/63/91/599096391.db2.gz NGGUAPNJFWMBAR-JSGCOSHPSA-N -1 1 312.377 1.960 20 0 DDADMM O=C(N[C@H]1C[C@H]1C1CCCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738020315 599096394 /nfs/dbraw/zinc/09/63/94/599096394.db2.gz NGGUAPNJFWMBAR-JSGCOSHPSA-N -1 1 312.377 1.960 20 0 DDADMM Cc1cnc(CSc2nc(C)nc(C)c2-c2nn[n-]n2)o1 ZINC000737469750 599162215 /nfs/dbraw/zinc/16/22/15/599162215.db2.gz CFURULWJWCMJJO-UHFFFAOYSA-N -1 1 303.351 1.862 20 0 DDADMM O=C(NC1CC1)c1cccc(NCc2ccc(-c3nnn[n-]3)o2)c1 ZINC000823146207 607311330 /nfs/dbraw/zinc/31/13/30/607311330.db2.gz VIOOPZNBGOETHR-UHFFFAOYSA-N -1 1 324.344 1.964 20 0 DDADMM O=C(NC1CC1)c1cccc(NCc2ccc(-c3nn[n-]n3)o2)c1 ZINC000823146207 607311331 /nfs/dbraw/zinc/31/13/31/607311331.db2.gz VIOOPZNBGOETHR-UHFFFAOYSA-N -1 1 324.344 1.964 20 0 DDADMM CC(=O)NCCN(Cc1ccc(F)cc1F)[C@H](C)C(=O)[O-] ZINC000819780773 597677855 /nfs/dbraw/zinc/67/78/55/597677855.db2.gz LFRQKYRDHUYJLG-SECBINFHSA-N -1 1 300.305 1.376 20 0 DDADMM CC(=O)NCCN(Cc1cnc2cccc(C)n12)[C@H](C)C(=O)[O-] ZINC000819781331 597705765 /nfs/dbraw/zinc/70/57/65/597705765.db2.gz URHZROKVKQZONH-GFCCVEGCSA-N -1 1 318.377 1.054 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NC(=O)NC1CCCCC1)C(=O)[O-] ZINC000263195549 598187350 /nfs/dbraw/zinc/18/73/50/598187350.db2.gz WKXLWWHCVWEGBJ-GWCFXTLKSA-N -1 1 313.398 1.234 20 0 DDADMM CCNC(=O)c1cccc(NC(=O)CN[C@@H](C(=O)[O-])C(C)C)c1 ZINC000820360897 598188622 /nfs/dbraw/zinc/18/86/22/598188622.db2.gz JVRWBCPHNRQZLH-CQSZACIVSA-N -1 1 321.377 1.074 20 0 DDADMM CC[C@H](C)N(C)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000736327183 598252172 /nfs/dbraw/zinc/25/21/72/598252172.db2.gz PEDOELZIERJNQW-QMMMGPOBSA-N -1 1 313.358 1.425 20 0 DDADMM c1nnc(-c2ccc(Oc3nccnc3-c3nn[n-]n3)cc2)o1 ZINC000738445537 598337082 /nfs/dbraw/zinc/33/70/82/598337082.db2.gz IUERCDLIPOBYFJ-UHFFFAOYSA-N -1 1 308.261 1.499 20 0 DDADMM CCOC(=O)CCCCCCNc1nccnc1-c1nnn[n-]1 ZINC000736591375 598345936 /nfs/dbraw/zinc/34/59/36/598345936.db2.gz BOPQYHUOJMNWGJ-UHFFFAOYSA-N -1 1 319.369 1.582 20 0 DDADMM CCOC(=O)CCCCCCNc1nccnc1-c1nn[n-]n1 ZINC000736591375 598345938 /nfs/dbraw/zinc/34/59/38/598345938.db2.gz BOPQYHUOJMNWGJ-UHFFFAOYSA-N -1 1 319.369 1.582 20 0 DDADMM CN(C[C@@H]1OCCc2ccccc21)c1nccnc1-c1nnn[n-]1 ZINC000736792913 598351854 /nfs/dbraw/zinc/35/18/54/598351854.db2.gz ULMTXPMCAAFROW-ZDUSSCGKSA-N -1 1 323.360 1.407 20 0 DDADMM CN(C[C@@H]1OCCc2ccccc21)c1nccnc1-c1nn[n-]n1 ZINC000736792913 598351856 /nfs/dbraw/zinc/35/18/56/598351856.db2.gz ULMTXPMCAAFROW-ZDUSSCGKSA-N -1 1 323.360 1.407 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1ccc(-c2ccccc2)[nH]1 ZINC000737776251 598718918 /nfs/dbraw/zinc/71/89/18/598718918.db2.gz JYHFCMKSYARIOF-UHFFFAOYSA-N -1 1 320.316 1.329 20 0 DDADMM c1ccc2c(c1)CCO[C@@H]2CNc1nccnc1-c1nnn[n-]1 ZINC000738439768 598818508 /nfs/dbraw/zinc/81/85/08/598818508.db2.gz DARJZKSZUFNZCA-GFCCVEGCSA-N -1 1 309.333 1.383 20 0 DDADMM c1ccc2c(c1)CCO[C@@H]2CNc1nccnc1-c1nn[n-]n1 ZINC000738439768 598818509 /nfs/dbraw/zinc/81/85/09/598818509.db2.gz DARJZKSZUFNZCA-GFCCVEGCSA-N -1 1 309.333 1.383 20 0 DDADMM c1csc(N2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)n1 ZINC000738413246 599401042 /nfs/dbraw/zinc/40/10/42/599401042.db2.gz RGNMETUIMNSNJI-UHFFFAOYSA-N -1 1 314.378 1.045 20 0 DDADMM c1csc(N2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)n1 ZINC000738413246 599401044 /nfs/dbraw/zinc/40/10/44/599401044.db2.gz RGNMETUIMNSNJI-UHFFFAOYSA-N -1 1 314.378 1.045 20 0 DDADMM Cc1cc(C)n([C@@H]2CCCN(c3cccc(-c4nnn[n-]4)n3)C2)n1 ZINC000822197808 599538700 /nfs/dbraw/zinc/53/87/00/599538700.db2.gz PXSBXFYTEINWRM-CYBMUJFWSA-N -1 1 324.392 1.917 20 0 DDADMM Cc1cc(C)n([C@@H]2CCCN(c3cccc(-c4nn[n-]n4)n3)C2)n1 ZINC000822197808 599538701 /nfs/dbraw/zinc/53/87/01/599538701.db2.gz PXSBXFYTEINWRM-CYBMUJFWSA-N -1 1 324.392 1.917 20 0 DDADMM CCc1[nH]c(C(=O)N[C@@H]2CCN(CCOC)C2)c(C)c1C(=O)[O-] ZINC000737241211 599761662 /nfs/dbraw/zinc/76/16/62/599761662.db2.gz MBTHSJINWVEJJL-LLVKDONJSA-N -1 1 323.393 1.034 20 0 DDADMM CN(C(=O)CN1CCC(CCO)CC1)c1ccccc1C(=O)[O-] ZINC000737324280 600110883 /nfs/dbraw/zinc/11/08/83/600110883.db2.gz NIFLOGBCERGKNJ-UHFFFAOYSA-N -1 1 320.389 1.442 20 0 DDADMM O=C([O-])C1CCN(C(=O)CN2CCC[C@H]2c2ccco2)CC1 ZINC000738545548 600215908 /nfs/dbraw/zinc/21/59/08/600215908.db2.gz VYJDQJALDHODMB-ZDUSSCGKSA-N -1 1 306.362 1.740 20 0 DDADMM C[C@@H]1CCCC[C@H]1NC(=O)CN1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000405467623 600294907 /nfs/dbraw/zinc/29/49/07/600294907.db2.gz CTDFSHWJVXKORN-HZSPNIEDSA-N -1 1 308.422 1.868 20 0 DDADMM C[C@H]1CO[C@@H](c2ccccc2)CN1CCC(=O)NCC(=O)[O-] ZINC000736823176 600297966 /nfs/dbraw/zinc/29/79/66/600297966.db2.gz VQYKFLFKGCFKSE-GXTWGEPZSA-N -1 1 306.362 1.039 20 0 DDADMM O=C([O-])c1ccc(CCC(=O)NCCN2CCSCC2)cc1 ZINC000739255961 600494694 /nfs/dbraw/zinc/49/46/94/600494694.db2.gz IKCWOTPECGKTDP-UHFFFAOYSA-N -1 1 322.430 1.482 20 0 DDADMM Cc1ccccc1C[C@H]1CCCN1Cn1cnc(C(=O)[O-])n1 ZINC000738767415 600502693 /nfs/dbraw/zinc/50/26/93/600502693.db2.gz GUAZDOVEHZCFPX-CQSZACIVSA-N -1 1 300.362 1.949 20 0 DDADMM Cc1oc(CN2CCN([C@H]3CCC[C@@H]3O)CC2)cc1C(=O)[O-] ZINC000738978446 600509474 /nfs/dbraw/zinc/50/94/74/600509474.db2.gz HRGSPFBGMVRBJL-GJZGRUSLSA-N -1 1 308.378 1.317 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cnn(CC(=O)[O-])c2)cc1C ZINC000038030443 600529181 /nfs/dbraw/zinc/52/91/81/600529181.db2.gz GMEIMDIKSXIWBY-UHFFFAOYSA-N -1 1 309.347 1.385 20 0 DDADMM O=C([O-])C[C@H]1CSCCN1CC(=O)N1CCCCCC1 ZINC000091132417 601022872 /nfs/dbraw/zinc/02/28/72/601022872.db2.gz NVESRIZOZLBFCH-LBPRGKRZSA-N -1 1 300.424 1.281 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccncc2Cl)CC1 ZINC000827379158 601099232 /nfs/dbraw/zinc/09/92/32/601099232.db2.gz CYVWXTGPFDOTDM-SNVBAGLBSA-N -1 1 311.769 1.356 20 0 DDADMM Cc1nc([C@@H]2CN([C@@H](C)c3ccc(C(=O)[O-])o3)CCO2)n[nH]1 ZINC000738823971 601105672 /nfs/dbraw/zinc/10/56/72/601105672.db2.gz RVAJOYNBOJGDKN-UFBFGSQYSA-N -1 1 306.322 1.539 20 0 DDADMM CCc1cc(NC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)no1 ZINC000830224037 601135228 /nfs/dbraw/zinc/13/52/28/601135228.db2.gz FJTAXGUGAUBCHD-JTQLQIEISA-N -1 1 310.354 1.250 20 0 DDADMM COCCOCCN1CCN(c2ccc(C(=O)[O-])cc2)C[C@@H]1C ZINC000831764076 601150606 /nfs/dbraw/zinc/15/06/06/601150606.db2.gz ZQZBLZYFYHYZLE-AWEZNQCLSA-N -1 1 322.405 1.558 20 0 DDADMM COCCOc1ncccc1NCc1ccc(-c2nnn[n-]2)o1 ZINC000821694714 607492578 /nfs/dbraw/zinc/49/25/78/607492578.db2.gz ZGPPHXXUUZVDOC-UHFFFAOYSA-N -1 1 316.321 1.492 20 0 DDADMM COCCOc1ncccc1NCc1ccc(-c2nn[n-]n2)o1 ZINC000821694714 607492579 /nfs/dbraw/zinc/49/25/79/607492579.db2.gz ZGPPHXXUUZVDOC-UHFFFAOYSA-N -1 1 316.321 1.492 20 0 DDADMM O=C([O-])c1ccc2c(=O)[nH]c(CSc3c[nH]nn3)nc2c1 ZINC000833325795 601350736 /nfs/dbraw/zinc/35/07/36/601350736.db2.gz XRCLREDIGIEQIN-UHFFFAOYSA-N -1 1 303.303 1.444 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccccc2[N+](=O)[O-])C[C@@H]1C(=O)[O-] ZINC000315088143 601499801 /nfs/dbraw/zinc/49/98/01/601499801.db2.gz BAABYWWMBUKIAP-ZJUUUORDSA-N -1 1 307.306 1.186 20 0 DDADMM COc1cc(NC(=O)CN2C[C@H](C)[C@H](C(=O)[O-])C2)cc(OC)c1 ZINC000832017698 601516004 /nfs/dbraw/zinc/51/60/04/601516004.db2.gz ZFLNZVUAQRBQPO-IINYFYTJSA-N -1 1 322.361 1.295 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CC[C@@]3(CCOC3)C2)cc1 ZINC000833104771 601661570 /nfs/dbraw/zinc/66/15/70/601661570.db2.gz DZWQHZWQISEBMF-MRXNPFEDSA-N -1 1 304.346 1.436 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3C[C@H](C(=O)[O-])[C@H](C)C3)[nH]c2c1 ZINC000832958854 601666515 /nfs/dbraw/zinc/66/65/15/601666515.db2.gz NINSLWLQADRSGI-MNOVXSKESA-N -1 1 316.361 1.733 20 0 DDADMM Cc1cc(C(=O)N[C@@H](C)c2nnc[nH]2)cc(C)c1OCC(=O)[O-] ZINC000832862955 601694916 /nfs/dbraw/zinc/69/49/16/601694916.db2.gz JAISXVRNRNVVSY-JTQLQIEISA-N -1 1 318.333 1.376 20 0 DDADMM Cc1nnc(SCC(=O)N2CCC(CCC(=O)[O-])CC2)[nH]1 ZINC000832990456 601818405 /nfs/dbraw/zinc/81/84/05/601818405.db2.gz BWRGZTSPRSSWLZ-UHFFFAOYSA-N -1 1 312.395 1.309 20 0 DDADMM Cc1n[nH]c(SCC(=O)N2CCC(CCC(=O)[O-])CC2)n1 ZINC000832990456 601818407 /nfs/dbraw/zinc/81/84/07/601818407.db2.gz BWRGZTSPRSSWLZ-UHFFFAOYSA-N -1 1 312.395 1.309 20 0 DDADMM C[C@H](C1CC1)N(C(=O)CN1CC[C@@H](CNC(=O)[O-])C1)C1CC1 ZINC000738888262 601939436 /nfs/dbraw/zinc/93/94/36/601939436.db2.gz BFPXOEAISVGHIG-NEPJUHHUSA-N -1 1 309.410 1.365 20 0 DDADMM COc1cccnc1N(C)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000826220792 607803889 /nfs/dbraw/zinc/80/38/89/607803889.db2.gz ADSRNVIQNBEFPS-UHFFFAOYSA-N -1 1 316.346 1.608 20 0 DDADMM COc1cccnc1N(C)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000826220792 607803890 /nfs/dbraw/zinc/80/38/90/607803890.db2.gz ADSRNVIQNBEFPS-UHFFFAOYSA-N -1 1 316.346 1.608 20 0 DDADMM CC(C)CN(CC(C)C)C(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000738821286 601961055 /nfs/dbraw/zinc/96/10/55/601961055.db2.gz QLIFZRNRSRLAPS-AWEZNQCLSA-N -1 1 313.442 1.717 20 0 DDADMM C[C@@H]1Cc2ccccc2N1C(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000739200120 601963402 /nfs/dbraw/zinc/96/34/02/601963402.db2.gz ZQPYBWUOINMPMG-CHWSQXEVSA-N -1 1 317.389 1.554 20 0 DDADMM O=c1c2ccccc2ncn1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826501353 607541611 /nfs/dbraw/zinc/54/16/11/607541611.db2.gz MAWAXECNIPXGOJ-UHFFFAOYSA-N -1 1 305.301 1.020 20 0 DDADMM O=c1c2ccccc2ncn1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826501353 607541612 /nfs/dbraw/zinc/54/16/12/607541612.db2.gz MAWAXECNIPXGOJ-UHFFFAOYSA-N -1 1 305.301 1.020 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C[C@H](O)COc2cccc3[nH]ccc32)C1 ZINC000833176033 602206333 /nfs/dbraw/zinc/20/63/33/602206333.db2.gz VVMTZRPPKJCQJE-MEDUHNTESA-N -1 1 322.336 1.406 20 0 DDADMM CC(=O)c1ccc(NC(=O)CN[C@H](C(=O)[O-])C(C)(C)C)cc1 ZINC000825951275 602222674 /nfs/dbraw/zinc/22/26/74/602222674.db2.gz DORFDMFBUBTXSA-CQSZACIVSA-N -1 1 306.362 1.917 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCCC1 ZINC000739532074 602339833 /nfs/dbraw/zinc/33/98/33/602339833.db2.gz UEPMOMNTBMCCEN-QWHCGFSZSA-N -1 1 323.393 1.969 20 0 DDADMM CC(C)N1CCN(C(=O)[C@@H]2C[C@@H]3CCCC[C@H]3N2C(=O)[O-])CC1 ZINC000738854743 602381895 /nfs/dbraw/zinc/38/18/95/602381895.db2.gz OFLQZVVHLOSXET-ZNMIVQPWSA-N -1 1 323.437 1.850 20 0 DDADMM C[C@@H]1CCCC[C@@H]1NC(=O)CN1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000739096853 602538066 /nfs/dbraw/zinc/53/80/66/602538066.db2.gz FHIAPHCINNAHIJ-RDBSUJKOSA-N -1 1 311.426 1.613 20 0 DDADMM CCCN(CC(=O)NC1CCCCC1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739353260 602545680 /nfs/dbraw/zinc/54/56/80/602545680.db2.gz IUCJPPBKPCZTSH-AWEZNQCLSA-N -1 1 311.426 1.900 20 0 DDADMM O=C([O-])N1CCCC[C@H]1CNC(=O)N1CCN2CCCC[C@@H]2C1 ZINC000740416432 602624683 /nfs/dbraw/zinc/62/46/83/602624683.db2.gz UPVWFBHLTLRBJD-UONOGXRCSA-N -1 1 324.425 1.399 20 0 DDADMM O=C(CN1CCC[C@H]([C@@H]2CCCN2C(=O)[O-])C1)NCC1CCC1 ZINC000740368795 602679032 /nfs/dbraw/zinc/67/90/32/602679032.db2.gz MARZTYWXDSFLPI-GJZGRUSLSA-N -1 1 323.437 1.757 20 0 DDADMM O=C([O-])NCc1nc(C(=O)NCCN2CCCCC2)cs1 ZINC000740683415 602707740 /nfs/dbraw/zinc/70/77/40/602707740.db2.gz DPZVMQITZPTFPA-UHFFFAOYSA-N -1 1 312.395 1.126 20 0 DDADMM CCc1cc(CNC(=O)[C@@H](Cc2ccccc2)NC(=O)[O-])n[nH]1 ZINC000739536480 602720605 /nfs/dbraw/zinc/72/06/05/602720605.db2.gz TXZXDWNKENEYDQ-CQSZACIVSA-N -1 1 316.361 1.467 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])CCN1C(=O)Cc1n[nH]c2ccccc21 ZINC000739147749 602731706 /nfs/dbraw/zinc/73/17/06/602731706.db2.gz FUVIDNYKAVZEAE-SNVBAGLBSA-N -1 1 302.334 1.316 20 0 DDADMM C[C@H]1CN(C(=O)[O-])CCN1CCC(=O)Nc1cccc(F)c1 ZINC000739161194 602740635 /nfs/dbraw/zinc/74/06/35/602740635.db2.gz OMZIXUOKNNJMPG-NSHDSACASA-N -1 1 309.341 1.838 20 0 DDADMM C[C@@H]1CN(CC(=O)N(C2CC2)C2CCCC2)CC[C@@H]1NC(=O)[O-] ZINC000739178264 602794242 /nfs/dbraw/zinc/79/42/42/602794242.db2.gz YKDZSOYYIDGKRQ-DOMZBBRYSA-N -1 1 323.437 1.898 20 0 DDADMM CC(C)[C@H](CNC(=O)N1CCN(CC2CC2)CC1)NC(=O)[O-] ZINC000738786187 602849857 /nfs/dbraw/zinc/84/98/57/602849857.db2.gz PPIZNCKQKIZJAA-ZDUSSCGKSA-N -1 1 312.414 1.016 20 0 DDADMM C[C@H](CNC(=O)c1ccc(NC(=O)[O-])cc1[O-])[N@H+](C)C1CC1 ZINC000738938553 602857790 /nfs/dbraw/zinc/85/77/90/602857790.db2.gz DZDZLIDQZWOSFD-SECBINFHSA-N -1 1 307.350 1.695 20 0 DDADMM C[C@H](CNC(=O)c1ccc(NC(=O)[O-])cc1[O-])[N@@H+](C)C1CC1 ZINC000738938553 602857793 /nfs/dbraw/zinc/85/77/93/602857793.db2.gz DZDZLIDQZWOSFD-SECBINFHSA-N -1 1 307.350 1.695 20 0 DDADMM Cc1cc(CN2CCN(C[C@@H]3CCN(C(=O)[O-])C3)CC2)no1 ZINC000740072342 602976511 /nfs/dbraw/zinc/97/65/11/602976511.db2.gz FZZSLQPHAYYAFE-ZDUSSCGKSA-N -1 1 308.382 1.101 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@@H]1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000740573391 602983799 /nfs/dbraw/zinc/98/37/99/602983799.db2.gz SIHYAGBXDDELEH-STQMWFEESA-N -1 1 315.333 1.642 20 0 DDADMM O=C([O-])NC[C@H]1CCCC[C@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC000740635440 602992158 /nfs/dbraw/zinc/99/21/58/602992158.db2.gz VBWWQQXGIJUNRS-MWLCHTKSSA-N -1 1 306.366 1.455 20 0 DDADMM O=C([O-])N1CC[C@@H](NCc2ccc(Br)cn2)C1 ZINC000740505631 603037081 /nfs/dbraw/zinc/03/70/81/603037081.db2.gz PXMMUDYKVOVVDF-SNVBAGLBSA-N -1 1 300.156 1.686 20 0 DDADMM C[C@@H](C(=O)N(C)CCCNC(=O)[O-])N(C)Cc1ccccc1 ZINC000738598576 603181297 /nfs/dbraw/zinc/18/12/97/603181297.db2.gz AUKVLSLKTQLCAH-ZDUSSCGKSA-N -1 1 307.394 1.623 20 0 DDADMM O=C([O-])N1CCC[C@@H](NS(=O)(=O)c2c(F)cccc2F)C1 ZINC000739927526 603240630 /nfs/dbraw/zinc/24/06/30/603240630.db2.gz PPQHRXFFHYSCFY-MRVPVSSYSA-N -1 1 320.317 1.386 20 0 DDADMM C[C@@H](C(=O)Nc1cccnc1Cl)N(C)CCCNC(=O)[O-] ZINC000738636963 603253168 /nfs/dbraw/zinc/25/31/68/603253168.db2.gz IISYJIGUENKKKF-VIFPVBQESA-N -1 1 314.773 1.652 20 0 DDADMM Cc1cc(CNC(=O)[C@H](NC(=O)[O-])c2cccc(Cl)c2)n[nH]1 ZINC000740072728 603314959 /nfs/dbraw/zinc/31/49/59/603314959.db2.gz IDFXNMYIVGDYLS-GFCCVEGCSA-N -1 1 322.752 1.997 20 0 DDADMM Cc1nc(C)c(CN(C)CCN2CCN(C(=O)[O-])CC2)s1 ZINC000740218305 603349553 /nfs/dbraw/zinc/34/95/53/603349553.db2.gz QOFFJXIAYWDFLW-UHFFFAOYSA-N -1 1 312.439 1.487 20 0 DDADMM Cc1nn(C)c2ncc(CN(C)[C@@H]3CCN(C(=O)[O-])C3)cc12 ZINC000830861615 603492876 /nfs/dbraw/zinc/49/28/76/603492876.db2.gz PIRCWLMWNCGYNT-GFCCVEGCSA-N -1 1 303.366 1.461 20 0 DDADMM O=C([O-])N1CCC[C@H]1CC(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000831321709 603549562 /nfs/dbraw/zinc/54/95/62/603549562.db2.gz XFCOLXQXJZWWNJ-LBPRGKRZSA-N -1 1 306.366 1.648 20 0 DDADMM O=C([O-])N1CCC[C@H]1C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000831704017 603552277 /nfs/dbraw/zinc/55/22/77/603552277.db2.gz FEDMZEDQDGOBNZ-LBPRGKRZSA-N -1 1 320.324 1.503 20 0 DDADMM O=C([O-])NC1CCC(C(=O)N2CCC(c3nc[nH]n3)CC2)CC1 ZINC000832246042 603560295 /nfs/dbraw/zinc/56/02/95/603560295.db2.gz HYIHAEUZCVAZBK-UHFFFAOYSA-N -1 1 321.381 1.337 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(CNC(=O)[O-])cc1)c1nn[nH]n1 ZINC000826765623 603565508 /nfs/dbraw/zinc/56/55/08/603565508.db2.gz SMHUHXZUMXEJLC-NSHDSACASA-N -1 1 318.337 1.239 20 0 DDADMM C[C@@H](C1CC1)N(C(=O)CN1CCC[C@H]1CNC(=O)[O-])C1CC1 ZINC000824545492 603573597 /nfs/dbraw/zinc/57/35/97/603573597.db2.gz XZPNEGLEBSBPGO-FZMZJTMJSA-N -1 1 309.410 1.508 20 0 DDADMM CN(C[C@H]1CCC[N@@H+]1Cc1nc(=O)c2sccc2[n-]1)C(=O)[O-] ZINC000828229914 603578158 /nfs/dbraw/zinc/57/81/58/603578158.db2.gz JKSJFGXUZDZPKF-SECBINFHSA-N -1 1 322.390 1.971 20 0 DDADMM CN(C[C@H]1CCC[N@H+]1Cc1nc(=O)c2sccc2[n-]1)C(=O)[O-] ZINC000828229914 603578161 /nfs/dbraw/zinc/57/81/61/603578161.db2.gz JKSJFGXUZDZPKF-SECBINFHSA-N -1 1 322.390 1.971 20 0 DDADMM Cc1[nH]c(=O)ccc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826327788 607639182 /nfs/dbraw/zinc/63/91/82/607639182.db2.gz VDVBWAZNOOJMST-UHFFFAOYSA-N -1 1 312.289 1.234 20 0 DDADMM O=C(CCCn1cccc1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826406786 607639840 /nfs/dbraw/zinc/63/98/40/607639840.db2.gz MURYLMFIOVIOIQ-UHFFFAOYSA-N -1 1 312.333 1.793 20 0 DDADMM Cc1cc(CNC(=O)C[C@H](Cc2ccccc2)NC(=O)[O-])n[nH]1 ZINC000830040816 603617571 /nfs/dbraw/zinc/61/75/71/603617571.db2.gz DPMWPCXNYWJAQN-ZDUSSCGKSA-N -1 1 316.361 1.603 20 0 DDADMM CN(C)[C@H](CNC(=O)CC1CN(C(=O)[O-])C1)c1ccsc1 ZINC000827994136 603652835 /nfs/dbraw/zinc/65/28/35/603652835.db2.gz HWSHICKKTKWOHE-GFCCVEGCSA-N -1 1 311.407 1.467 20 0 DDADMM CCN(CC1CCN(C(=O)[C@H]2CCCCN2C)CC1)C(=O)[O-] ZINC000827054560 603740723 /nfs/dbraw/zinc/74/07/23/603740723.db2.gz CEVBFTRSGGKEON-CQSZACIVSA-N -1 1 311.426 1.709 20 0 DDADMM COc1ccc(OC)c([C@H](O)CN2CC[C@@H](N(C)C(=O)[O-])C2)c1 ZINC000829494797 603801612 /nfs/dbraw/zinc/80/16/12/603801612.db2.gz WIACWZLDCBHBHZ-BXUZGUMPSA-N -1 1 324.377 1.421 20 0 DDADMM C[C@H](C(=O)N1[C@H](C)CCC[C@H]1C)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823654470 603813904 /nfs/dbraw/zinc/81/39/04/603813904.db2.gz KIDVNNXILSXZCI-AAVRWANBSA-N -1 1 311.426 1.849 20 0 DDADMM O=C([O-])N[C@H]1CC[C@H](C(=O)NCc2nc3ccc(F)cc3[nH]2)C1 ZINC000832278423 603936223 /nfs/dbraw/zinc/93/62/23/603936223.db2.gz NSBUTQORTQEWEK-WPRPVWTQSA-N -1 1 320.324 1.755 20 0 DDADMM O=C([O-])NC[C@@H]1CCCC[C@@H]1NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000832499929 603953892 /nfs/dbraw/zinc/95/38/92/603953892.db2.gz TYBNWNSEZBQQLV-GVXVVHGQSA-N -1 1 320.393 1.772 20 0 DDADMM O=C([O-])N1[C@@H]2CC[C@H]1CN(C(=O)[C@@H]1CCCc3[nH]ncc31)CC2 ZINC000831282622 603955396 /nfs/dbraw/zinc/95/53/96/603955396.db2.gz FSVBPBFSVQACMC-GRYCIOLGSA-N -1 1 318.377 1.573 20 0 DDADMM CCCC[C@@H](CNC(=O)[O-])NC(=O)c1[nH]nc2c1CCCC2 ZINC000826801570 604012959 /nfs/dbraw/zinc/01/29/59/604012959.db2.gz VCPXSLJILKPRPV-JTQLQIEISA-N -1 1 308.382 1.845 20 0 DDADMM Cc1cc(CNC(=O)N2CC[C@H]3CC[C@@H](C2)N3C(=O)[O-])n[nH]1 ZINC000830042130 604175143 /nfs/dbraw/zinc/17/51/43/604175143.db2.gz XWNVJXWLFSSGOZ-NEPJUHHUSA-N -1 1 307.354 1.144 20 0 DDADMM COc1cccc([C@@H](C)NCC(=O)N2CCN(C(=O)[O-])CC2)c1 ZINC000829544436 604183545 /nfs/dbraw/zinc/18/35/45/604183545.db2.gz VSADPVABZJVEGZ-GFCCVEGCSA-N -1 1 321.377 1.168 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@H]1NC(=O)N1CCN(C2CCC2)CC1 ZINC000832469934 604184636 /nfs/dbraw/zinc/18/46/36/604184636.db2.gz ZGJKTPWYZDLGAY-GXTWGEPZSA-N -1 1 324.425 1.302 20 0 DDADMM CCc1cc(CNC(=O)N2CCC[C@H]([C@@H](C)NC(=O)[O-])C2)[nH]n1 ZINC000827565380 604262855 /nfs/dbraw/zinc/26/28/55/604262855.db2.gz TVISCHIOIUKRIQ-MNOVXSKESA-N -1 1 323.397 1.550 20 0 DDADMM CC(C)Cc1nnc(NC(=O)CN(C)C[C@@H](C)C(=O)[O-])s1 ZINC000826913499 604473813 /nfs/dbraw/zinc/47/38/13/604473813.db2.gz YAIDFLGFGCPMQW-SECBINFHSA-N -1 1 314.411 1.328 20 0 DDADMM COC(=O)c1ccccc1CN1CCC([C@@H](O)C(=O)[O-])CC1 ZINC000833717617 604760026 /nfs/dbraw/zinc/76/00/26/604760026.db2.gz SOBCTPVTPMBNQW-CQSZACIVSA-N -1 1 307.346 1.131 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCCN1C(=O)CN1CCC(C)CC1 ZINC000825943797 604851097 /nfs/dbraw/zinc/85/10/97/604851097.db2.gz HMEMRKJBQWGTSW-UONOGXRCSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@H](CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1ccncc1 ZINC000827226372 604922079 /nfs/dbraw/zinc/92/20/79/604922079.db2.gz LAZQPCPEYMYVAT-KGLIPLIRSA-N -1 1 319.405 1.583 20 0 DDADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCN(C)[C@@H](C)C1 ZINC000833621625 604934556 /nfs/dbraw/zinc/93/45/56/604934556.db2.gz GDANVOAZTJEROH-QWHCGFSZSA-N -1 1 319.405 1.025 20 0 DDADMM Cc1cc(N2CCN(C)CC2)ccc1NC(=O)[C@H](C)NC(=O)[O-] ZINC000833746022 604941199 /nfs/dbraw/zinc/94/11/99/604941199.db2.gz KSWYFMAMMDISNM-LBPRGKRZSA-N -1 1 320.393 1.341 20 0 DDADMM O=C([O-])c1ccc(C(=O)NCCCCN2CCOCC2)cc1F ZINC000833137359 604942168 /nfs/dbraw/zinc/94/21/68/604942168.db2.gz HHKYKXZHRCFRHU-UHFFFAOYSA-N -1 1 324.352 1.366 20 0 DDADMM C[C@H](CC(=O)N(C)Cc1nnc[nH]1)C1CCN(C(=O)[O-])CC1 ZINC000824557202 604946017 /nfs/dbraw/zinc/94/60/17/604946017.db2.gz DQPDPPGQGAQKLQ-SNVBAGLBSA-N -1 1 309.370 1.179 20 0 DDADMM O=C([O-])[C@@H](O)C1CCN(CCOc2ccccc2Cl)CC1 ZINC000833770330 604980868 /nfs/dbraw/zinc/98/08/68/604980868.db2.gz DGTFXRXWWJEHSQ-AWEZNQCLSA-N -1 1 313.781 1.876 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N[C@@H](CC(F)F)C(=O)[O-] ZINC000833689803 604997512 /nfs/dbraw/zinc/99/75/12/604997512.db2.gz XTRRVTTXEZPMKH-QMMMGPOBSA-N -1 1 306.334 1.648 20 0 DDADMM CC(C)c1nc([C@@H](C)NC(=O)c2cccc(C(=O)[O-])n2)n[nH]1 ZINC000833512319 605023443 /nfs/dbraw/zinc/02/34/43/605023443.db2.gz LLQUDEZFQFQNJD-MRVPVSSYSA-N -1 1 303.322 1.512 20 0 DDADMM CC(C)(C)c1cc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])no1 ZINC000833446571 605073767 /nfs/dbraw/zinc/07/37/67/605073767.db2.gz DFKIBSLYOVGSRT-JTQLQIEISA-N -1 1 306.322 1.121 20 0 DDADMM CC(C)Cn1cc(C(=O)N2CCN(CCC(=O)[O-])[C@@H](C)C2)cn1 ZINC000833493908 605128748 /nfs/dbraw/zinc/12/87/48/605128748.db2.gz MBAQAHGWISAGTE-ZDUSSCGKSA-N -1 1 322.409 1.160 20 0 DDADMM CC(C)c1nn(C)cc1C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833511852 605161366 /nfs/dbraw/zinc/16/13/66/605161366.db2.gz IQXYLHSPXQSENB-GFCCVEGCSA-N -1 1 322.409 1.165 20 0 DDADMM C[C@H]1CN(C(=O)/C=C\c2ccsc2)CCN1CCC(=O)[O-] ZINC000833617535 605278406 /nfs/dbraw/zinc/27/84/06/605278406.db2.gz DOPQDZOCGOPCKJ-DLGQBQFBSA-N -1 1 308.403 1.769 20 0 DDADMM C[C@]1(NC(=O)[O-])CCCC[C@@H]1C(=O)N[C@@H]1CCc2[nH]cnc2C1 ZINC000833824616 605323057 /nfs/dbraw/zinc/32/30/57/605323057.db2.gz GGKFRNGNSAPCJQ-UVWXRNBGSA-N -1 1 320.393 1.600 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCC([C@@H](O)C(F)(F)F)CC2)O1 ZINC000833585590 605358175 /nfs/dbraw/zinc/35/81/75/605358175.db2.gz YMEUOOYMEHBUGF-CMPLNLGQSA-N -1 1 323.311 1.769 20 0 DDADMM CSCC[C@@H](NC(=O)[O-])C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000829730457 605434838 /nfs/dbraw/zinc/43/48/38/605434838.db2.gz MBFDIIIBCLSEFY-LDYMZIIASA-N -1 1 314.411 1.155 20 0 DDADMM O=C([O-])N1CC[C@@H](CCC(=O)N2CCN3CCCC[C@H]3C2)C1 ZINC000834078430 605439720 /nfs/dbraw/zinc/43/97/20/605439720.db2.gz LIFZOTMQTCSILG-KGLIPLIRSA-N -1 1 309.410 1.463 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCN(C[C@H]3CCCO3)CC2)cn1 ZINC000834259905 605466922 /nfs/dbraw/zinc/46/69/22/605466922.db2.gz INIUQYPFNDYWCP-CQSZACIVSA-N -1 1 320.393 1.468 20 0 DDADMM CC(=O)NC[C@@H]1CCCN(Cc2ccc(NC(=O)[O-])nc2)C1 ZINC000823575687 605493336 /nfs/dbraw/zinc/49/33/36/605493336.db2.gz WKXCFOFJTCOOKA-LBPRGKRZSA-N -1 1 306.366 1.520 20 0 DDADMM C[C@@H](Sc1nc(-c2ccc3c(c2)OCCO3)n[nH]1)C(=O)[O-] ZINC000820200122 605586149 /nfs/dbraw/zinc/58/61/49/605586149.db2.gz XCOIMDHEPMRGFX-SSDOTTSWSA-N -1 1 307.331 1.808 20 0 DDADMM C[C@H](Sc1nc(-c2ccc3c(c2)OCCO3)n[nH]1)C(=O)[O-] ZINC000820200123 605586492 /nfs/dbraw/zinc/58/64/92/605586492.db2.gz XCOIMDHEPMRGFX-ZETCQYMHSA-N -1 1 307.331 1.808 20 0 DDADMM Cn1cc([C@@H](N[C@H]2CCN(C(=O)[O-])C2)c2cccc(F)c2)cn1 ZINC000833946940 605612159 /nfs/dbraw/zinc/61/21/59/605612159.db2.gz OVCBRZLACQMAFM-GJZGRUSLSA-N -1 1 318.352 1.991 20 0 DDADMM O=C([O-])N1CCC[C@H]1[C@@H]1CCCCN1CC(=O)N1CCCC1 ZINC000834008434 605670785 /nfs/dbraw/zinc/67/07/85/605670785.db2.gz ZHPZPOVLFFETAE-KBPBESRZSA-N -1 1 309.410 1.606 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@H]1CCCN(C(=O)[O-])C1)c1ccccc1 ZINC000737068824 605710911 /nfs/dbraw/zinc/71/09/11/605710911.db2.gz JZYGDZMHGUQSEW-LSDHHAIUSA-N -1 1 319.405 1.938 20 0 DDADMM COc1cc(CN(C)CCN(C)C(=O)[O-])cc2c1OCCO2 ZINC000833886672 605728461 /nfs/dbraw/zinc/72/84/61/605728461.db2.gz CZWCGQKDZKAFSH-UHFFFAOYSA-N -1 1 310.350 1.508 20 0 DDADMM CNC(=O)c1cccc(CN2CC[C@@H](NC(=O)[O-])C[C@H]2C)c1 ZINC000833876645 605769216 /nfs/dbraw/zinc/76/92/16/605769216.db2.gz IGJFFXPAHMLZJH-BXUZGUMPSA-N -1 1 305.378 1.667 20 0 DDADMM O=C([O-])N1CC[C@H](N(C(=O)c2cc(C3CC3)[nH]n2)C2CC2)C1 ZINC000831935910 605785458 /nfs/dbraw/zinc/78/54/58/605785458.db2.gz WWVIENGYGGVBEP-NSHDSACASA-N -1 1 304.350 1.644 20 0 DDADMM O=C([O-])N1CCC2(CCN(CN3C[C@@H](C4CC4)CC3=O)C2)CC1 ZINC000834091719 605862698 /nfs/dbraw/zinc/86/26/98/605862698.db2.gz HKCVDCUYSQKARF-AWEZNQCLSA-N -1 1 321.421 1.668 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NC(C1CC1)C1CC1 ZINC000833833454 605902945 /nfs/dbraw/zinc/90/29/45/605902945.db2.gz YHPHPACZKBMOJH-WDEREUQCSA-N -1 1 309.410 1.269 20 0 DDADMM CCCN(C)CC(=O)Nc1cnc2c(c1)CN(C(=O)[O-])CC2 ZINC000833854639 605916905 /nfs/dbraw/zinc/91/69/05/605916905.db2.gz YKTDJZVCHFDHKA-UHFFFAOYSA-N -1 1 306.366 1.398 20 0 DDADMM O=C([O-])N1CCC[C@@H](NCc2nnnn2-c2ccccc2)CC1 ZINC000834108641 606037634 /nfs/dbraw/zinc/03/76/34/606037634.db2.gz WYCZJOAHNZRORP-GFCCVEGCSA-N -1 1 316.365 1.284 20 0 DDADMM CC(C)n1ccc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])n1 ZINC000820214451 606195454 /nfs/dbraw/zinc/19/54/54/606195454.db2.gz CKVBGFLTKOBTRX-UHFFFAOYSA-N -1 1 313.321 1.602 20 0 DDADMM O=C(CCOc1ccccc1F)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000822974650 606530859 /nfs/dbraw/zinc/53/08/59/606530859.db2.gz WWFJLXNDHWUDDQ-UHFFFAOYSA-N -1 1 317.284 1.137 20 0 DDADMM O=C(CCOc1ccccc1F)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000822974650 606530861 /nfs/dbraw/zinc/53/08/61/606530861.db2.gz WWFJLXNDHWUDDQ-UHFFFAOYSA-N -1 1 317.284 1.137 20 0 DDADMM CN(C[C@H]1CCCOC1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821596485 606555004 /nfs/dbraw/zinc/55/50/04/606555004.db2.gz LOKNPORNWSGZNH-SECBINFHSA-N -1 1 307.379 1.427 20 0 DDADMM CN(C[C@H]1CCCOC1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821596485 606555005 /nfs/dbraw/zinc/55/50/05/606555005.db2.gz LOKNPORNWSGZNH-SECBINFHSA-N -1 1 307.379 1.427 20 0 DDADMM CCCC(O)(CCC)CNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821021299 606568109 /nfs/dbraw/zinc/56/81/09/606568109.db2.gz NAZNRINFFMIWKH-UHFFFAOYSA-N -1 1 323.422 1.989 20 0 DDADMM CCCC(O)(CCC)CNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821021299 606568111 /nfs/dbraw/zinc/56/81/11/606568111.db2.gz NAZNRINFFMIWKH-UHFFFAOYSA-N -1 1 323.422 1.989 20 0 DDADMM c1csc([C@@H]2CN(c3nccnc3-c3nnn[n-]3)CCO2)c1 ZINC000823816796 606726702 /nfs/dbraw/zinc/72/67/02/606726702.db2.gz YQZVZJZXXXKNRA-VIFPVBQESA-N -1 1 315.362 1.296 20 0 DDADMM c1csc([C@@H]2CN(c3nccnc3-c3nn[n-]n3)CCO2)c1 ZINC000823816796 606726703 /nfs/dbraw/zinc/72/67/03/606726703.db2.gz YQZVZJZXXXKNRA-VIFPVBQESA-N -1 1 315.362 1.296 20 0 DDADMM Cc1nc(CC(=O)Nc2ncc(-c3nnn[n-]3)s2)cs1 ZINC000822437178 607024375 /nfs/dbraw/zinc/02/43/75/607024375.db2.gz XQBOVBVYMMYCJQ-UHFFFAOYSA-N -1 1 307.364 1.269 20 0 DDADMM Cc1nc(CC(=O)Nc2ncc(-c3nn[n-]n3)s2)cs1 ZINC000822437178 607024377 /nfs/dbraw/zinc/02/43/77/607024377.db2.gz XQBOVBVYMMYCJQ-UHFFFAOYSA-N -1 1 307.364 1.269 20 0 DDADMM Cc1cc(NC(=O)c2ccc(-c3nnn[n-]3)s2)c[nH]c1=O ZINC000826270504 607955854 /nfs/dbraw/zinc/95/58/54/607955854.db2.gz CTTRHNAWTKDHLS-UHFFFAOYSA-N -1 1 302.319 1.590 20 0 DDADMM Cc1cc(NC(=O)c2ccc(-c3nn[n-]n3)s2)c[nH]c1=O ZINC000826270504 607955855 /nfs/dbraw/zinc/95/58/55/607955855.db2.gz CTTRHNAWTKDHLS-UHFFFAOYSA-N -1 1 302.319 1.590 20 0 DDADMM CCCCC[C@H](O)CC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000825268389 607998007 /nfs/dbraw/zinc/99/80/07/607998007.db2.gz UKXYQWZAFZKUEX-NSHDSACASA-N -1 1 319.365 1.842 20 0 DDADMM Cc1ccc(Cl)cc1NC(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000826289091 608013377 /nfs/dbraw/zinc/01/33/77/608013377.db2.gz KHABMISPUPCYOR-UHFFFAOYSA-N -1 1 317.740 1.664 20 0 DDADMM Cc1ccc(Cl)cc1NC(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000826289091 608013378 /nfs/dbraw/zinc/01/33/78/608013378.db2.gz KHABMISPUPCYOR-UHFFFAOYSA-N -1 1 317.740 1.664 20 0 DDADMM CCc1nn(C)c(OC)c1CNCc1ccc(-c2nnn[n-]2)o1 ZINC000825759436 608251642 /nfs/dbraw/zinc/25/16/42/608251642.db2.gz GUVCVRWXEZMEGX-UHFFFAOYSA-N -1 1 317.353 1.054 20 0 DDADMM CCc1nn(C)c(OC)c1CNCc1ccc(-c2nn[n-]n2)o1 ZINC000825759436 608251644 /nfs/dbraw/zinc/25/16/44/608251644.db2.gz GUVCVRWXEZMEGX-UHFFFAOYSA-N -1 1 317.353 1.054 20 0 DDADMM c1cn(CCCNc2c3ccccc3nnc2-c2nnn[n-]2)cn1 ZINC000826523358 608365220 /nfs/dbraw/zinc/36/52/20/608365220.db2.gz KACVJPJMDBIBRP-UHFFFAOYSA-N -1 1 321.348 1.509 20 0 DDADMM c1cn(CCCNc2c3ccccc3nnc2-c2nn[n-]n2)cn1 ZINC000826523358 608365222 /nfs/dbraw/zinc/36/52/22/608365222.db2.gz KACVJPJMDBIBRP-UHFFFAOYSA-N -1 1 321.348 1.509 20 0 DDADMM FC(F)Oc1ccc(CNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826369890 608391921 /nfs/dbraw/zinc/39/19/21/608391921.db2.gz UYVJOLBBAXSOHM-UHFFFAOYSA-N -1 1 319.275 1.870 20 0 DDADMM FC(F)Oc1ccc(CNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826369890 608391923 /nfs/dbraw/zinc/39/19/23/608391923.db2.gz UYVJOLBBAXSOHM-UHFFFAOYSA-N -1 1 319.275 1.870 20 0 DDADMM c1ccc2c(c1)C[C@H](CNc1ccc(-c3nnn[n-]3)nn1)CO2 ZINC000826523353 608393013 /nfs/dbraw/zinc/39/30/13/608393013.db2.gz JSIHJWJJRYWEAQ-SNVBAGLBSA-N -1 1 309.333 1.320 20 0 DDADMM c1ccc2c(c1)C[C@H](CNc1ccc(-c3nn[n-]n3)nn1)CO2 ZINC000826523353 608393015 /nfs/dbraw/zinc/39/30/15/608393015.db2.gz JSIHJWJJRYWEAQ-SNVBAGLBSA-N -1 1 309.333 1.320 20 0 DDADMM CCN(CCNc1ccc(-c2nnn[n-]2)nn1)c1ccccc1C ZINC000825424208 608399757 /nfs/dbraw/zinc/39/97/57/608399757.db2.gz AQJQXWSRAQUWJF-UHFFFAOYSA-N -1 1 324.392 1.904 20 0 DDADMM CCN(CCNc1ccc(-c2nn[n-]n2)nn1)c1ccccc1C ZINC000825424208 608399759 /nfs/dbraw/zinc/39/97/59/608399759.db2.gz AQJQXWSRAQUWJF-UHFFFAOYSA-N -1 1 324.392 1.904 20 0 DDADMM CC(C)(C)[C@H]1OCCC[C@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000824078046 608420627 /nfs/dbraw/zinc/42/06/27/608420627.db2.gz HIQVCTYKLTYSFZ-GWCFXTLKSA-N -1 1 317.397 1.910 20 0 DDADMM CC(C)(C)[C@H]1OCCC[C@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000824078046 608420628 /nfs/dbraw/zinc/42/06/28/608420628.db2.gz HIQVCTYKLTYSFZ-GWCFXTLKSA-N -1 1 317.397 1.910 20 0 DDADMM CCS[C@@H]1CC[C@@H](N(C)c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000825668866 608426659 /nfs/dbraw/zinc/42/66/59/608426659.db2.gz LKFUIZSAMLRXIK-NXEZZACHSA-N -1 1 305.411 1.767 20 0 DDADMM CCS[C@@H]1CC[C@@H](N(C)c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000825668866 608426661 /nfs/dbraw/zinc/42/66/61/608426661.db2.gz LKFUIZSAMLRXIK-NXEZZACHSA-N -1 1 305.411 1.767 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@H]1CCO[C@]2(CCSC2)C1 ZINC000826514395 608434784 /nfs/dbraw/zinc/43/47/84/608434784.db2.gz QDWBZEOLMBGCTP-TVQRCGJNSA-N -1 1 319.394 1.123 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@H]1CCO[C@]2(CCSC2)C1 ZINC000826514395 608434786 /nfs/dbraw/zinc/43/47/86/608434786.db2.gz QDWBZEOLMBGCTP-TVQRCGJNSA-N -1 1 319.394 1.123 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@@H]1CCO[C@]2(CCSC2)C1 ZINC000826514393 608435265 /nfs/dbraw/zinc/43/52/65/608435265.db2.gz QDWBZEOLMBGCTP-NOZJJQNGSA-N -1 1 319.394 1.123 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@@H]1CCO[C@]2(CCSC2)C1 ZINC000826514393 608435268 /nfs/dbraw/zinc/43/52/68/608435268.db2.gz QDWBZEOLMBGCTP-NOZJJQNGSA-N -1 1 319.394 1.123 20 0 DDADMM CC(C)(C)[C@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)CCO1 ZINC000824079908 608437895 /nfs/dbraw/zinc/43/78/95/608437895.db2.gz SEBAYRZKMJQHHF-GXSJLCMTSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)(C)[C@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)CCO1 ZINC000824079908 608437897 /nfs/dbraw/zinc/43/78/97/608437897.db2.gz SEBAYRZKMJQHHF-GXSJLCMTSA-N -1 1 303.370 1.662 20 0 DDADMM CCC[C@@H]1C(=O)NCCN1Cc1ccc(-c2nn[n-]n2)s1 ZINC000825248604 608734521 /nfs/dbraw/zinc/73/45/21/608734521.db2.gz WTNMDYAVZPZOMZ-SNVBAGLBSA-N -1 1 306.395 1.029 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971895348 695118395 /nfs/dbraw/zinc/11/83/95/695118395.db2.gz BVHDTTRVMOYHSZ-JHJVBQTASA-N -1 1 317.389 1.506 20 0 DDADMM CC[C@@]1(C)C[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973197329 695443863 /nfs/dbraw/zinc/44/38/63/695443863.db2.gz DFTSZPSSSUOZCJ-VFFPBDHRSA-N -1 1 317.389 1.600 20 0 DDADMM CC1(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CCCC1 ZINC000973200153 695444609 /nfs/dbraw/zinc/44/46/09/695444609.db2.gz YLPVOMQTPFWGBD-HAQNSBGRSA-N -1 1 317.389 1.745 20 0 DDADMM O=C(CC1CCCC1)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000973820836 695552910 /nfs/dbraw/zinc/55/29/10/695552910.db2.gz LFJQVIQGGULVHF-BETUJISGSA-N -1 1 317.389 1.745 20 0 DDADMM CC1(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)CCC1 ZINC000974054975 695629190 /nfs/dbraw/zinc/62/91/90/695629190.db2.gz WXEJPYZSSMSSBO-PHIMTYICSA-N -1 1 303.362 1.354 20 0 DDADMM CC1(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)CC=CC1 ZINC000974058540 695629535 /nfs/dbraw/zinc/62/95/35/695629535.db2.gz VJAQIBKIMFEAHK-TXEJJXNPSA-N -1 1 315.373 1.521 20 0 DDADMM CO[C@@H]1CCN(C(=O)N[C@@H]2CCCc3cn[nH]c32)[C@H](C(=O)[O-])C1 ZINC000797725944 700021250 /nfs/dbraw/zinc/02/12/50/700021250.db2.gz XPGNWBOKDGARGK-UTUOFQBUSA-N -1 1 322.365 1.061 20 0 DDADMM C[C@@H](C(=O)[O-])N(C(=O)N[C@H]1CCCc2cn[nH]c21)C1CCC1 ZINC000797723700 700020959 /nfs/dbraw/zinc/02/09/59/700020959.db2.gz FXVUITHOGHRAGX-CABZTGNLSA-N -1 1 306.366 1.824 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975252699 695820489 /nfs/dbraw/zinc/82/04/89/695820489.db2.gz HNXWKQIELFHAMQ-AVGNSLFASA-N -1 1 317.389 1.412 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2CCCC2)cc1 ZINC000010809328 696041249 /nfs/dbraw/zinc/04/12/49/696041249.db2.gz RZGKSUYETSAHSU-UHFFFAOYSA-N -1 1 305.330 1.685 20 0 DDADMM COc1cccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1 ZINC000011479305 696045539 /nfs/dbraw/zinc/04/55/39/696045539.db2.gz DCTHZKIMJKJNFU-UHFFFAOYSA-N -1 1 313.309 1.809 20 0 DDADMM O=C(COC(=O)c1cccc(F)c1)N[N-]C(=O)c1cccs1 ZINC000039585203 696137805 /nfs/dbraw/zinc/13/78/05/696137805.db2.gz LVZGWBOWRCHVEI-UHFFFAOYSA-N -1 1 322.317 1.505 20 0 DDADMM Cc1noc(CCNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n1 ZINC000747370428 700066531 /nfs/dbraw/zinc/06/65/31/700066531.db2.gz FYWYKFDZJNRPJZ-UHFFFAOYSA-N -1 1 305.338 1.278 20 0 DDADMM CSc1nc(C)c(CCC(=O)NN=c2nccc[nH]2)c(=O)[n-]1 ZINC000044716870 696167893 /nfs/dbraw/zinc/16/78/93/696167893.db2.gz ZNFGIMJYNQXFOF-UHFFFAOYSA-N -1 1 320.378 1.078 20 0 DDADMM CN(C[C@H]1CCN1C(=O)C1CCC1)C(=O)c1ncccc1[O-] ZINC000977618817 696236687 /nfs/dbraw/zinc/23/66/87/696236687.db2.gz PCKSXCNJJNRRMJ-GFCCVEGCSA-N -1 1 303.362 1.260 20 0 DDADMM CC(C)CO[C@H](C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000051488709 696241823 /nfs/dbraw/zinc/24/18/23/696241823.db2.gz CMCZMUUTASAOSY-GFCCVEGCSA-N -1 1 307.346 1.547 20 0 DDADMM CC(C)N(C)S(=O)(=O)[N-]c1ccn(Cc2ccccc2)n1 ZINC000747606158 700077630 /nfs/dbraw/zinc/07/76/30/700077630.db2.gz HSPCQYSLYGMXHO-UHFFFAOYSA-N -1 1 308.407 1.928 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)CCc2ccccc2)n1 ZINC000747617032 700077891 /nfs/dbraw/zinc/07/78/91/700077891.db2.gz LTQWLPXKAGYLRN-UHFFFAOYSA-N -1 1 322.390 1.283 20 0 DDADMM CCOCCO[C@@H](C)C(=O)NCc1n[n-]c(=S)n1C(C)C ZINC000066639064 696354662 /nfs/dbraw/zinc/35/46/62/696354662.db2.gz XYLQRXWREBPQBZ-JTQLQIEISA-N -1 1 316.427 1.579 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=S)NCCc2ccccc2)n1 ZINC000747653414 700080092 /nfs/dbraw/zinc/08/00/92/700080092.db2.gz BYRYZINFAIYTTE-UHFFFAOYSA-N -1 1 317.418 1.827 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N(C)C[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000978443094 696383409 /nfs/dbraw/zinc/38/34/09/696383409.db2.gz PDXMFZYCVRCMBW-FRRDWIJNSA-N -1 1 317.389 1.506 20 0 DDADMM COc1ccc(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)cn1 ZINC000078776330 696455554 /nfs/dbraw/zinc/45/55/54/696455554.db2.gz RZCKPVVNSLMWDD-UHFFFAOYSA-N -1 1 302.334 1.858 20 0 DDADMM CC(C)c1ccc(CCNC(=O)Cc2sc(N)nc2[O-])cc1 ZINC000079295866 696459681 /nfs/dbraw/zinc/45/96/81/696459681.db2.gz IISZUVKHYDPRSE-ZDUSSCGKSA-N -1 1 319.430 1.816 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)c1ncccc1OC(C)C ZINC000079908392 696464944 /nfs/dbraw/zinc/46/49/44/696464944.db2.gz FGUINWIKIUMSGB-UHFFFAOYSA-N -1 1 321.406 1.861 20 0 DDADMM CCN(C)c1ccccc1CNC(=O)Cc1sc(N)nc1[O-] ZINC000080104208 696530815 /nfs/dbraw/zinc/53/08/15/696530815.db2.gz JEZXOLNJDIARIR-GFCCVEGCSA-N -1 1 320.418 1.106 20 0 DDADMM CCc1ccc(CCNC(=O)Cc2sc(N)nc2[O-])cc1 ZINC000080408487 696534113 /nfs/dbraw/zinc/53/41/13/696534113.db2.gz PLSVRIJYKQBHMZ-GFCCVEGCSA-N -1 1 305.403 1.255 20 0 DDADMM Cn1[n-]c(=O)c2c1nc(C1CC1)cc2C(=O)NCc1ccon1 ZINC000082993215 696549444 /nfs/dbraw/zinc/54/94/44/696549444.db2.gz YQQZMQMFBVKDTN-UHFFFAOYSA-N -1 1 313.317 1.057 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)c(C)s1 ZINC000798821889 700099422 /nfs/dbraw/zinc/09/94/22/700099422.db2.gz OCCKORSGZRXXHT-GFCCVEGCSA-N -1 1 318.464 1.756 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1CC1)c1cc(F)ccc1F ZINC000748058443 700097352 /nfs/dbraw/zinc/09/73/52/700097352.db2.gz JFBQPVCZVOUKTP-LBPRGKRZSA-N -1 1 319.329 1.508 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCc2cccs2)o1 ZINC000113475671 696657404 /nfs/dbraw/zinc/65/74/04/696657404.db2.gz SNLOXZYYYDXQIV-UHFFFAOYSA-N -1 1 315.372 1.649 20 0 DDADMM CC(C)CS(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N1CCCCC1 ZINC000124286012 696740968 /nfs/dbraw/zinc/74/09/68/696740968.db2.gz UNQXLKVJQRAGMT-CYBMUJFWSA-N -1 1 304.456 1.599 20 0 DDADMM O=C(NCCNC(=O)c1c([O-])cccc1Cl)c1ccco1 ZINC000145226946 696871685 /nfs/dbraw/zinc/87/16/85/696871685.db2.gz RLAHMXXEPQYRMX-UHFFFAOYSA-N -1 1 308.721 1.798 20 0 DDADMM COCCO[N-]C(=O)[C@@]1(C)CCCN(C(=O)c2ccccc2)C1 ZINC000748766811 700133023 /nfs/dbraw/zinc/13/30/23/700133023.db2.gz BBTIUOOYPPRRKA-KRWDZBQOSA-N -1 1 320.389 1.623 20 0 DDADMM CCCC(=O)N1CCC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000983811976 697303076 /nfs/dbraw/zinc/30/30/76/697303076.db2.gz MYFPVZCGPTYLJH-GFCCVEGCSA-N -1 1 305.378 1.650 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)OCc2ccccc2)co1 ZINC000161237559 697322416 /nfs/dbraw/zinc/32/24/16/697322416.db2.gz HMKCUVNUZBVHSN-UHFFFAOYSA-N -1 1 324.358 1.392 20 0 DDADMM O=C(NCc1ccc(N2CCCC2=O)cc1)c1ncccc1[O-] ZINC000171237582 697355948 /nfs/dbraw/zinc/35/59/48/697355948.db2.gz IOOQTMKSLGSONO-UHFFFAOYSA-N -1 1 311.341 1.844 20 0 DDADMM C[C@@H](CN(C)C(=O)COc1ccc2c(c1)CCC2)c1nn[n-]n1 ZINC000183432265 697488080 /nfs/dbraw/zinc/48/80/80/697488080.db2.gz MQMYWDRSCHWBKE-NSHDSACASA-N -1 1 315.377 1.329 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)C2=Cc3ccccc3OC2)no1 ZINC000188051975 697553378 /nfs/dbraw/zinc/55/33/78/697553378.db2.gz OESKALFZKFVBLQ-UHFFFAOYSA-N -1 1 307.331 1.231 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]OC[C@@H]1CCOC1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000188327240 697557210 /nfs/dbraw/zinc/55/72/10/697557210.db2.gz WZDAUFPZKAOIGY-BARDWOONSA-N -1 1 314.426 1.205 20 0 DDADMM N#CC(C(=O)Nc1ccccn1)=C([O-])c1cn2ccnc2s1 ZINC000190773819 697596100 /nfs/dbraw/zinc/59/61/00/697596100.db2.gz DNYORHIURSSXCE-VIFPVBQESA-N -1 1 311.326 1.752 20 0 DDADMM CCONC(=O)c1cc(I)ccc1[O-] ZINC000192908600 697644580 /nfs/dbraw/zinc/64/45/80/697644580.db2.gz QDYGOHGOIRRMIM-UHFFFAOYSA-N -1 1 307.087 1.678 20 0 DDADMM O=C(C[C@@H](O)c1cc(Cl)cc(Cl)c1)NCc1nn[n-]n1 ZINC000772678168 697659843 /nfs/dbraw/zinc/65/98/43/697659843.db2.gz JSDJAPGJJXTULT-SECBINFHSA-N -1 1 316.148 1.246 20 0 DDADMM O=C(CNC(=O)c1cccnc1)Nc1nc(Cl)ccc1[O-] ZINC000194580967 697714367 /nfs/dbraw/zinc/71/43/67/697714367.db2.gz ASLKVMAKCNPBKO-UHFFFAOYSA-N -1 1 306.709 1.204 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1=CCCCCC1 ZINC000986187024 697725388 /nfs/dbraw/zinc/72/53/88/697725388.db2.gz JNQBRGFZAKKLSP-DGCLKSJQSA-N -1 1 319.409 1.480 20 0 DDADMM CS[C@H](CO)[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000230352788 697783094 /nfs/dbraw/zinc/78/30/94/697783094.db2.gz XJABGRVGSHRYGN-PSASIEDQSA-N -1 1 313.423 1.044 20 0 DDADMM Cc1cccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1N ZINC000774895841 697939310 /nfs/dbraw/zinc/93/93/10/697939310.db2.gz WWNGTZAJSDMAAR-UHFFFAOYSA-N -1 1 304.268 1.803 20 0 DDADMM O=C(COC1CCCCC1)OCCC[N-]C(=O)C(F)(F)F ZINC000774932593 697943607 /nfs/dbraw/zinc/94/36/07/697943607.db2.gz NULKNXMCKNFKDF-UHFFFAOYSA-N -1 1 311.300 1.948 20 0 DDADMM O=C(CC[C@@H]1CCCCO1)OCCC[N-]C(=O)C(F)(F)F ZINC000774949259 697945968 /nfs/dbraw/zinc/94/59/68/697945968.db2.gz PRANKZGJXDEIAI-JTQLQIEISA-N -1 1 311.300 1.948 20 0 DDADMM C[C@H]1CC[C@@H](CCC(=O)OCCC[N-]C(=O)C(F)(F)F)O1 ZINC000774956966 697947149 /nfs/dbraw/zinc/94/71/49/697947149.db2.gz BTINARVLGUGNML-UWVGGRQHSA-N -1 1 311.300 1.946 20 0 DDADMM O=C([N-]OC1CCCC1)[C@@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000775273473 697977761 /nfs/dbraw/zinc/97/77/61/697977761.db2.gz HUWQAJHWWWVCFG-GFCCVEGCSA-N -1 1 303.362 1.421 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000776566967 698118251 /nfs/dbraw/zinc/11/82/51/698118251.db2.gz YVGFSYAXZNBGFL-SKDRFNHKSA-N -1 1 321.381 1.759 20 0 DDADMM Cc1ccc([C@@H](C)C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])o1 ZINC000777444697 698191529 /nfs/dbraw/zinc/19/15/29/698191529.db2.gz UYQHQEDOKLDUQG-SECBINFHSA-N -1 1 324.358 1.683 20 0 DDADMM COC[C@@H]([N-]C(=O)C(F)(F)c1ccc(F)cc1)C(=O)OC ZINC000778504734 698342796 /nfs/dbraw/zinc/34/27/96/698342796.db2.gz RKAWHXWXYZDSQR-SNVBAGLBSA-N -1 1 305.252 1.222 20 0 DDADMM CC[C@@H](CO)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000779827578 698473150 /nfs/dbraw/zinc/47/31/50/698473150.db2.gz JVKBRPJEPOYFLD-QMMMGPOBSA-N -1 1 304.343 1.154 20 0 DDADMM CC(C)(NC(=O)NC[C@@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000780343204 698508628 /nfs/dbraw/zinc/50/86/28/698508628.db2.gz GIRPPQQSYOVHAB-LBPRGKRZSA-N -1 1 323.393 1.969 20 0 DDADMM CC(C)[C@H](NC(=O)NC[C@@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000780344917 698509102 /nfs/dbraw/zinc/50/91/02/698509102.db2.gz YDLZAVPUOITWHY-JSGCOSHPSA-N -1 1 323.393 1.825 20 0 DDADMM Cc1cnc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c(C)c1 ZINC000780396366 698515338 /nfs/dbraw/zinc/51/53/38/698515338.db2.gz BZCBCXJXPSPGPH-AWEZNQCLSA-N -1 1 308.345 1.731 20 0 DDADMM O=C([O-])[C@@H](CC(F)F)NC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000780682253 698547644 /nfs/dbraw/zinc/54/76/44/698547644.db2.gz AMRHFNAPQWGNNM-HTQZYQBOSA-N -1 1 302.281 1.195 20 0 DDADMM CCc1nnc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1C ZINC000800727362 700241926 /nfs/dbraw/zinc/24/19/26/700241926.db2.gz HFUXNZRTRHPXMC-UHFFFAOYSA-N -1 1 319.331 1.272 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](CO)OC(C)(C)C1 ZINC000323585690 698741023 /nfs/dbraw/zinc/74/10/23/698741023.db2.gz WMOBTBAYYMCJJM-NSHDSACASA-N -1 1 323.393 1.226 20 0 DDADMM O=C([N-]C1CN(C(=O)c2cncc(C(F)(F)F)c2)C1)C(F)F ZINC000990024465 698903386 /nfs/dbraw/zinc/90/33/86/698903386.db2.gz WOMCJARCIFQQDM-UHFFFAOYSA-N -1 1 323.221 1.306 20 0 DDADMM COc1cc(C(=O)NC[C@@H]2COC(C)(C)O2)cc(Cl)c1[O-] ZINC000785415488 699075140 /nfs/dbraw/zinc/07/51/40/699075140.db2.gz ZJHHMUMINHEEEO-SECBINFHSA-N -1 1 315.753 1.936 20 0 DDADMM CN(C)c1ccc(NC(=O)c2cncc([O-])c2)c(C(N)=O)c1 ZINC000786504142 699151234 /nfs/dbraw/zinc/15/12/34/699151234.db2.gz DVQYJBRDXCJKTB-UHFFFAOYSA-N -1 1 300.318 1.204 20 0 DDADMM O=C(Cc1ccccc1CO)OCCC[N-]C(=O)C(F)(F)F ZINC000787059588 699188189 /nfs/dbraw/zinc/18/81/89/699188189.db2.gz RQGDFKVONYGWMH-UHFFFAOYSA-N -1 1 319.279 1.333 20 0 DDADMM CC1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CCCC1 ZINC000990975581 699197580 /nfs/dbraw/zinc/19/75/80/699197580.db2.gz URXGHQPGTQAGII-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM Cc1cccnc1C(F)(F)C(=O)[N-][C@H](CO)CC(F)(F)F ZINC000787485687 699213908 /nfs/dbraw/zinc/21/39/08/699213908.db2.gz LLOGFNIZYXNVOB-QMMMGPOBSA-N -1 1 312.238 1.911 20 0 DDADMM O=C([O-])[C@]1(O)CCN(CCOc2ccc(Cl)cc2Cl)C1 ZINC000706435876 699243660 /nfs/dbraw/zinc/24/36/60/699243660.db2.gz VJYUKDJVJSPGES-ZDUSSCGKSA-N -1 1 320.172 1.894 20 0 DDADMM O=S(=O)([N-]CC[C@@H](O)C(F)(F)F)c1cccnc1Cl ZINC000716711860 699284181 /nfs/dbraw/zinc/28/41/81/699284181.db2.gz IDGVUTCRYWZKRW-SSDOTTSWSA-N -1 1 318.704 1.327 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2cccc(Cl)c2Cl)nc1=O ZINC000789251954 699373298 /nfs/dbraw/zinc/37/32/98/699373298.db2.gz RGAFBFFNDSZKDW-UHFFFAOYSA-N -1 1 316.144 1.701 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H](c1ccccc1)C1CC1 ZINC000726865401 699386013 /nfs/dbraw/zinc/38/60/13/699386013.db2.gz BTMDNBVVSGDHTO-HNNXBMFYSA-N -1 1 313.357 1.194 20 0 DDADMM CCCCc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000726864702 699386263 /nfs/dbraw/zinc/38/62/63/699386263.db2.gz HDENEUXORWBSCW-UHFFFAOYSA-N -1 1 315.373 1.908 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)c1cncc(Cl)c1)N(C)C ZINC000789804766 699411575 /nfs/dbraw/zinc/41/15/75/699411575.db2.gz UOTHJMPUDQDMKK-NSHDSACASA-N -1 1 319.814 1.126 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCC1CCCCC1 ZINC000727849783 699428127 /nfs/dbraw/zinc/42/81/27/699428127.db2.gz MOWBRJFBSLVFBC-UHFFFAOYSA-N -1 1 307.394 1.403 20 0 DDADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1c(F)cccc1F ZINC000727850478 699428321 /nfs/dbraw/zinc/42/83/21/699428321.db2.gz ZVGZWWREOPEFQU-SECBINFHSA-N -1 1 323.299 1.082 20 0 DDADMM O=C(C=Cc1cccc(OC2CCCC2)c1)NCc1nn[n-]n1 ZINC000732158286 699548426 /nfs/dbraw/zinc/54/84/26/699548426.db2.gz XOKXBTDBZOIXJW-HJWRWDBZSA-N -1 1 313.361 1.851 20 0 DDADMM COc1ccc(C(C)=O)c(OS(=O)(=O)c2c[n-]nc2C)c1 ZINC000732214953 699550609 /nfs/dbraw/zinc/55/06/09/699550609.db2.gz XEPCSKDUISOURW-UHFFFAOYSA-N -1 1 310.331 1.697 20 0 DDADMM COc1ccc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)cn1 ZINC000791089647 699611041 /nfs/dbraw/zinc/61/10/41/699611041.db2.gz NWMUVDMQFJQIBU-UHFFFAOYSA-N -1 1 312.333 1.067 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCc3nccs3)ccnc1-2 ZINC000791088269 699611118 /nfs/dbraw/zinc/61/11/18/699611118.db2.gz HIFDMYRPOQULTN-UHFFFAOYSA-N -1 1 302.363 1.163 20 0 DDADMM CCCCN(C)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000736453551 699726096 /nfs/dbraw/zinc/72/60/96/699726096.db2.gz QKTILUFRKNTYAS-UHFFFAOYSA-N -1 1 313.358 1.426 20 0 DDADMM C[C@@H](C(=O)N(CCC(=O)[O-])C1CC1)N1CCc2ccccc2C1 ZINC000737564200 699742064 /nfs/dbraw/zinc/74/20/64/699742064.db2.gz UODLCIXRWXUBKX-ZDUSSCGKSA-N -1 1 316.401 1.899 20 0 DDADMM CC(C)[C@H](CNC(=O)N1CCN(C2CCC2)CC1)NC(=O)[O-] ZINC000738781233 699765890 /nfs/dbraw/zinc/76/58/90/699765890.db2.gz BCQJCMULQCKSTJ-ZDUSSCGKSA-N -1 1 312.414 1.158 20 0 DDADMM COCC[C@@H](COC)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000793986865 699790668 /nfs/dbraw/zinc/79/06/68/699790668.db2.gz SQXJJQGFRHAXEC-NSHDSACASA-N -1 1 311.382 1.395 20 0 DDADMM COCC[C@H](NC(=O)c1c([O-])cccc1Cl)C(=O)OC ZINC000794254046 699799366 /nfs/dbraw/zinc/79/93/66/699799366.db2.gz HGDHQRVTUGBPRD-VIFPVBQESA-N -1 1 301.726 1.354 20 0 DDADMM Cn1ccc2ccc(NC(=O)CCn3cc[n-]c(=O)c3=O)cc21 ZINC000743318993 699907035 /nfs/dbraw/zinc/90/70/35/699907035.db2.gz NJORNUJQMZHPKI-UHFFFAOYSA-N -1 1 312.329 1.057 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)Cc2ccccc2)[n-]1 ZINC000796360840 699929062 /nfs/dbraw/zinc/92/90/62/699929062.db2.gz GNJKBOIKTUSLSS-UHFFFAOYSA-N -1 1 301.298 1.770 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1CSCCS1 ZINC000751063531 700267712 /nfs/dbraw/zinc/26/77/12/700267712.db2.gz NKGCVGZERNZSGT-GHMZBOCLSA-N -1 1 321.431 1.254 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCO[C@@H](C(=O)C2CC2)C1 ZINC000801259733 700285330 /nfs/dbraw/zinc/28/53/30/700285330.db2.gz LWLMGKISWMSKLK-GFCCVEGCSA-N -1 1 309.749 1.866 20 0 DDADMM CC[C@@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000751466079 700292207 /nfs/dbraw/zinc/29/22/07/700292207.db2.gz CKTXZRHPJWZYAV-BDAKNGLRSA-N -1 1 309.819 1.149 20 0 DDADMM COC(=O)CCCCC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000801354184 700300553 /nfs/dbraw/zinc/30/05/53/700300553.db2.gz DCKAHVVVHRCCLZ-UHFFFAOYSA-N -1 1 321.329 1.220 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCCn3cncn3)n2)cc1 ZINC000801366764 700300843 /nfs/dbraw/zinc/30/08/43/700300843.db2.gz JXMGHHCJYUDHIH-UHFFFAOYSA-N -1 1 313.317 1.335 20 0 DDADMM COC(=O)[C@H](C)OC(=O)c1nn(-c2ccc(C)cc2)cc1[O-] ZINC000801369504 700301538 /nfs/dbraw/zinc/30/15/38/700301538.db2.gz PSIPEGLQBRIXKV-JTQLQIEISA-N -1 1 304.302 1.605 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)OCc3ccnn3C)n2)cc1 ZINC000801370620 700301549 /nfs/dbraw/zinc/30/15/49/700301549.db2.gz QKQDGTLZTUIGHM-UHFFFAOYSA-N -1 1 312.329 1.977 20 0 DDADMM Cc1ccc(-n2cc([O-])c(C(=O)O[C@H]3CCCNC3=O)n2)cc1 ZINC000801368045 700301576 /nfs/dbraw/zinc/30/15/76/700301576.db2.gz SGOYAWROWNEGPK-ZDUSSCGKSA-N -1 1 315.329 1.322 20 0 DDADMM CC(C)Oc1cncc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000801703418 700333161 /nfs/dbraw/zinc/33/31/61/700333161.db2.gz NBLGQYBWIPGUAA-UHFFFAOYSA-N -1 1 311.345 1.776 20 0 DDADMM O=C(CN1CC[C@H](OC(F)F)C1)[N-]OCc1ccccc1 ZINC000801988897 700362026 /nfs/dbraw/zinc/36/20/26/700362026.db2.gz VWDXQBKZCPADAS-LBPRGKRZSA-N -1 1 300.305 1.548 20 0 DDADMM CC(C)(CNC(=O)CCn1cc[n-]c(=O)c1=O)C1CCCC1 ZINC000753138619 700409926 /nfs/dbraw/zinc/40/99/26/700409926.db2.gz QTAUNQNGSMTXOW-UHFFFAOYSA-N -1 1 307.394 1.259 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)CO[C@@H]1CCOC1 ZINC000753784343 700456838 /nfs/dbraw/zinc/45/68/38/700456838.db2.gz DJJLLAWDXDXDAH-ZYHUDNBSSA-N -1 1 323.393 1.538 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)CO[C@@H]1CCOC1 ZINC000753784298 700456940 /nfs/dbraw/zinc/45/69/40/700456940.db2.gz DJJLLAWDXDXDAH-CMPLNLGQSA-N -1 1 323.393 1.538 20 0 DDADMM C[C@@H]1COCCN(Cn2[n-]c(-c3ccccn3)nc2=S)C1 ZINC000753988198 700474271 /nfs/dbraw/zinc/47/42/71/700474271.db2.gz UYNADBVHBHGFFC-NSHDSACASA-N -1 1 305.407 1.928 20 0 DDADMM C[C@](O)(CC(=O)OCC(=O)[N-]C(=O)c1ccccc1)C1CC1 ZINC000756310865 700614369 /nfs/dbraw/zinc/61/43/69/700614369.db2.gz HNKNTNRWWATZBH-INIZCTEOSA-N -1 1 305.330 1.037 20 0 DDADMM CCOc1cc(C(=O)N(CC)CC(=O)NC)cc(Cl)c1[O-] ZINC000762602891 700899806 /nfs/dbraw/zinc/89/98/06/700899806.db2.gz BOODYEMSMKFKEX-UHFFFAOYSA-N -1 1 314.769 1.652 20 0 DDADMM Cc1nccn1-c1ccc(CNC(=O)c2ncc(C)cc2[O-])cn1 ZINC000763245153 700931271 /nfs/dbraw/zinc/93/12/71/700931271.db2.gz XDMFUCIYCZSTMI-UHFFFAOYSA-N -1 1 323.356 1.915 20 0 DDADMM COc1cc2ccccc2cc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765398313 701009736 /nfs/dbraw/zinc/00/97/36/701009736.db2.gz GIUHOVZLWYTKTO-UHFFFAOYSA-N -1 1 313.313 1.627 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2COc3ccc(Cl)cc3C2)nc1=O ZINC000765409016 701010349 /nfs/dbraw/zinc/01/03/49/701010349.db2.gz KSGDQWSASRSLRJ-SECBINFHSA-N -1 1 323.736 1.056 20 0 DDADMM Cn1[n-]c(COC(=O)CCCOCCc2ccccc2)nc1=O ZINC000765460908 701013804 /nfs/dbraw/zinc/01/38/04/701013804.db2.gz KMTHNMOTZRXSSK-UHFFFAOYSA-N -1 1 319.361 1.191 20 0 DDADMM CC(C)c1ccccc1OCCC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765501154 701016508 /nfs/dbraw/zinc/01/65/08/701016508.db2.gz HAYVDQVZSMPLGD-UHFFFAOYSA-N -1 1 319.361 1.744 20 0 DDADMM O=C([C@@H]1CCCO1)N1CCN(Cc2ccc([O-])c(Cl)c2)CC1 ZINC000768180700 701162157 /nfs/dbraw/zinc/16/21/57/701162157.db2.gz OEVMWEMSWUAIQS-HNNXBMFYSA-N -1 1 324.808 1.869 20 0 DDADMM O=C(NC[C@@H]1COC2(CCOCC2)O1)c1ccc([O-])c(F)c1 ZINC000768389468 701173813 /nfs/dbraw/zinc/17/38/13/701173813.db2.gz YHVPJLNQAHPHIM-LLVKDONJSA-N -1 1 311.309 1.183 20 0 DDADMM CCC[C@](C)(CO)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000768389006 701173857 /nfs/dbraw/zinc/17/38/57/701173857.db2.gz HYYPMICOAMCASC-MRXNPFEDSA-N -1 1 320.393 1.262 20 0 DDADMM O=C(NC1CC1)[C@H]1CCCN1C(=O)c1c([O-])cccc1Cl ZINC000769700724 701253184 /nfs/dbraw/zinc/25/31/84/701253184.db2.gz BCKMELRHQUJVOM-LLVKDONJSA-N -1 1 308.765 1.929 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)C2(O)CCSCC2)c1 ZINC000769813106 701257550 /nfs/dbraw/zinc/25/75/50/701257550.db2.gz WGQNFOBRFFWIFF-UHFFFAOYSA-N -1 1 324.398 1.939 20 0 DDADMM COC[C@](C)(CC(=O)OC)NC(=O)c1c([O-])cccc1Cl ZINC000769957235 701262106 /nfs/dbraw/zinc/26/21/06/701262106.db2.gz KCNQDLMVXBMVOQ-AWEZNQCLSA-N -1 1 315.753 1.744 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@]1(C)CCCOC1 ZINC000771818883 701332977 /nfs/dbraw/zinc/33/29/77/701332977.db2.gz IEYYMMFHNQHGRI-LLVKDONJSA-N -1 1 307.803 1.229 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC1(F)F)c1cn2c(n1)CCCC2 ZINC000805144107 701369296 /nfs/dbraw/zinc/36/92/96/701369296.db2.gz VBOHPFGTTGKNBM-VIFPVBQESA-N -1 1 305.350 1.686 20 0 DDADMM COC[C@H](C)n1c(C)cc(C(=O)COC(=O)c2cn[n-]n2)c1C ZINC000805606143 701399176 /nfs/dbraw/zinc/39/91/76/701399176.db2.gz SSLYBSKYXFMHIV-JTQLQIEISA-N -1 1 320.349 1.470 20 0 DDADMM CC(C)OC(=O)Cc1nc(COC(=O)c2cn[n-]n2)cs1 ZINC000805608256 701400003 /nfs/dbraw/zinc/40/00/03/701400003.db2.gz CCMBULLFFKUPNU-UHFFFAOYSA-N -1 1 310.335 1.112 20 0 DDADMM Cc1ccc(NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1C ZINC000806991377 701457758 /nfs/dbraw/zinc/45/77/58/701457758.db2.gz WFLIPUDPUWCNAP-UHFFFAOYSA-N -1 1 323.356 1.536 20 0 DDADMM Cc1nc([C@@H](C)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)no1 ZINC000810002521 701711412 /nfs/dbraw/zinc/71/14/12/701711412.db2.gz ZNIWUTKYEYHEFZ-MRVPVSSYSA-N -1 1 320.315 1.830 20 0 DDADMM C[C@H](C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1ccccc1 ZINC000830953423 706609972 /nfs/dbraw/zinc/60/99/72/706609972.db2.gz VJBNDYCVKFBXII-NSHDSACASA-N -1 1 313.357 1.675 20 0 DDADMM Cc1ccc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cc1C ZINC000830970537 706613094 /nfs/dbraw/zinc/61/30/94/706613094.db2.gz WEFKQULFZKAUOP-UHFFFAOYSA-N -1 1 313.357 1.802 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2C[C@H]2C2CCOCC2)sn1 ZINC000867884941 701811091 /nfs/dbraw/zinc/81/10/91/701811091.db2.gz ATTNHRIFIZKBLH-WDEREUQCSA-N -1 1 302.421 1.545 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC[C@H](O)C2CCCC2)sn1 ZINC000867931847 701838945 /nfs/dbraw/zinc/83/89/45/701838945.db2.gz RDCHFWBMMOPIAM-NSHDSACASA-N -1 1 304.437 1.671 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)c2cncnc2)C1)C(F)(F)F ZINC000810899639 701870366 /nfs/dbraw/zinc/87/03/66/701870366.db2.gz FAWCNGDNFDSGET-SECBINFHSA-N -1 1 316.283 1.007 20 0 DDADMM O=C(c1cnc(CC2CC2)s1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000879264096 706634257 /nfs/dbraw/zinc/63/42/57/706634257.db2.gz WQSKVIZMXWFQJH-JTQLQIEISA-N -1 1 318.406 1.629 20 0 DDADMM CC(C)OCCCC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831134431 706641246 /nfs/dbraw/zinc/64/12/46/706641246.db2.gz LLVNXUKPWXNEFZ-UHFFFAOYSA-N -1 1 310.316 1.329 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@@]2(C1)CCc1ccccc1O2 ZINC000868425698 702120608 /nfs/dbraw/zinc/12/06/08/702120608.db2.gz UZMVBINQUGTBFR-MRXNPFEDSA-N -1 1 313.361 1.129 20 0 DDADMM O=C([N-]CC1CN(C(=O)C(F)=C2CCCC2)C1)C(F)(F)F ZINC000831140438 706642708 /nfs/dbraw/zinc/64/27/08/706642708.db2.gz WTOOJYMWPDBVRM-UHFFFAOYSA-N -1 1 308.275 1.921 20 0 DDADMM Cc1cc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)ccc1F ZINC000831144248 706643738 /nfs/dbraw/zinc/64/37/38/706643738.db2.gz FPDPUPVVROAJAO-UHFFFAOYSA-N -1 1 318.270 1.885 20 0 DDADMM CCN(C(=O)CCc1nn[n-]n1)[C@@H](C)[C@H](O)c1ccccc1 ZINC000868475154 702149934 /nfs/dbraw/zinc/14/99/34/702149934.db2.gz XGJXMHFFBACJKR-NHYWBVRUSA-N -1 1 303.366 1.103 20 0 DDADMM C[C@@](O)(C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C1CCC1 ZINC000812678895 702220494 /nfs/dbraw/zinc/22/04/94/702220494.db2.gz DCEIRIAXKPNLMN-INIZCTEOSA-N -1 1 305.330 1.037 20 0 DDADMM Cc1nnsc1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000817020494 702256778 /nfs/dbraw/zinc/25/67/78/702256778.db2.gz VLWRBOKRZORIGA-UHFFFAOYSA-N -1 1 307.379 1.704 20 0 DDADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)c1ccc(Cl)s1 ZINC000841548232 702493013 /nfs/dbraw/zinc/49/30/13/702493013.db2.gz MMGDNPMEJAZVBX-YFKPBYRVSA-N -1 1 301.748 1.191 20 0 DDADMM CCC/C(C)=C/C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869367574 702584252 /nfs/dbraw/zinc/58/42/52/702584252.db2.gz OLUZZDKNQXBHIQ-VQHVLOKHSA-N -1 1 309.284 1.914 20 0 DDADMM C[C@@H](CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1CC1 ZINC000869369422 702585215 /nfs/dbraw/zinc/58/52/15/702585215.db2.gz WQHVMLLOGMWWGC-QMMMGPOBSA-N -1 1 309.284 1.604 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CC2CC(C)(C)C2)CCC1 ZINC000843014341 702803364 /nfs/dbraw/zinc/80/33/64/702803364.db2.gz ONARREHBHLOXFE-UHFFFAOYSA-N -1 1 316.467 1.743 20 0 DDADMM COC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000866456034 706702419 /nfs/dbraw/zinc/70/24/19/706702419.db2.gz PIGQOZCQGJCAMR-YFKPBYRVSA-N -1 1 313.162 1.228 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CCc1ccsc1 ZINC000866475317 706708643 /nfs/dbraw/zinc/70/86/43/706708643.db2.gz WLCXJRLAKIQLGR-UHFFFAOYSA-N -1 1 308.450 1.387 20 0 DDADMM Cc1cnc(C(=O)N2CCc3cc(Cl)nnc3C2)c([O-])c1 ZINC000843942739 702943718 /nfs/dbraw/zinc/94/37/18/702943718.db2.gz GCZABPXRGBDUQI-UHFFFAOYSA-N -1 1 304.737 1.738 20 0 DDADMM Cn1[n-]c(CN2CCC[C@](O)(c3ccc(Cl)cc3)C2)nc1=O ZINC000844373073 703022194 /nfs/dbraw/zinc/02/21/94/703022194.db2.gz CFMWVWRPJNJXGY-OAHLLOKOSA-N -1 1 322.796 1.245 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]Cc1ccc(Cl)nc1 ZINC000866544981 706725803 /nfs/dbraw/zinc/72/58/03/706725803.db2.gz AZQDREHKVQCRTA-UHFFFAOYSA-N -1 1 323.827 1.331 20 0 DDADMM CCON(C)C(=O)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000846004783 703226024 /nfs/dbraw/zinc/22/60/24/703226024.db2.gz VUIQOHVZSHAJQN-UHFFFAOYSA-N -1 1 312.347 1.121 20 0 DDADMM C[C@H](COCC1CC1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000846530093 703292301 /nfs/dbraw/zinc/29/23/01/703292301.db2.gz WRGFFZNZTAVWLZ-SECBINFHSA-N -1 1 306.391 1.563 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[C@@H]1c1ccccc1 ZINC000866596474 706740389 /nfs/dbraw/zinc/74/03/89/706740389.db2.gz MONZZOFEIROAGL-CHWSQXEVSA-N -1 1 316.448 1.885 20 0 DDADMM C[C@@](O)(C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1ccccc1 ZINC000847090595 703376193 /nfs/dbraw/zinc/37/61/93/703376193.db2.gz KCGRAURJZIXRQZ-BZNIZROVSA-N -1 1 317.345 1.389 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847094316 703377151 /nfs/dbraw/zinc/37/71/51/703377151.db2.gz ZSEPIUTWGKJMSH-YGNAEDSMSA-N -1 1 314.345 1.980 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2ccn3c(C)cnc3c2)C1=O ZINC000848009079 703494035 /nfs/dbraw/zinc/49/40/35/703494035.db2.gz XBVMANGCBOEILU-OAHLLOKOSA-N -1 1 315.333 1.008 20 0 DDADMM CCO/C=C\[C@](O)(CNC(=O)c1ncccc1[O-])C(F)(F)F ZINC000848177102 703521529 /nfs/dbraw/zinc/52/15/29/703521529.db2.gz GVVPMGQFBVKJCW-HWPRWLFBSA-N -1 1 320.267 1.361 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(C(F)F)cc2F)nc1=O ZINC000848805549 703591314 /nfs/dbraw/zinc/59/13/14/703591314.db2.gz ZTVDEEUDUKFPTC-UHFFFAOYSA-N -1 1 301.224 1.542 20 0 DDADMM COc1ccc2c(c1)[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)CCC2 ZINC000848850443 703594341 /nfs/dbraw/zinc/59/43/41/703594341.db2.gz AZRFKPZTHWFJQV-LBPRGKRZSA-N -1 1 317.345 1.280 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)c2cccnc2C)o1 ZINC000851545103 703808518 /nfs/dbraw/zinc/80/85/18/703808518.db2.gz YEGVYLKSMYDVTO-SNVBAGLBSA-N -1 1 324.358 1.809 20 0 DDADMM CCCCCc1cc(C(=O)N2CC[C@@](COC)(C(=O)[O-])C2)n[nH]1 ZINC000851607868 703814913 /nfs/dbraw/zinc/81/49/13/703814913.db2.gz UNGBCSWZEOZJAI-MRXNPFEDSA-N -1 1 323.393 1.706 20 0 DDADMM Cc1cccc(CO[C@H](C)C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000869642671 703824640 /nfs/dbraw/zinc/82/46/40/703824640.db2.gz WSYYITIWRBUBDT-LLVKDONJSA-N -1 1 305.334 1.065 20 0 DDADMM CC(C)CNC(=O)[C@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764076 706784315 /nfs/dbraw/zinc/78/43/15/706784315.db2.gz SINNVVXLQFJGNK-GXFFZTMASA-N -1 1 323.359 1.290 20 0 DDADMM CC[C@H](C)C[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000852736886 704109965 /nfs/dbraw/zinc/10/99/65/704109965.db2.gz PFNNWDCZXUYQOP-AEJSXWLSSA-N -1 1 310.316 1.629 20 0 DDADMM CC1(C)C[C@@]1(C(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000852948695 704177427 /nfs/dbraw/zinc/17/74/27/704177427.db2.gz IDTGXLDGNFHGIW-INIZCTEOSA-N -1 1 314.345 1.589 20 0 DDADMM CC1(C)C[C@@]1(C(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000852948695 704177428 /nfs/dbraw/zinc/17/74/28/704177428.db2.gz IDTGXLDGNFHGIW-INIZCTEOSA-N -1 1 314.345 1.589 20 0 DDADMM CCn1nc(C)c(C[N-]S(=O)(=O)c2cccc(F)c2F)n1 ZINC000819890532 704181662 /nfs/dbraw/zinc/18/16/62/704181662.db2.gz BYONMKXCAIHUBU-UHFFFAOYSA-N -1 1 316.333 1.363 20 0 DDADMM C[C@H]1Cc2ccccc2[C@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866773362 706795993 /nfs/dbraw/zinc/79/59/93/706795993.db2.gz FGLCJECTPSPUOS-CABZTGNLSA-N -1 1 302.421 1.482 20 0 DDADMM CCc1nnc(N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)n1C ZINC000871048513 704207840 /nfs/dbraw/zinc/20/78/40/704207840.db2.gz POICVIYQTVKXNK-NSHDSACASA-N -1 1 305.304 1.025 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCCN1C(=O)OC(C)(C)C ZINC000820401602 704272630 /nfs/dbraw/zinc/27/26/30/704272630.db2.gz BVNMQEMTFJUYFB-VIFPVBQESA-N -1 1 310.354 1.762 20 0 DDADMM NC(=O)[C@H](C1CC1)N(CC1CC1)C(=O)c1ccc([O-])c(F)c1 ZINC000871394821 704285220 /nfs/dbraw/zinc/28/52/20/704285220.db2.gz ROERBDWYACQKEW-AWEZNQCLSA-N -1 1 306.337 1.647 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)c2ccnn2C)c1 ZINC000820927075 704347220 /nfs/dbraw/zinc/34/72/20/704347220.db2.gz YEIRHJCBWIWTLS-KPWVOAKYSA-N -1 1 322.386 1.841 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H]2CCCCC2=O)c1 ZINC000821365016 704397454 /nfs/dbraw/zinc/39/74/54/704397454.db2.gz JUGHMCIYXTUSHV-QKKBWIMNSA-N -1 1 310.371 1.858 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H](C)c2ccccn2)o1 ZINC000821495097 704417338 /nfs/dbraw/zinc/41/73/38/704417338.db2.gz ONSPMTTVGVWGOA-SNVBAGLBSA-N -1 1 324.358 1.543 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C1(NC(=O)OC(C)(C)C)CCC1 ZINC000854632688 704430004 /nfs/dbraw/zinc/43/00/04/704430004.db2.gz PVTBUMQERMMURE-UHFFFAOYSA-N -1 1 310.354 1.810 20 0 DDADMM Cc1cnc(C(=O)NCC[S@](=O)CC(F)(F)F)c([O-])c1 ZINC000855269595 704476361 /nfs/dbraw/zinc/47/63/61/704476361.db2.gz AIOZSWPKCLRYKC-FQEVSTJZSA-N -1 1 310.297 1.136 20 0 DDADMM CC[C@@H](COCC1CC1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000855283879 704477261 /nfs/dbraw/zinc/47/72/61/704477261.db2.gz HWOGXNDJQPLPLP-LBPRGKRZSA-N -1 1 320.418 1.953 20 0 DDADMM CC(C)Nc1ccccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000855862489 704503569 /nfs/dbraw/zinc/50/35/69/704503569.db2.gz XDUIFXVCPAHLLC-UHFFFAOYSA-N -1 1 316.361 1.386 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H](O)C2CCC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856416032 704528070 /nfs/dbraw/zinc/52/80/70/704528070.db2.gz RRVLVWLSLOHKNJ-MIMYLULJSA-N -1 1 322.327 1.063 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@H]2CCCOC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418011 704528128 /nfs/dbraw/zinc/52/81/28/704528128.db2.gz AKYCAGVUFHECNR-MXWKQRLJSA-N -1 1 322.327 1.329 20 0 DDADMM CC1CCN(S(=O)(=O)NN=c2ncc(Cl)c[n-]2)CC1 ZINC000857224650 704566018 /nfs/dbraw/zinc/56/60/18/704566018.db2.gz VZRZJCTZLKDGJQ-UHFFFAOYSA-N -1 1 305.791 1.023 20 0 DDADMM CC1=NO[C@H](CNC(=O)c2cnc3nc(C)ccc3c2[O-])C1 ZINC000857275938 704572889 /nfs/dbraw/zinc/57/28/89/704572889.db2.gz CLVCITURINRUOG-JTQLQIEISA-N -1 1 300.318 1.538 20 0 DDADMM CC1=NO[C@H](CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)C1 ZINC000857275938 704572890 /nfs/dbraw/zinc/57/28/90/704572890.db2.gz CLVCITURINRUOG-JTQLQIEISA-N -1 1 300.318 1.538 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)N=[S@](C)(=O)CC)C12CCC2 ZINC000866869688 706830384 /nfs/dbraw/zinc/83/03/84/706830384.db2.gz MFURABGBQWPUHC-XCJKDKRRSA-N -1 1 324.468 1.286 20 0 DDADMM COCCC(=O)N1CCC(Nc2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858451497 704712923 /nfs/dbraw/zinc/71/29/23/704712923.db2.gz YKPXAEDQOSLICE-UHFFFAOYSA-N -1 1 314.773 1.275 20 0 DDADMM O=c1nc(NC2CCN(c3cccnn3)CC2)cc(Cl)[n-]1 ZINC000858515717 704721111 /nfs/dbraw/zinc/72/11/11/704721111.db2.gz RSJGSIQCTGHFPI-UHFFFAOYSA-N -1 1 306.757 1.707 20 0 DDADMM CO[C@](C)(C[N-]S(=O)(=O)c1ccc(F)nc1F)C1CC1 ZINC000867287739 706948734 /nfs/dbraw/zinc/94/87/34/706948734.db2.gz KNHZAGDWZOXGTB-GFCCVEGCSA-N -1 1 306.334 1.453 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CCCC1 ZINC000832654469 706957749 /nfs/dbraw/zinc/95/77/49/706957749.db2.gz NXROQVXJHWIYAG-UHFFFAOYSA-N -1 1 321.830 1.559 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-][C@H]2C=CCC2)c1F ZINC000859221610 704826540 /nfs/dbraw/zinc/82/65/40/704826540.db2.gz VPUFACQKFYNQFW-VIFPVBQESA-N -1 1 317.313 1.748 20 0 DDADMM O=S(=O)([N-][C@H]1C=CCC1)c1ccc(Br)nc1F ZINC000859222056 704826875 /nfs/dbraw/zinc/82/68/75/704826875.db2.gz YNVFWTMAPNYELG-ZETCQYMHSA-N -1 1 321.171 1.980 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-][C@@H]1CCCC12OCCO2)C1CC1 ZINC000859227091 704828189 /nfs/dbraw/zinc/82/81/89/704828189.db2.gz YBIYYJVLYDIWKK-QWHCGFSZSA-N -1 1 319.423 1.017 20 0 DDADMM Cc1cccc([C@@H]2C[C@H]2C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000859277754 704846130 /nfs/dbraw/zinc/84/61/30/704846130.db2.gz AFZBTWLQXMPEKK-MJBXVCDLSA-N -1 1 313.361 1.212 20 0 DDADMM O=C(c1cccc2scnc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000859278540 704846685 /nfs/dbraw/zinc/84/66/85/704846685.db2.gz RKRFGGJSVILXMW-VIFPVBQESA-N -1 1 316.346 1.023 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H](C)c1ccns1 ZINC000859401392 704890590 /nfs/dbraw/zinc/89/05/90/704890590.db2.gz URBDEKPXQKNRJJ-LURJTMIESA-N -1 1 320.827 1.878 20 0 DDADMM Cc1nn(C)c(CN[C@H]2C[C@H](C(=O)[O-])C2)c1Br ZINC000874053529 704927600 /nfs/dbraw/zinc/92/76/00/704927600.db2.gz FGJHDTLLMTUPSN-ZKCHVHJHSA-N -1 1 302.172 1.444 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H](C1CC1)C1CCOCC1 ZINC000867459908 707008230 /nfs/dbraw/zinc/00/82/30/707008230.db2.gz ZROJJOMLXVPPCI-HXPMCKFVSA-N -1 1 324.468 1.144 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC000859693347 704976203 /nfs/dbraw/zinc/97/62/03/704976203.db2.gz YMUOBHFZRHRCNK-SFYZADRCSA-N -1 1 305.256 1.899 20 0 DDADMM CC(C)(CCN1CCN(C(=O)c2ccccc2)CC1)C(=O)[O-] ZINC000859780767 705003919 /nfs/dbraw/zinc/00/39/19/705003919.db2.gz BJJYKTSDYYCZFX-UHFFFAOYSA-N -1 1 304.390 1.945 20 0 DDADMM O=S(=O)([N-]CCO[C@H]1CC1(F)F)c1ccc(F)nc1F ZINC000867511512 707023644 /nfs/dbraw/zinc/02/36/44/707023644.db2.gz YFLBOEJQFCBXDB-ZETCQYMHSA-N -1 1 314.260 1.062 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1nc[nH]c1Br)C1CC1 ZINC000867521000 707026979 /nfs/dbraw/zinc/02/69/79/707026979.db2.gz UKBLBNJEFXHNBW-ZETCQYMHSA-N -1 1 308.201 1.639 20 0 DDADMM O=C(NCCn1cncn1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000822989225 705103492 /nfs/dbraw/zinc/10/34/92/705103492.db2.gz HUKBJOGKVAIUBU-UHFFFAOYSA-N -1 1 300.240 1.433 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@@H](CO)C1)c1c(Cl)ccnc1Cl ZINC000867585387 707047060 /nfs/dbraw/zinc/04/70/60/707047060.db2.gz IUDNLEASPWFTIW-KNVOCYPGSA-N -1 1 311.190 1.438 20 0 DDADMM O=C(OCc1cc(Cl)ccn1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823414099 705236383 /nfs/dbraw/zinc/23/63/83/705236383.db2.gz SQXRXMBAIWGJHD-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM O=C(OCc1cc(Cl)ccn1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823414099 705236385 /nfs/dbraw/zinc/23/63/85/705236385.db2.gz SQXRXMBAIWGJHD-UHFFFAOYSA-N -1 1 316.708 1.667 20 0 DDADMM CCO[C@H](C(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CCCC1 ZINC000875634012 705457572 /nfs/dbraw/zinc/45/75/72/705457572.db2.gz XAGMLRZYQUYBET-AWEZNQCLSA-N -1 1 302.378 1.876 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@H]3C(C)(C)C)nc2n1 ZINC000875838759 705531834 /nfs/dbraw/zinc/53/18/34/705531834.db2.gz VBTOLYPZOACUHO-NXEZZACHSA-N -1 1 303.366 1.601 20 0 DDADMM COc1nnc([N-]C(=O)c2nc(C)cc(C(F)(F)F)n2)s1 ZINC000825214229 705626443 /nfs/dbraw/zinc/62/64/43/705626443.db2.gz OVNSGRCXZSHUFI-UHFFFAOYSA-N -1 1 319.268 1.916 20 0 DDADMM CSc1ccc(CCC(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC000825284420 705639027 /nfs/dbraw/zinc/63/90/27/705639027.db2.gz WYMDLKXRGIIBER-UHFFFAOYSA-N -1 1 319.386 1.859 20 0 DDADMM CN(C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C1CC(C)(C)C1 ZINC000876301310 705683362 /nfs/dbraw/zinc/68/33/62/705683362.db2.gz ZQXXTZXYHIMKNG-UHFFFAOYSA-N -1 1 320.393 1.585 20 0 DDADMM COC[C@H]1CCCN1NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000862596528 705745132 /nfs/dbraw/zinc/74/51/32/705745132.db2.gz KUPKMZNMIDAICI-LLVKDONJSA-N -1 1 322.409 1.544 20 0 DDADMM COc1ccccc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826219002 705782967 /nfs/dbraw/zinc/78/29/67/705782967.db2.gz QHJVQUWYOSFZEB-UHFFFAOYSA-N -1 1 311.301 1.833 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)c3ccccc32)c1-c1nnn[n-]1 ZINC000826343915 705792703 /nfs/dbraw/zinc/79/27/03/705792703.db2.gz QQPYJTRNLFJENN-SECBINFHSA-N -1 1 324.344 1.856 20 0 DDADMM Cc1onc(CC(=O)N2C[C@@H](C)c3ccccc32)c1-c1nn[n-]n1 ZINC000826343915 705792706 /nfs/dbraw/zinc/79/27/06/705792706.db2.gz QQPYJTRNLFJENN-SECBINFHSA-N -1 1 324.344 1.856 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CC[C@H](Cc3ccncc3)C2)c1 ZINC000826513976 705807307 /nfs/dbraw/zinc/80/73/07/705807307.db2.gz CKOIGBLSKCESHU-CYBMUJFWSA-N -1 1 307.361 1.726 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CC[C@H](Cc3ccncc3)C2)c1 ZINC000826513976 705807310 /nfs/dbraw/zinc/80/73/10/705807310.db2.gz CKOIGBLSKCESHU-CYBMUJFWSA-N -1 1 307.361 1.726 20 0 DDADMM CC(C)(C)OC(=O)C1=NO[C@@H](C(=O)Nc2cccc(F)c2[O-])C1 ZINC000863008655 705849521 /nfs/dbraw/zinc/84/95/21/705849521.db2.gz ZZBCJMIFQZGEPS-LLVKDONJSA-N -1 1 324.308 1.956 20 0 DDADMM CCN(CC1CCN([C@@H]2CCN(C(C)C)C2=O)CC1)C(=O)[O-] ZINC000827089651 705926460 /nfs/dbraw/zinc/92/64/60/705926460.db2.gz OWKRINXIPOWAMK-CQSZACIVSA-N -1 1 311.426 1.708 20 0 DDADMM CON(C)C(=O)[C@H](C)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000863630647 705972431 /nfs/dbraw/zinc/97/24/31/705972431.db2.gz KVRLJUYHRORGJG-ZETCQYMHSA-N -1 1 320.267 1.549 20 0 DDADMM C/C(=C\c1cccnc1)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000864160495 706085033 /nfs/dbraw/zinc/08/50/33/706085033.db2.gz YAAXVOIGYARJFV-JWAFFJSPSA-N -1 1 317.389 1.492 20 0 DDADMM CCn1ncc([N-]S(=O)(=O)CC(C)(C)C(=O)OC)c1C ZINC000872422959 707404979 /nfs/dbraw/zinc/40/49/79/707404979.db2.gz ZLUPZOZBQUTAGD-UHFFFAOYSA-N -1 1 303.384 1.152 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)c1cccnc1 ZINC000872431832 707406944 /nfs/dbraw/zinc/40/69/44/707406944.db2.gz OOYULTJJPGLGBX-OVWNDWIMSA-N -1 1 319.452 1.873 20 0 DDADMM CC[C@@H](CO)[N-]S(=O)(=O)N=[S@@](C)(=O)C1CCCCC1 ZINC000881912281 707420380 /nfs/dbraw/zinc/42/03/80/707420380.db2.gz HFHBGYCKIPGXMH-YPMLDQLKSA-N -1 1 312.457 1.022 20 0 DDADMM CC1(C)CNC(=O)c2c(occ2C(=O)[N-]c2nncs2)C1 ZINC000864926406 706303335 /nfs/dbraw/zinc/30/33/35/706303335.db2.gz IATLYYNXLUSUBP-UHFFFAOYSA-N -1 1 306.347 1.696 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(N2CCCC2)c(F)c1 ZINC000864943792 706307244 /nfs/dbraw/zinc/30/72/44/706307244.db2.gz SNKUQDHHKAZPHQ-UHFFFAOYSA-N -1 1 304.329 1.510 20 0 DDADMM CO[C@@]1(C)C[C@H]([N@@H+](C)Cc2nc3c(c(=O)[nH]2)COCC3)C1(C)C ZINC000878153771 706307751 /nfs/dbraw/zinc/30/77/51/706307751.db2.gz QQYJDMWOXHTVKV-GUYCJALGSA-N -1 1 321.421 1.890 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1n[nH]cc1C(F)(F)F ZINC000864975071 706316689 /nfs/dbraw/zinc/31/66/89/706316689.db2.gz OTIBOYZBTDHLKS-UHFFFAOYSA-N -1 1 314.149 1.818 20 0 DDADMM Cc1cccc(OCC[N-]S(=O)(=O)c2c[nH]nc2Cl)c1 ZINC000829758132 706390997 /nfs/dbraw/zinc/39/09/97/706390997.db2.gz FXNFLXUWGGUTKX-UHFFFAOYSA-N -1 1 315.782 1.729 20 0 DDADMM COC(=O)C1=NO[C@@H](CSc2nc(C)c(C3CC3)c(=O)[n-]2)C1 ZINC000865372995 706415906 /nfs/dbraw/zinc/41/59/06/706415906.db2.gz BILXQDKWCYWGJZ-SECBINFHSA-N -1 1 323.374 1.778 20 0 DDADMM O=S(=O)([N-][C@H](CCO)c1ccccc1)c1c[nH]nc1Cl ZINC000830663668 706556657 /nfs/dbraw/zinc/55/66/57/706556657.db2.gz JSZKMYJHHPZCBP-SNVBAGLBSA-N -1 1 315.782 1.465 20 0 DDADMM O=c1nc(N2CCC(O)(Cc3ccncc3)CC2)cc(Cl)[n-]1 ZINC000865898711 706557009 /nfs/dbraw/zinc/55/70/09/706557009.db2.gz XXQWTJBBSIZEHN-UHFFFAOYSA-N -1 1 320.780 1.805 20 0 DDADMM COCC1(CC(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000830795903 706581279 /nfs/dbraw/zinc/58/12/79/706581279.db2.gz RULHGXPVWAKUHY-LBPRGKRZSA-N -1 1 322.327 1.473 20 0 DDADMM CC(=O)C1(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000830796292 706581380 /nfs/dbraw/zinc/58/13/80/706581380.db2.gz ZHJUDUUHPMSDJF-LLVKDONJSA-N -1 1 306.284 1.025 20 0 DDADMM CC(C)(C)OCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807736 706583994 /nfs/dbraw/zinc/58/39/94/706583994.db2.gz PMBGGHNMGBXTRP-GFCCVEGCSA-N -1 1 310.316 1.471 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CCCCO2)C1 ZINC000830816873 706585829 /nfs/dbraw/zinc/58/58/29/706585829.db2.gz UVTLRPOETKREEE-BXKDBHETSA-N -1 1 308.300 1.225 20 0 DDADMM CCCCO[C@H](C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830829074 706588463 /nfs/dbraw/zinc/58/84/63/706588463.db2.gz VDWVVCGWCPLQCK-MFKMUULPSA-N -1 1 324.343 1.861 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCc2c1cccc2O ZINC000866841023 706821636 /nfs/dbraw/zinc/82/16/36/706821636.db2.gz RZETYNGCEQONPV-WYRIXSBYSA-N -1 1 318.420 1.332 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC000832075597 706838436 /nfs/dbraw/zinc/83/84/36/706838436.db2.gz HQIVLNLCPVWENJ-NXEZZACHSA-N -1 1 313.350 1.038 20 0 DDADMM O=C(C[C@H]1Cc2ccccc2O1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000866901550 706839517 /nfs/dbraw/zinc/83/95/17/706839517.db2.gz IAYOOSNVHMYRCJ-QWHCGFSZSA-N -1 1 313.361 1.300 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H](C)c1ccncc1 ZINC000866922977 706845984 /nfs/dbraw/zinc/84/59/84/706845984.db2.gz KTBHNHHCFSYRMD-YPMLDQLKSA-N -1 1 305.425 1.137 20 0 DDADMM C[C@H](CN(C)C(=O)CC[C@H]1CC1(Cl)Cl)c1nn[n-]n1 ZINC000832125362 706847621 /nfs/dbraw/zinc/84/76/21/706847621.db2.gz BRIADWFASDGMSI-SFYZADRCSA-N -1 1 306.197 1.736 20 0 DDADMM CCc1cc(CNC(=O)N(C)C2(C(=O)[O-])CCCCC2)n[nH]1 ZINC000908981124 712907910 /nfs/dbraw/zinc/90/79/10/712907910.db2.gz HECHVWMCTHSOJO-UHFFFAOYSA-N -1 1 308.382 1.901 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCc2cnc(C)s2)sn1 ZINC000866990702 706866337 /nfs/dbraw/zinc/86/63/37/706866337.db2.gz WJSIGIWQMZCXAG-UHFFFAOYSA-N -1 1 303.434 1.737 20 0 DDADMM C[C@@H](NCc1nc(=O)n(C)[n-]1)c1ccc(C(F)(F)F)nc1 ZINC000880098836 706878136 /nfs/dbraw/zinc/87/81/36/706878136.db2.gz VGTRFPFLQUMSAJ-SSDOTTSWSA-N -1 1 301.272 1.373 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2nc[nH]c2Br)CCO1 ZINC000867045151 706881043 /nfs/dbraw/zinc/88/10/43/706881043.db2.gz OGDBICZYDOXMMV-BQBZGAKWSA-N -1 1 324.200 1.018 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2cccc(F)c2F)co1 ZINC000832472615 706921814 /nfs/dbraw/zinc/92/18/14/706921814.db2.gz BUBVJRNDUWMXOD-UHFFFAOYSA-N -1 1 302.302 1.994 20 0 DDADMM CO[C@@H]1COCC[C@H]1N(C)Cc1nc(=O)c2sccc2[n-]1 ZINC000880427665 706972024 /nfs/dbraw/zinc/97/20/24/706972024.db2.gz DYWNAOGTCNIWGQ-GHMZBOCLSA-N -1 1 309.391 1.633 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1C[C@@H](OC(C)C)C1 ZINC000867382875 706983048 /nfs/dbraw/zinc/98/30/48/706983048.db2.gz RKVFSQFOLYXQAV-PHIMTYICSA-N -1 1 312.457 1.285 20 0 DDADMM CC1(C)[C@@H](NC(=O)c2ncccc2[O-])C[C@@H]1N1CCOCC1 ZINC000880496886 706995665 /nfs/dbraw/zinc/99/56/65/706995665.db2.gz AJLLNEAFJFJCKT-STQMWFEESA-N -1 1 305.378 1.016 20 0 DDADMM Cn1[n-]c(CN[C@@H](c2cccc(Cl)c2)C(F)F)nc1=O ZINC000880537792 707009271 /nfs/dbraw/zinc/00/92/71/707009271.db2.gz OQAQXQIGUKHPAD-JTQLQIEISA-N -1 1 302.712 1.858 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)c1ncnn1C ZINC000834307155 707026936 /nfs/dbraw/zinc/02/69/36/707026936.db2.gz ULQJUIISUWZYRG-VIFPVBQESA-N -1 1 304.354 1.234 20 0 DDADMM C[C@H]1O[C@@H](C)[C@H](C)[C@H]1[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867561612 707040521 /nfs/dbraw/zinc/04/05/21/707040521.db2.gz BZYJFNVUPOJCKS-LITAXDCLSA-N -1 1 306.334 1.450 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]CC1([C@@H]2CCCCO2)CCC1 ZINC000867662111 707066696 /nfs/dbraw/zinc/06/66/96/707066696.db2.gz GMFVLUWVCCEFFY-NSHDSACASA-N -1 1 324.468 1.288 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCS[C@H](C)C2)co1 ZINC000834661916 707099989 /nfs/dbraw/zinc/09/99/89/707099989.db2.gz UXNBVWXERYLMDC-SCZZXKLOSA-N -1 1 318.420 1.202 20 0 DDADMM CCN(CC(=O)N1CCc2ccccc2[C@@H]1C(=O)[O-])C1CC1 ZINC000909034960 712922469 /nfs/dbraw/zinc/92/24/69/712922469.db2.gz LWTYCKUUVXETNT-MRXNPFEDSA-N -1 1 302.374 1.681 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)CN1CCOCC1 ZINC000909077957 712932629 /nfs/dbraw/zinc/93/26/29/712932629.db2.gz AXPJDPBRVZVRFI-GFCCVEGCSA-N -1 1 306.362 1.600 20 0 DDADMM CCN(CC)C(=O)[C@@H](C)O[N-]C(=O)CCc1ccc(OC)cc1 ZINC000871797829 707207666 /nfs/dbraw/zinc/20/76/66/707207666.db2.gz FFFYCTKSLVRYLE-CYBMUJFWSA-N -1 1 322.405 1.933 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H]1C(=O)c1ccccc1 ZINC000909120197 712940340 /nfs/dbraw/zinc/94/03/40/712940340.db2.gz VBTDNGDPIMZLCC-ZDUSSCGKSA-N -1 1 313.361 1.396 20 0 DDADMM C[C@H]1C[C@H](NC(=O)N2C3CCC2(C(=O)[O-])CC3)C[N@H+]1C1CC1 ZINC000909140983 712944334 /nfs/dbraw/zinc/94/43/34/712944334.db2.gz BJLKXBLCAUFOFI-BSROQFBASA-N -1 1 307.394 1.403 20 0 DDADMM COC(=O)[C@@H]1[C@H](CNC(=O)c2c([O-])cccc2F)C1(F)F ZINC000881824692 707382115 /nfs/dbraw/zinc/38/21/15/707382115.db2.gz QKNCJNRKHLNONL-WKEGUHRASA-N -1 1 303.236 1.315 20 0 DDADMM CC(C)(C)n1ncnc1C[N-]S(=O)(=O)c1cccnc1F ZINC000882160284 707521563 /nfs/dbraw/zinc/52/15/63/707521563.db2.gz OKYALKNBZCMZFJ-UHFFFAOYSA-N -1 1 313.358 1.046 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-][C@H](C1CC1)C(F)(F)F ZINC000882201927 707535246 /nfs/dbraw/zinc/53/52/46/707535246.db2.gz PUVMNQLFIDPCGP-SNVBAGLBSA-N -1 1 311.329 1.602 20 0 DDADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000836898511 707544159 /nfs/dbraw/zinc/54/41/59/707544159.db2.gz XKDAMKHVZFJIBY-SMDDNHRTSA-N -1 1 324.352 1.243 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@]2(CCOC2)C1 ZINC000837062538 707572285 /nfs/dbraw/zinc/57/22/85/707572285.db2.gz ACVIEKFWFXKMIJ-HNNXBMFYSA-N -1 1 318.402 1.661 20 0 DDADMM O=C([O-])[C@H](F)CNS(=O)(=O)c1c(Cl)ccnc1Cl ZINC000837296392 707614669 /nfs/dbraw/zinc/61/46/69/707614669.db2.gz OBNYAZXOYKOAFP-RXMQYKEDSA-N -1 1 317.125 1.089 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)OCc1cc[nH]n1 ZINC000837454716 707652793 /nfs/dbraw/zinc/65/27/93/707652793.db2.gz HJRZDUGTBKCQMZ-UHFFFAOYSA-N -1 1 323.374 1.918 20 0 DDADMM COc1cc(C(=O)N[C@@H]2C(=O)OCC2(C)C)cc(Cl)c1[O-] ZINC000837631648 707701007 /nfs/dbraw/zinc/70/10/07/707701007.db2.gz LYVNIEPAEUIUPU-LLVKDONJSA-N -1 1 313.737 1.736 20 0 DDADMM Cc1ccc([C@H](CNC(=O)CCc2cnc[nH]2)C(=O)[O-])cc1 ZINC000909249974 712973656 /nfs/dbraw/zinc/97/36/56/712973656.db2.gz LFUBERYYKFCMAW-AWEZNQCLSA-N -1 1 301.346 1.635 20 0 DDADMM C[C@H](C(=O)NCc1ccc(OCCCC(=O)[O-])cc1)N(C)C ZINC000909267907 712978554 /nfs/dbraw/zinc/97/85/54/712978554.db2.gz WJYKRNVGFQKFRY-GFCCVEGCSA-N -1 1 308.378 1.497 20 0 DDADMM CC(C)OC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCC1 ZINC000873443502 707852759 /nfs/dbraw/zinc/85/27/59/707852759.db2.gz BTXIKKPPWDYWGG-UHFFFAOYSA-N -1 1 319.357 1.834 20 0 DDADMM CCCCC[C@H](NC(=O)CN(C)[C@H]1CCSC1)C(=O)[O-] ZINC000909345863 712996527 /nfs/dbraw/zinc/99/65/27/712996527.db2.gz OPALPVRHPPPGTQ-RYUDHWBXSA-N -1 1 302.440 1.573 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C12CCC2 ZINC000839075260 708022763 /nfs/dbraw/zinc/02/27/63/708022763.db2.gz RZEGTIGNAVOCNG-OLZOCXBDSA-N -1 1 315.377 1.421 20 0 DDADMM O=C(Cc1n[nH]c2c1CCCC2)NC1(C(=O)[O-])CCSCC1 ZINC000909347923 712997104 /nfs/dbraw/zinc/99/71/04/712997104.db2.gz UHYWTECCLVTUHD-UHFFFAOYSA-N -1 1 323.418 1.298 20 0 DDADMM C=C/C=C/CCNC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000883830174 708069400 /nfs/dbraw/zinc/06/94/00/708069400.db2.gz DJJOYKQDGMULNA-MUBLQREKSA-N -1 1 319.327 1.971 20 0 DDADMM COc1ccccc1C[C@@H](CNC(=O)[C@@H]1CCCN1C)C(=O)[O-] ZINC000909384823 713005281 /nfs/dbraw/zinc/00/52/81/713005281.db2.gz NHNZEPGKMOXVFB-KBPBESRZSA-N -1 1 320.389 1.149 20 0 DDADMM CCN(CC(=O)N1Cc2ccccc2[C@@H](C(=O)[O-])C1)C1CC1 ZINC000909423133 713014337 /nfs/dbraw/zinc/01/43/37/713014337.db2.gz IMJMVYLWYNZFOE-HNNXBMFYSA-N -1 1 302.374 1.681 20 0 DDADMM C[C@@H](C[S@@](C)=O)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897371760 708307561 /nfs/dbraw/zinc/30/75/61/708307561.db2.gz DVQIWXRONIJPER-JLTPVHFHSA-N -1 1 307.371 1.748 20 0 DDADMM C[C@@H](CN(C(=O)c1cc(C(F)(F)F)[nH]n1)C1CC1)C(=O)[O-] ZINC000909455747 713022729 /nfs/dbraw/zinc/02/27/29/713022729.db2.gz IRURXWPKNFSOGS-LURJTMIESA-N -1 1 305.256 1.754 20 0 DDADMM O=C([O-])CCCN(Cc1ccccc1)C(=O)CN1CCCC1 ZINC000909477473 713028639 /nfs/dbraw/zinc/02/86/39/713028639.db2.gz YTAUYWNQQRPPMR-UHFFFAOYSA-N -1 1 304.390 1.976 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@H]2CCO[C@H]2C12CCCC2)c1ccns1 ZINC000885073474 708462900 /nfs/dbraw/zinc/46/29/00/708462900.db2.gz GTBWKTQAAVTQGP-YUSALJHKSA-N -1 1 314.432 1.769 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccccc1Cl ZINC000912530188 713031462 /nfs/dbraw/zinc/03/14/62/713031462.db2.gz AZDNGSATLDTOFD-UHFFFAOYSA-N -1 1 316.722 1.617 20 0 DDADMM COCC[C@H](Cc1ccco1)[N-]S(=O)(=O)c1ccns1 ZINC000885100191 708472067 /nfs/dbraw/zinc/47/20/67/708472067.db2.gz DGTQXLVDBKTCGM-SNVBAGLBSA-N -1 1 316.404 1.662 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)c1ccns1)C1(CO)CCCC1 ZINC000885227510 708498367 /nfs/dbraw/zinc/49/83/67/708498367.db2.gz JJMNSCSSCDNMFK-GFCCVEGCSA-N -1 1 318.464 1.999 20 0 DDADMM Cn1cnc2cc(CNC(=O)c3cnc(C4CC4)[n-]c3=O)ccc21 ZINC000927710803 713037279 /nfs/dbraw/zinc/03/72/79/713037279.db2.gz SUXDHNWYZLGGIX-UHFFFAOYSA-N -1 1 323.356 1.876 20 0 DDADMM Cc1nc(N2CCN(C(=O)c3ccc(Cl)cc3[O-])CC2)n[nH]1 ZINC000898173601 708563822 /nfs/dbraw/zinc/56/38/22/708563822.db2.gz PINRHMVVXOSINF-UHFFFAOYSA-N -1 1 321.768 1.435 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CC3(CCC3)CO2)sc1C ZINC000885636270 708588897 /nfs/dbraw/zinc/58/88/97/708588897.db2.gz JHKWXDQKZUSMDW-NSHDSACASA-N -1 1 316.448 1.997 20 0 DDADMM C[C@@H](CS(C)(=O)=O)C(=O)Nc1cc(F)c([O-])cc1Cl ZINC000885677078 708595360 /nfs/dbraw/zinc/59/53/60/708595360.db2.gz ZRJVTRLINJRITN-LURJTMIESA-N -1 1 309.746 1.804 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN(c2nc[nH]n2)CC1 ZINC000898315633 708606727 /nfs/dbraw/zinc/60/67/27/708606727.db2.gz RTRFLEACEKMFSB-UHFFFAOYSA-N -1 1 321.768 1.055 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCO[C@@H]1CCOC1 ZINC000885729877 708610243 /nfs/dbraw/zinc/61/02/43/708610243.db2.gz VKRNEFVXDMTFSD-LLVKDONJSA-N -1 1 323.393 1.323 20 0 DDADMM O=C(C[C@H]1CCC(=O)NC1)NCCc1c(F)cc([O-])cc1F ZINC000886268302 708732777 /nfs/dbraw/zinc/73/27/77/708732777.db2.gz LEFGPJBYKPJNOC-SECBINFHSA-N -1 1 312.316 1.245 20 0 DDADMM CC[C@@]1(C(=O)NCCc2c(F)cc([O-])cc2F)CCNC1=O ZINC000886271372 708733606 /nfs/dbraw/zinc/73/36/06/708733606.db2.gz HFTGAAZDQVVHRV-HNNXBMFYSA-N -1 1 312.316 1.245 20 0 DDADMM C[C@H](O)CCCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927782481 713054889 /nfs/dbraw/zinc/05/48/89/713054889.db2.gz FZZJHPUUIFTHAW-VIFPVBQESA-N -1 1 302.321 1.673 20 0 DDADMM CN(C(=O)C1(c2cccc(Cl)c2)CC(=O)C1)c1nn[n-]n1 ZINC000912616467 713051889 /nfs/dbraw/zinc/05/18/89/713051889.db2.gz GLRSTUMQJQQEOK-UHFFFAOYSA-N -1 1 305.725 1.117 20 0 DDADMM Cn1nnc2c1C[C@H](NC(=O)c1c([O-])cccc1Cl)CC2 ZINC000886748086 708834688 /nfs/dbraw/zinc/83/46/88/708834688.db2.gz JXACCFKRCKENQH-MRVPVSSYSA-N -1 1 306.753 1.461 20 0 DDADMM C[C@]1(NC(=O)CCCc2nn[n-]n2)CCOc2ccccc21 ZINC000887059794 708945873 /nfs/dbraw/zinc/94/58/73/708945873.db2.gz FRNYWJYMEXUTHP-HNNXBMFYSA-N -1 1 301.350 1.337 20 0 DDADMM CO[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)C1CCCC1 ZINC000912698893 713071265 /nfs/dbraw/zinc/07/12/65/713071265.db2.gz UJBJGEJMOPFEKK-ZDUSSCGKSA-N -1 1 305.378 1.995 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)[C@](C)(CC(=O)[O-])c1ccc(F)cc1 ZINC000887316427 709015752 /nfs/dbraw/zinc/01/57/52/709015752.db2.gz PXOZOEDVZMGDQF-RHSMWYFYSA-N -1 1 322.380 1.769 20 0 DDADMM Cc1noc(C[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000887634705 709087428 /nfs/dbraw/zinc/08/74/28/709087428.db2.gz ARHDBLSRTSKCDT-LLVKDONJSA-N -1 1 302.334 1.574 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2C[C@H]3C[C@H]3C[C@H]2C)CC1 ZINC000899538586 709108415 /nfs/dbraw/zinc/10/84/15/709108415.db2.gz UXYOPJBDACMIEX-XLWJZTARSA-N -1 1 308.422 1.676 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCOC[C@H]1C[C@@H]1CCOC1 ZINC000887811207 709130125 /nfs/dbraw/zinc/13/01/25/709130125.db2.gz PYPJGUNPTXPFCD-WCQYABFASA-N -1 1 309.337 1.799 20 0 DDADMM COc1cc(C(=O)N2CCC(=O)N3CCC[C@@H]32)cc(Cl)c1[O-] ZINC000888178694 709235967 /nfs/dbraw/zinc/23/59/67/709235967.db2.gz VAKPXJMIKUSRMP-LBPRGKRZSA-N -1 1 324.764 1.849 20 0 DDADMM CCCNC(=O)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900007139 709264082 /nfs/dbraw/zinc/26/40/82/709264082.db2.gz GKBGCQSWDDSVAZ-UHFFFAOYSA-N -1 1 305.309 1.336 20 0 DDADMM CC[C@](C)(NC(=O)c1ccc(C(F)(F)F)cc1[O-])C(N)=O ZINC000888548555 709338042 /nfs/dbraw/zinc/33/80/42/709338042.db2.gz UTFMBCJZNJSDNE-LBPRGKRZSA-N -1 1 304.268 1.795 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCCC3(CC3)C2)co1 ZINC000888698069 709363539 /nfs/dbraw/zinc/36/35/39/709363539.db2.gz HZTZXADYQBLZKE-LLVKDONJSA-N -1 1 312.391 1.640 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1ccccc1F)c1nn[n-]n1 ZINC000912858618 713109013 /nfs/dbraw/zinc/10/90/13/713109013.db2.gz WEOXJFZUPWHLDP-SYTKJHMZSA-N -1 1 321.381 1.963 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(F)cc(F)c1)c1nn[n-]n1 ZINC000912859657 713109668 /nfs/dbraw/zinc/10/96/68/713109668.db2.gz BVFGUXUXGZXVBV-JTQLQIEISA-N -1 1 313.333 1.702 20 0 DDADMM CSCC[C@H](NC(=O)c1cccc(C)c1F)c1nn[n-]n1 ZINC000912861379 713109864 /nfs/dbraw/zinc/10/98/64/713109864.db2.gz IHMBMTKLFDMROF-JTQLQIEISA-N -1 1 309.370 1.871 20 0 DDADMM CSCC[C@H](NC(=O)c1csc(Cl)n1)c1nn[n-]n1 ZINC000912862047 713110478 /nfs/dbraw/zinc/11/04/78/713110478.db2.gz IHKVSPRSPAOQOR-YFKPBYRVSA-N -1 1 318.815 1.534 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000909677127 709571443 /nfs/dbraw/zinc/57/14/43/709571443.db2.gz DVYWYSQPFCFOEL-PUHVVEEASA-N -1 1 303.362 1.541 20 0 DDADMM C[C@](CC(=O)[O-])(NC(=O)CN1CCCC1)c1cccc(F)c1 ZINC000909679641 709573681 /nfs/dbraw/zinc/57/36/81/709573681.db2.gz AZQUCORJGSQPFU-MRXNPFEDSA-N -1 1 308.353 1.728 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(C(=O)CN(C)[C@H]2CCSC2)C1 ZINC000909697437 709583578 /nfs/dbraw/zinc/58/35/78/709583578.db2.gz FYTMJHUYJPZVLR-SWLSCSKDSA-N -1 1 314.451 1.383 20 0 DDADMM CSC[C@H]1CCCN1C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909725836 709597682 /nfs/dbraw/zinc/59/76/82/709597682.db2.gz LVNLNDGUGYTPSS-VXGBXAGGSA-N -1 1 300.424 1.137 20 0 DDADMM CC(C)C[C@H](CNC(=O)c1ccc2c(n1)CNCC2)C(=O)[O-] ZINC000900462667 709606954 /nfs/dbraw/zinc/60/69/54/709606954.db2.gz NYRMTCFYTBINOQ-GFCCVEGCSA-N -1 1 305.378 1.204 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)C2=C(C)OCCC2)[n-]c1=O ZINC000889791017 709637645 /nfs/dbraw/zinc/63/76/45/709637645.db2.gz WUPIEWZCPFCKQM-GFCCVEGCSA-N -1 1 319.361 1.939 20 0 DDADMM C[C@@H](NC(=O)[C@@H]1CCCCN1C)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909819206 709638930 /nfs/dbraw/zinc/63/89/30/709638930.db2.gz ILUVHNNAZDPDJX-KBMXLJTQSA-N -1 1 318.417 1.919 20 0 DDADMM COc1cccc([C@@](C)(CC(=O)[O-])NC(=O)[C@@H](C)N(C)C)c1 ZINC000909819735 709639132 /nfs/dbraw/zinc/63/91/32/709639132.db2.gz PODXCHYOVMXOEW-BDJLRTHQSA-N -1 1 308.378 1.451 20 0 DDADMM CC(C)C[C@H](CNC(=O)[C@H](C)CN1CCOCC1)CC(=O)[O-] ZINC000909875835 709664959 /nfs/dbraw/zinc/66/49/59/709664959.db2.gz YBZDIZBVWJOQFX-KGLIPLIRSA-N -1 1 314.426 1.208 20 0 DDADMM Cc1cnc(CCNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c(C)c1 ZINC000909917075 709687570 /nfs/dbraw/zinc/68/75/70/709687570.db2.gz WVSTWNRRBLKDGI-CQSZACIVSA-N -1 1 319.405 1.154 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)[C@@H]2CCc3nc[nH]c3C2)CC12CCC2 ZINC000909936106 709694516 /nfs/dbraw/zinc/69/45/16/709694516.db2.gz QVTKPFZYMQXYQV-QLJPJBMISA-N -1 1 321.352 1.320 20 0 DDADMM CC(C)(C)[S@@](=O)CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900671388 709704936 /nfs/dbraw/zinc/70/49/36/709704936.db2.gz MNUSRBGPQHXYPG-NRFANRHFSA-N -1 1 311.407 1.337 20 0 DDADMM CN(CC(=O)NC[C@@H](CC(=O)[O-])C1CC1)[C@H]1CCSC1 ZINC000909968135 709712425 /nfs/dbraw/zinc/71/24/25/709712425.db2.gz HIMCXJRKBIQKIH-NEPJUHHUSA-N -1 1 300.424 1.041 20 0 DDADMM O=C([O-])C[C@H](CNC(=O)Cc1n[nH]c2c1CCCC2)C1CC1 ZINC000909968181 709712435 /nfs/dbraw/zinc/71/24/35/709712435.db2.gz HZXLMPLWVHQAID-LLVKDONJSA-N -1 1 305.378 1.448 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@@H]1CCC[C@]1(C)C(=O)[O-] ZINC000909992609 709725794 /nfs/dbraw/zinc/72/57/94/709725794.db2.gz FOAUQFSJZLBIMG-QAPCUYQASA-N -1 1 318.417 1.921 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1[C@@H](C(=O)[O-])CC[C@H]1c1ccccc1 ZINC000910097853 709771189 /nfs/dbraw/zinc/77/11/89/709771189.db2.gz BLMSBJRQMHSMHL-RRFJBIMHSA-N -1 1 302.374 1.898 20 0 DDADMM CC(C)N1CCC[C@@H]1C(=O)N[C@@H](C)c1ncc(C(=O)[O-])s1 ZINC000910150039 709792261 /nfs/dbraw/zinc/79/22/61/709792261.db2.gz LTDWMZLUWSBEDE-VHSXEESVSA-N -1 1 311.407 1.891 20 0 DDADMM CCC[C@@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)OCC ZINC000910191847 709805412 /nfs/dbraw/zinc/80/54/12/709805412.db2.gz UQUDSBVWWMIWEX-OLZOCXBDSA-N -1 1 300.399 1.104 20 0 DDADMM CCN(C)[C@H](C(=O)N1CC[C@](OC)(C(=O)[O-])C1)c1ccccc1 ZINC000910219407 709821210 /nfs/dbraw/zinc/82/12/10/709821210.db2.gz KRKYMHYXICVEGU-WMLDXEAASA-N -1 1 320.389 1.382 20 0 DDADMM COC(C)(C)C[C@H](C)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000910250339 709840647 /nfs/dbraw/zinc/84/06/47/709840647.db2.gz HKQOUEJKEUITHR-NWDGAFQWSA-N -1 1 300.399 1.103 20 0 DDADMM CO[C@@H]1CN(C(=O)c2ccc3cncn3c2)[C@@](C)(C(=O)[O-])C1 ZINC000910264129 709848378 /nfs/dbraw/zinc/84/83/78/709848378.db2.gz NQKFXOAXJGRVNB-SWLSCSKDSA-N -1 1 303.318 1.039 20 0 DDADMM O=C(Cc1cnc[nH]1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000901053461 709902375 /nfs/dbraw/zinc/90/23/75/709902375.db2.gz PSPPUYOKWKBNJI-UHFFFAOYSA-N -1 1 313.357 1.779 20 0 DDADMM CN(C)Cc1cc(CNc2ncncc2C(=O)[O-])ccc1F ZINC000910356747 709908335 /nfs/dbraw/zinc/90/83/35/709908335.db2.gz HPOULDSTWZCLJW-UHFFFAOYSA-N -1 1 304.325 1.988 20 0 DDADMM Cc1ccccc1[C@H](O)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901072655 709913656 /nfs/dbraw/zinc/91/36/56/709913656.db2.gz JCJJMDZTNJOIKI-CQSZACIVSA-N -1 1 313.357 1.831 20 0 DDADMM O=C(CN1CCCCS1(=O)=O)Nc1ccc([O-])c(Cl)c1 ZINC000901162958 709952092 /nfs/dbraw/zinc/95/20/92/709952092.db2.gz QTOGWCAFOJOUIW-UHFFFAOYSA-N -1 1 318.782 1.410 20 0 DDADMM CC(C)N(C)CC(=O)Nc1nccc(Br)c1[O-] ZINC000913026605 713146685 /nfs/dbraw/zinc/14/66/85/713146685.db2.gz WWEUWAYWMXWCHS-UHFFFAOYSA-N -1 1 302.172 1.828 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC[C@H]1CCC2(CCC2)CO1 ZINC000910542283 709991433 /nfs/dbraw/zinc/99/14/33/709991433.db2.gz KNNLTYBVXQXACA-ZIAGYGMSSA-N -1 1 324.421 1.249 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC[C@@H]1CCC2(CCC2)CO1 ZINC000910542281 709991474 /nfs/dbraw/zinc/99/14/74/709991474.db2.gz KNNLTYBVXQXACA-KGLIPLIRSA-N -1 1 324.421 1.249 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2CC2CCOCC2)c([O-])c1 ZINC000890803562 709994259 /nfs/dbraw/zinc/99/42/59/709994259.db2.gz JXMAWTCZKWKFMC-AWEZNQCLSA-N -1 1 320.389 1.753 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)[C@@H]2C[C@@H]2C(N)=O)c1 ZINC000901350418 710019955 /nfs/dbraw/zinc/01/99/55/710019955.db2.gz SNSKXDJPLGOSLE-NKWVEPMBSA-N -1 1 313.151 1.523 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000901438092 710040205 /nfs/dbraw/zinc/04/02/05/710040205.db2.gz GRHXHTFYZKQHHW-UHFFFAOYSA-N -1 1 320.389 1.359 20 0 DDADMM Cn1c2ccccc2nc1NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000910695584 710051148 /nfs/dbraw/zinc/05/11/48/710051148.db2.gz UDOUHMDLGZONHW-LLVKDONJSA-N -1 1 316.361 1.308 20 0 DDADMM Cc1sc([C@H]2CCCN2C(=O)c2ccn[nH]2)nc1C(=O)[O-] ZINC000910720924 710057115 /nfs/dbraw/zinc/05/71/15/710057115.db2.gz ITAADYRUSJKJIN-SECBINFHSA-N -1 1 306.347 1.850 20 0 DDADMM CCc1ncc(CN[C@H](C(=O)[O-])c2ccc3c(c2)CCO3)cn1 ZINC000901530735 710067714 /nfs/dbraw/zinc/06/77/14/710067714.db2.gz QRLMSDPLUBLITG-INIZCTEOSA-N -1 1 313.357 1.889 20 0 DDADMM CO[C@@](C)(CN(C)CC(=O)N1CCC[C@@H](C(=O)[O-])C1)C1CC1 ZINC000901623210 710099619 /nfs/dbraw/zinc/09/96/19/710099619.db2.gz GMJMPYFRXVBDNS-WBMJQRKESA-N -1 1 312.410 1.057 20 0 DDADMM CC[C@@H](C)[C@@H](CN(C)CC(=O)N1CCC(C(=O)[O-])CC1)OC ZINC000901626020 710100424 /nfs/dbraw/zinc/10/04/24/710100424.db2.gz IKVXYEZLPUNKFY-TZMCWYRMSA-N -1 1 314.426 1.303 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)C1 ZINC000901659811 710112113 /nfs/dbraw/zinc/11/21/13/710112113.db2.gz FDIMWJHFBLFWPJ-FPQZTECRSA-N -1 1 305.378 1.645 20 0 DDADMM O=C([O-])[C@H](Cc1ccc(F)cc1)NC(=O)[C@@H]1CCCN1C1CC1 ZINC000910924049 710119994 /nfs/dbraw/zinc/11/99/94/710119994.db2.gz BNYWRMDGPQMPLD-GJZGRUSLSA-N -1 1 320.364 1.564 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC000901704204 710126172 /nfs/dbraw/zinc/12/61/72/710126172.db2.gz GTIGMUPOICCQAB-ZWNOBZJWSA-N -1 1 305.378 1.789 20 0 DDADMM CCn1nc(CN[C@@]2(CC(=O)[O-])CCOC2)c2ccccc21 ZINC000901839329 710158090 /nfs/dbraw/zinc/15/80/90/710158090.db2.gz ZIVKKDPYPFXGSX-MRXNPFEDSA-N -1 1 303.362 1.780 20 0 DDADMM CCn1nc(CN[C@]2(CC(=O)[O-])CCOC2)c2ccccc21 ZINC000901839327 710158099 /nfs/dbraw/zinc/15/80/99/710158099.db2.gz ZIVKKDPYPFXGSX-INIZCTEOSA-N -1 1 303.362 1.780 20 0 DDADMM CCn1nc(C)c(CN2C[C@H](C(=O)[O-])[C@H](c3ccccc3)C2)n1 ZINC000901887431 710165473 /nfs/dbraw/zinc/16/54/73/710165473.db2.gz KFGKAZIXXMOEEN-GJZGRUSLSA-N -1 1 314.389 1.907 20 0 DDADMM C[C@@H]1CN(Cc2cc(-n3ccnc3)cs2)C[C@@H](C(=O)[O-])O1 ZINC000901933826 710178186 /nfs/dbraw/zinc/17/81/86/710178186.db2.gz CRIKUIYJYNKWID-MFKMUULPSA-N -1 1 307.375 1.608 20 0 DDADMM O=C([O-])CC[C@@H]1C[C@H](C(=O)N2CCC(c3cnc[nH]3)CC2)CO1 ZINC000901953665 710184062 /nfs/dbraw/zinc/18/40/62/710184062.db2.gz AUQNQIZPAMYTLE-QWHCGFSZSA-N -1 1 321.377 1.386 20 0 DDADMM Cc1cc(C)n2c(CN3CCSC[C@H]3CC(=O)[O-])cnc2n1 ZINC000901984707 710192645 /nfs/dbraw/zinc/19/26/45/710192645.db2.gz PGTLQZVILCKUFC-GFCCVEGCSA-N -1 1 320.418 1.738 20 0 DDADMM COC[C@]1(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)CCOC1 ZINC000891612773 710248471 /nfs/dbraw/zinc/24/84/71/710248471.db2.gz BTLBZJDZWZXSQZ-OAHLLOKOSA-N -1 1 307.350 1.053 20 0 DDADMM COCc1nc(NC2CCN(CC(F)(F)F)CC2)cc(=O)[n-]1 ZINC000892078278 710367409 /nfs/dbraw/zinc/36/74/09/710367409.db2.gz IXQYAXBFGUCOEK-UHFFFAOYSA-N -1 1 320.315 1.767 20 0 DDADMM C[C@H](CS(C)(=O)=O)NCc1ncc(Br)cc1[O-] ZINC000892947021 710530173 /nfs/dbraw/zinc/53/01/73/710530173.db2.gz ALCBFZJWZNXXLE-SSDOTTSWSA-N -1 1 323.212 1.072 20 0 DDADMM COC[C@@H]([N-]c1nc2cc(Br)ccc2o1)C(N)=O ZINC000893015297 710541590 /nfs/dbraw/zinc/54/15/90/710541590.db2.gz YEAQZAIUKCNBON-MRVPVSSYSA-N -1 1 314.139 1.503 20 0 DDADMM CCNC(=O)CN(CC)Cc1ccnc2c(C(=O)[O-])cccc12 ZINC000902072467 710604320 /nfs/dbraw/zinc/60/43/20/710604320.db2.gz RHGIXUUQVZWLTH-UHFFFAOYSA-N -1 1 315.373 1.891 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)NC[C@@H](CC(=O)[O-])C3CC3)c2C1 ZINC000902086872 710610101 /nfs/dbraw/zinc/61/01/01/710610101.db2.gz HXMOLCDNAQZXMM-GXSJLCMTSA-N -1 1 305.378 1.765 20 0 DDADMM CN(C)[C@H](CNC(=O)Cn1cc(C(=O)[O-])cn1)c1cccs1 ZINC000911102667 710634645 /nfs/dbraw/zinc/63/46/45/710634645.db2.gz UHUZOZZFAMVXGJ-LLVKDONJSA-N -1 1 322.390 1.062 20 0 DDADMM Cc1cc(C(=O)NC[C@H]2CN(CC(C)C)CCO2)oc1C(=O)[O-] ZINC000911118168 710642782 /nfs/dbraw/zinc/64/27/82/710642782.db2.gz JKZMFSBSPGEOSP-LBPRGKRZSA-N -1 1 324.377 1.373 20 0 DDADMM Cc1cccc(OC[C@@H](O)CNCc2ccc(C(=O)[O-])o2)c1 ZINC000902176430 710649635 /nfs/dbraw/zinc/64/96/35/710649635.db2.gz DZGJGQCMPCZZFT-LBPRGKRZSA-N -1 1 305.330 1.816 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000911304612 710735503 /nfs/dbraw/zinc/73/55/03/710735503.db2.gz WIFVMMBBQKSWDD-WFASDCNBSA-N -1 1 304.390 1.660 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2coc(/C=C/C(=O)[O-])c2)CCN1C ZINC000902487425 710768798 /nfs/dbraw/zinc/76/87/98/710768798.db2.gz CRDHKHKAOYNSEL-ISZGNANSSA-N -1 1 306.362 1.790 20 0 DDADMM Cc1ccc(C(C)(C)NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cn1 ZINC000911475577 710814026 /nfs/dbraw/zinc/81/40/26/710814026.db2.gz FJVFQGCBACBONQ-CYBMUJFWSA-N -1 1 319.405 1.538 20 0 DDADMM C[C@@]1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCCOC1 ZINC000913437550 713215798 /nfs/dbraw/zinc/21/57/98/713215798.db2.gz MSKRTFNDLSGSMG-SWLSCSKDSA-N -1 1 301.350 1.222 20 0 DDADMM CC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCOCC1 ZINC000913438845 713215956 /nfs/dbraw/zinc/21/59/56/713215956.db2.gz XGNQJKQRAPUNMQ-LBPRGKRZSA-N -1 1 301.350 1.222 20 0 DDADMM C[C@H]1CSCCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000903037179 711010679 /nfs/dbraw/zinc/01/06/79/711010679.db2.gz ZPYGVLYZFOBKRH-JTQLQIEISA-N -1 1 303.387 1.891 20 0 DDADMM COCc1nc(N[C@H](CO)[C@H]2CCC[C@@H](OC)C2)cc(=O)[n-]1 ZINC000894500472 711150617 /nfs/dbraw/zinc/15/06/17/711150617.db2.gz UJLQDFPOWLEKCY-QJPTWQEYSA-N -1 1 311.382 1.307 20 0 DDADMM CNc1ccc(Cl)c(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1 ZINC000913500559 713240046 /nfs/dbraw/zinc/24/00/46/713240046.db2.gz ZGOZQHAADIQYEG-LLVKDONJSA-N -1 1 322.756 1.109 20 0 DDADMM CCCCc1cc([N-]S(=O)(=O)c2cccnc2OC)[nH]n1 ZINC000903610688 711222956 /nfs/dbraw/zinc/22/29/56/711222956.db2.gz CDULJZVOFDNGBJ-UHFFFAOYSA-N -1 1 310.379 1.957 20 0 DDADMM CCOc1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)ccc1C ZINC000913494662 713237557 /nfs/dbraw/zinc/23/75/57/713237557.db2.gz IFQQJNRIXYQIOM-GFCCVEGCSA-N -1 1 317.349 1.121 20 0 DDADMM CC[C@H](Cc1ccccc1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913495300 713237942 /nfs/dbraw/zinc/23/79/42/713237942.db2.gz UUEMQRARKBDMGF-ZIAGYGMSSA-N -1 1 315.377 1.369 20 0 DDADMM Cc1cccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1Cl ZINC000913495921 713238288 /nfs/dbraw/zinc/23/82/88/713238288.db2.gz PPTLTIUDELNUEZ-SNVBAGLBSA-N -1 1 307.741 1.375 20 0 DDADMM C[C@@H](OC1CCCCCC1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496023 713238394 /nfs/dbraw/zinc/23/83/94/713238394.db2.gz RELOOIBXURTVFI-YPMHNXCESA-N -1 1 323.397 1.228 20 0 DDADMM O=C(c1ccc(C2CCC2)cc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496681 713238790 /nfs/dbraw/zinc/23/87/90/713238790.db2.gz ADYDLALGLNDWRO-AWEZNQCLSA-N -1 1 313.361 1.681 20 0 DDADMM CCOC(=O)[C@@H](F)[C@H]1CCN(C(=O)c2ncc(C)cc2[O-])C1 ZINC000912254104 711247718 /nfs/dbraw/zinc/24/77/18/711247718.db2.gz LHLYIARYRGOICU-JQWIXIFHSA-N -1 1 310.325 1.459 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1csc(C(=O)OC)c1 ZINC000903712655 711251679 /nfs/dbraw/zinc/25/16/79/711251679.db2.gz PYJBYVIQCBMSIB-UHFFFAOYSA-N -1 1 301.364 1.458 20 0 DDADMM O=C(C(=O)N1NC(=O)CC12CCCCCC2)c1ccc([O-])cc1 ZINC000912272923 711254048 /nfs/dbraw/zinc/25/40/48/711254048.db2.gz CDBWHLQAJHCUHT-UHFFFAOYSA-N -1 1 316.357 1.931 20 0 DDADMM C[C@@H]1C[C@H](C)N(Cc2nnc3n2CCCC3)[C@@H](C)[C@@H]1C(=O)[O-] ZINC000903951495 711345090 /nfs/dbraw/zinc/34/50/90/711345090.db2.gz KOLMUQVPVJFLEC-OXJKWZBOSA-N -1 1 306.410 1.934 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC=CC12CCCCC2 ZINC000912480525 711347020 /nfs/dbraw/zinc/34/70/20/711347020.db2.gz KVIUPUHNZDRPHN-UHFFFAOYSA-N -1 1 303.362 1.028 20 0 DDADMM Cc1cnn(C)c1CN[C@@H](CC(=O)[O-])c1ccc2c(c1)OCO2 ZINC000904045468 711369190 /nfs/dbraw/zinc/36/91/90/711369190.db2.gz MNHVBRDOQLUNFG-LBPRGKRZSA-N -1 1 317.345 1.763 20 0 DDADMM CN(C)Cc1csc(CN[C@@H]2C[C@@H](NC(=O)[O-])C23CCC3)n1 ZINC000904258325 711420052 /nfs/dbraw/zinc/42/00/52/711420052.db2.gz LMPNHIZHMANCEF-VXGBXAGGSA-N -1 1 324.450 1.873 20 0 DDADMM O=c1nc(NCCc2nc3c(s2)CCC3)nc2[nH][n-]cc1-2 ZINC000896069827 711671801 /nfs/dbraw/zinc/67/18/01/711671801.db2.gz JFWXTFZQTHRRSS-UHFFFAOYSA-N -1 1 302.363 1.032 20 0 DDADMM COCCC1(C(=O)N2CCC(c3nn[n-]n3)CC2)CCCC1 ZINC000913743859 713292574 /nfs/dbraw/zinc/29/25/74/713292574.db2.gz ODJLCGGFIQFMPA-UHFFFAOYSA-N -1 1 307.398 1.503 20 0 DDADMM CC(=O)[C@H](C)CCCCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743840 713292610 /nfs/dbraw/zinc/29/26/10/713292610.db2.gz NJWPTPMECYAZJB-LLVKDONJSA-N -1 1 307.398 1.691 20 0 DDADMM O=Cc1ccc(F)c(C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913745478 713293630 /nfs/dbraw/zinc/29/36/30/713293630.db2.gz GRMMNUIIKZXSME-UHFFFAOYSA-N -1 1 303.297 1.171 20 0 DDADMM Cc1nc(C)c(CC(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC000913747000 713294614 /nfs/dbraw/zinc/29/46/14/713294614.db2.gz NYIJYLYHHWECBB-UHFFFAOYSA-N -1 1 306.395 1.222 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@H]1C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000913780326 713299618 /nfs/dbraw/zinc/29/96/18/713299618.db2.gz UEVNLLFBVQGOMK-PRFIWBCESA-N -1 1 322.327 1.327 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@H]1CCCCC1(OC)OC)C(C)C ZINC000918061170 713527774 /nfs/dbraw/zinc/52/77/74/713527774.db2.gz NCXYOZNGWABPPF-OLZOCXBDSA-N -1 1 323.455 1.509 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@@H]1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000906376096 712310070 /nfs/dbraw/zinc/31/00/70/712310070.db2.gz JOXLTPAOMHXQDK-NTSWFWBYSA-N -1 1 306.244 1.351 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]C[C@H]2CC2(Cl)Cl)s1 ZINC000913898574 713316343 /nfs/dbraw/zinc/31/63/43/713316343.db2.gz LIDZUGMFTRXKEG-RXMQYKEDSA-N -1 1 317.219 1.624 20 0 DDADMM CCc1cc(C(=O)NCc2nn[n-]n2)ccc1Br ZINC000906650909 712380047 /nfs/dbraw/zinc/38/00/47/712380047.db2.gz PDOBCAVHVFMCNX-UHFFFAOYSA-N -1 1 310.155 1.455 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccco1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907471971 712580591 /nfs/dbraw/zinc/58/05/91/712580591.db2.gz KOBMINAYRXERQK-BBBLOLIVSA-N -1 1 305.363 1.213 20 0 DDADMM Cc1c(F)cccc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480680 712583935 /nfs/dbraw/zinc/58/39/35/712583935.db2.gz QIFTWHBPRPILOA-NSHDSACASA-N -1 1 307.354 1.578 20 0 DDADMM CN1C(=O)Cc2cc(NC(=O)c3cnc(C4CC4)[n-]c3=O)ccc21 ZINC000907830010 712632310 /nfs/dbraw/zinc/63/23/10/712632310.db2.gz NZPQCHLVWVRMMC-UHFFFAOYSA-N -1 1 324.340 1.831 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)N[C@@H]3C[C@@H]4C[C@@H](C(=O)[O-])C[C@H]34)c2C1 ZINC000907947766 712653588 /nfs/dbraw/zinc/65/35/88/712653588.db2.gz HLLQSJPUMLOQDA-GRZGAGJTSA-N -1 1 317.389 1.764 20 0 DDADMM CCO[C@]12CCC[C@@]1([N-]S(=O)(=O)[C@H]1CCO[C@@H]1C)CCO2 ZINC000908018167 712667205 /nfs/dbraw/zinc/66/72/05/712667205.db2.gz APXQSANHEVEPKD-RQJABVFESA-N -1 1 319.423 1.159 20 0 DDADMM O=S(=O)([N-]C1CC(CCO)C1)c1c(F)cccc1Cl ZINC000908056158 712672766 /nfs/dbraw/zinc/67/27/66/712672766.db2.gz IDFMVFFZSGZSAN-UHFFFAOYSA-N -1 1 307.774 1.918 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C(=O)O1 ZINC000908207366 712710422 /nfs/dbraw/zinc/71/04/22/712710422.db2.gz FTAORJWAYNRXFF-XCBNKYQSSA-N -1 1 304.277 1.513 20 0 DDADMM CN(C)CC(=O)N[C@H](CC(=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC000908418502 712766877 /nfs/dbraw/zinc/76/68/77/712766877.db2.gz HBDKBMFLLHRGKB-LLVKDONJSA-N -1 1 318.295 1.899 20 0 DDADMM NC(=O)CCCC(=O)Nc1cccc([O-])c1Br ZINC000908717121 712840480 /nfs/dbraw/zinc/84/04/80/712840480.db2.gz VFKGWBOBGSMPQW-UHFFFAOYSA-N -1 1 301.140 1.749 20 0 DDADMM CCCC[C@H](COC)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908813328 712859847 /nfs/dbraw/zinc/85/98/47/712859847.db2.gz UTDSWOOJJZWEPB-QWHCGFSZSA-N -1 1 300.399 1.104 20 0 DDADMM CCCC(O)(CCC)CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908820567 712861778 /nfs/dbraw/zinc/86/17/78/712861778.db2.gz OVWYJJNLEQOKPF-CYBMUJFWSA-N -1 1 314.426 1.231 20 0 DDADMM Cc1ccc([C@H](CNC(=O)N(C)[C@@H](C)C(=O)[O-])N2CCCC2)o1 ZINC000908924577 712888530 /nfs/dbraw/zinc/88/85/30/712888530.db2.gz IJIBIORDBZWELH-STQMWFEESA-N -1 1 323.393 1.839 20 0 DDADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)c1ccns1 ZINC000918061173 713527711 /nfs/dbraw/zinc/52/77/11/713527711.db2.gz NGDONNLPWPWYCG-SECBINFHSA-N -1 1 306.409 1.353 20 0 DDADMM CC[C@](C)(NC(=O)N[C@@H]1CCCN2CCSC[C@H]12)C(=O)[O-] ZINC000929063604 713542373 /nfs/dbraw/zinc/54/23/73/713542373.db2.gz OMYLQGMXEWLQDX-GYSYKLTISA-N -1 1 315.439 1.119 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@@H]2c3ccccc3OC[C@@H]2F)nn1 ZINC000921318204 713731983 /nfs/dbraw/zinc/73/19/83/713731983.db2.gz RXADPCQDOWJTMA-SMDDNHRTSA-N -1 1 323.349 1.535 20 0 DDADMM O=S(=O)([N-]CCO[C@@H]1CCOC1)c1ccc(C(F)F)o1 ZINC000921884845 713896885 /nfs/dbraw/zinc/89/68/85/713896885.db2.gz PYPKYUUSQRVHQG-MRVPVSSYSA-N -1 1 311.306 1.301 20 0 DDADMM O=S(=O)([N-][C@]12C[C@H]1COC21CCC1)c1ccc(F)nc1F ZINC000922106519 713963656 /nfs/dbraw/zinc/96/36/56/713963656.db2.gz OCPYIHQLNUPOAS-ISVAXAHUSA-N -1 1 316.329 1.350 20 0 DDADMM COC[C@@H](CNC(=O)N=c1ccnc2n(C)[n-]cc1-2)C(C)C ZINC000931131033 714010449 /nfs/dbraw/zinc/01/04/49/714010449.db2.gz AQROMJLIAMEGRC-LLVKDONJSA-N -1 1 305.382 1.382 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCOC1CC1 ZINC000922415158 714046599 /nfs/dbraw/zinc/04/65/99/714046599.db2.gz VPMCUYAGXWVCKY-UHFFFAOYSA-N -1 1 305.334 1.316 20 0 DDADMM CO[N-]C(=O)CNCc1ccc(OC)cc1Br ZINC000922827029 714160130 /nfs/dbraw/zinc/16/01/30/714160130.db2.gz DKPOWXLACZEBDW-UHFFFAOYSA-N -1 1 303.156 1.225 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)OCCO[C@H]1CCOC1 ZINC000922913175 714184899 /nfs/dbraw/zinc/18/48/99/714184899.db2.gz SCNDKGNUEURCRO-NSHDSACASA-N -1 1 300.738 1.937 20 0 DDADMM CCOCCONC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932047555 714245807 /nfs/dbraw/zinc/24/58/07/714245807.db2.gz BZVNOXAJCKURFN-UHFFFAOYSA-N -1 1 306.322 1.668 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@@H]1C[C@H](C(=O)[O-])[C@H]2C[C@H]21)c1ccccc1 ZINC000923194517 714268257 /nfs/dbraw/zinc/26/82/57/714268257.db2.gz QJVALUXQMADANV-NPJQDHAYSA-N -1 1 316.401 1.905 20 0 DDADMM CC[C@]1(C(=O)[O-])CCCN([C@@H](C)C(=O)NCC(F)(F)F)C1 ZINC000923468860 714366154 /nfs/dbraw/zinc/36/61/54/714366154.db2.gz GKVSRUVKBSHGBI-CABZTGNLSA-N -1 1 310.316 1.630 20 0 DDADMM Cc1csc(C[C@@H]2CCCN(C(=O)CCc3nn[n-]n3)C2)n1 ZINC000924345413 714566989 /nfs/dbraw/zinc/56/69/89/714566989.db2.gz JTTDCSGDGUCWPN-NSHDSACASA-N -1 1 320.422 1.379 20 0 DDADMM CC[C@@H](C)NC(=O)CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934282144 714786179 /nfs/dbraw/zinc/78/61/79/714786179.db2.gz MMYVFHFKDQMXCW-MNOVXSKESA-N -1 1 308.382 1.242 20 0 DDADMM CC[C@H](C)NC(=O)CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934282151 714786200 /nfs/dbraw/zinc/78/62/00/714786200.db2.gz MMYVFHFKDQMXCW-WDEREUQCSA-N -1 1 308.382 1.242 20 0 DDADMM Cc1cc(C)n(CCCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)n1 ZINC000935369258 715039049 /nfs/dbraw/zinc/03/90/49/715039049.db2.gz VZSCLRFPJWHGBO-SNVBAGLBSA-N -1 1 305.386 1.055 20 0 DDADMM Cc1ccccc1[C@H](C)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000935457753 715060551 /nfs/dbraw/zinc/06/05/51/715060551.db2.gz YTNRVOXLFSBGDA-AAEUAGOBSA-N -1 1 317.418 1.928 20 0 DDADMM CC(C)OC(=O)N1CCN([C@@H](C(=O)[O-])c2ccccc2)CC1 ZINC000926470859 715072737 /nfs/dbraw/zinc/07/27/37/715072737.db2.gz MNOYQBVDRUTLOV-CQSZACIVSA-N -1 1 306.362 1.975 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-][C@@H]2C[C@H](C)OC2=O)cnc1Cl ZINC000935986534 715142094 /nfs/dbraw/zinc/14/20/94/715142094.db2.gz NOLIJWKVWCBNRO-IONNQARKSA-N -1 1 318.707 1.955 20 0 DDADMM C[C@@H](C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937211631 715292947 /nfs/dbraw/zinc/29/29/47/715292947.db2.gz NQOKAVIAFFQKHG-DGCLKSJQSA-N -1 1 317.389 1.506 20 0 DDADMM CN(C(=O)[C@H]1CC12CCC2)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954284199 715323353 /nfs/dbraw/zinc/32/33/53/715323353.db2.gz YMLOTYAXCTWNPT-GFCCVEGCSA-N -1 1 315.373 1.260 20 0 DDADMM CC(=O)NC1(C)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000956255205 715372338 /nfs/dbraw/zinc/37/23/38/715372338.db2.gz SNSNGOFPRGZECR-UHFFFAOYSA-N -1 1 318.377 1.190 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)C2=CCCC2)C1)c1ncccc1[O-] ZINC000937860059 715616196 /nfs/dbraw/zinc/61/61/96/715616196.db2.gz ATYUQDCXKJUYKT-LBPRGKRZSA-N -1 1 301.346 1.228 20 0 DDADMM C[C@H](CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937864688 715619259 /nfs/dbraw/zinc/61/92/59/715619259.db2.gz VSQDNFQNXFOORO-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CCCC(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964402253 717249165 /nfs/dbraw/zinc/24/91/65/717249165.db2.gz MUBUECQTIZLYOR-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])C1CC=CC1 ZINC000943976989 718236087 /nfs/dbraw/zinc/23/60/87/718236087.db2.gz RMLCQVZBIRPQRQ-CYBMUJFWSA-N -1 1 315.373 1.474 20 0 DDADMM CC(C)(C)CC(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000944262897 718280134 /nfs/dbraw/zinc/28/01/34/718280134.db2.gz AHIGLMDCNAZCFS-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM CCCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000945121668 718402671 /nfs/dbraw/zinc/40/26/71/718402671.db2.gz JSIBJWMTQVCTHC-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(CCC1CCCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000966562712 718589877 /nfs/dbraw/zinc/58/98/77/718589877.db2.gz NXNWTXTVNWLEKF-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM CC(C)C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC000945934573 718618481 /nfs/dbraw/zinc/61/84/81/718618481.db2.gz ZDJHYYWJQXLZAO-QWHCGFSZSA-N -1 1 317.389 1.506 20 0 DDADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)c1ccco1 ZINC000946533570 718821586 /nfs/dbraw/zinc/82/15/86/718821586.db2.gz YSBNPFBKXDZHFY-UHFFFAOYSA-N -1 1 315.329 1.415 20 0 DDADMM Cc1conc1CN[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000968485863 719615350 /nfs/dbraw/zinc/61/53/50/719615350.db2.gz HDDVQYZPBRXICQ-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM CC1(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCCCC1 ZINC000969289326 720042261 /nfs/dbraw/zinc/04/22/61/720042261.db2.gz VLTZRPPZDCZZEQ-GFCCVEGCSA-N -1 1 321.425 1.561 20 0 DDADMM CS[C@H](C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950118413 720497712 /nfs/dbraw/zinc/49/77/12/720497712.db2.gz QMKQGYKDYJWPDO-GHMZBOCLSA-N -1 1 323.418 1.260 20 0 DDADMM CN(C(=O)C1CCC1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953684399 721642150 /nfs/dbraw/zinc/64/21/50/721642150.db2.gz VMFUOCYLRNQSHG-UHFFFAOYSA-N -1 1 317.389 1.650 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC2(C)C)C1 ZINC000954123856 721723342 /nfs/dbraw/zinc/72/33/42/721723342.db2.gz ASVNRWZYSTXXNC-NSHDSACASA-N -1 1 303.362 1.116 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001021001489 732873370 /nfs/dbraw/zinc/87/33/70/732873370.db2.gz CYOCIGRPQMOGIH-QWHCGFSZSA-N -1 1 315.373 1.426 20 0 DDADMM C[C@@H](C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001021222045 733074277 /nfs/dbraw/zinc/07/42/77/733074277.db2.gz SNHZLWNMRZWQAZ-NSNUZPRRSA-N -1 1 319.409 1.168 20 0 DDADMM CC(C)[C@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167366885 733303425 /nfs/dbraw/zinc/30/34/25/733303425.db2.gz UKWDBZHYSWJQQZ-NSHDSACASA-N -1 1 309.414 1.179 20 0 DDADMM CCSCC[C@H](C)N(C)c1nnc(-c2c[n-][nH]c2=O)n1C ZINC001121363505 782473168 /nfs/dbraw/zinc/47/31/68/782473168.db2.gz WMPRKNKZOFFTDZ-VIFPVBQESA-N -1 1 310.427 1.879 20 0 DDADMM O=C(N[C@H]1CCN(c2nc3c(cccc3F)o2)C1)c1cnn[nH]1 ZINC001058599166 736150307 /nfs/dbraw/zinc/15/03/07/736150307.db2.gz JALVXJNAVANQQS-QMMMGPOBSA-N -1 1 316.296 1.094 20 0 DDADMM C[C@@H](CNc1ncc(F)cn1)N(C)C(=O)c1ncccc1[O-] ZINC001104536119 736199277 /nfs/dbraw/zinc/19/92/77/736199277.db2.gz HFNMXDOFOSWWSL-VIFPVBQESA-N -1 1 305.313 1.289 20 0 DDADMM Cc1cc(NC[C@H](C)N(C)C(=O)c2ncccc2[O-])nc(C)n1 ZINC001104536858 736203465 /nfs/dbraw/zinc/20/34/65/736203465.db2.gz WIJNRSPWFBUCPG-NSHDSACASA-N -1 1 315.377 1.767 20 0 DDADMM CC1(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCC1 ZINC001025044887 736249824 /nfs/dbraw/zinc/24/98/24/736249824.db2.gz LWDWSEQCSNDZCZ-NSHDSACASA-N -1 1 307.398 1.171 20 0 DDADMM CC(C)(C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001025051917 736257506 /nfs/dbraw/zinc/25/75/06/736257506.db2.gz HSSUWPCBHVBMFE-LBPRGKRZSA-N -1 1 321.425 1.417 20 0 DDADMM CCC1(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001025070288 736277189 /nfs/dbraw/zinc/27/71/89/736277189.db2.gz XEKASMCUVBOCSR-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM CC(C(=O)N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1)=C1CCCC1 ZINC000974018748 737319860 /nfs/dbraw/zinc/31/98/60/737319860.db2.gz YVNYJGFFOYCQBU-TXEJJXNPSA-N -1 1 303.366 1.072 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCCC1)c1ccc(Cl)nc1F ZINC000692825511 738379208 /nfs/dbraw/zinc/37/92/08/738379208.db2.gz CLDCKOHDLKDJMC-SECBINFHSA-N -1 1 322.789 1.704 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001017088669 751460117 /nfs/dbraw/zinc/46/01/17/751460117.db2.gz UCTHIRWTISDKLY-NWDGAFQWSA-N -1 1 321.425 1.227 20 0 DDADMM Cn1ccc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)cc1=O ZINC001167935757 739730624 /nfs/dbraw/zinc/73/06/24/739730624.db2.gz RFPLQJDUOFOHOV-UHFFFAOYSA-N -1 1 320.285 1.470 20 0 DDADMM C[C@@H](CCNC(=O)c1cncs1)NC(=O)c1ncccc1[O-] ZINC001075783498 740467704 /nfs/dbraw/zinc/46/77/04/740467704.db2.gz ZIEAGTHMMOTNER-VIFPVBQESA-N -1 1 320.374 1.182 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)C2CCCCC2)C1 ZINC001029630170 741158385 /nfs/dbraw/zinc/15/83/85/741158385.db2.gz KXXHZJQPPGCYDE-LBPRGKRZSA-N -1 1 321.425 1.371 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CC[N@H+]1CCOC1CCC1 ZINC001088538471 741712582 /nfs/dbraw/zinc/71/25/82/741712582.db2.gz BBTDSZKANRSRBM-OCCSQVGLSA-N -1 1 319.405 1.549 20 0 DDADMM O=C(c1cc(=O)[nH]c(C2CC2)n1)N1CC[C@H]2C[N@@H+](CCF)C[C@H]21 ZINC001075921525 741991673 /nfs/dbraw/zinc/99/16/73/741991673.db2.gz AJYUCNKSAKIFOW-WCQYABFASA-N -1 1 320.368 1.175 20 0 DDADMM CC[C@H](C)OCC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076184390 742582486 /nfs/dbraw/zinc/58/24/86/742582486.db2.gz MIOIMNNDFUWUQL-RYUDHWBXSA-N -1 1 323.393 1.227 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C[C@H](C)C1CC1 ZINC001076245181 742624715 /nfs/dbraw/zinc/62/47/15/742624715.db2.gz RBVVEVAAPOBIBG-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-])=C1CCC1 ZINC001076425141 742725605 /nfs/dbraw/zinc/72/56/05/742725605.db2.gz FCGJFRPAEWYHBH-NSHDSACASA-N -1 1 317.389 1.912 20 0 DDADMM O=C([N-]Cc1cc[nH]c(=O)c1)C(F)(F)OCC(F)(F)F ZINC001183195352 743794606 /nfs/dbraw/zinc/79/46/06/743794606.db2.gz WBSBVYXAUYCPBY-UHFFFAOYSA-N -1 1 300.183 1.575 20 0 DDADMM CN(C)c1n[nH]c(NC(=O)c2ccc3ccc(O)cc3c2[O-])n1 ZINC001183434213 743839929 /nfs/dbraw/zinc/83/99/29/743839929.db2.gz YJGAJPPIHQSXSC-UHFFFAOYSA-N -1 1 313.317 1.687 20 0 DDADMM O=C(NC1CN(Cc2cc(C3CC3)no2)C1)c1ncccc1[O-] ZINC001030242425 743978077 /nfs/dbraw/zinc/97/80/77/743978077.db2.gz SQAKVWVVYATLKD-UHFFFAOYSA-N -1 1 314.345 1.267 20 0 DDADMM O=C(NC1CN(CC[C@H]2CCCCO2)C1)c1ncccc1[O-] ZINC001030242545 743978129 /nfs/dbraw/zinc/97/81/29/743978129.db2.gz VFEOKHXYVWOCQR-CYBMUJFWSA-N -1 1 305.378 1.160 20 0 DDADMM COC(=O)C(NC(=O)Nc1c(F)cc(F)cc1F)=C(C)[O-] ZINC001186078289 744332577 /nfs/dbraw/zinc/33/25/77/744332577.db2.gz JODHYLVQQXPMPK-SECBINFHSA-N -1 1 304.224 1.356 20 0 DDADMM CC(=O)OCN1CCC(NC(=O)c2ccc([O-])c(F)c2)CC1 ZINC001186242648 744362604 /nfs/dbraw/zinc/36/26/04/744362604.db2.gz MZGGZFHYNGHADP-UHFFFAOYSA-N -1 1 310.325 1.246 20 0 DDADMM CS(=O)(=O)c1ccc(CNC(=O)c2ccc([O-])cc2F)nc1 ZINC001186337627 744388526 /nfs/dbraw/zinc/38/85/26/744388526.db2.gz OGJJGGGOUBTERK-UHFFFAOYSA-N -1 1 324.333 1.260 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ccc([O-])cc1F)c1cccnc1 ZINC001186339353 744389522 /nfs/dbraw/zinc/38/95/22/744389522.db2.gz FGACETJDHIAZMW-CQSZACIVSA-N -1 1 318.304 1.961 20 0 DDADMM COc1cc(C(=O)[N-]c2nc(-c3cccnc3)ns2)ncn1 ZINC001127222686 744540016 /nfs/dbraw/zinc/54/00/16/744540016.db2.gz UAUSWCPMKQWBMV-UHFFFAOYSA-N -1 1 314.330 1.651 20 0 DDADMM CC1(C)C[C@H]1C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC000992036317 744550620 /nfs/dbraw/zinc/55/06/20/744550620.db2.gz VZEZQEVSYDTVEE-MCIGGMRASA-N -1 1 317.389 1.458 20 0 DDADMM COc1c(F)ccc(C[N-]S(=O)(=O)c2cnoc2C)c1F ZINC001187395607 744563656 /nfs/dbraw/zinc/56/36/56/744563656.db2.gz SSSQLNQJVJGCBK-UHFFFAOYSA-N -1 1 318.301 1.748 20 0 DDADMM O=C(CCc1cnc2[nH]ccc2c1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001187559126 744574256 /nfs/dbraw/zinc/57/42/56/744574256.db2.gz QTMGVVVMXVSJQU-UHFFFAOYSA-N -1 1 323.316 1.506 20 0 DDADMM Cn1nc(CNC(=O)c2n[n-]nc2C(F)(F)F)c2ccccc21 ZINC001187773218 744600363 /nfs/dbraw/zinc/60/03/63/744600363.db2.gz ASHRMOGMJYBBSW-UHFFFAOYSA-N -1 1 324.266 1.640 20 0 DDADMM Cc1c[nH]cc1C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001187806934 744610403 /nfs/dbraw/zinc/61/04/03/744610403.db2.gz JIYBISVUNVYSJM-UHFFFAOYSA-N -1 1 324.125 1.157 20 0 DDADMM O=S(=O)([N-]Cc1ccc(Br)o1)c1nccs1 ZINC001187917544 744629609 /nfs/dbraw/zinc/62/96/09/744629609.db2.gz BHTURMGCRMNFSQ-UHFFFAOYSA-N -1 1 323.193 1.977 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1ncccc1N ZINC001187987191 744643490 /nfs/dbraw/zinc/64/34/90/744643490.db2.gz WDSQFAUKIKYMJF-UHFFFAOYSA-N -1 1 320.374 1.678 20 0 DDADMM O=S(=O)([N-]c1cccc(F)c1OC1COC1)C1CCC1 ZINC001188143741 744665206 /nfs/dbraw/zinc/66/52/06/744665206.db2.gz BDYNGBODRHMAIT-UHFFFAOYSA-N -1 1 301.339 1.898 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc(C(F)(F)F)[nH]3)c1-2 ZINC001188499301 744714257 /nfs/dbraw/zinc/71/42/57/744714257.db2.gz UUXKOLGSZPHSDQ-UHFFFAOYSA-N -1 1 310.239 1.634 20 0 DDADMM O=C(NCc1ccc(C2OCCO2)cc1)c1ccncc1[O-] ZINC001188638356 744744266 /nfs/dbraw/zinc/74/42/66/744744266.db2.gz MFBPBOFNJALNAI-UHFFFAOYSA-N -1 1 300.314 1.763 20 0 DDADMM COc1cc(CNC(=O)c2ccncc2[O-])cc(OC)c1O ZINC001188638251 744744371 /nfs/dbraw/zinc/74/43/71/744744371.db2.gz KHIRXQLDIUVTOR-UHFFFAOYSA-N -1 1 304.302 1.440 20 0 DDADMM C[C@@H](C[C@H](C)Nc1ncc(F)cn1)NC(=O)c1ncccc1[O-] ZINC001089272763 744847258 /nfs/dbraw/zinc/84/72/58/744847258.db2.gz QRZQQOMGEOHQEN-UWVGGRQHSA-N -1 1 319.340 1.725 20 0 DDADMM COC(=O)/C=C/c1ccc([N-]S(=O)(=O)CCCF)nc1 ZINC001189874819 745011677 /nfs/dbraw/zinc/01/16/77/745011677.db2.gz XKESXULSBUHZGC-GQCTYLIASA-N -1 1 302.327 1.369 20 0 DDADMM COc1ccc(C(=O)NCCCC[P@](=O)([O-])O)c(Cl)n1 ZINC001190101566 745100734 /nfs/dbraw/zinc/10/07/34/745100734.db2.gz RJADADYXIIUQLW-UHFFFAOYSA-N -1 1 322.685 1.431 20 0 DDADMM CCCc1nnsc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001190161621 745134335 /nfs/dbraw/zinc/13/43/35/745134335.db2.gz PMHNECSDALTYPM-UHFFFAOYSA-N -1 1 310.295 1.342 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(F)cc(F)c1F)c1cncnc1 ZINC001190401187 745200541 /nfs/dbraw/zinc/20/05/41/745200541.db2.gz FMEKBCFLTQPWPQ-ZETCQYMHSA-N -1 1 317.292 1.933 20 0 DDADMM COC(=O)c1ccc(Cl)cc1S(=O)(=O)[N-]C1(CF)CC1 ZINC001190535449 745244317 /nfs/dbraw/zinc/24/43/17/745244317.db2.gz GZEQFOCLZDRVRB-UHFFFAOYSA-N -1 1 321.757 1.907 20 0 DDADMM CCCCNC(=O)Nc1cc(S(=O)(=O)N(C)C)ccc1[O-] ZINC001190623628 745262055 /nfs/dbraw/zinc/26/20/55/745262055.db2.gz MOGRLRYSPREJKY-UHFFFAOYSA-N -1 1 315.395 1.564 20 0 DDADMM CSc1ncc(C(=O)Nc2nc(N)cnc2Cl)c(=O)[n-]1 ZINC001191428173 745509347 /nfs/dbraw/zinc/50/93/47/745509347.db2.gz DEVPLTDCULIEGG-UHFFFAOYSA-N -1 1 312.742 1.182 20 0 DDADMM CSc1ncc(C(=O)NCc2nccc(Cl)n2)c(=O)[n-]1 ZINC001191437271 745512131 /nfs/dbraw/zinc/51/21/31/745512131.db2.gz MSUUAFDGXRFVQG-UHFFFAOYSA-N -1 1 311.754 1.278 20 0 DDADMM Cc1nc(C(=O)[N-]c2ncnc3c2ncn3[C@@H]2CCCO2)no1 ZINC001191990596 745660064 /nfs/dbraw/zinc/66/00/64/745660064.db2.gz TYZLMLPKCQXLTC-QMMMGPOBSA-N -1 1 315.293 1.079 20 0 DDADMM Cc1ncc(C[N-]S(=O)(=O)C(Cl)(Cl)Cl)cn1 ZINC001192043570 745681567 /nfs/dbraw/zinc/68/15/67/745681567.db2.gz YSYLLEFXFOEFBG-UHFFFAOYSA-N -1 1 304.586 1.532 20 0 DDADMM O=C(N[C@@H]1C(=O)NCc2ccccc21)c1c(F)ccc([O-])c1F ZINC001192552415 745813046 /nfs/dbraw/zinc/81/30/46/745813046.db2.gz NOKJJMRTORSRPH-AWEZNQCLSA-N -1 1 318.279 1.771 20 0 DDADMM Cc1nc2c([nH]1)CCN(C(=O)c1c(F)ccc([O-])c1F)CC2 ZINC001192527618 745821958 /nfs/dbraw/zinc/82/19/58/745821958.db2.gz ZEEPVZALUJVQIU-UHFFFAOYSA-N -1 1 307.300 1.943 20 0 DDADMM CSc1nc(Cl)c(C(=O)[N-]c2ncn(C)n2)c(Cl)n1 ZINC001192613387 745844408 /nfs/dbraw/zinc/84/44/08/745844408.db2.gz TWRBFMAXMOLVIU-UHFFFAOYSA-N -1 1 319.177 1.886 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cc(F)c(F)cc1O ZINC001193059142 745976156 /nfs/dbraw/zinc/97/61/56/745976156.db2.gz PAPDZEPKONICJV-UHFFFAOYSA-N -1 1 323.317 1.754 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1cccnc1-n1cccn1 ZINC001193196080 746008075 /nfs/dbraw/zinc/00/80/75/746008075.db2.gz CFFVRFCGAQIUHG-LLVKDONJSA-N -1 1 308.363 1.188 20 0 DDADMM COc1ccnc(C(=O)Nc2cc(NC(C)=O)ccc2O)c1[O-] ZINC001193542320 746125350 /nfs/dbraw/zinc/12/53/50/746125350.db2.gz DUXKCVRLABUMHU-UHFFFAOYSA-N -1 1 317.301 1.712 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1nccn1C)c1ccccc1 ZINC001193616636 746150152 /nfs/dbraw/zinc/15/01/52/746150152.db2.gz CYGOVDIFOVSBIK-GFCCVEGCSA-N -1 1 323.374 1.003 20 0 DDADMM O=c1cc([N-]S(=O)(=O)Cc2noc3ccccc23)cn[nH]1 ZINC001194059244 746267364 /nfs/dbraw/zinc/26/73/64/746267364.db2.gz QZFFLTKGZMLRSN-UHFFFAOYSA-N -1 1 306.303 1.265 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc(-c3ccncc3)no2)[n-]n1 ZINC001194284286 746339933 /nfs/dbraw/zinc/33/99/33/746339933.db2.gz XBINLLFBXGBCCR-UHFFFAOYSA-N -1 1 313.273 1.499 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cccc3[nH]c(=O)ccc23)[n-]n1 ZINC001194288463 746343747 /nfs/dbraw/zinc/34/37/47/746343747.db2.gz DSIOCWUCPAERPG-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc(NC(C)=O)ccc2O)[n-]n1 ZINC001194294639 746348367 /nfs/dbraw/zinc/34/83/67/746348367.db2.gz PTRPZCJCQPAQJK-UHFFFAOYSA-N -1 1 318.289 1.113 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc(C(F)(F)F)o3)c1-2 ZINC001194405001 746369019 /nfs/dbraw/zinc/36/90/19/746369019.db2.gz IINJXEMLELBIMN-UHFFFAOYSA-N -1 1 311.223 1.899 20 0 DDADMM CN(C)S(=O)(=O)c1ccc(NC(=O)OCCF)c([O-])c1 ZINC001194967125 746500905 /nfs/dbraw/zinc/50/09/05/746500905.db2.gz LIESAQMZNHVGSJ-UHFFFAOYSA-N -1 1 306.315 1.161 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cncnc2)c(F)cc1F ZINC001195185786 746540466 /nfs/dbraw/zinc/54/04/66/746540466.db2.gz TYIVEHALGGFLIL-UHFFFAOYSA-N -1 1 315.257 1.254 20 0 DDADMM COc1ncnc(NC(=O)c2cc([O-])cnc2Cl)c1OC ZINC001195298037 746570528 /nfs/dbraw/zinc/57/05/28/746570528.db2.gz MPPNFSRPZMNEKF-UHFFFAOYSA-N -1 1 310.697 1.500 20 0 DDADMM O=C(c1cc([O-])cnc1Cl)N1CCC[C@H](n2cncn2)C1 ZINC001195306016 746572391 /nfs/dbraw/zinc/57/23/91/746572391.db2.gz SPALMTFBXLDTOH-VIFPVBQESA-N -1 1 307.741 1.509 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc([C@@H](C)O)cc2)cn1 ZINC001195722608 746685708 /nfs/dbraw/zinc/68/57/08/746685708.db2.gz CAPBXTQBBUALJZ-SNVBAGLBSA-N -1 1 308.359 1.944 20 0 DDADMM CC(=O)Nc1ccc(O)c(NC(=O)c2c[nH]c(=S)[n-]c2=O)c1 ZINC001196021899 746759014 /nfs/dbraw/zinc/75/90/14/746759014.db2.gz VCVCBBSPFRWRRN-UHFFFAOYSA-N -1 1 320.330 1.387 20 0 DDADMM O=C(NC[C@@H]1Cc2ccccc2O1)c1c[nH]c(=S)[n-]c1=O ZINC001196023894 746760005 /nfs/dbraw/zinc/76/00/05/746760005.db2.gz NKWGEHQWNULBKF-VIFPVBQESA-N -1 1 303.343 1.204 20 0 DDADMM Cn1c2ccccc2nc1[N-]S(=O)(=O)Cc1ccccn1 ZINC001197782538 747262919 /nfs/dbraw/zinc/26/29/19/747262919.db2.gz HRYXVXCMRTYHSH-UHFFFAOYSA-N -1 1 302.359 1.910 20 0 DDADMM O=S(=O)([N-]c1cccnc1F)c1ccc2nccnc2c1 ZINC001198110110 747383523 /nfs/dbraw/zinc/38/35/23/747383523.db2.gz OAEOTHQEHRSIHS-UHFFFAOYSA-N -1 1 304.306 1.965 20 0 DDADMM CCN(c1nccc(C)n1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001089636891 747523765 /nfs/dbraw/zinc/52/37/65/747523765.db2.gz FVYDPMKZBNNIEA-GFCCVEGCSA-N -1 1 315.377 1.530 20 0 DDADMM CN1C[C@H]2CCCN(S(=O)(=O)c3ccccc3C(=O)[O-])[C@H]2C1 ZINC001198762309 747601391 /nfs/dbraw/zinc/60/13/91/747601391.db2.gz DNEKMOPCARCGBD-YPMHNXCESA-N -1 1 324.402 1.100 20 0 DDADMM COCCOc1ccnc(C(=O)[N-]c2noc3nccnc23)c1 ZINC001199213467 747745429 /nfs/dbraw/zinc/74/54/29/747745429.db2.gz XDCTZDYVKJFVIT-UHFFFAOYSA-N -1 1 315.289 1.290 20 0 DDADMM O=C([N-]c1noc2nccnc12)c1cnccc1OC(F)F ZINC001199377951 747820979 /nfs/dbraw/zinc/82/09/79/747820979.db2.gz BWURJZZAYMZIIG-UHFFFAOYSA-N -1 1 307.216 1.867 20 0 DDADMM C[C@@H]1CCN(C(=O)C2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004766100 748763751 /nfs/dbraw/zinc/76/37/51/748763751.db2.gz GHYLWMWPLIWWPU-ZYHUDNBSSA-N -1 1 303.362 1.164 20 0 DDADMM CC1(C)CN(C(=O)CCC2CC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995563261 748921351 /nfs/dbraw/zinc/92/13/51/748921351.db2.gz NLAFLTVOFAHBFH-LLVKDONJSA-N -1 1 307.398 1.027 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NC[C@@H](C)C(=O)OC(C)C)c1 ZINC001137106225 749322302 /nfs/dbraw/zinc/32/23/02/749322302.db2.gz YLGNRDYUNSAATM-LLVKDONJSA-N -1 1 307.346 1.831 20 0 DDADMM Cc1ccc(NC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(C)c1 ZINC001202752127 749639570 /nfs/dbraw/zinc/63/95/70/749639570.db2.gz AQKZTSAGBLWNOC-UHFFFAOYSA-N -1 1 314.305 1.977 20 0 DDADMM COC(=O)c1ccnc(NCC[N-]C(=O)C(F)(F)F)c1C ZINC001156200110 761987168 /nfs/dbraw/zinc/98/71/68/761987168.db2.gz QAWUSKMECLNZKQ-UHFFFAOYSA-N -1 1 305.256 1.267 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)C(C)(C)C)C1 ZINC001017251165 750677490 /nfs/dbraw/zinc/67/74/90/750677490.db2.gz MIZJYNZAUZIWIW-LLVKDONJSA-N -1 1 309.414 1.227 20 0 DDADMM O=C(C1=CCCCCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034918656 751055041 /nfs/dbraw/zinc/05/50/41/751055041.db2.gz LKFGVRGPKIEZOU-CYBMUJFWSA-N -1 1 319.409 1.481 20 0 DDADMM CCC1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCC1 ZINC001035019289 751128439 /nfs/dbraw/zinc/12/84/39/751128439.db2.gz XIEIKSOQCCDNQY-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM O=C(CCCF)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062149362 752793267 /nfs/dbraw/zinc/79/32/67/752793267.db2.gz BNVAGYWMYIDWHM-GFCCVEGCSA-N -1 1 323.368 1.648 20 0 DDADMM CC(C)CC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062418229 752968201 /nfs/dbraw/zinc/96/82/01/752968201.db2.gz AMGSHFYULDMLPL-UHFFFAOYSA-N -1 1 305.378 1.602 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CC12CCCC2 ZINC000999622846 753053112 /nfs/dbraw/zinc/05/31/12/753053112.db2.gz VLSQLSSZIYODTR-GFCCVEGCSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CC2CCC2)C1 ZINC001005952576 753414049 /nfs/dbraw/zinc/41/40/49/753414049.db2.gz QHZWKEPXAMTWHR-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2(C)CC2)C1 ZINC001005957079 753420887 /nfs/dbraw/zinc/42/08/87/753420887.db2.gz MPLYKFKMDYEDPN-JTQLQIEISA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2(C)CCC2)C1 ZINC001005960387 753432112 /nfs/dbraw/zinc/43/21/12/753432112.db2.gz VDXSWMMGIAMWBT-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NCC1(NC(=O)[C@@H]2CC23CC3)CCC1)c1ncccc1[O-] ZINC001062839501 753760445 /nfs/dbraw/zinc/76/04/45/753760445.db2.gz SRNFSFQJDRRXKP-NSHDSACASA-N -1 1 315.373 1.356 20 0 DDADMM Cc1ncccc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010733019 754130245 /nfs/dbraw/zinc/13/02/45/754130245.db2.gz OKAMJUXFGVMOBD-CQSZACIVSA-N -1 1 312.373 1.495 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CC=CCC1 ZINC001000889495 762306657 /nfs/dbraw/zinc/30/66/57/762306657.db2.gz HUEDXDFFPZSWPQ-ZDUSSCGKSA-N -1 1 315.373 1.332 20 0 DDADMM Cc1ccc(N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)nn1 ZINC001067076743 755707436 /nfs/dbraw/zinc/70/74/36/755707436.db2.gz UJEZXWVVGGBBJF-PWSUYJOCSA-N -1 1 313.361 1.458 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCCCC1)c1ccc(F)nc1F ZINC001118996179 756882744 /nfs/dbraw/zinc/88/27/44/756882744.db2.gz MWRCERQVZPQHPW-JTQLQIEISA-N -1 1 320.361 1.579 20 0 DDADMM CC(C)CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])CC[C@H]1C ZINC001018101830 758548704 /nfs/dbraw/zinc/54/87/04/758548704.db2.gz VWKAGEWCAUPPCZ-OLZOCXBDSA-N -1 1 319.405 1.943 20 0 DDADMM Cc1nc([C@@H](C)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)no1 ZINC001018756266 759227296 /nfs/dbraw/zinc/22/72/96/759227296.db2.gz NYPUPQNKBXPVDL-KOLCDFICSA-N -1 1 317.349 1.044 20 0 DDADMM Cc1c(Cl)cccc1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000828172286 759511332 /nfs/dbraw/zinc/51/13/32/759511332.db2.gz XVDJPWMPHPYKLM-NSHDSACASA-N -1 1 307.741 1.375 20 0 DDADMM O=C(N[C@@H]1COc2ccccc2C1)c1cnc(C2CC2)[n-]c1=O ZINC000828198025 759529306 /nfs/dbraw/zinc/52/93/06/759529306.db2.gz OHOXGUIHUQYIFF-LBPRGKRZSA-N -1 1 311.341 1.793 20 0 DDADMM Cc1cnc(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])s1 ZINC001038190379 760905857 /nfs/dbraw/zinc/90/58/57/760905857.db2.gz BRZIXJOZQQJMPF-LLVKDONJSA-N -1 1 318.402 1.556 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)c1ccc(CNC(=O)C2CC2)cc1 ZINC001050255502 763379848 /nfs/dbraw/zinc/37/98/48/763379848.db2.gz FSXXUTLNMXKRHC-JTQLQIEISA-N -1 1 315.377 1.387 20 0 DDADMM CC(C)CCN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001050887052 764234838 /nfs/dbraw/zinc/23/48/38/764234838.db2.gz ARMOFVIFTSNQIB-ZDUSSCGKSA-N -1 1 307.394 1.264 20 0 DDADMM CCC(CC)CN1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001050893292 764244774 /nfs/dbraw/zinc/24/47/74/764244774.db2.gz ZEVXYPPCLBKTTN-CQSZACIVSA-N -1 1 321.421 1.654 20 0 DDADMM Cc1csc(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)n1 ZINC001042642412 764305335 /nfs/dbraw/zinc/30/53/35/764305335.db2.gz GVAHYPXQDASVKY-UHFFFAOYSA-N -1 1 318.402 1.509 20 0 DDADMM CCCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052421076 765808245 /nfs/dbraw/zinc/80/82/45/765808245.db2.gz IKBWSAPJCRTIRE-RYUDHWBXSA-N -1 1 305.378 1.412 20 0 DDADMM CCCCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052422531 765810661 /nfs/dbraw/zinc/81/06/61/765810661.db2.gz RTIGLLYFTOHFJS-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM CCCOc1ccnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001170217747 766176209 /nfs/dbraw/zinc/17/62/09/766176209.db2.gz TXKIWFRLEHVEPY-UHFFFAOYSA-N -1 1 303.282 1.038 20 0 DDADMM O=C(NC[C@H]1CC[C@@H](Nc2ncccn2)C1)c1ncccc1[O-] ZINC001047272469 768355079 /nfs/dbraw/zinc/35/50/79/768355079.db2.gz KUHLQCVIMUYDBZ-NWDGAFQWSA-N -1 1 313.361 1.588 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2nc(Cl)nc3c2CCCN3)[n-]1 ZINC001170226141 766199901 /nfs/dbraw/zinc/19/99/01/766199901.db2.gz CWTHAEYGRNYNEV-UHFFFAOYSA-N -1 1 318.728 1.604 20 0 DDADMM CC[C@H](C)CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070662711 768651760 /nfs/dbraw/zinc/65/17/60/768651760.db2.gz UPLMBMHMNCVTAV-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM CCC(=O)N[C@@H]1CC[C@H](C)[N@H+](Cc2cc(=O)[nH]c(C3CC3)n2)C1 ZINC001071282932 769228305 /nfs/dbraw/zinc/22/83/05/769228305.db2.gz QRDWHAQBRQRWDF-WCQYABFASA-N -1 1 318.421 1.939 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C=Cc2cnn(C)c2)[n-]c1Cl ZINC001133884711 770396419 /nfs/dbraw/zinc/39/64/19/770396419.db2.gz CHWHISLRYBZECA-SNAWJCMRSA-N -1 1 323.740 1.625 20 0 DDADMM NCc1nccnc1[N-]C(F)(F)c1cc(Cl)nc(=S)[nH]1 ZINC001160245999 772110892 /nfs/dbraw/zinc/11/08/92/772110892.db2.gz RDWHMHFRBOUAKL-UHFFFAOYSA-N -1 1 318.740 1.829 20 0 DDADMM CNC(=O)[C@H]1CCCN(C(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001136753882 772122607 /nfs/dbraw/zinc/12/26/07/772122607.db2.gz BJCHIPAWGJHRQZ-NSHDSACASA-N -1 1 304.346 1.111 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCNc1ccccc1 ZINC001136958385 772227556 /nfs/dbraw/zinc/22/75/56/772227556.db2.gz ARTUDVMBVCRWDK-UHFFFAOYSA-N -1 1 304.375 1.621 20 0 DDADMM O=C([O-])c1ccsc1CN1CCN(c2cncnc2)CC1 ZINC001144680694 772595407 /nfs/dbraw/zinc/59/54/07/772595407.db2.gz UXERBJHSZUAUIT-UHFFFAOYSA-N -1 1 304.375 1.559 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@H]2CCCc3cccnc32)c1[O-] ZINC001147844862 773267246 /nfs/dbraw/zinc/26/72/46/773267246.db2.gz ZHHPZVZIWZNGCF-ZDUSSCGKSA-N -1 1 313.357 1.790 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)NCC(C)(C)C(N)=O)cc2)[n-]1 ZINC001148337020 773440400 /nfs/dbraw/zinc/44/04/00/773440400.db2.gz VIYGCSCBTOOUNT-UHFFFAOYSA-N -1 1 316.361 1.041 20 0 DDADMM CC(=O)NC[C@H](C)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC001148805915 773606219 /nfs/dbraw/zinc/60/62/19/773606219.db2.gz HZPXGWUICNBFIG-QMMMGPOBSA-N -1 1 318.295 1.913 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCCF ZINC001074944870 774258520 /nfs/dbraw/zinc/25/85/20/774258520.db2.gz ZTLIAHSFSAFORX-VXGBXAGGSA-N -1 1 323.368 1.646 20 0 DDADMM Cc1cc(N[C@H](C)CCNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001099104339 774834936 /nfs/dbraw/zinc/83/49/36/774834936.db2.gz DOWQHKOBESLAES-SNVBAGLBSA-N -1 1 315.377 1.815 20 0 DDADMM Cc1nsc(N[C@H](C)CCNC(=O)c2ncccc2[O-])n1 ZINC001099105111 774836255 /nfs/dbraw/zinc/83/62/55/774836255.db2.gz RBSUEKDWQMVKKO-MRVPVSSYSA-N -1 1 307.379 1.568 20 0 DDADMM NCc1nccnc1[N-]c1nc(Cl)cc(-n2cccc2)n1 ZINC001171443287 775854327 /nfs/dbraw/zinc/85/43/27/775854327.db2.gz AYDOYDUYDBHNHV-UHFFFAOYSA-N -1 1 301.741 1.913 20 0 DDADMM O=C(CN1C(=O)c2ccccc2C1=O)Nc1cccc(F)c1[O-] ZINC001171632733 776355393 /nfs/dbraw/zinc/35/53/93/776355393.db2.gz MQHBEVXFENWJGT-UHFFFAOYSA-N -1 1 314.272 1.766 20 0 DDADMM C[C@H]1[C@H](N[C@@H]2CC[C@@H](c3ccccc3)C2)C(=O)N1S(=O)(=O)[O-] ZINC001172552517 776808728 /nfs/dbraw/zinc/80/87/28/776808728.db2.gz ZYXYMYBWEVCLOU-ASEORRQLSA-N -1 1 324.402 1.314 20 0 DDADMM Cc1nc(Cl)cc(NCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001101561007 777250346 /nfs/dbraw/zinc/25/03/46/777250346.db2.gz CPSBCICZHRPOAH-UHFFFAOYSA-N -1 1 321.768 1.723 20 0 DDADMM CN1C(=O)COc2cc(Nc3ccnc(Cl)c3[O-])cnc21 ZINC001174837990 777596943 /nfs/dbraw/zinc/59/69/43/777596943.db2.gz CDRSGOKKAAANGH-UHFFFAOYSA-N -1 1 306.709 1.934 20 0 DDADMM CN1CCC=C(Nc2cc(S(=O)(=O)C(N)=O)ccc2[O-])C1 ZINC001175582772 777831010 /nfs/dbraw/zinc/83/10/10/777831010.db2.gz MGPQBUNGOBMPMP-UHFFFAOYSA-N -1 1 311.363 1.290 20 0 DDADMM Cn1cc2c(cccc2[N-]S(=O)(=O)c2ccc(N)c(N)c2)n1 ZINC001175595312 777837094 /nfs/dbraw/zinc/83/70/94/777837094.db2.gz YFXFUXXWMMBPFE-UHFFFAOYSA-N -1 1 317.374 1.539 20 0 DDADMM Cc1c2ccccc2[nH]c(=O)c1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001175553068 777839555 /nfs/dbraw/zinc/83/95/55/777839555.db2.gz SUZNFJBIGCYEST-JTQLQIEISA-N -1 1 324.344 1.392 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccnc(Br)c1 ZINC001175744604 777886773 /nfs/dbraw/zinc/88/67/73/777886773.db2.gz DLMBKLJCCDAYJB-UHFFFAOYSA-N -1 1 314.168 1.954 20 0 DDADMM CC(C)CC(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102642579 778267334 /nfs/dbraw/zinc/26/73/34/778267334.db2.gz HHMAOHIKWAWCRI-VXGBXAGGSA-N -1 1 309.414 1.131 20 0 DDADMM Cc1nc(-c2cccc(NC(=O)Cc3nn[n-]n3)c2)cs1 ZINC001176835792 778267760 /nfs/dbraw/zinc/26/77/60/778267760.db2.gz CHMVHBBHVHQDEU-UHFFFAOYSA-N -1 1 300.347 1.813 20 0 DDADMM O=C(Cc1nn[n-]n1)NCc1ccc(Oc2ccccn2)cc1 ZINC001176847277 778300147 /nfs/dbraw/zinc/30/01/47/778300147.db2.gz OTJTVTKYSGSSIH-UHFFFAOYSA-N -1 1 310.317 1.246 20 0 DDADMM Cn1ccc(C(=O)N2CCC(c3cnc[nH]3)CC2)c1CC(=O)[O-] ZINC001177365379 778569896 /nfs/dbraw/zinc/56/98/96/778569896.db2.gz TTYUXQXCZQDHDG-UHFFFAOYSA-N -1 1 316.361 1.395 20 0 DDADMM C[C@H](CNC(=O)c1c([O-])cnc2c(F)cccc21)c1ncon1 ZINC001178714517 779156702 /nfs/dbraw/zinc/15/67/02/779156702.db2.gz JPJSZDTVEYMZNB-MRVPVSSYSA-N -1 1 316.292 1.996 20 0 DDADMM CC(C)(CCO)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692826553 779489926 /nfs/dbraw/zinc/48/99/26/779489926.db2.gz XTNLPZSOFQDSRG-UHFFFAOYSA-N -1 1 310.778 1.561 20 0 DDADMM C[C@@H](CC(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)C(F)(F)F ZINC001180094803 779603195 /nfs/dbraw/zinc/60/31/95/779603195.db2.gz YZXITFJXZUVDML-VKHMYHEASA-N -1 1 305.216 1.243 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3scnc3C3CC3)nc2n1 ZINC001118839876 781254499 /nfs/dbraw/zinc/25/44/99/781254499.db2.gz FWZOTTATBSHQEB-UHFFFAOYSA-N -1 1 316.346 1.312 20 0 DDADMM COCCOC1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001119532848 781498878 /nfs/dbraw/zinc/49/88/78/781498878.db2.gz NSLNPTACKMWZGP-UHFFFAOYSA-N -1 1 321.377 1.327 20 0 DDADMM C/C=C(/C=C/C(=O)N1CCN([C@@]2(C(=O)[O-])CCOC2)CC1)CC ZINC001119581345 781524673 /nfs/dbraw/zinc/52/46/73/781524673.db2.gz DICVXSRZWYBJEP-YSWFKABGSA-N -1 1 322.405 1.287 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N1CC[C@@H]2C[C@@H]2C1 ZINC001119933886 781686773 /nfs/dbraw/zinc/68/67/73/781686773.db2.gz HDZLJDQEPDLRNH-NXEZZACHSA-N -1 1 324.327 1.198 20 0 DDADMM CCN(CCNC(C)=O)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001408706015 838499507 /nfs/dbraw/zinc/49/95/07/838499507.db2.gz AYZKIOSIQVMCKC-UHFFFAOYSA-N -1 1 308.382 1.212 20 0 DDADMM CC[C@H](CNC(=O)C(F)=C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001267799537 838997246 /nfs/dbraw/zinc/99/72/46/838997246.db2.gz BRHYFBZTDVDJEP-SNVBAGLBSA-N -1 1 311.361 1.292 20 0 DDADMM CN1CC[C@]2(CCCN(C(=O)c3ccc([O-])cn3)CC2)C1=O ZINC001269354702 841557334 /nfs/dbraw/zinc/55/73/34/841557334.db2.gz RTFJUQBRPWYYOF-INIZCTEOSA-N -1 1 303.362 1.262 20 0 DDADMM CSc1nc(NC(=O)c2cc3cccnn3c2)cc(=O)[n-]1 ZINC001154582873 861240399 /nfs/dbraw/zinc/24/03/99/861240399.db2.gz PCZPNINXQSZEQA-UHFFFAOYSA-N -1 1 301.331 1.804 20 0 DDADMM O=C(CCOCC(F)F)OCC(=O)c1ccc([O-])cc1O ZINC001326268523 861242964 /nfs/dbraw/zinc/24/29/64/861242964.db2.gz CQRLBQSGVIHOGH-UHFFFAOYSA-N -1 1 304.245 1.496 20 0 DDADMM CC(C)CC(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001142714945 861243124 /nfs/dbraw/zinc/24/31/24/861243124.db2.gz JHABAUKKVRYGSR-UHFFFAOYSA-N -1 1 301.131 1.253 20 0 DDADMM CCC1([N-]S(=O)(=O)c2csnc2OC)CCOCC1 ZINC001414234525 844666976 /nfs/dbraw/zinc/66/69/76/844666976.db2.gz VSXXRYDCTBRPII-UHFFFAOYSA-N -1 1 306.409 1.389 20 0 DDADMM CN1C[C@@]2(CC1=O)CCCCN2C(=O)c1c(F)ccc([O-])c1F ZINC001272246642 844882385 /nfs/dbraw/zinc/88/23/85/844882385.db2.gz PQGWQTOTEIFMQR-INIZCTEOSA-N -1 1 324.327 1.897 20 0 DDADMM COc1ccnc(C(=N)NC(=O)c2ccc3cccnc3c2[O-])n1 ZINC001149326690 861548406 /nfs/dbraw/zinc/54/84/06/861548406.db2.gz LPXXONBUSDRNFT-UHFFFAOYSA-N -1 1 323.312 1.494 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001409669274 845529665 /nfs/dbraw/zinc/52/96/65/845529665.db2.gz GWNZCQHRUVTRTD-WUHRBBMRSA-N -1 1 317.389 1.315 20 0 DDADMM Cc1n[nH]c(=O)c(CNC(=O)C(=O)c2ccc([O-])cc2)c1C ZINC001326737194 861581633 /nfs/dbraw/zinc/58/16/33/861581633.db2.gz BNWDHVMCSBLSTH-UHFFFAOYSA-N -1 1 301.302 1.004 20 0 DDADMM O=S(=O)([N-][C@@H](CO)[C@@H]1CCOC1)c1sccc1Cl ZINC001414239041 845621127 /nfs/dbraw/zinc/62/11/27/845621127.db2.gz OSBBELWOGXEOIT-APPZFPTMSA-N -1 1 311.812 1.077 20 0 DDADMM CN1C(=O)C[C@@]2(CCCN(C(=O)c3ccc([O-])c(F)c3)C2)C1=O ZINC001272400318 846104381 /nfs/dbraw/zinc/10/43/81/846104381.db2.gz AFPPVYNMVAYAEN-MRXNPFEDSA-N -1 1 320.320 1.142 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C(C)(C)C ZINC001365404701 846195098 /nfs/dbraw/zinc/19/50/98/846195098.db2.gz VIWALWKEWIVQRZ-SNVBAGLBSA-N -1 1 317.411 1.689 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149656058 861789132 /nfs/dbraw/zinc/78/91/32/861789132.db2.gz FCAPYNIMKPRDNV-ZYHUDNBSSA-N -1 1 315.325 1.790 20 0 DDADMM CC[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001434545487 848578806 /nfs/dbraw/zinc/57/88/06/848578806.db2.gz IYMXDOHTZMZFMT-VIFPVBQESA-N -1 1 301.368 1.007 20 0 DDADMM CC[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001434545487 848578812 /nfs/dbraw/zinc/57/88/12/848578812.db2.gz IYMXDOHTZMZFMT-VIFPVBQESA-N -1 1 301.368 1.007 20 0 DDADMM CCCCC(=O)N[C@@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001410319476 849069126 /nfs/dbraw/zinc/06/91/26/849069126.db2.gz NAGOMBHXQTVNLT-JTQLQIEISA-N -1 1 320.393 1.484 20 0 DDADMM CC(=O)Nc1cccc([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001173834841 850937666 /nfs/dbraw/zinc/93/76/66/850937666.db2.gz WIOUGVUKPXXMSA-UHFFFAOYSA-N -1 1 320.374 1.610 20 0 DDADMM Cc1ccc2ccc(C(=O)N[C@@H]3CCC(=O)N(C)C3)c([O-])c2n1 ZINC001155661069 862350329 /nfs/dbraw/zinc/35/03/29/862350329.db2.gz YZYQHSKUCBSJAU-GFCCVEGCSA-N -1 1 313.357 1.599 20 0 DDADMM Cc1nc2cc(C(=O)Nc3nc4nc(C)cc(=O)n4[n-]3)ccc2o1 ZINC001274687258 852518392 /nfs/dbraw/zinc/51/83/92/852518392.db2.gz KGKQJLCSBUQJQU-UHFFFAOYSA-N -1 1 324.300 1.428 20 0 DDADMM CC(=O)c1cc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)cs1 ZINC001274692823 852527704 /nfs/dbraw/zinc/52/77/04/852527704.db2.gz FMQALIYMVXKYSC-UHFFFAOYSA-N -1 1 317.330 1.242 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC[C@H]2C[C@]21C(=O)N1CC=CC1 ZINC001275409083 853082656 /nfs/dbraw/zinc/08/26/56/853082656.db2.gz YFHHJECGEKSABU-YVEFUNNKSA-N -1 1 316.332 1.534 20 0 DDADMM NC(=O)c1[nH]nc2c1CN(C(=O)c1c([O-])cccc1Cl)C2 ZINC001275466590 853161461 /nfs/dbraw/zinc/16/14/61/853161461.db2.gz LMEUCETWWPCOQS-UHFFFAOYSA-N -1 1 306.709 1.024 20 0 DDADMM CN(C)C(=O)CN1C[C@H]2C[C@@H](C1)N2Cc1cccc([O-])c1Cl ZINC001275566782 853365217 /nfs/dbraw/zinc/36/52/17/853365217.db2.gz UWFVZLXKNDUWIK-BETUJISGSA-N -1 1 323.824 1.392 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@H](NC(=O)c2ccc(F)c([O-])c2)C1 ZINC001411796271 853748961 /nfs/dbraw/zinc/74/89/61/853748961.db2.gz BHUUUZHGOSQLTR-WDEREUQCSA-N -1 1 315.366 1.617 20 0 DDADMM Cc1nc(S(=O)(=O)Cc2noc(-c3ccccc3C)n2)n[n-]1 ZINC001327853814 862518374 /nfs/dbraw/zinc/51/83/74/862518374.db2.gz JNHGHJMKADYMRI-UHFFFAOYSA-N -1 1 319.346 1.445 20 0 DDADMM NC(=O)c1cc2c([nH]1)CN(C(=O)c1cccc([O-])c1F)CC2 ZINC001275984041 854085475 /nfs/dbraw/zinc/08/54/75/854085475.db2.gz GWTQINPZDOVWAK-UHFFFAOYSA-N -1 1 303.293 1.157 20 0 DDADMM CCCc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc(=O)[nH]1 ZINC001412056255 854165876 /nfs/dbraw/zinc/16/58/76/854165876.db2.gz GNRWQXGKDBAFKW-SNVBAGLBSA-N -1 1 316.365 1.273 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)C2(c3cc(C)no3)CC2)n1 ZINC001412212042 854339591 /nfs/dbraw/zinc/33/95/91/854339591.db2.gz QHMISHNXGZEYOR-UHFFFAOYSA-N -1 1 304.306 1.553 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(c3cc(C)no3)CC2)n1 ZINC001412212042 854339598 /nfs/dbraw/zinc/33/95/98/854339598.db2.gz QHMISHNXGZEYOR-UHFFFAOYSA-N -1 1 304.306 1.553 20 0 DDADMM O=C(c1cccc([O-])c1F)N(CCN1CCOCC1)CC1CC1 ZINC001412385738 854496258 /nfs/dbraw/zinc/49/62/58/854496258.db2.gz OTKLFCDSDXZHJP-UHFFFAOYSA-N -1 1 322.380 1.716 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCN[C@@H](Cc3ccc(F)cc3)C2)c1[O-] ZINC001412399429 854507406 /nfs/dbraw/zinc/50/74/06/854507406.db2.gz MMUMTHFMZBEGCE-ZDUSSCGKSA-N -1 1 318.352 1.220 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1Cc2cc(Cl)ccc2O1 ZINC001412542451 854688339 /nfs/dbraw/zinc/68/83/39/854688339.db2.gz MQHCDLLVQXEFTB-LLVKDONJSA-N -1 1 319.752 1.352 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccnn1CC1CCC1 ZINC001412544094 854691762 /nfs/dbraw/zinc/69/17/62/854691762.db2.gz MQGXJBPLWUCQFF-UHFFFAOYSA-N -1 1 317.397 1.495 20 0 DDADMM CC(C)c1nsc([N-]C(=O)c2cn(C(F)(F)CO)nn2)n1 ZINC001412564481 854728735 /nfs/dbraw/zinc/72/87/35/854728735.db2.gz ZVWPWNKOQDGWJG-UHFFFAOYSA-N -1 1 318.309 1.047 20 0 DDADMM [O-]c1ccc(CN2CC3(CCN3Cc3cn[nH]c3)C2)c(F)c1F ZINC001276151502 854838772 /nfs/dbraw/zinc/83/87/72/854838772.db2.gz GFOBCURDAQMNGZ-UHFFFAOYSA-N -1 1 320.343 1.854 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2cnc(C3CC3)[n-]c2=O)cn1 ZINC001412723864 855024303 /nfs/dbraw/zinc/02/43/03/855024303.db2.gz CPMFABWUSSTIEZ-UHFFFAOYSA-N -1 1 314.301 1.494 20 0 DDADMM CSc1ncc(C(=O)N[C@H]2CCO[C@@H](C(C)C)C2)c(=O)[n-]1 ZINC001412751411 855102883 /nfs/dbraw/zinc/10/28/83/855102883.db2.gz MFGIKRZYUNWBQR-GXSJLCMTSA-N -1 1 311.407 1.838 20 0 DDADMM CNC(=O)[C@H]1CCC[C@@H]1NC(=O)c1cc(C)cc(C=O)c1[O-] ZINC001151212359 862683419 /nfs/dbraw/zinc/68/34/19/862683419.db2.gz HAIBKRAVROFHFK-AAEUAGOBSA-N -1 1 304.346 1.158 20 0 DDADMM CC(C)c1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)on1 ZINC001412862075 855735327 /nfs/dbraw/zinc/73/53/27/855735327.db2.gz ZSPCJWVUGLPGES-SECBINFHSA-N -1 1 308.367 1.241 20 0 DDADMM Cn1[nH]c([C@@H]2CCCN2C(=O)c2cccc([O-])c2F)nc1=N ZINC001412867208 855739600 /nfs/dbraw/zinc/73/96/00/855739600.db2.gz CBGAWQYOYBXOAN-VIFPVBQESA-N -1 1 305.313 1.050 20 0 DDADMM CN=[S@@](C)(=O)c1cccc(NC(=O)c2cnncc2O)c1 ZINC001412940796 855801889 /nfs/dbraw/zinc/80/18/89/855801889.db2.gz JEMOSLIMVSWHOD-NRFANRHFSA-N -1 1 306.347 1.521 20 0 DDADMM CN=[S@@](C)(=O)c1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001412940796 855801893 /nfs/dbraw/zinc/80/18/93/855801893.db2.gz JEMOSLIMVSWHOD-NRFANRHFSA-N -1 1 306.347 1.521 20 0 DDADMM Cc1nc2ccc(NCC[N-]C(=O)C(F)(F)F)nc2n1C ZINC001156203131 862814772 /nfs/dbraw/zinc/81/47/72/862814772.db2.gz JKHMETOCGAFYPL-UHFFFAOYSA-N -1 1 301.272 1.367 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H](C)C1CC1)c1cccs1 ZINC001413289271 856611876 /nfs/dbraw/zinc/61/18/76/856611876.db2.gz OCTGLHNMLQFARB-SKDRFNHKSA-N -1 1 317.432 1.928 20 0 DDADMM CC(C)NC(=O)Nc1ccc(C(=O)N(C)c2nn[n-]n2)cc1 ZINC001413325487 856661304 /nfs/dbraw/zinc/66/13/04/856661304.db2.gz IMRVGWQDJGPBHN-UHFFFAOYSA-N -1 1 303.326 1.006 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CCC2(CCC2)O1)C(C)C ZINC001413433739 856804515 /nfs/dbraw/zinc/80/45/15/856804515.db2.gz CLJAYAQAEGEXNJ-VXGBXAGGSA-N -1 1 319.423 1.205 20 0 DDADMM CC(=O)N[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)C(C)(C)C ZINC001413447055 856823566 /nfs/dbraw/zinc/82/35/66/856823566.db2.gz WQXJUKANMMNNFS-GFCCVEGCSA-N -1 1 320.393 1.340 20 0 DDADMM O=C(N[C@H]1Cc2cnccc2NC1=O)c1ccc(Cl)cc1[O-] ZINC001413513941 856917392 /nfs/dbraw/zinc/91/73/92/856917392.db2.gz SVYTUXYQGFPZEF-LBPRGKRZSA-N -1 1 317.732 1.734 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])cn2)CC[C@H](C(F)(F)F)O1 ZINC001413518955 856922814 /nfs/dbraw/zinc/92/28/14/856922814.db2.gz IJKMZQJUBBYDHH-GZMMTYOYSA-N -1 1 304.268 1.969 20 0 DDADMM CCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001073288859 858246028 /nfs/dbraw/zinc/24/60/28/858246028.db2.gz AUOZJVKQFVKLEP-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1Cc2ccccc2O1 ZINC001123734244 859383988 /nfs/dbraw/zinc/38/39/88/859383988.db2.gz LXQJJUWWDYDMQM-JTQLQIEISA-N -1 1 317.370 1.513 20 0 DDADMM COc1cccc(CN2C[C@@H]3C[C@@H]3[C@@H](F)C2)c1OCC(=O)[O-] ZINC001139268674 860343904 /nfs/dbraw/zinc/34/39/04/860343904.db2.gz QETDTXOZGVHGRK-AVGNSLFASA-N -1 1 309.337 1.948 20 0 DDADMM COc1cccc(CN(C)[C@H]2CCCOC2)c1OCC(=O)[O-] ZINC001139270737 860345013 /nfs/dbraw/zinc/34/50/13/860345013.db2.gz FISQOQMAAUZCMH-ZDUSSCGKSA-N -1 1 309.362 1.769 20 0 DDADMM Cc1nnc([N-]c2cc(F)cc(C(=O)N3CCOCC3)c2)o1 ZINC001202985002 860519480 /nfs/dbraw/zinc/51/94/80/860519480.db2.gz GOUULAUOSJMJPC-UHFFFAOYSA-N -1 1 306.297 1.733 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)Nc1cccc(-c2n[nH]c(=O)[n-]2)c1 ZINC001140363963 860627503 /nfs/dbraw/zinc/62/75/03/860627503.db2.gz MQXQUGMKLFJZHF-VIFPVBQESA-N -1 1 312.333 1.718 20 0 DDADMM Cc1nnc2n1C[C@@H](C(=O)Nc1ccc([O-])c(F)c1F)CC2 ZINC001140657469 860668364 /nfs/dbraw/zinc/66/83/64/860668364.db2.gz RYJLRYQUAZTSSZ-QMMMGPOBSA-N -1 1 308.288 1.771 20 0 DDADMM COC[C@@H]1c2nnn(C)c2CCN1Cc1ccc([O-])c(F)c1F ZINC001140888325 860724936 /nfs/dbraw/zinc/72/49/36/860724936.db2.gz DAJIXYIHVKKHHP-LLVKDONJSA-N -1 1 324.331 1.545 20 0 DDADMM CCOC(=O)[C@H]1COCCN1Cc1ccc([O-])c(F)c1F ZINC001140892550 860726305 /nfs/dbraw/zinc/72/63/05/860726305.db2.gz RNTQYSHFLWHAHU-SNVBAGLBSA-N -1 1 301.289 1.434 20 0 DDADMM O=C([O-])c1ccc(CN2CCC(N3CCCOC3=O)CC2)o1 ZINC001140949176 860743981 /nfs/dbraw/zinc/74/39/81/860743981.db2.gz RJSIJMCIERRSJX-UHFFFAOYSA-N -1 1 308.334 1.785 20 0 DDADMM COC(=O)[C@H]1CN(Cc2cc(F)c([O-])c(F)c2)CCCO1 ZINC001140992042 860753165 /nfs/dbraw/zinc/75/31/65/860753165.db2.gz KBAKJYRYJMKCKM-GFCCVEGCSA-N -1 1 301.289 1.434 20 0 DDADMM [O-]c1c(F)cc(CN2CCN(c3ncc(O)cn3)CC2)cc1F ZINC001140992051 860753775 /nfs/dbraw/zinc/75/37/75/860753775.db2.gz KRRKUUBUKIWOSJ-UHFFFAOYSA-N -1 1 322.315 1.488 20 0 DDADMM COC[C@H]1CN(C(=O)c2cc(C)cc(C=O)c2[O-])C[C@H](C)O1 ZINC001154526030 861175843 /nfs/dbraw/zinc/17/58/43/861175843.db2.gz BQVPQZWOVNBZCS-WCQYABFASA-N -1 1 307.346 1.389 20 0 DDADMM Cc1ccc2ncc([O-])c(C(=O)Nc3ncnc4n[nH]nc43)c2c1 ZINC001154528363 861177986 /nfs/dbraw/zinc/17/79/86/861177986.db2.gz TVASUUNIQXJNQA-UHFFFAOYSA-N -1 1 321.300 1.562 20 0 DDADMM Cc1n[nH]c(C(=O)NCCCNCc2ncc(C(C)C)o2)c1[O-] ZINC001156626542 863204389 /nfs/dbraw/zinc/20/43/89/863204389.db2.gz KSHWKXWHIXPPGO-UHFFFAOYSA-N -1 1 321.381 1.445 20 0 DDADMM CN(C(=O)[C@@H]1C[C@H]1c1ccc(OC(F)F)cc1)c1nn[n-]n1 ZINC001361435751 881646093 /nfs/dbraw/zinc/64/60/93/881646093.db2.gz MSIZGKUSYCSVSL-VHSXEESVSA-N -1 1 309.276 1.568 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2[nH]nc3cccnc32)n1 ZINC001153145358 863733522 /nfs/dbraw/zinc/73/35/22/863733522.db2.gz QUVVEDHCJNYUCJ-UHFFFAOYSA-N -1 1 300.278 1.110 20 0 DDADMM COc1ccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)c(N)c1F ZINC001153811793 864125719 /nfs/dbraw/zinc/12/57/19/864125719.db2.gz OYTPDWGAMXPQLZ-UHFFFAOYSA-N -1 1 322.344 1.228 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc2[nH]c(=O)ccc2c1 ZINC001153844520 864151315 /nfs/dbraw/zinc/15/13/15/864151315.db2.gz NXIZTGPFXUYMOP-UHFFFAOYSA-N -1 1 324.273 1.216 20 0 DDADMM O=C(NCCC1CCC(O)CC1)c1c[n-]c2cccnc2c1=O ZINC001153861810 864172026 /nfs/dbraw/zinc/17/20/26/864172026.db2.gz JTGKQOUVRKRLIQ-UHFFFAOYSA-N -1 1 315.373 1.594 20 0 DDADMM O=C(Nc1cn[nH]c(=O)c1)c1cc(=O)c2cc(Cl)ccc2[n-]1 ZINC001153899771 864206824 /nfs/dbraw/zinc/20/68/24/864206824.db2.gz MVRXXAOWZZDKPI-UHFFFAOYSA-N -1 1 316.704 1.929 20 0 DDADMM O=S(=O)([N-]C1CCC=CCC1)c1nc[nH]c1Br ZINC001331228357 864954452 /nfs/dbraw/zinc/95/44/52/864954452.db2.gz ADMWCBIRKCVFGY-UHFFFAOYSA-N -1 1 320.212 1.949 20 0 DDADMM CN1[C@@H]2C[C@H](Oc3ccc(S(=O)(=O)[O-])cc3)[C@H]1CC(=O)C2 ZINC001225515368 881877440 /nfs/dbraw/zinc/87/74/40/881877440.db2.gz SFVIOMBQFTVLMZ-FZZIBODNSA-N -1 1 311.359 1.116 20 0 DDADMM CCOC(=O)[C@@H]1CSCCN1C(=O)c1ccc(F)c([O-])c1 ZINC001361586214 881950508 /nfs/dbraw/zinc/95/05/08/881950508.db2.gz AFISMOMZSZCQCQ-NSHDSACASA-N -1 1 313.350 1.652 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc2c(c1)OCCCO2 ZINC001361586556 881951353 /nfs/dbraw/zinc/95/13/53/881951353.db2.gz JMRPPYANDXVSRJ-UHFFFAOYSA-N -1 1 303.322 1.322 20 0 DDADMM CCCCSCCC(=O)NCc1n[n-]c(C(=O)OCC)n1 ZINC001161164488 866419282 /nfs/dbraw/zinc/41/92/82/866419282.db2.gz TZCXJIBCTWANQS-UHFFFAOYSA-N -1 1 314.411 1.521 20 0 DDADMM CCCCSCCC(=O)NCc1nc(C(=O)OCC)n[n-]1 ZINC001161164488 866419296 /nfs/dbraw/zinc/41/92/96/866419296.db2.gz TZCXJIBCTWANQS-UHFFFAOYSA-N -1 1 314.411 1.521 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC001333369671 866678736 /nfs/dbraw/zinc/67/87/36/866678736.db2.gz JIXPWQFWWFALLD-NSHDSACASA-N -1 1 324.343 1.972 20 0 DDADMM Cn1cc(CNCCc2cc3ccc(C(=O)[O-])cc3o2)nn1 ZINC001333891799 867124959 /nfs/dbraw/zinc/12/49/59/867124959.db2.gz COIWLRMFBZBPQR-UHFFFAOYSA-N -1 1 300.318 1.592 20 0 DDADMM O=C([O-])[C@@H]1CC(=O)N(CCNCc2cscc2Cl)C1 ZINC001334056053 867267442 /nfs/dbraw/zinc/26/74/42/867267442.db2.gz NWTOYGZKFNWDBR-MRVPVSSYSA-N -1 1 302.783 1.424 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CCc2nc(C)no2)[n-]1 ZINC001361648646 882084216 /nfs/dbraw/zinc/08/42/16/882084216.db2.gz IREIGGFJPWDFRW-UHFFFAOYSA-N -1 1 320.305 1.267 20 0 DDADMM CCOC(=O)c1cnc(=O)[n-]c1OC1=C(C)[C@@H](CC)OC1=O ZINC001225899421 882100799 /nfs/dbraw/zinc/10/07/99/882100799.db2.gz LRAXLWHYZXKLNM-SECBINFHSA-N -1 1 308.290 1.347 20 0 DDADMM CC(C)CO[N-]C(=O)C(=O)NC[C@H](c1ccccc1)N(C)C ZINC001321388768 867551652 /nfs/dbraw/zinc/55/16/52/867551652.db2.gz FYKQUHHLGQIWTE-CQSZACIVSA-N -1 1 307.394 1.109 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](CC(C)C)n1ccnc1)c1nn[n-]n1 ZINC001362208137 883302021 /nfs/dbraw/zinc/30/20/21/883302021.db2.gz ZEIMWIYYJGVVEO-NEPJUHHUSA-N -1 1 305.386 1.641 20 0 DDADMM CN1CCN(c2nccnc2CC(=O)[O-])[C@H](c2ccccc2)C1 ZINC001163671855 868616235 /nfs/dbraw/zinc/61/62/35/868616235.db2.gz GHZAJQBWUQLWCI-HNNXBMFYSA-N -1 1 312.373 1.597 20 0 DDADMM C[C@@H](CCCNC(=O)C1(CF)CC1)NC(=O)c1ncccc1[O-] ZINC001336013143 868678440 /nfs/dbraw/zinc/67/84/40/868678440.db2.gz MGBMUXUSTNOZKO-NSHDSACASA-N -1 1 323.368 1.552 20 0 DDADMM COC(=O)c1ccc(N)c(NCC[N-]C(=O)C(F)(F)F)c1 ZINC001164243946 869050339 /nfs/dbraw/zinc/05/03/39/869050339.db2.gz MXLWGNJFAGGEQM-UHFFFAOYSA-N -1 1 305.256 1.146 20 0 DDADMM COCCOc1ccc(NCC[N-]C(=O)C(F)(F)F)cc1 ZINC001164243908 869050406 /nfs/dbraw/zinc/05/04/06/869050406.db2.gz LAQLDWXCMDASGF-UHFFFAOYSA-N -1 1 306.284 1.802 20 0 DDADMM COC(=O)c1ncoc1CCC(=O)Nc1c([O-])cccc1F ZINC001165752007 869697964 /nfs/dbraw/zinc/69/79/64/869697964.db2.gz UKDWSHNMQULICJ-UHFFFAOYSA-N -1 1 308.265 1.877 20 0 DDADMM C/C=C(\C)C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C(C)C ZINC001297961999 870219082 /nfs/dbraw/zinc/21/90/82/870219082.db2.gz QIARHRPLXKLFBG-JXDHDYMSSA-N -1 1 305.378 1.624 20 0 DDADMM COc1ccccc1[C@H](C)N(OC)C(=O)c1cnncc1[O-] ZINC001339065397 870328278 /nfs/dbraw/zinc/32/82/78/870328278.db2.gz JWAMWUYEEFNSNU-JTQLQIEISA-N -1 1 303.318 1.956 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ncnc4ccsc43)ccnc1-2 ZINC001361811087 882418442 /nfs/dbraw/zinc/41/84/42/882418442.db2.gz ZECHOKDADYUQCC-UHFFFAOYSA-N -1 1 310.342 1.599 20 0 DDADMM CN1CCOc2cc(Nc3[nH]c(=S)[n-]c(=O)c3N=O)cnc21 ZINC001203486289 870586623 /nfs/dbraw/zinc/58/66/23/870586623.db2.gz WTNURKPHPWWAFB-UHFFFAOYSA-N -1 1 320.334 1.836 20 0 DDADMM Cc1cc(=O)oc2cc([O-])cc(OC[C@@H]3CN(C)CCO3)c12 ZINC001226486501 882461182 /nfs/dbraw/zinc/46/11/82/882461182.db2.gz QTMZQDFUXPKSQI-LBPRGKRZSA-N -1 1 305.330 1.516 20 0 DDADMM COC(=O)[C@H]1COCCC12CN(Cc1ccc(C(=O)[O-])cc1)C2 ZINC001204156662 870970963 /nfs/dbraw/zinc/97/09/63/870970963.db2.gz HSVDZAUAVLHBCJ-CQSZACIVSA-N -1 1 319.357 1.396 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N(C)Cc2cccc(=O)[nH]2)c1 ZINC001304010241 871178057 /nfs/dbraw/zinc/17/80/57/871178057.db2.gz YSZYBWDCYYVGEE-UHFFFAOYSA-N -1 1 300.314 1.886 20 0 DDADMM CN(CC1CC1)c1nnc(-c2nnn[n-]2)n1Cc1ccccc1 ZINC001340800946 871362792 /nfs/dbraw/zinc/36/27/92/871362792.db2.gz BAORUCSZCBLQGN-UHFFFAOYSA-N -1 1 310.365 1.353 20 0 DDADMM CN(CC1CC1)c1nnc(-c2nn[n-]n2)n1Cc1ccccc1 ZINC001340800946 871362812 /nfs/dbraw/zinc/36/28/12/871362812.db2.gz BAORUCSZCBLQGN-UHFFFAOYSA-N -1 1 310.365 1.353 20 0 DDADMM Cn1cc(C=CC(=O)OCC(=O)c2ccc([O-])cc2O)cn1 ZINC001317727734 871446626 /nfs/dbraw/zinc/44/66/26/871446626.db2.gz LXIAVBISQSPYAY-DJWKRKHSSA-N -1 1 302.286 1.271 20 0 DDADMM CC1CC(NC(=O)C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC001341009115 871464894 /nfs/dbraw/zinc/46/48/94/871464894.db2.gz UXQASOAIPPMANV-UHFFFAOYSA-N -1 1 312.316 1.244 20 0 DDADMM C[C@@H](c1cc(F)ccc1F)N(C)c1nnc(-c2nnn[n-]2)n1C ZINC001341255402 871581545 /nfs/dbraw/zinc/58/15/45/871581545.db2.gz POYHFRJPJBONMV-ZETCQYMHSA-N -1 1 320.307 1.471 20 0 DDADMM C[C@@H](c1cc(F)ccc1F)N(C)c1nnc(-c2nn[n-]n2)n1C ZINC001341255402 871581559 /nfs/dbraw/zinc/58/15/59/871581559.db2.gz POYHFRJPJBONMV-ZETCQYMHSA-N -1 1 320.307 1.471 20 0 DDADMM COc1cccc(SC)c1NC(=O)NN1CC(=O)[N-]C1=O ZINC001310895206 871661365 /nfs/dbraw/zinc/66/13/65/871661365.db2.gz VSBLAWGKXKMIII-UHFFFAOYSA-N -1 1 310.335 1.005 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(CCOCCC(C)C)C2)c1[O-] ZINC001318247567 871876149 /nfs/dbraw/zinc/87/61/49/871876149.db2.gz GXUYGVAOGIPVAN-ZDUSSCGKSA-N -1 1 324.425 1.291 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])Cc1cscn1 ZINC001316887651 872222432 /nfs/dbraw/zinc/22/24/32/872222432.db2.gz BEMQVUCOXXSEIR-UHFFFAOYSA-N -1 1 320.418 1.886 20 0 DDADMM CCCCN(C)c1nnc(-c2nnn[n-]2)n1CCCOCC ZINC001343238426 872546448 /nfs/dbraw/zinc/54/64/48/872546448.db2.gz WRQOBZZTSWONKV-UHFFFAOYSA-N -1 1 308.390 1.121 20 0 DDADMM CCCCN(C)c1nnc(-c2nn[n-]n2)n1CCCOCC ZINC001343238426 872546467 /nfs/dbraw/zinc/54/64/67/872546467.db2.gz WRQOBZZTSWONKV-UHFFFAOYSA-N -1 1 308.390 1.121 20 0 DDADMM CC[C@H](F)C(=O)N(C)[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001381800152 882696210 /nfs/dbraw/zinc/69/62/10/882696210.db2.gz ADUNYUDCZXUSTN-NWDGAFQWSA-N -1 1 323.368 1.502 20 0 DDADMM C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)c2c([O-])cccc2Cl)CC1=O ZINC001361966184 882727225 /nfs/dbraw/zinc/72/72/25/882727225.db2.gz BPGPPKLSPZQFIE-KKZNHRDASA-N -1 1 308.765 1.785 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC001346506623 873783237 /nfs/dbraw/zinc/78/32/37/873783237.db2.gz LNCQPPHNUZLXID-QNSHHTMESA-N -1 1 309.391 1.323 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC1Cc2ccccc2C1 ZINC001346752089 873880388 /nfs/dbraw/zinc/88/03/88/873880388.db2.gz HQVCEXQHYCCCNE-UHFFFAOYSA-N -1 1 301.371 1.677 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1Cc2c[nH]nc2[C@H](C)C1 ZINC001347143289 874031381 /nfs/dbraw/zinc/03/13/81/874031381.db2.gz OOHNCKHVYDZHPV-ZCFIWIBFSA-N -1 1 305.363 1.262 20 0 DDADMM CC[C@H](CNC(=O)c1cccc(C)c1F)NCc1n[nH]c(=O)[n-]1 ZINC001378257174 874563472 /nfs/dbraw/zinc/56/34/72/874563472.db2.gz OMEJUWYCGGVMEZ-SNVBAGLBSA-N -1 1 321.356 1.256 20 0 DDADMM Cn1cc(CCC(=O)N2CC[C@H](c3nn[n-]n3)C2)c2ccccc21 ZINC001349002060 874917981 /nfs/dbraw/zinc/91/79/81/874917981.db2.gz JHROFEFFBMZZBY-ZDUSSCGKSA-N -1 1 324.388 1.640 20 0 DDADMM O=C(CC[C@H]1CC1(Cl)Cl)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001349001985 874918543 /nfs/dbraw/zinc/91/85/43/874918543.db2.gz HUFDSSOKMFKCJX-SFYZADRCSA-N -1 1 304.181 1.490 20 0 DDADMM CSc1ncc(C(=O)Nc2ccn(CC(F)F)n2)c(=O)[n-]1 ZINC001362036543 882888616 /nfs/dbraw/zinc/88/86/16/882888616.db2.gz VKNOMLSSARTZDJ-UHFFFAOYSA-N -1 1 315.305 1.618 20 0 DDADMM O=S(=O)([N-]Cc1ccc(F)cn1)c1ccc(Cl)nc1F ZINC001210702072 875493562 /nfs/dbraw/zinc/49/35/62/875493562.db2.gz BTBKPOVGEFFJTP-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM CCC[C@@H](NC(=O)c1cn(CC)nc1C1CC1)c1nn[n-]n1 ZINC001362069174 882958105 /nfs/dbraw/zinc/95/81/05/882958105.db2.gz JGCJHCUCZGXTNI-LLVKDONJSA-N -1 1 303.370 1.565 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CCCCC1(C)C ZINC001378862312 875793660 /nfs/dbraw/zinc/79/36/60/875793660.db2.gz FBUPNGXNHLSTSF-VXGBXAGGSA-N -1 1 323.441 1.663 20 0 DDADMM O=C([O-])[C@@]1(N2CCN(C(=O)C[C@@H]3CC=CCC3)CC2)CCOC1 ZINC001350903547 875951663 /nfs/dbraw/zinc/95/16/63/875951663.db2.gz PWABBDIFHQXKOM-RHSMWYFYSA-N -1 1 322.405 1.121 20 0 DDADMM CCC[C@H](C)CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001214296251 876263775 /nfs/dbraw/zinc/26/37/75/876263775.db2.gz FLYPKQMCOISRLR-QJPTWQEYSA-N -1 1 309.414 1.273 20 0 DDADMM CCCC[C@](C)(F)C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001379156452 876530743 /nfs/dbraw/zinc/53/07/43/876530743.db2.gz WMPYNHADTJLJRU-YGRLFVJLSA-N -1 1 315.393 1.365 20 0 DDADMM Nc1cccc(S(=O)(=O)Nc2cnc(F)c(C(=O)[O-])c2)c1 ZINC001215111191 876570405 /nfs/dbraw/zinc/57/04/05/876570405.db2.gz FITGGXLIZGOLTJ-UHFFFAOYSA-N -1 1 311.294 1.302 20 0 DDADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)/C=C/C1CC1 ZINC001352122299 876606523 /nfs/dbraw/zinc/60/65/23/876606523.db2.gz POPKXAOIHMANHX-CMDGGOBGSA-N -1 1 317.389 1.722 20 0 DDADMM CNC(=O)c1ccc(Nc2cc(=O)[n-]c(N(C)C)n2)c(OC)c1 ZINC001215625525 876765022 /nfs/dbraw/zinc/76/50/22/876765022.db2.gz IFYNBWQZNACEFU-UHFFFAOYSA-N -1 1 317.349 1.360 20 0 DDADMM COC/C=C/C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001300042862 876792268 /nfs/dbraw/zinc/79/22/68/876792268.db2.gz FWDRYCRWRHUQLO-ROSDIQCESA-N -1 1 308.300 1.247 20 0 DDADMM CCC[C@H](CC)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216090129 876861613 /nfs/dbraw/zinc/86/16/13/876861613.db2.gz AJRBNEDRWJIZBS-GRYCIOLGSA-N -1 1 309.414 1.273 20 0 DDADMM COc1ncc(Nc2ncn(C)c2C)cc1[N-]S(C)(=O)=O ZINC001216153405 876880564 /nfs/dbraw/zinc/88/05/64/876880564.db2.gz QRCSLSOKZVXCMX-UHFFFAOYSA-N -1 1 311.367 1.247 20 0 DDADMM C[C@H](COc1ccccc1)OCCCOc1nc(=O)[nH]c(=O)[n-]1 ZINC001227571920 883088012 /nfs/dbraw/zinc/08/80/12/883088012.db2.gz ZWWBBLUUZGKIKR-LLVKDONJSA-N -1 1 321.333 1.536 20 0 DDADMM CCC(=O)N(C)C[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001413857251 877278600 /nfs/dbraw/zinc/27/86/00/877278600.db2.gz WICUVFINAPKHFS-GFCCVEGCSA-N -1 1 305.378 1.650 20 0 DDADMM CC/C=C(/C)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001353396980 877300365 /nfs/dbraw/zinc/30/03/65/877300365.db2.gz AUMIKBSHGMWZSY-QJGQKNTRSA-N -1 1 303.362 1.474 20 0 DDADMM CN(C)C(=O)c1ccc([N-]S(=O)(=O)c2cccc(N)c2)cc1 ZINC000314708871 878788003 /nfs/dbraw/zinc/78/80/03/878788003.db2.gz BMZRFIJPRYPGQV-UHFFFAOYSA-N -1 1 319.386 1.771 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC[C@@H](c2ccccc2)[C@H]1C ZINC001356290122 878966641 /nfs/dbraw/zinc/96/66/41/878966641.db2.gz JTMXFILJBHZSEO-DGCLKSJQSA-N -1 1 324.392 1.861 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC[C@@H](c2ccccc2)[C@H]1C ZINC001356290122 878966647 /nfs/dbraw/zinc/96/66/47/878966647.db2.gz JTMXFILJBHZSEO-DGCLKSJQSA-N -1 1 324.392 1.861 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CN(C(=O)c1cc([O-])cc(F)c1F)C2 ZINC001276827801 880285440 /nfs/dbraw/zinc/28/54/40/880285440.db2.gz PJQVIAMFAZBINH-JTQLQIEISA-N -1 1 310.300 1.221 20 0 DDADMM O=C([O-])[C@]1(C(=O)Nc2cc(Cl)cc(Cl)c2)CNCCO1 ZINC001358185154 880363010 /nfs/dbraw/zinc/36/30/10/880363010.db2.gz IHSOHNLPKMLCQO-GFCCVEGCSA-N -1 1 319.144 1.375 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H]2C[C@H](OCc3nn[n-]n3)C[C@@H]21 ZINC001223029746 880791488 /nfs/dbraw/zinc/79/14/88/880791488.db2.gz OSDKLNVUMOOREI-VWYCJHECSA-N -1 1 309.370 1.504 20 0 DDADMM CCC(CC)CC(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001381110308 881051565 /nfs/dbraw/zinc/05/15/65/881051565.db2.gz GSFLOWPXYFWPGW-CMPLNLGQSA-N -1 1 309.414 1.273 20 0 DDADMM CCOC(=O)N1CCC[C@H](Oc2cc(=O)[n-]c(SC)n2)C1 ZINC001228091051 883330121 /nfs/dbraw/zinc/33/01/21/883330121.db2.gz SLBIJKSTMCLRRH-VIFPVBQESA-N -1 1 313.379 1.904 20 0 DDADMM CC(C)C[C@@H](NC(=O)c1cnncc1[O-])C(=O)OC(C)(C)C ZINC001362259730 883426505 /nfs/dbraw/zinc/42/65/05/883426505.db2.gz DZEBUQYRAHPHDE-LLVKDONJSA-N -1 1 309.366 1.668 20 0 DDADMM COC(=O)c1nc(O[C@@H](C(=O)OC)c2ccc(F)cc2)n[n-]1 ZINC001228310748 883438270 /nfs/dbraw/zinc/43/82/70/883438270.db2.gz HWWXUOATASBSSP-SECBINFHSA-N -1 1 309.253 1.024 20 0 DDADMM CCOC(=O)[C@H](Oc1nc(C(=O)OC)n[n-]1)c1ccccc1 ZINC001228308534 883438227 /nfs/dbraw/zinc/43/82/27/883438227.db2.gz KAMZFOWSMYVIMT-SNVBAGLBSA-N -1 1 305.290 1.275 20 0 DDADMM CCOC(=O)[C@H](Oc1n[n-]c(C(=O)OC)n1)c1ccccc1 ZINC001228308534 883438231 /nfs/dbraw/zinc/43/82/31/883438231.db2.gz KAMZFOWSMYVIMT-SNVBAGLBSA-N -1 1 305.290 1.275 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H](C(=O)OC)c2ccc(F)cc2)n1 ZINC001228310748 883438266 /nfs/dbraw/zinc/43/82/66/883438266.db2.gz HWWXUOATASBSSP-SECBINFHSA-N -1 1 309.253 1.024 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H]2CCCN(c3ccc(C)cn3)C2)n1 ZINC001228315262 883440314 /nfs/dbraw/zinc/44/03/14/883440314.db2.gz XLYYIKQGOISRDH-NSHDSACASA-N -1 1 317.349 1.343 20 0 DDADMM COC(=O)c1nc(O[C@H]2CCCN(c3ccc(C)cn3)C2)n[n-]1 ZINC001228315262 883440321 /nfs/dbraw/zinc/44/03/21/883440321.db2.gz XLYYIKQGOISRDH-NSHDSACASA-N -1 1 317.349 1.343 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1CC[C@H]1C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001362296282 883505500 /nfs/dbraw/zinc/50/55/00/883505500.db2.gz AOBKNYQLRLBEML-GARJFASQSA-N -1 1 323.397 1.130 20 0 DDADMM CN(Cc1ccc(S(C)(=O)=O)cc1)C(=O)c1ccc([O-])cn1 ZINC001362327312 883574434 /nfs/dbraw/zinc/57/44/34/883574434.db2.gz DULFAUFFWVEHGJ-UHFFFAOYSA-N -1 1 320.370 1.463 20 0 DDADMM Cc1ccc(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)nn1 ZINC001362355440 883633346 /nfs/dbraw/zinc/63/33/46/883633346.db2.gz OVBQVTBEVMRQCS-UHFFFAOYSA-N -1 1 324.337 1.548 20 0 DDADMM CCc1cnc(CN(C)C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)s1 ZINC001362373908 883668476 /nfs/dbraw/zinc/66/84/76/883668476.db2.gz UKBPHYFTGKGHKG-UHFFFAOYSA-N -1 1 324.362 1.188 20 0 DDADMM CCC[C@@H](NC(=O)Cc1nc(C2CC2)cs1)c1nn[n-]n1 ZINC001362394341 883709187 /nfs/dbraw/zinc/70/91/87/883709187.db2.gz QAJGBMOXUGISPK-SECBINFHSA-N -1 1 306.395 1.734 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(Br)n(C)n1 ZINC001362500615 883951190 /nfs/dbraw/zinc/95/11/90/883951190.db2.gz GFGWHEQFFFUEET-UHFFFAOYSA-N -1 1 300.116 1.172 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](O)c2ccc(Cl)cc2)n1 ZINC001362535836 884032233 /nfs/dbraw/zinc/03/22/33/884032233.db2.gz SUFCKOPRBVHVKZ-NSHDSACASA-N -1 1 323.736 1.912 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn3c(n2)CC[C@H](C)C3)n1 ZINC001362537201 884035625 /nfs/dbraw/zinc/03/56/25/884035625.db2.gz VYAHETPHSYWMQU-VIFPVBQESA-N -1 1 317.349 1.618 20 0 DDADMM COc1cccnc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001362550560 884070696 /nfs/dbraw/zinc/07/06/96/884070696.db2.gz PCYJVGOCSPLVPM-VIFPVBQESA-N -1 1 304.306 1.199 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](CO)CC2CCCC2)c(=O)[n-]1 ZINC001362552837 884077651 /nfs/dbraw/zinc/07/76/51/884077651.db2.gz ZXFJHPABZVOREO-SNVBAGLBSA-N -1 1 311.407 1.575 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)Cc1c[nH]cn1 ZINC001362555058 884082417 /nfs/dbraw/zinc/08/24/17/884082417.db2.gz KQSACNXMXIRYSA-UHFFFAOYSA-N -1 1 312.326 1.102 20 0 DDADMM CCC[C@H](CC)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001229796944 884169497 /nfs/dbraw/zinc/16/94/97/884169497.db2.gz ZWBCLYUUGIXZCP-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cccc(O)c1Br ZINC001362612622 884218222 /nfs/dbraw/zinc/21/82/22/884218222.db2.gz PIJJJRBNRSMMCC-UHFFFAOYSA-N -1 1 312.127 1.239 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C1=CCCCO1 ZINC001362616635 884229094 /nfs/dbraw/zinc/22/90/94/884229094.db2.gz UHGYLRRHRKDEPG-UWVGGRQHSA-N -1 1 320.311 1.739 20 0 DDADMM CCC[C@H](NC(=O)CCc1nn[n-]n1)[C@H](O)c1ccccc1 ZINC001362620313 884239710 /nfs/dbraw/zinc/23/97/10/884239710.db2.gz MRUKDCZZSGPLEP-SWLSCSKDSA-N -1 1 303.366 1.151 20 0 DDADMM C[C@@H](NC(=O)c1cncc([O-])c1)C(=O)OCc1ccccc1 ZINC001362628219 884262402 /nfs/dbraw/zinc/26/24/02/884262402.db2.gz RMXNUCIXVDXWBB-LLVKDONJSA-N -1 1 300.314 1.649 20 0 DDADMM O=C(N[C@@H]1CS(=O)(=O)c2ccccc21)c1ccc([O-])cn1 ZINC001362638900 884292125 /nfs/dbraw/zinc/29/21/25/884292125.db2.gz COIRMLWXMASNBQ-GFCCVEGCSA-N -1 1 304.327 1.046 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc([O-])c2F)CCS(=O)(=O)C1 ZINC001362661924 884352418 /nfs/dbraw/zinc/35/24/18/884352418.db2.gz ZZIJVQHKLCNSEX-SECBINFHSA-N -1 1 301.339 1.038 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](Oc1nc(C(=O)OC)c[n-]1)C(F)(F)F ZINC001230280544 884405786 /nfs/dbraw/zinc/40/57/86/884405786.db2.gz UYXIYBUPYPNEKA-POYBYMJQSA-N -1 1 324.255 1.705 20 0 DDADMM CCOC(=O)N1CCC([C@H](C)NC(=O)c2ccc([O-])cn2)CC1 ZINC001362687010 884414718 /nfs/dbraw/zinc/41/47/18/884414718.db2.gz LNPFCSSONOVKOQ-NSHDSACASA-N -1 1 321.377 1.774 20 0 DDADMM O=C(NCCN1C[C@H]2CCCCN2C1=O)c1cccc([O-])c1F ZINC001362699317 884438114 /nfs/dbraw/zinc/43/81/14/884438114.db2.gz KYWSERNNCMNOFV-LLVKDONJSA-N -1 1 321.352 1.551 20 0 DDADMM CCOC(=O)COc1ccc(NC(=O)c2ccc([O-])cn2)cc1 ZINC001362703976 884448871 /nfs/dbraw/zinc/44/88/71/884448871.db2.gz URTOYBXLJMTIQR-UHFFFAOYSA-N -1 1 316.313 1.981 20 0 DDADMM Cn1nc(Br)cc1NC(=O)c1ccc(O)cc1[O-] ZINC001362732227 884503965 /nfs/dbraw/zinc/50/39/65/884503965.db2.gz ABQVAALQRIUYEE-UHFFFAOYSA-N -1 1 312.123 1.846 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCC1CC(F)(F)C1 ZINC001362814911 884706146 /nfs/dbraw/zinc/70/61/46/884706146.db2.gz XTSAJAQZVUJROY-UHFFFAOYSA-N -1 1 303.265 1.062 20 0 DDADMM CC(=O)[C@@H]1C[C@H](CC(=O)NC2(c3nn[n-]n3)CCC2)C1(C)C ZINC001362838421 884765384 /nfs/dbraw/zinc/76/53/84/884765384.db2.gz YOHGJXXXWURNHO-MNOVXSKESA-N -1 1 305.382 1.337 20 0 DDADMM CSc1ncc(C(=O)N2CCCC[C@H]2C[C@H](C)O)c(=O)[n-]1 ZINC001362839617 884768111 /nfs/dbraw/zinc/76/81/11/884768111.db2.gz YLABXKXHCCHUBR-UWVGGRQHSA-N -1 1 311.407 1.670 20 0 DDADMM O=C([N-][C@H]1Cc2cnccc2NC1=O)C(F)(F)c1nccs1 ZINC001362842064 884774743 /nfs/dbraw/zinc/77/47/43/884774743.db2.gz RIRLICZFEPFSHI-VIFPVBQESA-N -1 1 324.312 1.310 20 0 DDADMM C[C@H](Oc1ccc(F)cc1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001362852903 884799819 /nfs/dbraw/zinc/79/98/19/884799819.db2.gz RYXNMAUNBMRLMQ-VIFPVBQESA-N -1 1 305.313 1.302 20 0 DDADMM CCC(CC)(NC(=O)[C@@H](OC)c1ccccc1)c1nn[n-]n1 ZINC001362859465 884817037 /nfs/dbraw/zinc/81/70/37/884817037.db2.gz VMSQEBJNKSIWOS-LBPRGKRZSA-N -1 1 303.366 1.719 20 0 DDADMM CCSc1ccccc1CC(=O)N[C@@H](COC)c1nn[n-]n1 ZINC001362896219 884912877 /nfs/dbraw/zinc/91/28/77/884912877.db2.gz BUCCWVDTSSNPFW-NSHDSACASA-N -1 1 321.406 1.358 20 0 DDADMM COc1ccc(Cl)cc1[C@H](O)CNC(=O)c1cncc([O-])c1 ZINC001362914449 884972521 /nfs/dbraw/zinc/97/25/21/884972521.db2.gz SAGQSKVBLGTEGQ-CYBMUJFWSA-N -1 1 322.748 1.913 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC[C@H]2CCCO2)n[n-]1 ZINC001362934709 885024724 /nfs/dbraw/zinc/02/47/24/885024724.db2.gz KYMZWHRHOKAZNC-VHSXEESVSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC[C@H]2CCCO2)[n-]1 ZINC001362934709 885024737 /nfs/dbraw/zinc/02/47/37/885024737.db2.gz KYMZWHRHOKAZNC-VHSXEESVSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC[C@H]2CCCO2)n1 ZINC001362934709 885024757 /nfs/dbraw/zinc/02/47/57/885024757.db2.gz KYMZWHRHOKAZNC-VHSXEESVSA-N -1 1 310.354 1.118 20 0 DDADMM Cn1cc([C@H]2C[C@H](NC(=O)c3ccc(F)c([O-])c3)CCO2)cn1 ZINC001362971408 885117165 /nfs/dbraw/zinc/11/71/65/885117165.db2.gz ANXRGYWNOJBZST-IUODEOHRSA-N -1 1 319.336 1.915 20 0 DDADMM CSc1cccnc1[N-]C(=O)c1cn(C(F)(F)CO)nn1 ZINC001363017251 885240069 /nfs/dbraw/zinc/24/00/69/885240069.db2.gz WMGQXPSTCDMNNG-UHFFFAOYSA-N -1 1 315.305 1.189 20 0 DDADMM NC(=O)OC[C@H](Cc1ccccc1)NC(=O)c1ccc([O-])cn1 ZINC001363051550 885335746 /nfs/dbraw/zinc/33/57/46/885335746.db2.gz LWKQGQPFYGXKKI-LBPRGKRZSA-N -1 1 315.329 1.638 20 0 DDADMM CSc1ncc(C(=O)NCc2nnc(C3CC3)s2)c(=O)[n-]1 ZINC001363057833 885354013 /nfs/dbraw/zinc/35/40/13/885354013.db2.gz QCRUOFOUWKAFAO-UHFFFAOYSA-N -1 1 323.403 1.563 20 0 DDADMM CO[C@H]1COCC[C@@H]1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001363070244 885389290 /nfs/dbraw/zinc/38/92/90/885389290.db2.gz QVKILZZIKNEIEH-NEPJUHHUSA-N -1 1 323.393 1.395 20 0 DDADMM CSC1(C(=O)N2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C)CC1 ZINC001363121150 885517813 /nfs/dbraw/zinc/51/78/13/885517813.db2.gz VDYARMGLJBSSOM-IUCAKERBSA-N -1 1 324.368 1.940 20 0 DDADMM CC(=O)N1CCC[C@H](N(C)Cc2cc(C(=O)[O-])ccc2F)C1 ZINC001231460588 885681228 /nfs/dbraw/zinc/68/12/28/885681228.db2.gz MPVYPEUDYHGTNA-AWEZNQCLSA-N -1 1 308.353 1.967 20 0 DDADMM O=C([O-])c1ccc(F)c(CN2CCC3(COC(=O)N3)CC2)c1 ZINC001231461000 885682276 /nfs/dbraw/zinc/68/22/76/885682276.db2.gz SVCQRHUJRLZQCC-UHFFFAOYSA-N -1 1 308.309 1.598 20 0 DDADMM COC(=O)C[C@]1(NCc2nc(=O)c3sccc3[n-]2)CCOC1 ZINC001363226552 885761057 /nfs/dbraw/zinc/76/10/57/885761057.db2.gz PPOVINZJVBMZPA-CQSZACIVSA-N -1 1 323.374 1.209 20 0 DDADMM COC(=O)[C@H]1[C@@H]2CN(Cc3ccc(OC)c(C(=O)[O-])c3)C[C@@H]21 ZINC001231754451 885855920 /nfs/dbraw/zinc/85/59/20/885855920.db2.gz YPRICOUBNYSXEL-IMRBUKKESA-N -1 1 305.330 1.244 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(C2CC2)no1)c1nn[n-]n1 ZINC001363281217 885905319 /nfs/dbraw/zinc/90/53/19/885905319.db2.gz VOKHXKKSJXYWIQ-QMMMGPOBSA-N -1 1 308.367 1.289 20 0 DDADMM COC(=O)c1cc(CNC(=O)c2cc(F)ccc2[O-])ccn1 ZINC001363332338 886046951 /nfs/dbraw/zinc/04/69/51/886046951.db2.gz XGTQYVCKUOEFDW-UHFFFAOYSA-N -1 1 304.277 1.643 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc2c(c1)N[C@H](C)CC(=O)N2 ZINC001363376872 886172917 /nfs/dbraw/zinc/17/29/17/886172917.db2.gz YABXQGOVYSPJER-SSDOTTSWSA-N -1 1 315.333 1.819 20 0 DDADMM CSc1ncc(C(=O)N2CC(C)(C)[C@H]2[C@H]2CCCO2)c(=O)[n-]1 ZINC001363382015 886185097 /nfs/dbraw/zinc/18/50/97/886185097.db2.gz WTFWUQRUJZEYMK-GHMZBOCLSA-N -1 1 323.418 1.934 20 0 DDADMM C[C@]12CCCC[C@H]1CN2C(=O)CNC(=O)c1ncccc1[O-] ZINC001363406828 886252624 /nfs/dbraw/zinc/25/26/24/886252624.db2.gz KWSWWWQJDQMMJK-ZBEGNZNMSA-N -1 1 303.362 1.308 20 0 DDADMM COC[C@@H](NC(=O)CCOc1ccc(C)c(C)c1)c1nn[n-]n1 ZINC001363410981 886263398 /nfs/dbraw/zinc/26/33/98/886263398.db2.gz HNZOYQJEDKFLND-CYBMUJFWSA-N -1 1 319.365 1.089 20 0 DDADMM COc1nnc([N-]C(=O)c2cc(S(C)(=O)=O)oc2C)s1 ZINC001363415819 886275555 /nfs/dbraw/zinc/27/55/55/886275555.db2.gz VDSYAVKGQYIASG-UHFFFAOYSA-N -1 1 317.348 1.104 20 0 DDADMM CCOc1cc(C(=O)OC)ccc1NC(=O)c1cnncc1[O-] ZINC001363533603 886568474 /nfs/dbraw/zinc/56/84/74/886568474.db2.gz FPDNXUSDPWHSGM-UHFFFAOYSA-N -1 1 317.301 1.620 20 0 DDADMM NS(=O)(=O)C[C@H]1CCCCN1Cc1ccc([O-])c(F)c1F ZINC001363688146 886979036 /nfs/dbraw/zinc/97/90/36/886979036.db2.gz WBNQASDIRYLVHC-SNVBAGLBSA-N -1 1 320.361 1.313 20 0 DDADMM C[C@H](NC(=O)c1ccc([O-])cn1)C(=O)OCc1ccccc1 ZINC001363716775 887054188 /nfs/dbraw/zinc/05/41/88/887054188.db2.gz KVIWJJPMNUKUMY-NSHDSACASA-N -1 1 300.314 1.649 20 0 DDADMM CC(C)(C)OC(=O)CCCC(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363720759 887065372 /nfs/dbraw/zinc/06/53/72/887065372.db2.gz KXIQIFBPAVPWRY-JTQLQIEISA-N -1 1 309.370 1.028 20 0 DDADMM Cc1c[nH]c(CNC(=O)c2cnc(C3CC3)[n-]c2=O)c(C)c1=O ZINC001363734733 887100936 /nfs/dbraw/zinc/10/09/36/887100936.db2.gz RGXFDXSDKUZSAM-UHFFFAOYSA-N -1 1 314.345 1.295 20 0 DDADMM O=S(=O)([N-]Cc1ccco1)c1nc[nH]c1Br ZINC001363751785 887139556 /nfs/dbraw/zinc/13/95/56/887139556.db2.gz UOJRXXBUHTYXHE-UHFFFAOYSA-N -1 1 306.141 1.244 20 0 DDADMM COC(=O)c1cccc2[n-]c(OC[C@H]3COC(C)(C)O3)nc21 ZINC001234040336 887582459 /nfs/dbraw/zinc/58/24/59/887582459.db2.gz YZMAFUDEUASONX-VIFPVBQESA-N -1 1 306.318 1.880 20 0 DDADMM COC(=O)c1cccc2nc(OC[C@H]3COC(C)(C)O3)[n-]c21 ZINC001234040336 887582468 /nfs/dbraw/zinc/58/24/68/887582468.db2.gz YZMAFUDEUASONX-VIFPVBQESA-N -1 1 306.318 1.880 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCCC[C@H](C)C2)[n-]n1 ZINC001363968309 887635217 /nfs/dbraw/zinc/63/52/17/887635217.db2.gz MVBPQICPLXODBI-VIFPVBQESA-N -1 1 301.368 1.007 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CCCC[C@H](C)C2)n[n-]1 ZINC001363968309 887635229 /nfs/dbraw/zinc/63/52/29/887635229.db2.gz MVBPQICPLXODBI-VIFPVBQESA-N -1 1 301.368 1.007 20 0 DDADMM C[C@H](NC(=O)CCCc1nn[n-]n1)c1cc(F)ccc1N(C)C ZINC001364001407 887700555 /nfs/dbraw/zinc/70/05/55/887700555.db2.gz YXMVHZMEYNRPBS-JTQLQIEISA-N -1 1 320.372 1.605 20 0 DDADMM COC[C@H](NC(=O)Cc1cccc(C)c1Cl)c1nn[n-]n1 ZINC001364030865 887758008 /nfs/dbraw/zinc/75/80/08/887758008.db2.gz LXTFKYVGQNYNFB-JTQLQIEISA-N -1 1 309.757 1.208 20 0 DDADMM CC(C)(C)OC(=O)N1CC2CC1(C(=O)Nc1c[n-][nH]c1=O)C2 ZINC001364096045 887910393 /nfs/dbraw/zinc/91/03/93/887910393.db2.gz OQWZBNZAVGKBIV-UHFFFAOYSA-N -1 1 308.338 1.453 20 0 DDADMM CC/C=C\CCOC(=O)[C@H](C)Oc1c(C(N)=O)nc(C)[n-]c1=O ZINC001234469773 888002854 /nfs/dbraw/zinc/00/28/54/888002854.db2.gz KIRRCPIEGSMJOC-UDIARPCQSA-N -1 1 323.349 1.256 20 0 DDADMM COc1ccccc1[C@H](C)Oc1c(C(N)=O)nc(C)[n-]c1=O ZINC001234472413 888004270 /nfs/dbraw/zinc/00/42/70/888004270.db2.gz PMCUPCCLMMPXGA-QMMMGPOBSA-N -1 1 303.318 1.738 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H](c1ccccc1)N1CCCCC1=O ZINC001364150358 888029688 /nfs/dbraw/zinc/02/96/88/888029688.db2.gz SSYYISSKAOMWHW-AWEZNQCLSA-N -1 1 314.345 1.808 20 0 DDADMM COC(=O)c1nc(C(C)C)[n-]c(=O)c1OC1CC(C(=O)OC)C1 ZINC001234554298 888086969 /nfs/dbraw/zinc/08/69/69/888086969.db2.gz MFFJLSDTYNNVEO-UHFFFAOYSA-N -1 1 324.333 1.423 20 0 DDADMM CCOC(=O)[C@H]1C[C@@H](Oc2[n-]c(=O)c(F)cc2C(=O)OC)C1 ZINC001234606901 888137838 /nfs/dbraw/zinc/13/78/38/888137838.db2.gz RFPNGGJDWHAPJE-OCAPTIKFSA-N -1 1 313.281 1.433 20 0 DDADMM C[C@H]1C[C@H](NC(=O)OC(C)(C)C)CN1C(=O)c1ccc([O-])cn1 ZINC001364414987 888584821 /nfs/dbraw/zinc/58/48/21/888584821.db2.gz HOACDOGFLRSSEB-QWRGUYRKSA-N -1 1 321.377 1.915 20 0 DDADMM O=c1[n-]nc(C2CCN(Cc3nnc4n3CCCC4)CC2)o1 ZINC001364418174 888592683 /nfs/dbraw/zinc/59/26/83/888592683.db2.gz NABLBPORDPYDAH-UHFFFAOYSA-N -1 1 304.354 1.083 20 0 DDADMM O=C(NC[C@H](n1cncn1)C(F)(F)F)c1ccc(F)c([O-])c1 ZINC001364448696 888661162 /nfs/dbraw/zinc/66/11/62/888661162.db2.gz JXISFTBPKQBUDR-JTQLQIEISA-N -1 1 318.230 1.656 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2(C3CCCCC3)CC2)n1 ZINC001364486171 888744895 /nfs/dbraw/zinc/74/48/95/888744895.db2.gz UBJAMTYUOYCKJX-UHFFFAOYSA-N -1 1 312.395 1.507 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C2(C3CCCCC3)CC2)[n-]1 ZINC001364486171 888744907 /nfs/dbraw/zinc/74/49/07/888744907.db2.gz UBJAMTYUOYCKJX-UHFFFAOYSA-N -1 1 312.395 1.507 20 0 DDADMM COc1ccc([C@H](CC(=O)Nc2c[n-][nH]c2=O)NC(C)=O)cc1 ZINC001364502463 888786871 /nfs/dbraw/zinc/78/68/71/888786871.db2.gz BRLRBNADQOWGAY-LBPRGKRZSA-N -1 1 318.333 1.330 20 0 DDADMM C[C@@H](C(=O)Nc1c[n-][nH]c1=O)C1CN(C(=O)OC(C)(C)C)C1 ZINC001364513432 888811706 /nfs/dbraw/zinc/81/17/06/888811706.db2.gz VJUAJIRYJAYAGS-MRVPVSSYSA-N -1 1 310.354 1.557 20 0 DDADMM COc1nscc1[N-]C(=O)c1ccc(S(N)(=O)=O)s1 ZINC001364668435 889161059 /nfs/dbraw/zinc/16/10/59/889161059.db2.gz KGGZDUGIDHBGFG-UHFFFAOYSA-N -1 1 319.389 1.113 20 0 DDADMM Cc1ccc(F)c(-c2ncccc2[C@]2(C)NC(=O)NC2=O)c1[O-] ZINC001236389510 889222183 /nfs/dbraw/zinc/22/21/83/889222183.db2.gz DUYKCCHLCPGNQV-INIZCTEOSA-N -1 1 315.304 1.956 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2C[C@H](C)n3ccnc32)sn1 ZINC001364842335 889527154 /nfs/dbraw/zinc/52/71/54/889527154.db2.gz MSSSRJXQYXWUTP-YUMQZZPRSA-N -1 1 314.392 1.333 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1C[C@@H](C)n2ccnc21 ZINC001364865904 889572951 /nfs/dbraw/zinc/57/29/51/889572951.db2.gz SEZLNKQUDLMSPS-HTQZYQBOSA-N -1 1 314.392 1.333 20 0 DDADMM CC[C@@H](C)CCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001385725148 889642317 /nfs/dbraw/zinc/64/23/17/889642317.db2.gz PVSMCYNADSDHCB-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)N(CCS(C)(=O)=O)Cc1ccc([O-])c(F)c1F ZINC001364924561 889678331 /nfs/dbraw/zinc/67/83/31/889678331.db2.gz BMJKQKRDUAZETL-UHFFFAOYSA-N -1 1 307.362 1.925 20 0 DDADMM C/C=C(/C)C(=O)NC[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001290114287 913385914 /nfs/dbraw/zinc/38/59/14/913385914.db2.gz FYEHISJBFCBYNK-CJULTGEBSA-N -1 1 317.389 1.768 20 0 DDADMM COc1cccnc1NC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001365047034 889973835 /nfs/dbraw/zinc/97/38/35/889973835.db2.gz FSZRHJFNYDFDTJ-UHFFFAOYSA-N -1 1 303.326 1.015 20 0 DDADMM CO[C@H]1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@H]1C ZINC001365052411 889989011 /nfs/dbraw/zinc/98/90/11/889989011.db2.gz ZUWAUZSDDSCEMF-MFKMUULPSA-N -1 1 303.366 1.231 20 0 DDADMM Cc1cccc([C@H](CC(=O)Nc2c[n-][nH]c2=O)NC(N)=O)c1 ZINC001365212087 890363824 /nfs/dbraw/zinc/36/38/24/890363824.db2.gz SMUFMESEHITTNB-JTQLQIEISA-N -1 1 303.322 1.162 20 0 DDADMM COC(=O)c1nc2ccc(-c3cc(OC)nnc3OC)cc2[n-]1 ZINC001240326333 890897136 /nfs/dbraw/zinc/89/71/36/890897136.db2.gz GYFSZFAGJMTIQD-UHFFFAOYSA-N -1 1 314.301 1.824 20 0 DDADMM COC(=O)c1nc2cc(-c3cc(OC)nnc3OC)ccc2[n-]1 ZINC001240326333 890897143 /nfs/dbraw/zinc/89/71/43/890897143.db2.gz GYFSZFAGJMTIQD-UHFFFAOYSA-N -1 1 314.301 1.824 20 0 DDADMM O=C(c1ccc(-c2cc(F)ccc2[O-])nc1)N1CCNC(=O)C1 ZINC001240695799 891021602 /nfs/dbraw/zinc/02/16/02/891021602.db2.gz SNZWBBLPDSUJJQ-UHFFFAOYSA-N -1 1 315.304 1.165 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCc2ccccc2CO)c1 ZINC001365665262 891275080 /nfs/dbraw/zinc/27/50/80/891275080.db2.gz BDTQMBFBIDBQBO-UHFFFAOYSA-N -1 1 323.370 1.372 20 0 DDADMM CN1CCN(c2nccnc2-c2cc(F)cc(C(=O)[O-])c2)CC1 ZINC001241821398 891278804 /nfs/dbraw/zinc/27/88/04/891278804.db2.gz PFHBCCMPWMAJGF-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM O=C(CSc1ccc2ccccc2n1)NCc1nn[n-]n1 ZINC000737947886 913548414 /nfs/dbraw/zinc/54/84/14/913548414.db2.gz RJSIWBLEVVWFRK-UHFFFAOYSA-N -1 1 300.347 1.156 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ccc(C)cn2)c1 ZINC001365717336 891388341 /nfs/dbraw/zinc/38/83/41/891388341.db2.gz IAAPDVHKOBRDRZ-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM COCCOc1ccccc1-c1nc(C)cc2c1C(=O)[N-]C2=O ZINC001243612912 891682418 /nfs/dbraw/zinc/68/24/18/891682418.db2.gz COVJNMSIXMSDJJ-UHFFFAOYSA-N -1 1 312.325 1.966 20 0 DDADMM COc1ncc(-c2ncnc(C)c2C)cc1[N-]S(C)(=O)=O ZINC001244791174 891923919 /nfs/dbraw/zinc/92/39/19/891923919.db2.gz WUWFAXNEHUWUOW-UHFFFAOYSA-N -1 1 308.363 1.536 20 0 DDADMM COc1ncc(-c2cnc3nccn3c2)cc1[N-]S(C)(=O)=O ZINC001244793649 891928262 /nfs/dbraw/zinc/92/82/62/891928262.db2.gz GUPVFGZNEGZVDR-UHFFFAOYSA-N -1 1 319.346 1.171 20 0 DDADMM CNc1cc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)ccn1 ZINC001244795882 891931896 /nfs/dbraw/zinc/93/18/96/891931896.db2.gz NLLXAOQUNATNAI-UHFFFAOYSA-N -1 1 308.363 1.565 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NCCC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001396331180 913629181 /nfs/dbraw/zinc/62/91/81/913629181.db2.gz QPTNOQSALXLINI-VXGBXAGGSA-N -1 1 317.389 1.460 20 0 DDADMM O=C(c1ccc(CO)cc1)n1[n-]c(CC(F)(F)F)cc1=O ZINC001245952076 892286224 /nfs/dbraw/zinc/28/62/24/892286224.db2.gz JULGYPHEXGRRAC-UHFFFAOYSA-N -1 1 300.236 1.874 20 0 DDADMM CCCC1(C(=O)NCC[C@@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001387583670 893389526 /nfs/dbraw/zinc/38/95/26/893389526.db2.gz JKYULZFAOJMHHE-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM COc1ncc(Cc2cc(C)nn2C)cc1[N-]S(C)(=O)=O ZINC001250421651 894287662 /nfs/dbraw/zinc/28/76/62/894287662.db2.gz HWSUPKXAPCUOEU-UHFFFAOYSA-N -1 1 310.379 1.094 20 0 DDADMM CC(C)(C)/C=C/C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001278631539 894639496 /nfs/dbraw/zinc/63/94/96/894639496.db2.gz XJRKONUBZJVEKR-XUIVZRPNSA-N -1 1 321.425 1.439 20 0 DDADMM CCOC(=O)c1ncc([N-]S(=O)(=O)Cc2ccccc2)cn1 ZINC001252820659 895476464 /nfs/dbraw/zinc/47/64/64/895476464.db2.gz JQMOJQGKMRHRSG-UHFFFAOYSA-N -1 1 321.358 1.595 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccccc1)c1ccncc1 ZINC001252922555 895550730 /nfs/dbraw/zinc/55/07/30/895550730.db2.gz MANUOYXMIWIFOP-AWEZNQCLSA-N -1 1 320.370 1.415 20 0 DDADMM CC[C@H](CNC(=O)C1CCCCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001367114099 895901429 /nfs/dbraw/zinc/90/14/29/895901429.db2.gz NTEJAIRMUATESM-CYBMUJFWSA-N -1 1 323.441 1.855 20 0 DDADMM CC1(C(=O)NCCC2CCN(Cc3n[nH]c(=O)[n-]3)CC2)CCC1 ZINC001367210533 896143607 /nfs/dbraw/zinc/14/36/07/896143607.db2.gz MQIPASCRSREPNG-UHFFFAOYSA-N -1 1 321.425 1.419 20 0 DDADMM CCC(CC)[C@H](C)C(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367366717 896575427 /nfs/dbraw/zinc/57/54/27/896575427.db2.gz FSHCZGLDLLRRDQ-QWRGUYRKSA-N -1 1 311.430 1.519 20 0 DDADMM CCCC[C@H](C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001389177590 896618793 /nfs/dbraw/zinc/61/87/93/896618793.db2.gz TZZNQCSAQULRFK-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM CCc1ccc(S(=O)(=O)[N-]c2cc(O)cc(C(N)=O)c2)cc1 ZINC001255090138 896728306 /nfs/dbraw/zinc/72/83/06/896728306.db2.gz PUBWNRSRSYYWCX-UHFFFAOYSA-N -1 1 320.370 1.854 20 0 DDADMM C[C@H](c1csnn1)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001367511211 896983565 /nfs/dbraw/zinc/98/35/65/896983565.db2.gz JCMSYMDYZNTLAT-SNVBAGLBSA-N -1 1 321.406 1.404 20 0 DDADMM CCn1c2ccccc2nc1[C@@H](C)NC(=O)CCc1nn[n-]n1 ZINC001293003538 914152599 /nfs/dbraw/zinc/15/25/99/914152599.db2.gz DBILOOFYPREQGJ-SNVBAGLBSA-N -1 1 313.365 1.379 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1sccc1Cl ZINC001367677291 897435829 /nfs/dbraw/zinc/43/58/29/897435829.db2.gz UEAUSRWSBOMXIR-ZCFIWIBFSA-N -1 1 315.786 1.133 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)c2ccc(Cl)s2)c(=O)[nH]1 ZINC001256555829 897474422 /nfs/dbraw/zinc/47/44/22/897474422.db2.gz UVUBLPUBQOVJTL-ZCFIWIBFSA-N -1 1 305.768 1.078 20 0 DDADMM O=S(=O)([N-]CC1(O)CC1)c1cc(Cl)c(Cl)s1 ZINC001257438228 897846965 /nfs/dbraw/zinc/84/69/65/897846965.db2.gz NQWCUGANKISPHR-UHFFFAOYSA-N -1 1 302.204 1.858 20 0 DDADMM O=S(=O)([N-]Cc1ncc(Cl)cn1)c1cc(F)ccc1F ZINC001258949829 898377506 /nfs/dbraw/zinc/37/75/06/898377506.db2.gz VMDGNQKVTMGENA-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM CN(C)CCNS(=O)(=O)c1cc(C(=O)[O-])c(Cl)cc1F ZINC001259212099 898537158 /nfs/dbraw/zinc/53/71/58/898537158.db2.gz GDTLQYFIKJNEBP-UHFFFAOYSA-N -1 1 324.761 1.017 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2ccc(F)c(OC)c2F)cn1 ZINC001259837797 898851938 /nfs/dbraw/zinc/85/19/38/898851938.db2.gz IKZDXIYGXNRFMY-UHFFFAOYSA-N -1 1 317.317 1.991 20 0 DDADMM COc1cc(CO)ccc1[N-]S(=O)(=O)C1CCOCC1 ZINC001259915712 898924136 /nfs/dbraw/zinc/92/41/36/898924136.db2.gz UCFWKDSDJGDYHI-UHFFFAOYSA-N -1 1 301.364 1.108 20 0 DDADMM O=S(=O)([N-]CCc1nc(-c2ccccc2)no1)C(F)F ZINC001259960769 898983281 /nfs/dbraw/zinc/98/32/81/898983281.db2.gz KXIZWRDVMBBFBP-UHFFFAOYSA-N -1 1 303.290 1.421 20 0 DDADMM CN(C1CC1)[C@H]1CCN(S(=O)(=O)c2ccc(C(=O)[O-])cc2)C1 ZINC001260226802 899099083 /nfs/dbraw/zinc/09/90/83/899099083.db2.gz WIXVXSGUOSIQBS-ZDUSSCGKSA-N -1 1 324.402 1.242 20 0 DDADMM O=C1CC(C[N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)C1 ZINC001260287496 899111505 /nfs/dbraw/zinc/11/15/05/899111505.db2.gz CGMFEQNQDOXOOJ-UHFFFAOYSA-N -1 1 309.721 1.876 20 0 DDADMM CCN(C(=O)C(F)F)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001374941914 914413780 /nfs/dbraw/zinc/41/37/80/914413780.db2.gz AUQBOLHFPFGHLI-SECBINFHSA-N -1 1 313.304 1.115 20 0 DDADMM O=C(c1[nH]ccc1-c1ccccc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001263886777 900800627 /nfs/dbraw/zinc/80/06/27/900800627.db2.gz WKUPJELWOYKFMU-LBPRGKRZSA-N -1 1 308.345 1.825 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C=Cc2cccnc2)[n-]c1=O ZINC001263944767 900829643 /nfs/dbraw/zinc/82/96/43/900829643.db2.gz OXFUZHNQPMNSFK-SREVYHEPSA-N -1 1 314.345 1.651 20 0 DDADMM CC[C@@H](C)CC(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001396814224 914522883 /nfs/dbraw/zinc/52/28/83/914522883.db2.gz SCLNFYDKQIUTMF-CYBMUJFWSA-N -1 1 319.405 1.898 20 0 DDADMM Cc1ccc(CCC(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)s1 ZINC001374984516 914527823 /nfs/dbraw/zinc/52/78/23/914527823.db2.gz TUAMXVISFSPXRN-VIFPVBQESA-N -1 1 323.422 1.107 20 0 DDADMM Nc1cccnc1N1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000391569629 901593755 /nfs/dbraw/zinc/59/37/55/901593755.db2.gz DFAJRUMRZCONGZ-UHFFFAOYSA-N -1 1 316.336 1.471 20 0 DDADMM CCOCC(=O)NC[C@H](CC(C)C)NC(=O)c1ncccc1[O-] ZINC001391397116 901661877 /nfs/dbraw/zinc/66/18/77/901661877.db2.gz WMJKNOLOXIOFGW-LBPRGKRZSA-N -1 1 323.393 1.084 20 0 DDADMM C[C@@H](NC(=O)c1cccnc1)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001369654611 901708637 /nfs/dbraw/zinc/70/86/37/901708637.db2.gz UEHDUODBNZFPRB-GHMZBOCLSA-N -1 1 314.345 1.119 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)CC1CCCC1 ZINC001369654721 901709155 /nfs/dbraw/zinc/70/91/55/901709155.db2.gz NUWRWSZPIUFCFF-NWDGAFQWSA-N -1 1 319.405 1.991 20 0 DDADMM CC[C@@H](C)OCC(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001391802621 902630944 /nfs/dbraw/zinc/63/09/44/902630944.db2.gz CFZLILYEWUTUNV-GFCCVEGCSA-N -1 1 323.393 1.133 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001391849635 902717017 /nfs/dbraw/zinc/71/70/17/902717017.db2.gz YBWOGJBQNAUEKY-CHWSQXEVSA-N -1 1 321.425 1.275 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H](C)c1ccccc1 ZINC001392117621 903322705 /nfs/dbraw/zinc/32/27/05/903322705.db2.gz AVQRHUQJUHTYCP-RYUDHWBXSA-N -1 1 317.393 1.251 20 0 DDADMM C=C/C(C)=C/CC(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001280996502 904295478 /nfs/dbraw/zinc/29/54/78/904295478.db2.gz QGGNLMJOHXOSDH-RPHSKFLZSA-N -1 1 317.389 1.886 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC1(C)C ZINC001375060504 914821661 /nfs/dbraw/zinc/82/16/61/914821661.db2.gz PLDMLUYXGQKTKB-WDEREUQCSA-N -1 1 305.378 1.458 20 0 DDADMM O=C(N[C@H](CNCc1ccon1)C1CC1)c1ncccc1[O-] ZINC001392767421 905192054 /nfs/dbraw/zinc/19/20/54/905192054.db2.gz XXGKONGXKGPUED-GFCCVEGCSA-N -1 1 302.334 1.073 20 0 DDADMM CCc1noc(C)c1CN(C)CCNC(=O)c1ncccc1[O-] ZINC001371951672 906085611 /nfs/dbraw/zinc/08/56/11/906085611.db2.gz MNILCRFEYBCXNB-UHFFFAOYSA-N -1 1 318.377 1.508 20 0 DDADMM CC(C)CCC(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001372059570 906366731 /nfs/dbraw/zinc/36/67/31/906366731.db2.gz KNMJZZGJJXIBHS-ZDUSSCGKSA-N -1 1 319.405 1.896 20 0 DDADMM O=C(NCCCNC(=O)c1ncccc1[O-])c1ccccc1F ZINC001283170171 906792364 /nfs/dbraw/zinc/79/23/64/906792364.db2.gz YCYDIPOSARSKTB-UHFFFAOYSA-N -1 1 317.320 1.476 20 0 DDADMM CC[C@@H](C)C(=O)NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001372640165 907842015 /nfs/dbraw/zinc/84/20/15/907842015.db2.gz PNYJTDJDACDHTK-OLZOCXBDSA-N -1 1 319.405 1.802 20 0 DDADMM C[C@@H](CNC(=O)CCc1ccco1)NC(=O)c1ncccc1[O-] ZINC001372763162 908175962 /nfs/dbraw/zinc/17/59/62/908175962.db2.gz KODHYJWFMMDAGQ-NSHDSACASA-N -1 1 317.345 1.248 20 0 DDADMM C[C@@H](CNC(=O)C1CC(C)(C)C1)NC(=O)c1ncccc1[O-] ZINC001372842982 908410217 /nfs/dbraw/zinc/41/02/17/908410217.db2.gz RKMTVBQKRJQNDX-JTQLQIEISA-N -1 1 305.378 1.458 20 0 DDADMM CC1(C(=O)N2CC[C@](C)(CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001394407046 909554131 /nfs/dbraw/zinc/55/41/31/909554131.db2.gz HVYGYYPWPQYZBJ-MRXNPFEDSA-N -1 1 317.389 1.556 20 0 DDADMM C=C/C(C)=C/CC(=O)N[C@H](CC)CNC(=O)c1ncccc1[O-] ZINC001285047782 909995826 /nfs/dbraw/zinc/99/58/26/909995826.db2.gz YODVLAJUVZWQAD-YQCJOKCJSA-N -1 1 317.389 1.934 20 0 DDADMM CC(C)CCC(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001394811325 910628834 /nfs/dbraw/zinc/62/88/34/910628834.db2.gz FHXNQUJFUCKKHS-NSHDSACASA-N -1 1 311.430 1.567 20 0 DDADMM CC[C@H](F)C(=O)N(C)C[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001394873687 910793819 /nfs/dbraw/zinc/79/38/19/910793819.db2.gz HMWNFKPWGUYMAI-NEPJUHHUSA-N -1 1 323.368 1.598 20 0 DDADMM O=C(NCCNC(=O)c1cccc([O-])c1F)c1cccs1 ZINC001295098770 915569687 /nfs/dbraw/zinc/56/96/87/915569687.db2.gz NMSXKGVDNQEENE-UHFFFAOYSA-N -1 1 308.334 1.753 20 0 DDADMM CC(C)(F)C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001397653448 916611191 /nfs/dbraw/zinc/61/11/91/916611191.db2.gz UVRXYKYDURQPRZ-JTQLQIEISA-N -1 1 309.341 1.114 20 0 DDADMM Cc1ccsc1C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001376717312 919153522 /nfs/dbraw/zinc/15/35/22/919153522.db2.gz QRKKZVHRLSDYCA-SNVBAGLBSA-N -1 1 319.386 1.705 20 0 DDADMM CCc1noc(C)c1CS(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000278267292 214056260 /nfs/dbraw/zinc/05/62/60/214056260.db2.gz XDSZBDCNXFKLBE-UHFFFAOYSA-N -1 1 312.395 1.935 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)Cc3ccc(C)c(C)c3)nc2n1 ZINC000622871993 365552219 /nfs/dbraw/zinc/55/22/19/365552219.db2.gz QSCOBLAGKLHJPB-UHFFFAOYSA-N -1 1 311.345 1.524 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@H](C)[C@H]3CCCO3)nc2n1 ZINC000622992337 365584487 /nfs/dbraw/zinc/58/44/87/365584487.db2.gz HQUNDBDGESVZQQ-GXSJLCMTSA-N -1 1 319.365 1.124 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3(OC)CCCCC3)nc2n1 ZINC000622993317 365585621 /nfs/dbraw/zinc/58/56/21/365585621.db2.gz IWOVAJIPSRUVGJ-UHFFFAOYSA-N -1 1 319.365 1.268 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)Cc3ccc(C)cc3)nc2n1 ZINC000622995181 365589059 /nfs/dbraw/zinc/58/90/59/365589059.db2.gz RHRGSBONLPOBKZ-UHFFFAOYSA-N -1 1 311.345 1.470 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CC[C@@H]4C[C@@H]43)nc2n1 ZINC000622997811 365591868 /nfs/dbraw/zinc/59/18/68/365591868.db2.gz MKADEVCJDWBEKX-SCVCMEIPSA-N -1 1 315.377 1.745 20 0 DDADMM CCC[C@@H](NC(=O)COCc1cccc(C)c1)c1nn[n-]n1 ZINC000623020212 365601070 /nfs/dbraw/zinc/60/10/70/365601070.db2.gz CGGHOCUXWJZHOU-CYBMUJFWSA-N -1 1 303.366 1.682 20 0 DDADMM O=C(c1ccnc2[nH]cnc21)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614387397 361832203 /nfs/dbraw/zinc/83/22/03/361832203.db2.gz BBMCVSLOKZUXFM-MRVPVSSYSA-N -1 1 314.305 1.066 20 0 DDADMM C[C@H](Cn1cncn1)[N-]S(=O)(=O)c1sccc1Cl ZINC000451174557 231025471 /nfs/dbraw/zinc/02/54/71/231025471.db2.gz XNFGFVTWZJTPAV-SSDOTTSWSA-N -1 1 306.800 1.360 20 0 DDADMM O=C(NCCNC(=O)c1ccccc1F)c1cc(F)ccc1[O-] ZINC000081944966 192345521 /nfs/dbraw/zinc/34/55/21/192345521.db2.gz SGXWTRCUVJAQBU-UHFFFAOYSA-N -1 1 320.295 1.830 20 0 DDADMM CC(C)(O)CONC(=O)c1cc(Br)ccc1[O-] ZINC000278721821 214354666 /nfs/dbraw/zinc/35/46/66/214354666.db2.gz SUCANKHYQUJGKJ-UHFFFAOYSA-N -1 1 304.140 1.587 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2CCCOCC2)c1Br ZINC000330243691 529460452 /nfs/dbraw/zinc/46/04/52/529460452.db2.gz WXLRKSKAVZYKGJ-MRVPVSSYSA-N -1 1 316.199 1.912 20 0 DDADMM O=C(NCc1cc2c([nH]c1=O)CCC2)c1cc(F)ccc1[O-] ZINC000080027933 539164720 /nfs/dbraw/zinc/16/47/20/539164720.db2.gz LIUBAUXUYNSVDF-UHFFFAOYSA-N -1 1 302.305 1.638 20 0 DDADMM CC[C@@H](CCO)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425164483 529467350 /nfs/dbraw/zinc/46/73/50/529467350.db2.gz FKXXXYPHAIKKJY-JTQLQIEISA-N -1 1 307.362 1.960 20 0 DDADMM CC(C)[C@H](CNS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000087495570 185226701 /nfs/dbraw/zinc/22/67/01/185226701.db2.gz MVULQHJJDXUCHN-QMMMGPOBSA-N -1 1 307.318 1.600 20 0 DDADMM O=C(N[C@H]1CCN(C2CCCCC2)C1=O)c1ncccc1[O-] ZINC000151053788 539197410 /nfs/dbraw/zinc/19/74/10/539197410.db2.gz WSXKXPQLXRXHSW-LBPRGKRZSA-N -1 1 303.362 1.451 20 0 DDADMM O=C([O-])Cc1cccc(NS(=O)(=O)c2cncc(F)c2)c1 ZINC000087844901 185233032 /nfs/dbraw/zinc/23/30/32/185233032.db2.gz AKFZLNOHJDTZFF-UHFFFAOYSA-N -1 1 310.306 1.649 20 0 DDADMM O=C(CC12CC3CC(CC(C3)C1)C2)NCCCc1nc(=O)[n-][nH]1 ZINC000176252267 539229150 /nfs/dbraw/zinc/22/91/50/539229150.db2.gz PKUYNSXLNCVRRH-UHFFFAOYSA-N -1 1 318.421 1.753 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2nccn2-c2ccccc2)n[n-]1 ZINC000434639176 529744428 /nfs/dbraw/zinc/74/44/28/529744428.db2.gz VHQWHQJPMCFRFI-UHFFFAOYSA-N -1 1 317.374 1.527 20 0 DDADMM CN1CCO[C@@H](C[N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000451137405 529784225 /nfs/dbraw/zinc/78/42/25/529784225.db2.gz UBIHXXPRAGMYFT-QMMMGPOBSA-N -1 1 310.828 1.010 20 0 DDADMM CN(CC(N)=O)C(=O)c1ccc(NC(=O)OC(C)(C)C)cc1[O-] ZINC000491967768 529852022 /nfs/dbraw/zinc/85/20/22/529852022.db2.gz ICGLJAQJBVHDSY-UHFFFAOYSA-N -1 1 323.349 1.297 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)c2csc(=NC3CC3)[n-]2)C12CCC2 ZINC000458158688 232253786 /nfs/dbraw/zinc/25/37/86/232253786.db2.gz DUIFOGFSMNOSAC-NEPJUHHUSA-N -1 1 307.419 1.827 20 0 DDADMM CC(C)C[C@H](CNC(=O)[C@H]1Cc2ccccc2CN1)CC(=O)[O-] ZINC000378914925 539503121 /nfs/dbraw/zinc/50/31/21/539503121.db2.gz XZJCBUOWYKFHEW-XJKSGUPXSA-N -1 1 318.417 1.954 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C1(C)C ZINC000358340932 299125495 /nfs/dbraw/zinc/12/54/95/299125495.db2.gz QPLIPQXZCQUTRX-BZHVJNSISA-N -1 1 318.377 1.463 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@@H](c2nnc[nH]2)C1 ZINC000616420180 362689296 /nfs/dbraw/zinc/68/92/96/362689296.db2.gz ASMAHEOUERKQAV-LLVKDONJSA-N -1 1 309.329 1.688 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@@H](c2nc[nH]n2)C1 ZINC000616420180 362689299 /nfs/dbraw/zinc/68/92/99/362689299.db2.gz ASMAHEOUERKQAV-LLVKDONJSA-N -1 1 309.329 1.688 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H]2CCC(=O)NC23CCC3)sc1C ZINC000367219994 307089310 /nfs/dbraw/zinc/08/93/10/307089310.db2.gz PTQPTGOGSIWFHY-SECBINFHSA-N -1 1 309.391 1.273 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H]2CCC[C@H]21 ZINC000343550111 282691293 /nfs/dbraw/zinc/69/12/93/282691293.db2.gz SEHXJXWEGFUJFW-VXGBXAGGSA-N -1 1 303.362 1.308 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Br)cc2[O-])C[C@H]1CO ZINC000191880982 186269402 /nfs/dbraw/zinc/26/94/02/186269402.db2.gz RGLXYCYYFIOJKS-BDAKNGLRSA-N -1 1 314.179 1.855 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCO[C@H](CC(=O)[O-])C2)c1 ZINC000262874144 186316986 /nfs/dbraw/zinc/31/69/86/186316986.db2.gz YAVJOHATIPWSLD-LLVKDONJSA-N -1 1 303.318 1.187 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1cnn(CC(F)(F)F)c1 ZINC000172370266 198086805 /nfs/dbraw/zinc/08/68/05/198086805.db2.gz ATQZTOJFUYEGGT-VIFPVBQESA-N -1 1 313.301 1.366 20 0 DDADMM COc1ccc(-c2n[n-]c(=S)n2CC(=O)NC2CC2)cc1 ZINC000017122830 352163973 /nfs/dbraw/zinc/16/39/73/352163973.db2.gz JYDOHPRCTALHKM-UHFFFAOYSA-N -1 1 304.375 1.895 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCO[C@H](C)C2)c(=O)[n-]1 ZINC000029980732 352249172 /nfs/dbraw/zinc/24/91/72/352249172.db2.gz DEZOEILBZDJGON-SECBINFHSA-N -1 1 311.407 1.392 20 0 DDADMM COc1cc(C(=O)NCc2ccc(C(N)=O)o2)cc(Cl)c1[O-] ZINC000358545397 299193969 /nfs/dbraw/zinc/19/39/69/299193969.db2.gz ZMRQRHOHRXNMOH-UHFFFAOYSA-N -1 1 324.720 1.676 20 0 DDADMM Cn1cc(C=CC(=O)NC(C)(C)c2nn[n-]n2)c2ccccc21 ZINC000492086691 234380292 /nfs/dbraw/zinc/38/02/92/234380292.db2.gz HDQNUYVELQSVRE-HJWRWDBZSA-N -1 1 310.361 1.756 20 0 DDADMM CC(C)(C)C1=CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000345053244 282909814 /nfs/dbraw/zinc/90/98/14/282909814.db2.gz FAYMZCUTFOFDQG-UHFFFAOYSA-N -1 1 317.389 1.722 20 0 DDADMM O=c1[n-]c(CN2CCCCC[C@H]2C[C@@H](O)c2ccccc2)n[nH]1 ZINC000091590990 353830584 /nfs/dbraw/zinc/83/05/84/353830584.db2.gz WEGWSCCOLXYAJW-LSDHHAIUSA-N -1 1 316.405 1.966 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(Cl)ccc1Br ZINC000225767699 354318953 /nfs/dbraw/zinc/31/89/53/354318953.db2.gz QSJQOTOLSIYLDQ-UHFFFAOYSA-N -1 1 316.546 1.546 20 0 DDADMM O=C([O-])CCN(C(=O)c1cccc2c[nH]nc21)C1CCOCC1 ZINC000238075711 354350886 /nfs/dbraw/zinc/35/08/86/354350886.db2.gz XTQKTCOVBVQIBE-UHFFFAOYSA-N -1 1 317.345 1.659 20 0 DDADMM Cc1ncccc1NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000073347923 191307521 /nfs/dbraw/zinc/30/75/21/191307521.db2.gz PYGVCUZCFKLLAJ-UHFFFAOYSA-N -1 1 311.345 1.524 20 0 DDADMM COc1ccccc1[C@@H](CNc1ncncc1C(=O)[O-])N(C)C ZINC000565038645 304038771 /nfs/dbraw/zinc/03/87/71/304038771.db2.gz SHRQUCAXPXGYNE-CYBMUJFWSA-N -1 1 316.361 1.320 20 0 DDADMM CC(C)(NC(=O)c1ncsc1Br)c1nn[n-]n1 ZINC000584788635 354769568 /nfs/dbraw/zinc/76/95/68/354769568.db2.gz OAUZRQAPWPQSBS-UHFFFAOYSA-N -1 1 317.172 1.084 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1cccc(F)c1F ZINC000588215368 354909529 /nfs/dbraw/zinc/90/95/29/354909529.db2.gz PJDFPRNVMFOXGZ-CYBMUJFWSA-N -1 1 315.283 1.997 20 0 DDADMM COC(=O)CCSCCNC(=O)c1c(F)ccc([O-])c1F ZINC000589180734 354969715 /nfs/dbraw/zinc/96/97/15/354969715.db2.gz GJGWNGMGXYGHNT-UHFFFAOYSA-N -1 1 319.329 1.697 20 0 DDADMM COC(=O)[C@@H](C)c1ccc(NC(=O)C2=C([O-])C(C)N=N2)cc1 ZINC000591103337 355250099 /nfs/dbraw/zinc/25/00/99/355250099.db2.gz LSINGSYKYOPGSU-QMMMGPOBSA-N -1 1 303.318 1.953 20 0 DDADMM CN1CCC[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)C1 ZINC000591817324 355390362 /nfs/dbraw/zinc/39/03/62/355390362.db2.gz KFIMYLQONFVMLR-QMMMGPOBSA-N -1 1 308.325 1.476 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)Cc1cc(C)no1)C(=O)OC(C)(C)C ZINC000592099997 355497987 /nfs/dbraw/zinc/49/79/87/355497987.db2.gz LBSRAJSPCDZKQJ-NSHDSACASA-N -1 1 318.395 1.523 20 0 DDADMM CCCCCNC(=O)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000171253735 306683741 /nfs/dbraw/zinc/68/37/41/306683741.db2.gz YDORSCUSXFMQNJ-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM CC[C@@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000592082532 355488692 /nfs/dbraw/zinc/48/86/92/355488692.db2.gz IYXRLBNCGBDMPC-ZJUUUORDSA-N -1 1 319.379 1.142 20 0 DDADMM CNC(=O)C1(C(=O)N=c2cc(-c3ccc(C)s3)[n-][nH]2)CCC1 ZINC000592313081 355557515 /nfs/dbraw/zinc/55/75/15/355557515.db2.gz ZPDMQWYNBMKVPM-UHFFFAOYSA-N -1 1 318.402 1.723 20 0 DDADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)c1sccc1Cl ZINC000593634030 355949144 /nfs/dbraw/zinc/94/91/44/355949144.db2.gz IZCUIGWHDSOWMO-ZETCQYMHSA-N -1 1 315.775 1.581 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)[C@@H]2C[C@H]2C)n[n-]1 ZINC000593895613 356046113 /nfs/dbraw/zinc/04/61/13/356046113.db2.gz ZSTXULVXWYGXJQ-MXWKQRLJSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)[C@@H]2C[C@H]2C)[n-]1 ZINC000593895613 356046115 /nfs/dbraw/zinc/04/61/15/356046115.db2.gz ZSTXULVXWYGXJQ-MXWKQRLJSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)[C@@H]2C[C@H]2C)n1 ZINC000593895613 356046116 /nfs/dbraw/zinc/04/61/16/356046116.db2.gz ZSTXULVXWYGXJQ-MXWKQRLJSA-N -1 1 308.382 1.841 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C(C)(C)C)[nH]n2)n1 ZINC000593900876 356047463 /nfs/dbraw/zinc/04/74/63/356047463.db2.gz HZSOMTHIPLXQTK-UHFFFAOYSA-N -1 1 305.338 1.859 20 0 DDADMM CCC[C@H](C)S(=O)(=O)[N-][C@@]1(C(=O)OC(C)(C)C)CCOC1 ZINC000594843389 356334493 /nfs/dbraw/zinc/33/44/93/356334493.db2.gz SUGZAUFPDHRPAE-FZMZJTMJSA-N -1 1 321.439 1.595 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2COCC2(C)C)cc1C ZINC000595330851 356452268 /nfs/dbraw/zinc/45/22/68/356452268.db2.gz GFCJCZYMMFTPHW-VIFPVBQESA-N -1 1 317.363 1.078 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C)CCCCO)cc1C ZINC000595335796 356452976 /nfs/dbraw/zinc/45/29/76/356452976.db2.gz VQTISKWADZFNBG-SNVBAGLBSA-N -1 1 319.379 1.204 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H](C)C[C@@H](C)O)cc1C ZINC000595313669 356445270 /nfs/dbraw/zinc/44/52/70/356445270.db2.gz BIFRGYFBLXAFOQ-WCBMZHEXSA-N -1 1 319.379 1.060 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCCSC2)cc1C ZINC000595314301 356445865 /nfs/dbraw/zinc/44/58/65/356445865.db2.gz XWSKRLDTNRTILZ-VIFPVBQESA-N -1 1 319.404 1.549 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H](CO)CC(C)C)cc1C ZINC000595315474 356446506 /nfs/dbraw/zinc/44/65/06/356446506.db2.gz PKLAIZPWINCGSO-SNVBAGLBSA-N -1 1 319.379 1.060 20 0 DDADMM COC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2c(F)cc(C)cc2F)C1 ZINC000595399184 356478128 /nfs/dbraw/zinc/47/81/28/356478128.db2.gz IYWHPMWKUGTCFN-KYZUINATSA-N -1 1 319.329 1.503 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](C)C(=O)OC(C)(C)C)sn1 ZINC000601340649 358507113 /nfs/dbraw/zinc/50/71/13/358507113.db2.gz TYGKNWNFFCPWFB-QMMMGPOBSA-N -1 1 306.409 1.460 20 0 DDADMM COC(=O)[C@H]1CCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000596742784 356965823 /nfs/dbraw/zinc/96/58/23/356965823.db2.gz KOLPFVTZOIJEQY-GFCCVEGCSA-N -1 1 300.314 1.718 20 0 DDADMM CSC[C@@H](CCO)NC(=O)c1ncc2ccccc2c1[O-] ZINC000597395031 357162157 /nfs/dbraw/zinc/16/21/57/357162157.db2.gz PMFFLLGYDCYFPA-LLVKDONJSA-N -1 1 306.387 1.784 20 0 DDADMM COC(=O)C[C@@H](CNC(=O)C(=O)c1ccc([O-])cc1)C1CC1 ZINC000598269736 357516775 /nfs/dbraw/zinc/51/67/75/357516775.db2.gz ATSKWVSRQIZXIB-LBPRGKRZSA-N -1 1 305.330 1.280 20 0 DDADMM CCCc1nc(=N[C@@H](C[C@@H]2CCCOC2)C(=O)OC)s[n-]1 ZINC000599166950 357837014 /nfs/dbraw/zinc/83/70/14/357837014.db2.gz WYTVRMVKZFXCMT-QWRGUYRKSA-N -1 1 313.423 1.683 20 0 DDADMM O=C(NCc1nn[n-]n1)C1c2ccccc2Oc2ccccc21 ZINC000599334294 357897623 /nfs/dbraw/zinc/89/76/23/357897623.db2.gz KLFQTCQZKGLPEU-UHFFFAOYSA-N -1 1 307.313 1.754 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CC2=CCCCC2)c1 ZINC000179537958 199052543 /nfs/dbraw/zinc/05/25/43/199052543.db2.gz JVVBXKYMNZTZQG-UHFFFAOYSA-N -1 1 310.375 1.869 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cc(C)c(C(=O)OC)o2)n[nH]1 ZINC000599738944 358044158 /nfs/dbraw/zinc/04/41/58/358044158.db2.gz XWZSCXQWQSFQIS-UHFFFAOYSA-N -1 1 321.289 1.527 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](C)COC)o1 ZINC000358823888 299270235 /nfs/dbraw/zinc/27/02/35/299270235.db2.gz BPJRIGIDCBFFHD-VIFPVBQESA-N -1 1 305.352 1.017 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](C)COC)o1 ZINC000358823883 299270454 /nfs/dbraw/zinc/27/04/54/299270454.db2.gz BPJRIGIDCBFFHD-SECBINFHSA-N -1 1 305.352 1.017 20 0 DDADMM C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)c1ccc(Cl)cc1[O-] ZINC000287520461 291129649 /nfs/dbraw/zinc/12/96/49/291129649.db2.gz VFRIDWHMRSHXNX-AAEUAGOBSA-N -1 1 324.808 1.981 20 0 DDADMM O=C(NCc1nn[n-]n1)C1(c2cccc(C(F)(F)F)c2)CC1 ZINC000600493530 358239392 /nfs/dbraw/zinc/23/93/92/358239392.db2.gz BJWXJFFYFWPGIC-UHFFFAOYSA-N -1 1 311.267 1.567 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cnn(-c2ccccc2)c1C1CC1 ZINC000600496862 358240726 /nfs/dbraw/zinc/24/07/26/358240726.db2.gz XAJPDACXKDHVOQ-UHFFFAOYSA-N -1 1 309.333 1.193 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCNC(=O)CC1 ZINC000180506468 199168645 /nfs/dbraw/zinc/16/86/45/199168645.db2.gz ZECYHALQWZWVDS-UHFFFAOYSA-N -1 1 302.252 1.373 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC[C@H](C)[C@H](C)C2)co1 ZINC000348071630 283354096 /nfs/dbraw/zinc/35/40/96/283354096.db2.gz IPSRPYLINZONKI-HOSYDEDBSA-N -1 1 314.407 1.742 20 0 DDADMM COC[C@H](O)CCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180852750 199219417 /nfs/dbraw/zinc/21/94/17/199219417.db2.gz MJZLJRAHMHNMQB-SECBINFHSA-N -1 1 307.268 1.538 20 0 DDADMM CCC1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CCCC1 ZINC000181031434 199242940 /nfs/dbraw/zinc/24/29/40/199242940.db2.gz DCZVTMJPYAZCPO-UHFFFAOYSA-N -1 1 312.391 1.949 20 0 DDADMM COC(=O)[C@H](C[N-]S(=O)(=O)c1cc(C)ns1)CC1CC1 ZINC000601455032 358561596 /nfs/dbraw/zinc/56/15/96/358561596.db2.gz VWAJZHIRAYHJDU-JTQLQIEISA-N -1 1 318.420 1.319 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2(CC)CCCCC2)n[n-]1 ZINC000603019232 359361259 /nfs/dbraw/zinc/36/12/59/359361259.db2.gz TXEQPANFBSAKAQ-UHFFFAOYSA-N -1 1 308.382 1.958 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2(CC)CCCCC2)n1 ZINC000603019232 359361262 /nfs/dbraw/zinc/36/12/62/359361262.db2.gz TXEQPANFBSAKAQ-UHFFFAOYSA-N -1 1 308.382 1.958 20 0 DDADMM Cc1cccc(OCC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000618488656 363650032 /nfs/dbraw/zinc/65/00/32/363650032.db2.gz ZKKLDOKOJXTAAU-INIZCTEOSA-N -1 1 323.356 1.793 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)n[n-]1 ZINC000603155637 359442966 /nfs/dbraw/zinc/44/29/66/359442966.db2.gz PLHXEUGEZCVSCB-LNFKQOIKSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)[n-]1 ZINC000603155637 359442971 /nfs/dbraw/zinc/44/29/71/359442971.db2.gz PLHXEUGEZCVSCB-LNFKQOIKSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)n1 ZINC000603155637 359442978 /nfs/dbraw/zinc/44/29/78/359442978.db2.gz PLHXEUGEZCVSCB-LNFKQOIKSA-N -1 1 306.366 1.595 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(C)ccc2F)n[n-]1 ZINC000603166706 359450641 /nfs/dbraw/zinc/45/06/41/359450641.db2.gz RBFPHOOHHDWMHG-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(C)ccc2F)[n-]1 ZINC000603166706 359450644 /nfs/dbraw/zinc/45/06/44/359450644.db2.gz RBFPHOOHHDWMHG-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(C)ccc2F)n1 ZINC000603166706 359450649 /nfs/dbraw/zinc/45/06/49/359450649.db2.gz RBFPHOOHHDWMHG-VIFPVBQESA-N -1 1 320.324 1.920 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H]2C=CCCC2)n[n-]1 ZINC000603152190 359438820 /nfs/dbraw/zinc/43/88/20/359438820.db2.gz AFQZESCYPUNCFZ-WDEREUQCSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H]2C=CCCC2)[n-]1 ZINC000603152190 359438824 /nfs/dbraw/zinc/43/88/24/359438824.db2.gz AFQZESCYPUNCFZ-WDEREUQCSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H]2C=CCCC2)n1 ZINC000603152190 359438830 /nfs/dbraw/zinc/43/88/30/359438830.db2.gz AFQZESCYPUNCFZ-WDEREUQCSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCC[C@@H](C)C2)n[n-]1 ZINC000603152689 359438961 /nfs/dbraw/zinc/43/89/61/359438961.db2.gz DMGLWGMAFFYJEH-OUAUKWLOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCC[C@@H](C)C2)[n-]1 ZINC000603152689 359438964 /nfs/dbraw/zinc/43/89/64/359438964.db2.gz DMGLWGMAFFYJEH-OUAUKWLOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCC[C@@H](C)C2)n1 ZINC000603152689 359438968 /nfs/dbraw/zinc/43/89/68/359438968.db2.gz DMGLWGMAFFYJEH-OUAUKWLOSA-N -1 1 308.382 1.985 20 0 DDADMM Cc1cc(CNC(=O)N=c2[n-]nc(-c3ccco3)s2)n[nH]1 ZINC000603570422 359660992 /nfs/dbraw/zinc/66/09/92/359660992.db2.gz KSAOYOWXNOFRTJ-UHFFFAOYSA-N -1 1 304.335 1.573 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1(C)CCOCC1 ZINC000603910858 359701225 /nfs/dbraw/zinc/70/12/25/359701225.db2.gz FHZYXYGIYJKJDD-UHFFFAOYSA-N -1 1 318.377 1.485 20 0 DDADMM CCc1cccc(OCC(=O)NC2(c3nn[n-]n3)CCCC2)c1 ZINC000605530244 359865872 /nfs/dbraw/zinc/86/58/72/359865872.db2.gz JJTQJIGDURLACU-UHFFFAOYSA-N -1 1 315.377 1.727 20 0 DDADMM C[C@H](CC(=O)NC1(c2nn[n-]n2)CCCC1)c1cccnc1 ZINC000605533032 359867367 /nfs/dbraw/zinc/86/73/67/359867367.db2.gz ZGQZGODOWOOJLF-LLVKDONJSA-N -1 1 300.366 1.674 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1c(C)onc1N)c1ccccc1 ZINC000281205866 216152514 /nfs/dbraw/zinc/15/25/14/216152514.db2.gz PVFIWOUDCYVOIT-LLVKDONJSA-N -1 1 311.363 1.231 20 0 DDADMM C[C@H](O)C[C@@H]1COCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000613558107 361466597 /nfs/dbraw/zinc/46/65/97/361466597.db2.gz NXVAMTMNGFEESU-WCQYABFASA-N -1 1 316.357 1.552 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc([C@@H]3C[C@H]3C)[nH]n2)o1 ZINC000194552380 201250039 /nfs/dbraw/zinc/25/00/39/201250039.db2.gz MXKRQPLBVVVUDS-HTQZYQBOSA-N -1 1 324.362 1.287 20 0 DDADMM CCN(CC)C1=NC(=O)C(CC(=O)NCC(F)(F)F)S1 ZINC000195216374 201360030 /nfs/dbraw/zinc/36/00/30/201360030.db2.gz OJVYJESYDLDCAE-ZETCQYMHSA-N -1 1 311.329 1.395 20 0 DDADMM CCS(=O)(=O)C1(CNC(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000620046374 364255473 /nfs/dbraw/zinc/25/54/73/364255473.db2.gz AIGXKOANKGCBIJ-UHFFFAOYSA-N -1 1 317.794 1.743 20 0 DDADMM C[C@H](O)C1(NC(=O)c2cc(Br)ccc2[O-])CC1 ZINC000620069188 364269561 /nfs/dbraw/zinc/26/95/61/364269561.db2.gz HABPPPHPBFUXBF-ZETCQYMHSA-N -1 1 300.152 1.798 20 0 DDADMM Cc1cnc(C(=O)NCC(C)(C)[C@]2(O)CCCOC2)c([O-])c1 ZINC000620118468 364297425 /nfs/dbraw/zinc/29/74/25/364297425.db2.gz RWMAESUOWIHCQQ-INIZCTEOSA-N -1 1 308.378 1.393 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CCC(C2CCC2)CC1 ZINC000620472280 364435216 /nfs/dbraw/zinc/43/52/16/364435216.db2.gz GXVHVIFMBGWQGW-UHFFFAOYSA-N -1 1 321.377 1.985 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]Cc2c(C(F)(F)F)cnn2C)o1 ZINC000625337527 366858917 /nfs/dbraw/zinc/85/89/17/366858917.db2.gz JKLCFDIUVLTYPM-UHFFFAOYSA-N -1 1 323.296 1.819 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)C[C@H]2CC2(C)C)co1 ZINC000621048834 364657442 /nfs/dbraw/zinc/65/74/42/364657442.db2.gz AVZYAXFFDQCHPB-SNVBAGLBSA-N -1 1 300.380 1.306 20 0 DDADMM O=C([O-])C1CN(C(=O)NC[C@H](c2ccco2)N2CCCCC2)C1 ZINC000621828873 365045882 /nfs/dbraw/zinc/04/58/82/365045882.db2.gz WOXBLQCWUNLYKV-CYBMUJFWSA-N -1 1 321.377 1.533 20 0 DDADMM Cc1cnc(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)cn1 ZINC000091159108 193007542 /nfs/dbraw/zinc/00/75/42/193007542.db2.gz RMFDDVHZVGNAAU-UHFFFAOYSA-N -1 1 324.337 1.548 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1ccncc1 ZINC000091160288 193008641 /nfs/dbraw/zinc/00/86/41/193008641.db2.gz XNUSGEVLBKTVOK-UHFFFAOYSA-N -1 1 309.322 1.845 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@H]1CCCOC1 ZINC000091160853 193009651 /nfs/dbraw/zinc/00/96/51/193009651.db2.gz FPABYKPBKMNIOW-VIFPVBQESA-N -1 1 316.354 1.562 20 0 DDADMM O=C(Nc1n[nH]c(-c2ccc([O-])c(Cl)c2)n1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000621958168 365144054 /nfs/dbraw/zinc/14/40/54/365144054.db2.gz WAIJOJSNFMSNHS-VROVMSAKSA-N -1 1 320.736 1.662 20 0 DDADMM O=C(Nc1nc(-c2ccc([O-])c(Cl)c2)n[nH]1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000621958168 365144059 /nfs/dbraw/zinc/14/40/59/365144059.db2.gz WAIJOJSNFMSNHS-VROVMSAKSA-N -1 1 320.736 1.662 20 0 DDADMM CCC(F)(F)C[N-]S(=O)(=O)c1coc(C(=O)N(C)C)c1C ZINC000625456568 366939180 /nfs/dbraw/zinc/93/91/80/366939180.db2.gz ZSMIIKIVTFBKTA-UHFFFAOYSA-N -1 1 324.349 1.613 20 0 DDADMM Cc1ccccc1C[C@H](C)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000626443646 367548467 /nfs/dbraw/zinc/54/84/67/367548467.db2.gz OZRQYWAVQKVCCI-QWHCGFSZSA-N -1 1 301.394 1.949 20 0 DDADMM COc1cc2[n-]cc(C(=O)N3CC(F)(F)C3)c(=O)c2c(OC)c1 ZINC000626398428 367522740 /nfs/dbraw/zinc/52/27/40/367522740.db2.gz XJHVVDUOGWRJCS-UHFFFAOYSA-N -1 1 324.283 1.636 20 0 DDADMM Cc1ccc(OCC(=O)N2CCN(CCCC(=O)[O-])CC2)cc1 ZINC000262252145 203241775 /nfs/dbraw/zinc/24/17/75/203241775.db2.gz PUBNSXPSQDKPAW-UHFFFAOYSA-N -1 1 320.389 1.383 20 0 DDADMM C[C@@H](C(=O)Nc1ccc2c(c1)OCO2)N1CCC[C@H](C(=O)[O-])C1 ZINC000262690106 203381882 /nfs/dbraw/zinc/38/18/82/203381882.db2.gz VZWMKXGCUZUZMO-QWRGUYRKSA-N -1 1 320.345 1.539 20 0 DDADMM O=S(=O)([N-]CCN1CCSCC1)c1cc(F)ccc1F ZINC000264862170 204217986 /nfs/dbraw/zinc/21/79/86/204217986.db2.gz PBOSETGYWCUHJF-UHFFFAOYSA-N -1 1 322.402 1.292 20 0 DDADMM O=C(NC[C@@H]1COC2(CCCC2)O1)C(=O)c1ccc([O-])cc1 ZINC000288254300 220046269 /nfs/dbraw/zinc/04/62/69/220046269.db2.gz BOUJPWVHTJMGCH-CYBMUJFWSA-N -1 1 305.330 1.377 20 0 DDADMM O=S(=O)([N-]c1ccn(Cc2ccncc2)n1)N1CCCC1 ZINC000266053119 205060574 /nfs/dbraw/zinc/06/05/74/205060574.db2.gz SJSVHCLOIFTNGW-UHFFFAOYSA-N -1 1 307.379 1.079 20 0 DDADMM COc1cc(OC)cc(N2CC[C@H]([N-]c3nnc(C)o3)C2=O)c1 ZINC000288343301 220096363 /nfs/dbraw/zinc/09/63/63/220096363.db2.gz JWRKLRKJENNXHV-ZDUSSCGKSA-N -1 1 318.333 1.613 20 0 DDADMM COC(=O)Cc1nc([N-]C(=O)c2cc(C(C)(C)C)on2)n[nH]1 ZINC000266225932 205197494 /nfs/dbraw/zinc/19/74/94/205197494.db2.gz RFKSJYSYLBUMQN-UHFFFAOYSA-N -1 1 307.310 1.058 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@]2(C)CCC(=O)NC2)c1 ZINC000282834968 217283122 /nfs/dbraw/zinc/28/31/22/217283122.db2.gz QWZSTBWAKWSYAH-HNNXBMFYSA-N -1 1 306.318 1.034 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2n[nH]c3c2CCC3)c1 ZINC000282880535 217299011 /nfs/dbraw/zinc/29/90/11/217299011.db2.gz VHHDBPJAJHBALN-UHFFFAOYSA-N -1 1 301.302 1.643 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cc(OC)ccc1F ZINC000288466769 220160374 /nfs/dbraw/zinc/16/03/74/220160374.db2.gz KGFGLTRNTGIESF-UHFFFAOYSA-N -1 1 319.354 1.775 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cc(O)c(F)cc1F)C1CC1 ZINC000566679503 304175872 /nfs/dbraw/zinc/17/58/72/304175872.db2.gz WFVSTOHHGIBOBS-GFCCVEGCSA-N -1 1 307.318 1.837 20 0 DDADMM O=C(Nc1cc(S(=O)(=O)N2CCCC2)ccc1[O-])C1CC1 ZINC000021899031 182297720 /nfs/dbraw/zinc/29/77/20/182297720.db2.gz ONZZNIKPIKKWNY-UHFFFAOYSA-N -1 1 310.375 1.525 20 0 DDADMM NC(=O)COc1cccc([N-]S(=O)(=O)c2ccccc2)c1 ZINC000024430927 182380372 /nfs/dbraw/zinc/38/03/72/182380372.db2.gz DKEYICHMCULDER-UHFFFAOYSA-N -1 1 306.343 1.352 20 0 DDADMM O=C(c1nc2cccnc2s1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000566867853 304185264 /nfs/dbraw/zinc/18/52/64/304185264.db2.gz ZJFPWUVVDWNFOR-MRVPVSSYSA-N -1 1 315.362 1.224 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(OC(C)C)nc1)c1nn[n-]n1 ZINC000267715332 206235781 /nfs/dbraw/zinc/23/57/81/206235781.db2.gz UBYJLZBEZCNGBZ-NSHDSACASA-N -1 1 304.354 1.653 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C1CC1 ZINC000267721809 206240152 /nfs/dbraw/zinc/24/01/52/206240152.db2.gz JKPCVMIDPSHDGK-NSHDSACASA-N -1 1 323.292 1.334 20 0 DDADMM CCn1cnnc1CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000271830462 209251558 /nfs/dbraw/zinc/25/15/58/209251558.db2.gz FRNPSOJNSPCRMS-UHFFFAOYSA-N -1 1 314.267 1.952 20 0 DDADMM CC1(C)CN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]2CCC[C@@H]21 ZINC000567099608 304197654 /nfs/dbraw/zinc/19/76/54/304197654.db2.gz NHXVSLNXAKCTAE-ONGXEEELSA-N -1 1 301.350 1.068 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCO[C@@H]2CCC[C@H]21 ZINC000295326015 296245202 /nfs/dbraw/zinc/24/52/02/296245202.db2.gz PAKRULDMTAHGID-GHMZBOCLSA-N -1 1 304.375 1.412 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCOC[C@H]1C1CC1 ZINC000283369670 296245213 /nfs/dbraw/zinc/24/52/13/296245213.db2.gz JITSQQWQPLKLMY-NSHDSACASA-N -1 1 304.375 1.269 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1(c2c(F)cccc2F)CCC1 ZINC000636292911 422786342 /nfs/dbraw/zinc/78/63/42/422786342.db2.gz WREMTVLAEOTBKO-UHFFFAOYSA-N -1 1 321.331 1.996 20 0 DDADMM COCc1nc(CSc2nc(C(F)F)cc(=O)[n-]2)no1 ZINC000351885820 284613399 /nfs/dbraw/zinc/61/33/99/284613399.db2.gz PMSWUYNJXNITRM-UHFFFAOYSA-N -1 1 304.278 1.942 20 0 DDADMM O=S(=O)([N-]c1cc2c(cc1Cl)OCO2)c1cn[nH]c1 ZINC000040825927 183137575 /nfs/dbraw/zinc/13/75/75/183137575.db2.gz LWQCJTJOHNOPGP-UHFFFAOYSA-N -1 1 301.711 1.593 20 0 DDADMM COC(=O)COc1cccc(NC(=O)c2cncc([O-])c2)c1 ZINC000336851747 249257471 /nfs/dbraw/zinc/25/74/71/249257471.db2.gz BKWVOCGCIDCETF-UHFFFAOYSA-N -1 1 302.286 1.591 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cccc(Br)c1 ZINC000635058469 422790809 /nfs/dbraw/zinc/79/08/09/422790809.db2.gz JGMFZAJTVWDPFB-UHFFFAOYSA-N -1 1 310.155 1.924 20 0 DDADMM CO[N-]C(=O)[C@H]1CC(=O)N(c2cccc(Br)c2)C1 ZINC000043732876 183384244 /nfs/dbraw/zinc/38/42/44/183384244.db2.gz ORILYMOYILOMKF-QMMMGPOBSA-N -1 1 313.151 1.480 20 0 DDADMM NC(=O)[C@H](CNC(=O)c1ncccc1[O-])Cc1ccc(F)cc1 ZINC000338054954 249770286 /nfs/dbraw/zinc/77/02/86/249770286.db2.gz JDOGCAFWMVSHLY-NSHDSACASA-N -1 1 317.320 1.000 20 0 DDADMM C[C@@H]1OCC[C@@]1(O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284660375 218120239 /nfs/dbraw/zinc/12/02/39/218120239.db2.gz MRDZYLLZYJQJRH-QPUJVOFHSA-N -1 1 323.773 1.297 20 0 DDADMM CCOc1cc(C(=O)NC[C@H](C)C(=O)OC)cc(Cl)c1[O-] ZINC000273939699 211193661 /nfs/dbraw/zinc/19/36/61/211193661.db2.gz JVFGMZXGTCLJIT-QMMMGPOBSA-N -1 1 315.753 1.983 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)CCCCC(N)=O)[nH][n-]2)c1 ZINC000338903940 250190642 /nfs/dbraw/zinc/19/06/42/250190642.db2.gz ABFWDKBCGFBEDU-UHFFFAOYSA-N -1 1 318.352 1.930 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1sccc1F)[C@H](C)N1CCOCC1 ZINC000338890323 250183167 /nfs/dbraw/zinc/18/31/67/250183167.db2.gz PDLCTRMCMATAFA-UWVGGRQHSA-N -1 1 322.427 1.275 20 0 DDADMM COc1cc(NC(=O)c2cn[nH]c2)ccc1[N-]S(C)(=O)=O ZINC000339059392 250275161 /nfs/dbraw/zinc/27/51/61/250275161.db2.gz JXDGBHNRIPIDAU-UHFFFAOYSA-N -1 1 310.335 1.042 20 0 DDADMM C[C@@H]1CCC[C@]1(O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000339098208 250291398 /nfs/dbraw/zinc/29/13/98/250291398.db2.gz OWBWCTBUJLJJIZ-OQPBUACISA-N -1 1 323.336 1.933 20 0 DDADMM COCCC1(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCC1 ZINC000339194103 250338523 /nfs/dbraw/zinc/33/85/23/250338523.db2.gz BJKMFQZSGNILKX-UHFFFAOYSA-N -1 1 309.366 1.688 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(Cc1cccs1)C1CC1 ZINC000352112597 284793513 /nfs/dbraw/zinc/79/35/13/284793513.db2.gz URQHQDJEHKEGOG-UHFFFAOYSA-N -1 1 321.358 1.763 20 0 DDADMM CN(C(=O)CSc1nc(C(F)(F)F)cc(=O)[n-]1)C1CC1 ZINC000109713411 194279657 /nfs/dbraw/zinc/27/96/57/194279657.db2.gz COJTYDXZNKHSEJ-UHFFFAOYSA-N -1 1 307.297 1.914 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCCO2)cc1 ZINC000110096728 194309531 /nfs/dbraw/zinc/30/95/31/194309531.db2.gz FDJOAKVJHWRGOT-CYBMUJFWSA-N -1 1 312.391 1.357 20 0 DDADMM NC(=O)COc1ccc(C(=O)Nc2ccc(F)cc2[O-])cc1 ZINC000340331605 251012599 /nfs/dbraw/zinc/01/25/99/251012599.db2.gz XUJKFGLAYOTTLF-UHFFFAOYSA-N -1 1 304.277 1.648 20 0 DDADMM CCOC(=O)CN(C)C(=O)c1cc(Br)ccc1[O-] ZINC000063519748 184283854 /nfs/dbraw/zinc/28/38/54/184283854.db2.gz NMLKACZBODMYMS-UHFFFAOYSA-N -1 1 316.151 1.790 20 0 DDADMM C[C@@H]1CCCC[C@H]1NC(=O)CS(=O)(=O)c1ccc([O-])cc1 ZINC000063927252 184290183 /nfs/dbraw/zinc/29/01/83/184290183.db2.gz CDXWGPOYVCWLKZ-BXUZGUMPSA-N -1 1 311.403 1.861 20 0 DDADMM CC[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1nc(C)cs1 ZINC000352414705 285035675 /nfs/dbraw/zinc/03/56/75/285035675.db2.gz JGSBEIMXYOCQCL-MRVPVSSYSA-N -1 1 318.362 1.064 20 0 DDADMM O=C(NCc1ccc(Cl)cc1)c1cc(=O)n2[n-]cnc2n1 ZINC000352432747 285047217 /nfs/dbraw/zinc/04/72/17/285047217.db2.gz SFNSOASJZQPVAL-UHFFFAOYSA-N -1 1 303.709 1.001 20 0 DDADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(F)cc1 ZINC000352433022 285047494 /nfs/dbraw/zinc/04/74/94/285047494.db2.gz TZMGPGKCHPJIGU-UHFFFAOYSA-N -1 1 301.281 1.223 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCCC[C@H]2C)co1 ZINC000270040605 208096233 /nfs/dbraw/zinc/09/62/33/208096233.db2.gz JRYODSHRWJVLFG-MNOVXSKESA-N -1 1 314.407 1.744 20 0 DDADMM O=C(C(=O)N1CCCC2(CCOCC2)C1)c1ccc([O-])cc1 ZINC000288666692 220332471 /nfs/dbraw/zinc/33/24/71/220332471.db2.gz GVGPACRCPHFQBE-UHFFFAOYSA-N -1 1 303.358 1.994 20 0 DDADMM CCCC1(CNC(=O)c2coc(S(=O)(=O)[N-]C)c2)CCC1 ZINC000156433320 197112594 /nfs/dbraw/zinc/11/25/94/197112594.db2.gz VYIMNMMSERQCCN-UHFFFAOYSA-N -1 1 314.407 1.888 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2nc(C)cs2)c1 ZINC000151642970 196706595 /nfs/dbraw/zinc/70/65/95/196706595.db2.gz OYAZUBLKHYXLTG-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2cccc(Cl)c2F)CCOC1=O ZINC000289519556 221037405 /nfs/dbraw/zinc/03/74/05/221037405.db2.gz LHFFKYPPVXYJHG-LLVKDONJSA-N -1 1 307.730 1.463 20 0 DDADMM CCOCCNC(=O)CSc1nc(C(F)F)cc(=O)[n-]1 ZINC000289635917 221119326 /nfs/dbraw/zinc/11/93/26/221119326.db2.gz WGIPLWZDNZYYHI-UHFFFAOYSA-N -1 1 307.322 1.365 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1cccc2c1ccn(C)c2=O ZINC000414380695 224330240 /nfs/dbraw/zinc/33/02/40/224330240.db2.gz HAJRVPNWZAKAJT-UHFFFAOYSA-N -1 1 324.402 1.705 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1cccc2c1CCNC2=O ZINC000414392761 224332158 /nfs/dbraw/zinc/33/21/58/224332158.db2.gz FFERQUQMGFZVON-UHFFFAOYSA-N -1 1 312.391 1.139 20 0 DDADMM CC[C@](C)(CNS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000211125003 288393720 /nfs/dbraw/zinc/39/37/20/288393720.db2.gz MDKXIMPZGYUYFZ-GFCCVEGCSA-N -1 1 307.318 1.744 20 0 DDADMM CC(C)COC[C@H]([N-]S(=O)(=O)c1c[nH]cn1)c1ccco1 ZINC000121863209 195363802 /nfs/dbraw/zinc/36/38/02/195363802.db2.gz DGZYXVSMQJRWRB-NSHDSACASA-N -1 1 313.379 1.695 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncc(C)cc1[O-])c1cccs1 ZINC000494833024 533327073 /nfs/dbraw/zinc/32/70/73/533327073.db2.gz GDWIAYJEBRVOAE-GFCCVEGCSA-N -1 1 306.343 1.801 20 0 DDADMM O=C([O-])Cn1cc(CN2CCC[C@@H](Cc3ccccc3)C2)nn1 ZINC000579720967 422820548 /nfs/dbraw/zinc/82/05/48/422820548.db2.gz UNYSBBWFOTVDPB-HNNXBMFYSA-N -1 1 314.389 1.817 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)c1ccc(F)c(F)c1 ZINC000569625578 304370457 /nfs/dbraw/zinc/37/04/57/304370457.db2.gz JDHHCUBZMUKUAD-UHFFFAOYSA-N -1 1 303.290 1.499 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)NCCCCF ZINC000360818009 299753534 /nfs/dbraw/zinc/75/35/34/299753534.db2.gz OMBKKZKEUFBGCV-UHFFFAOYSA-N -1 1 319.340 1.356 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cccc(-c3nn[nH]n3)c2)cc1 ZINC000007230183 406752627 /nfs/dbraw/zinc/75/26/27/406752627.db2.gz MJORLAGPVSGDQO-UHFFFAOYSA-N -1 1 315.358 1.976 20 0 DDADMM O=C([O-])c1ccc(NS(=O)(=O)c2c[nH]cn2)c(Cl)c1 ZINC000071392861 406839582 /nfs/dbraw/zinc/83/95/82/406839582.db2.gz LHGPOEPWPQCIOA-UHFFFAOYSA-N -1 1 301.711 1.562 20 0 DDADMM O=S(=O)([N-]Cc1cccc(CO)c1)c1cc(F)ccc1F ZINC000071354347 406839640 /nfs/dbraw/zinc/83/96/40/406839640.db2.gz ICFIFJFCJYHUHV-UHFFFAOYSA-N -1 1 313.325 1.936 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCC[C@H]2C)o1 ZINC000031489493 406940682 /nfs/dbraw/zinc/94/06/82/406940682.db2.gz MRAOJPWZGCQGAF-ZJUUUORDSA-N -1 1 300.380 1.496 20 0 DDADMM COCCS(=O)(=O)[N-][C@@H](c1nc(C2CC2)no1)C(C)C ZINC000075941405 406947057 /nfs/dbraw/zinc/94/70/57/406947057.db2.gz YZZDSBMQDIBMBR-SNVBAGLBSA-N -1 1 303.384 1.210 20 0 DDADMM CC(C)N(C)C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000078776815 407045882 /nfs/dbraw/zinc/04/58/82/407045882.db2.gz SVMRXULUKWIKKK-UHFFFAOYSA-N -1 1 302.396 1.837 20 0 DDADMM Cn1cc(CNC(=O)c2cc(Br)ccc2[O-])cn1 ZINC000048920375 407110850 /nfs/dbraw/zinc/11/08/50/407110850.db2.gz FIAXCZVENXKIPF-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM CC(C)n1c(CNC(=O)c2ccc3n[nH]nc3c2)n[n-]c1=S ZINC000066650255 407256537 /nfs/dbraw/zinc/25/65/37/407256537.db2.gz VBBUCCBQFFZWEJ-UHFFFAOYSA-N -1 1 317.378 1.723 20 0 DDADMM CCn1c(CNC(=O)C=Cc2cncc(F)c2)n[n-]c1=S ZINC000067050486 407266264 /nfs/dbraw/zinc/26/62/64/407266264.db2.gz KVGRVGWLBORYLN-ONEGZZNKSA-N -1 1 307.354 1.824 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCC[C@@H](C)C1 ZINC000104033692 407345450 /nfs/dbraw/zinc/34/54/50/407345450.db2.gz QHEKARVBWUQAHI-ZWNOBZJWSA-N -1 1 316.405 1.877 20 0 DDADMM CCC(CC)n1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1C ZINC000124427392 407358112 /nfs/dbraw/zinc/35/81/12/407358112.db2.gz LNFRJDRMGALBDT-JTQLQIEISA-N -1 1 319.413 1.941 20 0 DDADMM CN(C)C(=O)C1(CNC(=O)c2c([O-])cccc2F)CCCC1 ZINC000124454504 407358767 /nfs/dbraw/zinc/35/87/67/407358767.db2.gz PHQIUAJMQJQUIH-UHFFFAOYSA-N -1 1 308.353 1.910 20 0 DDADMM CCC[C@H](C)NC(=O)[C@@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000111588752 407411912 /nfs/dbraw/zinc/41/19/12/407411912.db2.gz DOLXRCXECLIUSI-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2ccc3c(c2)oc(=O)n3C)c1C ZINC000178571251 407496858 /nfs/dbraw/zinc/49/68/58/407496858.db2.gz ZWIIWQROOWIAAJ-UHFFFAOYSA-N -1 1 322.346 1.272 20 0 DDADMM CCc1nnsc1C(=O)[N-]c1n[nH]c(-c2ccccn2)n1 ZINC000114681182 407597241 /nfs/dbraw/zinc/59/72/41/407597241.db2.gz YSMCHOSEJIJVGG-UHFFFAOYSA-N -1 1 301.335 1.533 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC000178837013 407618803 /nfs/dbraw/zinc/61/88/03/407618803.db2.gz LCZRWZDKNZWYID-LLVKDONJSA-N -1 1 318.377 1.186 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCO1 ZINC000271332989 407640325 /nfs/dbraw/zinc/64/03/25/407640325.db2.gz ZXUTVXXKVDINLE-HTQZYQBOSA-N -1 1 309.309 1.950 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cccc3[nH]cnc32)co1 ZINC000152487085 407643861 /nfs/dbraw/zinc/64/38/61/407643861.db2.gz MKBGSPIPSOUEIQ-UHFFFAOYSA-N -1 1 320.330 1.316 20 0 DDADMM Cc1nc2n(n1)C[C@H](NC(=O)c1cc(Cl)ccc1[O-])CC2 ZINC000171328569 407660830 /nfs/dbraw/zinc/66/08/30/407660830.db2.gz JBWINGDXVLZQFA-SNVBAGLBSA-N -1 1 306.753 1.690 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@H]1CO)c1cccc(F)c1F ZINC000178916714 407654715 /nfs/dbraw/zinc/65/47/15/407654715.db2.gz ODOUJPGYXDGLOK-ONGXEEELSA-N -1 1 305.346 1.794 20 0 DDADMM CCCCO[C@@H](C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000271399668 407667639 /nfs/dbraw/zinc/66/76/39/407667639.db2.gz WREHAWIQPRZVCF-VIFPVBQESA-N -1 1 316.379 1.183 20 0 DDADMM CC[C@@H]1CC[C@H](C)N1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000271406685 407673143 /nfs/dbraw/zinc/67/31/43/407673143.db2.gz JOTRZHZGPTTZHS-GXSJLCMTSA-N -1 1 300.380 1.591 20 0 DDADMM CN(C)Cc1cc(CNC(=O)c2ncccc2O)ccc1F ZINC000115716070 407701914 /nfs/dbraw/zinc/70/19/14/407701914.db2.gz GHNMMZOFALZSOE-UHFFFAOYSA-N -1 1 303.337 1.918 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(Br)ccc2[O-])CC[C@@H]1O ZINC000186623766 407723746 /nfs/dbraw/zinc/72/37/46/407723746.db2.gz OXRIKTKGACCPCD-KCJUWKMLSA-N -1 1 314.179 1.998 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)Cc1ccon1)c1ccc(C)o1 ZINC000267035569 407724960 /nfs/dbraw/zinc/72/49/60/407724960.db2.gz LTXHUWYAGRWJLA-NSHDSACASA-N -1 1 300.336 1.383 20 0 DDADMM C[C@@H](CCCNS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000229517144 407664707 /nfs/dbraw/zinc/66/47/07/407664707.db2.gz QMUUWAOHKROXDD-QMMMGPOBSA-N -1 1 307.318 1.744 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000354245267 298380155 /nfs/dbraw/zinc/38/01/55/298380155.db2.gz BSVJPPILEBCRLK-VXGBXAGGSA-N -1 1 305.378 1.602 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cnn(C(C)C)c1)c1ccco1 ZINC000267106212 407749602 /nfs/dbraw/zinc/74/96/02/407749602.db2.gz XMTZUQMAHOKSHB-LBPRGKRZSA-N -1 1 313.379 1.723 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCCN(c2nccs2)CC1 ZINC000171766176 407763631 /nfs/dbraw/zinc/76/36/31/407763631.db2.gz NXPUOHPGDZMIAD-UHFFFAOYSA-N -1 1 304.375 1.596 20 0 DDADMM COc1cccc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)c1 ZINC000179387537 407784171 /nfs/dbraw/zinc/78/41/71/407784171.db2.gz OTCGQGPUKGWOKN-UHFFFAOYSA-N -1 1 312.329 1.613 20 0 DDADMM O=C(N[C@H]1CCN(c2ncccc2F)C1)c1ncccc1[O-] ZINC000153307600 407797105 /nfs/dbraw/zinc/79/71/05/407797105.db2.gz OUBJFCYNBWGTLF-JTQLQIEISA-N -1 1 302.309 1.330 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)CCC[C@H]2C)co1 ZINC000153361598 407809273 /nfs/dbraw/zinc/80/92/73/407809273.db2.gz SDAWLAJHRWDPFL-GHMZBOCLSA-N -1 1 314.407 1.838 20 0 DDADMM Cc1cccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1Cl ZINC000133178153 407822497 /nfs/dbraw/zinc/82/24/97/407822497.db2.gz PCUNMEGKXFADBG-UHFFFAOYSA-N -1 1 314.754 1.422 20 0 DDADMM Cc1cccc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1Cl ZINC000133178153 407822503 /nfs/dbraw/zinc/82/25/03/407822503.db2.gz PCUNMEGKXFADBG-UHFFFAOYSA-N -1 1 314.754 1.422 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1nccn1C(F)F)c2=O ZINC000179713054 407824712 /nfs/dbraw/zinc/82/47/12/407824712.db2.gz XWQNKAJKZBKVHF-UHFFFAOYSA-N -1 1 322.275 1.196 20 0 DDADMM CCC[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000134547776 407906530 /nfs/dbraw/zinc/90/65/30/407906530.db2.gz VGJKFNKRONPXJA-LLVKDONJSA-N -1 1 314.407 1.840 20 0 DDADMM O=C(Nc1nccs1)[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000153547176 407849267 /nfs/dbraw/zinc/84/92/67/407849267.db2.gz GYTHOOFOUJNDLT-VIFPVBQESA-N -1 1 318.358 1.487 20 0 DDADMM O=C(Nc1cc(Br)c[nH]c1=O)c1ncccc1[O-] ZINC000188616135 407963185 /nfs/dbraw/zinc/96/31/85/407963185.db2.gz KTQYKWJETAEPBP-UHFFFAOYSA-N -1 1 310.107 1.490 20 0 DDADMM CCOCCO[C@H]1CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000188615828 407963588 /nfs/dbraw/zinc/96/35/88/407963588.db2.gz IHLQELONNPAEKA-NSHDSACASA-N -1 1 304.350 1.226 20 0 DDADMM CCC[C@H](NC(=O)c1cc(=O)c2ccccc2[nH]1)c1nn[n-]n1 ZINC000268254077 407973549 /nfs/dbraw/zinc/97/35/49/407973549.db2.gz XMABXMSAAXLJGJ-NSHDSACASA-N -1 1 312.333 1.725 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(C(=O)c2cc(C)cc3c[nH]nc32)C1 ZINC000263040839 408008424 /nfs/dbraw/zinc/00/84/24/408008424.db2.gz PGHNMFINISBSAA-MRXNPFEDSA-N -1 1 317.345 1.435 20 0 DDADMM CCn1nc(C)c(S(=O)(=O)[N-]c2ccc3n[nH]nc3c2)c1C ZINC000268470596 408057756 /nfs/dbraw/zinc/05/77/56/408057756.db2.gz HXRWBTPZUJFFBY-UHFFFAOYSA-N -1 1 320.378 1.592 20 0 DDADMM CCn1nc(C)c(S(=O)(=O)[N-]c2ccc3nn[nH]c3c2)c1C ZINC000268470596 408057761 /nfs/dbraw/zinc/05/77/61/408057761.db2.gz HXRWBTPZUJFFBY-UHFFFAOYSA-N -1 1 320.378 1.592 20 0 DDADMM C[C@@H]1[C@H](C(=O)[O-])CCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000263322402 408107208 /nfs/dbraw/zinc/10/72/08/408107208.db2.gz JVYQDAIMTHIBRB-ZWNOBZJWSA-N -1 1 314.345 1.797 20 0 DDADMM C[C@H]1CS(=O)(=O)CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000182335578 408128236 /nfs/dbraw/zinc/12/82/36/408128236.db2.gz NPUPBTYQMILXMK-JTQLQIEISA-N -1 1 323.370 1.118 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)NCc1ccc(C(=O)[O-])cc1 ZINC000263439889 408141808 /nfs/dbraw/zinc/14/18/08/408141808.db2.gz DFYPSVGWMOAAIL-OAHLLOKOSA-N -1 1 319.405 1.866 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2cc(C(N)=O)ccc2F)c(C)o1 ZINC000182814246 408253513 /nfs/dbraw/zinc/25/35/13/408253513.db2.gz MUJAUAMYURIRAI-UHFFFAOYSA-N -1 1 312.322 1.935 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccs1)c1nc(N(C)C)no1 ZINC000269308146 408261879 /nfs/dbraw/zinc/26/18/79/408261879.db2.gz UUUSVKJMVFJUCU-SSDOTTSWSA-N -1 1 302.381 1.237 20 0 DDADMM O=C(CCN1CCCS1(=O)=O)Nc1ccc([O-])c(Cl)c1 ZINC000182877396 408271619 /nfs/dbraw/zinc/27/16/19/408271619.db2.gz ATVHNLNUPPNVAG-UHFFFAOYSA-N -1 1 318.782 1.410 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCCOC1 ZINC000176081031 408281403 /nfs/dbraw/zinc/28/14/03/408281403.db2.gz VXUWDUNHPQONKK-LLVKDONJSA-N -1 1 307.394 1.532 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@H]1C1CC1 ZINC000176080126 408282857 /nfs/dbraw/zinc/28/28/57/408282857.db2.gz KSDYGGFLQVUWEF-NWDGAFQWSA-N -1 1 300.362 1.302 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccccc2OC)co1 ZINC000157348250 408300944 /nfs/dbraw/zinc/30/09/44/408300944.db2.gz QYCRBIBLFGELBX-UHFFFAOYSA-N -1 1 310.331 1.449 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])c1cn(-c2ccccc2)nn1 ZINC000134400264 162077916 /nfs/dbraw/zinc/07/79/16/162077916.db2.gz XUMKDLSBHDISOM-NSHDSACASA-N -1 1 309.329 1.859 20 0 DDADMM C[C@@H](C[S@@](C)=O)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000183193494 408349327 /nfs/dbraw/zinc/34/93/27/408349327.db2.gz ZEYLUZICINDBID-AHGSNSTDSA-N -1 1 315.338 1.149 20 0 DDADMM COC(=O)[C@H](CC(F)(F)F)NC(=O)c1ccc([O-])cc1F ZINC000274293873 408355762 /nfs/dbraw/zinc/35/57/62/408355762.db2.gz NTESKOWSSDBNGB-VIFPVBQESA-N -1 1 309.215 1.755 20 0 DDADMM Cn1cncc1CCNC(=O)c1ccc(Br)cc1[O-] ZINC000158951318 408372752 /nfs/dbraw/zinc/37/27/52/408372752.db2.gz MAEXUSIIZKHPRB-UHFFFAOYSA-N -1 1 324.178 1.861 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1ccccc1OC)c1nn[n-]n1 ZINC000176787511 408446036 /nfs/dbraw/zinc/44/60/36/408446036.db2.gz PBDYAXZNHDDIAU-BZYZDCJZSA-N -1 1 301.350 1.879 20 0 DDADMM CCC[C@H](NC(=O)c1cnc(OCC)c(Cl)c1)c1nn[n-]n1 ZINC000176786704 408446097 /nfs/dbraw/zinc/44/60/97/408446097.db2.gz LTSAERUGHAZFEM-JTQLQIEISA-N -1 1 324.772 1.918 20 0 DDADMM CC(C)Oc1cccc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000183393315 408398240 /nfs/dbraw/zinc/39/82/40/408398240.db2.gz WHDHIUPIKUTQHQ-GFCCVEGCSA-N -1 1 317.393 1.792 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1cccc(F)c1F)c1nn[n-]n1 ZINC000183405762 408400024 /nfs/dbraw/zinc/40/00/24/408400024.db2.gz BPBWJSKDLVCYLT-VIFPVBQESA-N -1 1 309.320 1.673 20 0 DDADMM C[C@H](CN(C)C(=O)CCn1ccc2ccccc21)c1nn[n-]n1 ZINC000183402872 408402271 /nfs/dbraw/zinc/40/22/71/408402271.db2.gz HSGSPZSYCPIAKD-GFCCVEGCSA-N -1 1 312.377 1.807 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1c[nH]c2cc(F)ccc12)c1nn[n-]n1 ZINC000183405821 408402332 /nfs/dbraw/zinc/40/23/32/408402332.db2.gz SDJUFGHGZCJGPB-SECBINFHSA-N -1 1 316.340 1.625 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2[n-]c(=S)oc2c1)c1nn[nH]n1 ZINC000183414222 408405565 /nfs/dbraw/zinc/40/55/65/408405565.db2.gz DQAAETJEFXCKNQ-ZETCQYMHSA-N -1 1 318.362 1.505 20 0 DDADMM CCSc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cn1 ZINC000183421104 408408790 /nfs/dbraw/zinc/40/87/90/408408790.db2.gz VVDJXXVBIGGIFC-SECBINFHSA-N -1 1 306.395 1.582 20 0 DDADMM CCC[C@H](NC(=O)Cn1c(C)nc2ccccc21)c1nn[n-]n1 ZINC000176738828 408427921 /nfs/dbraw/zinc/42/79/21/408427921.db2.gz INWNHURDOVVQSJ-LBPRGKRZSA-N -1 1 313.365 1.515 20 0 DDADMM CCC[C@H](NC(=O)COc1c(C)cccc1C)c1nn[n-]n1 ZINC000176773556 408441462 /nfs/dbraw/zinc/44/14/62/408441462.db2.gz JUSNTDYWNGADMI-LBPRGKRZSA-N -1 1 303.366 1.853 20 0 DDADMM Cc1[nH]c2c(cccc2C(=O)NCCCc2nc(=O)[n-][nH]2)c1C ZINC000176257356 162523349 /nfs/dbraw/zinc/52/33/49/162523349.db2.gz QHVLGOHCYXCVIX-UHFFFAOYSA-N -1 1 313.361 1.559 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3ccccc3c2[O-])C[C@@H](CO)O1 ZINC000191721430 408478137 /nfs/dbraw/zinc/47/81/37/408478137.db2.gz HRAXAUMSGLIIKA-YPMHNXCESA-N -1 1 301.342 1.767 20 0 DDADMM COC(=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCCO2)cc1 ZINC000264643599 408544961 /nfs/dbraw/zinc/54/49/61/408544961.db2.gz NKZQAKSFMMTPPU-ZDUSSCGKSA-N -1 1 313.375 1.784 20 0 DDADMM COc1ccccc1C(C)(C)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000274805433 408537582 /nfs/dbraw/zinc/53/75/82/408537582.db2.gz UGQAPAMURFATNS-LLVKDONJSA-N -1 1 317.393 1.748 20 0 DDADMM C[C@@H](O)C[C@H]1CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000162158622 408673906 /nfs/dbraw/zinc/67/39/06/408673906.db2.gz JKGMMRPGXFFYPY-GHMZBOCLSA-N -1 1 301.346 1.299 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N(C)[C@@H]1CCOC1)c2=O ZINC000162625282 408717836 /nfs/dbraw/zinc/71/78/36/408717836.db2.gz IYHNNDPUFZHBFQ-SNVBAGLBSA-N -1 1 302.330 1.810 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)c2ccc(C)cc2)co1 ZINC000162850341 408737684 /nfs/dbraw/zinc/73/76/84/408737684.db2.gz HUEGCLNUOHFELZ-NSHDSACASA-N -1 1 322.386 1.987 20 0 DDADMM CCc1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])cc1 ZINC000194027034 408758031 /nfs/dbraw/zinc/75/80/31/408758031.db2.gz MEXXJHVOKAMCMV-UHFFFAOYSA-N -1 1 320.370 1.854 20 0 DDADMM CS[C@@H]1CCC[C@H]([N-]S(=O)(=O)c2c(C)onc2N)C1 ZINC000290820289 408839326 /nfs/dbraw/zinc/83/93/26/408839326.db2.gz TUYKFUGQBKWPDX-DTWKUNHWSA-N -1 1 305.425 1.518 20 0 DDADMM CN1CCc2nc(NC(=O)C(=O)c3ccc([O-])cc3)sc2C1 ZINC000280876572 408851179 /nfs/dbraw/zinc/85/11/79/408851179.db2.gz YWFGDUGOEBZBLP-UHFFFAOYSA-N -1 1 317.370 1.658 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H](O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000291649681 408900537 /nfs/dbraw/zinc/90/05/37/408900537.db2.gz JNMFMNYNGIZAHH-KWQFWETISA-N -1 1 309.757 1.149 20 0 DDADMM Cc1ccc(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c(Cl)n1 ZINC000291698026 408908442 /nfs/dbraw/zinc/90/84/42/408908442.db2.gz OPQALCYYMLAKON-QMMMGPOBSA-N -1 1 308.773 1.361 20 0 DDADMM COC(=O)[C@]12CN(C(=O)c3cncc([O-])c3)C[C@H]1CCCC2 ZINC000286126382 408928418 /nfs/dbraw/zinc/92/84/18/408928418.db2.gz HAHSDUWLYCNYTR-MLGOLLRUSA-N -1 1 304.346 1.593 20 0 DDADMM CCOC1CC(CCNC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000191431396 163185648 /nfs/dbraw/zinc/18/56/48/163185648.db2.gz LMWLRHCNWDQWAR-UHFFFAOYSA-N -1 1 315.373 1.858 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCS2)c1 ZINC000291886873 408939878 /nfs/dbraw/zinc/93/98/78/408939878.db2.gz HTGJFVHRJJNWIO-LLVKDONJSA-N -1 1 316.404 1.134 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccc(C)cc1F)C(C)C ZINC000195328672 163317025 /nfs/dbraw/zinc/31/70/25/163317025.db2.gz JWKQPWRQDQDOQA-ZDUSSCGKSA-N -1 1 317.382 1.751 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)F)c1cccc(Cl)c1F ZINC000228622635 163343129 /nfs/dbraw/zinc/34/31/29/163343129.db2.gz ZXSGWIJNJNIXDN-ZCFIWIBFSA-N -1 1 303.689 1.383 20 0 DDADMM O=C([O-])c1ccc(C(=O)NCc2nc3ccc(F)cc3[nH]2)o1 ZINC000238025851 163394971 /nfs/dbraw/zinc/39/49/71/163394971.db2.gz ZTFYEMVJWCDKJN-UHFFFAOYSA-N -1 1 303.249 1.923 20 0 DDADMM COc1ccccc1O[C@H]1CCCN(Cc2nc(=O)[n-][nH]2)C1 ZINC000286266788 408952302 /nfs/dbraw/zinc/95/23/02/408952302.db2.gz BOAZVCCERDGBNK-NSHDSACASA-N -1 1 304.350 1.150 20 0 DDADMM COCCOc1ccc(CC(=O)[N-]O[C@H]2CCCCO2)cc1 ZINC000277584501 408970657 /nfs/dbraw/zinc/97/06/57/408970657.db2.gz ZPUIMSHGETZWQH-INIZCTEOSA-N -1 1 309.362 1.829 20 0 DDADMM C[C@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)CC(=O)N1C ZINC000282052768 408980699 /nfs/dbraw/zinc/98/06/99/408980699.db2.gz GMLUBXZWVDXHSX-JTQLQIEISA-N -1 1 302.330 1.161 20 0 DDADMM CCOCCCNC(=O)Cc1noc(-c2cc(F)ccc2[O-])n1 ZINC000277821978 409017558 /nfs/dbraw/zinc/01/75/58/409017558.db2.gz KAZNZPYOPSUBMO-UHFFFAOYSA-N -1 1 323.324 1.667 20 0 DDADMM COC(=O)[C@H](CF)[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC000287526154 409050602 /nfs/dbraw/zinc/05/06/02/409050602.db2.gz UDECQXJDONWEQT-ZETCQYMHSA-N -1 1 313.709 1.269 20 0 DDADMM COC(=O)[C@]1(C)CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@H]1C ZINC000288138713 409151781 /nfs/dbraw/zinc/15/17/81/409151781.db2.gz LJCKTYCZDVGCKO-QLJPJBMISA-N -1 1 305.330 1.233 20 0 DDADMM O=C(NC[C@@H]1CCCS(=O)(=O)C1)c1c([O-])cccc1F ZINC000288410279 409164197 /nfs/dbraw/zinc/16/41/97/409164197.db2.gz ZFJHJLBAPXNUMT-VIFPVBQESA-N -1 1 301.339 1.086 20 0 DDADMM CC[C@H](NC(=O)C(=O)c1ccc([O-])cc1)[C@H](O)C(F)(F)F ZINC000288426042 409166622 /nfs/dbraw/zinc/16/66/22/409166622.db2.gz KINMGSMRNWPYPD-ONGXEEELSA-N -1 1 305.252 1.393 20 0 DDADMM CC(C)C(=O)c1ccc([C@H](C)C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000283494478 409166627 /nfs/dbraw/zinc/16/66/27/409166627.db2.gz OJAKKMBCHLNRQK-JTQLQIEISA-N -1 1 317.345 1.212 20 0 DDADMM O=C(NCc1cccc(N2CCOCC2)c1)c1ncccc1[O-] ZINC000293787119 409180740 /nfs/dbraw/zinc/18/07/40/409180740.db2.gz OGTCZBHGLXSXNN-UHFFFAOYSA-N -1 1 313.357 1.554 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NCCC[C@H]3CCCCO3)ccnc1-2 ZINC000279442064 409215782 /nfs/dbraw/zinc/21/57/82/409215782.db2.gz VAQDUTLXJAVTMN-KMCFQHSYSA-N -1 1 317.393 1.813 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)[nH]n1 ZINC000283788986 409217773 /nfs/dbraw/zinc/21/77/73/409217773.db2.gz UQJKTOZEBOKHPI-MRVPVSSYSA-N -1 1 316.390 1.411 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C(C)(F)F)CC2)n1 ZINC000289289480 409243143 /nfs/dbraw/zinc/24/31/43/409243143.db2.gz UEVICMOIHBMFEY-UHFFFAOYSA-N -1 1 316.308 1.343 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(=O)N(C)C ZINC000284249380 409303783 /nfs/dbraw/zinc/30/37/83/409303783.db2.gz KFWPVHVUFDWFAG-ZETCQYMHSA-N -1 1 308.762 1.234 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)c1ncn(C)n1 ZINC000284276705 409309523 /nfs/dbraw/zinc/30/95/23/409309523.db2.gz LTDGWTLQSXHNAQ-SSDOTTSWSA-N -1 1 318.761 1.647 20 0 DDADMM COCCn1cc(S(=O)(=O)[N-]C(C)(C)C(F)(F)F)cn1 ZINC000295202155 409373715 /nfs/dbraw/zinc/37/37/15/409373715.db2.gz NJYRJHGDGSWMRS-UHFFFAOYSA-N -1 1 315.317 1.149 20 0 DDADMM CC(C)[C@@](C)([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(N)=O ZINC000285421857 409441300 /nfs/dbraw/zinc/44/13/00/409441300.db2.gz VUASFPKZYQXWIW-GFCCVEGCSA-N -1 1 324.324 1.282 20 0 DDADMM CC(C)(NC(=O)c1ccn(C2CCCCC2)n1)c1nn[n-]n1 ZINC000350006371 164109578 /nfs/dbraw/zinc/10/95/78/164109578.db2.gz UBHDRXNWQIYJGW-UHFFFAOYSA-N -1 1 303.370 1.567 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)NC2(c3nn[n-]n3)CC2)cc1C ZINC000357053952 164137779 /nfs/dbraw/zinc/13/77/79/164137779.db2.gz KUHUWGVEZMRNAZ-UHFFFAOYSA-N -1 1 313.361 1.585 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@@H](c3cnn(C)c3)C2)c([O-])c1 ZINC000408106049 164229466 /nfs/dbraw/zinc/22/94/66/164229466.db2.gz JIIOFOUVVCGGFS-CYBMUJFWSA-N -1 1 302.334 1.043 20 0 DDADMM COc1cc(C(=O)N2CCS(=O)CC2)cc(Cl)c1[O-] ZINC000408423387 164327444 /nfs/dbraw/zinc/32/74/44/164327444.db2.gz BAPRAARBKMMUHO-UHFFFAOYSA-N -1 1 303.767 1.259 20 0 DDADMM C[C@@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000285569663 409492257 /nfs/dbraw/zinc/49/22/57/409492257.db2.gz KENHAZKOPUNESS-NXEZZACHSA-N -1 1 305.346 1.916 20 0 DDADMM NC(=O)[C@@H]1CCC[C@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)C1 ZINC000344853112 409534211 /nfs/dbraw/zinc/53/42/11/409534211.db2.gz LWNJCUVSSGCKMY-RITPCOANSA-N -1 1 305.260 1.054 20 0 DDADMM C[C@H](Cc1cccs1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337899857 409549999 /nfs/dbraw/zinc/54/99/99/409549999.db2.gz HTCJJAVWEBIOTE-SNVBAGLBSA-N -1 1 319.386 1.326 20 0 DDADMM O=C(NCCc1cnccn1)c1ccc(Br)c([O-])c1 ZINC000342422832 409617574 /nfs/dbraw/zinc/61/75/74/409617574.db2.gz IHMFRBZWONSPFC-UHFFFAOYSA-N -1 1 322.162 1.917 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@H](C(C)C)[C@@H]1C(C)C ZINC000331497709 409571850 /nfs/dbraw/zinc/57/18/50/409571850.db2.gz JMLDVHGORHVTRE-MNOVXSKESA-N -1 1 301.412 1.697 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NCc1ccc[nH]1 ZINC000345609628 409712093 /nfs/dbraw/zinc/71/20/93/409712093.db2.gz JBISXFOFHSULRS-UHFFFAOYSA-N -1 1 323.356 1.327 20 0 DDADMM COc1cc([C@H](C)NC(=O)c2nc3ccccc3c(=O)[n-]2)ccn1 ZINC000342611926 409764304 /nfs/dbraw/zinc/76/43/04/409764304.db2.gz VVSMTRPNZJOXDE-JTQLQIEISA-N -1 1 324.340 1.818 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(Oc2ccccc2)ccn1 ZINC000357058273 409827841 /nfs/dbraw/zinc/82/78/41/409827841.db2.gz ZGOSVUFPFNOKIM-UHFFFAOYSA-N -1 1 322.328 1.806 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)C[C@@H]2CCCO2)c1 ZINC000338212810 409803939 /nfs/dbraw/zinc/80/39/39/409803939.db2.gz QROXNEPTJMFWLU-ONGXEEELSA-N -1 1 317.363 1.302 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CC(=O)N1 ZINC000350019518 409920865 /nfs/dbraw/zinc/92/08/65/409920865.db2.gz VYNDQJJSSJHSEJ-MWLCHTKSSA-N -1 1 314.345 1.636 20 0 DDADMM C[C@@H]1CN(C(=O)[C@H]2NCCc3ccccc32)CC[C@@H]1C(=O)[O-] ZINC000318835728 409883628 /nfs/dbraw/zinc/88/36/28/409883628.db2.gz ARKSDSZSXINSNT-ZLDLUXBVSA-N -1 1 302.374 1.443 20 0 DDADMM O=C(c1c([O-])cnc2ccc(Cl)cc21)N1CCNC(=O)C1 ZINC000338351386 409931792 /nfs/dbraw/zinc/93/17/92/409931792.db2.gz KFLHZIOCJDYUTJ-UHFFFAOYSA-N -1 1 305.721 1.166 20 0 DDADMM C[C@H]1COCC[C@@H]1CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000342832712 409935461 /nfs/dbraw/zinc/93/54/61/409935461.db2.gz UMBKNQJRRLLWAE-VHSXEESVSA-N -1 1 304.350 1.076 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CC[S@](=O)C1 ZINC000354705447 410029772 /nfs/dbraw/zinc/02/97/72/410029772.db2.gz JCCVUJLOBXCGGX-FPVGNUTFSA-N -1 1 311.407 1.039 20 0 DDADMM CCc1ccc([C@H](C)CC(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000297917694 410044681 /nfs/dbraw/zinc/04/46/81/410044681.db2.gz XORJZLJWHVTQAJ-SECBINFHSA-N -1 1 301.350 1.598 20 0 DDADMM CCc1ccccc1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000357359609 409994137 /nfs/dbraw/zinc/99/41/37/409994137.db2.gz GKXAKOLUKPRWNO-UHFFFAOYSA-N -1 1 303.318 1.389 20 0 DDADMM CC(C)Oc1cccc(CC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000354786551 410085456 /nfs/dbraw/zinc/08/54/56/410085456.db2.gz JESOWRYMQMMEOX-UHFFFAOYSA-N -1 1 303.366 1.581 20 0 DDADMM O=C(Cc1ccc(O)cc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332408594 410135000 /nfs/dbraw/zinc/13/50/00/410135000.db2.gz ISJFKMSISKUETO-NSHDSACASA-N -1 1 303.318 1.430 20 0 DDADMM CCOc1ccc([C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cc1 ZINC000329269258 410202598 /nfs/dbraw/zinc/20/25/98/410202598.db2.gz GVUDOUITBYWGEY-AWEZNQCLSA-N -1 1 302.378 1.844 20 0 DDADMM CC[C@H](C)[C@@H](CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2)OC ZINC000298480737 410250443 /nfs/dbraw/zinc/25/04/43/410250443.db2.gz PVMLASPLIWVQFE-KZGMPGFESA-N -1 1 305.382 1.524 20 0 DDADMM CC[C@@H](C(=O)NCCc1n[n-]c(=N)o1)N(C)Cc1ccccc1 ZINC000355045444 410266237 /nfs/dbraw/zinc/26/62/37/410266237.db2.gz RFIPMAARJWZNQI-ZDUSSCGKSA-N -1 1 317.393 1.051 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@]1(C)CCO[C@@H]1C ZINC000332905973 410287510 /nfs/dbraw/zinc/28/75/10/410287510.db2.gz VCNIDFRZUWWZKS-BZNIZROVSA-N -1 1 318.377 1.278 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](OCC)c1ccccc1)c1nn[n-]n1 ZINC000298562689 410291213 /nfs/dbraw/zinc/29/12/13/410291213.db2.gz JOCVHCOPXFVGLA-STQMWFEESA-N -1 1 303.366 1.935 20 0 DDADMM C[C@@H]1CO[C@H](c2ccccc2Cl)CN1Cc1nc(=O)n(C)[n-]1 ZINC000329639582 410393628 /nfs/dbraw/zinc/39/36/28/410393628.db2.gz ZCLBXSYZOCJIGK-MFKMUULPSA-N -1 1 322.796 1.724 20 0 DDADMM CSC[C@@H]1CCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000347313200 410465798 /nfs/dbraw/zinc/46/57/98/410465798.db2.gz QKCFQQLAEUYLBS-SNVBAGLBSA-N -1 1 320.418 1.889 20 0 DDADMM CC(C)(C)n1cnc(=NC(=O)N2CCN3CCCC[C@@H]3C2)[n-]1 ZINC000329753849 410434790 /nfs/dbraw/zinc/43/47/90/410434790.db2.gz KJJHVEDUJQZGET-GFCCVEGCSA-N -1 1 306.414 1.157 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCC[C@H](SC)C1 ZINC000352057310 410447264 /nfs/dbraw/zinc/44/72/64/410447264.db2.gz JRSIRAOQDKDTFS-SFYZADRCSA-N -1 1 313.379 1.300 20 0 DDADMM Cc1ccoc1C(=O)NCCCNC(=O)c1ncc(C)cc1[O-] ZINC000358617836 410497287 /nfs/dbraw/zinc/49/72/87/410497287.db2.gz RVIFDIGVQUYVPI-UHFFFAOYSA-N -1 1 317.345 1.547 20 0 DDADMM CCC[C@H](NC(=O)C[C@H]1CCO[C@H](C(C)C)C1)c1nn[n-]n1 ZINC000359329100 410605336 /nfs/dbraw/zinc/60/53/36/410605336.db2.gz LNYJJYCHAVGXGK-AVGNSLFASA-N -1 1 309.414 1.998 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]c2ccccc2-c2nnc[nH]2)c1 ZINC000339965938 410583003 /nfs/dbraw/zinc/58/30/03/410583003.db2.gz QQEMIOPHGRDHLU-UHFFFAOYSA-N -1 1 304.335 1.006 20 0 DDADMM O=C(N=c1cc(-c2cccs2)[n-][nH]1)c1cnn(CCF)c1 ZINC000352217449 410555177 /nfs/dbraw/zinc/55/51/77/410555177.db2.gz JWUHIGXXAPEIHF-UHFFFAOYSA-N -1 1 305.338 1.978 20 0 DDADMM C[C@H](CS(C)(=O)=O)C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000352309112 410615107 /nfs/dbraw/zinc/61/51/07/410615107.db2.gz PUVBXJCEJXARHJ-SECBINFHSA-N -1 1 323.374 1.402 20 0 DDADMM CC(C)[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)c1ncc[nH]1 ZINC000340036257 410629948 /nfs/dbraw/zinc/62/99/48/410629948.db2.gz GKRLPCSCUJVOGP-GFCCVEGCSA-N -1 1 311.345 1.773 20 0 DDADMM COc1ccc2c(c1)C[C@H](C)N(Cc1nc(=O)n(C)[n-]1)[C@H]2C ZINC000347618917 410636726 /nfs/dbraw/zinc/63/67/26/410636726.db2.gz VSIZKMWMODFKLF-QWRGUYRKSA-N -1 1 302.378 1.625 20 0 DDADMM COc1ccc(C(=O)N=c2ccc(O)n[n-]2)c(OCC2CC2)c1 ZINC000343712878 410640924 /nfs/dbraw/zinc/64/09/24/410640924.db2.gz GPAJFGVNPYNNKD-UHFFFAOYSA-N -1 1 315.329 1.654 20 0 DDADMM C[C@@H](CCC(C)(C)C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343736520 410656008 /nfs/dbraw/zinc/65/60/08/410656008.db2.gz HOAQEPFQEHNQFJ-NSHDSACASA-N -1 1 307.394 1.848 20 0 DDADMM COc1cncc(S(=O)(=O)[N-]c2cc(CC(C)C)[nH]n2)c1 ZINC000337317873 410711625 /nfs/dbraw/zinc/71/16/25/410711625.db2.gz XYYVVLJPFYNROG-UHFFFAOYSA-N -1 1 310.379 1.813 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-][C@@H]1CCC[C@@H]1OC(F)F ZINC000337331472 410718249 /nfs/dbraw/zinc/71/82/49/410718249.db2.gz HGQIVWHPCRTMBT-BDAKNGLRSA-N -1 1 310.322 1.730 20 0 DDADMM CC(C)c1nnc(C[N-]S(=O)(=O)c2cncc(F)c2)s1 ZINC000343840778 410740107 /nfs/dbraw/zinc/74/01/07/410740107.db2.gz OCHJQLLZXNZOJR-UHFFFAOYSA-N -1 1 316.383 1.674 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCCOCC2)c(=O)[n-]1 ZINC000343919762 410812203 /nfs/dbraw/zinc/81/22/03/410812203.db2.gz ROXGJOWBTXXESZ-UHFFFAOYSA-N -1 1 311.407 1.394 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc(C[S@](C)=O)cc2)c([O-])c1 ZINC000343938609 410828205 /nfs/dbraw/zinc/82/82/05/410828205.db2.gz YVCOXHWRURLNMA-QFIPXVFZSA-N -1 1 318.398 1.904 20 0 DDADMM O=C(CCOc1ccccc1Cl)NC1(c2nn[n-]n2)CC1 ZINC000348285255 410897653 /nfs/dbraw/zinc/89/76/53/410897653.db2.gz ZDNYFSSAYRIZSR-UHFFFAOYSA-N -1 1 307.741 1.428 20 0 DDADMM CC(C)Oc1cccc(CC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000348298776 410906228 /nfs/dbraw/zinc/90/62/28/410906228.db2.gz UIPWKVBOUKOGMS-UHFFFAOYSA-N -1 1 301.350 1.335 20 0 DDADMM CC(C)(C)N1C[C@H](C(=O)Nc2n[n-]c(C(F)(F)F)n2)CC1=O ZINC000353316794 410917809 /nfs/dbraw/zinc/91/78/09/410917809.db2.gz TZFMUWVVLCPJLB-ZCFIWIBFSA-N -1 1 319.287 1.409 20 0 DDADMM C[S@@](=O)Cc1ccc(CNC(=O)c2cncc([O-])c2)cc1 ZINC000344048259 410920386 /nfs/dbraw/zinc/92/03/86/410920386.db2.gz BEPADILNEJSZAC-OAQYLSRUSA-N -1 1 304.371 1.596 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](NC(=O)C(C)C)C2)c([O-])c1 ZINC000331200821 411012575 /nfs/dbraw/zinc/01/25/75/411012575.db2.gz NBEUFWYDJCFVDM-GFCCVEGCSA-N -1 1 305.378 1.472 20 0 DDADMM CC(=O)N[C@@H](C)C1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000331160068 410988920 /nfs/dbraw/zinc/98/89/20/410988920.db2.gz CMDIADBWZMQCQL-NSHDSACASA-N -1 1 305.378 1.472 20 0 DDADMM CO[C@H](C)c1nsc(=NC[C@@H](C)CN2CCOCC2)[n-]1 ZINC000337785056 410999986 /nfs/dbraw/zinc/99/99/86/410999986.db2.gz AVMNTZORWXTMMX-GHMZBOCLSA-N -1 1 300.428 1.048 20 0 DDADMM Cn1ncc2c1nc(Sc1n[nH]c(C(C)(C)C)n1)[n-]c2=O ZINC000356468825 411045900 /nfs/dbraw/zinc/04/59/00/411045900.db2.gz COFCHMIVKBXLNV-UHFFFAOYSA-N -1 1 305.367 1.636 20 0 DDADMM Cc1cnc(C(=O)N2CCN(CC(F)(F)F)[C@H](C)C2)c([O-])c1 ZINC000331219289 411026012 /nfs/dbraw/zinc/02/60/12/411026012.db2.gz XORNUIQYQJMYSK-SNVBAGLBSA-N -1 1 317.311 1.804 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C2(O)CCSCC2)c1 ZINC000331227258 411027540 /nfs/dbraw/zinc/02/75/40/411027540.db2.gz DNIODVLSCCHWPL-UHFFFAOYSA-N -1 1 311.359 1.375 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC(=O)N(CC(F)(F)F)C1 ZINC000331230542 411030919 /nfs/dbraw/zinc/03/09/19/411030919.db2.gz HBRNNLOMABNRRS-UHFFFAOYSA-N -1 1 306.215 1.336 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCCN2C(=O)C(C)C)n1 ZINC000331250715 411040626 /nfs/dbraw/zinc/04/06/26/411040626.db2.gz ZVBDIERJZBGSGI-LLVKDONJSA-N -1 1 322.365 1.172 20 0 DDADMM CN(C)c1ccc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)cn1 ZINC000356569711 411092485 /nfs/dbraw/zinc/09/24/85/411092485.db2.gz ZXAFWYMGRCHSDC-UHFFFAOYSA-N -1 1 323.356 1.314 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NCc1ccc[nH]1 ZINC000360211874 411101451 /nfs/dbraw/zinc/10/14/51/411101451.db2.gz KSPYIYLCSLQSQD-UHFFFAOYSA-N -1 1 323.356 1.740 20 0 DDADMM O=C(NCCN1CC[C@H](O)C1)c1c([O-])cc(Cl)cc1Cl ZINC000629914742 422869680 /nfs/dbraw/zinc/86/96/80/422869680.db2.gz FQVXZAOMMLAJPR-VIFPVBQESA-N -1 1 319.188 1.495 20 0 DDADMM Cc1cccc(S(=O)(=O)CCCN(C)C[C@H](C)C(=O)[O-])c1 ZINC000652358846 422976965 /nfs/dbraw/zinc/97/69/65/422976965.db2.gz QXVSPIDPHDEJMV-ZDUSSCGKSA-N -1 1 313.419 1.811 20 0 DDADMM CC[C@H](C)C[C@@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000647673154 422994642 /nfs/dbraw/zinc/99/46/42/422994642.db2.gz CSXDRZRBZIFFDF-QWRGUYRKSA-N -1 1 303.362 1.450 20 0 DDADMM COc1cncc(/C=C\CCN2C[C@@H](C)O[C@@H](C(=O)[O-])C2)c1 ZINC000652511321 423050030 /nfs/dbraw/zinc/05/00/30/423050030.db2.gz UHXISVNKAMNHMW-KUXCDZRCSA-N -1 1 306.362 1.667 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1nnn(CC2CCCC2)c1C ZINC000647816833 423061257 /nfs/dbraw/zinc/06/12/57/423061257.db2.gz XJKUIDCSODEKGX-UHFFFAOYSA-N -1 1 304.354 1.766 20 0 DDADMM O=S(=O)([N-]c1scnc1C1CC1)N1CCCOCC1 ZINC000650192438 423081367 /nfs/dbraw/zinc/08/13/67/423081367.db2.gz IUHWDPDFQVLWAN-UHFFFAOYSA-N -1 1 303.409 1.400 20 0 DDADMM Cc1cccnc1CC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000295903049 225361439 /nfs/dbraw/zinc/36/14/39/225361439.db2.gz DEMWZOWGSFRLPS-UHFFFAOYSA-N -1 1 314.798 1.298 20 0 DDADMM CSc1nc(CNC(=O)CCc2cccnc2)cc(=O)[n-]1 ZINC000640659096 423119048 /nfs/dbraw/zinc/11/90/48/423119048.db2.gz XGVGXWKKKBBAQI-UHFFFAOYSA-N -1 1 304.375 1.548 20 0 DDADMM CC[C@@H](C)[C@@H](NS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000036965499 418414417 /nfs/dbraw/zinc/41/44/17/418414417.db2.gz XURYGRQBKLUIET-GMSGAONNSA-N -1 1 307.318 1.742 20 0 DDADMM CN(C)c1noc([C@H]2CCCN2C(=O)c2cc(F)ccc2[O-])n1 ZINC000373582178 418442986 /nfs/dbraw/zinc/44/29/86/418442986.db2.gz YBFNJRKXCDAZNA-LLVKDONJSA-N -1 1 320.324 1.958 20 0 DDADMM CC(C)(CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)C(F)(F)F ZINC000373751895 418456812 /nfs/dbraw/zinc/45/68/12/418456812.db2.gz MKSKFAGUKFGJEH-ZETCQYMHSA-N -1 1 307.276 1.078 20 0 DDADMM Cc1nc(-c2ccc(NCCC[S@@](C)=O)nc2)[n-]c(=O)c1C ZINC000361025979 418568394 /nfs/dbraw/zinc/56/83/94/418568394.db2.gz QREADYSHIPMTPX-JOCHJYFZSA-N -1 1 320.418 1.463 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC(n2ccnn2)C1 ZINC000374847457 418568970 /nfs/dbraw/zinc/56/89/70/418568970.db2.gz RUKLFGJVSOWCCT-UHFFFAOYSA-N -1 1 312.251 1.700 20 0 DDADMM O=C(N[C@H]1CCC(=O)N[C@H]1C1CC1)c1cc(Cl)ccc1[O-] ZINC000367071432 418556869 /nfs/dbraw/zinc/55/68/69/418556869.db2.gz BKZRDHAXTUWSBY-FZMZJTMJSA-N -1 1 308.765 1.833 20 0 DDADMM O=C(C(=O)N1CC2(CCOCC2)[C@@H]1C1CC1)c1ccc([O-])cc1 ZINC000294049665 418582524 /nfs/dbraw/zinc/58/25/24/418582524.db2.gz GWVHNRMYVMHSLK-INIZCTEOSA-N -1 1 315.369 1.993 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cn(C)nc2C2CC2)o1 ZINC000291114136 222147456 /nfs/dbraw/zinc/14/74/56/222147456.db2.gz UBXIDXRWRJXHGJ-UHFFFAOYSA-N -1 1 324.362 1.051 20 0 DDADMM COC(=O)Cn1cnc(-c2nc3cc(F)c(F)cc3c(=O)[n-]2)n1 ZINC000361402422 418644202 /nfs/dbraw/zinc/64/42/02/418644202.db2.gz IOIAUHSWMIWJIK-UHFFFAOYSA-N -1 1 321.243 1.045 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC[C@@H](c2nc(C3CC3)no2)C1 ZINC000375541623 418656864 /nfs/dbraw/zinc/65/68/64/418656864.db2.gz KOWMXYCPIJVSIH-SNVBAGLBSA-N -1 1 300.318 1.677 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@@]3(C)CNC(=O)C3)[nH][n-]2)s1 ZINC000372363806 418861110 /nfs/dbraw/zinc/86/11/10/418861110.db2.gz DFSNUGRJXNDPJA-CQSZACIVSA-N -1 1 304.375 1.333 20 0 DDADMM COCCc1nc(=N[C@@H](c2nnc[nH]2)c2ccccc2)s[n-]1 ZINC000365235675 418843962 /nfs/dbraw/zinc/84/39/62/418843962.db2.gz RAKAAYBBYYZTMO-GFCCVEGCSA-N -1 1 316.390 1.469 20 0 DDADMM NC(=O)c1ccc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)cn1 ZINC000354371514 306774235 /nfs/dbraw/zinc/77/42/35/306774235.db2.gz IACUPKGRQPATQF-UHFFFAOYSA-N -1 1 323.312 1.529 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](CO)[C@H]2CCCO2)c(F)c1 ZINC000425185170 228384557 /nfs/dbraw/zinc/38/45/57/228384557.db2.gz GNQVIOPVPOIYEY-NWDGAFQWSA-N -1 1 321.345 1.091 20 0 DDADMM CCNC(=O)c1ccc([N-]S(=O)(=O)C[C@H](CC)OC)cc1 ZINC000421148987 419503725 /nfs/dbraw/zinc/50/37/25/419503725.db2.gz DZGGTXWSRBMMSY-ZDUSSCGKSA-N -1 1 314.407 1.603 20 0 DDADMM COCCOCCCC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000435211045 229362346 /nfs/dbraw/zinc/36/23/46/229362346.db2.gz MSVPWQASGXGBPC-UHFFFAOYSA-N -1 1 322.452 1.481 20 0 DDADMM O=C(N=c1ccc([O-])n[nH]1)c1cnc(OCc2ccccc2)cn1 ZINC000429079635 419968118 /nfs/dbraw/zinc/96/81/18/419968118.db2.gz MKEDUOJDVYVYDZ-UHFFFAOYSA-N -1 1 323.312 1.225 20 0 DDADMM O=C(N=c1ccc(O)n[n-]1)c1cnc(OCc2ccccc2)cn1 ZINC000429079635 419968122 /nfs/dbraw/zinc/96/81/22/419968122.db2.gz MKEDUOJDVYVYDZ-UHFFFAOYSA-N -1 1 323.312 1.225 20 0 DDADMM O=C(NC[C@H]1COCCO1)c1ccc(C(F)(F)F)cc1[O-] ZINC000436779229 229522999 /nfs/dbraw/zinc/52/29/99/229522999.db2.gz VYVNWENDARQQMN-VIFPVBQESA-N -1 1 305.252 1.556 20 0 DDADMM CCOC(=O)[C@@H](Cc1ccccn1)NC(=O)c1ncccc1[O-] ZINC000430502131 420124680 /nfs/dbraw/zinc/12/46/80/420124680.db2.gz UJMCSSORVQADGO-GFCCVEGCSA-N -1 1 315.329 1.086 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)C(=O)OC(C)(C)C)n1 ZINC000415633902 420131001 /nfs/dbraw/zinc/13/10/01/420131001.db2.gz WEAGYBWBNZBVDL-MRVPVSSYSA-N -1 1 311.338 1.503 20 0 DDADMM CN(CCCC(=O)[O-])CC(=O)Nc1nc2c(s1)CCCC2 ZINC000430664611 420173568 /nfs/dbraw/zinc/17/35/68/420173568.db2.gz JEWDBEYEIXDWIS-UHFFFAOYSA-N -1 1 311.407 1.757 20 0 DDADMM C[C@H](CO)N(CC1CCC1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000435844390 420287937 /nfs/dbraw/zinc/28/79/37/420287937.db2.gz KQZFXYRCSVAGMG-SNVBAGLBSA-N -1 1 318.377 1.297 20 0 DDADMM NC(=O)CSc1ccccc1NC(=O)c1ccc(O)cc1[O-] ZINC000436738268 420362982 /nfs/dbraw/zinc/36/29/82/420362982.db2.gz LOBDMSMQZVOUHZ-UHFFFAOYSA-N -1 1 318.354 1.928 20 0 DDADMM CN(C(=O)c1ccc(Br)cc1[O-])[C@@H]1CCNC1=O ZINC000436523846 420337015 /nfs/dbraw/zinc/33/70/15/420337015.db2.gz CXLPMIIERHQJJK-SECBINFHSA-N -1 1 313.151 1.115 20 0 DDADMM O=C(NC[C@H](CO)CC1CCCC1)C(=O)c1ccc([O-])cc1 ZINC000436636121 420347752 /nfs/dbraw/zinc/34/77/52/420347752.db2.gz TVPMLTCIIIOBAZ-CYBMUJFWSA-N -1 1 305.374 1.880 20 0 DDADMM COCCO[C@@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000436638216 420348382 /nfs/dbraw/zinc/34/83/82/420348382.db2.gz CGYDHTDWVQLRMV-CQSZACIVSA-N -1 1 307.346 1.229 20 0 DDADMM Cc1ccc(CNC(=O)c2cc(Cl)ccc2[O-])c(=O)n1C ZINC000436700895 420356639 /nfs/dbraw/zinc/35/66/39/420356639.db2.gz BDJJQXJNEWGRMF-UHFFFAOYSA-N -1 1 306.749 1.983 20 0 DDADMM O=C(N[C@H]1CCN(c2ccccn2)C1)C(=O)c1ccc([O-])cc1 ZINC000436702487 420358136 /nfs/dbraw/zinc/35/81/36/420358136.db2.gz APFRXVUZTSOWCK-ZDUSSCGKSA-N -1 1 311.341 1.365 20 0 DDADMM C[N@H+]1CC[C@@H](CNS(=O)(=O)c2c(F)cccc2Cl)C1 ZINC000416494241 420392050 /nfs/dbraw/zinc/39/20/50/420392050.db2.gz HZBWEGRFIJVIRI-VIFPVBQESA-N -1 1 306.790 1.709 20 0 DDADMM O=S(=O)([N-]Cc1cccnn1)c1cc(F)c(F)cc1F ZINC000443927017 230037426 /nfs/dbraw/zinc/03/74/26/230037426.db2.gz KEJOFIICKSMOKY-UHFFFAOYSA-N -1 1 303.265 1.372 20 0 DDADMM NC(=O)c1cc(-c2csc(Cn3cccn3)n2)ccc1[O-] ZINC000445548996 230180654 /nfs/dbraw/zinc/18/06/54/230180654.db2.gz KVDMJQGOTFBGGK-UHFFFAOYSA-N -1 1 300.343 1.859 20 0 DDADMM Cc1nc(-c2ccc(N3CC(=O)N[C@@H](C)C3)nc2)[n-]c(=O)c1C ZINC000450785546 420530966 /nfs/dbraw/zinc/53/09/66/420530966.db2.gz OAGZBAZSLNAYKC-VIFPVBQESA-N -1 1 313.361 1.186 20 0 DDADMM O=C(CS(=O)(=O)C1CCCC1)Nc1ccc([O-])c(F)c1F ZINC000456780411 420544038 /nfs/dbraw/zinc/54/40/38/420544038.db2.gz CABHDXVONXAEMC-UHFFFAOYSA-N -1 1 319.329 1.966 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1nc2ccccc2o1)c1nn[n-]n1 ZINC000492432961 420554908 /nfs/dbraw/zinc/55/49/08/420554908.db2.gz AXTBPVIGUCWRRO-GQYWMQPJSA-N -1 1 312.333 1.616 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)C=Cc1c[nH]cn1 ZINC000492774145 420659483 /nfs/dbraw/zinc/65/94/83/420659483.db2.gz WHYUSQUGSSRKND-HYXAFXHYSA-N -1 1 324.337 1.572 20 0 DDADMM COc1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)ccc1O ZINC000442666591 420696552 /nfs/dbraw/zinc/69/65/52/420696552.db2.gz RXTONSYMBAAJNF-UHFFFAOYSA-N -1 1 303.322 1.113 20 0 DDADMM CCN(C(=O)c1cc(Cl)c([O-])c(OC)c1)[C@@H]1CCNC1=O ZINC000442938132 420731280 /nfs/dbraw/zinc/73/12/80/420731280.db2.gz PEMGZISHXOAWCV-SNVBAGLBSA-N -1 1 312.753 1.405 20 0 DDADMM CC(C)(C)OC(=O)NCCCC(=O)[N-]OCC(F)(F)F ZINC000493710351 420920113 /nfs/dbraw/zinc/92/01/13/420920113.db2.gz LQZYQUSDJUVSQL-UHFFFAOYSA-N -1 1 300.277 1.901 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)c2nccn21 ZINC000456434991 421167335 /nfs/dbraw/zinc/16/73/35/421167335.db2.gz VDIOQHLVBDLIJJ-KCJUWKMLSA-N -1 1 312.333 1.306 20 0 DDADMM CCOC(=O)c1csc(=N[C@@H](CCO)C2CCOCC2)[n-]1 ZINC000450442079 421197981 /nfs/dbraw/zinc/19/79/81/421197981.db2.gz WCWYMXAMIHMOIZ-NSHDSACASA-N -1 1 314.407 1.331 20 0 DDADMM CCc1cc(C(=O)Nc2nc(-c3ccccn3)n[nH]2)c(C)nn1 ZINC000545450097 421258819 /nfs/dbraw/zinc/25/88/19/421258819.db2.gz UNMIVOFXRQVOMW-UHFFFAOYSA-N -1 1 309.333 1.780 20 0 DDADMM CN(CCCN1CCCC1=O)Cc1nc(=O)c2sccc2[n-]1 ZINC000547350606 421323904 /nfs/dbraw/zinc/32/39/04/421323904.db2.gz UIQUWNXNHVHACB-UHFFFAOYSA-N -1 1 320.418 1.841 20 0 DDADMM O=C(COc1cccc(Cl)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000561858681 421337239 /nfs/dbraw/zinc/33/72/39/421337239.db2.gz SZXHCTOBLKCSMY-UHFFFAOYSA-N -1 1 321.768 1.818 20 0 DDADMM COC(=O)C[C@H]1CSCCN1C(=O)c1cccc2nn[nH]c21 ZINC000527357941 421380119 /nfs/dbraw/zinc/38/01/19/421380119.db2.gz ZTMLYEKLSGOOGU-VIFPVBQESA-N -1 1 320.374 1.079 20 0 DDADMM CCC[C@H]1CCCC[C@H]1NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000562776763 421411644 /nfs/dbraw/zinc/41/16/44/421411644.db2.gz OFYRESRNQWJBDB-VHSXEESVSA-N -1 1 309.366 1.985 20 0 DDADMM COCC(=O)Nc1cccc(CNC(=O)c2ncccc2[O-])c1 ZINC000548302028 421419680 /nfs/dbraw/zinc/41/96/80/421419680.db2.gz JVHHWVGFXSRBDZ-UHFFFAOYSA-N -1 1 315.329 1.302 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCCC[C@@H]2N2CCOCC2)c([O-])c1 ZINC000548312364 421420912 /nfs/dbraw/zinc/42/09/12/421420912.db2.gz VOMWVDVPWDQDBI-KGLIPLIRSA-N -1 1 319.405 1.469 20 0 DDADMM O=C([O-])c1coc(NCCNc2nccc(C(F)(F)F)n2)n1 ZINC000515850736 421519388 /nfs/dbraw/zinc/51/93/88/421519388.db2.gz GYQGHOFCYZIYOY-UHFFFAOYSA-N -1 1 317.227 1.128 20 0 DDADMM C[C@@H](CN(C)C(=O)CCCc1ccc(F)cc1)c1nn[n-]n1 ZINC000563390629 421500806 /nfs/dbraw/zinc/50/08/06/421500806.db2.gz USGGKWDVYVCUFV-NSHDSACASA-N -1 1 305.357 1.924 20 0 DDADMM CO[C@@H](C)c1nc(=NC(=O)N2CCNC[C@H]2C(C)C)s[n-]1 ZINC000528689611 421508277 /nfs/dbraw/zinc/50/82/77/421508277.db2.gz FBKFOZFFYOMLKI-UWVGGRQHSA-N -1 1 313.427 1.129 20 0 DDADMM CNC(=O)NC[C@H]1CN(Cc2cccc([O-])c2Cl)CCO1 ZINC000529645692 421549796 /nfs/dbraw/zinc/54/97/96/421549796.db2.gz UTFGEJKGWXEKID-NSHDSACASA-N -1 1 313.785 1.175 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2nc3c(s2)CCC3)n1 ZINC000571449511 421717096 /nfs/dbraw/zinc/71/70/96/421717096.db2.gz HIVYRLBPVJOXLJ-UHFFFAOYSA-N -1 1 310.360 1.522 20 0 DDADMM O=C(N1CCC[C@H](c2nn[n-]n2)C1)C1(c2ccc(F)cc2)CC1 ZINC000533572713 421680010 /nfs/dbraw/zinc/68/00/10/421680010.db2.gz KOSZHZSKHKAZKX-NSHDSACASA-N -1 1 315.352 1.777 20 0 DDADMM COc1ccc2ccc(C(=O)NC3(c4nn[n-]n4)CC3)cc2c1 ZINC000556769873 421734581 /nfs/dbraw/zinc/73/45/81/421734581.db2.gz XLRWGEMOTFWXNK-UHFFFAOYSA-N -1 1 309.329 1.781 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)Nc2c[nH]c(=O)c(C)c2)c1 ZINC000540498521 421765134 /nfs/dbraw/zinc/76/51/34/421765134.db2.gz DHESLJVAOXJIDL-UHFFFAOYSA-N -1 1 310.331 1.611 20 0 DDADMM CN1C[C@@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CC1=O ZINC000557240333 421765390 /nfs/dbraw/zinc/76/53/90/421765390.db2.gz XLLXIXXDOBHSCT-JTQLQIEISA-N -1 1 300.318 1.199 20 0 DDADMM O=C(c1ccccc1OC1CC1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000580970947 421874730 /nfs/dbraw/zinc/87/47/30/421874730.db2.gz LBFGKAMDDKIDQQ-NSHDSACASA-N -1 1 313.361 1.761 20 0 DDADMM O=C(c1cccc2nccnc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000544553555 421845618 /nfs/dbraw/zinc/84/56/18/421845618.db2.gz NTCRKXPPIRKHRU-JTQLQIEISA-N -1 1 309.333 1.163 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2C[C@H](C)O[C@@H]2C)c1Br ZINC000633346736 421855323 /nfs/dbraw/zinc/85/53/23/421855323.db2.gz ILPVGIYMXNWFQV-UIISKDMLSA-N -1 1 302.172 1.655 20 0 DDADMM CCN(C(=O)CCCc1nn[n-]n1)c1ccc(OC)c(OC)c1 ZINC000635251904 421859062 /nfs/dbraw/zinc/85/90/62/421859062.db2.gz IBISKTPRDSHNDY-UHFFFAOYSA-N -1 1 319.365 1.593 20 0 DDADMM CC[C@H](C)[C@@H](OC)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630221290 421969716 /nfs/dbraw/zinc/96/97/16/421969716.db2.gz XCIFHVPZESRJQZ-SGMGOOAPSA-N -1 1 300.399 1.055 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2[C@@H](C)O[C@@H](C)[C@H]2C)CC1 ZINC000630221402 421969924 /nfs/dbraw/zinc/96/99/24/421969924.db2.gz ZPWGPSFARYGXRX-ZHZXCYKASA-N -1 1 312.410 1.053 20 0 DDADMM CC(C)CO[C@H](C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630223175 421972318 /nfs/dbraw/zinc/97/23/18/421972318.db2.gz IIKFLJWKUUGLEW-CHWSQXEVSA-N -1 1 300.399 1.055 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H](c1ccccc1)[C@H]1CCCO1 ZINC000635485902 422031252 /nfs/dbraw/zinc/03/12/52/422031252.db2.gz HEWXHFPEQAXBTP-CJNGLKHVSA-N -1 1 315.377 1.559 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCOC2CCOCC2)sn1 ZINC000632027565 422033767 /nfs/dbraw/zinc/03/37/67/422033767.db2.gz HCUPOXXBLBERIZ-UHFFFAOYSA-N -1 1 320.436 1.316 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000573612590 421988573 /nfs/dbraw/zinc/98/85/73/421988573.db2.gz KWKVWWWVCXCBEF-UWVGGRQHSA-N -1 1 324.402 1.818 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cccc3n[nH]cc32)[C@@](C)(C(=O)[O-])C1 ZINC000630318929 422022059 /nfs/dbraw/zinc/02/20/59/422022059.db2.gz ZVTGZQUIZVZDSA-BJOHPYRUSA-N -1 1 303.318 1.267 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H](O)CC(C)(C)C)c1 ZINC000632014517 422022186 /nfs/dbraw/zinc/02/21/86/422022186.db2.gz LNHQISOUMIZVKT-JTQLQIEISA-N -1 1 317.407 1.476 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@]2(C)CCCC[C@H]2O)sn1 ZINC000632068006 422066592 /nfs/dbraw/zinc/06/65/92/422066592.db2.gz XWVJMDWSEKCRJE-ZYHUDNBSSA-N -1 1 304.437 1.671 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](CO)c2sccc2C)sn1 ZINC000632073237 422070051 /nfs/dbraw/zinc/07/00/51/422070051.db2.gz WLIZYWYVUBTHHA-SECBINFHSA-N -1 1 318.445 1.833 20 0 DDADMM COCc1ncc2c(n1)CCN(C(=O)c1cc(F)ccc1[O-])C2 ZINC000633735515 422071924 /nfs/dbraw/zinc/07/19/24/422071924.db2.gz BVECYHANOJCMAB-UHFFFAOYSA-N -1 1 317.320 1.666 20 0 DDADMM CCN1CC[C@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C1=O ZINC000633739620 422073173 /nfs/dbraw/zinc/07/31/73/422073173.db2.gz LCDGQKRZZONCRS-JTQLQIEISA-N -1 1 316.279 1.762 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@]3(CCOC3)C2)c1 ZINC000632092762 422081417 /nfs/dbraw/zinc/08/14/17/422081417.db2.gz KSNUVHIIQYMSMM-CQSZACIVSA-N -1 1 313.375 1.202 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])N1S(=O)(=O)c1cc(O)cc(F)c1 ZINC000630446074 422108272 /nfs/dbraw/zinc/10/82/72/422108272.db2.gz OVYLONPWQRZKKE-RDDDGLTNSA-N -1 1 303.311 1.158 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)[C@H]2CCCOC2)c1 ZINC000632192355 422152091 /nfs/dbraw/zinc/15/20/91/422152091.db2.gz ASOLJGFOWSKNKD-QWRGUYRKSA-N -1 1 315.391 1.494 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)s1 ZINC000632194169 422152411 /nfs/dbraw/zinc/15/24/11/422152411.db2.gz GBLRBSSMEDJQSK-UHFFFAOYSA-N -1 1 309.319 1.856 20 0 DDADMM CO[C@H](CNC(=O)CCCc1nn[n-]n1)c1ccc(Cl)cc1 ZINC000635609385 422158679 /nfs/dbraw/zinc/15/86/79/422158679.db2.gz WWZNTLMLQPRSDR-GFCCVEGCSA-N -1 1 323.784 1.680 20 0 DDADMM C[C@@H]1Cc2ccccc2CN1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000574763899 422178036 /nfs/dbraw/zinc/17/80/36/422178036.db2.gz ZGCNBNCJWVFYIB-CYBMUJFWSA-N -1 1 316.401 1.756 20 0 DDADMM COc1ccc(NC(=O)[C@H](O)C(C)C)cc1[N-]S(C)(=O)=O ZINC000574539622 422129722 /nfs/dbraw/zinc/12/97/22/422129722.db2.gz DZZBJTDBNGSPFA-GFCCVEGCSA-N -1 1 316.379 1.022 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cccc(COC2CCOCC2)c1 ZINC000583907416 422214830 /nfs/dbraw/zinc/21/48/30/422214830.db2.gz STQFNGAKYGFMHU-UHFFFAOYSA-N -1 1 303.322 1.148 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cccc(COC2CCOCC2)c1 ZINC000583907416 422214838 /nfs/dbraw/zinc/21/48/38/422214838.db2.gz STQFNGAKYGFMHU-UHFFFAOYSA-N -1 1 303.322 1.148 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1cccc(OC(F)(F)F)c1 ZINC000630641301 422238073 /nfs/dbraw/zinc/23/80/73/422238073.db2.gz VKIGPZBFXFCFIY-UHFFFAOYSA-N -1 1 301.228 1.670 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)[C@H]2CCCOC2)c1 ZINC000632328958 422250497 /nfs/dbraw/zinc/25/04/97/422250497.db2.gz LYTUSTOCKATWKV-JTQLQIEISA-N -1 1 301.364 1.200 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CSC[C@H]2C)c1 ZINC000632331557 422251789 /nfs/dbraw/zinc/25/17/89/422251789.db2.gz OVTQXEPCZPJYHF-SCZZXKLOSA-N -1 1 303.405 1.431 20 0 DDADMM CCC[C@H](NC(=O)c1noc2c1C[C@H](C)CC2)c1nn[n-]n1 ZINC000574828762 422191513 /nfs/dbraw/zinc/19/15/13/422191513.db2.gz ZMFRTYFRBSNIMF-SCZZXKLOSA-N -1 1 304.354 1.584 20 0 DDADMM COc1cc(NC(=O)c2csc(=NC3CC3)[n-]2)cnc1OC ZINC000628642606 422271453 /nfs/dbraw/zinc/27/14/53/422271453.db2.gz FRNXQZFYJABHSJ-UHFFFAOYSA-N -1 1 320.374 1.804 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)CC1(C)COC1 ZINC000628672541 422282949 /nfs/dbraw/zinc/28/29/49/422282949.db2.gz QEPXJJGRBTUWTM-UHFFFAOYSA-N -1 1 307.394 1.897 20 0 DDADMM CN(C(=O)c1csc(=NC2CC2)[n-]1)[C@@H]1CCCCN(C)C1=O ZINC000634144421 422283271 /nfs/dbraw/zinc/28/32/71/422283271.db2.gz QUPVUOUJGSJKQW-GFCCVEGCSA-N -1 1 322.434 1.222 20 0 DDADMM CCN(C(=O)CCc1nn[n-]n1)[C@@H](C)c1cccc(OC)c1 ZINC000630736039 422303227 /nfs/dbraw/zinc/30/32/27/422303227.db2.gz SWYQWUGMHIKFLA-NSHDSACASA-N -1 1 303.366 1.751 20 0 DDADMM Cc1cccc(CO[C@H](C)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000632436807 422342045 /nfs/dbraw/zinc/34/20/45/422342045.db2.gz OHOLAKRDRBRCPS-CHWSQXEVSA-N -1 1 317.393 1.675 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)Cc2ccc([O-])c(Cl)c2)[C@H]1OC ZINC000632462539 422364251 /nfs/dbraw/zinc/36/42/51/422364251.db2.gz RHBLVXIZQZPKRH-UXIGCNINSA-N -1 1 313.781 1.897 20 0 DDADMM C[C@@H]1CC[C@@H](C(N)=O)CN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630770174 422327737 /nfs/dbraw/zinc/32/77/37/422327737.db2.gz RRKGFESGAOSJKI-MWLCHTKSSA-N -1 1 310.781 1.701 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1ccco1)CC(F)(F)F ZINC000630867048 422384034 /nfs/dbraw/zinc/38/40/34/422384034.db2.gz PXFBPBCHQCLQQM-UHFFFAOYSA-N -1 1 303.244 1.316 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1sc([C@H](C)OC)nc1C ZINC000577646637 422400160 /nfs/dbraw/zinc/40/01/60/422400160.db2.gz RDNQFQFMTUXDRV-ZETCQYMHSA-N -1 1 324.362 1.921 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCCC[C@H]2OC)c1 ZINC000632511011 422400676 /nfs/dbraw/zinc/40/06/76/422400676.db2.gz WRZPCLIBVLXHQW-DGCLKSJQSA-N -1 1 315.391 1.637 20 0 DDADMM CN(CCN1CCOCC1)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630898457 422401304 /nfs/dbraw/zinc/40/13/04/422401304.db2.gz VWQBDGPHVCDVHO-UHFFFAOYSA-N -1 1 312.797 1.379 20 0 DDADMM C[C@@H]1CO[C@@H](c2ccccc2)CN1C(=O)CCc1nn[n-]n1 ZINC000630905378 422406522 /nfs/dbraw/zinc/40/65/22/422406522.db2.gz VXYUQQUTUIOAJH-DGCLKSJQSA-N -1 1 301.350 1.121 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCOc2cccc(F)c2C1 ZINC000635905151 422458442 /nfs/dbraw/zinc/45/84/42/422458442.db2.gz XYLUCWLRZKPVNU-UHFFFAOYSA-N -1 1 305.313 1.083 20 0 DDADMM Cc1nc(SCC(=O)NC2CCOCC2)[n-]c(=O)c1C1CC1 ZINC000631024749 422477864 /nfs/dbraw/zinc/47/78/64/422477864.db2.gz ZFLCVHAHOVDCQY-UHFFFAOYSA-N -1 1 323.418 1.755 20 0 DDADMM O=c1c2c([n-]n1[C@H]1CCS(=O)(=O)C1)-c1ccccc1CCC2 ZINC000634584843 422494795 /nfs/dbraw/zinc/49/47/95/422494795.db2.gz OSIKZBJTTHNTHG-GXTWGEPZSA-N -1 1 318.398 1.373 20 0 DDADMM Cc1ccc2cnccc2c1[N-]S(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000634662156 422545941 /nfs/dbraw/zinc/54/59/41/422545941.db2.gz IQGUZXNXSCFMDV-LLVKDONJSA-N -1 1 321.402 1.762 20 0 DDADMM NC(=O)C1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCC1 ZINC000629378536 422639261 /nfs/dbraw/zinc/63/92/61/422639261.db2.gz RFNQCLXQVGMYQE-UHFFFAOYSA-N -1 1 300.318 1.172 20 0 DDADMM O=C(C[C@@H]1CCC(=O)NC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629379685 422640318 /nfs/dbraw/zinc/64/03/18/422640318.db2.gz HHXSAAGLKXGSFI-NSHDSACASA-N -1 1 314.345 1.433 20 0 DDADMM CNC(=O)c1cccc([N-]S(=O)(=O)CC2(OC)CCC2)c1 ZINC000634785646 422647308 /nfs/dbraw/zinc/64/73/08/422647308.db2.gz TZRJOMJFIFMURN-UHFFFAOYSA-N -1 1 312.391 1.357 20 0 DDADMM CC(C)[C@H]1CN(C(=O)N=c2ccc(C3CC3)n[n-]2)CCN1C ZINC000579187321 422719544 /nfs/dbraw/zinc/71/95/44/422719544.db2.gz ZWRXSQNMGARLJH-CQSZACIVSA-N -1 1 303.410 1.580 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(F)(c2ccccn2)CC1 ZINC000632938658 422671176 /nfs/dbraw/zinc/67/11/76/422671176.db2.gz XQZGNGNAWDFVIP-UHFFFAOYSA-N -1 1 304.329 1.015 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@@H]3CCC[C@@H]3C(=O)[O-])[nH]c21 ZINC000634827658 422673210 /nfs/dbraw/zinc/67/32/10/422673210.db2.gz BYSPKSMJYXUYPU-MNOVXSKESA-N -1 1 301.346 1.988 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)NCc2cccnc2)n1 ZINC000096640435 263336182 /nfs/dbraw/zinc/33/61/82/263336182.db2.gz HVHPMHKUPRNYQT-UHFFFAOYSA-N -1 1 304.375 1.136 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCC(C)C)c1ccsc1 ZINC000184256081 263386138 /nfs/dbraw/zinc/38/61/38/263386138.db2.gz HPBDMFCMBZGOHC-NSHDSACASA-N -1 1 305.421 1.928 20 0 DDADMM Cc1ccc(CN2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)o1 ZINC000650790574 423260493 /nfs/dbraw/zinc/26/04/93/423260493.db2.gz KZTPVWZDNVWEPE-LBPRGKRZSA-N -1 1 306.362 1.486 20 0 DDADMM CC[C@H](C(=O)[O-])N1CCN(C(=O)c2ccccc2OC)CC1 ZINC000648400875 423349991 /nfs/dbraw/zinc/34/99/91/423349991.db2.gz FVCZIAPLLBXHLL-CYBMUJFWSA-N -1 1 306.362 1.316 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCNC(=O)CC1 ZINC000648262572 423293869 /nfs/dbraw/zinc/29/38/69/423293869.db2.gz IJUAHQITHBUYFW-UHFFFAOYSA-N -1 1 302.252 1.373 20 0 DDADMM CCC[C@H](NC(=O)CNc1cccc(OC)c1)c1nn[n-]n1 ZINC000653099531 423309423 /nfs/dbraw/zinc/30/94/23/423309423.db2.gz SRWRSSUZPOHCMH-LBPRGKRZSA-N -1 1 304.354 1.278 20 0 DDADMM Cc1noc(C)c1[C@H](C)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000646067672 423322244 /nfs/dbraw/zinc/32/22/44/423322244.db2.gz XPJWGOBFPWUTKN-KWQFWETISA-N -1 1 320.349 1.890 20 0 DDADMM O=c1[n-]c(CN2CCC[C@@H](O)[C@H]2CCc2ccccc2)n[nH]1 ZINC000646073537 423323864 /nfs/dbraw/zinc/32/38/64/423323864.db2.gz BMZLOYHTDPUDQW-ZIAGYGMSSA-N -1 1 302.378 1.468 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN1C(=O)c1cccc2n[nH]cc21 ZINC000643849049 423378897 /nfs/dbraw/zinc/37/88/97/423378897.db2.gz RQBPQKMWZQRRFQ-OAHLLOKOSA-N -1 1 303.318 1.269 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cc(F)ccc3C)nc2n1 ZINC000643909564 423402693 /nfs/dbraw/zinc/40/26/93/423402693.db2.gz LXPFKHXUIZOTLJ-UHFFFAOYSA-N -1 1 301.281 1.426 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cnc(C(C)C)s3)nc2n1 ZINC000643909432 423402998 /nfs/dbraw/zinc/40/29/98/423402998.db2.gz XXWFQJPNTPESPM-UHFFFAOYSA-N -1 1 318.362 1.558 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCC(c3cnc[nH]3)CC2)cn1 ZINC000653307702 423433141 /nfs/dbraw/zinc/43/31/41/423433141.db2.gz UGPOUTRHJUODRQ-UHFFFAOYSA-N -1 1 300.318 1.523 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000653307177 423433380 /nfs/dbraw/zinc/43/33/80/423433380.db2.gz DZJYLQCUXSIOAB-CHWSQXEVSA-N -1 1 314.426 1.493 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CN1CCc2ccccc2C1=O ZINC000646411488 423480582 /nfs/dbraw/zinc/48/05/82/423480582.db2.gz JACHRZPQZYNBOR-UHFFFAOYSA-N -1 1 300.318 1.061 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C)n1nnc(-c2ccccc2)n1 ZINC000646411669 423480766 /nfs/dbraw/zinc/48/07/66/423480766.db2.gz MUQAKEGUYCMQNX-SECBINFHSA-N -1 1 313.321 1.277 20 0 DDADMM Cn1[n-]c(Cn2cc(-c3cccc4c3CCCC4)nn2)nc1=O ZINC000641156280 423428998 /nfs/dbraw/zinc/42/89/98/423428998.db2.gz PRRKIFVNEDVEMN-UHFFFAOYSA-N -1 1 310.361 1.294 20 0 DDADMM O=C(CC1CC(F)(F)C1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000648894494 423525633 /nfs/dbraw/zinc/52/56/33/423525633.db2.gz QGNSCQUEWJLPIW-LBPRGKRZSA-N -1 1 307.304 1.841 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCCC2(CO)CCC2)[n-]n1 ZINC000651465299 423551619 /nfs/dbraw/zinc/55/16/19/423551619.db2.gz HZGHGWWDJLXNHM-UHFFFAOYSA-N -1 1 318.421 1.536 20 0 DDADMM N=c1nc(N2CCN(C(=O)[C@@H]3C[C@H]3C3CCC3)CC2)s[n-]1 ZINC000651467371 423553413 /nfs/dbraw/zinc/55/34/13/423553413.db2.gz BWKVNSIXFOEQQF-WDEREUQCSA-N -1 1 307.423 1.035 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000646648909 423559696 /nfs/dbraw/zinc/55/96/96/423559696.db2.gz CUPZVXGLOLIFQU-URLYPYJESA-N -1 1 312.163 1.465 20 0 DDADMM CC(C)(O)C1CCC(C(=O)NC2(c3nn[n-]n3)CCCC2)CC1 ZINC000651353712 423503770 /nfs/dbraw/zinc/50/37/70/423503770.db2.gz UISCLCNEYXCHPX-UHFFFAOYSA-N -1 1 321.425 1.663 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2)c1ccco1 ZINC000641366503 423586651 /nfs/dbraw/zinc/58/66/51/423586651.db2.gz ZNWFYFFBRDJRBG-YGNMPJRFSA-N -1 1 301.364 1.206 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cccc3c2OCCC3)n1 ZINC000651667766 423638234 /nfs/dbraw/zinc/63/82/34/423638234.db2.gz QTIOOSODVPNGCW-UHFFFAOYSA-N -1 1 309.347 1.182 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CC[C@](O)(C(F)(F)F)C2)c([O-])c1 ZINC000646704910 423580378 /nfs/dbraw/zinc/58/03/78/423580378.db2.gz GVINOKKFLPZSBV-PRHODGIISA-N -1 1 304.268 1.671 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(-c2nc[nH]n2)cc1)C(C)C ZINC000647127480 423763101 /nfs/dbraw/zinc/76/31/01/423763101.db2.gz PZUAEGGOZBUITA-CYBMUJFWSA-N -1 1 324.406 1.884 20 0 DDADMM CCn1nc(C)c([N-]S(=O)(=O)C[C@H](OC)C(C)C)c1C ZINC000647128018 423768263 /nfs/dbraw/zinc/76/82/63/423768263.db2.gz MMPYKTUUFMKYSJ-LBPRGKRZSA-N -1 1 303.428 1.933 20 0 DDADMM Cn1nnc2cc([N-]S(=O)(=O)CCOCC3CC3)ccc21 ZINC000647136336 423771851 /nfs/dbraw/zinc/77/18/51/423771851.db2.gz GZBKUYMKYGLTMT-UHFFFAOYSA-N -1 1 310.379 1.137 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc2c(c1)nnn2C)C(C)C ZINC000647136646 423772438 /nfs/dbraw/zinc/77/24/38/423772438.db2.gz YMMUYSCVBORTDI-CYBMUJFWSA-N -1 1 312.395 1.381 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cnn(Cc3ccncc3)c2)CC1 ZINC000641684098 423860855 /nfs/dbraw/zinc/86/08/55/423860855.db2.gz WJHWJCRFTOFBSK-UHFFFAOYSA-N -1 1 322.390 1.247 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnccc1SC)C1CC1 ZINC000647268069 423930801 /nfs/dbraw/zinc/93/08/01/423930801.db2.gz PINNQGUDMFWFJU-LLVKDONJSA-N -1 1 302.421 1.970 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCC1)c1cc(F)c(F)cc1F ZINC000657057698 424050211 /nfs/dbraw/zinc/05/02/11/424050211.db2.gz WEAYSYOYJGVPOK-NSHDSACASA-N -1 1 309.309 1.543 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)C1(CO)CC1 ZINC000657101956 424106545 /nfs/dbraw/zinc/10/65/45/424106545.db2.gz GCDYPCCIUJYDPO-QMMMGPOBSA-N -1 1 324.324 1.540 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2cccc(OCC(=O)[O-])c2)n[nH]1 ZINC000659757918 424223380 /nfs/dbraw/zinc/22/33/80/424223380.db2.gz MNFCQOMGECFILM-JTQLQIEISA-N -1 1 317.345 1.543 20 0 DDADMM O=C(C(=O)N1CC2(CCC2)[C@H]2COC[C@H]21)c1ccc([O-])cc1 ZINC000655137876 424242793 /nfs/dbraw/zinc/24/27/93/424242793.db2.gz YBTCGFTWVUEWPF-UONOGXRCSA-N -1 1 301.342 1.602 20 0 DDADMM CN(CCCS(=O)(=O)c1ccccc1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000659801873 424261431 /nfs/dbraw/zinc/26/14/31/424261431.db2.gz LNUBYDIUBPSPDZ-BETUJISGSA-N -1 1 311.403 1.645 20 0 DDADMM COCCCN(CCO)C(=O)N=c1[n-]sc2ccccc21 ZINC000640338953 424358824 /nfs/dbraw/zinc/35/88/24/424358824.db2.gz IPNIVAQTIVVADI-UHFFFAOYSA-N -1 1 309.391 1.581 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCc1nncn1C1CC1 ZINC000640340927 424364308 /nfs/dbraw/zinc/36/43/08/424364308.db2.gz DDFQPPYGGZVQFG-UHFFFAOYSA-N -1 1 314.374 1.966 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2cc(C)c(F)cc2F)on1 ZINC000660013696 424459812 /nfs/dbraw/zinc/45/98/12/424459812.db2.gz TYCNZSGQATWNPV-UHFFFAOYSA-N -1 1 318.301 1.748 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CC[C@@H](c3ccc(Cl)cc3)C2)C1=O ZINC000662202920 424468129 /nfs/dbraw/zinc/46/81/29/424468129.db2.gz DAOBFUNSYSWLEB-OCCSQVGLSA-N -1 1 322.792 1.815 20 0 DDADMM Cc1cccnc1[C@H](N[C@H]1CCN(CC(=O)[O-])C1=O)C(C)C ZINC000662204531 424469149 /nfs/dbraw/zinc/46/91/49/424469149.db2.gz HYDBOJNBAXUCBS-GXTWGEPZSA-N -1 1 305.378 1.362 20 0 DDADMM COCCN([C@@H](C)c1ccccc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662204547 424470936 /nfs/dbraw/zinc/47/09/36/424470936.db2.gz UYWYSMAATABVOW-ZFWWWQNUSA-N -1 1 320.389 1.382 20 0 DDADMM C[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@H](C)[C@H]1O ZINC000664370861 424564246 /nfs/dbraw/zinc/56/42/46/424564246.db2.gz RYXIXRALMSTITF-IWIIMEHWSA-N -1 1 301.346 1.012 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]CCOC(F)(F)F)c1F ZINC000655569044 424574421 /nfs/dbraw/zinc/57/44/21/424574421.db2.gz WSZLSRABZLKFAA-UHFFFAOYSA-N -1 1 317.260 1.649 20 0 DDADMM C[C@H](CNC(=O)NCc1ccc(F)c(CN(C)C)c1)C(=O)[O-] ZINC000655870443 424687301 /nfs/dbraw/zinc/68/73/01/424687301.db2.gz UCQKNOWKQJXWHY-SNVBAGLBSA-N -1 1 311.357 1.407 20 0 DDADMM Cn1ccc(C(=O)N=c2cc(-c3cccs3)[n-][nH]2)cc1=O ZINC000342262909 271358887 /nfs/dbraw/zinc/35/88/87/271358887.db2.gz FXTRTPHHYLWRGX-UHFFFAOYSA-N -1 1 300.343 1.511 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cccc(O)c2)cc1C(N)=O ZINC000342789867 271519694 /nfs/dbraw/zinc/51/96/94/271519694.db2.gz YLMCRWDDXZUGCA-UHFFFAOYSA-N -1 1 306.343 1.600 20 0 DDADMM C[C@H]1CCC[C@H](N(C)C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343170610 271669292 /nfs/dbraw/zinc/66/92/92/271669292.db2.gz MCTKKSXQWMQZIE-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM Cn1ccc(C[N-]S(=O)(=O)c2c(Cl)ccc(F)c2F)n1 ZINC000344722605 272099864 /nfs/dbraw/zinc/09/98/64/272099864.db2.gz CKSZFIKSISNUFH-UHFFFAOYSA-N -1 1 321.736 1.830 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC3CCC2CC3)c1 ZINC000344965907 272147209 /nfs/dbraw/zinc/14/72/09/272147209.db2.gz HHPAFUOVQPLYSU-RTYFJBAXSA-N -1 1 324.402 1.804 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(Br)c([O-])c2)C[C@H]1O ZINC000345146286 272182159 /nfs/dbraw/zinc/18/21/59/272182159.db2.gz PFSDEDIDDMZOSY-QPUJVOFHSA-N -1 1 314.179 1.998 20 0 DDADMM Nc1c([O-])[nH]c(=O)nc1C(=O)Nc1nc(-c2ccco2)cs1 ZINC000345493053 272265072 /nfs/dbraw/zinc/26/50/72/272265072.db2.gz VDZLLAZBJDMAOV-UHFFFAOYSA-N -1 1 319.302 1.439 20 0 DDADMM O=C(CCCOc1ccccc1)NC1(c2nn[n-]n2)CCCC1 ZINC000345546643 272278346 /nfs/dbraw/zinc/27/83/46/272278346.db2.gz MBLLWGKHAAERPW-UHFFFAOYSA-N -1 1 315.377 1.944 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)N=c1ccc(C2CC2)n[n-]1 ZINC000329388319 276031645 /nfs/dbraw/zinc/03/16/45/276031645.db2.gz RHDOGMWDXJXVMB-CQSZACIVSA-N -1 1 303.410 1.580 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ncccc2[O-])[C@H](CC)CN1C(C)=O ZINC000427242604 276568587 /nfs/dbraw/zinc/56/85/87/276568587.db2.gz KSJXJZAWZZUYHR-CHWSQXEVSA-N -1 1 305.378 1.649 20 0 DDADMM CC1(C)COC[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000451962073 278355579 /nfs/dbraw/zinc/35/55/79/278355579.db2.gz FLAHVVIAZPGJAK-NSHDSACASA-N -1 1 309.309 1.807 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)Nc2ccc(C)cc2)n[n-]1 ZINC000086170613 281015738 /nfs/dbraw/zinc/01/57/38/281015738.db2.gz BJMUHJYHYHLKTB-UHFFFAOYSA-N -1 1 308.363 1.088 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@H](O)C1)c1cc(F)c(F)cc1F ZINC000121823074 281144746 /nfs/dbraw/zinc/14/47/46/281144746.db2.gz ILJCCVUXOLOZRH-BDAKNGLRSA-N -1 1 323.336 1.933 20 0 DDADMM O=C(NCCN1C(=O)Cc2ccccc21)c1c([O-])cccc1F ZINC000122380698 281155919 /nfs/dbraw/zinc/15/59/19/281155919.db2.gz BDIIWZBPMOGUNE-UHFFFAOYSA-N -1 1 314.316 1.850 20 0 DDADMM O=C(Cc1ccc(F)cc1Cl)NCCCc1nc(=O)[n-][nH]1 ZINC000129207127 281336878 /nfs/dbraw/zinc/33/68/78/281336878.db2.gz SOURWFGOPDVYJE-UHFFFAOYSA-N -1 1 312.732 1.182 20 0 DDADMM Nc1ncc(Cl)cc1S(=O)(=O)[N-]c1cccc(O)c1F ZINC000131543894 281418938 /nfs/dbraw/zinc/41/89/38/281418938.db2.gz AGYBDLMGPKSJHB-UHFFFAOYSA-N -1 1 317.729 1.963 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCCSC)co1 ZINC000152542669 281747773 /nfs/dbraw/zinc/74/77/73/281747773.db2.gz GPEDXGSIDZACOB-UHFFFAOYSA-N -1 1 306.409 1.061 20 0 DDADMM CN1CC[C@@H]2OCCN(C(=O)c3s[n-]c(=O)c3Cl)[C@@H]2C1 ZINC000285055936 290168415 /nfs/dbraw/zinc/16/84/15/290168415.db2.gz QNWDVKRVTLEWLE-SFYZADRCSA-N -1 1 317.798 1.047 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c(C)[n-]c(=O)nc2SC)CC[C@H]1C ZINC000276264728 293824637 /nfs/dbraw/zinc/82/46/37/293824637.db2.gz NDVRRRDWCFAESB-PSASIEDQSA-N -1 1 311.407 1.710 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)C[C@H]1CCCCO1)C(=O)OCC ZINC000361420937 299864318 /nfs/dbraw/zinc/86/43/18/299864318.db2.gz QGLZYOSAELGTNF-NZTAEXDXSA-N -1 1 319.423 1.373 20 0 DDADMM COC[C@H](C)[C@@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000362852523 300160421 /nfs/dbraw/zinc/16/04/21/300160421.db2.gz RCTKCVFZVXJGNF-VHSXEESVSA-N -1 1 320.393 1.587 20 0 DDADMM CC[C@@H](CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363517464 300279497 /nfs/dbraw/zinc/27/94/97/300279497.db2.gz IWBFGJQUMGKQMM-GXTWGEPZSA-N -1 1 315.377 1.684 20 0 DDADMM O=C([N-]OCc1ccccc1)[C@@H]1CC(=O)N(c2ccccn2)C1 ZINC000366014035 300628202 /nfs/dbraw/zinc/62/82/02/300628202.db2.gz XJDBJNZZBOKBAD-CQSZACIVSA-N -1 1 311.341 1.683 20 0 DDADMM COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)c1cc(Cl)ccc1[O-] ZINC000368726207 301081861 /nfs/dbraw/zinc/08/18/61/301081861.db2.gz ZQGYOHKZAZNORK-NEPJUHHUSA-N -1 1 313.737 1.184 20 0 DDADMM Cc1n[n-]c(=NC(=O)N[C@H](c2nnc[nH]2)c2ccccc2)s1 ZINC000368774461 301088105 /nfs/dbraw/zinc/08/81/05/301088105.db2.gz HPVKVNGTYYXFMF-JTQLQIEISA-N -1 1 315.362 1.298 20 0 DDADMM O=C(N=c1[n-]nc2ccccn21)N1CCCC[C@H]1c1nnc[nH]1 ZINC000369894608 301235980 /nfs/dbraw/zinc/23/59/80/301235980.db2.gz WVVSHDOKBZFBMJ-JTQLQIEISA-N -1 1 312.337 1.028 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccccc2OC)C1 ZINC000376351442 302066744 /nfs/dbraw/zinc/06/67/44/302066744.db2.gz MZKGPVPLAVYJEC-LBPRGKRZSA-N -1 1 322.361 1.132 20 0 DDADMM CN(C(=O)N1CC[N@H+]2CCC[C@H]2[C@@H]1C(=O)[O-])C1CCCCC1 ZINC000377156587 302149671 /nfs/dbraw/zinc/14/96/71/302149671.db2.gz DVIWURYZFBOHKQ-UONOGXRCSA-N -1 1 309.410 1.604 20 0 DDADMM CO[C@@H](C)c1nsc(N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000377359466 302172082 /nfs/dbraw/zinc/17/20/82/302172082.db2.gz SNBRYDXAIQECQI-ZETCQYMHSA-N -1 1 311.367 1.718 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@@H](C3CC3)C1)c2=O ZINC000378130151 302282696 /nfs/dbraw/zinc/28/26/96/302282696.db2.gz LPKLNBHHJMWJBN-LLVKDONJSA-N -1 1 300.362 1.936 20 0 DDADMM Cc1cn2ccnc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)c2n1 ZINC000431490638 302328022 /nfs/dbraw/zinc/32/80/22/302328022.db2.gz MFFIYPLFXLMWOK-UHFFFAOYSA-N -1 1 311.227 1.427 20 0 DDADMM C[C@H](NC(=O)OC(C)(C)C)[C@H](C)Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000496016833 302513619 /nfs/dbraw/zinc/51/36/19/302513619.db2.gz UTSLPHVOTUTEHI-YUMQZZPRSA-N -1 1 322.369 1.146 20 0 DDADMM COC[C@](C)(O)C[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000517858185 302819599 /nfs/dbraw/zinc/81/95/99/302819599.db2.gz USVNUSLDDJHXEL-CYBMUJFWSA-N -1 1 317.338 1.248 20 0 DDADMM O=C(NCc1ccc(C2CC2)cc1)c1cc(=O)n2[n-]cnc2n1 ZINC000519617695 302844901 /nfs/dbraw/zinc/84/49/01/302844901.db2.gz SGQMQEHNEHNXFW-UHFFFAOYSA-N -1 1 309.329 1.225 20 0 DDADMM C[C@@H]1[C@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])[C@H]1C1CC1 ZINC000528951771 303074520 /nfs/dbraw/zinc/07/45/20/303074520.db2.gz RNIMULACGHFBIN-YLJLNXRRSA-N -1 1 310.375 1.270 20 0 DDADMM C[C@@H](Oc1ccc2c(c1)CCC2)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000531097637 303230259 /nfs/dbraw/zinc/23/02/59/303230259.db2.gz KMJXKHPIUAVSQU-SNVBAGLBSA-N -1 1 315.377 1.507 20 0 DDADMM C[C@@H](COc1ccccc1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000531611931 303251416 /nfs/dbraw/zinc/25/14/16/303251416.db2.gz XICDQCLNNMBMSB-LBPRGKRZSA-N -1 1 315.377 1.800 20 0 DDADMM C[C@@H](C(=O)NCc1cccs1)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000532881396 303300567 /nfs/dbraw/zinc/30/05/67/303300567.db2.gz WECLZARTFPKRPD-RYUDHWBXSA-N -1 1 310.419 1.940 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000533076435 303306872 /nfs/dbraw/zinc/30/68/72/303306872.db2.gz DBYKHGSLZARICQ-NEPJUHHUSA-N -1 1 313.357 1.422 20 0 DDADMM O=C(N[C@@H](CO)C1CCCCC1)c1csc(=NC2CC2)[n-]1 ZINC000537599440 303375401 /nfs/dbraw/zinc/37/54/01/303375401.db2.gz VFHPHXOHJCOGNO-LBPRGKRZSA-N -1 1 309.435 1.810 20 0 DDADMM Cc1cc(NC(=O)c2ccc(O)cc2[O-])ccc1-n1cnnn1 ZINC000540962405 303414742 /nfs/dbraw/zinc/41/47/42/303414742.db2.gz STRPWYOLXSJZJI-UHFFFAOYSA-N -1 1 311.301 1.634 20 0 DDADMM CC[C@@H](C)[C@H](C)N(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000541842036 303429894 /nfs/dbraw/zinc/42/98/94/303429894.db2.gz IQNCNHUWJUOYIC-ZJUUUORDSA-N -1 1 303.366 1.457 20 0 DDADMM Cc1csc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1Cl ZINC000361858147 307009341 /nfs/dbraw/zinc/00/93/41/307009341.db2.gz OEMSWFRYFWMZMO-UHFFFAOYSA-N -1 1 320.783 1.484 20 0 DDADMM Cc1csc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)c1Cl ZINC000361858147 307009342 /nfs/dbraw/zinc/00/93/42/307009342.db2.gz OEMSWFRYFWMZMO-UHFFFAOYSA-N -1 1 320.783 1.484 20 0 DDADMM CN(C)[C@]1(C(=O)N2CCC[C@@H](C(=O)[O-])C2)CCc2ccccc21 ZINC000364200704 307043380 /nfs/dbraw/zinc/04/33/80/307043380.db2.gz KIWRKZKWDYMBBR-RDTXWAMCSA-N -1 1 316.401 1.713 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCCO[C@@H]2CCC[C@@H]21 ZINC000369435775 307120142 /nfs/dbraw/zinc/12/01/42/307120142.db2.gz SYRNVTPIMMVPAW-UONOGXRCSA-N -1 1 313.357 1.707 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)c1ccc([O-])cc1F ZINC000370699019 307133070 /nfs/dbraw/zinc/13/30/70/307133070.db2.gz KTSCODROXGUDQA-GWCFXTLKSA-N -1 1 311.309 1.324 20 0 DDADMM CCC(=O)N1CCC[C@H]1[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000373515685 307189613 /nfs/dbraw/zinc/18/96/13/307189613.db2.gz ZQMXXHWXMHHLGT-QWHCGFSZSA-N -1 1 317.389 1.793 20 0 DDADMM O=C([O-])c1ccccc1OCC[N@H+]1C[C@@H]2CCC[C@@]2(C(=O)[O-])C1 ZINC000373943758 307198290 /nfs/dbraw/zinc/19/82/90/307198290.db2.gz VWBUHCDBYQMYKJ-YVEFUNNKSA-N -1 1 319.357 1.950 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCNC(=O)[C@H]1c1cccs1 ZINC000376816547 307256967 /nfs/dbraw/zinc/25/69/67/307256967.db2.gz RXOWJWHQKSSTTP-CYBMUJFWSA-N -1 1 320.345 1.906 20 0 DDADMM CC[C@H](CO)N(C)C(=O)c1cc(Br)ccc1[O-] ZINC000379013467 307292641 /nfs/dbraw/zinc/29/26/41/307292641.db2.gz PHEGJGMGOGPKTH-SECBINFHSA-N -1 1 302.168 1.998 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)N2CCNC[C@@H]2C(C)C)s1 ZINC000528666741 307540800 /nfs/dbraw/zinc/54/08/00/307540800.db2.gz YVVFBKWSFFVAMF-LLVKDONJSA-N -1 1 311.455 1.764 20 0 DDADMM CC(C)[C@H]1CN(CC(=O)N2CCC(C(=O)[O-])CC2)CCS1 ZINC000567173403 308074588 /nfs/dbraw/zinc/07/45/88/308074588.db2.gz YSJOGCCVXQJJFA-CYBMUJFWSA-N -1 1 314.451 1.383 20 0 DDADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000568225698 308112921 /nfs/dbraw/zinc/11/29/21/308112921.db2.gz NSSLBFCPDXZQAP-SFYZADRCSA-N -1 1 320.299 1.227 20 0 DDADMM COc1cc(CN2CCC([C@@H]3OCC[C@H]3C(=O)[O-])CC2)ccn1 ZINC000569130032 308134596 /nfs/dbraw/zinc/13/45/96/308134596.db2.gz SMKIXOHKYCZMMZ-ZBFHGGJFSA-N -1 1 320.389 1.792 20 0 DDADMM COc1ccc(C[C@H](C)N=c2[nH]c(C(=O)[O-])co2)cc1OC ZINC000575752558 308278787 /nfs/dbraw/zinc/27/87/87/308278787.db2.gz NOTPRLCUGHGZEJ-VIFPVBQESA-N -1 1 306.318 1.855 20 0 DDADMM CC(C)N(CC(F)(F)F)C(=O)CN1C[C@@H](C(=O)[O-])CC[C@H]1C ZINC000576583964 308324769 /nfs/dbraw/zinc/32/47/69/308324769.db2.gz KHJGYBOUXPBDKS-MNOVXSKESA-N -1 1 324.343 1.971 20 0 DDADMM O=C(NCc1n[nH]cc1Br)C(=O)c1ccc([O-])cc1 ZINC000577785814 308414098 /nfs/dbraw/zinc/41/40/98/308414098.db2.gz RFIBHSQQNGPHEC-UHFFFAOYSA-N -1 1 324.134 1.377 20 0 DDADMM CC(C)(C)CC[C@H](NS(=O)(=O)CCN1CCCC1)C(=O)[O-] ZINC000582595026 337111237 /nfs/dbraw/zinc/11/12/37/337111237.db2.gz HMIGGXICYVWQAM-LBPRGKRZSA-N -1 1 320.455 1.281 20 0 DDADMM CC(C)(C)c1nc(CN2CC[C@](C(=O)[O-])(C(F)(F)F)C2)n[nH]1 ZINC000582969889 337224417 /nfs/dbraw/zinc/22/44/17/337224417.db2.gz HKNPXNJNNROQMY-LBPRGKRZSA-N -1 1 320.315 1.941 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2cccc3c(C)ccnc32)n1 ZINC000583732936 337327069 /nfs/dbraw/zinc/32/70/69/337327069.db2.gz YRIHBOYLHMNBMX-UHFFFAOYSA-N -1 1 318.358 1.713 20 0 DDADMM Cc1cc(C)c([C@@H](C)N2CCN(C(=O)C(=O)[O-])CC2)c(C)c1 ZINC000396725550 483999180 /nfs/dbraw/zinc/99/91/80/483999180.db2.gz YGFFNYWXLNWWRR-CQSZACIVSA-N -1 1 304.390 1.902 20 0 DDADMM O=C(N[C@@H](CO)Cc1ccncc1)c1cc(Cl)ccc1[O-] ZINC000436994431 484134648 /nfs/dbraw/zinc/13/46/48/484134648.db2.gz MYELUWMLACTFMB-GFCCVEGCSA-N -1 1 306.749 1.774 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1n[nH]c(SC)n1)C1CCCC1 ZINC000656729018 484305684 /nfs/dbraw/zinc/30/56/84/484305684.db2.gz SATNBNSWZNFGNI-SECBINFHSA-N -1 1 320.440 1.474 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]C(C)(C)C(C)(F)F)[C@H]1CCOC1 ZINC000656735658 484308842 /nfs/dbraw/zinc/30/88/42/484308842.db2.gz SYLPXUXZYHTMOC-UWVGGRQHSA-N -1 1 315.382 1.391 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cn2cc(Cl)cc(Cl)c2n1 ZINC000669603659 484582870 /nfs/dbraw/zinc/58/28/70/484582870.db2.gz CREUGTQSNJGJTG-UHFFFAOYSA-N -1 1 312.120 1.084 20 0 DDADMM CC1(C)C[C@@]1(C(=O)[O-])C(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000663121525 484685345 /nfs/dbraw/zinc/68/53/45/484685345.db2.gz KRHJUDSHKXTNDV-DYVFJYSZSA-N -1 1 324.421 1.201 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CC[C@@H](O)[C@H]1C)c2=O ZINC000665562911 484939291 /nfs/dbraw/zinc/93/92/91/484939291.db2.gz NQXADPANRLNSBN-YMTOWFKASA-N -1 1 302.330 1.132 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CC[C@H](C)O2)c(F)c1 ZINC000668239548 485047278 /nfs/dbraw/zinc/04/72/78/485047278.db2.gz KCGVTEBMDKJYKD-DTWKUNHWSA-N -1 1 321.345 1.819 20 0 DDADMM O=C(N[C@H]1CCNC(=O)CC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000666019371 485049080 /nfs/dbraw/zinc/04/90/80/485049080.db2.gz LGFWRSRNKDIHBL-SECBINFHSA-N -1 1 316.279 1.810 20 0 DDADMM O=S(=O)(CC(F)F)c1nc(-c2ccc3c(c2)CCC3)n[n-]1 ZINC000685901000 485482402 /nfs/dbraw/zinc/48/24/02/485482402.db2.gz HVMAJOPOHOSHNB-UHFFFAOYSA-N -1 1 313.329 1.999 20 0 DDADMM O=S(=O)(CC(F)F)c1n[n-]c(-c2ccc3c(c2)CCC3)n1 ZINC000685901000 485482412 /nfs/dbraw/zinc/48/24/12/485482412.db2.gz HVMAJOPOHOSHNB-UHFFFAOYSA-N -1 1 313.329 1.999 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CCO1 ZINC000682597454 485695575 /nfs/dbraw/zinc/69/55/75/485695575.db2.gz NACOLWGMEZLNEG-JTQLQIEISA-N -1 1 309.366 1.233 20 0 DDADMM Cc1noc(C)c1CCS(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000683688824 486081165 /nfs/dbraw/zinc/08/11/65/486081165.db2.gz YICRSWSILLQXAE-UHFFFAOYSA-N -1 1 312.395 1.723 20 0 DDADMM CC(C)OCCCC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000680318938 486145784 /nfs/dbraw/zinc/14/57/84/486145784.db2.gz UJDNQASJSZXSGM-CQSZACIVSA-N -1 1 303.366 1.611 20 0 DDADMM COc1ccc(CNC(=O)CNC2(C(=O)[O-])CCCC2)cc1 ZINC000676230373 486151883 /nfs/dbraw/zinc/15/18/83/486151883.db2.gz CCGRUECCTUDLTO-UHFFFAOYSA-N -1 1 306.362 1.298 20 0 DDADMM CC[C@H](O)CC[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676415935 486221469 /nfs/dbraw/zinc/22/14/69/486221469.db2.gz JXYBJODQUUOPPE-QMMMGPOBSA-N -1 1 309.334 1.413 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1CC(C)(C)C1 ZINC000684081872 486240872 /nfs/dbraw/zinc/24/08/72/486240872.db2.gz NJJIBEPVWPDXLY-UHFFFAOYSA-N -1 1 312.373 1.964 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc([O-])c(F)c2)C[C@@]2(CCCOC2)O1 ZINC000684622123 486399435 /nfs/dbraw/zinc/39/94/35/486399435.db2.gz WVEZUZKYFUIYNR-MEDUHNTESA-N -1 1 309.337 1.941 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H]2CCC[C@H]21 ZINC000681762633 486518208 /nfs/dbraw/zinc/51/82/08/486518208.db2.gz PTGAZIJNKLTDKQ-GXTWGEPZSA-N -1 1 314.389 1.995 20 0 DDADMM Cc1oc2nc[nH]c(=O)c2c1C(=O)[N-]c1nc2ccccn2n1 ZINC000677804155 486544659 /nfs/dbraw/zinc/54/46/59/486544659.db2.gz FCEZFYLURYRUHS-UHFFFAOYSA-N -1 1 310.273 1.532 20 0 DDADMM CCc1cccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1O ZINC000677925188 486579617 /nfs/dbraw/zinc/57/96/17/486579617.db2.gz BZDOSFYLILNXDP-LLVKDONJSA-N -1 1 301.350 1.488 20 0 DDADMM O=C(Nc1nnn[n-]1)C1(c2ccc3c(c2)OCCO3)CCCC1 ZINC000073120882 490582861 /nfs/dbraw/zinc/58/28/61/490582861.db2.gz JBTXEZVWJVNTBE-UHFFFAOYSA-N -1 1 315.333 1.421 20 0 DDADMM O=C(Nc1nn[n-]n1)C1(c2ccc3c(c2)OCCO3)CCCC1 ZINC000073120882 490582863 /nfs/dbraw/zinc/58/28/63/490582863.db2.gz JBTXEZVWJVNTBE-UHFFFAOYSA-N -1 1 315.333 1.421 20 0 DDADMM CCCc1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)[nH]n1 ZINC000673854121 490660778 /nfs/dbraw/zinc/66/07/78/490660778.db2.gz OCIRGWWJNYUCCP-ZDUSSCGKSA-N -1 1 311.349 1.395 20 0 DDADMM CSC[C@@H]1CCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294818259 533747740 /nfs/dbraw/zinc/74/77/40/533747740.db2.gz CMYGHDJINRCADT-JTQLQIEISA-N -1 1 308.432 1.986 20 0 DDADMM COC(=O)NCCC(=O)Nc1nc(Br)ccc1[O-] ZINC000492573203 534080544 /nfs/dbraw/zinc/08/05/44/534080544.db2.gz UNJDKEMDMVVDTR-UHFFFAOYSA-N -1 1 318.127 1.234 20 0 DDADMM C[C@@H](CO)[C@H](C)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451230212 534147603 /nfs/dbraw/zinc/14/76/03/534147603.db2.gz NOYWZDRRDVMREA-IUCAKERBSA-N -1 1 301.339 1.867 20 0 DDADMM C[C@@H]1CC[C@@H](CCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)O1 ZINC000331396877 534153550 /nfs/dbraw/zinc/15/35/50/534153550.db2.gz KWPYWJJTOXQJNR-PWSUYJOCSA-N -1 1 309.366 1.829 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCC(C)(F)F)s1 ZINC000451609352 534239041 /nfs/dbraw/zinc/23/90/41/534239041.db2.gz CZYVUNOYSMUSQQ-UHFFFAOYSA-N -1 1 313.347 1.858 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)NCCC(N)=O)c([O-])c1 ZINC000491935348 526495535 /nfs/dbraw/zinc/49/55/35/526495535.db2.gz YOWAEOPERONSAU-UHFFFAOYSA-N -1 1 323.349 1.344 20 0 DDADMM CC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2c(F)cc(C)cc2F)C1 ZINC000425165497 526651465 /nfs/dbraw/zinc/65/14/65/526651465.db2.gz GQCYETSAZBUANL-SNVBAGLBSA-N -1 1 318.345 1.172 20 0 DDADMM CC(C)(C)[C@H]1CCC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000412807724 526724624 /nfs/dbraw/zinc/72/46/24/526724624.db2.gz GFWRFFCMPUIAEW-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM CC(C)(C)c1nc(CNC(=O)NCCC(C)(C)C(=O)[O-])n[nH]1 ZINC000424401128 526843571 /nfs/dbraw/zinc/84/35/71/526843571.db2.gz YCYCSOUGQBLTTC-UHFFFAOYSA-N -1 1 311.386 1.402 20 0 DDADMM CC(C)(CCNC(=O)c1ccc(Cl)cc1[O-])S(C)(=O)=O ZINC000412658562 527046984 /nfs/dbraw/zinc/04/69/84/527046984.db2.gz QYNGNNLRHHQMCK-UHFFFAOYSA-N -1 1 319.810 1.989 20 0 DDADMM CC(C)(NC(=O)C=Cc1ccccc1-n1cccn1)c1nn[n-]n1 ZINC000492037538 527261570 /nfs/dbraw/zinc/26/15/70/527261570.db2.gz DBFGLUSEIRJFAC-CMDGGOBGSA-N -1 1 323.360 1.450 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)OCCc1ccccc1)c1nn[n-]n1 ZINC000294978679 528194618 /nfs/dbraw/zinc/19/46/18/528194618.db2.gz OKZKWUYSGIJYAS-OCCSQVGLSA-N -1 1 317.393 1.805 20 0 DDADMM CCN(CC)S(=O)(=O)[N-]c1ccn(CCC(F)(F)F)n1 ZINC000424089318 528217594 /nfs/dbraw/zinc/21/75/94/528217594.db2.gz VQCXLZPAQJKQTC-UHFFFAOYSA-N -1 1 314.333 1.834 20 0 DDADMM CCOC(=O)c1n[n-]c(-c2cccc(CNC(=O)NC)c2)n1 ZINC000432640791 528368201 /nfs/dbraw/zinc/36/82/01/528368201.db2.gz BRRRVOAXKIZQKD-UHFFFAOYSA-N -1 1 303.322 1.077 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCN2C(=O)N[C@H](C)C2=O)n1 ZINC000412978004 528614184 /nfs/dbraw/zinc/61/41/84/528614184.db2.gz MJALITSFLKATIK-MRVPVSSYSA-N -1 1 310.379 1.167 20 0 DDADMM CCCCS(=O)(=O)[N-][C@]1(C(=O)OC)C[C@H](OCC)C1(C)C ZINC000444274969 528726654 /nfs/dbraw/zinc/72/66/54/528726654.db2.gz GNCNCXXELCWNRD-FZMZJTMJSA-N -1 1 321.439 1.453 20 0 DDADMM CC(C)N(CC1CCC1)C(=O)CNC(=O)c1ncccc1[O-] ZINC000444318836 528872656 /nfs/dbraw/zinc/87/26/56/528872656.db2.gz POHVUWAXAHBMQG-UHFFFAOYSA-N -1 1 305.378 1.554 20 0 DDADMM CCC[C@@H](NC(=O)CCOc1cccc(OC)c1)c1nn[n-]n1 ZINC000294885940 528972564 /nfs/dbraw/zinc/97/25/64/528972564.db2.gz IMBRSRRLLWZNBH-CYBMUJFWSA-N -1 1 319.365 1.635 20 0 DDADMM CCS[C@H]1CCCC[C@H]1NS(=O)(=O)c1c(C)o[n-]c1=N ZINC000330898218 529227920 /nfs/dbraw/zinc/22/79/20/529227920.db2.gz UVHWTDGEFGENFY-ZJUUUORDSA-N -1 1 319.452 1.738 20 0 DDADMM CCS[C@H]1CCCC[C@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000330898218 529227921 /nfs/dbraw/zinc/22/79/21/529227921.db2.gz UVHWTDGEFGENFY-ZJUUUORDSA-N -1 1 319.452 1.738 20 0 DDADMM CCCCc1ccc(CC(=O)NCCCc2nc(=O)[n-][nH]2)cc1 ZINC000174580316 535087697 /nfs/dbraw/zinc/08/76/97/535087697.db2.gz LEAXOKPPZCYDNP-UHFFFAOYSA-N -1 1 316.405 1.732 20 0 DDADMM Fc1cccc(F)c1[C@@H]1C[C@@H]1Nc1nccnc1-c1nnn[n-]1 ZINC000737659951 599171902 /nfs/dbraw/zinc/17/19/02/599171902.db2.gz GNZUIZPEVJJQQR-XCBNKYQSSA-N -1 1 315.287 1.903 20 0 DDADMM Fc1cccc(F)c1[C@@H]1C[C@@H]1Nc1nccnc1-c1nn[n-]n1 ZINC000737659951 599171906 /nfs/dbraw/zinc/17/19/06/599171906.db2.gz GNZUIZPEVJJQQR-XCBNKYQSSA-N -1 1 315.287 1.903 20 0 DDADMM Cc1cccc(NC(=O)NC(=O)CN[C@H](C(=O)[O-])C(C)C)c1C ZINC000818950851 597110367 /nfs/dbraw/zinc/11/03/67/597110367.db2.gz RZBWBXAKRJDXMW-AWEZNQCLSA-N -1 1 321.377 1.650 20 0 DDADMM O[C@H]1CCCC[C@@H]1CCCNc1nccnc1-c1nnn[n-]1 ZINC000823706961 607306525 /nfs/dbraw/zinc/30/65/25/607306525.db2.gz SPYCOAZQABMOMI-MNOVXSKESA-N -1 1 303.370 1.400 20 0 DDADMM O[C@H]1CCCC[C@@H]1CCCNc1nccnc1-c1nn[n-]n1 ZINC000823706961 607306526 /nfs/dbraw/zinc/30/65/26/607306526.db2.gz SPYCOAZQABMOMI-MNOVXSKESA-N -1 1 303.370 1.400 20 0 DDADMM C[C@@H](CC(=O)[O-])N[C@@H](C(N)=O)c1cccc(Br)c1 ZINC000820071738 597513546 /nfs/dbraw/zinc/51/35/46/597513546.db2.gz GEFSFZOPKPIJPU-WRWORJQWSA-N -1 1 315.167 1.428 20 0 DDADMM CC(=O)NCCN(Cc1cccc(Cl)c1F)[C@@H](C)C(=O)[O-] ZINC000263446306 597703683 /nfs/dbraw/zinc/70/36/83/597703683.db2.gz XZCWWMHEFJRLCB-VIFPVBQESA-N -1 1 316.760 1.890 20 0 DDADMM O=C([O-])CCCCN1CCN(CC(F)(F)C(F)F)CC1 ZINC000738570662 597780786 /nfs/dbraw/zinc/78/07/86/597780786.db2.gz BDGGDYAJZLMBQL-UHFFFAOYSA-N -1 1 300.296 1.759 20 0 DDADMM C[C@@H](NCC(=O)NCC(=O)[O-])c1ccc(-c2ccncc2)cc1 ZINC000820141445 597808835 /nfs/dbraw/zinc/80/88/35/597808835.db2.gz DEBDYOYJZBXLDG-GFCCVEGCSA-N -1 1 313.357 1.600 20 0 DDADMM CC(C)[C@@H](NCC(=O)Nc1ccc(OC(F)F)cc1)C(=O)[O-] ZINC000820041708 598188722 /nfs/dbraw/zinc/18/87/22/598188722.db2.gz NVFOLSTVPHMEKJ-GFCCVEGCSA-N -1 1 316.304 1.925 20 0 DDADMM C[C@@H]1Oc2ccc(Nc3nccnc3-c3nnn[n-]3)cc2NC1=O ZINC000736301515 598338878 /nfs/dbraw/zinc/33/88/78/598338878.db2.gz NYWAVEIIJNJSGX-ZETCQYMHSA-N -1 1 324.304 1.120 20 0 DDADMM C[C@@H]1Oc2ccc(Nc3nccnc3-c3nn[n-]n3)cc2NC1=O ZINC000736301515 598338880 /nfs/dbraw/zinc/33/88/80/598338880.db2.gz NYWAVEIIJNJSGX-ZETCQYMHSA-N -1 1 324.304 1.120 20 0 DDADMM CC(C)(C)c1nc(C(=O)n2ncc(-c3nn[n-]n3)c2N)cs1 ZINC000735657611 598357322 /nfs/dbraw/zinc/35/73/22/598357322.db2.gz RZKZOHJQJUJUJC-UHFFFAOYSA-N -1 1 318.366 1.088 20 0 DDADMM C[C@H](C(=O)n1ncc(-c2nn[n-]n2)c1N)c1ccccc1F ZINC000735571882 598561544 /nfs/dbraw/zinc/56/15/44/598561544.db2.gz LNTANQDZXFYFJC-ZETCQYMHSA-N -1 1 301.285 1.228 20 0 DDADMM Oc1ccc(C2=CCN(c3nccnc3-c3nnn[n-]3)CC2)cc1 ZINC000738396032 598601249 /nfs/dbraw/zinc/60/12/49/598601249.db2.gz DMDQVNKVBNJMOG-UHFFFAOYSA-N -1 1 321.344 1.656 20 0 DDADMM Oc1ccc(C2=CCN(c3nccnc3-c3nn[n-]n3)CC2)cc1 ZINC000738396032 598601250 /nfs/dbraw/zinc/60/12/50/598601250.db2.gz DMDQVNKVBNJMOG-UHFFFAOYSA-N -1 1 321.344 1.656 20 0 DDADMM CCCN(CC)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000736469948 598693039 /nfs/dbraw/zinc/69/30/39/598693039.db2.gz GVWMBMGQGKJDKP-UHFFFAOYSA-N -1 1 313.358 1.426 20 0 DDADMM CC(=CC(=O)n1ncc(-c2nn[n-]n2)c1N)c1cccc(F)c1 ZINC000735448053 598718302 /nfs/dbraw/zinc/71/83/02/598718302.db2.gz OEWUAKQPWOROIA-VMPITWQZSA-N -1 1 313.296 1.528 20 0 DDADMM CCCCOCCn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000736463940 598719256 /nfs/dbraw/zinc/71/92/56/598719256.db2.gz TUOGHAOVHKJRLS-UHFFFAOYSA-N -1 1 313.361 1.998 20 0 DDADMM CC[C@@H](C)C[C@@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820870619 599364993 /nfs/dbraw/zinc/36/49/93/599364993.db2.gz WHLCDDGDDSWWLY-NXEZZACHSA-N -1 1 324.410 1.365 20 0 DDADMM CC[C@@H](C)C[C@@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820870619 599364995 /nfs/dbraw/zinc/36/49/95/599364995.db2.gz WHLCDDGDDSWWLY-NXEZZACHSA-N -1 1 324.410 1.365 20 0 DDADMM c1ccc2c(c1)OC[C@H](CNc1cccc(-c3nnn[n-]3)n1)O2 ZINC000738412245 599396541 /nfs/dbraw/zinc/39/65/41/599396541.db2.gz CZJMQOMOOPARDW-JTQLQIEISA-N -1 1 310.317 1.514 20 0 DDADMM c1ccc2c(c1)OC[C@H](CNc1cccc(-c3nn[n-]n3)n1)O2 ZINC000738412245 599396543 /nfs/dbraw/zinc/39/65/43/599396543.db2.gz CZJMQOMOOPARDW-JTQLQIEISA-N -1 1 310.317 1.514 20 0 DDADMM c1cnc(N2CCC[C@H](Nc3cccc(-c4nnn[n-]4)n3)C2)nc1 ZINC000738446035 599413926 /nfs/dbraw/zinc/41/39/26/599413926.db2.gz RRXHYUMJKLTQOT-NSHDSACASA-N -1 1 323.364 1.133 20 0 DDADMM c1cnc(N2CCC[C@H](Nc3cccc(-c4nn[n-]n4)n3)C2)nc1 ZINC000738446035 599413929 /nfs/dbraw/zinc/41/39/29/599413929.db2.gz RRXHYUMJKLTQOT-NSHDSACASA-N -1 1 323.364 1.133 20 0 DDADMM C[C@H](Nc1cccc(-c2nnn[n-]2)n1)C(=O)N1CCCC[C@H]1C ZINC000736139222 599423791 /nfs/dbraw/zinc/42/37/91/599423791.db2.gz ULRNETYXFFJSBD-MNOVXSKESA-N -1 1 315.381 1.463 20 0 DDADMM C[C@H](Nc1cccc(-c2nn[n-]n2)n1)C(=O)N1CCCC[C@H]1C ZINC000736139222 599423792 /nfs/dbraw/zinc/42/37/92/599423792.db2.gz ULRNETYXFFJSBD-MNOVXSKESA-N -1 1 315.381 1.463 20 0 DDADMM COc1ccccc1[C@H](CO)NCc1ccc(-c2nnn[n-]2)o1 ZINC000821882941 599443612 /nfs/dbraw/zinc/44/36/12/599443612.db2.gz NVPYDXRNLPBHII-LBPRGKRZSA-N -1 1 315.333 1.292 20 0 DDADMM COc1ccccc1[C@H](CO)NCc1ccc(-c2nn[n-]n2)o1 ZINC000821882941 599443614 /nfs/dbraw/zinc/44/36/14/599443614.db2.gz NVPYDXRNLPBHII-LBPRGKRZSA-N -1 1 315.333 1.292 20 0 DDADMM CN(Cc1cc[nH]n1)c1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821608905 599552833 /nfs/dbraw/zinc/55/28/33/599552833.db2.gz ZEVJOVFTOOBUKL-UHFFFAOYSA-N -1 1 306.333 1.774 20 0 DDADMM CN(C)[C@@H](CNC(=O)CCSCC(=O)[O-])c1ccsc1 ZINC000737360396 599961837 /nfs/dbraw/zinc/96/18/37/599961837.db2.gz XRHRSBCBWMVZRM-NSHDSACASA-N -1 1 316.448 1.675 20 0 DDADMM O=C([O-])[C@@H]1Cc2ccccc2CN1C(=O)CN1CCCCC1 ZINC000739628116 600002873 /nfs/dbraw/zinc/00/28/73/600002873.db2.gz YGIPNZZMHLKFKK-HNNXBMFYSA-N -1 1 302.374 1.510 20 0 DDADMM CSc1n[nH]c(NC(=O)CCc2ccc(C(=O)[O-])cc2)n1 ZINC000738215294 600066972 /nfs/dbraw/zinc/06/69/72/600066972.db2.gz KHLWVKYTHIKUMB-UHFFFAOYSA-N -1 1 306.347 1.796 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)NCCN1CCc2ccccc2C1 ZINC000736331667 600153746 /nfs/dbraw/zinc/15/37/46/600153746.db2.gz NCCMVBLYYLSGEK-UHFFFAOYSA-N -1 1 305.378 1.207 20 0 DDADMM O=C([O-])CCc1nnc(NC(=O)c2cccc3c[nH]nc32)s1 ZINC000739778501 600204750 /nfs/dbraw/zinc/20/47/50/600204750.db2.gz UMHADGVAAGGCTA-UHFFFAOYSA-N -1 1 317.330 1.684 20 0 DDADMM CC(C)[C@]1(C)CC(=O)N(CN2CCCC[C@H]2CC(=O)[O-])C1=O ZINC000736390982 600259760 /nfs/dbraw/zinc/25/97/60/600259760.db2.gz MNIMXJZLCVUCIO-LRDDRELGSA-N -1 1 310.394 1.694 20 0 DDADMM CC[C@@H]1CN(C[C@@H](O)COc2ccc(C(=O)[O-])cc2)C[C@@H](C)O1 ZINC000736945435 600332050 /nfs/dbraw/zinc/33/20/50/600332050.db2.gz XNOSHTHFYAYCFH-BPLDGKMQSA-N -1 1 323.389 1.624 20 0 DDADMM CN([C@H]1CCN(C2CC2)C1)S(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000737387876 600348932 /nfs/dbraw/zinc/34/89/32/600348932.db2.gz VPMNGUAXNCVBIA-ZDUSSCGKSA-N -1 1 324.402 1.242 20 0 DDADMM CC[C@]1(C(C)C)NC(=O)N(CN(CCC(=O)[O-])C(C)C)C1=O ZINC000736929608 600418096 /nfs/dbraw/zinc/41/80/96/600418096.db2.gz NFBPOXHUWKDLKK-OAHLLOKOSA-N -1 1 313.398 1.486 20 0 DDADMM O=C([O-])[C@@H](c1ccc(F)cc1)N1CCN(C[C@H]2CCCO2)CC1 ZINC000739517686 600430094 /nfs/dbraw/zinc/43/00/94/600430094.db2.gz QIBAFBMQHYFFEO-HZPDHXFCSA-N -1 1 322.380 1.748 20 0 DDADMM O=C([O-])C[C@H]1CCCCN1CN1C[C@H](c2ccccn2)CC1=O ZINC000739640323 600637645 /nfs/dbraw/zinc/63/76/45/600637645.db2.gz ZSUKDNZTAGQNMN-ZIAGYGMSSA-N -1 1 317.389 1.684 20 0 DDADMM C[C@H]1CCN(CCNS(=O)(=O)c2cc(C(=O)[O-])cs2)C1 ZINC000828290060 600792445 /nfs/dbraw/zinc/79/24/45/600792445.db2.gz RRASLCAUHPJPAD-VIFPVBQESA-N -1 1 318.420 1.066 20 0 DDADMM C[C@@H]1CC[C@@H](C)N1CC(=O)N1C[C@H](C(=O)[O-])Oc2ccccc21 ZINC000828214508 600881510 /nfs/dbraw/zinc/88/15/10/600881510.db2.gz OVMYQXLYSIJTON-LALPHHSUSA-N -1 1 318.373 1.738 20 0 DDADMM Cc1nnc(SCCC(=O)N2CCC3(C[C@@H]3C(=O)[O-])CC2)[nH]1 ZINC000833021514 600953066 /nfs/dbraw/zinc/95/30/66/600953066.db2.gz NZIOKSNDEIOETN-SNVBAGLBSA-N -1 1 324.406 1.309 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN1CC[C@@H](CCO)C1 ZINC000738531648 600971575 /nfs/dbraw/zinc/97/15/75/600971575.db2.gz JQHCUIHNLRMTMS-LBPRGKRZSA-N -1 1 306.362 1.336 20 0 DDADMM O=C([O-])c1cccc(OCCN2CC[C@@](O)(C(F)(F)F)C2)c1 ZINC000318989115 601026770 /nfs/dbraw/zinc/02/67/70/601026770.db2.gz HBIQLXCWQGUJCC-ZDUSSCGKSA-N -1 1 319.279 1.763 20 0 DDADMM C[C@](NCC(=O)NCc1cccc(Cl)c1)(C(=O)[O-])C1CC1 ZINC000827728629 601036549 /nfs/dbraw/zinc/03/65/49/601036549.db2.gz UFHFSJLEOQTBCX-OAHLLOKOSA-N -1 1 310.781 1.799 20 0 DDADMM O=C([O-])c1cccc(NC(=O)CN2CCC3(C2)CCOCC3)c1 ZINC000833104821 601051819 /nfs/dbraw/zinc/05/18/19/601051819.db2.gz GJPLEJSWTPXCFB-UHFFFAOYSA-N -1 1 318.373 1.826 20 0 DDADMM CCC1(O)CCN(Cn2ncc3cc(C(=O)[O-])ccc32)CC1 ZINC000736931702 601092356 /nfs/dbraw/zinc/09/23/56/601092356.db2.gz IGBBZXASAABJNN-UHFFFAOYSA-N -1 1 303.362 1.929 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)Nc2cccc(F)c2)CC1 ZINC000827369108 601123284 /nfs/dbraw/zinc/12/32/84/601123284.db2.gz OIZWLNZVELOTLZ-LLVKDONJSA-N -1 1 309.341 1.838 20 0 DDADMM c1coc([C@@H]2COCCN2Cc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000826526473 607503068 /nfs/dbraw/zinc/50/30/68/607503068.db2.gz ZWMAIQZQPFHNLH-ZDUSSCGKSA-N -1 1 312.333 1.428 20 0 DDADMM c1coc([C@@H]2COCCN2Cc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000826526473 607503069 /nfs/dbraw/zinc/50/30/69/607503069.db2.gz ZWMAIQZQPFHNLH-ZDUSSCGKSA-N -1 1 312.333 1.428 20 0 DDADMM C[C@H](Sc1ccccc1C(=O)NCc1c[nH]nn1)C(=O)[O-] ZINC000827917070 601390897 /nfs/dbraw/zinc/39/08/97/601390897.db2.gz YLTDUDBWLKXMDV-QMMMGPOBSA-N -1 1 306.347 1.300 20 0 DDADMM CCC1CCC(NCC(=O)N2CCO[C@H](C)C2)(C(=O)[O-])CC1 ZINC000829101551 601494083 /nfs/dbraw/zinc/49/40/83/601494083.db2.gz ROSFOQHRIWFZEB-VEAWUBTESA-N -1 1 312.410 1.247 20 0 DDADMM O=C([O-])[C@]1(F)CCN(CCC(=O)Nc2cc(F)cc(F)c2)C1 ZINC000833100704 601554274 /nfs/dbraw/zinc/55/42/74/601554274.db2.gz YVZLXOLHJLDZMJ-AWEZNQCLSA-N -1 1 316.279 1.792 20 0 DDADMM Cc1cc(CC(=O)N2C[C@@H](C(=O)[O-])Oc3ccccc32)n[nH]1 ZINC000832882138 601651509 /nfs/dbraw/zinc/65/15/09/601651509.db2.gz ZLIACWLIFNOFLK-ZDUSSCGKSA-N -1 1 301.302 1.139 20 0 DDADMM CCCCC[C@@H](NC(=O)CSc1nnc(C)[nH]1)C(=O)[O-] ZINC000829320875 601746257 /nfs/dbraw/zinc/74/62/57/601746257.db2.gz GSSZRDAEBCTDJW-SECBINFHSA-N -1 1 300.384 1.355 20 0 DDADMM CCCCC[C@@H](NC(=O)CSc1nc(C)n[nH]1)C(=O)[O-] ZINC000829320875 601746258 /nfs/dbraw/zinc/74/62/58/601746258.db2.gz GSSZRDAEBCTDJW-SECBINFHSA-N -1 1 300.384 1.355 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000739326089 601892072 /nfs/dbraw/zinc/89/20/72/601892072.db2.gz MCNFHRWLDUDEPS-VIFPVBQESA-N -1 1 304.310 1.242 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(Cc2cc(F)cc3c2OCOC3)C1 ZINC000740642815 601951641 /nfs/dbraw/zinc/95/16/41/601951641.db2.gz MAXNJFITLKIOEW-JTQLQIEISA-N -1 1 310.325 1.782 20 0 DDADMM Cc1ccc2nc(CN3CC[C@@H](CNC(=O)[O-])C3)cc(=O)n2c1 ZINC000740157042 601962187 /nfs/dbraw/zinc/96/21/87/601962187.db2.gz MEKRPKPRZJTTKY-LBPRGKRZSA-N -1 1 316.361 1.092 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)c1cccs1 ZINC000833127348 602024303 /nfs/dbraw/zinc/02/43/03/602024303.db2.gz YWAANDQAHALTDX-PRHODGIISA-N -1 1 305.359 1.833 20 0 DDADMM CN(C[C@H]1CCCN(C(=O)N[C@H]2CCCN(C)C2)C1)C(=O)[O-] ZINC000739731044 602131193 /nfs/dbraw/zinc/13/11/93/602131193.db2.gz VODRQENYNURDQF-OLZOCXBDSA-N -1 1 312.414 1.112 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000739235312 602152048 /nfs/dbraw/zinc/15/20/48/602152048.db2.gz QEVNVKNABXWRMI-GWCFXTLKSA-N -1 1 317.349 1.888 20 0 DDADMM O=C([O-])N1CCC[C@H]1CNCc1nccn1CC(F)(F)F ZINC000740524494 602184783 /nfs/dbraw/zinc/18/47/83/602184783.db2.gz WACRRPAUCRCULG-VIFPVBQESA-N -1 1 306.288 1.677 20 0 DDADMM CCN(C)[C@H](C(=O)NCCN(C(=O)[O-])C1CC1)c1ccccc1 ZINC000739384354 602320249 /nfs/dbraw/zinc/32/02/49/602320249.db2.gz FAEWPOOQYURERK-HNNXBMFYSA-N -1 1 319.405 1.938 20 0 DDADMM CN(CC1CCN(CC(=O)N2CCCCCC2)CC1)C(=O)[O-] ZINC000739662034 602388073 /nfs/dbraw/zinc/38/80/73/602388073.db2.gz YKPQHNGNNJZIAZ-UHFFFAOYSA-N -1 1 311.426 1.711 20 0 DDADMM C[C@H](C(=O)N1CCN(C(=O)[O-])CC1)N1CCc2ccccc2C1 ZINC000736206845 602436113 /nfs/dbraw/zinc/43/61/13/602436113.db2.gz YTAYINKWKZPRPM-CYBMUJFWSA-N -1 1 317.389 1.255 20 0 DDADMM CCN(CC)[C@H](C(=O)N1CCN(C(=O)[O-])CC1)c1ccccc1 ZINC000737086119 602448825 /nfs/dbraw/zinc/44/88/25/602448825.db2.gz VPKMBPUVEFWETB-HNNXBMFYSA-N -1 1 319.405 1.892 20 0 DDADMM CC(C)NS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000824304839 607570188 /nfs/dbraw/zinc/57/01/88/607570188.db2.gz FSOYKINTGKQPKX-UHFFFAOYSA-N -1 1 301.759 1.207 20 0 DDADMM CC(C)NS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000824304839 607570190 /nfs/dbraw/zinc/57/01/90/607570190.db2.gz FSOYKINTGKQPKX-UHFFFAOYSA-N -1 1 301.759 1.207 20 0 DDADMM O=C([O-])N1CC[C@H](NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000740401069 602532918 /nfs/dbraw/zinc/53/29/18/602532918.db2.gz RSIONIYSJOEPTF-VIFPVBQESA-N -1 1 301.302 1.010 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N[C@H]1CCN(C(=O)[O-])C1 ZINC000739608984 602593953 /nfs/dbraw/zinc/59/39/53/602593953.db2.gz SGTHKYDLFSXMER-KBPBESRZSA-N -1 1 305.378 1.028 20 0 DDADMM C[C@H](NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1)C1CC1 ZINC000824640379 607578229 /nfs/dbraw/zinc/57/82/29/607578229.db2.gz HJUKAFJYVJOPBN-ZETCQYMHSA-N -1 1 311.342 1.083 20 0 DDADMM O=C([O-])NC[C@H]1CCCN(Cn2nccc2-c2ccncc2)C1 ZINC000740628861 602694361 /nfs/dbraw/zinc/69/43/61/602694361.db2.gz FFVLCYDSUQNLPX-CYBMUJFWSA-N -1 1 315.377 1.882 20 0 DDADMM COc1ccc(NC(=O)CCN2CCN(C(=O)[O-])C[C@@H]2C)cc1 ZINC000739918179 602736985 /nfs/dbraw/zinc/73/69/85/602736985.db2.gz CEFCEYIDMBAMNO-LBPRGKRZSA-N -1 1 321.377 1.708 20 0 DDADMM CNC(=O)c1cccc(CN2CC[C@H](NC(=O)[O-])[C@H](C)C2)c1 ZINC000739747568 602767303 /nfs/dbraw/zinc/76/73/03/602767303.db2.gz VNJSTLWDGZHFQH-RISCZKNCSA-N -1 1 305.378 1.524 20 0 DDADMM C[C@H]1CN(Cc2cccc(C(=O)N(C)C)c2)CC[C@@H]1NC(=O)[O-] ZINC000739185499 602794524 /nfs/dbraw/zinc/79/45/24/602794524.db2.gz ACEANQDEVCZOHY-WFASDCNBSA-N -1 1 319.405 1.866 20 0 DDADMM C[C@H]([C@H](C)S(C)(=O)=O)N(C)C[C@H]1CCCN(C(=O)[O-])C1 ZINC000738650661 602862436 /nfs/dbraw/zinc/86/24/36/602862436.db2.gz GZXWXDWVEJSMAX-GRYCIOLGSA-N -1 1 306.428 1.130 20 0 DDADMM C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C[C@H]1CCCN(C(=O)[O-])C1 ZINC000738650662 602862511 /nfs/dbraw/zinc/86/25/11/602862511.db2.gz GZXWXDWVEJSMAX-IJLUTSLNSA-N -1 1 306.428 1.130 20 0 DDADMM CCN(CC)C(=O)[C@@H](N[C@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000739404676 602930372 /nfs/dbraw/zinc/93/03/72/602930372.db2.gz ZLMHTRYZLHNSEV-GJZGRUSLSA-N -1 1 319.405 1.938 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)N[C@H]1CCCCN(C(=O)[O-])C1 ZINC000740029989 602956281 /nfs/dbraw/zinc/95/62/81/602956281.db2.gz GUSCRDSYLOWAEX-ZDUSSCGKSA-N -1 1 323.397 1.482 20 0 DDADMM CC(C)N1CCN(C(=O)N[C@H]2CCCCN(C(=O)[O-])C2)CC1 ZINC000738854028 602969202 /nfs/dbraw/zinc/96/92/02/602969202.db2.gz LGEVQEDHFRNOOQ-ZDUSSCGKSA-N -1 1 312.414 1.255 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)[C@@H]1CSCCS1 ZINC000826467341 607598789 /nfs/dbraw/zinc/59/87/89/607598789.db2.gz RQLRPWZADVCBIE-JTQLQIEISA-N -1 1 323.403 1.154 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)[C@@H]1CSCCS1 ZINC000826467341 607598791 /nfs/dbraw/zinc/59/87/91/607598791.db2.gz RQLRPWZADVCBIE-JTQLQIEISA-N -1 1 323.403 1.154 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)N[C@@H]1CCCN(C(=O)[O-])C1 ZINC000736821341 602995373 /nfs/dbraw/zinc/99/53/73/602995373.db2.gz KWSONPDWJGITLM-MGPQQGTHSA-N -1 1 324.425 1.397 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]1C(=O)N1CCN(C2CCCC2)CC1 ZINC000740577845 603020393 /nfs/dbraw/zinc/02/03/93/603020393.db2.gz RNQJOMZDUHJQOP-UONOGXRCSA-N -1 1 309.410 1.510 20 0 DDADMM Cc1cc(N)ccc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826269638 607606538 /nfs/dbraw/zinc/60/65/38/607606538.db2.gz RVMPNXPSASPWDS-UHFFFAOYSA-N -1 1 310.317 1.509 20 0 DDADMM Cc1cc(N)ccc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826269638 607606540 /nfs/dbraw/zinc/60/65/40/607606540.db2.gz RVMPNXPSASPWDS-UHFFFAOYSA-N -1 1 310.317 1.509 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)COCc2ccccc2)C[C@@H](C)N1C(=O)[O-] ZINC000739177112 603138308 /nfs/dbraw/zinc/13/83/08/603138308.db2.gz FUZCJTBQKYEESO-IIAWOOMASA-N -1 1 322.405 1.637 20 0 DDADMM C[C@H](CC(=O)NC[C@H](C)N1CCc2ccccc2C1)NC(=O)[O-] ZINC000824630871 603306440 /nfs/dbraw/zinc/30/64/40/603306440.db2.gz YOATUSNTXJDKOK-OLZOCXBDSA-N -1 1 319.405 1.596 20 0 DDADMM Cc1cc(C)n(C[C@@H]2CN(C[C@@H]3CCN(C(=O)[O-])C3)CCO2)n1 ZINC000740069298 603340739 /nfs/dbraw/zinc/34/07/39/603340739.db2.gz NFNMVGLNHSPBRV-GJZGRUSLSA-N -1 1 322.409 1.201 20 0 DDADMM C[C@@H](CC(=O)NC1CCN(Cc2ccccn2)CC1)NC(=O)[O-] ZINC000824623022 603351700 /nfs/dbraw/zinc/35/17/00/603351700.db2.gz RGXOXHBJYRBBOF-LBPRGKRZSA-N -1 1 320.393 1.208 20 0 DDADMM C[C@@H](C(=O)N1CCCCCC1)N1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000739177277 603413825 /nfs/dbraw/zinc/41/38/25/603413825.db2.gz OODDUGAFSGOJPW-HZSPNIEDSA-N -1 1 311.426 1.756 20 0 DDADMM CCN(CC(=O)NC[C@H](c1ccc(C)o1)N1CCCC1)C(=O)[O-] ZINC000739393483 603429280 /nfs/dbraw/zinc/42/92/80/603429280.db2.gz RFERPNFOODIDIM-CYBMUJFWSA-N -1 1 323.393 1.841 20 0 DDADMM C[C@@H](CCNC(=O)CSc1n[nH]c(=S)s1)NC(=O)[O-] ZINC000824786503 603483055 /nfs/dbraw/zinc/48/30/55/603483055.db2.gz MXNFHOSPLGLSIY-YFKPBYRVSA-N -1 1 322.437 1.081 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]1C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000832341404 603560927 /nfs/dbraw/zinc/56/09/27/603560927.db2.gz SYQNKXDWQANTIQ-VXGBXAGGSA-N -1 1 306.366 1.552 20 0 DDADMM C[C@H](C1CC1)N(C(=O)CN1CCC[C@@H]1CN(C)C(=O)[O-])C1CC1 ZINC000824545239 603579844 /nfs/dbraw/zinc/57/98/44/603579844.db2.gz VSRQPAVQHPCBMT-IUODEOHRSA-N -1 1 323.437 1.850 20 0 DDADMM Cc1cnc(N2CCN(C[C@H]3CCN(C(=O)[O-])C3)CC2)s1 ZINC000830633846 603833453 /nfs/dbraw/zinc/83/34/53/603833453.db2.gz BLZSBPMUUXOPAR-GFCCVEGCSA-N -1 1 310.423 1.573 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCN(CCCn2c(=O)[nH]c3ccccc32)C1 ZINC000827890947 603842818 /nfs/dbraw/zinc/84/28/18/603842818.db2.gz XOPFJTPUBGJXRB-LBPRGKRZSA-N -1 1 318.377 1.816 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000826759183 603934747 /nfs/dbraw/zinc/93/47/47/603934747.db2.gz WXJAPVVFQZMMTC-JTQLQIEISA-N -1 1 308.313 1.755 20 0 DDADMM O=C([O-])N1CC[C@H](CCNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000831659844 603948953 /nfs/dbraw/zinc/94/89/53/603948953.db2.gz QGZUAUDTGPUCLW-WDEREUQCSA-N -1 1 306.366 1.336 20 0 DDADMM CN(C(=O)[O-])C1CCN(Cc2ccc(-n3ccnc3)nc2)CC1 ZINC000827890935 603963761 /nfs/dbraw/zinc/96/37/61/603963761.db2.gz XIVBUZOMTLGVHL-UHFFFAOYSA-N -1 1 315.377 1.842 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@H]2CC[C@@H](NC(=O)[O-])C2)c1 ZINC000828046687 604066468 /nfs/dbraw/zinc/06/64/68/604066468.db2.gz RCPRJUYXUQMDMY-NWDGAFQWSA-N -1 1 306.366 1.518 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(C(=O)N[C@@H]2CCCN(C)C2)C1 ZINC000825220926 604122826 /nfs/dbraw/zinc/12/28/26/604122826.db2.gz WTPYGWKZIFLNPR-RWMBFGLXSA-N -1 1 312.414 1.158 20 0 DDADMM C[C@H](C(=O)OCCc1c[nH]nn1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823732771 604189552 /nfs/dbraw/zinc/18/95/52/604189552.db2.gz WWJPIWQRENDWDY-GXFFZTMASA-N -1 1 318.333 1.535 20 0 DDADMM C[C@H](C(=O)OCCc1cnn[nH]1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823732771 604189556 /nfs/dbraw/zinc/18/95/56/604189556.db2.gz WWJPIWQRENDWDY-GXFFZTMASA-N -1 1 318.333 1.535 20 0 DDADMM CCc1cc(CNC(=O)N2CCC[C@@H]([C@H](C)NC(=O)[O-])C2)[nH]n1 ZINC000827565385 604262789 /nfs/dbraw/zinc/26/27/89/604262789.db2.gz TVISCHIOIUKRIQ-WDEREUQCSA-N -1 1 323.397 1.550 20 0 DDADMM CC(=O)N1CCCN(Cc2c(C)nn(CCC(=O)[O-])c2C)CC1 ZINC000833393417 604521755 /nfs/dbraw/zinc/52/17/55/604521755.db2.gz KSKVNFIAWKXIGW-UHFFFAOYSA-N -1 1 322.409 1.029 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@@H](C)CN(C)C(=O)[O-])n2)o1 ZINC000830160036 604585288 /nfs/dbraw/zinc/58/52/88/604585288.db2.gz LGIHMZFFWQOYTG-ZETCQYMHSA-N -1 1 307.310 1.558 20 0 DDADMM Cc1cnn(CCCCCN2CCO[C@@H]([C@H](C)NC(=O)[O-])C2)c1 ZINC000830646426 604629153 /nfs/dbraw/zinc/62/91/53/604629153.db2.gz VXPQNYWEHWWRSK-LSDHHAIUSA-N -1 1 324.425 1.719 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)[C@@]3(C)CCN(C(=O)[O-])C3)C2)n[nH]1 ZINC000830733988 604643914 /nfs/dbraw/zinc/64/39/14/604643914.db2.gz JUTNNOFREGNAJR-NHYWBVRUSA-N -1 1 321.381 1.209 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H]1CC(=O)[O-])N1CCc2ccccc2C1 ZINC000825982966 604670831 /nfs/dbraw/zinc/67/08/31/604670831.db2.gz OMMMEPJBFFGBOV-CZUORRHYSA-N -1 1 316.401 1.899 20 0 DDADMM COCCN1CC[C@@H](Nc2nc(Cl)c(C(=O)[O-])s2)C1 ZINC000833723798 604828229 /nfs/dbraw/zinc/82/82/29/604828229.db2.gz AVOKMMCKIBLCNA-SSDOTTSWSA-N -1 1 305.787 1.627 20 0 DDADMM CC(C)N(CCN(C)C)S(=O)(=O)c1csc(C(=O)[O-])c1 ZINC000688145471 604930201 /nfs/dbraw/zinc/93/02/01/604930201.db2.gz AEJJWAYMFIHTBB-UHFFFAOYSA-N -1 1 320.436 1.407 20 0 DDADMM CCNC(=O)c1cccc(CN[C@@H](C(=O)[O-])c2cccnc2)c1 ZINC000829803907 604993038 /nfs/dbraw/zinc/99/30/38/604993038.db2.gz MNNDTCWOZZTCRD-OAHLLOKOSA-N -1 1 313.357 1.747 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)CN[C@@H](C)c1ccc(OC)cc1)C(=O)[O-] ZINC000833636845 605067274 /nfs/dbraw/zinc/06/72/74/605067274.db2.gz AVSDPYPRBZYMKW-MQIPJXDCSA-N -1 1 322.405 1.961 20 0 DDADMM COCc1cccc(C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)c1 ZINC000833725686 605097584 /nfs/dbraw/zinc/09/75/84/605097584.db2.gz FZBDCSLKBHAXDI-CYBMUJFWSA-N -1 1 320.389 1.454 20 0 DDADMM C[C@H](NC1CN(C(=O)[O-])C1)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000825486350 605097914 /nfs/dbraw/zinc/09/79/14/605097914.db2.gz WZYIOZNZWUXSPE-VIFPVBQESA-N -1 1 303.362 1.929 20 0 DDADMM COc1ccc([C@@H](C)NC2CN(C(=O)[O-])C2)cc1NC(C)=O ZINC000829304209 605100242 /nfs/dbraw/zinc/10/02/42/605100242.db2.gz YFTQFGFFGYGIIZ-SECBINFHSA-N -1 1 307.350 1.666 20 0 DDADMM C[C@@H](Oc1ccc(CN[C@H](CO)CC(F)(F)F)cc1)C(=O)[O-] ZINC000833542069 605122979 /nfs/dbraw/zinc/12/29/79/605122979.db2.gz SZVPZCRRXJUCJV-KOLCDFICSA-N -1 1 321.295 1.941 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000826108235 605127377 /nfs/dbraw/zinc/12/73/77/605127377.db2.gz DXNJWDVWHMJLON-PTOFAABTSA-N -1 1 322.365 1.407 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)Nc2cncc(C(=O)[O-])c2)n[nH]1 ZINC000833512336 605174495 /nfs/dbraw/zinc/17/44/95/605174495.db2.gz MIHNJIFUROXDLG-QMMMGPOBSA-N -1 1 318.337 1.904 20 0 DDADMM Cc1nc(COC(=O)[C@@H](Cc2ccccc2)NC(=O)[O-])n[nH]1 ZINC000830758192 605263378 /nfs/dbraw/zinc/26/33/78/605263378.db2.gz YCJIUMFTWIBTJQ-LLVKDONJSA-N -1 1 304.306 1.035 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CC(=O)Nc1ncc(C(F)(F)F)[nH]1 ZINC000831346587 605293855 /nfs/dbraw/zinc/29/38/55/605293855.db2.gz VKCUZRGVAAZRJM-ZCFIWIBFSA-N -1 1 306.244 1.900 20 0 DDADMM CCc1cc(CNC(=O)CN(C(=O)[O-])c2ccccc2)n[nH]1 ZINC000827556590 605304754 /nfs/dbraw/zinc/30/47/54/605304754.db2.gz MMEMGKCRZCXILE-UHFFFAOYSA-N -1 1 302.334 1.773 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCC[C@H](NC(=O)C(C)C)C2)O1 ZINC000833584608 605327696 /nfs/dbraw/zinc/32/76/96/605327696.db2.gz OHQCNUSUECCOCZ-STQMWFEESA-N -1 1 310.394 1.371 20 0 DDADMM CC[C@@H]1CN(C(=O)[C@H]2CCCc3[nH]ncc32)CC[C@H]1NC(=O)[O-] ZINC000833847165 605357849 /nfs/dbraw/zinc/35/78/49/605357849.db2.gz HVVUUSLOYQCVKT-NTZNESFSSA-N -1 1 320.393 1.724 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@@H]1c2ccccc2CCN1C(=O)[O-] ZINC000828426481 605735215 /nfs/dbraw/zinc/73/52/15/605735215.db2.gz HEOHMBDOGKVSFE-ZDUSSCGKSA-N -1 1 315.333 1.041 20 0 DDADMM COC[C@](C)(CCO)NCc1cc(C(=O)[O-])ccc1Cl ZINC000833720735 605839735 /nfs/dbraw/zinc/83/97/35/605839735.db2.gz FBVWSKGZZVTFGD-AWEZNQCLSA-N -1 1 301.770 1.915 20 0 DDADMM CN1CC[C@@H](NC(=O)c2cc(C(=O)[O-])no2)[C@@H]1c1ccccc1 ZINC000833697652 605879313 /nfs/dbraw/zinc/87/93/13/605879313.db2.gz HXEUSDSCVSPXBO-RISCZKNCSA-N -1 1 315.329 1.548 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)N1CCc2ccccc21 ZINC000833828779 605883507 /nfs/dbraw/zinc/88/35/07/605883507.db2.gz FNASYUQQSXEDDR-CHWSQXEVSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NCc1cccs1 ZINC000833832921 605905062 /nfs/dbraw/zinc/90/50/62/605905062.db2.gz VHQSIAKJJLWFMK-MNOVXSKESA-N -1 1 311.407 1.342 20 0 DDADMM O=C([O-])NCCCCC(=O)Nc1ccccc1-c1nnc[nH]1 ZINC000834234716 605926333 /nfs/dbraw/zinc/92/63/33/605926333.db2.gz IXOSFBMONDSFJV-UHFFFAOYSA-N -1 1 303.322 1.848 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC000821447060 606104564 /nfs/dbraw/zinc/10/45/64/606104564.db2.gz HJVCYFOTJAOXRV-BNMUBRSASA-N -1 1 321.410 1.148 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC000821447060 606104565 /nfs/dbraw/zinc/10/45/65/606104565.db2.gz HJVCYFOTJAOXRV-BNMUBRSASA-N -1 1 321.410 1.148 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)[C@@H](C)C1 ZINC000820690638 606170686 /nfs/dbraw/zinc/17/06/86/606170686.db2.gz PHBRNVWGMDVJFU-WCABBAIRSA-N -1 1 318.385 1.546 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)[C@@H](C)C1 ZINC000820690638 606170687 /nfs/dbraw/zinc/17/06/87/606170687.db2.gz PHBRNVWGMDVJFU-WCABBAIRSA-N -1 1 318.385 1.546 20 0 DDADMM O=C(NCc1cccc(-c2nn[nH]n2)c1)c1cc(F)ccc1[O-] ZINC000823257648 606198605 /nfs/dbraw/zinc/19/86/05/606198605.db2.gz REONMGKKNBTLGD-UHFFFAOYSA-N -1 1 313.292 1.641 20 0 DDADMM COC[C@@H](Nc1cccc(-c2nnn[n-]2)n1)c1ccc(C)o1 ZINC000821684325 606223390 /nfs/dbraw/zinc/22/33/90/606223390.db2.gz YFLFTHWFPVWFBX-LLVKDONJSA-N -1 1 300.322 1.963 20 0 DDADMM COC[C@@H](Nc1cccc(-c2nn[n-]n2)n1)c1ccc(C)o1 ZINC000821684325 606223392 /nfs/dbraw/zinc/22/33/92/606223392.db2.gz YFLFTHWFPVWFBX-LLVKDONJSA-N -1 1 300.322 1.963 20 0 DDADMM O=C(CCc1ccccn1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822983186 606293358 /nfs/dbraw/zinc/29/33/58/606293358.db2.gz MNJXDWNKQYQZTM-UHFFFAOYSA-N -1 1 310.317 1.539 20 0 DDADMM COC(=O)[C@H](C)CSc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821639149 606466070 /nfs/dbraw/zinc/46/60/70/606466070.db2.gz QRHWLOIATDHOIM-ZCFIWIBFSA-N -1 1 313.770 1.816 20 0 DDADMM COC(=O)[C@H](C)CSc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821639149 606466072 /nfs/dbraw/zinc/46/60/72/606466072.db2.gz QRHWLOIATDHOIM-ZCFIWIBFSA-N -1 1 313.770 1.816 20 0 DDADMM COCCOC[C@@H](C)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821692153 606468798 /nfs/dbraw/zinc/46/87/98/606468798.db2.gz POLAENJLLGMQQK-MRVPVSSYSA-N -1 1 312.761 1.379 20 0 DDADMM COCCOC[C@@H](C)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821692153 606468800 /nfs/dbraw/zinc/46/88/00/606468800.db2.gz POLAENJLLGMQQK-MRVPVSSYSA-N -1 1 312.761 1.379 20 0 DDADMM O=C(NCCc1ccccn1)c1ccc(-c2nnn[n-]2)s1 ZINC000823223919 606501046 /nfs/dbraw/zinc/50/10/46/606501046.db2.gz KWSPLAMIFVFYRK-UHFFFAOYSA-N -1 1 300.347 1.296 20 0 DDADMM O=C(NCCc1ccccn1)c1ccc(-c2nn[n-]n2)s1 ZINC000823223919 606501048 /nfs/dbraw/zinc/50/10/48/606501048.db2.gz KWSPLAMIFVFYRK-UHFFFAOYSA-N -1 1 300.347 1.296 20 0 DDADMM CCC[C@](C)(NC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)OC ZINC000821009223 606503336 /nfs/dbraw/zinc/50/33/36/606503336.db2.gz OWHCLTKFWMJZOQ-ZDUSSCGKSA-N -1 1 323.378 1.390 20 0 DDADMM CCC[C@](C)(NC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)OC ZINC000821009223 606503338 /nfs/dbraw/zinc/50/33/38/606503338.db2.gz OWHCLTKFWMJZOQ-ZDUSSCGKSA-N -1 1 323.378 1.390 20 0 DDADMM O=C(NCCc1ccsc1)c1ccc(-c2nnn[n-]2)s1 ZINC000823224146 606507461 /nfs/dbraw/zinc/50/74/61/606507461.db2.gz QUQQESRFTROTBL-UHFFFAOYSA-N -1 1 305.388 1.962 20 0 DDADMM O=C(NCCc1ccsc1)c1ccc(-c2nn[n-]n2)s1 ZINC000823224146 606507463 /nfs/dbraw/zinc/50/74/63/606507463.db2.gz QUQQESRFTROTBL-UHFFFAOYSA-N -1 1 305.388 1.962 20 0 DDADMM COCc1csc(NC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000821695977 606563812 /nfs/dbraw/zinc/56/38/12/606563812.db2.gz QDPOSDQQSVFKKT-UHFFFAOYSA-N -1 1 322.375 1.783 20 0 DDADMM COCc1csc(NC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000821695977 606563813 /nfs/dbraw/zinc/56/38/13/606563813.db2.gz QDPOSDQQSVFKKT-UHFFFAOYSA-N -1 1 322.375 1.783 20 0 DDADMM c1cc(-c2nc(C[C@H]3CCCO3)no2)sc1-c1nnn[n-]1 ZINC000823738222 606620531 /nfs/dbraw/zinc/62/05/31/606620531.db2.gz QXMQLTGSWFVQNO-SSDOTTSWSA-N -1 1 304.335 1.700 20 0 DDADMM c1cc(-c2nc(C[C@H]3CCCO3)no2)sc1-c1nn[n-]n1 ZINC000823738222 606620532 /nfs/dbraw/zinc/62/05/32/606620532.db2.gz QXMQLTGSWFVQNO-SSDOTTSWSA-N -1 1 304.335 1.700 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)Nc1ccc(F)c(Cl)c1 ZINC000823122832 606655403 /nfs/dbraw/zinc/65/54/03/606655403.db2.gz JNOBINWMGSTLIK-UHFFFAOYSA-N -1 1 321.703 1.494 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)Nc1ccc(F)c(Cl)c1 ZINC000823122832 606655404 /nfs/dbraw/zinc/65/54/04/606655404.db2.gz JNOBINWMGSTLIK-UHFFFAOYSA-N -1 1 321.703 1.494 20 0 DDADMM CC(C)CO[C@@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000820097408 607053101 /nfs/dbraw/zinc/05/31/01/607053101.db2.gz OCSNOXYFRTYKJG-GFCCVEGCSA-N -1 1 316.365 1.149 20 0 DDADMM CC(C)CO[C@@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000820097408 607053103 /nfs/dbraw/zinc/05/31/03/607053103.db2.gz OCSNOXYFRTYKJG-GFCCVEGCSA-N -1 1 316.365 1.149 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC(CC(F)(F)F)C1 ZINC000823477861 607140036 /nfs/dbraw/zinc/14/00/36/607140036.db2.gz DAOPXCUWFLQXIV-UHFFFAOYSA-N -1 1 312.255 1.286 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC(CC(F)(F)F)C1 ZINC000823477861 607140038 /nfs/dbraw/zinc/14/00/38/607140038.db2.gz DAOPXCUWFLQXIV-UHFFFAOYSA-N -1 1 312.255 1.286 20 0 DDADMM Cc1nc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c(C)s1 ZINC000826323763 607780828 /nfs/dbraw/zinc/78/08/28/607780828.db2.gz QAWTTXJYOKZSOM-UHFFFAOYSA-N -1 1 316.346 1.898 20 0 DDADMM Cn1cccc1C(=O)COc1cccc(F)c1-c1nnn[n-]1 ZINC000826363332 607863979 /nfs/dbraw/zinc/86/39/79/607863979.db2.gz IAZASQIUTMMCMA-UHFFFAOYSA-N -1 1 301.281 1.606 20 0 DDADMM Cn1cccc1C(=O)COc1cccc(F)c1-c1nn[n-]n1 ZINC000826363332 607863980 /nfs/dbraw/zinc/86/39/80/607863980.db2.gz IAZASQIUTMMCMA-UHFFFAOYSA-N -1 1 301.281 1.606 20 0 DDADMM CCc1nc([C@@H]2CCCN2c2cccc(-c3nnn[n-]3)n2)no1 ZINC000825744741 607897928 /nfs/dbraw/zinc/89/79/28/607897928.db2.gz DFUKAOWJJPMRJK-JTQLQIEISA-N -1 1 312.337 1.549 20 0 DDADMM CCc1nc([C@@H]2CCCN2c2cccc(-c3nn[n-]n3)n2)no1 ZINC000825744741 607897929 /nfs/dbraw/zinc/89/79/29/607897929.db2.gz DFUKAOWJJPMRJK-JTQLQIEISA-N -1 1 312.337 1.549 20 0 DDADMM C[C@H](CO)CCCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000824440548 607967307 /nfs/dbraw/zinc/96/73/07/607967307.db2.gz FROCPUSRSVRIOY-LURJTMIESA-N -1 1 302.791 1.797 20 0 DDADMM C[C@H](CO)CCCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000824440548 607967308 /nfs/dbraw/zinc/96/73/08/607967308.db2.gz FROCPUSRSVRIOY-LURJTMIESA-N -1 1 302.791 1.797 20 0 DDADMM CC1(C)OC[C@H](CCOC(=O)c2sccc2-c2nn[n-]n2)O1 ZINC000824811760 607993516 /nfs/dbraw/zinc/99/35/16/607993516.db2.gz BGKZNVPDLNZOJE-QMMMGPOBSA-N -1 1 324.362 1.627 20 0 DDADMM OC[C@H]1CCCC[C@@H]1Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000826509035 608186960 /nfs/dbraw/zinc/18/69/60/608186960.db2.gz JUAIPAQRIPCTCG-RQJHMYQMSA-N -1 1 314.802 1.940 20 0 DDADMM OC[C@H]1CCCC[C@@H]1Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000826509035 608186962 /nfs/dbraw/zinc/18/69/62/608186962.db2.gz JUAIPAQRIPCTCG-RQJHMYQMSA-N -1 1 314.802 1.940 20 0 DDADMM CCCCCN(CCO)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825276482 608187442 /nfs/dbraw/zinc/18/74/42/608187442.db2.gz YIZHQSXDHDQSIP-UHFFFAOYSA-N -1 1 316.818 1.966 20 0 DDADMM CCCCCN(CCO)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825276482 608187443 /nfs/dbraw/zinc/18/74/43/608187443.db2.gz YIZHQSXDHDQSIP-UHFFFAOYSA-N -1 1 316.818 1.966 20 0 DDADMM Fc1cccc(Br)c1Cn1cnc(-c2nn[n-]n2)n1 ZINC000826376700 608219130 /nfs/dbraw/zinc/21/91/30/608219130.db2.gz OAQZJGKJMKRIQL-UHFFFAOYSA-N -1 1 324.117 1.408 20 0 DDADMM C[C@H](CO)Cn1cc(-c2nn[n-]n2)c(=O)c2cc(Cl)ccc21 ZINC000824442576 608263800 /nfs/dbraw/zinc/26/38/00/608263800.db2.gz XCRDGBLHOHPIOV-QMMMGPOBSA-N -1 1 319.752 1.463 20 0 DDADMM CCCNc1nnc(Sc2ccc(-c3nnn[n-]3)nn2)s1 ZINC000825333021 608394748 /nfs/dbraw/zinc/39/47/48/608394748.db2.gz PDNDHYJTOIBHIA-UHFFFAOYSA-N -1 1 321.395 1.481 20 0 DDADMM CCCNc1nnc(Sc2ccc(-c3nn[n-]n3)nn2)s1 ZINC000825333021 608394750 /nfs/dbraw/zinc/39/47/50/608394750.db2.gz PDNDHYJTOIBHIA-UHFFFAOYSA-N -1 1 321.395 1.481 20 0 DDADMM c1ccc(N2CCCN(c3ccc(-c4nnn[n-]4)nn3)CC2)cc1 ZINC000826522006 608402578 /nfs/dbraw/zinc/40/25/78/608402578.db2.gz HRKXCJARWNLPGT-UHFFFAOYSA-N -1 1 322.376 1.373 20 0 DDADMM c1ccc(N2CCCN(c3ccc(-c4nn[n-]n4)nn3)CC2)cc1 ZINC000826522006 608402579 /nfs/dbraw/zinc/40/25/79/608402579.db2.gz HRKXCJARWNLPGT-UHFFFAOYSA-N -1 1 322.376 1.373 20 0 DDADMM c1ccc([C@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@@H]2CCCO2)cc1 ZINC000826520862 608411149 /nfs/dbraw/zinc/41/11/49/608411149.db2.gz SUQRLDGECIVBGZ-ZFWWWQNUSA-N -1 1 323.360 1.989 20 0 DDADMM c1ccc([C@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@@H]2CCCO2)cc1 ZINC000826520862 608411150 /nfs/dbraw/zinc/41/11/50/608411150.db2.gz SUQRLDGECIVBGZ-ZFWWWQNUSA-N -1 1 323.360 1.989 20 0 DDADMM c1cn(-c2ccccc2)nc1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826519891 608412466 /nfs/dbraw/zinc/41/24/66/608412466.db2.gz SLEJPYVARHOXSC-UHFFFAOYSA-N -1 1 305.305 1.586 20 0 DDADMM c1cn(-c2ccccc2)nc1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826519891 608412467 /nfs/dbraw/zinc/41/24/67/608412467.db2.gz SLEJPYVARHOXSC-UHFFFAOYSA-N -1 1 305.305 1.586 20 0 DDADMM COc1ccccc1CCN(C)c1ccc(-c2nnn[n-]2)nn1 ZINC000826219768 608414271 /nfs/dbraw/zinc/41/42/71/608414271.db2.gz PYNBWZDEIGHRTR-UHFFFAOYSA-N -1 1 311.349 1.344 20 0 DDADMM COc1ccccc1CCN(C)c1ccc(-c2nn[n-]n2)nn1 ZINC000826219768 608414273 /nfs/dbraw/zinc/41/42/73/608414273.db2.gz PYNBWZDEIGHRTR-UHFFFAOYSA-N -1 1 311.349 1.344 20 0 DDADMM Fc1cccc(F)c1[C@@H]1C[C@H]1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826377527 608420244 /nfs/dbraw/zinc/42/02/44/608420244.db2.gz VVKDMVUWRXRJRM-RDDDGLTNSA-N -1 1 315.287 1.903 20 0 DDADMM Fc1cccc(F)c1[C@@H]1C[C@H]1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826377527 608420246 /nfs/dbraw/zinc/42/02/46/608420246.db2.gz VVKDMVUWRXRJRM-RDDDGLTNSA-N -1 1 315.287 1.903 20 0 DDADMM CCO[C@@H]1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C12CCC2 ZINC000825583020 608422644 /nfs/dbraw/zinc/42/26/44/608422644.db2.gz QNDNNFNKYAEPAB-WDEREUQCSA-N -1 1 301.354 1.416 20 0 DDADMM CCO[C@@H]1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C12CCC2 ZINC000825583020 608422646 /nfs/dbraw/zinc/42/26/46/608422646.db2.gz QNDNNFNKYAEPAB-WDEREUQCSA-N -1 1 301.354 1.416 20 0 DDADMM C[C@H](Nc1ccc(-c2nnn[n-]2)nn1)[C@@H](O)c1cccc(F)c1 ZINC000824650969 608428630 /nfs/dbraw/zinc/42/86/30/608428630.db2.gz WNCIWURBKADAGW-ISVAXAHUSA-N -1 1 315.312 1.330 20 0 DDADMM C[C@H](Nc1ccc(-c2nn[n-]n2)nn1)[C@@H](O)c1cccc(F)c1 ZINC000824650969 608428632 /nfs/dbraw/zinc/42/86/32/608428632.db2.gz WNCIWURBKADAGW-ISVAXAHUSA-N -1 1 315.312 1.330 20 0 DDADMM Clc1ccc(OCCNc2ccc(-c3nnn[n-]3)nn2)nc1 ZINC000826352460 608433188 /nfs/dbraw/zinc/43/31/88/608433188.db2.gz BOYHHLDJTRQSFA-UHFFFAOYSA-N -1 1 318.728 1.196 20 0 DDADMM Clc1ccc(OCCNc2ccc(-c3nn[n-]n3)nn2)nc1 ZINC000826352460 608433189 /nfs/dbraw/zinc/43/31/89/608433189.db2.gz BOYHHLDJTRQSFA-UHFFFAOYSA-N -1 1 318.728 1.196 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NCc1ccc2c(c1)OCO2 ZINC000826280569 608541069 /nfs/dbraw/zinc/54/10/69/608541069.db2.gz HIQMTOIWOYTTEF-UHFFFAOYSA-N -1 1 310.317 1.911 20 0 DDADMM c1coc([C@H]2COCCN2Cc2ccc(-c3nnn[n-]3)o2)c1 ZINC000826526232 608699156 /nfs/dbraw/zinc/69/91/56/608699156.db2.gz PZDBPVKKPGKIKG-LLVKDONJSA-N -1 1 301.306 1.626 20 0 DDADMM c1coc([C@H]2COCCN2Cc2ccc(-c3nn[n-]n3)o2)c1 ZINC000826526232 608699161 /nfs/dbraw/zinc/69/91/61/608699161.db2.gz PZDBPVKKPGKIKG-LLVKDONJSA-N -1 1 301.306 1.626 20 0 DDADMM CC(C)C[C@@H]1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)CCO1 ZINC000824243630 609171214 /nfs/dbraw/zinc/17/12/14/609171214.db2.gz KTQXYMFVCAUVBY-GHMZBOCLSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)C[C@@H]1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)CCO1 ZINC000824243630 609171216 /nfs/dbraw/zinc/17/12/16/609171216.db2.gz KTQXYMFVCAUVBY-GHMZBOCLSA-N -1 1 303.370 1.662 20 0 DDADMM C/C=C/C(=O)Nc1ccn(-c2cccc(F)c2-c2nn[n-]n2)n1 ZINC000825029519 609290587 /nfs/dbraw/zinc/29/05/87/609290587.db2.gz VAVRANJZMNZRQU-DUXPYHPUSA-N -1 1 313.296 1.706 20 0 DDADMM C[C@H]1CC[C@]2(CCN(C(=O)c3ccc(-c4nnn[n-]4)nc3)C2)C1 ZINC000824916483 609397106 /nfs/dbraw/zinc/39/71/06/609397106.db2.gz KFBFIWWDTQSKFM-ZBEGNZNMSA-N -1 1 312.377 1.914 20 0 DDADMM C[C@H]1CC[C@]2(CCN(C(=O)c3ccc(-c4nn[n-]n4)nc3)C2)C1 ZINC000824916483 609397108 /nfs/dbraw/zinc/39/71/08/609397108.db2.gz KFBFIWWDTQSKFM-ZBEGNZNMSA-N -1 1 312.377 1.914 20 0 DDADMM C[C@@H](O)[C@H]1CCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000824690175 609569642 /nfs/dbraw/zinc/56/96/42/609569642.db2.gz QJJXBLKRDGHYEC-MNOVXSKESA-N -1 1 310.361 1.622 20 0 DDADMM C[C@@H](O)[C@H]1CCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000824690175 609569644 /nfs/dbraw/zinc/56/96/44/609569644.db2.gz QJJXBLKRDGHYEC-MNOVXSKESA-N -1 1 310.361 1.622 20 0 DDADMM C[C@@H](C[S@](C)=O)Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000824450691 609598827 /nfs/dbraw/zinc/59/88/27/609598827.db2.gz YCFPZICUKYOHRX-PVDFSEMESA-N -1 1 300.775 1.094 20 0 DDADMM C[C@@H](C[S@](C)=O)Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000824450691 609598829 /nfs/dbraw/zinc/59/88/29/609598829.db2.gz YCFPZICUKYOHRX-PVDFSEMESA-N -1 1 300.775 1.094 20 0 DDADMM C[C@@H]1CC[C@@H](CCC(=O)OCC(=O)[N-]C(=O)c2ccccc2)O1 ZINC000745702950 699990478 /nfs/dbraw/zinc/99/04/78/699990478.db2.gz GHOZBBLFNNIIIV-OCCSQVGLSA-N -1 1 319.357 1.834 20 0 DDADMM COC(=O)c1ccc(C(=O)OC2CCN(CC(F)F)CC2)[n-]1 ZINC000797049111 699991735 /nfs/dbraw/zinc/99/17/35/699991735.db2.gz NVMXPJUHJHSUSN-UHFFFAOYSA-N -1 1 316.304 1.688 20 0 DDADMM CCOC(=O)[C@@H](CC)[C@@H](C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000797145743 699996312 /nfs/dbraw/zinc/99/63/12/699996312.db2.gz WOCYILGFQSJDGZ-ZJUUUORDSA-N -1 1 311.334 1.936 20 0 DDADMM Cc1occc1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000972945984 695375128 /nfs/dbraw/zinc/37/51/28/695375128.db2.gz JGMRKSPHRHUPGU-XYPYZODXSA-N -1 1 315.329 1.379 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000973196125 695443523 /nfs/dbraw/zinc/44/35/23/695443523.db2.gz BPKSZIVWZRZSBL-YVECIDJPSA-N -1 1 317.389 1.600 20 0 DDADMM CC[C@@]1(C)C[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973197328 695443754 /nfs/dbraw/zinc/44/37/54/695443754.db2.gz DFTSZPSSSUOZCJ-UWCDFFNFSA-N -1 1 317.389 1.600 20 0 DDADMM CC(=O)N1CC[C@@H]2[C@@H](CCCN2C(=O)c2ncccc2[O-])C1 ZINC000973716382 695518672 /nfs/dbraw/zinc/51/86/72/695518672.db2.gz CRNXKABCEIFTIK-QWHCGFSZSA-N -1 1 303.362 1.260 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000974732121 695720782 /nfs/dbraw/zinc/72/07/82/695720782.db2.gz OUGCPPYZLJWOQL-FDYHWXHSSA-N -1 1 315.373 1.116 20 0 DDADMM O=C(CCCc1cccs1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798148334 700044159 /nfs/dbraw/zinc/04/41/59/700044159.db2.gz XEWGURPDUMHWKV-UHFFFAOYSA-N -1 1 321.406 1.094 20 0 DDADMM COCCn1ccc(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)n1 ZINC000747215994 700060389 /nfs/dbraw/zinc/06/03/89/700060389.db2.gz AULVGZZEJLSXAL-UHFFFAOYSA-N -1 1 319.365 1.709 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)NCCN2CCCCC2)c1 ZINC000047958689 696207920 /nfs/dbraw/zinc/20/79/20/696207920.db2.gz CLFZPAJKYKSFOI-UHFFFAOYSA-N -1 1 312.391 1.149 20 0 DDADMM CC(=O)c1ccc(S(=O)(=O)[N-]C(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000048473270 696212599 /nfs/dbraw/zinc/21/25/99/696212599.db2.gz VGPLORRAAGOWNE-GHMZBOCLSA-N -1 1 312.303 1.200 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@H](C)COc2ccccc2)n1 ZINC000056929373 696293115 /nfs/dbraw/zinc/29/31/15/696293115.db2.gz DAFKCJAUDSDELO-LLVKDONJSA-N -1 1 317.349 1.579 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=S)NCc2ccccc2)n1 ZINC000747653573 700080110 /nfs/dbraw/zinc/08/01/10/700080110.db2.gz DNWNMWUFNMTQOX-UHFFFAOYSA-N -1 1 303.391 1.785 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCCOC(C)(C)C ZINC000747810383 700087605 /nfs/dbraw/zinc/08/76/05/700087605.db2.gz MIXNKIQYTVLDQA-UHFFFAOYSA-N -1 1 302.396 1.503 20 0 DDADMM C[C@@H](CCNC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000079881960 696464714 /nfs/dbraw/zinc/46/47/14/696464714.db2.gz ZWCWQYUDTRMEKY-JQWIXIFHSA-N -1 1 305.403 1.643 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCCc2cccc(F)c2)s1 ZINC000080178146 696531225 /nfs/dbraw/zinc/53/12/25/696531225.db2.gz ZDFQVRZRJGSJRX-LLVKDONJSA-N -1 1 309.366 1.221 20 0 DDADMM C[C@H]1C[C@H](C)CN(S(=O)(=O)Nc2cc(C#N)ccc2[O-])C1 ZINC000080941249 696539144 /nfs/dbraw/zinc/53/91/44/696539144.db2.gz MWBGIBDGZNKHIK-QWRGUYRKSA-N -1 1 309.391 1.898 20 0 DDADMM CCC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000979547310 696591995 /nfs/dbraw/zinc/59/19/95/696591995.db2.gz LOTCOIXHHWSYDM-TUAOUCFPSA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)c1ccc(F)cc1)N(C)C ZINC000798811545 700098662 /nfs/dbraw/zinc/09/86/62/700098662.db2.gz FQNUEULLVWQVHH-LBPRGKRZSA-N -1 1 302.371 1.217 20 0 DDADMM C[C@H](C(=O)NN1CC(=O)[N-]C1=O)c1ccc(C(F)(F)F)cc1 ZINC000798894504 700104572 /nfs/dbraw/zinc/10/45/72/700104572.db2.gz IUFLHXJVBJRKPP-ZETCQYMHSA-N -1 1 315.251 1.392 20 0 DDADMM CC(C)COC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000120121266 696690481 /nfs/dbraw/zinc/69/04/81/696690481.db2.gz FLGNLPIJVGVPJZ-VIFPVBQESA-N -1 1 321.345 1.831 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(-n2cccc2)nc1)c1nn[n-]n1 ZINC000124045241 696738316 /nfs/dbraw/zinc/73/83/16/696738316.db2.gz SRNPURDUXIOPMV-LLVKDONJSA-N -1 1 311.349 1.261 20 0 DDADMM CC[N@H+]1CCCC[C@@H]1CNS(=O)(=O)c1cn(C)c(Cl)n1 ZINC000124052211 696738338 /nfs/dbraw/zinc/73/83/38/696738338.db2.gz OHYGRVCWDJAQII-SNVBAGLBSA-N -1 1 320.846 1.226 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2ncn(C)c2Cl)n[nH]1 ZINC000126432033 696761926 /nfs/dbraw/zinc/76/19/26/696761926.db2.gz COYRQEWISGBRCR-UHFFFAOYSA-N -1 1 303.775 1.550 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C[C@@H]1CC[C@H](C)C1 ZINC000130600631 696799325 /nfs/dbraw/zinc/79/93/25/696799325.db2.gz YRNQDKMPPIDDDQ-CMPLNLGQSA-N -1 1 318.377 1.638 20 0 DDADMM O=C(N[C@H]1CCC[C@@H](NC(=O)C(F)F)C1)c1ncccc1[O-] ZINC000983392623 697253042 /nfs/dbraw/zinc/25/30/42/697253042.db2.gz SWTUEYXNIZSHPQ-DTWKUNHWSA-N -1 1 313.304 1.210 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC1(CO)CCOCC1 ZINC000749016495 700144259 /nfs/dbraw/zinc/14/42/59/700144259.db2.gz XUJQEBCUCUOOCV-UHFFFAOYSA-N -1 1 323.393 1.133 20 0 DDADMM C/C=C(\C)C(=O)N1CCC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000984065150 697335239 /nfs/dbraw/zinc/33/52/39/697335239.db2.gz ORGLMQOPGCYHLZ-YKAQBRKTSA-N -1 1 317.389 1.816 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CC=CC[C@@H]2C)co1 ZINC000165946582 697340469 /nfs/dbraw/zinc/34/04/69/697340469.db2.gz MQCUMFUWBCPREZ-WDEREUQCSA-N -1 1 313.375 1.947 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)c2n[n-]c3ccccc3c2=O)c1 ZINC000176908811 697407506 /nfs/dbraw/zinc/40/75/06/697407506.db2.gz QJTOHVQVPBWDFX-UHFFFAOYSA-N -1 1 323.356 1.632 20 0 DDADMM O=C([N-]CCCN1CCN(CC(F)(F)F)CC1)C(F)(F)F ZINC000180482756 697449084 /nfs/dbraw/zinc/44/90/84/697449084.db2.gz PPLSCUOGASCCBU-UHFFFAOYSA-N -1 1 321.265 1.235 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C2CCCC2)CC1 ZINC000985208706 697468787 /nfs/dbraw/zinc/46/87/87/697468787.db2.gz QQSFTSZKCZEQHQ-UHFFFAOYSA-N -1 1 307.398 1.123 20 0 DDADMM CC1([C@@H]2CCCN(C(=O)c3ccc(C#N)c([O-])c3)C2)OCCO1 ZINC000188794053 697564218 /nfs/dbraw/zinc/56/42/18/697564218.db2.gz MOKFOFKRDZMGAD-CQSZACIVSA-N -1 1 316.357 1.879 20 0 DDADMM Cc1cccc([C@@H](O)CNC(=O)c2c[n-]c(=O)c([N+](=O)[O-])c2)c1 ZINC000193731760 697678689 /nfs/dbraw/zinc/67/86/89/697678689.db2.gz SYNFJKWFWKUWMJ-ZDUSSCGKSA-N -1 1 317.301 1.467 20 0 DDADMM Cc1cc(C)c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)o1 ZINC000986111527 697689913 /nfs/dbraw/zinc/68/99/13/697689913.db2.gz BMIRUAXBJLZNNO-MNOVXSKESA-N -1 1 319.365 1.113 20 0 DDADMM O=C(c1ccc(Cl)cc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773157165 697720427 /nfs/dbraw/zinc/72/04/27/697720427.db2.gz HIFQTBFRVJDTQI-JTQLQIEISA-N -1 1 309.782 1.783 20 0 DDADMM CCc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1 ZINC000773163179 697722175 /nfs/dbraw/zinc/72/21/75/697722175.db2.gz TXFOBPJBMQPLAG-GFCCVEGCSA-N -1 1 303.391 1.692 20 0 DDADMM Cc1cc(C)cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000773160936 697722240 /nfs/dbraw/zinc/72/22/40/697722240.db2.gz XLCJVCSEGHDMPR-GFCCVEGCSA-N -1 1 303.391 1.747 20 0 DDADMM Cc1nc(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cs1 ZINC000773315223 697743221 /nfs/dbraw/zinc/74/32/21/697743221.db2.gz OVSMLRIWCPTOQC-SECBINFHSA-N -1 1 308.363 1.489 20 0 DDADMM CC[S@@](=O)CCNC(=O)c1cc(Br)ccc1[O-] ZINC000227111044 697768218 /nfs/dbraw/zinc/76/82/18/697768218.db2.gz LAAWXQJZPVUKCG-QGZVFWFLSA-N -1 1 320.208 1.653 20 0 DDADMM CCN1CCOC[C@@H]1C(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000774999592 697952512 /nfs/dbraw/zinc/95/25/12/697952512.db2.gz ITMCPVARFJYCPC-OAHLLOKOSA-N -1 1 321.373 1.401 20 0 DDADMM Cc1c2cccc(F)c2oc1C(=O)[N-]N1CC(=O)N(C)C1=O ZINC000776246354 698086955 /nfs/dbraw/zinc/08/69/55/698086955.db2.gz RICRXGLRQFHLMA-UHFFFAOYSA-N -1 1 305.265 1.419 20 0 DDADMM Cc1nc(SCCCNC(=O)C(F)(F)F)[n-]c(=O)c1C ZINC000776867692 698144711 /nfs/dbraw/zinc/14/47/11/698144711.db2.gz KOPGUWAWWZLFIQ-UHFFFAOYSA-N -1 1 309.313 1.960 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987697913 698202439 /nfs/dbraw/zinc/20/24/39/698202439.db2.gz MXHBGGSFKDLZLK-ZDUSSCGKSA-N -1 1 319.405 1.754 20 0 DDADMM Cc1cnc(C(=O)NCc2ccnc(-n3ccnc3)c2)c([O-])c1 ZINC000778611410 698352247 /nfs/dbraw/zinc/35/22/47/698352247.db2.gz IPONKUXNDKTBLY-UHFFFAOYSA-N -1 1 309.329 1.606 20 0 DDADMM O=C(O[C@H]1CCNC1=O)c1ccc(Br)c([O-])c1 ZINC000778829213 698373134 /nfs/dbraw/zinc/37/31/34/698373134.db2.gz TXNFNRZTMURAFR-VIFPVBQESA-N -1 1 300.108 1.200 20 0 DDADMM Cc1cnc(C(=O)NC2CN(C(=O)OC(C)(C)C)C2)c([O-])c1 ZINC000778851969 698376924 /nfs/dbraw/zinc/37/69/24/698376924.db2.gz KOIPEUUDMAAYJQ-UHFFFAOYSA-N -1 1 307.350 1.445 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](CO)C[C@@H](O)c2ccccc2)c([O-])c1 ZINC000779024630 698391236 /nfs/dbraw/zinc/39/12/36/698391236.db2.gz NLUHNVXOJAMJLL-ZIAGYGMSSA-N -1 1 316.357 1.310 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1C(C)(C)C1(C)C ZINC000988554768 698404324 /nfs/dbraw/zinc/40/43/24/698404324.db2.gz QKMSCWNZQJWHCU-UWVGGRQHSA-N -1 1 321.425 1.272 20 0 DDADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1=CCCCCC1 ZINC000988701725 698443471 /nfs/dbraw/zinc/44/34/71/698443471.db2.gz VOAGNBXURKWOFL-WCQYABFASA-N -1 1 319.409 1.480 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@@H](C)N1CCc2ccccc2C1 ZINC000780478982 698523075 /nfs/dbraw/zinc/52/30/75/698523075.db2.gz AGYAMENHEKTARQ-CYBMUJFWSA-N -1 1 319.405 1.549 20 0 DDADMM CC[C@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000305226857 698642593 /nfs/dbraw/zinc/64/25/93/698642593.db2.gz ZEWQZBIIKIBNPU-GZMMTYOYSA-N -1 1 309.819 1.149 20 0 DDADMM O=C([N-]N1Cc2ccccc2C1=O)c1cc(-c2ccco2)[nH]n1 ZINC000782075586 698681566 /nfs/dbraw/zinc/68/15/66/698681566.db2.gz XORWUQNJPQXSDC-UHFFFAOYSA-N -1 1 308.297 1.971 20 0 DDADMM COC(=O)c1c(C)[n-]nc1S(=O)(=O)Oc1ccccc1C ZINC000750943618 700259627 /nfs/dbraw/zinc/25/96/27/700259627.db2.gz KUMJGQZPUACCES-UHFFFAOYSA-N -1 1 310.331 1.581 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@]23CCC[C@H]2N(C/C=C/Cl)CC3)c1[O-] ZINC000990194226 698990288 /nfs/dbraw/zinc/99/02/88/698990288.db2.gz QWPHPADTUMJROO-AWMRPNINSA-N -1 1 324.812 1.903 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-])C1CC1 ZINC000378700788 699056783 /nfs/dbraw/zinc/05/67/83/699056783.db2.gz NGXPQCMOSWURSV-JTQLQIEISA-N -1 1 318.326 1.437 20 0 DDADMM CCc1n[nH]cc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000785168413 699058667 /nfs/dbraw/zinc/05/86/67/699058667.db2.gz CPCTZGCAYHXUMV-UHFFFAOYSA-N -1 1 301.302 1.086 20 0 DDADMM CC1(C)CC(CC(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990973817 699196856 /nfs/dbraw/zinc/19/68/56/699196856.db2.gz BQQSHKLRUWJCGJ-UHFFFAOYSA-N -1 1 317.389 1.554 20 0 DDADMM COc1cc(C)cc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1C ZINC000787649056 699227796 /nfs/dbraw/zinc/22/77/96/699227796.db2.gz JIHYLIWCKDIKLF-UHFFFAOYSA-N -1 1 317.345 1.191 20 0 DDADMM C[C@@H]1COCC[C@H]1[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000703057407 699235312 /nfs/dbraw/zinc/23/53/12/699235312.db2.gz CLVFTBNFTQTVPY-HTQZYQBOSA-N -1 1 308.762 1.577 20 0 DDADMM Cc1ccc(CN2CC[C@](O)(C(=O)[O-])C2)cc1Br ZINC000706470811 699243512 /nfs/dbraw/zinc/24/35/12/699243512.db2.gz CODCVZHJPAKDCF-CYBMUJFWSA-N -1 1 314.179 1.779 20 0 DDADMM COC(C)(C)CC[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000711907577 699260489 /nfs/dbraw/zinc/26/04/89/699260489.db2.gz OCDSELQVHBRODQ-UHFFFAOYSA-N -1 1 310.778 1.968 20 0 DDADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000717109624 699286266 /nfs/dbraw/zinc/28/62/66/699286266.db2.gz MOOBMIMFIJUTDO-DOLQZWNJSA-N -1 1 303.815 1.644 20 0 DDADMM COc1cc(C(=O)NOC[C@H]2CCOC2)cc(Cl)c1[O-] ZINC000788569690 699324702 /nfs/dbraw/zinc/32/47/02/699324702.db2.gz HVPOFVMULBLTAJ-QMMMGPOBSA-N -1 1 301.726 1.752 20 0 DDADMM O=C(CNC(=O)c1c([O-])cccc1Cl)Nc1cccnc1 ZINC000725903062 699339933 /nfs/dbraw/zinc/33/99/33/699339933.db2.gz PXJRLTMZIPYKJB-UHFFFAOYSA-N -1 1 305.721 1.809 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CC[C@H]2CCCC[C@H]2C1 ZINC000727848758 699427986 /nfs/dbraw/zinc/42/79/86/699427986.db2.gz PIHGYLPYUFYXQR-HZSPNIEDSA-N -1 1 319.405 1.402 20 0 DDADMM O=C(Nc1nc(Cl)ccc1[O-])[C@@H]1CC(c2cccnc2)=NO1 ZINC000790047602 699437219 /nfs/dbraw/zinc/43/72/19/699437219.db2.gz LVBWLGVVAYAONU-NSHDSACASA-N -1 1 318.720 1.967 20 0 DDADMM O=C(C[C@H]1C=CS(=O)(=O)C1)Nc1nc(Cl)ccc1[O-] ZINC000790047319 699437451 /nfs/dbraw/zinc/43/74/51/699437451.db2.gz CRAABLNJJPDJHT-SSDOTTSWSA-N -1 1 302.739 1.328 20 0 DDADMM CC(=CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC000732089055 699545893 /nfs/dbraw/zinc/54/58/93/699545893.db2.gz AGMFTHPIBFTVPY-PGJNLMOESA-N -1 1 317.324 1.342 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3CC[C@@H](CO)CC3)ccnc1-2 ZINC000791087574 699610888 /nfs/dbraw/zinc/61/08/88/699610888.db2.gz DSKLYTSOEHHGKH-PHIMTYICSA-N -1 1 303.366 1.014 20 0 DDADMM Cc1noc(C)c1CCNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791086933 699610955 /nfs/dbraw/zinc/61/09/55/699610955.db2.gz BBHXHDHFSSAOTG-UHFFFAOYSA-N -1 1 314.349 1.311 20 0 DDADMM COc1cc(CC(=O)N=c2ccnc3n(C)[n-]cc2-3)ccc1C ZINC000791165405 699613758 /nfs/dbraw/zinc/61/37/58/699613758.db2.gz QPGKZERIZKASOR-UHFFFAOYSA-N -1 1 310.357 1.840 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)[C@H]1CCOC1)c1nc(C2CC2)no1 ZINC000735674483 699700092 /nfs/dbraw/zinc/70/00/92/699700092.db2.gz OMTPOTUNHGSVJB-QWRGUYRKSA-N -1 1 315.395 1.352 20 0 DDADMM C[C@@H](CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)C1CCCCC1 ZINC000735976166 699714896 /nfs/dbraw/zinc/71/48/96/699714896.db2.gz OHYNGPYOCBRQQB-WCQYABFASA-N -1 1 307.398 1.706 20 0 DDADMM O=S(=O)([N-]CCNc1ncccn1)c1cc(F)ccc1F ZINC000736329155 699723981 /nfs/dbraw/zinc/72/39/81/699723981.db2.gz FNAHZFMGSAXPTO-UHFFFAOYSA-N -1 1 314.317 1.145 20 0 DDADMM COC(=O)c1ccc(OS(=O)(=O)c2c[n-]cn2)c(Cl)c1 ZINC000736626035 699728762 /nfs/dbraw/zinc/72/87/62/699728762.db2.gz RQQIKNIEJSYBHO-UHFFFAOYSA-N -1 1 316.722 1.617 20 0 DDADMM O[C@H](CNc1cccc(-c2nnn[n-]2)n1)c1cccc(F)c1 ZINC000738385824 699758587 /nfs/dbraw/zinc/75/85/87/699758587.db2.gz YQVVNGJMTDXUIC-GFCCVEGCSA-N -1 1 300.297 1.546 20 0 DDADMM O[C@H](CNc1cccc(-c2nn[n-]n2)n1)c1cccc(F)c1 ZINC000738385824 699758590 /nfs/dbraw/zinc/75/85/90/699758590.db2.gz YQVVNGJMTDXUIC-GFCCVEGCSA-N -1 1 300.297 1.546 20 0 DDADMM CN(C[C@@H]1CCS(=O)(=O)C1)C(=O)c1c([O-])cccc1Cl ZINC000740939845 699806732 /nfs/dbraw/zinc/80/67/32/699806732.db2.gz LOVWJDXTAQVNPV-VIFPVBQESA-N -1 1 317.794 1.552 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)F)[C@H]1CN(Cc2ccccc2)CCO1 ZINC000741233851 699823550 /nfs/dbraw/zinc/82/35/50/699823550.db2.gz NQICFAHDNHDMAE-WCQYABFASA-N -1 1 316.323 1.954 20 0 DDADMM CCOC(=O)[C@@H](CC(C)C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796362184 699929120 /nfs/dbraw/zinc/92/91/20/699929120.db2.gz MMZSPWXNQSMKTG-GFCCVEGCSA-N -1 1 311.334 1.936 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2ccc(NC(C)=O)cc2)[n-]1 ZINC000796716026 699948794 /nfs/dbraw/zinc/94/87/94/699948794.db2.gz VFEBBFLPSSQUNQ-UHFFFAOYSA-N -1 1 302.286 1.979 20 0 DDADMM Cc1nc(COC(=O)c2nn(-c3ccc(F)cc3)cc2[O-])no1 ZINC000801324905 700297270 /nfs/dbraw/zinc/29/72/70/700297270.db2.gz FMOQMTGZROVBAK-UHFFFAOYSA-N -1 1 318.264 1.765 20 0 DDADMM CCOC(=O)COC(=O)c1nn(-c2cccc(Cl)c2)cc1[O-] ZINC000801357603 700301080 /nfs/dbraw/zinc/30/10/80/700301080.db2.gz BWBGIABOBDOOCF-UHFFFAOYSA-N -1 1 324.720 1.951 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2C[C@@H]2C2CC2)cc1 ZINC000751736995 700311600 /nfs/dbraw/zinc/31/16/00/700311600.db2.gz CHQHJJUJFNMQCL-KGLIPLIRSA-N -1 1 317.341 1.541 20 0 DDADMM CC[C@H](OC(=O)c1sccc1[N-]S(C)(=O)=O)C(=O)OC ZINC000801825415 700342527 /nfs/dbraw/zinc/34/25/27/700342527.db2.gz AOSNCPDCLWFZJU-QMMMGPOBSA-N -1 1 321.376 1.228 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCC[C@@H]2CCCO2)o1 ZINC000756071397 700602028 /nfs/dbraw/zinc/60/20/28/700602028.db2.gz DXMDIVAGQNFYPE-JTQLQIEISA-N -1 1 317.363 1.304 20 0 DDADMM COC(=O)[C@]1(C)CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000760104270 700791462 /nfs/dbraw/zinc/79/14/62/700791462.db2.gz JSXIOYCXPQNRCA-MRXNPFEDSA-N -1 1 321.377 1.639 20 0 DDADMM Cc1onc(-c2ccccc2)c1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765391142 701009366 /nfs/dbraw/zinc/00/93/66/701009366.db2.gz MSXULJGANBEPRY-UHFFFAOYSA-N -1 1 314.301 1.429 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc3cc(Cl)ccc3o2)nc1=O ZINC000765410240 701010528 /nfs/dbraw/zinc/01/05/28/701010528.db2.gz SUVYMVQIVWCJCG-UHFFFAOYSA-N -1 1 307.693 1.865 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(-c3cccc(F)c3)no2)nc1=O ZINC000765434903 701012590 /nfs/dbraw/zinc/01/25/90/701012590.db2.gz BTHPGVLOOBZNKE-UHFFFAOYSA-N -1 1 318.264 1.260 20 0 DDADMM COc1ccccc1[C@@H](C)CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765468921 701014367 /nfs/dbraw/zinc/01/43/67/701014367.db2.gz VPMXDNFIXYUDCG-JTQLQIEISA-N -1 1 305.334 1.354 20 0 DDADMM CCOc1cc(Cl)ccc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765512493 701017360 /nfs/dbraw/zinc/01/73/60/701017360.db2.gz GYBIUFOPERRNEH-UHFFFAOYSA-N -1 1 311.725 1.518 20 0 DDADMM COc1cccc(Cl)c1CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765583068 701019708 /nfs/dbraw/zinc/01/97/08/701019708.db2.gz PJZQHQCKHSVNKM-UHFFFAOYSA-N -1 1 311.725 1.056 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCN2C(=O)CC[C@@H]2C1 ZINC000766046339 701035232 /nfs/dbraw/zinc/03/52/32/701035232.db2.gz AQRXRGKUVMCZKO-LLVKDONJSA-N -1 1 318.377 1.061 20 0 DDADMM CN(C)c1ccc(NC(=O)c2ccc([O-])c(F)c2)c(C(N)=O)c1 ZINC000768376198 701172223 /nfs/dbraw/zinc/17/22/23/701172223.db2.gz LRGJRTAHNIKLLN-UHFFFAOYSA-N -1 1 317.320 1.949 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCc1ccncc1 ZINC000769140099 701234002 /nfs/dbraw/zinc/23/40/02/701234002.db2.gz SJITUDZRZOHWOT-UHFFFAOYSA-N -1 1 300.362 1.982 20 0 DDADMM CCc1ccc([C@H](C)NC(=O)COC(=O)c2cn[n-]n2)cc1 ZINC000805606708 701398936 /nfs/dbraw/zinc/39/89/36/701398936.db2.gz LFTMTHMPLLOVKU-JTQLQIEISA-N -1 1 302.334 1.401 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3ccc(F)cc3F)ccnc1-2 ZINC000806482110 701437044 /nfs/dbraw/zinc/43/70/44/701437044.db2.gz MNNIZIAXZDPZFR-UHFFFAOYSA-N -1 1 317.299 1.942 20 0 DDADMM O=c1cc(/C=C/c2cccc(Br)n2)nc2nc[n-]n21 ZINC000806570793 701441518 /nfs/dbraw/zinc/44/15/18/701441518.db2.gz LDHIWSBEAHBLDR-SNAWJCMRSA-N -1 1 318.134 1.746 20 0 DDADMM Cc1cccnc1C=CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000807995546 701493685 /nfs/dbraw/zinc/49/36/85/701493685.db2.gz GVSGPQDUOKHXCV-ZHRWSRJISA-N -1 1 314.345 1.898 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCC(C)(C)OC ZINC000867728733 701717423 /nfs/dbraw/zinc/71/74/23/701717423.db2.gz ZTYUBHWWTJHSNB-UHFFFAOYSA-N -1 1 300.446 1.144 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@]23CCC[C@H]2OCC3)c1 ZINC000867885321 701810859 /nfs/dbraw/zinc/81/08/59/701810859.db2.gz MFAIXMUJSKUTIR-MFKMUULPSA-N -1 1 315.347 1.056 20 0 DDADMM CC[C@H](C[C@@H](C)O)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000867932859 701839898 /nfs/dbraw/zinc/83/98/98/701839898.db2.gz UCSKLNRJNZHPMN-RKDXNWHRSA-N -1 1 323.361 1.801 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@@H](O)C2CCCC2)c1Cl ZINC000867933751 701841669 /nfs/dbraw/zinc/84/16/69/701841669.db2.gz VHEWOMHACNYFAN-SNVBAGLBSA-N -1 1 321.830 1.293 20 0 DDADMM CNC(=O)NC1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000839899582 701859566 /nfs/dbraw/zinc/85/95/66/701859566.db2.gz RZJMAWXQXVAMMP-UHFFFAOYSA-N -1 1 311.769 1.579 20 0 DDADMM CCn1ncc(C(=O)OCCc2cc(C(C)=O)ccc2[O-])n1 ZINC000815426682 701868738 /nfs/dbraw/zinc/86/87/38/701868738.db2.gz UOFHEKQXIRYUSV-UHFFFAOYSA-N -1 1 303.318 1.606 20 0 DDADMM O=C(NC1CC2(CSC2)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000866136334 706629123 /nfs/dbraw/zinc/62/91/23/706629123.db2.gz PGLZSJBMOPVEFW-UHFFFAOYSA-N -1 1 301.371 1.549 20 0 DDADMM CC(C)CC1(C)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000811532121 701998154 /nfs/dbraw/zinc/99/81/54/701998154.db2.gz LKDHAPKDXXNCIL-UHFFFAOYSA-N -1 1 321.421 1.602 20 0 DDADMM CCN(CC(=O)NC)c1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000811809531 702065147 /nfs/dbraw/zinc/06/51/47/702065147.db2.gz HMFDOQFVGGBYIU-UHFFFAOYSA-N -1 1 315.377 1.433 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CCCC2(F)F)co1 ZINC000816395818 702081466 /nfs/dbraw/zinc/08/14/66/702081466.db2.gz YJORGOXGFHYHQL-SECBINFHSA-N -1 1 323.317 1.780 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2csc3cncn32)n1 ZINC000816535862 702108997 /nfs/dbraw/zinc/10/89/97/702108997.db2.gz CNFSLWYYBHQHHF-UHFFFAOYSA-N -1 1 305.319 1.548 20 0 DDADMM O=C([N-]CC1CN(C(=O)Nc2ccc(F)cc2)C1)C(F)(F)F ZINC000866183967 706642513 /nfs/dbraw/zinc/64/25/13/706642513.db2.gz GPLRVHZGFYQWLR-UHFFFAOYSA-N -1 1 319.258 1.968 20 0 DDADMM Cc1ccc(CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cn1 ZINC000831154222 706645226 /nfs/dbraw/zinc/64/52/26/706645226.db2.gz KRBIHRLGSCSOSO-UHFFFAOYSA-N -1 1 315.295 1.069 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@H](C(C)C)N1C[C@@H](C)O[C@H](C)C1 ZINC000812803023 702242778 /nfs/dbraw/zinc/24/27/78/702242778.db2.gz PMZQJBJAHMTJAM-KFWWJZLASA-N -1 1 316.442 1.593 20 0 DDADMM CC[C@H]1C[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)CCO1 ZINC000817145212 702288110 /nfs/dbraw/zinc/28/81/10/702288110.db2.gz DKCBLLKDKPBHJQ-IUCAKERBSA-N -1 1 307.803 1.309 20 0 DDADMM C[C@H](CC1CCC1)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868984092 702415657 /nfs/dbraw/zinc/41/56/57/702415657.db2.gz QJUALZUFTRFMKK-SNVBAGLBSA-N -1 1 320.393 1.633 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCCCCSC ZINC000841418918 702442956 /nfs/dbraw/zinc/44/29/56/702442956.db2.gz HAKLUBJNMREIRA-UHFFFAOYSA-N -1 1 315.395 1.550 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)Cc1ccc(Cl)nc1 ZINC000841534938 702485346 /nfs/dbraw/zinc/48/53/46/702485346.db2.gz OGDBPXLLPHJFHK-JTQLQIEISA-N -1 1 318.782 1.106 20 0 DDADMM CCN(C[C@H](O)c1ccc(C)cc1)C(=O)CCc1nn[n-]n1 ZINC000866333064 706675289 /nfs/dbraw/zinc/67/52/89/706675289.db2.gz HSMLCBIOKWWRGO-ZDUSSCGKSA-N -1 1 303.366 1.023 20 0 DDADMM C[C@@H]1CCN(C(=O)C2CSC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000869312676 702565014 /nfs/dbraw/zinc/56/50/14/702565014.db2.gz SAGJMAZRMGNTIH-APPZFPTMSA-N -1 1 310.341 1.265 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CC(C)(C)CO2)co1 ZINC000869450477 702611312 /nfs/dbraw/zinc/61/13/12/702611312.db2.gz DCELDJDPGFXVST-JTQLQIEISA-N -1 1 317.363 1.160 20 0 DDADMM CCc1[nH]ccc1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869518728 702633157 /nfs/dbraw/zinc/63/31/57/702633157.db2.gz OBJYSRHDJBFTSD-UHFFFAOYSA-N -1 1 320.267 1.372 20 0 DDADMM COC(=O)c1ccccc1NC(=O)CO[N-]C(=O)CC(C)(C)C ZINC000842060816 702665638 /nfs/dbraw/zinc/66/56/38/702665638.db2.gz QPULLYSKVTZHAW-UHFFFAOYSA-N -1 1 322.361 1.896 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])C[N@H+]1CCOc1ccccc1C(=O)[O-] ZINC000842934678 702792153 /nfs/dbraw/zinc/79/21/53/702792153.db2.gz UAVURFAFQFYPTM-VXGBXAGGSA-N -1 1 307.346 1.949 20 0 DDADMM CSCC(C)(C)C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843013243 702803347 /nfs/dbraw/zinc/80/33/47/702803347.db2.gz DIWVNHYLSGVYMA-UHFFFAOYSA-N -1 1 322.496 1.306 20 0 DDADMM CSc1ccc(CCCC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000843768483 702921465 /nfs/dbraw/zinc/92/14/65/702921465.db2.gz WYROQRAHYPFVQH-UHFFFAOYSA-N -1 1 307.375 1.314 20 0 DDADMM O=c1cc(CN2C[C@@H]3C[C@H]2C[S@]3=O)c2ccc([O-])cc2o1 ZINC000844431539 703030714 /nfs/dbraw/zinc/03/07/14/703030714.db2.gz RJJBKBFHVCVOMO-HPPJEKTDSA-N -1 1 305.355 1.204 20 0 DDADMM C[S@](=O)CCN(CC(=O)[O-])Cc1ccc(C(F)(F)F)cc1 ZINC000846269479 703258695 /nfs/dbraw/zinc/25/86/95/703258695.db2.gz QRTBDYIXVRNUIL-NRFANRHFSA-N -1 1 323.336 1.971 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)c2c(C)n[nH]c2C)n1 ZINC000846651731 703308754 /nfs/dbraw/zinc/30/87/54/703308754.db2.gz YZKGFZNVENHIJL-ZETCQYMHSA-N -1 1 305.338 1.669 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1cc2ccccc2s1 ZINC000866600985 706741658 /nfs/dbraw/zinc/74/16/58/706741658.db2.gz NIIHSGOAOJLQMT-UHFFFAOYSA-N -1 1 318.445 1.963 20 0 DDADMM CON(C)CC[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000849407350 703644772 /nfs/dbraw/zinc/64/47/72/703644772.db2.gz WABSSSMEKFXDIL-UHFFFAOYSA-N -1 1 319.235 1.826 20 0 DDADMM O=S(=O)([N-][C@H]1C=CCC1)c1ncccc1Br ZINC000849429704 703647076 /nfs/dbraw/zinc/64/70/76/703647076.db2.gz UQGPMFTYSYFCDJ-QMMMGPOBSA-N -1 1 303.181 1.841 20 0 DDADMM CC(=O)[C@@H](C)CCOC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000849699158 703669027 /nfs/dbraw/zinc/66/90/27/703669027.db2.gz BUTXBZSDXOAMNT-QMMMGPOBSA-N -1 1 319.404 1.892 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)C2CCCC2)co1 ZINC000851138573 703783586 /nfs/dbraw/zinc/78/35/86/703783586.db2.gz BQCNXZAKWMSHKG-VIFPVBQESA-N -1 1 301.364 1.923 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)c2[nH]nc3ccccc32)CC12CCC2 ZINC000851613512 703815538 /nfs/dbraw/zinc/81/55/38/703815538.db2.gz OFSXVIDDXHSIJA-MRXNPFEDSA-N -1 1 317.320 1.982 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1CCCC(F)(F)C1 ZINC000866984515 706864620 /nfs/dbraw/zinc/86/46/20/706864620.db2.gz XGOONCXVVSHDTK-VIFPVBQESA-N -1 1 318.411 1.907 20 0 DDADMM CO[C@@H](C)CC[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000866707279 706771271 /nfs/dbraw/zinc/77/12/71/706771271.db2.gz GOZMLRYBWXLVRU-WLRWDXFRSA-N -1 1 320.436 1.403 20 0 DDADMM CNC(=O)NC[C@H]1CCCCN1C(=O)c1ncc(C)cc1[O-] ZINC000870759241 704123254 /nfs/dbraw/zinc/12/32/54/704123254.db2.gz ARQGHYYFNDKBLL-LLVKDONJSA-N -1 1 306.366 1.019 20 0 DDADMM O=CN1CCCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CC1 ZINC000819431208 704124067 /nfs/dbraw/zinc/12/40/67/704124067.db2.gz DMNSKVBWIJRWHZ-UHFFFAOYSA-N -1 1 316.279 1.715 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCN(C)C(=O)C1 ZINC000819620989 704151969 /nfs/dbraw/zinc/15/19/69/704151969.db2.gz XEIUOZUMZFDASQ-NSHDSACASA-N -1 1 320.393 1.212 20 0 DDADMM CC(C)(C)[C@H](C(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000852948967 704177487 /nfs/dbraw/zinc/17/74/87/704177487.db2.gz QHYBGWRLGRWVNN-LBPRGKRZSA-N -1 1 316.361 1.835 20 0 DDADMM CC(C)(C)[C@H](C(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000852948967 704177488 /nfs/dbraw/zinc/17/74/88/704177488.db2.gz QHYBGWRLGRWVNN-LBPRGKRZSA-N -1 1 316.361 1.835 20 0 DDADMM CCOC(=O)[C@@H](C)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000819858879 704179114 /nfs/dbraw/zinc/17/91/14/704179114.db2.gz MLBMXHNUERYYAG-MRVPVSSYSA-N -1 1 307.318 1.503 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@@H]2CCCOC2)c1 ZINC000819957877 704193091 /nfs/dbraw/zinc/19/30/91/704193091.db2.gz PURMCHQGYONUQF-XUSGNXJCSA-N -1 1 312.387 1.773 20 0 DDADMM Cc1noc(C2CC2)c1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000819968631 704194037 /nfs/dbraw/zinc/19/40/37/704194037.db2.gz FIRCDHWLHQJMIU-JTQLQIEISA-N -1 1 318.333 1.969 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1CCCC[C@@H]1C ZINC000820587926 704305324 /nfs/dbraw/zinc/30/53/24/704305324.db2.gz LBYKKNVRZVUDLV-CMPLNLGQSA-N -1 1 318.377 1.638 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)N=S2(=O)CCCC2)ccc1F ZINC000820782471 704330125 /nfs/dbraw/zinc/33/01/25/704330125.db2.gz LGNOFOSBMVCWMS-UHFFFAOYSA-N -1 1 320.411 1.730 20 0 DDADMM CC(C)N(CCOCCO)C(=O)c1c([O-])cccc1Cl ZINC000854389623 704388835 /nfs/dbraw/zinc/38/88/35/704388835.db2.gz QXCBQCBHABWVIQ-UHFFFAOYSA-N -1 1 301.770 1.905 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)C(=O)C2CC2)c1 ZINC000821351960 704395324 /nfs/dbraw/zinc/39/53/24/704395324.db2.gz FNAIXXYPCXIIGT-LADRHHBVSA-N -1 1 310.371 1.714 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCC[C@@H](C)O)c1 ZINC000821353397 704395547 /nfs/dbraw/zinc/39/55/47/704395547.db2.gz UADBLQPSYSLKKL-GIPWTMENSA-N -1 1 300.376 1.507 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](O)c2ccccc2C)sn1 ZINC000866846628 706823458 /nfs/dbraw/zinc/82/34/58/706823458.db2.gz BELFMTZDTSFEEN-GFCCVEGCSA-N -1 1 312.416 1.772 20 0 DDADMM COC(=O)CC(C)(C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000866860154 706828239 /nfs/dbraw/zinc/82/82/39/706828239.db2.gz VKQPOMUQHCSBFG-UHFFFAOYSA-N -1 1 311.306 1.837 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)Cc2ccc(F)cc2Cl)no1 ZINC000866870803 706830776 /nfs/dbraw/zinc/83/07/76/706830776.db2.gz VCBNARROSWRURC-UHFFFAOYSA-N -1 1 319.745 1.790 20 0 DDADMM Cc1nc(N)sc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000832055088 706833781 /nfs/dbraw/zinc/83/37/81/706833781.db2.gz XZSJSQTYCIWBNH-SSDOTTSWSA-N -1 1 309.351 1.142 20 0 DDADMM O=C(NCC(F)(F)F)[C@H]1CCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858491090 704717994 /nfs/dbraw/zinc/71/79/94/704717994.db2.gz XUIZJQKDMUTPIT-LURJTMIESA-N -1 1 324.690 1.340 20 0 DDADMM COc1ccccc1CCNC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C ZINC000832613381 706949667 /nfs/dbraw/zinc/94/96/67/706949667.db2.gz LOCHWSDIKQXJEZ-HNNXBMFYSA-N -1 1 322.405 1.443 20 0 DDADMM CO[C@@H]1Cc2ccc(NC(=O)CCCc3nn[n-]n3)cc2C1 ZINC000821858679 704828073 /nfs/dbraw/zinc/82/80/73/704828073.db2.gz ZRNSLTNTSOMBGT-CYBMUJFWSA-N -1 1 301.350 1.275 20 0 DDADMM CCON(CC)C(=O)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000859273239 704844914 /nfs/dbraw/zinc/84/49/14/704844914.db2.gz IOONPRUVXGRIQC-UHFFFAOYSA-N -1 1 322.333 1.043 20 0 DDADMM Cc1cc(C(F)F)oc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000859278092 704846418 /nfs/dbraw/zinc/84/64/18/704846418.db2.gz KCIAZMXBGLHHCE-QMMMGPOBSA-N -1 1 313.264 1.252 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]1c1ccsc1)c1c[nH]nc1Cl ZINC000859342940 704871358 /nfs/dbraw/zinc/87/13/58/704871358.db2.gz OSRYIIOKFJTQLZ-JGVFFNPUSA-N -1 1 303.796 1.959 20 0 DDADMM Cc1nc(CNc2c3ccccc3nnc2-c2nnn[n-]2)no1 ZINC000822444428 704949163 /nfs/dbraw/zinc/94/91/63/704949163.db2.gz QPDWIIYGJOISKG-UHFFFAOYSA-N -1 1 309.293 1.113 20 0 DDADMM Cc1nc(CNc2c3ccccc3nnc2-c2nn[n-]n2)no1 ZINC000822444428 704949167 /nfs/dbraw/zinc/94/91/67/704949167.db2.gz QPDWIIYGJOISKG-UHFFFAOYSA-N -1 1 309.293 1.113 20 0 DDADMM CC1=C(Br)CN(C(=O)CCCc2nn[n-]n2)CC1 ZINC000822633897 704993435 /nfs/dbraw/zinc/99/34/35/704993435.db2.gz YRYUMTHGMISFPX-UHFFFAOYSA-N -1 1 314.187 1.424 20 0 DDADMM O=C(Cc1cccc(F)c1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000823111419 705142893 /nfs/dbraw/zinc/14/28/93/705142893.db2.gz HOYTVLSZWAWVLB-UHFFFAOYSA-N -1 1 304.310 1.644 20 0 DDADMM O=C(Cc1cccc(F)c1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000823111419 705142895 /nfs/dbraw/zinc/14/28/95/705142895.db2.gz HOYTVLSZWAWVLB-UHFFFAOYSA-N -1 1 304.310 1.644 20 0 DDADMM Cn1[n-]c(COC(=O)CCc2ccc(Cl)c(F)c2)nc1=O ZINC000860420761 705182789 /nfs/dbraw/zinc/18/27/89/705182789.db2.gz UUDZNABCPDOPEP-UHFFFAOYSA-N -1 1 313.716 1.577 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3CO[C@@H](C4CC4)C3)ccnc1-2 ZINC000861025899 705352331 /nfs/dbraw/zinc/35/23/31/705352331.db2.gz UOFODUDILBWJKD-ZWNOBZJWSA-N -1 1 301.350 1.031 20 0 DDADMM Cc1n[nH]cc1CN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000824050751 705363552 /nfs/dbraw/zinc/36/35/52/705363552.db2.gz JVRKZRZQMYBCMS-UHFFFAOYSA-N -1 1 303.366 1.918 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)c1cnc2n1CCOC2 ZINC000834821562 707129908 /nfs/dbraw/zinc/12/99/08/707129908.db2.gz BLAAOOUTTRMVNN-UHFFFAOYSA-N -1 1 307.737 1.702 20 0 DDADMM CC(C)CS(=O)(=O)CC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834833585 707133796 /nfs/dbraw/zinc/13/37/96/707133796.db2.gz DVUMYRXCBYIYCH-UHFFFAOYSA-N -1 1 319.810 1.733 20 0 DDADMM CON(C)CCCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000824542084 705476627 /nfs/dbraw/zinc/47/66/27/705476627.db2.gz LWBDQTDJRNSGLQ-UHFFFAOYSA-N -1 1 310.398 1.401 20 0 DDADMM CCC1(CNC(=O)Cc2noc(C)c2-c2nnn[n-]2)CCC1 ZINC000825170546 705615474 /nfs/dbraw/zinc/61/54/74/705615474.db2.gz BPJMXCUMJZWUEF-UHFFFAOYSA-N -1 1 304.354 1.402 20 0 DDADMM CCC1(CNC(=O)Cc2noc(C)c2-c2nn[n-]n2)CCC1 ZINC000825170546 705615476 /nfs/dbraw/zinc/61/54/76/705615476.db2.gz BPJMXCUMJZWUEF-UHFFFAOYSA-N -1 1 304.354 1.402 20 0 DDADMM CCOC(=O)c1cnn(Cc2ccccc2C)c1-c1nn[n-]n1 ZINC000825570968 705695135 /nfs/dbraw/zinc/69/51/35/705695135.db2.gz PVGNRIRAFXWNKV-UHFFFAOYSA-N -1 1 312.333 1.597 20 0 DDADMM Cc1ccc([C@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000826285448 705787916 /nfs/dbraw/zinc/78/79/16/705787916.db2.gz ACIRWVDBJYAZGC-ZDUSSCGKSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1ccc([C@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000826285448 705787917 /nfs/dbraw/zinc/78/79/17/705787917.db2.gz ACIRWVDBJYAZGC-ZDUSSCGKSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@@H](C)C2(C)CC2)c1-c1nnn[n-]1 ZINC000826342914 705793065 /nfs/dbraw/zinc/79/30/65/705793065.db2.gz FFAFTAFBJHUVCH-VIFPVBQESA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@@H](C)C2(C)CC2)c1-c1nn[n-]n1 ZINC000826342914 705793068 /nfs/dbraw/zinc/79/30/68/705793068.db2.gz FFAFTAFBJHUVCH-VIFPVBQESA-N -1 1 304.354 1.353 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2c([O-])cccc2Cl)CCS1(=O)=O ZINC000862990739 705844483 /nfs/dbraw/zinc/84/44/83/705844483.db2.gz RGPKPKATTFFGKS-VXNVDRBHSA-N -1 1 303.767 1.351 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cnc(C)n2C)c(Cl)n1 ZINC000863405872 705920898 /nfs/dbraw/zinc/92/08/98/705920898.db2.gz LDECPPPFAIVNHL-UHFFFAOYSA-N -1 1 316.770 1.586 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1cc(OC)cnc1F ZINC000863449255 705932995 /nfs/dbraw/zinc/93/29/95/705932995.db2.gz ANARZKZQOILKTE-UHFFFAOYSA-N -1 1 300.315 1.247 20 0 DDADMM CCCS(=O)(=O)CCN1CC[C@H](C(=O)[O-])[C@H]2CCC[C@H]21 ZINC000863476231 705936640 /nfs/dbraw/zinc/93/66/40/705936640.db2.gz XWLBKEADMXTAOC-FRRDWIJNSA-N -1 1 303.424 1.386 20 0 DDADMM C[C@H](c1nc(-c2ccc([O-])c(F)c2)no1)N1CCNCC1=O ZINC000863669709 705982149 /nfs/dbraw/zinc/98/21/49/705982149.db2.gz COBINTWAYMAABO-MRVPVSSYSA-N -1 1 306.297 1.074 20 0 DDADMM C/C(=C/c1cccnc1)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000864160496 706084984 /nfs/dbraw/zinc/08/49/84/706084984.db2.gz YAAXVOIGYARJFV-QLKUMGTLSA-N -1 1 317.389 1.492 20 0 DDADMM CN(CC(=O)NCc1ccccc1)CC(C)(C)CNC(=O)[O-] ZINC000828108055 706119336 /nfs/dbraw/zinc/11/93/36/706119336.db2.gz LFGDXMNBRGYCLN-UHFFFAOYSA-N -1 1 307.394 1.528 20 0 DDADMM CC1(C)[C@H](CS(=O)(=O)[N-]Cc2nc(C3CC3)no2)C1(F)F ZINC000881800513 707369740 /nfs/dbraw/zinc/36/97/40/707369740.db2.gz ZVVGIQHUMSSLNQ-QMMMGPOBSA-N -1 1 321.349 1.658 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@]1(C)CCOC1 ZINC000872449667 707417373 /nfs/dbraw/zinc/41/73/73/707417373.db2.gz RHTUKVZEWNLSRJ-ADLMAVQZSA-N -1 1 312.457 1.144 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1C[C@H](CO)[C@H](CO)C1 ZINC000829161182 706296014 /nfs/dbraw/zinc/29/60/14/706296014.db2.gz MOBFBRDCDSNZLH-BETUJISGSA-N -1 1 301.342 1.218 20 0 DDADMM O=S(=O)([N-][C@@H]1COc2ccccc2C1)c1c[nH]nc1Cl ZINC000829979376 706430284 /nfs/dbraw/zinc/43/02/84/706430284.db2.gz BQVHNHDGXTXOMX-VIFPVBQESA-N -1 1 313.766 1.345 20 0 DDADMM CCOC(=O)C(CC)(CC)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000878646207 706455212 /nfs/dbraw/zinc/45/52/12/706455212.db2.gz UGMDOLPHLBXDGJ-UHFFFAOYSA-N -1 1 323.393 1.466 20 0 DDADMM CCS(=O)(=O)CC[N-]S(=O)(=O)c1sccc1Cl ZINC000830371983 706504666 /nfs/dbraw/zinc/50/46/66/706504666.db2.gz QAIBBCGVVAEFFE-UHFFFAOYSA-N -1 1 317.841 1.115 20 0 DDADMM CO[C@]1(C)C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1(C)C ZINC000830759634 706575411 /nfs/dbraw/zinc/57/54/11/706575411.db2.gz FIBPHQWWQUUBDB-GZMMTYOYSA-N -1 1 307.803 1.545 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CCOCC2CC2)C1 ZINC000830815779 706585228 /nfs/dbraw/zinc/58/52/28/706585228.db2.gz FRPDWRDWJTZYRK-CYBMUJFWSA-N -1 1 322.327 1.473 20 0 DDADMM CS[C@H](C)CC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816484 706585642 /nfs/dbraw/zinc/58/56/42/706585642.db2.gz PRDINFYGLIGOES-LDYMZIIASA-N -1 1 312.357 1.798 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)c2c[nH]nc2Cl)CC1(Cl)Cl ZINC000832141350 706853287 /nfs/dbraw/zinc/85/32/87/706853287.db2.gz HSEUSUCSMPZLOD-ZETCQYMHSA-N -1 1 318.613 1.925 20 0 DDADMM CCc1cc(CNC(=O)N(C)[C@H](C(=O)[O-])c2ccccc2)n[nH]1 ZINC000908983392 712908426 /nfs/dbraw/zinc/90/84/26/712908426.db2.gz PUZMDYBUSDEIOY-AWEZNQCLSA-N -1 1 316.361 1.939 20 0 DDADMM CS[C@@H](C)C[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000867001651 706869160 /nfs/dbraw/zinc/86/91/60/706869160.db2.gz STSVIMSJZBINOB-XTZNXHDOSA-N -1 1 322.477 1.729 20 0 DDADMM CCS(=O)(=O)CCN(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000867036178 706878720 /nfs/dbraw/zinc/87/87/20/706878720.db2.gz SCMMGFJDFQUGGV-UHFFFAOYSA-N -1 1 319.810 1.481 20 0 DDADMM C[C@@H]1OCC[C@]1(C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867092765 706893800 /nfs/dbraw/zinc/89/38/00/706893800.db2.gz QLIOANNEKQAPGH-RCOVLWMOSA-N -1 1 324.200 1.018 20 0 DDADMM C/C=C\C[C@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867120669 706902556 /nfs/dbraw/zinc/90/25/56/706902556.db2.gz SWVHWQIAFHCRJX-YAJNLLPGSA-N -1 1 308.762 1.480 20 0 DDADMM CCn1cc(OS(=O)(=O)c2c[n-]nc2C(F)(F)F)cn1 ZINC000867180809 706917595 /nfs/dbraw/zinc/91/75/95/706917595.db2.gz XYEULKHWAICKSH-UHFFFAOYSA-N -1 1 310.257 1.413 20 0 DDADMM CC[C@@H]1C[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)CCO1 ZINC000867421373 706996518 /nfs/dbraw/zinc/99/65/18/706996518.db2.gz MUGPGIHZGXIUPW-GHMZBOCLSA-N -1 1 310.441 1.040 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)c1cc2n(n1)CCCO2 ZINC000834827030 707132262 /nfs/dbraw/zinc/13/22/62/707132262.db2.gz WARDHVJHMHNTBC-UHFFFAOYSA-N -1 1 307.737 1.955 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN([C@@H](C)c1n[nH]c(C)n1)CC2 ZINC000872167216 707311711 /nfs/dbraw/zinc/31/17/11/707311711.db2.gz GDPSVJDNUNADFL-VIFPVBQESA-N -1 1 316.361 1.939 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C[C@@H]1COCCO1)CC2 ZINC000872166673 707311905 /nfs/dbraw/zinc/31/19/05/707311905.db2.gz MZEOYJYIBFSTSK-LLVKDONJSA-N -1 1 307.346 1.167 20 0 DDADMM COc1ccc(CN2C[C@H](C(=O)[O-])[C@@H](C(F)(F)F)C2)cn1 ZINC000872168309 707312506 /nfs/dbraw/zinc/31/25/06/707312506.db2.gz SKWOQBFYFNPXQC-UWVGGRQHSA-N -1 1 304.268 1.785 20 0 DDADMM COC(=O)[C@@H]1CC(F)(F)CN1C(=O)c1ccc([O-])c(F)c1 ZINC000881727440 707338573 /nfs/dbraw/zinc/33/85/73/707338573.db2.gz CFTVJWYDJFLCKE-VIFPVBQESA-N -1 1 303.236 1.554 20 0 DDADMM C[C@@H](Cc1ccco1)[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872435142 707409013 /nfs/dbraw/zinc/40/90/13/707409013.db2.gz OCJRUMANEWKQMY-APBUJDDRSA-N -1 1 322.452 1.941 20 0 DDADMM COC[C@@H]1CCCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000836573977 707482349 /nfs/dbraw/zinc/48/23/49/707482349.db2.gz KUEPAFPFGHIAKU-GFCCVEGCSA-N -1 1 317.393 1.622 20 0 DDADMM C[C@@H]1c2sccc2CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000882186364 707529680 /nfs/dbraw/zinc/52/96/80/707529680.db2.gz YERDIRCUEXUUQL-SNVBAGLBSA-N -1 1 319.386 1.134 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CC23CCC3)c(C(F)(F)F)n1 ZINC000872649984 707532255 /nfs/dbraw/zinc/53/22/55/707532255.db2.gz BBYXOKHUGBRDDM-MRVPVSSYSA-N -1 1 309.313 1.660 20 0 DDADMM CCC(CC)N1C[C@H](C(=O)[N-]O[C@H](CO)C(C)C)CC1=O ZINC000836899825 707545208 /nfs/dbraw/zinc/54/52/08/707545208.db2.gz KZETUNJRCFNWGC-DGCLKSJQSA-N -1 1 300.399 1.088 20 0 DDADMM CC(C)OC(=O)[C@@H]([N-]S(=O)(=O)c1cccnc1F)C(C)C ZINC000882236272 707552337 /nfs/dbraw/zinc/55/23/37/707552337.db2.gz FGYHULMQRXJRFA-NSHDSACASA-N -1 1 318.370 1.475 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)c2nccn21 ZINC000836957819 707554253 /nfs/dbraw/zinc/55/42/53/707554253.db2.gz GSOSBWUQKVLJPA-WCBMZHEXSA-N -1 1 314.374 1.650 20 0 DDADMM C[N@H+]1CCCC(C)(C)[C@@H]1CNC(=O)N1CC2CC1(C(=O)[O-])C2 ZINC000909210257 712964568 /nfs/dbraw/zinc/96/45/68/712964568.db2.gz MWYXPYMSNZFAMJ-BGMSHATGSA-N -1 1 309.410 1.365 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCCCC(C)=O)co1 ZINC000837598609 707691892 /nfs/dbraw/zinc/69/18/92/707691892.db2.gz SJZKXVNCHAZXDP-UHFFFAOYSA-N -1 1 317.363 1.494 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC2(CC3CC3)CC2)c1Cl ZINC000882572886 707703941 /nfs/dbraw/zinc/70/39/41/707703941.db2.gz RIQYXENJKIXNEZ-UHFFFAOYSA-N -1 1 303.815 1.932 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@]2(C)CC2(C)C)c1 ZINC000882580296 707706653 /nfs/dbraw/zinc/70/66/53/707706653.db2.gz URNZUFLCXHLBMB-CYBMUJFWSA-N -1 1 301.364 1.781 20 0 DDADMM C[C@H]([N-]S(=O)(=O)C1CN(C(=O)OC(C)(C)C)C1)C(F)F ZINC000882870830 707830277 /nfs/dbraw/zinc/83/02/77/707830277.db2.gz RTPGQWQPWPJTCS-ZETCQYMHSA-N -1 1 314.354 1.179 20 0 DDADMM COc1cnc([C@@H]2CCCN2c2ccc3nccnc3n2)[n-]c1=O ZINC000897224531 708261038 /nfs/dbraw/zinc/26/10/38/708261038.db2.gz RKQTYBZWIJOBDM-NSHDSACASA-N -1 1 324.344 1.871 20 0 DDADMM COC[C@@H](O)CCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897268564 708274518 /nfs/dbraw/zinc/27/45/18/708274518.db2.gz MLSPNOUUQCBXPM-LBPRGKRZSA-N -1 1 305.330 1.378 20 0 DDADMM C[C@@H](C[C@H](O)c1cccs1)[N-]S(=O)(=O)c1ccns1 ZINC000885068722 708461258 /nfs/dbraw/zinc/46/12/58/708461258.db2.gz FFMBRWHHULVRJT-IUCAKERBSA-N -1 1 318.445 1.995 20 0 DDADMM CC[C@H]1CCC[C@H]1[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912543564 713033365 /nfs/dbraw/zinc/03/33/65/713033365.db2.gz ZOYLLLWUPGILPK-WCBMZHEXSA-N -1 1 301.368 1.053 20 0 DDADMM O=S(=O)([N-]C1([C@H]2CCCCO2)CCC1)c1ccns1 ZINC000885344677 708523587 /nfs/dbraw/zinc/52/35/87/708523587.db2.gz AEHATPPMJPIJOL-SNVBAGLBSA-N -1 1 302.421 1.913 20 0 DDADMM COC(=O)c1cc(OC)c(S(=O)(=O)[N-][C@@H](C)CF)s1 ZINC000885410700 708538166 /nfs/dbraw/zinc/53/81/66/708538166.db2.gz UJQHIEMOVJRMKL-LURJTMIESA-N -1 1 311.356 1.180 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC2(CCC2)CO1)c1c[nH]nc1Cl ZINC000885636115 708589067 /nfs/dbraw/zinc/58/90/67/708589067.db2.gz GDISFPLFPXZVQF-MRVPVSSYSA-N -1 1 305.787 1.301 20 0 DDADMM CCN1CCO[C@@H](C(=O)Nc2cc(F)c([O-])cc2Cl)C1 ZINC000885677012 708595470 /nfs/dbraw/zinc/59/54/70/708595470.db2.gz YSCREIDEGKUPTL-GFCCVEGCSA-N -1 1 302.733 1.844 20 0 DDADMM CN1CC[C@H](C(=O)Nc2cc(F)c([O-])cc2Cl)S1(=O)=O ZINC000885680482 708596530 /nfs/dbraw/zinc/59/65/30/708596530.db2.gz RLHPCDQPEDMLSM-SNVBAGLBSA-N -1 1 322.745 1.157 20 0 DDADMM CCn1cc(CC(=O)NCCc2c(F)cc([O-])cc2F)cn1 ZINC000886266789 708732505 /nfs/dbraw/zinc/73/25/05/708732505.db2.gz YWMUKQXIWNFWFQ-UHFFFAOYSA-N -1 1 309.316 1.788 20 0 DDADMM COC(=O)C[C@H](C)CC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886268640 708733271 /nfs/dbraw/zinc/73/32/71/708733271.db2.gz XJGQAFFBRRLRON-SECBINFHSA-N -1 1 315.316 1.918 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H]1CCCC[C@H]1O ZINC000927781272 713054600 /nfs/dbraw/zinc/05/46/00/713054600.db2.gz MUGNPTVUVCXTDZ-ZIAGYGMSSA-N -1 1 314.332 1.816 20 0 DDADMM CON(C)CCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927787600 713056256 /nfs/dbraw/zinc/05/62/56/713056256.db2.gz ZDOCLBLWIGUABG-UHFFFAOYSA-N -1 1 303.309 1.005 20 0 DDADMM CN(C)Cc1csc(CNC(=O)c2c([O-])cccc2F)n1 ZINC000927767396 713051401 /nfs/dbraw/zinc/05/14/01/713051401.db2.gz YVDKQWGRWFTXKP-UHFFFAOYSA-N -1 1 309.366 1.979 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CCCN1c1ccccc1 ZINC000898755368 708855859 /nfs/dbraw/zinc/85/58/59/708855859.db2.gz QBEAXGBXVCXBFI-LBPRGKRZSA-N -1 1 314.345 1.173 20 0 DDADMM CC(C)[C@@H](NC(=O)OC(C)(C)C)C(C)(C)C(=O)[N-]OCCO ZINC000898939738 708929797 /nfs/dbraw/zinc/92/97/97/708929797.db2.gz VYRBSBFGBBXVCN-LLVKDONJSA-N -1 1 318.414 1.602 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2cc(F)ccc2[O-])CCS1(=O)=O ZINC000887179440 708982002 /nfs/dbraw/zinc/98/20/02/708982002.db2.gz HNSASCORIRHEPL-WCBMZHEXSA-N -1 1 301.339 1.227 20 0 DDADMM CCN1CC[C@@H]1CNC(=O)C[C@@](C)(C(=O)[O-])c1ccccc1 ZINC000887315851 709015806 /nfs/dbraw/zinc/01/58/06/709015806.db2.gz CUICKDYUDMFBLL-RHSMWYFYSA-N -1 1 304.390 1.629 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(C)(C)C2CC2)o1 ZINC000887379188 709033238 /nfs/dbraw/zinc/03/32/38/709033238.db2.gz IPDDBQZAXYOXDH-UHFFFAOYSA-N -1 1 300.380 1.354 20 0 DDADMM N[C@H](Cc1cc2ccccc2o1)C(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000887395719 709035395 /nfs/dbraw/zinc/03/53/95/709035395.db2.gz TUTMCJVGDHQDAD-GXTWGEPZSA-N -1 1 316.357 1.626 20 0 DDADMM C[C@@H]1C[C@]2(CC[C@H](CNC(=O)c3cc(F)ccc3[O-])O2)CO1 ZINC000899478633 709093490 /nfs/dbraw/zinc/09/34/90/709093490.db2.gz JCYGMCWBMFBDMS-NSODJVPESA-N -1 1 309.337 1.988 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@@H]1C[C@@H]1CCOC1 ZINC000887810273 709129634 /nfs/dbraw/zinc/12/96/34/709129634.db2.gz CYRWTJFGPQVUAP-AAEUAGOBSA-N -1 1 309.337 1.799 20 0 DDADMM COC(=O)C1CC2(C1)C[C@H](NC(=O)c1cncc([O-])c1)CCO2 ZINC000899677467 709145142 /nfs/dbraw/zinc/14/51/42/709145142.db2.gz GUGCMVYDZBCXIT-BWBHSNQOSA-N -1 1 320.345 1.018 20 0 DDADMM CN(CCNC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccccc1 ZINC000900035360 709273480 /nfs/dbraw/zinc/27/34/80/709273480.db2.gz HHHMZZZUUBBJCU-UHFFFAOYSA-N -1 1 312.373 1.926 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCc1cnn(CCF)c1 ZINC000888323429 709274323 /nfs/dbraw/zinc/27/43/23/709274323.db2.gz QGBOBUQBLJGWLE-UHFFFAOYSA-N -1 1 321.356 1.710 20 0 DDADMM COc1cncc(CN[C@H]2CCCn3nc(C(=O)[O-])cc32)c1C ZINC000900073608 709282099 /nfs/dbraw/zinc/28/20/99/709282099.db2.gz KHKVZWRXXCSDML-LBPRGKRZSA-N -1 1 316.361 1.918 20 0 DDADMM O=C(N[C@@H]1CC12CC(O)C2)c1c([O-])cnc2c(F)cccc21 ZINC000888948542 709409543 /nfs/dbraw/zinc/40/95/43/709409543.db2.gz IWHQQMYZJPWJQO-ADOSGYPWSA-N -1 1 302.305 1.723 20 0 DDADMM CCn1cc(NC(=O)c2cnc(C3CC3)[n-]c2=O)ccc1=O ZINC000900259384 709508986 /nfs/dbraw/zinc/50/89/86/709508986.db2.gz DYWNJAYJWQKPJN-UHFFFAOYSA-N -1 1 300.318 1.494 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cnc(C)s1)c1nn[n-]n1 ZINC000912858012 713109246 /nfs/dbraw/zinc/10/92/46/713109246.db2.gz AVOUSSVYDWTCSS-XOULXFPDSA-N -1 1 324.435 1.588 20 0 DDADMM CSCC[C@H](NC(=O)C[C@@H]1CC(C)(C)CO1)c1nn[n-]n1 ZINC000912859827 713109354 /nfs/dbraw/zinc/10/93/54/713109354.db2.gz DZZKVUHOLRSXED-ZJUUUORDSA-N -1 1 313.427 1.315 20 0 DDADMM CSCC[C@H](NC(=O)CCc1ccsc1)c1nn[n-]n1 ZINC000912859700 713109558 /nfs/dbraw/zinc/10/95/58/713109558.db2.gz DNNXJGAAFPWEBT-JTQLQIEISA-N -1 1 311.436 1.804 20 0 DDADMM CSCC[C@H](NC(=O)c1cc(Cl)c[nH]1)c1nn[n-]n1 ZINC000912860664 713109894 /nfs/dbraw/zinc/10/98/94/713109894.db2.gz ZXLNFSUJTPLHSA-ZETCQYMHSA-N -1 1 300.775 1.405 20 0 DDADMM CO[C@H](C(=O)N[C@@H](CCSC)c1nn[n-]n1)c1ccccc1 ZINC000912860367 713110160 /nfs/dbraw/zinc/11/01/60/713110160.db2.gz NIZHNQYKRVWMQC-RYUDHWBXSA-N -1 1 321.406 1.498 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(C)c(C)n1)c1nn[n-]n1 ZINC000912863210 713111545 /nfs/dbraw/zinc/11/15/45/713111545.db2.gz OUISRHDGTXOGNU-JTQLQIEISA-N -1 1 306.395 1.436 20 0 DDADMM COc1cc(C(=O)[O-])ccc1CCNC(=O)[C@@H]1CCCN1C ZINC000909629344 709548012 /nfs/dbraw/zinc/54/80/12/709548012.db2.gz SKJDDEWEYWTJET-ZDUSSCGKSA-N -1 1 306.362 1.146 20 0 DDADMM Cc1c(C(=O)[O-])cnn1-c1cccc(NC(=O)[C@H](C)N(C)C)c1 ZINC000909639308 709552692 /nfs/dbraw/zinc/55/26/92/709552692.db2.gz GFWRCKZENNXAFN-NSHDSACASA-N -1 1 316.361 1.768 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)CCCCc1c[nH]nn1 ZINC000909677096 709571557 /nfs/dbraw/zinc/57/15/57/709571557.db2.gz DYYPLSLJVOUYQH-SUHUHFCYSA-N -1 1 306.366 1.229 20 0 DDADMM Cc1cc(C)cc([C@H](NC(=O)CCc2cnc[nH]2)C(=O)[O-])c1 ZINC000909795291 709624322 /nfs/dbraw/zinc/62/43/22/709624322.db2.gz XHMYKBRQYWZTRJ-HNNXBMFYSA-N -1 1 301.346 1.901 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cncc(F)c2)[n-]c1=O ZINC000889791897 709638068 /nfs/dbraw/zinc/63/80/68/709638068.db2.gz OWAOLTRMMNFGIG-NSHDSACASA-N -1 1 318.308 1.702 20 0 DDADMM CC[C@H](C)C[C@H](NC(=O)CN(C)[C@@H]1CCSC1)C(=O)[O-] ZINC000909867390 709660398 /nfs/dbraw/zinc/66/03/98/709660398.db2.gz MSQFQSXNLKISLQ-TUAOUCFPSA-N -1 1 302.440 1.429 20 0 DDADMM CC(C)(C)O[C@H]1C[C@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)C1 ZINC000909920076 709689779 /nfs/dbraw/zinc/68/97/79/709689779.db2.gz MAARJOQFGJWSMN-AVGNSLFASA-N -1 1 312.410 1.245 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)[C@H]2CCCc3[nH]ncc32)CC12CCC2 ZINC000909935705 709694576 /nfs/dbraw/zinc/69/45/76/709694576.db2.gz MEPNKCAMJIIWFQ-MGPLVRAMSA-N -1 1 321.352 1.635 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NC[C@H](CC(=O)[O-])C1CC1 ZINC000909969192 709712857 /nfs/dbraw/zinc/71/28/57/709712857.db2.gz PLQZIBAMIMCZSC-QMMMGPOBSA-N -1 1 319.283 1.968 20 0 DDADMM CC(C)CS(=O)(=O)CC(=O)Nc1cc([O-])c(F)cc1F ZINC000909974906 709715053 /nfs/dbraw/zinc/71/50/53/709715053.db2.gz LUEJBLQZNAJRRN-UHFFFAOYSA-N -1 1 307.318 1.680 20 0 DDADMM O=C(C[C@@H]1CCCS1(=O)=O)Nc1cc([O-])c(F)cc1F ZINC000909988216 709722198 /nfs/dbraw/zinc/72/21/98/709722198.db2.gz AARNHKVRDXTAAX-ZETCQYMHSA-N -1 1 305.302 1.576 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@H]1CCC[C@@]1(C)C(=O)[O-] ZINC000909992608 709725480 /nfs/dbraw/zinc/72/54/80/709725480.db2.gz FOAUQFSJZLBIMG-MAUKXSAKSA-N -1 1 318.417 1.921 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)N[C@H]1CCC[C@]1(C)C(=O)[O-] ZINC000910002096 709730979 /nfs/dbraw/zinc/73/09/79/709730979.db2.gz RMRXEXRLGOBTRR-LRDDRELGSA-N -1 1 312.410 1.104 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(F)c(C(F)F)c2)nc1=O ZINC000910021001 709739441 /nfs/dbraw/zinc/73/94/41/709739441.db2.gz CQNVWCZCRFQXMO-UHFFFAOYSA-N -1 1 301.224 1.542 20 0 DDADMM O=C([O-])[C@H]1CCCCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000910043659 709749134 /nfs/dbraw/zinc/74/91/34/709749134.db2.gz HDJYDSDRSGGWIU-CYBMUJFWSA-N -1 1 314.345 1.941 20 0 DDADMM CSC[C@](C)(O)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900785844 709757283 /nfs/dbraw/zinc/75/72/83/709757283.db2.gz HGYOATIALSDSPW-OAHLLOKOSA-N -1 1 324.377 1.923 20 0 DDADMM O=C([O-])[C@@H]1CSCCN(C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC000910149907 709792288 /nfs/dbraw/zinc/79/22/88/709792288.db2.gz NQIZFNQZPDWSII-LURJTMIESA-N -1 1 323.296 1.318 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C\C2(C)CCOCC2)CC1 ZINC000910172264 709797479 /nfs/dbraw/zinc/79/74/79/709797479.db2.gz ADKGWFXTHKXRNV-NQHOJNORSA-N -1 1 324.421 1.367 20 0 DDADMM Cc1ccc(O)cc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910182271 709800813 /nfs/dbraw/zinc/80/08/13/709800813.db2.gz WMIIUIFWAQQXRT-UHFFFAOYSA-N -1 1 306.362 1.322 20 0 DDADMM CCN(CC(=O)N[C@@H](Cc1cccc(OC)c1)C(=O)[O-])C1CC1 ZINC000910209657 709816446 /nfs/dbraw/zinc/81/64/46/709816446.db2.gz PGDGBNWKFZRCPB-HNNXBMFYSA-N -1 1 320.389 1.291 20 0 DDADMM Cc1nc(N2CCC[C@H](CN3CCOCC3)C2)ccc1C(=O)[O-] ZINC000910300768 709876174 /nfs/dbraw/zinc/87/61/74/709876174.db2.gz VCIQQMUOKHGLOM-CQSZACIVSA-N -1 1 319.405 1.637 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@H](Cc3ccccc3)[C@H](O)C2)c([O-])c1 ZINC000890513843 709899372 /nfs/dbraw/zinc/89/93/72/709899372.db2.gz ZODLCTHZDQTQMD-GDBMZVCRSA-N -1 1 312.369 1.771 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]2OCC[C@@H]21)c1cnc(C2CC2)[n-]c1=O ZINC000901067415 709911016 /nfs/dbraw/zinc/91/10/16/709911016.db2.gz VJENFAOZVMTXJI-RTXFEEFZSA-N -1 1 303.362 1.747 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CC[C@H](O)[C@@H](F)C1 ZINC000890682129 709949693 /nfs/dbraw/zinc/94/96/93/709949693.db2.gz RLWZDGYHSYPQOK-KBPBESRZSA-N -1 1 305.305 1.796 20 0 DDADMM CC[C@H](C)[C@H](CN(C)CC(=O)N1CCC(C(=O)[O-])CC1)OC ZINC000901626018 710100463 /nfs/dbraw/zinc/10/04/63/710100463.db2.gz IKVXYEZLPUNKFY-JSGCOSHPSA-N -1 1 314.426 1.303 20 0 DDADMM CN(C)c1ncc(CN(CC(=O)[O-])Cc2ccc(F)cc2)cn1 ZINC000901627027 710101020 /nfs/dbraw/zinc/10/10/20/710101020.db2.gz FUNUJPZVKMYJNQ-UHFFFAOYSA-N -1 1 318.352 1.769 20 0 DDADMM C[C@H]1CCN(C(=O)CN(C)CCc2ccccc2)C[C@H]1C(=O)[O-] ZINC000901698315 710122977 /nfs/dbraw/zinc/12/29/77/710122977.db2.gz WRJJSNGONOTHAD-GOEBONIOSA-N -1 1 318.417 1.730 20 0 DDADMM CCCOc1ccc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])cc1C ZINC000901744713 710138977 /nfs/dbraw/zinc/13/89/77/710138977.db2.gz BNJNOUZCXSMCEK-STQMWFEESA-N -1 1 322.405 1.851 20 0 DDADMM Cn1nc(C2CC2)cc1S(=O)(=O)[N-]c1cccc(O)c1F ZINC000901906527 710170818 /nfs/dbraw/zinc/17/08/18/710170818.db2.gz SDARXUGUGQQKFB-UHFFFAOYSA-N -1 1 311.338 1.943 20 0 DDADMM COC(=O)c1cc(C)cc([N-]S(=O)(=O)C[C@H]2CCCO2)c1 ZINC000901953302 710183701 /nfs/dbraw/zinc/18/37/01/710183701.db2.gz AFQVZKMCCQUXBC-CYBMUJFWSA-N -1 1 313.375 1.702 20 0 DDADMM CCn1nc(C)cc1C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891623376 710251368 /nfs/dbraw/zinc/25/13/68/710251368.db2.gz QXUOVMVDPYVYFO-UHFFFAOYSA-N -1 1 301.350 1.838 20 0 DDADMM COCc1nc(N2CCC[C@@H](c3ccnn3C)C2)cc(=O)[n-]1 ZINC000891918036 710327338 /nfs/dbraw/zinc/32/73/38/710327338.db2.gz UHRLRMVNSGVIJR-LLVKDONJSA-N -1 1 303.366 1.446 20 0 DDADMM CCCc1sc(C(=O)[N-]n2ccc(=O)[nH]c2=O)cc1CC ZINC000913241147 713184507 /nfs/dbraw/zinc/18/45/07/713184507.db2.gz SRVAICYGWXNPLR-UHFFFAOYSA-N -1 1 307.375 1.909 20 0 DDADMM Cc1ccc(CCC(=O)N(C)c2nn[n-]n2)c(Br)c1 ZINC000892954186 710531385 /nfs/dbraw/zinc/53/13/85/710531385.db2.gz FDOSUXDAIIDWOF-UHFFFAOYSA-N -1 1 324.182 1.866 20 0 DDADMM C[C@H](Oc1ccc(C(F)(F)F)cc1)C(=O)N(C)c1nn[n-]n1 ZINC000892954119 710531452 /nfs/dbraw/zinc/53/14/52/710531452.db2.gz CTYQCADGKIFHKE-ZETCQYMHSA-N -1 1 315.255 1.649 20 0 DDADMM COCc1nc(N[C@H](CO)Cc2ccc(F)cc2)cc(=O)[n-]1 ZINC000893060754 710548684 /nfs/dbraw/zinc/54/86/84/710548684.db2.gz LSIWIOVXHTZMLE-LBPRGKRZSA-N -1 1 307.325 1.483 20 0 DDADMM CC(C)CN1CCN(C(=O)Cc2ccc(C(=O)[O-])cc2)CC1 ZINC000911107325 710636249 /nfs/dbraw/zinc/63/62/49/710636249.db2.gz RFEXOVBYUFGDIG-UHFFFAOYSA-N -1 1 304.390 1.728 20 0 DDADMM C[C@@H]1CN(C(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)CC[C@H]1C(=O)[O-] ZINC000902162845 710641886 /nfs/dbraw/zinc/64/18/86/710641886.db2.gz WCFYXKHGQCEYNE-OXIWPEFWSA-N -1 1 305.378 1.645 20 0 DDADMM CCO[C@@H]1C[C@](O)(CNc2cc(=O)[n-]c(COC)n2)C1(C)C ZINC000893436889 710651819 /nfs/dbraw/zinc/65/18/19/710651819.db2.gz MWIXJWDWKPSAIO-BMIGLBTASA-N -1 1 311.382 1.307 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)NCc1ccc(O[C@H](C)C(=O)[O-])cc1 ZINC000902192918 710658845 /nfs/dbraw/zinc/65/88/45/710658845.db2.gz UEWDDIIXARFDFM-YNEHKIRRSA-N -1 1 322.405 1.931 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@H](CC(=O)[O-])C(F)(F)F)c2C1 ZINC000911166302 710665022 /nfs/dbraw/zinc/66/50/22/710665022.db2.gz DVKNAMCALJVIRO-HZGVNTEJSA-N -1 1 319.283 1.670 20 0 DDADMM O=C([O-])c1cccc(CC(=O)NCCN2CCSCC2)c1 ZINC000911212046 710688332 /nfs/dbraw/zinc/68/83/32/710688332.db2.gz GLWLJRVLIUEGPS-UHFFFAOYSA-N -1 1 308.403 1.092 20 0 DDADMM O=C([O-])c1coc(/C=C\C(=O)N2CCN(CC3CC3)CC2)c1 ZINC000911221148 710693591 /nfs/dbraw/zinc/69/35/91/710693591.db2.gz PGLCBOYHCWRDKU-ARJAWSKDSA-N -1 1 304.346 1.545 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(C(=O)c2cc3[nH]cnc3cc2F)C1 ZINC000902303814 710706944 /nfs/dbraw/zinc/70/69/44/710706944.db2.gz JQXLGXFHWFWOJB-OAHLLOKOSA-N -1 1 321.308 1.265 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC000911409291 710785395 /nfs/dbraw/zinc/78/53/95/710785395.db2.gz FJUNPUAYUQSMGX-MJBXVCDLSA-N -1 1 312.410 1.055 20 0 DDADMM C[C@@H]1CN(Cc2cn(-c3ccc(F)cc3)nn2)C[C@H]1CC(=O)[O-] ZINC000902557635 710794160 /nfs/dbraw/zinc/79/41/60/710794160.db2.gz IQQOZSPDSSBTLG-VXGBXAGGSA-N -1 1 318.352 1.949 20 0 DDADMM COCc1nc(NCc2ccnc(OC(F)F)c2)cc(=O)[n-]1 ZINC000893740538 710802534 /nfs/dbraw/zinc/80/25/34/710802534.db2.gz VBGZOXAZGLEMSR-UHFFFAOYSA-N -1 1 312.276 1.937 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)N1CCC[C@@H](c2cc(C(C)C)[nH]n2)C1 ZINC000902628219 710826362 /nfs/dbraw/zinc/82/63/62/710826362.db2.gz XJMZFGHDWWZJIP-BDJLRTHQSA-N -1 1 323.393 1.729 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)CCCC(C)(F)F)N(C)C ZINC000893803610 710836629 /nfs/dbraw/zinc/83/66/29/710836629.db2.gz FFKSLJKTYBMRHB-JTQLQIEISA-N -1 1 314.398 1.454 20 0 DDADMM Cc1[nH]ncc1C1CCN(C(=O)c2cc(C(=O)[O-])ccn2)CC1 ZINC000911592298 710871980 /nfs/dbraw/zinc/87/19/80/710871980.db2.gz ZTHNDZOMGANRQJ-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM C[C@]1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCCOC1 ZINC000913437548 713215607 /nfs/dbraw/zinc/21/56/07/713215607.db2.gz MSKRTFNDLSGSMG-DOMZBBRYSA-N -1 1 301.350 1.222 20 0 DDADMM O=C(CCCn1cccc1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913439017 713215976 /nfs/dbraw/zinc/21/59/76/713215976.db2.gz WFFWPYYADHJAIP-HNNXBMFYSA-N -1 1 310.361 1.687 20 0 DDADMM C[C@H](CCC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC000913494416 713237128 /nfs/dbraw/zinc/23/71/28/713237128.db2.gz AEIPBCOOJQYFOX-OCCSQVGLSA-N -1 1 315.377 1.684 20 0 DDADMM C[C@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)c1cccc(Cl)c1 ZINC000913496268 713238346 /nfs/dbraw/zinc/23/83/46/713238346.db2.gz WWZKAYFKATYIBM-CABZTGNLSA-N -1 1 321.768 1.557 20 0 DDADMM O=C(NCc1nc(-c2ccc([O-])cc2F)no1)N1CCCC1 ZINC000903669740 711241058 /nfs/dbraw/zinc/24/10/58/711241058.db2.gz IYTOXWUDDFUPRH-UHFFFAOYSA-N -1 1 306.297 1.887 20 0 DDADMM CSc1nc(CNC(=O)C2(Br)CC2)cc(=O)[n-]1 ZINC000912249302 711244955 /nfs/dbraw/zinc/24/49/55/711244955.db2.gz OGEQHXVBXZIGGE-UHFFFAOYSA-N -1 1 318.196 1.448 20 0 DDADMM Cc1cccc(Cl)c1CC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496984 713238879 /nfs/dbraw/zinc/23/88/79/713238879.db2.gz FYUIAIIJKKBKLU-LBPRGKRZSA-N -1 1 321.768 1.304 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N[C@H]1CCCC[C@@H]1C ZINC000903711772 711250572 /nfs/dbraw/zinc/25/05/72/711250572.db2.gz KDVCMPDKAPSJLA-MJBXVCDLSA-N -1 1 314.426 1.493 20 0 DDADMM COCc1nc(NC[C@H](O)Cc2cccc(Cl)c2)cc(=O)[n-]1 ZINC000895076053 711398038 /nfs/dbraw/zinc/39/80/38/711398038.db2.gz YFAJWRAKSICSJM-GFCCVEGCSA-N -1 1 323.780 1.998 20 0 DDADMM O=C([O-])N[C@H]1C[C@@H](NCc2nc3c(s2)COCC3)C12CCC2 ZINC000904261709 711419822 /nfs/dbraw/zinc/41/98/22/711419822.db2.gz KKGWCUUFTSUITA-NEPJUHHUSA-N -1 1 323.418 1.884 20 0 DDADMM COCc1nc(N2CCC[C@@H]2C(=O)NC(C)(C)C)cc(=O)[n-]1 ZINC000895851868 711625225 /nfs/dbraw/zinc/62/52/25/711625225.db2.gz XUCXZSZHEZALIW-SNVBAGLBSA-N -1 1 308.382 1.212 20 0 DDADMM O=c1nc(NCC[C@@H]2CC[C@@H](C(F)(F)F)O2)nc2[nH][n-]cc1-2 ZINC000896013049 711664386 /nfs/dbraw/zinc/66/43/86/711664386.db2.gz WAWYOHQYXPYOQC-XPUUQOCRSA-N -1 1 317.271 1.344 20 0 DDADMM O=C([O-])[C@]1(c2nc(C3CCCCCCC3)no2)CNCCO1 ZINC000904633569 711879459 /nfs/dbraw/zinc/87/94/59/711879459.db2.gz KEJRURDTSOWILX-OAHLLOKOSA-N -1 1 309.366 1.797 20 0 DDADMM COc1cnc(C=Cc2cn(C[C@H]3CCCO3)nn2)[n-]c1=O ZINC000905077453 711928898 /nfs/dbraw/zinc/92/88/98/711928898.db2.gz WSUBLLLFAFZCRB-DOGVGXBMSA-N -1 1 303.322 1.132 20 0 DDADMM CC[C@@](CC(=O)[O-])(C(=O)NC[C@@H]1CCN1C)c1ccc(C)cc1 ZINC000905131798 711946416 /nfs/dbraw/zinc/94/64/16/711946416.db2.gz VVYVXACRHNRIIW-YJBOKZPZSA-N -1 1 318.417 1.938 20 0 DDADMM CN1CC[C@@H]1CNC(=O)CC1(C(=O)[O-])Cc2ccccc2C1 ZINC000905132096 711946527 /nfs/dbraw/zinc/94/65/27/711946527.db2.gz XZIBBCUGDOMTQW-CQSZACIVSA-N -1 1 302.374 1.067 20 0 DDADMM CC(C)Cc1nc(C(=O)N2CCC(c3nn[n-]n3)CC2)cs1 ZINC000913743117 713292034 /nfs/dbraw/zinc/29/20/34/713292034.db2.gz GKIYWZBQXGMJFS-UHFFFAOYSA-N -1 1 320.422 1.875 20 0 DDADMM O=C([C@@H]1C[C@H]1Cc1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743607 713292349 /nfs/dbraw/zinc/29/23/49/713292349.db2.gz COBJCXUDTADQSB-HUUCEWRRSA-N -1 1 311.389 1.785 20 0 DDADMM COC(=Cc1ccccc1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745142 713293425 /nfs/dbraw/zinc/29/34/25/713293425.db2.gz QFMULHBCFJUXHP-KAMYIIQDSA-N -1 1 313.361 1.593 20 0 DDADMM C[C@@]1(Br)C[C@H]1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745853 713293986 /nfs/dbraw/zinc/29/39/86/713293986.db2.gz QPWWKUOMQOYZTB-GZMMTYOYSA-N -1 1 314.187 1.079 20 0 DDADMM CC(C)(C(=O)N1CCC(c2nn[n-]n2)CC1)[C@H]1CCCCO1 ZINC000913746562 713294365 /nfs/dbraw/zinc/29/43/65/713294365.db2.gz ACAFVILGMQWTNR-GFCCVEGCSA-N -1 1 307.398 1.501 20 0 DDADMM O=C([C@H]1C[C@@]1(F)c1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913746344 713294393 /nfs/dbraw/zinc/29/43/93/713294393.db2.gz PGWKYFQDBAIEAY-CZUORRHYSA-N -1 1 315.352 1.791 20 0 DDADMM C[S@@](=O)CCN[C@@H](C(=O)[O-])c1ccccc1Br ZINC000905400944 712028476 /nfs/dbraw/zinc/02/84/76/712028476.db2.gz PLUBUDLYXKAOFI-BMLIUANNSA-N -1 1 320.208 1.543 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1cccc2c1CN(C)C2 ZINC000906064825 712235214 /nfs/dbraw/zinc/23/52/14/712235214.db2.gz PXJSZVONMUUPJW-LJQANCHMSA-N -1 1 317.436 1.406 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1ccc(Cl)nc1C ZINC000906066781 712235545 /nfs/dbraw/zinc/23/55/45/712235545.db2.gz OWZIHVBWEUWCFV-KRWDZBQOSA-N -1 1 311.816 1.818 20 0 DDADMM C[C@@H]1CN(C(C)(C)CNc2cc(C(=O)[O-])ncn2)C[C@@H](C)O1 ZINC000906138833 712252520 /nfs/dbraw/zinc/25/25/20/712252520.db2.gz IAZJIXCWQHXHMI-GHMZBOCLSA-N -1 1 308.382 1.475 20 0 DDADMM CC(C)c1cccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)n1 ZINC000907475821 712582290 /nfs/dbraw/zinc/58/22/90/712582290.db2.gz PZANBGPZCCCRBU-GFCCVEGCSA-N -1 1 318.406 1.648 20 0 DDADMM O=C(C=C1CCSCC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477523 712582705 /nfs/dbraw/zinc/58/27/05/712582705.db2.gz FGEOGVAXLVYIQD-SNVBAGLBSA-N -1 1 311.436 1.270 20 0 DDADMM O=C([C@H]1CCCC(F)(F)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477539 712582737 /nfs/dbraw/zinc/58/27/37/712582737.db2.gz FHHPCMZDQPCCLG-IUCAKERBSA-N -1 1 317.365 1.642 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccncc1 ZINC000907479577 712583371 /nfs/dbraw/zinc/58/33/71/712583371.db2.gz FSTFOQRJKJHGPP-KOLCDFICSA-N -1 1 304.379 1.015 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCSC[C@H]2c2nn[n-]n2)cn1 ZINC000907480063 712583559 /nfs/dbraw/zinc/58/35/59/712583559.db2.gz OOOZCMQPCXJSOG-ITKZLYELSA-N -1 1 316.390 1.233 20 0 DDADMM O=C([C@@H]1C[C@@H]1C1CCCC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480451 712583646 /nfs/dbraw/zinc/58/36/46/712583646.db2.gz PKNVLWUYNVSOEY-IJLUTSLNSA-N -1 1 307.423 1.643 20 0 DDADMM O=C(c1cc2c([nH]1)CCCC2)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480738 712583818 /nfs/dbraw/zinc/58/38/18/712583818.db2.gz QULDEZAXLPPLLV-LBPRGKRZSA-N -1 1 318.406 1.337 20 0 DDADMM O=C([C@@H]1CCC(F)(F)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907480888 712583984 /nfs/dbraw/zinc/58/39/84/712583984.db2.gz WVBWOYLSLQRIIS-HTQZYQBOSA-N -1 1 303.338 1.252 20 0 DDADMM O=S(=O)([N-]Cc1cnn(CCF)c1)c1cc(F)ccc1F ZINC000907655713 712609510 /nfs/dbraw/zinc/60/95/10/712609510.db2.gz JKFYZPSEGAPKCV-UHFFFAOYSA-N -1 1 319.308 1.609 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2c(F)cccc2Cl)CCNC1=O ZINC000907814876 712630278 /nfs/dbraw/zinc/63/02/78/712630278.db2.gz UTDZVUXQJJMGLH-LLVKDONJSA-N -1 1 306.746 1.036 20 0 DDADMM O=C(C(C1CCC1)C1CCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907943332 712652521 /nfs/dbraw/zinc/65/25/21/712652521.db2.gz IDCFADYJDFZJGR-UHFFFAOYSA-N -1 1 319.409 1.226 20 0 DDADMM O=C(c1cc(Cl)cs1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907944597 712652751 /nfs/dbraw/zinc/65/27/51/712652751.db2.gz WTKFQXVEIAOKPF-UHFFFAOYSA-N -1 1 313.770 1.038 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)Cc2cccnc2)c1 ZINC000907983841 712660063 /nfs/dbraw/zinc/66/00/63/712660063.db2.gz NBTAPKLRAUVUEQ-JTQLQIEISA-N -1 1 324.358 1.371 20 0 DDADMM C[C@H]1CCNC(=O)[C@H]1NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000908002833 712663843 /nfs/dbraw/zinc/66/38/43/712663843.db2.gz PVQOWQJDGOAONW-SDBXPKJASA-N -1 1 317.320 1.334 20 0 DDADMM CCO[C@]12CCC[C@@]1([N-]S(=O)(=O)[C@H]1CCO[C@H]1C)CCO2 ZINC000908018166 712667202 /nfs/dbraw/zinc/66/72/02/712667202.db2.gz APXQSANHEVEPKD-FQUUOJAGSA-N -1 1 319.423 1.159 20 0 DDADMM CCO[C@]12CCC[C@@]1([N-]S(=O)(=O)C[C@@H](CC)OC)CCO2 ZINC000908019461 712667424 /nfs/dbraw/zinc/66/74/24/712667424.db2.gz XKZQWAUXVFIGKZ-MCIONIFRSA-N -1 1 321.439 1.407 20 0 DDADMM C[C@H](CCc1ccco1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908700948 712837993 /nfs/dbraw/zinc/83/79/93/712837993.db2.gz RVQXZAMCQHRFRA-CHWSQXEVSA-N -1 1 308.378 1.514 20 0 DDADMM C[C@]1(C(=O)Nc2cccc([O-])c2Br)CCNC1=O ZINC000908711092 712839373 /nfs/dbraw/zinc/83/93/73/712839373.db2.gz OKPMHVHHDVYIFM-LBPRGKRZSA-N -1 1 313.151 1.619 20 0 DDADMM C[C@H]1CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)c2ccccc21 ZINC000908737720 712844875 /nfs/dbraw/zinc/84/48/75/712844875.db2.gz NJFRTKXVEQGVFJ-STQMWFEESA-N -1 1 302.374 1.933 20 0 DDADMM COCCN(C(=O)CN1CCC[C@H](C(=O)[O-])C1)C1CCCC1 ZINC000908773411 712852093 /nfs/dbraw/zinc/85/20/93/712852093.db2.gz YPFAIBQCOAVWGO-ZDUSSCGKSA-N -1 1 312.410 1.201 20 0 DDADMM C[C@H](Cc1cccs1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908783778 712853998 /nfs/dbraw/zinc/85/39/98/712853998.db2.gz YJMXATKYHWAAJN-VXGBXAGGSA-N -1 1 310.419 1.592 20 0 DDADMM O=C([O-])[C@@H](CCF)NC(=O)NCCN1CCc2ccccc2C1 ZINC000908809152 712858616 /nfs/dbraw/zinc/85/86/16/712858616.db2.gz PDOHNEOXKCAVFV-CQSZACIVSA-N -1 1 323.368 1.157 20 0 DDADMM COC(=O)c1ccnc(S(=O)(=O)[N-]CCC(F)(F)F)c1 ZINC000914205875 713358857 /nfs/dbraw/zinc/35/88/57/713358857.db2.gz AYGXILHVLNFZNO-UHFFFAOYSA-N -1 1 312.269 1.099 20 0 DDADMM CCc1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)n[nH]1 ZINC000914954399 713405098 /nfs/dbraw/zinc/40/50/98/713405098.db2.gz MFTBULGCGLMFAP-UHFFFAOYSA-N -1 1 301.302 1.086 20 0 DDADMM CN(C)C(=O)OCSc1nc(=O)cc([O-])n1C1CCCC1 ZINC000916586321 713459300 /nfs/dbraw/zinc/45/93/00/713459300.db2.gz YPLNWUFPFZECLE-UHFFFAOYSA-N -1 1 313.379 1.812 20 0 DDADMM CN(C)C(=O)OCSc1nc([O-])cc(=O)n1C1CCCC1 ZINC000916586321 713459301 /nfs/dbraw/zinc/45/93/01/713459301.db2.gz YPLNWUFPFZECLE-UHFFFAOYSA-N -1 1 313.379 1.812 20 0 DDADMM CN(C)c1cc(NC(=O)CCCc2nn[n-]n2)ccc1Cl ZINC000928717105 713470728 /nfs/dbraw/zinc/47/07/28/713470728.db2.gz TZNHNEXSEPRGTI-UHFFFAOYSA-N -1 1 308.773 1.881 20 0 DDADMM CC(C)C(=O)[C@@H]([N-]S(=O)(=O)c1cnn(C)c1)c1ccccc1 ZINC000920553994 713679077 /nfs/dbraw/zinc/67/90/77/713679077.db2.gz GZOSONWQSPYLFU-AWEZNQCLSA-N -1 1 321.402 1.665 20 0 DDADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)C[C@H]1CCCCO1)CCO2 ZINC000921315349 713731091 /nfs/dbraw/zinc/73/10/91/713731091.db2.gz CJZJLASXZOQFMT-MCIONIFRSA-N -1 1 319.423 1.161 20 0 DDADMM O=S(=O)([N-][C@@H]1c2ccccc2OC[C@@H]1F)c1cscn1 ZINC000921318566 713731893 /nfs/dbraw/zinc/73/18/93/713731893.db2.gz ZKGGNFHHIXFBRG-JOYOIKCWSA-N -1 1 314.363 1.893 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@@H]1c2ccccc2OC[C@H]1F ZINC000921319801 713732660 /nfs/dbraw/zinc/73/26/60/713732660.db2.gz WRABSQOPRHORTM-DGCLKSJQSA-N -1 1 317.382 1.803 20 0 DDADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)CCCC(C)(C)C ZINC000921380100 713749577 /nfs/dbraw/zinc/74/95/77/713749577.db2.gz FHQWKQRJDZGWMU-NSHDSACASA-N -1 1 309.428 1.310 20 0 DDADMM CCOC(=O)[C@@H](C[C@H](C)CC)[N-]S(=O)(=O)c1ccns1 ZINC000921383358 713751099 /nfs/dbraw/zinc/75/10/99/713751099.db2.gz VFDREGYZWYONRY-NXEZZACHSA-N -1 1 320.436 1.789 20 0 DDADMM CO[C@@]1(C[N-]S(=O)(=O)c2cc(C)ns2)CCSC1 ZINC000921401868 713756960 /nfs/dbraw/zinc/75/69/60/713756960.db2.gz KHTBPPBCFRVEIM-SNVBAGLBSA-N -1 1 308.450 1.252 20 0 DDADMM CO[C@H]1COCC[C@H]1N(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000921922524 713909102 /nfs/dbraw/zinc/90/91/02/713909102.db2.gz SDCNQYNXDUYOGL-KGLIPLIRSA-N -1 1 316.357 1.816 20 0 DDADMM CN(Cc1n[n-]c(=O)o1)C[C@@H](O)CCOCc1ccccc1 ZINC000930758345 713913027 /nfs/dbraw/zinc/91/30/27/713913027.db2.gz HVRQTJBKGGMJDO-ZDUSSCGKSA-N -1 1 307.350 1.175 20 0 DDADMM O=S(=O)([N-]C1([C@H]2CCCCO2)CC1)c1ccc(F)nc1F ZINC000922099127 713960341 /nfs/dbraw/zinc/96/03/41/713960341.db2.gz OFSIQFFONHPTGR-SNVBAGLBSA-N -1 1 318.345 1.740 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)N2C[C@H](C)Cn3nccc32)c1 ZINC000922202216 713989356 /nfs/dbraw/zinc/98/93/56/713989356.db2.gz MEWZCICNEFVWJE-JTQLQIEISA-N -1 1 314.345 1.844 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@@H](CO)C1CC1 ZINC000932043972 714245249 /nfs/dbraw/zinc/24/52/49/714245249.db2.gz ZDVSZTMMKYLBGL-ZDUSSCGKSA-N -1 1 302.334 1.470 20 0 DDADMM CC1(C)CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C[C@@H]1O ZINC000932052428 714246900 /nfs/dbraw/zinc/24/69/00/714246900.db2.gz WQGZBAGUPBGGCJ-ZDUSSCGKSA-N -1 1 316.361 1.813 20 0 DDADMM CCC1(O)CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932053399 714247320 /nfs/dbraw/zinc/24/73/20/714247320.db2.gz WQTPQJVRSSYNQC-UHFFFAOYSA-N -1 1 302.334 1.567 20 0 DDADMM O=C([O-])[C@]12C[C@H]1CCCN2C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000923150213 714255656 /nfs/dbraw/zinc/25/56/56/714255656.db2.gz RDLDSMWNBKPHHY-WBMJQRKESA-N -1 1 312.329 1.551 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]C[C@]2(C(F)(F)F)CCCN2)o1 ZINC000923684131 714450573 /nfs/dbraw/zinc/45/05/73/714450573.db2.gz AHGPKAVTZHONNT-JTQLQIEISA-N -1 1 312.313 1.551 20 0 DDADMM C[C@@H]1CCC(C)(C)N1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000923945494 714492689 /nfs/dbraw/zinc/49/26/89/714492689.db2.gz SOXRGKAFQWCISN-SNVBAGLBSA-N -1 1 320.393 1.727 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CC[C@]3(CO[C@H](C)[C@@H]3C)O2)c([O-])c1 ZINC000924276825 714554858 /nfs/dbraw/zinc/55/48/58/714554858.db2.gz HWPYANDYYYZSGT-PFHKOEEOSA-N -1 1 320.389 1.798 20 0 DDADMM COC(=O)[C@@H](C[C@H]1CCCO1)NC(=O)c1ccc([O-])c(F)c1 ZINC000924871852 714684264 /nfs/dbraw/zinc/68/42/64/714684264.db2.gz ZLQWYFWXHXYGRP-ZYHUDNBSSA-N -1 1 311.309 1.372 20 0 DDADMM CCCOC(=O)[C@H](C)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934275340 714784273 /nfs/dbraw/zinc/78/42/73/714784273.db2.gz DJRUAHCUBMVZIT-WDEREUQCSA-N -1 1 309.366 1.669 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)N=[S@@](C)(=O)CC ZINC000925839246 714948082 /nfs/dbraw/zinc/94/80/82/714948082.db2.gz ARZWWRYNPGWRSU-IBGZPJMESA-N -1 1 318.420 1.706 20 0 DDADMM CC(C)N1CCC[C@@H]1C(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC000935587954 715094808 /nfs/dbraw/zinc/09/48/08/715094808.db2.gz PLQAKTWPKVRIOR-CYBMUJFWSA-N -1 1 316.365 1.179 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)C[C@H]1C ZINC000926608435 715096365 /nfs/dbraw/zinc/09/63/65/715096365.db2.gz LYYSPQLFNUOBNM-KOLCDFICSA-N -1 1 311.765 1.856 20 0 DDADMM CN(CCCO)C(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC000935822204 715130806 /nfs/dbraw/zinc/13/08/06/715130806.db2.gz ZGTCKFAWQZZTAK-UHFFFAOYSA-N -1 1 303.314 1.919 20 0 DDADMM O=C(C[C@@H]1CC[C@@H](C2CC2)O1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000935828895 715131280 /nfs/dbraw/zinc/13/12/80/715131280.db2.gz AVBFUPUQLWMSTA-STQMWFEESA-N -1 1 321.377 1.829 20 0 DDADMM CC(C)[C@H]1C[C@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCO1 ZINC000935828882 715131342 /nfs/dbraw/zinc/13/13/42/715131342.db2.gz ANPWUSITHOTONK-CHWSQXEVSA-N -1 1 323.393 1.932 20 0 DDADMM CN(C(=O)[C@@H]1CC1(C)C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937210248 715292720 /nfs/dbraw/zinc/29/27/20/715292720.db2.gz AHMGRUZYENTZNG-RYUDHWBXSA-N -1 1 317.389 1.506 20 0 DDADMM CC1CC(C(=O)N(C)[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000937210879 715293058 /nfs/dbraw/zinc/29/30/58/715293058.db2.gz GFEKBVLWMACMLU-WXRRBKDZSA-N -1 1 317.389 1.506 20 0 DDADMM CC1CC(C(=O)N[C@@]2(C)CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000956812755 715614935 /nfs/dbraw/zinc/61/49/35/715614935.db2.gz IAWMDIQOANWASG-MFGLSYLJSA-N -1 1 317.389 1.554 20 0 DDADMM C/C=C(/C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC000955636771 715887899 /nfs/dbraw/zinc/88/78/99/715887899.db2.gz QWHQPOAYQLYXNU-WIGWWYOCSA-N -1 1 317.389 1.863 20 0 DDADMM CC(C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)=C1CCC1 ZINC000960007493 716386551 /nfs/dbraw/zinc/38/65/51/716386551.db2.gz PCDVPKSRNASQMK-ZDUSSCGKSA-N -1 1 319.409 1.481 20 0 DDADMM C[C@H](NC(=O)C1CC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000959131327 716878599 /nfs/dbraw/zinc/87/85/99/716878599.db2.gz BGCYSGBPZDQQND-JQWIXIFHSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000964477571 717280765 /nfs/dbraw/zinc/28/07/65/717280765.db2.gz BDZLXLJNPUCDBZ-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC000942758697 717858234 /nfs/dbraw/zinc/85/82/34/717858234.db2.gz QHCXCTDDKGXIAK-YKAQBRKTSA-N -1 1 317.389 1.816 20 0 DDADMM CCN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@H]1CCN(C(C)=O)C1 ZINC000942797681 717870898 /nfs/dbraw/zinc/87/08/98/717870898.db2.gz KMWGQLBOASLGFK-LBPRGKRZSA-N -1 1 318.377 1.143 20 0 DDADMM CSCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000945156041 718410525 /nfs/dbraw/zinc/41/05/25/718410525.db2.gz GUAZGFTUFCOJET-QWRGUYRKSA-N -1 1 323.418 1.117 20 0 DDADMM O=C(CC1CC1)N[C@H]1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946092182 718676657 /nfs/dbraw/zinc/67/66/57/718676657.db2.gz VNYYLSLBOZGHGG-OLZOCXBDSA-N -1 1 317.389 1.602 20 0 DDADMM CC(C)(C)C(=O)N[C@@H]1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946320742 718744441 /nfs/dbraw/zinc/74/44/41/718744441.db2.gz FDERCVQJUSOQOE-VXGBXAGGSA-N -1 1 319.405 1.848 20 0 DDADMM O=C(CC1CC1)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946533491 718821232 /nfs/dbraw/zinc/82/12/32/718821232.db2.gz WDLMIYPOYZQUFM-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(NC1CCN(C(=O)C2(CF)CC2)CC1)c1ncccc1[O-] ZINC000948296716 719438031 /nfs/dbraw/zinc/43/80/31/719438031.db2.gz RPONCKSVOUTGHA-UHFFFAOYSA-N -1 1 321.352 1.258 20 0 DDADMM O=C(C1=CCCCCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968494281 719622387 /nfs/dbraw/zinc/62/23/87/719622387.db2.gz BLHUMOYEAVCLLL-GFCCVEGCSA-N -1 1 305.382 1.091 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000950313049 720572824 /nfs/dbraw/zinc/57/28/24/720572824.db2.gz XKXPKGWZAYTDHX-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H](C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000950539042 720674735 /nfs/dbraw/zinc/67/47/35/720674735.db2.gz FUSDPBFWPTVBHR-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(CCC1CCCCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970631674 720860443 /nfs/dbraw/zinc/86/04/43/720860443.db2.gz ORZMUWBQDCCREC-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM CC1(CC(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000951390528 721016690 /nfs/dbraw/zinc/01/66/90/721016690.db2.gz ISYSRHAJDKOBIM-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(NCC1CCN(C(=O)c2ncccc2[O-])CC1)C1CCC1 ZINC000952160276 721338633 /nfs/dbraw/zinc/33/86/33/721338633.db2.gz KOWDMPYZULJZBK-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM CCCC(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000953231452 721571114 /nfs/dbraw/zinc/57/11/14/721571114.db2.gz NRCQCVMTWSWQEZ-OLZOCXBDSA-N -1 1 317.389 1.650 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954128110 721724959 /nfs/dbraw/zinc/72/49/59/721724959.db2.gz SDXCHQONUWRCBI-ZYHUDNBSSA-N -1 1 303.362 1.116 20 0 DDADMM O=C(NC[C@H]1CCN1Cc1cccc(F)c1)c1ncccc1[O-] ZINC001038185014 732945089 /nfs/dbraw/zinc/94/50/89/732945089.db2.gz USDXIYLWMSNDAY-CQSZACIVSA-N -1 1 315.348 1.931 20 0 DDADMM CSCC[C@H](NC(=O)c1csc(C=O)c1)c1nn[n-]n1 ZINC001167444399 733536238 /nfs/dbraw/zinc/53/62/38/733536238.db2.gz CHAQWPHXZQNMTA-VIFPVBQESA-N -1 1 311.392 1.298 20 0 DDADMM O=C(NC[C@H]1CCCN1Cc1cnns1)c1ncccc1[O-] ZINC001027844528 738729267 /nfs/dbraw/zinc/72/92/67/738729267.db2.gz UKUSAGVNAPAUML-SNVBAGLBSA-N -1 1 319.390 1.033 20 0 DDADMM C[C@@H](N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)c1csnn1 ZINC001022000698 733711265 /nfs/dbraw/zinc/71/12/65/733711265.db2.gz IKDQHVCMGCTZOX-BBBLOLIVSA-N -1 1 319.390 1.250 20 0 DDADMM C[C@H]1CC[C@@H](CC(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000997894522 751345954 /nfs/dbraw/zinc/34/59/54/751345954.db2.gz MHKQBESLIJZSFP-NWDGAFQWSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)[C@]12C[C@H]1CCC2 ZINC001025026506 736237061 /nfs/dbraw/zinc/23/70/61/736237061.db2.gz YPJPPGWQYZJZCJ-XHBSWPGZSA-N -1 1 319.409 1.171 20 0 DDADMM CC1(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001025034344 736242737 /nfs/dbraw/zinc/24/27/37/736242737.db2.gz SVWORAUPTMREOW-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM O=C([C@H]1CC12CCC2)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025100752 736300347 /nfs/dbraw/zinc/30/03/47/736300347.db2.gz NCENBQBWIOANGH-VXGBXAGGSA-N -1 1 319.409 1.171 20 0 DDADMM Cc1nsc(N(C)CCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001112081191 737558754 /nfs/dbraw/zinc/55/87/54/737558754.db2.gz JCNASSYECXTIHZ-UHFFFAOYSA-N -1 1 321.406 1.546 20 0 DDADMM Cc1cc(N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)nc(C)n1 ZINC001058879097 739007783 /nfs/dbraw/zinc/00/77/83/739007783.db2.gz MYMMIBPTXCRSGJ-LBPRGKRZSA-N -1 1 313.361 1.521 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2CC2CC(F)(F)C2)c1[O-] ZINC001038164616 739253788 /nfs/dbraw/zinc/25/37/88/739253788.db2.gz LHECPTYNXPTXMP-SNVBAGLBSA-N -1 1 314.336 1.273 20 0 DDADMM CC(C)(F)C(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059013877 739624743 /nfs/dbraw/zinc/62/47/43/739624743.db2.gz MYVVHBKSEWGOHQ-NSHDSACASA-N -1 1 323.368 1.504 20 0 DDADMM Cc1cc(C)nc(N(C)CCN(C)C(=O)c2ncccc2[O-])n1 ZINC001105376196 739805573 /nfs/dbraw/zinc/80/55/73/739805573.db2.gz JLBSVFAQLRIRNX-UHFFFAOYSA-N -1 1 315.377 1.402 20 0 DDADMM CC(C)CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001012204363 740346759 /nfs/dbraw/zinc/34/67/59/740346759.db2.gz RGRZJJFSCVMHPG-RYUDHWBXSA-N -1 1 305.378 1.553 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3n[nH]cc3c2)n1 ZINC001141838174 740830232 /nfs/dbraw/zinc/83/02/32/740830232.db2.gz FTEKXMIFVPMSET-UHFFFAOYSA-N -1 1 300.278 1.110 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)C[C@@H]2C=CCC2)C1 ZINC001029642294 741170563 /nfs/dbraw/zinc/17/05/63/741170563.db2.gz ZYVVKGYQJNONLJ-OLZOCXBDSA-N -1 1 319.409 1.147 20 0 DDADMM CC(C)CC(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088253201 741222936 /nfs/dbraw/zinc/22/29/36/741222936.db2.gz KQQJRWCQAGUAQU-GFCCVEGCSA-N -1 1 309.414 1.417 20 0 DDADMM O=C(CCC1CC1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088283541 741321869 /nfs/dbraw/zinc/32/18/69/741321869.db2.gz IDWKUDBUUXRXEB-CYBMUJFWSA-N -1 1 321.425 1.561 20 0 DDADMM O=C(/C=C/C1CC1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088287051 741329710 /nfs/dbraw/zinc/32/97/10/741329710.db2.gz OFNJXAZWFAKWLB-GWJCSSMESA-N -1 1 319.409 1.337 20 0 DDADMM COc1ccc(N)cc1S(=O)(=O)[N-]c1ccc(N)cc1C ZINC001214119019 741453001 /nfs/dbraw/zinc/45/30/01/741453001.db2.gz DUCYUHLAPBUJGQ-UHFFFAOYSA-N -1 1 307.375 1.969 20 0 DDADMM C[C@H](CC(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001029911568 741576730 /nfs/dbraw/zinc/57/67/30/741576730.db2.gz OCFAHAWKXZJGFK-NEPJUHHUSA-N -1 1 321.425 1.227 20 0 DDADMM COc1ccc2c(c1)C[C@@H](Nc1[nH]c(=O)nc3[n-]c(=O)[nH]c31)C2 ZINC001168241640 741838879 /nfs/dbraw/zinc/83/88/79/741838879.db2.gz LPAAEFPMGSZYGX-VIFPVBQESA-N -1 1 313.317 1.304 20 0 DDADMM C[C@]1(CO)CN(Cc2ccc(Cl)cc2C(=O)[O-])CC[C@H]1O ZINC001142647081 742480387 /nfs/dbraw/zinc/48/03/87/742480387.db2.gz NDONLZJZIIWSTR-UKRRQHHQSA-N -1 1 313.781 1.603 20 0 DDADMM O=C(NC1CCN(Cc2cnsn2)CC1)c1ncccc1[O-] ZINC001002666214 743325127 /nfs/dbraw/zinc/32/51/27/743325127.db2.gz YKFHGJVOJROTJH-UHFFFAOYSA-N -1 1 319.390 1.033 20 0 DDADMM COC1(OC)CC(C(=O)Nc2cc(=O)n(-c3ccccc3)[n-]2)C1 ZINC001182469140 743638301 /nfs/dbraw/zinc/63/83/01/743638301.db2.gz ZBVVPAYLYQUEBX-UHFFFAOYSA-N -1 1 317.345 1.916 20 0 DDADMM Cc1cc2nc(C)c(CCC(=O)Nc3c[n-][nH]c3=O)c(C)n2n1 ZINC001183549574 743853528 /nfs/dbraw/zinc/85/35/28/743853528.db2.gz AWUYKRQQHGHINQ-UHFFFAOYSA-N -1 1 314.349 1.655 20 0 DDADMM O=C(Cc1ccc(CO)cc1)Nc1n[n-]c(C(F)(F)F)n1 ZINC001183685702 743883624 /nfs/dbraw/zinc/88/36/24/743883624.db2.gz AHMIDNUCIDTVBA-UHFFFAOYSA-N -1 1 300.240 1.497 20 0 DDADMM CO[C@H](CN1CC(NC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001030241287 743976841 /nfs/dbraw/zinc/97/68/41/743976841.db2.gz COWQXIWWUORHPA-CQSZACIVSA-N -1 1 305.378 1.016 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cnc([C@H]3C[C@H]3C)nc2)n[nH]1 ZINC001185117694 744163722 /nfs/dbraw/zinc/16/37/22/744163722.db2.gz SFBNYEBZLVFSFI-SCZZXKLOSA-N -1 1 315.333 1.752 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc([C@H]3C[C@H]3C)nc2)n1 ZINC001185119363 744164858 /nfs/dbraw/zinc/16/48/58/744164858.db2.gz ZLVPWAPSBJBJCG-SCZZXKLOSA-N -1 1 315.333 1.752 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1ccsc1)C(F)(F)F ZINC001185397068 744215343 /nfs/dbraw/zinc/21/53/43/744215343.db2.gz XEWSOTFJDDAHSX-ZETCQYMHSA-N -1 1 317.310 1.520 20 0 DDADMM Cn1cc2c(n1)[C@H](CNC(=O)c1ccc([O-])c(F)c1)OCC2 ZINC001186237756 744362456 /nfs/dbraw/zinc/36/24/56/744362456.db2.gz ZCJUNSOKRKZXNZ-ZDUSSCGKSA-N -1 1 305.309 1.309 20 0 DDADMM CCOC(=O)C1=C(NC(=O)c2ccc([O-])cc2F)[C@H](C)OC1 ZINC001186337444 744388980 /nfs/dbraw/zinc/38/89/80/744388980.db2.gz ITWDYYRLFAECBI-QMMMGPOBSA-N -1 1 309.293 1.497 20 0 DDADMM C[C@H](CCNC(=O)c1ncccc1[O-])Nc1cccc(F)n1 ZINC001099104429 744530152 /nfs/dbraw/zinc/53/01/52/744530152.db2.gz GHHMYSHRCSFSDW-SNVBAGLBSA-N -1 1 304.325 1.942 20 0 DDADMM COC(=O)c1ccc([C@@H](C)[N-]S(=O)(=O)c2cnoc2C)cc1 ZINC001187324268 744539793 /nfs/dbraw/zinc/53/97/93/744539793.db2.gz IOJSIKHNPQMCJK-SECBINFHSA-N -1 1 324.358 1.809 20 0 DDADMM COc1ncccc1[C@@H]([N-]S(=O)(=O)c1cnoc1C)C1CC1 ZINC001187414513 744559468 /nfs/dbraw/zinc/55/94/68/744559468.db2.gz SUEKKJGNTRNVIK-ZDUSSCGKSA-N -1 1 323.374 1.816 20 0 DDADMM O=C(NCCO[C@H]1CCCCO1)c1n[n-]nc1C(F)(F)F ZINC001187774724 744600872 /nfs/dbraw/zinc/60/08/72/744600872.db2.gz WECTXVYAKKVBCS-ZETCQYMHSA-N -1 1 308.260 1.097 20 0 DDADMM COc1ccc([C@@H](O)C[N-]S(=O)(=O)c2nccs2)cc1 ZINC001187913027 744629123 /nfs/dbraw/zinc/62/91/23/744629123.db2.gz SHFPATKOWFPQPD-NSHDSACASA-N -1 1 314.388 1.164 20 0 DDADMM O=S(=O)([N-]CC1(Cc2cccnc2)CC1)c1nccs1 ZINC001187919931 744635173 /nfs/dbraw/zinc/63/51/73/744635173.db2.gz AGGIMHCEUJNTNF-UHFFFAOYSA-N -1 1 309.416 1.839 20 0 DDADMM CC(C)(C[N-]S(=O)(=O)c1nccs1)c1ncccc1F ZINC001187921100 744635714 /nfs/dbraw/zinc/63/57/14/744635714.db2.gz XTKBWSNGVJBAFW-UHFFFAOYSA-N -1 1 315.395 1.933 20 0 DDADMM O=S(=O)([N-]Cc1cncnc1)c1ccc(Cl)nc1Cl ZINC001187979558 744642245 /nfs/dbraw/zinc/64/22/45/744642245.db2.gz DLLXMHZUVHMMDO-UHFFFAOYSA-N -1 1 319.173 1.657 20 0 DDADMM O=S(=O)([N-]c1ncccc1CN1CCOCC1)C1CCC1 ZINC001188109209 744661396 /nfs/dbraw/zinc/66/13/96/744661396.db2.gz WOCOOFNTJFCADZ-UHFFFAOYSA-N -1 1 311.407 1.208 20 0 DDADMM COC(=O)c1cc2cc(NC(=O)c3ccncc3[O-])cnc2[nH]1 ZINC001188629044 744741687 /nfs/dbraw/zinc/74/16/87/744741687.db2.gz VCJXZKCEFSBESD-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM COC(=O)Cc1ccc(OC)c([N-]S(=O)(=O)CC2CC2)c1 ZINC001188963504 744800716 /nfs/dbraw/zinc/80/07/16/744800716.db2.gz GEUFWJUWLLZBGU-UHFFFAOYSA-N -1 1 313.375 1.562 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1cc(F)ccc1F)C1CC1 ZINC001189699702 744955930 /nfs/dbraw/zinc/95/59/30/744955930.db2.gz FHVSGANFNBBASA-LBPRGKRZSA-N -1 1 319.329 1.336 20 0 DDADMM CC(C)S(=O)(=O)c1ccc([N-]S(=O)(=O)CCCF)cc1 ZINC001189906671 745023583 /nfs/dbraw/zinc/02/35/83/745023583.db2.gz QZYMPTSFQFRMDL-UHFFFAOYSA-N -1 1 323.411 1.970 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]2COCc3ccccc32)c(=O)[n-]1 ZINC001191439579 745512902 /nfs/dbraw/zinc/51/29/02/745512902.db2.gz BCFPLKJJRQQSRX-GFCCVEGCSA-N -1 1 317.370 1.905 20 0 DDADMM CSc1ncc(C(=O)NC2(c3cccnc3)CC2)c(=O)[n-]1 ZINC001191439643 745513070 /nfs/dbraw/zinc/51/30/70/745513070.db2.gz DDOKWYAJMOBVJA-UHFFFAOYSA-N -1 1 302.359 1.718 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cn(-c4ccccc4)cn3)c1-2 ZINC001191584739 745544199 /nfs/dbraw/zinc/54/41/99/745544199.db2.gz KVMOYMPJPRWAGC-UHFFFAOYSA-N -1 1 319.328 1.473 20 0 DDADMM COCc1[nH]nc2c1CN(C(=O)c1c(F)ccc(F)c1[O-])C2 ZINC001192693865 745864932 /nfs/dbraw/zinc/86/49/32/745864932.db2.gz MMKDXMTVKKGZRF-UHFFFAOYSA-N -1 1 309.272 1.696 20 0 DDADMM Cc1nc(-c2ccc([N-]S(=O)(=O)C[C@H]3CCCO3)cc2)no1 ZINC001193263017 746035531 /nfs/dbraw/zinc/03/55/31/746035531.db2.gz WVNPVYXKMMNVJM-CYBMUJFWSA-N -1 1 323.374 1.966 20 0 DDADMM COc1ccnc(C(=O)Nc2c(C)cnc3cc(C)nn32)c1[O-] ZINC001193521499 746132582 /nfs/dbraw/zinc/13/25/82/746132582.db2.gz YNWVPYHDYPLVNA-UHFFFAOYSA-N -1 1 313.317 1.708 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cncc(N(C)C)c2)n1 ZINC001193801233 746203462 /nfs/dbraw/zinc/20/34/62/746203462.db2.gz KPYIOCZXYWGZRO-UHFFFAOYSA-N -1 1 303.322 1.300 20 0 DDADMM COC(=O)c1cc(F)cc(C(=O)[N-]c2noc3nccnc23)c1 ZINC001194113036 746277738 /nfs/dbraw/zinc/27/77/38/746277738.db2.gz MNBZGSRGHRZTOA-UHFFFAOYSA-N -1 1 316.248 1.796 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cnc(Cl)cc2OC)[n-]n1 ZINC001194284478 746341181 /nfs/dbraw/zinc/34/11/81/746341181.db2.gz YLNMZXZZBQYDFQ-UHFFFAOYSA-N -1 1 310.697 1.506 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cccc3c2C(=O)CCC3)[n-]n1 ZINC001194289131 746344700 /nfs/dbraw/zinc/34/47/00/746344700.db2.gz YJIWBNISWANLFU-UHFFFAOYSA-N -1 1 313.313 1.968 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(C(F)(F)F)c(Cl)n1 ZINC001194395684 746365213 /nfs/dbraw/zinc/36/52/13/746365213.db2.gz SEKTXYRBOLFKGF-UHFFFAOYSA-N -1 1 306.635 1.197 20 0 DDADMM O=C1CCC(S(=O)(=O)[N-]c2cnccc2OC(F)F)CC1 ZINC001194763892 746460374 /nfs/dbraw/zinc/46/03/74/746460374.db2.gz JYWVQVJJDLMEQK-UHFFFAOYSA-N -1 1 320.317 1.936 20 0 DDADMM CSc1nc(NC(=O)c2cnn(C)c2C(F)F)cc(=O)[n-]1 ZINC001195256402 746558196 /nfs/dbraw/zinc/55/81/96/746558196.db2.gz ORPNWPVXSFHGHE-UHFFFAOYSA-N -1 1 315.305 1.828 20 0 DDADMM NC(=O)c1[nH]ncc1NC(=O)c1c([O-])c(F)c(F)c(F)c1F ZINC001195277171 746562722 /nfs/dbraw/zinc/56/27/22/746562722.db2.gz DCGRVUVSHMONDV-UHFFFAOYSA-N -1 1 318.186 1.023 20 0 DDADMM COC(=O)[C@@H]1C[C@H](F)CN1C(=O)c1cc([O-])cnc1Cl ZINC001195299812 746570763 /nfs/dbraw/zinc/57/07/63/746570763.db2.gz TVXDQWHMHXQBCY-RCOVLWMOSA-N -1 1 302.689 1.166 20 0 DDADMM O=C(NC[C@H](O)COc1ccccc1)c1cc([O-])cnc1Cl ZINC001195305629 746572584 /nfs/dbraw/zinc/57/25/84/746572584.db2.gz HOMQYBDKFJVKDW-NSHDSACASA-N -1 1 322.748 1.610 20 0 DDADMM O=C(NCc1nc2cc[nH]cc-2n1)c1cc([O-])cnc1Cl ZINC001195314556 746574665 /nfs/dbraw/zinc/57/46/65/746574665.db2.gz HUROQEIAQUFEQL-UHFFFAOYSA-N -1 1 303.709 1.642 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1nc(-c2ccccc2)c[nH]1 ZINC001195346834 746580488 /nfs/dbraw/zinc/58/04/88/746580488.db2.gz JQPVTYGSQRMXDW-UHFFFAOYSA-N -1 1 321.300 1.701 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3nc(-c4ccccc4)c[nH]3)c1-2 ZINC001195335731 746589676 /nfs/dbraw/zinc/58/96/76/746589676.db2.gz OIFOPHNQFRIBOQ-UHFFFAOYSA-N -1 1 319.328 1.677 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1cncc(OC)c1C(=O)OC ZINC001195461615 746608839 /nfs/dbraw/zinc/60/88/39/746608839.db2.gz UBUCTMDCSCMQMT-UHFFFAOYSA-N -1 1 313.335 1.320 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cccnc1C1CC1 ZINC001195566485 746654987 /nfs/dbraw/zinc/65/49/87/746654987.db2.gz GNKBITRCKJYMDW-UHFFFAOYSA-N -1 1 312.289 1.240 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1ccnc(Cl)c1Cl ZINC001195934480 746740009 /nfs/dbraw/zinc/74/00/09/746740009.db2.gz UDCSJMMFLHCGEN-UHFFFAOYSA-N -1 1 313.162 1.693 20 0 DDADMM O=C(Nc1cnc(C(F)(F)F)nc1)c1c[nH]c(=S)[n-]c1=O ZINC001196009292 746755007 /nfs/dbraw/zinc/75/50/07/746755007.db2.gz YJCCBZUSISPASD-UHFFFAOYSA-N -1 1 317.252 1.532 20 0 DDADMM O=C(NC[C@@H]1CCc2ccccc21)c1c[nH]c(=S)[n-]c1=O ZINC001196027019 746765744 /nfs/dbraw/zinc/76/57/44/746765744.db2.gz QIGFDSHWKGYHMB-JTQLQIEISA-N -1 1 301.371 1.931 20 0 DDADMM COc1ccc2nc([N-]C(=O)c3ncc(F)cn3)nnc2c1 ZINC001197199968 747101821 /nfs/dbraw/zinc/10/18/21/747101821.db2.gz ZXEPFMUQHISEDL-UHFFFAOYSA-N -1 1 300.253 1.215 20 0 DDADMM COc1cc(CO)ccc1[N-]S(=O)(=O)Cc1cccnc1 ZINC001197465053 747166192 /nfs/dbraw/zinc/16/61/92/747166192.db2.gz SNHFLJNPZDLCHE-UHFFFAOYSA-N -1 1 308.359 1.524 20 0 DDADMM O=S(=O)(Cc1ccc(Cl)c(F)c1)[N-][C@H]1COC[C@@H]1F ZINC001197716774 747233418 /nfs/dbraw/zinc/23/34/18/747233418.db2.gz SPDFGKILBKFZCH-QWRGUYRKSA-N -1 1 311.737 1.635 20 0 DDADMM O=S(=O)([N-]c1cncnc1Cl)c1ccc2nccnc2c1 ZINC001198110735 747384361 /nfs/dbraw/zinc/38/43/61/747384361.db2.gz YDRDEDNHXQPFOJ-UHFFFAOYSA-N -1 1 321.749 1.874 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2cc(O)c(F)cc2F)n1C ZINC001198392308 747492547 /nfs/dbraw/zinc/49/25/47/747492547.db2.gz UQMBIANMSFTVKW-UHFFFAOYSA-N -1 1 303.290 1.513 20 0 DDADMM COc1ncc(NS(=O)(=O)c2ccccc2C(=O)[O-])cc1C ZINC001198739776 747585488 /nfs/dbraw/zinc/58/54/88/747585488.db2.gz ZXBFCYCQSIMLCH-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM O=C([O-])c1ccccc1S(=O)(=O)NC1CCN(C2CC2)CC1 ZINC001198801747 747615603 /nfs/dbraw/zinc/61/56/03/747615603.db2.gz QEGIVOROQNBJSA-UHFFFAOYSA-N -1 1 324.402 1.290 20 0 DDADMM CC(C)C(=O)N(C)C1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001004016154 747915523 /nfs/dbraw/zinc/91/55/23/747915523.db2.gz SNEMSXZGJBFMGO-UHFFFAOYSA-N -1 1 319.405 1.943 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(Cc2cncs2)C1 ZINC001033036891 748005186 /nfs/dbraw/zinc/00/51/86/748005186.db2.gz VYIUXPVCHJONLU-LLVKDONJSA-N -1 1 318.402 1.590 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(Cc2cscn2)C1 ZINC001033037581 748009910 /nfs/dbraw/zinc/00/99/10/748009910.db2.gz ZXRQGCBWESWSNN-GFCCVEGCSA-N -1 1 318.402 1.590 20 0 DDADMM [O-]c1cc(NC(=S)NCCN2CCOCC2)c(F)cc1F ZINC001200127133 748141710 /nfs/dbraw/zinc/14/17/10/748141710.db2.gz RGBTWVYOJNCWBT-UHFFFAOYSA-N -1 1 317.361 1.289 20 0 DDADMM [O-]c1cccc(NC(=S)NCCN2CCOCC2)c1Cl ZINC001200127825 748142222 /nfs/dbraw/zinc/14/22/22/748142222.db2.gz KSZRAFOCDQPUBK-UHFFFAOYSA-N -1 1 315.826 1.664 20 0 DDADMM CC(C)CCC(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202448440 748784620 /nfs/dbraw/zinc/78/46/20/748784620.db2.gz QFJZTVSWJOIZTN-NWDGAFQWSA-N -1 1 321.425 1.464 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1cc[nH]c1 ZINC001004867158 748877187 /nfs/dbraw/zinc/87/71/87/748877187.db2.gz DISRTURYGWYTKN-JQWIXIFHSA-N -1 1 318.381 1.011 20 0 DDADMM C[C@@H](CCNC(=O)C1(C)CC=CC1)NC(=O)c1ncccc1[O-] ZINC001078204430 753859832 /nfs/dbraw/zinc/85/98/32/753859832.db2.gz QIWOFJYMJVZRCR-LBPRGKRZSA-N -1 1 317.389 1.768 20 0 DDADMM Cc1nc([C@H](C)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001010732998 754130195 /nfs/dbraw/zinc/13/01/95/754130195.db2.gz OJHGXAOEBZIXOD-GXSJLCMTSA-N -1 1 317.349 1.044 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@H]2CC[C@@H](F)C2)CC1 ZINC001011035281 754318220 /nfs/dbraw/zinc/31/82/20/754318220.db2.gz BPKDBZQWYKSUKE-NWDGAFQWSA-N -1 1 321.352 1.210 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C=C2CCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011675055 754670463 /nfs/dbraw/zinc/67/04/63/754670463.db2.gz YIXCAWWPVQWZDC-DGCLKSJQSA-N -1 1 315.373 1.617 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc[nH]2)CN1C(=O)c1ncccc1[O-] ZINC001012506406 755113384 /nfs/dbraw/zinc/11/33/84/755113384.db2.gz OZFFMYOTRQIADI-GHMZBOCLSA-N -1 1 314.345 1.148 20 0 DDADMM Cc1nc(CN2C[C@@H](C)[C@H](NC(=O)c3ncccc3[O-])C2)co1 ZINC001079611021 755473317 /nfs/dbraw/zinc/47/33/17/755473317.db2.gz KLTVPVVQDMHAME-ZWNOBZJWSA-N -1 1 316.361 1.334 20 0 DDADMM CC(=O)N1CCC[C@H]([C@@H]2CCCN2C(=O)c2ncccc2[O-])C1 ZINC001013890979 755531308 /nfs/dbraw/zinc/53/13/08/755531308.db2.gz LOMMWBCWHFPIAL-KBPBESRZSA-N -1 1 317.389 1.650 20 0 DDADMM CC(=O)N[C@@H]1CCC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001014571412 755803640 /nfs/dbraw/zinc/80/36/40/755803640.db2.gz LMEINDKCALSVNP-RWMBFGLXSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])Nc1ccnc(C2CC2)n1 ZINC001097764403 757508387 /nfs/dbraw/zinc/50/83/87/757508387.db2.gz FXOSCBMJXBAYBK-JTQLQIEISA-N -1 1 313.361 1.685 20 0 DDADMM Cc1cnc(C)nc1N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001097765692 757511762 /nfs/dbraw/zinc/51/17/62/757511762.db2.gz WFXJCVUAHWTJLN-SNVBAGLBSA-N -1 1 301.350 1.424 20 0 DDADMM CCC(=O)N1CCC[C@H]([C@@H]2CCCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001052995986 758055917 /nfs/dbraw/zinc/05/59/17/758055917.db2.gz DBHJXZVZOYXFPT-OLZOCXBDSA-N -1 1 321.425 1.371 20 0 DDADMM CC(C)=CCN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232364 758287929 /nfs/dbraw/zinc/28/79/29/758287929.db2.gz LHJBZDSJASEWIK-UHFFFAOYSA-N -1 1 317.389 1.280 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)C(F)F)CN1C(=O)c1ncccc1[O-] ZINC001017880032 758333343 /nfs/dbraw/zinc/33/33/43/758333343.db2.gz RVGYHRVQNIFORD-RKDXNWHRSA-N -1 1 313.304 1.162 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CCC1 ZINC001018098114 758545754 /nfs/dbraw/zinc/54/57/54/758545754.db2.gz BHZWNJGGVGSJLI-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM CC[C@@H](C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001065868367 758874225 /nfs/dbraw/zinc/87/42/25/758874225.db2.gz FZNRFDNHBYVQSC-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)=CC(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018734287 759203237 /nfs/dbraw/zinc/20/32/37/759203237.db2.gz SWCCQYOLODTSPG-UHFFFAOYSA-N -1 1 315.373 1.428 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)CCC2CCCCC2)n1 ZINC000824836041 759207481 /nfs/dbraw/zinc/20/74/81/759207481.db2.gz IKSZUNLHJNMVCH-UHFFFAOYSA-N -1 1 314.411 1.702 20 0 DDADMM O=C([C@H]1CCC=CCCC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019029314 759525185 /nfs/dbraw/zinc/52/51/85/759525185.db2.gz SPIWPIUAUFKJDJ-STQMWFEESA-N -1 1 319.409 1.337 20 0 DDADMM Cc1ncoc1CN1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001085561054 759697708 /nfs/dbraw/zinc/69/77/08/759697708.db2.gz BSZZJVOTEPSDRC-GFCCVEGCSA-N -1 1 316.361 1.430 20 0 DDADMM C[C@H](C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001001544806 762948595 /nfs/dbraw/zinc/94/85/95/762948595.db2.gz KRUJCAIKCWBPGJ-NSHDSACASA-N -1 1 317.389 1.412 20 0 DDADMM O=C(CC1CCC1)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047044028 768209008 /nfs/dbraw/zinc/20/90/08/768209008.db2.gz DODWTCIDYCVQHI-AVGNSLFASA-N -1 1 319.409 1.170 20 0 DDADMM O=C(NC1CCN(c2ncc(F)cn2)CC1)c1ncccc1[O-] ZINC001057861751 766105231 /nfs/dbraw/zinc/10/52/31/766105231.db2.gz PCFGGZIPNHEEMJ-UHFFFAOYSA-N -1 1 317.324 1.115 20 0 DDADMM CC(C)Oc1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc1 ZINC001170216941 766175076 /nfs/dbraw/zinc/17/50/76/766175076.db2.gz HMAKJRIOXLBALZ-UHFFFAOYSA-N -1 1 302.294 1.642 20 0 DDADMM Cc1nccc(N[C@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)n1 ZINC001069062883 767810947 /nfs/dbraw/zinc/81/09/47/767810947.db2.gz KPLHKILHQLMWLG-JQWIXIFHSA-N -1 1 313.361 1.601 20 0 DDADMM CCOC(=O)n1nc2c(c1[N-]c1ncncc1F)CNC2(C)C ZINC001170761259 771089652 /nfs/dbraw/zinc/08/96/52/771089652.db2.gz PBLSMSAORGBGFU-UHFFFAOYSA-N -1 1 320.328 1.899 20 0 DDADMM Cn1cc(-c2nsc([N-]C(=O)c3ccnc(F)c3F)n2)cn1 ZINC001134662108 771118077 /nfs/dbraw/zinc/11/80/77/771118077.db2.gz QVWPUCKNQRHJDD-UHFFFAOYSA-N -1 1 322.300 1.864 20 0 DDADMM CCC[C@@H](CC1CCCC1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134693206 771126231 /nfs/dbraw/zinc/12/62/31/771126231.db2.gz PEAKVLQHGFWIPF-ZDUSSCGKSA-N -1 1 323.441 1.713 20 0 DDADMM CSc1ncc(C(=O)NCCCc2c[nH]nc2C)c(=O)[n-]1 ZINC001136188764 771911288 /nfs/dbraw/zinc/91/12/88/771911288.db2.gz VSZKHHQBORBCTK-UHFFFAOYSA-N -1 1 307.379 1.298 20 0 DDADMM COC(=O)c1nc2nc(N[C@H]3NC(=O)c4ccccc43)ccc2[nH]1 ZINC001171128813 772373460 /nfs/dbraw/zinc/37/34/60/772373460.db2.gz JKTXEBWZABCOGE-LBPRGKRZSA-N -1 1 323.312 1.599 20 0 DDADMM Cc1nn(C)c(C)c1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001146846212 772963725 /nfs/dbraw/zinc/96/37/25/772963725.db2.gz AEQMAJCUHWQICZ-UHFFFAOYSA-N -1 1 319.325 1.249 20 0 DDADMM NC(=O)N[C@@H](CO)C(=O)Nc1cc(Cl)c([O-])c2ccccc12 ZINC001148253002 773411543 /nfs/dbraw/zinc/41/15/43/773411543.db2.gz OBWIVCDWWIZWLA-NSHDSACASA-N -1 1 323.736 1.167 20 0 DDADMM COc1ccc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1O ZINC001148258725 773413555 /nfs/dbraw/zinc/41/35/55/773413555.db2.gz KJURNOUTIMONGT-UHFFFAOYSA-N -1 1 306.234 1.252 20 0 DDADMM C/C=C(\C)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073868484 773484557 /nfs/dbraw/zinc/48/45/57/773484557.db2.gz MFGIYKIRDIXYOH-UDLQBDOPSA-N -1 1 317.389 1.720 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccccc3OC(F)F)c1-2 ZINC001148698726 773568331 /nfs/dbraw/zinc/56/83/31/773568331.db2.gz UGSQFXZLMXCWEH-UHFFFAOYSA-N -1 1 319.271 1.889 20 0 DDADMM CN=c1c(N)cc(Cl)nn1C(=O)c1ccc([O-])c(C(C)=O)c1 ZINC001148657170 773569089 /nfs/dbraw/zinc/56/90/89/773569089.db2.gz IAWZDXAWBYLZMP-UHFFFAOYSA-N -1 1 320.736 1.246 20 0 DDADMM C[C@@]1(O)CCN(C(=O)c2cc([O-])c(Br)c(O)c2)C1 ZINC001148984027 773664359 /nfs/dbraw/zinc/66/43/59/773664359.db2.gz JHDIDWFFPOTDAC-GFCCVEGCSA-N -1 1 316.151 1.457 20 0 DDADMM CCCCN1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001074219292 773742086 /nfs/dbraw/zinc/74/20/86/773742086.db2.gz NOPZOAMOWUZKKB-HIFRSBDPSA-N -1 1 319.405 1.503 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CCC1 ZINC001074706960 774075690 /nfs/dbraw/zinc/07/56/90/774075690.db2.gz SUYHJIXVWYYPKF-NHCYSSNCSA-N -1 1 307.398 1.026 20 0 DDADMM CCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001074771847 774118740 /nfs/dbraw/zinc/11/87/40/774118740.db2.gz XHZPLVIQJVAADO-RYUDHWBXSA-N -1 1 305.378 1.697 20 0 DDADMM Cc1cc(C)nc(N(C)CCNC(=O)c2ncccc2[O-])n1 ZINC001100070946 775645028 /nfs/dbraw/zinc/64/50/28/775645028.db2.gz KHHISWMLMUKUPY-UHFFFAOYSA-N -1 1 301.350 1.060 20 0 DDADMM O=C(NCCCNc1cnc2ccccc2n1)c1ncccc1[O-] ZINC001094375932 775820530 /nfs/dbraw/zinc/82/05/30/775820530.db2.gz VWPJXKKQEZNSQF-UHFFFAOYSA-N -1 1 323.356 1.962 20 0 DDADMM CC(C)(C)OC(=O)C1CCC([NH2+]CCP(=O)([O-])[O-])CC1 ZINC001171911969 776515702 /nfs/dbraw/zinc/51/57/02/776515702.db2.gz GIXHVZUALMLZKZ-UHFFFAOYSA-N -1 1 307.327 1.654 20 0 DDADMM COc1cc(C)nc(NCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001101561383 777251348 /nfs/dbraw/zinc/25/13/48/777251348.db2.gz UEWPVBMSWRUINC-UHFFFAOYSA-N -1 1 317.349 1.078 20 0 DDADMM CCOC(=O)C[C@@H]1CC[C@@H](Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)C1 ZINC001173635612 777296217 /nfs/dbraw/zinc/29/62/17/777296217.db2.gz IIVNZBJVQSQHOS-HTQZYQBOSA-N -1 1 321.337 1.250 20 0 DDADMM COC(=O)[N-]S(=O)(=O)c1ccc(Nc2ccncc2)cc1 ZINC001173884836 777374338 /nfs/dbraw/zinc/37/43/38/777374338.db2.gz UFMDXWRDWCHYRV-UHFFFAOYSA-N -1 1 307.331 1.292 20 0 DDADMM Cn1cc(Nc2cc(S(=O)(=O)C(N)=O)ccc2[O-])ccc1=O ZINC001174358685 777465679 /nfs/dbraw/zinc/46/56/79/777465679.db2.gz FKMNGQPVJBIZCF-UHFFFAOYSA-N -1 1 323.330 1.101 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2ccccc2N)cc1CO ZINC001175986391 777986002 /nfs/dbraw/zinc/98/60/02/777986002.db2.gz JDAFUYBUYFNHET-UHFFFAOYSA-N -1 1 308.359 1.571 20 0 DDADMM Nc1cncc(S(=O)(=O)Nc2ccc(/C=C/C(=O)[O-])cc2)c1 ZINC001176593720 778177581 /nfs/dbraw/zinc/17/75/81/778177581.db2.gz FYNTZYZXFKRICK-ZZXKWVIFSA-N -1 1 319.342 1.562 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)[C@@H]1C[C@H]1c1cccs1 ZINC001177544471 778644396 /nfs/dbraw/zinc/64/43/96/778644396.db2.gz RAUYHSIGDBPSKZ-PHDIDXHHSA-N -1 1 317.330 1.519 20 0 DDADMM COC[C@@H](NC(=O)c1cc(F)cc2cccnc21)c1nn[n-]n1 ZINC001177828983 778741338 /nfs/dbraw/zinc/74/13/38/778741338.db2.gz UGCKRQORTSDKCI-LLVKDONJSA-N -1 1 316.296 1.005 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)Cc1ccc(C2CC2)cn1 ZINC001177961138 778806268 /nfs/dbraw/zinc/80/62/68/778806268.db2.gz MYZRWSRGHGINNQ-UHFFFAOYSA-N -1 1 315.289 1.739 20 0 DDADMM CC(C)(Cc1cccnc1)C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001178062135 778844432 /nfs/dbraw/zinc/84/44/32/778844432.db2.gz BSVYFGLQSNJOEH-UHFFFAOYSA-N -1 1 312.333 1.661 20 0 DDADMM C[C@H]1COCCN1CC[N-]C(=O)C(F)(F)C(F)(F)Cl ZINC001179781444 779477543 /nfs/dbraw/zinc/47/75/43/779477543.db2.gz BYUZFNDOMMNXAJ-ZETCQYMHSA-N -1 1 306.687 1.290 20 0 DDADMM CSc1nc(NC(=O)C[C@@](C)(O)C(F)(F)F)cc(=O)[n-]1 ZINC001179804243 779491610 /nfs/dbraw/zinc/49/16/10/779491610.db2.gz KFVXWLUZYZXXGC-SECBINFHSA-N -1 1 311.285 1.546 20 0 DDADMM COC(=O)c1cc(NC(=O)c2cncc([O-])c2)c(O)c(OC)c1 ZINC001179882878 779536441 /nfs/dbraw/zinc/53/64/41/779536441.db2.gz FIQUTEZBFZBFNG-UHFFFAOYSA-N -1 1 318.285 1.540 20 0 DDADMM Cc1cccc(OCC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1C ZINC001180502827 779809010 /nfs/dbraw/zinc/80/90/10/779809010.db2.gz QAJCURDTNPHYAH-UHFFFAOYSA-N -1 1 313.317 1.693 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2cnc(Cl)cc2Cl)C1 ZINC001118891474 781265542 /nfs/dbraw/zinc/26/55/42/781265542.db2.gz UTPKZYZMNMJZCZ-LJGSYFOKSA-N -1 1 311.190 1.844 20 0 DDADMM Cn1ccnc1NC(=O)C12CC([N-]C(=O)C(F)(F)Cl)(C1)C2 ZINC001272025050 844350718 /nfs/dbraw/zinc/35/07/18/844350718.db2.gz JIUBVIMEQGEMIQ-UHFFFAOYSA-N -1 1 318.711 1.229 20 0 DDADMM CCC(C)(C)CC(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409144934 844423674 /nfs/dbraw/zinc/42/36/74/844423674.db2.gz UROXVWGWMMPBAV-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@@H](C)CC2)[n-]n1 ZINC001414246567 840523756 /nfs/dbraw/zinc/52/37/56/840523756.db2.gz IAAMKZAVTFIUBM-NXEZZACHSA-N -1 1 315.395 1.443 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@@H](C)CC2)n[n-]1 ZINC001414246567 840523763 /nfs/dbraw/zinc/52/37/63/840523763.db2.gz IAAMKZAVTFIUBM-NXEZZACHSA-N -1 1 315.395 1.443 20 0 DDADMM CN1CC[C@]2(CCCN(C(=O)c3ncccc3[O-])CC2)C1=O ZINC001268845941 840894108 /nfs/dbraw/zinc/89/41/08/840894108.db2.gz YYCZXTUHHPJGPU-INIZCTEOSA-N -1 1 303.362 1.262 20 0 DDADMM Cc1cc2[nH]nc(NC(=O)c3cncc(C=O)c3)c2c(=O)n1C ZINC001142821353 861272181 /nfs/dbraw/zinc/27/21/81/861272181.db2.gz GNMXEVVXCWSIRF-UHFFFAOYSA-N -1 1 311.301 1.030 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2COC[C@H](C1)N2C1CCCC1 ZINC001270257578 842432162 /nfs/dbraw/zinc/43/21/62/842432162.db2.gz BOENXIXWDXERGJ-OKILXGFUSA-N -1 1 317.389 1.255 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)[C@H]1CCC[C@H]1OC)C(C)(C)C ZINC001364019858 842949864 /nfs/dbraw/zinc/94/98/64/842949864.db2.gz FTHQJANDTSDHAM-OUAUKWLOSA-N -1 1 307.412 1.061 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2C[C@@H](OC)C2(C)C)sn1 ZINC001414233589 844646745 /nfs/dbraw/zinc/64/67/45/844646745.db2.gz YZRDVXQKMWBUMS-HTQZYQBOSA-N -1 1 306.409 1.244 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cnn2ccccc12 ZINC001149240883 861496849 /nfs/dbraw/zinc/49/68/49/861496849.db2.gz JNNAXZKIPFSINY-UHFFFAOYSA-N -1 1 300.234 1.186 20 0 DDADMM O=C([N-]CCCOC(=O)c1ccc2nonc2c1)C(F)(F)F ZINC001326739096 861583700 /nfs/dbraw/zinc/58/37/00/861583700.db2.gz IVYNCJUNLKRNAC-UHFFFAOYSA-N -1 1 317.223 1.448 20 0 DDADMM CN1CC[C@]2(CCCN2C(=O)c2ccc(Cl)cc2[O-])C1=O ZINC001272265946 845687659 /nfs/dbraw/zinc/68/76/59/845687659.db2.gz YUKGGCVOQAUFDY-OAHLLOKOSA-N -1 1 308.765 1.883 20 0 DDADMM COCCN1CCN(Cc2cc(Cl)nc(Cl)c2[O-])CC1 ZINC001237521031 846683117 /nfs/dbraw/zinc/68/31/17/846683117.db2.gz ZQVGXUWOTUTHFP-UHFFFAOYSA-N -1 1 320.220 1.858 20 0 DDADMM CC(=O)N[C@@H](C)CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001077700556 847150162 /nfs/dbraw/zinc/15/01/62/847150162.db2.gz KMDIQNCGWOVZOY-VIFPVBQESA-N -1 1 308.382 1.259 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CCC2(C1)OCCO2 ZINC001149646217 861778078 /nfs/dbraw/zinc/77/80/78/861778078.db2.gz JVRKMRLFOWSVBL-UHFFFAOYSA-N -1 1 315.325 1.840 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CCS(=O)(=O)CC1 ZINC001149651347 861784248 /nfs/dbraw/zinc/78/42/48/861784248.db2.gz XKPORGQPDWGNIQ-UHFFFAOYSA-N -1 1 321.354 1.122 20 0 DDADMM COC(=O)[C@H]1C[C@H]1CNC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149656056 861788795 /nfs/dbraw/zinc/78/87/95/861788795.db2.gz FCAPYNIMKPRDNV-JQWIXIFHSA-N -1 1 315.325 1.790 20 0 DDADMM CN(C)C(=O)C=CC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001149665300 861792091 /nfs/dbraw/zinc/79/20/91/861792091.db2.gz WGIUUQYJUKFRDL-FPLPWBNLSA-N -1 1 300.318 1.161 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CC(=O)NC2(CCCC2)C1 ZINC001155266582 861912868 /nfs/dbraw/zinc/91/28/68/861912868.db2.gz IYZQREIUJCVGRO-UHFFFAOYSA-N -1 1 314.345 1.153 20 0 DDADMM C[C@@H](NC(=O)c1[n-][nH]c2cc(=O)ccc1-2)c1ncc(F)cn1 ZINC001155273796 861923660 /nfs/dbraw/zinc/92/36/60/861923660.db2.gz XFSPHLVFAXGEQU-SSDOTTSWSA-N -1 1 301.281 1.689 20 0 DDADMM O=C(N[C@@H]1NC(=O)c2ccccc21)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273311 861924014 /nfs/dbraw/zinc/92/40/14/861924014.db2.gz MSMLEYMZOKOTGC-AWEZNQCLSA-N -1 1 308.297 1.441 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2nn(C)cc2Cl)sn1 ZINC001364863917 849220356 /nfs/dbraw/zinc/22/03/56/849220356.db2.gz AKVVFTKLRWTXQT-UHFFFAOYSA-N -1 1 322.799 1.017 20 0 DDADMM C[C@H](CN(C)C(=O)C1CC(C(C)(C)C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001410546967 849469173 /nfs/dbraw/zinc/46/91/73/849469173.db2.gz USHAYELLEAHUBO-VOMCLLRMSA-N -1 1 323.441 1.519 20 0 DDADMM Cc1n[nH]c2ccc(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)cc12 ZINC001155575086 862243838 /nfs/dbraw/zinc/24/38/38/862243838.db2.gz BZJMTCVRRRYURX-UHFFFAOYSA-N -1 1 309.289 1.496 20 0 DDADMM Cc1cc(N(C(=N)N)C(=O)c2ccc3ccc(C)nc3c2[O-])n[nH]1 ZINC001155660082 862352439 /nfs/dbraw/zinc/35/24/39/862352439.db2.gz BHCFNJWVPNYRNT-UHFFFAOYSA-N -1 1 324.344 1.821 20 0 DDADMM O=C(c1cc([O-])cc(F)c1)N1CC2(CN(Cc3ccc[nH]3)C2)C1 ZINC001275005244 852740173 /nfs/dbraw/zinc/74/01/73/852740173.db2.gz BJXLDHMKNDQPSP-UHFFFAOYSA-N -1 1 315.348 1.817 20 0 DDADMM CN1CC2(C1)CN(C(=O)c1cccc(Br)c1[O-])C2 ZINC001275017634 852748768 /nfs/dbraw/zinc/74/87/68/852748768.db2.gz IPKJOWUDAKNAKO-UHFFFAOYSA-N -1 1 311.179 1.542 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](OCC)C(C)C)nc2n1 ZINC001411493956 853220599 /nfs/dbraw/zinc/22/05/99/853220599.db2.gz XIXWPKPSKJSNTK-GFCCVEGCSA-N -1 1 321.381 1.370 20 0 DDADMM NC(=O)[C@H]1CCC[C@@]12CCN(C(=O)c1c(F)ccc([O-])c1F)C2 ZINC001275611778 853431224 /nfs/dbraw/zinc/43/12/24/853431224.db2.gz JROHUCCGCBJTTM-ABKXIKBNSA-N -1 1 324.327 1.788 20 0 DDADMM CSc1ncc(C(=O)N2CCOC[C@@H]2c2ccco2)c(=O)[n-]1 ZINC001411807530 853766378 /nfs/dbraw/zinc/76/63/78/853766378.db2.gz SSCPAGQUYTZCQR-SNVBAGLBSA-N -1 1 321.358 1.711 20 0 DDADMM CCO[C@H]1C[C@H](O)C12CCN(C(=O)c1ccc(F)c([O-])c1)CC2 ZINC001411836809 853807728 /nfs/dbraw/zinc/80/77/28/853807728.db2.gz VNSQYWUWNYNASW-GJZGRUSLSA-N -1 1 323.364 1.923 20 0 DDADMM O=C(NC[C@H](O)COc1ccc(F)cc1)c1cccc([O-])c1F ZINC001411896772 853940381 /nfs/dbraw/zinc/94/03/81/853940381.db2.gz JAXLOQQPHOPIAX-NSHDSACASA-N -1 1 323.295 1.840 20 0 DDADMM COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)c1cccc([O-])c1F ZINC001411954757 854031063 /nfs/dbraw/zinc/03/10/63/854031063.db2.gz XKTJXSCSOICYBQ-ONGXEEELSA-N -1 1 311.309 1.324 20 0 DDADMM O=C(c1cccc([O-])c1F)N1C[C@H]2C[C@@]2(C(=O)N2CC=CC2)C1 ZINC001275984946 854083507 /nfs/dbraw/zinc/08/35/07/854083507.db2.gz VUGSNFGVDKPWRA-PIGZYNQJSA-N -1 1 316.332 1.392 20 0 DDADMM CC(C)c1nc([C@@H]2COCCN2C(=O)c2ccc([O-])cn2)no1 ZINC001412020545 854117187 /nfs/dbraw/zinc/11/71/87/854117187.db2.gz PAXXSPZDPVESDY-LBPRGKRZSA-N -1 1 318.333 1.507 20 0 DDADMM Cc1cc(=O)c(C(=O)Nc2cc(C(=O)OC(C)(C)C)[nH]n2)c[nH]1 ZINC001412273949 854408252 /nfs/dbraw/zinc/40/82/52/854408252.db2.gz YLPNCBBANCIHNF-UHFFFAOYSA-N -1 1 318.333 1.614 20 0 DDADMM CN(C)C(=O)[C@]12C[C@H]1CCN2C(=O)c1cccc([O-])c1Cl ZINC001276049459 854668375 /nfs/dbraw/zinc/66/83/75/854668375.db2.gz BQTAOSIQBZELKJ-PSLIRLAXSA-N -1 1 308.765 1.738 20 0 DDADMM O=C([C@@H]1C[C@H]1C1CCOCC1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001412613022 854811289 /nfs/dbraw/zinc/81/12/89/854811289.db2.gz QYDBKRMYBFNCTQ-FPMFFAJLSA-N -1 1 319.409 1.044 20 0 DDADMM O=C(NC[C@H]1CCCCS1(=O)=O)c1cccc([O-])c1F ZINC001412725723 855029284 /nfs/dbraw/zinc/02/92/84/855029284.db2.gz GHDIFUZOKHGFGR-SECBINFHSA-N -1 1 301.339 1.228 20 0 DDADMM O=C(NC[C@@]1(CO)C[C@H]1c1ccc(F)cc1)c1ccc([O-])cn1 ZINC001412832057 855493404 /nfs/dbraw/zinc/49/34/04/855493404.db2.gz UOKUWQWAOLLSQI-WMLDXEAASA-N -1 1 316.332 1.822 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@H]1O)c1cccc([O-])c1F ZINC001412886163 855755178 /nfs/dbraw/zinc/75/51/78/855755178.db2.gz QDJZMVXWRHQSOT-NHYWBVRUSA-N -1 1 323.364 1.829 20 0 DDADMM CCOC(=O)Cn1nc(C)cc1NC(=O)c1ccc(O)cc1[O-] ZINC001413219670 856560335 /nfs/dbraw/zinc/56/03/35/856560335.db2.gz GNFHMFMKTVQHNU-UHFFFAOYSA-N -1 1 319.317 1.418 20 0 DDADMM COC[C@]1(C(=O)OC)CCCN1C(=O)c1ccc(F)c([O-])c1 ZINC001413271733 856597725 /nfs/dbraw/zinc/59/77/25/856597725.db2.gz LEQRSHUDHSGWFW-HNNXBMFYSA-N -1 1 311.309 1.326 20 0 DDADMM CC1(C)CC(=O)c2cccc(NC(=O)CCc3nn[n-]n3)c2O1 ZINC001413380875 856732980 /nfs/dbraw/zinc/73/29/80/856732980.db2.gz WIJYLVSOMLUUGS-UHFFFAOYSA-N -1 1 315.333 1.515 20 0 DDADMM O=S(=O)(C[C@@H]1CC[C@@H](C(F)(F)F)O1)[N-]C(CF)CF ZINC001413434518 856806059 /nfs/dbraw/zinc/80/60/59/856806059.db2.gz UPJDKWHPXVRXTM-YUMQZZPRSA-N -1 1 311.272 1.323 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cnc([C@@H]2CCCO2)s1 ZINC001413506516 856903819 /nfs/dbraw/zinc/90/38/19/856903819.db2.gz GPNHAWAYHQMTSY-QMMMGPOBSA-N -1 1 320.378 1.317 20 0 DDADMM CCSc1c[nH]c(=O)c(C(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001413506867 856906837 /nfs/dbraw/zinc/90/68/37/856906837.db2.gz OCTAWTHGEZZGCW-UHFFFAOYSA-N -1 1 320.378 1.222 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Nc2ccnnc2)[n-]c1=O ZINC001413581507 857167432 /nfs/dbraw/zinc/16/74/32/857167432.db2.gz HXURDELOTDUSDN-SNVBAGLBSA-N -1 1 316.321 1.350 20 0 DDADMM O=C(NCc1sncc1Br)c1cnncc1[O-] ZINC001413622006 857248624 /nfs/dbraw/zinc/24/86/24/857248624.db2.gz JLMKTYCVIHHWLT-UHFFFAOYSA-N -1 1 315.152 1.331 20 0 DDADMM COc1cnc(C(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)c(F)c1 ZINC001413676917 857319407 /nfs/dbraw/zinc/31/94/07/857319407.db2.gz QFILHVUZAMGBJA-UHFFFAOYSA-N -1 1 322.296 1.770 20 0 DDADMM COc1nn(C)cc1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001413773816 858514197 /nfs/dbraw/zinc/51/41/97/858514197.db2.gz FMLVRMHAURPUEW-UHFFFAOYSA-N -1 1 319.365 1.286 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1CC[C@H](CC)C1 ZINC001122695250 858939598 /nfs/dbraw/zinc/93/95/98/858939598.db2.gz VFUBUWMCCFNILY-NSHDSACASA-N -1 1 320.401 1.121 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1CC[C@H](CC)C1 ZINC001122695250 858939603 /nfs/dbraw/zinc/93/96/03/858939603.db2.gz VFUBUWMCCFNILY-NSHDSACASA-N -1 1 320.401 1.121 20 0 DDADMM Cc1cnc2cc(C(=O)[N-]N3C(=O)c4ccccc4C3=O)ccn12 ZINC001138075751 860006359 /nfs/dbraw/zinc/00/63/59/860006359.db2.gz UDDPDGPOMUJDKS-UHFFFAOYSA-N -1 1 320.308 1.584 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@@H]2CCOC2)C1 ZINC000830823029 860011103 /nfs/dbraw/zinc/01/11/03/860011103.db2.gz SPFLIEYJEZSIBR-JOYOIKCWSA-N -1 1 308.300 1.083 20 0 DDADMM CCNC(=O)[C@@H]1CCCN1Cc1ccc(OCC(=O)[O-])cc1 ZINC001138930025 860234333 /nfs/dbraw/zinc/23/43/33/860234333.db2.gz HJTKFEREKMMDOB-AWEZNQCLSA-N -1 1 306.362 1.251 20 0 DDADMM COc1cccc(CN2CC(C3COC3)C2)c1OCC(=O)[O-] ZINC001139267165 860342029 /nfs/dbraw/zinc/34/20/29/860342029.db2.gz TUNHCRGKKHEXST-UHFFFAOYSA-N -1 1 307.346 1.237 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn(CC)nc2C2CC2)n1 ZINC001361383611 881538980 /nfs/dbraw/zinc/53/89/80/881538980.db2.gz MRMFGQRIYAUXHP-UHFFFAOYSA-N -1 1 317.349 1.933 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(Nc2ncccn2)cc1 ZINC001139642758 860446720 /nfs/dbraw/zinc/44/67/20/860446720.db2.gz VTSLXTZDDMSCAI-UHFFFAOYSA-N -1 1 310.321 1.305 20 0 DDADMM CCOC(=O)c1cnc2n1CCN(Cc1ccncc1[O-])[C@H]2C ZINC001140281673 860610812 /nfs/dbraw/zinc/61/08/12/860610812.db2.gz HEANABHICRSGNL-NSHDSACASA-N -1 1 316.361 1.737 20 0 DDADMM Cc1[nH]c(CN2CCC[C@@H](n3cncn3)C2)c(C)c1C(=O)[O-] ZINC001140498071 860646694 /nfs/dbraw/zinc/64/66/94/860646694.db2.gz ZIFKOGXCDRUNKC-GFCCVEGCSA-N -1 1 303.366 1.758 20 0 DDADMM [O-]c1ccc(CN2CCN(c3ncc(O)cn3)CC2)c(F)c1F ZINC001140892368 860727068 /nfs/dbraw/zinc/72/70/68/860727068.db2.gz KJCJZKNXNSPSPK-UHFFFAOYSA-N -1 1 322.315 1.488 20 0 DDADMM Cc1cncnc1O[C@@H]1CCN(Cc2ccc(C(=O)[O-])o2)C1 ZINC001140949277 860744329 /nfs/dbraw/zinc/74/43/29/860744329.db2.gz WSVKVXRIQFSTMV-GFCCVEGCSA-N -1 1 303.318 1.730 20 0 DDADMM CNC(=O)c1cnc2n1CCN(Cc1cc(F)c([O-])c(F)c1)C2 ZINC001140988699 860753187 /nfs/dbraw/zinc/75/31/87/860753187.db2.gz IUACGECNSVFZAT-UHFFFAOYSA-N -1 1 322.315 1.242 20 0 DDADMM Cn1nnnc1-c1cccc(NC(=O)c2cccc([O-])c2F)c1 ZINC001141283176 860819530 /nfs/dbraw/zinc/81/95/30/860819530.db2.gz JSJXTCXRIJMYRQ-UHFFFAOYSA-N -1 1 313.292 1.974 20 0 DDADMM O=C(NCc1cn2ccsc2n1)c1ccc2oc([O-])nc2n1 ZINC001142314407 861115739 /nfs/dbraw/zinc/11/57/39/861115739.db2.gz GUWGUQICTUNMAP-UHFFFAOYSA-N -1 1 315.314 1.568 20 0 DDADMM COC[C@H]1CN(C(=O)c2cc(C)cc(C=O)c2[O-])C[C@@H](C)O1 ZINC001154526029 861175548 /nfs/dbraw/zinc/17/55/48/861175548.db2.gz BQVPQZWOVNBZCS-DGCLKSJQSA-N -1 1 307.346 1.389 20 0 DDADMM O=C(Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-])c1cnco1 ZINC001152211776 863219334 /nfs/dbraw/zinc/21/93/34/863219334.db2.gz CMSLUIVSWGCSOW-UHFFFAOYSA-N -1 1 323.330 1.073 20 0 DDADMM Cc1c(F)ccc(N)c1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001152486442 863388410 /nfs/dbraw/zinc/38/84/10/863388410.db2.gz UCBRILZYYWGSFI-UHFFFAOYSA-N -1 1 304.329 1.249 20 0 DDADMM O=c1c2ccccc2sn1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC001329343706 863610119 /nfs/dbraw/zinc/61/01/19/863610119.db2.gz AMRCMADVNUKFDG-UHFFFAOYSA-N -1 1 315.354 1.680 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC2(CC(=O)N2)CC1 ZINC001329700524 863796958 /nfs/dbraw/zinc/79/69/58/863796958.db2.gz NKKAAFCVQXZAQZ-UHFFFAOYSA-N -1 1 308.765 1.469 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)c2ccsn2)C1)C(F)(F)F ZINC001361476805 881729878 /nfs/dbraw/zinc/72/98/78/881729878.db2.gz PCDBBDZIXXOWLA-MRVPVSSYSA-N -1 1 321.324 1.674 20 0 DDADMM Cn1nc2c(c1NC(=O)c1cnc3cccnc3c1[O-])CCC2 ZINC001153850666 864155799 /nfs/dbraw/zinc/15/57/99/864155799.db2.gz GIJMJBFPXGZVHB-UHFFFAOYSA-N -1 1 309.329 1.398 20 0 DDADMM O=C(NCc1cc2ccccn2n1)c1c[n-]c2cccnc2c1=O ZINC001153862737 864174971 /nfs/dbraw/zinc/17/49/71/864174971.db2.gz DAFHQIIEXMWRGT-UHFFFAOYSA-N -1 1 319.324 1.501 20 0 DDADMM Cc1cc2[nH]c(C(=O)Nc3c(N)[nH]c(=O)[n-]c3=O)cc2s1 ZINC001154002396 864302015 /nfs/dbraw/zinc/30/20/15/864302015.db2.gz YFKOLAMDLUCIKC-UHFFFAOYSA-N -1 1 305.319 1.574 20 0 DDADMM CC(C)(C)n1cc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)nn1 ZINC001361496059 881764608 /nfs/dbraw/zinc/76/46/08/881764608.db2.gz MYXZXQJKBYVNNJ-UHFFFAOYSA-N -1 1 316.365 1.660 20 0 DDADMM CC(C)[C@@H](C)CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225307806 881774196 /nfs/dbraw/zinc/77/41/96/881774196.db2.gz PQNMITXBOGEMLJ-YNEHKIRRSA-N -1 1 323.441 1.710 20 0 DDADMM CC/C=C(\C)C(=O)N1CSC[C@H]1C(=O)Nc1c(C)[n-][nH]c1=O ZINC001330817311 864629047 /nfs/dbraw/zinc/62/90/47/864629047.db2.gz MDEJAMLJTLMHPY-YVFTVSHDSA-N -1 1 324.406 1.620 20 0 DDADMM CC(C)(C)N1CCN(C(=O)C2(C(=O)[O-])CCSCC2)CC1 ZINC001331962182 865463490 /nfs/dbraw/zinc/46/34/90/865463490.db2.gz CHDOAFQBLDJVEW-UHFFFAOYSA-N -1 1 314.451 1.527 20 0 DDADMM CC[C@H](F)CN1CC=C(CCNC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001159819861 865543736 /nfs/dbraw/zinc/54/37/36/865543736.db2.gz SVRRCVFAXXABFA-ZDUSSCGKSA-N -1 1 324.400 1.924 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCOc1ccc2c(c1)CCC2 ZINC001332425580 865832711 /nfs/dbraw/zinc/83/27/11/865832711.db2.gz AVWAJIJVNJCORK-UHFFFAOYSA-N -1 1 315.377 1.206 20 0 DDADMM CCC=C(C)C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001332768515 866127393 /nfs/dbraw/zinc/12/73/93/866127393.db2.gz FXROHYQJTASEPR-HUYFXPKMSA-N -1 1 309.414 1.487 20 0 DDADMM C[C@H](CCCO)[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001320023279 866432375 /nfs/dbraw/zinc/43/23/75/866432375.db2.gz VYNHVVFWNNMCOC-SSDOTTSWSA-N -1 1 313.206 1.828 20 0 DDADMM O=C([N-]c1nc2cnccn2n1)c1cnc([C@H]2CCCO2)s1 ZINC001333649588 866901973 /nfs/dbraw/zinc/90/19/73/866901973.db2.gz DTPSVULBWTZBQN-MRVPVSSYSA-N -1 1 316.346 1.685 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)C1(C)CCC(C)CC1 ZINC001320929446 867127202 /nfs/dbraw/zinc/12/72/02/867127202.db2.gz LYOWHBHJDWSMOX-UHFFFAOYSA-N -1 1 323.441 1.665 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@H]1CCCCN1Cc1cccnc1 ZINC001320990033 867184636 /nfs/dbraw/zinc/18/46/36/867184636.db2.gz WUEXGFPPRMGGPO-HZPDHXFCSA-N -1 1 319.405 1.520 20 0 DDADMM [O-]c1cc(F)c(CCNC(=S)NC[C@@H]2CCOC2)c(F)c1 ZINC001334397637 867539273 /nfs/dbraw/zinc/53/92/73/867539273.db2.gz OBVTUDJHWQNASF-VIFPVBQESA-N -1 1 316.373 1.714 20 0 DDADMM CN1[C@@H]2C[C@@H](Oc3nc(C(F)(F)F)cc(=O)[n-]3)[C@H]1CC(=O)C2 ZINC001225980548 882141669 /nfs/dbraw/zinc/14/16/69/882141669.db2.gz NQRSEVUKVDDNND-NBEYISGCSA-N -1 1 317.267 1.384 20 0 DDADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC=CCC1 ZINC001163390017 868411774 /nfs/dbraw/zinc/41/17/74/868411774.db2.gz ZVADBHOFXAUUGK-LBPRGKRZSA-N -1 1 319.409 1.243 20 0 DDADMM CSc1nc(CNc2cc(C)nc3ncnn32)cc(=O)[n-]1 ZINC001163611787 868567215 /nfs/dbraw/zinc/56/72/15/868567215.db2.gz ZFKBIZDABIFEJF-UHFFFAOYSA-N -1 1 303.351 1.262 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H]1CCN(C(=O)c2ccc([O-])cn2)C1 ZINC001361719483 882225354 /nfs/dbraw/zinc/22/53/54/882225354.db2.gz ZMJIDJNDYOUCRA-NSHDSACASA-N -1 1 321.377 1.774 20 0 DDADMM CC1(NC(=O)C(=O)c2ccc([O-])cc2)CCC2(CC1)OCCO2 ZINC001336246330 868814484 /nfs/dbraw/zinc/81/44/84/868814484.db2.gz IRLHNQQBBSDEFY-UHFFFAOYSA-N -1 1 319.357 1.767 20 0 DDADMM CCCCCC(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001337020342 869268049 /nfs/dbraw/zinc/26/80/49/869268049.db2.gz MWMWWEKAIGETST-GFCCVEGCSA-N -1 1 307.394 1.944 20 0 DDADMM COC(OC)[C@@H](NC(=O)c1cnncc1[O-])c1ccccc1 ZINC001337220494 869386013 /nfs/dbraw/zinc/38/60/13/869386013.db2.gz XKVNHAUUGIVTIG-ZDUSSCGKSA-N -1 1 303.318 1.272 20 0 DDADMM O=C(CNC(=O)c1ccc(F)c([O-])c1)NCc1ccccc1 ZINC001361804573 882405683 /nfs/dbraw/zinc/40/56/83/882405683.db2.gz PTXFCHYTTZQFME-UHFFFAOYSA-N -1 1 302.305 1.578 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2ccc(C(N)=O)nc2)c1 ZINC001203441441 870561857 /nfs/dbraw/zinc/56/18/57/870561857.db2.gz XCJYSWIICFMFTL-UHFFFAOYSA-N -1 1 307.331 1.033 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCN2CCC(C)CC2)sn1 ZINC001363959928 887613616 /nfs/dbraw/zinc/61/36/16/887613616.db2.gz XMNRBKQDCIEILB-UHFFFAOYSA-N -1 1 319.452 1.162 20 0 DDADMM CC(C)(C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)c1cccnc1 ZINC001301648665 871014139 /nfs/dbraw/zinc/01/41/39/871014139.db2.gz DEXKFNIKGJJRDA-AWEZNQCLSA-N -1 1 322.372 1.778 20 0 DDADMM COc1cccnc1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001301655893 871015672 /nfs/dbraw/zinc/01/56/72/871015672.db2.gz WHWBBMWZCFLMSB-GFCCVEGCSA-N -1 1 310.317 1.123 20 0 DDADMM Cn1cnc(C=CC(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)c1 ZINC001301709919 871017978 /nfs/dbraw/zinc/01/79/78/871017978.db2.gz YKIVZOVTSQYBSO-QPJJXVBHSA-N -1 1 309.329 1.963 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N(C)[C@@H]2CCCCN(C)C2=O)c1 ZINC001302164301 871046569 /nfs/dbraw/zinc/04/65/69/871046569.db2.gz JYNPECNWZNPBQP-CQSZACIVSA-N -1 1 318.373 1.596 20 0 DDADMM COc1c(F)nccc1NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001304717759 871236470 /nfs/dbraw/zinc/23/64/70/871236470.db2.gz HXUOXXMTGFZAQO-UHFFFAOYSA-N -1 1 310.310 1.574 20 0 DDADMM C[C@H]1CCCN1c1nnc(Cc2nnn[n-]2)n1Cc1ccccc1 ZINC001340691738 871286207 /nfs/dbraw/zinc/28/62/07/871286207.db2.gz LNZFLKDQQLJLGA-LBPRGKRZSA-N -1 1 324.392 1.419 20 0 DDADMM C[C@H]1CCCN1c1nnc(Cc2nn[n-]n2)n1Cc1ccccc1 ZINC001340691738 871286225 /nfs/dbraw/zinc/28/62/25/871286225.db2.gz LNZFLKDQQLJLGA-LBPRGKRZSA-N -1 1 324.392 1.419 20 0 DDADMM C[C@H]1CN(Cc2ccccc2)CC[C@@H]1Oc1c([O-])c(=O)c1=O ZINC001226605638 882534874 /nfs/dbraw/zinc/53/48/74/882534874.db2.gz IVIZFELODUUOHU-AAEUAGOBSA-N -1 1 301.342 1.278 20 0 DDADMM Cc1nc([C@@H](C)[N-]S(=O)(=O)c2cc(F)c(F)c(F)c2)no1 ZINC001341454860 871742973 /nfs/dbraw/zinc/74/29/73/871742973.db2.gz ACFAXVLYVSBTIA-RXMQYKEDSA-N -1 1 321.280 1.835 20 0 DDADMM COCCn1c(-c2nc(Cl)n[n-]2)nnc1N1CCC=C(C)C1 ZINC001343267503 872559001 /nfs/dbraw/zinc/55/90/01/872559001.db2.gz WXYYOTRGVQTZEO-UHFFFAOYSA-N -1 1 323.788 1.519 20 0 DDADMM CC(C)CC(=O)N1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001361939856 882677334 /nfs/dbraw/zinc/67/73/34/882677334.db2.gz VBZMIGFULCYPEY-UHFFFAOYSA-N -1 1 308.353 1.862 20 0 DDADMM COc1cc(NC(=O)CCCc2nn[n-]n2)ccc1NC(C)=O ZINC001361942430 882681425 /nfs/dbraw/zinc/68/14/25/882681425.db2.gz QYCWKBFXMJYESO-UHFFFAOYSA-N -1 1 318.337 1.128 20 0 DDADMM CC(C)(C)C(=O)c1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001361970841 882734948 /nfs/dbraw/zinc/73/49/48/882734948.db2.gz MYVDRWNPYJRLHV-UHFFFAOYSA-N -1 1 301.350 2.000 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCc1ccc(CO)cc1 ZINC001347116086 874019605 /nfs/dbraw/zinc/01/96/05/874019605.db2.gz ORCHJMLJEXGVNN-UHFFFAOYSA-N -1 1 319.386 1.244 20 0 DDADMM CCc1c(C)nc2n(c1=O)CCC[C@@H]2Oc1cnc[n-]c1=O ZINC001227048171 882794364 /nfs/dbraw/zinc/79/43/64/882794364.db2.gz AAXNTMYKIBXHMY-NSHDSACASA-N -1 1 302.334 1.524 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CCCCN1Cc1cccc(F)c1 ZINC001347384237 874119198 /nfs/dbraw/zinc/11/91/98/874119198.db2.gz OEKWILIYWXESHJ-OAHLLOKOSA-N -1 1 310.369 1.874 20 0 DDADMM O=C(c1cccc2ccncc21)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001348164575 874394180 /nfs/dbraw/zinc/39/41/80/874394180.db2.gz FWFDPNMPCWOELV-GFCCVEGCSA-N -1 1 322.372 1.843 20 0 DDADMM O=C(CCSCc1ccccn1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001349002503 874917307 /nfs/dbraw/zinc/91/73/07/874917307.db2.gz OFXAATWYJNKNCI-LLVKDONJSA-N -1 1 318.406 1.234 20 0 DDADMM CCCN(C(=O)c1cccc([O-])c1F)[C@H]1CCS(=O)(=O)C1 ZINC001362040464 882894983 /nfs/dbraw/zinc/89/49/83/882894983.db2.gz DUYGQGNOBGZMTM-JTQLQIEISA-N -1 1 315.366 1.571 20 0 DDADMM COc1cc(C)c([N-]S(=O)(=O)c2ccc(N)c(N)c2)cn1 ZINC001209872174 875152117 /nfs/dbraw/zinc/15/21/17/875152117.db2.gz WHVKSWIUUFVNFJ-UHFFFAOYSA-N -1 1 308.363 1.364 20 0 DDADMM CC(C)[C@H](C)C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001378572001 875153361 /nfs/dbraw/zinc/15/33/61/875153361.db2.gz ICUVEWYGYHYSMP-NWDGAFQWSA-N -1 1 305.378 1.410 20 0 DDADMM O=C(c1cccc2cccc(O)c21)N1CC[C@H](c2nn[n-]n2)C1 ZINC001350221720 875585572 /nfs/dbraw/zinc/58/55/72/875585572.db2.gz AVRFMYYWMHAJJS-NSHDSACASA-N -1 1 309.329 1.688 20 0 DDADMM CC(C)(C)OC(=O)NC1CCN(C(=O)c2cnncc2[O-])CC1 ZINC001362065516 882950434 /nfs/dbraw/zinc/95/04/34/882950434.db2.gz VKXDGXUEBKRSDY-UHFFFAOYSA-N -1 1 322.365 1.312 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3c(c2)NCC3)c1 ZINC001213158434 875839046 /nfs/dbraw/zinc/83/90/46/875839046.db2.gz ISQJHMORWRDMFX-UHFFFAOYSA-N -1 1 304.375 1.620 20 0 DDADMM CCC1(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)C)CCC1 ZINC001378980288 876062340 /nfs/dbraw/zinc/06/23/40/876062340.db2.gz JFMXRSGQPOJNMV-LLVKDONJSA-N -1 1 309.414 1.321 20 0 DDADMM CC(=O)c1cc(-c2noc(-c3c[nH]c(=S)[n-]c3=O)n2)ccn1 ZINC001213868616 876085864 /nfs/dbraw/zinc/08/58/64/876085864.db2.gz LVHBMZNDCZBOEG-UHFFFAOYSA-N -1 1 315.314 1.786 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cc(C(C)(C)C)on3)nc2n1 ZINC001351266029 876154012 /nfs/dbraw/zinc/15/40/12/876154012.db2.gz IXLUUCVLJVPLBJ-UHFFFAOYSA-N -1 1 316.321 1.264 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001351406104 876232911 /nfs/dbraw/zinc/23/29/11/876232911.db2.gz GNOMFEAGBJPEMY-OLZOCXBDSA-N -1 1 319.405 1.658 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CC1(C)C)NC(=O)c1ncccc1[O-] ZINC001381941985 883012384 /nfs/dbraw/zinc/01/23/84/883012384.db2.gz CZYOPIKIAXJYNY-GHMZBOCLSA-N -1 1 305.378 1.410 20 0 DDADMM O=C(NCCCN(C(=O)c1ncccc1[O-])C1CC1)C1CC1 ZINC001352406418 876730883 /nfs/dbraw/zinc/73/08/83/876730883.db2.gz QWMDQSGLOWXTRO-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM COCOc1ccc(Nc2cc(S(C)(=O)=O)ccc2[O-])cn1 ZINC001215666503 876782339 /nfs/dbraw/zinc/78/23/39/876782339.db2.gz VTXJNTCITCVQNS-UHFFFAOYSA-N -1 1 324.358 1.917 20 0 DDADMM Cc1ccn(-c2cccc(C(=O)N3CC[C@H](c4nn[n-]n4)C3)c2)n1 ZINC001352595866 876824039 /nfs/dbraw/zinc/82/40/39/876824039.db2.gz HHTPACMXIUDDNI-ZDUSSCGKSA-N -1 1 323.360 1.324 20 0 DDADMM CCc1nccnc1Nc1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001216151815 876877086 /nfs/dbraw/zinc/87/70/86/876877086.db2.gz IGSDQIPEFSXSBY-UHFFFAOYSA-N -1 1 323.378 1.558 20 0 DDADMM COc1cc(CO)cc([N-]S(=O)(=O)c2ccc(C)c(N)c2)c1 ZINC001216202205 876889779 /nfs/dbraw/zinc/88/97/79/876889779.db2.gz RNAUKYGYMNQXHV-UHFFFAOYSA-N -1 1 322.386 1.879 20 0 DDADMM CCCOc1ccc([N-]S(=O)(=O)c2ccc(N)c(N)c2)cn1 ZINC001216586605 876988070 /nfs/dbraw/zinc/98/80/70/876988070.db2.gz OOFBUSPRZIWVIU-UHFFFAOYSA-N -1 1 322.390 1.836 20 0 DDADMM COc1ccccc1[C@@H](Oc1nc(=O)[nH]c(=O)[n-]1)C(F)(F)F ZINC001227569711 883086688 /nfs/dbraw/zinc/08/66/88/883086688.db2.gz FNJWVVZCJRMIBH-MRVPVSSYSA-N -1 1 317.223 1.974 20 0 DDADMM CCC(CC)C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001379633546 877590947 /nfs/dbraw/zinc/59/09/47/877590947.db2.gz RDBRLAHSCDMWFC-QGZVFWFLSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1ccc(N)cc1S(=O)(=O)[N-]c1cccc(CCO)c1 ZINC000313181280 878403975 /nfs/dbraw/zinc/40/39/75/878403975.db2.gz IUNMHIIUSASGCI-UHFFFAOYSA-N -1 1 306.387 1.913 20 0 DDADMM COc1ccc([C@H](C)N(C)c2nnc(-c3nnn[n-]3)n2C)cc1 ZINC001355332493 878506600 /nfs/dbraw/zinc/50/66/00/878506600.db2.gz CLLPYTGNVJMGQF-VIFPVBQESA-N -1 1 314.353 1.201 20 0 DDADMM COc1ccc([C@H](C)N(C)c2nnc(-c3nn[n-]n3)n2C)cc1 ZINC001355332493 878506613 /nfs/dbraw/zinc/50/66/13/878506613.db2.gz CLLPYTGNVJMGQF-VIFPVBQESA-N -1 1 314.353 1.201 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H](C)C1CCC1)NC(=O)c1ncccc1[O-] ZINC001395601341 912363225 /nfs/dbraw/zinc/36/32/25/912363225.db2.gz ZFFGOJCHTZABCI-RYUDHWBXSA-N -1 1 319.405 1.800 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)[C@H](C)c1ccccc1C ZINC001356289322 878967569 /nfs/dbraw/zinc/96/75/69/878967569.db2.gz DGRZRQADKAUGFL-LLVKDONJSA-N -1 1 312.381 1.984 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)[C@H](C)c1ccccc1C ZINC001356289322 878967585 /nfs/dbraw/zinc/96/75/85/878967585.db2.gz DGRZRQADKAUGFL-LLVKDONJSA-N -1 1 312.381 1.984 20 0 DDADMM c1ccc(Cn2c(Cc3nnn[n-]3)nnc2N2CCCC2)cc1 ZINC001357810322 880211635 /nfs/dbraw/zinc/21/16/35/880211635.db2.gz GFRHIQDCVQMXQW-UHFFFAOYSA-N -1 1 310.365 1.031 20 0 DDADMM c1ccc(Cn2c(Cc3nn[n-]n3)nnc2N2CCCC2)cc1 ZINC001357810322 880211647 /nfs/dbraw/zinc/21/16/47/880211647.db2.gz GFRHIQDCVQMXQW-UHFFFAOYSA-N -1 1 310.365 1.031 20 0 DDADMM CN(C(=O)c1cccc([N+](=O)[O-])c1[O-])[C@H]1CN2CCC1CC2 ZINC000320042584 880298684 /nfs/dbraw/zinc/29/86/84/880298684.db2.gz YEPBLOLGEAKGPU-ZDUSSCGKSA-N -1 1 305.334 1.467 20 0 DDADMM CC(C)=C(C)CC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001358600981 880601401 /nfs/dbraw/zinc/60/14/01/880601401.db2.gz NUJVWXLOLNIFHS-CYBMUJFWSA-N -1 1 317.389 1.864 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@]2(CCC[C@@H]2OCc2nn[n-]n2)C1 ZINC001223029962 880790146 /nfs/dbraw/zinc/79/01/46/880790146.db2.gz RGBCZOPFVWGDIF-XHDPSFHLSA-N -1 1 323.397 1.896 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@@H]2C[C@H](OCc3nn[n-]n3)C[C@@H]2C1 ZINC001223030545 880790226 /nfs/dbraw/zinc/79/02/26/880790226.db2.gz YJJAKRIQDOJXEK-JGPRNRPPSA-N -1 1 309.370 1.362 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](F)[C@@H](OCc2nn[n-]n2)CC1 ZINC001223030188 880790596 /nfs/dbraw/zinc/79/05/96/880790596.db2.gz ULSGAGYTKAFOKW-ZJUUUORDSA-N -1 1 315.349 1.454 20 0 DDADMM CC(C)[C@H]1CC[C@@H](C)C[C@]12OC[C@H](COCc1nn[n-]n1)O2 ZINC001223030687 880792446 /nfs/dbraw/zinc/79/24/46/880792446.db2.gz ZSXVOXZIILAHEP-QVHKTLOISA-N -1 1 310.398 1.920 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]1c1ccccn1 ZINC001362155696 883170653 /nfs/dbraw/zinc/17/06/53/883170653.db2.gz XOHMFEKDACEPRW-JTQLQIEISA-N -1 1 316.317 1.269 20 0 DDADMM CCC(CC)(NC(=O)c1c([O-])cnc2c(F)cccc21)C(N)=O ZINC001362179995 883226813 /nfs/dbraw/zinc/22/68/13/883226813.db2.gz OHTXUZCSLGAURF-UHFFFAOYSA-N -1 1 319.336 1.853 20 0 DDADMM COc1cc(OC)c(OC)cc1NC(=O)c1cnncc1[O-] ZINC001362219616 883331965 /nfs/dbraw/zinc/33/19/65/883331965.db2.gz VRPDHEVBBMXGIQ-UHFFFAOYSA-N -1 1 305.290 1.460 20 0 DDADMM Cc1nnc([C@H]2CCCN(C(=O)c3cccc([O-])c3F)C2)[nH]1 ZINC001362284665 883480469 /nfs/dbraw/zinc/48/04/69/883480469.db2.gz JYHBLBNXRRPDLB-JTQLQIEISA-N -1 1 304.325 1.978 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC(C2N=NC(=O)O2)CC1 ZINC001362540936 884045754 /nfs/dbraw/zinc/04/57/54/884045754.db2.gz RMBRVGBDFGVWCT-UHFFFAOYSA-N -1 1 307.281 1.640 20 0 DDADMM O=C1[N-]C(=O)[C@@H](Cc2ccc(OC[C@H]3COCCO3)cc2)S1 ZINC001229616009 884079637 /nfs/dbraw/zinc/07/96/37/884079637.db2.gz FSGMKRIPHLENEX-CHWSQXEVSA-N -1 1 323.370 1.375 20 0 DDADMM C[C@@H]1CCc2[nH]nc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)c2C1 ZINC001362562063 884099467 /nfs/dbraw/zinc/09/94/67/884099467.db2.gz KQGBKALPGGHLRT-ZJUUUORDSA-N -1 1 315.381 1.067 20 0 DDADMM Cc1cnc(C(=O)NCC(=O)c2c(F)cccc2F)c([O-])c1 ZINC001362592137 884170442 /nfs/dbraw/zinc/17/04/42/884170442.db2.gz WAAKRYKEPBHANO-UHFFFAOYSA-N -1 1 306.268 1.987 20 0 DDADMM CC(C)(CO)[C@H](NC(=O)CCc1nn[n-]n1)c1cccs1 ZINC001362604002 884197854 /nfs/dbraw/zinc/19/78/54/884197854.db2.gz LVFHWMXOXIBMTA-GFCCVEGCSA-N -1 1 309.395 1.070 20 0 DDADMM COc1c(=O)[n-]c(C)nc1OC1CN(Cc2ccccc2)C1 ZINC001230019522 884281043 /nfs/dbraw/zinc/28/10/43/884281043.db2.gz KRXDLUILTNWQHX-UHFFFAOYSA-N -1 1 301.346 1.762 20 0 DDADMM CCCCCCC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001230178535 884362057 /nfs/dbraw/zinc/36/20/57/884362057.db2.gz CBXTXTPKPPMBSC-UHFFFAOYSA-N -1 1 323.441 1.159 20 0 DDADMM NC(=O)c1csc(CNC(=O)c2ccc(Cl)cc2[O-])n1 ZINC001362679345 884399215 /nfs/dbraw/zinc/39/92/15/884399215.db2.gz CXKLGJUSOPKBIH-UHFFFAOYSA-N -1 1 311.750 1.531 20 0 DDADMM CCOC(=O)C[C@H](Oc1nc(C(=O)OC)c[n-]1)C(F)(F)F ZINC001230280624 884405505 /nfs/dbraw/zinc/40/55/05/884405505.db2.gz XDZFUXHNXUQIBP-ZETCQYMHSA-N -1 1 310.228 1.459 20 0 DDADMM O=C(c1c(Cl)n[nH]c1C1CC1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362689700 884420581 /nfs/dbraw/zinc/42/05/81/884420581.db2.gz SAUKXOSCFGBJRH-MRVPVSSYSA-N -1 1 321.772 1.473 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc(CO)c(CO)c2)c(=O)[n-]1 ZINC001362710084 884464026 /nfs/dbraw/zinc/46/40/26/884464026.db2.gz SDQDPSJEJBDWEH-UHFFFAOYSA-N -1 1 321.358 1.141 20 0 DDADMM CCOC(=O)[C@@H]1C2CCC(CC2)N1C(=O)c1cnncc1[O-] ZINC001362746206 884537415 /nfs/dbraw/zinc/53/74/15/884537415.db2.gz ZFZYEVIJPZVSOE-ZPPKWKGLSA-N -1 1 305.334 1.129 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccn(C2CCCCC2)n1 ZINC001362750831 884548975 /nfs/dbraw/zinc/54/89/75/884548975.db2.gz ZYZQVBGXVXWFIL-UHFFFAOYSA-N -1 1 303.370 1.863 20 0 DDADMM CS(=O)(=O)[C@H]1CCCCN(C(=O)c2cccc([O-])c2F)C1 ZINC001362750647 884549443 /nfs/dbraw/zinc/54/94/43/884549443.db2.gz XIYZCDNPDYOCDL-JTQLQIEISA-N -1 1 315.366 1.571 20 0 DDADMM CCOC(=O)[C@@H]1CCCC[C@@H]1NC(=O)c1ncc(C)cc1[O-] ZINC001362831778 884748818 /nfs/dbraw/zinc/74/88/18/884748818.db2.gz CITCZCTWWHWIBR-NEPJUHHUSA-N -1 1 306.362 1.947 20 0 DDADMM O=C(Cc1ccc(OC(F)F)cc1)NC1(c2nn[n-]n2)CCC1 ZINC001362850073 884792273 /nfs/dbraw/zinc/79/22/73/884792273.db2.gz LFBAZBJBQITKEY-UHFFFAOYSA-N -1 1 323.303 1.539 20 0 DDADMM CSc1ncc(C(=O)N[C@@H]([C@H](CO)C2CC2)C2CC2)c(=O)[n-]1 ZINC001362896925 884916372 /nfs/dbraw/zinc/91/63/72/884916372.db2.gz YGHDHDQZCAOKBL-VXGBXAGGSA-N -1 1 323.418 1.431 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC1(CC(N)=O)CC1 ZINC001362899430 884923608 /nfs/dbraw/zinc/92/36/08/884923608.db2.gz LLJWCIHPSMOXBR-UHFFFAOYSA-N -1 1 320.393 1.174 20 0 DDADMM CC[C@@H](NC(=O)CCc1c(C)nc(SC)[n-]c1=O)[C@@H](C)O ZINC001362915311 884977213 /nfs/dbraw/zinc/97/72/13/884977213.db2.gz QJQNKRHRGJIQGE-MWLCHTKSSA-N -1 1 313.423 1.421 20 0 DDADMM CSc1nc(CNC(=O)Cc2ccc(Cl)cn2)cc(=O)[n-]1 ZINC001362963758 885098919 /nfs/dbraw/zinc/09/89/19/885098919.db2.gz JNKDNXPDIUQVIX-UHFFFAOYSA-N -1 1 324.793 1.811 20 0 DDADMM Cn1ccc(=O)c(C(=O)NCCc2c(F)cc([O-])cc2F)c1 ZINC001362974571 885124172 /nfs/dbraw/zinc/12/41/72/885124172.db2.gz VAWUTKKLWPLHFQ-UHFFFAOYSA-N -1 1 308.284 1.342 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(C)c(C)cn2)n[n-]1 ZINC001363046017 885319698 /nfs/dbraw/zinc/31/96/98/885319698.db2.gz MCWZMCDWXYWIPO-SNVBAGLBSA-N -1 1 317.349 1.484 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(C)c(C)cn2)[n-]1 ZINC001363046017 885319716 /nfs/dbraw/zinc/31/97/16/885319716.db2.gz MCWZMCDWXYWIPO-SNVBAGLBSA-N -1 1 317.349 1.484 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(C)c(C)cn2)n1 ZINC001363046017 885319735 /nfs/dbraw/zinc/31/97/35/885319735.db2.gz MCWZMCDWXYWIPO-SNVBAGLBSA-N -1 1 317.349 1.484 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(C)[nH]c2C)n[n-]1 ZINC001363053637 885342471 /nfs/dbraw/zinc/34/24/71/885342471.db2.gz ZHKDZOKJHJXJEB-VIFPVBQESA-N -1 1 305.338 1.417 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(C)[nH]c2C)[n-]1 ZINC001363053637 885342496 /nfs/dbraw/zinc/34/24/96/885342496.db2.gz ZHKDZOKJHJXJEB-VIFPVBQESA-N -1 1 305.338 1.417 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(C)[nH]c2C)n1 ZINC001363053637 885342519 /nfs/dbraw/zinc/34/25/19/885342519.db2.gz ZHKDZOKJHJXJEB-VIFPVBQESA-N -1 1 305.338 1.417 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](C)OCCC(C)C)n[n-]1 ZINC001363118564 885510218 /nfs/dbraw/zinc/51/02/18/885510218.db2.gz MWZUGBVUWRTZHN-SNVBAGLBSA-N -1 1 312.370 1.049 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](C)OCCC(C)C)n1 ZINC001363118564 885510234 /nfs/dbraw/zinc/51/02/34/885510234.db2.gz MWZUGBVUWRTZHN-SNVBAGLBSA-N -1 1 312.370 1.049 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Cc2ccccc2O)n[n-]1 ZINC001363121075 885514543 /nfs/dbraw/zinc/51/45/43/885514543.db2.gz FXFHHHOGIGQURM-SECBINFHSA-N -1 1 318.333 1.107 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Cc2ccccc2O)[n-]1 ZINC001363121075 885514553 /nfs/dbraw/zinc/51/45/53/885514553.db2.gz FXFHHHOGIGQURM-SECBINFHSA-N -1 1 318.333 1.107 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Cc2ccccc2O)n1 ZINC001363121075 885514563 /nfs/dbraw/zinc/51/45/63/885514563.db2.gz FXFHHHOGIGQURM-SECBINFHSA-N -1 1 318.333 1.107 20 0 DDADMM CCC(CC)(NC(=O)C[C@@H]1CC[C@@H](C2CC2)O1)c1nn[n-]n1 ZINC001363138289 885558979 /nfs/dbraw/zinc/55/89/79/885558979.db2.gz SZCZQQOQPDMXTL-RYUDHWBXSA-N -1 1 307.398 1.679 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)[C@]12C[C@H]1CCCC2 ZINC001363236815 885790746 /nfs/dbraw/zinc/79/07/46/885790746.db2.gz HVZDZZLLXLJWDN-XWRVAKJBSA-N -1 1 307.350 1.595 20 0 DDADMM COc1ccc(CN2CCC(=O)N3CCC[C@H]3C2)cc1C(=O)[O-] ZINC001231755903 885860582 /nfs/dbraw/zinc/86/05/82/885860582.db2.gz DBLCAULIZFAPFR-ZDUSSCGKSA-N -1 1 318.373 1.590 20 0 DDADMM NC(=O)[C@H]1CCn2nccc2CN1Cc1cc(F)c([O-])c(F)c1 ZINC001277565696 885871399 /nfs/dbraw/zinc/87/13/99/885871399.db2.gz RNWAGUJZTSHUOJ-CYBMUJFWSA-N -1 1 322.315 1.127 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@](C)(NC(=O)c2ccc([O-])cn2)C1 ZINC001363302220 885956383 /nfs/dbraw/zinc/95/63/83/885956383.db2.gz UMRZINFYJXBHCU-MRXNPFEDSA-N -1 1 321.377 1.917 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)Cc1ccco1 ZINC001363350413 886102836 /nfs/dbraw/zinc/10/28/36/886102836.db2.gz NOCMTGDXJFLILG-LLVKDONJSA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)Cc1ccco1 ZINC001363350413 886102856 /nfs/dbraw/zinc/10/28/56/886102856.db2.gz NOCMTGDXJFLILG-LLVKDONJSA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)Cc1ccco1 ZINC001363350413 886102874 /nfs/dbraw/zinc/10/28/74/886102874.db2.gz NOCMTGDXJFLILG-LLVKDONJSA-N -1 1 318.333 1.481 20 0 DDADMM Cc1cc(C)c(CC(=O)N(Cc2nn[n-]n2)CC(C)C)c(=O)[nH]1 ZINC001363352581 886108994 /nfs/dbraw/zinc/10/89/94/886108994.db2.gz AXTOASXDYVHMIC-UHFFFAOYSA-N -1 1 318.381 1.144 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CNc1ccccc1Cl ZINC001363357834 886122219 /nfs/dbraw/zinc/12/22/19/886122219.db2.gz TYQOYVAARMHBCW-UHFFFAOYSA-N -1 1 322.800 1.950 20 0 DDADMM O=C(N[C@@H]1Cc2cnccc2NC1=O)c1ccc([O-])cc1F ZINC001363360283 886130510 /nfs/dbraw/zinc/13/05/10/886130510.db2.gz PWEBYODQZGDCMH-CYBMUJFWSA-N -1 1 301.277 1.220 20 0 DDADMM Cc1occc1C(=O)NCC(=O)NCc1ccc([O-])c(Cl)c1 ZINC001363384613 886190741 /nfs/dbraw/zinc/19/07/41/886190741.db2.gz KMXVVUQZQSLPLN-UHFFFAOYSA-N -1 1 322.748 1.993 20 0 DDADMM COC[C@@H](NC(=O)c1cccc(C(F)(F)F)c1)c1nn[n-]n1 ZINC001363420121 886290588 /nfs/dbraw/zinc/29/05/88/886290588.db2.gz XBIJCRRJZOAZSI-SECBINFHSA-N -1 1 315.255 1.336 20 0 DDADMM CCS(=O)(=O)C[C@H](C)N(C)Cc1ccc([O-])c(F)c1F ZINC001363427188 886309097 /nfs/dbraw/zinc/30/90/97/886309097.db2.gz NPCYWVIHSLEBBM-VIFPVBQESA-N -1 1 307.362 1.925 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1nccs1 ZINC001383700824 886353333 /nfs/dbraw/zinc/35/33/33/886353333.db2.gz JUZOSHTTZSYLND-SECBINFHSA-N -1 1 320.374 1.134 20 0 DDADMM C[C@H](NC(=O)c1cncc([O-])c1)C(=O)OCc1ccccc1 ZINC001363469465 886424551 /nfs/dbraw/zinc/42/45/51/886424551.db2.gz RMXNUCIXVDXWBB-NSHDSACASA-N -1 1 300.314 1.649 20 0 DDADMM CCC(=O)N[C@@H](C)CN(C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001383783490 886507559 /nfs/dbraw/zinc/50/75/59/886507559.db2.gz HYGWUEGIMYVUJQ-JTQLQIEISA-N -1 1 322.409 1.601 20 0 DDADMM O=C(CCC1CCOCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363522038 886545769 /nfs/dbraw/zinc/54/57/69/886545769.db2.gz CWNUYGSEKYXPEP-UHFFFAOYSA-N -1 1 321.377 1.078 20 0 DDADMM CCC(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001383952451 886761397 /nfs/dbraw/zinc/76/13/97/886761397.db2.gz YKRKCMVSOIURFN-NEPJUHHUSA-N -1 1 305.378 1.412 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2ccc(C)c(O)c2)[n-]c1=O ZINC001363618118 886779191 /nfs/dbraw/zinc/77/91/91/886779191.db2.gz UOBIQCGCSHUBPD-UHFFFAOYSA-N -1 1 317.345 1.870 20 0 DDADMM O=C(NCc1ccc(Br)cn1)c1cncc([O-])c1 ZINC001363628761 886810523 /nfs/dbraw/zinc/81/05/23/886810523.db2.gz ROLONBCEIJKZSD-UHFFFAOYSA-N -1 1 308.135 1.875 20 0 DDADMM CCC[C@H](O)CC(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001363651136 886889333 /nfs/dbraw/zinc/88/93/33/886889333.db2.gz JJADFPQSRVDLCL-NSHDSACASA-N -1 1 323.393 1.627 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)CC(C)(C)C)CN1C(=O)c1ncccc1[O-] ZINC001384104890 886971598 /nfs/dbraw/zinc/97/15/98/886971598.db2.gz XHLKUJYMRPALKQ-NEPJUHHUSA-N -1 1 319.405 1.943 20 0 DDADMM CCc1c[nH]c(=O)c(C(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001363798181 887247251 /nfs/dbraw/zinc/24/72/51/887247251.db2.gz CWKNLXWBOXLPPB-UHFFFAOYSA-N -1 1 304.354 1.161 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)OC(C)(C)C ZINC001363845700 887379366 /nfs/dbraw/zinc/37/93/66/887379366.db2.gz HPJILQGAZINVEF-NXEZZACHSA-N -1 1 311.386 1.103 20 0 DDADMM COC(=O)[C@@](C)(Cn1cccn1)NC(=O)c1ccc(F)c([O-])c1 ZINC001363882141 887461752 /nfs/dbraw/zinc/46/17/52/887461752.db2.gz DQSKFIWTAJCTHQ-OAHLLOKOSA-N -1 1 321.308 1.090 20 0 DDADMM COC(=O)c1c(C)c(C)ccc1NC(=O)c1cnncc1[O-] ZINC001363884485 887468768 /nfs/dbraw/zinc/46/87/68/887468768.db2.gz AGRZPOUWOCZKTQ-UHFFFAOYSA-N -1 1 301.302 1.838 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)c2cccs2)[n-]n1 ZINC001363886409 887472156 /nfs/dbraw/zinc/47/21/56/887472156.db2.gz XSJVWNAJEOXWEX-ZETCQYMHSA-N -1 1 315.376 1.297 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)c2cccs2)n[n-]1 ZINC001363886409 887472162 /nfs/dbraw/zinc/47/21/62/887472162.db2.gz XSJVWNAJEOXWEX-ZETCQYMHSA-N -1 1 315.376 1.297 20 0 DDADMM O=S(=O)([N-]Cc1nccc(C(F)F)n1)c1ccns1 ZINC001363924304 887549331 /nfs/dbraw/zinc/54/93/31/887549331.db2.gz HFBCLULECBYPHJ-UHFFFAOYSA-N -1 1 306.319 1.349 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)c1csnn1 ZINC001363923372 887550141 /nfs/dbraw/zinc/55/01/41/887550141.db2.gz AILYUUNRHJFQLI-YFKPBYRVSA-N -1 1 306.394 1.043 20 0 DDADMM Cc1ccnc(NC(=O)CN(C)C(=O)c2cccc([O-])c2F)c1 ZINC001363930222 887563278 /nfs/dbraw/zinc/56/32/78/887563278.db2.gz CGGNGUQYSFPWNR-UHFFFAOYSA-N -1 1 317.320 1.945 20 0 DDADMM COC(=O)c1cccc2[n-]c(OC[C@@H]3CN(C)CCO3)nc21 ZINC001234045764 887586013 /nfs/dbraw/zinc/58/60/13/887586013.db2.gz WLKKJEFIGCXPGQ-JTQLQIEISA-N -1 1 305.334 1.059 20 0 DDADMM C[C@H]([N-]S(=O)(=O)Cc1ccno1)c1nc(C(C)(C)C)no1 ZINC001363947659 887596312 /nfs/dbraw/zinc/59/63/12/887596312.db2.gz ONXDJTBTRKIYRV-QMMMGPOBSA-N -1 1 314.367 1.536 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)C1N=NC(=O)N1C ZINC001363997629 887693565 /nfs/dbraw/zinc/69/35/65/887693565.db2.gz GDHVYKWYNMRTLD-YFKPBYRVSA-N -1 1 322.293 1.091 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccsc1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364049267 887796622 /nfs/dbraw/zinc/79/66/22/887796622.db2.gz CDJKWSXGLFOGQN-KGYLQXTDSA-N -1 1 317.418 1.846 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CO[C@H]2CCCC[C@@H]2C)n[n-]1 ZINC001364060547 887825411 /nfs/dbraw/zinc/82/54/11/887825411.db2.gz HRFPQINAQJJTNX-QWRGUYRKSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CO[C@H]2CCCC[C@@H]2C)n1 ZINC001364060547 887825419 /nfs/dbraw/zinc/82/54/19/887825419.db2.gz HRFPQINAQJJTNX-QWRGUYRKSA-N -1 1 324.381 1.193 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1OC1CCC2(CC1)OCCO2 ZINC001234557823 888089123 /nfs/dbraw/zinc/08/91/23/888089123.db2.gz OAGZUVATVDUSHV-UHFFFAOYSA-N -1 1 324.333 1.342 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@H]1Oc1c(=O)[n-]c(C)nc1C(=O)OC ZINC001234558137 888092785 /nfs/dbraw/zinc/09/27/85/888092785.db2.gz SDMMCSLKDIERQC-NXEZZACHSA-N -1 1 324.333 1.388 20 0 DDADMM COC(=O)c1nc(-c2ccccc2)[n-]c(=O)c1O[C@@H]1CCOC1 ZINC001234559882 888093181 /nfs/dbraw/zinc/09/31/81/888093181.db2.gz YTGAYSVSOXKHMS-LLVKDONJSA-N -1 1 316.313 1.804 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@@H](C)CC(OC)OC ZINC001234610964 888140520 /nfs/dbraw/zinc/14/05/20/888140520.db2.gz XHNISRXAZNCSEX-ZETCQYMHSA-N -1 1 303.286 1.489 20 0 DDADMM CCC1(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001385308425 888847804 /nfs/dbraw/zinc/84/78/04/888847804.db2.gz ZYQBFVUNWUUTFQ-LLVKDONJSA-N -1 1 303.362 1.308 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](CO)Cc2ccoc2)c(=O)[n-]1 ZINC001364611199 889031389 /nfs/dbraw/zinc/03/13/89/889031389.db2.gz RYUNRCCEHATOBV-JTQLQIEISA-N -1 1 323.374 1.078 20 0 DDADMM Cc1noc(C)c1[C@@H](C)CC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001364651479 889122757 /nfs/dbraw/zinc/12/27/57/889122757.db2.gz OFYHLRFZLNTETB-JTQLQIEISA-N -1 1 320.397 1.983 20 0 DDADMM CCn1nc(C2CC2)cc1C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001364651851 889122786 /nfs/dbraw/zinc/12/27/86/889122786.db2.gz OQDXGLBFEYOTEW-UHFFFAOYSA-N -1 1 317.397 1.592 20 0 DDADMM CC[C@@H](F)C(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001396190072 913335231 /nfs/dbraw/zinc/33/52/31/913335231.db2.gz RERNUGSLIKUGTM-VQXHTEKXSA-N -1 1 309.341 1.255 20 0 DDADMM Cc1nn2cccnc2c1S(=O)(=O)[N-][C@H](C)CC(F)(F)F ZINC001364813579 889469956 /nfs/dbraw/zinc/46/99/56/889469956.db2.gz JBDGSVVFWJTPQL-SSDOTTSWSA-N -1 1 322.312 1.657 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C(C)(C)c1nc(C)no1 ZINC001364858796 889560153 /nfs/dbraw/zinc/56/01/53/889560153.db2.gz IOGXQONVTYUKEQ-UHFFFAOYSA-N -1 1 318.380 1.057 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)N2CCC(C)CC2)n[n-]1 ZINC001364880298 889598496 /nfs/dbraw/zinc/59/84/96/889598496.db2.gz JWCAXGMSQLTAES-SNVBAGLBSA-N -1 1 309.370 1.484 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)N2CCC(C)CC2)[n-]1 ZINC001364880298 889598505 /nfs/dbraw/zinc/59/85/05/889598505.db2.gz JWCAXGMSQLTAES-SNVBAGLBSA-N -1 1 309.370 1.484 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)N2CCC(C)CC2)n1 ZINC001364880298 889598515 /nfs/dbraw/zinc/59/85/15/889598515.db2.gz JWCAXGMSQLTAES-SNVBAGLBSA-N -1 1 309.370 1.484 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)c1csnn1 ZINC001364882468 889601790 /nfs/dbraw/zinc/60/17/90/889601790.db2.gz WYOFUUZGSZXKLD-LURJTMIESA-N -1 1 305.331 1.856 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]2C2CCCC2)[n-]n1 ZINC001364978349 889803607 /nfs/dbraw/zinc/80/36/07/889803607.db2.gz OKADHGPPXFWYOP-NSHDSACASA-N -1 1 313.379 1.150 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]2C2CCCC2)n[n-]1 ZINC001364978349 889803616 /nfs/dbraw/zinc/80/36/16/889803616.db2.gz OKADHGPPXFWYOP-NSHDSACASA-N -1 1 313.379 1.150 20 0 DDADMM Cc1noc([C@H]([N-]S(=O)(=O)c2cnc(C)n2C)C(C)C)n1 ZINC001364993622 889840927 /nfs/dbraw/zinc/84/09/27/889840927.db2.gz RXNPPKYSYNFNNJ-LLVKDONJSA-N -1 1 313.383 1.096 20 0 DDADMM CC[C@H](c1ccccc1)N1CCN(C(=O)c2n[nH]c(=O)[n-]2)CC1 ZINC001365186731 890291867 /nfs/dbraw/zinc/29/18/67/890291867.db2.gz LEQHNONVCWXTNY-CYBMUJFWSA-N -1 1 315.377 1.419 20 0 DDADMM C[C@@H](C[C@H](C)NC(=O)C(F)F)NC(=O)c1ncccc1[O-] ZINC001396252327 913465688 /nfs/dbraw/zinc/46/56/88/913465688.db2.gz MSYYMEJXSRHQEQ-YUMQZZPRSA-N -1 1 301.293 1.065 20 0 DDADMM CCOC(=O)c1nc2ccc(-c3ccc(C(N)=O)nc3)cc2[nH]1 ZINC001239565844 890676491 /nfs/dbraw/zinc/67/64/91/890676491.db2.gz VUEDXBYELPRNRK-UHFFFAOYSA-N -1 1 310.313 1.901 20 0 DDADMM CCOC(=O)c1nc2ccc(-c3ccc(C(N)=O)nc3)cc2[n-]1 ZINC001239565844 890676503 /nfs/dbraw/zinc/67/65/03/890676503.db2.gz VUEDXBYELPRNRK-UHFFFAOYSA-N -1 1 310.313 1.901 20 0 DDADMM CCOC(=O)c1nc2cc(-c3ccc(C(N)=O)nc3)ccc2[n-]1 ZINC001239565844 890676512 /nfs/dbraw/zinc/67/65/12/890676512.db2.gz VUEDXBYELPRNRK-UHFFFAOYSA-N -1 1 310.313 1.901 20 0 DDADMM COc1cccc(C)c1NC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001365498605 890921591 /nfs/dbraw/zinc/92/15/91/890921591.db2.gz IUXUIRPWWZQQPU-UHFFFAOYSA-N -1 1 316.365 1.928 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)Nc1ccns1 ZINC001365520063 890972016 /nfs/dbraw/zinc/97/20/16/890972016.db2.gz KFPUPGMDBBKXGF-UHFFFAOYSA-N -1 1 307.335 1.033 20 0 DDADMM O=C1CN(Cc2ccnc(-c3c(F)cc([O-])cc3F)c2)CCN1 ZINC001241118269 891121544 /nfs/dbraw/zinc/12/15/44/891121544.db2.gz ARBZBFRVTJUVHA-UHFFFAOYSA-N -1 1 319.311 1.664 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnc(SC)nc3)nc2[n-]1 ZINC001241629929 891228725 /nfs/dbraw/zinc/22/87/25/891228725.db2.gz GZPWRUCXFFZUPS-UHFFFAOYSA-N -1 1 301.331 1.923 20 0 DDADMM Cc1cc2c(c(-c3cnc(N)nc3C(F)(F)F)n1)C(=O)[N-]C2=O ZINC001241650366 891235935 /nfs/dbraw/zinc/23/59/35/891235935.db2.gz IDQCHTBHNPPBDF-UHFFFAOYSA-N -1 1 323.234 1.332 20 0 DDADMM C[C@@H](c1csnn1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001365691045 891336348 /nfs/dbraw/zinc/33/63/48/891336348.db2.gz IZSFWAIFFRCUIM-JTQLQIEISA-N -1 1 319.390 1.158 20 0 DDADMM C[C@@H](CS(=O)(=O)[N-]Cc1nc(C(F)(F)F)no1)C1CC1 ZINC001365699673 891357283 /nfs/dbraw/zinc/35/72/83/891357283.db2.gz NUYAUAJTDBORJR-LURJTMIESA-N -1 1 313.301 1.554 20 0 DDADMM O=C([O-])c1ccc(-c2cccc3nc([C@@H]4CNCCO4)cn32)cn1 ZINC001242338511 891397368 /nfs/dbraw/zinc/39/73/68/891397368.db2.gz YYGDYGXXQXOMKS-HNNXBMFYSA-N -1 1 324.340 1.755 20 0 DDADMM CCC[C@H](C)C(=O)NCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001386654193 891411437 /nfs/dbraw/zinc/41/14/37/891411437.db2.gz VKQQIEYICKWGMF-NWDGAFQWSA-N -1 1 307.394 1.848 20 0 DDADMM O=C(Nc1ccc(Cl)cn1)N1CCC(c2nn[n-]n2)CC1 ZINC001365779189 891533015 /nfs/dbraw/zinc/53/30/15/891533015.db2.gz RVAFLLNLNCEQHJ-UHFFFAOYSA-N -1 1 307.745 1.660 20 0 DDADMM Cc1cccc(C(=O)N[C@@H](C)CNC(=O)c2ncccc2[O-])c1 ZINC001386745763 891617397 /nfs/dbraw/zinc/61/73/97/891617397.db2.gz WEAGLSPWBNLDOM-LBPRGKRZSA-N -1 1 313.357 1.644 20 0 DDADMM COc1cncc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c1C ZINC001244794959 891931596 /nfs/dbraw/zinc/93/15/96/891931596.db2.gz UUHKRYHHRIHNEK-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM COC(=O)c1ccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)cc1N ZINC001245901656 892264442 /nfs/dbraw/zinc/26/44/42/892264442.db2.gz DQVHOJZMYBWVPG-UHFFFAOYSA-N -1 1 310.309 1.535 20 0 DDADMM Cc1ncc(CN[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C2CC2)o1 ZINC001366031462 892275158 /nfs/dbraw/zinc/27/51/58/892275158.db2.gz JONPMCQVXINQGS-GFCCVEGCSA-N -1 1 319.365 1.018 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCNC(=O)CC(C)C ZINC001292460023 913706704 /nfs/dbraw/zinc/70/67/04/913706704.db2.gz UBCMTOMBBFILJD-UHFFFAOYSA-N -1 1 322.409 1.506 20 0 DDADMM c1ccc(-c2cccc(-c3noc(Cc4nnn[n-]4)n3)n2)nc1 ZINC001247140630 893122087 /nfs/dbraw/zinc/12/20/87/893122087.db2.gz WWQCUQWACQNJKO-UHFFFAOYSA-N -1 1 306.289 1.298 20 0 DDADMM c1ccc(-c2cccc(-c3noc(Cc4nn[n-]n4)n3)n2)nc1 ZINC001247140630 893122093 /nfs/dbraw/zinc/12/20/93/893122093.db2.gz WWQCUQWACQNJKO-UHFFFAOYSA-N -1 1 306.289 1.298 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)C1(CCF)CC1 ZINC001387902622 894120898 /nfs/dbraw/zinc/12/08/98/894120898.db2.gz LWGBTGKCKCVCGA-UHFFFAOYSA-N -1 1 321.352 1.115 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2cnc3c(c2)NCCO3)c1 ZINC001249809634 894132067 /nfs/dbraw/zinc/13/20/67/894132067.db2.gz PHCGQMSSQMSBQD-UHFFFAOYSA-N -1 1 321.358 1.739 20 0 DDADMM [O-]c1cc(CN2CCN(CCCO)CC2)c(F)c(F)c1Cl ZINC001250397848 894269687 /nfs/dbraw/zinc/26/96/87/894269687.db2.gz RMXSBRJQDTUZSM-UHFFFAOYSA-N -1 1 320.767 1.824 20 0 DDADMM COC(=O)c1oc2cc(F)ccc2c1[N-]S(=O)(=O)N(C)C ZINC001251056182 894616068 /nfs/dbraw/zinc/61/60/68/894616068.db2.gz NPZNSPVOHOVLJS-UHFFFAOYSA-N -1 1 316.310 1.577 20 0 DDADMM O=C(CCC1CC1)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001374807994 913989420 /nfs/dbraw/zinc/98/94/20/913989420.db2.gz QMUAKUPPLTZMOT-UHFFFAOYSA-N -1 1 303.362 1.166 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NCc1nc(C)oc1C ZINC001367103545 895873317 /nfs/dbraw/zinc/87/33/17/895873317.db2.gz SEYFFVGXLDDTSC-LBPRGKRZSA-N -1 1 318.377 1.690 20 0 DDADMM Cc1conc1CNC[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001367342580 896490919 /nfs/dbraw/zinc/49/09/19/896490919.db2.gz DSQHWUUYIZQGDH-NSHDSACASA-N -1 1 304.350 1.334 20 0 DDADMM CC[C@H](OC)C(=O)NCCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001280813830 897518904 /nfs/dbraw/zinc/51/89/04/897518904.db2.gz YAPFQGHMQPUTIR-YPMHNXCESA-N -1 1 323.393 1.227 20 0 DDADMM NC(=O)c1cc([N-]S(=O)(=O)c2cccc(Cl)c2)ccn1 ZINC001256723052 897557849 /nfs/dbraw/zinc/55/78/49/897557849.db2.gz SLVYBVYDHYQOGX-UHFFFAOYSA-N -1 1 311.750 1.057 20 0 DDADMM CC(C)(C)CC(C)(C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001389818245 898085336 /nfs/dbraw/zinc/08/53/36/898085336.db2.gz FQUDXUABRXPMNG-NSHDSACASA-N -1 1 323.441 1.663 20 0 DDADMM O=c1[nH]nccc1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259453089 898677447 /nfs/dbraw/zinc/67/74/47/898677447.db2.gz GTBSYHJUPYQACD-UHFFFAOYSA-N -1 1 303.702 1.776 20 0 DDADMM Cc1cnc([N-]S(=O)(=O)c2cc(Cl)ccc2F)c(=O)[nH]1 ZINC001259462297 898682318 /nfs/dbraw/zinc/68/23/18/898682318.db2.gz NNTOYKGQXASRCM-UHFFFAOYSA-N -1 1 317.729 1.672 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NS(=O)(=O)C1CCCCC1 ZINC001259809226 898818610 /nfs/dbraw/zinc/81/86/10/898818610.db2.gz ICPQOLSECAPVFE-UHFFFAOYSA-N -1 1 318.380 1.943 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2cnc(Cl)nc2Cl)cn1 ZINC001259832310 898846025 /nfs/dbraw/zinc/84/60/25/898846025.db2.gz UTBYBAREDPPNLQ-UHFFFAOYSA-N -1 1 322.177 1.801 20 0 DDADMM CC(C)CS(=O)(=O)[N-]c1ncc(Br)cc1O ZINC001259878487 898889159 /nfs/dbraw/zinc/88/91/59/898889159.db2.gz RADGNZNLZBUYAK-UHFFFAOYSA-N -1 1 309.185 1.947 20 0 DDADMM Cc1ccccc1CS(=O)(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001260025047 899018675 /nfs/dbraw/zinc/01/86/75/899018675.db2.gz XDKDSKJIBBGRCG-UHFFFAOYSA-N -1 1 319.346 1.309 20 0 DDADMM COc1ncc(NS(=O)(=O)c2cccc(C(=O)[O-])c2)cc1C ZINC001260183367 899076542 /nfs/dbraw/zinc/07/65/42/899076542.db2.gz NMVFCVUCNNXQCR-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM O=C([O-])c1cccc(S(=O)(=O)Nc2cccn3nccc23)c1 ZINC001260185245 899079066 /nfs/dbraw/zinc/07/90/66/899079066.db2.gz YJXZFDVEKYYIQM-UHFFFAOYSA-N -1 1 317.326 1.833 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F)NC1CC1 ZINC001260196038 899085579 /nfs/dbraw/zinc/08/55/79/899085579.db2.gz PALOELVLUIIJOM-UHFFFAOYSA-N -1 1 324.736 1.175 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2cnccc2F)cc1 ZINC001260233942 899103191 /nfs/dbraw/zinc/10/31/91/899103191.db2.gz ADHPNBKGWCMPJP-UHFFFAOYSA-N -1 1 310.306 1.808 20 0 DDADMM COC(C[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl)OC ZINC001260287485 899112267 /nfs/dbraw/zinc/11/22/67/899112267.db2.gz GQJOCCXHHSOMSO-UHFFFAOYSA-N -1 1 315.725 1.515 20 0 DDADMM CC(C)c1nc(C[N-]S(=O)(=O)c2cccc3nonc32)no1 ZINC001260442506 899143886 /nfs/dbraw/zinc/14/38/86/899143886.db2.gz FMYIBYGLSVMWDK-UHFFFAOYSA-N -1 1 323.334 1.208 20 0 DDADMM O=c1oc2cc([O-])ccc2cc1NS(=O)(=O)c1cn[nH]c1 ZINC001260954588 899292601 /nfs/dbraw/zinc/29/26/01/899292601.db2.gz SNPPBTFNFPNKQT-UHFFFAOYSA-N -1 1 307.287 1.023 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CCC(C)C ZINC001390386468 899308740 /nfs/dbraw/zinc/30/87/40/899308740.db2.gz WYEHXWZTCYXXKT-GFCCVEGCSA-N -1 1 307.394 1.848 20 0 DDADMM CCCc1ccccc1C(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001390433622 899396615 /nfs/dbraw/zinc/39/66/15/899396615.db2.gz CZJNQCRNTGLIQQ-LLVKDONJSA-N -1 1 317.393 1.371 20 0 DDADMM Cc1ncc(CN(C)CCNC(=O)c2ncccc2[O-])s1 ZINC001390750844 900099178 /nfs/dbraw/zinc/09/91/78/900099178.db2.gz KFGUUTMOMYIEFW-UHFFFAOYSA-N -1 1 306.391 1.414 20 0 DDADMM CN1CCN(c2ccc(NC(=O)[C@H]3C[C@@H](C(=O)[O-])C3)cc2)CC1 ZINC001263633170 900656925 /nfs/dbraw/zinc/65/69/25/900656925.db2.gz JGPVUIZOUURZAH-BETUJISGSA-N -1 1 317.389 1.488 20 0 DDADMM CCC(C)(C)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369435763 901285494 /nfs/dbraw/zinc/28/54/94/901285494.db2.gz JSRDJOAMRMGLHO-NSHDSACASA-N -1 1 323.441 1.663 20 0 DDADMM COCCC(=O)NC[C@H](CC(C)C)NC(=O)c1ncccc1[O-] ZINC001391397708 901662238 /nfs/dbraw/zinc/66/22/38/901662238.db2.gz YUWCKLZYSAKQPP-LBPRGKRZSA-N -1 1 323.393 1.084 20 0 DDADMM CCCC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1C[C@@H]1C ZINC001370062826 902476543 /nfs/dbraw/zinc/47/65/43/902476543.db2.gz YCYRAUADXQRCHJ-XQQFMLRXSA-N -1 1 319.405 1.848 20 0 DDADMM CCCC[C@@H](CC)C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001393030041 905999888 /nfs/dbraw/zinc/99/98/88/905999888.db2.gz CNXXBHPRFMFHAJ-OLZOCXBDSA-N -1 1 323.441 1.807 20 0 DDADMM CCC[C@@H](C)C(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001283512473 907506297 /nfs/dbraw/zinc/50/62/97/907506297.db2.gz LTTANOOYIBWPHB-GFCCVEGCSA-N -1 1 307.394 1.802 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1CCCCCC1 ZINC001393920501 908251777 /nfs/dbraw/zinc/25/17/77/908251777.db2.gz DEXNQIVDPVOSSO-MNOVXSKESA-N -1 1 309.414 1.464 20 0 DDADMM CCCCCCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001284024937 908335547 /nfs/dbraw/zinc/33/55/47/908335547.db2.gz FUGCEIGHCQKAFA-NWDGAFQWSA-N -1 1 309.414 1.560 20 0 DDADMM CC(C)c1[nH]ccc1C(=O)N[C@H](C)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001393965846 908403405 /nfs/dbraw/zinc/40/34/05/908403405.db2.gz HCOZUFAKBXZZNR-VHSXEESVSA-N -1 1 320.397 1.258 20 0 DDADMM C[C@@H](CNC(=O)c1sccc1F)NC(=O)c1ncccc1[O-] ZINC001372851941 908428803 /nfs/dbraw/zinc/42/88/03/908428803.db2.gz RHNAMTDHKKJWDL-QMMMGPOBSA-N -1 1 323.349 1.536 20 0 DDADMM CCCC(=O)N1CCC[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001394539790 909939831 /nfs/dbraw/zinc/93/98/31/909939831.db2.gz ZQFVAXITQCTIQL-QGZVFWFLSA-N -1 1 319.405 1.946 20 0 DDADMM Cc1ccoc1C(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001285478194 910616984 /nfs/dbraw/zinc/61/69/84/910616984.db2.gz QRFNAKSUXLFARW-IHWYPQMZSA-N -1 1 315.329 1.405 20 0 DDADMM C[C@H](CNC(=O)C1CCC=CCC1)NC(=O)c1ncccc1[O-] ZINC001285638837 910943912 /nfs/dbraw/zinc/94/39/12/910943912.db2.gz UKSGXHFQMBWEFD-GFCCVEGCSA-N -1 1 317.389 1.768 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CCC1CC1 ZINC001395065243 911275524 /nfs/dbraw/zinc/27/55/24/911275524.db2.gz IWPORJWATPKDNL-NSHDSACASA-N -1 1 305.378 1.554 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1ccccc1 ZINC001395066788 911281324 /nfs/dbraw/zinc/28/13/24/911281324.db2.gz LSVHLVIOGLHCEQ-LBPRGKRZSA-N -1 1 313.357 1.678 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])c1ccoc1 ZINC001395444589 912002768 /nfs/dbraw/zinc/00/27/68/912002768.db2.gz DXSYCQHMBWIGHC-LLVKDONJSA-N -1 1 301.302 1.025 20 0 DDADMM Nc1c(F)cc(F)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001295411677 915765903 /nfs/dbraw/zinc/76/59/03/915765903.db2.gz PUBNFXUTTPYXNM-ZETCQYMHSA-N -1 1 308.292 1.080 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)/C=C/C(C)(C)C ZINC001296045205 916205548 /nfs/dbraw/zinc/20/55/48/916205548.db2.gz NGEFTJHHODOWQY-BQYQJAHWSA-N -1 1 305.378 1.578 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3Cc4ccccc4C3)nc2n1 ZINC000622869660 365549097 /nfs/dbraw/zinc/54/90/97/365549097.db2.gz BLXPWSIANVAZLR-UHFFFAOYSA-N -1 1 309.329 1.080 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CO[C@@H]3CCCC[C@H]3C)nc2n1 ZINC000622870867 365550310 /nfs/dbraw/zinc/55/03/10/365550310.db2.gz JSPMVQFOIVMHPC-MWLCHTKSSA-N -1 1 319.365 1.260 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cc(C)ccc3C)nc2n1 ZINC000622995626 365588463 /nfs/dbraw/zinc/58/84/63/365588463.db2.gz IZHMOCSZCMASAL-UHFFFAOYSA-N -1 1 311.345 1.849 20 0 DDADMM CCC(CC)(CNC(=O)N[C@H]1CCc2[nH]cnc2C1)C(=O)[O-] ZINC000424393539 282109377 /nfs/dbraw/zinc/10/93/77/282109377.db2.gz ARJAUDKVNMTBJW-JTQLQIEISA-N -1 1 308.382 1.457 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc3c(c2)OCO3)o1 ZINC000096642609 185384747 /nfs/dbraw/zinc/38/47/47/185384747.db2.gz WDUPWQHIUFSUCH-UHFFFAOYSA-N -1 1 324.314 1.169 20 0 DDADMM CC1(C)CN(C(=O)c2ccc([O-])cc2F)CCS1(=O)=O ZINC000181260334 199273084 /nfs/dbraw/zinc/27/30/84/199273084.db2.gz HXELTFBBDIRDIB-UHFFFAOYSA-N -1 1 301.339 1.181 20 0 DDADMM CCc1ccc(N2C[C@H](C(=O)[N-]OCC(C)(C)O)CC2=O)cc1 ZINC000278574600 214246960 /nfs/dbraw/zinc/24/69/60/214246960.db2.gz IZNBCTVXENUFSD-CYBMUJFWSA-N -1 1 320.389 1.421 20 0 DDADMM O=C(NC[C@H](CO)Cc1cccnc1)c1cc(F)ccc1[O-] ZINC000278687103 214327296 /nfs/dbraw/zinc/32/72/96/214327296.db2.gz QWIRMRICLZUSNC-GFCCVEGCSA-N -1 1 304.321 1.507 20 0 DDADMM COCC[C@@H](CO)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451511186 231115159 /nfs/dbraw/zinc/11/51/59/231115159.db2.gz PTUOAAKVHREILG-NSHDSACASA-N -1 1 317.338 1.248 20 0 DDADMM CO[C@H]([C@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1)C1CC1 ZINC000451988422 231260086 /nfs/dbraw/zinc/26/00/86/231260086.db2.gz KEHFJXRHATZFCE-GZMMTYOYSA-N -1 1 304.437 1.852 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CCC1)c1cc(F)c(F)cc1F ZINC000452052614 231282451 /nfs/dbraw/zinc/28/24/51/231282451.db2.gz MUICUPQYCCGCAJ-NSHDSACASA-N -1 1 309.309 1.543 20 0 DDADMM O=C(c1ncccc1[O-])N(C[C@@H]1CCCO1)[C@@H]1CCSC1 ZINC000126481583 539181811 /nfs/dbraw/zinc/18/18/11/539181811.db2.gz DYSQYVDGTPEIBZ-NEPJUHHUSA-N -1 1 308.403 1.914 20 0 DDADMM CC[C@@H](NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C(F)F ZINC000452246380 529601104 /nfs/dbraw/zinc/60/11/04/529601104.db2.gz NXVAZXAHKBBOFJ-SNVBAGLBSA-N -1 1 312.320 1.754 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc2c(c1)NC(=O)C2(C)C ZINC000615219051 362200893 /nfs/dbraw/zinc/20/08/93/362200893.db2.gz OJQNGKHVYGJPCR-UHFFFAOYSA-N -1 1 300.318 1.906 20 0 DDADMM CCc1nc(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cs1 ZINC000331759416 529732110 /nfs/dbraw/zinc/73/21/10/529732110.db2.gz NAOLKNSQGILDTO-VIFPVBQESA-N -1 1 322.390 1.743 20 0 DDADMM O=S(=O)([N-]CC(F)(F)CO)c1ccc(C(F)(F)F)cn1 ZINC000341968417 539343131 /nfs/dbraw/zinc/34/31/31/539343131.db2.gz BYSXOHVSHHQCCJ-UHFFFAOYSA-N -1 1 320.239 1.006 20 0 DDADMM CNC(=O)[C@H]1CCC[C@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451360906 529925513 /nfs/dbraw/zinc/92/55/13/529925513.db2.gz NMHYIRRTVXVMTA-IONNQARKSA-N -1 1 322.839 1.595 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2cc(C)ccc2C)n[n-]1 ZINC000615918688 362486677 /nfs/dbraw/zinc/48/66/77/362486677.db2.gz WZYOTBGKIKDAAF-UHFFFAOYSA-N -1 1 316.361 1.457 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2cc(C)ccc2C)n1 ZINC000615918688 362486681 /nfs/dbraw/zinc/48/66/81/362486681.db2.gz WZYOTBGKIKDAAF-UHFFFAOYSA-N -1 1 316.361 1.457 20 0 DDADMM CCOc1cc(C(=O)N=c2[nH][n-]c(C)c2Br)on1 ZINC000616003833 362517087 /nfs/dbraw/zinc/51/70/87/362517087.db2.gz RIEDKFPXHBDLQP-UHFFFAOYSA-N -1 1 315.127 1.542 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC1CSC1 ZINC000616061355 362535150 /nfs/dbraw/zinc/53/51/50/362535150.db2.gz JUPQDBBENODMNJ-UHFFFAOYSA-N -1 1 306.391 1.073 20 0 DDADMM O=S(=O)([N-]Cc1ccccc1CO)c1cc(F)ccc1F ZINC000305174993 282389418 /nfs/dbraw/zinc/38/94/18/282389418.db2.gz ADYGVNWXIJVXMA-UHFFFAOYSA-N -1 1 313.325 1.936 20 0 DDADMM Cc1nnc([C@@H]2CCN(C(=O)c3ncc4ccccc4c3[O-])C2)[nH]1 ZINC000616295973 362623478 /nfs/dbraw/zinc/62/34/78/362623478.db2.gz MXEUYJMIICYIGF-GFCCVEGCSA-N -1 1 323.356 1.997 20 0 DDADMM COCCC[C@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000181833644 199359502 /nfs/dbraw/zinc/35/95/02/199359502.db2.gz USJWFMYONFRJOP-JTQLQIEISA-N -1 1 320.393 1.318 20 0 DDADMM C[C@H](OC[C@@H]1CCCCO1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279619014 215010973 /nfs/dbraw/zinc/01/09/73/215010973.db2.gz HPUZVRSZQQMGOZ-MLXUZTMQSA-N -1 1 318.377 1.255 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H]3CCCc4n[nH]nc43)[nH][n-]2)o1 ZINC000616823765 362832097 /nfs/dbraw/zinc/83/20/97/362832097.db2.gz XSGPWBLVRSOLBF-VIFPVBQESA-N -1 1 312.333 1.577 20 0 DDADMM CSc1ccccc1CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000358395235 299141220 /nfs/dbraw/zinc/14/12/20/299141220.db2.gz UKWNOFQDENOLTQ-JTQLQIEISA-N -1 1 305.407 1.726 20 0 DDADMM C[C@H](Cc1ccncc1)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358396158 299141958 /nfs/dbraw/zinc/14/19/58/299141958.db2.gz ITTQTRLPYXRCRY-SNVBAGLBSA-N -1 1 311.345 1.430 20 0 DDADMM Cc1cnc([C@@H](C)NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)s1 ZINC000286979772 219255837 /nfs/dbraw/zinc/25/58/37/219255837.db2.gz AAWLBBCMXQCGFI-DAPYGLKOSA-N -1 1 316.390 1.989 20 0 DDADMM CN(C)C(=O)C1(NCc2cc(=O)[nH]c(C3CC3)n2)CCCCC1 ZINC000459523590 233280475 /nfs/dbraw/zinc/28/04/75/233280475.db2.gz WCGAGQCIZFAQCV-UHFFFAOYSA-N -1 1 318.421 1.940 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC(C)(C)CC2)o1 ZINC000152618967 186090669 /nfs/dbraw/zinc/09/06/69/186090669.db2.gz HKKBRUXJQAISKL-UHFFFAOYSA-N -1 1 314.407 1.840 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)[N-]c1ccc(-c2ncon2)cc1 ZINC000172368360 198086239 /nfs/dbraw/zinc/08/62/39/198086239.db2.gz NCBFKWBLBQWUMO-GFCCVEGCSA-N -1 1 309.347 1.657 20 0 DDADMM CCN(C(C)=O)c1ccc(NC(=O)c2n[nH]c(C)c2[O-])cn1 ZINC000617543014 363169273 /nfs/dbraw/zinc/16/92/73/363169273.db2.gz RPDJAGGLSBXGBX-UHFFFAOYSA-N -1 1 303.322 1.444 20 0 DDADMM COc1ccc(NC(=O)c2ccco2)cc1[N-]S(C)(=O)=O ZINC000044036040 352379927 /nfs/dbraw/zinc/37/99/27/352379927.db2.gz NDVLKNKTLVWMFC-UHFFFAOYSA-N -1 1 310.331 1.912 20 0 DDADMM COC(=O)Cn1cc(NC(=O)c2ccc(OC)cc2[O-])cn1 ZINC000054040476 352677009 /nfs/dbraw/zinc/67/70/09/352677009.db2.gz ATSZULKDUDWBPQ-UHFFFAOYSA-N -1 1 305.290 1.023 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](C)[C@@H]2C)o1 ZINC000172999225 198174916 /nfs/dbraw/zinc/17/49/16/198174916.db2.gz ACIZZTWZEVUQPV-UWVGGRQHSA-N -1 1 300.380 1.448 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2C[C@H]2c2ccccc2)o1 ZINC000067811127 353068431 /nfs/dbraw/zinc/06/84/31/353068431.db2.gz JJLURAFCDBQGGM-NWDGAFQWSA-N -1 1 320.370 1.474 20 0 DDADMM Cn1c(CNC(=O)CCOc2ccc(C=O)cc2)n[n-]c1=S ZINC000068391321 353108276 /nfs/dbraw/zinc/10/82/76/353108276.db2.gz VLKWKXDKFDBTEZ-UHFFFAOYSA-N -1 1 320.374 1.375 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(CN2CCCCC2=O)CC1 ZINC000173648964 198236565 /nfs/dbraw/zinc/23/65/65/198236565.db2.gz BREOGUJEHWKNQH-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM CCc1oc(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)cc1C ZINC000331967694 234330324 /nfs/dbraw/zinc/33/03/24/234330324.db2.gz UFGFGUYEEDRSOS-UHFFFAOYSA-N -1 1 321.406 1.377 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@H]1CC[C@@H](F)C1 ZINC000344972485 282885777 /nfs/dbraw/zinc/88/57/77/282885777.db2.gz ZTOVUWHAHSPTPI-RQJHMYQMSA-N -1 1 308.356 1.099 20 0 DDADMM O=C(C=Cc1ccc(OC(F)F)cc1)NC1(c2nn[n-]n2)CC1 ZINC000492007312 234358332 /nfs/dbraw/zinc/35/83/32/234358332.db2.gz HMDGJFCQLGAZAM-ZZXKWVIFSA-N -1 1 321.287 1.620 20 0 DDADMM Cn1ncc2c1CCC[C@H]2NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000078399643 353515215 /nfs/dbraw/zinc/51/52/15/353515215.db2.gz UKDBVKNAWJCTLR-CYBMUJFWSA-N -1 1 323.356 1.464 20 0 DDADMM Cc1ccccc1Cc1nc(CS(=O)(=O)c2ncn[n-]2)no1 ZINC000086169711 353738749 /nfs/dbraw/zinc/73/87/49/353738749.db2.gz NXCRVYILTPLLED-UHFFFAOYSA-N -1 1 319.346 1.061 20 0 DDADMM Cc1ccccc1Cc1nc(CS(=O)(=O)c2nc[n-]n2)no1 ZINC000086169711 353738753 /nfs/dbraw/zinc/73/87/53/353738753.db2.gz NXCRVYILTPLLED-UHFFFAOYSA-N -1 1 319.346 1.061 20 0 DDADMM CN(C[C@H](O)C(F)(F)F)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000091628444 353832563 /nfs/dbraw/zinc/83/25/63/353832563.db2.gz IIWLLZNIGBRPQH-VIFPVBQESA-N -1 1 315.251 1.331 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1ccc2[nH]ccc2c1)c1nn[n-]n1 ZINC000124617066 354041502 /nfs/dbraw/zinc/04/15/02/354041502.db2.gz QZYBNBGRIGPARP-NSHDSACASA-N -1 1 312.377 1.876 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc(=O)[nH]1 ZINC000121681331 354021251 /nfs/dbraw/zinc/02/12/51/354021251.db2.gz LLTNLTQJOSEPJD-SNVBAGLBSA-N -1 1 316.365 1.444 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CCCC2CCCC2)n[n-]1 ZINC000617840336 363338393 /nfs/dbraw/zinc/33/83/93/363338393.db2.gz VZZPHPUNILVBAO-UHFFFAOYSA-N -1 1 308.382 1.958 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CCCC2CCCC2)n1 ZINC000617840336 363338401 /nfs/dbraw/zinc/33/84/01/363338401.db2.gz VZZPHPUNILVBAO-UHFFFAOYSA-N -1 1 308.382 1.958 20 0 DDADMM COc1ccccc1[C@H](CNc1ncncc1C(=O)[O-])N(C)C ZINC000565038646 304038736 /nfs/dbraw/zinc/03/87/36/304038736.db2.gz SHRQUCAXPXGYNE-ZDUSSCGKSA-N -1 1 316.361 1.320 20 0 DDADMM O=S(=O)([N-]c1nc(C2CCC2)n[nH]1)c1cccc2c1OCO2 ZINC000584771326 354763208 /nfs/dbraw/zinc/76/32/08/354763208.db2.gz UMSDZOMKVYJSDI-UHFFFAOYSA-N -1 1 322.346 1.602 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCCc3n[nH]cc32)n1 ZINC000585682411 354827686 /nfs/dbraw/zinc/82/76/86/354827686.db2.gz RMFBYDHSSDYUPB-MRVPVSSYSA-N -1 1 303.322 1.368 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2ccc(C(N)=O)c(C)c2)c1 ZINC000590903520 355209641 /nfs/dbraw/zinc/20/96/41/355209641.db2.gz BTSFDYRWRNBADU-UHFFFAOYSA-N -1 1 320.370 1.903 20 0 DDADMM Cn1cc(N2CCN(C(=O)c3cc(Cl)ccc3[O-])CC2)cn1 ZINC000074808043 191364791 /nfs/dbraw/zinc/36/47/91/191364791.db2.gz FLLAVSSWXMULQH-UHFFFAOYSA-N -1 1 320.780 1.742 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)C[C@H](OC)C(C)C)C(=O)OC ZINC000592068029 355481610 /nfs/dbraw/zinc/48/16/10/355481610.db2.gz HBECAGPUUHUOIB-AVGNSLFASA-N -1 1 323.455 1.555 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2cnc(C3CC3)s2)n1 ZINC000593151612 355811926 /nfs/dbraw/zinc/81/19/26/355811926.db2.gz XGYPBMIHPBPQDT-UHFFFAOYSA-N -1 1 310.360 1.911 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)c2nccn21 ZINC000456434980 291081389 /nfs/dbraw/zinc/08/13/89/291081389.db2.gz VDIOQHLVBDLIJJ-GZMMTYOYSA-N -1 1 312.333 1.306 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCC(C)(C)F)o1 ZINC000594845770 356335098 /nfs/dbraw/zinc/33/50/98/356335098.db2.gz YZOUGPLIPLUDEN-UHFFFAOYSA-N -1 1 307.343 1.873 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC[C@@]2(C)CC2(F)F)c1 ZINC000594857658 356340274 /nfs/dbraw/zinc/34/02/74/356340274.db2.gz NEGLXZYEBWCMBQ-NSHDSACASA-N -1 1 323.317 1.780 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC[C@@H](C)CCO)cc1C ZINC000595335635 356452543 /nfs/dbraw/zinc/45/25/43/356452543.db2.gz UQSQJJLZBNHWMY-SECBINFHSA-N -1 1 319.379 1.062 20 0 DDADMM CCOC(=O)c1csc(=N[C@@H]2CCC[C@@H]([S@@](C)=O)C2)[n-]1 ZINC000596367325 356864846 /nfs/dbraw/zinc/86/48/46/356864846.db2.gz RUNYSARGEAYVKM-ODXZIHIVSA-N -1 1 316.448 1.843 20 0 DDADMM CC(C)(C)OC(=O)C1(C(=O)Nc2nnn[n-]2)CCCCCC1 ZINC000597262463 357112939 /nfs/dbraw/zinc/11/29/39/357112939.db2.gz NUPYLGXOTCAXRW-UHFFFAOYSA-N -1 1 309.370 1.821 20 0 DDADMM CC(C)(C)OC(=O)C1(C(=O)Nc2nn[n-]n2)CCCCCC1 ZINC000597262463 357112944 /nfs/dbraw/zinc/11/29/44/357112944.db2.gz NUPYLGXOTCAXRW-UHFFFAOYSA-N -1 1 309.370 1.821 20 0 DDADMM COC(=O)[C@@H](CC(F)F)NC(=O)c1ncc2ccccc2c1[O-] ZINC000597455683 357183226 /nfs/dbraw/zinc/18/32/26/357183226.db2.gz JPGVWYUAJFJQQZ-SNVBAGLBSA-N -1 1 324.283 1.867 20 0 DDADMM CCOC(=O)[C@H](CC(=O)Nc1ccc([O-])c(F)c1F)C(C)=O ZINC000597751116 357316555 /nfs/dbraw/zinc/31/65/55/357316555.db2.gz RCBCUWBBEZAFMO-MRVPVSSYSA-N -1 1 315.272 1.767 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]C2(C)CCC2)c1F ZINC000599265697 357868464 /nfs/dbraw/zinc/86/84/64/357868464.db2.gz TXWHUJOKKMJENT-UHFFFAOYSA-N -1 1 319.329 1.972 20 0 DDADMM Cc1nc(-c2cccs2)c(CC(=O)NCc2nn[n-]n2)s1 ZINC000600498088 358242082 /nfs/dbraw/zinc/24/20/82/358242082.db2.gz UMKHEROGUHLAOO-UHFFFAOYSA-N -1 1 320.403 1.552 20 0 DDADMM Cc1ccccc1-c1nc(C(=O)NCc2nn[n-]n2)cs1 ZINC000600506532 358243099 /nfs/dbraw/zinc/24/30/99/358243099.db2.gz ZJMCZZLXHRNYRD-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM Cc1cnc(C(=O)N2CCN(c3ccc(O)cc3)CC2)c([O-])c1 ZINC000358911158 299278136 /nfs/dbraw/zinc/27/81/36/299278136.db2.gz UYMGWMHUGHJCAN-UHFFFAOYSA-N -1 1 313.357 1.764 20 0 DDADMM CC[C@@H](c1cccc(OC)c1)N(C)C(=O)CCc1nn[n-]n1 ZINC000633094490 422756944 /nfs/dbraw/zinc/75/69/44/422756944.db2.gz JTNCOLVAFNNGSZ-ZDUSSCGKSA-N -1 1 303.366 1.751 20 0 DDADMM CCS[C@@H](C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1)C(C)C ZINC000600873972 358334676 /nfs/dbraw/zinc/33/46/76/358334676.db2.gz JIJMKPAUFWGZHR-GFCCVEGCSA-N -1 1 316.467 1.772 20 0 DDADMM CCCn1ncnc1CN1CC[C@](C(=O)[O-])(c2ccccc2)C1 ZINC000565599748 304079195 /nfs/dbraw/zinc/07/91/95/304079195.db2.gz ANSAPURMUKSJRN-QGZVFWFLSA-N -1 1 314.389 1.916 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H](C)c2ccccc2)n[n-]1 ZINC000603014315 359357251 /nfs/dbraw/zinc/35/72/51/359357251.db2.gz DPPKPLUXEZJPDE-SNVBAGLBSA-N -1 1 302.334 1.401 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H](C)c2ccccc2)n1 ZINC000603014315 359357254 /nfs/dbraw/zinc/35/72/54/359357254.db2.gz DPPKPLUXEZJPDE-SNVBAGLBSA-N -1 1 302.334 1.401 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)Cc2ccc(C)c(C)c2)n[n-]1 ZINC000603016933 359359222 /nfs/dbraw/zinc/35/92/22/359359222.db2.gz MTYGRJVNZJCUTK-UHFFFAOYSA-N -1 1 316.361 1.457 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2ccc(C)c(C)c2)n1 ZINC000603016933 359359225 /nfs/dbraw/zinc/35/92/25/359359225.db2.gz MTYGRJVNZJCUTK-UHFFFAOYSA-N -1 1 316.361 1.457 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C2CC3(C2)CCCCC3)n[n-]1 ZINC000603022083 359364916 /nfs/dbraw/zinc/36/49/16/359364916.db2.gz ONNMTZOIZCSQFH-UHFFFAOYSA-N -1 1 320.393 1.958 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C2CC3(C2)CCCCC3)n1 ZINC000603022083 359364919 /nfs/dbraw/zinc/36/49/19/359364919.db2.gz ONNMTZOIZCSQFH-UHFFFAOYSA-N -1 1 320.393 1.958 20 0 DDADMM COc1ccccc1CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000618488157 363650869 /nfs/dbraw/zinc/65/08/69/363650869.db2.gz MGDCCPPXJJOQAT-MRXNPFEDSA-N -1 1 323.356 1.657 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCCC(F)(F)F)n[n-]1 ZINC000603157324 359444700 /nfs/dbraw/zinc/44/47/00/359444700.db2.gz WEOQBSJPKTZAKP-ZETCQYMHSA-N -1 1 322.287 1.891 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCCC(F)(F)F)[n-]1 ZINC000603157324 359444703 /nfs/dbraw/zinc/44/47/03/359444703.db2.gz WEOQBSJPKTZAKP-ZETCQYMHSA-N -1 1 322.287 1.891 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCCC(F)(F)F)n1 ZINC000603157324 359444704 /nfs/dbraw/zinc/44/47/04/359444704.db2.gz WEOQBSJPKTZAKP-ZETCQYMHSA-N -1 1 322.287 1.891 20 0 DDADMM O=C(NOC[C@@H]1CCOC1)c1cc(Br)ccc1[O-] ZINC000188311941 200225809 /nfs/dbraw/zinc/22/58/09/200225809.db2.gz GORHZRUADXTPDC-MRVPVSSYSA-N -1 1 316.151 1.853 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cnc2ccccc2n1 ZINC000605381479 359847611 /nfs/dbraw/zinc/84/76/11/359847611.db2.gz SOJMJDFHOUGAAW-UHFFFAOYSA-N -1 1 309.333 1.342 20 0 DDADMM Cn1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)c2ccccc21 ZINC000605532654 359868156 /nfs/dbraw/zinc/86/81/56/359868156.db2.gz ZGHGUUZIMBZQTK-UHFFFAOYSA-N -1 1 310.361 1.891 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)N(C)CC1CC1)c1cccs1 ZINC000610005075 360371617 /nfs/dbraw/zinc/37/16/17/360371617.db2.gz FXKZLPSAUWXRSI-NSHDSACASA-N -1 1 318.420 1.138 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[S@@](=O)[C@@H](C)C3)cnc2n1 ZINC000610091570 360380798 /nfs/dbraw/zinc/38/07/98/360380798.db2.gz AIURSBUWJAOVSV-MLMJSJRWSA-N -1 1 319.386 1.237 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC[S@@](=O)[C@@H](C)C1)c2=O ZINC000610091570 360380800 /nfs/dbraw/zinc/38/08/00/360380800.db2.gz AIURSBUWJAOVSV-MLMJSJRWSA-N -1 1 319.386 1.237 20 0 DDADMM CCOC(=O)COc1cccc(NC(=O)C2=C([O-])C(C)N=N2)c1 ZINC000610989471 360592318 /nfs/dbraw/zinc/59/23/18/360592318.db2.gz JSNINIACKANRDN-UHFFFAOYSA-N -1 1 319.317 1.618 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cnn(-c2ccccc2F)c1 ZINC000193333936 201031962 /nfs/dbraw/zinc/03/19/62/201031962.db2.gz GJJVXQXVCIVDLT-SNVBAGLBSA-N -1 1 313.354 1.788 20 0 DDADMM COc1cccc(OC(F)(F)F)c1C(=O)NCc1nn[n-]n1 ZINC000613539101 361460663 /nfs/dbraw/zinc/46/06/63/361460663.db2.gz REZZLQVIMZRQSI-UHFFFAOYSA-N -1 1 317.227 1.037 20 0 DDADMM Cc1cc(Br)cc(C(=O)NCc2nn[n-]n2)c1C ZINC000613539328 361460989 /nfs/dbraw/zinc/46/09/89/361460989.db2.gz WOHYJFNESFJSQR-UHFFFAOYSA-N -1 1 310.155 1.509 20 0 DDADMM O=C(N=c1ccn(C2CCOCC2)[nH]1)C(=O)c1ccc([O-])cc1 ZINC000618907865 363793959 /nfs/dbraw/zinc/79/39/59/363793959.db2.gz YZVXOZZHSZGUQO-UHFFFAOYSA-N -1 1 315.329 1.184 20 0 DDADMM Cc1cnc(C(=O)N(CCN2CCOCC2)CC2CC2)c([O-])c1 ZINC000619883368 364174021 /nfs/dbraw/zinc/17/40/21/364174021.db2.gz GAVGJCVZQDVXTC-UHFFFAOYSA-N -1 1 319.405 1.280 20 0 DDADMM C[C@@H](O)[C@@H](NC(=O)c1csc(=NC2CC2)[n-]1)c1ccccc1 ZINC000620991012 364639960 /nfs/dbraw/zinc/63/99/60/364639960.db2.gz HRNWJUSTQYVIHI-QMTHXVAHSA-N -1 1 317.414 1.991 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@H](C)O[C@@](C)(C(F)F)C2)c([O-])c1 ZINC000621124424 364695872 /nfs/dbraw/zinc/69/58/72/364695872.db2.gz YWOWIXKYMZEOHH-YMTOWFKASA-N -1 1 300.305 1.980 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cccc2c1OCO2 ZINC000622610303 365450255 /nfs/dbraw/zinc/45/02/55/365450255.db2.gz RNYSYUUQWGGDEV-ZDUSSCGKSA-N -1 1 323.312 1.448 20 0 DDADMM Cn1cnc(C[N-]S(=O)(=O)c2ccc(Cl)cc2F)n1 ZINC000092265928 193182849 /nfs/dbraw/zinc/18/28/49/193182849.db2.gz NVSMZUYJTOVZKK-UHFFFAOYSA-N -1 1 304.734 1.086 20 0 DDADMM NC(=O)c1cccc(NC(=O)c2csc(=NC3CC3)[n-]2)c1 ZINC000349818291 284064796 /nfs/dbraw/zinc/06/47/96/284064796.db2.gz BAVJFDXPMORRMH-UHFFFAOYSA-N -1 1 302.359 1.491 20 0 DDADMM COC(=O)c1c[n-]c(SCc2ccc(C(=O)OC)cn2)n1 ZINC000349818516 284065193 /nfs/dbraw/zinc/06/51/93/284065193.db2.gz JYAZLFKCKUIZQB-UHFFFAOYSA-N -1 1 307.331 1.670 20 0 DDADMM O=c1[n-]c(SCCCN2CCN(c3ccccc3)CC2)n[nH]1 ZINC000349829627 284069752 /nfs/dbraw/zinc/06/97/52/284069752.db2.gz DIIWLBAEZMAELN-UHFFFAOYSA-N -1 1 319.434 1.815 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](C)c1ccc2n[nH]cc2c1 ZINC000349867492 284084163 /nfs/dbraw/zinc/08/41/63/284084163.db2.gz ASZXVTGBBRRNKI-SSDOTTSWSA-N -1 1 321.362 1.311 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)c1ccc2n[nH]cc2c1 ZINC000349867492 284084169 /nfs/dbraw/zinc/08/41/69/284084169.db2.gz ASZXVTGBBRRNKI-SSDOTTSWSA-N -1 1 321.362 1.311 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(F)cc1OCC1CC1 ZINC000631451724 422771804 /nfs/dbraw/zinc/77/18/04/422771804.db2.gz RTSMCHUDXLYLLK-UHFFFAOYSA-N -1 1 305.313 1.699 20 0 DDADMM C[C@@]1(CCc2ccccc2)CCN(CC(=O)NCC(=O)[O-])C1 ZINC000262211714 203231813 /nfs/dbraw/zinc/23/18/13/203231813.db2.gz JWCUULFTUMRGJD-QGZVFWFLSA-N -1 1 304.390 1.532 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)c2[nH]nc3ccccc32)C1 ZINC000262486933 203307357 /nfs/dbraw/zinc/30/73/57/203307357.db2.gz KMTXYVPBGZLXLB-HNNXBMFYSA-N -1 1 303.318 1.126 20 0 DDADMM CC(C)(CNC(=O)c1cc(Cl)ccc1[O-])S(C)(=O)=O ZINC000093534785 193266186 /nfs/dbraw/zinc/26/61/86/193266186.db2.gz VSPLUBUOXIOHFQ-UHFFFAOYSA-N -1 1 305.783 1.599 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)[C@@H](C)c2ccccc2F)n1 ZINC000350241145 284172174 /nfs/dbraw/zinc/17/21/74/284172174.db2.gz OPKJQVAZEXAJEK-QMMMGPOBSA-N -1 1 312.322 1.870 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)c2cc(F)ccc2[O-])CCS1(=O)=O ZINC000094158332 193347456 /nfs/dbraw/zinc/34/74/56/193347456.db2.gz RZTWWFOVCOFAQV-DTWKUNHWSA-N -1 1 301.339 1.179 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@@H](n2cccn2)C1 ZINC000359395782 299432297 /nfs/dbraw/zinc/43/22/97/299432297.db2.gz MVNSMGKZWAVRKA-LLVKDONJSA-N -1 1 309.329 1.207 20 0 DDADMM O=C1COc2c([N-]S(=O)(=O)C3CC3)cc(Cl)cc2N1 ZINC000288365347 220112076 /nfs/dbraw/zinc/11/20/76/220112076.db2.gz XYKWBOORDWYCBT-UHFFFAOYSA-N -1 1 302.739 1.575 20 0 DDADMM CCOCC(C)(C)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000288396684 220130465 /nfs/dbraw/zinc/13/04/65/220130465.db2.gz MDXBPXZHCJULJT-UHFFFAOYSA-N -1 1 319.379 1.407 20 0 DDADMM O=C(N[C@@H]1CCS(=O)(=O)C1)c1ccc2ccccc2c1[O-] ZINC000017637082 182085274 /nfs/dbraw/zinc/08/52/74/182085274.db2.gz RCGHPKKIFBRRQS-LLVKDONJSA-N -1 1 305.355 1.462 20 0 DDADMM Cc1cc(F)ccc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282985254 217368890 /nfs/dbraw/zinc/36/88/90/217368890.db2.gz UFIOBPUSSAEPFH-JTQLQIEISA-N -1 1 304.325 1.565 20 0 DDADMM COc1ccc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1OC ZINC000019775152 182147077 /nfs/dbraw/zinc/14/70/77/182147077.db2.gz KGPYUWDLWASJQP-NSHDSACASA-N -1 1 322.361 1.439 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)CC[C@@H](C)O)c(=O)[n-]1 ZINC000267035332 205760456 /nfs/dbraw/zinc/76/04/56/205760456.db2.gz AXVYEKIYPRUDOM-SECBINFHSA-N -1 1 313.423 1.374 20 0 DDADMM COc1cccc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)c1O ZINC000351745711 284494436 /nfs/dbraw/zinc/49/44/36/284494436.db2.gz CGBWEOUENHRRHQ-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM CC[C@H](C)[C@@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1ccccc1 ZINC000174582463 248370119 /nfs/dbraw/zinc/37/01/19/248370119.db2.gz RORYKCDCRFGONQ-SWLSCSKDSA-N -1 1 316.405 1.977 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N(Cc3ccccn3)C3CC3)ccnc1-2 ZINC000279504711 248751427 /nfs/dbraw/zinc/75/14/27/248751427.db2.gz YXMLJWFRWRAIQH-QNGOZBTKSA-N -1 1 322.372 1.933 20 0 DDADMM O=C(N[C@H]1CCC[C@H]1OC(F)F)c1nc2ccccc2c(=O)[n-]1 ZINC000337039411 249324952 /nfs/dbraw/zinc/32/49/52/249324952.db2.gz LLNWAOPEPQDIOT-WDEREUQCSA-N -1 1 323.299 1.813 20 0 DDADMM CCOc1cc(C(=O)NCCOCCO)cc(Cl)c1[O-] ZINC000273964788 211220661 /nfs/dbraw/zinc/22/06/61/211220661.db2.gz PYJHGDVBJIZKIY-UHFFFAOYSA-N -1 1 303.742 1.183 20 0 DDADMM C[C@@H](NC(=O)c1ccc(Cl)cc1[O-])[C@@H](C)N1CCOCC1 ZINC000104937980 194054524 /nfs/dbraw/zinc/05/45/24/194054524.db2.gz UZVUEPPYIVTLCV-GHMZBOCLSA-N -1 1 312.797 1.885 20 0 DDADMM CCOc1cc(C(=O)N[C@H](C)C(=O)OC)cc(Cl)c1[O-] ZINC000273865525 211125032 /nfs/dbraw/zinc/12/50/32/211125032.db2.gz OUWOEXCYBPOVOI-SSDOTTSWSA-N -1 1 301.726 1.736 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1ccc(C)cc1OC ZINC000339073735 250282613 /nfs/dbraw/zinc/28/26/13/250282613.db2.gz MAGKYCGANZHVHE-UHFFFAOYSA-N -1 1 303.380 1.408 20 0 DDADMM O=C(CCc1ccccn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339190660 250335583 /nfs/dbraw/zinc/33/55/83/250335583.db2.gz XXAJXBQSLPFWFX-UHFFFAOYSA-N -1 1 302.334 1.509 20 0 DDADMM CC[C@@H](C)CN(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000110327964 194322501 /nfs/dbraw/zinc/32/25/01/194322501.db2.gz QWVOQGPGIZTBSC-SNVBAGLBSA-N -1 1 304.394 1.686 20 0 DDADMM CN(CC(=O)N1CCC(C(=O)[O-])CC1)[C@H]1CCc2ccccc21 ZINC000567596097 304228277 /nfs/dbraw/zinc/22/82/77/304228277.db2.gz XJGHABBZTNWCRI-INIZCTEOSA-N -1 1 316.401 1.929 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@H]2CCOC3(CCC3)C2)c1Cl ZINC000268944541 207109812 /nfs/dbraw/zinc/10/98/12/207109812.db2.gz CFXLIDRTMXUULX-VIFPVBQESA-N -1 1 319.814 1.454 20 0 DDADMM CC(C)(C)OC(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000058222973 184090505 /nfs/dbraw/zinc/09/05/05/184090505.db2.gz CXHCCFMTWPGJTH-UHFFFAOYSA-N -1 1 321.377 1.917 20 0 DDADMM C[S@](=O)CCC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000340836150 251235243 /nfs/dbraw/zinc/23/52/43/251235243.db2.gz CPGGKCJXPGLATM-KRWDZBQOSA-N -1 1 313.803 1.526 20 0 DDADMM C/C=C/C[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OCC ZINC000340939916 251289290 /nfs/dbraw/zinc/28/92/90/251289290.db2.gz XPXZMUWYQVASBJ-FVOPLDGLSA-N -1 1 316.379 1.300 20 0 DDADMM C[C@H](CNC(=O)CNC(=O)c1ncccc1[O-])CC(F)(F)F ZINC000412673789 224065478 /nfs/dbraw/zinc/06/54/78/224065478.db2.gz JVADQXPNMAWHEV-QMMMGPOBSA-N -1 1 319.283 1.222 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(F)cc1F ZINC000352430686 285045395 /nfs/dbraw/zinc/04/53/95/285045395.db2.gz HLBPBHVPDYKIAP-SSDOTTSWSA-N -1 1 319.271 1.187 20 0 DDADMM C[C@H](CN(C)C(=O)C1(c2ccccc2F)CC1)c1nn[n-]n1 ZINC000352473042 285076280 /nfs/dbraw/zinc/07/62/80/285076280.db2.gz OGMNLOKJSOXHTC-SNVBAGLBSA-N -1 1 303.341 1.633 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCC[C@@H]2C)o1 ZINC000359942419 299568415 /nfs/dbraw/zinc/56/84/15/299568415.db2.gz VUVWCNVMGYFZOU-VHSXEESVSA-N -1 1 301.364 1.781 20 0 DDADMM O=c1nc(NCC2([C@@H]3CCCCO3)CCC2)nc2[nH][n-]cc1-2 ZINC000631511512 422807479 /nfs/dbraw/zinc/80/74/79/422807479.db2.gz ADFIJXJGBPBIFF-NSHDSACASA-N -1 1 303.366 1.583 20 0 DDADMM C[C@@H]1C[C@@H](CCN=c2ccc(C(=O)N(C)C)n[n-]2)C[C@H](C)O1 ZINC000413286903 224169807 /nfs/dbraw/zinc/16/98/07/224169807.db2.gz DRCPWQUISUKZEF-CLLJXQQHSA-N -1 1 306.410 1.606 20 0 DDADMM C[C@H](C(=O)NC1(c2nn[n-]n2)CCCC1)C(=O)OC(C)(C)C ZINC000413471838 224202122 /nfs/dbraw/zinc/20/21/22/224202122.db2.gz RELJJQMXODBMBE-SECBINFHSA-N -1 1 309.370 1.063 20 0 DDADMM CC[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000152908670 196834401 /nfs/dbraw/zinc/83/44/01/196834401.db2.gz SGDORTLSZYKZFH-NXEZZACHSA-N -1 1 301.364 1.923 20 0 DDADMM CN(C)C(=O)[C@@H]1CSCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000120690405 195217226 /nfs/dbraw/zinc/21/72/26/195217226.db2.gz PMNPQAZFZQQUHO-JTQLQIEISA-N -1 1 314.794 1.649 20 0 DDADMM CCn1cc([N-]S(=O)(=O)c2cn(C)c(Cl)n2)ccc1=O ZINC000152318223 196806932 /nfs/dbraw/zinc/80/69/32/196806932.db2.gz SQYCIOKFZKSCQH-UHFFFAOYSA-N -1 1 316.770 1.056 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C[C@@H]2C[C@@H]2c2ccccc2)n1 ZINC000352798524 285308297 /nfs/dbraw/zinc/30/82/97/285308297.db2.gz UNKCAFCVDRZXPR-WDEREUQCSA-N -1 1 320.374 1.341 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C[C@@H]2C[C@@H]2c2ccccc2)[n-]1 ZINC000352798524 285308300 /nfs/dbraw/zinc/30/83/00/285308300.db2.gz UNKCAFCVDRZXPR-WDEREUQCSA-N -1 1 320.374 1.341 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](C)C(=O)NC(C)C ZINC000414020795 224290305 /nfs/dbraw/zinc/29/03/05/224290305.db2.gz OUXHYTDZNFVJNY-SNVBAGLBSA-N -1 1 322.409 1.431 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@@H](N2CCCC2=O)C1 ZINC000289983329 221360264 /nfs/dbraw/zinc/36/02/64/221360264.db2.gz BTZPDZJVMIRVCW-SECBINFHSA-N -1 1 310.300 1.507 20 0 DDADMM CCOC1CC(CC[N-]S(=O)(=O)c2c(C)onc2N)C1 ZINC000290007201 221376632 /nfs/dbraw/zinc/37/66/32/221376632.db2.gz XDULKWNFGQUXOW-UHFFFAOYSA-N -1 1 303.384 1.049 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCC[C@@H]1O)c1cc(F)c(F)cc1F ZINC000121328793 195304204 /nfs/dbraw/zinc/30/42/04/195304204.db2.gz CBXANKIDLDFSNN-PELKAZGASA-N -1 1 323.336 1.933 20 0 DDADMM COC(=O)C[C@@H](C)C(=O)Nc1nc(Br)ccc1[O-] ZINC000121552372 195306450 /nfs/dbraw/zinc/30/64/50/195306450.db2.gz UJKMNISGSJRYKP-ZCFIWIBFSA-N -1 1 317.139 1.687 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2cc3cc(F)ccc3o2)CC1 ZINC000451365317 533256554 /nfs/dbraw/zinc/25/65/54/533256554.db2.gz WSBGKIMQHKWJJY-UHFFFAOYSA-N -1 1 313.306 1.556 20 0 DDADMM COc1ccc(CC(=O)NC2(c3nn[n-]n3)CCCC2)c(F)c1 ZINC000444019628 533443482 /nfs/dbraw/zinc/44/34/82/533443482.db2.gz CSQVMMIEZPAQLX-UHFFFAOYSA-N -1 1 319.340 1.476 20 0 DDADMM CS(=O)(=O)[C@H]1CCN(C(=O)c2cc3ccccc3cc2[O-])C1 ZINC000331911311 533435917 /nfs/dbraw/zinc/43/59/17/533435917.db2.gz BAEVLRQVSIRVFN-ZDUSSCGKSA-N -1 1 319.382 1.805 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H]2CC(=O)N(C(C)(C)C)C2)sc1C ZINC000433776019 533646142 /nfs/dbraw/zinc/64/61/42/533646142.db2.gz UVACRDPAWKOXKG-SECBINFHSA-N -1 1 311.407 1.471 20 0 DDADMM CCc1nc(C(C)(C)NC(=O)c2csc(=NC3CC3)[n-]2)no1 ZINC000568469976 304294224 /nfs/dbraw/zinc/29/42/24/304294224.db2.gz XVTUOYHYUNGACM-UHFFFAOYSA-N -1 1 321.406 1.750 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)Nc1cccc(CN2CCN(C)CC2)c1 ZINC000568511615 304297119 /nfs/dbraw/zinc/29/71/19/304297119.db2.gz ZRNGVIWGGKQKOD-GFCCVEGCSA-N -1 1 305.378 1.093 20 0 DDADMM Cc1ccc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1F ZINC000340364946 292181082 /nfs/dbraw/zinc/18/10/82/292181082.db2.gz FOAPFGHHIODNIF-UHFFFAOYSA-N -1 1 320.349 1.590 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc2c1O[C@@H](C)C2)c1nn[n-]n1 ZINC000579796021 422831856 /nfs/dbraw/zinc/83/18/56/422831856.db2.gz GKXBHNUULFKULJ-JOYOIKCWSA-N -1 1 301.350 1.794 20 0 DDADMM O=S(=O)([N-]Cc1cccnc1)c1ccc(F)c(F)c1F ZINC000007059637 406747017 /nfs/dbraw/zinc/74/70/17/406747017.db2.gz WCFIDFOYVAFUGS-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM CCc1cnc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)s1 ZINC000075535374 406934804 /nfs/dbraw/zinc/93/48/04/406934804.db2.gz DGYWNCYPJMPISV-UHFFFAOYSA-N -1 1 314.370 1.872 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(F)cc(F)c2)o1 ZINC000031533935 406940607 /nfs/dbraw/zinc/94/06/07/406940607.db2.gz GUUAMAAAFWMALP-UHFFFAOYSA-N -1 1 316.285 1.718 20 0 DDADMM COc1cc(NC(=O)[C@@H](C)SC)ccc1[N-]S(C)(=O)=O ZINC000032652354 406951061 /nfs/dbraw/zinc/95/10/61/406951061.db2.gz QCALRYULZJHFST-MRVPVSSYSA-N -1 1 318.420 1.757 20 0 DDADMM CCC[C@@H](NS(=O)(=O)c1c(F)cc(F)cc1F)C(=O)[O-] ZINC000037908981 406995092 /nfs/dbraw/zinc/99/50/92/406995092.db2.gz LPGIKUGAUCVBME-SECBINFHSA-N -1 1 311.281 1.636 20 0 DDADMM COc1cc(NC(=O)[C@@H](C)OC)ccc1[N-]S(C)(=O)=O ZINC000049114214 407116042 /nfs/dbraw/zinc/11/60/42/407116042.db2.gz JLBNHZHZSUODKS-MRVPVSSYSA-N -1 1 302.352 1.040 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)Nc3nnn[n-]3)CCC2=O)cc1C ZINC000089752997 407147394 /nfs/dbraw/zinc/14/73/94/407147394.db2.gz NLOGMCBPGHRBPN-NSHDSACASA-N -1 1 314.349 1.198 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)Nc3nn[n-]n3)CCC2=O)cc1C ZINC000089752997 407147396 /nfs/dbraw/zinc/14/73/96/407147396.db2.gz NLOGMCBPGHRBPN-NSHDSACASA-N -1 1 314.349 1.198 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCc1ccccc1 ZINC000103937096 407344320 /nfs/dbraw/zinc/34/43/20/407344320.db2.gz ZGJIYCXBXKHABK-UHFFFAOYSA-N -1 1 310.357 1.498 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCCCCCC1 ZINC000104134904 407347873 /nfs/dbraw/zinc/34/78/73/407347873.db2.gz RTSXCPGOHKDOGR-UHFFFAOYSA-N -1 1 316.405 1.975 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@]2(C)CCCC[C@H]2O)c1Cl ZINC000124922364 407373663 /nfs/dbraw/zinc/37/36/63/407373663.db2.gz GMKLDLICIATGHT-SKDRFNHKSA-N -1 1 321.830 1.293 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)C[C@H]1CCCO1)C(=O)OC(C)(C)C ZINC000125320973 407385456 /nfs/dbraw/zinc/38/54/56/407385456.db2.gz XSTOPAPOFSLEDV-NEPJUHHUSA-N -1 1 321.439 1.451 20 0 DDADMM Cc1cc(CN2CCN(C(=O)c3cncc([O-])c3)CC2)on1 ZINC000109042349 407394370 /nfs/dbraw/zinc/39/43/70/407394370.db2.gz PIFKBJUVMBXMGG-UHFFFAOYSA-N -1 1 302.334 1.042 20 0 DDADMM CCOCCS(=O)(=O)Nc1cc(C(=O)[O-])ccc1OC ZINC000111309576 407406677 /nfs/dbraw/zinc/40/66/77/407406677.db2.gz GGYGVHXRLHBARA-UHFFFAOYSA-N -1 1 303.336 1.172 20 0 DDADMM CC1(CNC(=O)c2cc(Br)ccc2[O-])COC1 ZINC000112619885 407433556 /nfs/dbraw/zinc/43/35/56/407433556.db2.gz SJOAHHQPXBCALA-UHFFFAOYSA-N -1 1 300.152 1.921 20 0 DDADMM O=C([O-])C1(CNC(=O)c2n[nH]c3ccccc32)CCOCC1 ZINC000178481369 407468097 /nfs/dbraw/zinc/46/80/97/407468097.db2.gz SSAZQHOBYBOHLH-UHFFFAOYSA-N -1 1 303.318 1.174 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(CC(F)(F)C(F)F)CC1 ZINC000114540193 407587494 /nfs/dbraw/zinc/58/74/94/407587494.db2.gz JNSWPBJGCVHEKS-UHFFFAOYSA-N -1 1 321.274 1.445 20 0 DDADMM COC[C@H](CCO)NC(=O)c1cc(Br)ccc1[O-] ZINC000225921325 407613001 /nfs/dbraw/zinc/61/30/01/407613001.db2.gz FPFBRKZUYNXEPY-VIFPVBQESA-N -1 1 318.167 1.282 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@@H]1CO)c1cc(F)ccc1F ZINC000226415392 407617434 /nfs/dbraw/zinc/61/74/34/407617434.db2.gz UDWLMDMTQCKSBP-BXKDBHETSA-N -1 1 305.346 1.794 20 0 DDADMM CC(C)(CC(N)=O)NC(=O)c1ccc(Br)cc1[O-] ZINC000186263225 407622077 /nfs/dbraw/zinc/62/20/77/407622077.db2.gz LJZMVAZEGQKOMH-UHFFFAOYSA-N -1 1 315.167 1.539 20 0 DDADMM CC(C)c1cc([N-]S(=O)(=O)c2cn(C)c(Cl)n2)[nH]n1 ZINC000152380721 407626037 /nfs/dbraw/zinc/62/60/37/407626037.db2.gz QXSNWIPZMVAKLI-UHFFFAOYSA-N -1 1 303.775 1.721 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2ncccc2Cl)CC1 ZINC000171264041 407642837 /nfs/dbraw/zinc/64/28/37/407642837.db2.gz LGRGEUULOOBGKQ-UHFFFAOYSA-N -1 1 318.764 1.798 20 0 DDADMM O=C([O-])[C@H]1CCN(CC(=O)N[C@H](c2ccccc2)C2CC2)C1 ZINC000178902641 407649736 /nfs/dbraw/zinc/64/97/36/407649736.db2.gz BSLCREWLFDZMIT-GOEBONIOSA-N -1 1 302.374 1.660 20 0 DDADMM CC1(C)CN(CCNC(=O)c2ccc(Cl)cc2[O-])CCO1 ZINC000171303392 407654586 /nfs/dbraw/zinc/65/45/86/407654586.db2.gz VTDTXYHLIRZASS-UHFFFAOYSA-N -1 1 312.797 1.886 20 0 DDADMM C[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc2ccccc2c1[O-] ZINC000271417801 407676095 /nfs/dbraw/zinc/67/60/95/407676095.db2.gz QISUNRVSMPMKLK-NSHDSACASA-N -1 1 319.382 1.805 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)CC2(C)C)o1 ZINC000266923923 407678081 /nfs/dbraw/zinc/67/80/81/407678081.db2.gz QGGYAHWOGFBYKP-SECBINFHSA-N -1 1 300.380 1.448 20 0 DDADMM Cc1ccc(CCC(=O)Nc2nnn[n-]2)c(Br)c1 ZINC000186636961 407726329 /nfs/dbraw/zinc/72/63/29/407726329.db2.gz CHWDWYDIBDMZKR-UHFFFAOYSA-N -1 1 310.155 1.842 20 0 DDADMM Cc1ccc(CCC(=O)Nc2nn[n-]n2)c(Br)c1 ZINC000186636961 407726332 /nfs/dbraw/zinc/72/63/32/407726332.db2.gz CHWDWYDIBDMZKR-UHFFFAOYSA-N -1 1 310.155 1.842 20 0 DDADMM CC(C)c1ccc(C(=O)[O-])cc1S(=O)(=O)NCCN(C)C ZINC000236718507 407758979 /nfs/dbraw/zinc/75/89/79/407758979.db2.gz BFVMSRGKPSQGCO-UHFFFAOYSA-N -1 1 314.407 1.348 20 0 DDADMM O=C([O-])CCCN1CCN(C(=O)c2ccc3c(c2)OCO3)CC1 ZINC000262214218 407765102 /nfs/dbraw/zinc/76/51/02/407765102.db2.gz JHYZCABOLVZGBS-UHFFFAOYSA-N -1 1 320.345 1.038 20 0 DDADMM C[C@@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C(C)(C)C ZINC000272153880 407795874 /nfs/dbraw/zinc/79/58/74/407795874.db2.gz RIYCBJCLNXERCS-QMMMGPOBSA-N -1 1 300.380 1.660 20 0 DDADMM O=S(=O)([N-][C@@H]1C=C[C@H](CO)C1)c1c(Cl)ccnc1Cl ZINC000272267890 407840845 /nfs/dbraw/zinc/84/08/45/407840845.db2.gz WDXIZXCAXQFGAX-JGVFFNPUSA-N -1 1 323.201 1.604 20 0 DDADMM COC(=O)[C@@]1(NC(=O)c2ccc3ccccc3c2[O-])CCOC1 ZINC000268035869 407862562 /nfs/dbraw/zinc/86/25/62/407862562.db2.gz ZTJBHVBBPLRCPQ-QGZVFWFLSA-N -1 1 315.325 1.607 20 0 DDADMM CC[C@@H]1CC[C@H](C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)O1 ZINC000268226860 407959833 /nfs/dbraw/zinc/95/98/33/407959833.db2.gz XMENBHRVJVKPEO-DGCLKSJQSA-N -1 1 318.377 1.213 20 0 DDADMM C[C@H](SCC(=O)Nc1nnn[n-]1)c1nc2ccccc2[nH]1 ZINC000174248765 407924819 /nfs/dbraw/zinc/92/48/19/407924819.db2.gz ALPMPQMBOVWFBH-ZETCQYMHSA-N -1 1 303.351 1.509 20 0 DDADMM C[C@H](SCC(=O)Nc1nn[n-]n1)c1nc2ccccc2[nH]1 ZINC000174248765 407924823 /nfs/dbraw/zinc/92/48/23/407924823.db2.gz ALPMPQMBOVWFBH-ZETCQYMHSA-N -1 1 303.351 1.509 20 0 DDADMM CC[C@H](C)[C@H](N[C@@H]1CCN(c2ccccc2OC)C1=O)C(=O)[O-] ZINC000263186545 408050654 /nfs/dbraw/zinc/05/06/54/408050654.db2.gz UXBVVCWSUVBRHW-ZOWXZIJZSA-N -1 1 320.389 1.889 20 0 DDADMM COc1cccc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)n1 ZINC000119194161 408011639 /nfs/dbraw/zinc/01/16/39/408011639.db2.gz KMJFEQMADLDMRI-UHFFFAOYSA-N -1 1 313.317 1.008 20 0 DDADMM C[C@@H](NC(=O)c1ccc(C(C)(C)C)cc1)C(=O)Nc1nnn[n-]1 ZINC000135464647 408019538 /nfs/dbraw/zinc/01/95/38/408019538.db2.gz WLCVQSBWUXVBTQ-SECBINFHSA-N -1 1 316.365 1.254 20 0 DDADMM C[C@@H](NC(=O)c1ccc(C(C)(C)C)cc1)C(=O)Nc1nn[n-]n1 ZINC000135464647 408019544 /nfs/dbraw/zinc/01/95/44/408019544.db2.gz WLCVQSBWUXVBTQ-SECBINFHSA-N -1 1 316.365 1.254 20 0 DDADMM CSC[C@@H]1CCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119379737 408055340 /nfs/dbraw/zinc/05/53/40/408055340.db2.gz JEGXFLGWZAQWLO-VIFPVBQESA-N -1 1 306.391 1.642 20 0 DDADMM COc1c(F)ccc(F)c1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155643068 408192925 /nfs/dbraw/zinc/19/29/25/408192925.db2.gz HCYQVWCFJLWENT-QMMMGPOBSA-N -1 1 323.303 1.506 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2ncc(C)o2)o1 ZINC000175601000 408171834 /nfs/dbraw/zinc/17/18/34/408171834.db2.gz PWQCPBJQORZDMU-UHFFFAOYSA-N -1 1 314.319 1.231 20 0 DDADMM CCCc1noc(CCCC(=O)N(C)C[C@H](C)c2nn[n-]n2)n1 ZINC000273571748 408251444 /nfs/dbraw/zinc/25/14/44/408251444.db2.gz ZMVQVJKRYCVDKC-JTQLQIEISA-N -1 1 321.385 1.120 20 0 DDADMM COCCOc1ccccc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000273475245 408214342 /nfs/dbraw/zinc/21/43/42/408214342.db2.gz HTLBAMSKQJHZSH-NSHDSACASA-N -1 1 319.365 1.101 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@H]1C[C@]12CCc1ccccc12 ZINC000176256527 408324252 /nfs/dbraw/zinc/32/42/52/408324252.db2.gz BLXLDJUOVPRTLW-DYVFJYSZSA-N -1 1 312.373 1.051 20 0 DDADMM COC[C@H](O)CC[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000133208216 162054132 /nfs/dbraw/zinc/05/41/32/162054132.db2.gz OPOGLJSELLFFSF-MRVPVSSYSA-N -1 1 311.762 1.155 20 0 DDADMM CCn1nc(C)c([N-]S(=O)(=O)C[C@@H]2CCCCO2)c1C ZINC000172904382 162310004 /nfs/dbraw/zinc/31/00/04/162310004.db2.gz OOFBXUQPCBYACB-LBPRGKRZSA-N -1 1 301.412 1.831 20 0 DDADMM CCCCOc1cc(OC)ccc1C(=O)NN1CC(=O)[N-]C1=O ZINC000274419251 408398866 /nfs/dbraw/zinc/39/88/66/408398866.db2.gz KUQTZQPXAGOLLJ-UHFFFAOYSA-N -1 1 321.333 1.071 20 0 DDADMM Cc1ccccc1SCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183439901 408414032 /nfs/dbraw/zinc/41/40/32/408414032.db2.gz HJAXHHFAQBGAJL-LLVKDONJSA-N -1 1 305.407 1.862 20 0 DDADMM COC(=O)CSCCNC(=O)c1ccc(Cl)cc1[O-] ZINC000264241475 408417656 /nfs/dbraw/zinc/41/76/56/408417656.db2.gz CMCZJRSOQDVTEL-UHFFFAOYSA-N -1 1 303.767 1.682 20 0 DDADMM CCC[C@H](NC(=O)COc1ccccc1OC)c1nn[n-]n1 ZINC000176748913 408432345 /nfs/dbraw/zinc/43/23/45/408432345.db2.gz PUHYGULVIYHSEL-JTQLQIEISA-N -1 1 305.338 1.245 20 0 DDADMM CCC[C@@H](NC(=O)CCOc1ccc(F)cc1)c1nn[n-]n1 ZINC000176759292 408434864 /nfs/dbraw/zinc/43/48/64/408434864.db2.gz KWBRYZSAVKOZOW-GFCCVEGCSA-N -1 1 307.329 1.765 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)Oc1cccc(F)c1)c1nn[n-]n1 ZINC000176779769 408443609 /nfs/dbraw/zinc/44/36/09/408443609.db2.gz DHYFNNALFMFPLT-JOYOIKCWSA-N -1 1 307.329 1.764 20 0 DDADMM CCO[C@@H]1C[C@](O)(CNC(=O)c2ccc([O-])cc2F)C1(C)C ZINC000191728481 408479361 /nfs/dbraw/zinc/47/93/61/408479361.db2.gz POOPMAUEAGFQEI-CJNGLKHVSA-N -1 1 311.353 1.827 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000264413786 408480899 /nfs/dbraw/zinc/48/08/99/408480899.db2.gz JWCPXVSYQLHVGQ-JTQLQIEISA-N -1 1 307.350 1.526 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3ccccc3c2[O-])C[C@@H](CO)O1 ZINC000191721446 408478004 /nfs/dbraw/zinc/47/80/04/408478004.db2.gz HRAXAUMSGLIIKA-AAEUAGOBSA-N -1 1 301.342 1.767 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H]1CNC(=O)c1cncc([O-])c1 ZINC000264914009 408549411 /nfs/dbraw/zinc/54/94/11/408549411.db2.gz IGMLQWAMQPIYJW-LBPRGKRZSA-N -1 1 321.377 1.917 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]CC(=O)OCc2ccccc2)no1 ZINC000265307321 408608853 /nfs/dbraw/zinc/60/88/53/408608853.db2.gz HXHLUMQDIFGPQS-UHFFFAOYSA-N -1 1 324.358 1.146 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)c2cc(=O)[nH]c(C3CC3)c2)[nH]n1 ZINC000184485776 408627648 /nfs/dbraw/zinc/62/76/48/408627648.db2.gz QZJNAUXXASIZKB-UHFFFAOYSA-N -1 1 324.344 1.628 20 0 DDADMM COc1cc(OC)cc([C@H](O)CNC(=O)c2cncc([O-])c2)c1 ZINC000265479683 408666292 /nfs/dbraw/zinc/66/62/92/408666292.db2.gz SAJDIPCVEQFKPQ-OAHLLOKOSA-N -1 1 318.329 1.268 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)N(C)CCN(C)C)cc1C(=O)[O-] ZINC000184902923 408713312 /nfs/dbraw/zinc/71/33/12/408713312.db2.gz MLXYJYOTXLMNRJ-UHFFFAOYSA-N -1 1 318.370 1.014 20 0 DDADMM CCN(C)CCNS(=O)(=O)c1cc(C)c(C)c(C(=O)[O-])c1 ZINC000184964398 408727147 /nfs/dbraw/zinc/72/71/47/408727147.db2.gz DXINBWIHNZBDMQ-UHFFFAOYSA-N -1 1 314.407 1.232 20 0 DDADMM O=C(N[C@]1(CO)CCOC1)c1cc(Br)ccc1[O-] ZINC000185282636 408791538 /nfs/dbraw/zinc/79/15/38/408791538.db2.gz UYSTUDLIIUTLQE-LBPRGKRZSA-N -1 1 316.151 1.036 20 0 DDADMM C[C@H]1COCC[C@H]1C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000285719019 408849821 /nfs/dbraw/zinc/84/98/21/408849821.db2.gz UKPBGCSTHWAOHQ-UWVGGRQHSA-N -1 1 305.346 1.916 20 0 DDADMM O=c1cc(CN2CCOC[C@]23CCOC3)c2ccc([O-])cc2o1 ZINC000193732438 163266922 /nfs/dbraw/zinc/26/69/22/163266922.db2.gz XEZZKQIQUAGRQY-QGZVFWFLSA-N -1 1 317.341 1.490 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)c1nc(Cc2ccccc2)n[n-]1 ZINC000195287091 163313867 /nfs/dbraw/zinc/31/38/67/163313867.db2.gz LVBRSQRBPCRZDZ-GFCCVEGCSA-N -1 1 307.375 1.348 20 0 DDADMM O=S(=O)(C[C@H]1CCCO1)c1n[n-]c(Cc2ccccc2)n1 ZINC000195287091 163313870 /nfs/dbraw/zinc/31/38/70/163313870.db2.gz LVBRSQRBPCRZDZ-GFCCVEGCSA-N -1 1 307.375 1.348 20 0 DDADMM CC(C)[C@H](CO)NC(=O)c1ccc(Br)c([O-])c1 ZINC000232753771 163351023 /nfs/dbraw/zinc/35/10/23/163351023.db2.gz CBQXGZLJHSIEQJ-JTQLQIEISA-N -1 1 302.168 1.901 20 0 DDADMM COC(=O)c1cnc(S[C@@H](C)C(=O)C(C)(C)C(=O)OC)[n-]1 ZINC000286404377 408978206 /nfs/dbraw/zinc/97/82/06/408978206.db2.gz FPNAIAICVSRDEV-ZETCQYMHSA-N -1 1 314.363 1.445 20 0 DDADMM COC(=O)c1c[n-]c(S[C@@H](C)C(=O)C(C)(C)C(=O)OC)n1 ZINC000286404377 408978207 /nfs/dbraw/zinc/97/82/07/408978207.db2.gz FPNAIAICVSRDEV-ZETCQYMHSA-N -1 1 314.363 1.445 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CC(C)(C)C)c1 ZINC000292223569 409004776 /nfs/dbraw/zinc/00/47/76/409004776.db2.gz MJASQNMOJNOBBS-UHFFFAOYSA-N -1 1 300.380 1.675 20 0 DDADMM C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1cc(Cl)ccc1[O-] ZINC000287347426 409023745 /nfs/dbraw/zinc/02/37/45/409023745.db2.gz NFIJILHWKAXNQM-DGCLKSJQSA-N -1 1 324.808 1.981 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(-c2cc[nH]n2)s1)c1nn[n-]n1 ZINC000277880484 409028809 /nfs/dbraw/zinc/02/88/09/409028809.db2.gz GINKUMIYMWOXKT-MRVPVSSYSA-N -1 1 317.378 1.527 20 0 DDADMM C[C@H]1COCC[C@@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000278068593 409061004 /nfs/dbraw/zinc/06/10/04/409061004.db2.gz ZFWMXCVQADHMPG-CBAPKCEASA-N -1 1 309.309 1.807 20 0 DDADMM CN(C)C(=O)OC[C@H]1CCCCN1C(=O)c1cncc([O-])c1 ZINC000287668182 409073241 /nfs/dbraw/zinc/07/32/41/409073241.db2.gz IVMYKSUMFGPWEZ-GFCCVEGCSA-N -1 1 307.350 1.480 20 0 DDADMM CC[C@@H](C)NC(=O)[C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283042837 409074765 /nfs/dbraw/zinc/07/47/65/409074765.db2.gz NPABTVYXYGQPKF-BDAKNGLRSA-N -1 1 321.406 1.051 20 0 DDADMM Cc1ncsc1CN(C)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287716374 409080851 /nfs/dbraw/zinc/08/08/51/409080851.db2.gz SJXQYLXWEQTNBW-WQRHYEAKSA-N -1 1 316.390 1.771 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N(C[C@H]3CCOC3)C3CC3)ccnc1-2 ZINC000287786615 409093657 /nfs/dbraw/zinc/09/36/57/409093657.db2.gz WXAIPSAVIJHBOF-PFMFLNCGSA-N -1 1 315.377 1.375 20 0 DDADMM O=C(NC[C@@H]1CCCC[C@H]1O)c1ccc2n[n-]c(=S)n2c1 ZINC000283242363 409119686 /nfs/dbraw/zinc/11/96/86/409119686.db2.gz ZRLKQWDMOAYFGG-GXSJLCMTSA-N -1 1 306.391 1.299 20 0 DDADMM COC(=O)[C@@H](CF)NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000287976868 409125647 /nfs/dbraw/zinc/12/56/47/409125647.db2.gz AEMSUXPJXJODKX-MRVPVSSYSA-N -1 1 309.215 1.652 20 0 DDADMM CC(C)(CO)CCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283435427 409157023 /nfs/dbraw/zinc/15/70/23/409157023.db2.gz MYZFADWVQFUALC-UHFFFAOYSA-N -1 1 308.407 1.547 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(C)(C)O ZINC000293691744 409162577 /nfs/dbraw/zinc/16/25/77/409162577.db2.gz BWXMTVWYQJBKLG-SECBINFHSA-N -1 1 311.325 1.932 20 0 DDADMM O=c1nc(C2CC[NH+](C[C@H](O)c3ccc(F)cc3F)CC2)[nH][n-]1 ZINC000288787059 409179054 /nfs/dbraw/zinc/17/90/54/409179054.db2.gz CCGXXFDOOJYMRL-ZDUSSCGKSA-N -1 1 324.331 1.289 20 0 DDADMM CO[C@]1(C)C[C@H](NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1(C)C ZINC000279255835 409179262 /nfs/dbraw/zinc/17/92/62/409179262.db2.gz IHXUUMDDAZVZOE-PWKSUZQOSA-N -1 1 317.393 1.667 20 0 DDADMM CCCN(C(=O)c1cc(F)ccc1[O-])[C@H]1CC(=O)N(C)C1=O ZINC000283618082 409184800 /nfs/dbraw/zinc/18/48/00/409184800.db2.gz RKPGNTYIMHZMGS-NSHDSACASA-N -1 1 308.309 1.141 20 0 DDADMM CN(C)C(=O)CCC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000283772406 409214308 /nfs/dbraw/zinc/21/43/08/409214308.db2.gz BESLZACFKQLRPL-UHFFFAOYSA-N -1 1 322.789 1.626 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC(C)(C)OC)c1ccccc1 ZINC000289375733 409256952 /nfs/dbraw/zinc/25/69/52/409256952.db2.gz NBAUXEZFOCXOQO-GFCCVEGCSA-N -1 1 315.391 1.245 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@H]1O)c1cc(Cl)ccc1F ZINC000284172263 409290042 /nfs/dbraw/zinc/29/00/42/409290042.db2.gz GGLOAINKYXNXRP-GZMMTYOYSA-N -1 1 307.774 1.918 20 0 DDADMM CC[C@@H](C)NC(=O)CCNC(=O)c1c(F)ccc([O-])c1F ZINC000280662882 409399486 /nfs/dbraw/zinc/39/94/86/409399486.db2.gz ATZGIEHUXNOFKB-MRVPVSSYSA-N -1 1 300.305 1.705 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cccn(C)c1=O)c1ccccc1 ZINC000296051746 409411377 /nfs/dbraw/zinc/41/13/77/409411377.db2.gz UEOSYUOLOCSKCK-CQSZACIVSA-N -1 1 322.386 1.515 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1CC(c2ccccc2)C1 ZINC000290643644 409472574 /nfs/dbraw/zinc/47/25/74/409472574.db2.gz DMZUPDKCUMRLHL-UHFFFAOYSA-N -1 1 307.375 1.790 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(C)n1 ZINC000408068899 164217106 /nfs/dbraw/zinc/21/71/06/164217106.db2.gz YWSUZPBLXUOCRA-NSHDSACASA-N -1 1 302.334 1.807 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](C(=O)N2CCCC2)C1 ZINC000408134982 164238981 /nfs/dbraw/zinc/23/89/81/164238981.db2.gz WPTKTOAPZDESML-GFCCVEGCSA-N -1 1 303.362 1.262 20 0 DDADMM COC(=O)[C@H]1CC[C@@H](C(=O)Nc2nc(Cl)ccc2[O-])O1 ZINC000290667814 409482778 /nfs/dbraw/zinc/48/27/78/409482778.db2.gz GRSDQLJQTTWEDY-JGVFFNPUSA-N -1 1 300.698 1.100 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000408206434 164260322 /nfs/dbraw/zinc/26/03/22/164260322.db2.gz TZWWMXYHCJBUEE-OAHLLOKOSA-N -1 1 310.300 1.413 20 0 DDADMM C[C@H]1OCC[C@@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000408216331 164263199 /nfs/dbraw/zinc/26/31/99/164263199.db2.gz UCEUAKMGVGHLOC-RQJHMYQMSA-N -1 1 310.169 1.498 20 0 DDADMM C[C@@H]1OCC[C@@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000408216325 164263228 /nfs/dbraw/zinc/26/32/28/164263228.db2.gz UCEUAKMGVGHLOC-BQBZGAKWSA-N -1 1 310.169 1.498 20 0 DDADMM C[C@@H](O)C[C@@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000296268143 409484283 /nfs/dbraw/zinc/48/42/83/409484283.db2.gz YNZNWSLVMFXEOK-PWSUYJOCSA-N -1 1 320.418 1.784 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCCn3ncnc32)sc1C ZINC000408401389 164319535 /nfs/dbraw/zinc/31/95/35/164319535.db2.gz BTGUJNPYXLZYPX-SECBINFHSA-N -1 1 313.408 1.165 20 0 DDADMM CO[C@@H](C)c1nc(=NC2CCN(C(=O)C(C)C)CC2)s[n-]1 ZINC000337906780 409556477 /nfs/dbraw/zinc/55/64/77/409556477.db2.gz DAKZIPZXQFZVFB-JTQLQIEISA-N -1 1 312.439 1.726 20 0 DDADMM O=C(NCc1ccccc1OCCO)c1c(F)ccc([O-])c1F ZINC000348850007 409550610 /nfs/dbraw/zinc/55/06/10/409550610.db2.gz DERCYYUCSJMPJM-UHFFFAOYSA-N -1 1 323.295 1.972 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC(C)(C)C(C)(C)C)co1 ZINC000356809518 409624542 /nfs/dbraw/zinc/62/45/42/409624542.db2.gz BZYRQMOBWAGCRL-UHFFFAOYSA-N -1 1 302.396 1.742 20 0 DDADMM Cc1nn(C)cc1S(=O)(=O)[N-]c1nc2ccc(F)cc2[nH]1 ZINC000342572580 409729750 /nfs/dbraw/zinc/72/97/50/409729750.db2.gz QMTDXVOSHZLZPX-UHFFFAOYSA-N -1 1 309.326 1.545 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2ccccc2-c2nnc[nH]2)cn1C ZINC000338164102 409765118 /nfs/dbraw/zinc/76/51/18/409765118.db2.gz HVDDQKFQYVNEGG-UHFFFAOYSA-N -1 1 318.362 1.314 20 0 DDADMM Cc1ccc2c(c1)[C@@H](NC(=O)c1cc(=O)n3[n-]cnc3n1)[C@H](C)C2 ZINC000354339804 409778361 /nfs/dbraw/zinc/77/83/61/409778361.db2.gz HWOLHNWRTLCTOM-BMIGLBTASA-N -1 1 323.356 1.389 20 0 DDADMM Cc1ccc2nc(CNC(=O)[C@H]3CC[C@H](C(=O)[O-])O3)[nH]c2c1 ZINC000346097048 409779773 /nfs/dbraw/zinc/77/97/73/409779773.db2.gz RXSLQGYORSFPKI-VXGBXAGGSA-N -1 1 303.318 1.120 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H](CO)CC1CCCC1)c2=O ZINC000338242117 409829722 /nfs/dbraw/zinc/82/97/22/409829722.db2.gz JRXLJGLKIWJVDR-LLVKDONJSA-N -1 1 318.377 1.345 20 0 DDADMM Cc1nc(CC(C)C)c(C(=O)NC2(c3nn[n-]n3)CC2)s1 ZINC000357071937 409841410 /nfs/dbraw/zinc/84/14/10/409841410.db2.gz GEHJWRZWCBXIHR-UHFFFAOYSA-N -1 1 306.395 1.582 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CC(=O)N2CCc3ccccc32)C1 ZINC000316008810 409851183 /nfs/dbraw/zinc/85/11/83/409851183.db2.gz ZNEAYCRMGOKAMS-ZDUSSCGKSA-N -1 1 302.374 1.762 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](CCO)C1CCCCC1 ZINC000349587169 409881384 /nfs/dbraw/zinc/88/13/84/409881384.db2.gz NPWXDLXCTCULAK-LLVKDONJSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](CCO)C1CCCCC1 ZINC000349587169 409881392 /nfs/dbraw/zinc/88/13/92/409881392.db2.gz NPWXDLXCTCULAK-LLVKDONJSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1[C@H]2CCCO[C@H]21 ZINC000357286221 409956666 /nfs/dbraw/zinc/95/66/66/409956666.db2.gz GTTFKDCRANEMHI-VCTAVGKDSA-N -1 1 316.361 1.093 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1C[C@H]1c1ccc(Br)cc1 ZINC000342852948 409948435 /nfs/dbraw/zinc/94/84/35/409948435.db2.gz QLZWEUGKPQXUAX-DTWKUNHWSA-N -1 1 308.139 1.704 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1C[C@H]1c1ccc(Br)cc1 ZINC000342852948 409948443 /nfs/dbraw/zinc/94/84/43/409948443.db2.gz QLZWEUGKPQXUAX-DTWKUNHWSA-N -1 1 308.139 1.704 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)C[C@@H](C)c1ccc(F)cc1 ZINC000297814816 410011649 /nfs/dbraw/zinc/01/16/49/410011649.db2.gz UHPKHCLRXVBYDZ-MRVPVSSYSA-N -1 1 305.313 1.436 20 0 DDADMM Cc1cnc(C(=O)NCC(=O)N2Cc3ccccc3C2)c([O-])c1 ZINC000357526639 410084808 /nfs/dbraw/zinc/08/48/08/410084808.db2.gz OJVHCOAGZRKOCS-UHFFFAOYSA-N -1 1 311.341 1.368 20 0 DDADMM CO[C@@H]1CCC[C@@H]1CN=c1ccc(C(=O)NC2CCCC2)n[n-]1 ZINC000329146856 410128845 /nfs/dbraw/zinc/12/88/45/410128845.db2.gz ASMSNLVVGFECHN-IUODEOHRSA-N -1 1 318.421 1.798 20 0 DDADMM CC[C@@H](NC(=O)c1coc(S(=O)(=O)[N-]C)c1)C(F)(F)F ZINC000346906350 410237910 /nfs/dbraw/zinc/23/79/10/410237910.db2.gz GIUYKNFVNLTSCO-SSDOTTSWSA-N -1 1 314.285 1.258 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](OCC)c1ccccc1)c1nn[n-]n1 ZINC000298562679 410291069 /nfs/dbraw/zinc/29/10/69/410291069.db2.gz JOCVHCOPXFVGLA-CHWSQXEVSA-N -1 1 303.366 1.935 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCCO[C@@H]1CC(C)C ZINC000329439908 410298732 /nfs/dbraw/zinc/29/87/32/410298732.db2.gz MTGDXTDOBPPHAY-GHMZBOCLSA-N -1 1 317.411 1.268 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCCO[C@@H]1CC(C)C ZINC000329439908 410298736 /nfs/dbraw/zinc/29/87/36/410298736.db2.gz MTGDXTDOBPPHAY-GHMZBOCLSA-N -1 1 317.411 1.268 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H]2CC[C@@H](C)C2)co1 ZINC000347000410 410302622 /nfs/dbraw/zinc/30/26/22/410302622.db2.gz ZGDLYGJQBXOVNT-KOLCDFICSA-N -1 1 300.380 1.448 20 0 DDADMM O=C1C[C@]2(CCN(Cc3nc(=O)c4sccc4[n-]3)C2)CN1 ZINC000332994139 410304877 /nfs/dbraw/zinc/30/48/77/410304877.db2.gz BUQBIVLZJKIQSM-CQSZACIVSA-N -1 1 304.375 1.109 20 0 DDADMM CC(C)[C@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343394096 410419979 /nfs/dbraw/zinc/41/99/79/410419979.db2.gz RUJURKANFUHWOI-ZDUSSCGKSA-N -1 1 319.405 1.802 20 0 DDADMM Cc1ccccc1[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)[C@H](C)CO1 ZINC000329598772 410377787 /nfs/dbraw/zinc/37/77/87/410377787.db2.gz PSDSGRBYEZHACQ-OCCSQVGLSA-N -1 1 302.378 1.379 20 0 DDADMM C[C@@H]1CCCC[C@@H]1CCN=c1[n-]cc(S(N)(=O)=O)s1 ZINC000358224888 410411378 /nfs/dbraw/zinc/41/13/78/410411378.db2.gz QRTULDIBUJYXQX-NXEZZACHSA-N -1 1 303.453 1.841 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)N=c1nc2ccccn2[n-]1 ZINC000333297781 410469182 /nfs/dbraw/zinc/46/91/82/410469182.db2.gz BSQPQIRUPVTKGC-GFCCVEGCSA-N -1 1 302.382 1.099 20 0 DDADMM CNC(=O)c1ccc(=NCCc2ccc(F)c(F)c2F)[n-]n1 ZINC000343479250 410483730 /nfs/dbraw/zinc/48/37/30/410483730.db2.gz QQCNXPKWPQWOBU-UHFFFAOYSA-N -1 1 310.279 1.330 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@@H]4CC[C@@H](O)[C@@H]4C3)c[n-]c2[nH+]1 ZINC000329726579 410424916 /nfs/dbraw/zinc/42/49/16/410424916.db2.gz DWGHKMWUQQQJCW-ZLKJLUDKSA-N -1 1 313.357 1.487 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H]4CC[C@@H](O)[C@@H]4C3)cnc2n1 ZINC000329726579 410424919 /nfs/dbraw/zinc/42/49/19/410424919.db2.gz DWGHKMWUQQQJCW-ZLKJLUDKSA-N -1 1 313.357 1.487 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N[C@@H](C)c1cn[nH]c1)c2=O ZINC000339967370 410584205 /nfs/dbraw/zinc/58/42/05/410584205.db2.gz XSSSKWVBUJMBPA-VIFPVBQESA-N -1 1 312.329 1.751 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1nc(C)c(C)s1)[C@H]1CCCO1 ZINC000333424809 410540048 /nfs/dbraw/zinc/54/00/48/410540048.db2.gz JBHFBRWQISGGPJ-WDEREUQCSA-N -1 1 320.436 1.232 20 0 DDADMM CN(C)c1cc(C(=O)NC2(c3nn[n-]n3)CC2)c2ccccc2n1 ZINC000352209504 410548211 /nfs/dbraw/zinc/54/82/11/410548211.db2.gz CARKNMLNTOLBDG-UHFFFAOYSA-N -1 1 323.360 1.233 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCC(=O)[C@H](C)C1 ZINC000330262266 410604594 /nfs/dbraw/zinc/60/45/94/410604594.db2.gz UTMQLMVOILEVEN-SECBINFHSA-N -1 1 305.378 1.839 20 0 DDADMM COc1ccc2c(c1)C[C@H](C)N(Cc1nc(=O)n(C)[n-]1)[C@@H]2C ZINC000347618919 410637115 /nfs/dbraw/zinc/63/71/15/410637115.db2.gz VSIZKMWMODFKLF-WDEREUQCSA-N -1 1 302.378 1.625 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cccc(C(F)F)c2)n1 ZINC000355692930 410644503 /nfs/dbraw/zinc/64/45/03/410644503.db2.gz RCLPGUFNVMHIFX-UHFFFAOYSA-N -1 1 316.289 1.398 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cccc(C(F)F)c2)[n-]1 ZINC000355692930 410644509 /nfs/dbraw/zinc/64/45/09/410644509.db2.gz RCLPGUFNVMHIFX-UHFFFAOYSA-N -1 1 316.289 1.398 20 0 DDADMM CCC[C@@H](NC(=O)CCc1nc2ccccc2o1)c1nn[n-]n1 ZINC000343722260 410648020 /nfs/dbraw/zinc/64/80/20/410648020.db2.gz HBWYPCDJHFIZPH-LLVKDONJSA-N -1 1 314.349 1.931 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(CCO)CC3CC3)c[n-]c2[nH+]1 ZINC000359504232 410696507 /nfs/dbraw/zinc/69/65/07/410696507.db2.gz PDMRIMMLMLWHBP-UHFFFAOYSA-N -1 1 301.346 1.488 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(CCO)CC3CC3)c[n-]c2n1 ZINC000359504232 410696519 /nfs/dbraw/zinc/69/65/19/410696519.db2.gz PDMRIMMLMLWHBP-UHFFFAOYSA-N -1 1 301.346 1.488 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)NCCCc2nc[nH]n2)s1 ZINC000343763656 410677144 /nfs/dbraw/zinc/67/71/44/410677144.db2.gz HHUSCKOXTKLHPB-UHFFFAOYSA-N -1 1 309.399 1.175 20 0 DDADMM CCC[C@H](NC(=O)[C@@H](C)c1c(C)nn(C)c1C)c1nn[n-]n1 ZINC000343770058 410684767 /nfs/dbraw/zinc/68/47/67/410684767.db2.gz WCALQXMEADILBE-KWQFWETISA-N -1 1 305.386 1.311 20 0 DDADMM CC(C)(NC(=O)CSc1ccc(F)c(F)c1)c1nn[n-]n1 ZINC000359793670 410885684 /nfs/dbraw/zinc/88/56/84/410885684.db2.gz SPYKNFRJKDIRQE-UHFFFAOYSA-N -1 1 313.333 1.622 20 0 DDADMM Cc1nc(SCC(=O)N2CCC[C@@H](C(N)=O)C2)[n-]c(=O)c1C ZINC000330683802 410877373 /nfs/dbraw/zinc/87/73/73/410877373.db2.gz RVGXBOFDROFLGS-SNVBAGLBSA-N -1 1 324.406 1.665 20 0 DDADMM CC(C)(C)n1ncc2c1ncnc2NCCCc1nc(=O)[n-][nH]1 ZINC000301870165 410820623 /nfs/dbraw/zinc/82/06/23/410820623.db2.gz JRYHVVNFOMEJOQ-UHFFFAOYSA-N -1 1 316.369 1.037 20 0 DDADMM C[C@H](Oc1cccc(Cl)c1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348273345 410889538 /nfs/dbraw/zinc/88/95/38/410889538.db2.gz GKNBMLCSMAVNTP-QMMMGPOBSA-N -1 1 307.741 1.426 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(-c2ccc(F)cc2)on1 ZINC000348290821 410900670 /nfs/dbraw/zinc/90/06/70/410900670.db2.gz GFEISPHYOQJIFW-UHFFFAOYSA-N -1 1 314.280 1.413 20 0 DDADMM COc1cc(C(=O)N(C)CCOCCO)cc(Cl)c1[O-] ZINC000337630232 410907098 /nfs/dbraw/zinc/90/70/98/410907098.db2.gz XQAAUXYVWNFUBI-UHFFFAOYSA-N -1 1 303.742 1.135 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2nc(C)c(C)s2)CCCC1 ZINC000330969023 410909650 /nfs/dbraw/zinc/90/96/50/410909650.db2.gz FLZJJKPXCNUKEF-UHFFFAOYSA-N -1 1 318.420 1.524 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cccc(C2CC2)n1 ZINC000353724129 411117363 /nfs/dbraw/zinc/11/73/63/411117363.db2.gz KQUOSOJTWQTEJD-UHFFFAOYSA-N -1 1 312.329 1.428 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCCOC(C)C)c1 ZINC000631647555 422866438 /nfs/dbraw/zinc/86/64/38/422866438.db2.gz WGZOBANDNRVMLH-UHFFFAOYSA-N -1 1 303.380 1.494 20 0 DDADMM C[C@@H]1CCc2c(F)cccc2[C@@H]1NC(=O)CCc1nn[n-]n1 ZINC000631656697 422870290 /nfs/dbraw/zinc/87/02/90/422870290.db2.gz KIQZLWHCEURZFY-RFAUZJTJSA-N -1 1 303.341 1.711 20 0 DDADMM CN(CC(C)(C)CN1CCOCC1)C(=O)c1c([O-])cccc1F ZINC000130601505 196094536 /nfs/dbraw/zinc/09/45/36/196094536.db2.gz QBTQNVYJUIJFPK-UHFFFAOYSA-N -1 1 324.396 1.962 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc([C@@H]3C[C@@H]3C)[nH]n2)co1 ZINC000131136630 196142998 /nfs/dbraw/zinc/14/29/98/196142998.db2.gz KPMGNYAFCAHMCU-IONNQARKSA-N -1 1 324.362 1.287 20 0 DDADMM Cc1cccc(S(=O)(=O)CCCN2CCC[C@H]2C(=O)[O-])c1 ZINC000652363745 422979717 /nfs/dbraw/zinc/97/97/17/422979717.db2.gz MRDBHEIZXAXFRB-AWEZNQCLSA-N -1 1 311.403 1.708 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H](C)c2ccco2)c1 ZINC000642992831 423019106 /nfs/dbraw/zinc/01/91/06/423019106.db2.gz NFQPSBKWTKEVJG-SECBINFHSA-N -1 1 324.358 1.636 20 0 DDADMM O=C([O-])c1ccc(C(=O)N2CCN(C3CCCCC3)CC2)nc1 ZINC000647737401 423021695 /nfs/dbraw/zinc/02/16/95/423021695.db2.gz VIJNYXLCFAVAPQ-UHFFFAOYSA-N -1 1 317.389 1.870 20 0 DDADMM O=C([O-])C[C@@H]1COCCN1CCCOc1ccc2c(c1)OCO2 ZINC000652476001 423034615 /nfs/dbraw/zinc/03/46/15/423034615.db2.gz NVZLUASEVXOWBT-GFCCVEGCSA-N -1 1 323.345 1.360 20 0 DDADMM COCC[C@H](C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645510845 423061538 /nfs/dbraw/zinc/06/15/38/423061538.db2.gz QBTDVRNOBFSLHX-QMMMGPOBSA-N -1 1 312.313 1.804 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCCCN1C(=O)c1ccco1 ZINC000647815658 423057727 /nfs/dbraw/zinc/05/77/27/423057727.db2.gz GLXJHRFKILWPCW-JTQLQIEISA-N -1 1 318.333 1.650 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2CCc3cccnc32)cc(=O)[n-]1 ZINC000640655694 423115955 /nfs/dbraw/zinc/11/59/55/423115955.db2.gz QJAWHDVFRXIWBN-NSHDSACASA-N -1 1 316.386 1.645 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C3CC3)n(C)n2)cc(=O)[n-]1 ZINC000640658600 423118700 /nfs/dbraw/zinc/11/87/00/423118700.db2.gz QGBMXRFLUMSVHH-UHFFFAOYSA-N -1 1 319.390 1.445 20 0 DDADMM O=S(=O)([N-]C1(CO)CCC1)c1ncccc1C(F)(F)F ZINC000645639009 423120982 /nfs/dbraw/zinc/12/09/82/423120982.db2.gz FRNBFNQCQCFZSZ-UHFFFAOYSA-N -1 1 310.297 1.294 20 0 DDADMM COC[C@@H]1CCCCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000373352012 418423428 /nfs/dbraw/zinc/42/34/28/418423428.db2.gz CNFRJACUAOTXKO-LLVKDONJSA-N -1 1 318.377 1.563 20 0 DDADMM CCCC(=O)N1CCC[C@H](C(=O)N[C@@H](CCC)c2nn[n-]n2)C1 ZINC000176761998 221834833 /nfs/dbraw/zinc/83/48/33/221834833.db2.gz NNXRKTLORNOHNO-RYUDHWBXSA-N -1 1 322.413 1.196 20 0 DDADMM Cc1cc(=O)[nH]c([C@@H](C)[N@H+]2CC[C@@H](Cc3cnn(C)c3)C2)n1 ZINC000366153434 418435118 /nfs/dbraw/zinc/43/51/18/418435118.db2.gz OQTDUCZBDYJAJW-OLZOCXBDSA-N -1 1 301.394 1.850 20 0 DDADMM O=C(NC[C@@H](CO)c1ccccc1Cl)c1ncccc1[O-] ZINC000360480407 418445772 /nfs/dbraw/zinc/44/57/72/418445772.db2.gz XNXSGBNRDXRKCS-JTQLQIEISA-N -1 1 306.749 1.947 20 0 DDADMM C[C@@H](C(=O)NC1CCCC1)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000373830759 418463733 /nfs/dbraw/zinc/46/37/33/418463733.db2.gz IZVMUHMYWGMSDV-JTQLQIEISA-N -1 1 307.398 1.137 20 0 DDADMM O=C(N[C@H]1CC[C@@H](C(=O)N2CCCC2)C1)c1cncc([O-])c1 ZINC000373834407 418464942 /nfs/dbraw/zinc/46/49/42/418464942.db2.gz DVDWSJOQBZOEEM-YPMHNXCESA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)(C)c1ncsc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000366918400 418537747 /nfs/dbraw/zinc/53/77/47/418537747.db2.gz OBEUKXDXZSIRBV-VIFPVBQESA-N -1 1 320.422 1.974 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc3cc[nH]c3c2)co1 ZINC000194866329 222186066 /nfs/dbraw/zinc/18/60/66/222186066.db2.gz XSFQYBKMOUMNRG-UHFFFAOYSA-N -1 1 319.342 1.921 20 0 DDADMM O=C(Nc1ccon1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000368223088 418706017 /nfs/dbraw/zinc/70/60/17/418706017.db2.gz SQWHECPBPVVDDW-UHFFFAOYSA-N -1 1 315.329 1.929 20 0 DDADMM O=C(NCCn1cnnc1)c1ccc(Br)c([O-])c1 ZINC000381325990 418728609 /nfs/dbraw/zinc/72/86/09/418728609.db2.gz XSUXMVAMOKLTLM-UHFFFAOYSA-N -1 1 311.139 1.176 20 0 DDADMM C[C@H]1CCCC[C@@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000424759885 228314237 /nfs/dbraw/zinc/31/42/37/228314237.db2.gz HUEYDLGPQDKVMU-ONGXEEELSA-N -1 1 312.391 1.804 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC2(O)CCOCC2)c(F)c1 ZINC000425174776 228381314 /nfs/dbraw/zinc/38/13/14/228381314.db2.gz DPBXMLOFVDRTEM-UHFFFAOYSA-N -1 1 321.345 1.093 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H](C)[C@@H]2CCOC2)c(F)c1 ZINC000425212911 228391340 /nfs/dbraw/zinc/39/13/40/228391340.db2.gz ZEDQQIHSKZIMHH-VHSXEESVSA-N -1 1 305.346 1.977 20 0 DDADMM Cc1ccc(F)c(C(=O)Nc2nnn[n-]2)c1Br ZINC000426876155 419561833 /nfs/dbraw/zinc/56/18/33/419561833.db2.gz QNPGDEVEQIMTCB-UHFFFAOYSA-N -1 1 300.091 1.662 20 0 DDADMM Cc1ccc(F)c(C(=O)Nc2nn[n-]n2)c1Br ZINC000426876155 419561838 /nfs/dbraw/zinc/56/18/38/419561838.db2.gz QNPGDEVEQIMTCB-UHFFFAOYSA-N -1 1 300.091 1.662 20 0 DDADMM COC1([C@@H](C)NC(=O)c2c[n-]c3c(cnn3C)c2=O)CCC1 ZINC000412771145 419762323 /nfs/dbraw/zinc/76/23/23/419762323.db2.gz WGQSDCDXAFGQLN-SECBINFHSA-N -1 1 304.350 1.361 20 0 DDADMM O=C(COCC1CCCC1)Nc1nc(SCCO)n[nH]1 ZINC000412198712 419721263 /nfs/dbraw/zinc/72/12/63/419721263.db2.gz WYGLNHBUHHAWPA-UHFFFAOYSA-N -1 1 300.384 1.034 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCCOC2CCCC2)[n-]n1 ZINC000432142187 229083013 /nfs/dbraw/zinc/08/30/13/229083013.db2.gz COQBHXZVPTZEHB-UHFFFAOYSA-N -1 1 318.421 1.942 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2c[nH]c3cc(F)ccc23)n1 ZINC000434514784 229306107 /nfs/dbraw/zinc/30/61/07/229306107.db2.gz TURPXHLVUINBCX-UHFFFAOYSA-N -1 1 323.309 1.081 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2c[nH]c3cc(F)ccc23)[n-]1 ZINC000434514784 229306111 /nfs/dbraw/zinc/30/61/11/229306111.db2.gz TURPXHLVUINBCX-UHFFFAOYSA-N -1 1 323.309 1.081 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]c2cc(-c3ccccc3)n[nH]2)c[nH]1 ZINC000434970882 229348349 /nfs/dbraw/zinc/34/83/49/229348349.db2.gz FGSHDHYXSUZWOL-UHFFFAOYSA-N -1 1 303.347 1.909 20 0 DDADMM CCC[C@H](NC(=O)c1ccnc(-c2ncc[nH]2)c1)c1nn[n-]n1 ZINC000645729115 423157522 /nfs/dbraw/zinc/15/75/22/423157522.db2.gz FDDJLYRNGZBARC-JTQLQIEISA-N -1 1 312.337 1.256 20 0 DDADMM CCc1ccccc1[C@@H](N)CN=c1ccc(C(=O)OC)n[n-]1 ZINC000420283905 420180376 /nfs/dbraw/zinc/18/03/76/420180376.db2.gz UCIDKZZBKRQCKH-ZDUSSCGKSA-N -1 1 300.362 1.359 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC(C)(C)c1ccccc1C ZINC000416236655 420289804 /nfs/dbraw/zinc/28/98/04/420289804.db2.gz BVTJJZSNCXKYPO-UHFFFAOYSA-N -1 1 317.345 1.870 20 0 DDADMM CN(CC(F)(F)F)C(=O)CN1CCCC[C@@H]1CCC(=O)[O-] ZINC000424263613 420314762 /nfs/dbraw/zinc/31/47/62/420314762.db2.gz RTOSUMTXRKKDJV-SNVBAGLBSA-N -1 1 310.316 1.726 20 0 DDADMM O=C(Cc1nc2cc(F)c([O-])cc2c(=O)[nH]1)NC1CCCC1 ZINC000416414493 420363084 /nfs/dbraw/zinc/36/30/84/420363084.db2.gz RNXNKESRQBURDF-UHFFFAOYSA-N -1 1 305.309 1.369 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2ccc3ccccc3n2)[n-]n1 ZINC000436379167 420323063 /nfs/dbraw/zinc/32/30/63/420323063.db2.gz WEQMTUSGQLCBGS-UHFFFAOYSA-N -1 1 321.384 1.851 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)COC[C@@H]2CCOC2)c1 ZINC000436511398 420335812 /nfs/dbraw/zinc/33/58/12/420335812.db2.gz VUPHVZXOKGTQQX-SNVBAGLBSA-N -1 1 309.318 1.170 20 0 DDADMM COC(=O)[C@H]1CC12CCN(C(=O)c1cc(F)ccc1[O-])CC2 ZINC000436550784 420339933 /nfs/dbraw/zinc/33/99/33/420339933.db2.gz UUGAGESTXRSCQE-GFCCVEGCSA-N -1 1 307.321 1.947 20 0 DDADMM COc1ccc(C(=O)Nc2cnc(OC)c(C(N)=O)c2)c([O-])c1 ZINC000436588419 420342841 /nfs/dbraw/zinc/34/28/41/420342841.db2.gz SYOUMYDTZIAQEU-UHFFFAOYSA-N -1 1 317.301 1.156 20 0 DDADMM Cc1ccc(NCCCNC(=O)C(=O)c2ccc([O-])cc2)nc1 ZINC000436700737 420355492 /nfs/dbraw/zinc/35/54/92/420355492.db2.gz AGPNWCBMPCLHAD-UHFFFAOYSA-N -1 1 313.357 1.319 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC[C@@H](n2cc(CO)nn2)C1 ZINC000436892559 420382770 /nfs/dbraw/zinc/38/27/70/420382770.db2.gz KRNAGWIVHRSJND-GFCCVEGCSA-N -1 1 320.324 1.092 20 0 DDADMM CCn1ncnc1CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436916129 420385691 /nfs/dbraw/zinc/38/56/91/420385691.db2.gz RRNUJMSSYLINFO-UHFFFAOYSA-N -1 1 310.741 1.596 20 0 DDADMM O=C(CNC(=O)C(=O)c1ccc([O-])cc1)Nc1cccc(F)c1 ZINC000436967593 420386288 /nfs/dbraw/zinc/38/62/88/420386288.db2.gz QNMKLBRLWBJFNY-UHFFFAOYSA-N -1 1 316.288 1.469 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)c2cc(F)ccc2[O-])CCC1 ZINC000436999756 420391764 /nfs/dbraw/zinc/39/17/64/420391764.db2.gz RNSOKJVTLJRUKB-UHFFFAOYSA-N -1 1 301.339 1.228 20 0 DDADMM CC[C@@](COC)([N-]S(=O)(=O)CC1CCCC1)C(=O)OC ZINC000416513404 420402704 /nfs/dbraw/zinc/40/27/04/420402704.db2.gz DBGAKXBCGLISPF-ZDUSSCGKSA-N -1 1 307.412 1.064 20 0 DDADMM Cc1cc(NC(=O)CNC(=O)c2ncccc2[O-])c(C)cc1O ZINC000444644160 230115523 /nfs/dbraw/zinc/11/55/23/230115523.db2.gz UVENYJPVLLWISV-UHFFFAOYSA-N -1 1 315.329 1.478 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@H]2C(C)C)o1 ZINC000416549134 420414593 /nfs/dbraw/zinc/41/45/93/420414593.db2.gz OGECEJOCQWHGGC-VHSXEESVSA-N -1 1 301.364 1.779 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CS[C@@H](C)C2)o1 ZINC000416602964 420431323 /nfs/dbraw/zinc/43/13/23/420431323.db2.gz XQPGYMVKHSHFFO-DTWKUNHWSA-N -1 1 319.404 1.629 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccsc1Cl ZINC000438551772 420460255 /nfs/dbraw/zinc/46/02/55/420460255.db2.gz HZKYEUXUBYQPQV-UHFFFAOYSA-N -1 1 311.750 1.871 20 0 DDADMM Cc1n[nH]cc1[N-]S(=O)(=O)c1cccc2c1OCCCO2 ZINC000650454903 423165243 /nfs/dbraw/zinc/16/52/43/423165243.db2.gz BSAAEQVCMJSDAY-UHFFFAOYSA-N -1 1 309.347 1.680 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2C[C@@H](OC)C2(C)C)o1 ZINC000440391647 420572870 /nfs/dbraw/zinc/57/28/70/420572870.db2.gz LNGXXOXXPYKVPC-VHSXEESVSA-N -1 1 317.363 1.158 20 0 DDADMM COC(=O)C(C)(C)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451034846 420583881 /nfs/dbraw/zinc/58/38/81/420583881.db2.gz YIQVQDKOXMMTEO-UHFFFAOYSA-N -1 1 315.322 1.802 20 0 DDADMM CC(C)c1ccc(C(=O)N2CC[N@H+](CCO)C[C@H]2C)c(O)c1 ZINC000457101956 420612958 /nfs/dbraw/zinc/61/29/58/420612958.db2.gz QXTHJSIEIFAHSH-CYBMUJFWSA-N -1 1 306.406 1.654 20 0 DDADMM COCc1nc(C(=O)Nc2nn[n-]n2)c(-c2ccccc2)s1 ZINC000442715035 420703284 /nfs/dbraw/zinc/70/32/84/420703284.db2.gz OXXPESOHCCDSLI-UHFFFAOYSA-N -1 1 316.346 1.722 20 0 DDADMM O=C(NCCC[C@H]1CCOC1)c1nc2ccccc2c(=O)[n-]1 ZINC000454350438 420843780 /nfs/dbraw/zinc/84/37/80/420843780.db2.gz XJHWUMYMIAYXSR-NSHDSACASA-N -1 1 301.346 1.470 20 0 DDADMM Cc1ccc(C=CC(=O)Nc2nn[nH]c2C(=O)NC2CC2)cn1 ZINC000493368774 420831661 /nfs/dbraw/zinc/83/16/61/420831661.db2.gz MOJKJMVMRVZYQR-DAXSKMNVSA-N -1 1 312.333 1.052 20 0 DDADMM Cc1cc(C=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)ccc1F ZINC000493748402 420931069 /nfs/dbraw/zinc/93/10/69/420931069.db2.gz CGZULGNUPMTRTH-XOIDGJRKSA-N -1 1 303.341 1.923 20 0 DDADMM O=C([O-])CCCCCNC(=O)c1n[nH]nc1-c1ccccc1 ZINC000455832027 421067906 /nfs/dbraw/zinc/06/79/06/421067906.db2.gz OLTOGLSLVRPGFN-UHFFFAOYSA-N -1 1 302.334 1.847 20 0 DDADMM Cc1ccc(S(=O)(=O)N=c2cc(OC(F)F)n(C)[n-]2)o1 ZINC000488321687 421082030 /nfs/dbraw/zinc/08/20/30/421082030.db2.gz FWZNAARTPRFHSY-UHFFFAOYSA-N -1 1 307.278 1.146 20 0 DDADMM Cc1c(NC(=O)c2ccc(O)cc2[O-])cnn1[C@H]1CCOC1 ZINC000456259078 421134466 /nfs/dbraw/zinc/13/44/66/421134466.db2.gz LQNVHBDWVMYGFS-JTQLQIEISA-N -1 1 303.318 1.816 20 0 DDADMM Cc1cc(C)n([C@H](C)C(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000522855811 421226456 /nfs/dbraw/zinc/22/64/56/421226456.db2.gz WDMQKJLZKGAFGS-LLVKDONJSA-N -1 1 303.370 1.160 20 0 DDADMM COC(=O)[C@H]1CC[C@@H](NC(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000560022774 421231618 /nfs/dbraw/zinc/23/16/18/421231618.db2.gz IXOGZVKWXGOKCT-WCBMZHEXSA-N -1 1 309.391 1.211 20 0 DDADMM O=C(N[C@H]1CCCc2sccc21)c1cc(=O)n2[n-]cnc2n1 ZINC000546658469 421302687 /nfs/dbraw/zinc/30/26/87/421302687.db2.gz KXDMOCOUPZZZIP-VIFPVBQESA-N -1 1 315.358 1.287 20 0 DDADMM O=C(Cc1cnc2ccccc2c1)NC1(c2nn[n-]n2)CCCC1 ZINC000525882734 421310897 /nfs/dbraw/zinc/31/08/97/421310897.db2.gz XQSSCHCEPSZVAA-UHFFFAOYSA-N -1 1 322.372 1.876 20 0 DDADMM CC(=O)c1ccc(S(=O)(=O)N=c2cc3ccccn3[n-]2)cc1 ZINC000560453251 421267050 /nfs/dbraw/zinc/26/70/50/421267050.db2.gz PUSMEVCDYVWGHP-UHFFFAOYSA-N -1 1 315.354 1.760 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1C[C@@H]1C(=O)[N-]OCc1cccnc1 ZINC000496846089 421326568 /nfs/dbraw/zinc/32/65/68/421326568.db2.gz LEKOQNJRJXFKNE-NWDGAFQWSA-N -1 1 307.350 1.543 20 0 DDADMM O=C(CCc1cnc2ccccc2c1)NC1(c2nn[n-]n2)CC1 ZINC000526929209 421338454 /nfs/dbraw/zinc/33/84/54/421338454.db2.gz JRFVOOGUMRDCEM-UHFFFAOYSA-N -1 1 308.345 1.486 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cnccc1C(F)(F)F)c1nc[nH]n1 ZINC000527192247 421363237 /nfs/dbraw/zinc/36/32/37/421363237.db2.gz FSYBFJDGVIPMRB-LURJTMIESA-N -1 1 321.284 1.258 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(N(C)C)c(F)c1)c1nn[n-]n1 ZINC000547810451 421369469 /nfs/dbraw/zinc/36/94/69/421369469.db2.gz ORRHMMVBGNTSRN-VIFPVBQESA-N -1 1 306.345 1.281 20 0 DDADMM COc1cc(=NS(=O)(=O)c2c(F)cc(F)cc2F)[n-]n1C ZINC000547821986 421370975 /nfs/dbraw/zinc/37/09/75/421370975.db2.gz VYBUXRZDMNAATA-UHFFFAOYSA-N -1 1 321.280 1.069 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCC[C@@H](S(C)(=O)=O)C2)c([O-])c1 ZINC000547976815 421384491 /nfs/dbraw/zinc/38/44/91/421384491.db2.gz NCKBWDBCQLCNKE-WDEREUQCSA-N -1 1 312.391 1.181 20 0 DDADMM CCC[C@H](C)CS(=O)(=O)N[C@H](CN1CCCCC1)C(=O)[O-] ZINC000548222146 421412986 /nfs/dbraw/zinc/41/29/86/421412986.db2.gz KHGFOECHKIMSQV-QWHCGFSZSA-N -1 1 320.455 1.281 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1(Cc2ccc(Cl)cc2)CC1 ZINC000514642226 421442003 /nfs/dbraw/zinc/44/20/03/421442003.db2.gz JKOQPLYCJSZSTA-UHFFFAOYSA-N -1 1 317.780 1.981 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)N1CCC(CCCN2CCOCC2)CC1 ZINC000563131316 421461079 /nfs/dbraw/zinc/46/10/79/421461079.db2.gz YFGCBWPMYCVEML-CYBMUJFWSA-N -1 1 312.410 1.058 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000514904630 421463679 /nfs/dbraw/zinc/46/36/79/421463679.db2.gz MJHOLZGLDYBLRJ-ZJUUUORDSA-N -1 1 305.288 1.354 20 0 DDADMM O=C(NCCc1nc[nH]n1)c1cccc(Br)c1[O-] ZINC000530880675 421618130 /nfs/dbraw/zinc/61/81/30/421618130.db2.gz NJFVAPJZULPPQH-UHFFFAOYSA-N -1 1 311.139 1.245 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H](NC(C)=O)C(C)C)c1 ZINC000554581146 421652581 /nfs/dbraw/zinc/65/25/81/421652581.db2.gz WVVVNBPEKSEKGZ-ZDUSSCGKSA-N -1 1 308.334 1.278 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(Br)c[nH]1)c1nn[n-]n1 ZINC000532334694 421656253 /nfs/dbraw/zinc/65/62/53/421656253.db2.gz LRBUXEYNFVQDOI-SSDOTTSWSA-N -1 1 313.159 1.562 20 0 DDADMM CO[C@H](C)c1nc(=NC[C@@H]2CCCCS2(=O)=O)s[n-]1 ZINC000554687256 421658779 /nfs/dbraw/zinc/65/87/79/421658779.db2.gz CPHBJUNARSFBRF-BDAKNGLRSA-N -1 1 305.425 1.047 20 0 DDADMM O=C(c1cc2c(s1)CCOC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000537124376 421727799 /nfs/dbraw/zinc/72/77/99/421727799.db2.gz NUDJHPLXJFEMOX-SECBINFHSA-N -1 1 319.390 1.354 20 0 DDADMM O=C(c1cc2ccccc2c(=O)[nH]1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000533572994 421679816 /nfs/dbraw/zinc/67/98/16/421679816.db2.gz QJURSHLMMHRAKW-NSHDSACASA-N -1 1 324.344 1.473 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1sccc1Cl)c1ncn(C)n1 ZINC000571631688 421732831 /nfs/dbraw/zinc/73/28/31/421732831.db2.gz PTZQRSYVFCSMOQ-ZCFIWIBFSA-N -1 1 306.800 1.570 20 0 DDADMM CC[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]2)CCN1Cc1ccccc1 ZINC000581102059 421900188 /nfs/dbraw/zinc/90/01/88/421900188.db2.gz KNRAGOPXUBSGAQ-CYBMUJFWSA-N -1 1 315.377 1.247 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@@H](CCO)c1ccc(Cl)cc1 ZINC000635311374 421900915 /nfs/dbraw/zinc/90/09/15/421900915.db2.gz AIXDGRMZXOHHKJ-ZDUSSCGKSA-N -1 1 323.780 1.985 20 0 DDADMM O=S(=O)([N-]C1CCSCC1)c1c[nH]nc1C(F)(F)F ZINC000631865949 421901644 /nfs/dbraw/zinc/90/16/44/421901644.db2.gz RJLMIZZKJCYQPH-UHFFFAOYSA-N -1 1 315.342 1.602 20 0 DDADMM COC[C@@]1(CO)CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000633356520 421859667 /nfs/dbraw/zinc/85/96/67/421859667.db2.gz AWPMQCMHRKWKCR-HNNXBMFYSA-N -1 1 313.781 1.446 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@@H]1CCCN1C1CC1)Cc1ccccc1 ZINC000630137902 421912135 /nfs/dbraw/zinc/91/21/35/421912135.db2.gz ZOTHTURGZPOCLM-HOCLYGCPSA-N -1 1 316.401 1.673 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H](C(=O)[O-])c1ccccc1F ZINC000630147383 421918989 /nfs/dbraw/zinc/91/89/89/421918989.db2.gz URZYPRFSHJNGLS-NOZJJQNGSA-N -1 1 305.309 1.670 20 0 DDADMM CC(C)(C)NC(=O)CC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000631896276 421922965 /nfs/dbraw/zinc/92/29/65/421922965.db2.gz UESXCDBFRVRYMD-UHFFFAOYSA-N -1 1 324.349 1.800 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CCc2ccc(C(=O)[O-])cc2C1 ZINC000630156611 421925968 /nfs/dbraw/zinc/92/59/68/421925968.db2.gz MUVBTEHNIUNDMD-LLVKDONJSA-N -1 1 313.357 1.871 20 0 DDADMM COc1ccc(F)cc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630225229 421974983 /nfs/dbraw/zinc/97/49/83/421974983.db2.gz XIFSDTATNFMCKZ-UHFFFAOYSA-N -1 1 324.352 1.455 20 0 DDADMM CC(C)c1nn(C)cc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630227083 421977708 /nfs/dbraw/zinc/97/77/08/421977708.db2.gz VTBDAUFHCSDTBN-UHFFFAOYSA-N -1 1 322.409 1.165 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CC[C@H](C(=O)[O-])[C@@H]2CCC[C@H]21 ZINC000630282013 422004814 /nfs/dbraw/zinc/00/48/14/422004814.db2.gz GWIXLLADRUINBP-VZZFWQQMSA-N -1 1 305.378 1.690 20 0 DDADMM CCN(Cc1c(F)cccc1F)C(=O)CCCc1nn[n-]n1 ZINC000635469914 422016679 /nfs/dbraw/zinc/01/66/79/422016679.db2.gz RJUYQMHYWMJICB-UHFFFAOYSA-N -1 1 309.320 1.849 20 0 DDADMM CCC[C@@](C)(O)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632011072 422018827 /nfs/dbraw/zinc/01/88/27/422018827.db2.gz MUJZMCMJBOYCKV-SECBINFHSA-N -1 1 315.317 1.258 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](CO)c2cccc(F)c2)sn1 ZINC000632014604 422022126 /nfs/dbraw/zinc/02/21/26/422022126.db2.gz NGMRJEMYDLHZRL-NSHDSACASA-N -1 1 316.379 1.603 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ccc3c(c2)COC3)sn1 ZINC000632123118 422104636 /nfs/dbraw/zinc/10/46/36/422104636.db2.gz NWAVINZFKGJPBX-UHFFFAOYSA-N -1 1 310.400 1.960 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C[C@H](C)n2ccnc2)c1 ZINC000574406284 422104648 /nfs/dbraw/zinc/10/46/48/422104648.db2.gz RTNHJPBHDBGERD-JTQLQIEISA-N -1 1 303.318 1.965 20 0 DDADMM CCC[C@@H](CCO)CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632050307 422051762 /nfs/dbraw/zinc/05/17/62/422051762.db2.gz DEXZOBPWCMZDAL-NSHDSACASA-N -1 1 317.407 1.478 20 0 DDADMM C[C@@H]1CCN(Cc2nc(N)c3ccccc3n2)C[C@@H]1C(=O)[O-] ZINC000574518419 422125982 /nfs/dbraw/zinc/12/59/82/422125982.db2.gz GRHIAWBPVUGPDU-PWSUYJOCSA-N -1 1 300.362 1.585 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)[C@H]2CC[C@H](O)CC2)c1 ZINC000632260506 422201350 /nfs/dbraw/zinc/20/13/50/422201350.db2.gz MTLJAWWESXDRPV-XYPYZODXSA-N -1 1 315.391 1.325 20 0 DDADMM O=C(NCC[C@@H](O)C(F)F)c1cc(Br)ccc1[O-] ZINC000633992415 422204569 /nfs/dbraw/zinc/20/45/69/422204569.db2.gz FJSZJFMRYSGZQX-SECBINFHSA-N -1 1 324.121 1.901 20 0 DDADMM CN(C)c1nccc(CNC(=O)Cc2ccc([O-])c(Cl)c2)n1 ZINC000632303291 422235458 /nfs/dbraw/zinc/23/54/58/422235458.db2.gz HJMHSWNGDSQTDB-UHFFFAOYSA-N -1 1 320.780 1.761 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)Nc1cc(C(=O)[O-])ccc1F ZINC000583603063 422193046 /nfs/dbraw/zinc/19/30/46/422193046.db2.gz KZAZVAZHVHZMPS-UHFFFAOYSA-N -1 1 315.282 1.034 20 0 DDADMM CN(C(=O)c1c(F)ccc([O-])c1F)[C@H]1CCCCN(C)C1=O ZINC000634137296 422280074 /nfs/dbraw/zinc/28/00/74/422280074.db2.gz JDKACQBMGPXXKV-JTQLQIEISA-N -1 1 312.316 1.753 20 0 DDADMM CC(C)C[C@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)n1ccnc1 ZINC000635724146 422282799 /nfs/dbraw/zinc/28/27/99/422282799.db2.gz GBFRFGOVEYRTPY-CHWSQXEVSA-N -1 1 317.397 1.390 20 0 DDADMM CN(C(=O)c1csc(=NC2CC2)[n-]1)[C@H]1CCCCN(C)C1=O ZINC000634144422 422283431 /nfs/dbraw/zinc/28/34/31/422283431.db2.gz QUPVUOUJGSJKQW-LBPRGKRZSA-N -1 1 322.434 1.222 20 0 DDADMM Cc1cn2ccc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)cc2n1 ZINC000635725866 422286899 /nfs/dbraw/zinc/28/68/99/422286899.db2.gz QNGQLADKCXTLOE-LBPRGKRZSA-N -1 1 311.349 1.176 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCn2cccc2[C@@H]1c1ccccc1 ZINC000630806797 422347327 /nfs/dbraw/zinc/34/73/27/422347327.db2.gz JLUCQTXIEICFHW-KRWDZBQOSA-N -1 1 322.372 1.566 20 0 DDADMM O=C1CC[C@@H]([N-]S(=O)(=O)c2sccc2Cl)CCN1 ZINC000632473540 422372483 /nfs/dbraw/zinc/37/24/83/422372483.db2.gz GYCWHUPNJNJUQT-SSDOTTSWSA-N -1 1 308.812 1.349 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]([C@@H](CO)C2CC2)C2CC2)sn1 ZINC000632411451 422321607 /nfs/dbraw/zinc/32/16/07/422321607.db2.gz BEXYIGOWQXFPJF-WCQYABFASA-N -1 1 316.448 1.527 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](c1ccc(F)cc1)C1CCC1 ZINC000630927923 422417485 /nfs/dbraw/zinc/41/74/85/422417485.db2.gz OPRBTLOEYIEWGE-OAHLLOKOSA-N -1 1 303.341 1.929 20 0 DDADMM COC[C@H](CC[N-]S(=O)(=O)c1ccc(C(F)F)o1)OC ZINC000632546192 422427024 /nfs/dbraw/zinc/42/70/24/422427024.db2.gz MKLCSUPXKDNNQT-QMMMGPOBSA-N -1 1 313.322 1.547 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(F)cc(F)c1)[C@H](O)C(F)(F)F ZINC000632673552 422508699 /nfs/dbraw/zinc/50/86/99/422508699.db2.gz NTNYCFWSEMMAGK-ANLVUFKYSA-N -1 1 319.251 1.555 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CC1CCN(CC(F)F)CC1 ZINC000635957797 422513504 /nfs/dbraw/zinc/51/35/04/422513504.db2.gz MZFHDOMAHMUWNX-UHFFFAOYSA-N -1 1 302.325 1.729 20 0 DDADMM C[C@@H]1CN(C(=O)c2csc(=NC3CC3)[n-]2)CC2(CCC2)O1 ZINC000578196013 422519751 /nfs/dbraw/zinc/51/97/51/422519751.db2.gz VTXBSKQYMZPZTE-SNVBAGLBSA-N -1 1 307.419 1.923 20 0 DDADMM CCOCCNC(=O)CSc1nc(C)c(C2CC2)c(=O)[n-]1 ZINC000631024034 422477604 /nfs/dbraw/zinc/47/76/04/422477604.db2.gz JNCWFQBRULLCFX-UHFFFAOYSA-N -1 1 311.407 1.613 20 0 DDADMM Cc1nc(SCCN2C(=O)CCC2=O)[n-]c(=O)c1C1CC1 ZINC000631024487 422478097 /nfs/dbraw/zinc/47/80/97/422478097.db2.gz AEGTZMDBEPEXRA-UHFFFAOYSA-N -1 1 307.375 1.609 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(Cc1ccco1)C[C@@H]1CCCO1 ZINC000635941859 422494559 /nfs/dbraw/zinc/49/45/59/422494559.db2.gz NVRHBJHRJRUUKY-ZDUSSCGKSA-N -1 1 319.365 1.323 20 0 DDADMM COC[C@@](C)(O)CC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000578294199 422538679 /nfs/dbraw/zinc/53/86/79/422538679.db2.gz SPNGDEKTQAFVJF-AWEZNQCLSA-N -1 1 313.375 1.499 20 0 DDADMM CC1(C)C[C@@H](S(=O)(=O)Nc2ccc(C(=O)[O-])cc2O)CO1 ZINC000629296959 422590426 /nfs/dbraw/zinc/59/04/26/422590426.db2.gz QIAQLGNBPPECJG-SECBINFHSA-N -1 1 315.347 1.400 20 0 DDADMM CC(C)c1nnc(CN2CCN(C(C)(C)C(=O)[O-])CC2)s1 ZINC000629361990 422631460 /nfs/dbraw/zinc/63/14/60/422631460.db2.gz OJVPEMZHWKSGNF-UHFFFAOYSA-N -1 1 312.439 1.642 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn2c1CCCC2)C(C)C ZINC000634796645 422653105 /nfs/dbraw/zinc/65/31/05/422653105.db2.gz ZXEHWLNPOJUFAJ-ZDUSSCGKSA-N -1 1 301.412 1.632 20 0 DDADMM O=C(CCc1nn[n-]n1)N(CCc1ccc(F)cc1)C1CC1 ZINC000631341896 422700448 /nfs/dbraw/zinc/70/04/48/422700448.db2.gz XMDVDVJMQIGUAT-UHFFFAOYSA-N -1 1 303.341 1.505 20 0 DDADMM C[C@@H]1CN(c2ccc(C(=O)Nc3nnn[n-]3)cc2)C[C@@H](C)O1 ZINC000631359058 422712917 /nfs/dbraw/zinc/71/29/17/422712917.db2.gz DZIJLDCIRPHRCA-NXEZZACHSA-N -1 1 302.338 1.066 20 0 DDADMM C[C@@H]1CN(c2ccc(C(=O)Nc3nn[n-]n3)cc2)C[C@@H](C)O1 ZINC000631359058 422712923 /nfs/dbraw/zinc/71/29/23/422712923.db2.gz DZIJLDCIRPHRCA-NXEZZACHSA-N -1 1 302.338 1.066 20 0 DDADMM C[C@H](CCO)N(C)C(=O)c1cc(Br)ccc1[O-] ZINC000652964889 423237269 /nfs/dbraw/zinc/23/72/69/423237269.db2.gz KUSVQEVUEOJHOQ-MRVPVSSYSA-N -1 1 302.168 1.998 20 0 DDADMM Cn1nccc1[C@H]1COCCN1C(=O)c1ccc([O-])cc1F ZINC000652985265 423246808 /nfs/dbraw/zinc/24/68/08/423246808.db2.gz JUOYFGFOBRAGLS-CQSZACIVSA-N -1 1 305.309 1.479 20 0 DDADMM COc1cccnc1CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000646067688 423321731 /nfs/dbraw/zinc/32/17/31/423321731.db2.gz ZBPJEDARIYJYMI-SNVBAGLBSA-N -1 1 318.333 1.128 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@@H]2CCc3[nH]cnc3C2)C[C@@H]1C(=O)[O-] ZINC000646158169 423372831 /nfs/dbraw/zinc/37/28/31/423372831.db2.gz HTQYDSZDOYZTNA-MXWKQRLJSA-N -1 1 306.366 1.019 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCN(c2ccc(F)cc2)C1=O ZINC000646409379 423478055 /nfs/dbraw/zinc/47/80/55/423478055.db2.gz HEIAMRVCCFZUJJ-NSHDSACASA-N -1 1 318.308 1.554 20 0 DDADMM Cc1nc(-c2ncccn2)sc1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000646410326 423479681 /nfs/dbraw/zinc/47/96/81/423479681.db2.gz CXLMPKSPVZYJAX-UHFFFAOYSA-N -1 1 316.346 1.898 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccccc1CN1CCOCC1 ZINC000646411797 423479733 /nfs/dbraw/zinc/47/97/33/423479733.db2.gz SEGJLKPSNKFIOB-UHFFFAOYSA-N -1 1 316.361 1.508 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](CC(C)C)N1CCCCC1=O ZINC000646411379 423480077 /nfs/dbraw/zinc/48/00/77/423480077.db2.gz DPAVMGYYUMRJEM-LLVKDONJSA-N -1 1 308.382 1.789 20 0 DDADMM Cn1c(=O)n2c(c1C(=O)Nc1c([O-])cccc1F)CCCC2 ZINC000648940581 423555559 /nfs/dbraw/zinc/55/55/59/423555559.db2.gz OIIOYYLGZOFTJB-UHFFFAOYSA-N -1 1 305.309 1.620 20 0 DDADMM Cn1nc(C(=O)NC2(c3nn[n-]n3)CCCC2)c2c1CCCC2 ZINC000648965308 423567815 /nfs/dbraw/zinc/56/78/15/423567815.db2.gz MNBRLQUCEMSZIJ-UHFFFAOYSA-N -1 1 315.381 1.011 20 0 DDADMM C[C@@H]1C(=O)N(C)CN1C(=O)c1ccc(Br)cc1[O-] ZINC000649159830 423654150 /nfs/dbraw/zinc/65/41/50/423654150.db2.gz WTXCATHBDVGGHY-SSDOTTSWSA-N -1 1 313.151 1.415 20 0 DDADMM CN1CC(=O)N(CCSc2nc(C(F)F)cc(=O)[n-]2)C1=O ZINC000641485230 423665106 /nfs/dbraw/zinc/66/51/06/423665106.db2.gz JFTBABFBGBXAMA-UHFFFAOYSA-N -1 1 318.305 1.106 20 0 DDADMM Cn1nccc1[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCO1 ZINC000654051126 423683334 /nfs/dbraw/zinc/68/33/34/423683334.db2.gz BMPIKDZCIXLYGL-MFKMUULPSA-N -1 1 302.334 1.171 20 0 DDADMM CN(C)c1ccc(CN2CCC[C@H](c3n[n-]c(=N)o3)C2)cn1 ZINC000639820502 423757156 /nfs/dbraw/zinc/75/71/56/423757156.db2.gz BQYQFXUKWZTZAT-LBPRGKRZSA-N -1 1 302.382 1.323 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)C[C@@H](OC)C2CC2)cc1 ZINC000647123154 423763761 /nfs/dbraw/zinc/76/37/61/423763761.db2.gz ZVVVJYKFXCOOGH-CYBMUJFWSA-N -1 1 312.391 1.213 20 0 DDADMM CCCCCNC(=O)[C@H](C)N1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639823605 423759828 /nfs/dbraw/zinc/75/98/28/423759828.db2.gz CJIYANNRJTVLJM-RYUDHWBXSA-N -1 1 309.414 1.356 20 0 DDADMM COc1cc(O)cc([N-]S(=O)(=O)C[C@@H](OC)C(C)C)c1 ZINC000647129852 423767517 /nfs/dbraw/zinc/76/75/17/423767517.db2.gz FLWKFAKNQFDGFX-CYBMUJFWSA-N -1 1 303.380 1.814 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)NC[C@@H](N)c1ccccc1OC ZINC000656853812 423796300 /nfs/dbraw/zinc/79/63/00/423796300.db2.gz PGOYAZPEIGFYDL-GFCCVEGCSA-N -1 1 308.378 1.702 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cccnc1OCC(F)F)C(F)F ZINC000641705654 423889508 /nfs/dbraw/zinc/88/95/08/423889508.db2.gz NIJAFLURUBQDNI-LURJTMIESA-N -1 1 316.276 1.657 20 0 DDADMM O=C([O-])c1cncc(NC2CCN(Cc3ccccn3)CC2)n1 ZINC000649384779 423832019 /nfs/dbraw/zinc/83/20/19/423832019.db2.gz ITQYLTONOFQMPV-UHFFFAOYSA-N -1 1 313.361 1.646 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCSC[C@H]1C1CC1 ZINC000641765719 423959554 /nfs/dbraw/zinc/95/95/54/423959554.db2.gz SNTGRYWICKXVMF-ZDUSSCGKSA-N -1 1 315.398 1.891 20 0 DDADMM C[C@@H]1C[N@H+](C2CC2)C[C@H]1NC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC000654887338 424000504 /nfs/dbraw/zinc/00/05/04/424000504.db2.gz FKZWBTQUGCOMEI-NOZJJQNGSA-N -1 1 302.378 1.272 20 0 DDADMM O=C(c1ccn(C(F)F)n1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644883889 424010925 /nfs/dbraw/zinc/01/09/25/424010925.db2.gz GVMVYVIGLNBSNH-ZETCQYMHSA-N -1 1 313.264 1.387 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1cccc(F)c1F)[C@@H]1CCOC1 ZINC000657047522 424037319 /nfs/dbraw/zinc/03/73/19/424037319.db2.gz QXXQUKKKMKLOQX-MWLCHTKSSA-N -1 1 321.345 1.295 20 0 DDADMM O=C(C[C@H]1OCc2ccccc21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000654944622 424042269 /nfs/dbraw/zinc/04/22/69/424042269.db2.gz WWDXQPVYBANPAJ-BXUZGUMPSA-N -1 1 313.361 1.567 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](O)C12CCC2)c1cccc(F)c1F ZINC000657183751 424192401 /nfs/dbraw/zinc/19/24/01/424192401.db2.gz DCWIUFSLCXKPHR-QWRGUYRKSA-N -1 1 303.330 1.547 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2ccc(F)cc2OC)on1 ZINC000660010279 424452399 /nfs/dbraw/zinc/45/23/99/424452399.db2.gz HJWXKXSNKXVALI-UHFFFAOYSA-N -1 1 316.310 1.309 20 0 DDADMM CC(C)N1CC[C@H](N2Cc3ccccc3[C@H](C(=O)[O-])C2)C1=O ZINC000662224887 424492299 /nfs/dbraw/zinc/49/22/99/424492299.db2.gz WXKFQBAUOPBCDY-CABCVRRESA-N -1 1 302.374 1.680 20 0 DDADMM COc1ccc([C@H]2CCN([C@@H]3CCN(CC(=O)[O-])C3=O)C2)cc1 ZINC000662202784 424470214 /nfs/dbraw/zinc/47/02/14/424470214.db2.gz OZFJHBDAJGHKBM-DZGCQCFKSA-N -1 1 318.373 1.170 20 0 DDADMM C[C@@H]1C[C@@H](c2ccccc2F)N([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662207321 424472936 /nfs/dbraw/zinc/47/29/36/424472936.db2.gz XAJAEQTXFMZZLJ-UGFHNGPFSA-N -1 1 320.364 1.894 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](C)c2nccn2C)sc1C ZINC000660103354 424526966 /nfs/dbraw/zinc/52/69/66/424526966.db2.gz BVNGLIGMQWMNII-MRVPVSSYSA-N -1 1 300.409 1.533 20 0 DDADMM CC(C)(C)C(F)(F)C[N-]S(=O)(=O)c1cnn2c1OCCC2 ZINC000660107712 424533012 /nfs/dbraw/zinc/53/30/12/424533012.db2.gz MRWQWNLRTCDYTL-UHFFFAOYSA-N -1 1 323.365 1.625 20 0 DDADMM C[C@@]1(C(F)F)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000664497245 424611825 /nfs/dbraw/zinc/61/18/25/424611825.db2.gz VKQOQZXYOQSZQQ-HNNXBMFYSA-N -1 1 323.299 1.419 20 0 DDADMM CCOC(=O)NCCCC(=O)Nc1cc(C(=O)OC)ccc1[O-] ZINC000282810317 271001018 /nfs/dbraw/zinc/00/10/18/271001018.db2.gz OVBYYWGELZSSFP-UHFFFAOYSA-N -1 1 324.333 1.644 20 0 DDADMM CC[C@H](C)[C@@H]([N-]S(=O)(=O)C[C@H]1CCCCO1)C(=O)OC ZINC000344981285 272149920 /nfs/dbraw/zinc/14/99/20/272149920.db2.gz IPBJEZJIBOICDP-QJPTWQEYSA-N -1 1 307.412 1.063 20 0 DDADMM O=C(Nc1ccccc1CN1CCNC1=O)c1cncc([O-])c1 ZINC000346816397 272553458 /nfs/dbraw/zinc/55/34/58/272553458.db2.gz ATIROMHLASFAHM-UHFFFAOYSA-N -1 1 312.329 1.565 20 0 DDADMM CC(C)C[C@H](CNC(=O)N[C@@H]1CCCc2cn[nH]c21)C(=O)[O-] ZINC000424411608 279155547 /nfs/dbraw/zinc/15/55/47/279155547.db2.gz OSIQVSVLKYAQQF-VXGBXAGGSA-N -1 1 308.382 1.833 20 0 DDADMM CSC[C@@](C)(O)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000120382163 281117017 /nfs/dbraw/zinc/11/70/17/281117017.db2.gz QXGNJLOXMVUVIN-ZDUSSCGKSA-N -1 1 315.416 1.825 20 0 DDADMM CCOC(=O)Cc1nnc([N-]C(=O)c2c(C)noc2C)s1 ZINC000128516274 281313803 /nfs/dbraw/zinc/31/38/03/281313803.db2.gz VNPVLXODBBERSR-UHFFFAOYSA-N -1 1 310.335 1.501 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)C2CCCCC2)co1 ZINC000153261391 281785571 /nfs/dbraw/zinc/78/55/71/281785571.db2.gz ZGNUSSJQCUWFJL-JTQLQIEISA-N -1 1 314.407 1.886 20 0 DDADMM COCCc1nc(=N[C@H](C)CC(=O)OC(C)(C)C)s[n-]1 ZINC000444824768 292732403 /nfs/dbraw/zinc/73/24/03/292732403.db2.gz BRRBDIPJAUDVGS-SECBINFHSA-N -1 1 301.412 1.681 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCOC[C@@H]1C ZINC000278519150 293197777 /nfs/dbraw/zinc/19/77/77/293197777.db2.gz MSRSLDJZYMTAPD-ONGXEEELSA-N -1 1 307.394 1.943 20 0 DDADMM CCO[C@@H](CC(=O)NC1(c2nn[n-]n2)CCCC1)C1=CCCC1 ZINC000362601118 300103865 /nfs/dbraw/zinc/10/38/65/300103865.db2.gz SAQJPYSAGQGBAG-ZDUSSCGKSA-N -1 1 319.409 1.991 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CC3CCC2CC3)o1 ZINC000362917920 300178354 /nfs/dbraw/zinc/17/83/54/300178354.db2.gz LVEJLJHNWKXKLU-VQXHTEKXSA-N -1 1 313.375 1.923 20 0 DDADMM CCCc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000363517708 300279223 /nfs/dbraw/zinc/27/92/23/300279223.db2.gz MQYGZXHRIGAQNW-CYBMUJFWSA-N -1 1 301.350 1.366 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1C[C@@H]1c1cccnc1 ZINC000368273245 300991433 /nfs/dbraw/zinc/99/14/33/300991433.db2.gz QDDYJZAYLMZUBX-VXGBXAGGSA-N -1 1 314.345 1.156 20 0 DDADMM O=C([C@@H](F)CC1CCCCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000369141525 301136150 /nfs/dbraw/zinc/13/61/50/301136150.db2.gz CDAJSTBABYXKLV-NWDGAFQWSA-N -1 1 311.361 1.408 20 0 DDADMM CO[C@H](C)c1nsc(=NC[C@@H]2CN3CCCC[C@H]3CO2)[n-]1 ZINC000370285851 301309504 /nfs/dbraw/zinc/30/95/04/301309504.db2.gz QIMBFGILYWJJNZ-GRYCIOLGSA-N -1 1 312.439 1.333 20 0 DDADMM O=C1[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)CN1c1ccccc1 ZINC000375098772 301894106 /nfs/dbraw/zinc/89/41/06/301894106.db2.gz DVRHPOYRKVZNCO-JTQLQIEISA-N -1 1 322.308 1.274 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCC[C@@]1(CO)Cc1ccccc1 ZINC000376007220 302023544 /nfs/dbraw/zinc/02/35/44/302023544.db2.gz HKQMKEXHGQQUEX-SFHVURJKSA-N -1 1 312.369 1.997 20 0 DDADMM O=C(NCCc1cn[nH]c1)c1ccc(Br)c([O-])c1 ZINC000357013831 306867522 /nfs/dbraw/zinc/86/75/22/306867522.db2.gz HPCXSERROPIHMC-UHFFFAOYSA-N -1 1 310.151 1.850 20 0 DDADMM CC1(C)CCC[C@H]1CCN=c1[n-]cc(S(N)(=O)=O)s1 ZINC000528066192 303001060 /nfs/dbraw/zinc/00/10/60/303001060.db2.gz DCXVMFQOBGVANH-VIFPVBQESA-N -1 1 303.453 1.841 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@@H]1CCCO1)c1cc2ccccc2o1 ZINC000528487410 303033702 /nfs/dbraw/zinc/03/37/02/303033702.db2.gz MCMRVSPQIYSMHG-YPMHNXCESA-N -1 1 311.359 1.251 20 0 DDADMM CC(C)[C@@H]1CNCCN1C(=O)N=c1ccc(C(F)(F)F)n[n-]1 ZINC000528692302 303056447 /nfs/dbraw/zinc/05/64/47/303056447.db2.gz WUOBCJGHHQVETR-VIFPVBQESA-N -1 1 317.315 1.379 20 0 DDADMM O=S(=O)([N-]Cc1cccnc1)c1cc(F)c(F)cc1F ZINC000357375038 306879774 /nfs/dbraw/zinc/87/97/74/306879774.db2.gz MGXKQVVTRBZELD-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM CN1CCC[C@@H]([N-]S(=O)(=O)c2cc3ccccc3o2)C1=O ZINC000531009794 303224485 /nfs/dbraw/zinc/22/44/85/303224485.db2.gz LFNLTVCLWLALIJ-LLVKDONJSA-N -1 1 308.359 1.332 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]c1ccn(Cc2ccccc2)n1 ZINC000532468023 303287390 /nfs/dbraw/zinc/28/73/90/303287390.db2.gz YRPFNJQGFXRYRI-UHFFFAOYSA-N -1 1 308.407 1.930 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2(C(N)=O)CCCC2)sc1C ZINC000532496232 303287894 /nfs/dbraw/zinc/28/78/94/303287894.db2.gz ULVYEPUBZAPWSA-UHFFFAOYSA-N -1 1 317.436 1.084 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@H]([C@H]2CCOC2)C1 ZINC000533076437 303307132 /nfs/dbraw/zinc/30/71/32/303307132.db2.gz DBYKHGSLZARICQ-RYUDHWBXSA-N -1 1 313.357 1.422 20 0 DDADMM CCOC(=O)c1cn(CCNC(=O)c2ccc([O-])cc2F)cn1 ZINC000539920607 303401290 /nfs/dbraw/zinc/40/12/90/303401290.db2.gz PFHRQKKXPGCAMA-UHFFFAOYSA-N -1 1 321.308 1.335 20 0 DDADMM CN(C)[C@]1(C(=O)[N-]OC[C@H]2CCOC2)CCc2ccccc21 ZINC000365079232 307057963 /nfs/dbraw/zinc/05/79/63/307057963.db2.gz UOQINCFHKOKOCX-SUMWQHHRSA-N -1 1 304.390 1.474 20 0 DDADMM CCCOc1cccnc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000365288835 307063774 /nfs/dbraw/zinc/06/37/74/307063774.db2.gz LWBPRKCEBUYFOF-LLVKDONJSA-N -1 1 316.365 1.403 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1Cc2cccc(Cl)c2C1 ZINC000367683906 307101889 /nfs/dbraw/zinc/10/18/89/307101889.db2.gz GMSBAXNTIBHQAI-UHFFFAOYSA-N -1 1 313.766 1.753 20 0 DDADMM O=C(C[C@H]1CCCCC(=O)N1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000374217213 307203937 /nfs/dbraw/zinc/20/39/37/307203937.db2.gz KKLSZEDTHFLABR-ZCFIWIBFSA-N -1 1 305.260 1.211 20 0 DDADMM C[C@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C[C@H](C2CC2)O1 ZINC000376596171 307248885 /nfs/dbraw/zinc/24/88/85/307248885.db2.gz BQPILNQOISRHMB-MQCOHJFUSA-N -1 1 315.377 1.373 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2c[nH]cn2)c(-c2nnc[nH]2)c1 ZINC000378329131 307285878 /nfs/dbraw/zinc/28/58/78/307285878.db2.gz MAQIYGKUCCDPJN-UHFFFAOYSA-N -1 1 304.335 1.304 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cc2cc(F)ccc2o1 ZINC000520208352 307457247 /nfs/dbraw/zinc/45/72/47/307457247.db2.gz KZNPGNZHXYOAHO-UHFFFAOYSA-N -1 1 316.292 1.637 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)c1cn(C[C@H]2CCOC2)nn1 ZINC000528875716 307550798 /nfs/dbraw/zinc/55/07/98/307550798.db2.gz LCNWHKWDZQQART-SECBINFHSA-N -1 1 322.752 1.926 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H]2c2ccc(=O)[nH]n2)c([O-])c1 ZINC000530081105 307582780 /nfs/dbraw/zinc/58/27/80/307582780.db2.gz WRKJTCSTPUWSRS-LLVKDONJSA-N -1 1 300.318 1.569 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H](C)Cn1cccn1 ZINC000531785679 307623457 /nfs/dbraw/zinc/62/34/57/307623457.db2.gz UCRKPBNIBYLNTB-VIFPVBQESA-N -1 1 321.406 1.475 20 0 DDADMM O=C(N[C@@H](c1ccccc1)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000542771980 307700622 /nfs/dbraw/zinc/70/06/22/307700622.db2.gz FZGLKILMSCGMCR-AWEZNQCLSA-N -1 1 309.329 1.299 20 0 DDADMM O=C([O-])c1cn([C@@H]2CCCN(Cc3ccccc3F)C2)nn1 ZINC000565110924 308021336 /nfs/dbraw/zinc/02/13/36/308021336.db2.gz HDLLKHJTMYSVBC-GFCCVEGCSA-N -1 1 304.325 1.953 20 0 DDADMM COc1cccc(CN2CCC(n3cc(C(=O)[O-])cn3)CC2)n1 ZINC000565367759 308028666 /nfs/dbraw/zinc/02/86/66/308028666.db2.gz RLJOTFFXBDBWLA-UHFFFAOYSA-N -1 1 316.361 1.822 20 0 DDADMM C[C@@H](CN(C)C(=O)c1scnc1C(F)(F)F)c1nn[n-]n1 ZINC000569864808 308151771 /nfs/dbraw/zinc/15/17/71/308151771.db2.gz RKRUBHMTGPEYJQ-YFKPBYRVSA-N -1 1 320.300 1.551 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCC(CCO)CC3)cnc2n1 ZINC000574214896 308264912 /nfs/dbraw/zinc/26/49/12/308264912.db2.gz PDJGFTQJJCGBHW-UHFFFAOYSA-N -1 1 315.373 1.878 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCC(CCO)CC3)c[n-]c2n1 ZINC000574214896 308264913 /nfs/dbraw/zinc/26/49/13/308264913.db2.gz PDJGFTQJJCGBHW-UHFFFAOYSA-N -1 1 315.373 1.878 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCCc1cccs1 ZINC000574367019 308268964 /nfs/dbraw/zinc/26/89/64/308268964.db2.gz OUXWPCCVYWVUOT-UHFFFAOYSA-N -1 1 301.393 1.368 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCCc1cccs1 ZINC000574367019 308268965 /nfs/dbraw/zinc/26/89/65/308268965.db2.gz OUXWPCCVYWVUOT-UHFFFAOYSA-N -1 1 301.393 1.368 20 0 DDADMM O=C(Cc1noc2ccccc12)NC1(c2nn[n-]n2)CCCC1 ZINC000576905829 308348395 /nfs/dbraw/zinc/34/83/95/308348395.db2.gz RAXQFQJPZBCJMA-UHFFFAOYSA-N -1 1 312.333 1.469 20 0 DDADMM C[C@@H]1CCN(Cc2cn(-c3ccccc3)nn2)C[C@@H]1C(=O)[O-] ZINC000577179084 308368434 /nfs/dbraw/zinc/36/84/34/308368434.db2.gz ZBKJGNCEGFXYAE-DOMZBBRYSA-N -1 1 300.362 1.810 20 0 DDADMM C[C@H]1[C@H](C(=O)[O-])CCN1c1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000577182493 308368721 /nfs/dbraw/zinc/36/87/21/308368721.db2.gz MZGYHWLWUGHFLF-NTSWFWBYSA-N -1 1 315.255 1.671 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN(Cc2nc3ccccc3[nH]2)C1 ZINC000577185645 308369036 /nfs/dbraw/zinc/36/90/36/308369036.db2.gz UQHUWBZIRGZNTL-MRXNPFEDSA-N -1 1 303.362 1.876 20 0 DDADMM CC(=O)c1cccc(NC(=O)C(=O)c2c(C)nn(C)c2C)c1[O-] ZINC000578276452 308450281 /nfs/dbraw/zinc/45/02/81/308450281.db2.gz NEDAHQRZEDPRFR-UHFFFAOYSA-N -1 1 315.329 1.767 20 0 DDADMM O=c1cnc2cc(S(=O)(=O)[N-]c3cccc(O)c3)ccc2[nH]1 ZINC000580283118 308592292 /nfs/dbraw/zinc/59/22/92/308592292.db2.gz JIKYSWRTUXYXGS-UHFFFAOYSA-N -1 1 317.326 1.430 20 0 DDADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)CCCC(C)(C)C ZINC000580539860 308611584 /nfs/dbraw/zinc/61/15/84/308611584.db2.gz YPOZRLPDXXFUOF-UHFFFAOYSA-N -1 1 308.444 1.091 20 0 DDADMM C[C@@H]1[C@H](C(=O)[O-])CCCN1C(=O)[C@@H]1NCCc2ccccc21 ZINC000384360076 325751760 /nfs/dbraw/zinc/75/17/60/325751760.db2.gz FVYQDFFTMSJGDH-UXIGCNINSA-N -1 1 302.374 1.585 20 0 DDADMM O=C(CN1CCC[C@@H]1Cc1cc(F)ccc1F)Nc1nn[n-]n1 ZINC000583194133 337264496 /nfs/dbraw/zinc/26/44/96/337264496.db2.gz NECTXQFJFSNKCN-LLVKDONJSA-N -1 1 322.319 1.124 20 0 DDADMM Cc1nc([C@@H](C)NC(=O)N[C@H](C)[C@@H]2C[C@H](C(=O)[O-])C2(C)C)n[nH]1 ZINC000655900729 483992154 /nfs/dbraw/zinc/99/21/54/483992154.db2.gz WGRUVUQFWZADNG-DEKFOEGESA-N -1 1 323.397 1.609 20 0 DDADMM COC[C@H](Cc1ccccc1)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436943433 484129652 /nfs/dbraw/zinc/12/96/52/484129652.db2.gz YVLXFWNRZMVBTL-HNNXBMFYSA-N -1 1 313.353 1.949 20 0 DDADMM NC(=O)[C@@H]1CCCCC[C@H]1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436948797 484130039 /nfs/dbraw/zinc/13/00/39/484130039.db2.gz VRPZPDJJDFNQHI-CHWSQXEVSA-N -1 1 304.346 1.125 20 0 DDADMM COC1CCN(S(=O)(=O)[N-]c2cnoc2C2CC2)CC1 ZINC000656630728 484250558 /nfs/dbraw/zinc/25/05/58/484250558.db2.gz BEUGIZPFVRJTRI-UHFFFAOYSA-N -1 1 301.368 1.320 20 0 DDADMM C[C@@H]1CCCN1S(=O)(=O)[N-]c1ccn(C2CCOCC2)n1 ZINC000656636450 484253835 /nfs/dbraw/zinc/25/38/35/484253835.db2.gz GXEANMDEWWDWJG-LLVKDONJSA-N -1 1 314.411 1.376 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2cccc(C)n2)sc1C ZINC000530478975 484296673 /nfs/dbraw/zinc/29/66/73/484296673.db2.gz JKJXYZAIGKHTJZ-UHFFFAOYSA-N -1 1 311.432 1.984 20 0 DDADMM CC[C@@H](NC(=O)NC[C@@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663271820 484778644 /nfs/dbraw/zinc/77/86/44/484778644.db2.gz QNQFYEMKSJWGAR-UONOGXRCSA-N -1 1 305.378 1.423 20 0 DDADMM CN(C(=O)c1ncc2ccccc2c1[O-])[C@H]1CCS(=O)(=O)C1 ZINC000665526349 484908709 /nfs/dbraw/zinc/90/87/09/484908709.db2.gz ODVGZRUUGFEQJK-NSHDSACASA-N -1 1 320.370 1.200 20 0 DDADMM CC(C)[C@H]1C(=O)NCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000668128992 484972649 /nfs/dbraw/zinc/97/26/49/484972649.db2.gz NSBAAFFBYWYWLW-AWEZNQCLSA-N -1 1 313.357 1.537 20 0 DDADMM NC(=O)N1CC[C@H](CNC(=O)c2cc3ccccc3cc2[O-])C1 ZINC000673490627 485419324 /nfs/dbraw/zinc/41/93/24/485419324.db2.gz FDNUHJWFHYHDFR-LLVKDONJSA-N -1 1 313.357 1.676 20 0 DDADMM Cc1nn(C)cc1[C@H]1CCCN1C(=O)c1cccc2nn[nH]c21 ZINC000682163012 485509232 /nfs/dbraw/zinc/50/92/32/485509232.db2.gz OCNHTQQDVZYKDL-CQSZACIVSA-N -1 1 310.361 1.977 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](CO)CC1CC1 ZINC000678390628 485567575 /nfs/dbraw/zinc/56/75/75/485567575.db2.gz RLBLBZDPCCTGLI-NSHDSACASA-N -1 1 307.394 1.679 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccc(C2CC2)cn1 ZINC000674842672 485776922 /nfs/dbraw/zinc/77/69/22/485776922.db2.gz QIYGOEFMPBJACL-OAHLLOKOSA-N -1 1 320.356 1.992 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)Cc1ccc(C(F)(F)F)cc1 ZINC000679367480 485862394 /nfs/dbraw/zinc/86/23/94/485862394.db2.gz FNNWWRUIIVEAER-UHFFFAOYSA-N -1 1 300.284 1.759 20 0 DDADMM CCC[C@@H](NC(=O)COCc1ccc(OC)cc1)c1nn[n-]n1 ZINC000675143791 485864223 /nfs/dbraw/zinc/86/42/23/485864223.db2.gz DJBWQPZWVVBFJD-CYBMUJFWSA-N -1 1 319.365 1.383 20 0 DDADMM Cc1ccc(-c2n[n-]c(S(=O)(=O)Cc3ccno3)n2)cc1 ZINC000683686632 486080631 /nfs/dbraw/zinc/08/06/31/486080631.db2.gz TYLQVRLWPGZJHJ-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM Cc1ccc(-c2nc(S(=O)(=O)Cc3ccno3)n[n-]2)cc1 ZINC000683686632 486080637 /nfs/dbraw/zinc/08/06/37/486080637.db2.gz TYLQVRLWPGZJHJ-UHFFFAOYSA-N -1 1 304.331 1.742 20 0 DDADMM CCc1nnc([C@@H](C)S(=O)(=O)c2nc(C(C)(C)C)n[n-]2)o1 ZINC000683688394 486081024 /nfs/dbraw/zinc/08/10/24/486081024.db2.gz NJAYLYDNLIYHCK-SSDOTTSWSA-N -1 1 313.383 1.583 20 0 DDADMM CCc1nnc([C@@H](C)S(=O)(=O)c2n[n-]c(C(C)(C)C)n2)o1 ZINC000683688394 486081033 /nfs/dbraw/zinc/08/10/33/486081033.db2.gz NJAYLYDNLIYHCK-SSDOTTSWSA-N -1 1 313.383 1.583 20 0 DDADMM NC(=O)CCCC(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000683860694 486135786 /nfs/dbraw/zinc/13/57/86/486135786.db2.gz JRAJXFLZLNBHKW-UHFFFAOYSA-N -1 1 318.373 1.469 20 0 DDADMM COCCN(CC(=O)[O-])C(=O)[C@H](C)N(C)Cc1ccccc1 ZINC000676207834 486143416 /nfs/dbraw/zinc/14/34/16/486143416.db2.gz UEXIUVPLIUYEKW-ZDUSSCGKSA-N -1 1 308.378 1.067 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCCOCC1 ZINC000683892919 486148992 /nfs/dbraw/zinc/14/89/92/486148992.db2.gz PERBOVPCLNFBOR-CHWSQXEVSA-N -1 1 301.350 1.222 20 0 DDADMM Cc1cnc(C(=O)NCc2nnc3n2CCCCC3)c([O-])c1 ZINC000680848257 486281711 /nfs/dbraw/zinc/28/17/11/486281711.db2.gz QRKRZSHDSVAWDQ-UHFFFAOYSA-N -1 1 301.350 1.344 20 0 DDADMM COCc1cncc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000680940041 486308756 /nfs/dbraw/zinc/30/87/56/486308756.db2.gz MNWMIYKPGXMXSD-CQSZACIVSA-N -1 1 324.344 1.261 20 0 DDADMM NS(=O)(=O)Cc1ccc(NC(=O)c2ccc([O-])c(F)c2)cc1 ZINC000681014454 486323499 /nfs/dbraw/zinc/32/34/99/486323499.db2.gz NNSKPCBSSXGJHE-UHFFFAOYSA-N -1 1 324.333 1.572 20 0 DDADMM O=C(c1ccco1)N1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681015761 486324004 /nfs/dbraw/zinc/32/40/04/486324004.db2.gz QIGBPMCZRFKVIF-UHFFFAOYSA-N -1 1 318.304 1.723 20 0 DDADMM CCCNC(=O)[C@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000681038052 486328498 /nfs/dbraw/zinc/32/84/98/486328498.db2.gz VVIXTUADKPEEFO-LBPRGKRZSA-N -1 1 308.353 1.910 20 0 DDADMM CO[C@@H]1CC[C@H]2OCCN(C(=O)c3ccc([O-])c(F)c3)[C@@H]2C1 ZINC000681059384 486334216 /nfs/dbraw/zinc/33/42/16/486334216.db2.gz PIIYTVOHYSFXOC-UXIGCNINSA-N -1 1 309.337 1.940 20 0 DDADMM Fc1cccn2cc(CNC3(c4nn[n-]n4)CCCC3)nc12 ZINC000681165252 486362852 /nfs/dbraw/zinc/36/28/52/486362852.db2.gz JETASPZCIHZEKS-UHFFFAOYSA-N -1 1 301.329 1.546 20 0 DDADMM O=C(NC[C@@H](CO)Cc1cccnc1)c1ccc([O-])c(F)c1 ZINC000665456052 501054747 /nfs/dbraw/zinc/05/47/47/501054747.db2.gz KAPSACUAEQOJPG-LBPRGKRZSA-N -1 1 304.321 1.507 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C[C@H](C)n2cccn2)c1 ZINC000424833006 534230666 /nfs/dbraw/zinc/23/06/66/534230666.db2.gz VBNOYWJLKOVKGZ-JTQLQIEISA-N -1 1 303.318 1.965 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)[C@H](OC)C2CC2)c1 ZINC000451999826 534320960 /nfs/dbraw/zinc/32/09/60/534320960.db2.gz WCGHEUGZGJMSFF-PELKAZGASA-N -1 1 317.363 1.158 20 0 DDADMM COC(=O)c1sccc1S(=O)(=O)[N-][C@@H](C)C(C)(F)F ZINC000451070706 534418638 /nfs/dbraw/zinc/41/86/38/534418638.db2.gz JGEJLDRSFIGJJJ-LURJTMIESA-N -1 1 313.347 1.857 20 0 DDADMM Cc1cnn(C)c1CN[C@@]1(C(=O)[O-])CCc2c1cccc2F ZINC000417571283 534728799 /nfs/dbraw/zinc/72/87/99/534728799.db2.gz TXZKITXBALDMES-INIZCTEOSA-N -1 1 303.337 1.884 20 0 DDADMM O=S(=O)([N-]C[C@]1(O)CCSC1)c1sccc1Cl ZINC000451277479 534868676 /nfs/dbraw/zinc/86/86/76/534868676.db2.gz MKEXSSPUTCPFLF-SECBINFHSA-N -1 1 313.853 1.548 20 0 DDADMM CC(C)(NC(=O)C=Cc1ccc(-n2ccnc2)cc1)c1nn[n-]n1 ZINC000492090615 527263086 /nfs/dbraw/zinc/26/30/86/527263086.db2.gz QYOFHCRRMULRAM-YVMONPNESA-N -1 1 323.360 1.450 20 0 DDADMM CC(C)OC(=O)[C@H](C)N(C)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295184395 527856167 /nfs/dbraw/zinc/85/61/67/527856167.db2.gz UBKOEHZQHPVJBB-VIFPVBQESA-N -1 1 322.390 1.430 20 0 DDADMM CCN1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)CC1=O ZINC000451862688 527950370 /nfs/dbraw/zinc/95/03/70/527950370.db2.gz DZCHXNQSTHZLGR-VIFPVBQESA-N -1 1 320.773 1.378 20 0 DDADMM CCNC(=O)c1ccc(=NCCC[C@@H]2CCCC[C@@H]2O)[n-]n1 ZINC000432051967 528227944 /nfs/dbraw/zinc/22/79/44/528227944.db2.gz WRYPAIHOWOVHEI-JSGCOSHPSA-N -1 1 306.410 1.391 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](C)CCCO)o1 ZINC000451687323 528243480 /nfs/dbraw/zinc/24/34/80/528243480.db2.gz SHCLUTXLIGLGBU-JTQLQIEISA-N -1 1 319.379 1.143 20 0 DDADMM CC(C)c1nc2n(n1)CCC[C@H]2N=c1[n-]c(C(N)=O)cs1 ZINC000432312937 528331353 /nfs/dbraw/zinc/33/13/53/528331353.db2.gz QHZZLFZRXMYWFG-MRVPVSSYSA-N -1 1 306.395 1.326 20 0 DDADMM CCOc1ccc(CN[C@@H](C(=O)[O-])c2cnn(C)c2)cc1F ZINC000417591536 528458994 /nfs/dbraw/zinc/45/89/94/528458994.db2.gz IWKGUVMPEHMLNY-CQSZACIVSA-N -1 1 307.325 1.873 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1ncc(C)cc1[O-] ZINC000330894037 529170573 /nfs/dbraw/zinc/17/05/73/529170573.db2.gz ZIIWMQFUGFHDBS-QWRGUYRKSA-N -1 1 323.418 1.525 20 0 DDADMM O=C(Nc1ccc2c(c1)OCO2)c1ccc(-c2nnn[n-]2)nc1 ZINC000738174757 598978857 /nfs/dbraw/zinc/97/88/57/598978857.db2.gz MHENZDXWTIADIE-UHFFFAOYSA-N -1 1 310.273 1.243 20 0 DDADMM O=C(Nc1ccc2c(c1)OCO2)c1ccc(-c2nn[n-]n2)nc1 ZINC000738174757 598978860 /nfs/dbraw/zinc/97/88/60/598978860.db2.gz MHENZDXWTIADIE-UHFFFAOYSA-N -1 1 310.273 1.243 20 0 DDADMM CCCc1nnc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)s1 ZINC000736495487 598983574 /nfs/dbraw/zinc/98/35/74/598983574.db2.gz LPFGEJJSZFNYJR-UHFFFAOYSA-N -1 1 316.350 1.318 20 0 DDADMM CCCc1nnc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)s1 ZINC000736495487 598983576 /nfs/dbraw/zinc/98/35/76/598983576.db2.gz LPFGEJJSZFNYJR-UHFFFAOYSA-N -1 1 316.350 1.318 20 0 DDADMM Cc1nc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)sc1C ZINC000737483428 598984077 /nfs/dbraw/zinc/98/40/77/598984077.db2.gz WIDXWMDOOIURPI-UHFFFAOYSA-N -1 1 301.335 1.587 20 0 DDADMM Cc1nc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)sc1C ZINC000737483428 598984079 /nfs/dbraw/zinc/98/40/79/598984079.db2.gz WIDXWMDOOIURPI-UHFFFAOYSA-N -1 1 301.335 1.587 20 0 DDADMM COc1cccc([C@@H](CNC(=O)CCNC(=O)[O-])N(C)C)c1 ZINC000738092604 599742631 /nfs/dbraw/zinc/74/26/31/599742631.db2.gz QIJAOQKRZQSMSD-CYBMUJFWSA-N -1 1 309.366 1.072 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)C[C@@H]1CCc2ccccc21 ZINC000737778014 599107256 /nfs/dbraw/zinc/10/72/56/599107256.db2.gz VOFVNSUBEWBUQL-JTQLQIEISA-N -1 1 309.333 1.406 20 0 DDADMM CSc1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)nc1 ZINC000737190595 599169109 /nfs/dbraw/zinc/16/91/09/599169109.db2.gz NYNNDBKJIWQGKF-UHFFFAOYSA-N -1 1 313.346 1.631 20 0 DDADMM CSc1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)nc1 ZINC000737190595 599169110 /nfs/dbraw/zinc/16/91/10/599169110.db2.gz NYNNDBKJIWQGKF-UHFFFAOYSA-N -1 1 313.346 1.631 20 0 DDADMM Cc1nn(C)c(C)c1OC(=O)c1sccc1-c1nn[n-]n1 ZINC000737511219 599207545 /nfs/dbraw/zinc/20/75/45/599207545.db2.gz IQWAYNHWNSCFHG-UHFFFAOYSA-N -1 1 304.335 1.498 20 0 DDADMM CN(CCC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000818435548 597003228 /nfs/dbraw/zinc/00/32/28/597003228.db2.gz IEOONWCUGZBDOU-UHFFFAOYSA-N -1 1 303.322 1.088 20 0 DDADMM CN(CCC(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000818435548 597003232 /nfs/dbraw/zinc/00/32/32/597003232.db2.gz IEOONWCUGZBDOU-UHFFFAOYSA-N -1 1 303.322 1.088 20 0 DDADMM O=C([O-])CCCNC(=O)NC1CCN(Cc2ccncc2)CC1 ZINC000819434503 597286932 /nfs/dbraw/zinc/28/69/32/597286932.db2.gz SLNKIUOUSKCSIX-UHFFFAOYSA-N -1 1 320.393 1.210 20 0 DDADMM C[C@@H](C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1)N1CC[C@@H](C(=O)[O-])C1 ZINC000817744164 597535738 /nfs/dbraw/zinc/53/57/38/597535738.db2.gz SXLNORIMENENML-DTWKUNHWSA-N -1 1 318.333 1.002 20 0 DDADMM O=C([O-])CNC(=O)CSc1nc(C=Cc2ccccc2)n[nH]1 ZINC000821533583 597752740 /nfs/dbraw/zinc/75/27/40/597752740.db2.gz UVBDZBLRTXUUBN-SREVYHEPSA-N -1 1 318.358 1.268 20 0 DDADMM C[C@@H]1CCCC[C@@H]1NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736273813 598258610 /nfs/dbraw/zinc/25/86/10/598258610.db2.gz RWWJKNFPOFYRAY-KOLCDFICSA-N -1 1 322.394 1.119 20 0 DDADMM C[C@@H]1CCCC[C@@H]1NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736273813 598258611 /nfs/dbraw/zinc/25/86/11/598258611.db2.gz RWWJKNFPOFYRAY-KOLCDFICSA-N -1 1 322.394 1.119 20 0 DDADMM CN(C1CCCCC1)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736779089 598259556 /nfs/dbraw/zinc/25/95/56/598259556.db2.gz KIWXVGQWUAELGL-UHFFFAOYSA-N -1 1 322.394 1.215 20 0 DDADMM CN(C1CCCCC1)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736779089 598259557 /nfs/dbraw/zinc/25/95/57/598259557.db2.gz KIWXVGQWUAELGL-UHFFFAOYSA-N -1 1 322.394 1.215 20 0 DDADMM CN(CCOc1ccc(F)cc1)c1nccnc1-c1nnn[n-]1 ZINC000736801991 598338359 /nfs/dbraw/zinc/33/83/59/598338359.db2.gz NTJBWPBZLNDNOC-UHFFFAOYSA-N -1 1 315.312 1.311 20 0 DDADMM CN(CCOc1ccc(F)cc1)c1nccnc1-c1nn[n-]n1 ZINC000736801991 598338361 /nfs/dbraw/zinc/33/83/61/598338361.db2.gz NTJBWPBZLNDNOC-UHFFFAOYSA-N -1 1 315.312 1.311 20 0 DDADMM O[C@H](CNc1nccnc1-c1nnn[n-]1)c1ccc(Cl)cc1 ZINC000738389421 598340996 /nfs/dbraw/zinc/34/09/96/598340996.db2.gz WYBIAGSUJOGRCJ-SNVBAGLBSA-N -1 1 317.740 1.456 20 0 DDADMM O[C@H](CNc1nccnc1-c1nn[n-]n1)c1ccc(Cl)cc1 ZINC000738389421 598340997 /nfs/dbraw/zinc/34/09/97/598340997.db2.gz WYBIAGSUJOGRCJ-SNVBAGLBSA-N -1 1 317.740 1.456 20 0 DDADMM Cc1ccn2cc(CNc3nccnc3-c3nnn[n-]3)nc2c1 ZINC000737436033 598346928 /nfs/dbraw/zinc/34/69/28/598346928.db2.gz JOMLCOXAQCKDDI-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM Cc1ccn2cc(CNc3nccnc3-c3nn[n-]n3)nc2c1 ZINC000737436033 598346930 /nfs/dbraw/zinc/34/69/30/598346930.db2.gz JOMLCOXAQCKDDI-UHFFFAOYSA-N -1 1 307.321 1.225 20 0 DDADMM CN(CCCCNc1nccnc1-c1nnn[n-]1)c1ccccc1 ZINC000736792340 598347116 /nfs/dbraw/zinc/34/71/16/598347116.db2.gz MFDLSEZADLEHNI-UHFFFAOYSA-N -1 1 324.392 1.985 20 0 DDADMM CN(CCCCNc1nccnc1-c1nn[n-]n1)c1ccccc1 ZINC000736792340 598347118 /nfs/dbraw/zinc/34/71/18/598347118.db2.gz MFDLSEZADLEHNI-UHFFFAOYSA-N -1 1 324.392 1.985 20 0 DDADMM O=C1NCCCC[C@@H]1Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000738315390 598672503 /nfs/dbraw/zinc/67/25/03/598672503.db2.gz HPKXWCIGCILXLH-YFKPBYRVSA-N -1 1 313.774 1.057 20 0 DDADMM O=C1NCCCC[C@@H]1Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000738315390 598672505 /nfs/dbraw/zinc/67/25/05/598672505.db2.gz HPKXWCIGCILXLH-YFKPBYRVSA-N -1 1 313.774 1.057 20 0 DDADMM Cc1noc(C)c1Cn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000737535825 598721326 /nfs/dbraw/zinc/72/13/26/598721326.db2.gz CJGBPEWDSNPUCP-UHFFFAOYSA-N -1 1 322.328 1.835 20 0 DDADMM c1cc(-c2nnn[n-]2)nc(N2CCC[C@@H](c3ccncn3)C2)c1 ZINC000738411415 599416011 /nfs/dbraw/zinc/41/60/11/599416011.db2.gz DUUZZGICJLELTA-LLVKDONJSA-N -1 1 308.349 1.436 20 0 DDADMM c1cc(-c2nn[n-]n2)nc(N2CCC[C@@H](c3ccncn3)C2)c1 ZINC000738411415 599416012 /nfs/dbraw/zinc/41/60/12/599416012.db2.gz DUUZZGICJLELTA-LLVKDONJSA-N -1 1 308.349 1.436 20 0 DDADMM c1ccc(NC[C@H]2CCN(c3cccc(-c4nn[n-]n4)n3)C2)nc1 ZINC000738436906 599424217 /nfs/dbraw/zinc/42/42/17/599424217.db2.gz VOWVJIZVTPZEHP-GFCCVEGCSA-N -1 1 322.376 1.595 20 0 DDADMM COC(=O)C1CCC(Nc2cccc(-c3nnn[n-]3)n2)CC1 ZINC000736911419 599432023 /nfs/dbraw/zinc/43/20/23/599432023.db2.gz TZYGMURKQWFSRE-UHFFFAOYSA-N -1 1 302.338 1.405 20 0 DDADMM COC(=O)C1CCC(Nc2cccc(-c3nn[n-]n3)n2)CC1 ZINC000736911419 599432025 /nfs/dbraw/zinc/43/20/25/599432025.db2.gz TZYGMURKQWFSRE-UHFFFAOYSA-N -1 1 302.338 1.405 20 0 DDADMM Cc1nc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)sc1C ZINC000822444277 599626370 /nfs/dbraw/zinc/62/63/70/599626370.db2.gz JZWGXVMWEZVALW-UHFFFAOYSA-N -1 1 316.346 1.692 20 0 DDADMM Cc1nc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)sc1C ZINC000822444277 599626371 /nfs/dbraw/zinc/62/63/71/599626371.db2.gz JZWGXVMWEZVALW-UHFFFAOYSA-N -1 1 316.346 1.692 20 0 DDADMM O=C([O-])NCCC(=O)Nc1cccc(CN2CCSCC2)c1 ZINC000739946457 599728606 /nfs/dbraw/zinc/72/86/06/599728606.db2.gz BKQGIZBVXPEPPK-UHFFFAOYSA-N -1 1 323.418 1.832 20 0 DDADMM COc1cccc([C@@H](CNC(=O)CCCNC(=O)[O-])N(C)C)c1 ZINC000738091558 599742552 /nfs/dbraw/zinc/74/25/52/599742552.db2.gz JSTCJZMHVTWKNI-CQSZACIVSA-N -1 1 323.393 1.462 20 0 DDADMM COc1ccc([C@@H](NC(=O)c2[nH]nc3c2CCC3)C(=O)[O-])cc1 ZINC000737965446 599761862 /nfs/dbraw/zinc/76/18/62/599761862.db2.gz WUMUYLWSWMJLTN-CYBMUJFWSA-N -1 1 315.329 1.463 20 0 DDADMM O=C([O-])CCN(CC(=O)NC[C@@H]1CCCO1)Cc1ccccc1 ZINC000692453856 599812238 /nfs/dbraw/zinc/81/22/38/599812238.db2.gz FTVFJDVUVMCFNQ-HNNXBMFYSA-N -1 1 320.389 1.259 20 0 DDADMM O=C([O-])COc1ccc(S(=O)(=O)Nc2cccnc2)cc1 ZINC000036983911 599864472 /nfs/dbraw/zinc/86/44/72/599864472.db2.gz DPELWOCZCGRVEU-UHFFFAOYSA-N -1 1 308.315 1.346 20 0 DDADMM Cc1cccc(S(=O)(=O)N2CC[C@H](N(C)C)C2)c1C(=O)[O-] ZINC000738743331 599877965 /nfs/dbraw/zinc/87/79/65/599877965.db2.gz DAXZZGWPXDDQLE-NSHDSACASA-N -1 1 312.391 1.018 20 0 DDADMM COc1ccccc1CN(C)C(=O)CN1CCC(C(=O)[O-])CC1 ZINC000738128510 599966876 /nfs/dbraw/zinc/96/68/76/599966876.db2.gz JFPVOUPLTLILRP-UHFFFAOYSA-N -1 1 320.389 1.450 20 0 DDADMM CN1CCC[C@@H](NC(=O)N2Cc3ccc(C(=O)[O-])cc3C2)C1 ZINC000317358355 600018774 /nfs/dbraw/zinc/01/87/74/600018774.db2.gz SFSVRKGOUJNSFY-CQSZACIVSA-N -1 1 303.362 1.504 20 0 DDADMM O=C([O-])C1(NCC(=O)N2CCO[C@@H]3CCCC[C@@H]32)CCCCC1 ZINC000739308641 600148767 /nfs/dbraw/zinc/14/87/67/600148767.db2.gz SDHMXDQYAVGJMP-UONOGXRCSA-N -1 1 324.421 1.534 20 0 DDADMM O=C([O-])CCCc1nc(CN2CCN(C3CCC3)CC2)no1 ZINC000739698412 600225058 /nfs/dbraw/zinc/22/50/58/600225058.db2.gz SKAMUTBFLRVHKM-UHFFFAOYSA-N -1 1 308.382 1.147 20 0 DDADMM C[C@H](c1nc(C2CC2)no1)N1CCN(CCCC(=O)[O-])CC1 ZINC000736728818 600229771 /nfs/dbraw/zinc/22/97/71/600229771.db2.gz RKVYXLRFAKYICA-LLVKDONJSA-N -1 1 308.382 1.490 20 0 DDADMM C[C@H]1C[C@@H](c2ccc(F)cc2)CN1CC(=O)NCCC(=O)[O-] ZINC000736761801 600263986 /nfs/dbraw/zinc/26/39/86/600263986.db2.gz QGMQVWFKTFIUDO-WCQYABFASA-N -1 1 308.353 1.594 20 0 DDADMM CCC[C@@](C)(NCC(=O)NC[C@H]1Cc2ccccc2O1)C(=O)[O-] ZINC000736962977 600323898 /nfs/dbraw/zinc/32/38/98/600323898.db2.gz IJFYYFFVPWBOIC-CXAGYDPISA-N -1 1 320.389 1.339 20 0 DDADMM CCc1cc(CNS(=O)(=O)Cc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000737253987 600445785 /nfs/dbraw/zinc/44/57/85/600445785.db2.gz MQJIBZGGEZFQJE-UHFFFAOYSA-N -1 1 323.374 1.290 20 0 DDADMM CC(C)N(C(=O)CN(CCC(=O)[O-])C[C@@H]1CCCO1)C(C)C ZINC000736456058 600512896 /nfs/dbraw/zinc/51/28/96/600512896.db2.gz WSUHHZWCKUEBNJ-AWEZNQCLSA-N -1 1 314.426 1.588 20 0 DDADMM Cc1nn(C)c2ncc(CNCc3ccc(C(=O)[O-])o3)cc12 ZINC000738918151 600524126 /nfs/dbraw/zinc/52/41/26/600524126.db2.gz IURCSQNGYAIUOV-UHFFFAOYSA-N -1 1 300.318 1.858 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2cnn(CC(=O)[O-])c2)c(C)c1 ZINC000038030439 600529017 /nfs/dbraw/zinc/52/90/17/600529017.db2.gz ZXUQEYMJAAIQOQ-UHFFFAOYSA-N -1 1 309.347 1.385 20 0 DDADMM Cc1ccc(F)c(S(=O)(=O)Nc2cnn(CC(=O)[O-])c2)c1 ZINC000038030382 600529320 /nfs/dbraw/zinc/52/93/20/600529320.db2.gz PHQCXTYKEDXOMN-UHFFFAOYSA-N -1 1 313.310 1.216 20 0 DDADMM CC[C@@](C)(NCC(=O)Nc1cccc(C(=O)OC)c1)C(=O)[O-] ZINC000736862792 600562792 /nfs/dbraw/zinc/56/27/92/600562792.db2.gz GDUVGGABORHSGY-OAHLLOKOSA-N -1 1 308.334 1.255 20 0 DDADMM O=C([O-])[C@H]1CCN(CN2C(=O)C[C@@H]2c2ccc(Cl)cc2)C1 ZINC000819286610 600711758 /nfs/dbraw/zinc/71/17/58/600711758.db2.gz CQIAIPAYAFGPTN-WCQYABFASA-N -1 1 308.765 1.977 20 0 DDADMM C[C@@H](CN(C)CCOc1ccc(S(C)(=O)=O)cc1)C(=O)[O-] ZINC000827443024 600818736 /nfs/dbraw/zinc/81/87/36/600818736.db2.gz VTOFGJHHZPUOCW-NSHDSACASA-N -1 1 315.391 1.121 20 0 DDADMM CCO[C@H](C)c1nc(CN2CCN(CC(=O)[O-])CC2)cs1 ZINC000262630154 600838956 /nfs/dbraw/zinc/83/89/56/600838956.db2.gz GXNXVWMSIIYNGC-LLVKDONJSA-N -1 1 313.423 1.443 20 0 DDADMM CC(C)C[C@H](NC(=O)CN1CCC2(C1)CCOCC2)C(=O)[O-] ZINC000826785675 600861498 /nfs/dbraw/zinc/86/14/98/600861498.db2.gz AQGQMOJROZTVBD-ZDUSSCGKSA-N -1 1 312.410 1.104 20 0 DDADMM Cc1nnc(SCCC(=O)NC(C)(C)CCC(=O)[O-])[nH]1 ZINC000819036088 600948102 /nfs/dbraw/zinc/94/81/02/600948102.db2.gz SLVLQVJSAJOFLQ-UHFFFAOYSA-N -1 1 300.384 1.355 20 0 DDADMM COCCN1CCN([C@@H](C(=O)[O-])c2ccccc2F)C[C@H]1C ZINC000831745452 601001069 /nfs/dbraw/zinc/00/10/69/601001069.db2.gz QQNOUYNYWPRFFL-IUODEOHRSA-N -1 1 310.369 1.604 20 0 DDADMM CC[C@H]1CCCCN1C(=O)CN1CCSC[C@@H]1CC(=O)[O-] ZINC000091132074 601013014 /nfs/dbraw/zinc/01/30/14/601013014.db2.gz CITQYIDKUQPJJR-STQMWFEESA-N -1 1 314.451 1.670 20 0 DDADMM CC[C@@](C)(NCCC(=O)N(C)CC(=O)[O-])c1nc(C)cs1 ZINC000828692553 601042911 /nfs/dbraw/zinc/04/29/11/601042911.db2.gz JHRLZJISJREBHJ-CQSZACIVSA-N -1 1 313.423 1.599 20 0 DDADMM C[C@@H](Sc1ccccc1C(=O)NCc1cnc[nH]1)C(=O)[O-] ZINC000736717047 601093840 /nfs/dbraw/zinc/09/38/40/601093840.db2.gz NLVMHPJRAWNXDN-SECBINFHSA-N -1 1 305.359 1.905 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cc(F)ccc2O)CC1 ZINC000827375058 601212203 /nfs/dbraw/zinc/21/22/03/601212203.db2.gz PAFQWYQGZBTTHP-SNVBAGLBSA-N -1 1 310.325 1.152 20 0 DDADMM C[C@H](CN(C)C(=O)CSc1n[nH]c(=S)s1)C(=O)[O-] ZINC000827436982 601285019 /nfs/dbraw/zinc/28/50/19/601285019.db2.gz PHQZTCVAZMQRCD-RXMQYKEDSA-N -1 1 307.422 1.098 20 0 DDADMM Cc1[nH]c(C(=O)N2CCC(c3nc[nH]n3)CC2)c(C)c1C(=O)[O-] ZINC000832843916 601295389 /nfs/dbraw/zinc/29/53/89/601295389.db2.gz SYBHZLULXXGMMX-UHFFFAOYSA-N -1 1 317.349 1.468 20 0 DDADMM COc1ccc(C)cc1NC(=O)[C@@H]1CCCN1CCC(=O)[O-] ZINC000262747943 601381073 /nfs/dbraw/zinc/38/10/73/601381073.db2.gz JPJHPHHMQKCHIY-ZDUSSCGKSA-N -1 1 306.362 1.881 20 0 DDADMM C[C@H]1CN(Cc2nc3ccccc3c(=O)n2C)C[C@H]1C(=O)[O-] ZINC000828461808 601534325 /nfs/dbraw/zinc/53/43/25/601534325.db2.gz CUCBWZGUQXBVLC-CMPLNLGQSA-N -1 1 301.346 1.086 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N(C)CCOCC1CC1 ZINC000825977184 601548307 /nfs/dbraw/zinc/54/83/07/601548307.db2.gz ADGFREQKCKUXAC-LBPRGKRZSA-N -1 1 312.410 1.057 20 0 DDADMM NC(=O)c1ccc(CN[C@H](C(=O)[O-])c2ccc(F)cc2)cn1 ZINC000833084966 601560736 /nfs/dbraw/zinc/56/07/36/601560736.db2.gz CJCVVEFIRRJQOS-ZDUSSCGKSA-N -1 1 303.293 1.235 20 0 DDADMM OCCCCN(c1snc(Cl)c1-c1nnn[n-]1)C1CC1 ZINC000826509772 607522336 /nfs/dbraw/zinc/52/23/36/607522336.db2.gz JIVPKEQBZDWMSM-UHFFFAOYSA-N -1 1 314.802 1.718 20 0 DDADMM OCCCCN(c1snc(Cl)c1-c1nn[n-]n1)C1CC1 ZINC000826509772 607522337 /nfs/dbraw/zinc/52/23/37/607522337.db2.gz JIVPKEQBZDWMSM-UHFFFAOYSA-N -1 1 314.802 1.718 20 0 DDADMM C[C@@H]1CN(CN2C(=O)CC3(CCC(C)CC3)C2=O)C[C@H]1C(=O)[O-] ZINC000828233527 601818171 /nfs/dbraw/zinc/81/81/71/601818171.db2.gz QFYYDJKVULBGBW-SBUZTJRASA-N -1 1 322.405 1.552 20 0 DDADMM Cc1nc([C@H]2CN(Cc3ccsc3C(=O)[O-])CCO2)n[nH]1 ZINC000832990543 601835027 /nfs/dbraw/zinc/83/50/27/601835027.db2.gz CAJSFQONIVQIDD-SNVBAGLBSA-N -1 1 308.363 1.446 20 0 DDADMM Cc1ccc(S(=O)(=O)Cc2ccnc(-c3nnn[n-]3)c2)cc1 ZINC000826292956 607530691 /nfs/dbraw/zinc/53/06/91/607530691.db2.gz UVXANDRNQCLKSY-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM Cc1ccc(S(=O)(=O)Cc2ccnc(-c3nn[n-]n3)c2)cc1 ZINC000826292956 607530693 /nfs/dbraw/zinc/53/06/93/607530693.db2.gz UVXANDRNQCLKSY-UHFFFAOYSA-N -1 1 315.358 1.544 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN([C@H]2CCN(c3ccccc3F)C2=O)C1 ZINC000828020301 601911848 /nfs/dbraw/zinc/91/18/48/601911848.db2.gz NAMOCVHEBHDMFZ-XJKSGUPXSA-N -1 1 306.337 1.728 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1)N1CCSC[C@H]1CC(=O)[O-] ZINC000826009499 601924250 /nfs/dbraw/zinc/92/42/50/601924250.db2.gz YNVQUQPRRCRBHY-TZMCWYRMSA-N -1 1 322.430 1.583 20 0 DDADMM C[C@H](c1nnnn1-c1ccccc1)N1CC[C@](C)(C(=O)[O-])C1 ZINC000827966724 601939624 /nfs/dbraw/zinc/93/96/24/601939624.db2.gz UGXKMFKVVDTNEA-ABAIWWIYSA-N -1 1 301.350 1.520 20 0 DDADMM C[C@H](C(=O)NCc1cccs1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738626048 601949030 /nfs/dbraw/zinc/94/90/30/601949030.db2.gz AQSKIUMUXBBCIS-MNOVXSKESA-N -1 1 311.407 1.342 20 0 DDADMM C[C@H](C(=O)N[C@@H](C)c1ccccc1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738953318 601960262 /nfs/dbraw/zinc/96/02/62/601960262.db2.gz PNUGJTYIEJOFDC-BFHYXJOUSA-N -1 1 319.405 1.842 20 0 DDADMM CCNc1nnc(SCc2ccnc(-c3nnn[n-]3)c2)s1 ZINC000825486236 607536970 /nfs/dbraw/zinc/53/69/70/607536970.db2.gz PFGJZHSGYPGHGS-UHFFFAOYSA-N -1 1 320.407 1.837 20 0 DDADMM CCNc1nnc(SCc2ccnc(-c3nn[n-]n3)c2)s1 ZINC000825486236 607536971 /nfs/dbraw/zinc/53/69/71/607536971.db2.gz PFGJZHSGYPGHGS-UHFFFAOYSA-N -1 1 320.407 1.837 20 0 DDADMM O=C([O-])c1cccc(CNC(=O)c2nnc3ccccc3c2O)c1 ZINC000767097972 602023797 /nfs/dbraw/zinc/02/37/97/602023797.db2.gz AHONZFBNLLEDCR-UHFFFAOYSA-N -1 1 323.308 1.964 20 0 DDADMM O=C([O-])[C@H](CNC(=O)[C@H]1CCCc2[nH]ncc21)c1ccccc1 ZINC000833162946 602042698 /nfs/dbraw/zinc/04/26/98/602042698.db2.gz BCAZJRZWSZOWRU-QWHCGFSZSA-N -1 1 313.357 1.814 20 0 DDADMM CN(Cc1cccs1)C(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000739716928 602044332 /nfs/dbraw/zinc/04/43/32/602044332.db2.gz WSDHDJVNGCDDAF-LLVKDONJSA-N -1 1 311.407 1.296 20 0 DDADMM O=C([O-])c1nscc1NS(=O)(=O)Cc1ccc(F)cc1 ZINC000833347139 602156576 /nfs/dbraw/zinc/15/65/76/602156576.db2.gz VBNHDNDLCVQVAX-UHFFFAOYSA-N -1 1 316.335 1.922 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000826472416 607551745 /nfs/dbraw/zinc/55/17/45/607551745.db2.gz KBSDPALWCLXMMZ-ZJUUUORDSA-N -1 1 319.390 1.427 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CC[C@@H]([C@H]2CCOC2)C1 ZINC000826472416 607551746 /nfs/dbraw/zinc/55/17/46/607551746.db2.gz KBSDPALWCLXMMZ-ZJUUUORDSA-N -1 1 319.390 1.427 20 0 DDADMM CS(=O)(=O)CCCN1CCC[C@H]([C@@H]2CCCN2C(=O)[O-])C1 ZINC000739985525 602315111 /nfs/dbraw/zinc/31/51/11/602315111.db2.gz YGARXQZZNPZMBV-STQMWFEESA-N -1 1 318.439 1.276 20 0 DDADMM C[C@H](O)[C@H]1CCCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000824690666 607560996 /nfs/dbraw/zinc/56/09/96/607560996.db2.gz UHCATTCOKXQHLU-BQBZGAKWSA-N -1 1 314.802 1.574 20 0 DDADMM C[C@H](O)[C@H]1CCCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000824690666 607560997 /nfs/dbraw/zinc/56/09/97/607560997.db2.gz UHCATTCOKXQHLU-BQBZGAKWSA-N -1 1 314.802 1.574 20 0 DDADMM CN(CCNC(=O)NC[C@@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000739695027 602436629 /nfs/dbraw/zinc/43/66/29/602436629.db2.gz RGORLZOWCBFXNM-LBPRGKRZSA-N -1 1 324.381 1.326 20 0 DDADMM C[C@H](C(=O)NC1CCCC1)N1CCC([C@H](C)NC(=O)[O-])CC1 ZINC000739017920 602444592 /nfs/dbraw/zinc/44/45/92/602444592.db2.gz ZCLNOVBMPDMDTQ-NWDGAFQWSA-N -1 1 311.426 1.802 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000739017919 602444646 /nfs/dbraw/zinc/44/46/46/602444646.db2.gz ZCLNOVBMPDMDTQ-NEPJUHHUSA-N -1 1 311.426 1.802 20 0 DDADMM CCN(CC)[C@@H](C(=O)N[C@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000739408693 602532583 /nfs/dbraw/zinc/53/25/83/602532583.db2.gz YWIQZZYPKNFZJI-LSDHHAIUSA-N -1 1 319.405 1.938 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1)N1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738631399 602539301 /nfs/dbraw/zinc/53/93/01/602539301.db2.gz VCWWSKNEAQEDBP-HIFRSBDPSA-N -1 1 319.405 1.623 20 0 DDADMM CCc1nnc(CN[C@]2(CNC(=O)[O-])CCCC[C@@H]2C)n1C ZINC000739560307 602554434 /nfs/dbraw/zinc/55/44/34/602554434.db2.gz RVTQCFCSANSNDB-NHYWBVRUSA-N -1 1 309.414 1.684 20 0 DDADMM Cc1ccc2nc(CN(C)[C@H](C)CNC(=O)[O-])cc(=O)n2c1 ZINC000740157031 602559455 /nfs/dbraw/zinc/55/94/55/602559455.db2.gz LVERZTLDCKEYNE-LLVKDONJSA-N -1 1 304.350 1.091 20 0 DDADMM O=C([O-])NCc1cccc(NS(=O)(=O)c2cccnc2)c1 ZINC000740680848 602656142 /nfs/dbraw/zinc/65/61/42/602656142.db2.gz XRRWWPZQILYAHV-UHFFFAOYSA-N -1 1 307.331 1.650 20 0 DDADMM Cc1cc(CN2CCN(C[C@@H]3CCCN(C(=O)[O-])C3)CC2)no1 ZINC000740073226 602702056 /nfs/dbraw/zinc/70/20/56/602702056.db2.gz MJQMUQZOSKWRCY-AWEZNQCLSA-N -1 1 322.409 1.491 20 0 DDADMM O=C([O-])NC[C@@H]1CCN([C@@H]2CCN(c3ccccc3)C2=O)C1 ZINC000740644038 602736036 /nfs/dbraw/zinc/73/60/36/602736036.db2.gz WRJZZUDRZCMUPS-GXTWGEPZSA-N -1 1 303.362 1.381 20 0 DDADMM CC(C)[C@H](CNS(=O)(=O)c1c(F)cccc1F)NC(=O)[O-] ZINC000738793053 602786785 /nfs/dbraw/zinc/78/67/85/602786785.db2.gz JRCMJEIDUGJYII-JTQLQIEISA-N -1 1 322.333 1.535 20 0 DDADMM CC[N@@H+]1CCC[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739453184 602852172 /nfs/dbraw/zinc/85/21/72/602852172.db2.gz DTDXWECKGTVSSI-NSHDSACASA-N -1 1 307.350 1.696 20 0 DDADMM CC[N@H+]1CCC[C@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739453184 602852174 /nfs/dbraw/zinc/85/21/74/602852174.db2.gz DTDXWECKGTVSSI-NSHDSACASA-N -1 1 307.350 1.696 20 0 DDADMM Cc1cc(CNC(=O)[C@@H](C)[C@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830040798 602933329 /nfs/dbraw/zinc/93/33/29/602933329.db2.gz DGKUXXBPQPDNLP-FZMZJTMJSA-N -1 1 316.361 1.979 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)NCCN(C(=O)[O-])C1CC1 ZINC000739199837 602994555 /nfs/dbraw/zinc/99/45/55/602994555.db2.gz SNFNWKLIPUUFPQ-JSGCOSHPSA-N -1 1 324.425 1.397 20 0 DDADMM CC[C@H](C)[C@@H](NC(=O)[O-])C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000739229845 603032909 /nfs/dbraw/zinc/03/29/09/603032909.db2.gz FPSGRNBSPFKIIK-GXFFZTMASA-N -1 1 317.349 1.888 20 0 DDADMM O=C([O-])N1CCC[C@@H](CNCc2nnnn2-c2ccccc2)C1 ZINC000740511125 603271240 /nfs/dbraw/zinc/27/12/40/603271240.db2.gz DMFCZHHYPAHWFB-LBPRGKRZSA-N -1 1 316.365 1.142 20 0 DDADMM CCN(C[C@@H]1CCN(C(=O)[O-])C1)[C@H](C)CS(=O)(=O)CC ZINC000739414403 603345369 /nfs/dbraw/zinc/34/53/69/603345369.db2.gz LZDKCISXEVQWDF-NEPJUHHUSA-N -1 1 306.428 1.131 20 0 DDADMM CC(C)C[C@H](C)N(C)C(=O)CN1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000738808751 603412527 /nfs/dbraw/zinc/41/25/27/603412527.db2.gz YGSLJVUGAADHFB-HZSPNIEDSA-N -1 1 313.442 1.857 20 0 DDADMM O=C([O-])NCCN1CCC[C@@H](c2nnc3n2CCCCC3)C1 ZINC000832642243 603453474 /nfs/dbraw/zinc/45/34/74/603453474.db2.gz WOQVEYAGQQVNSR-GFCCVEGCSA-N -1 1 307.398 1.452 20 0 DDADMM Cc1ncc(C(=O)N2CCC(N(CC3CC3)C(=O)[O-])CC2)[nH]1 ZINC000830704682 603475676 /nfs/dbraw/zinc/47/56/76/603475676.db2.gz OQJGIDAKMZKYFD-UHFFFAOYSA-N -1 1 306.366 1.713 20 0 DDADMM CC[C@@H]1CN2CCCC[C@H]2CN1C(=O)[C@H]1CCN(C(=O)[O-])C1 ZINC000826690344 603523338 /nfs/dbraw/zinc/52/33/38/603523338.db2.gz KKYQJPJUPZNPAC-MJBXVCDLSA-N -1 1 309.410 1.462 20 0 DDADMM CN(C)Cc1ccsc1C(=O)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000828047075 603524320 /nfs/dbraw/zinc/52/43/20/603524320.db2.gz YDCCFCYDUYMYOQ-JTQLQIEISA-N -1 1 311.407 1.539 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)NCc1ccc(NC(=O)[O-])nc1 ZINC000827472318 603552196 /nfs/dbraw/zinc/55/21/96/603552196.db2.gz SMJGWBUIUFJYTF-SECBINFHSA-N -1 1 301.368 1.084 20 0 DDADMM O=C([O-])NCCCC(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000832609070 603553148 /nfs/dbraw/zinc/55/31/48/603553148.db2.gz PJRQGCZNFYFRNA-UHFFFAOYSA-N -1 1 308.313 1.409 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@@H](C(=O)N2CCC(c3nc[nH]n3)CC2)C1 ZINC000832318058 603562759 /nfs/dbraw/zinc/56/27/59/603562759.db2.gz ICPDAKBZFUWQEB-NEPJUHHUSA-N -1 1 321.381 1.337 20 0 DDADMM CCc1cc(CNC(=O)N[C@@H](CNC(=O)[O-])CC(C)C)[nH]n1 ZINC000827563317 603636937 /nfs/dbraw/zinc/63/69/37/603636937.db2.gz AWLHTISSYVEZIK-LLVKDONJSA-N -1 1 311.386 1.454 20 0 DDADMM CN(C)[C@H](CNC(=O)CC1CN(C(=O)[O-])C1)c1ccc(F)cc1 ZINC000827995787 603675036 /nfs/dbraw/zinc/67/50/36/603675036.db2.gz UPEKAIIVRGBHIK-CQSZACIVSA-N -1 1 323.368 1.545 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N(C)[C@@H]2CCN(C(=O)[O-])C2)o1 ZINC000827045302 603682161 /nfs/dbraw/zinc/68/21/61/603682161.db2.gz GSUCJRJOFVNXER-GFCCVEGCSA-N -1 1 323.393 1.946 20 0 DDADMM CCN(C)[C@@H](C(=O)N(C)[C@@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000826988293 603709364 /nfs/dbraw/zinc/70/93/64/603709364.db2.gz CCSMEFQLKJBYAF-HUUCEWRRSA-N -1 1 319.405 1.890 20 0 DDADMM CCN(C)[C@H](C(=O)N(C)[C@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000826988292 603709483 /nfs/dbraw/zinc/70/94/83/603709483.db2.gz CCSMEFQLKJBYAF-GJZGRUSLSA-N -1 1 319.405 1.890 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N(C)[C@@H]2CCN(C(=O)[O-])C2)c1 ZINC000829914684 603711197 /nfs/dbraw/zinc/71/11/97/603711197.db2.gz SZABMHYMMHMERN-LLVKDONJSA-N -1 1 302.334 1.696 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCOC[C@H]2Cc2ccccc2)C1 ZINC000831879197 603720276 /nfs/dbraw/zinc/72/02/76/603720276.db2.gz VAWVBLLMACLGDJ-HZPDHXFCSA-N -1 1 304.390 1.930 20 0 DDADMM C[C@@H](CCNC(=O)[C@H](C)N(C)Cc1ccccc1)NC(=O)[O-] ZINC000824784956 603720420 /nfs/dbraw/zinc/72/04/20/603720420.db2.gz BKDPGAJWEBIOBQ-STQMWFEESA-N -1 1 307.394 1.669 20 0 DDADMM C[C@H](CN1CCCC[C@@H]1[C@@H](C)NC(=O)[O-])CS(C)(=O)=O ZINC000824908259 603901017 /nfs/dbraw/zinc/90/10/17/603901017.db2.gz SBZFSQYTDRFLKP-IJLUTSLNSA-N -1 1 306.428 1.178 20 0 DDADMM C[C@@H](CN(C)C(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000824861797 603937227 /nfs/dbraw/zinc/93/72/27/603937227.db2.gz IETQPQYBYBNCFF-VIFPVBQESA-N -1 1 322.340 1.607 20 0 DDADMM CN1CCCN(C(=O)[C@H]2CCCCCC[C@@H]2NC(=O)[O-])CC1 ZINC000828486463 603937290 /nfs/dbraw/zinc/93/72/90/603937290.db2.gz CLGINAYCYGTGBC-KBPBESRZSA-N -1 1 311.426 1.757 20 0 DDADMM C[C@@]1(C(=O)Nc2ccn(-c3ccncc3)n2)CCN(C(=O)[O-])C1 ZINC000825685295 603941829 /nfs/dbraw/zinc/94/18/29/603941829.db2.gz WIYWAZZUNMAXCZ-OAHLLOKOSA-N -1 1 315.333 1.596 20 0 DDADMM O=C([O-])N1[C@@H]2CC[C@H]1CN(C(=O)[C@H]1CCCc3[nH]ncc31)CC2 ZINC000831282624 603955383 /nfs/dbraw/zinc/95/53/83/603955383.db2.gz FSVBPBFSVQACMC-WOPDTQHZSA-N -1 1 318.377 1.573 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@@H]2CC[C@H](NC(=O)[O-])C2)c1 ZINC000828046686 604066430 /nfs/dbraw/zinc/06/64/30/604066430.db2.gz RCPRJUYXUQMDMY-NEPJUHHUSA-N -1 1 306.366 1.518 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1C[C@@H](O)c1ccc(F)cc1F ZINC000832573963 604083340 /nfs/dbraw/zinc/08/33/40/604083340.db2.gz WDUKFQWWYLRMIG-ZWNOBZJWSA-N -1 1 300.305 1.730 20 0 DDADMM CN(C[C@H]1CCCN1CC[S@](=O)c1ccccc1)C(=O)[O-] ZINC000828223025 604105023 /nfs/dbraw/zinc/10/50/23/604105023.db2.gz HAJHGCULPVYQJV-ASSNKEHSSA-N -1 1 310.419 1.868 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)NCc2ccccc2)C1 ZINC000825263156 604179079 /nfs/dbraw/zinc/17/90/79/604179079.db2.gz FGVKJZOQYVPVKR-UKRRQHHQSA-N -1 1 319.405 1.671 20 0 DDADMM C[C@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)NCc2ccccn2)C1 ZINC000825265890 604203922 /nfs/dbraw/zinc/20/39/22/604203922.db2.gz UNBVXPBEFLEHKQ-STQMWFEESA-N -1 1 320.393 1.066 20 0 DDADMM COc1cc(NC(=O)NCCc2nc[nH]n2)ccc1NC(=O)[O-] ZINC000829167098 604280530 /nfs/dbraw/zinc/28/05/30/604280530.db2.gz DVYSEGBJWSLAQP-UHFFFAOYSA-N -1 1 320.309 1.267 20 0 DDADMM CCOc1ccc(OC[C@@H](O)CN2CC[C@@H](NC(=O)[O-])C2)cc1 ZINC000739505735 604369027 /nfs/dbraw/zinc/36/90/27/604369027.db2.gz SYOCATLHEXVDMD-OLZOCXBDSA-N -1 1 324.377 1.167 20 0 DDADMM CC(=O)N[C@H]1CCCN(Cc2ccc(O[C@@H](C)C(=O)[O-])cc2)C1 ZINC000833396069 604534071 /nfs/dbraw/zinc/53/40/71/604534071.db2.gz DHUMSICRMRAWMC-WFASDCNBSA-N -1 1 320.389 1.639 20 0 DDADMM C[C@@H]1CN(CC(=O)N(C)c2ccccc2C(=O)[O-])[C@@H](C)CN1C ZINC000833620133 604574433 /nfs/dbraw/zinc/57/44/33/604574433.db2.gz CUHORGYIXKIYIH-OLZOCXBDSA-N -1 1 319.405 1.372 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1CCCN(Cc2c[nH]c(C(=O)[O-])c2)C1 ZINC000833420138 604630135 /nfs/dbraw/zinc/63/01/35/604630135.db2.gz BATZGVOKENWPFM-LBPRGKRZSA-N -1 1 307.394 1.840 20 0 DDADMM O=C([O-])NC1(C(=O)O[C@H]2CCN(Cc3ccccc3)C2)CC1 ZINC000832185164 604658815 /nfs/dbraw/zinc/65/88/15/604658815.db2.gz BHVYZEITXZMPQR-ZDUSSCGKSA-N -1 1 304.346 1.604 20 0 DDADMM CC(C)N(C(=O)CN1CCC(O)(C(=O)[O-])CC1)C1CCCC1 ZINC000833495827 604729989 /nfs/dbraw/zinc/72/99/89/604729989.db2.gz YIJWXLUMUKGBAD-UHFFFAOYSA-N -1 1 312.410 1.078 20 0 DDADMM O=C([O-])C1(O)CCN(C(=O)c2cc(C3CCCCC3)[nH]n2)CC1 ZINC000833785757 604756141 /nfs/dbraw/zinc/75/61/41/604756141.db2.gz VANXZTBUMAMSNN-UHFFFAOYSA-N -1 1 321.377 1.509 20 0 DDADMM COC(=O)C1=C(C)O[C@@H](CN(C)Cc2cc(C(=O)[O-])c(C)o2)C1 ZINC000833702345 604822469 /nfs/dbraw/zinc/82/24/69/604822469.db2.gz UMFAUOIMSWYUDR-GFCCVEGCSA-N -1 1 323.345 1.954 20 0 DDADMM C[C@H]1[C@H](C)N(C(=O)c2cccc(OCC(=O)[O-])c2)CCN1C ZINC000833588790 604838686 /nfs/dbraw/zinc/83/86/86/604838686.db2.gz RTLZFZONJGQHJV-RYUDHWBXSA-N -1 1 306.362 1.315 20 0 DDADMM C[C@H](CC(=O)N[C@@H](C)c1nnc[nH]1)C1CCN(C(=O)[O-])CC1 ZINC000825100689 604973164 /nfs/dbraw/zinc/97/31/64/604973164.db2.gz IYNOYBVFPAPGMI-ZJUUUORDSA-N -1 1 309.370 1.398 20 0 DDADMM CC[C@]1(C(C)C)NC(=O)N(CN2CCC[C@H]2CC(=O)[O-])C1=O ZINC000829043877 604974983 /nfs/dbraw/zinc/97/49/83/604974983.db2.gz GWZOIGVNVFWQJC-XHDPSFHLSA-N -1 1 311.382 1.240 20 0 DDADMM O=C([O-])[C@@H](N[C@H]1CC(=O)N(c2ccccc2)C1)c1cccnc1 ZINC000833165474 604998015 /nfs/dbraw/zinc/99/80/15/604998015.db2.gz AGPONARIXRGJDX-BBRMVZONSA-N -1 1 311.341 1.602 20 0 DDADMM C[C@@H]1CCCC[C@H]1NC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833612627 605043620 /nfs/dbraw/zinc/04/36/20/605043620.db2.gz PLISRBNCQWWNDH-MGPQQGTHSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@@H](NC1CN(C(=O)[O-])C1)c1cccc(N2CCOC2=O)c1 ZINC000825485812 605100364 /nfs/dbraw/zinc/10/03/64/605100364.db2.gz RTYRFOANABLSFW-SNVBAGLBSA-N -1 1 305.334 1.656 20 0 DDADMM CC(C)[C@@H]1C[C@H](CC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CCO1 ZINC000833469526 605124148 /nfs/dbraw/zinc/12/41/48/605124148.db2.gz AQXDYPPZZCJESI-XBFCOCLRSA-N -1 1 323.393 1.363 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CC[C@H](NC(=O)[O-])C1 ZINC000739607756 605130483 /nfs/dbraw/zinc/13/04/83/605130483.db2.gz LGLFJOLGUPSMLG-UONOGXRCSA-N -1 1 305.378 1.028 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C[C@@H]1C ZINC000833655891 605133797 /nfs/dbraw/zinc/13/37/97/605133797.db2.gz HCSMQXCWUWHSNR-VHSXEESVSA-N -1 1 307.394 1.884 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)CN2C[C@H](C(=O)[O-])CC2=O)C12CCCC2 ZINC000833660180 605173655 /nfs/dbraw/zinc/17/36/55/605173655.db2.gz MOVHPPIKZYOXEL-MGPQQGTHSA-N -1 1 324.421 1.547 20 0 DDADMM CC(C)CN1CCN(C(=O)Nc2cncc(C(=O)[O-])c2)CC1 ZINC000316739036 605179031 /nfs/dbraw/zinc/17/90/31/605179031.db2.gz XCIGUIXNGWHYHU-UHFFFAOYSA-N -1 1 306.366 1.585 20 0 DDADMM COC(=O)c1cc(CN2CCCO[C@@H](C)C2)cc(C(=O)[O-])c1 ZINC000833713401 605204129 /nfs/dbraw/zinc/20/41/29/605204129.db2.gz XFCRPNSDUYSWFG-NSHDSACASA-N -1 1 307.346 1.782 20 0 DDADMM C[C@H]1CN(C(=O)c2n[nH]c3ccccc32)CCN1CCC(=O)[O-] ZINC000833626440 605255491 /nfs/dbraw/zinc/25/54/91/605255491.db2.gz WFHYQOVYBRWHOA-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM CCc1nc([C@H](C)NC(=O)Cc2ccccc2C(=O)[O-])n[nH]1 ZINC000833674719 605272548 /nfs/dbraw/zinc/27/25/48/605272548.db2.gz VBIKFUDYNRIZAO-VIFPVBQESA-N -1 1 302.334 1.485 20 0 DDADMM O=C([O-])N1CCO[C@@H](C(=O)Nc2cccc(Cl)c2O)C1 ZINC000831786125 605305441 /nfs/dbraw/zinc/30/54/41/605305441.db2.gz XCDSKPKSGYNPEK-SECBINFHSA-N -1 1 300.698 1.363 20 0 DDADMM CCn1c(C)nnc1CN1C[C@@H]2CCC[C@H](NC(=O)[O-])[C@H]2C1 ZINC000833866467 605434717 /nfs/dbraw/zinc/43/47/17/605434717.db2.gz HVNRASBXHFCULY-AVGNSLFASA-N -1 1 307.398 1.475 20 0 DDADMM O=C([O-])NC1CCC(NCc2nnnn2-c2ccccc2)CC1 ZINC000834164065 605595789 /nfs/dbraw/zinc/59/57/89/605595789.db2.gz RUKRKEDNZDTBHA-UHFFFAOYSA-N -1 1 316.365 1.331 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(Cc2ccc(C(=O)N(C)C)[nH]2)C1 ZINC000833812012 605595928 /nfs/dbraw/zinc/59/59/28/605595928.db2.gz GOKXOBBBIGPLOQ-VXGBXAGGSA-N -1 1 322.409 1.585 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(Cc2cnc3cnccn23)C1 ZINC000833813204 605597275 /nfs/dbraw/zinc/59/72/75/605597275.db2.gz OERUGNLUKGTDEI-VXGBXAGGSA-N -1 1 303.366 1.597 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1 ZINC000833454953 605719588 /nfs/dbraw/zinc/71/95/88/605719588.db2.gz YVWUEORAEPBSFX-UHFFFAOYSA-N -1 1 318.333 1.491 20 0 DDADMM C[C@]1(C(=O)[O-])CCN(CN2C[C@@H](Cc3ccccc3)OC2=O)C1 ZINC000833553277 605786172 /nfs/dbraw/zinc/78/61/72/605786172.db2.gz ARXCBXAEPYNDDZ-PBHICJAKSA-N -1 1 318.373 1.804 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)[C@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830035411 605806463 /nfs/dbraw/zinc/80/64/63/605806463.db2.gz AQFBFRFZMLPODH-QMTHXVAHSA-N -1 1 316.361 1.774 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)[C@H]2[C@@H](C)OC(C)(C)N2C(=O)[O-])n[nH]1 ZINC000830030253 605806597 /nfs/dbraw/zinc/80/65/97/605806597.db2.gz NDRPQWDVXHMFRR-MKPLZMMCSA-N -1 1 324.381 1.269 20 0 DDADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)NC1(CNC(=O)[O-])CCCC1)C2 ZINC000833915948 605856309 /nfs/dbraw/zinc/85/63/09/605856309.db2.gz MGSNLRIOXQAFNF-LLVKDONJSA-N -1 1 320.393 1.520 20 0 DDADMM O=C([O-])N1CCC2(CCN(CN3CCSC3=S)C2)CC1 ZINC000834092486 605863671 /nfs/dbraw/zinc/86/36/71/605863671.db2.gz VHZTYMMZMKTFNB-UHFFFAOYSA-N -1 1 315.464 1.744 20 0 DDADMM N=C(NOCc1cnc(Cl)s1)[C@@H]1COCCN1C(=O)[O-] ZINC000833980394 605924834 /nfs/dbraw/zinc/92/48/34/605924834.db2.gz OLPKUVYNQHMHQZ-ZETCQYMHSA-N -1 1 320.758 1.174 20 0 DDADMM O=C([O-])N1CCC[C@H]1CCC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000833995822 605959377 /nfs/dbraw/zinc/95/93/77/605959377.db2.gz SXFJXUFZMHPDSZ-QWRGUYRKSA-N -1 1 306.366 1.306 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]1NCc1nccn1CC(F)(F)F ZINC000834175254 605982523 /nfs/dbraw/zinc/98/25/23/605982523.db2.gz MKZFUOADDYVEGM-RKDXNWHRSA-N -1 1 306.288 1.724 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@H](Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC000821446926 606104536 /nfs/dbraw/zinc/10/45/36/606104536.db2.gz FYTHLUAXVFIRLX-GANBNBROSA-N -1 1 320.422 1.753 20 0 DDADMM CC[S@](=O)[C@H]1CCC[C@H](Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC000821446926 606104537 /nfs/dbraw/zinc/10/45/37/606104537.db2.gz FYTHLUAXVFIRLX-GANBNBROSA-N -1 1 320.422 1.753 20 0 DDADMM C[C@H](c1ccco1)N(C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820570234 606171895 /nfs/dbraw/zinc/17/18/95/606171895.db2.gz FAQNGTWKWPDAIL-MRVPVSSYSA-N -1 1 316.325 1.418 20 0 DDADMM C[C@H](c1ccco1)N(C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820570234 606171896 /nfs/dbraw/zinc/17/18/96/606171896.db2.gz FAQNGTWKWPDAIL-MRVPVSSYSA-N -1 1 316.325 1.418 20 0 DDADMM O=C(Nc1cc(F)ccc1O)c1ccc(-c2nnn[n-]2)nc1 ZINC000823298949 606380002 /nfs/dbraw/zinc/38/00/02/606380002.db2.gz AKPIIWVYYNTTLN-UHFFFAOYSA-N -1 1 300.253 1.359 20 0 DDADMM O=C(Nc1cc(F)ccc1O)c1ccc(-c2nn[n-]n2)nc1 ZINC000823298949 606380003 /nfs/dbraw/zinc/38/00/03/606380003.db2.gz AKPIIWVYYNTTLN-UHFFFAOYSA-N -1 1 300.253 1.359 20 0 DDADMM CN(C[C@H]1CCOC1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000821598220 606474192 /nfs/dbraw/zinc/47/41/92/606474192.db2.gz VHOWJDVJUPJMIL-SNVBAGLBSA-N -1 1 311.349 1.283 20 0 DDADMM CN(C[C@H]1CCOC1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000821598220 606474193 /nfs/dbraw/zinc/47/41/93/606474193.db2.gz VHOWJDVJUPJMIL-SNVBAGLBSA-N -1 1 311.349 1.283 20 0 DDADMM c1ccn(CCNc2c3ccccc3nnc2-c2nnn[n-]2)c1 ZINC000823796478 606476828 /nfs/dbraw/zinc/47/68/28/606476828.db2.gz BWBOCIVXZRNZJO-UHFFFAOYSA-N -1 1 306.333 1.724 20 0 DDADMM c1ccn(CCNc2c3ccccc3nnc2-c2nn[n-]n2)c1 ZINC000823796478 606476830 /nfs/dbraw/zinc/47/68/30/606476830.db2.gz BWBOCIVXZRNZJO-UHFFFAOYSA-N -1 1 306.333 1.724 20 0 DDADMM CCCn1nccc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821147359 606507989 /nfs/dbraw/zinc/50/79/89/606507989.db2.gz FDOLRQVDPKVBNZ-UHFFFAOYSA-N -1 1 303.351 1.787 20 0 DDADMM CCCn1nccc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821147359 606507987 /nfs/dbraw/zinc/50/79/87/606507987.db2.gz FDOLRQVDPKVBNZ-UHFFFAOYSA-N -1 1 303.351 1.787 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCN(C2CCC2)CC1 ZINC000823484463 606562413 /nfs/dbraw/zinc/56/24/13/606562413.db2.gz YMLHXIFTRGGWGE-UHFFFAOYSA-N -1 1 318.406 1.239 20 0 DDADMM CSc1[nH]c(=O)c(C(=O)NC2CC(C)C2)cc1-c1nn[n-]n1 ZINC000821979629 606585226 /nfs/dbraw/zinc/58/52/26/606585226.db2.gz UOEVKRBBXWLXAR-UHFFFAOYSA-N -1 1 320.378 1.218 20 0 DDADMM O=C(Cc1cccs1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000823115875 606647516 /nfs/dbraw/zinc/64/75/16/606647516.db2.gz VYQLKJIEMVLSRZ-UHFFFAOYSA-N -1 1 301.331 1.609 20 0 DDADMM O=C(Cc1cccs1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000823115875 606647517 /nfs/dbraw/zinc/64/75/17/606647517.db2.gz VYQLKJIEMVLSRZ-UHFFFAOYSA-N -1 1 301.331 1.609 20 0 DDADMM Cc1nn(CCCOC(C)(C)C)c(=O)c(-c2nn[n-]n2)c1C ZINC000822500853 606768285 /nfs/dbraw/zinc/76/82/85/606768285.db2.gz JNUVZGQACFLEOC-UHFFFAOYSA-N -1 1 306.370 1.245 20 0 DDADMM OCC[C@@]1(CNc2ccc(Cl)c(-c3nnn[n-]3)n2)CCOC1 ZINC000823718495 606791102 /nfs/dbraw/zinc/79/11/02/606791102.db2.gz WXCPKFNVBHQKDN-ZDUSSCGKSA-N -1 1 324.772 1.116 20 0 DDADMM OCC[C@@]1(CNc2ccc(Cl)c(-c3nn[n-]n3)n2)CCOC1 ZINC000823718495 606791104 /nfs/dbraw/zinc/79/11/04/606791104.db2.gz WXCPKFNVBHQKDN-ZDUSSCGKSA-N -1 1 324.772 1.116 20 0 DDADMM CCOc1cc(C)ccc1CNc1nccnc1-c1nnn[n-]1 ZINC000821394793 606800731 /nfs/dbraw/zinc/80/07/31/606800731.db2.gz NUTIKCHVKKCUOI-UHFFFAOYSA-N -1 1 311.349 1.976 20 0 DDADMM CCOc1cc(C)ccc1CNc1nccnc1-c1nn[n-]n1 ZINC000821394793 606800734 /nfs/dbraw/zinc/80/07/34/606800734.db2.gz NUTIKCHVKKCUOI-UHFFFAOYSA-N -1 1 311.349 1.976 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CC[C@@]2(CCOC2)C1 ZINC000823483770 606813009 /nfs/dbraw/zinc/81/30/09/606813009.db2.gz MNZMQWWIHRMKOS-CYBMUJFWSA-N -1 1 305.363 1.181 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CC[C@@]2(CCOC2)C1 ZINC000823483770 606813011 /nfs/dbraw/zinc/81/30/11/606813011.db2.gz MNZMQWWIHRMKOS-CYBMUJFWSA-N -1 1 305.363 1.181 20 0 DDADMM CCC(CC)(CCO)CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820887922 606827027 /nfs/dbraw/zinc/82/70/27/606827027.db2.gz XPMXFFJZDQYLMI-UHFFFAOYSA-N -1 1 318.381 1.180 20 0 DDADMM CCC(CC)(CCO)CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820887922 606827029 /nfs/dbraw/zinc/82/70/29/606827029.db2.gz XPMXFFJZDQYLMI-UHFFFAOYSA-N -1 1 318.381 1.180 20 0 DDADMM Cc1cc(Cl)cc(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)c1 ZINC000822202315 606959890 /nfs/dbraw/zinc/95/98/90/606959890.db2.gz VIZYPUDQEVLMRF-UHFFFAOYSA-N -1 1 317.740 1.814 20 0 DDADMM Cc1cc(Cl)cc(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)c1 ZINC000822202315 606959892 /nfs/dbraw/zinc/95/98/92/606959892.db2.gz VIZYPUDQEVLMRF-UHFFFAOYSA-N -1 1 317.740 1.814 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1cccc2nsnc21 ZINC000823656413 606980823 /nfs/dbraw/zinc/98/08/23/606980823.db2.gz DBHUCVUTMRKTTP-UHFFFAOYSA-N -1 1 311.330 1.081 20 0 DDADMM CCCC[C@H](CCC)CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821040529 607222215 /nfs/dbraw/zinc/22/22/15/607222215.db2.gz MXWPYNXVNGRXKT-NSHDSACASA-N -1 1 320.401 1.181 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1cnc(Cl)cn1 ZINC000823294593 607234672 /nfs/dbraw/zinc/23/46/72/607234672.db2.gz JASCBMFDECUMDY-UHFFFAOYSA-N -1 1 317.696 1.268 20 0 DDADMM CC1(C)CCCC[C@@H]1CC(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000824804069 607780529 /nfs/dbraw/zinc/78/05/29/607780529.db2.gz ZRKBPOHPJRURBJ-SECBINFHSA-N -1 1 303.370 1.892 20 0 DDADMM C[C@@H]1COCCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000825006893 607822762 /nfs/dbraw/zinc/82/27/62/607822762.db2.gz RUKBJGJQOUNMFG-NSHDSACASA-N -1 1 310.361 1.888 20 0 DDADMM C[C@@H]1COCCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000825006893 607822763 /nfs/dbraw/zinc/82/27/63/607822763.db2.gz RUKBJGJQOUNMFG-NSHDSACASA-N -1 1 310.361 1.888 20 0 DDADMM COc1cc(CCNc2cccc(-c3nnn[n-]3)n2)ccc1O ZINC000826178548 607899640 /nfs/dbraw/zinc/89/96/40/607899640.db2.gz CDHUFFOTMFEGDA-UHFFFAOYSA-N -1 1 312.333 1.631 20 0 DDADMM COc1cc(CCNc2cccc(-c3nn[n-]n3)n2)ccc1O ZINC000826178548 607899641 /nfs/dbraw/zinc/89/96/41/607899641.db2.gz CDHUFFOTMFEGDA-UHFFFAOYSA-N -1 1 312.333 1.631 20 0 DDADMM Cc1ccc([C@H]2COCCN2c2cccc(-c3nnn[n-]3)n2)o1 ZINC000826285927 607901841 /nfs/dbraw/zinc/90/18/41/607901841.db2.gz OBWRXFKSIZXMJF-GFCCVEGCSA-N -1 1 312.333 1.741 20 0 DDADMM Cc1ccc([C@H]2COCCN2c2cccc(-c3nn[n-]n3)n2)o1 ZINC000826285927 607901842 /nfs/dbraw/zinc/90/18/42/607901842.db2.gz OBWRXFKSIZXMJF-GFCCVEGCSA-N -1 1 312.333 1.741 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccc(F)nc1 ZINC000826451132 607997727 /nfs/dbraw/zinc/99/77/27/607997727.db2.gz REPDJGYCMWOHGX-UHFFFAOYSA-N -1 1 300.253 1.359 20 0 DDADMM CC[C@@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)C[C@@H]1O ZINC000825221109 608018008 /nfs/dbraw/zinc/01/80/08/608018008.db2.gz YATSZGIPAPVIFN-RITPCOANSA-N -1 1 300.775 1.184 20 0 DDADMM CC[C@@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)C[C@@H]1O ZINC000825221109 608018009 /nfs/dbraw/zinc/01/80/09/608018009.db2.gz YATSZGIPAPVIFN-RITPCOANSA-N -1 1 300.775 1.184 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC(C)(C)C1 ZINC000824974784 608139690 /nfs/dbraw/zinc/13/96/90/608139690.db2.gz FYRUMNFRSGDDSA-SNVBAGLBSA-N -1 1 300.366 1.770 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC(C)(C)C1 ZINC000824974784 608139691 /nfs/dbraw/zinc/13/96/91/608139691.db2.gz FYRUMNFRSGDDSA-SNVBAGLBSA-N -1 1 300.366 1.770 20 0 DDADMM CCCCN(CCCC)C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000825282187 608148494 /nfs/dbraw/zinc/14/84/94/608148494.db2.gz PXDRKFGZAYIEMD-UHFFFAOYSA-N -1 1 305.386 1.492 20 0 DDADMM CCCCN(CCCC)C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000825282187 608148495 /nfs/dbraw/zinc/14/84/95/608148495.db2.gz PXDRKFGZAYIEMD-UHFFFAOYSA-N -1 1 305.386 1.492 20 0 DDADMM CCOC(=O)CCCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000825511827 608173758 /nfs/dbraw/zinc/17/37/58/608173758.db2.gz HEIJCLBMMBZJBU-UHFFFAOYSA-N -1 1 316.774 1.732 20 0 DDADMM CCOC(=O)CCCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000825511827 608173759 /nfs/dbraw/zinc/17/37/59/608173759.db2.gz HEIJCLBMMBZJBU-UHFFFAOYSA-N -1 1 316.774 1.732 20 0 DDADMM c1cc(N[C@@H]2CCO[C@]3(CCOC3)C2)nc(-c2nnn[n-]2)c1 ZINC000826517180 608198788 /nfs/dbraw/zinc/19/87/88/608198788.db2.gz UKXGFQBRPJXLBU-QMTHXVAHSA-N -1 1 302.338 1.012 20 0 DDADMM c1cc(N[C@@H]2CCO[C@]3(CCOC3)C2)nc(-c2nn[n-]n2)c1 ZINC000826517180 608198790 /nfs/dbraw/zinc/19/87/90/608198790.db2.gz UKXGFQBRPJXLBU-QMTHXVAHSA-N -1 1 302.338 1.012 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC12CCC2 ZINC000824946196 608199908 /nfs/dbraw/zinc/19/99/08/608199908.db2.gz ILDWVCCWXWZZGT-NSHDSACASA-N -1 1 312.377 1.914 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC12CCC2 ZINC000824946196 608199911 /nfs/dbraw/zinc/19/99/11/608199911.db2.gz ILDWVCCWXWZZGT-NSHDSACASA-N -1 1 312.377 1.914 20 0 DDADMM Cn1ccc(CNc2cc(-c3nnn[n-]3)nc3ccccc32)n1 ZINC000826363271 608232697 /nfs/dbraw/zinc/23/26/97/608232697.db2.gz FNBMZUHGZMBBLS-UHFFFAOYSA-N -1 1 306.333 1.761 20 0 DDADMM Cn1ccc(CNc2cc(-c3nn[n-]n3)nc3ccccc32)n1 ZINC000826363271 608232699 /nfs/dbraw/zinc/23/26/99/608232699.db2.gz FNBMZUHGZMBBLS-UHFFFAOYSA-N -1 1 306.333 1.761 20 0 DDADMM c1cnn(-c2ccc(CNc3ccc(-c4nnn[n-]4)nn3)cc2)c1 ZINC000826526109 608399276 /nfs/dbraw/zinc/39/92/76/608399276.db2.gz LFARSJUYOOFSAD-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1cnn(-c2ccc(CNc3ccc(-c4nn[n-]n4)nn3)cc2)c1 ZINC000826526109 608399278 /nfs/dbraw/zinc/39/92/78/608399278.db2.gz LFARSJUYOOFSAD-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM COc1cccc(CNc2ccc(-c3nnn[n-]3)nn2)c1OC ZINC000826215936 608402215 /nfs/dbraw/zinc/40/22/15/608402215.db2.gz CSKJYYRIWBTOJD-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM COc1cccc(CNc2ccc(-c3nn[n-]n3)nn2)c1OC ZINC000826215936 608402217 /nfs/dbraw/zinc/40/22/17/608402217.db2.gz CSKJYYRIWBTOJD-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM CCC1(CC)[C@@H](OC)C[C@@H]1N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC000825171183 608432862 /nfs/dbraw/zinc/43/28/62/608432862.db2.gz MLESYXWRMHYHPA-RYUDHWBXSA-N -1 1 317.397 1.687 20 0 DDADMM CCC1(CC)[C@@H](OC)C[C@@H]1N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC000825171183 608432863 /nfs/dbraw/zinc/43/28/63/608432863.db2.gz MLESYXWRMHYHPA-RYUDHWBXSA-N -1 1 317.397 1.687 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)c2ccc(-c3nnn[n-]3)nn2)C12CCC2 ZINC000825581648 608433149 /nfs/dbraw/zinc/43/31/49/608433149.db2.gz CJUSKBKUILUCHE-VXGBXAGGSA-N -1 1 315.381 1.441 20 0 DDADMM CCO[C@@H]1C[C@@H](N(C)c2ccc(-c3nn[n-]n3)nn2)C12CCC2 ZINC000825581648 608433150 /nfs/dbraw/zinc/43/31/50/608433150.db2.gz CJUSKBKUILUCHE-VXGBXAGGSA-N -1 1 315.381 1.441 20 0 DDADMM C[C@H]1CC(NC(=O)c2ccc(-c3nnn[n-]3)s2)C[C@H](C)O1 ZINC000824893599 608451509 /nfs/dbraw/zinc/45/15/09/608451509.db2.gz RWMFRNGECYOPPO-YUMQZZPRSA-N -1 1 307.379 1.614 20 0 DDADMM C[C@H]1CC(NC(=O)c2ccc(-c3nn[n-]n3)s2)C[C@H](C)O1 ZINC000824893599 608451510 /nfs/dbraw/zinc/45/15/10/608451510.db2.gz RWMFRNGECYOPPO-YUMQZZPRSA-N -1 1 307.379 1.614 20 0 DDADMM Cc1ccccc1C1=CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC000826307155 608585692 /nfs/dbraw/zinc/58/56/92/608585692.db2.gz XWKCRWYQEUBHPE-UHFFFAOYSA-N -1 1 305.345 1.869 20 0 DDADMM Cc1ccccc1C1=CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC000826307155 608585693 /nfs/dbraw/zinc/58/56/93/608585693.db2.gz XWKCRWYQEUBHPE-UHFFFAOYSA-N -1 1 305.345 1.869 20 0 DDADMM CC(C)C[C@@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)CCO1 ZINC000824243633 609170997 /nfs/dbraw/zinc/17/09/97/609170997.db2.gz KTQXYMFVCAUVBY-WDEREUQCSA-N -1 1 303.370 1.662 20 0 DDADMM CC(C)C[C@@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)CCO1 ZINC000824243633 609170998 /nfs/dbraw/zinc/17/09/98/609170998.db2.gz KTQXYMFVCAUVBY-WDEREUQCSA-N -1 1 303.370 1.662 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)c1cncc(F)c1 ZINC000824513714 609417808 /nfs/dbraw/zinc/41/78/08/609417808.db2.gz GPLVEYRRIQQGEH-MRVPVSSYSA-N -1 1 313.296 1.287 20 0 DDADMM C[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)c1cncc(F)c1 ZINC000824513714 609417810 /nfs/dbraw/zinc/41/78/10/609417810.db2.gz GPLVEYRRIQQGEH-MRVPVSSYSA-N -1 1 313.296 1.287 20 0 DDADMM C[C@H]1OCC[C@@]1(C)Nc1snc(Cl)c1-c1nnn[n-]1 ZINC000825013719 609592223 /nfs/dbraw/zinc/59/22/23/609592223.db2.gz RFXOAYUWJBLNFB-GPXNAGAYSA-N -1 1 300.775 1.956 20 0 DDADMM C[C@H]1OCC[C@@]1(C)Nc1snc(Cl)c1-c1nn[n-]n1 ZINC000825013719 609592224 /nfs/dbraw/zinc/59/22/24/609592224.db2.gz RFXOAYUWJBLNFB-GPXNAGAYSA-N -1 1 300.775 1.956 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCCC2Cc3ccccc3C2)s1 ZINC000122393047 696721202 /nfs/dbraw/zinc/72/12/02/696721202.db2.gz GCCVOOBNAGHRFW-ZDUSSCGKSA-N -1 1 317.414 1.255 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCCC[C@@H]2C(=O)OC)[n-]1 ZINC000797012034 699990526 /nfs/dbraw/zinc/99/05/26/699990526.db2.gz HWQYWXKZGPOIQD-JOYOIKCWSA-N -1 1 309.318 1.690 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2CCCC[C@H]2C(=O)OC)[n-]1 ZINC000797012035 699990538 /nfs/dbraw/zinc/99/05/38/699990538.db2.gz HWQYWXKZGPOIQD-SKDRFNHKSA-N -1 1 309.318 1.690 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCNC(=O)c2ccccc2)[n-]1 ZINC000796929431 699986524 /nfs/dbraw/zinc/98/65/24/699986524.db2.gz ZXBKWCMYAJXCHX-UHFFFAOYSA-N -1 1 316.313 1.388 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2CCC[C@@H](C(=O)OC)C2)[n-]1 ZINC000797141976 699996007 /nfs/dbraw/zinc/99/60/07/699996007.db2.gz LRAHWHOKRKTRHM-ZJUUUORDSA-N -1 1 309.318 1.690 20 0 DDADMM O=C([O-])[C@H]1CCCCCN1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000797723659 700021150 /nfs/dbraw/zinc/02/11/50/700021150.db2.gz DJMJIYYVBJKTES-VXGBXAGGSA-N -1 1 306.366 1.826 20 0 DDADMM CC(=O)N1CCC[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000975290011 695825660 /nfs/dbraw/zinc/82/56/60/695825660.db2.gz INPILNAAPSBQIB-LLVKDONJSA-N -1 1 318.377 1.048 20 0 DDADMM COc1ccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cc1 ZINC000003392210 696006634 /nfs/dbraw/zinc/00/66/34/696006634.db2.gz PDJBCIXFOMFEPE-UHFFFAOYSA-N -1 1 313.309 1.809 20 0 DDADMM O=C(COC(=O)c1ccc(CO)cc1)[N-]C(=O)c1ccccc1 ZINC000003418924 696006995 /nfs/dbraw/zinc/00/69/95/696006995.db2.gz ZCGZNORPNIPWEJ-UHFFFAOYSA-N -1 1 313.309 1.292 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2ccoc2C)cc1 ZINC000017276193 696065049 /nfs/dbraw/zinc/06/50/49/696065049.db2.gz VHCWHOMIQDDWSN-UHFFFAOYSA-N -1 1 317.297 1.710 20 0 DDADMM O=C([N-]NC(=O)c1ccc([N+](=O)[O-])cc1)c1cc(F)ccc1F ZINC000022589131 696078582 /nfs/dbraw/zinc/07/85/82/696078582.db2.gz KEXNQRCOYWRUGS-UHFFFAOYSA-N -1 1 321.239 1.948 20 0 DDADMM CCN(CC(=O)N(C)C)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000747380541 700067075 /nfs/dbraw/zinc/06/70/75/700067075.db2.gz OXSBGAUOLNRTMN-UHFFFAOYSA-N -1 1 308.382 1.164 20 0 DDADMM COc1cc[n-]c(=NNC(=O)c2cc3c(cccc3F)[nH]2)n1 ZINC000046365245 696185194 /nfs/dbraw/zinc/18/51/94/696185194.db2.gz QVPOXZCOOQKALK-UHFFFAOYSA-N -1 1 301.281 1.863 20 0 DDADMM CCn1c(CNC(=O)Cn2ncc3ccccc32)n[n-]c1=S ZINC000066636746 696354363 /nfs/dbraw/zinc/35/43/63/696354363.db2.gz CRFYIDWEUDUFMC-UHFFFAOYSA-N -1 1 316.390 1.627 20 0 DDADMM Cn1c(CCNC(=O)c2ccc3c(c2)COC3)n[n-]c1=S ZINC000067051370 696358423 /nfs/dbraw/zinc/35/84/23/696358423.db2.gz KJNHRZAITXCDDE-UHFFFAOYSA-N -1 1 304.375 1.480 20 0 DDADMM CC1CCC(N(C(=O)Cc2sc(N)nc2[O-])C2CC2)CC1 ZINC000079783256 696463452 /nfs/dbraw/zinc/46/34/52/696463452.db2.gz AQGRJBCTMQLXLH-CBINBANVSA-N -1 1 309.435 1.903 20 0 DDADMM Cc1cccnc1[C@@H](NC(=O)Cc1sc(N)nc1[O-])C(C)C ZINC000080306039 696532776 /nfs/dbraw/zinc/53/27/76/696532776.db2.gz GCIHVWFCXLDPAT-PWSUYJOCSA-N -1 1 320.418 1.550 20 0 DDADMM CCO[C@@H]1CCCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000080606884 696536158 /nfs/dbraw/zinc/53/61/58/696536158.db2.gz AAKFUUMRZIRIRX-GFCCVEGCSA-N -1 1 307.394 1.843 20 0 DDADMM CCC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C1 ZINC000979547307 696591834 /nfs/dbraw/zinc/59/18/34/696591834.db2.gz LOTCOIXHHWSYDM-SRVKXCTJSA-N -1 1 303.362 1.164 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000979643392 696617272 /nfs/dbraw/zinc/61/72/72/696617272.db2.gz VZEZQEVSYDTVEE-IJLUTSLNSA-N -1 1 317.389 1.458 20 0 DDADMM O=C(COc1ccc2ccc(=O)oc2c1)Nc1ccncc1[O-] ZINC000109890373 696638721 /nfs/dbraw/zinc/63/87/21/696638721.db2.gz IALONJSMACONOP-UHFFFAOYSA-N -1 1 312.281 1.911 20 0 DDADMM CC(=O)NC[C@H]1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000980741076 696868211 /nfs/dbraw/zinc/86/82/11/696868211.db2.gz IYYLNZXKOVMRFQ-CMPLNLGQSA-N -1 1 318.377 1.094 20 0 DDADMM CCC(Nc1ccc(C(C)=O)cc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000799126570 700120716 /nfs/dbraw/zinc/12/07/16/700120716.db2.gz GBDVKEDQRBFMHI-UHFFFAOYSA-N -1 1 317.370 1.496 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@@H](c2ccccn2)C1 ZINC000799181976 700126797 /nfs/dbraw/zinc/12/67/97/700126797.db2.gz VRDPLLYVKKLOCS-GFCCVEGCSA-N -1 1 320.352 1.948 20 0 DDADMM CC(C)C(=O)N1CCC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000983891227 697311426 /nfs/dbraw/zinc/31/14/26/697311426.db2.gz CGEGMDCBPOIPAU-LBPRGKRZSA-N -1 1 305.378 1.506 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1C[C@H]1c1ccc2ccccc2c1 ZINC000171763099 697361575 /nfs/dbraw/zinc/36/15/75/697361575.db2.gz CFNAQWNOCBKFMP-KBPBESRZSA-N -1 1 309.325 1.526 20 0 DDADMM COc1cc(C[N-]C(=O)C(F)(F)C(F)F)cc(OC)c1O ZINC000178842847 697429594 /nfs/dbraw/zinc/42/95/94/697429594.db2.gz CCQWNOVOLTTYLO-UHFFFAOYSA-N -1 1 311.231 1.926 20 0 DDADMM Cc1ccc(-c2ccc(C(=O)[N-]N3CC(=O)NC3=O)s2)o1 ZINC000180318873 697447482 /nfs/dbraw/zinc/44/74/82/697447482.db2.gz TURLDBRWDQQVHI-UHFFFAOYSA-N -1 1 305.315 1.513 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)[N-]O[C@H]1CCCCO1 ZINC000183655488 697491729 /nfs/dbraw/zinc/49/17/29/697491729.db2.gz IZISKXHQVAKJBY-IUCAKERBSA-N -1 1 312.288 1.264 20 0 DDADMM C[C@H](Oc1ccc(F)cc1)C(=O)N[N-]C(=O)c1ccc(F)cn1 ZINC000184043822 697498562 /nfs/dbraw/zinc/49/85/62/697498562.db2.gz PULMEHURQFERBO-VIFPVBQESA-N -1 1 321.283 1.588 20 0 DDADMM Cc1oc(-c2ccccc2)c(C(=O)NN2CC(=O)[N-]C2=O)c1C ZINC000188348200 697557615 /nfs/dbraw/zinc/55/76/15/697557615.db2.gz MRVVMKCRUBHISZ-UHFFFAOYSA-N -1 1 313.313 1.760 20 0 DDADMM O=C(CCc1ccncn1)Nc1nc(Br)ccc1[O-] ZINC000191960609 697617367 /nfs/dbraw/zinc/61/73/67/697617367.db2.gz KFBQIYVXOFSDTG-UHFFFAOYSA-N -1 1 323.150 1.911 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)C(F)F)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000226611025 697767182 /nfs/dbraw/zinc/76/71/82/697767182.db2.gz XFEFGVQMMFQGOE-YFKPBYRVSA-N -1 1 305.231 1.934 20 0 DDADMM O=C(COC(=O)c1ccc(O)cc1F)[N-]C(=O)c1ccccc1 ZINC000773568528 697782171 /nfs/dbraw/zinc/78/21/71/697782171.db2.gz GVIJGDPEGIHCHC-UHFFFAOYSA-N -1 1 317.272 1.645 20 0 DDADMM O=C(O[C@H]1CCN(CC(F)(F)F)C1=O)c1ccc([O-])cc1F ZINC000773565434 697782268 /nfs/dbraw/zinc/78/22/68/697782268.db2.gz IDBDHGVJZRLQJD-JTQLQIEISA-N -1 1 321.226 1.851 20 0 DDADMM C[C@@H](OC(=O)c1ccc([O-])cc1F)C(=O)NC(=O)NC1CC1 ZINC000773569212 697782389 /nfs/dbraw/zinc/78/23/89/697782389.db2.gz KEBOTVNCSGOWIN-SSDOTTSWSA-N -1 1 310.281 1.065 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Br)c([O-])c2)CCN1C ZINC000231348608 697786017 /nfs/dbraw/zinc/78/60/17/697786017.db2.gz GGAVMYPPWMYEAC-VIFPVBQESA-N -1 1 313.195 1.931 20 0 DDADMM CN(Cc1cnn(C)c1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773776169 697803889 /nfs/dbraw/zinc/80/38/89/697803889.db2.gz JNOBOZRHQPRUHP-UHFFFAOYSA-N -1 1 302.363 1.024 20 0 DDADMM C[C@H](CN(C)C(=O)C1=NO[C@H](c2ccccc2)C1)c1nn[n-]n1 ZINC000774000845 697832856 /nfs/dbraw/zinc/83/28/56/697832856.db2.gz PMAKDGIDXAWEHM-MFKMUULPSA-N -1 1 314.349 1.279 20 0 DDADMM C[C@@H](C(=O)[N-]OC1CCOCC1)N1CCc2ccccc2C1 ZINC000775240351 697974645 /nfs/dbraw/zinc/97/46/45/697974645.db2.gz GSWXJTKJKMEQTM-ZDUSSCGKSA-N -1 1 304.390 1.660 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)[nH]n1 ZINC000776566957 698118433 /nfs/dbraw/zinc/11/84/33/698118433.db2.gz YJKZOQIPXNCHNM-SECBINFHSA-N -1 1 321.410 1.151 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1ccc2nncn2c1 ZINC000777444883 698191457 /nfs/dbraw/zinc/19/14/57/698191457.db2.gz DTUKORWZDCKADX-UHFFFAOYSA-N -1 1 312.333 1.909 20 0 DDADMM CC[C@H](F)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987689009 698198871 /nfs/dbraw/zinc/19/88/71/698198871.db2.gz RTWNKSPDMOTIHW-VWYCJHECSA-N -1 1 309.341 1.255 20 0 DDADMM Cc1ccsc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000987848254 698246128 /nfs/dbraw/zinc/24/61/28/698246128.db2.gz ZSGRTOOVFGRDCI-ZJUUUORDSA-N -1 1 321.406 1.273 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)[C@@H]1CCCOC1 ZINC000778711820 698361809 /nfs/dbraw/zinc/36/18/09/698361809.db2.gz VWIZMMGHJZVZRH-CYBMUJFWSA-N -1 1 320.455 1.124 20 0 DDADMM O=C(OC[C@@H]1COC(=O)O1)c1ccc(Br)c([O-])c1 ZINC000778826989 698373509 /nfs/dbraw/zinc/37/35/09/698373509.db2.gz GPZMKLQESDRRQJ-SSDOTTSWSA-N -1 1 317.091 1.847 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1c[nH]nc1-c1ccc(Cl)cc1 ZINC000779373297 698418491 /nfs/dbraw/zinc/41/84/91/698418491.db2.gz RGQDWPSSXIPDDL-UHFFFAOYSA-N -1 1 319.752 1.790 20 0 DDADMM CC(C)(NC(=O)NC[C@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000780344074 698509349 /nfs/dbraw/zinc/50/93/49/698509349.db2.gz GOIDXFWOCQCXRF-LLVKDONJSA-N -1 1 309.366 1.579 20 0 DDADMM CN(C)[C@@H](CNC(=O)N1CCC[C@H]1C(=O)[O-])c1ccsc1 ZINC000780385218 698514292 /nfs/dbraw/zinc/51/42/92/698514292.db2.gz NXRNTLKJZBEHHB-RYUDHWBXSA-N -1 1 311.407 1.609 20 0 DDADMM CSc1nsc([N-]C(=O)c2cc3n[nH]nc3cc2F)n1 ZINC000781895274 698659603 /nfs/dbraw/zinc/65/96/03/698659603.db2.gz LQVDQXUZYFUBCL-UHFFFAOYSA-N -1 1 310.339 1.923 20 0 DDADMM COC(=O)N[C@H]1CCN(CCOc2ccccc2C(=O)[O-])C1 ZINC000317010334 698694281 /nfs/dbraw/zinc/69/42/81/698694281.db2.gz JDIHBHTXSPFYEE-NSHDSACASA-N -1 1 308.334 1.194 20 0 DDADMM CCOC(=O)c1ccc(NC(=O)c2ccc(O)cc2[O-])cn1 ZINC000782738256 698764801 /nfs/dbraw/zinc/76/48/01/698764801.db2.gz DYPOTWCAKIUFND-UHFFFAOYSA-N -1 1 302.286 1.922 20 0 DDADMM CCC(=O)N[C@H](C)C(=O)Nc1nc(Br)ccc1[O-] ZINC000783912415 698891929 /nfs/dbraw/zinc/89/19/29/698891929.db2.gz XATXFTWPCLSRIY-ZCFIWIBFSA-N -1 1 316.155 1.403 20 0 DDADMM Cc1c(C(=O)NN2CC(=O)[N-]C2=O)ccc(C(F)(F)F)c1F ZINC000785403728 699074143 /nfs/dbraw/zinc/07/41/43/699074143.db2.gz MBBMIBZXKMSYLN-UHFFFAOYSA-N -1 1 319.214 1.350 20 0 DDADMM O=C(NC1CN(C(=O)C2(F)CCCC2)C1)c1ncccc1[O-] ZINC000990980042 699198208 /nfs/dbraw/zinc/19/82/08/699198208.db2.gz XYLIAPDNLPRZIJ-UHFFFAOYSA-N -1 1 307.325 1.010 20 0 DDADMM C[C@H](OC(=O)c1cc(F)cc(Cl)c1[O-])c1nnnn1C ZINC000787724386 699234582 /nfs/dbraw/zinc/23/45/82/699234582.db2.gz IDNAJYCKLDUILB-YFKPBYRVSA-N -1 1 300.677 1.626 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H]1c1ccccc1 ZINC000726866509 699385928 /nfs/dbraw/zinc/38/59/28/699385928.db2.gz WFCJZQNLTLECSA-AWEZNQCLSA-N -1 1 313.357 1.290 20 0 DDADMM O=C(Nc1ccc2nc(C3CC3)oc2c1)NN1CC(=O)[N-]C1=O ZINC000789843658 699414223 /nfs/dbraw/zinc/41/42/23/699414223.db2.gz ASVXHOUSLNQUIZ-UHFFFAOYSA-N -1 1 315.289 1.294 20 0 DDADMM O=C([O-])[C@@]1(NCc2cn(-c3ccccc3)nn2)CCSC1 ZINC000789898055 699418057 /nfs/dbraw/zinc/41/80/57/699418057.db2.gz RVJWTGSAWBDVEE-CQSZACIVSA-N -1 1 304.375 1.317 20 0 DDADMM Cc1ccccc1[C@@H](C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727850265 699428004 /nfs/dbraw/zinc/42/80/04/699428004.db2.gz VUCITRDPWKRSQX-CYBMUJFWSA-N -1 1 315.373 1.455 20 0 DDADMM C/C=C/C(=O)NCc1cccc(-c2nc(C(=O)OCC)n[n-]2)c1 ZINC000790160279 699446505 /nfs/dbraw/zinc/44/65/05/699446505.db2.gz PTAQCCNBBFSYMU-ZZXKWVIFSA-N -1 1 314.345 1.841 20 0 DDADMM Cc1cccc2c1CC[C@H]2NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000729540481 699482042 /nfs/dbraw/zinc/48/20/42/699482042.db2.gz IAMUWOWSRFAQCI-CQSZACIVSA-N -1 1 313.357 1.039 20 0 DDADMM O=C(C=Cc1ccc2ccc(Cl)cc2n1)NCc1nn[n-]n1 ZINC000732157612 699548290 /nfs/dbraw/zinc/54/82/90/699548290.db2.gz BLSXIZAVILWMAH-WAYWQWQTSA-N -1 1 314.736 1.731 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1cccc(C(=O)NC2CC2)c1 ZINC000732216181 699550646 /nfs/dbraw/zinc/55/06/46/699550646.db2.gz OMLJHXJJGSRFSX-UHFFFAOYSA-N -1 1 321.358 1.378 20 0 DDADMM COc1ncc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1Cl ZINC000791031709 699607448 /nfs/dbraw/zinc/60/74/48/699607448.db2.gz OFYHDCXWCYUVMS-UHFFFAOYSA-N -1 1 317.736 1.651 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC3Cc4ccccc4C3)ccnc1-2 ZINC000791089645 699610939 /nfs/dbraw/zinc/61/09/39/699610939.db2.gz NTBHYYOUJNZZCT-UHFFFAOYSA-N -1 1 307.357 1.631 20 0 DDADMM COc1ccccc1OCC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791168524 699614190 /nfs/dbraw/zinc/61/41/90/699614190.db2.gz XLECJOHKORWMNN-UHFFFAOYSA-N -1 1 312.329 1.368 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc4c(c3)OCCO4)ccnc1-2 ZINC000791168542 699614209 /nfs/dbraw/zinc/61/42/09/699614209.db2.gz YCMDWPZGYVVSLY-UHFFFAOYSA-N -1 1 310.313 1.365 20 0 DDADMM Cc1cccc2c1CCCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733989756 699630882 /nfs/dbraw/zinc/63/08/82/699630882.db2.gz LHTMJDOWXWACOZ-UHFFFAOYSA-N -1 1 313.357 1.215 20 0 DDADMM C[C@H]1CCC[C@H](CCNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000734463933 699652122 /nfs/dbraw/zinc/65/21/22/699652122.db2.gz DTDUKYPBSIBXMI-QWHCGFSZSA-N -1 1 307.394 1.259 20 0 DDADMM CCC[C@H](NC(=O)CN(CC)c1ccccc1)c1nn[n-]n1 ZINC000736430512 699725333 /nfs/dbraw/zinc/72/53/33/699725333.db2.gz PSOQDIDCZHBMPC-ZDUSSCGKSA-N -1 1 302.382 1.684 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000739608928 699776640 /nfs/dbraw/zinc/77/66/40/699776640.db2.gz RNTHVMQEEPYCKJ-LSDHHAIUSA-N -1 1 319.405 1.275 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CC[C@H](CNC(=O)[O-])C1 ZINC000739608927 699776667 /nfs/dbraw/zinc/77/66/67/699776667.db2.gz RNTHVMQEEPYCKJ-HUUCEWRRSA-N -1 1 319.405 1.275 20 0 DDADMM CCc1onc(-c2ccccc2)c1C(=O)[N-]N1CCCNC1=O ZINC000793783130 699778640 /nfs/dbraw/zinc/77/86/40/699778640.db2.gz LOBIQIWUKOQJCX-UHFFFAOYSA-N -1 1 314.345 1.964 20 0 DDADMM CCC[C@@H]1[C@H](C)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000742802196 699891135 /nfs/dbraw/zinc/89/11/35/699891135.db2.gz KCCYZFYGGHGDRX-CHWSQXEVSA-N -1 1 307.394 1.354 20 0 DDADMM O=C([C@@H]1CC[C@@H](C(F)(F)F)C1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000796263993 699922404 /nfs/dbraw/zinc/92/24/04/699922404.db2.gz IRNNOHWGIATDNH-IWSPIJDZSA-N -1 1 319.287 1.078 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)OCC(F)(F)F)[n-]1 ZINC000796343117 699927533 /nfs/dbraw/zinc/92/75/33/699927533.db2.gz ARQFNPPPEDGZSW-UHFFFAOYSA-N -1 1 309.196 1.064 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C(=O)NC(C)(C)C)C(C)C)[n-]1 ZINC000796344503 699927854 /nfs/dbraw/zinc/92/78/54/699927854.db2.gz IJPUDUQBUFJPEQ-GFCCVEGCSA-N -1 1 324.377 1.897 20 0 DDADMM COC(=O)[C@@H](C)OC(=O)c1nn(-c2ccc(F)cc2C)cc1[O-] ZINC000801426624 700307574 /nfs/dbraw/zinc/30/75/74/700307574.db2.gz DFJFAXDGKKHFTP-SECBINFHSA-N -1 1 322.292 1.744 20 0 DDADMM CCOc1nccc(C)c1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801701597 700332169 /nfs/dbraw/zinc/33/21/69/700332169.db2.gz BHDZGOQHBDHDQK-UHFFFAOYSA-N -1 1 311.345 1.696 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3ccc4c(c3)CCO4)ccnc1-2 ZINC000801704665 700332913 /nfs/dbraw/zinc/33/29/13/700332913.db2.gz TUPZFXHVRFCKRR-UHFFFAOYSA-N -1 1 308.341 1.458 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CSCCS2)n1 ZINC000752972565 700399723 /nfs/dbraw/zinc/39/97/23/700399723.db2.gz BXHXCAKHGKMCKD-MRVPVSSYSA-N -1 1 301.393 1.374 20 0 DDADMM O=C(COC(=O)C(C1CC1)C1CC1)[N-]C(=O)c1ccccc1 ZINC000753534608 700441775 /nfs/dbraw/zinc/44/17/75/700441775.db2.gz HXZLTVBQAHIFRS-UHFFFAOYSA-N -1 1 301.342 1.922 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@@H]2[C@H](O)c2ccccc2)nc1=O ZINC000754058140 700479398 /nfs/dbraw/zinc/47/93/98/700479398.db2.gz QESUHCUFDKIEMS-UKRRQHHQSA-N -1 1 302.378 1.197 20 0 DDADMM NC(=O)[C@H](Cc1ccccc1)OC(=O)c1ccc([O-])cc1F ZINC000754866335 700534036 /nfs/dbraw/zinc/53/40/36/700534036.db2.gz JDIKSQWNLCOEEA-AWEZNQCLSA-N -1 1 303.289 1.785 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H](C)C(C)(C)C)co1 ZINC000755896645 700590761 /nfs/dbraw/zinc/59/07/61/700590761.db2.gz CHHDIQVBRVXBFP-VIFPVBQESA-N -1 1 302.396 1.600 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCC[C@@H]2CCCO2)co1 ZINC000756062129 700601524 /nfs/dbraw/zinc/60/15/24/700601524.db2.gz UJYKCLAWOYMCQM-NSHDSACASA-N -1 1 317.363 1.304 20 0 DDADMM CC(C)CNC(=O)N1CCC[C@@H](CC(=O)[N-]OCC(F)F)C1 ZINC000759335157 700746994 /nfs/dbraw/zinc/74/69/94/700746994.db2.gz OGPGJOHWGDAIKI-NSHDSACASA-N -1 1 321.368 1.767 20 0 DDADMM CC1CCC(N2C[C@H](C(=O)[N-]OCC(F)F)CC2=O)CC1 ZINC000759336475 700747016 /nfs/dbraw/zinc/74/70/16/700747016.db2.gz JNLNAKXPXDFGOU-HSOILSAZSA-N -1 1 304.337 1.727 20 0 DDADMM O=C(NN1CCc2ccccc2C1=O)c1ccc([O-])cc1F ZINC000762651223 700901529 /nfs/dbraw/zinc/90/15/29/700901529.db2.gz BXCQCKXAWKNVPL-UHFFFAOYSA-N -1 1 300.289 1.875 20 0 DDADMM Cn1c(Cl)cnc1CNC(=O)c1c(F)ccc([O-])c1F ZINC000809648668 701677911 /nfs/dbraw/zinc/67/79/11/701677911.db2.gz NMYHATFWECLEFM-UHFFFAOYSA-N -1 1 301.680 1.987 20 0 DDADMM O=C([N-]N1C(=O)c2ccccc2C1=O)c1cc(F)cc2nc[nH]c21 ZINC000763230211 700930187 /nfs/dbraw/zinc/93/01/87/700930187.db2.gz QWNGRDAFASEMKY-UHFFFAOYSA-N -1 1 324.271 1.643 20 0 DDADMM CC[C@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)C1CCC(C)CC1 ZINC000764101625 700960455 /nfs/dbraw/zinc/96/04/55/700960455.db2.gz SJEBBUSMMKGNPL-HOAMVYINSA-N -1 1 321.425 1.952 20 0 DDADMM CC[C@H](Oc1ccccc1C)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765493221 701015875 /nfs/dbraw/zinc/01/58/75/701015875.db2.gz UOHGDFHFFVWQLT-NSHDSACASA-N -1 1 305.334 1.318 20 0 DDADMM Cc1nc(C(=O)OCc2nc(=O)n(C)[n-]2)c(-c2ccccc2)o1 ZINC000765508581 701016848 /nfs/dbraw/zinc/01/68/48/701016848.db2.gz ONJGCDDWYPJWNV-UHFFFAOYSA-N -1 1 314.301 1.429 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1ccoc1Cl ZINC000765839429 701028656 /nfs/dbraw/zinc/02/86/56/701028656.db2.gz XYIXRYNFWKSDGV-JTQLQIEISA-N -1 1 303.709 1.966 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000766763526 701062151 /nfs/dbraw/zinc/06/21/51/701062151.db2.gz SVRIPNQZEXINMK-QMMMGPOBSA-N -1 1 320.242 1.789 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CCCCS2)co1 ZINC000802925581 701068260 /nfs/dbraw/zinc/06/82/60/701068260.db2.gz JEUGGMITKGULAI-JTQLQIEISA-N -1 1 319.404 1.630 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCCCCO ZINC000803338331 701103171 /nfs/dbraw/zinc/10/31/71/701103171.db2.gz SOOJEKCPFVBUEP-UHFFFAOYSA-N -1 1 307.350 1.300 20 0 DDADMM COC(=O)CCSCCNC(=O)c1ccc([O-])c(F)c1 ZINC000768141742 701160478 /nfs/dbraw/zinc/16/04/78/701160478.db2.gz BVTZPKNYLGDWCS-UHFFFAOYSA-N -1 1 301.339 1.557 20 0 DDADMM COc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1C1CC1 ZINC000769478548 701246558 /nfs/dbraw/zinc/24/65/58/701246558.db2.gz MSAWLTDWSFHNFO-UHFFFAOYSA-N -1 1 303.318 1.351 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CC2(Cl)Cl)nc1Cl ZINC000769893694 701260483 /nfs/dbraw/zinc/26/04/83/701260483.db2.gz VYLDAPMQIAOUOY-YFKPBYRVSA-N -1 1 318.613 1.546 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCc1cnc2ccccc2c1 ZINC000830889679 706598235 /nfs/dbraw/zinc/59/82/35/706598235.db2.gz RLELDTIAIOOBJP-UHFFFAOYSA-N -1 1 310.361 1.430 20 0 DDADMM CCN(Cc1ccccc1)C(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805604662 701397733 /nfs/dbraw/zinc/39/77/33/701397733.db2.gz OJHWGDQPAFKSNQ-LLVKDONJSA-N -1 1 302.334 1.399 20 0 DDADMM CC(C)[C@H](OC(=O)c1cn[n-]n1)C(=O)N[C@@H]1CCCC[C@@H]1C ZINC000805606217 701398952 /nfs/dbraw/zinc/39/89/52/701398952.db2.gz UZKZKIBSAFDOQJ-LOWVWBTDSA-N -1 1 308.382 1.681 20 0 DDADMM C[C@H](CNc1ccccc1)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806481604 701437021 /nfs/dbraw/zinc/43/70/21/701437021.db2.gz FZPAXQDDILEONX-GFCCVEGCSA-N -1 1 324.388 1.964 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCc3ccc(Cl)cn3)ccnc1-2 ZINC000806490478 701437724 /nfs/dbraw/zinc/43/77/24/701437724.db2.gz HAOLLTJDDFMESV-UHFFFAOYSA-N -1 1 316.752 1.712 20 0 DDADMM CCOC(=O)C1(CNC(=O)C(=O)c2ccc([O-])cc2)CCCC1 ZINC000807392786 701475242 /nfs/dbraw/zinc/47/52/42/701475242.db2.gz JNMJKVZQMQZYCK-UHFFFAOYSA-N -1 1 319.357 1.815 20 0 DDADMM C[C@H]1CCN(C(=O)[C@@H]2CCCO2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000807706883 701484373 /nfs/dbraw/zinc/48/43/73/701484373.db2.gz HNCULIMIUJGTFS-NAKRPEOUSA-N -1 1 322.327 1.469 20 0 DDADMM C[C@H]1CN(C(=O)CSc2nc(C(F)F)cc(=O)[n-]2)CCO1 ZINC000809986720 701709660 /nfs/dbraw/zinc/70/96/60/701709660.db2.gz LFJPNEZYEVUPDP-ZETCQYMHSA-N -1 1 319.333 1.459 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)[N-]OCC(C)(C)O)CC2=O)cc1F ZINC000839740890 701805585 /nfs/dbraw/zinc/80/55/85/701805585.db2.gz KPPAIDACWRDKCE-LLVKDONJSA-N -1 1 324.352 1.306 20 0 DDADMM CCC[C@H](CC)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868165994 701973101 /nfs/dbraw/zinc/97/31/01/701973101.db2.gz FODLRQCPCKOIRA-JTQLQIEISA-N -1 1 308.382 1.633 20 0 DDADMM O=C(Cc1cccc(C2CC2)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000840332353 702072877 /nfs/dbraw/zinc/07/28/77/702072877.db2.gz CYJZEYDYXCZMGU-CQSZACIVSA-N -1 1 313.361 1.220 20 0 DDADMM Cc1nnc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)nc1C ZINC000811856277 702073139 /nfs/dbraw/zinc/07/31/39/702073139.db2.gz MYRCSONXTDFMPR-SNVBAGLBSA-N -1 1 317.315 1.383 20 0 DDADMM Cc1cccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1O ZINC000831154368 706646052 /nfs/dbraw/zinc/64/60/52/706646052.db2.gz NVAUTPLEWJMHFA-UHFFFAOYSA-N -1 1 316.279 1.451 20 0 DDADMM Cc1ccc([C@H](C)C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)o1 ZINC000831139468 706642421 /nfs/dbraw/zinc/64/24/21/706642421.db2.gz JBDIWDHKVVFOKQ-VIFPVBQESA-N -1 1 318.295 1.828 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)N=S(C)(C)=O)Sc1ccccc1 ZINC000866885699 706834825 /nfs/dbraw/zinc/83/48/25/706834825.db2.gz KKJFRMWGSRHJBH-SNVBAGLBSA-N -1 1 322.477 1.729 20 0 DDADMM COc1ccccc1N1C[C@@H](C(=O)[N-]OC2CCC2)CC1=O ZINC000812791583 702240090 /nfs/dbraw/zinc/24/00/90/702240090.db2.gz QRUBJFGHHNQBKR-NSHDSACASA-N -1 1 304.346 1.648 20 0 DDADMM CC1(C)CCC[C@@](O)(C[N-]S(=O)(=O)c2c[nH]nc2Cl)C1 ZINC000831207037 706657378 /nfs/dbraw/zinc/65/73/78/706657378.db2.gz NRNCNWRCGHUANR-LBPRGKRZSA-N -1 1 321.830 1.673 20 0 DDADMM O=C(COC(=O)[C@@H]1CC[C@H]2C[C@H]2C1)[N-]C(=O)c1ccccc1 ZINC000817206270 702307527 /nfs/dbraw/zinc/30/75/27/702307527.db2.gz MFKRNIGPFJGADH-MJBXVCDLSA-N -1 1 301.342 1.922 20 0 DDADMM C[C@@H]1C[C@H](CO)CCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000831218680 706660570 /nfs/dbraw/zinc/66/05/70/706660570.db2.gz BHGLHUXZJIBJBE-NXEZZACHSA-N -1 1 306.391 1.251 20 0 DDADMM O=c1[n-]c(CNc2ncc3c(n2)CCCC3)nc2c1COCC2 ZINC000866288992 706666005 /nfs/dbraw/zinc/66/60/05/706666005.db2.gz RRXWMSKVDJIIJN-UHFFFAOYSA-N -1 1 313.361 1.536 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)o1 ZINC000813817471 702419356 /nfs/dbraw/zinc/41/93/56/702419356.db2.gz CQKWBIQHAZDWFN-VIFPVBQESA-N -1 1 319.283 1.514 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000841535845 702486229 /nfs/dbraw/zinc/48/62/29/702486229.db2.gz VLFLSJNBYYAOSG-JTQLQIEISA-N -1 1 323.292 1.334 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC[C@H]3CCSC3)ccnc1-2 ZINC000879415768 706674040 /nfs/dbraw/zinc/67/40/40/706674040.db2.gz BYBIOHGBKNAAFG-JTQLQIEISA-N -1 1 305.407 1.607 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3CCC(C)(C)CO3)ccnc1-2 ZINC000879416006 706674155 /nfs/dbraw/zinc/67/41/55/706674155.db2.gz KSMASSAPKMKLMD-LLVKDONJSA-N -1 1 317.393 1.669 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3CC(C)(C)CC[C@H]3O)ccnc1-2 ZINC000879415974 706674168 /nfs/dbraw/zinc/67/41/68/706674168.db2.gz JYMNKFJEDSMOLR-CHWSQXEVSA-N -1 1 317.393 1.403 20 0 DDADMM Cc1ncc([C@H](C)NC(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000879415786 706674216 /nfs/dbraw/zinc/67/42/16/706674216.db2.gz CNDJNLZPNMKJHO-QMMMGPOBSA-N -1 1 316.390 1.989 20 0 DDADMM C[C@@H]1[C@H](C)CN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)[C@H]1C ZINC000869213670 702513729 /nfs/dbraw/zinc/51/37/29/702513729.db2.gz JVNCWBAAIAOCCZ-MXWKQRLJSA-N -1 1 320.393 1.441 20 0 DDADMM CCCCC(=O)COC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000869385186 702589466 /nfs/dbraw/zinc/58/94/66/702589466.db2.gz DIXNORWMDNINOL-UHFFFAOYSA-N -1 1 319.361 1.897 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1c[nH]nc1Cl)C1CCOCC1 ZINC000831309769 706684117 /nfs/dbraw/zinc/68/41/17/706684117.db2.gz YNTSWAYJHGHYPB-UHFFFAOYSA-N -1 1 307.803 1.547 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869467081 702616175 /nfs/dbraw/zinc/61/61/75/702616175.db2.gz HBIWWTHAWXUDOZ-BDAKNGLRSA-N -1 1 309.284 1.604 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2CC(=O)NC3(CCC3)C2)[n-]1 ZINC000842459236 702723035 /nfs/dbraw/zinc/72/30/35/702723035.db2.gz LSBRSNWGDSZQAT-VIFPVBQESA-N -1 1 306.318 1.159 20 0 DDADMM CC[C@H]1CCC[C@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014115 702803382 /nfs/dbraw/zinc/80/33/82/702803382.db2.gz LFAHFQHMYSCCQK-QWHCGFSZSA-N -1 1 316.467 1.743 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843016193 702803696 /nfs/dbraw/zinc/80/36/96/702803696.db2.gz RAXKBECOLIHNLR-VXGBXAGGSA-N -1 1 302.440 1.353 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2CC(C)(C)C2)CCC1 ZINC000843015413 702803895 /nfs/dbraw/zinc/80/38/95/702803895.db2.gz VEAVNZDNQMEPJI-UHFFFAOYSA-N -1 1 302.440 1.353 20 0 DDADMM C[C@H]1CCCc2ncc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)n21 ZINC000844502634 703038974 /nfs/dbraw/zinc/03/89/74/703038974.db2.gz MKIJKLBNQNLUEJ-JTQLQIEISA-N -1 1 310.361 1.688 20 0 DDADMM COC/C(C)=C/C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000845245625 703132899 /nfs/dbraw/zinc/13/28/99/703132899.db2.gz DLCZLDGOXJJPMV-DHZHZOJOSA-N -1 1 321.329 1.088 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC000845512346 703166202 /nfs/dbraw/zinc/16/62/02/703166202.db2.gz UZMNGQRCTISBJX-QWHCGFSZSA-N -1 1 316.361 1.248 20 0 DDADMM O=S(=O)([N-]CC[C@@]1(O)CCOC1)c1cc2ccccc2o1 ZINC000845985889 703223921 /nfs/dbraw/zinc/22/39/21/703223921.db2.gz AWLNKWWXPIJJCE-CQSZACIVSA-N -1 1 311.359 1.253 20 0 DDADMM Cc1nn(C)c(Cl)c1CN(C)C(=O)C(=O)c1ccc([O-])cc1 ZINC000846025648 703228394 /nfs/dbraw/zinc/22/83/94/703228394.db2.gz YZELROUFDQSYFG-UHFFFAOYSA-N -1 1 321.764 1.929 20 0 DDADMM Cc1cccc(OC[C@H](C)[N-]S(=O)(=O)N=S(C)(C)=O)c1 ZINC000866577804 706734037 /nfs/dbraw/zinc/73/40/37/706734037.db2.gz IVPGPHRDHWKCTI-NSHDSACASA-N -1 1 320.436 1.324 20 0 DDADMM CC(C)S(=O)(=O)CCN(C)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000846272000 703259007 /nfs/dbraw/zinc/25/90/07/703259007.db2.gz KQYAXZFJQAEXCC-CQSZACIVSA-N -1 1 313.419 1.437 20 0 DDADMM C[C@@H]1CN(Cc2cn(CC(=O)[O-])nn2)CCc2ccc(F)cc21 ZINC000846291256 703262649 /nfs/dbraw/zinc/26/26/49/703262649.db2.gz OOTHSBUSIVLBAP-LLVKDONJSA-N -1 1 318.352 1.664 20 0 DDADMM Cn1cc(C[C@@H](CO)CNC(=O)c2c([O-])cccc2Cl)cn1 ZINC000846645101 703306718 /nfs/dbraw/zinc/30/67/18/703306718.db2.gz AUNTYCWHGJOGTG-LLVKDONJSA-N -1 1 323.780 1.360 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)NOCC1CC1 ZINC000847375979 703410134 /nfs/dbraw/zinc/41/01/34/703410134.db2.gz MHGUYSTUTORLRM-PSASIEDQSA-N -1 1 323.315 1.569 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)NCCCF ZINC000848653969 703572292 /nfs/dbraw/zinc/57/22/92/703572292.db2.gz PKPSNAUXMAZCKZ-RKDXNWHRSA-N -1 1 313.295 1.587 20 0 DDADMM CCCC[C@](C)(F)C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000850939948 703766728 /nfs/dbraw/zinc/76/67/28/703766728.db2.gz FBXUSWHPLSPLEY-HNNXBMFYSA-N -1 1 324.356 1.730 20 0 DDADMM CCS(=O)(=O)CCN1[C@H](C(=O)[O-])CC[C@H]1c1ccccc1 ZINC000851708370 703833825 /nfs/dbraw/zinc/83/38/25/703833825.db2.gz VDNSPKCXWXOVKZ-KBPBESRZSA-N -1 1 311.403 1.711 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1ccccn1 ZINC000866735237 706780159 /nfs/dbraw/zinc/78/01/59/706780159.db2.gz SGPVFOLQWFXRKV-NSHDSACASA-N -1 1 305.425 1.485 20 0 DDADMM COC(=O)c1ccc(CC[N-]C(=O)C(F)(F)F)c(OC)c1 ZINC000818796218 703994206 /nfs/dbraw/zinc/99/42/06/703994206.db2.gz QIXBIQNENOJJNX-UHFFFAOYSA-N -1 1 305.252 1.703 20 0 DDADMM CC(C)(C)NC(=O)CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879762739 706783808 /nfs/dbraw/zinc/78/38/08/706783808.db2.gz JBKMWAMAEGMPTP-GFCCVEGCSA-N -1 1 309.332 1.044 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@H]2CCCO2)c1 ZINC000820201442 704239614 /nfs/dbraw/zinc/23/96/14/704239614.db2.gz PBOZIPNTPCGLDI-XUSGNXJCSA-N -1 1 312.387 1.915 20 0 DDADMM O=C([N-]OCCCC(F)(F)F)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000871212759 704243975 /nfs/dbraw/zinc/24/39/75/704243975.db2.gz BPLXEKKKMIKPOA-MRVPVSSYSA-N -1 1 320.271 1.153 20 0 DDADMM S=c1nc(-c2ccccn2)[n-]n1CN1CCC2(COC2)C1 ZINC000853598275 704281642 /nfs/dbraw/zinc/28/16/42/704281642.db2.gz QOUHQCHTAJYGBK-UHFFFAOYSA-N -1 1 303.391 1.682 20 0 DDADMM C[C@@H](CN(C)C(=O)OC(C)(C)C)Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000853985783 704343900 /nfs/dbraw/zinc/34/39/00/704343900.db2.gz YQBZGXREBKXKKK-QMMMGPOBSA-N -1 1 322.369 1.099 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3ocnc3C)no2)co1 ZINC000820927626 704347358 /nfs/dbraw/zinc/34/73/58/704347358.db2.gz YTNZJWOAGJUBPC-UHFFFAOYSA-N -1 1 310.291 1.201 20 0 DDADMM COc1cccc([S@](=O)CC(=O)O[C@@H](C)c2n[nH]c(C)n2)c1 ZINC000821352747 704395462 /nfs/dbraw/zinc/39/54/62/704395462.db2.gz NNYSKZBCDCGEJN-GTUYJWLHSA-N -1 1 323.374 1.534 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2nccc(C)n2)c1 ZINC000821352711 704395602 /nfs/dbraw/zinc/39/56/02/704395602.db2.gz MTGNTLMXFMHCPZ-JOCHJYFZSA-N -1 1 320.370 1.645 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H]2CCCCC2=O)c1 ZINC000821365017 704397393 /nfs/dbraw/zinc/39/73/93/704397393.db2.gz JUGHMCIYXTUSHV-SPLOXXLWSA-N -1 1 310.371 1.858 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@@](O)(C2CC2)C1 ZINC000855696508 704498048 /nfs/dbraw/zinc/49/80/48/704498048.db2.gz ANAGEILUVLILKE-HNNXBMFYSA-N -1 1 318.402 1.395 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCC[C@H]1CC1CCC1 ZINC000856272251 704521014 /nfs/dbraw/zinc/52/10/14/704521014.db2.gz PCDBYJJSFXPTPX-AWEZNQCLSA-N -1 1 319.405 1.498 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(CCS(=O)(=O)C2CCCCC2)C1 ZINC000857083587 704550104 /nfs/dbraw/zinc/55/01/04/704550104.db2.gz FRBDPFCFAUJEOZ-CHWSQXEVSA-N -1 1 317.451 1.777 20 0 DDADMM CCc1ccccc1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866854375 706826114 /nfs/dbraw/zinc/82/61/14/706826114.db2.gz HPBPGBMCWJCNEE-UHFFFAOYSA-N -1 1 316.448 1.845 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@](C)(O)c2ccsc2)sn1 ZINC000866859595 706828173 /nfs/dbraw/zinc/82/81/73/706828173.db2.gz NCLVZAULTHYGJI-LLVKDONJSA-N -1 1 318.445 1.699 20 0 DDADMM CO[C@H](C)[C@H](C)[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867165436 706914040 /nfs/dbraw/zinc/91/40/40/706914040.db2.gz YEISWGXLZIORAB-NKWVEPMBSA-N -1 1 315.317 1.141 20 0 DDADMM CC1(CC[N-]S(=O)(=O)c2nc[nH]c2Br)CC1 ZINC000867210289 706925924 /nfs/dbraw/zinc/92/59/24/706925924.db2.gz QLINIMGNMLSGNQ-UHFFFAOYSA-N -1 1 308.201 1.641 20 0 DDADMM COCCO[C@H]1COCC[C@H]1Nc1cc(Cl)[n-]c(=O)n1 ZINC000858488695 704717566 /nfs/dbraw/zinc/71/75/66/704717566.db2.gz KEAZYCGPMUZJRA-BDAKNGLRSA-N -1 1 303.746 1.068 20 0 DDADMM O=C([C@@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1)N1CCCC1 ZINC000858406308 704706901 /nfs/dbraw/zinc/70/69/01/704706901.db2.gz HVJVRIZWZZGRDU-SNVBAGLBSA-N -1 1 310.785 1.674 20 0 DDADMM C/C=C\CNC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000858884741 704768242 /nfs/dbraw/zinc/76/82/42/704768242.db2.gz DBZDLWLFNQFXLZ-QKMQQOOLSA-N -1 1 307.316 1.661 20 0 DDADMM C[C@@H](F)CC[N-]S(=O)(=O)c1cnn(C)c1Br ZINC000867309645 706955248 /nfs/dbraw/zinc/95/52/48/706955248.db2.gz YCFZOSIRKVKLLG-ZCFIWIBFSA-N -1 1 314.180 1.209 20 0 DDADMM Cc1nc(Cl)sc1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000822449958 704950554 /nfs/dbraw/zinc/95/05/54/704950554.db2.gz WURQUOHPAHJJFL-UHFFFAOYSA-N -1 1 324.757 1.271 20 0 DDADMM Cc1nc(Cl)sc1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000822449958 704950558 /nfs/dbraw/zinc/95/05/58/704950558.db2.gz WURQUOHPAHJJFL-UHFFFAOYSA-N -1 1 324.757 1.271 20 0 DDADMM CCC[C@H](C)[C@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867459358 707008236 /nfs/dbraw/zinc/00/82/36/707008236.db2.gz VSRNWUQSEYRUFT-IUCAKERBSA-N -1 1 324.805 1.950 20 0 DDADMM C[C@H](Oc1ccc(CN2C[C@H]3OCCN(C)[C@@H]3C2)cc1)C(=O)[O-] ZINC000833542770 707009337 /nfs/dbraw/zinc/00/93/37/707009337.db2.gz SOTCUJVRBKNDAC-APHBMKBZSA-N -1 1 320.389 1.053 20 0 DDADMM Cn1[n-]c(COC(=O)c2csc(C(F)(F)F)c2)nc1=O ZINC000859881860 705028636 /nfs/dbraw/zinc/02/86/36/705028636.db2.gz TYRDMVHCXHUYBW-UHFFFAOYSA-N -1 1 307.253 1.546 20 0 DDADMM C[C@]1(CC(=O)Nc2cc(F)cc(F)c2[O-])CCS(=O)(=O)N1 ZINC000822971623 705097238 /nfs/dbraw/zinc/09/72/38/705097238.db2.gz GRAXWWQXVRXRRY-GFCCVEGCSA-N -1 1 320.317 1.081 20 0 DDADMM O=C(COc1ccc(F)cc1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000823040280 705121252 /nfs/dbraw/zinc/12/12/52/705121252.db2.gz GQOFQSWFCDVOAW-UHFFFAOYSA-N -1 1 320.309 1.480 20 0 DDADMM O=C(COc1ccc(F)cc1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000823040280 705121255 /nfs/dbraw/zinc/12/12/55/705121255.db2.gz GQOFQSWFCDVOAW-UHFFFAOYSA-N -1 1 320.309 1.480 20 0 DDADMM CC[C@H](COCC1CC1)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000823062136 705127933 /nfs/dbraw/zinc/12/79/33/705127933.db2.gz JSYQRTDYSLPRTO-GFCCVEGCSA-N -1 1 317.393 1.669 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@@H](C)C1=O ZINC000823062189 705127948 /nfs/dbraw/zinc/12/79/48/705127948.db2.gz JWHSQBMPLPGANE-NXEZZACHSA-N -1 1 301.350 1.031 20 0 DDADMM C[C@H](CNC(=O)N=c1ccnc2n(C)[n-]cc1-2)c1ccccn1 ZINC000823064030 705129159 /nfs/dbraw/zinc/12/91/59/705129159.db2.gz XRLJXGWFZSYRNO-LLVKDONJSA-N -1 1 310.361 1.662 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccnc1Cl)[C@H](O)C(F)(F)F ZINC000867644668 707062017 /nfs/dbraw/zinc/06/20/17/707062017.db2.gz WDBXKPSOJLKJQD-VDTYLAMSSA-N -1 1 318.704 1.325 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccnc1Cl)[C@@H](O)C(F)(F)F ZINC000867644667 707062051 /nfs/dbraw/zinc/06/20/51/707062051.db2.gz WDBXKPSOJLKJQD-IYSWYEEDSA-N -1 1 318.704 1.325 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@]2(C)CCCOC2)o1 ZINC000861043606 705358554 /nfs/dbraw/zinc/35/85/54/705358554.db2.gz BXJNWSRVSZEURB-CYBMUJFWSA-N -1 1 317.363 1.161 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC[C@H](n2ccnn2)CC1 ZINC000824526268 705472003 /nfs/dbraw/zinc/47/20/03/705472003.db2.gz XNAVUNCSMWAUHV-LBPRGKRZSA-N -1 1 304.325 1.990 20 0 DDADMM CC[C@@]1(C)CCCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825164583 705613841 /nfs/dbraw/zinc/61/38/41/705613841.db2.gz DJDQXSKCOHDULF-HNNXBMFYSA-N -1 1 318.381 1.744 20 0 DDADMM CC[C@@]1(C)CCCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825164583 705613846 /nfs/dbraw/zinc/61/38/46/705613846.db2.gz DJDQXSKCOHDULF-HNNXBMFYSA-N -1 1 318.381 1.744 20 0 DDADMM O=C(NC1CC(N2CCOCC2)C1)c1c([O-])cccc1Cl ZINC000862110578 705655047 /nfs/dbraw/zinc/65/50/47/705655047.db2.gz ZLSGCVRBMSMCJR-UHFFFAOYSA-N -1 1 310.781 1.639 20 0 DDADMM CCc1cnc(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)s1 ZINC000825734624 705723939 /nfs/dbraw/zinc/72/39/39/705723939.db2.gz LTPKXYQNIBGKJX-UHFFFAOYSA-N -1 1 319.350 1.363 20 0 DDADMM CCc1cnc(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)s1 ZINC000825734624 705723941 /nfs/dbraw/zinc/72/39/41/705723941.db2.gz LTPKXYQNIBGKJX-UHFFFAOYSA-N -1 1 319.350 1.363 20 0 DDADMM COC[C@@H]1CCCN1NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000862596529 705745322 /nfs/dbraw/zinc/74/53/22/705745322.db2.gz KUPKMZNMIDAICI-NSHDSACASA-N -1 1 322.409 1.544 20 0 DDADMM COC(=O)C1(CNc2ccc(-c3nnn[n-]3)nn2)CCCCC1 ZINC000826124130 705775247 /nfs/dbraw/zinc/77/52/47/705775247.db2.gz PFWBAOZSQDZHNE-UHFFFAOYSA-N -1 1 317.353 1.192 20 0 DDADMM COC(=O)C1(CNc2ccc(-c3nn[n-]n3)nn2)CCCCC1 ZINC000826124130 705775250 /nfs/dbraw/zinc/77/52/50/705775250.db2.gz PFWBAOZSQDZHNE-UHFFFAOYSA-N -1 1 317.353 1.192 20 0 DDADMM COc1c(NCc2ccc(-c3nnn[n-]3)o2)cccc1C(N)=O ZINC000826167255 705781093 /nfs/dbraw/zinc/78/10/93/705781093.db2.gz WGVSNTMODKIMMC-UHFFFAOYSA-N -1 1 314.305 1.179 20 0 DDADMM COc1c(NCc2ccc(-c3nn[n-]n3)o2)cccc1C(N)=O ZINC000826167255 705781097 /nfs/dbraw/zinc/78/10/97/705781097.db2.gz WGVSNTMODKIMMC-UHFFFAOYSA-N -1 1 314.305 1.179 20 0 DDADMM Cc1ccc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])cc1O ZINC000826282300 705786724 /nfs/dbraw/zinc/78/67/24/705786724.db2.gz HQYQEZPXQJTSCE-UHFFFAOYSA-N -1 1 311.301 1.839 20 0 DDADMM Cc1nc(CNc2cccc(-c3nnn[n-]3)n2)ccc1[C@@H](C)O ZINC000826326531 705791957 /nfs/dbraw/zinc/79/19/57/705791957.db2.gz UDYGTXASXOINNC-SNVBAGLBSA-N -1 1 311.349 1.631 20 0 DDADMM Cc1nc(CNc2cccc(-c3nn[n-]n3)n2)ccc1[C@@H](C)O ZINC000826326531 705791962 /nfs/dbraw/zinc/79/19/62/705791962.db2.gz UDYGTXASXOINNC-SNVBAGLBSA-N -1 1 311.349 1.631 20 0 DDADMM Cc1onc(CC(=O)NC[C@@H](C)C(C)(C)C)c1-c1nnn[n-]1 ZINC000826346279 705793407 /nfs/dbraw/zinc/79/34/07/705793407.db2.gz IGAKIVIAKFWMDQ-MRVPVSSYSA-N -1 1 306.370 1.504 20 0 DDADMM Cc1onc(CC(=O)NC[C@@H](C)C(C)(C)C)c1-c1nn[n-]n1 ZINC000826346279 705793410 /nfs/dbraw/zinc/79/34/10/705793410.db2.gz IGAKIVIAKFWMDQ-MRVPVSSYSA-N -1 1 306.370 1.504 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)CCC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826343950 705793484 /nfs/dbraw/zinc/79/34/84/705793484.db2.gz RWQHDMBFQHZPAX-ZJUUUORDSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)CCC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826343950 705793487 /nfs/dbraw/zinc/79/34/87/705793487.db2.gz RWQHDMBFQHZPAX-ZJUUUORDSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N2CCc3ccccc32)c1-c1nnn[n-]1 ZINC000826345633 705793801 /nfs/dbraw/zinc/79/38/01/705793801.db2.gz PQEYNWSACCZZDY-UHFFFAOYSA-N -1 1 310.317 1.295 20 0 DDADMM Cc1onc(CC(=O)N2CCc3ccccc32)c1-c1nn[n-]n1 ZINC000826345633 705793804 /nfs/dbraw/zinc/79/38/04/705793804.db2.gz PQEYNWSACCZZDY-UHFFFAOYSA-N -1 1 310.317 1.295 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCCOc2ccccc21 ZINC000826472194 705803804 /nfs/dbraw/zinc/80/38/04/705803804.db2.gz BISILMLRQBJGHQ-UHFFFAOYSA-N -1 1 322.328 1.691 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCCOc2ccccc21 ZINC000826472194 705803808 /nfs/dbraw/zinc/80/38/08/705803808.db2.gz BISILMLRQBJGHQ-UHFFFAOYSA-N -1 1 322.328 1.691 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@H](C)CN(C)C(=O)[O-] ZINC000826690905 705847632 /nfs/dbraw/zinc/84/76/32/705847632.db2.gz MNQUDVJYXIGNGR-MGPQQGTHSA-N -1 1 311.426 1.708 20 0 DDADMM Cc1ccc(C(=O)[N-]N2C(=O)[C@@H](C)N(C)C2=O)c2ncccc12 ZINC000826829931 705879926 /nfs/dbraw/zinc/87/99/26/705879926.db2.gz AQHOHCKMLSKBOS-SNVBAGLBSA-N -1 1 312.329 1.471 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H]2CSC[C@H]2C1 ZINC000827122175 705934358 /nfs/dbraw/zinc/93/43/58/705934358.db2.gz UQQLLKSAOSBDHD-WDEREUQCSA-N -1 1 320.443 1.843 20 0 DDADMM CC1(C)C[C@H](O)CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000827677532 706047995 /nfs/dbraw/zinc/04/79/95/706047995.db2.gz YAWSCFPVOKERAL-JTQLQIEISA-N -1 1 306.391 1.251 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@H](O)CC(C)(C)C1 ZINC000827698215 706051871 /nfs/dbraw/zinc/05/18/71/706051871.db2.gz MEIWNGQMOGVEGA-LLVKDONJSA-N -1 1 307.394 1.847 20 0 DDADMM O=C(N[C@@H](C1CCC1)[C@@H]1CCOC1)c1cnc(C2CC2)[n-]c1=O ZINC000864085511 706063943 /nfs/dbraw/zinc/06/39/43/706063943.db2.gz XZHVJSONTATSER-OCCSQVGLSA-N -1 1 317.389 1.995 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N[C@H](C(=O)[O-])C(C)(C)C ZINC000908968128 712905209 /nfs/dbraw/zinc/90/52/09/712905209.db2.gz AEHKHQZPTXVBOK-OAHLLOKOSA-N -1 1 306.406 1.776 20 0 DDADMM O=C(C[C@@H]1CC[C@H](C2CC2)O1)N1CCC(c2nn[n-]n2)CC1 ZINC000828416353 706175167 /nfs/dbraw/zinc/17/51/67/706175167.db2.gz HKFCQRXQJIRRKS-QWHCGFSZSA-N -1 1 305.382 1.253 20 0 DDADMM Cc1ccc(CN2CCO[C@@H](C[N-]C(=O)C(F)(F)F)C2)cc1 ZINC000864493055 706181211 /nfs/dbraw/zinc/18/12/11/706181211.db2.gz UOXOPUIRVFYYSB-ZDUSSCGKSA-N -1 1 316.323 1.874 20 0 DDADMM O=C([N-]CC1CN(Cc2cn(C3CCC3)nn2)C1)C(F)(F)F ZINC000877776650 706207188 /nfs/dbraw/zinc/20/71/88/706207188.db2.gz SRUVNXHCMAAUMV-UHFFFAOYSA-N -1 1 317.315 1.113 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](Cc1cccnc1)C1CCCC1 ZINC000828604909 706207124 /nfs/dbraw/zinc/20/71/24/706207124.db2.gz DRNYFKCFUSYJCC-CQSZACIVSA-N -1 1 314.393 1.445 20 0 DDADMM O=S1(=O)C[C@@H]2CCN(Cc3cccc([O-])c3Cl)C[C@@H]2C1 ZINC000877790532 706215429 /nfs/dbraw/zinc/21/54/29/706215429.db2.gz NFZAPJMUTJUFHJ-NWDGAFQWSA-N -1 1 315.822 1.912 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC000828689532 706218165 /nfs/dbraw/zinc/21/81/65/706218165.db2.gz OLFWXUPEGOUDIY-SDDRHHMPSA-N -1 1 317.389 1.697 20 0 DDADMM CC[C@H]1CN2CCCC[C@@H]2CN1C(=O)c1ccnc(C(=O)[O-])c1 ZINC000829159933 706295589 /nfs/dbraw/zinc/29/55/89/706295589.db2.gz APWSYOJQPNDAGB-UONOGXRCSA-N -1 1 317.389 1.869 20 0 DDADMM C[S@@](=O)(=NS(=O)(=O)[N-]CC1(CO)CC1)C1CCCCC1 ZINC000881959377 707444700 /nfs/dbraw/zinc/44/47/00/707444700.db2.gz DQVNYSIBGXGUHP-IBGZPJMESA-N -1 1 324.468 1.024 20 0 DDADMM O=c1sc2ccccc2n1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000865357505 706412217 /nfs/dbraw/zinc/41/22/17/706412217.db2.gz IQCVUMRXCDIIQR-UHFFFAOYSA-N -1 1 315.354 1.680 20 0 DDADMM O=C(/C=C/CCc1ccccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000865596775 706473173 /nfs/dbraw/zinc/47/31/73/706473173.db2.gz YPCRTZRSXJMRNA-KVEVGEHQSA-N -1 1 313.361 1.289 20 0 DDADMM O=C1OCC[C@H]1CCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000872563963 707482734 /nfs/dbraw/zinc/48/27/34/707482734.db2.gz JXULDKCNKILGBG-MRVPVSSYSA-N -1 1 323.317 1.839 20 0 DDADMM C[C@@H]1COCC[C@H]1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830807082 706583064 /nfs/dbraw/zinc/58/30/64/706583064.db2.gz BOHUFOAFYJCUGG-GIPNMCIBSA-N -1 1 322.327 1.329 20 0 DDADMM COc1cc(C(=O)NCC[C@H]2CNC(=O)C2)cc(Cl)c1[O-] ZINC000831990518 706820020 /nfs/dbraw/zinc/82/00/20/706820020.db2.gz KGUNGCZBUSTJQS-MRVPVSSYSA-N -1 1 312.753 1.310 20 0 DDADMM Cc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)ccc1N ZINC000832054152 706833526 /nfs/dbraw/zinc/83/35/26/706833526.db2.gz JYLFPFCLQCVWKC-UHFFFAOYSA-N -1 1 302.334 1.686 20 0 DDADMM COC1CCC(C[N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000866925285 706846248 /nfs/dbraw/zinc/84/62/48/706846248.db2.gz IKUVXDMKROTFGL-UHFFFAOYSA-N -1 1 320.361 1.843 20 0 DDADMM CC(C)[C@@H](CO)[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(C)C ZINC000832235353 706877477 /nfs/dbraw/zinc/87/74/77/706877477.db2.gz BAJUTJZTOBAUMG-MWLCHTKSSA-N -1 1 323.846 1.631 20 0 DDADMM CO[C@@H]1CCC[C@@H]1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867058221 706885542 /nfs/dbraw/zinc/88/55/42/706885542.db2.gz PFGCLGNZVGKMKP-RKDXNWHRSA-N -1 1 306.334 1.453 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCCCS1 ZINC000867089797 706893043 /nfs/dbraw/zinc/89/30/43/706893043.db2.gz LKWHQUBCEQSUKP-JDNHERCYSA-N -1 1 300.471 1.224 20 0 DDADMM O=S(=O)([N-]CC(F)(F)CO)c1ccc2ccccc2n1 ZINC000867118657 706901903 /nfs/dbraw/zinc/90/19/03/706901903.db2.gz VTCWEAIOEBBXBO-UHFFFAOYSA-N -1 1 302.302 1.141 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCC[C@@H]2C=CCC2)o1 ZINC000834338147 707032374 /nfs/dbraw/zinc/03/23/74/707032374.db2.gz GCRAWYPKCRGVMD-LLVKDONJSA-N -1 1 312.391 1.664 20 0 DDADMM Cc1cc(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cs1 ZINC000880639848 707045140 /nfs/dbraw/zinc/04/51/40/707045140.db2.gz RUDLQDRROYQNGK-UHFFFAOYSA-N -1 1 320.374 1.947 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C(C)(C)SC)n[n-]1 ZINC000880666568 707052304 /nfs/dbraw/zinc/05/23/04/707052304.db2.gz OOUBWGNVASCOAS-SSDOTTSWSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C(C)(C)SC)[n-]1 ZINC000880666568 707052305 /nfs/dbraw/zinc/05/23/05/707052305.db2.gz OOUBWGNVASCOAS-SSDOTTSWSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C(C)(C)SC)n1 ZINC000880666568 707052308 /nfs/dbraw/zinc/05/23/08/707052308.db2.gz OOUBWGNVASCOAS-SSDOTTSWSA-N -1 1 300.384 1.300 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(Br)o2)[C@H](C)O1 ZINC000867609398 707052822 /nfs/dbraw/zinc/05/28/22/707052822.db2.gz RDVRSLYVKIHZKD-GJMOJQLCSA-N -1 1 324.196 1.886 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2nc[nH]c2Br)[C@@H](C)O1 ZINC000867609348 707052944 /nfs/dbraw/zinc/05/29/44/707052944.db2.gz QGOFBWXXDQUYLP-FSDSQADBSA-N -1 1 324.200 1.016 20 0 DDADMM CC1(C)CC[C@@H](O)[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000867615591 707055236 /nfs/dbraw/zinc/05/52/36/707055236.db2.gz BOJOJDIVEZNFKX-RKDXNWHRSA-N -1 1 320.361 1.578 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H]1O)c1cc(Cl)cnc1Cl ZINC000867677413 707071168 /nfs/dbraw/zinc/07/11/68/707071168.db2.gz OKSDBXWWWBHWIC-YUMQZZPRSA-N -1 1 311.190 1.580 20 0 DDADMM COc1ccc(CCC(=O)[N-]O[C@H]2CCN(C(C)C)C2=O)cc1 ZINC000871798060 707207799 /nfs/dbraw/zinc/20/77/99/707207799.db2.gz NZIUPATWXRDFKQ-HNNXBMFYSA-N -1 1 320.389 1.685 20 0 DDADMM CO[C@@H]1CCN2C(=O)N(Cc3ccc([O-])c(Cl)c3)C(=O)[C@@H]2C1 ZINC000871912906 707242596 /nfs/dbraw/zinc/24/25/96/707242596.db2.gz YXVFEAPELLDVAK-PWSUYJOCSA-N -1 1 324.764 1.987 20 0 DDADMM CC(=O)CCCCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000909105562 712937530 /nfs/dbraw/zinc/93/75/30/712937530.db2.gz YVYOVCCVTLBSPJ-UHFFFAOYSA-N -1 1 306.391 1.897 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)c1cncc([O-])c1 ZINC000927114955 712944020 /nfs/dbraw/zinc/94/40/20/712944020.db2.gz KFTQWYVFSUPXKS-ZWNOBZJWSA-N -1 1 321.377 1.772 20 0 DDADMM CCn1ncc([N-]S(=O)(=O)CC2(OC)CCOCC2)c1C ZINC000872421147 707404246 /nfs/dbraw/zinc/40/42/46/707404246.db2.gz AOLVSTZFHNUMES-UHFFFAOYSA-N -1 1 317.411 1.149 20 0 DDADMM C/C=C/C[C@@H](NC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000909168972 712952520 /nfs/dbraw/zinc/95/25/20/712952520.db2.gz HAWLOXHTYOMEBX-YWVDXFKGSA-N -1 1 302.334 1.553 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]3CCC[C@H]3O2)c1Cl ZINC000872558474 707479181 /nfs/dbraw/zinc/47/91/81/707479181.db2.gz NBTMYBVFJNYYRN-LPEHRKFASA-N -1 1 319.814 1.309 20 0 DDADMM O=C([O-])C1(NC(=O)c2ccc(-c3nnc[nH]3)cc2)CCCCC1 ZINC000836717496 707507056 /nfs/dbraw/zinc/50/70/56/707507056.db2.gz CAANNITUAGTJNG-UHFFFAOYSA-N -1 1 314.345 1.989 20 0 DDADMM CCn1ccnc1[C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000836950721 707553823 /nfs/dbraw/zinc/55/38/23/707553823.db2.gz UHQWOSOKKBBDRD-VIFPVBQESA-N -1 1 316.390 1.725 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)c1cccnc1F ZINC000882306420 707577318 /nfs/dbraw/zinc/57/73/18/707577318.db2.gz BNFNXLOBSCHBIB-VIFPVBQESA-N -1 1 318.370 1.477 20 0 DDADMM CC1(C)CCC[C@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000837116324 707581256 /nfs/dbraw/zinc/58/12/56/707581256.db2.gz LOYLXCBODUPWBF-LBPRGKRZSA-N -1 1 307.394 1.259 20 0 DDADMM CC1(C)CC[C@H](C[N-]S(=O)(=O)c2c[nH]nc2Cl)OC1 ZINC000882565666 707701094 /nfs/dbraw/zinc/70/10/94/707701094.db2.gz RCBSVDXKRMYOKW-MRVPVSSYSA-N -1 1 307.803 1.547 20 0 DDADMM O=S(=O)(C[C@H]1CCCOC1)[N-]c1cc(F)cc(F)c1O ZINC000882744768 707770511 /nfs/dbraw/zinc/77/05/11/707770511.db2.gz PLXNVXCGIKLWTB-QMMMGPOBSA-N -1 1 307.318 1.839 20 0 DDADMM CC[C@@H](OC(=O)c1ccccc1[N-]S(=O)(=O)CC)C(C)=O ZINC000873351864 707821405 /nfs/dbraw/zinc/82/14/05/707821405.db2.gz COXYQUBAMJECLF-CYBMUJFWSA-N -1 1 313.375 1.973 20 0 DDADMM O=C(N[C@@H]1CC(=O)N(CC2CC2)C1)c1c(F)ccc([O-])c1F ZINC000882929979 707856733 /nfs/dbraw/zinc/85/67/33/707856733.db2.gz VPHATVTZHKDUSZ-SECBINFHSA-N -1 1 310.300 1.411 20 0 DDADMM O=C([O-])c1ccccc1OCCN1CCC[C@@H]2CCNC(=O)[C@H]21 ZINC000883412987 707994613 /nfs/dbraw/zinc/99/46/13/707994613.db2.gz WGZRMSVVFUAKIA-DOMZBBRYSA-N -1 1 318.373 1.364 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)c1ccccc1F ZINC000909360407 713000197 /nfs/dbraw/zinc/00/01/97/713000197.db2.gz PZNDAJYUZDDGCW-GIPNMCIBSA-N -1 1 303.293 1.594 20 0 DDADMM COC(=O)CCN(CC(=O)[O-])Cc1cc(-n2ccnc2)cs1 ZINC000883520273 708020300 /nfs/dbraw/zinc/02/03/00/708020300.db2.gz VZGQTQSQYJFXDW-UHFFFAOYSA-N -1 1 323.374 1.384 20 0 DDADMM O=C([O-])[C@]1(NC(=O)c2[nH]nc3c2CCCCC3)CCSC1 ZINC000909349083 712997387 /nfs/dbraw/zinc/99/73/87/712997387.db2.gz XDHFTHQQNWZFSY-AWEZNQCLSA-N -1 1 309.391 1.369 20 0 DDADMM CC1(C)C[C@@]1(C)CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000883893157 708077019 /nfs/dbraw/zinc/07/70/19/708077019.db2.gz FPTZPUVDDBXASF-ZDUSSCGKSA-N -1 1 321.343 1.743 20 0 DDADMM O=C(c1cccnc1C(F)F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000897162048 708241740 /nfs/dbraw/zinc/24/17/40/708241740.db2.gz SVSLUNUKDMNHJW-QMMMGPOBSA-N -1 1 308.292 1.552 20 0 DDADMM CCc1nccc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000884511410 708319514 /nfs/dbraw/zinc/31/95/14/708319514.db2.gz FWDAAGVEFMBHRN-JTQLQIEISA-N -1 1 316.327 1.934 20 0 DDADMM Cc1nn[nH]c1C(=O)N(CCCC(=O)[O-])Cc1ccccc1 ZINC000909477488 713028619 /nfs/dbraw/zinc/02/86/19/713028619.db2.gz ZGZRUSVUDOWZON-UHFFFAOYSA-N -1 1 302.334 1.620 20 0 DDADMM O=S(=O)([N-]C[C@H]1OCCc2ccccc21)c1ccns1 ZINC000885009845 708441411 /nfs/dbraw/zinc/44/14/11/708441411.db2.gz FACOABPCYGJTGW-GFCCVEGCSA-N -1 1 310.400 1.735 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCc1cccc(C)c1 ZINC000912536996 713032375 /nfs/dbraw/zinc/03/23/75/713032375.db2.gz CGSAJLBJEAWPPM-UHFFFAOYSA-N -1 1 323.374 1.026 20 0 DDADMM CCN1C[C@@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])CC1=O ZINC000897903237 708487537 /nfs/dbraw/zinc/48/75/37/708487537.db2.gz SJTSUTRCGXPIQO-NSHDSACASA-N -1 1 314.341 1.602 20 0 DDADMM O=S(=O)([N-]C[C@@]1(CO)C[C@@H]1c1ccccc1)c1ccns1 ZINC000885195501 708491306 /nfs/dbraw/zinc/49/13/06/708491306.db2.gz MJGJEPRAVAPVDL-TZMCWYRMSA-N -1 1 324.427 1.588 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)c1ccns1)[C@@H](CO)C(C)C ZINC000885217254 708496599 /nfs/dbraw/zinc/49/65/99/708496599.db2.gz DPYHWSZJHAODJT-CMPLNLGQSA-N -1 1 306.453 1.711 20 0 DDADMM CO[C@](C)(C[N-]S(=O)(=O)c1ccns1)c1ccccc1 ZINC000885217543 708496804 /nfs/dbraw/zinc/49/68/04/708496804.db2.gz JMHKMKFDKRGFLO-CYBMUJFWSA-N -1 1 312.416 1.983 20 0 DDADMM CC(C)NC(=O)[C@@H](C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897982611 708509928 /nfs/dbraw/zinc/50/99/28/708509928.db2.gz UYPYPQIXVFXPPN-SNVBAGLBSA-N -1 1 316.357 1.894 20 0 DDADMM O=S(=O)(C[C@@H]1COc2ccccc2O1)[N-]C(CF)CF ZINC000885412956 708538580 /nfs/dbraw/zinc/53/85/80/708538580.db2.gz AIZUYRUHKKZPFD-JTQLQIEISA-N -1 1 307.318 1.053 20 0 DDADMM COC(=O)[C@@H](CCF)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000898272217 708594226 /nfs/dbraw/zinc/59/42/26/708594226.db2.gz BGOCUVBAYUGIIS-CYBMUJFWSA-N -1 1 321.304 1.882 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)[C@@H]1CSCC(=O)N1 ZINC000885682316 708596871 /nfs/dbraw/zinc/59/68/71/708596871.db2.gz MRSBBMBJRZWWIU-QMMMGPOBSA-N -1 1 304.730 1.355 20 0 DDADMM O=C(NCCN=S1(=O)CCCC1)c1cc(F)ccc1[O-] ZINC000898425442 708635878 /nfs/dbraw/zinc/63/58/78/708635878.db2.gz KRAWMIQQUQJTFW-UHFFFAOYSA-N -1 1 300.355 1.523 20 0 DDADMM O=C(NCc1nc2c(s1)COCC2)c1c([O-])cccc1F ZINC000885974328 708674429 /nfs/dbraw/zinc/67/44/29/708674429.db2.gz XYADULMFTULHPX-UHFFFAOYSA-N -1 1 308.334 1.991 20 0 DDADMM CC1(C)[C@@H](CS(=O)(=O)[N-]CC(=O)c2cncs2)C1(F)F ZINC000921677577 713839659 /nfs/dbraw/zinc/83/96/59/713839659.db2.gz FOIXIDNQTCCVHB-SECBINFHSA-N -1 1 324.374 1.537 20 0 DDADMM O=C(CNCC(F)(F)F)NCCc1c(F)cc([O-])cc1F ZINC000886265058 708732199 /nfs/dbraw/zinc/73/21/99/708732199.db2.gz WOADTPWILQZBTH-UHFFFAOYSA-N -1 1 312.238 1.481 20 0 DDADMM COC(=O)[C@@H]1C[C@H](NC(=O)c2c(F)ccc([O-])c2F)[C@H]2C[C@H]21 ZINC000886407735 708755445 /nfs/dbraw/zinc/75/54/45/708755445.db2.gz CULUKMYYUAHVTE-JIOCBJNQSA-N -1 1 311.284 1.598 20 0 DDADMM CC(C)[C@H](CO)ONC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927783669 713055196 /nfs/dbraw/zinc/05/51/96/713055196.db2.gz BPABNWPBDDEIQA-ZDUSSCGKSA-N -1 1 318.320 1.461 20 0 DDADMM CC[C@H](NC(=O)NCCc1c(F)cc([O-])cc1F)C(=O)NC ZINC000927787293 713056352 /nfs/dbraw/zinc/05/63/52/713056352.db2.gz PWEOKYBOOBZTAF-LBPRGKRZSA-N -1 1 315.320 1.037 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC000898750926 708854651 /nfs/dbraw/zinc/85/46/51/708854651.db2.gz JSNVIRZQOOOQGI-YUMQZZPRSA-N -1 1 319.283 1.873 20 0 DDADMM Cn1cc(CC2CN(C(=O)c3c(F)ccc([O-])c3F)C2)cn1 ZINC000899008483 708952001 /nfs/dbraw/zinc/95/20/01/708952001.db2.gz RJPTXRMMCRTHEH-UHFFFAOYSA-N -1 1 307.300 1.719 20 0 DDADMM O=C(NC[C@@H](O)[C@@H]1CCCO1)c1ccc2ccc(O)cc2c1[O-] ZINC000899131112 708994631 /nfs/dbraw/zinc/99/46/31/708994631.db2.gz MHTRUNZCKPHGLR-CABCVRRESA-N -1 1 317.341 1.521 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2C[C@@H]2CCOC2)c([O-])c1 ZINC000887811988 709130459 /nfs/dbraw/zinc/13/04/59/709130459.db2.gz ZPQJLZFORGKSJA-STQMWFEESA-N -1 1 306.362 1.363 20 0 DDADMM CN(C)c1ccc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)cn1 ZINC000900164580 709313008 /nfs/dbraw/zinc/31/30/08/709313008.db2.gz YTDCDZSVYNBPMJ-UHFFFAOYSA-N -1 1 313.361 1.451 20 0 DDADMM C[C@]1(NC(=O)c2c([O-])cnc3c(F)cccc32)CCNC1=O ZINC000889025104 709434867 /nfs/dbraw/zinc/43/48/67/709434867.db2.gz OYOUFPQHBNNLLK-HNNXBMFYSA-N -1 1 303.293 1.088 20 0 DDADMM CC(C)(C(=O)[O-])[C@@H]1CCCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000909494903 709488826 /nfs/dbraw/zinc/48/88/26/709488826.db2.gz HKDVJCYEFKZKTB-SNVBAGLBSA-N -1 1 316.361 1.921 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(C(C)C)cn1)c1nn[n-]n1 ZINC000912862039 713110611 /nfs/dbraw/zinc/11/06/11/713110611.db2.gz HZAPRFZMMGWWPS-NSHDSACASA-N -1 1 320.422 1.942 20 0 DDADMM CSCC[C@H](NC(=O)c1[nH]ccc1C1CC1)c1nn[n-]n1 ZINC000912863270 713111494 /nfs/dbraw/zinc/11/14/94/713111494.db2.gz REDODVXTGGBNOE-JTQLQIEISA-N -1 1 306.395 1.629 20 0 DDADMM CN(CC(=O)N1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)[O-])[C@H]1CCSC1 ZINC000909676305 709570736 /nfs/dbraw/zinc/57/07/36/709570736.db2.gz CKIWFXILNGTJGM-MNXVOIDGSA-N -1 1 312.435 1.135 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)[C@@H](C(=O)[O-])C1 ZINC000909779750 709616123 /nfs/dbraw/zinc/61/61/23/709616123.db2.gz LBOVPQCFQOPLQR-GXFFZTMASA-N -1 1 314.345 1.797 20 0 DDADMM Cc1cc([C@@H](NC(=O)[C@@H]2CCCCN2C)C(=O)[O-])ccc1F ZINC000909794326 709623831 /nfs/dbraw/zinc/62/38/31/709623831.db2.gz FKLSLGJQOIQTJJ-UONOGXRCSA-N -1 1 308.353 1.860 20 0 DDADMM COc1ccc([C@H](NC(=O)[C@H]2CCCN2C)C(=O)[O-])cc1F ZINC000909799004 709627050 /nfs/dbraw/zinc/62/70/50/709627050.db2.gz CSNWLNOIPIZNOH-YPMHNXCESA-N -1 1 310.325 1.170 20 0 DDADMM C[C@@H]1[C@H](C(=O)[O-])CCN1C(=O)c1cc(-c2ccn(C)c2)[nH]n1 ZINC000909811346 709633493 /nfs/dbraw/zinc/63/34/93/709633493.db2.gz UJXMNPGNYXPOLE-MWLCHTKSSA-N -1 1 302.334 1.350 20 0 DDADMM CCC[C@H](OC)C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889785707 709634708 /nfs/dbraw/zinc/63/47/08/709634708.db2.gz HIYBLACDVGEATA-QWRGUYRKSA-N -1 1 309.366 1.669 20 0 DDADMM O=C(N[C@H]1C[C@@H](C(=O)[O-])C1)c1ccc(CN2CCCCC2)o1 ZINC000909830102 709643468 /nfs/dbraw/zinc/64/34/68/709643468.db2.gz HKGAGDNHBSFTFE-TXEJJXNPSA-N -1 1 306.362 1.859 20 0 DDADMM CN(C)C1(C(=O)N2C[C@H](C(=O)[O-])[C@H](c3ccccc3)C2)CC1 ZINC000909837399 709645981 /nfs/dbraw/zinc/64/59/81/709645981.db2.gz YOPILQFPIDTKGR-KBPBESRZSA-N -1 1 302.374 1.407 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)N[C@@H]1CCC[C@H](C2CC2)C1 ZINC000909850184 709651298 /nfs/dbraw/zinc/65/12/98/709651298.db2.gz CGJDJAFYHKMUKI-RRFJBIMHSA-N -1 1 308.422 1.868 20 0 DDADMM CC(C)C[C@@H](C(=O)[O-])N(C)C(=O)c1cc([C@H]2CCCN2C)n[nH]1 ZINC000909855044 709654120 /nfs/dbraw/zinc/65/41/20/709654120.db2.gz OCHHBJOCXLKVSL-KGLIPLIRSA-N -1 1 322.409 1.748 20 0 DDADMM CCN(C)[C@H](C(=O)N1C[C@@H](C)O[C@@H](C(=O)[O-])C1)c1ccccc1 ZINC000909897579 709676857 /nfs/dbraw/zinc/67/68/57/709676857.db2.gz LGWAPCRAYPLXMZ-YUELXQCFSA-N -1 1 320.389 1.380 20 0 DDADMM Cc1cccn2cc(CNC(=O)c3cnc(C4CC4)[n-]c3=O)nc12 ZINC000900629650 709686040 /nfs/dbraw/zinc/68/60/40/709686040.db2.gz LWCISAGXLISXQI-UHFFFAOYSA-N -1 1 323.356 1.946 20 0 DDADMM CC(C)(C)OCCCNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909952848 709705295 /nfs/dbraw/zinc/70/52/95/709705295.db2.gz ACCHXQAQKROEND-LBPRGKRZSA-N -1 1 300.399 1.104 20 0 DDADMM CO[C@H](C(=O)Nc1cc([O-])c(F)cc1F)C(=O)OC(C)(C)C ZINC000909973306 709714378 /nfs/dbraw/zinc/71/43/78/709714378.db2.gz WBEUVHHCETYLLL-LLVKDONJSA-N -1 1 317.288 1.966 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2(Br)CC2)n1 ZINC000909989513 709723877 /nfs/dbraw/zinc/72/38/77/709723877.db2.gz WZMLMNPKNUHWFV-UHFFFAOYSA-N -1 1 302.128 1.452 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2cn(C)cn2)c(=O)[n-]1 ZINC000890117266 709757683 /nfs/dbraw/zinc/75/76/83/709757683.db2.gz JRGQRTXHQCQTKP-UHFFFAOYSA-N -1 1 321.406 1.195 20 0 DDADMM C[C@H](C(=O)N[C@@H](Cc1cccc2ccccc21)C(=O)[O-])N(C)C ZINC000910071081 709763386 /nfs/dbraw/zinc/76/33/86/709763386.db2.gz UWHPWONRVAJFOY-WBMJQRKESA-N -1 1 314.385 1.902 20 0 DDADMM CCC[C@@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1)OCC ZINC000910191851 709805464 /nfs/dbraw/zinc/80/54/64/709805464.db2.gz UQUDSBVWWMIWEX-STQMWFEESA-N -1 1 300.399 1.104 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)CC[S@](C)=O ZINC000900887813 709811208 /nfs/dbraw/zinc/81/12/08/709811208.db2.gz GHEOFKKPRZVSTA-FPVGNUTFSA-N -1 1 313.423 1.501 20 0 DDADMM COc1cccc(C[C@@H](NC(=O)CN(C)C2CCC2)C(=O)[O-])c1 ZINC000910208674 709815545 /nfs/dbraw/zinc/81/55/45/709815545.db2.gz FZTLPRQWFMPSPI-OAHLLOKOSA-N -1 1 320.389 1.291 20 0 DDADMM Cc1ccc(CC[C@@H](C)NC(=O)CN2CCC[C@H](C(=O)[O-])C2)o1 ZINC000910250942 709840730 /nfs/dbraw/zinc/84/07/30/709840730.db2.gz PGTNRCLWMONJSW-OCCSQVGLSA-N -1 1 322.405 1.822 20 0 DDADMM COC(C)(C)C[C@@H](C)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000910250341 709840901 /nfs/dbraw/zinc/84/09/01/709840901.db2.gz HKQOUEJKEUITHR-VXGBXAGGSA-N -1 1 300.399 1.103 20 0 DDADMM Cc1cnc(C(=O)NC[C@@]2(c3ccccc3)C[C@@H](O)C2)c([O-])c1 ZINC000928128545 713136306 /nfs/dbraw/zinc/13/63/06/713136306.db2.gz YJELGLUUDKOXIA-PPUGGXLSSA-N -1 1 312.369 1.918 20 0 DDADMM Cc1nc(C2CCN(C(=O)c3ccn[nH]3)CC2)sc1C(=O)[O-] ZINC000910354542 709907521 /nfs/dbraw/zinc/90/75/21/709907521.db2.gz MKSWACHLDXQGKJ-UHFFFAOYSA-N -1 1 320.374 1.893 20 0 DDADMM C[C@@H]1CO[C@H](CO)CN1C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901103666 709925867 /nfs/dbraw/zinc/92/58/67/709925867.db2.gz XXKVSZGDIXGVFJ-ZJUUUORDSA-N -1 1 320.320 1.301 20 0 DDADMM CC(C)CCC[C@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)C(=O)[O-] ZINC000910574916 710006663 /nfs/dbraw/zinc/00/66/63/710006663.db2.gz IJTHMOVHQQNSIZ-AAEUAGOBSA-N -1 1 307.394 1.910 20 0 DDADMM C[C@H](C(=O)N[C@@H](Cc1cc2ccccc2o1)C(=O)[O-])N(C)C ZINC000910579857 710008573 /nfs/dbraw/zinc/00/85/73/710008573.db2.gz LYLNOPBPXRZXBF-MFKMUULPSA-N -1 1 304.346 1.495 20 0 DDADMM CCCN(C)CC(=O)Nc1nc2c(s1)CC[C@H](C(=O)[O-])C2 ZINC000910677776 710044274 /nfs/dbraw/zinc/04/42/74/710044274.db2.gz RUILQUDMMQYKFA-VIFPVBQESA-N -1 1 311.407 1.613 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000901454910 710045428 /nfs/dbraw/zinc/04/54/28/710045428.db2.gz WEAWAZBYZODPJX-JRPNMDOOSA-N -1 1 305.378 1.789 20 0 DDADMM C[C@@H](Cc1ccsc1)N(C)CC(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000901625186 710100920 /nfs/dbraw/zinc/10/09/20/710100920.db2.gz CGUCUIHHTSQRLQ-GXTWGEPZSA-N -1 1 324.446 1.934 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@@H](CSC)C(=O)[O-])c1ccccc1 ZINC000910877851 710104870 /nfs/dbraw/zinc/10/48/70/710104870.db2.gz MNUQRASCALZFRR-QWHCGFSZSA-N -1 1 310.419 1.612 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000901658823 710111589 /nfs/dbraw/zinc/11/15/89/710111589.db2.gz YUKIMIIILCIPHQ-PRULPYPASA-N -1 1 305.378 1.787 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@H](Cc1ccc(F)cc1)C(=O)[O-] ZINC000910906317 710114147 /nfs/dbraw/zinc/11/41/47/710114147.db2.gz AOMYVVBGWOIRGT-IINYFYTJSA-N -1 1 319.336 1.540 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@H](Cc1ccc(F)cc1)C(=O)[O-] ZINC000910906318 710114271 /nfs/dbraw/zinc/11/42/71/710114271.db2.gz AOMYVVBGWOIRGT-QMTHXVAHSA-N -1 1 319.336 1.540 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])[C@H]1CCCS(=O)(=O)C1 ZINC000910987143 710142323 /nfs/dbraw/zinc/14/23/23/710142323.db2.gz KEYYVHZBDMWUFW-ZETCQYMHSA-N -1 1 305.302 1.434 20 0 DDADMM CCn1nnc(C)c1CN[C@H](C(=O)[O-])c1ccc(OC)c(F)c1 ZINC000901860353 710160955 /nfs/dbraw/zinc/16/09/55/710160955.db2.gz JBYFOWXBTZXAHX-AWEZNQCLSA-N -1 1 322.340 1.670 20 0 DDADMM COc1nc2ccccc2cc1CN1CC[C@@](O)(C(=O)[O-])C1 ZINC000901900467 710168579 /nfs/dbraw/zinc/16/85/79/710168579.db2.gz GSFXZOHOSZBUGL-INIZCTEOSA-N -1 1 302.330 1.265 20 0 DDADMM C[C@@H](CN(Cc1cc(Br)nn1C)C1CC1)C(=O)[O-] ZINC000901991828 710195251 /nfs/dbraw/zinc/19/52/51/710195251.db2.gz BEUKDHZRSQPTPC-QMMMGPOBSA-N -1 1 316.199 1.868 20 0 DDADMM CCOC(=O)[C@H](CSC)NC(=O)c1c(F)ccc([O-])c1F ZINC000928286355 713163751 /nfs/dbraw/zinc/16/37/51/713163751.db2.gz KNTBRFHWFYVUSQ-QMMMGPOBSA-N -1 1 319.329 1.695 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000891627365 710251984 /nfs/dbraw/zinc/25/19/84/710251984.db2.gz IJZUDEOZBSHBHS-SECBINFHSA-N -1 1 316.361 1.010 20 0 DDADMM CN(C(=O)c1ccc(C(F)(F)F)c([O-])c1)C1CS(=O)(=O)C1 ZINC000913137660 713169456 /nfs/dbraw/zinc/16/94/56/713169456.db2.gz FTMUIRIDIPQFNM-UHFFFAOYSA-N -1 1 323.292 1.280 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC=CC23CCCC3)o1 ZINC000913199172 713179496 /nfs/dbraw/zinc/17/94/96/713179496.db2.gz GCNDKNGCCSCZGJ-UHFFFAOYSA-N -1 1 310.375 1.513 20 0 DDADMM Cc1cc(C(=O)N2CCOc3c(cccc3C(=O)[O-])C2)n[nH]1 ZINC000911032301 710602928 /nfs/dbraw/zinc/60/29/28/710602928.db2.gz XOMCVHMAAXXVFJ-UHFFFAOYSA-N -1 1 301.302 1.451 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc3[nH]cnc3cc2F)CC[C@H]1C(=O)[O-] ZINC000902177566 710650376 /nfs/dbraw/zinc/65/03/76/710650376.db2.gz LNMRWJBZYORLRH-RKDXNWHRSA-N -1 1 305.309 1.885 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@@H](CCO)c2ccccc2)C1 ZINC000911164855 710664545 /nfs/dbraw/zinc/66/45/45/710664545.db2.gz CFSDNFKCXWKGNA-CABCVRRESA-N -1 1 320.389 1.023 20 0 DDADMM CNC(=O)[C@@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000911183581 710673419 /nfs/dbraw/zinc/67/34/19/710673419.db2.gz ALEKLBLXOKXTFB-SECBINFHSA-N -1 1 317.320 1.288 20 0 DDADMM CCS(=O)(=O)C[C@H](C)NCc1ccc(C(=O)[O-])c(Cl)c1 ZINC000902256418 710684135 /nfs/dbraw/zinc/68/41/35/710684135.db2.gz HAJFWDMWHBUYEL-VIFPVBQESA-N -1 1 319.810 1.951 20 0 DDADMM O=C([O-])Cn1cc(CNCC2(c3cccc(Cl)c3)CC2)nn1 ZINC000902299542 710703201 /nfs/dbraw/zinc/70/32/01/710703201.db2.gz YUNAJDQRIFKOSA-UHFFFAOYSA-N -1 1 320.780 1.838 20 0 DDADMM COc1ccccc1O[C@@H](C)CNCc1cc(C(=O)[O-])no1 ZINC000902316634 710713172 /nfs/dbraw/zinc/71/31/72/710713172.db2.gz CXWWYDRDANZVCP-JTQLQIEISA-N -1 1 306.318 1.939 20 0 DDADMM CCC(=O)N1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000911295170 710731816 /nfs/dbraw/zinc/73/18/16/710731816.db2.gz OZAGMSLQQXQVBW-UHFFFAOYSA-N -1 1 317.320 1.731 20 0 DDADMM Cc1cc(C(=O)[O-])cc(C(=O)N2CCC(c3nc[nH]n3)CC2)c1 ZINC000911439272 710799517 /nfs/dbraw/zinc/79/95/17/710799517.db2.gz URYWQQZANGPREH-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM C[C@]1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCCCO1 ZINC000913436722 713215238 /nfs/dbraw/zinc/21/52/38/713215238.db2.gz GQQKNAGMEHOQQO-SWLSCSKDSA-N -1 1 301.350 1.365 20 0 DDADMM O=C(CC(F)(F)C(F)F)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913438953 713215923 /nfs/dbraw/zinc/21/59/23/713215923.db2.gz VASMVKPYIDDUOG-VIFPVBQESA-N -1 1 317.246 1.696 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)C[Si](C)(C)C ZINC000911732512 710956244 /nfs/dbraw/zinc/95/62/44/710956244.db2.gz NZKOKNPPPQAKEP-UHFFFAOYSA-N -1 1 320.469 1.930 20 0 DDADMM Cc1nc([C@@H]2CCN(C(=O)CC3(CC(=O)[O-])CCCC3)C2)n[nH]1 ZINC000911775811 710979253 /nfs/dbraw/zinc/97/92/53/710979253.db2.gz XTWBBFKDNSKHFD-GFCCVEGCSA-N -1 1 320.393 1.854 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)N1CCC(Cc2nc(C(C)C)n[nH]2)CC1 ZINC000911777186 710980399 /nfs/dbraw/zinc/98/03/99/710980399.db2.gz PRPPCAKITHVEPO-LBPRGKRZSA-N -1 1 322.409 1.820 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)N1CCC(Cc2nnc(C(C)C)[nH]2)CC1 ZINC000911777186 710980402 /nfs/dbraw/zinc/98/04/02/710980402.db2.gz PRPPCAKITHVEPO-LBPRGKRZSA-N -1 1 322.409 1.820 20 0 DDADMM O=C(NC[C@@H]1CC[C@H](C2CC2)O1)c1nc2ccccc2c(=O)[n-]1 ZINC000903002217 710992535 /nfs/dbraw/zinc/99/25/35/710992535.db2.gz YMWVQOZYRKLUCL-SMDDNHRTSA-N -1 1 313.357 1.611 20 0 DDADMM Cc1cn2c(nc(CN3CC[C@@H](C)[C@H](C(=O)[O-])C3)cc2=O)s1 ZINC000903409247 711118291 /nfs/dbraw/zinc/11/82/91/711118291.db2.gz SHYUYQAJHFULEV-BXKDBHETSA-N -1 1 321.402 1.607 20 0 DDADMM Cn1cc([C@H]2CN(C(=O)c3cc(Cl)ccc3[O-])CCN2)cn1 ZINC000913474016 713231526 /nfs/dbraw/zinc/23/15/26/713231526.db2.gz YNVJMONBYTZHOB-CYBMUJFWSA-N -1 1 320.780 1.566 20 0 DDADMM CCc1ccc([C@H](C)C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000913495130 713237759 /nfs/dbraw/zinc/23/77/59/713237759.db2.gz SKDGWEDPMLKNBP-SMDDNHRTSA-N -1 1 315.377 1.466 20 0 DDADMM CSc1nc(CNC(=O)[C@H](O)C2CCCCC2)cc(=O)[n-]1 ZINC000912246448 711243374 /nfs/dbraw/zinc/24/33/74/711243374.db2.gz DSUWNDICFNMOBL-GFCCVEGCSA-N -1 1 311.407 1.462 20 0 DDADMM CC(C)(C)OC1CC(CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)C1 ZINC000913496771 713238746 /nfs/dbraw/zinc/23/87/46/713238746.db2.gz CFKYZIOOUXDWRI-HTAVTVPLSA-N -1 1 323.397 1.083 20 0 DDADMM Cc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c2ccccc12 ZINC000913497383 713239015 /nfs/dbraw/zinc/23/90/15/713239015.db2.gz ALKCUNROLIKIBP-OAHLLOKOSA-N -1 1 323.356 1.875 20 0 DDADMM Cc1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c(C)cc1Cl ZINC000913497905 713239240 /nfs/dbraw/zinc/23/92/40/713239240.db2.gz MJZUJZBIRUJQEN-GFCCVEGCSA-N -1 1 321.768 1.684 20 0 DDADMM CC(C)=CCC[C@@H](C)CC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499174 713239656 /nfs/dbraw/zinc/23/96/56/713239656.db2.gz NFIHVJBYLAKCEG-CHWSQXEVSA-N -1 1 307.398 1.872 20 0 DDADMM O=C([O-])[C@@H]1CSCCN1C[C@@H]1CCC[C@H](C(F)(F)F)O1 ZINC000903906927 711325552 /nfs/dbraw/zinc/32/55/52/711325552.db2.gz OELUZAKVVTVTFA-LPEHRKFASA-N -1 1 313.341 1.988 20 0 DDADMM COCc1nc(N2CCC[C@@H](c3ncccn3)C2)cc(=O)[n-]1 ZINC000895227847 711457870 /nfs/dbraw/zinc/45/78/70/711457870.db2.gz RHZGYEWSLNTNHA-LLVKDONJSA-N -1 1 301.350 1.503 20 0 DDADMM Cc1n[nH]c(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)c1C ZINC000895463848 711518782 /nfs/dbraw/zinc/51/87/82/711518782.db2.gz FZQFLXAWHLRPDK-GFCCVEGCSA-N -1 1 304.316 1.669 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895843569 711623537 /nfs/dbraw/zinc/62/35/37/711623537.db2.gz MBBMIESMYJJUNO-SECBINFHSA-N -1 1 303.314 1.932 20 0 DDADMM COCc1nc(N2CCn3c(C(C)C)nnc3[C@@H]2C)cc(=O)[n-]1 ZINC000895910778 711643827 /nfs/dbraw/zinc/64/38/27/711643827.db2.gz WDVNSZYKDMYBRK-JTQLQIEISA-N -1 1 318.381 1.625 20 0 DDADMM CC(C)(c1noc([C@]2(C(=O)[O-])CNCCO2)n1)C1CCCCC1 ZINC000904694219 711885508 /nfs/dbraw/zinc/88/55/08/711885508.db2.gz FOAXLKHJQPDPBC-INIZCTEOSA-N -1 1 323.393 1.827 20 0 DDADMM Cn1cc(-c2noc(-c3[n-]cnc3C(=O)c3ccccc3)n2)nn1 ZINC000904808834 711894195 /nfs/dbraw/zinc/89/41/95/711894195.db2.gz BUJKUAAQWHTBRV-UHFFFAOYSA-N -1 1 321.300 1.486 20 0 DDADMM O=C(c1nc2ccccc2s1)N1CCC(c2nn[n-]n2)CC1 ZINC000905151705 711952846 /nfs/dbraw/zinc/95/28/46/711952846.db2.gz PLASESSGIYHNHX-UHFFFAOYSA-N -1 1 314.374 1.829 20 0 DDADMM CC(C)(C)n1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)cn1 ZINC000913743049 713292194 /nfs/dbraw/zinc/29/21/94/713292194.db2.gz BZVUGGCGWRCRRE-UHFFFAOYSA-N -1 1 303.370 1.171 20 0 DDADMM COc1ncc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1Cl ZINC000913744830 713293294 /nfs/dbraw/zinc/29/32/94/713293294.db2.gz HBTAQWWRDSQXIA-UHFFFAOYSA-N -1 1 322.756 1.277 20 0 DDADMM COc1cc(Cl)cc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913746431 713294166 /nfs/dbraw/zinc/29/41/66/713294166.db2.gz SWBUPLRNJWEFQY-UHFFFAOYSA-N -1 1 321.768 1.882 20 0 DDADMM COCc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1F ZINC000913747276 713294637 /nfs/dbraw/zinc/29/46/37/713294637.db2.gz VXXZCFPFXYHLGZ-UHFFFAOYSA-N -1 1 319.340 1.505 20 0 DDADMM Cc1ccncc1[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000906061021 712233902 /nfs/dbraw/zinc/23/39/02/712233902.db2.gz NLZCAYOUPOQNQD-SFHVURJKSA-N -1 1 305.425 1.943 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC([C@@H]2CCOC2)CC1 ZINC000907323341 712543410 /nfs/dbraw/zinc/54/34/10/712543410.db2.gz GAQMYKMFLZAEFR-CYBMUJFWSA-N -1 1 317.389 1.948 20 0 DDADMM Nc1c[nH]nc1[C@H]1CCN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000907403706 712562240 /nfs/dbraw/zinc/56/22/40/712562240.db2.gz ZSFPACOHUSTPRK-QMMMGPOBSA-N -1 1 306.753 1.981 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477614 712582766 /nfs/dbraw/zinc/58/27/66/712582766.db2.gz GAJXAAZQWAIECA-XADBCAIWSA-N -1 1 322.419 1.295 20 0 DDADMM O=C(CCc1ccccc1F)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479152 712583296 /nfs/dbraw/zinc/58/32/96/712583296.db2.gz YMJHMEYOJMUXFU-LBPRGKRZSA-N -1 1 321.381 1.588 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC[C@@H]2CCCC[C@@H]2O)c1Cl ZINC000907482712 712584459 /nfs/dbraw/zinc/58/44/59/712584459.db2.gz LJOSTYOYOSFHRL-UWVGGRQHSA-N -1 1 321.830 1.293 20 0 DDADMM CC(C)C[C@H]1C(=O)NCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000907607079 712602017 /nfs/dbraw/zinc/60/20/17/712602017.db2.gz QMJOUANAMZDEGP-LBPRGKRZSA-N -1 1 318.377 1.046 20 0 DDADMM O=S(=O)([N-][C@@H]1CC12CC(O)C2)c1cc(Cl)cnc1Cl ZINC000907784401 712625981 /nfs/dbraw/zinc/62/59/81/712625981.db2.gz BNOFLHYBQZDLAA-PUDKOPFASA-N -1 1 323.201 1.580 20 0 DDADMM C[C@H]1CCC[C@H](C)N1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000907996177 712662657 /nfs/dbraw/zinc/66/26/57/712662657.db2.gz IOTAWLGVYRHFEI-UWVGGRQHSA-N -1 1 305.407 1.926 20 0 DDADMM COc1cnc([C@@H]2CCCN2S(=O)(=O)CCCF)[n-]c1=O ZINC000908016530 712666539 /nfs/dbraw/zinc/66/65/39/712666539.db2.gz NGQVGGWBLLGBQM-VIFPVBQESA-N -1 1 319.358 1.017 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)O[C@H]2CCOC2)[n-]c1=O ZINC000908018633 712667086 /nfs/dbraw/zinc/66/70/86/712667086.db2.gz MTFCOTJUUIBNRJ-UWVGGRQHSA-N -1 1 309.322 1.253 20 0 DDADMM CN(C(=O)[C@@H]1CCCc2n[nH]nc21)c1cccc(CC(=O)[O-])c1 ZINC000908088127 712683446 /nfs/dbraw/zinc/68/34/46/712683446.db2.gz DMEUKYCOVFXEAA-GFCCVEGCSA-N -1 1 314.345 1.515 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@@H](CC(=O)[O-])Cc1ccccc1 ZINC000908228311 712714595 /nfs/dbraw/zinc/71/45/95/712714595.db2.gz CCAYESDPAAPATB-CABCVRRESA-N -1 1 304.390 1.673 20 0 DDADMM CC1(C)CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)[C@@H]2CCC[C@@H]21 ZINC000908351165 712749497 /nfs/dbraw/zinc/74/94/97/712749497.db2.gz CIRBAQFWMXMPDH-MELADBBJSA-N -1 1 308.422 1.820 20 0 DDADMM CN(CC(=O)N1CCc2cc(C(=O)[O-])ccc21)[C@H]1CCSC1 ZINC000908650676 712829039 /nfs/dbraw/zinc/82/90/39/712829039.db2.gz DDXREGTYYSVYFS-ZDUSSCGKSA-N -1 1 320.414 1.711 20 0 DDADMM C[C@@]1(C(=O)Nc2cccc([O-])c2Br)CCC(=O)N1 ZINC000908717157 712840709 /nfs/dbraw/zinc/84/07/09/712840709.db2.gz FZGWFHUNFUYSKN-LBPRGKRZSA-N -1 1 313.151 1.762 20 0 DDADMM CCn1c(C)nnc1N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000908755169 712848613 /nfs/dbraw/zinc/84/86/13/712848613.db2.gz CPOWECZVDXVPRH-JTQLQIEISA-N -1 1 304.354 1.452 20 0 DDADMM CN(C)[C@@H](CNC(=O)NC1(C(=O)[O-])CCC1)c1cccc(F)c1 ZINC000908755390 712848702 /nfs/dbraw/zinc/84/87/02/712848702.db2.gz XYDZVQXLIRQHEN-ZDUSSCGKSA-N -1 1 323.368 1.735 20 0 DDADMM C[C@@H](CCc1cccn1C)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908832936 712864266 /nfs/dbraw/zinc/86/42/66/712864266.db2.gz VMHGDNHMTUEXGD-KBPBESRZSA-N -1 1 321.421 1.259 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N(CCC(=O)[O-])CC3CC3)n[nH]2)c1 ZINC000908876589 712877213 /nfs/dbraw/zinc/87/72/13/712877213.db2.gz WQUUWSCFAOHERA-UHFFFAOYSA-N -1 1 316.361 1.742 20 0 DDADMM COC(=O)[C@H](CC1CCCCC1)[N-]S(=O)(=O)CC1(F)CC1 ZINC000914978742 713405416 /nfs/dbraw/zinc/40/54/16/713405416.db2.gz VSYIXTBSMMQHQD-LBPRGKRZSA-N -1 1 321.414 1.920 20 0 DDADMM Cc1cc(CNC(=O)NCCc2c(F)cc([O-])cc2F)[nH]n1 ZINC000919558628 713614519 /nfs/dbraw/zinc/61/45/19/713614519.db2.gz MUBBJGVSDFTHDX-UHFFFAOYSA-N -1 1 310.304 1.744 20 0 DDADMM Cc1cc(CNC(=O)NCCc2c(F)cc([O-])cc2F)n[nH]1 ZINC000919558628 713614521 /nfs/dbraw/zinc/61/45/21/713614521.db2.gz MUBBJGVSDFTHDX-UHFFFAOYSA-N -1 1 310.304 1.744 20 0 DDADMM COC(=O)CC1CC([N-]S(=O)(=O)c2cc(C)ns2)C1 ZINC000921181109 713718753 /nfs/dbraw/zinc/71/87/53/713718753.db2.gz FAGXPEHZNWUUMB-UHFFFAOYSA-N -1 1 304.393 1.072 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-][C@H]2c3ccccc3OC[C@H]2F)nn1 ZINC000921318203 713731888 /nfs/dbraw/zinc/73/18/88/713731888.db2.gz RXADPCQDOWJTMA-RISCZKNCSA-N -1 1 323.349 1.535 20 0 DDADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1C2 ZINC000921378423 713749004 /nfs/dbraw/zinc/74/90/04/713749004.db2.gz XTLSWJIICPGEOF-WRWGMCAJSA-N -1 1 321.464 1.389 20 0 DDADMM CCN1CCC[C@@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C1=O ZINC000921491734 713786513 /nfs/dbraw/zinc/78/65/13/713786513.db2.gz TZONVGLRRPODBT-SNVBAGLBSA-N -1 1 317.436 1.049 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C[C@@H]2COC[C@@H]2O1)c1cc2ccccc2o1 ZINC000921614235 713822134 /nfs/dbraw/zinc/82/21/34/713822134.db2.gz WRJWYSUABUHJKW-DYEKYZERSA-N -1 1 323.370 1.515 20 0 DDADMM C[C@@H]1OCC[C@H]1S(=O)(=O)NCCc1c(F)cc([O-])cc1F ZINC000921965774 713922346 /nfs/dbraw/zinc/92/23/46/713922346.db2.gz QDELLYWALKVZPQ-ISVAXAHUSA-N -1 1 321.345 1.310 20 0 DDADMM CO[C@H](C)[C@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000922138554 713971668 /nfs/dbraw/zinc/97/16/68/713971668.db2.gz UWPHGZNJYXAGJM-DTWKUNHWSA-N -1 1 302.321 1.936 20 0 DDADMM CO[N-]C(=O)CNCc1c(F)ccc(Br)c1F ZINC000922829580 714161593 /nfs/dbraw/zinc/16/15/93/714161593.db2.gz HOHOFXHQXWJYAH-UHFFFAOYSA-N -1 1 309.110 1.495 20 0 DDADMM CC[C@]1(C(=O)[O-])CCCN([C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000923469915 714366115 /nfs/dbraw/zinc/36/61/15/714366115.db2.gz IXQZWOHEDDFTLY-MFKMUULPSA-N -1 1 322.327 1.726 20 0 DDADMM CC[C@]1(C(=O)[O-])CCCN(CC(=O)Nc2ncccc2C)C1 ZINC000923468545 714366138 /nfs/dbraw/zinc/36/61/38/714366138.db2.gz CBSQMSITUDLBEK-INIZCTEOSA-N -1 1 305.378 1.905 20 0 DDADMM O=C(C[C@@H]1CC[C@@H](C2CC2)O1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000935036845 714960772 /nfs/dbraw/zinc/96/07/72/714960772.db2.gz JBDBRQQKZYFVIU-AVGNSLFASA-N -1 1 305.382 1.253 20 0 DDADMM CCOC(=O)Nc1ccc(F)c(C(=O)[N-]N2CCCNC2=O)c1 ZINC000935272832 715017452 /nfs/dbraw/zinc/01/74/52/715017452.db2.gz RPTGWCDFWOBZDA-UHFFFAOYSA-N -1 1 324.312 1.454 20 0 DDADMM CCOC(=O)Nc1ccc(F)c(C(=O)[N-]N2CCCC2=O)c1 ZINC000935290477 715021245 /nfs/dbraw/zinc/02/12/45/715021245.db2.gz WMNQDMNSLBYENX-UHFFFAOYSA-N -1 1 309.297 1.661 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(OC(F)F)nc1)c1nn[n-]n1 ZINC000935367007 715038389 /nfs/dbraw/zinc/03/83/89/715038389.db2.gz JADLOFKDBLADBF-SSDOTTSWSA-N -1 1 312.280 1.072 20 0 DDADMM COCCCO[N-]C(=O)c1cc(=O)[nH]cc1Br ZINC000935966713 715140729 /nfs/dbraw/zinc/14/07/29/715140729.db2.gz REIBGJQYLIUFAW-UHFFFAOYSA-N -1 1 305.128 1.248 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CC(C)(C)C)C1 ZINC000936633719 715225497 /nfs/dbraw/zinc/22/54/97/715225497.db2.gz JYMDVXSAIVSDTG-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM CC(=O)N[C@]1(C)CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000956616601 715494990 /nfs/dbraw/zinc/49/49/90/715494990.db2.gz ZNSMADOIGNCXDJ-MRXNPFEDSA-N -1 1 320.393 1.355 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)[C@@H]2CC=CCC2)C1)c1ncccc1[O-] ZINC000937862100 715617951 /nfs/dbraw/zinc/61/79/51/715617951.db2.gz XBEYZCZEWZHUDY-OLZOCXBDSA-N -1 1 315.373 1.474 20 0 DDADMM CC[C@@]1(C)C[C@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955188432 715664478 /nfs/dbraw/zinc/66/44/78/715664478.db2.gz NHOIKNFUUXOTTC-SJCJKPOMSA-N -1 1 317.389 1.506 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955214012 715677631 /nfs/dbraw/zinc/67/76/31/715677631.db2.gz GFEXEGDKEGFOAS-GHMZBOCLSA-N -1 1 303.362 1.116 20 0 DDADMM O=C(C[C@@H]1C=CCC1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940125021 716637237 /nfs/dbraw/zinc/63/72/37/716637237.db2.gz CFTMVXBTPIHFDL-CHWSQXEVSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(CC(F)(F)F)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940125216 716637240 /nfs/dbraw/zinc/63/72/40/716637240.db2.gz FPFIWTUWIQFXHS-MRVPVSSYSA-N -1 1 317.267 1.070 20 0 DDADMM C/C(=C\C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000940125631 716637959 /nfs/dbraw/zinc/63/79/59/716637959.db2.gz MUIZOQSMDRLCMQ-YGNAEDSMSA-N -1 1 315.373 1.474 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)C1=CCCC1)c1ncccc1[O-] ZINC000943958101 718232202 /nfs/dbraw/zinc/23/22/02/718232202.db2.gz IOHYELZEDGHHCT-CYBMUJFWSA-N -1 1 315.373 1.618 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])C1CCC1 ZINC000943977140 718236049 /nfs/dbraw/zinc/23/60/49/718236049.db2.gz UUWMQRRIKGBVFR-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CC(=O)N1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@H](C)C1 ZINC000945082337 718388719 /nfs/dbraw/zinc/38/87/19/718388719.db2.gz QHPZIUODRUOOBK-NOZJJQNGSA-N -1 1 318.377 1.046 20 0 DDADMM CC(=O)N1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@H](C)C1 ZINC000945082338 718388721 /nfs/dbraw/zinc/38/87/21/718388721.db2.gz QHPZIUODRUOOBK-RNCFNFMXSA-N -1 1 318.377 1.046 20 0 DDADMM C[C@@H]1CN(C(=O)C(F)F)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945090140 718392197 /nfs/dbraw/zinc/39/21/97/718392197.db2.gz BMSQAYVUFCTZNP-RKDXNWHRSA-N -1 1 313.304 1.019 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2CN(C(=O)C3CC3)CC[C@@H]21 ZINC000945818264 718574013 /nfs/dbraw/zinc/57/40/13/718574013.db2.gz CNYUXDVXKOSKGA-STQMWFEESA-N -1 1 315.373 1.260 20 0 DDADMM O=C(NC[C@H]1CCCC[C@@H]1NC(=O)C1CC1)c1ncccc1[O-] ZINC000946344354 718756649 /nfs/dbraw/zinc/75/66/49/718756649.db2.gz ZQOUPLKGZGXHQK-OLZOCXBDSA-N -1 1 317.389 1.602 20 0 DDADMM CCCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](CC)C1 ZINC000948239174 719410307 /nfs/dbraw/zinc/41/03/07/719410307.db2.gz MPCGCAWJXBLOMQ-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H](C)C(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000948803394 719718271 /nfs/dbraw/zinc/71/82/71/719718271.db2.gz UQWBWPCCSHUDKK-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM O=C([C@@H]1CCCC2(CC2)C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968663647 719723131 /nfs/dbraw/zinc/72/31/31/719723131.db2.gz ULNROXQULPOPMD-VXGBXAGGSA-N -1 1 319.409 1.171 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886463 719844194 /nfs/dbraw/zinc/84/41/94/719844194.db2.gz MWKYIMVKBRDOGK-PHRMCXTASA-N -1 1 315.373 1.569 20 0 DDADMM CC[N@@H+]1CCOC2(CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000949349189 720033376 /nfs/dbraw/zinc/03/33/76/720033376.db2.gz WCEUQBJCYCPUOF-UHFFFAOYSA-N -1 1 305.378 1.114 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)C1CC=CC1)c1ncccc1[O-] ZINC000949535789 720145529 /nfs/dbraw/zinc/14/55/29/720145529.db2.gz ZRCYXWPCILVYEM-LBPRGKRZSA-N -1 1 301.346 1.084 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CCN(C(=O)C3CC3)C[C@@H]21 ZINC000953226919 721569146 /nfs/dbraw/zinc/56/91/46/721569146.db2.gz RGZGACODQTYIPM-AAEUAGOBSA-N -1 1 315.373 1.260 20 0 DDADMM CCC(=O)N1CCCC[C@@H]1[C@H](C)NC(=O)c1ncccc1[O-] ZINC000953498155 721615717 /nfs/dbraw/zinc/61/57/17/721615717.db2.gz KILJJTQQDSXKNR-NWDGAFQWSA-N -1 1 305.378 1.697 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2CCN(C(=O)C3CCC3)[C@@H]2C1 ZINC001020890407 732753406 /nfs/dbraw/zinc/75/34/06/732753406.db2.gz LBUKVXXDINUQHU-QWHCGFSZSA-N -1 1 315.373 1.260 20 0 DDADMM Cc1cnc(CN2CCC[C@@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001027844307 738729003 /nfs/dbraw/zinc/72/90/03/738729003.db2.gz QAOMXWMIAYQSQR-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM CN(Cc1cccc(Cl)c1)c1nnc(Cc2nnn[n-]2)n1C ZINC001121408282 782495915 /nfs/dbraw/zinc/49/59/15/782495915.db2.gz GRZLWFVNOKJYTE-UHFFFAOYSA-N -1 1 318.772 1.209 20 0 DDADMM CN(Cc1cccc(Cl)c1)c1nnc(Cc2nn[n-]n2)n1C ZINC001121408282 782495919 /nfs/dbraw/zinc/49/59/19/782495919.db2.gz GRZLWFVNOKJYTE-UHFFFAOYSA-N -1 1 318.772 1.209 20 0 DDADMM O=C(NCCNCc1noc2c1CCCC2)c1ncccc1[O-] ZINC001126119478 738330573 /nfs/dbraw/zinc/33/05/73/738330573.db2.gz TUKOBWMJJXSLCO-UHFFFAOYSA-N -1 1 316.361 1.174 20 0 DDADMM CC(=O)NCC[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001059666177 741515959 /nfs/dbraw/zinc/51/59/59/741515959.db2.gz DLVJKZPNYMHHRA-NSHDSACASA-N -1 1 318.377 1.048 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001029990317 741671967 /nfs/dbraw/zinc/67/19/67/741671967.db2.gz JMBRYZDDEGDIGO-YPMHNXCESA-N -1 1 321.425 1.227 20 0 DDADMM Cn1cc(NC(=O)c2cc3ccccc3cc2[O-])c(C(N)=O)n1 ZINC001181130310 743075799 /nfs/dbraw/zinc/07/57/99/743075799.db2.gz IXUZQMMDDZSOLC-UHFFFAOYSA-N -1 1 310.313 1.630 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C(=O)Cc2ccccc2)n1 ZINC001181375964 743170858 /nfs/dbraw/zinc/17/08/58/743170858.db2.gz UJTMWKUOJFRLFS-UHFFFAOYSA-N -1 1 301.302 1.337 20 0 DDADMM N=C([N-]C(=O)C(=O)Cc1ccccc1)c1cnc2ccc(F)cn12 ZINC001181379985 743173828 /nfs/dbraw/zinc/17/38/28/743173828.db2.gz FSEPOCJLGVGPLS-UHFFFAOYSA-N -1 1 324.315 1.727 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)[C@H]1CCc2ccccc21 ZINC001181757871 743331697 /nfs/dbraw/zinc/33/16/97/743331697.db2.gz KQXOSRZTYZWNQK-VIFPVBQESA-N -1 1 300.274 1.954 20 0 DDADMM C[C@@]1(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)CC1(Cl)Cl ZINC001182144766 743498424 /nfs/dbraw/zinc/49/84/24/743498424.db2.gz XREYGSPCEZJYFX-VIFPVBQESA-N -1 1 302.121 1.581 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc3c(c2)OCC3)n1 ZINC001182649030 743691925 /nfs/dbraw/zinc/69/19/25/743691925.db2.gz VNDBCXOHYSTTIH-UHFFFAOYSA-N -1 1 315.329 1.703 20 0 DDADMM CCCC[C@H]([N-]C(=O)C(F)(F)OCC(F)(F)F)C(N)=O ZINC001183197050 743795313 /nfs/dbraw/zinc/79/53/13/743795313.db2.gz CMPIJLGBJXBFQY-LURJTMIESA-N -1 1 306.231 1.318 20 0 DDADMM CN(C)CCNC(=O)CCC(=O)C=C([O-])c1ccc(Cl)cc1 ZINC001184114479 743968467 /nfs/dbraw/zinc/96/84/67/743968467.db2.gz GBNQZFCTRQKWLR-UHFFFAOYSA-N -1 1 324.808 1.940 20 0 DDADMM CSc1nc(NC(=O)C[C@@H]2CCCCN2C(C)=O)cc(=O)[n-]1 ZINC001184124997 743971376 /nfs/dbraw/zinc/97/13/76/743971376.db2.gz YDZATBFTZNYGHN-JTQLQIEISA-N -1 1 324.406 1.634 20 0 DDADMM CC(=O)c1c(C)c(C)[nH]c1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001184761889 744097186 /nfs/dbraw/zinc/09/71/86/744097186.db2.gz SCUQFVXCCMXSQS-UHFFFAOYSA-N -1 1 314.305 1.458 20 0 DDADMM O=S(=O)([N-]c1ccccc1CO)c1ccc2nsnc2c1 ZINC001186261255 744367507 /nfs/dbraw/zinc/36/75/07/744367507.db2.gz KYYPPZJANDGJIA-UHFFFAOYSA-N -1 1 321.383 1.984 20 0 DDADMM O=C(NCc1cc(OC2COC2)ccn1)c1ccc([O-])cc1F ZINC001186337887 744388673 /nfs/dbraw/zinc/38/86/73/744388673.db2.gz YYYXPKRFQPBAIA-UHFFFAOYSA-N -1 1 318.304 1.634 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(C(=O)OC)cc1F ZINC001187283540 744533351 /nfs/dbraw/zinc/53/33/51/744533351.db2.gz LSGBIUCLOGNHTA-UHFFFAOYSA-N -1 1 305.327 1.391 20 0 DDADMM CCOc1cc(C[N-]S(=O)(=O)c2cnoc2C)ccc1O ZINC001187410431 744557894 /nfs/dbraw/zinc/55/78/94/744557894.db2.gz RYSSHYLBXBGXRQ-UHFFFAOYSA-N -1 1 312.347 1.566 20 0 DDADMM COC(=O)[C@@H]1CCC[C@H]([N-]S(=O)(=O)c2nccs2)C1 ZINC001187923607 744635920 /nfs/dbraw/zinc/63/59/20/744635920.db2.gz SVUVIFOWDHAPPS-BDAKNGLRSA-N -1 1 304.393 1.153 20 0 DDADMM O=S(=O)([N-]c1ccnc(F)c1Br)c1c[nH]cn1 ZINC001187730303 744598285 /nfs/dbraw/zinc/59/82/85/744598285.db2.gz DJFRNVMUBDUHKQ-UHFFFAOYSA-N -1 1 321.131 1.507 20 0 DDADMM COC(=O)C1(NC(=O)c2n[n-]nc2C(F)(F)F)CCCC1 ZINC001187770947 744599037 /nfs/dbraw/zinc/59/90/37/744599037.db2.gz VEXBDDRPAXNEGW-UHFFFAOYSA-N -1 1 306.244 1.039 20 0 DDADMM O=S(=O)([N-]CCN1CCCc2ccccc21)c1nccs1 ZINC001187910473 744628831 /nfs/dbraw/zinc/62/88/31/744628831.db2.gz BHRDWGLYLZXBJC-UHFFFAOYSA-N -1 1 323.443 1.874 20 0 DDADMM O=S(=O)([N-][C@@H]1COc2ccc(F)cc21)c1nccs1 ZINC001187913021 744629188 /nfs/dbraw/zinc/62/91/88/744629188.db2.gz SETMVGUHIXNCGQ-SECBINFHSA-N -1 1 300.336 1.694 20 0 DDADMM CCC(=O)N[C@H]1CC[C@H]([N-]S(=O)(=O)c2nccs2)CC1 ZINC001187923892 744635887 /nfs/dbraw/zinc/63/58/87/744635887.db2.gz YBSHYQICSXJNGU-MGCOHNPYSA-N -1 1 317.436 1.259 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1ccccc1-c1cccnc1 ZINC001188023389 744652190 /nfs/dbraw/zinc/65/21/90/744652190.db2.gz ZSRYXVKOJSGQBJ-UHFFFAOYSA-N -1 1 323.312 1.784 20 0 DDADMM CC(C)(C)CC(C)(C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188024529 744652285 /nfs/dbraw/zinc/65/22/85/744652285.db2.gz FQUDXUABRXPMNG-LLVKDONJSA-N -1 1 323.441 1.663 20 0 DDADMM COc1ccc(OC)c(NC(=O)NCC[P@](=O)([O-])O)c1 ZINC001188361016 744701160 /nfs/dbraw/zinc/70/11/60/744701160.db2.gz XGFZNAJBBKTYLJ-UHFFFAOYSA-N -1 1 304.239 1.003 20 0 DDADMM COC(=O)[C@H]1C2CCC(CC2)[C@@H]1NC(=O)c1ccncc1[O-] ZINC001188644358 744735057 /nfs/dbraw/zinc/73/50/57/744735057.db2.gz BWYXYFAXAQFUQH-FMPDERDJSA-N -1 1 304.346 1.495 20 0 DDADMM COC(=O)[C@](C)([N-]S(=O)(=O)c1ccoc1)c1ccccc1 ZINC001188631651 744742732 /nfs/dbraw/zinc/74/27/32/744742732.db2.gz NMUWZMDDDCRMKS-CQSZACIVSA-N -1 1 309.343 1.646 20 0 DDADMM CCOc1cnc(NC(=O)c2c([O-])cc(OC)cc2OC)cn1 ZINC001190110501 745117437 /nfs/dbraw/zinc/11/74/37/745117437.db2.gz RBRJGMFWQDGKAB-UHFFFAOYSA-N -1 1 319.317 1.850 20 0 DDADMM O=C(NCCn1ccnc1)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190256956 745163037 /nfs/dbraw/zinc/16/30/37/745163037.db2.gz AMYSGZWAFVQNNR-UHFFFAOYSA-N -1 1 309.329 1.476 20 0 DDADMM Cc1nc(NC(=O)c2cnc(-c3ccccc3)[n-]c2=O)cc(=O)[nH]1 ZINC001190259369 745164024 /nfs/dbraw/zinc/16/40/24/745164024.db2.gz YPTUHJJKYNOIFC-UHFFFAOYSA-N -1 1 323.312 1.906 20 0 DDADMM COC(=O)[C@H](C)NC(=O)Nc1nc(Br)ccc1[O-] ZINC001190505575 745232689 /nfs/dbraw/zinc/23/26/89/745232689.db2.gz DGXISLFKSMBISC-YFKPBYRVSA-N -1 1 318.127 1.233 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnn(C(C)(C)C)c3C)c1-2 ZINC001190820157 745340186 /nfs/dbraw/zinc/34/01/86/745340186.db2.gz BIXXNYLUYQPFIH-UHFFFAOYSA-N -1 1 313.365 1.547 20 0 DDADMM Cc1c(C(=O)NCCCC[P@](=O)([O-])O)cnn1C(C)(C)C ZINC001190840814 745347728 /nfs/dbraw/zinc/34/77/28/745347728.db2.gz YHYWJNCYZSKGBN-UHFFFAOYSA-N -1 1 317.326 1.634 20 0 DDADMM COc1cc(C(=O)Nc2ncc(C)[nH]c2=O)cc(Cl)c1[O-] ZINC001191158955 745438498 /nfs/dbraw/zinc/43/84/98/745438498.db2.gz ZMBOQWRRYXPFEU-UHFFFAOYSA-N -1 1 309.709 1.698 20 0 DDADMM Cn1ncc2c1ncnc2[N-]C(=O)c1cc(Br)no1 ZINC001191329291 745485555 /nfs/dbraw/zinc/48/55/55/745485555.db2.gz SIAIFIPEFGVFGR-UHFFFAOYSA-N -1 1 323.110 1.366 20 0 DDADMM CSc1ncc(C(=O)Nc2c3c(nn2C)CCC3)c(=O)[n-]1 ZINC001191420623 745506726 /nfs/dbraw/zinc/50/67/26/745506726.db2.gz QJMMCCKVAUGLPS-UHFFFAOYSA-N -1 1 305.363 1.379 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@](C)(F)[C@H](F)C2)c(=O)[n-]1 ZINC001191429171 745509514 /nfs/dbraw/zinc/50/95/14/745509514.db2.gz WGONFBAUQOKDSH-PRHODGIISA-N -1 1 303.334 1.816 20 0 DDADMM COc1ccsc1C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001191767115 745593819 /nfs/dbraw/zinc/59/38/19/745593819.db2.gz YZRCIOJAAFKZRX-UHFFFAOYSA-N -1 1 307.291 1.038 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc([C@@H](C)O)cc2)n[nH]1 ZINC001192341251 745759311 /nfs/dbraw/zinc/75/93/11/745759311.db2.gz WEEGXPNFVVSHAE-SECBINFHSA-N -1 1 303.318 1.892 20 0 DDADMM COC(=O)[C@H](CCSC)NC(=O)c1c(F)ccc([O-])c1F ZINC001192551798 745812522 /nfs/dbraw/zinc/81/25/22/745812522.db2.gz GINQSKJCKACMMZ-QMMMGPOBSA-N -1 1 319.329 1.695 20 0 DDADMM COc1ccnc(C(=N)NC(=O)c2c(F)ccc([O-])c2F)n1 ZINC001192551932 745812916 /nfs/dbraw/zinc/81/29/16/745812916.db2.gz JBFBELGCMZIDSV-UHFFFAOYSA-N -1 1 308.244 1.224 20 0 DDADMM CN1C(=O)CC[C@H]2CN(C(=O)c3c(F)ccc([O-])c3F)CC[C@H]21 ZINC001192540217 745826762 /nfs/dbraw/zinc/82/67/62/745826762.db2.gz KMHMUKJHIMCHCB-GXSJLCMTSA-N -1 1 324.327 1.753 20 0 DDADMM COC(=O)[C@@]1(C)COCCN1C(=O)c1c(F)ccc([O-])c1F ZINC001192541435 745826897 /nfs/dbraw/zinc/82/68/97/745826897.db2.gz VKKNJNSXRSGDCC-CQSZACIVSA-N -1 1 315.272 1.075 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1ncc(Cl)cc1O ZINC001193016987 745961568 /nfs/dbraw/zinc/96/15/68/745961568.db2.gz LYVKERKDASJCRF-UHFFFAOYSA-N -1 1 322.770 1.524 20 0 DDADMM CC[C@@H](C)CN1CC(NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001031251649 746022706 /nfs/dbraw/zinc/02/27/06/746022706.db2.gz UAUWRWPXBOPIAG-SECBINFHSA-N -1 1 304.350 1.389 20 0 DDADMM CC[C@H](C)CN1CC(NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001031251650 746022716 /nfs/dbraw/zinc/02/27/16/746022716.db2.gz UAUWRWPXBOPIAG-VIFPVBQESA-N -1 1 304.350 1.389 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1ccc(CO)cn1 ZINC001193304649 746056936 /nfs/dbraw/zinc/05/69/36/746056936.db2.gz HUSKAMYHFLWWGS-UHFFFAOYSA-N -1 1 310.313 1.718 20 0 DDADMM COC(=O)Cc1ccc(O)c([N-]S(=O)(=O)CC2CCC2)c1 ZINC001193513633 746130970 /nfs/dbraw/zinc/13/09/70/746130970.db2.gz GHUOTZTYKLUHTG-UHFFFAOYSA-N -1 1 313.375 1.650 20 0 DDADMM CC(C)c1csc(C(=O)NCCCC[P@](=O)([O-])O)n1 ZINC001193519219 746131600 /nfs/dbraw/zinc/13/16/00/746131600.db2.gz IMTFHPOQPURSSA-UHFFFAOYSA-N -1 1 306.324 1.954 20 0 DDADMM C[C@H]1CCC[C@H]1CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000993750944 746307600 /nfs/dbraw/zinc/30/76/00/746307600.db2.gz MNHFCQODUSCKSN-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CCOC(=O)c1cccc(NC(=O)c2cc(C(=O)OC)n[n-]2)n1 ZINC001194284169 746339425 /nfs/dbraw/zinc/33/94/25/746339425.db2.gz SZIAHJRVCXNCIO-UHFFFAOYSA-N -1 1 318.289 1.020 20 0 DDADMM CCOc1c(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cnn1C ZINC001194363920 746353794 /nfs/dbraw/zinc/35/37/94/746353794.db2.gz NVDBCMKAHVMCSL-UHFFFAOYSA-N -1 1 304.232 1.208 20 0 DDADMM CCCOCC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC001194578325 746413225 /nfs/dbraw/zinc/41/32/25/746413225.db2.gz LPPBFXIUXZSWSX-UHFFFAOYSA-N -1 1 312.313 1.805 20 0 DDADMM COC(=O)c1n[nH]c(C)c1NC(=O)c1c[n-]c(C(F)(F)F)n1 ZINC001194770318 746461988 /nfs/dbraw/zinc/46/19/88/746461988.db2.gz FNEHSNKAOVPEDS-UHFFFAOYSA-N -1 1 317.227 1.499 20 0 DDADMM COC[C@H]1CN(C(=O)c2nc(C)ccc2[O-])Cc2cn(C)nc21 ZINC001195321579 746564813 /nfs/dbraw/zinc/56/48/13/746564813.db2.gz GDDGZYOCGOSMBS-GFCCVEGCSA-N -1 1 316.361 1.215 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC3(C[C@@H]3C(=O)N(C)C)CC2)n1 ZINC001195322179 746564886 /nfs/dbraw/zinc/56/48/86/746564886.db2.gz ACULLOTWVZYOFI-GFCCVEGCSA-N -1 1 317.389 1.426 20 0 DDADMM O=C(c1c([O-])c(F)c(F)c(F)c1F)N1CCN2CC[C@@H]2C1 ZINC001195296550 746569852 /nfs/dbraw/zinc/56/98/52/746569852.db2.gz UZRWSKPSEBXTNK-ZCFIWIBFSA-N -1 1 304.243 1.479 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2cncnc2Br)n1 ZINC001195318270 746575972 /nfs/dbraw/zinc/57/59/72/746575972.db2.gz KLJBPSIZPBYCOU-UHFFFAOYSA-N -1 1 309.123 1.900 20 0 DDADMM CCc1nc2ccc([N-]S(=O)(=O)c3ccc(O)cc3)cn2n1 ZINC001195773381 746694437 /nfs/dbraw/zinc/69/44/37/746694437.db2.gz WIGZBFBHFUINMC-UHFFFAOYSA-N -1 1 318.358 1.798 20 0 DDADMM O=C([N-]c1nnco1)c1nc(C2CC2)ncc1Br ZINC001195970855 746742724 /nfs/dbraw/zinc/74/27/24/746742724.db2.gz NDYRVJXKEOTNRE-UHFFFAOYSA-N -1 1 310.111 1.752 20 0 DDADMM O=C(Nc1cc(C(F)(F)F)no1)c1c[nH]c(=S)[n-]c1=O ZINC001196008703 746754138 /nfs/dbraw/zinc/75/41/38/746754138.db2.gz HATCIEIINJFRJU-UHFFFAOYSA-N -1 1 306.225 1.730 20 0 DDADMM O=C(Nc1cncc(C(F)(F)F)n1)c1c[nH]c(=S)[n-]c1=O ZINC001196009111 746754935 /nfs/dbraw/zinc/75/49/35/746754935.db2.gz VRLBROHNBJVRFX-UHFFFAOYSA-N -1 1 317.252 1.532 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCC[C@H]1c1nccs1 ZINC001196016646 746757235 /nfs/dbraw/zinc/75/72/35/746757235.db2.gz XGQGQDMWYWNZSL-QMMMGPOBSA-N -1 1 308.388 1.905 20 0 DDADMM O=C(N[C@@H]1CCCc2nccnc21)c1c[nH]c(=S)[n-]c1=O ZINC001196027408 746765753 /nfs/dbraw/zinc/76/57/53/746765753.db2.gz WIWHXYPWLOXBPK-SECBINFHSA-N -1 1 303.347 1.068 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc(C(F)F)nc3)c1-2 ZINC001196084365 746774786 /nfs/dbraw/zinc/77/47/86/746774786.db2.gz JWXIWALHVVQJNA-UHFFFAOYSA-N -1 1 304.260 1.620 20 0 DDADMM O=S(=O)([N-]c1ccnc(CO)c1)c1ccc2nccnc2c1 ZINC001198115908 747386650 /nfs/dbraw/zinc/38/66/50/747386650.db2.gz FJAMYVMJZVMYRQ-UHFFFAOYSA-N -1 1 316.342 1.318 20 0 DDADMM CCN(c1nc(C)ns1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001089637714 747531186 /nfs/dbraw/zinc/53/11/86/747531186.db2.gz ZARZWUDRLIULDH-SECBINFHSA-N -1 1 321.406 1.592 20 0 DDADMM CC[N@H+]1CCC[C@H]1CNS(=O)(=O)c1ccccc1C(=O)[O-] ZINC001198739170 747585385 /nfs/dbraw/zinc/58/53/85/747585385.db2.gz DRGFODWHQJJOBY-NSHDSACASA-N -1 1 312.391 1.147 20 0 DDADMM CCN1CCC[C@H]1CNS(=O)(=O)c1ccccc1C(=O)[O-] ZINC001198739170 747585391 /nfs/dbraw/zinc/58/53/91/747585391.db2.gz DRGFODWHQJJOBY-NSHDSACASA-N -1 1 312.391 1.147 20 0 DDADMM CN1CCC[C@@H]2CN(S(=O)(=O)c3ccccc3C(=O)[O-])C[C@H]21 ZINC001198766880 747603090 /nfs/dbraw/zinc/60/30/90/747603090.db2.gz AIOGATNMMJPIGP-DGCLKSJQSA-N -1 1 324.402 1.100 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2ccc(CO)nc2)c(C)c1 ZINC001198912073 747648582 /nfs/dbraw/zinc/64/85/82/747648582.db2.gz RJWRGRZTDWGLRI-UHFFFAOYSA-N -1 1 308.359 1.692 20 0 DDADMM Cc1nonc1[N-]C(=O)c1nccc(OCC2CCOCC2)n1 ZINC001199172088 747727475 /nfs/dbraw/zinc/72/74/75/747727475.db2.gz ZUVBBRUCLVNSFZ-UHFFFAOYSA-N -1 1 319.321 1.226 20 0 DDADMM COc1nccc(C(=O)[N-]c2nnc(Br)s2)n1 ZINC001199318573 747798307 /nfs/dbraw/zinc/79/83/07/747798307.db2.gz CTBUOUDJXFNGMV-UHFFFAOYSA-N -1 1 316.140 1.352 20 0 DDADMM CC(C)Cn1nc(C(=O)[N-]c2nonc2N)cc1C(F)(F)F ZINC001199602090 747911480 /nfs/dbraw/zinc/91/14/80/747911480.db2.gz XPTMEBIVJKWNPS-UHFFFAOYSA-N -1 1 318.259 1.775 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(Cc2nccs2)C1 ZINC001033025084 747959768 /nfs/dbraw/zinc/95/97/68/747959768.db2.gz JEHBLXFTPGIXEB-LLVKDONJSA-N -1 1 318.402 1.590 20 0 DDADMM CCn1ccc(CNc2ccc(OC)c([N-]S(C)(=O)=O)c2)n1 ZINC001201140638 748419635 /nfs/dbraw/zinc/41/96/35/748419635.db2.gz IWUBPMMUHKUIOL-UHFFFAOYSA-N -1 1 324.406 1.895 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]CCC1OCCO1 ZINC001201766647 748598982 /nfs/dbraw/zinc/59/89/82/748598982.db2.gz GWNTXWHTYFTMPE-UHFFFAOYSA-N -1 1 312.800 1.146 20 0 DDADMM C[C@H]1CCN(C(=O)C(C)(C)F)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004753083 748731977 /nfs/dbraw/zinc/73/19/77/748731977.db2.gz RWJSLLUPLZVGOE-WDEREUQCSA-N -1 1 323.368 1.502 20 0 DDADMM Cc1ccc(NC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001202436870 748780507 /nfs/dbraw/zinc/78/05/07/748780507.db2.gz KXGUGCVFSPAILT-UHFFFAOYSA-N -1 1 300.278 1.668 20 0 DDADMM Cc1cnc(C)nc1NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001108322892 761932412 /nfs/dbraw/zinc/93/24/12/761932412.db2.gz GEOQQVQHPQKHBV-JTQLQIEISA-N -1 1 301.350 1.424 20 0 DDADMM CC[C@H](F)CN1CCC(N(C)C(=O)c2n[nH]c(C)c2[O-])CC1 ZINC001005262434 749660128 /nfs/dbraw/zinc/66/01/28/749660128.db2.gz MQBNCORBTYMJBP-NSHDSACASA-N -1 1 312.389 1.708 20 0 DDADMM CCN(C(=O)c1c(C)nc[nH]c1=O)[C@H]1CC[N@@H+](C/C=C\Cl)C1 ZINC001033932176 750143777 /nfs/dbraw/zinc/14/37/77/750143777.db2.gz ITPVVNUAPSWJOS-RNZFLTOJSA-N -1 1 324.812 1.780 20 0 DDADMM C[C@@H]1C[C@@H](NCC(=O)CCC(=O)[O-])CN1C(=O)OC(C)(C)C ZINC001168828465 762056461 /nfs/dbraw/zinc/05/64/61/762056461.db2.gz HARCPHKTKPNQNQ-GHMZBOCLSA-N -1 1 314.382 1.408 20 0 DDADMM O=C(CCC1CCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034901661 751017469 /nfs/dbraw/zinc/01/74/69/751017469.db2.gz VFNHJUMLJWQPLB-GFCCVEGCSA-N -1 1 307.398 1.171 20 0 DDADMM O=S(=O)([N-]CCC1(CO)CC1)c1ccc(Cl)nc1F ZINC000692894614 751338429 /nfs/dbraw/zinc/33/84/29/751338429.db2.gz QPIVGBPPBOPEFF-UHFFFAOYSA-N -1 1 308.762 1.315 20 0 DDADMM CC(C)CC(=O)N1CCC[C@@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036494943 752485514 /nfs/dbraw/zinc/48/55/14/752485514.db2.gz RZWWKXPOKYQQSX-VXGBXAGGSA-N -1 1 309.414 1.273 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC000999475034 752941356 /nfs/dbraw/zinc/94/13/56/752941356.db2.gz LIVSVDULFMWORK-JQWIXIFHSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1c1ncccn1 ZINC001039734002 762191553 /nfs/dbraw/zinc/19/15/53/762191553.db2.gz SHDRPYVJLNPBGN-NWDGAFQWSA-N -1 1 313.361 1.365 20 0 DDADMM O=C(C[C@H]1CC=CCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999903542 753232455 /nfs/dbraw/zinc/23/24/55/753232455.db2.gz GLZYKKYSSXVDOB-STQMWFEESA-N -1 1 319.409 1.337 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC23CC3)C1 ZINC001005956191 753417456 /nfs/dbraw/zinc/41/74/56/753417456.db2.gz KEZMBIAQBTXJIN-ZYHUDNBSSA-N -1 1 315.373 1.164 20 0 DDADMM Cc1cnc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001010728629 754126851 /nfs/dbraw/zinc/12/68/51/754126851.db2.gz XEVUAMVPOSDIHP-LLVKDONJSA-N -1 1 302.334 1.088 20 0 DDADMM C[C@@H](c1ncccn1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010735184 754132254 /nfs/dbraw/zinc/13/22/54/754132254.db2.gz XBNCHVGXAJVJOE-NWDGAFQWSA-N -1 1 313.361 1.143 20 0 DDADMM CC[C@@H](SC)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011011325 754304207 /nfs/dbraw/zinc/30/42/07/754304207.db2.gz QLPVAOUIQYSMJK-GFCCVEGCSA-N -1 1 323.418 1.213 20 0 DDADMM CN(C[C@@H]1CCCN1C(=O)C(C)(C)F)C(=O)c1ncccc1[O-] ZINC001002040205 754665390 /nfs/dbraw/zinc/66/53/90/754665390.db2.gz QDQOSEQVGSTELJ-NSHDSACASA-N -1 1 323.368 1.598 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2cc[nH]c2)CCN1C(=O)c1ncccc1[O-] ZINC001011676623 754671428 /nfs/dbraw/zinc/67/14/28/754671428.db2.gz MZCXSDUOVXFFJY-JQWIXIFHSA-N -1 1 314.345 1.148 20 0 DDADMM CC(=O)N1C[C@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@@H]1C ZINC001012118093 754859883 /nfs/dbraw/zinc/85/98/83/754859883.db2.gz UUHTXCRIMKMECH-JOYOIKCWSA-N -1 1 320.393 1.353 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)C1=CCCC1 ZINC001085168563 758326643 /nfs/dbraw/zinc/32/66/43/758326643.db2.gz TXXFDRCUNLEYFW-VXGBXAGGSA-N -1 1 305.382 1.138 20 0 DDADMM Cc1csc(CN[C@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)n1 ZINC001018722440 759185964 /nfs/dbraw/zinc/18/59/64/759185964.db2.gz SUELHFACVZDRRK-JTQLQIEISA-N -1 1 321.406 1.193 20 0 DDADMM C[C@H]1CN(C(=O)C2CC3(CCC3)C2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054991998 760268714 /nfs/dbraw/zinc/26/87/14/760268714.db2.gz MSIOVHZAWZPYOQ-JQWIXIFHSA-N -1 1 319.409 1.027 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CC1(C)C ZINC001020192116 760667315 /nfs/dbraw/zinc/66/73/15/760667315.db2.gz AQNBRMXUULLWNH-SRVKXCTJSA-N -1 1 317.389 1.553 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001020205996 760678351 /nfs/dbraw/zinc/67/83/51/760678351.db2.gz GKCNEACSXINUAG-BJDJZHNGSA-N -1 1 303.362 1.162 20 0 DDADMM Cc1ncoc1CN[C@H]1C[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001086061482 760876947 /nfs/dbraw/zinc/87/69/47/760876947.db2.gz QRWOGJKXOOSFDL-PHIMTYICSA-N -1 1 319.365 1.018 20 0 DDADMM CN(CCCN(C)C(=O)C1(CF)CC1)C(=O)c1ncccc1[O-] ZINC001067254602 761057875 /nfs/dbraw/zinc/05/78/75/761057875.db2.gz FNUQZUWMFZXSLB-UHFFFAOYSA-N -1 1 323.368 1.457 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1Nc1ncccn1 ZINC001069560175 768060392 /nfs/dbraw/zinc/06/03/92/768060392.db2.gz XJOYTTCSPHIUOC-GFCCVEGCSA-N -1 1 313.361 1.540 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(CCc2ccccc2)C1 ZINC001042645930 764308383 /nfs/dbraw/zinc/30/83/83/764308383.db2.gz HVRBVTHBBZJXHR-UHFFFAOYSA-N -1 1 311.385 1.786 20 0 DDADMM CCCCC(=O)N1C[C@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001052019841 765391143 /nfs/dbraw/zinc/39/11/43/765391143.db2.gz AICSFZDLNZQVOL-QWHCGFSZSA-N -1 1 319.405 1.802 20 0 DDADMM CC(C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)=C1CCC1 ZINC001045033370 766053432 /nfs/dbraw/zinc/05/34/32/766053432.db2.gz FYOBKODAIMJFKF-LBPRGKRZSA-N -1 1 305.382 1.091 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045051652 766064124 /nfs/dbraw/zinc/06/41/24/766064124.db2.gz YJLWGWDVTLDJNS-NSHDSACASA-N -1 1 309.414 1.273 20 0 DDADMM C[C@]1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C[C@H]2C[C@H]2C1 ZINC001045135675 766107582 /nfs/dbraw/zinc/10/75/82/766107582.db2.gz ZOQGBMXTOSKATL-MEQWQQMJSA-N -1 1 319.409 1.027 20 0 DDADMM Cc1c(Cl)nnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1C ZINC001170213609 766172678 /nfs/dbraw/zinc/17/26/78/766172678.db2.gz MAKCZVCDLNCTFX-UHFFFAOYSA-N -1 1 307.701 1.520 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nccc(-c4cccnc4)n3)c2[nH]1 ZINC001170214143 766173916 /nfs/dbraw/zinc/17/39/16/766173916.db2.gz XWRQREHPCFEXCH-UHFFFAOYSA-N -1 1 322.288 1.311 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3cncc(C(F)(F)F)c3)c2[nH]1 ZINC001170216643 766175224 /nfs/dbraw/zinc/17/52/24/766175224.db2.gz LQFOMBFBKJUBBI-UHFFFAOYSA-N -1 1 312.211 1.873 20 0 DDADMM COc1ncc2cc[nH]c2c1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170217242 766176665 /nfs/dbraw/zinc/17/66/65/766176665.db2.gz GOUFQXAUNOTMCB-UHFFFAOYSA-N -1 1 313.277 1.344 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nc(Cl)cnc3C3CC3)c2[nH]1 ZINC001170219259 766177598 /nfs/dbraw/zinc/17/75/98/766177598.db2.gz ZNLJJWVNUVRNHZ-UHFFFAOYSA-N -1 1 319.712 1.780 20 0 DDADMM CC1(c2ccnc(Nc3[n-]c(=O)nc4nc[nH]c43)c2)OCCO1 ZINC001170227095 766201609 /nfs/dbraw/zinc/20/16/09/766201609.db2.gz YRDITNZOKJHYSM-UHFFFAOYSA-N -1 1 314.305 1.417 20 0 DDADMM CS(=O)(=O)c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)cc1F ZINC001170229664 766203736 /nfs/dbraw/zinc/20/37/36/766203736.db2.gz VXPQRGNIGGKJEU-UHFFFAOYSA-N -1 1 323.309 1.345 20 0 DDADMM CC[C@H](Cc1ccc2c(c1)OCO2)[NH2+]CCP(=O)([O-])[O-] ZINC001170406863 766296540 /nfs/dbraw/zinc/29/65/40/766296540.db2.gz FVQGZVCHHWZVDQ-LLVKDONJSA-N -1 1 301.279 1.504 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@]2(C)CCN(Cc3cccnc3)C2)c1[O-] ZINC001046246963 767287375 /nfs/dbraw/zinc/28/73/75/767287375.db2.gz UFPFIVQQCSCVOF-INIZCTEOSA-N -1 1 315.377 1.213 20 0 DDADMM Cc1conc1CN1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001046260330 767315692 /nfs/dbraw/zinc/31/56/92/767315692.db2.gz XZJQMBPPFGGDEK-INIZCTEOSA-N -1 1 316.361 1.478 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1Cc2ccccc2[C@@H](C)C1 ZINC001121677865 782623680 /nfs/dbraw/zinc/62/36/80/782623680.db2.gz BKLKSLAXVSDSLM-JTQLQIEISA-N -1 1 310.365 1.602 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1Cc2ccccc2[C@@H](C)C1 ZINC001121677865 782623681 /nfs/dbraw/zinc/62/36/81/782623681.db2.gz BKLKSLAXVSDSLM-JTQLQIEISA-N -1 1 310.365 1.602 20 0 DDADMM CC[C@@H](C)CC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648365 768643379 /nfs/dbraw/zinc/64/33/79/768643379.db2.gz GXFGJKKDBCCCOU-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(CC/C=C/c1ccccc1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001133699919 770169130 /nfs/dbraw/zinc/16/91/30/770169130.db2.gz AQTUMVGRXJSVAB-XBXARRHUSA-N -1 1 315.377 1.210 20 0 DDADMM CCCCCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071951555 770335223 /nfs/dbraw/zinc/33/52/23/770335223.db2.gz ARTIOYLVHPKXBJ-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)=CC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071953493 770340235 /nfs/dbraw/zinc/34/02/35/770340235.db2.gz ZTSSWXWXYUYSIQ-RYUDHWBXSA-N -1 1 303.362 1.330 20 0 DDADMM CSc1nc([N-]C(=O)c2coc(-c3cccnc3)n2)n[nH]1 ZINC001136480817 771983663 /nfs/dbraw/zinc/98/36/63/771983663.db2.gz YHJJBAZGJULIDY-UHFFFAOYSA-N -1 1 302.319 1.829 20 0 DDADMM CN(C)c1nc(NC(=O)Cc2ccccc2O)c(N=O)c(=O)[n-]1 ZINC001144254150 772458298 /nfs/dbraw/zinc/45/82/98/772458298.db2.gz YGDVEBPFXVPXOY-UHFFFAOYSA-N -1 1 317.305 1.533 20 0 DDADMM COC[C@H]1c2nnn(C)c2CCN1Cc1c(F)cc([O-])cc1F ZINC001144550293 772563214 /nfs/dbraw/zinc/56/32/14/772563214.db2.gz HGZKCAAREZWTHO-AWEZNQCLSA-N -1 1 324.331 1.545 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2c(F)cc([O-])cc2F)CCO1 ZINC001144555115 772563966 /nfs/dbraw/zinc/56/39/66/772563966.db2.gz WAQZVXQJQYZTQP-CYBMUJFWSA-N -1 1 301.289 1.434 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(F)cc2N)n[n-]1 ZINC001146863424 772956420 /nfs/dbraw/zinc/95/64/20/772956420.db2.gz BJBKPGIVOKGABB-SSDOTTSWSA-N -1 1 321.312 1.194 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(F)cc2N)[n-]1 ZINC001146863424 772956421 /nfs/dbraw/zinc/95/64/21/772956421.db2.gz BJBKPGIVOKGABB-SSDOTTSWSA-N -1 1 321.312 1.194 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(F)cc2N)n1 ZINC001146863424 772956423 /nfs/dbraw/zinc/95/64/23/772956423.db2.gz BJBKPGIVOKGABB-SSDOTTSWSA-N -1 1 321.312 1.194 20 0 DDADMM Cc1ncsc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001147377855 773124169 /nfs/dbraw/zinc/12/41/69/773124169.db2.gz XCMKJFXQUODOQU-UHFFFAOYSA-N -1 1 308.323 1.663 20 0 DDADMM Cc1cc(=O)c(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)c[nH]1 ZINC001147644638 773189654 /nfs/dbraw/zinc/18/96/54/773189654.db2.gz RCXMNENVCAHFGC-UHFFFAOYSA-N -1 1 310.313 1.827 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2C[C@H]3CCC[C@@H](C2)C3O)c1 ZINC001147783779 773243265 /nfs/dbraw/zinc/24/32/65/773243265.db2.gz JETWEIUHGVLPCD-ODOQXGPZSA-N -1 1 303.358 1.746 20 0 DDADMM CSCC[C@H](NC(=O)c1cccn2nccc12)c1nn[n-]n1 ZINC001148261562 773414535 /nfs/dbraw/zinc/41/45/35/773414535.db2.gz XDSKXPYWGHRINJ-JTQLQIEISA-N -1 1 317.378 1.072 20 0 DDADMM CCCC[C@H](NC(=O)c1ccc2cccnc2c1[O-])C(N)=O ZINC001149330366 773661379 /nfs/dbraw/zinc/66/13/79/773661379.db2.gz XSTKMTQHUZRIKI-LBPRGKRZSA-N -1 1 301.346 1.714 20 0 DDADMM CCc1cc(NCCNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001093562702 774890246 /nfs/dbraw/zinc/89/02/46/774890246.db2.gz CJFZMWXKWSSKRC-UHFFFAOYSA-N -1 1 301.350 1.290 20 0 DDADMM Cc1ccc2c(c1)C[C@@H](Nc1[nH]c(=O)nc3[n-]c(=O)[nH]c31)CC2 ZINC001172844292 776907541 /nfs/dbraw/zinc/90/75/41/776907541.db2.gz XKXUHNUMLQVCPF-NSHDSACASA-N -1 1 311.345 1.994 20 0 DDADMM COCc1cccc([N-]S(=O)(=O)c2cc(N)ccc2N)c1 ZINC001174096268 777405105 /nfs/dbraw/zinc/40/51/05/777405105.db2.gz NULJSDMOSLXMHY-UHFFFAOYSA-N -1 1 307.375 1.798 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2cccc3n[nH]cc32)cc1N ZINC001174345626 777461622 /nfs/dbraw/zinc/46/16/22/777461622.db2.gz JTDKJTCKNGUMBL-UHFFFAOYSA-N -1 1 303.347 1.528 20 0 DDADMM COc1ccc(N)cc1S(=O)(=O)Nc1cccc(C(=O)[O-])c1 ZINC001175331732 777754700 /nfs/dbraw/zinc/75/47/00/777754700.db2.gz MBPZLVIAQDAZED-UHFFFAOYSA-N -1 1 322.342 1.776 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2cc(N)ccc2N)ccc1F ZINC001175398622 777764199 /nfs/dbraw/zinc/76/41/99/777764199.db2.gz NVLXGDDZAYFZHQ-UHFFFAOYSA-N -1 1 311.338 1.800 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc(-c2nn[nH]n2)cc1 ZINC001175430154 777787639 /nfs/dbraw/zinc/78/76/39/777787639.db2.gz HJTNTXZUEOSHBM-UHFFFAOYSA-N -1 1 316.346 1.250 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)C(C)(C)C1CC1 ZINC001102264529 777983487 /nfs/dbraw/zinc/98/34/87/777983487.db2.gz OEGNYAFOHVBKKC-GHMZBOCLSA-N -1 1 321.425 1.131 20 0 DDADMM Cc1c(NC(=O)Cc2nn[n-]n2)snc1-c1ccccc1 ZINC001176839926 778271003 /nfs/dbraw/zinc/27/10/03/778271003.db2.gz ICKARSORRBQLSP-UHFFFAOYSA-N -1 1 300.347 1.813 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692838893 779490264 /nfs/dbraw/zinc/49/02/64/779490264.db2.gz JUXHKQODVWDZDI-UHFFFAOYSA-N -1 1 310.734 1.104 20 0 DDADMM CCOC(=O)c1cccc2nc(NC(=O)c3cn(C)cn3)[nH]c21 ZINC001180282467 779703432 /nfs/dbraw/zinc/70/34/32/779703432.db2.gz TZJDTYURLFNSNP-UHFFFAOYSA-N -1 1 313.317 1.725 20 0 DDADMM O=S(=O)([N-][C@H]1CCCOC1)c1cnc(Cl)cc1Cl ZINC001117540442 780830539 /nfs/dbraw/zinc/83/05/39/780830539.db2.gz YIHCHDCPEDBXDB-ZETCQYMHSA-N -1 1 311.190 1.846 20 0 DDADMM CC1=NO[C@H](C[N-]S(=O)(=O)c2cnc(Cl)cc2Cl)C1 ZINC001118937994 781278733 /nfs/dbraw/zinc/27/87/33/781278733.db2.gz SSGUQTGPCAGWDK-ZETCQYMHSA-N -1 1 324.189 1.832 20 0 DDADMM COc1ccc(CCC(=O)[N-]O[C@H]2CCN(C3CC3)C2=O)cc1 ZINC001119065996 781311289 /nfs/dbraw/zinc/31/12/89/781311289.db2.gz QRKIYFYGGHWLNJ-HNNXBMFYSA-N -1 1 318.373 1.439 20 0 DDADMM CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)CCSCC(=O)[O-] ZINC001119586961 781527656 /nfs/dbraw/zinc/52/76/56/781527656.db2.gz LCRLDZJXYQJWTN-UHFFFAOYSA-N -1 1 313.423 1.874 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)CC(=O)OC(C)(C)C ZINC001347373102 836496711 /nfs/dbraw/zinc/49/67/11/836496711.db2.gz LFNOUSINCIPGLL-UHFFFAOYSA-N -1 1 313.379 1.193 20 0 DDADMM CC[C@H](CC(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001266973454 837134344 /nfs/dbraw/zinc/13/43/44/837134344.db2.gz ZYSBFGLDXNRYHS-LLVKDONJSA-N -1 1 311.430 1.521 20 0 DDADMM CC/C(C)=C\C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001267838037 839059482 /nfs/dbraw/zinc/05/94/82/839059482.db2.gz RATGCNCSZKYGTI-FLIBITNWSA-N -1 1 307.398 1.385 20 0 DDADMM CN1CC[C@@]2(CCCN(C(=O)c3ccc([O-])cn3)CC2)C1=O ZINC001269354703 841557621 /nfs/dbraw/zinc/55/76/21/841557621.db2.gz RTFJUQBRPWYYOF-MRXNPFEDSA-N -1 1 303.362 1.262 20 0 DDADMM CC(C)CN1CC[C@]2(CCCN2C(=O)c2ccc([O-])cn2)C1=O ZINC001269355408 841559902 /nfs/dbraw/zinc/55/99/02/841559902.db2.gz YQJPZZBVEANERI-QGZVFWFLSA-N -1 1 317.389 1.650 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CN(C(=O)Cc1ccc([O-])c(Cl)c1)C2 ZINC001269510163 841714573 /nfs/dbraw/zinc/71/45/73/841714573.db2.gz SXONQSWZMATXTH-LLVKDONJSA-N -1 1 322.792 1.525 20 0 DDADMM CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001270389781 842536602 /nfs/dbraw/zinc/53/66/02/842536602.db2.gz XFRSQNMFCQLTSD-CHWSQXEVSA-N -1 1 314.345 1.104 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2CCOC3(CCC3)C2)sn1 ZINC001363830388 842755303 /nfs/dbraw/zinc/75/53/03/842755303.db2.gz CWXVDWATTPHHTM-VIFPVBQESA-N -1 1 318.420 1.532 20 0 DDADMM CC[C@H](C)N1CC[C@@]2(CCN(C(=O)c3cncc([O-])c3)C2)C1=O ZINC001271385236 843544504 /nfs/dbraw/zinc/54/45/04/843544504.db2.gz FUSPTOJSKAWBHJ-YVEFUNNKSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@H](Oc1nc(=O)[nH]c(=O)[n-]1)c1ccc(Br)nc1 ZINC001227571612 843595321 /nfs/dbraw/zinc/59/53/21/843595321.db2.gz XDYFZITUEVFMLV-YFKPBYRVSA-N -1 1 313.111 1.580 20 0 DDADMM CCC(F)(F)C[N-]S(=O)(=O)N1CCc2ccccc2C1 ZINC001414304997 843826755 /nfs/dbraw/zinc/82/67/55/843826755.db2.gz GHGZBGYOFRCPRI-UHFFFAOYSA-N -1 1 304.362 1.924 20 0 DDADMM CN(C(=O)c1ncsc1Nc1ccccc1)c1nn[n-]n1 ZINC001149220170 861492554 /nfs/dbraw/zinc/49/25/54/861492554.db2.gz TZIOPPGSDGYZAG-UHFFFAOYSA-N -1 1 301.335 1.676 20 0 DDADMM COc1ccc(CNC(=O)c2ccc3cccnc3c2[O-])nn1 ZINC001149326178 861548206 /nfs/dbraw/zinc/54/82/06/861548206.db2.gz BSEYULTWPAGICP-UHFFFAOYSA-N -1 1 310.313 1.669 20 0 DDADMM O=S(=O)([N-][C@H](CO)[C@H]1CCOC1)c1sccc1Cl ZINC001414239053 845621051 /nfs/dbraw/zinc/62/10/51/845621051.db2.gz OSBBELWOGXEOIT-IONNQARKSA-N -1 1 311.812 1.077 20 0 DDADMM CCC[C@H](C)CC(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272377427 846084268 /nfs/dbraw/zinc/08/42/68/846084268.db2.gz WCWZESKPSPWGST-QWHCGFSZSA-N -1 1 323.441 1.665 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(OC)ns1)[C@@H]1CCCOC1 ZINC001365456939 846255134 /nfs/dbraw/zinc/25/51/34/846255134.db2.gz BSQSCRGIDDGCJL-ZJUUUORDSA-N -1 1 320.436 1.635 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cnn(C2CCC2)c1 ZINC001149500229 861662774 /nfs/dbraw/zinc/66/27/74/861662774.db2.gz JUSHPURUAKUVJE-AWEZNQCLSA-N -1 1 323.360 1.641 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1C[N@@H+]2C[C@H]1CCCOC1 ZINC001032369856 847192165 /nfs/dbraw/zinc/19/21/65/847192165.db2.gz VQSBQUAOYNNZRS-RDBSUJKOSA-N -1 1 317.389 1.113 20 0 DDADMM C[C@]1(CO)CCCN(C(=O)c2[n-][nH]c3cc(=O)ccc2-3)CC1 ZINC001155261380 861906946 /nfs/dbraw/zinc/90/69/46/861906946.db2.gz PSXBBZIYNPWATG-INIZCTEOSA-N -1 1 303.362 1.893 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CC[C@]2(C1)CC(F)(F)CO2 ZINC001155266693 861911645 /nfs/dbraw/zinc/91/16/45/861911645.db2.gz NGDABKRTNYVMFG-CQSZACIVSA-N -1 1 323.299 1.909 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CC[C@H](O)C(C)C ZINC001434551023 848610693 /nfs/dbraw/zinc/61/06/93/848610693.db2.gz KGDJMICZFAWXAW-NSHDSACASA-N -1 1 323.361 1.659 20 0 DDADMM Cc1sccc1C(=O)N[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001410362818 849147909 /nfs/dbraw/zinc/14/79/09/849147909.db2.gz GKMPVFGQJXHFMC-VIFPVBQESA-N -1 1 319.386 1.705 20 0 DDADMM Cc1cncc(CC[N-]S(=O)(=O)c2cccc(F)c2F)n1 ZINC001364887025 849317912 /nfs/dbraw/zinc/31/79/12/849317912.db2.gz FQKVANRXOAVUMD-UHFFFAOYSA-N -1 1 313.329 1.584 20 0 DDADMM C[C@@H](C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001410711931 849674820 /nfs/dbraw/zinc/67/48/20/849674820.db2.gz UKCXMOVQAXWVME-SNVBAGLBSA-N -1 1 303.362 1.212 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cc(C)cc(F)c1 ZINC001273622081 851157618 /nfs/dbraw/zinc/15/76/18/851157618.db2.gz IEJVSLBMWDAYFX-UHFFFAOYSA-N -1 1 321.356 1.210 20 0 DDADMM Cc1ccc2ccc(C(=O)NCCc3cn(C)cn3)c([O-])c2n1 ZINC001155659820 862352549 /nfs/dbraw/zinc/35/25/49/862352549.db2.gz LUEPUADZCXWJCP-UHFFFAOYSA-N -1 1 310.357 1.955 20 0 DDADMM CN(C)C(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)c1ccc([O-])c(F)c1)C2 ZINC001275374515 853034020 /nfs/dbraw/zinc/03/40/20/853034020.db2.gz YPPRFGFPKPZOBD-JJLQDPRZSA-N -1 1 320.364 1.718 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC2(CCN2Cc2ccc[nH]2)C1 ZINC001275918371 853944625 /nfs/dbraw/zinc/94/46/25/853944625.db2.gz YJIZTKMDJFXMFZ-UHFFFAOYSA-N -1 1 315.348 1.960 20 0 DDADMM CSc1ncc(C(=O)NC[C@H](O)c2ccc(F)cc2)c(=O)[n-]1 ZINC001412069497 854182225 /nfs/dbraw/zinc/18/22/25/854182225.db2.gz BUGBMYLGXUQNHX-NSHDSACASA-N -1 1 323.349 1.507 20 0 DDADMM CCC(CC)(NC(=O)C(F)(F)c1nccs1)c1nn[n-]n1 ZINC001412112271 854224325 /nfs/dbraw/zinc/22/43/25/854224325.db2.gz RSGQVAZCOFADJB-UHFFFAOYSA-N -1 1 316.337 1.580 20 0 DDADMM CCC(CC)(NC(=O)c1cccc2c1OCCO2)c1nn[n-]n1 ZINC001412103692 854224577 /nfs/dbraw/zinc/22/45/77/854224577.db2.gz LEAGGOHSGAADBZ-UHFFFAOYSA-N -1 1 317.349 1.416 20 0 DDADMM CNc1nc(C)cc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)n1 ZINC001412163318 854284222 /nfs/dbraw/zinc/28/42/22/854284222.db2.gz ORZSNLVCMMSMTR-UHFFFAOYSA-N -1 1 314.349 1.453 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCCC(=O)C2CC2)n[n-]1 ZINC001412328285 854437707 /nfs/dbraw/zinc/43/77/07/854437707.db2.gz SPNUOTSJIRPCIA-VIFPVBQESA-N -1 1 322.365 1.308 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCCC(=O)C2CC2)[n-]1 ZINC001412328285 854437709 /nfs/dbraw/zinc/43/77/09/854437709.db2.gz SPNUOTSJIRPCIA-VIFPVBQESA-N -1 1 322.365 1.308 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCCC(=O)C2CC2)n1 ZINC001412328285 854437713 /nfs/dbraw/zinc/43/77/13/854437713.db2.gz SPNUOTSJIRPCIA-VIFPVBQESA-N -1 1 322.365 1.308 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(OCC)CCC2)n[n-]1 ZINC001412326597 854443429 /nfs/dbraw/zinc/44/34/29/854443429.db2.gz JVWOMVFMSIMYFT-VIFPVBQESA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(OCC)CCC2)[n-]1 ZINC001412326597 854443434 /nfs/dbraw/zinc/44/34/34/854443434.db2.gz JVWOMVFMSIMYFT-VIFPVBQESA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(OCC)CCC2)n1 ZINC001412326597 854443438 /nfs/dbraw/zinc/44/34/38/854443438.db2.gz JVWOMVFMSIMYFT-VIFPVBQESA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCc2cncs2)n[n-]1 ZINC001412326380 854443932 /nfs/dbraw/zinc/44/39/32/854443932.db2.gz IYYFOZINFLCIPK-QMMMGPOBSA-N -1 1 323.378 1.248 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCc2cncs2)[n-]1 ZINC001412326380 854443935 /nfs/dbraw/zinc/44/39/35/854443935.db2.gz IYYFOZINFLCIPK-QMMMGPOBSA-N -1 1 323.378 1.248 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCc2cncs2)n1 ZINC001412326380 854443943 /nfs/dbraw/zinc/44/39/43/854443943.db2.gz IYYFOZINFLCIPK-QMMMGPOBSA-N -1 1 323.378 1.248 20 0 DDADMM COC(=O)C[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC001412338209 854453595 /nfs/dbraw/zinc/45/35/95/854453595.db2.gz ALUIGDCKFIQHDF-NSHDSACASA-N -1 1 319.361 1.379 20 0 DDADMM CC1(C)CCC[C@H]1CCNC(=O)CNC(=O)c1ncccc1[O-] ZINC001412365508 854471571 /nfs/dbraw/zinc/47/15/71/854471571.db2.gz KYDOXFLWZHGSGP-LBPRGKRZSA-N -1 1 319.405 1.850 20 0 DDADMM NC(=O)C[C@H](NC(=O)c1cnncc1[O-])c1ccccc1Cl ZINC001412629944 854845685 /nfs/dbraw/zinc/84/56/85/854845685.db2.gz MOJOWQLXWHABHP-NSHDSACASA-N -1 1 320.736 1.182 20 0 DDADMM CCOC(=O)[C@H](NC(=O)c1ccc([O-])cn1)c1cccc(O)c1 ZINC001412673279 854920433 /nfs/dbraw/zinc/92/04/33/854920433.db2.gz JRQGRVZVBNHDAK-CQSZACIVSA-N -1 1 316.313 1.527 20 0 DDADMM CSc1ncc(C(=O)N2CCc3ncc(C)cc32)c(=O)[n-]1 ZINC001412696397 854974283 /nfs/dbraw/zinc/97/42/83/854974283.db2.gz AQBGMAKUONUAKH-UHFFFAOYSA-N -1 1 302.359 1.810 20 0 DDADMM CCOC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)c2ccc([O-])cn2)C1 ZINC001412861599 855734430 /nfs/dbraw/zinc/73/44/30/855734430.db2.gz YDWACUJRCONGKT-VXGBXAGGSA-N -1 1 321.377 1.774 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CN1Cc2ccccc2OC1=O ZINC001413028432 855989298 /nfs/dbraw/zinc/98/92/98/855989298.db2.gz MXOFOCDXSBBAMB-UHFFFAOYSA-N -1 1 302.290 1.377 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2n[nH]c3c2C[C@@H](C)CC3)n1 ZINC001413053905 856217950 /nfs/dbraw/zinc/21/79/50/856217950.db2.gz WRPHIEPZSCPYFM-QMMMGPOBSA-N -1 1 317.349 1.687 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2nc(C)c(C)[nH]2)[n-]c1=O ZINC001413075107 856356139 /nfs/dbraw/zinc/35/61/39/856356139.db2.gz FZEFMFZJSHNYFC-NSHDSACASA-N -1 1 303.366 1.868 20 0 DDADMM CCOC(=O)c1n[n-]c(CNCc2ncc(Cl)s2)n1 ZINC001413250233 856582226 /nfs/dbraw/zinc/58/22/26/856582226.db2.gz CTZOCXUSSJHYHH-UHFFFAOYSA-N -1 1 301.759 1.381 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H]2C[C@](C)(OC)C2(C)C)sn1 ZINC001413292309 856614898 /nfs/dbraw/zinc/61/48/98/856614898.db2.gz CVURTSRDMRSFPD-UFBFGSQYSA-N -1 1 320.436 1.634 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1CCS[C@@H](C)C1 ZINC001413455820 856834064 /nfs/dbraw/zinc/83/40/64/856834064.db2.gz BTFQOMQSKMOCJG-JGVFFNPUSA-N -1 1 308.450 1.714 20 0 DDADMM CC[C@H](OC1CCCC1)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001413501739 856896446 /nfs/dbraw/zinc/89/64/46/856896446.db2.gz GIPKYGCACSVGPA-ZDUSSCGKSA-N -1 1 309.414 1.922 20 0 DDADMM CCc1csc(CC(=O)N(Cc2nn[n-]n2)CC(C)C)n1 ZINC001413507367 856904960 /nfs/dbraw/zinc/90/49/60/856904960.db2.gz YJMTXULVDKTFEI-UHFFFAOYSA-N -1 1 308.411 1.446 20 0 DDADMM Cc1cnc(Br)c([N-]S(=O)(=O)c2ncc[nH]2)c1 ZINC000383946341 857129183 /nfs/dbraw/zinc/12/91/83/857129183.db2.gz VYFNEGAKKPZOFO-UHFFFAOYSA-N -1 1 317.168 1.676 20 0 DDADMM CCc1noc([C@@H]2CC[C@@H](NC(=O)c3ccc([O-])cn3)C2)n1 ZINC001413744613 858266677 /nfs/dbraw/zinc/26/66/77/858266677.db2.gz FZHDBWCAVPAVGH-NXEZZACHSA-N -1 1 302.334 1.799 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(Cc1ccccc1)OC ZINC001138140625 860013797 /nfs/dbraw/zinc/01/37/97/860013797.db2.gz GSNPFIFRLLGGBB-UHFFFAOYSA-N -1 1 305.290 1.100 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CN(Cc2ncccc2[O-])C[C@@H]1F ZINC001138394303 860091747 /nfs/dbraw/zinc/09/17/47/860091747.db2.gz NBHIWRAUUJOOBN-WDEREUQCSA-N -1 1 311.357 1.834 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CCCCN1Cc1ccncc1 ZINC001325775134 860823981 /nfs/dbraw/zinc/82/39/81/860823981.db2.gz AFOQQFIZRIBRDS-HNNXBMFYSA-N -1 1 307.394 1.520 20 0 DDADMM CSc1nc(NC(=O)c2ccc3c(N)n[nH]c3c2)cc(=O)[n-]1 ZINC001154168662 860831843 /nfs/dbraw/zinc/83/18/43/860831843.db2.gz VUUYHWJMKOYFHY-UHFFFAOYSA-N -1 1 316.346 1.615 20 0 DDADMM COc1ccc(NC(=O)CCCCO)cc1[N-]S(C)(=O)=O ZINC001141414274 860848872 /nfs/dbraw/zinc/84/88/72/860848872.db2.gz ZYCOAWLELYLMMV-UHFFFAOYSA-N -1 1 316.379 1.168 20 0 DDADMM C=CC(=O)Nc1ccc([O-])c(C(=O)Nc2nnc(C)s2)c1 ZINC001142019686 860996569 /nfs/dbraw/zinc/99/65/69/860996569.db2.gz UJOUUIYBHHQBCS-UHFFFAOYSA-N -1 1 304.331 1.929 20 0 DDADMM CN(C)c1nc(NC(=O)Cc2cccnc2)c(N=O)c(=O)[n-]1 ZINC001142144200 861035551 /nfs/dbraw/zinc/03/55/51/861035551.db2.gz AKBAECCKCCHFJN-UHFFFAOYSA-N -1 1 302.294 1.222 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2ccnc(OC)c2)[n-]1 ZINC001142227523 861077122 /nfs/dbraw/zinc/07/71/22/861077122.db2.gz VSJOXLDRPHBUGK-UHFFFAOYSA-N -1 1 318.333 1.561 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2ccnc(OC)c2)n1 ZINC001142227523 861077137 /nfs/dbraw/zinc/07/71/37/861077137.db2.gz VSJOXLDRPHBUGK-UHFFFAOYSA-N -1 1 318.333 1.561 20 0 DDADMM Cc1n[nH]c(C(=O)NCCCNCc2ncc(C3CC3)o2)c1[O-] ZINC001156620090 863198042 /nfs/dbraw/zinc/19/80/42/863198042.db2.gz KBQRVXOYIQGMKH-UHFFFAOYSA-N -1 1 319.365 1.199 20 0 DDADMM CCCc1noc(CNCCCNC(=O)c2ncccc2[O-])n1 ZINC001156949996 863472613 /nfs/dbraw/zinc/47/26/13/863472613.db2.gz ZOKGJHVJYZVSIH-UHFFFAOYSA-N -1 1 319.365 1.032 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CC2(C1)CCCO2 ZINC001152671062 863484924 /nfs/dbraw/zinc/48/49/24/863484924.db2.gz LTVXTYREMKMLHI-UHFFFAOYSA-N -1 1 300.314 1.651 20 0 DDADMM CCOC(=O)CCNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152680650 863489932 /nfs/dbraw/zinc/48/99/32/863489932.db2.gz UCTLNKSZPLJJOF-UHFFFAOYSA-N -1 1 304.302 1.329 20 0 DDADMM C[C@H]1OCC[C@H]1CNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152680844 863490060 /nfs/dbraw/zinc/49/00/60/863490060.db2.gz XQAWYHINHSNFCL-ZJUUUORDSA-N -1 1 302.330 1.801 20 0 DDADMM O=C(NC1(c2cccnn2)CC1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152682238 863492197 /nfs/dbraw/zinc/49/21/97/863492197.db2.gz WWJKBUOADJEWTH-UHFFFAOYSA-N -1 1 322.324 1.855 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)C(C)(C)C ZINC001329317042 863594339 /nfs/dbraw/zinc/59/43/39/863594339.db2.gz SNHARZLVZMTRLV-SECBINFHSA-N -1 1 308.350 1.699 20 0 DDADMM O=C([O-])CCC(=O)CNC(=O)c1cnc2[nH]ccc(Cl)c1-2 ZINC001153110216 863716337 /nfs/dbraw/zinc/71/63/37/863716337.db2.gz OVSMANBWXSUUNF-UHFFFAOYSA-N -1 1 309.709 1.380 20 0 DDADMM N=C(Nc1nccc2[nH]ccc21)SCCS(=O)(=O)[O-] ZINC001157321936 863736448 /nfs/dbraw/zinc/73/64/48/863736448.db2.gz JLBPASDRJNQFER-UHFFFAOYSA-N -1 1 300.365 1.531 20 0 DDADMM C[C@@]1(CO)CN(C(=O)c2c([O-])ccc3ccccc32)CC[C@@H]1O ZINC001153499075 863914741 /nfs/dbraw/zinc/91/47/41/863914741.db2.gz NHQJFEFOMCMFMZ-YJBOKZPZSA-N -1 1 315.369 1.751 20 0 DDADMM O=C(c1c([O-])ccc2ccccc21)N1CC[C@@H](O)[C@@H](CO)C1 ZINC001153498413 863915253 /nfs/dbraw/zinc/91/52/53/863915253.db2.gz DQVHVKKLKNYUCM-TZMCWYRMSA-N -1 1 301.342 1.361 20 0 DDADMM Cc1c[nH+]c(NCCOP(=O)([O-])[O-])nc1NCC1CC1 ZINC001157787986 864140303 /nfs/dbraw/zinc/14/03/03/864140303.db2.gz ULKZLWAXYORAJD-UHFFFAOYSA-N -1 1 302.271 1.128 20 0 DDADMM O=C(NCc1ccc2c(c1)OCO2)c1c[n-]c2cccnc2c1=O ZINC001153854049 864161944 /nfs/dbraw/zinc/16/19/44/864161944.db2.gz JQHQGZXLGCFFHC-UHFFFAOYSA-N -1 1 323.308 1.582 20 0 DDADMM Cn1cc(C2=NO[C@H](C(=O)Nc3ccc([O-])c(F)c3F)C2)cn1 ZINC001157811916 864162391 /nfs/dbraw/zinc/16/23/91/864162391.db2.gz YXPOUQOUFMWBHN-NSHDSACASA-N -1 1 322.271 1.536 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cnc(C)cn2)[n-]1 ZINC001361516479 881809892 /nfs/dbraw/zinc/80/98/92/881809892.db2.gz IBAQLNPJDVNOSG-UHFFFAOYSA-N -1 1 302.290 1.355 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ncnc4c(F)cccc34)c2[nH]1 ZINC001159186614 865154378 /nfs/dbraw/zinc/15/43/78/865154378.db2.gz VWXRDIKANBIUMX-UHFFFAOYSA-N -1 1 313.252 1.542 20 0 DDADMM COc1cnc(Nc2[n-]c(=O)nc3nc[nH]c32)nc1NC(C)C ZINC001159192738 865158547 /nfs/dbraw/zinc/15/85/47/865158547.db2.gz WRCBBKPZMGNUHD-UHFFFAOYSA-N -1 1 316.325 1.421 20 0 DDADMM COc1cnc2c(c1)ncnc2Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159199716 865163707 /nfs/dbraw/zinc/16/37/07/865163707.db2.gz QPJGGJPQTYYINR-UHFFFAOYSA-N -1 1 310.277 1.149 20 0 DDADMM CC(C)(C)c1cnc(CNCCn2cc(C(=O)[O-])nn2)s1 ZINC001331997506 865491760 /nfs/dbraw/zinc/49/17/60/865491760.db2.gz FEJKUYUNNMXKCS-UHFFFAOYSA-N -1 1 309.395 1.520 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@H]1C=CCC1 ZINC001332757697 866120419 /nfs/dbraw/zinc/12/04/19/866120419.db2.gz SWMDBOUBYZUUJQ-NWDGAFQWSA-N -1 1 321.425 1.487 20 0 DDADMM Cc1ccc2nc(Nc3c(O)[nH]c(=O)[n-]c3=S)ccc2c1 ZINC001160847182 866147007 /nfs/dbraw/zinc/14/70/07/866147007.db2.gz BIMCKEFTBVCROW-LLVKDONJSA-N -1 1 300.343 1.491 20 0 DDADMM COc1ccc2nc(Nc3c(O)[nH]c(=O)[n-]c3=S)ccc2c1 ZINC001160848120 866147880 /nfs/dbraw/zinc/14/78/80/866147880.db2.gz IGXAQFKAZGJLCU-LLVKDONJSA-N -1 1 316.342 1.191 20 0 DDADMM COc1cc(Nc2c(O)[nH]c(=O)[n-]c2=S)nc2ccccc21 ZINC001160853813 866151407 /nfs/dbraw/zinc/15/14/07/866151407.db2.gz QNSYFUGNWSWTFA-LLVKDONJSA-N -1 1 316.342 1.191 20 0 DDADMM CCOC([O-])=NC(=S)N=c1[nH]cccc1NCCN(C)C ZINC001161673030 866873887 /nfs/dbraw/zinc/87/38/87/866873887.db2.gz UVFIWUQJAIYYFK-UHFFFAOYSA-N -1 1 311.411 1.498 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(Cn2cccc2)cc1)c1nn[n-]n1 ZINC001320692766 866949432 /nfs/dbraw/zinc/94/94/32/866949432.db2.gz QUCBWTJWKDDIIB-ZDUSSCGKSA-N -1 1 324.388 1.925 20 0 DDADMM CC[C@H](F)C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001381524696 882067811 /nfs/dbraw/zinc/06/78/11/882067811.db2.gz XPFFNWICUGOPOZ-DCAQKATOSA-N -1 1 309.341 1.160 20 0 DDADMM CCOC(=O)c1nc(NC(=O)CO[C@H](C)CC)[n-]c1Cl ZINC001361642049 882071370 /nfs/dbraw/zinc/07/13/70/882071370.db2.gz NKNZVQSSAKNJKO-SSDOTTSWSA-N -1 1 303.746 1.993 20 0 DDADMM O=C([O-])[C@@H]1CC=C[C@@H](NCc2cn(-c3ccc(F)cc3)nn2)C1 ZINC001334841626 867858217 /nfs/dbraw/zinc/85/82/17/867858217.db2.gz OINBAGZMCRSYNS-DGCLKSJQSA-N -1 1 316.336 1.915 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCc2[nH]cnc2[C@H]1c1cccnc1 ZINC001163273437 868293668 /nfs/dbraw/zinc/29/36/68/868293668.db2.gz UBJSRDCQRUOYDU-MRXNPFEDSA-N -1 1 321.340 1.693 20 0 DDADMM COCCOc1cc(C)ccc1NC(=O)c1cnncc1O ZINC001336290563 868844905 /nfs/dbraw/zinc/84/49/05/868844905.db2.gz OWPYQFJLQWTZFR-UHFFFAOYSA-N -1 1 303.318 1.768 20 0 DDADMM COCCOc1cc(C)ccc1NC(=O)c1cnncc1[O-] ZINC001336290563 868844919 /nfs/dbraw/zinc/84/49/19/868844919.db2.gz OWPYQFJLQWTZFR-UHFFFAOYSA-N -1 1 303.318 1.768 20 0 DDADMM O=C(Nc1nc2c(c(=O)[n-]1)CCCC2)c1cc2n(n1)CCCO2 ZINC001361724533 882235508 /nfs/dbraw/zinc/23/55/08/882235508.db2.gz ADZRRUPEOIINTO-UHFFFAOYSA-N -1 1 315.333 1.292 20 0 DDADMM CCOC(=O)N1CCC[C@H](Oc2[n-]c(=S)ncc2OC)C1 ZINC001226243267 882301343 /nfs/dbraw/zinc/30/13/43/882301343.db2.gz ZWJGWXIAIJMRKJ-VIFPVBQESA-N -1 1 313.379 1.774 20 0 DDADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)C1(C2CC2)CC1 ZINC001165668995 869674582 /nfs/dbraw/zinc/67/45/82/869674582.db2.gz AYMNCNLUWFMXJG-UHFFFAOYSA-N -1 1 319.409 1.077 20 0 DDADMM CCC(CC)(NC(=O)c1cnc(C(C)=O)s1)c1nn[n-]n1 ZINC001297488370 870075648 /nfs/dbraw/zinc/07/56/48/870075648.db2.gz XFNYYKKHPKJTAA-UHFFFAOYSA-N -1 1 308.367 1.304 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCc2ccccc2C(C)(C)C1 ZINC001338717147 870129000 /nfs/dbraw/zinc/12/90/00/870129000.db2.gz DYDSXRCPRSCYTO-UHFFFAOYSA-N -1 1 324.392 1.336 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCc2ccccc2C(C)(C)C1 ZINC001338717147 870129006 /nfs/dbraw/zinc/12/90/06/870129006.db2.gz DYDSXRCPRSCYTO-UHFFFAOYSA-N -1 1 324.392 1.336 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CC[C@H](Cc2ccccc2)C1 ZINC001338722332 870133881 /nfs/dbraw/zinc/13/38/81/870133881.db2.gz WFJZBJUTFICNPE-GFCCVEGCSA-N -1 1 310.365 1.064 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CC[C@H](Cc2ccccc2)C1 ZINC001338722332 870133890 /nfs/dbraw/zinc/13/38/90/870133890.db2.gz WFJZBJUTFICNPE-GFCCVEGCSA-N -1 1 310.365 1.064 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CC[C@@](F)(c2ccccc2)C1 ZINC001338722425 870134043 /nfs/dbraw/zinc/13/40/43/870134043.db2.gz YBPPTJHAQFROTD-AWEZNQCLSA-N -1 1 314.328 1.070 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CC[C@@](F)(c2ccccc2)C1 ZINC001338722425 870134054 /nfs/dbraw/zinc/13/40/54/870134054.db2.gz YBPPTJHAQFROTD-AWEZNQCLSA-N -1 1 314.328 1.070 20 0 DDADMM Cc1ccc(C[C@H]2CCCN2c2nnc(-c3nnn[n-]3)n2C)cc1 ZINC001339441829 870498751 /nfs/dbraw/zinc/49/87/51/870498751.db2.gz XXKKZZBZSNDDRC-CYBMUJFWSA-N -1 1 324.392 1.515 20 0 DDADMM Cc1ccc(C[C@H]2CCCN2c2nnc(-c3nn[n-]n3)n2C)cc1 ZINC001339441829 870498755 /nfs/dbraw/zinc/49/87/55/870498755.db2.gz XXKKZZBZSNDDRC-CYBMUJFWSA-N -1 1 324.392 1.515 20 0 DDADMM C[C@H](CN1CCOCC1)C(=O)NCCCCCCC(=O)[O-] ZINC001339509773 870533259 /nfs/dbraw/zinc/53/32/59/870533259.db2.gz PDGUDDGCWQJGKE-CYBMUJFWSA-N -1 1 300.399 1.106 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CC2(CC2)c2ccccc21 ZINC001339731891 870660898 /nfs/dbraw/zinc/66/08/98/870660898.db2.gz JTOXKLFYRCMIKU-UHFFFAOYSA-N -1 1 308.349 1.102 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CC2(CC2)c2ccccc21 ZINC001339731891 870660908 /nfs/dbraw/zinc/66/09/08/870660908.db2.gz JTOXKLFYRCMIKU-UHFFFAOYSA-N -1 1 308.349 1.102 20 0 DDADMM CN(C)c1nc(Nc2cnn(CC(C)(C)O)c2)c(N=O)c(=O)[n-]1 ZINC001204161764 870974418 /nfs/dbraw/zinc/97/44/18/870974418.db2.gz GHTFMNBGOUJJFQ-UHFFFAOYSA-N -1 1 321.341 1.357 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCN(C)[C@@H](C(C)C)C2)c1 ZINC001302600347 871074259 /nfs/dbraw/zinc/07/42/59/871074259.db2.gz CBLFTLYXGUYHJB-OAHLLOKOSA-N -1 1 304.390 1.925 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[C@H](c3ncon3)C2)c1 ZINC001303522215 871132110 /nfs/dbraw/zinc/13/21/10/871132110.db2.gz LZFIALBACXBMRK-JTQLQIEISA-N -1 1 301.302 1.526 20 0 DDADMM CCOC(=O)CC(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001304135662 871193739 /nfs/dbraw/zinc/19/37/39/871193739.db2.gz JFUODABAKOWRPH-UHFFFAOYSA-N -1 1 323.349 1.030 20 0 DDADMM O=C(NC1CN(CCCCC(F)(F)F)C1)c1ncccc1[O-] ZINC001318017239 871690495 /nfs/dbraw/zinc/69/04/95/871690495.db2.gz DHAYOLPZJKRTEX-UHFFFAOYSA-N -1 1 317.311 1.934 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1C[C@H]2C[C@@]2(C(=O)Nc2c[n-][nH]c2=O)C1 ZINC001413844292 871823856 /nfs/dbraw/zinc/82/38/56/871823856.db2.gz IOOSUORRBQPPAO-IQMYEBEASA-N -1 1 322.365 1.747 20 0 DDADMM Nc1cc(C(=O)OCC(=O)c2ccc([O-])cc2O)cc(Cl)n1 ZINC001318306485 871917062 /nfs/dbraw/zinc/91/70/62/871917062.db2.gz RHMWGKIAVYSTRY-UHFFFAOYSA-N -1 1 322.704 1.768 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)Cc2cccnc2C)[n-]1 ZINC001361894326 882592392 /nfs/dbraw/zinc/59/23/92/882592392.db2.gz FRSFAENFLARYIS-UHFFFAOYSA-N -1 1 315.329 1.889 20 0 DDADMM C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)c1cc(Cl)ccc1[O-] ZINC001361909211 882622928 /nfs/dbraw/zinc/62/29/28/882622928.db2.gz ZZBHGLWSSILQDX-RKDXNWHRSA-N -1 1 317.794 1.693 20 0 DDADMM O=C([O-])[C@]1(C(=O)N2CCCC3(CCCC3)CC2)CNCCO1 ZINC001343987840 872829371 /nfs/dbraw/zinc/82/93/71/872829371.db2.gz XKIKSCQWIYUPBN-MRXNPFEDSA-N -1 1 310.394 1.003 20 0 DDADMM COC(=O)CCCC(=O)Nc1[n-]c(C(=O)OC)cc1C(C)=O ZINC001361960941 882715610 /nfs/dbraw/zinc/71/56/10/882715610.db2.gz VFVWAWLPFOSXOA-UHFFFAOYSA-N -1 1 310.306 1.286 20 0 DDADMM CCn1cc(CN(C)C(=O)c2c(C)[nH]c(=O)[n-]c2=S)cn1 ZINC001346507351 873782760 /nfs/dbraw/zinc/78/27/60/873782760.db2.gz PNXSBRJSIOOGHN-UHFFFAOYSA-N -1 1 307.379 1.268 20 0 DDADMM Cn1cc(-c2cccc(C(=O)N3CC[C@H](c4nn[n-]n4)C3)c2)cn1 ZINC001347472387 874155496 /nfs/dbraw/zinc/15/54/96/874155496.db2.gz BZHJGUWVGHPAFT-ZDUSSCGKSA-N -1 1 323.360 1.230 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1CCCC(C)(C)O1 ZINC001347477540 874157269 /nfs/dbraw/zinc/15/72/69/874157269.db2.gz GJADLCPSIMVQGM-VIFPVBQESA-N -1 1 311.407 1.857 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CC[C@@H]2[C@H](C1)C2(F)F ZINC001348862643 874840312 /nfs/dbraw/zinc/84/03/12/874840312.db2.gz DOXIANFUZOAJMS-PRJMDXOYSA-N -1 1 315.345 1.943 20 0 DDADMM O=C(c1cccn1Cc1ccncc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001349002239 874919103 /nfs/dbraw/zinc/91/91/03/874919103.db2.gz KJEWMKIHVRZYMV-ZDUSSCGKSA-N -1 1 323.360 1.074 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3[nH]cnc3c2)c1 ZINC001209851458 875144613 /nfs/dbraw/zinc/14/46/13/875144613.db2.gz UOLYDCNSDMTYDX-UHFFFAOYSA-N -1 1 303.347 1.528 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2cc(F)ccc2CO)ccc1O ZINC001210185020 875260871 /nfs/dbraw/zinc/26/08/71/875260871.db2.gz INNWEBCKBPAOQG-UHFFFAOYSA-N -1 1 312.322 1.407 20 0 DDADMM COC(=O)c1n[n-]c(O[C@H](C(=O)OC)c2ccc(F)cc2)n1 ZINC001228310749 883438358 /nfs/dbraw/zinc/43/83/58/883438358.db2.gz HWWXUOATASBSSP-VIFPVBQESA-N -1 1 309.253 1.024 20 0 DDADMM COC(=O)c1nc(O[C@H](C(=O)OC)c2ccc(F)cc2)n[n-]1 ZINC001228310749 883438360 /nfs/dbraw/zinc/43/83/60/883438360.db2.gz HWWXUOATASBSSP-VIFPVBQESA-N -1 1 309.253 1.024 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(NC(=O)NC)cc1)c1nn[n-]n1 ZINC001362051165 882918254 /nfs/dbraw/zinc/91/82/54/882918254.db2.gz ITSSPMLYCJJKQQ-LLVKDONJSA-N -1 1 317.353 1.222 20 0 DDADMM CN(C)c1nc(NC2=CCN(C(=O)OC(C)(C)C)C2)cc(=O)[n-]1 ZINC001211312499 875750395 /nfs/dbraw/zinc/75/03/95/875750395.db2.gz ZRBQDLQXCHBETB-UHFFFAOYSA-N -1 1 321.381 1.795 20 0 DDADMM COC(=O)c1cncc([N-]S(=O)(=O)c2ccc(N)c(C)c2)c1 ZINC001211460501 875820222 /nfs/dbraw/zinc/82/02/22/875820222.db2.gz HVYWIOQMRKQSIJ-UHFFFAOYSA-N -1 1 321.358 1.560 20 0 DDADMM Cc1cc(CNCCOCC(=O)[O-])ncc1Br ZINC001351743551 876412550 /nfs/dbraw/zinc/41/25/50/876412550.db2.gz XCKBSWLXKUHLDA-UHFFFAOYSA-N -1 1 303.156 1.343 20 0 DDADMM CN(C)C(=O)Cn1ccc(NC(=O)c2c(F)ccc([O-])c2F)n1 ZINC001362100631 883037471 /nfs/dbraw/zinc/03/74/71/883037471.db2.gz QCHSOZCZFKMKNH-UHFFFAOYSA-N -1 1 324.287 1.207 20 0 DDADMM Cc1ccn(-c2cccc(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)c2)n1 ZINC001352595865 876823659 /nfs/dbraw/zinc/82/36/59/876823659.db2.gz HHTPACMXIUDDNI-CYBMUJFWSA-N -1 1 323.360 1.324 20 0 DDADMM O=C(N[C@H]1CCN(Cc2ccccc2)C1=O)c1ccc([O-])cn1 ZINC001362113686 883072231 /nfs/dbraw/zinc/07/22/31/883072231.db2.gz RCOSTSWXORMZBK-HNNXBMFYSA-N -1 1 311.341 1.318 20 0 DDADMM COc1cc(Nc2cnc(OC)c([N-]S(C)(=O)=O)c2)ccn1 ZINC001216152018 876878127 /nfs/dbraw/zinc/87/81/27/876878127.db2.gz LSROXIZJCUWQIX-UHFFFAOYSA-N -1 1 324.362 1.609 20 0 DDADMM CC(C)Oc1cc(F)c(COc2nc(=O)[nH]c(=O)[n-]2)c(F)c1 ZINC001227570279 883083618 /nfs/dbraw/zinc/08/36/18/883083618.db2.gz PAWCRMSGRQZLDQ-UHFFFAOYSA-N -1 1 313.260 1.927 20 0 DDADMM CC(C)Oc1ncc(Nc2nc(N(C)C)[n-]c(=O)c2N=O)cn1 ZINC001216617222 876998694 /nfs/dbraw/zinc/99/86/94/876998694.db2.gz KDSHSDWTSJEXBA-UHFFFAOYSA-N -1 1 319.325 1.967 20 0 DDADMM NC(=O)[C@H]1Cc2ccccc2N(C(=O)c2cccc([O-])c2F)C1 ZINC001362121294 883092231 /nfs/dbraw/zinc/09/22/31/883092231.db2.gz QYHXFODURAFYHY-NSHDSACASA-N -1 1 314.316 1.836 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]CC=C(Cl)Cl)s1 ZINC000407317154 877556741 /nfs/dbraw/zinc/55/67/41/877556741.db2.gz IFDWCBTVFVMPEB-UHFFFAOYSA-N -1 1 303.192 1.749 20 0 DDADMM O=C(Cn1nnnc1-c1ccccc1)Nc1c([O-])cccc1F ZINC001300489221 877698779 /nfs/dbraw/zinc/69/87/79/877698779.db2.gz RWQLQKRMUHCVLI-UHFFFAOYSA-N -1 1 313.292 1.824 20 0 DDADMM CCC1(C(=O)N[C@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)CCCC1 ZINC001379757634 877932583 /nfs/dbraw/zinc/93/25/83/877932583.db2.gz DBXHKPQAHHZHLZ-GFCCVEGCSA-N -1 1 323.441 1.015 20 0 DDADMM CSc1ncc([O-])c(C(=O)N[C@H]2CNCc3ccsc32)n1 ZINC001219828749 878535995 /nfs/dbraw/zinc/53/59/95/878535995.db2.gz DUGYICWYWLMPCK-QMMMGPOBSA-N -1 1 322.415 1.540 20 0 DDADMM O=C(CC1CC1)NCC1(CCNC(=O)c2ncccc2[O-])CC1 ZINC001413876054 879723300 /nfs/dbraw/zinc/72/33/00/879723300.db2.gz DMITWXCUHJVAMD-UHFFFAOYSA-N -1 1 317.389 1.604 20 0 DDADMM C[C@H](CNC(=O)C1(CCF)CC1)N(C)C(=O)c1ncccc1[O-] ZINC001380825557 880471997 /nfs/dbraw/zinc/47/19/97/880471997.db2.gz BECXTAKRAANVDM-LLVKDONJSA-N -1 1 323.368 1.504 20 0 DDADMM CC(C)C(=O)N[C@@H](C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001374336698 912584226 /nfs/dbraw/zinc/58/42/26/912584226.db2.gz JSNCWLFGPOVAQJ-LBPRGKRZSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)(C)OC(=O)N1CCC2(CC[C@H]2OCc2nn[n-]n2)CC1 ZINC001223029255 880790672 /nfs/dbraw/zinc/79/06/72/880790672.db2.gz JAXHLVRTRPLWOE-LLVKDONJSA-N -1 1 323.397 1.896 20 0 DDADMM C/C=C(\C)C(=O)NC[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001358818833 880960718 /nfs/dbraw/zinc/96/07/18/880960718.db2.gz QJFSOTMGKLBWHK-SXIQUOTHSA-N -1 1 317.389 1.722 20 0 DDADMM CN(C)C(=O)CN1C[C@H]2CC[C@@H](C1)N2Cc1ccc([S-])cc1 ZINC001276905039 881024721 /nfs/dbraw/zinc/02/47/21/881024721.db2.gz LGCZKELGJKZHRS-GASCZTMLSA-N -1 1 319.474 1.712 20 0 DDADMM C[C@@H](NC(=O)c1cccc([O-])c1F)C(=O)NCC(F)(F)F ZINC001362132859 883120044 /nfs/dbraw/zinc/12/00/44/883120044.db2.gz HGRQHQJOGBGYGH-ZCFIWIBFSA-N -1 1 308.231 1.328 20 0 DDADMM COC(=O)NCc1ccc(NC(=O)c2cnncc2[O-])cc1 ZINC001362173783 883212378 /nfs/dbraw/zinc/21/23/78/883212378.db2.gz INKCWPBBNNZNJI-UHFFFAOYSA-N -1 1 302.290 1.291 20 0 DDADMM COc1cc(OC)c(NC(=O)CCCc2nn[n-]n2)cc1F ZINC001362219879 883332363 /nfs/dbraw/zinc/33/23/63/883332363.db2.gz WJNJAEZRFKSWOD-UHFFFAOYSA-N -1 1 309.301 1.317 20 0 DDADMM Cc1nc(CN2CCN(C(=O)c3ccc(F)c([O-])c3)CC2)no1 ZINC001362238352 883377759 /nfs/dbraw/zinc/37/77/59/883377759.db2.gz SAKAUFDYTJUIDK-UHFFFAOYSA-N -1 1 320.324 1.181 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N(C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001362254118 883416401 /nfs/dbraw/zinc/41/64/01/883416401.db2.gz MYJLLNZRHIUSNN-LLVKDONJSA-N -1 1 313.350 1.323 20 0 DDADMM CCC[C@@H](C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1)C(C)C ZINC001382130131 883478787 /nfs/dbraw/zinc/47/87/87/883478787.db2.gz GCIXMVCUEYJGQF-NWDGAFQWSA-N -1 1 311.430 1.519 20 0 DDADMM O=C(N[C@H](CO)[C@@H]1CCOC1)c1cc2ccccc2cc1[O-] ZINC001362298140 883509937 /nfs/dbraw/zinc/50/99/37/883509937.db2.gz GYTJXAYUJRICPQ-UKRRQHHQSA-N -1 1 301.342 1.673 20 0 DDADMM CCCC1(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CC1 ZINC001228467805 883511194 /nfs/dbraw/zinc/51/11/94/883511194.db2.gz MREKGICSWPAXSI-VXGBXAGGSA-N -1 1 321.425 1.608 20 0 DDADMM Cc1ccc(N2CCOC2=O)cc1NC(=O)CCc1nn[n-]n1 ZINC001362335097 883592049 /nfs/dbraw/zinc/59/20/49/883592049.db2.gz VXCQTICMPSWMAK-UHFFFAOYSA-N -1 1 316.321 1.036 20 0 DDADMM C[C@@H]1C[C@H]1N1C[C@H](NC(=O)c2c(F)ccc([O-])c2F)CC1=O ZINC001362356348 883634775 /nfs/dbraw/zinc/63/47/75/883634775.db2.gz HZMKHTMMOZMCRS-NQMVMOMDSA-N -1 1 310.300 1.410 20 0 DDADMM CC(C)(C)c1nc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)co1 ZINC001362374311 883669033 /nfs/dbraw/zinc/66/90/33/883669033.db2.gz LDQYWQQMURXQOA-VIFPVBQESA-N -1 1 304.354 1.505 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(C)c(C)c1O)c1nn[n-]n1 ZINC001362430168 883789214 /nfs/dbraw/zinc/78/92/14/883789214.db2.gz XRPUCIQZLQWEDN-UHFFFAOYSA-N -1 1 303.366 1.967 20 0 DDADMM CSc1ncc(C(=O)N2CCCC[C@@H]2c2cc[nH]n2)c(=O)[n-]1 ZINC001362432886 883796520 /nfs/dbraw/zinc/79/65/20/883796520.db2.gz PXQXSXIJWGTNOO-LLVKDONJSA-N -1 1 319.390 1.995 20 0 DDADMM CS(=O)(=O)C[C@@H]1CCCCN1C(=O)c1ccc(F)c([O-])c1 ZINC001362462770 883868436 /nfs/dbraw/zinc/86/84/36/883868436.db2.gz QTVCPIFQUFJXOO-NSHDSACASA-N -1 1 315.366 1.571 20 0 DDADMM CCC(CC)(NC(=O)CCc1ccccc1OC)c1nn[n-]n1 ZINC001362493471 883932747 /nfs/dbraw/zinc/93/27/47/883932747.db2.gz BXTFSUZGXZXIDZ-UHFFFAOYSA-N -1 1 317.393 1.973 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCN(CC(F)(F)F)C(=O)C1 ZINC001362524342 884002913 /nfs/dbraw/zinc/00/29/13/884002913.db2.gz DNXSHZPHHZFZNO-UHFFFAOYSA-N -1 1 320.242 1.378 20 0 DDADMM CCOC(=O)[C@H]1CCC[C@H](Oc2nc(=O)[n-]cc2C(=O)OC)C1 ZINC001229871162 884203410 /nfs/dbraw/zinc/20/34/10/884203410.db2.gz RQXXXTLZESPNOH-UWVGGRQHSA-N -1 1 324.333 1.470 20 0 DDADMM CC(C)OC(=O)C[C@@H]1CCCN(C(=O)CCCc2nn[n-]n2)C1 ZINC001362609238 884211826 /nfs/dbraw/zinc/21/18/26/884211826.db2.gz AJOAJUJMLCQONH-LBPRGKRZSA-N -1 1 323.397 1.103 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@@H](O)c1ccc(Cl)cc1 ZINC001362650029 884321160 /nfs/dbraw/zinc/32/11/60/884321160.db2.gz QZZCLDXCIOSDIX-LLVKDONJSA-N -1 1 309.757 1.026 20 0 DDADMM CC[C@@](NC(=O)c1cnncc1[O-])(C(=O)OC)c1ccccc1 ZINC001362651934 884326596 /nfs/dbraw/zinc/32/65/96/884326596.db2.gz FCWQZGZBSNYQCG-INIZCTEOSA-N -1 1 315.329 1.391 20 0 DDADMM CC[C@H](C)C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001382640821 884387450 /nfs/dbraw/zinc/38/74/50/884387450.db2.gz CRPAQDQLYCJRTH-UNXYVOJBSA-N -1 1 305.378 1.458 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C(=O)Nc1ccc(Br)c([O-])c1 ZINC001362679778 884400227 /nfs/dbraw/zinc/40/02/27/884400227.db2.gz RTZAJDOYXLLXMQ-JGVFFNPUSA-N -1 1 314.135 1.902 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@]1(C)Cc2ccc(C)cc2C(=O)O1 ZINC001362794104 884655929 /nfs/dbraw/zinc/65/59/29/884655929.db2.gz KNMFFRPQQIWJBJ-MRXNPFEDSA-N -1 1 315.329 1.842 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H](O)CC(C)(C)C2)c(=O)[n-]1 ZINC001362833015 884751709 /nfs/dbraw/zinc/75/17/09/884751709.db2.gz LABUYVMKRNGIIH-VIFPVBQESA-N -1 1 311.407 1.527 20 0 DDADMM Cc1c(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)nnn1C ZINC001362852612 884797664 /nfs/dbraw/zinc/79/76/64/884797664.db2.gz VLWBXANOLJMLCU-UHFFFAOYSA-N -1 1 306.326 1.054 20 0 DDADMM COC[C@@H](CC(C)(C)C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001362854324 884803502 /nfs/dbraw/zinc/80/35/02/884803502.db2.gz AOYOEDILPKAMSF-LLVKDONJSA-N -1 1 323.393 1.084 20 0 DDADMM CCCn1cc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)nn1 ZINC001362862202 884823801 /nfs/dbraw/zinc/82/38/01/884823801.db2.gz MZIPVFSXWQSWPZ-UHFFFAOYSA-N -1 1 318.381 1.546 20 0 DDADMM CN(C(=O)c1ccc([O-])cn1)C1CC(NC(=O)OC(C)(C)C)C1 ZINC001362872751 884849960 /nfs/dbraw/zinc/84/99/60/884849960.db2.gz VURYLCSSRLQDGZ-UHFFFAOYSA-N -1 1 321.377 1.915 20 0 DDADMM CCNC(=O)OC[C@H]1CCCCN1C(=O)c1ccc([O-])cn1 ZINC001362889800 884895007 /nfs/dbraw/zinc/89/50/07/884895007.db2.gz BBLOFFKUOJXZCP-LLVKDONJSA-N -1 1 307.350 1.528 20 0 DDADMM O=C(Nc1ccc(Br)c([O-])c1)[C@@H]1COC(=O)N1 ZINC001362921580 884991034 /nfs/dbraw/zinc/99/10/34/884991034.db2.gz GPOLSTWDGUFSCZ-ZETCQYMHSA-N -1 1 301.096 1.202 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCCN(C(=O)c2cnncc2O)C1 ZINC001362955770 885078396 /nfs/dbraw/zinc/07/83/96/885078396.db2.gz MRGCOEUKRBMDMQ-SNVBAGLBSA-N -1 1 322.365 1.312 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCCN(C(=O)c2cnncc2[O-])C1 ZINC001362955770 885078409 /nfs/dbraw/zinc/07/84/09/885078409.db2.gz MRGCOEUKRBMDMQ-SNVBAGLBSA-N -1 1 322.365 1.312 20 0 DDADMM Cc1c[nH]c(CNC(=O)c2c(F)ccc([O-])c2F)c(C)c1=O ZINC001363003548 885201245 /nfs/dbraw/zinc/20/12/45/885201245.db2.gz DISUMUBOAUPGOA-UHFFFAOYSA-N -1 1 308.284 1.906 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CCOC2(CCCC2)C1 ZINC001363042544 885304884 /nfs/dbraw/zinc/30/48/84/885304884.db2.gz XZHBHWZCJZHGCJ-JTQLQIEISA-N -1 1 307.350 1.243 20 0 DDADMM COc1cccc(-c2cc(C(=O)[N-]N3CCOC3=O)n[nH]2)c1 ZINC001363087471 885430836 /nfs/dbraw/zinc/43/08/36/885430836.db2.gz YJGRZHBSOFVMMJ-UHFFFAOYSA-N -1 1 302.290 1.182 20 0 DDADMM CC[C@H]1CCc2[nH]c(=O)c(C(=O)Nc3c[n-][nH]c3=O)cc2C1 ZINC001363117697 885505592 /nfs/dbraw/zinc/50/55/92/885505592.db2.gz APPBUSAWLXSJSN-QMMMGPOBSA-N -1 1 302.334 1.983 20 0 DDADMM O=C(NCc1nc([C@H]2CCOC2)n[nH]1)c1ccc([O-])c(F)c1 ZINC001363122798 885522809 /nfs/dbraw/zinc/52/28/09/885522809.db2.gz OIIICMNUFNFPKB-VIFPVBQESA-N -1 1 306.297 1.083 20 0 DDADMM COc1ccc(CN(CCO)C(=O)c2ccc([O-])cn2)cc1 ZINC001363239508 885795910 /nfs/dbraw/zinc/79/59/10/885795910.db2.gz PRZGMKHLLMZINY-UHFFFAOYSA-N -1 1 302.330 1.431 20 0 DDADMM [O-]c1cc(CN2CCN(c3ncc(O)cn3)CC2)ccc1Cl ZINC001231734668 885841739 /nfs/dbraw/zinc/84/17/39/885841739.db2.gz DDCDGRDIYRKOIV-UHFFFAOYSA-N -1 1 320.780 1.863 20 0 DDADMM Cn1cnnc1[C@@H]1CCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC001363277394 885889217 /nfs/dbraw/zinc/88/92/17/885889217.db2.gz MJAOGKBDLAVPSO-SECBINFHSA-N -1 1 306.753 1.804 20 0 DDADMM O=C(c1noc2c1CCCCC2)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363318805 886006724 /nfs/dbraw/zinc/00/67/24/886006724.db2.gz SRJSDFREZZRLQF-SECBINFHSA-N -1 1 302.338 1.086 20 0 DDADMM C[C@@H](OCc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363329715 886039925 /nfs/dbraw/zinc/03/99/25/886039925.db2.gz VANHUQKYRMGCSE-SNVBAGLBSA-N -1 1 303.318 1.109 20 0 DDADMM O=C(CN1CSCC1=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001363349622 886099993 /nfs/dbraw/zinc/09/99/93/886099993.db2.gz ZPUWQBBLPMZFHD-UHFFFAOYSA-N -1 1 318.358 1.049 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001363353631 886111340 /nfs/dbraw/zinc/11/13/40/886111340.db2.gz GLDXVLWDTIOENK-UHFFFAOYSA-N -1 1 323.788 1.752 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1[nH]nc(C)c1C ZINC001363380383 886181043 /nfs/dbraw/zinc/18/10/43/886181043.db2.gz NWOLOETTXJSBHC-UHFFFAOYSA-N -1 1 307.379 1.482 20 0 DDADMM CCNC(=O)Nc1c(C)cccc1NC(=O)c1cnncc1[O-] ZINC001363389328 886200890 /nfs/dbraw/zinc/20/08/90/886200890.db2.gz NIMUVAASTHHHTK-UHFFFAOYSA-N -1 1 315.333 1.884 20 0 DDADMM CSCC1(CCNC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001363470831 886429076 /nfs/dbraw/zinc/42/90/76/886429076.db2.gz AKUYPVABGMDEMA-UHFFFAOYSA-N -1 1 323.418 1.167 20 0 DDADMM O=C(c1cnncc1[O-])N1CCC[C@](CO)(c2ccccc2)C1 ZINC001363550061 886614311 /nfs/dbraw/zinc/61/43/11/886614311.db2.gz PAECOSAWTSXING-KRWDZBQOSA-N -1 1 313.357 1.349 20 0 DDADMM [O-]c1cc(CN2CCN(c3ncc(O)cn3)CC2)cc(F)c1F ZINC001232974531 886705368 /nfs/dbraw/zinc/70/53/68/886705368.db2.gz XCMNSXVYCLUHKV-UHFFFAOYSA-N -1 1 322.315 1.488 20 0 DDADMM [O-]c1cnc(Cl)cc1CN1CCN(c2cncnc2)CC1 ZINC001233039072 886748641 /nfs/dbraw/zinc/74/86/41/886748641.db2.gz LPFGSOJARQJDPL-UHFFFAOYSA-N -1 1 305.769 1.553 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cc(C3CC3)no2)[n-]c1=O ZINC001363632958 886822862 /nfs/dbraw/zinc/82/28/62/886822862.db2.gz RRBNUMTYEHUUMP-UHFFFAOYSA-N -1 1 318.333 1.721 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OCc1cncc(OC(C)C)c1 ZINC001233760601 887292148 /nfs/dbraw/zinc/29/21/48/887292148.db2.gz WIWRJUFNGMFINU-UHFFFAOYSA-N -1 1 319.317 1.730 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)c1csnn1 ZINC001363923371 887549743 /nfs/dbraw/zinc/54/97/43/887549743.db2.gz AILYUUNRHJFQLI-RXMQYKEDSA-N -1 1 306.394 1.043 20 0 DDADMM CC[C@@H]1OCC[C@H]1[N-]S(=O)(=O)c1c(F)cc(F)cc1OC ZINC001363999227 887695681 /nfs/dbraw/zinc/69/56/81/887695681.db2.gz FPIFZLOUQMNVMQ-MNOVXSKESA-N -1 1 321.345 1.819 20 0 DDADMM CCC[C@H](C)[C@@H](CO)[N-]S(=O)(=O)c1csnc1OC ZINC001364015550 887724795 /nfs/dbraw/zinc/72/47/95/887724795.db2.gz NQUKXQDAWSHBJP-DTWKUNHWSA-N -1 1 308.425 1.227 20 0 DDADMM COC[C@H](NC(=O)c1cccc(C(F)F)c1F)c1nn[n-]n1 ZINC001364039324 887774398 /nfs/dbraw/zinc/77/43/98/887774398.db2.gz XDBVAKRMMQJERT-QMMMGPOBSA-N -1 1 315.255 1.394 20 0 DDADMM C[C@@H](O)C(C)(C)C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC001364059229 887819256 /nfs/dbraw/zinc/81/92/56/887819256.db2.gz UUXDWZCKMWQMSY-LZVRBXCZSA-N -1 1 314.473 1.124 20 0 DDADMM CCCn1cc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c(C)n1 ZINC001364092723 887898932 /nfs/dbraw/zinc/89/89/32/887898932.db2.gz ZKDASJMEQZISHH-NSHDSACASA-N -1 1 323.426 1.339 20 0 DDADMM C[C@]1(C2CCN(C(=O)c3ccc([O-])cn3)CC2)COC(=O)N1 ZINC001364107385 887935986 /nfs/dbraw/zinc/93/59/86/887935986.db2.gz AXNIODJRWACNHP-OAHLLOKOSA-N -1 1 305.334 1.138 20 0 DDADMM CC(C)[C@H](NC(N)=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001364241319 888201034 /nfs/dbraw/zinc/20/10/34/888201034.db2.gz FRJWKODOLGGTSY-LBPRGKRZSA-N -1 1 315.320 1.022 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC001364251875 888223151 /nfs/dbraw/zinc/22/31/51/888223151.db2.gz MWEZKZVYOYILRS-RSXIPKFTSA-N -1 1 311.345 1.021 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Nc3ccn(CCF)n3)ccnc1-2 ZINC001364332833 888401679 /nfs/dbraw/zinc/40/16/79/888401679.db2.gz MOHXTQOOXVMJKA-UHFFFAOYSA-N -1 1 303.301 1.152 20 0 DDADMM CS[C@H](C)CC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001385073529 888451768 /nfs/dbraw/zinc/45/17/68/888451768.db2.gz UDLQVLHZRSVKGY-LLVKDONJSA-N -1 1 323.418 1.213 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H]1C[C@H]1C1CCCC1 ZINC001364459788 888684853 /nfs/dbraw/zinc/68/48/53/888684853.db2.gz OKDRPWDJSQWFPN-OLZOCXBDSA-N -1 1 317.389 1.460 20 0 DDADMM CC(C)(C)c1noc(OCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC001364611635 889034117 /nfs/dbraw/zinc/03/41/17/889034117.db2.gz OALMKAHYGKVMRS-UHFFFAOYSA-N -1 1 306.322 1.514 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CC[C@@H](C)OC ZINC001364769341 889373952 /nfs/dbraw/zinc/37/39/52/889373952.db2.gz NYPRLFCKFLSIJN-MNOVXSKESA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CC[C@@H](C)OC ZINC001364769341 889373967 /nfs/dbraw/zinc/37/39/67/889373967.db2.gz NYPRLFCKFLSIJN-MNOVXSKESA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CC[C@@H](C)OC ZINC001364769341 889373975 /nfs/dbraw/zinc/37/39/75/889373975.db2.gz NYPRLFCKFLSIJN-MNOVXSKESA-N -1 1 324.381 1.460 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1cccc(C)c1 ZINC001364799170 889439311 /nfs/dbraw/zinc/43/93/11/889439311.db2.gz VCWVLFGYROIXHQ-UHFFFAOYSA-N -1 1 312.416 1.981 20 0 DDADMM O=S(=O)([N-]Cc1cnoc1)c1ncccc1Br ZINC001364923018 889674526 /nfs/dbraw/zinc/67/45/26/889674526.db2.gz YYWYKPSSKQXCCR-UHFFFAOYSA-N -1 1 318.152 1.311 20 0 DDADMM O=S(=O)([N-]CC=CCl)c1nc[nH]c1Br ZINC001364970985 889786790 /nfs/dbraw/zinc/78/67/90/889786790.db2.gz RAZMGQICPYRPHT-UPHRSURJSA-N -1 1 300.565 1.203 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccnc(C3CC3)c2)n[n-]1 ZINC001365191695 890306486 /nfs/dbraw/zinc/30/64/86/890306486.db2.gz ATVCDLKGEMMDQL-UHFFFAOYSA-N -1 1 315.333 1.184 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccnc(C3CC3)c2)n1 ZINC001365191695 890306504 /nfs/dbraw/zinc/30/65/04/890306504.db2.gz ATVCDLKGEMMDQL-UHFFFAOYSA-N -1 1 315.333 1.184 20 0 DDADMM CCN(C(=O)Nc1nn[nH]c1C(=O)NC1CC1)C1CCCC1 ZINC001365294985 890526517 /nfs/dbraw/zinc/52/65/17/890526517.db2.gz OXUYLXXIEVWYMK-UHFFFAOYSA-N -1 1 306.370 1.493 20 0 DDADMM CC(=O)Nc1nc2nc[nH]c2c(-c2cc(F)cc(C(=O)[O-])c2)n1 ZINC001241813161 891274128 /nfs/dbraw/zinc/27/41/28/891274128.db2.gz OEZKUPSMZRTCQT-UHFFFAOYSA-N -1 1 315.264 1.767 20 0 DDADMM O=C1[N-]S(=O)(=O)c2cc(-c3ccc4[nH]nnc4c3)ccc21 ZINC001241941236 891307574 /nfs/dbraw/zinc/30/75/74/891307574.db2.gz GDAMDUHSASZSMI-UHFFFAOYSA-N -1 1 300.299 1.057 20 0 DDADMM O=C1[N-]S(=O)(=O)c2cc(-c3ccc4nn[nH]c4c3)ccc21 ZINC001241941236 891307588 /nfs/dbraw/zinc/30/75/88/891307588.db2.gz GDAMDUHSASZSMI-UHFFFAOYSA-N -1 1 300.299 1.057 20 0 DDADMM Cc1cc(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])ns1 ZINC001386737095 891599179 /nfs/dbraw/zinc/59/91/79/891599179.db2.gz BMWQDUXOWJSXEN-MRVPVSSYSA-N -1 1 320.374 1.100 20 0 DDADMM Cc1ccc(CC(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])o1 ZINC001386740504 891607101 /nfs/dbraw/zinc/60/71/01/891607101.db2.gz IZNLOGTYOULNRR-SNVBAGLBSA-N -1 1 317.345 1.166 20 0 DDADMM Cc1cc2c(c(-c3ccc4c(c3)OCCN4C)n1)C(=O)[N-]C2=O ZINC001243940726 891760604 /nfs/dbraw/zinc/76/06/04/891760604.db2.gz USCKMUCDPNOIJC-UHFFFAOYSA-N -1 1 309.325 1.769 20 0 DDADMM NC(=O)Cc1ccc(-c2ccc(OC(F)(F)F)cc2[O-])nn1 ZINC001244463014 891860509 /nfs/dbraw/zinc/86/05/09/891860509.db2.gz BESJSMITPAIGBR-UHFFFAOYSA-N -1 1 313.235 1.776 20 0 DDADMM COC(=O)c1cc(-c2ccc(-c3nnn[n-]3)nc2)ccc1OC ZINC001244744677 891899785 /nfs/dbraw/zinc/89/97/85/891899785.db2.gz RBEMBFSVLHEPMT-UHFFFAOYSA-N -1 1 311.301 1.724 20 0 DDADMM COC(=O)c1cc(-c2ccc(-c3nn[n-]n3)nc2)ccc1OC ZINC001244744677 891899797 /nfs/dbraw/zinc/89/97/97/891899797.db2.gz RBEMBFSVLHEPMT-UHFFFAOYSA-N -1 1 311.301 1.724 20 0 DDADMM COCc1ccnc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)n1 ZINC001244791591 891923492 /nfs/dbraw/zinc/92/34/92/891923492.db2.gz XAJCYESVEJKCBW-UHFFFAOYSA-N -1 1 324.362 1.065 20 0 DDADMM Cc1c(C(=O)[O-])cccc1-c1cc(N2CCN(C)CC2)ncn1 ZINC001245296602 892069473 /nfs/dbraw/zinc/06/94/73/892069473.db2.gz ATMDXZIUBWLRIT-UHFFFAOYSA-N -1 1 312.373 1.902 20 0 DDADMM CC[C@H](CNC(=O)CCC(C)C)NC(=O)c1ncccc1[O-] ZINC001388005181 894338780 /nfs/dbraw/zinc/33/87/80/894338780.db2.gz HZRXJXKMXQYFIM-GFCCVEGCSA-N -1 1 307.394 1.848 20 0 DDADMM CCCCC(=O)N(CCC)CCNC(=O)c1ncccc1[O-] ZINC001388576592 895475840 /nfs/dbraw/zinc/47/58/40/895475840.db2.gz ZABYPZYWXNZXGT-UHFFFAOYSA-N -1 1 307.394 1.946 20 0 DDADMM O=c1[n-]c(-c2ccccn2)ncc1-c1nnc([C@H]2CCOC2)o1 ZINC001255585938 897032864 /nfs/dbraw/zinc/03/28/64/897032864.db2.gz JYXLFMKGXAWCFB-VIFPVBQESA-N -1 1 311.301 1.798 20 0 DDADMM CCOC(=O)[C@@H](CC)[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC001258473331 898201752 /nfs/dbraw/zinc/20/17/52/898201752.db2.gz RVPSGZMVLGHCHP-MRVPVSSYSA-N -1 1 323.802 1.002 20 0 DDADMM C[C@@]1(O)CC[C@@H]([N-]S(=O)(=O)c2cc(F)ccc2F)CC1 ZINC001258948575 898374673 /nfs/dbraw/zinc/37/46/73/898374673.db2.gz XRMHRZZDZYSWIH-MJHDQNEOSA-N -1 1 305.346 1.937 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1cc(C(F)(F)F)ccc1C ZINC001259016889 898414329 /nfs/dbraw/zinc/41/43/29/898414329.db2.gz GGAXPRRYINMABI-UHFFFAOYSA-N -1 1 311.281 1.929 20 0 DDADMM COC(=O)CS(=O)(=O)[N-]c1ccc(-c2cccc(O)c2)cc1 ZINC001259027134 898426849 /nfs/dbraw/zinc/42/68/49/898426849.db2.gz NODGFWJXESSHIU-UHFFFAOYSA-N -1 1 321.354 1.974 20 0 DDADMM O=S(=O)([N-]Cc1cnccn1)c1ccc(F)c(F)c1F ZINC001259080799 898456426 /nfs/dbraw/zinc/45/64/26/898456426.db2.gz UMURHWUHTPRBRZ-UHFFFAOYSA-N -1 1 303.265 1.372 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2ccc(C)cc2C)ccn1 ZINC001259096562 898467121 /nfs/dbraw/zinc/46/71/21/898467121.db2.gz SKXXGTKRZVIKFQ-UHFFFAOYSA-N -1 1 320.370 1.708 20 0 DDADMM COc1cc(C)ccc1S(=O)(=O)Nc1c([O-])nc[nH]c1=O ZINC001259197845 898526030 /nfs/dbraw/zinc/52/60/30/898526030.db2.gz PMYLMSWJHULNOY-UHFFFAOYSA-N -1 1 311.319 1.006 20 0 DDADMM CCCC1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCC1 ZINC001368110443 898723187 /nfs/dbraw/zinc/72/31/87/898723187.db2.gz QSICFDLEDZQXEX-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM Cc1cccc(CS(=O)(=O)NCC[N-]C(=O)C(F)(F)F)c1 ZINC001259750675 898778549 /nfs/dbraw/zinc/77/85/49/898778549.db2.gz VZCPJYVTZDXNSY-UHFFFAOYSA-N -1 1 324.324 1.093 20 0 DDADMM COc1ccc2c(c1)C[C@H]([N-]S(=O)(=O)C(F)F)CS2 ZINC001259964919 898989139 /nfs/dbraw/zinc/98/91/39/898989139.db2.gz NNXREGLBBLVFKI-QMMMGPOBSA-N -1 1 309.359 1.854 20 0 DDADMM C[C@@]1(O)C[C@H]([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)C1 ZINC001260206595 899087133 /nfs/dbraw/zinc/08/71/33/899087133.db2.gz UKGQDHZBZOJBNV-JCJUMFQOSA-N -1 1 311.737 1.810 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cc(F)cc(F)c1Cl ZINC001260592050 899179428 /nfs/dbraw/zinc/17/94/28/899179428.db2.gz ZWCLEZZBRWTSOZ-UHFFFAOYSA-N -1 1 319.738 1.362 20 0 DDADMM O=S(=O)(Cc1ccccc1F)[N-]c1ncccc1CCO ZINC001260622519 899186289 /nfs/dbraw/zinc/18/62/89/899186289.db2.gz SFZNNKXGICIHIX-UHFFFAOYSA-N -1 1 310.350 1.697 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)NCCCNC(=O)c2ncccc2[O-])C1 ZINC001293263072 914378761 /nfs/dbraw/zinc/37/87/61/914378761.db2.gz UXELPOUMJNRYNJ-NEPJUHHUSA-N -1 1 305.378 1.460 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(CCc1ccccc1)C1CC1 ZINC001263012256 900466359 /nfs/dbraw/zinc/46/63/59/900466359.db2.gz FGVGYNHZITZDJU-UHFFFAOYSA-N -1 1 324.392 1.690 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(CCc1ccccc1)C1CC1 ZINC001263012256 900466362 /nfs/dbraw/zinc/46/63/62/900466362.db2.gz FGVGYNHZITZDJU-UHFFFAOYSA-N -1 1 324.392 1.690 20 0 DDADMM Cc1nc(CN(C)CCCNC(=O)c2ncccc2[O-])co1 ZINC001265831783 902464617 /nfs/dbraw/zinc/46/46/17/902464617.db2.gz RKSMUSOMWNXNSK-UHFFFAOYSA-N -1 1 304.350 1.336 20 0 DDADMM CCc1ccc(C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)s1 ZINC001392573044 904503242 /nfs/dbraw/zinc/50/32/42/904503242.db2.gz KYRZXVHQOWITFR-QMMMGPOBSA-N -1 1 309.395 1.042 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001393010229 905944461 /nfs/dbraw/zinc/94/44/61/905944461.db2.gz YHYYMDYGLBCRIQ-NNYUYHANSA-N -1 1 303.362 1.068 20 0 DDADMM CCC(C)(C)CC(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001393335088 906747724 /nfs/dbraw/zinc/74/77/24/906747724.db2.gz RFVRIEVVYRLHFO-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)(C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001393793462 907930743 /nfs/dbraw/zinc/93/07/43/907930743.db2.gz LPDHKIVWXUCVFF-UHFFFAOYSA-N -1 1 317.389 1.602 20 0 DDADMM C=C/C(C)=C/CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001284025092 908334665 /nfs/dbraw/zinc/33/46/65/908334665.db2.gz DOHZDPCIUPTFCS-UWUHSAQLSA-N -1 1 315.373 1.640 20 0 DDADMM C[C@@H](CN(C)C(=O)CCc1ccsc1)NCc1n[nH]c(=O)[n-]1 ZINC001394502364 909834403 /nfs/dbraw/zinc/83/44/03/909834403.db2.gz WOMZDZBRQFJTQN-JTQLQIEISA-N -1 1 323.422 1.141 20 0 DDADMM C[C@@H](NC(=O)c1ccns1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001394960461 911023692 /nfs/dbraw/zinc/02/36/92/911023692.db2.gz GUZAFBPLFPSXKO-BDAKNGLRSA-N -1 1 320.374 1.181 20 0 DDADMM Cc1cnoc1C(=O)N[C@@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001394967742 911039345 /nfs/dbraw/zinc/03/93/45/911039345.db2.gz ULKXRYZMMUKTMZ-UWVGGRQHSA-N -1 1 318.333 1.020 20 0 DDADMM C[C@@H](CNC(=O)C1(C)CC=CC1)N(C)C(=O)c1ncccc1[O-] ZINC001285844528 911278936 /nfs/dbraw/zinc/27/89/36/911278936.db2.gz SYJNKUFTBHHWAK-LBPRGKRZSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1ccccc1 ZINC001395066786 911281238 /nfs/dbraw/zinc/28/12/38/911281238.db2.gz LSVHLVIOGLHCEQ-GFCCVEGCSA-N -1 1 313.357 1.678 20 0 DDADMM CC(=O)N[C@@H](C)CCCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001286511932 912002760 /nfs/dbraw/zinc/00/27/60/912002760.db2.gz OCIVYVIICWPHNM-JTQLQIEISA-N -1 1 322.409 1.649 20 0 DDADMM O=C(N[C@H](CNC(=O)c1ncccc1[O-])C1CC1)c1ccoc1 ZINC001397014642 914961417 /nfs/dbraw/zinc/96/14/17/914961417.db2.gz KOUXQPVEMIICMB-GFCCVEGCSA-N -1 1 315.329 1.319 20 0 DDADMM O=C(CCCF)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001397061737 915081989 /nfs/dbraw/zinc/08/19/89/915081989.db2.gz RYHLBHVBLXAGKZ-NSHDSACASA-N -1 1 309.341 1.162 20 0 DDADMM C[C@H]1CCC[C@H]1CC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001376739188 919223555 /nfs/dbraw/zinc/22/35/55/919223555.db2.gz SLHMMFUHUIRMAH-RYUDHWBXSA-N -1 1 321.425 1.465 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cccs1 ZINC001377429199 921872132 /nfs/dbraw/zinc/87/21/32/921872132.db2.gz YHZRAXURMASBEJ-VIFPVBQESA-N -1 1 305.359 1.397 20 0 DDADMM CCC[C@H](C)CC(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001377682034 922688351 /nfs/dbraw/zinc/68/83/51/922688351.db2.gz ZHGDGXMNQIBEGW-QWHCGFSZSA-N -1 1 323.441 1.665 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3cc(F)ccc3[O-])[C@@H]2C1 ZINC000563488186 303957175 /nfs/dbraw/zinc/95/71/75/303957175.db2.gz JBGTZULKMWZHOU-GLQYFDAESA-N -1 1 320.364 1.908 20 0 DDADMM CCC(CC)(CNC(=O)N1CCN(C2CCC2)CC1)C(=O)[O-] ZINC000336898410 282093626 /nfs/dbraw/zinc/09/36/26/282093626.db2.gz QFQMMHBGYPLZBM-UHFFFAOYSA-N -1 1 311.426 1.757 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC(F)(F)C3)nc2n1 ZINC000622993160 365585263 /nfs/dbraw/zinc/58/52/63/365585263.db2.gz DCKDOOJJSFXIQL-SSDOTTSWSA-N -1 1 311.292 1.354 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3(COC)CCCC3)nc2n1 ZINC000622993449 365585665 /nfs/dbraw/zinc/58/56/65/365585665.db2.gz NKQVUMFLUJAZSN-UHFFFAOYSA-N -1 1 319.365 1.125 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCC[C@H]3OC)nc2n1 ZINC000622993677 365586637 /nfs/dbraw/zinc/58/66/37/365586637.db2.gz WWUZIIIELDJYRT-GHMZBOCLSA-N -1 1 319.365 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@@H](OC)C3)nc2n1 ZINC000622997649 365591747 /nfs/dbraw/zinc/59/17/47/365591747.db2.gz GNEULOAONAGGCX-MWLCHTKSSA-N -1 1 319.365 1.124 20 0 DDADMM NC(=O)COc1ccc(NC(=O)c2cc(F)ccc2[O-])cc1 ZINC000081937312 192343126 /nfs/dbraw/zinc/34/31/26/192343126.db2.gz AXMSYWRMSQUDOM-UHFFFAOYSA-N -1 1 304.277 1.648 20 0 DDADMM O=C(Cn1cc(C2CC2)cn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614475301 361876675 /nfs/dbraw/zinc/87/66/75/361876675.db2.gz MODQQKVKDGTPIJ-UHFFFAOYSA-N -1 1 317.349 1.255 20 0 DDADMM C[C@@H]1CCNC(=O)[C@@H]1[N-]S(=O)(=O)c1sccc1Cl ZINC000451500873 231112837 /nfs/dbraw/zinc/11/28/37/231112837.db2.gz GNXORDIXELDJJB-HTRCEHHLSA-N -1 1 308.812 1.204 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(=O)NC ZINC000452096204 529643353 /nfs/dbraw/zinc/64/33/53/529643353.db2.gz XXGMPYCLGGZDSH-VIFPVBQESA-N -1 1 308.762 1.282 20 0 DDADMM CCOc1ccc(C=CC(=O)Nc2nnn[n-]2)cc1OCC ZINC000255213940 282241337 /nfs/dbraw/zinc/24/13/37/282241337.db2.gz UFLZSLATMHBKKX-VURMDHGXSA-N -1 1 303.322 1.649 20 0 DDADMM CCOc1ccc(C=CC(=O)Nc2nn[n-]n2)cc1OCC ZINC000255213940 282241338 /nfs/dbraw/zinc/24/13/38/282241338.db2.gz UFLZSLATMHBKKX-VURMDHGXSA-N -1 1 303.322 1.649 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@@H](C)NC(=O)C1CCCCC1 ZINC000615225791 362203887 /nfs/dbraw/zinc/20/38/87/362203887.db2.gz GJZJLGUWQDCUQP-SECBINFHSA-N -1 1 308.382 1.837 20 0 DDADMM COCCOCc1ccc(C(=O)Nc2c(C)[n-][nH]c2=O)cc1 ZINC000615218675 362199640 /nfs/dbraw/zinc/19/96/40/362199640.db2.gz NMAAXCVWLBFJLB-UHFFFAOYSA-N -1 1 305.334 1.839 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CCCN1C(=O)c1ccccc1 ZINC000615216926 362199676 /nfs/dbraw/zinc/19/96/76/362199676.db2.gz GCBLDLQVEYKHNU-GFCCVEGCSA-N -1 1 314.345 1.667 20 0 DDADMM CN1CCOC[C@H]1C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000332656886 529781041 /nfs/dbraw/zinc/78/10/41/529781041.db2.gz LPINZINNVMOGDI-LBPRGKRZSA-N -1 1 322.315 1.046 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](N3CCOCC3)C2)c([O-])c1 ZINC000615545120 362330154 /nfs/dbraw/zinc/33/01/54/362330154.db2.gz VFQSEMYIFIWHSD-CYBMUJFWSA-N -1 1 305.378 1.032 20 0 DDADMM COCc1ccccc1NS(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000037018552 190664424 /nfs/dbraw/zinc/66/44/24/190664424.db2.gz OCJMZNZEBWWORS-UHFFFAOYSA-N -1 1 310.331 1.660 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC23CCC(CC2)CC3)co1 ZINC000456289226 530025458 /nfs/dbraw/zinc/02/54/58/530025458.db2.gz UYNZMLONPIWKFC-UHFFFAOYSA-N -1 1 312.391 1.640 20 0 DDADMM CO[C@@H]1CC[C@H](C(=O)N=c2[nH][n-]c(C)c2Br)C1 ZINC000616011709 362520475 /nfs/dbraw/zinc/52/04/75/362520475.db2.gz RPFJWXPKDAHDOE-JGVFFNPUSA-N -1 1 302.172 1.656 20 0 DDADMM CC(C)NC(=O)[C@@H](C)NC(=O)c1ncc2ccccc2c1[O-] ZINC000616310057 362629158 /nfs/dbraw/zinc/62/91/58/362629158.db2.gz IGQGXRIBJWXREB-SNVBAGLBSA-N -1 1 301.346 1.583 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)c2csc(=NC3CC3)[n-]2)C12CCC2 ZINC000458158690 232253231 /nfs/dbraw/zinc/25/32/31/232253231.db2.gz DUIFOGFSMNOSAC-NWDGAFQWSA-N -1 1 307.419 1.827 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])CC(=O)N1 ZINC000295877036 290767414 /nfs/dbraw/zinc/76/74/14/290767414.db2.gz FPKWQJFANMHMFU-ZETCQYMHSA-N -1 1 302.252 1.372 20 0 DDADMM CC(=O)NCC[N@@H+](C)Cc1cc(=O)[nH]c(-c2ccccc2)n1 ZINC000564568792 304002065 /nfs/dbraw/zinc/00/20/65/304002065.db2.gz UPVNVKBZZMMQAS-UHFFFAOYSA-N -1 1 300.362 1.417 20 0 DDADMM O=S(=O)(Cc1ccccc1F)[N-]c1nnc2ccccn21 ZINC000354082767 290897477 /nfs/dbraw/zinc/89/74/77/290897477.db2.gz KXGOPTSEDQUXNT-UHFFFAOYSA-N -1 1 306.322 1.810 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@H]3CC(C)(C)OC3(C)C)ccnc1-2 ZINC000287165333 219355330 /nfs/dbraw/zinc/35/53/30/219355330.db2.gz MPRCHVTVUBQYLQ-XHEYCZLTSA-N -1 1 317.393 1.809 20 0 DDADMM O=S(=O)([N-]c1cc(-c2cccs2)[nH]n1)N1CCOCC1 ZINC000163969992 186144344 /nfs/dbraw/zinc/14/43/44/186144344.db2.gz KUSPQCDDZFVTEG-UHFFFAOYSA-N -1 1 314.392 1.127 20 0 DDADMM O=C(CCn1ccccc1=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000617366143 363074410 /nfs/dbraw/zinc/07/44/10/363074410.db2.gz UFLYEUDWBHLNLV-UHFFFAOYSA-N -1 1 314.370 1.751 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2[C@H](C)CCC[C@H]2C)o1 ZINC000172514814 198108850 /nfs/dbraw/zinc/10/88/50/198108850.db2.gz NTYOEQUKTGDNIB-NXEZZACHSA-N -1 1 314.407 1.742 20 0 DDADMM NC(=O)COc1cccc([N-]S(=O)(=O)c2ccc(F)cc2)c1 ZINC000024430960 352189525 /nfs/dbraw/zinc/18/95/25/352189525.db2.gz AXBMLVRYKCAKEG-UHFFFAOYSA-N -1 1 324.333 1.491 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc(-n2cncn2)cc1 ZINC000029389011 352238631 /nfs/dbraw/zinc/23/86/31/352238631.db2.gz PVKCNHBKDXHAFN-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM O=C(CNC(=O)c1cccs1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000036714246 352295141 /nfs/dbraw/zinc/29/51/41/352295141.db2.gz RXUIYQSRGMZRFV-UHFFFAOYSA-N -1 1 319.268 1.254 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NCC(C)C ZINC000042127603 352330393 /nfs/dbraw/zinc/33/03/93/352330393.db2.gz ABEVHCHENFVPQY-UHFFFAOYSA-N -1 1 300.362 1.455 20 0 DDADMM O=C(Cc1csc(-c2cccs2)n1)NCc1nn[n-]n1 ZINC000044927576 352397932 /nfs/dbraw/zinc/39/79/32/352397932.db2.gz GHMWEHLISBDMLL-UHFFFAOYSA-N -1 1 306.376 1.244 20 0 DDADMM CO[N-]C(=O)[C@@H]1CC(=O)N(Cc2cccc(C(F)(F)F)c2)C1 ZINC000047912804 352516221 /nfs/dbraw/zinc/51/62/21/352516221.db2.gz MFIFNUGQMJXELK-SNVBAGLBSA-N -1 1 316.279 1.732 20 0 DDADMM COc1ccc(C[N-]S(=O)(=O)c2c(F)cccc2F)cn1 ZINC000054798678 352704008 /nfs/dbraw/zinc/70/40/08/352704008.db2.gz HKLPGQFAAFUBSX-UHFFFAOYSA-N -1 1 314.313 1.847 20 0 DDADMM Cn1cc(C(=O)N2CCC(C(=O)c3ccc([O-])cc3)CC2)cn1 ZINC000055315594 352727874 /nfs/dbraw/zinc/72/78/74/352727874.db2.gz WWKLHDXGSKDKLT-UHFFFAOYSA-N -1 1 313.357 1.861 20 0 DDADMM CC(C)(C)OC(=O)CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000173214554 198195781 /nfs/dbraw/zinc/19/57/81/198195781.db2.gz MIIUKPXRBJWLOG-UHFFFAOYSA-N -1 1 321.345 1.975 20 0 DDADMM Cn1c(CCNC(=O)[C@H]2CCOc3ccccc32)n[n-]c1=S ZINC000067050787 353032352 /nfs/dbraw/zinc/03/23/52/353032352.db2.gz AEGWEIJYWBKDON-NSHDSACASA-N -1 1 318.402 1.703 20 0 DDADMM CC(C)n1c(CNC(=O)c2ccnc(N(C)C)c2)n[n-]c1=S ZINC000066640045 353009534 /nfs/dbraw/zinc/00/95/34/353009534.db2.gz NTYBPGFHLIZIEP-UHFFFAOYSA-N -1 1 320.422 1.913 20 0 DDADMM Cn1cc(C=CC(=O)NC(C)(C)c2nn[n-]n2)c2ccccc21 ZINC000492086689 234379948 /nfs/dbraw/zinc/37/99/48/234379948.db2.gz HDQNUYVELQSVRE-CMDGGOBGSA-N -1 1 310.361 1.756 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC[C@@H](O)C(C)C ZINC000081834312 353695115 /nfs/dbraw/zinc/69/51/15/353695115.db2.gz FXQLGYDJTCJNOB-LLVKDONJSA-N -1 1 309.410 1.513 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=O)c2ccc(NC(C)C)nc2)n1 ZINC000084407314 353721660 /nfs/dbraw/zinc/72/16/60/353721660.db2.gz JAEIYLXCAOQZPT-UHFFFAOYSA-N -1 1 316.365 1.766 20 0 DDADMM CC(=O)NCCc1ccc(S(=O)(=O)[N-]c2ccncc2)cc1 ZINC000105565120 353936018 /nfs/dbraw/zinc/93/60/18/353936018.db2.gz IZFMEUMOGXECRD-UHFFFAOYSA-N -1 1 319.386 1.561 20 0 DDADMM C[C@@H](NS(=O)(=O)c1c(F)cc(F)cc1F)[C@H](C)C(=O)[O-] ZINC000133153950 354107793 /nfs/dbraw/zinc/10/77/93/354107793.db2.gz RVHDCHLFNOJIKW-NTSWFWBYSA-N -1 1 311.281 1.491 20 0 DDADMM CC[C@@H](C)CN(CC)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000176625182 198606134 /nfs/dbraw/zinc/60/61/34/198606134.db2.gz XLXGCJIIPAWVET-SNVBAGLBSA-N -1 1 302.396 1.696 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2cccc([N+](=O)[O-])c2[O-])C1 ZINC000399221256 354656765 /nfs/dbraw/zinc/65/67/65/354656765.db2.gz CBTPLBZYZNSBJZ-LLVKDONJSA-N -1 1 323.349 1.141 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2Cc3cc(F)ccc3O2)n1 ZINC000590356718 355076877 /nfs/dbraw/zinc/07/68/77/355076877.db2.gz NGFYDUTXRMEGRB-LBPRGKRZSA-N -1 1 319.292 1.668 20 0 DDADMM COC(=O)CCc1nnc([N-]C(=O)c2cc(C3CC3)on2)s1 ZINC000346395280 283083803 /nfs/dbraw/zinc/08/38/03/283083803.db2.gz VRRSJXDLPCBRRL-UHFFFAOYSA-N -1 1 322.346 1.761 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@H](CCO)C1 ZINC000591402376 355305168 /nfs/dbraw/zinc/30/51/68/355305168.db2.gz ZIWMXYUVRMGFSQ-LLVKDONJSA-N -1 1 301.346 1.158 20 0 DDADMM C[C@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)n1cccc1 ZINC000591441259 355311960 /nfs/dbraw/zinc/31/19/60/355311960.db2.gz DJKAAHQOUKBYLI-DOMZBBRYSA-N -1 1 310.361 1.858 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)C(C)(C)C ZINC000074974003 191399799 /nfs/dbraw/zinc/39/97/99/191399799.db2.gz VWWSPXYDMYZQTL-MRVPVSSYSA-N -1 1 309.819 1.413 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C[C@H](C)n2nc(C)cc2C)[n-]1 ZINC000591302937 355284714 /nfs/dbraw/zinc/28/47/14/355284714.db2.gz GIQDNNIMRXVURF-NSHDSACASA-N -1 1 319.365 1.990 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C[C@H](C)n2nc(C)cc2C)n1 ZINC000591302937 355284717 /nfs/dbraw/zinc/28/47/17/355284717.db2.gz GIQDNNIMRXVURF-NSHDSACASA-N -1 1 319.365 1.990 20 0 DDADMM CCC[C@H](C)[C@@H](CO)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000593626127 355946507 /nfs/dbraw/zinc/94/65/07/355946507.db2.gz PNBIVLZTWGOHPJ-VHSXEESVSA-N -1 1 319.379 1.142 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCC[C@@H](OC)C1 ZINC000593879764 356038453 /nfs/dbraw/zinc/03/84/53/356038453.db2.gz AKGRKQGONXJLKA-DMDPSCGWSA-N -1 1 322.361 1.264 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC2(SC)CC2)cc1C ZINC000595328643 356450636 /nfs/dbraw/zinc/45/06/36/356450636.db2.gz XQZWVOMVMZIOAS-UHFFFAOYSA-N -1 1 319.404 1.549 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)C(C)(C)O ZINC000595335998 356453150 /nfs/dbraw/zinc/45/31/50/356453150.db2.gz VWTWSZHIGKXBCF-SECBINFHSA-N -1 1 319.379 1.202 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CCC2(F)CCC2)cc1C ZINC000595336864 356454013 /nfs/dbraw/zinc/45/40/13/356454013.db2.gz HYHVMXUGZQDMPO-UHFFFAOYSA-N -1 1 319.354 1.935 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](CCO)C(C)C)cc1C ZINC000595315392 356446420 /nfs/dbraw/zinc/44/64/20/356446420.db2.gz MZBFRMLCDNNDLL-SNVBAGLBSA-N -1 1 319.379 1.060 20 0 DDADMM COC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000595397627 356477423 /nfs/dbraw/zinc/47/74/23/356477423.db2.gz MGLCFIKIHFRMBO-LJGSYFOKSA-N -1 1 323.292 1.334 20 0 DDADMM CSC[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601354746 358512582 /nfs/dbraw/zinc/51/25/82/358512582.db2.gz VCRVLFMDALPKCB-JTQLQIEISA-N -1 1 315.363 1.610 20 0 DDADMM CCOC(=O)[C@H](C)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000597352345 357144501 /nfs/dbraw/zinc/14/45/01/357144501.db2.gz DIKJIMMPKZOLBS-SNVBAGLBSA-N -1 1 302.330 1.869 20 0 DDADMM CSCCCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000347727813 283266100 /nfs/dbraw/zinc/26/61/00/283266100.db2.gz XWGRMAVSXNAPNS-UHFFFAOYSA-N -1 1 304.393 1.121 20 0 DDADMM CCCCS[C@H](C)C(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1 ZINC000598892739 357751653 /nfs/dbraw/zinc/75/16/53/357751653.db2.gz ITECUIJFEJNCOY-SECBINFHSA-N -1 1 323.422 1.840 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H]2CCCC[C@@H]2C)co1 ZINC000179269613 199008312 /nfs/dbraw/zinc/00/83/12/199008312.db2.gz ADSULIZHKKZGIW-JQWIXIFHSA-N -1 1 314.407 1.838 20 0 DDADMM O=C(NCc1nn[n-]n1)c1csc(-c2ccc(Cl)cc2)n1 ZINC000599335042 357898965 /nfs/dbraw/zinc/89/89/65/357898965.db2.gz ZPABXZYZTRQGJO-UHFFFAOYSA-N -1 1 320.765 1.907 20 0 DDADMM CC[C@@H](C)CS(=O)(=O)[N-][C@H](C(=O)OC)c1cccs1 ZINC000347971921 283310916 /nfs/dbraw/zinc/31/09/16/283310916.db2.gz WIYIXRHXUUHRAN-KOLCDFICSA-N -1 1 305.421 1.928 20 0 DDADMM C[C@@H](Oc1ccccc1C(F)(F)F)C(=O)NCc1nn[n-]n1 ZINC000600505352 358242803 /nfs/dbraw/zinc/24/28/03/358242803.db2.gz PGNJPKGTSFEDCM-SSDOTTSWSA-N -1 1 315.255 1.302 20 0 DDADMM CO[C@@H]1C[C@@H](C(=O)[O-])N(C[C@H](O)COc2ccc(C)cc2C)C1 ZINC000565543795 304075275 /nfs/dbraw/zinc/07/52/75/304075275.db2.gz JBRMJWVCHSOEDZ-ZNMIVQPWSA-N -1 1 323.389 1.217 20 0 DDADMM CCOC(=O)C[C@@H](O)CSc1nc(C(F)F)cc(=O)[n-]1 ZINC000600548044 358253807 /nfs/dbraw/zinc/25/38/07/358253807.db2.gz AEYLJTCEICGZHZ-ZCFIWIBFSA-N -1 1 308.306 1.526 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@]23C[C@@H]2CCCC3)cc1C ZINC000601499790 358577063 /nfs/dbraw/zinc/57/70/63/358577063.db2.gz KHJGTXPIXDTOJD-HZMBPMFUSA-N -1 1 313.375 1.986 20 0 DDADMM CC(C)(C)OC(=O)COCCNC(=O)c1ccc([O-])cc1F ZINC000601751371 358678757 /nfs/dbraw/zinc/67/87/57/358678757.db2.gz XXDSKQWUMMFODW-UHFFFAOYSA-N -1 1 313.325 1.619 20 0 DDADMM NC(=O)c1csc(=NC[C@@]2(O)CCC[C@@H](C(F)(F)F)C2)[n-]1 ZINC000432170460 283392239 /nfs/dbraw/zinc/39/22/39/283392239.db2.gz SKRVZWCCHHIXQM-RDDDGLTNSA-N -1 1 323.340 1.559 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC000602299165 358919848 /nfs/dbraw/zinc/91/98/48/358919848.db2.gz GASWYNXJWBQBRB-NWDGAFQWSA-N -1 1 321.377 1.020 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@@H]2CCCC[C@H]2C)n[n-]1 ZINC000603013569 359357405 /nfs/dbraw/zinc/35/74/05/359357405.db2.gz CWRLYAVUKHCVOD-MNOVXSKESA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H]2CCCC[C@H]2C)n1 ZINC000603013569 359357409 /nfs/dbraw/zinc/35/74/09/359357409.db2.gz CWRLYAVUKHCVOD-MNOVXSKESA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)n[n-]1 ZINC000603022726 359364474 /nfs/dbraw/zinc/36/44/74/359364474.db2.gz PKXXNVXKDNMKOT-OUAUKWLOSA-N -1 1 304.350 1.200 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)n1 ZINC000603022726 359364478 /nfs/dbraw/zinc/36/44/78/359364478.db2.gz PKXXNVXKDNMKOT-OUAUKWLOSA-N -1 1 304.350 1.200 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H](CC)CC(F)F)n[n-]1 ZINC000603023392 359366036 /nfs/dbraw/zinc/36/60/36/359366036.db2.gz SVSJJTFRQAGMRZ-ZETCQYMHSA-N -1 1 304.297 1.279 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](CC)CC(F)F)n1 ZINC000603023392 359366037 /nfs/dbraw/zinc/36/60/37/359366037.db2.gz SVSJJTFRQAGMRZ-ZETCQYMHSA-N -1 1 304.297 1.279 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCCCS2)n[n-]1 ZINC000603156149 359443362 /nfs/dbraw/zinc/44/33/62/359443362.db2.gz QMNCSVZAHFXWIO-IUCAKERBSA-N -1 1 312.395 1.444 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCCCS2)[n-]1 ZINC000603156149 359443364 /nfs/dbraw/zinc/44/33/64/359443364.db2.gz QMNCSVZAHFXWIO-IUCAKERBSA-N -1 1 312.395 1.444 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCCCS2)n1 ZINC000603156149 359443366 /nfs/dbraw/zinc/44/33/66/359443366.db2.gz QMNCSVZAHFXWIO-IUCAKERBSA-N -1 1 312.395 1.444 20 0 DDADMM COCc1nc(=N[C@@H]2CCC[C@H]3CN(C(C)=O)C[C@H]32)s[n-]1 ZINC000565679629 304083667 /nfs/dbraw/zinc/08/36/67/304083667.db2.gz XZSRLIQJRSMOLD-QJPTWQEYSA-N -1 1 310.423 1.165 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](C)CC(F)(F)F)n[n-]1 ZINC000603152754 359439069 /nfs/dbraw/zinc/43/90/69/359439069.db2.gz FNKSDFJMTVHWOI-BQBZGAKWSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](C)CC(F)(F)F)[n-]1 ZINC000603152754 359439074 /nfs/dbraw/zinc/43/90/74/359439074.db2.gz FNKSDFJMTVHWOI-BQBZGAKWSA-N -1 1 322.287 1.747 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](C)CC(F)(F)F)n1 ZINC000603152754 359439077 /nfs/dbraw/zinc/43/90/77/359439077.db2.gz FNKSDFJMTVHWOI-BQBZGAKWSA-N -1 1 322.287 1.747 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2c(F)cc(F)cc2F)n[nH]1 ZINC000606459185 359923369 /nfs/dbraw/zinc/92/33/69/359923369.db2.gz GWZSGKSYXDUFIW-UHFFFAOYSA-N -1 1 305.281 1.614 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2c(F)cc(F)cc2F)[nH]n1 ZINC000606459185 359923380 /nfs/dbraw/zinc/92/33/80/359923380.db2.gz GWZSGKSYXDUFIW-UHFFFAOYSA-N -1 1 305.281 1.614 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[S@](=O)[C@@H](C)C3)cnc2n1 ZINC000610091569 360381332 /nfs/dbraw/zinc/38/13/32/360381332.db2.gz AIURSBUWJAOVSV-MGUXCZDKSA-N -1 1 319.386 1.237 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CC[S@](=O)[C@@H](C)C1)c2=O ZINC000610091569 360381336 /nfs/dbraw/zinc/38/13/36/360381336.db2.gz AIURSBUWJAOVSV-MGUXCZDKSA-N -1 1 319.386 1.237 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)C2=C([O-])C(C)N=N2)c2ccccc21 ZINC000610987141 360591010 /nfs/dbraw/zinc/59/10/10/360591010.db2.gz NFQUXLQQZQCHTG-SNVBAGLBSA-N -1 1 301.302 1.341 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)c2cnccc2C)o1 ZINC000611292155 360691417 /nfs/dbraw/zinc/69/14/17/360691417.db2.gz XYGWRZIFCYUWGS-JTQLQIEISA-N -1 1 324.358 1.809 20 0 DDADMM O=C(NCCc1nnc[nH]1)c1ccc(C(F)(F)F)cc1[O-] ZINC000271958207 209340893 /nfs/dbraw/zinc/34/08/93/209340893.db2.gz FLUBJILHYCPIMG-UHFFFAOYSA-N -1 1 300.240 1.502 20 0 DDADMM COC[C@@H](OC)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000612383271 360991924 /nfs/dbraw/zinc/99/19/24/360991924.db2.gz WNSUVHTUFNRSIR-OAHLLOKOSA-N -1 1 321.373 1.475 20 0 DDADMM O=C(Cc1cc(C2CC2)no1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612818458 361156336 /nfs/dbraw/zinc/15/63/36/361156336.db2.gz XCLSSSCTCXHMPA-SNVBAGLBSA-N -1 1 302.338 1.014 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C(C)C)C2CC2)co1 ZINC000620042285 364254189 /nfs/dbraw/zinc/25/41/89/364254189.db2.gz JQEXLSQJFCBCHR-LBPRGKRZSA-N -1 1 300.380 1.352 20 0 DDADMM COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000275493025 212369341 /nfs/dbraw/zinc/36/93/41/212369341.db2.gz GSXBMGQUFFJRJW-PWSUYJOCSA-N -1 1 313.737 1.184 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cccc(N)c2C)o1 ZINC000621680294 364975220 /nfs/dbraw/zinc/97/52/20/364975220.db2.gz UQCXIRWITLWDQN-UHFFFAOYSA-N -1 1 309.347 1.331 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1C[C@H](O)C[C@@H]1[C@@H]1CCCOC1 ZINC000622079440 365235336 /nfs/dbraw/zinc/23/53/36/365235336.db2.gz XKAUBYGFPLSVIV-YRGRVCCFSA-N -1 1 309.337 1.533 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](CO)C3CCC3)cnc2n1 ZINC000622135331 365284699 /nfs/dbraw/zinc/28/46/99/365284699.db2.gz AYNRYUXWEUFENQ-CYBMUJFWSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@H](CO)C3CCC3)c[n-]c2n1 ZINC000622135331 365284700 /nfs/dbraw/zinc/28/47/00/365284700.db2.gz AYNRYUXWEUFENQ-CYBMUJFWSA-N -1 1 301.346 1.535 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)N1CC[C@H](C)C1)c1cccs1 ZINC000349447822 283929253 /nfs/dbraw/zinc/92/92/53/283929253.db2.gz NDWAAMMFLGBCQC-GXSJLCMTSA-N -1 1 318.420 1.138 20 0 DDADMM C[C@@H](CN(C)C(=O)c1nccc2ccsc21)c1nn[n-]n1 ZINC000626443863 367547635 /nfs/dbraw/zinc/54/76/35/367547635.db2.gz YOGSKLKCDJBGLI-QMMMGPOBSA-N -1 1 302.363 1.685 20 0 DDADMM C[C@@H]1CN(C(=O)NCc2ccc3cncn3c2)CC[C@H]1C(=O)[O-] ZINC000626764547 367732876 /nfs/dbraw/zinc/73/28/76/367732876.db2.gz JNGHYGKKSDEMAM-BXUZGUMPSA-N -1 1 316.361 1.587 20 0 DDADMM CCCn1nccc1NC(=O)CN1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC000635018184 422770664 /nfs/dbraw/zinc/77/06/64/422770664.db2.gz LXGVFBCQVVNXPC-VXGBXAGGSA-N -1 1 308.382 1.274 20 0 DDADMM O=C(N[C@@H](CO)c1ccsc1)c1nc2ccccc2c(=O)[n-]1 ZINC000349831647 284070264 /nfs/dbraw/zinc/07/02/64/284070264.db2.gz VAWIHXKNGFTCJY-LBPRGKRZSA-N -1 1 315.354 1.448 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1cc(F)c(F)cc1F ZINC000349946249 284114777 /nfs/dbraw/zinc/11/47/77/284114777.db2.gz RYTPNDIBWLYMRY-UHFFFAOYSA-N -1 1 305.281 1.947 20 0 DDADMM NC(=O)[C@H](c1ccc(F)cc1)N1C[C@@H]2CCC[C@@]2(C(=O)[O-])C1 ZINC000262230870 203235881 /nfs/dbraw/zinc/23/58/81/203235881.db2.gz WTBOWEAPXUAAFO-DETPVDSQSA-N -1 1 306.337 1.539 20 0 DDADMM O=S(=O)([N-]Cc1ccnc2ccnn21)c1cccc(F)c1F ZINC000350635791 284230384 /nfs/dbraw/zinc/23/03/84/284230384.db2.gz YKWDZXBBKRVMQB-UHFFFAOYSA-N -1 1 324.312 1.486 20 0 DDADMM Cc1cc(F)cc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000359416290 299439301 /nfs/dbraw/zinc/43/93/01/299439301.db2.gz RZOROXKIJDYHNJ-UHFFFAOYSA-N -1 1 320.349 1.590 20 0 DDADMM COc1ccc(CC(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)cc1 ZINC000282941846 217340462 /nfs/dbraw/zinc/34/04/62/217340462.db2.gz PRXQULKXJYGWHV-GFCCVEGCSA-N -1 1 316.361 1.055 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)C(F)F)N1CCCc2ccccc21 ZINC000267221003 205905438 /nfs/dbraw/zinc/90/54/38/205905438.db2.gz WGZALJXRLWNINN-UHFFFAOYSA-N -1 1 318.270 1.982 20 0 DDADMM C[C@H](O)[C@H]1CN(c2c(C(=O)[O-])cnc3ccccc32)CCO1 ZINC000566918189 304188459 /nfs/dbraw/zinc/18/84/59/304188459.db2.gz QANKVWLHDWJZNK-IINYFYTJSA-N -1 1 302.330 1.519 20 0 DDADMM Cn1cnnc1CCCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633159931 422783620 /nfs/dbraw/zinc/78/36/20/422783620.db2.gz FAPMWCCWBGFZSZ-UHFFFAOYSA-N -1 1 308.769 1.466 20 0 DDADMM CCOc1ccccc1NC(=O)[C@H](C)S(=O)(=O)c1ncn[n-]1 ZINC000267896857 206324468 /nfs/dbraw/zinc/32/44/68/206324468.db2.gz CFKWZXOTRIMEQJ-VIFPVBQESA-N -1 1 324.362 1.004 20 0 DDADMM CCOc1ccccc1NC(=O)[C@H](C)S(=O)(=O)c1nc[n-]n1 ZINC000267896857 206324471 /nfs/dbraw/zinc/32/44/71/206324471.db2.gz CFKWZXOTRIMEQJ-VIFPVBQESA-N -1 1 324.362 1.004 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1ccc(OCC2CC2)cc1 ZINC000174583377 248369631 /nfs/dbraw/zinc/36/96/31/248369631.db2.gz LKRHPCGDJZWKOP-UHFFFAOYSA-N -1 1 316.361 1.249 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)c1ccc(-n2cccc2)cc1 ZINC000174582861 248371157 /nfs/dbraw/zinc/37/11/57/248371157.db2.gz VJDNUTOITCESHY-UHFFFAOYSA-N -1 1 311.345 1.251 20 0 DDADMM COC(=O)c1ccc(NS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)cc1 ZINC000336849359 249256565 /nfs/dbraw/zinc/25/65/65/249256565.db2.gz BXFXUVOAPVTFSP-UHFFFAOYSA-N -1 1 324.314 1.300 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](CO)c1ccccc1 ZINC000336863545 249272098 /nfs/dbraw/zinc/27/20/98/249272098.db2.gz OLSOTZVFIILPTB-LLVKDONJSA-N -1 1 319.386 1.676 20 0 DDADMM NS(=O)(=O)c1c[n-]c(=NC[C@@H]2CCCC(F)(F)C2)s1 ZINC000336926830 249291489 /nfs/dbraw/zinc/29/14/89/249291489.db2.gz MEFRVCAGSURXOJ-SSDOTTSWSA-N -1 1 311.379 1.450 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1scnc1C1CC1 ZINC000337151240 249366426 /nfs/dbraw/zinc/36/64/26/249366426.db2.gz LLTVODZQTVZESJ-UHFFFAOYSA-N -1 1 305.363 1.086 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cccc(C)c1Cl ZINC000337158902 249369089 /nfs/dbraw/zinc/36/90/89/249369089.db2.gz RJLBHMOLROLABZ-UHFFFAOYSA-N -1 1 306.753 1.714 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1nccc2ccccc21 ZINC000337162576 249370622 /nfs/dbraw/zinc/37/06/22/249370622.db2.gz VIWYSOKGRULDLJ-UHFFFAOYSA-N -1 1 309.329 1.300 20 0 DDADMM COc1ccc(NC(=O)CN(C)C[C@H](C)C(=O)[O-])cc1Cl ZINC000042706790 183299432 /nfs/dbraw/zinc/29/94/32/183299432.db2.gz KIQHXVLIPHYKII-VIFPVBQESA-N -1 1 314.769 1.940 20 0 DDADMM COC(=O)N1CC([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC000284447459 218030871 /nfs/dbraw/zinc/03/08/71/218030871.db2.gz WVOXUDKEZZRFCU-UHFFFAOYSA-N -1 1 322.745 1.208 20 0 DDADMM CCOc1cc(C(=O)NCCc2nnc(C)[nH]2)cc(Cl)c1[O-] ZINC000273977549 211234808 /nfs/dbraw/zinc/23/48/08/211234808.db2.gz SZTBNKCQBJZSOD-UHFFFAOYSA-N -1 1 324.768 1.843 20 0 DDADMM Cc1nn(C)c2ncc(/C=C\c3cc(=O)n4[n-]cnc4n3)cc12 ZINC000352034676 284726974 /nfs/dbraw/zinc/72/69/74/284726974.db2.gz GFGFAYXMAMBMGR-ARJAWSKDSA-N -1 1 307.317 1.178 20 0 DDADMM Cc1ncsc1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000104769548 194036937 /nfs/dbraw/zinc/03/69/37/194036937.db2.gz XNEDDOIEOIITDD-UHFFFAOYSA-N -1 1 300.343 1.618 20 0 DDADMM Cc1coc(C)c1C(=O)[N-]N1C(=O)NC2(CCCCC2)C1=O ZINC000284571564 218083037 /nfs/dbraw/zinc/08/30/37/218083037.db2.gz RDWBJQMVQLUICK-UHFFFAOYSA-N -1 1 305.334 1.796 20 0 DDADMM C[C@@H](NC(=O)c1cc(Cl)ccc1[O-])[C@H](C)N1CCOCC1 ZINC000104937482 194054847 /nfs/dbraw/zinc/05/48/47/194054847.db2.gz KZNQHQLCOJQPFQ-MNOVXSKESA-N -1 1 312.797 1.885 20 0 DDADMM CC(=O)N1CCC([N-]S(=O)(=O)c2sccc2F)CC1 ZINC000338849386 250160109 /nfs/dbraw/zinc/16/01/09/250160109.db2.gz GCLDTSPNDJRICZ-UHFFFAOYSA-N -1 1 306.384 1.176 20 0 DDADMM COc1cccc([C@@H]2C[C@H](O)CN2C(=O)c2cncc([O-])c2)c1 ZINC000284908736 218230002 /nfs/dbraw/zinc/23/00/02/218230002.db2.gz GYNGCZBGUUGKAN-HOCLYGCPSA-N -1 1 314.341 1.744 20 0 DDADMM Cc1noc(CCC[N-]S(=O)(=O)c2sccc2F)n1 ZINC000338885908 250181991 /nfs/dbraw/zinc/18/19/91/250181991.db2.gz IXLPLXNCNMUJQV-UHFFFAOYSA-N -1 1 305.356 1.490 20 0 DDADMM CCOc1cc(C(=O)N(CCO)CCOC)cc(Cl)c1[O-] ZINC000273820054 211087764 /nfs/dbraw/zinc/08/77/64/211087764.db2.gz FOVGCNWKBSWVSX-UHFFFAOYSA-N -1 1 317.769 1.525 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)c1cccnc1)c1sccc1F ZINC000338990634 250236426 /nfs/dbraw/zinc/23/64/26/250236426.db2.gz RTGUVOGFCWMTIB-JTQLQIEISA-N -1 1 316.379 1.337 20 0 DDADMM COc1ncccc1CC[N-]S(=O)(=O)c1sccc1F ZINC000338993876 250238702 /nfs/dbraw/zinc/23/87/02/250238702.db2.gz PTDYBXMYTAQGHW-UHFFFAOYSA-N -1 1 316.379 1.812 20 0 DDADMM COCC[C@@]1([N-]S(=O)(=O)c2sccc2F)CCOC1 ZINC000338971236 250226110 /nfs/dbraw/zinc/22/61/10/250226110.db2.gz CVTZTYPTLWPBOF-LLVKDONJSA-N -1 1 309.384 1.361 20 0 DDADMM CCOC1CC(O)(C[N-]S(=O)(=O)c2sccc2F)C1 ZINC000338975448 250229143 /nfs/dbraw/zinc/22/91/43/250229143.db2.gz FAYLKVYBGBTFCR-UHFFFAOYSA-N -1 1 309.384 1.096 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@H]1CCC[C@@H]1F ZINC000339119072 250301525 /nfs/dbraw/zinc/30/15/25/250301525.db2.gz JMQRPUWDJPQHIT-BQBZGAKWSA-N -1 1 308.356 1.099 20 0 DDADMM C[C@@H]1C(=O)NCCN1C(=O)c1ccc(Br)cc1[O-] ZINC000106359771 194199964 /nfs/dbraw/zinc/19/99/64/194199964.db2.gz DLEGCIRALGZOCH-SSDOTTSWSA-N -1 1 313.151 1.115 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2c(C)cnn2C)c(Cl)n1 ZINC000285139397 218306789 /nfs/dbraw/zinc/30/67/89/218306789.db2.gz OLQDHTMBDOBSOP-UHFFFAOYSA-N -1 1 316.770 1.586 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)[C@@H]1OCCc2sccc21 ZINC000633189446 422797980 /nfs/dbraw/zinc/79/79/80/422797980.db2.gz VCEKHTHCKQFBEJ-OQPBUACISA-N -1 1 307.379 1.013 20 0 DDADMM Cc1cc(S(=O)(=O)N=c2ccnc3n(C)[n-]cc2-3)c(C)s1 ZINC000340367362 251030892 /nfs/dbraw/zinc/03/08/92/251030892.db2.gz SYTMQKAOLCVOQV-UHFFFAOYSA-N -1 1 322.415 1.821 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@H]1CCOC1)c1cc(Cl)ccc1F ZINC000340841903 251237993 /nfs/dbraw/zinc/23/79/93/251237993.db2.gz GMRTWGJZISYQET-KWQFWETISA-N -1 1 323.773 1.155 20 0 DDADMM O=C([O-])[C@@H]1CC[N@@H+](CC(=O)Nc2cccc3nsnc32)C1 ZINC000062133943 184208317 /nfs/dbraw/zinc/20/83/17/184208317.db2.gz WKPKSCLZSSARRW-MRVPVSSYSA-N -1 1 306.347 1.036 20 0 DDADMM O=C([O-])[C@@H]1CCN(CC(=O)Nc2cccc3nsnc32)C1 ZINC000062133943 184208319 /nfs/dbraw/zinc/20/83/19/184208319.db2.gz WKPKSCLZSSARRW-MRVPVSSYSA-N -1 1 306.347 1.036 20 0 DDADMM COC(=O)N1CCC(CNC(=O)c2c([O-])cccc2F)CC1 ZINC000495758876 307317160 /nfs/dbraw/zinc/31/71/60/307317160.db2.gz IFGLUGZRPITCOQ-UHFFFAOYSA-N -1 1 310.325 1.740 20 0 DDADMM CCC(CC)[C@@H](O)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000270053619 208109471 /nfs/dbraw/zinc/10/94/71/208109471.db2.gz VMCQXIZIGNLWOE-NSHDSACASA-N -1 1 319.379 1.142 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H](C(F)(F)F)[C@@H](CO)C1 ZINC000286464829 219003057 /nfs/dbraw/zinc/00/30/57/219003057.db2.gz YCRYDKBFXJGGPE-RKDXNWHRSA-N -1 1 304.268 1.420 20 0 DDADMM C[C@@H](CCO)[N-]S(=O)(=O)c1sccc1Br ZINC000120253752 195127725 /nfs/dbraw/zinc/12/77/25/195127725.db2.gz ZOLKSVVLYIHYOU-LURJTMIESA-N -1 1 314.226 1.560 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)C1CC1)c1ccc(F)c(F)c1F ZINC000352547895 285132302 /nfs/dbraw/zinc/13/23/02/285132302.db2.gz DQGIOSWYXBZZLH-MRVPVSSYSA-N -1 1 309.309 1.401 20 0 DDADMM CC1(N2CCOCC2)CCN(c2ccc(C(=O)[O-])cn2)CC1 ZINC000629769887 422807702 /nfs/dbraw/zinc/80/77/02/422807702.db2.gz VAIQZGZYVHDPJQ-UHFFFAOYSA-N -1 1 305.378 1.471 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C12CCC2 ZINC000414406532 224333776 /nfs/dbraw/zinc/33/37/76/224333776.db2.gz VYDONGLJSAFHPY-QWHCGFSZSA-N -1 1 313.357 1.611 20 0 DDADMM Cc1[nH]n(-c2cccc(-c3cnn(C)c3)c2)c(=O)c1CC(=O)[O-] ZINC000568266417 304282380 /nfs/dbraw/zinc/28/23/80/304282380.db2.gz UPYBHRMPGBJVNY-AWEZNQCLSA-N -1 1 312.329 1.901 20 0 DDADMM COc1ccc(C)cc1C=CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000492071129 533376676 /nfs/dbraw/zinc/37/66/76/533376676.db2.gz PSNFSCXHHBREOX-VURMDHGXSA-N -1 1 301.350 1.581 20 0 DDADMM COC[C@@](C)(O)CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000451663744 533380722 /nfs/dbraw/zinc/38/07/22/533380722.db2.gz XKBUKKKLKOPUEK-LBPRGKRZSA-N -1 1 309.334 1.031 20 0 DDADMM COc1ccc(CN2C[C@H](C(=O)[N-]OC(C)C)CC2=O)cc1 ZINC000295773873 533573582 /nfs/dbraw/zinc/57/35/82/533573582.db2.gz XFZSBIVMHZXOOI-CYBMUJFWSA-N -1 1 306.362 1.500 20 0 DDADMM COc1cc(C(=O)N[C@@]2(CCO)CCOC2)cc(Cl)c1[O-] ZINC000447064725 533551082 /nfs/dbraw/zinc/55/10/82/533551082.db2.gz AFTNJTXLCVWESV-AWEZNQCLSA-N -1 1 315.753 1.326 20 0 DDADMM O=C(NC1CC1)c1[nH]nnc1NC(=O)c1ccc2c(c1)CCC=C2 ZINC000568724736 304307511 /nfs/dbraw/zinc/30/75/11/304307511.db2.gz UXXZXLSIVNUKAT-UHFFFAOYSA-N -1 1 323.356 1.909 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCCOC(C)C ZINC000170929382 407558114 /nfs/dbraw/zinc/55/81/14/407558114.db2.gz DTMFUBBOPWQPAH-UHFFFAOYSA-N -1 1 320.393 1.113 20 0 DDADMM COc1ccc(NS(=O)(=O)c2csc(C(=O)[O-])c2)cn1 ZINC000070156485 406808447 /nfs/dbraw/zinc/80/84/47/406808447.db2.gz KYKWIISBRJBYFJ-UHFFFAOYSA-N -1 1 314.344 1.651 20 0 DDADMM NC(=O)Cc1ccc([N-]S(=O)(=O)c2cccc(F)c2)cc1 ZINC000013849650 406868421 /nfs/dbraw/zinc/86/84/21/406868421.db2.gz VXQILWQWXAKEAY-UHFFFAOYSA-N -1 1 308.334 1.654 20 0 DDADMM O=C(CNC(=O)c1ccc(Br)cc1[O-])NC1CC1 ZINC000044490738 407024577 /nfs/dbraw/zinc/02/45/77/407024577.db2.gz YCDPDJVKPBMGBB-UHFFFAOYSA-N -1 1 313.151 1.163 20 0 DDADMM CCC[C@](C)([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OC ZINC000036818378 406984084 /nfs/dbraw/zinc/98/40/84/406984084.db2.gz ZRBCJDUDBZPTSZ-LBPRGKRZSA-N -1 1 304.368 1.134 20 0 DDADMM CC(C)[C@@H](NS(=O)(=O)c1c(F)cc(F)cc1F)C(=O)[O-] ZINC000037908945 406995179 /nfs/dbraw/zinc/99/51/79/406995179.db2.gz GNDTVZDFSFEBIV-SECBINFHSA-N -1 1 311.281 1.491 20 0 DDADMM CCOC(=O)CC[C@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000089783415 407149100 /nfs/dbraw/zinc/14/91/00/407149100.db2.gz IITIRCUICZQDDX-VIFPVBQESA-N -1 1 321.345 1.975 20 0 DDADMM O=C(CS(=O)(=O)c1ccc([O-])cc1)NCc1ccccc1F ZINC000063927632 407236593 /nfs/dbraw/zinc/23/65/93/407236593.db2.gz STKYGNXKIRMDJY-UHFFFAOYSA-N -1 1 323.345 1.621 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cc3c(cccc3F)[nH]2)n1 ZINC000101664342 407314255 /nfs/dbraw/zinc/31/42/55/407314255.db2.gz LPZGGGYCQKJORP-UHFFFAOYSA-N -1 1 323.309 1.081 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cc3c(cccc3F)[nH]2)[n-]1 ZINC000101664342 407314256 /nfs/dbraw/zinc/31/42/56/407314256.db2.gz LPZGGGYCQKJORP-UHFFFAOYSA-N -1 1 323.309 1.081 20 0 DDADMM CCN1C(=S)N=NC1CCNC(=O)c1c([O-])cccc1F ZINC000123835024 407340501 /nfs/dbraw/zinc/34/05/01/407340501.db2.gz AGJRJHCONVGNGT-UHFFFAOYSA-N -1 1 310.354 1.404 20 0 DDADMM C[C@H](CN(C)C(=O)CCOc1ccc(F)cc1)c1nn[n-]n1 ZINC000124082620 407347445 /nfs/dbraw/zinc/34/74/45/407347445.db2.gz QDACWMQPXSRDPW-SNVBAGLBSA-N -1 1 307.329 1.370 20 0 DDADMM COc1cc(C)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1OC ZINC000124141004 407349634 /nfs/dbraw/zinc/34/96/34/407349634.db2.gz ISQXYGAHDBRBFC-JTQLQIEISA-N -1 1 319.365 1.401 20 0 DDADMM CC[C@H](C)Oc1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccn1 ZINC000124720457 407368197 /nfs/dbraw/zinc/36/81/97/407368197.db2.gz BSXQMVINWVGPJF-MNOVXSKESA-N -1 1 318.381 1.648 20 0 DDADMM O=S(=O)([N-]CC1(CCO)CCC1)c1ccc(F)c(F)c1F ZINC000127367881 407434832 /nfs/dbraw/zinc/43/48/32/407434832.db2.gz BWFARNSYWTVFGK-UHFFFAOYSA-N -1 1 323.336 1.935 20 0 DDADMM CCc1ncc(NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)cn1 ZINC000127434199 407436553 /nfs/dbraw/zinc/43/65/53/407436553.db2.gz IJDWRDUHGDFXKH-UHFFFAOYSA-N -1 1 315.377 1.981 20 0 DDADMM COCCC1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCC1 ZINC000151707962 407480138 /nfs/dbraw/zinc/48/01/38/407480138.db2.gz WIGCWIHRJPWQLS-UHFFFAOYSA-N -1 1 315.373 1.860 20 0 DDADMM CN1CCO[C@H]2CN(C(=O)c3ccc4ccccc4c3[O-])C[C@@H]21 ZINC000151772080 407495369 /nfs/dbraw/zinc/49/53/69/407495369.db2.gz GDYRTCRQROWKGK-HOTGVXAUSA-N -1 1 312.369 1.700 20 0 DDADMM CNC(=O)CCCNC(=O)c1ccc(Br)cc1[O-] ZINC000271239268 407591189 /nfs/dbraw/zinc/59/11/89/407591189.db2.gz HFLDSKPRMHGHKT-UHFFFAOYSA-N -1 1 315.167 1.411 20 0 DDADMM O=C([O-])[C@@H]1CCC[N@@H+](Cc2cc(=O)n3cc(Cl)ccc3n2)C1 ZINC000261670247 407574639 /nfs/dbraw/zinc/57/46/39/407574639.db2.gz LJMSGUZBQDFJMH-SNVBAGLBSA-N -1 1 321.764 1.645 20 0 DDADMM COc1cccc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)n1 ZINC000128927859 407585019 /nfs/dbraw/zinc/58/50/19/407585019.db2.gz LGPPKPCIQNCGJZ-UHFFFAOYSA-N -1 1 310.313 1.257 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@@H](O)C[C@H]1c1cccc(F)c1 ZINC000225490181 407590189 /nfs/dbraw/zinc/59/01/89/407590189.db2.gz ZGOAGJSKIFMKEM-GJZGRUSLSA-N -1 1 302.305 1.874 20 0 DDADMM CCC(CC)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000171263164 407643718 /nfs/dbraw/zinc/64/37/18/407643718.db2.gz BSWVVHJGLMKTMY-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM O=C([N-]OCc1ccc(F)cc1)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000186484696 407680911 /nfs/dbraw/zinc/68/09/11/407680911.db2.gz IRWZCRYRMIYZES-LLVKDONJSA-N -1 1 318.308 1.150 20 0 DDADMM Cc1ccc(C)c(NC(=O)[C@@H](C)S(=O)(=O)c2ncn[n-]2)c1 ZINC000153017745 407737628 /nfs/dbraw/zinc/73/76/28/407737628.db2.gz UIBYKNNIRBEKGC-SNVBAGLBSA-N -1 1 308.363 1.222 20 0 DDADMM Cc1ccc(C)c(NC(=O)[C@@H](C)S(=O)(=O)c2nc[n-]n2)c1 ZINC000153017745 407737638 /nfs/dbraw/zinc/73/76/38/407737638.db2.gz UIBYKNNIRBEKGC-SNVBAGLBSA-N -1 1 308.363 1.222 20 0 DDADMM Cc1cc(C(=O)NOC2CCCC2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000272082027 407766963 /nfs/dbraw/zinc/76/69/63/407766963.db2.gz HKAAUYPAQNAEDZ-UHFFFAOYSA-N -1 1 304.306 1.348 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCOc1ccccc1)c2=O ZINC000179390165 407782364 /nfs/dbraw/zinc/78/23/64/407782364.db2.gz BXVPXSVENJYIST-UHFFFAOYSA-N -1 1 312.329 1.483 20 0 DDADMM C[NH+](C)CCN(Cc1cccc(O)c1)C(=O)c1ncccc1[O-] ZINC000132312911 407777916 /nfs/dbraw/zinc/77/79/16/407777916.db2.gz FALCFUFBCPNNFV-UHFFFAOYSA-N -1 1 315.373 1.697 20 0 DDADMM O=C(NC[C@H](O)Cc1ccccc1)c1cn[nH]c1-c1ccccn1 ZINC000354294331 298395221 /nfs/dbraw/zinc/39/52/21/298395221.db2.gz CSOCTMNEEXFSSE-CQSZACIVSA-N -1 1 322.368 1.805 20 0 DDADMM C/C=C/C[C@@H](CO)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000187136149 407826528 /nfs/dbraw/zinc/82/65/28/407826528.db2.gz PRFQQZALXYKLFJ-MLRMMBSGSA-N -1 1 307.394 1.433 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ccncc2C)c1 ZINC000188835829 407979206 /nfs/dbraw/zinc/97/92/06/407979206.db2.gz WXOBIRHLBQDGGU-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM O=S(=O)(C[C@@H]1CCCCO1)[N-]c1c(F)cccc1CO ZINC000134664568 407921410 /nfs/dbraw/zinc/92/14/10/407921410.db2.gz HCIZSLABFYUBNP-NSHDSACASA-N -1 1 303.355 1.629 20 0 DDADMM CCC1(CC)CN(C(=O)c2cccc3nn[nH]c32)CC[S@]1=O ZINC000188859245 407982371 /nfs/dbraw/zinc/98/23/71/407982371.db2.gz UKZFAQRYOYMDOV-JOCHJYFZSA-N -1 1 320.418 1.721 20 0 DDADMM C[C@@H](C[S@@](C)=O)NC(=O)c1ccc(Br)c([O-])c1 ZINC000181685046 408027719 /nfs/dbraw/zinc/02/77/19/408027719.db2.gz XALPUGHPSHCWHA-BWKAKNAASA-N -1 1 320.208 1.652 20 0 DDADMM Cc1ccc(C(=O)[N-]N2CCCNC2=O)c(Br)n1 ZINC000273062174 408040375 /nfs/dbraw/zinc/04/03/75/408040375.db2.gz JECFLYROGWPDGQ-UHFFFAOYSA-N -1 1 313.155 1.213 20 0 DDADMM CC[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)[C@@H]1CCCO1 ZINC000119436399 408069117 /nfs/dbraw/zinc/06/91/17/408069117.db2.gz JIHGQHBKLXIWDX-NEPJUHHUSA-N -1 1 304.350 1.361 20 0 DDADMM C[C@H]1C[C@H](C(=O)[O-])C[N@@H+](CC(=O)NCCCc2ccccc2)C1 ZINC000263322356 408107537 /nfs/dbraw/zinc/10/75/37/408107537.db2.gz JUHNNJVISKNTNK-HOCLYGCPSA-N -1 1 318.417 1.778 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C(=O)N(C)C)cc1)c1nn[n-]n1 ZINC000136630019 408117459 /nfs/dbraw/zinc/11/74/59/408117459.db2.gz KXUIVXCGDBQPKM-GFCCVEGCSA-N -1 1 316.365 1.173 20 0 DDADMM NC(=O)[C@@H](NC(=O)c1ccc([O-])cc1F)c1ccc(F)cc1 ZINC000137132980 408140768 /nfs/dbraw/zinc/14/07/68/408140768.db2.gz DZUWNYIAMQJDMT-ZDUSSCGKSA-N -1 1 306.268 1.627 20 0 DDADMM O=C(NC1CC1)N[C@@H]1CCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000273676467 408285665 /nfs/dbraw/zinc/28/56/65/408285665.db2.gz INRVCSXKABZDAY-LLVKDONJSA-N -1 1 307.325 1.207 20 0 DDADMM CCC[C@@H](NC(=O)Cn1nc(C)c(Cl)c1C)c1nn[n-]n1 ZINC000176791857 408446630 /nfs/dbraw/zinc/44/66/30/408446630.db2.gz SXISNWHAEFIMOV-SECBINFHSA-N -1 1 311.777 1.324 20 0 DDADMM CC(C)(CCO)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000269876924 408456040 /nfs/dbraw/zinc/45/60/40/408456040.db2.gz VHULAVDSEHOMRS-UHFFFAOYSA-N -1 1 311.325 1.791 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(C)n1C1CC1 ZINC000183397038 408397893 /nfs/dbraw/zinc/39/78/93/408397893.db2.gz DWZJWVDQFSZUTI-VIFPVBQESA-N -1 1 302.382 1.829 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H]1SCCc2ccccc21)c1nn[n-]n1 ZINC000183399823 408397991 /nfs/dbraw/zinc/39/79/91/408397991.db2.gz FWHKTMAMIAJTJU-GWCFXTLKSA-N -1 1 317.418 1.792 20 0 DDADMM COc1ccccc1[C@H](C)CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183413631 408405767 /nfs/dbraw/zinc/40/57/67/408405767.db2.gz OPQKVFBTTANAKF-VXGBXAGGSA-N -1 1 317.393 1.964 20 0 DDADMM CC[C@@H](C(=O)[O-])N1CCN(C(=O)c2cc3ccccc3[nH]2)CC1 ZINC000191415575 408421505 /nfs/dbraw/zinc/42/15/05/408421505.db2.gz GLOHZSXKYGHTCN-HNNXBMFYSA-N -1 1 315.373 1.789 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2c(c1)CCC(=O)N2)c1nn[n-]n1 ZINC000176774009 408440022 /nfs/dbraw/zinc/44/00/22/408440022.db2.gz QWNRNGLMHDTTPZ-GFCCVEGCSA-N -1 1 314.349 1.356 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2cccs2)C(=O)C1 ZINC000183858928 408502657 /nfs/dbraw/zinc/50/26/57/408502657.db2.gz VXQMMKYDDUQMEH-UHFFFAOYSA-N -1 1 303.343 1.338 20 0 DDADMM CCc1nc([C@@H]2CN(C(=O)c3c([O-])cccc3F)CCO2)n[nH]1 ZINC000274717093 408507803 /nfs/dbraw/zinc/50/78/03/408507803.db2.gz WVUANGVVWZOVKT-NSHDSACASA-N -1 1 320.324 1.426 20 0 DDADMM O=C(COCc1ccccc1)Nc1n[n-]c(C(F)(F)F)n1 ZINC000247752559 408508887 /nfs/dbraw/zinc/50/88/87/408508887.db2.gz WUMYYHPQKSPNEZ-UHFFFAOYSA-N -1 1 300.240 1.979 20 0 DDADMM COC(=O)c1ccc(C[N-]S(=O)(=O)c2cnc(C)s2)o1 ZINC000269933696 408473396 /nfs/dbraw/zinc/47/33/96/408473396.db2.gz DHPGNICOVCDTSC-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CCCCS(=O)(=O)c1ccc(C(=O)Nc2nnn[n-]2)cc1 ZINC000192425420 408585873 /nfs/dbraw/zinc/58/58/73/408585873.db2.gz CMPMUOBOBOYDIW-UHFFFAOYSA-N -1 1 309.351 1.026 20 0 DDADMM CCCCS(=O)(=O)c1ccc(C(=O)Nc2nn[n-]n2)cc1 ZINC000192425420 408585874 /nfs/dbraw/zinc/58/58/74/408585874.db2.gz CMPMUOBOBOYDIW-UHFFFAOYSA-N -1 1 309.351 1.026 20 0 DDADMM NS(=O)(=O)c1ccc(CNC(=O)c2c([O-])cccc2F)cc1 ZINC000163785432 408790414 /nfs/dbraw/zinc/79/04/14/408790414.db2.gz ZBYMUEHHNQNTMS-UHFFFAOYSA-N -1 1 324.333 1.109 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC(C)(C)CCO)c(=O)[n-]1 ZINC000270954119 408758898 /nfs/dbraw/zinc/75/88/98/408758898.db2.gz PSLNBUSJPOLNNV-UHFFFAOYSA-N -1 1 313.423 1.422 20 0 DDADMM O=C(NC1CC1)c1cc(I)ccc1[O-] ZINC000166544149 408816195 /nfs/dbraw/zinc/81/61/95/408816195.db2.gz YXJBUJHSPLNHNR-UHFFFAOYSA-N -1 1 303.099 1.889 20 0 DDADMM CCN1C(=S)N=NC1CNC(=O)c1c(F)ccc([O-])c1F ZINC000280789044 408834239 /nfs/dbraw/zinc/83/42/39/408834239.db2.gz ODJSTBNQCNTCOL-UHFFFAOYSA-N -1 1 314.317 1.501 20 0 DDADMM Cc1ccc(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(Cl)n1 ZINC000291698024 408908929 /nfs/dbraw/zinc/90/89/29/408908929.db2.gz OPQALCYYMLAKON-MRVPVSSYSA-N -1 1 308.773 1.361 20 0 DDADMM CO[C@@H]1C[C@H](c2nc(C)no2)N(C(=O)c2cc(F)ccc2[O-])C1 ZINC000190016421 163126794 /nfs/dbraw/zinc/12/67/94/163126794.db2.gz XJZNXDPFKRRRCT-ZYHUDNBSSA-N -1 1 321.308 1.825 20 0 DDADMM C[C@@H](O)[C@@H]1CCN(C(=O)c2ccc(Br)c([O-])c2)C1 ZINC000227522122 163339086 /nfs/dbraw/zinc/33/90/86/163339086.db2.gz HBPGJFQILNUMFQ-PSASIEDQSA-N -1 1 314.179 1.998 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1C(=O)NCC[C@H]1C ZINC000282110893 408993439 /nfs/dbraw/zinc/99/34/39/408993439.db2.gz GQVQSVHOURUCHR-LDYMZIIASA-N -1 1 320.393 1.043 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000287404140 409032158 /nfs/dbraw/zinc/03/21/58/409032158.db2.gz JHDXKRFFOKIFRZ-STQMWFEESA-N -1 1 318.373 1.338 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2cccc3[nH]c(C)nc32)C1=O ZINC000292998298 409047936 /nfs/dbraw/zinc/04/79/36/409047936.db2.gz SQQWLNXZYQJIOG-HNNXBMFYSA-N -1 1 315.333 1.237 20 0 DDADMM CCc1cnc(C[N-]S(=O)(=O)c2cnc(Cl)c(F)c2)o1 ZINC000287556502 409054801 /nfs/dbraw/zinc/05/48/01/409054801.db2.gz XEFOWDMLFGXWCS-UHFFFAOYSA-N -1 1 319.745 1.903 20 0 DDADMM C[C@H]1COCC[C@@H]1CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287580512 409058385 /nfs/dbraw/zinc/05/83/85/409058385.db2.gz LJCPAEPPOGYCOU-TUBFPLQUSA-N -1 1 303.366 1.136 20 0 DDADMM CCn1ncc(C(=O)[N-]c2nnc(CC(F)(F)F)s2)n1 ZINC000293386555 409103076 /nfs/dbraw/zinc/10/30/76/409103076.db2.gz NXDKSSGPUKZLPP-UHFFFAOYSA-N -1 1 306.273 1.507 20 0 DDADMM COCCCO[N-]C(=O)[C@H]1CCCN1Cc1ccc(F)cc1 ZINC000287958038 409121900 /nfs/dbraw/zinc/12/19/00/409121900.db2.gz WZYLUXKUWTVAJH-OAHLLOKOSA-N -1 1 310.369 1.874 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCCC[C@H](C)O ZINC000279146030 409157724 /nfs/dbraw/zinc/15/77/24/409157724.db2.gz PCAVQOZULKADAJ-JTQLQIEISA-N -1 1 320.393 1.262 20 0 DDADMM CCNC(=O)NCCNC(=O)c1ccc2ccccc2c1[O-] ZINC000293973076 409217312 /nfs/dbraw/zinc/21/73/12/409217312.db2.gz JIUOUSQHSDYMKJ-UHFFFAOYSA-N -1 1 301.346 1.594 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCc3occc3C2)co1 ZINC000289237276 409236904 /nfs/dbraw/zinc/23/69/04/409236904.db2.gz OMSVJWWTCVJHSA-UHFFFAOYSA-N -1 1 324.358 1.369 20 0 DDADMM CCCCNC(=O)[C@@H]1CSCN1C(=O)c1cncc([O-])c1 ZINC000284050690 409265109 /nfs/dbraw/zinc/26/51/09/409265109.db2.gz UILNLFXQPOHSEU-LBPRGKRZSA-N -1 1 309.391 1.219 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H](C)C(C)(F)F)c1 ZINC000294768067 409302357 /nfs/dbraw/zinc/30/23/57/409302357.db2.gz RGDHBALIIFGSJA-ZETCQYMHSA-N -1 1 322.333 1.530 20 0 DDADMM NC(=O)CC1CN(C(=O)c2ccc(Br)cc2[O-])C1 ZINC000280408895 409307304 /nfs/dbraw/zinc/30/73/04/409307304.db2.gz FSGKNZRDNZQDCJ-UHFFFAOYSA-N -1 1 313.151 1.102 20 0 DDADMM O=C([N-]C[C@H]1CCCN(C(=O)c2ccoc2)C1)C(F)(F)F ZINC000290394111 409384273 /nfs/dbraw/zinc/38/42/73/409384273.db2.gz PGAHTUHAJOGIDY-SECBINFHSA-N -1 1 304.268 1.810 20 0 DDADMM CC[C@@H](C)[C@H](C[N-]S(=O)(=O)c1cc(C(=O)OC)co1)OC ZINC000295167390 409354612 /nfs/dbraw/zinc/35/46/12/409354612.db2.gz AAWDACXPUWWTEW-KOLCDFICSA-N -1 1 319.379 1.406 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@H](C)OCc1ccc(F)cc1)c1nn[n-]n1 ZINC000296029384 409404493 /nfs/dbraw/zinc/40/44/93/409404493.db2.gz OYMXLYCVUUISNC-QWRGUYRKSA-N -1 1 321.356 1.506 20 0 DDADMM Cc1cccc2nc(CN3CCCC[C@H]3c3nc(=O)[n-][nH]3)cn21 ZINC000295366848 409452368 /nfs/dbraw/zinc/45/23/68/409452368.db2.gz SANLTDSBUQWVEG-ZDUSSCGKSA-N -1 1 312.377 1.781 20 0 DDADMM CO[C@H]1COCC[C@@H]1CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000633286450 422843119 /nfs/dbraw/zinc/84/31/19/422843119.db2.gz HAAYZHXAWDBBKB-RISCZKNCSA-N -1 1 313.781 1.756 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCOC[C@H]2C)c1 ZINC000285513653 409473909 /nfs/dbraw/zinc/47/39/09/409473909.db2.gz GDUCKADJNHWMQY-ZJUUUORDSA-N -1 1 317.363 1.017 20 0 DDADMM Cc1cc(C(=O)NC(C)(C)c2nn[n-]n2)nn1-c1ccccc1 ZINC000359803417 164146333 /nfs/dbraw/zinc/14/63/33/164146333.db2.gz WADLOMQZXLYJGL-UHFFFAOYSA-N -1 1 311.349 1.359 20 0 DDADMM CC1CN(C(=O)c2ccc(S(=O)(=O)[N-]C(C)(C)C)o2)C1 ZINC000407982638 164188580 /nfs/dbraw/zinc/18/85/80/164188580.db2.gz ZZNQKZQBFJFNDD-UHFFFAOYSA-N -1 1 300.380 1.448 20 0 DDADMM Cc1noc([C@@H]2[C@@H](C)OCCN2C(=O)c2ncccc2[O-])n1 ZINC000408063115 164213617 /nfs/dbraw/zinc/21/36/17/164213617.db2.gz PICJBHQIPTYSOP-PELKAZGASA-N -1 1 304.306 1.081 20 0 DDADMM O=c1[n-]nc([C@@H]2CCCN(C[C@H](O)c3cccc(Cl)c3)C2)o1 ZINC000295454608 409489780 /nfs/dbraw/zinc/48/97/80/409489780.db2.gz WRJBLQSHJWGIEM-YPMHNXCESA-N -1 1 323.780 1.929 20 0 DDADMM COc1cc([C@H](C)[N-]S(=O)(=O)c2c(C)noc2C)ccn1 ZINC000344922463 409557097 /nfs/dbraw/zinc/55/70/97/409557097.db2.gz DCGCCGVSCWRNKL-QMMMGPOBSA-N -1 1 311.363 1.735 20 0 DDADMM O=C(CCc1nccs1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000331457262 409530738 /nfs/dbraw/zinc/53/07/38/409530738.db2.gz KDVJQPFSQYMQIP-VIFPVBQESA-N -1 1 308.363 1.571 20 0 DDADMM CC(C)COC[C@H](O)CNC(=O)c1c(F)ccc([O-])c1F ZINC000348850806 409552037 /nfs/dbraw/zinc/55/20/37/409552037.db2.gz GBZXVLNALWEGEE-SECBINFHSA-N -1 1 303.305 1.434 20 0 DDADMM C[C@@]1(CO)CCC[C@@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000305139319 409697717 /nfs/dbraw/zinc/69/77/17/409697717.db2.gz VMHSNEBBADOYQN-STQMWFEESA-N -1 1 305.346 1.794 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(Cl)c(Br)c1 ZINC000338058716 409684218 /nfs/dbraw/zinc/68/42/18/409684218.db2.gz BILLBTPPPWCTAV-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(Cl)c(Br)c1 ZINC000338058716 409684225 /nfs/dbraw/zinc/68/42/25/409684225.db2.gz BILLBTPPPWCTAV-UHFFFAOYSA-N -1 1 302.519 1.868 20 0 DDADMM C/C=C\C[C@H]1CCCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000629870727 422848774 /nfs/dbraw/zinc/84/87/74/422848774.db2.gz GPYJIQVGQOBQGX-XOULXFPDSA-N -1 1 307.350 1.715 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1csc(Br)c1 ZINC000357054250 409824755 /nfs/dbraw/zinc/82/47/55/409824755.db2.gz LDPHVPCAJUJUNS-UHFFFAOYSA-N -1 1 314.168 1.443 20 0 DDADMM CCc1c(C(=O)NC2(c3nn[n-]n3)CC2)cnn1CC(C)C ZINC000357070579 409840330 /nfs/dbraw/zinc/84/03/30/409840330.db2.gz DIYBLFYUWUOUQU-UHFFFAOYSA-N -1 1 303.370 1.034 20 0 DDADMM CC(C)CNC(=O)NC(=O)C[N@H+]1CCCC[C@H]1CCC(=O)[O-] ZINC000315551045 409847300 /nfs/dbraw/zinc/84/73/00/409847300.db2.gz DESJFRVTHSDFOP-LBPRGKRZSA-N -1 1 313.398 1.188 20 0 DDADMM CCCN(CCC)C(=O)CCCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000357040516 409810444 /nfs/dbraw/zinc/81/04/44/409810444.db2.gz CZNFQIKYGKNYON-UHFFFAOYSA-N -1 1 322.413 1.124 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H](CCO)C3)cnc2n1 ZINC000332032744 409820280 /nfs/dbraw/zinc/82/02/80/409820280.db2.gz VMTYPTRKCAJVOJ-NSHDSACASA-N -1 1 301.346 1.488 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](CCO)C3)c[n-]c2n1 ZINC000332032744 409820286 /nfs/dbraw/zinc/82/02/86/409820286.db2.gz VMTYPTRKCAJVOJ-NSHDSACASA-N -1 1 301.346 1.488 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H](C(F)(F)F)[C@@H]1C ZINC000349652548 409903434 /nfs/dbraw/zinc/90/34/34/409903434.db2.gz IDHLPAMTRRZGKN-FSPLSTOPSA-N -1 1 313.301 1.357 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)N(C)CC1(O)CCC1)c2=O ZINC000354510307 409907758 /nfs/dbraw/zinc/90/77/58/409907758.db2.gz ZGBTVBWZUKVECS-UHFFFAOYSA-N -1 1 316.357 1.524 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)CSc1n[nH]c(=O)[n-]1 ZINC000357161338 409914752 /nfs/dbraw/zinc/91/47/52/409914752.db2.gz WFPOCWJEPWACCV-UHFFFAOYSA-N -1 1 304.335 1.426 20 0 DDADMM Cc1cccc(OCC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332111466 409895618 /nfs/dbraw/zinc/89/56/18/409895618.db2.gz GZZJMENAJFLUHW-LBPRGKRZSA-N -1 1 317.345 1.869 20 0 DDADMM O=C(Nc1cccc(C(=O)Nc2nnn[n-]2)c1)c1cccs1 ZINC000342853001 409949744 /nfs/dbraw/zinc/94/97/44/409949744.db2.gz RNBZZVONKNDJJF-UHFFFAOYSA-N -1 1 314.330 1.766 20 0 DDADMM O=C(Nc1cccc(C(=O)Nc2nn[n-]n2)c1)c1cccs1 ZINC000342853001 409949753 /nfs/dbraw/zinc/94/97/53/409949753.db2.gz RNBZZVONKNDJJF-UHFFFAOYSA-N -1 1 314.330 1.766 20 0 DDADMM CCc1ccc([C@H](C)CC(=O)Nc2nn[nH]c2C(=O)NC)cc1 ZINC000297858683 410027517 /nfs/dbraw/zinc/02/75/17/410027517.db2.gz YJZHPAKDJMFILR-SNVBAGLBSA-N -1 1 315.377 1.859 20 0 DDADMM CCc1ccc([C@@H](C)CC(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000297917698 410046057 /nfs/dbraw/zinc/04/60/57/410046057.db2.gz XORJZLJWHVTQAJ-VIFPVBQESA-N -1 1 301.350 1.598 20 0 DDADMM Cc1nc(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)c(C)s1 ZINC000297784081 409997981 /nfs/dbraw/zinc/99/79/81/409997981.db2.gz QWEXXFZLXRYXED-UHFFFAOYSA-N -1 1 306.351 1.023 20 0 DDADMM CC[C@H](O[C@H]1CCC[C@H](C)C1)C(=O)Nc1nn[nH]c1C(=O)NC ZINC000297795604 410000935 /nfs/dbraw/zinc/00/09/35/410000935.db2.gz RVEXAJDOWNDASX-DCAQKATOSA-N -1 1 323.397 1.477 20 0 DDADMM COc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000332297662 410049745 /nfs/dbraw/zinc/04/97/45/410049745.db2.gz KIBYHDABBHRFKN-SNVBAGLBSA-N -1 1 304.306 1.199 20 0 DDADMM CC(C)(NC(=O)[C@H]1C[C@@H]1c1ccc(F)cc1F)c1nn[n-]n1 ZINC000354775795 410078770 /nfs/dbraw/zinc/07/87/70/410078770.db2.gz QOZJYVFWVINHEO-ZJUUUORDSA-N -1 1 307.304 1.633 20 0 DDADMM CC(C)CN(C)c1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000354761144 410067353 /nfs/dbraw/zinc/06/73/53/410067353.db2.gz AZEHGWIZBCTHME-UHFFFAOYSA-N -1 1 316.409 1.957 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CN2CCCCCCC2=O)n1 ZINC000339390025 410149440 /nfs/dbraw/zinc/14/94/40/410149440.db2.gz DQKINGUAORLSRE-UHFFFAOYSA-N -1 1 322.365 1.318 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000631615930 422854585 /nfs/dbraw/zinc/85/45/85/422854585.db2.gz FHSLGCMERQZGKX-JTQLQIEISA-N -1 1 308.765 1.468 20 0 DDADMM COC(=O)C[C@](C)(NC(=O)c1cncc([O-])c1)c1ccccn1 ZINC000354842400 410123526 /nfs/dbraw/zinc/12/35/26/410123526.db2.gz UWLMJLAJNPMNCG-INIZCTEOSA-N -1 1 315.329 1.391 20 0 DDADMM CN(Cc1cccc([O-])c1Cl)C[C@H]1CCS(=O)(=O)C1 ZINC000351639115 410124644 /nfs/dbraw/zinc/12/46/44/410124644.db2.gz BHLNVYGDTATDFW-SNVBAGLBSA-N -1 1 303.811 1.912 20 0 DDADMM COCCC[C@H](C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000351648878 410133218 /nfs/dbraw/zinc/13/32/18/410133218.db2.gz IHJIIVQVUZAMSM-VIFPVBQESA-N -1 1 316.379 1.041 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2Cc3ccccc3[C@@H]2C)co1 ZINC000339374026 410137235 /nfs/dbraw/zinc/13/72/35/410137235.db2.gz DRAWYDTVWODCQR-JTQLQIEISA-N -1 1 320.370 1.905 20 0 DDADMM CNC(=O)[C@H](C)NC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000346838554 410188096 /nfs/dbraw/zinc/18/80/96/410188096.db2.gz PRUYWQRSRLPELC-ZETCQYMHSA-N -1 1 307.737 1.458 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H]1C1CCC1 ZINC000343246858 410271485 /nfs/dbraw/zinc/27/14/85/410271485.db2.gz PVQLXXDUYPVWFH-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NN1CCCC1 ZINC000298525450 410273457 /nfs/dbraw/zinc/27/34/57/410273457.db2.gz VBINZTKQACRPEN-UHFFFAOYSA-N -1 1 303.366 1.111 20 0 DDADMM CSc1ccc(NC(=O)CNC(=O)c2ncccc2[O-])nc1 ZINC000343409007 410429593 /nfs/dbraw/zinc/42/95/93/410429593.db2.gz ZQCUXRDOTUVMNU-UHFFFAOYSA-N -1 1 318.358 1.273 20 0 DDADMM CC(C)N(C)c1ccc(/C=C\c2cc(=O)n3[n-]cnc3n2)cn1 ZINC000352040317 410433573 /nfs/dbraw/zinc/43/35/73/410433573.db2.gz NRMPDDBLMPOPTH-XQRVVYSFSA-N -1 1 310.361 1.828 20 0 DDADMM O=c1cc(/C=C/c2ccnn2-c2ccccc2)nc2nc[n-]n21 ZINC000352035123 410436289 /nfs/dbraw/zinc/43/62/89/410436289.db2.gz MIQGFMVNSIZEJM-VOTSOKGWSA-N -1 1 304.313 1.774 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)[C@H]2CCOC2)o1 ZINC000355321392 410441137 /nfs/dbraw/zinc/44/11/37/410441137.db2.gz ILQWLFVCIRMYPM-UWVGGRQHSA-N -1 1 317.363 1.160 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(COC)cc2)n1 ZINC000352159484 410516362 /nfs/dbraw/zinc/51/63/62/410516362.db2.gz XUKSETDRPCLDEK-UHFFFAOYSA-N -1 1 303.318 1.985 20 0 DDADMM CC(C)CN1C[C@H]2CN(C(=O)c3cncc([O-])c3)CCN2C1=O ZINC000355569464 410586338 /nfs/dbraw/zinc/58/63/38/410586338.db2.gz PXVFBGQBOMUVMU-CYBMUJFWSA-N -1 1 318.377 1.005 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)NC2(c3nn[n-]n3)CC2)s1 ZINC000352206245 410547593 /nfs/dbraw/zinc/54/75/93/410547593.db2.gz UNTMNLBMEOMPDQ-UHFFFAOYSA-N -1 1 305.363 1.338 20 0 DDADMM Cc1cccc(C[C@H](CO)NC(=O)c2ncc(C)cc2[O-])c1 ZINC000347690015 410669490 /nfs/dbraw/zinc/66/94/90/410669490.db2.gz LXQWZIPRNIMQLA-CQSZACIVSA-N -1 1 300.358 1.737 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCC[C@H]2CCO)sc1C ZINC000330273752 410608156 /nfs/dbraw/zinc/60/81/56/410608156.db2.gz ZQZOZGORUYMXLJ-WDEREUQCSA-N -1 1 304.437 1.589 20 0 DDADMM CCN(C(=O)CNC(=O)c1ncccc1[O-])[C@@H]1CCCC[C@@H]1C ZINC000352363813 410651902 /nfs/dbraw/zinc/65/19/02/410651902.db2.gz UXGOQVVPEOZGDZ-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NCc1ccncc1OCC(F)F)c1cncc([O-])c1 ZINC000355823435 410689006 /nfs/dbraw/zinc/68/90/06/410689006.db2.gz XVJPMZHPNPLFDZ-UHFFFAOYSA-N -1 1 309.272 1.756 20 0 DDADMM CCC[C@@H](NC(=O)c1ccnc(OC(C)C)c1)c1nn[n-]n1 ZINC000347865616 410761414 /nfs/dbraw/zinc/76/14/14/410761414.db2.gz CLFIRHPBELZJOR-LLVKDONJSA-N -1 1 304.354 1.653 20 0 DDADMM COc1ccc(C2(CN=c3ccc(C(N)=O)n[n-]3)CCC2)cc1 ZINC000343870412 410764823 /nfs/dbraw/zinc/76/48/23/410764823.db2.gz LRMIYSHAMNAVSV-UHFFFAOYSA-N -1 1 312.373 1.540 20 0 DDADMM CC[C@@H](C)[C@@H](OC)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000356115283 410845831 /nfs/dbraw/zinc/84/58/31/410845831.db2.gz ICQIMABPKFUBFM-RFAUZJTJSA-N -1 1 320.393 1.729 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)N2CCN(C)[C@@H](C)[C@@H]2C)s1 ZINC000330691781 410882740 /nfs/dbraw/zinc/88/27/40/410882740.db2.gz BIEOFASJPLTSSG-QWRGUYRKSA-N -1 1 311.455 1.859 20 0 DDADMM CC(C)c1nn(C)c2sc(C(=O)NCc3nc([O-])n[nH]3)cc12 ZINC000359813000 410894854 /nfs/dbraw/zinc/89/48/54/410894854.db2.gz XSTBYJDZUMPCMM-UHFFFAOYSA-N -1 1 320.378 1.512 20 0 DDADMM CC(C)c1ccc(OCCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348299385 410905274 /nfs/dbraw/zinc/90/52/74/410905274.db2.gz XAGGNUIWVMWTJW-UHFFFAOYSA-N -1 1 315.377 1.898 20 0 DDADMM CC(C)(C)C(C)(C)CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000348461075 410975397 /nfs/dbraw/zinc/97/53/97/410975397.db2.gz DNEGTMCLPPWETN-UHFFFAOYSA-N -1 1 307.394 1.706 20 0 DDADMM CN1CCN(C(=O)CCCc2nn[n-]n2)[C@@H](c2ccccc2)C1 ZINC000635178678 422862467 /nfs/dbraw/zinc/86/24/67/422862467.db2.gz DQNWLQGYDNWSCB-CQSZACIVSA-N -1 1 314.393 1.038 20 0 DDADMM CC(C)(C)OC(=O)[C@@H]1CSCCN1C(=O)c1ncccc1[O-] ZINC000331167157 410991850 /nfs/dbraw/zinc/99/18/50/410991850.db2.gz DYYUZDHFYOOBND-JTQLQIEISA-N -1 1 324.402 1.687 20 0 DDADMM CC(C)(C)C1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000353460993 411000659 /nfs/dbraw/zinc/00/06/59/411000659.db2.gz PPEBYKNOUMKANA-UHFFFAOYSA-N -1 1 303.366 1.316 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H](C)C(=O)C(C)(C)C(=O)OC)n1 ZINC000344169702 411004104 /nfs/dbraw/zinc/00/41/04/411004104.db2.gz MIAXVDKBQNNJAZ-MRVPVSSYSA-N -1 1 312.391 1.994 20 0 DDADMM COc1ccc(C(=O)N=c2nc(-c3ccccc3F)[nH][n-]2)nc1 ZINC000360154102 411079627 /nfs/dbraw/zinc/07/96/27/411079627.db2.gz GETSSYBNAGVKMO-UHFFFAOYSA-N -1 1 313.292 1.689 20 0 DDADMM Cc1cnc(C(=O)N2CCC(Cn3cccn3)CC2)c([O-])c1 ZINC000331208517 411017776 /nfs/dbraw/zinc/01/77/76/411017776.db2.gz PUPKCDIUQAVKHW-UHFFFAOYSA-N -1 1 300.362 1.845 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@H](C(=O)OC(C)(C)C)C2)c([O-])c1 ZINC000331208538 411018381 /nfs/dbraw/zinc/01/83/81/411018381.db2.gz PVEXMIQLFQEJTJ-NSHDSACASA-N -1 1 306.362 1.899 20 0 DDADMM CN1C(=O)NCC12CCN(C(=O)c1ccc(Cl)cc1[O-])CC2 ZINC000571552631 304470067 /nfs/dbraw/zinc/47/00/67/304470067.db2.gz XCBCWATVIVLROG-UHFFFAOYSA-N -1 1 323.780 1.675 20 0 DDADMM O=C(N[C@H]1CCN(C2CCC2)C1=O)c1csc(=NC2CC2)[n-]1 ZINC000629923644 422879228 /nfs/dbraw/zinc/87/92/28/422879228.db2.gz BTTJOEQPCLXYRU-NSHDSACASA-N -1 1 320.418 1.023 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000631692002 422883031 /nfs/dbraw/zinc/88/30/31/422883031.db2.gz POZUQWIDOMFQEO-DTWKUNHWSA-N -1 1 305.304 1.617 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)CC(C)(C)O)cnc2n1 ZINC000629997373 422918080 /nfs/dbraw/zinc/91/80/80/422918080.db2.gz UKXYWSPEKGWZLO-SNVBAGLBSA-N -1 1 303.362 1.923 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)CC(C)(C)O)c2=O ZINC000629997373 422918083 /nfs/dbraw/zinc/91/80/83/422918083.db2.gz UKXYWSPEKGWZLO-SNVBAGLBSA-N -1 1 303.362 1.923 20 0 DDADMM COCC1CN(C(=O)c2ccc(Br)c([O-])c2)C1 ZINC000640558960 423028811 /nfs/dbraw/zinc/02/88/11/423028811.db2.gz HLMWXRSVHAUOFZ-UHFFFAOYSA-N -1 1 300.152 1.873 20 0 DDADMM COc1ccc2nc(CN3CCCC[C@H]3CC(=O)[O-])[nH]c2n1 ZINC000652463393 423028453 /nfs/dbraw/zinc/02/84/53/423028453.db2.gz CZSSEUOFOZSGMS-JTQLQIEISA-N -1 1 304.350 1.796 20 0 DDADMM O=S(=O)([N-]CCn1ccnc1)c1ncccc1C(F)(F)F ZINC000645435277 423034537 /nfs/dbraw/zinc/03/45/37/423034537.db2.gz FXYROQBPUDPHLV-UHFFFAOYSA-N -1 1 320.296 1.275 20 0 DDADMM CC(C)S(=O)(=O)CCC[N@@H+](CC(=O)[O-])C1CCCCC1 ZINC000652482195 423036581 /nfs/dbraw/zinc/03/65/81/423036581.db2.gz RUDBTHDHMIDGDX-UHFFFAOYSA-N -1 1 305.440 1.919 20 0 DDADMM COc1cncc(/C=C/CCN2CCO[C@@H](CC(=O)[O-])C2)c1 ZINC000652479690 423037203 /nfs/dbraw/zinc/03/72/03/423037203.db2.gz XYAGWSMOQDWCPN-OMDKTOEGSA-N -1 1 306.362 1.669 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@H]1O)c1ncccc1C(F)(F)F ZINC000645568781 423089536 /nfs/dbraw/zinc/08/95/36/423089536.db2.gz ZCUDMRVSQAGNFB-WCBMZHEXSA-N -1 1 324.324 1.540 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@@H]2CCC[C@H](C(=O)OC)C2)n[nH]1 ZINC000541904707 416618435 /nfs/dbraw/zinc/61/84/35/416618435.db2.gz WEQBZODNUFHHJV-ZJUUUORDSA-N -1 1 323.349 1.504 20 0 DDADMM CSc1nc(CNC(=O)c2cnn(C(F)F)c2)cc(=O)[n-]1 ZINC000640654752 423115591 /nfs/dbraw/zinc/11/55/91/423115591.db2.gz YGBSYSWBUVEFSK-UHFFFAOYSA-N -1 1 315.305 1.426 20 0 DDADMM CSc1nc(CNC(=O)COCc2ccccc2)cc(=O)[n-]1 ZINC000640659277 423120020 /nfs/dbraw/zinc/12/00/20/423120020.db2.gz YIELRTWJGHBDLT-UHFFFAOYSA-N -1 1 319.386 1.737 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CCC[C@H]2O)c(F)c1 ZINC000650311734 423126998 /nfs/dbraw/zinc/12/69/98/423126998.db2.gz QJCCIQMPAUXZIK-QPUJVOFHSA-N -1 1 321.345 1.413 20 0 DDADMM CC[C@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@]2(CCOC2)O1 ZINC000373694588 418448748 /nfs/dbraw/zinc/44/87/48/418448748.db2.gz HIAABBOFQHPICD-LRDDRELGSA-N -1 1 309.337 1.941 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN[C@@H](c2cccnc2)C1 ZINC000374365237 418523391 /nfs/dbraw/zinc/52/33/91/418523391.db2.gz OGHQPQXPQOTVFH-CQSZACIVSA-N -1 1 301.321 1.713 20 0 DDADMM CSc1ccsc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000294230186 418583354 /nfs/dbraw/zinc/58/33/54/418583354.db2.gz MIGJVKOIBHIKNU-QMMMGPOBSA-N -1 1 324.431 1.901 20 0 DDADMM CCCc1cc(=O)[n-]c(SC[C@@H](C)CS(C)(=O)=O)n1 ZINC000194967455 222186545 /nfs/dbraw/zinc/18/65/45/222186545.db2.gz DXWPMTXYVJWLOQ-SECBINFHSA-N -1 1 304.437 1.908 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCc2cc[nH]c(=O)c2C1 ZINC000368131068 418694681 /nfs/dbraw/zinc/69/46/81/418694681.db2.gz JBFHQZFJAIKMER-UHFFFAOYSA-N -1 1 306.268 1.970 20 0 DDADMM CCO[C@H](C)c1noc(CSc2nc(C(=O)OC)c[n-]2)n1 ZINC000361995114 418735791 /nfs/dbraw/zinc/73/57/91/418735791.db2.gz CTGHZTBRUQFZKA-SSDOTTSWSA-N -1 1 312.351 1.969 20 0 DDADMM CC1(C)CCCC[C@H]1CC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370976215 418754170 /nfs/dbraw/zinc/75/41/70/418754170.db2.gz MDEGRNXFDFRXBE-RYUDHWBXSA-N -1 1 307.398 1.706 20 0 DDADMM CC(C)n1nc2c(cc1=O)CN(C(=O)c1cncc([O-])c1)CC2 ZINC000371190531 418766882 /nfs/dbraw/zinc/76/68/82/418766882.db2.gz MZCRXUNLKCBBSE-UHFFFAOYSA-N -1 1 314.345 1.123 20 0 DDADMM C[C@H]1N(C(=O)C(=O)c2ccc([O-])cc2)CCC12CCOCC2 ZINC000408409784 418801454 /nfs/dbraw/zinc/80/14/54/418801454.db2.gz DFIZCTHGJJIUSR-GFCCVEGCSA-N -1 1 303.358 1.993 20 0 DDADMM O=C(C/C=C/c1ccccc1F)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000371867799 418821955 /nfs/dbraw/zinc/82/19/55/418821955.db2.gz JZHLXFIBZPHBMR-LQPUYASZSA-N -1 1 317.324 1.342 20 0 DDADMM O=C(/C=C/SCc1ccco1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000371907874 418824078 /nfs/dbraw/zinc/82/40/78/418824078.db2.gz VSQOKEGKTKVUQH-CGAJTASUSA-N -1 1 321.362 1.140 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCOC[C@H](C)C3)cnc2n1 ZINC000372218647 418846440 /nfs/dbraw/zinc/84/64/40/418846440.db2.gz QCSYPTPOYFUNJY-SNVBAGLBSA-N -1 1 301.346 1.752 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CCOC[C@H](C)C1)c2=O ZINC000372218647 418846442 /nfs/dbraw/zinc/84/64/42/418846442.db2.gz QCSYPTPOYFUNJY-SNVBAGLBSA-N -1 1 301.346 1.752 20 0 DDADMM O=C(CCc1cccc(OC(F)F)c1)NC1(c2nn[n-]n2)CC1 ZINC000424800459 228318978 /nfs/dbraw/zinc/31/89/78/228318978.db2.gz KPGKXTNQPQZSAV-UHFFFAOYSA-N -1 1 323.303 1.539 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2CCC(=O)N(C)C2)c(F)c1 ZINC000425193126 228386667 /nfs/dbraw/zinc/38/66/67/228386667.db2.gz FWCDAHHUEHRAPP-VIFPVBQESA-N -1 1 318.345 1.172 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H](CO)C2CC2)c(F)c1 ZINC000425235263 228396539 /nfs/dbraw/zinc/39/65/39/228396539.db2.gz MUOPDFQGWKFTGZ-SNVBAGLBSA-N -1 1 305.346 1.570 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1nc2cccnc2n1CC)OC ZINC000421252796 419538379 /nfs/dbraw/zinc/53/83/79/419538379.db2.gz VEDAVSQMQYGWRV-JTQLQIEISA-N -1 1 312.395 1.618 20 0 DDADMM COCCCOc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000426922661 419568016 /nfs/dbraw/zinc/56/80/16/419568016.db2.gz DIUGICMRHSDNNX-UHFFFAOYSA-N -1 1 319.365 1.280 20 0 DDADMM CCc1nn(C)cc1[N-]S(=O)(=O)C[C@@H](CC(C)C)OC ZINC000421162154 419511728 /nfs/dbraw/zinc/51/17/28/419511728.db2.gz YUJYNNMCIVRTSN-LLVKDONJSA-N -1 1 303.428 1.785 20 0 DDADMM CO[C@H](C)c1noc(CN(C)C(=O)c2ncc(C)cc2[O-])n1 ZINC000427237232 419627069 /nfs/dbraw/zinc/62/70/69/419627069.db2.gz COYHMWWPENBZLW-SECBINFHSA-N -1 1 306.322 1.458 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CC(F)F)c1ccc(Cl)cc1F ZINC000428370454 419854858 /nfs/dbraw/zinc/85/48/58/419854858.db2.gz QQHONSJGKGWMGH-SSDOTTSWSA-N -1 1 317.716 1.774 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn(C)nc2C(C)(C)C)n1 ZINC000415645723 420133590 /nfs/dbraw/zinc/13/35/90/420133590.db2.gz IRYRIKAQLNYJQP-UHFFFAOYSA-N -1 1 319.365 1.870 20 0 DDADMM CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)[C@@H]1CCCC[C@H]1C ZINC000416143307 420256282 /nfs/dbraw/zinc/25/62/82/420256282.db2.gz FXXCXPRIZJVCBS-NXEZZACHSA-N -1 1 309.366 1.937 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)NC(=O)NCC(C)C)n1 ZINC000436197861 420313697 /nfs/dbraw/zinc/31/36/97/420313697.db2.gz MILUQMBCXBXOIW-UHFFFAOYSA-N -1 1 312.395 1.319 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCc2cnccn2)c1 ZINC000436510819 420334413 /nfs/dbraw/zinc/33/44/13/420334413.db2.gz UUPCSBYJDFWUBH-UHFFFAOYSA-N -1 1 301.302 1.540 20 0 DDADMM NS(=O)(=O)[C@@H]1CCN(C(=O)c2cc3ccccc3cc2[O-])C1 ZINC000436553575 420338880 /nfs/dbraw/zinc/33/88/80/420338880.db2.gz HPTYAFILWONTGK-GFCCVEGCSA-N -1 1 320.370 1.048 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCSC[C@@H]1CCO ZINC000436594538 420344749 /nfs/dbraw/zinc/34/47/49/420344749.db2.gz JFIUSOLBPFINFK-JTQLQIEISA-N -1 1 301.795 1.986 20 0 DDADMM COC(=O)c1cnc(C(=O)Nc2ccc([O-])c(Cl)c2)cn1 ZINC000436630463 420345408 /nfs/dbraw/zinc/34/54/08/420345408.db2.gz NNVYCAYYLFSVIQ-UHFFFAOYSA-N -1 1 307.693 1.875 20 0 DDADMM COCCN(Cc1cncs1)C(=O)C(=O)c1ccc([O-])cc1 ZINC000436633030 420345745 /nfs/dbraw/zinc/34/57/45/420345745.db2.gz YEFBMSQVLSOLDR-UHFFFAOYSA-N -1 1 320.370 1.707 20 0 DDADMM O=C(Nc1cnn(-c2ncccn2)c1)C(=O)c1ccc([O-])cc1 ZINC000436695293 420355377 /nfs/dbraw/zinc/35/53/77/420355377.db2.gz DBTCXBWFWPEIOG-UHFFFAOYSA-N -1 1 309.285 1.189 20 0 DDADMM O=C(NCCc1cn2c(n1)CCCC2)C(=O)c1ccc([O-])cc1 ZINC000436896769 420381581 /nfs/dbraw/zinc/38/15/81/420381581.db2.gz UGXPDEPZNULLGO-UHFFFAOYSA-N -1 1 313.357 1.467 20 0 DDADMM CN(C[C@H](O)Cc1ccccc1)C(=O)C(=O)c1ccc([O-])cc1 ZINC000437007330 420390796 /nfs/dbraw/zinc/39/07/96/420390796.db2.gz UPALFLOWXFQRTP-MRXNPFEDSA-N -1 1 313.353 1.637 20 0 DDADMM O=C(N[C@H]1CCO[C@@H]1c1cccnc1)C(=O)c1ccc([O-])cc1 ZINC000437005215 420391610 /nfs/dbraw/zinc/39/16/10/420391610.db2.gz VRBHYRUQBYCHFZ-GOEBONIOSA-N -1 1 312.325 1.616 20 0 DDADMM O=C(NC[C@@H]1COCCO1)c1ccc(C(F)(F)F)cc1[O-] ZINC000436779228 420365070 /nfs/dbraw/zinc/36/50/70/420365070.db2.gz VYVNWENDARQQMN-SECBINFHSA-N -1 1 305.252 1.556 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2C[C@@H](OC(C)C)C2)o1 ZINC000416530231 420408541 /nfs/dbraw/zinc/40/85/41/420408541.db2.gz RGZUSHSIZTTWPN-AOOOYVTPSA-N -1 1 317.363 1.301 20 0 DDADMM CCn1cnc(S(=O)(=O)[N-]c2cc(-c3ccc(C)o3)n[nH]2)c1 ZINC000446630483 230286439 /nfs/dbraw/zinc/28/64/39/230286439.db2.gz GDWBMSLWGUJFBN-UHFFFAOYSA-N -1 1 321.362 1.995 20 0 DDADMM CC(C)c1nnc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)o1 ZINC000492384469 420541277 /nfs/dbraw/zinc/54/12/77/420541277.db2.gz OOGOGZVFRWBGNK-BRAIEQGRSA-N -1 1 317.353 1.126 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@@H](OC)C2(C)C)o1 ZINC000440391645 420572351 /nfs/dbraw/zinc/57/23/51/420572351.db2.gz LNGXXOXXPYKVPC-NXEZZACHSA-N -1 1 317.363 1.158 20 0 DDADMM COc1cc(C(=O)NC[C@]2(OC)CCOC2)cc(Cl)c1[O-] ZINC000442802091 420718529 /nfs/dbraw/zinc/71/85/29/420718529.db2.gz QMQXEJZKXIODGT-CQSZACIVSA-N -1 1 315.753 1.590 20 0 DDADMM O=S(=O)([N-][C@H](CO)[C@@H]1CCCO1)c1c(F)cccc1Cl ZINC000447936592 420823310 /nfs/dbraw/zinc/82/33/10/420823310.db2.gz QJYBHTWCJIIIQZ-MNOVXSKESA-N -1 1 323.773 1.297 20 0 DDADMM C[C@H](CO)NC(=O)c1ccc(NC(=O)OC(C)(C)C)cc1[O-] ZINC000494123588 420995581 /nfs/dbraw/zinc/99/55/81/420995581.db2.gz JGDNMLGQNQKMCQ-SECBINFHSA-N -1 1 310.350 1.850 20 0 DDADMM CCN1CCC(NS(=O)(=O)c2cn(CC(C)C)cn2)CC1 ZINC000486987151 420995824 /nfs/dbraw/zinc/99/58/24/420995824.db2.gz NPBDHMVSOZMJTM-UHFFFAOYSA-N -1 1 314.455 1.302 20 0 DDADMM COc1cc(C)cnc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000488371092 421086032 /nfs/dbraw/zinc/08/60/32/421086032.db2.gz XCVUIBACPQKKMW-UHFFFAOYSA-N -1 1 301.228 1.788 20 0 DDADMM CC(C)(NC(=O)c1ncccc1[O-])c1nnc2n1CCCC2 ZINC000456097441 421099766 /nfs/dbraw/zinc/09/97/66/421099766.db2.gz XWTYMZWLZDMCSM-UHFFFAOYSA-N -1 1 301.350 1.380 20 0 DDADMM C[C@H]1CCC[C@]1(C)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000450137322 421150816 /nfs/dbraw/zinc/15/08/16/421150816.db2.gz QRUAKPBQYRBXGR-HZMBPMFUSA-N -1 1 309.439 1.426 20 0 DDADMM C[C@H]1[C@@H](C(=O)[N-]OC(C)(C)CO)CCN1C(=O)OC(C)(C)C ZINC000496694460 421300476 /nfs/dbraw/zinc/30/04/76/421300476.db2.gz YNEYLHZGLMZKOQ-QWRGUYRKSA-N -1 1 316.398 1.451 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1cnc2ccccc2c1)c1nn[n-]n1 ZINC000525932074 421314500 /nfs/dbraw/zinc/31/45/00/421314500.db2.gz OUGFCOHATFJNKK-GFCCVEGCSA-N -1 1 324.388 1.943 20 0 DDADMM O=C(N[C@H]1CCCCc2ccccc21)c1cc(=O)n2[n-]cnc2n1 ZINC000546085818 421285529 /nfs/dbraw/zinc/28/55/29/421285529.db2.gz LYMPGGSIVALAEA-ZDUSSCGKSA-N -1 1 323.356 1.615 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@](C)(C(=O)[N-]OCCCO)C1 ZINC000496641628 421289592 /nfs/dbraw/zinc/28/95/92/421289592.db2.gz IPYHBSCPOQCYSS-HNNXBMFYSA-N -1 1 316.398 1.454 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccnc(Oc2ccccc2)c1 ZINC000562307161 421349781 /nfs/dbraw/zinc/34/97/81/421349781.db2.gz SVENCAKVLVANAH-UHFFFAOYSA-N -1 1 322.328 1.806 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(Br)c[nH]1)c1nn[n-]n1 ZINC000547811331 421370582 /nfs/dbraw/zinc/37/05/82/421370582.db2.gz WYXOREILLBQVHU-ZCFIWIBFSA-N -1 1 313.159 1.166 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2c(c1)N(C)CC2)c1nn[n-]n1 ZINC000548453240 421440442 /nfs/dbraw/zinc/44/04/42/421440442.db2.gz VCDQKYMOECFNRP-GFCCVEGCSA-N -1 1 300.366 1.463 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N2CCNC[C@@H]2C(C)C)s[n-]1 ZINC000528689610 421508196 /nfs/dbraw/zinc/50/81/96/421508196.db2.gz FBKFOZFFYOMLKI-NXEZZACHSA-N -1 1 313.427 1.129 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCc1c(C)cccc1C ZINC000564348656 421582695 /nfs/dbraw/zinc/58/26/95/421582695.db2.gz LSJNGUDFRZLNDN-UHFFFAOYSA-N -1 1 309.391 1.533 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1c(C)cccc1C ZINC000564348656 421582698 /nfs/dbraw/zinc/58/26/98/421582698.db2.gz LSJNGUDFRZLNDN-UHFFFAOYSA-N -1 1 309.391 1.533 20 0 DDADMM COC(=O)c1c(C)[nH]c(C(=O)[C@H](C)N(C)C[C@@H](C)C(=O)[O-])c1C ZINC000563716741 421538215 /nfs/dbraw/zinc/53/82/15/421538215.db2.gz NHYXQATXTPZCND-KCJUWKMLSA-N -1 1 324.377 1.642 20 0 DDADMM CC(C)Nc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cn1 ZINC000570309661 421638460 /nfs/dbraw/zinc/63/84/60/421638460.db2.gz LDAVLFPKJZCSOP-LBPRGKRZSA-N -1 1 315.381 1.435 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H](NC(C)=O)C(C)C)c1 ZINC000554581145 421652587 /nfs/dbraw/zinc/65/25/87/421652587.db2.gz WVVVNBPEKSEKGZ-CYBMUJFWSA-N -1 1 308.334 1.278 20 0 DDADMM Cc1ccsc1CCNS(=O)(=O)c1c(C)o[n-]c1=N ZINC000518441620 421653440 /nfs/dbraw/zinc/65/34/40/421653440.db2.gz MLMSXJGHAKZBEH-UHFFFAOYSA-N -1 1 301.393 1.287 20 0 DDADMM Cc1ccsc1CC[N-]S(=O)(=O)c1c(C)onc1N ZINC000518441620 421653442 /nfs/dbraw/zinc/65/34/42/421653442.db2.gz MLMSXJGHAKZBEH-UHFFFAOYSA-N -1 1 301.393 1.287 20 0 DDADMM CC1=CCN(CCNC(=O)N2CC[C@@H](C)[C@H](C(=O)[O-])C2)CC1 ZINC000570679989 421661586 /nfs/dbraw/zinc/66/15/86/421661586.db2.gz FJFVIWIITSJOMI-ZIAGYGMSSA-N -1 1 309.410 1.391 20 0 DDADMM O=C([C@H]1CCc2cccc(O)c21)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000519815608 421731487 /nfs/dbraw/zinc/73/14/87/421731487.db2.gz TZOQAXBHJYGPBY-NEPJUHHUSA-N -1 1 313.361 1.341 20 0 DDADMM COC(=O)CN(C(=O)c1cc(F)ccc1[O-])C1CCOCC1 ZINC000537672755 421733338 /nfs/dbraw/zinc/73/33/38/421733338.db2.gz AVFKDCSHJOPXJR-UHFFFAOYSA-N -1 1 311.309 1.326 20 0 DDADMM C[C@@]1(c2ccccc2)CCN(Cc2cn(CC(=O)[O-])nn2)C1 ZINC000571811380 421745357 /nfs/dbraw/zinc/74/53/57/421745357.db2.gz UJICTCYYBRUCQV-MRXNPFEDSA-N -1 1 300.362 1.526 20 0 DDADMM CC(C)c1nn(C)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000540424219 421763574 /nfs/dbraw/zinc/76/35/74/421763574.db2.gz PLSUMSHLRQUBEG-SNVBAGLBSA-N -1 1 303.370 1.076 20 0 DDADMM O=C(c1ccc2cc[nH]c2n1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000572632932 421806742 /nfs/dbraw/zinc/80/67/42/421806742.db2.gz AKAVQCNZGFWSHS-SNVBAGLBSA-N -1 1 313.317 1.671 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCN1CCCC1=O ZINC000572535246 421798428 /nfs/dbraw/zinc/79/84/28/421798428.db2.gz QDGFLEJGMDAEHD-UHFFFAOYSA-N -1 1 320.393 1.140 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cc2ccccc2o1 ZINC000558429221 421805882 /nfs/dbraw/zinc/80/58/82/421805882.db2.gz OKWNBYHVIIXVDA-UHFFFAOYSA-N -1 1 307.331 1.790 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H]2CCC[C@H]3CN(C(C)=O)C[C@H]32)s[n-]1 ZINC000581034152 421888723 /nfs/dbraw/zinc/88/87/23/421888723.db2.gz ZVBCIWXXNNZECS-GWNIPJSYSA-N -1 1 324.450 1.726 20 0 DDADMM Cn1cc([C@@H](NCc2cc(C(=O)[O-])nn2C)C(C)(C)C)cn1 ZINC000635309890 421896514 /nfs/dbraw/zinc/89/65/14/421896514.db2.gz UZWMTQUYIXWJSJ-CYBMUJFWSA-N -1 1 305.382 1.729 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)Nc1ccc(C(=O)OC)cc1)C(=O)[O-] ZINC000580896220 421859467 /nfs/dbraw/zinc/85/94/67/421859467.db2.gz SLZZCWYQGXVYSM-HZMBPMFUSA-N -1 1 322.361 1.501 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@]1(C(=O)[O-])CCc2ccccc21 ZINC000630131590 421907540 /nfs/dbraw/zinc/90/75/40/421907540.db2.gz RGFNTYFLNHUBRO-PIGZYNQJSA-N -1 1 313.357 1.631 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)NC[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000630137849 421912930 /nfs/dbraw/zinc/91/29/30/421912930.db2.gz YFGNUIFGXAGWFI-GXTWGEPZSA-N -1 1 315.373 1.648 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@H](C(=O)[O-])c1ccccc1F ZINC000630147385 421917888 /nfs/dbraw/zinc/91/78/88/421917888.db2.gz URZYPRFSHJNGLS-RNCFNFMXSA-N -1 1 305.309 1.670 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3[nH]ncc32)CC1 ZINC000630221272 421969868 /nfs/dbraw/zinc/96/98/68/421969868.db2.gz WUEMZDAARLKIGM-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)sn1 ZINC000632023323 422028498 /nfs/dbraw/zinc/02/84/98/422028498.db2.gz JXFLOXSJVQBGNS-GDPRMGEGSA-N -1 1 302.421 1.543 20 0 DDADMM CCCCO[C@@H](C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630224113 421977009 /nfs/dbraw/zinc/97/70/09/421977009.db2.gz NXRKDTUGTPIMKA-STQMWFEESA-N -1 1 300.399 1.199 20 0 DDADMM Cn1nnc2c1CC[C@H](NC(=O)Cc1ccc([O-])c(Cl)c1)C2 ZINC000633588051 421983015 /nfs/dbraw/zinc/98/30/15/421983015.db2.gz MVQZLFNYIGDZCD-JTQLQIEISA-N -1 1 320.780 1.390 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCc1ccc2ccccc2n1 ZINC000635447218 421997062 /nfs/dbraw/zinc/99/70/62/421997062.db2.gz YEDJZUUBNLQNDK-UHFFFAOYSA-N -1 1 310.361 1.430 20 0 DDADMM O=S(=O)([N-]CC1(O)CCOCC1)c1ccc(C(F)F)o1 ZINC000632006222 422015507 /nfs/dbraw/zinc/01/55/07/422015507.db2.gz SLFSAKVJMQVPKR-UHFFFAOYSA-N -1 1 311.306 1.037 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@H](CCO)C2)c1 ZINC000632008457 422017431 /nfs/dbraw/zinc/01/74/31/422017431.db2.gz SALSECBROBGTOT-NSHDSACASA-N -1 1 315.391 1.184 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@@H](NC(=O)CCc2nn[n-]n2)C12CCC2 ZINC000633637916 422018908 /nfs/dbraw/zinc/01/89/08/422018908.db2.gz GDAMYNJLWPMDEU-GHMZBOCLSA-N -1 1 307.398 1.375 20 0 DDADMM CCN(C)CCNS(=O)(=O)c1cc(F)c(C)c(C(=O)[O-])c1 ZINC000574403807 422105571 /nfs/dbraw/zinc/10/55/71/422105571.db2.gz GWSXEDMVLKVWDW-UHFFFAOYSA-N -1 1 318.370 1.062 20 0 DDADMM CCOCCC1(C(=O)N=c2[nH][n-]c(C)c2C(=O)NC)CCC1 ZINC000633690702 422052209 /nfs/dbraw/zinc/05/22/09/422052209.db2.gz ADEXLSROIYXXTN-UHFFFAOYSA-N -1 1 308.382 1.035 20 0 DDADMM O=C([O-])COCC[N@H+]1CCC[C@@H]1c1cccc2c1OCCO2 ZINC000582246909 422141756 /nfs/dbraw/zinc/14/17/56/422141756.db2.gz UXYRKHMSTAWPAK-CYBMUJFWSA-N -1 1 307.346 1.696 20 0 DDADMM CC(C)[C@H](CC(F)(F)F)[N-]S(=O)(=O)c1nncn1C ZINC000632259625 422200461 /nfs/dbraw/zinc/20/04/61/422200461.db2.gz PRTWDYWJPRVFML-ZETCQYMHSA-N -1 1 300.306 1.070 20 0 DDADMM O=S(=O)([N-]CCOCC(F)F)c1ccc(C(F)F)o1 ZINC000632265408 422206105 /nfs/dbraw/zinc/20/61/05/422206105.db2.gz VPMFMQVJMILNHU-UHFFFAOYSA-N -1 1 305.249 1.777 20 0 DDADMM CC[C@@]1([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCOC1 ZINC000632271430 422211229 /nfs/dbraw/zinc/21/12/29/422211229.db2.gz SOAIYHWWEIMQPI-SECBINFHSA-N -1 1 313.301 1.276 20 0 DDADMM CC[N@@H+]1C[C@@H](C)N(C(=O)c2csc(=NC3CC3)[nH]2)[C@@H](C)C1 ZINC000574926494 422218518 /nfs/dbraw/zinc/21/85/18/422218518.db2.gz FDKYAHKDKILIMX-PHIMTYICSA-N -1 1 308.451 1.694 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H]1[C@H]1CCCCC1=O ZINC000635662580 422218864 /nfs/dbraw/zinc/21/88/64/422218864.db2.gz UQFSMLXWTCHXJV-NEPJUHHUSA-N -1 1 305.382 1.273 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC[C@H](c2ccc(F)cc2)C1 ZINC000635670388 422224406 /nfs/dbraw/zinc/22/44/06/422224406.db2.gz MEBGDHJFEFTRCA-LBPRGKRZSA-N -1 1 303.341 1.678 20 0 DDADMM CCCCO[C@@H]1C[C@H](NC(=O)CCCc2nn[n-]n2)C1(C)C ZINC000635670825 422225607 /nfs/dbraw/zinc/22/56/07/422225607.db2.gz XGMMBNAAZXPNQK-NWDGAFQWSA-N -1 1 309.414 1.622 20 0 DDADMM C[C@H]1CCCc2nc(S(=O)(=O)[N-]C3(C(F)F)CC3)cn21 ZINC000584042263 422245317 /nfs/dbraw/zinc/24/53/17/422245317.db2.gz PDPNBNMNGMSPBU-QMMMGPOBSA-N -1 1 305.350 1.856 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H]1COCCN1Cc1ncccc1[O-] ZINC000584318259 422295082 /nfs/dbraw/zinc/29/50/82/422295082.db2.gz AQUSZPRPDPTWPF-AWEZNQCLSA-N -1 1 302.378 1.711 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC(C)(C)OCC2CC2)sn1 ZINC000632455558 422360262 /nfs/dbraw/zinc/36/02/62/422360262.db2.gz GZPKYDRBJPNEKO-UHFFFAOYSA-N -1 1 304.437 1.935 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN(CC(F)F)CC1 ZINC000630858833 422379369 /nfs/dbraw/zinc/37/93/69/422379369.db2.gz PYEHJAYYCANEKB-UHFFFAOYSA-N -1 1 318.751 1.997 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCO[C@]2(CCOC2)C1 ZINC000632511573 422401261 /nfs/dbraw/zinc/40/12/61/422401261.db2.gz OBFRGXITNJELOV-OAHLLOKOSA-N -1 1 311.765 1.606 20 0 DDADMM CN(CC1(O)CCOCC1)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632675475 422512797 /nfs/dbraw/zinc/51/27/97/422512797.db2.gz LOKPYYHLYYUGAB-UHFFFAOYSA-N -1 1 313.781 1.588 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCCn2c(cc3ccccc32)C1 ZINC000635932899 422484840 /nfs/dbraw/zinc/48/48/40/422484840.db2.gz DUZKVFHEPUXBLC-UHFFFAOYSA-N -1 1 324.388 1.910 20 0 DDADMM C[C@H](CNC(=O)Cc1ccc([O-])c(Cl)c1)c1nncn1C ZINC000632706214 422531803 /nfs/dbraw/zinc/53/18/03/422531803.db2.gz YVBHKDYMRKPFOW-SECBINFHSA-N -1 1 308.769 1.637 20 0 DDADMM Cc1[nH+]ccn1CCCSc1nc2c(cnn2C)c(=O)[nH]1 ZINC000629217060 422533995 /nfs/dbraw/zinc/53/39/95/422533995.db2.gz UXTBBAZFUACQLC-UHFFFAOYSA-N -1 1 304.379 1.756 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@H](C2CCC2)CC1 ZINC000632714863 422537765 /nfs/dbraw/zinc/53/77/65/422537765.db2.gz KGQHBOQYMCBIRN-LBPRGKRZSA-N -1 1 313.423 1.986 20 0 DDADMM CC(C)(C)c1nc(=NC(=O)NCCN2CC=CCC2)s[n-]1 ZINC000578300509 422540585 /nfs/dbraw/zinc/54/05/85/422540585.db2.gz XNJTZCVSAUMUEI-UHFFFAOYSA-N -1 1 309.439 1.641 20 0 DDADMM Cc1ccc(CNC(=O)Cc2ccc([O-])c(Cl)c2)c(=O)n1C ZINC000632779130 422572436 /nfs/dbraw/zinc/57/24/36/422572436.db2.gz WWXMIVUFWKAYMV-UHFFFAOYSA-N -1 1 320.776 1.912 20 0 DDADMM CCO[C@H](CS(=O)(=O)[N-]c1cnn(CC(F)F)c1)C1CC1 ZINC000629289320 422586912 /nfs/dbraw/zinc/58/69/12/422586912.db2.gz ISESAPQXGOOUKR-LLVKDONJSA-N -1 1 323.365 1.705 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1cnn(CC(F)F)c1)C1CC1 ZINC000629289333 422587070 /nfs/dbraw/zinc/58/70/70/422587070.db2.gz ISESAPQXGOOUKR-NSHDSACASA-N -1 1 323.365 1.705 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H]1CC[C@@H](c2ccc(F)cc2)C1 ZINC000632807394 422594177 /nfs/dbraw/zinc/59/41/77/422594177.db2.gz QVLYOFSEFNBZNA-DGCLKSJQSA-N -1 1 303.341 1.724 20 0 DDADMM COCc1cc(Cl)ccc1NC(=O)CCCc1nn[n-]n1 ZINC000636066488 422611289 /nfs/dbraw/zinc/61/12/89/422611289.db2.gz UTIAJMSRZTWIHK-UHFFFAOYSA-N -1 1 309.757 1.961 20 0 DDADMM CCc1cccc2c(CCNC(=O)CCc3nn[n-]n3)c[nH]c21 ZINC000631228336 422615525 /nfs/dbraw/zinc/61/55/25/422615525.db2.gz TTZNPSSBRJAJHS-UHFFFAOYSA-N -1 1 312.377 1.535 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1ccsc1Br ZINC000632893343 422646446 /nfs/dbraw/zinc/64/64/46/422646446.db2.gz SYLSPGGLASEANH-UHFFFAOYSA-N -1 1 316.184 1.273 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1C[C@H](C(=O)[O-])C[C@H](C)C1 ZINC000629490688 422699166 /nfs/dbraw/zinc/69/91/66/422699166.db2.gz WUCCHTMZYMOCNJ-WDEREUQCSA-N -1 1 324.343 1.830 20 0 DDADMM CCOCCN1CCN(c2ccc(C(=O)[O-])nn2)C[C@H]1CC ZINC000578871053 422664562 /nfs/dbraw/zinc/66/45/62/422664562.db2.gz HFQGBAORZOXPGI-GFCCVEGCSA-N -1 1 308.382 1.112 20 0 DDADMM Cc1cc(F)ccc1C[C@@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000636159306 422685902 /nfs/dbraw/zinc/68/59/02/422685902.db2.gz XTZICGPHHQYZSH-LLVKDONJSA-N -1 1 305.357 1.717 20 0 DDADMM O=C([O-])c1cc(N[C@@H]2CCCC[C@@H]2N2CCOCC2)ccn1 ZINC000650767272 423253812 /nfs/dbraw/zinc/25/38/12/423253812.db2.gz OKOFESLIZUJZPF-HIFRSBDPSA-N -1 1 305.378 1.257 20 0 DDADMM Nc1nc2c(c(N[C@H]3C[C@@H](C(=O)[O-])c4ccccc43)n1)CCCC2 ZINC000650775945 423255694 /nfs/dbraw/zinc/25/56/94/423255694.db2.gz IOHCYJVZOAZACH-HIFRSBDPSA-N -1 1 324.384 1.915 20 0 DDADMM O=C(Nc1ccc(-c2cc(=O)[nH][n-]2)cc1)[C@@]1(O)CCSC1 ZINC000648732387 423433792 /nfs/dbraw/zinc/43/37/92/423433792.db2.gz XDFAAEPSCHQWBQ-CQSZACIVSA-N -1 1 305.359 1.589 20 0 DDADMM CC[C@@H](C)C(=O)N1CSC[C@@H]1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000646412399 423480058 /nfs/dbraw/zinc/48/00/58/423480058.db2.gz GVMVNHSWIHKRKS-VXNVDRBHSA-N -1 1 312.395 1.310 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@H]2C2CCCC2)c1 ZINC000651638031 423626562 /nfs/dbraw/zinc/62/65/62/423626562.db2.gz JFKLODVQMBDJIY-RYUDHWBXSA-N -1 1 324.402 1.804 20 0 DDADMM O=C(NC[C@H](c1ccccc1)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000647070554 423736863 /nfs/dbraw/zinc/73/68/63/423736863.db2.gz BNAZDNCOADNSDD-CYBMUJFWSA-N -1 1 323.356 1.341 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCN(C)C(C)(C)C2)c1 ZINC000641592607 423740298 /nfs/dbraw/zinc/74/02/98/423740298.db2.gz GNSFNADLNYYNOB-UHFFFAOYSA-N -1 1 314.407 1.116 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1c(F)cccc1CO)C(C)C ZINC000647129135 423768286 /nfs/dbraw/zinc/76/82/86/423768286.db2.gz FKKCWXMYZPSUHM-LBPRGKRZSA-N -1 1 305.371 1.731 20 0 DDADMM CO[C@H]1CCCC[C@H]1S(=O)(=O)[N-]c1cccc2[nH]nnc21 ZINC000641689715 423866076 /nfs/dbraw/zinc/86/60/76/423866076.db2.gz RRQQMZYCOPJFBO-NWDGAFQWSA-N -1 1 310.379 1.657 20 0 DDADMM COC[C@H](C)[N-]S(=O)(=O)c1ncccc1Br ZINC000641695787 423876745 /nfs/dbraw/zinc/87/67/45/423876745.db2.gz IBCMHRLQWWQZNW-ZETCQYMHSA-N -1 1 309.185 1.157 20 0 DDADMM CN(C)CCN(CC(=O)[O-])C(=O)[C@@H]1CCC(=O)c2ccccc21 ZINC000647200746 423852912 /nfs/dbraw/zinc/85/29/12/423852912.db2.gz CTLUSYVAWKONNI-CQSZACIVSA-N -1 1 318.373 1.222 20 0 DDADMM COCCn1nc(C)c([N-]S(=O)(=O)C2(COC)CC2)c1C ZINC000641684743 423860359 /nfs/dbraw/zinc/86/03/59/423860359.db2.gz XCGRLUSHCIUVRO-UHFFFAOYSA-N -1 1 317.411 1.067 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cnnn1-c1ccccc1)C(F)F ZINC000641706300 423890211 /nfs/dbraw/zinc/89/02/11/423890211.db2.gz RSQBJCSRGVRJBR-MRVPVSSYSA-N -1 1 302.306 1.199 20 0 DDADMM C[C@H]1C[N@H+](C2CC2)C[C@@H]1NC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC000654887341 424000047 /nfs/dbraw/zinc/00/00/47/424000047.db2.gz FKZWBTQUGCOMEI-ZANVPECISA-N -1 1 302.378 1.272 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644884446 424008734 /nfs/dbraw/zinc/00/87/34/424008734.db2.gz ZHUFXIKOVDKAAL-KWQFWETISA-N -1 1 319.365 1.625 20 0 DDADMM CC(=O)C(C)(C)CCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644883886 424009228 /nfs/dbraw/zinc/00/92/28/424009228.db2.gz GTYAQRRYGHXSBZ-LLVKDONJSA-N -1 1 309.366 1.877 20 0 DDADMM CN(C)c1ncccc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644883973 424011529 /nfs/dbraw/zinc/01/15/29/424011529.db2.gz KLMWNLDOURUCMY-SNVBAGLBSA-N -1 1 317.349 1.256 20 0 DDADMM O=C(CCOCC(F)F)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887234 424017611 /nfs/dbraw/zinc/01/76/11/424017611.db2.gz RVOVINJKVVDGPK-UHFFFAOYSA-N -1 1 305.281 1.153 20 0 DDADMM O=C([O-])c1cnc(NCCCCN2CCOCC2)c(Cl)c1 ZINC000647445427 424023299 /nfs/dbraw/zinc/02/32/99/424023299.db2.gz DFNXIVZJILPGLR-UHFFFAOYSA-N -1 1 313.785 1.958 20 0 DDADMM CCO[C@H]1C[C@H]([N-]S(=O)(=O)c2c(F)cc(OC)cc2F)C1 ZINC000657106252 424115738 /nfs/dbraw/zinc/11/57/38/424115738.db2.gz KAIHNKSFMODJQY-CZMCAQCFSA-N -1 1 321.345 1.819 20 0 DDADMM CO[C@@](C)(CO)CNC(=O)c1ccc(Br)cc1[O-] ZINC000655215241 424301453 /nfs/dbraw/zinc/30/14/53/424301453.db2.gz JPPVEUJUHDKWIM-GFCCVEGCSA-N -1 1 318.167 1.282 20 0 DDADMM CO[C@H](CNC(=O)N=c1[n-]sc2ccccc21)[C@H]1CCOC1 ZINC000640338245 424358783 /nfs/dbraw/zinc/35/87/83/424358783.db2.gz UKHBTCYFCFVFKY-CMPLNLGQSA-N -1 1 321.402 1.891 20 0 DDADMM C[C@@H](O)CN(C(=O)N=c1[n-]sc2ccccc21)[C@H](C)CO ZINC000640338738 424360702 /nfs/dbraw/zinc/36/07/02/424360702.db2.gz GPBKMKACURSCBD-NXEZZACHSA-N -1 1 309.391 1.314 20 0 DDADMM NC(=O)[C@@H]1CC[C@H](NC(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640337843 424360862 /nfs/dbraw/zinc/36/08/62/424360862.db2.gz LRFYIWZTBKKRBX-BDAKNGLRSA-N -1 1 304.375 1.494 20 0 DDADMM Cc1ccccc1C(F)(F)C[N-]S(=O)(=O)c1c[nH]cn1 ZINC000660057390 424490810 /nfs/dbraw/zinc/49/08/10/424490810.db2.gz VJVQOTXSEGTLJQ-UHFFFAOYSA-N -1 1 301.318 1.788 20 0 DDADMM CCOc1ccc(CN(C)[C@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662199833 424465595 /nfs/dbraw/zinc/46/55/95/424465595.db2.gz DVOGRARUYKIPGW-AWEZNQCLSA-N -1 1 306.362 1.203 20 0 DDADMM Cc1cccnc1[C@H](N[C@@H]1CCN(CC(=O)[O-])C1=O)C(C)C ZINC000662204535 424469333 /nfs/dbraw/zinc/46/93/33/424469333.db2.gz HYDBOJNBAXUCBS-TZMCWYRMSA-N -1 1 305.378 1.362 20 0 DDADMM C[C@H](CNC(=O)N1CC(N(C)Cc2ccccc2)C1)C(=O)[O-] ZINC000655880516 424690244 /nfs/dbraw/zinc/69/02/44/424690244.db2.gz AXPMRMPNEUBPSU-GFCCVEGCSA-N -1 1 305.378 1.233 20 0 DDADMM O=C(CNC(=O)c1ccco1)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000342265636 271359844 /nfs/dbraw/zinc/35/98/44/271359844.db2.gz RXXFUZGGRJPMGW-UHFFFAOYSA-N -1 1 316.342 1.522 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)c2ccon2)o1 ZINC000342358361 271388226 /nfs/dbraw/zinc/38/82/26/271388226.db2.gz JFEXNYLSTQKIRE-MRVPVSSYSA-N -1 1 314.319 1.484 20 0 DDADMM CSc1n[nH]c(NC(=O)[C@@H]2CN(c3ccccn3)C[C@@H]2C)n1 ZINC000344641413 272077095 /nfs/dbraw/zinc/07/70/95/272077095.db2.gz SAXYEJGEMKFAKO-VHSXEESVSA-N -1 1 318.406 1.633 20 0 DDADMM Cn1ccc(S(=O)(=O)[N-]c2ccccc2-c2nnc[nH]2)n1 ZINC000345524090 272272816 /nfs/dbraw/zinc/27/28/16/272272816.db2.gz WZRDTBFEEZBEHE-UHFFFAOYSA-N -1 1 304.335 1.006 20 0 DDADMM CC(C)(C)[C@@H]1NC(=O)CC[C@H]1NC(=O)c1ccc([O-])cc1F ZINC000286598262 277246335 /nfs/dbraw/zinc/24/63/35/277246335.db2.gz OBDCNYSDHKTKOQ-TZMCWYRMSA-N -1 1 308.353 1.954 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCc2ccccc2C1 ZINC000416128487 277791815 /nfs/dbraw/zinc/79/18/15/277791815.db2.gz USJCTXTVJLFMEH-NSHDSACASA-N -1 1 315.329 1.184 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Br)cc2[O-])CC[C@H]1O ZINC000159253991 288026709 /nfs/dbraw/zinc/02/67/09/288026709.db2.gz BRFGGRGYTJQQSB-LDYMZIIASA-N -1 1 314.179 1.998 20 0 DDADMM O=c1nc(C2CCN(c3ncnc4cccc(F)c43)CC2)[nH][n-]1 ZINC000285656109 280396076 /nfs/dbraw/zinc/39/60/76/280396076.db2.gz OOOLGBCGMPKNKP-UHFFFAOYSA-N -1 1 314.324 1.564 20 0 DDADMM Cc1nc2c(c(N3CCC[C@@H](c4nc(=O)[n-][nH]4)C3)n1)CCCC2 ZINC000286420803 282010003 /nfs/dbraw/zinc/01/00/03/282010003.db2.gz KZONQDHDQOCEFP-LLVKDONJSA-N -1 1 314.393 1.459 20 0 DDADMM COCCc1nc(=N[C@@H](C)CC(=O)OC(C)(C)C)s[n-]1 ZINC000444824769 292732001 /nfs/dbraw/zinc/73/20/01/292732001.db2.gz BRRBDIPJAUDVGS-VIFPVBQESA-N -1 1 301.412 1.681 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@@H]1[C@H]1CCC[C@H]1O ZINC000286548524 304527268 /nfs/dbraw/zinc/52/72/68/304527268.db2.gz ZBKFRYSSEXGSQT-BPLDGKMQSA-N -1 1 309.337 1.533 20 0 DDADMM CCC[C@@H](NC(=O)COc1ccsc1Cl)c1nn[n-]n1 ZINC000354010276 298338611 /nfs/dbraw/zinc/33/86/11/298338611.db2.gz MONRKFLLPRKQCX-SSDOTTSWSA-N -1 1 315.786 1.951 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H](C2CC2)C1 ZINC000356445750 298792673 /nfs/dbraw/zinc/79/26/73/298792673.db2.gz PDPMCXHLCFJNAS-LBPRGKRZSA-N -1 1 303.362 1.166 20 0 DDADMM COc1cc(NC(=O)[C@H](O)C(C)C)ccc1[N-]S(C)(=O)=O ZINC000362498306 300079284 /nfs/dbraw/zinc/07/92/84/300079284.db2.gz LNLVYRSVLOPRMV-GFCCVEGCSA-N -1 1 316.379 1.022 20 0 DDADMM CCC1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCOCC1 ZINC000362568871 300098806 /nfs/dbraw/zinc/09/88/06/300098806.db2.gz UOLLFECHJFLUJK-UHFFFAOYSA-N -1 1 315.373 1.860 20 0 DDADMM Cc1nc(C(F)(F)F)sc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000362816980 300148618 /nfs/dbraw/zinc/14/86/18/300148618.db2.gz HGKYWBLVIGZLKH-UHFFFAOYSA-N -1 1 320.300 1.649 20 0 DDADMM CCCCC[C@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)C(C)C ZINC000370329349 301317685 /nfs/dbraw/zinc/31/76/85/301317685.db2.gz CRNMRNJKPWAFKB-STQMWFEESA-N -1 1 309.414 1.952 20 0 DDADMM CN(C)c1ncn(-c2cccc(NC(=O)c3cncc([O-])c3)c2)n1 ZINC000354874837 306789777 /nfs/dbraw/zinc/78/97/77/306789777.db2.gz HQSZJUIXZZJCLL-UHFFFAOYSA-N -1 1 324.344 1.686 20 0 DDADMM COc1ccc(C(=O)Nc2nn(-c3cccnc3)cc2C)c([O-])c1 ZINC000376854720 302113600 /nfs/dbraw/zinc/11/36/00/302113600.db2.gz CACLTQBNZIOLFT-UHFFFAOYSA-N -1 1 324.340 1.964 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@H]2CCSC2)c1Br ZINC000355527719 306807473 /nfs/dbraw/zinc/80/74/73/306807473.db2.gz GYVPVYOZJJUVHR-LURJTMIESA-N -1 1 304.213 1.848 20 0 DDADMM Cc1n[nH]cc1-c1nnc([N-]C(=O)c2cnc(Cl)cn2)s1 ZINC000377360078 302172059 /nfs/dbraw/zinc/17/20/59/302172059.db2.gz WUBDZWPTCBRSIU-UHFFFAOYSA-N -1 1 321.753 1.932 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1C[C@@H](C)C[C@H]1c1cccnc1 ZINC000377863689 302244027 /nfs/dbraw/zinc/24/40/27/302244027.db2.gz ITDMKGYRCUATET-CABZTGNLSA-N -1 1 322.390 1.562 20 0 DDADMM O=C([N-][C@@H]1CCc2nnc(Cc3ccccc3)n2C1)C(F)(F)F ZINC000378542308 302333204 /nfs/dbraw/zinc/33/32/04/302333204.db2.gz NVSITBUVCSOHGX-LLVKDONJSA-N -1 1 324.306 1.862 20 0 DDADMM O=C1Nc2ccccc2C[C@H]1[N-]S(=O)(=O)CCC(F)(F)F ZINC000528541854 303041831 /nfs/dbraw/zinc/04/18/31/303041831.db2.gz JHFRSKFNSFBOGS-SNVBAGLBSA-N -1 1 322.308 1.422 20 0 DDADMM CC(C)(NC(=O)c1cc(-c2cccc(F)c2)no1)c1nn[n-]n1 ZINC000530859534 303217241 /nfs/dbraw/zinc/21/72/41/303217241.db2.gz HPBKYKWOGCBEMS-UHFFFAOYSA-N -1 1 316.296 1.659 20 0 DDADMM O=S(=O)([N-]CCNc1cnccn1)c1cc2ccccc2o1 ZINC000531008767 303224736 /nfs/dbraw/zinc/22/47/36/303224736.db2.gz UWFXGQQIDHHPNT-UHFFFAOYSA-N -1 1 318.358 1.035 20 0 DDADMM C[C@@H]1CCCC[C@@H]1CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000531817849 303259015 /nfs/dbraw/zinc/25/90/15/303259015.db2.gz RIDRJXUGHAHAON-VXGBXAGGSA-N -1 1 323.466 1.816 20 0 DDADMM O=C(CNC1(C(=O)[O-])CCCC1)NCc1ccc2c(c1)OCO2 ZINC000532881144 303300539 /nfs/dbraw/zinc/30/05/39/303300539.db2.gz JBLPCBOMPJFBJT-UHFFFAOYSA-N -1 1 320.345 1.019 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@]2(C)CCO[C@@H]2C2CC2)[n-]n1 ZINC000545927912 303505186 /nfs/dbraw/zinc/50/51/86/303505186.db2.gz LJMAPNOJRSAETJ-ZBFHGGJFSA-N -1 1 304.394 1.265 20 0 DDADMM O=C([O-])c1cc(F)cc(NS(=O)(=O)c2c[nH]cn2)c1F ZINC000547888297 303558269 /nfs/dbraw/zinc/55/82/69/303558269.db2.gz HIFQYPZUWNYHTI-UHFFFAOYSA-N -1 1 303.246 1.187 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)no1 ZINC000367107569 307086064 /nfs/dbraw/zinc/08/60/64/307086064.db2.gz ANBZZJNOQBLWTK-SECBINFHSA-N -1 1 304.354 1.505 20 0 DDADMM CCc1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)oc1CC ZINC000367108877 307086263 /nfs/dbraw/zinc/08/62/63/307086263.db2.gz GWODLBCFECVZDT-LLVKDONJSA-N -1 1 303.366 1.937 20 0 DDADMM CS(=O)(=O)Nc1c[nH]nc1[C@H]1CCC[N@@H+](CCCCF)C1 ZINC000367150416 307087241 /nfs/dbraw/zinc/08/72/41/307087241.db2.gz FJNZJPGTQDNXFD-NSHDSACASA-N -1 1 318.418 1.710 20 0 DDADMM Cc1ccsc1[C@@H]1C[C@H]1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370815543 307136869 /nfs/dbraw/zinc/13/68/69/307136869.db2.gz YOBQVICFTMQPJE-GMTAPVOTSA-N -1 1 319.390 1.273 20 0 DDADMM O=C(COc1cccc(Cl)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000371019325 307140987 /nfs/dbraw/zinc/14/09/87/307140987.db2.gz RDVNPXORKJPXIH-JTQLQIEISA-N -1 1 321.768 1.638 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2CCC[C@H](c3nc[nH]n3)C2)s1 ZINC000371161164 307143729 /nfs/dbraw/zinc/14/37/29/307143729.db2.gz TZFILJBNHYLSKX-VIFPVBQESA-N -1 1 321.410 1.442 20 0 DDADMM Cc1nc([C@@H](NC(=O)CCCCC(=O)[O-])c2ccccc2)n[nH]1 ZINC000377583713 307273597 /nfs/dbraw/zinc/27/35/97/307273597.db2.gz HGQJRBULYUWHGV-HNNXBMFYSA-N -1 1 316.361 1.964 20 0 DDADMM O=C(c1cc2c(s1)CCCC2)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000377601776 307274533 /nfs/dbraw/zinc/27/45/33/307274533.db2.gz PXFNUYSIYDZJOU-JTQLQIEISA-N -1 1 319.390 1.354 20 0 DDADMM CC(C)NC(=O)OC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000495829909 307320002 /nfs/dbraw/zinc/32/00/02/307320002.db2.gz ASLDIOOKMBNBQB-GFCCVEGCSA-N -1 1 321.377 1.917 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCC[C@@H](F)C1 ZINC000517032300 307429076 /nfs/dbraw/zinc/42/90/76/307429076.db2.gz TUBWJTQPODWZDJ-VXGBXAGGSA-N -1 1 320.368 1.991 20 0 DDADMM COC[C@@]1(NC(=O)c2ncccc2[O-])COc2ccccc2C1 ZINC000529962192 307577304 /nfs/dbraw/zinc/57/73/04/307577304.db2.gz XLCZSRILQNBAIW-QGZVFWFLSA-N -1 1 314.341 1.537 20 0 DDADMM O=C(NC1CCN(C(=O)C2CC2)CC1)c1c([O-])cccc1F ZINC000547790159 307746540 /nfs/dbraw/zinc/74/65/40/307746540.db2.gz CJEPFPKKRQHYTQ-UHFFFAOYSA-N -1 1 306.337 1.662 20 0 DDADMM COc1cc(=NS(=O)(=O)c2c[nH]c3cccc(F)c32)[n-]n1C ZINC000548150014 307759316 /nfs/dbraw/zinc/75/93/16/307759316.db2.gz PTOIUVWRFGWVIN-UHFFFAOYSA-N -1 1 324.337 1.272 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@@H](Nc2ncccn2)C1 ZINC000563188905 307959432 /nfs/dbraw/zinc/95/94/32/307959432.db2.gz RUGRGCWVROPUSR-SNVBAGLBSA-N -1 1 302.309 1.648 20 0 DDADMM CCCc1ncc(C[N-]S(=O)(=O)c2cnc(C)s2)o1 ZINC000564167553 307992407 /nfs/dbraw/zinc/99/24/07/307992407.db2.gz BSYNTUXKHOINND-UHFFFAOYSA-N -1 1 301.393 1.871 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1n[nH]cc1Br)C1CC1 ZINC000565055309 308018191 /nfs/dbraw/zinc/01/81/91/308018191.db2.gz IOOSPHQISXKJIT-QMMMGPOBSA-N -1 1 324.200 1.339 20 0 DDADMM O=C([O-])CCN(Cc1cccc(F)c1F)C[C@@H]1COCCO1 ZINC000565100859 308020293 /nfs/dbraw/zinc/02/02/93/308020293.db2.gz GHNYQSOPNOTLIV-GFCCVEGCSA-N -1 1 315.316 1.657 20 0 DDADMM Nc1nccc(C(=O)[N-]c2nnc(C3CC3)s2)c1[N+](=O)[O-] ZINC000568193345 308111302 /nfs/dbraw/zinc/11/13/02/308111302.db2.gz GNJGCJAQFMULCL-UHFFFAOYSA-N -1 1 306.307 1.384 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCC[N@@H+]([C@H](C)c2nc(C)cc(=O)[n-]2)C1 ZINC000570639180 308174784 /nfs/dbraw/zinc/17/47/84/308174784.db2.gz WCNZMAREONWBBS-IAQYHMDHSA-N -1 1 309.366 1.365 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCC[N@H+]([C@H](C)c2nc(C)cc(=O)[n-]2)C1 ZINC000570639180 308174786 /nfs/dbraw/zinc/17/47/86/308174786.db2.gz WCNZMAREONWBBS-IAQYHMDHSA-N -1 1 309.366 1.365 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(-c2ncon2)cc1)C1CC1 ZINC000573206729 308233895 /nfs/dbraw/zinc/23/38/95/308233895.db2.gz LIVYIVMFCAXROG-CYBMUJFWSA-N -1 1 323.374 1.903 20 0 DDADMM CC(C)Cn1nccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000573373898 308238633 /nfs/dbraw/zinc/23/86/33/308238633.db2.gz NVZOFPLKTOGCCT-LLVKDONJSA-N -1 1 303.370 1.072 20 0 DDADMM C[C@@H]1CN(Cc2cn(-c3ccccc3)nn2)C[C@]1(C)C(=O)[O-] ZINC000573927346 308256667 /nfs/dbraw/zinc/25/66/67/308256667.db2.gz KYBOXICCNZZCSJ-WBMJQRKESA-N -1 1 300.362 1.810 20 0 DDADMM O=C(COc1cc(F)cc(F)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000577312915 308378569 /nfs/dbraw/zinc/37/85/69/308378569.db2.gz UQMYWZDSPHGZJQ-SECBINFHSA-N -1 1 323.303 1.263 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC[C@@H](CO)[C@@H](O)C1 ZINC000192665312 325702067 /nfs/dbraw/zinc/70/20/67/325702067.db2.gz LUMJYZWMHOTAMR-UFBFGSQYSA-N -1 1 319.279 1.226 20 0 DDADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-]c1cncc2ccccc21 ZINC000584365376 337102189 /nfs/dbraw/zinc/10/21/89/337102189.db2.gz RNMZSNPXJPNLIO-JTQLQIEISA-N -1 1 307.375 1.453 20 0 DDADMM Cc1ccc([C@H](CNC(=O)NC[C@@H](C)C(=O)[O-])N2CCCC2)o1 ZINC000655867809 483972066 /nfs/dbraw/zinc/97/20/66/483972066.db2.gz ZYCHBTNGLYMAIE-YPMHNXCESA-N -1 1 323.393 1.745 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@@H]1NS(=O)(=O)c1c(F)cc(F)cc1F ZINC000085325861 484003446 /nfs/dbraw/zinc/00/34/46/484003446.db2.gz VUWUHYVIEZQECU-XCBNKYQSSA-N -1 1 323.292 1.636 20 0 DDADMM COc1cccc([C@H](N)C(=O)NC[C@H](CC(=O)[O-])CC(C)C)c1 ZINC000656432188 484127341 /nfs/dbraw/zinc/12/73/41/484127341.db2.gz MXYAKVDWBHHYBX-LRDDRELGSA-N -1 1 322.405 1.948 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](C)c1ccc(Cl)cn1 ZINC000436986204 484133365 /nfs/dbraw/zinc/13/33/65/484133365.db2.gz GLEPDONKDUNULK-ZCFIWIBFSA-N -1 1 316.770 1.483 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)c1ccc(Cl)cn1 ZINC000436986204 484133369 /nfs/dbraw/zinc/13/33/69/484133369.db2.gz GLEPDONKDUNULK-ZCFIWIBFSA-N -1 1 316.770 1.483 20 0 DDADMM CC1(C(=O)[O-])CCN(CC(=O)NCCCc2ccccc2)CC1 ZINC000320587701 484403843 /nfs/dbraw/zinc/40/38/43/484403843.db2.gz UWEUSVRUJYNLSZ-UHFFFAOYSA-N -1 1 318.417 1.922 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@H](C(=O)[O-])C(C)(C)C)C2)n[nH]1 ZINC000663039254 484618256 /nfs/dbraw/zinc/61/82/56/484618256.db2.gz CERIFFXEZLGRGM-GHMZBOCLSA-N -1 1 308.382 1.566 20 0 DDADMM Cc1cc(C(=O)N2C[C@H](C(=O)[O-])C[C@H](C(F)(F)F)C2)n[nH]1 ZINC000659167842 484667215 /nfs/dbraw/zinc/66/72/15/484667215.db2.gz BWXPAKIZFYOENM-SFYZADRCSA-N -1 1 305.256 1.443 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)[C@@H](C(=O)[O-])C(C)(C)C)C[C@H](C)O1 ZINC000663110466 484675716 /nfs/dbraw/zinc/67/57/16/484675716.db2.gz SDRYJYROEGZSST-XQQFMLRXSA-N -1 1 314.426 1.349 20 0 DDADMM O=C([O-])C[C@@H](CNC(=O)c1cc(F)cc2nc[nH]c21)C1CC1 ZINC000659208516 484686899 /nfs/dbraw/zinc/68/68/99/484686899.db2.gz GWYLVSVFWAGCBA-VIFPVBQESA-N -1 1 305.309 1.933 20 0 DDADMM CCN1CC[C@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C1=O ZINC000669984997 484705954 /nfs/dbraw/zinc/70/59/54/484705954.db2.gz WFAVMIWSPLVTAF-JTQLQIEISA-N -1 1 320.773 1.378 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2cnc(C)o2)c(F)c1 ZINC000668258896 485060759 /nfs/dbraw/zinc/06/07/59/485060759.db2.gz HWCJOEYQDUKCAK-UHFFFAOYSA-N -1 1 318.301 1.748 20 0 DDADMM C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)c1ccc([O-])c(F)c1 ZINC000672210152 485259036 /nfs/dbraw/zinc/25/90/36/485259036.db2.gz JADBYDXPMZUMIP-DGCLKSJQSA-N -1 1 308.353 1.467 20 0 DDADMM CCc1c[nH]c(=O)c(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC000667014245 485395169 /nfs/dbraw/zinc/39/51/69/485395169.db2.gz RFQLQAUZJDWKOU-UHFFFAOYSA-N -1 1 301.228 1.739 20 0 DDADMM NC(=O)Cc1ccc(CNC(=O)c2c(F)ccc([O-])c2F)cc1 ZINC000685880700 485473396 /nfs/dbraw/zinc/47/33/96/485473396.db2.gz SEVQKHUSSSVQAW-UHFFFAOYSA-N -1 1 320.295 1.628 20 0 DDADMM COc1ccc(-c2n[n-]c(S(=O)(=O)CC(F)F)n2)cc1 ZINC000685900647 485480698 /nfs/dbraw/zinc/48/06/98/485480698.db2.gz MAUVDUZXGIDSOF-UHFFFAOYSA-N -1 1 303.290 1.519 20 0 DDADMM COc1ccc(-c2nc(S(=O)(=O)CC(F)F)n[n-]2)cc1 ZINC000685900647 485480700 /nfs/dbraw/zinc/48/07/00/485480700.db2.gz MAUVDUZXGIDSOF-UHFFFAOYSA-N -1 1 303.290 1.519 20 0 DDADMM CN1CCC[C@H](NC(=O)c2nn(-c3ccc(F)cc3)cc2[O-])C1 ZINC000674603585 485676831 /nfs/dbraw/zinc/67/68/31/485676831.db2.gz NHYIXBHGDMSLEI-LBPRGKRZSA-N -1 1 318.352 1.541 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-][C@@H](c1ccccc1)C(F)(F)F ZINC000682833780 485792658 /nfs/dbraw/zinc/79/26/58/485792658.db2.gz JFMGMNUKSJWVBQ-JTQLQIEISA-N -1 1 320.296 1.397 20 0 DDADMM Cc1cc(C(=O)NCc2nn[n-]n2)nn1-c1ccc(Cl)cc1 ZINC000679233706 485815216 /nfs/dbraw/zinc/81/52/16/485815216.db2.gz WELLHEWWYKIUMB-UHFFFAOYSA-N -1 1 317.740 1.277 20 0 DDADMM CC[C@@H](C)n1nc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1C ZINC000679234665 485815647 /nfs/dbraw/zinc/81/56/47/485815647.db2.gz RCDMTCDXUGFONQ-SNVBAGLBSA-N -1 1 317.397 1.875 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@H](OC(F)F)C1 ZINC000679658682 485965015 /nfs/dbraw/zinc/96/50/15/485965015.db2.gz UXYUGSHNIVDIIG-VIFPVBQESA-N -1 1 323.299 1.767 20 0 DDADMM O=C(CCc1ccc(=O)[nH]c1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000683892482 486148697 /nfs/dbraw/zinc/14/86/97/486148697.db2.gz FEIYFGVXQLTSGA-HNNXBMFYSA-N -1 1 324.344 1.139 20 0 DDADMM COc1cccc([C@H](C)CCCC(=O)NCc2nn[n-]n2)c1 ZINC000684048702 486226771 /nfs/dbraw/zinc/22/67/71/486226771.db2.gz BJTRYZICQQGUAF-LLVKDONJSA-N -1 1 303.366 1.799 20 0 DDADMM COc1nnc([N-]C(=O)c2cc3nccnc3cc2F)s1 ZINC000684224399 486301032 /nfs/dbraw/zinc/30/10/32/486301032.db2.gz LXXZGWRUEZRVAY-UHFFFAOYSA-N -1 1 305.294 1.881 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(F)c(F)cc1Br ZINC000676750274 486310010 /nfs/dbraw/zinc/31/00/10/486310010.db2.gz MNCZYMDJCQFRBP-UHFFFAOYSA-N -1 1 318.081 1.170 20 0 DDADMM NS(=O)(=O)c1ccc(NC(=O)c2ccc([O-])c(F)c2)cc1 ZINC000681014659 486323644 /nfs/dbraw/zinc/32/36/44/486323644.db2.gz IPJULBZXOFTYIN-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM CNC(=O)Cn1nc(NC(=O)c2ccc([O-])c(F)c2)cc1C ZINC000681065469 486335545 /nfs/dbraw/zinc/33/55/45/486335545.db2.gz DBQTZAGVBZPXKC-UHFFFAOYSA-N -1 1 306.297 1.035 20 0 DDADMM O=C(NC1CC1)N[C@@H]1CCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000681069849 486337468 /nfs/dbraw/zinc/33/74/68/486337468.db2.gz NCTCBKQLSISVIP-LLVKDONJSA-N -1 1 307.325 1.207 20 0 DDADMM CC[C@@](C)(O)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676852260 486345737 /nfs/dbraw/zinc/34/57/37/486345737.db2.gz PKXSCZNGDQKNEG-GFCCVEGCSA-N -1 1 309.334 1.413 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(Cl)c1 ZINC000681353513 486411603 /nfs/dbraw/zinc/41/16/03/486411603.db2.gz NZPFXGYCXJFXHE-QMMMGPOBSA-N -1 1 309.757 1.737 20 0 DDADMM COC(=O)c1cc(C)cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000457717997 533753580 /nfs/dbraw/zinc/75/35/80/533753580.db2.gz YGOLCASDLFZZQS-JTQLQIEISA-N -1 1 317.349 1.170 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)[C@@H](OC)C2CC2)c1 ZINC000451999828 534322671 /nfs/dbraw/zinc/32/26/71/534322671.db2.gz WCGHEUGZGJMSFF-QPUJVOFHSA-N -1 1 317.363 1.158 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)CCOCc2ccccc2)n1 ZINC000451449229 534414928 /nfs/dbraw/zinc/41/49/28/534414928.db2.gz HEKHHHGTWCNKTK-UHFFFAOYSA-N -1 1 311.363 1.014 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)C(=O)OC(C)C)sc1C ZINC000452021907 534518387 /nfs/dbraw/zinc/51/83/87/534518387.db2.gz TZTYOAPQLOSXFP-QMMMGPOBSA-N -1 1 306.409 1.378 20 0 DDADMM NC(=O)c1csc(=N[C@@H]2CCN(c3c(F)cccc3F)C2)[n-]1 ZINC000432334796 534523372 /nfs/dbraw/zinc/52/33/72/534523372.db2.gz HKFSRUNVLXCRKP-MRVPVSSYSA-N -1 1 324.356 1.633 20 0 DDADMM Cc1cnc(C(=O)N2CCS(=O)(=O)C[C@H]2C2CCC2)c([O-])c1 ZINC000413917937 534524760 /nfs/dbraw/zinc/52/47/60/534524760.db2.gz DCXCSLKKJQXKKD-LBPRGKRZSA-N -1 1 324.402 1.135 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H](CO)C1)c1cc(F)c(F)cc1F ZINC000416636086 534870720 /nfs/dbraw/zinc/87/07/20/534870720.db2.gz KBWKTSSAVDPOJT-BDAKNGLRSA-N -1 1 323.336 1.933 20 0 DDADMM CC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CCOC1)c1ccccc1F ZINC000416642142 527131013 /nfs/dbraw/zinc/13/10/13/527131013.db2.gz FPFLVPIUAHYBAU-SMDDNHRTSA-N -1 1 315.366 1.412 20 0 DDADMM CCO[C@@H]1COC[C@H]1[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC000452035779 527786868 /nfs/dbraw/zinc/78/68/68/527786868.db2.gz HEGNJMFEDKUIDQ-CHWSQXEVSA-N -1 1 321.345 1.188 20 0 DDADMM CC(C)CN1CCO[C@@H](CN=c2[n-]nc(-c3ccon3)s2)C1 ZINC000328799333 528011404 /nfs/dbraw/zinc/01/14/04/528011404.db2.gz HCSMPVTVFXEBMM-NSHDSACASA-N -1 1 323.422 1.384 20 0 DDADMM CCNC(=O)c1ccc(=NCCC(=O)Nc2ccccc2)[n-]n1 ZINC000413144036 528227902 /nfs/dbraw/zinc/22/79/02/528227902.db2.gz STNHJLJWRCRTNO-UHFFFAOYSA-N -1 1 313.361 1.089 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@]2(C)CCCO2)o1 ZINC000447150068 528242880 /nfs/dbraw/zinc/24/28/80/528242880.db2.gz DRGMQPAFCBFLHZ-ZDUSSCGKSA-N -1 1 317.363 1.304 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)c1cccnc1 ZINC000298128597 528286298 /nfs/dbraw/zinc/28/62/98/528286298.db2.gz XSONHDYSZPSEFN-SECBINFHSA-N -1 1 324.362 1.021 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)NCc1cnc[nH]1 ZINC000443540876 528819388 /nfs/dbraw/zinc/81/93/88/528819388.db2.gz LUSNPLFWSOPYHL-UHFFFAOYSA-N -1 1 322.390 1.491 20 0 DDADMM CC1(C)C(=O)N[C@@H]1C1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000294734302 528865801 /nfs/dbraw/zinc/86/58/01/528865801.db2.gz LVGRGDXTCZVNJS-CQSZACIVSA-N -1 1 320.364 1.908 20 0 DDADMM C[C@H](Cn1cccn1)Nc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736079813 598957696 /nfs/dbraw/zinc/95/76/96/598957696.db2.gz NMDBUGCJNWRDDL-SNVBAGLBSA-N -1 1 321.348 1.507 20 0 DDADMM C[C@H](Cn1cccn1)Nc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736079813 598957698 /nfs/dbraw/zinc/95/76/98/598957698.db2.gz NMDBUGCJNWRDDL-SNVBAGLBSA-N -1 1 321.348 1.507 20 0 DDADMM CCC(=O)N[C@@H]1CCCN([C@H](C(=O)[O-])c2ccc(F)cc2)C1 ZINC000736846041 599714413 /nfs/dbraw/zinc/71/44/13/599714413.db2.gz BCHVGFQFIZEVSJ-HIFRSBDPSA-N -1 1 308.353 1.942 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCO[C@H]2CCCC[C@@H]21 ZINC000738258691 598995333 /nfs/dbraw/zinc/99/53/33/598995333.db2.gz LGVLIALVZLRTRP-STQMWFEESA-N -1 1 314.349 1.045 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCO[C@H]2CCCC[C@@H]21 ZINC000738258691 598995335 /nfs/dbraw/zinc/99/53/35/598995335.db2.gz LGVLIALVZLRTRP-STQMWFEESA-N -1 1 314.349 1.045 20 0 DDADMM Cc1ccsc1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737442892 598998791 /nfs/dbraw/zinc/99/87/91/598998791.db2.gz RIDZSDYHRIANQQ-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM Cc1ccsc1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737442892 598998792 /nfs/dbraw/zinc/99/87/92/598998792.db2.gz RIDZSDYHRIANQQ-UHFFFAOYSA-N -1 1 300.347 1.562 20 0 DDADMM Cc1csc([C@@H](C)NC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000737454965 599002299 /nfs/dbraw/zinc/00/22/99/599002299.db2.gz KNHCAPFXHFJDOQ-MRVPVSSYSA-N -1 1 315.362 1.518 20 0 DDADMM Cc1csc([C@@H](C)NC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000737454965 599002301 /nfs/dbraw/zinc/00/23/01/599002301.db2.gz KNHCAPFXHFJDOQ-MRVPVSSYSA-N -1 1 315.362 1.518 20 0 DDADMM CC1(C)[C@H](Nc2cccc(-c3nnn[n-]3)n2)[C@@H]2CCCO[C@@H]21 ZINC000820586679 599041885 /nfs/dbraw/zinc/04/18/85/599041885.db2.gz YPTLHYMQEOGNFG-BIMULSAOSA-N -1 1 300.366 1.877 20 0 DDADMM CC1(C)[C@H](Nc2cccc(-c3nn[n-]n3)n2)[C@@H]2CCCO[C@@H]21 ZINC000820586679 599041888 /nfs/dbraw/zinc/04/18/88/599041888.db2.gz YPTLHYMQEOGNFG-BIMULSAOSA-N -1 1 300.366 1.877 20 0 DDADMM O=C(N[C@@H]1C[C@@H]1C1CCCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738020317 599096339 /nfs/dbraw/zinc/09/63/39/599096339.db2.gz NGGUAPNJFWMBAR-TZMCWYRMSA-N -1 1 312.377 1.960 20 0 DDADMM O=C(N[C@@H]1C[C@@H]1C1CCCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738020317 599096341 /nfs/dbraw/zinc/09/63/41/599096341.db2.gz NGGUAPNJFWMBAR-TZMCWYRMSA-N -1 1 312.377 1.960 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C[C@H](C)S1 ZINC000820744576 599187932 /nfs/dbraw/zinc/18/79/32/599187932.db2.gz YTUOKVQEWUEICI-IUCAKERBSA-N -1 1 304.379 1.228 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C[C@H](C)S1 ZINC000820744576 599187933 /nfs/dbraw/zinc/18/79/33/599187933.db2.gz YTUOKVQEWUEICI-IUCAKERBSA-N -1 1 304.379 1.228 20 0 DDADMM O=C([O-])C[C@@H]1CCCN([C@@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000819375569 597087794 /nfs/dbraw/zinc/08/77/94/597087794.db2.gz QCBPPRFIHVIKLU-VHSXEESVSA-N -1 1 308.300 1.336 20 0 DDADMM O=C([O-])CCNC(=O)NC[C@@H](c1ccco1)N1CCCCC1 ZINC000819484549 597284537 /nfs/dbraw/zinc/28/45/37/597284537.db2.gz MRUSWGUCETTXLW-LBPRGKRZSA-N -1 1 309.366 1.581 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](CN2CCO[C@@H](C(=O)[O-])C2)C1 ZINC000736277691 597399989 /nfs/dbraw/zinc/39/99/89/597399989.db2.gz XKKXROIGOYNASL-VXGBXAGGSA-N -1 1 314.382 1.029 20 0 DDADMM C[S@](=O)c1cccc(NCc2ccc(-c3nnn[n-]3)o2)c1 ZINC000821941494 607313402 /nfs/dbraw/zinc/31/34/02/607313402.db2.gz HHHPXNHDXLQXKN-NRFANRHFSA-N -1 1 303.347 1.809 20 0 DDADMM C[S@](=O)c1cccc(NCc2ccc(-c3nn[n-]n3)o2)c1 ZINC000821941494 607313403 /nfs/dbraw/zinc/31/34/03/607313403.db2.gz HHHPXNHDXLQXKN-NRFANRHFSA-N -1 1 303.347 1.809 20 0 DDADMM CC(=O)NCCCNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000735475362 599266717 /nfs/dbraw/zinc/26/67/17/599266717.db2.gz UOTJRUVGDMXGCE-UHFFFAOYSA-N -1 1 311.349 1.353 20 0 DDADMM CC(=O)NCCCNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000735475362 599266720 /nfs/dbraw/zinc/26/67/20/599266720.db2.gz UOTJRUVGDMXGCE-UHFFFAOYSA-N -1 1 311.349 1.353 20 0 DDADMM CCOc1ccc(NC(=O)[C@@H](C)N2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000246731906 597534105 /nfs/dbraw/zinc/53/41/05/597534105.db2.gz YKCPLCIMQKQEPP-VXGBXAGGSA-N -1 1 306.362 1.819 20 0 DDADMM C[C@@H](NCC(=O)NCC(=O)[O-])c1ccc(OC(F)F)cc1 ZINC000820142176 597806931 /nfs/dbraw/zinc/80/69/31/597806931.db2.gz OOPFFLKHZJOPHL-MRVPVSSYSA-N -1 1 302.277 1.139 20 0 DDADMM Cc1cccc2nc(CNC(=O)NCCCCC(=O)[O-])[nH]c21 ZINC000821116575 598167081 /nfs/dbraw/zinc/16/70/81/598167081.db2.gz GHLYLMBIBLOWJR-UHFFFAOYSA-N -1 1 304.350 1.925 20 0 DDADMM C[C@@H](Nc1nccnc1-c1nnn[n-]1)c1ccc2c(c1)OCO2 ZINC000736137394 598340366 /nfs/dbraw/zinc/34/03/66/598340366.db2.gz MAGMVZBJLAZYCC-MRVPVSSYSA-N -1 1 311.305 1.559 20 0 DDADMM C[C@@H](Nc1nccnc1-c1nn[n-]n1)c1ccc2c(c1)OCO2 ZINC000736137394 598340367 /nfs/dbraw/zinc/34/03/67/598340367.db2.gz MAGMVZBJLAZYCC-MRVPVSSYSA-N -1 1 311.305 1.559 20 0 DDADMM c1ccc2c(c1)CCN2CCCNc1nccnc1-c1nn[n-]n1 ZINC000738442965 598343827 /nfs/dbraw/zinc/34/38/27/598343827.db2.gz SOCWEINABKLXCL-UHFFFAOYSA-N -1 1 322.376 1.521 20 0 DDADMM c1ccc2c(c1)CCN2CCCNc1nccnc1-c1nnn[n-]1 ZINC000738442965 598343826 /nfs/dbraw/zinc/34/38/26/598343826.db2.gz SOCWEINABKLXCL-UHFFFAOYSA-N -1 1 322.376 1.521 20 0 DDADMM CCc1nn(CC(=O)C(C)(C)C)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736705596 598377916 /nfs/dbraw/zinc/37/79/16/598377916.db2.gz LEAUUCVFMCXSIT-UHFFFAOYSA-N -1 1 318.381 1.163 20 0 DDADMM COc1ccc(CNc2cnc(-c3nnn[n-]3)cn2)cc1OC ZINC000737094887 598384652 /nfs/dbraw/zinc/38/46/52/598384652.db2.gz CBHIIAUXTKGIPR-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM COc1ccc(CNc2cnc(-c3nn[n-]n3)cn2)cc1OC ZINC000737094887 598384653 /nfs/dbraw/zinc/38/46/53/598384653.db2.gz CBHIIAUXTKGIPR-UHFFFAOYSA-N -1 1 313.321 1.286 20 0 DDADMM CN(C)C(=O)c1cccc(Oc2ncccc2-c2nn[n-]n2)c1 ZINC000736754535 598507551 /nfs/dbraw/zinc/50/75/51/598507551.db2.gz YHTPZSBQBPRGAA-UHFFFAOYSA-N -1 1 310.317 1.756 20 0 DDADMM O=C(CCCNc1ccc(Cl)c(-c2nnn[n-]2)n1)NC1CC1 ZINC000737841582 598575874 /nfs/dbraw/zinc/57/58/74/598575874.db2.gz NUZAJISGNTZQLS-UHFFFAOYSA-N -1 1 321.772 1.386 20 0 DDADMM O=C(CCCNc1ccc(Cl)c(-c2nn[n-]n2)n1)NC1CC1 ZINC000737841582 598575876 /nfs/dbraw/zinc/57/58/76/598575876.db2.gz NUZAJISGNTZQLS-UHFFFAOYSA-N -1 1 321.772 1.386 20 0 DDADMM Cc1cccc(N2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)c1 ZINC000737398647 599399645 /nfs/dbraw/zinc/39/96/45/599399645.db2.gz JBIDWVZYNWXSGO-UHFFFAOYSA-N -1 1 321.388 1.897 20 0 DDADMM Cc1cccc(N2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)c1 ZINC000737398647 599399647 /nfs/dbraw/zinc/39/96/47/599399647.db2.gz JBIDWVZYNWXSGO-UHFFFAOYSA-N -1 1 321.388 1.897 20 0 DDADMM COc1cccc(CNc2cccc(-c3nnn[n-]3)n2)c1OC ZINC000737133308 599414820 /nfs/dbraw/zinc/41/48/20/599414820.db2.gz ZPTHVEGPFZLHDP-UHFFFAOYSA-N -1 1 312.333 1.891 20 0 DDADMM COc1cccc(CNc2cccc(-c3nn[n-]n3)n2)c1OC ZINC000737133308 599414825 /nfs/dbraw/zinc/41/48/25/599414825.db2.gz ZPTHVEGPFZLHDP-UHFFFAOYSA-N -1 1 312.333 1.891 20 0 DDADMM O[C@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)C1CCOCC1 ZINC000738385742 599474533 /nfs/dbraw/zinc/47/45/33/599474533.db2.gz XYYXPPDOVSIAHY-SNVBAGLBSA-N -1 1 324.772 1.115 20 0 DDADMM O[C@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)C1CCOCC1 ZINC000738385742 599474535 /nfs/dbraw/zinc/47/45/35/599474535.db2.gz XYYXPPDOVSIAHY-SNVBAGLBSA-N -1 1 324.772 1.115 20 0 DDADMM CN(CCNc1cccc(-c2nnn[n-]2)n1)C(=O)OC(C)(C)C ZINC000821602535 599518382 /nfs/dbraw/zinc/51/83/82/599518382.db2.gz HPARXVOKRAUFKI-UHFFFAOYSA-N -1 1 319.369 1.541 20 0 DDADMM CN(CCNc1cccc(-c2nn[n-]n2)n1)C(=O)OC(C)(C)C ZINC000821602535 599518385 /nfs/dbraw/zinc/51/83/85/599518385.db2.gz HPARXVOKRAUFKI-UHFFFAOYSA-N -1 1 319.369 1.541 20 0 DDADMM C[C@@H](CNC(=O)CCCNC(=O)[O-])N1CCc2ccccc2C1 ZINC000736595862 599718976 /nfs/dbraw/zinc/71/89/76/599718976.db2.gz LPXMCUAQKDRINY-ZDUSSCGKSA-N -1 1 319.405 1.597 20 0 DDADMM CN(CCCC(=O)NC[C@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000737422324 599724916 /nfs/dbraw/zinc/72/49/16/599724916.db2.gz KOIQPEDQNNREEY-CYBMUJFWSA-N -1 1 323.393 1.923 20 0 DDADMM CC(C)(NC(=O)[O-])C(=O)NC1CCN(Cc2ccncc2)CC1 ZINC000736328552 599735608 /nfs/dbraw/zinc/73/56/08/599735608.db2.gz PFFRIPZZXHCVBY-UHFFFAOYSA-N -1 1 320.393 1.208 20 0 DDADMM Cc1cc(CN2CCC(N3CCCC3=O)CC2)oc1C(=O)[O-] ZINC000738381671 599795464 /nfs/dbraw/zinc/79/54/64/599795464.db2.gz BDTANEWUOQOGAB-UHFFFAOYSA-N -1 1 306.362 1.873 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1CN1C(=O)[C@@H]2CC=CC[C@H]2C1=O ZINC000739639388 599841917 /nfs/dbraw/zinc/84/19/17/599841917.db2.gz LNHXYOUDKNULNY-YNEHKIRRSA-N -1 1 306.362 1.224 20 0 DDADMM CCc1c(NC(=O)[C@H]2C[C@H]2C(=O)[O-])[nH]nc1-c1ccncc1 ZINC000737247263 599851215 /nfs/dbraw/zinc/85/12/15/599851215.db2.gz BGZLVKZKCYKQAT-WDEREUQCSA-N -1 1 300.318 1.693 20 0 DDADMM CCN(C)[C@@H](C(=O)NCCSCC(=O)[O-])c1ccccc1 ZINC000737069632 599903204 /nfs/dbraw/zinc/90/32/04/599903204.db2.gz ZZEQXHFTESFIIB-CQSZACIVSA-N -1 1 310.419 1.613 20 0 DDADMM Cn1ccnc1CN1CCCN(Cc2cc(C(=O)[O-])co2)CC1 ZINC000739055227 599918720 /nfs/dbraw/zinc/91/87/20/599918720.db2.gz IBXLWJHPFXYYPT-UHFFFAOYSA-N -1 1 318.377 1.419 20 0 DDADMM CN(CCOc1ccccc1F)C(=O)CNC(C)(C)C(=O)[O-] ZINC000737447612 599926996 /nfs/dbraw/zinc/92/69/96/599926996.db2.gz BEKJRZOVZPLFOE-UHFFFAOYSA-N -1 1 312.341 1.116 20 0 DDADMM NC(=O)C[C@@H]1CCCCN1CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC000739160931 599994299 /nfs/dbraw/zinc/99/42/99/599994299.db2.gz SDKIWXJALBPPBJ-ZDUSSCGKSA-N -1 1 319.361 1.053 20 0 DDADMM CCC[C@](C)(NCC(=O)N1CCC(c2ccccc2)=N1)C(=O)[O-] ZINC000736959054 600153903 /nfs/dbraw/zinc/15/39/03/600153903.db2.gz ACHVMXVBZDFTSP-KRWDZBQOSA-N -1 1 317.389 1.856 20 0 DDADMM COC(=O)CCCN1CCN(c2ccc(C(=O)[O-])cc2)C[C@H]1C ZINC000737596375 600227824 /nfs/dbraw/zinc/22/78/24/600227824.db2.gz QBITVZQXSVOTMI-CYBMUJFWSA-N -1 1 320.389 1.849 20 0 DDADMM O=C([O-])CCNC(=O)CN(CCc1cccc(F)c1)C1CC1 ZINC000739735179 600287453 /nfs/dbraw/zinc/28/74/53/600287453.db2.gz RFXVHIZXHGMQOG-UHFFFAOYSA-N -1 1 308.353 1.424 20 0 DDADMM Cc1cccc(CNC(=O)CN2CCC3(C[C@@H]3C(=O)[O-])CC2)c1 ZINC000738707771 600303651 /nfs/dbraw/zinc/30/36/51/600303651.db2.gz FLJXOBXGNPRJHX-OAHLLOKOSA-N -1 1 316.401 1.798 20 0 DDADMM CCC[C@@](C)(NCC(=O)NCc1cccc(OC)c1)C(=O)[O-] ZINC000314292176 600461374 /nfs/dbraw/zinc/46/13/74/600461374.db2.gz SEGALVBTFGVSIG-MRXNPFEDSA-N -1 1 308.378 1.544 20 0 DDADMM CC[C@@](C)(NCC(=O)N[C@@H]1CCOc2ccccc21)C(=O)[O-] ZINC000736861644 600559158 /nfs/dbraw/zinc/55/91/58/600559158.db2.gz RJMSFCQNOZDIJW-MLGOLLRUSA-N -1 1 306.362 1.469 20 0 DDADMM C[C@@H](C(=O)N[C@@](C)(C(=O)[O-])C1CC1)N(C)Cc1ccccc1 ZINC000736213063 600609304 /nfs/dbraw/zinc/60/93/04/600609304.db2.gz YEIQQMCIPWXIFP-YVEFUNNKSA-N -1 1 304.390 1.876 20 0 DDADMM O=C([O-])C[C@@H]1CCCCN1CN1C[C@H](c2ccccn2)CC1=O ZINC000739640321 600637605 /nfs/dbraw/zinc/63/76/05/600637605.db2.gz ZSUKDNZTAGQNMN-KGLIPLIRSA-N -1 1 317.389 1.684 20 0 DDADMM Cn1ccc(N2CCC[C@H](N3CCC(CC(=O)[O-])CC3)C2=O)n1 ZINC000833056431 600820016 /nfs/dbraw/zinc/82/00/16/600820016.db2.gz ZOGLNSPKNPDOTJ-ZDUSSCGKSA-N -1 1 320.393 1.102 20 0 DDADMM Cc1nnc(SCCC(=O)N[C@H](C(=O)[O-])c2ccccc2)[nH]1 ZINC000819036137 600837103 /nfs/dbraw/zinc/83/71/03/600837103.db2.gz XTOBERQMQFTEQG-LBPRGKRZSA-N -1 1 320.374 1.537 20 0 DDADMM C[C@H]1CN(CCC(=O)N(C)CC(=O)[O-])[C@@H](c2ccccc2)CO1 ZINC000828437648 600861535 /nfs/dbraw/zinc/86/15/35/600861535.db2.gz ABIRPZJVQXWYOE-DZGCQCFKSA-N -1 1 320.389 1.382 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CCSc1nnc(C)[nH]1)C(=O)[O-] ZINC000820432387 600913029 /nfs/dbraw/zinc/91/30/29/600913029.db2.gz WTPLQUFXTSFEKG-XVKPBYJWSA-N -1 1 300.384 1.211 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]1C(=O)Nc1nc2ccc(Br)cc2[nH]1 ZINC000833181379 600936463 /nfs/dbraw/zinc/93/64/63/600936463.db2.gz NQOQDJABUYIESM-NKWVEPMBSA-N -1 1 324.134 1.985 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC000826742254 601010901 /nfs/dbraw/zinc/01/09/01/601010901.db2.gz XRNLAJBKNOCXPN-HNNXBMFYSA-N -1 1 319.405 1.595 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(F)cc2F)CC1 ZINC000827375461 601093855 /nfs/dbraw/zinc/09/38/55/601093855.db2.gz VPTPKJMTLIJKKO-JTQLQIEISA-N -1 1 312.316 1.586 20 0 DDADMM C[C@@H](C(=O)N(CC(=O)[O-])Cc1ccc(F)c(F)c1)N(C)C ZINC000832799234 601136987 /nfs/dbraw/zinc/13/69/87/601136987.db2.gz PNDHQEYKOPXROY-VIFPVBQESA-N -1 1 300.305 1.328 20 0 DDADMM O=C([O-])[C@H]1C[C@@H](C2CCCCC2)CN1C(=O)CCc1nc[nH]n1 ZINC000833177207 601138406 /nfs/dbraw/zinc/13/84/06/601138406.db2.gz LBFJMKUEONGOLX-CHWSQXEVSA-N -1 1 320.393 1.619 20 0 DDADMM CCOc1cccc(CNC(=O)CN[C@@](C)(C(=O)[O-])C2CC2)c1 ZINC000830099325 601173443 /nfs/dbraw/zinc/17/34/43/601173443.db2.gz CQASOSLDACPXCT-QGZVFWFLSA-N -1 1 320.389 1.544 20 0 DDADMM Cc1cccc(O)c1NS(=O)(=O)c1ccc(O)c(C(=O)[O-])c1 ZINC000832973076 601251901 /nfs/dbraw/zinc/25/19/01/601251901.db2.gz PJALGEATKBVDAO-UHFFFAOYSA-N -1 1 323.326 1.905 20 0 DDADMM CN1CCN(C(=O)c2ccnc(C(=O)[O-])c2)C2(CCCCC2)C1 ZINC000830950444 601292089 /nfs/dbraw/zinc/29/20/89/601292089.db2.gz LBFNNFQSSBOHKB-UHFFFAOYSA-N -1 1 317.389 1.870 20 0 DDADMM C[C@@H]1[C@H](Cc2ccccc2)CCN1Cn1cnc(C(=O)[O-])n1 ZINC000828150888 601300413 /nfs/dbraw/zinc/30/04/13/601300413.db2.gz RTKNQYIFBMEOJY-OCCSQVGLSA-N -1 1 300.362 1.887 20 0 DDADMM CC(C)(CO)N1CCN([C@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000826616449 601354009 /nfs/dbraw/zinc/35/40/09/601354009.db2.gz GYUXIIGKWNLQSS-AWEZNQCLSA-N -1 1 310.369 1.340 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCC(N2CCNC2=O)CC1 ZINC000830369165 601413424 /nfs/dbraw/zinc/41/34/24/601413424.db2.gz KWUPJNIOWXHQPI-UHFFFAOYSA-N -1 1 321.377 1.530 20 0 DDADMM Cc1cccc(C)c1OC[C@@H](O)CN1CC[C@@](F)(C(=O)[O-])C1 ZINC000832966412 601445290 /nfs/dbraw/zinc/44/52/90/601445290.db2.gz WEWGBVFZKQXNAZ-BBRMVZONSA-N -1 1 311.353 1.542 20 0 DDADMM O=C([O-])C[C@H]1CN(CCC(=O)NCC2CCCCC2)CCO1 ZINC000833219618 601455163 /nfs/dbraw/zinc/45/51/63/601455163.db2.gz AIFWUHBDGVDHHQ-AWEZNQCLSA-N -1 1 312.410 1.249 20 0 DDADMM COc1ccccc1N1CC[C@H](N2CCC[C@@H](C(=O)[O-])C2)C1=O ZINC000274246695 601626232 /nfs/dbraw/zinc/62/62/32/601626232.db2.gz XLEOIGYPVPWESD-OCCSQVGLSA-N -1 1 318.373 1.597 20 0 DDADMM Cc1cc(CC(=O)Nc2ccc(OCCC(=O)[O-])cc2)n[nH]1 ZINC000832883294 601673439 /nfs/dbraw/zinc/67/34/39/601673439.db2.gz KSHMVHSRNQMGKC-UHFFFAOYSA-N -1 1 303.318 1.753 20 0 DDADMM CC(C)(CNC(=O)Nc1cnn(-c2ccncc2)c1)C(=O)[O-] ZINC000826595986 601758290 /nfs/dbraw/zinc/75/82/90/601758290.db2.gz ASNWEZMGIAEKDB-UHFFFAOYSA-N -1 1 303.322 1.500 20 0 DDADMM O=[S@](Cc1ccnc(-c2nnn[n-]2)c1)c1ccc(F)c(F)c1 ZINC000826495776 607528343 /nfs/dbraw/zinc/52/83/43/607528343.db2.gz AWXDMHSRTJLJQV-JOCHJYFZSA-N -1 1 321.312 1.848 20 0 DDADMM O=[S@](Cc1ccnc(-c2nn[n-]n2)c1)c1ccc(F)c(F)c1 ZINC000826495776 607528344 /nfs/dbraw/zinc/52/83/44/607528344.db2.gz AWXDMHSRTJLJQV-JOCHJYFZSA-N -1 1 321.312 1.848 20 0 DDADMM C[C@@H](CN(C)[C@@H]1CCN(c2c(F)cccc2F)C1=O)C(=O)[O-] ZINC000827442196 601826426 /nfs/dbraw/zinc/82/64/26/601826426.db2.gz DQHXCWFJEBYYMM-JOYOIKCWSA-N -1 1 312.316 1.723 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN([C@H](C(=O)NC2CC2)c2ccc(F)cc2)C1 ZINC000828019748 601937507 /nfs/dbraw/zinc/93/75/07/601937507.db2.gz BRWWAHANAGAYNQ-WMLDXEAASA-N -1 1 320.364 1.942 20 0 DDADMM CN(C)C1(C(=O)Nc2nc(CCCC(=O)[O-])cs2)CCC1 ZINC000830585099 601945644 /nfs/dbraw/zinc/94/56/44/601945644.db2.gz PCLDHBMAUIPYST-UHFFFAOYSA-N -1 1 311.407 1.973 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000739326160 601967427 /nfs/dbraw/zinc/96/74/27/601967427.db2.gz MZBJLGRHZFASLC-LBPRGKRZSA-N -1 1 303.322 1.642 20 0 DDADMM Cc1ccc2ncn(Cc3ccnc(-c4nnn[n-]4)c3)c(=O)c2c1 ZINC000826295629 607544340 /nfs/dbraw/zinc/54/43/40/607544340.db2.gz QFPAFEUEYQDKDP-UHFFFAOYSA-N -1 1 319.328 1.328 20 0 DDADMM Cc1ccc2ncn(Cc3ccnc(-c4nn[n-]n4)c3)c(=O)c2c1 ZINC000826295629 607544342 /nfs/dbraw/zinc/54/43/42/607544342.db2.gz QFPAFEUEYQDKDP-UHFFFAOYSA-N -1 1 319.328 1.328 20 0 DDADMM Cc1cccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1F ZINC000832963693 602192740 /nfs/dbraw/zinc/19/27/40/602192740.db2.gz HTDBFJQLYYOVKG-GFCCVEGCSA-N -1 1 308.353 1.755 20 0 DDADMM CN(CC(=O)NC1CCN(Cc2ccc(F)cc2)CC1)C(=O)[O-] ZINC000739623689 602192957 /nfs/dbraw/zinc/19/29/57/602192957.db2.gz JGMPYUQRPZXPPL-UHFFFAOYSA-N -1 1 323.368 1.516 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC000832848962 602362080 /nfs/dbraw/zinc/36/20/80/602362080.db2.gz QWAIUTRPYRZEOI-UHFFFAOYSA-N -1 1 305.338 1.509 20 0 DDADMM CCCN(C(=O)c1cc(C2CC2)[nH]n1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739345150 602515300 /nfs/dbraw/zinc/51/53/00/602515300.db2.gz VPNUFGGCXPHCDO-LLVKDONJSA-N -1 1 306.366 1.892 20 0 DDADMM CCCN(CC(=O)N1CCCC[C@@H]1C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739349128 602579219 /nfs/dbraw/zinc/57/92/19/602579219.db2.gz IBIAHVXQUXKPNC-UONOGXRCSA-N -1 1 311.426 1.852 20 0 DDADMM CNC(=O)c1cccc(CN2CC[C@@H](NC(=O)[O-])[C@H](C)C2)c1 ZINC000739747566 602767207 /nfs/dbraw/zinc/76/72/07/602767207.db2.gz VNJSTLWDGZHFQH-BXUZGUMPSA-N -1 1 305.378 1.524 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@H]2CC[N@H+]3CCC[C@H]3C2)c([O-])c1 ZINC000740698488 602851502 /nfs/dbraw/zinc/85/15/02/602851502.db2.gz MTGGUSQBHGGPJO-RYUDHWBXSA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N[C@H]2CC[N@@H+]3CCC[C@H]3C2)c([O-])c1 ZINC000740698488 602851500 /nfs/dbraw/zinc/85/15/00/602851500.db2.gz MTGGUSQBHGGPJO-RYUDHWBXSA-N -1 1 319.361 1.839 20 0 DDADMM O=C([O-])NC[C@H]1CCC[C@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000740621328 602972599 /nfs/dbraw/zinc/97/25/99/602972599.db2.gz COFUIABDMHHRDE-NXEZZACHSA-N -1 1 303.322 1.124 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)N[C@H]1CCCN(C(=O)[O-])C1 ZINC000736821337 602995141 /nfs/dbraw/zinc/99/51/41/602995141.db2.gz KWSONPDWJGITLM-HZSPNIEDSA-N -1 1 324.425 1.397 20 0 DDADMM Cc1ccc(CC(=O)OCc2ccnc(-c3nnn[n-]3)c2)cn1 ZINC000826286464 607602946 /nfs/dbraw/zinc/60/29/46/607602946.db2.gz CZDVTQFRYNJKQJ-UHFFFAOYSA-N -1 1 310.317 1.251 20 0 DDADMM Cc1ccc(CC(=O)OCc2ccnc(-c3nn[n-]n3)c2)cn1 ZINC000826286464 607602948 /nfs/dbraw/zinc/60/29/48/607602948.db2.gz CZDVTQFRYNJKQJ-UHFFFAOYSA-N -1 1 310.317 1.251 20 0 DDADMM C[C@@H](C(=O)N1CCN(C(=O)[O-])C[C@H]1C)N(C)Cc1ccccc1 ZINC000738620358 603177893 /nfs/dbraw/zinc/17/78/93/603177893.db2.gz SHFONQACEHFVBI-KGLIPLIRSA-N -1 1 319.405 1.718 20 0 DDADMM Cc1nc(CN2CCN(C[C@@H]3CCN(C(=O)[O-])C3)CC2)cs1 ZINC000740217738 603324021 /nfs/dbraw/zinc/32/40/21/603324021.db2.gz BWJPOFSFUIFDON-ZDUSSCGKSA-N -1 1 324.450 1.569 20 0 DDADMM CN(C[C@H](O)c1c(F)cccc1F)[C@H]1CCN(C(=O)[O-])C1 ZINC000828170930 603495843 /nfs/dbraw/zinc/49/58/43/603495843.db2.gz VNONLSHLLUPRFY-CABZTGNLSA-N -1 1 300.305 1.682 20 0 DDADMM CN1CCCN(C(=O)[C@H](CNC(=O)[O-])c2ccccc2)CC1 ZINC000828487806 603523406 /nfs/dbraw/zinc/52/34/06/603523406.db2.gz LCSGBABJJICEIA-CQSZACIVSA-N -1 1 305.378 1.202 20 0 DDADMM Cc1cccn2c(=O)cc(CN(C)[C@@H]3CCN(C(=O)[O-])C3)nc12 ZINC000830591029 603538993 /nfs/dbraw/zinc/53/89/93/603538993.db2.gz KORRPNSEAWNUHO-CYBMUJFWSA-N -1 1 316.361 1.187 20 0 DDADMM O=C([O-])NC1CCC(C(=O)N2CCC(c3cnc[nH]3)CC2)CC1 ZINC000832248472 603549975 /nfs/dbraw/zinc/54/99/75/603549975.db2.gz XBYHWNSLHUEIIB-UHFFFAOYSA-N -1 1 320.393 1.942 20 0 DDADMM Cc1ccc2nc(CN3CCC[C@H]3CNC(=O)[O-])cc(=O)n2c1 ZINC000830453918 603572574 /nfs/dbraw/zinc/57/25/74/603572574.db2.gz UPUJHKPYBTWOMU-ZDUSSCGKSA-N -1 1 316.361 1.235 20 0 DDADMM C[C@@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)[C@@H]1CNC(=O)[O-] ZINC000825919048 603689489 /nfs/dbraw/zinc/68/94/89/603689489.db2.gz JJAHCCLBDYSOGP-NOZJJQNGSA-N -1 1 317.349 1.466 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)N(C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828001586 603711319 /nfs/dbraw/zinc/71/13/19/603711319.db2.gz SZNXJJZHXDHTGB-CABCVRRESA-N -1 1 319.405 1.370 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCN(C[C@H](O)c2ccc(F)c(F)c2)C1 ZINC000827882113 603800027 /nfs/dbraw/zinc/80/00/27/603800027.db2.gz LJDYVIDSFCBAJH-GWCFXTLKSA-N -1 1 300.305 1.682 20 0 DDADMM O=C([O-])N1CCN(C(=O)CN[C@@H]2CCc3ccc(F)cc32)CC1 ZINC000832024117 603809328 /nfs/dbraw/zinc/80/93/28/603809328.db2.gz FIHXLFNSOSMDEU-CQSZACIVSA-N -1 1 321.352 1.225 20 0 DDADMM C[C@@H](CS(C)(=O)=O)NCc1cccc2c1N(C(=O)[O-])CC2 ZINC000825015091 603861731 /nfs/dbraw/zinc/86/17/31/603861731.db2.gz CBIPFXOKGUPEQX-JTQLQIEISA-N -1 1 312.391 1.250 20 0 DDADMM CC(C)N(CCCNC(=O)[C@H]1CCCc2[nH]ncc21)C(=O)[O-] ZINC000824341277 603981495 /nfs/dbraw/zinc/98/14/95/603981495.db2.gz HNNSEIADPUGAPN-NSHDSACASA-N -1 1 308.382 1.724 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)[C@]2(C)CCN(C(=O)[O-])C2)c1 ZINC000828046178 603995726 /nfs/dbraw/zinc/99/57/26/603995726.db2.gz IBGFJEAQVZZGLH-OAHLLOKOSA-N -1 1 306.366 1.472 20 0 DDADMM CC(C)S(=O)(=O)CCCN(C)C1CCN(C(=O)[O-])CC1 ZINC000824432065 604118352 /nfs/dbraw/zinc/11/83/52/604118352.db2.gz XHALUEMQPAYRDW-UHFFFAOYSA-N -1 1 306.428 1.274 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)CC1(NC(=O)[O-])CCC1 ZINC000826087867 604180564 /nfs/dbraw/zinc/18/05/64/604180564.db2.gz SVJZFNIOTQQUQV-OLZOCXBDSA-N -1 1 309.410 1.652 20 0 DDADMM COC(=O)c1ccc(CN2CCC[C@H]([C@@H](C)NC(=O)[O-])C2)o1 ZINC000828772047 604218380 /nfs/dbraw/zinc/21/83/80/604218380.db2.gz JYTRHCWFNJOSBP-MNOVXSKESA-N -1 1 310.350 1.934 20 0 DDADMM CC(C)CCNC(=O)[C@H](C)N1CCC[C@H]([C@H](C)NC(=O)[O-])C1 ZINC000824238806 604222628 /nfs/dbraw/zinc/22/26/28/604222628.db2.gz LMFSGWGDFJZTAX-IHRRRGAJSA-N -1 1 313.442 1.905 20 0 DDADMM CC(C)CN1CCO[C@@H](COC(=O)[C@@H](C)CN(C)C(=O)[O-])C1 ZINC000824264204 604257394 /nfs/dbraw/zinc/25/73/94/604257394.db2.gz WFZNQBLGENSGSY-QWHCGFSZSA-N -1 1 316.398 1.132 20 0 DDADMM COc1ccc(OC)c([C@H](O)CN2CC[C@@H](NC(=O)[O-])C2)c1 ZINC000739932987 604377808 /nfs/dbraw/zinc/37/78/08/604377808.db2.gz WZXWJSDIQKXRLS-ZWNOBZJWSA-N -1 1 310.350 1.079 20 0 DDADMM C[C@H](CC(=O)N1CCc2[nH]nnc2C1)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000824606473 604582125 /nfs/dbraw/zinc/58/21/25/604582125.db2.gz QCKBBCKVJSQHKU-GHMZBOCLSA-N -1 1 321.381 1.106 20 0 DDADMM CC(C)(C)[C@H](NCC(=O)Nc1nnc(-c2ccco2)o1)C(=O)[O-] ZINC000833424710 604662319 /nfs/dbraw/zinc/66/23/19/604662319.db2.gz VMKOZFANBUAEJD-SNVBAGLBSA-N -1 1 322.321 1.357 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N1CCC[C@H]1CC(=O)[O-] ZINC000832847833 604750241 /nfs/dbraw/zinc/75/02/41/604750241.db2.gz YHMHDKIJQUMESF-ZETCQYMHSA-N -1 1 305.256 1.816 20 0 DDADMM Cc1ccc2nc(SCCc3cn(CC(=O)[O-])nn3)[nH]c2c1 ZINC000832958635 604779629 /nfs/dbraw/zinc/77/96/29/604779629.db2.gz DGXNGGAABFPXIU-UHFFFAOYSA-N -1 1 317.374 1.882 20 0 DDADMM CO[C@H](C)c1nc(CNC2(CC(=O)[O-])CCOCC2)cs1 ZINC000831501420 604792532 /nfs/dbraw/zinc/79/25/32/604792532.db2.gz SIKVRMKCBLAWAL-SNVBAGLBSA-N -1 1 314.407 1.964 20 0 DDADMM COC(=O)C1=C(C)O[C@H](CN(C)Cc2cc(C(=O)[O-])c(C)o2)C1 ZINC000833702346 604822052 /nfs/dbraw/zinc/82/20/52/604822052.db2.gz UMFAUOIMSWYUDR-LBPRGKRZSA-N -1 1 323.345 1.954 20 0 DDADMM CCc1nc([C@H](C)NC(=O)CCc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000833673663 605023559 /nfs/dbraw/zinc/02/35/59/605023559.db2.gz LOZVCBOHCINLNA-JTQLQIEISA-N -1 1 316.361 1.875 20 0 DDADMM C[C@H](CC(=O)OCCc1c[nH]nn1)C1CCN(C(=O)[O-])CC1 ZINC000824736605 605082785 /nfs/dbraw/zinc/08/27/85/605082785.db2.gz RKHDNWFVKQJMJZ-SNVBAGLBSA-N -1 1 310.354 1.307 20 0 DDADMM C[C@H](CC(=O)OCCc1cnn[nH]1)C1CCN(C(=O)[O-])CC1 ZINC000824736605 605082791 /nfs/dbraw/zinc/08/27/91/605082791.db2.gz RKHDNWFVKQJMJZ-SNVBAGLBSA-N -1 1 310.354 1.307 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccccc1Cl ZINC000833627565 605106576 /nfs/dbraw/zinc/10/65/76/605106576.db2.gz YUNQDWFEAVMMHN-NSHDSACASA-N -1 1 310.781 1.961 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C\c1cccs1 ZINC000833619488 605114622 /nfs/dbraw/zinc/11/46/22/605114622.db2.gz ICJFVGYDTGFIOC-QMAVJUDZSA-N -1 1 308.403 1.769 20 0 DDADMM Cc1cc(F)cc(C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)c1 ZINC000833744647 605116515 /nfs/dbraw/zinc/11/65/15/605116515.db2.gz GJRJNDCMQLMDDQ-LBPRGKRZSA-N -1 1 308.353 1.755 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)NC2CCC(C(=O)[O-])CC2)C[C@@H]1C ZINC000820347936 605141618 /nfs/dbraw/zinc/14/16/18/605141618.db2.gz APAKKBHWEDGCLO-MRFVTOPCSA-N -1 1 311.426 1.754 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(F)cc2F)CCN1CCC(=O)[O-] ZINC000833620953 605250706 /nfs/dbraw/zinc/25/07/06/605250706.db2.gz ISAJDUNGSDKSTL-SNVBAGLBSA-N -1 1 312.316 1.586 20 0 DDADMM C[C@H]1CN(C(=O)c2cnn(C(C)(C)C)c2)CCN1CCC(=O)[O-] ZINC000833626747 605252588 /nfs/dbraw/zinc/25/25/88/605252588.db2.gz YWVYSZPWQNTAEJ-LBPRGKRZSA-N -1 1 322.409 1.259 20 0 DDADMM O=C(CCC1CCN(C(=O)[O-])CC1)N[C@H]1CCc2nc[nH]c2C1 ZINC000833990792 605324366 /nfs/dbraw/zinc/32/43/66/605324366.db2.gz GQVPOVCEGAVEJE-LBPRGKRZSA-N -1 1 320.393 1.553 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN(C)C[C@@H]1CCCC[C@@H]1O)C(=O)[O-] ZINC000833640541 605393473 /nfs/dbraw/zinc/39/34/73/605393473.db2.gz ZPAFTHDVEAMPAW-ABHRYQDASA-N -1 1 314.426 1.085 20 0 DDADMM CSCC[C@@H](NC(=O)[O-])C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000829730454 605434981 /nfs/dbraw/zinc/43/49/81/605434981.db2.gz MBFDIIIBCLSEFY-GZMMTYOYSA-N -1 1 314.411 1.155 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(Cc2cc(C(N)=O)cs2)C1 ZINC000833816147 605604578 /nfs/dbraw/zinc/60/45/78/605604578.db2.gz YELZNWIZFUXYLU-NXEZZACHSA-N -1 1 311.407 1.715 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)[O-])CCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000825801899 605762397 /nfs/dbraw/zinc/76/23/97/605762397.db2.gz QRNBDQMYUFCYGR-MXWKQRLJSA-N -1 1 306.366 1.477 20 0 DDADMM CCCc1cc(C(=O)N(C2CC2)[C@H]2CCN(C(=O)[O-])C2)n[nH]1 ZINC000826949543 605785577 /nfs/dbraw/zinc/78/55/77/605785577.db2.gz PEKIOFXJJMUXSE-LBPRGKRZSA-N -1 1 306.366 1.719 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CN2C[C@@H](Cc3ccccc3)OC2=O)C1 ZINC000833553280 605786286 /nfs/dbraw/zinc/78/62/86/605786286.db2.gz ARXCBXAEPYNDDZ-RHSMWYFYSA-N -1 1 318.373 1.804 20 0 DDADMM O=C([O-])N1CCC2(CCN(CN3C[C@H](C4CC4)CC3=O)C2)CC1 ZINC000834091721 605862391 /nfs/dbraw/zinc/86/23/91/605862391.db2.gz HKCVDCUYSQKARF-CQSZACIVSA-N -1 1 321.421 1.668 20 0 DDADMM O=C([O-])N[C@H]1CCN(CCCn2c(=O)[nH]c3ccccc32)C1 ZINC000740595709 605914375 /nfs/dbraw/zinc/91/43/75/605914375.db2.gz HTJORHOVMLYLFK-NSHDSACASA-N -1 1 304.350 1.474 20 0 DDADMM O=C([O-])Nc1ccccc1CC(=O)NCCN1CCSCC1 ZINC000834272847 606061504 /nfs/dbraw/zinc/06/15/04/606061504.db2.gz JXFDKCZFKMOONX-UHFFFAOYSA-N -1 1 323.418 1.484 20 0 DDADMM C[C@H]1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C(C)(C)C1 ZINC000820742501 606160940 /nfs/dbraw/zinc/16/09/40/606160940.db2.gz LCSRMBUJMLFMRP-MRVPVSSYSA-N -1 1 304.358 1.253 20 0 DDADMM C[C@H]1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C(C)(C)C1 ZINC000820742501 606160942 /nfs/dbraw/zinc/16/09/42/606160942.db2.gz LCSRMBUJMLFMRP-MRVPVSSYSA-N -1 1 304.358 1.253 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@H]2CCCC2(C)C)n1 ZINC000822614604 606163447 /nfs/dbraw/zinc/16/34/47/606163447.db2.gz ONEGJFFWQGYIHP-VIFPVBQESA-N -1 1 304.358 1.300 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@H]2CCCC2(C)C)n1 ZINC000822614604 606163449 /nfs/dbraw/zinc/16/34/49/606163449.db2.gz ONEGJFFWQGYIHP-VIFPVBQESA-N -1 1 304.358 1.300 20 0 DDADMM O=C(CCc1cccnc1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822982200 606195927 /nfs/dbraw/zinc/19/59/27/606195927.db2.gz BUIPEWKCONLMCQ-UHFFFAOYSA-N -1 1 310.317 1.539 20 0 DDADMM C[C@@H](OC(=O)c1sccc1-c1nn[n-]n1)c1cnccn1 ZINC000820519370 606205779 /nfs/dbraw/zinc/20/57/79/606205779.db2.gz SUVNINJLFWMCKI-SSDOTTSWSA-N -1 1 302.319 1.636 20 0 DDADMM COC(=O)C[C@H](C)Sc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821657341 606466464 /nfs/dbraw/zinc/46/64/64/606466464.db2.gz MGVITZULGQJNGN-LURJTMIESA-N -1 1 313.770 1.959 20 0 DDADMM COC(=O)C[C@H](C)Sc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821657341 606466465 /nfs/dbraw/zinc/46/64/65/606466465.db2.gz MGVITZULGQJNGN-LURJTMIESA-N -1 1 313.770 1.959 20 0 DDADMM O=C(CC[C@H]1CCCO1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822950218 606517451 /nfs/dbraw/zinc/51/74/51/606517451.db2.gz FXXPBYIHDOUNBQ-LLVKDONJSA-N -1 1 303.322 1.264 20 0 DDADMM O=C(CC[C@H]1CCCO1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822950218 606517452 /nfs/dbraw/zinc/51/74/52/606517452.db2.gz FXXPBYIHDOUNBQ-LLVKDONJSA-N -1 1 303.322 1.264 20 0 DDADMM COCCn1ccc(NC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000821695023 606544439 /nfs/dbraw/zinc/54/44/39/606544439.db2.gz FJMXKDPYRQPSDJ-UHFFFAOYSA-N -1 1 319.350 1.023 20 0 DDADMM COCCn1ccc(NC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000821695023 606544440 /nfs/dbraw/zinc/54/44/40/606544440.db2.gz FJMXKDPYRQPSDJ-UHFFFAOYSA-N -1 1 319.350 1.023 20 0 DDADMM CN(Cc1ccc(O)cc1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821610830 606591036 /nfs/dbraw/zinc/59/10/36/606591036.db2.gz HBSBUQWTQMLYIY-UHFFFAOYSA-N -1 1 315.358 1.906 20 0 DDADMM CN(Cc1ccc(O)cc1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821610830 606591038 /nfs/dbraw/zinc/59/10/38/606591038.db2.gz HBSBUQWTQMLYIY-UHFFFAOYSA-N -1 1 315.358 1.906 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]2OCC[C@@H]21)c1ccc(-c2nnn[n-]2)s1 ZINC000823151310 606752475 /nfs/dbraw/zinc/75/24/75/606752475.db2.gz ZLUAARRAFNAXSK-BBBLOLIVSA-N -1 1 319.390 1.616 20 0 DDADMM O=C(N[C@@H]1CCC[C@@H]2OCC[C@@H]21)c1ccc(-c2nn[n-]n2)s1 ZINC000823151310 606752477 /nfs/dbraw/zinc/75/24/77/606752477.db2.gz ZLUAARRAFNAXSK-BBBLOLIVSA-N -1 1 319.390 1.616 20 0 DDADMM O=C(Nc1ccnn1CC1CC1)c1ccc(-c2nnn[n-]2)s1 ZINC000823375804 606939327 /nfs/dbraw/zinc/93/93/27/606939327.db2.gz KPOAEBKOTTXSJJ-UHFFFAOYSA-N -1 1 315.362 1.787 20 0 DDADMM O=C(Nc1ccnn1CC1CC1)c1ccc(-c2nn[n-]n2)s1 ZINC000823375804 606939329 /nfs/dbraw/zinc/93/93/29/606939329.db2.gz KPOAEBKOTTXSJJ-UHFFFAOYSA-N -1 1 315.362 1.787 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822519627 607104850 /nfs/dbraw/zinc/10/48/50/607104850.db2.gz JWWRQYLGXSXAKM-RXMQYKEDSA-N -1 1 319.350 1.670 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822519627 607104852 /nfs/dbraw/zinc/10/48/52/607104852.db2.gz JWWRQYLGXSXAKM-RXMQYKEDSA-N -1 1 319.350 1.670 20 0 DDADMM COc1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c(C)n1 ZINC000821835351 607132985 /nfs/dbraw/zinc/13/29/85/607132985.db2.gz IDYVUUAIMPVCBT-UHFFFAOYSA-N -1 1 311.305 1.226 20 0 DDADMM COc1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c(C)n1 ZINC000821835351 607132987 /nfs/dbraw/zinc/13/29/87/607132987.db2.gz IDYVUUAIMPVCBT-UHFFFAOYSA-N -1 1 311.305 1.226 20 0 DDADMM CC(C)n1nccc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000820215419 607158173 /nfs/dbraw/zinc/15/81/73/607158173.db2.gz USZWDUKTRBBNPA-UHFFFAOYSA-N -1 1 313.321 1.602 20 0 DDADMM C[C@@H](Nc1nccnc1-c1nnn[n-]1)[C@@H]1COc2ccccc21 ZINC000824670510 607850101 /nfs/dbraw/zinc/85/01/01/607850101.db2.gz QFZSXTWKNIJCQY-KOLCDFICSA-N -1 1 309.333 1.633 20 0 DDADMM C[C@@H](Nc1nccnc1-c1nn[n-]n1)[C@@H]1COc2ccccc21 ZINC000824670510 607850102 /nfs/dbraw/zinc/85/01/02/607850102.db2.gz QFZSXTWKNIJCQY-KOLCDFICSA-N -1 1 309.333 1.633 20 0 DDADMM Cc1cnc(CCN(C)c2nccnc2-c2nnn[n-]2)s1 ZINC000826318342 607850507 /nfs/dbraw/zinc/85/05/07/607850507.db2.gz DWZCFYVJFBUTFP-UHFFFAOYSA-N -1 1 302.367 1.101 20 0 DDADMM Cc1cnc(CCN(C)c2nccnc2-c2nn[n-]n2)s1 ZINC000826318342 607850508 /nfs/dbraw/zinc/85/05/08/607850508.db2.gz DWZCFYVJFBUTFP-UHFFFAOYSA-N -1 1 302.367 1.101 20 0 DDADMM OCCC[C@H](Nc1ccc(-c2nnn[n-]2)nn1)c1ccccc1 ZINC000826509598 607991374 /nfs/dbraw/zinc/99/13/74/607991374.db2.gz ZXSBPBKQKRKDDC-LBPRGKRZSA-N -1 1 311.349 1.582 20 0 DDADMM OCCC[C@H](Nc1ccc(-c2nn[n-]n2)nn1)c1ccccc1 ZINC000826509598 607991375 /nfs/dbraw/zinc/99/13/75/607991375.db2.gz ZXSBPBKQKRKDDC-LBPRGKRZSA-N -1 1 311.349 1.582 20 0 DDADMM CCc1nn(CC(=O)[C@H](C)CC)c(=O)c(-c2nn[n-]n2)c1CC ZINC000825763497 608022604 /nfs/dbraw/zinc/02/26/04/608022604.db2.gz XHRCUVHTNVHHAN-SECBINFHSA-N -1 1 318.381 1.163 20 0 DDADMM Clc1ccc(NCc2noc(C3CC3)n2)nc1-c1nnn[n-]1 ZINC000826353046 608124349 /nfs/dbraw/zinc/12/43/49/608124349.db2.gz ZABMLPXDQYMHKP-UHFFFAOYSA-N -1 1 318.728 1.788 20 0 DDADMM Clc1ccc(NCc2noc(C3CC3)n2)nc1-c1nn[n-]n1 ZINC000826353046 608124350 /nfs/dbraw/zinc/12/43/50/608124350.db2.gz ZABMLPXDQYMHKP-UHFFFAOYSA-N -1 1 318.728 1.788 20 0 DDADMM C[C@@H]1C[C@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCC1=O ZINC000824868306 608170009 /nfs/dbraw/zinc/17/00/09/608170009.db2.gz UTQQFJIMSRSSJG-PSASIEDQSA-N -1 1 315.333 1.516 20 0 DDADMM Clc1ccccc1CCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826353086 608405098 /nfs/dbraw/zinc/40/50/98/608405098.db2.gz AMGPQCBGNDOFRQ-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM Clc1ccccc1CCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826353086 608405099 /nfs/dbraw/zinc/40/50/99/608405099.db2.gz AMGPQCBGNDOFRQ-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM COc1nc(C)cc(C)c1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826221620 608411816 /nfs/dbraw/zinc/41/18/16/608411816.db2.gz NEPLDKRXQCTGHY-UHFFFAOYSA-N -1 1 312.337 1.289 20 0 DDADMM COc1nc(C)cc(C)c1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826221620 608411818 /nfs/dbraw/zinc/41/18/18/608411818.db2.gz NEPLDKRXQCTGHY-UHFFFAOYSA-N -1 1 312.337 1.289 20 0 DDADMM C[C@H]1Cc2ccccc2N1C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000825012585 608893665 /nfs/dbraw/zinc/89/36/65/608893665.db2.gz JUXUNMNXKMDSAS-JTQLQIEISA-N -1 1 309.333 1.041 20 0 DDADMM C[C@H]1Cc2ccccc2N1C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000825012585 608893666 /nfs/dbraw/zinc/89/36/66/608893666.db2.gz JUXUNMNXKMDSAS-JTQLQIEISA-N -1 1 309.333 1.041 20 0 DDADMM CC[C@H]1C[C@H](C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])CCO1 ZINC000825182630 609160850 /nfs/dbraw/zinc/16/08/50/609160850.db2.gz LHEXVYMBKFGUON-MNOVXSKESA-N -1 1 317.349 1.716 20 0 DDADMM CC(C)[C@@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)CCO1 ZINC000824223037 609319704 /nfs/dbraw/zinc/31/97/04/609319704.db2.gz GDXVVKRZJSDVSX-ZETCQYMHSA-N -1 1 314.802 1.838 20 0 DDADMM CC(C)[C@@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)CCO1 ZINC000824223037 609319706 /nfs/dbraw/zinc/31/97/06/609319706.db2.gz GDXVVKRZJSDVSX-ZETCQYMHSA-N -1 1 314.802 1.838 20 0 DDADMM Fc1ccc(CCNc2nccnc2-c2nnn[n-]2)c(F)c1F ZINC000826373845 609591656 /nfs/dbraw/zinc/59/16/56/609591656.db2.gz BPBWTBDJRRIDPM-UHFFFAOYSA-N -1 1 321.266 1.729 20 0 DDADMM Fc1ccc(CCNc2nccnc2-c2nn[n-]n2)c(F)c1F ZINC000826373845 609591657 /nfs/dbraw/zinc/59/16/57/609591657.db2.gz BPBWTBDJRRIDPM-UHFFFAOYSA-N -1 1 321.266 1.729 20 0 DDADMM C[C@@H]1C[C@H](CCNC(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000824874531 609610021 /nfs/dbraw/zinc/61/00/21/609610021.db2.gz DNQAWLNBXVQDLF-NXEZZACHSA-N -1 1 321.406 1.863 20 0 DDADMM C[C@@H]1C[C@H](CCNC(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000824874531 609610023 /nfs/dbraw/zinc/61/00/23/609610023.db2.gz DNQAWLNBXVQDLF-NXEZZACHSA-N -1 1 321.406 1.863 20 0 DDADMM CC[C@H]1C[C@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCO1 ZINC000825184442 609623971 /nfs/dbraw/zinc/62/39/71/609623971.db2.gz UURNVECTDRGETN-NEPJUHHUSA-N -1 1 317.349 1.510 20 0 DDADMM CC[C@H]1C[C@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCO1 ZINC000825184442 609623972 /nfs/dbraw/zinc/62/39/72/609623972.db2.gz UURNVECTDRGETN-NEPJUHHUSA-N -1 1 317.349 1.510 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])c1cncc(O)c1 ZINC000121570890 696712487 /nfs/dbraw/zinc/71/24/87/696712487.db2.gz KYQOVIGUIVURIZ-UHFFFAOYSA-N -1 1 310.107 1.903 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)COC[C@@H]2CCCO2)c1 ZINC000745028825 699969001 /nfs/dbraw/zinc/96/90/01/699969001.db2.gz HEOKASYJOKPXPR-HNNXBMFYSA-N -1 1 322.357 1.876 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]([C@H]2CCOC2)C(F)(F)F)[n-]1 ZINC000797131089 699995397 /nfs/dbraw/zinc/99/53/97/699995397.db2.gz YQMCBTKLSIZEFF-OIBJUYFYSA-N -1 1 321.251 1.926 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(Cl)c(Cl)cc2N)nc1=O ZINC000797483159 700011608 /nfs/dbraw/zinc/01/16/08/700011608.db2.gz YUUMTNYNQPXOIF-UHFFFAOYSA-N -1 1 317.132 1.354 20 0 DDADMM O=C(NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000974426951 695670519 /nfs/dbraw/zinc/67/05/19/695670519.db2.gz VRUAUXAHNUWVTK-LBPRGKRZSA-N -1 1 317.389 1.556 20 0 DDADMM CNC(=O)[C@]1(C)CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000797774326 700023748 /nfs/dbraw/zinc/02/37/48/700023748.db2.gz DPDLDRZDVCTESI-MRXNPFEDSA-N -1 1 320.393 1.212 20 0 DDADMM O=C(NC1CCC2(CC1)OCCO2)C(=O)c1ccc([O-])cc1 ZINC000798161720 700045480 /nfs/dbraw/zinc/04/54/80/700045480.db2.gz CABQYYIXFGHETP-UHFFFAOYSA-N -1 1 305.330 1.377 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NC(=O)C1CCC1)c1ncccc1[O-] ZINC000976125910 695923300 /nfs/dbraw/zinc/92/33/00/695923300.db2.gz HYAXMJAQRJPUCT-CHWSQXEVSA-N -1 1 317.389 1.745 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCc1nc(C2CC2)no1 ZINC000747369103 700066510 /nfs/dbraw/zinc/06/65/10/700066510.db2.gz AWORCZGHBPPVAI-UHFFFAOYSA-N -1 1 317.349 1.804 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccc(Cl)cc1)[C@@H]1CC1[N+](=O)[O-] ZINC000048418356 696211931 /nfs/dbraw/zinc/21/19/31/696211931.db2.gz UHPLFQFYPFTXTQ-RKDXNWHRSA-N -1 1 304.711 1.650 20 0 DDADMM CCC(Nc1ccc2c(c1)OCO2)=C1C(=O)[N-]C(=S)NC1=O ZINC000054501363 696276452 /nfs/dbraw/zinc/27/64/52/696276452.db2.gz UHYAZMIAXCJZDR-UHFFFAOYSA-N -1 1 319.342 1.022 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)O[C@@H](C)C(=O)OC ZINC000062295082 696323014 /nfs/dbraw/zinc/32/30/14/696323014.db2.gz DPLVFGKEQRXYQT-VIFPVBQESA-N -1 1 315.347 1.167 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N(C)C[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000978184031 696337736 /nfs/dbraw/zinc/33/77/36/696337736.db2.gz KYRMTIZIYPKXSA-SRVKXCTJSA-N -1 1 303.362 1.116 20 0 DDADMM COc1cc(C)[n-]c(=NNC(=S)NC(C2CC2)C2CC2)n1 ZINC000747654729 700080054 /nfs/dbraw/zinc/08/00/54/700080054.db2.gz PUGNFDSWEQHAMU-UHFFFAOYSA-N -1 1 307.423 1.773 20 0 DDADMM O=C(N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000978740408 696450164 /nfs/dbraw/zinc/45/01/64/696450164.db2.gz CUKJKHHRAHURON-PHIMTYICSA-N -1 1 315.329 1.319 20 0 DDADMM CC(C)(CNC(=O)Cc1sc(N)nc1[O-])c1cccc(F)c1 ZINC000080365072 696533602 /nfs/dbraw/zinc/53/36/02/696533602.db2.gz KNEHDQBKVZQFGD-LLVKDONJSA-N -1 1 323.393 1.566 20 0 DDADMM N#Cc1cccnc1NCCNC(=O)c1cc(F)ccc1[O-] ZINC000081957457 696548790 /nfs/dbraw/zinc/54/87/90/696548790.db2.gz UNGBKLATXAGGCB-UHFFFAOYSA-N -1 1 300.293 1.640 20 0 DDADMM COc1cccc(C(=O)NCCc2n[n-]c(=S)n2C2CC2)n1 ZINC000084188583 696556881 /nfs/dbraw/zinc/55/68/81/696556881.db2.gz IPWCLHLNZRZCNA-UHFFFAOYSA-N -1 1 319.390 1.652 20 0 DDADMM CCOC(=O)C[C@H](C)[S@](=O)CCC[N-]C(=O)C(F)(F)F ZINC000091537988 696589160 /nfs/dbraw/zinc/58/91/60/696589160.db2.gz WGQRVXNQVPAGOY-FHZGZLOMSA-N -1 1 317.329 1.145 20 0 DDADMM COc1ccccc1[S@](=O)CCC[N-]C(=O)C(F)(F)F ZINC000091537829 696588900 /nfs/dbraw/zinc/58/89/00/696588900.db2.gz YMYLJSMYAJMHCG-FQEVSTJZSA-N -1 1 309.309 1.871 20 0 DDADMM Cc1c2ccccc2oc1C(=O)[N-]NC(=O)[C@@H]1CCO[C@@H]1C ZINC000092210747 696593245 /nfs/dbraw/zinc/59/32/45/696593245.db2.gz ZWINWAVDDBACHO-ZYHUDNBSSA-N -1 1 302.330 1.927 20 0 DDADMM COc1ccccc1OCCN(C)C(=O)c1cncc([O-])c1 ZINC000111574224 696644357 /nfs/dbraw/zinc/64/43/57/696644357.db2.gz HMDMUEDBUGGIOF-UHFFFAOYSA-N -1 1 302.330 1.947 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)c1cccc(F)c1F)N(C)C ZINC000798854915 700102259 /nfs/dbraw/zinc/10/22/59/700102259.db2.gz ITRPAQQYRCCDPP-LBPRGKRZSA-N -1 1 320.361 1.356 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C1=CCCCC1 ZINC000128013849 696775534 /nfs/dbraw/zinc/77/55/34/696775534.db2.gz UFNCUGWXIZHLKN-UHFFFAOYSA-N -1 1 302.334 1.312 20 0 DDADMM CC(C)c1cccc(S(=O)(=O)[N-]C(=O)CCc2nc[nH]n2)c1 ZINC000130041870 696794080 /nfs/dbraw/zinc/79/40/80/696794080.db2.gz ZYSPABGBWZXHPN-UHFFFAOYSA-N -1 1 322.390 1.366 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(Cc2cccnc2)CC1 ZINC000981027469 696983379 /nfs/dbraw/zinc/98/33/79/696983379.db2.gz XKIRGMAWXWQQRG-UHFFFAOYSA-N -1 1 312.373 1.530 20 0 DDADMM C[C@H](C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000982592459 697155179 /nfs/dbraw/zinc/15/51/79/697155179.db2.gz ZTOKOYYSIHMEAM-NWDGAFQWSA-N -1 1 317.389 1.412 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2cccc(C)n2)co1 ZINC000163422029 697333004 /nfs/dbraw/zinc/33/30/04/697333004.db2.gz FAZULXLTKQGBOE-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)c2cccnc2)co1 ZINC000163544713 697333551 /nfs/dbraw/zinc/33/35/51/697333551.db2.gz SUTIZTPMLQBNCW-VIFPVBQESA-N -1 1 310.331 1.501 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])CCC1 ZINC000180398728 697448356 /nfs/dbraw/zinc/44/83/56/697448356.db2.gz KHUCVWARWFJKBO-UHFFFAOYSA-N -1 1 318.326 1.581 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)[N-]O[C@@H]1CCCCO1 ZINC000183655472 697491526 /nfs/dbraw/zinc/49/15/26/697491526.db2.gz IZISKXHQVAKJBY-BDAKNGLRSA-N -1 1 312.288 1.264 20 0 DDADMM COc1ccc(Cl)c(NC(=O)N[N-]C(=O)c2cc(C)[nH]n2)c1 ZINC000184614528 697505888 /nfs/dbraw/zinc/50/58/88/697505888.db2.gz YUTDLBWKGDEQHG-UHFFFAOYSA-N -1 1 323.740 1.847 20 0 DDADMM N#CC(C(=O)Nc1ccccn1)=C([O-])c1cn2ccnc2s1 ZINC000190773834 697596093 /nfs/dbraw/zinc/59/60/93/697596093.db2.gz DNYORHIURSSXCE-SECBINFHSA-N -1 1 311.326 1.752 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCC1CCC1 ZINC000986116322 697691201 /nfs/dbraw/zinc/69/12/01/697691201.db2.gz NWYMLFNVVFRALJ-JQWIXIFHSA-N -1 1 307.398 1.170 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1(C)CCCC1 ZINC000986222085 697741139 /nfs/dbraw/zinc/74/11/39/697741139.db2.gz LECSEKPJFNQTFZ-RYUDHWBXSA-N -1 1 321.425 1.560 20 0 DDADMM O=C(C=Cc1cccnc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000773314373 697742677 /nfs/dbraw/zinc/74/26/77/697742677.db2.gz KHLXLISQURNUPG-BTDICHCPSA-N -1 1 300.318 1.590 20 0 DDADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC(C)(C)C1CC1 ZINC000986254915 697753968 /nfs/dbraw/zinc/75/39/68/697753968.db2.gz XYAJLCSBXRBXKH-PWSUYJOCSA-N -1 1 321.425 1.416 20 0 DDADMM CC(C)C(=O)N[C@H]1CCCCCN(C(=O)c2ncccc2[O-])C1 ZINC000987005310 697969131 /nfs/dbraw/zinc/96/91/31/697969131.db2.gz ZBCWFKXTEGUYSS-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cc1 ZINC000987402229 698109203 /nfs/dbraw/zinc/10/92/03/698109203.db2.gz DYUFLHSSTNNUKR-WCQYABFASA-N -1 1 315.377 1.212 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CCCCC1 ZINC000987876213 698255468 /nfs/dbraw/zinc/25/54/68/698255468.db2.gz KNQODOPAIBETFA-ZYHUDNBSSA-N -1 1 307.398 1.170 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H](F)Cc1ccccc1 ZINC000778284664 698256856 /nfs/dbraw/zinc/25/68/56/698256856.db2.gz BJOWMAPGUCMBLU-LBPRGKRZSA-N -1 1 303.341 1.666 20 0 DDADMM C[C@H]1CN(Cc2cc(=O)oc3cc([O-])ccc23)C[C@@H](CO)O1 ZINC000248873113 698382392 /nfs/dbraw/zinc/38/23/92/698382392.db2.gz VIXVMGLQLPVOPI-GWCFXTLKSA-N -1 1 305.330 1.080 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCOC[C@H]1c1ncon1 ZINC000778952404 698385880 /nfs/dbraw/zinc/38/58/80/698385880.db2.gz RHZFAEMSATZKQK-VIFPVBQESA-N -1 1 309.709 1.642 20 0 DDADMM CC(C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C)=C1CCCC1 ZINC000988550353 698403283 /nfs/dbraw/zinc/40/32/83/698403283.db2.gz CRGYNLJEFDVABD-GXFFZTMASA-N -1 1 319.409 1.480 20 0 DDADMM CC(=CC(=O)NCCCc1nc(=O)[n-][nH]1)c1ccccc1Cl ZINC000254968137 698409219 /nfs/dbraw/zinc/40/92/19/698409219.db2.gz NEBBYHGMXWYCKZ-KTKRTIGZSA-N -1 1 320.780 1.904 20 0 DDADMM CCc1occc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000988748638 698453904 /nfs/dbraw/zinc/45/39/04/698453904.db2.gz CTMOFFIUEMJIFE-NXEZZACHSA-N -1 1 319.365 1.059 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CC(C)(C)C1 ZINC000988778502 698462346 /nfs/dbraw/zinc/46/23/46/698462346.db2.gz QFHQUIQSFMWNLG-ONGXEEELSA-N -1 1 307.398 1.026 20 0 DDADMM CCC[C@H](NC(=O)NC[C@@H](c1cccs1)N(C)C)C(=O)[O-] ZINC000780340791 698508324 /nfs/dbraw/zinc/50/83/24/698508324.db2.gz AWUSNFIPHUPFST-QWRGUYRKSA-N -1 1 313.423 1.903 20 0 DDADMM O=C([O-])CN(C(=O)NCc1n[nH]c(-c2ccccc2)n1)C1CC1 ZINC000780506712 698525944 /nfs/dbraw/zinc/52/59/44/698525944.db2.gz KIHOYJOGUHNHRH-UHFFFAOYSA-N -1 1 315.333 1.230 20 0 DDADMM O=C([O-])CN(C(=O)NCc1nc(-c2ccccc2)n[nH]1)C1CC1 ZINC000780506712 698525946 /nfs/dbraw/zinc/52/59/46/698525946.db2.gz KIHOYJOGUHNHRH-UHFFFAOYSA-N -1 1 315.333 1.230 20 0 DDADMM Cc1ncc(NS(=O)(=O)c2cc(C(=O)[O-])ccc2F)cn1 ZINC000384193048 699071282 /nfs/dbraw/zinc/07/12/82/699071282.db2.gz HZOSPSLHPXWMBE-UHFFFAOYSA-N -1 1 311.294 1.423 20 0 DDADMM Cc1cnc(CC(=O)OCCC[N-]C(=O)C(F)(F)F)s1 ZINC000787010828 699185679 /nfs/dbraw/zinc/18/56/79/699185679.db2.gz FKDQFRHNRSJAQE-UHFFFAOYSA-N -1 1 310.297 1.606 20 0 DDADMM O=C(NC1CN(C(=O)[C@]23C[C@H]2CCCC3)C1)c1ncccc1[O-] ZINC000990969230 699195590 /nfs/dbraw/zinc/19/55/90/699195590.db2.gz BRSMNZUJTFEYDS-PIGZYNQJSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@H]1CCC[C@]1(C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990972180 699196408 /nfs/dbraw/zinc/19/64/08/699196408.db2.gz MWAPQNCSZROYNE-GTNSWQLSSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CCC[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990972882 699196478 /nfs/dbraw/zinc/19/64/78/699196478.db2.gz VBUBVDCDYFQRAM-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cc(C)c(N2C[C@@H](C(=O)[N-]OCCO)CC2=O)c(C)c1 ZINC000787292728 699203526 /nfs/dbraw/zinc/20/35/26/699203526.db2.gz GHPGFUSSARUDEP-ZDUSSCGKSA-N -1 1 306.362 1.005 20 0 DDADMM O=C(NCCC1CS(=O)(=O)C1)c1c([O-])cccc1Cl ZINC000787450628 699212817 /nfs/dbraw/zinc/21/28/17/699212817.db2.gz FYXWCJHEGRENLC-UHFFFAOYSA-N -1 1 303.767 1.210 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC2(C1)OCCO2 ZINC000701248943 699226422 /nfs/dbraw/zinc/22/64/22/699226422.db2.gz IBOFTTUTMGMIMC-UHFFFAOYSA-N -1 1 307.350 1.203 20 0 DDADMM CC(C)(C)S(=O)(=O)CCCN1CCC[C@H](CC(=O)[O-])C1 ZINC000718721849 699294795 /nfs/dbraw/zinc/29/47/95/699294795.db2.gz RVACCSBCPCYOID-GFCCVEGCSA-N -1 1 305.440 1.777 20 0 DDADMM O=C(COC(=O)c1cccnc1F)[N-]C(=O)c1ccccc1 ZINC000789008731 699355285 /nfs/dbraw/zinc/35/52/85/699355285.db2.gz VMIVCZOXDWPGLQ-UHFFFAOYSA-N -1 1 302.261 1.334 20 0 DDADMM Cc1ccc2c(c1)CC[C@H]2NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727851915 699428308 /nfs/dbraw/zinc/42/83/08/699428308.db2.gz PDDNBFPLSMICED-CQSZACIVSA-N -1 1 313.357 1.039 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC2(CCCCC2)CC1 ZINC000727855919 699428491 /nfs/dbraw/zinc/42/84/91/699428491.db2.gz SBJUIWHDOXYDHW-UHFFFAOYSA-N -1 1 319.405 1.500 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])[C@@H]1CSC(=O)N1 ZINC000790043305 699436993 /nfs/dbraw/zinc/43/69/93/699436993.db2.gz QMTMWCIFRJVOIY-BYPYZUCNSA-N -1 1 318.152 1.313 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC(=O)OC(C)C)c(F)c1 ZINC000731217068 699524653 /nfs/dbraw/zinc/52/46/53/699524653.db2.gz TXUNISXQPWNXDM-UHFFFAOYSA-N -1 1 323.317 1.203 20 0 DDADMM CC(C)c1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)on1 ZINC000733833291 699618238 /nfs/dbraw/zinc/61/82/38/699618238.db2.gz XVPCGDOEMOFKLX-UHFFFAOYSA-N -1 1 316.313 1.911 20 0 DDADMM O=C([N-]c1nc(C2CC2)no1)c1cn(Cc2ccccc2)nn1 ZINC000734879634 699665375 /nfs/dbraw/zinc/66/53/75/699665375.db2.gz QHYSDXYVHJVISI-UHFFFAOYSA-N -1 1 310.317 1.839 20 0 DDADMM CC[C@H](C)[C@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000737869338 699746937 /nfs/dbraw/zinc/74/69/37/699746937.db2.gz ZIFUQWZZNMEGJM-QWHCGFSZSA-N -1 1 307.394 1.354 20 0 DDADMM CSCCO[N-]C(=O)[C@H](C(C)C)N1C[C@@H](C)O[C@H](C)C1 ZINC000794191538 699796826 /nfs/dbraw/zinc/79/68/26/699796826.db2.gz VXADRGUAVFOZQK-UPJWGTAASA-N -1 1 304.456 1.531 20 0 DDADMM CCc1ccc([C@H](COC)[N-]S(=O)(=O)c2c[nH]nc2C)o1 ZINC000742306747 699872687 /nfs/dbraw/zinc/87/26/87/699872687.db2.gz BBGXFVOCVUHZTB-NSHDSACASA-N -1 1 313.379 1.540 20 0 DDADMM Cc1nonc1C[N-]S(=O)(=O)c1ccc(F)cc1Cl ZINC000742324804 699873715 /nfs/dbraw/zinc/87/37/15/699873715.db2.gz FWHRTLNNKRLZSL-UHFFFAOYSA-N -1 1 305.718 1.649 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCCCCC2)[n-]1 ZINC000796363664 699929417 /nfs/dbraw/zinc/92/94/17/699929417.db2.gz VKVCGANBTVLCFG-NSHDSACASA-N -1 1 322.361 1.749 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cnc(OC)nc2C)[n-]1 ZINC000796370183 699929815 /nfs/dbraw/zinc/92/98/15/699929815.db2.gz VTYJFUCNJAATPM-UHFFFAOYSA-N -1 1 305.290 1.265 20 0 DDADMM Cc1ccccc1[C@H](O)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000796414671 699932574 /nfs/dbraw/zinc/93/25/74/699932574.db2.gz HHHAKSCFHOAKMQ-MRXNPFEDSA-N -1 1 316.405 1.362 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2ccn(C)c(=O)c2)c1 ZINC000744779180 699960557 /nfs/dbraw/zinc/96/05/57/699960557.db2.gz YCPXLWDQJSKZTK-UHFFFAOYSA-N -1 1 315.325 1.693 20 0 DDADMM CC(C)[C@@H](OC(=O)c1nn(-c2ccccc2)cc1[O-])C(N)=O ZINC000801202742 700277358 /nfs/dbraw/zinc/27/73/58/700277358.db2.gz AFLXNRHBEBXRJW-CYBMUJFWSA-N -1 1 303.318 1.245 20 0 DDADMM O=C(OCCn1cncn1)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801326158 700297549 /nfs/dbraw/zinc/29/75/49/700297549.db2.gz WMAAMTMAFPLADB-UHFFFAOYSA-N -1 1 317.280 1.166 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)O[C@H]2CCNC2=O)n1 ZINC000801418678 700305650 /nfs/dbraw/zinc/30/56/50/700305650.db2.gz SKNKZMXMEDXAFM-ZDUSSCGKSA-N -1 1 315.329 1.186 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)OC[C@@H](C)CO)n1 ZINC000801417361 700305809 /nfs/dbraw/zinc/30/58/09/700305809.db2.gz LZBOCPBJHMWRNW-NSHDSACASA-N -1 1 304.346 1.926 20 0 DDADMM COc1cccc(F)c1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801703758 700332960 /nfs/dbraw/zinc/33/29/60/700332960.db2.gz VPJVAVHUSGNHRU-UHFFFAOYSA-N -1 1 300.293 1.742 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CSCC(F)(F)F)ccnc1-2 ZINC000801704441 700333262 /nfs/dbraw/zinc/33/32/62/700333262.db2.gz MEOINKMLCCIGPY-UHFFFAOYSA-N -1 1 304.297 1.576 20 0 DDADMM NC(=O)c1ccc(CNC(=O)c2c([O-])cccc2Cl)cn1 ZINC000754016219 700476428 /nfs/dbraw/zinc/47/64/28/700476428.db2.gz FFRYMJRLYPOOSN-UHFFFAOYSA-N -1 1 305.721 1.470 20 0 DDADMM NC(=O)[C@@H](Cc1ccccc1)OC(=O)c1c([O-])cc(F)cc1F ZINC000754799787 700528908 /nfs/dbraw/zinc/52/89/08/700528908.db2.gz KSEJFZGYOIWAFF-CYBMUJFWSA-N -1 1 321.279 1.924 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCO[C@@H]1CCOC1 ZINC000756988516 700651303 /nfs/dbraw/zinc/65/13/03/700651303.db2.gz CPEXAGBSWMBVAH-GFCCVEGCSA-N -1 1 323.393 1.539 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H](C)c1ccc[nH]1 ZINC000760401927 700806542 /nfs/dbraw/zinc/80/65/42/700806542.db2.gz AHCOYWVTDWKDAM-ZETCQYMHSA-N -1 1 302.787 1.750 20 0 DDADMM Cc1ccc(CC(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(Cl)c1 ZINC000762206392 700885006 /nfs/dbraw/zinc/88/50/06/700885006.db2.gz KOWRMVSFPCUOLM-LBPRGKRZSA-N -1 1 321.768 1.304 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)C(=O)OCC(C)C)sc1C ZINC000763397555 700936845 /nfs/dbraw/zinc/93/68/45/700936845.db2.gz ODIBNDUSXPGCRO-VIFPVBQESA-N -1 1 320.436 1.626 20 0 DDADMM C[C@@H]1CC(=O)CC[C@H]1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000763975663 700955888 /nfs/dbraw/zinc/95/58/88/700955888.db2.gz AGTQGLGFPAJYMQ-HONMWMINSA-N -1 1 313.361 1.411 20 0 DDADMM CN(CC(C)(C)S(C)(=O)=O)C(=O)c1c([O-])cccc1Cl ZINC000765403630 701010071 /nfs/dbraw/zinc/01/00/71/701010071.db2.gz LOTCGTJFURQDCO-UHFFFAOYSA-N -1 1 319.810 1.941 20 0 DDADMM Cn1[n-]c(COC(=O)C2(c3cccc(F)c3)CCC2)nc1=O ZINC000765409069 701010317 /nfs/dbraw/zinc/01/03/17/701010317.db2.gz KBBZLDJRPPFUIR-UHFFFAOYSA-N -1 1 305.309 1.413 20 0 DDADMM Cn1[n-]c(COC(=O)COc2ccccc2C(C)(C)C)nc1=O ZINC000765414400 701010681 /nfs/dbraw/zinc/01/06/81/701010681.db2.gz UIACJJOEJOOSME-UHFFFAOYSA-N -1 1 319.361 1.528 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc3ccc(F)cc3s2)nc1=O ZINC000765419350 701011045 /nfs/dbraw/zinc/01/10/45/701011045.db2.gz ATDARAPFJUSVAB-UHFFFAOYSA-N -1 1 307.306 1.819 20 0 DDADMM CCOc1ccc(SCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765419679 701011060 /nfs/dbraw/zinc/01/10/60/701011060.db2.gz QZGDZVMCTPLNLO-UHFFFAOYSA-N -1 1 323.374 1.343 20 0 DDADMM COCCCO[N-]C(=O)[C@H](C(C)C)N1C[C@H](C)O[C@@H](C)C1 ZINC000805045557 701228773 /nfs/dbraw/zinc/22/87/73/701228773.db2.gz SUNJRQLCRFUXNI-IHRRRGAJSA-N -1 1 302.415 1.205 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCOC[C@@H]1CCO ZINC000770132394 701268398 /nfs/dbraw/zinc/26/83/98/701268398.db2.gz DRTFZJCSMJSUPA-ZDUSSCGKSA-N -1 1 301.342 1.769 20 0 DDADMM CCc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1C ZINC000770269632 701273416 /nfs/dbraw/zinc/27/34/16/701273416.db2.gz VOXKFLHKEMJSEJ-UHFFFAOYSA-N -1 1 301.346 1.436 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)Nc1ccccc1C(F)(F)F ZINC000805605458 701398368 /nfs/dbraw/zinc/39/83/68/701398368.db2.gz ZSNKKYDRIRHBRG-UHFFFAOYSA-N -1 1 314.223 1.619 20 0 DDADMM CC(C)CNC(=O)c1cccc(COC(=O)c2cn[n-]n2)c1 ZINC000805606026 701398433 /nfs/dbraw/zinc/39/84/33/701398433.db2.gz FAJOZOPVQVPMKP-UHFFFAOYSA-N -1 1 302.334 1.548 20 0 DDADMM CC(C)C(=O)Nc1ccc(C(=O)COC(=O)c2cn[n-]n2)cc1 ZINC000805605395 701398724 /nfs/dbraw/zinc/39/87/24/701398724.db2.gz LHYNGCQQZRIZJB-UHFFFAOYSA-N -1 1 316.317 1.439 20 0 DDADMM COc1ccccc1[C@@H](C)N(C)C(=O)COC(=O)c1cn[n-]n1 ZINC000805606237 701399224 /nfs/dbraw/zinc/39/92/24/701399224.db2.gz VIZAGGZXZTTZID-SNVBAGLBSA-N -1 1 318.333 1.190 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC(=O)Nc3ccccc3)ccnc1-2 ZINC000806489651 701437718 /nfs/dbraw/zinc/43/77/18/701437718.db2.gz ZASSYKPWCFIPHB-UHFFFAOYSA-N -1 1 324.344 1.102 20 0 DDADMM Cc1ncc(CCNC(=O)N=c2ccnc3n(C)[n-]cc2-3)s1 ZINC000806491698 701437857 /nfs/dbraw/zinc/43/78/57/701437857.db2.gz QUJJZDMSFJATBR-UHFFFAOYSA-N -1 1 316.390 1.471 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@@]3(C)CCO[C@@H]3C3CC3)ccnc1-2 ZINC000806493520 701438037 /nfs/dbraw/zinc/43/80/37/701438037.db2.gz XAENGCLGTWMMAC-CJNGLKHVSA-N -1 1 315.377 1.421 20 0 DDADMM C[C@H]1C[C@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C[C@@H](C)C1 ZINC000830960195 706611405 /nfs/dbraw/zinc/61/14/05/706611405.db2.gz YCDJFFFMGYZLAA-GDNZZTSVSA-N -1 1 319.405 1.943 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](O)CC(C)(C)C2)c1 ZINC000867823513 701776023 /nfs/dbraw/zinc/77/60/23/701776023.db2.gz LCGOYJVTZFBIBF-SNVBAGLBSA-N -1 1 315.391 1.182 20 0 DDADMM CC(C)CO[N-]C(=O)[C@@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC000839708347 701791987 /nfs/dbraw/zinc/79/19/87/701791987.db2.gz CYHLAZJJKBTEQS-CQSZACIVSA-N -1 1 322.380 1.921 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@]23CCC[C@H]2OCC3)sc1C ZINC000867885312 701811264 /nfs/dbraw/zinc/81/12/64/701811264.db2.gz LQMSGXJWUWYAFB-PWSUYJOCSA-N -1 1 302.421 1.750 20 0 DDADMM CC[C@@H](C[C@H](C)O)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000867932858 701839936 /nfs/dbraw/zinc/83/99/36/701839936.db2.gz UCSKLNRJNZHPMN-IUCAKERBSA-N -1 1 323.361 1.801 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)c2cc(C)on2)o1 ZINC000810788971 701851342 /nfs/dbraw/zinc/85/13/42/701851342.db2.gz DLBSVMUVAKWFKP-QMMMGPOBSA-N -1 1 314.319 1.402 20 0 DDADMM O=C(C[C@@H]1CCNC1=O)Nc1nc(Br)ccc1[O-] ZINC000879240154 706625472 /nfs/dbraw/zinc/62/54/72/706625472.db2.gz AZXKAFZYNDQAQA-LURJTMIESA-N -1 1 314.139 1.014 20 0 DDADMM C[S@@](=O)CCN1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000816515061 702103050 /nfs/dbraw/zinc/10/30/50/702103050.db2.gz OGIDDNJHIFNZGO-HXUWFJFHSA-N -1 1 316.854 1.542 20 0 DDADMM O=S(=O)([N-]N=c1nccc[nH]1)c1ccc(C(F)(F)F)s1 ZINC000812268397 702132721 /nfs/dbraw/zinc/13/27/21/702132721.db2.gz JAMAZCIQLJDSFW-UHFFFAOYSA-N -1 1 324.309 1.862 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@]23C[C@@H]2CCCC3)c1 ZINC000868461358 702141900 /nfs/dbraw/zinc/14/19/00/702141900.db2.gz CHVWOPFNCSWKMZ-BONVTDFDSA-N -1 1 324.402 1.819 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868524845 702177327 /nfs/dbraw/zinc/17/73/27/702177327.db2.gz KDGFGRVIRFFIFK-NXEZZACHSA-N -1 1 306.366 1.243 20 0 DDADMM CC[C@@H]1C[C@H]1NC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868574572 702205862 /nfs/dbraw/zinc/20/58/62/702205862.db2.gz PRXWMDZZTNCOGR-LNLATYFQSA-N -1 1 307.316 1.637 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@H](C)N1CCc2ccccc2C1 ZINC000812808201 702245429 /nfs/dbraw/zinc/24/54/29/702245429.db2.gz BPQMDNFGTXRDOJ-AWEZNQCLSA-N -1 1 306.406 1.906 20 0 DDADMM C[C@H]1CCN(C(=O)C2(O)CCC2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000813635777 702388174 /nfs/dbraw/zinc/38/81/74/702388174.db2.gz GOZGVUATKYOENX-GUBZILKMSA-N -1 1 322.327 1.205 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC1CCCCCC1 ZINC000869213493 702513509 /nfs/dbraw/zinc/51/35/09/702513509.db2.gz WECKTHBCSDOBBX-UHFFFAOYSA-N -1 1 320.393 1.777 20 0 DDADMM C[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)c1cc[nH]c(=O)c1 ZINC000818027680 702558285 /nfs/dbraw/zinc/55/82/85/702558285.db2.gz RINWAEBLXZYNKS-MRVPVSSYSA-N -1 1 315.358 1.610 20 0 DDADMM CCOCCC[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866387470 706687392 /nfs/dbraw/zinc/68/73/92/706687392.db2.gz RMDZJHLIAYOYFL-UHFFFAOYSA-N -1 1 315.317 1.144 20 0 DDADMM Cc1csc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)c1 ZINC000843014518 702803395 /nfs/dbraw/zinc/80/33/95/702803395.db2.gz NHOFUOYBXBHHLM-UHFFFAOYSA-N -1 1 316.448 1.600 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CSC(C)(C)C)CCC1 ZINC000843017918 702804235 /nfs/dbraw/zinc/80/42/35/702804235.db2.gz LBWVONSRBUEGCK-UHFFFAOYSA-N -1 1 322.496 1.448 20 0 DDADMM O=C(NCCCOC(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000831398180 706700021 /nfs/dbraw/zinc/70/00/21/706700021.db2.gz NIBQLCYIZNVFCI-UHFFFAOYSA-N -1 1 302.306 1.377 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccc(OC)c(F)c1 ZINC000866471579 706707797 /nfs/dbraw/zinc/70/77/97/706707797.db2.gz UANQDPYVQNDRIJ-LJQANCHMSA-N -1 1 324.399 1.286 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC4(CC4(F)F)CC3)ccnc1-2 ZINC000844650090 703051347 /nfs/dbraw/zinc/05/13/47/703051347.db2.gz HLPATNUJKGGEDC-UHFFFAOYSA-N -1 1 321.331 1.995 20 0 DDADMM C[C@@H](CN(C)C(=O)c1snc(Cl)c1Cl)c1nn[n-]n1 ZINC000845939601 703217904 /nfs/dbraw/zinc/21/79/04/703217904.db2.gz QUPPTXWTFXBKJD-BYPYZUCNSA-N -1 1 321.193 1.839 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1Cc2ccccc21 ZINC000866563206 706730647 /nfs/dbraw/zinc/73/06/47/706730647.db2.gz YWKXQKFNOXRHPV-ADLMAVQZSA-N -1 1 302.421 1.278 20 0 DDADMM C[S@@](=O)CCN(CC(=O)[O-])Cc1ccc(C(F)(F)F)cc1 ZINC000846269480 703258535 /nfs/dbraw/zinc/25/85/35/703258535.db2.gz QRTBDYIXVRNUIL-OAQYLSRUSA-N -1 1 323.336 1.971 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2CCC[C@@H]2Cc2ccccc2)nc1=O ZINC000850333172 703724974 /nfs/dbraw/zinc/72/49/74/703724974.db2.gz CZLKCXAVSWJIRN-ZIAGYGMSSA-N -1 1 315.373 1.811 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC2CC3(CCC3)C2)o1 ZINC000851479788 703804243 /nfs/dbraw/zinc/80/42/43/703804243.db2.gz QBNWXCJAAWIIES-UHFFFAOYSA-N -1 1 313.375 1.925 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1c[nH]nc1Cl)C1CCCC1 ZINC000851833090 703866721 /nfs/dbraw/zinc/86/67/21/703866721.db2.gz XETSKERQELXYEJ-VIFPVBQESA-N -1 1 307.803 1.547 20 0 DDADMM O=C([N-]Cc1nnc(C2CCOCC2)[nH]1)C(F)(F)C(F)F ZINC000870410118 704025898 /nfs/dbraw/zinc/02/58/98/704025898.db2.gz UXBZECOKQAVDQD-UHFFFAOYSA-N -1 1 310.251 1.215 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C2(C(F)F)CCC2)CCC1 ZINC000870446499 704039375 /nfs/dbraw/zinc/03/93/75/704039375.db2.gz HKYURHKWFBUBNB-UHFFFAOYSA-N -1 1 324.393 1.352 20 0 DDADMM CCCOC(=O)[C@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764769 706784945 /nfs/dbraw/zinc/78/49/45/706784945.db2.gz IJTFUFKFMOEQIL-JOYOIKCWSA-N -1 1 310.316 1.471 20 0 DDADMM CCCCOC(=O)[C@H](C)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879766334 706786441 /nfs/dbraw/zinc/78/64/41/706786441.db2.gz WYCZRXODNXGYGT-GWCFXTLKSA-N -1 1 324.343 1.861 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC(c3ccc(F)cc3)CC2)C1=O ZINC000852726763 704107487 /nfs/dbraw/zinc/10/74/87/704107487.db2.gz CJPNSVUBVMJICC-HNNXBMFYSA-N -1 1 320.364 1.691 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CN(CC(=O)Nc2ccc(Cl)nc2)C1 ZINC000852732624 704108579 /nfs/dbraw/zinc/10/85/79/704108579.db2.gz VFQIRJCVMUQPCE-ZJUUUORDSA-N -1 1 311.769 1.716 20 0 DDADMM C[C@@H]1CCN(CCOCC(F)F)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852757173 704116685 /nfs/dbraw/zinc/11/66/85/704116685.db2.gz MZGNZYMJSKEOGW-BDAKNGLRSA-N -1 1 318.286 1.657 20 0 DDADMM CCn1ncnc1CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852757254 704116739 /nfs/dbraw/zinc/11/67/39/704116739.db2.gz QPWMJYWHAHPXNQ-ZJUUUORDSA-N -1 1 319.331 1.187 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCCN(C=O)CC1 ZINC000819435767 704124452 /nfs/dbraw/zinc/12/44/52/704124452.db2.gz FBXFJYPYCNBKKT-UHFFFAOYSA-N -1 1 320.393 1.092 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C2COC(C)(C)OC2)c(F)c1 ZINC000819878617 704179686 /nfs/dbraw/zinc/17/96/86/704179686.db2.gz FNCVBSPMHWRPKM-UHFFFAOYSA-N -1 1 321.345 1.703 20 0 DDADMM O=C(c1cnc(Cl)cn1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000819970066 704194562 /nfs/dbraw/zinc/19/45/62/704194562.db2.gz JOTNGGKFGSTARA-ZETCQYMHSA-N -1 1 309.713 1.238 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)CC(C)(C)O)c1 ZINC000821058567 704365877 /nfs/dbraw/zinc/36/58/77/704365877.db2.gz FLPREUJDCIDCPW-MQJDWESPSA-N -1 1 314.403 1.896 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@H]([C@@H]3COC(C)(C)O3)C2)c([O-])c1 ZINC000831952121 706811398 /nfs/dbraw/zinc/81/13/98/706811398.db2.gz YFLOKYNKPFOECG-STQMWFEESA-N -1 1 322.361 1.088 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)CCSC)c1 ZINC000866813977 706811772 /nfs/dbraw/zinc/81/17/72/706811772.db2.gz HXFSQYZJMZMVGF-VIFPVBQESA-N -1 1 305.421 1.821 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C)[C@H](C)NC(=O)OC(C)(C)C ZINC000854635768 704430586 /nfs/dbraw/zinc/43/05/86/704430586.db2.gz XOCSXSFWGLSWFJ-YUMQZZPRSA-N -1 1 312.370 1.912 20 0 DDADMM CC1=NO[C@@H](C(=O)N2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)C1 ZINC000856415040 704527839 /nfs/dbraw/zinc/52/78/39/704527839.db2.gz FNDQHPKXUSXOPE-FKTZTGRPSA-N -1 1 321.299 1.067 20 0 DDADMM CC[C@H](C)[C@@H](O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866850135 706824752 /nfs/dbraw/zinc/82/47/52/706824752.db2.gz VMXGSTKHQOJHSJ-YUMQZZPRSA-N -1 1 310.778 1.559 20 0 DDADMM O=C(N[C@@H](C1CC1)C1(CO)CCOCC1)c1cncc([O-])c1 ZINC000857551446 704603431 /nfs/dbraw/zinc/60/34/31/704603431.db2.gz XYERVVJUUVKAAR-AWEZNQCLSA-N -1 1 306.362 1.085 20 0 DDADMM CCCCCCOCC(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1 ZINC000857684496 704613434 /nfs/dbraw/zinc/61/34/34/704613434.db2.gz MJLMRBDREQCSOP-UHFFFAOYSA-N -1 1 321.381 1.515 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](C)[C@@H](CO)C1 ZINC000857730326 704618655 /nfs/dbraw/zinc/61/86/55/704618655.db2.gz PUTKTCVZIAEEEV-ZYHUDNBSSA-N -1 1 307.394 1.705 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1occc1C(=O)OC)c1ccncc1 ZINC000866864747 706829609 /nfs/dbraw/zinc/82/96/09/706829609.db2.gz SOXVMCGLRTUOFJ-GFCCVEGCSA-N -1 1 324.358 1.891 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)Cc2ccc(F)cc2Cl)n1 ZINC000867177073 706916734 /nfs/dbraw/zinc/91/67/34/706916734.db2.gz NDCZWQYGOSCWFJ-UHFFFAOYSA-N -1 1 319.745 1.790 20 0 DDADMM CC(C)(C)OC(=O)[C@@](C)(O)CNc1cc(Cl)[n-]c(=O)n1 ZINC000858487083 704717438 /nfs/dbraw/zinc/71/74/38/704717438.db2.gz UXHFOUJGRLVCNS-LBPRGKRZSA-N -1 1 303.746 1.340 20 0 DDADMM CS(=O)(=O)[C@H]1CCCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858516546 704721019 /nfs/dbraw/zinc/72/10/19/704721019.db2.gz HQQSVEMJRVNXJH-QMMMGPOBSA-N -1 1 305.787 1.239 20 0 DDADMM C[C@@H](F)CC[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867309162 706955170 /nfs/dbraw/zinc/95/51/70/706955170.db2.gz TTWOYDLYOILFTI-ZCFIWIBFSA-N -1 1 303.281 1.465 20 0 DDADMM O=CN1CCC[C@@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000821826392 704819475 /nfs/dbraw/zinc/81/94/75/704819475.db2.gz NIPNKLUDCZOEPK-CYBMUJFWSA-N -1 1 300.318 1.342 20 0 DDADMM COc1cccc(C(=O)Nc2ncc(-c3nnn[n-]3)s2)c1 ZINC000821857808 704827852 /nfs/dbraw/zinc/82/78/52/704827852.db2.gz AQBIWBDMYKOZCZ-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM COc1cccc(C(=O)Nc2ncc(-c3nn[n-]n3)s2)c1 ZINC000821857808 704827859 /nfs/dbraw/zinc/82/78/59/704827859.db2.gz AQBIWBDMYKOZCZ-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM Cc1ccc2c(c1)C=C(C(=O)N(C)C[C@H](C)c1nn[n-]n1)CO2 ZINC000821894613 704837455 /nfs/dbraw/zinc/83/74/55/704837455.db2.gz OXAQZSBUBKDVJB-NSHDSACASA-N -1 1 313.361 1.546 20 0 DDADMM COC(=O)C1=CC[C@H]([N-]S(=O)(=O)c2sccc2Cl)C1 ZINC000859286025 704849145 /nfs/dbraw/zinc/84/91/45/704849145.db2.gz DEQHJEQJACONJO-QMMMGPOBSA-N -1 1 321.807 1.942 20 0 DDADMM O=C([N-]OCc1ccccc1)[C@H]1CNC(=O)C[C@H]1C(F)(F)F ZINC000873836387 704866351 /nfs/dbraw/zinc/86/63/51/704866351.db2.gz QNDLZXXHJLROGN-WDEREUQCSA-N -1 1 316.279 1.549 20 0 DDADMM CC[C@@H]1OCC[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867388709 706984665 /nfs/dbraw/zinc/98/46/65/706984665.db2.gz CIKKNUQTMVPIBT-RQJHMYQMSA-N -1 1 324.200 1.018 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2ccc(Cl)nc2F)CC1 ZINC000867458239 707007418 /nfs/dbraw/zinc/00/74/18/707007418.db2.gz KNGBNWWUOOSRQG-UHFFFAOYSA-N -1 1 322.745 1.248 20 0 DDADMM O=S(=O)([N-][C@@H](CO)CCF)c1cc(Cl)cnc1Cl ZINC000867462330 707009402 /nfs/dbraw/zinc/00/94/02/707009402.db2.gz OXZZZSWCGNXBBT-SSDOTTSWSA-N -1 1 317.169 1.387 20 0 DDADMM CC1(C)CC([N-]S(=O)(=O)c2nc[nH]c2Br)C1 ZINC000867536181 707032454 /nfs/dbraw/zinc/03/24/54/707032454.db2.gz SAEYYTZBZJJXPM-UHFFFAOYSA-N -1 1 308.201 1.639 20 0 DDADMM CN(CCNC(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)Cc1ccc(F)cc1 ZINC000874394410 705035907 /nfs/dbraw/zinc/03/59/07/705035907.db2.gz ZJCZGSWRBQEHDY-XBXGTLAGSA-N -1 1 323.368 1.420 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2cc(Cl)cc(Cl)c2)nc1=O ZINC000860252956 705139959 /nfs/dbraw/zinc/13/99/59/705139959.db2.gz ZPRYOGCZYHOYPA-UHFFFAOYSA-N -1 1 316.144 1.701 20 0 DDADMM Cc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(C(C)C)n1 ZINC000823190009 705167109 /nfs/dbraw/zinc/16/71/09/705167109.db2.gz BJKSNAYNCPKVCJ-GFCCVEGCSA-N -1 1 316.365 1.240 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc(C3CC3)[nH]c2C2CC2)nc1=O ZINC000860407199 705178426 /nfs/dbraw/zinc/17/84/26/705178426.db2.gz VRQXLKUKKUJPFN-UHFFFAOYSA-N -1 1 302.334 1.548 20 0 DDADMM CON(C(=O)CCCc1nn[n-]n1)[C@@H](C)c1csc(C)n1 ZINC000823302739 705200577 /nfs/dbraw/zinc/20/05/77/705200577.db2.gz QXGFLONLGURFNM-QMMMGPOBSA-N -1 1 310.383 1.439 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCCN(CCF)C2)sc1C ZINC000867710718 707082040 /nfs/dbraw/zinc/08/20/40/707082040.db2.gz DQJUXPJSMHSION-LLVKDONJSA-N -1 1 321.443 1.472 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@H]1CCO[C@H]1C ZINC000871724506 707177889 /nfs/dbraw/zinc/17/78/89/707177889.db2.gz WRZFSDNNDPSFMX-VHSXEESVSA-N -1 1 300.380 1.112 20 0 DDADMM [O-]C(=NO[C@@H]1CCCCO1)Nc1nnc([C@@H]2CCCO2)s1 ZINC000880886375 707090228 /nfs/dbraw/zinc/09/02/28/707090228.db2.gz PCPMTJGYECRTFG-DTWKUNHWSA-N -1 1 314.367 1.969 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1nnc([C@@H]2CCCO2)s1 ZINC000880886375 707090230 /nfs/dbraw/zinc/09/02/30/707090230.db2.gz PCPMTJGYECRTFG-DTWKUNHWSA-N -1 1 314.367 1.969 20 0 DDADMM C[C@@H]1C[C@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCO1 ZINC000824875370 705548665 /nfs/dbraw/zinc/54/86/65/705548665.db2.gz MEWHZBBLPLOFEU-MWLCHTKSSA-N -1 1 303.322 1.120 20 0 DDADMM C[C@@H]1C[C@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCO1 ZINC000824875370 705548670 /nfs/dbraw/zinc/54/86/70/705548670.db2.gz MEWHZBBLPLOFEU-MWLCHTKSSA-N -1 1 303.322 1.120 20 0 DDADMM C[C@H]1C[C@@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCO1 ZINC000824875371 705548799 /nfs/dbraw/zinc/54/87/99/705548799.db2.gz MEWHZBBLPLOFEU-ONGXEEELSA-N -1 1 303.322 1.120 20 0 DDADMM C[C@H]1C[C@@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCO1 ZINC000824875371 705548804 /nfs/dbraw/zinc/54/88/04/705548804.db2.gz MEWHZBBLPLOFEU-ONGXEEELSA-N -1 1 303.322 1.120 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@H]1CNC(=O)C[C@@H]1C(F)(F)F ZINC000824989876 705572933 /nfs/dbraw/zinc/57/29/33/705572933.db2.gz JPMJPNQBHZBNRR-BQBZGAKWSA-N -1 1 320.242 1.784 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H]1[C@@H](O)c1ccccc1 ZINC000825246258 705632917 /nfs/dbraw/zinc/63/29/17/705632917.db2.gz WWSMHORTLMAQFZ-BBRMVZONSA-N -1 1 315.377 1.247 20 0 DDADMM CCN(C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H](C)C(C)C ZINC000876300134 705682789 /nfs/dbraw/zinc/68/27/89/705682789.db2.gz JXQINXWGTWKJHR-NSHDSACASA-N -1 1 322.409 1.831 20 0 DDADMM O=C(NCCCOCCO)NCc1ccc([O-])c(Cl)c1 ZINC000876395690 705707954 /nfs/dbraw/zinc/70/79/54/705707954.db2.gz NCNHHJCSMTVMRD-UHFFFAOYSA-N -1 1 302.758 1.244 20 0 DDADMM Cc1onc(CC(=O)N(C)CC2CCCCC2)c1-c1nnn[n-]1 ZINC000826343334 705792919 /nfs/dbraw/zinc/79/29/19/705792919.db2.gz WJXIQRXKDGVMMG-UHFFFAOYSA-N -1 1 318.381 1.744 20 0 DDADMM Cc1onc(CC(=O)N(C)CC2CCCCC2)c1-c1nn[n-]n1 ZINC000826343334 705792921 /nfs/dbraw/zinc/79/29/21/705792921.db2.gz WJXIQRXKDGVMMG-UHFFFAOYSA-N -1 1 318.381 1.744 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCCC[C@H]2C)c1-c1nnn[n-]1 ZINC000826346828 705794543 /nfs/dbraw/zinc/79/45/43/705794543.db2.gz WNXCPODMJGHXIU-SCZZXKLOSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@H]2CCCC[C@H]2C)c1-c1nn[n-]n1 ZINC000826346828 705794548 /nfs/dbraw/zinc/79/45/48/705794548.db2.gz WNXCPODMJGHXIU-SCZZXKLOSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)NCC2CCC(C)CC2)c1-c1nnn[n-]1 ZINC000826347325 705794769 /nfs/dbraw/zinc/79/47/69/705794769.db2.gz NKERUCYUDXAVOZ-UHFFFAOYSA-N -1 1 318.381 1.648 20 0 DDADMM Cc1onc(CC(=O)NCC2CCC(C)CC2)c1-c1nn[n-]n1 ZINC000826347325 705794772 /nfs/dbraw/zinc/79/47/72/705794772.db2.gz NKERUCYUDXAVOZ-UHFFFAOYSA-N -1 1 318.381 1.648 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccc2cc[nH]c2n1 ZINC000826467262 705802922 /nfs/dbraw/zinc/80/29/22/705802922.db2.gz OCXCXZMFRJFIJA-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc2cc[nH]c2n1 ZINC000826467262 705802923 /nfs/dbraw/zinc/80/29/23/705802923.db2.gz OCXCXZMFRJFIJA-UHFFFAOYSA-N -1 1 321.300 1.495 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1(C)CCC(C)(C)CC1 ZINC000862966596 705838490 /nfs/dbraw/zinc/83/84/90/705838490.db2.gz CZYNUDOOSOWUBR-UHFFFAOYSA-N -1 1 309.366 1.985 20 0 DDADMM CC1(C)CCC(C)(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000862967561 705838624 /nfs/dbraw/zinc/83/86/24/705838624.db2.gz OMQAFOYNPIHBNS-UHFFFAOYSA-N -1 1 307.394 1.402 20 0 DDADMM C[C@]1(CO)CN(C(=O)c2c([O-])cccc2Cl)C[C@@]1(C)CO ZINC000863000161 705847267 /nfs/dbraw/zinc/84/72/67/705847267.db2.gz VSFVLOFYSOSCQM-GASCZTMLSA-N -1 1 313.781 1.499 20 0 DDADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@@H](OC)C1CC1 ZINC000827320337 705974257 /nfs/dbraw/zinc/97/42/57/705974257.db2.gz GLPRODINULKLIZ-CHWSQXEVSA-N -1 1 319.423 1.063 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc3c(c2)COC3)CC1 ZINC000827381080 705989931 /nfs/dbraw/zinc/98/99/31/705989931.db2.gz YLAJMTSHINEJBM-GFCCVEGCSA-N -1 1 318.373 1.338 20 0 DDADMM CC[C@H](CC(C)C)C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000835292378 707215617 /nfs/dbraw/zinc/21/56/17/707215617.db2.gz INJLXSVCSSKILJ-GFCCVEGCSA-N -1 1 320.393 1.884 20 0 DDADMM CO[C@@H]1CCC[C@@H]1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000827820674 706072339 /nfs/dbraw/zinc/07/23/39/706072339.db2.gz FFWKTARUKVWESA-MWLCHTKSSA-N -1 1 306.391 1.563 20 0 DDADMM C/C(=C\c1ccncc1)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000864160176 706084716 /nfs/dbraw/zinc/08/47/16/706084716.db2.gz SSIFWDQZIHBZFA-YPDDLIOESA-N -1 1 317.389 1.492 20 0 DDADMM O=C(c1ccc2scnc2c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000827961935 706094261 /nfs/dbraw/zinc/09/42/61/706094261.db2.gz WOMOKGTXARXOET-SNVBAGLBSA-N -1 1 316.346 1.023 20 0 DDADMM Cn1ccnc1-c1nc(CC[N-]C(=O)C(F)(F)F)cs1 ZINC000864369254 706148006 /nfs/dbraw/zinc/14/80/06/706148006.db2.gz SLJCAKLYRFCCSI-UHFFFAOYSA-N -1 1 304.297 1.765 20 0 DDADMM COC[C@H](C)[N-]S(=O)(=O)N=[S@@](C)(=O)C1CCCCC1 ZINC000881735750 707343629 /nfs/dbraw/zinc/34/36/29/707343629.db2.gz QHDAQCAAPCYQJB-YPMLDQLKSA-N -1 1 312.457 1.286 20 0 DDADMM CCCONC(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000828333515 706162565 /nfs/dbraw/zinc/16/25/65/706162565.db2.gz BAANOLQHWNTSSK-SECBINFHSA-N -1 1 311.304 1.428 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)c1sccc1Cl)N(C)C ZINC000835949404 707356353 /nfs/dbraw/zinc/35/63/53/707356353.db2.gz YFLAPQYJUCUWDC-VIFPVBQESA-N -1 1 324.855 1.793 20 0 DDADMM C[C@H](C[N-]C(=O)C(F)(F)F)c1ccc(S(C)(=O)=O)cc1 ZINC000864492458 706181094 /nfs/dbraw/zinc/18/10/94/706181094.db2.gz MTGBPFGOSWUSOW-MRVPVSSYSA-N -1 1 309.309 1.872 20 0 DDADMM CN(CCC(=O)[O-])C(=O)[C@@H](N)c1cccc(C(F)(F)F)c1 ZINC000864607503 706210652 /nfs/dbraw/zinc/21/06/52/706210652.db2.gz SYDQZVFMQHMENH-NSHDSACASA-N -1 1 304.268 1.638 20 0 DDADMM N[C@@H](C(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)c1ccc(C(F)(F)F)cc1 ZINC000864613798 706212921 /nfs/dbraw/zinc/21/29/21/706212921.db2.gz HLWZYUPQOJXEIW-DVVUODLYSA-N -1 1 316.279 1.685 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CC(C)(C)CCO ZINC000872456002 707421845 /nfs/dbraw/zinc/42/18/45/707421845.db2.gz IFHIJDSWTNJXLJ-GOSISDBHSA-N -1 1 314.473 1.126 20 0 DDADMM C[C@H](O)C(=O)OCCCSc1nc(C(F)F)cc(=O)[n-]1 ZINC000829609131 706366702 /nfs/dbraw/zinc/36/67/02/706366702.db2.gz BJSAFYHZRSBEMT-LURJTMIESA-N -1 1 308.306 1.526 20 0 DDADMM Cc1nc(SCC(=O)N2CCO[C@H](C)C2)[n-]c(=O)c1C1CC1 ZINC000865373288 706415788 /nfs/dbraw/zinc/41/57/88/706415788.db2.gz NFLNXSXUECSWRW-SECBINFHSA-N -1 1 323.418 1.707 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@]2(C)CCCCO2)C1 ZINC000830795081 706581079 /nfs/dbraw/zinc/58/10/79/706581079.db2.gz GYROJQRMYHEPRU-QWHCGFSZSA-N -1 1 322.327 1.615 20 0 DDADMM C[C@@H]1C[C@H](C)[C@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)O1 ZINC000830801160 706582115 /nfs/dbraw/zinc/58/21/15/706582115.db2.gz IPKZCGCGBUVYOD-WTBMIXGQSA-N -1 1 322.327 1.469 20 0 DDADMM CO[C@@](C)(C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000830802401 706582674 /nfs/dbraw/zinc/58/26/74/706582674.db2.gz TWCOIXIBDJPABA-CHWSQXEVSA-N -1 1 322.327 1.471 20 0 DDADMM CCC[C@@H](O)CC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816008 706585099 /nfs/dbraw/zinc/58/50/99/706585099.db2.gz IPBNFYVJRZJJEB-SKDRFNHKSA-N -1 1 310.316 1.207 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CC23CCC3)C1 ZINC000830816450 706585718 /nfs/dbraw/zinc/58/57/18/706585718.db2.gz PDYKVRRJWQKXGL-SKDRFNHKSA-N -1 1 304.312 1.846 20 0 DDADMM CCCCOCC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830824253 706587467 /nfs/dbraw/zinc/58/74/67/706587467.db2.gz YMHAECJAOTZFHC-LBPRGKRZSA-N -1 1 310.316 1.473 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)n1C ZINC000830832424 706588905 /nfs/dbraw/zinc/58/89/05/706588905.db2.gz FISIREDAAIOBET-ZDUSSCGKSA-N -1 1 317.311 1.617 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)CC)c1ccncc1 ZINC000866865214 706829324 /nfs/dbraw/zinc/82/93/24/706829324.db2.gz VNQQWFUKTOTRNA-BBATYDOGSA-N -1 1 305.425 1.485 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC000832067091 706836945 /nfs/dbraw/zinc/83/69/45/706836945.db2.gz OUOPPHYZJXRDEI-WDEREUQCSA-N -1 1 313.350 1.038 20 0 DDADMM O=C(CC(F)(F)C(F)(F)F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000866901735 706840062 /nfs/dbraw/zinc/84/00/62/706840062.db2.gz NEFFXTVCMBSAKS-ZCFIWIBFSA-N -1 1 313.230 1.493 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1ccc(C)nn1)c1ccc(C)o1 ZINC000866906198 706841301 /nfs/dbraw/zinc/84/13/01/706841301.db2.gz STHOFJODASGFNW-LLVKDONJSA-N -1 1 311.363 1.352 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)N=S1(=O)CCCC1)c1ccncc1 ZINC000866924342 706846204 /nfs/dbraw/zinc/84/62/04/706846204.db2.gz ZXKGTLJDURZGNF-NSHDSACASA-N -1 1 317.436 1.281 20 0 DDADMM C[S@](=N)(=O)N1CCN(C(=O)c2c([O-])cccc2Cl)CC1 ZINC000832179066 706862649 /nfs/dbraw/zinc/86/26/49/706862649.db2.gz QUXVMVIZKQYBTO-HXUWFJFHSA-N -1 1 317.798 1.395 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1CSC[C@@H]1C ZINC000867262074 706940790 /nfs/dbraw/zinc/94/07/90/706940790.db2.gz PSZUJILAJFHLRI-IUCAKERBSA-N -1 1 300.471 1.080 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1(C)C ZINC000867058661 706885359 /nfs/dbraw/zinc/88/53/59/706885359.db2.gz IIMWFVDNROTSOW-AVPPRXQKSA-N -1 1 320.361 1.698 20 0 DDADMM C[C@@H](O)C[C@@H](C)[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000867090867 706893344 /nfs/dbraw/zinc/89/33/44/706893344.db2.gz UJTRAQRFLHHGOJ-RNFRBKRXSA-N -1 1 313.206 1.826 20 0 DDADMM C[C@@H](O)C[C@H](C)[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000867090866 706893466 /nfs/dbraw/zinc/89/34/66/706893466.db2.gz UJTRAQRFLHHGOJ-NKWVEPMBSA-N -1 1 313.206 1.826 20 0 DDADMM CC(C)O[N-]C(=O)[C@@H]1CO[C@@H](CCC(=O)OC(C)(C)C)C1 ZINC000880185166 706904838 /nfs/dbraw/zinc/90/48/38/706904838.db2.gz HOEQFIURMTVKBM-RYUDHWBXSA-N -1 1 301.383 1.970 20 0 DDADMM CCCc1ncc(C[N-]S(=O)(=O)c2ncn(C)c2Cl)o1 ZINC000832494194 706925937 /nfs/dbraw/zinc/92/59/37/706925937.db2.gz JDVUPCCTLXARTO-UHFFFAOYSA-N -1 1 318.786 1.493 20 0 DDADMM Cc1cc(C[C@H](C)[N-]S(=O)(=O)c2ncn(C)c2Cl)[nH]n1 ZINC000867337084 706965941 /nfs/dbraw/zinc/96/59/41/706965941.db2.gz YVEILOQVDLXZQU-QMMMGPOBSA-N -1 1 317.802 1.015 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@]1(C)CC1(Cl)Cl ZINC000867350527 706971115 /nfs/dbraw/zinc/97/11/15/706971115.db2.gz ICEDRUXOZQWHGF-HYORBCNSSA-N -1 1 323.267 1.522 20 0 DDADMM CCCN(C(=O)N[C@@H]1CCc2nc[nH]c2C1)[C@H](CC)C(=O)[O-] ZINC000909021248 712918845 /nfs/dbraw/zinc/91/88/45/712918845.db2.gz VDXRRHPJXBXORR-ZWNOBZJWSA-N -1 1 308.382 1.552 20 0 DDADMM COCC(COC)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000909029790 712921048 /nfs/dbraw/zinc/92/10/48/712921048.db2.gz ADKFLMGXCISIGA-UHFFFAOYSA-N -1 1 308.309 1.471 20 0 DDADMM Cc1cc(C[N-]C(=O)C(F)(F)F)cc(N[C@@H](C)CC(N)=O)c1 ZINC000834892137 707146672 /nfs/dbraw/zinc/14/66/72/707146672.db2.gz BMJSWUZJGXJHDW-VIFPVBQESA-N -1 1 317.311 1.849 20 0 DDADMM O=C(NC[C@@H]1C[C@H]2CCC[C@@H]2O1)c1nc2ccccc2c(=O)[n-]1 ZINC000871745496 707185586 /nfs/dbraw/zinc/18/55/86/707185586.db2.gz CZTTVOMFIVNQLX-SUNKGSAMSA-N -1 1 313.357 1.611 20 0 DDADMM O=C([O-])CC1(NC(=O)c2ccc(O)c(Cl)c2)CCOCC1 ZINC000909054811 712927000 /nfs/dbraw/zinc/92/70/00/712927000.db2.gz OLUUTJBPHFTUOS-UHFFFAOYSA-N -1 1 313.737 1.799 20 0 DDADMM O=c1c2c([n-]n1-c1cnccn1)CN(Cc1ccccc1)CC2 ZINC000871943893 707251080 /nfs/dbraw/zinc/25/10/80/707251080.db2.gz UQQLXCCREVQFGM-AWEZNQCLSA-N -1 1 307.357 1.701 20 0 DDADMM CCC1(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N2CCCCC2)CC1 ZINC000835533536 707273182 /nfs/dbraw/zinc/27/31/82/707273182.db2.gz LCNDMDJQPYUFNF-ZDUSSCGKSA-N -1 1 316.467 1.886 20 0 DDADMM COC(=O)[C@H](NC(=O)c1ccc([O-])c(F)c1)C1CCOCC1 ZINC000881761504 707356586 /nfs/dbraw/zinc/35/65/86/707356586.db2.gz RITBBMWACMSUCG-CYBMUJFWSA-N -1 1 311.309 1.229 20 0 DDADMM C[C@H](CC(C)(C)C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000836132464 707398617 /nfs/dbraw/zinc/39/86/17/707398617.db2.gz SEOJMNUKBWEWME-SNVBAGLBSA-N -1 1 317.393 1.221 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)CCO1 ZINC000872468472 707430059 /nfs/dbraw/zinc/43/00/59/707430059.db2.gz VLUPMLRGVLPPHW-AUSHCKSDSA-N -1 1 312.457 1.285 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-]CCOC(F)(F)C(F)(F)F ZINC000872560074 707480039 /nfs/dbraw/zinc/48/00/39/707480039.db2.gz DTRPSQNBRQXKMH-UHFFFAOYSA-N -1 1 324.227 1.433 20 0 DDADMM C[C@H](Cc1cnn(C)c1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000836974177 707557172 /nfs/dbraw/zinc/55/71/72/707557172.db2.gz XMYLYPGQQFKLOZ-SECBINFHSA-N -1 1 316.390 1.113 20 0 DDADMM CC1(C)[C@@H](CS(=O)(=O)[N-][C@@H]2C(=O)OCC2(C)C)C1(F)F ZINC000882248718 707558039 /nfs/dbraw/zinc/55/80/39/707558039.db2.gz OVLHSUHZVZTSCX-HTQZYQBOSA-N -1 1 311.350 1.149 20 0 DDADMM CCc1cccc(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000837273103 707610028 /nfs/dbraw/zinc/61/00/28/707610028.db2.gz DVDOKQWDAGTQRD-UHFFFAOYSA-N -1 1 301.346 1.152 20 0 DDADMM CCN(CC(=O)NC[C@@H](Cc1cccc(C)c1)C(=O)[O-])C1CC1 ZINC000909242770 712971535 /nfs/dbraw/zinc/97/15/35/712971535.db2.gz XMXYYDSOXRRDTR-OAHLLOKOSA-N -1 1 318.417 1.839 20 0 DDADMM Cn1[n-]c(=O)c2c1CN(c1c(F)c(F)nc(F)c1F)CC2 ZINC000882654314 707733866 /nfs/dbraw/zinc/73/38/66/707733866.db2.gz RYPOQLGFBXRTJL-UHFFFAOYSA-N -1 1 302.231 1.640 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2CCO[C@@H](CC(=O)[O-])C2)[nH]1 ZINC000909266093 712978203 /nfs/dbraw/zinc/97/82/03/712978203.db2.gz ROVYNGHPZUOFOA-JTQLQIEISA-N -1 1 303.318 1.187 20 0 DDADMM CC(C)C[C@H](CNC(=O)Cc1n[nH]c2c1CCCC2)C(=O)[O-] ZINC000909288165 712982728 /nfs/dbraw/zinc/98/27/28/712982728.db2.gz GZCZSFTYYGPRLH-LLVKDONJSA-N -1 1 307.394 1.694 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)Nc1ccc(CCC(=O)[O-])cc1 ZINC000909286832 712982547 /nfs/dbraw/zinc/98/25/47/712982547.db2.gz MSZGDRQIMFNBHX-ZDUSSCGKSA-N -1 1 320.389 1.611 20 0 DDADMM CNc1ccc(C(=O)OCCC[N-]C(=O)C(F)(F)F)nc1 ZINC000873416108 707844142 /nfs/dbraw/zinc/84/41/42/707844142.db2.gz IMVFHONNLBYOJH-UHFFFAOYSA-N -1 1 305.256 1.349 20 0 DDADMM CCCCC[C@H](NC(=O)[C@@H](C)CN1CCOCC1)C(=O)[O-] ZINC000909342747 712996024 /nfs/dbraw/zinc/99/60/24/712996024.db2.gz OOSLBPGAEWRZMX-STQMWFEESA-N -1 1 300.399 1.104 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NC[C@@H]2C=CCC2)C1 ZINC000883785521 708064022 /nfs/dbraw/zinc/06/40/22/708064022.db2.gz UWBAHGAIUXEPEC-MFKMUULPSA-N -1 1 319.327 1.805 20 0 DDADMM COCc1nc(N2CCC[C@@H](C3CCOCC3)C2)cc(=O)[n-]1 ZINC000896592601 708091873 /nfs/dbraw/zinc/09/18/73/708091873.db2.gz WLJMZGJQIJJRDJ-CYBMUJFWSA-N -1 1 307.394 1.972 20 0 DDADMM COC(C)(C)[C@H](C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000921424403 713766630 /nfs/dbraw/zinc/76/66/30/713766630.db2.gz IJISECYLBVHFLA-LURJTMIESA-N -1 1 315.317 1.520 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]Cc1nc(CCCCF)no1 ZINC000897208670 708253124 /nfs/dbraw/zinc/25/31/24/708253124.db2.gz YZZPKVFNOXFEED-UHFFFAOYSA-N -1 1 323.390 1.206 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CCc2ccc(C(=O)[O-])cc2C1 ZINC000909454497 713022448 /nfs/dbraw/zinc/02/24/48/713022448.db2.gz KVAJETFOHOLEAX-OAHLLOKOSA-N -1 1 302.374 1.754 20 0 DDADMM COCc1nc(N2CCCC[C@@H]([C@H]3CCOC3)C2)cc(=O)[n-]1 ZINC000897562583 708375419 /nfs/dbraw/zinc/37/54/19/708375419.db2.gz HKVCPBRHDANGQA-OLZOCXBDSA-N -1 1 307.394 1.972 20 0 DDADMM Cc1cn(C(C)(C)C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000897640930 708403642 /nfs/dbraw/zinc/40/36/42/708403642.db2.gz SJLGBKPOPIKFOH-LLVKDONJSA-N -1 1 319.365 1.421 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CC[C@@H](C)C[C@@H]1C ZINC000912540964 713033030 /nfs/dbraw/zinc/03/30/30/713033030.db2.gz ANAUULXTQBBDQR-WCABBAIRSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)C[C@H](C[N-]S(=O)(=O)c1ccns1)C1CC1 ZINC000885304050 708515419 /nfs/dbraw/zinc/51/54/19/708515419.db2.gz LWULCUWEXQVGBG-SECBINFHSA-N -1 1 304.393 1.011 20 0 DDADMM O=S(=O)([N-]C1([C@@H]2CCCCO2)CCC1)c1ccns1 ZINC000885344676 708523602 /nfs/dbraw/zinc/52/36/02/708523602.db2.gz AEHATPPMJPIJOL-JTQLQIEISA-N -1 1 302.421 1.913 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C[C@@H]1CCCO1)c1cccc(F)c1F ZINC000885530029 708568511 /nfs/dbraw/zinc/56/85/11/708568511.db2.gz NXOPBAXKGFJWJU-ZJUUUORDSA-N -1 1 321.345 1.173 20 0 DDADMM C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000885622094 708586173 /nfs/dbraw/zinc/58/61/73/708586173.db2.gz YBZMQZSVOPMQHY-IMSYWVGJSA-N -1 1 302.346 1.930 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)o1 ZINC000885622277 708586238 /nfs/dbraw/zinc/58/62/38/708586238.db2.gz DEGDUZZUUJAEFF-RCWTZXSCSA-N -1 1 313.375 1.637 20 0 DDADMM O=C(CCN1CCOC1=O)Nc1cc(F)c([O-])cc1Cl ZINC000885674004 708594503 /nfs/dbraw/zinc/59/45/03/708594503.db2.gz CGYHOQBWIZOHDW-UHFFFAOYSA-N -1 1 302.689 1.966 20 0 DDADMM CC1(C)CO[C@H](CC[N-]S(=O)(=O)N=S2(=O)CCCC2)C1 ZINC000885675125 708595078 /nfs/dbraw/zinc/59/50/78/708595078.db2.gz NYUFDFWXJLZCSR-LLVKDONJSA-N -1 1 324.468 1.288 20 0 DDADMM C[C@@H]1CO[C@@H](C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)C1 ZINC000898439150 708640657 /nfs/dbraw/zinc/64/06/57/708640657.db2.gz PXEWRYYLZUVSGW-LNFKQOIKSA-N -1 1 322.327 1.469 20 0 DDADMM CSc1nc(CNC(=O)[C@H]2CCOC3(CCC3)C2)cc(=O)[n-]1 ZINC000898527067 708668681 /nfs/dbraw/zinc/66/86/81/708668681.db2.gz YGXNKQSSKPWFIZ-JTQLQIEISA-N -1 1 323.418 1.870 20 0 DDADMM Cc1n[nH]c(C)c1CC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886262935 708731441 /nfs/dbraw/zinc/73/14/41/708731441.db2.gz GNFZWOGBXMQAOT-UHFFFAOYSA-N -1 1 309.316 1.912 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1CSC(=O)N1 ZINC000886264962 708732036 /nfs/dbraw/zinc/73/20/36/708732036.db2.gz SBCAVNKFKJKZLK-JTQLQIEISA-N -1 1 302.302 1.154 20 0 DDADMM C[C@H](C(=O)NCCc1c(F)cc([O-])cc1F)c1cnccn1 ZINC000886270227 708733618 /nfs/dbraw/zinc/73/36/18/708733618.db2.gz WUJNPHWEOAMDIL-VIFPVBQESA-N -1 1 307.300 1.923 20 0 DDADMM CC[C@]1(C(=O)NCCc2c(F)cc([O-])cc2F)CCNC1=O ZINC000886271373 708733750 /nfs/dbraw/zinc/73/37/50/708733750.db2.gz HFTGAAZDQVVHRV-OAHLLOKOSA-N -1 1 312.316 1.245 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCn2cnnc2C1 ZINC000927782050 713054451 /nfs/dbraw/zinc/05/44/51/713054451.db2.gz XSPDEPLKFNFEKL-UHFFFAOYSA-N -1 1 323.303 1.030 20 0 DDADMM CC[C@@]1(O)CCN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927785047 713055686 /nfs/dbraw/zinc/05/56/86/713055686.db2.gz WWVXURFPVFVBAJ-OAHLLOKOSA-N -1 1 314.332 1.769 20 0 DDADMM CCOC(=O)[C@H](F)[C@H]1CCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000898539438 708782216 /nfs/dbraw/zinc/78/22/16/708782216.db2.gz DTYSLTLPMLOELP-TVQRCGJNSA-N -1 1 313.300 1.895 20 0 DDADMM C[C@@H]1C[C@H](O)CN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927785908 713056000 /nfs/dbraw/zinc/05/60/00/713056000.db2.gz IFLYETHJUOACSV-KOLCDFICSA-N -1 1 314.332 1.625 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCO[C@@H](CF)C1 ZINC000927787610 713056095 /nfs/dbraw/zinc/05/60/95/713056095.db2.gz ZMSLZBAXJTYQIM-JTQLQIEISA-N -1 1 318.295 1.593 20 0 DDADMM C[C@H](CCO)N(C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927787215 713056251 /nfs/dbraw/zinc/05/62/51/713056251.db2.gz NIUPUZNYDDIEGI-SECBINFHSA-N -1 1 302.321 1.625 20 0 DDADMM CC[C@@H](CO)N(C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927788647 713056607 /nfs/dbraw/zinc/05/66/07/713056607.db2.gz LVIAOSBDIQKTFB-VIFPVBQESA-N -1 1 302.321 1.625 20 0 DDADMM Cc1ccsc1CCCC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898752890 708854963 /nfs/dbraw/zinc/85/49/63/708854963.db2.gz AXQUFIYGWPUGNC-UHFFFAOYSA-N -1 1 307.375 1.897 20 0 DDADMM COC[C@@H]1COCCN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000886862231 708869192 /nfs/dbraw/zinc/86/91/92/708869192.db2.gz CABGDWKQUFHVMS-SNVBAGLBSA-N -1 1 319.279 1.898 20 0 DDADMM O=C(NC1([C@H]2CCCCO2)CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000887089095 708952029 /nfs/dbraw/zinc/95/20/29/708952029.db2.gz RULOATOXLFAXFI-LLVKDONJSA-N -1 1 318.402 1.850 20 0 DDADMM C[C@@H]1C(=O)N([N-]C(=O)c2cc(C3CCCCC3)[nH]n2)C(=O)N1C ZINC000899032193 708959588 /nfs/dbraw/zinc/95/95/88/708959588.db2.gz FVAQMEVBYUGDIL-SECBINFHSA-N -1 1 319.365 1.385 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2c([O-])cccc2Cl)CCS1(=O)=O ZINC000887179986 708982246 /nfs/dbraw/zinc/98/22/46/708982246.db2.gz OMZYXKOYAVZBNM-IUCAKERBSA-N -1 1 317.794 1.741 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CCC2(COC2)C1 ZINC000912705505 713072180 /nfs/dbraw/zinc/07/21/80/713072180.db2.gz CTYYOVUNXZHTMO-UHFFFAOYSA-N -1 1 302.305 1.942 20 0 DDADMM O=C(NC[C@H]1CC[C@@H](C2CC2)O1)c1ccc2n[n-]c(=S)n2c1 ZINC000887479002 709052785 /nfs/dbraw/zinc/05/27/85/709052785.db2.gz GSCXIXMUQUZYGF-NEPJUHHUSA-N -1 1 318.402 1.705 20 0 DDADMM CSCc1n[nH]c(CNC(=O)c2cccc(Cl)c2[O-])n1 ZINC000899474260 709092072 /nfs/dbraw/zinc/09/20/72/709092072.db2.gz ZVYQIVZWJFHMQF-UHFFFAOYSA-N -1 1 312.782 1.957 20 0 DDADMM CSCc1nnc(CNC(=O)c2cccc(Cl)c2[O-])[nH]1 ZINC000899474260 709092074 /nfs/dbraw/zinc/09/20/74/709092074.db2.gz ZVYQIVZWJFHMQF-UHFFFAOYSA-N -1 1 312.782 1.957 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2C[C@H]2CCOC2)c([O-])c1 ZINC000887811986 709130614 /nfs/dbraw/zinc/13/06/14/709130614.db2.gz ZPQJLZFORGKSJA-OLZOCXBDSA-N -1 1 306.362 1.363 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2C[C@@H]2CCCO2)c([O-])c1 ZINC000887843129 709140961 /nfs/dbraw/zinc/14/09/61/709140961.db2.gz DQWNUDBJKHNVLJ-STQMWFEESA-N -1 1 306.362 1.506 20 0 DDADMM COC(=O)CC(C)(C)CNC(=O)c1c(F)ccc([O-])c1F ZINC000927967676 713090137 /nfs/dbraw/zinc/09/01/37/713090137.db2.gz GXQCJMGLOUKQTD-UHFFFAOYSA-N -1 1 301.289 1.990 20 0 DDADMM C[C@@H]1Cc2cc(CN[C@@H](C(=O)[O-])c3ccnn3C)ccc2O1 ZINC000900039917 709274438 /nfs/dbraw/zinc/27/44/38/709274438.db2.gz FIQJADQSFZUWMQ-MEBBXXQBSA-N -1 1 301.346 1.659 20 0 DDADMM COc1ccc(C(=O)Nc2ccnn2[C@@H]2CCOC2)c([O-])c1 ZINC000888582585 709344416 /nfs/dbraw/zinc/34/44/16/709344416.db2.gz HSLGXAFXLFTEJS-SNVBAGLBSA-N -1 1 303.318 1.811 20 0 DDADMM C[C@@]1(NC(=O)c2cc(Br)ccc2[O-])CCNC1=O ZINC000889043008 709439538 /nfs/dbraw/zinc/43/95/38/709439538.db2.gz UPZSUBCMZDNNCF-GFCCVEGCSA-N -1 1 313.151 1.163 20 0 DDADMM CSCC[C@H](NC(=O)C[C@@H](C)c1ccco1)c1nn[n-]n1 ZINC000912863164 713111312 /nfs/dbraw/zinc/11/13/12/713111312.db2.gz NEQRBKACXPPAHD-ZJUUUORDSA-N -1 1 309.395 1.897 20 0 DDADMM O=C([O-])[C@H](CC(F)(F)F)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000909670026 709568014 /nfs/dbraw/zinc/56/80/14/709568014.db2.gz KQGHMIQRYHWABV-JTQLQIEISA-N -1 1 319.283 1.353 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000909682796 709575808 /nfs/dbraw/zinc/57/58/08/709575808.db2.gz ULSCLHBUOGNHNQ-FWWHASMVSA-N -1 1 317.267 1.754 20 0 DDADMM CC(C)[C@](C)(CC(=O)[O-])NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000909689369 709579005 /nfs/dbraw/zinc/57/90/05/709579005.db2.gz UAXATEWMXNRXSG-INIZCTEOSA-N -1 1 307.394 1.837 20 0 DDADMM C[C@@H]1CC[C@]2(CCN(C(=O)C[N@H+]3CCC[C@@H](C(=O)[O-])C3)C2)C1 ZINC000909730446 709598960 /nfs/dbraw/zinc/59/89/60/709598960.db2.gz MBTOPDXUWHPFER-CPUCHLNUSA-N -1 1 308.422 1.822 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(-c3ccn(C)c3)[nH]n2)[C@H](C(=O)[O-])C1 ZINC000909780049 709616763 /nfs/dbraw/zinc/61/67/63/709616763.db2.gz IVQVBOVEJLOTDF-YGRLFVJLSA-N -1 1 316.361 1.741 20 0 DDADMM COCC1(CC(=O)N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)CC1 ZINC000889786408 709635577 /nfs/dbraw/zinc/63/55/77/709635577.db2.gz MAZQSJQITBYVOU-LLVKDONJSA-N -1 1 321.377 1.671 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)COC(F)(F)F)[n-]c1=O ZINC000889787434 709635940 /nfs/dbraw/zinc/63/59/40/709635940.db2.gz TVCZZSZSRJCMLN-ZETCQYMHSA-N -1 1 321.255 1.391 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N2CC[C@H](N3CCC3)C2)c1 ZINC000912904054 713119346 /nfs/dbraw/zinc/11/93/46/713119346.db2.gz OQCVRXJCPNFMSQ-XMHCIUCPSA-N -1 1 322.430 1.109 20 0 DDADMM O=C([O-])Cc1ccc(NC(=O)[C@H]2CCc3[nH]cnc3C2)cc1F ZINC000909846725 709649925 /nfs/dbraw/zinc/64/99/25/709649925.db2.gz MVNRCCIMPCTPRY-JTQLQIEISA-N -1 1 317.320 1.920 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2C[C@@]2(F)c2ccccc2)C1 ZINC000909851130 709652054 /nfs/dbraw/zinc/65/20/54/709652054.db2.gz MGHWOJNJXWUHNX-DXCKQFNASA-N -1 1 320.364 1.537 20 0 DDADMM O=C([O-])[C@H](CNC(=O)c1[nH]nc2c1CCCCC2)CC1CC1 ZINC000909881525 709667211 /nfs/dbraw/zinc/66/72/11/709667211.db2.gz CQQHCHQSFFFTDP-NSHDSACASA-N -1 1 305.378 1.909 20 0 DDADMM CC(C)(C)[S@](=O)CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900671389 709704928 /nfs/dbraw/zinc/70/49/28/709704928.db2.gz MNUSRBGPQHXYPG-OAQYLSRUSA-N -1 1 311.407 1.337 20 0 DDADMM C[C@H]1CC[C@@](NC(=O)[C@@H]2CCCc3[nH]ncc32)(C(=O)[O-])CC1 ZINC000909952671 709705379 /nfs/dbraw/zinc/70/53/79/709705379.db2.gz JJDXPFGUEOXNQZ-GLKRBJQHSA-N -1 1 305.378 1.979 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2cc(C(F)F)[nH]n2)[C@H](C(=O)[O-])C1 ZINC000909982257 709717299 /nfs/dbraw/zinc/71/72/99/709717299.db2.gz FHLPFWHWAWBPGN-MUWHJKNJSA-N -1 1 303.265 1.052 20 0 DDADMM CC[C@H](O)[C@@H](C)C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000900708624 709722047 /nfs/dbraw/zinc/72/20/47/709722047.db2.gz DJCJQNMIBMYTNB-PELKAZGASA-N -1 1 306.366 1.075 20 0 DDADMM Cc1cnc(C(=O)NC[C@]2(c3cnn(C)c3)CCCO2)c([O-])c1 ZINC000890150158 709768428 /nfs/dbraw/zinc/76/84/28/709768428.db2.gz BRSIVXSYTDWAJB-INIZCTEOSA-N -1 1 316.361 1.265 20 0 DDADMM O=C([O-])[C@H]1c2ccoc2CCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000910205230 709813742 /nfs/dbraw/zinc/81/37/42/709813742.db2.gz MIPOBPAYZNTIGW-YMTOWFKASA-N -1 1 315.329 1.633 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N2CC[C@H]3CC[C@@H](C2)S3)C1 ZINC000910208815 709815913 /nfs/dbraw/zinc/81/59/13/709815913.db2.gz HAYFEAHQDPPKRX-UPJWGTAASA-N -1 1 312.435 1.280 20 0 DDADMM CNC(=O)[C@@H](C)CN(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900938832 709837606 /nfs/dbraw/zinc/83/76/06/709837606.db2.gz DMZVMXZCZZVFGG-VIFPVBQESA-N -1 1 319.336 1.534 20 0 DDADMM CCN(C(=O)CN1CCC[C@H](C(=O)[O-])C1)c1ccc(OC)cc1 ZINC000910246430 709837686 /nfs/dbraw/zinc/83/76/86/709837686.db2.gz RUEDYRITEAENOW-ZDUSSCGKSA-N -1 1 320.389 1.845 20 0 DDADMM CO[C@H]1CN(C(=O)c2cccc3[nH]cnc32)[C@](C)(C(=O)[O-])C1 ZINC000910266688 709850880 /nfs/dbraw/zinc/85/08/80/709850880.db2.gz QZFDLZIBYHZEGZ-PSLIRLAXSA-N -1 1 303.318 1.267 20 0 DDADMM Cc1nc(NC[C@H]2CN(CC(C)C)CCO2)ncc1C(=O)[O-] ZINC000910276679 709859752 /nfs/dbraw/zinc/85/97/52/709859752.db2.gz HBMXLLDBBFDUNA-LBPRGKRZSA-N -1 1 308.382 1.252 20 0 DDADMM O=C([O-])COCCOCCNc1ccnc2cc(F)ccc21 ZINC000900986672 709864791 /nfs/dbraw/zinc/86/47/91/709864791.db2.gz GYGGFPPAQOFEQZ-UHFFFAOYSA-N -1 1 308.309 1.904 20 0 DDADMM C[C@]1(C(=O)[O-])CN(C(=O)c2cc(-c3ccccc3)[nH]n2)CCO1 ZINC000910314487 709884951 /nfs/dbraw/zinc/88/49/51/709884951.db2.gz BNEPWGGBIGKXMM-MRXNPFEDSA-N -1 1 315.329 1.392 20 0 DDADMM CC(C)CO[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000901037784 709894748 /nfs/dbraw/zinc/89/47/48/709894748.db2.gz HEEQAMMEZYSBFO-LBPRGKRZSA-N -1 1 305.378 1.947 20 0 DDADMM Cc1cccc([C@@H](CC(=O)[O-])NC(=O)CCc2cnc[nH]2)c1 ZINC000910368717 709911690 /nfs/dbraw/zinc/91/16/90/709911690.db2.gz YOIFTKSKIDNQNT-CQSZACIVSA-N -1 1 301.346 1.983 20 0 DDADMM CCc1nc(C)c(CC(=O)[N-]OCc2cccnc2)c(C)n1 ZINC000901161759 709951312 /nfs/dbraw/zinc/95/13/12/709951312.db2.gz GNYJNRMHAWFNPR-UHFFFAOYSA-N -1 1 300.362 1.841 20 0 DDADMM CN1CCN(C(=O)c2cc(C(=O)[O-])on2)C2(CCCCC2)C1 ZINC000910688580 710048663 /nfs/dbraw/zinc/04/86/63/710048663.db2.gz WKVOYOJWIWSBTO-UHFFFAOYSA-N -1 1 307.350 1.463 20 0 DDADMM Cc1sc([C@@H]2CCCN2C(=O)CN2CCCC2)nc1C(=O)[O-] ZINC000910719965 710056361 /nfs/dbraw/zinc/05/63/61/710056361.db2.gz DIYVXKQXANJQDN-NSHDSACASA-N -1 1 323.418 1.909 20 0 DDADMM COc1ncccc1CN[C@@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC000901528686 710066669 /nfs/dbraw/zinc/06/66/69/710066669.db2.gz IJHHMKJEPVQPSV-OAHLLOKOSA-N -1 1 314.341 1.941 20 0 DDADMM Cn1c(Cl)ncc1CN[C@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC000901529991 710066862 /nfs/dbraw/zinc/06/68/62/710066862.db2.gz MXJWKJLURAVDJS-ZDUSSCGKSA-N -1 1 321.764 1.924 20 0 DDADMM O=C([N-][C@H](CO)[C@H](O)C1CCCCC1)C(F)(F)C(F)F ZINC000913052881 713156030 /nfs/dbraw/zinc/15/60/30/713156030.db2.gz LMPNFZZKXADFDR-RKDXNWHRSA-N -1 1 301.280 1.305 20 0 DDADMM CCc1ncc(CN[C@@H](C(=O)[O-])c2ccc(OC)cc2)cn1 ZINC000901649115 710107758 /nfs/dbraw/zinc/10/77/58/710107758.db2.gz SBEVTQMFRJVARB-OAHLLOKOSA-N -1 1 301.346 1.963 20 0 DDADMM CCn1nc(CN(CCOC)CCC(=O)[O-])c2ccccc21 ZINC000901662401 710112610 /nfs/dbraw/zinc/11/26/10/710112610.db2.gz AEHUOWAJXICGOK-UHFFFAOYSA-N -1 1 305.378 1.979 20 0 DDADMM Cn1nc2c(c1CN(CCC(=O)[O-])C[C@H]1CCCO1)CCCC2 ZINC000901677828 710117191 /nfs/dbraw/zinc/11/71/91/710117191.db2.gz NQDOUYJMOLCLIY-CYBMUJFWSA-N -1 1 321.421 1.755 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCC(C)(C(=O)[O-])CC2)C1 ZINC000901704660 710126575 /nfs/dbraw/zinc/12/65/75/710126575.db2.gz NFEVXFOVBLCFBF-CMPLNLGQSA-N -1 1 305.378 1.789 20 0 DDADMM Cn1c(CN[C@]2(CC(=O)[O-])CCOC2)nc2ccc(Cl)cc21 ZINC000901837136 710158136 /nfs/dbraw/zinc/15/81/36/710158136.db2.gz NHSCZGBELVYEGX-HNNXBMFYSA-N -1 1 323.780 1.950 20 0 DDADMM COc1cc(CN2CC[C@](O)(C(=O)[O-])C2)cc(C(F)(F)F)c1 ZINC000901903674 710169713 /nfs/dbraw/zinc/16/97/13/710169713.db2.gz UCTRMABDLQYTBQ-CYBMUJFWSA-N -1 1 319.279 1.735 20 0 DDADMM C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000901962972 710186790 /nfs/dbraw/zinc/18/67/90/710186790.db2.gz KJJSBVSQPSZQMR-HICWGWBUSA-N -1 1 308.353 1.655 20 0 DDADMM CNC(=O)c1ccc(CN(C)c2cc(=O)[n-]c(COC)n2)cc1 ZINC000891600808 710245669 /nfs/dbraw/zinc/24/56/69/710245669.db2.gz AGIKRTMWESXPQT-UHFFFAOYSA-N -1 1 316.361 1.325 20 0 DDADMM O=C(N[C@@H]1COCCC1=O)c1c([O-])cnc2c(F)cccc21 ZINC000913148042 713171297 /nfs/dbraw/zinc/17/12/97/713171297.db2.gz CGPPHOYWLYLDOO-SNVBAGLBSA-N -1 1 304.277 1.167 20 0 DDADMM COCc1nc(N2CCc3ccc(OC)cc3C2)cc(=O)[n-]1 ZINC000891991776 710348427 /nfs/dbraw/zinc/34/84/27/710348427.db2.gz VJCMXXYXVXFTCD-UHFFFAOYSA-N -1 1 301.346 1.900 20 0 DDADMM CO[C@H]1CCCN(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000928414788 713186069 /nfs/dbraw/zinc/18/60/69/713186069.db2.gz ZXPGLNDJTUBMBZ-NSHDSACASA-N -1 1 308.382 1.370 20 0 DDADMM COCc1nc(N2CCO[C@@H](c3ccsc3)C2)cc(=O)[n-]1 ZINC000892903421 710522996 /nfs/dbraw/zinc/52/29/96/710522996.db2.gz ZMELGKKKUQFDPO-LLVKDONJSA-N -1 1 307.375 1.968 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1CC[C@@H]1C(=O)[O-])c1cccc(F)c1 ZINC000911105228 710635715 /nfs/dbraw/zinc/63/57/15/710635715.db2.gz RQOPMSBGRCAVJW-RDBSUJKOSA-N -1 1 308.353 1.655 20 0 DDADMM C[C@H]1CN(CCCNC(=O)c2cc(C(=O)[O-])co2)C[C@H](C)O1 ZINC000911150553 710655541 /nfs/dbraw/zinc/65/55/41/710655541.db2.gz NAXWYJITDAFVBO-QWRGUYRKSA-N -1 1 310.350 1.207 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1cc(C(=O)[O-])on1)c1ccc(F)cc1 ZINC000911192140 710676748 /nfs/dbraw/zinc/67/67/48/710676748.db2.gz CAYLAYPSEDHJNB-LBPRGKRZSA-N -1 1 321.308 1.545 20 0 DDADMM CCN(CC)[C@@H](CNC(=O)[C@@H]1CC[C@H](C(=O)[O-])O1)c1ccco1 ZINC000911254012 710710086 /nfs/dbraw/zinc/71/00/86/710710086.db2.gz ONRPGWYEZPGSGY-FPMFFAJLSA-N -1 1 324.377 1.411 20 0 DDADMM C[C@H](CNCc1cn(CC(=O)[O-])nn1)Sc1ccccc1 ZINC000902316667 710713366 /nfs/dbraw/zinc/71/33/66/710713366.db2.gz LYNPTWWIYAUOKB-LLVKDONJSA-N -1 1 306.391 1.633 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])[N@H+]1CCc2ccccc2C1 ZINC000911303228 710734615 /nfs/dbraw/zinc/73/46/15/710734615.db2.gz GYCQHKHXKDSDRU-GLQYFDAESA-N -1 1 302.374 1.270 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])N1CCc2ccccc2C1 ZINC000911303228 710734617 /nfs/dbraw/zinc/73/46/17/710734617.db2.gz GYCQHKHXKDSDRU-GLQYFDAESA-N -1 1 302.374 1.270 20 0 DDADMM COCc1nc(N2C[C@H](C)O[C@@]3(CCCOC3)C2)cc(=O)[n-]1 ZINC000893647428 710762635 /nfs/dbraw/zinc/76/26/35/710762635.db2.gz UOPPIPLEQTZQJH-NHYWBVRUSA-N -1 1 309.366 1.103 20 0 DDADMM O=C([O-])C12CCC(C(=O)N3CCc4nc[nH]c4C3)(CC1)CC2 ZINC000911384947 710772098 /nfs/dbraw/zinc/77/20/98/710772098.db2.gz MEFXGRKXAVOHTB-UHFFFAOYSA-N -1 1 303.362 1.720 20 0 DDADMM Cn1cnc2cc(NC(=O)CN3CCC[C@H](C(=O)[O-])C3)ccc21 ZINC000911416329 710789117 /nfs/dbraw/zinc/78/91/17/710789117.db2.gz IXYXNSOHBDHLBR-NSHDSACASA-N -1 1 316.361 1.308 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H]2C[C@H]2Cc2ccccc2)C1 ZINC000911445352 710802255 /nfs/dbraw/zinc/80/22/55/710802255.db2.gz STGYSWNEWSNVHI-ARFHVFGLSA-N -1 1 316.401 1.530 20 0 DDADMM Cc1[nH]ncc1CN(C)C(=O)c1ccc(OCC(=O)[O-])cc1 ZINC000911745353 710962855 /nfs/dbraw/zinc/96/28/55/710962855.db2.gz NVYZHWWUAVMZEN-UHFFFAOYSA-N -1 1 303.318 1.454 20 0 DDADMM CC1=C(C(=O)[N-]Oc2ccc(F)cc2)[C@H](C)n2ncnc2N1C ZINC000912262504 711250401 /nfs/dbraw/zinc/25/04/01/711250401.db2.gz LVHLYZFNLXBEJR-JTQLQIEISA-N -1 1 317.324 1.812 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N1CCC(C)CC1 ZINC000903712219 711251079 /nfs/dbraw/zinc/25/10/79/711251079.db2.gz TZGAFWNKNDWCCU-CYBMUJFWSA-N -1 1 300.399 1.057 20 0 DDADMM CC(F)(F)c1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000913498353 713239298 /nfs/dbraw/zinc/23/92/98/713239298.db2.gz OXTYIHJFGJBXCX-LLVKDONJSA-N -1 1 323.303 1.525 20 0 DDADMM O=C(CCCc1ccsc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913498686 713239378 /nfs/dbraw/zinc/23/93/78/713239378.db2.gz QOJNWKYCLOUWJR-LLVKDONJSA-N -1 1 307.379 1.184 20 0 DDADMM O=C(CCCc1ccc(F)cc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913500339 713239526 /nfs/dbraw/zinc/23/95/26/713239526.db2.gz WMOMUKOIZGWTOS-CYBMUJFWSA-N -1 1 319.340 1.262 20 0 DDADMM C[C@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H]1CCO ZINC000912403860 711314681 /nfs/dbraw/zinc/31/46/81/711314681.db2.gz MNGVWFTXPBJMII-GXFFZTMASA-N -1 1 305.378 1.683 20 0 DDADMM O=C([O-])N[C@@H]1C[C@@H](NCc2nnc3n2CCCCC3)C12CCC2 ZINC000904206095 711408869 /nfs/dbraw/zinc/40/88/69/711408869.db2.gz ZJUDSPYGBGEVFP-VXGBXAGGSA-N -1 1 319.409 1.673 20 0 DDADMM COc1ccnc(CN[C@@H]2C[C@H](NC(=O)[O-])C23CCC3)c1F ZINC000904251783 711418276 /nfs/dbraw/zinc/41/82/76/711418276.db2.gz OYBQVYJDJMTPGJ-NEPJUHHUSA-N -1 1 309.341 1.898 20 0 DDADMM CC(C)(C)N1CC[C@H]1C(=O)N[C@H](c1nnn[n-]1)c1ccccc1 ZINC000895836908 711621692 /nfs/dbraw/zinc/62/16/92/711621692.db2.gz PTMLWQQRTICLPD-STQMWFEESA-N -1 1 314.393 1.278 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1Cc2c[nH]nc2[C@H](C)C1 ZINC000913591746 713268093 /nfs/dbraw/zinc/26/80/93/713268093.db2.gz UOSGGOHXWFKVOH-SSDOTTSWSA-N -1 1 319.390 1.695 20 0 DDADMM CCN(CC(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccc1 ZINC000913743285 713292244 /nfs/dbraw/zinc/29/22/44/713292244.db2.gz OCUSMBOFTRWBIS-UHFFFAOYSA-N -1 1 314.393 1.432 20 0 DDADMM CCC(CC)n1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)n1 ZINC000913743706 713292577 /nfs/dbraw/zinc/29/25/77/713292577.db2.gz HUPFQKNHAHUFKL-UHFFFAOYSA-N -1 1 317.397 1.777 20 0 DDADMM CC(C)[C@@H]1C[C@@H](CC(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000913744450 713292990 /nfs/dbraw/zinc/29/29/90/713292990.db2.gz PBFSJBDEYRWOTF-JSGCOSHPSA-N -1 1 321.425 1.747 20 0 DDADMM CC(C)c1scnc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744726 713293239 /nfs/dbraw/zinc/29/32/39/713293239.db2.gz BFFWRYJAHUOEDJ-UHFFFAOYSA-N -1 1 306.395 1.799 20 0 DDADMM CCOC1CC2(C[C@H]2C(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC000913746902 713294399 /nfs/dbraw/zinc/29/43/99/713294399.db2.gz LDLOOSWOPDCEBM-AVERBVTBSA-N -1 1 305.382 1.111 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCOC2(CCCC2)C1)c1nn[n-]n1 ZINC000906930920 712441327 /nfs/dbraw/zinc/44/13/27/712441327.db2.gz RVMBQVFSJNVIES-VXGBXAGGSA-N -1 1 307.398 1.897 20 0 DDADMM Cc1onc(-c2cccc(F)c2)c1C(=O)[N-]N1CCCNC1=O ZINC000907240530 712521264 /nfs/dbraw/zinc/52/12/64/712521264.db2.gz IWDMRIXPENDFGJ-UHFFFAOYSA-N -1 1 318.308 1.849 20 0 DDADMM O=C(c1coc(C(F)F)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907472128 712580599 /nfs/dbraw/zinc/58/05/99/712580599.db2.gz MARJXAAITVTADT-SSDOTTSWSA-N -1 1 315.305 1.661 20 0 DDADMM Cc1ccc(F)c(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000907480238 712583616 /nfs/dbraw/zinc/58/36/16/712583616.db2.gz NTGZCQSBXKGAGO-LBPRGKRZSA-N -1 1 321.381 1.506 20 0 DDADMM CC[C@@H](O)[C@@H](C)C(=O)Nc1nc(Br)ccc1[O-] ZINC000907667745 712611761 /nfs/dbraw/zinc/61/17/61/712611761.db2.gz UJLYASBZKRGBIC-RNFRBKRXSA-N -1 1 303.156 1.895 20 0 DDADMM O=C(c1csc(Cl)c1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907944610 712652805 /nfs/dbraw/zinc/65/28/05/712652805.db2.gz XFRIUDGSJJXQEC-UHFFFAOYSA-N -1 1 313.770 1.038 20 0 DDADMM Cc1ccc(O)cc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000907956459 712654927 /nfs/dbraw/zinc/65/49/27/712654927.db2.gz DDPTYOJUSNTFBM-JTQLQIEISA-N -1 1 303.318 1.809 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@@H]3CCC[C@@H]23)o1 ZINC000907971856 712658305 /nfs/dbraw/zinc/65/83/05/712658305.db2.gz XIPPKXBZOXNVGS-HBNTYKKESA-N -1 1 313.375 1.923 20 0 DDADMM CCO[C@]12CCC[C@@]1([N-]S(=O)(=O)C[C@@H]1CCCO1)CCO2 ZINC000908024978 712668023 /nfs/dbraw/zinc/66/80/23/712668023.db2.gz SOFUMYBCPOQYIZ-MJBXVCDLSA-N -1 1 319.423 1.161 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2ccc3nonc3c2)sc1C ZINC000908037541 712670274 /nfs/dbraw/zinc/67/02/74/712670274.db2.gz HIESTSSBRHGMNF-UHFFFAOYSA-N -1 1 324.387 1.775 20 0 DDADMM O=C([O-])c1ccc2c(c1)N(C(=O)[C@H]1CCCc3n[nH]nc31)CC2 ZINC000908062086 712674279 /nfs/dbraw/zinc/67/42/79/712674279.db2.gz MPTJQOFVUBLYEO-NSHDSACASA-N -1 1 312.329 1.512 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]Cc2cn(C)cn2)c(F)c1 ZINC000908089653 712683789 /nfs/dbraw/zinc/68/37/89/712683789.db2.gz HYJWZUDOUUZGFA-UHFFFAOYSA-N -1 1 317.317 1.185 20 0 DDADMM O=C([O-])C[C@@H](Cc1ccccc1)NC(=O)[C@H]1CCCN1C1CC1 ZINC000908232778 712715247 /nfs/dbraw/zinc/71/52/47/712715247.db2.gz WJZZSRNRQVMQAJ-GDBMZVCRSA-N -1 1 316.401 1.815 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)NCCc1ccc(C(=O)[O-])cc1 ZINC000908600483 712818714 /nfs/dbraw/zinc/81/87/14/712818714.db2.gz ITCJICMEVJUEMP-ZDUSSCGKSA-N -1 1 320.389 1.012 20 0 DDADMM CC(=O)c1cc(NC(=O)N[C@@H](CN(C)C)C(=O)[O-])ccc1C ZINC000908630403 712826112 /nfs/dbraw/zinc/82/61/12/712826112.db2.gz ZUBSAEGEURCPEZ-ZDUSSCGKSA-N -1 1 307.350 1.334 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCC3(C[C@@H]3C(=O)[O-])CC2)C1 ZINC000908656259 712830585 /nfs/dbraw/zinc/83/05/85/712830585.db2.gz UZJWNILLEBOFAR-KGYLQXTDSA-N -1 1 317.389 1.789 20 0 DDADMM CN1C[C@H](C(=O)Nc2cccc([O-])c2Br)CC1=O ZINC000908715526 712840464 /nfs/dbraw/zinc/84/04/64/712840464.db2.gz SMFLUWXZPPYXIR-SSDOTTSWSA-N -1 1 313.151 1.572 20 0 DDADMM CS[C@@H]1CCC[C@@H](NC(=O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)C1 ZINC000908812016 712859553 /nfs/dbraw/zinc/85/95/53/712859553.db2.gz DJMLCDMXBWGPJZ-YNEHKIRRSA-N -1 1 314.451 1.573 20 0 DDADMM CS[C@@H]1CCC[C@@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)C1 ZINC000908812016 712859555 /nfs/dbraw/zinc/85/95/55/712859555.db2.gz DJMLCDMXBWGPJZ-YNEHKIRRSA-N -1 1 314.451 1.573 20 0 DDADMM CC(C)CC[C@](C)(O)CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908822383 712862176 /nfs/dbraw/zinc/86/21/76/712862176.db2.gz YNBWHYAMHHOSMX-CJNGLKHVSA-N -1 1 314.426 1.086 20 0 DDADMM COc1cccc([C@@H](CC(=O)[O-])NC(=O)[C@@H]2CCCCN2C)c1 ZINC000908853195 712869483 /nfs/dbraw/zinc/86/94/83/712869483.db2.gz DGOHGLAXWWENHT-CABCVRRESA-N -1 1 320.389 1.812 20 0 DDADMM COc1ncc(S(=O)(=O)[N-][C@H](C)CC(F)(F)F)s1 ZINC000914380369 713386241 /nfs/dbraw/zinc/38/62/41/713386241.db2.gz SFDIKOZXDAPJFR-RXMQYKEDSA-N -1 1 304.315 1.771 20 0 DDADMM O=C(NC[C@H]1CC1(Cl)Cl)c1nc2ccccc2c(=O)[n-]1 ZINC000914464477 713396437 /nfs/dbraw/zinc/39/64/37/713396437.db2.gz BUDCTVZSTXXFIJ-SSDOTTSWSA-N -1 1 312.156 1.847 20 0 DDADMM O=C(NCCOCC1CC1)NCCc1c(F)cc([O-])cc1F ZINC000917489592 713500247 /nfs/dbraw/zinc/50/02/47/713500247.db2.gz CTOZEVPSWUWXBY-UHFFFAOYSA-N -1 1 314.332 1.939 20 0 DDADMM CCONC(=O)c1cc(NC(=O)c2cnoc2C)ccc1[O-] ZINC000917496767 713500721 /nfs/dbraw/zinc/50/07/21/713500721.db2.gz MQRWTMUPOFFWGQ-UHFFFAOYSA-N -1 1 305.290 1.622 20 0 DDADMM O=C(COC(=O)c1n[nH]c2c1CCC2)[N-]C(=O)c1ccccc1 ZINC000919333364 713598481 /nfs/dbraw/zinc/59/84/81/713598481.db2.gz QXPKHFRKLUWLHR-UHFFFAOYSA-N -1 1 313.313 1.012 20 0 DDADMM CC[S@@](=O)CCNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000920085626 713650055 /nfs/dbraw/zinc/65/00/55/713650055.db2.gz XAKLMBXUCGHORW-OAQYLSRUSA-N -1 1 320.361 1.281 20 0 DDADMM NC(=O)CC1CC([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000921311874 713729591 /nfs/dbraw/zinc/72/95/91/713729591.db2.gz GNZYDDXUOFZNRK-UHFFFAOYSA-N -1 1 322.308 1.036 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-][C@H]1c2ccccc2OC[C@H]1F ZINC000921319861 713732856 /nfs/dbraw/zinc/73/28/56/713732856.db2.gz XSRQCASYGYJYFK-YPMHNXCESA-N -1 1 312.322 1.566 20 0 DDADMM O=S(=O)([N-][C@H]1CCCc2cccnc21)c1c[nH]nc1Cl ZINC000921841460 713882651 /nfs/dbraw/zinc/88/26/51/713882651.db2.gz SMEHRXIDWYJGPH-VIFPVBQESA-N -1 1 312.782 1.814 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H](c1cnn(C)c1)C(C)C ZINC000921845502 713883852 /nfs/dbraw/zinc/88/38/52/713883852.db2.gz MVFNYJNABKQFOS-NSPYISDASA-N -1 1 322.456 1.069 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCOC2CCOCC2)sc1C ZINC000921879079 713894648 /nfs/dbraw/zinc/89/46/48/713894648.db2.gz OPYKMYCQFBPCRR-UHFFFAOYSA-N -1 1 320.436 1.234 20 0 DDADMM CC(=O)NCc1ccc([C@H](C)NC(=O)c2ncccc2[O-])cc1 ZINC000963877972 717932872 /nfs/dbraw/zinc/93/28/72/717932872.db2.gz SPRKPMLYBDHCGK-NSHDSACASA-N -1 1 313.357 1.914 20 0 DDADMM C[C@@H]1Cn2nccc2N(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000922205824 713990555 /nfs/dbraw/zinc/99/05/55/713990555.db2.gz NIEZKUIVSIDHFN-VIFPVBQESA-N -1 1 314.374 1.511 20 0 DDADMM Cn1c(=O)c2ccccc2n1CCC[N-]C(=O)C(F)(F)F ZINC000931248760 714047925 /nfs/dbraw/zinc/04/79/25/714047925.db2.gz YMJSFSRHUIZJQX-UHFFFAOYSA-N -1 1 301.268 1.409 20 0 DDADMM O=C(NC[C@H]1COCO1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932054705 714247925 /nfs/dbraw/zinc/24/79/25/714247925.db2.gz QQYVVEXGPVFENQ-LBPRGKRZSA-N -1 1 304.306 1.072 20 0 DDADMM CC[C@H](NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)[C@@H](C)O ZINC000932060143 714249181 /nfs/dbraw/zinc/24/91/81/714249181.db2.gz ZBIIIOBVOHYBOH-MFKMUULPSA-N -1 1 304.350 1.859 20 0 DDADMM CC(C)Cc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)s1 ZINC000932283526 714299842 /nfs/dbraw/zinc/29/98/42/714299842.db2.gz RHACTSLHOGWLDW-LLVKDONJSA-N -1 1 321.406 1.673 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2nnc(C(C)C)[nH]2)[n-]c1=O ZINC000934277425 714785080 /nfs/dbraw/zinc/78/50/80/714785080.db2.gz AFFKZKULJFKUHT-JTQLQIEISA-N -1 1 318.381 1.769 20 0 DDADMM CCCCCNC(=O)CN1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934281314 714786166 /nfs/dbraw/zinc/78/61/66/714786166.db2.gz GVBLQFXSRJOYPG-LBPRGKRZSA-N -1 1 322.409 1.634 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)NCC3(CO)CC3)c2)o1 ZINC000934710800 714885704 /nfs/dbraw/zinc/88/57/04/714885704.db2.gz IELRAHZPPNWBQW-UHFFFAOYSA-N -1 1 315.325 1.967 20 0 DDADMM CC[C@H](O)[C@H](C)C(=O)[N-]OC[C@@H](C)NC(=O)OC(C)(C)C ZINC000935160896 714991445 /nfs/dbraw/zinc/99/14/45/714991445.db2.gz MTAYMZTWBFKAEK-VWYCJHECSA-N -1 1 304.387 1.354 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccccc1-n1cccc1)c1nn[n-]n1 ZINC000935365035 715037993 /nfs/dbraw/zinc/03/79/93/715037993.db2.gz ABIOPWSJQQXAAA-GFCCVEGCSA-N -1 1 310.361 1.866 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@H]1CC[C@H](C(F)(F)F)O1)c1nn[n-]n1 ZINC000935365107 715038045 /nfs/dbraw/zinc/03/80/45/715038045.db2.gz CEUWTAWSEJYQFJ-HRDYMLBCSA-N -1 1 321.303 1.262 20 0 DDADMM CC(C)OC(=O)N1CCN([C@H](C(=O)[O-])c2ccccc2)CC1 ZINC000926470857 715072879 /nfs/dbraw/zinc/07/28/79/715072879.db2.gz MNOYQBVDRUTLOV-AWEZNQCLSA-N -1 1 306.362 1.975 20 0 DDADMM CCCc1ccccc1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000935784048 715128257 /nfs/dbraw/zinc/12/82/57/715128257.db2.gz IBTYICCARTZLHN-UHFFFAOYSA-N -1 1 315.377 1.276 20 0 DDADMM CN(C(=O)c1cc[nH]c1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216430 715293598 /nfs/dbraw/zinc/29/35/98/715293598.db2.gz CGCWELYPSRNRSU-LBPRGKRZSA-N -1 1 314.345 1.102 20 0 DDADMM Cc1coc(C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)c1 ZINC000955050739 715598200 /nfs/dbraw/zinc/59/82/00/715598200.db2.gz QPLWCWFPFOMURP-UHFFFAOYSA-N -1 1 315.329 1.285 20 0 DDADMM Cc1c[nH]cc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937866040 715619876 /nfs/dbraw/zinc/61/98/76/715619876.db2.gz RMVWXTCYPVTQQW-NSHDSACASA-N -1 1 314.345 1.068 20 0 DDADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)C(C)(C)C)CC1 ZINC000957772553 716036542 /nfs/dbraw/zinc/03/65/42/716036542.db2.gz JVVRBDPJMOTTCV-UHFFFAOYSA-N -1 1 323.441 1.617 20 0 DDADMM C/C(=C/C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC000959900576 716343216 /nfs/dbraw/zinc/34/32/16/716343216.db2.gz ZRFNSFDRPGJTEY-ZWXCPPHNSA-N -1 1 319.409 1.337 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959970000 716373459 /nfs/dbraw/zinc/37/34/59/716373459.db2.gz BABKCHRQJOFCFC-QWRGUYRKSA-N -1 1 307.398 1.027 20 0 DDADMM C[C@@H]1CN(C(=O)C2CCC=CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939776308 716422093 /nfs/dbraw/zinc/42/20/93/716422093.db2.gz LVJXWEKSZONNQL-DGCLKSJQSA-N -1 1 319.409 1.193 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000961300190 716901923 /nfs/dbraw/zinc/90/19/23/716901923.db2.gz RLUSTQGHJSSDHL-PHRMCXTASA-N -1 1 315.373 1.284 20 0 DDADMM CC(C)=CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000945137860 718406987 /nfs/dbraw/zinc/40/69/87/718406987.db2.gz HTRWBZJKOABHGT-CHWSQXEVSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H](NC(=O)C1CC1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000945626402 718510565 /nfs/dbraw/zinc/51/05/65/718510565.db2.gz ZBAQJLWUXQPCDA-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)C1=CCCC1 ZINC000946532929 718821361 /nfs/dbraw/zinc/82/13/61/718821361.db2.gz IVZZFFJYYHKKDY-UHFFFAOYSA-N -1 1 315.373 1.618 20 0 DDADMM CCC(=O)N(C)[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000967444615 718900295 /nfs/dbraw/zinc/90/02/95/718900295.db2.gz COKTZVNGXVLMEX-LBPRGKRZSA-N -1 1 305.378 1.650 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])c1ccco1 ZINC000948700287 719655177 /nfs/dbraw/zinc/65/51/77/719655177.db2.gz XHNBKAXZJQOGJM-SNVBAGLBSA-N -1 1 301.302 1.025 20 0 DDADMM CC(C)C(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000948789672 719709913 /nfs/dbraw/zinc/70/99/13/719709913.db2.gz YFXXCBCMFRZQGR-UHFFFAOYSA-N -1 1 305.378 1.412 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2ccns2)C1 ZINC000949952322 720428308 /nfs/dbraw/zinc/42/83/08/720428308.db2.gz LNYMQTAXYVDNEE-UHFFFAOYSA-N -1 1 318.402 1.590 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950082263 720482271 /nfs/dbraw/zinc/48/22/71/720482271.db2.gz PESWZJFPCUUESF-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950082262 720482420 /nfs/dbraw/zinc/48/24/20/720482420.db2.gz PESWZJFPCUUESF-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(CCC1CC1)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950245112 720540790 /nfs/dbraw/zinc/54/07/90/720540790.db2.gz RKNYQDJAENXDMG-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM Cn1cncc1C[N@H+](C)[C@H]1CCN(C(=O)c2ccccc2O)C1 ZINC000971106592 721113705 /nfs/dbraw/zinc/11/37/05/721113705.db2.gz PFNIJXOLPRKVQP-ZDUSSCGKSA-N -1 1 314.389 1.472 20 0 DDADMM Cc1coc(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])c1 ZINC000951659832 721121584 /nfs/dbraw/zinc/12/15/84/721121584.db2.gz CUBGZZXTACZLLR-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM C[C@@H](C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000954123976 721723620 /nfs/dbraw/zinc/72/36/20/721723620.db2.gz GUDHZNMGIYXMKK-SNVBAGLBSA-N -1 1 303.362 1.116 20 0 DDADMM Cc1n[nH]c(C(=O)NCCN[C@H](C)c2ccccc2F)c1[O-] ZINC001125745024 735456127 /nfs/dbraw/zinc/45/61/27/735456127.db2.gz JPAQSQFNLYOGEH-SECBINFHSA-N -1 1 306.341 1.643 20 0 DDADMM CCC(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001020636300 732581926 /nfs/dbraw/zinc/58/19/26/732581926.db2.gz JVZFAUALLDTTBQ-VXGBXAGGSA-N -1 1 305.378 1.412 20 0 DDADMM CC(C)CCCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167308928 733122593 /nfs/dbraw/zinc/12/25/93/733122593.db2.gz ULDITGXJSIZQIA-UHFFFAOYSA-N -1 1 323.441 1.713 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cnc(C(F)(F)F)nc1 ZINC001210669146 733253492 /nfs/dbraw/zinc/25/34/92/733253492.db2.gz DFQSNRUWRIKDST-UHFFFAOYSA-N -1 1 304.257 1.606 20 0 DDADMM C[C@H](N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)c1csnn1 ZINC001022000699 733711558 /nfs/dbraw/zinc/71/15/58/733711558.db2.gz IKDQHVCMGCTZOX-LPEHRKFASA-N -1 1 319.390 1.250 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104704022 736531185 /nfs/dbraw/zinc/53/11/85/736531185.db2.gz GUULZWQEVSIXII-FDYHWXHSSA-N -1 1 321.425 1.131 20 0 DDADMM CC1(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)CCCC1 ZINC000974057186 737351530 /nfs/dbraw/zinc/35/15/30/737351530.db2.gz YLPVOMQTPFWGBD-TXEJJXNPSA-N -1 1 317.389 1.745 20 0 DDADMM O=S(=O)([N-][C@H]1CCCOCC1)c1ccc(Cl)nc1F ZINC000692889233 738959444 /nfs/dbraw/zinc/95/94/44/738959444.db2.gz SWBQWKQTFANYNG-QMMMGPOBSA-N -1 1 308.762 1.722 20 0 DDADMM CCCC(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514410 741088185 /nfs/dbraw/zinc/08/81/85/741088185.db2.gz CECRORRLTUDBOU-LBPRGKRZSA-N -1 1 305.378 1.556 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059519030 741091393 /nfs/dbraw/zinc/09/13/93/741091393.db2.gz YEONBFUVHPYRMW-FRRDWIJNSA-N -1 1 317.389 1.412 20 0 DDADMM C/C=C(/C)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088291433 741337879 /nfs/dbraw/zinc/33/78/79/741337879.db2.gz HBWICZFVBBZIDL-LCKPPEIMSA-N -1 1 307.398 1.337 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@@H]2CCC2(C)C)C1 ZINC001029812365 741340998 /nfs/dbraw/zinc/34/09/98/741340998.db2.gz IDYBZYYFNNWJGX-NEPJUHHUSA-N -1 1 321.425 1.227 20 0 DDADMM O=C(CCSc1nc2ccccc2s1)NCc1nn[n-]n1 ZINC001142399605 741819424 /nfs/dbraw/zinc/81/94/24/741819424.db2.gz PLTFVSHSUWVMOW-UHFFFAOYSA-N -1 1 320.403 1.608 20 0 DDADMM CC(=O)Oc1ccc([N-]S(=O)(=O)c2cccc(N)c2)cc1 ZINC001212079390 741984561 /nfs/dbraw/zinc/98/45/61/741984561.db2.gz BHRHNVAILVQOCM-UHFFFAOYSA-N -1 1 306.343 1.995 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)C[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001002413273 743119334 /nfs/dbraw/zinc/11/93/34/743119334.db2.gz SUWKBHHDEITEJA-JHJVBQTASA-N -1 1 317.389 1.506 20 0 DDADMM Cc1n[nH]c(C(=O)NC2CCN(CCC(F)(F)F)CC2)c1[O-] ZINC001002614039 743285652 /nfs/dbraw/zinc/28/56/52/743285652.db2.gz CSQBFZNPUGFSDG-UHFFFAOYSA-N -1 1 320.315 1.570 20 0 DDADMM COc1cc(OC)nc(C(=O)Nc2nc(Cl)ccc2[O-])n1 ZINC001183218031 743798718 /nfs/dbraw/zinc/79/87/18/743798718.db2.gz IOHBXWJXVKMHHL-UHFFFAOYSA-N -1 1 310.697 1.500 20 0 DDADMM CSc1nc(NC(=O)[C@@H](O)Cc2ccc(O)cc2)cc(=O)[n-]1 ZINC001183894894 743925326 /nfs/dbraw/zinc/92/53/26/743925326.db2.gz WJWJUJZVWMLGKL-JTQLQIEISA-N -1 1 321.358 1.152 20 0 DDADMM O=C(NC1CN(Cc2ccc(F)cc2)C1)c1ncccc1[O-] ZINC001030240078 743974121 /nfs/dbraw/zinc/97/41/21/743974121.db2.gz SBUMCFKTVFQXBQ-UHFFFAOYSA-N -1 1 301.321 1.541 20 0 DDADMM Cc1nc(C)c(CN2CC(NC(=O)c3ncccc3[O-])C2)o1 ZINC001030241277 743977061 /nfs/dbraw/zinc/97/70/61/743977061.db2.gz BZCLABGDTFBAPO-UHFFFAOYSA-N -1 1 302.334 1.006 20 0 DDADMM CC(C)c1cc(C[N-]S(=O)(=O)c2cnc(Cl)nc2)on1 ZINC001185064126 744146793 /nfs/dbraw/zinc/14/67/93/744146793.db2.gz YJOOIWKQPZIKQU-UHFFFAOYSA-N -1 1 316.770 1.720 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)c2nc(SC)ncc2[O-])n1 ZINC001185160946 744168060 /nfs/dbraw/zinc/16/80/60/744168060.db2.gz FKUAICPPXKGJJF-UHFFFAOYSA-N -1 1 323.334 1.056 20 0 DDADMM CN(C)c1cccc(CC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001186073245 744331557 /nfs/dbraw/zinc/33/15/57/744331557.db2.gz JWPGLOWTVLBWBH-UHFFFAOYSA-N -1 1 312.333 1.306 20 0 DDADMM Cc1cccn2c(CNC(=O)c3ccc([O-])c(F)c3)nnc12 ZINC001186202360 744356403 /nfs/dbraw/zinc/35/64/03/744356403.db2.gz PGYAGOQAHOQCKK-UHFFFAOYSA-N -1 1 300.293 1.812 20 0 DDADMM CCc1c(NC(=O)c2ccc([O-])c(F)c2)c(C(N)=O)nn1C ZINC001186208402 744364077 /nfs/dbraw/zinc/36/40/77/744364077.db2.gz CXODSJMBQIGLSH-UHFFFAOYSA-N -1 1 306.297 1.178 20 0 DDADMM COC(=O)[C@](C)(NC(=O)c1ccc([O-])cc1F)C(F)(F)F ZINC001186348986 744381068 /nfs/dbraw/zinc/38/10/68/744381068.db2.gz VZIPUZXLHXQPED-NSHDSACASA-N -1 1 309.215 1.755 20 0 DDADMM CC(C)CCCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186444300 744395252 /nfs/dbraw/zinc/39/52/52/744395252.db2.gz JHJLQPMWKSYDJI-GFCCVEGCSA-N -1 1 309.414 1.417 20 0 DDADMM O=C(NCC1CC(NC(=O)c2ncccc2[O-])C1)c1ccoc1 ZINC000991917127 744401946 /nfs/dbraw/zinc/40/19/46/744401946.db2.gz NHAFDKSLHCJASK-UHFFFAOYSA-N -1 1 315.329 1.319 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1nccs1)c1ccc(F)cc1 ZINC001187908523 744628050 /nfs/dbraw/zinc/62/80/50/744628050.db2.gz ILGNWZXXWKORNC-UHFFFAOYSA-N -1 1 300.336 1.443 20 0 DDADMM C[C@](CO)(NC(=O)c1n[n-]nc1C(F)(F)F)c1ccccc1 ZINC001187773401 744600207 /nfs/dbraw/zinc/60/02/07/744600207.db2.gz FPLIECOBROOQDK-GFCCVEGCSA-N -1 1 314.267 1.461 20 0 DDADMM O=C(NCCO[C@@H]1CCCCO1)c1n[n-]nc1C(F)(F)F ZINC001187774723 744600841 /nfs/dbraw/zinc/60/08/41/744600841.db2.gz WECTXVYAKKVBCS-SSDOTTSWSA-N -1 1 308.260 1.097 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2nnsc2C2CC2)n[nH]1 ZINC001188082836 744657586 /nfs/dbraw/zinc/65/75/86/744657586.db2.gz HLTWMJSOOXXTDL-UHFFFAOYSA-N -1 1 307.335 1.568 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1nc(C(F)(F)F)c[nH]1 ZINC001188296189 744680776 /nfs/dbraw/zinc/68/07/76/744680776.db2.gz SLNBORFVWVCFDG-UHFFFAOYSA-N -1 1 313.199 1.053 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2[nH]cnc21)c1cccc(-c2cnco2)c1 ZINC001188966206 744801552 /nfs/dbraw/zinc/80/15/52/744801552.db2.gz DZDWUEFGCZFREF-UHFFFAOYSA-N -1 1 322.284 1.966 20 0 DDADMM Cc1ccc(N[C@H](C)C[C@H](C)NC(=O)c2ncccc2[O-])nn1 ZINC001089271153 744840663 /nfs/dbraw/zinc/84/06/63/744840663.db2.gz HZJJKPSQZRFPQO-NEPJUHHUSA-N -1 1 315.377 1.895 20 0 DDADMM Cc1c([N-]S(=O)(=O)Cc2ccc(F)c(F)c2)ncn1C ZINC001189277559 744868061 /nfs/dbraw/zinc/86/80/61/744868061.db2.gz JQGOUJAXNKDVGZ-UHFFFAOYSA-N -1 1 301.318 1.949 20 0 DDADMM Cc1nn(C)cc1[N-]S(=O)(=O)Cc1cc(F)ccc1F ZINC001189645224 744932953 /nfs/dbraw/zinc/93/29/53/744932953.db2.gz SOIIZFJYLONKCS-UHFFFAOYSA-N -1 1 301.318 1.949 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1cc(F)ccc1F)C1CC1 ZINC001189699699 744956479 /nfs/dbraw/zinc/95/64/79/744956479.db2.gz FHVSGANFNBBASA-GFCCVEGCSA-N -1 1 319.329 1.336 20 0 DDADMM CCOC(=O)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001189920016 745035954 /nfs/dbraw/zinc/03/59/54/745035954.db2.gz VQOBYDIUDVUHOU-UHFFFAOYSA-N -1 1 304.306 1.263 20 0 DDADMM COc1cc(O)c(C(=O)NCCn2cc[nH+]c2C)c(OC)c1 ZINC001190145229 745113190 /nfs/dbraw/zinc/11/31/90/745113190.db2.gz NFRMCSZRHFJJMW-UHFFFAOYSA-N -1 1 305.334 1.344 20 0 DDADMM N=c1scc(N)n1C(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190257427 745163343 /nfs/dbraw/zinc/16/33/43/745163343.db2.gz HEDZZGOKVAKDFN-UHFFFAOYSA-N -1 1 313.342 1.462 20 0 DDADMM CSc1ncc(C(=O)N[C@@](C)(CO)c2ccccc2)c(=O)[n-]1 ZINC001191440399 745513037 /nfs/dbraw/zinc/51/30/37/745513037.db2.gz LLRYJXIWKKNRKS-HNNXBMFYSA-N -1 1 319.386 1.542 20 0 DDADMM COc1cccc(-c2ccc(C(=O)NCc3nn[n-]n3)cn2)c1 ZINC001192375550 745770000 /nfs/dbraw/zinc/77/00/00/745770000.db2.gz IRUGXUKOYNPHCL-UHFFFAOYSA-N -1 1 310.317 1.200 20 0 DDADMM Cc1cc(C(=O)NCCCC[P@](=O)([O-])O)n(C(C)(C)C)n1 ZINC001192728772 745869260 /nfs/dbraw/zinc/86/92/60/745869260.db2.gz DZJBIMQSCSDSAX-UHFFFAOYSA-N -1 1 317.326 1.634 20 0 DDADMM COc1c(F)ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)c1F ZINC001193264336 746036694 /nfs/dbraw/zinc/03/66/94/746036694.db2.gz QCTUSYVHSKHMAX-QMMMGPOBSA-N -1 1 307.318 1.894 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)NC(=O)C2 ZINC001193930118 746225104 /nfs/dbraw/zinc/22/51/04/746225104.db2.gz VGIYYLYHLCVCKS-UHFFFAOYSA-N -1 1 303.343 1.564 20 0 DDADMM CC(C)(CCO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC001194578757 746413580 /nfs/dbraw/zinc/41/35/80/746413580.db2.gz SGSZJNNNRAABQV-UHFFFAOYSA-N -1 1 312.313 1.540 20 0 DDADMM COC1CC(C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)C1 ZINC001194597303 746417189 /nfs/dbraw/zinc/41/71/89/746417189.db2.gz KNABXBPEIYNLIQ-UHFFFAOYSA-N -1 1 324.324 1.804 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)C2CCC(=O)CC2)n1 ZINC001194758407 746458735 /nfs/dbraw/zinc/45/87/35/746458735.db2.gz ZEFAGFSUPWSRSY-UHFFFAOYSA-N -1 1 312.347 1.122 20 0 DDADMM COc1ccccc1OC(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001195285699 746568886 /nfs/dbraw/zinc/56/88/86/746568886.db2.gz WILMXPKRHDUBRO-UHFFFAOYSA-N -1 1 301.262 1.678 20 0 DDADMM CC[C@@H](C[C@@H](C)O)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000716955066 746691482 /nfs/dbraw/zinc/69/14/82/746691482.db2.gz SDQHGQOHAMWLMG-SFYZADRCSA-N -1 1 311.325 1.932 20 0 DDADMM COc1cc(CO)cc([N-]S(=O)(=O)c2ccc(O)cc2)c1 ZINC001195810483 746713358 /nfs/dbraw/zinc/71/33/58/746713358.db2.gz GFZSXWAZVFCFIY-UHFFFAOYSA-N -1 1 309.343 1.694 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1cccc(Cn2ccnc2)c1 ZINC001195972771 746742999 /nfs/dbraw/zinc/74/29/99/746742999.db2.gz DEIHJJQSPROYFA-UHFFFAOYSA-N -1 1 323.374 1.236 20 0 DDADMM Cc1noc2ccc(CNC(=O)c3c[nH]c(=S)[n-]c3=O)cc12 ZINC001196026779 746765768 /nfs/dbraw/zinc/76/57/68/746765768.db2.gz HKKAAYQBEHKZGB-UHFFFAOYSA-N -1 1 316.342 1.851 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3c(F)ccc(F)c3C)c1-2 ZINC001196712705 746940565 /nfs/dbraw/zinc/94/05/65/746940565.db2.gz OZRMGAIYWXHEGJ-UHFFFAOYSA-N -1 1 303.272 1.874 20 0 DDADMM COC(=O)c1cscc1[N-]S(=O)(=O)Cc1ccccn1 ZINC001197781789 747263009 /nfs/dbraw/zinc/26/30/09/747263009.db2.gz AHKWRSOMCXHCPE-UHFFFAOYSA-N -1 1 312.372 1.872 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc2c(c1)COC2 ZINC001198841077 747635121 /nfs/dbraw/zinc/63/51/21/747635121.db2.gz JZJSPUCWZKFFKV-UHFFFAOYSA-N -1 1 302.246 1.568 20 0 DDADMM CCOc1ccncc1[N-]S(=O)(=O)CCCC(=O)OC ZINC001201905133 748636103 /nfs/dbraw/zinc/63/61/03/748636103.db2.gz GGEGTPYFALYMNK-UHFFFAOYSA-N -1 1 302.352 1.175 20 0 DDADMM CCCC(=O)N1CC[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001004748575 748714960 /nfs/dbraw/zinc/71/49/60/748714960.db2.gz GTPJUTKKMNRFTF-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H]1CCN(C(=O)C(F)F)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004766540 748765141 /nfs/dbraw/zinc/76/51/41/748765141.db2.gz HRGRKZDZVAXTQL-DTWKUNHWSA-N -1 1 313.304 1.019 20 0 DDADMM CC1(C)CN(C(=O)C2CC3(CC3)C2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996270843 749466779 /nfs/dbraw/zinc/46/67/79/749466779.db2.gz VKGAMLXDBLHRBB-NSHDSACASA-N -1 1 319.409 1.027 20 0 DDADMM CCCCC(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107288053 749741648 /nfs/dbraw/zinc/74/16/48/749741648.db2.gz JVTJBPPBEDGWGJ-MLGOLLRUSA-N -1 1 321.425 1.561 20 0 DDADMM CCc1cc(NC[C@@H](C)NC(=O)c2ncccc2[O-])nc(C)n1 ZINC001107692029 750373644 /nfs/dbraw/zinc/37/36/44/750373644.db2.gz RJQXVXOWVPEJQP-SNVBAGLBSA-N -1 1 315.377 1.678 20 0 DDADMM O=C(C(C1CC1)C1CC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000648531 762047690 /nfs/dbraw/zinc/04/76/90/762047690.db2.gz IUIYRBKBURMIAN-GFCCVEGCSA-N -1 1 319.409 1.027 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017226506 750628020 /nfs/dbraw/zinc/62/80/20/750628020.db2.gz KGIHSYIVBWCYCB-STQMWFEESA-N -1 1 323.441 1.473 20 0 DDADMM CC(C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)=C1CCCC1 ZINC000998881971 752319949 /nfs/dbraw/zinc/31/99/49/752319949.db2.gz XYXQALOMKKWMMK-ZDUSSCGKSA-N -1 1 319.409 1.481 20 0 DDADMM C[C@@H]1CCCN(C(=O)C2CCC2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036483375 752505835 /nfs/dbraw/zinc/50/58/35/752505835.db2.gz DLDMKPXVUHVWBF-PWSUYJOCSA-N -1 1 307.398 1.027 20 0 DDADMM CC[C@@H](C)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008071904 752533382 /nfs/dbraw/zinc/53/33/82/752533382.db2.gz SVZOHAPYPLFCFP-DGCLKSJQSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(NCC1(NC(=O)c2ncccc2[O-])CCC1)c1ccc[nH]1 ZINC001062518347 753085298 /nfs/dbraw/zinc/08/52/98/753085298.db2.gz ZJFTWNXDPKALAU-UHFFFAOYSA-N -1 1 314.345 1.198 20 0 DDADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ccco1 ZINC001011408815 754508065 /nfs/dbraw/zinc/50/80/65/754508065.db2.gz ATQFURJUNPXAPU-GHMZBOCLSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ccco1 ZINC001011408821 754508080 /nfs/dbraw/zinc/50/80/80/754508080.db2.gz ATQFURJUNPXAPU-QWRGUYRKSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC1(C)CC1 ZINC001013293172 755367745 /nfs/dbraw/zinc/36/77/45/755367745.db2.gz MLBBOPNXPDMCRX-VXGBXAGGSA-N -1 1 317.389 1.697 20 0 DDADMM CC(C)C(=O)NC1CC2(CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC001013969824 755559280 /nfs/dbraw/zinc/55/92/80/755559280.db2.gz GQUCQRWKVCDVKP-UHFFFAOYSA-N -1 1 317.389 1.600 20 0 DDADMM CC(C)C(=O)N1CC[C@@]2(NC(=O)c3ncccc3[O-])CCC[C@H]12 ZINC001014253536 755663751 /nfs/dbraw/zinc/66/37/51/755663751.db2.gz QBAXWTABHSEVIU-GUYCJALGSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1Nc1cc(F)ncn1 ZINC001067076735 755707130 /nfs/dbraw/zinc/70/71/30/755707130.db2.gz UGQJNDVPAPGKFZ-UWVGGRQHSA-N -1 1 317.324 1.289 20 0 DDADMM CC(C)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001014892201 756003564 /nfs/dbraw/zinc/00/35/64/756003564.db2.gz GSFARANNWNFJQK-NEPJUHHUSA-N -1 1 305.378 1.553 20 0 DDADMM CCOc1cc(N[C@H](C)CNC(=O)c2ncccc2[O-])ncn1 ZINC001097765698 757512165 /nfs/dbraw/zinc/51/21/65/757512165.db2.gz WIFPHYLLOKRLKV-SNVBAGLBSA-N -1 1 317.349 1.206 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(c2ncc(F)cn2)C1 ZINC001065244729 758413679 /nfs/dbraw/zinc/41/36/79/758413679.db2.gz AVQCFPPIWGGKKQ-HNNXBMFYSA-N -1 1 317.324 1.115 20 0 DDADMM O=c1cnc(NCc2ncccc2[O-])cn1CC(F)(F)F ZINC001169733794 762641375 /nfs/dbraw/zinc/64/13/75/762641375.db2.gz ZHVPRDCWVKOZAH-UHFFFAOYSA-N -1 1 300.240 1.518 20 0 DDADMM C[C@@H](F)CCN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784716 758809804 /nfs/dbraw/zinc/80/98/04/758809804.db2.gz VDJBEIXBXLCCLO-VXGBXAGGSA-N -1 1 323.368 1.108 20 0 DDADMM CC[C@H](C)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001065868369 758873867 /nfs/dbraw/zinc/87/38/67/758873867.db2.gz FZNRFDNHBYVQSC-QWHCGFSZSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1noc([C@H](C)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001018755939 759225975 /nfs/dbraw/zinc/22/59/75/759225975.db2.gz IEZIWEFBFBQXNR-ONGXEEELSA-N -1 1 317.349 1.044 20 0 DDADMM O=C([C@H]1C[C@@]12CCc1ccccc12)N1CCC(c2nn[n-]n2)CC1 ZINC000827710202 759364776 /nfs/dbraw/zinc/36/47/76/759364776.db2.gz BVZQFYRHPXLCSG-CRAIPNDOSA-N -1 1 323.400 1.810 20 0 DDADMM Cc1cscc1C(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054536691 759783055 /nfs/dbraw/zinc/78/30/55/759783055.db2.gz FGLAQTFASCEQPA-KCJUWKMLSA-N -1 1 321.406 1.131 20 0 DDADMM C[C@@H]1CN(C(=O)C2(C)CCCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054943436 760211350 /nfs/dbraw/zinc/21/13/50/760211350.db2.gz IEBSZYHDLGFQKX-MNOVXSKESA-N -1 1 307.398 1.027 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2Cc2ccc(C)cc2)c1[O-] ZINC001038167380 760877869 /nfs/dbraw/zinc/87/78/69/760877869.db2.gz CKMMVYQXGRGEPR-AWEZNQCLSA-N -1 1 314.389 1.736 20 0 DDADMM CCC(C)(CC)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001545327 762948993 /nfs/dbraw/zinc/94/89/93/762948993.db2.gz NQLZGMMTAKNVDS-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM CC1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CCC1 ZINC001001552312 762954182 /nfs/dbraw/zinc/95/41/82/762954182.db2.gz ODNGUAJSAOLBIH-UHFFFAOYSA-N -1 1 303.362 1.166 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(Nc2nccnc2F)C1 ZINC001069731537 768119196 /nfs/dbraw/zinc/11/91/96/768119196.db2.gz JMZSCPTXDPGGBZ-UHFFFAOYSA-N -1 1 317.324 1.431 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@@H]3CCN(C/C=C/Cl)[C@@H]3C2)c1[O-] ZINC001042016025 763566455 /nfs/dbraw/zinc/56/64/55/763566455.db2.gz NEAUJLJOMBYVGC-UEJZMWSSSA-N -1 1 324.812 1.713 20 0 DDADMM CCCCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532059 763718038 /nfs/dbraw/zinc/71/80/38/763718038.db2.gz WOTGNRGDHBBFDS-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(N[C@@H](CNc1ncc(F)cn1)C1CC1)c1ncccc1[O-] ZINC001109821926 764106932 /nfs/dbraw/zinc/10/69/32/764106932.db2.gz UQZANVYYXIAYNR-NSHDSACASA-N -1 1 317.324 1.337 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CCCF)C1 ZINC001051346505 764766072 /nfs/dbraw/zinc/76/60/72/764766072.db2.gz DCEDILFFULAEMR-NWDGAFQWSA-N -1 1 323.368 1.504 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)C(C)(C)C ZINC001052422060 765809785 /nfs/dbraw/zinc/80/97/85/765809785.db2.gz PKGXECFLDGHYNC-RYUDHWBXSA-N -1 1 319.405 1.658 20 0 DDADMM CC(C)CC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052422094 765810587 /nfs/dbraw/zinc/81/05/87/765810587.db2.gz PSBTZTZAVCBMIU-OLZOCXBDSA-N -1 1 319.405 1.658 20 0 DDADMM Cc1cc2cnc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)nc2s1 ZINC001170218027 766176595 /nfs/dbraw/zinc/17/65/95/766176595.db2.gz PBESXIZDUUCECA-UHFFFAOYSA-N -1 1 315.318 1.772 20 0 DDADMM COc1c(C)nc(C2CC2)nc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170226989 766200954 /nfs/dbraw/zinc/20/09/54/766200954.db2.gz GKYGGVQVTKZCBJ-UHFFFAOYSA-N -1 1 313.321 1.787 20 0 DDADMM C[S@](=N)(=O)c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001170228501 766202878 /nfs/dbraw/zinc/20/28/78/766202878.db2.gz GNMQWJHYCRUFHV-NRFANRHFSA-N -1 1 304.335 1.838 20 0 DDADMM O=C(N[C@@H]1CCCN(c2nccnc2F)C1)c1ncccc1[O-] ZINC001058147302 766273371 /nfs/dbraw/zinc/27/33/71/766273371.db2.gz DPFIZPQZJRWVRL-SNVBAGLBSA-N -1 1 317.324 1.115 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cc(F)ccc3C)nc2n1 ZINC001131778052 768363100 /nfs/dbraw/zinc/36/31/00/768363100.db2.gz FQDONYCHFPOMEP-UHFFFAOYSA-N -1 1 315.308 1.680 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3csc(Cl)c3)nc2n1 ZINC001131777980 768363311 /nfs/dbraw/zinc/36/33/11/768363311.db2.gz BOFYNOCVDUTANR-UHFFFAOYSA-N -1 1 323.765 1.947 20 0 DDADMM Cc1ncsc1/C=C/C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC001129409409 766870858 /nfs/dbraw/zinc/87/08/58/766870858.db2.gz RPVWTCSTXXMNET-ONEGZZNKSA-N -1 1 316.346 1.143 20 0 DDADMM CN(C(=O)c1cnn(Cc2ccccc2)c1Cl)c1nn[n-]n1 ZINC001130971935 767824548 /nfs/dbraw/zinc/82/45/48/767824548.db2.gz KDLAVEJJQKUOKG-UHFFFAOYSA-N -1 1 317.740 1.375 20 0 DDADMM CCCCCC(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131825011 768391807 /nfs/dbraw/zinc/39/18/07/768391807.db2.gz FUVJNEFGVMUFBR-STQMWFEESA-N -1 1 323.441 1.158 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[N@H+](Cc3ccccn3)C[C@@H]2C1 ZINC001048781580 769877857 /nfs/dbraw/zinc/87/78/57/769877857.db2.gz DAIILUWJFPRELN-OKILXGFUSA-N -1 1 324.384 1.386 20 0 DDADMM Cc1nc(CN[C@@H](C)C[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])co1 ZINC001134089361 770642507 /nfs/dbraw/zinc/64/25/07/770642507.db2.gz DWMXWFMQAXEOPG-DTWKUNHWSA-N -1 1 321.381 1.407 20 0 DDADMM Cc1conc1CN[C@@H](C)C[C@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001134089365 770642633 /nfs/dbraw/zinc/64/26/33/770642633.db2.gz DZGWTVHJUTWRFZ-UWVGGRQHSA-N -1 1 321.381 1.407 20 0 DDADMM Cc1[nH]nc2ncc(NC(=O)c3cnc(C4CC4)[n-]c3=O)cc12 ZINC001136391373 771951964 /nfs/dbraw/zinc/95/19/64/771951964.db2.gz TXKJBWGIEHQLDS-UHFFFAOYSA-N -1 1 310.317 1.892 20 0 DDADMM CC(=O)NC[C@@H]1CCCN(C(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001136456916 771973553 /nfs/dbraw/zinc/97/35/53/771973553.db2.gz UZHVNADWLATTGJ-ZDUSSCGKSA-N -1 1 318.373 1.501 20 0 DDADMM [O-]c1cc(F)c(CN2CCN(c3cccnn3)CC2)cc1F ZINC001144658262 772592389 /nfs/dbraw/zinc/59/23/89/772592389.db2.gz USCCENKMAQUXFA-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM O=C(NCCCC[P@](=O)([O-])O)[C@@H](O)c1cccc(Cl)c1 ZINC001144825854 772633098 /nfs/dbraw/zinc/63/30/98/772633098.db2.gz KNJYQRQMYCUTEN-NSHDSACASA-N -1 1 321.697 1.448 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=Cc2cccnc2)[n-]1 ZINC001146855598 772965766 /nfs/dbraw/zinc/96/57/66/772965766.db2.gz LCEAIYHOCHIAJL-GFVADAIESA-N -1 1 315.333 1.267 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=Cc2cccnc2)n[n-]1 ZINC001146855598 772965769 /nfs/dbraw/zinc/96/57/69/772965769.db2.gz LCEAIYHOCHIAJL-GFVADAIESA-N -1 1 315.333 1.267 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C=Cc2cccnc2)n1 ZINC001146855598 772965771 /nfs/dbraw/zinc/96/57/71/772965771.db2.gz LCEAIYHOCHIAJL-GFVADAIESA-N -1 1 315.333 1.267 20 0 DDADMM COc1ccc(NC(=O)c2c(CO)cnc(C)c2[O-])c(C)n1 ZINC001147834091 773260807 /nfs/dbraw/zinc/26/08/07/773260807.db2.gz NDMHJQIUHNSANC-UHFFFAOYSA-N -1 1 303.318 1.552 20 0 DDADMM COC1C[C@H]2CC[C@@H](C1)N2C(=O)c1c(CO)cnc(C)c1[O-] ZINC001147838309 773264269 /nfs/dbraw/zinc/26/42/69/773264269.db2.gz MTNVZICCHKOKDV-FUNVUKJBSA-N -1 1 306.362 1.370 20 0 DDADMM C[C@@H]1C(=O)N([N-]C(=O)c2cc(F)cc3ccoc32)C(=O)N1C ZINC001148186884 773387284 /nfs/dbraw/zinc/38/72/84/773387284.db2.gz JMDYFOHLZXFAIQ-SSDOTTSWSA-N -1 1 305.265 1.499 20 0 DDADMM CC[C@H](C)[C@@H](CO)NC(=O)c1ccc(-n2[n-]c(C)cc2=O)cc1 ZINC001148336433 773440847 /nfs/dbraw/zinc/44/08/47/773440847.db2.gz NYDDPVPZZGKTKM-XHDPSFHLSA-N -1 1 317.389 1.936 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC3(C[C@@H]2C)CCN(CCF)CC3)c1[O-] ZINC001086916094 773726091 /nfs/dbraw/zinc/72/60/91/773726091.db2.gz AYSQQZZGDVTHFB-NSHDSACASA-N -1 1 324.400 1.710 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC3(C[C@H]2C)CCN(CCF)CC3)c1[O-] ZINC001086916093 773726238 /nfs/dbraw/zinc/72/62/38/773726238.db2.gz AYSQQZZGDVTHFB-LLVKDONJSA-N -1 1 324.400 1.710 20 0 DDADMM CCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001074771849 774118422 /nfs/dbraw/zinc/11/84/22/774118422.db2.gz XHZPLVIQJVAADO-VXGBXAGGSA-N -1 1 305.378 1.697 20 0 DDADMM CC(C)CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001074786663 774131034 /nfs/dbraw/zinc/13/10/34/774131034.db2.gz GVTFORJWZOYBML-CHWSQXEVSA-N -1 1 319.405 1.943 20 0 DDADMM COc1nonc1[N-]c1cccc(S(=O)(=O)N2CCCC2)c1 ZINC001174314323 777456394 /nfs/dbraw/zinc/45/63/94/777456394.db2.gz ZPCFYBCKOSYXLV-UHFFFAOYSA-N -1 1 324.362 1.606 20 0 DDADMM Cc1cncc(CCC(=O)NCCCC[P@](=O)([O-])O)c1 ZINC001176081375 778015983 /nfs/dbraw/zinc/01/59/83/778015983.db2.gz OGBIISKFVCCMFT-UHFFFAOYSA-N -1 1 300.295 1.397 20 0 DDADMM COc1ncccc1CC(=O)Nc1cc(=O)[n-]c(SC)n1 ZINC001176214094 778080387 /nfs/dbraw/zinc/08/03/87/778080387.db2.gz TXSNJWIBRVHSRN-UHFFFAOYSA-N -1 1 306.347 1.489 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc3nonc3c2)cc1N ZINC001176325308 778116282 /nfs/dbraw/zinc/11/62/82/778116282.db2.gz DSERUYDXNFVUQR-UHFFFAOYSA-N -1 1 304.331 1.914 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3nonc3c2)c1 ZINC001176326622 778116939 /nfs/dbraw/zinc/11/69/39/778116939.db2.gz FXQXHEQQMOZQRY-UHFFFAOYSA-N -1 1 305.319 1.188 20 0 DDADMM O=C(Cc1nn[n-]n1)Nc1cc2[nH]ccc2cc1Br ZINC001176844686 778299648 /nfs/dbraw/zinc/29/96/48/778299648.db2.gz FTYORXLFNWORFD-UHFFFAOYSA-N -1 1 321.138 1.625 20 0 DDADMM CCCCC[C@@H](O)C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001178686249 779139920 /nfs/dbraw/zinc/13/99/20/779139920.db2.gz AFQHLESAMRKMGR-SNVBAGLBSA-N -1 1 311.382 1.483 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)c1ccn2nnnc2c1 ZINC001179660153 779438890 /nfs/dbraw/zinc/43/88/90/779438890.db2.gz MWWZBMKRGUWWNZ-UHFFFAOYSA-N -1 1 307.672 1.875 20 0 DDADMM Cc1ncc(CNC(=O)C(F)(F)C(F)(F)C(=O)[O-])s1 ZINC001180171105 779651627 /nfs/dbraw/zinc/65/16/27/779651627.db2.gz PUTPJWCRDKEKJW-UHFFFAOYSA-N -1 1 300.233 1.423 20 0 DDADMM O=c1nc(-c2ccon2)[n-]c2sc3c(c21)CCS(=O)(=O)C3 ZINC001116184324 780482071 /nfs/dbraw/zinc/48/20/71/780482071.db2.gz KKNNQIOEDIXICR-UHFFFAOYSA-N -1 1 323.355 1.523 20 0 DDADMM Cc1cc(C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C(C)C)co1 ZINC001267247861 837667818 /nfs/dbraw/zinc/66/78/18/837667818.db2.gz FKYXJTTXUXADEI-UHFFFAOYSA-N -1 1 321.381 1.442 20 0 DDADMM CC(C)c1cnc(CNCCN(C)C(=O)c2ncccc2[O-])o1 ZINC001266682237 836660140 /nfs/dbraw/zinc/66/01/40/836660140.db2.gz RHYAJMCAKNVMHP-UHFFFAOYSA-N -1 1 318.377 1.760 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2c(C)nn(C)c2C)[n-]1 ZINC001417579718 839425739 /nfs/dbraw/zinc/42/57/39/839425739.db2.gz DSGYVPHRSSAWGU-UHFFFAOYSA-N -1 1 318.333 1.607 20 0 DDADMM CC[C@@H](CNC(=O)c1ccccc1)NC(=O)c1ncccc1[O-] ZINC001409225354 844539051 /nfs/dbraw/zinc/53/90/51/844539051.db2.gz XFKMLDUBDCSTTN-ZDUSSCGKSA-N -1 1 313.357 1.726 20 0 DDADMM CN(C)C(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1ccc([O-])cn1 ZINC001270139334 842328324 /nfs/dbraw/zinc/32/83/24/842328324.db2.gz UYGRRKKKNQVGJC-XXSPCDMZSA-N -1 1 303.362 1.020 20 0 DDADMM CC(C)=C(C)CC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001270250283 842425439 /nfs/dbraw/zinc/42/54/39/842425439.db2.gz SHXZTFRYNOCPSN-GFCCVEGCSA-N -1 1 307.398 1.337 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CCC=CCCC1 ZINC001271014271 843134802 /nfs/dbraw/zinc/13/48/02/843134802.db2.gz WANMWZFWGNUYMT-CHWSQXEVSA-N -1 1 321.425 1.583 20 0 DDADMM CN1C(=O)C[C@@]2(CCCN(C(=O)c3ccc([O-])cc3F)C2)C1=O ZINC001272225835 844777964 /nfs/dbraw/zinc/77/79/64/844777964.db2.gz ZMIWHLRTSDUUSX-MRXNPFEDSA-N -1 1 320.320 1.142 20 0 DDADMM Cn1cnc(NC(=O)c2ccc3cccnc3c2[O-])c2ncnc1-2 ZINC001149314795 861539375 /nfs/dbraw/zinc/53/93/75/861539375.db2.gz OYDBTUAEEQSLTQ-UHFFFAOYSA-N -1 1 320.312 1.821 20 0 DDADMM CC(C)NC(=O)N1CCC2(CN(C(=O)c3cncc([O-])c3)C2)C1 ZINC001272671667 846562055 /nfs/dbraw/zinc/56/20/55/846562055.db2.gz ANGKNBQMMZXTRX-UHFFFAOYSA-N -1 1 318.377 1.053 20 0 DDADMM CN(C(=O)c1cc2cccc(O)c2cc1[O-])c1nccnc1N ZINC001149644612 861777693 /nfs/dbraw/zinc/77/76/93/861777693.db2.gz DFJWLURFRSAYIG-UHFFFAOYSA-N -1 1 310.313 1.900 20 0 DDADMM Cc1noc(NC(=O)c2nc3ccc(Cl)nc3[n-]2)c1C(N)=O ZINC001155170797 861797793 /nfs/dbraw/zinc/79/77/93/861797793.db2.gz MAIZXOMBCUVEIJ-UHFFFAOYSA-N -1 1 320.696 1.259 20 0 DDADMM C[C@@H](NC/C=C/CNC(=O)c1ncccc1[O-])c1csnn1 ZINC001273975023 851727059 /nfs/dbraw/zinc/72/70/59/851727059.db2.gz RMLAMTNPFPECMZ-VMZHVLLKSA-N -1 1 319.390 1.276 20 0 DDADMM Cc1ccc2ccc(C(=O)n3c(N)c(F)ccc3=N)c([O-])c2n1 ZINC001155651233 862341852 /nfs/dbraw/zinc/34/18/52/862341852.db2.gz BPRBZAARYMWGET-UHFFFAOYSA-N -1 1 312.304 1.940 20 0 DDADMM CC(C)(C)NC(=O)[C@@H]1CC12CN(C(=O)c1ccc([O-])c(F)c1)C2 ZINC001275374318 853034167 /nfs/dbraw/zinc/03/41/67/853034167.db2.gz VXYASQWIBGDZMJ-NSHDSACASA-N -1 1 320.364 1.908 20 0 DDADMM Cc1ccc(NC(=O)C2=C([O-])C(C)N=N2)cc1N1CCOC1=O ZINC001411850561 853832945 /nfs/dbraw/zinc/83/29/45/853832945.db2.gz PLMWLYXXWYCCPB-UHFFFAOYSA-N -1 1 316.317 1.941 20 0 DDADMM CC(C)C[C@@H](NC(=O)CCc1nn[n-]n1)C(=O)OC(C)(C)C ZINC001411894608 853944504 /nfs/dbraw/zinc/94/45/04/853944504.db2.gz LKBKANJIGITDLE-SNVBAGLBSA-N -1 1 311.386 1.005 20 0 DDADMM CN(C)C(=O)N1CCN(C(=O)c2ccc([O-])cn2)C(C)(C)C1 ZINC001411950844 854028154 /nfs/dbraw/zinc/02/81/54/854028154.db2.gz ILHUWSQNLUSIBC-UHFFFAOYSA-N -1 1 306.366 1.005 20 0 DDADMM Cc1ccc(C2=CCN(C(=O)CCCc3nn[n-]n3)CC2)cn1 ZINC001411965733 854045259 /nfs/dbraw/zinc/04/52/59/854045259.db2.gz MOGBZWLOCGGPBR-UHFFFAOYSA-N -1 1 312.377 1.542 20 0 DDADMM CCC[C@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C(N)=O ZINC001412105533 854222437 /nfs/dbraw/zinc/22/24/37/854222437.db2.gz IRKQSXLBMMXFAF-JTQLQIEISA-N -1 1 305.309 1.463 20 0 DDADMM CCCC(=O)N[C@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001412139735 854258438 /nfs/dbraw/zinc/25/84/38/854258438.db2.gz HLKQWKPIUNOFJN-NWDGAFQWSA-N -1 1 305.378 1.745 20 0 DDADMM Cc1c[nH]c(CC(N)=O)c1C(=O)Nc1cc([O-])c(F)cc1F ZINC001412197814 854323603 /nfs/dbraw/zinc/32/36/03/854323603.db2.gz ACSGFWDHLGOPAV-UHFFFAOYSA-N -1 1 309.272 1.587 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccnc2[nH]ccc21 ZINC001150962906 862554014 /nfs/dbraw/zinc/55/40/14/862554014.db2.gz LFBOCMKNZSXLOQ-UHFFFAOYSA-N -1 1 300.234 1.366 20 0 DDADMM COC(=O)[C@@H](Cc1ccccc1O)NC(=O)c1ncccc1[O-] ZINC001412361368 854473663 /nfs/dbraw/zinc/47/36/63/854473663.db2.gz AEOARLSARWGIES-LLVKDONJSA-N -1 1 316.313 1.007 20 0 DDADMM CC[C@H](C)NC(=O)[C@H]1CSCN1C(=O)c1ccc([O-])cn1 ZINC001412387006 854494682 /nfs/dbraw/zinc/49/46/82/854494682.db2.gz NHGGYNYSGAWUBB-JOYOIKCWSA-N -1 1 309.391 1.217 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)CC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001412488698 854612326 /nfs/dbraw/zinc/61/23/26/854612326.db2.gz MPIYISMXFNRGBD-SECBINFHSA-N -1 1 317.397 1.439 20 0 DDADMM COC(=O)c1ccc2c(c1)CCN(C(=O)c1ccc([O-])cn1)C2 ZINC001412518891 854649259 /nfs/dbraw/zinc/64/92/59/854649259.db2.gz KDZHJDQKCYMNCA-UHFFFAOYSA-N -1 1 312.325 1.772 20 0 DDADMM O=C(N[C@H]1Cc2cccnc2NC1=O)c1cccc([O-])c1F ZINC001412553943 854715469 /nfs/dbraw/zinc/71/54/69/854715469.db2.gz VWCQRNRYXJLAFX-JTQLQIEISA-N -1 1 301.277 1.220 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CO[C@@H](C)CC ZINC001412587491 854768089 /nfs/dbraw/zinc/76/80/89/854768089.db2.gz KNXHMXWYOPZORK-QWRGUYRKSA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CO[C@@H](C)CC ZINC001412587491 854768096 /nfs/dbraw/zinc/76/80/96/854768096.db2.gz KNXHMXWYOPZORK-QWRGUYRKSA-N -1 1 324.381 1.460 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CO[C@@H](C)CC ZINC001412587491 854768101 /nfs/dbraw/zinc/76/81/01/854768101.db2.gz KNXHMXWYOPZORK-QWRGUYRKSA-N -1 1 324.381 1.460 20 0 DDADMM COC[C@@H](NC(=O)CSCc1cccc(C)c1)c1nn[n-]n1 ZINC001412587895 854770987 /nfs/dbraw/zinc/77/09/87/854770987.db2.gz DXBOXSUZZBIWMZ-GFCCVEGCSA-N -1 1 321.406 1.245 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@H](C)C1CC1 ZINC001412593432 854785091 /nfs/dbraw/zinc/78/50/91/854785091.db2.gz SOFJOUFICMTHJG-MWLCHTKSSA-N -1 1 306.366 1.691 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@H](C)C1CC1 ZINC001412593432 854785095 /nfs/dbraw/zinc/78/50/95/854785095.db2.gz SOFJOUFICMTHJG-MWLCHTKSSA-N -1 1 306.366 1.691 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@H](C)C1CC1 ZINC001412593432 854785096 /nfs/dbraw/zinc/78/50/96/854785096.db2.gz SOFJOUFICMTHJG-MWLCHTKSSA-N -1 1 306.366 1.691 20 0 DDADMM CCc1cc(C(=O)OC)ccc1NC(=O)CCCc1nn[n-]n1 ZINC001412641391 854862078 /nfs/dbraw/zinc/86/20/78/854862078.db2.gz UDFVSSZKCFWYLK-UHFFFAOYSA-N -1 1 317.349 1.510 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H](O)c2cccc(C)c2)c(=O)[n-]1 ZINC001412677178 854925494 /nfs/dbraw/zinc/92/54/94/854925494.db2.gz SUMBRIRHCXUNTO-GFCCVEGCSA-N -1 1 319.386 1.676 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@H]1Cc1ccc(O)cc1 ZINC001412781076 855255104 /nfs/dbraw/zinc/25/51/04/855255104.db2.gz UGGCSYOXXSMEPA-ZDUSSCGKSA-N -1 1 315.377 1.462 20 0 DDADMM COC(=O)c1cn(C)nc1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC001412819612 855304038 /nfs/dbraw/zinc/30/40/38/855304038.db2.gz INUZNEUVFTZYBH-UHFFFAOYSA-N -1 1 323.736 1.747 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCS(=O)(=O)c2ccccc2C1 ZINC001412842770 855718207 /nfs/dbraw/zinc/71/82/07/855718207.db2.gz IYWCPEBCCSQKSZ-UHFFFAOYSA-N -1 1 318.354 1.217 20 0 DDADMM CC(C)c1cc([C@@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC001412868620 855736661 /nfs/dbraw/zinc/73/66/61/855736661.db2.gz HHPXRNJIMOSQIB-SECBINFHSA-N -1 1 304.354 1.377 20 0 DDADMM Cc1ccc2c(c1)CCN2C(=O)CNC(=O)c1ncccc1[O-] ZINC001412917030 855782447 /nfs/dbraw/zinc/78/24/47/855782447.db2.gz OXACQEDYXCURAT-UHFFFAOYSA-N -1 1 311.341 1.415 20 0 DDADMM C[C@H]1[C@H](c2cccc(F)c2)OCCN1Cc1nc(=O)n(C)[n-]1 ZINC001413011993 855917884 /nfs/dbraw/zinc/91/78/84/855917884.db2.gz OICRDMPELJCUGY-IINYFYTJSA-N -1 1 306.341 1.210 20 0 DDADMM COC(=O)[C@H](CF)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001413021414 855962215 /nfs/dbraw/zinc/96/22/15/855962215.db2.gz LTVWSYBFDUDFTC-VIFPVBQESA-N -1 1 310.256 1.320 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@]12CCOC2 ZINC001413131301 856472496 /nfs/dbraw/zinc/47/24/96/856472496.db2.gz LNPWKJWUGMMFNJ-INIZCTEOSA-N -1 1 305.378 1.793 20 0 DDADMM O=C(CCCF)N[C@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001413183698 856524962 /nfs/dbraw/zinc/52/49/62/856524962.db2.gz ZCXJNSJKQKDRSH-RYUDHWBXSA-N -1 1 323.368 1.552 20 0 DDADMM COC(=O)c1cc(NC(=O)c2cnncc2O)ccc1Cl ZINC001413222300 856562996 /nfs/dbraw/zinc/56/29/96/856562996.db2.gz JDBOQJSHYRDKDR-UHFFFAOYSA-N -1 1 307.693 1.875 20 0 DDADMM COC(=O)c1cc(NC(=O)c2cnncc2[O-])ccc1Cl ZINC001413222300 856562999 /nfs/dbraw/zinc/56/29/99/856562999.db2.gz JDBOQJSHYRDKDR-UHFFFAOYSA-N -1 1 307.693 1.875 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC1([C@@H](O)C(C)C)CC1 ZINC001413323035 856647824 /nfs/dbraw/zinc/64/78/24/856647824.db2.gz QILLJRQJRURGQM-JTQLQIEISA-N -1 1 320.436 1.227 20 0 DDADMM CCc1cnc(CNS(=O)(=O)c2cc(OC)ccc2[O-])o1 ZINC001413325712 856662267 /nfs/dbraw/zinc/66/22/67/856662267.db2.gz CDUGRXAKMYTFSD-UHFFFAOYSA-N -1 1 312.347 1.430 20 0 DDADMM Cc1ccc(OCCC(=O)NCc2nc([O-])cc(=O)[nH]2)c(C)c1 ZINC001413337404 856683800 /nfs/dbraw/zinc/68/38/00/856683800.db2.gz DGZWYWBZEUSMQJ-UHFFFAOYSA-N -1 1 317.345 1.590 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H](O)c1cccnc1 ZINC001413380793 856733249 /nfs/dbraw/zinc/73/32/49/856733249.db2.gz SLEZAUABWFEPTP-JTQLQIEISA-N -1 1 320.374 1.071 20 0 DDADMM Cc1cccc2c1CCN2C(=O)CNC(=O)c1ncccc1[O-] ZINC001413428545 856797128 /nfs/dbraw/zinc/79/71/28/856797128.db2.gz SRGRJPAIYKTHPU-UHFFFAOYSA-N -1 1 311.341 1.415 20 0 DDADMM O=C(CCOc1cccc(F)c1)NC1(c2nn[n-]n2)CCC1 ZINC001413506182 856903405 /nfs/dbraw/zinc/90/34/05/856903405.db2.gz GDPMOLPIBUKEKV-UHFFFAOYSA-N -1 1 305.313 1.303 20 0 DDADMM COc1ncncc1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC001413532885 856942206 /nfs/dbraw/zinc/94/22/06/856942206.db2.gz BIQWTSYFYYYNLX-UHFFFAOYSA-N -1 1 324.287 1.833 20 0 DDADMM COC[C@H](NC(=O)C[C@@H](C)c1ccc(F)cc1)c1nn[n-]n1 ZINC001413552019 857054923 /nfs/dbraw/zinc/05/49/23/857054923.db2.gz HXLLZURMRKCNFM-SKDRFNHKSA-N -1 1 307.329 1.336 20 0 DDADMM COc1cncc(NC(=O)NCc2cc(=O)[n-]c(SC)n2)c1 ZINC001413603341 857220660 /nfs/dbraw/zinc/22/06/60/857220660.db2.gz BWGJYPYOUCTTCA-UHFFFAOYSA-N -1 1 321.362 1.629 20 0 DDADMM O=C(N[C@@H]1COc2c(F)cc(F)cc2C1)c1ccc([O-])cn1 ZINC001413783010 858526837 /nfs/dbraw/zinc/52/68/37/858526837.db2.gz CMOSKMPWYIIFLZ-JTQLQIEISA-N -1 1 306.268 1.799 20 0 DDADMM COC(=O)CCCc1nnc([N-]C(=O)c2conc2C)s1 ZINC001361300864 881411537 /nfs/dbraw/zinc/41/15/37/881411537.db2.gz NKLQSYFGXPQMNR-UHFFFAOYSA-N -1 1 310.335 1.583 20 0 DDADMM C[C@H]1CN2CCN1C[C@H]2C(=O)Nc1cc(Cl)c([O-])cc1F ZINC001123778906 859410445 /nfs/dbraw/zinc/41/04/45/859410445.db2.gz BVAOSJPUKJHIHU-UFBFGSQYSA-N -1 1 313.760 1.512 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1OCC[P@](=O)([O-])O ZINC001224605463 881465215 /nfs/dbraw/zinc/46/52/15/881465215.db2.gz NLYZVNUHUQUQSA-ZJUUUORDSA-N -1 1 309.299 1.436 20 0 DDADMM [O-]c1cccnc1CN1CCn2cc(Cn3cccn3)nc2C1 ZINC001138392618 860087506 /nfs/dbraw/zinc/08/75/06/860087506.db2.gz IUIFMKQEXSBUIW-UHFFFAOYSA-N -1 1 310.361 1.244 20 0 DDADMM Cn1cc(C(=O)C(=O)Nc2cccc([O-])c2Br)cn1 ZINC001141218537 860810067 /nfs/dbraw/zinc/81/00/67/860810067.db2.gz DBVZLSMHAWSGBZ-UHFFFAOYSA-N -1 1 324.134 1.710 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1nc(F)ccc1Br ZINC001141578496 860891735 /nfs/dbraw/zinc/89/17/35/860891735.db2.gz QWLKRBIXQPQRRC-UHFFFAOYSA-N -1 1 315.102 1.973 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccnc2ncccc21 ZINC001154333662 861013732 /nfs/dbraw/zinc/01/37/32/861013732.db2.gz FEDCZMAPINBCMM-UHFFFAOYSA-N -1 1 312.245 1.481 20 0 DDADMM O=C(C1CCCCC1)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001326178191 861165530 /nfs/dbraw/zinc/16/55/30/861165530.db2.gz UHXSTMDRCIHWGN-GFCCVEGCSA-N -1 1 321.425 1.419 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc3c2CNC3=O)n1 ZINC001156546249 863135558 /nfs/dbraw/zinc/13/55/58/863135558.db2.gz IXCQSBFVLPNWIV-UHFFFAOYSA-N -1 1 314.301 1.082 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@@H](OCCCC[P@](=O)([O-])O)CO1 ZINC001225019121 881640044 /nfs/dbraw/zinc/64/00/44/881640044.db2.gz RYKBZZZVHHSQSP-MNOVXSKESA-N -1 1 310.283 1.072 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCCC(=O)CC1 ZINC001152672075 863485422 /nfs/dbraw/zinc/48/54/22/863485422.db2.gz VWOFTRYQDBBERB-UHFFFAOYSA-N -1 1 300.314 1.841 20 0 DDADMM CO[C@H](C)C1CN(C(=O)c2cc(=O)c3cccc(O)c3[n-]2)C1 ZINC001152680124 863488036 /nfs/dbraw/zinc/48/80/36/863488036.db2.gz UYNNSCPTPPHITM-SECBINFHSA-N -1 1 302.330 1.753 20 0 DDADMM O=C(N[C@H]1CC12CCOCC2)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681026 863490101 /nfs/dbraw/zinc/49/01/01/863490101.db2.gz BQNHOGZXPGUQMZ-AWEZNQCLSA-N -1 1 314.341 1.945 20 0 DDADMM O=C(NCCc1cnccn1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681829 863490346 /nfs/dbraw/zinc/49/03/46/863490346.db2.gz RESLATJGVICHEE-UHFFFAOYSA-N -1 1 310.313 1.409 20 0 DDADMM Nc1ccc(NC2([P@](=O)([O-])O)Cc3ccccc3C2)nc1 ZINC001157156653 863620352 /nfs/dbraw/zinc/62/03/52/863620352.db2.gz MMWLETTXIWRUPL-UHFFFAOYSA-N -1 1 305.274 1.749 20 0 DDADMM Cc1[nH]c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(C)c1C=O ZINC001329416873 863655079 /nfs/dbraw/zinc/65/50/79/863655079.db2.gz NMWFULSCEIRFHL-JTQLQIEISA-N -1 1 318.333 1.552 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cccc2ccnn21 ZINC001153556764 863944860 /nfs/dbraw/zinc/94/48/60/863944860.db2.gz JCFCALAYAPAOLM-UHFFFAOYSA-N -1 1 300.234 1.186 20 0 DDADMM Cc1ccc(/C=C\CC(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001153980757 864278725 /nfs/dbraw/zinc/27/87/25/864278725.db2.gz PRCWSHCTOQGUMD-IHWYPQMZSA-N -1 1 315.377 1.128 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)Cc2ccc(C)nc2)[n-]1 ZINC001361517270 881812593 /nfs/dbraw/zinc/81/25/93/881812593.db2.gz ZPODJBGUDDODGC-UHFFFAOYSA-N -1 1 315.329 1.889 20 0 DDADMM CSc1nc(Cl)cc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001159192801 865158493 /nfs/dbraw/zinc/15/84/93/865158493.db2.gz ZXHBSYHABSYTIB-UHFFFAOYSA-N -1 1 309.742 1.967 20 0 DDADMM CCOC(=O)Nc1ccc(Cl)c(C(=O)N(C)c2nn[n-]n2)c1 ZINC001361564987 881906538 /nfs/dbraw/zinc/90/65/38/881906538.db2.gz CNFJBXNHEKWYFD-UHFFFAOYSA-N -1 1 324.728 1.698 20 0 DDADMM CCS(=O)(=O)CCNCc1ccc(C(=O)[O-])c(Cl)c1 ZINC001332433383 865840074 /nfs/dbraw/zinc/84/00/74/865840074.db2.gz STBBRWCMVAUJNB-UHFFFAOYSA-N -1 1 305.783 1.563 20 0 DDADMM COc1ccc2ccnc(Nc3c(O)[nH]c(=O)[n-]c3=S)c2c1 ZINC001160853033 866151038 /nfs/dbraw/zinc/15/10/38/866151038.db2.gz JBSFQPUNIFMZNN-JTQLQIEISA-N -1 1 316.342 1.191 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](OCC)C3CC3)nc2n1 ZINC001361588573 881955586 /nfs/dbraw/zinc/95/55/86/881955586.db2.gz SFVTVSMZVNGHJN-GFCCVEGCSA-N -1 1 319.365 1.124 20 0 DDADMM O=S(=O)([N-]Cc1ccon1)c1cnc(Cl)cc1Cl ZINC001319894904 866370218 /nfs/dbraw/zinc/37/02/18/866370218.db2.gz JPHUCJVAPGTBRY-UHFFFAOYSA-N -1 1 308.146 1.855 20 0 DDADMM O=C(COC(=O)c1nccn2ccnc12)c1ccc([O-])cc1O ZINC001319865148 866355593 /nfs/dbraw/zinc/35/55/93/866355593.db2.gz ZLSJIGRBSRPXRQ-UHFFFAOYSA-N -1 1 313.269 1.180 20 0 DDADMM COc1nc(C(=O)[N-]c2ccccc2SCC(N)=O)co1 ZINC001361603021 881984784 /nfs/dbraw/zinc/98/47/84/881984784.db2.gz LTWKFCKBIFAIHF-UHFFFAOYSA-N -1 1 307.331 1.513 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@H]3CCc4ccccc4O3)ccnc1-2 ZINC001361629864 882044018 /nfs/dbraw/zinc/04/40/18/882044018.db2.gz YNPVKKHQSRSZLS-OAHLLOKOSA-N -1 1 308.341 1.674 20 0 DDADMM CC(C)CCCCC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001324250908 867163772 /nfs/dbraw/zinc/16/37/72/867163772.db2.gz RPBQPJHEQHVNEJ-CYBMUJFWSA-N -1 1 323.441 1.807 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1OC1CC(C(=O)OCC)C1 ZINC001225899620 882100643 /nfs/dbraw/zinc/10/06/43/882100643.db2.gz PEJURNXUSIKVJF-UHFFFAOYSA-N -1 1 310.306 1.079 20 0 DDADMM COC(=O)c1cc2[nH]cnc2c(N[C@@H]2SC(=O)[N-]C2=O)c1 ZINC001163666219 868609996 /nfs/dbraw/zinc/60/99/96/868609996.db2.gz JFVCCSKYHOAKED-SNVBAGLBSA-N -1 1 306.303 1.071 20 0 DDADMM O=C(NCCCOCCc1ccccc1)c1cnncc1O ZINC001336290150 868844561 /nfs/dbraw/zinc/84/45/61/868844561.db2.gz HTAMCMLLEUOBDQ-UHFFFAOYSA-N -1 1 301.346 1.561 20 0 DDADMM CC(C)OCCOC1CCN(C(=O)c2cnncc2[O-])CC1 ZINC001337253808 869403705 /nfs/dbraw/zinc/40/37/05/869403705.db2.gz ONNIOKCZZCRHBW-UHFFFAOYSA-N -1 1 309.366 1.228 20 0 DDADMM COC1(OC)CC(CNC(=O)c2c(F)ccc([O-])c2F)C1 ZINC001337576376 869548668 /nfs/dbraw/zinc/54/86/68/869548668.db2.gz UITFWBGKRPFBEF-UHFFFAOYSA-N -1 1 301.289 1.799 20 0 DDADMM CCCCO[C@H](C)C(=O)N[C@@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC001166125793 869849012 /nfs/dbraw/zinc/84/90/12/869849012.db2.gz CYMUJWCFBARYEE-VHSXEESVSA-N -1 1 312.370 1.364 20 0 DDADMM CCCCO[C@H](C)C(=O)N[C@@H](C)c1nnc(C(=O)OCC)[n-]1 ZINC001166125793 869849029 /nfs/dbraw/zinc/84/90/29/869849029.db2.gz CYMUJWCFBARYEE-VHSXEESVSA-N -1 1 312.370 1.364 20 0 DDADMM CCCCO[C@H](C)C(=O)N[C@@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC001166125793 869849048 /nfs/dbraw/zinc/84/90/48/869849048.db2.gz CYMUJWCFBARYEE-VHSXEESVSA-N -1 1 312.370 1.364 20 0 DDADMM O=C(NCc1nnc2n1CCCCC2)c1ccc(F)c([O-])c1 ZINC001361774366 882348371 /nfs/dbraw/zinc/34/83/71/882348371.db2.gz YQLWLWVPCUEEED-UHFFFAOYSA-N -1 1 304.325 1.779 20 0 DDADMM CC(C)(C)C=CC(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001317009538 870114517 /nfs/dbraw/zinc/11/45/17/870114517.db2.gz FICGCDGNIPUNEI-JMEBYUIHSA-N -1 1 307.398 1.097 20 0 DDADMM CCCCC[C@@H]1CCCCN1c1nnc(Cc2nnn[n-]2)n1C ZINC001338907125 870235439 /nfs/dbraw/zinc/23/54/39/870235439.db2.gz APERHKCFFQSCJT-GFCCVEGCSA-N -1 1 318.429 1.858 20 0 DDADMM CCCCC[C@@H]1CCCCN1c1nnc(Cc2nn[n-]n2)n1C ZINC001338907125 870235451 /nfs/dbraw/zinc/23/54/51/870235451.db2.gz APERHKCFFQSCJT-GFCCVEGCSA-N -1 1 318.429 1.858 20 0 DDADMM CC[C@H]1c2ccccc2CN1c1nnc(Cc2nnn[n-]2)n1C ZINC001338907846 870236038 /nfs/dbraw/zinc/23/60/38/870236038.db2.gz DTPJKBLKMSATCN-LBPRGKRZSA-N -1 1 310.365 1.390 20 0 DDADMM CC[C@H]1c2ccccc2CN1c1nnc(Cc2nn[n-]n2)n1C ZINC001338907846 870236050 /nfs/dbraw/zinc/23/60/50/870236050.db2.gz DTPJKBLKMSATCN-LBPRGKRZSA-N -1 1 310.365 1.390 20 0 DDADMM CN(C(=O)c1cccc(NC(=O)OC(C)(C)C)c1)c1nn[n-]n1 ZINC001361803290 882402594 /nfs/dbraw/zinc/40/25/94/882402594.db2.gz YPOMIUNUPBAGRO-UHFFFAOYSA-N -1 1 318.337 1.823 20 0 DDADMM Nc1cccc(S(=O)(=O)[N-]c2ccc3c(c2)NC(=O)CO3)c1 ZINC001203185649 870506073 /nfs/dbraw/zinc/50/60/73/870506073.db2.gz WVTPSYGTQMFJCV-UHFFFAOYSA-N -1 1 319.342 1.401 20 0 DDADMM CNC(=O)c1ccc(Nc2cc(S(C)(=O)=O)ccc2[O-])cn1 ZINC001203641829 870694134 /nfs/dbraw/zinc/69/41/34/870694134.db2.gz MPDWTTXZZLTRJG-UHFFFAOYSA-N -1 1 321.358 1.294 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ncnc2ccsc21 ZINC001303222243 871111208 /nfs/dbraw/zinc/11/12/08/871111208.db2.gz PELDPSPMHWKRDJ-UHFFFAOYSA-N -1 1 301.335 1.014 20 0 DDADMM COC[C@@H](NC(=O)c1cc2cc(C)c(C)cc2o1)c1nn[n-]n1 ZINC001303580395 871140138 /nfs/dbraw/zinc/14/01/38/871140138.db2.gz WWDOMYGQJLFDOA-LLVKDONJSA-N -1 1 315.333 1.680 20 0 DDADMM C[C@@H]1CCCN1c1nnc(Cc2nnn[n-]2)n1Cc1ccccc1 ZINC001340691737 871285676 /nfs/dbraw/zinc/28/56/76/871285676.db2.gz LNZFLKDQQLJLGA-GFCCVEGCSA-N -1 1 324.392 1.419 20 0 DDADMM C[C@@H]1CCCN1c1nnc(Cc2nn[n-]n2)n1Cc1ccccc1 ZINC001340691737 871285693 /nfs/dbraw/zinc/28/56/93/871285693.db2.gz LNZFLKDQQLJLGA-GFCCVEGCSA-N -1 1 324.392 1.419 20 0 DDADMM CC(C)(C)OC(=O)NOCC(=O)Nc1nc(Cl)ccc1[O-] ZINC001306825671 871362626 /nfs/dbraw/zinc/36/26/26/871362626.db2.gz GRWYVQBVRPKWFN-UHFFFAOYSA-N -1 1 317.729 1.835 20 0 DDADMM Cc1cnc2nc(C(=O)Nc3nc(Cl)ccc3[O-])nn2c1 ZINC001306828242 871364170 /nfs/dbraw/zinc/36/41/70/871364170.db2.gz YKRUUGPMMYTYRA-UHFFFAOYSA-N -1 1 304.697 1.439 20 0 DDADMM Cc1ncncc1C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC001318016777 871690716 /nfs/dbraw/zinc/69/07/16/871690716.db2.gz YWWPDBNIKYRYBF-UHFFFAOYSA-N -1 1 312.281 1.954 20 0 DDADMM c1ccc(Cn2c(-c3nnn[n-]3)nnc2N2CC[C@@H]3C[C@@H]3C2)cc1 ZINC001342189401 872056667 /nfs/dbraw/zinc/05/66/67/872056667.db2.gz LNSQKFYQDDXWLG-CHWSQXEVSA-N -1 1 322.376 1.353 20 0 DDADMM c1ccc(Cn2c(-c3nn[n-]n3)nnc2N2CC[C@@H]3C[C@@H]3C2)cc1 ZINC001342189401 872056687 /nfs/dbraw/zinc/05/66/87/872056687.db2.gz LNSQKFYQDDXWLG-CHWSQXEVSA-N -1 1 322.376 1.353 20 0 DDADMM CC(C)C(=O)NC[C@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001361889043 882582570 /nfs/dbraw/zinc/58/25/70/882582570.db2.gz UKNNJQNGLLFFNG-GFCCVEGCSA-N -1 1 305.378 1.412 20 0 DDADMM CC(=O)Nc1nc2nc[nH]c2c(-c2csc(C(=O)[O-])c2)n1 ZINC001206249689 872161489 /nfs/dbraw/zinc/16/14/89/872161489.db2.gz ALCVCFAJJADCQM-UHFFFAOYSA-N -1 1 303.303 1.690 20 0 DDADMM CCn1c(-c2noc(=O)[n-]2)nnc1N1C[C@@H](C)c2ccccc21 ZINC001343595611 872682992 /nfs/dbraw/zinc/68/29/92/872682992.db2.gz ZYIOSOOLARTYOY-SECBINFHSA-N -1 1 312.333 1.897 20 0 DDADMM Cc1ccc(-c2nc(C(=O)OCc3nn[n-]n3)cs2)n1C ZINC001345157702 873279999 /nfs/dbraw/zinc/27/99/99/873279999.db2.gz RKPVZCIGSLBXJF-UHFFFAOYSA-N -1 1 304.335 1.327 20 0 DDADMM CC(C)[C@H](C)CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207660388 873451498 /nfs/dbraw/zinc/45/14/98/873451498.db2.gz GJPIGGCOAFWMPZ-NEPJUHHUSA-N -1 1 309.414 1.273 20 0 DDADMM COC(=O)c1ccnc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC001361968950 882731225 /nfs/dbraw/zinc/73/12/25/882731225.db2.gz IVBVOLJJFWQWQJ-UHFFFAOYSA-N -1 1 315.211 1.257 20 0 DDADMM CCN(C(=O)c1ccc([O-])cn1)[C@H](C)CS(=O)(=O)CC ZINC001361969511 882733071 /nfs/dbraw/zinc/73/30/71/882733071.db2.gz NWPICDDQQPYBAH-SNVBAGLBSA-N -1 1 300.380 1.073 20 0 DDADMM C[C@H]1C[C@@H](C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)CO1 ZINC001361981116 882754729 /nfs/dbraw/zinc/75/47/29/882754729.db2.gz KUQJIIFZPSIVQK-VHSXEESVSA-N -1 1 303.322 1.142 20 0 DDADMM CC[C@@H](CNC(=O)C1(C)C(C)(C)C1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001378337262 874713630 /nfs/dbraw/zinc/71/36/30/874713630.db2.gz KSLHXYWFQQYIRO-JTQLQIEISA-N -1 1 323.441 1.567 20 0 DDADMM O=C(/C=C\c1ccc[nH]1)NCCCNC(=O)c1ncccc1[O-] ZINC001349940417 875466519 /nfs/dbraw/zinc/46/65/19/875466519.db2.gz MJVARYOKWOXSMC-SREVYHEPSA-N -1 1 314.345 1.065 20 0 DDADMM O=C(c1cc(F)c2ncccc2c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001350223816 875587345 /nfs/dbraw/zinc/58/73/45/875587345.db2.gz MQNNOFRNXSTZMX-JTQLQIEISA-N -1 1 312.308 1.517 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(N2CCCC2=O)c(F)c1 ZINC001362083061 882991086 /nfs/dbraw/zinc/99/10/86/882991086.db2.gz YZCMMYHBLWPKAN-UHFFFAOYSA-N -1 1 318.312 1.037 20 0 DDADMM Fc1cnc(=S)[n-]c1OC1CCN(c2ncccn2)CC1 ZINC001227383496 882992891 /nfs/dbraw/zinc/99/28/91/882992891.db2.gz NURBJLZWWLHNBM-UHFFFAOYSA-N -1 1 307.354 1.742 20 0 DDADMM CS(=O)(=O)Cc1ccc(NC(=O)c2ccc([O-])cn2)cc1 ZINC001362088688 883004279 /nfs/dbraw/zinc/00/42/79/883004279.db2.gz YDZILNIYYODNMS-UHFFFAOYSA-N -1 1 306.343 1.584 20 0 DDADMM COc1ccc([C@@H](C)Oc2nc3[nH]c(=O)[nH]c(=O)c3[n-]2)cn1 ZINC001227429505 883011986 /nfs/dbraw/zinc/01/19/86/883011986.db2.gz DDWFIEVYGFHERP-ZCFIWIBFSA-N -1 1 303.278 1.308 20 0 DDADMM COc1ccc([C@@H](C)Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)cn1 ZINC001227429505 883012008 /nfs/dbraw/zinc/01/20/08/883012008.db2.gz DDWFIEVYGFHERP-ZCFIWIBFSA-N -1 1 303.278 1.308 20 0 DDADMM CCN(CCCNC(=O)c1ccon1)C(=O)c1ncccc1[O-] ZINC001352063430 876579573 /nfs/dbraw/zinc/57/95/73/876579573.db2.gz AKAGZPBSYNWVFM-UHFFFAOYSA-N -1 1 318.333 1.057 20 0 DDADMM CCN(CCCNC(=O)C1(CC)CC1)C(=O)c1ncccc1[O-] ZINC001352350895 876703693 /nfs/dbraw/zinc/70/36/93/876703693.db2.gz KCDAWWJSSFYZAZ-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM Cc1ccc(NC(=O)C2CC2)cc1NC(=O)CCc1nn[n-]n1 ZINC001362106564 883055765 /nfs/dbraw/zinc/05/57/65/883055765.db2.gz FTVGXZUPLPYTOP-UHFFFAOYSA-N -1 1 314.349 1.428 20 0 DDADMM COc1cc(C(N)=O)ccc1Nc1cc(=O)[n-]c(N(C)C)n1 ZINC001215633616 876771676 /nfs/dbraw/zinc/77/16/76/876771676.db2.gz KRCFMVYACJMPEH-UHFFFAOYSA-N -1 1 303.322 1.099 20 0 DDADMM CCC[C@](C)(CC)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216081489 876859243 /nfs/dbraw/zinc/85/92/43/876859243.db2.gz FORQYAHFUKTRFQ-HSMVNMDESA-N -1 1 323.441 1.663 20 0 DDADMM COc1ncc(Nc2nccnc2C)cc1[N-]S(C)(=O)=O ZINC001216152045 876878359 /nfs/dbraw/zinc/87/83/59/876878359.db2.gz MZCBYIYIHRSSBT-UHFFFAOYSA-N -1 1 309.351 1.304 20 0 DDADMM COc1ncc(Nc2cc(C)ns2)cc1[N-]S(C)(=O)=O ZINC001216151566 876878441 /nfs/dbraw/zinc/87/84/41/876878441.db2.gz CJDRERUSXZMALG-UHFFFAOYSA-N -1 1 314.392 1.970 20 0 DDADMM COC(=O)[C@](C)(NC(=O)c1cnncc1[O-])c1ccccc1 ZINC001362115836 883078141 /nfs/dbraw/zinc/07/81/41/883078141.db2.gz SNWOARITXLOAOT-OAHLLOKOSA-N -1 1 301.302 1.000 20 0 DDADMM Cc1ccc(N2CCC[C@@H](Oc3nc(=O)[nH]c(=O)[n-]3)C2)nc1 ZINC001227571561 883088228 /nfs/dbraw/zinc/08/82/28/883088228.db2.gz WUCNIKOOWSVTGG-SNVBAGLBSA-N -1 1 303.322 1.034 20 0 DDADMM C[C@@H](CC(=O)OC(C)(C)C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362123506 883098885 /nfs/dbraw/zinc/09/88/85/883098885.db2.gz JXTLCLKGOAWBHZ-VIFPVBQESA-N -1 1 321.377 1.910 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(O[C@H]2CCOC2)cc1 ZINC001362124981 883103208 /nfs/dbraw/zinc/10/32/08/883103208.db2.gz ASPYYVBYCOLPFF-ZDUSSCGKSA-N -1 1 317.349 1.329 20 0 DDADMM COc1cccc([O-])c1C(=O)Nc1ccc2c(c1)OCC(=O)N2 ZINC001362129376 883112031 /nfs/dbraw/zinc/11/20/31/883112031.db2.gz NQNLBXGBZMQMLH-UHFFFAOYSA-N -1 1 314.297 1.984 20 0 DDADMM O=C1[N-]C(=O)[C@@H](Cc2ccc(OC[C@H]3C[C@H](O)C3)cc2)S1 ZINC001218428752 877482635 /nfs/dbraw/zinc/48/26/35/877482635.db2.gz ZBMQZYPIEBWPMH-NQBHXWOUSA-N -1 1 307.371 1.730 20 0 DDADMM O=C(N[C@H]1CN2CCC1CC2)c1cc(F)c(F)c([O-])c1F ZINC001353791070 877565734 /nfs/dbraw/zinc/56/57/34/877565734.db2.gz YFVXNXCICPRCLQ-JTQLQIEISA-N -1 1 300.280 1.634 20 0 DDADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CC2(CC2)C1 ZINC001380078534 878739273 /nfs/dbraw/zinc/73/92/73/878739273.db2.gz LFSQGYQTIJPJQG-LLVKDONJSA-N -1 1 321.425 1.321 20 0 DDADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)C1CCCC1 ZINC001380314153 879307311 /nfs/dbraw/zinc/30/73/11/879307311.db2.gz BBXUHKJHKNQQNI-CYBMUJFWSA-N -1 1 321.425 1.465 20 0 DDADMM CC[C@@H](CNC(=O)[C@@H]1CC=CCC1)NC(=O)c1ncccc1[O-] ZINC001356866791 879499894 /nfs/dbraw/zinc/49/98/94/879499894.db2.gz HSBUAHMFHYULOA-OLZOCXBDSA-N -1 1 317.389 1.768 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(C)CC=CC1 ZINC001358358039 880409971 /nfs/dbraw/zinc/40/99/71/880409971.db2.gz JGOIMNWTABBIIZ-LLVKDONJSA-N -1 1 303.362 1.378 20 0 DDADMM CCCCCC(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358586117 880562960 /nfs/dbraw/zinc/56/29/60/880562960.db2.gz UQAQVCNGCMBSFO-GFCCVEGCSA-N -1 1 305.378 1.698 20 0 DDADMM CC(C)[C@@H](C)C(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001380932127 880686424 /nfs/dbraw/zinc/68/64/24/880686424.db2.gz PRPLGZAZUGWZSC-VXGBXAGGSA-N -1 1 307.394 1.656 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1C[C@H]1CC(C)(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001382064218 883322178 /nfs/dbraw/zinc/32/21/78/883322178.db2.gz DBBPVEIORBMCAH-SDDRHHMPSA-N -1 1 323.441 1.519 20 0 DDADMM Cc1cc(C(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)c(C)s1 ZINC001382093348 883403533 /nfs/dbraw/zinc/40/35/33/883403533.db2.gz AOPYFDJXBBPMGI-MRVPVSSYSA-N -1 1 323.422 1.439 20 0 DDADMM CC(C)(C)OC(=O)NC[C@H](NC(=O)c1ccc([O-])cn1)C1CC1 ZINC001362328740 883576082 /nfs/dbraw/zinc/57/60/82/883576082.db2.gz GNWCYMRYZZWQGB-ZDUSSCGKSA-N -1 1 321.377 1.820 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2C[C@@H]1C[C@@H]2Oc1cnnc(=S)[n-]1 ZINC001228638458 883586063 /nfs/dbraw/zinc/58/60/63/883586063.db2.gz GUKUPJHFHZUXCZ-BBBLOLIVSA-N -1 1 324.406 1.937 20 0 DDADMM Cc1cccc(F)c1NC(=O)CNC(=O)c1ncccc1[O-] ZINC001362396660 883714819 /nfs/dbraw/zinc/71/48/19/883714819.db2.gz JSOQDHDCXOAXRH-UHFFFAOYSA-N -1 1 303.293 1.603 20 0 DDADMM Nc1nc2c(s1)C[C@@H](NC(=O)c1ccc(F)c([O-])c1)CC2 ZINC001362429584 883789530 /nfs/dbraw/zinc/78/95/30/883789530.db2.gz GZZMVSODLMIIQG-QMMMGPOBSA-N -1 1 307.350 1.857 20 0 DDADMM CSc1nccnc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001362433520 883798048 /nfs/dbraw/zinc/79/80/48/883798048.db2.gz KHOVVOYJBZQEKK-QMMMGPOBSA-N -1 1 321.362 1.307 20 0 DDADMM CCc1ncc(Cl)c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)n1 ZINC001362450837 883842184 /nfs/dbraw/zinc/84/21/84/883842184.db2.gz GHWJAVAEWCSQNE-SSDOTTSWSA-N -1 1 309.761 1.081 20 0 DDADMM COc1cc2c(cc1NC(=O)c1ccc([O-])cn1)NC(=O)CC2 ZINC001362463810 883871361 /nfs/dbraw/zinc/87/13/61/883871361.db2.gz QUBCMJGELRUOJV-UHFFFAOYSA-N -1 1 313.313 1.933 20 0 DDADMM CCC(CC)(NC(=O)Cn1ccnc1C(C)C)c1nn[n-]n1 ZINC001362622696 884245228 /nfs/dbraw/zinc/24/52/28/884245228.db2.gz COEAFPFODBFOJP-UHFFFAOYSA-N -1 1 305.386 1.351 20 0 DDADMM CCC(CC)(NC(=O)c1cc(OC)ccc1O)c1nn[n-]n1 ZINC001362630420 884268649 /nfs/dbraw/zinc/26/86/49/884268649.db2.gz HAVLLVPIZQIQOQ-UHFFFAOYSA-N -1 1 305.338 1.359 20 0 DDADMM COC(=O)[C@@H](Oc1nc(C)[n-]c(=O)c1OC)c1ccccc1F ZINC001230022923 884283741 /nfs/dbraw/zinc/28/37/41/884283741.db2.gz XYWUFEKZCJYYTB-NSHDSACASA-N -1 1 322.292 1.931 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cnn(C(C)C)c2)n[n-]1 ZINC001362657336 884341130 /nfs/dbraw/zinc/34/11/30/884341130.db2.gz BSRGFZQACBQLCU-SECBINFHSA-N -1 1 320.353 1.250 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cnn(C(C)C)c2)[n-]1 ZINC001362657336 884341142 /nfs/dbraw/zinc/34/11/42/884341142.db2.gz BSRGFZQACBQLCU-SECBINFHSA-N -1 1 320.353 1.250 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cnn(C(C)C)c2)n1 ZINC001362657336 884341154 /nfs/dbraw/zinc/34/11/54/884341154.db2.gz BSRGFZQACBQLCU-SECBINFHSA-N -1 1 320.353 1.250 20 0 DDADMM COC(=O)C(C)(C)n1ccc(NC(=O)c2ccc([O-])cn2)n1 ZINC001362690759 884422634 /nfs/dbraw/zinc/42/26/34/884422634.db2.gz OJSOCNSQSFHJSJ-UHFFFAOYSA-N -1 1 304.306 1.144 20 0 DDADMM CC(C)C[C@@H](NC(=O)OC(C)(C)C)C(=O)N(C)c1nn[n-]n1 ZINC001362721356 884484498 /nfs/dbraw/zinc/48/44/98/884484498.db2.gz UUBUONBNHDPBAA-SECBINFHSA-N -1 1 312.374 1.102 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CC[C@H](O)[C@@H](CO)C1 ZINC001362780044 884619779 /nfs/dbraw/zinc/61/97/79/884619779.db2.gz BUJXBCMSXMIJCW-ABAIWWIYSA-N -1 1 317.341 1.066 20 0 DDADMM CC(=O)Nc1ccc(C)c(C(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001362831847 884750045 /nfs/dbraw/zinc/75/00/45/884750045.db2.gz AJTUVPKYEWOSMJ-UHFFFAOYSA-N -1 1 314.349 1.276 20 0 DDADMM COCn1cc(NC(=O)c2cc(C(=O)OC(C)(C)C)n[n-]2)cn1 ZINC001362834123 884755268 /nfs/dbraw/zinc/75/52/68/884755268.db2.gz JSVUBMHCIWIVAO-UHFFFAOYSA-N -1 1 321.337 1.418 20 0 DDADMM O=C([N-][C@@H]1Cc2cnccc2NC1=O)C(F)(F)c1nccs1 ZINC001362842061 884774843 /nfs/dbraw/zinc/77/48/43/884774843.db2.gz RIRLICZFEPFSHI-SECBINFHSA-N -1 1 324.312 1.310 20 0 DDADMM CSc1nc(CNC(=O)c2conc2C2CC2)cc(=O)[n-]1 ZINC001362849948 884793513 /nfs/dbraw/zinc/79/35/13/884793513.db2.gz BXZVXKTTXJXYAS-UHFFFAOYSA-N -1 1 306.347 1.700 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)cc(=O)[n-]1 ZINC001362854852 884807581 /nfs/dbraw/zinc/80/75/81/884807581.db2.gz QCGLCAXDXCYFQY-WDEREUQCSA-N -1 1 319.390 1.058 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1 ZINC001362969439 885112724 /nfs/dbraw/zinc/11/27/24/885112724.db2.gz HQOWEWWVVLTCNP-LBPRGKRZSA-N -1 1 318.406 1.196 20 0 DDADMM O=S1(=O)CC(Oc2nc3c(cc(Cl)nc3Cl)[n-]2)C1 ZINC001231049609 885161496 /nfs/dbraw/zinc/16/14/96/885161496.db2.gz MJABSUXQPABGCK-UHFFFAOYSA-N -1 1 308.146 1.441 20 0 DDADMM O=S1(=O)CC(Oc2nc3cc(Cl)nc(Cl)c3[n-]2)C1 ZINC001231049609 885161500 /nfs/dbraw/zinc/16/15/00/885161500.db2.gz MJABSUXQPABGCK-UHFFFAOYSA-N -1 1 308.146 1.441 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@]2(C)CCCCO2)n[n-]1 ZINC001363046764 885319277 /nfs/dbraw/zinc/31/92/77/885319277.db2.gz PIXXSJKVFRXZBS-YMTOWFKASA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@]2(C)CCCCO2)[n-]1 ZINC001363046764 885319301 /nfs/dbraw/zinc/31/93/01/885319301.db2.gz PIXXSJKVFRXZBS-YMTOWFKASA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@]2(C)CCCCO2)n1 ZINC001363046764 885319324 /nfs/dbraw/zinc/31/93/24/885319324.db2.gz PIXXSJKVFRXZBS-YMTOWFKASA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(OC)cc2)n[n-]1 ZINC001363047246 885325096 /nfs/dbraw/zinc/32/50/96/885325096.db2.gz KAGQSXSBMIFOEC-SECBINFHSA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(OC)cc2)[n-]1 ZINC001363047246 885325117 /nfs/dbraw/zinc/32/51/17/885325117.db2.gz KAGQSXSBMIFOEC-SECBINFHSA-N -1 1 318.333 1.481 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(OC)cc2)n1 ZINC001363047246 885325136 /nfs/dbraw/zinc/32/51/36/885325136.db2.gz KAGQSXSBMIFOEC-SECBINFHSA-N -1 1 318.333 1.481 20 0 DDADMM CC(C)[C@@H](CCN(C)C(=O)C1CC1)NC(=O)c1ncccc1[O-] ZINC001383168251 885341305 /nfs/dbraw/zinc/34/13/05/885341305.db2.gz GCCXZUVLJUGDGA-CYBMUJFWSA-N -1 1 319.405 1.800 20 0 DDADMM COC(=O)[C@]1(NC(=O)c2cnncc2[O-])CCCC(C)(C)C1 ZINC001363091273 885442273 /nfs/dbraw/zinc/44/22/73/885442273.db2.gz XYPGUXWTVWFJBS-HNNXBMFYSA-N -1 1 307.350 1.424 20 0 DDADMM CCC(CC)(NC(=O)CCc1ccc(OC)nc1)c1nn[n-]n1 ZINC001363136514 885554020 /nfs/dbraw/zinc/55/40/20/885554020.db2.gz PKZIKRKAVZDRNW-UHFFFAOYSA-N -1 1 318.381 1.368 20 0 DDADMM O=C([O-])c1ccc(F)c(CN2CCC3(CC2)CNC(=O)CO3)c1 ZINC001231460125 885680129 /nfs/dbraw/zinc/68/01/29/885680129.db2.gz KCFKDKQUWRGORU-UHFFFAOYSA-N -1 1 322.336 1.005 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1CCCCCC(=O)C1 ZINC001363275623 885883210 /nfs/dbraw/zinc/88/32/10/885883210.db2.gz JFYZZQJYVCZVMB-LBPRGKRZSA-N -1 1 307.398 1.724 20 0 DDADMM Cc1ccc([C@H](C)[C@@H](O)C(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001363278449 885893650 /nfs/dbraw/zinc/89/36/50/885893650.db2.gz SUJJNBMNCXYNIL-WCQYABFASA-N -1 1 315.377 1.168 20 0 DDADMM COc1cc(C)cnc1C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001363281526 885904163 /nfs/dbraw/zinc/90/41/63/885904163.db2.gz YQJAYZROEIFNBM-VIFPVBQESA-N -1 1 322.394 1.136 20 0 DDADMM CSc1ncc(C(=O)N2CC(C)(C)[C@H]3COC[C@H]32)c(=O)[n-]1 ZINC001363287085 885918501 /nfs/dbraw/zinc/91/85/01/885918501.db2.gz HPBPXMYFEYUIFJ-VHSXEESVSA-N -1 1 309.391 1.401 20 0 DDADMM CSc1ncc(C(=O)N(C)Cc2nnc(C)s2)c(=O)[n-]1 ZINC001363302055 885956602 /nfs/dbraw/zinc/95/66/02/885956602.db2.gz OQTGHRLOOOWLMY-UHFFFAOYSA-N -1 1 311.392 1.336 20 0 DDADMM CNC(=O)N[C@@H]1CCCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC001363356690 886119541 /nfs/dbraw/zinc/11/95/41/886119541.db2.gz KHUXRDQCGUMWEC-SNVBAGLBSA-N -1 1 311.769 1.579 20 0 DDADMM O=C(NCc1cc(=O)[nH]c(C2CC2)n1)c1cc(F)ccc1[O-] ZINC001363359645 886126440 /nfs/dbraw/zinc/12/64/40/886126440.db2.gz SBVGMOIXEIGNFT-UHFFFAOYSA-N -1 1 303.293 1.834 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CSC1CC1 ZINC001363401957 886236660 /nfs/dbraw/zinc/23/66/60/886236660.db2.gz VMOBHUZFQCXJJY-JTQLQIEISA-N -1 1 324.406 1.541 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CSC1CC1 ZINC001363401957 886236680 /nfs/dbraw/zinc/23/66/80/886236680.db2.gz VMOBHUZFQCXJJY-JTQLQIEISA-N -1 1 324.406 1.541 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CSC1CC1 ZINC001363401957 886236697 /nfs/dbraw/zinc/23/66/97/886236697.db2.gz VMOBHUZFQCXJJY-JTQLQIEISA-N -1 1 324.406 1.541 20 0 DDADMM CSc1ncc(C(=O)NC[C@H]2CC3(CCC3)CO2)c(=O)[n-]1 ZINC001363437716 886336595 /nfs/dbraw/zinc/33/65/95/886336595.db2.gz GXDWJBJAEZJTPX-SECBINFHSA-N -1 1 309.391 1.593 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@H](C2CCC2)C1 ZINC001363445389 886357602 /nfs/dbraw/zinc/35/76/02/886357602.db2.gz SKMVEFZSNPCGKP-LBPRGKRZSA-N -1 1 303.362 1.166 20 0 DDADMM CSc1ncc(C(=O)N(C[C@@H]2CCC(=O)N2)C(C)C)c(=O)[n-]1 ZINC001363450249 886372075 /nfs/dbraw/zinc/37/20/75/886372075.db2.gz DGZOCKLEDRECOR-VIFPVBQESA-N -1 1 324.406 1.033 20 0 DDADMM CCCC[C@@H](O)CCC(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001363458943 886394085 /nfs/dbraw/zinc/39/40/85/886394085.db2.gz RHVMJFXKBZYXAC-LLVKDONJSA-N -1 1 313.423 1.852 20 0 DDADMM CCCc1nc(C(=O)N[C@H](C)c2n[n-]c(C(=O)OCC)n2)co1 ZINC001363475645 886439461 /nfs/dbraw/zinc/43/94/61/886439461.db2.gz NAVUZOGEWSFFIQ-MRVPVSSYSA-N -1 1 321.337 1.413 20 0 DDADMM CCCc1nc(C(=O)N[C@H](C)c2nnc(C(=O)OCC)[n-]2)co1 ZINC001363475645 886439475 /nfs/dbraw/zinc/43/94/75/886439475.db2.gz NAVUZOGEWSFFIQ-MRVPVSSYSA-N -1 1 321.337 1.413 20 0 DDADMM CCCc1nc(C(=O)N[C@H](C)c2nc(C(=O)OCC)n[n-]2)co1 ZINC001363475645 886439489 /nfs/dbraw/zinc/43/94/89/886439489.db2.gz NAVUZOGEWSFFIQ-MRVPVSSYSA-N -1 1 321.337 1.413 20 0 DDADMM NC(=O)[C@H]1C[C@@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC001363476282 886442793 /nfs/dbraw/zinc/44/27/93/886442793.db2.gz NSCIQSLCLYEEEV-AOOOYVTPSA-N -1 1 300.314 1.245 20 0 DDADMM COc1c(C)[nH]cc(CN(C)C(=O)c2cccc([O-])c2F)c1=O ZINC001363494317 886484546 /nfs/dbraw/zinc/48/45/46/886484546.db2.gz AKCILOVBHVXWJQ-UHFFFAOYSA-N -1 1 320.320 1.809 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1cnc(SC)[n-]c1=O)C(C)(C)C ZINC001363512510 886524128 /nfs/dbraw/zinc/52/41/28/886524128.db2.gz JQRZOVICMNPOJL-MRVPVSSYSA-N -1 1 313.379 1.222 20 0 DDADMM C[C@@H](CCCCNC(=O)c1ncccc1[O-])NC(=O)CC1CC1 ZINC001288795775 913068244 /nfs/dbraw/zinc/06/82/44/913068244.db2.gz MURLCWPQGFPCCK-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)on1 ZINC001363585187 886697110 /nfs/dbraw/zinc/69/71/10/886697110.db2.gz QWCNHLOTIONQCI-SNVBAGLBSA-N -1 1 304.354 1.406 20 0 DDADMM COC[C@@H]1Cn2nccc2CN(Cc2cc(Cl)ncc2[O-])C1 ZINC001233035543 886743272 /nfs/dbraw/zinc/74/32/72/886743272.db2.gz FLXWRBGWKHBOKJ-NSHDSACASA-N -1 1 322.796 1.916 20 0 DDADMM COC[C@@H]1CN(Cc2cc(Cl)ncc2[O-])Cc2ncn(C)c21 ZINC001233034176 886744566 /nfs/dbraw/zinc/74/45/66/886744566.db2.gz GHXUPTDOMTWHPK-NSHDSACASA-N -1 1 322.796 1.920 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2ncoc2C2CC2)[n-]c1=O ZINC001363609219 886756642 /nfs/dbraw/zinc/75/66/42/886756642.db2.gz ZMIUPTBWHNBYKY-UHFFFAOYSA-N -1 1 318.333 1.721 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)CSC1CC1 ZINC001363638571 886841446 /nfs/dbraw/zinc/84/14/46/886841446.db2.gz CLSXXTRAHVOOEA-WPRPVWTQSA-N -1 1 324.368 1.940 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)CC(C)(C)C)CN1C(=O)c1ncccc1[O-] ZINC001384104891 886971385 /nfs/dbraw/zinc/97/13/85/886971385.db2.gz XHLKUJYMRPALKQ-NWDGAFQWSA-N -1 1 319.405 1.943 20 0 DDADMM CCc1nnc([C@@H]2C[C@@H](O)CN2C(=O)c2ccc([O-])cc2F)[nH]1 ZINC001363684863 886972368 /nfs/dbraw/zinc/97/23/68/886972368.db2.gz WAAALEYNKOBUCB-SKDRFNHKSA-N -1 1 320.324 1.160 20 0 DDADMM CC(C)(C)[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CC1CC1 ZINC001384332842 887279956 /nfs/dbraw/zinc/27/99/56/887279956.db2.gz UFEYPSMPVBPXOL-CYBMUJFWSA-N -1 1 319.405 1.848 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H]1CCC[C@@H](C(=O)OC)C1 ZINC001233757784 887283661 /nfs/dbraw/zinc/28/36/61/887283661.db2.gz GTMBOVDIKKOJLO-RKDXNWHRSA-N -1 1 310.306 1.079 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H](C)c1ccc(OC)nc1 ZINC001233759517 887288969 /nfs/dbraw/zinc/28/89/69/887288969.db2.gz PDCYRQHARIQTTP-MRVPVSSYSA-N -1 1 305.290 1.512 20 0 DDADMM CC(C)(C)OC(=O)CCCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363816236 887298869 /nfs/dbraw/zinc/29/88/69/887298869.db2.gz CUBZIMNWRPUPKY-UHFFFAOYSA-N -1 1 309.370 1.207 20 0 DDADMM CCc1ocnc1C(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC001363852388 887396747 /nfs/dbraw/zinc/39/67/47/887396747.db2.gz XPUQEZCIVOFUCL-VIFPVBQESA-N -1 1 318.333 1.719 20 0 DDADMM Cc1cc(=O)[nH]c([C@@H](C)[N@@H+]2CCC[C@H](c3cn(C)nn3)C2)n1 ZINC001363853932 887403287 /nfs/dbraw/zinc/40/32/87/887403287.db2.gz UHMYEZSIJCWIMH-NEPJUHHUSA-N -1 1 302.382 1.560 20 0 DDADMM CCCc1[nH]ccc1C(=O)N[C@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC001363915721 887532647 /nfs/dbraw/zinc/53/26/47/887532647.db2.gz JSHLYUIPTKXHHZ-SECBINFHSA-N -1 1 319.365 1.753 20 0 DDADMM CCCc1[nH]ccc1C(=O)N[C@H](C)c1nnc(C(=O)OCC)[n-]1 ZINC001363915721 887532657 /nfs/dbraw/zinc/53/26/57/887532657.db2.gz JSHLYUIPTKXHHZ-SECBINFHSA-N -1 1 319.365 1.753 20 0 DDADMM CCCc1[nH]ccc1C(=O)N[C@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC001363915721 887532665 /nfs/dbraw/zinc/53/26/65/887532665.db2.gz JSHLYUIPTKXHHZ-SECBINFHSA-N -1 1 319.365 1.753 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)C(C)(C)F)NC(=O)c1ncccc1[O-] ZINC001384500127 887580207 /nfs/dbraw/zinc/58/02/07/887580207.db2.gz NPAVSJIDDVVXMF-ZJUUUORDSA-N -1 1 311.357 1.548 20 0 DDADMM COC(=O)[C@@]1([N-]S(=O)(=O)C[C@@H](C)C2CC2)CCSC1 ZINC001363963618 887623515 /nfs/dbraw/zinc/62/35/15/887623515.db2.gz BPAWYXHHRJGSAX-BXKDBHETSA-N -1 1 307.437 1.001 20 0 DDADMM Cc1ncc(C[N-]S(=O)(=O)c2cn(C)nc2C(F)(F)F)o1 ZINC001363998904 887695866 /nfs/dbraw/zinc/69/58/66/887695866.db2.gz CQHDGAWEKSQTBQ-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2[nH]cc(C)c(=O)c2C)sn1 ZINC001364045395 887787674 /nfs/dbraw/zinc/78/76/74/887787674.db2.gz SEOYVVFLGNLKST-UHFFFAOYSA-N -1 1 313.404 1.235 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cc(O)ccc2Cl)n1 ZINC001364058351 887818620 /nfs/dbraw/zinc/81/86/20/887818620.db2.gz CCWIWGQGFRUIQI-UHFFFAOYSA-N -1 1 324.724 1.270 20 0 DDADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)c1occ(C)c1C ZINC001364104221 887927589 /nfs/dbraw/zinc/92/75/89/887927589.db2.gz NOQKFGAUUMXMQU-UHFFFAOYSA-N -1 1 323.305 1.030 20 0 DDADMM COc1cncnc1NC(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001364137044 888003336 /nfs/dbraw/zinc/00/33/36/888003336.db2.gz VSTZXKQHGQZAOO-UHFFFAOYSA-N -1 1 322.350 1.024 20 0 DDADMM CC(C)SCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001385122554 888522687 /nfs/dbraw/zinc/52/26/87/888522687.db2.gz IFUBBQCHEBTCNO-LLVKDONJSA-N -1 1 323.418 1.260 20 0 DDADMM O=C1CN(Cc2ccc([O-])c(F)c2F)CN1CC(F)(F)F ZINC001364672977 889169518 /nfs/dbraw/zinc/16/95/18/889169518.db2.gz QOZSBKPXFXYPAB-UHFFFAOYSA-N -1 1 310.222 1.834 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@H](C)C[C@H]2C)[n-]n1 ZINC001364780116 889400329 /nfs/dbraw/zinc/40/03/29/889400329.db2.gz VAICIZKSGYUKBM-IVZWLZJFSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@H](C)C[C@H]2C)n[n-]1 ZINC001364780116 889400334 /nfs/dbraw/zinc/40/03/34/889400334.db2.gz VAICIZKSGYUKBM-IVZWLZJFSA-N -1 1 315.395 1.299 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)c1ncc(C)s1 ZINC001364820606 889482061 /nfs/dbraw/zinc/48/20/61/889482061.db2.gz IJDBSDHCDVUMOO-SSDOTTSWSA-N -1 1 319.433 1.956 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2C[C@@H](C)n3ccnc32)sn1 ZINC001364842318 889525955 /nfs/dbraw/zinc/52/59/55/889525955.db2.gz MSSSRJXQYXWUTP-HTQZYQBOSA-N -1 1 314.392 1.333 20 0 DDADMM CC[C@@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)[C@H]1CC1(C)C ZINC001364884983 889606063 /nfs/dbraw/zinc/60/60/63/889606063.db2.gz DGMKMIBKLMKLLY-RKDXNWHRSA-N -1 1 315.395 1.299 20 0 DDADMM CC[C@@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)[C@H]1CC1(C)C ZINC001364884983 889606080 /nfs/dbraw/zinc/60/60/80/889606080.db2.gz DGMKMIBKLMKLLY-RKDXNWHRSA-N -1 1 315.395 1.299 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2ncc(C)cc2C)sn1 ZINC001364919095 889666882 /nfs/dbraw/zinc/66/68/82/889666882.db2.gz BPJLZJANWSRWFQ-UHFFFAOYSA-N -1 1 313.404 1.642 20 0 DDADMM CC[C@H](NC(=O)N1CCC(c2nn[n-]n2)CC1)C(F)(F)F ZINC001365050884 889983942 /nfs/dbraw/zinc/98/39/42/889983942.db2.gz YIOVUEHQUZNTFS-QMMMGPOBSA-N -1 1 306.292 1.430 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC(F)(F)CN1)C(Cl)(Cl)Cl ZINC001238109696 890039777 /nfs/dbraw/zinc/03/97/77/890039777.db2.gz ZAORFIOCUZLOQY-BYPYZUCNSA-N -1 1 317.572 1.231 20 0 DDADMM O=C([O-])c1cc(F)ccc1CN1CCN([C@H]2CCOC2)CC1 ZINC001238237184 890144891 /nfs/dbraw/zinc/14/48/91/890144891.db2.gz IHKCKMAVMPNDJX-AWEZNQCLSA-N -1 1 308.353 1.430 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2cc(OC)ccc2[O-])[nH]n1 ZINC001365145512 890193733 /nfs/dbraw/zinc/19/37/33/890193733.db2.gz PNKOFRSYCGTKJB-UHFFFAOYSA-N -1 1 311.363 1.165 20 0 DDADMM CCc1cc(CNS(=O)(=O)c2cc(OC)ccc2[O-])n[nH]1 ZINC001365145512 890193743 /nfs/dbraw/zinc/19/37/43/890193743.db2.gz PNKOFRSYCGTKJB-UHFFFAOYSA-N -1 1 311.363 1.165 20 0 DDADMM Cc1ccnc(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c1 ZINC001365166701 890239241 /nfs/dbraw/zinc/23/92/41/890239241.db2.gz TWHXKBMFGWYWBK-UHFFFAOYSA-N -1 1 315.333 1.280 20 0 DDADMM O=C(Nc1cccc(C2CC2)n1)N1CCC(c2nn[n-]n2)CC1 ZINC001365215221 890370638 /nfs/dbraw/zinc/37/06/38/890370638.db2.gz QXKWKXFETBXVBP-UHFFFAOYSA-N -1 1 313.365 1.884 20 0 DDADMM CCCCC(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001396266895 913497676 /nfs/dbraw/zinc/49/76/76/913497676.db2.gz UIHVFJOIPMTYFM-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM COC[C@@](C)(O)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001365514423 890958105 /nfs/dbraw/zinc/95/81/05/890958105.db2.gz DHCNERGNBUKWHC-HNNXBMFYSA-N -1 1 320.349 1.097 20 0 DDADMM CC(C)[C@@H](NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C(N)=O ZINC001365514679 890959720 /nfs/dbraw/zinc/95/97/20/890959720.db2.gz FGNQOQBDIYLNSU-CYBMUJFWSA-N -1 1 317.349 1.209 20 0 DDADMM Cc1cc2c(c(-c3ccc(C(=O)NC4CC4)cc3)n1)C(=O)[N-]C2=O ZINC001241593988 891213142 /nfs/dbraw/zinc/21/31/42/891213142.db2.gz ZECDJQKIEOFGFB-UHFFFAOYSA-N -1 1 321.336 1.833 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)c2cccc(C)c2)[n-]n1 ZINC001365641544 891235135 /nfs/dbraw/zinc/23/51/35/891235135.db2.gz SFYFOCDNXXBSKW-UHFFFAOYSA-N -1 1 309.347 1.330 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)c2cccc(C)c2)n[n-]1 ZINC001365641544 891235150 /nfs/dbraw/zinc/23/51/50/891235150.db2.gz SFYFOCDNXXBSKW-UHFFFAOYSA-N -1 1 309.347 1.330 20 0 DDADMM O=C([O-])c1cncc(-c2ccc(S(=O)(=O)C3CNC3)cc2)c1 ZINC001241801806 891271399 /nfs/dbraw/zinc/27/13/99/891271399.db2.gz BHYYLWFLXOKJDT-UHFFFAOYSA-N -1 1 318.354 1.192 20 0 DDADMM O=C([O-])c1cncc(-c2ccc(C(=O)N3CCNCC3)cc2)c1 ZINC001241802952 891273289 /nfs/dbraw/zinc/27/32/89/891273289.db2.gz LYJZZKZZEGBQEK-UHFFFAOYSA-N -1 1 311.341 1.492 20 0 DDADMM O=C([O-])c1ccc(-c2ccccc2C(=O)N2CCNCC2)cn1 ZINC001242338467 891397331 /nfs/dbraw/zinc/39/73/31/891397331.db2.gz WWRBLVHZCNEOOT-UHFFFAOYSA-N -1 1 311.341 1.492 20 0 DDADMM COc1ncc(-c2c(N)cncc2F)cc1[N-]S(C)(=O)=O ZINC001244791339 891925102 /nfs/dbraw/zinc/92/51/02/891925102.db2.gz IYXGOOBRMBGHBT-UHFFFAOYSA-N -1 1 312.326 1.245 20 0 DDADMM COc1ncc(-c2cncc3nccn32)cc1[N-]S(C)(=O)=O ZINC001244793445 891927270 /nfs/dbraw/zinc/92/72/70/891927270.db2.gz GJENWYFEHSKTTP-UHFFFAOYSA-N -1 1 319.346 1.171 20 0 DDADMM Cc1csc(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)c1 ZINC001366048816 892327958 /nfs/dbraw/zinc/32/79/58/892327958.db2.gz ZLGBTTKOIRMJMX-JTQLQIEISA-N -1 1 321.406 1.178 20 0 DDADMM C[C@H](CNC(=O)C1CC(C(C)(C)C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001366380991 893385723 /nfs/dbraw/zinc/38/57/23/893385723.db2.gz ZOINFFHNPVMQIT-KPPDAEKUSA-N -1 1 309.414 1.177 20 0 DDADMM CCOC(=O)c1nnsc1[N-]c1cnc(OC)cc1OC ZINC001249907416 894162257 /nfs/dbraw/zinc/16/22/57/894162257.db2.gz XNAMMQXDDOPMDT-UHFFFAOYSA-N -1 1 310.335 1.871 20 0 DDADMM COc1cccc([C@@H](O)CNc2cc(=O)[nH]c(N(C)C)n2)c1 ZINC001250700177 894369231 /nfs/dbraw/zinc/36/92/31/894369231.db2.gz SHHHEWIZFVNXKC-LBPRGKRZSA-N -1 1 304.350 1.402 20 0 DDADMM CC[C@@H](C)CC(=O)N(CC)CCNC(=O)c1ncccc1[O-] ZINC001388239242 894846174 /nfs/dbraw/zinc/84/61/74/894846174.db2.gz CILOPXZWRLUGAG-GFCCVEGCSA-N -1 1 307.394 1.802 20 0 DDADMM O=S(=O)([N-]CCN1CC=CC1)c1cc(F)c(F)cc1F ZINC001252420123 895131405 /nfs/dbraw/zinc/13/14/05/895131405.db2.gz HMJWFQLZCFCAOY-UHFFFAOYSA-N -1 1 306.309 1.254 20 0 DDADMM CCO[C@H](CC)C(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001388424991 895213654 /nfs/dbraw/zinc/21/36/54/895213654.db2.gz OTDHRGUSWGNHGN-DGCLKSJQSA-N -1 1 323.393 1.084 20 0 DDADMM CCCCOC[C@H](O)CNc1[nH]c(=S)[n-]c(=O)c1N=O ZINC001252504369 895224252 /nfs/dbraw/zinc/22/42/52/895224252.db2.gz NQWGKDMVUQTEEQ-SSDOTTSWSA-N -1 1 302.356 1.458 20 0 DDADMM O=C(NCCN1CC=CC1)c1ccc2c(=O)[nH]c(=S)[n-]c2c1 ZINC001252526663 895243031 /nfs/dbraw/zinc/24/30/31/895243031.db2.gz AVDWBAPEXIBCCV-UHFFFAOYSA-N -1 1 316.386 1.226 20 0 DDADMM CC(=O)NC[C@@H](CC(C)C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001388526889 895390169 /nfs/dbraw/zinc/39/01/69/895390169.db2.gz IDWMNPJMVRUMPI-GFCCVEGCSA-N -1 1 320.393 1.340 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001388622283 895561446 /nfs/dbraw/zinc/56/14/46/895561446.db2.gz JIQQWPWKPBFLJS-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM O=C1CC([N-]S(=O)(=O)CC(F)(F)F)=NN1c1ccccc1 ZINC001253118162 895643326 /nfs/dbraw/zinc/64/33/26/895643326.db2.gz KYBQXWBMECACJV-UHFFFAOYSA-N -1 1 321.280 1.882 20 0 DDADMM CN(CCN(C)C(=O)[C@H]1CC1(C)C)C(=O)c1ncccc1[O-] ZINC001388992379 896318032 /nfs/dbraw/zinc/31/80/32/896318032.db2.gz UPQCSRAFDIQQMH-LLVKDONJSA-N -1 1 305.378 1.364 20 0 DDADMM CCCC(=O)NC[C@@H]1CCC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001389031795 896381672 /nfs/dbraw/zinc/38/16/72/896381672.db2.gz GJEYQWBLOUFTPJ-RYUDHWBXSA-N -1 1 305.378 1.602 20 0 DDADMM CC(F)(F)CC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367324812 896444236 /nfs/dbraw/zinc/44/42/36/896444236.db2.gz DYKSBKJOQFXKNA-UHFFFAOYSA-N -1 1 317.340 1.074 20 0 DDADMM CCc1ccc(S(=O)(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001255028935 896704526 /nfs/dbraw/zinc/70/45/26/896704526.db2.gz OLTCKHXCXLMGJP-UHFFFAOYSA-N -1 1 324.318 1.649 20 0 DDADMM COC(=O)[C@H]1C[C@@H](N[C@@H]2[CH]CC=C2)CN1C(=O)OC(C)(C)C ZINC001256263229 897342848 /nfs/dbraw/zinc/34/28/48/897342848.db2.gz KMARJDKCJOAGFL-YNEHKIRRSA-N -1 1 309.386 1.660 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cccc1F)c1ncc(F)cn1 ZINC001259071136 898447742 /nfs/dbraw/zinc/44/77/42/898447742.db2.gz GNPSQHYMXGHACW-SSDOTTSWSA-N -1 1 317.292 1.933 20 0 DDADMM O=c1cc[nH]cc1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC001259454026 898677611 /nfs/dbraw/zinc/67/76/11/898677611.db2.gz UMVAYYNCRIWVET-UHFFFAOYSA-N -1 1 302.714 1.968 20 0 DDADMM O=S(=O)([N-]Cc1ncccn1)c1cc(Cl)ccc1F ZINC001259452639 898677918 /nfs/dbraw/zinc/67/79/18/898677918.db2.gz OVNZGQGKYMKKJQ-UHFFFAOYSA-N -1 1 301.730 1.748 20 0 DDADMM Cc1cccc2c1[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)N2 ZINC001259873772 898883277 /nfs/dbraw/zinc/88/32/77/898883277.db2.gz HEGHSKJFHTUXIG-SNVBAGLBSA-N -1 1 322.308 1.860 20 0 DDADMM CCCCCOC(=O)C[N-]S(=O)(=O)CCC(F)(F)F ZINC001259877007 898887928 /nfs/dbraw/zinc/88/79/28/898887928.db2.gz TUEVJRIWPMFRNA-UHFFFAOYSA-N -1 1 305.318 1.592 20 0 DDADMM O=S(=O)([N-]C[C@H]1CN(Cc2ccccc2)CCO1)C(F)F ZINC001259949817 898970034 /nfs/dbraw/zinc/97/00/34/898970034.db2.gz LOYNANTVRACFQI-LBPRGKRZSA-N -1 1 320.361 1.029 20 0 DDADMM O=C(CC[N-]S(=O)(=O)C(F)F)N1CCc2ccccc21 ZINC001259963078 898985882 /nfs/dbraw/zinc/98/58/82/898985882.db2.gz PRPXDRBSJKAELR-UHFFFAOYSA-N -1 1 304.318 1.108 20 0 DDADMM COCCS(=O)(=O)[N-]c1cnc(-c2ccc(O)cc2)nc1 ZINC001259966752 898992013 /nfs/dbraw/zinc/99/20/13/898992013.db2.gz VFKLPQDGDAYMCU-UHFFFAOYSA-N -1 1 309.347 1.237 20 0 DDADMM CCOC(=O)c1c([N-]S(N)(=O)=O)sc2c1CCCCC2 ZINC001260074859 899037155 /nfs/dbraw/zinc/03/71/55/899037155.db2.gz PMRUDPGNGWHPEU-UHFFFAOYSA-N -1 1 318.420 1.809 20 0 DDADMM CN1CC[C@H]([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)C1=O ZINC001260205420 899089585 /nfs/dbraw/zinc/08/95/85/899089585.db2.gz ICJVALQPEFVNFN-VIFPVBQESA-N -1 1 324.736 1.127 20 0 DDADMM COc1ccc(Cl)c([N-]S(=O)(=O)CS(C)(=O)=O)c1 ZINC001260583132 899174980 /nfs/dbraw/zinc/17/49/80/899174980.db2.gz PAPHVOSABNDYAO-UHFFFAOYSA-N -1 1 313.784 1.092 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc(-c2ccco2)cc1 ZINC001260590153 899178020 /nfs/dbraw/zinc/17/80/20/899178020.db2.gz AMTBUIPEKDAOIY-UHFFFAOYSA-N -1 1 315.372 1.690 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)Cc1ccoc1 ZINC001390375043 899284275 /nfs/dbraw/zinc/28/42/75/899284275.db2.gz DHVURXXUOBTBTQ-LBPRGKRZSA-N -1 1 317.345 1.248 20 0 DDADMM Cc1cccc(S(=O)(=O)NCCCC[P@](=O)([O-])O)c1C ZINC001261002958 899320880 /nfs/dbraw/zinc/32/08/80/899320880.db2.gz XWHLMVIEWGMHDS-UHFFFAOYSA-N -1 1 321.335 1.540 20 0 DDADMM Cc1cnc([C@H](C)N(C)CCNC(=O)c2ncccc2[O-])cn1 ZINC001390751574 900098438 /nfs/dbraw/zinc/09/84/38/900098438.db2.gz QWQZOGZHDRABJF-LBPRGKRZSA-N -1 1 315.377 1.308 20 0 DDADMM CC(C)(CNCc1ccc(/C=C/C(=O)[O-])o1)CS(C)(=O)=O ZINC001262491647 900195452 /nfs/dbraw/zinc/19/54/52/900195452.db2.gz JZUCOHLTOWYPJN-VOTSOKGWSA-N -1 1 315.391 1.538 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001263911911 900814397 /nfs/dbraw/zinc/81/43/97/900814397.db2.gz WIZYKFMUUZXVCM-AAOUONPWSA-N -1 1 316.340 1.228 20 0 DDADMM CCCC(=O)NC[C@@H]1[C@H](C)CCCN1C(=O)c1ncccc1[O-] ZINC001391528136 901918451 /nfs/dbraw/zinc/91/84/51/901918451.db2.gz XTYZBSWFSKXANX-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@@H]1CC12CC2 ZINC001369820766 902033576 /nfs/dbraw/zinc/03/35/76/902033576.db2.gz FURLBEGLKDXZTB-QWRGUYRKSA-N -1 1 303.362 1.164 20 0 DDADMM COC[C@H](C)CC(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001369938192 902238791 /nfs/dbraw/zinc/23/87/91/902238791.db2.gz CSTNBRFXZJJGJD-VXGBXAGGSA-N -1 1 323.393 1.037 20 0 DDADMM C[C@@H](CCCNC(=O)CC1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001280814083 904076952 /nfs/dbraw/zinc/07/69/52/904076952.db2.gz ZRPCVKUHSVWYFL-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1ccc(CCC(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)cc1 ZINC001392573866 904504265 /nfs/dbraw/zinc/50/42/65/904504265.db2.gz JPJWNGNZCZMKAE-LBPRGKRZSA-N -1 1 317.393 1.046 20 0 DDADMM O=C(NCCNC(=O)C1CCC=CCC1)c1ncccc1[O-] ZINC001282983167 906397490 /nfs/dbraw/zinc/39/74/90/906397490.db2.gz GWIUKBQPPJQDKF-UHFFFAOYSA-N -1 1 303.362 1.380 20 0 DDADMM CC(C)[C@@H](C)CC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001393304465 906692953 /nfs/dbraw/zinc/69/29/53/906692953.db2.gz JAUZLFRNMOKPNO-STQMWFEESA-N -1 1 319.405 1.800 20 0 DDADMM CCN(CCNC(=O)C1CCCCCC1)Cc1nc(=O)n(C)[n-]1 ZINC001372279443 906898242 /nfs/dbraw/zinc/89/82/42/906898242.db2.gz IKVVDQPEOICFCO-UHFFFAOYSA-N -1 1 323.441 1.017 20 0 DDADMM CCCN(CCNC(=O)c1[nH]nc(C)c1[O-])C[C@@H](F)CC ZINC001372691591 907988585 /nfs/dbraw/zinc/98/85/85/907988585.db2.gz UKFMSRCVBZEMGN-NSHDSACASA-N -1 1 300.378 1.614 20 0 DDADMM CCC[N@H+](CCNC(=O)c1cnc[nH]c1=O)Cc1ccns1 ZINC001372712931 908036523 /nfs/dbraw/zinc/03/65/23/908036523.db2.gz MOUDGZUBGKAMMH-UHFFFAOYSA-N -1 1 321.406 1.281 20 0 DDADMM Cc1ccc(C(=O)N[C@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)cc1F ZINC001393946472 908348835 /nfs/dbraw/zinc/34/88/35/908348835.db2.gz AEMWPXTVSNVRMX-VHSXEESVSA-N -1 1 321.356 1.254 20 0 DDADMM C=C/C(C)=C/CC(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001284570686 909197648 /nfs/dbraw/zinc/19/76/48/909197648.db2.gz HYBNHEPVDDPITJ-KPKJPENVSA-N -1 1 303.362 1.498 20 0 DDADMM CC/C=C(\C)C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001285854683 911311411 /nfs/dbraw/zinc/31/14/11/911311411.db2.gz NPGJEXWTSKHIGH-JVOXIWMLSA-N -1 1 303.362 1.474 20 0 DDADMM CCCN(CCNC(=O)[C@H](C)C1CC1)C(=O)c1ncccc1[O-] ZINC001374108554 911912057 /nfs/dbraw/zinc/91/20/57/911912057.db2.gz GDBKNGNPSKJFPF-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H]2CCCCC23OCCO3)c1 ZINC001295453434 915806595 /nfs/dbraw/zinc/80/65/95/915806595.db2.gz IVPLDSJXWMWMHK-CQSZACIVSA-N -1 1 319.357 1.929 20 0 DDADMM Cc1cncc(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])c1 ZINC001397403914 915943166 /nfs/dbraw/zinc/94/31/66/915943166.db2.gz TYCKLZDSCLZWFT-NSHDSACASA-N -1 1 314.345 1.039 20 0 DDADMM C/C(=C/C(=O)N(C)CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001296045906 916205145 /nfs/dbraw/zinc/20/51/45/916205145.db2.gz RUGYJXXOWJVORS-KHPPLWFESA-N -1 1 303.362 1.332 20 0 DDADMM CC(C)[C@@H](F)C(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001375691979 916719023 /nfs/dbraw/zinc/71/90/23/916719023.db2.gz AEZCAGYSKPBKEG-ZYHUDNBSSA-N -1 1 311.357 1.263 20 0 DDADMM Cc1ccc(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])cc1 ZINC001376873436 919632486 /nfs/dbraw/zinc/63/24/86/919632486.db2.gz MDVWUDGVTBGPJH-GFCCVEGCSA-N -1 1 313.357 1.644 20 0 DDADMM C[C@H](CNC(=O)CCc1ccoc1)NC(=O)c1ncccc1[O-] ZINC001376876658 919641463 /nfs/dbraw/zinc/64/14/63/919641463.db2.gz WPGLDVFDSSGYDJ-LLVKDONJSA-N -1 1 317.345 1.248 20 0 DDADMM CCC[C@H](CC)C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001377851164 923232866 /nfs/dbraw/zinc/23/28/66/923232866.db2.gz CFEVZVDOXMJVEX-STQMWFEESA-N -1 1 323.441 1.015 20 0 DDADMM CCC(CC)(CNC(=O)N1CCN(C)C[C@H]1C(C)C)C(=O)[O-] ZINC000424420637 282093504 /nfs/dbraw/zinc/09/35/04/282093504.db2.gz CAAYYEUZDYVWNE-ZDUSSCGKSA-N -1 1 313.442 1.859 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3[C@@H]4CCCCCC[C@@H]43)nc2n1 ZINC000622869645 365549071 /nfs/dbraw/zinc/54/90/71/365549071.db2.gz ATGZNRORPCOEMD-PJXYFTJBSA-N -1 1 315.377 1.881 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3(CC(C)C)CCC3)nc2n1 ZINC000622871007 365550447 /nfs/dbraw/zinc/55/04/47/365550447.db2.gz OEDXJQASQWKGSV-UHFFFAOYSA-N -1 1 303.366 1.881 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3[C@H](C)[C@@H]3c3ccccc3)nc2n1 ZINC000622870319 365550538 /nfs/dbraw/zinc/55/05/38/365550538.db2.gz XVVOQBLVISTMGT-LERXQTSPSA-N -1 1 323.356 1.714 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@H](C)[C@@H](C)C3)nc2n1 ZINC000622869987 365550704 /nfs/dbraw/zinc/55/07/04/365550704.db2.gz OFJZUUQYPYUEPP-ATZCPNFKSA-N -1 1 303.366 1.737 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC3(C)CCCC3)nc2n1 ZINC000622993308 365585802 /nfs/dbraw/zinc/58/58/02/365585802.db2.gz IPQNQTKGKBNZAZ-UHFFFAOYSA-N -1 1 303.366 1.889 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3Cc4ccccc43)nc2n1 ZINC000622994478 365586440 /nfs/dbraw/zinc/58/64/40/365586440.db2.gz QNLHAVHPNIXOIG-GFCCVEGCSA-N -1 1 309.329 1.258 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H](C)n3cccc3)nc2n1 ZINC000622995334 365588448 /nfs/dbraw/zinc/58/84/48/365588448.db2.gz XZELHFPBTJHOOP-SNVBAGLBSA-N -1 1 314.349 1.371 20 0 DDADMM CCc1c(C)[n-]n(-c2ccc(S(=O)(=O)NC(C)C)cn2)c1=O ZINC000614186753 361741652 /nfs/dbraw/zinc/74/16/52/361741652.db2.gz KXABNBUUCMHLLV-GFCCVEGCSA-N -1 1 324.406 1.517 20 0 DDADMM CCC[C@H](NC(=O)COCc1ccc(C)cc1)c1nn[n-]n1 ZINC000623020665 365600928 /nfs/dbraw/zinc/60/09/28/365600928.db2.gz QTBHWDIJEJFVMI-ZDUSSCGKSA-N -1 1 303.366 1.682 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCCC[C@H]1O ZINC000081826963 192328943 /nfs/dbraw/zinc/32/89/43/192328943.db2.gz GYKBFCXXXGVCLL-NWDGAFQWSA-N -1 1 321.421 1.657 20 0 DDADMM Cc1ccncc1CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614387365 361833279 /nfs/dbraw/zinc/83/32/79/361833279.db2.gz AQITZRPAUHWXQN-NSHDSACASA-N -1 1 302.334 1.427 20 0 DDADMM CCc1cnc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)s1 ZINC000614390332 361834026 /nfs/dbraw/zinc/83/40/26/361834026.db2.gz TXAPGZOCBBXFSA-MRVPVSSYSA-N -1 1 308.363 1.814 20 0 DDADMM C[C@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1cncnc1 ZINC000614473853 361874409 /nfs/dbraw/zinc/87/44/09/361874409.db2.gz MRSSIVHALFTCQM-VIFPVBQESA-N -1 1 303.322 1.075 20 0 DDADMM C[C@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1ncccc1F ZINC000614474038 361874651 /nfs/dbraw/zinc/87/46/51/361874651.db2.gz NOARADRJYCRMCQ-VIFPVBQESA-N -1 1 320.324 1.819 20 0 DDADMM O=C(c1ncnc2[nH]ccc21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614475321 361876221 /nfs/dbraw/zinc/87/62/21/361876221.db2.gz MSBSWQBPRWEFOO-UHFFFAOYSA-N -1 1 314.305 1.018 20 0 DDADMM NC(=O)CC1CN(C(=O)c2ccc(Br)c([O-])c2)C1 ZINC000358110776 299080234 /nfs/dbraw/zinc/08/02/34/299080234.db2.gz LHYJGZVOQAVJNA-UHFFFAOYSA-N -1 1 313.151 1.102 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1sccc1F ZINC000451835332 231208343 /nfs/dbraw/zinc/20/83/43/231208343.db2.gz FDJWSDSQTKIORP-SCZZXKLOSA-N -1 1 321.395 1.897 20 0 DDADMM Cc1ccn2c(c1)n[n-]/c2=N\C(=O)c1ccc(CN(C)C)cc1 ZINC000088253602 185243811 /nfs/dbraw/zinc/24/38/11/185243811.db2.gz SINXIDCXQLKBPS-UHFFFAOYSA-N -1 1 309.373 1.774 20 0 DDADMM Cn1[n-]cc2/c(=N\S(=O)(=O)CCC3CCCC3)ccnc1-2 ZINC000333425537 539321034 /nfs/dbraw/zinc/32/10/34/539321034.db2.gz MRTRUISHOSLXIZ-LGMDPLHJSA-N -1 1 308.407 1.664 20 0 DDADMM CN1C[C@H](NC(=O)c2cc(Br)ccc2[O-])CC1=O ZINC000299615704 529829144 /nfs/dbraw/zinc/82/91/44/529829144.db2.gz HDVPINNZEHTPSM-MRVPVSSYSA-N -1 1 313.151 1.115 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C(\C)C2CC2)c1 ZINC000291860320 222671634 /nfs/dbraw/zinc/67/16/34/222671634.db2.gz FHPXYEPPIGNSRP-VQHVLOKHSA-N -1 1 310.375 1.595 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@H](C)c2ccccc2)n[n-]1 ZINC000615918716 362487027 /nfs/dbraw/zinc/48/70/27/362487027.db2.gz XJGJRAOJKQLVMH-NSHDSACASA-N -1 1 316.361 1.791 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H](C)c2ccccc2)n1 ZINC000615918716 362487032 /nfs/dbraw/zinc/48/70/32/362487032.db2.gz XJGJRAOJKQLVMH-NSHDSACASA-N -1 1 316.361 1.791 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(COC)cc2)n[n-]1 ZINC000615920224 362487913 /nfs/dbraw/zinc/48/79/13/362487913.db2.gz POHGBYGVWVWRGH-UHFFFAOYSA-N -1 1 318.333 1.058 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(COC)cc2)n1 ZINC000615920224 362487915 /nfs/dbraw/zinc/48/79/15/362487915.db2.gz POHGBYGVWVWRGH-UHFFFAOYSA-N -1 1 318.333 1.058 20 0 DDADMM COCCCn1ccc([N-]S(=O)(=O)N2CCC[C@H](C)C2)n1 ZINC000330889350 232139836 /nfs/dbraw/zinc/13/98/36/232139836.db2.gz GVKMLAWQDIUFEL-LBPRGKRZSA-N -1 1 316.427 1.308 20 0 DDADMM Cc1nnc([C@H]2CCN(C(=O)c3ncc4ccccc4c3[O-])C2)[nH]1 ZINC000616295974 362623615 /nfs/dbraw/zinc/62/36/15/362623615.db2.gz MXEUYJMIICYIGF-LBPRGKRZSA-N -1 1 323.356 1.997 20 0 DDADMM COc1ccc([C@H](CO)CNC(=O)c2cncc([O-])c2)cc1 ZINC000458232629 232300654 /nfs/dbraw/zinc/30/06/54/232300654.db2.gz SXWPCBBIGGBGCF-ZDUSSCGKSA-N -1 1 302.330 1.302 20 0 DDADMM C[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)[C@H]1CCCCO1 ZINC000358334965 299124692 /nfs/dbraw/zinc/12/46/92/299124692.db2.gz IEIJLNBLYYTMEZ-BXKDBHETSA-N -1 1 304.350 1.361 20 0 DDADMM COc1ccc(NS(=O)(=O)c2cccc(C(=O)[O-])c2)c(O)c1 ZINC000442839144 539530907 /nfs/dbraw/zinc/53/09/07/539530907.db2.gz UYOCHZNLMWNIDD-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM Cc1cc2c(cc1C)O[C@@H](C(=O)/N=c1/ccnc3n(C)[n-]cc1-3)C2 ZINC000279747231 215111318 /nfs/dbraw/zinc/11/13/18/215111318.db2.gz KUEYEELYOPTXGR-QAIWHXNASA-N -1 1 322.368 1.901 20 0 DDADMM Cc1nc(-c2ccc(N3CCn4ncnc4C3)nc2)[n-]c(=O)c1C ZINC000564696569 304007799 /nfs/dbraw/zinc/00/77/99/304007799.db2.gz RQGDBCSPAAIJLS-UHFFFAOYSA-N -1 1 323.360 1.473 20 0 DDADMM COc1ccc(C(=O)N2CCCC2)cc1[N-]S(=O)(=O)C1CC1 ZINC000171339682 197920286 /nfs/dbraw/zinc/92/02/86/197920286.db2.gz GUHXZSFNMRWOBF-UHFFFAOYSA-N -1 1 324.402 1.835 20 0 DDADMM CS(=O)(=O)CC1(CCNC(=O)c2cc(F)ccc2[O-])CC1 ZINC000617181655 362988690 /nfs/dbraw/zinc/98/86/90/362988690.db2.gz JOTXMUHVYBCWSF-UHFFFAOYSA-N -1 1 315.366 1.476 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2nnc(C3CC3)n2C)c1 ZINC000617286350 363037864 /nfs/dbraw/zinc/03/78/64/363037864.db2.gz LKCUZPHPBIJPRL-UHFFFAOYSA-N -1 1 315.333 1.609 20 0 DDADMM NC(=O)c1ccc(Cl)c([N-]S(=O)(=O)C[C@H]2CCCO2)c1 ZINC000172365275 198085889 /nfs/dbraw/zinc/08/58/89/198085889.db2.gz MMDDPQQEGORQRL-SECBINFHSA-N -1 1 318.782 1.360 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2c(C)nn(C)c2Cl)o1 ZINC000080632106 192175423 /nfs/dbraw/zinc/17/54/23/192175423.db2.gz BGRLNOXRPCBHNI-UHFFFAOYSA-N -1 1 304.759 1.157 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccnc(-n2cncn2)c1 ZINC000053797311 352666962 /nfs/dbraw/zinc/66/69/62/352666962.db2.gz QOEQVQRTWUALGV-UHFFFAOYSA-N -1 1 324.226 1.052 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NCCC(C)C ZINC000054354975 352688370 /nfs/dbraw/zinc/68/83/70/352688370.db2.gz SOHPKERDORLAIS-UHFFFAOYSA-N -1 1 314.389 1.845 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)[C@H](CO)SC)cc(Cl)c1[O-] ZINC000358544990 299193927 /nfs/dbraw/zinc/19/39/27/299193927.db2.gz ZGNAULIMMLOTKW-CPCISQLKSA-N -1 1 319.810 1.896 20 0 DDADMM COc1ccc(C(=O)NCc2n[n-]c(=S)n2C2CC2)cn1 ZINC000066637748 353009822 /nfs/dbraw/zinc/00/98/22/353009822.db2.gz WPUPFIGASUBUDF-UHFFFAOYSA-N -1 1 305.363 1.609 20 0 DDADMM CC[C@H](OC)C(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000068181993 353093958 /nfs/dbraw/zinc/09/39/58/353093958.db2.gz FDEIKGUROPWTPP-NSHDSACASA-N -1 1 316.379 1.430 20 0 DDADMM O=S(=O)(C[C@@H]1CCCCO1)[N-]c1ccn(-c2ccncc2)n1 ZINC000076937709 353443205 /nfs/dbraw/zinc/44/32/05/353443205.db2.gz DNUVRYQTVBOLGK-ZDUSSCGKSA-N -1 1 322.390 1.578 20 0 DDADMM CCC(CC)NC(C)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000073139120 191282580 /nfs/dbraw/zinc/28/25/80/191282580.db2.gz XNSPXOCTWJUKKM-BENRWUELSA-N -1 1 311.451 1.938 20 0 DDADMM CCN(C(=O)c1ncc(C)cc1[O-])[C@H](C)CS(=O)(=O)CC ZINC000358640894 299210809 /nfs/dbraw/zinc/21/08/09/299210809.db2.gz WRVBELUBTMWWMR-LLVKDONJSA-N -1 1 314.407 1.381 20 0 DDADMM Cc1cnc(C(=O)NCc2cccnc2-n2cccn2)c([O-])c1 ZINC000358652674 299214062 /nfs/dbraw/zinc/21/40/62/299214062.db2.gz SLVJSHRMFLFTDE-UHFFFAOYSA-N -1 1 309.329 1.606 20 0 DDADMM COc1cc2[n-]cc(C(=O)NC[C@@H]3C[C@@H]3C)c(=O)c2c(OC)c1 ZINC000624108302 366189712 /nfs/dbraw/zinc/18/97/12/366189712.db2.gz PDHHNOPKVHMENP-UWVGGRQHSA-N -1 1 316.357 1.931 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc(Cl)cc3F)nc2n1 ZINC000588006251 354892811 /nfs/dbraw/zinc/89/28/11/354892811.db2.gz ZJQSXBMEDXOSEB-UHFFFAOYSA-N -1 1 321.699 1.771 20 0 DDADMM CCc1sc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)cc1C ZINC000588006642 354892857 /nfs/dbraw/zinc/89/28/57/354892857.db2.gz OYLCASDSCGOGFY-UHFFFAOYSA-N -1 1 317.374 1.333 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCCCO2)o1 ZINC000358840699 291051723 /nfs/dbraw/zinc/05/17/23/291051723.db2.gz JJLALOUTUCVJPM-JTQLQIEISA-N -1 1 317.363 1.304 20 0 DDADMM CCCN(CC(=O)[O-])Cc1ccc(S(=O)(=O)C(F)F)cc1 ZINC000184918917 283112670 /nfs/dbraw/zinc/11/26/70/283112670.db2.gz CEVQCONRMJCRHH-UHFFFAOYSA-N -1 1 321.345 1.980 20 0 DDADMM COC(=O)[C@@H]1C[C@H](O)CN(C(=O)c2ccc(Cl)cc2[O-])C1 ZINC000592911027 355737347 /nfs/dbraw/zinc/73/73/47/355737347.db2.gz MKQVHNLOTNDBMS-SCZZXKLOSA-N -1 1 313.737 1.042 20 0 DDADMM CC(C)O[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)c1ccccc1 ZINC000346549641 283121964 /nfs/dbraw/zinc/12/19/64/283121964.db2.gz LAUAOWHLWGJCMT-TZMCWYRMSA-N -1 1 317.393 1.928 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1C[C@H]1C1CCCC1 ZINC000593116511 355804780 /nfs/dbraw/zinc/80/47/80/355804780.db2.gz PJBNJDISCYDIAU-RYUDHWBXSA-N -1 1 305.378 1.784 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](C)c2ccccn2)o1 ZINC000594793305 356318558 /nfs/dbraw/zinc/31/85/58/356318558.db2.gz CJYAYUSXQZSSSX-SNVBAGLBSA-N -1 1 324.358 1.543 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@]2(C)CCOC2)cc1C ZINC000595312405 356444504 /nfs/dbraw/zinc/44/45/04/356444504.db2.gz MIXMVVDZJPJKOF-ZDUSSCGKSA-N -1 1 317.363 1.080 20 0 DDADMM O=C([O-])CC[C@@H]1CCCCN1[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000424264284 304060135 /nfs/dbraw/zinc/06/01/35/304060135.db2.gz TXOMNJTUJHQQEC-WDEREUQCSA-N -1 1 322.327 1.869 20 0 DDADMM COC[C@@]1(C(=O)OC)CCCN1C(=O)c1ccc([O-])cc1F ZINC000597963131 357400954 /nfs/dbraw/zinc/40/09/54/357400954.db2.gz MPNVXNMRRPFXRM-OAHLLOKOSA-N -1 1 311.309 1.326 20 0 DDADMM COC(=O)[C@H]1C[C@@H](N(C)C(=O)c2csc(=NC3CC3)[n-]2)C1 ZINC000598427665 357574509 /nfs/dbraw/zinc/57/45/09/357574509.db2.gz VVFSBDQAIGMQOY-WAAGHKOSSA-N -1 1 309.391 1.163 20 0 DDADMM CCOC(=O)C12CC(C1)CN2C(=O)c1csc(=NC2CC2)[n-]1 ZINC000598442548 357581717 /nfs/dbraw/zinc/58/17/17/357581717.db2.gz PEEUHWDMYCEUSV-UHFFFAOYSA-N -1 1 321.402 1.307 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC[C@@H](C)SC)nc2n1 ZINC000598892780 357751958 /nfs/dbraw/zinc/75/19/58/357751958.db2.gz KDXRITVPXYRBMR-MRVPVSSYSA-N -1 1 309.395 1.450 20 0 DDADMM CCc1c(C(=O)NCc2nn[n-]n2)cnn1-c1ccc(C)cc1 ZINC000599334847 357898756 /nfs/dbraw/zinc/89/87/56/357898756.db2.gz UFTNKSHTWWCTDR-UHFFFAOYSA-N -1 1 311.349 1.186 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2C[C@@H](OC)C2)o1 ZINC000599517858 357962257 /nfs/dbraw/zinc/96/22/57/357962257.db2.gz IWBLSMLSSBKFDB-AOOOYVTPSA-N -1 1 317.363 1.160 20 0 DDADMM O=C(N[C@H]1CCCCNC1=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180389144 199153051 /nfs/dbraw/zinc/15/30/51/199153051.db2.gz YZGYYJVAZBUBPK-JTQLQIEISA-N -1 1 316.279 1.810 20 0 DDADMM CC[C@@H](C)n1ncc2c1nc(C)cc2C(=O)NCc1nn[n-]n1 ZINC000600504363 358242936 /nfs/dbraw/zinc/24/29/36/358242936.db2.gz IFVCZOWDYMIJMU-SECBINFHSA-N -1 1 314.353 1.154 20 0 DDADMM CC(C)Cc1nc(=NC(=O)[C@H]2CCCc3nn[nH]c32)s[n-]1 ZINC000618350168 363602307 /nfs/dbraw/zinc/60/23/07/363602307.db2.gz SGZZUZJNOMKQLU-QMMMGPOBSA-N -1 1 306.395 1.335 20 0 DDADMM COC[C@](C)([N-]S(=O)(=O)C1CCC(C)CC1)C(=O)OC ZINC000601369444 358520218 /nfs/dbraw/zinc/52/02/18/358520218.db2.gz NHAJWAWUZDGJQU-XIVSLSHWSA-N -1 1 307.412 1.063 20 0 DDADMM CNC(=O)[C@@H](C)CN(C)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180666811 283381158 /nfs/dbraw/zinc/38/11/58/283381158.db2.gz KUMKJTGVMGCMNL-QMMMGPOBSA-N -1 1 318.295 1.865 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1ccc(C(F)F)o1)C(=O)OC ZINC000601451773 358559486 /nfs/dbraw/zinc/55/94/86/358559486.db2.gz AGBYIMZSOIVDDT-SSDOTTSWSA-N -1 1 311.306 1.695 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@@H](C)O[C@H]2C)o1 ZINC000601499562 358577090 /nfs/dbraw/zinc/57/70/90/358577090.db2.gz QKSXMAOWTDSWMV-KXUCPTDWSA-N -1 1 317.363 1.301 20 0 DDADMM CCOC(=O)N[C@@H]1CCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000181144363 199256070 /nfs/dbraw/zinc/25/60/70/199256070.db2.gz KJVMCJSJWLLWIH-SNVBAGLBSA-N -1 1 310.325 1.882 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1[nH]nc2ccccc21 ZINC000618487444 363648915 /nfs/dbraw/zinc/64/89/15/363648915.db2.gz CSZOFQGVHZOCRO-CYBMUJFWSA-N -1 1 319.328 1.595 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)n[n-]1 ZINC000603154323 359441007 /nfs/dbraw/zinc/44/10/07/359441007.db2.gz LDICYJAUIRDSSV-WHOHXGKFSA-N -1 1 318.377 1.761 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)[n-]1 ZINC000603154323 359441009 /nfs/dbraw/zinc/44/10/09/359441009.db2.gz LDICYJAUIRDSSV-WHOHXGKFSA-N -1 1 318.377 1.761 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)n1 ZINC000603154323 359441011 /nfs/dbraw/zinc/44/10/11/359441011.db2.gz LDICYJAUIRDSSV-WHOHXGKFSA-N -1 1 318.377 1.761 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2csc(C)c2)n[n-]1 ZINC000603157295 359444155 /nfs/dbraw/zinc/44/41/55/359444155.db2.gz VVPFTHADAIODAN-QMMMGPOBSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2csc(C)c2)[n-]1 ZINC000603157295 359444161 /nfs/dbraw/zinc/44/41/61/359444161.db2.gz VVPFTHADAIODAN-QMMMGPOBSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2csc(C)c2)n1 ZINC000603157295 359444166 /nfs/dbraw/zinc/44/41/66/359444166.db2.gz VVPFTHADAIODAN-QMMMGPOBSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCCCSC)n[n-]1 ZINC000603159430 359445329 /nfs/dbraw/zinc/44/53/29/359445329.db2.gz BTLLOGWGNLFFGK-SECBINFHSA-N -1 1 314.411 1.692 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCCCSC)[n-]1 ZINC000603159430 359445334 /nfs/dbraw/zinc/44/53/34/359445334.db2.gz BTLLOGWGNLFFGK-SECBINFHSA-N -1 1 314.411 1.692 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCCCSC)n1 ZINC000603159430 359445343 /nfs/dbraw/zinc/44/53/43/359445343.db2.gz BTLLOGWGNLFFGK-SECBINFHSA-N -1 1 314.411 1.692 20 0 DDADMM COc1ccc(Cn2ccc([N-]S(=O)(=O)C3CC3)n2)cc1 ZINC000187797895 200158419 /nfs/dbraw/zinc/15/84/19/200158419.db2.gz WOYASVYPNAFQIP-UHFFFAOYSA-N -1 1 307.375 1.844 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H]3CC[C@@H](O)C3)cnc2n1 ZINC000188253159 200217607 /nfs/dbraw/zinc/21/76/07/200217607.db2.gz GQTWTAOFANJPBN-GHMZBOCLSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@@H]3CC[C@@H](O)C3)c[n-]c2n1 ZINC000188253159 200217609 /nfs/dbraw/zinc/21/76/09/200217609.db2.gz GQTWTAOFANJPBN-GHMZBOCLSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1[nH][nH]c(=O)c1CCNC(=O)c1ccc2ccccc2c1[O-] ZINC000606931354 359978471 /nfs/dbraw/zinc/97/84/71/359978471.db2.gz ISCQBTUFSLQMNI-LBPRGKRZSA-N -1 1 311.341 1.787 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1C[C@@H]1c1cc(Cl)cc(Cl)c1 ZINC000607905730 360064482 /nfs/dbraw/zinc/06/44/82/360064482.db2.gz JJCYYGTTWJYKDJ-NXEZZACHSA-N -1 1 312.160 1.926 20 0 DDADMM C[C@@H]1CC[C@H](CCC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000608356369 360165222 /nfs/dbraw/zinc/16/52/22/360165222.db2.gz NUNKKEMHZVTRBM-KYOSRNDESA-N -1 1 315.377 1.753 20 0 DDADMM O=C(NCCn1cncn1)c1ccc(C(F)(F)F)cc1[O-] ZINC000271887219 209298098 /nfs/dbraw/zinc/29/80/98/209298098.db2.gz SENKJHZKKINOPY-UHFFFAOYSA-N -1 1 300.240 1.433 20 0 DDADMM O=C(NC1(c2nc[nH]n2)CCC1)c1ncc2ccccc2c1[O-] ZINC000613853682 361603945 /nfs/dbraw/zinc/60/39/45/361603945.db2.gz PYXWSPXAVZFREH-UHFFFAOYSA-N -1 1 309.329 1.868 20 0 DDADMM O=C(NC1(c2nnc[nH]2)CCC1)c1ncc2ccccc2c1[O-] ZINC000613853682 361603955 /nfs/dbraw/zinc/60/39/55/361603955.db2.gz PYXWSPXAVZFREH-UHFFFAOYSA-N -1 1 309.329 1.868 20 0 DDADMM CC[C@@H]1CC[C@@H](C)N(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000619132437 363908106 /nfs/dbraw/zinc/90/81/06/363908106.db2.gz MANICQLQUNBEII-GHMZBOCLSA-N -1 1 314.407 1.838 20 0 DDADMM COC(=O)CCCCC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000619786311 364150114 /nfs/dbraw/zinc/15/01/14/364150114.db2.gz PBLDJENARVQHQR-CQSZACIVSA-N -1 1 317.349 1.139 20 0 DDADMM CC(C)(C)N1C[C@@H](CNC(=O)c2ccc([O-])cc2F)CC1=O ZINC000620017197 364246978 /nfs/dbraw/zinc/24/69/78/364246978.db2.gz IHVORHFKTVUXII-SNVBAGLBSA-N -1 1 308.353 1.908 20 0 DDADMM CN(C(=O)CCc1nn[n-]n1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000633118263 422766555 /nfs/dbraw/zinc/76/65/55/422766555.db2.gz IHNAMJHHPBKHRH-DTORHVGOSA-N -1 1 305.304 1.712 20 0 DDADMM C[C@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCCO1 ZINC000621709237 364993949 /nfs/dbraw/zinc/99/39/49/364993949.db2.gz PCHXBWSWWXGNSP-UXIGCNINSA-N -1 1 315.377 1.611 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC000621990998 365174845 /nfs/dbraw/zinc/17/48/45/365174845.db2.gz CHCWOXYXJFWRCT-PJXYFTJBSA-N -1 1 304.394 1.655 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(CCO)CC1CC1 ZINC000359220052 299361471 /nfs/dbraw/zinc/36/14/71/299361471.db2.gz HFKVOEFYACHTLY-UHFFFAOYSA-N -1 1 307.394 1.633 20 0 DDADMM O=C(Cc1cccc2cnccc21)NC1(c2nn[n-]n2)CCCC1 ZINC000625709079 367101525 /nfs/dbraw/zinc/10/15/25/367101525.db2.gz QOERMVAWLLMVLQ-UHFFFAOYSA-N -1 1 322.372 1.876 20 0 DDADMM Cc1c(NCC(C)(C)C)cccc1C(=O)NCc1nn[n-]n1 ZINC000626464914 367561149 /nfs/dbraw/zinc/56/11/49/367561149.db2.gz INZYHULPDZKSFL-UHFFFAOYSA-N -1 1 302.382 1.896 20 0 DDADMM CC(=O)N[C@H](CC(C)C)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000626847529 367798095 /nfs/dbraw/zinc/79/80/95/367798095.db2.gz VYWLFVULUBRATN-VXGBXAGGSA-N -1 1 324.381 1.032 20 0 DDADMM COC1CCC(C)(CNS(=O)(=O)c2c(C)o[n-]c2=N)CC1 ZINC000349655261 284000903 /nfs/dbraw/zinc/00/09/03/284000903.db2.gz KQKPJBJUKZPKDL-UHFFFAOYSA-N -1 1 317.411 1.269 20 0 DDADMM COC1CCC(C)(C[N-]S(=O)(=O)c2c(C)onc2N)CC1 ZINC000349655261 284000906 /nfs/dbraw/zinc/00/09/06/284000906.db2.gz KQKPJBJUKZPKDL-UHFFFAOYSA-N -1 1 317.411 1.269 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1CCCC[C@H]1CC(=O)[O-] ZINC000635018228 422770945 /nfs/dbraw/zinc/77/09/45/422770945.db2.gz MXEXSRZTYYXENM-JTQLQIEISA-N -1 1 310.316 1.726 20 0 DDADMM Cc1cc(C)cc(OCC(=O)N2CCN([C@@H](C)C(=O)[O-])CC2)c1 ZINC000261668755 203090184 /nfs/dbraw/zinc/09/01/84/203090184.db2.gz GWNQJOKKKYPHBS-AWEZNQCLSA-N -1 1 320.389 1.300 20 0 DDADMM CCN(CCOCC(=O)[O-])[C@@H]1CCN(c2ccccc2)C1=O ZINC000566359074 304148416 /nfs/dbraw/zinc/14/84/16/304148416.db2.gz PAFCMXUNJAASHE-CQSZACIVSA-N -1 1 306.362 1.215 20 0 DDADMM CS(=O)(=O)[C@@H]1CCN(C(=O)c2cc(Cl)ccc2[O-])C1 ZINC000282477730 217024888 /nfs/dbraw/zinc/02/48/88/217024888.db2.gz LTUWYZSJHAAOFI-SECBINFHSA-N -1 1 303.767 1.305 20 0 DDADMM CNc1ccc(C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)cn1 ZINC000351296541 284316421 /nfs/dbraw/zinc/31/64/21/284316421.db2.gz FHIKTYGAALEVKZ-UHFFFAOYSA-N -1 1 309.329 1.893 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)c2cnn(C)c2)c(=O)[n-]1 ZINC000266034459 205045302 /nfs/dbraw/zinc/04/53/02/205045302.db2.gz OHZNJZWCZALXQB-UHFFFAOYSA-N -1 1 321.406 1.542 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)[C@@H]1CCOC1 ZINC000266241009 205209109 /nfs/dbraw/zinc/20/91/09/205209109.db2.gz WBVCCHIPQTYNEX-JGVFFNPUSA-N -1 1 309.309 1.807 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1CCSC1 ZINC000266392373 205314553 /nfs/dbraw/zinc/31/45/53/205314553.db2.gz IMDVWDAUMMFGEJ-LLVKDONJSA-N -1 1 320.418 1.606 20 0 DDADMM O=C(c1ccc2ccccc2n1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282917690 217323104 /nfs/dbraw/zinc/32/31/04/217323104.db2.gz NLMSVTKNVFVPHN-LBPRGKRZSA-N -1 1 323.356 1.666 20 0 DDADMM CC(C)N1CC(NC(=O)c2cc(Br)ccc2[O-])C1 ZINC000379137522 307292825 /nfs/dbraw/zinc/29/28/25/307292825.db2.gz YUQCSAPQSYVJQN-UHFFFAOYSA-N -1 1 313.195 1.977 20 0 DDADMM C[C@H](c1nc(C2CC2)no1)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000336857955 249265118 /nfs/dbraw/zinc/26/51/18/249265118.db2.gz WFLGEYFYEXBBGG-MRVPVSSYSA-N -1 1 321.410 1.094 20 0 DDADMM C[C@H](C[C@@H]1CCCO1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000338716922 250102759 /nfs/dbraw/zinc/10/27/59/250102759.db2.gz MOCNDZQUHPOTEQ-MNOVXSKESA-N -1 1 301.346 1.611 20 0 DDADMM CCOc1cc(C(=O)NCCc2nnc[nH]2)cc(Cl)c1[O-] ZINC000273874281 211133071 /nfs/dbraw/zinc/13/30/71/211133071.db2.gz QZRJUUJRHITCQX-UHFFFAOYSA-N -1 1 310.741 1.535 20 0 DDADMM O=C(NCCCNC(=O)c1ncccc1[O-])c1cccc(O)c1 ZINC000338758403 250123566 /nfs/dbraw/zinc/12/35/66/250123566.db2.gz XXFYSJYKVOHTJJ-UHFFFAOYSA-N -1 1 315.329 1.043 20 0 DDADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)c2sccc2F)[C@H]1OC ZINC000338951785 250214019 /nfs/dbraw/zinc/21/40/19/250214019.db2.gz BKKUCDLFIJYJDX-IVZWLZJFSA-N -1 1 309.384 1.358 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]2F)s1 ZINC000339117664 250302342 /nfs/dbraw/zinc/30/23/42/250302342.db2.gz BWJLRQYXVGISHJ-JGVFFNPUSA-N -1 1 307.368 1.704 20 0 DDADMM CC(C)n1nccc1[C@H]1OCC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000285156932 218313451 /nfs/dbraw/zinc/31/34/51/218313451.db2.gz XZYNIWPTHCDSIZ-NHYWBVRUSA-N -1 1 316.361 1.825 20 0 DDADMM CCc1nc(CS(=O)(=O)c2nc(C(=O)OC)c[n-]2)cs1 ZINC000285202672 218331008 /nfs/dbraw/zinc/33/10/08/218331008.db2.gz VDRSIAGJQUMZDY-UHFFFAOYSA-N -1 1 315.376 1.189 20 0 DDADMM COc1ccc(CN[C@]2(C(=O)[O-])CCOC2)c(Cl)c1OC ZINC000340675164 251162616 /nfs/dbraw/zinc/16/26/16/251162616.db2.gz HFYSHSHBTSVNSU-CQSZACIVSA-N -1 1 315.753 1.691 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)c1nn[n-]n1)[C@@H]1OCCc2sccc21 ZINC000340739833 251185893 /nfs/dbraw/zinc/18/58/93/251185893.db2.gz MRFNZIGHGUPDKQ-KCJUWKMLSA-N -1 1 321.406 1.563 20 0 DDADMM C[C@H](Oc1ccc(Br)cc1)C(=O)Nc1nnn[n-]1 ZINC000060850390 184157062 /nfs/dbraw/zinc/15/70/62/184157062.db2.gz JJRSQSQKHPVJTR-LURJTMIESA-N -1 1 312.127 1.368 20 0 DDADMM C[C@H](Oc1ccc(Br)cc1)C(=O)Nc1nn[n-]n1 ZINC000060850390 184157064 /nfs/dbraw/zinc/15/70/64/184157064.db2.gz JJRSQSQKHPVJTR-LURJTMIESA-N -1 1 312.127 1.368 20 0 DDADMM Cc1nc(-c2ccc(NCCc3cnccn3)nc2)[n-]c(=O)c1C ZINC000340953521 251300146 /nfs/dbraw/zinc/30/01/46/251300146.db2.gz QQIKRMUWGVBHRL-UHFFFAOYSA-N -1 1 322.372 1.728 20 0 DDADMM CCO[C@H]1C[C@@H](NC(=O)CCc2nn[n-]n2)C12CCCCC2 ZINC000631500376 422801202 /nfs/dbraw/zinc/80/12/02/422801202.db2.gz WQSDVIDSGHLNEM-NEPJUHHUSA-N -1 1 307.398 1.376 20 0 DDADMM CCc1nnc(CN2CC[C@@](C(=O)[O-])(c3ccccc3)C2)[nH]1 ZINC000579603114 422801277 /nfs/dbraw/zinc/80/12/77/422801277.db2.gz GTVHLWGNCTZCQW-INIZCTEOSA-N -1 1 300.362 1.595 20 0 DDADMM CN(Cc1ccc(Cl)cc1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352433841 285047759 /nfs/dbraw/zinc/04/77/59/285047759.db2.gz YNGXBPYFZHVUQZ-UHFFFAOYSA-N -1 1 317.736 1.343 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H]2C(C)C)co1 ZINC000270006214 208061483 /nfs/dbraw/zinc/06/14/83/208061483.db2.gz AGEHUXRPGGGRDP-NSHDSACASA-N -1 1 300.380 1.448 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCOC(C)C)c1 ZINC000270025552 208078886 /nfs/dbraw/zinc/07/88/86/208078886.db2.gz PFNMDCVGBJDGLV-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM C[C@]1([N-]S(=O)(=O)c2ccc(Cl)nc2Cl)CCOC1 ZINC000270225378 208290630 /nfs/dbraw/zinc/29/06/30/208290630.db2.gz NUWGRUFUJWLJKG-JTQLQIEISA-N -1 1 311.190 1.846 20 0 DDADMM CCC[C@H](O)C[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000567894184 304253067 /nfs/dbraw/zinc/25/30/67/304253067.db2.gz NMAZGRTWMRHJIB-VIFPVBQESA-N -1 1 300.405 1.736 20 0 DDADMM CCC[C@H]([N-]C(=O)C(F)(F)c1c(F)cccc1F)C(N)=O ZINC000286385547 218956253 /nfs/dbraw/zinc/95/62/53/218956253.db2.gz ORAAGPXHTNVBKD-VIFPVBQESA-N -1 1 306.259 1.827 20 0 DDADMM COC[C@H](CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O)OC ZINC000272983150 210362336 /nfs/dbraw/zinc/36/23/36/210362336.db2.gz PGWWPXKRCLASSA-JTQLQIEISA-N -1 1 311.382 1.179 20 0 DDADMM Cc1nc([C@H](C)NC(=O)c2cc(F)c(F)c([O-])c2F)n[nH]1 ZINC000286492503 219017694 /nfs/dbraw/zinc/01/76/94/219017694.db2.gz VWLCXHJDKSCXQI-BYPYZUCNSA-N -1 1 300.240 1.727 20 0 DDADMM O=C(N=c1cc(-c2ccc(Cl)s2)[n-][nH]1)[C@]1(O)CCOC1 ZINC000413648984 224233286 /nfs/dbraw/zinc/23/32/86/224233286.db2.gz PCSFMKXPMATAPM-LBPRGKRZSA-N -1 1 313.766 1.303 20 0 DDADMM COc1ncc(C)cc1[N-]S(=O)(=O)CCOCC1CC1 ZINC000424058324 533208369 /nfs/dbraw/zinc/20/83/69/533208369.db2.gz CXGDVHXOFISVSQ-UHFFFAOYSA-N -1 1 300.380 1.567 20 0 DDADMM COC(=O)[C@H]1CSCCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000412961314 533505777 /nfs/dbraw/zinc/50/57/77/533505777.db2.gz GBRHGXDYTQQXKB-SECBINFHSA-N -1 1 313.350 1.510 20 0 DDADMM COC(=O)[C@H]1C[C@@H]([N-]S(=O)(=O)c2cccc(F)c2F)C1 ZINC000416612515 533540028 /nfs/dbraw/zinc/54/00/28/533540028.db2.gz DCMKVMWJXCLQKE-OCAPTIKFSA-N -1 1 305.302 1.195 20 0 DDADMM CCO[C@@H]1COCC[C@H]1[N-]S(=O)(=O)c1cccc(F)c1F ZINC000353007353 285452758 /nfs/dbraw/zinc/45/27/58/285452758.db2.gz OMRQGLLQYXZJPO-GHMZBOCLSA-N -1 1 321.345 1.437 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)c(C)c1 ZINC000353363468 285684952 /nfs/dbraw/zinc/68/49/52/285684952.db2.gz AINRTGOADXZULC-LLVKDONJSA-N -1 1 311.345 1.525 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCCC[C@@H]1c1ccco1 ZINC000353426170 285724124 /nfs/dbraw/zinc/72/41/24/285724124.db2.gz INHTWLDRFQQWOV-LLVKDONJSA-N -1 1 313.317 1.378 20 0 DDADMM C[C@@H](CNc1ccc(C(=O)[O-])nn1)N1CCc2sccc2C1 ZINC000568887963 304320469 /nfs/dbraw/zinc/32/04/69/304320469.db2.gz SAKBMCGXONSIAJ-JTQLQIEISA-N -1 1 318.402 1.517 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cnccc1-n1cc(C)cn1 ZINC000450982410 285966419 /nfs/dbraw/zinc/96/64/19/285966419.db2.gz CELNKNCOWMXTHF-LLVKDONJSA-N -1 1 310.379 1.352 20 0 DDADMM O=C(NC[C@@H](O)COc1ccccc1)c1c(F)ccc([O-])c1F ZINC000569768527 304377376 /nfs/dbraw/zinc/37/73/76/304377376.db2.gz HZUDMYALDJNXJK-SNVBAGLBSA-N -1 1 323.295 1.840 20 0 DDADMM CCOC[C@H](O)CNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000361048802 299795392 /nfs/dbraw/zinc/79/53/92/299795392.db2.gz BALQVAUPRRYQJM-CYBMUJFWSA-N -1 1 318.377 1.670 20 0 DDADMM C[C@H]1OCC[C@]1(O)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000570506639 304412624 /nfs/dbraw/zinc/41/26/24/304412624.db2.gz YUJYBDOIVSBYDU-YGRLFVJLSA-N -1 1 311.359 1.251 20 0 DDADMM C[C@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC(C)(C)O1 ZINC000068462987 406644541 /nfs/dbraw/zinc/64/45/41/406644541.db2.gz VZQYWWCMIPACBS-JTQLQIEISA-N -1 1 301.346 1.975 20 0 DDADMM O=C(NN1CCOCC1)c1cc(Br)ccc1[O-] ZINC000012031292 406834757 /nfs/dbraw/zinc/83/47/57/406834757.db2.gz YPBXZIHXTBHNBS-UHFFFAOYSA-N -1 1 301.140 1.132 20 0 DDADMM O=C(NCCNC(=O)c1ccc(Cl)cc1[O-])c1ccco1 ZINC000073843642 406896744 /nfs/dbraw/zinc/89/67/44/406896744.db2.gz XFRAWIPCJNNEHQ-UHFFFAOYSA-N -1 1 308.721 1.798 20 0 DDADMM COc1ncccc1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000074274759 406906787 /nfs/dbraw/zinc/90/67/87/406906787.db2.gz JBKNJQBXNHKJRP-UHFFFAOYSA-N -1 1 310.313 1.257 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(-c3nn[nH]n3)cc2)cc1 ZINC000074803984 406918105 /nfs/dbraw/zinc/91/81/05/406918105.db2.gz CSAUDWVWTBBTFJ-UHFFFAOYSA-N -1 1 315.358 1.976 20 0 DDADMM COC(=O)CCNC(=O)c1ccc(Br)cc1[O-] ZINC000044490031 407024162 /nfs/dbraw/zinc/02/41/62/407024162.db2.gz JWXDXWCTVUQQHV-UHFFFAOYSA-N -1 1 302.124 1.448 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)C[C@H](C)C2)o1 ZINC000046698472 407062809 /nfs/dbraw/zinc/06/28/09/407062809.db2.gz VVKWOTZWSNFIQO-AOOOYVTPSA-N -1 1 300.380 1.306 20 0 DDADMM O=c1[n-]c(CN(CCCO)[C@H]2CCCc3ccccc32)n[nH]1 ZINC000092619016 407191381 /nfs/dbraw/zinc/19/13/81/407191381.db2.gz JPBUYUIQHRFHNL-AWEZNQCLSA-N -1 1 302.378 1.360 20 0 DDADMM C[C@@H](CC(=O)[N-]OCC(N)=O)c1ccc(Br)cc1 ZINC000089462016 407136223 /nfs/dbraw/zinc/13/62/23/407136223.db2.gz QGUXWKQXYACZLM-QMMMGPOBSA-N -1 1 315.167 1.476 20 0 DDADMM COc1ncccc1NS(=O)(=O)c1cc(C(=O)[O-])oc1C ZINC000055311869 407201044 /nfs/dbraw/zinc/20/10/44/407201044.db2.gz MGEWCIONYSJKCE-UHFFFAOYSA-N -1 1 312.303 1.491 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(OC(C)C)n1)c1nn[n-]n1 ZINC000123131862 407320701 /nfs/dbraw/zinc/32/07/01/407320701.db2.gz DSTXNLIKDHDDOL-JTQLQIEISA-N -1 1 304.354 1.653 20 0 DDADMM Cc1ccc(OCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(C)c1 ZINC000123945138 407343680 /nfs/dbraw/zinc/34/36/80/407343680.db2.gz KLSMASLPYSDZJM-GFCCVEGCSA-N -1 1 303.366 1.457 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@](C)(O)c1ccccc1)c1nn[n-]n1 ZINC000124069306 407347729 /nfs/dbraw/zinc/34/77/29/407347729.db2.gz CRHYSJRWITVNMQ-IAQYHMDHSA-N -1 1 303.366 1.059 20 0 DDADMM CC(C)CO[N-]C(=O)Cn1c(=O)c2ccccc2[nH]c1=S ZINC000124519772 407361036 /nfs/dbraw/zinc/36/10/36/407361036.db2.gz DVVLEMMHHIWTAP-UHFFFAOYSA-N -1 1 307.375 1.389 20 0 DDADMM Cc1ncc(CN2CCN(C(=O)c3ncccc3[O-])CC2)s1 ZINC000111622762 407412550 /nfs/dbraw/zinc/41/25/50/407412550.db2.gz ZSTCYYRCKVMXPA-UHFFFAOYSA-N -1 1 318.402 1.510 20 0 DDADMM Cc1n[nH]c(C)c1CCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000127888965 407476127 /nfs/dbraw/zinc/47/61/27/407476127.db2.gz FZNRWBVDPFDGLU-UHFFFAOYSA-N -1 1 302.260 1.735 20 0 DDADMM O=C(NCC(F)(F)CO)c1cc(Br)ccc1[O-] ZINC000186080927 407572734 /nfs/dbraw/zinc/57/27/34/407572734.db2.gz PFUNAGUYHIPCPH-UHFFFAOYSA-N -1 1 310.094 1.512 20 0 DDADMM COc1ccc(CNC(=O)c2cnn[nH]2)c(C(F)(F)F)c1 ZINC000171012605 407572874 /nfs/dbraw/zinc/57/28/74/407572874.db2.gz UHTPWCBFAKHNQA-UHFFFAOYSA-N -1 1 300.240 1.762 20 0 DDADMM CCOCCCC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000114156775 407548635 /nfs/dbraw/zinc/54/86/35/407548635.db2.gz XJTSXKREDAMPAZ-UHFFFAOYSA-N -1 1 306.366 1.072 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(-c2nc[nH]n2)c1)c1nn[n-]n1 ZINC000271277251 407613188 /nfs/dbraw/zinc/61/31/88/407613188.db2.gz YUOTYGWJZCOHDE-NSHDSACASA-N -1 1 312.337 1.256 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N(C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC000271311615 407630391 /nfs/dbraw/zinc/63/03/91/407630391.db2.gz SNPJISTUZCKGFN-NSHDSACASA-N -1 1 313.350 1.323 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)c2cccc(O)c2)o1 ZINC000171387687 407675541 /nfs/dbraw/zinc/67/55/41/407675541.db2.gz KFKKVMUAOXHBNW-VIFPVBQESA-N -1 1 324.358 1.384 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)c2nccs2)c1 ZINC000152787889 407690876 /nfs/dbraw/zinc/69/08/76/407690876.db2.gz FJQHZJJWLOYAOS-ZETCQYMHSA-N -1 1 316.360 1.562 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000266985850 407705290 /nfs/dbraw/zinc/70/52/90/407705290.db2.gz HKTMMNSCZMPLAL-JTQLQIEISA-N -1 1 321.377 1.423 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2cccc(F)n2)c(=O)[n-]1 ZINC000186620104 407721149 /nfs/dbraw/zinc/72/11/49/407721149.db2.gz SQAYZLARPLXINF-UHFFFAOYSA-N -1 1 322.365 1.906 20 0 DDADMM CCN(C(=O)[C@@H](C)S(=O)(=O)c1ncn[n-]1)c1ccccc1 ZINC000153023978 407737953 /nfs/dbraw/zinc/73/79/53/407737953.db2.gz XROMOEZJRUCIKH-SNVBAGLBSA-N -1 1 308.363 1.020 20 0 DDADMM CCN(C(=O)[C@@H](C)S(=O)(=O)c1nc[n-]n1)c1ccccc1 ZINC000153023978 407737963 /nfs/dbraw/zinc/73/79/63/407737963.db2.gz XROMOEZJRUCIKH-SNVBAGLBSA-N -1 1 308.363 1.020 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCc1ccccc1F)c2=O ZINC000179387960 407783925 /nfs/dbraw/zinc/78/39/25/407783925.db2.gz VPRUZQPQJBKQRZ-UHFFFAOYSA-N -1 1 300.293 1.743 20 0 DDADMM Cc1ccc(F)cc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000179541235 407802220 /nfs/dbraw/zinc/80/22/20/407802220.db2.gz FILQSRXEZIXJLP-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM CCC[C@H](NC(=O)c1cc(-n2ccnc2)ccn1)c1nn[n-]n1 ZINC000272304351 407859449 /nfs/dbraw/zinc/85/94/49/407859449.db2.gz JMZHWGFNEFZLCB-NSHDSACASA-N -1 1 312.337 1.052 20 0 DDADMM Cc1cc(Br)c([O-])c(NC(=O)CS(C)(=O)=O)c1 ZINC000272421193 407912137 /nfs/dbraw/zinc/91/21/37/407912137.db2.gz FLLICNITJCZBBW-UHFFFAOYSA-N -1 1 322.180 1.446 20 0 DDADMM O=S(=O)([N-]c1ncccc1F)c1ccc2c(c1)OCCO2 ZINC000180260134 407873096 /nfs/dbraw/zinc/87/30/96/407873096.db2.gz PGDHPCQCZSQNCZ-UHFFFAOYSA-N -1 1 310.306 1.793 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1cc2ccccc2o1)C(N)=O ZINC000134076644 407884357 /nfs/dbraw/zinc/88/43/57/407884357.db2.gz PWCBUEHSHOMEOZ-UHFFFAOYSA-N -1 1 310.375 1.755 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC[C@H]1C ZINC000187751828 407888387 /nfs/dbraw/zinc/88/83/87/407888387.db2.gz BZBBFEPRJNXOAD-BXKDBHETSA-N -1 1 304.350 1.171 20 0 DDADMM Cc1cc(C(=O)Nc2nn[n-]n2)nn1-c1cccc(Cl)c1 ZINC000180426783 407888914 /nfs/dbraw/zinc/88/89/14/407888914.db2.gz YSHDKIWVMUBOCL-UHFFFAOYSA-N -1 1 303.713 1.600 20 0 DDADMM COc1ccc(OC)c([C@H](C)NC(=O)CN(C)CCC(=O)[O-])c1 ZINC000262689596 407899779 /nfs/dbraw/zinc/89/97/79/407899779.db2.gz YZEMJTTWIBTRMU-NSHDSACASA-N -1 1 324.377 1.288 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc(C(C)=O)cc1)c1nn[n-]n1 ZINC000268143821 407910727 /nfs/dbraw/zinc/91/07/27/407910727.db2.gz DTPWUDVMEQQMJD-CYBMUJFWSA-N -1 1 301.350 1.602 20 0 DDADMM COc1cc(C(=O)NCC[C@@H](C)[S@@](C)=O)cc(Cl)c1[O-] ZINC000154008788 407952545 /nfs/dbraw/zinc/95/25/45/407952545.db2.gz IWJGJXGOYJLYIF-SQFXPLBJSA-N -1 1 319.810 1.941 20 0 DDADMM CC(C)(C)C[N@@H+](CCCO)CC(=O)[N-]OCc1ccccc1 ZINC000119412727 408062128 /nfs/dbraw/zinc/06/21/28/408062128.db2.gz JOHKAAWXYXWAON-UHFFFAOYSA-N -1 1 308.422 1.965 20 0 DDADMM CCCCCN(CCO)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119438072 408070130 /nfs/dbraw/zinc/07/01/30/408070130.db2.gz NSNWJCWFNXGXKA-UHFFFAOYSA-N -1 1 306.366 1.299 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)N2CC[C@@](C)(C(=O)[O-])C2)cn1 ZINC000263437774 408141242 /nfs/dbraw/zinc/14/12/42/408141242.db2.gz OYVRIJQCLMUXDM-OAHLLOKOSA-N -1 1 317.349 1.230 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3cccc(C)c3)nc2n1 ZINC000137514814 408157448 /nfs/dbraw/zinc/15/74/48/408157448.db2.gz MKZGMFBGMJMJDA-UHFFFAOYSA-N -1 1 311.345 1.931 20 0 DDADMM O=C(COc1ccc(F)cc1)Nc1nc(SCCO)n[nH]1 ZINC000268691366 408157907 /nfs/dbraw/zinc/15/79/07/408157907.db2.gz IPUPEJCMDXEUMV-UHFFFAOYSA-N -1 1 312.326 1.046 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC ZINC000263676204 408201180 /nfs/dbraw/zinc/20/11/80/408201180.db2.gz KYPDSAWKUSHTNB-LBPRGKRZSA-N -1 1 307.318 1.585 20 0 DDADMM CC(C)[C@@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1ccccc1 ZINC000176256431 408324422 /nfs/dbraw/zinc/32/44/22/408324422.db2.gz ANPVGOYRSLTJRU-CQSZACIVSA-N -1 1 302.378 1.587 20 0 DDADMM Cn1cnnc1[N-]C(=O)c1cc(-c2ccc3c(c2)CCO3)no1 ZINC000157110987 408289001 /nfs/dbraw/zinc/28/90/01/408289001.db2.gz QTYVBZDPZWTECN-UHFFFAOYSA-N -1 1 311.301 1.657 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1nccs1 ZINC000176157986 408298888 /nfs/dbraw/zinc/29/88/88/408298888.db2.gz KTBGPCPCNQTSJJ-UHFFFAOYSA-N -1 1 320.418 1.805 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(F)cccc1Cl)C(=O)OC ZINC000133017155 162048721 /nfs/dbraw/zinc/04/87/21/162048721.db2.gz UXYYYEVRFVGVMJ-VIFPVBQESA-N -1 1 309.746 1.709 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2cccc(N)c2)o1 ZINC000158286703 408333560 /nfs/dbraw/zinc/33/35/60/408333560.db2.gz BWMIELLPBUUACS-UHFFFAOYSA-N -1 1 324.358 1.517 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)C2CCCCC2)C1)c1ncccc1[O-] ZINC000134331115 162075540 /nfs/dbraw/zinc/07/55/40/162075540.db2.gz AVPYBUUHFARCAE-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CCC[C@H](c2cc[nH]n2)C1 ZINC000171138703 162206449 /nfs/dbraw/zinc/20/64/49/162206449.db2.gz FAVNSVNJASRZCL-NSHDSACASA-N -1 1 323.356 1.666 20 0 DDADMM COC(=O)N(C)CC(=O)Nc1nc(Br)ccc1[O-] ZINC000274543241 408445338 /nfs/dbraw/zinc/44/53/38/408445338.db2.gz FPQDSGCIXKEUPR-UHFFFAOYSA-N -1 1 318.127 1.186 20 0 DDADMM CN(C)C(=O)[C@H](Cc1ccccc1)[N-]C(=O)C(F)(F)C(F)F ZINC000176583485 408397481 /nfs/dbraw/zinc/39/74/81/408397481.db2.gz DENSTJLMJVJWDL-JTQLQIEISA-N -1 1 320.286 1.703 20 0 DDADMM C[C@H](CN(C)C(=O)CCC(=O)c1ccccc1)c1nn[n-]n1 ZINC000183409217 408404557 /nfs/dbraw/zinc/40/45/57/408404557.db2.gz SYBJPNHVBXWUGW-LLVKDONJSA-N -1 1 301.350 1.425 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1C[C@@H]1c1ccc(F)cc1F)c1nn[n-]n1 ZINC000183421634 408407291 /nfs/dbraw/zinc/40/72/91/408407291.db2.gz IRQUHOXEOCOEML-FXAINCCUSA-N -1 1 321.331 1.844 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCC1CCC1 ZINC000176693062 408417109 /nfs/dbraw/zinc/41/71/09/408417109.db2.gz RNLCAYMLGGMFBX-UHFFFAOYSA-N -1 1 302.378 1.836 20 0 DDADMM Cc1nnc2n1C[C@H](CNC(=O)c1c([O-])cccc1F)CC2 ZINC000274689756 408497113 /nfs/dbraw/zinc/49/71/13/408497113.db2.gz LONRNEUUPNJEBT-JTQLQIEISA-N -1 1 304.325 1.424 20 0 DDADMM O=C(CN1C(=O)[C@H]2CCCC[C@@H]2C1=O)Nc1ccc(F)cc1[O-] ZINC000270377755 408511828 /nfs/dbraw/zinc/51/18/28/408511828.db2.gz NUSAVCHKKIEIDU-QWRGUYRKSA-N -1 1 320.320 1.645 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(C(F)(F)F)cn1)c1nn[n-]n1 ZINC000274779035 408528744 /nfs/dbraw/zinc/52/87/44/408528744.db2.gz NJBGXRRGGUGJJN-SSDOTTSWSA-N -1 1 314.271 1.489 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1C[C@H]1c1ccc(F)cc1F)c1nn[n-]n1 ZINC000248425521 408564368 /nfs/dbraw/zinc/56/43/68/408564368.db2.gz IRQUHOXEOCOEML-ZHAHWJHGSA-N -1 1 321.331 1.844 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc([C@H]2CCOC2)c1)c1nn[n-]n1 ZINC000274810651 408540241 /nfs/dbraw/zinc/54/02/41/408540241.db2.gz VLBUBKOYBBZWGR-FZMZJTMJSA-N -1 1 315.377 1.579 20 0 DDADMM Cc1cc2occ(C(=O)NCCCc3nc(=O)[n-][nH]3)c2cc1C ZINC000184479077 408624550 /nfs/dbraw/zinc/62/45/50/408624550.db2.gz IUXSWMLYGIBTCZ-UHFFFAOYSA-N -1 1 314.345 1.824 20 0 DDADMM C[C@H](CN(C)C(=O)c1noc2c1CCCCC2)c1nn[n-]n1 ZINC000275973222 408736492 /nfs/dbraw/zinc/73/64/92/408736492.db2.gz NSDBJPRPGKSFTE-SECBINFHSA-N -1 1 304.354 1.332 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)c2ccc(C)cc2)co1 ZINC000162850233 408737757 /nfs/dbraw/zinc/73/77/57/408737757.db2.gz HUEGCLNUOHFELZ-LLVKDONJSA-N -1 1 322.386 1.987 20 0 DDADMM CCC[C@@H](NC(=O)CCC(=O)c1cccs1)c1nn[n-]n1 ZINC000177653112 408678714 /nfs/dbraw/zinc/67/87/14/408678714.db2.gz JZKMCNKAHIZHRH-SECBINFHSA-N -1 1 307.379 1.882 20 0 DDADMM CCC1(CNC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CC1 ZINC000178183873 408784559 /nfs/dbraw/zinc/78/45/59/408784559.db2.gz DQXIBWFXXGBQLS-UHFFFAOYSA-N -1 1 302.378 1.488 20 0 DDADMM C[C@@H]1C[C@H](NS(=O)(=O)c2cccc(C(=O)[O-])c2)CN1C1CC1 ZINC000185105005 408755738 /nfs/dbraw/zinc/75/57/38/408755738.db2.gz FIAYLKMDQZGOHB-PWSUYJOCSA-N -1 1 324.402 1.288 20 0 DDADMM CCc1ccc(C(=O)[O-])cc1S(=O)(=O)N[C@@H](C)CN(C)C ZINC000168626389 408818791 /nfs/dbraw/zinc/81/87/91/408818791.db2.gz YCYFRLPOVOZMJK-JTQLQIEISA-N -1 1 314.407 1.176 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C(C)(C)c1cccc(F)c1 ZINC000290839809 408841471 /nfs/dbraw/zinc/84/14/71/408841471.db2.gz VIYPDABMKLEASF-UHFFFAOYSA-N -1 1 313.354 1.918 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CSC2(C)C)o1 ZINC000276404330 408850016 /nfs/dbraw/zinc/85/00/16/408850016.db2.gz ZPEDIRPCUKRDAM-QMMMGPOBSA-N -1 1 305.377 1.239 20 0 DDADMM CCOC(=O)CN(CC(C)C)C(=O)C(=O)c1ccc([O-])cc1 ZINC000280901131 408856361 /nfs/dbraw/zinc/85/63/61/408856361.db2.gz HPWVMHBCXBYULR-UHFFFAOYSA-N -1 1 307.346 1.623 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccncc1C(F)(F)F)c1nn[n-]n1 ZINC000285977639 408900627 /nfs/dbraw/zinc/90/06/27/408900627.db2.gz FJOPGGYASQPVNP-SSDOTTSWSA-N -1 1 314.271 1.489 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)CCCSC)o1 ZINC000281843864 408940777 /nfs/dbraw/zinc/94/07/77/408940777.db2.gz YTBJTFKIKDNUHH-UHFFFAOYSA-N -1 1 306.409 1.013 20 0 DDADMM C[C@@]1(NC(=O)c2ccc(C(F)(F)F)cc2[O-])CCOC1=O ZINC000291951612 408952189 /nfs/dbraw/zinc/95/21/89/408952189.db2.gz DVQFJBKXKFUWCD-GFCCVEGCSA-N -1 1 303.236 1.846 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)N(C)C(C)C)CC2)n1 ZINC000277683712 408991595 /nfs/dbraw/zinc/99/15/95/408991595.db2.gz JTRLHYYBJWLLTE-UHFFFAOYSA-N -1 1 323.397 1.621 20 0 DDADMM CC[C@@H](C)[C@@H]([N-]S(=O)(=O)c1cn(C)nc1C)C(F)(F)F ZINC000287246696 409008243 /nfs/dbraw/zinc/00/82/43/409008243.db2.gz HGFAHGYMPXZSBF-GMSGAONNSA-N -1 1 313.345 1.984 20 0 DDADMM CCSCCC[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000282322909 409033916 /nfs/dbraw/zinc/03/39/16/409033916.db2.gz NMYFERUXBCLXCN-UHFFFAOYSA-N -1 1 307.393 1.488 20 0 DDADMM COC(=O)[C@H](CF)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000287528632 409050698 /nfs/dbraw/zinc/05/06/98/409050698.db2.gz UJACVWSMQXVWCW-QMMMGPOBSA-N -1 1 313.709 1.269 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N(CCO)C3CCCCC3)ccnc1-2 ZINC000287696515 409079019 /nfs/dbraw/zinc/07/90/19/409079019.db2.gz RIEVANCBTCLVIY-RGEXLXHISA-N -1 1 317.393 1.501 20 0 DDADMM Cc1nn(C)cc1[C@@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283082363 409084660 /nfs/dbraw/zinc/08/46/60/409084660.db2.gz OCTRPOBQXKHRBO-MRVPVSSYSA-N -1 1 316.390 1.551 20 0 DDADMM COCC[C@@H](C)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000293360258 409098237 /nfs/dbraw/zinc/09/82/37/409098237.db2.gz FBIZTLMNKQYJHD-SSDOTTSWSA-N -1 1 315.317 1.142 20 0 DDADMM COCCOc1cncc(C(=O)Nc2nc(SC)n[nH]2)c1 ZINC000283177393 409104843 /nfs/dbraw/zinc/10/48/43/409104843.db2.gz LFWWBWNMCPXIOQ-UHFFFAOYSA-N -1 1 309.351 1.199 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CC=CCC2)c(C(F)(F)F)n1 ZINC000293445175 409113982 /nfs/dbraw/zinc/11/39/82/409113982.db2.gz KGDFIYZCDIUDRS-MRVPVSSYSA-N -1 1 309.313 1.826 20 0 DDADMM C[C@@H]1C[N@H+](C2CC2)C[C@@H]1NC(=O)c1ccc2n[nH]c(=S)n2c1 ZINC000283636894 409188049 /nfs/dbraw/zinc/18/80/49/409188049.db2.gz CBQFTPXKMHOODF-SKDRFNHKSA-N -1 1 317.418 1.231 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1cc(F)c(F)cc1F)C1CC1 ZINC000293843088 409192272 /nfs/dbraw/zinc/19/22/72/409192272.db2.gz QRSWKPVUHYPXOV-NSHDSACASA-N -1 1 309.309 1.807 20 0 DDADMM CN(CCCn1ccnc1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279521234 409230420 /nfs/dbraw/zinc/23/04/20/409230420.db2.gz ZXXOHMCRIMOIDH-UYRXBGFRSA-N -1 1 313.365 1.092 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1(c2ccccc2)CCC1 ZINC000289294195 409244396 /nfs/dbraw/zinc/24/43/96/409244396.db2.gz HICLASSQGLNVET-UHFFFAOYSA-N -1 1 307.375 1.923 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)CC(=O)NCC(=O)[O-])C12CCCCC2 ZINC000262261037 163794676 /nfs/dbraw/zinc/79/46/76/163794676.db2.gz SGCGYFWYPOBBSP-OLZOCXBDSA-N -1 1 312.410 1.247 20 0 DDADMM C[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H]1CCCCO1 ZINC000295059487 409310724 /nfs/dbraw/zinc/31/07/24/409310724.db2.gz PHXWBQIJVOXDEX-KOLCDFICSA-N -1 1 306.391 1.705 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccn(C(C)C)n2)o1 ZINC000280459692 409315615 /nfs/dbraw/zinc/31/56/15/409315615.db2.gz LTRXMNZFKKLKQT-UHFFFAOYSA-N -1 1 312.351 1.217 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCO[C@@H]2CCC[C@@H]21 ZINC000295326039 409434631 /nfs/dbraw/zinc/43/46/31/409434631.db2.gz PAKRULDMTAHGID-WDEREUQCSA-N -1 1 304.375 1.412 20 0 DDADMM CC[C@@H](C(=O)NC(C)(C)c1nn[n-]n1)c1ccc(OC)cc1 ZINC000343435360 164086993 /nfs/dbraw/zinc/08/69/93/164086993.db2.gz BCIKEJNQUZPBNB-GFCCVEGCSA-N -1 1 303.366 1.753 20 0 DDADMM COCc1n[n-]c(=NC[C@@H](c2cccc(F)c2)N(C)C)s1 ZINC000357033613 164137021 /nfs/dbraw/zinc/13/70/21/164137021.db2.gz ZYMFGYSNCWEYMV-LBPRGKRZSA-N -1 1 310.398 1.960 20 0 DDADMM O=C(CC1(O)CCCCC1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000408198724 164257730 /nfs/dbraw/zinc/25/77/30/164257730.db2.gz OHSIKKVBFXPKSC-NSHDSACASA-N -1 1 309.366 1.567 20 0 DDADMM C[C@@H]1[C@H](C)N(C(=O)N=c2nc(C(C)(C)C)[n-]s2)CCN1C ZINC000408206593 164260142 /nfs/dbraw/zinc/26/01/42/164260142.db2.gz OZJAYCJTXZSTME-ZJUUUORDSA-N -1 1 311.455 1.814 20 0 DDADMM C[C@@H]1OCC[C@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000408216328 164263812 /nfs/dbraw/zinc/26/38/12/164263812.db2.gz UCEUAKMGVGHLOC-NKWVEPMBSA-N -1 1 310.169 1.498 20 0 DDADMM Cc1cnc(C(=O)N2CCC(c3cnn(C)c3)CC2)c([O-])c1 ZINC000408295946 164288274 /nfs/dbraw/zinc/28/82/74/164288274.db2.gz YEKIKWINCDLXNS-UHFFFAOYSA-N -1 1 300.362 1.849 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@](O)(C(F)(F)F)C2)c([O-])c1 ZINC000408371152 164309891 /nfs/dbraw/zinc/30/98/91/164309891.db2.gz VTLPXRMNFCZWIH-GFCCVEGCSA-N -1 1 304.268 1.625 20 0 DDADMM Cc1ccc(CNC(=O)CCc2nn[n-]n2)cc1Br ZINC000636418167 422844855 /nfs/dbraw/zinc/84/48/55/422844855.db2.gz VLLQWSMMKXNKPR-UHFFFAOYSA-N -1 1 324.182 1.520 20 0 DDADMM CO[C@H](C)c1nsc(=NCCCN2C[C@H](C)O[C@@H](C)C2)[n-]1 ZINC000337920268 409567626 /nfs/dbraw/zinc/56/76/26/409567626.db2.gz YPRSMQGKXGPOTN-SDDRHHMPSA-N -1 1 314.455 1.579 20 0 DDADMM CO[C@H](C)c1nc(=NCCCN2C[C@H](C)O[C@@H](C)C2)s[n-]1 ZINC000337920268 409567629 /nfs/dbraw/zinc/56/76/29/409567629.db2.gz YPRSMQGKXGPOTN-SDDRHHMPSA-N -1 1 314.455 1.579 20 0 DDADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1cccc(F)c1F ZINC000353963066 409581877 /nfs/dbraw/zinc/58/18/77/409581877.db2.gz NFQYRZYLFPRVSK-SSDOTTSWSA-N -1 1 319.271 1.187 20 0 DDADMM COc1cc(F)ccc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337945718 409594002 /nfs/dbraw/zinc/59/40/02/409594002.db2.gz MSWKYVORGYBMJJ-UHFFFAOYSA-N -1 1 319.292 1.303 20 0 DDADMM CC(C)(C)CC(C)(C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337953352 409597301 /nfs/dbraw/zinc/59/73/01/409597301.db2.gz YLESJIUGIJZWQX-UHFFFAOYSA-N -1 1 307.394 1.848 20 0 DDADMM CSc1n[nH]c(NC(=O)CSc2nncn2C(C)C)n1 ZINC000354139631 409688486 /nfs/dbraw/zinc/68/84/86/409688486.db2.gz VMAMEDYBLKHJMW-UHFFFAOYSA-N -1 1 313.412 1.430 20 0 DDADMM CC(C)(CO)O[N-]C(=O)[C@H]1CCCN1Cc1ccc(F)cc1 ZINC000297161127 409830904 /nfs/dbraw/zinc/83/09/04/409830904.db2.gz JSHFZAFQYVHWEW-CQSZACIVSA-N -1 1 310.369 1.609 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCC[C@@](O)(CO)C1 ZINC000313717080 409819797 /nfs/dbraw/zinc/81/97/97/409819797.db2.gz SDDZIIRUDORKBF-KRWDZBQOSA-N -1 1 301.342 1.505 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCOc3ccccc32)c1 ZINC000342786257 409903403 /nfs/dbraw/zinc/90/34/03/409903403.db2.gz URAGYTWBVDPXOE-UHFFFAOYSA-N -1 1 321.354 1.989 20 0 DDADMM O=C(NC1CC1)c1[nH]nnc1NC(=O)C1CCC(F)(F)CC1 ZINC000297689868 409957825 /nfs/dbraw/zinc/95/78/25/409957825.db2.gz IPCIMNBBOZMJCH-UHFFFAOYSA-N -1 1 313.308 1.461 20 0 DDADMM Cc1nc([C@H]2CN(C(=O)c3c([O-])cccc3F)CCO2)n[nH]1 ZINC000332247782 410014176 /nfs/dbraw/zinc/01/41/76/410014176.db2.gz BRYPDHXSWGLCTP-LLVKDONJSA-N -1 1 306.297 1.172 20 0 DDADMM Cc1nnc(CN(C)CC(=O)Nc2ccc([O-])c(Cl)c2)n1C ZINC000357368756 409996528 /nfs/dbraw/zinc/99/65/28/409996528.db2.gz DVIHKCZPMOQSIT-UHFFFAOYSA-N -1 1 323.784 1.553 20 0 DDADMM COC(=O)c1cnc(-c2nc(-c3ccc([O-])c(F)c3)no2)cn1 ZINC000350830015 410001865 /nfs/dbraw/zinc/00/18/65/410001865.db2.gz BIYAFXDBTWISNJ-UHFFFAOYSA-N -1 1 316.248 1.825 20 0 DDADMM Cc1cc2c(cc1C)O[C@@H](C(=O)NC(C)(C)c1nn[n-]n1)C2 ZINC000354755461 410063877 /nfs/dbraw/zinc/06/38/77/410063877.db2.gz GDNFCBKYAVSCPR-GFCCVEGCSA-N -1 1 301.350 1.172 20 0 DDADMM COCc1ccccc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332317805 410064028 /nfs/dbraw/zinc/06/40/28/410064028.db2.gz KXEKLRKIQNUWKG-NSHDSACASA-N -1 1 317.345 1.941 20 0 DDADMM COC(=O)CCc1csc([N-]C(=O)c2cc(OC)no2)n1 ZINC000346778488 410146798 /nfs/dbraw/zinc/14/67/98/410146798.db2.gz KGOIIDSXPDVYNU-UHFFFAOYSA-N -1 1 311.319 1.498 20 0 DDADMM CN(C)c1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)nc1 ZINC000332353130 410089012 /nfs/dbraw/zinc/08/90/12/410089012.db2.gz RCRMOMFNLPFNFN-JTQLQIEISA-N -1 1 317.349 1.256 20 0 DDADMM O=C(C(=O)N1CCC[C@@H](c2nnc[nH]2)C1)c1ccc([O-])cc1 ZINC000332378623 410109802 /nfs/dbraw/zinc/10/98/02/410109802.db2.gz AMDVUGIISOFUTQ-LLVKDONJSA-N -1 1 300.318 1.099 20 0 DDADMM Cc1cccc(NC(=O)CNC(=O)c2ncccc2[O-])c1F ZINC000343130018 410170999 /nfs/dbraw/zinc/17/09/99/410170999.db2.gz OVTWSKSLGZMLNX-UHFFFAOYSA-N -1 1 303.293 1.603 20 0 DDADMM C[C@H](c1ccc(F)cc1)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000332461461 410175844 /nfs/dbraw/zinc/17/58/44/410175844.db2.gz PKMYLBLYKSWFDG-SNVBAGLBSA-N -1 1 307.398 1.973 20 0 DDADMM Cn1[n-]c(CN2CCC(Oc3ccc(F)cc3)CC2)nc1=O ZINC000329254813 410193275 /nfs/dbraw/zinc/19/32/75/410193275.db2.gz BEYWBKCDSQTACA-UHFFFAOYSA-N -1 1 306.341 1.291 20 0 DDADMM C[C@@H]1CCC[C@]1(O)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000298476593 410249701 /nfs/dbraw/zinc/24/97/01/410249701.db2.gz PKWVNXLACPSLFS-UIMFPFQFSA-N -1 1 303.366 1.014 20 0 DDADMM CCCC[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(=O)OC ZINC000355133884 410335516 /nfs/dbraw/zinc/33/55/16/410335516.db2.gz OZKVPECJSBJMJB-NSHDSACASA-N -1 1 320.349 1.136 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)Cc1c(F)cccc1F)C(C)C ZINC000347007978 410309961 /nfs/dbraw/zinc/30/99/61/410309961.db2.gz DRFDMWGBZZYGAH-GFCCVEGCSA-N -1 1 321.345 1.582 20 0 DDADMM CN(C)C(=O)Nc1cccc(C(=O)Nc2ccncc2[O-])c1 ZINC000358348980 410451279 /nfs/dbraw/zinc/45/12/79/410451279.db2.gz GWJUGQJXLZSMFP-UHFFFAOYSA-N -1 1 300.318 1.555 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC23CCC(CC2)C3)c1 ZINC000339787113 410461421 /nfs/dbraw/zinc/46/14/21/410461421.db2.gz WSZRMOROVIZCRF-UHFFFAOYSA-N -1 1 313.375 1.925 20 0 DDADMM CCN(Cc1cccs1)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000352105156 410483509 /nfs/dbraw/zinc/48/35/09/410483509.db2.gz HXWRIYOSCHQYCW-UHFFFAOYSA-N -1 1 309.347 1.620 20 0 DDADMM C[C@H]1CN(C2CC2)C[C@H]1NS(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000355469553 410532490 /nfs/dbraw/zinc/53/24/90/410532490.db2.gz HVVYUBAXYKHALQ-IINYFYTJSA-N -1 1 324.402 1.146 20 0 DDADMM C[C@@H](C(=O)Nc1ccncc1[O-])n1ccc2ccccc2c1=O ZINC000339937083 410562079 /nfs/dbraw/zinc/56/20/79/410562079.db2.gz BHMFVLBAVKPNOO-NSHDSACASA-N -1 1 309.325 1.724 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2[C@@H]2CCCC2=O)c([O-])c1 ZINC000330301212 410619434 /nfs/dbraw/zinc/61/94/34/410619434.db2.gz IXTCGNVOMVLGPR-NWDGAFQWSA-N -1 1 304.346 1.306 20 0 DDADMM CC(C)(C)CCCCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000343700244 410631589 /nfs/dbraw/zinc/63/15/89/410631589.db2.gz PSHYWVHFCMAAJK-UHFFFAOYSA-N -1 1 307.394 1.850 20 0 DDADMM CC(C)CCC(=O)NCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000330440690 410691981 /nfs/dbraw/zinc/69/19/81/410691981.db2.gz DJRACMKZFDSCHQ-NSHDSACASA-N -1 1 324.381 1.034 20 0 DDADMM O=C(CCc1nc[nH]n1)N=c1cc(-c2cc(F)cc(F)c2)[nH][nH]1 ZINC000343796903 410704721 /nfs/dbraw/zinc/70/47/21/410704721.db2.gz WHXROWUPGRURGG-UHFFFAOYSA-N -1 1 318.287 1.466 20 0 DDADMM CCN(CC)C(=O)CCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000330481430 410719698 /nfs/dbraw/zinc/71/96/98/410719698.db2.gz UPQHZTBFYMAOII-LLVKDONJSA-N -1 1 324.381 1.130 20 0 DDADMM O=S(=O)([N-]C[C@H]1COCCO1)c1cc(Cl)ccc1F ZINC000330505073 410733746 /nfs/dbraw/zinc/73/37/46/410733746.db2.gz FWNFJJXXUNEERK-VIFPVBQESA-N -1 1 309.746 1.173 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2CC(C(C)(C)C)C2)o1 ZINC000356044038 410795787 /nfs/dbraw/zinc/79/57/87/410795787.db2.gz UMARYTKCDIVRLN-UHFFFAOYSA-N -1 1 314.407 1.742 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccc(C)cc2)c1 ZINC000359680575 410812003 /nfs/dbraw/zinc/81/20/03/410812003.db2.gz KNOLFQOOMRVPLY-UHFFFAOYSA-N -1 1 320.370 1.861 20 0 DDADMM O=C(CSc1nc(C(F)(F)F)cc(=O)[n-]1)N1CCNC1=O ZINC000330672276 410865608 /nfs/dbraw/zinc/86/56/08/410865608.db2.gz GDOOPTFRHNVNEX-UHFFFAOYSA-N -1 1 322.268 1.049 20 0 DDADMM COc1ccc(SCCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000359786119 410880465 /nfs/dbraw/zinc/88/04/65/410880465.db2.gz KVMZRPPGHPCDGI-UHFFFAOYSA-N -1 1 321.406 1.742 20 0 DDADMM O=C(COc1ccc(F)c(Cl)c1)NC1(c2nn[n-]n2)CC1 ZINC000348292653 410904296 /nfs/dbraw/zinc/90/42/96/410904296.db2.gz LKWRUBNRPJWQLN-UHFFFAOYSA-N -1 1 311.704 1.177 20 0 DDADMM CC(C)(Oc1cccc(Cl)c1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348296820 410904507 /nfs/dbraw/zinc/90/45/07/410904507.db2.gz HZUKIVHSQNPTBF-UHFFFAOYSA-N -1 1 321.768 1.816 20 0 DDADMM COc1ccc(C(C)(C)C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000348298048 410905348 /nfs/dbraw/zinc/90/53/48/410905348.db2.gz NPFUNWMIQSSPKF-UHFFFAOYSA-N -1 1 301.350 1.291 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@H]1C[C@H]1c1c(F)cccc1F ZINC000348297400 410907079 /nfs/dbraw/zinc/90/70/79/410907079.db2.gz KUHGLRNENGEMFJ-SFYZADRCSA-N -1 1 305.288 1.387 20 0 DDADMM NC(=O)[C@H](Cc1ccc(Cl)cc1)NC(=O)c1cncc([O-])c1 ZINC000344045784 410917994 /nfs/dbraw/zinc/91/79/94/410917994.db2.gz GUKMDBPNMWDZPJ-ZDUSSCGKSA-N -1 1 319.748 1.267 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccn(-c2ccc(F)cc2F)n1 ZINC000344087517 410949009 /nfs/dbraw/zinc/94/90/09/410949009.db2.gz QGEUPXUFNJSANF-UHFFFAOYSA-N -1 1 316.267 1.925 20 0 DDADMM CC[N@@H+]1CC[C@@H](NS(=O)(=O)c2cc(OC)ccc2Cl)C1 ZINC000359935162 410959876 /nfs/dbraw/zinc/95/98/76/410959876.db2.gz GGXXFYGRKNEECS-SNVBAGLBSA-N -1 1 318.826 1.721 20 0 DDADMM N=c1nc(N2CCN(CCCCC(F)(F)F)CC2)s[n-]1 ZINC000331277654 411055268 /nfs/dbraw/zinc/05/52/68/411055268.db2.gz GHMMPNAQJYGUJM-UHFFFAOYSA-N -1 1 309.361 1.805 20 0 DDADMM COc1cc(C(=O)[N-]c2ccc3c(c2F)CCNC3=O)on1 ZINC000341828677 411066664 /nfs/dbraw/zinc/06/66/64/411066664.db2.gz VXIJUDRSZQREPF-UHFFFAOYSA-N -1 1 305.265 1.361 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]2CC(C)C)o1 ZINC000128812745 195993839 /nfs/dbraw/zinc/99/38/39/195993839.db2.gz GKLNLGJSLMFUAQ-NSHDSACASA-N -1 1 314.407 1.838 20 0 DDADMM Cc1cc(F)ccc1C[N@@H+](C)CCc1cn(CC(=O)[O-])nn1 ZINC000580085894 422887432 /nfs/dbraw/zinc/88/74/32/422887432.db2.gz XQLKLHKVKAHUSN-UHFFFAOYSA-N -1 1 306.341 1.485 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCOC(C)(C)C2)c1 ZINC000631736517 422899389 /nfs/dbraw/zinc/89/93/89/422899389.db2.gz MYGARNXZLHZKRN-UHFFFAOYSA-N -1 1 301.364 1.200 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2COc3ccccc3C2)sn1 ZINC000631743857 422901877 /nfs/dbraw/zinc/90/18/77/422901877.db2.gz FDZMIYGMYHEHCF-LLVKDONJSA-N -1 1 310.400 1.734 20 0 DDADMM CN(CC(=O)Nc1cccc(-c2n[nH]c(=O)[n-]2)c1)C1CCC1 ZINC000629991259 422914360 /nfs/dbraw/zinc/91/43/60/422914360.db2.gz STDPDIAWSLSGSO-UHFFFAOYSA-N -1 1 301.350 1.600 20 0 DDADMM CCN(CCNc1ncc(C(=O)[O-])cn1)CCC(F)(F)F ZINC000580556239 422941608 /nfs/dbraw/zinc/94/16/08/422941608.db2.gz ILLNIJIHFIKWPX-UHFFFAOYSA-N -1 1 306.288 1.283 20 0 DDADMM CC[C@@H]1CCN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000131297232 196158548 /nfs/dbraw/zinc/15/85/48/196158548.db2.gz UTKMKTWCYQIYJW-GFCCVEGCSA-N -1 1 302.378 1.440 20 0 DDADMM CN(CCCN1CCOCC1)Cc1nc(=O)c2sccc2[n-]1 ZINC000131581919 196181895 /nfs/dbraw/zinc/18/18/95/196181895.db2.gz XTVZEMCGPHWKFP-UHFFFAOYSA-N -1 1 322.434 1.139 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1c(F)cc(OC)cc1F)[C@H](C)O ZINC000650208130 423086343 /nfs/dbraw/zinc/08/63/43/423086343.db2.gz OHSVDEMLQUHRPG-CPCISQLKSA-N -1 1 309.334 1.411 20 0 DDADMM COCC[C@H](C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000647897952 423106450 /nfs/dbraw/zinc/10/64/50/423106450.db2.gz PAYSKILLVXNSGA-LURJTMIESA-N -1 1 301.290 1.132 20 0 DDADMM COC[C@@H](C)S(=O)(=O)[N-][C@@H](C(C)=O)c1ccccc1F ZINC000416641124 225015877 /nfs/dbraw/zinc/01/58/77/225015877.db2.gz DARGBBFOIKLSGW-RNCFNFMXSA-N -1 1 303.355 1.410 20 0 DDADMM CCS(=O)(=O)NCc1nc(-c2ccc([O-])c(F)c2)no1 ZINC000350836945 306757972 /nfs/dbraw/zinc/75/79/72/306757972.db2.gz OPGZGKYIDSKZQH-UHFFFAOYSA-N -1 1 301.299 1.021 20 0 DDADMM CCc1ncc(C(=O)NCc2cc(=O)[n-]c(SC)n2)s1 ZINC000640658316 423117892 /nfs/dbraw/zinc/11/78/92/423117892.db2.gz NGJFOAFEKNUWRY-UHFFFAOYSA-N -1 1 310.404 1.853 20 0 DDADMM CC(C)(C)OC(=O)NCCN1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000373834670 418465166 /nfs/dbraw/zinc/46/51/66/418465166.db2.gz FZDXMTOMTVKTBB-UHFFFAOYSA-N -1 1 311.386 1.214 20 0 DDADMM C[C@H](CF)NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000360591280 418472845 /nfs/dbraw/zinc/47/28/45/418472845.db2.gz MYPDQMZUEKYLPH-MRVPVSSYSA-N -1 1 306.359 1.444 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3CCCc4cccnc43)ccnc1-2 ZINC000374729714 418557879 /nfs/dbraw/zinc/55/78/79/418557879.db2.gz IUWNVMGGXOLRIX-VSQRLNRTSA-N -1 1 307.357 1.795 20 0 DDADMM CCCCNC(=O)[C@H](C)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000315945531 418599062 /nfs/dbraw/zinc/59/90/62/418599062.db2.gz HWRLDVYWRNPMGL-WFASDCNBSA-N -1 1 304.390 1.975 20 0 DDADMM COC[C@](C)(O)CNC(=O)c1ccc(Br)c([O-])c1 ZINC000382536175 418731173 /nfs/dbraw/zinc/73/11/73/418731173.db2.gz BQNPRBUQMZSCDM-GFCCVEGCSA-N -1 1 318.167 1.282 20 0 DDADMM C[C@@H]1CN(Cc2ccccc2)CCN1c1cncc(C(=O)[O-])n1 ZINC000382591924 418731561 /nfs/dbraw/zinc/73/15/61/418731561.db2.gz HDYHVETXNCRRGL-CYBMUJFWSA-N -1 1 312.373 1.886 20 0 DDADMM C[C@@]1(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CC1(Cl)Cl ZINC000371025452 418756643 /nfs/dbraw/zinc/75/66/43/418756643.db2.gz NPVPPBIMWFTGLC-XCBNKYQSSA-N -1 1 304.181 1.490 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]C(C)(C)C(F)F)C1CCOCC1 ZINC000645688729 423143412 /nfs/dbraw/zinc/14/34/12/423143412.db2.gz UDBKEQSNBCWCQG-JTQLQIEISA-N -1 1 315.382 1.391 20 0 DDADMM C[C@@H](CCC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000372156964 418840460 /nfs/dbraw/zinc/84/04/60/418840460.db2.gz MMKUNUIGPKWZOU-JSGCOSHPSA-N -1 1 315.377 1.684 20 0 DDADMM O=C(COCc1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000372154126 418841061 /nfs/dbraw/zinc/84/10/61/418841061.db2.gz CNOFXQIQGUUQGS-CYBMUJFWSA-N -1 1 301.350 1.123 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2CCC[C@@]2(C(=O)Nc2nnn[n-]2)C1 ZINC000372273573 418850296 /nfs/dbraw/zinc/85/02/96/418850296.db2.gz KUUCNENDEWZZGL-YMTOWFKASA-N -1 1 322.369 1.175 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2CCC[C@@]2(C(=O)Nc2nn[n-]n2)C1 ZINC000372273573 418850297 /nfs/dbraw/zinc/85/02/97/418850297.db2.gz KUUCNENDEWZZGL-YMTOWFKASA-N -1 1 322.369 1.175 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H]2CC(=O)N([C@H]3C[C@@H]3C)C2)sc1C ZINC000372966099 418917029 /nfs/dbraw/zinc/91/70/29/418917029.db2.gz FSLSAFOSDLRDDK-SFGNSQDASA-N -1 1 309.391 1.081 20 0 DDADMM CC(C)[C@H](O)C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000365960584 418925679 /nfs/dbraw/zinc/92/56/79/418925679.db2.gz CYFCLVWPOGXERW-HNNXBMFYSA-N -1 1 305.374 1.830 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H]2CCCC[C@@H]2O)c(F)c1 ZINC000425174555 228380467 /nfs/dbraw/zinc/38/04/67/228380467.db2.gz FANFSBGRONEQOB-RYUDHWBXSA-N -1 1 305.346 1.855 20 0 DDADMM CC(C)(C)C1CC(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1 ZINC000411753964 419398746 /nfs/dbraw/zinc/39/87/46/419398746.db2.gz HAMNDPSLIIFCRL-UHFFFAOYSA-N -1 1 300.384 1.219 20 0 DDADMM CC(C)(C)C1CC(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1 ZINC000411753964 419398752 /nfs/dbraw/zinc/39/87/52/419398752.db2.gz HAMNDPSLIIFCRL-UHFFFAOYSA-N -1 1 300.384 1.219 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-]c1n[nH]c(SC)n1 ZINC000421224697 419528510 /nfs/dbraw/zinc/52/85/10/419528510.db2.gz IPNVDYVXKRFSCB-MRVPVSSYSA-N -1 1 308.429 1.329 20 0 DDADMM O=C1C[C@@H](Oc2ccccc2[N-]S(=O)(=O)CCCCF)N1 ZINC000421163436 419511443 /nfs/dbraw/zinc/51/14/43/419511443.db2.gz HUUOYGOJMOQWOI-CYBMUJFWSA-N -1 1 316.354 1.403 20 0 DDADMM Cc1cnc(C(=O)NCc2ccccc2OCCO)c([O-])c1 ZINC000427138136 419599557 /nfs/dbraw/zinc/59/95/57/419599557.db2.gz WGIURJVEVOVTDC-UHFFFAOYSA-N -1 1 302.330 1.397 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2cc(C)nc(C)c2)o1 ZINC000421913411 419767373 /nfs/dbraw/zinc/76/73/73/419767373.db2.gz FNOBUKCWVGLVFG-UHFFFAOYSA-N -1 1 323.374 1.130 20 0 DDADMM CCOC[C@H](C)NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000428672585 419912701 /nfs/dbraw/zinc/91/27/01/419912701.db2.gz MELHPORCQWAIAS-JTQLQIEISA-N -1 1 320.393 1.526 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H]([C@@H](O)C(F)(F)F)C2)c([O-])c1 ZINC000434327455 229281353 /nfs/dbraw/zinc/28/13/53/229281353.db2.gz QRBRLYJVCUUNGA-JOYOIKCWSA-N -1 1 318.295 1.871 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)n2nc(C)cc2C)n1 ZINC000415643429 420134528 /nfs/dbraw/zinc/13/45/28/420134528.db2.gz XLGOYWBAYDRVEK-SNVBAGLBSA-N -1 1 305.338 1.599 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](CC2CCC2)NC(C)=O)n1 ZINC000415645612 420134710 /nfs/dbraw/zinc/13/47/10/420134710.db2.gz IEXXTXCQEGHWPZ-LLVKDONJSA-N -1 1 322.365 1.220 20 0 DDADMM CCc1ccccc1[C@H](N)CN=c1ccc(C(=O)OC)n[n-]1 ZINC000420283900 420181259 /nfs/dbraw/zinc/18/12/59/420181259.db2.gz UCIDKZZBKRQCKH-CYBMUJFWSA-N -1 1 300.362 1.359 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H]1CCC(C)C ZINC000416174715 420267844 /nfs/dbraw/zinc/26/78/44/420267844.db2.gz HAGDJQKAHGYFHK-SNVBAGLBSA-N -1 1 309.366 1.937 20 0 DDADMM C[C@@H](N=c1ccc(N(C)CCOCCO)n[n-]1)c1ccccc1 ZINC000425256389 420331706 /nfs/dbraw/zinc/33/17/06/420331706.db2.gz HDJNYSOEQHYWRW-CQSZACIVSA-N -1 1 316.405 1.517 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCC[C@@H](C(N)=O)C2)c1 ZINC000436501821 420334797 /nfs/dbraw/zinc/33/47/97/420334797.db2.gz ATMPGTICPBCJPD-ZJUUUORDSA-N -1 1 320.345 1.409 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc(N(C)C)cn2)c1 ZINC000436504084 420335324 /nfs/dbraw/zinc/33/53/24/420335324.db2.gz JWKNMXYUSTUBJA-UHFFFAOYSA-N -1 1 315.329 1.892 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc(OC)nc2)c1 ZINC000436510211 420335699 /nfs/dbraw/zinc/33/56/99/420335699.db2.gz SUDZZUQWUWUIEN-UHFFFAOYSA-N -1 1 302.286 1.835 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)Cc2ccc(OC)cn2)c1 ZINC000436517417 420336911 /nfs/dbraw/zinc/33/69/11/420336911.db2.gz ZQCNFSUSIIKLCA-UHFFFAOYSA-N -1 1 316.313 1.764 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)C[C@@H](CC(C)C)OC ZINC000420654575 420344321 /nfs/dbraw/zinc/34/43/21/420344321.db2.gz NPUOPZCDWSOFIC-CHWSQXEVSA-N -1 1 323.455 1.555 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCCc1ccccn1 ZINC000436017818 420301806 /nfs/dbraw/zinc/30/18/06/420301806.db2.gz PZJMGLWHLVLLFJ-UHFFFAOYSA-N -1 1 318.402 1.970 20 0 DDADMM COCC[C@@H](COC)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000436817992 420369594 /nfs/dbraw/zinc/36/95/94/420369594.db2.gz TWIZASWRPHCHBO-JTQLQIEISA-N -1 1 317.769 1.836 20 0 DDADMM NC(=O)Nc1cc(NC(=O)C(=O)c2ccc([O-])cc2)ccc1F ZINC000436883471 420380114 /nfs/dbraw/zinc/38/01/14/420380114.db2.gz GHUTTZRYKFYSHF-UHFFFAOYSA-N -1 1 317.276 1.843 20 0 DDADMM O=C(NCCOc1ncccc1F)C(=O)c1ccc([O-])cc1 ZINC000436974004 420388161 /nfs/dbraw/zinc/38/81/61/420388161.db2.gz WIUDNGIKTVTISX-UHFFFAOYSA-N -1 1 304.277 1.304 20 0 DDADMM O=C(N[C@@H](CO)Cc1ccc(F)cc1)C(=O)c1ccc([O-])cc1 ZINC000436997284 420391081 /nfs/dbraw/zinc/39/10/81/420391081.db2.gz HWZZJKCGSDENII-CQSZACIVSA-N -1 1 317.316 1.434 20 0 DDADMM C[C@@H]1CC[C@H](C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)O1 ZINC000416537577 420410580 /nfs/dbraw/zinc/41/05/80/420410580.db2.gz UDPWEJGSARULBZ-HTQZYQBOSA-N -1 1 309.309 1.950 20 0 DDADMM COCC[C@@H](C)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000416595026 420429013 /nfs/dbraw/zinc/42/90/13/420429013.db2.gz XTPMRXMKOSAKJQ-SECBINFHSA-N -1 1 305.352 1.017 20 0 DDADMM Cc1ccc(CN(CCO)C(=O)C(=O)c2ccc([O-])cc2)cc1 ZINC000438882869 420468053 /nfs/dbraw/zinc/46/80/53/420468053.db2.gz NVSMFBKXAODNMY-UHFFFAOYSA-N -1 1 313.353 1.904 20 0 DDADMM COc1cc(NC(=O)c2cnc3n(C)[n-]cc-3c2=O)ccc1O ZINC000438082677 420437726 /nfs/dbraw/zinc/43/77/26/420437726.db2.gz SNCVHRCPAXKAIG-UHFFFAOYSA-N -1 1 314.301 1.640 20 0 DDADMM O=C(NCc1cnc(C2CC2)o1)c1csc(=NC2CC2)[n-]1 ZINC000456768015 420541297 /nfs/dbraw/zinc/54/12/97/420541297.db2.gz VNWMJOCCTGZVCN-UHFFFAOYSA-N -1 1 304.375 1.935 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@H](C)N(C)c1ccccc1 ZINC000439176137 420499703 /nfs/dbraw/zinc/49/97/03/420499703.db2.gz GDGCHVZQODAQFV-JTQLQIEISA-N -1 1 324.406 1.199 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H](C)N(C)c1ccccc1 ZINC000439176137 420499705 /nfs/dbraw/zinc/49/97/05/420499705.db2.gz GDGCHVZQODAQFV-JTQLQIEISA-N -1 1 324.406 1.199 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cccnc1C(=O)OC)C(F)F ZINC000451637748 420605581 /nfs/dbraw/zinc/60/55/81/420605581.db2.gz YIRPIVDEQNOHLF-SSDOTTSWSA-N -1 1 308.306 1.190 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1cncc(OC)c1)c1nn[n-]n1 ZINC000492494499 420574204 /nfs/dbraw/zinc/57/42/04/420574204.db2.gz ZZKNQFJXWULGLM-BTDICHCPSA-N -1 1 302.338 1.274 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](COC)C2CC2)o1 ZINC000441881514 420664316 /nfs/dbraw/zinc/66/43/16/420664316.db2.gz RYEZXWAUZDSCLX-SNVBAGLBSA-N -1 1 317.363 1.160 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ncccc1Oc1ccccc1 ZINC000442749453 420708291 /nfs/dbraw/zinc/70/82/91/420708291.db2.gz MPZUDDZRPDNRLC-UHFFFAOYSA-N -1 1 322.328 1.806 20 0 DDADMM COc1ccc(CNC(=O)c2cnc3nc(C)ccc3c2[O-])nc1 ZINC000447618196 420787272 /nfs/dbraw/zinc/78/72/72/420787272.db2.gz ABEBWROBAQOZNL-UHFFFAOYSA-N -1 1 324.340 1.977 20 0 DDADMM COc1ccc(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)nc1 ZINC000447618196 420787277 /nfs/dbraw/zinc/78/72/77/420787277.db2.gz ABEBWROBAQOZNL-UHFFFAOYSA-N -1 1 324.340 1.977 20 0 DDADMM Cc1noc(C[N-]S(=O)(=O)c2ccc3ncsc3c2)n1 ZINC000447875461 420808805 /nfs/dbraw/zinc/80/88/05/420808805.db2.gz RFILGWQGUQVRMU-UHFFFAOYSA-N -1 1 310.360 1.466 20 0 DDADMM C[C@H]1CCC[C@]1(O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454275032 420828915 /nfs/dbraw/zinc/82/89/15/420828915.db2.gz VEGZCNRFNLOBNL-QFYYESIMSA-N -1 1 301.346 1.204 20 0 DDADMM CN(CCO)C(=O)c1ccc(NC(=O)OC(C)(C)C)cc1[O-] ZINC000494124752 420995618 /nfs/dbraw/zinc/99/56/18/420995618.db2.gz QXOOXRYUBKQULL-UHFFFAOYSA-N -1 1 310.350 1.804 20 0 DDADMM CCC[C@@H](CC(C)C)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000449836177 421094536 /nfs/dbraw/zinc/09/45/36/421094536.db2.gz SPQLTUPWMXHVDO-VIFPVBQESA-N -1 1 302.400 1.609 20 0 DDADMM CCC[C@@H](CC(C)C)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000449836177 421094538 /nfs/dbraw/zinc/09/45/38/421094538.db2.gz SPQLTUPWMXHVDO-VIFPVBQESA-N -1 1 302.400 1.609 20 0 DDADMM O=C(NCCN1C[C@H]2CC[C@@H](C1)O2)c1cc(Cl)ccc1[O-] ZINC000456213351 421122529 /nfs/dbraw/zinc/12/25/29/421122529.db2.gz HXMDICNOJTTZNW-TXEJJXNPSA-N -1 1 310.781 1.639 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC2(C1)CNC(=O)O2 ZINC000496161663 421142837 /nfs/dbraw/zinc/14/28/37/421142837.db2.gz OLNSNAGHPWXNQS-UHFFFAOYSA-N -1 1 316.235 1.345 20 0 DDADMM CCn1ccnc1[C@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000456370841 421153470 /nfs/dbraw/zinc/15/34/70/421153470.db2.gz YOGGUSLNNRHLIK-VIFPVBQESA-N -1 1 314.349 1.381 20 0 DDADMM COC(=O)C1(NC(=O)c2ccc(Cl)cc2[O-])CCOCC1 ZINC000456381294 421156572 /nfs/dbraw/zinc/15/65/72/421156572.db2.gz LJUAXYUMYANMJU-UHFFFAOYSA-N -1 1 313.737 1.498 20 0 DDADMM Cc1cccc(Cl)c1CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000489963487 421182024 /nfs/dbraw/zinc/18/20/24/421182024.db2.gz QIEJQBUTMUFAIP-SNVBAGLBSA-N -1 1 307.785 1.966 20 0 DDADMM O=C(C=Cc1nc2ccccc2o1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000492210771 421214045 /nfs/dbraw/zinc/21/40/45/421214045.db2.gz ZWGFKDNGXKURFS-SKVAFPRGSA-N -1 1 324.344 1.760 20 0 DDADMM Cc1cc(C)n([C@@H](C)C(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000522855812 421227775 /nfs/dbraw/zinc/22/77/75/421227775.db2.gz WDMQKJLZKGAFGS-NSHDSACASA-N -1 1 303.370 1.160 20 0 DDADMM O=C(c1cc(=O)n2nc[n-]c2n1)N1CCCc2ccccc2C1 ZINC000544780694 421230356 /nfs/dbraw/zinc/23/03/56/421230356.db2.gz MNZCFYBVLIURFL-UHFFFAOYSA-N -1 1 309.329 1.006 20 0 DDADMM CC1=CCN(CCNC(=O)N=c2[n-]nc(C(F)F)s2)CC1 ZINC000545870158 421268408 /nfs/dbraw/zinc/26/84/08/421268408.db2.gz UTBMIRHXCDOPNS-UHFFFAOYSA-N -1 1 317.365 1.671 20 0 DDADMM C[C@H](Cn1ccc2ccccc21)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000560531422 421279572 /nfs/dbraw/zinc/27/95/72/421279572.db2.gz HLEZPODZYAJETF-LLVKDONJSA-N -1 1 312.377 1.842 20 0 DDADMM c1c(CNC2(c3nnn[n-]3)CCCC2)[nH]nc1-c1cccnc1 ZINC000546035415 421281250 /nfs/dbraw/zinc/28/12/50/421281250.db2.gz WAGZNDMHZVFTFX-UHFFFAOYSA-N -1 1 310.365 1.544 20 0 DDADMM c1c(CNC2(c3nn[n-]n3)CCCC2)[nH]nc1-c1cccnc1 ZINC000546035415 421281253 /nfs/dbraw/zinc/28/12/53/421281253.db2.gz WAGZNDMHZVFTFX-UHFFFAOYSA-N -1 1 310.365 1.544 20 0 DDADMM CC[C@](C)(CNC(=O)OC(C)(C)C)C(=O)[N-]OCCCO ZINC000496823021 421323293 /nfs/dbraw/zinc/32/32/93/421323293.db2.gz XGWDFGJVNIOPRP-CQSZACIVSA-N -1 1 304.387 1.358 20 0 DDADMM CC(C)CN1CCO[C@H](CN=c2[n-]c(C(F)(F)F)ns2)C1 ZINC000547762980 421364369 /nfs/dbraw/zinc/36/43/69/421364369.db2.gz UCHLOMZQUNMUNS-SECBINFHSA-N -1 1 324.372 1.748 20 0 DDADMM CC(C)CN1CCO[C@H](CN=c2nc(C(F)(F)F)[n-]s2)C1 ZINC000547762980 421364371 /nfs/dbraw/zinc/36/43/71/421364371.db2.gz UCHLOMZQUNMUNS-SECBINFHSA-N -1 1 324.372 1.748 20 0 DDADMM COc1cc(C(=O)N2CCN(C(=O)C3CC3)C2)cc(Cl)c1[O-] ZINC000562949770 421429283 /nfs/dbraw/zinc/42/92/83/421429283.db2.gz YKTZDWSVCUNCIW-UHFFFAOYSA-N -1 1 324.764 1.706 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1c(F)cc(F)cc1F)N1CCCC1 ZINC000548369279 421429791 /nfs/dbraw/zinc/42/97/91/421429791.db2.gz AFLRIVINELMPIG-VIFPVBQESA-N -1 1 322.352 1.867 20 0 DDADMM O=C([C@@H]1CC12CCOCC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000552073326 421572035 /nfs/dbraw/zinc/57/20/35/421572035.db2.gz HWHICMCGLFRMGI-NSHDSACASA-N -1 1 307.350 1.298 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H](C)c1cnn(C(C)C)c1 ZINC000554908925 421665843 /nfs/dbraw/zinc/66/58/43/421665843.db2.gz XJZURFBSRGIEFM-MRVPVSSYSA-N -1 1 313.383 1.212 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)c1cnn(C(C)C)c1 ZINC000554908925 421665845 /nfs/dbraw/zinc/66/58/45/421665845.db2.gz XJZURFBSRGIEFM-MRVPVSSYSA-N -1 1 313.383 1.212 20 0 DDADMM CCOC(=O)C1(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCC1 ZINC000530453999 421601643 /nfs/dbraw/zinc/60/16/43/421601643.db2.gz QPSLIOVZZILBNY-LLVKDONJSA-N -1 1 321.381 1.029 20 0 DDADMM CCc1ccc(CNC(=O)CNC2(C(=O)[O-])CCCC2)s1 ZINC000533203317 421669015 /nfs/dbraw/zinc/66/90/15/421669015.db2.gz XBHGJDIJDLAKIC-UHFFFAOYSA-N -1 1 310.419 1.914 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc2cc(Cl)sc2[nH]1 ZINC000571591588 421729242 /nfs/dbraw/zinc/72/92/42/421729242.db2.gz JZUATJSEBCTFNB-UHFFFAOYSA-N -1 1 308.754 1.815 20 0 DDADMM O=S(=O)(CCOCC1CC1)[N-]c1cc(O)c(F)cc1F ZINC000571633498 421732675 /nfs/dbraw/zinc/73/26/75/421732675.db2.gz AIQMXWXJIPINAI-UHFFFAOYSA-N -1 1 307.318 1.839 20 0 DDADMM O=c1cc(C[N@H+]2CC[C@@H](CO)[C@@H](O)C2)nc(-c2ccccc2)[nH]1 ZINC000556782812 421737293 /nfs/dbraw/zinc/73/72/93/421737293.db2.gz MBMUYJGPEJJYKB-ZFWWWQNUSA-N -1 1 315.373 1.024 20 0 DDADMM CN(C[C@@H](O)C(F)(F)F)C(=O)c1csc(=NC2CC2)[n-]1 ZINC000539748702 421750112 /nfs/dbraw/zinc/75/01/12/421750112.db2.gz TVKFZHLSJRPKSZ-MRVPVSSYSA-N -1 1 309.313 1.135 20 0 DDADMM COCCCCCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631838894 421874518 /nfs/dbraw/zinc/87/45/18/421874518.db2.gz WPQRDIJYMTXPCB-UHFFFAOYSA-N -1 1 303.380 1.496 20 0 DDADMM COCCOc1ccc(CNCc2cc(C(=O)[O-])nn2C)cc1 ZINC000635306332 421893893 /nfs/dbraw/zinc/89/38/93/421893893.db2.gz ZILNBAXQGXCYAC-UHFFFAOYSA-N -1 1 319.361 1.433 20 0 DDADMM Cc1cccc(S(=O)(=O)Nc2cnn(C)c2C(=O)[O-])c1F ZINC000543768943 421841654 /nfs/dbraw/zinc/84/16/54/421841654.db2.gz NLHKBPPZLTUSAE-UHFFFAOYSA-N -1 1 313.310 1.367 20 0 DDADMM NC(=O)[C@H]1CC[C@H](CNC(=O)c2csc(=NC3CC3)[n-]2)CC1 ZINC000580886995 421857187 /nfs/dbraw/zinc/85/71/87/421857187.db2.gz KAINNJRJWCLDMO-MGCOHNPYSA-N -1 1 322.434 1.161 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccccc2Cl)CC1 ZINC000630221979 421973603 /nfs/dbraw/zinc/97/36/03/421973603.db2.gz CGSTZMYMZZUCKS-NSHDSACASA-N -1 1 310.781 1.961 20 0 DDADMM COc1cccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000630224181 421974832 /nfs/dbraw/zinc/97/48/32/421974832.db2.gz MTDXATYFZUOOIN-GFCCVEGCSA-N -1 1 306.362 1.316 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H]1Cc1ccc(F)cc1 ZINC000635400705 421956425 /nfs/dbraw/zinc/95/64/25/421956425.db2.gz FPHGPTHWLKEBNL-CQSZACIVSA-N -1 1 317.368 1.895 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@@H]1CCC[C@H](F)C1 ZINC000573364677 421956738 /nfs/dbraw/zinc/95/67/38/421956738.db2.gz HONINIFBDWLELP-JGVFFNPUSA-N -1 1 322.383 1.489 20 0 DDADMM CCCCO[C@H](C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630224111 421975134 /nfs/dbraw/zinc/97/51/34/421975134.db2.gz NXRKDTUGTPIMKA-QWHCGFSZSA-N -1 1 300.399 1.199 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](CO)c2ccccc2)sn1 ZINC000632074027 422070419 /nfs/dbraw/zinc/07/04/19/422070419.db2.gz RLCRBURLWAXBPR-GFCCVEGCSA-N -1 1 312.416 1.506 20 0 DDADMM CNC(=O)c1sc2[n-]c([C@H]3CC[C@H](C)O3)nc(=O)c2c1C ZINC000630384694 422072496 /nfs/dbraw/zinc/07/24/96/422072496.db2.gz DCNRAIMXQMTQJT-POYBYMJQSA-N -1 1 307.375 1.893 20 0 DDADMM CCOc1cccc(CN(CCC(=O)[O-])C[C@@H]2CCCO2)n1 ZINC000574405671 422104689 /nfs/dbraw/zinc/10/46/89/422104689.db2.gz BZFPYOSWXRLNMC-AWEZNQCLSA-N -1 1 308.378 1.936 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(C(F)F)o1)[C@@H]1CN(C)CCO1 ZINC000632123879 422105323 /nfs/dbraw/zinc/10/53/23/422105323.db2.gz LPHCEWHBEQUXDN-SCZZXKLOSA-N -1 1 324.349 1.215 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@@H]([C@H](C)O)C2)c1 ZINC000632129348 422106873 /nfs/dbraw/zinc/10/68/73/422106873.db2.gz UKEHTJVZELDCJI-WDEREUQCSA-N -1 1 315.391 1.182 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCOCC23CCC3)c1 ZINC000632130761 422109976 /nfs/dbraw/zinc/10/99/76/422109976.db2.gz SIGQORRNNCPBFW-UHFFFAOYSA-N -1 1 313.375 1.344 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCC(C)(C)CCO)c1 ZINC000632051335 422051924 /nfs/dbraw/zinc/05/19/24/422051924.db2.gz JINIABOKQWBYTA-UHFFFAOYSA-N -1 1 303.380 1.088 20 0 DDADMM COc1cccc([C@@H](N)C(=O)N2CCC[C@@H](CC(=O)[O-])C2)c1 ZINC000630570641 422187297 /nfs/dbraw/zinc/18/72/97/422187297.db2.gz CMRHMSOSJJLFPR-XHDPSFHLSA-N -1 1 306.362 1.408 20 0 DDADMM CCC1(O)CCC([N-]S(=O)(=O)c2cc(C)ns2)CC1 ZINC000632176797 422141288 /nfs/dbraw/zinc/14/12/88/422141288.db2.gz CETCUPHQIXWPOB-UHFFFAOYSA-N -1 1 304.437 1.814 20 0 DDADMM CCC[C@@H](CNC(=O)c1ccc(S(=O)(=O)[N-]C)o1)OCC ZINC000628349054 422141750 /nfs/dbraw/zinc/14/17/50/422141750.db2.gz YZADJFOYACNIMR-JTQLQIEISA-N -1 1 318.395 1.123 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCC[C@H](OC)CC2)c1 ZINC000632201021 422157742 /nfs/dbraw/zinc/15/77/42/422157742.db2.gz NUOPUOJUUVVHEY-NSHDSACASA-N -1 1 315.391 1.590 20 0 DDADMM CC[N@@H+](CCC(=O)[O-])Cc1cc(Cl)cc(S(N)(=O)=O)c1 ZINC000574697139 422161487 /nfs/dbraw/zinc/16/14/87/422161487.db2.gz LIFMLTJOEYABKK-UHFFFAOYSA-N -1 1 320.798 1.284 20 0 DDADMM C[C@@H]1CCN(CC(=O)Nc2ccc3c(c2)OCO3)C[C@@H]1C(=O)[O-] ZINC000583440377 422165878 /nfs/dbraw/zinc/16/58/78/422165878.db2.gz BEMZLVUGEVFJRE-PWSUYJOCSA-N -1 1 320.345 1.396 20 0 DDADMM O=C(CN1CCC(=Cc2cccc(F)c2)CC1)Nc1nnn[n-]1 ZINC000584002027 422239561 /nfs/dbraw/zinc/23/95/61/422239561.db2.gz JKXNDUGFOBPLNL-UHFFFAOYSA-N -1 1 316.340 1.457 20 0 DDADMM O=C(CN1CCC(=Cc2cccc(F)c2)CC1)Nc1nn[n-]n1 ZINC000584002027 422239566 /nfs/dbraw/zinc/23/95/66/422239566.db2.gz JKXNDUGFOBPLNL-UHFFFAOYSA-N -1 1 316.340 1.457 20 0 DDADMM COC(=O)c1ccccc1NC(=O)CN1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000575049628 422242726 /nfs/dbraw/zinc/24/27/26/422242726.db2.gz IVPMOGNDQPDFDH-ZYHUDNBSSA-N -1 1 320.345 1.064 20 0 DDADMM CO[C@H](c1ccccc1Cl)[C@H](C)NC(=O)CCc1nn[n-]n1 ZINC000632326083 422251341 /nfs/dbraw/zinc/25/13/41/422251341.db2.gz OABHPSCCWUBTRG-XPTSAGLGSA-N -1 1 323.784 1.678 20 0 DDADMM CC(C)[N@H+](C)C[C@H](C)NS(=O)(=O)c1cc(O)cc(F)c1 ZINC000632343339 422261565 /nfs/dbraw/zinc/26/15/65/422261565.db2.gz MGTXVCWOBLNWPQ-JTQLQIEISA-N -1 1 304.387 1.538 20 0 DDADMM CN(Cc1nnc2n1CCC2)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000630677198 422263765 /nfs/dbraw/zinc/26/37/65/422263765.db2.gz CUFRWRRQYHBHEQ-UHFFFAOYSA-N -1 1 320.780 1.784 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)OC ZINC000632348190 422267009 /nfs/dbraw/zinc/26/70/09/422267009.db2.gz FTOGCKYZUUEYMJ-LURJTMIESA-N -1 1 301.290 1.132 20 0 DDADMM CCN(C(=O)CCc1nn[n-]n1)c1ccc(OC)c(OC)c1 ZINC000630710822 422283682 /nfs/dbraw/zinc/28/36/82/422283682.db2.gz HQPCBOKJWKJUHV-UHFFFAOYSA-N -1 1 305.338 1.203 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](CO)C2CCCCC2)sn1 ZINC000632371792 422286084 /nfs/dbraw/zinc/28/60/84/422286084.db2.gz ODVYOQRWHHZBDZ-LBPRGKRZSA-N -1 1 318.464 1.919 20 0 DDADMM CC(C)(C)c1nc(CCNC(=O)CCCc2nn[n-]n2)cs1 ZINC000635749591 422309419 /nfs/dbraw/zinc/30/94/19/422309419.db2.gz HTYNMENYTQUQJM-UHFFFAOYSA-N -1 1 322.438 1.635 20 0 DDADMM Cc1cccc(CO[C@H](C)C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000632436817 422342993 /nfs/dbraw/zinc/34/29/93/422342993.db2.gz OHOLAKRDRBRCPS-QWHCGFSZSA-N -1 1 317.393 1.675 20 0 DDADMM O=C(CCc1nn[n-]n1)NC1(c2ccc(Cl)cc2)CCC1 ZINC000630818331 422352411 /nfs/dbraw/zinc/35/24/11/422352411.db2.gz PRSDNVPUTBOFFL-UHFFFAOYSA-N -1 1 305.769 1.981 20 0 DDADMM CO[C@](C)([C@@H](C)[N-]S(=O)(=O)c1cc(C)ns1)C1CC1 ZINC000632455892 422358780 /nfs/dbraw/zinc/35/87/80/422358780.db2.gz OWYQSSUIOHVMHF-BXKDBHETSA-N -1 1 304.437 1.933 20 0 DDADMM O=C1CC[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)CCN1 ZINC000632457619 422361838 /nfs/dbraw/zinc/36/18/38/422361838.db2.gz KLKULFORTGTELS-SSDOTTSWSA-N -1 1 308.306 1.164 20 0 DDADMM COCCN(C(=O)CCc1nn[n-]n1)[C@H](C)c1ccccc1 ZINC000630850136 422372890 /nfs/dbraw/zinc/37/28/90/422372890.db2.gz NDHZUIVKJQUNLI-GFCCVEGCSA-N -1 1 303.366 1.369 20 0 DDADMM O=S(=O)(CCc1cccs1)[N-]Cc1nc(C(F)F)no1 ZINC000632480430 422379676 /nfs/dbraw/zinc/37/96/76/422379676.db2.gz SJOQPWVDVJODJB-UHFFFAOYSA-N -1 1 323.346 1.731 20 0 DDADMM COc1ccccc1[C@H]1CCCN1C(=O)CCc1nn[n-]n1 ZINC000630912280 422411569 /nfs/dbraw/zinc/41/15/69/422411569.db2.gz LOJSUDATFSUQEP-GFCCVEGCSA-N -1 1 301.350 1.505 20 0 DDADMM CC(C)n1cc(C[N-]S(=O)(=O)c2cccc(F)c2F)nn1 ZINC000632528723 422412575 /nfs/dbraw/zinc/41/25/75/422412575.db2.gz IOPQHTBWRZSILP-UHFFFAOYSA-N -1 1 316.333 1.616 20 0 DDADMM Cc1ccccc1C[C@H](C)N(C)C(=O)CCCc1nn[n-]n1 ZINC000635864119 422419303 /nfs/dbraw/zinc/41/93/03/422419303.db2.gz ZWBGWMPIMDPBNY-ZDUSSCGKSA-N -1 1 301.394 1.920 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)Cc2ccccn2)c1 ZINC000632538194 422419723 /nfs/dbraw/zinc/41/97/23/422419723.db2.gz HIZHICKXCWQPES-NSHDSACASA-N -1 1 322.386 1.705 20 0 DDADMM CC[C@H](COCC1CC1)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000632545336 422426130 /nfs/dbraw/zinc/42/61/30/422426130.db2.gz BHJRWZADIJJOMN-LLVKDONJSA-N -1 1 304.437 1.935 20 0 DDADMM O=C(NC[C@H]1CCCCN1CCO)c1s[n-]c(=O)c1Cl ZINC000578152269 422510940 /nfs/dbraw/zinc/51/09/40/422510940.db2.gz ILTJFTHFLJKZFV-MRVPVSSYSA-N -1 1 319.814 1.079 20 0 DDADMM O=c1[n-]n(CCOCC(F)(F)F)c(=O)c2cc(F)c(F)cc12 ZINC000634619114 422511758 /nfs/dbraw/zinc/51/17/58/422511758.db2.gz PELGOIKNCUYKLR-UHFFFAOYSA-N -1 1 324.205 1.959 20 0 DDADMM C[C@H]1CN(C(=O)c2csc(=NC3CC3)[n-]2)CC2(CCC2)O1 ZINC000578196012 422519891 /nfs/dbraw/zinc/51/98/91/422519891.db2.gz VTXBSKQYMZPZTE-JTQLQIEISA-N -1 1 307.419 1.923 20 0 DDADMM Cc1nc(S[C@@H](C)C(=O)N2CCNC2=O)[n-]c(=O)c1C1CC1 ZINC000631025120 422477672 /nfs/dbraw/zinc/47/76/72/422477672.db2.gz PPNBQOBFVZUYRW-QMMMGPOBSA-N -1 1 322.390 1.400 20 0 DDADMM CC1(C)CN(C(=O)CCCc2nn[n-]n2)Cc2ccccc2O1 ZINC000635940390 422493000 /nfs/dbraw/zinc/49/30/00/422493000.db2.gz YYQZXMOAEIXEJD-UHFFFAOYSA-N -1 1 315.377 1.722 20 0 DDADMM CCc1[n-]n(-c2nccc(N3CCOCC3)n2)c(=O)c1C(C)C ZINC000634607134 422503474 /nfs/dbraw/zinc/50/34/74/422503474.db2.gz NSSXVVNUISLHJH-AWEZNQCLSA-N -1 1 317.393 1.698 20 0 DDADMM COc1cccc2c1CN(C(=O)CCCc1nn[n-]n1)CC2 ZINC000635993796 422548883 /nfs/dbraw/zinc/54/88/83/422548883.db2.gz ATEFFWIYPWVVDA-UHFFFAOYSA-N -1 1 301.350 1.116 20 0 DDADMM O=C(N[C@@H]1CCOC[C@H]1O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000629240182 422553466 /nfs/dbraw/zinc/55/34/66/422553466.db2.gz IKYHIISJXYMIDC-DGCLKSJQSA-N -1 1 322.748 1.473 20 0 DDADMM CO[C@@H]1CN(C[C@@H](O)COc2cccc(C)c2)[C@](C)(C(=O)[O-])C1 ZINC000634695051 422554703 /nfs/dbraw/zinc/55/47/03/422554703.db2.gz OMPLAJUQKOUGBA-KMFMINBZSA-N -1 1 323.389 1.299 20 0 DDADMM Cc1cc(CN)oc1C(=O)N=c1[n-]nc(CC(F)(F)F)s1 ZINC000638028197 422571125 /nfs/dbraw/zinc/57/11/25/422571125.db2.gz AYQNYUSLDUUIAB-UHFFFAOYSA-N -1 1 320.296 1.677 20 0 DDADMM C[C@@H]1CC[C@H](O)[C@@H]([C@H]2CCCCN2C(=O)CCc2nn[n-]n2)C1 ZINC000632820044 422603483 /nfs/dbraw/zinc/60/34/83/422603483.db2.gz CDUCUANDMUAJNK-SYQHCUMBSA-N -1 1 321.425 1.311 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@H]1CSc2ccccc2O1 ZINC000636076437 422620393 /nfs/dbraw/zinc/62/03/93/422620393.db2.gz XTTAKXRTUYPYPT-JTQLQIEISA-N -1 1 319.390 1.192 20 0 DDADMM Cn1nncc1S(=O)(=O)[N-]C1(C(F)(F)F)CCCCC1 ZINC000629350304 422626324 /nfs/dbraw/zinc/62/63/24/422626324.db2.gz LCCNVRABIVZERJ-UHFFFAOYSA-N -1 1 312.317 1.359 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(Cc2nnc(C3CC3)s2)CC1 ZINC000629362556 422634545 /nfs/dbraw/zinc/63/45/45/422634545.db2.gz XJEDTXFXULXGAK-UHFFFAOYSA-N -1 1 310.423 1.396 20 0 DDADMM O=C(CN1CCCCC1=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629374814 422635400 /nfs/dbraw/zinc/63/54/00/422635400.db2.gz VGRDJFBEVZTOCQ-UHFFFAOYSA-N -1 1 314.345 1.529 20 0 DDADMM CC(=O)N[C@H](C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C(C)C ZINC000629371653 422637186 /nfs/dbraw/zinc/63/71/86/422637186.db2.gz BAWBWTKKSRRKTR-HNNXBMFYSA-N -1 1 316.361 1.677 20 0 DDADMM C[C@]1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCC(=O)NC1 ZINC000629378964 422639899 /nfs/dbraw/zinc/63/98/99/422639899.db2.gz AIUOXHGXFIRTOP-INIZCTEOSA-N -1 1 314.345 1.433 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1[C@@H]2Cc3cc(F)ccc3[C@@H]21 ZINC000636228758 422731427 /nfs/dbraw/zinc/73/14/27/422731427.db2.gz HLHKFRHPIKZOQG-BYCMXARLSA-N -1 1 301.325 1.116 20 0 DDADMM Cc1cccc2nc(CNC(=O)[C@H]3[C@H](C(=O)[O-])C3(C)C)[nH]c21 ZINC000634828413 422674029 /nfs/dbraw/zinc/67/40/29/422674029.db2.gz VIZOEAHPWPXJOC-VXGBXAGGSA-N -1 1 301.346 1.844 20 0 DDADMM C[C@H](Cc1ccccc1)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000578963515 422678927 /nfs/dbraw/zinc/67/89/27/422678927.db2.gz UNUOFVSOKBZOPP-SNVBAGLBSA-N -1 1 313.361 1.514 20 0 DDADMM CCOC(=O)c1nn(C)cc1CN1CC[C@H](C)[C@H](C(=O)[O-])C1 ZINC000578987542 422682997 /nfs/dbraw/zinc/68/29/97/422682997.db2.gz FFPKVTCZRAYQTB-CMPLNLGQSA-N -1 1 309.366 1.139 20 0 DDADMM C[C@@H](CNc1ncc(C(=O)[O-])cn1)N1CCc2sccc2C1 ZINC000579014776 422690010 /nfs/dbraw/zinc/69/00/10/422690010.db2.gz WWZITMLUULVMJQ-JTQLQIEISA-N -1 1 318.402 1.517 20 0 DDADMM Cn1nccc1[C@@H]1COCCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000650880944 423298530 /nfs/dbraw/zinc/29/85/30/423298530.db2.gz ZCMKSVJGUSMOOX-ZDUSSCGKSA-N -1 1 321.764 1.993 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000653305782 423431087 /nfs/dbraw/zinc/43/10/87/423431087.db2.gz WLIQXEPRDIQVMF-ZDUSSCGKSA-N -1 1 312.410 1.058 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@@H]1CC[C@H](C(=O)[O-])C1)c1ccsc1 ZINC000653308973 423434384 /nfs/dbraw/zinc/43/43/84/423434384.db2.gz UUDXXNXUELJAQT-MDZLAQPJSA-N -1 1 310.419 1.968 20 0 DDADMM CN1CCCC[C@H]1C(=O)NC[C@](C)(C(=O)[O-])c1ccccc1 ZINC000653323714 423441284 /nfs/dbraw/zinc/44/12/84/423441284.db2.gz YTPBOFXETXTWED-YOEHRIQHSA-N -1 1 304.390 1.629 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCn1cnc2ccccc2c1=O ZINC000646409108 423477720 /nfs/dbraw/zinc/47/77/20/423477720.db2.gz HLCBFTWIRXUQKV-UHFFFAOYSA-N -1 1 313.317 1.162 20 0 DDADMM CC(C)(CCNC(=O)Nc1cnn(-c2ccncc2)c1)C(=O)[O-] ZINC000644170221 423528206 /nfs/dbraw/zinc/52/82/06/423528206.db2.gz FLMQYMIDWDRATG-UHFFFAOYSA-N -1 1 317.349 1.890 20 0 DDADMM CN(Cc1nc(=O)c2sccc2[n-]1)C[C@H]1CCc2ncnn21 ZINC000639589196 423591987 /nfs/dbraw/zinc/59/19/87/423591987.db2.gz NNTKECWWPIGOMR-SECBINFHSA-N -1 1 316.390 1.608 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)[C@@H](C)O1 ZINC000639572290 423583400 /nfs/dbraw/zinc/58/34/00/423583400.db2.gz YBYWGDYBXILGLH-VCDKRKBESA-N -1 1 301.350 1.219 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cnc2n1[C@@H](C)CCC2)c1nn[n-]n1 ZINC000651721022 423657883 /nfs/dbraw/zinc/65/78/83/423657883.db2.gz QMJWXBTZFQTVRF-UWVGGRQHSA-N -1 1 303.370 1.169 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)c3cn(C4CCC4)nn3)n2)o1 ZINC000649214225 423681545 /nfs/dbraw/zinc/68/15/45/423681545.db2.gz PNCOWIQWAALKOI-UHFFFAOYSA-N -1 1 313.321 1.942 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1sccc1Cl)C1N=NC(=O)N1C ZINC000641565691 423725095 /nfs/dbraw/zinc/72/50/95/423725095.db2.gz WOPNVNUEGOHLHB-YFKPBYRVSA-N -1 1 322.799 1.275 20 0 DDADMM O=S(=O)(CCOCC1CCC1)[N-]c1cccc2[nH]nnc21 ZINC000641688721 423865378 /nfs/dbraw/zinc/86/53/78/423865378.db2.gz LTDRSSKNUONRTH-UHFFFAOYSA-N -1 1 310.379 1.516 20 0 DDADMM O=S(=O)([N-]CC1(O)CCC1)c1ncccc1Br ZINC000656910279 423859379 /nfs/dbraw/zinc/85/93/79/423859379.db2.gz XGNVDFZMBMKWQL-UHFFFAOYSA-N -1 1 321.196 1.037 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]C(C)(C)C(F)F)[C@@H]1CCOC1 ZINC000656976594 423946868 /nfs/dbraw/zinc/94/68/68/423946868.db2.gz KINOOHHSDZUZJO-RKDXNWHRSA-N -1 1 301.355 1.001 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCO[C@@H]2CC2(F)F)sc1C ZINC000657118222 424126923 /nfs/dbraw/zinc/12/69/23/424126923.db2.gz RUJUQRCJTPJYRD-MRVPVSSYSA-N -1 1 312.363 1.462 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](O)C12CCC2)c1ccc(F)c(F)c1F ZINC000657184605 424197560 /nfs/dbraw/zinc/19/75/60/424197560.db2.gz KFSYPEXWCYPLGG-UWVGGRQHSA-N -1 1 321.320 1.686 20 0 DDADMM COc1cc(C(=O)NC[C@@](C)(CO)OC)cc(Cl)c1[O-] ZINC000655208954 424295800 /nfs/dbraw/zinc/29/58/00/424295800.db2.gz NFJSAIKKRCEJIX-ZDUSSCGKSA-N -1 1 303.742 1.181 20 0 DDADMM C[C@@H](O)C[C@H]1COCCN1C(=O)N=c1[n-]sc2ccccc21 ZINC000640339141 424358634 /nfs/dbraw/zinc/35/86/34/424358634.db2.gz MBPQFHRXQMMSKB-MNOVXSKESA-N -1 1 321.402 1.722 20 0 DDADMM CC1(C)CNC(=O)[C@H]1NC(=O)N=c1[n-]sc2ccccc21 ZINC000640342331 424362718 /nfs/dbraw/zinc/36/27/18/424362718.db2.gz IIPUFYNZULBCCL-SNVBAGLBSA-N -1 1 304.375 1.364 20 0 DDADMM CO[C@H]1CN(C(=O)N=c2[n-]sc3ccccc32)[C@](C)(CO)C1 ZINC000640343064 424362947 /nfs/dbraw/zinc/36/29/47/424362947.db2.gz OUYSNDUBRCQPDE-BMIGLBTASA-N -1 1 321.402 1.722 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cc(F)cc1F)c1nccn1C ZINC000660103833 424529436 /nfs/dbraw/zinc/52/94/36/424529436.db2.gz ILCYFJTZAXCASL-SSDOTTSWSA-N -1 1 319.308 1.877 20 0 DDADMM O=C([O-])CN(C(=O)c1ccc(-c2nnc[nH]2)cc1)C1CCC1 ZINC000655588254 424583350 /nfs/dbraw/zinc/58/33/50/424583350.db2.gz ZTRSMJBFVGNHBX-UHFFFAOYSA-N -1 1 300.318 1.551 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@H](OC(C)(C)C)C1 ZINC000655653989 424614453 /nfs/dbraw/zinc/61/44/53/424614453.db2.gz DFCXCAUXFATHNS-KYZUINATSA-N -1 1 303.384 1.190 20 0 DDADMM C[C@H](Nc1nc2[nH][n-]cc-2c(=O)n1)c1ccc2c(c1)CCCO2 ZINC000664684007 424683977 /nfs/dbraw/zinc/68/39/77/424683977.db2.gz ALIZVCASAMVJTI-VIFPVBQESA-N -1 1 311.345 1.930 20 0 DDADMM C[C@@H](CNC(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000655862097 424684672 /nfs/dbraw/zinc/68/46/72/424684672.db2.gz XNGKCSRITFCIKY-LBPRGKRZSA-N -1 1 305.378 1.065 20 0 DDADMM CCOc1ccccc1NC(=O)CCN(C)Cc1n[n-]c(=O)o1 ZINC000660847719 424788635 /nfs/dbraw/zinc/78/86/35/424788635.db2.gz RZZPAHSRUNSORL-UHFFFAOYSA-N -1 1 320.349 1.635 20 0 DDADMM Oc1cccc(CNC[C@@H]2COCCO2)c1Br ZINC000315719985 271015434 /nfs/dbraw/zinc/01/54/34/271015434.db2.gz NDJQDNTXISGANE-SNVBAGLBSA-N -1 1 302.168 1.660 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N(C)Cc1ncc[nH]1)c2=O ZINC000341607427 271138853 /nfs/dbraw/zinc/13/88/53/271138853.db2.gz LJJQTKFLCGZMLD-UHFFFAOYSA-N -1 1 312.329 1.532 20 0 DDADMM C[C@H]1C[C@H](CCNC(=O)c2nc3ccccc3c(=O)[n-]2)CCO1 ZINC000342359408 271387867 /nfs/dbraw/zinc/38/78/67/271387867.db2.gz PYYJKIBVTQEZPJ-NWDGAFQWSA-N -1 1 315.373 1.858 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CSC[C@H]1C ZINC000342362152 271389973 /nfs/dbraw/zinc/38/99/73/271389973.db2.gz YOTBGXSFDZQHSK-PELKAZGASA-N -1 1 320.418 1.462 20 0 DDADMM NC(=O)[C@@H]1CCCCC[C@@H]1N=c1nc(C(F)(F)F)[n-]s1 ZINC000342775183 271514322 /nfs/dbraw/zinc/51/43/22/271514322.db2.gz DFHIGDDEEZQHCA-RQJHMYQMSA-N -1 1 308.329 1.825 20 0 DDADMM COC(=O)c1ccc(C(=O)N=c2ccn(CCC(C)C)[nH]2)[n-]1 ZINC000343050046 271628011 /nfs/dbraw/zinc/62/80/11/271628011.db2.gz CRQUUPWIBQHBLD-UHFFFAOYSA-N -1 1 304.350 1.718 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCCCS2)co1 ZINC000344679720 272088332 /nfs/dbraw/zinc/08/83/32/272088332.db2.gz QEVZLWMKPMCCBB-JTQLQIEISA-N -1 1 318.420 1.203 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCSC(C)C)o1 ZINC000344808354 272123516 /nfs/dbraw/zinc/12/35/16/272123516.db2.gz ADYJZJRBLMRTRM-UHFFFAOYSA-N -1 1 321.420 1.876 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCC2(F)CCC2)c1 ZINC000344899179 272137495 /nfs/dbraw/zinc/13/74/95/272137495.db2.gz ZHTJRCSELNTHKT-UHFFFAOYSA-N -1 1 305.327 1.627 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3ccn(C)c3)no2)o1 ZINC000347351457 272670350 /nfs/dbraw/zinc/67/03/50/272670350.db2.gz BLTHTGDWRBMIRI-UHFFFAOYSA-N -1 1 308.319 1.243 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N1CCO[C@H]2CCCC[C@H]21)C(=O)[O-] ZINC000263042690 287750381 /nfs/dbraw/zinc/75/03/81/287750381.db2.gz CPFWHKUQHLFBNG-XFMPKHEZSA-N -1 1 312.410 1.245 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cn(-c2ccc(Cl)c(Cl)c2)cn1 ZINC000435709123 275002956 /nfs/dbraw/zinc/00/29/56/275002956.db2.gz NGLMJTHAIQYTBU-UHFFFAOYSA-N -1 1 324.131 1.945 20 0 DDADMM O=C(Nc1nn[n-]n1)c1csc(-c2ccc(F)c(F)c2)n1 ZINC000183284162 275003136 /nfs/dbraw/zinc/00/31/36/275003136.db2.gz MNYTVYCXDJAHHR-UHFFFAOYSA-N -1 1 308.273 1.854 20 0 DDADMM CC(C)c1nnc2n1CCN(C(=O)c1ncccc1[O-])[C@@H]2C ZINC000188798858 278107513 /nfs/dbraw/zinc/10/75/13/278107513.db2.gz RFRTYAXXCUQBME-SNVBAGLBSA-N -1 1 301.350 1.719 20 0 DDADMM CCC[C@@H](CCO)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000075542352 280965065 /nfs/dbraw/zinc/96/50/65/280965065.db2.gz BVUMXTSZTGAOMA-NSHDSACASA-N -1 1 303.362 1.452 20 0 DDADMM CN(C)C(=O)C(C)(C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084937949 281014730 /nfs/dbraw/zinc/01/47/30/281014730.db2.gz LLXFTPOBECTXSR-UHFFFAOYSA-N -1 1 310.375 1.578 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2cc(C)c(F)cc2Cl)no1 ZINC000121704984 281142667 /nfs/dbraw/zinc/14/26/67/281142667.db2.gz IQMXCHRSOHIYBR-UHFFFAOYSA-N -1 1 319.745 1.957 20 0 DDADMM CCC[C@@H](NC(=O)CSCc1cscn1)c1nn[n-]n1 ZINC000124738173 281194958 /nfs/dbraw/zinc/19/49/58/281194958.db2.gz ZJDBADVWPKILPX-SECBINFHSA-N -1 1 312.424 1.547 20 0 DDADMM C[C@H]1CC[C@@H](CCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)O1 ZINC000331396876 533692081 /nfs/dbraw/zinc/69/20/81/533692081.db2.gz KWPYWJJTOXQJNR-JQWIXIFHSA-N -1 1 309.366 1.829 20 0 DDADMM O=C(c1ncccc1[O-])N1CCNC(=O)C[C@H]1c1ccccc1 ZINC000153608709 304610403 /nfs/dbraw/zinc/61/04/03/304610403.db2.gz FIHGBALKAXJHLG-ZDUSSCGKSA-N -1 1 311.341 1.491 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCCSC2)o1 ZINC000362107331 300007008 /nfs/dbraw/zinc/00/70/08/300007008.db2.gz SZKQTSQAZIYDIO-SECBINFHSA-N -1 1 319.404 1.630 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCc2n[nH]nc2C1 ZINC000362203133 300028152 /nfs/dbraw/zinc/02/81/52/300028152.db2.gz OGGWSDNSPULDAG-UHFFFAOYSA-N -1 1 316.365 1.105 20 0 DDADMM C[C@H]1CC[C@@](C)(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1(C)C ZINC000411751488 300048637 /nfs/dbraw/zinc/04/86/37/300048637.db2.gz BVUBYDUEEJEINW-SDBXPKJASA-N -1 1 314.411 1.609 20 0 DDADMM C[C@H]1CC[C@@](C)(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1(C)C ZINC000411751488 300048638 /nfs/dbraw/zinc/04/86/38/300048638.db2.gz BVUBYDUEEJEINW-SDBXPKJASA-N -1 1 314.411 1.609 20 0 DDADMM C[C@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCC1(F)F ZINC000289280344 300094165 /nfs/dbraw/zinc/09/41/65/300094165.db2.gz ADANPQSYIIXFQR-SHDGIHNSSA-N -1 1 309.320 1.851 20 0 DDADMM CCOc1cc(C(=O)N2C[C@H](O)C[C@@H]2CO)cc(Cl)c1[O-] ZINC000375176731 301908411 /nfs/dbraw/zinc/90/84/11/301908411.db2.gz PFOYWTLJPMLPEI-NXEZZACHSA-N -1 1 315.753 1.012 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(C2(C)CC2)CC1 ZINC000398275891 302357896 /nfs/dbraw/zinc/35/78/96/302357896.db2.gz KCRULLPDWWXXRF-UHFFFAOYSA-N -1 1 313.379 1.197 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCOC2(CCC2)C1 ZINC000127969669 302559691 /nfs/dbraw/zinc/55/96/91/302559691.db2.gz TVRWAOPSQMVLID-UHFFFAOYSA-N -1 1 319.405 1.771 20 0 DDADMM Cc1cnc(SCC(=O)NC(=O)NC2CCCCC2)[n-]c1=O ZINC000520611880 302865510 /nfs/dbraw/zinc/86/55/10/302865510.db2.gz UIQNIMQBZKBNGC-UHFFFAOYSA-N -1 1 324.406 1.741 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(C[C@@H](O)c2ccc(F)cc2Cl)C1 ZINC000530169336 303178606 /nfs/dbraw/zinc/17/86/06/303178606.db2.gz KGPROOIDJZNKHC-TZMCWYRMSA-N -1 1 317.744 1.688 20 0 DDADMM C[C@@](O)(CN1CCc2c(cccc2C(F)(F)F)C1)C(=O)[O-] ZINC000530172433 303179689 /nfs/dbraw/zinc/17/96/89/303179689.db2.gz MIVDBYOPUJFDIV-CYBMUJFWSA-N -1 1 303.280 1.899 20 0 DDADMM CCc1noc(CC)c1CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000531268020 303237338 /nfs/dbraw/zinc/23/73/38/303237338.db2.gz DXMBKZBFQZEUIF-UHFFFAOYSA-N -1 1 318.381 1.441 20 0 DDADMM CC(C)c1ncc(C(=O)NC2(c3nn[n-]n3)CCCC2)s1 ZINC000532565002 303290501 /nfs/dbraw/zinc/29/05/01/303290501.db2.gz DRGCQQNXNJXQSA-UHFFFAOYSA-N -1 1 306.395 1.979 20 0 DDADMM O=C(c1ccc(-n2ccnc2)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000533113503 303309620 /nfs/dbraw/zinc/30/96/20/303309620.db2.gz PNBCNPLHFBIIEP-ZDUSSCGKSA-N -1 1 323.360 1.405 20 0 DDADMM C[C@@]1(CCC(=O)Nc2n[n-]c(C(F)(F)F)n2)CCC(=O)N1 ZINC000536142786 303356729 /nfs/dbraw/zinc/35/67/29/303356729.db2.gz WZOLEYLRIWONJR-SNVBAGLBSA-N -1 1 305.260 1.211 20 0 DDADMM COc1ccc(-c2nc(-c3ccc(C(N)=O)nc3)no2)c([O-])c1 ZINC000544588868 303474660 /nfs/dbraw/zinc/47/46/60/303474660.db2.gz RDQKJRIKFNTQAA-UHFFFAOYSA-N -1 1 312.285 1.612 20 0 DDADMM Cn1nc(CN2CCN(C3CC3)c3cc(F)ccc32)[n-]c1=O ZINC000547846728 303555055 /nfs/dbraw/zinc/55/50/55/303555055.db2.gz NTTIJVRLNLQYAY-UHFFFAOYSA-N -1 1 303.341 1.237 20 0 DDADMM COc1ccccc1[C@@H](CNC(=O)CCC(=O)[O-])N1CCCC1 ZINC000551953900 303646735 /nfs/dbraw/zinc/64/67/35/303646735.db2.gz AEHPGSUTJHSFLU-CQSZACIVSA-N -1 1 320.389 1.813 20 0 DDADMM CC(=O)N1CCC[C@@H]1C(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000563182022 303929863 /nfs/dbraw/zinc/92/98/63/303929863.db2.gz LNMDCGBEXPEGFH-CQSZACIVSA-N -1 1 314.345 1.732 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2cnn(C)c2C)c(=O)[n-]1 ZINC000362693128 307025568 /nfs/dbraw/zinc/02/55/68/307025568.db2.gz SZAUOZYEZRMCSL-UHFFFAOYSA-N -1 1 321.406 1.826 20 0 DDADMM COc1cccc2c1OC[C@@H](NC(=O)c1cncc([O-])c1)C2 ZINC000367259745 307090180 /nfs/dbraw/zinc/09/01/80/307090180.db2.gz JUJXDEVQGKZVFX-LBPRGKRZSA-N -1 1 300.314 1.529 20 0 DDADMM O=C(CCCc1cccs1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370849295 307138371 /nfs/dbraw/zinc/13/83/71/307138371.db2.gz CQVHXZNYENEZKY-LLVKDONJSA-N -1 1 307.379 1.184 20 0 DDADMM CC(=CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1cccc(F)c1 ZINC000370865889 307138785 /nfs/dbraw/zinc/13/87/85/307138785.db2.gz AGMFTHPIBFTVPY-UTSBKAFOSA-N -1 1 317.324 1.342 20 0 DDADMM Cn1ccc(N2CC[C@@H](NC(=O)c3ccc(Cl)cc3[O-])C2)n1 ZINC000370928974 307139673 /nfs/dbraw/zinc/13/96/73/307139673.db2.gz RBELKYRERXJUQJ-LLVKDONJSA-N -1 1 320.780 1.788 20 0 DDADMM O=C(c1cncc([O-])c1)N1CC(n2cc(-c3ccccc3)nn2)C1 ZINC000371666045 307155830 /nfs/dbraw/zinc/15/58/30/307155830.db2.gz QZBGJEZOMNSQMJ-UHFFFAOYSA-N -1 1 321.340 1.743 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC3(CCC3)C[C@@H]2C)o1 ZINC000372908540 307176226 /nfs/dbraw/zinc/17/62/26/307176226.db2.gz CTXOKAIWLASFLP-JTQLQIEISA-N -1 1 312.391 1.592 20 0 DDADMM O=C(NCc1noc(-c2cccc(F)c2)n1)c1ncccc1[O-] ZINC000373599193 307191579 /nfs/dbraw/zinc/19/15/79/307191579.db2.gz XTDUWUZRQAMFMG-UHFFFAOYSA-N -1 1 314.276 1.906 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCOC[C@@H](C)C1 ZINC000374364837 307206551 /nfs/dbraw/zinc/20/65/51/307206551.db2.gz HZEXZMAZTDXNQU-JTQLQIEISA-N -1 1 318.377 1.089 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)c1ccn[nH]1 ZINC000375965738 307236412 /nfs/dbraw/zinc/23/64/12/307236412.db2.gz ZDUBSVZUINNNLE-JTQLQIEISA-N -1 1 321.703 1.235 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC([C@@H]2CCOC2)C1 ZINC000376912173 307258583 /nfs/dbraw/zinc/25/85/83/307258583.db2.gz VBFAWHMYOVBBPL-LLVKDONJSA-N -1 1 319.405 1.897 20 0 DDADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2ccc(F)cc2F)o1 ZINC000532096442 307624936 /nfs/dbraw/zinc/62/49/36/307624936.db2.gz WQCJFHHCWGRDQQ-ZCFIWIBFSA-N -1 1 303.290 1.696 20 0 DDADMM CO[C@H]1CCN([C@@H](C)C(=O)NCc2ccc(C(=O)[O-])cc2)C1 ZINC000565104687 308020751 /nfs/dbraw/zinc/02/07/51/308020751.db2.gz OXZWFQCUXQOKOD-FZMZJTMJSA-N -1 1 306.362 1.110 20 0 DDADMM C(N=c1[n-]nc(C2CCOCC2)s1)c1n[nH]c(C2CC2)n1 ZINC000565520915 308033243 /nfs/dbraw/zinc/03/32/43/308033243.db2.gz KUSLWKXXPRZLMD-UHFFFAOYSA-N -1 1 306.395 1.462 20 0 DDADMM CO[C@@H]1C[C@H](C(=O)[O-])N(C[C@H](O)COc2cc(C)cc(C)c2)C1 ZINC000566075220 308042702 /nfs/dbraw/zinc/04/27/02/308042702.db2.gz ZDJOTVUCZWDAKA-NUEKZKHPSA-N -1 1 323.389 1.217 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H]2CO[C@@H](C)C2)c(=O)[n-]1 ZINC000567942645 308101265 /nfs/dbraw/zinc/10/12/65/308101265.db2.gz QYFOVQKHXFWFQW-WCBMZHEXSA-N -1 1 311.407 1.439 20 0 DDADMM Cc1cc(=O)[nH]c2cc(C(=O)NC(C)(C)c3nn[n-]n3)ccc12 ZINC000569991855 308155720 /nfs/dbraw/zinc/15/57/20/308155720.db2.gz HLDVFBHYQROWAJ-UHFFFAOYSA-N -1 1 312.333 1.427 20 0 DDADMM CC(C)(C)c1cc(NCc2n[nH]c(C3CC3)n2)nc(C(=O)[O-])n1 ZINC000570048388 308157952 /nfs/dbraw/zinc/15/79/52/308157952.db2.gz SRDUYKNKYFGBOU-UHFFFAOYSA-N -1 1 316.365 1.502 20 0 DDADMM CC1(C)CN(C(=O)c2cccc3nn[nH]c32)C[C@@]2(CCOC2)O1 ZINC000570259385 308164681 /nfs/dbraw/zinc/16/46/81/308164681.db2.gz OQFBRKDDMXKPHN-MRXNPFEDSA-N -1 1 316.361 1.368 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C[C@@H](C)n3ccnc32)sc1C ZINC000571393902 308195473 /nfs/dbraw/zinc/19/54/73/308195473.db2.gz IXYRIXRKXRZJQB-GMSGAONNSA-N -1 1 312.420 1.941 20 0 DDADMM CN1CC[C@@H](CNC(=O)c2c[nH]c3ccccc3c2=O)CC1=O ZINC000573599078 308246607 /nfs/dbraw/zinc/24/66/07/308246607.db2.gz SOKZMIRHOKXIKU-LLVKDONJSA-N -1 1 313.357 1.126 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@H]1CCC(=O)c2cccn21 ZINC000577454472 308388522 /nfs/dbraw/zinc/38/85/22/308388522.db2.gz AOOBGNGYKVSOSM-SSDOTTSWSA-N -1 1 313.239 1.781 20 0 DDADMM CCOc1ccc(OCCN2C[C@@H](OC)C[C@@H]2C(=O)[O-])cc1 ZINC000577521595 308395429 /nfs/dbraw/zinc/39/54/29/308395429.db2.gz JRKVJKBABZHMGF-LSDHHAIUSA-N -1 1 309.362 1.638 20 0 DDADMM NC(=O)CCCNC(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000582678091 337122555 /nfs/dbraw/zinc/12/25/55/337122555.db2.gz FUBJTXYOOZBHGZ-UHFFFAOYSA-N -1 1 307.737 1.589 20 0 DDADMM Cn1ccc(=NC(=O)CN=c2nc(C3CCCCC3)[n-]s2)[nH]1 ZINC000582898349 337212528 /nfs/dbraw/zinc/21/25/28/337212528.db2.gz UTUJWGAOFKKIEC-UHFFFAOYSA-N -1 1 320.422 1.214 20 0 DDADMM CCN=c1[nH]cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)s1 ZINC000425304170 484117647 /nfs/dbraw/zinc/11/76/47/484117647.db2.gz PORUFKNMELAJJV-QMMMGPOBSA-N -1 1 323.378 1.105 20 0 DDADMM CC(C)CC1(CN=c2ccc(C(=O)NCCO)n[n-]2)CCC1 ZINC000490124302 484227422 /nfs/dbraw/zinc/22/74/22/484227422.db2.gz BVLLUEYPHUDGOW-UHFFFAOYSA-N -1 1 306.410 1.249 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cncc(F)c1)[C@@H]1CCOC1 ZINC000656736531 484308390 /nfs/dbraw/zinc/30/83/90/484308390.db2.gz BXQVJNZROWSRLX-SKDRFNHKSA-N -1 1 304.343 1.014 20 0 DDADMM CN(CCNC(=O)[C@H](C(=O)[O-])C1CC1)Cc1ccc(F)cc1 ZINC000663107631 484673305 /nfs/dbraw/zinc/67/33/05/484673305.db2.gz KQKYRDIYOCTRAP-CQSZACIVSA-N -1 1 308.353 1.485 20 0 DDADMM C[C@H](C(=O)Nc1cnn(C(C)(C)C(=O)[O-])c1)N1CCCCCC1 ZINC000663129238 484691558 /nfs/dbraw/zinc/69/15/58/484691558.db2.gz WGDIJCTVFQGFSF-GFCCVEGCSA-N -1 1 322.409 1.906 20 0 DDADMM O=C([O-])[C@H](NC(=O)NC[C@H]1CCN1Cc1ccccc1)C1CC1 ZINC000663271239 484777014 /nfs/dbraw/zinc/77/70/14/484777014.db2.gz KJKOBOZQIOBSMW-HUUCEWRRSA-N -1 1 317.389 1.423 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000663272233 484778058 /nfs/dbraw/zinc/77/80/58/484778058.db2.gz VCHNEYKDFWOUAH-AWEZNQCLSA-N -1 1 305.378 1.377 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(=O)[nH]1 ZINC000670384180 484802032 /nfs/dbraw/zinc/80/20/32/484802032.db2.gz HECDGAQJXIRIMM-JTQLQIEISA-N -1 1 318.333 1.512 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCc2ccc(F)cc21 ZINC000667951387 484865800 /nfs/dbraw/zinc/86/58/00/484865800.db2.gz RBOAVCLDAFPOBX-JTQLQIEISA-N -1 1 319.292 1.453 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCc2ccc(C)cc21 ZINC000667951358 484866168 /nfs/dbraw/zinc/86/61/68/484866168.db2.gz OYWGMTMREDUGBS-NSHDSACASA-N -1 1 315.329 1.622 20 0 DDADMM CC[C@@H]1OCC[C@H]1[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000668281634 485075412 /nfs/dbraw/zinc/07/54/12/485075412.db2.gz GFSHMFXHXLOROU-NEPJUHHUSA-N -1 1 321.345 1.819 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1cnn(C[C@@H]2CCCO2)c1 ZINC000666298102 485163777 /nfs/dbraw/zinc/16/37/77/485163777.db2.gz IFJPPSPTAUQQGY-LBPRGKRZSA-N -1 1 317.411 1.229 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@@H]1CCc2cc(F)ccc21 ZINC000668868821 485345276 /nfs/dbraw/zinc/34/52/76/485345276.db2.gz FXBFNOVVBYYENL-CYBMUJFWSA-N -1 1 315.352 1.954 20 0 DDADMM CCc1nn(C)cc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000678409190 485573797 /nfs/dbraw/zinc/57/37/97/485573797.db2.gz BSLOMDAGVAWGPW-ZDUSSCGKSA-N -1 1 311.349 1.015 20 0 DDADMM O=C(c1cc2ccsc2[nH]1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000678754780 485675629 /nfs/dbraw/zinc/67/56/29/485675629.db2.gz NHHIGNNRTVTXNT-SECBINFHSA-N -1 1 302.363 1.762 20 0 DDADMM CCC[C@H](NC(=O)C(=O)c1ccc2c(c1)CCC2)c1nn[n-]n1 ZINC000675144214 485865670 /nfs/dbraw/zinc/86/56/70/485865670.db2.gz JRGNCVIRUXKOHQ-ZDUSSCGKSA-N -1 1 313.361 1.529 20 0 DDADMM CCC(C)(C)c1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC000684145509 486263880 /nfs/dbraw/zinc/26/38/80/486263880.db2.gz FXUJOLITMKIICZ-UHFFFAOYSA-N -1 1 316.365 1.142 20 0 DDADMM CCOc1cc(C(=O)Nc2cc(C(N)=O)[nH]n2)cc(Cl)c1[O-] ZINC000680817496 486272315 /nfs/dbraw/zinc/27/23/15/486272315.db2.gz MYFXWKPUSWKKOS-UHFFFAOYSA-N -1 1 324.724 1.519 20 0 DDADMM Cc1cc(C)c(Br)c(C(=O)NCc2nn[n-]n2)c1 ZINC000680868981 486290045 /nfs/dbraw/zinc/29/00/45/486290045.db2.gz NSUFMPVLGUHWDT-UHFFFAOYSA-N -1 1 310.155 1.509 20 0 DDADMM COCCc1noc(CN(C)C(=O)c2ccc([O-])c(F)c2)n1 ZINC000681055514 486333412 /nfs/dbraw/zinc/33/34/12/486333412.db2.gz MQMCYJKDQKWKLY-UHFFFAOYSA-N -1 1 309.297 1.375 20 0 DDADMM CN(C)c1ncc(CN(C)C(=O)c2ccc([O-])c(F)c2)cn1 ZINC000681069011 486336502 /nfs/dbraw/zinc/33/65/02/486336502.db2.gz VFVZUEOBTOQQMR-UHFFFAOYSA-N -1 1 304.325 1.660 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H](C)C[C@H](C)O)c(F)c1 ZINC000676859771 486348579 /nfs/dbraw/zinc/34/85/79/486348579.db2.gz QWHJTSFDRPZBIX-IUCAKERBSA-N -1 1 323.361 1.659 20 0 DDADMM CC[C@H](C)[C@H](OC)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000684566905 486384645 /nfs/dbraw/zinc/38/46/45/486384645.db2.gz JBPJXEJCODEMIF-UHTWSYAYSA-N -1 1 303.366 1.466 20 0 DDADMM Cc1c(C(=O)Nc2c([O-])cccc2F)cccc1S(N)(=O)=O ZINC000677204489 486418053 /nfs/dbraw/zinc/41/80/53/486418053.db2.gz KSSYICQVIHELRB-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM CNC(=O)[C@@H]1CCCN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000684874352 486449894 /nfs/dbraw/zinc/44/98/94/486449894.db2.gz QONUYKSFZRZTRT-JTQLQIEISA-N -1 1 316.279 1.762 20 0 DDADMM CC[C@@H]1CN(C(=O)C2CC2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000681738446 486506918 /nfs/dbraw/zinc/50/69/18/486506918.db2.gz LKMUFNDUVPNAKW-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM O=C(c1c[nH]nc1-c1cccnc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677928403 486581994 /nfs/dbraw/zinc/58/19/94/486581994.db2.gz XOXYOWSNIHBLED-NSHDSACASA-N -1 1 324.348 1.005 20 0 DDADMM Cc1ccc(CN[C@H]2CCN([C@H](C(=O)[O-])C(C)C)C2=O)cc1F ZINC000414647402 534090336 /nfs/dbraw/zinc/09/03/36/534090336.db2.gz CCFZMGAWANVOAJ-GJZGRUSLSA-N -1 1 322.380 1.934 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](C)[C@@H](O)Cc2ccccc2)c([O-])c1 ZINC000427668431 534530993 /nfs/dbraw/zinc/53/09/93/534530993.db2.gz NGCKFLSWAUFDIX-JSGCOSHPSA-N -1 1 300.358 1.818 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC[C@H](n2ccnn2)C1 ZINC000424998023 534648294 /nfs/dbraw/zinc/64/82/94/534648294.db2.gz DBBGPEXNMNXNTO-VIFPVBQESA-N -1 1 308.288 1.739 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCC[C@H](F)C2)c1 ZINC000424755446 534703416 /nfs/dbraw/zinc/70/34/16/534703416.db2.gz CMCOKMDQYDUAJA-BDAKNGLRSA-N -1 1 316.354 1.506 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1ccc(O)cc1Cl)c1nn[n-]n1 ZINC000156557907 524561438 /nfs/dbraw/zinc/56/14/38/524561438.db2.gz BMAOUIWVYKETSI-QMMMGPOBSA-N -1 1 309.757 1.363 20 0 DDADMM O=S(=O)([N-]c1ccn(CCC(F)(F)F)n1)N1CCCC1 ZINC000424077630 534871902 /nfs/dbraw/zinc/87/19/02/534871902.db2.gz BPDQWCCPQALRLZ-UHFFFAOYSA-N -1 1 312.317 1.588 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000299633011 526394333 /nfs/dbraw/zinc/39/43/33/526394333.db2.gz LVZBYSSSCOZWBJ-UHFFFAOYSA-N -1 1 321.377 1.917 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)N1CCOc2ccccc2C1 ZINC000364219513 526496790 /nfs/dbraw/zinc/49/67/90/526496790.db2.gz NBHRXRPCRAYJNU-UHFFFAOYSA-N -1 1 316.279 1.476 20 0 DDADMM CC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CCCO1)c1ccccc1F ZINC000416651612 527149490 /nfs/dbraw/zinc/14/94/90/527149490.db2.gz RRQPIYCGMQOHRD-FZMZJTMJSA-N -1 1 315.366 1.554 20 0 DDADMM CC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CCCO1)c1ccccc1F ZINC000416651613 527149524 /nfs/dbraw/zinc/14/95/24/527149524.db2.gz RRQPIYCGMQOHRD-RISCZKNCSA-N -1 1 315.366 1.554 20 0 DDADMM CCO[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000452032417 527788375 /nfs/dbraw/zinc/78/83/75/527788375.db2.gz AWVFRVNADMJWFV-GHMZBOCLSA-N -1 1 323.773 1.561 20 0 DDADMM CCN(CC)CCS(=O)(=O)NCC(CC)(CC)C(=O)[O-] ZINC000424367806 528158587 /nfs/dbraw/zinc/15/85/87/528158587.db2.gz NXWHYIHTTNCSOA-UHFFFAOYSA-N -1 1 308.444 1.139 20 0 DDADMM CCCCCN(CC(N)=O)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000296762316 528165928 /nfs/dbraw/zinc/16/59/28/528165928.db2.gz LQSNHRCVKNXCTJ-UHFFFAOYSA-N -1 1 321.406 1.136 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2csc(CC)n2)[n-]n1 ZINC000413110231 528230650 /nfs/dbraw/zinc/23/06/50/528230650.db2.gz HLUAUOOXNPHWAO-UHFFFAOYSA-N -1 1 305.407 1.322 20 0 DDADMM CC1(C)COC[C@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000451962072 529134778 /nfs/dbraw/zinc/13/47/78/529134778.db2.gz FLAHVVIAZPGJAK-LLVKDONJSA-N -1 1 309.309 1.807 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc2cc(F)ccc2o1)C(=O)OC ZINC000451306312 529195349 /nfs/dbraw/zinc/19/53/49/529195349.db2.gz RYSDKUBRRKRMTB-SNVBAGLBSA-N -1 1 315.322 1.802 20 0 DDADMM CN(Cc1cc[nH]n1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736812025 598969278 /nfs/dbraw/zinc/96/92/78/598969278.db2.gz ANQZYSRRUXNIOG-UHFFFAOYSA-N -1 1 307.321 1.169 20 0 DDADMM CN(Cc1cc[nH]n1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736812025 598969280 /nfs/dbraw/zinc/96/92/80/598969280.db2.gz ANQZYSRRUXNIOG-UHFFFAOYSA-N -1 1 307.321 1.169 20 0 DDADMM C[C@@H]1CCCC[C@H]1N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736274337 598987658 /nfs/dbraw/zinc/98/76/58/598987658.db2.gz ULVAVNFNKJRZBF-ZWNOBZJWSA-N -1 1 300.366 1.912 20 0 DDADMM C[C@@H]1CCCC[C@H]1N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736274337 598987660 /nfs/dbraw/zinc/98/76/60/598987660.db2.gz ULVAVNFNKJRZBF-ZWNOBZJWSA-N -1 1 300.366 1.912 20 0 DDADMM Cc1ccc(CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C2CC2)o1 ZINC000737336405 598994484 /nfs/dbraw/zinc/99/44/84/598994484.db2.gz BVDKVEMNKXNMQG-UHFFFAOYSA-N -1 1 324.344 1.968 20 0 DDADMM Cc1ccc(CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C2CC2)o1 ZINC000737336405 598994486 /nfs/dbraw/zinc/99/44/86/598994486.db2.gz BVDKVEMNKXNMQG-UHFFFAOYSA-N -1 1 324.344 1.968 20 0 DDADMM Cc1cccc2c1CC[C@@H]2NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737408663 599003140 /nfs/dbraw/zinc/00/31/40/599003140.db2.gz LAHAJSQIBUPDBK-AWEZNQCLSA-N -1 1 320.356 1.987 20 0 DDADMM Cc1cccc2c1CC[C@@H]2NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737408663 599003142 /nfs/dbraw/zinc/00/31/42/599003142.db2.gz LAHAJSQIBUPDBK-AWEZNQCLSA-N -1 1 320.356 1.987 20 0 DDADMM O=C([O-])C1CCN(CC(=O)N2CCC[C@@H](C(F)(F)F)C2)CC1 ZINC000740482159 596917411 /nfs/dbraw/zinc/91/74/11/596917411.db2.gz MOYIZCGLNZWAHX-LLVKDONJSA-N -1 1 322.327 1.584 20 0 DDADMM Nc1nc(CN2CCC[C@@H](CC(=O)[O-])C2)nc2ccccc12 ZINC000819130522 597074142 /nfs/dbraw/zinc/07/41/42/597074142.db2.gz AJRPZBPBDCZXBK-NSHDSACASA-N -1 1 300.362 1.899 20 0 DDADMM Cc1cc(NCc2ccc(-c3nnn[n-]3)o2)ccc1-n1cnnn1 ZINC000822209671 607312793 /nfs/dbraw/zinc/31/27/93/607312793.db2.gz REHMFTZQWCOACA-UHFFFAOYSA-N -1 1 323.320 1.356 20 0 DDADMM Cc1cc(NCc2ccc(-c3nn[n-]n3)o2)ccc1-n1cnnn1 ZINC000822209671 607312794 /nfs/dbraw/zinc/31/27/94/607312794.db2.gz REHMFTZQWCOACA-UHFFFAOYSA-N -1 1 323.320 1.356 20 0 DDADMM Cc1ccc(CN(C(=O)CN2CC[C@@H](C(=O)[O-])C2)C2CC2)o1 ZINC000818890836 597528570 /nfs/dbraw/zinc/52/85/70/597528570.db2.gz VCIRMUWMRVVEAW-GFCCVEGCSA-N -1 1 306.362 1.486 20 0 DDADMM Cc1ccn(CCCOCC(F)(F)F)c(=O)c1-c1nn[n-]n1 ZINC000822369078 607349857 /nfs/dbraw/zinc/34/98/57/607349857.db2.gz AAYRZPGZMCLHLG-UHFFFAOYSA-N -1 1 317.271 1.306 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(Sc2ccc3c(c2)OCCO3)n1 ZINC000738445372 598336979 /nfs/dbraw/zinc/33/69/79/598336979.db2.gz GENJZIMLHGWWOS-UHFFFAOYSA-N -1 1 314.330 1.579 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(Nc2ccc(N3CCCC3)nc2)n1 ZINC000738445893 598341757 /nfs/dbraw/zinc/34/17/57/598341757.db2.gz ODTCTXYNJHBOSX-UHFFFAOYSA-N -1 1 309.337 1.396 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(Nc2ccc(N3CCCC3)nc2)n1 ZINC000738445893 598341758 /nfs/dbraw/zinc/34/17/58/598341758.db2.gz ODTCTXYNJHBOSX-UHFFFAOYSA-N -1 1 309.337 1.396 20 0 DDADMM Cc1cc(C)n(CCOC(=O)c2sccc2-c2nn[n-]n2)n1 ZINC000737256924 598599468 /nfs/dbraw/zinc/59/94/68/598599468.db2.gz OZELEKACNOOGMK-UHFFFAOYSA-N -1 1 318.362 1.599 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1Cc1cc(-c2ccco2)on1 ZINC000738371211 598677559 /nfs/dbraw/zinc/67/75/59/598677559.db2.gz HJJUSYJOBJWGQR-UHFFFAOYSA-N -1 1 310.273 1.325 20 0 DDADMM CC[C@H]1CN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C[C@H](C)O1 ZINC000736407618 598678492 /nfs/dbraw/zinc/67/84/92/598678492.db2.gz PPLPZIPRKQNSTD-IUCAKERBSA-N -1 1 308.773 1.919 20 0 DDADMM CC[C@H]1CN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C[C@H](C)O1 ZINC000736407618 598678493 /nfs/dbraw/zinc/67/84/93/598678493.db2.gz PPLPZIPRKQNSTD-IUCAKERBSA-N -1 1 308.773 1.919 20 0 DDADMM C[C@H](O)C1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000736142065 598680010 /nfs/dbraw/zinc/68/00/10/598680010.db2.gz CHKMITAZNGZYDS-QMMMGPOBSA-N -1 1 308.773 1.512 20 0 DDADMM C[C@H](O)C1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000736142065 598680012 /nfs/dbraw/zinc/68/00/12/598680012.db2.gz CHKMITAZNGZYDS-QMMMGPOBSA-N -1 1 308.773 1.512 20 0 DDADMM CC(=CC(=O)n1ncc(-c2nn[n-]n2)c1N)c1cccc(F)c1 ZINC000735448056 598718550 /nfs/dbraw/zinc/71/85/50/598718550.db2.gz OEWUAKQPWOROIA-YVMONPNESA-N -1 1 313.296 1.528 20 0 DDADMM CCC(=O)Cn1cc(-c2nn[n-]n2)c(=O)c2cc(Cl)ccc21 ZINC000736301812 598720726 /nfs/dbraw/zinc/72/07/26/598720726.db2.gz BWJHPPBJILLCPL-UHFFFAOYSA-N -1 1 317.736 1.814 20 0 DDADMM CC(C)c1ncc2c(n1)CN(c1cccc(-c3nnn[n-]3)n1)CC2 ZINC000735943890 599431416 /nfs/dbraw/zinc/43/14/16/599431416.db2.gz WFVSQAYFTDUHKA-UHFFFAOYSA-N -1 1 322.376 1.738 20 0 DDADMM CC(C)c1ncc2c(n1)CN(c1cccc(-c3nn[n-]n3)n1)CC2 ZINC000735943890 599431417 /nfs/dbraw/zinc/43/14/17/599431417.db2.gz WFVSQAYFTDUHKA-UHFFFAOYSA-N -1 1 322.376 1.738 20 0 DDADMM Brc1c[nH]nc1CNCc1ccc(-c2nnn[n-]2)o1 ZINC000819689134 599443567 /nfs/dbraw/zinc/44/35/67/599443567.db2.gz WAKFFNNTBRDDDP-UHFFFAOYSA-N -1 1 324.142 1.235 20 0 DDADMM Brc1c[nH]nc1CNCc1ccc(-c2nn[n-]n2)o1 ZINC000819689134 599443569 /nfs/dbraw/zinc/44/35/69/599443569.db2.gz WAKFFNNTBRDDDP-UHFFFAOYSA-N -1 1 324.142 1.235 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1cc2occc2s1 ZINC000737775544 599495177 /nfs/dbraw/zinc/49/51/77/599495177.db2.gz AQPVUSRZQGKPNC-UHFFFAOYSA-N -1 1 301.291 1.142 20 0 DDADMM CCC(=O)N[C@H]1CCCN([C@@H](C(=O)[O-])c2ccc(F)cc2)C1 ZINC000736846038 599714536 /nfs/dbraw/zinc/71/45/36/599714536.db2.gz BCHVGFQFIZEVSJ-DZGCQCFKSA-N -1 1 308.353 1.942 20 0 DDADMM Cc1cccc(NC(=O)NC(=O)CNC(C)(C)C(=O)[O-])c1C ZINC000738311781 599925241 /nfs/dbraw/zinc/92/52/41/599925241.db2.gz NARHEHPKWYZDHQ-UHFFFAOYSA-N -1 1 307.350 1.404 20 0 DDADMM CCCOc1ccc(CNC(=O)CNC(C)(C)C(=O)[O-])cc1 ZINC000737030695 599926002 /nfs/dbraw/zinc/92/60/02/599926002.db2.gz AADRHSAFTWNASV-UHFFFAOYSA-N -1 1 308.378 1.544 20 0 DDADMM CCC[C@](C)(NCC(=O)NC(=O)Nc1ccccc1)C(=O)[O-] ZINC000736963610 600153005 /nfs/dbraw/zinc/15/30/05/600153005.db2.gz JVSDXIGHHRDQRH-HNNXBMFYSA-N -1 1 307.350 1.568 20 0 DDADMM CCC[C@](C)(NCC(=O)N1CCO[C@@H]2CCCC[C@H]21)C(=O)[O-] ZINC000736965904 600153542 /nfs/dbraw/zinc/15/35/42/600153542.db2.gz XKGNDQFKKDCTQV-IOASZLSFSA-N -1 1 312.410 1.389 20 0 DDADMM O=C(COc1cccc(F)c1-c1nnn[n-]1)NCC(F)(F)F ZINC000826418575 607865503 /nfs/dbraw/zinc/86/55/03/607865503.db2.gz MUXASRUMMRZRGG-UHFFFAOYSA-N -1 1 319.218 1.063 20 0 DDADMM O=C(COc1cccc(F)c1-c1nn[n-]n1)NCC(F)(F)F ZINC000826418575 607865504 /nfs/dbraw/zinc/86/55/04/607865504.db2.gz MUXASRUMMRZRGG-UHFFFAOYSA-N -1 1 319.218 1.063 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CC[C@H](c2ccc(Cl)cc2)C1 ZINC000736210647 600334612 /nfs/dbraw/zinc/33/46/12/600334612.db2.gz YSBOFZXJEBJLIF-PWSUYJOCSA-N -1 1 310.781 1.719 20 0 DDADMM COc1ccc(OC)c(NC(=O)[C@@H](C)N(C)C[C@@H](C)C(=O)[O-])c1 ZINC000738049076 600369942 /nfs/dbraw/zinc/36/99/42/600369942.db2.gz VAVSWABYRLUYLV-GHMZBOCLSA-N -1 1 324.377 1.683 20 0 DDADMM O=C([O-])Cn1cc(NS(=O)(=O)c2ccc(F)cc2F)cn1 ZINC000038030456 600528959 /nfs/dbraw/zinc/52/89/59/600528959.db2.gz CDVJAMCNQNZOSF-UHFFFAOYSA-N -1 1 317.273 1.047 20 0 DDADMM C[C@H](CSCC1=Nc2ccccc2S(=O)(=O)N1)C(=O)[O-] ZINC000736613051 600598344 /nfs/dbraw/zinc/59/83/44/600598344.db2.gz IYEDLURHXWFFFH-MRVPVSSYSA-N -1 1 314.388 1.462 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N[C@H]1CCCC[C@@H]1C ZINC000737794082 600641803 /nfs/dbraw/zinc/64/18/03/600641803.db2.gz IUZOGKMWVYTXAM-STQMWFEESA-N -1 1 300.399 1.104 20 0 DDADMM O=C([O-])[C@H]1CCCN([C@H]2CC(=O)N(Cc3ccccc3)C2=O)C1 ZINC000740065819 600684884 /nfs/dbraw/zinc/68/48/84/600684884.db2.gz CWVSGMINHWJWJD-KBPBESRZSA-N -1 1 316.357 1.111 20 0 DDADMM Cc1nnc(SCC(=O)N[C@@H](C(=O)[O-])c2ccccc2)[nH]1 ZINC000832990505 600837690 /nfs/dbraw/zinc/83/76/90/600837690.db2.gz DFTMJWCZJIYTME-LLVKDONJSA-N -1 1 306.347 1.147 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@@H](C(=O)[O-])c2ccccc2)n1 ZINC000832990505 600837692 /nfs/dbraw/zinc/83/76/92/600837692.db2.gz DFTMJWCZJIYTME-LLVKDONJSA-N -1 1 306.347 1.147 20 0 DDADMM CCN1CCN(CC(=O)Nc2cccc(C(=O)[O-])c2C)CC1 ZINC000829776107 600882357 /nfs/dbraw/zinc/88/23/57/600882357.db2.gz HRXARUIJHRYYCG-UHFFFAOYSA-N -1 1 305.378 1.269 20 0 DDADMM CCOCCN1CCN([C@H](C(=O)[O-])c2ccccc2F)C[C@@H]1C ZINC000830011319 601000137 /nfs/dbraw/zinc/00/01/37/601000137.db2.gz DAHBKQYNVAPLOI-BBRMVZONSA-N -1 1 324.396 1.994 20 0 DDADMM CC(C)C[C@@H](C)N(C)C(=O)CN1CCSC[C@H]1CC(=O)[O-] ZINC000826759922 601025473 /nfs/dbraw/zinc/02/54/73/601025473.db2.gz WEIXPPZBBZUXFC-CHWSQXEVSA-N -1 1 316.467 1.772 20 0 DDADMM C[C@](NCC(=O)NCCOc1ccc(F)cc1)(C(=O)[O-])C1CC1 ZINC000827728031 601040212 /nfs/dbraw/zinc/04/02/12/601040212.db2.gz OYDWAHIDBHWPIZ-MRXNPFEDSA-N -1 1 324.352 1.164 20 0 DDADMM O=C(CN1CCC2(C1)CCOCC2)NC1(C(=O)[O-])CCCCC1 ZINC000833104809 601052073 /nfs/dbraw/zinc/05/20/73/601052073.db2.gz FUAKOURHKNRDDN-UHFFFAOYSA-N -1 1 324.421 1.393 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)/C=C\c2ccccc2F)CC1 ZINC000827356135 601099267 /nfs/dbraw/zinc/09/92/67/601099267.db2.gz PLNMLBYBTYPLKJ-FMFIFOJESA-N -1 1 320.364 1.846 20 0 DDADMM Cc1ccc([C@@H](C)C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)o1 ZINC000832923852 601105040 /nfs/dbraw/zinc/10/50/40/601105040.db2.gz LBWIDBPWDRXAAZ-DGCLKSJQSA-N -1 1 308.378 1.699 20 0 DDADMM COc1ccccc1CN1CCN(C/C=C(/C)C(=O)[O-])CC1 ZINC000832613978 601162603 /nfs/dbraw/zinc/16/26/03/601162603.db2.gz SVHYKZXIRCAPBT-AUWJEWJLSA-N -1 1 304.390 1.844 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccc3c(c2)OCCO3)C[C@H]1C(=O)[O-] ZINC000828441656 601500055 /nfs/dbraw/zinc/50/00/55/601500055.db2.gz RSRMMBGRJKLIAB-CMPLNLGQSA-N -1 1 320.345 1.049 20 0 DDADMM COc1ccc(NC(=O)CN2C[C@H](C)[C@H](C(=O)[O-])C2)c(OC)c1 ZINC000832393953 601500380 /nfs/dbraw/zinc/50/03/80/601500380.db2.gz LIUAWSJSKIZDKP-CMPLNLGQSA-N -1 1 322.361 1.295 20 0 DDADMM C[C@H]1CN(CC(=O)Nc2ccc3c(c2)OCCO3)C[C@@H]1C(=O)[O-] ZINC000828441657 601500400 /nfs/dbraw/zinc/50/04/00/601500400.db2.gz RSRMMBGRJKLIAB-JQWIXIFHSA-N -1 1 320.345 1.049 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CN2C[C@H](C)[C@@H](C(=O)[O-])C2)c1 ZINC000825912920 601515443 /nfs/dbraw/zinc/51/54/43/601515443.db2.gz HCSMHDUMCOFWEF-HZMBPMFUSA-N -1 1 319.361 1.236 20 0 DDADMM COCc1ccccc1CNC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C ZINC000831822149 601601492 /nfs/dbraw/zinc/60/14/92/601601492.db2.gz SUPPJFIKTBEATQ-HNNXBMFYSA-N -1 1 322.405 1.538 20 0 DDADMM O=C1CCN(Cc2ccnc(-c3nnn[n-]3)c2)c2ccccc2N1 ZINC000826480824 607522339 /nfs/dbraw/zinc/52/23/39/607522339.db2.gz SMPDJSLCMCYRRY-UHFFFAOYSA-N -1 1 321.344 1.611 20 0 DDADMM O=C1CCN(Cc2ccnc(-c3nn[n-]n3)c2)c2ccccc2N1 ZINC000826480824 607522341 /nfs/dbraw/zinc/52/23/41/607522341.db2.gz SMPDJSLCMCYRRY-UHFFFAOYSA-N -1 1 321.344 1.611 20 0 DDADMM C[C@H]1CN(C(=O)c2c[nH]c3ccccc3c2=O)C[C@H]1C(=O)[O-] ZINC000315168515 601759065 /nfs/dbraw/zinc/75/90/65/601759065.db2.gz WEHSKFDPWOSDSA-JOYOIKCWSA-N -1 1 300.314 1.733 20 0 DDADMM CC(C)C[C@]1(C)CC(=O)N(CN2CC[C@@](C)(C(=O)[O-])C2)C1=O ZINC000826826294 601890942 /nfs/dbraw/zinc/89/09/42/601890942.db2.gz GKYLRHXRYKODQV-HZPDHXFCSA-N -1 1 310.394 1.552 20 0 DDADMM C[C@H]1CCCN(C(=O)CN2CCSC[C@@H]2CC(=O)[O-])C1 ZINC000091130745 601919195 /nfs/dbraw/zinc/91/91/95/601919195.db2.gz YGYZMPWRICEFBI-RYUDHWBXSA-N -1 1 300.424 1.137 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)NCCC2CCCCC2)C1 ZINC000740644113 601938167 /nfs/dbraw/zinc/93/81/67/601938167.db2.gz XCRAAZBBQGLGPZ-AWEZNQCLSA-N -1 1 311.426 1.663 20 0 DDADMM O=C([O-])NC[C@H]1CCN(CC(=O)NCCC2CCCCC2)C1 ZINC000740644118 601938316 /nfs/dbraw/zinc/93/83/16/601938316.db2.gz XCRAAZBBQGLGPZ-CQSZACIVSA-N -1 1 311.426 1.663 20 0 DDADMM C[C@H](CC(=O)[O-])C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000827281560 602090491 /nfs/dbraw/zinc/09/04/91/602090491.db2.gz PFRCLUXBNDUQTH-OLZOCXBDSA-N -1 1 304.390 1.660 20 0 DDADMM Cc1ccc(C(N)=O)cc1NCc1ccnc(-c2nnn[n-]2)c1 ZINC000826284363 607549778 /nfs/dbraw/zinc/54/97/78/607549778.db2.gz RMAZDHVLYANNAW-UHFFFAOYSA-N -1 1 309.333 1.281 20 0 DDADMM Cc1ccc(C(N)=O)cc1NCc1ccnc(-c2nn[n-]n2)c1 ZINC000826284363 607549779 /nfs/dbraw/zinc/54/97/79/607549779.db2.gz RMAZDHVLYANNAW-UHFFFAOYSA-N -1 1 309.333 1.281 20 0 DDADMM O=C([O-])c1csc(S(=O)(=O)Nc2ccc(F)nc2)c1 ZINC000165820259 602156657 /nfs/dbraw/zinc/15/66/57/602156657.db2.gz AJCXYLVAYLBXTE-UHFFFAOYSA-N -1 1 302.308 1.781 20 0 DDADMM CC[C@@](C)(CC(=O)[O-])NCc1nnnn1Cc1ccccc1 ZINC000828672942 602215762 /nfs/dbraw/zinc/21/57/62/602215762.db2.gz YGXWEOBIRRHAPH-HNNXBMFYSA-N -1 1 303.366 1.454 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN[C@H](C(=O)[O-])C(C)(C)C)cc1 ZINC000825902974 602222989 /nfs/dbraw/zinc/22/29/89/602222989.db2.gz MRMCCEVFBLQDIC-CQSZACIVSA-N -1 1 321.377 1.672 20 0 DDADMM COC(=O)c1ccc(NC(=O)CN[C@H](C(=O)[O-])C(C)(C)C)cc1 ZINC000831399773 602228393 /nfs/dbraw/zinc/22/83/93/602228393.db2.gz YCVTURBSACHZEX-CYBMUJFWSA-N -1 1 322.361 1.501 20 0 DDADMM CC(C)CN1CCN(C(=O)C2CCC(NC(=O)[O-])CC2)CC1 ZINC000738818519 602350978 /nfs/dbraw/zinc/35/09/78/602350978.db2.gz BBHKQVJUGDVQRT-UHFFFAOYSA-N -1 1 311.426 1.613 20 0 DDADMM CC(C)CNS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000824266030 607572712 /nfs/dbraw/zinc/57/27/12/607572712.db2.gz CDTUBAXDYBIGFK-UHFFFAOYSA-N -1 1 315.786 1.454 20 0 DDADMM CC(C)CNS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000824266030 607572713 /nfs/dbraw/zinc/57/27/13/607572713.db2.gz CDTUBAXDYBIGFK-UHFFFAOYSA-N -1 1 315.786 1.454 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])CCN1C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000739151497 602730559 /nfs/dbraw/zinc/73/05/59/602730559.db2.gz CZBHGUOQPGFQJU-SECBINFHSA-N -1 1 320.374 1.963 20 0 DDADMM Cc1cc(CNC(=O)C(=O)Nc2ccc(NC(=O)[O-])cc2)n[nH]1 ZINC000738233089 602774800 /nfs/dbraw/zinc/77/48/00/602774800.db2.gz KQTSVIYMRLZJAX-UHFFFAOYSA-N -1 1 317.305 1.063 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)C[C@@H](C)N1C(=O)[O-] ZINC000739166632 602806714 /nfs/dbraw/zinc/80/67/14/602806714.db2.gz OPRGPJLSHSSDGG-NXEZZACHSA-N -1 1 318.333 1.883 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N[C@H](CNC(=O)[O-])C1CCCCC1 ZINC000739725845 602836661 /nfs/dbraw/zinc/83/66/61/602836661.db2.gz GDHRGFXKEJIFSE-ZIAGYGMSSA-N -1 1 311.426 1.803 20 0 DDADMM CN(CCN1CCN(C(=O)[O-])CC1)Cc1ccc(Cl)nc1 ZINC000739685719 602873630 /nfs/dbraw/zinc/87/36/30/602873630.db2.gz WWVQDTBTZPYVBO-UHFFFAOYSA-N -1 1 312.801 1.462 20 0 DDADMM Cc1cc(CNC(=O)[C@H](CNC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830041419 602933630 /nfs/dbraw/zinc/93/36/30/602933630.db2.gz LULHHFNOMBZPCH-CYBMUJFWSA-N -1 1 302.334 1.386 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)CN(CC1CC1)C(=O)[O-] ZINC000826087527 602957719 /nfs/dbraw/zinc/95/77/19/602957719.db2.gz ONTXVZLHNAVZKV-TZMCWYRMSA-N -1 1 309.410 1.462 20 0 DDADMM O=C([O-])N1CC[C@@H](CN2CCN(Cc3ccccn3)CC2)C1 ZINC000740502762 602978081 /nfs/dbraw/zinc/97/80/81/602978081.db2.gz HRJNJRFSEUBAHK-AWEZNQCLSA-N -1 1 304.394 1.199 20 0 DDADMM CCN(CCNC(=O)[C@@H](C)N(C)Cc1ccccc1)C(=O)[O-] ZINC000739426144 603138431 /nfs/dbraw/zinc/13/84/31/603138431.db2.gz YJWFBHZGDXTGJX-CYBMUJFWSA-N -1 1 307.394 1.623 20 0 DDADMM COc1ccc(NC(=O)CCN2CCN(C(=O)[O-])[C@@H](C)C2)cc1 ZINC000739921305 603355997 /nfs/dbraw/zinc/35/59/97/603355997.db2.gz QIMDGLOKRBSZAS-LBPRGKRZSA-N -1 1 321.377 1.708 20 0 DDADMM C[C@H]1CN(Cn2nccc2-c2cccnc2)CC[C@@H]1NC(=O)[O-] ZINC000739191025 603396546 /nfs/dbraw/zinc/39/65/46/603396546.db2.gz PSGKIGKAYUJEGI-JSGCOSHPSA-N -1 1 315.377 1.881 20 0 DDADMM O=C([O-])N[C@H]1CC[C@H](C(=O)Nc2ccn(-c3ccncc3)n2)C1 ZINC000832298651 603618131 /nfs/dbraw/zinc/61/81/31/603618131.db2.gz UZVWMWVXIWZKRA-QWRGUYRKSA-N -1 1 315.333 1.642 20 0 DDADMM C[C@H]1CCCN(Cc2cccc(C(N)=O)c2)[C@@H]1CNC(=O)[O-] ZINC000825924920 603723359 /nfs/dbraw/zinc/72/33/59/603723359.db2.gz LSWSNVJLNRPSJN-SMDDNHRTSA-N -1 1 305.378 1.654 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCN(C[C@H](O)c2ccc(F)c(F)c2)C1 ZINC000827882116 603799981 /nfs/dbraw/zinc/79/99/81/603799981.db2.gz LJDYVIDSFCBAJH-MFKMUULPSA-N -1 1 300.305 1.682 20 0 DDADMM COc1ccc(OC)c([C@@H](O)CN2CC[C@H](N(C)C(=O)[O-])C2)c1 ZINC000829494798 603801837 /nfs/dbraw/zinc/80/18/37/603801837.db2.gz WIACWZLDCBHBHZ-FZMZJTMJSA-N -1 1 324.377 1.421 20 0 DDADMM CC(C)[C@@H](CC[N@@H+](C)Cc1cc(=O)n2[n-]ccc2n1)NC(=O)[O-] ZINC000824104560 603853154 /nfs/dbraw/zinc/85/31/54/603853154.db2.gz FPVRCFRESBSNGD-GFCCVEGCSA-N -1 1 321.381 1.137 20 0 DDADMM O=S(=O)([N-]CC(F)F)c1ccc(Cl)cc1-c1nn[nH]n1 ZINC000826489082 607658361 /nfs/dbraw/zinc/65/83/61/607658361.db2.gz HIGSAYUMTPAXIF-UHFFFAOYSA-N -1 1 323.712 1.064 20 0 DDADMM O=C([O-])N1CC(N2CCC(C(=O)Nc3ccc(F)cc3)CC2)C1 ZINC000831761985 603922793 /nfs/dbraw/zinc/92/27/93/603922793.db2.gz SQEJYUXQMJVYES-UHFFFAOYSA-N -1 1 321.352 1.838 20 0 DDADMM O=C([O-])NC[C@@H]1CCCN1Cc1ccc(-n2ccnc2)nc1 ZINC000832573451 603970537 /nfs/dbraw/zinc/97/05/37/603970537.db2.gz OOOXQUGDXCAXED-ZDUSSCGKSA-N -1 1 301.350 1.499 20 0 DDADMM CC[N@@H+]1CC[C@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000827208585 603999472 /nfs/dbraw/zinc/99/94/72/603999472.db2.gz VGNPOEVKXNZULA-SNVBAGLBSA-N -1 1 307.350 1.554 20 0 DDADMM CC[N@H+]1CC[C@H](CNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000827208585 603999476 /nfs/dbraw/zinc/99/94/76/603999476.db2.gz VGNPOEVKXNZULA-SNVBAGLBSA-N -1 1 307.350 1.554 20 0 DDADMM CN(Cc1cn[nH]c1)C[C@H](O)[C@H](Cc1ccccc1)NC(=O)[O-] ZINC000828410067 604114300 /nfs/dbraw/zinc/11/43/00/604114300.db2.gz CXSRBFVWFWYVQV-GJZGRUSLSA-N -1 1 318.377 1.081 20 0 DDADMM CC(C)N1CCN(C(=O)N[C@@H]2CCC[C@@H]2CNC(=O)[O-])CC1 ZINC000824362665 604183250 /nfs/dbraw/zinc/18/32/50/604183250.db2.gz FTPQLPFPDGCZIC-CHWSQXEVSA-N -1 1 312.414 1.158 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)OCCc2c[nH]nn2)c(Cl)c1 ZINC000832838743 604191696 /nfs/dbraw/zinc/19/16/96/604191696.db2.gz LXCSBEUCYWMQLF-UHFFFAOYSA-N -1 1 310.697 1.948 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)OCCc2cnn[nH]2)c(Cl)c1 ZINC000832838743 604191698 /nfs/dbraw/zinc/19/16/98/604191698.db2.gz LXCSBEUCYWMQLF-UHFFFAOYSA-N -1 1 310.697 1.948 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(Cc2cnc3ccnn3c2)C1 ZINC000825272616 604251558 /nfs/dbraw/zinc/25/15/58/604251558.db2.gz MNIPPDHVPRHJRL-YPMHNXCESA-N -1 1 303.366 1.597 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC000825238018 604261495 /nfs/dbraw/zinc/26/14/95/604261495.db2.gz WZVCBRXKLFIFSN-KOLCDFICSA-N -1 1 306.366 1.795 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N(C)[C@@H](COC(C)C)C(=O)[O-])c1 ZINC000832858544 604440795 /nfs/dbraw/zinc/44/07/95/604440795.db2.gz BKVWQLHINRUYCT-ZDUSSCGKSA-N -1 1 319.361 1.822 20 0 DDADMM CCN(CCC(=O)[O-])CC(=O)Nc1nnc(C(C)(C)C)s1 ZINC000829702015 604462474 /nfs/dbraw/zinc/46/24/74/604462474.db2.gz ZMPNZJCPUYCQII-UHFFFAOYSA-N -1 1 314.411 1.571 20 0 DDADMM COC[C@](C)(CC(=O)[O-])NCc1csc(N(C)C2CC2)n1 ZINC000831585833 604483534 /nfs/dbraw/zinc/48/35/34/604483534.db2.gz QGCQXIACZMXKMO-AWEZNQCLSA-N -1 1 313.423 1.711 20 0 DDADMM Cc1oc(S(=O)(=O)N2CCC[C@@H]2CN(C)C)cc1C(=O)[O-] ZINC000833028459 604490343 /nfs/dbraw/zinc/49/03/43/604490343.db2.gz PHIBEVQLASDZFB-SNVBAGLBSA-N -1 1 316.379 1.001 20 0 DDADMM O=C([O-])N1CCC(CNCc2nnc3n2CCCCC3)CC1 ZINC000831883577 604498243 /nfs/dbraw/zinc/49/82/43/604498243.db2.gz XAOWDOQQQTTYTR-UHFFFAOYSA-N -1 1 307.398 1.484 20 0 DDADMM O=C([O-])c1cc(CN2CCN(c3cccc(O)c3)CC2)c[nH]1 ZINC000833779847 604524162 /nfs/dbraw/zinc/52/41/62/604524162.db2.gz OFRQTXLSLNNASK-UHFFFAOYSA-N -1 1 301.346 1.741 20 0 DDADMM C[C@@H]1CN(C)C[C@H](C)N1C(=O)CCc1ccc(C(=O)[O-])cc1 ZINC000833627910 604580430 /nfs/dbraw/zinc/58/04/30/604580430.db2.gz XJYIHNIRWVSTSC-BETUJISGSA-N -1 1 304.390 1.868 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)CC(C)(C)NC(=O)[O-])n2)o1 ZINC000830159680 604585251 /nfs/dbraw/zinc/58/52/51/604585251.db2.gz HCGNZEBVISAKRV-UHFFFAOYSA-N -1 1 307.310 1.748 20 0 DDADMM CC(C)N1CCN(C(=O)NCCc2cccc(C(=O)[O-])c2)CC1 ZINC000389989739 604597156 /nfs/dbraw/zinc/59/71/56/604597156.db2.gz FDPDHFOOYXBHLC-UHFFFAOYSA-N -1 1 319.405 1.663 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H]1CC(=O)[O-])N(C)Cc1ccccc1 ZINC000825982529 604603376 /nfs/dbraw/zinc/60/33/76/604603376.db2.gz IBHOLLYSDJBKSV-ZFWWWQNUSA-N -1 1 304.390 1.973 20 0 DDADMM Cc1nc(CN2CCCN(Cc3c[nH]c(C(=O)[O-])c3)CC2)no1 ZINC000833001449 604685181 /nfs/dbraw/zinc/68/51/81/604685181.db2.gz WUCZQRDDQUCGLQ-UHFFFAOYSA-N -1 1 319.365 1.112 20 0 DDADMM CCC[C@@H](C(=O)Nc1ccc2[nH]nc(C(=O)[O-])c2c1)N(C)C ZINC000833647295 604699582 /nfs/dbraw/zinc/69/95/82/604699582.db2.gz APUCDRBETPYFQD-LBPRGKRZSA-N -1 1 304.350 1.930 20 0 DDADMM CCN(CC)[C@@H](C(=O)NC1CN(C(=O)[O-])C1)c1ccccc1 ZINC000739406191 604762943 /nfs/dbraw/zinc/76/29/43/604762943.db2.gz HUBSKQYUGAXQNU-CQSZACIVSA-N -1 1 305.378 1.548 20 0 DDADMM Cc1ccc2c(n1)c(=O)c(-c1nn[n-]n1)cn2CC(=O)C(C)C ZINC000826295085 607718027 /nfs/dbraw/zinc/71/80/27/607718027.db2.gz QLJGYZHAVGJPNN-UHFFFAOYSA-N -1 1 312.333 1.110 20 0 DDADMM O=C([O-])[C@@H](N[C@@H]1CC(=O)N(c2ccccc2)C1)c1cccnc1 ZINC000833165477 604998565 /nfs/dbraw/zinc/99/85/65/604998565.db2.gz AGPONARIXRGJDX-CJNGLKHVSA-N -1 1 311.341 1.602 20 0 DDADMM CC[C@@H](OC(=O)CCNC(=O)c1n[nH]c2ccccc21)C(=O)[O-] ZINC000833647050 605014003 /nfs/dbraw/zinc/01/40/03/605014003.db2.gz ZYBWLVTWVQEVQA-LLVKDONJSA-N -1 1 319.317 1.089 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC12CCC(CC1)C2 ZINC000833621963 605057679 /nfs/dbraw/zinc/05/76/79/605057679.db2.gz CROUXYSELHFIMT-CBCUQQMYSA-N -1 1 323.437 1.757 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)Cc2cccc(C(=O)[O-])c2)C[C@H]1C ZINC000833657732 605133644 /nfs/dbraw/zinc/13/36/44/605133644.db2.gz OOLWEXFSUWVNTA-CHWSQXEVSA-N -1 1 304.390 1.868 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)Cc2cccc(C(=O)[O-])c2)C[C@@H]1C ZINC000833657734 605134014 /nfs/dbraw/zinc/13/40/14/605134014.db2.gz OOLWEXFSUWVNTA-QWHCGFSZSA-N -1 1 304.390 1.868 20 0 DDADMM C[C@@](CNC(=O)[O-])(NCc1nnc2n1CCCCC2)C1CC1 ZINC000824952312 605166577 /nfs/dbraw/zinc/16/65/77/605166577.db2.gz NBAXQMFYKHOMJS-HNNXBMFYSA-N -1 1 307.398 1.530 20 0 DDADMM CC(C)(C)N(CCS(C)(=O)=O)C[C@H]1CCN(C(=O)[O-])C1 ZINC000823766462 605185168 /nfs/dbraw/zinc/18/51/68/605185168.db2.gz OHGRZOJCFWBZSQ-NSHDSACASA-N -1 1 306.428 1.131 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(F)c(F)c2)CCN1CCC(=O)[O-] ZINC000833622770 605278866 /nfs/dbraw/zinc/27/88/66/605278866.db2.gz NYYMUBBDKLNVRS-SNVBAGLBSA-N -1 1 312.316 1.586 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2ccc(CNC(=O)[O-])o2)n[nH]1 ZINC000830036489 605435983 /nfs/dbraw/zinc/43/59/83/605435983.db2.gz RJSIJPVQUTZYJI-MRVPVSSYSA-N -1 1 306.322 1.440 20 0 DDADMM Cn1cccc1C(=O)CN1C[C@@H]2CCC[C@@H](NC(=O)[O-])[C@@H]2C1 ZINC000833952662 605455151 /nfs/dbraw/zinc/45/51/51/605455151.db2.gz OHJPGWFEWOHUKE-YNEHKIRRSA-N -1 1 305.378 1.576 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@@H]1NCc1nnnn1-c1ccccc1 ZINC000834217363 605596841 /nfs/dbraw/zinc/59/68/41/605596841.db2.gz UUWGBURGYIHGMM-AAEUAGOBSA-N -1 1 316.365 1.188 20 0 DDADMM C[C@H](O)[C@@H]1CCN([C@@H]2CCN(c3sccc3C(=O)[O-])C2=O)C1 ZINC000833526276 605698487 /nfs/dbraw/zinc/69/84/87/605698487.db2.gz HTBPUIKMHXJXRZ-HOSYDEDBSA-N -1 1 324.402 1.254 20 0 DDADMM O=C([O-])N[C@@H](CC(=O)NCCN1CCC1)Cc1ccccc1 ZINC000834124820 605932509 /nfs/dbraw/zinc/93/25/09/605932509.db2.gz JISMDLTWVVGBCA-CQSZACIVSA-N -1 1 305.378 1.077 20 0 DDADMM O=C([O-])NC1C[C@H]2CCC[C@@H](C1)N2CC(=O)NC1CCCC1 ZINC000832234644 605938319 /nfs/dbraw/zinc/93/83/19/605938319.db2.gz FTCCFFNPTHXGFV-AGUYFDCRSA-N -1 1 309.410 1.698 20 0 DDADMM O=C([O-])N1CCC[C@@H](NCc2cnn(-c3ccccc3)n2)CC1 ZINC000834109388 606033657 /nfs/dbraw/zinc/03/36/57/606033657.db2.gz ZITDXMPWSYPCSF-CYBMUJFWSA-N -1 1 315.377 1.889 20 0 DDADMM C[C@@H]1CCC[C@@H](C)C1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820690105 606169192 /nfs/dbraw/zinc/16/91/92/606169192.db2.gz GKYGQDPMGXAMDK-RKDXNWHRSA-N -1 1 318.385 1.546 20 0 DDADMM C[C@@H]1CCC[C@@H](C)C1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820690105 606169194 /nfs/dbraw/zinc/16/91/94/606169194.db2.gz GKYGQDPMGXAMDK-RKDXNWHRSA-N -1 1 318.385 1.546 20 0 DDADMM CN(Cc1nc2ccccc2n1C)c1cccc(-c2nnn[n-]2)n1 ZINC000821618122 606221493 /nfs/dbraw/zinc/22/14/93/606221493.db2.gz RGYGTSJUKMGNCL-UHFFFAOYSA-N -1 1 320.360 1.785 20 0 DDADMM CN(Cc1nc2ccccc2n1C)c1cccc(-c2nn[n-]n2)n1 ZINC000821618122 606221494 /nfs/dbraw/zinc/22/14/94/606221494.db2.gz RGYGTSJUKMGNCL-UHFFFAOYSA-N -1 1 320.360 1.785 20 0 DDADMM O=C(OCC[C@H]1CCCC1=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000823401407 606228554 /nfs/dbraw/zinc/22/85/54/606228554.db2.gz VKMMXDIYZQPHFB-SECBINFHSA-N -1 1 301.306 1.178 20 0 DDADMM O=C(OCC[C@H]1CCCC1=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000823401407 606228556 /nfs/dbraw/zinc/22/85/56/606228556.db2.gz VKMMXDIYZQPHFB-SECBINFHSA-N -1 1 301.306 1.178 20 0 DDADMM CCS[C@H]1CCC[C@@H]1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821457115 606325685 /nfs/dbraw/zinc/32/56/85/606325685.db2.gz URPNVSHAZLQPER-JQWIXIFHSA-N -1 1 318.406 1.666 20 0 DDADMM CCS[C@H]1CCC[C@@H]1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821457115 606325687 /nfs/dbraw/zinc/32/56/87/606325687.db2.gz URPNVSHAZLQPER-JQWIXIFHSA-N -1 1 318.406 1.666 20 0 DDADMM COc1ccc(CNc2n[nH]cc2-c2nnn[n-]2)c(Cl)c1 ZINC000821818503 606329579 /nfs/dbraw/zinc/32/95/79/606329579.db2.gz TYYJRYJVJBAPSC-UHFFFAOYSA-N -1 1 305.729 1.864 20 0 DDADMM COc1ccc(CNc2n[nH]cc2-c2nn[n-]n2)c(Cl)c1 ZINC000821818503 606329580 /nfs/dbraw/zinc/32/95/80/606329580.db2.gz TYYJRYJVJBAPSC-UHFFFAOYSA-N -1 1 305.729 1.864 20 0 DDADMM O=C(CSc1ccc(F)cc1)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000823066353 606532452 /nfs/dbraw/zinc/53/24/52/606532452.db2.gz VTAGKIXWBBRDHH-UHFFFAOYSA-N -1 1 319.325 1.460 20 0 DDADMM O=C(CSc1ccc(F)cc1)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000823066353 606532454 /nfs/dbraw/zinc/53/24/54/606532454.db2.gz VTAGKIXWBBRDHH-UHFFFAOYSA-N -1 1 319.325 1.460 20 0 DDADMM Brc1ccc(Cn2ccnc2-c2nnn[n-]2)cc1 ZINC000819688497 606654772 /nfs/dbraw/zinc/65/47/72/606654772.db2.gz IYXGRDCYDGKRIJ-UHFFFAOYSA-N -1 1 305.139 1.874 20 0 DDADMM Brc1ccc(Cn2ccnc2-c2nn[n-]n2)cc1 ZINC000819688497 606654773 /nfs/dbraw/zinc/65/47/73/606654773.db2.gz IYXGRDCYDGKRIJ-UHFFFAOYSA-N -1 1 305.139 1.874 20 0 DDADMM CC(C)C[C@H](C)OCCC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820056319 606684079 /nfs/dbraw/zinc/68/40/79/606684079.db2.gz OZFGEIIVNORZPJ-JTQLQIEISA-N -1 1 321.385 1.380 20 0 DDADMM CC(C)C[C@H](C)OCCC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820056319 606684080 /nfs/dbraw/zinc/68/40/80/606684080.db2.gz OZFGEIIVNORZPJ-JTQLQIEISA-N -1 1 321.385 1.380 20 0 DDADMM CC[C@H](C)c1ccc(C(=O)n2ncc(-c3nn[n-]n3)c2N)cc1 ZINC000820882514 606694557 /nfs/dbraw/zinc/69/45/57/606694557.db2.gz SDKDTZVWVYGUQD-VIFPVBQESA-N -1 1 311.349 1.847 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)c2cc(F)cc(Cl)c2)n1 ZINC000822620175 606850295 /nfs/dbraw/zinc/85/02/95/606850295.db2.gz KELYWDKVXURHGT-UHFFFAOYSA-N -1 1 321.703 1.645 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)c2cc(F)cc(Cl)c2)n1 ZINC000822620175 606850296 /nfs/dbraw/zinc/85/02/96/606850296.db2.gz KELYWDKVXURHGT-UHFFFAOYSA-N -1 1 321.703 1.645 20 0 DDADMM C[C@H](C[C@H](O)c1ccccc1)Nc1nccnc1-c1nnn[n-]1 ZINC000820233873 606946242 /nfs/dbraw/zinc/94/62/42/606946242.db2.gz MBGHRIPRDKQVLC-PWSUYJOCSA-N -1 1 311.349 1.581 20 0 DDADMM C[C@H](C[C@H](O)c1ccccc1)Nc1nccnc1-c1nn[n-]n1 ZINC000820233873 606946243 /nfs/dbraw/zinc/94/62/43/606946243.db2.gz MBGHRIPRDKQVLC-PWSUYJOCSA-N -1 1 311.349 1.581 20 0 DDADMM C[C@@H](CC(=O)Nc1ncc(-c2nnn[n-]2)s1)n1cccc1 ZINC000820225233 607106487 /nfs/dbraw/zinc/10/64/87/607106487.db2.gz DVUNOUWIYAOLSA-QMMMGPOBSA-N -1 1 303.351 1.715 20 0 DDADMM C[C@@H](CC(=O)Nc1ncc(-c2nn[n-]n2)s1)n1cccc1 ZINC000820225233 607106488 /nfs/dbraw/zinc/10/64/88/607106488.db2.gz DVUNOUWIYAOLSA-QMMMGPOBSA-N -1 1 303.351 1.715 20 0 DDADMM Cc1noc(C(C)C)c1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822519271 607110395 /nfs/dbraw/zinc/11/03/95/607110395.db2.gz DGCZPVGPQWABIM-UHFFFAOYSA-N -1 1 319.350 1.995 20 0 DDADMM Cc1noc(C(C)C)c1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822519271 607110397 /nfs/dbraw/zinc/11/03/97/607110397.db2.gz DGCZPVGPQWABIM-UHFFFAOYSA-N -1 1 319.350 1.995 20 0 DDADMM CN(CCCn1ccnc1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821601348 607128117 /nfs/dbraw/zinc/12/81/17/607128117.db2.gz CHWMDGPJSNHCOW-UHFFFAOYSA-N -1 1 318.772 1.638 20 0 DDADMM CN(CCCn1ccnc1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821601348 607128119 /nfs/dbraw/zinc/12/81/19/607128119.db2.gz CHWMDGPJSNHCOW-UHFFFAOYSA-N -1 1 318.772 1.638 20 0 DDADMM Cc1nnc([C@@H](C)NC(=O)c2ccc(-c3nnn[n-]3)s2)o1 ZINC000822509699 607217470 /nfs/dbraw/zinc/21/74/70/607217470.db2.gz DBTBQZRIXFWGII-RXMQYKEDSA-N -1 1 305.323 1.111 20 0 DDADMM Cc1nnc([C@@H](C)NC(=O)c2ccc(-c3nn[n-]n3)s2)o1 ZINC000822509699 607217471 /nfs/dbraw/zinc/21/74/71/607217471.db2.gz DBTBQZRIXFWGII-RXMQYKEDSA-N -1 1 305.323 1.111 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)c2ccc(F)c(Cl)c2)n1 ZINC000822620573 607239492 /nfs/dbraw/zinc/23/94/92/607239492.db2.gz XISJEKPSIGGTDK-UHFFFAOYSA-N -1 1 321.703 1.645 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)c2ccc(F)c(Cl)c2)n1 ZINC000822620573 607239493 /nfs/dbraw/zinc/23/94/93/607239493.db2.gz XISJEKPSIGGTDK-UHFFFAOYSA-N -1 1 321.703 1.645 20 0 DDADMM c1ccc(-n2nnnc2Sc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000826520690 607895085 /nfs/dbraw/zinc/89/50/85/607895085.db2.gz PLDLMJNUQXXAKP-UHFFFAOYSA-N -1 1 323.345 1.389 20 0 DDADMM c1ccc(-n2nnnc2Sc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000826520690 607895086 /nfs/dbraw/zinc/89/50/86/607895086.db2.gz PLDLMJNUQXXAKP-UHFFFAOYSA-N -1 1 323.345 1.389 20 0 DDADMM C[S@](=O)Cc1cccc(Nc2cccc(-c3nnn[n-]3)n2)c1 ZINC000826225071 607900109 /nfs/dbraw/zinc/90/01/09/607900109.db2.gz SHDXPYKIJAADHO-QFIPXVFZSA-N -1 1 314.374 1.884 20 0 DDADMM C[S@](=O)Cc1cccc(Nc2cccc(-c3nn[n-]n3)n2)c1 ZINC000826225071 607900110 /nfs/dbraw/zinc/90/01/10/607900110.db2.gz SHDXPYKIJAADHO-QFIPXVFZSA-N -1 1 314.374 1.884 20 0 DDADMM Cc1ccsc1[C@@H](CO)Nc1cccc(-c2nnn[n-]2)n1 ZINC000826316591 607901919 /nfs/dbraw/zinc/90/19/19/607901919.db2.gz SHYLEOYWHVTUFY-SNVBAGLBSA-N -1 1 302.363 1.777 20 0 DDADMM Cc1ccsc1[C@@H](CO)Nc1cccc(-c2nn[n-]n2)n1 ZINC000826316591 607901920 /nfs/dbraw/zinc/90/19/20/607901920.db2.gz SHYLEOYWHVTUFY-SNVBAGLBSA-N -1 1 302.363 1.777 20 0 DDADMM C[C@@H](Nc1cccc(-c2nnn[n-]2)n1)c1nnc2n1CCCC2 ZINC000824662033 607902211 /nfs/dbraw/zinc/90/22/11/607902211.db2.gz ATMINSODXKCYDZ-SECBINFHSA-N -1 1 311.353 1.363 20 0 DDADMM C[C@@H](Nc1cccc(-c2nn[n-]n2)n1)c1nnc2n1CCCC2 ZINC000824662033 607902212 /nfs/dbraw/zinc/90/22/12/607902212.db2.gz ATMINSODXKCYDZ-SECBINFHSA-N -1 1 311.353 1.363 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)[C@@H]2CCCC(C)(C)C2)n1 ZINC000826357236 607927573 /nfs/dbraw/zinc/92/75/73/607927573.db2.gz XCDGARIUEXKWOW-SECBINFHSA-N -1 1 303.370 1.755 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)[C@@H]2CCCC(C)(C)C2)n1 ZINC000826357236 607927574 /nfs/dbraw/zinc/92/75/74/607927574.db2.gz XCDGARIUEXKWOW-SECBINFHSA-N -1 1 303.370 1.755 20 0 DDADMM c1ccc(C[C@@H]2CCCN2c2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826521077 607989053 /nfs/dbraw/zinc/98/90/53/607989053.db2.gz ZEVZKBJOSTUQQH-ZDUSSCGKSA-N -1 1 307.361 1.868 20 0 DDADMM c1ccc(C[C@@H]2CCCN2c2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826521077 607989054 /nfs/dbraw/zinc/98/90/54/607989054.db2.gz ZEVZKBJOSTUQQH-ZDUSSCGKSA-N -1 1 307.361 1.868 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCc2ccsc2C1 ZINC000826472323 608027798 /nfs/dbraw/zinc/02/77/98/608027798.db2.gz GYOPGNFYXLDABO-UHFFFAOYSA-N -1 1 312.358 1.522 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCc2ccsc2C1 ZINC000826472323 608027799 /nfs/dbraw/zinc/02/77/99/608027799.db2.gz GYOPGNFYXLDABO-UHFFFAOYSA-N -1 1 312.358 1.522 20 0 DDADMM Cc1cnc([C@H](C)OC(=O)c2ccc(-c3nnn[n-]3)nc2)o1 ZINC000826317637 608172394 /nfs/dbraw/zinc/17/23/94/608172394.db2.gz KAZMZIJILOSMCY-QMMMGPOBSA-N -1 1 300.278 1.476 20 0 DDADMM Cc1cnc([C@H](C)OC(=O)c2ccc(-c3nn[n-]n3)nc2)o1 ZINC000826317637 608172397 /nfs/dbraw/zinc/17/23/97/608172397.db2.gz KAZMZIJILOSMCY-QMMMGPOBSA-N -1 1 300.278 1.476 20 0 DDADMM c1cnc(N2CCC[C@@H](C[C@@H]3CCOC3)C2)c(-c2nnn[n-]2)n1 ZINC000826525300 608297248 /nfs/dbraw/zinc/29/72/48/608297248.db2.gz DTXVLLOFRAUULE-RYUDHWBXSA-N -1 1 315.381 1.300 20 0 DDADMM c1cnc(N2CCC[C@@H](C[C@@H]3CCOC3)C2)c(-c2nn[n-]n2)n1 ZINC000826525300 608297249 /nfs/dbraw/zinc/29/72/49/608297249.db2.gz DTXVLLOFRAUULE-RYUDHWBXSA-N -1 1 315.381 1.300 20 0 DDADMM Cc1nc(C)c(-c2nn[n-]n2)c(SCc2ccnn2C)n1 ZINC000826324615 608330651 /nfs/dbraw/zinc/33/06/51/608330651.db2.gz YCRJLSLOIXDISR-UHFFFAOYSA-N -1 1 302.367 1.299 20 0 DDADMM CCNC(=O)c1cccc(Oc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000825476274 608408040 /nfs/dbraw/zinc/40/80/40/608408040.db2.gz DVQBLSPRHRDYIM-UHFFFAOYSA-N -1 1 311.305 1.199 20 0 DDADMM CCNC(=O)c1cccc(Oc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000825476274 608408041 /nfs/dbraw/zinc/40/80/41/608408041.db2.gz DVQBLSPRHRDYIM-UHFFFAOYSA-N -1 1 311.305 1.199 20 0 DDADMM Cc1cnc([C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C2CC2)s1 ZINC000826317502 608425253 /nfs/dbraw/zinc/42/52/53/608425253.db2.gz FKEQZBYZSMPIGV-NSHDSACASA-N -1 1 314.378 1.985 20 0 DDADMM Cc1cnc([C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C2CC2)s1 ZINC000826317502 608425254 /nfs/dbraw/zinc/42/52/54/608425254.db2.gz FKEQZBYZSMPIGV-NSHDSACASA-N -1 1 314.378 1.985 20 0 DDADMM CC(C)(C)c1nnc(CNc2ccc(-c3nnn[n-]3)nn2)s1 ZINC000824141726 608430793 /nfs/dbraw/zinc/43/07/93/608430793.db2.gz MOBYJCRAVZGJSU-UHFFFAOYSA-N -1 1 317.382 1.418 20 0 DDADMM CC(C)(C)c1nnc(CNc2ccc(-c3nn[n-]n3)nn2)s1 ZINC000824141726 608430795 /nfs/dbraw/zinc/43/07/95/608430795.db2.gz MOBYJCRAVZGJSU-UHFFFAOYSA-N -1 1 317.382 1.418 20 0 DDADMM C[C@H](C[C@H](O)c1ccco1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824391103 608430819 /nfs/dbraw/zinc/43/08/19/608430819.db2.gz HGUFIRMJPVZYKE-SCZZXKLOSA-N -1 1 301.310 1.174 20 0 DDADMM C[C@H](C[C@H](O)c1ccco1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824391103 608430821 /nfs/dbraw/zinc/43/08/21/608430821.db2.gz HGUFIRMJPVZYKE-SCZZXKLOSA-N -1 1 301.310 1.174 20 0 DDADMM COc1ccc2c(c1)CC[C@H](Nc1ccc(-c3nnn[n-]3)nn1)C2 ZINC000826212649 609590693 /nfs/dbraw/zinc/59/06/93/609590693.db2.gz WRRAJUVAZCDFGT-LBPRGKRZSA-N -1 1 323.360 1.635 20 0 DDADMM COc1ccc2c(c1)CC[C@H](Nc1ccc(-c3nn[n-]n3)nn1)C2 ZINC000826212649 609590694 /nfs/dbraw/zinc/59/06/94/609590694.db2.gz WRRAJUVAZCDFGT-LBPRGKRZSA-N -1 1 323.360 1.635 20 0 DDADMM Cc1nnc(CNC(=O)c2ccc(-c3nnn[n-]3)s2)s1 ZINC000826335743 609613393 /nfs/dbraw/zinc/61/33/93/609613393.db2.gz ZRINGHIJRJTEMU-UHFFFAOYSA-N -1 1 307.364 1.018 20 0 DDADMM Cc1nnc(CNC(=O)c2ccc(-c3nn[n-]n3)s2)s1 ZINC000826335743 609613394 /nfs/dbraw/zinc/61/33/94/609613394.db2.gz ZRINGHIJRJTEMU-UHFFFAOYSA-N -1 1 307.364 1.018 20 0 DDADMM CCOC(=O)[C@@H](CC)[C@H](C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000797145741 699996300 /nfs/dbraw/zinc/99/63/00/699996300.db2.gz WOCYILGFQSJDGZ-UWVGGRQHSA-N -1 1 311.334 1.936 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C(F)F)[C@H]2CCCO2)[n-]1 ZINC000797179191 699997756 /nfs/dbraw/zinc/99/77/56/699997756.db2.gz IDTOTQIGDRQNKT-ZJUUUORDSA-N -1 1 303.261 1.771 20 0 DDADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2C(=O)C1CC1)c1ncccc1[O-] ZINC000973598952 695501763 /nfs/dbraw/zinc/50/17/63/695501763.db2.gz LHIRKANYUSTYAU-YHWZYXNKSA-N -1 1 315.373 1.449 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@@H]2CC3CCC2CC3)C1)c1cnn[nH]1 ZINC000974225292 695648582 /nfs/dbraw/zinc/64/85/82/695648582.db2.gz LCSCXHBGFKWSIT-UDSBESMDSA-N -1 1 317.393 1.008 20 0 DDADMM CC(Nc1ccnn1[C@H](C)C1CC1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009875645 696037797 /nfs/dbraw/zinc/03/77/97/696037797.db2.gz AXBKBSLKXOBPNZ-MRVPVSSYSA-N -1 1 319.390 1.071 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000977129137 696056878 /nfs/dbraw/zinc/05/68/78/696056878.db2.gz RQNSTHJZXKSSFM-VINAVUOLSA-N -1 1 315.373 1.020 20 0 DDADMM O=C([N-]NC(=S)NC1CC1)c1cc(-c2ccccc2)[nH]n1 ZINC000015428944 696057651 /nfs/dbraw/zinc/05/76/51/696057651.db2.gz ZEZSNVPSFCTSNO-UHFFFAOYSA-N -1 1 301.375 1.348 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000747213113 700060227 /nfs/dbraw/zinc/06/02/27/700060227.db2.gz LRXKRNIDNIJNKM-SECBINFHSA-N -1 1 309.366 1.470 20 0 DDADMM CCC(NCCc1ccccc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000031433790 696120466 /nfs/dbraw/zinc/12/04/66/696120466.db2.gz BGNWJSJBNCJXSW-UHFFFAOYSA-N -1 1 303.387 1.014 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2ccc(OC)c(C)c2)n1 ZINC000032591863 696124878 /nfs/dbraw/zinc/12/48/78/696124878.db2.gz TZQUJOONJGSKFW-UHFFFAOYSA-N -1 1 324.362 1.108 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ccc(C)cc2)o1 ZINC000042263933 696147218 /nfs/dbraw/zinc/14/72/18/696147218.db2.gz ZPMDNGKMKULLIY-UHFFFAOYSA-N -1 1 309.343 1.853 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)Cn1cccn1 ZINC000747359309 700066206 /nfs/dbraw/zinc/06/62/06/700066206.db2.gz QWQPIEWRJHPZEX-JTQLQIEISA-N -1 1 303.366 1.629 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCc1nncn1C1CC1 ZINC000747382731 700066996 /nfs/dbraw/zinc/06/69/96/700066996.db2.gz CBPDVLGHEFACEG-UHFFFAOYSA-N -1 1 316.365 1.470 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC000977621667 696237771 /nfs/dbraw/zinc/23/77/71/696237771.db2.gz UKEFTVRTKQDNDG-GRYCIOLGSA-N -1 1 303.362 1.116 20 0 DDADMM CCn1cc(NC(=S)NN=c2nc(OC)cc(C)[n-]2)cn1 ZINC000747653295 700080134 /nfs/dbraw/zinc/08/01/34/700080134.db2.gz BGEDGZPFQNJPKU-UHFFFAOYSA-N -1 1 307.383 1.324 20 0 DDADMM CCC(NCc1cccc(Cl)c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000073139110 696401283 /nfs/dbraw/zinc/40/12/83/696401283.db2.gz KGAPHDVMTYHWGF-UHFFFAOYSA-N -1 1 323.805 1.625 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)[C@@H](C)n1nc(C)cc1C ZINC000073997682 696409628 /nfs/dbraw/zinc/40/96/28/696409628.db2.gz IPGDDDBAXSSPAR-LLVKDONJSA-N -1 1 322.438 1.694 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccn(-c3ccccc3)n2)s1 ZINC000079434622 696460421 /nfs/dbraw/zinc/46/04/21/696460421.db2.gz ANQSJNNPYFZYFD-SNVBAGLBSA-N -1 1 315.358 1.158 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@@H]2C2CCCCC2)s1 ZINC000079642283 696462316 /nfs/dbraw/zinc/46/23/16/696462316.db2.gz CJYOROALIBALJR-VXGBXAGGSA-N -1 1 309.435 1.905 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@H](c3ccccc3)C2)s1 ZINC000079810753 696463764 /nfs/dbraw/zinc/46/37/64/696463764.db2.gz ZYUQMRFESWNPDT-NWDGAFQWSA-N -1 1 303.387 1.349 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CC[C@H]2c2ccc(Cl)cc2)s1 ZINC000080661514 696536358 /nfs/dbraw/zinc/53/63/58/696536358.db2.gz HCSFEGNZWUXKPP-QWRGUYRKSA-N -1 1 323.805 1.960 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)CC(C)(C)C ZINC000081758604 696547778 /nfs/dbraw/zinc/54/77/78/696547778.db2.gz RPRHXASOJZTHTD-LLVKDONJSA-N -1 1 309.410 1.729 20 0 DDADMM CC(C)OC(=O)CNC(=O)c1cc(Br)ccc1[O-] ZINC000104127390 696618528 /nfs/dbraw/zinc/61/85/28/696618528.db2.gz MDTDIMVNIPIHNW-UHFFFAOYSA-N -1 1 316.151 1.836 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(F)cc1F ZINC000120805249 696701409 /nfs/dbraw/zinc/70/14/09/696701409.db2.gz OEWNJXPNLFAHSA-WKEGUHRASA-N -1 1 313.329 1.489 20 0 DDADMM O=C(Cc1cc[nH]n1)N[N-]C(=O)c1cc(Cl)ncc1Cl ZINC000153035916 696909042 /nfs/dbraw/zinc/90/90/42/696909042.db2.gz IUTZBRKGYUUDPN-UHFFFAOYSA-N -1 1 314.132 1.115 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccc(-n2cnc3ccccc32)nc1 ZINC000153762053 696921543 /nfs/dbraw/zinc/92/15/43/696921543.db2.gz VPLRWOGAAPJOOO-UHFFFAOYSA-N -1 1 306.289 1.186 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(-n2cnc3ccccc32)nc1 ZINC000153762053 696921545 /nfs/dbraw/zinc/92/15/45/696921545.db2.gz VPLRWOGAAPJOOO-UHFFFAOYSA-N -1 1 306.289 1.186 20 0 DDADMM CC(=O)N1CC[C@H](CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000982312198 697091916 /nfs/dbraw/zinc/09/19/16/697091916.db2.gz QSSVYRLTSVSRIR-GFCCVEGCSA-N -1 1 320.393 1.212 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982646925 697164436 /nfs/dbraw/zinc/16/44/36/697164436.db2.gz GNUCZACLFHHUPA-NEPJUHHUSA-N -1 1 317.389 1.412 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983339932 697243455 /nfs/dbraw/zinc/24/34/55/697243455.db2.gz BMRPUKDMBJOMSI-YNEHKIRRSA-N -1 1 317.389 1.412 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000983836637 697305565 /nfs/dbraw/zinc/30/55/65/697305565.db2.gz SIMZLHFGFTVTJF-CYBMUJFWSA-N -1 1 317.389 1.816 20 0 DDADMM O=C(NCc1ccccc1N1CCOCC1)c1cncc([O-])c1 ZINC000171865692 697363007 /nfs/dbraw/zinc/36/30/07/697363007.db2.gz UHKGGPWQTRQVDK-UHFFFAOYSA-N -1 1 313.357 1.554 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)c1cccc(F)c1 ZINC000182000002 697469344 /nfs/dbraw/zinc/46/93/44/697469344.db2.gz HPUNCWVGZNWEQV-UHFFFAOYSA-N -1 1 316.292 1.265 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C[C@@H]2C=CCC2)CC1 ZINC000985247830 697474803 /nfs/dbraw/zinc/47/48/03/697474803.db2.gz CJJMLHSGQFZDBT-GFCCVEGCSA-N -1 1 319.409 1.289 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC2(C(C)C)CC2)co1 ZINC000799675898 700157727 /nfs/dbraw/zinc/15/77/27/700157727.db2.gz QBXIJBXXIUVUDR-UHFFFAOYSA-N -1 1 301.364 1.781 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@@H]1C[C@@]1(C)C(C)C ZINC000186324542 697531758 /nfs/dbraw/zinc/53/17/58/697531758.db2.gz VUJJVBPJJBAXGQ-LRDDRELGSA-N -1 1 318.377 1.494 20 0 DDADMM O=[N+]([O-])c1c(F)cccc1S(=O)(=O)[N-]C[C@H]1CC[C@@H](O)C1 ZINC000188337750 697557321 /nfs/dbraw/zinc/55/73/21/697557321.db2.gz SCQCLHPXFVZOGG-DTWKUNHWSA-N -1 1 318.326 1.173 20 0 DDADMM CC(=O)C(C)(C)CCC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000772296507 697618306 /nfs/dbraw/zinc/61/83/06/697618306.db2.gz GCELRLRPNKBHEX-UHFFFAOYSA-N -1 1 319.357 1.882 20 0 DDADMM CCCc1ccc(NC(C)=C2C(=O)[N-]C(=S)NC2=O)cc1 ZINC000192694709 697636674 /nfs/dbraw/zinc/63/66/74/697636674.db2.gz NEHZEEAXAYMBFD-UHFFFAOYSA-N -1 1 303.387 1.856 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2cc3c(s2)CCCC3)C1=O ZINC000192728398 697638201 /nfs/dbraw/zinc/63/82/01/697638201.db2.gz SRJUSAWCUMXSBW-OAHLLOKOSA-N -1 1 321.402 1.992 20 0 DDADMM C[C@@H](O)c1ccc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)cc1 ZINC000193598092 697673509 /nfs/dbraw/zinc/67/35/09/697673509.db2.gz ZWSXCSYJZVTACA-LLVKDONJSA-N -1 1 323.352 1.906 20 0 DDADMM Cc1cnc(CN[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)o1 ZINC000986171220 697718186 /nfs/dbraw/zinc/71/81/86/697718186.db2.gz PPGLGHYNUCPWJT-VXGBXAGGSA-N -1 1 316.361 1.476 20 0 DDADMM Cc1cc(CN[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)no1 ZINC000986196336 697730437 /nfs/dbraw/zinc/73/04/37/697730437.db2.gz YOOQSIFURPILSQ-WCQYABFASA-N -1 1 316.361 1.476 20 0 DDADMM O=C(C=Cc1cccnc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000773495800 697768386 /nfs/dbraw/zinc/76/83/86/697768386.db2.gz IAQNZSBGLKFQIL-ARJAWSKDSA-N -1 1 300.318 1.590 20 0 DDADMM NC(=O)c1ccc(NC(=O)c2ccc3n[n-]c(=S)n3c2)cc1 ZINC000773691126 697794063 /nfs/dbraw/zinc/79/40/63/697794063.db2.gz WGGBYYKTTUNGHY-UHFFFAOYSA-N -1 1 313.342 1.369 20 0 DDADMM CCc1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)o1 ZINC000986437307 697812568 /nfs/dbraw/zinc/81/25/68/697812568.db2.gz OLRUIGYTBGZRBC-KOLCDFICSA-N -1 1 319.365 1.059 20 0 DDADMM COc1ncc(C)cc1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774436146 697879101 /nfs/dbraw/zinc/87/91/01/697879101.db2.gz ZOADGMFFJJCWHS-UHFFFAOYSA-N -1 1 315.358 1.982 20 0 DDADMM CO[C@@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)c1ccccc1 ZINC000774924748 697942903 /nfs/dbraw/zinc/94/29/03/697942903.db2.gz VDDIIQYKAUGKGT-LLVKDONJSA-N -1 1 319.279 1.986 20 0 DDADMM COCCN1CCCN(C(=O)c2cccc(Cl)c2[O-])CC1 ZINC000775672388 698021087 /nfs/dbraw/zinc/02/10/87/698021087.db2.gz SAMKHKZUIGSPGT-UHFFFAOYSA-N -1 1 312.797 1.840 20 0 DDADMM O=C(NCCc1n[n-]c(=S)o1)c1cc(F)cc2nc[nH]c21 ZINC000776540614 698114331 /nfs/dbraw/zinc/11/43/31/698114331.db2.gz SZZMLTRLXSCDJX-UHFFFAOYSA-N -1 1 307.310 1.346 20 0 DDADMM O=C([C@@H]1CC1(Cl)Cl)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776562593 698117393 /nfs/dbraw/zinc/11/73/93/698117393.db2.gz DUGGNLCWIMZLAU-WDSKDSINSA-N -1 1 308.194 1.010 20 0 DDADMM CCOCC(=O)COC(=O)c1ccc(Br)c([O-])c1 ZINC000777064943 698159061 /nfs/dbraw/zinc/15/90/61/698159061.db2.gz HLPYJHWNYYVXEF-UHFFFAOYSA-N -1 1 317.135 1.917 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1CCCCS1 ZINC000777360815 698182536 /nfs/dbraw/zinc/18/25/36/698182536.db2.gz JLJWOHVTDVMETH-LLVKDONJSA-N -1 1 322.390 1.097 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)C1CC1 ZINC000987927449 698267832 /nfs/dbraw/zinc/26/78/32/698267832.db2.gz CODCYUYTBNQSFX-NSHDSACASA-N -1 1 303.362 1.118 20 0 DDADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CCCCC1 ZINC000987958139 698272633 /nfs/dbraw/zinc/27/26/33/698272633.db2.gz CHJPSUYMYBRTPV-AAEUAGOBSA-N -1 1 321.425 1.560 20 0 DDADMM Cc1ccc(-c2c[nH]cc2C(=O)OCc2nc(=O)n(C)[n-]2)s1 ZINC000778432382 698335526 /nfs/dbraw/zinc/33/55/26/698335526.db2.gz XCYPLKALOLPEGS-UHFFFAOYSA-N -1 1 318.358 1.830 20 0 DDADMM O=C(Cc1c(F)ccc(Br)c1F)[N-]OCCO ZINC000800539361 700232156 /nfs/dbraw/zinc/23/21/56/700232156.db2.gz AULKJBGFAMRHJD-UHFFFAOYSA-N -1 1 310.094 1.310 20 0 DDADMM C[C@H](NC(=O)NC1CCN(Cc2ccc(F)cc2)CC1)C(=O)[O-] ZINC000780446000 698519145 /nfs/dbraw/zinc/51/91/45/698519145.db2.gz WEZWHBODXLIEQE-NSHDSACASA-N -1 1 323.368 1.562 20 0 DDADMM Cc1ncc(C(=O)Nc2nc(Br)ccc2[O-])c(N)n1 ZINC000783911055 698891005 /nfs/dbraw/zinc/89/10/05/698891005.db2.gz VMVIGZXBPGCQOP-UHFFFAOYSA-N -1 1 324.138 1.483 20 0 DDADMM O=C([N-]C1CN(C(=O)c2c(F)ccc(F)c2Cl)C1)C(F)F ZINC000990025500 698903660 /nfs/dbraw/zinc/90/36/60/698903660.db2.gz YEYKZMVOHJGEBI-UHFFFAOYSA-N -1 1 324.661 1.824 20 0 DDADMM CCOC(=O)[C@H](C)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000785410324 699074431 /nfs/dbraw/zinc/07/44/31/699074431.db2.gz WZMGMKYWRBCXSU-ZETCQYMHSA-N -1 1 301.726 1.736 20 0 DDADMM COc1cccc([C@H](CNC(=O)N[C@H](C)C(=O)[O-])N(C)C)c1 ZINC000385805622 699075565 /nfs/dbraw/zinc/07/55/65/699075565.db2.gz QWEUESAIOBRGLQ-MFKMUULPSA-N -1 1 309.366 1.070 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@]1(O)CCC[C@H]1C ZINC000392929240 699101518 /nfs/dbraw/zinc/10/15/18/699101518.db2.gz JJMIKVDTZSSCGE-QLJPJBMISA-N -1 1 307.394 1.895 20 0 DDADMM COCCN1CCC[C@@H](Nc2nc(C(=O)[O-])ccc2[N+](=O)[O-])C1 ZINC000399393546 699122969 /nfs/dbraw/zinc/12/29/69/699122969.db2.gz PADYIPUSZKYKGJ-SNVBAGLBSA-N -1 1 324.337 1.211 20 0 DDADMM O=C(C=C1CCCCC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990970630 699195834 /nfs/dbraw/zinc/19/58/34/699195834.db2.gz WYDRBAVUSSZCJC-UHFFFAOYSA-N -1 1 315.373 1.618 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971674 699195916 /nfs/dbraw/zinc/19/59/16/699195916.db2.gz ILSPZZHOAFKTQV-NEPJUHHUSA-N -1 1 303.362 1.020 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cc(Cl)ncc1Br ZINC000709824889 699252931 /nfs/dbraw/zinc/25/29/31/699252931.db2.gz JTVXZLSVJVGVJB-UHFFFAOYSA-N -1 1 303.507 1.263 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc(Cl)ncc1Br ZINC000709824889 699252934 /nfs/dbraw/zinc/25/29/34/699252934.db2.gz JTVXZLSVJVGVJB-UHFFFAOYSA-N -1 1 303.507 1.263 20 0 DDADMM O=C(NCC[C@@H]1CCCS1(=O)=O)c1ccc(Cl)cc1[O-] ZINC000714381433 699271313 /nfs/dbraw/zinc/27/13/13/699271313.db2.gz JHDRVOCGXCWJKD-JTQLQIEISA-N -1 1 317.794 1.743 20 0 DDADMM Ic1cnc(=NOC[C@H]2CCOC2)[n-]c1 ZINC000788084145 699276504 /nfs/dbraw/zinc/27/65/04/699276504.db2.gz YUFZWGKYZMUMQL-ZETCQYMHSA-N -1 1 321.118 1.461 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC12OCCO2)c1cc(F)ccc1F ZINC000715943300 699278818 /nfs/dbraw/zinc/27/88/18/699278818.db2.gz WJTWDJSELZVCRZ-GFCCVEGCSA-N -1 1 319.329 1.539 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC000788146170 699283973 /nfs/dbraw/zinc/28/39/73/699283973.db2.gz AAQJYTUPTVCZTF-CMPLNLGQSA-N -1 1 303.391 1.620 20 0 DDADMM O=C(NCc1nc(Cc2ccccc2)no1)c1ncccc1[O-] ZINC000725892480 699338428 /nfs/dbraw/zinc/33/84/28/699338428.db2.gz SZLHOMSBMPATKJ-UHFFFAOYSA-N -1 1 310.313 1.691 20 0 DDADMM O=C(COC(=O)c1c([O-])cc(F)cc1F)OCC(F)(F)F ZINC000788921856 699347697 /nfs/dbraw/zinc/34/76/97/699347697.db2.gz AKZYMGSJJTYLLB-UHFFFAOYSA-N -1 1 314.162 1.933 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)COC3CCCCC3)nc2n1 ZINC000726377068 699361582 /nfs/dbraw/zinc/36/15/82/699361582.db2.gz RDMGLWDTVVQXBJ-UHFFFAOYSA-N -1 1 305.338 1.014 20 0 DDADMM CC(C)c1ccccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000726866205 699386203 /nfs/dbraw/zinc/38/62/03/699386203.db2.gz WISOZXPAODKXKX-UHFFFAOYSA-N -1 1 301.346 1.689 20 0 DDADMM Cc1nc2ccc(NC(=O)NN3CC(=O)[N-]C3=O)cc2s1 ZINC000789834500 699413612 /nfs/dbraw/zinc/41/36/12/699413612.db2.gz QLDGRPFODWKNGT-UHFFFAOYSA-N -1 1 305.319 1.193 20 0 DDADMM CC1=C(C(=O)Nc2n[n-]c(C(F)(F)F)n2)C(=O)OC1(C)C ZINC000728203521 699441503 /nfs/dbraw/zinc/44/15/03/699441503.db2.gz WVRDNZLOQIBQHF-UHFFFAOYSA-N -1 1 304.228 1.414 20 0 DDADMM O=C(CCOCC(F)(F)C(F)F)[N-]O[C@H]1CCCCO1 ZINC000790550161 699466439 /nfs/dbraw/zinc/46/64/39/699466439.db2.gz YWXZOUREDDTZSO-VIFPVBQESA-N -1 1 303.252 1.868 20 0 DDADMM CC(C)(C)OC(=O)Nc1cc(C(=O)Nc2nnn[n-]2)ccc1F ZINC000730590226 699513163 /nfs/dbraw/zinc/51/31/63/699513163.db2.gz JNSLZNZVSCVYCD-UHFFFAOYSA-N -1 1 322.300 1.938 20 0 DDADMM CC(C)(C)OC(=O)Nc1cc(C(=O)Nc2nn[n-]n2)ccc1F ZINC000730590226 699513164 /nfs/dbraw/zinc/51/31/64/699513164.db2.gz JNSLZNZVSCVYCD-UHFFFAOYSA-N -1 1 322.300 1.938 20 0 DDADMM Cc1nc2ccccc2cc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732089285 699545973 /nfs/dbraw/zinc/54/59/73/699545973.db2.gz FDEORWZGOBGQLY-AWEZNQCLSA-N -1 1 324.344 1.270 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc2c(c1)OCCCO2 ZINC000732219831 699551203 /nfs/dbraw/zinc/55/12/03/699551203.db2.gz KKHKWGXHROYZJO-UHFFFAOYSA-N -1 1 310.331 1.647 20 0 DDADMM O=C(COC(=O)CC[C@H]1CCCCO1)[N-]C(=O)c1ccccc1 ZINC000732381423 699558244 /nfs/dbraw/zinc/55/82/44/699558244.db2.gz SOBRWSNFXMEUED-CQSZACIVSA-N -1 1 319.357 1.836 20 0 DDADMM CCS(=O)(=O)C[C@H](C)NC(=O)c1c([O-])cccc1Cl ZINC000733369829 699590698 /nfs/dbraw/zinc/59/06/98/699590698.db2.gz NOUHASJOOOFYEG-QMMMGPOBSA-N -1 1 305.783 1.599 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC[C@H](N2CCCC2=O)C1 ZINC000791080615 699610440 /nfs/dbraw/zinc/61/04/40/699610440.db2.gz FDYNAUSDFVJLNI-JTQLQIEISA-N -1 1 308.765 1.883 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCc3cccs3)ccnc1-2 ZINC000791089675 699610881 /nfs/dbraw/zinc/61/08/81/699610881.db2.gz OYLNYDCMDIBBPD-UHFFFAOYSA-N -1 1 301.375 1.768 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccc4c(c3)OCCCO4)ccnc1-2 ZINC000791165305 699613615 /nfs/dbraw/zinc/61/36/15/699613615.db2.gz OVQXHINBRGDWKG-UHFFFAOYSA-N -1 1 324.340 1.755 20 0 DDADMM CC(C)(C)C1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000734818769 699662766 /nfs/dbraw/zinc/66/27/66/699662766.db2.gz WJVDSCBBVIJHIN-UHFFFAOYSA-N -1 1 307.394 1.211 20 0 DDADMM O=C(CCc1cccc(Cl)c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737857875 699746731 /nfs/dbraw/zinc/74/67/31/699746731.db2.gz VXNDNAIMKFUXPI-LBPRGKRZSA-N -1 1 321.768 1.386 20 0 DDADMM CN(C)[C@@H](Cc1ccccc1)C(=O)NCCN(C(=O)[O-])C1CC1 ZINC000739606056 699776675 /nfs/dbraw/zinc/77/66/75/699776675.db2.gz DTJAJOFFFRQRNG-HNNXBMFYSA-N -1 1 319.405 1.418 20 0 DDADMM CSCCO[N-]C(=O)[C@@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000794191884 699796855 /nfs/dbraw/zinc/79/68/55/699796855.db2.gz IFZXFPYMGVNVMZ-SECBINFHSA-N -1 1 322.390 1.092 20 0 DDADMM CCc1cc(C[N-]S(=O)(=O)c2ncn(C)c2Cl)on1 ZINC000741248977 699824673 /nfs/dbraw/zinc/82/46/73/699824673.db2.gz DPSVUQPTMAGXET-UHFFFAOYSA-N -1 1 304.759 1.102 20 0 DDADMM CCCn1c(C)nnc1COC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796353016 699928627 /nfs/dbraw/zinc/92/86/27/699928627.db2.gz ZBXAVIHGGGJZHF-UHFFFAOYSA-N -1 1 306.322 1.468 20 0 DDADMM O=C(O[C@H]1CCOC1=O)c1nn(-c2cccc(Cl)c2)cc1[O-] ZINC000801359732 700301030 /nfs/dbraw/zinc/30/10/30/700301030.db2.gz LKMKTNQYEHUARD-NSHDSACASA-N -1 1 322.704 1.704 20 0 DDADMM COC(C)(C)CC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000867728625 701716560 /nfs/dbraw/zinc/71/65/60/701716560.db2.gz VPDUHKDXCDNJRJ-UHFFFAOYSA-N -1 1 315.317 1.522 20 0 DDADMM COc1cccc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)n1 ZINC000752796232 700388518 /nfs/dbraw/zinc/38/85/18/700388518.db2.gz VBQFUCXSWROFLU-CYBMUJFWSA-N -1 1 310.317 1.123 20 0 DDADMM CC[C@H](C)c1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000755342689 700562236 /nfs/dbraw/zinc/56/22/36/700562236.db2.gz CIUSVLBPLAUEBR-FZMZJTMJSA-N -1 1 315.377 1.927 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)Cc2ccco2)co1 ZINC000755490912 700567676 /nfs/dbraw/zinc/56/76/76/700567676.db2.gz SXZMCBONGMVIGF-VIFPVBQESA-N -1 1 312.347 1.142 20 0 DDADMM CN1CCC[C@@H]([N-]C(=O)C(F)(F)c2c(F)cccc2F)C1=O ZINC000757048880 700654070 /nfs/dbraw/zinc/65/40/70/700654070.db2.gz DQUUAAJYQDDCTF-SNVBAGLBSA-N -1 1 318.270 1.794 20 0 DDADMM Cc1nc(C)c(CC(=O)[N-]OCc2ccc(F)cc2)c(=O)[nH]1 ZINC000757609963 700666022 /nfs/dbraw/zinc/66/60/22/700666022.db2.gz DAGIVODTLNQSNQ-UHFFFAOYSA-N -1 1 305.309 1.729 20 0 DDADMM Nc1ccnc(C[N-]C(=O)C(F)(F)c2c(F)cccc2F)n1 ZINC000757899277 700675500 /nfs/dbraw/zinc/67/55/00/700675500.db2.gz SEFQOHSGVDIROH-UHFFFAOYSA-N -1 1 314.242 1.745 20 0 DDADMM Cn1cc(C(=O)[N-]OCC(F)(F)F)c2ccccc2c1=O ZINC000760454960 700808875 /nfs/dbraw/zinc/80/88/75/700808875.db2.gz NOUMZJQJMYUFSO-UHFFFAOYSA-N -1 1 300.236 1.762 20 0 DDADMM CCC[C@H](C)c1noc(C[N-]S(=O)(=O)CCOC(C)C)n1 ZINC000761845248 700870862 /nfs/dbraw/zinc/87/08/62/700870862.db2.gz PPTMHKMJOYMUNZ-NSHDSACASA-N -1 1 319.427 1.818 20 0 DDADMM CCOC(=O)C[C@@H](C)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000762236373 700886301 /nfs/dbraw/zinc/88/63/01/700886301.db2.gz MKLNEUZTQPHMCV-SNVBAGLBSA-N -1 1 323.393 1.933 20 0 DDADMM Cn1nccc1CC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000762554983 700898077 /nfs/dbraw/zinc/89/80/77/700898077.db2.gz MAAOTZMGRKZGHQ-UHFFFAOYSA-N -1 1 305.359 1.687 20 0 DDADMM CC[C@@H](NC(=O)[C@@H](N)c1ccc(Br)cc1)C(=O)[O-] ZINC000763027955 700920603 /nfs/dbraw/zinc/92/06/03/700920603.db2.gz HOPFMQUWLVWMQD-ZJUUUORDSA-N -1 1 315.167 1.428 20 0 DDADMM O=C1CCCc2cc(OS(=O)(=O)c3c[n-]cn3)ccc2N1 ZINC000763436409 700937951 /nfs/dbraw/zinc/93/79/51/700937951.db2.gz YIKPZWDPROYIBN-UHFFFAOYSA-N -1 1 307.331 1.452 20 0 DDADMM O=C(c1cc(=O)[nH][n-]1)N1CCC[C@@H]1c1n[nH]c(-c2ccccc2)n1 ZINC000765235163 701004012 /nfs/dbraw/zinc/00/40/12/701004012.db2.gz YDXYQYHDNUEXHP-GFCCVEGCSA-N -1 1 324.344 1.011 20 0 DDADMM O=C(c1cc(=O)[nH][n-]1)N1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000765235163 701004014 /nfs/dbraw/zinc/00/40/14/701004014.db2.gz YDXYQYHDNUEXHP-GFCCVEGCSA-N -1 1 324.344 1.011 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)OCc2nc(=O)n(C)[n-]2)s1 ZINC000765418783 701011039 /nfs/dbraw/zinc/01/10/39/701011039.db2.gz LSXCOAAVQGGWSV-UHFFFAOYSA-N -1 1 309.347 1.185 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccccc2OCC2CC2)nc1=O ZINC000765461154 701013865 /nfs/dbraw/zinc/01/38/65/701013865.db2.gz KXAODVPOVCBWME-UHFFFAOYSA-N -1 1 303.318 1.254 20 0 DDADMM CC(C)Cn1ncc(C(=O)OCc2nc(=O)n(C)[n-]2)c1C1CC1 ZINC000765495529 701016149 /nfs/dbraw/zinc/01/61/49/701016149.db2.gz FCSRDLRJZBIZLP-UHFFFAOYSA-N -1 1 319.365 1.195 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(-c3ccco3)s2)nc1=O ZINC000765494915 701016255 /nfs/dbraw/zinc/01/62/55/701016255.db2.gz QCDXANIXZNPBNW-UHFFFAOYSA-N -1 1 305.315 1.787 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2cccc3ncccc32)C1 ZINC000766031462 701034755 /nfs/dbraw/zinc/03/47/55/701034755.db2.gz VKDOCVSMIVNBNQ-GFCCVEGCSA-N -1 1 313.357 1.970 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C)COc1ccccc1 ZINC000809809720 701691656 /nfs/dbraw/zinc/69/16/56/701691656.db2.gz XMMFVRZBAZFIPN-SECBINFHSA-N -1 1 311.363 1.311 20 0 DDADMM O=C(COC(=O)C1SCCS1)[N-]C(=O)c1ccccc1 ZINC000768585896 701188396 /nfs/dbraw/zinc/18/83/96/701188396.db2.gz FPXJISMMGXWVDG-UHFFFAOYSA-N -1 1 311.384 1.292 20 0 DDADMM COC[C@@H](C)COC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000769332031 701241662 /nfs/dbraw/zinc/24/16/62/701241662.db2.gz PIXSASXXIJBSMF-SECBINFHSA-N -1 1 314.407 1.971 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc2c(c1)OC(=O)C[C@H]2C ZINC000770445421 701278172 /nfs/dbraw/zinc/27/81/72/701278172.db2.gz GNLDUESUMSNDTI-MRVPVSSYSA-N -1 1 322.342 1.898 20 0 DDADMM Cc1c2cc(Cl)ccc2oc1C(=O)[N-]N1CC(=O)N(C)C1=O ZINC000770455120 701278697 /nfs/dbraw/zinc/27/86/97/701278697.db2.gz LRDVVJRDDHQNKE-UHFFFAOYSA-N -1 1 321.720 1.933 20 0 DDADMM Cn1ncc2c1nc(Sc1nnnn1C1CCCC1)[n-]c2=O ZINC000770982465 701302548 /nfs/dbraw/zinc/30/25/48/701302548.db2.gz MRXYYODGNKPGFV-UHFFFAOYSA-N -1 1 318.366 1.322 20 0 DDADMM O=C(OCCCc1nc(-c2ccncc2)no1)c1cn[n-]n1 ZINC000805604786 701397837 /nfs/dbraw/zinc/39/78/37/701397837.db2.gz FQOMEDDOERLWNC-UHFFFAOYSA-N -1 1 300.278 1.039 20 0 DDADMM CN(Cc1ccc(Cl)s1)C(=O)COC(=O)c1cn[n-]n1 ZINC000805604655 701398146 /nfs/dbraw/zinc/39/81/46/701398146.db2.gz NYZSJLPTCBLYLZ-UHFFFAOYSA-N -1 1 314.754 1.335 20 0 DDADMM COc1ccc(NC(=O)[C@@H](C)OC(=O)c2cn[n-]n2)c(OC)c1 ZINC000805606704 701398874 /nfs/dbraw/zinc/39/88/74/701398874.db2.gz KXCDCQIHYKVXLJ-MRVPVSSYSA-N -1 1 320.305 1.006 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3Cc4ccccc43)ccnc1-2 ZINC000806480708 701436720 /nfs/dbraw/zinc/43/67/20/701436720.db2.gz UNEHXMUJASYXMW-LBPRGKRZSA-N -1 1 307.357 1.803 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3Cc4ccccc43)ccnc1-2 ZINC000806480707 701436733 /nfs/dbraw/zinc/43/67/33/701436733.db2.gz UNEHXMUJASYXMW-GFCCVEGCSA-N -1 1 307.357 1.803 20 0 DDADMM Cc1c(CN(C)C(=O)N=c2ccnc3n(C)[n-]cc2-3)cnn1C ZINC000806486832 701437491 /nfs/dbraw/zinc/43/74/91/701437491.db2.gz HZFLOHVTGDLMFF-UHFFFAOYSA-N -1 1 313.365 1.048 20 0 DDADMM CO[C@H]1CCC[C@@H]1CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806486920 701437544 /nfs/dbraw/zinc/43/75/44/701437544.db2.gz KCYSZMKYTAQDIV-MFKMUULPSA-N -1 1 303.366 1.278 20 0 DDADMM C[C@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@@H](C2CC2)O1 ZINC000806489738 701437904 /nfs/dbraw/zinc/43/79/04/701437904.db2.gz BQPILNQOISRHMB-HZMBPMFUSA-N -1 1 315.377 1.373 20 0 DDADMM O=C([N-]n1ccccc1=O)c1nc[nH]c1C(=O)c1ccccc1 ZINC000807199394 701469264 /nfs/dbraw/zinc/46/92/64/701469264.db2.gz ZFLHOEPXXACSOS-UHFFFAOYSA-N -1 1 308.297 1.186 20 0 DDADMM COC(=O)[C@@]1(C)CCCN(CC(=O)[N-]OCc2ccccc2)C1 ZINC000839664621 701771460 /nfs/dbraw/zinc/77/14/60/701771460.db2.gz COUILCDOBLFYPG-KRWDZBQOSA-N -1 1 320.389 1.510 20 0 DDADMM Cn1ncc(CCC[N-]S(=O)(=O)c2cc3ccccc3o2)n1 ZINC000815053004 701788978 /nfs/dbraw/zinc/78/89/78/701788978.db2.gz KANRYVOITAXUBO-UHFFFAOYSA-N -1 1 320.374 1.472 20 0 DDADMM O=C(CC1CCCCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830969615 706613302 /nfs/dbraw/zinc/61/33/02/706613302.db2.gz FTTVXDIMPGXCDO-UHFFFAOYSA-N -1 1 305.378 1.842 20 0 DDADMM CC(C)C[C@@H](C)OC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000867896818 701815774 /nfs/dbraw/zinc/81/57/74/701815774.db2.gz XPBGWLTWEZFGTB-SNVBAGLBSA-N -1 1 309.366 1.916 20 0 DDADMM O=C(NCCS(=O)(=O)C1CC1)c1ccc2ccccc2c1[O-] ZINC000839855076 701845731 /nfs/dbraw/zinc/84/57/31/701845731.db2.gz CSYBZMOLIYFKNJ-UHFFFAOYSA-N -1 1 319.382 1.852 20 0 DDADMM Cc1ccn(C[C@@H](C)C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000868010260 701889976 /nfs/dbraw/zinc/88/99/76/701889976.db2.gz ILNFYXBDWVCFQT-PWSUYJOCSA-N -1 1 319.365 1.322 20 0 DDADMM CC(C)O[C@@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1(C)C ZINC000831116073 706637644 /nfs/dbraw/zinc/63/76/44/706637644.db2.gz VDIFERFBCQUCNC-NXEZZACHSA-N -1 1 321.830 1.934 20 0 DDADMM Cc1nnc([C@@H](C)OC(=O)[C@@H]([N-]C(=O)C(F)(F)F)C(C)C)[nH]1 ZINC000840527461 702142405 /nfs/dbraw/zinc/14/24/05/702142405.db2.gz DXJSUCNQJQDTMF-SVRRBLITSA-N -1 1 322.287 1.420 20 0 DDADMM CC(C)C[C@H]1OCCC[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000831148637 706644360 /nfs/dbraw/zinc/64/43/60/706644360.db2.gz WHSSBPMHVYPXCR-VHSXEESVSA-N -1 1 321.830 1.935 20 0 DDADMM CC1(C)CN(CC(=O)Nc2nc(Br)ccc2[O-])C1 ZINC000816953103 702236449 /nfs/dbraw/zinc/23/64/49/702236449.db2.gz ZGKCFMVZXVVLJZ-UHFFFAOYSA-N -1 1 314.183 1.830 20 0 DDADMM O=C([N-]OC1CCC1)[C@@H]1CC(=O)N(c2cc(F)cc(F)c2)C1 ZINC000812790905 702239967 /nfs/dbraw/zinc/23/99/67/702239967.db2.gz LIYHCZWVPYVNCU-SECBINFHSA-N -1 1 310.300 1.918 20 0 DDADMM CC(C)OCCO[N-]C(=O)C[C@H]1Oc2ccccc2NC1=O ZINC000812805178 702243641 /nfs/dbraw/zinc/24/36/41/702243641.db2.gz ZGTJQXDOZHJKRH-CYBMUJFWSA-N -1 1 308.334 1.249 20 0 DDADMM CC(C)(NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1F ZINC000817039412 702258492 /nfs/dbraw/zinc/25/84/92/702258492.db2.gz UUAXGDIEIDMWCP-UHFFFAOYSA-N -1 1 319.336 1.117 20 0 DDADMM Cc1cccc(NC(=O)c2c(=O)[nH]c(=O)n(CC(C)C)c2[O-])c1 ZINC000817570801 702413655 /nfs/dbraw/zinc/41/36/55/702413655.db2.gz HKVOLPVSZJBLDH-LBPRGKRZSA-N -1 1 317.345 1.284 20 0 DDADMM O=C([N-]CC1CN(c2ccc3nccnc3n2)C1)C(F)(F)F ZINC000866296524 706668222 /nfs/dbraw/zinc/66/82/22/706668222.db2.gz SQOBTRQYCFFBFI-UHFFFAOYSA-N -1 1 311.267 1.140 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)Cc2c(F)cccc2Cl)C(=O)O1 ZINC000841520162 702476696 /nfs/dbraw/zinc/47/66/96/702476696.db2.gz FZKQKXOZNVZLTA-CPCISQLKSA-N -1 1 321.757 1.603 20 0 DDADMM COCCC[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000866378788 706685337 /nfs/dbraw/zinc/68/53/37/706685337.db2.gz CFRDHDNMUSRBAW-GOSISDBHSA-N -1 1 306.409 1.014 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)NCCc1cccc2cccnc21 ZINC000818462926 702649855 /nfs/dbraw/zinc/64/98/55/702649855.db2.gz BMJJWSMNBVWZSE-UHFFFAOYSA-N -1 1 315.373 1.300 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C[C@H]2C=CCCC2)CCC1 ZINC000843016949 702804353 /nfs/dbraw/zinc/80/43/53/702804353.db2.gz YPTNVFHXOOWICF-ZDUSSCGKSA-N -1 1 314.451 1.663 20 0 DDADMM C/C(=C/C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)C(C)(C)C ZINC000843018887 702804415 /nfs/dbraw/zinc/80/44/15/702804415.db2.gz WPRWNFCNXWASGN-BENRWUELSA-N -1 1 316.467 1.909 20 0 DDADMM CC(C)(C)OC(=O)COCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000843771429 702921834 /nfs/dbraw/zinc/92/18/34/702921834.db2.gz KFYFNPKXYSTULC-UHFFFAOYSA-N -1 1 324.259 1.120 20 0 DDADMM CCC[C@@H](C)[C@@H](CO)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000844651094 703051362 /nfs/dbraw/zinc/05/13/62/703051362.db2.gz TZBGOJHJDULHQW-ZWNOBZJWSA-N -1 1 305.382 1.260 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2CC[C@@H]1O2)c1ccc(Br)o1 ZINC000845982481 703223497 /nfs/dbraw/zinc/22/34/97/703223497.db2.gz RTYAHYBSTPRBOF-PRJMDXOYSA-N -1 1 322.180 1.640 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1C(=O)OCC1(C)C)C1CCCC1 ZINC000849398335 703643471 /nfs/dbraw/zinc/64/34/71/703643471.db2.gz RKZLELRXDMAJQA-VXGBXAGGSA-N -1 1 319.423 1.063 20 0 DDADMM CC[C@@]1(C(=O)OCCc2cc(C(C)=O)ccc2[O-])CCNC1=O ZINC000870048501 703901701 /nfs/dbraw/zinc/90/17/01/703901701.db2.gz GZUQSGBMSVXQHV-QGZVFWFLSA-N -1 1 319.357 1.597 20 0 DDADMM COCC(C)(C)[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000866718359 706774352 /nfs/dbraw/zinc/77/43/52/706774352.db2.gz GZLLIJNTHWMKIC-LJQANCHMSA-N -1 1 320.436 1.403 20 0 DDADMM CCC(C)(C)NC(=O)CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879763855 706784534 /nfs/dbraw/zinc/78/45/34/706784534.db2.gz QYZRJYADJGCHQC-CYBMUJFWSA-N -1 1 323.359 1.434 20 0 DDADMM CCCC[C@H](C(=O)OC)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879766124 706786487 /nfs/dbraw/zinc/78/64/87/706786487.db2.gz VGTVRCTUWSFYHY-ZWNOBZJWSA-N -1 1 324.343 1.861 20 0 DDADMM CO[C@H]1CC[C@H](C)N(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC000870715884 704111589 /nfs/dbraw/zinc/11/15/89/704111589.db2.gz OBQQKQUGNLDASW-WPRPVWTQSA-N -1 1 311.407 1.852 20 0 DDADMM Cc1cccnc1C(F)(F)C(=O)[N-][C@H](C)[C@H](O)C(F)(F)F ZINC000819568519 704143179 /nfs/dbraw/zinc/14/31/79/704143179.db2.gz VCQPFGLXRGFBEG-APPZFPTMSA-N -1 1 312.238 1.910 20 0 DDADMM CC1(C)CCCC[C@H]1CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000819620708 704151511 /nfs/dbraw/zinc/15/15/11/704151511.db2.gz RGRQYQOUNPDCLE-LBPRGKRZSA-N -1 1 321.425 1.616 20 0 DDADMM O=C(Nc1n[nH]c2ncnn12)c1ccc2[n-]c(=S)oc2c1 ZINC000819973207 704195053 /nfs/dbraw/zinc/19/50/53/704195053.db2.gz SJUQLDJRRBLBPO-UHFFFAOYSA-N -1 1 301.291 1.135 20 0 DDADMM CC(C)c1nnc(N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)n1C ZINC000871046711 704207427 /nfs/dbraw/zinc/20/74/27/704207427.db2.gz INGUUXFXTPQLMC-LBPRGKRZSA-N -1 1 319.331 1.586 20 0 DDADMM O=C(c1cccc2nccn21)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000820068628 704214860 /nfs/dbraw/zinc/21/48/60/704214860.db2.gz RSZMTDXMBACYAY-UHFFFAOYSA-N -1 1 313.317 1.443 20 0 DDADMM CC(C)CCO[C@H](C)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000820076803 704217247 /nfs/dbraw/zinc/21/72/47/704217247.db2.gz SUOPNACBJBTMIN-SNVBAGLBSA-N -1 1 319.365 1.962 20 0 DDADMM CON(C(=O)C(=O)c1ccc([O-])cc1)[C@@H](C)C(=O)OC(C)(C)C ZINC000871246872 704253804 /nfs/dbraw/zinc/25/38/04/704253804.db2.gz QZEBMKHDVBJXBH-JTQLQIEISA-N -1 1 323.345 1.695 20 0 DDADMM C[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)c1ccc(F)cc1F ZINC000820773697 704329371 /nfs/dbraw/zinc/32/93/71/704329371.db2.gz DOBVDHGAMTYUPN-ZETCQYMHSA-N -1 1 312.363 1.588 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1C[C@@H](c2ccccc2)OC1=O ZINC000854635738 704430524 /nfs/dbraw/zinc/43/05/24/704430524.db2.gz WQJQHLPJBXGYNO-MNOVXSKESA-N -1 1 301.302 1.667 20 0 DDADMM C[C@@H](c1nc2ccc(Cl)cc2[nH]1)N(C)C(=O)c1cc(=O)[nH][n-]1 ZINC000854818668 704456353 /nfs/dbraw/zinc/45/63/53/704456353.db2.gz OMDRLVHTVKJREQ-ZETCQYMHSA-N -1 1 319.752 1.612 20 0 DDADMM CC(=O)CCC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856420267 704528316 /nfs/dbraw/zinc/52/83/16/704528316.db2.gz HVUBJVPPTOHWIK-SCZZXKLOSA-N -1 1 308.300 1.271 20 0 DDADMM CC[C@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866845356 706823007 /nfs/dbraw/zinc/82/30/07/706823007.db2.gz FTKOKVPZHHDDIP-QPUJVOFHSA-N -1 1 324.805 1.950 20 0 DDADMM COC1CCC(CC(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)CC1 ZINC000857622204 704608358 /nfs/dbraw/zinc/60/83/58/704608358.db2.gz RJFNKKZYDIUFPW-UHFFFAOYSA-N -1 1 319.365 1.260 20 0 DDADMM CC1(C)[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)[C@@H]2CCO[C@@H]21 ZINC000866861263 706828811 /nfs/dbraw/zinc/82/88/11/706828811.db2.gz JYBSWCQGYQOTDH-XROYCOCOSA-N -1 1 318.345 1.452 20 0 DDADMM O=S(=O)([N-][C@H]1[C@@H]2COC[C@@H]21)c1cc(Cl)cnc1Cl ZINC000867215172 706927617 /nfs/dbraw/zinc/92/76/17/706927617.db2.gz OIOHHQCHDDMXNP-QMSLJYSESA-N -1 1 309.174 1.312 20 0 DDADMM CC[C@H](C[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F)OC ZINC000867284147 706948049 /nfs/dbraw/zinc/94/80/49/706948049.db2.gz UQDAJCRIUNVSJG-SSDOTTSWSA-N -1 1 315.317 1.142 20 0 DDADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)[C@H]1COC(C)(C)C1 ZINC000859048311 704791045 /nfs/dbraw/zinc/79/10/45/704791045.db2.gz FWBZVGODFSCZMW-NEPJUHHUSA-N -1 1 319.423 1.205 20 0 DDADMM COc1ccccc1[C@@H](CO)Nc1cccc(-c2nnn[n-]2)n1 ZINC000821882784 704834383 /nfs/dbraw/zinc/83/43/83/704834383.db2.gz KPAPEMKIQCZQLP-GFCCVEGCSA-N -1 1 312.333 1.416 20 0 DDADMM COc1ccccc1[C@@H](CO)Nc1cccc(-c2nn[n-]n2)n1 ZINC000821882784 704834389 /nfs/dbraw/zinc/83/43/89/704834389.db2.gz KPAPEMKIQCZQLP-GFCCVEGCSA-N -1 1 312.333 1.416 20 0 DDADMM CCCO[N-]C(=O)[C@H]1CO[C@@H](CCC(=O)OC(C)(C)C)C1 ZINC000880418716 706968355 /nfs/dbraw/zinc/96/83/55/706968355.db2.gz DBTCLADDORFRDI-NEPJUHHUSA-N -1 1 301.383 1.971 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1CS[C@H](C)C1 ZINC000867401297 706988721 /nfs/dbraw/zinc/98/87/21/706988721.db2.gz HMEHFKDFGZTABY-RKDXNWHRSA-N -1 1 300.471 1.223 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@H](O)C(C)C ZINC000867406604 706990766 /nfs/dbraw/zinc/99/07/66/706990766.db2.gz WRTTVZKPOMDPBI-GZMMTYOYSA-N -1 1 308.350 1.434 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2CC[C@H]3C[C@H]3C2)c1C(F)(F)F ZINC000867453342 707005998 /nfs/dbraw/zinc/00/59/98/707005998.db2.gz MEPKARGGQQUSFF-XHNCKOQMSA-N -1 1 323.340 1.906 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@H]2CCO[C@@H](C(C)C)C2)[n-]1 ZINC000822546906 704972138 /nfs/dbraw/zinc/97/21/38/704972138.db2.gz CFKBOEHDVMWUQY-CMPLNLGQSA-N -1 1 309.366 1.976 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCO[C@@H](C(C)C)C2)n1 ZINC000822546906 704972141 /nfs/dbraw/zinc/97/21/41/704972141.db2.gz CFKBOEHDVMWUQY-CMPLNLGQSA-N -1 1 309.366 1.976 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000859693345 704976144 /nfs/dbraw/zinc/97/61/44/704976144.db2.gz YMUOBHFZRHRCNK-HTQZYQBOSA-N -1 1 305.256 1.899 20 0 DDADMM CCO[C@@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)C1CC1 ZINC000859870168 705025165 /nfs/dbraw/zinc/02/51/65/705025165.db2.gz MUHZFXUVUAWOGU-CQSZACIVSA-N -1 1 305.330 1.301 20 0 DDADMM CCSC1(C[N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000867527210 707029268 /nfs/dbraw/zinc/02/92/68/707029268.db2.gz BYJMGTULRBAXNQ-UHFFFAOYSA-N -1 1 308.375 1.924 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=Cc2ccsc2)n[n-]1 ZINC000880668243 707052749 /nfs/dbraw/zinc/05/27/49/707052749.db2.gz ZSXAENDFDVSHSR-XRVBUDJMSA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=Cc2ccsc2)[n-]1 ZINC000880668243 707052750 /nfs/dbraw/zinc/05/27/50/707052750.db2.gz ZSXAENDFDVSHSR-XRVBUDJMSA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C=Cc2ccsc2)n1 ZINC000880668243 707052751 /nfs/dbraw/zinc/05/27/51/707052751.db2.gz ZSXAENDFDVSHSR-XRVBUDJMSA-N -1 1 320.374 1.934 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H]1CCCC[C@@H]1F ZINC000867615073 707054967 /nfs/dbraw/zinc/05/49/67/707054967.db2.gz VABPEVHJSRJTKV-DTWKUNHWSA-N -1 1 309.794 1.941 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC1(C)CC(F)(F)C1 ZINC000874855706 705204802 /nfs/dbraw/zinc/20/48/02/705204802.db2.gz GTFQLCQUBFHQOS-UHFFFAOYSA-N -1 1 324.331 1.898 20 0 DDADMM CCOc1cccc(C=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000860785548 705282075 /nfs/dbraw/zinc/28/20/75/705282075.db2.gz BGDZEXCCEFCXGJ-LAUAKBEESA-N -1 1 315.377 1.874 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCC[C@H]1CC1(Cl)Cl ZINC000824246480 705408942 /nfs/dbraw/zinc/40/89/42/705408942.db2.gz JKUDCUMFOIPPNV-QMMMGPOBSA-N -1 1 306.197 1.613 20 0 DDADMM CC[C@H](C)C[C@H](C)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825061079 705590110 /nfs/dbraw/zinc/59/01/10/705590110.db2.gz WGLXVCUQWZQWHO-IUCAKERBSA-N -1 1 306.370 1.647 20 0 DDADMM CC[C@H](C)C[C@H](C)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825061079 705590111 /nfs/dbraw/zinc/59/01/11/705590111.db2.gz WGLXVCUQWZQWHO-IUCAKERBSA-N -1 1 306.370 1.647 20 0 DDADMM CON(C)C(=O)[C@@H](C)[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000825066482 705592447 /nfs/dbraw/zinc/59/24/47/705592447.db2.gz XXLJHNUOBDYFLA-SSDOTTSWSA-N -1 1 322.258 1.581 20 0 DDADMM CCC1CCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)CC1 ZINC000825204873 705625034 /nfs/dbraw/zinc/62/50/34/705625034.db2.gz SYYYVXBPJQJMIN-UHFFFAOYSA-N -1 1 304.354 1.354 20 0 DDADMM CCC1CCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)CC1 ZINC000825204873 705625038 /nfs/dbraw/zinc/62/50/38/705625038.db2.gz SYYYVXBPJQJMIN-UHFFFAOYSA-N -1 1 304.354 1.354 20 0 DDADMM COc1cc(Cl)ccc1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826179806 705781405 /nfs/dbraw/zinc/78/14/05/705781405.db2.gz FMQMGAPCLOYBQX-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM COc1cc(Cl)ccc1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826179806 705781406 /nfs/dbraw/zinc/78/14/06/705781406.db2.gz FMQMGAPCLOYBQX-UHFFFAOYSA-N -1 1 317.740 1.931 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(C)cc(C)c2)c1-c1nnn[n-]1 ZINC000826264318 705786351 /nfs/dbraw/zinc/78/63/51/705786351.db2.gz JVLXVGZHIUVNHG-UHFFFAOYSA-N -1 1 312.333 1.961 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(C)cc(C)c2)c1-c1nn[n-]n1 ZINC000826264318 705786352 /nfs/dbraw/zinc/78/63/52/705786352.db2.gz JVLXVGZHIUVNHG-UHFFFAOYSA-N -1 1 312.333 1.961 20 0 DDADMM Cc1cnc([C@@H](C)NC(=O)c2ccc(-c3nnn[n-]3)s2)o1 ZINC000826318006 705790829 /nfs/dbraw/zinc/79/08/29/705790829.db2.gz RZKPDUVIJRJQDU-SSDOTTSWSA-N -1 1 304.335 1.716 20 0 DDADMM Cc1cnc([C@@H](C)NC(=O)c2ccc(-c3nn[n-]n3)s2)o1 ZINC000826318006 705790831 /nfs/dbraw/zinc/79/08/31/705790831.db2.gz RZKPDUVIJRJQDU-SSDOTTSWSA-N -1 1 304.335 1.716 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@H](C)c2ccco2)c1-c1nnn[n-]1 ZINC000826343274 705792755 /nfs/dbraw/zinc/79/27/55/705792755.db2.gz UGCUTZYZQZGICW-MRVPVSSYSA-N -1 1 316.321 1.518 20 0 DDADMM Cc1onc(CC(=O)N(C)[C@H](C)c2ccco2)c1-c1nn[n-]n1 ZINC000826343274 705792758 /nfs/dbraw/zinc/79/27/58/705792758.db2.gz UGCUTZYZQZGICW-MRVPVSSYSA-N -1 1 316.321 1.518 20 0 DDADMM Cc1onc(CC(=O)N(C)C2CCC(C)CC2)c1-c1nnn[n-]1 ZINC000826343044 705792859 /nfs/dbraw/zinc/79/28/59/705792859.db2.gz KCKJWWWYECLCNO-UHFFFAOYSA-N -1 1 318.381 1.743 20 0 DDADMM Cc1onc(CC(=O)N(C)C2CCC(C)CC2)c1-c1nn[n-]n1 ZINC000826343044 705792860 /nfs/dbraw/zinc/79/28/60/705792860.db2.gz KCKJWWWYECLCNO-UHFFFAOYSA-N -1 1 318.381 1.743 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@@H]1c1ncc[nH]1 ZINC000827720919 706056513 /nfs/dbraw/zinc/05/65/13/706056513.db2.gz OCAVBOVIFANLMY-SNVBAGLBSA-N -1 1 314.374 1.718 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]C[C@H](C)CC(F)F ZINC000827881714 706081196 /nfs/dbraw/zinc/08/11/96/706081196.db2.gz JQBKTVWKAOCPSR-ZCFIWIBFSA-N -1 1 315.773 1.952 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCc2cccs2)C1)C(F)(F)F ZINC000864428400 706165849 /nfs/dbraw/zinc/16/58/49/706165849.db2.gz DHNMJVWHTKXGDJ-UHFFFAOYSA-N -1 1 321.324 1.568 20 0 DDADMM CCn1nnc(C)c1CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000877775320 706206020 /nfs/dbraw/zinc/20/60/20/706206020.db2.gz LDOPTIDBFXFVEB-LBPRGKRZSA-N -1 1 319.331 1.249 20 0 DDADMM N[C@H](C(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)c1ccc(C(F)(F)F)cc1 ZINC000864613799 706212937 /nfs/dbraw/zinc/21/29/37/706212937.db2.gz HLWZYUPQOJXEIW-GDPRMGEGSA-N -1 1 316.279 1.685 20 0 DDADMM CC1(C[N-]S(=O)(=O)N=[S@@](C)(=O)C2CCCCC2)COC1 ZINC000881887796 707407065 /nfs/dbraw/zinc/40/70/65/707407065.db2.gz VIWYYQKLQPMBRU-IBGZPJMESA-N -1 1 324.468 1.288 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc2oc(=S)[n-]c2c1)c1nn[nH]n1 ZINC000829800629 706398574 /nfs/dbraw/zinc/39/85/74/706398574.db2.gz IHKDJVAYQXQOQY-MRVPVSSYSA-N -1 1 318.362 1.901 20 0 DDADMM CC[S@@](=O)CC[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000830446357 706518867 /nfs/dbraw/zinc/51/88/67/706518867.db2.gz YNFCHULKZZQERG-LJQANCHMSA-N -1 1 319.379 1.619 20 0 DDADMM CO[C@H](CC(C)C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830796216 706581458 /nfs/dbraw/zinc/58/14/58/706581458.db2.gz YAOASJVZPBPZBV-MFKMUULPSA-N -1 1 324.343 1.717 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CC2CCOCC2)C1 ZINC000830821635 706586698 /nfs/dbraw/zinc/58/66/98/706586698.db2.gz LFLHWCAHZXEJCU-ZDUSSCGKSA-N -1 1 322.327 1.473 20 0 DDADMM O=S(=O)([N-]CC1(O)CCC1)c1cc(Cl)cnc1Cl ZINC000866836101 706820238 /nfs/dbraw/zinc/82/02/38/706820238.db2.gz FRBSLZQGVPNOIU-UHFFFAOYSA-N -1 1 311.190 1.582 20 0 DDADMM C[C@@H](CN(C)C(=O)CC[C@@H]1CC1(Cl)Cl)c1nn[n-]n1 ZINC000832125361 706847901 /nfs/dbraw/zinc/84/79/01/706847901.db2.gz BRIADWFASDGMSI-JGVFFNPUSA-N -1 1 306.197 1.736 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCc2c(C)noc2C)sn1 ZINC000866943523 706851499 /nfs/dbraw/zinc/85/14/99/706851499.db2.gz IWWRQAKHUAFVJW-UHFFFAOYSA-N -1 1 315.420 1.967 20 0 DDADMM COCC1([N-]S(=O)(=O)c2cc(Cl)cnc2Cl)CC1 ZINC000866972856 706860853 /nfs/dbraw/zinc/86/08/53/706860853.db2.gz YCCIKUDLBGWYOA-UHFFFAOYSA-N -1 1 311.190 1.846 20 0 DDADMM O=C([O-])CCN(C[C@@H]1CCCO1)C(=O)c1cccc2[nH]cnc21 ZINC000908984319 712908712 /nfs/dbraw/zinc/90/87/12/712908712.db2.gz RFAPNAYOTVLDCQ-NSHDSACASA-N -1 1 317.345 1.659 20 0 DDADMM O=C(NCC1CC2(C1)OCCO2)c1ncc2ccccc2c1[O-] ZINC000832176716 706861709 /nfs/dbraw/zinc/86/17/09/706861709.db2.gz FLJOCLCMHVFITO-UHFFFAOYSA-N -1 1 314.341 1.823 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H]2COC3(CCCC3)O2)sn1 ZINC000867053422 706883158 /nfs/dbraw/zinc/88/31/58/706883158.db2.gz FRCNXBYCMXRENI-JTQLQIEISA-N -1 1 318.420 1.416 20 0 DDADMM CC(C)O[C@@H]1C[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)C1(C)C ZINC000867111798 706899917 /nfs/dbraw/zinc/89/99/17/706899917.db2.gz IZBHSXAOKGCJBQ-VHSXEESVSA-N -1 1 312.457 1.141 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CC[C@H]1CC(C)(C)CO1 ZINC000880186444 706904867 /nfs/dbraw/zinc/90/48/67/706904867.db2.gz WWMLQGZQNDNUQP-NSHDSACASA-N -1 1 301.383 1.971 20 0 DDADMM C[C@@]1(CNC(=O)c2ccc3n[n-]c(=S)n3c2)CCCCO1 ZINC000832463977 706920007 /nfs/dbraw/zinc/92/00/07/706920007.db2.gz LFRWQHJMGFFGSD-AWEZNQCLSA-N -1 1 306.391 1.707 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCOC[C@H]1C ZINC000867264209 706941682 /nfs/dbraw/zinc/94/16/82/706941682.db2.gz ZKPBYZSMJXFCRZ-MNOVXSKESA-N -1 1 312.457 1.001 20 0 DDADMM Cc1nc2c(cccc2C(=O)N2CCSC[C@@H]2CC(=O)[O-])[nH]1 ZINC000909010545 712915786 /nfs/dbraw/zinc/91/57/86/712915786.db2.gz ZVFVNGBQSFCUEW-JTQLQIEISA-N -1 1 319.386 1.904 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1[C@H]2Cc3cc(F)ccc3[C@@H]12 ZINC000867533973 707031692 /nfs/dbraw/zinc/03/16/92/707031692.db2.gz VLLPJAVDPFMJRM-QJPTWQEYSA-N -1 1 318.395 1.026 20 0 DDADMM CC1(C(=O)C[N-]S(=O)(=O)c2ccc(F)nc2F)CCCC1 ZINC000867565625 707041473 /nfs/dbraw/zinc/04/14/73/707041473.db2.gz SMWZCLOFWWNPRS-UHFFFAOYSA-N -1 1 318.345 1.788 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2C[C@H]2c2ccco2)cc(=O)[n-]1 ZINC000880651774 707048752 /nfs/dbraw/zinc/04/87/52/707048752.db2.gz YEECHRNFJWOKRL-NXEZZACHSA-N -1 1 305.359 1.917 20 0 DDADMM COCCN(C)c1nc(CC[N-]C(=O)C(F)(F)F)cs1 ZINC000871599848 707134583 /nfs/dbraw/zinc/13/45/83/707134583.db2.gz XKVSYUWKEPQRGM-UHFFFAOYSA-N -1 1 311.329 1.447 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCCC(C)(C)O)co1 ZINC000835429574 707249281 /nfs/dbraw/zinc/24/92/81/707249281.db2.gz XVTZPXFCFQGWJH-UHFFFAOYSA-N -1 1 319.379 1.286 20 0 DDADMM Cc1ccccc1[C@@H](C(=O)[O-])N(C)CC(C)(C)S(C)(=O)=O ZINC000872167845 707312525 /nfs/dbraw/zinc/31/25/25/707312525.db2.gz NSPOXBPIIUGPOF-ZDUSSCGKSA-N -1 1 313.419 1.876 20 0 DDADMM COc1ccc(-c2nnc([N-][C@H]3CCCCNC3=O)o2)cc1 ZINC000881838745 707387865 /nfs/dbraw/zinc/38/78/65/707387865.db2.gz WQOGRJYWVFUWOH-LBPRGKRZSA-N -1 1 302.334 1.826 20 0 DDADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCCS1 ZINC000872461750 707425523 /nfs/dbraw/zinc/42/55/23/707425523.db2.gz WEJZNWNPGYINFK-HUTHGQBESA-N -1 1 314.498 1.613 20 0 DDADMM O=C([O-])C1(NC(=O)NCc2ccc3cncn3c2)CCCCC1 ZINC000909172946 712953853 /nfs/dbraw/zinc/95/38/53/712953853.db2.gz XDCMLMXQIWJXIZ-UHFFFAOYSA-N -1 1 316.361 1.921 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3C[C@H](C4CC4)[C@H]3C3CC3)ccnc1-2 ZINC000836577083 707483977 /nfs/dbraw/zinc/48/39/77/707483977.db2.gz ZODHWYDBNLXMCV-UKRRQHHQSA-N -1 1 311.389 1.994 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OC ZINC000882067261 707484622 /nfs/dbraw/zinc/48/46/22/707484622.db2.gz FLOGELGSGASUHZ-SECBINFHSA-N -1 1 304.343 1.231 20 0 DDADMM Cc1ncnc(N2CCC(C[N-]C(=O)C(F)(F)F)CC2)c1C ZINC000882305788 707577443 /nfs/dbraw/zinc/57/74/43/707577443.db2.gz VIKPNQYMFXITMT-UHFFFAOYSA-N -1 1 316.327 1.988 20 0 DDADMM CC[C@H]1CC(=O)N(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000872754280 707585659 /nfs/dbraw/zinc/58/56/59/707585659.db2.gz ZQSIOZSKANZLQS-GWCFXTLKSA-N -1 1 321.343 1.345 20 0 DDADMM CC[C@@](COC)([N-]C(=O)C(F)(F)c1nccs1)C(=O)OC ZINC000837257935 707606914 /nfs/dbraw/zinc/60/69/14/707606914.db2.gz XLHRWCCHCFYUPQ-NSHDSACASA-N -1 1 322.333 1.319 20 0 DDADMM CSc1cccc([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)c1 ZINC000882661063 707737091 /nfs/dbraw/zinc/73/70/91/707737091.db2.gz RPZQDOYJKXSBPD-SFHVURJKSA-N -1 1 323.465 1.640 20 0 DDADMM Cc1cccc([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)c1F ZINC000882712208 707757694 /nfs/dbraw/zinc/75/76/94/707757694.db2.gz IKPUROSSCKDBEC-GOSISDBHSA-N -1 1 309.388 1.365 20 0 DDADMM CCOC(=O)CC(=O)[C@@H](C)OC(=O)c1c([O-])cc(F)cc1F ZINC000873352123 707821347 /nfs/dbraw/zinc/82/13/47/707821347.db2.gz KEYOFXUWNNWQCR-SSDOTTSWSA-N -1 1 316.256 1.738 20 0 DDADMM CCC[C@H](C)CCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000883760765 708060302 /nfs/dbraw/zinc/06/03/02/708060302.db2.gz JEPMIRFTCVTRHY-NSHDSACASA-N -1 1 322.409 1.880 20 0 DDADMM O=C(C[C@@H]1CCC2(CCC2)CO1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000897161293 708241938 /nfs/dbraw/zinc/24/19/38/708241938.db2.gz DAXFNIOUAIUPJB-OLZOCXBDSA-N -1 1 319.409 1.645 20 0 DDADMM O=C([N-]CCCOC(=O)c1cccn2nccc12)C(F)(F)F ZINC000884870875 708402090 /nfs/dbraw/zinc/40/20/90/708402090.db2.gz UGVCLWVUUQDGQA-UHFFFAOYSA-N -1 1 315.251 1.560 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC2(CCNC(=O)O2)CC1 ZINC000884886785 708407759 /nfs/dbraw/zinc/40/77/59/708407759.db2.gz JWYLIBSINNBXPG-UHFFFAOYSA-N -1 1 308.309 1.636 20 0 DDADMM C[C@H]1CCNC(=O)[C@@H]1NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897664151 708411209 /nfs/dbraw/zinc/41/12/09/708411209.db2.gz PDLMNZGASYPOKH-LKFCYVNXSA-N -1 1 314.341 1.505 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2(C3CC3)CC2)c1 ZINC000897803816 708455839 /nfs/dbraw/zinc/45/58/39/708455839.db2.gz QIQYBUCCRUJIDF-UHFFFAOYSA-N -1 1 310.375 1.429 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC(=O)CC[C@@H]1C ZINC000885092719 708469076 /nfs/dbraw/zinc/46/90/76/708469076.db2.gz NPOAUPCMEVOFMT-VIFPVBQESA-N -1 1 316.361 1.174 20 0 DDADMM CC(C)[C@@H](CO)ONC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897953388 708503589 /nfs/dbraw/zinc/50/35/89/708503589.db2.gz UPENXNGKOBGEMJ-CQSZACIVSA-N -1 1 305.330 1.929 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)[C@@H]1CCCS(=O)(=O)C1 ZINC000885677962 708595811 /nfs/dbraw/zinc/59/58/11/708595811.db2.gz FGSZCGPSSUVLCH-SSDOTTSWSA-N -1 1 321.757 1.948 20 0 DDADMM CO[C@]1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCSC1 ZINC000885744420 708615361 /nfs/dbraw/zinc/61/53/61/708615361.db2.gz RJUYZCZXENSSPB-OAHLLOKOSA-N -1 1 323.370 1.008 20 0 DDADMM CCN1C[C@H](C(=O)NCCc2c(F)cc([O-])cc2F)CC1=O ZINC000886262918 708731500 /nfs/dbraw/zinc/73/15/00/708731500.db2.gz GCERBBFECZBUJX-SECBINFHSA-N -1 1 312.316 1.198 20 0 DDADMM COC(=O)NCCCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264839 708732096 /nfs/dbraw/zinc/73/20/96/708732096.db2.gz NNGPBQMSMSALAL-UHFFFAOYSA-N -1 1 316.304 1.465 20 0 DDADMM CCOCCO[C@H](C)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264620 708732190 /nfs/dbraw/zinc/73/21/90/708732190.db2.gz FCPIPQNSNDRILI-SNVBAGLBSA-N -1 1 317.332 1.771 20 0 DDADMM CC(=O)CSCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886268300 708733020 /nfs/dbraw/zinc/73/30/20/708733020.db2.gz LANUCEUYJUUIFR-UHFFFAOYSA-N -1 1 303.330 1.651 20 0 DDADMM CCOC1CN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927789053 713056791 /nfs/dbraw/zinc/05/67/91/713056791.db2.gz NEALHSKIHHHFAP-UHFFFAOYSA-N -1 1 300.305 1.643 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@@H]1CC[C@@H](O)C1 ZINC000927782784 713054789 /nfs/dbraw/zinc/05/47/89/713054789.db2.gz JYQHPVQSPSEYHB-NXEZZACHSA-N -1 1 314.332 1.673 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NCCC1CC(O)C1 ZINC000927785212 713055727 /nfs/dbraw/zinc/05/57/27/713055727.db2.gz YQIAOMJYVSSYOU-UHFFFAOYSA-N -1 1 314.332 1.673 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CCC[C@@H](O)CC1 ZINC000927789943 713056756 /nfs/dbraw/zinc/05/67/56/713056756.db2.gz SJQHHEMVPPQLFG-SNVBAGLBSA-N -1 1 314.332 1.769 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CC[C@@H](C(F)(F)F)C1 ZINC000898755347 708855875 /nfs/dbraw/zinc/85/58/75/708855875.db2.gz PKWUONBURZFEJY-RNFRBKRXSA-N -1 1 305.256 1.483 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CCc2cc(F)ccc21 ZINC000898755141 708856144 /nfs/dbraw/zinc/85/61/44/708856144.db2.gz MPAYJUZLRUBGTR-NSHDSACASA-N -1 1 303.293 1.373 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOc2ccccc2[C@@H]1CO ZINC000887112784 708958523 /nfs/dbraw/zinc/95/85/23/708958523.db2.gz HKKHSWPEFQJQIN-LBPRGKRZSA-N -1 1 300.314 1.355 20 0 DDADMM COc1cc(C(=O)N2C[C@H](O)C[C@]2(C)CO)cc(Cl)c1[O-] ZINC000912671971 713066030 /nfs/dbraw/zinc/06/60/30/713066030.db2.gz VKRPNLVSAMAOJB-YMTOWFKASA-N -1 1 315.753 1.012 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)OC(C)(C)C)C(=O)c1cncc([O-])c1 ZINC000887294160 709009760 /nfs/dbraw/zinc/00/97/60/709009760.db2.gz TYGNQXQSZAXLCI-LBPRGKRZSA-N -1 1 321.377 1.869 20 0 DDADMM COC(=O)C1CC(NC(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000899177848 709010667 /nfs/dbraw/zinc/01/06/67/709010667.db2.gz YZBTUBZNFVBDAB-UHFFFAOYSA-N -1 1 315.325 1.932 20 0 DDADMM COc1ccc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)cn1 ZINC000900051282 709276837 /nfs/dbraw/zinc/27/68/37/709276837.db2.gz SYZUECRIZGBJCJ-UHFFFAOYSA-N -1 1 300.318 1.393 20 0 DDADMM C[C@H]1CC2(CC(NC(=O)c3ccc4n[n-]c(=S)n4c3)C2)CO1 ZINC000888722144 709367316 /nfs/dbraw/zinc/36/73/16/709367316.db2.gz YCXDVBHRGRFUIR-IEBVAXJPSA-N -1 1 318.402 1.705 20 0 DDADMM CSCC[C@H](NC(=O)C1(C(F)F)CCC1)c1nn[n-]n1 ZINC000912858715 713109161 /nfs/dbraw/zinc/10/91/61/713109161.db2.gz ZCNGSCRRVKBMTR-ZETCQYMHSA-N -1 1 305.354 1.546 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](C)c1ccsc1)c1nn[n-]n1 ZINC000912861215 713109956 /nfs/dbraw/zinc/10/99/56/713109956.db2.gz HCFUDIFQXGMCEO-WPRPVWTQSA-N -1 1 311.436 1.975 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cnccc1C)c1nn[n-]n1 ZINC000912862866 713111023 /nfs/dbraw/zinc/11/10/23/713111023.db2.gz XXEAYWFVNASVFS-MVZIDQBPSA-N -1 1 318.406 1.527 20 0 DDADMM CSCC[C@H](NC(=O)C=C(C)c1ccco1)c1nn[n-]n1 ZINC000912863130 713111573 /nfs/dbraw/zinc/11/15/73/713111573.db2.gz MCHGHRWWAWTLQE-DDXVTDLHSA-N -1 1 307.379 1.807 20 0 DDADMM CO[C@@H]1CCC[C@H](C(=O)N[C@@H](CCSC)c2nn[n-]n2)C1 ZINC000912863330 713111631 /nfs/dbraw/zinc/11/16/31/713111631.db2.gz UEURVNIGBQSJRX-AXFHLTTASA-N -1 1 313.427 1.315 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000909698061 709584303 /nfs/dbraw/zinc/58/43/03/709584303.db2.gz JLOXAGYVHGPDAI-BDJLRTHQSA-N -1 1 305.378 1.789 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)c1ccc(F)cc1 ZINC000909702875 709587341 /nfs/dbraw/zinc/58/73/41/709587341.db2.gz LYQFMLXIWNJKCR-UPJWGTAASA-N -1 1 317.320 1.637 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc([C@H]3CCCN3C)n[nH]2)[C@H](C(=O)[O-])C1 ZINC000909783551 709618723 /nfs/dbraw/zinc/61/87/23/709618723.db2.gz UHCJAZQLYXVBCE-HONMWMINSA-N -1 1 320.393 1.502 20 0 DDADMM O=C([O-])[C@H](Cc1cccc(Cl)c1)NC(=O)CN1CCCC1 ZINC000909815319 709636462 /nfs/dbraw/zinc/63/64/62/709636462.db2.gz WLIKECTWHRCLTG-ZDUSSCGKSA-N -1 1 310.781 1.548 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CC[C@@](C(=O)[O-])(c2ccccc2)C1 ZINC000909854825 709653803 /nfs/dbraw/zinc/65/38/03/709653803.db2.gz NFVGTFDJODLFIJ-YJBOKZPZSA-N -1 1 316.401 1.726 20 0 DDADMM O=C(c1cc(F)c(F)c([O-])c1F)N1CC[C@H](N2CCC2)C1 ZINC000912909869 713121197 /nfs/dbraw/zinc/12/11/97/713121197.db2.gz ABPIXMXOOYPAJT-QMMMGPOBSA-N -1 1 300.280 1.730 20 0 DDADMM CO[C@@H]1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C12CCC2 ZINC000909908025 709682377 /nfs/dbraw/zinc/68/23/77/709682377.db2.gz BEKPZCMGFQLVDT-NWDGAFQWSA-N -1 1 303.362 1.747 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)c2c[nH]cc3ncnc2-3)CC12CCC2 ZINC000909942587 709699216 /nfs/dbraw/zinc/69/92/16/709699216.db2.gz XLDFBMIALHMBRP-OAHLLOKOSA-N -1 1 318.308 1.377 20 0 DDADMM C[C@H]1CC[C@@](NC(=O)[C@H]2CCCc3[nH]ncc32)(C(=O)[O-])CC1 ZINC000909952672 709705432 /nfs/dbraw/zinc/70/54/32/709705432.db2.gz JJDXPFGUEOXNQZ-MMPTUQATSA-N -1 1 305.378 1.979 20 0 DDADMM CCCN(CC(=O)OCC)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900696364 709716034 /nfs/dbraw/zinc/71/60/34/709716034.db2.gz WPEUAKKHDKGVDY-UHFFFAOYSA-N -1 1 307.350 1.475 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2ccc(O)c(Cl)c2)[C@H](C(=O)[O-])C1 ZINC000909980634 709716568 /nfs/dbraw/zinc/71/65/68/709716568.db2.gz CHYIIWFRXFAWPY-KOLCDFICSA-N -1 1 313.737 1.750 20 0 DDADMM O=C(C[C@H]1CCCS(=O)(=O)C1)Nc1cc([O-])c(F)cc1F ZINC000909987156 709721485 /nfs/dbraw/zinc/72/14/85/709721485.db2.gz JXCGHEMAKFCTGZ-MRVPVSSYSA-N -1 1 319.329 1.824 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)CC(F)(F)F ZINC000910014702 709735835 /nfs/dbraw/zinc/73/58/35/709735835.db2.gz VPISWMFWKRYIRZ-SNVBAGLBSA-N -1 1 324.343 1.878 20 0 DDADMM O=C([O-])[C@H]1CC[C@@H](c2ccccc2)N1C(=O)CCc1c[nH]nn1 ZINC000910097411 709771487 /nfs/dbraw/zinc/77/14/87/709771487.db2.gz IZZNAQZNKBEMQR-UONOGXRCSA-N -1 1 314.345 1.554 20 0 DDADMM O=C([O-])[C@H]1CC[C@@H](c2ccccc2)N1C(=O)CCc1cnn[nH]1 ZINC000910097411 709771488 /nfs/dbraw/zinc/77/14/88/709771488.db2.gz IZZNAQZNKBEMQR-UONOGXRCSA-N -1 1 314.345 1.554 20 0 DDADMM C[C@H]1CN(C(=O)CCCc2nn[n-]n2)CCN1c1ccccc1 ZINC000890190737 709782968 /nfs/dbraw/zinc/78/29/68/709782968.db2.gz SINYLLCAQCZYQW-ZDUSSCGKSA-N -1 1 314.393 1.260 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)/C=C/c2ccc(O)cc2)CC1 ZINC000910169859 709796079 /nfs/dbraw/zinc/79/60/79/709796079.db2.gz GOPQEZFZUBLIBM-LVDDQXARSA-N -1 1 318.373 1.413 20 0 DDADMM O=C(C[N@@H+]1CCC[C@@H](C(=O)[O-])C1)NCC[C@@H]1CCCS1 ZINC000910302890 709877623 /nfs/dbraw/zinc/87/76/23/709877623.db2.gz LUXAGNKXLGHNIC-NEPJUHHUSA-N -1 1 300.424 1.185 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NCC[C@@H]1CCCS1 ZINC000910302890 709877626 /nfs/dbraw/zinc/87/76/26/709877626.db2.gz LUXAGNKXLGHNIC-NEPJUHHUSA-N -1 1 300.424 1.185 20 0 DDADMM C[C@](Cc1ccc(Cl)cc1)(NC(=O)Cc1cnc[nH]1)C(=O)[O-] ZINC000910312692 709884198 /nfs/dbraw/zinc/88/41/98/709884198.db2.gz QTXSHXJTSFLILT-OAHLLOKOSA-N -1 1 321.764 1.808 20 0 DDADMM CC(C)NC(=O)[C@H](C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000910476332 709961723 /nfs/dbraw/zinc/96/17/23/709961723.db2.gz KBXPUZIORMUWFB-VIFPVBQESA-N -1 1 319.336 1.722 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN(C)CCc1ccccc1)C(=O)[O-] ZINC000901477454 710050395 /nfs/dbraw/zinc/05/03/95/710050395.db2.gz JDEJOOOIIYEXAY-BBRMVZONSA-N -1 1 306.406 1.776 20 0 DDADMM O=C([O-])C[C@@H]1CN(Cc2cnc(-c3ccccc3)[nH]2)CCO1 ZINC000901503121 710059820 /nfs/dbraw/zinc/05/98/20/710059820.db2.gz RIRDXSMRHZJWMC-CQSZACIVSA-N -1 1 301.346 1.752 20 0 DDADMM Cc1ccc(-c2cc(C(=O)N3C[C@H]4[C@H](C(=O)[O-])[C@H]4C3)n[nH]2)cc1 ZINC000910795561 710079159 /nfs/dbraw/zinc/07/91/59/710079159.db2.gz ZWJBLIMQNRCKGX-JYAVWHMHSA-N -1 1 311.341 1.788 20 0 DDADMM COCCN1C[C@H](C(=O)Nc2cc(F)cc(F)c2[O-])CC1=O ZINC000910982047 710140455 /nfs/dbraw/zinc/14/04/55/710140455.db2.gz VVFFGFVHIPHBAZ-MRVPVSSYSA-N -1 1 314.288 1.104 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCc1nccn1-c1ccccc1 ZINC000901793962 710149212 /nfs/dbraw/zinc/14/92/12/710149212.db2.gz AZWYNOOZICUHGF-MRXNPFEDSA-N -1 1 303.362 1.842 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)c2ccccc21 ZINC000902015536 710202245 /nfs/dbraw/zinc/20/22/45/710202245.db2.gz GGZGNHVPFVAREQ-AAVRWANBSA-N -1 1 311.341 1.943 20 0 DDADMM Cc1ccn(C[C@H](C)C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)n1 ZINC000891614137 710248947 /nfs/dbraw/zinc/24/89/47/710248947.db2.gz KZBNPNUBJGJGEE-JTQLQIEISA-N -1 1 315.377 1.841 20 0 DDADMM CO[C@H]1COCC[C@H]1CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891615030 710249016 /nfs/dbraw/zinc/24/90/16/710249016.db2.gz PDUQPRAFHSDUTG-GWCFXTLKSA-N -1 1 321.377 1.441 20 0 DDADMM COc1ccc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)cn1 ZINC000891627066 710252084 /nfs/dbraw/zinc/25/20/84/710252084.db2.gz RRCUWBSELHYWPO-UHFFFAOYSA-N -1 1 300.318 1.717 20 0 DDADMM COCc1nc(N2CCC(C(=O)NCC(C)C)CC2)cc(=O)[n-]1 ZINC000891682320 710265473 /nfs/dbraw/zinc/26/54/73/710265473.db2.gz HHFAGAOCLHWFBO-UHFFFAOYSA-N -1 1 322.409 1.317 20 0 DDADMM CNC(=O)c1ccc(CNc2cc(=O)[n-]c(COC)n2)cc1 ZINC000891722035 710275154 /nfs/dbraw/zinc/27/51/54/710275154.db2.gz NZLGBARFDDOCDS-UHFFFAOYSA-N -1 1 302.334 1.300 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)NCC1(C(=O)[O-])CCCC1 ZINC000902062095 710600306 /nfs/dbraw/zinc/60/03/06/710600306.db2.gz AHQVHYYUPHSXOO-UHFFFAOYSA-N -1 1 312.410 1.106 20 0 DDADMM O=C([O-])[C@H]1c2ccccc2CCN1C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000902100244 710615197 /nfs/dbraw/zinc/61/51/97/710615197.db2.gz ZGKLYCFQMHDPSW-UMVBOHGHSA-N -1 1 311.341 1.724 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000911094981 710631717 /nfs/dbraw/zinc/63/17/17/710631717.db2.gz BPAVIZVIFGKHBD-GXTWGEPZSA-N -1 1 308.378 1.890 20 0 DDADMM COc1ccc(OCCCNCc2cc(C(=O)[O-])no2)cc1 ZINC000902168442 710644470 /nfs/dbraw/zinc/64/44/70/710644470.db2.gz LWKRKPHOITWMHW-UHFFFAOYSA-N -1 1 306.318 1.940 20 0 DDADMM C[C@H]1CN(C(=O)c2cc3[nH]cnc3cc2F)CC[C@H]1C(=O)[O-] ZINC000902177564 710650137 /nfs/dbraw/zinc/65/01/37/710650137.db2.gz LNMRWJBZYORLRH-DTWKUNHWSA-N -1 1 305.309 1.885 20 0 DDADMM COc1c(C)[nH]cc(CN(C)C(=O)c2ncc(C)cc2[O-])c1=O ZINC000913325646 713199947 /nfs/dbraw/zinc/19/99/47/713199947.db2.gz QXSWUHZRNOVAIV-UHFFFAOYSA-N -1 1 317.345 1.373 20 0 DDADMM O=C(/C=C\c1cc(C(=O)[O-])co1)NCCN1CCSCC1 ZINC000911212550 710688728 /nfs/dbraw/zinc/68/87/28/710688728.db2.gz KDXVQMGRQPGMIW-UPHRSURJSA-N -1 1 310.375 1.156 20 0 DDADMM CC[C@H](CNCc1cn(CC(=O)[O-])nn1)Oc1cccc(C)c1 ZINC000902395001 710741043 /nfs/dbraw/zinc/74/10/43/710741043.db2.gz AKXFGNZPIONNHR-CQSZACIVSA-N -1 1 318.377 1.618 20 0 DDADMM Cc1oc(CNCCNC(=O)c2ccccc2F)cc1C(=O)[O-] ZINC000902411197 710745019 /nfs/dbraw/zinc/74/50/19/710745019.db2.gz QWTGNJMGAYYFJP-UHFFFAOYSA-N -1 1 320.320 1.945 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)/C=C/c1ccc(C(=O)[O-])o1 ZINC000911569320 710859173 /nfs/dbraw/zinc/85/91/73/710859173.db2.gz CCBWXGKJWSFMKP-WAFBPQNNSA-N -1 1 306.362 1.934 20 0 DDADMM CC[C@@H]1C[N@@H+](CC)CCN1C(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC000911573919 710861126 /nfs/dbraw/zinc/86/11/26/710861126.db2.gz SHCBRNRNIPARNK-BFHYXJOUSA-N -1 1 312.410 1.199 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC000911573919 710861128 /nfs/dbraw/zinc/86/11/28/710861128.db2.gz SHCBRNRNIPARNK-BFHYXJOUSA-N -1 1 312.410 1.199 20 0 DDADMM CC[C@@H]1CN(CC)CCN1C(=O)Cc1ccc(C(=O)[O-])cc1 ZINC000911575422 710862196 /nfs/dbraw/zinc/86/21/96/710862196.db2.gz ZYJCLBHWGAJSTJ-OAHLLOKOSA-N -1 1 304.390 1.870 20 0 DDADMM C[C@H](C(=O)N1CCC(Nc2cccc(C(=O)[O-])c2)CC1)N(C)C ZINC000911598487 710873329 /nfs/dbraw/zinc/87/33/29/710873329.db2.gz SXZQHSABZUWYRI-GFCCVEGCSA-N -1 1 319.405 1.738 20 0 DDADMM C[C@]1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCCOC1 ZINC000913437551 713215752 /nfs/dbraw/zinc/21/57/52/713215752.db2.gz MSKRTFNDLSGSMG-WFASDCNBSA-N -1 1 301.350 1.222 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CC(N2CCOCC2)C1 ZINC000911653108 710907644 /nfs/dbraw/zinc/90/76/44/710907644.db2.gz HNLJESKYSXUUDH-UHFFFAOYSA-N -1 1 312.369 1.702 20 0 DDADMM C[C@H]1CCN(C(=O)OC2COC2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000902936147 710965553 /nfs/dbraw/zinc/96/55/53/710965553.db2.gz KIOUEMQYUZRQRD-NRPADANISA-N -1 1 324.299 1.299 20 0 DDADMM C[C@@H]1C(=O)N[C@@H](C)[C@@H](C)N1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000911778245 710981033 /nfs/dbraw/zinc/98/10/33/710981033.db2.gz LHOLFAFKOSIIMJ-IVZWLZJFSA-N -1 1 310.781 1.712 20 0 DDADMM O=C(NCC[C@H]1CCCC[C@H]1O)c1nc2ccccc2c(=O)[n-]1 ZINC000903003696 710992305 /nfs/dbraw/zinc/99/23/05/710992305.db2.gz PLEGMDPAMMRLGW-BXUZGUMPSA-N -1 1 315.373 1.594 20 0 DDADMM CC[N@@H+](CCOCCO)Cc1ncc(Br)cc1O ZINC000894257376 711039873 /nfs/dbraw/zinc/03/98/73/711039873.db2.gz QHMRKSVCTYMNIS-UHFFFAOYSA-N -1 1 319.199 1.381 20 0 DDADMM COCc1nc(N2CC[C@H](Oc3ccccc3)C2)cc(=O)[n-]1 ZINC000894343373 711078166 /nfs/dbraw/zinc/07/81/66/711078166.db2.gz SMYCEVMSGUSFCE-ZDUSSCGKSA-N -1 1 301.346 1.986 20 0 DDADMM COCc1nc(N[C@@H]2Cc3ccccc3N(C)C2=O)cc(=O)[n-]1 ZINC000894496952 711149112 /nfs/dbraw/zinc/14/91/12/711149112.db2.gz RZLKDLMUAQYTPB-LLVKDONJSA-N -1 1 314.345 1.328 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCO[C@@H]1C(F)(F)F ZINC000912084997 711166194 /nfs/dbraw/zinc/16/61/94/711166194.db2.gz MUROAOBSAJPEPX-SECBINFHSA-N -1 1 318.280 1.379 20 0 DDADMM O=C(c1ccc2ncsc2c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913493212 713236454 /nfs/dbraw/zinc/23/64/54/713236454.db2.gz ICDSTWCGHTVORS-SNVBAGLBSA-N -1 1 316.346 1.023 20 0 DDADMM O=C(C=Cc1ccc2[nH]ccc2c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913493940 713237022 /nfs/dbraw/zinc/23/70/22/713237022.db2.gz NQXVRXHUJRDWNI-XBPVQUQDSA-N -1 1 324.344 1.294 20 0 DDADMM O=C(c1ccc(-c2ccccc2)[nH]1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913495039 713237675 /nfs/dbraw/zinc/23/76/75/713237675.db2.gz PLGLHZMMXKTKKR-AWEZNQCLSA-N -1 1 324.344 1.409 20 0 DDADMM Cc1cccc2c(C(=O)N3CCOC[C@H]3c3nn[n-]n3)coc21 ZINC000913498594 713239224 /nfs/dbraw/zinc/23/92/24/713239224.db2.gz IOWAGZUJIYFPJF-LBPRGKRZSA-N -1 1 313.317 1.468 20 0 DDADMM Cc1ccc(CCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)s1 ZINC000913498676 713239284 /nfs/dbraw/zinc/23/92/84/713239284.db2.gz QENRGBHALJGTQU-LLVKDONJSA-N -1 1 307.379 1.102 20 0 DDADMM COc1cc(Cl)cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c1 ZINC000913498296 713239305 /nfs/dbraw/zinc/23/93/05/713239305.db2.gz NWEBGAOMTBQIGU-NSHDSACASA-N -1 1 323.740 1.075 20 0 DDADMM COC[C@H](CCNC(=O)c1c([O-])cnc2c(F)cccc21)OC ZINC000912292976 711263403 /nfs/dbraw/zinc/26/34/03/711263403.db2.gz RHIFTBPPQXMIPS-JTQLQIEISA-N -1 1 322.336 1.861 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=CC2CCCC2)n[n-]1 ZINC000912363002 711292466 /nfs/dbraw/zinc/29/24/66/711292466.db2.gz IGFBZVQZAFFQIX-QROTZFDESA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=CC2CCCC2)[n-]1 ZINC000912363002 711292471 /nfs/dbraw/zinc/29/24/71/711292471.db2.gz IGFBZVQZAFFQIX-QROTZFDESA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)/C=C\C2CCCC2)n1 ZINC000912363002 711292475 /nfs/dbraw/zinc/29/24/75/711292475.db2.gz IGFBZVQZAFFQIX-QROTZFDESA-N -1 1 306.366 1.905 20 0 DDADMM O=C([O-])c1cccc2c1OCCN(CCCN1CCOCC1)C2 ZINC000903922446 711333175 /nfs/dbraw/zinc/33/31/75/711333175.db2.gz ZEEULBJIUCGBTP-UHFFFAOYSA-N -1 1 320.389 1.302 20 0 DDADMM Cn1nncc1CN[C@H](C(=O)[O-])c1ccc(C(F)(F)F)cc1 ZINC000903999856 711361025 /nfs/dbraw/zinc/36/10/25/711361025.db2.gz MPKAEZHCGKIZPV-NSHDSACASA-N -1 1 314.267 1.749 20 0 DDADMM CCO[C@H]1C[C@](NCc2nn(CC)nc2C)(C(=O)[O-])C1(C)C ZINC000904010750 711363877 /nfs/dbraw/zinc/36/38/77/711363877.db2.gz NXLAWOGMEBUMJN-WFASDCNBSA-N -1 1 310.398 1.354 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]([N-]c2nnc(C(F)F)o2)CO1 ZINC000896290720 711701490 /nfs/dbraw/zinc/70/14/90/711701490.db2.gz NZXAWVSTTJHWCT-LURJTMIESA-N -1 1 306.269 1.970 20 0 DDADMM O=C([N-]CCN1Cc2ccccc2OCC1=O)C(F)(F)F ZINC000905010400 711909954 /nfs/dbraw/zinc/90/99/54/711909954.db2.gz ZBQVGGJDPPIMTA-UHFFFAOYSA-N -1 1 302.252 1.086 20 0 DDADMM Cc1cccc(OCC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913742268 713291654 /nfs/dbraw/zinc/29/16/54/713291654.db2.gz RKXOHELFTDJSET-UHFFFAOYSA-N -1 1 301.350 1.293 20 0 DDADMM C[C@H](Oc1ccccc1F)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742697 713292266 /nfs/dbraw/zinc/29/22/66/713292266.db2.gz QASQKOPAPNGANW-JTQLQIEISA-N -1 1 319.340 1.512 20 0 DDADMM O=C(CSc1ccc(O)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743876 713292358 /nfs/dbraw/zinc/29/23/58/713292358.db2.gz PEFILRKFYPPRIA-UHFFFAOYSA-N -1 1 319.390 1.404 20 0 DDADMM CC[C@@H]1C[C@@H](CC(=O)N2CCC(c3nn[n-]n3)CC2)CCO1 ZINC000913744641 713293324 /nfs/dbraw/zinc/29/33/24/713293324.db2.gz XNFDFGZYLRFFTR-WCQYABFASA-N -1 1 307.398 1.501 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)NCC(F)(F)C(=O)[O-])cc1F ZINC000906000088 712216611 /nfs/dbraw/zinc/21/66/11/712216611.db2.gz UEDLTOHFFQMMJA-UHFFFAOYSA-N -1 1 315.244 1.271 20 0 DDADMM C[C@@H](C[S@](C)=O)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907336633 712547018 /nfs/dbraw/zinc/54/70/18/712547018.db2.gz RKPNCVPXIUXZLH-PPRQPISWSA-N -1 1 310.350 1.576 20 0 DDADMM C[C@H](C[S@@](C)=O)N(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907342040 712548282 /nfs/dbraw/zinc/54/82/82/712548282.db2.gz NIGWCGOMCZYMFA-PVBMHHQDSA-N -1 1 324.377 1.919 20 0 DDADMM CO[C@@]1(CNC(=O)c2c([O-])cnc3c(F)cccc32)CCOC1 ZINC000907399551 712560960 /nfs/dbraw/zinc/56/09/60/712560960.db2.gz HFMXLQNWEAFOQC-MRXNPFEDSA-N -1 1 320.320 1.615 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477101 712582466 /nfs/dbraw/zinc/58/24/66/712582466.db2.gz QDCJHGOVUDAEKG-ZYOFXKKJSA-N -1 1 317.374 1.235 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477099 712582551 /nfs/dbraw/zinc/58/25/51/712582551.db2.gz QDCJHGOVUDAEKG-QMAVJUDZSA-N -1 1 317.374 1.235 20 0 DDADMM CCc1csc(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)n1 ZINC000907480080 712583529 /nfs/dbraw/zinc/58/35/29/712583529.db2.gz OYPTUJAZNCTBTP-VIFPVBQESA-N -1 1 324.435 1.078 20 0 DDADMM O=C([C@@H]1CC2CCC1CC2)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481020 712583773 /nfs/dbraw/zinc/58/37/73/712583773.db2.gz SEDAHMLOUSLSIH-KIDURHIOSA-N -1 1 307.423 1.643 20 0 DDADMM Cc1ccc(CCC(=O)N2CCSC[C@@H]2c2nn[n-]n2)s1 ZINC000907481550 712584195 /nfs/dbraw/zinc/58/41/95/712584195.db2.gz WYGIJDFEEQFECM-LLVKDONJSA-N -1 1 323.447 1.819 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CC(O)C2)c1cc(F)c(F)cc1F ZINC000907789183 712626902 /nfs/dbraw/zinc/62/69/02/712626902.db2.gz XBHDMQPWZKVIRA-WQGPLGNCSA-N -1 1 307.293 1.296 20 0 DDADMM O=C([O-])c1cccc2c1CCN2C(=O)[C@@H]1CCCN1C1CC1 ZINC000907985770 712660625 /nfs/dbraw/zinc/66/06/25/712660625.db2.gz WXZKKWRDDBXMME-HNNXBMFYSA-N -1 1 300.358 1.901 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@@H]1CCC(F)(F)C1 ZINC000908067497 712676430 /nfs/dbraw/zinc/67/64/30/712676430.db2.gz SABPASGHOUAZGK-QMMMGPOBSA-N -1 1 309.338 1.669 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCOC23CCCC3)sn1 ZINC000908191026 712706188 /nfs/dbraw/zinc/70/61/88/712706188.db2.gz JXQSNBDKRWDJCI-JTQLQIEISA-N -1 1 302.421 1.832 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@@H]1CCc2nc[nH]c2C1)CC1CCCC1 ZINC000908287204 712728566 /nfs/dbraw/zinc/72/85/66/712728566.db2.gz AWIMLDYZGLWSLS-CHWSQXEVSA-N -1 1 319.405 1.912 20 0 DDADMM CCn1c(Br)ncc1C(=O)Nc1c(C)[n-][nH]c1=O ZINC000908371897 712755114 /nfs/dbraw/zinc/75/51/14/712755114.db2.gz PRSGWNPDMHASPX-UHFFFAOYSA-N -1 1 314.143 1.655 20 0 DDADMM CN(C)C[C@@H](NC(=O)Nc1ccc2c(c1)C(=O)CCC2)C(=O)[O-] ZINC000908622949 712824928 /nfs/dbraw/zinc/82/49/28/712824928.db2.gz WKINUQWGDIBKAB-CYBMUJFWSA-N -1 1 319.361 1.342 20 0 DDADMM C[C@H](CC(N)=O)C(=O)Nc1cccc([O-])c1Br ZINC000908708322 712839045 /nfs/dbraw/zinc/83/90/45/712839045.db2.gz SKFPUWJXJPAMFQ-ZCFIWIBFSA-N -1 1 301.140 1.605 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)C1CS(=O)(=O)C1 ZINC000908708393 712839107 /nfs/dbraw/zinc/83/91/07/712839107.db2.gz UNORSOYWQTZYTA-UHFFFAOYSA-N -1 1 320.164 1.138 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)[C@@H]1COCCO1 ZINC000908715992 712840369 /nfs/dbraw/zinc/84/03/69/712840369.db2.gz XVDYTDGCYXLONM-VIFPVBQESA-N -1 1 302.124 1.509 20 0 DDADMM COc1ccc(CNC(=O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)cc1F ZINC000908731542 712843009 /nfs/dbraw/zinc/84/30/09/712843009.db2.gz GFYDAPCPJZXXQK-LBPRGKRZSA-N -1 1 324.352 1.247 20 0 DDADMM COc1ccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1F ZINC000908731542 712843011 /nfs/dbraw/zinc/84/30/11/712843011.db2.gz GFYDAPCPJZXXQK-LBPRGKRZSA-N -1 1 324.352 1.247 20 0 DDADMM C[C@@H]1CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)c2ccccc21 ZINC000908737714 712844690 /nfs/dbraw/zinc/84/46/90/712844690.db2.gz NJFRTKXVEQGVFJ-CHWSQXEVSA-N -1 1 302.374 1.933 20 0 DDADMM CC(C)CN(CC(=O)[O-])C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000908879894 712878010 /nfs/dbraw/zinc/87/80/10/712878010.db2.gz NZMWCEUIOJOZQR-UHFFFAOYSA-N -1 1 302.334 1.655 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)CC1(F)CC1)C(=O)OC(C)(C)C ZINC000914375319 713385789 /nfs/dbraw/zinc/38/57/89/713385789.db2.gz ZWOJTKOVLQQSFD-SNVBAGLBSA-N -1 1 309.403 1.918 20 0 DDADMM CSCCOC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000915246635 713414170 /nfs/dbraw/zinc/41/41/70/713414170.db2.gz XUVNYAZZBIDZQH-UHFFFAOYSA-N -1 1 321.420 1.876 20 0 DDADMM O=S(=O)(CC1(F)CCC1)[N-]Cc1nnc(C2CC2)s1 ZINC000916372085 713452490 /nfs/dbraw/zinc/45/24/90/713452490.db2.gz RVRIZAUXAMAEBP-UHFFFAOYSA-N -1 1 305.400 1.727 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H]2COC[C@H]2C)c(=O)[n-]1 ZINC000928889179 713505160 /nfs/dbraw/zinc/50/51/60/713505160.db2.gz KJNIGUPHKQMAJH-LDYMZIIASA-N -1 1 311.407 1.296 20 0 DDADMM CC(C)NC(=O)COC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000917945750 713520880 /nfs/dbraw/zinc/52/08/80/713520880.db2.gz WRNKLEQAMMKLJU-UHFFFAOYSA-N -1 1 303.318 1.259 20 0 DDADMM COC(=O)[C@]1([N-]S(=O)(=O)CC2(F)CC2)CCCC(C)(C)C1 ZINC000917998917 713524019 /nfs/dbraw/zinc/52/40/19/713524019.db2.gz OCPPPVIVATYWNP-AWEZNQCLSA-N -1 1 321.414 1.920 20 0 DDADMM O=C(c1nn(-c2ccccc2)cc1[O-])N1CCc2nc[nH]c2C1 ZINC000929079148 713542768 /nfs/dbraw/zinc/54/27/68/713542768.db2.gz BIXFARJKKPORBA-UHFFFAOYSA-N -1 1 309.329 1.500 20 0 DDADMM CCOC(=O)[C@@H](CC=C(C)C)[N-]S(=O)(=O)CC1(F)CC1 ZINC000919081709 713580824 /nfs/dbraw/zinc/58/08/24/713580824.db2.gz NUUKJTKBGCNSMG-LLVKDONJSA-N -1 1 307.387 1.696 20 0 DDADMM COC[C@@H](C)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000919517646 713611924 /nfs/dbraw/zinc/61/19/24/713611924.db2.gz SPUCWYCMOPNMAN-VIFPVBQESA-N -1 1 302.321 1.795 20 0 DDADMM CC[C@H](COC)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000919721830 713631069 /nfs/dbraw/zinc/63/10/69/713631069.db2.gz YQKJIZNZPOWKAB-SECBINFHSA-N -1 1 302.321 1.937 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]CC=C(Cl)Cl ZINC000920044645 713647087 /nfs/dbraw/zinc/64/70/87/713647087.db2.gz PZVFTAKZVRTLQZ-UHFFFAOYSA-N -1 1 318.613 1.979 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]C(C)(C)/C=C/Cl)s1 ZINC000921509436 713793533 /nfs/dbraw/zinc/79/35/33/713793533.db2.gz RYBMCFYLLQPBQN-SNAWJCMRSA-N -1 1 323.827 1.911 20 0 DDADMM C[C@H]1OCC[C@H]1NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000921709262 713850227 /nfs/dbraw/zinc/85/02/27/713850227.db2.gz XRRWZAOKHWVARF-AMIZOPFISA-N -1 1 300.305 1.690 20 0 DDADMM CCN(CCc1ccccn1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000931131058 714010532 /nfs/dbraw/zinc/01/05/32/714010532.db2.gz BLCMFKQTDAXDHO-UHFFFAOYSA-N -1 1 324.388 1.833 20 0 DDADMM CC(C)(CCO)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932046080 714245425 /nfs/dbraw/zinc/24/54/25/714245425.db2.gz SZBIDFSTLJNOOP-UHFFFAOYSA-N -1 1 304.350 1.860 20 0 DDADMM C[C@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C[C@H]1O ZINC000932047429 714245827 /nfs/dbraw/zinc/24/58/27/714245827.db2.gz HKYZBZYJFKXXKM-GXFFZTMASA-N -1 1 302.334 1.422 20 0 DDADMM COCOCCCNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932061758 714249384 /nfs/dbraw/zinc/24/93/84/714249384.db2.gz UORWGZLPYDMIAP-UHFFFAOYSA-N -1 1 320.349 1.710 20 0 DDADMM CC(C)C[C@](C)(CNC(=O)[C@H]1CCc2nc[nH]c2C1)C(=O)[O-] ZINC000923190121 714265649 /nfs/dbraw/zinc/26/56/49/714265649.db2.gz MKDZUNDWDAAIMX-MEDUHNTESA-N -1 1 307.394 1.768 20 0 DDADMM C[C@@H](C(=O)NCC(F)(F)F)N1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC000923529449 714391839 /nfs/dbraw/zinc/39/18/39/714391839.db2.gz MMAMQSDTCJYQNP-UJNFCWOMSA-N -1 1 308.300 1.096 20 0 DDADMM O=C(N[C@@H]1C(=O)NCC12CCOCC2)c1ccc(Cl)cc1[O-] ZINC000923953311 714493738 /nfs/dbraw/zinc/49/37/38/714493738.db2.gz PTUHRVGQTZUZIB-GFCCVEGCSA-N -1 1 324.764 1.071 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H]2CC[C@]3(CO[C@@H](C)[C@H]3C)O2)c([O-])c1 ZINC000924276830 714555001 /nfs/dbraw/zinc/55/50/01/714555001.db2.gz HWPYANDYYYZSGT-ZOPJHEKZSA-N -1 1 320.389 1.798 20 0 DDADMM Cn1[n-]c(COC(=O)C[C@H]2CCC[C@@H](C(F)(F)F)O2)nc1=O ZINC000924325139 714563841 /nfs/dbraw/zinc/56/38/41/714563841.db2.gz OUGQKGMOEOEWRK-SFYZADRCSA-N -1 1 323.271 1.042 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc[nH]2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966159312 717987026 /nfs/dbraw/zinc/98/70/26/717987026.db2.gz FBCCVYNUHPSRLT-CMPLNLGQSA-N -1 1 314.345 1.006 20 0 DDADMM Cn1cnc(/C=C/C(=O)OCCC[N-]C(=O)C(F)(F)F)c1 ZINC000924494208 714594824 /nfs/dbraw/zinc/59/48/24/714594824.db2.gz IOKDHIWXBMYPDC-ONEGZZNKSA-N -1 1 305.256 1.045 20 0 DDADMM COc1cnc([C@H]2CCCN2CCOCC(F)F)[n-]c1=O ZINC000934269798 714781163 /nfs/dbraw/zinc/78/11/63/714781163.db2.gz ASTNIBASBSVCNX-SECBINFHSA-N -1 1 303.309 1.609 20 0 DDADMM COc1cnc([C@H]2CCCN2CCC(=O)OC(C)C)[n-]c1=O ZINC000934271612 714782703 /nfs/dbraw/zinc/78/27/03/714782703.db2.gz CUBXFXKJSZDJQP-LLVKDONJSA-N -1 1 309.366 1.669 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2snnc2C)[n-]c1=O ZINC000934281009 714785902 /nfs/dbraw/zinc/78/59/02/714785902.db2.gz ZFAHDVBZHAMQHW-VIFPVBQESA-N -1 1 307.379 1.688 20 0 DDADMM CSC[C@@H]1NC(=O)N(CCc2c(F)cc([O-])cc2F)C1=O ZINC000925465655 714865136 /nfs/dbraw/zinc/86/51/36/714865136.db2.gz ZAKCLEVAUXKXPP-NSHDSACASA-N -1 1 316.329 1.496 20 0 DDADMM CC(C)[C@@H]1C[C@@H](C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCO1 ZINC000935037677 714961426 /nfs/dbraw/zinc/96/14/26/714961426.db2.gz YNQGSTPNIMIDBR-XQQFMLRXSA-N -1 1 307.398 1.357 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)NCCCCO)c2)o1 ZINC000935692837 715118274 /nfs/dbraw/zinc/11/82/74/715118274.db2.gz HAQGOIQHCNWELC-UHFFFAOYSA-N -1 1 303.314 1.967 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956156766 715337833 /nfs/dbraw/zinc/33/78/33/715337833.db2.gz CKABGNWCFNXLJQ-WCQYABFASA-N -1 1 323.368 1.502 20 0 DDADMM CC(=O)N1CCCC[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000956525036 715461454 /nfs/dbraw/zinc/46/14/54/715461454.db2.gz RQCYEYIXZLENOQ-KRWDZBQOSA-N -1 1 317.389 1.652 20 0 DDADMM C[C@@H](CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000937864686 715619269 /nfs/dbraw/zinc/61/92/69/715619269.db2.gz VSQDNFQNXFOORO-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000937864821 715619279 /nfs/dbraw/zinc/61/92/79/715619279.db2.gz YTRHSWRFAFQPRH-LBPRGKRZSA-N -1 1 317.389 1.554 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849013 715635692 /nfs/dbraw/zinc/63/56/92/715635692.db2.gz IYKLQPBJLYXWTG-VBGPOXQHSA-N -1 1 303.362 1.474 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956850335 715636920 /nfs/dbraw/zinc/63/69/20/715636920.db2.gz KOKPZQRXYPQHGU-SJKOYZFVSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C(F)F)CCN1C(=O)c1ncccc1[O-] ZINC000955649288 715892500 /nfs/dbraw/zinc/89/25/00/715892500.db2.gz IINJRIAAGGWYOP-BDAKNGLRSA-N -1 1 313.304 1.162 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])[C@@H]1CC12CC2 ZINC000955977052 716046605 /nfs/dbraw/zinc/04/66/05/716046605.db2.gz JCVZFVUIBULITK-RYUDHWBXSA-N -1 1 315.373 1.308 20 0 DDADMM CC(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000959611849 716239545 /nfs/dbraw/zinc/23/95/45/716239545.db2.gz KOMFVPLZVDXAKR-CLLJXQQHSA-N -1 1 303.362 1.307 20 0 DDADMM CCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000959016120 716825121 /nfs/dbraw/zinc/82/51/21/716825121.db2.gz LWXPMFVSWNFXKQ-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM C/C=C(/C)C(=O)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943212716 718048818 /nfs/dbraw/zinc/04/88/18/718048818.db2.gz HXFXALPLMMGHGH-RPHDBTCBSA-N -1 1 317.389 1.864 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943970094 718234822 /nfs/dbraw/zinc/23/48/22/718234822.db2.gz YYYBETOMWCUSEW-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)N1CCC2(CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000944331749 718290004 /nfs/dbraw/zinc/29/00/04/718290004.db2.gz HYCYDQWWHLPOOJ-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C1CC1 ZINC000945291711 718437090 /nfs/dbraw/zinc/43/70/90/718437090.db2.gz ZJEQVKQRBJYSPE-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1ccoc1 ZINC000966329530 718516885 /nfs/dbraw/zinc/51/68/85/718516885.db2.gz MDEJZKKHJKBDAK-JQWIXIFHSA-N -1 1 315.329 1.271 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1CCC1 ZINC000966347859 718522684 /nfs/dbraw/zinc/52/26/84/718522684.db2.gz KSFLVDSVBWIWEU-PWSUYJOCSA-N -1 1 303.362 1.164 20 0 DDADMM CCC(=O)N[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1C ZINC000966445114 718552854 /nfs/dbraw/zinc/55/28/54/718552854.db2.gz OALKYNLBOOVJIB-JOYOIKCWSA-N -1 1 318.377 1.046 20 0 DDADMM CC(C)C(=O)N1CC[C@H]2[C@@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945884871 718604431 /nfs/dbraw/zinc/60/44/31/718604431.db2.gz GUMJGVKREGHRCV-STQMWFEESA-N -1 1 317.389 1.506 20 0 DDADMM O=C(NC[C@H]1CCC[C@H]1NC(=O)C1CC1)c1ncccc1[O-] ZINC000946076246 718666655 /nfs/dbraw/zinc/66/66/55/718666655.db2.gz FKQKKBYMWPTWQL-VXGBXAGGSA-N -1 1 303.362 1.212 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)C)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966815349 718671941 /nfs/dbraw/zinc/67/19/41/718671941.db2.gz YYVOZJLGJOKRAI-QWRGUYRKSA-N -1 1 305.378 1.410 20 0 DDADMM CS[C@@H](C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000947637393 719232489 /nfs/dbraw/zinc/23/24/89/719232489.db2.gz QMRHRUBVAXCDEX-OUAUKWLOSA-N -1 1 323.418 1.115 20 0 DDADMM CCC(C)(C)C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000948064631 719347159 /nfs/dbraw/zinc/34/71/59/719347159.db2.gz CTKQPYPVXLPUKS-UHFFFAOYSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000948186963 719386146 /nfs/dbraw/zinc/38/61/46/719386146.db2.gz RZPMROKAKPXECB-VXGBXAGGSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(C)(F)F ZINC000948619244 719592140 /nfs/dbraw/zinc/59/21/40/719592140.db2.gz XVNAPQBHMQLIKM-RKDXNWHRSA-N -1 1 313.304 1.019 20 0 DDADMM CC(C)=C(F)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950399783 720617722 /nfs/dbraw/zinc/61/77/22/720617722.db2.gz IOWCTJIZFKCKPC-LLVKDONJSA-N -1 1 321.352 1.771 20 0 DDADMM Cc1oncc1CN(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971394192 721276372 /nfs/dbraw/zinc/27/63/72/721276372.db2.gz NNRAOQDCZOEVOY-ZDUSSCGKSA-N -1 1 316.361 1.430 20 0 DDADMM Cc1nocc1CN(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971394906 721276429 /nfs/dbraw/zinc/27/64/29/721276429.db2.gz RMPZRJJAYAGIOB-ZDUSSCGKSA-N -1 1 316.361 1.430 20 0 DDADMM C[C@H]1C[C@H]1C(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC000953888126 721674518 /nfs/dbraw/zinc/67/45/18/721674518.db2.gz LBJMTMUXUGNDPX-WCQYABFASA-N -1 1 317.389 1.506 20 0 DDADMM CCCc1nc(C)c(CNCCNC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001125734465 735447830 /nfs/dbraw/zinc/44/78/30/735447830.db2.gz QOYBQLMRETVUSD-UHFFFAOYSA-N -1 1 321.381 1.192 20 0 DDADMM CC(C)[C@H](F)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001020492701 732433886 /nfs/dbraw/zinc/43/38/86/732433886.db2.gz KNHYOWFMLZJLIZ-GVXVVHGQSA-N -1 1 323.368 1.501 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021441861 733206073 /nfs/dbraw/zinc/20/60/73/733206073.db2.gz CXRVGDYSEIOTNM-ZDEQEGDKSA-N -1 1 315.373 1.116 20 0 DDADMM C[C@@H](c1ccc(F)c(F)c1)N(C)c1nnc(-c2nnn[n-]2)n1C ZINC001121268528 782433532 /nfs/dbraw/zinc/43/35/32/782433532.db2.gz QMRGAJMFCIGGAE-ZETCQYMHSA-N -1 1 320.307 1.471 20 0 DDADMM C[C@@H](c1ccc(F)c(F)c1)N(C)c1nnc(-c2nn[n-]n2)n1C ZINC001121268528 782433536 /nfs/dbraw/zinc/43/35/36/782433536.db2.gz QMRGAJMFCIGGAE-ZETCQYMHSA-N -1 1 320.307 1.471 20 0 DDADMM CC(C)=C(F)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024924995 736171369 /nfs/dbraw/zinc/17/13/69/736171369.db2.gz VPFCVGDASRZLEO-SNVBAGLBSA-N -1 1 311.361 1.244 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025104967 736302313 /nfs/dbraw/zinc/30/23/13/736302313.db2.gz JVJAZSWCMZCMSW-VXGBXAGGSA-N -1 1 309.414 1.273 20 0 DDADMM CC(C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)=C1CCC1 ZINC000974056797 737353471 /nfs/dbraw/zinc/35/34/71/737353471.db2.gz MDVXIRQSBKWRMD-BETUJISGSA-N -1 1 315.373 1.665 20 0 DDADMM CC[C@@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)C1CCC(C)CC1 ZINC001129155397 751396577 /nfs/dbraw/zinc/39/65/77/751396577.db2.gz KRZALLJVBPOFNJ-WXRRBKDZSA-N -1 1 323.441 1.569 20 0 DDADMM CC1(C)CC[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000997924840 751397272 /nfs/dbraw/zinc/39/72/72/751397272.db2.gz WMEBEBFHRPLBFK-NSHDSACASA-N -1 1 303.362 1.164 20 0 DDADMM O=C(N[C@@H]1CCCN(CCC(F)(F)F)C1)c1ncccc1[O-] ZINC001007190649 751411725 /nfs/dbraw/zinc/41/17/25/751411725.db2.gz PXYPEBPHRHVQLD-SNVBAGLBSA-N -1 1 317.311 1.934 20 0 DDADMM CCN(C(=O)c1n[nH]c(C)c1[O-])[C@@H]1CCCN(CCF)CC1 ZINC000997974904 751434701 /nfs/dbraw/zinc/43/47/01/751434701.db2.gz GAMMSNVWBHDLFG-GFCCVEGCSA-N -1 1 312.389 1.710 20 0 DDADMM CC(C)CC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001012204362 740346728 /nfs/dbraw/zinc/34/67/28/740346728.db2.gz RGRZJJFSCVMHPG-NWDGAFQWSA-N -1 1 305.378 1.553 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)C2(C)CCCC2)C1 ZINC001029794594 741302592 /nfs/dbraw/zinc/30/25/92/741302592.db2.gz HMAIQOXEZSFVQC-GFCCVEGCSA-N -1 1 321.425 1.371 20 0 DDADMM CC1(C(=O)N2CCCCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001088318643 741381323 /nfs/dbraw/zinc/38/13/23/741381323.db2.gz KWLYVEKWHNCKLG-LLVKDONJSA-N -1 1 307.398 1.171 20 0 DDADMM N=C(Nc1ccc2c(c1)CCOC2)SCCS(=O)(=O)[O-] ZINC001168090521 741409423 /nfs/dbraw/zinc/40/94/23/741409423.db2.gz KQNLSHWNVGSMPI-UHFFFAOYSA-N -1 1 316.404 1.727 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088392900 741458491 /nfs/dbraw/zinc/45/84/91/741458491.db2.gz SBAYMZZCGBXFQF-STQMWFEESA-N -1 1 323.441 1.663 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CCC1(C)CC1 ZINC001076244834 742624737 /nfs/dbraw/zinc/62/47/37/742624737.db2.gz BVLSGZZQOBJOGV-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM O=C(Cc1ccc2nccnc2c1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001181023958 743027421 /nfs/dbraw/zinc/02/74/21/743027421.db2.gz BTRRVWSOZYLXIO-UHFFFAOYSA-N -1 1 321.300 1.183 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)c1ccnn1C1CCC1 ZINC001168693185 743051004 /nfs/dbraw/zinc/05/10/04/743051004.db2.gz GXAWKICEZBTSMR-CQSZACIVSA-N -1 1 323.360 1.641 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)[C@@H](CO)c1ccccc1 ZINC001181921008 743391639 /nfs/dbraw/zinc/39/16/39/743391639.db2.gz BDJZTHGAZBJUHI-QMMMGPOBSA-N -1 1 304.262 1.000 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](O)c2ccc(C)cc2)n1 ZINC001183644806 743870811 /nfs/dbraw/zinc/87/08/11/743870811.db2.gz XVVZBJJIWHBHRE-GFCCVEGCSA-N -1 1 303.318 1.567 20 0 DDADMM Cc1cnc([C@@H](C)N2CC(NC(=O)c3ncccc3[O-])C2)cn1 ZINC001030241270 743976911 /nfs/dbraw/zinc/97/69/11/743976911.db2.gz BWBRVDMVIJTBOI-LLVKDONJSA-N -1 1 313.361 1.061 20 0 DDADMM O=c1cc([N-]S(=O)(=O)c2cnc(Cl)nc2)cc(Cl)[nH]1 ZINC001185004584 744135348 /nfs/dbraw/zinc/13/53/48/744135348.db2.gz RYMYOAALJSDFQC-UHFFFAOYSA-N -1 1 321.145 1.685 20 0 DDADMM O=c1cc[nH]c(Cl)c1[N-]S(=O)(=O)c1cnc(Cl)nc1 ZINC001185003779 744143150 /nfs/dbraw/zinc/14/31/50/744143150.db2.gz FZTRZOQOIWHWSK-UHFFFAOYSA-N -1 1 321.145 1.685 20 0 DDADMM O=S(=O)([N-]C1(C(F)(F)F)COC1)c1ccc(Cl)nc1 ZINC001185712570 744274974 /nfs/dbraw/zinc/27/49/74/744274974.db2.gz FDIUSHKYXDQMSN-UHFFFAOYSA-N -1 1 316.688 1.345 20 0 DDADMM O=S(=O)([N-]c1ccccc1CO)c1ccc2n[nH]cc2c1 ZINC001185986710 744317799 /nfs/dbraw/zinc/31/77/99/744317799.db2.gz ALNNSBWAIGYNRD-UHFFFAOYSA-N -1 1 303.343 1.856 20 0 DDADMM CCOC(=O)C1=C(NC(=O)c2ccc([O-])c(F)c2)[C@@H](C)OC1 ZINC001186236592 744361840 /nfs/dbraw/zinc/36/18/40/744361840.db2.gz GZOABSRMWDREEH-MRVPVSSYSA-N -1 1 309.293 1.497 20 0 DDADMM CN1CCN(c2cncc([N-]S(=O)(=O)c3ccco3)c2)CC1 ZINC001186820509 744454137 /nfs/dbraw/zinc/45/41/37/744454137.db2.gz OUQXIZISYMUDCF-UHFFFAOYSA-N -1 1 322.390 1.227 20 0 DDADMM COc1cccc([C@H](CO)[N-]S(=O)(=O)c2cnoc2C)c1 ZINC001187400856 744556520 /nfs/dbraw/zinc/55/65/20/744556520.db2.gz KSJILFGAMWMBLG-LBPRGKRZSA-N -1 1 312.347 1.004 20 0 DDADMM O=C(Cc1ccc2[nH]ccc2n1)NCCCC[P@@](=O)([O-])O ZINC001187430488 744567819 /nfs/dbraw/zinc/56/78/19/744567819.db2.gz ZZXKWPDJIPXIRV-UHFFFAOYSA-N -1 1 311.278 1.180 20 0 DDADMM Cn1ncc2c1cccc2CNC(=O)c1n[n-]nc1C(F)(F)F ZINC001187774863 744600962 /nfs/dbraw/zinc/60/09/62/744600962.db2.gz YWQLRUFNTJBJDI-UHFFFAOYSA-N -1 1 324.266 1.640 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1nccs1)Cc1ccc(F)cc1 ZINC001187919076 744635076 /nfs/dbraw/zinc/63/50/76/744635076.db2.gz UYXGYPVLEMCCKV-UHFFFAOYSA-N -1 1 314.363 1.372 20 0 DDADMM O=S(=O)([N-]CC1(c2ncccc2F)CC1)c1nccs1 ZINC001187919943 744635086 /nfs/dbraw/zinc/63/50/86/744635086.db2.gz AVLIOLAQTZWYHT-UHFFFAOYSA-N -1 1 313.379 1.687 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1nnsc1C1CC1 ZINC001188082991 744657676 /nfs/dbraw/zinc/65/76/76/744657676.db2.gz JOHRDRDCMCFCQY-UHFFFAOYSA-N -1 1 307.335 1.568 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)c1nnsc1C1CC1 ZINC001188082991 744657682 /nfs/dbraw/zinc/65/76/82/744657682.db2.gz JOHRDRDCMCFCQY-UHFFFAOYSA-N -1 1 307.335 1.568 20 0 DDADMM Cc1cc(C)nc(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)c1 ZINC001188135990 744664213 /nfs/dbraw/zinc/66/42/13/744664213.db2.gz SIEFQUOGETWYDJ-UHFFFAOYSA-N -1 1 316.321 1.910 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccnn1-c1ccccc1 ZINC001188440924 744704698 /nfs/dbraw/zinc/70/46/98/744704698.db2.gz PUEWTWDJAROTCM-UHFFFAOYSA-N -1 1 321.300 1.497 20 0 DDADMM O=C(Nc1ncnc2n[nH]nc21)c1ccc([O-])c(C(F)(F)F)c1 ZINC001188562647 744717027 /nfs/dbraw/zinc/71/70/27/744717027.db2.gz HSHXVOCFACYFLX-UHFFFAOYSA-N -1 1 324.222 1.725 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])Nc1cc(F)ncn1 ZINC001089271398 744842655 /nfs/dbraw/zinc/84/26/55/744842655.db2.gz JDBGNIFKDDJRFL-ZJUUUORDSA-N -1 1 319.340 1.725 20 0 DDADMM C[C@H](C[C@H](C)Nc1cnc(F)cn1)NC(=O)c1ncccc1[O-] ZINC001089273177 744848790 /nfs/dbraw/zinc/84/87/90/744848790.db2.gz VQEWOLSMEAUNPR-VHSXEESVSA-N -1 1 319.340 1.725 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)c1cc(C(C)(C)C)[nH]n1 ZINC001189239862 744850951 /nfs/dbraw/zinc/85/09/51/744850951.db2.gz FMESZFSWUQTYTD-UHFFFAOYSA-N -1 1 305.338 1.859 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C3CC3)nn2C)n1 ZINC001189402475 744889439 /nfs/dbraw/zinc/88/94/39/744889439.db2.gz GXUJSUJYGXEUOU-UHFFFAOYSA-N -1 1 303.322 1.450 20 0 DDADMM O=C(Nc1nn[nH]n1)c1cc2c(cccc2OC(F)(F)F)[nH]1 ZINC000076963935 744945639 /nfs/dbraw/zinc/94/56/39/744945639.db2.gz YMTRLQWXFKAJLM-UHFFFAOYSA-N -1 1 312.211 1.832 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc2c(cccc2OC(F)(F)F)[nH]1 ZINC000076963935 744945642 /nfs/dbraw/zinc/94/56/42/744945642.db2.gz YMTRLQWXFKAJLM-UHFFFAOYSA-N -1 1 312.211 1.832 20 0 DDADMM CC1(C)[C@H](O)C[C@@H]1NC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190270398 745169129 /nfs/dbraw/zinc/16/91/29/745169129.db2.gz PBHMSLUTFVUIJU-QWHCGFSZSA-N -1 1 313.357 1.738 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccccc1-n1nccn1 ZINC001190332705 745184636 /nfs/dbraw/zinc/18/46/36/745184636.db2.gz ZSMFGHJAEIOXBK-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM Cn1cnc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2F)c1 ZINC001190349495 745188601 /nfs/dbraw/zinc/18/86/01/745188601.db2.gz OFSCGYUHNLUXML-UHFFFAOYSA-N -1 1 305.281 1.316 20 0 DDADMM CCn1cnc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2F)c1 ZINC001190419719 745214888 /nfs/dbraw/zinc/21/48/88/745214888.db2.gz ISBRFMHNUKMGIC-UHFFFAOYSA-N -1 1 319.308 1.799 20 0 DDADMM C[C@H]1CC(=O)CCN1C(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190620607 745261380 /nfs/dbraw/zinc/26/13/80/745261380.db2.gz XJGXWADQMAYRGP-JTQLQIEISA-N -1 1 312.329 1.438 20 0 DDADMM O=C(NCCc1cnccn1)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629873 745279303 /nfs/dbraw/zinc/27/93/03/745279303.db2.gz ZHZTWKCSJUTLDK-UHFFFAOYSA-N -1 1 322.328 1.007 20 0 DDADMM COc1nc(C)ccc1C(=O)Nc1cc(=O)[n-]c(SC)n1 ZINC001190799674 745335213 /nfs/dbraw/zinc/33/52/13/745335213.db2.gz ILKWOUGAVZEHRM-UHFFFAOYSA-N -1 1 306.347 1.868 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc(=O)[nH]c1Cl ZINC001190917376 745385079 /nfs/dbraw/zinc/38/50/79/745385079.db2.gz AIJUFIHUGSLZNX-UHFFFAOYSA-N -1 1 308.658 1.128 20 0 DDADMM COCOc1ccc(C(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001191287986 745472571 /nfs/dbraw/zinc/47/25/71/745472571.db2.gz MPQSUFYKCNNRLB-UHFFFAOYSA-N -1 1 317.278 1.357 20 0 DDADMM O=C([N-]C1CCN(C(=O)Nc2ccccn2)CC1)C(F)(F)F ZINC001191317402 745483003 /nfs/dbraw/zinc/48/30/03/745483003.db2.gz QCEBXZXCDRZXET-UHFFFAOYSA-N -1 1 316.283 1.756 20 0 DDADMM Cc1noc([N-]C(=O)c2cc(Br)no2)c1C(N)=O ZINC001191328967 745485942 /nfs/dbraw/zinc/48/59/42/745485942.db2.gz LXFFNIYXHRCSPZ-UHFFFAOYSA-N -1 1 315.083 1.085 20 0 DDADMM CSc1ncc(C(=O)NCc2ccc3c(c2)OCO3)c(=O)[n-]1 ZINC001191420132 745506491 /nfs/dbraw/zinc/50/64/91/745506491.db2.gz IYNUXAYAPGLBMQ-UHFFFAOYSA-N -1 1 319.342 1.563 20 0 DDADMM CSc1ncc(C(=O)N2CCc3cnccc3C2)c(=O)[n-]1 ZINC001191420624 745506736 /nfs/dbraw/zinc/50/67/36/745506736.db2.gz QKFMDONOVJDVDG-UHFFFAOYSA-N -1 1 302.359 1.498 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@@H](c3cccnc3)C2)c(=O)[n-]1 ZINC001191431621 745511374 /nfs/dbraw/zinc/51/13/74/745511374.db2.gz ZSVOWIAMDJGNNG-LLVKDONJSA-N -1 1 316.386 1.929 20 0 DDADMM CSc1ncc(C(=O)NCc2ccc3nonc3c2)c(=O)[n-]1 ZINC001191437235 745512207 /nfs/dbraw/zinc/51/22/07/745512207.db2.gz KWWVWUKSIJDQNM-UHFFFAOYSA-N -1 1 317.330 1.370 20 0 DDADMM CC1CCN(CC[N-]S(=O)(=O)C(Cl)(Cl)Cl)CC1 ZINC001191996254 745661243 /nfs/dbraw/zinc/66/12/43/745661243.db2.gz FSJYMWGDYQDTTN-UHFFFAOYSA-N -1 1 323.673 1.965 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@@H](O)C1)C(Cl)(Cl)Cl ZINC001192049961 745671759 /nfs/dbraw/zinc/67/17/59/745671759.db2.gz OIQDQVJAHWUTLM-RNFRBKRXSA-N -1 1 310.630 1.785 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1C[C@H](CO)CC(F)(F)C1 ZINC001192526174 745821478 /nfs/dbraw/zinc/82/14/78/745821478.db2.gz GCDBDEQCXUGBFQ-SSDOTTSWSA-N -1 1 307.243 1.760 20 0 DDADMM CC(=O)N1CCC[C@@H](N(C)C(=O)c2c(F)ccc([O-])c2F)C1 ZINC001192539082 745827219 /nfs/dbraw/zinc/82/72/19/745827219.db2.gz FCVCNHZUOQGKRZ-SNVBAGLBSA-N -1 1 312.316 1.753 20 0 DDADMM O=Nc1c(=O)[nH]c(=O)[nH]c1NC(=O)c1cc([O-])cc(F)c1F ZINC001192651710 745845626 /nfs/dbraw/zinc/84/56/26/745845626.db2.gz KMAUHXDJYXKQGD-UHFFFAOYSA-N -1 1 312.188 1.522 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cc(C)nc(Cl)n1 ZINC001192812774 745902268 /nfs/dbraw/zinc/90/22/68/745902268.db2.gz UPQQJZIPEWAZAY-UHFFFAOYSA-N -1 1 310.697 1.774 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1Cc1ccon1 ZINC000993225550 745919224 /nfs/dbraw/zinc/91/92/24/745919224.db2.gz ZUOGJRPEEQRYBZ-AAEUAGOBSA-N -1 1 316.361 1.558 20 0 DDADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cccc(N(C)C)c1 ZINC001193058685 745975624 /nfs/dbraw/zinc/97/56/24/745975624.db2.gz KGMMXYDUVZKMRO-UHFFFAOYSA-N -1 1 314.407 1.836 20 0 DDADMM C[C@@H]1CCCC[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998620432 752056910 /nfs/dbraw/zinc/05/69/10/752056910.db2.gz GUKNKHHLPFQKMF-DGCLKSJQSA-N -1 1 317.389 1.554 20 0 DDADMM COc1ccnc(C(=O)Nc2ccc(OC(C)=O)cc2)c1[O-] ZINC001193531205 746121530 /nfs/dbraw/zinc/12/15/30/746121530.db2.gz HWRQMTZSQMUBIJ-UHFFFAOYSA-N -1 1 302.286 1.973 20 0 DDADMM CN(C=O)c1ccc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)cc1 ZINC001193990722 746244893 /nfs/dbraw/zinc/24/48/93/746244893.db2.gz TYSOVJORNBXKCA-UHFFFAOYSA-N -1 1 313.239 1.668 20 0 DDADMM O=C1CCC(S(=O)(=O)[N-]c2cnc(C(F)(F)F)nc2)CC1 ZINC001194759283 746459031 /nfs/dbraw/zinc/45/90/31/746459031.db2.gz JZKFBCKLYXLMFV-UHFFFAOYSA-N -1 1 323.296 1.749 20 0 DDADMM O=C1CCC(S(=O)(=O)[N-]c2nccc3cnccc32)CC1 ZINC001194760328 746459739 /nfs/dbraw/zinc/45/97/39/746459739.db2.gz YERZMBGJZIDOOR-UHFFFAOYSA-N -1 1 305.359 1.883 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2cc([O-])cnc2Cl)[nH]n1 ZINC001195297596 746570041 /nfs/dbraw/zinc/57/00/41/746570041.db2.gz DSLWIDUQIWXVGR-UHFFFAOYSA-N -1 1 310.697 1.593 20 0 DDADMM COCCn1cncc1CNC(=O)c1cc([O-])cnc1Cl ZINC001195313137 746574667 /nfs/dbraw/zinc/57/46/67/746574667.db2.gz QEOIKACPGOOQRB-UHFFFAOYSA-N -1 1 310.741 1.214 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]Cc1cc(-c2ccccc2)no1 ZINC001195984668 746746461 /nfs/dbraw/zinc/74/64/61/746746461.db2.gz WDTUBFDGTNJSMC-UHFFFAOYSA-N -1 1 324.358 1.324 20 0 DDADMM Cc1cc2ncc(C)c(NC(=O)c3c[nH]c(=S)[n-]c3=O)n2n1 ZINC001196008672 746754172 /nfs/dbraw/zinc/75/41/72/746754172.db2.gz FORPUWDMKRKZIQ-UHFFFAOYSA-N -1 1 316.346 1.383 20 0 DDADMM O=C(Nc1cnn2cc(F)ccc12)c1c[nH]c(=S)[n-]c1=O ZINC001196014581 746756671 /nfs/dbraw/zinc/75/66/71/746756671.db2.gz SIOIMVFLKYUXDG-UHFFFAOYSA-N -1 1 305.294 1.510 20 0 DDADMM O=C(Nc1ccnn1Br)c1c[nH]c(=S)[n-]c1=O ZINC001196015223 746757586 /nfs/dbraw/zinc/75/75/86/746757586.db2.gz XSRBOFHBXJOCLS-UHFFFAOYSA-N -1 1 316.140 1.078 20 0 DDADMM O=C(Nc1cccc(Cl)c1CO)c1c[nH]c(=S)[n-]c1=O ZINC001196021225 746759185 /nfs/dbraw/zinc/75/91/85/746759185.db2.gz PFIMLHRKEINGLJ-UHFFFAOYSA-N -1 1 311.750 1.869 20 0 DDADMM N=C(NC(=O)c1c[nH]c(=S)[n-]c1=O)c1cccnc1Cl ZINC001196023553 746759978 /nfs/dbraw/zinc/75/99/78/746759978.db2.gz LIRVERUXMXSEGN-UHFFFAOYSA-N -1 1 309.738 1.275 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc(C(F)F)nc1 ZINC001196100584 746776781 /nfs/dbraw/zinc/77/67/81/746776781.db2.gz ZKJACCYAEGKZAO-UHFFFAOYSA-N -1 1 308.221 1.707 20 0 DDADMM Cc1nc(Cl)c([N-]C(=O)c2snnc2CO)c(Cl)n1 ZINC001196352510 746841980 /nfs/dbraw/zinc/84/19/80/746841980.db2.gz XTDNBTOZNFUSTD-UHFFFAOYSA-N -1 1 320.161 1.688 20 0 DDADMM Cc1noc(C(C)C)c1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001197362414 747137238 /nfs/dbraw/zinc/13/72/38/747137238.db2.gz HXVWFVPNLASBEE-UHFFFAOYSA-N -1 1 302.294 1.731 20 0 DDADMM O=C(NCC1CN(Cc2cccc(F)c2)C1)c1ncccc1[O-] ZINC001031627697 747249464 /nfs/dbraw/zinc/24/94/64/747249464.db2.gz SRWBFFGKZAXYAP-UHFFFAOYSA-N -1 1 315.348 1.788 20 0 DDADMM COc1ccc(NS(=O)(=O)c2ccccc2C(=O)[O-])cn1 ZINC001198729896 747581077 /nfs/dbraw/zinc/58/10/77/747581077.db2.gz BAVIQNIDTWCYGV-UHFFFAOYSA-N -1 1 308.315 1.589 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnoc2C(F)(F)F)n1 ZINC001199046266 747684893 /nfs/dbraw/zinc/68/48/93/747684893.db2.gz ARZHTGPJHQBBHO-UHFFFAOYSA-N -1 1 318.211 1.846 20 0 DDADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CC[C@H](F)C1 ZINC001003896614 747697676 /nfs/dbraw/zinc/69/76/76/747697676.db2.gz KTOFGTOHFLVUTO-RYUDHWBXSA-N -1 1 321.352 1.114 20 0 DDADMM Cn1cc(NC(=O)c2ccc([O-])c(=O)[nH]2)c(C(F)(F)F)n1 ZINC001199196617 747737526 /nfs/dbraw/zinc/73/75/26/747737526.db2.gz ODQYXDCHWSMONB-UHFFFAOYSA-N -1 1 302.212 1.497 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cccc(OC(F)F)n1 ZINC001199305560 747783330 /nfs/dbraw/zinc/78/33/30/747783330.db2.gz DHRBPLPYNBFFQA-UHFFFAOYSA-N -1 1 322.231 1.307 20 0 DDADMM NC(=O)S(=O)(=O)c1ccc([O-])c(Nc2cccnc2N)c1 ZINC001212408869 752241529 /nfs/dbraw/zinc/24/15/29/752241529.db2.gz QGBSBTGOIPUGKF-UHFFFAOYSA-N -1 1 308.319 1.379 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](O)C1)c1c(F)cccc1Br ZINC001201049170 748407162 /nfs/dbraw/zinc/40/71/62/748407162.db2.gz YIDDQYDHFSOLMS-LJGSYFOKSA-N -1 1 324.171 1.390 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1sc(Cl)nc1C ZINC001201770987 748599615 /nfs/dbraw/zinc/59/96/15/748599615.db2.gz YQUUERQPXIWZFZ-RNFRBKRXSA-N -1 1 324.811 1.192 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1ccoc1 ZINC001004728432 748685836 /nfs/dbraw/zinc/68/58/36/748685836.db2.gz TWSDVUCRWLOSMI-PWSUYJOCSA-N -1 1 319.365 1.276 20 0 DDADMM CC(C)CC(=O)N1CC[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001004749899 748715913 /nfs/dbraw/zinc/71/59/13/748715913.db2.gz JDYQEEFJKQUHNR-QWHCGFSZSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001004755689 748739064 /nfs/dbraw/zinc/73/90/64/748739064.db2.gz XCVLRUOXLGMGNT-QWHCGFSZSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H]1CCN(C(=O)C2CCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004770954 748781716 /nfs/dbraw/zinc/78/17/16/748781716.db2.gz UYXXEJMIPRHLEC-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C)CCc2c(n[nH]c2C(=O)NCC(=O)CCC(=O)[O-])C1 ZINC001154506402 749799930 /nfs/dbraw/zinc/79/99/30/749799930.db2.gz IQOMQTWCOCXSCU-UHFFFAOYSA-N -1 1 307.350 1.088 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])Nc1cccc(F)n1 ZINC001115719150 750885746 /nfs/dbraw/zinc/88/57/46/750885746.db2.gz IZHOKOXOPFLPRL-SNVBAGLBSA-N -1 1 304.325 1.894 20 0 DDADMM O=C(C[C@H]1C=CCCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034972836 751088019 /nfs/dbraw/zinc/08/80/19/751088019.db2.gz DPSVVPMQWSIDGZ-QWHCGFSZSA-N -1 1 319.409 1.337 20 0 DDADMM CC1(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC=CC1 ZINC000999058443 752469828 /nfs/dbraw/zinc/46/98/28/752469828.db2.gz CZMBTTLASHHBCQ-UHFFFAOYSA-N -1 1 301.346 1.084 20 0 DDADMM C[C@H]1CCCN(C(=O)c2ccoc2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036504318 752492053 /nfs/dbraw/zinc/49/20/53/752492053.db2.gz ZOFGXXHHMPMWSV-JQWIXIFHSA-N -1 1 319.365 1.134 20 0 DDADMM CC1(CC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC000999094661 752506106 /nfs/dbraw/zinc/50/61/06/752506106.db2.gz GWSSRWDUXWNNJB-LBPRGKRZSA-N -1 1 321.425 1.561 20 0 DDADMM CC(C)[C@H](F)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001077887182 753339175 /nfs/dbraw/zinc/33/91/75/753339175.db2.gz WAOOAWNBKVCOAR-JQWIXIFHSA-N -1 1 311.357 1.406 20 0 DDADMM Cc1ccnc(N2CC[C@H](N(C)C(=O)c3ncccc3[O-])C2)n1 ZINC001062923667 753850466 /nfs/dbraw/zinc/85/04/66/753850466.db2.gz XDVZIEFZPOIZRV-LBPRGKRZSA-N -1 1 313.361 1.237 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(Cc3ccsc3)C2)c1[O-] ZINC001010638836 754072193 /nfs/dbraw/zinc/07/21/93/754072193.db2.gz LACAAICDPMCUQG-NSHDSACASA-N -1 1 306.391 1.490 20 0 DDADMM O=C(N[C@H]1CCN(CC(F)(F)C(F)F)C1)c1ncccc1[O-] ZINC001010729336 754128329 /nfs/dbraw/zinc/12/83/29/754128329.db2.gz AWICKSMGFGTWOY-QMMMGPOBSA-N -1 1 321.274 1.492 20 0 DDADMM Cc1nnc([C@H](C)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001010731418 754128779 /nfs/dbraw/zinc/12/87/79/754128779.db2.gz JDIRLSJUEDJEJO-ONGXEEELSA-N -1 1 317.349 1.044 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1cccc(F)c1F ZINC001169268785 762333606 /nfs/dbraw/zinc/33/36/06/762333606.db2.gz LMQMDSQVQLIWCE-UHFFFAOYSA-N -1 1 311.313 1.988 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCSC)C1 ZINC001079653237 755503731 /nfs/dbraw/zinc/50/37/31/755503731.db2.gz YLMAXKVPODRPJM-UHFFFAOYSA-N -1 1 323.418 1.213 20 0 DDADMM CC1(C(=O)N[C@H]2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001015458467 756314000 /nfs/dbraw/zinc/31/40/00/756314000.db2.gz LGWGTVBTGBGRGG-NEPJUHHUSA-N -1 1 317.389 1.602 20 0 DDADMM O=C(CCCF)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001082219391 756689993 /nfs/dbraw/zinc/68/99/93/756689993.db2.gz ISXZBPOYSLGYSS-LBPRGKRZSA-N -1 1 323.368 1.648 20 0 DDADMM C/C=C(\C)C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001067135484 756747064 /nfs/dbraw/zinc/74/70/64/756747064.db2.gz SVXSJPZLOMFAOD-LFYBBSHMSA-N -1 1 305.378 1.674 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(Cc3cccnc3)C[C@H]21 ZINC001084299113 757501301 /nfs/dbraw/zinc/50/13/01/757501301.db2.gz DJXAQUHJQNQXAD-HUUCEWRRSA-N -1 1 324.384 1.529 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])Nc1cncc(Cl)n1 ZINC001097764884 757509850 /nfs/dbraw/zinc/50/98/50/757509850.db2.gz MMTWDRNATIMTFQ-MRVPVSSYSA-N -1 1 307.741 1.461 20 0 DDADMM CN(C(=O)C(C)(C)C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001017003300 757549930 /nfs/dbraw/zinc/54/99/30/757549930.db2.gz WUKYSJMOEMWION-UHFFFAOYSA-N -1 1 305.378 1.553 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400627 757899756 /nfs/dbraw/zinc/89/97/56/757899756.db2.gz RAQWHCFUCMHLAH-YPMHNXCESA-N -1 1 315.373 1.332 20 0 DDADMM CC(C)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001018099279 758545999 /nfs/dbraw/zinc/54/59/99/758545999.db2.gz LGCCCOCPAXZIIO-RYUDHWBXSA-N -1 1 305.378 1.553 20 0 DDADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@]12C[C@H]1CCC2 ZINC001085278967 759223983 /nfs/dbraw/zinc/22/39/83/759223983.db2.gz JPFUPNHRSMHRDJ-DSZLRUIBSA-N -1 1 319.409 1.218 20 0 DDADMM Cc1nc(CN[C@H]2CCN(C(=O)c3ncccc3[O-])C2)cs1 ZINC001018755835 759225718 /nfs/dbraw/zinc/22/57/18/759225718.db2.gz FRMJQWRSKSLWKJ-NSHDSACASA-N -1 1 318.402 1.556 20 0 DDADMM O=C(c1ncccc1[O-])N1CCCN(c2nccnc2F)CC1 ZINC001057128235 762697855 /nfs/dbraw/zinc/69/78/55/762697855.db2.gz BCQMLZJNBRHQAY-UHFFFAOYSA-N -1 1 317.324 1.069 20 0 DDADMM Cc1ccc(C(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)s1 ZINC001054339016 759509368 /nfs/dbraw/zinc/50/93/68/759509368.db2.gz LCIIGNORHGPITF-SCZZXKLOSA-N -1 1 321.406 1.131 20 0 DDADMM O=C([C@H]1CC[C@@H]2C[C@@H]2CC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019178914 759652072 /nfs/dbraw/zinc/65/20/72/759652072.db2.gz HAMPRUSLAODIAI-RNJOBUHISA-N -1 1 319.409 1.027 20 0 DDADMM Cc1cc(CN2CC[C@H]2CN(C)C(=O)c2ncccc2[O-])on1 ZINC001085562815 759729039 /nfs/dbraw/zinc/72/90/39/759729039.db2.gz VQUPICHIPHRCPK-LBPRGKRZSA-N -1 1 316.361 1.430 20 0 DDADMM Cc1sccc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001054639974 759932615 /nfs/dbraw/zinc/93/26/15/759932615.db2.gz VETZMPHNOCNEFO-KWQFWETISA-N -1 1 321.406 1.131 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1c1ncccn1 ZINC001069359661 767990828 /nfs/dbraw/zinc/99/08/28/767990828.db2.gz PDZYONPKEMVGCQ-NEPJUHHUSA-N -1 1 313.361 1.365 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@H](Nc2cnc(F)cn2)C1 ZINC001057623455 764156279 /nfs/dbraw/zinc/15/62/79/764156279.db2.gz JZKDJZPACGTAAY-SNVBAGLBSA-N -1 1 317.324 1.433 20 0 DDADMM Cc1csc2nc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)ncc12 ZINC001170212849 766172999 /nfs/dbraw/zinc/17/29/99/766172999.db2.gz DDBHQUDURVTLFC-UHFFFAOYSA-N -1 1 315.318 1.772 20 0 DDADMM CNc1cnc(Nc2[n-]c(=O)nc3nc[nH]c32)cc1C(=O)OC ZINC001170227003 766201147 /nfs/dbraw/zinc/20/11/47/766201147.db2.gz WDULQGKJXJOVSI-UHFFFAOYSA-N -1 1 315.293 1.025 20 0 DDADMM Cc1nocc1CN1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001046259449 767313705 /nfs/dbraw/zinc/31/37/05/767313705.db2.gz MFRTUYDRASTBGN-MRXNPFEDSA-N -1 1 316.361 1.478 20 0 DDADMM CSCCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070397250 768439167 /nfs/dbraw/zinc/43/91/67/768439167.db2.gz SPSGVIBCVDKQJE-LLVKDONJSA-N -1 1 323.418 1.261 20 0 DDADMM C[C@H](CC(=O)NCCNCc1n[nH]c(=O)[n-]1)c1cccc(F)c1 ZINC001133612301 770061913 /nfs/dbraw/zinc/06/19/13/770061913.db2.gz OBGAIPQFHDYCIV-SNVBAGLBSA-N -1 1 321.356 1.049 20 0 DDADMM Cc1ccc([C@H](C)C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001144456396 772538814 /nfs/dbraw/zinc/53/88/14/772538814.db2.gz KIBMPTAEJKPTKH-QMMMGPOBSA-N -1 1 313.317 1.766 20 0 DDADMM COC(=O)CC[C@@H]1COCCN1Cc1cc(F)c([O-])cc1F ZINC001144663786 772590351 /nfs/dbraw/zinc/59/03/51/772590351.db2.gz JAMZUTBURMSNOZ-LLVKDONJSA-N -1 1 315.316 1.824 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@@H](O)c3cccc(Cl)c3)c1-2 ZINC001144816151 772628717 /nfs/dbraw/zinc/62/87/17/772628717.db2.gz VXGJTQQCUVVWRT-NSHDSACASA-N -1 1 317.736 1.360 20 0 DDADMM CCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073636121 773249479 /nfs/dbraw/zinc/24/94/79/773249479.db2.gz UQMSPIJPCITHBK-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1ncc(CO)c(C(=O)N(C)c2nc(Cl)ccc2N)c1[O-] ZINC001147833480 773260006 /nfs/dbraw/zinc/26/00/06/773260006.db2.gz GZIQOQTYURZIFY-UHFFFAOYSA-N -1 1 322.752 1.495 20 0 DDADMM Cc1ncc(CO)c(C(=O)Nc2ncnc3sccc32)c1[O-] ZINC001147833464 773260111 /nfs/dbraw/zinc/26/01/11/773260111.db2.gz GSOWTPGXFMHMCJ-UHFFFAOYSA-N -1 1 316.342 1.845 20 0 DDADMM Cc1ncc(CO)c(C(=O)NCc2ccc3c(c2)CCO3)c1[O-] ZINC001147842725 773266615 /nfs/dbraw/zinc/26/66/15/773266615.db2.gz KQSHOMIXYKLCMM-UHFFFAOYSA-N -1 1 314.341 1.453 20 0 DDADMM Cc1nc(-c2ccccn2)sc1C(=O)[N-]c1nonc1N ZINC001147943055 773308184 /nfs/dbraw/zinc/30/81/84/773308184.db2.gz VAXOIBGRIAJTSN-UHFFFAOYSA-N -1 1 302.319 1.731 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(Nc2ccccc2)cn1 ZINC001148207756 773390451 /nfs/dbraw/zinc/39/04/51/773390451.db2.gz GLJNAHXZKKZWHK-UHFFFAOYSA-N -1 1 309.333 1.910 20 0 DDADMM O=C(N[C@H]1CCCNC(=O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC001148806519 773605880 /nfs/dbraw/zinc/60/58/80/773605880.db2.gz VXOJZVAIDNRJQR-VIFPVBQESA-N -1 1 316.279 1.810 20 0 DDADMM CCc1ccc(NC(=O)c2cc(S(=O)(=O)[O-])ccc2O)cn1 ZINC001148916732 773634216 /nfs/dbraw/zinc/63/42/16/773634216.db2.gz DPINAOWJZCWXCY-UHFFFAOYSA-N -1 1 322.342 1.849 20 0 DDADMM CS(=O)(=O)c1ccc(C(=O)[N-]c2nnco2)c(Cl)c1 ZINC001149011918 773664374 /nfs/dbraw/zinc/66/43/74/773664374.db2.gz WXJQAMITUFJYEX-UHFFFAOYSA-N -1 1 301.711 1.379 20 0 DDADMM CCc1ncnc(NCCNC(=O)c2ncccc2[O-])c1F ZINC001093562671 774890348 /nfs/dbraw/zinc/89/03/48/774890348.db2.gz BHDHMDLBNABODF-UHFFFAOYSA-N -1 1 305.313 1.121 20 0 DDADMM CCOC(=O)c1nc([N-]c2nccnc2CN)nc2ccccc21 ZINC001171440861 775763020 /nfs/dbraw/zinc/76/30/20/775763020.db2.gz JEGHPBRVKRXOLQ-UHFFFAOYSA-N -1 1 324.344 1.799 20 0 DDADMM COC(=O)CCCC[C@H](C)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001173441625 777179828 /nfs/dbraw/zinc/17/98/28/777179828.db2.gz TURPFQPTDRTTSN-ZETCQYMHSA-N -1 1 309.326 1.250 20 0 DDADMM CSc1nc(Nc2ccc(S(N)(=O)=O)cc2)cc(=O)[n-]1 ZINC001174236109 777431094 /nfs/dbraw/zinc/43/10/94/777431094.db2.gz WNJWHXAUPHQVCV-UHFFFAOYSA-N -1 1 312.376 1.295 20 0 DDADMM Nc1cncc(S(=O)(=O)Nc2cccc(/C=C/C(=O)[O-])c2)c1 ZINC001174494597 777488051 /nfs/dbraw/zinc/48/80/51/777488051.db2.gz CJAXHYILLXEEDX-SNAWJCMRSA-N -1 1 319.342 1.562 20 0 DDADMM CCC[C@H](CC)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102485253 778165636 /nfs/dbraw/zinc/16/56/36/778165636.db2.gz MMIBLDWHZSGGLG-FRRDWIJNSA-N -1 1 323.441 1.521 20 0 DDADMM O=C(Cc1nn[n-]n1)N1CCc2c(cccc2C(F)(F)F)C1 ZINC001176840530 778270703 /nfs/dbraw/zinc/27/07/03/778270703.db2.gz LENDHBHEGSFDDV-UHFFFAOYSA-N -1 1 311.267 1.346 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])Nc1ncc(Cl)cn1 ZINC001103069584 778617416 /nfs/dbraw/zinc/61/74/16/778617416.db2.gz XHUMGXVKNCPLPO-JTQLQIEISA-N -1 1 321.768 1.851 20 0 DDADMM CC(C)(Cc1ccccn1)C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001178190138 778932583 /nfs/dbraw/zinc/93/25/83/778932583.db2.gz PNWDKMTVYWNKON-UHFFFAOYSA-N -1 1 312.333 1.661 20 0 DDADMM Cc1cc(NC[C@@H](C)CNC(=O)c2ncccc2[O-])nc(C)n1 ZINC001103917062 779168745 /nfs/dbraw/zinc/16/87/45/779168745.db2.gz CURZLIUMUQCTJY-SNVBAGLBSA-N -1 1 315.377 1.672 20 0 DDADMM COCCO[C@]12CCC[C@@]1(NC(=O)c1ccc([O-])cn1)CCO2 ZINC001180231146 779664321 /nfs/dbraw/zinc/66/43/21/779664321.db2.gz VVBTYGQVPAVDAB-HZPDHXFCSA-N -1 1 322.361 1.219 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C(C)(C)c3cccnc3)nc2n1 ZINC001118783733 781240024 /nfs/dbraw/zinc/24/00/24/781240024.db2.gz JCMINTKGXOIHEP-UHFFFAOYSA-N -1 1 312.333 1.037 20 0 DDADMM O=C([O-])[C@]1(N2CCN(C(=O)C[C@H]3C=CCCC3)CC2)CCOC1 ZINC001119581628 781525640 /nfs/dbraw/zinc/52/56/40/781525640.db2.gz JBQAVUXYNKFPJM-YOEHRIQHSA-N -1 1 322.405 1.121 20 0 DDADMM CCC1(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CCC1 ZINC001409093530 844238245 /nfs/dbraw/zinc/23/82/45/844238245.db2.gz DXJOTMFLGHRUKV-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)CCC(C)(C)C ZINC001408554471 837244451 /nfs/dbraw/zinc/24/44/51/837244451.db2.gz PZPUOMJSGGYJHV-UHFFFAOYSA-N -1 1 307.394 1.802 20 0 DDADMM CCN(CCNC(=O)[C@@H]1CC1(F)F)C(=O)c1ncccc1[O-] ZINC001408739582 838614117 /nfs/dbraw/zinc/61/41/17/838614117.db2.gz HZURLWNSSBAZGX-VIFPVBQESA-N -1 1 313.304 1.021 20 0 DDADMM CN(C(=O)c1ncccc1O)[C@@H]1CC[N@@H+](CCOCC2CC2)C1 ZINC001267762242 838891816 /nfs/dbraw/zinc/89/18/16/838891816.db2.gz DQASSYXSMKOKDP-CQSZACIVSA-N -1 1 319.405 1.360 20 0 DDADMM CCC(=O)NC[C@H](NC(=O)c1ncccc1[O-])c1ccccc1 ZINC001408954719 840548680 /nfs/dbraw/zinc/54/86/80/840548680.db2.gz VKFGIAPLEKYHFT-ZDUSSCGKSA-N -1 1 313.357 1.785 20 0 DDADMM CCc1cnc(CNC(=O)c2cnc(SC)[n-]c2=O)s1 ZINC001361996744 840783555 /nfs/dbraw/zinc/78/35/55/840783555.db2.gz WJMKASVXCWVAHO-UHFFFAOYSA-N -1 1 310.404 1.853 20 0 DDADMM CNC(=O)C1(C(=O)Nc2ccc(Br)c([O-])c2)CC1 ZINC001326234361 861220117 /nfs/dbraw/zinc/22/01/17/861220117.db2.gz MUAGWMVUHFHTKM-UHFFFAOYSA-N -1 1 313.151 1.619 20 0 DDADMM NC(=O)[C@@H]1COCCC12CN(C(=O)c1ccc([O-])cc1Cl)C2 ZINC001269762548 842019529 /nfs/dbraw/zinc/01/95/29/842019529.db2.gz UMHIMAHRCRIYBN-NSHDSACASA-N -1 1 324.764 1.010 20 0 DDADMM NC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ccc2sccc2c1[O-] ZINC001269991217 842205511 /nfs/dbraw/zinc/20/55/11/842205511.db2.gz YYJAXQGOPBRBCJ-VXJOIVPMSA-N -1 1 302.355 1.697 20 0 DDADMM CN(C)C(=O)CCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001143052808 861356559 /nfs/dbraw/zinc/35/65/59/861356559.db2.gz UHWDNCKRVHYBMB-UHFFFAOYSA-N -1 1 302.334 1.385 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@H](C)S1 ZINC001326479784 861398956 /nfs/dbraw/zinc/39/89/56/861398956.db2.gz AAPSUPOHKJXSOQ-DTORHVGOSA-N -1 1 308.432 1.984 20 0 DDADMM CN1CCC2(CCN(C(=O)c3cc([O-])cc(F)c3)CC2)C1=O ZINC001271561450 843700007 /nfs/dbraw/zinc/70/00/07/843700007.db2.gz HPNTZVJIDVYGMV-UHFFFAOYSA-N -1 1 306.337 1.616 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)C[C@H]2CCOC2)sn1 ZINC001414234917 844697642 /nfs/dbraw/zinc/69/76/42/844697642.db2.gz XHANNYQQVUASFB-RKDXNWHRSA-N -1 1 306.409 1.245 20 0 DDADMM Cc1cnn(CCO)c1NC(=O)c1ccc2cccnc2c1[O-] ZINC001149318506 861545891 /nfs/dbraw/zinc/54/58/91/861545891.db2.gz DOWNXRVJMFWVPN-UHFFFAOYSA-N -1 1 312.329 1.690 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F)C1(C)CC1 ZINC001364989082 845978525 /nfs/dbraw/zinc/97/85/25/845978525.db2.gz ITMWBCUAUJGUSZ-SSDOTTSWSA-N -1 1 311.329 1.906 20 0 DDADMM CCCc1n[nH]cc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001149500215 861660913 /nfs/dbraw/zinc/66/09/13/861660913.db2.gz JMXDSTBBAUPKRE-ZDUSSCGKSA-N -1 1 311.349 1.395 20 0 DDADMM COC[C@@H](NC(=O)C=C(C)c1ccccc1C)c1nn[n-]n1 ZINC001155069710 861699328 /nfs/dbraw/zinc/69/93/28/861699328.db2.gz RJHJGTKXIIXLIT-BIRHUZIMSA-N -1 1 301.350 1.415 20 0 DDADMM CCc1c2ccccc2oc1C(=O)N[C@@H](COC)c1nn[n-]n1 ZINC001155070446 861699668 /nfs/dbraw/zinc/69/96/68/861699668.db2.gz WLPQUMSMIZTMKG-NSHDSACASA-N -1 1 315.333 1.626 20 0 DDADMM Cn1ccnc1CCNC(=O)c1cc2cccc(O)c2cc1[O-] ZINC001149659640 861788598 /nfs/dbraw/zinc/78/85/98/861788598.db2.gz JAFHVTDLAHRORV-UHFFFAOYSA-N -1 1 311.341 1.957 20 0 DDADMM Cc1ccoc1C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001411209939 850404482 /nfs/dbraw/zinc/40/44/82/850404482.db2.gz XMNXNFUBTCVXEG-JTQLQIEISA-N -1 1 321.381 1.346 20 0 DDADMM Cc1cnc(C[NH2+]C/C=C/CNC(=O)c2ccccc2O)cn1 ZINC001273860328 851431681 /nfs/dbraw/zinc/43/16/81/851431681.db2.gz FFEAYZQDBGSTBK-SNAWJCMRSA-N -1 1 312.373 1.566 20 0 DDADMM CO[C@@H]1CC[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)C1 ZINC001327549297 862263414 /nfs/dbraw/zinc/26/34/14/862263414.db2.gz DHCCTYISOTWTQL-CHWSQXEVSA-N -1 1 305.330 1.301 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CC3(CCN3C(=O)NC(C)C)C2)n1 ZINC001274067533 851903447 /nfs/dbraw/zinc/90/34/47/851903447.db2.gz RVASNQHQDALJLZ-UHFFFAOYSA-N -1 1 318.377 1.114 20 0 DDADMM NC(=O)[C@@H]1CCC[C@]12CCN(C(=O)c1ccc([O-])cc1F)C2 ZINC001275398577 853063890 /nfs/dbraw/zinc/06/38/90/853063890.db2.gz CBSZOODBQIMWNF-BLLLJJGKSA-N -1 1 306.337 1.649 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@@]2(C)CCCO2)[n-]c1Cl ZINC001411549025 853343074 /nfs/dbraw/zinc/34/30/74/853343074.db2.gz QTNSTLNVQAPPER-GFCCVEGCSA-N -1 1 301.730 1.747 20 0 DDADMM CCOC(=O)Cc1csc([N-]C(=O)c2nnc(C3CC3)o2)n1 ZINC001411664970 853545588 /nfs/dbraw/zinc/54/55/88/853545588.db2.gz IIEIPUPAJWJCBI-UHFFFAOYSA-N -1 1 322.346 1.761 20 0 DDADMM CCOC(=O)C1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCC1 ZINC001411809441 853768506 /nfs/dbraw/zinc/76/85/06/853768506.db2.gz YAPUSMIBFDDASS-UHFFFAOYSA-N -1 1 319.361 1.523 20 0 DDADMM CCCC[C@@H](CNC(=O)CC1CC1)NC(=O)c1ncccc1[O-] ZINC001411863965 853855083 /nfs/dbraw/zinc/85/50/83/853855083.db2.gz CIWBEGXQMWLIHL-ZDUSSCGKSA-N -1 1 319.405 1.992 20 0 DDADMM NC(=O)C[C@@H]1C[C@@H]2CN(C(=O)c3ccc(Cl)cc3[O-])C[C@@H]2O1 ZINC001275934667 853978672 /nfs/dbraw/zinc/97/86/72/853978672.db2.gz NNBMMSUOSKXMDW-DVYJOKAKSA-N -1 1 324.764 1.151 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC([C@@H](O)C(F)(F)F)CC1 ZINC001411945750 854017165 /nfs/dbraw/zinc/01/71/65/854017165.db2.gz HVTAWHGXNRJICF-LLVKDONJSA-N -1 1 304.268 1.563 20 0 DDADMM CSc1ncc(C(=O)NCCC(=O)N2CCCCC2)c(=O)[n-]1 ZINC001411992207 854083236 /nfs/dbraw/zinc/08/32/36/854083236.db2.gz FTRHSMJUYZWTQE-UHFFFAOYSA-N -1 1 324.406 1.037 20 0 DDADMM CC(=O)N[C@@H](C)CCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001280778787 854185869 /nfs/dbraw/zinc/18/58/69/854185869.db2.gz FVDHKQKLFWCWRJ-VIFPVBQESA-N -1 1 306.366 1.094 20 0 DDADMM CCC(CC)(NC(=O)c1cnn(C(C)(C)C)c1C)c1nn[n-]n1 ZINC001412109470 854228874 /nfs/dbraw/zinc/22/88/74/854228874.db2.gz LWQOKGKNMYDULP-UHFFFAOYSA-N -1 1 319.413 1.905 20 0 DDADMM CC(=O)CCCC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001412293796 854416661 /nfs/dbraw/zinc/41/66/61/854416661.db2.gz TWXMSOMEGZWRGA-QWRGUYRKSA-N -1 1 322.327 1.804 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CCCc2c(O)cccc21 ZINC001412372545 854478503 /nfs/dbraw/zinc/47/85/03/854478503.db2.gz AMYDZQOXSKSWJF-NSHDSACASA-N -1 1 315.329 1.330 20 0 DDADMM Cc1ccc(COCC(=O)NCc2nc([O-])cc(=O)[nH]2)cc1 ZINC001412374654 854485235 /nfs/dbraw/zinc/48/52/35/854485235.db2.gz IBLQIBAMWXCXLJ-UHFFFAOYSA-N -1 1 303.318 1.029 20 0 DDADMM O=C(c1ccccc1C(F)F)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001412526698 854660130 /nfs/dbraw/zinc/66/01/30/854660130.db2.gz CRZYMUNLWGAYHM-SNVBAGLBSA-N -1 1 309.276 1.351 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1C[C@H](C(=O)Nc2nnn[n-]2)C1(C)C ZINC001412581691 854752685 /nfs/dbraw/zinc/75/26/85/854752685.db2.gz XUBQWEVKXCDPAK-HTQZYQBOSA-N -1 1 310.358 1.078 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1C[C@H](C(=O)Nc2nn[n-]n2)C1(C)C ZINC001412581691 854752690 /nfs/dbraw/zinc/75/26/90/854752690.db2.gz XUBQWEVKXCDPAK-HTQZYQBOSA-N -1 1 310.358 1.078 20 0 DDADMM COC[C@H](NC(=O)[C@@H]1CCSc2ccccc21)c1nn[n-]n1 ZINC001412598771 854786094 /nfs/dbraw/zinc/78/60/94/854786094.db2.gz BPLFYMDFIMHERN-MNOVXSKESA-N -1 1 319.390 1.283 20 0 DDADMM COC[C@@H](NC(=O)CCCc1ccc(OC)cc1)c1nn[n-]n1 ZINC001412605868 854800576 /nfs/dbraw/zinc/80/05/76/854800576.db2.gz LFDFJXLRUHCUIX-CYBMUJFWSA-N -1 1 319.365 1.035 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CCN(C(=O)c1cc([O-])cc(F)c1)CC2 ZINC001276183538 854919812 /nfs/dbraw/zinc/91/98/12/854919812.db2.gz PRZLPDJKMJNBFH-AWEZNQCLSA-N -1 1 320.364 1.862 20 0 DDADMM O=C(NC12CC(C(=O)N3CC=CC3)(C1)C2)c1cc([O-])cc(F)c1 ZINC001276187703 854931143 /nfs/dbraw/zinc/93/11/43/854931143.db2.gz KFJVYPVTBPEQKH-UHFFFAOYSA-N -1 1 316.332 1.582 20 0 DDADMM O=C(c1cc([O-])cc(F)c1)N1C[C@H]2C[C@@]2(C(=O)N2CC=CC2)C1 ZINC001276187937 854932171 /nfs/dbraw/zinc/93/21/71/854932171.db2.gz RVZPPCWMOGONOI-SJKOYZFVSA-N -1 1 316.332 1.392 20 0 DDADMM CSc1ncc(C(=O)NC2(c3ncon3)CCC2)c(=O)[n-]1 ZINC001412715217 855002787 /nfs/dbraw/zinc/00/27/87/855002787.db2.gz FVDOBPPSPGPFKC-UHFFFAOYSA-N -1 1 307.335 1.096 20 0 DDADMM COc1cc(NC(=O)CCc2nn[n-]n2)ccc1OC(C)C ZINC001412792393 855275001 /nfs/dbraw/zinc/27/50/01/855275001.db2.gz CVPVTMALKAJZIV-UHFFFAOYSA-N -1 1 305.338 1.567 20 0 DDADMM NC(=O)[C@@H](NC(=O)c1c([O-])cccc1Cl)c1ccccn1 ZINC001413001088 855902012 /nfs/dbraw/zinc/90/20/12/855902012.db2.gz JDNSNWWSJCYQPH-LBPRGKRZSA-N -1 1 305.721 1.397 20 0 DDADMM CC(C)CCc1nnc(NC(=O)CCCc2nn[n-]n2)s1 ZINC001413268802 856594579 /nfs/dbraw/zinc/59/45/79/856594579.db2.gz DORAPCWFZLMFSO-UHFFFAOYSA-N -1 1 309.399 1.601 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC(C)(C)C(C)C)[n-]n1 ZINC001413321979 856651030 /nfs/dbraw/zinc/65/10/30/856651030.db2.gz XTBYDDMQBYAONN-UHFFFAOYSA-N -1 1 303.384 1.157 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC(C)(C)C(C)C)n[n-]1 ZINC001413321979 856651032 /nfs/dbraw/zinc/65/10/32/856651032.db2.gz XTBYDDMQBYAONN-UHFFFAOYSA-N -1 1 303.384 1.157 20 0 DDADMM Nc1nnc([C@@H]2CCCN(C(=O)c3cccc([O-])c3F)C2)o1 ZINC001413338926 856686750 /nfs/dbraw/zinc/68/67/50/856686750.db2.gz GYEXMZKTEPUCOM-MRVPVSSYSA-N -1 1 306.297 1.516 20 0 DDADMM C[C@H](OCCc1ccccc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001413347131 856700087 /nfs/dbraw/zinc/70/00/87/856700087.db2.gz WEBWRZKLASSZSV-NSHDSACASA-N -1 1 317.345 1.152 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC001413467187 856852662 /nfs/dbraw/zinc/85/26/62/856852662.db2.gz CYRFQRKBFADZSS-GVXVVHGQSA-N -1 1 319.405 1.704 20 0 DDADMM C[C@@H](NC(=O)c1cnncc1[O-])c1ccncc1Br ZINC001413515170 856916145 /nfs/dbraw/zinc/91/61/45/856916145.db2.gz MKQSRNBEVFUNNK-SSDOTTSWSA-N -1 1 323.150 1.831 20 0 DDADMM CCC(=O)N[C@H](C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001413520822 856925460 /nfs/dbraw/zinc/92/54/60/856925460.db2.gz AZFPPQRHHFNHBB-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM CCCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001381250463 881367906 /nfs/dbraw/zinc/36/79/06/881367906.db2.gz QTAZEDZKGRNXEN-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(OCc1nn[n-]n1)c1cnn(-c2ccccc2)c1C1CC1 ZINC001123018291 859055752 /nfs/dbraw/zinc/05/57/52/859055752.db2.gz FKJCYWNQAOBVDQ-UHFFFAOYSA-N -1 1 310.317 1.620 20 0 DDADMM COc1ccnc(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)c1 ZINC001137737223 859969050 /nfs/dbraw/zinc/96/90/50/859969050.db2.gz NJHZBTCZFPBHBO-UHFFFAOYSA-N -1 1 306.347 1.113 20 0 DDADMM COc1ccnc(NC(=O)c2ccc3n[n-]c(=S)n3c2)c1 ZINC001137972945 859996436 /nfs/dbraw/zinc/99/64/36/859996436.db2.gz KISNDTXMQKZHIU-UHFFFAOYSA-N -1 1 301.331 1.674 20 0 DDADMM COc1cccc(C[N@H+]2CCOC[C@@H](C)C2)c1OCC(=O)[O-] ZINC001139268642 860341720 /nfs/dbraw/zinc/34/17/20/860341720.db2.gz OYXLXVIAOKWFMZ-LBPRGKRZSA-N -1 1 309.362 1.627 20 0 DDADMM COc1cccc(CN2CCOC[C@@H](C)C2)c1OCC(=O)[O-] ZINC001139268642 860341730 /nfs/dbraw/zinc/34/17/30/860341730.db2.gz OYXLXVIAOKWFMZ-LBPRGKRZSA-N -1 1 309.362 1.627 20 0 DDADMM COc1cccc(CN2CCC(C)(O)CC2)c1OCC(=O)[O-] ZINC001139268571 860342089 /nfs/dbraw/zinc/34/20/89/860342089.db2.gz NLZDWYUGHINRFU-UHFFFAOYSA-N -1 1 309.362 1.505 20 0 DDADMM CC(C)(C)OC(=O)N1CCN(Cc2ccncc2[O-])C[C@@H]1CO ZINC001140277622 860608345 /nfs/dbraw/zinc/60/83/45/860608345.db2.gz HYUAOUGJWAIWNU-CYBMUJFWSA-N -1 1 323.393 1.201 20 0 DDADMM Cc1[nH]c(CN2CCC3(CNC(=O)O3)CC2)c(C)c1C(=O)[O-] ZINC001140497623 860645287 /nfs/dbraw/zinc/64/52/87/860645287.db2.gz OWBUHADLFPPFMI-UHFFFAOYSA-N -1 1 307.350 1.404 20 0 DDADMM CC1=NC2(CCN(Cc3ccc(C(=O)[O-])o3)CC2)C(=O)N1C ZINC001140949122 860744779 /nfs/dbraw/zinc/74/47/79/860744779.db2.gz OSGGSEHVVVJXJB-UHFFFAOYSA-N -1 1 305.334 1.203 20 0 DDADMM C[C@H]1CN(C2CN(Cc3cc(F)c([O-])c(F)c3)C2)C[C@H](C)O1 ZINC001140994619 860754407 /nfs/dbraw/zinc/75/44/07/860754407.db2.gz WDLYMDVKYWFKLP-QWRGUYRKSA-N -1 1 312.360 1.964 20 0 DDADMM COc1cc(CCC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)ccn1 ZINC001142236468 861082496 /nfs/dbraw/zinc/08/24/96/861082496.db2.gz MHCSRHRYXREMSR-UHFFFAOYSA-N -1 1 314.305 1.033 20 0 DDADMM COC(=O)c1onc(C)c1[N-]C(=O)c1cc(C)n2ccnc2n1 ZINC001154427486 861091251 /nfs/dbraw/zinc/09/12/51/861091251.db2.gz ZJHIKVDLUATZJC-UHFFFAOYSA-N -1 1 315.289 1.373 20 0 DDADMM CN(C)c1nc(NC(=O)C=Cc2c[nH]cn2)c(N=O)c(=O)[n-]1 ZINC001142268987 861096099 /nfs/dbraw/zinc/09/60/99/861096099.db2.gz IJTDZCKZVBJCMB-ONEGZZNKSA-N -1 1 303.282 1.021 20 0 DDADMM O=CNc1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)cc1 ZINC001328622770 863113377 /nfs/dbraw/zinc/11/33/77/863113377.db2.gz TURFEORZDHCLDF-NSHDSACASA-N -1 1 316.317 1.363 20 0 DDADMM Cn1cc(C(F)F)c(CNC(=O)C(=O)c2ccc([O-])cc2)n1 ZINC001152068763 863143872 /nfs/dbraw/zinc/14/38/72/863143872.db2.gz PBAQDRGUEBUJNJ-UHFFFAOYSA-N -1 1 309.272 1.562 20 0 DDADMM Cc1cc2c(c(NCC3(c4ccccc4)COC3)n1)C(=O)[N-]C2=O ZINC001156588849 863170066 /nfs/dbraw/zinc/17/00/66/863170066.db2.gz XCUYUWIBEQYXRG-UHFFFAOYSA-N -1 1 323.352 1.654 20 0 DDADMM O=C(c1ccnc2ccncc21)N1CCC(c2nn[n-]n2)CC1 ZINC001152486175 863387758 /nfs/dbraw/zinc/38/77/58/863387758.db2.gz KCMJWYHYJREAQU-UHFFFAOYSA-N -1 1 309.333 1.163 20 0 DDADMM CCC(CC)(NC(=O)c1cc(C=O)ccc1OC)c1nn[n-]n1 ZINC001156920292 863447480 /nfs/dbraw/zinc/44/74/80/863447480.db2.gz PARXOGGKLOCWIB-UHFFFAOYSA-N -1 1 317.349 1.466 20 0 DDADMM C[C@H]1COCC[C@@H]1NC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681788 863489909 /nfs/dbraw/zinc/48/99/09/863489909.db2.gz QEHYXTADTJMJKK-ONGXEEELSA-N -1 1 302.330 1.801 20 0 DDADMM Cc1cc2c(c(N(C(=N)N)c3ccc(F)cc3)n1)C(=O)[N-]C2=O ZINC001157229748 863668444 /nfs/dbraw/zinc/66/84/44/863668444.db2.gz OYAGUPOGODIZOA-UHFFFAOYSA-N -1 1 313.292 1.444 20 0 DDADMM O=C(c1c([O-])ccc2ccccc21)N1CC(=O)N(C2CC2)C(=O)C1 ZINC001153499332 863915103 /nfs/dbraw/zinc/91/51/03/863915103.db2.gz RREWFYHGURXWMY-UHFFFAOYSA-N -1 1 324.336 1.519 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc2c(c1)nc[nH]c2=O ZINC001153752480 864061688 /nfs/dbraw/zinc/06/16/88/864061688.db2.gz QDNUUZBAPMIYJL-UHFFFAOYSA-N -1 1 324.222 1.725 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3ncccc3c2)n1 ZINC001153766774 864079155 /nfs/dbraw/zinc/07/91/55/864079155.db2.gz OPCIUKCNNSIZKN-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM CCOc1cccc(CNC(=O)c2c[n-]c3cccnc3c2=O)n1 ZINC001153863010 864174748 /nfs/dbraw/zinc/17/47/48/864174748.db2.gz HWDRTHRRVKGVBU-UHFFFAOYSA-N -1 1 324.340 1.647 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)[C@@H]1CCN(c2ccccc2)C1 ZINC001330664794 864519834 /nfs/dbraw/zinc/51/98/34/864519834.db2.gz LQQWWZQSXROFLB-CYBMUJFWSA-N -1 1 320.389 1.902 20 0 DDADMM Cc1cc(C(C)C)nc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001159196687 865160362 /nfs/dbraw/zinc/16/03/62/865160362.db2.gz YBMOPPWUDMSXIK-UHFFFAOYSA-N -1 1 301.310 1.681 20 0 DDADMM CCCNC(=O)c1cccnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159199987 865164506 /nfs/dbraw/zinc/16/45/06/865164506.db2.gz WRZVTHJRPLXSAF-UHFFFAOYSA-N -1 1 313.321 1.337 20 0 DDADMM COC(=O)c1nc[nH]c1NC(=O)c1cc(C(F)(F)F)n(C)n1 ZINC001361552065 881879173 /nfs/dbraw/zinc/87/91/73/881879173.db2.gz LOHCEDXKNZHAQO-UHFFFAOYSA-N -1 1 317.227 1.201 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc(C)nc2CC)n1 ZINC001361553433 881880662 /nfs/dbraw/zinc/88/06/62/881880662.db2.gz ZUGROYVVPZBQBQ-UHFFFAOYSA-N -1 1 303.322 1.500 20 0 DDADMM Cc1cc2c(c(N[C@@H]3NC(=O)c4ccccc43)n1)C(=O)[N-]C2=O ZINC001159976605 865624715 /nfs/dbraw/zinc/62/47/15/865624715.db2.gz RGZAMAHAMQGAID-GFCCVEGCSA-N -1 1 308.297 1.128 20 0 DDADMM CN(C(=O)c1cc(F)ccc1Br)c1nn[n-]n1 ZINC001361565005 881905848 /nfs/dbraw/zinc/90/58/48/881905848.db2.gz DHSRMUXIUOHRFU-UHFFFAOYSA-N -1 1 300.091 1.378 20 0 DDADMM O=C([O-])[C@H](O)CNCc1ccc(Br)c(F)c1F ZINC001332485585 865872718 /nfs/dbraw/zinc/87/27/18/865872718.db2.gz AWESDVIGIHIAMZ-SSDOTTSWSA-N -1 1 310.094 1.262 20 0 DDADMM Cc1cccc2ccc(Nc3c(O)[nH]c(=O)[n-]c3=S)nc12 ZINC001160847523 866147996 /nfs/dbraw/zinc/14/79/96/866147996.db2.gz MEGJWYDRHCASDA-NSHDSACASA-N -1 1 300.343 1.491 20 0 DDADMM O=C(CCCNC(=O)c1ccc(F)cc1F)Nc1c[n-][nH]c1=O ZINC001161384574 866611949 /nfs/dbraw/zinc/61/19/49/866611949.db2.gz QBZLBZVDEBUMMP-UHFFFAOYSA-N -1 1 324.287 1.542 20 0 DDADMM Cc1conc1CNC/C=C/CNC(=O)c1ncccc1[O-] ZINC001321030923 867236415 /nfs/dbraw/zinc/23/64/15/867236415.db2.gz WATUJXWZLVPMIX-NSCUHMNNSA-N -1 1 302.334 1.159 20 0 DDADMM COc1cc(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)ccc1O ZINC001334221426 867398474 /nfs/dbraw/zinc/39/84/74/867398474.db2.gz BUJOQKCAZPWTDI-JTQLQIEISA-N -1 1 308.334 1.136 20 0 DDADMM CCCN1CCCC[C@H]1C(=O)[N-]S(=O)(=O)CCCCOC ZINC001321366393 867533641 /nfs/dbraw/zinc/53/36/41/867533641.db2.gz KRTQPSXYCZFEBU-ZDUSSCGKSA-N -1 1 320.455 1.124 20 0 DDADMM O=C([N-]CCNc1cccc(N2CCC2=O)c1)C(F)(F)F ZINC001164246216 869054883 /nfs/dbraw/zinc/05/48/83/869054883.db2.gz UHRCPLXPZCPOEG-UHFFFAOYSA-N -1 1 301.268 1.514 20 0 DDADMM NC(=O)[C@@H]1CCSC12CN(Cc1ccc(C(=O)[O-])cc1)C2 ZINC001277110286 882296499 /nfs/dbraw/zinc/29/64/99/882296499.db2.gz SFSKZPCFKUKVSG-LBPRGKRZSA-N -1 1 306.387 1.178 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@@H](Oc2nc(=O)[n-]cc2C(C)=O)C1 ZINC001226238302 882299135 /nfs/dbraw/zinc/29/91/35/882299135.db2.gz QYBDFRWGCCNXBZ-GHMZBOCLSA-N -1 1 308.334 1.886 20 0 DDADMM CCC[C@@H](OC)C(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001298357115 870498481 /nfs/dbraw/zinc/49/84/81/870498481.db2.gz RBNWCQSPZZUFCY-DSYXLKISSA-N -1 1 321.377 1.005 20 0 DDADMM C[C@@H]1C[C@H]1CC(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001298357564 870498807 /nfs/dbraw/zinc/49/88/07/870498807.db2.gz WVTZCMKSOIHPTK-DQOJRVPBSA-N -1 1 303.362 1.236 20 0 DDADMM CCN(Cc1ccc(Cl)s1)c1nnc(-c2nnn[n-]2)n1C ZINC001339440939 870499817 /nfs/dbraw/zinc/49/98/17/870499817.db2.gz RIOJSZDOSPJQCD-UHFFFAOYSA-N -1 1 324.801 1.737 20 0 DDADMM CCN(Cc1ccc(Cl)s1)c1nnc(-c2nn[n-]n2)n1C ZINC001339440939 870499825 /nfs/dbraw/zinc/49/98/25/870499825.db2.gz RIOJSZDOSPJQCD-UHFFFAOYSA-N -1 1 324.801 1.737 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1CCC[C@@](C)(c2ccccc2)C1 ZINC001339543973 870547087 /nfs/dbraw/zinc/54/70/87/870547087.db2.gz PGDJUBMWKUICAF-MRXNPFEDSA-N -1 1 324.392 1.553 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1CCC[C@@](C)(c2ccccc2)C1 ZINC001339543973 870547103 /nfs/dbraw/zinc/54/71/03/870547103.db2.gz PGDJUBMWKUICAF-MRXNPFEDSA-N -1 1 324.392 1.553 20 0 DDADMM O=S(=O)([N-][C@H]1C[C@H](CO)C1)c1cnc(Cl)cc1Cl ZINC001339882255 870758548 /nfs/dbraw/zinc/75/85/48/870758548.db2.gz QEQQSSOWALPKCW-LJGSYFOKSA-N -1 1 311.190 1.438 20 0 DDADMM CC(C)N(Cc1ccccc1)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001340069671 870874611 /nfs/dbraw/zinc/87/46/11/870874611.db2.gz JVAXMCNOXMSVDK-UHFFFAOYSA-N -1 1 314.349 1.573 20 0 DDADMM CCOCCC(=O)N1CCC[C@@H]1c1nn[n-]c1C(=O)OCC ZINC001303492518 871126857 /nfs/dbraw/zinc/12/68/57/871126857.db2.gz FNZLSWMIAUJNON-SNVBAGLBSA-N -1 1 310.354 1.072 20 0 DDADMM CCOCCC(=O)N1CCC[C@@H]1c1[n-]nnc1C(=O)OCC ZINC001303492518 871126868 /nfs/dbraw/zinc/12/68/68/871126868.db2.gz FNZLSWMIAUJNON-SNVBAGLBSA-N -1 1 310.354 1.072 20 0 DDADMM CCOCCC(=O)N1CCC[C@@H]1c1n[n-]nc1C(=O)OCC ZINC001303492518 871126880 /nfs/dbraw/zinc/12/68/80/871126880.db2.gz FNZLSWMIAUJNON-SNVBAGLBSA-N -1 1 310.354 1.072 20 0 DDADMM O=C(NC1CC1)c1[nH]nnc1NC(=O)c1cccc(Cl)c1[O-] ZINC001361863274 882524135 /nfs/dbraw/zinc/52/41/35/882524135.db2.gz RSTLCQIWCKHULF-UHFFFAOYSA-N -1 1 321.724 1.308 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)Oc2ccc(C)c(F)c2)n[n-]1 ZINC001309451684 871574839 /nfs/dbraw/zinc/57/48/39/871574839.db2.gz CRQLMBJFLZFIKV-UHFFFAOYSA-N -1 1 314.294 1.412 20 0 DDADMM COC(=O)/C=C/[C@@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC001309958616 871613475 /nfs/dbraw/zinc/61/34/75/871613475.db2.gz BFNGQZPSYFDMTJ-KGGZQZJCSA-N -1 1 309.796 1.798 20 0 DDADMM CCc1ccccc1N1C[C@H](C(=O)Nc2c[n-][nH]c2=O)CC1=O ZINC001413843128 871757318 /nfs/dbraw/zinc/75/73/18/871757318.db2.gz GVDSIRCCGSRUQA-LLVKDONJSA-N -1 1 314.345 1.669 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1cnncc1[O-])c1ccc(C)cc1 ZINC001361877917 882558249 /nfs/dbraw/zinc/55/82/49/882558249.db2.gz OQLMXDGAPBEWBV-ZDUSSCGKSA-N -1 1 315.329 1.525 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cc(OC)ncn2)[n-]1 ZINC001361895209 882595241 /nfs/dbraw/zinc/59/52/41/882595241.db2.gz KWGOQSNPJZSHGO-UHFFFAOYSA-N -1 1 318.289 1.055 20 0 DDADMM N[C@@H]1CN(Cc2cn(CC(=O)[O-])c3ccccc23)CCC1(F)F ZINC001206667147 872498344 /nfs/dbraw/zinc/49/83/44/872498344.db2.gz GPCWIIQQIVXUDH-CQSZACIVSA-N -1 1 323.343 1.894 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N1CCC(C)CC1 ZINC001344467195 873014024 /nfs/dbraw/zinc/01/40/24/873014024.db2.gz HGLLQNHFVMNYKZ-UHFFFAOYSA-N -1 1 320.401 1.121 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N1CCC(C)CC1 ZINC001344467195 873014044 /nfs/dbraw/zinc/01/40/44/873014044.db2.gz HGLLQNHFVMNYKZ-UHFFFAOYSA-N -1 1 320.401 1.121 20 0 DDADMM C[C@H](CNC(=O)OC(C)(C)C)N(C)C(=O)c1ccc([O-])cn1 ZINC001361950673 882695782 /nfs/dbraw/zinc/69/57/82/882695782.db2.gz RYSPAKQELLBOEN-SNVBAGLBSA-N -1 1 309.366 1.772 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H]2CCO[C@H]2C)[n-]c1Cl ZINC001361964793 882722785 /nfs/dbraw/zinc/72/27/85/882722785.db2.gz UTKPNYASMQJYDR-BQBZGAKWSA-N -1 1 301.730 1.603 20 0 DDADMM O=C(Cn1cc(C2CC2)nn1)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC001346058440 873602938 /nfs/dbraw/zinc/60/29/38/873602938.db2.gz IZZABVIQKRQOLU-UHFFFAOYSA-N -1 1 324.344 1.890 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCO[C@@H](C(F)F)CC1 ZINC001347143504 874030915 /nfs/dbraw/zinc/03/09/15/874030915.db2.gz QNCUXTPERYXCMK-SSDOTTSWSA-N -1 1 319.333 1.276 20 0 DDADMM CC(C)(C)OC(=O)N1CCO[C@@H](COc2cnc[n-]c2=O)C1 ZINC001227049239 882793517 /nfs/dbraw/zinc/79/35/17/882793517.db2.gz STOPHNKCDSGVRB-SNVBAGLBSA-N -1 1 311.338 1.197 20 0 DDADMM O=C(CCNC(=O)c1ccc([O-])cc1F)Nc1cccnc1 ZINC001362004971 882802307 /nfs/dbraw/zinc/80/23/07/882802307.db2.gz UIYRJTJASOWUIR-UHFFFAOYSA-N -1 1 303.293 1.685 20 0 DDADMM COC(C[N-]C(=O)C(F)(F)C(F)F)(OC)c1ccccn1 ZINC001347889352 874300263 /nfs/dbraw/zinc/30/02/63/874300263.db2.gz KLULNNWXJXRUGE-UHFFFAOYSA-N -1 1 310.247 1.544 20 0 DDADMM O=C(c1c(F)ccnc1Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001349354081 875127681 /nfs/dbraw/zinc/12/76/81/875127681.db2.gz AHKUHTSHCSUPQU-ZETCQYMHSA-N -1 1 310.720 1.407 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC(Cc2ccc(Cl)cc2)C1 ZINC001362044866 882904762 /nfs/dbraw/zinc/90/47/62/882904762.db2.gz NNEXPIYYNSTMHD-UHFFFAOYSA-N -1 1 305.769 1.487 20 0 DDADMM NC(=O)[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)c1ccccc1 ZINC001362049699 882915933 /nfs/dbraw/zinc/91/59/33/882915933.db2.gz PTDLEEPPTWRLMH-LBPRGKRZSA-N -1 1 312.329 1.016 20 0 DDADMM COC(=O)c1n[n-]c(O[C@@H](C)c2cc(OC)ccc2OC)n1 ZINC001228311616 883441883 /nfs/dbraw/zinc/44/18/83/883441883.db2.gz LRXBPHSTIPDNAX-QMMMGPOBSA-N -1 1 307.306 1.749 20 0 DDADMM COC(=O)c1nc(O[C@@H](C)c2cc(OC)ccc2OC)n[n-]1 ZINC001228311616 883441889 /nfs/dbraw/zinc/44/18/89/883441889.db2.gz LRXBPHSTIPDNAX-QMMMGPOBSA-N -1 1 307.306 1.749 20 0 DDADMM CC[C@@H](CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001210750542 875512972 /nfs/dbraw/zinc/51/29/72/875512972.db2.gz FISWUOYCXOZCCK-QWHCGFSZSA-N -1 1 323.441 1.663 20 0 DDADMM Nc1cccc(N(c2cccc([O-])c2Cl)S(N)(=O)=O)c1 ZINC001210859934 875571616 /nfs/dbraw/zinc/57/16/16/875571616.db2.gz NADUKYXIVPZKEY-UHFFFAOYSA-N -1 1 313.766 1.969 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)N(C)CCCNC(=O)c1ncccc1[O-] ZINC001351406107 876232860 /nfs/dbraw/zinc/23/28/60/876232860.db2.gz GNOMFEAGBJPEMY-QWHCGFSZSA-N -1 1 319.405 1.658 20 0 DDADMM O=Cc1c[nH]c2cc(C(=O)NC3(c4nn[n-]n4)CCCC3)ccc12 ZINC001351441161 876250778 /nfs/dbraw/zinc/25/07/78/876250778.db2.gz FUKLLKCUTPTIAQ-UHFFFAOYSA-N -1 1 324.344 1.693 20 0 DDADMM CC(C)C[C@H](Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1)C(=O)OC(C)C ZINC001227433735 883017431 /nfs/dbraw/zinc/01/74/31/883017431.db2.gz GWJRZMTUPODYIO-QMMMGPOBSA-N -1 1 324.337 1.509 20 0 DDADMM CC(C)C[C@H](Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)C(=O)OC(C)C ZINC001227433735 883017448 /nfs/dbraw/zinc/01/74/48/883017448.db2.gz GWJRZMTUPODYIO-QMMMGPOBSA-N -1 1 324.337 1.509 20 0 DDADMM Cc1ccc(C(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)cc1F ZINC001379186421 876586810 /nfs/dbraw/zinc/58/68/10/876586810.db2.gz MDRAVDSJWCKPNG-JTQLQIEISA-N -1 1 321.356 1.208 20 0 DDADMM COc1ncc(Nc2cc(C)c[nH]c2=O)cc1[N-]S(C)(=O)=O ZINC001216152652 876881293 /nfs/dbraw/zinc/88/12/93/876881293.db2.gz YPCYKYPJXANAIU-UHFFFAOYSA-N -1 1 324.362 1.614 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H]1CCOC[C@@H]1C ZINC000310682500 877907217 /nfs/dbraw/zinc/90/72/17/877907217.db2.gz YOFZXDATGJTMJA-POYBYMJQSA-N -1 1 310.828 1.808 20 0 DDADMM CC(C)N(Cc1cccs1)c1nnc(-c2nnn[n-]2)n1C ZINC001355334752 878509514 /nfs/dbraw/zinc/50/95/14/878509514.db2.gz QILHKBKAFFIIRX-UHFFFAOYSA-N -1 1 304.383 1.472 20 0 DDADMM CC(C)N(Cc1cccs1)c1nnc(-c2nn[n-]n2)n1C ZINC001355334752 878509523 /nfs/dbraw/zinc/50/95/23/878509523.db2.gz QILHKBKAFFIIRX-UHFFFAOYSA-N -1 1 304.383 1.472 20 0 DDADMM C[C@@H]1CCN(c2nnc(-c3nnn[n-]3)n2C)[C@@H]1c1ccccc1 ZINC001355336425 878511126 /nfs/dbraw/zinc/51/11/26/878511126.db2.gz ZWBSHJMUBNUWFQ-PWSUYJOCSA-N -1 1 310.365 1.583 20 0 DDADMM C[C@@H]1CCN(c2nnc(-c3nn[n-]n3)n2C)[C@@H]1c1ccccc1 ZINC001355336425 878511142 /nfs/dbraw/zinc/51/11/42/878511142.db2.gz ZWBSHJMUBNUWFQ-PWSUYJOCSA-N -1 1 310.365 1.583 20 0 DDADMM CC1=C(C)CN(c2nnc(-c3noc(=O)[n-]3)n2CC(C)C)CC1 ZINC001357788546 880196450 /nfs/dbraw/zinc/19/64/50/880196450.db2.gz UCFXGTDGIWOJEL-UHFFFAOYSA-N -1 1 318.381 1.824 20 0 DDADMM CCC(CC)C(=O)NC[C@@H](CC)NC(=O)c1ncccc1[O-] ZINC001416184931 880740303 /nfs/dbraw/zinc/74/03/03/880740303.db2.gz VKNCXMBHPXOURU-GFCCVEGCSA-N -1 1 307.394 1.848 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](OCc2nn[n-]n2)CC1(C)C ZINC001223025427 880788049 /nfs/dbraw/zinc/78/80/49/880788049.db2.gz XMJFIEHVXUWONS-JTQLQIEISA-N -1 1 311.386 1.894 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](OCc2nn[n-]n2)[C@H](F)C1 ZINC001223029789 880789878 /nfs/dbraw/zinc/78/98/78/880789878.db2.gz PVMLOYCSYKMJBQ-NXEZZACHSA-N -1 1 315.349 1.454 20 0 DDADMM C[C@H]1CN(C(=O)OC(C)(C)C)CCC[C@@H]1OCc1nn[n-]n1 ZINC001223029584 880790525 /nfs/dbraw/zinc/79/05/25/880790525.db2.gz NBIPAIQBULVWTB-QWRGUYRKSA-N -1 1 311.386 1.752 20 0 DDADMM CC(=O)NC[C@@H](NC(=O)c1cnn[nH]1)c1ccc2ccccc2c1 ZINC001358763616 880881243 /nfs/dbraw/zinc/88/12/43/880881243.db2.gz GUUAHENZCFEFEQ-OAHLLOKOSA-N -1 1 323.356 1.565 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1=COCCC1 ZINC001416211450 881004473 /nfs/dbraw/zinc/00/44/73/881004473.db2.gz WTVLJHFWYUQNSW-LBPRGKRZSA-N -1 1 319.361 1.106 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)c1cnn(C(C)C)c1 ZINC001362149394 883156720 /nfs/dbraw/zinc/15/67/20/883156720.db2.gz SXEPMHPABUXJAW-MRVPVSSYSA-N -1 1 321.337 1.165 20 0 DDADMM Cc1c(Br)cc(F)cc1C(=O)Nc1nnn[n-]1 ZINC001362160421 883179957 /nfs/dbraw/zinc/17/99/57/883179957.db2.gz JATAXSBIXROBRK-UHFFFAOYSA-N -1 1 300.091 1.662 20 0 DDADMM Cc1c(Br)cc(F)cc1C(=O)Nc1nn[n-]n1 ZINC001362160421 883179966 /nfs/dbraw/zinc/17/99/66/883179966.db2.gz JATAXSBIXROBRK-UHFFFAOYSA-N -1 1 300.091 1.662 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@@H](c1ccc(F)cc1F)C1CC1 ZINC001362183456 883235446 /nfs/dbraw/zinc/23/54/46/883235446.db2.gz ZLNYWEVNFWFPAI-CQSZACIVSA-N -1 1 307.304 1.678 20 0 DDADMM CC(C)Cn1ncc(NC(=O)CCc2nn[n-]n2)c1C(C)C ZINC001362185506 883239216 /nfs/dbraw/zinc/23/92/16/883239216.db2.gz UIZMVLQJPCPUSI-UHFFFAOYSA-N -1 1 305.386 1.747 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1C[C@@H](C)Cc2c[nH]nc21)c1nn[n-]n1 ZINC001362210057 883306865 /nfs/dbraw/zinc/30/68/65/883306865.db2.gz ISXYYOWWTACJDE-GDPRMGEGSA-N -1 1 303.370 1.246 20 0 DDADMM CCC[C@H](NC(=O)c1cc(C)nc(NC2CC2)c1)c1nn[n-]n1 ZINC001362211933 883311338 /nfs/dbraw/zinc/31/13/38/883311338.db2.gz SBYNSBWAHWHRFH-LBPRGKRZSA-N -1 1 315.381 1.749 20 0 DDADMM Cc1nc([C@H]2COCCN2C(=O)c2cccc([O-])c2F)no1 ZINC001362338400 883599441 /nfs/dbraw/zinc/59/94/41/883599441.db2.gz UBPIWJUHWZSNGR-SNVBAGLBSA-N -1 1 307.281 1.436 20 0 DDADMM O=C([N-]N1CCc2ccccc2C1=O)c1cc(C(F)F)[nH]n1 ZINC001362341726 883605921 /nfs/dbraw/zinc/60/59/21/883605921.db2.gz RPCUTBDYYGQCJK-UHFFFAOYSA-N -1 1 306.272 1.691 20 0 DDADMM COC(=O)c1ccccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362358968 883641255 /nfs/dbraw/zinc/64/12/55/883641255.db2.gz UCILXIUBLFSXDP-SNVBAGLBSA-N -1 1 315.333 1.006 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(NC(=O)NC)c1)c1nn[n-]n1 ZINC001362385992 883693208 /nfs/dbraw/zinc/69/32/08/883693208.db2.gz MGBBMITXIPDXER-NSHDSACASA-N -1 1 317.353 1.222 20 0 DDADMM CCC[C@H](NC(=O)c1ccnn1CC(F)(F)F)c1nn[n-]n1 ZINC001362395865 883714432 /nfs/dbraw/zinc/71/44/32/883714432.db2.gz WHLPEIAYWPSCDT-ZETCQYMHSA-N -1 1 317.275 1.230 20 0 DDADMM C[C@@H](NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(=O)N(C)C ZINC001362398462 883720189 /nfs/dbraw/zinc/72/01/89/883720189.db2.gz VTHHJSKSYFBYHR-SSDOTTSWSA-N -1 1 304.268 1.618 20 0 DDADMM CCC(CC)(NC(=O)c1n[nH]c2c1CCCC2)c1nn[n-]n1 ZINC001362428024 883786125 /nfs/dbraw/zinc/78/61/25/883786125.db2.gz VBAGGXNATVUPEO-UHFFFAOYSA-N -1 1 303.370 1.247 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)N1CC[C@@H](F)C1 ZINC001362471660 883887818 /nfs/dbraw/zinc/88/78/18/883887818.db2.gz ASCPZGZUZUUBJU-SNVBAGLBSA-N -1 1 317.324 1.061 20 0 DDADMM COc1ccccc1C[C@H](C)NC(=O)CCCc1nn[n-]n1 ZINC001362483026 883912066 /nfs/dbraw/zinc/91/20/66/883912066.db2.gz MEYLZFSFJWTMBO-NSHDSACASA-N -1 1 303.366 1.278 20 0 DDADMM CCCC[C@@H](O)CCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001362539453 884040346 /nfs/dbraw/zinc/04/03/46/884040346.db2.gz NEEIJHNIFJTMCZ-GFCCVEGCSA-N -1 1 311.382 1.813 20 0 DDADMM C[C@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)[C@H](C)C(C)(C)C ZINC001362564000 884104817 /nfs/dbraw/zinc/10/48/17/884104817.db2.gz WVFWBBCHUUWWKN-QWRGUYRKSA-N -1 1 309.414 1.328 20 0 DDADMM CCC(CC)(NC(=O)c1ccnc(C2CC2)c1)c1nn[n-]n1 ZINC001362628029 884261111 /nfs/dbraw/zinc/26/11/11/884261111.db2.gz YBDGXQUDWFJDRU-UHFFFAOYSA-N -1 1 300.366 1.917 20 0 DDADMM CSc1ncc(C(=O)N2CCSC[C@H]2C2CC2)c(=O)[n-]1 ZINC001362715028 884472211 /nfs/dbraw/zinc/47/22/11/884472211.db2.gz PXSZJPQIDCUFIP-JTQLQIEISA-N -1 1 311.432 1.872 20 0 DDADMM CC(C)CCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001382701479 884501499 /nfs/dbraw/zinc/50/14/99/884501499.db2.gz GORJXGJJHHJVTI-QWHCGFSZSA-N -1 1 319.405 1.943 20 0 DDADMM C[C@@H](Oc1ccc(F)cc1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001362852902 884800376 /nfs/dbraw/zinc/80/03/76/884800376.db2.gz RYXNMAUNBMRLMQ-SECBINFHSA-N -1 1 305.313 1.302 20 0 DDADMM O=C(NCc1nc(C(F)(F)F)no1)c1cccc([O-])c1F ZINC001362892963 884901746 /nfs/dbraw/zinc/90/17/46/884901746.db2.gz UVDIZODWDPSZLO-UHFFFAOYSA-N -1 1 305.187 1.863 20 0 DDADMM CCO[C@@H](CC)C(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001382956814 885032907 /nfs/dbraw/zinc/03/29/07/885032907.db2.gz ORQLKLUBOIQONF-YPMHNXCESA-N -1 1 323.393 1.179 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(F)(F)[C@H](C)C1 ZINC001362964466 885102234 /nfs/dbraw/zinc/10/22/34/885102234.db2.gz VGPGMZMPUCHMNW-ZCFIWIBFSA-N -1 1 303.265 1.014 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2c(C)noc2C)[n-]c1=O ZINC001362971203 885117156 /nfs/dbraw/zinc/11/71/56/885117156.db2.gz PXGTUXNWOLNQEG-UHFFFAOYSA-N -1 1 306.322 1.461 20 0 DDADMM COC(=O)c1cccc2c1CCN(C(=O)c1cncc([O-])c1)C2 ZINC001362988597 885158222 /nfs/dbraw/zinc/15/82/22/885158222.db2.gz VEWYOALUICPKIN-UHFFFAOYSA-N -1 1 312.325 1.772 20 0 DDADMM Cc1c[nH]c(C2(NC(=O)c3ncc(C)cc3[O-])CCOCC2)n1 ZINC001362989814 885161481 /nfs/dbraw/zinc/16/14/81/885161481.db2.gz LDJNBFGKEZVQFR-UHFFFAOYSA-N -1 1 316.361 1.563 20 0 DDADMM CN1CC[C@@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C1=O ZINC001362993059 885172900 /nfs/dbraw/zinc/17/29/00/885172900.db2.gz CVSVIRVCZDLXMJ-SECBINFHSA-N -1 1 302.252 1.372 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2cc(C)c(C)cn2)n[n-]1 ZINC001363046013 885321305 /nfs/dbraw/zinc/32/13/05/885321305.db2.gz MCWZMCDWXYWIPO-JTQLQIEISA-N -1 1 317.349 1.484 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2cc(C)c(C)cn2)[n-]1 ZINC001363046013 885321320 /nfs/dbraw/zinc/32/13/20/885321320.db2.gz MCWZMCDWXYWIPO-JTQLQIEISA-N -1 1 317.349 1.484 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2cc(C)c(C)cn2)n1 ZINC001363046013 885321338 /nfs/dbraw/zinc/32/13/38/885321338.db2.gz MCWZMCDWXYWIPO-JTQLQIEISA-N -1 1 317.349 1.484 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)Nc1ccc(F)cc1C(=O)N(C)c1nn[n-]n1 ZINC001363055382 885344389 /nfs/dbraw/zinc/34/43/89/885344389.db2.gz QWTWCLYLHNQJKJ-VXNVDRBHSA-N -1 1 318.312 1.210 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1CCN(C(=O)c2ccccc2)C1 ZINC001363120324 885515312 /nfs/dbraw/zinc/51/53/12/885515312.db2.gz RDSPOYTZUIBQQO-LLVKDONJSA-N -1 1 300.318 1.216 20 0 DDADMM Cc1cc(S(C)(=O)=O)cc(C(=O)Nc2c[n-][nH]c2=O)c1C ZINC001363128498 885535609 /nfs/dbraw/zinc/53/56/09/885535609.db2.gz OVHYANBROLCWQZ-UHFFFAOYSA-N -1 1 309.347 1.388 20 0 DDADMM CNC(=O)NC1CCN(Cc2ccccc2OCC(=O)[O-])CC1 ZINC001231794138 885876530 /nfs/dbraw/zinc/87/65/30/885876530.db2.gz VMUBYHUIXKUZAB-UHFFFAOYSA-N -1 1 321.377 1.043 20 0 DDADMM CCC(=O)N[C@@H]1CCCN(Cc2ccccc2OCC(=O)[O-])C1 ZINC001231793475 885876681 /nfs/dbraw/zinc/87/66/81/885876681.db2.gz BAOGBKGFJIMESM-CQSZACIVSA-N -1 1 320.389 1.641 20 0 DDADMM CCOC(=O)c1cc(CNC(=O)c2cccc([O-])c2F)on1 ZINC001363273776 885878504 /nfs/dbraw/zinc/87/85/04/885878504.db2.gz ZIGRLJNFKYFMOQ-UHFFFAOYSA-N -1 1 308.265 1.626 20 0 DDADMM COCc1cc(NC(=O)c2cnncc2[O-])cc(C(=O)OC)c1 ZINC001363307898 885974743 /nfs/dbraw/zinc/97/47/43/885974743.db2.gz RGORVKWBERAOSS-UHFFFAOYSA-N -1 1 317.301 1.368 20 0 DDADMM Cc1ccc(OCCC(=O)NCc2nc([O-])cc(=O)[nH]2)cc1C ZINC001363314400 885995772 /nfs/dbraw/zinc/99/57/72/885995772.db2.gz CEKBFOPQIWUXEM-UHFFFAOYSA-N -1 1 317.345 1.590 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1c(F)cc(F)cc1F ZINC001363352446 886108410 /nfs/dbraw/zinc/10/84/10/886108410.db2.gz AFKQVAHJPWAVOG-UHFFFAOYSA-N -1 1 313.283 1.915 20 0 DDADMM CCc1[nH]c(=O)c(C(=O)N(Cc2nn[n-]n2)CC(C)C)cc1C ZINC001363359029 886124790 /nfs/dbraw/zinc/12/47/90/886124790.db2.gz WXCXXJTZBAHLNS-UHFFFAOYSA-N -1 1 318.381 1.470 20 0 DDADMM O=C(N[C@H]1Cc2cnccc2NC1=O)c1c([O-])cccc1Cl ZINC001363365224 886141275 /nfs/dbraw/zinc/14/12/75/886141275.db2.gz WZJPRKSBCFRDPD-NSHDSACASA-N -1 1 317.732 1.734 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@H]1CC(=O)Nc2ccccc21 ZINC001363376388 886173323 /nfs/dbraw/zinc/17/33/23/886173323.db2.gz LRPDBBZFQQJHNB-SECBINFHSA-N -1 1 300.318 1.878 20 0 DDADMM COC[C@H](NC(=O)CCCc1ccc(F)cc1)c1nn[n-]n1 ZINC001363412395 886266105 /nfs/dbraw/zinc/26/61/05/886266105.db2.gz JFYRJUCLEWUUPG-LBPRGKRZSA-N -1 1 307.329 1.165 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)c2ccsc2)o1 ZINC001363463941 886408377 /nfs/dbraw/zinc/40/83/77/886408377.db2.gz KUCMNUQGPFXLPV-UHFFFAOYSA-N -1 1 300.361 1.526 20 0 DDADMM C=C/C(C)=C/CC(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001277684849 886480096 /nfs/dbraw/zinc/48/00/96/886480096.db2.gz QKYUQWJMLRRQJM-KKNAONHISA-N -1 1 319.409 1.359 20 0 DDADMM C[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C(=O)N(C)c2nn[n-]n2)C1 ZINC001363491868 886480789 /nfs/dbraw/zinc/48/07/89/886480789.db2.gz VRKFBLAPCBTUHV-UWVGGRQHSA-N -1 1 324.385 1.198 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccn(CC(C)C)n1 ZINC001363543166 886592431 /nfs/dbraw/zinc/59/24/31/886592431.db2.gz HQYLWEFRBYAZIH-UHFFFAOYSA-N -1 1 305.386 1.351 20 0 DDADMM O=C(NCC[C@@H]1CCCS1(=O)=O)c1cccc([O-])c1F ZINC001363543709 886596143 /nfs/dbraw/zinc/59/61/43/886596143.db2.gz DVNKMYDBUNEOJM-VIFPVBQESA-N -1 1 301.339 1.228 20 0 DDADMM COc1cc(C)cc(C(=O)NC2(c3nn[n-]n3)CCC2)c1O ZINC001363550166 886614203 /nfs/dbraw/zinc/61/42/03/886614203.db2.gz MQSRNJGYKZELIT-UHFFFAOYSA-N -1 1 303.322 1.032 20 0 DDADMM O=C(N[C@@H](CO)[C@H](O)c1ccccn1)c1ccc(Cl)cc1[O-] ZINC001363564012 886644771 /nfs/dbraw/zinc/64/47/71/886644771.db2.gz SJVBSAISRSTRQG-GXTWGEPZSA-N -1 1 322.748 1.265 20 0 DDADMM COCC(=O)NC1CCN(Cc2ccc(F)c([O-])c2F)CC1 ZINC001232935529 886682289 /nfs/dbraw/zinc/68/22/89/886682289.db2.gz RRCFXRBRSYQZNG-UHFFFAOYSA-N -1 1 314.332 1.397 20 0 DDADMM COC(=O)CO[C@H]1CCN(Cc2ccc(F)c([O-])c2F)C1 ZINC001232939682 886686390 /nfs/dbraw/zinc/68/63/90/886686390.db2.gz UABUCNQZHPZZSF-JTQLQIEISA-N -1 1 301.289 1.434 20 0 DDADMM COc1ccc([S@](C)(=O)=NC(=O)c2cnncc2[O-])cc1 ZINC001363584842 886697467 /nfs/dbraw/zinc/69/74/67/886697467.db2.gz XFVHDZKHTIAPBE-NRFANRHFSA-N -1 1 307.331 1.488 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@@H](c2ncon2)C1 ZINC001363594026 886717373 /nfs/dbraw/zinc/71/73/73/886717373.db2.gz MWVSRWGMOBBXDM-SNVBAGLBSA-N -1 1 315.333 1.462 20 0 DDADMM O=C(NC[C@H]1CC2(CO1)CCOCC2)c1cccc([O-])c1F ZINC001363626387 886804267 /nfs/dbraw/zinc/80/42/67/886804267.db2.gz MIUWVMUYXYMSGF-LLVKDONJSA-N -1 1 309.337 1.847 20 0 DDADMM CCn1cnc2c1CCN(C(=O)c1cnc(SC)[n-]c1=O)C2 ZINC001363643975 886865379 /nfs/dbraw/zinc/86/53/79/886865379.db2.gz ICQNRXKKVDAFNR-UHFFFAOYSA-N -1 1 319.390 1.319 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC001363710963 887043175 /nfs/dbraw/zinc/04/31/75/887043175.db2.gz TZKKQAFNCOSIDY-ZETCQYMHSA-N -1 1 322.271 1.385 20 0 DDADMM CCOC(=O)c1nc[nH]c1CNC(=O)c1c([O-])cccc1Cl ZINC001363722385 887068756 /nfs/dbraw/zinc/06/87/56/887068756.db2.gz ACDTWPSEUKTFDP-UHFFFAOYSA-N -1 1 323.736 1.875 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H](C)c2ccco2)[n-]n1 ZINC001363768193 887174547 /nfs/dbraw/zinc/17/45/47/887174547.db2.gz NSRZSVXZJYKKLX-MRVPVSSYSA-N -1 1 313.335 1.171 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H](C)c2ccco2)n[n-]1 ZINC001363768193 887174556 /nfs/dbraw/zinc/17/45/56/887174556.db2.gz NSRZSVXZJYKKLX-MRVPVSSYSA-N -1 1 313.335 1.171 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2ccc(C(F)F)o2)CCCC1 ZINC001363769774 887176347 /nfs/dbraw/zinc/17/63/47/887176347.db2.gz NTXNKFOMZICKPS-UHFFFAOYSA-N -1 1 308.306 1.294 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@H]1CCOc2ccccc21 ZINC001233761159 887293221 /nfs/dbraw/zinc/29/32/21/887293221.db2.gz ZQRDIVZVAJUWNE-NSHDSACASA-N -1 1 302.286 1.871 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)OC(C)(C)C)N1C(=O)CCCc1nn[n-]n1 ZINC001363872886 887442249 /nfs/dbraw/zinc/44/22/49/887442249.db2.gz MFLNRXMJTXCSOS-GHMZBOCLSA-N -1 1 323.397 1.244 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2c[nH]nc2C)[n-]c1=O ZINC001363886433 887471695 /nfs/dbraw/zinc/47/16/95/887471695.db2.gz NMEUXBYSPHNPLQ-SNVBAGLBSA-N -1 1 303.322 1.200 20 0 DDADMM Cc1nn2cccnc2c1S(=O)(=O)[N-]C[C@@H](C)CC(F)F ZINC001363938635 887576983 /nfs/dbraw/zinc/57/69/83/887576983.db2.gz DMMPPFLHEXGNHK-QMMMGPOBSA-N -1 1 318.349 1.607 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364032093 887760083 /nfs/dbraw/zinc/76/00/83/887760083.db2.gz NTMIFDNQHSSICA-SKDRFNHKSA-N -1 1 318.381 1.389 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2c[nH]nc2C2CC2)n[n-]1 ZINC001364112014 887945363 /nfs/dbraw/zinc/94/53/63/887945363.db2.gz OVCRKOSDXSFLMW-SSDOTTSWSA-N -1 1 318.337 1.073 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2c[nH]nc2C2CC2)n1 ZINC001364112014 887945379 /nfs/dbraw/zinc/94/53/79/887945379.db2.gz OVCRKOSDXSFLMW-SSDOTTSWSA-N -1 1 318.337 1.073 20 0 DDADMM Cc1cc(=O)c(C(=O)NCc2ccc([O-])c(Cl)c2)cn1C ZINC001364115209 887955386 /nfs/dbraw/zinc/95/53/86/887955386.db2.gz GUDPHVFQHBRKOB-UHFFFAOYSA-N -1 1 306.749 1.983 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2[C@H]3Cc4ccccc4[C@@H]23)sn1 ZINC001364116069 887957289 /nfs/dbraw/zinc/95/72/89/887957289.db2.gz GDRVSLWFCDKXND-ZLKJLUDKSA-N -1 1 322.411 1.768 20 0 DDADMM COc1ccc2c(c1)CC[C@@H](Oc1c(C(N)=O)nc[n-]c1=O)C2 ZINC001234472664 888005557 /nfs/dbraw/zinc/00/55/57/888005557.db2.gz WOXHSBAWYUEBKG-GFCCVEGCSA-N -1 1 315.329 1.226 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@H](C)c2cnccn2)c(=O)[n-]1 ZINC001234565939 888104397 /nfs/dbraw/zinc/10/43/97/888104397.db2.gz RQOGDUDWDJHDGY-MRVPVSSYSA-N -1 1 303.322 1.335 20 0 DDADMM Cc1c(C(=O)N(C)c2nn[n-]n2)[nH]c2c1C(=O)CC(C)(C)C2 ZINC001364191504 888117871 /nfs/dbraw/zinc/11/78/71/888117871.db2.gz GGQPFXMOQIDLCS-UHFFFAOYSA-N -1 1 302.338 1.268 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC(=O)NC[C@@H]1c1ccccc1 ZINC001364210518 888151155 /nfs/dbraw/zinc/15/11/55/888151155.db2.gz DIVOAKWFXNZQAC-OAHLLOKOSA-N -1 1 314.316 1.845 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)c2ccc(O)c(C)c2)o1 ZINC001364415461 888588023 /nfs/dbraw/zinc/58/80/23/888588023.db2.gz CBJHRHUMJLLYDQ-UHFFFAOYSA-N -1 1 324.358 1.478 20 0 DDADMM CC(C)[C@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C(N)=O ZINC001364416655 888590158 /nfs/dbraw/zinc/59/01/58/888590158.db2.gz ICXVQMSSUNKIHV-ZDUSSCGKSA-N -1 1 302.330 1.491 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@](C)(O)c1ccccc1 ZINC001364651388 889122458 /nfs/dbraw/zinc/12/24/58/889122458.db2.gz MDPFMSCWQHMJKG-INIZCTEOSA-N -1 1 317.393 1.482 20 0 DDADMM O=C(Cc1cccc(C(F)F)c1)NC1(c2nn[n-]n2)CCC1 ZINC001364664258 889151899 /nfs/dbraw/zinc/15/18/99/889151899.db2.gz UMPJQRVRQVHODN-UHFFFAOYSA-N -1 1 307.304 1.875 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@@H](C)C[C@@H]2C)[n-]n1 ZINC001364780121 889399378 /nfs/dbraw/zinc/39/93/78/889399378.db2.gz VAICIZKSGYUKBM-KXUCPTDWSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@@H](C)C[C@@H]2C)n[n-]1 ZINC001364780121 889399390 /nfs/dbraw/zinc/39/93/90/889399390.db2.gz VAICIZKSGYUKBM-KXUCPTDWSA-N -1 1 315.395 1.299 20 0 DDADMM O=C(CCC(F)F)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001385629498 889460375 /nfs/dbraw/zinc/46/03/75/889460375.db2.gz YBUOYPJXYAXXDN-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM CN(C)C(=O)N[C@H]1CCCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001364969989 889784427 /nfs/dbraw/zinc/78/44/27/889784427.db2.gz DBIPPGAMWPSNCO-NSHDSACASA-N -1 1 313.348 1.906 20 0 DDADMM CCCn1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c(C)n1 ZINC001365194378 890311825 /nfs/dbraw/zinc/31/18/25/890311825.db2.gz LLVAYHMPCMQETI-UHFFFAOYSA-N -1 1 302.260 1.991 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1CCOC12CCCC2 ZINC001365470688 890857267 /nfs/dbraw/zinc/85/72/67/890857267.db2.gz PEEOEZXDCQBUPD-SNVBAGLBSA-N -1 1 318.420 1.532 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@H]4[C@@H](C3)C4(F)F)ccnc1-2 ZINC001365509496 890948446 /nfs/dbraw/zinc/94/84/46/890948446.db2.gz HMZPMQIDLZIIJB-VHSXEESVSA-N -1 1 307.304 1.461 20 0 DDADMM C[C@H](CNC(=O)[C@@H](C)C(F)(F)F)NC(=O)c1ncccc1[O-] ZINC001386422453 890950043 /nfs/dbraw/zinc/95/00/43/890950043.db2.gz AKIWHXWSSZMLJM-HTQZYQBOSA-N -1 1 319.283 1.220 20 0 DDADMM Cc1cc2c(c(-c3cnn([C@@H]4CCCCO4)c3)n1)C(=O)[N-]C2=O ZINC001240675047 891018078 /nfs/dbraw/zinc/01/80/78/891018078.db2.gz RXMIIMJIVSFRNK-LBPRGKRZSA-N -1 1 312.329 1.836 20 0 DDADMM C[C@@H](CNC(=O)CCC1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001386469587 891037917 /nfs/dbraw/zinc/03/79/17/891037917.db2.gz PQPVULIZYANMSW-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)c1nc(C)cs1 ZINC001365640682 891231608 /nfs/dbraw/zinc/23/16/08/891231608.db2.gz LBNRJDCKDQTEES-ZETCQYMHSA-N -1 1 319.433 1.956 20 0 DDADMM O=S(=O)([N-]C[C@H](O)c1cccc(F)c1)c1ccns1 ZINC001365666068 891278173 /nfs/dbraw/zinc/27/81/73/891278173.db2.gz HKOHERMEUAZCQO-JTQLQIEISA-N -1 1 302.352 1.294 20 0 DDADMM C[C@H](CNC(=O)c1ccsc1)NC(=O)c1ncccc1[O-] ZINC001386640174 891372162 /nfs/dbraw/zinc/37/21/62/891372162.db2.gz JQVNNFPICZDLJM-SECBINFHSA-N -1 1 305.359 1.397 20 0 DDADMM O=C(c1cccnc1-c1cccc(F)c1[O-])N1CCNCC1 ZINC001242349652 891399094 /nfs/dbraw/zinc/39/90/94/891399094.db2.gz VJQDEWMJRGGQJP-UHFFFAOYSA-N -1 1 301.321 1.639 20 0 DDADMM CN1CCN(c2cc(-c3cccc([O-])c3Cl)ncn2)CC1=O ZINC001242801138 891517075 /nfs/dbraw/zinc/51/70/75/891517075.db2.gz NSSZLGIGHWGPPW-UHFFFAOYSA-N -1 1 318.764 1.781 20 0 DDADMM CCc1[nH]nc2ncc(-c3nc(C)cc4c3C(=O)[N-]C4=O)cc12 ZINC001242823666 891519756 /nfs/dbraw/zinc/51/97/56/891519756.db2.gz XFJSWZOTMSPFFS-UHFFFAOYSA-N -1 1 307.313 1.774 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@H]3CCOC(C)(C)C3)ccnc1-2 ZINC001365784606 891546171 /nfs/dbraw/zinc/54/61/71/891546171.db2.gz SMIWRXANSMQYSY-NSHDSACASA-N -1 1 317.393 1.669 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnc(C(=O)OC)nc3)cc2[n-]1 ZINC001243010616 891577151 /nfs/dbraw/zinc/57/71/51/891577151.db2.gz RVIHSQQTBLJBED-UHFFFAOYSA-N -1 1 312.285 1.593 20 0 DDADMM COC(=O)c1nc2cc(-c3cnc(C(=O)OC)nc3)ccc2[n-]1 ZINC001243010616 891577159 /nfs/dbraw/zinc/57/71/59/891577159.db2.gz RVIHSQQTBLJBED-UHFFFAOYSA-N -1 1 312.285 1.593 20 0 DDADMM COC(=O)c1nc2ccc(-c3cnc(N4CCCC4)nc3)nc2[n-]1 ZINC001243315944 891642153 /nfs/dbraw/zinc/64/21/53/891642153.db2.gz HQKVOEYEVGCTAW-UHFFFAOYSA-N -1 1 324.344 1.802 20 0 DDADMM CCOc1cncc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)n1 ZINC001244790690 891925691 /nfs/dbraw/zinc/92/56/91/891925691.db2.gz RVZFEONVQSPCMG-UHFFFAOYSA-N -1 1 324.362 1.317 20 0 DDADMM COc1cc(-c2ccc(-c3nnn[n-]3)nc2)c(Cl)c(OC)n1 ZINC001245749421 892238062 /nfs/dbraw/zinc/23/80/62/892238062.db2.gz FWIJJAWQOKLFRH-UHFFFAOYSA-N -1 1 318.724 1.994 20 0 DDADMM COc1cc(-c2ccc(-c3nn[n-]n3)nc2)c(Cl)c(OC)n1 ZINC001245749421 892238074 /nfs/dbraw/zinc/23/80/74/892238074.db2.gz FWIJJAWQOKLFRH-UHFFFAOYSA-N -1 1 318.724 1.994 20 0 DDADMM COC(=O)c1nsnc1[N-]c1cnn(CC(F)(F)F)c1 ZINC001248652681 893667469 /nfs/dbraw/zinc/66/74/69/893667469.db2.gz MHWAMVJDPXAMRD-UHFFFAOYSA-N -1 1 307.257 1.827 20 0 DDADMM CC(F)(F)CCC(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001387716797 893716497 /nfs/dbraw/zinc/71/64/97/893716497.db2.gz MVZLRQHLTOBNGR-UHFFFAOYSA-N -1 1 313.304 1.163 20 0 DDADMM Cc1ncc(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)s1 ZINC000345462372 894023915 /nfs/dbraw/zinc/02/39/15/894023915.db2.gz JFJOOGGITNKHPR-UHFFFAOYSA-N -1 1 316.346 1.796 20 0 DDADMM Cn1ncc2cc(Nc3cc(S(C)(=O)=O)ccc3[O-])cnc21 ZINC001249668033 894091252 /nfs/dbraw/zinc/09/12/52/894091252.db2.gz XOQYCGQNZIMQBG-UHFFFAOYSA-N -1 1 318.358 1.821 20 0 DDADMM CC[N@@H+](CCNC(=O)c1cnc[nH]c1=O)Cc1scnc1C ZINC001366620631 894302535 /nfs/dbraw/zinc/30/25/35/894302535.db2.gz UNNACGKGQZJCDD-UHFFFAOYSA-N -1 1 321.406 1.199 20 0 DDADMM O=C1Cc2c(cccc2[N-]S(=O)(=O)c2ccc(F)cc2)CN1 ZINC001251668268 894768523 /nfs/dbraw/zinc/76/85/23/894768523.db2.gz NLYKWMOAYPXJQU-UHFFFAOYSA-N -1 1 320.345 1.799 20 0 DDADMM CO[C@H](C)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])CC(C)C ZINC001388521183 895382084 /nfs/dbraw/zinc/38/20/84/895382084.db2.gz PFAJMRSBHLZYKQ-VXGBXAGGSA-N -1 1 323.393 1.083 20 0 DDADMM CCCCS(=O)(=O)[N-][C@H](C(=O)OCC)c1ccccn1 ZINC001253910763 896154456 /nfs/dbraw/zinc/15/44/56/896154456.db2.gz MWNFUYPSTMOOAN-LBPRGKRZSA-N -1 1 300.380 1.405 20 0 DDADMM COc1ccccc1-c1ccc(C(=O)NCc2nn[n-]n2)s1 ZINC001292871388 914059388 /nfs/dbraw/zinc/05/93/88/914059388.db2.gz CMWCJMSYSFHDDJ-UHFFFAOYSA-N -1 1 315.358 1.867 20 0 DDADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001367314305 896422893 /nfs/dbraw/zinc/42/28/93/896422893.db2.gz GPMPTVBCMFWXAE-PJXYFTJBSA-N -1 1 319.409 1.075 20 0 DDADMM Cc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)CC(=O)NC2 ZINC001254619889 896539075 /nfs/dbraw/zinc/53/90/75/896539075.db2.gz YHZSWICLAXHXCY-UHFFFAOYSA-N -1 1 316.382 1.968 20 0 DDADMM COC(=O)[C@H](CCC(=O)[O-])N[C@@H]1CCN(Cc2ccccc2)C1 ZINC001255099527 896730676 /nfs/dbraw/zinc/73/06/76/896730676.db2.gz IDOSKJOYKJHDLN-CABCVRRESA-N -1 1 320.389 1.257 20 0 DDADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)C1CCC1 ZINC001367421716 896730828 /nfs/dbraw/zinc/73/08/28/896730828.db2.gz WKUYYBCEGARDFO-WCQYABFASA-N -1 1 323.441 1.567 20 0 DDADMM Cc1ccc(C(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001374840719 914094889 /nfs/dbraw/zinc/09/48/89/914094889.db2.gz YTFYSJRSZMADAE-LLVKDONJSA-N -1 1 303.366 1.035 20 0 DDADMM CC(C)C[C@H](C)CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001389301575 896874326 /nfs/dbraw/zinc/87/43/26/896874326.db2.gz BCSOFKPZUVUGEJ-LBPRGKRZSA-N -1 1 319.405 1.800 20 0 DDADMM CC[C@@H](SC)C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001389331401 896952493 /nfs/dbraw/zinc/95/24/93/896952493.db2.gz YQPVVWQUKDITOZ-GXSJLCMTSA-N -1 1 311.407 1.163 20 0 DDADMM CC[C@@H](C)CCC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001389635274 897689054 /nfs/dbraw/zinc/68/90/54/897689054.db2.gz CUUSVCHJHNPJDR-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM CC(C)c1ccc(C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)cc1 ZINC001367769498 897726508 /nfs/dbraw/zinc/72/65/08/897726508.db2.gz ZNCBWBROMMLART-NSHDSACASA-N -1 1 317.393 1.542 20 0 DDADMM CCC[C@@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001389807449 898061101 /nfs/dbraw/zinc/06/11/01/898061101.db2.gz GUJYNYDTZTVRPG-LLVKDONJSA-N -1 1 305.378 1.602 20 0 DDADMM Cc1ccnc(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)n1 ZINC001259034230 898433429 /nfs/dbraw/zinc/43/34/29/898433429.db2.gz IDFPWCAIISSRKK-UHFFFAOYSA-N -1 1 317.292 1.681 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1C ZINC001259169196 898502422 /nfs/dbraw/zinc/50/24/22/898502422.db2.gz IDSIIHKFVDRKHH-UHFFFAOYSA-N -1 1 319.346 1.476 20 0 DDADMM COc1ccc(CS(=O)(=O)[N-]c2cccc(CO)n2)cc1 ZINC001259893230 898905445 /nfs/dbraw/zinc/90/54/45/898905445.db2.gz XKRXOWPYZOYBBJ-UHFFFAOYSA-N -1 1 308.359 1.524 20 0 DDADMM COc1cc(Cl)ncc1[N-]S(=O)(=O)C1CCOCC1 ZINC001259909092 898919154 /nfs/dbraw/zinc/91/91/54/898919154.db2.gz VDERHXNOHLEHBT-UHFFFAOYSA-N -1 1 306.771 1.664 20 0 DDADMM O=S(=O)([N-]Cc1cn(Cc2ccccc2)cn1)C(F)F ZINC001259964340 898989067 /nfs/dbraw/zinc/98/90/67/898989067.db2.gz MOKHTGVZMQVSHC-UHFFFAOYSA-N -1 1 301.318 1.573 20 0 DDADMM O=c1[nH]cnc([O-])c1NS(=O)(=O)c1cnc2ccccc2c1 ZINC001260005914 899008831 /nfs/dbraw/zinc/00/88/31/899008831.db2.gz RDYBMMPAWWRGRG-UHFFFAOYSA-N -1 1 318.314 1.237 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCOC1)c1cc(Cl)c(F)cc1F ZINC001260206302 899087098 /nfs/dbraw/zinc/08/70/98/899087098.db2.gz JUZRVIXMRGXHCC-ZETCQYMHSA-N -1 1 311.737 1.933 20 0 DDADMM CN1CC2(C1)CCN(S(=O)(=O)c1ccc(C(=O)[O-])cc1)CC2 ZINC001260226023 899099960 /nfs/dbraw/zinc/09/99/60/899099960.db2.gz DMHFSHUIDRYPLH-UHFFFAOYSA-N -1 1 324.402 1.101 20 0 DDADMM CCc1noc(C)c1C(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1 ZINC001261781276 899760342 /nfs/dbraw/zinc/76/03/42/899760342.db2.gz PEICZYXUUDCVMA-UHFFFAOYSA-N -1 1 316.321 1.091 20 0 DDADMM CN(CC1=CCSC1)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001262851386 900393649 /nfs/dbraw/zinc/39/36/49/900393649.db2.gz GTIQVOAQHPNIEG-UHFFFAOYSA-N -1 1 311.802 1.363 20 0 DDADMM Cc1nnc(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)s1 ZINC001293575399 914563307 /nfs/dbraw/zinc/56/33/07/914563307.db2.gz YRMNSCFTKVBXHU-UHFFFAOYSA-N -1 1 317.334 1.191 20 0 DDADMM CCC(=O)N[C@H](CCN(C)C(=O)c1ncccc1[O-])C(C)C ZINC001370023384 902409088 /nfs/dbraw/zinc/40/90/88/902409088.db2.gz AIFHBPQEDBHZCQ-GFCCVEGCSA-N -1 1 307.394 1.800 20 0 DDADMM CCC(=O)N[C@H](C)[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001396877423 914644016 /nfs/dbraw/zinc/64/40/16/914644016.db2.gz MUWYWMCMWFEACO-VXGBXAGGSA-N -1 1 305.378 1.697 20 0 DDADMM CCN(CCCNC(=O)C1CCCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001266014761 902756241 /nfs/dbraw/zinc/75/62/41/902756241.db2.gz HNYLVSQNNVJGEG-UHFFFAOYSA-N -1 1 309.414 1.419 20 0 DDADMM CC(C)[C@H]1C[C@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001393822874 908015891 /nfs/dbraw/zinc/01/58/91/908015891.db2.gz OXONIMUHKAAVJP-VXGBXAGGSA-N -1 1 317.389 1.458 20 0 DDADMM C[C@H]1CCN(C(=O)NCCc2c(F)cc([O-])cc2F)C[C@@H]1O ZINC001372727936 908071541 /nfs/dbraw/zinc/07/15/41/908071541.db2.gz DFRKLLUJNQAETC-XPTSAGLGSA-N -1 1 314.332 1.625 20 0 DDADMM C[C@H](CNC(=O)CCc1ccco1)NC(=O)c1ncccc1[O-] ZINC001372763160 908176303 /nfs/dbraw/zinc/17/63/03/908176303.db2.gz KODHYJWFMMDAGQ-LLVKDONJSA-N -1 1 317.345 1.248 20 0 DDADMM CCCCCC(=O)NCCN(CC)C(=O)c1ncccc1[O-] ZINC001284597684 909229781 /nfs/dbraw/zinc/22/97/81/909229781.db2.gz BZEHNLFMPFTDBS-UHFFFAOYSA-N -1 1 307.394 1.946 20 0 DDADMM CCC(CC)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001394670473 910224148 /nfs/dbraw/zinc/22/41/48/910224148.db2.gz NYNHPEZQXMHFEC-ZYHUDNBSSA-N -1 1 309.414 1.416 20 0 DDADMM CC[C@H](CNC(=O)c1c(C)coc1C)NCc1n[nH]c(=O)[n-]1 ZINC001373747495 910841498 /nfs/dbraw/zinc/84/14/98/910841498.db2.gz VEGNTAHUUZIOFU-SNVBAGLBSA-N -1 1 307.354 1.018 20 0 DDADMM C[C@@H](CNC(=O)Cc1ccoc1)N(C)C(=O)c1ncccc1[O-] ZINC001395064851 911273325 /nfs/dbraw/zinc/27/33/25/911273325.db2.gz HTLJRMXUSAODSU-NSHDSACASA-N -1 1 317.345 1.200 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cccc(F)c3F)nc2n1 ZINC001287285694 912158863 /nfs/dbraw/zinc/15/88/63/912158863.db2.gz FRSJWMDUJYPMGR-UHFFFAOYSA-N -1 1 319.271 1.511 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3sccc3F)nc2n1 ZINC001287289552 912163199 /nfs/dbraw/zinc/16/31/99/912163199.db2.gz SGPGPHCZHJKYSA-UHFFFAOYSA-N -1 1 321.337 1.823 20 0 DDADMM CSc1ncc(C(=O)NCc2ccn3ccnc3c2)c(=O)[n-]1 ZINC001294905786 915450383 /nfs/dbraw/zinc/45/03/83/915450383.db2.gz QYZXOASRUCZEKM-UHFFFAOYSA-N -1 1 315.358 1.482 20 0 DDADMM CSC[C@H](C)C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001397397235 915923850 /nfs/dbraw/zinc/92/38/50/915923850.db2.gz SIVLNDWHHKHQNP-UWVGGRQHSA-N -1 1 311.407 1.021 20 0 DDADMM C[C@H](CN(C)C(=O)c1oc2ccccc2c1CO)c1nn[n-]n1 ZINC001296063948 916217793 /nfs/dbraw/zinc/21/77/93/916217793.db2.gz LHGNPCZVDJKQMZ-SECBINFHSA-N -1 1 315.333 1.314 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNC(=O)C1(CCF)CC1 ZINC001375697092 916734603 /nfs/dbraw/zinc/73/46/03/916734603.db2.gz PCCBBNXQHKHNHE-LLVKDONJSA-N -1 1 323.368 1.409 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)C1(C)CCC1 ZINC001375698609 916737370 /nfs/dbraw/zinc/73/73/70/916737370.db2.gz UESMBAZNMWILRX-NSHDSACASA-N -1 1 305.378 1.460 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](C)c1cccc(O)c1 ZINC001297149919 916762638 /nfs/dbraw/zinc/76/26/38/916762638.db2.gz CTJUWTZTGXTGCI-ZETCQYMHSA-N -1 1 305.359 1.976 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CC1CCCCCC1 ZINC001377863384 923266806 /nfs/dbraw/zinc/26/68/06/923266806.db2.gz PHSREDCJRSUBOE-NEPJUHHUSA-N -1 1 323.441 1.854 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC[C@H]4C[C@H]43)nc2n1 ZINC000622992239 365584834 /nfs/dbraw/zinc/58/48/34/365584834.db2.gz CURPHHHMNYBEKC-JMJZKYOTSA-N -1 1 301.350 1.355 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)Cc3occc3C)nc2n1 ZINC000622992581 365585207 /nfs/dbraw/zinc/58/52/07/365585207.db2.gz QBSUFFBBDJIEOV-UHFFFAOYSA-N -1 1 301.306 1.063 20 0 DDADMM CCCCOCC(=O)Nc1nc2nc(CCC)cc(=O)n2[n-]1 ZINC000622996670 365589905 /nfs/dbraw/zinc/58/99/05/365589905.db2.gz WEUTYYWAZGZQBG-UHFFFAOYSA-N -1 1 307.354 1.125 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)[C@H](C)CC)nc2n1 ZINC000622996922 365589964 /nfs/dbraw/zinc/58/99/64/365589964.db2.gz FQXKUDWUDMADPB-ZJUUUORDSA-N -1 1 305.382 1.991 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3cc(C)cs3)nc2n1 ZINC000622997627 365592103 /nfs/dbraw/zinc/59/21/03/365592103.db2.gz FRSWLXYUFFJYGU-UHFFFAOYSA-N -1 1 317.374 1.414 20 0 DDADMM CCC[C@H](NC(=O)c1cnc2n1CCCCC2)c1nn[n-]n1 ZINC000623020249 365601688 /nfs/dbraw/zinc/60/16/88/365601688.db2.gz DDXCNBBHXMWOIE-JTQLQIEISA-N -1 1 303.370 1.394 20 0 DDADMM CSc1n[nH]c([N-]S(=O)(=O)Cc2ccc(C)cc2F)n1 ZINC000278519890 214207939 /nfs/dbraw/zinc/20/79/39/214207939.db2.gz RQLJLPFGAXEGRR-UHFFFAOYSA-N -1 1 316.383 1.916 20 0 DDADMM Cc1ccn([C@@H](C)CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000614390076 361833864 /nfs/dbraw/zinc/83/38/64/361833864.db2.gz QVFPYJWXHWWELH-RYUDHWBXSA-N -1 1 319.365 1.637 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1coc(C2CC2)n1 ZINC000614419581 361845239 /nfs/dbraw/zinc/84/52/39/361845239.db2.gz FRKHXDRBBNZDNN-UHFFFAOYSA-N -1 1 304.306 1.136 20 0 DDADMM CCCc1nc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)co1 ZINC000614474042 361874987 /nfs/dbraw/zinc/87/49/87/361874987.db2.gz NRJHXERHJVMKNG-UHFFFAOYSA-N -1 1 306.322 1.736 20 0 DDADMM O=C(N1CCC(c2n[n-]c(=O)o2)CC1)C1(c2cccnc2)CC1 ZINC000614474327 361875055 /nfs/dbraw/zinc/87/50/55/361875055.db2.gz RTKAJBTXFJDTCF-UHFFFAOYSA-N -1 1 314.345 1.608 20 0 DDADMM Cc1cc(C)n([C@@H](C)C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000614474035 361874378 /nfs/dbraw/zinc/87/43/78/361874378.db2.gz NIVMWCSVAXRRSE-NSHDSACASA-N -1 1 319.365 1.556 20 0 DDADMM C[C@@H](NC(=O)c1ncc2ccccc2c1[O-])C(=O)NCCF ZINC000614479436 361878789 /nfs/dbraw/zinc/87/87/89/361878789.db2.gz JPKYECACYHXAPJ-SECBINFHSA-N -1 1 305.309 1.144 20 0 DDADMM C[C@@H]1OCC[C@@]1(O)CNC(=O)c1ccc2ccccc2c1[O-] ZINC000318492423 231171180 /nfs/dbraw/zinc/17/11/80/231171180.db2.gz CHIPGNIUQOQPMU-APPDUMDISA-N -1 1 301.342 1.815 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CCC1)c1c(F)cccc1Cl ZINC000452054549 231283848 /nfs/dbraw/zinc/28/38/48/231283848.db2.gz RNCMFPULXQJLAR-NSHDSACASA-N -1 1 307.774 1.918 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)Nc1c[nH]ccc1=O ZINC000081654184 539167587 /nfs/dbraw/zinc/16/75/87/539167587.db2.gz MAMUNNCWFVFMQI-UHFFFAOYSA-N -1 1 302.334 1.316 20 0 DDADMM O=C(c1ncccc1[O-])N(C[C@H]1CCCO1)[C@H]1CCSC1 ZINC000126482269 539181804 /nfs/dbraw/zinc/18/18/04/539181804.db2.gz DYSQYVDGTPEIBZ-NWDGAFQWSA-N -1 1 308.403 1.914 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2C[C@H]2C)c(Br)n1C ZINC000286583233 219066155 /nfs/dbraw/zinc/06/61/55/219066155.db2.gz JZKVEPXGMJRZOK-HTRCEHHLSA-N -1 1 322.228 1.425 20 0 DDADMM O=C([O-])[C@H](Cc1ccccc1)NC(=O)c1[nH]nc2c1CCCC2 ZINC000238007170 539268142 /nfs/dbraw/zinc/26/81/42/539268142.db2.gz HVMQSCIERFHVLZ-AWEZNQCLSA-N -1 1 313.357 1.714 20 0 DDADMM NC(=O)N1CC[C@@H](CNC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000614760328 362013983 /nfs/dbraw/zinc/01/39/83/362013983.db2.gz KHGRJPFFSBETAD-JTQLQIEISA-N -1 1 314.345 1.071 20 0 DDADMM CC[C@H](O)[C@H](CC)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000412398170 529711513 /nfs/dbraw/zinc/71/15/13/529711513.db2.gz QKRIUAHNPAVXAB-STQMWFEESA-N -1 1 303.362 1.923 20 0 DDADMM CC[C@H](O)[C@H](CC)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000412398170 529711514 /nfs/dbraw/zinc/71/15/14/529711514.db2.gz QKRIUAHNPAVXAB-STQMWFEESA-N -1 1 303.362 1.923 20 0 DDADMM O=C([O-])CCCCN1CCN(C(=O)Cc2ccccc2F)CC1 ZINC000181550555 199315702 /nfs/dbraw/zinc/31/57/02/199315702.db2.gz KMQCQGRPCQVJDA-UHFFFAOYSA-N -1 1 322.380 1.767 20 0 DDADMM Cc1ccc(C[C@H](CO)NC(=O)c2ncccc2[O-])cc1C ZINC000457242873 232021369 /nfs/dbraw/zinc/02/13/69/232021369.db2.gz FUZOYOWKFQQQIB-CQSZACIVSA-N -1 1 300.358 1.737 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(C(=O)c1ccc3[nH]nnc3c1)C2 ZINC000295158993 282363148 /nfs/dbraw/zinc/36/31/48/282363148.db2.gz KJUHQZYMQHAAOG-BONVTDFDSA-N -1 1 300.318 1.285 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCCSC2)c1Br ZINC000616002767 362516922 /nfs/dbraw/zinc/51/69/22/362516922.db2.gz KFQAMQVCHOWCNF-ZETCQYMHSA-N -1 1 304.213 1.984 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nc(-c3cccc(C)n3)no2)co1 ZINC000356188435 539465405 /nfs/dbraw/zinc/46/54/05/539465405.db2.gz DQVOZRSPNTVMMQ-UHFFFAOYSA-N -1 1 320.330 1.608 20 0 DDADMM C[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)[C@@H]1CCCCO1 ZINC000358334969 299124503 /nfs/dbraw/zinc/12/45/03/299124503.db2.gz IEIJLNBLYYTMEZ-SKDRFNHKSA-N -1 1 304.350 1.361 20 0 DDADMM COc1cccc(N2CCC[C@@H](Nc3nc(C(=O)[O-])co3)C2)c1 ZINC000579246923 422737277 /nfs/dbraw/zinc/73/72/77/422737277.db2.gz MVRZKOVXXXIUMU-LLVKDONJSA-N -1 1 317.345 1.884 20 0 DDADMM O=C(NCCNC(=O)c1c(F)ccc([O-])c1F)c1ccco1 ZINC000358400557 299142717 /nfs/dbraw/zinc/14/27/17/299142717.db2.gz MQTITBYPSQVEQB-UHFFFAOYSA-N -1 1 310.256 1.423 20 0 DDADMM O=C(N[C@@H]1CCO[C@H]1c1ccc(=O)[nH]c1)c1c([O-])cccc1F ZINC000279793532 215151630 /nfs/dbraw/zinc/15/16/30/215151630.db2.gz DLKWAZKZLSRDPF-ABAIWWIYSA-N -1 1 318.304 1.892 20 0 DDADMM Cc1cnc([C@H](C)NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)s1 ZINC000286979776 219255508 /nfs/dbraw/zinc/25/55/08/219255508.db2.gz AAWLBBCMXQCGFI-SHDGIHNSSA-N -1 1 316.390 1.989 20 0 DDADMM Cc1cnc(C(=O)N(C)CCN2CCOC(C)(C)C2)c([O-])c1 ZINC000331354561 233151411 /nfs/dbraw/zinc/15/14/11/233151411.db2.gz ZVZONDLSERKCTJ-UHFFFAOYSA-N -1 1 307.394 1.278 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cccc(Cl)c1F)C(=O)OC ZINC000152682970 186096918 /nfs/dbraw/zinc/09/69/18/186096918.db2.gz MXEIICGPORAJKD-QMMMGPOBSA-N -1 1 309.746 1.709 20 0 DDADMM CCO[C@@H]1C[C@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000189980499 186258695 /nfs/dbraw/zinc/25/86/95/186258695.db2.gz FYVBHOMXOOAMLC-HTRCEHHLSA-N -1 1 301.140 1.913 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@@H](O)C[C@@H]1c1cccc(F)c1 ZINC000225677498 186288897 /nfs/dbraw/zinc/28/88/97/186288897.db2.gz JYPOPYNKNNCYQF-QWHCGFSZSA-N -1 1 302.305 1.874 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H](C)C1CCCC1 ZINC000172103761 198040044 /nfs/dbraw/zinc/04/00/44/198040044.db2.gz AUVTZUMKCOCJLU-JTQLQIEISA-N -1 1 316.405 1.877 20 0 DDADMM CCCc1nnc([N-]C(=O)c2c(C)oc3nc[nH]c(=O)c32)s1 ZINC000026840255 352212079 /nfs/dbraw/zinc/21/20/79/352212079.db2.gz CABPRAYBDXSKKS-UHFFFAOYSA-N -1 1 319.346 1.881 20 0 DDADMM CCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(OC)c(OC)c2)C1 ZINC000030603892 352255673 /nfs/dbraw/zinc/25/56/73/352255673.db2.gz AGGDWMGBHXHSEL-SNVBAGLBSA-N -1 1 308.334 1.124 20 0 DDADMM COc1cccc(NC(=O)CS(=O)(=O)c2ccc([O-])cc2)c1 ZINC000063927280 352928151 /nfs/dbraw/zinc/92/81/51/352928151.db2.gz MJOBFWVCENAALJ-UHFFFAOYSA-N -1 1 321.354 1.813 20 0 DDADMM COc1ccc(F)cc1C(=O)NCCc1n[n-]c(=S)n1C ZINC000067070059 353032947 /nfs/dbraw/zinc/03/29/47/353032947.db2.gz PIOILPCXUTVKJH-UHFFFAOYSA-N -1 1 310.354 1.598 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NCC(F)F)o1 ZINC000073160147 353241164 /nfs/dbraw/zinc/24/11/64/353241164.db2.gz DNRNQYTVHSYDJQ-UHFFFAOYSA-N -1 1 310.322 1.351 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC(=O)NC(C)(C)C)cnc2n1 ZINC000075473416 353364844 /nfs/dbraw/zinc/36/48/44/353364844.db2.gz MKMCXIRZPZNMDZ-UHFFFAOYSA-N -1 1 316.361 1.288 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCC(=O)NC(C)(C)C)c2=O ZINC000075473416 353364847 /nfs/dbraw/zinc/36/48/47/353364847.db2.gz MKMCXIRZPZNMDZ-UHFFFAOYSA-N -1 1 316.361 1.288 20 0 DDADMM C[C@H](CCN1CCOCC1)NC(=O)c1ccc(Cl)cc1[O-] ZINC000077013734 353448793 /nfs/dbraw/zinc/44/87/93/353448793.db2.gz XTJGTKMNDJHISV-LLVKDONJSA-N -1 1 312.797 1.886 20 0 DDADMM O=C(N[C@@H](CO)C1CCCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000078930177 353541442 /nfs/dbraw/zinc/54/14/42/353541442.db2.gz BRKYKZJRBKVIHS-AWEZNQCLSA-N -1 1 315.373 1.594 20 0 DDADMM CN(C)[C@H](CNC(=O)c1cnc(C(=O)[O-])cn1)c1cccs1 ZINC000584779753 354766115 /nfs/dbraw/zinc/76/61/15/354766115.db2.gz MNTAJRUBYSGMLA-LLVKDONJSA-N -1 1 320.374 1.269 20 0 DDADMM C[C@H]1COC2(CCC2)CN1C(=O)c1csc(=NC2CC2)[n-]1 ZINC000617945268 363402095 /nfs/dbraw/zinc/40/20/95/363402095.db2.gz NKWDAZCCOVDGGD-JTQLQIEISA-N -1 1 307.419 1.923 20 0 DDADMM CCO[C@H]1C[C@H](NC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000617958743 363407859 /nfs/dbraw/zinc/40/78/59/363407859.db2.gz ROOXGZGXRXQJBC-HAQNSBGRSA-N -1 1 318.377 1.278 20 0 DDADMM COC(=O)Cc1cccc(NC(=O)C(=O)c2ccc([O-])cc2)n1 ZINC000588714237 354931176 /nfs/dbraw/zinc/93/11/76/354931176.db2.gz WFOWOHNUXAFIMA-UHFFFAOYSA-N -1 1 314.297 1.324 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3ccc(C)nc3)nc2n1 ZINC000588743822 354933005 /nfs/dbraw/zinc/93/30/05/354933005.db2.gz AIISJNXWPRKNCW-UHFFFAOYSA-N -1 1 312.333 1.326 20 0 DDADMM Cc1cnc(C(=O)NC2CCN(c3ccccn3)CC2)c([O-])c1 ZINC000332514818 235051922 /nfs/dbraw/zinc/05/19/22/235051922.db2.gz MALSCQLVOMJEFY-UHFFFAOYSA-N -1 1 312.373 1.889 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000590866529 355199910 /nfs/dbraw/zinc/19/99/10/355199910.db2.gz GCTHGTCJHOVUIU-ZJUUUORDSA-N -1 1 309.391 1.211 20 0 DDADMM Cc1cc(NC(=O)c2cc(C)c3c(=O)[n-]c(=O)nc-3[nH]2)ccn1 ZINC000073610050 191330672 /nfs/dbraw/zinc/33/06/72/191330672.db2.gz CHVMMAPFFDFOOH-UHFFFAOYSA-N -1 1 311.301 1.288 20 0 DDADMM CCOC(=O)c1coc(=N[C@@H]2CN(C)Cc3ccccc32)[n-]1 ZINC000591367001 355302267 /nfs/dbraw/zinc/30/22/67/355302267.db2.gz KEMVVJBQILNWMY-CYBMUJFWSA-N -1 1 301.346 1.872 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2snnc2C2CC2)n1 ZINC000593900840 356047877 /nfs/dbraw/zinc/04/78/77/356047877.db2.gz GONWIFSKFYGYOC-UHFFFAOYSA-N -1 1 307.335 1.568 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCc3cccnc32)n1 ZINC000593901893 356048677 /nfs/dbraw/zinc/04/86/77/356048677.db2.gz WWHMAGMBMLVGBO-SNVBAGLBSA-N -1 1 300.318 1.650 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CSC[C@@H]2C)cc1C ZINC000595337058 356453838 /nfs/dbraw/zinc/45/38/38/356453838.db2.gz JDUKXERDOQDFRF-IUCAKERBSA-N -1 1 319.404 1.404 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2CC[C@H](C)O2)cc1C ZINC000595339611 356455078 /nfs/dbraw/zinc/45/50/78/356455078.db2.gz ZIVVLTWXOLNFIB-UWVGGRQHSA-N -1 1 317.363 1.220 20 0 DDADMM CCOCCC[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595301185 356440124 /nfs/dbraw/zinc/44/01/24/356440124.db2.gz YFYOWQMJUNPUPA-UHFFFAOYSA-N -1 1 305.352 1.080 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@]2(C)CCCO2)cc1C ZINC000595319295 356447740 /nfs/dbraw/zinc/44/77/40/356447740.db2.gz PYFWPNRNAVMHTC-CYBMUJFWSA-N -1 1 317.363 1.222 20 0 DDADMM CCOC(=O)CCCNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081523523 192281627 /nfs/dbraw/zinc/28/16/27/192281627.db2.gz BVBGMOZXUBHMEX-UHFFFAOYSA-N -1 1 323.393 1.449 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cc(C)cc(C)c2)co1 ZINC000618159805 363483897 /nfs/dbraw/zinc/48/38/97/363483897.db2.gz KNMGQLOSZAPFPW-UHFFFAOYSA-N -1 1 322.386 1.735 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCC[C@H]1O)c1ccc(F)c(F)c1F ZINC000085987516 192543190 /nfs/dbraw/zinc/54/31/90/192543190.db2.gz HVCXQCYGNLBZMZ-WCBMZHEXSA-N -1 1 323.336 1.933 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@@H](OC)C2CC2)c(OC)c1 ZINC000579351874 422753217 /nfs/dbraw/zinc/75/32/17/422753217.db2.gz LIUZOAGVDNUKEA-CQSZACIVSA-N -1 1 315.391 1.871 20 0 DDADMM COC(=O)C[C@@H](CN=c1nc(C(F)(F)F)[n-]s1)C1CC1 ZINC000596494023 356897000 /nfs/dbraw/zinc/89/70/00/356897000.db2.gz WBEVAMQQEPZLHD-ZETCQYMHSA-N -1 1 309.313 1.980 20 0 DDADMM CCc1nocc1C(=O)[N-]c1nnc(CCCC(=O)OC)s1 ZINC000597285099 357122204 /nfs/dbraw/zinc/12/22/04/357122204.db2.gz NAUHJZUDNHWVPH-UHFFFAOYSA-N -1 1 324.362 1.837 20 0 DDADMM C[C@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CC[S@](=O)C1 ZINC000597572357 357233243 /nfs/dbraw/zinc/23/32/43/357233243.db2.gz LPSCIOAGYDOQDI-SAHAZLINSA-N -1 1 318.398 1.781 20 0 DDADMM COc1cc(F)c(C(=O)NC(C)(C)c2nn[n-]n2)cc1OC ZINC000347670690 283250697 /nfs/dbraw/zinc/25/06/97/283250697.db2.gz AVYDIEROLQEKIH-UHFFFAOYSA-N -1 1 309.301 1.021 20 0 DDADMM COC(=O)C[C@H](CNC(=O)C(=O)c1ccc([O-])cc1)C1CC1 ZINC000598269735 357516410 /nfs/dbraw/zinc/51/64/10/357516410.db2.gz ATSKWVSRQIZXIB-GFCCVEGCSA-N -1 1 305.330 1.280 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@@H](OC)C1CCCC1 ZINC000598826135 357736546 /nfs/dbraw/zinc/73/65/46/357736546.db2.gz ZLSZJLIGZCITEG-LBPRGKRZSA-N -1 1 309.366 1.162 20 0 DDADMM CC(C)(C)OC(=O)C1(C(=O)N=c2ccc(O)n[n-]2)CC=CC1 ZINC000598830836 357738092 /nfs/dbraw/zinc/73/80/92/357738092.db2.gz FGELSFRRJZWQFR-UHFFFAOYSA-N -1 1 305.334 1.221 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](CC)SC)nc2n1 ZINC000598893830 357752673 /nfs/dbraw/zinc/75/26/73/357752673.db2.gz YHXVGFTUDNBJAH-VIFPVBQESA-N -1 1 309.395 1.450 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCCC23CC3)o1 ZINC000599521964 357963509 /nfs/dbraw/zinc/96/35/09/357963509.db2.gz WYEOZFVAQLOHIL-SNVBAGLBSA-N -1 1 313.375 1.925 20 0 DDADMM CCOC[C@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)C(C)C ZINC000276717143 213065382 /nfs/dbraw/zinc/06/53/82/213065382.db2.gz PIVGFIRSVLNVNV-JTQLQIEISA-N -1 1 319.379 1.406 20 0 DDADMM COC(=O)C[C@H](C)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000358894914 299272667 /nfs/dbraw/zinc/27/26/67/299272667.db2.gz AOEZIWYCVYTRRP-ZETCQYMHSA-N -1 1 301.726 1.736 20 0 DDADMM COc1ccc(C2(C(=O)NCc3nn[n-]n3)CCCC2)cc1 ZINC000600502681 358242815 /nfs/dbraw/zinc/24/28/15/358242815.db2.gz RKQDFVUQCOSNMC-UHFFFAOYSA-N -1 1 301.350 1.337 20 0 DDADMM CCCCS[C@@H](C)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000600874780 358335166 /nfs/dbraw/zinc/33/51/66/358335166.db2.gz NITBPYGBPIQAPY-LBPRGKRZSA-N -1 1 316.467 1.916 20 0 DDADMM O=C(CC[C@H]1CCCCO1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000600965734 358360660 /nfs/dbraw/zinc/36/06/60/358360660.db2.gz WTMREBDQVDZTOU-HIFRSBDPSA-N -1 1 315.377 1.755 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2cc(C)ns2)CCC1 ZINC000601366958 358518610 /nfs/dbraw/zinc/51/86/10/358518610.db2.gz QMINQJFSIZXHRB-UHFFFAOYSA-N -1 1 304.393 1.216 20 0 DDADMM COC(=O)[C@](C)(CCF)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601466413 358566304 /nfs/dbraw/zinc/56/63/04/358566304.db2.gz DYLCIBILSOBAKM-JTQLQIEISA-N -1 1 310.372 1.021 20 0 DDADMM C/C=C\C[C@H]([N-]S(=O)(=O)CC1(OC)CCC1)C(=O)OCC ZINC000601393912 358533687 /nfs/dbraw/zinc/53/36/87/358533687.db2.gz MDGVEJNUPJQXOR-RNZFLTOJSA-N -1 1 319.423 1.373 20 0 DDADMM COC(=O)C[C@H]1CCCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000601764996 358685111 /nfs/dbraw/zinc/68/51/11/358685111.db2.gz FVCFMJIJZGBNDH-GFCCVEGCSA-N -1 1 305.330 1.519 20 0 DDADMM CCOC(=O)c1cnc(-n2[n-]c3c(c2=O)[C@@H](C)CC3)nc1C ZINC000601937687 358744491 /nfs/dbraw/zinc/74/44/91/358744491.db2.gz DIJZYSMFLKUFJX-UFBFGSQYSA-N -1 1 302.334 1.710 20 0 DDADMM CCOC(=O)CCC1CCN(Cc2cc(C(=O)[O-])nn2C)CC1 ZINC000602062558 358796776 /nfs/dbraw/zinc/79/67/76/358796776.db2.gz CLDLQUKVPORULB-UHFFFAOYSA-N -1 1 323.393 1.674 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc3c2CCC3)n[n-]1 ZINC000603016929 359359254 /nfs/dbraw/zinc/35/92/54/359359254.db2.gz MQBHWBJAILYIOB-UHFFFAOYSA-N -1 1 314.345 1.400 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc3c2CCC3)n1 ZINC000603016929 359359255 /nfs/dbraw/zinc/35/92/55/359359255.db2.gz MQBHWBJAILYIOB-UHFFFAOYSA-N -1 1 314.345 1.400 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CC3CCC2CC3)n[n-]1 ZINC000603152749 359439090 /nfs/dbraw/zinc/43/90/90/359439090.db2.gz FLNXTMNWKZXVBQ-WNYYMSAVSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CC3CCC2CC3)[n-]1 ZINC000603152749 359439091 /nfs/dbraw/zinc/43/90/91/359439091.db2.gz FLNXTMNWKZXVBQ-WNYYMSAVSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CC3CCC2CC3)n1 ZINC000603152749 359439092 /nfs/dbraw/zinc/43/90/92/359439092.db2.gz FLNXTMNWKZXVBQ-WNYYMSAVSA-N -1 1 320.393 1.985 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc(F)c(F)c(F)c1F)c1nn[n-]n1 ZINC000187308043 200092085 /nfs/dbraw/zinc/09/20/85/200092085.db2.gz ROQOORWPPYCJGX-YFKPBYRVSA-N -1 1 317.246 1.632 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@H]2C=CCCC2)c1 ZINC000187494113 200118956 /nfs/dbraw/zinc/11/89/56/200118956.db2.gz ASBQXFRBDJQRKQ-JTQLQIEISA-N -1 1 310.375 1.725 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCC[C@@H]1CCO ZINC000187807500 200159728 /nfs/dbraw/zinc/15/97/28/200159728.db2.gz NLUOOBZDANINGW-NEPJUHHUSA-N -1 1 321.421 1.657 20 0 DDADMM CC(C)CS(=O)(=O)CC(=O)Nc1nc(Cl)ccc1[O-] ZINC000188356779 200233512 /nfs/dbraw/zinc/23/35/12/200233512.db2.gz JXSRMHZDFASIMC-UHFFFAOYSA-N -1 1 306.771 1.450 20 0 DDADMM O=C(Cn1nnc2ccccc2c1=O)Nc1c([O-])cccc1F ZINC000605439418 359858001 /nfs/dbraw/zinc/85/80/01/359858001.db2.gz ICMJFDQAESXMAH-UHFFFAOYSA-N -1 1 314.276 1.275 20 0 DDADMM O=C(c1ncnc2sccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612815621 361153942 /nfs/dbraw/zinc/15/39/42/361153942.db2.gz ITMXEFSEEASTMV-QMMMGPOBSA-N -1 1 315.362 1.224 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc(C(N)=O)c(Cl)c1 ZINC000193310964 201027226 /nfs/dbraw/zinc/02/72/26/201027226.db2.gz NBVIPZJADGNHHW-ZETCQYMHSA-N -1 1 306.771 1.216 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cc2c(cc1F)NC(=O)CC2 ZINC000193344190 201035236 /nfs/dbraw/zinc/03/52/36/201035236.db2.gz KEOFHQCHPZRQNB-QMMMGPOBSA-N -1 1 316.354 1.487 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2c(c1)C[C@@H](C)O2)c1nn[n-]n1 ZINC000613471976 361433227 /nfs/dbraw/zinc/43/32/27/361433227.db2.gz IGPQLZGCKPGEEL-NXEZZACHSA-N -1 1 301.350 1.399 20 0 DDADMM CCC[C@](C)(O)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000359113188 299329303 /nfs/dbraw/zinc/32/93/03/299329303.db2.gz DHHOMMNMDOKYHG-INIZCTEOSA-N -1 1 320.393 1.262 20 0 DDADMM CC[C@@](C)(NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C1CC1 ZINC000618958047 363820357 /nfs/dbraw/zinc/82/03/57/363820357.db2.gz XTGUAWJIYJTRDK-CYBMUJFWSA-N -1 1 300.380 1.496 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H](CO)CC1CC1 ZINC000619042548 363867813 /nfs/dbraw/zinc/86/78/13/363867813.db2.gz VHRGJVCUFIQGCH-LBPRGKRZSA-N -1 1 321.421 1.927 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2C(C)(C)C2(F)F)o1 ZINC000619398414 363994066 /nfs/dbraw/zinc/99/40/66/363994066.db2.gz VVVNGLWOSBIIBR-MRVPVSSYSA-N -1 1 322.333 1.209 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(F)(F)C(C)(C)C)co1 ZINC000620614947 364492034 /nfs/dbraw/zinc/49/20/34/364492034.db2.gz FIRLCGPXLTWQLG-UHFFFAOYSA-N -1 1 324.349 1.599 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H](CC(F)(F)F)O1 ZINC000620804402 364560422 /nfs/dbraw/zinc/56/04/22/364560422.db2.gz FEJCCEFFFLOZBH-DTWKUNHWSA-N -1 1 304.268 1.969 20 0 DDADMM O=C(NCCOCCF)c1ccc(Br)c([O-])c1 ZINC000621091644 364669717 /nfs/dbraw/zinc/66/97/17/364669717.db2.gz JWFAZENYEHSXSU-UHFFFAOYSA-N -1 1 306.131 1.871 20 0 DDADMM CCn1nccc1CNC(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000622186391 365321985 /nfs/dbraw/zinc/32/19/85/365321985.db2.gz HLGIZKPOJLACQJ-UHFFFAOYSA-N -1 1 311.345 1.790 20 0 DDADMM CCn1nccc1CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000622186391 365322004 /nfs/dbraw/zinc/32/20/04/365322004.db2.gz HLGIZKPOJLACQJ-UHFFFAOYSA-N -1 1 311.345 1.790 20 0 DDADMM CN(C(=O)c1csc(=NC2CC2)[n-]1)C1CCC(CO)CC1 ZINC000622433396 365403562 /nfs/dbraw/zinc/40/35/62/365403562.db2.gz FXQZUBAIRZQWJS-UHFFFAOYSA-N -1 1 309.435 1.762 20 0 DDADMM CC(C)[C@@H]1CN(C(=O)c2s[n-]c(=O)c2Cl)CCN1C ZINC000622554723 365436046 /nfs/dbraw/zinc/43/60/46/365436046.db2.gz FVEWRPDOXCMYST-QMMMGPOBSA-N -1 1 303.815 1.914 20 0 DDADMM CCCCO[C@H](C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622609473 365450982 /nfs/dbraw/zinc/45/09/82/365450982.db2.gz ACUVYKZMMMCHNS-DGCLKSJQSA-N -1 1 303.366 1.611 20 0 DDADMM C[C@]1(C(N)=O)CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000279865079 291376225 /nfs/dbraw/zinc/37/62/25/291376225.db2.gz AMKHCCLHUSHIED-ZDUSSCGKSA-N -1 1 316.279 1.749 20 0 DDADMM COc1cc(C(=O)NC[C@H]2CC[C@H](CO)O2)cc(Cl)c1[O-] ZINC000349591353 283978000 /nfs/dbraw/zinc/97/80/00/283978000.db2.gz UVXLGGXVIZESJG-NXEZZACHSA-N -1 1 315.753 1.324 20 0 DDADMM C[C@H]1CN(C(=O)NCc2ccc3cncn3c2)CC[C@H]1C(=O)[O-] ZINC000626764555 367732538 /nfs/dbraw/zinc/73/25/38/367732538.db2.gz JNGHYGKKSDEMAM-SMDDNHRTSA-N -1 1 316.361 1.587 20 0 DDADMM COc1ccsc1S(=O)(=O)[N-]CC[C@H](O)C(F)(F)F ZINC000350014833 284132374 /nfs/dbraw/zinc/13/23/74/284132374.db2.gz QQUWWJBLIQAFEG-ZETCQYMHSA-N -1 1 319.326 1.348 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)N(C)Cc1c(F)cccc1Cl ZINC000262622036 203354619 /nfs/dbraw/zinc/35/46/19/203354619.db2.gz FFMHQSIEZQNDGV-UHFFFAOYSA-N -1 1 316.760 1.844 20 0 DDADMM Cc1ccnc(C(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)n1 ZINC000350823523 284264892 /nfs/dbraw/zinc/26/48/92/284264892.db2.gz ADGZEAMFBNYGRL-UHFFFAOYSA-N -1 1 324.337 1.548 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](CO)CC(C)(C)C ZINC000271697996 209134868 /nfs/dbraw/zinc/13/48/68/209134868.db2.gz UBRZKMGRZSUYTG-SECBINFHSA-N -1 1 313.423 1.739 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CSCCS2)c1 ZINC000282875651 217293947 /nfs/dbraw/zinc/29/39/47/217293947.db2.gz UXYAOFVNUAVRFY-LLVKDONJSA-N -1 1 313.400 1.966 20 0 DDADMM O=S(=O)([N-]CC(F)(F)F)c1cnn(CC(F)(F)F)c1 ZINC000288509278 220188557 /nfs/dbraw/zinc/18/85/57/220188557.db2.gz FRCOHTSWGVBHKZ-UHFFFAOYSA-N -1 1 311.207 1.286 20 0 DDADMM COC(=O)[C@H](CNC(=O)c1csc(=NC2CC2)[n-]1)CC1CC1 ZINC000566744823 304178530 /nfs/dbraw/zinc/17/85/30/304178530.db2.gz WYOCQQYCUFCMIV-JTQLQIEISA-N -1 1 323.418 1.458 20 0 DDADMM COc1ccc2c(c1)C1(CC1)CN(C(=O)CCc1nn[n-]n1)C2 ZINC000633144189 422778423 /nfs/dbraw/zinc/77/84/23/422778423.db2.gz RXNQZRRAIMOZRO-UHFFFAOYSA-N -1 1 313.361 1.215 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@H](C2CC2)O1 ZINC000296359537 248801158 /nfs/dbraw/zinc/80/11/58/248801158.db2.gz QTXFHBFLPUYMPX-BXKDBHETSA-N -1 1 318.402 1.658 20 0 DDADMM CC[C@H](C)[C@@H](O)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425208348 307302044 /nfs/dbraw/zinc/30/20/44/307302044.db2.gz SLANBGSKTYALGY-CABZTGNLSA-N -1 1 307.362 1.959 20 0 DDADMM Cc1n[nH]cc1S(=O)(=O)[N-]c1cc2c(cc1Cl)OCO2 ZINC000040825921 183137455 /nfs/dbraw/zinc/13/74/55/183137455.db2.gz XYRJOQVEQBWNBW-UHFFFAOYSA-N -1 1 315.738 1.901 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCC[C@H](C(=O)N(C)C)C2)c([O-])c1 ZINC000334080646 249207786 /nfs/dbraw/zinc/20/77/86/249207786.db2.gz URTDXVMAPLOWRY-RYUDHWBXSA-N -1 1 305.378 1.472 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cccc2ncccc21 ZINC000337150094 249366998 /nfs/dbraw/zinc/36/69/98/249366998.db2.gz KQQHWOZNIXEPPM-UHFFFAOYSA-N -1 1 309.329 1.300 20 0 DDADMM Cc1noc(C(C)(C)[N-]S(=O)(=O)c2cncc(Cl)c2)n1 ZINC000284708929 218143791 /nfs/dbraw/zinc/14/37/91/218143791.db2.gz QLDXWYXNLGMELO-UHFFFAOYSA-N -1 1 316.770 1.640 20 0 DDADMM O=c1cc(CN2CC[C@@H](CO)C2)c2cc(Cl)c([O-])cc2o1 ZINC000284824256 218193003 /nfs/dbraw/zinc/19/30/03/218193003.db2.gz AUGGYTVFCYPVNO-SECBINFHSA-N -1 1 309.749 1.966 20 0 DDADMM C[S@](=O)C1(C[N-]S(=O)(=O)c2sccc2F)CCC1 ZINC000338978198 250230953 /nfs/dbraw/zinc/23/09/53/250230953.db2.gz MUYOOFBSYUFVQY-KRWDZBQOSA-N -1 1 311.425 1.467 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2COc3ccccc3O2)n1 ZINC000339173821 250327377 /nfs/dbraw/zinc/32/73/77/250327377.db2.gz LNQGBJZXONPFMO-LBPRGKRZSA-N -1 1 317.301 1.365 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc3c(c2)OCO3)n1 ZINC000339162981 250322636 /nfs/dbraw/zinc/32/26/36/250322636.db2.gz BZCGUCXMGTYOBD-UHFFFAOYSA-N -1 1 317.301 1.496 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCC(=O)OC(C)C)cnc2n1 ZINC000339268517 250380343 /nfs/dbraw/zinc/38/03/43/250380343.db2.gz DPAVQZGAGMOFJC-UHFFFAOYSA-N -1 1 317.345 1.715 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCC(=O)OC(C)C)c2=O ZINC000339268517 250380346 /nfs/dbraw/zinc/38/03/46/250380346.db2.gz DPAVQZGAGMOFJC-UHFFFAOYSA-N -1 1 317.345 1.715 20 0 DDADMM CCOC(=O)c1cnc([N-]S(=O)(=O)CCC2CC2)n1C ZINC000285142036 218306774 /nfs/dbraw/zinc/30/67/74/218306774.db2.gz QWHMQBGQDSRAND-UHFFFAOYSA-N -1 1 301.368 1.139 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1ccc(F)cc1 ZINC000352136110 284813631 /nfs/dbraw/zinc/81/36/31/284813631.db2.gz UXCCKAATRHPPPQ-UHFFFAOYSA-N -1 1 307.281 1.308 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC=CC[C@@H]2C)c1 ZINC000359699906 299517193 /nfs/dbraw/zinc/51/71/93/299517193.db2.gz MRVUJQAZOVUKDE-CMPLNLGQSA-N -1 1 324.402 1.841 20 0 DDADMM Cn1nncc1CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000288600396 220276912 /nfs/dbraw/zinc/27/69/12/220276912.db2.gz MJXWBRANPQOAOE-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2c[n-]c3c(cnn3C)c2=O)[C@H](C)O1 ZINC000412650754 224064545 /nfs/dbraw/zinc/06/45/45/224064545.db2.gz KQXWRPGLQGTPEC-UTLUCORTSA-N -1 1 304.350 1.217 20 0 DDADMM COc1ccc(Cl)cc1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352430245 285045255 /nfs/dbraw/zinc/04/52/55/285045255.db2.gz DWAANRKMLXJZQN-UHFFFAOYSA-N -1 1 319.708 1.332 20 0 DDADMM COc1cc(NC(=O)c2ccncn2)ccc1[N-]S(C)(=O)=O ZINC000495026426 533018113 /nfs/dbraw/zinc/01/81/13/533018113.db2.gz PXBFHQHJYVWHKT-UHFFFAOYSA-N -1 1 322.346 1.109 20 0 DDADMM CCN(C(=O)c1coc(S(=O)(=O)[N-]C)c1)C1CCCC1 ZINC000120122069 195100835 /nfs/dbraw/zinc/10/08/35/195100835.db2.gz DQKRTICGPITKEK-UHFFFAOYSA-N -1 1 300.380 1.592 20 0 DDADMM COCCOC[C@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000435227275 533123154 /nfs/dbraw/zinc/12/31/54/533123154.db2.gz RXWKEBGNUBTOQU-QMMMGPOBSA-N -1 1 308.425 1.090 20 0 DDADMM C[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C(=O)OC(C)(C)C ZINC000425527169 296391905 /nfs/dbraw/zinc/39/19/05/296391905.db2.gz ZCCSVVFFFSHOPR-PWSUYJOCSA-N -1 1 310.398 1.051 20 0 DDADMM Cn1[nH]c([C@H]2CCCN2C(=O)c2cc(Cl)ccc2[O-])nc1=N ZINC000568048284 304264316 /nfs/dbraw/zinc/26/43/16/304264316.db2.gz IEDAOLCTIRXDES-SNVBAGLBSA-N -1 1 321.768 1.564 20 0 DDADMM COc1ccc(-c2nc(SCc3nn[n-]n3)n[nH]2)c(OC)c1 ZINC000435229636 533195140 /nfs/dbraw/zinc/19/51/40/533195140.db2.gz KAGCYDPQJFIBBF-UHFFFAOYSA-N -1 1 319.350 1.294 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@@H](C)CCSC)o1 ZINC000120727766 195225163 /nfs/dbraw/zinc/22/51/63/195225163.db2.gz CSDUPDCEOPXGLQ-VIFPVBQESA-N -1 1 320.436 1.401 20 0 DDADMM O=S(=O)([N-][C@H](CO)C1CCCC1)c1cccc(F)c1F ZINC000289503118 221023338 /nfs/dbraw/zinc/02/33/38/221023338.db2.gz LAFPYJFMOHBZJQ-LLVKDONJSA-N -1 1 305.346 1.794 20 0 DDADMM COC(C)(C)CS(=O)(=O)Nc1cccc(C(=O)[O-])c1O ZINC000414383376 224329576 /nfs/dbraw/zinc/32/95/76/224329576.db2.gz RCOSKHOGQGFRRT-UHFFFAOYSA-N -1 1 303.336 1.257 20 0 DDADMM CC(C)[C@@H]([N-]S(=O)(=O)c1c[nH]cn1)c1nc(C2CC2)no1 ZINC000121932976 195377306 /nfs/dbraw/zinc/37/73/06/195377306.db2.gz UCSBZFWXBGIENZ-SNVBAGLBSA-N -1 1 311.367 1.346 20 0 DDADMM O=S(=O)([N-]CCN1CCC1)c1ccc(Br)o1 ZINC000352942379 285402015 /nfs/dbraw/zinc/40/20/15/285402015.db2.gz NBCYWZXTEGBKKU-UHFFFAOYSA-N -1 1 309.185 1.026 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCCCSc1ccc(F)cc1 ZINC000635105139 422815343 /nfs/dbraw/zinc/81/53/43/422815343.db2.gz AQXIKNYDUHYJCA-UHFFFAOYSA-N -1 1 323.397 1.960 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)c1cncc(F)c1)c1ccc(C)o1 ZINC000353492159 285766702 /nfs/dbraw/zinc/76/67/02/285766702.db2.gz LFITXQJOZDGABS-LBPRGKRZSA-N -1 1 314.338 1.788 20 0 DDADMM Cc1ccc(N2CC[C@H](N3CCC[C@@H](C(=O)[O-])C3)C2=O)cc1 ZINC000262663766 292138291 /nfs/dbraw/zinc/13/82/91/292138291.db2.gz MNQQABOPRHAIMO-HIFRSBDPSA-N -1 1 302.374 1.897 20 0 DDADMM CO[C@H]1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)[C@@H](C)C1 ZINC000442899721 286056639 /nfs/dbraw/zinc/05/66/39/286056639.db2.gz QLSIPSTTZJIHHH-UWVGGRQHSA-N -1 1 304.350 1.313 20 0 DDADMM CO[C@H]1C[C@@H](CNC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000629821798 422826591 /nfs/dbraw/zinc/82/65/91/422826591.db2.gz LTJYTXWVQFSPQO-TXEJJXNPSA-N -1 1 318.377 1.135 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCC1CC(O)C1 ZINC000569665420 304372178 /nfs/dbraw/zinc/37/21/78/304372178.db2.gz GDHIUNVMBWYQDV-UHFFFAOYSA-N -1 1 307.394 1.679 20 0 DDADMM CC(C)C(=O)NCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000025873082 406901367 /nfs/dbraw/zinc/90/13/67/406901367.db2.gz KCCQRQUCALKNKE-UHFFFAOYSA-N -1 1 324.324 1.154 20 0 DDADMM Cc1ccsc1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000074161794 406903915 /nfs/dbraw/zinc/90/39/15/406903915.db2.gz HZHFMWZPLOZGGH-UHFFFAOYSA-N -1 1 316.386 1.939 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC[C@@H](C)C1 ZINC000037500710 406990756 /nfs/dbraw/zinc/99/07/56/406990756.db2.gz FHGWGXAWXHQYQV-ZJUUUORDSA-N -1 1 315.395 1.443 20 0 DDADMM Cc1ccc(C(=O)NCCNC(=O)c2cc(F)ccc2[O-])cc1 ZINC000080003813 407068295 /nfs/dbraw/zinc/06/82/95/407068295.db2.gz YDQFIAMDCGPPRW-UHFFFAOYSA-N -1 1 316.332 2.000 20 0 DDADMM NC(=O)CO[N-]C(=O)CCc1ccc(OC(F)(F)F)cc1 ZINC000089463722 407136062 /nfs/dbraw/zinc/13/60/62/407136062.db2.gz KNGSRWJCYLWSTJ-UHFFFAOYSA-N -1 1 306.240 1.051 20 0 DDADMM Cn1c(CNC(=O)[C@H]2CCOc3ccccc32)n[n-]c1=S ZINC000066625984 407256194 /nfs/dbraw/zinc/25/61/94/407256194.db2.gz HRTBPIALTIJIJV-JTQLQIEISA-N -1 1 304.375 1.660 20 0 DDADMM C[C@H]1CCC[C@H](CC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1 ZINC000101664086 407314494 /nfs/dbraw/zinc/31/44/94/407314494.db2.gz KOTAUHWXTLWQKY-IUCAKERBSA-N -1 1 300.384 1.363 20 0 DDADMM C[C@H]1CCC[C@H](CC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1 ZINC000101664086 407314495 /nfs/dbraw/zinc/31/44/95/407314495.db2.gz KOTAUHWXTLWQKY-IUCAKERBSA-N -1 1 300.384 1.363 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1ccnc(Cl)c1)c1nn[n-]n1 ZINC000124698317 407366612 /nfs/dbraw/zinc/36/66/12/407366612.db2.gz WSGCIOUOFGSFCZ-CDAZIORVSA-N -1 1 306.757 1.523 20 0 DDADMM CN(C)c1ccc(-c2cncc(C(=O)Nc3nnn[n-]3)c2)cc1 ZINC000125494925 407390087 /nfs/dbraw/zinc/39/00/87/407390087.db2.gz ZMFSJHBPNVBCNU-UHFFFAOYSA-N -1 1 309.333 1.580 20 0 DDADMM CN(C)c1ccc(-c2cncc(C(=O)Nc3nn[n-]n3)c2)cc1 ZINC000125494925 407390089 /nfs/dbraw/zinc/39/00/89/407390089.db2.gz ZMFSJHBPNVBCNU-UHFFFAOYSA-N -1 1 309.333 1.580 20 0 DDADMM C[C@H]1CN(CCCNC(=O)c2c(O)cccc2O)C[C@H](C)O1 ZINC000125620826 407393517 /nfs/dbraw/zinc/39/35/17/407393517.db2.gz VJNWOHDVARJAOU-RYUDHWBXSA-N -1 1 308.378 1.327 20 0 DDADMM CCCCN(C)C(=O)C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000111573117 407412085 /nfs/dbraw/zinc/41/20/85/407412085.db2.gz OCVIIBWSGPOYQR-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM CCC[C@H](C)NC(=O)[C@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000111588757 407412303 /nfs/dbraw/zinc/41/23/03/407412303.db2.gz DOLXRCXECLIUSI-STQMWFEESA-N -1 1 319.405 1.944 20 0 DDADMM Cc1ccccc1C1(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CC1 ZINC000113421897 407501795 /nfs/dbraw/zinc/50/17/95/407501795.db2.gz SQJWXVDDIDOMLY-UHFFFAOYSA-N -1 1 320.374 1.187 20 0 DDADMM Cc1ccccc1C1(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CC1 ZINC000113421897 407501802 /nfs/dbraw/zinc/50/18/02/407501802.db2.gz SQJWXVDDIDOMLY-UHFFFAOYSA-N -1 1 320.374 1.187 20 0 DDADMM CC1(C)[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)[C@@H]2CCO[C@H]21 ZINC000253544156 407458173 /nfs/dbraw/zinc/45/81/73/407458173.db2.gz PKHLUDLCDPLNCT-CYZMBNFOSA-N -1 1 313.357 1.879 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@H](O)C[C@H]1c1cccc(F)c1 ZINC000225490146 407591015 /nfs/dbraw/zinc/59/10/15/407591015.db2.gz ZGOAGJSKIFMKEM-CABCVRRESA-N -1 1 302.305 1.874 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(=O)OC(C)(C)C ZINC000152283914 407599908 /nfs/dbraw/zinc/59/99/08/407599908.db2.gz ASQYBZYDWOZBKK-MRVPVSSYSA-N -1 1 321.345 1.973 20 0 DDADMM O=C([O-])[C@@H]1CCCC[N@H+]1Cc1cc(=O)n2cc(Cl)ccc2n1 ZINC000261770326 407610374 /nfs/dbraw/zinc/61/03/74/407610374.db2.gz VYLJBXXEJJAWGQ-LBPRGKRZSA-N -1 1 321.764 1.787 20 0 DDADMM O=C(CCc1cccc(F)c1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129533209 407615096 /nfs/dbraw/zinc/61/50/96/407615096.db2.gz JBSHWOZGISTCEQ-NSHDSACASA-N -1 1 321.331 1.817 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)(F)F)c1ccc(F)cc1F ZINC000228545014 407648437 /nfs/dbraw/zinc/64/84/37/407648437.db2.gz CSCIKMBWCSXGCI-MRVPVSSYSA-N -1 1 305.224 1.166 20 0 DDADMM CCCCn1ncc(C(=O)N[C@H](CCC)c2nn[n-]n2)c1C ZINC000152567612 407653507 /nfs/dbraw/zinc/65/35/07/407653507.db2.gz MSWXAONLBPZBIT-GFCCVEGCSA-N -1 1 305.386 1.776 20 0 DDADMM O=C(NC[C@H]1CN(C2CC2)CCO1)c1cc(Cl)ccc1[O-] ZINC000115164816 407656729 /nfs/dbraw/zinc/65/67/29/407656729.db2.gz JQKGVARZVDMIBK-LBPRGKRZSA-N -1 1 310.781 1.639 20 0 DDADMM CCN(C)C(=O)[C@H]1CSCN1C(=O)c1cc(F)ccc1[O-] ZINC000271237342 407592256 /nfs/dbraw/zinc/59/22/56/407592256.db2.gz GUYRNXMBUOUSMO-LLVKDONJSA-N -1 1 312.366 1.525 20 0 DDADMM CC[C@H]1CCCC[C@H]1OCC(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000186431455 407666651 /nfs/dbraw/zinc/66/66/51/407666651.db2.gz OTENTQFGEYZPFJ-NWDGAFQWSA-N -1 1 310.398 1.132 20 0 DDADMM C[C@@H](NC(=O)c1cncc([O-])c1)c1ccc(-n2ccnn2)cc1 ZINC000179037681 407699465 /nfs/dbraw/zinc/69/94/65/407699465.db2.gz KLQSLICRMSTXPG-LLVKDONJSA-N -1 1 309.329 1.859 20 0 DDADMM CCc1nc(S(=O)(=O)Cc2cccc(OC(F)F)c2)n[n-]1 ZINC000153107050 407754167 /nfs/dbraw/zinc/75/41/67/407754167.db2.gz WYZPRVJPRLRIFJ-UHFFFAOYSA-N -1 1 317.317 1.942 20 0 DDADMM CC(C)NC(=O)[C@@H]1CCC[C@H](NC(=O)c2cncc([O-])c2)C1 ZINC000116453926 407757540 /nfs/dbraw/zinc/75/75/40/407757540.db2.gz JWHNVYPWKYSBBQ-YPMHNXCESA-N -1 1 305.378 1.600 20 0 DDADMM CCOC(=O)CN(C)C(=O)c1ccc(Br)c([O-])c1 ZINC000133309419 407829257 /nfs/dbraw/zinc/82/92/57/407829257.db2.gz XZHCOHGEDNCOEE-UHFFFAOYSA-N -1 1 316.151 1.790 20 0 DDADMM O=C(NCc1ccco1)[C@H]1CCCN1C(=O)c1cncc([O-])c1 ZINC000117982240 407874479 /nfs/dbraw/zinc/87/44/79/407874479.db2.gz GQDXRALLFFVRRB-CQSZACIVSA-N -1 1 315.329 1.301 20 0 DDADMM CN(C)C(=O)c1cccc(S(=O)(=O)[N-]c2cccc(O)c2)c1 ZINC000134605182 407912701 /nfs/dbraw/zinc/91/27/01/407912701.db2.gz UBIISBNJZAOFJI-UHFFFAOYSA-N -1 1 320.370 1.895 20 0 DDADMM CCOCc1ccc(CNC(=O)CN(C)CCC(=O)[O-])cc1 ZINC000262662134 407895441 /nfs/dbraw/zinc/89/54/41/407895441.db2.gz OBJRFIDWQNZAHA-UHFFFAOYSA-N -1 1 308.378 1.246 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(C[S@](C)=O)c1)c1nn[n-]n1 ZINC000268215958 407953364 /nfs/dbraw/zinc/95/33/64/407953364.db2.gz PKCNUHZRJMKBFY-YTEVENLXSA-N -1 1 321.406 1.349 20 0 DDADMM COc1cc(C(=O)N[C@@H]2CCCCNC2=O)cc(Cl)c1[O-] ZINC000134937874 407955735 /nfs/dbraw/zinc/95/57/35/407955735.db2.gz ROWRLTBKEOSSJR-SNVBAGLBSA-N -1 1 312.753 1.453 20 0 DDADMM COC[C@@](C)(NC(=O)c1cc2ccccc2cc1[O-])C(=O)OC ZINC000268230355 407959565 /nfs/dbraw/zinc/95/95/65/407959565.db2.gz KZLLUJFAYRNLJO-QGZVFWFLSA-N -1 1 317.341 1.853 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCc2ccccc2[C@H]1CO ZINC000188646644 407966657 /nfs/dbraw/zinc/96/66/57/407966657.db2.gz NAZVIOHDSUJFMO-OAHLLOKOSA-N -1 1 308.341 1.690 20 0 DDADMM CC[C@]1(C)CCCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000154088929 407969681 /nfs/dbraw/zinc/96/96/81/407969681.db2.gz ICCBNLBMQKAOJT-CQSZACIVSA-N -1 1 314.407 1.840 20 0 DDADMM CNC(=O)C(C)(C)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000180770395 407918372 /nfs/dbraw/zinc/91/83/72/407918372.db2.gz MHDQVVCYWFHBQB-UHFFFAOYSA-N -1 1 318.295 1.913 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2ccn(C)n2)c(=O)[n-]1 ZINC000272453207 407929470 /nfs/dbraw/zinc/92/94/70/407929470.db2.gz BHGDPYDYGVZMOG-UHFFFAOYSA-N -1 1 322.390 1.622 20 0 DDADMM CS(=O)(=O)C[C@@H](NC(=O)c1ncccc1[O-])c1ccccc1 ZINC000153943016 407936109 /nfs/dbraw/zinc/93/61/09/407936109.db2.gz DVLTYOCVJZKJFF-GFCCVEGCSA-N -1 1 320.370 1.303 20 0 DDADMM CC[C@H]1CCCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000119264122 408027712 /nfs/dbraw/zinc/02/77/12/408027712.db2.gz IDUIGSIITZJYIR-JTQLQIEISA-N -1 1 300.380 1.450 20 0 DDADMM O=C([O-])[C@@H](CC(F)(F)F)NC(=O)Cc1[nH]nc2ccccc21 ZINC000263049972 408012297 /nfs/dbraw/zinc/01/22/97/408012297.db2.gz RZIWPINPNSGQOI-SNVBAGLBSA-N -1 1 315.251 1.627 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000263232870 408067761 /nfs/dbraw/zinc/06/77/61/408067761.db2.gz QSFAUERLQUHNAF-MFKMUULPSA-N -1 1 314.345 1.797 20 0 DDADMM CCC[C@H](NC(=O)Cc1c(CC)noc1CC)c1nn[n-]n1 ZINC000136678619 408120240 /nfs/dbraw/zinc/12/02/40/408120240.db2.gz ZTKMYUBKJMBNJE-NSHDSACASA-N -1 1 306.370 1.513 20 0 DDADMM O=C(NCCN1CCCCC1)c1nn(-c2ccccc2)cc1[O-] ZINC000175419187 408126757 /nfs/dbraw/zinc/12/67/57/408126757.db2.gz AFIXIKSIWNRLKB-UHFFFAOYSA-N -1 1 314.389 1.794 20 0 DDADMM O=C([O-])[C@@H]1CCN(CC(=O)N[C@H](c2ccccc2)C2CC2)C1 ZINC000246176695 408166632 /nfs/dbraw/zinc/16/66/32/408166632.db2.gz BSLCREWLFDZMIT-GDBMZVCRSA-N -1 1 302.374 1.660 20 0 DDADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000263569108 408177888 /nfs/dbraw/zinc/17/78/88/408177888.db2.gz HIBNAHNMJPWYKR-VIFPVBQESA-N -1 1 324.299 1.013 20 0 DDADMM O=C(c1cc(-c2ccco2)on1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155623648 408190037 /nfs/dbraw/zinc/19/00/37/408190037.db2.gz YJSQQFCGGHMTPH-VIFPVBQESA-N -1 1 314.305 1.468 20 0 DDADMM COCCOc1cccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000273603035 408262981 /nfs/dbraw/zinc/26/29/81/408262981.db2.gz ZHUVRIDLZNNTLO-NSHDSACASA-N -1 1 319.365 1.101 20 0 DDADMM COc1cccc2c1OC[C@H](NC(=O)c1ncccc1[O-])C2 ZINC000126165783 161894595 /nfs/dbraw/zinc/89/45/95/161894595.db2.gz GXTZNGVRDQFZRM-LLVKDONJSA-N -1 1 300.314 1.529 20 0 DDADMM O=C(CN1CCCC[C@@H]1c1nnc[nH]1)[N-]OCc1ccccc1 ZINC000182673666 408217241 /nfs/dbraw/zinc/21/72/41/408217241.db2.gz WICYCTSDNGZMEA-CQSZACIVSA-N -1 1 315.377 1.580 20 0 DDADMM C[C@@H](c1cccnc1)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132628486 162041150 /nfs/dbraw/zinc/04/11/50/162041150.db2.gz JRIRHGMYITVTPC-JTQLQIEISA-N -1 1 311.345 1.902 20 0 DDADMM CN(C)c1cccc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)c1 ZINC000133857525 162068160 /nfs/dbraw/zinc/06/81/60/162068160.db2.gz MYQRNHQQAVNPJZ-UHFFFAOYSA-N -1 1 322.368 1.919 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])c1cn(-c2ccccc2)nn1 ZINC000134400287 162077938 /nfs/dbraw/zinc/07/79/38/162077938.db2.gz XUMKDLSBHDISOM-LLVKDONJSA-N -1 1 309.329 1.859 20 0 DDADMM O=C(NCCc1nnc2n1CCCC2)c1c([O-])cccc1F ZINC000166219989 162165830 /nfs/dbraw/zinc/16/58/30/162165830.db2.gz FKOOOMJNLPXQAC-UHFFFAOYSA-N -1 1 304.325 1.432 20 0 DDADMM CN(C)C(=O)Nc1cccc(CNC(=O)c2ncccc2[O-])c1 ZINC000176530065 408386418 /nfs/dbraw/zinc/38/64/18/408386418.db2.gz LKDYLXIDYVDVKU-UHFFFAOYSA-N -1 1 314.345 1.811 20 0 DDADMM Cc1cccc(O[C@H](C)C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183398930 408399156 /nfs/dbraw/zinc/39/91/56/408399156.db2.gz GPHHLAFZNGBLLS-NWDGAFQWSA-N -1 1 303.366 1.538 20 0 DDADMM CCc1ccc(CCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183402720 408402850 /nfs/dbraw/zinc/40/28/50/408402850.db2.gz ADMMPHYACHBJRY-GFCCVEGCSA-N -1 1 301.394 1.957 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccccc1OCC1CC1)c1nn[n-]n1 ZINC000183413220 408404925 /nfs/dbraw/zinc/40/49/25/408404925.db2.gz JQFHCVGRWUHEKI-NSHDSACASA-N -1 1 315.377 1.864 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1SCCc2sccc21)c1nn[n-]n1 ZINC000183437521 408410395 /nfs/dbraw/zinc/41/03/95/408410395.db2.gz NIRKPWRGVNRVPH-LDYMZIIASA-N -1 1 323.447 1.854 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H](O)C(F)(F)F)cc1F ZINC000269736575 408410968 /nfs/dbraw/zinc/41/09/68/408410968.db2.gz SPXLAZUYHGZVLO-SECBINFHSA-N -1 1 319.251 1.475 20 0 DDADMM C[C@H](CN(C)C(=O)CCC(=O)c1cccs1)c1nn[n-]n1 ZINC000183446759 408412913 /nfs/dbraw/zinc/41/29/13/408412913.db2.gz PHLBQJQXKJUVKO-SECBINFHSA-N -1 1 307.379 1.486 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C(F)(F)F)c1cc(F)c(F)c(F)c1 ZINC000269742904 408414217 /nfs/dbraw/zinc/41/42/17/408414217.db2.gz VKLPBLNAFXEXRO-SSDOTTSWSA-N -1 1 323.214 1.305 20 0 DDADMM C[C@@H](CN(C)C(=O)c1csc(C(C)(C)C)n1)c1nn[n-]n1 ZINC000274758920 408522882 /nfs/dbraw/zinc/52/28/82/408522882.db2.gz JGOVJHYMMBNOSO-QMMMGPOBSA-N -1 1 308.411 1.829 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cncn1-c1ccccc1)c1nn[n-]n1 ZINC000274764894 408524680 /nfs/dbraw/zinc/52/46/80/408524680.db2.gz KPOZOKQQFGINOZ-NSHDSACASA-N -1 1 311.349 1.261 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cnc(C)s1)[C@@H](O)C(F)(F)F ZINC000270867657 408716514 /nfs/dbraw/zinc/71/65/14/408716514.db2.gz SJMWZGKIVFZIHI-POYBYMJQSA-N -1 1 318.342 1.432 20 0 DDADMM CCNC(=O)CSc1nc(-c2ccccc2)c(C)c(=O)[n-]1 ZINC000185049545 408743967 /nfs/dbraw/zinc/74/39/67/408743967.db2.gz QABKTEKIEOBVSV-UHFFFAOYSA-N -1 1 303.387 1.974 20 0 DDADMM Cc1oc(C(=O)[O-])cc1S(=O)(=O)NC[C@@H](C)N(C)C1CC1 ZINC000184995421 408732384 /nfs/dbraw/zinc/73/23/84/408732384.db2.gz ZCOVXNNDSNROMO-MRVPVSSYSA-N -1 1 316.379 1.047 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCCC(=O)N(C)C)cnc2n1 ZINC000194672112 408791768 /nfs/dbraw/zinc/79/17/68/408791768.db2.gz UPGJCFUMJFMXIH-UHFFFAOYSA-N -1 1 316.361 1.242 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCCC(=O)N(C)C)c2=O ZINC000194672112 408791770 /nfs/dbraw/zinc/79/17/70/408791770.db2.gz UPGJCFUMJFMXIH-UHFFFAOYSA-N -1 1 316.361 1.242 20 0 DDADMM CCC[C@H](N[C@@H](C)C(=O)N[C@@H]1CCOc2ccccc21)C(=O)[O-] ZINC000185051483 408747716 /nfs/dbraw/zinc/74/77/16/408747716.db2.gz LQTPJCJIZSEKGV-YUTCNCBUSA-N -1 1 320.389 1.858 20 0 DDADMM CCOC(=O)c1csc([N-]c2ncnc3c2cnn3C)n1 ZINC000163090389 408759666 /nfs/dbraw/zinc/75/96/66/408759666.db2.gz WONNXWLGFPMZDV-UHFFFAOYSA-N -1 1 304.335 1.740 20 0 DDADMM CC(C)(C)OC(=O)NCCNC(=O)c1c(F)ccc([O-])c1F ZINC000280840209 408844567 /nfs/dbraw/zinc/84/45/67/408844567.db2.gz UUVYASUPYLUXJD-UHFFFAOYSA-N -1 1 316.304 1.925 20 0 DDADMM C[C@@H](NC(=O)c1c(F)ccc([O-])c1F)[C@H](C)N1CCOCC1 ZINC000280855115 408847917 /nfs/dbraw/zinc/84/79/17/408847917.db2.gz CWPQTRQRKIWWPX-ZJUUUORDSA-N -1 1 314.332 1.509 20 0 DDADMM COc1cccc(CCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1F ZINC000286223911 408944978 /nfs/dbraw/zinc/94/49/78/408944978.db2.gz XURYDTFIRXTWIE-SNVBAGLBSA-N -1 1 321.356 1.542 20 0 DDADMM CC[C@@H]1C[C@H]1[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000287219279 409004980 /nfs/dbraw/zinc/00/49/80/409004980.db2.gz FUHZVRJQSJSMAZ-HTQZYQBOSA-N -1 1 322.228 1.568 20 0 DDADMM O=C(C(=O)N1CC[C@@](O)(C(F)(F)F)C1)c1ccc([O-])cc1 ZINC000287695991 409077521 /nfs/dbraw/zinc/07/75/21/409077521.db2.gz QGNZGTZUMYJDIP-LBPRGKRZSA-N -1 1 303.236 1.101 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H](CCO)C(C)(C)C)ccnc1-2 ZINC000287721613 409082544 /nfs/dbraw/zinc/08/25/44/409082544.db2.gz SOBPICWWQRAMJT-SJWFJVLYSA-N -1 1 305.382 1.260 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2cncc3ccccc32)C1=O ZINC000278906924 409111302 /nfs/dbraw/zinc/11/13/02/409111302.db2.gz VRCLOOKUCWXTPR-MRXNPFEDSA-N -1 1 312.329 1.600 20 0 DDADMM CO[C@@]1(C)C[C@H](NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1(C)C ZINC000279255833 409179583 /nfs/dbraw/zinc/17/95/83/409179583.db2.gz IHXUUMDDAZVZOE-MEEXTDJPSA-N -1 1 317.393 1.667 20 0 DDADMM O=c1cc(CN2CCOCC(F)(F)C2)c2ccc([O-])cc2o1 ZINC000289151517 409225649 /nfs/dbraw/zinc/22/56/49/409225649.db2.gz ZODLFPQXRDDIIS-UHFFFAOYSA-N -1 1 311.284 1.966 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCCC2(C)C)o1 ZINC000294030413 409228412 /nfs/dbraw/zinc/22/84/12/409228412.db2.gz CZMBDNCKDCRSMD-JTQLQIEISA-N -1 1 314.407 1.744 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCC(C)(C)C2CC2)o1 ZINC000294102092 409240748 /nfs/dbraw/zinc/24/07/48/409240748.db2.gz JHPKXSVKVQKKHQ-UHFFFAOYSA-N -1 1 314.407 1.744 20 0 DDADMM CC(C)c1noc(CCC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)n1 ZINC000279595171 409244189 /nfs/dbraw/zinc/24/41/89/409244189.db2.gz FUYSHSVEGBGPDN-WQRHYEAKSA-N -1 1 314.349 1.420 20 0 DDADMM CCc1noc(C)c1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294134538 409246622 /nfs/dbraw/zinc/24/66/22/409246622.db2.gz WKDBHLAHYQEWDL-UHFFFAOYSA-N -1 1 317.374 1.807 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cnc(C(C)C)nc2)o1 ZINC000279828994 409248366 /nfs/dbraw/zinc/24/83/66/409248366.db2.gz CAWIZSHMAKQBLQ-UHFFFAOYSA-N -1 1 324.362 1.353 20 0 DDADMM Cc1noc(C(C)(C)[N-]S(=O)(=O)c2cccc3nonc32)n1 ZINC000284113781 409278017 /nfs/dbraw/zinc/27/80/17/409278017.db2.gz BYRAESOOQRQBCJ-UHFFFAOYSA-N -1 1 323.334 1.128 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CCC1)c1ccc(F)c(F)c1F ZINC000280307350 409288872 /nfs/dbraw/zinc/28/88/72/409288872.db2.gz RWBOPAAMSBQLDT-VIFPVBQESA-N -1 1 323.336 1.933 20 0 DDADMM C[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@H]1CCCCO1 ZINC000295059484 409310941 /nfs/dbraw/zinc/31/09/41/409310941.db2.gz PHXWBQIJVOXDEX-GXSJLCMTSA-N -1 1 306.391 1.705 20 0 DDADMM C[C@@H](OCCc1ccccc1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000295981200 409389874 /nfs/dbraw/zinc/38/98/74/409389874.db2.gz LEXOQZPGZHMJBJ-QWHCGFSZSA-N -1 1 317.393 1.409 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)c2cscn2)C1)C(F)(F)F ZINC000290417675 409392658 /nfs/dbraw/zinc/39/26/58/409392658.db2.gz RKVSXSBQSRCJOW-QMMMGPOBSA-N -1 1 321.324 1.674 20 0 DDADMM CCCCOC(=O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295386338 409462585 /nfs/dbraw/zinc/46/25/85/409462585.db2.gz YAUDGYPIQWPSRD-UHFFFAOYSA-N -1 1 308.363 1.091 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC[C@H]1O)c1ccc(Br)o1 ZINC000408213884 164263841 /nfs/dbraw/zinc/26/38/41/164263841.db2.gz JJBWSYGLJKJUHC-HTQZYQBOSA-N -1 1 324.196 1.481 20 0 DDADMM C[C@@H]1CN(C)C[C@H]1C(=O)N=c1nc(-c2ccccc2)[n-]s1 ZINC000341929133 409519414 /nfs/dbraw/zinc/51/94/14/409519414.db2.gz LSDSKBVZFUTHSK-ZYHUDNBSSA-N -1 1 302.403 1.763 20 0 DDADMM COc1nn(C)cc1NC(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC000356703377 409535500 /nfs/dbraw/zinc/53/55/00/409535500.db2.gz RRZHAFAGBKERBO-UHFFFAOYSA-N -1 1 301.306 1.973 20 0 DDADMM C[C@H](CCS(C)(=O)=O)NC(=O)c1c(F)ccc([O-])c1F ZINC000348849437 409551670 /nfs/dbraw/zinc/55/16/70/409551670.db2.gz QNHWFECJSHJSRI-SSDOTTSWSA-N -1 1 307.318 1.223 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])[C@H]1C ZINC000337940494 409583080 /nfs/dbraw/zinc/58/30/80/409583080.db2.gz KGTIALRHTAXEFO-WOPDTQHZSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1nc2ccccc2n1CCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000356783809 409605318 /nfs/dbraw/zinc/60/53/18/409605318.db2.gz UCRVFGPEXAIVLU-UHFFFAOYSA-N -1 1 311.349 1.053 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1cccc(C(=O)[O-])c1C ZINC000349087692 409683834 /nfs/dbraw/zinc/68/38/34/409683834.db2.gz WJJKCBZOFWWSFG-UHFFFAOYSA-N -1 1 309.347 1.536 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NC[C@H]1CCc2ccccc21 ZINC000331653397 409734737 /nfs/dbraw/zinc/73/47/37/409734737.db2.gz MMTBIFVCCQHCPJ-LLVKDONJSA-N -1 1 307.375 1.404 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCc2ccccc21 ZINC000331653397 409734752 /nfs/dbraw/zinc/73/47/52/409734752.db2.gz MMTBIFVCCQHCPJ-LLVKDONJSA-N -1 1 307.375 1.404 20 0 DDADMM CC[C@H](O[C@H]1CCC[C@@H](C)C1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357056295 409823616 /nfs/dbraw/zinc/82/36/16/409823616.db2.gz OIFGTYXGLAVLDH-WOPDTQHZSA-N -1 1 307.398 1.679 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2C[C@H]2C(F)F)c1Br ZINC000338227785 409818745 /nfs/dbraw/zinc/81/87/45/409818745.db2.gz PVSFLZFDAYZESC-RFZPGFLSSA-N -1 1 308.126 1.996 20 0 DDADMM CC[C@@H](CCNS(=O)(=O)c1c(F)cccc1F)C(=O)[O-] ZINC000297284571 409892913 /nfs/dbraw/zinc/89/29/13/409892913.db2.gz UHXFVRPONCQBKM-QMMMGPOBSA-N -1 1 307.318 1.744 20 0 DDADMM Cc1ccc2c(C[N@H+]3C[C@@H](C)O[C@@H](CO)C3)ccc(O)c2n1 ZINC000338391440 409954409 /nfs/dbraw/zinc/95/44/09/409954409.db2.gz VSJSRPDYGKLFMH-TZMCWYRMSA-N -1 1 302.374 1.830 20 0 DDADMM CC[C@@H](C(=O)OC)C([O-])=Nc1nc(-c2ccsc2)ns1 ZINC000338813633 410038746 /nfs/dbraw/zinc/03/87/46/410038746.db2.gz GNWHAJYPRNKHRW-MRVPVSSYSA-N -1 1 311.388 1.826 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@@H]1CCC[C@H]2CCCC[C@@H]21 ZINC000297777009 409995955 /nfs/dbraw/zinc/99/59/55/409995955.db2.gz QIIOYBKAOGMXSG-OUAUKWLOSA-N -1 1 305.382 1.709 20 0 DDADMM COC(=O)c1cncc(S(=O)(=O)[N-][C@@H](C)C(F)(F)F)c1 ZINC000571395271 304458386 /nfs/dbraw/zinc/45/83/86/304458386.db2.gz UUIIRJWJRQNHJF-LURJTMIESA-N -1 1 312.269 1.097 20 0 DDADMM CCc1cnccc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332306299 410054432 /nfs/dbraw/zinc/05/44/32/410054432.db2.gz ITFDJADZPNEQSK-NSHDSACASA-N -1 1 302.334 1.752 20 0 DDADMM COC(=O)c1c(F)cccc1S(=O)(=O)[N-][C@@H]1CCC[C@@H]1F ZINC000339122094 410059137 /nfs/dbraw/zinc/05/91/37/410059137.db2.gz HRTQWABMZUFTGL-WCBMZHEXSA-N -1 1 319.329 1.781 20 0 DDADMM CN1CC[C@@H](c2nc(-c3ccc([O-])c(F)c3)no2)S1(=O)=O ZINC000351361531 410066599 /nfs/dbraw/zinc/06/65/99/410066599.db2.gz FXTJVFRTHQXQNJ-JTQLQIEISA-N -1 1 313.310 1.288 20 0 DDADMM CC(C)(NC(=O)[C@H]1C[C@H]1c1c(F)cccc1F)c1nn[n-]n1 ZINC000354793875 410093089 /nfs/dbraw/zinc/09/30/89/410093089.db2.gz UDBUGFCRJHSHFZ-SFYZADRCSA-N -1 1 307.304 1.633 20 0 DDADMM CCCC[C@@H]1CCC[C@@H]1NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000357586187 410121412 /nfs/dbraw/zinc/12/14/12/410121412.db2.gz RIHYTKCRBUZRIR-ZJUUUORDSA-N -1 1 309.366 1.985 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)CC1(O)CCC1 ZINC000332464641 410176075 /nfs/dbraw/zinc/17/60/75/410176075.db2.gz KFGFHWPBDFWBRI-UHFFFAOYSA-N -1 1 307.394 1.775 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@H](CO)[C@H](C)C3)nc2)[n-]c(=O)c1C ZINC000357673357 410179683 /nfs/dbraw/zinc/17/96/83/410179683.db2.gz LAZCEGAADBAQMK-QMTHXVAHSA-N -1 1 314.389 1.926 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCOCC2(CC2)C1 ZINC000329327708 410237245 /nfs/dbraw/zinc/23/72/45/410237245.db2.gz KAEORYICFLTCHF-UHFFFAOYSA-N -1 1 309.391 1.465 20 0 DDADMM COc1cc(NC(=O)N[C@H]2C[C@H]2C)ccc1[N-]S(C)(=O)=O ZINC000355007017 410234090 /nfs/dbraw/zinc/23/40/90/410234090.db2.gz PRDUOPYIQDDRQF-KCJUWKMLSA-N -1 1 313.379 1.597 20 0 DDADMM Cc1ccc(C(=O)C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1 ZINC000351852110 410290748 /nfs/dbraw/zinc/29/07/48/410290748.db2.gz KAJSJGYNBDQANB-UHFFFAOYSA-N -1 1 315.329 1.673 20 0 DDADMM O=S(=O)([N-][C@H]1CC12CCOCC2)c1cc(F)c(F)cc1F ZINC000333126951 410371538 /nfs/dbraw/zinc/37/15/38/410371538.db2.gz WEPGTLREQIVZIS-LBPRGKRZSA-N -1 1 321.320 1.951 20 0 DDADMM COC[C@]1(C)CN(C(=O)c2csc(=NC3CC3)[n-]2)CCO1 ZINC000629900654 422857868 /nfs/dbraw/zinc/85/78/68/422857868.db2.gz CXDNPHKWOCVHAJ-AWEZNQCLSA-N -1 1 311.407 1.017 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCN(C(C)C)C2=O)sc1C ZINC000333166266 410399235 /nfs/dbraw/zinc/39/92/35/410399235.db2.gz OSFUQGBMTQYDQR-JTQLQIEISA-N -1 1 317.436 1.048 20 0 DDADMM COC(=O)c1ccc(CN(C)C(=O)c2cncc([O-])c2)cc1 ZINC000339831615 410487900 /nfs/dbraw/zinc/48/79/00/410487900.db2.gz WXOFYRAVCBATHM-UHFFFAOYSA-N -1 1 300.314 1.846 20 0 DDADMM C[C@H]1CN(C2CC2)C[C@@H]1NS(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000355469551 410533913 /nfs/dbraw/zinc/53/39/13/410533913.db2.gz HVVYUBAXYKHALQ-HZMBPMFUSA-N -1 1 324.402 1.146 20 0 DDADMM Cn1[n-]c(CN2CCC[C@@H]2CC(=O)c2cccs2)nc1=O ZINC000347479750 410575331 /nfs/dbraw/zinc/57/53/31/410575331.db2.gz GOOXJGHGOYSXFO-SNVBAGLBSA-N -1 1 306.391 1.407 20 0 DDADMM O=C(NCc1noc(-c2ccccc2F)n1)c1cncc([O-])c1 ZINC000355476767 410537959 /nfs/dbraw/zinc/53/79/59/410537959.db2.gz DOLYGHBVLODVRG-UHFFFAOYSA-N -1 1 314.276 1.906 20 0 DDADMM CC(C)Oc1ccc(NC(=O)c2cncc([O-])c2)cc1C(N)=O ZINC000355498582 410550521 /nfs/dbraw/zinc/55/05/21/410550521.db2.gz NZUDFUXQXUBKNB-UHFFFAOYSA-N -1 1 315.329 1.926 20 0 DDADMM Cc1cc(O)ccc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343721974 410646667 /nfs/dbraw/zinc/64/66/67/410646667.db2.gz XNWVWAJEJBOKKN-UHFFFAOYSA-N -1 1 301.302 1.170 20 0 DDADMM CC[N@H+]1CCN(C(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)[C@@H](C)C1 ZINC000359585127 410749094 /nfs/dbraw/zinc/74/90/94/410749094.db2.gz BHODZKLAQKBHQS-NSHDSACASA-N -1 1 316.361 1.196 20 0 DDADMM CCN1CCN(C(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)[C@@H](C)C1 ZINC000359585127 410749099 /nfs/dbraw/zinc/74/90/99/410749099.db2.gz BHODZKLAQKBHQS-NSHDSACASA-N -1 1 316.361 1.196 20 0 DDADMM CCCc1nc(=NC(=O)c2cccc(-c3nnc[nH]3)c2)s[n-]1 ZINC000348228088 410866162 /nfs/dbraw/zinc/86/61/62/410866162.db2.gz WHNKQFSYBLOENH-UHFFFAOYSA-N -1 1 314.374 1.950 20 0 DDADMM CS(=O)(=O)C1(CN=c2nc(C3CC3)[n-]s2)CCCC1 ZINC000343926626 410819646 /nfs/dbraw/zinc/81/96/46/410819646.db2.gz YPOGXLYHLTWHFC-UHFFFAOYSA-N -1 1 301.437 1.607 20 0 DDADMM O=C(Cc1c(F)ccc(Br)c1F)Nc1nnn[n-]1 ZINC000337490401 410821465 /nfs/dbraw/zinc/82/14/65/410821465.db2.gz DNUUALSYGMFNBA-UHFFFAOYSA-N -1 1 318.081 1.422 20 0 DDADMM O=C(Cc1c(F)ccc(Br)c1F)Nc1nn[n-]n1 ZINC000337490401 410821471 /nfs/dbraw/zinc/82/14/71/410821471.db2.gz DNUUALSYGMFNBA-UHFFFAOYSA-N -1 1 318.081 1.422 20 0 DDADMM COc1ccc2[nH]cc(CC(=O)NC3(c4nn[n-]n4)CC3)c2c1 ZINC000348284646 410898252 /nfs/dbraw/zinc/89/82/52/410898252.db2.gz VWFPBDGPIGQTLQ-UHFFFAOYSA-N -1 1 312.333 1.038 20 0 DDADMM CC[C@@H](Oc1ccccc1Cl)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348298710 410906315 /nfs/dbraw/zinc/90/63/15/410906315.db2.gz SSMNTFIKLHBUIM-SNVBAGLBSA-N -1 1 321.768 1.816 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@](C)(O)C(C)C ZINC000353291210 410906686 /nfs/dbraw/zinc/90/66/86/410906686.db2.gz NGXSYJSTCHSQAM-HNNXBMFYSA-N -1 1 306.366 1.075 20 0 DDADMM Cc1cnc(C(=O)N2CCN(Cc3cscn3)CC2)c([O-])c1 ZINC000331147875 410979744 /nfs/dbraw/zinc/97/97/44/410979744.db2.gz MSJICDWQZJUIDD-UHFFFAOYSA-N -1 1 318.402 1.510 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)Nc2cncnc2)o1 ZINC000341289020 410985368 /nfs/dbraw/zinc/98/53/68/410985368.db2.gz PUPYERZFVJKKJS-UHFFFAOYSA-N -1 1 324.362 1.399 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cc(NC(C)=O)ccc1F ZINC000337757904 410986149 /nfs/dbraw/zinc/98/61/49/410986149.db2.gz MZCRIOFIHNHXGO-MRVPVSSYSA-N -1 1 304.343 1.561 20 0 DDADMM O=S(=O)([N-]CC(F)(F)C(F)F)c1cccc2c1OCO2 ZINC000337782743 410997021 /nfs/dbraw/zinc/99/70/21/410997021.db2.gz UUOLGPIMFLSOJS-UHFFFAOYSA-N -1 1 315.244 1.594 20 0 DDADMM COc1cc(C(=O)[N-]c2ccc(-c3nc[nH]n3)cc2F)on1 ZINC000344308964 411085881 /nfs/dbraw/zinc/08/58/81/411085881.db2.gz HYFRDUJAIBRXRR-UHFFFAOYSA-N -1 1 303.253 1.860 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2sc(C)nc2C)n1 ZINC000331210594 411020243 /nfs/dbraw/zinc/02/02/43/411020243.db2.gz IBMBGYPLERKBEM-RXMQYKEDSA-N -1 1 302.381 1.491 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC000353490848 411028328 /nfs/dbraw/zinc/02/83/28/411028328.db2.gz LJMLAHFOSRZNCF-QWRGUYRKSA-N -1 1 315.377 1.505 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC(=O)N(CC(F)(F)F)C1 ZINC000331229216 411030268 /nfs/dbraw/zinc/03/02/68/411030268.db2.gz GCSOYZWNLAJZGA-UHFFFAOYSA-N -1 1 306.215 1.336 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@@H]3CCC[C@H]3O)c[n-]c2[nH+]1 ZINC000360088715 411039426 /nfs/dbraw/zinc/03/94/26/411039426.db2.gz PZWYHPBCKMVSHP-GXFFZTMASA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NC[C@@H]3CCC[C@H]3O)c[n-]c2n1 ZINC000360088715 411039434 /nfs/dbraw/zinc/03/94/34/411039434.db2.gz PZWYHPBCKMVSHP-GXFFZTMASA-N -1 1 301.346 1.535 20 0 DDADMM CCC[C@@H](NC(=O)c1cc2c(cc1OC)OCO2)c1nn[n-]n1 ZINC000353840094 411134734 /nfs/dbraw/zinc/13/47/34/411134734.db2.gz DOLOILSZOMHSGB-SECBINFHSA-N -1 1 319.321 1.208 20 0 DDADMM C[C@@H]1CCC[C@@H](CN=c2ccc(C(=O)NCCO)n[n-]2)[C@@H]1C ZINC000360272401 411118489 /nfs/dbraw/zinc/11/84/89/411118489.db2.gz BZWLUBDDVCHFHT-UPJWGTAASA-N -1 1 306.410 1.105 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2CCC(C)(C)CC2)o1 ZINC000129260532 196033589 /nfs/dbraw/zinc/03/35/89/196033589.db2.gz SUXIMOBBUUHVHN-UHFFFAOYSA-N -1 1 314.407 1.886 20 0 DDADMM O=C([O-])c1cnc2ccccc2c1N1C[C@@H]2COC[C@H](C1)O2 ZINC000580080775 422885041 /nfs/dbraw/zinc/88/50/41/422885041.db2.gz QKZFNKRUIBKMQA-PHIMTYICSA-N -1 1 300.314 1.537 20 0 DDADMM CC[C@@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])CCO1 ZINC000631712481 422891055 /nfs/dbraw/zinc/89/10/55/422891055.db2.gz NXLUJHCDBJUYJH-SNVBAGLBSA-N -1 1 301.364 1.200 20 0 DDADMM CC[C@@H]1CO[C@@H](C)CN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631728381 422896910 /nfs/dbraw/zinc/89/69/10/422896910.db2.gz ONNFZAGODBQYCD-WDEREUQCSA-N -1 1 315.391 1.589 20 0 DDADMM COc1cccc(NC(=O)CN2CC[C@@H](C)[C@@H](C(=O)[O-])C2)c1 ZINC000580647154 422946642 /nfs/dbraw/zinc/94/66/42/422946642.db2.gz SUNZZHGPCLIYFX-RISCZKNCSA-N -1 1 306.362 1.676 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC[C@H](CO)[C@H](O)C1 ZINC000649862296 422964626 /nfs/dbraw/zinc/96/46/26/422964626.db2.gz PVUGUONPDVESGR-BXKDBHETSA-N -1 1 319.279 1.226 20 0 DDADMM CC(C)(CN=c1nc(C(F)(F)F)[n-]s1)[C@]1(O)CCOC1 ZINC000645365897 423006756 /nfs/dbraw/zinc/00/67/56/423006756.db2.gz KOZDCPAYGAQROL-JTQLQIEISA-N -1 1 311.329 1.568 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCC[C@H]1O)c1c(F)cccc1Cl ZINC000132896878 196294608 /nfs/dbraw/zinc/29/46/08/196294608.db2.gz SXSQBJFHPZSWNM-GZMMTYOYSA-N -1 1 307.774 1.918 20 0 DDADMM CC(C)(C)n1nnnc1CN1CCC[C@H](C(C)(C)C(=O)[O-])C1 ZINC000652498818 423042970 /nfs/dbraw/zinc/04/29/70/423042970.db2.gz UTULWZMRVRTOFL-NSHDSACASA-N -1 1 309.414 1.751 20 0 DDADMM Cc1nn(C)c(C)c1-c1cc(C(=O)Nc2c(C)[n-][nH]c2=O)[nH]n1 ZINC000647815608 423059493 /nfs/dbraw/zinc/05/94/93/423059493.db2.gz DMPQGIRCXMIUDF-UHFFFAOYSA-N -1 1 315.337 1.416 20 0 DDADMM COc1ccc2c(c1)C=C(C(=O)Nc1c(C)[n-][nH]c1=O)CO2 ZINC000647816561 423060010 /nfs/dbraw/zinc/06/00/10/423060010.db2.gz KPQRUUVLLUKYEA-UHFFFAOYSA-N -1 1 301.302 1.847 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnccc1-n1cccn1)C1CC1 ZINC000571643114 304477355 /nfs/dbraw/zinc/47/73/55/304477355.db2.gz ZMMBPXPPPDHIPK-CQSZACIVSA-N -1 1 322.390 1.434 20 0 DDADMM CSc1nc(CNC(=O)c2cnc3n2CCCC3)cc(=O)[n-]1 ZINC000640656202 423119017 /nfs/dbraw/zinc/11/90/17/423119017.db2.gz PFTCXJLKDOCWOG-UHFFFAOYSA-N -1 1 319.390 1.367 20 0 DDADMM CSc1nc(CNC(=O)c2cc(N(C)C)ccn2)cc(=O)[n-]1 ZINC000640658912 423119111 /nfs/dbraw/zinc/11/91/11/423119111.db2.gz TVUKHONTEFRYQT-UHFFFAOYSA-N -1 1 319.390 1.295 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)[C@@H]1CC12CC2 ZINC000643350223 423120780 /nfs/dbraw/zinc/12/07/80/423120780.db2.gz CLEOYUVEOFRSNC-GZMMTYOYSA-N -1 1 321.743 1.998 20 0 DDADMM O=C(N=c1ccc(=O)[n-][nH]1)C1(c2ccccc2)CC(F)(F)C1 ZINC000366047776 418422083 /nfs/dbraw/zinc/42/20/83/418422083.db2.gz NIJYTSAMFYCQRL-UHFFFAOYSA-N -1 1 305.284 1.910 20 0 DDADMM C[C@@H]1[C@H](C(=O)N2CCO[C@H](c3nn[n-]n3)C2)[C@H]1c1ccccc1 ZINC000373751097 418457640 /nfs/dbraw/zinc/45/76/40/418457640.db2.gz JTZDWCGLMXYLLO-DEQVHRJGSA-N -1 1 313.361 1.149 20 0 DDADMM CCN(C(=O)c1cc2ccccc2cc1[O-])[C@@H]1CC(=O)NC1=O ZINC000179495456 221896820 /nfs/dbraw/zinc/89/68/20/221896820.db2.gz OVVJDXBVCQHEFA-CYBMUJFWSA-N -1 1 312.325 1.423 20 0 DDADMM O=C(N[C@H]1CC(=O)N(c2ccccc2F)C1)c1ncccc1[O-] ZINC000360937033 418550870 /nfs/dbraw/zinc/55/08/70/418550870.db2.gz HYCTZEMGBMQLPL-JTQLQIEISA-N -1 1 315.304 1.462 20 0 DDADMM Cn1cc2c(n1)CCC[C@H]2C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000374687501 418555494 /nfs/dbraw/zinc/55/54/94/418555494.db2.gz OGXHEFWITABTAP-ICHPLGEASA-N -1 1 310.361 1.134 20 0 DDADMM CCOCc1nc([C@@H](C)NC(=O)c2c([O-])cccc2F)no1 ZINC000291213434 222227125 /nfs/dbraw/zinc/22/71/25/222227125.db2.gz OADVUUXNHDWPCH-MRVPVSSYSA-N -1 1 309.297 1.942 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC3(CCC3)[C@H]2C2CC2)co1 ZINC000291270516 222274299 /nfs/dbraw/zinc/27/42/99/222274299.db2.gz ZKAUAOADYOCSNS-CYBMUJFWSA-N -1 1 324.402 1.592 20 0 DDADMM CCOC1CC(C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000291405894 222369865 /nfs/dbraw/zinc/36/98/65/222369865.db2.gz AAFDNAFXODKSOH-UHFFFAOYSA-N -1 1 318.377 1.071 20 0 DDADMM CC(=O)CCc1ccc(O[C@@H](C)C(=O)Nc2nnn[n-]2)cc1 ZINC000342850758 418629824 /nfs/dbraw/zinc/62/98/24/418629824.db2.gz AWQIIZOLWPIIQJ-JTQLQIEISA-N -1 1 303.322 1.127 20 0 DDADMM CC(=O)CCc1ccc(O[C@@H](C)C(=O)Nc2nn[n-]n2)cc1 ZINC000342850758 418629826 /nfs/dbraw/zinc/62/98/26/418629826.db2.gz AWQIIZOLWPIIQJ-JTQLQIEISA-N -1 1 303.322 1.127 20 0 DDADMM Cc1c(C(=O)Nc2nnn[n-]2)cnn1-c1ccc(Cl)cc1 ZINC000342851466 418630369 /nfs/dbraw/zinc/63/03/69/418630369.db2.gz GWNOZXRTDXTXDB-UHFFFAOYSA-N -1 1 303.713 1.600 20 0 DDADMM Cc1c(C(=O)Nc2nn[n-]n2)cnn1-c1ccc(Cl)cc1 ZINC000342851466 418630372 /nfs/dbraw/zinc/63/03/72/418630372.db2.gz GWNOZXRTDXTXDB-UHFFFAOYSA-N -1 1 303.713 1.600 20 0 DDADMM O=C(N[C@@H]1CCC(=O)N[C@@H]1C1CC1)c1ccc(Cl)cc1[O-] ZINC000375396281 418634757 /nfs/dbraw/zinc/63/47/57/418634757.db2.gz CTCXJQGIGCRQTM-BXUZGUMPSA-N -1 1 308.765 1.833 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CC[C@H]2C(=O)NC[C@@H]2C1 ZINC000368247049 418710052 /nfs/dbraw/zinc/71/00/52/418710052.db2.gz WQWTUMXPNOLOLK-ZIAGYGMSSA-N -1 1 310.353 1.754 20 0 DDADMM C[C@H]1[C@@H](CO)CCN1C(=O)c1cc(Br)ccc1[O-] ZINC000386214862 418738858 /nfs/dbraw/zinc/73/88/58/418738858.db2.gz DVRYWNAVUQJBGJ-DTWKUNHWSA-N -1 1 314.179 1.998 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)NC[C@@H]1C[N@@H+](Cc2ccccc2)CCCO1 ZINC000390750912 418755807 /nfs/dbraw/zinc/75/58/07/418755807.db2.gz VSEWTZURCOGCAM-UKRRQHHQSA-N -1 1 320.389 1.114 20 0 DDADMM O=C(Nc1nc(-c2ccco2)n[nH]1)C1C[C@@H]2COC[C@H](C1)C2=O ZINC000365472227 418865914 /nfs/dbraw/zinc/86/59/14/418865914.db2.gz XHEZMFBPIIMQEL-PBINXNQUSA-N -1 1 316.317 1.245 20 0 DDADMM CC(C)Oc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)nc1 ZINC000365591571 418879916 /nfs/dbraw/zinc/87/99/16/418879916.db2.gz VUHXXPBHUIMCQV-LLVKDONJSA-N -1 1 316.365 1.402 20 0 DDADMM Cc1ccc(CCCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)s1 ZINC000372680690 418891767 /nfs/dbraw/zinc/89/17/67/418891767.db2.gz IZUFHAWZZFBGJO-LBPRGKRZSA-N -1 1 321.406 1.492 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1scnc1C(C)C ZINC000410661602 418836667 /nfs/dbraw/zinc/83/66/67/418836667.db2.gz JLFZUXNLCAKOGS-UHFFFAOYSA-N -1 1 322.390 1.851 20 0 DDADMM CCn1nccc1S(=O)(=O)[N-]c1ccccc1-c1nnc[nH]1 ZINC000373229423 418932553 /nfs/dbraw/zinc/93/25/53/418932553.db2.gz MNRJZIDOLQISNM-UHFFFAOYSA-N -1 1 318.362 1.489 20 0 DDADMM CS(=O)(=O)CCCCNC(=O)c1cn[nH]c1-c1ccccn1 ZINC000424939363 228337286 /nfs/dbraw/zinc/33/72/86/228337286.db2.gz WMBDUQJKKOEKBJ-UHFFFAOYSA-N -1 1 322.390 1.026 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)CC(=O)NC1CC1 ZINC000298178167 228398450 /nfs/dbraw/zinc/39/84/50/228398450.db2.gz XLEFDBSGMNSOKK-UHFFFAOYSA-N -1 1 320.393 1.139 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cccc(C(=O)OC)c1)OC ZINC000421146699 419502703 /nfs/dbraw/zinc/50/27/03/419502703.db2.gz ZWRGDZLNYDFAMQ-LBPRGKRZSA-N -1 1 301.364 1.640 20 0 DDADMM CCn1cc([N-]S(=O)(=O)C[C@@H](Cc2ccccc2)OC)cn1 ZINC000421156943 419506012 /nfs/dbraw/zinc/50/60/12/419506012.db2.gz QRVOLVBGVRUAEV-OAHLLOKOSA-N -1 1 323.418 1.902 20 0 DDADMM Cc1cnc(C(=O)NCCC(=O)Nc2cccnc2)c([O-])c1 ZINC000427109565 419596997 /nfs/dbraw/zinc/59/69/97/419596997.db2.gz RQAPISOTGARXMB-UHFFFAOYSA-N -1 1 300.318 1.249 20 0 DDADMM Cc1cnc(C(=O)N(CCO)Cc2cccc(F)c2)c([O-])c1 ZINC000427588805 419687443 /nfs/dbraw/zinc/68/74/43/419687443.db2.gz PPXMPIPARKXJKK-UHFFFAOYSA-N -1 1 304.321 1.869 20 0 DDADMM CCOC(=O)C[C@H]1C[C@@H](OC)CN1C(=O)c1ncc(C)cc1[O-] ZINC000427814207 419742677 /nfs/dbraw/zinc/74/26/77/419742677.db2.gz WTRNPDAKQUATCT-VXGBXAGGSA-N -1 1 322.361 1.278 20 0 DDADMM CCOC(=O)[C@@H](CCOC(C)(C)C)NC(=O)c1ncccc1[O-] ZINC000428024241 419791029 /nfs/dbraw/zinc/79/10/29/419791029.db2.gz ZMHFVFFXDAZGDK-LLVKDONJSA-N -1 1 324.377 1.654 20 0 DDADMM CC(C)C[C@H](CN=c1[n-]nc(C(F)F)o1)N1CCOCC1 ZINC000429202570 419986622 /nfs/dbraw/zinc/98/66/22/419986622.db2.gz LCYWTQOOMFYKSG-SNVBAGLBSA-N -1 1 304.341 1.588 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1c(Cl)cnn1C)c1ccco1 ZINC000429821121 420050664 /nfs/dbraw/zinc/05/06/64/420050664.db2.gz NDKZKBVTAWURJS-SECBINFHSA-N -1 1 319.770 1.333 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](NC(C)=O)[C@@H](C)CC)n1 ZINC000415645648 420134742 /nfs/dbraw/zinc/13/47/42/420134742.db2.gz IKQNURPRYCEDIQ-KWQFWETISA-N -1 1 310.354 1.076 20 0 DDADMM CN(CCCC(=O)[O-])[C@H](C(=O)NC1CC1)c1ccc(F)cc1 ZINC000430670551 420174070 /nfs/dbraw/zinc/17/40/70/420174070.db2.gz NJZRHCPZLROVKV-HNNXBMFYSA-N -1 1 308.353 1.942 20 0 DDADMM COc1cccc([C@H](C)NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)c1 ZINC000416140098 420255136 /nfs/dbraw/zinc/25/51/36/420255136.db2.gz SCBIDMVPSJTHBM-QMMMGPOBSA-N -1 1 319.317 1.396 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@H]1CCCC[C@@H]1C ZINC000416166011 420264182 /nfs/dbraw/zinc/26/41/82/420264182.db2.gz JNGXVVPSEPJQNB-VHSXEESVSA-N -1 1 309.366 1.843 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Cl)cc2[O-])[C@@H](C)CN1CCO ZINC000416293400 420311472 /nfs/dbraw/zinc/31/14/72/420311472.db2.gz JTCNZXMSRHRHQW-QWRGUYRKSA-N -1 1 312.797 1.573 20 0 DDADMM CN(C(=O)c1cc(Br)ccc1[O-])[C@H]1CCNC1=O ZINC000436524457 420336257 /nfs/dbraw/zinc/33/62/57/420336257.db2.gz IDCXAWJFMUWZPA-VIFPVBQESA-N -1 1 313.151 1.115 20 0 DDADMM CCc1c(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)ccn1C ZINC000425294619 420341113 /nfs/dbraw/zinc/34/11/13/420341113.db2.gz DROGURXPNQHRSS-JTQLQIEISA-N -1 1 304.350 1.696 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)[nH]1 ZINC000425292650 420342364 /nfs/dbraw/zinc/34/23/64/420342364.db2.gz AORMMSFNDSTGRG-SECBINFHSA-N -1 1 304.306 1.326 20 0 DDADMM O=C(c1c[nH]c(C2CC2)n1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425297767 420343305 /nfs/dbraw/zinc/34/33/05/420343305.db2.gz IOXSYKAXIWQVGA-VIFPVBQESA-N -1 1 303.322 1.396 20 0 DDADMM COCc1nc(CNC(=O)C(=O)c2ccc([O-])cc2)cs1 ZINC000436597791 420343794 /nfs/dbraw/zinc/34/37/94/420343794.db2.gz RFSOAUXVVOHLGC-UHFFFAOYSA-N -1 1 306.343 1.494 20 0 DDADMM CCC(=O)c1ccc([O-])c(NS(=O)(=O)N(C)CC2CC2)c1 ZINC000436612006 420344688 /nfs/dbraw/zinc/34/46/88/420344688.db2.gz XCLQKNANFRTMTH-UHFFFAOYSA-N -1 1 312.391 1.983 20 0 DDADMM CC(=O)c1cccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000425311188 420346519 /nfs/dbraw/zinc/34/65/19/420346519.db2.gz XWMYSCDJCZBFDN-ZDUSSCGKSA-N -1 1 315.329 1.998 20 0 DDADMM O=C(C(=O)N1CCN(c2ccccn2)CC1)c1ccc([O-])cc1 ZINC000436656627 420350335 /nfs/dbraw/zinc/35/03/35/420350335.db2.gz NDMNHVHNKGAKFZ-UHFFFAOYSA-N -1 1 311.341 1.319 20 0 DDADMM COC(=O)[C@@](C)(O)CNC(=O)c1ccc2ccccc2c1[O-] ZINC000436677942 420352158 /nfs/dbraw/zinc/35/21/58/420352158.db2.gz ZGWWUUHFJHGGQZ-INIZCTEOSA-N -1 1 303.314 1.199 20 0 DDADMM COCCO[C@@H]1COCC[C@H]1NC(=O)c1cc(F)ccc1[O-] ZINC000436708584 420357787 /nfs/dbraw/zinc/35/77/87/420357787.db2.gz SFLXWEJGVYSMEB-TZMCWYRMSA-N -1 1 313.325 1.082 20 0 DDADMM CN(C)c1nc(-c2nc(-c3ccc([O-])c(F)c3)no2)cc(=O)[nH]1 ZINC000436878992 420379638 /nfs/dbraw/zinc/37/96/38/420379638.db2.gz LRQIQLOSOMAWAZ-UHFFFAOYSA-N -1 1 317.280 1.810 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCc2ncsc2C1 ZINC000438685938 420462873 /nfs/dbraw/zinc/46/28/73/420462873.db2.gz XDPUASNTFXOUDC-UHFFFAOYSA-N -1 1 322.415 1.868 20 0 DDADMM CC(C)[C@@H]1C[C@@H](CN=c2[n-]cc(S(N)(=O)=O)s2)CCO1 ZINC000450741269 420518306 /nfs/dbraw/zinc/51/83/06/420518306.db2.gz WWRQNXQKODPIMQ-UWVGGRQHSA-N -1 1 319.452 1.076 20 0 DDADMM COc1ccc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000492366872 420535243 /nfs/dbraw/zinc/53/52/43/420535243.db2.gz ODHUPRLAFNAAJY-YSKGHYERSA-N -1 1 313.361 1.628 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1cccc(Cl)c1 ZINC000439211500 420499922 /nfs/dbraw/zinc/49/99/22/420499922.db2.gz DHMDBGUUSDFWEZ-UHFFFAOYSA-N -1 1 301.755 1.527 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cccc(Cl)c1 ZINC000439211500 420499923 /nfs/dbraw/zinc/49/99/23/420499923.db2.gz DHMDBGUUSDFWEZ-UHFFFAOYSA-N -1 1 301.755 1.527 20 0 DDADMM NC(=O)[C@@H]1CC[C@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000456904488 420566595 /nfs/dbraw/zinc/56/65/95/420566595.db2.gz MRZSKLNQYIOARM-APPZFPTMSA-N -1 1 316.279 1.795 20 0 DDADMM C[C@H](CN(C)C(=O)C=Cc1cnc2ccccc2n1)c1nn[n-]n1 ZINC000492523684 420582446 /nfs/dbraw/zinc/58/24/46/420582446.db2.gz XOWCAENGYPKZLL-SKVAFPRGSA-N -1 1 323.360 1.418 20 0 DDADMM CCOc1ccc(C=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000492521114 420582758 /nfs/dbraw/zinc/58/27/58/420582758.db2.gz PAGVAJHHAUDFHZ-MQGYJPLLSA-N -1 1 315.377 1.874 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@H](O)C[C@H](C)C1 ZINC000457048128 420597143 /nfs/dbraw/zinc/59/71/43/420597143.db2.gz YOCNVKBGGXAPLK-GXSJLCMTSA-N -1 1 307.394 1.631 20 0 DDADMM CC(C)c1ccc(C(=O)N2CC[N@H+](CCO)C[C@@H]2C)c(O)c1 ZINC000457101957 420612890 /nfs/dbraw/zinc/61/28/90/420612890.db2.gz QXTHJSIEIFAHSH-ZDUSSCGKSA-N -1 1 306.406 1.654 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1ccc2[nH]ccc2c1)c1nn[n-]n1 ZINC000492671214 420623609 /nfs/dbraw/zinc/62/36/09/420623609.db2.gz BVWJZTNADSYRSN-QZPNVGJNSA-N -1 1 310.361 1.956 20 0 DDADMM CCOC[C@H](O)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442792881 420716598 /nfs/dbraw/zinc/71/65/98/420716598.db2.gz ADHBPEVIUIQSDR-SECBINFHSA-N -1 1 303.742 1.181 20 0 DDADMM CCNC(=O)c1ccc(=NCCCOC2CCCCC2)[n-]n1 ZINC000447644199 420789399 /nfs/dbraw/zinc/78/93/99/420789399.db2.gz TWDATBBHVSMQPV-UHFFFAOYSA-N -1 1 306.410 1.800 20 0 DDADMM CCCCn1ncc([N-]S(=O)(=O)C[C@@H]2CCCO2)c1C ZINC000448132363 420837380 /nfs/dbraw/zinc/83/73/80/420837380.db2.gz KSLJQMNGUXMFRC-LBPRGKRZSA-N -1 1 301.412 1.912 20 0 DDADMM CCCOc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000493677775 420912859 /nfs/dbraw/zinc/91/28/59/420912859.db2.gz UWGDPIHJDORJEU-VMPITWQZSA-N -1 1 313.361 1.807 20 0 DDADMM COC(=O)N[C@H](C(=O)Nc1ccc([O-])c(F)c1F)C(C)C ZINC000496214965 421154580 /nfs/dbraw/zinc/15/45/80/421154580.db2.gz HZBOXHIZOULODY-NSHDSACASA-N -1 1 302.277 1.990 20 0 DDADMM CN(C(=O)c1c[n-]c2c(cnn2C)c1=O)[C@@H](CO)CC(C)(C)C ZINC000456453867 421169361 /nfs/dbraw/zinc/16/93/61/421169361.db2.gz UENKFGWEEUXRCO-SNVBAGLBSA-N -1 1 320.393 1.543 20 0 DDADMM CC(C)Oc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cn1 ZINC000522853753 421227105 /nfs/dbraw/zinc/22/71/05/421227105.db2.gz GBLKVZSOYXFTDD-UHFFFAOYSA-N -1 1 316.365 1.581 20 0 DDADMM C[C@@H]([C@H](C)NC(=O)c1cc(=O)n2nc[n-]c2n1)C1CCCCC1 ZINC000544807661 421232769 /nfs/dbraw/zinc/23/27/69/421232769.db2.gz BQUQSNQCJABVAG-QWRGUYRKSA-N -1 1 317.393 1.752 20 0 DDADMM CS(=O)(=O)c1ccc(CNC2(c3nnn[n-]3)CCCC2)cc1 ZINC000546741864 421308250 /nfs/dbraw/zinc/30/82/50/421308250.db2.gz TZFGAPFNDBQXPS-UHFFFAOYSA-N -1 1 321.406 1.162 20 0 DDADMM CS(=O)(=O)c1ccc(CNC2(c3nn[n-]n3)CCCC2)cc1 ZINC000546741864 421308253 /nfs/dbraw/zinc/30/82/53/421308253.db2.gz TZFGAPFNDBQXPS-UHFFFAOYSA-N -1 1 321.406 1.162 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CCc2cccc(O)c21)c1nn[n-]n1 ZINC000560370944 421254573 /nfs/dbraw/zinc/25/45/73/421254573.db2.gz ARBYDEPZJUKBBH-GXSJLCMTSA-N -1 1 301.350 1.197 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cc(F)cc2[nH]cnc21 ZINC000524579134 421263220 /nfs/dbraw/zinc/26/32/20/421263220.db2.gz MRRPIJMTJJPEHJ-UHFFFAOYSA-N -1 1 315.312 1.414 20 0 DDADMM CCOC(=O)N1CCC(OC(=O)c2ccc(C(=O)OC)[n-]2)CC1 ZINC000496545004 421270247 /nfs/dbraw/zinc/27/02/47/421270247.db2.gz YHSAFUIVVYCNTB-UHFFFAOYSA-N -1 1 324.333 1.579 20 0 DDADMM O=C(N[C@@H]1CCCCc2ccccc21)c1cc(=O)n2[n-]cnc2n1 ZINC000546085817 421282855 /nfs/dbraw/zinc/28/28/55/421282855.db2.gz LYMPGGSIVALAEA-CYBMUJFWSA-N -1 1 323.356 1.615 20 0 DDADMM O=C(NCc1nnnn1CC1CC1)c1cc2ccccc2cc1[O-] ZINC000547780874 421365591 /nfs/dbraw/zinc/36/55/91/421365591.db2.gz OIEVZIZAYHTICE-UHFFFAOYSA-N -1 1 323.356 1.872 20 0 DDADMM Cc1[nH]nc2ncc(CN=c3[n-]ncn3Cc3ccccc3)cc12 ZINC000548616463 421454388 /nfs/dbraw/zinc/45/43/88/421454388.db2.gz FPIFIQJIAPMSKQ-UHFFFAOYSA-N -1 1 319.372 1.940 20 0 DDADMM O=C1C[C@@H](Oc2ccccc2[N-]S(=O)(=O)CCC2CC2)N1 ZINC000514595477 421437306 /nfs/dbraw/zinc/43/73/06/421437306.db2.gz MKPYXQGBORVBJR-CQSZACIVSA-N -1 1 310.375 1.453 20 0 DDADMM Cc1ccc(-c2n[n-]c(S(=O)(=O)C[C@@H]3CCCO3)n2)cc1 ZINC000562599482 421386821 /nfs/dbraw/zinc/38/68/21/421386821.db2.gz KAYBWBIKYHFKAB-LBPRGKRZSA-N -1 1 307.375 1.733 20 0 DDADMM Cc1ccc(-c2nc(S(=O)(=O)C[C@@H]3CCCO3)n[n-]2)cc1 ZINC000562599482 421386825 /nfs/dbraw/zinc/38/68/25/421386825.db2.gz KAYBWBIKYHFKAB-LBPRGKRZSA-N -1 1 307.375 1.733 20 0 DDADMM COCCN(CCc1nc(-c2ccccc2)no1)CC(=O)[O-] ZINC000563284511 421482144 /nfs/dbraw/zinc/48/21/44/421482144.db2.gz STQWOOIUPHMQHX-UHFFFAOYSA-N -1 1 305.334 1.312 20 0 DDADMM C[C@@]1(Br)C[C@@H]1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000528600907 421500204 /nfs/dbraw/zinc/50/02/04/421500204.db2.gz VNGGJRCEMXIXID-GMSGAONNSA-N -1 1 314.187 1.259 20 0 DDADMM CCOc1cc(C(=O)N2CCn3nncc3C2)cc(Cl)c1[O-] ZINC000563408551 421503502 /nfs/dbraw/zinc/50/35/02/421503502.db2.gz LWJNHKXMTJWTQA-UHFFFAOYSA-N -1 1 322.752 1.692 20 0 DDADMM Cc1ccc(-c2n[n-]c(S(=O)(=O)CCn3cccn3)n2)cc1 ZINC000515456405 421503732 /nfs/dbraw/zinc/50/37/32/421503732.db2.gz HSAWVFRHUHCJIN-UHFFFAOYSA-N -1 1 317.374 1.451 20 0 DDADMM Cc1ccc(-c2nc(S(=O)(=O)CCn3cccn3)n[n-]2)cc1 ZINC000515456405 421503738 /nfs/dbraw/zinc/50/37/38/421503738.db2.gz HSAWVFRHUHCJIN-UHFFFAOYSA-N -1 1 317.374 1.451 20 0 DDADMM CC(C)[C@@H]1CNCCN1C(=O)N=c1nc2cc(Cl)ccn2[n-]1 ZINC000528692224 421508144 /nfs/dbraw/zinc/50/81/44/421508144.db2.gz MDWWPZBDQABOAT-NSHDSACASA-N -1 1 322.800 1.266 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCC[C@H]([C@H](C)O)C1 ZINC000563852551 421549390 /nfs/dbraw/zinc/54/93/90/421549390.db2.gz XCNUMSAXIXGYBS-UWVGGRQHSA-N -1 1 311.407 1.446 20 0 DDADMM Cc1[nH]ncc1C(=O)Nc1ccc([C@@]2(C)NC(=O)NC2=O)cc1 ZINC000517095793 421594435 /nfs/dbraw/zinc/59/44/35/421594435.db2.gz QKRAVTARFVNQKL-OAHLLOKOSA-N -1 1 313.317 1.025 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCCC1Cc2ccccc2C1 ZINC000563776899 421542277 /nfs/dbraw/zinc/54/22/77/421542277.db2.gz IQTVOXDNWUSHSG-UHFFFAOYSA-N -1 1 321.402 1.479 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCC1Cc2ccccc2C1 ZINC000563776899 421542280 /nfs/dbraw/zinc/54/22/80/421542280.db2.gz IQTVOXDNWUSHSG-UHFFFAOYSA-N -1 1 321.402 1.479 20 0 DDADMM O=C(N[C@@H]1CCN(CC(F)(F)F)C1)C(=O)c1ccc([O-])cc1 ZINC000554539462 421649044 /nfs/dbraw/zinc/64/90/44/421649044.db2.gz VTVQVXKYCZXOTO-SNVBAGLBSA-N -1 1 316.279 1.328 20 0 DDADMM Nc1nsc(N2CCN(Cc3ccc4nc[nH]c4c3)CC2)n1 ZINC000535826167 421712087 /nfs/dbraw/zinc/71/20/87/421712087.db2.gz LWZQFXVEQCGXMN-UHFFFAOYSA-N -1 1 315.406 1.149 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000537125851 421728158 /nfs/dbraw/zinc/72/81/58/421728158.db2.gz JFIJVPGGNPZMGF-VIFPVBQESA-N -1 1 305.363 1.484 20 0 DDADMM CN(C(=O)c1cc(=O)c2ccccc2[nH]1)[C@H]1CC[N@H+](C2CC2)C1 ZINC000518955745 421673548 /nfs/dbraw/zinc/67/35/48/421673548.db2.gz GOFPCBHVYIVPIA-ZDUSSCGKSA-N -1 1 311.385 1.837 20 0 DDADMM O=C(Nc1nc(-c2ccccn2)n[nH]1)[C@H]1CCc2cncn2C1 ZINC000557534048 421776094 /nfs/dbraw/zinc/77/60/94/421776094.db2.gz GNUGXJMTJSDTDQ-JTQLQIEISA-N -1 1 309.333 1.264 20 0 DDADMM CCS(=O)(=O)CCCCCN1CCSC[C@H]1C(=O)[O-] ZINC000521273412 421780966 /nfs/dbraw/zinc/78/09/66/421780966.db2.gz QVFMDOBJUVJNIB-NSHDSACASA-N -1 1 309.453 1.093 20 0 DDADMM O=C(Cc1cnccc1Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000572422101 421786537 /nfs/dbraw/zinc/78/65/37/421786537.db2.gz SEOQTWGMDUTCKX-VIFPVBQESA-N -1 1 306.757 1.197 20 0 DDADMM CC[C@@H](CO)N(Cc1cc(C(=O)[O-])nn1C)Cc1ccccc1 ZINC000635311334 421901244 /nfs/dbraw/zinc/90/12/44/421901244.db2.gz RDFYQTWJWLRMGW-AWEZNQCLSA-N -1 1 317.389 1.891 20 0 DDADMM COc1cc2c(cc1Cl)CN(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000559450097 421834921 /nfs/dbraw/zinc/83/49/21/421834921.db2.gz LHOORWXCIWQTKE-UHFFFAOYSA-N -1 1 308.769 1.329 20 0 DDADMM C[C@@]1(Cc2ccc(F)cc2)CCCN1C(=O)CCc1nn[n-]n1 ZINC000633457757 421915140 /nfs/dbraw/zinc/91/51/40/421915140.db2.gz REWSKWFFBABPGX-INIZCTEOSA-N -1 1 317.368 1.895 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@H]1CCCN1C1CC1)c1ccccc1 ZINC000630142570 421915040 /nfs/dbraw/zinc/91/50/40/421915040.db2.gz WLMDUVDEXLWVJT-LSDHHAIUSA-N -1 1 302.374 1.598 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCCO[C@H](C)C2)c1 ZINC000631891512 421918041 /nfs/dbraw/zinc/91/80/41/421918041.db2.gz CENVBOGSZJTECA-SNVBAGLBSA-N -1 1 301.364 1.200 20 0 DDADMM CC1(C)C[C@@H](NC(=O)CCc2nn[n-]n2)c2ccc(F)cc2O1 ZINC000631897812 421922067 /nfs/dbraw/zinc/92/20/67/421922067.db2.gz QAJVEHNUNHMFGR-LLVKDONJSA-N -1 1 319.340 1.690 20 0 DDADMM CN(C)c1ccncc1C(=O)N1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC000630202871 421956656 /nfs/dbraw/zinc/95/66/56/421956656.db2.gz LNWMDXGZYRFYTR-QWHCGFSZSA-N -1 1 303.362 1.330 20 0 DDADMM COc1ccc(CC[C@@H](C)NC(=O)CCCc2nn[n-]n2)cc1 ZINC000635437118 421988047 /nfs/dbraw/zinc/98/80/47/421988047.db2.gz HOBYZFGALRDICR-GFCCVEGCSA-N -1 1 317.393 1.669 20 0 DDADMM O=C([O-])[C@H](C[C@@H]1CCCOC1)NC(=O)c1n[nH]c2ccccc21 ZINC000630277534 422003214 /nfs/dbraw/zinc/00/32/14/422003214.db2.gz ZXLUANPXEJZDCS-GWCFXTLKSA-N -1 1 317.345 1.563 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCS[C@@H](C)[C@@H]2C)c1 ZINC000631989480 422003997 /nfs/dbraw/zinc/00/39/97/422003997.db2.gz UQKOUSANVQZBCX-UWVGGRQHSA-N -1 1 317.432 1.915 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCC(C)(C)F ZINC000633726315 422069985 /nfs/dbraw/zinc/06/99/85/422069985.db2.gz ZNICJZULDUDABE-UHFFFAOYSA-N -1 1 308.357 1.849 20 0 DDADMM O=C(Cc1noc(Cc2ccc([O-])c(Cl)c2)n1)NC1CC1 ZINC000632106577 422092437 /nfs/dbraw/zinc/09/24/37/422092437.db2.gz JNRNUMODQGWCDX-UHFFFAOYSA-N -1 1 307.737 1.841 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)[O-])CN1S(=O)(=O)c1cc(O)cc(F)c1 ZINC000630444373 422106497 /nfs/dbraw/zinc/10/64/97/422106497.db2.gz XUOOZYBEWIPFRA-DTWKUNHWSA-N -1 1 317.338 1.405 20 0 DDADMM CCc1cnccc1CNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632188941 422150980 /nfs/dbraw/zinc/15/09/80/422150980.db2.gz XLIIGXMTJFFPAP-UHFFFAOYSA-N -1 1 322.386 1.837 20 0 DDADMM CC(C)C(=O)NCCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632145929 422121039 /nfs/dbraw/zinc/12/10/39/422121039.db2.gz SGBVTLIRQNOLIG-UHFFFAOYSA-N -1 1 324.349 1.658 20 0 DDADMM Cn1cc([C@H](CO)NC(=O)Cc2ccc([O-])c(Cl)c2)cn1 ZINC000632149104 422122613 /nfs/dbraw/zinc/12/26/13/422122613.db2.gz MMULXMIVJDMARQ-LBPRGKRZSA-N -1 1 309.753 1.171 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)C[C@@H]1CCC1(F)F)C(F)(F)F ZINC000632155449 422124236 /nfs/dbraw/zinc/12/42/36/422124236.db2.gz ZRAZXQSNFDPBDI-BQBZGAKWSA-N -1 1 311.272 1.264 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)CC2CCOCC2)c1 ZINC000632166075 422130903 /nfs/dbraw/zinc/13/09/03/422130903.db2.gz ZWFKQSUBGUABDP-UHFFFAOYSA-N -1 1 315.391 1.448 20 0 DDADMM Cc1cc(CN)oc1C(=O)N1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000630575155 422191040 /nfs/dbraw/zinc/19/10/40/422191040.db2.gz MBCVIRDGIOSEOQ-AWEZNQCLSA-N -1 1 314.341 1.871 20 0 DDADMM CN1CCC[C@@H](NC(=O)c2ccc(C(F)(F)F)c([O-])c2)C1=O ZINC000628640418 422270784 /nfs/dbraw/zinc/27/07/84/422270784.db2.gz ADDPTXOTFATQAO-SNVBAGLBSA-N -1 1 316.279 1.762 20 0 DDADMM O=C(N1CCC[C@@H](c2nn[n-]n2)C1)C1(Nc2ccccc2)CC1 ZINC000635724686 422283607 /nfs/dbraw/zinc/28/36/07/422283607.db2.gz IARBWSYZEVMSLX-GFCCVEGCSA-N -1 1 312.377 1.550 20 0 DDADMM CC[C@H]1[C@@H](CO)CCN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632390395 422299503 /nfs/dbraw/zinc/29/95/03/422299503.db2.gz AEUYAKHQZJCKAK-PWSUYJOCSA-N -1 1 315.391 1.182 20 0 DDADMM Cc1nocc1C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000632397792 422307418 /nfs/dbraw/zinc/30/74/18/422307418.db2.gz MKKWFYKEEPGRNJ-UHFFFAOYSA-N -1 1 324.284 1.214 20 0 DDADMM C[C@H](NC(=O)CCc1nn[n-]n1)c1cccc(OC(F)F)c1 ZINC000630744617 422309971 /nfs/dbraw/zinc/30/99/71/422309971.db2.gz GXVWHWGRJXEFKE-QMMMGPOBSA-N -1 1 311.292 1.611 20 0 DDADMM C[C@@H](NC(=O)CCc1nn[n-]n1)c1cccc(OC(F)F)c1 ZINC000630744616 422310514 /nfs/dbraw/zinc/31/05/14/422310514.db2.gz GXVWHWGRJXEFKE-MRVPVSSYSA-N -1 1 311.292 1.611 20 0 DDADMM C[C@H]1CC[C@@H](C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)O1 ZINC000632433142 422339795 /nfs/dbraw/zinc/33/97/95/422339795.db2.gz LZDNXOOMMVKLCX-BQBZGAKWSA-N -1 1 313.301 1.274 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1nc(CN2CCCCC2)cs1 ZINC000630802221 422344855 /nfs/dbraw/zinc/34/48/55/422344855.db2.gz CZMBESLDPAPWJV-UHFFFAOYSA-N -1 1 321.410 1.213 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccccn1)c1nc(C(F)(F)F)no1 ZINC000632479197 422378410 /nfs/dbraw/zinc/37/84/10/422378410.db2.gz BYARTAVDKWXDBQ-ZCFIWIBFSA-N -1 1 322.268 1.523 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(Cc2cccc(F)c2)CC1 ZINC000630768214 422325308 /nfs/dbraw/zinc/32/53/08/422325308.db2.gz QHCMGNDNCLDMNK-UHFFFAOYSA-N -1 1 317.368 1.753 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC3(C2)CCCO3)c(=O)[n-]1 ZINC000628785270 422327784 /nfs/dbraw/zinc/32/77/84/422327784.db2.gz UDOUTTUKIHNMSI-UHFFFAOYSA-N -1 1 323.418 1.537 20 0 DDADMM Cc1ccnc(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)n1 ZINC000632566063 422440682 /nfs/dbraw/zinc/44/06/82/422440682.db2.gz VEEQKFCYBZNFGE-UHFFFAOYSA-N -1 1 303.290 1.794 20 0 DDADMM CC(C)c1nccn1CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000635890095 422443778 /nfs/dbraw/zinc/44/37/78/422443778.db2.gz QTWUAEZSZYFEHK-NSHDSACASA-N -1 1 319.365 1.501 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](c2ccccc2)[C@H](C)O)sn1 ZINC000632576215 422447943 /nfs/dbraw/zinc/44/79/43/422447943.db2.gz LXYLMOYIDJKHJQ-GWCFXTLKSA-N -1 1 312.416 1.852 20 0 DDADMM Cc1cccc(NC(=O)CCc2nn[n-]n2)c1Br ZINC000632522910 422409059 /nfs/dbraw/zinc/40/90/59/422409059.db2.gz VUTBGSHJFPVEMT-UHFFFAOYSA-N -1 1 310.155 1.842 20 0 DDADMM C[C@]12CCC(=O)N1[C@@H](C(=O)Nc1cccc(F)c1[O-])CS2 ZINC000628961007 422423269 /nfs/dbraw/zinc/42/32/69/422423269.db2.gz LHTNQSUBRAJVTE-YGRLFVJLSA-N -1 1 310.350 1.924 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Cl)s1)[C@@H](O)C(F)(F)F ZINC000632673505 422510581 /nfs/dbraw/zinc/51/05/81/422510581.db2.gz MTHBBVOGCRPIJU-MHTLYPKNSA-N -1 1 323.745 1.992 20 0 DDADMM CCc1c(-c2cccc(OC)c2)[n-]n(C(COC)COC)c1=O ZINC000634634744 422518327 /nfs/dbraw/zinc/51/83/27/422518327.db2.gz IUSLSJKVQWPASF-HNNXBMFYSA-N -1 1 320.389 1.929 20 0 DDADMM Cc1nc(SCc2nnnn2C2CC2)[n-]c(=O)c1C1CC1 ZINC000631025210 422477088 /nfs/dbraw/zinc/47/70/88/422477088.db2.gz RETRLZVPKIFLCN-UHFFFAOYSA-N -1 1 304.379 1.982 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@H]1CC[C@@H](c2ccccc2)O1 ZINC000635944139 422497205 /nfs/dbraw/zinc/49/72/05/422497205.db2.gz ZRWNAKCTNDTHHL-KGLIPLIRSA-N -1 1 315.377 1.559 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)C[C@@H]3COCCO3)cnc2n1 ZINC000622162273 422538071 /nfs/dbraw/zinc/53/80/71/422538071.db2.gz CSVZCKNDJKWCOL-LLVKDONJSA-N -1 1 317.345 1.131 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N(C)C[C@@H]1COCCO1)c2=O ZINC000622162273 422538073 /nfs/dbraw/zinc/53/80/73/422538073.db2.gz CSVZCKNDJKWCOL-LLVKDONJSA-N -1 1 317.345 1.131 20 0 DDADMM CC(C)[C@H](CNC(=O)CCc1nn[n-]n1)Cc1ccccc1 ZINC000632716473 422541070 /nfs/dbraw/zinc/54/10/70/422541070.db2.gz WUVTWMUFZJZSJA-AWEZNQCLSA-N -1 1 301.394 1.763 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc(OC(F)F)cc1F ZINC000632904502 422654514 /nfs/dbraw/zinc/65/45/14/422654514.db2.gz NZBQKMLOOYZKGZ-UHFFFAOYSA-N -1 1 301.228 1.512 20 0 DDADMM O=C(N1CCC[C@@H](c2n[n-]c(=O)o2)C1)C1(C(F)F)CCC1 ZINC000632843372 422621079 /nfs/dbraw/zinc/62/10/79/422621079.db2.gz PNFGYBPKXNUIOO-MRVPVSSYSA-N -1 1 301.293 1.917 20 0 DDADMM COc1ncc(CN2CC[C@H](C(=O)[O-])[C@@H]3CCC[C@@H]32)c(C)n1 ZINC000629376544 422640144 /nfs/dbraw/zinc/64/01/44/422640144.db2.gz IORABKSMGLJWTJ-IHRRRGAJSA-N -1 1 305.378 1.869 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@@H+]2CC[C@H](C(=O)[O-])[C@H]3CCC[C@@H]32)n1 ZINC000629376850 422640242 /nfs/dbraw/zinc/64/02/42/422640242.db2.gz JVOYQLLFJHLACJ-AGIUHOORSA-N -1 1 305.378 1.820 20 0 DDADMM CCc1cc(=O)[n-]c(C[N@H+]2CC[C@H](C(=O)[O-])[C@H]3CCC[C@@H]32)n1 ZINC000629376850 422640247 /nfs/dbraw/zinc/64/02/47/422640247.db2.gz JVOYQLLFJHLACJ-AGIUHOORSA-N -1 1 305.378 1.820 20 0 DDADMM CCCN(CC(F)(F)F)C(=O)CN1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000629492015 422699385 /nfs/dbraw/zinc/69/93/85/422699385.db2.gz WWTKCMACAMBPHM-MNOVXSKESA-N -1 1 324.343 1.830 20 0 DDADMM CCC[C@@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)OCC ZINC000629427378 422668655 /nfs/dbraw/zinc/66/86/55/422668655.db2.gz MSIYPKNNSHIYBB-NSHDSACASA-N -1 1 303.362 1.858 20 0 DDADMM C[C@@H](CC(=O)[O-])CC(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000634846326 422681741 /nfs/dbraw/zinc/68/17/41/422681741.db2.gz GIYFSWVOVXDVIG-UKRRQHHQSA-N -1 1 304.390 1.878 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(Oc2ccc(F)cc2)CC1 ZINC000631319277 422683837 /nfs/dbraw/zinc/68/38/37/422683837.db2.gz MDONYIMQFAICKG-UHFFFAOYSA-N -1 1 319.340 1.341 20 0 DDADMM CC[C@H](C)C[C@H](CO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000645832104 423207054 /nfs/dbraw/zinc/20/70/54/423207054.db2.gz WMUMIXLTQIAKEW-GZMMTYOYSA-N -1 1 306.453 1.835 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CO[C@H](C)C2)c(F)c1 ZINC000645861121 423218186 /nfs/dbraw/zinc/21/81/86/423218186.db2.gz YYDUUOQRPRXHHL-HTQZYQBOSA-N -1 1 307.318 1.429 20 0 DDADMM O=S(=O)([N-][C@@H](CCO)c1ccco1)c1cccc(F)c1F ZINC000184273941 263386490 /nfs/dbraw/zinc/38/64/90/263386490.db2.gz IMZGONWEGYDHFD-JTQLQIEISA-N -1 1 317.313 1.960 20 0 DDADMM Nc1c(C(=O)Nc2c([O-])cccc2F)cnn1-c1ccccn1 ZINC000645926899 423245414 /nfs/dbraw/zinc/24/54/14/423245414.db2.gz LGOFJOKHWLOQQY-UHFFFAOYSA-N -1 1 313.292 1.947 20 0 DDADMM CCN(CC)CCS(=O)(=O)N[C@H](C(=O)[O-])c1ccccc1 ZINC000645937016 423251674 /nfs/dbraw/zinc/25/16/74/423251674.db2.gz YDQRLLAQLHNDLJ-ZDUSSCGKSA-N -1 1 314.407 1.074 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1C[C@@H]1c1ccc2c(c1)OCCO2 ZINC000646409248 423477259 /nfs/dbraw/zinc/47/72/59/423477259.db2.gz LITLKWKRAZRBGK-MNOVXSKESA-N -1 1 315.329 1.937 20 0 DDADMM Cc1cc(C)n(-c2ccc(C(=O)Nc3c(C)[n-][nH]c3=O)cn2)n1 ZINC000646409161 423477806 /nfs/dbraw/zinc/47/78/06/423477806.db2.gz BASMDHXYJNVQPP-UHFFFAOYSA-N -1 1 312.333 1.874 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCCNC(=O)c1ccc(F)cc1 ZINC000646412860 423479549 /nfs/dbraw/zinc/47/95/49/423479549.db2.gz DFWCBYPKYXKIAL-UHFFFAOYSA-N -1 1 320.324 1.712 20 0 DDADMM CC[C@@H](NC(=O)c1ncccc1[O-])C(=O)NCc1cccs1 ZINC000649040823 423593900 /nfs/dbraw/zinc/59/39/00/423593900.db2.gz QABZBXKFFAIADW-LLVKDONJSA-N -1 1 319.386 1.674 20 0 DDADMM CC[C@@H](NC(=O)c1cncc([O-])c1)C(=O)NCc1cccs1 ZINC000649041296 423595826 /nfs/dbraw/zinc/59/58/26/423595826.db2.gz ZWDBJSGUPYMYTC-CYBMUJFWSA-N -1 1 319.386 1.674 20 0 DDADMM CN1CCN(C(=O)N=c2[n-]nc(-c3ccco3)s2)CC1(C)C ZINC000639556981 423575954 /nfs/dbraw/zinc/57/59/54/423575954.db2.gz AJTQZDAMHJQRIO-UHFFFAOYSA-N -1 1 321.406 1.778 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc2c1OCCCO2)c1nn[n-]n1 ZINC000651720560 423657546 /nfs/dbraw/zinc/65/75/46/423657546.db2.gz CWDYAOXDKHCZOJ-JTQLQIEISA-N -1 1 317.349 1.237 20 0 DDADMM Cn1[nH]c([C@@H]2CCCN2C(=O)c2ccc([O-])c(F)c2)nc1=N ZINC000651977496 423761095 /nfs/dbraw/zinc/76/10/95/423761095.db2.gz MWUUKWSGDXJGEA-JTQLQIEISA-N -1 1 305.313 1.050 20 0 DDADMM O=C([O-])CCC1CCN(C(=O)NCc2n[nH]c(C3CC3)n2)CC1 ZINC000659405186 423806703 /nfs/dbraw/zinc/80/67/03/423806703.db2.gz WBZJGCYJOLZIHM-UHFFFAOYSA-N -1 1 321.381 1.469 20 0 DDADMM COC1(C[C@@H](NC(=O)c2n[nH]c3ccccc32)C(=O)[O-])CCC1 ZINC000652108943 423866871 /nfs/dbraw/zinc/86/68/71/423866871.db2.gz ADZRXUUHYYVVTP-GFCCVEGCSA-N -1 1 317.345 1.705 20 0 DDADMM COC(C)(C)C[N-]S(=O)(=O)c1ncccc1Br ZINC000656917822 423871769 /nfs/dbraw/zinc/87/17/69/423871769.db2.gz ILWFTHXQGLIDGA-UHFFFAOYSA-N -1 1 323.212 1.547 20 0 DDADMM Cc1cccc(NC[C@@H]2CCN(c3cncc(C(=O)[O-])n3)C2)n1 ZINC000649388139 423838175 /nfs/dbraw/zinc/83/81/75/423838175.db2.gz NNRQAASOAUGESG-LBPRGKRZSA-N -1 1 313.361 1.817 20 0 DDADMM O=C(CCOCC(F)(F)F)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644883316 424011470 /nfs/dbraw/zinc/01/14/70/424011470.db2.gz CJPMNSHRNWAMRS-QMMMGPOBSA-N -1 1 323.271 1.450 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644886844 424015779 /nfs/dbraw/zinc/01/57/79/424015779.db2.gz IQTQCTGPJLEODL-MRVPVSSYSA-N -1 1 319.365 1.625 20 0 DDADMM Cc1n[nH]c(C)c1CCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887070 424017968 /nfs/dbraw/zinc/01/79/68/424017968.db2.gz IZYPDLURSKIKIM-UHFFFAOYSA-N -1 1 319.365 1.454 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ncccc1Br)[C@@H](C)O ZINC000657003062 423980197 /nfs/dbraw/zinc/98/01/97/423980197.db2.gz LHJKFIJYNKYYHS-APPZFPTMSA-N -1 1 323.212 1.282 20 0 DDADMM Cc1nc(S(=O)(=O)N[C@@H]2CC[N@H+](C(C)(C)C)C2)sc1C ZINC000657059802 424058140 /nfs/dbraw/zinc/05/81/40/424058140.db2.gz AWMGMJAMQMHSHI-LLVKDONJSA-N -1 1 317.480 1.911 20 0 DDADMM COc1ccc(OCCCS(=O)(=O)[N-]CC(F)F)cc1 ZINC000649618800 424092503 /nfs/dbraw/zinc/09/25/03/424092503.db2.gz QSJNIGMWIQBMBI-UHFFFAOYSA-N -1 1 309.334 1.649 20 0 DDADMM CCN1CCC(NS(=O)(=O)c2cccc(Cl)c2[O-])CC1 ZINC000649581348 424055300 /nfs/dbraw/zinc/05/53/00/424055300.db2.gz LWPRIRRQIXWTIP-UHFFFAOYSA-N -1 1 318.826 1.808 20 0 DDADMM CN(C)[C@H](CNC(=O)c1ccc(C(=O)[O-])cn1)c1ccsc1 ZINC000642211238 424131166 /nfs/dbraw/zinc/13/11/66/424131166.db2.gz YPZJSZHTAFPRJF-CYBMUJFWSA-N -1 1 319.386 1.874 20 0 DDADMM O=C(NCc1n[nH]c([C@H]2CCCO2)n1)c1c([O-])cc(F)cc1F ZINC000655230717 424317166 /nfs/dbraw/zinc/31/71/66/424317166.db2.gz FTUVAPHJFPBOJE-SNVBAGLBSA-N -1 1 324.287 1.570 20 0 DDADMM O=C(NCc1n[nH]c([C@@H]2CCCO2)n1)c1cc(F)c([O-])c(F)c1 ZINC000655243554 424330794 /nfs/dbraw/zinc/33/07/94/424330794.db2.gz RMRGTAIWQXUIFB-JTQLQIEISA-N -1 1 324.287 1.570 20 0 DDADMM COc1c(C)cccc1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000655249842 424340674 /nfs/dbraw/zinc/34/06/74/424340674.db2.gz WWPNERMCJHQXIL-UHFFFAOYSA-N -1 1 319.317 1.143 20 0 DDADMM CCN1CCCN(C(=O)N=c2[n-]sc3ccccc32)CC1=O ZINC000640338984 424360176 /nfs/dbraw/zinc/36/01/76/424360176.db2.gz KAHKYEHVTDBKFF-UHFFFAOYSA-N -1 1 318.402 1.804 20 0 DDADMM COC[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)C[C@H](C)O1 ZINC000640339224 424360410 /nfs/dbraw/zinc/36/04/10/424360410.db2.gz NOLXUGLHVKBPRW-QWRGUYRKSA-N -1 1 321.402 1.986 20 0 DDADMM C[C@]1(c2ccccc2)CCCN([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662204530 424470561 /nfs/dbraw/zinc/47/05/61/424470561.db2.gz HYDBKQALAWJMSF-YJBOKZPZSA-N -1 1 316.401 1.726 20 0 DDADMM O=S(=O)([N-]CCOCCF)c1ccc(F)c(F)c1F ZINC000660176069 424578631 /nfs/dbraw/zinc/57/86/31/424578631.db2.gz FBGVKFCVFOPETN-UHFFFAOYSA-N -1 1 301.261 1.368 20 0 DDADMM CO[C@](C)(CO)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000655623324 424599739 /nfs/dbraw/zinc/59/97/39/424599739.db2.gz NYCBDLDAWNVBSV-NSHDSACASA-N -1 1 311.762 1.155 20 0 DDADMM C[C@@H](CNC(=O)NC[C@@H](c1ccc(F)cc1)N(C)C)C(=O)[O-] ZINC000655864255 424685193 /nfs/dbraw/zinc/68/51/93/424685193.db2.gz YVUSTFMPTKIXJQ-GWCFXTLKSA-N -1 1 311.357 1.448 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)c2ccc3cncn3c2)s[n-]1 ZINC000341768261 271205007 /nfs/dbraw/zinc/20/50/07/271205007.db2.gz QTJYVLPRJVGQJB-MRVPVSSYSA-N -1 1 303.347 1.567 20 0 DDADMM CSc1n[nH]c(NC(=O)[C@@H]2CN(c3ccccn3)C[C@H]2C)n1 ZINC000344641406 272077663 /nfs/dbraw/zinc/07/76/63/272077663.db2.gz SAXYEJGEMKFAKO-NXEZZACHSA-N -1 1 318.406 1.633 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CCCCF)c1ccsc1 ZINC000344667082 272085117 /nfs/dbraw/zinc/08/51/17/272085117.db2.gz NRTCVQAXQAMKAB-SNVBAGLBSA-N -1 1 309.384 1.631 20 0 DDADMM CC1(C)CC[C@H](C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C1 ZINC000331847472 276116214 /nfs/dbraw/zinc/11/62/14/276116214.db2.gz AEFOAFMSAGNRBW-JTQLQIEISA-N -1 1 309.439 1.426 20 0 DDADMM CS[C@H](CO)[C@@H](C)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000119877982 281108901 /nfs/dbraw/zinc/10/89/01/281108901.db2.gz MYLPHTIVOOOGLM-BXKDBHETSA-N -1 1 315.416 1.824 20 0 DDADMM CCC[C@H](NC(=O)c1cnc(C(F)(F)F)nc1)c1nn[n-]n1 ZINC000130729396 281392337 /nfs/dbraw/zinc/39/23/37/281392337.db2.gz DTLXNTCTRDSDRY-ZETCQYMHSA-N -1 1 315.259 1.280 20 0 DDADMM CN1CCN(C)[C@@H](CNC(=O)c2cc(F)cc(Cl)c2[O-])C1 ZINC000269212048 290035109 /nfs/dbraw/zinc/03/51/09/290035109.db2.gz KYBXJEPGFMJJAM-JTQLQIEISA-N -1 1 315.776 1.160 20 0 DDADMM CN1CC[C@@H]2OCCN(C(=O)c3cc(F)c([O-])c(F)c3)[C@@H]2C1 ZINC000285899551 290167222 /nfs/dbraw/zinc/16/72/22/290167222.db2.gz STMOFPZICUVCOH-OLZOCXBDSA-N -1 1 312.316 1.216 20 0 DDADMM CCN(CC)CCS(=O)(=O)N[C@]1(C)CCCC[C@@H]1C(=O)[O-] ZINC000572758475 304552947 /nfs/dbraw/zinc/55/29/47/304552947.db2.gz LYCCUPYLQUSEDG-TZMCWYRMSA-N -1 1 320.455 1.281 20 0 DDADMM Cc1cccc(C)c1CCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353992865 298332388 /nfs/dbraw/zinc/33/23/88/298332388.db2.gz NEXOGBAUTQBAFY-UHFFFAOYSA-N -1 1 311.345 1.007 20 0 DDADMM CCC[C@H](NC(=O)[C@@]1(N(C)C)CCc2ccccc21)C(=O)[O-] ZINC000364210224 300358140 /nfs/dbraw/zinc/35/81/40/300358140.db2.gz NSRQXONFMKKHRL-WMLDXEAASA-N -1 1 304.390 1.759 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@](O)(c2ccc(F)cc2)C1 ZINC000367704965 300873111 /nfs/dbraw/zinc/87/31/11/300873111.db2.gz MBLPBTRCZFYMOY-MRXNPFEDSA-N -1 1 302.305 1.660 20 0 DDADMM O=S(=O)(C[C@H]1Cc2ccccc2O1)c1nc2ncncc2[n-]1 ZINC000368643608 301067771 /nfs/dbraw/zinc/06/77/71/301067771.db2.gz NEHRKZIZADEWPG-SNVBAGLBSA-N -1 1 316.342 1.130 20 0 DDADMM O=S(=O)(C[C@H]1Cc2ccccc2O1)c1nc2cncnc2[n-]1 ZINC000368643608 301067773 /nfs/dbraw/zinc/06/77/73/301067773.db2.gz NEHRKZIZADEWPG-SNVBAGLBSA-N -1 1 316.342 1.130 20 0 DDADMM O=S(=O)(C[C@H]1Cc2ccccc2O1)c1nc2c[n-]cnc-2n1 ZINC000368643608 301067774 /nfs/dbraw/zinc/06/77/74/301067774.db2.gz NEHRKZIZADEWPG-SNVBAGLBSA-N -1 1 316.342 1.130 20 0 DDADMM O=C(N[C@@H]1C[C@H]2CCCCN2C1=O)c1ccc(Cl)cc1[O-] ZINC000575143889 304719143 /nfs/dbraw/zinc/71/91/43/304719143.db2.gz SUVJKDHLHGQQRP-ZYHUDNBSSA-N -1 1 308.765 1.929 20 0 DDADMM O=C(C1CCCC1)N1CCC[C@H](S(=O)(=O)[N-]CC(F)F)C1 ZINC000369920286 301239531 /nfs/dbraw/zinc/23/95/31/301239531.db2.gz OHQLUGNGECQAKD-NSHDSACASA-N -1 1 324.393 1.352 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC[C@H]1c1ccccn1 ZINC000576075058 304749846 /nfs/dbraw/zinc/74/98/46/304749846.db2.gz ANQLIAWPAYHYBH-NSHDSACASA-N -1 1 308.363 1.316 20 0 DDADMM CC(C)C[C@@H](C)OCCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000376794717 302104436 /nfs/dbraw/zinc/10/44/36/302104436.db2.gz QWTOYXJMPDEUEC-OLZOCXBDSA-N -1 1 309.414 1.747 20 0 DDADMM NC(=O)[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)c1cc(F)ccc1[O-] ZINC000376824812 302108798 /nfs/dbraw/zinc/10/87/98/302108798.db2.gz XZIWWVXWCWKFDL-ICCXJUOJSA-N -1 1 306.337 1.790 20 0 DDADMM O=C(c1cc2ccccc2s1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000377605131 302197163 /nfs/dbraw/zinc/19/71/63/302197163.db2.gz YHYDZAVOJKBZHU-JTQLQIEISA-N -1 1 315.358 1.628 20 0 DDADMM O=C(NC1CC1)C1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000377628392 302200184 /nfs/dbraw/zinc/20/01/84/302200184.db2.gz RLGFRPFKFFSYCJ-UHFFFAOYSA-N -1 1 324.327 1.801 20 0 DDADMM CCCCc1n[n-]c(=NC(=O)N[C@H]2CCc3nc[nH]c3C2)s1 ZINC000377724202 302220019 /nfs/dbraw/zinc/22/00/19/302220019.db2.gz PMXZMBPAMVTARV-VIFPVBQESA-N -1 1 320.422 1.705 20 0 DDADMM CO[C@@H](CC(C)C)CS(=O)(=O)N=c1cc2ccccn2[n-]1 ZINC000514595433 302733220 /nfs/dbraw/zinc/73/32/20/302733220.db2.gz BLZVWFANKDFFLO-ZDUSSCGKSA-N -1 1 311.407 1.559 20 0 DDADMM CC(=O)N[C@H](C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1)C(C)C ZINC000521567578 302876658 /nfs/dbraw/zinc/87/66/58/302876658.db2.gz UGRRSQPERVFOSO-AWEZNQCLSA-N -1 1 320.418 1.968 20 0 DDADMM COC(=O)Cc1nc([N-]C(=O)c2c(F)cc(F)cc2F)n[nH]1 ZINC000357075536 306869341 /nfs/dbraw/zinc/86/93/41/306869341.db2.gz NNFUPIGAZXFUIW-UHFFFAOYSA-N -1 1 314.223 1.190 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc([C@@H]3CC[C@H](C)O3)no2)o1 ZINC000528254791 303012966 /nfs/dbraw/zinc/01/29/66/303012966.db2.gz WJVNCRVGORSDFL-YUMQZZPRSA-N -1 1 313.335 1.478 20 0 DDADMM CCn1nncc1C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000528442782 303026828 /nfs/dbraw/zinc/02/68/28/303026828.db2.gz GAYRKUVPWIGBBT-UHFFFAOYSA-N -1 1 316.333 1.363 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCC23CC3)c1 ZINC000528950216 303074628 /nfs/dbraw/zinc/07/46/28/303074628.db2.gz AMUNNXWZVRJMSP-JTQLQIEISA-N -1 1 310.375 1.558 20 0 DDADMM CC(=O)Nc1cc(S(=O)(=O)Nc2ccc(=O)[nH]c2)ccc1[O-] ZINC000357343184 306877275 /nfs/dbraw/zinc/87/72/75/306877275.db2.gz SUHJVIDBOLCWGF-UHFFFAOYSA-N -1 1 323.330 1.252 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](C)Cc1ccccc1C ZINC000530265390 303188999 /nfs/dbraw/zinc/18/89/99/303188999.db2.gz DRHIEJFGVWSHSU-NSHDSACASA-N -1 1 314.389 1.625 20 0 DDADMM COCc1ccccc1CNC(=O)CNC1(C(=O)[O-])CCCC1 ZINC000532881217 303300424 /nfs/dbraw/zinc/30/04/24/303300424.db2.gz LQTJTHJHAHGMRA-UHFFFAOYSA-N -1 1 320.389 1.436 20 0 DDADMM Cn1cc(CS(=O)(=O)c2n[n-]c(CCC3CCCC3)n2)nn1 ZINC000534878582 303337928 /nfs/dbraw/zinc/33/79/28/303337928.db2.gz MPDWPQXDMOHNEM-UHFFFAOYSA-N -1 1 324.410 1.030 20 0 DDADMM C[C@@H]1CCCN(S(=O)(=O)[N-]c2cc(C(F)(F)F)[nH]n2)C1 ZINC000536302621 303358712 /nfs/dbraw/zinc/35/87/12/303358712.db2.gz QNIRNHOSHYULGX-SSDOTTSWSA-N -1 1 312.317 1.817 20 0 DDADMM COC[C@@H](CO)Nc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000357614517 306899048 /nfs/dbraw/zinc/89/90/48/306899048.db2.gz ZZNAAZHMPDIKTN-GFCCVEGCSA-N -1 1 304.350 1.280 20 0 DDADMM CC(C)[C@H]1CC[C@@H](C)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544684634 303476230 /nfs/dbraw/zinc/47/62/30/303476230.db2.gz MBMIKBNOJRHMBA-IJLUTSLNSA-N -1 1 317.393 1.608 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cccc3cc[nH]c32)c[nH]1 ZINC000547499532 303537118 /nfs/dbraw/zinc/53/71/18/303537118.db2.gz SJBKIZRNKUNDER-UHFFFAOYSA-N -1 1 305.315 1.995 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)CCC2CCCC2)nc1 ZINC000556263136 303737463 /nfs/dbraw/zinc/73/74/63/303737463.db2.gz GWISKVROTPZLAO-UHFFFAOYSA-N -1 1 311.407 1.763 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccnc(OCC(F)(F)F)c1 ZINC000358340241 306939982 /nfs/dbraw/zinc/93/99/82/306939982.db2.gz PPUAJCCSMKKXNJ-UHFFFAOYSA-N -1 1 313.235 1.798 20 0 DDADMM O=C(NC(C1CCC1)C1CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000563329098 303941778 /nfs/dbraw/zinc/94/17/78/303941778.db2.gz XMGBIPUDGFOBKS-UHFFFAOYSA-N -1 1 301.350 1.116 20 0 DDADMM Cn1[n-]c(CN[C@@H](CO)c2c(Cl)cccc2Cl)nc1=O ZINC000361543134 306998386 /nfs/dbraw/zinc/99/83/86/306998386.db2.gz KVIBEMWWFSJZJP-VIFPVBQESA-N -1 1 317.176 1.238 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H](C)[C@H](CO)C3)cnc2n1 ZINC000362348249 307017441 /nfs/dbraw/zinc/01/74/41/307017441.db2.gz GICOAVLHAPFUCP-ONGXEEELSA-N -1 1 301.346 1.344 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](C)[C@H](CO)C3)c[n-]c2n1 ZINC000362348249 307017442 /nfs/dbraw/zinc/01/74/42/307017442.db2.gz GICOAVLHAPFUCP-ONGXEEELSA-N -1 1 301.346 1.344 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC3(CCC3)C[C@H]2C)co1 ZINC000365333639 307065099 /nfs/dbraw/zinc/06/50/99/307065099.db2.gz YGHNUSFBEMXVTK-SNVBAGLBSA-N -1 1 312.391 1.592 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](C)[C@H]1COc2ccccc21 ZINC000367674142 307101357 /nfs/dbraw/zinc/10/13/57/307101357.db2.gz VNHXDQFABSRHMO-GZMMTYOYSA-N -1 1 323.374 1.239 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)[C@H]1COc2ccccc21 ZINC000367674142 307101358 /nfs/dbraw/zinc/10/13/58/307101358.db2.gz VNHXDQFABSRHMO-GZMMTYOYSA-N -1 1 323.374 1.239 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@]2(CCCOC2)O1 ZINC000373630854 307193063 /nfs/dbraw/zinc/19/30/63/307193063.db2.gz WYGDIVNUDXXPRK-MLGOLLRUSA-N -1 1 306.362 1.587 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-][C@@H]2COc3cccc(F)c32)no1 ZINC000374663865 307211276 /nfs/dbraw/zinc/21/12/76/307211276.db2.gz OHQSOJVGKLVZKF-LLVKDONJSA-N -1 1 312.322 1.675 20 0 DDADMM CN1CCN(c2ncncc2C(=O)[O-])C[C@H]1Cc1ccccc1 ZINC000565037194 308017651 /nfs/dbraw/zinc/01/76/51/308017651.db2.gz YCKJMQNBHRYXKC-CQSZACIVSA-N -1 1 312.373 1.538 20 0 DDADMM CO[C@@H]1C[C@H](CC(=O)[O-])N(Cc2cc(-c3ccco3)on2)C1 ZINC000565485627 308032854 /nfs/dbraw/zinc/03/28/54/308032854.db2.gz GIRWHASGAILKNZ-VXGBXAGGSA-N -1 1 306.318 1.999 20 0 DDADMM CO[C@@H]1C[C@H](C(=O)[O-])N(C[C@@H](O)COc2cc(C)cc(C)c2)C1 ZINC000566075218 308042621 /nfs/dbraw/zinc/04/26/21/308042621.db2.gz ZDJOTVUCZWDAKA-FVQBIDKESA-N -1 1 323.389 1.217 20 0 DDADMM CO[C@H]1C[C@H](CC(=O)[O-])N(Cc2nc3ccccc3n2C)C1 ZINC000566368858 308051643 /nfs/dbraw/zinc/05/16/43/308051643.db2.gz UAOYJIANAZKWBV-NEPJUHHUSA-N -1 1 303.362 1.637 20 0 DDADMM O=C(N=c1cc([C@H]2CCCO2)[nH][nH]1)c1c(F)ccc([O-])c1F ZINC000570637622 308174503 /nfs/dbraw/zinc/17/45/03/308174503.db2.gz ZXONKDNOEZYFPC-SNVBAGLBSA-N -1 1 309.272 1.919 20 0 DDADMM COc1cc(=NS(=O)(=O)c2sc(C)cc2C)[n-]n1C ZINC000572075849 308210156 /nfs/dbraw/zinc/21/01/56/308210156.db2.gz QJYZVOPCHSTRIZ-UHFFFAOYSA-N -1 1 301.393 1.330 20 0 DDADMM CN1CC[C@H](CNC(=O)c2c[nH]c3ccccc3c2=O)CC1=O ZINC000573599079 308246366 /nfs/dbraw/zinc/24/63/66/308246366.db2.gz SOKZMIRHOKXIKU-NSHDSACASA-N -1 1 313.357 1.126 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1ccc2ccccc2n1)c1nn[n-]n1 ZINC000574106197 308261119 /nfs/dbraw/zinc/26/11/19/308261119.db2.gz RIJFWBFHPQVWNG-GFCCVEGCSA-N -1 1 324.388 1.943 20 0 DDADMM CO[C@@H]1C[C@H](C(=O)[O-])N(C[C@H](O)COc2cc(C)ccc2C)C1 ZINC000576046179 308286634 /nfs/dbraw/zinc/28/66/34/308286634.db2.gz BSKMDWJCDKNBNP-RRFJBIMHSA-N -1 1 323.389 1.217 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCC(C)(C)O ZINC000577041210 308357982 /nfs/dbraw/zinc/35/79/82/308357982.db2.gz TUQWQIMOECGBTN-UHFFFAOYSA-N -1 1 306.366 1.219 20 0 DDADMM Cc1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c2c1CCC2 ZINC000577467116 308389861 /nfs/dbraw/zinc/38/98/61/308389861.db2.gz LBIRHFPTBMPBNX-UHFFFAOYSA-N -1 1 320.374 1.258 20 0 DDADMM Cc1ccc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c2c1CCC2 ZINC000577467116 308389862 /nfs/dbraw/zinc/38/98/62/308389862.db2.gz LBIRHFPTBMPBNX-UHFFFAOYSA-N -1 1 320.374 1.258 20 0 DDADMM CCCc1n[n-]c(=NC(=O)N2CC[C@H](c3nc[nH]n3)C2)s1 ZINC000582542640 337107094 /nfs/dbraw/zinc/10/70/94/337107094.db2.gz JLLLTEQBAKHKPV-QMMMGPOBSA-N -1 1 307.383 1.052 20 0 DDADMM C[C@@H]1CCN(c2ccc(=NCc3ccccc3F)[n-]n2)[C@@H]1CO ZINC000582775540 337147790 /nfs/dbraw/zinc/14/77/90/337147790.db2.gz XJSKSLLXZKGGGO-IUODEOHRSA-N -1 1 316.380 1.857 20 0 DDADMM O=C(c1cncc([O-])c1)N1C[C@@H]2CN(c3ccccc3)C[C@H]2C1 ZINC000582916973 337217822 /nfs/dbraw/zinc/21/78/22/337217822.db2.gz ZYHIKQFULQZPBM-GJZGRUSLSA-N -1 1 309.369 1.996 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C)O[C@]3(CCO[C@@H](C)C3)C2)c([O-])c1 ZINC000584388827 337245650 /nfs/dbraw/zinc/24/56/50/337245650.db2.gz ODABLSHXLQXGOK-DCGLDWPTSA-N -1 1 320.389 1.894 20 0 DDADMM CO[C@](C)(C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000372372163 483934638 /nfs/dbraw/zinc/93/46/38/483934638.db2.gz CCBSYYAHJUPZGZ-WBMJQRKESA-N -1 1 315.377 1.468 20 0 DDADMM Cc1cc(C)c([C@H](C)N2CCN(C(=O)C(=O)[O-])CC2)c(C)c1 ZINC000396725549 483999168 /nfs/dbraw/zinc/99/91/68/483999168.db2.gz YGFFNYWXLNWWRR-AWEZNQCLSA-N -1 1 304.390 1.902 20 0 DDADMM O=c1nc(N[C@@H](C[C@@H]2CCCO2)C(F)(F)F)nc2[nH][n-]cc1-2 ZINC000656224819 484033251 /nfs/dbraw/zinc/03/32/51/484033251.db2.gz XMNSAUFWBNSFSG-XPUUQOCRSA-N -1 1 317.271 1.344 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-][C@@H]1CCCC(F)(F)[C@H]1O ZINC000416620066 484087834 /nfs/dbraw/zinc/08/78/34/484087834.db2.gz GNVBUYMNSMALLG-SCZZXKLOSA-N -1 1 310.322 1.118 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc2c(cc[nH]c2=O)c1)C1CC1 ZINC000656634391 484252573 /nfs/dbraw/zinc/25/25/73/484252573.db2.gz WHHBCSXYUQLMAG-AWEZNQCLSA-N -1 1 322.386 1.695 20 0 DDADMM O=C([O-])CCN1CCC[C@@H](S(=O)(=O)Nc2ccccc2)C1 ZINC000656764220 484319710 /nfs/dbraw/zinc/31/97/10/484319710.db2.gz WIEMBQXYVDINHO-CYBMUJFWSA-N -1 1 312.391 1.367 20 0 DDADMM O=C([O-])[C@@H](NC(=O)NC[C@H]1CCN1Cc1ccccc1)C1CC1 ZINC000663271237 484777165 /nfs/dbraw/zinc/77/71/65/484777165.db2.gz KJKOBOZQIOBSMW-CABCVRRESA-N -1 1 317.389 1.423 20 0 DDADMM Cc1ccc(OCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cc1 ZINC000670405262 484808862 /nfs/dbraw/zinc/80/88/62/484808862.db2.gz YAPHVTORGREQTL-UHFFFAOYSA-N -1 1 317.345 1.869 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](CO)[C@H](C)c2ccccc2)c([O-])c1 ZINC000667977059 484887404 /nfs/dbraw/zinc/88/74/04/484887404.db2.gz KUVWMDHHCSAQEG-OCCSQVGLSA-N -1 1 300.358 1.990 20 0 DDADMM COc1ccccc1-c1cc([N-]S(=O)(=O)N2CCC2)n[nH]1 ZINC000671660350 485160437 /nfs/dbraw/zinc/16/04/37/485160437.db2.gz IVELNTKZQNMLCR-UHFFFAOYSA-N -1 1 308.363 1.448 20 0 DDADMM CCNc1nc(C(=O)NC2(c3nn[n-]n3)CCCC2)cs1 ZINC000668868423 485345235 /nfs/dbraw/zinc/34/52/35/485345235.db2.gz APSQIWOZPLKPKD-UHFFFAOYSA-N -1 1 307.383 1.287 20 0 DDADMM CCc1nc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c(C)o1 ZINC000672770626 485346310 /nfs/dbraw/zinc/34/63/10/485346310.db2.gz IBZZQLHGJZJKEX-CYBMUJFWSA-N -1 1 312.333 1.578 20 0 DDADMM O=C(NC[C@@H](CO)c1ccc(Cl)cc1)c1ncccc1[O-] ZINC000673377264 485408192 /nfs/dbraw/zinc/40/81/92/485408192.db2.gz QZACHBDCHICAQL-NSHDSACASA-N -1 1 306.749 1.947 20 0 DDADMM CSc1nc(C(C)C)nc(C)c1C(=O)NCc1nn[n-]n1 ZINC000673837182 485454262 /nfs/dbraw/zinc/45/42/62/485454262.db2.gz FDPOHPVZUYVOBS-UHFFFAOYSA-N -1 1 307.383 1.073 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H]2CCO[C@@H](C)C2)c(F)c1 ZINC000678301689 485533951 /nfs/dbraw/zinc/53/39/51/485533951.db2.gz FNZVPKZLSMRYRZ-IUCAKERBSA-N -1 1 321.345 1.819 20 0 DDADMM COCCN(CC(=O)[O-])C(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000676208395 486143336 /nfs/dbraw/zinc/14/33/36/486143336.db2.gz WZHHPTPHUFWIHG-HNNXBMFYSA-N -1 1 320.389 1.211 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCO[C@@H](C(=O)C2CC2)C1 ZINC000683910150 486158765 /nfs/dbraw/zinc/15/87/65/486158765.db2.gz QZRTZJOVLBVYOW-CYBMUJFWSA-N -1 1 309.749 1.866 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc2cccc(F)c2o1)c1nn[n-]n1 ZINC000680525368 486213303 /nfs/dbraw/zinc/21/33/03/486213303.db2.gz RZZSAODKDCCFLK-QMMMGPOBSA-N -1 1 303.297 1.961 20 0 DDADMM C[C@@](NC(=O)c1ccc([O-])c(F)c1)(C(N)=O)c1ccccc1 ZINC000681037219 486327336 /nfs/dbraw/zinc/32/73/36/486327336.db2.gz ASAFYADZWPMABF-INIZCTEOSA-N -1 1 302.305 1.662 20 0 DDADMM O=C([N-]c1nn2cnnc2s1)c1csc([C@H]2CCCO2)n1 ZINC000677396713 486455979 /nfs/dbraw/zinc/45/59/79/486455979.db2.gz IFWJCZFTSRSHOQ-SSDOTTSWSA-N -1 1 322.375 1.746 20 0 DDADMM O=C(CCn1ccc2cc(Cl)ccc21)NCc1nn[n-]n1 ZINC000677547408 486494689 /nfs/dbraw/zinc/49/46/89/486494689.db2.gz XAXBOVDFCQWCNE-UHFFFAOYSA-N -1 1 304.741 1.514 20 0 DDADMM CN(Cc1nnc2ccccn21)C(=O)c1c(F)ccc([O-])c1F ZINC000685428178 486537425 /nfs/dbraw/zinc/53/74/25/486537425.db2.gz SKGIARDSORHOMP-UHFFFAOYSA-N -1 1 318.283 1.985 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1(c2ccc(F)cc2)CC1 ZINC000685496507 486549760 /nfs/dbraw/zinc/54/97/60/486549760.db2.gz GLKLFXSQVXYBPQ-UHFFFAOYSA-N -1 1 311.338 1.672 20 0 DDADMM COC(=O)c1cc(C)cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000457717998 533752362 /nfs/dbraw/zinc/75/23/62/533752362.db2.gz YGOLCASDLFZZQS-SNVBAGLBSA-N -1 1 317.349 1.170 20 0 DDADMM COc1ccc(NC(=O)[C@@H]2CC2(F)F)cc1[N-]S(C)(=O)=O ZINC000294492026 534149904 /nfs/dbraw/zinc/14/99/04/534149904.db2.gz PQSKAHDACDKJHX-QMMMGPOBSA-N -1 1 320.317 1.660 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@H](C)C(=O)NC(C)C)c2=O ZINC000412861793 534400595 /nfs/dbraw/zinc/40/05/95/534400595.db2.gz YSWYIXXSQOXIHR-SNVBAGLBSA-N -1 1 316.361 1.287 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@H](C)C(=O)NC(C)C)cnc2n1 ZINC000412861793 534400599 /nfs/dbraw/zinc/40/05/99/534400599.db2.gz YSWYIXXSQOXIHR-SNVBAGLBSA-N -1 1 316.361 1.287 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C2CC(C)(F)C2)c(C(F)(F)F)n1 ZINC000331819609 534751254 /nfs/dbraw/zinc/75/12/54/534751254.db2.gz WEOKRGOKAHYOQW-UHFFFAOYSA-N -1 1 315.292 1.608 20 0 DDADMM O=C([N-]CC1CCN(C(=O)OCC2CC2)CC1)C(F)(F)F ZINC000495065135 534819219 /nfs/dbraw/zinc/81/92/19/534819219.db2.gz GWQYDSXWKMRLLK-UHFFFAOYSA-N -1 1 308.300 1.924 20 0 DDADMM N=c1nc(N2CCN(C(=O)C=Cc3ccsc3)CC2)s[n-]1 ZINC000492054780 534841626 /nfs/dbraw/zinc/84/16/26/534841626.db2.gz AAKUDLYDYVJZLR-OWOJBTEDSA-N -1 1 321.431 1.374 20 0 DDADMM CC(C)(CO)O[N-]C(=O)Cc1ccc(Br)s1 ZINC000297229307 527208941 /nfs/dbraw/zinc/20/89/41/527208941.db2.gz OKYJBRGVZJVJFL-UHFFFAOYSA-N -1 1 308.197 1.872 20 0 DDADMM CCOC(=O)[C@@H](C)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425163731 527722345 /nfs/dbraw/zinc/72/23/45/527722345.db2.gz DBBXVTMCJQHWHD-VIFPVBQESA-N -1 1 321.345 1.751 20 0 DDADMM CC(C)CN1CCO[C@H](CN=c2[n-]nc(-c3ccon3)s2)C1 ZINC000328799332 528015804 /nfs/dbraw/zinc/01/58/04/528015804.db2.gz HCSMPVTVFXEBMM-LLVKDONJSA-N -1 1 323.422 1.384 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1cccc(OC)c1)c1nn[n-]n1 ZINC000492111389 528167915 /nfs/dbraw/zinc/16/79/15/528167915.db2.gz LKEGMLPYQUSJJV-YXVBKTCISA-N -1 1 301.350 1.879 20 0 DDADMM CCNC(=O)c1ccc(=NCC[C@H](OC)C(CC)CC)[n-]n1 ZINC000446876983 528228470 /nfs/dbraw/zinc/22/84/70/528228470.db2.gz JCXAQSOYXXKDBJ-AWEZNQCLSA-N -1 1 308.426 1.901 20 0 DDADMM CCOc1cccc(S(=O)(=O)[N-]c2cccc(C(N)=O)c2)c1 ZINC000443416823 528679961 /nfs/dbraw/zinc/67/99/61/528679961.db2.gz VBMILADVFMKGTK-UHFFFAOYSA-N -1 1 320.370 1.985 20 0 DDADMM CC(C)Cn1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000331773959 528699862 /nfs/dbraw/zinc/69/98/62/528699862.db2.gz DTSGGWZNPJVJOZ-LLVKDONJSA-N -1 1 319.365 1.648 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc2cc(F)ccc2o1)C(N)=O ZINC000451567770 529195617 /nfs/dbraw/zinc/19/56/17/529195617.db2.gz OUFFSPQIZBPEIH-SECBINFHSA-N -1 1 300.311 1.114 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)Cc2cccs2)o1 ZINC000030601794 536313397 /nfs/dbraw/zinc/31/33/97/536313397.db2.gz RTGHGXGYGMRRML-UHFFFAOYSA-N -1 1 314.388 1.521 20 0 DDADMM CCOC(=O)CCCOC(=O)c1sccc1-c1nn[n-]n1 ZINC000736592367 598843883 /nfs/dbraw/zinc/84/38/83/598843883.db2.gz OYHDYVVKSOMODJ-UHFFFAOYSA-N -1 1 310.335 1.428 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NCCc1cccnc1 ZINC000738446054 598935330 /nfs/dbraw/zinc/93/53/30/598935330.db2.gz SEOKYJFXAPVXKM-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NCCc1cccnc1 ZINC000738446054 598935332 /nfs/dbraw/zinc/93/53/32/598935332.db2.gz SEOKYJFXAPVXKM-UHFFFAOYSA-N -1 1 318.344 1.860 20 0 DDADMM CC[C@@H]1CCC[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736399864 598942600 /nfs/dbraw/zinc/94/26/00/598942600.db2.gz LDBJZNOFYUWHCM-ZYHUDNBSSA-N -1 1 300.366 1.960 20 0 DDADMM CC[C@@H]1CCC[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736399864 598942601 /nfs/dbraw/zinc/94/26/01/598942601.db2.gz LDBJZNOFYUWHCM-ZYHUDNBSSA-N -1 1 300.366 1.960 20 0 DDADMM COC(=O)c1cccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000736949415 598981525 /nfs/dbraw/zinc/98/15/25/598981525.db2.gz UKZIOZIQFHINDC-UHFFFAOYSA-N -1 1 324.300 1.301 20 0 DDADMM COC(=O)c1cccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000736949415 598981527 /nfs/dbraw/zinc/98/15/27/598981527.db2.gz UKZIOZIQFHINDC-UHFFFAOYSA-N -1 1 324.300 1.301 20 0 DDADMM CN(C(=O)c1ccc(-c2nnn[n-]2)nc1)C1Cc2ccccc2C1 ZINC000736741529 598997414 /nfs/dbraw/zinc/99/74/14/598997414.db2.gz FKZVVVBVGWTRAX-UHFFFAOYSA-N -1 1 320.356 1.501 20 0 DDADMM CN(C(=O)c1ccc(-c2nn[n-]n2)nc1)C1Cc2ccccc2C1 ZINC000736741529 598997416 /nfs/dbraw/zinc/99/74/16/598997416.db2.gz FKZVVVBVGWTRAX-UHFFFAOYSA-N -1 1 320.356 1.501 20 0 DDADMM OCC[C@@H]1CCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000823717478 599037988 /nfs/dbraw/zinc/03/79/88/599037988.db2.gz HQFHQLFPCWNUAG-LURJTMIESA-N -1 1 300.775 1.185 20 0 DDADMM OCC[C@@H]1CCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000823717478 599037991 /nfs/dbraw/zinc/03/79/91/599037991.db2.gz HQFHQLFPCWNUAG-LURJTMIESA-N -1 1 300.775 1.185 20 0 DDADMM O=C(N[C@@H]1C[C@H]1C1CCCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738020314 599096347 /nfs/dbraw/zinc/09/63/47/599096347.db2.gz NGGUAPNJFWMBAR-GXTWGEPZSA-N -1 1 312.377 1.960 20 0 DDADMM O=C(N[C@@H]1C[C@H]1C1CCCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738020314 599096350 /nfs/dbraw/zinc/09/63/50/599096350.db2.gz NGGUAPNJFWMBAR-GXTWGEPZSA-N -1 1 312.377 1.960 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)Nc1cccc(N2CCCC2=O)c1 ZINC000737991387 597003082 /nfs/dbraw/zinc/00/30/82/597003082.db2.gz FGHITPJISYFEOK-UHFFFAOYSA-N -1 1 319.361 1.158 20 0 DDADMM C[C@H](c1ccncc1)N1CCC(NC(=O)NCCC(=O)[O-])CC1 ZINC000820199741 597354898 /nfs/dbraw/zinc/35/48/98/597354898.db2.gz GKHAJGNBBWQVRG-GFCCVEGCSA-N -1 1 320.393 1.381 20 0 DDADMM CN1CC[C@H](NC(=O)CSCC(=O)[O-])[C@H]1c1ccccc1 ZINC000820620724 597452418 /nfs/dbraw/zinc/45/24/18/597452418.db2.gz RETQRFYSDBHCES-SWLSCSKDSA-N -1 1 308.403 1.366 20 0 DDADMM Cc1nnnn1-c1cccc(NCc2ccc(-c3nnn[n-]3)o2)c1 ZINC000822520215 607313356 /nfs/dbraw/zinc/31/33/56/607313356.db2.gz TURARILSTGEDFO-UHFFFAOYSA-N -1 1 323.320 1.356 20 0 DDADMM Cc1nnnn1-c1cccc(NCc2ccc(-c3nn[n-]n3)o2)c1 ZINC000822520215 607313357 /nfs/dbraw/zinc/31/33/57/607313357.db2.gz TURARILSTGEDFO-UHFFFAOYSA-N -1 1 323.320 1.356 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)NC[C@@H](c2ccco2)N2CCCC2)C1 ZINC000819258157 597506422 /nfs/dbraw/zinc/50/64/22/597506422.db2.gz QTVATSDPBOIEFQ-OLZOCXBDSA-N -1 1 321.377 1.533 20 0 DDADMM Cc1ccc([C@@H]2CC(=O)N(CN3CC[C@H](C(=O)[O-])C3)C2)cc1 ZINC000821075611 597759493 /nfs/dbraw/zinc/75/94/93/597759493.db2.gz CSJLHCYYTLYRMR-LSDHHAIUSA-N -1 1 302.374 1.675 20 0 DDADMM CN(CC(=O)N[C@H](Cc1ccccc1)C(=O)[O-])[C@H]1CCSC1 ZINC000820562195 598089281 /nfs/dbraw/zinc/08/92/81/598089281.db2.gz AVOLJVOUCYDKKZ-UONOGXRCSA-N -1 1 322.430 1.236 20 0 DDADMM CC(C)[C@@H](NCC(=O)NCCc1c(F)cccc1F)C(=O)[O-] ZINC000820041622 598189253 /nfs/dbraw/zinc/18/92/53/598189253.db2.gz LKOSEDNCAXVYTL-CQSZACIVSA-N -1 1 314.332 1.322 20 0 DDADMM CC(C)CC[C@@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735797454 598258717 /nfs/dbraw/zinc/25/87/17/598258717.db2.gz POOZNGMPCGWOBQ-SNVBAGLBSA-N -1 1 324.410 1.365 20 0 DDADMM CC(C)CC[C@@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735797454 598258718 /nfs/dbraw/zinc/25/87/18/598258718.db2.gz POOZNGMPCGWOBQ-SNVBAGLBSA-N -1 1 324.410 1.365 20 0 DDADMM CC(C)N(CC1CC1)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735859584 598263847 /nfs/dbraw/zinc/26/38/47/598263847.db2.gz YAUJTAPWOFXANC-UHFFFAOYSA-N -1 1 322.394 1.071 20 0 DDADMM CC(C)N(CC1CC1)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735859584 598263845 /nfs/dbraw/zinc/26/38/45/598263845.db2.gz YAUJTAPWOFXANC-UHFFFAOYSA-N -1 1 322.394 1.071 20 0 DDADMM CCO[C@@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000736610886 598276242 /nfs/dbraw/zinc/27/62/42/598276242.db2.gz YGRRMEDTGHCOII-SECBINFHSA-N -1 1 308.773 1.920 20 0 DDADMM CCO[C@@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000736610886 598276244 /nfs/dbraw/zinc/27/62/44/598276244.db2.gz YGRRMEDTGHCOII-SECBINFHSA-N -1 1 308.773 1.920 20 0 DDADMM Cc1cccc(C)c1OCCNc1nccnc1-c1nnn[n-]1 ZINC000737390140 598344215 /nfs/dbraw/zinc/34/42/15/598344215.db2.gz QAJLHSULDVCXDY-UHFFFAOYSA-N -1 1 311.349 1.764 20 0 DDADMM Cc1cccc(C)c1OCCNc1nccnc1-c1nn[n-]n1 ZINC000737390140 598344216 /nfs/dbraw/zinc/34/42/16/598344216.db2.gz QAJLHSULDVCXDY-UHFFFAOYSA-N -1 1 311.349 1.764 20 0 DDADMM CCOc1ccccc1CCNc1nccnc1-c1nnn[n-]1 ZINC000736654741 598521125 /nfs/dbraw/zinc/52/11/25/598521125.db2.gz XDJUAYDQNQDKBD-UHFFFAOYSA-N -1 1 311.349 1.710 20 0 DDADMM CCOc1ccccc1CCNc1nccnc1-c1nn[n-]n1 ZINC000736654741 598521126 /nfs/dbraw/zinc/52/11/26/598521126.db2.gz XDJUAYDQNQDKBD-UHFFFAOYSA-N -1 1 311.349 1.710 20 0 DDADMM Cc1noc(CCNc2snc(Cl)c2-c2nnn[n-]2)n1 ZINC000737545043 598747543 /nfs/dbraw/zinc/74/75/43/598747543.db2.gz DMZCSPGZRDFXFI-UHFFFAOYSA-N -1 1 312.746 1.323 20 0 DDADMM Cc1noc(CCNc2snc(Cl)c2-c2nn[n-]n2)n1 ZINC000737545043 598747544 /nfs/dbraw/zinc/74/75/44/598747544.db2.gz DMZCSPGZRDFXFI-UHFFFAOYSA-N -1 1 312.746 1.323 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@H]1C[C@H]1C1CCCCC1 ZINC000822904313 599319439 /nfs/dbraw/zinc/31/94/39/599319439.db2.gz VSGYGQYUGBYJMX-UWVGGRQHSA-N -1 1 301.354 1.502 20 0 DDADMM Fc1cccc(OCCNc2cccc(-c3nnn[n-]3)n2)c1 ZINC000737664853 599407245 /nfs/dbraw/zinc/40/72/45/599407245.db2.gz PJZSGDKQSVVOQJ-UHFFFAOYSA-N -1 1 300.297 1.892 20 0 DDADMM Fc1cccc(OCCNc2cccc(-c3nn[n-]n3)n2)c1 ZINC000737664853 599407248 /nfs/dbraw/zinc/40/72/48/599407248.db2.gz PJZSGDKQSVVOQJ-UHFFFAOYSA-N -1 1 300.297 1.892 20 0 DDADMM COc1ccc2c(c1)CN(c1cccc(-c3nnn[n-]3)n1)CC2 ZINC000737119951 599417149 /nfs/dbraw/zinc/41/71/49/599417149.db2.gz WNJPPEMSEHPWBI-UHFFFAOYSA-N -1 1 308.345 1.833 20 0 DDADMM COc1ccc2c(c1)CN(c1cccc(-c3nn[n-]n3)n1)CC2 ZINC000737119951 599417150 /nfs/dbraw/zinc/41/71/50/599417150.db2.gz WNJPPEMSEHPWBI-UHFFFAOYSA-N -1 1 308.345 1.833 20 0 DDADMM FC(F)(F)CN1CC[C@H](Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC000737628351 599420712 /nfs/dbraw/zinc/42/07/12/599420712.db2.gz UHEQYPZHRCIACP-QMMMGPOBSA-N -1 1 313.287 1.310 20 0 DDADMM FC(F)(F)CN1CC[C@H](Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC000737628351 599420715 /nfs/dbraw/zinc/42/07/15/599420715.db2.gz UHEQYPZHRCIACP-QMMMGPOBSA-N -1 1 313.287 1.310 20 0 DDADMM CC(=O)NC1CCC(Nc2cccc(-c3nnn[n-]3)n2)CC1 ZINC000735475796 599423895 /nfs/dbraw/zinc/42/38/95/599423895.db2.gz STZQKIHJTFNIBE-UHFFFAOYSA-N -1 1 301.354 1.121 20 0 DDADMM CC(=O)NC1CCC(Nc2cccc(-c3nn[n-]n3)n2)CC1 ZINC000735475796 599423896 /nfs/dbraw/zinc/42/38/96/599423896.db2.gz STZQKIHJTFNIBE-UHFFFAOYSA-N -1 1 301.354 1.121 20 0 DDADMM CC(C)[C@@H](CNC(=O)CNC(C)(C)C(=O)[O-])c1ccccc1 ZINC000736351416 599924894 /nfs/dbraw/zinc/92/48/94/599924894.db2.gz FKEQOYWJKOMFHN-CQSZACIVSA-N -1 1 306.406 1.995 20 0 DDADMM O=C([O-])[C@H]1C[C@@H]1C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC000323313620 600106827 /nfs/dbraw/zinc/10/68/27/600106827.db2.gz GQPBKOAADYFIGO-GJZGRUSLSA-N -1 1 302.374 1.442 20 0 DDADMM CCC[C@@](C)(NCC(=O)N(C)Cc1ccccc1OC)C(=O)[O-] ZINC000736962380 600153991 /nfs/dbraw/zinc/15/39/91/600153991.db2.gz ZYHAJTBMAKAJCY-QGZVFWFLSA-N -1 1 322.405 1.887 20 0 DDADMM C[C@@H](c1cc(F)ccc1F)N(C)CCC(=O)N(C)CC(=O)[O-] ZINC000736715329 600160210 /nfs/dbraw/zinc/16/02/10/600160210.db2.gz QGQJZGBQKVTSIQ-JTQLQIEISA-N -1 1 314.332 1.891 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)[O-] ZINC000737523079 600174795 /nfs/dbraw/zinc/17/47/95/600174795.db2.gz SUYAUDBUCDYLDL-HUUCEWRRSA-N -1 1 315.373 1.374 20 0 DDADMM O=C([O-])c1cn(CN2CC[C@@H](Cc3ccc(F)cc3)C2)nn1 ZINC000740253433 600259653 /nfs/dbraw/zinc/25/96/53/600259653.db2.gz JXSVHHCYDPGSMA-LBPRGKRZSA-N -1 1 304.325 1.638 20 0 DDADMM C[C@@H]1C[C@H](c2ccc(F)cc2)CN1CC(=O)NCCC(=O)[O-] ZINC000736761804 600263846 /nfs/dbraw/zinc/26/38/46/600263846.db2.gz QGMQVWFKTFIUDO-YPMHNXCESA-N -1 1 308.353 1.594 20 0 DDADMM C[C@H]1CO[C@@H](c2ccccc2)CN1CCC(=O)N(C)CC(=O)[O-] ZINC000736821911 600297619 /nfs/dbraw/zinc/29/76/19/600297619.db2.gz OSTUBIDCNNTACP-DZGCQCFKSA-N -1 1 320.389 1.382 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)c1cccc(C(=O)[O-])n1 ZINC000316525065 600311821 /nfs/dbraw/zinc/31/18/21/600311821.db2.gz FSJUGDKHEJBZSL-VXGBXAGGSA-N -1 1 303.362 1.479 20 0 DDADMM CN([C@H]1CCN(C2CC2)C1)S(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000737387889 600349258 /nfs/dbraw/zinc/34/92/58/600349258.db2.gz VQJWLPJGOGFKSL-ZDUSSCGKSA-N -1 1 324.402 1.242 20 0 DDADMM CN1CCC[C@H]1C(=O)N(CC(=O)[O-])Cc1ccc(F)c(F)c1 ZINC000737518594 600377483 /nfs/dbraw/zinc/37/74/83/600377483.db2.gz DJUKHRWCQBGXBX-ZDUSSCGKSA-N -1 1 312.316 1.472 20 0 DDADMM COCCN(CC(=O)[O-])C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000737771462 600641782 /nfs/dbraw/zinc/64/17/82/600641782.db2.gz UCRJCHZNEFBEJG-UHFFFAOYSA-N -1 1 303.318 1.250 20 0 DDADMM C[C@H](O)C1CCN(Cn2ncc3cc(C(=O)[O-])ccc32)CC1 ZINC000736677945 600686834 /nfs/dbraw/zinc/68/68/34/600686834.db2.gz RJRDGFGCWYFMEA-NSHDSACASA-N -1 1 303.362 1.785 20 0 DDADMM Cc1cccc(NC2CCN(CC(=O)NCCC(=O)[O-])CC2)c1 ZINC000738735936 600796574 /nfs/dbraw/zinc/79/65/74/600796574.db2.gz FMUSRLYRSBSBQW-UHFFFAOYSA-N -1 1 319.405 1.462 20 0 DDADMM CN(C)c1cccc([C@H]2CCCN2CC(=O)NCCC(=O)[O-])c1 ZINC000830643522 600835746 /nfs/dbraw/zinc/83/57/46/600835746.db2.gz HPQQYJRFUNASAM-OAHLLOKOSA-N -1 1 319.405 1.480 20 0 DDADMM C[C@H](c1nc(-c2cccnc2)no1)N1CCC[C@H](C(=O)[O-])C1 ZINC000736726648 600844820 /nfs/dbraw/zinc/84/48/20/600844820.db2.gz VXQALPKYRQTMEN-PWSUYJOCSA-N -1 1 302.334 1.989 20 0 DDADMM CCCN(CC(=O)Nc1ccsc1C(=O)[O-])CC(C)(C)O ZINC000737011105 600977547 /nfs/dbraw/zinc/97/75/47/600977547.db2.gz RFUIOPGWKYCMKG-UHFFFAOYSA-N -1 1 314.407 1.868 20 0 DDADMM CC1CCN(C(=O)CN2CCSC[C@H]2CC(=O)[O-])CC1 ZINC000091130749 601025110 /nfs/dbraw/zinc/02/51/10/601025110.db2.gz OGDABNMLAUMTAF-GFCCVEGCSA-N -1 1 300.424 1.137 20 0 DDADMM C[C@@](NCC(=O)N1CCCc2ccccc21)(C(=O)[O-])C1CC1 ZINC000827726686 601033782 /nfs/dbraw/zinc/03/37/82/601033782.db2.gz BPFQYKLYPOARKQ-KRWDZBQOSA-N -1 1 302.374 1.809 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)Nc1ccn(CC(=O)[O-])n1 ZINC000832848771 601082633 /nfs/dbraw/zinc/08/26/33/601082633.db2.gz NINGFGJFQOAYME-UHFFFAOYSA-N -1 1 317.227 1.270 20 0 DDADMM CCCCC[C@H](O)CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000829349251 601084919 /nfs/dbraw/zinc/08/49/19/601084919.db2.gz VQVMZQZHWAWPTJ-KGLIPLIRSA-N -1 1 314.426 1.325 20 0 DDADMM COc1ccccc1NC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000832623447 601123480 /nfs/dbraw/zinc/12/34/80/601123480.db2.gz QARPGHIDJFTXOU-GFCCVEGCSA-N -1 1 321.377 1.708 20 0 DDADMM COc1cccc(CN(C)C(=O)CN[C@@](C)(C(=O)[O-])C2CC2)c1 ZINC000832549830 601174898 /nfs/dbraw/zinc/17/48/98/601174898.db2.gz RBUQZTAHCNYSFD-QGZVFWFLSA-N -1 1 320.389 1.497 20 0 DDADMM CC(C)[C@H](NC(=O)CSc1n[nH]c(=S)s1)C(=O)[O-] ZINC000817965312 601273919 /nfs/dbraw/zinc/27/39/19/601273919.db2.gz LXYYJGYKDZJXCA-LURJTMIESA-N -1 1 307.422 1.144 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)CC2(C)CCCCC2)n1 ZINC000826356857 607800909 /nfs/dbraw/zinc/80/09/09/607800909.db2.gz IPMFQBDWUZHPAI-UHFFFAOYSA-N -1 1 303.370 1.899 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(C[C@H](O)COc2ccc(Cl)cc2)C1 ZINC000833174253 601444090 /nfs/dbraw/zinc/44/40/90/601444090.db2.gz CSIJIKPBEXDABK-SMDDNHRTSA-N -1 1 317.744 1.578 20 0 DDADMM CN(C1CCN(C)CC1)S(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000013060393 601481147 /nfs/dbraw/zinc/48/11/47/601481147.db2.gz CFILGWSLDMQBJY-UHFFFAOYSA-N -1 1 312.391 1.100 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NCc1ccsc1C(=O)OC ZINC000831585795 601490098 /nfs/dbraw/zinc/49/00/98/601490098.db2.gz PSNIACKQMQYZHZ-CYBMUJFWSA-N -1 1 301.364 1.504 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N[C@]2(C(=O)[O-])CCOC2)o1 ZINC000315940409 601725033 /nfs/dbraw/zinc/72/50/33/601725033.db2.gz SPHFJCWEHFATHT-OAHLLOKOSA-N -1 1 310.350 1.095 20 0 DDADMM O=[S@](Cc1ccnc(-c2nnn[n-]2)c1)c1cc(F)ccc1F ZINC000826496169 607528593 /nfs/dbraw/zinc/52/85/93/607528593.db2.gz NHLBLKPSBXLHSI-JOCHJYFZSA-N -1 1 321.312 1.848 20 0 DDADMM O=[S@](Cc1ccnc(-c2nn[n-]n2)c1)c1cc(F)ccc1F ZINC000826496169 607528595 /nfs/dbraw/zinc/52/85/95/607528595.db2.gz NHLBLKPSBXLHSI-JOCHJYFZSA-N -1 1 321.312 1.848 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2nnn[n-]2)c1)C1CCCCC1 ZINC000826486935 607531400 /nfs/dbraw/zinc/53/14/00/607531400.db2.gz XMBGLEFGQOQWNE-UHFFFAOYSA-N -1 1 307.379 1.509 20 0 DDADMM O=S(=O)(Cc1ccnc(-c2nn[n-]n2)c1)C1CCCCC1 ZINC000826486935 607531402 /nfs/dbraw/zinc/53/14/02/607531402.db2.gz XMBGLEFGQOQWNE-UHFFFAOYSA-N -1 1 307.379 1.509 20 0 DDADMM C[C@@H](C(=O)N1CCCCC1)N1CCSC[C@H]1CC(=O)[O-] ZINC000251026555 601921408 /nfs/dbraw/zinc/92/14/08/601921408.db2.gz HIMCDWWCXGROLJ-NWDGAFQWSA-N -1 1 300.424 1.280 20 0 DDADMM C[C@@H](C(=O)NCC1CCCCC1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738624047 601959432 /nfs/dbraw/zinc/95/94/32/601959432.db2.gz KGZGDZRNQDOONB-GXTWGEPZSA-N -1 1 311.426 1.661 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)N2CCc3ccccc32)C1 ZINC000740640556 601964346 /nfs/dbraw/zinc/96/43/46/601964346.db2.gz DSGPRRXIUFRCBW-LBPRGKRZSA-N -1 1 303.362 1.165 20 0 DDADMM CC[C@H](C[C@@H](C)CO)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825082055 607994306 /nfs/dbraw/zinc/99/43/06/607994306.db2.gz PHGMOGBESXDMBF-RKDXNWHRSA-N -1 1 309.395 1.455 20 0 DDADMM CC[C@H](C[C@@H](C)CO)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825082055 607994307 /nfs/dbraw/zinc/99/43/07/607994307.db2.gz PHGMOGBESXDMBF-RKDXNWHRSA-N -1 1 309.395 1.455 20 0 DDADMM CC(C)(CNC(=O)[O-])NS(=O)(=O)c1c(F)cccc1F ZINC000738752961 602318030 /nfs/dbraw/zinc/31/80/30/602318030.db2.gz RYDNELKGDRWCRO-UHFFFAOYSA-N -1 1 308.306 1.289 20 0 DDADMM CCN(CC)[C@H](C(=O)N[C@H]1CCN(C(=O)[O-])C1)c1ccccc1 ZINC000739408690 602532578 /nfs/dbraw/zinc/53/25/78/602532578.db2.gz YWIQZZYPKNFZJI-GJZGRUSLSA-N -1 1 319.405 1.938 20 0 DDADMM C[C@@H]1CCCC[C@@H]1NC(=O)CN1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000739096851 602538248 /nfs/dbraw/zinc/53/82/48/602538248.db2.gz FHIAPHCINNAHIJ-MCIONIFRSA-N -1 1 311.426 1.613 20 0 DDADMM COc1ccc(OC)c([C@@H](O)CN(C)[C@H](C)CNC(=O)[O-])c1 ZINC000739930385 602555186 /nfs/dbraw/zinc/55/51/86/602555186.db2.gz MJOKDHDDPMEROL-MFKMUULPSA-N -1 1 312.366 1.325 20 0 DDADMM CC(C)N(C)S(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000824288324 607573128 /nfs/dbraw/zinc/57/31/28/607573128.db2.gz CVZWMYHYZRCCDP-UHFFFAOYSA-N -1 1 315.786 1.549 20 0 DDADMM CC(C)N(C)S(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000824288324 607573130 /nfs/dbraw/zinc/57/31/30/607573130.db2.gz CVZWMYHYZRCCDP-UHFFFAOYSA-N -1 1 315.786 1.549 20 0 DDADMM Cc1nnc(NC(=O)c2cccn2C2CCN(C(=O)[O-])CC2)[nH]1 ZINC000740223289 602700365 /nfs/dbraw/zinc/70/03/65/602700365.db2.gz PYFSWJKBPALSAZ-UHFFFAOYSA-N -1 1 318.337 1.482 20 0 DDADMM Cc1n[nH]c(NC(=O)c2cccn2C2CCN(C(=O)[O-])CC2)n1 ZINC000740223289 602700366 /nfs/dbraw/zinc/70/03/66/602700366.db2.gz PYFSWJKBPALSAZ-UHFFFAOYSA-N -1 1 318.337 1.482 20 0 DDADMM O=C([O-])N1CC[C@@H](CCNC(=O)N2CCN3CCCC[C@H]3C2)C1 ZINC000740502561 602722330 /nfs/dbraw/zinc/72/23/30/602722330.db2.gz TVTIBTBXJPNJBH-KGLIPLIRSA-N -1 1 324.425 1.256 20 0 DDADMM C[C@H]1CN(CC(=O)N(C)C2CCCCC2)CC[C@H]1NC(=O)[O-] ZINC000739174575 602771234 /nfs/dbraw/zinc/77/12/34/602771234.db2.gz PNRPPMGEAAODOT-GXTWGEPZSA-N -1 1 311.426 1.756 20 0 DDADMM O=C([O-])Nc1ccc(C(=O)N2CC[C@@H]([NH+]3CCCC3)C2)c([O-])c1 ZINC000740700222 602859891 /nfs/dbraw/zinc/85/98/91/602859891.db2.gz TUXDMWJHEXQDHE-GFCCVEGCSA-N -1 1 319.361 1.792 20 0 DDADMM CCc1cc(CNC(=O)N[C@@H](C)[C@@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000739537721 602911422 /nfs/dbraw/zinc/91/14/22/602911422.db2.gz GXEQHCLEFWOZAT-WDEREUQCSA-N -1 1 323.397 1.550 20 0 DDADMM C[C@H]1CN(C(=O)[C@H](Cc2ccccc2)N(C)C)CCN1C(=O)[O-] ZINC000739124125 602943550 /nfs/dbraw/zinc/94/35/50/602943550.db2.gz OVBNOIPJKVPUBW-ZFWWWQNUSA-N -1 1 319.405 1.370 20 0 DDADMM Cc1cc(CN2CCN(C[C@@H]3CCN(C(=O)[O-])C3)CC2)on1 ZINC000740072110 602977863 /nfs/dbraw/zinc/97/78/63/602977863.db2.gz CCKFDMCGHGFYLG-ZDUSSCGKSA-N -1 1 308.382 1.101 20 0 DDADMM CCN(CCNC(=O)N1C[C@H]2CCCCN2C[C@H]1C)C(=O)[O-] ZINC000739427156 602994348 /nfs/dbraw/zinc/99/43/48/602994348.db2.gz PFXWLLDNGVKOAP-CHWSQXEVSA-N -1 1 312.414 1.255 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)NC[C@H]1CCCN1C(=O)[O-] ZINC000739194648 602995936 /nfs/dbraw/zinc/99/59/36/602995936.db2.gz BINWFTDPAIXEIN-MCIONIFRSA-N -1 1 324.425 1.397 20 0 DDADMM O=C([O-])N1[C@@H]2CC[C@H]1CC(NC(=O)c1ccc3[nH]nnc3c1)C2 ZINC000740394087 603001684 /nfs/dbraw/zinc/00/16/84/603001684.db2.gz FEACVPALYLKFRB-FGWVZKOKSA-N -1 1 315.333 1.361 20 0 DDADMM C[C@H](C(=O)NC1CCN(C(=O)[O-])CC1)N(C)Cc1ccccc1 ZINC000738623629 603118123 /nfs/dbraw/zinc/11/81/23/603118123.db2.gz IIVCYLBESJWJRW-CYBMUJFWSA-N -1 1 319.405 1.766 20 0 DDADMM CC(C)[C@H](CNC(=O)[C@H](C)N(C)Cc1ccccc1)NC(=O)[O-] ZINC000738773901 603179073 /nfs/dbraw/zinc/17/90/73/603179073.db2.gz LJHHCJIJWOGGGM-ZFWWWQNUSA-N -1 1 321.421 1.915 20 0 DDADMM CCN(C)[C@@H](C(=O)N(C)CCCNC(=O)[O-])c1ccccc1 ZINC000739385769 603236665 /nfs/dbraw/zinc/23/66/65/603236665.db2.gz VNIIRDJNOZPGSE-CQSZACIVSA-N -1 1 307.394 1.796 20 0 DDADMM COc1cccc([C@H](CNC(=O)C[C@H](C)NC(=O)[O-])N(C)C)c1 ZINC000829544395 603251013 /nfs/dbraw/zinc/25/10/13/603251013.db2.gz UYFBXSJBQYYJKA-FZMZJTMJSA-N -1 1 323.393 1.460 20 0 DDADMM CN(C)[C@@H](CNC(=O)[C@H]1CCN(C(=O)[O-])C1)c1cccs1 ZINC000739603045 603265646 /nfs/dbraw/zinc/26/56/46/603265646.db2.gz RWOUGUBSWMRGIC-QWRGUYRKSA-N -1 1 311.407 1.467 20 0 DDADMM Cc1ccc(N2CC[C@@H](N(C)CCCNC(=O)[O-])C2=O)cc1 ZINC000740129723 603349786 /nfs/dbraw/zinc/34/97/86/603349786.db2.gz GMTRFPAWTFNNRQ-CQSZACIVSA-N -1 1 305.378 1.690 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H]1CCCCN1CCNC(=O)[O-] ZINC000823818047 603453461 /nfs/dbraw/zinc/45/34/61/603453461.db2.gz SYIONDPPXVZAKZ-NSHDSACASA-N -1 1 301.387 1.633 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NCCc1ccc(NC(=O)[O-])cc1 ZINC000737518527 603455231 /nfs/dbraw/zinc/45/52/31/603455231.db2.gz QEIIREZAGOJAQG-CQSZACIVSA-N -1 1 305.378 1.920 20 0 DDADMM Cc1ccc(CNC(=O)CN(C)CC(C)(C)CNC(=O)[O-])cc1 ZINC000830312220 603547950 /nfs/dbraw/zinc/54/79/50/603547950.db2.gz CPTGWVOJTPEVCC-UHFFFAOYSA-N -1 1 321.421 1.837 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC000827864386 603555671 /nfs/dbraw/zinc/55/56/71/603555671.db2.gz DNXSINZNHLNSIF-LBPRGKRZSA-N -1 1 314.345 1.901 20 0 DDADMM CN(C)[C@@H](CNC(=O)C(C)(C)CNC(=O)[O-])c1ccsc1 ZINC000827994152 603627380 /nfs/dbraw/zinc/62/73/80/603627380.db2.gz ICGAUOVSKDUHQV-NSHDSACASA-N -1 1 313.423 1.761 20 0 DDADMM C[C@H]1CCCN(C(=O)c2cc(C3CC3)[nH]n2)[C@H]1CNC(=O)[O-] ZINC000825912876 603689502 /nfs/dbraw/zinc/68/95/02/603689502.db2.gz NIUALFZIGCGLNS-ZANVPECISA-N -1 1 306.366 1.795 20 0 DDADMM CC[C@]1(C)COCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825164758 608167454 /nfs/dbraw/zinc/16/74/54/608167454.db2.gz IHQGMAIZVFSADW-CYBMUJFWSA-N -1 1 307.379 1.569 20 0 DDADMM CN1CCC[C@@H](NC(=O)C2(NC(=O)[O-])Cc3ccccc3C2)C1 ZINC000828480784 603758182 /nfs/dbraw/zinc/75/81/82/603758182.db2.gz JVAXTIJHVIMCMU-CQSZACIVSA-N -1 1 317.389 1.002 20 0 DDADMM C[C@H](CN1CCC[C@@H](C)[C@@H]1CNC(=O)[O-])CS(C)(=O)=O ZINC000824902687 603905039 /nfs/dbraw/zinc/90/50/39/603905039.db2.gz NDVMXRDGCYQOPH-UTUOFQBUSA-N -1 1 306.428 1.035 20 0 DDADMM C[C@H](NC(=O)[O-])C1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000825280699 603947950 /nfs/dbraw/zinc/94/79/50/603947950.db2.gz JOUWQRURPBVFKM-CMPLNLGQSA-N -1 1 320.393 1.724 20 0 DDADMM CN(Cc1nc(-c2ccccn2)no1)C1CCN(C(=O)[O-])CC1 ZINC000828416496 603984832 /nfs/dbraw/zinc/98/48/32/603984832.db2.gz JTHTWOWUOIXZNE-UHFFFAOYSA-N -1 1 317.349 1.706 20 0 DDADMM C[C@@]1(C(=O)NCc2nc3ccc(F)cc3[nH]2)CCN(C(=O)[O-])C1 ZINC000825673736 603990943 /nfs/dbraw/zinc/99/09/43/603990943.db2.gz UHZGZJKTGXHSGA-OAHLLOKOSA-N -1 1 320.324 1.708 20 0 DDADMM CC(C)N(C)CC(=O)Nc1cc2c(cc1NC(=O)[O-])OCO2 ZINC000824330030 604000450 /nfs/dbraw/zinc/00/04/50/604000450.db2.gz PGRORDYMTXPPJW-UHFFFAOYSA-N -1 1 309.322 1.784 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)CC1(NC(=O)[O-])CCC1 ZINC000826087869 604180909 /nfs/dbraw/zinc/18/09/09/604180909.db2.gz SVJZFNIOTQQUQV-QWHCGFSZSA-N -1 1 309.410 1.652 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@@H]1NC(=O)N1CCN(CC2CC2)CC1 ZINC000832468761 604184892 /nfs/dbraw/zinc/18/48/92/604184892.db2.gz UKTKKLFLAKJNBK-KBPBESRZSA-N -1 1 324.425 1.160 20 0 DDADMM C[C@@H]1CN=C(N2CCN(C[C@H]3CCN(C(=O)[O-])C3)CC2)S1 ZINC000826087504 604227912 /nfs/dbraw/zinc/22/79/12/604227912.db2.gz OGHFKRHAWFGOKG-VXGBXAGGSA-N -1 1 312.439 1.095 20 0 DDADMM CCc1cc(CNC(=O)N2CCC[C@H]([C@H](C)NC(=O)[O-])C2)[nH]n1 ZINC000827565382 604262764 /nfs/dbraw/zinc/26/27/64/604262764.db2.gz TVISCHIOIUKRIQ-QWRGUYRKSA-N -1 1 323.397 1.550 20 0 DDADMM Cc1[nH]c(C(=O)[O-])c(C)c1C(=O)N1CCN2CCCC[C@@H]2C1 ZINC000388229350 604295760 /nfs/dbraw/zinc/29/57/60/604295760.db2.gz XYKKLHKOCZIYFJ-GFCCVEGCSA-N -1 1 305.378 1.640 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCC3(C[C@@H]3C(=O)[O-])CC2)n[nH]1 ZINC000832883800 604306882 /nfs/dbraw/zinc/30/68/82/604306882.db2.gz PWLDEYYCHAZANZ-ZWNOBZJWSA-N -1 1 320.393 1.545 20 0 DDADMM O=C([O-])CCCN(Cc1ccccc1)C(=O)Cc1ccn[nH]1 ZINC000833226653 604353326 /nfs/dbraw/zinc/35/33/26/604353326.db2.gz PNEJPBAIWQXWPP-UHFFFAOYSA-N -1 1 301.346 1.846 20 0 DDADMM Cc1cc(CNC(=O)C[C@H](C)[C@@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000830041754 604355248 /nfs/dbraw/zinc/35/52/48/604355248.db2.gz SJBBSIPZGGRJQK-CMPLNLGQSA-N -1 1 308.382 1.751 20 0 DDADMM O=C([O-])NCCN1CCC(NC(=O)c2ccc(F)cc2)CC1 ZINC000832641729 604358627 /nfs/dbraw/zinc/35/86/27/604358627.db2.gz HIWLPKUBYSXSPX-UHFFFAOYSA-N -1 1 309.341 1.288 20 0 DDADMM Cc1cc(CC(=O)NCc2ccc([C@@H](C)NC(=O)[O-])cc2)[nH]n1 ZINC000830030735 604454384 /nfs/dbraw/zinc/45/43/84/604454384.db2.gz QAYMFHXCWILSLW-LLVKDONJSA-N -1 1 316.361 1.906 20 0 DDADMM CCCCc1nnc(NC(=O)CNC(C)(C)C(=O)[O-])s1 ZINC000829440008 604460254 /nfs/dbraw/zinc/46/02/54/604460254.db2.gz HRTUZHFYPCLRNW-UHFFFAOYSA-N -1 1 300.384 1.272 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](NC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823664954 604466104 /nfs/dbraw/zinc/46/61/04/604466104.db2.gz YRHPTUZFXTVLCT-GXTWGEPZSA-N -1 1 305.378 1.375 20 0 DDADMM CCC1(CC)[C@H](N(C)CC(=O)NCCC(=O)[O-])C[C@@H]1OC ZINC000829056513 604500380 /nfs/dbraw/zinc/50/03/80/604500380.db2.gz XCXNHHJWWGPSDB-NEPJUHHUSA-N -1 1 300.399 1.103 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)[C@@H]3CC[C@H](NC(=O)[O-])C3)n2)o1 ZINC000830158805 604585425 /nfs/dbraw/zinc/58/54/25/604585425.db2.gz AQBRFQKMJPSESK-BDAKNGLRSA-N -1 1 319.321 1.748 20 0 DDADMM COC(=O)c1ccccc1CN(CCC(=O)[O-])C[C@H]1CCCO1 ZINC000833717273 604656779 /nfs/dbraw/zinc/65/67/79/604656779.db2.gz MQFFHQNHYFACGM-CQSZACIVSA-N -1 1 321.373 1.929 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)c2cncc(C(=O)[O-])c2)c1 ZINC000833689530 605031845 /nfs/dbraw/zinc/03/18/45/605031845.db2.gz FYKJEWRPIBPVJZ-UHFFFAOYSA-N -1 1 300.318 1.489 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)C1 ZINC000833611691 605046222 /nfs/dbraw/zinc/04/62/22/605046222.db2.gz ZKANJRFBOPSZNH-MCIONIFRSA-N -1 1 311.426 1.756 20 0 DDADMM CCC[C@H](C(=O)Nc1nc2c(cccc2C(=O)[O-])[nH]1)N(C)C ZINC000833647309 605059068 /nfs/dbraw/zinc/05/90/68/605059068.db2.gz BIVAWAYKXQWVQA-LLVKDONJSA-N -1 1 304.350 1.930 20 0 DDADMM C[C@H](C[C@H](O)c1cccs1)Nc1nccnc1-c1nnn[n-]1 ZINC000824394171 607732772 /nfs/dbraw/zinc/73/27/72/607732772.db2.gz WDHKORFSGLYFBY-BDAKNGLRSA-N -1 1 317.378 1.642 20 0 DDADMM C[C@H](C[C@H](O)c1cccs1)Nc1nccnc1-c1nn[n-]n1 ZINC000824394171 607732773 /nfs/dbraw/zinc/73/27/73/607732773.db2.gz WDHKORFSGLYFBY-BDAKNGLRSA-N -1 1 317.378 1.642 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C/c1ccccc1F ZINC000833621094 605112114 /nfs/dbraw/zinc/11/21/14/605112114.db2.gz MDYMJVIVIAIROS-KTRBRXNASA-N -1 1 320.364 1.846 20 0 DDADMM O=C([O-])N[C@H]1CCN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000740584956 605141816 /nfs/dbraw/zinc/14/18/16/605141816.db2.gz DOJGYEFIBQJMMW-VIFPVBQESA-N -1 1 301.302 1.010 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)Nc2cc(C(=O)[O-])n(C)c2)C[C@@H]1C ZINC000833656189 605161907 /nfs/dbraw/zinc/16/19/07/605161907.db2.gz IPCDMAVKLBSENO-WDEREUQCSA-N -1 1 308.382 1.670 20 0 DDADMM CC(=O)c1ccc(S(=O)(=O)Nc2ccc(C(=O)[O-])nc2)cc1 ZINC000316984398 605162817 /nfs/dbraw/zinc/16/28/17/605162817.db2.gz LZHMHVHVFBWCRC-UHFFFAOYSA-N -1 1 320.326 1.783 20 0 DDADMM COC(=O)c1cc(CN2CCN(C)[C@H](C)C2)cc(C(=O)[O-])c1 ZINC000833712805 605211143 /nfs/dbraw/zinc/21/11/43/605211143.db2.gz NMGUPHLEZYZYPG-LLVKDONJSA-N -1 1 306.362 1.307 20 0 DDADMM Cc1nc(COC(=O)C[C@H](Cc2ccccc2)NC(=O)[O-])n[nH]1 ZINC000830760648 605263315 /nfs/dbraw/zinc/26/33/15/605263315.db2.gz GKNDKBFBCCZWQZ-LBPRGKRZSA-N -1 1 318.333 1.425 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N[C@@H]2CCCCN(C(=O)[O-])C2)n[nH]1 ZINC000830036629 605301738 /nfs/dbraw/zinc/30/17/38/605301738.db2.gz WSRWHMXNOAHYIR-CMPLNLGQSA-N -1 1 323.397 1.481 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2CCC[C@@H](NC(=O)[O-])C2)n[nH]1 ZINC000830031719 605301833 /nfs/dbraw/zinc/30/18/33/605301833.db2.gz XZZCYJOOEUXIGS-GXSJLCMTSA-N -1 1 309.370 1.091 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CC[C@@H]1NC(=O)[O-] ZINC000833851262 605338248 /nfs/dbraw/zinc/33/82/48/605338248.db2.gz MONOHOFZEOGGHD-ONGXEEELSA-N -1 1 317.349 1.466 20 0 DDADMM CC[N@@H+](C)[C@@H](C(=O)[N-]OC[C@@H](C)NC(=O)[O-])c1ccccc1 ZINC000833856494 605397889 /nfs/dbraw/zinc/39/78/89/605397889.db2.gz KPZFGPAVAFEGGI-DGCLKSJQSA-N -1 1 309.366 1.383 20 0 DDADMM CC(=Cc1ccc(C)cc1)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833386102 605466784 /nfs/dbraw/zinc/46/67/84/605466784.db2.gz WQSZLRPGMWIBQM-XCHKQJEOSA-N -1 1 313.357 1.934 20 0 DDADMM Cn1cc(CN2CCC[C@H](NC(=O)[O-])C2)c(-c2cccnc2)n1 ZINC000833948169 605490364 /nfs/dbraw/zinc/49/03/64/605490364.db2.gz GUOUAABPKRNOFT-AWEZNQCLSA-N -1 1 315.377 1.714 20 0 DDADMM Cc1nn2c(CN3CC[C@@H]([C@H](C)NC(=O)[O-])C3)c(C)nc2s1 ZINC000833919924 605655072 /nfs/dbraw/zinc/65/50/72/605655072.db2.gz BHNYUGWFFOAZOK-GZMMTYOYSA-N -1 1 323.422 1.886 20 0 DDADMM O=C([O-])N(CC(=O)Nc1ccccc1-c1nnc[nH]1)CC1CC1 ZINC000834007790 605709856 /nfs/dbraw/zinc/70/98/56/605709856.db2.gz YQQOIPRGRMQFHB-UHFFFAOYSA-N -1 1 315.333 1.800 20 0 DDADMM O=C([O-])[C@H]1C[C@@H]1C(=O)N1CCC(CCCN2CCOCC2)CC1 ZINC000833771760 605727460 /nfs/dbraw/zinc/72/74/60/605727460.db2.gz PDNJEZJKBGRNAQ-GJZGRUSLSA-N -1 1 324.421 1.058 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]1C(=O)N1CCC(CCCN2CCOCC2)CC1 ZINC000833771761 605727692 /nfs/dbraw/zinc/72/76/92/605727692.db2.gz PDNJEZJKBGRNAQ-LSDHHAIUSA-N -1 1 324.421 1.058 20 0 DDADMM CCCN(C(=O)c1cc(CC)[nH]n1)C1CCN(C(=O)[O-])CC1 ZINC000826879908 605820884 /nfs/dbraw/zinc/82/08/84/605820884.db2.gz SUKJPTCASOUYKQ-UHFFFAOYSA-N -1 1 308.382 1.967 20 0 DDADMM O=C([O-])NC1(C(=O)O[C@@H]2CCCN(Cc3ccccc3)C2)CC1 ZINC000834154517 606019304 /nfs/dbraw/zinc/01/93/04/606019304.db2.gz SAAPTWAJKURYSH-CQSZACIVSA-N -1 1 318.373 1.994 20 0 DDADMM CCOC(=O)CCCOC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821325253 606134015 /nfs/dbraw/zinc/13/40/15/606134015.db2.gz CTUICPNWTMIVDK-UHFFFAOYSA-N -1 1 310.335 1.428 20 0 DDADMM CCOC(=O)CCCOC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821325253 606134017 /nfs/dbraw/zinc/13/40/17/606134017.db2.gz CTUICPNWTMIVDK-UHFFFAOYSA-N -1 1 310.335 1.428 20 0 DDADMM CCc1nnc([C@@H](C)OC(=O)c2ccc(-c3nnn[n-]3)s2)o1 ZINC000821518486 606139673 /nfs/dbraw/zinc/13/96/73/606139673.db2.gz WMLLCHYYVARONP-ZCFIWIBFSA-N -1 1 320.334 1.792 20 0 DDADMM CCc1nnc([C@@H](C)OC(=O)c2ccc(-c3nn[n-]n3)s2)o1 ZINC000821518486 606139674 /nfs/dbraw/zinc/13/96/74/606139674.db2.gz WMLLCHYYVARONP-ZCFIWIBFSA-N -1 1 320.334 1.792 20 0 DDADMM Cc1nc(CC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])cs1 ZINC000822436424 606291766 /nfs/dbraw/zinc/29/17/66/606291766.db2.gz LWTQOZMHXZCLEZ-UHFFFAOYSA-N -1 1 316.346 1.518 20 0 DDADMM CC(=O)c1csc(NC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000819844364 606496358 /nfs/dbraw/zinc/49/63/58/606496358.db2.gz FGJMTETVNFATTE-UHFFFAOYSA-N -1 1 320.359 1.840 20 0 DDADMM CC(=O)c1csc(NC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000819844364 606496359 /nfs/dbraw/zinc/49/63/59/606496359.db2.gz FGJMTETVNFATTE-UHFFFAOYSA-N -1 1 320.359 1.840 20 0 DDADMM CC(C)(C)OC(=O)CCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000819928285 606570419 /nfs/dbraw/zinc/57/04/19/606570419.db2.gz NMFUZUSDSDRBPZ-UHFFFAOYSA-N -1 1 323.378 1.390 20 0 DDADMM CC(C)(C)OC(=O)CCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000819928285 606570420 /nfs/dbraw/zinc/57/04/20/606570420.db2.gz NMFUZUSDSDRBPZ-UHFFFAOYSA-N -1 1 323.378 1.390 20 0 DDADMM O=C(NC[C@@H]1CCCC[C@H]1O)c1ccc(-c2nnn[n-]2)s1 ZINC000823175309 606571526 /nfs/dbraw/zinc/57/15/26/606571526.db2.gz FMUGGDZHWMYBNE-DTWKUNHWSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(NC[C@@H]1CCCC[C@H]1O)c1ccc(-c2nn[n-]n2)s1 ZINC000823175309 606571527 /nfs/dbraw/zinc/57/15/27/606571527.db2.gz FMUGGDZHWMYBNE-DTWKUNHWSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(OCC[C@@H]1CCOC1=O)c1sccc1-c1nn[n-]n1 ZINC000823400741 606635502 /nfs/dbraw/zinc/63/55/02/606635502.db2.gz IYYKBYPVKSPTEE-ZETCQYMHSA-N -1 1 308.319 1.038 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1ccc(C2CC2)cn1 ZINC000823436114 606750272 /nfs/dbraw/zinc/75/02/72/606750272.db2.gz HFWYTHLXFVOTHA-UHFFFAOYSA-N -1 1 322.328 1.891 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc(C2CC2)cn1 ZINC000823436114 606750274 /nfs/dbraw/zinc/75/02/74/606750274.db2.gz HFWYTHLXFVOTHA-UHFFFAOYSA-N -1 1 322.328 1.891 20 0 DDADMM CCO[C@H](CC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-])C(C)C ZINC000821350886 606768989 /nfs/dbraw/zinc/76/89/89/606768989.db2.gz FYJOTYHTVIDSJA-CYBMUJFWSA-N -1 1 319.365 1.962 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)C1CCOCC1 ZINC000820392244 606804605 /nfs/dbraw/zinc/80/46/05/606804605.db2.gz TTXNMRUJIVQCFZ-QMMMGPOBSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)C1CCOCC1 ZINC000820392244 606804607 /nfs/dbraw/zinc/80/46/07/606804607.db2.gz TTXNMRUJIVQCFZ-QMMMGPOBSA-N -1 1 307.379 1.473 20 0 DDADMM c1cn(-c2cccc(NCc3ccc(-c4nnn[n-]4)o3)c2)nn1 ZINC000823757548 607152399 /nfs/dbraw/zinc/15/23/99/607152399.db2.gz RNTAGSBKBUDGKC-UHFFFAOYSA-N -1 1 308.305 1.653 20 0 DDADMM c1cn(-c2cccc(NCc3ccc(-c4nn[n-]n4)o3)c2)nn1 ZINC000823757548 607152400 /nfs/dbraw/zinc/15/24/00/607152400.db2.gz RNTAGSBKBUDGKC-UHFFFAOYSA-N -1 1 308.305 1.653 20 0 DDADMM C[C@H](Cc1ccc(O)cc1)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820301688 607230414 /nfs/dbraw/zinc/23/04/14/607230414.db2.gz ZINHVODISHUIGH-SNVBAGLBSA-N -1 1 324.344 1.328 20 0 DDADMM C[C@H](Cc1ccc(O)cc1)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820301688 607230415 /nfs/dbraw/zinc/23/04/15/607230415.db2.gz ZINHVODISHUIGH-SNVBAGLBSA-N -1 1 324.344 1.328 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)CC2(C)CCCCC2)n1 ZINC000826356857 607800908 /nfs/dbraw/zinc/80/09/08/607800908.db2.gz IPMFQBDWUZHPAI-UHFFFAOYSA-N -1 1 303.370 1.899 20 0 DDADMM CN(C[C@H]1CCC[C@@H]1O)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825908784 607851006 /nfs/dbraw/zinc/85/10/06/607851006.db2.gz MABFFZFKKTUSDM-RQJHMYQMSA-N -1 1 314.802 1.574 20 0 DDADMM CN(C[C@H]1CCC[C@@H]1O)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825908784 607851007 /nfs/dbraw/zinc/85/10/07/607851007.db2.gz MABFFZFKKTUSDM-RQJHMYQMSA-N -1 1 314.802 1.574 20 0 DDADMM O=C(CCn1ccnc1-c1nnn[n-]1)Nc1cccc(Cl)c1 ZINC000826409555 607868711 /nfs/dbraw/zinc/86/87/11/607868711.db2.gz FONFVBAQSYYZFU-UHFFFAOYSA-N -1 1 317.740 1.745 20 0 DDADMM O=C(CCn1ccnc1-c1nn[n-]n1)Nc1cccc(Cl)c1 ZINC000826409555 607868712 /nfs/dbraw/zinc/86/87/12/607868712.db2.gz FONFVBAQSYYZFU-UHFFFAOYSA-N -1 1 317.740 1.745 20 0 DDADMM CC[C@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)[C@H]1CCCO1 ZINC000825134999 607912764 /nfs/dbraw/zinc/91/27/64/607912764.db2.gz YEOLKOWSUCUQAW-DTWKUNHWSA-N -1 1 307.379 1.616 20 0 DDADMM CC[C@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)[C@H]1CCCO1 ZINC000825134999 607912765 /nfs/dbraw/zinc/91/27/65/607912765.db2.gz YEOLKOWSUCUQAW-DTWKUNHWSA-N -1 1 307.379 1.616 20 0 DDADMM O=C(Cc1cccs1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826423975 607998715 /nfs/dbraw/zinc/99/87/15/607998715.db2.gz QDICCXOTNOHMPL-UHFFFAOYSA-N -1 1 301.331 1.815 20 0 DDADMM C[C@@H](NC(=O)Cn1ccnc1-c1nnn[n-]1)c1cccs1 ZINC000824479426 608013302 /nfs/dbraw/zinc/01/33/02/608013302.db2.gz NGZKIIFEVQJHSH-MRVPVSSYSA-N -1 1 303.351 1.002 20 0 DDADMM C[C@@H](NC(=O)Cn1ccnc1-c1nn[n-]n1)c1cccs1 ZINC000824479426 608013303 /nfs/dbraw/zinc/01/33/03/608013303.db2.gz NGZKIIFEVQJHSH-MRVPVSSYSA-N -1 1 303.351 1.002 20 0 DDADMM CC[C@]1(C)COCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825164758 608167453 /nfs/dbraw/zinc/16/74/53/608167453.db2.gz IHQGMAIZVFSADW-CYBMUJFWSA-N -1 1 307.379 1.569 20 0 DDADMM OCC1(Nc2snc(Cl)c2-c2nn[n-]n2)CCCC1 ZINC000826508294 608189990 /nfs/dbraw/zinc/18/99/90/608189990.db2.gz LMEAQRWFEHABSA-UHFFFAOYSA-N -1 1 300.775 1.694 20 0 DDADMM OCC1(Nc2snc(Cl)c2-c2nnn[n-]2)CCCC1 ZINC000826508294 608189989 /nfs/dbraw/zinc/18/99/89/608189989.db2.gz LMEAQRWFEHABSA-UHFFFAOYSA-N -1 1 300.775 1.694 20 0 DDADMM NC(=O)C[C@H]1CCCCN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000826391018 608293182 /nfs/dbraw/zinc/29/31/82/608293182.db2.gz UFWMVVOXSXBBQI-MRVPVSSYSA-N -1 1 321.772 1.149 20 0 DDADMM NC(=O)C[C@H]1CCCCN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000826391018 608293183 /nfs/dbraw/zinc/29/31/83/608293183.db2.gz UFWMVVOXSXBBQI-MRVPVSSYSA-N -1 1 321.772 1.149 20 0 DDADMM c1ccc(-c2nnc(Sc3ccc(-c4nnn[n-]4)nn3)o2)cc1 ZINC000826519371 608392614 /nfs/dbraw/zinc/39/26/14/608392614.db2.gz VYWFBRMMMZLBHV-UHFFFAOYSA-N -1 1 324.329 1.858 20 0 DDADMM c1ccc(-c2nnc(Sc3ccc(-c4nn[n-]n4)nn3)o2)cc1 ZINC000826519371 608392616 /nfs/dbraw/zinc/39/26/16/608392616.db2.gz VYWFBRMMMZLBHV-UHFFFAOYSA-N -1 1 324.329 1.858 20 0 DDADMM O[C@H](CNc1ccc(-c2nnn[n-]2)nn1)c1cccc(Cl)c1 ZINC000826502522 608399282 /nfs/dbraw/zinc/39/92/82/608399282.db2.gz FKHJTNTXRYCWSN-LLVKDONJSA-N -1 1 317.740 1.456 20 0 DDADMM O[C@H](CNc1ccc(-c2nn[n-]n2)nn1)c1cccc(Cl)c1 ZINC000826502522 608399283 /nfs/dbraw/zinc/39/92/83/608399283.db2.gz FKHJTNTXRYCWSN-LLVKDONJSA-N -1 1 317.740 1.456 20 0 DDADMM Cc1cccc2c1OCC[C@H]2Nc1ccc(-c2nnn[n-]2)nn1 ZINC000826305400 608408514 /nfs/dbraw/zinc/40/85/14/608408514.db2.gz VGTNYARCPODJPW-LLVKDONJSA-N -1 1 309.333 1.901 20 0 DDADMM Cc1cccc2c1OCC[C@H]2Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826305400 608408515 /nfs/dbraw/zinc/40/85/15/608408515.db2.gz VGTNYARCPODJPW-LLVKDONJSA-N -1 1 309.333 1.901 20 0 DDADMM c1cc(OCC2CC2)ncc1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826517652 608409141 /nfs/dbraw/zinc/40/91/41/608409141.db2.gz KNYYRXHJFLKLJF-UHFFFAOYSA-N -1 1 324.348 1.453 20 0 DDADMM c1cc(OCC2CC2)ncc1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826517652 608409142 /nfs/dbraw/zinc/40/91/42/608409142.db2.gz KNYYRXHJFLKLJF-UHFFFAOYSA-N -1 1 324.348 1.453 20 0 DDADMM c1ncn(-c2cccc(Oc3ccc(-c4nnn[n-]4)nn3)c2)n1 ZINC000826517427 608422317 /nfs/dbraw/zinc/42/23/17/608422317.db2.gz BUZPRQVQZFLJKN-UHFFFAOYSA-N -1 1 307.277 1.030 20 0 DDADMM c1ncn(-c2cccc(Oc3ccc(-c4nn[n-]n4)nn3)c2)n1 ZINC000826517427 608422319 /nfs/dbraw/zinc/42/23/19/608422319.db2.gz BUZPRQVQZFLJKN-UHFFFAOYSA-N -1 1 307.277 1.030 20 0 DDADMM OCCCN(Cc1ccccc1)c1ccc(-c2nn[n-]n2)nn1 ZINC000826510077 608435024 /nfs/dbraw/zinc/43/50/24/608435024.db2.gz XCCYGXYIMKZUJO-UHFFFAOYSA-N -1 1 311.349 1.046 20 0 DDADMM OCCCN(Cc1ccccc1)c1ccc(-c2nnn[n-]2)nn1 ZINC000826510077 608435022 /nfs/dbraw/zinc/43/50/22/608435022.db2.gz XCCYGXYIMKZUJO-UHFFFAOYSA-N -1 1 311.349 1.046 20 0 DDADMM C[C@H](C[C@H](O)c1cccs1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824391009 608435205 /nfs/dbraw/zinc/43/52/05/608435205.db2.gz GDVGFBDSANJSIL-SCZZXKLOSA-N -1 1 317.378 1.642 20 0 DDADMM C[C@H](C[C@H](O)c1cccs1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824391009 608435206 /nfs/dbraw/zinc/43/52/06/608435206.db2.gz GDVGFBDSANJSIL-SCZZXKLOSA-N -1 1 317.378 1.642 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@@H]1CC[C@H]2SCCS[C@H]12 ZINC000826514290 608439549 /nfs/dbraw/zinc/43/95/49/608439549.db2.gz NAQGRYQDDYBQKU-BCMRRPTOSA-N -1 1 321.435 1.448 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@@H]1CC[C@H]2SCCS[C@H]12 ZINC000826514290 608439550 /nfs/dbraw/zinc/43/95/50/608439550.db2.gz NAQGRYQDDYBQKU-BCMRRPTOSA-N -1 1 321.435 1.448 20 0 DDADMM FC(F)(F)[C@@H]1CN(Cc2ccc(-c3nnn[n-]3)o2)CCO1 ZINC000826368013 608717015 /nfs/dbraw/zinc/71/70/15/608717015.db2.gz YGFIBRVVMDQTAH-VIFPVBQESA-N -1 1 303.244 1.223 20 0 DDADMM FC(F)(F)[C@@H]1CN(Cc2ccc(-c3nn[n-]n3)o2)CCO1 ZINC000826368013 608717017 /nfs/dbraw/zinc/71/70/17/608717017.db2.gz YGFIBRVVMDQTAH-VIFPVBQESA-N -1 1 303.244 1.223 20 0 DDADMM CCCCn1ncc(NC(=O)Cc2sc(N)nc2[O-])c1C ZINC000122297644 696720725 /nfs/dbraw/zinc/72/07/25/696720725.db2.gz UZEHKZMRMCNVLJ-SNVBAGLBSA-N -1 1 309.395 1.277 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H](O)C2CCOCC2)c1 ZINC000745051152 699969627 /nfs/dbraw/zinc/96/96/27/699969627.db2.gz XBVXEBREFLUMSR-MRXNPFEDSA-N -1 1 322.357 1.468 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@@H]1CCC[C@H]1O ZINC000745333777 699979096 /nfs/dbraw/zinc/97/90/96/699979096.db2.gz ZMORZMNWGNSSNO-CHWSQXEVSA-N -1 1 304.298 1.703 20 0 DDADMM COC(=O)Cc1ccccc1OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796905148 699984563 /nfs/dbraw/zinc/98/45/63/699984563.db2.gz ADTKSTOCDNMHHS-UHFFFAOYSA-N -1 1 317.297 1.736 20 0 DDADMM CCOC(=O)[C@H](CC)[C@H](C)OC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000797145742 699996353 /nfs/dbraw/zinc/99/63/53/699996353.db2.gz WOCYILGFQSJDGZ-VHSXEESVSA-N -1 1 311.334 1.936 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)[C@H](C)SC)co1 ZINC000797210071 699998934 /nfs/dbraw/zinc/99/89/34/699998934.db2.gz MYPRVYQPFHMOMM-YUMQZZPRSA-N -1 1 307.393 1.485 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)N(C)c1cn[nH]c1 ZINC000746445564 700024881 /nfs/dbraw/zinc/02/48/81/700024881.db2.gz UEDYYKMERABTPD-UHFFFAOYSA-N -1 1 308.363 1.448 20 0 DDADMM C[C@H](C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-])C1CC1 ZINC000976396820 695970815 /nfs/dbraw/zinc/97/08/15/695970815.db2.gz IIJASPCFIMNPGM-PQFRYHKHSA-N -1 1 315.373 1.020 20 0 DDADMM C[C@H]1C[C@H]1CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976407518 695972079 /nfs/dbraw/zinc/97/20/79/695972079.db2.gz YRSZDJBJAVBZLW-QHUBEEEXSA-N -1 1 315.373 1.020 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2ccccc2)cc1 ZINC000006641891 696019927 /nfs/dbraw/zinc/01/99/27/696019927.db2.gz NEQYGUBFNIUJKA-UHFFFAOYSA-N -1 1 313.309 1.809 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C(N)=S)cc1)c1nn[n-]n1 ZINC000798330343 700056995 /nfs/dbraw/zinc/05/69/95/700056995.db2.gz KDSMOHVHLMKMSQ-JTQLQIEISA-N -1 1 304.379 1.105 20 0 DDADMM Cc1c(F)cc(C(=O)[O-])cc1S(=O)(=O)NC[C@H](C)N(C)C ZINC000038088114 696135877 /nfs/dbraw/zinc/13/58/77/696135877.db2.gz YDMDVWSBXHCQGX-QMMMGPOBSA-N -1 1 318.370 1.061 20 0 DDADMM COCCOC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000042263706 696147351 /nfs/dbraw/zinc/14/73/51/696147351.db2.gz ZJZZADGRDIJSIB-UHFFFAOYSA-N -1 1 305.352 1.160 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)CC1CC1)C(=O)c1ncccc1[O-] ZINC000977604110 696231228 /nfs/dbraw/zinc/23/12/28/696231228.db2.gz VDPCEGMDKZYYQH-LBPRGKRZSA-N -1 1 303.362 1.260 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ccc[nH]1)C(=O)c1ncccc1[O-] ZINC000977605649 696231449 /nfs/dbraw/zinc/23/14/49/696231449.db2.gz BKABFHKHQBYOLV-NSHDSACASA-N -1 1 314.345 1.102 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2sccc2C)n1 ZINC000747618242 700077801 /nfs/dbraw/zinc/07/78/01/700077801.db2.gz UKMDGKODVHASIU-UHFFFAOYSA-N -1 1 314.392 1.469 20 0 DDADMM O=C(/N=c1\nc(-c2ccccc2Cl)[nH][n-]1)c1ccc[n+]([O-])c1 ZINC000078354831 696450404 /nfs/dbraw/zinc/45/04/04/696450404.db2.gz GWFCIPVPKCFHJU-UHFFFAOYSA-N -1 1 315.720 1.433 20 0 DDADMM CCc1nn(C)cc1C(=O)NCc1n[n-]c(=S)n1C1CC1 ZINC000092413995 696594379 /nfs/dbraw/zinc/59/43/79/696594379.db2.gz WCOBKUQCFGRKFK-UHFFFAOYSA-N -1 1 306.395 1.501 20 0 DDADMM Cn1c(CNC(=O)Nc2cnn(C(C)(C)C)c2)n[n-]c1=S ZINC000093274826 696599823 /nfs/dbraw/zinc/59/98/23/696599823.db2.gz FXOQVFWKFGNASK-UHFFFAOYSA-N -1 1 309.399 1.751 20 0 DDADMM O=C(N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1)c1ccco1 ZINC000979677128 696624432 /nfs/dbraw/zinc/62/44/32/696624432.db2.gz CUKJKHHRAHURON-XYPYZODXSA-N -1 1 315.329 1.319 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCc2cscn2)c1Cl ZINC000108942441 696636215 /nfs/dbraw/zinc/63/62/15/696636215.db2.gz YCDPUTUGLVWKSC-UHFFFAOYSA-N -1 1 306.800 1.051 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)[C@H]1CCCC[C@@H]1C(=O)N1CCCC1 ZINC000112281277 696649757 /nfs/dbraw/zinc/64/97/57/696649757.db2.gz FZHQQNDFQKMGEV-SOUVJXGZSA-N -1 1 324.421 1.990 20 0 DDADMM O=C(NCCc1nnc2ccccn21)c1c([O-])cccc1F ZINC000119435911 696680634 /nfs/dbraw/zinc/68/06/34/696680634.db2.gz SURCTOUMOBKSGF-UHFFFAOYSA-N -1 1 300.293 1.547 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)c(C)o1 ZINC000798849102 700101720 /nfs/dbraw/zinc/10/17/20/700101720.db2.gz RPKKHHPEMLIOFQ-LBPRGKRZSA-N -1 1 302.396 1.288 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)CCSC)o1 ZINC000120560507 696697654 /nfs/dbraw/zinc/69/76/54/696697654.db2.gz LPOWKQRIJITFRB-MRVPVSSYSA-N -1 1 306.409 1.059 20 0 DDADMM C[C@H](CCc1ccccc1)NC(=O)Cc1sc(N)nc1[O-] ZINC000120782094 696700858 /nfs/dbraw/zinc/70/08/58/696700858.db2.gz NARSYDGWDOOISQ-ZYHUDNBSSA-N -1 1 305.403 1.471 20 0 DDADMM CN(Cc1cccc(Cl)c1)C(=O)Cc1sc(N)nc1[O-] ZINC000120799096 696701097 /nfs/dbraw/zinc/70/10/97/696701097.db2.gz KEJPXSDJVHWSSN-SNVBAGLBSA-N -1 1 311.794 1.645 20 0 DDADMM Cc1nc2cc(NC(=O)Cc3sc(N)nc3[O-])ccc2o1 ZINC000120830910 696702014 /nfs/dbraw/zinc/70/20/14/696702014.db2.gz ULJMHOCXENSVNZ-JTQLQIEISA-N -1 1 304.331 1.422 20 0 DDADMM COc1ccc(C=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1O ZINC000124451202 696742924 /nfs/dbraw/zinc/74/29/24/696742924.db2.gz XDVRFOHJQZBRJZ-STUBTGCMSA-N -1 1 317.349 1.189 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C[C@H]1CC[C@H](C)C1 ZINC000130600844 696799465 /nfs/dbraw/zinc/79/94/65/696799465.db2.gz YRNQDKMPPIDDDQ-JQWIXIFHSA-N -1 1 318.377 1.638 20 0 DDADMM Cc1cc(Br)ncc1OS(=O)(=O)c1c[n-]cn1 ZINC000156106216 696957689 /nfs/dbraw/zinc/95/76/89/696957689.db2.gz IVQIUPKWUZBYDO-UHFFFAOYSA-N -1 1 318.152 1.643 20 0 DDADMM Cc1c(NC(=O)C2=C([O-])C(C)N=N2)cccc1N1CCOC1=O ZINC000749256177 700153583 /nfs/dbraw/zinc/15/35/83/700153583.db2.gz HBZZILSWTHORLL-UHFFFAOYSA-N -1 1 316.317 1.941 20 0 DDADMM O=C([N-]c1n[nH]c(Cc2cccc(F)c2)n1)c1cnsn1 ZINC000180877445 697452976 /nfs/dbraw/zinc/45/29/76/697452976.db2.gz XMGKPKOFNYLYMU-UHFFFAOYSA-N -1 1 304.310 1.638 20 0 DDADMM Cc1ccc(C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)o1 ZINC000985245072 697474286 /nfs/dbraw/zinc/47/42/86/697474286.db2.gz BWXMABBOUCVMQE-UHFFFAOYSA-N -1 1 319.365 1.148 20 0 DDADMM C[C@@H](C[C@@H](O)c1ccco1)NC(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000185843122 697525356 /nfs/dbraw/zinc/52/53/56/697525356.db2.gz CSPBZGKEVHOAGW-GZMMTYOYSA-N -1 1 321.289 1.530 20 0 DDADMM N#Cc1ccc(C(=O)NC[C@@H](O)c2ccc(F)cc2)cc1[O-] ZINC000188293897 697556103 /nfs/dbraw/zinc/55/61/03/697556103.db2.gz DACUXEJVHLOOOD-OAHLLOKOSA-N -1 1 300.289 1.866 20 0 DDADMM CCN1C(=S)N=NC1CCNC(=O)c1ccc(C#N)c([O-])c1 ZINC000188451932 697559883 /nfs/dbraw/zinc/55/98/83/697559883.db2.gz XFGCHGGCQVQGJF-UHFFFAOYSA-N -1 1 317.374 1.136 20 0 DDADMM C[C@H]1COCC[C@H]1C(=O)NNC(=O)c1cc(Cl)ccc1[O-] ZINC000193176332 697653058 /nfs/dbraw/zinc/65/30/58/697653058.db2.gz IQPIVCNBANZNLC-WCBMZHEXSA-N -1 1 312.753 1.479 20 0 DDADMM CC(C)[C@H](C(=O)[N-]OC1CCOCC1)N1C[C@@H](C)O[C@@H](C)C1 ZINC000772707921 697664080 /nfs/dbraw/zinc/66/40/80/697664080.db2.gz PFLXGHNUIAEUBO-VNHYZAJKSA-N -1 1 314.426 1.347 20 0 DDADMM Cc1cc(C)c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)o1 ZINC000986111529 697689764 /nfs/dbraw/zinc/68/97/64/697689764.db2.gz BMIRUAXBJLZNNO-QWRGUYRKSA-N -1 1 319.365 1.113 20 0 DDADMM O=C(Cc1ccc(F)cc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773159731 697721420 /nfs/dbraw/zinc/72/14/20/697721420.db2.gz MBMUQMZPTNYJIR-NSHDSACASA-N -1 1 307.354 1.198 20 0 DDADMM COc1cccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC000773163414 697721880 /nfs/dbraw/zinc/72/18/80/697721880.db2.gz QTKIGFJITIRLLN-LLVKDONJSA-N -1 1 305.363 1.139 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1=CCCCCC1 ZINC000986187025 697725760 /nfs/dbraw/zinc/72/57/60/697725760.db2.gz JNQBRGFZAKKLSP-WCQYABFASA-N -1 1 319.409 1.480 20 0 DDADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CC(C)(C)C1 ZINC000986229958 697744994 /nfs/dbraw/zinc/74/49/94/697744994.db2.gz NCXHCRJEXUNVIS-MWLCHTKSSA-N -1 1 307.398 1.026 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC(C)(C)C1CC1 ZINC000986254911 697753629 /nfs/dbraw/zinc/75/36/29/697753629.db2.gz XYAJLCSBXRBXKH-CMPLNLGQSA-N -1 1 321.425 1.416 20 0 DDADMM CN(CC(=O)N1CCCC1)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000749892870 700191041 /nfs/dbraw/zinc/19/10/41/700191041.db2.gz AXMSTACWPKZMCF-UHFFFAOYSA-N -1 1 316.357 1.553 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ccoc2)CCN1C(=O)c1ncccc1[O-] ZINC000987335699 698087965 /nfs/dbraw/zinc/08/79/65/698087965.db2.gz USIKANUXIRSBNI-PWSUYJOCSA-N -1 1 315.329 1.413 20 0 DDADMM CCn1c(C)cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1C ZINC000776566150 698117726 /nfs/dbraw/zinc/11/77/26/698117726.db2.gz WENWKSQXGRYAQK-LBPRGKRZSA-N -1 1 320.422 1.568 20 0 DDADMM O=C(N[C@@H](CO)C1CC1)c1ccc(Br)c([O-])c1 ZINC000778513160 698344232 /nfs/dbraw/zinc/34/42/32/698344232.db2.gz YKEJBKACVQWADZ-JTQLQIEISA-N -1 1 300.152 1.655 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC000778713789 698361750 /nfs/dbraw/zinc/36/17/50/698361750.db2.gz MJQDZHWEVSRKCN-CYBMUJFWSA-N -1 1 320.455 1.124 20 0 DDADMM CCC1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCOCC1 ZINC000778887156 698380408 /nfs/dbraw/zinc/38/04/08/698380408.db2.gz KBNCLZDQCWHBBR-UHFFFAOYSA-N -1 1 319.357 1.693 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCOC[C@H]1[C@@H]1CCCC1=O ZINC000779075225 698393595 /nfs/dbraw/zinc/39/35/95/698393595.db2.gz XAQVICITHXXKSW-AAEUAGOBSA-N -1 1 307.321 1.742 20 0 DDADMM CCN(CC)[C@H](C(=O)NC[C@](C)(O)C(=O)[O-])c1ccccc1 ZINC000262558680 698474983 /nfs/dbraw/zinc/47/49/83/698474983.db2.gz VSNHGFVRIGJAQW-BBRMVZONSA-N -1 1 308.378 1.021 20 0 DDADMM CC[C@@H](NC(=O)NC[C@@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000780344198 698509230 /nfs/dbraw/zinc/50/92/30/698509230.db2.gz IVTLNIQXOVCAAZ-NEPJUHHUSA-N -1 1 309.366 1.579 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC2(CNC(=O)O2)CC1 ZINC000781838327 698652774 /nfs/dbraw/zinc/65/27/74/698652774.db2.gz HHOYVNBTGHMYIV-UHFFFAOYSA-N -1 1 310.737 1.760 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cnc(Cl)c(F)c2)no1 ZINC000311686245 698674647 /nfs/dbraw/zinc/67/46/47/698674647.db2.gz JQLKXWGLGTUACJ-UHFFFAOYSA-N -1 1 305.718 1.649 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCCC(C)(C)OC ZINC000786734610 699164822 /nfs/dbraw/zinc/16/48/22/699164822.db2.gz LXTCZKJSSBXHMO-UHFFFAOYSA-N -1 1 302.396 1.503 20 0 DDADMM O=C(CCn1ccnn1)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000787623993 699224920 /nfs/dbraw/zinc/22/49/20/699224920.db2.gz NBNNHTRIUBCZEH-UHFFFAOYSA-N -1 1 315.285 1.224 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1ccns1)C(=O)OC(C)(C)C ZINC000717772286 699291138 /nfs/dbraw/zinc/29/11/38/699291138.db2.gz TWHYEBOFXQQOEJ-JTQLQIEISA-N -1 1 320.436 1.788 20 0 DDADMM COc1ccc(NC(=O)C2=COCC2)cc1[N-]S(C)(=O)=O ZINC000727766867 699425256 /nfs/dbraw/zinc/42/52/56/699425256.db2.gz HRGHKXFOWHYYKU-UHFFFAOYSA-N -1 1 312.347 1.309 20 0 DDADMM Cc1[nH]c(=O)[nH]c(=O)c1CCC(=O)Nc1nc(Cl)ccc1[O-] ZINC000790057487 699438673 /nfs/dbraw/zinc/43/86/73/699438673.db2.gz XUOPKGLRBIWKRZ-UHFFFAOYSA-N -1 1 324.724 1.522 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)O[C@H]2CCOC2)o1 ZINC000730401959 699509903 /nfs/dbraw/zinc/50/99/03/699509903.db2.gz SDRIOGZPBHJCAL-VIFPVBQESA-N -1 1 317.363 1.302 20 0 DDADMM CC[C@@H](C)[C@@H](C)N(C(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC000733152688 699580972 /nfs/dbraw/zinc/58/09/72/699580972.db2.gz ZXWXMEYCYGNBSM-VXGBXAGGSA-N -1 1 307.394 1.352 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H](C)C(C)C)cc1 ZINC000733576562 699605875 /nfs/dbraw/zinc/60/58/75/699605875.db2.gz OHOTWAQQQQCPDW-NSHDSACASA-N -1 1 307.346 1.787 20 0 DDADMM Cc1nn(Cc2ccccc2)c(Cl)c1C(=O)Nc1nn[n-]n1 ZINC000735820594 699704340 /nfs/dbraw/zinc/70/43/40/699704340.db2.gz FRKRNZCJSLLWJO-UHFFFAOYSA-N -1 1 317.740 1.659 20 0 DDADMM O=C([N-]S(=O)(=O)C1CCCC1)c1ccc(-c2nnc[nH]2)cc1 ZINC000793174877 699737163 /nfs/dbraw/zinc/73/71/63/699737163.db2.gz QAYSXNCNZRLCER-UHFFFAOYSA-N -1 1 320.374 1.474 20 0 DDADMM O=C(CCc1cccc(F)c1F)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000737857506 699746593 /nfs/dbraw/zinc/74/65/93/699746593.db2.gz SFTPVPYFGVYTGJ-LLVKDONJSA-N -1 1 323.303 1.011 20 0 DDADMM O=C(N[C@@H](CO)Cc1ccncc1)c1c([O-])cccc1Cl ZINC000793940522 699788108 /nfs/dbraw/zinc/78/81/08/699788108.db2.gz HAIBKKGZECFMEG-LLVKDONJSA-N -1 1 306.749 1.774 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C\c2ccco2)c1 ZINC000794124282 699794309 /nfs/dbraw/zinc/79/43/09/699794309.db2.gz MKZZHPRUBHHUOG-DAXSKMNVSA-N -1 1 322.342 1.545 20 0 DDADMM Cc1cc(C(=O)NOC/C=C/Cl)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000794295611 699801138 /nfs/dbraw/zinc/80/11/38/699801138.db2.gz JJDSWGNSKWYKMY-NSCUHMNNSA-N -1 1 310.697 1.158 20 0 DDADMM CC[C@@]1(C)NC(=O)N([N-]C(=O)c2coc3cc(F)ccc23)C1=O ZINC000794884570 699834203 /nfs/dbraw/zinc/83/42/03/699834203.db2.gz SWNHBBZTOZIPCO-OAHLLOKOSA-N -1 1 319.292 1.937 20 0 DDADMM Cc1nnc(COC(=O)c2nn(-c3ccc(C)cc3)cc2[O-])[nH]1 ZINC000795584738 699877114 /nfs/dbraw/zinc/87/71/14/699877114.db2.gz PEVFTKJAZXNQDZ-UHFFFAOYSA-N -1 1 313.317 1.670 20 0 DDADMM O=C(COC(=O)C[C@H]1CCCOC1)[N-]C(=O)c1ccccc1 ZINC000795909588 699900919 /nfs/dbraw/zinc/90/09/19/699900919.db2.gz IZKDWXYVOBGOHJ-GFCCVEGCSA-N -1 1 305.330 1.303 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)[C@@H]2Cc3ccccc32)[n-]1 ZINC000796343293 699927814 /nfs/dbraw/zinc/92/78/14/699927814.db2.gz BWESKXPAMFGQCV-GFCCVEGCSA-N -1 1 313.309 1.867 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cc(C)c(C(=O)OC)o2)[n-]1 ZINC000796364089 699929536 /nfs/dbraw/zinc/92/95/36/699929536.db2.gz ZSNMNRGPHUYMRF-UHFFFAOYSA-N -1 1 321.285 1.846 20 0 DDADMM CC[C@H](OC(=O)c1nn(-c2ccc(C)cc2)cc1[O-])C(N)=O ZINC000801369764 700301218 /nfs/dbraw/zinc/30/12/18/700301218.db2.gz AUIZRJIMGJPYGL-LBPRGKRZSA-N -1 1 303.318 1.307 20 0 DDADMM CO[C@](C)(C(=O)N=c1ccnc2n(C)[n-]cc1-2)c1ccccc1 ZINC000801703514 700333141 /nfs/dbraw/zinc/33/31/41/700333141.db2.gz LIWSFRTWIXOHQA-KRWDZBQOSA-N -1 1 310.357 1.842 20 0 DDADMM CCS(=O)(=O)NCCCOC(=O)c1c([O-])cc(F)cc1F ZINC000753352898 700425231 /nfs/dbraw/zinc/42/52/31/700425231.db2.gz HUCKFZRTHWOYCM-UHFFFAOYSA-N -1 1 323.317 1.157 20 0 DDADMM CCc1nc(COC(=O)c2c[n-]c3nc(C)ccc3c2=O)n[nH]1 ZINC000754784877 700527250 /nfs/dbraw/zinc/52/72/50/700527250.db2.gz DHWLNKNYVPEUEZ-UHFFFAOYSA-N -1 1 313.317 1.681 20 0 DDADMM CC[C@@H](C)c1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000755342688 700562164 /nfs/dbraw/zinc/56/21/64/700562164.db2.gz CIUSVLBPLAUEBR-BXUZGUMPSA-N -1 1 315.377 1.927 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)c2ccccc2)co1 ZINC000756390170 700619150 /nfs/dbraw/zinc/61/91/50/700619150.db2.gz ODGJJVCHOSMMFL-UHFFFAOYSA-N -1 1 323.326 1.227 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](COC)C(C)C)co1 ZINC000756565923 700629159 /nfs/dbraw/zinc/62/91/59/700629159.db2.gz JZTARZTZVNDPRT-JTQLQIEISA-N -1 1 305.352 1.016 20 0 DDADMM COC(=O)[C@@](C)([N-]C(=O)C(F)(F)c1nccs1)C1CC1 ZINC000756708064 700637327 /nfs/dbraw/zinc/63/73/27/700637327.db2.gz TWWZFWCSPPEMDY-NSHDSACASA-N -1 1 304.318 1.693 20 0 DDADMM O=S(=O)([N-]CC1OCCCO1)c1c(F)cccc1Cl ZINC000757717352 700669434 /nfs/dbraw/zinc/66/94/34/700669434.db2.gz FWFXXOJKWGBDFC-UHFFFAOYSA-N -1 1 309.746 1.520 20 0 DDADMM CS(=O)(=O)Cc1noc([N-]C(=O)c2cc(Cl)cs2)n1 ZINC000760349080 700804095 /nfs/dbraw/zinc/80/40/95/700804095.db2.gz KAUMQIDQNYJAKS-UHFFFAOYSA-N -1 1 321.767 1.581 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CCNc2ccccc2)c1Cl ZINC000760597563 700815815 /nfs/dbraw/zinc/81/58/15/700815815.db2.gz UTBORTSMYOAMJS-UHFFFAOYSA-N -1 1 314.798 1.464 20 0 DDADMM CCOC(=O)C[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000760988090 700833627 /nfs/dbraw/zinc/83/36/27/700833627.db2.gz OHIFSFAUQRPKMU-SECBINFHSA-N -1 1 309.366 1.686 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)c2c[nH]c(C)cc2=O)c1 ZINC000762906252 700915231 /nfs/dbraw/zinc/91/52/31/700915231.db2.gz MIWKDVDQXYKWQD-UHFFFAOYSA-N -1 1 315.325 1.991 20 0 DDADMM COc1cc(C(=O)N2CCC3(C2)OCCO3)cc(Cl)c1[O-] ZINC000763249473 700931446 /nfs/dbraw/zinc/93/14/46/700931446.db2.gz BTHYMEXBECSCCB-UHFFFAOYSA-N -1 1 313.737 1.643 20 0 DDADMM COc1ccc([C@@H](O)CNC(=O)c2ncc(C)cc2[O-])cc1 ZINC000763249839 700931665 /nfs/dbraw/zinc/93/16/65/700931665.db2.gz BVYRUOLWGIHVAO-AWEZNQCLSA-N -1 1 302.330 1.568 20 0 DDADMM CO[C@@]1(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)CCOC1 ZINC000764449059 700971082 /nfs/dbraw/zinc/97/10/82/700971082.db2.gz BRRNKEALMSVDMW-OAHLLOKOSA-N -1 1 309.366 1.149 20 0 DDADMM Cc1ccc(-c2cc(C(=O)OCc3nc(=O)n(C)[n-]3)on2)cc1 ZINC000765439265 701012828 /nfs/dbraw/zinc/01/28/28/701012828.db2.gz FBKMGOYUYKNPDG-UHFFFAOYSA-N -1 1 314.301 1.429 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2C[C@@H]2c2cc(F)ccc2F)nc1=O ZINC000765481013 701015253 /nfs/dbraw/zinc/01/52/53/701015253.db2.gz MBRHHLBEKPCVOT-PSASIEDQSA-N -1 1 309.272 1.234 20 0 DDADMM Cn1[n-]c(COC(=O)Cc2ccc(C(C)(C)C)cc2)nc1=O ZINC000765494877 701016121 /nfs/dbraw/zinc/01/61/21/701016121.db2.gz PYLGTMJCVKBFPC-UHFFFAOYSA-N -1 1 303.362 1.692 20 0 DDADMM Cc1cc(CCCC(=O)OCc2nc(=O)n(C)[n-]2)c(C)s1 ZINC000765497127 701016229 /nfs/dbraw/zinc/01/62/29/701016229.db2.gz RGGZLBHYFIYEED-UHFFFAOYSA-N -1 1 309.391 1.853 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)OC[C@H](C)CO ZINC000766700876 701059979 /nfs/dbraw/zinc/05/99/79/701059979.db2.gz GBXMYJJDGPLUBV-LLVKDONJSA-N -1 1 315.391 1.624 20 0 DDADMM CCc1cc(=O)[n-]c(SCCCS(=O)(=O)CCOC)n1 ZINC000767062059 701081488 /nfs/dbraw/zinc/08/14/88/701081488.db2.gz INSWAEWUXIEKQS-UHFFFAOYSA-N -1 1 320.436 1.288 20 0 DDADMM CCC[C@@H](C)[C@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000768346205 701170463 /nfs/dbraw/zinc/17/04/63/701170463.db2.gz PYKYNTCZBPOAGO-CHWSQXEVSA-N -1 1 307.394 1.354 20 0 DDADMM Cn1ncc2c1nc(Oc1ccc3ccc(=O)oc3c1)[n-]c2=O ZINC000770942607 701301227 /nfs/dbraw/zinc/30/12/27/701301227.db2.gz CLOWWFLTEGQTLJ-UHFFFAOYSA-N -1 1 310.269 1.968 20 0 DDADMM O=C([C@H]1CCCc2sccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000771468690 701320839 /nfs/dbraw/zinc/32/08/39/701320839.db2.gz AUVIAHRHBJYUHV-QWRGUYRKSA-N -1 1 319.390 1.281 20 0 DDADMM CC[C@H](OC(=O)c1cn[n-]n1)C(=O)Nc1ccc(OC)cc1 ZINC000805604351 701397737 /nfs/dbraw/zinc/39/77/37/701397737.db2.gz FXGCVHLBCDATNY-LBPRGKRZSA-N -1 1 304.306 1.387 20 0 DDADMM CC(C)[C@@H](OC(=O)c1cn[n-]n1)C(=O)N(C)C1CCCCC1 ZINC000805604464 701397970 /nfs/dbraw/zinc/39/79/70/701397970.db2.gz KERVXOLLEFNFRO-CYBMUJFWSA-N -1 1 308.382 1.777 20 0 DDADMM COc1ccc(Cl)cc1NC(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805604271 701398130 /nfs/dbraw/zinc/39/81/30/701398130.db2.gz BKOODPXGGPWINU-SSDOTTSWSA-N -1 1 324.724 1.651 20 0 DDADMM CC(C)(C)c1ccccc1NC(=O)COC(=O)c1cn[n-]n1 ZINC000805605411 701398417 /nfs/dbraw/zinc/39/84/17/701398417.db2.gz MAMVWSFHECXGKG-UHFFFAOYSA-N -1 1 302.334 1.898 20 0 DDADMM O=C(N[C@@H]1CCCC2(C1)OCCO2)C(=O)c1ccc([O-])cc1 ZINC000807216343 701470003 /nfs/dbraw/zinc/47/00/03/701470003.db2.gz UPHSONKRYTYTIX-GFCCVEGCSA-N -1 1 305.330 1.377 20 0 DDADMM CCOC(=O)c1ncccc1[N-]S(=O)(=O)CCCCF ZINC000808532910 701522478 /nfs/dbraw/zinc/52/24/78/701522478.db2.gz QBPGNPRFUXBAGX-UHFFFAOYSA-N -1 1 304.343 1.750 20 0 DDADMM Cc1nc([C@H](C)N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)no1 ZINC000810071022 701721601 /nfs/dbraw/zinc/72/16/01/701721601.db2.gz ZSKMVCJOOQIKDH-WCBMZHEXSA-N -1 1 320.315 1.830 20 0 DDADMM CC[C@@H]1CCC[C@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830952113 706609596 /nfs/dbraw/zinc/60/95/96/706609596.db2.gz BTANMLLPKHBCDB-GHMZBOCLSA-N -1 1 305.378 1.697 20 0 DDADMM O=S(=O)([N-][C@]12CCC[C@H]1OCC2)c1cccc(F)c1F ZINC000867885257 701811135 /nfs/dbraw/zinc/81/11/35/701811135.db2.gz JWBFBIMRTFXCBJ-YPMHNXCESA-N -1 1 303.330 1.955 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)[C@H](C)O1 ZINC000839843403 701843590 /nfs/dbraw/zinc/84/35/90/701843590.db2.gz BIGLVNLGVXQOEP-YWVKMMECSA-N -1 1 306.391 1.561 20 0 DDADMM CCN(CCS(C)(=O)=O)C(=O)c1ncc2ccccc2c1[O-] ZINC000831071410 706629866 /nfs/dbraw/zinc/62/98/66/706629866.db2.gz FAGCPBNAKADNFK-UHFFFAOYSA-N -1 1 322.386 1.447 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2c[nH]nc2Cl)s1 ZINC000831101817 706634819 /nfs/dbraw/zinc/63/48/19/706634819.db2.gz MGSWDQIFPSDBGQ-BYPYZUCNSA-N -1 1 307.788 1.263 20 0 DDADMM CC(C)(C)O[C@@H]1C[C@H]1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831116626 706637712 /nfs/dbraw/zinc/63/77/12/706637712.db2.gz CLNZKRYSFCIECW-NXEZZACHSA-N -1 1 322.327 1.327 20 0 DDADMM Cc1nsc(C)c1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831124719 706639148 /nfs/dbraw/zinc/63/91/48/706639148.db2.gz CLQCXGQYUWBFPB-UHFFFAOYSA-N -1 1 321.324 1.510 20 0 DDADMM CCC[C@@H](O)[C@H](CO)[N-]c1nc(-c2cccc(OC)c2)no1 ZINC000840363239 702084833 /nfs/dbraw/zinc/08/48/33/702084833.db2.gz YJDKZKKPCZAIHH-QWHCGFSZSA-N -1 1 307.350 1.679 20 0 DDADMM O=C(c1csc2cncn21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000816498498 702096988 /nfs/dbraw/zinc/09/69/88/702096988.db2.gz XDIBFQRRRLZREJ-QMMMGPOBSA-N -1 1 319.346 1.504 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2C[C@H]2C2CC2)C1 ZINC000868569504 702202896 /nfs/dbraw/zinc/20/28/96/702202896.db2.gz LYPFFXUTICDNEH-OPQQBVKSSA-N -1 1 319.327 1.637 20 0 DDADMM COC[C@@H](C)[C@H](C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000812630723 702212536 /nfs/dbraw/zinc/21/25/36/702212536.db2.gz KILBRUTZYYHDCE-NEPJUHHUSA-N -1 1 307.346 1.405 20 0 DDADMM CC[C@@H](C)CO[N-]C(=O)[C@@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000816977272 702252776 /nfs/dbraw/zinc/25/27/76/702252776.db2.gz WEMCVNLVKKWCPW-GHMZBOCLSA-N -1 1 318.377 1.775 20 0 DDADMM C[C@@H](CC(C)(C)C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868694217 702270036 /nfs/dbraw/zinc/27/00/36/702270036.db2.gz OSFOZIVIESUQHT-JTQLQIEISA-N -1 1 322.409 1.879 20 0 DDADMM Cn1[n-]c(COC(=O)C2(c3c(F)cccc3F)CCC2)nc1=O ZINC000817251864 702315976 /nfs/dbraw/zinc/31/59/76/702315976.db2.gz UHBLPKQHLKJWPF-UHFFFAOYSA-N -1 1 323.299 1.552 20 0 DDADMM O=C([N-]OCC1CC1)[C@H]1CC(=O)N(c2ccc(F)cc2F)C1 ZINC000817353578 702348479 /nfs/dbraw/zinc/34/84/79/702348479.db2.gz AOFKRDLQPNYOCB-JTQLQIEISA-N -1 1 310.300 1.776 20 0 DDADMM Cc1cnc(C(=O)N2CC(O)(c3ccc(F)cc3)C2)c([O-])c1 ZINC000868859170 702354036 /nfs/dbraw/zinc/35/40/36/702354036.db2.gz BUEQRQZDIHVXQE-UHFFFAOYSA-N -1 1 302.305 1.578 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1Cc2ccccc2[C@H](C)C1 ZINC000841376585 702428695 /nfs/dbraw/zinc/42/86/95/702428695.db2.gz UWDBGLZPWOYGDR-SECBINFHSA-N -1 1 315.329 1.656 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)C[C@@H]1CCCC1(F)F ZINC000841533314 702483686 /nfs/dbraw/zinc/48/36/86/702483686.db2.gz FFAUKHFQPYUDME-DTWKUNHWSA-N -1 1 311.350 1.293 20 0 DDADMM CCOC1CC2(C[C@@H]2NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000879416042 706674089 /nfs/dbraw/zinc/67/40/89/706674089.db2.gz LUXFHPKYLOTXGQ-QCIACWGRSA-N -1 1 315.377 1.421 20 0 DDADMM CC(C)OC1(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)CCC1 ZINC000879416536 706674564 /nfs/dbraw/zinc/67/45/64/706674564.db2.gz TWNHPXBCEKEKBZ-UHFFFAOYSA-N -1 1 317.393 1.811 20 0 DDADMM CC(C)[C@@H]1CCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869212886 702512560 /nfs/dbraw/zinc/51/25/60/702512560.db2.gz NBUXPVUWQBIMAF-ZDUSSCGKSA-N -1 1 320.393 1.585 20 0 DDADMM CN(CC1CCCC1)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869212513 702513036 /nfs/dbraw/zinc/51/30/36/702513036.db2.gz CZPSDDFJUVAEED-UHFFFAOYSA-N -1 1 320.393 1.587 20 0 DDADMM Cn1nnnc1CCOC(=O)c1ccc(Cl)c(Cl)c1[O-] ZINC000869388712 702590093 /nfs/dbraw/zinc/59/00/93/702590093.db2.gz RXWDHUQYAQUIHX-UHFFFAOYSA-N -1 1 317.132 1.622 20 0 DDADMM CC1=C(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)CCC1 ZINC000869443228 702609151 /nfs/dbraw/zinc/60/91/51/702609151.db2.gz OCNJBXRKPCRZBQ-UHFFFAOYSA-N -1 1 307.268 1.668 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCCO1)c1cc(Cl)cnc1Cl ZINC000866373731 706684086 /nfs/dbraw/zinc/68/40/86/706684086.db2.gz RBSCIXBITQCYNP-MRVPVSSYSA-N -1 1 311.190 1.846 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2CCN(C3CCC3)C2=O)[n-]1 ZINC000869524046 702634883 /nfs/dbraw/zinc/63/48/83/702634883.db2.gz OVNLZHBAWXHGMJ-LBPRGKRZSA-N -1 1 306.318 1.112 20 0 DDADMM CC(C)(CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1CC1 ZINC000869527764 702636394 /nfs/dbraw/zinc/63/63/94/702636394.db2.gz OQPOQHXCVHKDIY-UHFFFAOYSA-N -1 1 323.311 1.994 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H](C)c1ccccc1OC ZINC000866404987 706690975 /nfs/dbraw/zinc/69/09/75/706690975.db2.gz RYOJOJNKYLWABQ-DGIBIBHMSA-N -1 1 320.436 1.708 20 0 DDADMM Cc1cc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)co1 ZINC000843013822 702803550 /nfs/dbraw/zinc/80/35/50/702803550.db2.gz ATRGBHFWTFSQPK-UHFFFAOYSA-N -1 1 300.380 1.132 20 0 DDADMM O=C([O-])CCCC(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000843328882 702860564 /nfs/dbraw/zinc/86/05/64/702860564.db2.gz QQPSGAKLSCXCBD-NSHDSACASA-N -1 1 306.366 1.643 20 0 DDADMM C[C@@]1(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)CCc2ccccc21 ZINC000843790933 702924292 /nfs/dbraw/zinc/92/42/92/702924292.db2.gz JGAYKWBPKNTOCJ-XJKSGUPXSA-N -1 1 313.361 1.004 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCOC[C@H]1C1CCC1 ZINC000844036306 702960849 /nfs/dbraw/zinc/96/08/49/702960849.db2.gz HQUOXNDVTBPXPO-LBPRGKRZSA-N -1 1 318.402 1.659 20 0 DDADMM CCON(C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C(C)C ZINC000844077875 702968628 /nfs/dbraw/zinc/96/86/28/702968628.db2.gz QURBRVQEZBFKMZ-UHFFFAOYSA-N -1 1 306.366 1.783 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1Cc2ccccc21 ZINC000866563209 706730620 /nfs/dbraw/zinc/73/06/20/706730620.db2.gz YWKXQKFNOXRHPV-ZMZPIMSZSA-N -1 1 302.421 1.278 20 0 DDADMM CC(C)Oc1ccccc1C[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866566590 706731080 /nfs/dbraw/zinc/73/10/80/706731080.db2.gz FCPSXSITQMYDPZ-UHFFFAOYSA-N -1 1 320.436 1.536 20 0 DDADMM Cc1ncsc1C=CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847078588 703374944 /nfs/dbraw/zinc/37/49/44/703374944.db2.gz JMRVNOLGUXQNRI-LWTINBJPSA-N -1 1 320.374 1.960 20 0 DDADMM O=C(C1CC2(CC2(F)F)C1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000847094176 703377019 /nfs/dbraw/zinc/37/70/19/703377019.db2.gz XTSXHFUJFUFRLB-BGQFSCJGSA-N -1 1 313.304 1.917 20 0 DDADMM Cc1cnc(C(=O)NCC[C@@H](N(C)C)C(F)(F)F)c([O-])c1 ZINC000831655778 706747985 /nfs/dbraw/zinc/74/79/85/706747985.db2.gz NRHHLCUOXLSNNK-SNVBAGLBSA-N -1 1 305.300 1.708 20 0 DDADMM CC(C)(CO)[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000848246873 703531930 /nfs/dbraw/zinc/53/19/30/703531930.db2.gz BZWPVALJOWBMOP-LBPRGKRZSA-N -1 1 320.418 1.545 20 0 DDADMM CCc1nocc1COC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000848734381 703581635 /nfs/dbraw/zinc/58/16/35/703581635.db2.gz DCYCZZPAXDJOIH-UHFFFAOYSA-N -1 1 314.319 1.095 20 0 DDADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)CCc1ccccc1F ZINC000849398192 703643431 /nfs/dbraw/zinc/64/34/31/703643431.db2.gz NXCHFXVDIPRKKE-GFCCVEGCSA-N -1 1 315.366 1.239 20 0 DDADMM Cc1ccncc1CC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000849425885 703646553 /nfs/dbraw/zinc/64/65/53/703646553.db2.gz CJCGWCBCMNDZDB-UHFFFAOYSA-N -1 1 314.798 1.298 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H]2COC(C)(C)C2)c1 ZINC000849810800 703680350 /nfs/dbraw/zinc/68/03/50/703680350.db2.gz CNZVHLVNWNFXCP-XUSGNXJCSA-N -1 1 312.387 1.914 20 0 DDADMM COC(=O)c1c[n-]c(SCCc2nnn(C(C)(C)C)n2)n1 ZINC000849891384 703687202 /nfs/dbraw/zinc/68/72/02/703687202.db2.gz HHZRNJAQRIRCAB-UHFFFAOYSA-N -1 1 310.383 1.273 20 0 DDADMM CCc1cc(=O)[n-]c(SC[C@](O)(C(N)=O)c2ccccc2)n1 ZINC000849892667 703687340 /nfs/dbraw/zinc/68/73/40/703687340.db2.gz QQXDTBBMGBPFAA-OAHLLOKOSA-N -1 1 319.386 1.210 20 0 DDADMM O=C([O-])[C@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CC1CCCC1 ZINC000852735272 704108810 /nfs/dbraw/zinc/10/88/10/704108810.db2.gz HALPSKLUTZNPAR-GHMZBOCLSA-N -1 1 322.327 1.773 20 0 DDADMM CC[C@H](C)C[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000852736888 704109822 /nfs/dbraw/zinc/10/98/22/704109822.db2.gz PFNNWDCZXUYQOP-IVZWLZJFSA-N -1 1 310.316 1.629 20 0 DDADMM Cn1ncc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1Cl ZINC000820069550 704215500 /nfs/dbraw/zinc/21/55/00/704215500.db2.gz ZQTMKUJKIYACKL-UHFFFAOYSA-N -1 1 311.729 1.182 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@@H]2CCCO2)c1 ZINC000820201439 704239431 /nfs/dbraw/zinc/23/94/31/704239431.db2.gz PBOZIPNTPCGLDI-LAJNKCICSA-N -1 1 312.387 1.915 20 0 DDADMM CCC[C@H](NC(=O)Cc1cccc2ncccc12)c1nn[n-]n1 ZINC000820674211 704318572 /nfs/dbraw/zinc/31/85/72/704318572.db2.gz RODLIDHJODCYJL-AWEZNQCLSA-N -1 1 310.361 1.948 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@H]2CCOC2)c1 ZINC000821260778 704384598 /nfs/dbraw/zinc/38/45/98/704384598.db2.gz XSVYFCYTHSSXRY-GTJPDFRWSA-N -1 1 312.387 1.773 20 0 DDADMM CCOC[C@H]1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000821373894 704399044 /nfs/dbraw/zinc/39/90/44/704399044.db2.gz NPYWFBVMGUURAN-VIFPVBQESA-N -1 1 308.773 1.778 20 0 DDADMM CCOC[C@H]1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000821373894 704399047 /nfs/dbraw/zinc/39/90/47/704399047.db2.gz NPYWFBVMGUURAN-VIFPVBQESA-N -1 1 308.773 1.778 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CCC[C@H](N2CCOCC2)C1 ZINC000854727649 704444988 /nfs/dbraw/zinc/44/49/88/704444988.db2.gz MJFYDVCFBBZXNW-ZDUSSCGKSA-N -1 1 308.353 1.468 20 0 DDADMM CN1CC2(CN(C(=O)c3ncc4ccccc4c3[O-])C2)OC1=O ZINC000854962704 704464052 /nfs/dbraw/zinc/46/40/52/704464052.db2.gz CGUZNPLKAQNBLP-UHFFFAOYSA-N -1 1 313.313 1.217 20 0 DDADMM COC(=O)N(C)CCNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000855942179 704508159 /nfs/dbraw/zinc/50/81/59/704508159.db2.gz PGHYOGJSLPJLDW-UHFFFAOYSA-N -1 1 320.267 1.839 20 0 DDADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)C1CCCCC1 ZINC000856224292 704519040 /nfs/dbraw/zinc/51/90/40/704519040.db2.gz ASXVAZMTHQCEOT-GFCCVEGCSA-N -1 1 307.394 1.259 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)C2CCN(C(=O)OC)CC2)[n-]1 ZINC000857243697 704569212 /nfs/dbraw/zinc/56/92/12/704569212.db2.gz UOKWIRKSGPCRND-UHFFFAOYSA-N -1 1 324.337 1.003 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)C2CCN(C(=O)OC)CC2)n1 ZINC000857243697 704569213 /nfs/dbraw/zinc/56/92/13/704569213.db2.gz UOKWIRKSGPCRND-UHFFFAOYSA-N -1 1 324.337 1.003 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@H]1CO ZINC000857359944 704583781 /nfs/dbraw/zinc/58/37/81/704583781.db2.gz GGBUUOHFWTXJFK-ONGXEEELSA-N -1 1 306.391 1.109 20 0 DDADMM CC(=CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1cccs1 ZINC000857709847 704615228 /nfs/dbraw/zinc/61/52/28/704615228.db2.gz IXPIFQCIJUVCJF-TTZKWOQHSA-N -1 1 305.363 1.265 20 0 DDADMM O=c1nc(N2CCCN(CC(F)(F)F)CC2)cc(Cl)[n-]1 ZINC000858345831 704697975 /nfs/dbraw/zinc/69/79/75/704697975.db2.gz GTINMRGXMVVCSP-UHFFFAOYSA-N -1 1 310.707 1.910 20 0 DDADMM CCOC(=O)N1CCCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858377034 704701949 /nfs/dbraw/zinc/70/19/49/704701949.db2.gz ULPUMIGHVZVZAK-UHFFFAOYSA-N -1 1 300.746 1.504 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCC(F)(F)C1 ZINC000867221396 706929128 /nfs/dbraw/zinc/92/91/28/706929128.db2.gz RQWMCXRHBWMPLR-SECBINFHSA-N -1 1 318.411 1.764 20 0 DDADMM C[C@@H](F)CC[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867309540 706955255 /nfs/dbraw/zinc/95/52/55/706955255.db2.gz XKSXZGHQZBLIFQ-RXMQYKEDSA-N -1 1 300.153 1.199 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCN(Cc2c(Cl)nc3ccccn32)C1 ZINC000874042383 704924351 /nfs/dbraw/zinc/92/43/51/704924351.db2.gz DTGQKIRDMOPBBY-OAHLLOKOSA-N -1 1 323.780 1.911 20 0 DDADMM C[C@@]1(C(=O)Nc2nc(Br)ccc2[O-])CCC(=O)N1 ZINC000822352955 704925879 /nfs/dbraw/zinc/92/58/79/704925879.db2.gz HFXAERKYXNZBKX-NSHDSACASA-N -1 1 314.139 1.157 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@H]1CCC[C@H]1C1CC1 ZINC000867400914 706988738 /nfs/dbraw/zinc/98/87/38/706988738.db2.gz GJEFAHDBUZOGFN-OLZOCXBDSA-N -1 1 320.480 1.909 20 0 DDADMM COc1ccccc1OCCN(C)C(=O)CCCc1nn[n-]n1 ZINC000874109376 704945886 /nfs/dbraw/zinc/94/58/86/704945886.db2.gz NZHSVSHIFPFUBK-UHFFFAOYSA-N -1 1 319.365 1.068 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)NC[C@@H]3COCO3)c2)o1 ZINC000822568773 704976571 /nfs/dbraw/zinc/97/65/71/704976571.db2.gz IELKNHSDNRJNNN-GFCCVEGCSA-N -1 1 317.297 1.567 20 0 DDADMM CC[C@@H](COCC1CC1)[N-]S(=O)(=O)N=[S@@](C)(=O)CC ZINC000867499984 707020310 /nfs/dbraw/zinc/02/03/10/707020310.db2.gz YZAAZVGSLJLNIW-VOJFVSQTSA-N -1 1 312.457 1.144 20 0 DDADMM O=C(COc1ccccc1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000823050405 705124191 /nfs/dbraw/zinc/12/41/91/705124191.db2.gz YIMCNYRETYHGQV-UHFFFAOYSA-N -1 1 311.301 1.590 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC[C@]3(C)CC3(F)F)ccnc1-2 ZINC000823057269 705126763 /nfs/dbraw/zinc/12/67/63/705126763.db2.gz AJBGNDOREOQNGY-CYBMUJFWSA-N -1 1 309.320 1.899 20 0 DDADMM CC1(c2ncc(C(=O)Nc3ccncc3[O-])s2)OCCO1 ZINC000860463051 705195995 /nfs/dbraw/zinc/19/59/95/705195995.db2.gz LAENXJLXRXRQDD-UHFFFAOYSA-N -1 1 307.331 1.137 20 0 DDADMM CC(=O)N(c1nc(C=C2SC(=O)[N-]C2=O)cs1)C1CC1 ZINC000874927623 705230300 /nfs/dbraw/zinc/23/03/00/705230300.db2.gz KNMPZOKPLACDHG-RUDMXATFSA-N -1 1 309.372 1.982 20 0 DDADMM CC(C)N(C(=O)CN(C)CC(C)(C)CNC(=O)[O-])C(C)C ZINC000824323980 705428212 /nfs/dbraw/zinc/42/82/12/705428212.db2.gz OJNIRGUKESZQOT-UHFFFAOYSA-N -1 1 301.431 1.857 20 0 DDADMM CCO[C@H](C(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CCOCC1 ZINC000875633747 705457598 /nfs/dbraw/zinc/45/75/98/705457598.db2.gz RWNKRMSRRHHGMQ-AWEZNQCLSA-N -1 1 318.377 1.112 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@]34C[C@@H]3CCCC4)nc2n1 ZINC000875838855 705532150 /nfs/dbraw/zinc/53/21/50/705532150.db2.gz XQKIVTQWZMEAAA-VFZGTOFNSA-N -1 1 301.350 1.499 20 0 DDADMM Cn1cc([C@H](CO)NC(=O)NCc2ccc([O-])c(Cl)c2)cn1 ZINC000876395699 705707950 /nfs/dbraw/zinc/70/79/50/705707950.db2.gz NMFGJCJKKYGIMV-LBPRGKRZSA-N -1 1 324.768 1.312 20 0 DDADMM Cc1ccc([C@@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000826285447 705787233 /nfs/dbraw/zinc/78/72/33/705787233.db2.gz ACIRWVDBJYAZGC-CYBMUJFWSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1ccc([C@@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000826285447 705787239 /nfs/dbraw/zinc/78/72/39/705787239.db2.gz ACIRWVDBJYAZGC-CYBMUJFWSA-N -1 1 307.361 1.959 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)c3ccccc32)c1-c1nnn[n-]1 ZINC000826343916 705792508 /nfs/dbraw/zinc/79/25/08/705792508.db2.gz QQPYJTRNLFJENN-VIFPVBQESA-N -1 1 324.344 1.856 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)c3ccccc32)c1-c1nn[n-]n1 ZINC000826343916 705792511 /nfs/dbraw/zinc/79/25/11/705792511.db2.gz QQPYJTRNLFJENN-VIFPVBQESA-N -1 1 324.344 1.856 20 0 DDADMM c1ccc([C@H]2COCCN2c2cccc(-c3nnn[n-]3)n2)cc1 ZINC000826521038 705807939 /nfs/dbraw/zinc/80/79/39/705807939.db2.gz XWBCUOHYENWQPJ-CQSZACIVSA-N -1 1 308.345 1.840 20 0 DDADMM c1ccc([C@H]2COCCN2c2cccc(-c3nn[n-]n3)n2)cc1 ZINC000826521038 705807943 /nfs/dbraw/zinc/80/79/43/705807943.db2.gz XWBCUOHYENWQPJ-CQSZACIVSA-N -1 1 308.345 1.840 20 0 DDADMM CC[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000826692088 705847590 /nfs/dbraw/zinc/84/75/90/705847590.db2.gz ZVRMEMAHYWIYGC-RBSFLKMASA-N -1 1 323.437 1.852 20 0 DDADMM C[C@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)C1CCCCC1 ZINC000863046476 705854237 /nfs/dbraw/zinc/85/42/37/705854237.db2.gz MEQQZASWHGEKHQ-CYBMUJFWSA-N -1 1 321.421 1.602 20 0 DDADMM CC(C)N(CCC(=O)[O-])C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000908960830 712903737 /nfs/dbraw/zinc/90/37/37/712903737.db2.gz FUZFCJMMMAFTQB-UHFFFAOYSA-N -1 1 302.334 1.797 20 0 DDADMM CSc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(C)o1 ZINC000826833608 705880800 /nfs/dbraw/zinc/88/08/00/705880800.db2.gz FZQPALIUIANZDP-SECBINFHSA-N -1 1 309.351 1.037 20 0 DDADMM CCO[C@@H](CSc1nc([O-])cc(=O)n1CCOC)C1CC1 ZINC000863454205 705934032 /nfs/dbraw/zinc/93/40/32/705934032.db2.gz SQNKWHRFBGQKHT-NSHDSACASA-N -1 1 314.407 1.503 20 0 DDADMM CN1CCC[C@H](C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1=O ZINC000863477796 705937006 /nfs/dbraw/zinc/93/70/06/705937006.db2.gz VLJOXTMGYZWABP-CYBMUJFWSA-N -1 1 314.345 1.385 20 0 DDADMM CON(C)C(=O)[C@@H](C)[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000863707419 705991777 /nfs/dbraw/zinc/99/17/77/705991777.db2.gz YMVLECBLZFMCTP-SSDOTTSWSA-N -1 1 322.258 1.581 20 0 DDADMM CCC1(O)CCC(NC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000827760907 706063850 /nfs/dbraw/zinc/06/38/50/706063850.db2.gz NEGJYLNQWVLWSC-UHFFFAOYSA-N -1 1 320.418 1.832 20 0 DDADMM C/C(=C/C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)c1ccco1 ZINC000864160144 706084712 /nfs/dbraw/zinc/08/47/12/706084712.db2.gz SGEXAGYKJHPPGF-KXXVWKPMSA-N -1 1 306.362 1.690 20 0 DDADMM CCn1ccnc1CN1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000877775736 706206753 /nfs/dbraw/zinc/20/67/53/706206753.db2.gz OSSUDIDIFFVFIR-LBPRGKRZSA-N -1 1 304.316 1.546 20 0 DDADMM CSC(C)(C)C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872455844 707421617 /nfs/dbraw/zinc/42/16/17/707421617.db2.gz DCELOECVYDSFNW-KRWDZBQOSA-N -1 1 316.514 1.859 20 0 DDADMM Cc1nn[nH]c1C(=O)N(CCC(=O)[O-])Cc1ccc(F)c(F)c1 ZINC000908972210 712906008 /nfs/dbraw/zinc/90/60/08/712906008.db2.gz ULSJEEYYXGGNDL-UHFFFAOYSA-N -1 1 324.287 1.508 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC=C(Cl)Cl)C1CC1 ZINC000881958862 707444625 /nfs/dbraw/zinc/44/46/25/707444625.db2.gz XQPSMRBABSKODO-MRVPVSSYSA-N -1 1 302.179 1.176 20 0 DDADMM CC[C@@H](C)N(CC(=O)OC)Cc1nc2c(c(=O)[n-]1)COCC2 ZINC000878549511 706424596 /nfs/dbraw/zinc/42/45/96/706424596.db2.gz GTEGEYWUUJSEDT-SNVBAGLBSA-N -1 1 309.366 1.028 20 0 DDADMM O=c1[n-]c([C@H]2CCCN(C[C@@H]3CC4(CO3)CCOCC4)C2)n[nH]1 ZINC000878622193 706448139 /nfs/dbraw/zinc/44/81/39/706448139.db2.gz MDNCOYXSBLFORU-STQMWFEESA-N -1 1 322.409 1.275 20 0 DDADMM CO[C@@H](CC(C)C)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830796214 706581515 /nfs/dbraw/zinc/58/15/15/706581515.db2.gz YAOASJVZPBPZBV-GWCFXTLKSA-N -1 1 324.343 1.717 20 0 DDADMM C[C@H](CN(C)C(=O)CCC[C@H](O)c1ccccc1)c1nn[n-]n1 ZINC000832126375 706848219 /nfs/dbraw/zinc/84/82/19/706848219.db2.gz MKEILALNWZFAQJ-OCCSQVGLSA-N -1 1 317.393 1.666 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccccn1)c1ccc(C(F)F)o1 ZINC000867054831 706883248 /nfs/dbraw/zinc/88/32/48/706883248.db2.gz DPKCGMOGGFURRH-SECBINFHSA-N -1 1 318.301 1.624 20 0 DDADMM C[C@H](C[C@H](C)O)[N-]S(=O)(=O)c1cc(Cl)cnc1Cl ZINC000867090868 706893493 /nfs/dbraw/zinc/89/34/93/706893493.db2.gz UJTRAQRFLHHGOJ-RQJHMYQMSA-N -1 1 313.206 1.826 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)N(C)[C@H](C(=O)[O-])c1ccccc1 ZINC000909000775 712912735 /nfs/dbraw/zinc/91/27/35/712912735.db2.gz QBTWJUOPKJWUKF-ZFWWWQNUSA-N -1 1 320.389 1.239 20 0 DDADMM CC1=NO[C@H](C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)C1 ZINC000867349702 706970654 /nfs/dbraw/zinc/97/06/54/706970654.db2.gz PFKFAFDWJKTJNV-VIFPVBQESA-N -1 1 304.318 1.716 20 0 DDADMM COc1cc(C)cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1C ZINC000867382644 706982539 /nfs/dbraw/zinc/98/25/39/706982539.db2.gz WUNNTMABAKPCRQ-JTQLQIEISA-N -1 1 303.366 1.701 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)[C@H](C)N1C[C@H](C)[C@H](C(=O)[O-])C1 ZINC000833014463 706999573 /nfs/dbraw/zinc/99/95/73/706999573.db2.gz XCTGIHKOSWHBGL-KPXOXKRLSA-N -1 1 308.382 1.016 20 0 DDADMM CC(C)CC[C@H](CO)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867560548 707040078 /nfs/dbraw/zinc/04/00/78/707040078.db2.gz XCCYAVOYPBINDA-SECBINFHSA-N -1 1 308.350 1.435 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@H]2C[C@H]3CO[C@H]1[C@H]3C2)c1ccc(C(F)F)o1 ZINC000867610157 707053196 /nfs/dbraw/zinc/05/31/96/707053196.db2.gz DNRPDIBVNLCAHO-VRDSTSQNSA-N -1 1 319.329 1.919 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@H]2C[C@H]3CO[C@H]1[C@H]3C2)c1cc(F)ccc1F ZINC000867615448 707055227 /nfs/dbraw/zinc/05/52/27/707055227.db2.gz ZTISBRYGKXWOFZ-FDEBYTSZSA-N -1 1 315.341 1.667 20 0 DDADMM CC(C)(C)S(=O)(=O)CC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834827434 707132283 /nfs/dbraw/zinc/13/22/83/707132283.db2.gz ZRVBEGJNUCVZQM-UHFFFAOYSA-N -1 1 319.810 1.875 20 0 DDADMM COC(=O)NC(C)(C)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000834829093 707132882 /nfs/dbraw/zinc/13/28/82/707132882.db2.gz KPWRQMAOPKLECF-UHFFFAOYSA-N -1 1 300.742 1.796 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N[C@H]1CCS(=O)(=O)C1 ZINC000871626776 707143968 /nfs/dbraw/zinc/14/39/68/707143968.db2.gz UVWAHFRZQFPMSZ-VIFPVBQESA-N -1 1 318.782 1.032 20 0 DDADMM CCOC(=O)CCc1c(C)nc(SCC[C@H](C)O)[n-]c1=O ZINC000871692188 707170910 /nfs/dbraw/zinc/17/09/10/707170910.db2.gz IDNMRLGGPYVYSR-VIFPVBQESA-N -1 1 314.407 1.849 20 0 DDADMM COc1ccc(CCC(=O)[N-]O[C@@H](C)C(=O)N(C)C(C)C)cc1 ZINC000871798099 707207899 /nfs/dbraw/zinc/20/78/99/707207899.db2.gz BJQRXFUJDZVKHS-ZDUSSCGKSA-N -1 1 322.405 1.931 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]c1c(F)cccc1CO ZINC000872357670 707388961 /nfs/dbraw/zinc/38/89/61/707388961.db2.gz FJWCXWRTIVTCBS-UHFFFAOYSA-N -1 1 324.399 1.483 20 0 DDADMM CO[N-]C(=O)CNC(=O)N1CCC[C@H](c2cc(C(C)C)[nH]n2)C1 ZINC000927220323 712958708 /nfs/dbraw/zinc/95/87/08/712958708.db2.gz QPXOILVAFYWMOK-NSHDSACASA-N -1 1 323.397 1.100 20 0 DDADMM CCOC1CC2(C[C@H]2[N-]S(=O)(=O)N=S(=O)(CC)CC)C1 ZINC000872657720 707538343 /nfs/dbraw/zinc/53/83/43/707538343.db2.gz DTSRNVAJJUQMBQ-MOENNCHZSA-N -1 1 324.468 1.286 20 0 DDADMM CCCc1ncc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)o1 ZINC000836993937 707561517 /nfs/dbraw/zinc/56/15/17/707561517.db2.gz YINATFPHKAIWRJ-UHFFFAOYSA-N -1 1 317.374 1.889 20 0 DDADMM CCCOc1ccnc(N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000882304385 707576766 /nfs/dbraw/zinc/57/67/66/707576766.db2.gz IBAWTHSMZVNWOL-SNVBAGLBSA-N -1 1 305.338 1.738 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)c1cccnc1F ZINC000882306419 707577341 /nfs/dbraw/zinc/57/73/41/707577341.db2.gz BNFNXLOBSCHBIB-SECBINFHSA-N -1 1 318.370 1.477 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(C2CCC2)CCC1 ZINC000837217804 707599638 /nfs/dbraw/zinc/59/96/38/707599638.db2.gz SNPDBXAUFSRVTK-UHFFFAOYSA-N -1 1 305.378 1.013 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H](C)C2CCC2)cc1 ZINC000838391130 707912323 /nfs/dbraw/zinc/91/23/23/707912323.db2.gz FMHPTUUDRQONEB-NSHDSACASA-N -1 1 319.357 1.931 20 0 DDADMM C[C@@H](Cc1ccccc1F)NC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909402006 713008889 /nfs/dbraw/zinc/00/88/89/713008889.db2.gz JATFYUCBVUIXDF-JSGCOSHPSA-N -1 1 322.380 1.670 20 0 DDADMM C[C@@H]1CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)C[C@H](C)S1 ZINC000909406784 713010146 /nfs/dbraw/zinc/01/01/46/713010146.db2.gz RUABTLRHSAFHRF-TUAOUCFPSA-N -1 1 300.424 1.135 20 0 DDADMM COC[C@@H](CCO)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897066571 708222003 /nfs/dbraw/zinc/22/20/03/708222003.db2.gz XUWUWQANQPZVEM-LLVKDONJSA-N -1 1 305.330 1.378 20 0 DDADMM COc1ccc(-c2noc([N-][C@@H]3COCC[C@H]3OC)n2)cc1 ZINC000897122239 708233815 /nfs/dbraw/zinc/23/38/15/708233815.db2.gz ZTHQZGCYLUPJPC-CHWSQXEVSA-N -1 1 305.334 1.961 20 0 DDADMM C[C@@H](CN(C(=O)CN(C)[C@@H]1CCSC1)C1CC1)C(=O)[O-] ZINC000909455726 713022816 /nfs/dbraw/zinc/02/28/16/713022816.db2.gz BCSOPVZMMZNUSW-CMPLNLGQSA-N -1 1 300.424 1.135 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2cnc(Cl)cn2)sc1C ZINC000921528785 713798927 /nfs/dbraw/zinc/79/89/27/713798927.db2.gz IUZXZMAOQUSNES-UHFFFAOYSA-N -1 1 318.811 1.682 20 0 DDADMM COc1cc(CC[N-]S(=O)(=O)c2ccns2)ccc1C ZINC000885028318 708447729 /nfs/dbraw/zinc/44/77/29/708447729.db2.gz BKYTVDWDCVVABK-UHFFFAOYSA-N -1 1 312.416 1.981 20 0 DDADMM C[C@@H](C[C@@H](O)c1ccccc1)[N-]S(=O)(=O)c1ccns1 ZINC000885055142 708457754 /nfs/dbraw/zinc/45/77/54/708457754.db2.gz ROGNFZVKMIPIIN-CMPLNLGQSA-N -1 1 312.416 1.934 20 0 DDADMM O=S(=O)([N-][C@H](CO)Cc1ccc(F)cc1)c1ccns1 ZINC000885081146 708465767 /nfs/dbraw/zinc/46/57/67/708465767.db2.gz IPIYQYUUPRWQOG-NSHDSACASA-N -1 1 316.379 1.164 20 0 DDADMM C[C@H](CCO)C1(C[N-]S(=O)(=O)c2ccns2)CCC1 ZINC000885086370 708467043 /nfs/dbraw/zinc/46/70/43/708467043.db2.gz AAABLJRAJFQAQV-SNVBAGLBSA-N -1 1 304.437 1.610 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC12CCOCC2)c1ccns1 ZINC000885166287 708483940 /nfs/dbraw/zinc/48/39/40/708483940.db2.gz IWKCCRVUGVUAGW-JTQLQIEISA-N -1 1 302.421 1.771 20 0 DDADMM C[C@@H](O)[C@@H]([N-]S(=O)(=O)c1ccns1)c1ccccc1F ZINC000885272931 708507773 /nfs/dbraw/zinc/50/77/73/708507773.db2.gz SHLRHBUYVSVRBX-PRHODGIISA-N -1 1 316.379 1.683 20 0 DDADMM COC(=O)C1(C[N-]S(=O)(=O)c2ccc(Cl)nc2F)CC1 ZINC000885398722 708536263 /nfs/dbraw/zinc/53/62/63/708536263.db2.gz NUJMKWHLQSKTGD-UHFFFAOYSA-N -1 1 322.745 1.106 20 0 DDADMM O=C([N-][C@H]([C@@H]1CCOC1)C(F)(F)F)C(F)(F)C1(O)CCC1 ZINC000885538666 708570689 /nfs/dbraw/zinc/57/06/89/708570689.db2.gz WDMIWACEGVTSRK-HTQZYQBOSA-N -1 1 317.254 1.620 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)[C@@H]1CCS(=O)(=O)C1 ZINC000885674354 708594636 /nfs/dbraw/zinc/59/46/36/708594636.db2.gz MFQGPMGQCIGYOA-ZCFIWIBFSA-N -1 1 307.730 1.558 20 0 DDADMM COC(=O)[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000885776220 708625657 /nfs/dbraw/zinc/62/56/57/708625657.db2.gz LNALVCYLLYATEG-HTQZYQBOSA-N -1 1 321.757 1.567 20 0 DDADMM COCCCOCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266125 708732490 /nfs/dbraw/zinc/73/24/90/708732490.db2.gz AEWNENVTUWRKOL-UHFFFAOYSA-N -1 1 303.305 1.382 20 0 DDADMM C[C@H](CS(C)(=O)=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266690 708732495 /nfs/dbraw/zinc/73/24/95/708732495.db2.gz VLYOTSMDCRTFFP-MRVPVSSYSA-N -1 1 321.345 1.010 20 0 DDADMM O=C(N[C@H]1CCCC12OCCO2)c1ncc2ccccc2c1[O-] ZINC000912633991 713056750 /nfs/dbraw/zinc/05/67/50/713056750.db2.gz OXVUDETWFNRLMQ-ZDUSSCGKSA-N -1 1 314.341 1.966 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC(C)(C)n1cccn1 ZINC000912624217 713054202 /nfs/dbraw/zinc/05/42/02/713054202.db2.gz OBJCERRPPYOTLN-UHFFFAOYSA-N -1 1 317.393 1.976 20 0 DDADMM CCN(C(=O)c1ccc2n[n-]c(=S)n2c1)C(C)(C)C(=O)OC ZINC000886428428 708759232 /nfs/dbraw/zinc/75/92/32/708759232.db2.gz XPJLVMBJHQSOHS-UHFFFAOYSA-N -1 1 322.390 1.432 20 0 DDADMM C[C@]1(NC(=O)NCCc2c(F)cc([O-])cc2F)CCOC1 ZINC000927782781 713055024 /nfs/dbraw/zinc/05/50/24/713055024.db2.gz CEUQWJPEYFWAQX-AWEZNQCLSA-N -1 1 300.305 1.691 20 0 DDADMM O=C(N[C@@H]1CCS(=O)(=O)C2(CCC2)C1)c1ncccc1[O-] ZINC000886773726 708842232 /nfs/dbraw/zinc/84/22/32/708842232.db2.gz ZUNZZNWSTGWSEQ-SNVBAGLBSA-N -1 1 310.375 1.017 20 0 DDADMM C[C@@H]1CS(=O)(=O)CCCN1C(=O)c1c([O-])cccc1Cl ZINC000886820665 708853062 /nfs/dbraw/zinc/85/30/62/708853062.db2.gz OKTJUXPRKWDHNR-SECBINFHSA-N -1 1 317.794 1.695 20 0 DDADMM C[C@@H](Cc1ccc(F)cc1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898749633 708854186 /nfs/dbraw/zinc/85/41/86/708854186.db2.gz CTWNXEKEYRVRIA-VIFPVBQESA-N -1 1 305.309 1.522 20 0 DDADMM O=C(CCCc1ccc(F)cc1)NCc1nc([O-])cc(=O)[nH]1 ZINC000898753532 708855051 /nfs/dbraw/zinc/85/50/51/708855051.db2.gz BANAEMXNVLPLHG-UHFFFAOYSA-N -1 1 305.309 1.666 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1C ZINC000912688394 713069153 /nfs/dbraw/zinc/06/91/53/713069153.db2.gz STPMLLRZGZUUPV-GXSJLCMTSA-N -1 1 319.361 1.331 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C[C@H]2CCC3(CCC3)O2)CC1 ZINC000887326800 709018368 /nfs/dbraw/zinc/01/83/68/709018368.db2.gz NEJAFHATMFDOTP-ZIAGYGMSSA-N -1 1 324.421 1.486 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2C[C@@H]2[C@H]2C[C@H]2C)o1 ZINC000899414427 709076939 /nfs/dbraw/zinc/07/69/39/709076939.db2.gz RIIPFLGUFZJQEJ-RCWTZXSCSA-N -1 1 312.391 1.210 20 0 DDADMM C[C@@H]1OC[C@]2(CC[C@H](CNC(=O)c3ncccc3[O-])O2)[C@H]1C ZINC000899485447 709096497 /nfs/dbraw/zinc/09/64/97/709096497.db2.gz SRFYFYLHFREEHS-PVTKRXDGSA-N -1 1 306.362 1.490 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N(C)CCCF ZINC000899815869 709204529 /nfs/dbraw/zinc/20/45/29/709204529.db2.gz GHMKUDYBQOSKNR-UHFFFAOYSA-N -1 1 308.357 1.802 20 0 DDADMM COCCOCCCNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900006183 709263574 /nfs/dbraw/zinc/26/35/74/709263574.db2.gz QYLNOMFQSHNABN-UHFFFAOYSA-N -1 1 322.336 1.862 20 0 DDADMM O=C([O-])CC[C@@H](Cc1ccccc1)NC(=O)Cc1cnc[nH]1 ZINC000909539249 709507410 /nfs/dbraw/zinc/50/74/10/709507410.db2.gz XZVLIDMLWZKMRU-ZDUSSCGKSA-N -1 1 301.346 1.545 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)Nc2ccc3nccnc3c2)C1 ZINC000909541760 709508468 /nfs/dbraw/zinc/50/84/68/709508468.db2.gz NAYAQWSOUJVOFH-LLVKDONJSA-N -1 1 314.345 1.365 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1C[C@@H]1C(=O)[N-]OCc1ccccn1 ZINC000909544783 709509676 /nfs/dbraw/zinc/50/96/76/709509676.db2.gz TXHCTUNJCRHKPE-NWDGAFQWSA-N -1 1 307.350 1.543 20 0 DDADMM CSCC[C@H](NC(=O)CCOCC(C)C)c1nn[n-]n1 ZINC000912860149 713109626 /nfs/dbraw/zinc/10/96/26/713109626.db2.gz IMLDYSNDNYNYHJ-JTQLQIEISA-N -1 1 301.416 1.173 20 0 DDADMM COC(=O)[C@@](C)(CO)NC(=O)c1cc2ccccc2cc1[O-] ZINC000909581588 709525616 /nfs/dbraw/zinc/52/56/16/709525616.db2.gz AEAUDJXGZVWOHN-MRXNPFEDSA-N -1 1 303.314 1.199 20 0 DDADMM CSCC[C@H](NC(=O)c1cccc(F)c1C)c1nn[n-]n1 ZINC000912863421 713111556 /nfs/dbraw/zinc/11/15/56/713111556.db2.gz XMAUEELBGOEAAT-NSHDSACASA-N -1 1 309.370 1.871 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)c1cccc2nn[nH]c21 ZINC000909677280 709571927 /nfs/dbraw/zinc/57/19/27/709571927.db2.gz MCOMMWVXYULILM-RVBZMBCESA-N -1 1 300.318 1.283 20 0 DDADMM CC1CCN(CC(=O)NC[C@@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000909703670 709587672 /nfs/dbraw/zinc/58/76/72/709587672.db2.gz QQQRXTXZDNOZNV-OAHLLOKOSA-N -1 1 322.380 1.842 20 0 DDADMM CC[C@H](CSC)N(C)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909726788 709597697 /nfs/dbraw/zinc/59/76/97/709597697.db2.gz ZWUNDEXRXJCXHE-NWDGAFQWSA-N -1 1 302.440 1.383 20 0 DDADMM CCN(CC(=O)N[C@H](C(=O)[O-])c1ccc2c(c1)CCO2)C1CC1 ZINC000909793751 709623577 /nfs/dbraw/zinc/62/35/77/709623577.db2.gz NLEZVVZMZNBWHF-INIZCTEOSA-N -1 1 318.373 1.348 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)[C@H]2CCC(C)(C)O2)[n-]c1=O ZINC000889790479 709637323 /nfs/dbraw/zinc/63/73/23/709637323.db2.gz RQEZSVAGQDLDMS-GHMZBOCLSA-N -1 1 321.377 1.812 20 0 DDADMM C[C@H](NC(=O)CN1CCCC1)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909822087 709640115 /nfs/dbraw/zinc/64/01/15/709640115.db2.gz XZAFHIUMYZSPJF-ZFWWWQNUSA-N -1 1 304.390 1.530 20 0 DDADMM CC[C@](CNC(=O)Cc1cc(C)n[nH]1)(C(=O)[O-])c1ccccc1 ZINC000909847447 709650320 /nfs/dbraw/zinc/65/03/20/709650320.db2.gz YYTJNOYJCJUFNR-QGZVFWFLSA-N -1 1 315.373 1.809 20 0 DDADMM NC(=O)[C@H]1CCN(C(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC000900584177 709662847 /nfs/dbraw/zinc/66/28/47/709662847.db2.gz IPLXTTXFIAALTB-QMMMGPOBSA-N -1 1 303.293 1.027 20 0 DDADMM CC(C)(CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)CC(F)(F)F ZINC000900624300 709683507 /nfs/dbraw/zinc/68/35/07/709683507.db2.gz GRDABCGRCUNIBM-MRVPVSSYSA-N -1 1 321.303 1.468 20 0 DDADMM COC[C@H](CC(C)(C)C)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909916216 709687958 /nfs/dbraw/zinc/68/79/58/709687958.db2.gz GSNBBBYBCRBECV-OLZOCXBDSA-N -1 1 314.426 1.350 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)c2ccc3cncn3c2)CC12CCC2 ZINC000909941965 709698727 /nfs/dbraw/zinc/69/87/27/709698727.db2.gz UVCVSHDDVBHVGN-MRXNPFEDSA-N -1 1 317.320 1.753 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC12CCC2 ZINC000909942406 709699304 /nfs/dbraw/zinc/69/93/04/709699304.db2.gz XAZCVKOEZOWGRL-IQMDTDKHSA-N -1 1 307.325 1.319 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)C[C@@H](C)C1 ZINC000909945274 709700682 /nfs/dbraw/zinc/70/06/82/709700682.db2.gz ZBNZUDHATLUBKT-CBBWQLFWSA-N -1 1 310.438 1.972 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)[C@H]2CCCc3[nH]ncc32)c(F)c1 ZINC000909987324 709721642 /nfs/dbraw/zinc/72/16/42/709721642.db2.gz PYMAVSYCOZRRTA-NSHDSACASA-N -1 1 317.320 1.983 20 0 DDADMM CN(CC(=O)N1CCCCC[C@@H]1C(=O)[O-])[C@H]1CCSC1 ZINC000910046276 709751048 /nfs/dbraw/zinc/75/10/48/709751048.db2.gz RCVKLRYPQGOZNR-NWDGAFQWSA-N -1 1 300.424 1.280 20 0 DDADMM C[C@@H](C(=O)N[C@H](Cc1cccc2ccccc21)C(=O)[O-])N(C)C ZINC000910071077 709763457 /nfs/dbraw/zinc/76/34/57/709763457.db2.gz UWHPWONRVAJFOY-BLLLJJGKSA-N -1 1 314.385 1.902 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@]1(Cc1ccccc1)C(=O)[O-])N(C)C ZINC000910098903 709771850 /nfs/dbraw/zinc/77/18/50/709771850.db2.gz BMUYJAWYXUMVKP-GUYCJALGSA-N -1 1 304.390 1.625 20 0 DDADMM O=C([O-])[C@]1(Cc2ccccc2)CCCN1C(=O)Cc1ncn[nH]1 ZINC000910109092 709775400 /nfs/dbraw/zinc/77/54/00/709775400.db2.gz UWJVELNPZHGHBR-MRXNPFEDSA-N -1 1 314.345 1.036 20 0 DDADMM CO[C@@H]1CN(C(=O)c2cc(C(F)F)[nH]n2)[C@](C)(C(=O)[O-])C1 ZINC000910263580 709848411 /nfs/dbraw/zinc/84/84/11/709848411.db2.gz LVRYOLAQCINDTC-QTTZVWFDSA-N -1 1 303.265 1.052 20 0 DDADMM Cc1ccc([C@H](O)CNC(=O)c2cnc(C3CC3)[n-]c2=O)cc1 ZINC000901069179 709911596 /nfs/dbraw/zinc/91/15/96/709911596.db2.gz WMQVWAOHNJHKHU-CQSZACIVSA-N -1 1 313.357 1.831 20 0 DDADMM CC(C)OC1(CNC(=O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)CCC1 ZINC000910381351 709916522 /nfs/dbraw/zinc/91/65/22/709916522.db2.gz LSJRMQWLHHSPDE-CYBMUJFWSA-N -1 1 312.410 1.247 20 0 DDADMM CC(C)OC1(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCC1 ZINC000910381351 709916524 /nfs/dbraw/zinc/91/65/24/709916524.db2.gz LSJRMQWLHHSPDE-CYBMUJFWSA-N -1 1 312.410 1.247 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CC[C@]3(C(=O)[O-])CCC[C@H]23)C1 ZINC000910397170 709923027 /nfs/dbraw/zinc/92/30/27/709923027.db2.gz YONHRVUPKAVUOS-MMPOEDRJSA-N -1 1 317.389 1.931 20 0 DDADMM Cc1cc(CC(=O)N[C@@](C)(Cc2ccc(F)cc2)C(=O)[O-])[nH]n1 ZINC000910511387 709977136 /nfs/dbraw/zinc/97/71/36/709977136.db2.gz GSKQJNXKVYLBOD-INIZCTEOSA-N -1 1 319.336 1.602 20 0 DDADMM CCN(CC)[C@H](C(=O)N1CC[C@](O)(C(=O)[O-])C1)c1ccccc1 ZINC000910531952 709986962 /nfs/dbraw/zinc/98/69/62/709986962.db2.gz VYYUYKSQSRXTSC-WMLDXEAASA-N -1 1 320.389 1.118 20 0 DDADMM CCN(Cc1c(C)nn(CCC(=O)[O-])c1C)C[C@H]1CCCO1 ZINC000901426273 710035818 /nfs/dbraw/zinc/03/58/18/710035818.db2.gz GATNDGXHWKROAJ-CQSZACIVSA-N -1 1 309.410 1.976 20 0 DDADMM CNC(=O)[C@H]1CCCCN1Cc1ccc(O[C@@H](C)C(=O)[O-])cc1 ZINC000901434603 710039022 /nfs/dbraw/zinc/03/90/22/710039022.db2.gz WPZHAEJFSHKHPV-SWLSCSKDSA-N -1 1 320.389 1.639 20 0 DDADMM CO[N-]C(=O)CN[C@@H](C(=O)N1CCCCC1)c1ccccc1 ZINC000901605560 710092274 /nfs/dbraw/zinc/09/22/74/710092274.db2.gz CHEIFONRYTWTOX-OAHLLOKOSA-N -1 1 305.378 1.007 20 0 DDADMM CC[C@H]1CN(C(=O)[C@@H](OC)C(=O)[O-])CCN1Cc1ccccc1 ZINC000901617872 710097948 /nfs/dbraw/zinc/09/79/48/710097948.db2.gz PYJSJOJMFRGBNB-LSDHHAIUSA-N -1 1 320.389 1.209 20 0 DDADMM COCCn1c(=O)cc([O-])nc1S[C@@H]1CCC(C)(C)C1=O ZINC000901651430 710108781 /nfs/dbraw/zinc/10/87/81/710108781.db2.gz ARFDCEYHECQMSZ-SECBINFHSA-N -1 1 312.391 1.445 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CC[C@H](C)[C@H](C(=O)[O-])C2)C1 ZINC000901696815 710122539 /nfs/dbraw/zinc/12/25/39/710122539.db2.gz AAXJSCJQKXSSTE-LYIQGSDWSA-N -1 1 305.378 1.645 20 0 DDADMM C[C@H](NCc1ccc2c(c1)CC(C)(C)O2)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901744243 710138008 /nfs/dbraw/zinc/13/80/08/710138008.db2.gz VFUKQMBTARMHMG-QWRGUYRKSA-N -1 1 320.389 1.468 20 0 DDADMM CCc1cc(C(=O)OC)ccc1[N-]S(=O)(=O)C[C@@H](C)OC ZINC000901761776 710143925 /nfs/dbraw/zinc/14/39/25/710143925.db2.gz HQJCGKWZMNDFQF-SNVBAGLBSA-N -1 1 315.391 1.812 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(Cc2ccc(Sc3ccccn3)o2)C1 ZINC000901902983 710169558 /nfs/dbraw/zinc/16/95/58/710169558.db2.gz QJOMPDFTXLMECZ-OAHLLOKOSA-N -1 1 320.370 1.847 20 0 DDADMM C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1 ZINC000901917155 710174304 /nfs/dbraw/zinc/17/43/04/710174304.db2.gz HAELKPGZUGPTJY-SIIHOXLZSA-N -1 1 316.401 1.878 20 0 DDADMM O=C([O-])[C@H]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)c2ccccc21 ZINC000902015542 710202321 /nfs/dbraw/zinc/20/23/21/710202321.db2.gz GGZGNHVPFVAREQ-YIYPIFLZSA-N -1 1 311.341 1.943 20 0 DDADMM C[C@@H](CC(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)n1ccnc1 ZINC000891615021 710248923 /nfs/dbraw/zinc/24/89/23/710248923.db2.gz OVXZZKZUHBAMDU-JTQLQIEISA-N -1 1 301.350 1.847 20 0 DDADMM COCc1nc(NCC(=O)Nc2c(C)cccc2C)cc(=O)[n-]1 ZINC000891687688 710266980 /nfs/dbraw/zinc/26/69/80/710266980.db2.gz CBLHLIHUTFPFTI-UHFFFAOYSA-N -1 1 316.361 1.996 20 0 DDADMM COCc1nc(N[C@H]2C[N@@H+](C)Cc3ccccc32)cc(=O)[nH]1 ZINC000892995959 710538869 /nfs/dbraw/zinc/53/88/69/710538869.db2.gz WMFYWFNAOHFQKK-ZDUSSCGKSA-N -1 1 300.362 1.927 20 0 DDADMM COCc1nc(N2CCN(CC(C)C)C(=O)[C@@H]2C)cc(=O)[n-]1 ZINC000893273749 710584124 /nfs/dbraw/zinc/58/41/24/710584124.db2.gz LPVONZSIGROFBZ-NSHDSACASA-N -1 1 308.382 1.022 20 0 DDADMM COC1(OC)CC(NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000911036549 710604455 /nfs/dbraw/zinc/60/44/55/710604455.db2.gz OVKXEKWGBTVVFY-UHFFFAOYSA-N -1 1 323.393 1.669 20 0 DDADMM O=C([O-])c1cnc([C@@H]2CCN(C(=O)Cc3c[nH]cn3)C2)s1 ZINC000911036498 710604555 /nfs/dbraw/zinc/60/45/55/710604555.db2.gz FTOVRXMOSYUBPL-MRVPVSSYSA-N -1 1 306.347 1.123 20 0 DDADMM C[C@@]1(C(=O)[O-])CCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000902109484 710618529 /nfs/dbraw/zinc/61/85/29/710618529.db2.gz ASUUHBQZHKAKNN-HNNXBMFYSA-N -1 1 300.318 1.551 20 0 DDADMM C[N@@H+](CC(=O)Nc1ccc2cnn(CC(=O)[O-])c2c1)C1CCC1 ZINC000911072541 710621544 /nfs/dbraw/zinc/62/15/44/710621544.db2.gz MXTWZKINUMLJQF-UHFFFAOYSA-N -1 1 316.361 1.544 20 0 DDADMM CN(CC(=O)Nc1ccc2cnn(CC(=O)[O-])c2c1)C1CCC1 ZINC000911072541 710621546 /nfs/dbraw/zinc/62/15/46/710621546.db2.gz MXTWZKINUMLJQF-UHFFFAOYSA-N -1 1 316.361 1.544 20 0 DDADMM COCCN1CCC[C@H](NC(=O)C23CCC(C(=O)[O-])(CC2)C3)C1 ZINC000911354340 710759601 /nfs/dbraw/zinc/75/96/01/710759601.db2.gz XPEQRDBXWOPZEB-IGEOTXOUSA-N -1 1 324.421 1.249 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1C(=O)/C=C/c1cc(C(=O)[O-])co1 ZINC000911409653 710785989 /nfs/dbraw/zinc/78/59/89/710785989.db2.gz MPZDNSFKGPHPAS-ISZGNANSSA-N -1 1 306.362 1.790 20 0 DDADMM CC1CCN(CC(=O)N[C@H](C(=O)[O-])c2cccc(O)c2)CC1 ZINC000911444877 710802370 /nfs/dbraw/zinc/80/23/70/710802370.db2.gz WBEUQWJLBUJPDD-HNNXBMFYSA-N -1 1 306.362 1.366 20 0 DDADMM Cc1[nH]ncc1C1CCN(C(=O)c2cncc(C(=O)[O-])c2)CC1 ZINC000911589040 710870470 /nfs/dbraw/zinc/87/04/70/710870470.db2.gz COLFDLSBXSGIGP-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM O=C(OC1COC1)N1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000902790775 710901807 /nfs/dbraw/zinc/90/18/07/710901807.db2.gz OLAMOTGWGTZWNB-UHFFFAOYSA-N -1 1 305.330 1.822 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@@H]1CCO[C@H]1C1CC1 ZINC000913438951 713215895 /nfs/dbraw/zinc/21/58/95/713215895.db2.gz UZRCTRXOAJHUQN-RDBSUJKOSA-N -1 1 313.361 1.220 20 0 DDADMM CCCN(C(=O)c1cc([C@H]2CCCN2C)n[nH]1)[C@H](C)C(=O)[O-] ZINC000911715032 710945804 /nfs/dbraw/zinc/94/58/04/710945804.db2.gz UFJDMRNQDHDENV-ZWNOBZJWSA-N -1 1 308.382 1.502 20 0 DDADMM CCc1[nH+]ccn1[C@H](C)CC(=O)N1CC[C@H](c2cc(=O)[nH][nH]2)C1 ZINC000911855583 711034745 /nfs/dbraw/zinc/03/47/45/711034745.db2.gz RJMHRBHYFBKJMT-NEPJUHHUSA-N -1 1 317.393 1.841 20 0 DDADMM Cc1nn(C)c([N-]S(=O)(=O)c2cnn(C)c2C)c1Cl ZINC000903166194 711052642 /nfs/dbraw/zinc/05/26/42/711052642.db2.gz OXVONOAFOAWNOH-UHFFFAOYSA-N -1 1 303.775 1.225 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-]c1cnc2ccnn2c1 ZINC000903187898 711059125 /nfs/dbraw/zinc/05/91/25/711059125.db2.gz JZZYQWMVOBDQFE-GFCCVEGCSA-N -1 1 312.395 1.532 20 0 DDADMM C[C@H]1CCN(CCS(=O)(=O)CC(=O)[O-])[C@@H]1c1ccccc1 ZINC000903410525 711118489 /nfs/dbraw/zinc/11/84/89/711118489.db2.gz CDKGBCPNUPEWCE-WFASDCNBSA-N -1 1 311.403 1.569 20 0 DDADMM CCCCCc1ccc(NC(=O)[C@@]2(C(=O)[O-])CNCCO2)cc1 ZINC000912055261 711148989 /nfs/dbraw/zinc/14/89/89/711148989.db2.gz HLDWOIVCSGVSJD-QGZVFWFLSA-N -1 1 320.389 1.801 20 0 DDADMM CC[C@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC000913493166 713236436 /nfs/dbraw/zinc/23/64/36/713236436.db2.gz FQECJXGCKAFFDF-STQMWFEESA-N -1 1 301.350 1.293 20 0 DDADMM CSc1nc(CNC(=O)C(C)(C)c2nccs2)cc(=O)[n-]1 ZINC000912241472 711240124 /nfs/dbraw/zinc/24/01/24/711240124.db2.gz YLXWBAQYUXXJIH-UHFFFAOYSA-N -1 1 324.431 1.955 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1cc(C)nc(Cl)c1 ZINC000903667702 711240664 /nfs/dbraw/zinc/24/06/64/711240664.db2.gz CTSCIJZHYVCTCC-KRWDZBQOSA-N -1 1 311.816 1.818 20 0 DDADMM C[C@](O)(CN1CC[C@H](c2ncc(C(=O)[O-])s2)C1)C(F)(F)F ZINC000903924817 711334657 /nfs/dbraw/zinc/33/46/57/711334657.db2.gz QBJYETQZIBQSLB-CPCISQLKSA-N -1 1 324.324 1.944 20 0 DDADMM O=C([O-])[C@@H]1c2ccccc2OCCN1CCOC[C@H]1CCCO1 ZINC000903931267 711338699 /nfs/dbraw/zinc/33/86/99/711338699.db2.gz QJRUUDYDCNGJNK-CJNGLKHVSA-N -1 1 321.373 1.702 20 0 DDADMM O=C(NN1CCc2ccccc2C1)c1cnc(C2CC2)[n-]c1=O ZINC000912464397 711340271 /nfs/dbraw/zinc/34/02/71/711340271.db2.gz UPHSOZDOPNBDPT-UHFFFAOYSA-N -1 1 310.357 1.763 20 0 DDADMM COc1cc(C)ccc1CN[C@H](C(=O)[O-])c1cnn(C)c1C ZINC000904049459 711370653 /nfs/dbraw/zinc/37/06/53/711370653.db2.gz YBTIYBQKCAWCJL-HNNXBMFYSA-N -1 1 303.362 1.961 20 0 DDADMM CC(C)NC(=O)CCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895609776 711557733 /nfs/dbraw/zinc/55/77/33/711557733.db2.gz PZUHEVHSGCIKCD-UHFFFAOYSA-N -1 1 316.357 1.896 20 0 DDADMM COc1ccc(CCC(=O)Nc2n[n-]c(C(F)(F)F)n2)cn1 ZINC000895614806 711559657 /nfs/dbraw/zinc/55/96/57/711559657.db2.gz VWSWAMNKBLBHDT-UHFFFAOYSA-N -1 1 315.255 1.798 20 0 DDADMM CN(C)C(=O)CCNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895752990 711595929 /nfs/dbraw/zinc/59/59/29/711595929.db2.gz NBXASEUCVBPSBY-UHFFFAOYSA-N -1 1 302.330 1.459 20 0 DDADMM CSc1n[nH]c(NC(=O)[C@@H]2CCCN(C(C)(C)C)C2=O)n1 ZINC000895999858 711662877 /nfs/dbraw/zinc/66/28/77/711662877.db2.gz IGFMUVSFNFJJTP-QMMMGPOBSA-N -1 1 311.411 1.502 20 0 DDADMM C[C@@H](S[C@@H](C)C(=O)NCc1cc(N(C)C)ccn1)C(=O)[O-] ZINC000905128740 711944933 /nfs/dbraw/zinc/94/49/33/711944933.db2.gz OBTZMZNIACRAQK-VHSXEESVSA-N -1 1 311.407 1.359 20 0 DDADMM CN1CC[C@@H]1CNC(=O)C[C@](C)(C(=O)[O-])c1ccc(Cl)cc1 ZINC000905131421 711946129 /nfs/dbraw/zinc/94/61/29/711946129.db2.gz RKRSEUXRKCKAOZ-CJNGLKHVSA-N -1 1 324.808 1.893 20 0 DDADMM O=C(Cc1c[nH]c2ccccc12)N1CCC(c2nn[n-]n2)CC1 ZINC000913742273 713291723 /nfs/dbraw/zinc/29/17/23/713291723.db2.gz RUNLVVJTYZLZFM-UHFFFAOYSA-N -1 1 310.361 1.630 20 0 DDADMM CCCc1nc(C)c(C(=O)N2CCC(c3nn[n-]n3)CC2)s1 ZINC000913743304 713292170 /nfs/dbraw/zinc/29/21/70/713292170.db2.gz PCYYUIGLZVGTTG-UHFFFAOYSA-N -1 1 320.422 1.937 20 0 DDADMM COc1cccc(CCC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913742859 713292210 /nfs/dbraw/zinc/29/22/10/713292210.db2.gz YKSWFJFIRDUZOI-UHFFFAOYSA-N -1 1 315.377 1.547 20 0 DDADMM C[C@H](CC(=O)N1CCC(c2nn[n-]n2)CC1)c1ccncc1 ZINC000913743901 713292395 /nfs/dbraw/zinc/29/23/95/713292395.db2.gz QHASAEVREKEDFH-LLVKDONJSA-N -1 1 300.366 1.495 20 0 DDADMM O=C(c1ccnn1-c1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745193 713293555 /nfs/dbraw/zinc/29/35/55/713293555.db2.gz SSZYNBWLSPCWCE-UHFFFAOYSA-N -1 1 323.360 1.405 20 0 DDADMM CCn1nc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1C1CC1 ZINC000913745493 713293675 /nfs/dbraw/zinc/29/36/75/713293675.db2.gz HIBWWQHZLSRDIY-UHFFFAOYSA-N -1 1 315.381 1.313 20 0 DDADMM CCn1ncc(C(=O)N2CCC(c3nn[n-]n3)CC2)c1C1CC1 ZINC000913747200 713294521 /nfs/dbraw/zinc/29/45/21/713294521.db2.gz TVYSTLAACHNUDV-UHFFFAOYSA-N -1 1 315.381 1.313 20 0 DDADMM C/C(=C(/F)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000913781757 713299781 /nfs/dbraw/zinc/29/97/81/713299781.db2.gz YMXZEPACMYQTMA-YFHOEESVSA-N -1 1 308.275 1.777 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)c1cc(C)ns1 ZINC000918060348 713527669 /nfs/dbraw/zinc/52/76/69/713527669.db2.gz CGIDLMWDKBQTLX-JTQLQIEISA-N -1 1 320.436 1.662 20 0 DDADMM Cc1cccc(C)c1CS(=O)(=O)NCC(F)(F)C(=O)[O-] ZINC000906000094 712216770 /nfs/dbraw/zinc/21/67/70/712216770.db2.gz UEQPAFWNIDISAQ-UHFFFAOYSA-N -1 1 307.318 1.443 20 0 DDADMM O=C([O-])[C@@H](F)CNS(=O)(=O)c1c(Cl)ccnc1Cl ZINC000906005252 712218299 /nfs/dbraw/zinc/21/82/99/712218299.db2.gz OBNYAZXOYKOAFP-YFKPBYRVSA-N -1 1 317.125 1.089 20 0 DDADMM CCc1ccncc1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000906068675 712236411 /nfs/dbraw/zinc/23/64/11/712236411.db2.gz LZNBTUQBGVPNCV-UHFFFAOYSA-N -1 1 303.409 1.563 20 0 DDADMM C[C@H](N(C)S(=O)(=O)c1cc(C(=O)[O-])ccc1O)C1(C)CC1 ZINC000906887063 712428116 /nfs/dbraw/zinc/42/81/16/712428116.db2.gz YYGPAGVVCUQADN-VIFPVBQESA-N -1 1 313.375 1.900 20 0 DDADMM CC1=C(C)CN(S(=O)(=O)c2cc(C(=O)[O-])ccc2O)CC1 ZINC000906963891 712450812 /nfs/dbraw/zinc/45/08/12/712450812.db2.gz TWBQFYNFEMLOFF-UHFFFAOYSA-N -1 1 311.359 1.821 20 0 DDADMM Nc1cn[nH]c1[C@@H]1CCN(C(=O)c2cccc(Cl)c2[O-])C1 ZINC000907413671 712564694 /nfs/dbraw/zinc/56/46/94/712564694.db2.gz XEERGUDMDJLMCZ-MRVPVSSYSA-N -1 1 306.753 1.981 20 0 DDADMM Cc1cccc(CCC(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000907477095 712582557 /nfs/dbraw/zinc/58/25/57/712582557.db2.gz PYKHKYXGXINRDT-ZDUSSCGKSA-N -1 1 317.418 1.757 20 0 DDADMM O=C(c1[nH]ccc1C1CC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479309 712583168 /nfs/dbraw/zinc/58/31/68/712583168.db2.gz BNEMYPGJEVXQQR-SNVBAGLBSA-N -1 1 304.379 1.336 20 0 DDADMM C[C@@H](Cc1ccco1)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907482287 712584090 /nfs/dbraw/zinc/58/40/90/712584090.db2.gz OQKAQGVWXDWLJD-GXSJLCMTSA-N -1 1 307.379 1.288 20 0 DDADMM C[C@H](CC(F)(F)F)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481761 712584148 /nfs/dbraw/zinc/58/41/48/712584148.db2.gz YSFXFIUZJLAZIZ-RNFRBKRXSA-N -1 1 309.317 1.405 20 0 DDADMM O=S(=O)([N-]Cc1cnn(CCF)c1)c1cccc(F)c1F ZINC000907648658 712608483 /nfs/dbraw/zinc/60/84/83/712608483.db2.gz IHBIPQTVSUNYBS-UHFFFAOYSA-N -1 1 319.308 1.609 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)C[C@H]3CCC3(F)F)CC2)n1 ZINC000907860359 712637436 /nfs/dbraw/zinc/63/74/36/712637436.db2.gz PCIJFAVEIRDVTM-MRVPVSSYSA-N -1 1 307.322 1.332 20 0 DDADMM CCOC(=O)[C@H]1CCCN(CC(=O)[N-]OC[C@H](C)CC)C1 ZINC000908351144 712749555 /nfs/dbraw/zinc/74/95/55/712749555.db2.gz DOYLVBNVTBSSCM-OLZOCXBDSA-N -1 1 300.399 1.355 20 0 DDADMM CN(CC(=O)N1CCc2cc(C(=O)[O-])ccc21)[C@@H]1CCSC1 ZINC000908650675 712829014 /nfs/dbraw/zinc/82/90/14/712829014.db2.gz DDXREGTYYSVYFS-CYBMUJFWSA-N -1 1 320.414 1.711 20 0 DDADMM CC[C@](C)(NC(=O)NC[C@@H](c1cccs1)N(C)C)C(=O)[O-] ZINC000908746905 712846915 /nfs/dbraw/zinc/84/69/15/712846915.db2.gz KASROHVWLWBMPO-HZMBPMFUSA-N -1 1 313.423 1.903 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC000908820973 712861832 /nfs/dbraw/zinc/86/18/32/712861832.db2.gz WWXLRUIDAMVEIB-MFKMUULPSA-N -1 1 311.357 1.543 20 0 DDADMM CS[C@@H]1CC[C@H](NC(=O)CN2CCC[C@H](C(=O)[O-])C2)C1 ZINC000908833499 712864325 /nfs/dbraw/zinc/86/43/25/712864325.db2.gz ONRPHCOUZNGEHJ-SDDRHHMPSA-N -1 1 300.424 1.183 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)c1cc(F)ccc1F ZINC000908919560 712887145 /nfs/dbraw/zinc/88/71/45/712887145.db2.gz IFMJRDWAMPGZLE-JRKPZEMJSA-N -1 1 321.283 1.734 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2c(C)sc(C)c2C)no1 ZINC000914184862 713355102 /nfs/dbraw/zinc/35/51/02/713355102.db2.gz NLFPYAQSVTZFFJ-UHFFFAOYSA-N -1 1 301.393 1.843 20 0 DDADMM COc1ccccc1Cc1nnc(Sc2c(C)[nH][n-]c2=O)o1 ZINC000916873872 713471643 /nfs/dbraw/zinc/47/16/43/713471643.db2.gz IUPABMMQNDVUEZ-LBPRGKRZSA-N -1 1 318.358 1.635 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC(C)(C)/C=C\Cl)co1 ZINC000928934838 713513563 /nfs/dbraw/zinc/51/35/63/713513563.db2.gz WYJRIUNXKHZDFI-PLNGDYQASA-N -1 1 306.771 1.449 20 0 DDADMM COCCN(CC(=O)OC)C[C@@H](C)C(=O)c1ccc([O-])cc1 ZINC000929638541 713658712 /nfs/dbraw/zinc/65/87/12/713658712.db2.gz YRHOBOQTMIGGQY-GFCCVEGCSA-N -1 1 309.362 1.332 20 0 DDADMM COC1(CS(=O)(=O)[N-][C@]23CCC[C@@]2(OC)OCC3)CCC1 ZINC000921314485 713730605 /nfs/dbraw/zinc/73/06/05/713730605.db2.gz UDAZAEPKSQVMQY-UONOGXRCSA-N -1 1 319.423 1.161 20 0 DDADMM CCN(CCO)C(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC000930036257 713740582 /nfs/dbraw/zinc/74/05/82/713740582.db2.gz LLHWEDNGFSSUQJ-UHFFFAOYSA-N -1 1 303.314 1.919 20 0 DDADMM O=S(=O)([N-]C[C@@H]1C=C[C@H]2C[C@@H]1CO2)c1cc(F)ccc1F ZINC000921611533 713821427 /nfs/dbraw/zinc/82/14/27/713821427.db2.gz ITQAKWRZHQNTMD-UMNHJUIQSA-N -1 1 315.341 1.834 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCc2cccnc21)c1c[nH]nc1Cl ZINC000921838240 713881857 /nfs/dbraw/zinc/88/18/57/713881857.db2.gz SMEHRXIDWYJGPH-SECBINFHSA-N -1 1 312.782 1.814 20 0 DDADMM Cn1nccc1[C@H](O)C[N-]S(=O)(=O)c1sccc1Cl ZINC000921964642 713921743 /nfs/dbraw/zinc/92/17/43/713921743.db2.gz NMFZIFVJAMARJV-SECBINFHSA-N -1 1 321.811 1.147 20 0 DDADMM Cc1nc2c(c(=O)[nH]1)C[N@@H+]([C@@H]1CCCN(CC(C)(C)C)C1=O)C2 ZINC000931315666 714064094 /nfs/dbraw/zinc/06/40/94/714064094.db2.gz WMECTOCZNQHRGR-CQSZACIVSA-N -1 1 318.421 1.843 20 0 DDADMM CO[N-]C(=O)CNCc1c(C)nn(-c2ccccc2)c1Cl ZINC000922830254 714161575 /nfs/dbraw/zinc/16/15/75/714161575.db2.gz QLGUMWZQHNLCHA-UHFFFAOYSA-N -1 1 308.769 1.601 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@@H]1CCCOC1 ZINC000932042371 714244959 /nfs/dbraw/zinc/24/49/59/714244959.db2.gz NXMVBLJKFDUDRB-GFCCVEGCSA-N -1 1 302.334 1.878 20 0 DDADMM C[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000923154809 714256599 /nfs/dbraw/zinc/25/65/99/714256599.db2.gz IEBJUUDJIGKDPG-ZWNOBZJWSA-N -1 1 314.345 1.797 20 0 DDADMM Cn1[n-]c(COC(=O)C2(CCc3ccccc3)CC2)nc1=O ZINC000924411118 714577454 /nfs/dbraw/zinc/57/74/54/714577454.db2.gz ALRSEIIBRUSLDG-UHFFFAOYSA-N -1 1 301.346 1.565 20 0 DDADMM COc1cnc([C@H]2CCCN2C[C@@H](O)CC(F)(F)F)[n-]c1=O ZINC000934274258 714783717 /nfs/dbraw/zinc/78/37/17/714783717.db2.gz SFFJJKLJUGWSJD-DTWKUNHWSA-N -1 1 321.299 1.641 20 0 DDADMM O=C(c1ccc(OC(F)F)nc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000935037345 714961414 /nfs/dbraw/zinc/96/14/14/714961414.db2.gz SFWFUGCXVNONEJ-SECBINFHSA-N -1 1 324.291 1.216 20 0 DDADMM CC(C)[C@@H]1C[C@@H](C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCO1 ZINC000935037675 714961445 /nfs/dbraw/zinc/96/14/45/714961445.db2.gz YNQGSTPNIMIDBR-AVGNSLFASA-N -1 1 307.398 1.357 20 0 DDADMM O=Cc1ccc(-c2ccc([O-])c(C(=O)NC3(CO)CC3)c2)o1 ZINC000935069388 714968591 /nfs/dbraw/zinc/96/85/91/714968591.db2.gz XTIQHSSUQYNTJA-UHFFFAOYSA-N -1 1 301.298 1.719 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216420 715293501 /nfs/dbraw/zinc/29/35/01/715293501.db2.gz BUERDIDMFPXLSX-IJLUTSLNSA-N -1 1 303.362 1.116 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1)c1ncccc1[O-] ZINC000937860503 715616849 /nfs/dbraw/zinc/61/68/49/715616849.db2.gz HIFWQOOEITZIAJ-CIQGVGRVSA-N -1 1 315.373 1.164 20 0 DDADMM CS[C@H](C)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849836 715636511 /nfs/dbraw/zinc/63/65/11/715636511.db2.gz ZLFFGUDRFHOWKM-MEBBXXQBSA-N -1 1 323.418 1.260 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)c2cc[nH]c2)C1 ZINC000956851048 715637822 /nfs/dbraw/zinc/63/78/22/715637822.db2.gz APUZXPSOUGILES-MRXNPFEDSA-N -1 1 314.345 1.150 20 0 DDADMM CC[C@]1(C)C[C@@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955188761 715664442 /nfs/dbraw/zinc/66/44/42/715664442.db2.gz NHOIKNFUUXOTTC-SJKOYZFVSA-N -1 1 317.389 1.506 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939809306 716435511 /nfs/dbraw/zinc/43/55/11/716435511.db2.gz BBJODNKFORQXDC-OLZOCXBDSA-N -1 1 319.405 1.752 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2CCC2)C1 ZINC000959000655 716812373 /nfs/dbraw/zinc/81/23/73/716812373.db2.gz OCZYBSVQZHQZAA-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)N[C@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000964664800 717366667 /nfs/dbraw/zinc/36/66/67/717366667.db2.gz POBWBKCHQCSWCM-LBPRGKRZSA-N -1 1 318.377 1.190 20 0 DDADMM C[C@H]1CN(Cc2ccon2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000941988871 717418976 /nfs/dbraw/zinc/41/89/76/717418976.db2.gz IWXKVHHORAZEDY-AAEUAGOBSA-N -1 1 316.361 1.416 20 0 DDADMM CC(C)(F)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964851973 717453917 /nfs/dbraw/zinc/45/39/17/717453917.db2.gz ZXCJDUIJLOYLOC-NSHDSACASA-N -1 1 323.368 1.646 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC000942759156 717858882 /nfs/dbraw/zinc/85/88/82/717858882.db2.gz USDPPJRQXZLVLK-CHWSQXEVSA-N -1 1 319.405 1.896 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C(F)F)C1 ZINC000942759605 717858968 /nfs/dbraw/zinc/85/89/68/717858968.db2.gz YMNZARIIGVGJMA-VIFPVBQESA-N -1 1 313.304 1.115 20 0 DDADMM CC(C)(C)C(=O)N[C@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943522272 718136600 /nfs/dbraw/zinc/13/66/00/718136600.db2.gz AOGLEJAYMVXHIH-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NC1CCN(C(=O)C2CC=CC2)CC1)c1ncccc1[O-] ZINC000945733828 718549468 /nfs/dbraw/zinc/54/94/68/718549468.db2.gz XQPZXLMQGIFJMV-UHFFFAOYSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H](C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC000946532841 718820767 /nfs/dbraw/zinc/82/07/67/718820767.db2.gz DZEASAJAJLHWIM-NSHDSACASA-N -1 1 317.389 1.554 20 0 DDADMM CCC(=O)N(C)[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000967444612 718900085 /nfs/dbraw/zinc/90/00/85/718900085.db2.gz COKTZVNGXVLMEX-GFCCVEGCSA-N -1 1 305.378 1.650 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C(C)(F)F)C1 ZINC000967902559 719080427 /nfs/dbraw/zinc/08/04/27/719080427.db2.gz ZLIXJOPNIBAZKH-UHFFFAOYSA-N -1 1 313.304 1.115 20 0 DDADMM Cc1nnc([C@H](C)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)o1 ZINC000968485986 719615715 /nfs/dbraw/zinc/61/57/15/719615715.db2.gz LHVBGFIVKWUGTH-GXSJLCMTSA-N -1 1 317.349 1.044 20 0 DDADMM O=C([C@@H]1CCC=CCCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969066341 719946219 /nfs/dbraw/zinc/94/62/19/719946219.db2.gz SPIWPIUAUFKJDJ-CHWSQXEVSA-N -1 1 319.409 1.337 20 0 DDADMM CCC(=O)N1CCC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000949568629 720173482 /nfs/dbraw/zinc/17/34/82/720173482.db2.gz MWFNLIUEDODIAQ-LLVKDONJSA-N -1 1 318.377 1.190 20 0 DDADMM CCC(=O)N1CCC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000949568630 720173558 /nfs/dbraw/zinc/17/35/58/720173558.db2.gz MWFNLIUEDODIAQ-NSHDSACASA-N -1 1 318.377 1.190 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@H]2C=CCC2)C1 ZINC000954124158 721723633 /nfs/dbraw/zinc/72/36/33/721723633.db2.gz NUIQQBFXVSOJEQ-LBPRGKRZSA-N -1 1 315.373 1.426 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C(C)(C)C2CC2)C1 ZINC000954128005 721724845 /nfs/dbraw/zinc/72/48/45/721724845.db2.gz OIZPLLLHVMKKJH-UHFFFAOYSA-N -1 1 317.389 1.506 20 0 DDADMM Cc1n[nH]c(C(=O)NCCN[C@H](C)c2ccc(F)cc2F)c1[O-] ZINC001125749415 735458943 /nfs/dbraw/zinc/45/89/43/735458943.db2.gz LTCNRDOOBQRGRN-MRVPVSSYSA-N -1 1 324.331 1.783 20 0 DDADMM CC1(CC(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203210771 738791444 /nfs/dbraw/zinc/79/14/44/738791444.db2.gz IATLQDSWWLISAW-MNOVXSKESA-N -1 1 319.409 1.218 20 0 DDADMM [O-]c1ccc(CN2CCN(c3ncncn3)CC2)c(F)c1F ZINC001140892740 736470778 /nfs/dbraw/zinc/47/07/78/736470778.db2.gz YVMUIWBHQQSEBN-UHFFFAOYSA-N -1 1 307.304 1.178 20 0 DDADMM CC[C@]1(C)C[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974055929 737352799 /nfs/dbraw/zinc/35/27/99/737352799.db2.gz DFTSZPSSSUOZCJ-UZTWBRENSA-N -1 1 317.389 1.600 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2CCC[C@H]2CCOC2)c1[O-] ZINC001038163948 739245320 /nfs/dbraw/zinc/24/53/20/739245320.db2.gz DHQMKJPXQJFLHC-STQMWFEESA-N -1 1 322.409 1.045 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001017088668 751459981 /nfs/dbraw/zinc/45/99/81/751459981.db2.gz UCTHIRWTISDKLY-NEPJUHHUSA-N -1 1 321.425 1.227 20 0 DDADMM CCCCC(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514448 741088103 /nfs/dbraw/zinc/08/81/03/741088103.db2.gz CZQDJSQFMQNECA-ZDUSSCGKSA-N -1 1 319.405 1.946 20 0 DDADMM CC[C@H](F)C(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059518603 741091243 /nfs/dbraw/zinc/09/12/43/741091243.db2.gz OVURZLZARPICHR-RYUDHWBXSA-N -1 1 323.368 1.504 20 0 DDADMM C[N@H+](Cc1ccon1)C[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001029713274 741247870 /nfs/dbraw/zinc/24/78/70/741247870.db2.gz IVFKENKXWKGOCV-GFCCVEGCSA-N -1 1 316.361 1.369 20 0 DDADMM CC1(C(=O)N2CCCCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001088318644 741381445 /nfs/dbraw/zinc/38/14/45/741381445.db2.gz KWLYVEKWHNCKLG-NSHDSACASA-N -1 1 307.398 1.171 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029857036 741431308 /nfs/dbraw/zinc/43/13/08/741431308.db2.gz GAVQYPBYECCCAG-NEPJUHHUSA-N -1 1 309.414 1.083 20 0 DDADMM CCCCOCC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075986596 742314601 /nfs/dbraw/zinc/31/46/01/742314601.db2.gz YGIJYEOQBHWJCQ-LBPRGKRZSA-N -1 1 323.393 1.229 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCC1(C)C ZINC001076246594 742619683 /nfs/dbraw/zinc/61/96/83/742619683.db2.gz ZVJMHLOAIOSLHE-RYUDHWBXSA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1cccnc1 ZINC001076243904 742623311 /nfs/dbraw/zinc/62/33/11/742623311.db2.gz DFPNMFDCVDYKMT-NSHDSACASA-N -1 1 314.345 1.121 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)COC(C)(C)C ZINC001076245141 742624628 /nfs/dbraw/zinc/62/46/28/742624628.db2.gz PXMIYBSTHCCVHQ-NSHDSACASA-N -1 1 323.393 1.227 20 0 DDADMM Cc1cc[nH]c1C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076332644 742674075 /nfs/dbraw/zinc/67/40/75/742674075.db2.gz STMKXIYVGRUULB-NSHDSACASA-N -1 1 316.361 1.362 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])C1CC2(COC2)C1 ZINC001181611863 743271384 /nfs/dbraw/zinc/27/13/84/743271384.db2.gz YVPFKOWDKYZXDF-UHFFFAOYSA-N -1 1 313.151 1.915 20 0 DDADMM C[C@H]1C(=O)OC[C@H]1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001182075768 743470879 /nfs/dbraw/zinc/47/08/79/743470879.db2.gz AZIRYGAGUPBDHN-MWLCHTKSSA-N -1 1 301.302 1.326 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@]12CC[C@H](O1)c1ccccc12 ZINC001182485139 743653338 /nfs/dbraw/zinc/65/33/38/743653338.db2.gz CTDXNZBOIGECIU-MGPLVRAMSA-N -1 1 323.312 1.758 20 0 DDADMM COC(=O)[C@H]1CCC[C@H](C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)C1 ZINC001183399103 743831879 /nfs/dbraw/zinc/83/18/79/743831879.db2.gz YVKSOGZGDKRHCH-BQBZGAKWSA-N -1 1 324.293 1.204 20 0 DDADMM CCCC[C@](C)(F)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001183800416 743912815 /nfs/dbraw/zinc/91/28/15/743912815.db2.gz PGMVICFDEPLTIY-YGRLFVJLSA-N -1 1 313.377 1.119 20 0 DDADMM CSc1nc(NC(=O)[C@H](O)Cc2ccc(O)cc2)cc(=O)[n-]1 ZINC001183894895 743925474 /nfs/dbraw/zinc/92/54/74/743925474.db2.gz WJWJUJZVWMLGKL-SNVBAGLBSA-N -1 1 321.358 1.152 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NS(=O)(=O)c1ccsc1 ZINC001185350505 744204314 /nfs/dbraw/zinc/20/43/14/744204314.db2.gz CUHYYAIXRPHGHR-UHFFFAOYSA-N -1 1 318.361 1.731 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC2(CC1)CC(=O)NC(=O)C2 ZINC001186327153 744375188 /nfs/dbraw/zinc/37/51/88/744375188.db2.gz NQJXYHUSNQTTOZ-UHFFFAOYSA-N -1 1 320.320 1.190 20 0 DDADMM CSc1nc(NS(=O)(=O)c2cnoc2C)cc(=O)[n-]1 ZINC001187334173 744541678 /nfs/dbraw/zinc/54/16/78/744541678.db2.gz OZMIDDXBDGXMLE-UHFFFAOYSA-N -1 1 302.337 1.001 20 0 DDADMM COC(=O)Cc1cccc(C[N-]S(=O)(=O)c2cnoc2C)c1 ZINC001187420569 744560621 /nfs/dbraw/zinc/56/06/21/744560621.db2.gz INKAHNJAKVKTTJ-UHFFFAOYSA-N -1 1 324.358 1.177 20 0 DDADMM COc1c(O)cccc1NC(=O)c1n[n-]nc1C(F)(F)F ZINC001187766262 744607674 /nfs/dbraw/zinc/60/76/74/744607674.db2.gz MXSAXYWHVLUXMC-UHFFFAOYSA-N -1 1 302.212 1.790 20 0 DDADMM CCc1cc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc(C)n1 ZINC001188340107 744692322 /nfs/dbraw/zinc/69/23/22/744692322.db2.gz KKLXPQCAKBGZFK-UHFFFAOYSA-N -1 1 303.278 1.804 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1ccc(OC)cc1C(C)=O ZINC001188395287 744698579 /nfs/dbraw/zinc/69/85/79/744698579.db2.gz QRVRGDJLBMMSQK-UHFFFAOYSA-N -1 1 315.347 1.203 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cccn(C(F)F)c1=O ZINC001188517620 744710793 /nfs/dbraw/zinc/71/07/93/744710793.db2.gz JZUJAEBVUFMDOU-UHFFFAOYSA-N -1 1 323.181 1.633 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)c1ccoc1)C(F)(F)F ZINC001188624679 744740291 /nfs/dbraw/zinc/74/02/91/744740291.db2.gz XSQBAFPMLCTJFS-SSDOTTSWSA-N -1 1 301.242 1.052 20 0 DDADMM O=C(NCCNC(=O)c1ccncc1[O-])Nc1ccccc1 ZINC001188637560 744743954 /nfs/dbraw/zinc/74/39/54/744743954.db2.gz CBAOVUQEKYQQOG-UHFFFAOYSA-N -1 1 300.318 1.339 20 0 DDADMM CCCC(=O)N1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@]2(C)C1 ZINC000992512688 744929481 /nfs/dbraw/zinc/92/94/81/744929481.db2.gz OYSNGXBFTIPOHM-YVEFUNNKSA-N -1 1 317.389 1.508 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@@H](N(C)CCC(F)(F)F)C2)c1[O-] ZINC001189664025 744950559 /nfs/dbraw/zinc/95/05/59/744950559.db2.gz KFKQOQNMUNIWOX-SECBINFHSA-N -1 1 320.315 1.522 20 0 DDADMM COC(=O)[C@@H](NC(=O)Nc1cc(=O)[n-]c(SC)n1)C(C)C ZINC001190206650 745152917 /nfs/dbraw/zinc/15/29/17/745152917.db2.gz PQMRCSFISWANOS-VIFPVBQESA-N -1 1 314.367 1.223 20 0 DDADMM Cc1nccnc1CNC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190268898 745168399 /nfs/dbraw/zinc/16/83/99/745168399.db2.gz BDUNRBWSNYJQTR-UHFFFAOYSA-N -1 1 321.340 1.878 20 0 DDADMM COC[C@H](NC(=O)c1cnc(-c2ccccn2)[n-]c1=O)C1CC1 ZINC001190637963 745282413 /nfs/dbraw/zinc/28/24/13/745282413.db2.gz RCEFXJSNVCDYKP-ZDUSSCGKSA-N -1 1 314.345 1.399 20 0 DDADMM O=S(=O)(Nc1ncc(F)cc1Br)c1ncc[n-]1 ZINC001190690232 745298308 /nfs/dbraw/zinc/29/83/08/745298308.db2.gz WYUBLAPYIRWOIR-UHFFFAOYSA-N -1 1 321.131 1.507 20 0 DDADMM COc1nc(C)ccc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001190803857 745336699 /nfs/dbraw/zinc/33/66/99/745336699.db2.gz PMOHIXBJPDUJDL-UHFFFAOYSA-N -1 1 305.250 1.250 20 0 DDADMM O=S(=O)([N-]c1cncnc1Br)c1ccncc1 ZINC001190854612 745352465 /nfs/dbraw/zinc/35/24/65/745352465.db2.gz VPANGZDVLZVFSU-UHFFFAOYSA-N -1 1 315.152 1.435 20 0 DDADMM O=S(=O)([N-]c1ccc(-n2cncn2)cc1)c1ccncc1 ZINC001190888490 745367703 /nfs/dbraw/zinc/36/77/03/745367703.db2.gz IAMVURQZZHCAET-UHFFFAOYSA-N -1 1 301.331 1.463 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccncc1)c1cccc(F)c1 ZINC001190916368 745384722 /nfs/dbraw/zinc/38/47/22/745384722.db2.gz PJDLGNVYBQIHAF-ZDUSSCGKSA-N -1 1 324.333 1.413 20 0 DDADMM COc1ccccc1C1([N-]S(=O)(=O)c2ccncc2)COC1 ZINC001190920801 745386156 /nfs/dbraw/zinc/38/61/56/745386156.db2.gz BSZWLLUDHBOWHZ-UHFFFAOYSA-N -1 1 320.370 1.294 20 0 DDADMM O=C(NCCCc1ccccc1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001191222030 745458856 /nfs/dbraw/zinc/45/88/56/745458856.db2.gz OBUSTGLABNTLQU-UHFFFAOYSA-N -1 1 312.333 1.813 20 0 DDADMM CSc1ncc(C(=O)NCCn2nc(C)cc2C)c(=O)[n-]1 ZINC001191420304 745506508 /nfs/dbraw/zinc/50/65/08/745506508.db2.gz LRNBFLWLALPJLV-UHFFFAOYSA-N -1 1 307.379 1.147 20 0 DDADMM CSc1ncc(C(=O)NCC2(F)CCOCC2)c(=O)[n-]1 ZINC001191437747 745512153 /nfs/dbraw/zinc/51/21/53/745512153.db2.gz PEDOEWBVOJDJKZ-UHFFFAOYSA-N -1 1 301.343 1.153 20 0 DDADMM CS(=O)(=O)c1ccc([N-]S(=O)(=O)CC2CCCC2)cn1 ZINC001191489505 745521202 /nfs/dbraw/zinc/52/12/02/745521202.db2.gz LUJOLXTVKCYSTO-UHFFFAOYSA-N -1 1 318.420 1.417 20 0 DDADMM Cc1coc(C[N-]S(=O)(=O)c2cnc(Cl)c(C)c2)n1 ZINC001192523527 745806909 /nfs/dbraw/zinc/80/69/09/745806909.db2.gz YHZFDJVFZLZHTP-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM N=C(NC(=O)c1cc([O-])cc(F)c1F)n1nnc2ccccc21 ZINC001192685004 745861894 /nfs/dbraw/zinc/86/18/94/745861894.db2.gz WAWOSRWKYMHSDN-UHFFFAOYSA-N -1 1 317.255 1.628 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(C2(C(F)(F)F)N=N2)cc1 ZINC001193018448 745962476 /nfs/dbraw/zinc/96/24/76/745962476.db2.gz FZYQMOMTYPEVJB-UHFFFAOYSA-N -1 1 311.227 1.311 20 0 DDADMM CCOC(=O)[C@H](CCSC)NC(=O)Nc1ncccc1[O-] ZINC001193189048 746024007 /nfs/dbraw/zinc/02/40/07/746024007.db2.gz XRDOCVTYQWKJEI-VIFPVBQESA-N -1 1 313.379 1.594 20 0 DDADMM CC(=O)Oc1ccc(F)c([N-]S(=O)(=O)C[C@H]2CCCO2)c1 ZINC001193280686 746044858 /nfs/dbraw/zinc/04/48/58/746044858.db2.gz RUYVOTYQAAPAFV-LLVKDONJSA-N -1 1 317.338 1.672 20 0 DDADMM CC[C@H](C)OC(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001195161022 746543281 /nfs/dbraw/zinc/54/32/81/746543281.db2.gz BWFGYHNIAOZDHO-LURJTMIESA-N -1 1 317.130 1.615 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCN(C(=O)C(C)(C)C)CC2)n1 ZINC001195324903 746565927 /nfs/dbraw/zinc/56/59/27/746565927.db2.gz WGWLVXYNGVRZDV-UHFFFAOYSA-N -1 1 305.378 1.426 20 0 DDADMM COC(=O)C[C@H]1CCCN(C(=O)c2cc([O-])cnc2Cl)C1 ZINC001195304802 746572023 /nfs/dbraw/zinc/57/20/23/746572023.db2.gz ADMKZVYGQGLUIK-SECBINFHSA-N -1 1 312.753 1.856 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2c(O)cc(F)cc2F)cn1 ZINC001195717209 746684166 /nfs/dbraw/zinc/68/41/66/746684166.db2.gz ZSMYZFLHOOIDGM-UHFFFAOYSA-N -1 1 316.285 1.875 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1cc(C)nn1C1CCC1 ZINC001195942658 746732499 /nfs/dbraw/zinc/73/24/99/746732499.db2.gz PWCMCXRKLLECMC-UHFFFAOYSA-N -1 1 301.368 1.221 20 0 DDADMM O=C(Nc1ccccc1-n1cccn1)c1c[nH]c(=S)[n-]c1=O ZINC001196015683 746757278 /nfs/dbraw/zinc/75/72/78/746757278.db2.gz FZTHKCLBCUXMJR-UHFFFAOYSA-N -1 1 313.342 1.909 20 0 DDADMM O=C(Nc1nsc2ncccc12)c1c[nH]c(=S)[n-]c1=O ZINC001196014909 746757504 /nfs/dbraw/zinc/75/75/04/746757504.db2.gz UYZNUXSMIZBZCD-UHFFFAOYSA-N -1 1 305.344 1.728 20 0 DDADMM O=C(NCc1cccc2n[nH]cc21)c1c[nH]c(=S)[n-]c1=O ZINC001196023258 746759848 /nfs/dbraw/zinc/75/98/48/746759848.db2.gz IBWAIRKFCGRUFD-UHFFFAOYSA-N -1 1 301.331 1.277 20 0 DDADMM O=C(NCCc1c[nH]c2cccnc12)c1c[nH]c(=S)[n-]c1=O ZINC001196023949 746759874 /nfs/dbraw/zinc/75/98/74/746759874.db2.gz PPEQNIALYJVCQR-UHFFFAOYSA-N -1 1 315.358 1.320 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1Cc2ccccc21 ZINC000998680630 752127404 /nfs/dbraw/zinc/12/74/04/752127404.db2.gz HEURNHLXFMHDLH-CQSZACIVSA-N -1 1 323.352 1.068 20 0 DDADMM Cc1ncc(CN2CC(CNC(=O)c3ncccc3[O-])C2)s1 ZINC001031627442 747247892 /nfs/dbraw/zinc/24/78/92/747247892.db2.gz NGTHNIXVMFEEDA-UHFFFAOYSA-N -1 1 318.402 1.414 20 0 DDADMM Cc1cnc(CN2CC(CNC(=O)c3ncccc3[O-])C2)s1 ZINC001031627890 747249272 /nfs/dbraw/zinc/24/92/72/747249272.db2.gz YATXHMBVAOEFKO-UHFFFAOYSA-N -1 1 318.402 1.414 20 0 DDADMM O=c1cc([N-]S(=O)(=O)Cc2ccccn2)nc(C2CC2)[nH]1 ZINC001197782631 747263181 /nfs/dbraw/zinc/26/31/81/747263181.db2.gz LMSZEAPCIOEDJI-UHFFFAOYSA-N -1 1 306.347 1.397 20 0 DDADMM CCOC(=O)c1ccc([N-]S(=O)(=O)Cc2ccccn2)cn1 ZINC001197788924 747264801 /nfs/dbraw/zinc/26/48/01/747264801.db2.gz KVUIYXKDPPASAF-UHFFFAOYSA-N -1 1 321.358 1.595 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3ncc(C)cn23)n1 ZINC001198361066 747477056 /nfs/dbraw/zinc/47/70/56/747477056.db2.gz UUVDBXDLXWGCJN-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM Cc1cnc(NS(=O)(=O)c2ccccc2C(=O)[O-])nc1C ZINC001198730395 747582431 /nfs/dbraw/zinc/58/24/31/747582431.db2.gz MCHYDLKNMFQASH-UHFFFAOYSA-N -1 1 307.331 1.592 20 0 DDADMM CN1CCCC[C@H]1CNS(=O)(=O)c1ccccc1C(=O)[O-] ZINC001198798977 747615771 /nfs/dbraw/zinc/61/57/71/747615771.db2.gz KHUCHGROTDBHAH-NSHDSACASA-N -1 1 312.391 1.147 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccc4c(c3)CCCC4=O)c1-2 ZINC001198886593 747646885 /nfs/dbraw/zinc/64/68/85/747646885.db2.gz KHXSIMZTPVCEPA-UHFFFAOYSA-N -1 1 321.340 1.806 20 0 DDADMM CCOc1ncc(C(=O)Nc2cc(=O)[n-]c(SC)n2)cn1 ZINC001199225212 747749745 /nfs/dbraw/zinc/74/97/45/747749745.db2.gz FRQYAGITTYHQPT-UHFFFAOYSA-N -1 1 307.335 1.345 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cc(CCO)ccn1 ZINC001199756307 747982146 /nfs/dbraw/zinc/98/21/46/747982146.db2.gz YWHCQKHATLCDEJ-UHFFFAOYSA-N -1 1 324.340 1.760 20 0 DDADMM Cc1c2ccc(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)cc2nn1C ZINC001199794999 747997872 /nfs/dbraw/zinc/99/78/72/747997872.db2.gz QCJBWWVROOISJN-UHFFFAOYSA-N -1 1 323.316 1.506 20 0 DDADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CC1CC1 ZINC001004326053 748323806 /nfs/dbraw/zinc/32/38/06/748323806.db2.gz DZHGVHFGHZRLNR-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CC(=O)NC[C@]12CCC[C@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC000995193132 748357391 /nfs/dbraw/zinc/35/73/91/748357391.db2.gz MLJGTHHODXXMNT-CZUORRHYSA-N -1 1 303.362 1.308 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc3c2cnn3C)n1 ZINC001201288562 748455444 /nfs/dbraw/zinc/45/54/44/748455444.db2.gz UGDYPAHQNVUTLW-UHFFFAOYSA-N -1 1 313.317 1.725 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@H]1CC[C@H](O)CC1 ZINC001201769006 748599602 /nfs/dbraw/zinc/59/96/02/748599602.db2.gz LDSGRLVRKSRPDM-KYZUINATSA-N -1 1 324.855 1.934 20 0 DDADMM CC(C)CCC(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202448441 748784791 /nfs/dbraw/zinc/78/47/91/748784791.db2.gz QFJZTVSWJOIZTN-RYUDHWBXSA-N -1 1 321.425 1.464 20 0 DDADMM CC1(C)CN(C(=O)CCC2CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995615743 748993866 /nfs/dbraw/zinc/99/38/66/748993866.db2.gz BRUQXUVHMXUEOQ-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM CN(C)c1nc(NC(=O)NCc2ccccc2)c(N=O)c(=O)[n-]1 ZINC001202625941 749466500 /nfs/dbraw/zinc/46/65/00/749466500.db2.gz IKBSUXBAEYNJTI-UHFFFAOYSA-N -1 1 316.321 1.968 20 0 DDADMM CN(c1nccnc1F)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001056900854 761995088 /nfs/dbraw/zinc/99/50/88/761995088.db2.gz QJFYSRXXBUQXRG-JTQLQIEISA-N -1 1 317.324 1.067 20 0 DDADMM C[C@@H](CCNC(=O)C1(C)CCC1)NC(=O)c1ncccc1[O-] ZINC001077758626 750534928 /nfs/dbraw/zinc/53/49/28/750534928.db2.gz IJBDYXVYCDMBSA-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM C[C@@H](NC(=O)C1CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005560332 750553082 /nfs/dbraw/zinc/55/30/82/750553082.db2.gz PYUAAINZYDFVOR-SNVBAGLBSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H](NC(=O)c1ccco1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005594228 750680995 /nfs/dbraw/zinc/68/09/95/750680995.db2.gz KLLCRXXQBKOWIY-SNVBAGLBSA-N -1 1 315.329 1.271 20 0 DDADMM O=C([N-]c1nc2cc(Br)ccn2n1)c1cocn1 ZINC001129014447 750911577 /nfs/dbraw/zinc/91/15/77/750911577.db2.gz NYKMFMMNGOEKGH-UHFFFAOYSA-N -1 1 308.095 1.732 20 0 DDADMM Nc1ccc(S(=O)(=O)[N-]c2ccc(CO)nc2)cc1Cl ZINC001212376615 751204449 /nfs/dbraw/zinc/20/44/49/751204449.db2.gz DZJUFPJFRQEOFD-UHFFFAOYSA-N -1 1 313.766 1.610 20 0 DDADMM CC(C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)=C1CCCC1 ZINC000998881968 752319271 /nfs/dbraw/zinc/31/92/71/752319271.db2.gz XYXQALOMKKWMMK-CYBMUJFWSA-N -1 1 319.409 1.481 20 0 DDADMM Cc1sccc1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999117657 752541540 /nfs/dbraw/zinc/54/15/40/752541540.db2.gz PLWSFMRUDGDESZ-JTQLQIEISA-N -1 1 321.406 1.275 20 0 DDADMM O=C(C1CCCC1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036622255 752579372 /nfs/dbraw/zinc/57/93/72/752579372.db2.gz HWVPUYRVGUYWFU-CHWSQXEVSA-N -1 1 319.409 1.123 20 0 DDADMM C/C=C(\C)C(=O)N1C[C@@H]2C(CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008970642 753013624 /nfs/dbraw/zinc/01/36/24/753013624.db2.gz AGQPQDYRIXMLNA-CTSKZHDKSA-N -1 1 315.373 1.188 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C(C)(F)F)C1 ZINC001005953664 753414567 /nfs/dbraw/zinc/41/45/67/753414567.db2.gz VIRWESOWMLQZGN-QMMMGPOBSA-N -1 1 313.304 1.019 20 0 DDADMM C[C@@H](C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001005953678 753415832 /nfs/dbraw/zinc/41/58/32/753415832.db2.gz VYHMDHIOOQGIOE-MNOVXSKESA-N -1 1 317.389 1.410 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)C2CC2)CN1C(=O)c1ncccc1[O-] ZINC001010127144 753628122 /nfs/dbraw/zinc/62/81/22/753628122.db2.gz RLQMQAJQNDTWPG-MNOVXSKESA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)(C)CC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839273 753759351 /nfs/dbraw/zinc/75/93/51/753759351.db2.gz JZQNQUFBZZBURD-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1Nc1ncccn1 ZINC001063736650 754321198 /nfs/dbraw/zinc/32/11/98/754321198.db2.gz GWLRKBIZLNJEPK-RYUDHWBXSA-N -1 1 313.361 1.540 20 0 DDADMM O=C(NC[C@H]1CC[C@H](NC(=O)C(F)F)C1)c1ncccc1[O-] ZINC001015281875 756211834 /nfs/dbraw/zinc/21/18/34/756211834.db2.gz JPJNLONBCZSBCA-IUCAKERBSA-N -1 1 313.304 1.067 20 0 DDADMM C[C@@H]1CN(C(=O)C[C@H]2CC=CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082688225 756887120 /nfs/dbraw/zinc/88/71/20/756887120.db2.gz NYBNTRKKNJIAKP-FRRDWIJNSA-N -1 1 319.409 1.193 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])Nc1cncc(Cl)n1 ZINC001097764886 757510053 /nfs/dbraw/zinc/51/00/53/757510053.db2.gz MMTWDRNATIMTFQ-QMMMGPOBSA-N -1 1 307.741 1.461 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)CC2CC2)CN1C(=O)c1ncccc1[O-] ZINC001017913065 758382655 /nfs/dbraw/zinc/38/26/55/758382655.db2.gz UYVJDRHRRMZMLJ-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM Cc1cc(N2CCCN(C(=O)c3ncccc3[O-])CC2)ncn1 ZINC001057127476 762694693 /nfs/dbraw/zinc/69/46/93/762694693.db2.gz VQBJYKDXYCLBRM-UHFFFAOYSA-N -1 1 313.361 1.238 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962273 759454123 /nfs/dbraw/zinc/45/41/23/759454123.db2.gz NICCFVNNMXKIML-VXGBXAGGSA-N -1 1 315.373 1.118 20 0 DDADMM Cc1ccsc1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054341292 759512068 /nfs/dbraw/zinc/51/20/68/759512068.db2.gz YXZFZSOPHAJWPG-NXEZZACHSA-N -1 1 321.406 1.131 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)C[C@@H]2CCN2C[C@H]2CCCCO2)c1[O-] ZINC001085544890 759666188 /nfs/dbraw/zinc/66/61/88/759666188.db2.gz ZMISNZPWANAFOS-QWHCGFSZSA-N -1 1 322.409 1.139 20 0 DDADMM CN(C(=O)[C@@H](C(=O)OC(C)(C)C)C1CCCC1)c1nn[n-]n1 ZINC001131172846 767917556 /nfs/dbraw/zinc/91/75/56/767917556.db2.gz VGGWQJRZRLXZGX-JTQLQIEISA-N -1 1 309.370 1.311 20 0 DDADMM C[C@@H]1CN(C(=O)C23CCC(CC2)C3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054802491 760096904 /nfs/dbraw/zinc/09/69/04/760096904.db2.gz OQMIAGBQTGIEKT-ZWRZFFQRSA-N -1 1 319.409 1.027 20 0 DDADMM CN(C[C@H]1CCCCN1C(=O)c1ncccc1[O-])C(=O)C1CC1 ZINC001019749763 760224608 /nfs/dbraw/zinc/22/46/08/760224608.db2.gz FQURUMDARQQNGY-CYBMUJFWSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(NC[C@@H]1CCN1CCc1ccns1)c1ncccc1[O-] ZINC001038183621 760898477 /nfs/dbraw/zinc/89/84/77/760898477.db2.gz LBJBGAYNRORHKL-NSHDSACASA-N -1 1 318.402 1.291 20 0 DDADMM O=C(NC[C@H]1CCN1CC(F)(F)C(F)F)c1ncccc1[O-] ZINC001038185878 760903239 /nfs/dbraw/zinc/90/32/39/760903239.db2.gz YZMHZWTWLZETFC-MRVPVSSYSA-N -1 1 321.274 1.492 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1c1ncccn1 ZINC001069359663 767990536 /nfs/dbraw/zinc/99/05/36/767990536.db2.gz PDZYONPKEMVGCQ-RYUDHWBXSA-N -1 1 313.361 1.365 20 0 DDADMM O=C(NC[C@H]1CCN1CCOC1CCC1)c1ncccc1[O-] ZINC001038195588 760910896 /nfs/dbraw/zinc/91/08/96/760910896.db2.gz WSUBXTSZDWHFMT-GFCCVEGCSA-N -1 1 305.378 1.160 20 0 DDADMM O=C(C1CC1)N1CCCC[C@@H]1[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039183257 761807510 /nfs/dbraw/zinc/80/75/10/761807510.db2.gz HWHSHRIDEWTBDI-QWHCGFSZSA-N -1 1 319.409 1.123 20 0 DDADMM O=C(C1CC1)N1CCCC[C@H]1[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039183259 761807947 /nfs/dbraw/zinc/80/79/47/761807947.db2.gz HWHSHRIDEWTBDI-STQMWFEESA-N -1 1 319.409 1.123 20 0 DDADMM CCCCN1CC(N(C)C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001044181615 765371310 /nfs/dbraw/zinc/37/13/10/765371310.db2.gz DPMAQOFYISSYFZ-UHFFFAOYSA-N -1 1 304.350 1.485 20 0 DDADMM CC(C)CC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052422093 765809947 /nfs/dbraw/zinc/80/99/47/765809947.db2.gz PSBTZTZAVCBMIU-CHWSQXEVSA-N -1 1 319.405 1.658 20 0 DDADMM Cc1cscc1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001044981627 765994222 /nfs/dbraw/zinc/99/42/22/765994222.db2.gz IFNGPKQIWDBNTM-JTQLQIEISA-N -1 1 321.406 1.275 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H](C)c3ccsc3)nc2n1 ZINC001129408877 766871664 /nfs/dbraw/zinc/87/16/64/766871664.db2.gz JUQZCHXYRXLUTN-MRVPVSSYSA-N -1 1 303.347 1.530 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@]2(C)CCN(Cc3cccnc3)C2)c1[O-] ZINC001046246964 767287268 /nfs/dbraw/zinc/28/72/68/767287268.db2.gz UFPFIVQQCSCVOF-MRXNPFEDSA-N -1 1 315.377 1.213 20 0 DDADMM Cc1cnc(CN[C@@H](C)C[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])o1 ZINC001134094964 770648645 /nfs/dbraw/zinc/64/86/45/770648645.db2.gz NRNMBXBQVHFVCV-IUCAKERBSA-N -1 1 321.381 1.407 20 0 DDADMM O=C(NCCn1ccnc1)c1c([O-])cnc2c(F)cccc21 ZINC001136212449 771916187 /nfs/dbraw/zinc/91/61/87/771916187.db2.gz AOYKOYCNBJHBOS-UHFFFAOYSA-N -1 1 300.293 1.706 20 0 DDADMM C[C@@]1(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)C[C@@H]2C=C[C@H]1C2 ZINC001144285270 772468274 /nfs/dbraw/zinc/46/82/74/772468274.db2.gz CUVTXYAQNSFFSE-FHZAEYJHSA-N -1 1 301.306 1.257 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001144765710 772614224 /nfs/dbraw/zinc/61/42/24/772614224.db2.gz HUIVREVSDLYPLI-UHFFFAOYSA-N -1 1 304.350 1.625 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](O)c2ccc(OC)cc2)n1 ZINC001144771874 772617385 /nfs/dbraw/zinc/61/73/85/772617385.db2.gz BJSWVJNUQLVUST-LBPRGKRZSA-N -1 1 319.317 1.267 20 0 DDADMM Cc1oc(-c2ccccc2)nc1C(=O)[N-]c1ncnc2n[nH]nc21 ZINC001147307880 773114572 /nfs/dbraw/zinc/11/45/72/773114572.db2.gz YZPHHKKSUQINDN-UHFFFAOYSA-N -1 1 321.300 1.964 20 0 DDADMM CCN(C)c1ncc(NC(=O)c2c(CO)cnc(C)c2[O-])cn1 ZINC001147835303 773261906 /nfs/dbraw/zinc/26/19/06/773261906.db2.gz CSIDVSRSSWSQMA-UHFFFAOYSA-N -1 1 317.349 1.086 20 0 DDADMM COC(=O)c1ccccc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001148226070 773402779 /nfs/dbraw/zinc/40/27/79/773402779.db2.gz NEDYSXJNTKRXEV-UHFFFAOYSA-N -1 1 318.245 1.325 20 0 DDADMM COC(=O)[C@H](C)N(C)C(=O)c1ccc(-n2[n-]c(C)cc2=O)cc1 ZINC001148333186 773438364 /nfs/dbraw/zinc/43/83/64/773438364.db2.gz PWCSGASNNKMYIH-NSHDSACASA-N -1 1 317.345 1.433 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N[C@H]3C[C@@H](O)C3(C)C)cc2)[n-]1 ZINC001148341283 773442599 /nfs/dbraw/zinc/44/25/99/773442599.db2.gz XAJPQGAMUAWPFR-UONOGXRCSA-N -1 1 315.373 1.688 20 0 DDADMM O=C(Nc1ccnnc1)c1cc([O-])c(Br)c(O)c1 ZINC001148981825 773663638 /nfs/dbraw/zinc/66/36/38/773663638.db2.gz SEPOWBZBLVZINU-UHFFFAOYSA-N -1 1 310.107 1.903 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])Nc1cccc(F)n1 ZINC001099104428 774835217 /nfs/dbraw/zinc/83/52/17/774835217.db2.gz GHHMYSHRCSFSDW-JTQLQIEISA-N -1 1 304.325 1.942 20 0 DDADMM Cc1cn2nc(C(=O)Nc3cccc(F)c3[O-])cc2c(=O)[nH]1 ZINC001171637224 776405372 /nfs/dbraw/zinc/40/53/72/776405372.db2.gz MOJMJHPFQLRSAG-UHFFFAOYSA-N -1 1 302.265 1.428 20 0 DDADMM CC(C)c1cc(NCCN(C)C(=O)c2ncccc2[O-])ncn1 ZINC001101561448 777251640 /nfs/dbraw/zinc/25/16/40/777251640.db2.gz YSVPPLIIKKRVNY-UHFFFAOYSA-N -1 1 315.377 1.885 20 0 DDADMM CN(C)c1nc(Nc2ccc3ncnn3c2)c(N=O)c(=O)[n-]1 ZINC001174372603 777468296 /nfs/dbraw/zinc/46/82/96/777468296.db2.gz ZTOWCFPNVJNMAR-UHFFFAOYSA-N -1 1 300.282 1.432 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cnn(-c2ccccc2)c1 ZINC001175792616 777914164 /nfs/dbraw/zinc/91/41/64/777914164.db2.gz MCRFXYQKSQWBHB-UHFFFAOYSA-N -1 1 300.347 1.982 20 0 DDADMM Nc1cc(F)ccc1S(=O)(=O)[N-]c1ccc2c(c1)CC(=O)N2 ZINC001176433574 778141586 /nfs/dbraw/zinc/14/15/86/778141586.db2.gz FAEIZKNHJSHFTN-UHFFFAOYSA-N -1 1 321.333 1.703 20 0 DDADMM CC(C)c1nnc([N-]c2cnc(N3CCN(C)CC3)nc2)o1 ZINC001176626934 778191548 /nfs/dbraw/zinc/19/15/48/778191548.db2.gz KAVGVCLZRPAJSL-UHFFFAOYSA-N -1 1 303.370 1.478 20 0 DDADMM CC(C)(C)OC(=O)c1ccc(NC(=O)Cc2nn[n-]n2)c(O)c1 ZINC001176844448 778268613 /nfs/dbraw/zinc/26/86/13/778268613.db2.gz DECAPMBDSHRIRS-UHFFFAOYSA-N -1 1 319.321 1.042 20 0 DDADMM CC(C)CC(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001103829603 779074849 /nfs/dbraw/zinc/07/48/49/779074849.db2.gz UNGYWQNQWZHCPI-VXGBXAGGSA-N -1 1 309.414 1.131 20 0 DDADMM CC(C)(O)C(C)(C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692826588 779489826 /nfs/dbraw/zinc/48/98/26/779489826.db2.gz YDSRJNJCGICYNO-UHFFFAOYSA-N -1 1 310.778 1.702 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)CN1CCc2ccccc21 ZINC001180283307 779703988 /nfs/dbraw/zinc/70/39/88/779703988.db2.gz LVCPYVJGKWBEPB-UHFFFAOYSA-N -1 1 315.289 1.287 20 0 DDADMM CN(C)c1nc(NC(=O)C[C@@H]2CCCCO2)c(N=O)c(=O)[n-]1 ZINC001180412575 779770772 /nfs/dbraw/zinc/77/07/72/779770772.db2.gz ZKGCBYLDMLWCDA-QMMMGPOBSA-N -1 1 309.326 1.544 20 0 DDADMM CON(C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1)C(C)C ZINC001118738525 781227444 /nfs/dbraw/zinc/22/74/44/781227444.db2.gz BPXUPAHEASOUBR-UHFFFAOYSA-N -1 1 318.395 1.768 20 0 DDADMM CC(C)C[C@H](CCO)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC001118932306 781276335 /nfs/dbraw/zinc/27/63/35/781276335.db2.gz UWACGCHESWBGOI-JTQLQIEISA-N -1 1 322.377 1.683 20 0 DDADMM CC(C)(CNCc1ccc(/C=C/C(=O)[O-])o1)S(C)(=O)=O ZINC001119603406 781533270 /nfs/dbraw/zinc/53/32/70/781533270.db2.gz RVZSYLNVPDRBLZ-VOTSOKGWSA-N -1 1 301.364 1.290 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)NC[C@H]1C=CCC1 ZINC001119898453 781672521 /nfs/dbraw/zinc/67/25/21/781672521.db2.gz ZJGUQUQLCNZCAM-JTQLQIEISA-N -1 1 324.327 1.412 20 0 DDADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCCC[C@@H]1C1CC1 ZINC001266787994 836836539 /nfs/dbraw/zinc/83/65/39/836836539.db2.gz SBEXBZOWYBFIEF-CHWSQXEVSA-N -1 1 321.425 1.275 20 0 DDADMM CN(C)C(=O)N(C)[C@@H]1CCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001414173732 838541071 /nfs/dbraw/zinc/54/10/71/838541071.db2.gz RJUBDKGPTYNJRM-LLVKDONJSA-N -1 1 313.348 1.858 20 0 DDADMM CCCN(CCNC(=O)[C@H](C)OCC)C(=O)c1ncccc1[O-] ZINC001408857075 839188913 /nfs/dbraw/zinc/18/89/13/839188913.db2.gz GHRKINVMUWQTPE-LBPRGKRZSA-N -1 1 323.393 1.181 20 0 DDADMM Cc1conc1CS(=O)(=O)[N-]CC(=O)OCc1ccccc1 ZINC001414203642 840390932 /nfs/dbraw/zinc/39/09/32/840390932.db2.gz IGDCXOUGCRMHMB-UHFFFAOYSA-N -1 1 324.358 1.146 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1CSCCS1)c1nn[n-]n1 ZINC001362630002 841735814 /nfs/dbraw/zinc/73/58/14/841735814.db2.gz DNKBRTUBVSTCKR-QMMMGPOBSA-N -1 1 301.441 1.180 20 0 DDADMM CN(C)C(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ccc([O-])cc1Cl ZINC001269762305 842024489 /nfs/dbraw/zinc/02/44/89/842024489.db2.gz MXYKSTUEWLCIDR-BJOHPYRUSA-N -1 1 308.765 1.738 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)Cc1ccno1)C(=O)OCc1ccccc1 ZINC001414292443 843257294 /nfs/dbraw/zinc/25/72/94/843257294.db2.gz IVIFNSFBJFUXKK-LLVKDONJSA-N -1 1 324.358 1.226 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)N3CCN(C)C(=O)C3)cc2c1 ZINC001154743606 861414608 /nfs/dbraw/zinc/41/46/08/861414608.db2.gz AYJJMWBGGSQGNZ-UHFFFAOYSA-N -1 1 314.341 1.468 20 0 DDADMM Cc1cnc([C@@H](C)N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])o1 ZINC001409543117 845284289 /nfs/dbraw/zinc/28/42/89/845284289.db2.gz QJPBVFLAHXBCLL-CMPLNLGQSA-N -1 1 318.377 1.895 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3c(cnn3C)c2)n1 ZINC001272342524 846050403 /nfs/dbraw/zinc/05/04/03/846050403.db2.gz SWMLMONSONYSDX-UHFFFAOYSA-N -1 1 314.305 1.120 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cnnc2ccccc21 ZINC001149468110 861635425 /nfs/dbraw/zinc/63/54/25/861635425.db2.gz CLVSHSSLICASOI-UHFFFAOYSA-N -1 1 312.245 1.481 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC3(CCN(C)C3=O)CC2)n1 ZINC001272386707 846091806 /nfs/dbraw/zinc/09/18/06/846091806.db2.gz MNOUTKCVTWRNOI-UHFFFAOYSA-N -1 1 303.362 1.180 20 0 DDADMM Cc1nocc1CN1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001032369199 847170864 /nfs/dbraw/zinc/17/08/64/847170864.db2.gz XBYBBKYJWQSMPY-STQMWFEESA-N -1 1 314.345 1.183 20 0 DDADMM NC(=O)N1CCN(C(=O)c2cc3cccc(O)c3cc2[O-])CC1 ZINC001149647231 861780817 /nfs/dbraw/zinc/78/08/17/861780817.db2.gz VGHZRPAKLAVKAD-UHFFFAOYSA-N -1 1 315.329 1.088 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CC[C@@H](O)[C@H](CO)C1 ZINC001149650942 861782712 /nfs/dbraw/zinc/78/27/12/861782712.db2.gz SYRGJIHFMIXCOQ-SMDDNHRTSA-N -1 1 317.341 1.066 20 0 DDADMM C[C@H](CN(C)C(=O)CCCC(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001410433182 849258902 /nfs/dbraw/zinc/25/89/02/849258902.db2.gz KLFLSNDXOMAYOR-MRVPVSSYSA-N -1 1 323.319 1.179 20 0 DDADMM CC(C)(C)CC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(N)=O ZINC001434568384 849382668 /nfs/dbraw/zinc/38/26/68/849382668.db2.gz JRZIWUQWSVAQKX-SSDOTTSWSA-N -1 1 322.818 1.022 20 0 DDADMM CCOC[C@H]([N-]S(=O)(=O)c1csnc1OC)C(C)C ZINC001434570206 849386398 /nfs/dbraw/zinc/38/63/98/849386398.db2.gz RTJQDIFSMUSGGV-VIFPVBQESA-N -1 1 308.425 1.491 20 0 DDADMM CN(C(=O)C1(CF)CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001410941848 849985882 /nfs/dbraw/zinc/98/58/82/849985882.db2.gz YBKKQFWRBYDZIU-UHFFFAOYSA-N -1 1 321.352 1.210 20 0 DDADMM C[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)c1ccns1 ZINC001150573905 862325972 /nfs/dbraw/zinc/32/59/72/862325972.db2.gz LIFDGXJYJHNBSH-ZETCQYMHSA-N -1 1 305.388 1.966 20 0 DDADMM Cc1ccc2ccc(C(=O)NCCc3nccn3C)c([O-])c2n1 ZINC001155660408 862350065 /nfs/dbraw/zinc/35/00/65/862350065.db2.gz FDWHWOIJMYWQDM-UHFFFAOYSA-N -1 1 310.357 1.955 20 0 DDADMM CN(C)C(=O)c1n[nH]c2c1CN(C(=O)c1ccc([O-])c(F)c1)C2 ZINC001275373083 853033289 /nfs/dbraw/zinc/03/32/89/853033289.db2.gz BUGGZPYVWHJSHM-UHFFFAOYSA-N -1 1 318.308 1.112 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CC2(CN(Cc3ccc[nH]3)C2)C1 ZINC001275409141 853082908 /nfs/dbraw/zinc/08/29/08/853082908.db2.gz UETYWCPXVIZAOD-UHFFFAOYSA-N -1 1 315.348 1.817 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cccc(NC(=O)C2CC2)c1 ZINC001411596410 853432425 /nfs/dbraw/zinc/43/24/25/853432425.db2.gz FECPDTUGORHXCV-UHFFFAOYSA-N -1 1 314.349 1.510 20 0 DDADMM CCOC(=O)c1csc(CNC(=O)c2ccc([O-])cn2)n1 ZINC001411778020 853720072 /nfs/dbraw/zinc/72/00/72/853720072.db2.gz OZDDZVXUDVNFAT-UHFFFAOYSA-N -1 1 307.331 1.350 20 0 DDADMM COC(=O)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1Cl ZINC001411798860 853753711 /nfs/dbraw/zinc/75/37/11/853753711.db2.gz GXWWZAWNEXCDGD-UHFFFAOYSA-N -1 1 323.740 1.601 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc(C(C)C)nc1C)c1nn[n-]n1 ZINC001411861151 853850432 /nfs/dbraw/zinc/85/04/32/853850432.db2.gz ZGRPFWLGNNJHTO-LLVKDONJSA-N -1 1 303.370 1.693 20 0 DDADMM CC(C)OC(=O)[C@@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001411864105 853855798 /nfs/dbraw/zinc/85/57/98/853855798.db2.gz HOQBWXOUNQBMOR-VIFPVBQESA-N -1 1 307.350 1.377 20 0 DDADMM CN(C)C(=O)[C@H]1CC12CN(C(=O)c1ccc(Cl)cc1[O-])C2 ZINC001275935123 853979988 /nfs/dbraw/zinc/97/99/88/853979988.db2.gz UFQDVLIECWOWNU-LLVKDONJSA-N -1 1 308.765 1.596 20 0 DDADMM CCC(C)(C)C(=O)N[C@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001411993735 854081081 /nfs/dbraw/zinc/08/10/81/854081081.db2.gz OPHUPMAKBKBDTD-LLVKDONJSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(NCCn1cc(Br)cn1)c1ccc([O-])cn1 ZINC001412112720 854224366 /nfs/dbraw/zinc/22/43/66/854224366.db2.gz AIZSOLLSZXYWOD-UHFFFAOYSA-N -1 1 311.139 1.176 20 0 DDADMM CCOC(=O)C1(CC(=O)Nc2c(C)[n-][nH]c2=O)CCOCC1 ZINC001412187577 854314639 /nfs/dbraw/zinc/31/46/39/854314639.db2.gz NXXMHADPGNNBLS-UHFFFAOYSA-N -1 1 311.338 1.112 20 0 DDADMM CC(C)(C)OC(=O)c1cc(NC(=O)CCc2ccon2)n[nH]1 ZINC001412265912 854392871 /nfs/dbraw/zinc/39/28/71/854392871.db2.gz AAPXURAPRLLAET-UHFFFAOYSA-N -1 1 306.322 1.924 20 0 DDADMM Cn1ccnc1[C@@H](NC(=O)c1cccc([O-])c1F)C(C)(C)CO ZINC001412284934 854407090 /nfs/dbraw/zinc/40/70/90/854407090.db2.gz FRAIJRFXMNPOBZ-CYBMUJFWSA-N -1 1 321.352 1.754 20 0 DDADMM NC(=O)[C@@H](Cc1ccccc1)NC(=O)c1cccc([O-])c1F ZINC001412453065 854567115 /nfs/dbraw/zinc/56/71/15/854567115.db2.gz HRWVYRBYEIRYFU-GFCCVEGCSA-N -1 1 302.305 1.358 20 0 DDADMM Cc1cccnc1C(F)(F)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001412528699 854663064 /nfs/dbraw/zinc/66/30/64/854663064.db2.gz LDWGNEWTVGJSOS-UHFFFAOYSA-N -1 1 324.335 1.680 20 0 DDADMM COc1ccc(OC(C)C)c(NC(=O)CCCc2nn[n-]n2)c1 ZINC001412541226 854685741 /nfs/dbraw/zinc/68/57/41/854685741.db2.gz JWGXJDVAGFWRIT-UHFFFAOYSA-N -1 1 319.365 1.957 20 0 DDADMM COC[C@H](NC(=O)CCCc1ccc(OC)cc1)c1nn[n-]n1 ZINC001412605874 854800676 /nfs/dbraw/zinc/80/06/76/854800676.db2.gz LFDFJXLRUHCUIX-ZDUSSCGKSA-N -1 1 319.365 1.035 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1n[nH]cc1F ZINC001412749541 855101214 /nfs/dbraw/zinc/10/12/14/855101214.db2.gz IPNDUYRMAZIHLK-UHFFFAOYSA-N -1 1 316.289 1.312 20 0 DDADMM CN(C)C(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1ccc(F)c(=O)[n-]1 ZINC001276303015 855500128 /nfs/dbraw/zinc/50/01/28/855500128.db2.gz SHFKINHWWGNXQV-FIDNZITISA-N -1 1 321.352 1.159 20 0 DDADMM CCOC(=O)N1CCC[C@@H]([C@H](C)NC(=O)c2ccc([O-])cn2)C1 ZINC001412861591 855734544 /nfs/dbraw/zinc/73/45/44/855734544.db2.gz YDWACUJRCONGKT-NWDGAFQWSA-N -1 1 321.377 1.774 20 0 DDADMM CSc1nc(CNC(=O)c2ccc(C)cc2O)cc(=O)[n-]1 ZINC001413197376 856540817 /nfs/dbraw/zinc/54/08/17/856540817.db2.gz NRICJSOYDWPKGC-UHFFFAOYSA-N -1 1 305.359 1.848 20 0 DDADMM CCOc1cnc(C(=O)NCc2cc(=O)[n-]c(SC)n2)cn1 ZINC001413199921 856547033 /nfs/dbraw/zinc/54/70/33/856547033.db2.gz LFVRDMNTZJGKBD-UHFFFAOYSA-N -1 1 321.362 1.023 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCc1nc(C)cs1 ZINC001413276783 856603375 /nfs/dbraw/zinc/60/33/75/856603375.db2.gz PZIVACZKCHPARJ-UHFFFAOYSA-N -1 1 319.433 1.438 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H](C)c2nccnc2C)sn1 ZINC001413425455 856794735 /nfs/dbraw/zinc/79/47/35/856794735.db2.gz FDYRETIPRSEJLF-QMMMGPOBSA-N -1 1 314.392 1.290 20 0 DDADMM CNC(=O)CN(Cc1ccccc1)C(=O)c1cc(F)ccc1[O-] ZINC001413432336 856803255 /nfs/dbraw/zinc/80/32/55/856803255.db2.gz SGKWVKMWRIUIKQ-UHFFFAOYSA-N -1 1 316.332 1.920 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)C(C)(C)F ZINC001413759147 858493644 /nfs/dbraw/zinc/49/36/44/858493644.db2.gz RGQIDWABAJSOPN-SNVBAGLBSA-N -1 1 311.357 1.502 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C[C@@H]2CCOC2)[n-]c1=O ZINC001413761169 858495902 /nfs/dbraw/zinc/49/59/02/858495902.db2.gz DFKIAJYGDVUOKQ-QWRGUYRKSA-N -1 1 307.350 1.281 20 0 DDADMM O=C(Nc1cccc([O-])c1Br)[C@@H]1COC(=O)N1 ZINC001362907852 884951400 /nfs/dbraw/zinc/95/14/00/884951400.db2.gz ZYFBHISOZNUDJZ-LURJTMIESA-N -1 1 301.096 1.202 20 0 DDADMM c1ccc2c(c1)CN(c1nnc(-c3nnn[n-]3)n1CC1CC1)C2 ZINC001123689267 859362021 /nfs/dbraw/zinc/36/20/21/859362021.db2.gz DUYHGHVGRFAMSK-UHFFFAOYSA-N -1 1 308.349 1.388 20 0 DDADMM c1ccc2c(c1)CN(c1nnc(-c3nn[n-]n3)n1CC1CC1)C2 ZINC001123689267 859362029 /nfs/dbraw/zinc/36/20/29/859362029.db2.gz DUYHGHVGRFAMSK-UHFFFAOYSA-N -1 1 308.349 1.388 20 0 DDADMM O=C(C=Cc1nccs1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001123829400 859432962 /nfs/dbraw/zinc/43/29/62/859432962.db2.gz MPZPMRLXNGZCHQ-CDAZIORVSA-N -1 1 306.347 1.651 20 0 DDADMM Cc1cncc(C=CC(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)c1 ZINC001123999509 859518806 /nfs/dbraw/zinc/51/88/06/859518806.db2.gz WMYYAEKYWMDPFT-WSNITJDQSA-N -1 1 312.377 1.398 20 0 DDADMM COc1cccc(CN2CCCC3(COC3)C2)c1OCC(=O)[O-] ZINC001139268963 860344644 /nfs/dbraw/zinc/34/46/44/860344644.db2.gz WIQZSNSTIYHAKF-UHFFFAOYSA-N -1 1 321.373 1.771 20 0 DDADMM COc1cccc(CN2CC[C@H](C(C)=O)C2)c1OCC(=O)[O-] ZINC001139269873 860344722 /nfs/dbraw/zinc/34/47/22/860344722.db2.gz QGPZGKGFIUPMPP-LBPRGKRZSA-N -1 1 307.346 1.570 20 0 DDADMM Cn1nc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1C(F)F ZINC001361377297 881527687 /nfs/dbraw/zinc/52/76/87/881527687.db2.gz WVWMRJWJAWJSRR-UHFFFAOYSA-N -1 1 306.276 1.265 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CN(Cc2ccncc2[O-])C[C@@H]1F ZINC001140276417 860607182 /nfs/dbraw/zinc/60/71/82/860607182.db2.gz DJTRPIDXEPPZGG-NWDGAFQWSA-N -1 1 311.357 1.834 20 0 DDADMM COC[C@@H]1CN(Cc2ccccc2C(=O)[O-])Cc2cn(C)nc21 ZINC001140502064 860646327 /nfs/dbraw/zinc/64/63/27/860646327.db2.gz VERSLWKCDRYASI-AWEZNQCLSA-N -1 1 315.373 1.864 20 0 DDADMM C[C@@H]1CN(C2CN(Cc3ccc([O-])c(F)c3F)C2)C[C@H](C)O1 ZINC001140895800 860728062 /nfs/dbraw/zinc/72/80/62/860728062.db2.gz XDFOVHYUERIGPP-PHIMTYICSA-N -1 1 312.360 1.964 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc3c2C(=O)CC3)n1 ZINC001154399745 861072318 /nfs/dbraw/zinc/07/23/18/861072318.db2.gz XWSGQOVQRHGCID-UHFFFAOYSA-N -1 1 313.313 1.968 20 0 DDADMM O=C(c1c([O-])cnc2ccc(Br)cc21)N1CC(=O)C1 ZINC001154536018 861184177 /nfs/dbraw/zinc/18/41/77/861184177.db2.gz NNJFFQVOLRNZLQ-UHFFFAOYSA-N -1 1 321.130 1.728 20 0 DDADMM Cn1nc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1C(F)(F)F ZINC001361430890 881637665 /nfs/dbraw/zinc/63/76/65/881637665.db2.gz WZMHCGSPPULOMM-UHFFFAOYSA-N -1 1 324.266 1.346 20 0 DDADMM CSc1nc(NC(=O)c2cccc3[nH]nc(N)c32)cc(=O)[n-]1 ZINC001156738518 863312208 /nfs/dbraw/zinc/31/22/08/863312208.db2.gz XIAKUDPSYGIWLR-UHFFFAOYSA-N -1 1 316.346 1.615 20 0 DDADMM CN(C(=O)c1cc(Cl)ccc1OC(F)F)c1nn[n-]n1 ZINC001361437721 881651061 /nfs/dbraw/zinc/65/10/61/881651061.db2.gz ZUNAKZDYAVZQML-UHFFFAOYSA-N -1 1 303.656 1.731 20 0 DDADMM O=C(c1ccc2nonc2c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001329159441 863509382 /nfs/dbraw/zinc/50/93/82/863509382.db2.gz AESGLHVXNDTCRD-SECBINFHSA-N -1 1 315.289 1.331 20 0 DDADMM CCN(Cc1ccon1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152907309 863597812 /nfs/dbraw/zinc/59/78/12/863597812.db2.gz UZITYKCXPVMFOL-LLVKDONJSA-N -1 1 304.350 1.416 20 0 DDADMM CCN(Cc1ccnn1C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152975297 863630036 /nfs/dbraw/zinc/63/00/36/863630036.db2.gz PTYJEGHGODPJGV-GFCCVEGCSA-N -1 1 317.393 1.161 20 0 DDADMM O=C(NCc1ccc2c(c1)CCO2)c1c[n-]c2cccnc2c1=O ZINC001153859993 864166806 /nfs/dbraw/zinc/16/68/06/864166806.db2.gz FGRPXTFPAKWOOX-UHFFFAOYSA-N -1 1 321.336 1.788 20 0 DDADMM O=S1(=O)CCC(Oc2[n-]c(=S)nc3cnccc32)CC1 ZINC001227881503 883212767 /nfs/dbraw/zinc/21/27/67/883212767.db2.gz MNKJVPOVPIJVSU-UHFFFAOYSA-N -1 1 311.388 1.643 20 0 DDADMM CSC[C@@H](C)NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001331274639 864982788 /nfs/dbraw/zinc/98/27/88/864982788.db2.gz KXLANTLZQMLXJY-MRVPVSSYSA-N -1 1 316.810 1.530 20 0 DDADMM CCOCC1(C(=O)Nc2nc(C(=O)OCC)c(Cl)[n-]2)CC1 ZINC001361528976 881835865 /nfs/dbraw/zinc/83/58/65/881835865.db2.gz JAYTZANSGKRRJS-UHFFFAOYSA-N -1 1 315.757 1.995 20 0 DDADMM CC[C@@H](C(=O)[O-])C(=O)N(C)C1CCN(CCC(F)(F)F)CC1 ZINC001333369669 866678028 /nfs/dbraw/zinc/67/80/28/866678028.db2.gz JIXPWQFWWFALLD-LLVKDONJSA-N -1 1 324.343 1.972 20 0 DDADMM CSc1nc(C)c(CCC(=O)Nc2ccc(=O)[nH]n2)c(=O)[n-]1 ZINC001361615008 882010727 /nfs/dbraw/zinc/01/07/27/882010727.db2.gz MOUJETMCNRMDMB-UHFFFAOYSA-N -1 1 321.362 1.279 20 0 DDADMM COC1([C@H](C)NC(=O)C(=O)c2ccc([O-])cc2)CCOCC1 ZINC001162191437 867327532 /nfs/dbraw/zinc/32/75/32/867327532.db2.gz RQZNNPKMABVCOK-NSHDSACASA-N -1 1 307.346 1.275 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@@H]1CCC[C@@H](C(=O)OC)C1 ZINC001225898413 882097636 /nfs/dbraw/zinc/09/76/36/882097636.db2.gz AHCCXNSIAIPRMV-NXEZZACHSA-N -1 1 324.333 1.470 20 0 DDADMM C[C@@H]1C[C@@H]1CNC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001334717760 867790183 /nfs/dbraw/zinc/79/01/83/867790183.db2.gz IDTKVORCDFFIAV-RKDXNWHRSA-N -1 1 312.316 1.101 20 0 DDADMM Cc1c(NC(=O)CCCc2nn[n-]n2)n[nH]c1C(F)(F)F ZINC001361693504 882173575 /nfs/dbraw/zinc/17/35/75/882173575.db2.gz WGHFNIXHANHJOL-UHFFFAOYSA-N -1 1 303.248 1.211 20 0 DDADMM CCC(C)(C)CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164683114 869348342 /nfs/dbraw/zinc/34/83/42/869348342.db2.gz GWQZGMNNSIUBST-UHFFFAOYSA-N -1 1 323.441 1.713 20 0 DDADMM COC(=O)CCC(C)(C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001361798159 882393983 /nfs/dbraw/zinc/39/39/83/882393983.db2.gz VMQDQOUDQCTENQ-UHFFFAOYSA-N -1 1 307.350 1.521 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N(Cc1ccccc1)C1CC1 ZINC001339381365 870469587 /nfs/dbraw/zinc/46/95/87/870469587.db2.gz NYWPJXZVFCMVDQ-UHFFFAOYSA-N -1 1 324.392 1.571 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N(Cc1ccccc1)C1CC1 ZINC001339381365 870469597 /nfs/dbraw/zinc/46/95/97/870469597.db2.gz NYWPJXZVFCMVDQ-UHFFFAOYSA-N -1 1 324.392 1.571 20 0 DDADMM CC(C)N(Cc1ccccc1)c1nnc(Cc2nnn[n-]2)n1C ZINC001339604873 870578021 /nfs/dbraw/zinc/57/80/21/870578021.db2.gz YXMMMSJLXFPQKR-UHFFFAOYSA-N -1 1 312.381 1.334 20 0 DDADMM CC(C)N(Cc1ccccc1)c1nnc(Cc2nn[n-]n2)n1C ZINC001339604873 870578032 /nfs/dbraw/zinc/57/80/32/870578032.db2.gz YXMMMSJLXFPQKR-UHFFFAOYSA-N -1 1 312.381 1.334 20 0 DDADMM COc1cncc(CNC(=O)c2cc(C)cc(C=O)c2[O-])c1 ZINC001301523941 870996789 /nfs/dbraw/zinc/99/67/89/870996789.db2.gz JZNXNBPMMWYJOL-UHFFFAOYSA-N -1 1 300.314 1.847 20 0 DDADMM CCCc1ccc(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)s1 ZINC001317591277 871156287 /nfs/dbraw/zinc/15/62/87/871156287.db2.gz IKJDVEKPWIWOQQ-UHFFFAOYSA-N -1 1 323.422 1.386 20 0 DDADMM c1ccc(Oc2ccc(C[N@H+]3CC[C@H](c4nn[nH]n4)C3)nn2)cc1 ZINC001308032937 871463305 /nfs/dbraw/zinc/46/33/05/871463305.db2.gz FSYQAYJMLSXAJA-LBPRGKRZSA-N -1 1 323.360 1.772 20 0 DDADMM Cc1ccc(NC(=O)c2cccc([O-])c2F)cc1S(N)(=O)=O ZINC001361858512 882514122 /nfs/dbraw/zinc/51/41/22/882514122.db2.gz OUHBTWGRWNRQQN-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCCCc2ccccc2)[n-]n1 ZINC001308993288 871542766 /nfs/dbraw/zinc/54/27/66/871542766.db2.gz PHCAGJDFPLFPRE-UHFFFAOYSA-N -1 1 323.374 1.107 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCCCc2ccccc2)n[n-]1 ZINC001308993288 871542773 /nfs/dbraw/zinc/54/27/73/871542773.db2.gz PHCAGJDFPLFPRE-UHFFFAOYSA-N -1 1 323.374 1.107 20 0 DDADMM CCOCCO[C@@H](C)C(=O)OCC(=O)c1ccc([O-])cc1O ZINC001317950789 871647650 /nfs/dbraw/zinc/64/76/50/871647650.db2.gz ORAQVKPTCKOSDQ-JTQLQIEISA-N -1 1 312.318 1.265 20 0 DDADMM C[C@@H](CN(C)C(=O)CC(C)(C)C1CC1)NCc1n[nH]c(=O)[n-]1 ZINC001382076369 883362897 /nfs/dbraw/zinc/36/28/97/883362897.db2.gz ZTRDIWIQIFROQO-JTQLQIEISA-N -1 1 309.414 1.273 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CCCN(C(=O)c2ccccc2)C1 ZINC001413842990 871754074 /nfs/dbraw/zinc/75/40/74/871754074.db2.gz FIPGVYKMRIEXMO-LBPRGKRZSA-N -1 1 314.345 1.606 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CSC[C@H]1C(=O)N1CCCC1 ZINC001361877812 882558439 /nfs/dbraw/zinc/55/84/39/882558439.db2.gz NYQGOYCRAMXQJB-NSHDSACASA-N -1 1 324.377 1.669 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCC[C@H](N2CCNC2=O)C1 ZINC001361880336 882562161 /nfs/dbraw/zinc/56/21/61/882562161.db2.gz KFOUFTHSGHYOGB-JTQLQIEISA-N -1 1 307.325 1.161 20 0 DDADMM C[C@H](NC(=O)c1cnncc1[O-])c1ccc2c(c1)CCC(=O)N2 ZINC001361905830 882615216 /nfs/dbraw/zinc/61/52/16/882615216.db2.gz PVEZFALFGWQNQH-VIFPVBQESA-N -1 1 312.329 1.558 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001413848262 872962941 /nfs/dbraw/zinc/96/29/41/872962941.db2.gz DRVOPFGTPKIBIR-RXMQYKEDSA-N -1 1 314.289 1.125 20 0 DDADMM CC[C@H](CC(F)(F)F)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001413848262 872962949 /nfs/dbraw/zinc/96/29/49/872962949.db2.gz DRVOPFGTPKIBIR-RXMQYKEDSA-N -1 1 314.289 1.125 20 0 DDADMM CC(C)(C)CCCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001207675765 873467097 /nfs/dbraw/zinc/46/70/97/873467097.db2.gz LSLROXVPAZNQCL-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC1(CO)CCCCC1 ZINC001346686330 873850763 /nfs/dbraw/zinc/85/07/63/873850763.db2.gz BWDUSSJGSJXFPB-UHFFFAOYSA-N -1 1 311.407 1.452 20 0 DDADMM CC(C)COC(=O)N1CCCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001361993414 882780128 /nfs/dbraw/zinc/78/01/28/882780128.db2.gz FQFYMRKVECIYPY-UHFFFAOYSA-N -1 1 321.377 1.728 20 0 DDADMM CCn1ccnc1[C@H](C)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001347021396 873978057 /nfs/dbraw/zinc/97/80/57/873978057.db2.gz OBLZGNPDZIQNHH-QMMMGPOBSA-N -1 1 307.379 1.487 20 0 DDADMM O=C(c1ccccc1-n1cccc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347199677 874052947 /nfs/dbraw/zinc/05/29/47/874052947.db2.gz YNGKLQBTLKQWPC-GFCCVEGCSA-N -1 1 308.345 1.620 20 0 DDADMM CSCCCN(C)c1nnc(-c2nnn[n-]2)n1CC(C)C ZINC001347679356 874242278 /nfs/dbraw/zinc/24/22/78/874242278.db2.gz DIUZBUIDDYGCLT-UHFFFAOYSA-N -1 1 310.431 1.304 20 0 DDADMM CSCCCN(C)c1nnc(-c2nn[n-]n2)n1CC(C)C ZINC001347679356 874242291 /nfs/dbraw/zinc/24/22/91/874242291.db2.gz DIUZBUIDDYGCLT-UHFFFAOYSA-N -1 1 310.431 1.304 20 0 DDADMM O=C(c1cccc(-n2cccn2)c1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347973560 874324335 /nfs/dbraw/zinc/32/43/35/874324335.db2.gz FAQFLJCXWMADCH-LBPRGKRZSA-N -1 1 309.333 1.015 20 0 DDADMM Cc1ccc2cc(C(=O)N3CC[C@H](c4nn[n-]n4)C3)ccc2n1 ZINC001347976155 874325716 /nfs/dbraw/zinc/32/57/16/874325716.db2.gz WUHRMMHATJCSTJ-ZDUSSCGKSA-N -1 1 308.345 1.686 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@@H]1CC2(CCC2)CO1 ZINC001348212781 874426788 /nfs/dbraw/zinc/42/67/88/874426788.db2.gz HSJQRNTVOHYNIJ-VIFPVBQESA-N -1 1 309.391 1.468 20 0 DDADMM CCCC1(C(=O)NC[C@H](CC)NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001378308667 874663346 /nfs/dbraw/zinc/66/33/46/874663346.db2.gz ZCGJHBKIFOFEFD-NSHDSACASA-N -1 1 309.414 1.465 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cc2n(n1)CCCC2 ZINC001348632432 874683671 /nfs/dbraw/zinc/68/36/71/874683671.db2.gz UTUSFLVMZMDFTL-UHFFFAOYSA-N -1 1 305.363 1.564 20 0 DDADMM CCCN(C(=O)c1cccc([O-])c1F)[C@@H]1CCS(=O)(=O)C1 ZINC001362040466 882898977 /nfs/dbraw/zinc/89/89/77/882898977.db2.gz DUYGQGNOBGZMTM-SNVBAGLBSA-N -1 1 315.366 1.571 20 0 DDADMM O=C(NCCCNC(=O)[C@@H]1CCC[C@H]2C[C@H]21)c1ncccc1[O-] ZINC001349941143 875466029 /nfs/dbraw/zinc/46/60/29/875466029.db2.gz ZMFYDFNHUDOPKF-YNEHKIRRSA-N -1 1 317.389 1.460 20 0 DDADMM O=C(Cn1ccc2ccc(F)cc21)N1CC[C@H](c2nn[n-]n2)C1 ZINC001350225074 875586601 /nfs/dbraw/zinc/58/66/01/875586601.db2.gz SZHSHJIJWJJLQN-NSHDSACASA-N -1 1 314.324 1.310 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2c(Cl)ccc(F)c2F)[nH]1 ZINC001213955528 876112690 /nfs/dbraw/zinc/11/26/90/876112690.db2.gz SCSWWBWIOFRJIR-UHFFFAOYSA-N -1 1 322.724 1.523 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001379044170 876213487 /nfs/dbraw/zinc/21/34/87/876213487.db2.gz TWPYRRXUEHOVJK-LLVKDONJSA-N -1 1 317.389 1.602 20 0 DDADMM CNC(=O)c1cc(Cl)ccc1NC(=O)c1cnncc1[O-] ZINC001362089787 883007570 /nfs/dbraw/zinc/00/75/70/883007570.db2.gz PLYUFHSLFWMGAP-UHFFFAOYSA-N -1 1 306.709 1.448 20 0 DDADMM Nc1cc(S(=O)(=O)[N-]c2cccc(F)c2CO)ccc1O ZINC001214614933 876418734 /nfs/dbraw/zinc/41/87/34/876418734.db2.gz CRSYMUIAEDXATE-UHFFFAOYSA-N -1 1 312.322 1.407 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)CC1(c2ccccc2)CC1 ZINC001352344877 876699943 /nfs/dbraw/zinc/69/99/43/876699943.db2.gz CJURHTXCOJQLPG-UHFFFAOYSA-N -1 1 324.392 1.646 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)CC1(c2ccccc2)CC1 ZINC001352344877 876699950 /nfs/dbraw/zinc/69/99/50/876699950.db2.gz CJURHTXCOJQLPG-UHFFFAOYSA-N -1 1 324.392 1.646 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H]1CCC12CCOCC2 ZINC001352576325 876812167 /nfs/dbraw/zinc/81/21/67/876812167.db2.gz QYCGVTLKYBYKTO-SNVBAGLBSA-N -1 1 323.418 1.716 20 0 DDADMM COc1ncc(Nc2ccc(C)nc2)cc1[N-]S(C)(=O)=O ZINC001216151962 876878017 /nfs/dbraw/zinc/87/80/17/876878017.db2.gz UAKTUTOZPUFTEY-UHFFFAOYSA-N -1 1 308.363 1.909 20 0 DDADMM COc1ncc(Nc2cccc(CO)n2)cc1[N-]S(C)(=O)=O ZINC001216152699 876880706 /nfs/dbraw/zinc/88/07/06/876880706.db2.gz ASPSQCHGNKHPCM-UHFFFAOYSA-N -1 1 324.362 1.093 20 0 DDADMM CC(C)Oc1ncc(Cl)cc1COc1nc(=O)[nH]c(=O)[n-]1 ZINC001227570563 883084245 /nfs/dbraw/zinc/08/42/45/883084245.db2.gz UIGYVEHWEROZJJ-UHFFFAOYSA-N -1 1 312.713 1.697 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@H]3CCNc4ccccc43)c1-2 ZINC001218527291 877539261 /nfs/dbraw/zinc/53/92/61/877539261.db2.gz HMAAJCXGBRMGHJ-NSHDSACASA-N -1 1 308.345 1.573 20 0 DDADMM COC(=O)c1c(NC(=O)c2cccc(C)c2)n[n-]c1OCCO ZINC001413866897 877557913 /nfs/dbraw/zinc/55/79/13/877557913.db2.gz NYYOGOCPIIWTRQ-UHFFFAOYSA-N -1 1 319.317 1.128 20 0 DDADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)c1cccc(C)c1 ZINC001413866897 877557925 /nfs/dbraw/zinc/55/79/25/877557925.db2.gz NYYOGOCPIIWTRQ-UHFFFAOYSA-N -1 1 319.317 1.128 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCCN2C[C@@H](C)OC[C@@H]2C)c1 ZINC001300610093 877975476 /nfs/dbraw/zinc/97/54/76/877975476.db2.gz FKDBAKIFMCBZBA-QWHCGFSZSA-N -1 1 320.389 1.352 20 0 DDADMM C[C@]1(CNC(=O)c2ncccc2[O-])CCN(C(=O)C(F)F)C1 ZINC001379778766 877996264 /nfs/dbraw/zinc/99/62/64/877996264.db2.gz NSIXDYMOSCWLFW-CQSZACIVSA-N -1 1 313.304 1.021 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)[C@H]1CCc2ccccc2N1 ZINC001220375074 878960623 /nfs/dbraw/zinc/96/06/23/878960623.db2.gz CRLPCHLSZPHBGB-CYBMUJFWSA-N -1 1 312.306 1.487 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(F)(F)[C@H](OCc2nn[n-]n2)C1 ZINC001223026740 880787632 /nfs/dbraw/zinc/78/76/32/880787632.db2.gz HMTFVHMFOVYAOK-MRVPVSSYSA-N -1 1 319.312 1.361 20 0 DDADMM COC(=O)c1cc(C(C)C)ccc1NC(=O)CCc1nn[n-]n1 ZINC001362139327 883136170 /nfs/dbraw/zinc/13/61/70/883136170.db2.gz PRHMJHWAZYVMIR-UHFFFAOYSA-N -1 1 317.349 1.681 20 0 DDADMM COC(=O)[C@H](C)[C@H](NC(=O)c1cnncc1[O-])c1ccccc1 ZINC001362215470 883321099 /nfs/dbraw/zinc/32/10/99/883321099.db2.gz GLAYSCWIGSAXQK-YGRLFVJLSA-N -1 1 315.329 1.462 20 0 DDADMM CCN1C(=O)C[C@@H](C(=O)Nc2nnn[n-]2)[C@H]1c1cccc(C)c1 ZINC001362260465 883428866 /nfs/dbraw/zinc/42/88/66/883428866.db2.gz XXESXZVILGSLSG-DGCLKSJQSA-N -1 1 314.349 1.056 20 0 DDADMM CCN1C(=O)C[C@@H](C(=O)Nc2nn[n-]n2)[C@H]1c1cccc(C)c1 ZINC001362260465 883428869 /nfs/dbraw/zinc/42/88/69/883428869.db2.gz XXESXZVILGSLSG-DGCLKSJQSA-N -1 1 314.349 1.056 20 0 DDADMM CC(C)n1cc2c(n1)[C@H](NC(=O)CCc1nn[n-]n1)CCC2 ZINC001362271173 883452459 /nfs/dbraw/zinc/45/24/59/883452459.db2.gz SPPLAISQJVZEFH-LLVKDONJSA-N -1 1 303.370 1.104 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(c2ccc(F)cn2)CC1 ZINC001362293482 883498976 /nfs/dbraw/zinc/49/89/76/883498976.db2.gz JKYZLCOXYJVXMC-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM O=C(N[C@H]1CCCN(c2ccccc2F)C1)c1cnncc1[O-] ZINC001362301651 883519052 /nfs/dbraw/zinc/51/90/52/883519052.db2.gz OFZFRQPDYJGRLG-NSHDSACASA-N -1 1 316.336 1.720 20 0 DDADMM O=C(N[C@@H](CO)C[C@H](O)c1ccccc1)c1ccc(F)c([O-])c1 ZINC001362315084 883548433 /nfs/dbraw/zinc/54/84/33/883548433.db2.gz CJMVALYLTWWZEM-HIFRSBDPSA-N -1 1 319.332 1.746 20 0 DDADMM CS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(F)c([O-])c2)C1 ZINC001362322143 883561810 /nfs/dbraw/zinc/56/18/10/883561810.db2.gz ZRDWZSSDBMIYBF-SNVBAGLBSA-N -1 1 301.339 1.181 20 0 DDADMM COC(=O)[C@@H](Cc1ccc(F)cc1)Oc1cnnc(=S)[n-]1 ZINC001228640877 883590021 /nfs/dbraw/zinc/59/00/21/883590021.db2.gz VJKRNZIKOSYYLV-SNVBAGLBSA-N -1 1 309.322 1.463 20 0 DDADMM O=C(NCc1nc(=O)o[n-]1)c1cccc(C(F)(F)F)c1O ZINC001362353565 883629721 /nfs/dbraw/zinc/62/97/21/883629721.db2.gz XOEUKWONEIOILE-UHFFFAOYSA-N -1 1 303.196 1.017 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C)c(C(=O)OC)c1)c1nn[n-]n1 ZINC001362394390 883710567 /nfs/dbraw/zinc/71/05/67/883710567.db2.gz QRXGCOOXVJJREG-GFCCVEGCSA-N -1 1 317.349 1.566 20 0 DDADMM CN(Cc1cc(C(C)(C)C)n[nH]1)C(=O)CCCc1nn[n-]n1 ZINC001362457217 883856767 /nfs/dbraw/zinc/85/67/67/883856767.db2.gz SPUNFRUPZFVIBW-UHFFFAOYSA-N -1 1 305.386 1.202 20 0 DDADMM CC(C)[C@@H](CNC(=O)CCC1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001374412221 912768407 /nfs/dbraw/zinc/76/84/07/912768407.db2.gz UNRYXOKLYHIOMT-CYBMUJFWSA-N -1 1 323.441 1.711 20 0 DDADMM CCOc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cn1 ZINC001362562190 884101748 /nfs/dbraw/zinc/10/17/48/884101748.db2.gz LTNMEQXRLPJHNU-LLVKDONJSA-N -1 1 302.338 1.013 20 0 DDADMM CSc1ncc(C(=O)NCc2ccc(CO)cc2)c(=O)[n-]1 ZINC001362604985 884200928 /nfs/dbraw/zinc/20/09/28/884200928.db2.gz OHBCUUZLUYBCJF-UHFFFAOYSA-N -1 1 305.359 1.326 20 0 DDADMM CSc1ncc(C(=O)N(C)[C@H](CO)c2ccccc2)c(=O)[n-]1 ZINC001362617892 884235876 /nfs/dbraw/zinc/23/58/76/884235876.db2.gz DZVDTBFIOYDBND-GFCCVEGCSA-N -1 1 319.386 1.710 20 0 DDADMM COC(=O)[C@]12CN(C(=O)c3ccc([O-])cn3)C[C@H]1CCCC2 ZINC001362619599 884241443 /nfs/dbraw/zinc/24/14/43/884241443.db2.gz CNAJVWSEQVAIJC-BDJLRTHQSA-N -1 1 304.346 1.593 20 0 DDADMM COc1c(OC2CN(C(=O)OC(C)(C)C)C2)nc(C)[n-]c1=O ZINC001230019253 884279256 /nfs/dbraw/zinc/27/92/56/884279256.db2.gz IMJRRLIDFQVBBO-UHFFFAOYSA-N -1 1 311.338 1.497 20 0 DDADMM COc1c(=O)[n-]c(C)nc1OC[C@@H]1CC[C@@]2(CCCCO2)O1 ZINC001230020629 884281636 /nfs/dbraw/zinc/28/16/36/884281636.db2.gz FYKBUTSEXMOGPK-XHDPSFHLSA-N -1 1 310.350 1.954 20 0 DDADMM CCOC(=O)[C@@H](Oc1nc(C)[n-]c(=O)c1OC)C(F)(F)F ZINC001230025595 884284745 /nfs/dbraw/zinc/28/47/45/884284745.db2.gz KKYNTFDHNICGKG-SSDOTTSWSA-N -1 1 310.228 1.372 20 0 DDADMM CCC[C@H](O)[C@H](CO)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001362831570 884747209 /nfs/dbraw/zinc/74/72/09/884747209.db2.gz LRHUBJSLXFHLAG-GJZGRUSLSA-N -1 1 319.357 1.503 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1cc2c(cc1O)CCCC2 ZINC001362836679 884762947 /nfs/dbraw/zinc/76/29/47/884762947.db2.gz RMKFYZDVUWRUKT-UHFFFAOYSA-N -1 1 313.361 1.593 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)c1nccnc1C ZINC001362861604 884821318 /nfs/dbraw/zinc/82/13/18/884821318.db2.gz YMLAQCNGXGUMAZ-SECBINFHSA-N -1 1 319.390 1.802 20 0 DDADMM CC[C@@H](C)Oc1ccc(C(=O)N[C@H](COC)c2nn[n-]n2)cc1 ZINC001362906874 884948180 /nfs/dbraw/zinc/94/81/80/884948180.db2.gz GHGYLXXIASXDNW-ZWNOBZJWSA-N -1 1 319.365 1.495 20 0 DDADMM O=C(N[C@H]1CC[C@@H](O)[C@@H](O)CC1)c1ncc2ccccc2c1[O-] ZINC001362941186 885039787 /nfs/dbraw/zinc/03/97/87/885039787.db2.gz URUWGVWMJZOEBJ-UBSAEIQESA-N -1 1 316.357 1.335 20 0 DDADMM COC(=O)c1coc(CNC(=O)c2cc(Cl)ccc2[O-])n1 ZINC001363011392 885223477 /nfs/dbraw/zinc/22/34/77/885223477.db2.gz GUBVTBZAHHHWJQ-UHFFFAOYSA-N -1 1 310.693 1.750 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)c1nccs1)c1cncs1 ZINC001363020890 885246899 /nfs/dbraw/zinc/24/68/99/885246899.db2.gz BYKXESLWOAURJW-UHFFFAOYSA-N -1 1 303.315 1.691 20 0 DDADMM CN(C(=O)[C@@H]1CN(C(=O)OC(C)(C)C)CC1(C)C)c1nn[n-]n1 ZINC001363053787 885336167 /nfs/dbraw/zinc/33/61/67/885336167.db2.gz NXPNZACSZIJBNI-VIFPVBQESA-N -1 1 324.385 1.056 20 0 DDADMM CO[C@@H]1COCC[C@H]1CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001363070251 885387933 /nfs/dbraw/zinc/38/79/33/885387933.db2.gz QVKILZZIKNEIEH-NWDGAFQWSA-N -1 1 323.393 1.395 20 0 DDADMM CC(C)Oc1cc(C(N)=O)ccc1NC(=O)c1cnncc1[O-] ZINC001363091457 885441480 /nfs/dbraw/zinc/44/14/80/885441480.db2.gz LRABXJVICJVADC-UHFFFAOYSA-N -1 1 316.317 1.321 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1ncccc1[O-])Oc1ccccc1 ZINC001363258897 885842513 /nfs/dbraw/zinc/84/25/13/885842513.db2.gz LCCXYKYKENCIMR-CYBMUJFWSA-N -1 1 316.313 1.138 20 0 DDADMM Cc1cc(C)cc(OCC(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001363276409 885886203 /nfs/dbraw/zinc/88/62/03/885886203.db2.gz MRCDGEJHWKCKBQ-UHFFFAOYSA-N -1 1 317.393 1.880 20 0 DDADMM CC[C@H]1CCCC[C@@H]1OCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363278975 885895939 /nfs/dbraw/zinc/89/59/39/885895939.db2.gz YHOGMWBWJKOQAF-RYUDHWBXSA-N -1 1 307.398 1.681 20 0 DDADMM COCC1(CNC(=O)c2cnc(SC)[n-]c2=O)CCCC1 ZINC001363350248 886103370 /nfs/dbraw/zinc/10/33/70/886103370.db2.gz KFUNXUJFWVDGSF-UHFFFAOYSA-N -1 1 311.407 1.841 20 0 DDADMM Cn1cnnc1[C@H]1CCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC001363360149 886129634 /nfs/dbraw/zinc/12/96/34/886129634.db2.gz UNXHEPKLHXAUSS-NSHDSACASA-N -1 1 320.780 1.733 20 0 DDADMM COc1ccc(OCCC(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001363361389 886131747 /nfs/dbraw/zinc/13/17/47/886131747.db2.gz KSOYUVWNYPZLMG-UHFFFAOYSA-N -1 1 317.349 1.173 20 0 DDADMM C[C@H](Sc1ccccn1)C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363376334 886170493 /nfs/dbraw/zinc/17/04/93/886170493.db2.gz RKUVREDNODTOQU-VIFPVBQESA-N -1 1 304.379 1.271 20 0 DDADMM COC[C@@H](NC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1)c1nn[n-]n1 ZINC001363419395 886287315 /nfs/dbraw/zinc/28/73/15/886287315.db2.gz WPOOTUFJWLDLFS-MGPQQGTHSA-N -1 1 315.377 1.587 20 0 DDADMM CSCC[C@H](NC(=O)c1c(C)cc(C)[nH]c1=O)c1nn[n-]n1 ZINC001363490524 886475621 /nfs/dbraw/zinc/47/56/21/886475621.db2.gz IXUBUQCUMGPIDW-VIFPVBQESA-N -1 1 322.394 1.141 20 0 DDADMM CC(C)C[C@H](NC(=O)OC(C)(C)C)C(=O)N(C)c1nn[n-]n1 ZINC001363491722 886477142 /nfs/dbraw/zinc/47/71/42/886477142.db2.gz UUBUONBNHDPBAA-VIFPVBQESA-N -1 1 312.374 1.102 20 0 DDADMM CSc1ncc(C(=O)N2CC[C@H](c3nccs3)C2)c(=O)[n-]1 ZINC001363538902 886581924 /nfs/dbraw/zinc/58/19/24/886581924.db2.gz JIUBSSXQDMXEMK-QMMMGPOBSA-N -1 1 322.415 1.990 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2cccc([O-])c2Cl)CCC1=O ZINC001232783931 886586477 /nfs/dbraw/zinc/58/64/77/886586477.db2.gz GHIZCLVHMBHCCE-NSHDSACASA-N -1 1 311.765 2.000 20 0 DDADMM COc1ccc(CC(=O)N(Cc2nn[n-]n2)CC(C)C)c(C)c1 ZINC001363543162 886592844 /nfs/dbraw/zinc/59/28/44/886592844.db2.gz HLULPJHQOLRNNT-UHFFFAOYSA-N -1 1 317.393 1.744 20 0 DDADMM Cc1noc(C)c1[C@@H](C)CC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001363556145 886628941 /nfs/dbraw/zinc/62/89/41/886628941.db2.gz ZEFQUAACTNOTBB-QMMMGPOBSA-N -1 1 304.354 1.494 20 0 DDADMM O=C(N[C@H]1Cc2ccccc2NC1=O)c1cc(F)ccc1[O-] ZINC001363558547 886633813 /nfs/dbraw/zinc/63/38/13/886633813.db2.gz IVJYUXVQUBGPGB-ZDUSSCGKSA-N -1 1 300.289 1.825 20 0 DDADMM COC[C@@H](NC(=O)CCCc1ccc(Cl)cc1)c1nn[n-]n1 ZINC001363582384 886689337 /nfs/dbraw/zinc/68/93/37/886689337.db2.gz AELOSSBNUHSTJK-GFCCVEGCSA-N -1 1 323.784 1.680 20 0 DDADMM COC[C@H]1Cn2nccc2CN(Cc2cc(Cl)ncc2[O-])C1 ZINC001233035541 886743527 /nfs/dbraw/zinc/74/35/27/886743527.db2.gz FLXWRBGWKHBOKJ-LLVKDONJSA-N -1 1 322.796 1.916 20 0 DDADMM CCC[C@H](OC)C(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001363639723 886850168 /nfs/dbraw/zinc/85/01/68/886850168.db2.gz FZHONTNMEWSUPH-DCAQKATOSA-N -1 1 324.343 1.860 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCOC[C@H]1C[C@H]1CCCO1 ZINC001363781549 887204932 /nfs/dbraw/zinc/20/49/32/887204932.db2.gz SIPPQXWGYCBXPF-CHWSQXEVSA-N -1 1 309.337 1.941 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@@H]1COc2cscc2O1 ZINC001233757576 887284210 /nfs/dbraw/zinc/28/42/10/887284210.db2.gz FCUTXRPRRGKTQK-ZETCQYMHSA-N -1 1 324.314 1.249 20 0 DDADMM Cc1ccc(COCC(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001363819888 887311215 /nfs/dbraw/zinc/31/12/15/887311215.db2.gz KFNLOQVCQJWVDH-UHFFFAOYSA-N -1 1 301.350 1.220 20 0 DDADMM COC(=O)C[C@H](Cc1ccccc1)NC(=O)c1ccc([O-])cn1 ZINC001363852852 887400616 /nfs/dbraw/zinc/40/06/16/887400616.db2.gz ZUAUROPVBJPTDT-ZDUSSCGKSA-N -1 1 314.341 1.691 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@H]2CCC(C)(C)O2)sn1 ZINC001363856867 887406257 /nfs/dbraw/zinc/40/62/57/887406257.db2.gz FKOQEEWLYOXCMX-MRVPVSSYSA-N -1 1 306.409 1.388 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1cccnc1 ZINC001363957387 887610718 /nfs/dbraw/zinc/61/07/18/887610718.db2.gz BVKLZKGUXHDEIC-NSHDSACASA-N -1 1 315.333 1.354 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1cccnc1 ZINC001363957387 887610730 /nfs/dbraw/zinc/61/07/30/887610730.db2.gz BVKLZKGUXHDEIC-NSHDSACASA-N -1 1 315.333 1.354 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1cccnc1 ZINC001363957387 887610736 /nfs/dbraw/zinc/61/07/36/887610736.db2.gz BVKLZKGUXHDEIC-NSHDSACASA-N -1 1 315.333 1.354 20 0 DDADMM CNc1ccc(C(=O)N[C@H](COC)c2nn[n-]n2)c(Cl)c1 ZINC001364019971 887734164 /nfs/dbraw/zinc/73/41/64/887734164.db2.gz CHSZJUUICSQCEG-SNVBAGLBSA-N -1 1 310.745 1.012 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cccc2c1CCOC2 ZINC001364020042 887734433 /nfs/dbraw/zinc/73/44/33/887734433.db2.gz IVCCSVGWFCFJCQ-UHFFFAOYSA-N -1 1 323.374 1.116 20 0 DDADMM CCC(O)(CC)CC[N-]S(=O)(=O)c1csnc1OC ZINC001364045138 887787934 /nfs/dbraw/zinc/78/79/34/887787934.db2.gz GBICOEQNDJGCLK-UHFFFAOYSA-N -1 1 308.425 1.371 20 0 DDADMM CC[C@@H](CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C(C)(C)C ZINC001364049958 887796691 /nfs/dbraw/zinc/79/66/91/887796691.db2.gz PKTGACNHLQCUPB-QMMMGPOBSA-N -1 1 302.400 1.609 20 0 DDADMM CC[C@@H](CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C(C)(C)C ZINC001364049958 887796699 /nfs/dbraw/zinc/79/66/99/887796699.db2.gz PKTGACNHLQCUPB-QMMMGPOBSA-N -1 1 302.400 1.609 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)CO[C@H]2CCCC[C@H]2C)n[n-]1 ZINC001364060543 887822931 /nfs/dbraw/zinc/82/29/31/887822931.db2.gz HRFPQINAQJJTNX-MNOVXSKESA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)CO[C@H]2CCCC[C@H]2C)n1 ZINC001364060543 887822936 /nfs/dbraw/zinc/82/29/36/887822936.db2.gz HRFPQINAQJJTNX-MNOVXSKESA-N -1 1 324.381 1.193 20 0 DDADMM C[C@H](C[NH+]1CCOCC1)Oc1ccc(OP(=O)([O-])[O-])cc1 ZINC001234341578 887881496 /nfs/dbraw/zinc/88/14/96/887881496.db2.gz ZWIMYHZPRKFLEL-LLVKDONJSA-N -1 1 317.278 1.258 20 0 DDADMM CC(C)n1cc(Br)c(C(=O)Nc2c[n-][nH]c2=O)n1 ZINC001364086953 887883931 /nfs/dbraw/zinc/88/39/31/887883931.db2.gz AXZMGRXZBGWBNQ-UHFFFAOYSA-N -1 1 314.143 1.908 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H](c1cccc(F)c1)N1CCOCC1 ZINC001364091279 887897048 /nfs/dbraw/zinc/89/70/48/887897048.db2.gz JNONFRCAUMODQD-CYBMUJFWSA-N -1 1 320.324 1.266 20 0 DDADMM COc1ccccc1N1C[C@@H](C(=O)Nc2c[n-][nH]c2=O)CC1=O ZINC001364092625 887900846 /nfs/dbraw/zinc/90/08/46/887900846.db2.gz MEBIDYKTPPHZFG-VIFPVBQESA-N -1 1 316.317 1.116 20 0 DDADMM CC(C)Oc1ccc(COc2c(C(N)=O)nc[n-]c2=O)cn1 ZINC001234465261 887995835 /nfs/dbraw/zinc/99/58/35/887995835.db2.gz DHXPFJUUYMDJJF-UHFFFAOYSA-N -1 1 304.306 1.042 20 0 DDADMM CC(C)c1nc(C(N)=O)c(O[C@@H]2CCC(=O)CC2(C)C)c(=O)[n-]1 ZINC001234565579 888105251 /nfs/dbraw/zinc/10/52/51/888105251.db2.gz NTRMIRSYSMCXAF-SNVBAGLBSA-N -1 1 321.377 1.931 20 0 DDADMM CCCCOC[C@@H](C)Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O ZINC001234564840 888105836 /nfs/dbraw/zinc/10/58/36/888105836.db2.gz JPOXHBKQYNVPPP-SNVBAGLBSA-N -1 1 311.382 1.989 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@@H]1CCCN(C(C)=O)C1 ZINC001234606732 888138067 /nfs/dbraw/zinc/13/80/67/888138067.db2.gz LCFOIRMWGACSLZ-SECBINFHSA-N -1 1 312.297 1.103 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1OC[C@@H](C)OC[C@H](C)OC ZINC001234606756 888138139 /nfs/dbraw/zinc/13/81/39/888138139.db2.gz MGEDMRVEBFOXJT-DTWKUNHWSA-N -1 1 317.313 1.532 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1Cc1ccnn1C ZINC001364437990 888637697 /nfs/dbraw/zinc/63/76/97/888637697.db2.gz MEWKYWAYGUMBLG-ONGXEEELSA-N -1 1 304.316 1.452 20 0 DDADMM C[C@H](NC(=O)c1cnncc1[O-])c1cc(F)ccc1N(C)C ZINC001364464251 888698243 /nfs/dbraw/zinc/69/82/43/888698243.db2.gz HCKLYEBNIYXZNY-VIFPVBQESA-N -1 1 304.325 1.878 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@H]2CCC[C@H](OC)C2)n[n-]1 ZINC001364504480 888787823 /nfs/dbraw/zinc/78/78/23/888787823.db2.gz HEGDTTXKKUZRBT-QWRGUYRKSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H]2CCC[C@H](OC)C2)n1 ZINC001364504480 888787834 /nfs/dbraw/zinc/78/78/34/888787834.db2.gz HEGDTTXKKUZRBT-QWRGUYRKSA-N -1 1 324.381 1.193 20 0 DDADMM CNC(=O)COc1ccc(Oc2cc(Cl)nc(=O)[n-]2)cc1 ZINC001364531029 888864618 /nfs/dbraw/zinc/86/46/18/888864618.db2.gz HBPQPVXHWMGTTB-UHFFFAOYSA-N -1 1 309.709 1.753 20 0 DDADMM CS(=O)(=O)C[C@H]1CCCN(Cc2ccc([O-])c(F)c2F)C1 ZINC001364720091 889267690 /nfs/dbraw/zinc/26/76/90/889267690.db2.gz YTPDHSDZJAPNPM-JTQLQIEISA-N -1 1 319.373 1.927 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC(C)(C)C(C)(C)C)[n-]n1 ZINC001364875062 889589171 /nfs/dbraw/zinc/58/91/71/889589171.db2.gz DYRXPJUPPVVBND-UHFFFAOYSA-N -1 1 317.411 1.547 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCC(C)(C)C(C)(C)C)n[n-]1 ZINC001364875062 889589183 /nfs/dbraw/zinc/58/91/83/889589183.db2.gz DYRXPJUPPVVBND-UHFFFAOYSA-N -1 1 317.411 1.547 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(C)CC(F)(F)C1 ZINC001364915387 889660778 /nfs/dbraw/zinc/66/07/78/889660778.db2.gz UQRIZPCSRATRCI-UHFFFAOYSA-N -1 1 323.321 1.053 20 0 DDADMM COC(=O)CC1(C[N-]S(=O)(=O)c2ccns2)CCCC1 ZINC001364967501 889779257 /nfs/dbraw/zinc/77/92/57/889779257.db2.gz NFXRTMNPORGUSY-UHFFFAOYSA-N -1 1 318.420 1.545 20 0 DDADMM CCC[C@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001385830871 889820430 /nfs/dbraw/zinc/82/04/30/889820430.db2.gz GEAPQXWHJNFVTK-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM CCC[C@@H](C)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001385830868 889820723 /nfs/dbraw/zinc/82/07/23/889820723.db2.gz GEAPQXWHJNFVTK-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(C[N-]S(=O)(=O)Cc1noc(C2CC2)n1)C1CCCC1 ZINC001365452928 890821122 /nfs/dbraw/zinc/82/11/22/890821122.db2.gz HWZQVZFFJAMJNV-UHFFFAOYSA-N -1 1 313.379 1.126 20 0 DDADMM CNC(=O)c1ccc(-c2ccc3nc(C(=O)OC)[n-]c3n2)cc1 ZINC001240569967 890985739 /nfs/dbraw/zinc/98/57/39/890985739.db2.gz RWRMEEMWDOVZCJ-UHFFFAOYSA-N -1 1 310.313 1.723 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1C[C@@H](OC)C1(C)C ZINC001365679595 891304455 /nfs/dbraw/zinc/30/44/55/891304455.db2.gz HMYOCMAHUBJJAH-RKDXNWHRSA-N -1 1 306.409 1.244 20 0 DDADMM CC[C@@]([N-]S(=O)(=O)c1cc(C)ns1)(C(N)=O)C(C)C ZINC001365699284 891355134 /nfs/dbraw/zinc/35/51/34/891355134.db2.gz BYOIMNUEWVXKOW-NSHDSACASA-N -1 1 305.425 1.020 20 0 DDADMM CC[C@]([N-]S(=O)(=O)c1ccc(C(F)F)o1)(C(N)=O)C(C)C ZINC001365699750 891357393 /nfs/dbraw/zinc/35/73/93/891357393.db2.gz QFWDBNQUZDTONQ-GFCCVEGCSA-N -1 1 324.349 1.786 20 0 DDADMM Cc1cccnc1C(=O)NC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001386711486 891541414 /nfs/dbraw/zinc/54/14/14/891541414.db2.gz LOLSMCUDDTVCDH-LLVKDONJSA-N -1 1 314.345 1.039 20 0 DDADMM CN1CCN(c2nccc(-c3cc(F)cc(F)c3[O-])n2)CC1 ZINC001243502025 891670507 /nfs/dbraw/zinc/67/05/07/891670507.db2.gz QECUQQDWAIQASX-UHFFFAOYSA-N -1 1 306.316 1.879 20 0 DDADMM CC[C@H](C)[C@@H](C)C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001386849036 891852684 /nfs/dbraw/zinc/85/26/84/891852684.db2.gz ADLPEIUBQZACPV-NWDGAFQWSA-N -1 1 307.394 1.658 20 0 DDADMM CN1CCN(c2cccc(-c3cnc(F)c(C(=O)[O-])c3)n2)CC1 ZINC001244753298 891905616 /nfs/dbraw/zinc/90/56/16/891905616.db2.gz UNEZAGPCLXLOJL-UHFFFAOYSA-N -1 1 316.336 1.733 20 0 DDADMM CCCc1ccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nn1 ZINC001244792050 891927727 /nfs/dbraw/zinc/92/77/27/891927727.db2.gz XPEYVSZFSBOPDM-UHFFFAOYSA-N -1 1 322.390 1.871 20 0 DDADMM C[S@](=O)CCCNC(=S)Nc1cc(F)c([O-])c(F)c1 ZINC001246530390 892678201 /nfs/dbraw/zinc/67/82/01/892678201.db2.gz JTICVYUNAFMOLY-IBGZPJMESA-N -1 1 308.375 1.725 20 0 DDADMM CC(=O)Nc1ccc(Nc2[nH]c(=S)[n-]c(=O)c2N=O)cn1 ZINC001249744666 894113730 /nfs/dbraw/zinc/11/37/30/894113730.db2.gz SHHVSPQHQXLENO-UHFFFAOYSA-N -1 1 306.307 1.966 20 0 DDADMM Nc1cncc(S(=O)(=O)Nc2c(F)ccc([O-])c2Cl)c1 ZINC001250234273 894216818 /nfs/dbraw/zinc/21/68/18/894216818.db2.gz NYXCIADCVFURQK-UHFFFAOYSA-N -1 1 317.729 1.963 20 0 DDADMM CSc1nc(NC[C@@H](O)COc2ccccc2)cc(=O)[n-]1 ZINC001251160096 894651427 /nfs/dbraw/zinc/65/14/27/894651427.db2.gz XOJIGJLUIHHXHB-SNVBAGLBSA-N -1 1 307.375 1.756 20 0 DDADMM CCCC1(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001366862878 895160629 /nfs/dbraw/zinc/16/06/29/895160629.db2.gz BMXAUXCVFPFKLI-GFCCVEGCSA-N -1 1 321.425 1.371 20 0 DDADMM CCCC(=O)NC[C@H](CC(C)C)NC(=O)c1ncccc1[O-] ZINC001388516399 895373377 /nfs/dbraw/zinc/37/33/77/895373377.db2.gz GOCIHRPKQZEWRP-LBPRGKRZSA-N -1 1 307.394 1.848 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)/C=C/c2ccccc2)c(O)c1 ZINC001253052026 895620853 /nfs/dbraw/zinc/62/08/53/895620853.db2.gz ILJBOMMZZHSSTQ-CMDGGOBGSA-N -1 1 318.354 1.904 20 0 DDADMM CCC(=O)NC[C@H]1[C@H](C)CCCN1C(=O)c1ncccc1[O-] ZINC001388818022 895978888 /nfs/dbraw/zinc/97/88/88/895978888.db2.gz MNUVNUISKJVUSB-NEPJUHHUSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1ccc(C)c(S(=O)(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001254827625 896613841 /nfs/dbraw/zinc/61/38/41/896613841.db2.gz KMUGWUZZFGBTQR-UHFFFAOYSA-N -1 1 319.346 1.476 20 0 DDADMM O=c1cc(Cc2nnc([C@H]3CCOC3)o2)c2ccc([O-])cc2o1 ZINC001255495050 896977924 /nfs/dbraw/zinc/97/79/24/896977924.db2.gz JRXDKCQGJLVEMQ-VIFPVBQESA-N -1 1 314.297 1.976 20 0 DDADMM O=S(=O)([N-]C1(C(F)(F)F)COC1)c1cccc(Cl)c1 ZINC001256855284 897604476 /nfs/dbraw/zinc/60/44/76/897604476.db2.gz MWTGZPAUMYTJLI-UHFFFAOYSA-N -1 1 315.700 1.950 20 0 DDADMM CCCCOC(=O)CC[C@@H](C)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001257385374 897834658 /nfs/dbraw/zinc/83/46/58/897834658.db2.gz GZFREDSNBCHZGW-SECBINFHSA-N -1 1 307.354 1.982 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@@H](O)CC1)c1cc(F)c(F)cc1F ZINC001259043061 898438383 /nfs/dbraw/zinc/43/83/83/898438383.db2.gz MTMRTSASROXSDH-OCAPTIKFSA-N -1 1 309.309 1.686 20 0 DDADMM Cc1ccc(CS(=O)(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001259259526 898553948 /nfs/dbraw/zinc/55/39/48/898553948.db2.gz QXKHWTXWJMHKJV-UHFFFAOYSA-N -1 1 324.318 1.536 20 0 DDADMM Cc1ccc(CS(=O)(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001259267928 898559020 /nfs/dbraw/zinc/55/90/20/898559020.db2.gz FGOUZIWPISAQIY-UHFFFAOYSA-N -1 1 321.335 1.372 20 0 DDADMM CCC[C@@H](CC)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001390052338 898579090 /nfs/dbraw/zinc/57/90/90/898579090.db2.gz LJXXCBZWZIKYJP-GFCCVEGCSA-N -1 1 319.405 1.896 20 0 DDADMM C[C@@]1(O)C[C@H](C[N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC001259460193 898679392 /nfs/dbraw/zinc/67/93/92/898679392.db2.gz PXDMZQNYROZIFZ-LYWQIFSTSA-N -1 1 307.774 1.918 20 0 DDADMM Cc1ccc2nnc(CNC(=O)c3c([O-])cccc3F)n2c1 ZINC001299593053 898722283 /nfs/dbraw/zinc/72/22/83/898722283.db2.gz MZBGLEHNUGQFQU-UHFFFAOYSA-N -1 1 300.293 1.812 20 0 DDADMM C[C@@H](O)[C@H]([N-]S(=O)(=O)C1CCCCC1)C(=O)OC(C)(C)C ZINC001259818176 898825698 /nfs/dbraw/zinc/82/56/98/898825698.db2.gz YSULNXPFOIIUNF-PWSUYJOCSA-N -1 1 321.439 1.330 20 0 DDADMM CSc1ncc([N-]S(=O)(=O)CCC(F)(F)F)cn1 ZINC001259867511 898880600 /nfs/dbraw/zinc/88/06/00/898880600.db2.gz XRBXKWMBEBKERH-UHFFFAOYSA-N -1 1 301.315 1.893 20 0 DDADMM COc1ccc(CS(=O)(=O)[N-]c2cnc(C)nc2OC)cc1 ZINC001259892735 898903102 /nfs/dbraw/zinc/90/31/02/898903102.db2.gz KUXSBOPTWKISJT-UHFFFAOYSA-N -1 1 323.374 1.744 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCOC1)c1cc(F)c(F)cc1Cl ZINC001260288425 899112531 /nfs/dbraw/zinc/11/25/31/899112531.db2.gz PDPIGBZLEWVAEF-ZETCQYMHSA-N -1 1 311.737 1.933 20 0 DDADMM CCc1coc(C[N-]S(=O)(=O)c2cccc3nonc32)n1 ZINC001260435164 899138309 /nfs/dbraw/zinc/13/83/09/899138309.db2.gz XUYDQUNEGYUZCH-UHFFFAOYSA-N -1 1 308.319 1.252 20 0 DDADMM CCOC(=O)Cc1cccc([N-]S(=O)(=O)[C@@H](C)CC)n1 ZINC001260726325 899227726 /nfs/dbraw/zinc/22/77/26/899227726.db2.gz PHCFOAOSBHZAAD-JTQLQIEISA-N -1 1 300.380 1.727 20 0 DDADMM CC[C@@H](C)S(=O)(=O)[N-]c1cncc(OC)c1C(=O)OC ZINC001260727541 899230885 /nfs/dbraw/zinc/23/08/85/899230885.db2.gz VZLAEUZEAILXAG-MRVPVSSYSA-N -1 1 302.352 1.417 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC/C=C/C1CC1 ZINC001261248929 899434948 /nfs/dbraw/zinc/43/49/48/899434948.db2.gz ABMKYKQYFYZRCS-NSCUHMNNSA-N -1 1 324.384 1.765 20 0 DDADMM O=C(NCCCNC(=O)c1ncccc1[O-])C(F)=C1CCCC1 ZINC001293237956 914360034 /nfs/dbraw/zinc/36/00/34/914360034.db2.gz QJGCKGZMNAUFQV-UHFFFAOYSA-N -1 1 321.352 1.821 20 0 DDADMM Cc1cc(C(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)cc(C)c1F ZINC001390530976 899618359 /nfs/dbraw/zinc/61/83/59/899618359.db2.gz IUJZIDPHCNSNPF-SNVBAGLBSA-N -1 1 321.356 1.174 20 0 DDADMM CCCCNC(=O)C1CCN(Cc2ccc(C(=O)[O-])cn2)CC1 ZINC001262491516 900195104 /nfs/dbraw/zinc/19/51/04/900195104.db2.gz FQLYGIHZSQETDK-UHFFFAOYSA-N -1 1 319.405 1.908 20 0 DDADMM CON(C)c1nnc(-c2c[n-][nH]c2=O)n1Cc1cccs1 ZINC001263496885 900622328 /nfs/dbraw/zinc/62/23/28/900622328.db2.gz SAONAEPWFOQVTA-UHFFFAOYSA-N -1 1 306.351 1.481 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C=Cc2ccco2)[n-]c1=O ZINC001263944352 900828852 /nfs/dbraw/zinc/82/88/52/900828852.db2.gz ALSHAZNSGRGWSD-SREVYHEPSA-N -1 1 303.318 1.849 20 0 DDADMM CCN(CCCNC(=O)C=C1CCCCC1)Cc1n[nH]c(=O)[n-]1 ZINC001266025379 902783577 /nfs/dbraw/zinc/78/35/77/902783577.db2.gz JKADIBFGWQVGJQ-UHFFFAOYSA-N -1 1 321.425 1.729 20 0 DDADMM CC/C(C)=C\C(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001280033781 903496393 /nfs/dbraw/zinc/49/63/93/903496393.db2.gz ZLGNHABDZATHBP-NXIHDVOMSA-N -1 1 307.398 1.195 20 0 DDADMM CC[C@@H](C)CCC(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001370959153 904057422 /nfs/dbraw/zinc/05/74/22/904057422.db2.gz ZSHYGIIMHDDEJC-OLZOCXBDSA-N -1 1 323.441 1.807 20 0 DDADMM CC(C)C(C)(C)C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001392774034 905213123 /nfs/dbraw/zinc/21/31/23/905213123.db2.gz VAOAAZRSSWPANT-LLVKDONJSA-N -1 1 309.414 1.177 20 0 DDADMM O=C(CCC1CC1)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001393609167 907468530 /nfs/dbraw/zinc/46/85/30/907468530.db2.gz DCVCFDXPNZZQRB-UHFFFAOYSA-N -1 1 303.362 1.356 20 0 DDADMM CC(C)(CC(=O)N[C@H]1C[C@H](NC(=O)c2cnn[nH]2)C1)C1CC1 ZINC001372568464 907652861 /nfs/dbraw/zinc/65/28/61/907652861.db2.gz VNMFZCKKMWBJNS-XYPYZODXSA-N -1 1 305.382 1.008 20 0 DDADMM Cc1cc(CN(C)[C@@H](C)CNC(=O)c2ncccc2[O-])on1 ZINC001394064550 908685549 /nfs/dbraw/zinc/68/55/49/908685549.db2.gz NBJSNSHFUAPDSY-NSHDSACASA-N -1 1 304.350 1.334 20 0 DDADMM CCC[C@H](C)C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001373153442 909098875 /nfs/dbraw/zinc/09/88/75/909098875.db2.gz VTGIMAKETGQFFW-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM C=C/C(C)=C\CC(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001284570685 909198533 /nfs/dbraw/zinc/19/85/33/909198533.db2.gz HYBNHEPVDDPITJ-GHXNOFRVSA-N -1 1 303.362 1.498 20 0 DDADMM CC[C@H](C)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001394719648 910394149 /nfs/dbraw/zinc/39/41/49/910394149.db2.gz PMJKBLWKBJEMNY-QWRGUYRKSA-N -1 1 309.414 1.273 20 0 DDADMM COCCCCC(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001285653918 910978666 /nfs/dbraw/zinc/97/86/66/910978666.db2.gz CBFSFWSARFEZTD-GFCCVEGCSA-N -1 1 323.393 1.181 20 0 DDADMM C[C@H](CNC(=O)Cc1ccoc1)N(C)C(=O)c1ncccc1[O-] ZINC001395064843 911273736 /nfs/dbraw/zinc/27/37/36/911273736.db2.gz HTLJRMXUSAODSU-LLVKDONJSA-N -1 1 317.345 1.200 20 0 DDADMM CCC(CC)C(=O)N[C@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001395268045 911679817 /nfs/dbraw/zinc/67/98/17/911679817.db2.gz XLLHAUGPFQYUKJ-LLVKDONJSA-N -1 1 319.405 1.800 20 0 DDADMM CCC(=CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)CC ZINC001295023825 915516562 /nfs/dbraw/zinc/51/65/62/915516562.db2.gz XMWMSXXVVFRIEV-ZDUSSCGKSA-N -1 1 317.389 1.864 20 0 DDADMM CC(C)C[C@H](C)C(=O)NC1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC001397362494 915812616 /nfs/dbraw/zinc/81/26/16/915812616.db2.gz UJOVPQCADXLTRZ-HIFPTAJRSA-N -1 1 321.425 1.644 20 0 DDADMM CC(C)(C)OC(=O)NCCCCNC(=O)c1ccc([O-])cn1 ZINC001296244626 916296829 /nfs/dbraw/zinc/29/68/29/916296829.db2.gz JXFQJGWWPNWHHB-UHFFFAOYSA-N -1 1 309.366 1.822 20 0 DDADMM C[C@@H]1CCC[C@@H](C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)C1 ZINC001376776101 919340004 /nfs/dbraw/zinc/34/00/04/919340004.db2.gz YBPIXULOGNLEFJ-VXGBXAGGSA-N -1 1 321.425 1.465 20 0 DDADMM Cc1nc([C@H](C)N[C@@H](C)CCNC(=O)c2ncccc2[O-])no1 ZINC001377419629 921836741 /nfs/dbraw/zinc/83/67/41/921836741.db2.gz AAGWDDTYMNUQCY-UWVGGRQHSA-N -1 1 319.365 1.338 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CC[C@@H]3CC[C@@H](C)O3)nc2n1 ZINC000622870861 365550157 /nfs/dbraw/zinc/55/01/57/365550157.db2.gz JQHXDHKSAXMUEX-ZJUUUORDSA-N -1 1 305.338 1.012 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCC[C@@H]3OC)nc2n1 ZINC000622993679 365587090 /nfs/dbraw/zinc/58/70/90/365587090.db2.gz WWUZIIIELDJYRT-QWRGUYRKSA-N -1 1 319.365 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCOCC3)nc2n1 ZINC000622996841 365589486 /nfs/dbraw/zinc/58/94/86/365589486.db2.gz CFEBXSUGWIMPLR-JTQLQIEISA-N -1 1 319.365 1.125 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3O[C@@H](C)C[C@H]3C)nc2n1 ZINC000622997617 365590871 /nfs/dbraw/zinc/59/08/71/365590871.db2.gz FGSZOLHQVXRLLR-VDDIYKPWSA-N -1 1 319.365 1.122 20 0 DDADMM O=C(CCc1ccccc1OC(F)(F)F)Nc1nnn[n-]1 ZINC000076964303 185062016 /nfs/dbraw/zinc/06/20/16/185062016.db2.gz VFFKVIHTYMEOMH-UHFFFAOYSA-N -1 1 301.228 1.670 20 0 DDADMM O=C(CCc1ccccc1OC(F)(F)F)Nc1nn[n-]n1 ZINC000076964303 185062017 /nfs/dbraw/zinc/06/20/17/185062017.db2.gz VFFKVIHTYMEOMH-UHFFFAOYSA-N -1 1 301.228 1.670 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCSC(C)(C)C2)o1 ZINC000081926216 192339495 /nfs/dbraw/zinc/33/94/95/192339495.db2.gz IVQUKWGTDOPOCW-UHFFFAOYSA-N -1 1 318.420 1.155 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCOC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000286548540 219049411 /nfs/dbraw/zinc/04/94/11/219049411.db2.gz ZBKFRYSSEXGSQT-NWANDNLSSA-N -1 1 309.337 1.533 20 0 DDADMM COc1cc(F)c([N-]S(=O)(=O)C[C@H](C)OC)cc1OC ZINC000278525125 214212284 /nfs/dbraw/zinc/21/22/84/214212284.db2.gz CMTSSPJALPFHOC-QMMMGPOBSA-N -1 1 307.343 1.620 20 0 DDADMM O=C(c1c[nH]c2cccnc12)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614387922 361831806 /nfs/dbraw/zinc/83/18/06/361831806.db2.gz DDJKDYHJLLIFBU-VIFPVBQESA-N -1 1 313.317 1.671 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(O)c1 ZINC000614389443 361832140 /nfs/dbraw/zinc/83/21/40/361832140.db2.gz MRWVTQHKAPHRKP-VIFPVBQESA-N -1 1 304.306 1.204 20 0 DDADMM CON1CCC(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CC1 ZINC000614474786 361875844 /nfs/dbraw/zinc/87/58/44/361875844.db2.gz DUHKVWFOMYHLPP-UHFFFAOYSA-N -1 1 324.381 1.145 20 0 DDADMM Cn1cnc(CC[N-]S(=O)(=O)c2sccc2Cl)n1 ZINC000451299829 231060751 /nfs/dbraw/zinc/06/07/51/231060751.db2.gz SRBIFYOWZAEUGU-UHFFFAOYSA-N -1 1 306.800 1.051 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCn1cccc1 ZINC000073670212 539033313 /nfs/dbraw/zinc/03/33/13/539033313.db2.gz WTZIVPCYYIMQOG-UHFFFAOYSA-N -1 1 313.361 1.147 20 0 DDADMM CO[C@H]1COCC[C@H]1C[N-]S(=O)(=O)c1sccc1F ZINC000451791479 231193136 /nfs/dbraw/zinc/19/31/36/231193136.db2.gz QFTOBLARRIHDKJ-WPRPVWTQSA-N -1 1 309.384 1.217 20 0 DDADMM Cc1nsc([N-][C@@H](CS(=O)(=O)N(C)C)C(F)(F)F)n1 ZINC000286575901 219062189 /nfs/dbraw/zinc/06/21/89/219062189.db2.gz MMTKZWNTEYDSKH-LURJTMIESA-N -1 1 318.346 1.081 20 0 DDADMM CC(C)(NCC(=O)Nc1ccc(Br)cn1)C(=O)[O-] ZINC000087624873 185229568 /nfs/dbraw/zinc/22/95/68/185229568.db2.gz QUHZVWPMFKSDLI-UHFFFAOYSA-N -1 1 316.155 1.235 20 0 DDADMM C[C@H](CN(C)C(=O)c1cc(O)c2ccccc2c1)c1nn[n-]n1 ZINC000280163669 539282216 /nfs/dbraw/zinc/28/22/16/539282216.db2.gz CFGFCZWTJFSWLP-SNVBAGLBSA-N -1 1 311.345 1.934 20 0 DDADMM O=C(N=c1nc2ccccn2[n-]1)[C@@H]1CCN(Cc2ccccc2)C1 ZINC000615008747 362114554 /nfs/dbraw/zinc/11/45/54/362114554.db2.gz LZAZPRQGNUVCGX-OAHLLOKOSA-N -1 1 321.384 1.612 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1c([O-])cccc1F)c1ccncc1 ZINC000615598564 362354880 /nfs/dbraw/zinc/35/48/80/362354880.db2.gz VVNMZERBPZBIPK-LBPRGKRZSA-N -1 1 318.304 1.961 20 0 DDADMM Cc1nnc([N-]C(=O)c2nc(-c3cnn(C)c3)oc2C)s1 ZINC000176829705 282332898 /nfs/dbraw/zinc/33/28/98/282332898.db2.gz VDHUQBUJAWSDRF-UHFFFAOYSA-N -1 1 304.335 1.796 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2C[C@]2(C)C(C)C)co1 ZINC000458104051 530025669 /nfs/dbraw/zinc/02/56/69/530025669.db2.gz CTIMSNVQKIUDRH-ZWNOBZJWSA-N -1 1 300.380 1.352 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(C)c(O)cc2C)co1 ZINC000444659371 530026221 /nfs/dbraw/zinc/02/62/21/530026221.db2.gz HWMXLHVXRMSHLA-UHFFFAOYSA-N -1 1 324.358 1.762 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCC2CC(F)(F)C2)o1 ZINC000457217982 530028008 /nfs/dbraw/zinc/02/80/08/530028008.db2.gz SAKOXNCBYGPHAU-UHFFFAOYSA-N -1 1 322.333 1.353 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)Cc1ccon1)c1nc(C(C)(C)C)no1 ZINC000330892072 232141660 /nfs/dbraw/zinc/14/16/60/232141660.db2.gz KJSFULIERPSDNT-MRVPVSSYSA-N -1 1 314.367 1.536 20 0 DDADMM C[C@@H](CS(C)(=O)=O)N(C(=O)c1ccc([O-])cc1F)C1CC1 ZINC000181974639 199370250 /nfs/dbraw/zinc/37/02/50/199370250.db2.gz BBSLSVWVBWVTND-VIFPVBQESA-N -1 1 315.366 1.569 20 0 DDADMM CN1CC[C@@H]2CCN(C(=O)c3s[n-]c(=O)c3Cl)[C@H]2C1 ZINC000286239343 290157088 /nfs/dbraw/zinc/15/70/88/290157088.db2.gz DLZWMBGYMQADKO-SFYZADRCSA-N -1 1 301.799 1.668 20 0 DDADMM CSCC[C@@H](O)C(=O)Nc1nc(Br)ccc1[O-] ZINC000279680537 215057241 /nfs/dbraw/zinc/05/72/41/215057241.db2.gz HMRUPINXORKHGV-SSDOTTSWSA-N -1 1 321.196 1.602 20 0 DDADMM CCO[C@H](C)c1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)s1 ZINC000358403053 299143307 /nfs/dbraw/zinc/14/33/07/299143307.db2.gz AJADLISFONVKAT-DTWKUNHWSA-N -1 1 324.410 1.629 20 0 DDADMM CCn1nc(C(C)C)cc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000358412978 299146202 /nfs/dbraw/zinc/14/62/02/299146202.db2.gz MQPPPBKHELKSHZ-JTQLQIEISA-N -1 1 305.386 1.415 20 0 DDADMM CCO[C@H](CC(=O)N(C)C[C@H](C)c1nn[n-]n1)C1=CCCC1 ZINC000358417425 299147765 /nfs/dbraw/zinc/14/77/65/299147765.db2.gz UXHPXVVFFBFCHT-WCQYABFASA-N -1 1 307.398 1.667 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCO[C@@H](C(C)(C)C)C3)ccnc1-2 ZINC000287011877 219268561 /nfs/dbraw/zinc/26/85/61/219268561.db2.gz BREKMSZDTHDSCX-CQLSMYGBSA-N -1 1 317.393 1.621 20 0 DDADMM CN(Cc1cccs1)C(=O)CNC(=O)c1ncccc1[O-] ZINC000343092776 282635507 /nfs/dbraw/zinc/63/55/07/282635507.db2.gz UNSFBCKENGQZOW-UHFFFAOYSA-N -1 1 305.359 1.237 20 0 DDADMM CNC(=O)CC[C@@H]1CCCCN1C(=O)c1ncc(C)cc1[O-] ZINC000343504249 282682405 /nfs/dbraw/zinc/68/24/05/282682405.db2.gz NURGAUVHHWDXNL-LBPRGKRZSA-N -1 1 305.378 1.617 20 0 DDADMM COC[C@@H](CCNC(=O)c1ncc2ccccc2c1[O-])OC ZINC000617242515 363013065 /nfs/dbraw/zinc/01/30/65/363013065.db2.gz AWGGUKMSKKSUDC-GFCCVEGCSA-N -1 1 304.346 1.722 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@H](Cn2ccnn2)C1 ZINC000617253329 363016958 /nfs/dbraw/zinc/01/69/58/363016958.db2.gz MXVROUZJODRTEW-LBPRGKRZSA-N -1 1 323.356 1.694 20 0 DDADMM C[C@H]1CN(C(=O)Cc2ccc([O-])c(Cl)c2)CCS1(=O)=O ZINC000631400912 422741069 /nfs/dbraw/zinc/74/10/69/422741069.db2.gz SIIMWZPVHQQCBD-VIFPVBQESA-N -1 1 317.794 1.234 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CCC[C@@H](C)C2)c(=O)[n-]1 ZINC000029510093 352240060 /nfs/dbraw/zinc/24/00/60/352240060.db2.gz PADZNSKCKJRJBP-SNVBAGLBSA-N -1 1 309.435 1.991 20 0 DDADMM NC(=O)c1ccc(S(=O)(=O)[N-]c2ccc3c[nH]nc3c2)cc1 ZINC000053211878 352644708 /nfs/dbraw/zinc/64/47/08/352644708.db2.gz YQDBZTYNDKCNJY-UHFFFAOYSA-N -1 1 316.342 1.463 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2ccncc2C)cn1C ZINC000072913381 191251205 /nfs/dbraw/zinc/25/12/05/191251205.db2.gz OUFQJIBVTQZPHC-UHFFFAOYSA-N -1 1 309.347 1.316 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC[C@@H]1CCOC1 ZINC000064355190 352935705 /nfs/dbraw/zinc/93/57/05/352935705.db2.gz FROOMNCAEIYJJF-LBPRGKRZSA-N -1 1 318.377 1.137 20 0 DDADMM CC(C)n1c(CNC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)n[n-]c1=S ZINC000066639972 353010116 /nfs/dbraw/zinc/01/01/16/353010116.db2.gz AGQUGXOVWCKIOB-WDEREUQCSA-N -1 1 320.422 1.675 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](C)CC2)o1 ZINC000068820572 353131887 /nfs/dbraw/zinc/13/18/87/353131887.db2.gz XFEYKQUPFRDIGH-SNVBAGLBSA-N -1 1 300.380 1.450 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)N[C@@H](C)c1nnc[nH]1 ZINC000174534111 198349124 /nfs/dbraw/zinc/34/91/24/198349124.db2.gz VQOORYASIRBXBY-VIFPVBQESA-N -1 1 323.378 1.057 20 0 DDADMM C[C@H](CN(C(=O)CCc1nn[n-]n1)[C@@H](C)CO)c1ccccc1 ZINC000633077129 422745511 /nfs/dbraw/zinc/74/55/11/422745511.db2.gz RKQHHEZSFFUPMT-OLZOCXBDSA-N -1 1 317.393 1.145 20 0 DDADMM O=C(CCc1cscn1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000586754379 354856720 /nfs/dbraw/zinc/85/67/20/354856720.db2.gz QVLZGSKAKLVHBP-ZDUSSCGKSA-N -1 1 314.374 1.495 20 0 DDADMM CCC[C@@H](NC(=O)c1cnn([C@H](C)CC)c1C)c1nn[n-]n1 ZINC000358826561 291045826 /nfs/dbraw/zinc/04/58/26/291045826.db2.gz LKZZCVSIGYWPTD-BXKDBHETSA-N -1 1 305.386 1.947 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc(F)cc3F)nc2n1 ZINC000588006859 354892717 /nfs/dbraw/zinc/89/27/17/354892717.db2.gz YMHBDWUXAUBMSC-UHFFFAOYSA-N -1 1 305.244 1.257 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3ccc(Cl)o3)nc2n1 ZINC000588743852 354932461 /nfs/dbraw/zinc/93/24/61/354932461.db2.gz ANUGEMROTLAVCQ-UHFFFAOYSA-N -1 1 321.724 1.291 20 0 DDADMM Cc1cnc(C(=O)NC(C)(C)C(=O)NCC2CCCC2)c([O-])c1 ZINC000332553188 235093064 /nfs/dbraw/zinc/09/30/64/235093064.db2.gz ILWFAYAQGBHRAL-UHFFFAOYSA-N -1 1 319.405 1.910 20 0 DDADMM COC(=O)[C@@H](C)c1cccc(NC(=O)C2=C([O-])C(C)N=N2)c1 ZINC000591093551 355247103 /nfs/dbraw/zinc/24/71/03/355247103.db2.gz WNSOWJDBUBKPHV-QMMMGPOBSA-N -1 1 303.318 1.953 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)C(=O)OC ZINC000592068056 355481436 /nfs/dbraw/zinc/48/14/36/355481436.db2.gz HFEQYOZOPFEFON-CYZMBNFOSA-N -1 1 321.439 1.309 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1nc(C)c(C)s1)C(=O)OC ZINC000592077031 355486304 /nfs/dbraw/zinc/48/63/04/355486304.db2.gz HSSWYTPFALXCHI-VIFPVBQESA-N -1 1 306.409 1.237 20 0 DDADMM Cn1[n-]c(CNC2(C(=O)OC(C)(C)C)CCCCCC2)nc1=O ZINC000593062538 355783721 /nfs/dbraw/zinc/78/37/21/355783721.db2.gz JSIITYQIGQKNEI-UHFFFAOYSA-N -1 1 324.425 1.633 20 0 DDADMM Cc1cc(=O)n(C)cc1[N-]S(=O)(=O)c1ccc(F)cc1F ZINC000080310424 192023670 /nfs/dbraw/zinc/02/36/70/192023670.db2.gz SNEGBSOOCRNBHR-UHFFFAOYSA-N -1 1 314.313 1.773 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](C)C2)c1 ZINC000360347002 306980686 /nfs/dbraw/zinc/98/06/86/306980686.db2.gz RBZYXSIQXRNHIL-NXEZZACHSA-N -1 1 301.364 1.781 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)Cc1cc(F)ccc1Cl)C(=O)OC ZINC000594762537 356310363 /nfs/dbraw/zinc/31/03/63/356310363.db2.gz HEGRONVHPLLOCQ-LLVKDONJSA-N -1 1 323.773 1.850 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@](C)(O)C(C)C)cc1C ZINC000595315962 356446400 /nfs/dbraw/zinc/44/64/00/356446400.db2.gz VMAJLFQIAFMIQY-CYBMUJFWSA-N -1 1 319.379 1.060 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]CC(C)(C)OC)cc1C ZINC000595316512 356446618 /nfs/dbraw/zinc/44/66/18/356446618.db2.gz HXNMJDJVYFYGTD-UHFFFAOYSA-N -1 1 305.352 1.078 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]CC(F)(F)C(C)(C)C ZINC000595383447 356473356 /nfs/dbraw/zinc/47/33/56/356473356.db2.gz NYLYLIXRNUMZMM-UHFFFAOYSA-N -1 1 301.355 1.540 20 0 DDADMM CC(C)c1ccc(-n2cc([O-])c(C(=O)N3CCNCC3)n2)cc1 ZINC000236859319 202040938 /nfs/dbraw/zinc/04/09/38/202040938.db2.gz YEULYMDYLIRPLA-UHFFFAOYSA-N -1 1 314.389 1.747 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H]2CCC[C@H]([S@](C)=O)C2)s[n-]1 ZINC000596372689 356866644 /nfs/dbraw/zinc/86/66/44/356866644.db2.gz MOYBYPYDPQMPGK-INQHHXQFSA-N -1 1 303.453 1.769 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2cncc([O-])c2)[C@@H]2CCCC[C@@H]12 ZINC000598173309 357483301 /nfs/dbraw/zinc/48/33/01/357483301.db2.gz BQPVXMFEVNRDSG-RRFJBIMHSA-N -1 1 318.373 1.981 20 0 DDADMM CC(C)(C)OC(=O)[C@@H](C(=O)N=c1ccc(O)n[n-]1)C(C)(C)C ZINC000598833584 357738959 /nfs/dbraw/zinc/73/89/59/357738959.db2.gz ZVNRHAKTCJKRKB-LLVKDONJSA-N -1 1 309.366 1.547 20 0 DDADMM Cc1c(C(=O)NCc2nn[n-]n2)cnn1-c1cccc(Cl)c1 ZINC000599335005 357899146 /nfs/dbraw/zinc/89/91/46/357899146.db2.gz YIHNQBNEGTWJTR-UHFFFAOYSA-N -1 1 317.740 1.277 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC(=O)C2CCCC2)c1 ZINC000599472948 357948795 /nfs/dbraw/zinc/94/87/95/357948795.db2.gz SRORWIZORQFEJO-UHFFFAOYSA-N -1 1 315.347 1.104 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)C23CC(C2)C3)n[n-]1 ZINC000599847702 358069096 /nfs/dbraw/zinc/06/90/96/358069096.db2.gz XXNUXIPLAGIHBG-NOEPWBJOSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)C23CC(C2)C3)[n-]1 ZINC000599847702 358069100 /nfs/dbraw/zinc/06/91/00/358069100.db2.gz XXNUXIPLAGIHBG-NOEPWBJOSA-N -1 1 320.393 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)C23CC(C2)C3)n1 ZINC000599847702 358069104 /nfs/dbraw/zinc/06/91/04/358069104.db2.gz XXNUXIPLAGIHBG-NOEPWBJOSA-N -1 1 320.393 1.985 20 0 DDADMM Cc1ccc(-c2ncc(C(=O)NCc3nn[n-]n3)s2)c(C)c1 ZINC000600493821 358239199 /nfs/dbraw/zinc/23/91/99/358239199.db2.gz DVFZOLUWEGBKEJ-UHFFFAOYSA-N -1 1 314.374 1.870 20 0 DDADMM CC(C)OCc1c2ccccc2oc1C(=O)NCc1nn[n-]n1 ZINC000600496105 358241005 /nfs/dbraw/zinc/24/10/05/358241005.db2.gz QQMDZGRMDBNVQE-UHFFFAOYSA-N -1 1 315.333 1.801 20 0 DDADMM O=C(CCc1ccccc1OC(F)(F)F)NCc1nn[n-]n1 ZINC000600496916 358241092 /nfs/dbraw/zinc/24/10/92/358241092.db2.gz HZCJACFBQZUUOJ-UHFFFAOYSA-N -1 1 315.255 1.347 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@@H]1C[C@@H]1c1ccc(Cl)cc1Cl ZINC000600505685 358243342 /nfs/dbraw/zinc/24/33/42/358243342.db2.gz QVRSPKSIQWUXDE-RKDXNWHRSA-N -1 1 312.160 1.926 20 0 DDADMM C[C@H](C(=O)NCC(=O)[O-])N1CCC(Cc2ccccc2)CC1 ZINC000237406243 202256487 /nfs/dbraw/zinc/25/64/87/202256487.db2.gz FZADJJIVVUZCJV-CYBMUJFWSA-N -1 1 304.390 1.530 20 0 DDADMM CSC[C@@](C)(O)CNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601209350 358449171 /nfs/dbraw/zinc/44/91/71/358449171.db2.gz AYSKHOSDXAVOFL-ZDUSSCGKSA-N -1 1 303.811 1.818 20 0 DDADMM CCOC(=O)C(CC)(CC)[N-]S(=O)(=O)c1cc(C)ns1 ZINC000601419348 358544575 /nfs/dbraw/zinc/54/45/75/358544575.db2.gz KOIMRBLNUIRABP-UHFFFAOYSA-N -1 1 320.436 1.852 20 0 DDADMM C[C@H](C[S@](C)=O)N(C)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601435226 358552242 /nfs/dbraw/zinc/55/22/42/358552242.db2.gz XQSAIVYGMUTLRK-HOGDKLEQSA-N -1 1 303.811 1.814 20 0 DDADMM CC[C@@H](C)C[C@@H]([N-]S(=O)(=O)CC1(OC)CCC1)C(=O)OC ZINC000601451454 358559336 /nfs/dbraw/zinc/55/93/36/358559336.db2.gz BRNFYDKABWBRRJ-VXGBXAGGSA-N -1 1 321.439 1.453 20 0 DDADMM COC(=O)[C@@H](CC(F)F)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601389620 358530793 /nfs/dbraw/zinc/53/07/93/358530793.db2.gz CGBXLHPWZFOEFU-SECBINFHSA-N -1 1 321.707 1.901 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)CC2CCC2)o1 ZINC000601514327 358584078 /nfs/dbraw/zinc/58/40/78/358584078.db2.gz XQQNYPZHXNWJRP-VIFPVBQESA-N -1 1 301.364 1.923 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H](SC)c1ccccc1 ZINC000601685739 358655250 /nfs/dbraw/zinc/65/52/50/358655250.db2.gz SGYRWAVDWBKBBJ-GFCCVEGCSA-N -1 1 318.402 1.542 20 0 DDADMM COC(=O)[C@@H](CC(C)C)[N-]S(=O)(=O)C[C@H]1CCCCO1 ZINC000348167868 283399262 /nfs/dbraw/zinc/39/92/62/283399262.db2.gz MPHDMXOAJATIFU-VXGBXAGGSA-N -1 1 307.412 1.063 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H]1[C@@H](C)[C@@H](C)O[C@H]1C ZINC000602300880 358920825 /nfs/dbraw/zinc/92/08/25/358920825.db2.gz QBQDCQDHSQJDAQ-WYFGTUCQSA-N -1 1 309.366 1.017 20 0 DDADMM O=C(NCc1nnc2n1CCCCC2)c1c(F)ccc([O-])c1F ZINC000280814833 215887915 /nfs/dbraw/zinc/88/79/15/215887915.db2.gz RQIFMLWKNHNPBR-UHFFFAOYSA-N -1 1 322.315 1.918 20 0 DDADMM CCCC[C@@H]([N-]S(=O)(=O)Cc1ccccc1F)C(=O)OC ZINC000186122004 199917897 /nfs/dbraw/zinc/91/78/97/199917897.db2.gz SXFKGWRKPRMAOE-CYBMUJFWSA-N -1 1 317.382 1.977 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(SC)CCC2)n[n-]1 ZINC000603155581 359441658 /nfs/dbraw/zinc/44/16/58/359441658.db2.gz OFJMDOKBNBADJI-QMMMGPOBSA-N -1 1 312.395 1.444 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(SC)CCC2)[n-]1 ZINC000603155581 359441662 /nfs/dbraw/zinc/44/16/62/359441662.db2.gz OFJMDOKBNBADJI-QMMMGPOBSA-N -1 1 312.395 1.444 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(SC)CCC2)n1 ZINC000603155581 359441666 /nfs/dbraw/zinc/44/16/66/359441666.db2.gz OFJMDOKBNBADJI-QMMMGPOBSA-N -1 1 312.395 1.444 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](C)C(F)(F)F)n[n-]1 ZINC000603157814 359444884 /nfs/dbraw/zinc/44/48/84/359444884.db2.gz WJNZWVHNJAPNPP-PHDIDXHHSA-N -1 1 308.260 1.357 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](C)C(F)(F)F)[n-]1 ZINC000603157814 359444886 /nfs/dbraw/zinc/44/48/86/359444886.db2.gz WJNZWVHNJAPNPP-PHDIDXHHSA-N -1 1 308.260 1.357 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](C)C(F)(F)F)n1 ZINC000603157814 359444889 /nfs/dbraw/zinc/44/48/89/359444889.db2.gz WJNZWVHNJAPNPP-PHDIDXHHSA-N -1 1 308.260 1.357 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(F)ccc2F)n[n-]1 ZINC000603164787 359449207 /nfs/dbraw/zinc/44/92/07/359449207.db2.gz KUPQSLJGULJOBO-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(F)ccc2F)[n-]1 ZINC000603164787 359449216 /nfs/dbraw/zinc/44/92/16/359449216.db2.gz KUPQSLJGULJOBO-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(F)ccc2F)n1 ZINC000603164787 359449218 /nfs/dbraw/zinc/44/92/18/359449218.db2.gz KUPQSLJGULJOBO-SSDOTTSWSA-N -1 1 324.287 1.751 20 0 DDADMM CC(C)OC(=O)[C@@H]([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2)C(C)C ZINC000603361951 359592862 /nfs/dbraw/zinc/59/28/62/359592862.db2.gz QCXKCQRYKNBHNI-LOWDOPEQSA-N -1 1 319.423 1.202 20 0 DDADMM CCOC(=O)c1c([N-]S(=O)(=O)C[C@@H](CC)OC)ccn1C ZINC000603418746 359628354 /nfs/dbraw/zinc/62/83/54/359628354.db2.gz VEGFCFSFUCACLE-SNVBAGLBSA-N -1 1 318.395 1.369 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-][C@@H](C)C(F)F)cc1 ZINC000603422189 359630628 /nfs/dbraw/zinc/63/06/28/359630628.db2.gz FCPLCTAHUBECIS-QMMMGPOBSA-N -1 1 307.318 1.546 20 0 DDADMM CCN(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000188446953 200248081 /nfs/dbraw/zinc/24/80/81/200248081.db2.gz FZIDOJXULPZDGQ-ZETCQYMHSA-N -1 1 324.324 1.249 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cccc(-c2noc(C3CC3)n2)c1 ZINC000608132672 360129594 /nfs/dbraw/zinc/12/95/94/360129594.db2.gz VBVOSKNPVWGLBZ-UHFFFAOYSA-N -1 1 311.305 1.057 20 0 DDADMM Cc1[nH][nH]c(=NC(=O)c2cn[n-]n2)c1-c1cccc(Cl)c1 ZINC000608469751 360185298 /nfs/dbraw/zinc/18/52/98/360185298.db2.gz LMQURLXMCQVGMH-UHFFFAOYSA-N -1 1 302.725 1.831 20 0 DDADMM CC[C@@H](C(=O)[O-])N1CCN(C(=O)c2c(F)cccc2F)CC1 ZINC000191413336 200691510 /nfs/dbraw/zinc/69/15/10/200691510.db2.gz WLSKKDIJLXXAJP-LBPRGKRZSA-N -1 1 312.316 1.586 20 0 DDADMM CC(=O)N1CCN(C(=O)c2ncc3ccccc3c2[O-])[C@H](C)C1 ZINC000612467893 361031718 /nfs/dbraw/zinc/03/17/18/361031718.db2.gz SQVZERYUOFXEFD-LLVKDONJSA-N -1 1 313.357 1.633 20 0 DDADMM CC(C)(C)n1nnc(CC(=O)Nc2ccc([O-])c(Cl)c2)n1 ZINC000612760092 361138093 /nfs/dbraw/zinc/13/80/93/361138093.db2.gz RFLIXGMBTUSIAM-UHFFFAOYSA-N -1 1 309.757 1.968 20 0 DDADMM C[C@@H]1CN(C(=O)c2cccc(-c3nc(=O)o[n-]3)c2)[C@@H](C)CN1C ZINC000613190973 361316503 /nfs/dbraw/zinc/31/65/03/361316503.db2.gz KRILOXBLYDXOJW-MNOVXSKESA-N -1 1 316.361 1.195 20 0 DDADMM COc1cccc(F)c1[N-]S(=O)(=O)c1cc(C)cnc1N ZINC000359090225 299325311 /nfs/dbraw/zinc/32/53/11/299325311.db2.gz SJPZGNWZDYNZIM-UHFFFAOYSA-N -1 1 311.338 1.921 20 0 DDADMM CN1C(=O)CN([N-]C(=O)c2cccc(C(F)(F)F)c2F)C1=O ZINC000271876103 209288053 /nfs/dbraw/zinc/28/80/53/209288053.db2.gz ZJGQASZHCKQMKB-UHFFFAOYSA-N -1 1 319.214 1.383 20 0 DDADMM C/C=C\C[C@H](CO)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000565949625 304106621 /nfs/dbraw/zinc/10/66/21/304106621.db2.gz UKDAPJLASLLOHQ-MXQLGCADSA-N -1 1 312.416 1.902 20 0 DDADMM CC(C)(C)c1nnc(S(=O)(=O)Cc2nc(C3CC3)no2)[n-]1 ZINC000195170333 201351633 /nfs/dbraw/zinc/35/16/33/201351633.db2.gz JCARRMWTLSXOOW-UHFFFAOYSA-N -1 1 311.367 1.337 20 0 DDADMM CC(C)(C)c1n[n-]c(S(=O)(=O)Cc2nc(C3CC3)no2)n1 ZINC000195170333 201351637 /nfs/dbraw/zinc/35/16/37/201351637.db2.gz JCARRMWTLSXOOW-UHFFFAOYSA-N -1 1 311.367 1.337 20 0 DDADMM CC(C)(C)c1nc(S(=O)(=O)Cc2nc(C3CC3)no2)n[n-]1 ZINC000195170333 201351641 /nfs/dbraw/zinc/35/16/41/201351641.db2.gz JCARRMWTLSXOOW-UHFFFAOYSA-N -1 1 311.367 1.337 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H](C)C1CC1 ZINC000195457583 201392481 /nfs/dbraw/zinc/39/24/81/201392481.db2.gz IDGBLRLFUVLRLD-SECBINFHSA-N -1 1 302.378 1.899 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](C(=O)NC(C)C)C2)c([O-])c1 ZINC000620399020 364399140 /nfs/dbraw/zinc/39/91/40/364399140.db2.gz KCNKFGQSSGPHLV-GFCCVEGCSA-N -1 1 305.378 1.472 20 0 DDADMM O=S(=O)(Cc1ccon1)[N-]C[C@@H](n1cccn1)C(F)(F)F ZINC000625331339 366854250 /nfs/dbraw/zinc/85/42/50/366854250.db2.gz REQYPBGHYCTWMJ-SECBINFHSA-N -1 1 324.284 1.094 20 0 DDADMM O=S(=O)([N-]CCCn1ccnc1)c1cc(F)c(F)cc1F ZINC000091212646 193020680 /nfs/dbraw/zinc/02/06/80/193020680.db2.gz BQWFUVGWDJDYPA-UHFFFAOYSA-N -1 1 319.308 1.669 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@@H](O)C(F)(F)C3)cnc2n1 ZINC000622144646 365289520 /nfs/dbraw/zinc/28/95/20/365289520.db2.gz SHSPOZRDBVMYON-LLVKDONJSA-N -1 1 323.299 1.486 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](O)C(F)(F)C3)c[n-]c2n1 ZINC000622144646 365289525 /nfs/dbraw/zinc/28/95/25/365289525.db2.gz SHSPOZRDBVMYON-LLVKDONJSA-N -1 1 323.299 1.486 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@]1(C)NC(=O)c1ncc(C)cc1[O-] ZINC000428030296 291351135 /nfs/dbraw/zinc/35/11/35/291351135.db2.gz HRSARJBDOCOLEN-ZBEGNZNMSA-N -1 1 306.362 1.947 20 0 DDADMM C[C@H](CN1CCOCC1)N(C)C(=O)c1c(F)ccc([O-])c1F ZINC000622566505 365439807 /nfs/dbraw/zinc/43/98/07/365439807.db2.gz PJWVUJUNEWVUCL-SNVBAGLBSA-N -1 1 314.332 1.463 20 0 DDADMM O=C(CC[C@@H]1CCCO1)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622609516 365450169 /nfs/dbraw/zinc/45/01/69/365450169.db2.gz BFWVFMGAWXLMKY-GXTWGEPZSA-N -1 1 301.350 1.365 20 0 DDADMM COCCS(=O)(=O)[N-][C@@H](CCc1ccccc1)C(F)F ZINC000625422837 366915846 /nfs/dbraw/zinc/91/58/46/366915846.db2.gz JUQFJEPRRVXZGA-LBPRGKRZSA-N -1 1 307.362 1.819 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)N=c1nc(C(C)(C)C)[n-]s1 ZINC000579439475 422768420 /nfs/dbraw/zinc/76/84/20/422768420.db2.gz GCLAWKDBCLLHMD-SNVBAGLBSA-N -1 1 311.455 1.815 20 0 DDADMM CN1CCOC[C@@H]1C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000625515428 366979398 /nfs/dbraw/zinc/97/93/98/366979398.db2.gz DAXFRFBVJNJLTA-JTQLQIEISA-N -1 1 322.789 1.088 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1(C)C ZINC000440328580 229784341 /nfs/dbraw/zinc/78/43/41/229784341.db2.gz LLMKPNOOTXRNBC-VXGBXAGGSA-N -1 1 301.346 1.466 20 0 DDADMM COC(=O)c1c(C)oc(C)c1S(=O)(=O)[N-]C1(C(F)F)CC1 ZINC000349660523 284003328 /nfs/dbraw/zinc/00/33/28/284003328.db2.gz CFJVJOPPVUUHTD-UHFFFAOYSA-N -1 1 323.317 1.759 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC1(C)CCC(C)CC1 ZINC000349670246 284009808 /nfs/dbraw/zinc/00/98/08/284009808.db2.gz UVOTZKSDFAZAGH-UHFFFAOYSA-N -1 1 301.412 1.890 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(C)CCC(C)CC1 ZINC000349670246 284009810 /nfs/dbraw/zinc/00/98/10/284009810.db2.gz UVOTZKSDFAZAGH-UHFFFAOYSA-N -1 1 301.412 1.890 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1CCC[C@@H](C(C)C)C1 ZINC000349717090 284028318 /nfs/dbraw/zinc/02/83/18/284028318.db2.gz CFYKBALUPJANAY-MNOVXSKESA-N -1 1 301.412 1.889 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCC[C@@H](C(C)C)C1 ZINC000349717090 284028321 /nfs/dbraw/zinc/02/83/21/284028321.db2.gz CFYKBALUPJANAY-MNOVXSKESA-N -1 1 301.412 1.889 20 0 DDADMM CCc1ncc(S(=O)(=O)[N-]CC[C@@H](O)C(F)(F)F)s1 ZINC000349962679 284120895 /nfs/dbraw/zinc/12/08/95/284120895.db2.gz CDYGCQQBOBTRFX-ZCFIWIBFSA-N -1 1 318.342 1.297 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(C(=O)c1ccc3[nH]nnc3c1)CC2 ZINC000262250834 203241116 /nfs/dbraw/zinc/24/11/16/203241116.db2.gz DJIYNECVCLZXGF-SNVBAGLBSA-N -1 1 300.318 1.285 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(C(=O)c1cc(-c3ccco3)[nH]n1)CC2 ZINC000262430630 203292629 /nfs/dbraw/zinc/29/26/29/203292629.db2.gz MGTGYELWPHTSJJ-SNVBAGLBSA-N -1 1 315.329 1.997 20 0 DDADMM Cn1ccc(CS(=O)(=O)c2n[n-]c(CCC3CCCC3)n2)n1 ZINC000350596879 284219059 /nfs/dbraw/zinc/21/90/59/284219059.db2.gz IFSWCOPQUCVAJA-UHFFFAOYSA-N -1 1 323.422 1.635 20 0 DDADMM CCN(C(=O)c1ccc(Cl)cc1[O-])[C@H](C)CS(C)(=O)=O ZINC000112615180 284256415 /nfs/dbraw/zinc/25/64/15/284256415.db2.gz FVEKDEGAWHTCHN-SECBINFHSA-N -1 1 319.810 1.941 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)c2ccsc2)c(F)c1 ZINC000350828489 284266955 /nfs/dbraw/zinc/26/69/55/284266955.db2.gz GUZSJYGBSAXXEM-UHFFFAOYSA-N -1 1 300.336 1.787 20 0 DDADMM CCc1nc(SC[C@H](C)CS(C)(=O)=O)[n-]c(=O)c1C ZINC000566498071 304164394 /nfs/dbraw/zinc/16/43/94/304164394.db2.gz AJVJLMLUJOSTDC-QMMMGPOBSA-N -1 1 304.437 1.826 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2c(C)nn(C)c2C)c1 ZINC000282703605 217189423 /nfs/dbraw/zinc/18/94/23/217189423.db2.gz FYLWRQMSKYGGGF-UHFFFAOYSA-N -1 1 303.318 1.781 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(F)cccc1F)c1cnn(C)c1 ZINC000266182211 205163999 /nfs/dbraw/zinc/16/39/99/205163999.db2.gz LMAHIYJYHJTYIN-QMMMGPOBSA-N -1 1 301.318 1.738 20 0 DDADMM CCc1ccc([C@@H](COC)[N-]S(=O)(=O)c2cn(C)cn2)o1 ZINC000266223302 205194361 /nfs/dbraw/zinc/19/43/61/205194361.db2.gz SJOXMQOQAKNZHQ-LLVKDONJSA-N -1 1 313.379 1.242 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@]2(C)CCC(=O)NC2)c1 ZINC000282834973 217282575 /nfs/dbraw/zinc/28/25/75/217282575.db2.gz QWZSTBWAKWSYAH-OAHLLOKOSA-N -1 1 306.318 1.034 20 0 DDADMM CC[C@@H](C(=O)Nc1cc(C(=O)OC)ccc1[O-])N1CCCC1=O ZINC000282884544 217299922 /nfs/dbraw/zinc/29/99/22/217299922.db2.gz VOZMSVDQBMIEFX-LBPRGKRZSA-N -1 1 320.345 1.518 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cc(O)c(F)cc1F)C1CC1 ZINC000566679504 304175615 /nfs/dbraw/zinc/17/56/15/304175615.db2.gz WFVSTOHHGIBOBS-LBPRGKRZSA-N -1 1 307.318 1.837 20 0 DDADMM CCc1c(C(=O)NC2(c3nn[n-]n3)CC2)cnn1C1CCCC1 ZINC000566831323 304183516 /nfs/dbraw/zinc/18/35/16/304183516.db2.gz ZCJUOYLCOLLODU-UHFFFAOYSA-N -1 1 315.381 1.493 20 0 DDADMM CCC[C@H](NC(=O)c1cccc(NC(=O)OC)c1)c1nn[n-]n1 ZINC000267549158 206138144 /nfs/dbraw/zinc/13/81/44/206138144.db2.gz CGPSXWUFYNUPNH-NSHDSACASA-N -1 1 318.337 1.649 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc(C)c(OC)c1)c1nn[n-]n1 ZINC000267550634 206138807 /nfs/dbraw/zinc/13/88/07/206138807.db2.gz CTKQWXDIFINBDI-GFCCVEGCSA-N -1 1 303.366 1.717 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC(=O)OC(C)(C)C)c(F)c1 ZINC000425214772 307302077 /nfs/dbraw/zinc/30/20/77/307302077.db2.gz GMOVETAZOGEBHQ-UHFFFAOYSA-N -1 1 321.345 1.893 20 0 DDADMM O=C([O-])Cn1cc(CN2CCC[C@@H]2CCc2ccccc2)nn1 ZINC000567241641 304206636 /nfs/dbraw/zinc/20/66/36/304206636.db2.gz CJUZYJLUGPBLCV-MRXNPFEDSA-N -1 1 314.389 1.960 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cccc(C(C)C)n1 ZINC000337147902 249365330 /nfs/dbraw/zinc/36/53/30/249365330.db2.gz JCQUXHGXATVMDG-UHFFFAOYSA-N -1 1 301.350 1.270 20 0 DDADMM CCCCn1ncc(C(=O)N=c2[nH][n-]c(C)c2C(=O)NC)c1C ZINC000337163215 249371645 /nfs/dbraw/zinc/37/16/45/249371645.db2.gz VWZCIZSMVKVQOJ-UHFFFAOYSA-N -1 1 318.381 1.057 20 0 DDADMM COC[C@H](CCO)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284400828 218011862 /nfs/dbraw/zinc/01/18/62/218011862.db2.gz TYGNGLLSHMTHCL-VIFPVBQESA-N -1 1 311.762 1.155 20 0 DDADMM O=c1cc(C=Cc2c[nH]c(-c3ccccc3)n2)nc2nc[n-]n21 ZINC000352024916 284719983 /nfs/dbraw/zinc/71/99/83/284719983.db2.gz AAIPOXMTCUYTIY-SREVYHEPSA-N -1 1 304.313 1.978 20 0 DDADMM CN1CCCN(C(=O)c2nn(-c3cccc(F)c3)cc2[O-])CC1 ZINC000284478108 218044107 /nfs/dbraw/zinc/04/41/07/218044107.db2.gz XAFUEKUQVYSXRX-UHFFFAOYSA-N -1 1 318.352 1.495 20 0 DDADMM CCOc1cc(C(=O)N[C@@H]2CC(=O)N(C)C2)cc(Cl)c1[O-] ZINC000273971386 211227675 /nfs/dbraw/zinc/22/76/75/211227675.db2.gz RNAFNTOQNREGMG-SECBINFHSA-N -1 1 312.753 1.405 20 0 DDADMM O=c1cc(/C=C/c2nccn2Cc2ccccc2)nc2nc[n-]n21 ZINC000352036142 284727938 /nfs/dbraw/zinc/72/79/38/284727938.db2.gz WNAHVQRHMGVLGT-VOTSOKGWSA-N -1 1 318.340 1.833 20 0 DDADMM O=C(NC[C@@H](O)COc1ccc(F)c(F)c1)c1ncccc1[O-] ZINC000104933008 194053293 /nfs/dbraw/zinc/05/32/93/194053293.db2.gz VTUSPRGFZVPFKK-SECBINFHSA-N -1 1 324.283 1.235 20 0 DDADMM CCOc1cc(C(=O)NCc2nnc(C)n2C)cc(Cl)c1[O-] ZINC000273920864 211175875 /nfs/dbraw/zinc/17/58/75/211175875.db2.gz FOUKKFARFSJBAD-UHFFFAOYSA-N -1 1 324.768 1.811 20 0 DDADMM O=C(N1CCc2ccccc21)N1CCC(c2nc(=O)[n-][nH]2)CC1 ZINC000284698700 218138329 /nfs/dbraw/zinc/13/83/29/218138329.db2.gz JPUPRKHTWKJLKW-UHFFFAOYSA-N -1 1 313.361 1.460 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1sccc1F)CN1CCOCC1 ZINC000338898188 250188197 /nfs/dbraw/zinc/18/81/97/250188197.db2.gz HORKAZVUCHLGSC-JTQLQIEISA-N -1 1 322.427 1.134 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(C)nc2OCC)n1 ZINC000339169125 250326230 /nfs/dbraw/zinc/32/62/30/250326230.db2.gz MKCIUMCSOWZKHN-UHFFFAOYSA-N -1 1 318.333 1.941 20 0 DDADMM CCC[C@@]1([N-]S(=O)(=O)c2ncn(C)c2Cl)CCOC1 ZINC000285278042 218363061 /nfs/dbraw/zinc/36/30/61/218363061.db2.gz JETYLLMZDOOBAZ-LLVKDONJSA-N -1 1 307.803 1.311 20 0 DDADMM CCO[C@H]1C[C@H](N(C)C(=O)CCc2nn[n-]n2)C12CCCCC2 ZINC000631496214 422799655 /nfs/dbraw/zinc/79/96/55/422799655.db2.gz BAJURSHZKHCHEP-STQMWFEESA-N -1 1 321.425 1.719 20 0 DDADMM COC(=O)CCc1nnc([N-]C(=O)c2ncoc2C2CC2)s1 ZINC000340537157 251103391 /nfs/dbraw/zinc/10/33/91/251103391.db2.gz KUAGNRDUSZSTJU-UHFFFAOYSA-N -1 1 322.346 1.761 20 0 DDADMM Cc1nc(-c2ccc(N3CCNC(=O)[C@H]3C)nc2)[n-]c(=O)c1C ZINC000340754674 251194062 /nfs/dbraw/zinc/19/40/62/251194062.db2.gz PCNQOTACEPADSM-LLVKDONJSA-N -1 1 313.361 1.186 20 0 DDADMM O=C([O-])c1cc(S(=O)(=O)Nc2cc(F)c(F)c(F)c2)c[nH]1 ZINC000061117794 184162080 /nfs/dbraw/zinc/16/20/80/184162080.db2.gz XYACWGBPXYNQBW-UHFFFAOYSA-N -1 1 320.248 1.931 20 0 DDADMM C/C=C/C[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OCC ZINC000340922999 251279358 /nfs/dbraw/zinc/27/93/58/251279358.db2.gz GWXXUNYNVIQAFS-HYYFJVDXSA-N -1 1 317.329 1.756 20 0 DDADMM COC[C@@H](Nc1nc2[nH][n-]cc-2c(=O)n1)c1cccc(Cl)c1 ZINC000341131580 251399112 /nfs/dbraw/zinc/39/91/12/251399112.db2.gz VBJKYOSKSKZWKB-LLVKDONJSA-N -1 1 319.752 1.885 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@H](C)[C@H](C)CO)c(=O)[n-]1 ZINC000269101522 207241402 /nfs/dbraw/zinc/24/14/02/207241402.db2.gz IAKAVQWNGYIZGW-RKDXNWHRSA-N -1 1 313.423 1.278 20 0 DDADMM C[C@H](CNC(=O)c1c[n-]c2c(cnn2C)c1=O)CC(F)(F)F ZINC000412676514 224066128 /nfs/dbraw/zinc/06/61/28/224066128.db2.gz MNRGUBRQEPSPDP-ZETCQYMHSA-N -1 1 316.283 1.992 20 0 DDADMM Cc1nc([C@@](C)([N-]S(=O)(=O)c2cscn2)C2CC2)no1 ZINC000567774603 304242193 /nfs/dbraw/zinc/24/21/93/304242193.db2.gz OJRHXKQVPKLPLM-NSHDSACASA-N -1 1 314.392 1.438 20 0 DDADMM O=C(Nc1ccc2ncccc2c1)c1cc(=O)n2[n-]cnc2n1 ZINC000352436886 285049495 /nfs/dbraw/zinc/04/94/95/285049495.db2.gz ABEIKRZVVGIBBQ-UHFFFAOYSA-N -1 1 306.285 1.218 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H](O)CC(C)(C)C)c1 ZINC000269984798 208036947 /nfs/dbraw/zinc/03/69/47/208036947.db2.gz DONQMFHUDYHZAF-SNVBAGLBSA-N -1 1 319.379 1.142 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H](C)c2ccccc2)co1 ZINC000270139591 208200255 /nfs/dbraw/zinc/20/02/55/208200255.db2.gz RJGGUYKYGDZIHJ-LLVKDONJSA-N -1 1 322.386 1.721 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)C1CC1)c1cc(F)c(F)cc1F ZINC000352559964 285141080 /nfs/dbraw/zinc/14/10/80/285141080.db2.gz MCNXMFCJPDOYSA-MRVPVSSYSA-N -1 1 309.309 1.401 20 0 DDADMM NC(=O)CCC1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000288708882 220362518 /nfs/dbraw/zinc/36/25/18/220362518.db2.gz ZZXMZIQFYFARFX-UHFFFAOYSA-N -1 1 304.346 1.079 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCCC[C@H]2C)co1 ZINC000158286587 197259949 /nfs/dbraw/zinc/25/99/49/197259949.db2.gz GPVDIZUWRKSBOJ-MWLCHTKSSA-N -1 1 300.380 1.496 20 0 DDADMM CCOC(=O)[C@H](C)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000158088164 197242436 /nfs/dbraw/zinc/24/24/36/197242436.db2.gz SBGOFOUTMVCGPM-MRVPVSSYSA-N -1 1 307.318 1.442 20 0 DDADMM C[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)n1cnc2ccccc21 ZINC000156563533 197124062 /nfs/dbraw/zinc/12/40/62/197124062.db2.gz UEYZNMFMSWPQRN-WDEREUQCSA-N -1 1 313.365 1.373 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCCCC2(C)C)co1 ZINC000156320031 197104693 /nfs/dbraw/zinc/10/46/93/197104693.db2.gz WYUQCTGQVQJZEH-LLVKDONJSA-N -1 1 314.407 1.886 20 0 DDADMM O=C([N-]c1n[nH]c(-c2ccccn2)n1)c1snnc1C1CC1 ZINC000352851517 285335896 /nfs/dbraw/zinc/33/58/96/285335896.db2.gz ZLNHDBXBUWFGHR-UHFFFAOYSA-N -1 1 313.346 1.848 20 0 DDADMM CO[C@@H](C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O)C1CC1 ZINC000298139611 533321857 /nfs/dbraw/zinc/32/18/57/533321857.db2.gz CAUIFINERPSMLT-GFCCVEGCSA-N -1 1 316.354 1.561 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc2cc(F)ccc2o1)[C@H](C)CO ZINC000451230214 533480944 /nfs/dbraw/zinc/48/09/44/533480944.db2.gz NOYWZDRRDVMREA-RKDXNWHRSA-N -1 1 301.339 1.867 20 0 DDADMM COC(=O)c1[n-]c(=N[C@H](CO)Cc2ccc(F)cc2)sc1C ZINC000433771885 533646021 /nfs/dbraw/zinc/64/60/21/533646021.db2.gz WCDUXQAHKAHABM-LBPRGKRZSA-N -1 1 324.377 1.815 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C2CCC2)o1 ZINC000451874846 292016550 /nfs/dbraw/zinc/01/65/50/292016550.db2.gz ZAWHJYWQTUUAHG-UHFFFAOYSA-N -1 1 301.364 1.923 20 0 DDADMM Cc1n[nH]c(C)c1[C@H](C)CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000568706434 304306802 /nfs/dbraw/zinc/30/68/02/304306802.db2.gz YDRCJLFXVYENIS-RKDXNWHRSA-N -1 1 305.386 1.295 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccnc(C(=O)N(C)C)c2)cc1 ZINC000077888543 407014256 /nfs/dbraw/zinc/01/42/56/407014256.db2.gz QQSQFPNSBOEGOE-UHFFFAOYSA-N -1 1 319.386 1.893 20 0 DDADMM C[C@@H](C(=O)Nc1ccccc1Cl)S(=O)(=O)c1ncn[n-]1 ZINC000086169879 407109584 /nfs/dbraw/zinc/10/95/84/407109584.db2.gz JBHITSFFAGDXGD-ZETCQYMHSA-N -1 1 314.754 1.259 20 0 DDADMM C[C@@H](C(=O)Nc1ccccc1Cl)S(=O)(=O)c1nc[n-]n1 ZINC000086169879 407109586 /nfs/dbraw/zinc/10/95/86/407109586.db2.gz JBHITSFFAGDXGD-ZETCQYMHSA-N -1 1 314.754 1.259 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)N(C)[C@@H](C)CC(C)C)n[n-]1 ZINC000086170249 407109814 /nfs/dbraw/zinc/10/98/14/407109814.db2.gz KRPCNLJFRXZYKK-JTQLQIEISA-N -1 1 316.427 1.034 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(Br)ccc2[O-])C[C@@H]1O ZINC000089423917 407133583 /nfs/dbraw/zinc/13/35/83/407133583.db2.gz PNAIAFWCCMXUDG-PELKAZGASA-N -1 1 314.179 1.998 20 0 DDADMM CCc1onc(C)c1[N-]C(=O)c1nc2nc(C)cc(C)n2n1 ZINC000089396227 407133802 /nfs/dbraw/zinc/13/38/02/407133802.db2.gz FDYZCHNMHORLKU-UHFFFAOYSA-N -1 1 300.322 1.852 20 0 DDADMM Cc1cc(CCC(=O)[N-]OCC(N)=O)ccc1Br ZINC000089462056 407135541 /nfs/dbraw/zinc/13/55/41/407135541.db2.gz RHLFUQBRTPKGKL-UHFFFAOYSA-N -1 1 315.167 1.223 20 0 DDADMM CCCN(CCC)C(=O)c1cccc(C(=O)Nc2nnn[n-]2)c1 ZINC000103189874 407333284 /nfs/dbraw/zinc/33/32/84/407333284.db2.gz QEDIUUNMWSCDIW-UHFFFAOYSA-N -1 1 316.365 1.714 20 0 DDADMM CCCN(CCC)C(=O)c1cccc(C(=O)Nc2nn[n-]n2)c1 ZINC000103189874 407333285 /nfs/dbraw/zinc/33/32/85/407333285.db2.gz QEDIUUNMWSCDIW-UHFFFAOYSA-N -1 1 316.365 1.714 20 0 DDADMM CC[N@H+](CC[N-]S(=O)(=O)c1c(F)cccc1F)C1CC1 ZINC000102183730 407320772 /nfs/dbraw/zinc/32/07/72/407320772.db2.gz FSZCZJIIAKOYNT-UHFFFAOYSA-N -1 1 304.362 1.727 20 0 DDADMM CCOC(=O)C(C)(C)[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC000066937864 407263873 /nfs/dbraw/zinc/26/38/73/407263873.db2.gz PJMWQZSJPHGRMX-UHFFFAOYSA-N -1 1 323.802 1.002 20 0 DDADMM CC(C)c1ncc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000096485686 407271853 /nfs/dbraw/zinc/27/18/53/407271853.db2.gz IJTJOMHHUXFHHJ-VIFPVBQESA-N -1 1 306.395 1.799 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCCC[C@H]1C ZINC000103938556 407344443 /nfs/dbraw/zinc/34/44/43/407344443.db2.gz BDWCJVOCGOGCME-QMTHXVAHSA-N -1 1 316.405 1.877 20 0 DDADMM CCCCOC(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000106827700 407361978 /nfs/dbraw/zinc/36/19/78/407361978.db2.gz LHHDCERAPKHDKN-UHFFFAOYSA-N -1 1 302.330 1.601 20 0 DDADMM CCC(CC)n1nccc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000124605644 407363626 /nfs/dbraw/zinc/36/36/26/407363626.db2.gz VSDCJQOIWVQJNN-JTQLQIEISA-N -1 1 305.386 1.633 20 0 DDADMM CC[C@@H](C)[C@](C)(O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000112385804 407428374 /nfs/dbraw/zinc/42/83/74/407428374.db2.gz GEKBNWJDZBXOCV-QLJPJBMISA-N -1 1 303.362 1.450 20 0 DDADMM COCC[C@](C)(O)CNC(=O)c1c[nH]c2c(C)cccc2c1=O ZINC000185678784 407474707 /nfs/dbraw/zinc/47/47/07/407474707.db2.gz JSZIGYXTVZMOHC-KRWDZBQOSA-N -1 1 318.373 1.766 20 0 DDADMM O=C(COc1ccc(C(=O)c2ccccc2)cc1)Nc1nnn[n-]1 ZINC000170852193 407530702 /nfs/dbraw/zinc/53/07/02/407530702.db2.gz FWFPOVCPBQZGSH-UHFFFAOYSA-N -1 1 323.312 1.448 20 0 DDADMM O=C(COc1ccc(C(=O)c2ccccc2)cc1)Nc1nn[n-]n1 ZINC000170852193 407530705 /nfs/dbraw/zinc/53/07/05/407530705.db2.gz FWFPOVCPBQZGSH-UHFFFAOYSA-N -1 1 323.312 1.448 20 0 DDADMM O=C(N[C@H]1CCCN(CC(F)(F)F)C1=O)c1cncc([O-])c1 ZINC000178678744 407542090 /nfs/dbraw/zinc/54/20/90/407542090.db2.gz LZDWMPWMYIUODN-JTQLQIEISA-N -1 1 317.267 1.070 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](OC)C(C)(C)C)o1 ZINC000266712543 407608583 /nfs/dbraw/zinc/60/85/83/407608583.db2.gz HUXNPDDXIBTHDR-JTQLQIEISA-N -1 1 319.379 1.406 20 0 DDADMM O=C(c1cc2c(s1)CCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129440645 407608626 /nfs/dbraw/zinc/60/86/26/407608626.db2.gz DOBKSNFYWNTSNC-JTQLQIEISA-N -1 1 303.391 1.770 20 0 DDADMM O=C(COCCc1ccccc1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129459270 407613658 /nfs/dbraw/zinc/61/36/58/407613658.db2.gz RYDIVGSPHYOYTJ-CQSZACIVSA-N -1 1 315.377 1.165 20 0 DDADMM COC[C@@H](CNC(=O)c1cc(Br)ccc1[O-])OC ZINC000227699890 407639040 /nfs/dbraw/zinc/63/90/40/407639040.db2.gz OZHMGRSHWSEYJX-SECBINFHSA-N -1 1 318.167 1.546 20 0 DDADMM COC(=O)C[C@H]1CSCCN1C(=O)c1cc(F)ccc1[O-] ZINC000271402809 407670096 /nfs/dbraw/zinc/67/00/96/407670096.db2.gz NWYOTXWCUPCZBN-JTQLQIEISA-N -1 1 313.350 1.652 20 0 DDADMM O=C(NC1Cc2ccccc2C1)c1nc2ccccc2c(=O)[n-]1 ZINC000186538562 407696205 /nfs/dbraw/zinc/69/62/05/407696205.db2.gz QHWGJPHIDPFPPD-UHFFFAOYSA-N -1 1 305.337 1.820 20 0 DDADMM O=C(NC1COC1)c1cc(I)ccc1[O-] ZINC000236569236 407726696 /nfs/dbraw/zinc/72/66/96/407726696.db2.gz YVKMGKKBZUKFLZ-UHFFFAOYSA-N -1 1 319.098 1.125 20 0 DDADMM CC(C)NC(=O)[C@H]1CCC[C@@H](NC(=O)c2cncc([O-])c2)C1 ZINC000116453932 407757981 /nfs/dbraw/zinc/75/79/81/407757981.db2.gz JWHNVYPWKYSBBQ-WCQYABFASA-N -1 1 305.378 1.600 20 0 DDADMM CCC[C@H](NCC(=O)Nc1cccc(C(=O)NCC)c1)C(=O)[O-] ZINC000262301802 407790156 /nfs/dbraw/zinc/79/01/56/407790156.db2.gz QIQHYIVNKNXLFQ-ZDUSSCGKSA-N -1 1 321.377 1.218 20 0 DDADMM C[C@H](c1ccncc1)N(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000179460388 407792180 /nfs/dbraw/zinc/79/21/80/407792180.db2.gz IBJFECIAPNBLJE-SNVBAGLBSA-N -1 1 311.345 1.902 20 0 DDADMM CC[C@H](SC)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000272134475 407788369 /nfs/dbraw/zinc/78/83/69/407788369.db2.gz NKEVSIYGMITXGF-JTQLQIEISA-N -1 1 304.393 1.120 20 0 DDADMM CN(C(=O)OC(C)(C)C)[C@@H]1CCN(C(=O)c2cncc([O-])c2)C1 ZINC000267297199 407824685 /nfs/dbraw/zinc/82/46/85/407824685.db2.gz DYWBXKIDQUYIEB-GFCCVEGCSA-N -1 1 321.377 1.869 20 0 DDADMM CCCCNC(=O)[C@@H]1CC[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC000153661958 407871150 /nfs/dbraw/zinc/87/11/50/407871150.db2.gz XCWQFHMYBCDNDS-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM COCc1cccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000262650346 407891813 /nfs/dbraw/zinc/89/18/13/407891813.db2.gz JHKXOWWYQATLCK-OAHLLOKOSA-N -1 1 320.389 1.246 20 0 DDADMM C[C@H](Cc1c(F)cccc1F)NC(=O)CN(C)CCC(=O)[O-] ZINC000262688282 407900077 /nfs/dbraw/zinc/90/00/77/407900077.db2.gz YSONXZCHUIFUCS-SNVBAGLBSA-N -1 1 314.332 1.419 20 0 DDADMM COc1cc(C(=O)NCC[C@H](C)[S@](C)=O)cc(Cl)c1[O-] ZINC000154008493 407952037 /nfs/dbraw/zinc/95/20/37/407952037.db2.gz IWJGJXGOYJLYIF-FFVOIRBGSA-N -1 1 319.810 1.941 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)NCc2ccc3cncn3c2)C1 ZINC000263104629 408025897 /nfs/dbraw/zinc/02/58/97/408025897.db2.gz BFDAJGJIRHTJEK-DGCLKSJQSA-N -1 1 316.361 1.587 20 0 DDADMM Cc1ccccc1CCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119114823 407996278 /nfs/dbraw/zinc/99/62/78/407996278.db2.gz VPONYDZPJFKFLN-UHFFFAOYSA-N -1 1 310.357 1.955 20 0 DDADMM Cc1ccc(-c2[nH]nc([N-]S(=O)(=O)c3c[nH]cn3)c2C)o1 ZINC000135406719 408013548 /nfs/dbraw/zinc/01/35/48/408013548.db2.gz OVPGZPHHJLAHSX-UHFFFAOYSA-N -1 1 307.335 1.810 20 0 DDADMM CCC[C@@H](NC(=O)[C@H](C)COc1ccccc1)c1nn[n-]n1 ZINC000154596886 408057666 /nfs/dbraw/zinc/05/76/66/408057666.db2.gz CVMHZZFEWMXLAU-DGCLKSJQSA-N -1 1 303.366 1.872 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCOCC13CCC3)c2=O ZINC000182290371 408117560 /nfs/dbraw/zinc/11/75/60/408117560.db2.gz NQOKKOIGFUEHQT-UHFFFAOYSA-N -1 1 302.334 1.069 20 0 DDADMM CCc1cc(C(=O)N(C)[C@@H](Cc2ccccc2)C(=O)[O-])n[nH]1 ZINC000263501665 408158955 /nfs/dbraw/zinc/15/89/55/408158955.db2.gz ZDPFMQBLSYJGQG-AWEZNQCLSA-N -1 1 301.346 1.740 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc(-n2cnnc2)cc1 ZINC000122122063 408255111 /nfs/dbraw/zinc/25/51/11/408255111.db2.gz JPDASLCFIQKCKV-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM CCCOCc1ccccc1CNC(=O)CN(C)CCC(=O)[O-] ZINC000269308768 408262066 /nfs/dbraw/zinc/26/20/66/408262066.db2.gz VMORAUXMTFISBE-UHFFFAOYSA-N -1 1 322.405 1.636 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@H]1C[C@@]12CCc1ccccc12 ZINC000176256510 408324895 /nfs/dbraw/zinc/32/48/95/408324895.db2.gz BLXLDJUOVPRTLW-CXAGYDPISA-N -1 1 312.373 1.051 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N(C[C@@H]1CCOC1)C1CC1)c2=O ZINC000132619107 162041102 /nfs/dbraw/zinc/04/11/02/162041102.db2.gz RISIKOVHRFDENI-JTQLQIEISA-N -1 1 316.361 1.315 20 0 DDADMM CCSCC[C@@H](C)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000132787324 162044130 /nfs/dbraw/zinc/04/41/30/162044130.db2.gz SWUIQTIZZNEQKF-SECBINFHSA-N -1 1 308.407 1.935 20 0 DDADMM O=S(=O)([N-][C@@H]1C=C[C@H](CO)C1)c1ccc(F)c(F)c1F ZINC000269854169 408449137 /nfs/dbraw/zinc/44/91/37/408449137.db2.gz RHSYUFKTBMRFAP-JGVFFNPUSA-N -1 1 307.293 1.319 20 0 DDADMM C[C@H](CN(C)C(=O)C1C2CC3CC(C2)CC1C3)c1nn[n-]n1 ZINC000183427374 408407756 /nfs/dbraw/zinc/40/77/56/408407756.db2.gz CEGWHSSPTUKZTK-UIBFTYAWSA-N -1 1 303.410 1.834 20 0 DDADMM CCC[C@H](NC(=O)[C@H](C)Oc1cccc(C)c1)c1nn[n-]n1 ZINC000176752143 408433165 /nfs/dbraw/zinc/43/31/65/408433165.db2.gz YQNYDHZSGLLKKG-AAEUAGOBSA-N -1 1 303.366 1.933 20 0 DDADMM CCCc1noc(CCCC(=O)N[C@H](CCC)c2nn[n-]n2)n1 ZINC000176761743 408435157 /nfs/dbraw/zinc/43/51/57/408435157.db2.gz FKOTXINCGKKILY-SNVBAGLBSA-N -1 1 321.385 1.516 20 0 DDADMM CCc1nn(C)c(Cl)c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000274731441 408511260 /nfs/dbraw/zinc/51/12/60/408511260.db2.gz CTEDUNZEBIKMQU-ZETCQYMHSA-N -1 1 311.777 1.025 20 0 DDADMM CCc1nc([C@H](C)NS(=O)(=O)c2cc(F)cc(F)c2)n[nH]1 ZINC000270561367 408579570 /nfs/dbraw/zinc/57/95/70/408579570.db2.gz JWOPMOYSGNLJEV-ZETCQYMHSA-N -1 1 316.333 1.685 20 0 DDADMM COC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)c1ccc([O-])cc1F ZINC000249270555 408709807 /nfs/dbraw/zinc/70/98/07/408709807.db2.gz JASORUOQCCZKEE-PXWWUCIGSA-N -1 1 307.321 1.945 20 0 DDADMM CCC[C@@H](N[C@H]1CCN(c2ccccc2OC)C1=O)C(=O)[O-] ZINC000185028267 408740722 /nfs/dbraw/zinc/74/07/22/408740722.db2.gz UKRFVVYTZSPXAJ-NWDGAFQWSA-N -1 1 306.362 1.643 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(Cc2ccc(C)cc2)C1 ZINC000184844830 408700816 /nfs/dbraw/zinc/70/08/16/408700816.db2.gz GFVPMGRXGWERQJ-OAHLLOKOSA-N -1 1 320.389 1.428 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)NCc1ccccc1OC)C(=O)[O-] ZINC000185050330 408744940 /nfs/dbraw/zinc/74/49/40/408744940.db2.gz IRUOJBFXGQJSBL-YPMHNXCESA-N -1 1 308.378 1.543 20 0 DDADMM CCC[C@H](N[C@H](C)C(=O)NCCc1ccccc1C)C(=O)[O-] ZINC000185038713 408745641 /nfs/dbraw/zinc/74/56/41/408745641.db2.gz XANIGHINRKGMMF-HIFRSBDPSA-N -1 1 306.406 1.885 20 0 DDADMM C[C@@H](O)[C@H]1CCN(C(=O)c2ccc(Br)cc2[O-])C1 ZINC000169189234 408819769 /nfs/dbraw/zinc/81/97/69/408819769.db2.gz KWFCMWUVZZBFEO-BDAKNGLRSA-N -1 1 314.179 1.998 20 0 DDADMM CCNC(=O)C[C@@H]1CCCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000285679824 408842084 /nfs/dbraw/zinc/84/20/84/408842084.db2.gz FBZMHFPIMUYYAK-ZDUSSCGKSA-N -1 1 318.373 1.482 20 0 DDADMM CO[C@@H]1CC[C@@H](OC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000281771629 408927022 /nfs/dbraw/zinc/92/70/22/408927022.db2.gz ABJRMAUYKCHOLM-VXGBXAGGSA-N -1 1 319.361 1.293 20 0 DDADMM Cc1c(NC(=O)c2ncccc2[O-])c(=O)n(-c2ccccc2)n1C ZINC000194641167 163291059 /nfs/dbraw/zinc/29/10/59/163291059.db2.gz HVNJKFXWLIXIDI-UHFFFAOYSA-N -1 1 324.340 1.837 20 0 DDADMM O=C(N[C@@H](CO)C1CCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000291950728 408951373 /nfs/dbraw/zinc/95/13/73/408951373.db2.gz FJMGBNATKXKVKZ-NSHDSACASA-N -1 1 306.391 1.299 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@H](C)OCC)CC2)n1 ZINC000277572994 408967488 /nfs/dbraw/zinc/96/74/88/408967488.db2.gz BNCAAXOPBPJOEO-JTQLQIEISA-N -1 1 324.381 1.112 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C(=O)NCC[C@@H]1C ZINC000282110890 408993492 /nfs/dbraw/zinc/99/34/92/408993492.db2.gz GQVQSVHOURUCHR-KWQFWETISA-N -1 1 320.393 1.043 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N=c2ccnc3n(C)[n-]cc2-3)[nH]n1 ZINC000287630718 409067640 /nfs/dbraw/zinc/06/76/40/409067640.db2.gz OBNFWSHBUFTUIX-OERUYQQZSA-N -1 1 313.365 1.126 20 0 DDADMM CO[C@@H]1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)[C@@H](C)C1 ZINC000287511670 409047809 /nfs/dbraw/zinc/04/78/09/409047809.db2.gz HRTJCQOCAFCETA-AMDODJAPSA-N -1 1 303.366 1.373 20 0 DDADMM COc1ccccc1N1C[C@H](C(=O)[N-]OCC2CC2)CC1=O ZINC000293702005 409163365 /nfs/dbraw/zinc/16/33/65/409163365.db2.gz DNHRZQMMOVEDDV-GFCCVEGCSA-N -1 1 304.346 1.506 20 0 DDADMM CCC[C@H](C)C(=O)NCCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000294129064 409245741 /nfs/dbraw/zinc/24/57/41/409245741.db2.gz SQPFSMILKCBQSK-NSHDSACASA-N -1 1 306.362 1.244 20 0 DDADMM O=C([O-])[C@@]12CCC[C@H]1CN(Cc1cnn(-c3ccccc3)n1)C2 ZINC000262152060 163777333 /nfs/dbraw/zinc/77/73/33/163777333.db2.gz NBPJRVZDKOVQPY-SUMWQHHRSA-N -1 1 312.373 1.954 20 0 DDADMM CO[C@@H]1COCC[C@@H]1CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000294636612 409296086 /nfs/dbraw/zinc/29/60/86/409296086.db2.gz URYRNVGWTMWHRW-RNFRBKRXSA-N -1 1 308.260 1.204 20 0 DDADMM CC[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@@H](O)C(F)F ZINC000295506890 409319627 /nfs/dbraw/zinc/31/96/27/409319627.db2.gz PCAMXCCLWOQMBV-IONNQARKSA-N -1 1 316.333 1.152 20 0 DDADMM CC/C=C/C[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000295197523 409371884 /nfs/dbraw/zinc/37/18/84/409371884.db2.gz BMEYPVWCNYJHDY-AATRIKPKSA-N -1 1 322.228 1.736 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1cc(F)cc2nc[nH]c21)[C@@H]1CCCOC1 ZINC000262788754 163878601 /nfs/dbraw/zinc/87/86/01/163878601.db2.gz MWEPGPGZMPOSBX-PRHODGIISA-N -1 1 321.308 1.312 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc(C(C)=O)cc1 ZINC000295536152 409335989 /nfs/dbraw/zinc/33/59/89/409335989.db2.gz KFHLFVNGCDTOMC-UHFFFAOYSA-N -1 1 307.346 1.821 20 0 DDADMM NC(=O)c1ccc(OCC(F)F)c([N-]S(=O)(=O)C2CC2)c1 ZINC000290446266 409403358 /nfs/dbraw/zinc/40/33/58/409403358.db2.gz CQBOERRRKHXRFO-UHFFFAOYSA-N -1 1 320.317 1.334 20 0 DDADMM O=C(CNC(=O)c1c(F)ccc([O-])c1F)NCC(F)(F)F ZINC000280678649 409407360 /nfs/dbraw/zinc/40/73/60/409407360.db2.gz CERXMSRMIDEIFW-UHFFFAOYSA-N -1 1 312.194 1.079 20 0 DDADMM Cc1cnc(C(=O)N2CCO[C@@](C)(c3cnn(C)c3)C2)c([O-])c1 ZINC000408222637 164265629 /nfs/dbraw/zinc/26/56/29/164265629.db2.gz DOKIWPCUHQBODR-MRXNPFEDSA-N -1 1 316.361 1.217 20 0 DDADMM CCC[C@@H](NCC(=O)NCc1ccc(COCC)cc1)C(=O)[O-] ZINC000296468811 409572677 /nfs/dbraw/zinc/57/26/77/409572677.db2.gz PJZIHFPFYHNBQD-OAHLLOKOSA-N -1 1 322.405 1.682 20 0 DDADMM COc1ccccc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337948284 409594046 /nfs/dbraw/zinc/59/40/46/409594046.db2.gz WSOQNSLCQUENBF-UHFFFAOYSA-N -1 1 301.302 1.164 20 0 DDADMM Cc1cnc(C(=O)NCCc2ccc3c(c2)OCCO3)c([O-])c1 ZINC000348940184 409596800 /nfs/dbraw/zinc/59/68/00/409596800.db2.gz JIIKHQORCZYJCK-UHFFFAOYSA-N -1 1 314.341 1.839 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCCOC(F)F)sc1C ZINC000337959133 409601863 /nfs/dbraw/zinc/60/18/63/409601863.db2.gz GYYKUBOQLLJTRY-UHFFFAOYSA-N -1 1 300.352 1.668 20 0 DDADMM CC(C)(CN1CCOCC1)NC(=O)c1ccc(Cl)cc1[O-] ZINC000308712722 409783754 /nfs/dbraw/zinc/78/37/54/409783754.db2.gz YVPCFJFNEFBGDY-UHFFFAOYSA-N -1 1 312.797 1.886 20 0 DDADMM CCNC(=O)N1CC[C@@H](N=c2nc(C(F)(F)F)[n-]s2)C1 ZINC000342671943 409801204 /nfs/dbraw/zinc/80/12/04/409801204.db2.gz JZQCYAHRSIAYQY-ZCFIWIBFSA-N -1 1 309.317 1.195 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2C[C@H]2c2ccccc2)c1 ZINC000338212134 409805896 /nfs/dbraw/zinc/80/58/96/409805896.db2.gz NNSJNDXBTSYMAW-STQMWFEESA-N -1 1 321.354 1.901 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc2c3c(cccc31)CC2 ZINC000357039348 409811534 /nfs/dbraw/zinc/81/15/34/409811534.db2.gz BODJWRHBGOVTCA-UHFFFAOYSA-N -1 1 305.341 1.871 20 0 DDADMM COc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)c(OC(C)C)c1 ZINC000357049929 409819708 /nfs/dbraw/zinc/81/97/08/409819708.db2.gz IAXGYSNPQQEJNV-UHFFFAOYSA-N -1 1 317.349 1.415 20 0 DDADMM O=S(=O)(CCOCC(F)(F)F)[N-]c1cc(O)cc(F)c1 ZINC000357155034 409907663 /nfs/dbraw/zinc/90/76/63/409907663.db2.gz ZSZWGEUCEKVXAU-UHFFFAOYSA-N -1 1 317.260 1.852 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC(C)(C)Cc1ccccc1 ZINC000349633288 409893089 /nfs/dbraw/zinc/89/30/89/409893089.db2.gz ZKMBSLXPNYCTCU-UHFFFAOYSA-N -1 1 323.418 1.943 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)Cc1ccccc1 ZINC000349633288 409893096 /nfs/dbraw/zinc/89/30/96/409893096.db2.gz ZKMBSLXPNYCTCU-UHFFFAOYSA-N -1 1 323.418 1.943 20 0 DDADMM CC[C@H](O[C@H]1CCC[C@@H](C)C1)C(=O)Nc1nn[nH]c1C(N)=O ZINC000297673060 409953039 /nfs/dbraw/zinc/95/30/39/409953039.db2.gz GMENXXIFHGLLAQ-UTLUCORTSA-N -1 1 309.370 1.216 20 0 DDADMM COC(=O)c1c(F)ccc([N-]S(=O)(=O)C[C@@H](C)OC)c1F ZINC000357354418 409991299 /nfs/dbraw/zinc/99/12/99/409991299.db2.gz GRIRGMWDKIPMGJ-SSDOTTSWSA-N -1 1 323.317 1.528 20 0 DDADMM CCC[C@@H]1C[C@@H](C(=O)Nc2nn[nH]c2C(=O)NC2CC2)CCO1 ZINC000297870496 410029303 /nfs/dbraw/zinc/02/93/03/410029303.db2.gz ZOEDFRYWHYNGQA-GXSJLCMTSA-N -1 1 321.381 1.231 20 0 DDADMM CC[C@@H](C)Oc1ccc(C(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000297930052 410050415 /nfs/dbraw/zinc/05/04/15/410050415.db2.gz YLAWJLHPWXCLSP-MRVPVSSYSA-N -1 1 303.322 1.333 20 0 DDADMM CC(C)(NC(=O)C[C@H]1CCOc2ccccc21)c1nn[n-]n1 ZINC000354772380 410077350 /nfs/dbraw/zinc/07/73/50/410077350.db2.gz LKGMGUAAIMBWQZ-SNVBAGLBSA-N -1 1 301.350 1.507 20 0 DDADMM Cc1oc(-c2ccccc2)nc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354786746 410085315 /nfs/dbraw/zinc/08/53/15/410085315.db2.gz KHLTVTTWDKFVGA-UHFFFAOYSA-N -1 1 312.333 1.828 20 0 DDADMM CC(=O)[C@H](NC(=O)CSc1nc(C)c(C)c(=O)[n-]1)C(C)C ZINC000346713475 410108512 /nfs/dbraw/zinc/10/85/12/410108512.db2.gz GHPQRLBLXJAUNO-GFCCVEGCSA-N -1 1 311.407 1.621 20 0 DDADMM CNC(=O)Cc1nc(CSc2nc(C)c(C)c(=O)[n-]2)cs1 ZINC000346721194 410112615 /nfs/dbraw/zinc/11/26/15/410112615.db2.gz HONSIJFUENLHNT-UHFFFAOYSA-N -1 1 324.431 1.836 20 0 DDADMM CC(=O)N1CCC[C@@H](CN=c2nc(C(F)(F)F)[n-]s2)C1 ZINC000343063855 410116606 /nfs/dbraw/zinc/11/66/06/410116606.db2.gz HCTLXXYNAZUESZ-QMMMGPOBSA-N -1 1 308.329 1.649 20 0 DDADMM CC[C@H](C(=O)NCc1n[n-]c(=N)o1)N(C)Cc1ccccc1 ZINC000354848948 410126580 /nfs/dbraw/zinc/12/65/80/410126580.db2.gz MQCMZRAHPITCCJ-GFCCVEGCSA-N -1 1 303.366 1.009 20 0 DDADMM C[C@@H]1[C@H](C)N(C)CCN1C(=O)c1ccc(-c2nc(=O)o[n-]2)cc1 ZINC000332456498 410173440 /nfs/dbraw/zinc/17/34/40/410173440.db2.gz NQWQMHCPIHZIFI-WDEREUQCSA-N -1 1 316.361 1.195 20 0 DDADMM COC(=O)Cc1occc1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000339434919 410184768 /nfs/dbraw/zinc/18/47/68/410184768.db2.gz ZJQVALYNOJOXEI-UHFFFAOYSA-N -1 1 318.211 1.384 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCC[C@@H]2C)o1 ZINC000339510091 410244931 /nfs/dbraw/zinc/24/49/31/410244931.db2.gz LONPASMKPFYJTD-VHSXEESVSA-N -1 1 300.380 1.354 20 0 DDADMM O=C(c1csc(=NC2CC2)[nH]1)N1CCOC[C@@H]1[C@H]1CCCO1 ZINC000329345514 410245442 /nfs/dbraw/zinc/24/54/42/410245442.db2.gz BXBYVBZBGWBZGJ-CHWSQXEVSA-N -1 1 323.418 1.159 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCOC[C@@H]1[C@H]1CCCO1 ZINC000329345514 410245443 /nfs/dbraw/zinc/24/54/43/410245443.db2.gz BXBYVBZBGWBZGJ-CHWSQXEVSA-N -1 1 323.418 1.159 20 0 DDADMM Cn1[n-]c(CN2CCCC[C@H]2c2nc3ccccc3o2)nc1=O ZINC000329686721 410412319 /nfs/dbraw/zinc/41/23/19/410412319.db2.gz VAHRSGVSPUPUFF-LBPRGKRZSA-N -1 1 313.361 1.977 20 0 DDADMM CN(CCC(N)=O)C(=O)c1ccc(Br)c([O-])c1 ZINC000343474689 410479502 /nfs/dbraw/zinc/47/95/02/410479502.db2.gz FLNCREFFSZSDEZ-UHFFFAOYSA-N -1 1 301.140 1.102 20 0 DDADMM CN1CCO[C@H]2CN(C(=O)c3cc4ccccc4cc3[O-])C[C@H]21 ZINC000333230935 410434105 /nfs/dbraw/zinc/43/41/05/410434105.db2.gz GPTJPHYVNKVJKF-WBVHZDCISA-N -1 1 312.369 1.700 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N(C)CC1CC1 ZINC000299115447 410531263 /nfs/dbraw/zinc/53/12/63/410531263.db2.gz YMVMUHVKKYISIR-UHFFFAOYSA-N -1 1 312.373 1.964 20 0 DDADMM CC(C)c1noc([C@H](C)S(=O)(=O)c2n[n-]c(C3CC3)n2)n1 ZINC000299237401 410575614 /nfs/dbraw/zinc/57/56/14/410575614.db2.gz JDXKAQWCEUTPSS-ZETCQYMHSA-N -1 1 311.367 1.723 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCn2c(nnc2-c2cccnc2)C1 ZINC000339961579 410578238 /nfs/dbraw/zinc/57/82/38/410578238.db2.gz ZJJWSFCTCWTTKV-UHFFFAOYSA-N -1 1 322.328 1.097 20 0 DDADMM CC(C)C1CCC(CN2Cc3n[nH]c(=O)n3C[C@H]2C(=O)[O-])CC1 ZINC000333566728 410582905 /nfs/dbraw/zinc/58/29/05/410582905.db2.gz LVLZLYBEJOYRQB-BPCQOVAHSA-N -1 1 322.409 1.715 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(-c2cccs2)n[nH]1 ZINC000352196918 410539381 /nfs/dbraw/zinc/53/93/81/410539381.db2.gz HYGDCBRVRGAART-UHFFFAOYSA-N -1 1 301.335 1.070 20 0 DDADMM CC(C)(NC(=O)c1cc(Cc2ccccc2)n[nH]1)c1nn[n-]n1 ZINC000339900037 410542235 /nfs/dbraw/zinc/54/22/35/410542235.db2.gz IXEFEGXCJYTIFW-UHFFFAOYSA-N -1 1 311.349 1.179 20 0 DDADMM Cn1[n-]c(CN2CC[C@@H](OCCCc3ccccc3)C2)nc1=O ZINC000347434975 410543313 /nfs/dbraw/zinc/54/33/13/410543313.db2.gz HZJYLNUGBSKYPJ-OAHLLOKOSA-N -1 1 316.405 1.332 20 0 DDADMM O=C(NCC[C@H]1COc2ccccc2O1)c1cncc([O-])c1 ZINC000339906536 410547755 /nfs/dbraw/zinc/54/77/55/410547755.db2.gz DWLNTBVQOGZMMT-ZDUSSCGKSA-N -1 1 300.314 1.747 20 0 DDADMM O=C(CCc1ccc(OC(F)F)cc1)NC1(c2nn[n-]n2)CC1 ZINC000352206070 410549530 /nfs/dbraw/zinc/54/95/30/410549530.db2.gz SFZUBUMPMRKMOJ-UHFFFAOYSA-N -1 1 323.303 1.539 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CSC[C@@H]2C)o1 ZINC000352320108 410619694 /nfs/dbraw/zinc/61/96/94/410619694.db2.gz NVVXMIZLVQXWJO-DTWKUNHWSA-N -1 1 319.404 1.486 20 0 DDADMM Cc1ccc(-c2nc3ccccc3c(=O)[n-]2)cc1S(N)(=O)=O ZINC000359377999 410628909 /nfs/dbraw/zinc/62/89/09/410628909.db2.gz FEPJWMAVLKVXTL-UHFFFAOYSA-N -1 1 315.354 1.958 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CSC[C@@H]2C)c1 ZINC000352338382 410631575 /nfs/dbraw/zinc/63/15/75/410631575.db2.gz RFSLBDIMPJYBSJ-IONNQARKSA-N -1 1 305.377 1.096 20 0 DDADMM CCCC[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])C1CCC1 ZINC000343820804 410725470 /nfs/dbraw/zinc/72/54/70/410725470.db2.gz RCOJEHAUYNFAIV-CYBMUJFWSA-N -1 1 319.405 1.992 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(Cc2cccs2)CC1 ZINC000330415157 410677154 /nfs/dbraw/zinc/67/71/54/410677154.db2.gz NFUNEZZBOZHVLC-UHFFFAOYSA-N -1 1 303.387 1.807 20 0 DDADMM Cc1nc(-c2ccc(NCc3ncn(C)n3)nc2)[n-]c(=O)c1C ZINC000301927518 410831009 /nfs/dbraw/zinc/83/10/09/410831009.db2.gz MEJYDOUNBKAUJE-UHFFFAOYSA-N -1 1 311.349 1.602 20 0 DDADMM COc1cc(NC(=O)CCC(C)=O)ccc1[N-]S(C)(=O)=O ZINC000337507674 410833613 /nfs/dbraw/zinc/83/36/13/410833613.db2.gz RRJAPHOOKSBWBU-UHFFFAOYSA-N -1 1 314.363 1.374 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cncc([O-])c2)[C@@H](CC)CN1C(C)=O ZINC000339906113 287178215 /nfs/dbraw/zinc/17/82/15/287178215.db2.gz UBUYMWKGDNYLHZ-KGLIPLIRSA-N -1 1 305.378 1.649 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000359781132 410876165 /nfs/dbraw/zinc/87/61/65/410876165.db2.gz DIHUZFLQUJLKMT-UHFFFAOYSA-N -1 1 301.350 1.523 20 0 DDADMM CC(C)(NC(=O)COc1ccc2ccccc2c1)c1nn[n-]n1 ZINC000359797420 410889059 /nfs/dbraw/zinc/88/90/59/410889059.db2.gz OVJKGXQZUUCCMG-UHFFFAOYSA-N -1 1 311.345 1.783 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@]2(C)CCO[C@@H]2C)o1 ZINC000344047112 410918580 /nfs/dbraw/zinc/91/85/80/410918580.db2.gz KKAYOZBGDLQJMC-RNCFNFMXSA-N -1 1 317.363 1.302 20 0 DDADMM CCN(CC)C(=O)[C@@H]1CCCN1C(=O)c1ncc(C)cc1[O-] ZINC000331183137 411001310 /nfs/dbraw/zinc/00/13/10/411001310.db2.gz ZVQFSLCBSSABDK-LBPRGKRZSA-N -1 1 305.378 1.569 20 0 DDADMM O=S(=O)([N-][C@H]1CCCOC1)c1ccc(Br)o1 ZINC000341616998 411049658 /nfs/dbraw/zinc/04/96/58/411049658.db2.gz DFDBNTAXWIZVGD-ZETCQYMHSA-N -1 1 310.169 1.499 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)Cc1ccccc1OC(F)(F)F ZINC000353482376 411020633 /nfs/dbraw/zinc/02/06/33/411020633.db2.gz UTFBFBYBJXHEPH-UHFFFAOYSA-N -1 1 316.283 1.639 20 0 DDADMM Cn1ccnc1CN1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000331247942 411041125 /nfs/dbraw/zinc/04/11/25/411041125.db2.gz WFDXIKMCWSVBHZ-UHFFFAOYSA-N -1 1 318.352 1.223 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCc1cccc(Br)c1 ZINC000635225611 422887532 /nfs/dbraw/zinc/88/75/32/422887532.db2.gz YADGVMJGPHZMQC-UHFFFAOYSA-N -1 1 324.182 1.601 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1C[C@H]1c1cccc(C)c1 ZINC000629989336 422911862 /nfs/dbraw/zinc/91/18/62/422911862.db2.gz SBRZHSXGUVUZQN-QWHCGFSZSA-N -1 1 312.373 1.550 20 0 DDADMM CCOC(=O)c1cnc(N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000580265842 422907074 /nfs/dbraw/zinc/90/70/74/422907074.db2.gz MYYVWNZHTMGYAH-SECBINFHSA-N -1 1 319.321 1.126 20 0 DDADMM CCOCCCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000631772054 422914832 /nfs/dbraw/zinc/91/48/32/422914832.db2.gz UWYKPHQQPKMQCJ-UHFFFAOYSA-N -1 1 315.317 1.524 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC000631778312 422918346 /nfs/dbraw/zinc/91/83/46/422918346.db2.gz KUTJWAUYFKOGOM-CHWSQXEVSA-N -1 1 322.792 1.858 20 0 DDADMM CO[C@@H]1COC[C@@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000580411406 422927873 /nfs/dbraw/zinc/92/78/73/422927873.db2.gz VOPSPGSTRKWECJ-VHSXEESVSA-N -1 1 309.746 1.171 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1c(F)cccc1Cl)N1CCCC1 ZINC000131058089 196135818 /nfs/dbraw/zinc/13/58/18/196135818.db2.gz OUZSPPLHTFJXMC-UHFFFAOYSA-N -1 1 320.773 1.380 20 0 DDADMM Cn1c(CCN2CCOC[C@@H]2CC(=O)[O-])nc2ccccc21 ZINC000652475716 423035059 /nfs/dbraw/zinc/03/50/59/423035059.db2.gz FJYDGICKFQWCFN-LBPRGKRZSA-N -1 1 303.362 1.291 20 0 DDADMM Cc1ncc(C[N-]S(=O)(=O)c2cnccc2C(F)(F)F)o1 ZINC000571624767 304475292 /nfs/dbraw/zinc/47/52/92/304475292.db2.gz UYORYBMTWPSOQF-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM CC(C)c1scnc1[N-]S(=O)(=O)N1CCCOCC1 ZINC000650202790 423084627 /nfs/dbraw/zinc/08/46/27/423084627.db2.gz NOAJIJYOPGUESU-UHFFFAOYSA-N -1 1 305.425 1.646 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](CO)CC1CCCCC1 ZINC000416669474 225027019 /nfs/dbraw/zinc/02/70/19/225027019.db2.gz ZWYYESLKJMLJLT-LLVKDONJSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](CO)CC1CCCCC1 ZINC000416669474 225027024 /nfs/dbraw/zinc/02/70/24/225027024.db2.gz ZWYYESLKJMLJLT-LLVKDONJSA-N -1 1 317.411 1.005 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC[C@H](C)CCO ZINC000295880248 225340764 /nfs/dbraw/zinc/34/07/64/225340764.db2.gz GJNHCENTCQAJFY-JTQLQIEISA-N -1 1 309.410 1.927 20 0 DDADMM CSc1nc(CNC(=O)[C@H](C)Cc2ccco2)cc(=O)[n-]1 ZINC000640655674 423115621 /nfs/dbraw/zinc/11/56/21/423115621.db2.gz PZYRAKMSIAHHTD-SECBINFHSA-N -1 1 307.375 1.992 20 0 DDADMM C[C@@H]1CCC[C@@H](CCN2Cc3n[nH]c(=O)n3C[C@H]2C(=O)[O-])C1 ZINC000333362358 287393672 /nfs/dbraw/zinc/39/36/72/287393672.db2.gz DAYGSSJRQGPSNC-WOPDTQHZSA-N -1 1 308.382 1.469 20 0 DDADMM CC(C)[C@H](CNc1ncc(C(=O)[O-])s1)N1CCN(C)CC1 ZINC000630455908 417817783 /nfs/dbraw/zinc/81/77/83/417817783.db2.gz IZDCGMQUTXODHE-NSHDSACASA-N -1 1 312.439 1.525 20 0 DDADMM Cc1cc(=O)[nH]c([C@@H](C)[N@H+]2CC[C@H](Cc3cnn(C)c3)C2)n1 ZINC000366153433 418435276 /nfs/dbraw/zinc/43/52/76/418435276.db2.gz OQTDUCZBDYJAJW-CHWSQXEVSA-N -1 1 301.394 1.850 20 0 DDADMM CN(C(=O)CN1CCC(c2n[nH]c(=O)[n-]2)CC1)C1CCCCC1 ZINC000373834833 418464915 /nfs/dbraw/zinc/46/49/15/418464915.db2.gz GZFJKWPOZCIKTK-UHFFFAOYSA-N -1 1 321.425 1.481 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)N1CCOC[C@H](C)C1)c2=O ZINC000366779345 418516283 /nfs/dbraw/zinc/51/62/83/418516283.db2.gz KDLNUWWAFIQJRZ-LLVKDONJSA-N -1 1 316.357 1.645 20 0 DDADMM O=C(N[C@H]1CC[C@@H](C(=O)N2CCCC2)C1)c1ncccc1[O-] ZINC000373888940 418469956 /nfs/dbraw/zinc/46/99/56/418469956.db2.gz DPMVOPGYGJEFDR-NEPJUHHUSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[N@@H+](C2CCOCC2)CC1 ZINC000360960882 418556498 /nfs/dbraw/zinc/55/64/98/418556498.db2.gz QFQUFBCSRFGBGY-UHFFFAOYSA-N -1 1 305.378 1.114 20 0 DDADMM CCc1cc(=O)[n-]c(SCc2cc(=O)n3ccccc3n2)n1 ZINC000188111020 222006640 /nfs/dbraw/zinc/00/66/40/222006640.db2.gz QUQBWKFQGVNFRM-UHFFFAOYSA-N -1 1 314.370 1.633 20 0 DDADMM Cc1n[nH]cc1C(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000291020617 222078418 /nfs/dbraw/zinc/07/84/18/222078418.db2.gz DHQOKRUEGOBZJP-SECBINFHSA-N -1 1 318.299 1.249 20 0 DDADMM CCN(C(=O)c1ccc(Cl)cc1[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000192906623 222139160 /nfs/dbraw/zinc/13/91/60/222139160.db2.gz WFMNPEFAGLEYEK-SNVBAGLBSA-N -1 1 317.794 1.695 20 0 DDADMM C[C@](O)(C(=O)N1CCC(C(=O)c2ccc([O-])cc2)CC1)C1CC1 ZINC000367330789 418586392 /nfs/dbraw/zinc/58/63/92/418586392.db2.gz BHKRARFAJXJVOR-GOSISDBHSA-N -1 1 317.385 1.975 20 0 DDADMM CCOc1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000361191799 418602162 /nfs/dbraw/zinc/60/21/62/418602162.db2.gz QQWFRYAHHDFNFR-GFCCVEGCSA-N -1 1 301.350 1.618 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NC12CC3CC(CC(C3)C1)C2 ZINC000361494377 418662372 /nfs/dbraw/zinc/66/23/72/418662372.db2.gz GWMDPTLVNAXIPS-URZJAHPPSA-N -1 1 320.433 1.868 20 0 DDADMM Cn1cc([C@@H]2CN(C(=O)c3ccc([O-])cc3F)C[C@H]2CO)cn1 ZINC000375721517 418675968 /nfs/dbraw/zinc/67/59/68/418675968.db2.gz VVWTYERMCIACTI-FZMZJTMJSA-N -1 1 319.336 1.113 20 0 DDADMM CN(CC1CC1)S(=O)(=O)[N-]c1cn(C)nc1C(F)(F)F ZINC000361572950 418681381 /nfs/dbraw/zinc/68/13/81/418681381.db2.gz HYOPMVVUUURSKD-UHFFFAOYSA-N -1 1 312.317 1.437 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1CCCN1c1ccccc1)c1nn[n-]n1 ZINC000365942177 418924348 /nfs/dbraw/zinc/92/43/48/418924348.db2.gz SODPBDXFLANZJL-JSGCOSHPSA-N -1 1 314.393 1.431 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@@H](C)c2n[nH]c(C)n2)c1 ZINC000424841656 228323676 /nfs/dbraw/zinc/32/36/76/228323676.db2.gz DZSFHNZLIRFETI-GTUYJWLHSA-N -1 1 322.390 1.107 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CCC[C@@H]2O)c(F)c1 ZINC000425183140 228383888 /nfs/dbraw/zinc/38/38/88/228383888.db2.gz FUDSHRVKJJDAQZ-SKDRFNHKSA-N -1 1 305.346 1.713 20 0 DDADMM CON1CCC([N-]S(=O)(=O)c2c(F)cc(C)cc2F)CC1 ZINC000425184960 228384548 /nfs/dbraw/zinc/38/45/48/228384548.db2.gz KFEZEAXYSCPNIT-UHFFFAOYSA-N -1 1 320.361 1.577 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@H](C)C(=O)NC(C)C)c(F)c1 ZINC000425186614 228384619 /nfs/dbraw/zinc/38/46/19/228384619.db2.gz NUNKOEDQZBGFRQ-SECBINFHSA-N -1 1 320.361 1.465 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@@H](CO)C2CC2)c(F)c1 ZINC000425235260 228396242 /nfs/dbraw/zinc/39/62/42/228396242.db2.gz MUOPDFQGWKFTGZ-JTQLQIEISA-N -1 1 305.346 1.570 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccc(-c2n[nH]c(C)n2)cc1)OC ZINC000421152294 419503696 /nfs/dbraw/zinc/50/36/96/419503696.db2.gz JVFRSCNSNSBVJR-ZDUSSCGKSA-N -1 1 324.406 1.947 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccc(C(=O)OC)nc1)OC ZINC000421150368 419504938 /nfs/dbraw/zinc/50/49/38/419504938.db2.gz ILRDNFNHIIRZCE-SNVBAGLBSA-N -1 1 302.352 1.035 20 0 DDADMM COC(=O)c1cc(C(C)(C)NC(=O)c2ncccc2[O-])no1 ZINC000427036242 419582484 /nfs/dbraw/zinc/58/24/84/419582484.db2.gz XLEWWUPEMUKGPH-UHFFFAOYSA-N -1 1 305.290 1.227 20 0 DDADMM CC(C)O[C@H](C[N@@H+]1CCN2C(=O)NC(=O)[C@H]2C1)c1ccccc1 ZINC000428293269 419842658 /nfs/dbraw/zinc/84/26/58/419842658.db2.gz ABJLPQQFWDSQEO-HUUCEWRRSA-N -1 1 317.389 1.389 20 0 DDADMM CC(C)O[C@@H](C[N@@H+]1CCN2C(=O)NC(=O)[C@H]2C1)c1ccccc1 ZINC000428293267 419844079 /nfs/dbraw/zinc/84/40/79/419844079.db2.gz ABJLPQQFWDSQEO-CABCVRRESA-N -1 1 317.389 1.389 20 0 DDADMM CCc1cc(=O)[n-]c(SCCn2nc3ccccn3c2=O)n1 ZINC000428701723 419917481 /nfs/dbraw/zinc/91/74/81/419917481.db2.gz PDMIERAJIVQEKA-UHFFFAOYSA-N -1 1 317.374 1.346 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCC(=O)OC(C)C)sc1C ZINC000434945843 229346800 /nfs/dbraw/zinc/34/68/00/229346800.db2.gz FTLCAGVUEHYJEU-UHFFFAOYSA-N -1 1 306.409 1.380 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1ncccc1C(F)(F)F)C1CC1 ZINC000645732968 423158791 /nfs/dbraw/zinc/15/87/91/423158791.db2.gz JJFZHQCMWRLVSE-JTQLQIEISA-N -1 1 324.324 1.804 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H](C)NC(=O)CC(C)(C)C)n1 ZINC000415646534 420135743 /nfs/dbraw/zinc/13/57/43/420135743.db2.gz KXFIABQNLLKYPN-VIFPVBQESA-N -1 1 324.381 1.466 20 0 DDADMM CCCOc1cccnc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000430077179 420076763 /nfs/dbraw/zinc/07/67/63/420076763.db2.gz GZVKMYPKILEERT-JTQLQIEISA-N -1 1 304.354 1.259 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](C)Cc1cccs1 ZINC000416159914 420263195 /nfs/dbraw/zinc/26/31/95/420263195.db2.gz WVEHDPXDHFDTDQ-QMMMGPOBSA-N -1 1 323.374 1.567 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCC(C)(C)C[C@H]1C ZINC000416169055 420266342 /nfs/dbraw/zinc/26/63/42/420266342.db2.gz BSYNBDSHLBEKEK-RKDXNWHRSA-N -1 1 309.366 1.841 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CCC(C)(C)CC1 ZINC000416199151 420277381 /nfs/dbraw/zinc/27/73/81/420277381.db2.gz YOUSVIYHKZJHAC-UHFFFAOYSA-N -1 1 309.366 1.937 20 0 DDADMM CC(C)CN1CCO[C@@H](CNC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000436739704 420362759 /nfs/dbraw/zinc/36/27/59/420362759.db2.gz XBLLBKCNPLRKJM-HNNXBMFYSA-N -1 1 320.389 1.048 20 0 DDADMM CCOC(=O)[C@H](CO)NC(=O)c1ccc2ccccc2c1[O-] ZINC000436743835 420363107 /nfs/dbraw/zinc/36/31/07/420363107.db2.gz YSQAOIWROJHFOP-ZDUSSCGKSA-N -1 1 303.314 1.199 20 0 DDADMM O=S(=O)([N-]c1ccc(F)c(-c2nc[nH]n2)c1)c1c[nH]cn1 ZINC000436479519 420331438 /nfs/dbraw/zinc/33/14/38/420331438.db2.gz UREKJJUKPSLTQD-UHFFFAOYSA-N -1 1 308.298 1.135 20 0 DDADMM CCO[C@@H](CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)C(C)C ZINC000425293870 420342833 /nfs/dbraw/zinc/34/28/33/420342833.db2.gz CIZJMPDHXMJUCV-RYUDHWBXSA-N -1 1 311.382 1.932 20 0 DDADMM O=C([C@@H]1C[C@H]1c1cccnc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425299319 420344139 /nfs/dbraw/zinc/34/41/39/420344139.db2.gz KADXXJRBOCUHOK-RWMBFGLXSA-N -1 1 314.345 1.680 20 0 DDADMM CCn1cccc(CNC(=O)C(=O)c2ccc([O-])cc2)c1=O ZINC000436631676 420346821 /nfs/dbraw/zinc/34/68/21/420346821.db2.gz SZEILLWTESHRDA-UHFFFAOYSA-N -1 1 300.314 1.073 20 0 DDADMM Cn1c(=O)[nH]c2ccc(NC(=O)C(=O)c3ccc([O-])cc3)cc21 ZINC000436640330 420347742 /nfs/dbraw/zinc/34/77/42/420347742.db2.gz PANSBIONMWVMBA-UHFFFAOYSA-N -1 1 311.297 1.806 20 0 DDADMM O=C(N=c1ccn(Cc2ccncc2)[nH]1)C(=O)c1ccc([O-])cc1 ZINC000436688141 420356132 /nfs/dbraw/zinc/35/61/32/420356132.db2.gz NMNXPWRKWNKICF-UHFFFAOYSA-N -1 1 322.324 1.275 20 0 DDADMM CCOC(=O)[C@@H](C)CCc1nc2cc(F)c([O-])cc2c(=O)[nH]1 ZINC000416410999 420360945 /nfs/dbraw/zinc/36/09/45/420360945.db2.gz HWVSASCTHTXHFT-QMMMGPOBSA-N -1 1 308.309 1.900 20 0 DDADMM COc1cc(C(=O)NCC(=O)NCC(F)F)cc(Cl)c1[O-] ZINC000435936397 420296933 /nfs/dbraw/zinc/29/69/33/420296933.db2.gz MKXIUGWRINMSTQ-UHFFFAOYSA-N -1 1 322.695 1.165 20 0 DDADMM CC(C)N1C(=O)CN(C(=O)C(=O)c2ccc([O-])cc2)CC1(C)C ZINC000436921517 420384322 /nfs/dbraw/zinc/38/43/22/420384322.db2.gz VRPVOLSSVTWWNQ-UHFFFAOYSA-N -1 1 318.373 1.433 20 0 DDADMM Cc1ccsc1[C@H](CO)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000437004083 420392243 /nfs/dbraw/zinc/39/22/43/420392243.db2.gz VWRXPOAKDIOPNS-LBPRGKRZSA-N -1 1 305.355 1.795 20 0 DDADMM CCn1cc([C@H](C)NC(=O)c2csc(=NC3CC3)[n-]2)cn1 ZINC000456701571 420529248 /nfs/dbraw/zinc/52/92/48/420529248.db2.gz XILOBFDTJSJRHY-VIFPVBQESA-N -1 1 305.407 1.847 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccc(-c2ncn(C)n2)cc1 ZINC000439253162 420502426 /nfs/dbraw/zinc/50/24/26/420502426.db2.gz BHKULUNGUDWYLT-UHFFFAOYSA-N -1 1 324.406 1.649 20 0 DDADMM CCOC(=O)C[C@@H](NC(=O)c1cncc([O-])c1)c1ccncc1 ZINC000456917309 420569353 /nfs/dbraw/zinc/56/93/53/420569353.db2.gz MJTSQFNUYROSIF-CQSZACIVSA-N -1 1 315.329 1.607 20 0 DDADMM CCCOc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)c(OC)c1 ZINC000450965100 420571533 /nfs/dbraw/zinc/57/15/33/420571533.db2.gz UPPXSDWHYZKCGN-UHFFFAOYSA-N -1 1 317.349 1.416 20 0 DDADMM O=C(N[C@@H]([C@@H](CO)C1CC1)C1CC1)C(=O)c1ccc([O-])cc1 ZINC000452972709 420692512 /nfs/dbraw/zinc/69/25/12/420692512.db2.gz YXXFHMBLJNEUNZ-LSDHHAIUSA-N -1 1 303.358 1.488 20 0 DDADMM O=S(=O)(CCn1cccn1)c1ncc(-c2ccc(F)cc2)[n-]1 ZINC000447500237 420774633 /nfs/dbraw/zinc/77/46/33/420774633.db2.gz SEVSUNQAFRBQKQ-UHFFFAOYSA-N -1 1 320.349 1.886 20 0 DDADMM O=S(=O)(CCn1cccn1)c1nc(-c2ccc(F)cc2)c[n-]1 ZINC000447500237 420774635 /nfs/dbraw/zinc/77/46/35/420774635.db2.gz SEVSUNQAFRBQKQ-UHFFFAOYSA-N -1 1 320.349 1.886 20 0 DDADMM O=C(C=Cc1ccc(Br)o1)NC1(c2nn[n-]n2)CC1 ZINC000493096007 420756061 /nfs/dbraw/zinc/75/60/61/420756061.db2.gz VDRJQKQLTJMIOE-DUXPYHPUSA-N -1 1 324.138 1.374 20 0 DDADMM COCc1ccc(CS(=O)(=O)c2nc(C(=O)OC)c[n-]2)cc1 ZINC000453034701 420706610 /nfs/dbraw/zinc/70/66/10/420706610.db2.gz XSRZHIQQOAEAAX-UHFFFAOYSA-N -1 1 324.358 1.317 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2cnccc2C(F)(F)F)o1 ZINC000442774213 420713266 /nfs/dbraw/zinc/71/32/66/420713266.db2.gz ZWAVGOCWABNGGV-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM CC(C)(NC(=O)c1ccc(O[C@H]2CCOC2)cc1)c1nn[n-]n1 ZINC000447523567 420778773 /nfs/dbraw/zinc/77/87/73/420778773.db2.gz VFNCYPXVSKIEIV-LBPRGKRZSA-N -1 1 317.349 1.033 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCN1C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC000495557498 421022555 /nfs/dbraw/zinc/02/25/55/421022555.db2.gz WAYYOGRGWSSHSA-VHSXEESVSA-N -1 1 324.385 1.167 20 0 DDADMM O=C(NCCO)c1ccc(=NCCCC2CCCCC2)[n-]n1 ZINC000488408275 421087855 /nfs/dbraw/zinc/08/78/55/421087855.db2.gz HBIMZYFVBLDULY-UHFFFAOYSA-N -1 1 306.410 1.393 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000449920325 421111860 /nfs/dbraw/zinc/11/18/60/421111860.db2.gz ARCBKMFRSCERAY-BXKDBHETSA-N -1 1 324.402 1.818 20 0 DDADMM CCc1nc2n(n1)C[C@H](N=c1[n-]c(C(C)=O)c(C)s1)CC2 ZINC000450320993 421182994 /nfs/dbraw/zinc/18/29/94/421182994.db2.gz PWTLHKYKBNCYLQ-SNVBAGLBSA-N -1 1 305.407 1.657 20 0 DDADMM O=C(NC1CC1)c1cccc(S(=O)(=O)[N-]c2cnc[nH]2)c1 ZINC000559841144 421227422 /nfs/dbraw/zinc/22/74/22/421227422.db2.gz JMTJJRYBKRMIMK-UHFFFAOYSA-N -1 1 306.347 1.103 20 0 DDADMM CCO[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000545370281 421256967 /nfs/dbraw/zinc/25/69/67/421256967.db2.gz NANRJVIXORURPL-WDEREUQCSA-N -1 1 320.436 1.232 20 0 DDADMM CC(C)O[C@@H](C(=O)NC1(c2nn[n-]n2)CC1)c1ccccc1 ZINC000560410969 421261061 /nfs/dbraw/zinc/26/10/61/421261061.db2.gz NJSGSEMLZROTMN-GFCCVEGCSA-N -1 1 301.350 1.471 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2c(c1)OCCO2 ZINC000524577383 421263195 /nfs/dbraw/zinc/26/31/95/421263195.db2.gz JTZOILVAXVUWIT-UHFFFAOYSA-N -1 1 315.333 1.170 20 0 DDADMM CC[C@H]1[C@H](C(=O)Nc2nnn[n-]2)CCN1C(=O)OC(C)(C)C ZINC000496930925 421338287 /nfs/dbraw/zinc/33/82/87/421338287.db2.gz OQSXGJQQACFHMB-BDAKNGLRSA-N -1 1 310.358 1.174 20 0 DDADMM CC[C@H]1[C@H](C(=O)Nc2nn[n-]n2)CCN1C(=O)OC(C)(C)C ZINC000496930925 421338290 /nfs/dbraw/zinc/33/82/90/421338290.db2.gz OQSXGJQQACFHMB-BDAKNGLRSA-N -1 1 310.358 1.174 20 0 DDADMM Cc1ccc(CCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000562176586 421343713 /nfs/dbraw/zinc/34/37/13/421343713.db2.gz QLUNFCOOSVTUGU-LLVKDONJSA-N -1 1 305.407 1.908 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@@H](N3CCCC3=O)C2)c([O-])c1 ZINC000547651373 421350261 /nfs/dbraw/zinc/35/02/61/421350261.db2.gz QXFZUNWGLYDUHM-GFCCVEGCSA-N -1 1 303.362 1.323 20 0 DDADMM COc1ccc(-c2n[n-]c(S(=O)(=O)C[C@H]3CCOC3)n2)cc1 ZINC000562495601 421373125 /nfs/dbraw/zinc/37/31/25/421373125.db2.gz FRNMPOHCLJESQT-JTQLQIEISA-N -1 1 323.374 1.291 20 0 DDADMM COc1ccc2cc(C(=O)N(C)C[C@@H](C)c3nn[n-]n3)[nH]c2c1 ZINC000548138771 421405485 /nfs/dbraw/zinc/40/54/85/421405485.db2.gz GIGUSJZYJLQZNK-SECBINFHSA-N -1 1 314.349 1.565 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCCC[C@H]2N2CCOCC2)c([O-])c1 ZINC000548312365 421421399 /nfs/dbraw/zinc/42/13/99/421421399.db2.gz VOMWVDVPWDQDBI-UONOGXRCSA-N -1 1 319.405 1.469 20 0 DDADMM CCn1ncc(C[N-]S(=O)(=O)c2c(F)cccc2F)n1 ZINC000528445212 421490064 /nfs/dbraw/zinc/49/00/64/421490064.db2.gz KABBGYYTNGAQDX-UHFFFAOYSA-N -1 1 302.306 1.055 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCC[C@@H](F)C2)o1 ZINC000516566584 421553069 /nfs/dbraw/zinc/55/30/69/421553069.db2.gz GVDJVQUATNLVFB-RKDXNWHRSA-N -1 1 305.327 1.625 20 0 DDADMM CCOC(=O)c1c(C)n[nH]c1CSc1ncc(C)c(=O)[n-]1 ZINC000563727950 421539630 /nfs/dbraw/zinc/53/96/30/421539630.db2.gz ADIOJRMKLGCHBG-UHFFFAOYSA-N -1 1 308.363 1.991 20 0 DDADMM FC(F)(F)c1nsc(=NCCn2cnnc2C2CC2)[n-]1 ZINC000553111749 421601522 /nfs/dbraw/zinc/60/15/22/421601522.db2.gz VIDALBKYFDMBLR-UHFFFAOYSA-N -1 1 304.301 1.560 20 0 DDADMM Cc1nc2ncnn2c(N2CCC(c3n[n-]c(=O)o3)CC2)c1C ZINC000555894119 421695274 /nfs/dbraw/zinc/69/52/74/421695274.db2.gz JVMNSBYGXZIOMY-UHFFFAOYSA-N -1 1 315.337 1.214 20 0 DDADMM CC(C)Oc1cccc(C(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000535099483 421699520 /nfs/dbraw/zinc/69/95/20/421699520.db2.gz JZIZPPMDIBNYMW-UHFFFAOYSA-N -1 1 316.365 1.581 20 0 DDADMM C[C@@H](N=c1[nH]c(C(=O)[O-])co1)C1CCN(CC(F)(F)F)CC1 ZINC000519829136 421733885 /nfs/dbraw/zinc/73/38/85/421733885.db2.gz VTFOXXAXMBHMIT-MRVPVSSYSA-N -1 1 321.299 1.869 20 0 DDADMM Cc1cn2cc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)sc2n1 ZINC000538224830 421737224 /nfs/dbraw/zinc/73/72/24/421737224.db2.gz MBYRWEWTACDZMR-SECBINFHSA-N -1 1 317.378 1.237 20 0 DDADMM O=C([C@@H]1OCCc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000538225192 421737275 /nfs/dbraw/zinc/73/72/75/421737275.db2.gz GGAGMFAMQGPXSI-GXTWGEPZSA-N -1 1 313.361 1.220 20 0 DDADMM CC(C)c1nn(C)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000540424217 421763793 /nfs/dbraw/zinc/76/37/93/421763793.db2.gz PLSUMSHLRQUBEG-JTQLQIEISA-N -1 1 303.370 1.076 20 0 DDADMM Cc1ccsc1-c1nc(=NC(=O)N2CCNCC2)s[n-]1 ZINC000581119290 421903386 /nfs/dbraw/zinc/90/33/86/421903386.db2.gz GGRRVKWDHDZLDH-UHFFFAOYSA-N -1 1 309.420 1.434 20 0 DDADMM CC(=O)Nc1cccc([C@@H](C)NCc2cc(C(=O)[O-])nn2C)c1 ZINC000635305048 421895398 /nfs/dbraw/zinc/89/53/98/421895398.db2.gz MBPNTBGHMLHOPB-SNVBAGLBSA-N -1 1 316.361 1.928 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@@H]1C1CCCC1 ZINC000543700751 421839743 /nfs/dbraw/zinc/83/97/43/421839743.db2.gz XSMAACSKARDDKT-GFCCVEGCSA-N -1 1 301.350 1.212 20 0 DDADMM CCOCc1ccc(CNC(=O)CCCc2nn[n-]n2)cc1 ZINC000635250491 421857337 /nfs/dbraw/zinc/85/73/37/421857337.db2.gz IWEDQEBHZFOMGP-UHFFFAOYSA-N -1 1 303.366 1.375 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NC1(C(=O)[O-])Cc2ccccc2C1 ZINC000630127020 421904850 /nfs/dbraw/zinc/90/48/50/421904850.db2.gz NRJITWFCNJHMSW-LLVKDONJSA-N -1 1 313.357 1.327 20 0 DDADMM CO[C@H](C)CC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000631881651 421909556 /nfs/dbraw/zinc/90/95/56/421909556.db2.gz FZFYSCJMWXLYRH-ZCFIWIBFSA-N -1 1 301.290 1.132 20 0 DDADMM C[C@@H](C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)c1cccc(F)c1 ZINC000630223342 421973114 /nfs/dbraw/zinc/97/31/14/421973114.db2.gz IJFOXDFCFXDLRR-QWHCGFSZSA-N -1 1 322.380 1.937 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CCc3ccccc32)CC1 ZINC000630223712 421973135 /nfs/dbraw/zinc/97/31/35/421973135.db2.gz MFLGASUCBXKCHS-CJNGLKHVSA-N -1 1 316.401 1.724 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ncc(Br)s1 ZINC000635399110 421952226 /nfs/dbraw/zinc/95/22/26/421952226.db2.gz HKIYXPGWGHLMBY-UHFFFAOYSA-N -1 1 317.172 1.380 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@H]1CCC[C@H](F)C1 ZINC000573364679 421956925 /nfs/dbraw/zinc/95/69/25/421956925.db2.gz HONINIFBDWLELP-YUMQZZPRSA-N -1 1 322.383 1.489 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H](c1ccccc1)C(F)(F)F ZINC000635408499 421964955 /nfs/dbraw/zinc/96/49/55/421964955.db2.gz MAFZMCAZJJXFCH-GFCCVEGCSA-N -1 1 313.283 1.942 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C2CCC3(CC3)CC2)CC1 ZINC000630220935 421970831 /nfs/dbraw/zinc/97/08/31/421970831.db2.gz RUAXHDYNMBANER-CYBMUJFWSA-N -1 1 308.422 1.964 20 0 DDADMM CCc1ccccc1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000630222446 421972346 /nfs/dbraw/zinc/97/23/46/421972346.db2.gz FFSNBANKTMFFTN-ZDUSSCGKSA-N -1 1 304.390 1.870 20 0 DDADMM Cc1cccc2[nH]cc(CCNC(=O)CCCc3nn[n-]n3)c21 ZINC000635497934 422043507 /nfs/dbraw/zinc/04/35/07/422043507.db2.gz QIVGGEKWSRXVGE-UHFFFAOYSA-N -1 1 312.377 1.671 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3cn[nH]c32)CC1 ZINC000630225696 421975256 /nfs/dbraw/zinc/97/52/56/421975256.db2.gz WEZKZJQKGFGHAB-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cccc3c[nH]nc32)CC1 ZINC000630225696 421975262 /nfs/dbraw/zinc/97/52/62/421975262.db2.gz WEZKZJQKGFGHAB-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM COCCC1(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CCC1 ZINC000630226068 421975834 /nfs/dbraw/zinc/97/58/34/421975834.db2.gz NMSAFINTYBQBTE-UHFFFAOYSA-N -1 1 312.410 1.201 20 0 DDADMM Cc1ccccc1OCC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630224585 421976024 /nfs/dbraw/zinc/97/60/24/421976024.db2.gz PJYVCWMNKPUPQX-CQSZACIVSA-N -1 1 320.389 1.381 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CC[C@H]2CCCCO2)CC1 ZINC000630224940 421976301 /nfs/dbraw/zinc/97/63/01/421976301.db2.gz PUENMFINHQGFDG-ZIAGYGMSSA-N -1 1 312.410 1.343 20 0 DDADMM CC(=O)N1CCc2cc(S(=O)(=O)[N-]c3cnc[nH]3)ccc21 ZINC000573651264 421995442 /nfs/dbraw/zinc/99/54/42/421995442.db2.gz MGWBBRYLPFVXEV-UHFFFAOYSA-N -1 1 306.347 1.120 20 0 DDADMM COCCN(C(=O)CCCc1nn[n-]n1)[C@H](C)c1ccccc1 ZINC000635447776 421997000 /nfs/dbraw/zinc/99/70/00/421997000.db2.gz NGFDDNBFFIGLCX-CYBMUJFWSA-N -1 1 317.393 1.759 20 0 DDADMM C[C@H](C(=O)N=c1ccc(O)n[n-]1)n1ccc(C(F)(F)F)n1 ZINC000637130949 422019480 /nfs/dbraw/zinc/01/94/80/422019480.db2.gz WEQVJGQCEMLGGO-ZCFIWIBFSA-N -1 1 301.228 1.019 20 0 DDADMM C[C@H](C(=O)N=c1ccc([O-])n[nH]1)n1ccc(C(F)(F)F)n1 ZINC000637130949 422019482 /nfs/dbraw/zinc/01/94/82/422019482.db2.gz WEQVJGQCEMLGGO-ZCFIWIBFSA-N -1 1 301.228 1.019 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1n[nH]cc1Br)OC ZINC000581887812 422061191 /nfs/dbraw/zinc/06/11/91/422061191.db2.gz DZGMLUQEAKAKQU-ZCFIWIBFSA-N -1 1 312.189 1.339 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(c3ccccc3)C2)o1 ZINC000633806026 422090179 /nfs/dbraw/zinc/09/01/79/422090179.db2.gz AWZLLXYLCLCQBW-UHFFFAOYSA-N -1 1 320.370 1.427 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@@H]1CCOc2ccccc21 ZINC000635541997 422091557 /nfs/dbraw/zinc/09/15/57/422091557.db2.gz UPPUMSHZNKIKGI-NSHDSACASA-N -1 1 301.350 1.205 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1ccc(Cl)cc1Cl ZINC000630423795 422092191 /nfs/dbraw/zinc/09/21/91/422092191.db2.gz ORVLNRKYKZOFAL-UHFFFAOYSA-N -1 1 314.176 1.798 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)c2cc(O)cc(F)c2)C[C@@H]1C(=O)[O-] ZINC000630444365 422106798 /nfs/dbraw/zinc/10/67/98/422106798.db2.gz DVWGAWHOPSJROH-PELKAZGASA-N -1 1 317.338 1.263 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)C[C@H]2CCC[C@H]2O)c1 ZINC000632143736 422117618 /nfs/dbraw/zinc/11/76/18/422117618.db2.gz YZPTZPHIJMJFIC-ZYHUDNBSSA-N -1 1 315.391 1.182 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H]1CCN(C2CCC2)C1=O ZINC000633697481 422058014 /nfs/dbraw/zinc/05/80/14/422058014.db2.gz FKGVCZKDXXJQCL-ZDUSSCGKSA-N -1 1 322.792 1.858 20 0 DDADMM Cn1ncc(C(F)(F)F)c1CNC(=O)c1cncc([O-])c1 ZINC000628355198 422144454 /nfs/dbraw/zinc/14/44/54/422144454.db2.gz OKUDWKSWVUZWAA-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CCC(C)(C)O2)c1 ZINC000632242981 422188646 /nfs/dbraw/zinc/18/86/46/422188646.db2.gz DLDNMVDYFGCFQQ-LLVKDONJSA-N -1 1 315.391 1.637 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CN(C)Cc3ccccc32)sn1 ZINC000632147821 422120822 /nfs/dbraw/zinc/12/08/22/422120822.db2.gz CDFPNMVIVSVLIH-CYBMUJFWSA-N -1 1 323.443 1.917 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@H](C)C(F)(F)F)nc2n1 ZINC000637418833 422202911 /nfs/dbraw/zinc/20/29/11/422202911.db2.gz JAYMPGPFEGXDEX-LURJTMIESA-N -1 1 317.271 1.507 20 0 DDADMM C[C@@H]1Cc2cc(F)ccc2[C@@H](C)N1C(=O)CCc1nn[n-]n1 ZINC000632262393 422204222 /nfs/dbraw/zinc/20/42/22/422204222.db2.gz RWGSZTQUKDKLKD-NXEZZACHSA-N -1 1 303.341 1.806 20 0 DDADMM O=C(NCC[C@H](O)C(F)F)c1cc(Br)ccc1[O-] ZINC000633992416 422204373 /nfs/dbraw/zinc/20/43/73/422204373.db2.gz FJSZJFMRYSGZQX-VIFPVBQESA-N -1 1 324.121 1.901 20 0 DDADMM CC[C@@H](CNC(=O)CCCc1nn[n-]n1)Oc1ccccc1F ZINC000635653225 422209763 /nfs/dbraw/zinc/20/97/63/422209763.db2.gz WNIXAJUGWRRWRF-NSHDSACASA-N -1 1 321.356 1.635 20 0 DDADMM C[C@@]1(NC(=O)Cc2ccc([O-])c(Cl)c2)CCS(=O)(=O)C1 ZINC000630637688 422234839 /nfs/dbraw/zinc/23/48/39/422234839.db2.gz QFYMNIUDUMUJJH-CYBMUJFWSA-N -1 1 317.794 1.282 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2ncnn2C(C)C)sn1 ZINC000632342645 422262234 /nfs/dbraw/zinc/26/22/34/422262234.db2.gz RGBOKHQAPVEUQZ-UHFFFAOYSA-N -1 1 301.397 1.102 20 0 DDADMM CN(C(=O)CCCc1nn[n-]n1)[C@H](CCO)c1ccccc1 ZINC000635710557 422266983 /nfs/dbraw/zinc/26/69/83/422266983.db2.gz VSZNCRYNYCNFTC-CYBMUJFWSA-N -1 1 303.366 1.105 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1Cc2ccccc2C(F)(F)C1 ZINC000635714329 422271628 /nfs/dbraw/zinc/27/16/28/422271628.db2.gz NGMMQWKAVXLHMV-UHFFFAOYSA-N -1 1 307.304 1.657 20 0 DDADMM COC(C)(C)c1nc(=NC(=O)c2[nH]nc3c2CCCC3)s[n-]1 ZINC000634152551 422289155 /nfs/dbraw/zinc/28/91/55/422289155.db2.gz IYDGVIUUTDRXDR-UHFFFAOYSA-N -1 1 321.406 1.696 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCCOc2c(F)cccc21 ZINC000635777282 422334941 /nfs/dbraw/zinc/33/49/41/422334941.db2.gz FXXLRISFAHRVGV-GFCCVEGCSA-N -1 1 319.340 1.692 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NCCc2ccc(C)cn2)c1 ZINC000632431717 422337987 /nfs/dbraw/zinc/33/79/87/422337987.db2.gz PLRZXTUQQNEOBT-UHFFFAOYSA-N -1 1 322.386 1.625 20 0 DDADMM C[C@H](CN(C)C(=O)C1(Nc2ccccc2)CC1)c1nn[n-]n1 ZINC000635794728 422352402 /nfs/dbraw/zinc/35/24/02/422352402.db2.gz CSDDNQXUDALUEV-LLVKDONJSA-N -1 1 300.366 1.406 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2CS[C@@H](C)C2)c1 ZINC000632455549 422359277 /nfs/dbraw/zinc/35/92/77/422359277.db2.gz GYIXYEHOTCVPON-DTWKUNHWSA-N -1 1 303.405 1.573 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2C[C@H](C)O[C@@H]2C)c1 ZINC000632456398 422359388 /nfs/dbraw/zinc/35/93/88/422359388.db2.gz CNSYLLYAIPMRJZ-AXFHLTTASA-N -1 1 315.391 1.493 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCCC[C@H]2F)c1 ZINC000632456669 422359566 /nfs/dbraw/zinc/35/95/66/422359566.db2.gz LCSPYGLKJIKSFB-GHMZBOCLSA-N -1 1 303.355 1.960 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCc1c[nH]c2cc(F)ccc12 ZINC000630860226 422379105 /nfs/dbraw/zinc/37/91/05/422379105.db2.gz CPLSPZFCIKNONT-UHFFFAOYSA-N -1 1 302.313 1.112 20 0 DDADMM CSc1ccccc1CN(C)C(=O)CCCc1nn[n-]n1 ZINC000635876753 422432988 /nfs/dbraw/zinc/43/29/88/422432988.db2.gz BVJYHFSUKJOUBV-UHFFFAOYSA-N -1 1 305.407 1.903 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2ccc(C(F)F)o2)on1 ZINC000632566947 422441775 /nfs/dbraw/zinc/44/17/75/422441775.db2.gz QXLWABPXQUCKNM-UHFFFAOYSA-N -1 1 308.262 1.692 20 0 DDADMM COc1ccc([C@@H]2CCN(C(=O)CCc3nn[n-]n3)C2)cc1 ZINC000630876765 422388212 /nfs/dbraw/zinc/38/82/12/422388212.db2.gz SLIVIWCKZYPIKM-GFCCVEGCSA-N -1 1 301.350 1.157 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]2CC[C@@H]1O2)c1c[nH]nc1C(F)(F)F ZINC000632509836 422401287 /nfs/dbraw/zinc/40/12/87/422401287.db2.gz PKDJDIODWXUIFP-QYNIQEEDSA-N -1 1 311.285 1.027 20 0 DDADMM CC1(C)CN(C(=O)CCCc2nn[n-]n2)CC[C@@H]1C(F)(F)F ZINC000635846295 422403772 /nfs/dbraw/zinc/40/37/72/422403772.db2.gz YBZQJGYSTCYLDS-VIFPVBQESA-N -1 1 319.331 1.959 20 0 DDADMM Cc1nnc(-n2[n-]c3c(c2=O)CCN(Cc2ccccc2)C3)n1C ZINC000634634992 422518482 /nfs/dbraw/zinc/51/84/82/422518482.db2.gz QYIIIEZIURPLQI-AWEZNQCLSA-N -1 1 324.388 1.348 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CO[C@@H](C3CC3)C2)c1 ZINC000632600694 422466076 /nfs/dbraw/zinc/46/60/76/422466076.db2.gz RHNQMZYRYMOYKW-GXFFZTMASA-N -1 1 313.375 1.247 20 0 DDADMM O=C(NC[C@H]1C[C@@H](O)C1)c1ccc(Br)c([O-])c1 ZINC000629072801 422466641 /nfs/dbraw/zinc/46/66/41/422466641.db2.gz LDUVNSJCZBHDME-OTSSQURYSA-N -1 1 300.152 1.655 20 0 DDADMM Cc1ccnc([C@@H]2CCN(C(=O)CCCc3nn[n-]n3)C2)c1 ZINC000636000409 422554571 /nfs/dbraw/zinc/55/45/71/422554571.db2.gz YNUYEPYQAVYHDK-GFCCVEGCSA-N -1 1 300.366 1.242 20 0 DDADMM CO[C@@H]1CN(C[C@H](O)COc2ccccc2C)[C@](C)(C(=O)[O-])C1 ZINC000634694904 422555151 /nfs/dbraw/zinc/55/51/51/422555151.db2.gz MSBORVXHQDTUAW-ZQIUZPCESA-N -1 1 323.389 1.299 20 0 DDADMM CC[C@@H](C)C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)OC ZINC000578509984 422585468 /nfs/dbraw/zinc/58/54/68/422585468.db2.gz GBVNRQJEPRWGPU-ZWNOBZJWSA-N -1 1 307.346 1.669 20 0 DDADMM Cc1cccc(-c2nc(=NC[C@@H](C)S(C)(=O)=O)s[n-]2)c1 ZINC000631180093 422587011 /nfs/dbraw/zinc/58/70/11/422587011.db2.gz BFKCXLVENNPVCY-SNVBAGLBSA-N -1 1 311.432 1.780 20 0 DDADMM CS(=O)(=O)CCCN(CCCC(=O)[O-])Cc1ccccc1 ZINC000578516195 422588242 /nfs/dbraw/zinc/58/82/42/422588242.db2.gz YETBKFXVGFAUMU-UHFFFAOYSA-N -1 1 313.419 1.788 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@@H](C(F)(F)F)C2(CCC2)C1 ZINC000632904110 422654345 /nfs/dbraw/zinc/65/43/45/422654345.db2.gz LJCIAIQIOAXYIO-SECBINFHSA-N -1 1 317.315 1.713 20 0 DDADMM CCCOc1ccc(C(C)(C)NC(=O)CCc2nn[n-]n2)cc1 ZINC000632828869 422610034 /nfs/dbraw/zinc/61/00/34/422610034.db2.gz GWAIVGJQVFDBKI-UHFFFAOYSA-N -1 1 317.393 1.973 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CC[C@H]3C[C@H]3C2)c(C(F)(F)F)n1 ZINC000645871903 423221899 /nfs/dbraw/zinc/22/18/99/423221899.db2.gz CPNORPIRKAJONZ-XHNCKOQMSA-N -1 1 323.340 1.906 20 0 DDADMM Nc1nc2c(c(N[C@H]3C[C@H](C(=O)[O-])c4ccccc43)n1)CCCC2 ZINC000650775947 423255522 /nfs/dbraw/zinc/25/55/22/423255522.db2.gz IOHCYJVZOAZACH-ZFWWWQNUSA-N -1 1 324.384 1.915 20 0 DDADMM C[C@@H](CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1)n1ccnc1 ZINC000653017177 423260288 /nfs/dbraw/zinc/26/02/88/423260288.db2.gz QKJPWRINGMBIPV-FZMZJTMJSA-N -1 1 311.349 1.253 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H]1CC[C@H]1c1ccc(Cl)cc1F ZINC000648307628 423309826 /nfs/dbraw/zinc/30/98/26/423309826.db2.gz FZZWSWLTHNBNGN-WPRPVWTQSA-N -1 1 309.732 1.802 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@]3(C(=O)[O-])CC=CCC3)C2)n[nH]1 ZINC000653284883 423421229 /nfs/dbraw/zinc/42/12/29/423421229.db2.gz XTVRCAGCAYFXRK-WBMJQRKESA-N -1 1 318.377 1.630 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CC(CN2CCOCC2)C1 ZINC000646355849 423462328 /nfs/dbraw/zinc/46/23/28/423462328.db2.gz WCPNBXYUBPUQRN-UHFFFAOYSA-N -1 1 310.781 1.450 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](NC(=O)c1cccs1)C(C)C ZINC000646411854 423479885 /nfs/dbraw/zinc/47/98/85/423479885.db2.gz VLQZTYNUJOWBMX-JTQLQIEISA-N -1 1 322.390 1.878 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CNC(=O)c1ccc(F)c(F)c1 ZINC000646411419 423480173 /nfs/dbraw/zinc/48/01/73/423480173.db2.gz FUHNZQKXDJJYQA-UHFFFAOYSA-N -1 1 310.260 1.070 20 0 DDADMM C[C@](O)(C[N-]S(=O)(=O)C[C@@]1(C)CC1(F)F)C(F)(F)F ZINC000641401128 423611984 /nfs/dbraw/zinc/61/19/84/423611984.db2.gz XGPRFFVJFSXPEH-RQJHMYQMSA-N -1 1 311.272 1.264 20 0 DDADMM CC1(C)[C@H](CS(=O)(=O)[N-]Cc2nnc(C3CC3)o2)C1(F)F ZINC000641434120 423633588 /nfs/dbraw/zinc/63/35/88/423633588.db2.gz IFADVDYZRKWBOB-QMMMGPOBSA-N -1 1 321.349 1.658 20 0 DDADMM CNC(=O)C[C@@H](C)NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000654071861 423697768 /nfs/dbraw/zinc/69/77/68/423697768.db2.gz PBEPEXGHYQTMLK-SSDOTTSWSA-N -1 1 304.268 1.665 20 0 DDADMM COC1(C[C@H](NC(=O)c2n[nH]c3ccccc32)C(=O)[O-])CCC1 ZINC000652108944 423866569 /nfs/dbraw/zinc/86/65/69/423866569.db2.gz ADZRXUUHYYVVTP-LBPRGKRZSA-N -1 1 317.345 1.705 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@@H](C(=O)[O-])C3)c[n-]c2[nH+]1 ZINC000647215448 423875624 /nfs/dbraw/zinc/87/56/24/423875624.db2.gz VDNVYYLLKRNYFC-SECBINFHSA-N -1 1 301.302 1.191 20 0 DDADMM C[C@H]1C[C@@H]1C[N-]S(=O)(=O)c1ncccc1Br ZINC000656876966 423827750 /nfs/dbraw/zinc/82/77/50/423827750.db2.gz NXFDRPJSOGFDBI-JGVFFNPUSA-N -1 1 305.197 1.778 20 0 DDADMM CC[C@@H](C)c1nc([C@@H](C)NC(=O)NCC2(C(=O)[O-])CCC2)n[nH]1 ZINC000659423182 423827828 /nfs/dbraw/zinc/82/78/28/423827828.db2.gz PHSXLGZGAJQLEF-NXEZZACHSA-N -1 1 323.397 1.933 20 0 DDADMM O=C(c1ccc2n[nH]cc2c1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644883501 424012051 /nfs/dbraw/zinc/01/20/51/424012051.db2.gz LYRWQQLMSFFXJO-SNVBAGLBSA-N -1 1 313.317 1.671 20 0 DDADMM C[C@H](CC(F)(F)F)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000663968404 424309012 /nfs/dbraw/zinc/30/90/12/424309012.db2.gz HCEUEEQDLZKFMU-PSASIEDQSA-N -1 1 313.283 1.994 20 0 DDADMM Cc1cnc(C(=O)NC[C@@H](C)N2C[C@@H](C)O[C@@H](C)C2)c([O-])c1 ZINC000655242706 424335281 /nfs/dbraw/zinc/33/52/81/424335281.db2.gz DCTAOZXTECQIKU-UPJWGTAASA-N -1 1 307.394 1.323 20 0 DDADMM O=C(NCc1nc([C@@H]2CCCO2)n[nH]1)c1ccc([O-])c(F)c1 ZINC000655245467 424336276 /nfs/dbraw/zinc/33/62/76/424336276.db2.gz YDWCEBYAFALHOJ-NSHDSACASA-N -1 1 306.297 1.431 20 0 DDADMM C[C@H]1CN(C(=O)N=c2[nH]sc3ccccc32)CC[N@@H+]1CCO ZINC000640339005 424359362 /nfs/dbraw/zinc/35/93/62/424359362.db2.gz KIIUMSMPYHJULM-NSHDSACASA-N -1 1 320.418 1.249 20 0 DDADMM COCC[C@](C)(O)CNC(=O)N=c1[n-]sc2ccccc21 ZINC000640341189 424364964 /nfs/dbraw/zinc/36/49/64/424364964.db2.gz SVSDGPOIBGFUOZ-AWEZNQCLSA-N -1 1 309.391 1.627 20 0 DDADMM CC1(C)CNC(=O)[C@@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000660013885 424458771 /nfs/dbraw/zinc/45/87/71/424458771.db2.gz RYBBTOWNXRCWOW-JTQLQIEISA-N -1 1 320.773 1.282 20 0 DDADMM COc1ccccc1[C@@H]1CCCN1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662201624 424467656 /nfs/dbraw/zinc/46/76/56/424467656.db2.gz JMUZSQIPVOBBCT-UONOGXRCSA-N -1 1 318.373 1.518 20 0 DDADMM COc1ccc2c(c1)CCCN([C@H]1CCN(CC(=O)[O-])C1=O)C2 ZINC000662204069 424469624 /nfs/dbraw/zinc/46/96/24/424469624.db2.gz GKTSEJPZWOQVRU-HNNXBMFYSA-N -1 1 318.373 1.129 20 0 DDADMM C[C@@H]1C[C@H](c2ccccc2F)N([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662207320 424474037 /nfs/dbraw/zinc/47/40/37/424474037.db2.gz XAJAEQTXFMZZLJ-KCPJHIHWSA-N -1 1 320.364 1.894 20 0 DDADMM CC(C)(C)n1nnc(-c2nc(-c3ccc([O-])cc3F)no2)n1 ZINC000664849331 424709658 /nfs/dbraw/zinc/70/96/58/424709658.db2.gz HDZPBEIAEXMIAF-UHFFFAOYSA-N -1 1 304.285 1.990 20 0 DDADMM COC(=O)[C@H](CC(F)F)[N-]S(=O)(=O)Cc1cccc(C)c1 ZINC000341710883 271182626 /nfs/dbraw/zinc/18/26/26/271182626.db2.gz JIFUEGDOSXHJHC-NSHDSACASA-N -1 1 321.345 1.611 20 0 DDADMM COC(=O)[C@@H](CC(F)F)[N-]S(=O)(=O)Cc1ccccc1 ZINC000341713103 271183360 /nfs/dbraw/zinc/18/33/60/271183360.db2.gz DULBTEVNVRTCKL-SNVBAGLBSA-N -1 1 307.318 1.303 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1nc2ccccc2[nH]1 ZINC000343051434 271628245 /nfs/dbraw/zinc/62/82/45/271628245.db2.gz FDWJDRQOWITLKI-UHFFFAOYSA-N -1 1 311.301 1.032 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc(Cl)cc1O ZINC000344622908 272072306 /nfs/dbraw/zinc/07/23/06/272072306.db2.gz MLMPFXNXVGDNQI-UHFFFAOYSA-N -1 1 321.720 1.515 20 0 DDADMM C[C@H](O)C[C@@H]1CCCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000344742484 272107716 /nfs/dbraw/zinc/10/77/16/272107716.db2.gz UJVQJKXRQUGJSY-QWRGUYRKSA-N -1 1 318.377 1.440 20 0 DDADMM Cn1cnnc1CS(=O)(=O)c1n[n-]c(CCC2CCCC2)n1 ZINC000090989171 281037789 /nfs/dbraw/zinc/03/77/89/281037789.db2.gz DKKAGRFNUUSDKC-UHFFFAOYSA-N -1 1 324.410 1.030 20 0 DDADMM O=C(NCCNC(=O)c1c([O-])cccc1F)c1cccnc1 ZINC000122997456 281164650 /nfs/dbraw/zinc/16/46/50/281164650.db2.gz ZHXCOTRYRGEEIC-UHFFFAOYSA-N -1 1 303.293 1.086 20 0 DDADMM O=C(NC[C@@H]1CCCS1(=O)=O)c1cc(Cl)ccc1[O-] ZINC000127029742 281260544 /nfs/dbraw/zinc/26/05/44/281260544.db2.gz SJHFKULYQBPWHH-VIFPVBQESA-N -1 1 303.767 1.353 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H](NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1(C)C ZINC000287816284 294009094 /nfs/dbraw/zinc/00/90/94/294009094.db2.gz YQYWRGPACIJEAR-QFGVSQMSSA-N -1 1 317.393 1.523 20 0 DDADMM CCOC(=O)[C@H]1CCCCC[N@H+]1CCCNC(=O)C(F)(F)F ZINC000362304061 300047247 /nfs/dbraw/zinc/04/72/47/300047247.db2.gz DTDPIDGKFVIOOQ-LLVKDONJSA-N -1 1 324.343 1.863 20 0 DDADMM O=C(CCc1nnc(-c2ccc(Cl)cc2)o1)Nc1nnn[n-]1 ZINC000363301646 300247723 /nfs/dbraw/zinc/24/77/23/300247723.db2.gz BBGYJQSPWOBOBO-UHFFFAOYSA-N -1 1 319.712 1.474 20 0 DDADMM O=C(CCc1nnc(-c2ccc(Cl)cc2)o1)Nc1nn[n-]n1 ZINC000363301646 300247724 /nfs/dbraw/zinc/24/77/24/300247724.db2.gz BBGYJQSPWOBOBO-UHFFFAOYSA-N -1 1 319.712 1.474 20 0 DDADMM CC[C@H](CC1CCCC1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363407855 300263215 /nfs/dbraw/zinc/26/32/15/300263215.db2.gz HGGIBMNQTKWHLY-CHWSQXEVSA-N -1 1 307.398 1.706 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCO[C@@H](c2ncon2)C1 ZINC000364261057 300364188 /nfs/dbraw/zinc/36/41/88/300364188.db2.gz KROMYUWAOAFOPP-LLVKDONJSA-N -1 1 309.709 1.642 20 0 DDADMM O=c1cc(CN2CC[C@H]3CCOC(=O)[C@@H]32)c2ccc([O-])cc2o1 ZINC000364358215 300383222 /nfs/dbraw/zinc/38/32/22/300383222.db2.gz FMSSHIJILYDBTN-MGPLVRAMSA-N -1 1 315.325 1.636 20 0 DDADMM COc1cccc(N2C[C@H](C(=O)[N-]OCCSC)CC2=O)c1 ZINC000366550579 300714721 /nfs/dbraw/zinc/71/47/21/300714721.db2.gz FZKRKLABCRUMOQ-LLVKDONJSA-N -1 1 324.402 1.459 20 0 DDADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(F)c(C)c2)C1 ZINC000367865916 300900987 /nfs/dbraw/zinc/90/09/87/300900987.db2.gz QYXHIVMZWOXXJW-GFCCVEGCSA-N -1 1 324.352 1.571 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)[C@H]1CCCN(c2ccccn2)C1 ZINC000373076274 301659743 /nfs/dbraw/zinc/65/97/43/301659743.db2.gz KZYUQFJMPMTNKE-DZGCQCFKSA-N -1 1 305.378 1.872 20 0 DDADMM CCc1[nH+]ccn1C[C@@H]1CCCN1C(=O)c1csc(=O)[nH]1 ZINC000375999134 302022007 /nfs/dbraw/zinc/02/20/07/302022007.db2.gz JPLDRXVSBUDRJY-JTQLQIEISA-N -1 1 306.391 1.912 20 0 DDADMM C[C@@H]1Oc2ccccc2[C@H]1NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000377491349 302184253 /nfs/dbraw/zinc/18/42/53/302184253.db2.gz MZWAOKDQHIEIHM-PPDALBNUSA-N -1 1 323.356 1.986 20 0 DDADMM COC[C@]1(C[N-]C(=O)C(F)(F)F)OCCc2ccccc21 ZINC000377958459 302256603 /nfs/dbraw/zinc/25/66/03/302256603.db2.gz DUHIHTXPAPPKSY-ZDUSSCGKSA-N -1 1 303.280 1.780 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCc2n[nH]nc2C1 ZINC000396932940 302351460 /nfs/dbraw/zinc/35/14/60/302351460.db2.gz YBXGGRHSLJKKDI-UHFFFAOYSA-N -1 1 323.150 1.471 20 0 DDADMM CC[C@H]1CN(C(=O)c2cc(Br)ccc2[O-])C[C@@H]1O ZINC000400425997 302369575 /nfs/dbraw/zinc/36/95/75/302369575.db2.gz ANJGYNIMXYYSKG-UFBFGSQYSA-N -1 1 314.179 1.998 20 0 DDADMM COC(=O)N1CCC[C@@H](C(=O)Nc2nc(Cl)ccc2[O-])C1 ZINC000496432350 302574438 /nfs/dbraw/zinc/57/44/38/302574438.db2.gz HRKMVEIIUJNFGO-MRVPVSSYSA-N -1 1 313.741 1.858 20 0 DDADMM COc1cccc([C@@H](CN=c2[n-]c(C(N)=O)cs2)OC)c1 ZINC000528071942 303001515 /nfs/dbraw/zinc/00/15/15/303001515.db2.gz VPXFEMHTQAWVLN-GFCCVEGCSA-N -1 1 307.375 1.472 20 0 DDADMM O=S(=O)([N-]CC12CC(C1)CO2)c1cc(F)c(F)cc1F ZINC000528531773 303040323 /nfs/dbraw/zinc/04/03/23/303040323.db2.gz OKLWOWOFBRBNMK-UHFFFAOYSA-N -1 1 307.293 1.561 20 0 DDADMM CCC[C@H](NC(=O)[C@@H]1C[C@]1(C)Br)c1nn[n-]n1 ZINC000528800141 303065261 /nfs/dbraw/zinc/06/52/61/303065261.db2.gz FEJAWJZPCWPING-BYULHYEWSA-N -1 1 302.176 1.331 20 0 DDADMM CCn1nncc1CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000529557204 303137008 /nfs/dbraw/zinc/13/70/08/303137008.db2.gz DRWRLYWRWCRDDG-UHFFFAOYSA-N -1 1 310.741 1.596 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CC[C@H]2CC[C@@H](C1)[S@]2=O ZINC000530064718 303172351 /nfs/dbraw/zinc/17/23/51/303172351.db2.gz JYGGAHKUONRGLO-ULQSSITMSA-N -1 1 304.375 1.084 20 0 DDADMM CC1(C)[C@@H](c2ccc(F)cc2)CCN1CC(=O)NCC(=O)[O-] ZINC000530206697 303183410 /nfs/dbraw/zinc/18/34/10/303183410.db2.gz MLXRUKXOXWYESD-CYBMUJFWSA-N -1 1 308.353 1.594 20 0 DDADMM O=C(NCc1nccn1C(F)F)c1csc(=NC2CC2)[n-]1 ZINC000532663675 303292804 /nfs/dbraw/zinc/29/28/04/303292804.db2.gz IHWPWKMCOWGZQA-UHFFFAOYSA-N -1 1 313.333 1.661 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccc3ccccc3c2)[n-]n1C ZINC000547500088 303536868 /nfs/dbraw/zinc/53/68/68/303536868.db2.gz SXCXRJLAWMNMTG-UHFFFAOYSA-N -1 1 317.370 1.805 20 0 DDADMM Cc1n[nH]cc1S(=O)(=O)Nc1ccc(N(C)C)cc1C(=O)[O-] ZINC000547879041 303557662 /nfs/dbraw/zinc/55/76/62/303557662.db2.gz KLQSWXPCCFATIZ-UHFFFAOYSA-N -1 1 324.362 1.283 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCC[C@H](S(C)(=O)=O)C2)c([O-])c1 ZINC000547976813 303564676 /nfs/dbraw/zinc/56/46/76/303564676.db2.gz NCKBWDBCQLCNKE-MNOVXSKESA-N -1 1 312.391 1.181 20 0 DDADMM O=C(CCOc1ccccc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000366646064 307078429 /nfs/dbraw/zinc/07/84/29/307078429.db2.gz UENUZBAKUIKOLC-LLVKDONJSA-N -1 1 319.340 1.514 20 0 DDADMM CSc1ccc(C)c(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000370863489 307138671 /nfs/dbraw/zinc/13/86/71/307138671.db2.gz WFIPLMPBPISCOT-LBPRGKRZSA-N -1 1 319.390 1.444 20 0 DDADMM Cc1cnc(C(=O)N2CCC(O[C@@H]3CCOC3)CC2)c([O-])c1 ZINC000427251856 307302802 /nfs/dbraw/zinc/30/28/02/307302802.db2.gz UONNQQAHWPUDIW-CYBMUJFWSA-N -1 1 306.362 1.506 20 0 DDADMM C[C@H]1COCCN1CC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000548369420 307765728 /nfs/dbraw/zinc/76/57/28/307765728.db2.gz ZJTRDWDHUPWQQY-LBPRGKRZSA-N -1 1 324.402 1.432 20 0 DDADMM Cn1cc([C@@H]2N(C(=O)C(=O)c3ccc([O-])cc3)CC2(C)C)cn1 ZINC000563273923 307962922 /nfs/dbraw/zinc/96/29/22/307962922.db2.gz ZQSRNDOANBIHKD-HNNXBMFYSA-N -1 1 313.357 1.918 20 0 DDADMM CO[C@@H]1COC[C@@H]1Nc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1 ZINC000563606085 307977168 /nfs/dbraw/zinc/97/71/68/307977168.db2.gz ANHJOOBDZLAPRS-QWHCGFSZSA-N -1 1 316.361 1.687 20 0 DDADMM CO[C@H](C)c1nc(=NC(=O)N[C@@H]2CCc3nc[nH]c3C2)s[n-]1 ZINC000570051869 308158319 /nfs/dbraw/zinc/15/83/19/308158319.db2.gz ZVTMHBRXOMUJGD-HTQZYQBOSA-N -1 1 322.394 1.070 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCS(=O)(=O)[C@H]2CCC[C@H]21 ZINC000570657731 308175637 /nfs/dbraw/zinc/17/56/37/308175637.db2.gz OAAYSELWPWFCCU-OLZOCXBDSA-N -1 1 313.350 1.323 20 0 DDADMM COC(=O)C(C)(C)c1ccc(S(=O)(=O)[N-]c2cnc[nH]2)cc1 ZINC000572856809 308225939 /nfs/dbraw/zinc/22/59/39/308225939.db2.gz HCQYGOAEFBZRTL-UHFFFAOYSA-N -1 1 323.374 1.661 20 0 DDADMM O=C(CCOc1ccc2ccccc2c1)NC1(c2nn[n-]n2)CC1 ZINC000573391622 308239871 /nfs/dbraw/zinc/23/98/71/308239871.db2.gz HNVDFRGZPRSYOK-UHFFFAOYSA-N -1 1 323.356 1.927 20 0 DDADMM O=C(NCC[C@@H]1COc2ccccc2O1)c1ncccc1[O-] ZINC000574016141 308258894 /nfs/dbraw/zinc/25/88/94/308258894.db2.gz UNVBFSXKMGBEMT-LLVKDONJSA-N -1 1 300.314 1.747 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCO[C@H](c3cnn(C)c3)C2)c([O-])c1 ZINC000577885855 308422209 /nfs/dbraw/zinc/42/22/09/308422209.db2.gz WOWKIXKURGUSAZ-JSGCOSHPSA-N -1 1 316.361 1.479 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1C[C@@H](C)[C@H]1C ZINC000580592858 308617307 /nfs/dbraw/zinc/61/73/07/308617307.db2.gz REJXNIMQKBEQEP-ZYHUDNBSSA-N -1 1 312.373 1.550 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(C(=O)[C@H]2NCCc3ccccc32)C1 ZINC000387143246 325764770 /nfs/dbraw/zinc/76/47/70/325764770.db2.gz DPAFMSYPMXPXAK-LRDDRELGSA-N -1 1 302.374 1.587 20 0 DDADMM Cc1nc(CCNc2nc3ccccc3c(C)c2C(=O)[O-])n[nH]1 ZINC000584294474 332221961 /nfs/dbraw/zinc/22/19/61/332221961.db2.gz VKSPKVBVBLAMQE-UHFFFAOYSA-N -1 1 311.345 1.744 20 0 DDADMM Cc1sc(=NCc2nnc3n2CCCCC3)[nH]c1C(=O)[O-] ZINC000582912238 337216901 /nfs/dbraw/zinc/21/69/01/337216901.db2.gz WWPVWCFIJXLUJK-UHFFFAOYSA-N -1 1 307.379 1.502 20 0 DDADMM C[C@H]1CC[C@H](C(=O)[O-])CN1CC(=O)Nc1ccc2c(c1)OCO2 ZINC000397510237 337269419 /nfs/dbraw/zinc/26/94/19/337269419.db2.gz WZYAKICAHMYAOS-QWRGUYRKSA-N -1 1 320.345 1.539 20 0 DDADMM CCc1nc(CNC(=O)N2CCC(CCC(=O)[O-])CC2)n[nH]1 ZINC000392336220 483985634 /nfs/dbraw/zinc/98/56/34/483985634.db2.gz CYKLXUQHYIXGFD-UHFFFAOYSA-N -1 1 309.370 1.154 20 0 DDADMM C[C@@H](NC(=O)N[C@H](C)[C@@H]1C[C@H](C(=O)[O-])C1(C)C)c1nnc[nH]1 ZINC000655901900 483995801 /nfs/dbraw/zinc/99/58/01/483995801.db2.gz MJPCIEALZPYOET-DOLQZWNJSA-N -1 1 309.370 1.300 20 0 DDADMM COC(=O)[C@@H]1CC[C@H](C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)C1 ZINC000425306244 484117409 /nfs/dbraw/zinc/11/74/09/484117409.db2.gz RZSZIBPVYXFKFC-AXFHLTTASA-N -1 1 323.349 1.071 20 0 DDADMM CC(C)OCCS(=O)(=O)[N-]c1ccc2c(cc[nH]c2=O)c1 ZINC000656633412 484252741 /nfs/dbraw/zinc/25/27/41/484252741.db2.gz BAFJCZAVODDJGO-UHFFFAOYSA-N -1 1 310.375 1.695 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]C(C)(C)C(C)(F)F)[C@H]1CCOC1 ZINC000656735659 484308141 /nfs/dbraw/zinc/30/81/41/484308141.db2.gz SYLPXUXZYHTMOC-VHSXEESVSA-N -1 1 315.382 1.391 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C1CC1)C1CCCCC1 ZINC000667942337 484861010 /nfs/dbraw/zinc/86/10/10/484861010.db2.gz YGQLLEGQNDECFU-UHFFFAOYSA-N -1 1 307.350 1.834 20 0 DDADMM CC(=O)NCC[N@@H+](Cc1ccccc1C1CC1)[C@@H](C)C(=O)[O-] ZINC000666584172 485281668 /nfs/dbraw/zinc/28/16/68/485281668.db2.gz JSOVRZUSHUTLKG-LBPRGKRZSA-N -1 1 304.390 1.975 20 0 DDADMM CC(=O)NCC[C@@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000673364497 485407155 /nfs/dbraw/zinc/40/71/55/485407155.db2.gz ROFHDOCQOCXLFX-LBPRGKRZSA-N -1 1 308.353 1.910 20 0 DDADMM CN(C)C(=O)CCCNC(=O)c1ncc2ccccc2c1[O-] ZINC000667128450 485410980 /nfs/dbraw/zinc/41/09/80/485410980.db2.gz OECMHEXNIUJCGE-UHFFFAOYSA-N -1 1 301.346 1.539 20 0 DDADMM CC(C)Cc1ccc([C@@H](CN=c2nn[n-]n2C)N(C)C)cc1 ZINC000673480415 485417997 /nfs/dbraw/zinc/41/79/97/485417997.db2.gz LEGAKLDVSULSCX-OAHLLOKOSA-N -1 1 302.426 1.545 20 0 DDADMM O=C(NCCCc1cc(=O)[nH][nH]1)c1ccc2ccccc2c1[O-] ZINC000673545815 485435007 /nfs/dbraw/zinc/43/50/07/485435007.db2.gz FWTFUNUBVGLOCC-UHFFFAOYSA-N -1 1 311.341 1.931 20 0 DDADMM CCNC(=O)CN(C)C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000678960398 485740476 /nfs/dbraw/zinc/74/04/76/485740476.db2.gz LXUKKSGDFPTIOP-UHFFFAOYSA-N -1 1 304.268 1.619 20 0 DDADMM O=S(=O)(CCn1cccn1)c1nc(-c2ccccc2)n[n-]1 ZINC000683687771 486081058 /nfs/dbraw/zinc/08/10/58/486081058.db2.gz VWROCZWWXIVFLI-UHFFFAOYSA-N -1 1 303.347 1.142 20 0 DDADMM O=S(=O)(CCn1cccn1)c1n[n-]c(-c2ccccc2)n1 ZINC000683687771 486081066 /nfs/dbraw/zinc/08/10/66/486081066.db2.gz VWROCZWWXIVFLI-UHFFFAOYSA-N -1 1 303.347 1.142 20 0 DDADMM CC1CCN(CC(=O)N[C@H](c2nnn[n-]2)c2ccccc2)CC1 ZINC000676122640 486123739 /nfs/dbraw/zinc/12/37/39/486123739.db2.gz CIYYERPFUSBQLX-HNNXBMFYSA-N -1 1 314.393 1.137 20 0 DDADMM COc1ccccc1[C@H](C)NC(=O)CNC1(C(=O)[O-])CCCC1 ZINC000676231985 486155109 /nfs/dbraw/zinc/15/51/09/486155109.db2.gz OQFDPUPUFZLTEQ-LBPRGKRZSA-N -1 1 320.389 1.859 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2cc(F)c([O-])c(F)c2)C1 ZINC000680817131 486271143 /nfs/dbraw/zinc/27/11/43/486271143.db2.gz NZVVUHZVSWZBNX-NSHDSACASA-N -1 1 314.332 1.511 20 0 DDADMM O=C(NC1(c2ncon2)CCOCC1)c1ccc([O-])cc1F ZINC000680874324 486292458 /nfs/dbraw/zinc/29/24/58/486292458.db2.gz XQFYDOYUFGIASV-UHFFFAOYSA-N -1 1 307.281 1.350 20 0 DDADMM O=C(N[C@H]1CS(=O)(=O)c2ccccc21)c1ccc([O-])c(F)c1 ZINC000681048061 486331474 /nfs/dbraw/zinc/33/14/74/486331474.db2.gz WOSFCHJTDGLUPC-LBPRGKRZSA-N -1 1 321.329 1.790 20 0 DDADMM COCc1nc2n(n1)C[C@@H](NC(=O)c1ccc([O-])c(F)c1)CC2 ZINC000681055642 486333434 /nfs/dbraw/zinc/33/34/34/486333434.db2.gz NVTFHWXRZCZFPM-JTQLQIEISA-N -1 1 320.324 1.014 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C(=O)N3CCCC3)CC2)c([O-])c1 ZINC000681711810 486498274 /nfs/dbraw/zinc/49/82/74/486498274.db2.gz JFUVYGOJYZTOPU-UHFFFAOYSA-N -1 1 318.377 1.069 20 0 DDADMM O=C(c1ccc2[nH]c(=O)oc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677928679 486581915 /nfs/dbraw/zinc/58/19/15/486581915.db2.gz HQZHQKOJROAFLJ-SECBINFHSA-N -1 1 314.305 1.066 20 0 DDADMM COC(=O)c1cccc([N-]S(=O)(=O)c2cn[nH]c2)c1Cl ZINC000431178582 534278367 /nfs/dbraw/zinc/27/83/67/534278367.db2.gz JYZMKIVXVGSDAG-UHFFFAOYSA-N -1 1 315.738 1.651 20 0 DDADMM COC(=O)c1c[n-]c(SCC(C)(C)CS(C)(=O)=O)n1 ZINC000432667998 534307629 /nfs/dbraw/zinc/30/76/29/534307629.db2.gz IECFMIMRNCXGDK-UHFFFAOYSA-N -1 1 306.409 1.359 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N=c3nc[nH]n3C(C)C)cnc2n1 ZINC000412908663 534397776 /nfs/dbraw/zinc/39/77/76/534397776.db2.gz BHWYHCNZJZJONS-UHFFFAOYSA-N -1 1 312.333 1.490 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3CCC[C@@H](CO)C3)cnc2n1 ZINC000412910951 534401621 /nfs/dbraw/zinc/40/16/21/534401621.db2.gz JOCMLUJEBVTGPV-VXGBXAGGSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@@H]3CCC[C@@H](CO)C3)c[n-]c2n1 ZINC000412910951 534401625 /nfs/dbraw/zinc/40/16/25/534401625.db2.gz JOCMLUJEBVTGPV-VXGBXAGGSA-N -1 1 315.373 1.925 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCCCNC2=O)sc1C ZINC000329511876 534520930 /nfs/dbraw/zinc/52/09/30/534520930.db2.gz NHEGHEIBSITQAH-VIFPVBQESA-N -1 1 303.409 1.547 20 0 DDADMM Cc1cccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1O ZINC000332488431 534588568 /nfs/dbraw/zinc/58/85/68/534588568.db2.gz YREXDUZEDWTPLA-UHFFFAOYSA-N -1 1 303.318 1.809 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC(O)(C(F)F)C1 ZINC000425015262 534695828 /nfs/dbraw/zinc/69/58/28/534695828.db2.gz DVWPSFIXRBTGDA-UHFFFAOYSA-N -1 1 311.206 1.863 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@](C)(C(=O)[N-]O[C@H]2CCOC2)C1 ZINC000495158955 526418865 /nfs/dbraw/zinc/41/88/65/526418865.db2.gz YMNWADCNOCIHGZ-XHDPSFHLSA-N -1 1 314.382 1.470 20 0 DDADMM CC(C)Oc1ccc(C(=O)N=c2nc(-c3ccccn3)[nH][n-]2)nc1 ZINC000444021878 528141324 /nfs/dbraw/zinc/14/13/24/528141324.db2.gz JGCVPYGHBZVSHX-UHFFFAOYSA-N -1 1 324.344 1.723 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CC[C@H](C)C2)o1 ZINC000444210090 528243708 /nfs/dbraw/zinc/24/37/08/528243708.db2.gz DQUXQKWKNFGJSU-UWVGGRQHSA-N -1 1 301.364 1.923 20 0 DDADMM CC(C)c1nn(C)cc1[N-]S(=O)(=O)CCOCC1CC1 ZINC000424061350 528403113 /nfs/dbraw/zinc/40/31/13/528403113.db2.gz FJCXHGSGQYAPMD-UHFFFAOYSA-N -1 1 301.412 1.712 20 0 DDADMM CC(C)n1cnnc1CN(C)C(=O)C(=O)c1ccc([O-])cc1 ZINC000293935637 528633193 /nfs/dbraw/zinc/63/31/93/528633193.db2.gz HZHQSEZZGBHPQP-UHFFFAOYSA-N -1 1 302.334 1.406 20 0 DDADMM CC(F)(F)CCCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000452199856 528719005 /nfs/dbraw/zinc/71/90/05/528719005.db2.gz HWQBVWCPSZVMQT-UHFFFAOYSA-N -1 1 301.293 1.069 20 0 DDADMM CCC(CC)[C@H](CCN=c1ccc(C(=O)N(C)C)n[n-]1)OC ZINC000413200528 528847834 /nfs/dbraw/zinc/84/78/34/528847834.db2.gz KHMXYPKNVYBDRP-AWEZNQCLSA-N -1 1 308.426 1.854 20 0 DDADMM CC1(C)C(=O)N[C@@H]1C1CCN(C(=O)c2ccc([O-])cc2F)CC1 ZINC000294796541 528866082 /nfs/dbraw/zinc/86/60/82/528866082.db2.gz PCLLQLOVZLEWJL-CQSZACIVSA-N -1 1 320.364 1.908 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C(=O)OC)oc1C)C(F)F ZINC000451081926 529194920 /nfs/dbraw/zinc/19/49/20/529194920.db2.gz NCCCKZBRMXZBFR-SSDOTTSWSA-N -1 1 311.306 1.697 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1c(C)nn(C)c1Cl)C(=O)OC ZINC000299580352 529372193 /nfs/dbraw/zinc/37/21/93/529372193.db2.gz CVMOZGHWOUPZDU-NSHDSACASA-N -1 1 323.802 1.002 20 0 DDADMM Cc1nonc1CSc1nc(C)nc(C)c1-c1nn[n-]n1 ZINC000737471393 598892530 /nfs/dbraw/zinc/89/25/30/598892530.db2.gz PRESDDWOEQDPQN-UHFFFAOYSA-N -1 1 304.339 1.257 20 0 DDADMM CN(C[C@H]1CCCO1)c1c2ccccc2nnc1-c1nnn[n-]1 ZINC000736790282 598965040 /nfs/dbraw/zinc/96/50/40/598965040.db2.gz GBYKNXARVYTNND-SNVBAGLBSA-N -1 1 311.349 1.425 20 0 DDADMM CN(C[C@H]1CCCO1)c1c2ccccc2nnc1-c1nn[n-]n1 ZINC000736790282 598965042 /nfs/dbraw/zinc/96/50/42/598965042.db2.gz GBYKNXARVYTNND-SNVBAGLBSA-N -1 1 311.349 1.425 20 0 DDADMM COc1cccc([C@@H](C)NC(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737127152 598987722 /nfs/dbraw/zinc/98/77/22/598987722.db2.gz PCALYWAHFVPBIJ-SNVBAGLBSA-N -1 1 324.344 1.761 20 0 DDADMM COc1cccc([C@@H](C)NC(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737127152 598987724 /nfs/dbraw/zinc/98/77/24/598987724.db2.gz PCALYWAHFVPBIJ-SNVBAGLBSA-N -1 1 324.344 1.761 20 0 DDADMM CC(C)[C@H](C)N(C(=O)c1ccc(-c2nnn[n-]2)nc1)C1CC1 ZINC000735703903 598990469 /nfs/dbraw/zinc/99/04/69/598990469.db2.gz XMBWMWZUYWSBIB-JTQLQIEISA-N -1 1 300.366 1.911 20 0 DDADMM CC(C)[C@H](C)N(C(=O)c1ccc(-c2nn[n-]n2)nc1)C1CC1 ZINC000735703903 598990470 /nfs/dbraw/zinc/99/04/70/598990470.db2.gz XMBWMWZUYWSBIB-JTQLQIEISA-N -1 1 300.366 1.911 20 0 DDADMM O=C(NCCOC1CCCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738083305 599024341 /nfs/dbraw/zinc/02/43/41/599024341.db2.gz UKFIEYPXIVCYTM-UHFFFAOYSA-N -1 1 316.365 1.341 20 0 DDADMM O=C(NCCOC1CCCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738083305 599024342 /nfs/dbraw/zinc/02/43/42/599024342.db2.gz UKFIEYPXIVCYTM-UHFFFAOYSA-N -1 1 316.365 1.341 20 0 DDADMM CC(C)CCOCCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735820198 599024796 /nfs/dbraw/zinc/02/47/96/599024796.db2.gz QCFGSXXHJNXFGG-UHFFFAOYSA-N -1 1 304.354 1.054 20 0 DDADMM CC(C)CCOCCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735820198 599024799 /nfs/dbraw/zinc/02/47/99/599024799.db2.gz QCFGSXXHJNXFGG-UHFFFAOYSA-N -1 1 304.354 1.054 20 0 DDADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)Oc1cccc(F)c1 ZINC000820281211 599060201 /nfs/dbraw/zinc/06/02/01/599060201.db2.gz TZPDVZQUXPLJQF-SECBINFHSA-N -1 1 315.312 1.675 20 0 DDADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)Oc1cccc(F)c1 ZINC000820281211 599060204 /nfs/dbraw/zinc/06/02/04/599060204.db2.gz TZPDVZQUXPLJQF-SECBINFHSA-N -1 1 315.312 1.675 20 0 DDADMM Cc1nonc1CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000822530766 599065729 /nfs/dbraw/zinc/06/57/29/599065729.db2.gz OGHHWIKLBJCVJX-UHFFFAOYSA-N -1 1 309.293 1.113 20 0 DDADMM Cc1nonc1CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000822530766 599065732 /nfs/dbraw/zinc/06/57/32/599065732.db2.gz OGHHWIKLBJCVJX-UHFFFAOYSA-N -1 1 309.293 1.113 20 0 DDADMM CCn1cc(-c2nn[n-]n2)c(=O)n(CCC(C)(C)CC)c1=O ZINC000821565484 599123491 /nfs/dbraw/zinc/12/34/91/599123491.db2.gz UZURHTWPJXARIY-UHFFFAOYSA-N -1 1 306.370 1.036 20 0 DDADMM CCSCC[C@H](C)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821462182 599218652 /nfs/dbraw/zinc/21/86/52/599218652.db2.gz WSJKZHVOIXDKAE-VIFPVBQESA-N -1 1 306.395 1.523 20 0 DDADMM CCSCC[C@H](C)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821462182 599218654 /nfs/dbraw/zinc/21/86/54/599218654.db2.gz WSJKZHVOIXDKAE-VIFPVBQESA-N -1 1 306.395 1.523 20 0 DDADMM O=C([O-])C1(NC(=O)Nc2cnn(-c3ccncc3)c2)CCCC1 ZINC000819220089 597210374 /nfs/dbraw/zinc/21/03/74/597210374.db2.gz ZVAGKRSOHICPCW-UHFFFAOYSA-N -1 1 315.333 1.786 20 0 DDADMM COc1ccc(NCc2ccc(-c3nnn[n-]3)o2)cc1CO ZINC000821835036 607314400 /nfs/dbraw/zinc/31/44/00/607314400.db2.gz BLSIYCQCRQAPCN-UHFFFAOYSA-N -1 1 301.306 1.573 20 0 DDADMM COc1ccc(NCc2ccc(-c3nn[n-]n3)o2)cc1CO ZINC000821835036 607314401 /nfs/dbraw/zinc/31/44/01/607314401.db2.gz BLSIYCQCRQAPCN-UHFFFAOYSA-N -1 1 301.306 1.573 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@@H](C(=O)[O-])C2)c1Br ZINC000769512258 597501102 /nfs/dbraw/zinc/50/11/02/597501102.db2.gz YZWOUPLJHGAWOO-ZCFIWIBFSA-N -1 1 302.128 1.027 20 0 DDADMM CC(C)[C@@H](NCC(=O)N[C@@H](c1ccccc1)C1CC1)C(=O)[O-] ZINC000820041318 598188720 /nfs/dbraw/zinc/18/87/20/598188720.db2.gz BHQZDJFVVIUGJD-CVEARBPZSA-N -1 1 304.390 1.953 20 0 DDADMM CC[C@@H]1CCCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736398780 598259553 /nfs/dbraw/zinc/25/95/53/598259553.db2.gz DGHMIXBHCSQMQR-SNVBAGLBSA-N -1 1 322.394 1.215 20 0 DDADMM CC[C@@H]1CCCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736398780 598259554 /nfs/dbraw/zinc/25/95/54/598259554.db2.gz DGHMIXBHCSQMQR-SNVBAGLBSA-N -1 1 322.394 1.215 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nnn[n-]2)c1C(=O)N[C@@H]1C[C@H]1C ZINC000737548380 598501609 /nfs/dbraw/zinc/50/16/09/598501609.db2.gz PHGKAUXYIZVHOG-PSASIEDQSA-N -1 1 312.333 1.697 20 0 DDADMM Cc1oc(-n2cccc2)c(-c2nn[n-]n2)c1C(=O)N[C@@H]1C[C@H]1C ZINC000737548380 598501610 /nfs/dbraw/zinc/50/16/10/598501610.db2.gz PHGKAUXYIZVHOG-PSASIEDQSA-N -1 1 312.333 1.697 20 0 DDADMM O[C@H]1CCC[C@H]1CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000738388839 599324783 /nfs/dbraw/zinc/32/47/83/599324783.db2.gz HCTBRYORCPSMHL-WDSKDSINSA-N -1 1 300.775 1.550 20 0 DDADMM O[C@H]1CCC[C@H]1CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000738388839 599324786 /nfs/dbraw/zinc/32/47/86/599324786.db2.gz HCTBRYORCPSMHL-WDSKDSINSA-N -1 1 300.775 1.550 20 0 DDADMM COc1ccccc1OCCNc1cccc(-c2nnn[n-]2)n1 ZINC000737152838 599395905 /nfs/dbraw/zinc/39/59/05/599395905.db2.gz RZSLSIQLTJQOBL-UHFFFAOYSA-N -1 1 312.333 1.761 20 0 DDADMM COc1ccccc1OCCNc1cccc(-c2nn[n-]n2)n1 ZINC000737152838 599395908 /nfs/dbraw/zinc/39/59/08/599395908.db2.gz RZSLSIQLTJQOBL-UHFFFAOYSA-N -1 1 312.333 1.761 20 0 DDADMM CCNC(=O)c1cccc(CNc2cccc(-c3nnn[n-]3)n2)c1 ZINC000736577979 599409090 /nfs/dbraw/zinc/40/90/90/599409090.db2.gz NNYAXMWSQNRJPS-UHFFFAOYSA-N -1 1 323.360 1.624 20 0 DDADMM CCNC(=O)c1cccc(CNc2cccc(-c3nn[n-]n3)n2)c1 ZINC000736577979 599409091 /nfs/dbraw/zinc/40/90/91/599409091.db2.gz NNYAXMWSQNRJPS-UHFFFAOYSA-N -1 1 323.360 1.624 20 0 DDADMM C[C@@H](NCc1ccc(-c2nnn[n-]2)o1)c1nc(C(C)(C)C)no1 ZINC000820432065 599462943 /nfs/dbraw/zinc/46/29/43/599462943.db2.gz LXRXZPQOWKRALL-MRVPVSSYSA-N -1 1 317.353 1.991 20 0 DDADMM C[C@@H](NCc1ccc(-c2nn[n-]n2)o1)c1nc(C(C)(C)C)no1 ZINC000820432065 599462946 /nfs/dbraw/zinc/46/29/46/599462946.db2.gz LXRXZPQOWKRALL-MRVPVSSYSA-N -1 1 317.353 1.991 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)nc1)C1CCCC1 ZINC000823396529 599662883 /nfs/dbraw/zinc/66/28/83/599662883.db2.gz KWKHKRWYKPRJJW-UHFFFAOYSA-N -1 1 301.306 1.178 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)nc1)C1CCCC1 ZINC000823396529 599662884 /nfs/dbraw/zinc/66/28/84/599662884.db2.gz KWKHKRWYKPRJJW-UHFFFAOYSA-N -1 1 301.306 1.178 20 0 DDADMM CN(C(=O)CN1CCC[C@@H](CCO)C1)c1ccccc1C(=O)[O-] ZINC000737324563 599814484 /nfs/dbraw/zinc/81/44/84/599814484.db2.gz SFAGSAXPZFQMFM-ZDUSSCGKSA-N -1 1 320.389 1.442 20 0 DDADMM COc1cccc(NC(=O)CN(C[C@@H](C)C(=O)[O-])C2CC2)c1 ZINC000738107342 599948907 /nfs/dbraw/zinc/94/89/07/599948907.db2.gz YEOPHEZUPOMQQR-LLVKDONJSA-N -1 1 306.362 1.819 20 0 DDADMM C[C@@H](O)[C@@H]1CCCCN1CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000736678850 600090453 /nfs/dbraw/zinc/09/04/53/600090453.db2.gz XZAKZSBGOZXXRI-OLZOCXBDSA-N -1 1 312.410 1.125 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)c2cccc(Br)c2O)C1 ZINC000739594397 600101970 /nfs/dbraw/zinc/10/19/70/600101970.db2.gz OJSAAHZZZIOGKF-SSDOTTSWSA-N -1 1 314.135 1.701 20 0 DDADMM C[C@H]1CN(CCC(=O)NCC(=O)[O-])C[C@@H](c2ccccc2)O1 ZINC000736813151 600160261 /nfs/dbraw/zinc/16/02/61/600160261.db2.gz ZAKGXTMWUCPPCC-JSGCOSHPSA-N -1 1 306.362 1.039 20 0 DDADMM C[C@H](c1cc(F)ccc1F)N(C)CCC(=O)N(C)CC(=O)[O-] ZINC000736715330 600160290 /nfs/dbraw/zinc/16/02/90/600160290.db2.gz QGQJZGBQKVTSIQ-SNVBAGLBSA-N -1 1 314.332 1.891 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)Nc1ccc(C#N)cn1 ZINC000314929995 600178741 /nfs/dbraw/zinc/17/87/41/600178741.db2.gz RHPKWZCYPDCAMN-UHFFFAOYSA-N -1 1 317.326 1.761 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN1CCc2ccccc2CC1)C(=O)[O-] ZINC000736886458 600216390 /nfs/dbraw/zinc/21/63/90/600216390.db2.gz PNVHMYOUESCWPI-GUYCJALGSA-N -1 1 318.417 1.703 20 0 DDADMM C[C@H](Sc1ccccc1C(=O)NCc1nnc[nH]1)C(=O)[O-] ZINC000736716280 600267795 /nfs/dbraw/zinc/26/77/95/600267795.db2.gz KCDUCLMBQGLKQN-QMMMGPOBSA-N -1 1 306.347 1.300 20 0 DDADMM C[C@@H]1CO[C@@H](c2ccccc2)CN1CCC(=O)N(C)CC(=O)[O-] ZINC000736821917 600297782 /nfs/dbraw/zinc/29/77/82/600297782.db2.gz OSTUBIDCNNTACP-UKRRQHHQSA-N -1 1 320.389 1.382 20 0 DDADMM C[C@H](CN(C)CC(=O)Nc1ccnn1C1CCCC1)C(=O)[O-] ZINC000736570108 600362738 /nfs/dbraw/zinc/36/27/38/600362738.db2.gz BEPALGATVNKROW-LLVKDONJSA-N -1 1 308.382 1.589 20 0 DDADMM Cc1cccc(C[C@H](CNC(=O)[C@@H]2CCCCN2C)C(=O)[O-])c1 ZINC000738702549 600363564 /nfs/dbraw/zinc/36/35/64/600363564.db2.gz JWCANSRNRWBKTI-CVEARBPZSA-N -1 1 318.417 1.839 20 0 DDADMM Cc1ccc([C@H](CNC(=O)[C@@H]2CCCCN2C)C(=O)[O-])cc1 ZINC000738554149 600364625 /nfs/dbraw/zinc/36/46/25/600364625.db2.gz IYFXDGLUEDJFFU-GJZGRUSLSA-N -1 1 304.390 1.764 20 0 DDADMM O=C([O-])Nc1ccc(CCNC(=O)c2[nH]nc3c2CCC3)cc1 ZINC000740020766 600412551 /nfs/dbraw/zinc/41/25/51/600412551.db2.gz SHUFCGSWMUBTHC-UHFFFAOYSA-N -1 1 314.345 1.961 20 0 DDADMM CC(=O)c1cccc(S(=O)(=O)Nc2cnccc2C(=O)[O-])c1 ZINC000316241779 600514013 /nfs/dbraw/zinc/51/40/13/600514013.db2.gz QBYJVVFYYGGLPT-UHFFFAOYSA-N -1 1 320.326 1.783 20 0 DDADMM C[C@H]1CCCCN1C(=O)CN(CCC(=O)[O-])C[C@@H]1CCCO1 ZINC000736785643 600538096 /nfs/dbraw/zinc/53/80/96/600538096.db2.gz URVWOHVLEHBFLQ-KBPBESRZSA-N -1 1 312.410 1.343 20 0 DDADMM C[C@H]1CCCN(C(=O)CN(CCC(=O)[O-])C[C@@H]2CCCO2)C1 ZINC000736789261 600538228 /nfs/dbraw/zinc/53/82/28/600538228.db2.gz LPBROBMOOGMSJC-KBPBESRZSA-N -1 1 312.410 1.201 20 0 DDADMM CC[C@](C)(NCC(=O)NCCc1ccccc1OC)C(=O)[O-] ZINC000736861688 600560142 /nfs/dbraw/zinc/56/01/42/600560142.db2.gz SPECRFFSRRXLLG-INIZCTEOSA-N -1 1 308.378 1.197 20 0 DDADMM CC[C@@](C)(NCC(=O)NC(=O)Nc1ccc(C)cc1C)C(=O)[O-] ZINC000736860931 600560928 /nfs/dbraw/zinc/56/09/28/600560928.db2.gz DZBXLOGNNSNOQU-MRXNPFEDSA-N -1 1 321.377 1.794 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)N[C@@H]1CCC[C@H](C)[C@@H]1C ZINC000737795030 600640980 /nfs/dbraw/zinc/64/09/80/600640980.db2.gz VWEFVZHKYGHDTG-MELADBBJSA-N -1 1 314.426 1.350 20 0 DDADMM C[C@H]1CCN(CCNS(=O)(=O)c2ccc(C(=O)[O-])s2)C1 ZINC000828289896 600792546 /nfs/dbraw/zinc/79/25/46/600792546.db2.gz PIBYRCQPPSLNEB-VIFPVBQESA-N -1 1 318.420 1.066 20 0 DDADMM Cc1nnc(SCC(=O)N(C)Cc2cc(C(=O)[O-])c(C)o2)[nH]1 ZINC000832991775 600835617 /nfs/dbraw/zinc/83/56/17/600835617.db2.gz QGCIIDYPOYFFMC-UHFFFAOYSA-N -1 1 324.362 1.463 20 0 DDADMM Cc1n[nH]c(SCC(=O)N(C)Cc2cc(C(=O)[O-])c(C)o2)n1 ZINC000832991775 600835619 /nfs/dbraw/zinc/83/56/19/600835619.db2.gz QGCIIDYPOYFFMC-UHFFFAOYSA-N -1 1 324.362 1.463 20 0 DDADMM C[C@@H]1CN(CCC(=O)N(C)CC(=O)[O-])[C@@H](c2ccccc2)CO1 ZINC000828437652 600861387 /nfs/dbraw/zinc/86/13/87/600861387.db2.gz ABIRPZJVQXWYOE-UKRRQHHQSA-N -1 1 320.389 1.382 20 0 DDADMM Cc1[nH]c(C(=O)[C@H](C)N2CC[C@H](CCO)C2)c(C)c1C(=O)[O-] ZINC000738237815 600970578 /nfs/dbraw/zinc/97/05/78/600970578.db2.gz XHBYNXWUPAEGPU-NWDGAFQWSA-N -1 1 308.378 1.605 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H](C2CCCCC2)CN1C(=O)Cc1ccn[nH]1 ZINC000833177539 600980985 /nfs/dbraw/zinc/98/09/85/600980985.db2.gz PDMNLRUKQRYSHN-OCCSQVGLSA-N -1 1 305.378 1.834 20 0 DDADMM CCC(=O)N1CCCN([C@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000828563001 600989635 /nfs/dbraw/zinc/98/96/35/600989635.db2.gz USWZANBMRBEUJD-HNNXBMFYSA-N -1 1 308.353 1.896 20 0 DDADMM CCCN(CCC)C(=O)CN1CCSC[C@@H]1CC(=O)[O-] ZINC000091132508 601019383 /nfs/dbraw/zinc/01/93/83/601019383.db2.gz AWKROTAMYJKPDB-LBPRGKRZSA-N -1 1 302.440 1.527 20 0 DDADMM Cc1nnc(SCC(=O)N[C@@H](Cc2ccccc2)C(=O)[O-])[nH]1 ZINC000818981407 601184676 /nfs/dbraw/zinc/18/46/76/601184676.db2.gz RNYVICODVQFUAL-NSHDSACASA-N -1 1 320.374 1.017 20 0 DDADMM Cc1n[nH]c(SCC(=O)N[C@@H](Cc2ccccc2)C(=O)[O-])n1 ZINC000818981407 601184677 /nfs/dbraw/zinc/18/46/77/601184677.db2.gz RNYVICODVQFUAL-NSHDSACASA-N -1 1 320.374 1.017 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2ccnc(C(=O)[O-])c2)C[C@H](C)O1 ZINC000828448748 601247761 /nfs/dbraw/zinc/24/77/61/601247761.db2.gz GHBPGONUCJGTBF-TXEJJXNPSA-N -1 1 321.377 1.009 20 0 DDADMM C[C@](NC(=O)CSc1n[nH]c(=S)s1)(C(=O)[O-])C1CC1 ZINC000827635999 601288002 /nfs/dbraw/zinc/28/80/02/601288002.db2.gz JJHJCOCSLQTZDW-SNVBAGLBSA-N -1 1 319.433 1.288 20 0 DDADMM CN(CC(=O)N(C)c1ccccc1C(=O)[O-])C[C@H]1CCOC1 ZINC000830660974 601375234 /nfs/dbraw/zinc/37/52/34/601375234.db2.gz KNMUAIRHWHDSGG-GFCCVEGCSA-N -1 1 306.362 1.316 20 0 DDADMM CCc1oc(C(=O)[O-])cc1CN1CCN(CC(C)(C)O)CC1 ZINC000830374317 601415952 /nfs/dbraw/zinc/41/59/52/601415952.db2.gz BAWUZUFVJUHUGM-UHFFFAOYSA-N -1 1 310.394 1.429 20 0 DDADMM O=C([O-])[C@H]1C[C@@H](C2CCCCC2)CN1CC(=O)N1CCCC1 ZINC000833180372 601432001 /nfs/dbraw/zinc/43/20/01/601432001.db2.gz UDRVIWITMLQRMD-HUUCEWRRSA-N -1 1 308.422 1.964 20 0 DDADMM C[C@@H]1CN(Cc2csc(-c3cnn(C)c3)n2)C[C@H]1C(=O)[O-] ZINC000828461837 601518201 /nfs/dbraw/zinc/51/82/01/601518201.db2.gz DGHHEMTXDLFNRF-BXKDBHETSA-N -1 1 306.391 1.696 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)CN1CCCC[C@@H]1CCO ZINC000832917279 601545357 /nfs/dbraw/zinc/54/53/57/601545357.db2.gz WLFDWEKJJWRFBU-CQSZACIVSA-N -1 1 320.389 1.869 20 0 DDADMM CC(C)OC(=O)[C@@H](C)N(C)Cc1ccnc(-c2nnn[n-]2)c1 ZINC000824304549 607513944 /nfs/dbraw/zinc/51/39/44/607513944.db2.gz AKOBOLYBMJSJCO-SNVBAGLBSA-N -1 1 304.354 1.034 20 0 DDADMM CC(C)OC(=O)[C@@H](C)N(C)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000824304549 607513946 /nfs/dbraw/zinc/51/39/46/607513946.db2.gz AKOBOLYBMJSJCO-SNVBAGLBSA-N -1 1 304.354 1.034 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2C[C@H](C)[C@@H](C(=O)[O-])C2)o1 ZINC000387692450 601691033 /nfs/dbraw/zinc/69/10/33/601691033.db2.gz HNPDJNZFMANMLG-AAEUAGOBSA-N -1 1 308.378 1.914 20 0 DDADMM COc1ccccc1[S@](=O)Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826221155 607528462 /nfs/dbraw/zinc/52/84/62/607528462.db2.gz RQJUZIWKMHYOTN-JOCHJYFZSA-N -1 1 315.358 1.578 20 0 DDADMM COc1ccccc1[S@](=O)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826221155 607528464 /nfs/dbraw/zinc/52/84/64/607528464.db2.gz RQJUZIWKMHYOTN-JOCHJYFZSA-N -1 1 315.358 1.578 20 0 DDADMM O=C([O-])c1sccc1CN1CCC[C@H](N2CCNC2=O)C1 ZINC000833348943 601810039 /nfs/dbraw/zinc/81/00/39/601810039.db2.gz VWQIRGAMQXXJFQ-NSHDSACASA-N -1 1 309.391 1.436 20 0 DDADMM C[C@@H]1CN(CN2C(=O)NC3(CCCCCC3)C2=O)C[C@@H]1C(=O)[O-] ZINC000828449080 601818047 /nfs/dbraw/zinc/81/80/47/601818047.db2.gz IWTUENYMJYCOHS-NEPJUHHUSA-N -1 1 323.393 1.241 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC1CCN(Cc2ccncc2)CC1 ZINC000739530959 601867441 /nfs/dbraw/zinc/86/74/41/601867441.db2.gz QCZGEIBWQIZBGI-AWEZNQCLSA-N -1 1 320.393 1.208 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)c1 ZINC000825917826 601870362 /nfs/dbraw/zinc/87/03/62/601870362.db2.gz XTSBVSSSLDBVMX-AWEZNQCLSA-N -1 1 321.377 1.672 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CN2C(=O)CN(c3ccccc3)C2=O)C1 ZINC000828028990 601889071 /nfs/dbraw/zinc/88/90/71/601889071.db2.gz KZLZUKUOFDQEMH-MRXNPFEDSA-N -1 1 317.345 1.209 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)NCc2ccccc2F)C1 ZINC000740644503 601953790 /nfs/dbraw/zinc/95/37/90/601953790.db2.gz YSTBUNAJWIKJRL-NSHDSACASA-N -1 1 309.341 1.031 20 0 DDADMM C[C@@H](C(=O)N1CCc2ccccc21)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738619668 601959524 /nfs/dbraw/zinc/95/95/24/601959524.db2.gz OEPZYXPXKHORMO-QWHCGFSZSA-N -1 1 317.389 1.554 20 0 DDADMM O=C([O-])NC[C@H]1CCN(CC(=O)NC2CCCCCCC2)C1 ZINC000740640450 601964127 /nfs/dbraw/zinc/96/41/27/601964127.db2.gz BJEJFBXDTPXWFS-CYBMUJFWSA-N -1 1 311.426 1.805 20 0 DDADMM O=C([O-])c1nscc1NS(=O)(=O)Cc1cccc(F)c1 ZINC000833346706 602156601 /nfs/dbraw/zinc/15/66/01/602156601.db2.gz NYGDFDSNIBVTAK-UHFFFAOYSA-N -1 1 316.335 1.922 20 0 DDADMM C[C@@H](O)[C@@H]1CCCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000824690668 607560993 /nfs/dbraw/zinc/56/09/93/607560993.db2.gz UHCATTCOKXQHLU-RNFRBKRXSA-N -1 1 314.802 1.574 20 0 DDADMM C[C@@H](O)[C@@H]1CCCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000824690668 607560994 /nfs/dbraw/zinc/56/09/94/607560994.db2.gz UHCATTCOKXQHLU-RNFRBKRXSA-N -1 1 314.802 1.574 20 0 DDADMM CCC[C@@H](NC(=O)[O-])C(=O)NC[C@@H](c1ccsc1)N(C)C ZINC000739315575 602383080 /nfs/dbraw/zinc/38/30/80/602383080.db2.gz PQFAAWAFLBLLNH-NEPJUHHUSA-N -1 1 313.423 1.903 20 0 DDADMM CC1CCN(CC(=O)N[C@@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000828284722 602396182 /nfs/dbraw/zinc/39/61/82/602396182.db2.gz HKXBJKCTNNOJCF-OAHLLOKOSA-N -1 1 308.353 1.800 20 0 DDADMM C[C@H](CNC(=O)[O-])N(C)C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000738933325 602445426 /nfs/dbraw/zinc/44/54/26/602445426.db2.gz MYTICOGNNYHHFQ-SNVBAGLBSA-N -1 1 302.334 1.805 20 0 DDADMM C[C@@H](CNC(=O)[O-])N(C)C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000738933322 602445559 /nfs/dbraw/zinc/44/55/59/602445559.db2.gz MYTICOGNNYHHFQ-JTQLQIEISA-N -1 1 302.334 1.805 20 0 DDADMM CN(C[C@H]1CCN(C[C@H](O)c2c(F)cccc2F)C1)C(=O)[O-] ZINC000739661644 602529304 /nfs/dbraw/zinc/52/93/04/602529304.db2.gz RYCKEULIPPYHKO-MFKMUULPSA-N -1 1 314.332 1.930 20 0 DDADMM CN1CCCC[C@@H]1C(=O)N1CCC([C@H]2CCCN2C(=O)[O-])CC1 ZINC000739728031 602589202 /nfs/dbraw/zinc/58/92/02/602589202.db2.gz QQLPNQLJNAWAAF-HUUCEWRRSA-N -1 1 323.437 1.852 20 0 DDADMM CCN(C)[C@@H](C(=O)NC[C@@H]1CCCN1C(=O)[O-])c1ccccc1 ZINC000739385696 602606563 /nfs/dbraw/zinc/60/65/63/602606563.db2.gz TUZYUHWUPYHUCA-LSDHHAIUSA-N -1 1 319.405 1.938 20 0 DDADMM NC(=O)CN(Cc1ccccc1)C[C@H]1CCCN(C(=O)[O-])C1 ZINC000740334712 602718932 /nfs/dbraw/zinc/71/89/32/602718932.db2.gz RJJKVBMRCRALTK-CQSZACIVSA-N -1 1 305.378 1.364 20 0 DDADMM C[C@@H](NCC(=O)N1CCN(C(=O)[O-])CC1)c1ccc(F)cc1 ZINC000739031522 602729708 /nfs/dbraw/zinc/72/97/08/602729708.db2.gz APTUOBKHSDBUOE-LLVKDONJSA-N -1 1 309.341 1.299 20 0 DDADMM CC[C@@H]1CCCCN1C(=O)CN1CC[C@H](NC(=O)[O-])[C@@H](C)C1 ZINC000739267955 602765354 /nfs/dbraw/zinc/76/53/54/602765354.db2.gz LESRQYLQABVEAX-MJBXVCDLSA-N -1 1 311.426 1.756 20 0 DDADMM CN(C(=O)CN1CCCC[C@@H]1[C@@H]1CCCN1C(=O)[O-])C1CC1 ZINC000739573364 602818568 /nfs/dbraw/zinc/81/85/68/602818568.db2.gz WCIHNBLFLILMCB-KGLIPLIRSA-N -1 1 309.410 1.604 20 0 DDADMM C[C@@H]1CN(C[C@H](O)COc2ccccc2)C[C@H](C)N1C(=O)[O-] ZINC000739179279 602834341 /nfs/dbraw/zinc/83/43/41/602834341.db2.gz MAQUGRPVDDGPEU-MJBXVCDLSA-N -1 1 308.378 1.499 20 0 DDADMM CN1CCCC[C@H]1C(=O)N[C@@H](CNC(=O)[O-])C1CCCCC1 ZINC000739725839 602836525 /nfs/dbraw/zinc/83/65/25/602836525.db2.gz GDHRGFXKEJIFSE-KBPBESRZSA-N -1 1 311.426 1.803 20 0 DDADMM CC(C)CN1CCN(C(=O)c2ccc(NC(=O)[O-])cc2O)CC1 ZINC000738826442 602839240 /nfs/dbraw/zinc/83/92/40/602839240.db2.gz WVFSGQDQKAJQIF-UHFFFAOYSA-N -1 1 321.377 1.896 20 0 DDADMM CC[C@H](C)[N@H+](C)CCNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739243671 602858840 /nfs/dbraw/zinc/85/88/40/602858840.db2.gz HITHDQUVJGQMIC-JTQLQIEISA-N -1 1 309.366 1.942 20 0 DDADMM CC[C@H](C)[N@@H+](C)CCNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739243671 602858841 /nfs/dbraw/zinc/85/88/41/602858841.db2.gz HITHDQUVJGQMIC-JTQLQIEISA-N -1 1 309.366 1.942 20 0 DDADMM C[C@@H]1CN(C[C@H](O)COCc2ccco2)C[C@H](C)N1C(=O)[O-] ZINC000739180262 602874967 /nfs/dbraw/zinc/87/49/67/602874967.db2.gz OGCBZGNFBZDUCS-XQQFMLRXSA-N -1 1 312.366 1.230 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000740621330 602972641 /nfs/dbraw/zinc/97/26/41/602972641.db2.gz COFUIABDMHHRDE-UWVGGRQHSA-N -1 1 303.322 1.124 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)CC1CCN(C(=O)[O-])CC1 ZINC000739198842 602990036 /nfs/dbraw/zinc/99/00/36/602990036.db2.gz NXNATGASTBPKQK-DZGCQCFKSA-N -1 1 323.437 1.852 20 0 DDADMM COCCN(CC(=O)Nc1ccc2nc(C)[nH]c2c1)C(=O)[O-] ZINC000739821736 603106203 /nfs/dbraw/zinc/10/62/03/603106203.db2.gz NTXHRHVJVRAFRX-UHFFFAOYSA-N -1 1 306.322 1.436 20 0 DDADMM C[C@H](C(=O)N[C@H]1CCC[C@@H](C)[C@H]1C)N(C)CCCNC(=O)[O-] ZINC000739094338 603249312 /nfs/dbraw/zinc/24/93/12/603249312.db2.gz QXOPUCNAXACDCD-SYQHCUMBSA-N -1 1 313.442 1.905 20 0 DDADMM C[C@@H]1CN(Cn2nccc2-c2cccnc2)CC[C@@H]1NC(=O)[O-] ZINC000739191030 603396477 /nfs/dbraw/zinc/39/64/77/603396477.db2.gz PSGKIGKAYUJEGI-OCCSQVGLSA-N -1 1 315.377 1.881 20 0 DDADMM CCCN(CC(=O)Nc1ccc(O)cc1)[C@H]1CCN(C(=O)[O-])C1 ZINC000826902404 603541924 /nfs/dbraw/zinc/54/19/24/603541924.db2.gz BKGKAODYLCFFRE-ZDUSSCGKSA-N -1 1 321.377 1.795 20 0 DDADMM O=C([O-])N1CCC(CC(=O)N2CCC(c3cnc[nH]3)CC2)CC1 ZINC000831868941 603549479 /nfs/dbraw/zinc/54/94/79/603549479.db2.gz GUFGRUXIPCPOON-UHFFFAOYSA-N -1 1 320.393 1.896 20 0 DDADMM CCN(C)[C@H](C(=O)N1CC[C@@H](N(C)C(=O)[O-])C1)c1ccccc1 ZINC000826988619 603554668 /nfs/dbraw/zinc/55/46/68/603554668.db2.gz LUPLVXGZHNFRKA-CABCVRRESA-N -1 1 319.405 1.890 20 0 DDADMM C[C@@H](C(=O)N(C)Cc1ccccc1)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823655753 603791350 /nfs/dbraw/zinc/79/13/50/603791350.db2.gz VKSZDTFDZGVFCO-DZGCQCFKSA-N -1 1 319.405 1.718 20 0 DDADMM CN(C)Cc1cc(CNC(=O)CC2CN(C(=O)[O-])C2)ccc1F ZINC000828042368 603803192 /nfs/dbraw/zinc/80/31/92/603803192.db2.gz BETJDZGJUMHMMX-UHFFFAOYSA-N -1 1 323.368 1.503 20 0 DDADMM O=C([O-])N1CCc2cccc(CNC[C@@H](O)C(F)(F)F)c21 ZINC000832086887 603855393 /nfs/dbraw/zinc/85/53/93/603855393.db2.gz JSTDMFSUEUIHNY-SNVBAGLBSA-N -1 1 304.268 1.740 20 0 DDADMM C[C@H](c1ccccc1)N(CC(N)=O)C[C@@H]1CCN(C(=O)[O-])C1 ZINC000829821661 603910056 /nfs/dbraw/zinc/91/00/56/603910056.db2.gz VPUAEPFTTDHGFO-CHWSQXEVSA-N -1 1 305.378 1.535 20 0 DDADMM C[C@@H](C(=O)NCc1c[nH]nn1)[C@@H](NC(=O)[O-])c1ccccc1 ZINC000823705181 603950683 /nfs/dbraw/zinc/95/06/83/603950683.db2.gz VCRHQARDNNGGBI-BXKDBHETSA-N -1 1 303.322 1.066 20 0 DDADMM C[C@H]1CN(C(=O)[O-])CCN1C[C@H](O)COCc1ccccc1 ZINC000739158317 604024769 /nfs/dbraw/zinc/02/47/69/604024769.db2.gz ARDXSMKEUMTCMZ-ZFWWWQNUSA-N -1 1 308.378 1.248 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])CCN1C[C@H](O)COCc1ccccc1 ZINC000739158315 604024983 /nfs/dbraw/zinc/02/49/83/604024983.db2.gz ARDXSMKEUMTCMZ-HIFRSBDPSA-N -1 1 308.378 1.248 20 0 DDADMM Cc1nn(C)c2ncc(CN3CCC[C@H]3CN(C)C(=O)[O-])cc12 ZINC000830859616 604104084 /nfs/dbraw/zinc/10/40/84/604104084.db2.gz FGNOCSZCDGEDAE-ZDUSSCGKSA-N -1 1 317.393 1.851 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)[O-] ZINC000828426657 604128037 /nfs/dbraw/zinc/12/80/37/604128037.db2.gz MTUVOLGMARKBBP-DCAQKATOSA-N -1 1 307.354 1.074 20 0 DDADMM Cc1cc(CNC(=O)NCCc2ccc(NC(=O)[O-])cc2)n[nH]1 ZINC000738387988 604165543 /nfs/dbraw/zinc/16/55/43/604165543.db2.gz HLQLMXHZNUTWDF-UHFFFAOYSA-N -1 1 317.349 1.850 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@@H]1NC(=O)N1CCN2CCCC[C@H]2C1 ZINC000832469911 604182422 /nfs/dbraw/zinc/18/24/22/604182422.db2.gz ZAWNBLRZCKDHRE-IHRRRGAJSA-N -1 1 324.425 1.302 20 0 DDADMM CC(C)CN1CCO[C@H](COC(=O)[C@@H](NC(=O)[O-])C(C)C)C1 ZINC000736443081 604376503 /nfs/dbraw/zinc/37/65/03/604376503.db2.gz NNXDVTMLIFMJMO-STQMWFEESA-N -1 1 316.398 1.179 20 0 DDADMM C[C@@H](CC(=O)N[C@@H](C)c1nnc[nH]1)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000825100435 604386846 /nfs/dbraw/zinc/38/68/46/604386846.db2.gz FAUATYXZFZHJPW-GARJFASQSA-N -1 1 309.370 1.398 20 0 DDADMM CCN(CCC(=O)[O-])CC(=O)Nc1nnc(CC(C)C)s1 ZINC000829701934 604462102 /nfs/dbraw/zinc/46/21/02/604462102.db2.gz UHIZKNRXIJPXDO-UHFFFAOYSA-N -1 1 314.411 1.472 20 0 DDADMM Cc1nc(COC(=O)Cn2cc(C(=O)[O-])c3ccccc32)n[nH]1 ZINC000832999100 604669732 /nfs/dbraw/zinc/66/97/32/604669732.db2.gz CMAHWJHHDXGEIL-UHFFFAOYSA-N -1 1 314.301 1.509 20 0 DDADMM O=C([O-])N1CC(NC(=O)[C@@H]2CCCN2Cc2ccccc2)C1 ZINC000740403608 604755672 /nfs/dbraw/zinc/75/56/72/604755672.db2.gz MONNPWMFUSFGNW-AWEZNQCLSA-N -1 1 303.362 1.129 20 0 DDADMM CC1=C(C(=O)[O-])C[C@H](CN2CCN(c3ccccn3)CC2)O1 ZINC000833580260 604823188 /nfs/dbraw/zinc/82/31/88/604823188.db2.gz OUJGJJJRQFWELD-CYBMUJFWSA-N -1 1 303.362 1.351 20 0 DDADMM O=C([O-])Cn1cc(CCN2CCC[C@@H](C(F)(F)F)C2)nn1 ZINC000833270634 604827343 /nfs/dbraw/zinc/82/73/43/604827343.db2.gz TUYCQSKIJFNUJQ-SECBINFHSA-N -1 1 306.288 1.180 20 0 DDADMM CN1CCC[C@H]1C(=O)N(CCCC(=O)[O-])Cc1ccccc1 ZINC000830934798 604934104 /nfs/dbraw/zinc/93/41/04/604934104.db2.gz LIMFAAZDIKAIOJ-HNNXBMFYSA-N -1 1 304.390 1.974 20 0 DDADMM O=C([O-])C[C@H]1CCCN1CN1C(=O)NC2(CCCCC2)C1=O ZINC000833211288 604983438 /nfs/dbraw/zinc/98/34/38/604983438.db2.gz XUWNSWCVOYHEHG-LLVKDONJSA-N -1 1 309.366 1.138 20 0 DDADMM CCc1cc(CNc2cc(C)nc3nc(C(=O)[O-])nn32)n[nH]1 ZINC000833671840 605036512 /nfs/dbraw/zinc/03/65/12/605036512.db2.gz RBXOGWINMFTWKU-UHFFFAOYSA-N -1 1 301.310 1.029 20 0 DDADMM CC(C)c1nc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cs1 ZINC000833512924 605080597 /nfs/dbraw/zinc/08/05/97/605080597.db2.gz WFHDCGGBANNRFD-VIFPVBQESA-N -1 1 308.363 1.415 20 0 DDADMM C[C@@H](NC1CN(C(=O)[O-])C1)c1cccc(NS(C)(=O)=O)c1 ZINC000825483899 605099872 /nfs/dbraw/zinc/09/98/72/605099872.db2.gz BWZJTWATJPZFQA-SECBINFHSA-N -1 1 313.379 1.071 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1Cc2ccccc21 ZINC000833622532 605108899 /nfs/dbraw/zinc/10/88/99/605108899.db2.gz QBNYWWIRPIDYMV-SWLSCSKDSA-N -1 1 302.374 1.334 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)c2cccc(OCC(=O)[O-])c2)C[C@H]1C ZINC000833657115 605133869 /nfs/dbraw/zinc/13/38/69/605133869.db2.gz LFYSYNNASPVADJ-CHWSQXEVSA-N -1 1 320.389 1.705 20 0 DDADMM CCN1C[C@H](C)N(C(=O)Nc2cc(C)[nH]c2C(=O)[O-])C[C@@H]1C ZINC000833658013 605159521 /nfs/dbraw/zinc/15/95/21/605159521.db2.gz PPCFUDBNJAFYBV-QWRGUYRKSA-N -1 1 308.382 1.968 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)N1CCN(CCC(=O)[O-])[C@@H](C)C1 ZINC000833542195 605253224 /nfs/dbraw/zinc/25/32/24/605253224.db2.gz ZGNPNJBRRNSDPB-UONOGXRCSA-N -1 1 320.389 1.461 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)N1CCN(C2CCOCC2)CC1 ZINC000833451858 605263054 /nfs/dbraw/zinc/26/30/54/605263054.db2.gz PHCBZDLODAYWAZ-UHFFFAOYSA-N -1 1 312.410 1.201 20 0 DDADMM C[C@H]1C[C@H](NC(=O)[O-])CCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000825838916 605354544 /nfs/dbraw/zinc/35/45/44/605354544.db2.gz TXQSGIXOQZPNJP-WCBMZHEXSA-N -1 1 303.322 1.219 20 0 DDADMM Cn1cnnc1CN[C@H]1CN(C(=O)[O-])CC[C@H]1c1ccccc1 ZINC000833958354 605575039 /nfs/dbraw/zinc/57/50/39/605575039.db2.gz YQAGDSZWCDFQDM-KBPBESRZSA-N -1 1 315.377 1.441 20 0 DDADMM O=C([O-])N1CCC[C@H]1CN1CCC([C@H](O)C(F)(F)F)CC1 ZINC000834111247 605621275 /nfs/dbraw/zinc/62/12/75/605621275.db2.gz CRWWDHHYLDNOSX-QWRGUYRKSA-N -1 1 310.316 1.764 20 0 DDADMM O=C([O-])NCC1(NC(=O)c2cccc3nc[nH]c32)CCCC1 ZINC000834208676 605641494 /nfs/dbraw/zinc/64/14/94/605641494.db2.gz WBYLPAMMSWYJLR-UHFFFAOYSA-N -1 1 302.334 1.873 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000761475866 605704481 /nfs/dbraw/zinc/70/44/81/605704481.db2.gz GTZBPCMOHNHCQI-UHFFFAOYSA-N -1 1 316.361 1.979 20 0 DDADMM CC(C)(CC(=O)[O-])CC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000761475866 605704483 /nfs/dbraw/zinc/70/44/83/605704483.db2.gz GTZBPCMOHNHCQI-UHFFFAOYSA-N -1 1 316.361 1.979 20 0 DDADMM C[C@H]1C[C@H](NC(=O)[O-])CCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000825832507 605767031 /nfs/dbraw/zinc/76/70/31/605767031.db2.gz SWTRIMURWPZTRS-GXSJLCMTSA-N -1 1 318.333 1.930 20 0 DDADMM O=C([O-])Nc1ccccc1C(=O)N[C@@H]1CCc2[nH]cnc2C1 ZINC000833288206 605832616 /nfs/dbraw/zinc/83/26/16/605832616.db2.gz BGQPPYGPVNMAFN-SECBINFHSA-N -1 1 300.318 1.787 20 0 DDADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N1CCC[C@H]1CN(C)C(=O)[O-])C2 ZINC000833916458 605856279 /nfs/dbraw/zinc/85/62/79/605856279.db2.gz RJUCYFPVYVPGLI-RYUDHWBXSA-N -1 1 320.393 1.424 20 0 DDADMM O=C([O-])N[C@H]1CCN(Cc2ccc(C(=O)N3CCCC3)cc2)C1 ZINC000740595332 605922583 /nfs/dbraw/zinc/92/25/83/605922583.db2.gz FQKPMTXECUWFBL-HNNXBMFYSA-N -1 1 317.389 1.765 20 0 DDADMM O=C([O-])N1CCC(n2cccc2C(=O)NCCN2CCC2)CC1 ZINC000834040865 605932342 /nfs/dbraw/zinc/93/23/42/605932342.db2.gz FFZSFTGPSBRCQX-UHFFFAOYSA-N -1 1 320.393 1.239 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CN1C(=O)[C@@H]2CCCCC[C@@H]21 ZINC000833833989 605958076 /nfs/dbraw/zinc/95/80/76/605958076.db2.gz LQUPACOBKCMEFI-SYQHCUMBSA-N -1 1 309.410 1.713 20 0 DDADMM O=C([O-])Nc1ccccc1CC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000834271658 605960634 /nfs/dbraw/zinc/96/06/34/605960634.db2.gz JUMBWACOADYAIK-NSHDSACASA-N -1 1 314.345 1.716 20 0 DDADMM O=C([O-])NCCCCCCC(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000834241009 605966173 /nfs/dbraw/zinc/96/61/73/605966173.db2.gz PHWJQBLODZPYRZ-LLVKDONJSA-N -1 1 324.385 1.124 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)N2CC[C@H]1CCS(=O)(=O)C1 ZINC000834096036 605966775 /nfs/dbraw/zinc/96/67/75/605966775.db2.gz RNNSIFQRFVJKBX-XQQFMLRXSA-N -1 1 316.423 1.028 20 0 DDADMM O=C([O-])NCCCN[C@H]1CCS(=O)(=O)c2ccc(F)cc21 ZINC000834238428 605982969 /nfs/dbraw/zinc/98/29/69/605982969.db2.gz ZRJKERAPJYKBEC-NSHDSACASA-N -1 1 316.354 1.292 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCO[C@H](Cc3ccccc3)C2)C1 ZINC000834077274 606047408 /nfs/dbraw/zinc/04/74/08/606047408.db2.gz DWXCAXKFNVNCNG-HZPDHXFCSA-N -1 1 304.390 1.930 20 0 DDADMM O=C([O-])N1CCC[C@@H]1C(=O)OC[C@H]1CCCN1Cc1ccco1 ZINC000834284866 606092793 /nfs/dbraw/zinc/09/27/93/606092793.db2.gz INFHHLRYBBWTIQ-TZMCWYRMSA-N -1 1 322.361 1.930 20 0 DDADMM C[C@H](CC(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1)NC(=O)[O-] ZINC000833799461 606092976 /nfs/dbraw/zinc/09/29/76/606092976.db2.gz JZOGPLKVVPCDBL-KOLCDFICSA-N -1 1 321.381 1.434 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCCC(F)(F)F)n1 ZINC000822613279 606165580 /nfs/dbraw/zinc/16/55/80/606165580.db2.gz COBRXCPLSXFZRV-UHFFFAOYSA-N -1 1 318.263 1.064 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCCC(F)(F)F)n1 ZINC000822613279 606165582 /nfs/dbraw/zinc/16/55/82/606165582.db2.gz COBRXCPLSXFZRV-UHFFFAOYSA-N -1 1 318.263 1.064 20 0 DDADMM OC[C@@H]1CCCC[C@H]1Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000823715165 606470013 /nfs/dbraw/zinc/47/00/13/606470013.db2.gz RUPONPCFXYYPON-WCBMZHEXSA-N -1 1 308.773 1.878 20 0 DDADMM OC[C@@H]1CCCC[C@H]1Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000823715165 606470014 /nfs/dbraw/zinc/47/00/14/606470014.db2.gz RUPONPCFXYYPON-WCBMZHEXSA-N -1 1 308.773 1.878 20 0 DDADMM Cc1cc(CN(C)C(=O)c2ccc(-c3nnn[n-]3)s2)no1 ZINC000822199750 606524745 /nfs/dbraw/zinc/52/47/45/606524745.db2.gz GPFBIRGQKUVUJQ-UHFFFAOYSA-N -1 1 304.335 1.497 20 0 DDADMM Cc1cc(CN(C)C(=O)c2ccc(-c3nn[n-]n3)s2)no1 ZINC000822199750 606524747 /nfs/dbraw/zinc/52/47/47/606524747.db2.gz GPFBIRGQKUVUJQ-UHFFFAOYSA-N -1 1 304.335 1.497 20 0 DDADMM O=C(NC[C@H]1CCC[C@@H](O)C1)c1ccc(-c2nnn[n-]2)s1 ZINC000823175269 606571529 /nfs/dbraw/zinc/57/15/29/606571529.db2.gz DLLYJNWETCOKLH-DTWKUNHWSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(NC[C@H]1CCC[C@@H](O)C1)c1ccc(-c2nn[n-]n2)s1 ZINC000823175269 606571530 /nfs/dbraw/zinc/57/15/30/606571530.db2.gz DLLYJNWETCOKLH-DTWKUNHWSA-N -1 1 307.379 1.209 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)s2)C1(C)C ZINC000821678277 606604772 /nfs/dbraw/zinc/60/47/72/606604772.db2.gz MAKLSKGCGAISIC-ZJUUUORDSA-N -1 1 307.379 1.472 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)s2)C1(C)C ZINC000821678277 606604774 /nfs/dbraw/zinc/60/47/74/606604774.db2.gz MAKLSKGCGAISIC-ZJUUUORDSA-N -1 1 307.379 1.472 20 0 DDADMM CCCCCCOCC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000821056882 606651894 /nfs/dbraw/zinc/65/18/94/606651894.db2.gz ZVTDLEFDVHZHDV-UHFFFAOYSA-N -1 1 319.365 1.902 20 0 DDADMM CCCCCCOCC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000821056882 606651895 /nfs/dbraw/zinc/65/18/95/606651895.db2.gz ZVTDLEFDVHZHDV-UHFFFAOYSA-N -1 1 319.365 1.902 20 0 DDADMM CSc1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)o1 ZINC000821979795 606653641 /nfs/dbraw/zinc/65/36/41/606653641.db2.gz XSZRBWVHLLNZTQ-UHFFFAOYSA-N -1 1 317.330 1.934 20 0 DDADMM CSc1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)o1 ZINC000821979795 606653642 /nfs/dbraw/zinc/65/36/42/606653642.db2.gz XSZRBWVHLLNZTQ-UHFFFAOYSA-N -1 1 317.330 1.934 20 0 DDADMM c1csc([C@H]2CN(c3cccc(-c4nnn[n-]4)n3)CCO2)c1 ZINC000823742183 606726615 /nfs/dbraw/zinc/72/66/15/606726615.db2.gz AGCOENKIXRMMBL-LLVKDONJSA-N -1 1 314.374 1.901 20 0 DDADMM c1csc([C@H]2CN(c3cccc(-c4nn[n-]n4)n3)CCO2)c1 ZINC000823742183 606726617 /nfs/dbraw/zinc/72/66/17/606726617.db2.gz AGCOENKIXRMMBL-LLVKDONJSA-N -1 1 314.374 1.901 20 0 DDADMM O=S(=O)(c1ccc(F)c(-c2nn[n-]n2)c1)N1C[C@H]2CCC[C@H]21 ZINC000823601280 606874621 /nfs/dbraw/zinc/87/46/21/606874621.db2.gz ZALLRDLZZOHJMA-PRHODGIISA-N -1 1 323.353 1.179 20 0 DDADMM O=C(CCc1cccnc1)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822983761 607107145 /nfs/dbraw/zinc/10/71/45/607107145.db2.gz UAHFIEQMWUFGLV-UHFFFAOYSA-N -1 1 301.335 1.290 20 0 DDADMM O=C(CCc1cccnc1)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822983761 607107146 /nfs/dbraw/zinc/10/71/46/607107146.db2.gz UAHFIEQMWUFGLV-UHFFFAOYSA-N -1 1 301.335 1.290 20 0 DDADMM Cc1ccc(C(=O)C(=O)Nc2ncc(-c3nnn[n-]3)s2)cc1 ZINC000822224873 607108444 /nfs/dbraw/zinc/10/84/44/607108444.db2.gz DDZWFUSTWGSFJL-UHFFFAOYSA-N -1 1 314.330 1.453 20 0 DDADMM Cc1ccc(C(=O)C(=O)Nc2ncc(-c3nn[n-]n3)s2)cc1 ZINC000822224873 607108445 /nfs/dbraw/zinc/10/84/45/607108445.db2.gz DDZWFUSTWGSFJL-UHFFFAOYSA-N -1 1 314.330 1.453 20 0 DDADMM c1cc(Sc2nnc3n2CCCCC3)nc(-c2nnn[n-]2)c1 ZINC000826517703 607896129 /nfs/dbraw/zinc/89/61/29/607896129.db2.gz MBPGEFWRAISJDU-UHFFFAOYSA-N -1 1 314.378 1.731 20 0 DDADMM c1cc(Sc2nnc3n2CCCCC3)nc(-c2nn[n-]n2)c1 ZINC000826517703 607896130 /nfs/dbraw/zinc/89/61/30/607896130.db2.gz MBPGEFWRAISJDU-UHFFFAOYSA-N -1 1 314.378 1.731 20 0 DDADMM CC1=C(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CCCO1 ZINC000824851969 607919404 /nfs/dbraw/zinc/91/94/04/607919404.db2.gz GXNXGKVIJCNWFE-UHFFFAOYSA-N -1 1 301.306 1.389 20 0 DDADMM CC1=C(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CCCO1 ZINC000824851969 607919405 /nfs/dbraw/zinc/91/94/05/607919405.db2.gz GXNXGKVIJCNWFE-UHFFFAOYSA-N -1 1 301.306 1.389 20 0 DDADMM C[C@](O)(CNc1cccc(-c2nnn[n-]2)n1)c1ccc(F)cc1 ZINC000824679991 607967833 /nfs/dbraw/zinc/96/78/33/607967833.db2.gz XOJMZQZPTSIWHV-HNNXBMFYSA-N -1 1 314.324 1.720 20 0 DDADMM C[C@](O)(CNc1cccc(-c2nn[n-]n2)n1)c1ccc(F)cc1 ZINC000824679991 607967834 /nfs/dbraw/zinc/96/78/34/607967834.db2.gz XOJMZQZPTSIWHV-HNNXBMFYSA-N -1 1 314.324 1.720 20 0 DDADMM O=C(C=Cc1cccnc1)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826403048 607997566 /nfs/dbraw/zinc/99/75/66/607997566.db2.gz IRIMIVHWRNEHRA-ZZXKWVIFSA-N -1 1 308.301 1.619 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])C1(O)CCCCC1 ZINC000826451296 607999056 /nfs/dbraw/zinc/99/90/56/607999056.db2.gz ZSBDCQYQFFFDBX-UHFFFAOYSA-N -1 1 303.322 1.206 20 0 DDADMM CC[C@@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)C[C@H]1O ZINC000825212493 608018269 /nfs/dbraw/zinc/01/82/69/608018269.db2.gz RPOQJNWFWMCLJP-BXKDBHETSA-N -1 1 311.349 1.017 20 0 DDADMM CC[C@@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)C[C@H]1O ZINC000825212493 608018270 /nfs/dbraw/zinc/01/82/70/608018270.db2.gz RPOQJNWFWMCLJP-BXKDBHETSA-N -1 1 311.349 1.017 20 0 DDADMM C[C@H](OC(=O)c1sccc1-c1nn[n-]n1)C(=O)OC(C)(C)C ZINC000824727553 608103704 /nfs/dbraw/zinc/10/37/04/608103704.db2.gz BIGSYIAFBCUUQO-ZETCQYMHSA-N -1 1 324.362 1.815 20 0 DDADMM Cc1cc(C(=O)n2ncc(-c3nn[n-]n3)c2N)cc(C)c1F ZINC000826261498 608119712 /nfs/dbraw/zinc/11/97/12/608119712.db2.gz HWENEANPIUCGOY-UHFFFAOYSA-N -1 1 301.285 1.090 20 0 DDADMM CN(CC1(O)CCCC1)c1snc(Cl)c1-c1nnn[n-]1 ZINC000825901953 608123698 /nfs/dbraw/zinc/12/36/98/608123698.db2.gz MICRGGREVGABTD-UHFFFAOYSA-N -1 1 314.802 1.718 20 0 DDADMM CN(CC1(O)CCCC1)c1snc(Cl)c1-c1nn[n-]n1 ZINC000825901953 608123699 /nfs/dbraw/zinc/12/36/99/608123699.db2.gz MICRGGREVGABTD-UHFFFAOYSA-N -1 1 314.802 1.718 20 0 DDADMM C[C@H](Nc1snc(Cl)c1-c1nn[n-]n1)[C@H]1CCOC1 ZINC000824671074 608185088 /nfs/dbraw/zinc/18/50/88/608185088.db2.gz WGHUFQXZXJQQAW-WDSKDSINSA-N -1 1 300.775 1.814 20 0 DDADMM C[C@H](Nc1snc(Cl)c1-c1nnn[n-]1)[C@H]1CCOC1 ZINC000824671074 608185087 /nfs/dbraw/zinc/18/50/87/608185087.db2.gz WGHUFQXZXJQQAW-WDSKDSINSA-N -1 1 300.775 1.814 20 0 DDADMM CN(CCCOc1ccccc1)c1ccc(-c2nnn[n-]2)nn1 ZINC000825923139 608396414 /nfs/dbraw/zinc/39/64/14/608396414.db2.gz CUGJJJZPLASNHJ-UHFFFAOYSA-N -1 1 311.349 1.562 20 0 DDADMM CN(CCCOc1ccccc1)c1ccc(-c2nn[n-]n2)nn1 ZINC000825923139 608396415 /nfs/dbraw/zinc/39/64/15/608396415.db2.gz CUGJJJZPLASNHJ-UHFFFAOYSA-N -1 1 311.349 1.562 20 0 DDADMM c1ccc2c(c1)nnc(-c1nnn[n-]1)c2NCCc1cnccn1 ZINC000826523453 608416100 /nfs/dbraw/zinc/41/61/00/608416100.db2.gz NNMCUXGXAILFMW-UHFFFAOYSA-N -1 1 319.332 1.255 20 0 DDADMM c1ccc2c(c1)nnc(-c1nn[n-]n1)c2NCCc1cnccn1 ZINC000826523453 608416102 /nfs/dbraw/zinc/41/61/02/608416102.db2.gz NNMCUXGXAILFMW-UHFFFAOYSA-N -1 1 319.332 1.255 20 0 DDADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)Oc1ccc(F)cc1 ZINC000824429885 608424333 /nfs/dbraw/zinc/42/43/33/608424333.db2.gz ZZAVPZAHQIFGIK-SECBINFHSA-N -1 1 315.312 1.675 20 0 DDADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)Oc1ccc(F)cc1 ZINC000824429885 608424335 /nfs/dbraw/zinc/42/43/35/608424335.db2.gz ZZAVPZAHQIFGIK-SECBINFHSA-N -1 1 315.312 1.675 20 0 DDADMM Cc1onc(CC(=O)Nc2c(C)cccc2O)c1-c1nnn[n-]1 ZINC000826300036 609470506 /nfs/dbraw/zinc/47/05/06/609470506.db2.gz FHYPSWMRZRSDPB-UHFFFAOYSA-N -1 1 314.305 1.358 20 0 DDADMM Cc1onc(CC(=O)Nc2c(C)cccc2O)c1-c1nn[n-]n1 ZINC000826300036 609470507 /nfs/dbraw/zinc/47/05/07/609470507.db2.gz FHYPSWMRZRSDPB-UHFFFAOYSA-N -1 1 314.305 1.358 20 0 DDADMM CCCCCN1CC(=O)N[C@H]1c1ccc(-c2nn[n-]n2)s1 ZINC000825276045 609506531 /nfs/dbraw/zinc/50/65/31/609506531.db2.gz NPXDRHVEHNNIOM-CYBMUJFWSA-N -1 1 306.395 1.549 20 0 DDADMM Clc1nsc(NCCCn2ccnn2)c1-c1nnn[n-]1 ZINC000826354347 609597389 /nfs/dbraw/zinc/59/73/89/609597389.db2.gz YWOUMNQXUXOSEY-UHFFFAOYSA-N -1 1 311.762 1.070 20 0 DDADMM Clc1nsc(NCCCn2ccnn2)c1-c1nn[n-]n1 ZINC000826354347 609597392 /nfs/dbraw/zinc/59/73/92/609597392.db2.gz YWOUMNQXUXOSEY-UHFFFAOYSA-N -1 1 311.762 1.070 20 0 DDADMM O=C(Nc1nc(Br)ccc1[O-])C1=COCCO1 ZINC000121562260 696712541 /nfs/dbraw/zinc/71/25/41/696712541.db2.gz CNUBHQDTDLOOPC-UHFFFAOYSA-N -1 1 301.096 1.376 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)c1cc[n+]([O-])cc1 ZINC000007681739 696030281 /nfs/dbraw/zinc/03/02/81/696030281.db2.gz QPRBRIACCJIRIP-UHFFFAOYSA-N -1 1 313.265 1.489 20 0 DDADMM CCCOC(=O)CCNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000747382830 700067173 /nfs/dbraw/zinc/06/71/73/700067173.db2.gz CTNHURICRAUWFE-UHFFFAOYSA-N -1 1 313.379 1.286 20 0 DDADMM CC(C)C(=O)N[N-]C(=O)c1ccccc1S(=O)(=O)C(C)C ZINC000032673824 696125566 /nfs/dbraw/zinc/12/55/66/696125566.db2.gz SRDDYPYIICTXHW-UHFFFAOYSA-N -1 1 312.391 1.286 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ccccc2C)o1 ZINC000042263898 696147192 /nfs/dbraw/zinc/14/71/92/696147192.db2.gz MTFQFBQPBUKESC-UHFFFAOYSA-N -1 1 309.343 1.853 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)CCn1cccn1 ZINC000747388804 700067472 /nfs/dbraw/zinc/06/74/72/700067472.db2.gz FAMVGMMFHDUMJC-UHFFFAOYSA-N -1 1 303.366 1.583 20 0 DDADMM CCNC(=O)N[N-]C(=O)c1cc(Br)ccc1F ZINC000049165830 696221303 /nfs/dbraw/zinc/22/13/03/696221303.db2.gz MMHFTDKYNIBJEE-UHFFFAOYSA-N -1 1 304.119 1.552 20 0 DDADMM CCOC(=O)[C@H](C)[N-]S(=O)(=O)c1cccc(Cl)c1F ZINC000049499823 696224400 /nfs/dbraw/zinc/22/44/00/696224400.db2.gz URDBOQFPSNULBT-ZETCQYMHSA-N -1 1 309.746 1.709 20 0 DDADMM CCC/C=C\C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000051419251 696240829 /nfs/dbraw/zinc/24/08/29/696240829.db2.gz BASQCNWSVALVHI-WAYWQWQTSA-N -1 1 305.330 1.851 20 0 DDADMM N#CCc1cccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1 ZINC000051525590 696242628 /nfs/dbraw/zinc/24/26/28/696242628.db2.gz ZOKFWRNTKPGGAJ-UHFFFAOYSA-N -1 1 322.320 1.866 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2ccccc2OC)n1 ZINC000747616920 700077841 /nfs/dbraw/zinc/07/78/41/700077841.db2.gz IZLNLWFWAQYWAZ-UHFFFAOYSA-N -1 1 324.362 1.108 20 0 DDADMM O=C(COC(=O)c1c([O-])cc(F)cc1F)NCC(F)(F)F ZINC000063543414 696328936 /nfs/dbraw/zinc/32/89/36/696328936.db2.gz TZKALDPZVITZEH-UHFFFAOYSA-N -1 1 313.178 1.506 20 0 DDADMM CC[C@@H](C#N)OC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000084710295 696559766 /nfs/dbraw/zinc/55/97/66/696559766.db2.gz CHIHZGTVISXZHZ-VIFPVBQESA-N -1 1 314.363 1.815 20 0 DDADMM CCc1noc(CC)c1CC(=O)NCc1n[n-]c(=S)n1CC ZINC000087300653 696567999 /nfs/dbraw/zinc/56/79/99/696567999.db2.gz HSLLTNJGQQQGIP-UHFFFAOYSA-N -1 1 323.422 1.932 20 0 DDADMM CNC(=O)c1cncc(/C=C/C(=O)Nc2c([O-])cccc2F)c1 ZINC000092551437 696595550 /nfs/dbraw/zinc/59/55/50/696595550.db2.gz ZVQZSBOTTVYHBF-AATRIKPKSA-N -1 1 315.304 1.938 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCC(F)(F)F)o1 ZINC000157649564 696980302 /nfs/dbraw/zinc/98/03/02/696980302.db2.gz YPEDZFGGRHCXKD-UHFFFAOYSA-N -1 1 315.269 1.687 20 0 DDADMM O=C(c1nc2ccccc2c(=O)[n-]1)N1CC[C@H](c2ccccn2)C1 ZINC000799181977 700126788 /nfs/dbraw/zinc/12/67/88/700126788.db2.gz VRDPLLYVKKLOCS-LBPRGKRZSA-N -1 1 320.352 1.948 20 0 DDADMM CC(=O)N1CC2(C[C@@H]1C)CCN(C(=O)c1ncccc1[O-])CC2 ZINC000982202235 697057252 /nfs/dbraw/zinc/05/72/52/697057252.db2.gz IJZDWIAVFQKIQU-LBPRGKRZSA-N -1 1 317.389 1.650 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCc2ccccc2)co1 ZINC000163471336 697333314 /nfs/dbraw/zinc/33/33/14/697333314.db2.gz PAAHHSLPGQMWRY-UHFFFAOYSA-N -1 1 309.343 1.587 20 0 DDADMM CC[C@H](F)C(=O)N1CCC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000984491974 697391846 /nfs/dbraw/zinc/39/18/46/697391846.db2.gz JCPXPQNCGFDPIA-NEPJUHHUSA-N -1 1 323.368 1.598 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)C(C)C)CCN1C(=O)c1ncccc1[O-] ZINC000984572842 697401504 /nfs/dbraw/zinc/40/15/04/697401504.db2.gz GCOVUKQPTCBADF-NWDGAFQWSA-N -1 1 305.378 1.553 20 0 DDADMM O=C(CCCc1ccc2ccccc2c1)NN1CC(=O)[N-]C1=O ZINC000182498804 697475932 /nfs/dbraw/zinc/47/59/32/697475932.db2.gz PRGHGXHWGHFUJE-UHFFFAOYSA-N -1 1 311.341 1.746 20 0 DDADMM C[C@@H](Oc1ccc(F)cc1)C(=O)N[N-]C(=O)c1ccc(F)cn1 ZINC000184043839 697498542 /nfs/dbraw/zinc/49/85/42/697498542.db2.gz PULMEHURQFERBO-SECBINFHSA-N -1 1 321.283 1.588 20 0 DDADMM Cc1cc(S(=O)(=O)NCCN(C)C)cc(C(=O)[O-])c1Cl ZINC000184852138 697509206 /nfs/dbraw/zinc/50/92/06/697509206.db2.gz DUCGNYXYPFLHOC-UHFFFAOYSA-N -1 1 320.798 1.187 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@H]2CCC2(C)C)CC1 ZINC000985595516 697538720 /nfs/dbraw/zinc/53/87/20/697538720.db2.gz VCTXTIWTNDBWBZ-GFCCVEGCSA-N -1 1 321.425 1.369 20 0 DDADMM O=C(NC[C@H](O)c1ccc(F)cc1)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000186915223 697539603 /nfs/dbraw/zinc/53/96/03/697539603.db2.gz DHTWOIRVDUGGRM-LBPRGKRZSA-N -1 1 321.264 1.298 20 0 DDADMM CCCC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]2C1 ZINC000985636640 697547228 /nfs/dbraw/zinc/54/72/28/697547228.db2.gz KFVWAUSHOATHKD-OLZOCXBDSA-N -1 1 317.389 1.508 20 0 DDADMM CSc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)o1 ZINC000773156720 697720542 /nfs/dbraw/zinc/72/05/42/697720542.db2.gz CQHSVSSWHZFHAY-ZETCQYMHSA-N -1 1 311.392 1.445 20 0 DDADMM Cc1nc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)sc1C ZINC000773525652 697773534 /nfs/dbraw/zinc/77/35/34/697773534.db2.gz NKTUDISVRPDSSZ-UHFFFAOYSA-N -1 1 318.354 1.873 20 0 DDADMM C[C@H]1CN(C(=O)COC(=O)c2ccc([O-])cc2F)C[C@H](C)O1 ZINC000773569264 697782516 /nfs/dbraw/zinc/78/25/16/697782516.db2.gz LGYOQNLHTMIAPA-UWVGGRQHSA-N -1 1 311.309 1.324 20 0 DDADMM Cc1ncc(CNC(=O)c2ccc3n[n-]c(=S)n3c2)s1 ZINC000773851132 697813724 /nfs/dbraw/zinc/81/37/24/697813724.db2.gz ZYQICNQMZZOODB-UHFFFAOYSA-N -1 1 305.388 1.713 20 0 DDADMM O=C(NCc1ccc(O)c(F)c1)c1ccc2n[n-]c(=S)n2c1 ZINC000774062623 697838728 /nfs/dbraw/zinc/83/87/28/697838728.db2.gz FZOCNQDXMBESNI-UHFFFAOYSA-N -1 1 318.333 1.793 20 0 DDADMM CO[C@@H]1CCC[C@@H]1C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000774796831 697929980 /nfs/dbraw/zinc/92/99/80/697929980.db2.gz CUESKQMVEMHUTO-RKDXNWHRSA-N -1 1 307.803 1.167 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)C2CCCC2)CCN1C(=O)c1ncccc1[O-] ZINC000987192888 698029690 /nfs/dbraw/zinc/02/96/90/698029690.db2.gz GMCNAVZYQKAMCF-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM CCC1(CC)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000777216890 698173904 /nfs/dbraw/zinc/17/39/04/698173904.db2.gz GENALQVBCVNFEY-UHFFFAOYSA-N -1 1 307.394 1.356 20 0 DDADMM Cc1ccsc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000987848250 698245969 /nfs/dbraw/zinc/24/59/69/698245969.db2.gz ZSGRTOOVFGRDCI-VHSXEESVSA-N -1 1 321.406 1.273 20 0 DDADMM Cc1ccccc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000987891958 698259517 /nfs/dbraw/zinc/25/95/17/698259517.db2.gz BOBFASBZFVPQAT-RYUDHWBXSA-N -1 1 315.377 1.212 20 0 DDADMM CC(NCCC(C)C)=C1C(=O)[N-]C(=S)N(CC(C)C)C1=O ZINC000255053211 698411233 /nfs/dbraw/zinc/41/12/33/698411233.db2.gz AQRINGTXQXWPFT-VAWYXSNFSA-N -1 1 311.451 1.795 20 0 DDADMM Cc1ncc(CN[C@@H]2C[C@H](C)N(C(=O)c3n[nH]c(C)c3[O-])C2)o1 ZINC000988633710 698424080 /nfs/dbraw/zinc/42/40/80/698424080.db2.gz MJVWKWRAGJZCKA-GZMMTYOYSA-N -1 1 319.365 1.113 20 0 DDADMM CCc1occc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000988748641 698453892 /nfs/dbraw/zinc/45/38/92/698453892.db2.gz CTMOFFIUEMJIFE-ZJUUUORDSA-N -1 1 319.365 1.059 20 0 DDADMM COc1ccnc(N2CCN(c3cccc(C(=O)[O-])n3)CC2)c1 ZINC000263386038 698504316 /nfs/dbraw/zinc/50/43/16/698504316.db2.gz DVASASFSLUYKAQ-UHFFFAOYSA-N -1 1 314.345 1.510 20 0 DDADMM CC(C)N(C)S(=O)(=O)[N-]c1ccn(Cc2ccncc2)n1 ZINC000267029811 698520185 /nfs/dbraw/zinc/52/01/85/698520185.db2.gz KMOXDPTWMCOROS-UHFFFAOYSA-N -1 1 309.395 1.323 20 0 DDADMM O=C([O-])[C@@H](CC(F)F)NC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000780682254 698547914 /nfs/dbraw/zinc/54/79/14/698547914.db2.gz AMRHFNAPQWGNNM-JGVFFNPUSA-N -1 1 302.281 1.195 20 0 DDADMM O=C(NCCn1ccnn1)c1ccc(C(F)(F)F)cc1[O-] ZINC000782830483 698771703 /nfs/dbraw/zinc/77/17/03/698771703.db2.gz SJGYWJCJTMVJGK-UHFFFAOYSA-N -1 1 300.240 1.433 20 0 DDADMM O=C([N-]N1CCCNC1=O)c1c[nH]nc1-c1ccccc1Cl ZINC000783606503 698857335 /nfs/dbraw/zinc/85/73/35/698857335.db2.gz QUNFRJWUXLWWSW-UHFFFAOYSA-N -1 1 319.752 1.790 20 0 DDADMM C[C@H]1C[C@@H](C(=O)OCc2cc(=O)oc3cc([O-])ccc23)CO1 ZINC000784277424 698924183 /nfs/dbraw/zinc/92/41/83/698924183.db2.gz YSYSVGUJEGVZMD-GXSJLCMTSA-N -1 1 304.298 1.967 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H]2CCOC(C)(C)C2)o1 ZINC000785904506 699109778 /nfs/dbraw/zinc/10/97/78/699109778.db2.gz LGRVNIZRJNOVMA-VIFPVBQESA-N -1 1 317.363 1.302 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1cnc(Cl)n1C)C(F)(F)F ZINC000786915783 699179945 /nfs/dbraw/zinc/17/99/45/699179945.db2.gz MVDQYWHCSZGYRV-ZETCQYMHSA-N -1 1 319.736 1.939 20 0 DDADMM CC[C@@]1(C)C[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990972416 699196259 /nfs/dbraw/zinc/19/62/59/699196259.db2.gz OWVFEDUAAXDOLB-BZNIZROVSA-N -1 1 303.362 1.164 20 0 DDADMM CO[C@@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC000787901329 699252666 /nfs/dbraw/zinc/25/26/66/699252666.db2.gz CQQCQNPQPVHPKS-NWDGAFQWSA-N -1 1 319.390 1.204 20 0 DDADMM Ic1cnc(=NOC[C@@H]2CCOC2)[n-]c1 ZINC000788084140 699276326 /nfs/dbraw/zinc/27/63/26/699276326.db2.gz YUFZWGKYZMUMQL-SSDOTTSWSA-N -1 1 321.118 1.461 20 0 DDADMM CC1CCC(N2C[C@@H](C(=O)[N-]OCC(C)(C)O)CC2=O)CC1 ZINC000788222210 699292684 /nfs/dbraw/zinc/29/26/84/699292684.db2.gz GRMCVRWJSAETDJ-CPCZMJQVSA-N -1 1 312.410 1.232 20 0 DDADMM CC(C)(CNC(=O)c1cc(Cl)ccc1[O-])CS(C)(=O)=O ZINC000723860572 699322588 /nfs/dbraw/zinc/32/25/88/699322588.db2.gz DAXFZVUXGRONMF-UHFFFAOYSA-N -1 1 319.810 1.846 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@H]1CC[C@@H](C)O1 ZINC000788783857 699339376 /nfs/dbraw/zinc/33/93/76/699339376.db2.gz IIZLHDGSZZPMPK-NXEZZACHSA-N -1 1 300.380 1.255 20 0 DDADMM CSc1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000726866561 699386097 /nfs/dbraw/zinc/38/60/97/699386097.db2.gz YBQJUBXOQOLLLV-UHFFFAOYSA-N -1 1 305.359 1.287 20 0 DDADMM CO[C@@H](C)c1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000727855973 699428483 /nfs/dbraw/zinc/42/84/83/699428483.db2.gz AORJFBBWUFOJRS-NSHDSACASA-N -1 1 317.345 1.273 20 0 DDADMM O=C([N-]N1Cc2ccccc2C1=O)c1c[nH]nc1-c1ccoc1 ZINC000790022538 699434836 /nfs/dbraw/zinc/43/48/36/699434836.db2.gz GSYXDYJCBUOKFJ-UHFFFAOYSA-N -1 1 308.297 1.971 20 0 DDADMM CCOC(=O)c1n[n-]c(-c2cccc(CNC(=O)C(F)F)c2)n1 ZINC000790159188 699446495 /nfs/dbraw/zinc/44/64/95/699446495.db2.gz HJBBGXKLUDEJNM-UHFFFAOYSA-N -1 1 324.287 1.530 20 0 DDADMM O=S(=O)(CCC(F)(F)F)[N-][C@@H]1CCCCC12OCCO2 ZINC000790242900 699449011 /nfs/dbraw/zinc/44/90/11/699449011.db2.gz SEXYQZZHFBCLEV-SECBINFHSA-N -1 1 317.329 1.544 20 0 DDADMM C[C@H](OC(=O)c1ccc(Cl)c(Cl)c1[O-])C(=O)NC(N)=O ZINC000730360623 699509142 /nfs/dbraw/zinc/50/91/42/699509142.db2.gz ZLCFTQNKJOFTRT-BYPYZUCNSA-N -1 1 321.116 1.439 20 0 DDADMM CC(=CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000731880146 699539571 /nfs/dbraw/zinc/53/95/71/699539571.db2.gz HCAANPIIKNCIMV-CHOZFAJLSA-N -1 1 317.324 1.342 20 0 DDADMM C[C@H](Cc1ccc(F)cc1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732090856 699546121 /nfs/dbraw/zinc/54/61/21/699546121.db2.gz OLQABAAFUDZCET-ZWNOBZJWSA-N -1 1 319.340 1.118 20 0 DDADMM Cc1cc(C(=O)NCc2nn[n-]n2)c(C)n1Cc1ccccc1 ZINC000732158014 699548301 /nfs/dbraw/zinc/54/83/01/699548301.db2.gz PLMDBJZBOCASHL-UHFFFAOYSA-N -1 1 310.361 1.596 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCC1CCOCC1 ZINC000733009396 699576945 /nfs/dbraw/zinc/57/69/45/699576945.db2.gz AFKRKDUOMUDBTE-UHFFFAOYSA-N -1 1 319.361 1.564 20 0 DDADMM COc1ccccc1CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000791089719 699611094 /nfs/dbraw/zinc/61/10/94/699611094.db2.gz QHEUTHNWPFIFHK-UHFFFAOYSA-N -1 1 311.345 1.672 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cc(-c4cccnc4)on3)ccnc1-2 ZINC000791161117 699613432 /nfs/dbraw/zinc/61/34/32/699613432.db2.gz JWNTZEPDWNQACL-UHFFFAOYSA-N -1 1 320.312 1.644 20 0 DDADMM CC(=O)Nc1ccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc1 ZINC000791169398 699614207 /nfs/dbraw/zinc/61/42/07/699614207.db2.gz YMTYZLDEGAGPQZ-UHFFFAOYSA-N -1 1 309.329 1.553 20 0 DDADMM C[S@@](=O)CC[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000735079853 699676626 /nfs/dbraw/zinc/67/66/26/699676626.db2.gz HCDLWSIBKXWSBJ-OAHLLOKOSA-N -1 1 322.260 1.712 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2nonc2C)c(=O)[n-]1 ZINC000735818864 699704000 /nfs/dbraw/zinc/70/40/00/699704000.db2.gz OHICYNPDQQPVAV-UHFFFAOYSA-N -1 1 323.378 1.153 20 0 DDADMM C[C@H](CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccc(F)cc1 ZINC000735978169 699715408 /nfs/dbraw/zinc/71/54/08/699715408.db2.gz ZBFBBICPYIJFGR-ZWNOBZJWSA-N -1 1 319.340 1.433 20 0 DDADMM Cc1ccc(CCCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC000737337840 699738572 /nfs/dbraw/zinc/73/85/72/699738572.db2.gz IKXDDCBRADHGAK-CQSZACIVSA-N -1 1 315.377 1.431 20 0 DDADMM CN1CCCC[C@H]1C(=O)NC[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000738014347 699749673 /nfs/dbraw/zinc/74/96/73/699749673.db2.gz BCRAZBCBXZKQJF-CABCVRRESA-N -1 1 304.390 1.530 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/c2ccco2)c1 ZINC000794124283 699794190 /nfs/dbraw/zinc/79/41/90/699794190.db2.gz MKZZHPRUBHHUOG-QPJJXVBHSA-N -1 1 322.342 1.545 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CC[C@H]2CCC[C@@H]2C1 ZINC000742120192 699861212 /nfs/dbraw/zinc/86/12/12/699861212.db2.gz OGNQMONZRJJNIK-JHJVBQTASA-N -1 1 305.378 1.012 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]C(C)C)c(F)cc1Cl ZINC000795753009 699889694 /nfs/dbraw/zinc/88/96/94/699889694.db2.gz ODPMUHAFWPTOJU-UHFFFAOYSA-N -1 1 309.746 1.952 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H]1c1cccc(F)c1 ZINC000742869909 699892909 /nfs/dbraw/zinc/89/29/09/699892909.db2.gz ULMQYMGOGKHBKV-ZDUSSCGKSA-N -1 1 317.320 1.039 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCCN(C(C)C)C2=O)[n-]1 ZINC000796345117 699928097 /nfs/dbraw/zinc/92/80/97/699928097.db2.gz IVOPNAIXOGERJB-GFCCVEGCSA-N -1 1 308.334 1.358 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OC[C@H]3CCCO3)n2)cc1 ZINC000801364696 700300797 /nfs/dbraw/zinc/30/07/97/700300797.db2.gz CAVFMRZITQUMKC-CYBMUJFWSA-N -1 1 318.329 1.922 20 0 DDADMM CC[C@H](OC(=O)c1nn(-c2ccc(F)cc2C)cc1[O-])C(N)=O ZINC000801430242 700308157 /nfs/dbraw/zinc/30/81/57/700308157.db2.gz RTCUYBUILWPSDG-LBPRGKRZSA-N -1 1 321.308 1.446 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cc(-c4ccccn4)no3)ccnc1-2 ZINC000801701942 700332551 /nfs/dbraw/zinc/33/25/51/700332551.db2.gz JMWBUKMEHOUBQT-UHFFFAOYSA-N -1 1 320.312 1.644 20 0 DDADMM Cc1cc2nc(C)cc(C(=O)N=c3ccnc4n(C)[n-]cc3-4)n2n1 ZINC000801704103 700333022 /nfs/dbraw/zinc/33/30/22/700333022.db2.gz XVOSXLYSMWMJJM-UHFFFAOYSA-N -1 1 321.344 1.254 20 0 DDADMM COC(=O)C[C@@H]([N-]S(=O)(=O)c1cc(F)ccc1F)C1CC1 ZINC000754238255 700491396 /nfs/dbraw/zinc/49/13/96/700491396.db2.gz IOFUOBZMIGREKB-LLVKDONJSA-N -1 1 319.329 1.585 20 0 DDADMM CC(C)(C)OC(=O)C1([N-]S(=O)(=O)C2COC2)CCCCC1 ZINC000755123156 700551702 /nfs/dbraw/zinc/55/17/02/700551702.db2.gz XZQYWWRPTAFBNW-UHFFFAOYSA-N -1 1 319.423 1.349 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)C[C@@H]1CCC[C@@H]1O ZINC000755230983 700557067 /nfs/dbraw/zinc/55/70/67/700557067.db2.gz GVGTYYDKQDMAGG-RYUDHWBXSA-N -1 1 307.394 1.847 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC2CCC(OC)CC2)co1 ZINC000756575754 700630148 /nfs/dbraw/zinc/63/01/48/700630148.db2.gz YRKQKKZOGWEQNH-UHFFFAOYSA-N -1 1 317.363 1.302 20 0 DDADMM CCc1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c(C)nn1 ZINC000760198084 700797082 /nfs/dbraw/zinc/79/70/82/700797082.db2.gz RQUKKUQUXOVDRJ-AWEZNQCLSA-N -1 1 323.360 1.380 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CCc2ccnn2C)sc1C ZINC000762555909 700898010 /nfs/dbraw/zinc/89/80/10/700898010.db2.gz ZPYYYCFYISAGDK-UHFFFAOYSA-N -1 1 300.409 1.014 20 0 DDADMM CCOc1cc(C(=O)NCC[S@@](=O)CC)cc(Cl)c1[O-] ZINC000762602719 700899882 /nfs/dbraw/zinc/89/98/82/700899882.db2.gz OCVDCBSCQUURNA-FQEVSTJZSA-N -1 1 319.810 1.943 20 0 DDADMM O=C(NN1CCc2ccccc2C1=O)c1cc(F)ccc1[O-] ZINC000762656100 700901716 /nfs/dbraw/zinc/90/17/16/700901716.db2.gz QYWBTQOCIPZFMK-UHFFFAOYSA-N -1 1 300.289 1.875 20 0 DDADMM COc1cc(C(=O)NCC(=O)OCC2CC2)cc(Cl)c1[O-] ZINC000763250776 700931559 /nfs/dbraw/zinc/93/15/59/700931559.db2.gz RKOQONZUMRYWPJ-UHFFFAOYSA-N -1 1 313.737 1.737 20 0 DDADMM O=C(C[C@H]1CSCCS1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000765110447 700999555 /nfs/dbraw/zinc/99/95/55/700999555.db2.gz SIXXSPLLBNSUQG-UWVGGRQHSA-N -1 1 313.452 1.144 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(N3CCCCC3)cc2)nc1=O ZINC000765486748 701015442 /nfs/dbraw/zinc/01/54/42/701015442.db2.gz MXDWZYDCBFDDII-UHFFFAOYSA-N -1 1 316.361 1.456 20 0 DDADMM CC(C)(C)n1nnnc1COC(=O)c1c([O-])cc(F)cc1F ZINC000766196691 701041761 /nfs/dbraw/zinc/04/17/61/701041761.db2.gz TVYYVULTHCDFBF-UHFFFAOYSA-N -1 1 312.276 1.769 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2c(F)cccc2Cl)CCCC1 ZINC000766497867 701054022 /nfs/dbraw/zinc/05/40/22/701054022.db2.gz NKYIHNBGTUKJKP-UHFFFAOYSA-N -1 1 320.773 1.556 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)Nc2cncnc2)cc1C(=O)[O-] ZINC000767045818 701080386 /nfs/dbraw/zinc/08/03/86/701080386.db2.gz HPWSDJDKQUVFNF-UHFFFAOYSA-N -1 1 311.294 1.423 20 0 DDADMM CCOC(=O)[C@@H](C)[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000803102374 701081365 /nfs/dbraw/zinc/08/13/65/701081365.db2.gz PHRDFFXWQMJSBK-VHSXEESVSA-N -1 1 323.393 1.932 20 0 DDADMM O=S(=O)([N-]Cc1cccnn1)c1c(Cl)ccnc1Cl ZINC000767580068 701117559 /nfs/dbraw/zinc/11/75/59/701117559.db2.gz SENQHGHYUCWJHB-UHFFFAOYSA-N -1 1 319.173 1.657 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000769613433 701250416 /nfs/dbraw/zinc/25/04/16/701250416.db2.gz CCYIHSJLJXHPHG-LBPRGKRZSA-N -1 1 324.808 1.982 20 0 DDADMM COC(=O)[C@H](CC(F)F)NC(=O)c1c([O-])cccc1Cl ZINC000770146128 701268678 /nfs/dbraw/zinc/26/86/78/701268678.db2.gz FNCHKIBKXHZQME-ZETCQYMHSA-N -1 1 307.680 1.972 20 0 DDADMM C/C=C/C(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccc1[O-] ZINC000770856016 701297966 /nfs/dbraw/zinc/29/79/66/701297966.db2.gz UTGHSNWBUWVRNP-GORDUTHDSA-N -1 1 310.375 1.691 20 0 DDADMM C[C@@](O)(C(=O)OCc1cc(=O)oc2cc([O-])ccc12)C1CC1 ZINC000805583232 701396560 /nfs/dbraw/zinc/39/65/60/701396560.db2.gz NBXBYVIVWKMBFL-INIZCTEOSA-N -1 1 304.298 1.703 20 0 DDADMM CC1(S(=O)(=O)[N-]C(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC000806029865 701422012 /nfs/dbraw/zinc/42/20/12/701422012.db2.gz ROXORVWJBNKZPO-UHFFFAOYSA-N -1 1 306.347 1.084 20 0 DDADMM CC[C@@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CCCO1 ZINC000806481479 701436905 /nfs/dbraw/zinc/43/69/05/701436905.db2.gz DAAAKQLTYASTEV-YPMHNXCESA-N -1 1 303.366 1.421 20 0 DDADMM COCC1(C)CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000806491068 701437770 /nfs/dbraw/zinc/43/77/70/701437770.db2.gz CKSQCQIRHCFKLE-UHFFFAOYSA-N -1 1 317.393 1.622 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1(C)C ZINC000806491969 701437842 /nfs/dbraw/zinc/43/78/42/701437842.db2.gz ZAUZRWFGIRHDQQ-VXGBXAGGSA-N -1 1 303.366 1.277 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807706698 701484429 /nfs/dbraw/zinc/48/44/29/701484429.db2.gz RNVMVNBZAPAWTM-HWUMTFDVSA-N -1 1 306.328 1.946 20 0 DDADMM CCc1cccnc1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000830960084 706611496 /nfs/dbraw/zinc/61/14/96/706611496.db2.gz VLBZFCNUBDTEKB-UHFFFAOYSA-N -1 1 314.345 1.142 20 0 DDADMM COC1(CS(=O)(=O)[N-][C@@]2(C)CC(C)(C)OC2=O)CCCC1 ZINC000882222766 707545835 /nfs/dbraw/zinc/54/58/35/707545835.db2.gz ABDGVDINXSYURZ-ZDUSSCGKSA-N -1 1 319.423 1.349 20 0 DDADMM COc1cc(C(=O)NO[C@@H](CO)C(C)C)cc(Cl)c1[O-] ZINC000836900862 707546207 /nfs/dbraw/zinc/54/62/07/707546207.db2.gz GCXJGNVCIOIECN-NSHDSACASA-N -1 1 303.742 1.733 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)c2nccs2)C1)C(F)(F)F ZINC000810898383 701869814 /nfs/dbraw/zinc/86/98/14/701869814.db2.gz JQMYUYFKKQSUES-QMMMGPOBSA-N -1 1 321.324 1.674 20 0 DDADMM Cc1ccn(C[C@H](C)C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000868010259 701889994 /nfs/dbraw/zinc/88/99/94/701889994.db2.gz ILNFYXBDWVCFQT-JQWIXIFHSA-N -1 1 319.365 1.322 20 0 DDADMM CCCCCCN(C)CC(=O)[N-]S(=O)(=O)C1(COC)CC1 ZINC000811156996 701925422 /nfs/dbraw/zinc/92/54/22/701925422.db2.gz BJKMWACEWGLKJE-UHFFFAOYSA-N -1 1 320.455 1.124 20 0 DDADMM CCc1c(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)ccn1C ZINC000811274989 701951020 /nfs/dbraw/zinc/95/10/20/701951020.db2.gz AVCOCSJZPSUFIW-UHFFFAOYSA-N -1 1 314.341 1.701 20 0 DDADMM Cc1ccc(O)cc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000811311795 701956610 /nfs/dbraw/zinc/95/66/10/701956610.db2.gz LJBSJYSLRZVCAW-UHFFFAOYSA-N -1 1 313.309 1.814 20 0 DDADMM CC(C)(C)OCCCC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831124714 706639236 /nfs/dbraw/zinc/63/92/36/706639236.db2.gz CHFVEIYBNKRYMR-UHFFFAOYSA-N -1 1 324.343 1.719 20 0 DDADMM O=C(NCCC1=CCCC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868435757 702126591 /nfs/dbraw/zinc/12/65/91/702126591.db2.gz SXPKTPIYXXBYSW-UHFFFAOYSA-N -1 1 318.377 1.555 20 0 DDADMM O=C(/C=C/c1ccsc1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831159013 706646362 /nfs/dbraw/zinc/64/63/62/706646362.db2.gz UBURPRIATOPSBP-OWOJBTEDSA-N -1 1 318.320 1.898 20 0 DDADMM C[C@H](CC(=O)OCCC[N-]C(=O)C(F)(F)F)c1cnn(C)c1 ZINC000840626610 702175097 /nfs/dbraw/zinc/17/50/97/702175097.db2.gz VHXNCOCXTCMZJC-SECBINFHSA-N -1 1 321.299 1.526 20 0 DDADMM COC[C@H](C)[C@H](C)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000812630727 702212210 /nfs/dbraw/zinc/21/22/10/702212210.db2.gz KILBRUTZYYHDCE-RYUDHWBXSA-N -1 1 307.346 1.405 20 0 DDADMM Cc1ccc(CN2C[C@H](C(=O)[N-]OC3CCC3)CC2=O)cc1 ZINC000812792938 702240126 /nfs/dbraw/zinc/24/01/26/702240126.db2.gz JMNPAIFXCQXFEQ-CQSZACIVSA-N -1 1 302.374 1.944 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NOCCOC(C)C ZINC000812808094 702245008 /nfs/dbraw/zinc/24/50/08/702245008.db2.gz RJPDUALTRONNHE-UHFFFAOYSA-N -1 1 322.365 1.067 20 0 DDADMM CC[C@@H]1C[C@H]1CNC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868670963 702255472 /nfs/dbraw/zinc/25/54/72/702255472.db2.gz VCWVEUFGTCOHPR-GBIKHYSHSA-N -1 1 321.343 1.885 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)[N-]OCC3CC3)CC2=O)cc1F ZINC000817339349 702345524 /nfs/dbraw/zinc/34/55/24/702345524.db2.gz FLYRGBNYVZRXEV-LBPRGKRZSA-N -1 1 306.337 1.945 20 0 DDADMM CC[C@@H](CO)C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000813637088 702388047 /nfs/dbraw/zinc/38/80/47/702388047.db2.gz MPFXJKJQOKMPKG-NAKRPEOUSA-N -1 1 324.343 1.309 20 0 DDADMM CC(C)c1nsc(NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC000866289554 706666027 /nfs/dbraw/zinc/66/60/27/706666027.db2.gz GLNGCJKBWXHVMX-UHFFFAOYSA-N -1 1 307.379 1.842 20 0 DDADMM CC[C@H]1C[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000817643034 702432792 /nfs/dbraw/zinc/43/27/92/702432792.db2.gz HDIHFXHWPMNCHC-MNOVXSKESA-N -1 1 306.391 1.705 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC/C=C\Br)co1 ZINC000813936223 702444838 /nfs/dbraw/zinc/44/48/38/702444838.db2.gz GDVGCQPAIPLNBO-IHWYPQMZSA-N -1 1 324.152 1.253 20 0 DDADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CCC[C@H]1C(C)(C)C ZINC000841457166 702457001 /nfs/dbraw/zinc/45/70/01/702457001.db2.gz OYULCVSJKJHWQM-OLZOCXBDSA-N -1 1 321.421 1.600 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C(=O)O1 ZINC000841523642 702479540 /nfs/dbraw/zinc/47/95/40/702479540.db2.gz MHAGJUDPHCISNK-MUWHJKNJSA-N -1 1 307.730 1.461 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000841533078 702482567 /nfs/dbraw/zinc/48/25/67/702482567.db2.gz CFCHMRKNQGEWPC-JTQLQIEISA-N -1 1 321.757 1.709 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCSC[C@H]3C3CC3)ccnc1-2 ZINC000879415871 706673918 /nfs/dbraw/zinc/67/39/18/706673918.db2.gz GXELUGNOKLXJFM-ZDUSSCGKSA-N -1 1 317.418 1.701 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@@H]4CSC[C@@H]4C3)ccnc1-2 ZINC000879416522 706674558 /nfs/dbraw/zinc/67/45/58/706674558.db2.gz SYHWCONOUOELEP-MNOVXSKESA-N -1 1 317.418 1.559 20 0 DDADMM CCOC(C)(C)C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000869205783 702508846 /nfs/dbraw/zinc/50/88/46/702508846.db2.gz UDPNAMZHNKDBSQ-NXEZZACHSA-N -1 1 324.343 1.860 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@H]1CCO[C@H]1C ZINC000869386565 702589488 /nfs/dbraw/zinc/58/94/88/702589488.db2.gz VUFYXRWLKSBNRM-NWDGAFQWSA-N -1 1 319.361 1.562 20 0 DDADMM CS[C@@H](C)CC(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869452021 702611745 /nfs/dbraw/zinc/61/17/45/702611745.db2.gz LHHCJKYHJKOEOQ-ZETCQYMHSA-N -1 1 315.313 1.309 20 0 DDADMM Cc1ccc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)o1 ZINC000843017834 702804179 /nfs/dbraw/zinc/80/41/79/702804179.db2.gz KLMDZSJAYUFIOQ-UHFFFAOYSA-N -1 1 300.380 1.132 20 0 DDADMM Cc1ccc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)cc1C ZINC000843018718 702804424 /nfs/dbraw/zinc/80/44/24/702804424.db2.gz AOWSMZFIGGHMMD-UHFFFAOYSA-N -1 1 324.446 1.847 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)/C=C/c2ccco2)CCC1 ZINC000843018918 702804571 /nfs/dbraw/zinc/80/45/71/702804571.db2.gz GEFZMOQMMLNWBV-VOTSOKGWSA-N -1 1 312.391 1.223 20 0 DDADMM CCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(OCC)cc2)C1 ZINC000844080822 702968944 /nfs/dbraw/zinc/96/89/44/702968944.db2.gz ZPPQHBMMISIULS-GFCCVEGCSA-N -1 1 306.362 1.896 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cnc(Cl)c(F)c1 ZINC000847506860 703429068 /nfs/dbraw/zinc/42/90/68/703429068.db2.gz MSOCCLJCAVWVMJ-UHFFFAOYSA-N -1 1 310.720 1.587 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCSC12CCCCC2 ZINC000848049941 703500084 /nfs/dbraw/zinc/50/00/84/703500084.db2.gz KGWWPLZGGLAACX-UHFFFAOYSA-N -1 1 323.418 1.163 20 0 DDADMM COC1(C(=O)N2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)CCC1 ZINC000848340844 703540674 /nfs/dbraw/zinc/54/06/74/703540674.db2.gz GLLKANWXACJDBN-NXEZZACHSA-N -1 1 322.327 1.614 20 0 DDADMM C[C@@H](CF)NC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848660629 703573124 /nfs/dbraw/zinc/57/31/24/703573124.db2.gz RCPDVEJWUCVDCE-DJLDLDEBSA-N -1 1 313.295 1.585 20 0 DDADMM O=C([O-])[C@H]1[C@@H]2CN(c3nc(C(F)(F)F)nc4[nH]cnc43)C[C@@H]21 ZINC000849349458 703637481 /nfs/dbraw/zinc/63/74/81/703637481.db2.gz ZQSBJKLIYAHXII-FMCRUOTFSA-N -1 1 313.239 1.139 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]CC1(C)OCCCO1)C1CCCC1 ZINC000849390941 703642505 /nfs/dbraw/zinc/64/25/05/703642505.db2.gz XUEKWVIDPDVCNX-ZDUSSCGKSA-N -1 1 321.439 1.264 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-]C[C@]23OCCC[C@H]2C3(F)F)on1 ZINC000849458564 703650703 /nfs/dbraw/zinc/65/07/03/703650703.db2.gz LMXZKOHFIPUCGD-MNOVXSKESA-N -1 1 322.333 1.217 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CCC2(C)C)CCC1 ZINC000851103694 703780606 /nfs/dbraw/zinc/78/06/06/703780606.db2.gz GQBXQSSCJCGJNV-NSHDSACASA-N -1 1 302.440 1.353 20 0 DDADMM C[C@@H]1C[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)[C@@H](C)O1 ZINC000869657295 703826360 /nfs/dbraw/zinc/82/63/60/703826360.db2.gz KGRDYRHXIGEWHT-WZRBSPASSA-N -1 1 305.330 1.300 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C(=O)OC(C)(C)C ZINC000866753648 706787839 /nfs/dbraw/zinc/78/78/39/706787839.db2.gz ZNZZLDMEQNLSGB-SSDOTTSWSA-N -1 1 322.333 1.368 20 0 DDADMM CCN(C[C@H](O)c1ccc(C)cc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000852726712 704107416 /nfs/dbraw/zinc/10/74/16/704107416.db2.gz XVBSOLRZPRZMSD-GJZGRUSLSA-N -1 1 320.389 1.036 20 0 DDADMM O=C(c1ccc(Cl)nn1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000819966679 704193657 /nfs/dbraw/zinc/19/36/57/704193657.db2.gz BUTJXHWLGKAWFB-SSDOTTSWSA-N -1 1 309.713 1.238 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(C)c1 ZINC000819971124 704194524 /nfs/dbraw/zinc/19/45/24/704194524.db2.gz XUHXSCLRFHYWNL-NSHDSACASA-N -1 1 302.334 1.807 20 0 DDADMM CON(C(=O)c1ncc(C)cc1[O-])[C@@H](C)C(=O)OC(C)(C)C ZINC000871244738 704252380 /nfs/dbraw/zinc/25/23/80/704252380.db2.gz GGMZLRCOOKOCFM-JTQLQIEISA-N -1 1 310.350 1.829 20 0 DDADMM Cc1ccc(C)n1-c1c(C(=O)Nc2c(C)[n-][nH]c2=O)cnn1C ZINC000820402388 704273008 /nfs/dbraw/zinc/27/30/08/704273008.db2.gz KEUJZZOYLMHFAH-UHFFFAOYSA-N -1 1 314.349 1.817 20 0 DDADMM Cc1cc2n[nH]cc2cc1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000820802591 704333310 /nfs/dbraw/zinc/33/33/10/704333310.db2.gz KQZBYKFWGIJZCK-UHFFFAOYSA-N -1 1 302.381 1.256 20 0 DDADMM COc1ccc(CNC(=O)CCCc2nn[n-]n2)cc1Cl ZINC000821018774 704360211 /nfs/dbraw/zinc/36/02/11/704360211.db2.gz GTXUDGURCLCSHM-UHFFFAOYSA-N -1 1 309.757 1.501 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-]c1cccc(-c2cc[nH]n2)c1 ZINC000821145158 704376452 /nfs/dbraw/zinc/37/64/52/704376452.db2.gz SDDVWEXQJQUQOL-UHFFFAOYSA-N -1 1 314.392 1.461 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CC[C@@H]2SCCS[C@H]12 ZINC000821413810 704406059 /nfs/dbraw/zinc/40/60/59/704406059.db2.gz QJXIKFJCGCSDIF-VDDIYKPWSA-N -1 1 313.452 1.018 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1[C@@H]2CN(C(=O)OC(C)(C)C)C[C@@H]21 ZINC000854634979 704430643 /nfs/dbraw/zinc/43/06/43/704430643.db2.gz KVTMVCPUXJMPDJ-MYJAWHEDSA-N -1 1 322.365 1.475 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CC[C@@H](Cn2ccnn2)C1 ZINC000855235539 704474475 /nfs/dbraw/zinc/47/44/75/704474475.db2.gz BRIABKCCTHNAKM-SNVBAGLBSA-N -1 1 306.753 1.799 20 0 DDADMM O=C(NCC(O)(C1CC1)C1CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000855912226 704506253 /nfs/dbraw/zinc/50/62/53/704506253.db2.gz NCTSXSQKKKISHD-UHFFFAOYSA-N -1 1 318.402 1.299 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@H]1CC1(Cl)Cl ZINC000856753088 704537196 /nfs/dbraw/zinc/53/71/96/704537196.db2.gz VJHZCWGIKBASKI-LURJTMIESA-N -1 1 314.194 1.427 20 0 DDADMM Cc1ccoc1C(=O)NCCCNc1cc(Cl)[n-]c(=O)n1 ZINC000858418467 704708757 /nfs/dbraw/zinc/70/87/57/704708757.db2.gz SFWMQUNODKFLIJ-UHFFFAOYSA-N -1 1 310.741 1.969 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)Cc2ccc(F)cc2Cl)C(=O)O1 ZINC000867269911 706943058 /nfs/dbraw/zinc/94/30/58/706943058.db2.gz MRXNDFSWYULSAH-HQJQHLMTSA-N -1 1 321.757 1.603 20 0 DDADMM O=S(=O)([N-][C@@H]1C=CCC1)c1ccc(Br)nc1F ZINC000859222054 704826838 /nfs/dbraw/zinc/82/68/38/704826838.db2.gz YNVFWTMAPNYELG-SSDOTTSWSA-N -1 1 321.171 1.980 20 0 DDADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000859407908 704892733 /nfs/dbraw/zinc/89/27/33/704892733.db2.gz AEMGPHYKJVYBJW-LJGSYFOKSA-N -1 1 310.169 1.498 20 0 DDADMM CCOC(=O)C[C@H](O)COC(=O)c1c([O-])cc(F)cc1F ZINC000859526426 704928044 /nfs/dbraw/zinc/92/80/44/704928044.db2.gz SYMKPWQWIIUUAI-QMMMGPOBSA-N -1 1 304.245 1.141 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1C[C@H]2C[C@@]2(C(=O)[N-]OCC(F)F)C1 ZINC000867408923 706991744 /nfs/dbraw/zinc/99/17/44/706991744.db2.gz LUMRAAPYGWFHQL-ATEUNZGCSA-N -1 1 320.336 1.993 20 0 DDADMM Cn1c(Cl)ncc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000822657234 704998574 /nfs/dbraw/zinc/99/85/74/704998574.db2.gz IDOWHHRXOCETPH-SSDOTTSWSA-N -1 1 311.729 1.182 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(Cc2ccnn2-c2ccccc2C)C1 ZINC000859820718 705013077 /nfs/dbraw/zinc/01/30/77/705013077.db2.gz XALAZIKODCWRHQ-KRWDZBQOSA-N -1 1 315.373 1.856 20 0 DDADMM CCC[C@@H](NC(=O)Cc1ccc2cnccc2c1)c1nn[n-]n1 ZINC000859944766 705049505 /nfs/dbraw/zinc/04/95/05/705049505.db2.gz CNXYUUWJUOQPKZ-CQSZACIVSA-N -1 1 310.361 1.948 20 0 DDADMM C[C@@H]1CN(C(=O)CCCc2nn[n-]n2)CC[C@@H](C(F)(F)F)O1 ZINC000874557599 705104203 /nfs/dbraw/zinc/10/42/03/705104203.db2.gz VGXHGAAYMPOPNZ-BDAKNGLRSA-N -1 1 321.303 1.091 20 0 DDADMM COc1ccccc1CN(OC)C(=O)CCCc1nn[n-]n1 ZINC000823035920 705119977 /nfs/dbraw/zinc/11/99/77/705119977.db2.gz XVLWAZVTFIWZEM-UHFFFAOYSA-N -1 1 305.338 1.121 20 0 DDADMM CSc1ccc(/C=C/C(=O)OCc2nc(=O)n(C)[n-]2)s1 ZINC000860290559 705149322 /nfs/dbraw/zinc/14/93/22/705149322.db2.gz UBRNZMVAYJFHOD-HWKANZROSA-N -1 1 311.388 1.648 20 0 DDADMM CC(C)(C)OC(=O)N[C@H](CO)C(=O)Nc1c([O-])cccc1F ZINC000860440665 705188178 /nfs/dbraw/zinc/18/81/78/705188178.db2.gz HCSGEWZMNNUOGR-SECBINFHSA-N -1 1 314.313 1.355 20 0 DDADMM COC(=O)[C@@H](C)Oc1ccc(C=C2SC(=O)[N-]C2=O)cc1 ZINC000874929302 705230688 /nfs/dbraw/zinc/23/06/88/705230688.db2.gz YKZGHRZIAXHYMP-XNYAHFKXSA-N -1 1 307.327 1.951 20 0 DDADMM C[Si](C)(C)c1ccc(CNC(=O)CCCc2nn[n-]n2)cc1 ZINC000823421078 705237329 /nfs/dbraw/zinc/23/73/29/705237329.db2.gz LAZSPKWKOIZGKW-UHFFFAOYSA-N -1 1 317.469 1.384 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)C1 ZINC000875543880 705424810 /nfs/dbraw/zinc/42/48/10/705424810.db2.gz QLPZYRPJBPVINW-WJZNIJOASA-N -1 1 319.327 1.637 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C(C)(C)[C@H]3CCCCO3)ccnc1-2 ZINC000875633085 705457034 /nfs/dbraw/zinc/45/70/34/705457034.db2.gz JJMPMDZSBRGSPI-CYBMUJFWSA-N -1 1 302.378 1.876 20 0 DDADMM CCO[C@@H](C(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CCOCC1 ZINC000875633748 705457586 /nfs/dbraw/zinc/45/75/86/705457586.db2.gz RWNKRMSRRHHGMQ-CQSZACIVSA-N -1 1 318.377 1.112 20 0 DDADMM CC(C)Cn1[nH]c(CC(=O)[N-]Oc2ccc(F)cc2)cc1=O ZINC000824622791 705495167 /nfs/dbraw/zinc/49/51/67/705495167.db2.gz KNWRRHJRMXQDQK-UHFFFAOYSA-N -1 1 307.325 1.624 20 0 DDADMM CC[C@]1(C)CCCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825164584 705614240 /nfs/dbraw/zinc/61/42/40/705614240.db2.gz DJDQXSKCOHDULF-OAHLLOKOSA-N -1 1 318.381 1.744 20 0 DDADMM CC[C@]1(C)CCCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825164584 705614243 /nfs/dbraw/zinc/61/42/43/705614243.db2.gz DJDQXSKCOHDULF-OAHLLOKOSA-N -1 1 318.381 1.744 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)C[C@H](C)C2)c1-c1nnn[n-]1 ZINC000826343881 705792982 /nfs/dbraw/zinc/79/29/82/705792982.db2.gz PVKKTORMXORASQ-DTORHVGOSA-N -1 1 304.354 1.210 20 0 DDADMM Cc1onc(CC(=O)N2C[C@H](C)C[C@H](C)C2)c1-c1nn[n-]n1 ZINC000826343881 705792985 /nfs/dbraw/zinc/79/29/85/705792985.db2.gz PVKKTORMXORASQ-DTORHVGOSA-N -1 1 304.354 1.210 20 0 DDADMM c1ccc(C[C@H]2CN(c3nccnc3-c3nnn[n-]3)CCO2)cc1 ZINC000826521010 705808367 /nfs/dbraw/zinc/80/83/67/705808367.db2.gz XKLVHHBLZUHDQH-ZDUSSCGKSA-N -1 1 323.360 1.105 20 0 DDADMM c1ccc(C[C@H]2CN(c3nccnc3-c3nn[n-]n3)CCO2)cc1 ZINC000826521010 705808370 /nfs/dbraw/zinc/80/83/70/705808370.db2.gz XKLVHHBLZUHDQH-ZDUSSCGKSA-N -1 1 323.360 1.105 20 0 DDADMM COCCN(CCC(=O)[O-])C(=O)[C@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000908958669 712903355 /nfs/dbraw/zinc/90/33/55/712903355.db2.gz HOQOGMIQWWQBRF-PWSUYJOCSA-N -1 1 309.366 1.025 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnnn1C)c1ccc(F)cc1 ZINC000863439146 705929023 /nfs/dbraw/zinc/92/90/23/705929023.db2.gz JWPZAGMFOGTSOJ-LLVKDONJSA-N -1 1 314.342 1.084 20 0 DDADMM Cc1c[nH]nc1CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000827849373 706076291 /nfs/dbraw/zinc/07/62/91/706076291.db2.gz FOBUZMSACJXKFQ-SCZZXKLOSA-N -1 1 304.316 1.607 20 0 DDADMM COC[C@]1(C(=O)[O-])CCN(C(=O)c2cccc3n[nH]cc32)C1 ZINC000864146412 706080271 /nfs/dbraw/zinc/08/02/71/706080271.db2.gz UPBHZUCWXULGKF-HNNXBMFYSA-N -1 1 303.318 1.126 20 0 DDADMM CC(C)c1ocnc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000864159534 706084458 /nfs/dbraw/zinc/08/44/58/706084458.db2.gz WEFBDBREHUMBII-UHFFFAOYSA-N -1 1 309.366 1.419 20 0 DDADMM Cc1ccccc1[C@H](C)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000827960413 706093900 /nfs/dbraw/zinc/09/39/00/706093900.db2.gz GHJFEUNDAYAVRE-AAEUAGOBSA-N -1 1 301.350 1.212 20 0 DDADMM C[C@@]1(CS(=O)(=O)[N-]Cc2nc(C3CC3)no2)CC1(F)F ZINC000881800133 707369612 /nfs/dbraw/zinc/36/96/12/707369612.db2.gz QXIHHCUFXOPDKX-JTQLQIEISA-N -1 1 307.322 1.412 20 0 DDADMM CC(C)(CCCO)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000828747888 706224937 /nfs/dbraw/zinc/22/49/37/706224937.db2.gz MNIQPINJKAYKFK-UHFFFAOYSA-N -1 1 308.350 1.437 20 0 DDADMM CN(C(=O)Cc1ccc([O-])c(Cl)c1)[C@H]1CCCCNC1=O ZINC000865119745 706355182 /nfs/dbraw/zinc/35/51/82/706355182.db2.gz XLFXIXBEEXKDOY-LBPRGKRZSA-N -1 1 310.781 1.715 20 0 DDADMM CC(=O)CN1c2ccccc2C[C@@H]1C[N-]C(=O)C(F)(F)F ZINC000878722537 706481321 /nfs/dbraw/zinc/48/13/21/706481321.db2.gz HFVOBIPQYYXWGP-LLVKDONJSA-N -1 1 300.280 1.685 20 0 DDADMM O=C(C[N-]S(=O)(=O)C[C@@H]1CCCC1(F)F)OCC1CC1 ZINC000830348813 706500282 /nfs/dbraw/zinc/50/02/82/706500282.db2.gz MSQHCWIDIDXGLH-JTQLQIEISA-N -1 1 311.350 1.294 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCC[C@@H]2CCOC2=O)sn1 ZINC000872563927 707482546 /nfs/dbraw/zinc/48/25/46/707482546.db2.gz JMHHXFDXZQVGDY-SECBINFHSA-N -1 1 304.393 1.073 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](O)c2ccccc2F)sn1 ZINC000866833576 706819575 /nfs/dbraw/zinc/81/95/75/706819575.db2.gz SFADYPAVMCCPEL-LLVKDONJSA-N -1 1 316.379 1.603 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)/C=C/[C@@H]2CCCO2)C1 ZINC000830796122 706581490 /nfs/dbraw/zinc/58/14/90/706581490.db2.gz WKWNIQWUNVYVTM-OXRXFNFQSA-N -1 1 320.311 1.391 20 0 DDADMM CSC(C)(C)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000866901350 706839732 /nfs/dbraw/zinc/83/97/32/706839732.db2.gz YZSFFLLEORXQDP-UHFFFAOYSA-N -1 1 317.358 1.848 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@H]1CCCC(F)(F)C1 ZINC000866983529 706864371 /nfs/dbraw/zinc/86/43/71/706864371.db2.gz LAQFRHQFMAGTOL-VIFPVBQESA-N -1 1 316.395 1.661 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC2(CCC2)C1)c1ccc(F)nc1F ZINC000866984474 706864934 /nfs/dbraw/zinc/86/49/34/706864934.db2.gz RSWBDDBQCNJCHR-VIFPVBQESA-N -1 1 318.345 1.740 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@H]1CCOC2(CCCC2)C1 ZINC000866984362 706864963 /nfs/dbraw/zinc/86/49/63/706864963.db2.gz VOGDBRHUSFKICR-JTQLQIEISA-N -1 1 310.441 1.040 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1[C@H]2CCc3ccccc3[C@@H]12 ZINC000866990851 706866390 /nfs/dbraw/zinc/86/63/90/706866390.db2.gz BUGDNZDISYDTAM-YNEHKIRRSA-N -1 1 314.432 1.277 20 0 DDADMM C[C@@H](O)CC(C)(C)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867056838 706884608 /nfs/dbraw/zinc/88/46/08/706884608.db2.gz ZCPJVFCLTHQQNI-MRVPVSSYSA-N -1 1 308.350 1.435 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C1Cc2ccccc2C1 ZINC000867107861 706898460 /nfs/dbraw/zinc/89/84/60/706898460.db2.gz URCSQGIQECVRQC-SFHVURJKSA-N -1 1 302.421 1.106 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@@H]1CCC(C)(C)CO1 ZINC000880185731 706905070 /nfs/dbraw/zinc/90/50/70/706905070.db2.gz AMLIAFDRFTZHRP-NSHDSACASA-N -1 1 301.383 1.971 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@H]1CCOc2c(F)cccc21 ZINC000867158067 706911949 /nfs/dbraw/zinc/91/19/49/706911949.db2.gz BJSWGXWCPLIACW-JTQLQIEISA-N -1 1 322.383 1.211 20 0 DDADMM CCn1cc(OS(=O)(=O)c2[n-]cnc2Br)cn1 ZINC000867179586 706917168 /nfs/dbraw/zinc/91/71/68/706917168.db2.gz OISCOTDGVGPKTH-UHFFFAOYSA-N -1 1 321.156 1.156 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)C[C@]2(C)CC2(Cl)Cl)C(=O)O1 ZINC000867270000 706942905 /nfs/dbraw/zinc/94/29/05/706942905.db2.gz NYGANYRQJJYFII-BHNWBGBOSA-N -1 1 316.206 1.194 20 0 DDADMM Cc1cc(NC(=O)CCc2nn[n-]n2)cnc1Br ZINC000867336065 706965413 /nfs/dbraw/zinc/96/54/13/706965413.db2.gz HAVYUNVCQZKTTJ-UHFFFAOYSA-N -1 1 311.143 1.237 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc2c(c1)OC(C)(C)C2)c1nn[n-]n1 ZINC000867381869 706982253 /nfs/dbraw/zinc/98/22/53/706982253.db2.gz RWBBIIBTELMLRG-SNVBAGLBSA-N -1 1 315.377 1.789 20 0 DDADMM Cc1cc(C)c(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c(=O)[nH]1 ZINC000832871993 706997042 /nfs/dbraw/zinc/99/70/42/706997042.db2.gz JQRWQVZIDUEIOK-GFCCVEGCSA-N -1 1 321.377 1.025 20 0 DDADMM CCCO[N-]C(=O)C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC000834353022 707035455 /nfs/dbraw/zinc/03/54/55/707035455.db2.gz DBKPRGWBDPHBIP-UHFFFAOYSA-N -1 1 312.276 1.897 20 0 DDADMM CSc1nc(CNC(=O)c2cccnc2N(C)C)cc(=O)[n-]1 ZINC000880652622 707048506 /nfs/dbraw/zinc/04/85/06/707048506.db2.gz KJBPQNGAYAFYQH-UHFFFAOYSA-N -1 1 319.390 1.295 20 0 DDADMM O=C([O-])[C@@H](NC(=O)c1ccc(CN2CCCCC2)o1)C1CC1 ZINC000909042810 712924540 /nfs/dbraw/zinc/92/45/40/712924540.db2.gz KSMNVFIKVHCJIX-AWEZNQCLSA-N -1 1 306.362 1.859 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C(C)(C)[C@@H]2CCCCO2)c1Cl ZINC000867684680 707074392 /nfs/dbraw/zinc/07/43/92/707074392.db2.gz TYNAXJPBGMZDBB-VIFPVBQESA-N -1 1 321.830 1.700 20 0 DDADMM COc1ccc(CCC(=O)[N-]O[C@H](C)C(=O)N(C)C(C)C)cc1 ZINC000871798097 707207968 /nfs/dbraw/zinc/20/79/68/707207968.db2.gz BJQRXFUJDZVKHS-CYBMUJFWSA-N -1 1 322.405 1.931 20 0 DDADMM CC(C)(Cn1[n-]c2c(c1=O)CCC(C)(C)C2)S(C)(=O)=O ZINC000871958043 707255678 /nfs/dbraw/zinc/25/56/78/707255678.db2.gz SSDLOIXSUDYGOA-SNVBAGLBSA-N -1 1 300.424 1.834 20 0 DDADMM C[N@@H+](CC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1)C1CCC1 ZINC000881446330 707260847 /nfs/dbraw/zinc/26/08/47/707260847.db2.gz HDASIKWTVMILHW-ZDUSSCGKSA-N -1 1 321.343 1.140 20 0 DDADMM CCCS(=O)(=O)[N-]c1nc2ccccc2n1-c1ccn(C)n1 ZINC000872008554 707278990 /nfs/dbraw/zinc/27/89/90/707278990.db2.gz YRZUQBTVSWIGFB-UHFFFAOYSA-N -1 1 319.390 1.911 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCc2ccc(C)cn2)co1 ZINC000835906518 707346071 /nfs/dbraw/zinc/34/60/71/707346071.db2.gz DLCNBXGWDXGPHJ-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM CCn1ncc([N-]S(=O)(=O)CCOCC(F)(F)F)c1C ZINC000872421937 707404911 /nfs/dbraw/zinc/40/49/11/707404911.db2.gz DWIKTAQBSULQTR-UHFFFAOYSA-N -1 1 315.317 1.532 20 0 DDADMM C/C=C/C[C@H](NC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000909168968 712952443 /nfs/dbraw/zinc/95/24/43/712952443.db2.gz HAWLOXHTYOMEBX-IBUXWKBASA-N -1 1 302.334 1.553 20 0 DDADMM C[C@](CCF)(NC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000909173160 712954033 /nfs/dbraw/zinc/95/40/33/712954033.db2.gz YALCFASGPDYVAI-CQSZACIVSA-N -1 1 308.313 1.336 20 0 DDADMM CCOC1CC2(C[C@@H]2[N-]S(=O)(=O)N=S2(=O)CCCC2)C1 ZINC000872658449 707538813 /nfs/dbraw/zinc/53/88/13/707538813.db2.gz ZOQYIPBGYHKGDO-CXQJBGSLSA-N -1 1 322.452 1.040 20 0 DDADMM CCOC1CC2(C[C@H]2[N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000872659877 707540379 /nfs/dbraw/zinc/54/03/79/707540379.db2.gz TYPVQTVSYJJOFL-MDGUTJNFSA-N -1 1 318.345 1.596 20 0 DDADMM CCC[C@H](C)N1C[C@@H](C(=O)[N-]O[C@H](CO)C(C)C)CC1=O ZINC000836896832 707543676 /nfs/dbraw/zinc/54/36/76/707543676.db2.gz BCEJXSPLJRXJBP-RWMBFGLXSA-N -1 1 300.399 1.088 20 0 DDADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-][C@]1(C)CC(C)(C)OC1=O ZINC000882223450 707546203 /nfs/dbraw/zinc/54/62/03/707546203.db2.gz MIGPFOYRXBJRFD-BXUZGUMPSA-N -1 1 321.439 1.451 20 0 DDADMM CC[C@@H]([N-]C(=O)C(F)(F)c1c(F)cccc1F)C(=O)NC ZINC000837163500 707588329 /nfs/dbraw/zinc/58/83/29/707588329.db2.gz VTMDCJNRUIMFII-SECBINFHSA-N -1 1 306.259 1.697 20 0 DDADMM O=S(=O)(CCC1Cc2ccccc2C1)[N-]c1ccc[n+]([O-])c1 ZINC000882759058 707775151 /nfs/dbraw/zinc/77/51/51/707775151.db2.gz RTBDHFVJTIRVSG-UHFFFAOYSA-N -1 1 318.398 1.867 20 0 DDADMM CN1CCCC[C@H]1C(=O)NCCc1ccc(OCC(=O)[O-])cc1 ZINC000909300198 712985705 /nfs/dbraw/zinc/98/57/05/712985705.db2.gz ZWGFDIKXAOZYFW-HNNXBMFYSA-N -1 1 320.389 1.293 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccns2)C12CCC2 ZINC000885031782 708448998 /nfs/dbraw/zinc/44/89/98/708448998.db2.gz OJGPRJTWIVMCBT-NXEZZACHSA-N -1 1 302.421 1.769 20 0 DDADMM O=S(=O)([N-]Cc1nc(C2CC2)cs1)c1ccns1 ZINC000885063375 708459650 /nfs/dbraw/zinc/45/96/50/708459650.db2.gz ICNCYHCNANREMY-UHFFFAOYSA-N -1 1 301.418 1.956 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1ccc(C)cc1C ZINC000912531537 713031437 /nfs/dbraw/zinc/03/14/37/713031437.db2.gz TYJNRZUCPMRWGY-UHFFFAOYSA-N -1 1 323.374 1.292 20 0 DDADMM O=S(=O)([N-][C@H](CCO)Cc1ccccc1)c1ccns1 ZINC000885166619 708483999 /nfs/dbraw/zinc/48/39/99/708483999.db2.gz LIWZVONIKDYXOX-GFCCVEGCSA-N -1 1 312.416 1.415 20 0 DDADMM O=C(Nc1cc(F)c([O-])cc1Cl)C1CCS(=O)(=O)CC1 ZINC000885675844 708595032 /nfs/dbraw/zinc/59/50/32/708595032.db2.gz CHORIKNZLUHWLE-UHFFFAOYSA-N -1 1 321.757 1.948 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@@H]2CCCC2(F)F)n[n-]1 ZINC000898436079 708639240 /nfs/dbraw/zinc/63/92/40/708639240.db2.gz QMVSLYRGFWTMDQ-QMMMGPOBSA-N -1 1 316.308 1.423 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H]2CCCC2(F)F)n1 ZINC000898436079 708639242 /nfs/dbraw/zinc/63/92/42/708639242.db2.gz QMVSLYRGFWTMDQ-QMMMGPOBSA-N -1 1 316.308 1.423 20 0 DDADMM CC(C)C[C@H](O)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927780411 713054103 /nfs/dbraw/zinc/05/41/03/713054103.db2.gz DFZAAXTYJLTYSI-NSHDSACASA-N -1 1 316.348 1.919 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)N[C@@H](CCO)C1CC1 ZINC000927783002 713054900 /nfs/dbraw/zinc/05/49/00/713054900.db2.gz SEXJZHDNSXASEI-AWEZNQCLSA-N -1 1 314.332 1.673 20 0 DDADMM CC1(C)CNC(=O)[C@H]1NC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000912642081 713059099 /nfs/dbraw/zinc/05/90/99/713059099.db2.gz MSVLGKSSQDXQPE-SNVBAGLBSA-N -1 1 316.279 1.665 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCCCc1c[nH][nH]c1=O ZINC000898745360 708853363 /nfs/dbraw/zinc/85/33/63/708853363.db2.gz PSGAGXWLAMGEDZ-JTQLQIEISA-N -1 1 309.753 1.216 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1SCCc2ccccc21 ZINC000898756790 708856429 /nfs/dbraw/zinc/85/64/29/708856429.db2.gz MADPQFCLGOKVDP-CQSZACIVSA-N -1 1 317.370 1.535 20 0 DDADMM C[C@H](Cc1ccccc1F)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898757288 708856961 /nfs/dbraw/zinc/85/69/61/708856961.db2.gz QNHXASRXKGCISD-SECBINFHSA-N -1 1 305.309 1.522 20 0 DDADMM C[C@@H](CCc1cccc(F)c1)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000898757927 708856972 /nfs/dbraw/zinc/85/69/72/708856972.db2.gz UTUWXOFTWQCPES-JTQLQIEISA-N -1 1 319.336 1.912 20 0 DDADMM Cc1cccc(CO[N-]C(=O)[C@@H]2C[C@@H]2NC(=O)OC(C)(C)C)n1 ZINC000898929860 708926966 /nfs/dbraw/zinc/92/69/66/708926966.db2.gz AKKMXKRVLRSFGN-OLZOCXBDSA-N -1 1 321.377 1.851 20 0 DDADMM C[C@@]1(NC(=O)CCCc2nn[n-]n2)CCOc2ccccc21 ZINC000887059795 708945729 /nfs/dbraw/zinc/94/57/29/708945729.db2.gz FRNYWJYMEXUTHP-OAHLLOKOSA-N -1 1 301.350 1.337 20 0 DDADMM CCCc1cc(C(=O)[N-]N2C(=O)[C@H](C)N(C)C2=O)sc1C ZINC000899025239 708956693 /nfs/dbraw/zinc/95/66/93/708956693.db2.gz IMXSFZGBHCDBGR-QMMMGPOBSA-N -1 1 309.391 1.936 20 0 DDADMM CC(=O)N1CC[C@@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC000899040362 708962792 /nfs/dbraw/zinc/96/27/92/708962792.db2.gz ATSVOKMFQQJGBA-GFCCVEGCSA-N -1 1 314.341 1.602 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2c([O-])cccc2F)CCS1(=O)=O ZINC000887177740 708981578 /nfs/dbraw/zinc/98/15/78/708981578.db2.gz UKOHQFSEHFPKRN-IUCAKERBSA-N -1 1 301.339 1.227 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccc([O-])cc2F)CCS1(=O)=O ZINC000887179550 708982344 /nfs/dbraw/zinc/98/23/44/708982344.db2.gz IMXHWJAUYFRUOU-BDAKNGLRSA-N -1 1 301.339 1.227 20 0 DDADMM Cc1[n-]n(-c2nccc(N3CCOCC3)n2)c(=O)c1C(C)C ZINC000899351882 709065128 /nfs/dbraw/zinc/06/51/28/709065128.db2.gz URKHGOWHMNBBGO-ZDUSSCGKSA-N -1 1 303.366 1.308 20 0 DDADMM [O-]c1cc(F)c(CCNC(=S)NC[C@@H]2CCCO2)c(F)c1 ZINC000899609692 709125479 /nfs/dbraw/zinc/12/54/79/709125479.db2.gz PSNWDWWTDWNCEQ-JTQLQIEISA-N -1 1 316.373 1.856 20 0 DDADMM CC(C)C[C@H](CC(=O)[O-])C(=O)NCc1cc(N(C)C)ccn1 ZINC000899833216 709209535 /nfs/dbraw/zinc/20/95/35/709209535.db2.gz ANBSXIBYMYFEOX-GFCCVEGCSA-N -1 1 307.394 1.901 20 0 DDADMM O=C([O-])[C@]1(NCc2cn(Cc3ccccc3)nn2)CCSC1 ZINC000900033482 709272857 /nfs/dbraw/zinc/27/28/57/709272857.db2.gz OHUMPSUCCKNYKQ-HNNXBMFYSA-N -1 1 318.402 1.376 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCO[C@@H]2COCC[C@@H]21 ZINC000888776162 709378471 /nfs/dbraw/zinc/37/84/71/709378471.db2.gz ARJLWBCFGGPAIY-NWDGAFQWSA-N -1 1 321.377 1.244 20 0 DDADMM CSCC[C@H](NC(=O)c1ccnc(Cl)c1)c1nn[n-]n1 ZINC000912859634 713109538 /nfs/dbraw/zinc/10/95/38/713109538.db2.gz ASJLJNAIPIXWSM-QMMMGPOBSA-N -1 1 312.786 1.472 20 0 DDADMM CSCC[C@H](NC(=O)C1Cc2ccccc2C1)c1nn[n-]n1 ZINC000912862242 713110551 /nfs/dbraw/zinc/11/05/51/713110551.db2.gz LZDKQLYRSKKLBU-ZDUSSCGKSA-N -1 1 317.418 1.525 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@H](CC(F)(F)F)C(=O)[O-])c2C1 ZINC000909673503 709569764 /nfs/dbraw/zinc/56/97/64/709569764.db2.gz TUZLVRQYCCSNMT-HZGVNTEJSA-N -1 1 319.283 1.670 20 0 DDADMM O=C([O-])[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000909680332 709574289 /nfs/dbraw/zinc/57/42/89/709574289.db2.gz UUPILIUMJXWKFW-RVBZMBCESA-N -1 1 300.318 1.283 20 0 DDADMM CN1CCCC[C@@H]1C(=O)NC[C@H](C(=O)[O-])c1ccc(F)cc1 ZINC000909701647 709586581 /nfs/dbraw/zinc/58/65/81/709586581.db2.gz CGEAYNUCSKDDDF-UONOGXRCSA-N -1 1 308.353 1.594 20 0 DDADMM CC1(C)CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)CC(C)(C)O1 ZINC000909725769 709597738 /nfs/dbraw/zinc/59/77/38/709597738.db2.gz RQZUEYNFXOGODM-GFCCVEGCSA-N -1 1 312.410 1.199 20 0 DDADMM CC1(C)CN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)CC(C)(C)O1 ZINC000909725771 709597804 /nfs/dbraw/zinc/59/78/04/709597804.db2.gz RQZUEYNFXOGODM-LBPRGKRZSA-N -1 1 312.410 1.199 20 0 DDADMM O=C([O-])C1(NC(=O)c2ccc3c(n2)CNCC3)CCCCC1 ZINC000900456125 709602860 /nfs/dbraw/zinc/60/28/60/709602860.db2.gz DZVSSODAKVUKJE-UHFFFAOYSA-N -1 1 303.362 1.245 20 0 DDADMM C[C@H](NC(=O)CCc1cnc[nH]1)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909823900 709641585 /nfs/dbraw/zinc/64/15/85/709641585.db2.gz QBTZQIUXPUHIMS-WFASDCNBSA-N -1 1 315.373 1.791 20 0 DDADMM CC[C@@H]1CN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)[C@H](CC)CO1 ZINC000909874737 709664028 /nfs/dbraw/zinc/66/40/28/709664028.db2.gz KWQGNSPCHPJMLR-MGPQQGTHSA-N -1 1 312.410 1.199 20 0 DDADMM CCN(C)[C@H](C(=O)NCc1nc(C(=O)[O-])co1)c1ccccc1 ZINC000909888834 709671271 /nfs/dbraw/zinc/67/12/71/709671271.db2.gz ZVVLLLHCZMQONH-AWEZNQCLSA-N -1 1 317.345 1.682 20 0 DDADMM COC(=O)c1cc(C2CN(C(=O)c3ccc([O-])cc3F)C2)[nH]n1 ZINC000889963541 709688212 /nfs/dbraw/zinc/68/82/12/709688212.db2.gz UQSYSGDQJQKBHR-UHFFFAOYSA-N -1 1 319.292 1.281 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CCO[C@H](C)CC3)cnc2n1 ZINC000900666955 709703095 /nfs/dbraw/zinc/70/30/95/709703095.db2.gz RKBQKBKFBKMGBJ-LLVKDONJSA-N -1 1 301.346 1.895 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CCO[C@H](C)CC1)c2=O ZINC000900666955 709703099 /nfs/dbraw/zinc/70/30/99/709703099.db2.gz RKBQKBKFBKMGBJ-LLVKDONJSA-N -1 1 301.346 1.895 20 0 DDADMM CC(C)(CN1CCOCC1)C(=O)N[C@@H]1CCC[C@]1(C)C(=O)[O-] ZINC000910002099 709730838 /nfs/dbraw/zinc/73/08/38/709730838.db2.gz RMRXEXRLGOBTRR-WBMJQRKESA-N -1 1 312.410 1.104 20 0 DDADMM O=C([O-])[C@H]1CCCCCN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000910045249 709749813 /nfs/dbraw/zinc/74/98/13/709749813.db2.gz MLSFGRCEUVSTSF-MRVPVSSYSA-N -1 1 305.256 1.898 20 0 DDADMM CCN(C)[C@H](C(=O)N1CC([C@H](F)C(=O)[O-])C1)c1ccccc1 ZINC000910127930 709783260 /nfs/dbraw/zinc/78/32/60/709783260.db2.gz HXLQAWAYQRGBJR-KBPBESRZSA-N -1 1 308.353 1.561 20 0 DDADMM CSC1(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CCC1 ZINC000910179625 709799728 /nfs/dbraw/zinc/79/97/28/709799728.db2.gz ZOPGBRDUJXACFS-UHFFFAOYSA-N -1 1 300.424 1.280 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@]23CCO[C@H]2CCCC3)CC1 ZINC000910170302 709796545 /nfs/dbraw/zinc/79/65/45/709796545.db2.gz JHGWEGIDLXTMBI-KEYYUXOJSA-N -1 1 324.421 1.343 20 0 DDADMM Cc1ccncc1/C=C\C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910174934 709797883 /nfs/dbraw/zinc/79/78/83/709797883.db2.gz IOBVNDCMOMTMLO-VQTKUKTRSA-N -1 1 317.389 1.411 20 0 DDADMM CCC(NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1)(C1CC1)C1CC1 ZINC000910206815 709814569 /nfs/dbraw/zinc/81/45/69/709814569.db2.gz ZBKOOTRQJXBQTH-GFCCVEGCSA-N -1 1 308.422 1.868 20 0 DDADMM CCC(NC(=O)CN1CCC[C@@H](C(=O)[O-])C1)(C1CC1)C1CC1 ZINC000910206815 709814574 /nfs/dbraw/zinc/81/45/74/709814574.db2.gz ZBKOOTRQJXBQTH-GFCCVEGCSA-N -1 1 308.422 1.868 20 0 DDADMM COCC[C@@](C)(O)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000900899842 709817981 /nfs/dbraw/zinc/81/79/81/709817981.db2.gz RGUNYCSYZIBTAH-MRXNPFEDSA-N -1 1 322.336 1.597 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC[C@@H]2CCC(F)(F)C2)C1 ZINC000910252269 709841513 /nfs/dbraw/zinc/84/15/13/709841513.db2.gz ZPBRKEQGZDAQMX-GHMZBOCLSA-N -1 1 304.337 1.335 20 0 DDADMM COC1CCC(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000900970835 709855599 /nfs/dbraw/zinc/85/55/99/709855599.db2.gz DANLYPUWNQUHIM-UHFFFAOYSA-N -1 1 305.378 1.995 20 0 DDADMM C[C@@H]1CN(C[C@H]2CCCN2c2ncc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC000910351438 709906176 /nfs/dbraw/zinc/90/61/76/709906176.db2.gz GDCDCKCFZOUSQF-MBNYWOFBSA-N -1 1 320.393 1.253 20 0 DDADMM CC1CCN(CC(=O)N[C@H](CC2CCOCC2)C(=O)[O-])CC1 ZINC000910450630 709948930 /nfs/dbraw/zinc/94/89/30/709948930.db2.gz CBNARQUNHNCIHD-CQSZACIVSA-N -1 1 312.410 1.104 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1c1nnc(C(C)(C)C)s1 ZINC000910457072 709952818 /nfs/dbraw/zinc/95/28/18/709952818.db2.gz RVXODNSSRQACDD-SNVBAGLBSA-N -1 1 312.439 1.821 20 0 DDADMM CC1(C)C[C@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C(=O)O1 ZINC000901184203 709958974 /nfs/dbraw/zinc/95/89/74/709958974.db2.gz DODPJVFZVYUCPL-JTQLQIEISA-N -1 1 318.304 1.903 20 0 DDADMM CN(C)c1cccc(CNC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC000901207243 709968677 /nfs/dbraw/zinc/96/86/77/709968677.db2.gz OJCBLIKJQZYWKF-UHFFFAOYSA-N -1 1 313.361 1.451 20 0 DDADMM O=C(NC1(CO)CCOCC1)c1ccc2ccc(O)cc2c1[O-] ZINC000901356786 710022773 /nfs/dbraw/zinc/02/27/73/710022773.db2.gz MBHCFYOIVYSKQR-UHFFFAOYSA-N -1 1 317.341 1.522 20 0 DDADMM C[C@@H]1CN(Cc2cc(C(F)(F)F)nn2C)C[C@@]1(C)C(=O)[O-] ZINC000901541993 710069757 /nfs/dbraw/zinc/06/97/57/710069757.db2.gz DNJGPIVEJWRMCY-PRHODGIISA-N -1 1 305.300 1.982 20 0 DDADMM CO[C@@H](C(=O)[O-])C(=O)NCC(C)(C)N1CCc2ccccc2C1 ZINC000901590830 710086449 /nfs/dbraw/zinc/08/64/49/710086449.db2.gz PQCNNXLKUSBJCN-CQSZACIVSA-N -1 1 320.389 1.039 20 0 DDADMM CC(CO)(CO)CSc1nc(-c2ccccc2)cc(=O)[n-]1 ZINC000901616909 710097126 /nfs/dbraw/zinc/09/71/26/710097126.db2.gz SKYVDUYHKXZJKH-UHFFFAOYSA-N -1 1 306.387 1.932 20 0 DDADMM C[C@H](Cc1ccsc1)N(C)CC(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000901625189 710100809 /nfs/dbraw/zinc/10/08/09/710100809.db2.gz CGUCUIHHTSQRLQ-TZMCWYRMSA-N -1 1 324.446 1.934 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@@H]1CC(C)(C)CO1 ZINC000901651889 710108579 /nfs/dbraw/zinc/10/85/79/710108579.db2.gz CSWCECQTEQICOX-JTQLQIEISA-N -1 1 314.407 1.503 20 0 DDADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCC[C@@H](C)[C@H]1C(=O)[O-] ZINC000901696142 710121952 /nfs/dbraw/zinc/12/19/52/710121952.db2.gz WTMHUNGZPWEFMF-MHWZDGSBSA-N -1 1 305.378 1.787 20 0 DDADMM COc1nccnc1CNCCc1ccc(OCC(=O)[O-])cc1 ZINC000901738303 710135308 /nfs/dbraw/zinc/13/53/08/710135308.db2.gz FZUBSCRAWUEYOX-UHFFFAOYSA-N -1 1 317.345 1.281 20 0 DDADMM COC(=O)CSCCC(=O)Nc1cc(F)cc(F)c1[O-] ZINC000910985025 710140810 /nfs/dbraw/zinc/14/08/10/710140810.db2.gz XXYNJOKUPOJNDX-UHFFFAOYSA-N -1 1 305.302 1.905 20 0 DDADMM O=C(C[C@@H]1CCCS(=O)(=O)C1)Nc1cc(F)cc(F)c1[O-] ZINC000910985746 710141581 /nfs/dbraw/zinc/14/15/81/710141581.db2.gz CIGHKDZZTJVSJE-QMMMGPOBSA-N -1 1 319.329 1.824 20 0 DDADMM COc1ccc([C@@H](NCc2cnn(C)c2)C(=O)[O-])cc1Cl ZINC000901852753 710160285 /nfs/dbraw/zinc/16/02/85/710160285.db2.gz POKSNAYCGWINEH-CYBMUJFWSA-N -1 1 309.753 1.998 20 0 DDADMM COc1ccc([C@@H](NCc2cnc3n2CCC3)C(=O)[O-])cc1F ZINC000901861868 710161553 /nfs/dbraw/zinc/16/15/53/710161553.db2.gz QBWMHFBZQBMIHN-OAHLLOKOSA-N -1 1 319.336 1.893 20 0 DDADMM C[C@@H]1CN(Cc2cc(-n3ccnc3)cs2)C[C@H](C(=O)[O-])O1 ZINC000901908714 710171533 /nfs/dbraw/zinc/17/15/33/710171533.db2.gz CRIKUIYJYNKWID-ZWNOBZJWSA-N -1 1 307.375 1.608 20 0 DDADMM CCOC(=O)[C@H](COC)NC(=O)c1ccc(Cl)cc1[O-] ZINC000928299047 713167912 /nfs/dbraw/zinc/16/79/12/713167912.db2.gz TURFRXXLLONLBU-JTQLQIEISA-N -1 1 301.726 1.354 20 0 DDADMM COCc1nc(N[C@@H](C)C(=O)NCc2ccccc2)cc(=O)[n-]1 ZINC000891971501 710342764 /nfs/dbraw/zinc/34/27/64/710342764.db2.gz RCGJGQYFHYNIBO-NSHDSACASA-N -1 1 316.361 1.445 20 0 DDADMM COCC[C@@H](Cc1ccco1)Nc1cc(=O)[n-]c(COC)n1 ZINC000893126810 710559071 /nfs/dbraw/zinc/55/90/71/710559071.db2.gz CEFSXPJWIXEYGS-NSHDSACASA-N -1 1 307.350 1.981 20 0 DDADMM CCc1cc(C(=O)N2CCC(C(=O)[O-])(C(F)(F)F)CC2)n[nH]1 ZINC000911015459 710595701 /nfs/dbraw/zinc/59/57/01/710595701.db2.gz VKGIRQXSWHFQMW-UHFFFAOYSA-N -1 1 319.283 1.841 20 0 DDADMM COC1(OC)CC(NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000911033617 710603657 /nfs/dbraw/zinc/60/36/57/710603657.db2.gz FVWHCLALWSEAQS-UHFFFAOYSA-N -1 1 309.366 1.495 20 0 DDADMM CN(C)[C@@H](CNC(=O)c1cc(C(=O)[O-])on1)c1cccc(F)c1 ZINC000911104978 710634943 /nfs/dbraw/zinc/63/49/43/710634943.db2.gz MBNJTMVHVAELER-LBPRGKRZSA-N -1 1 321.308 1.545 20 0 DDADMM CN(C)[C@H](CNC(=O)C12CC(C(=O)[O-])(C1)C2)c1cccc(F)c1 ZINC000911104314 710634974 /nfs/dbraw/zinc/63/49/74/710634974.db2.gz BWQGKTUVWCLUNX-NVPAJSRCSA-N -1 1 320.364 1.800 20 0 DDADMM O=C([O-])Cn1cc(CNCCc2csc3ccccc23)nn1 ZINC000902149777 710635290 /nfs/dbraw/zinc/63/52/90/710635290.db2.gz YMTUQNTVGCOUCF-UHFFFAOYSA-N -1 1 316.386 1.910 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)Nc1ccccc1N1CCN(C)CC1 ZINC000911109330 710637587 /nfs/dbraw/zinc/63/75/87/710637587.db2.gz LWEBWSBHQSYPEU-ZDUSSCGKSA-N -1 1 319.405 1.878 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N[C@@H](CCO)c2ccccc2)C1 ZINC000911164856 710664267 /nfs/dbraw/zinc/66/42/67/710664267.db2.gz CFSDNFKCXWKGNA-GJZGRUSLSA-N -1 1 320.389 1.023 20 0 DDADMM O=C([O-])C1(C(=O)N2CCCN(C[C@H]3CCCO3)CC2)CCCC1 ZINC000911164566 710664386 /nfs/dbraw/zinc/66/43/86/710664386.db2.gz RFKPKBGCDUKSTE-CQSZACIVSA-N -1 1 324.421 1.345 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H](CC(=O)[O-])C(F)(F)F)c2C1 ZINC000911166306 710664977 /nfs/dbraw/zinc/66/49/77/710664977.db2.gz DVKNAMCALJVIRO-MUWHJKNJSA-N -1 1 319.283 1.670 20 0 DDADMM COc1c(C)[nH]cc(CN(C)C(=O)c2ccc([O-])c(F)c2)c1=O ZINC000913325857 713200039 /nfs/dbraw/zinc/20/00/39/713200039.db2.gz ZIVFOFGNLHICBF-UHFFFAOYSA-N -1 1 320.320 1.809 20 0 DDADMM O=C([O-])Cn1cc(CNCC2(c3ccccc3Cl)CC2)nn1 ZINC000902293341 710700992 /nfs/dbraw/zinc/70/09/92/710700992.db2.gz IMEMMBCYTRUJDZ-UHFFFAOYSA-N -1 1 320.780 1.838 20 0 DDADMM O=C([O-])/C=C/c1ccc(CN[C@H]2CC(=O)N(CC3CC3)C2)o1 ZINC000902341997 710722587 /nfs/dbraw/zinc/72/25/87/710722587.db2.gz DZKBGNRNLMMYQZ-FYJFLYSWSA-N -1 1 304.346 1.478 20 0 DDADMM COCCN1CCC[C@@H]1CNC(=O)c1oc(C(=O)[O-])cc1C ZINC000911334527 710747124 /nfs/dbraw/zinc/74/71/24/710747124.db2.gz BGXSLPBBBGNRRA-LLVKDONJSA-N -1 1 310.350 1.127 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2oc(C(=O)[O-])cc2C)C1 ZINC000911354362 710759842 /nfs/dbraw/zinc/75/98/42/710759842.db2.gz XQNSYOXNSNTSGP-NSHDSACASA-N -1 1 310.350 1.127 20 0 DDADMM O=C([O-])COc1cccc(C(=O)N2CCc3nc[nH]c3C2)c1 ZINC000911385151 710772519 /nfs/dbraw/zinc/77/25/19/710772519.db2.gz PGSKLRSQLTVISX-UHFFFAOYSA-N -1 1 301.302 1.072 20 0 DDADMM CCN1CCN(C(=O)c2ccccc2CCC(=O)[O-])[C@H](C)C1 ZINC000911408056 710785033 /nfs/dbraw/zinc/78/50/33/710785033.db2.gz QVBGBJOANZAREI-CYBMUJFWSA-N -1 1 304.390 1.870 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCCc2ccc(O)cc2)C1 ZINC000911414655 710787611 /nfs/dbraw/zinc/78/76/11/710787611.db2.gz HEOOLDGGSOTVAV-AWEZNQCLSA-N -1 1 320.389 1.238 20 0 DDADMM C[C@H]1[C@H](Cc2ccccc2)CCN1Cc1cn(CC(=O)[O-])nn1 ZINC000902541377 710788604 /nfs/dbraw/zinc/78/86/04/710788604.db2.gz IJVJLGDPQHJHAG-ZFWWWQNUSA-N -1 1 314.389 1.816 20 0 DDADMM Cc1ccc(C(=O)NC[C@H]2CCCC[N@H+]2CCO)cc1C(=O)[O-] ZINC000911557355 710851436 /nfs/dbraw/zinc/85/14/36/710851436.db2.gz ZXRITBWIQANLIX-CQSZACIVSA-N -1 1 320.389 1.270 20 0 DDADMM O=C(NC[C@H]1CC2(CCC2)CO1)c1nc2ccccc2c(=O)[n-]1 ZINC000902757308 710891159 /nfs/dbraw/zinc/89/11/59/710891159.db2.gz QEQKWNMDCBEBOT-LLVKDONJSA-N -1 1 313.357 1.612 20 0 DDADMM COCc1nc(NC[C@H](CO)c2ccc(OC)cc2)cc(=O)[n-]1 ZINC000894166355 710995858 /nfs/dbraw/zinc/99/58/58/710995858.db2.gz JMFNMGOAJONBJN-GFCCVEGCSA-N -1 1 319.361 1.525 20 0 DDADMM COCc1nc(N(C)C[C@@H](C)C(=O)OC(C)(C)C)cc(=O)[n-]1 ZINC000894170543 710997866 /nfs/dbraw/zinc/99/78/66/710997866.db2.gz DITXMELDSBHXAU-SNVBAGLBSA-N -1 1 311.382 1.743 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCN(c2nc[nH]n2)CC1 ZINC000911969420 711095460 /nfs/dbraw/zinc/09/54/60/711095460.db2.gz IUFNZWIHLBFYSV-UHFFFAOYSA-N -1 1 324.344 1.021 20 0 DDADMM Cc1cn2c(nc(CN3C[C@@H](C(=O)[O-])CC[C@@H]3C)cc2=O)s1 ZINC000903407782 711117744 /nfs/dbraw/zinc/11/77/44/711117744.db2.gz LRWHGCHTXDGQLQ-ONGXEEELSA-N -1 1 321.402 1.750 20 0 DDADMM O=C([O-])[C@]12CCC[C@H]1CN(Cc1nncn1-c1ccccc1)C2 ZINC000903611226 711223003 /nfs/dbraw/zinc/22/30/03/711223003.db2.gz LUYOZUDXYFQUAH-GUYCJALGSA-N -1 1 312.373 1.954 20 0 DDADMM C[C@H]1[C@@H](c2ccccc2)[C@H]1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913497035 713238730 /nfs/dbraw/zinc/23/87/30/713238730.db2.gz HHAXQCBEGJHMFO-GHYVTOPFSA-N -1 1 313.361 1.149 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N1CCc2ccccc21 ZINC000903712504 711250707 /nfs/dbraw/zinc/25/07/07/711250707.db2.gz YUMWIFAUMCTSIE-CYBMUJFWSA-N -1 1 320.389 1.387 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)NC1CCCCC1 ZINC000903712401 711250731 /nfs/dbraw/zinc/25/07/31/711250731.db2.gz WHKSAATXHNSDHI-LBPRGKRZSA-N -1 1 300.399 1.247 20 0 DDADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)N(C)C1CCCCC1 ZINC000903712645 711251768 /nfs/dbraw/zinc/25/17/68/711251768.db2.gz PUUUYQCMFAIVET-ZDUSSCGKSA-N -1 1 314.426 1.589 20 0 DDADMM Cc1n[nH]c(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)c1C ZINC000895351438 711491624 /nfs/dbraw/zinc/49/16/24/711491624.db2.gz KAQDOPWMSACGMJ-KCJUWKMLSA-N -1 1 318.343 1.915 20 0 DDADMM C[C@@H]1CC=CC[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000896265335 711698663 /nfs/dbraw/zinc/69/86/63/711698663.db2.gz NCWLEJJRKBDNAV-ZYHUDNBSSA-N -1 1 318.377 1.409 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@]34CCO[C@@H]3CCCC4)ccnc1-2 ZINC000904941246 711905128 /nfs/dbraw/zinc/90/51/28/711905128.db2.gz OXRFWFCQHSXFOH-CJNGLKHVSA-N -1 1 300.362 1.630 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913742029 713291892 /nfs/dbraw/zinc/29/18/92/713291892.db2.gz CAKNVLNHMSWNHY-LBPRGKRZSA-N -1 1 315.377 1.682 20 0 DDADMM Cc1cccc(O[C@H](C)C(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913742028 713291920 /nfs/dbraw/zinc/29/19/20/713291920.db2.gz CAKNVLNHMSWNHY-GFCCVEGCSA-N -1 1 315.377 1.682 20 0 DDADMM O=C(CCOc1ccc(F)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742789 713292086 /nfs/dbraw/zinc/29/20/86/713292086.db2.gz UTFVDSHWLJAIAM-UHFFFAOYSA-N -1 1 319.340 1.514 20 0 DDADMM O=C(c1ccccc1OC(F)F)N1CCC(c2nn[n-]n2)CC1 ZINC000913742737 713292122 /nfs/dbraw/zinc/29/21/22/713292122.db2.gz RJMQBSZMVHOINB-UHFFFAOYSA-N -1 1 323.303 1.821 20 0 DDADMM CO[C@H](C(=O)N1CCC(c2nn[n-]n2)CC1)c1ccccc1 ZINC000913743418 713292556 /nfs/dbraw/zinc/29/25/56/713292556.db2.gz USZDRLQEIOUOLR-ZDUSSCGKSA-N -1 1 301.350 1.293 20 0 DDADMM O=C(c1scc2c1OCCO2)N1CCC(c2nn[n-]n2)CC1 ZINC000913745795 713293484 /nfs/dbraw/zinc/29/34/84/713293484.db2.gz OMPXHVKBSQXRPG-UHFFFAOYSA-N -1 1 321.362 1.052 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-][C@@H]1CCCCC1(OC)OC)OC ZINC000918061327 713527828 /nfs/dbraw/zinc/52/78/28/713527828.db2.gz ORDJHGFVPNRYSE-NWDGAFQWSA-N -1 1 309.428 1.263 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC[C@@H]4C[C@@H]4CC3)nc2n1 ZINC000906057899 712233118 /nfs/dbraw/zinc/23/31/18/712233118.db2.gz PSLCJLSJIQWJDM-JGPRNRPPSA-N -1 1 315.377 1.745 20 0 DDADMM O=C([O-])c1cc(N2CCN(CCc3cccs3)CC2)ncn1 ZINC000906138744 712252496 /nfs/dbraw/zinc/25/24/96/712252496.db2.gz DYBHQVIJITWFIF-UHFFFAOYSA-N -1 1 318.402 1.601 20 0 DDADMM CCNC(=O)[C@H](C)NC(=O)NCCc1c(F)cc([O-])cc1F ZINC000913921585 713320065 /nfs/dbraw/zinc/32/00/65/713320065.db2.gz SXIIFBYIPVLDHN-QMMMGPOBSA-N -1 1 315.320 1.037 20 0 DDADMM CCn1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1C1CC1 ZINC000907349586 712549747 /nfs/dbraw/zinc/54/97/47/712549747.db2.gz HBERHDXJBKTIQC-SECBINFHSA-N -1 1 303.370 1.169 20 0 DDADMM O=C(C=Cc1cccc(O)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477098 712582544 /nfs/dbraw/zinc/58/25/44/712582544.db2.gz QDCJHGOVUDAEKG-ITKZLYELSA-N -1 1 317.374 1.235 20 0 DDADMM CCc1cc(CNC(=O)[C@]2(C(=O)[O-])C[C@H]2c2ccccc2)n[nH]1 ZINC000907566995 712596118 /nfs/dbraw/zinc/59/61/18/712596118.db2.gz BJCAPXBTPMHRAO-YOEHRIQHSA-N -1 1 313.357 1.847 20 0 DDADMM CCN(C)C(=O)[C@@H](C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907672931 712612472 /nfs/dbraw/zinc/61/24/72/712612472.db2.gz LXGPFCGGIAZGGR-SECBINFHSA-N -1 1 319.336 1.676 20 0 DDADMM Cc1cc(Cl)cc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)c1 ZINC000907944853 712652983 /nfs/dbraw/zinc/65/29/83/712652983.db2.gz ZFHDJRVPQPORKA-UHFFFAOYSA-N -1 1 321.768 1.285 20 0 DDADMM O=C(NC[C@@H](CO)CC1CCCC1)c1cnc(C2CC2)[n-]c1=O ZINC000907967705 712657190 /nfs/dbraw/zinc/65/71/90/712657190.db2.gz UVBDMXYLBJOCDM-LBPRGKRZSA-N -1 1 319.405 1.978 20 0 DDADMM CN(C)Cc1ccc(C(=O)N[C@@H](CC(=O)[O-])C(C)(C)C)cn1 ZINC000908040140 712670742 /nfs/dbraw/zinc/67/07/42/712670742.db2.gz WLDSRXOFDODULB-ZDUSSCGKSA-N -1 1 307.394 1.762 20 0 DDADMM C[C@@]1(C(=O)Nc2cccc([O-])c2Br)CCNC1=O ZINC000908711091 712839443 /nfs/dbraw/zinc/83/94/43/712839443.db2.gz OKPMHVHHDVYIFM-GFCCVEGCSA-N -1 1 313.151 1.619 20 0 DDADMM Cc1ccc(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)c2n[nH]cc21 ZINC000908760187 712849857 /nfs/dbraw/zinc/84/98/57/712849857.db2.gz JIRLKSHNHZEBBB-LLVKDONJSA-N -1 1 316.361 1.606 20 0 DDADMM CCc1cccc2c1N(C(=O)CN1CCC[C@H](C(=O)[O-])C1)CC2 ZINC000908763645 712850248 /nfs/dbraw/zinc/85/02/48/712850248.db2.gz OCEJLLRGIIQKFD-HNNXBMFYSA-N -1 1 316.401 1.935 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC000908820974 712861948 /nfs/dbraw/zinc/86/19/48/712861948.db2.gz WWXLRUIDAMVEIB-ZWNOBZJWSA-N -1 1 311.357 1.543 20 0 DDADMM O=C([O-])[C@@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)c1cc(F)ccc1F ZINC000908919561 712887034 /nfs/dbraw/zinc/88/70/34/712887034.db2.gz IFMJRDWAMPGZLE-KKFJDGPESA-N -1 1 321.283 1.734 20 0 DDADMM O=S(=O)([N-][C@H](CO)c1cccnc1)c1ccc(C(F)F)o1 ZINC000917489449 713500050 /nfs/dbraw/zinc/50/00/50/713500050.db2.gz AHIDHVIJVYYGHY-SECBINFHSA-N -1 1 318.301 1.624 20 0 DDADMM CN(C(=O)CCCc1nn[n-]n1)c1ccc(Br)cc1 ZINC000928916429 713511750 /nfs/dbraw/zinc/51/17/50/713511750.db2.gz GLVXKRHEISZCJJ-UHFFFAOYSA-N -1 1 324.182 1.948 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC(C)(C)/C=C\Cl)o1 ZINC000928941217 713514133 /nfs/dbraw/zinc/51/41/33/713514133.db2.gz RIEZKNYDJGHDCH-SREVYHEPSA-N -1 1 306.771 1.449 20 0 DDADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)Cc1ccon1 ZINC000918055837 713526879 /nfs/dbraw/zinc/52/68/79/713526879.db2.gz OGXAXPCWBKNTGO-NSHDSACASA-N -1 1 304.368 1.026 20 0 DDADMM O=S(=O)([N-]C(CF)CF)C1(COCc2ccccc2)CC1 ZINC000919825790 713637280 /nfs/dbraw/zinc/63/72/80/713637280.db2.gz SHSDRPZQTULWKY-UHFFFAOYSA-N -1 1 319.373 1.963 20 0 DDADMM C[C@H](CN1CCS(=O)(=O)C[C@@H]1C)C(=O)c1ccc([O-])cc1 ZINC000929691634 713670544 /nfs/dbraw/zinc/67/05/44/713670544.db2.gz DOBXNPUQUGZFBO-NEPJUHHUSA-N -1 1 311.403 1.330 20 0 DDADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)[C@@H]1COC(C)(C)C1)CCO2 ZINC000921314537 713730554 /nfs/dbraw/zinc/73/05/54/713730554.db2.gz VVZNQRWSGZACRK-YUTCNCBUSA-N -1 1 319.423 1.159 20 0 DDADMM COC(=O)[C@H]1COCCN1C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000930013514 713736089 /nfs/dbraw/zinc/73/60/89/713736089.db2.gz GMGJNORVFFAHKY-SMDDNHRTSA-N -1 1 307.346 1.085 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3CCOC34CCCC4)ccnc1-2 ZINC000931131041 714010411 /nfs/dbraw/zinc/01/04/11/714010411.db2.gz BBVTXIPYXXJTAL-ZDUSSCGKSA-N -1 1 315.377 1.565 20 0 DDADMM O=C(N[C@H]1CCC[C@]12CCCO2)c1cnc(C2CC2)[n-]c1=O ZINC000922312158 714018207 /nfs/dbraw/zinc/01/82/07/714018207.db2.gz TUZRXYQYPJIGMX-LRDDRELGSA-N -1 1 303.362 1.891 20 0 DDADMM CO[N-]C(=O)CNCc1cccc(Cl)c1Br ZINC000922827557 714160556 /nfs/dbraw/zinc/16/05/56/714160556.db2.gz FZLAVVVSMLILMX-UHFFFAOYSA-N -1 1 307.575 1.870 20 0 DDADMM COCCN(CCO)C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932043341 714244964 /nfs/dbraw/zinc/24/49/64/714244964.db2.gz VMNBLDWDHXSKBJ-UHFFFAOYSA-N -1 1 320.349 1.051 20 0 DDADMM CC(C)(CO)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932045269 714245453 /nfs/dbraw/zinc/24/54/53/714245453.db2.gz DFRZCYHDRAZIBX-UHFFFAOYSA-N -1 1 304.350 1.718 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCC[C@H](O)CC1 ZINC000932054620 714247772 /nfs/dbraw/zinc/24/77/72/714247772.db2.gz SBWFDPDOJBWUNF-AWEZNQCLSA-N -1 1 316.361 1.957 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCO[C@H](CF)C1 ZINC000932055084 714247828 /nfs/dbraw/zinc/24/78/28/714247828.db2.gz UQKCRYHMMXAGAG-CYBMUJFWSA-N -1 1 320.324 1.780 20 0 DDADMM O=C(c1cc(F)cc2ccoc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000932282172 714300039 /nfs/dbraw/zinc/30/00/39/714300039.db2.gz HDBJIDLZXABUPD-NSHDSACASA-N -1 1 317.280 1.299 20 0 DDADMM COC(=O)[C@@H](C[C@H]1CCCO1)NC(=O)c1c([O-])cccc1F ZINC000924870138 714683492 /nfs/dbraw/zinc/68/34/92/714683492.db2.gz RBZAXSWHOZPNFQ-MWLCHTKSSA-N -1 1 311.309 1.372 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2nnc(C)s2)[n-]c1=O ZINC000934270649 714782076 /nfs/dbraw/zinc/78/20/76/714782076.db2.gz TYJUPZUQHONHPK-VIFPVBQESA-N -1 1 307.379 1.688 20 0 DDADMM COc1cnc([C@@H]2CCCN2CCO[C@H]2CCCCO2)[n-]c1=O ZINC000934274514 714783549 /nfs/dbraw/zinc/78/35/49/714783549.db2.gz UPOKMLOHNKBNAB-JSGCOSHPSA-N -1 1 323.393 1.871 20 0 DDADMM O=C1N[C@H](CC2CC2)C(=O)N1CCc1c(F)cc([O-])cc1F ZINC000925459391 714863441 /nfs/dbraw/zinc/86/34/41/714863441.db2.gz BGDXMYAOKZQGQC-CYBMUJFWSA-N -1 1 310.300 1.933 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@H]1C[C@@]12C(=O)Nc1ccccc12 ZINC000934901104 714927251 /nfs/dbraw/zinc/92/72/51/714927251.db2.gz KLZONUXSXIIXFX-PELKAZGASA-N -1 1 300.236 1.507 20 0 DDADMM COCc1nsc([N-]C(=O)c2cc(C(F)F)ncn2)n1 ZINC000935353059 715035027 /nfs/dbraw/zinc/03/50/27/715035027.db2.gz ZWIRYQPFRWRKJG-UHFFFAOYSA-N -1 1 301.278 1.664 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(Cl)n1 ZINC000935365644 715037900 /nfs/dbraw/zinc/03/79/00/715037900.db2.gz JULXPSAMBYZSJL-ZETCQYMHSA-N -1 1 310.745 1.132 20 0 DDADMM O=C(c1cnn(C2CCC2)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000935455150 715059796 /nfs/dbraw/zinc/05/97/96/715059796.db2.gz DHRGNDUWHHAWFX-NSHDSACASA-N -1 1 319.394 1.052 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2CC2(C)C)C1 ZINC000937172687 715288594 /nfs/dbraw/zinc/28/85/94/715288594.db2.gz UEFAHWZKRQVSGB-RYUDHWBXSA-N -1 1 317.389 1.506 20 0 DDADMM CC1(NC(=O)c2ncccc2[O-])CCN(C(=O)C(F)F)CC1 ZINC000956344210 715412382 /nfs/dbraw/zinc/41/23/82/715412382.db2.gz ZQCKDGWHCGYGJD-UHFFFAOYSA-N -1 1 313.304 1.163 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)[C@H]2CC23CCC3)C1)c1ncccc1[O-] ZINC000937865263 715619536 /nfs/dbraw/zinc/61/95/36/715619536.db2.gz GEIYHMNLRIDVRN-NWDGAFQWSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1CC1 ZINC000955486152 715814501 /nfs/dbraw/zinc/81/45/01/715814501.db2.gz MGVKPGZGZNEBMY-WCQYABFASA-N -1 1 317.389 1.697 20 0 DDADMM O=C([C@H]1[C@@H]2CCC[C@@H]21)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959943386 716365494 /nfs/dbraw/zinc/36/54/94/716365494.db2.gz OZTMZKDDORWMFA-CIQGVGRVSA-N -1 1 319.409 1.027 20 0 DDADMM O=C(C1CC2(CC2)C1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960129014 716425605 /nfs/dbraw/zinc/42/56/05/716425605.db2.gz RGYPEIVVQSDBHB-LBPRGKRZSA-N -1 1 319.409 1.171 20 0 DDADMM Cc1cocc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940130196 716641202 /nfs/dbraw/zinc/64/12/02/716641202.db2.gz JEZDZRPXKDAPOV-LLVKDONJSA-N -1 1 315.329 1.333 20 0 DDADMM O=C(/C=C\C1CC1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132391 716642440 /nfs/dbraw/zinc/64/24/40/716642440.db2.gz WQKYBWUCVGPMJO-FOQNGQEVSA-N -1 1 301.346 1.084 20 0 DDADMM C[C@H]1C[C@@H]1CC(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832357 716981688 /nfs/dbraw/zinc/98/16/88/716981688.db2.gz DEQBAUWQCDTWNW-QWHCGFSZSA-N -1 1 317.389 1.508 20 0 DDADMM C[C@H]1CCCN(C(=O)c2ncccc2[O-])[C@@H]1CNC(=O)C1CC1 ZINC000945752800 718553569 /nfs/dbraw/zinc/55/35/69/718553569.db2.gz BAGPOEVBWQGEIJ-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000967010705 718742469 /nfs/dbraw/zinc/74/24/69/718742469.db2.gz MMBUPORJYWDAKZ-CYBMUJFWSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)CC[N@H+]1CCF ZINC000947925781 719308975 /nfs/dbraw/zinc/30/89/75/719308975.db2.gz RPYDNDGTUQDOLX-NXEZZACHSA-N -1 1 322.340 1.481 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)CCN1CCF ZINC000947925781 719308978 /nfs/dbraw/zinc/30/89/78/719308978.db2.gz RPYDNDGTUQDOLX-NXEZZACHSA-N -1 1 322.340 1.481 20 0 DDADMM CC1CC(C(=O)N2C[C@@H](C)[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000948282633 719432031 /nfs/dbraw/zinc/43/20/31/719432031.db2.gz RWQZSRDJLIYMAM-POOIEITISA-N -1 1 317.389 1.410 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ccoc1)c1ncccc1[O-] ZINC000949531263 720143354 /nfs/dbraw/zinc/14/33/54/720143354.db2.gz OHIOFTFKUIFTHW-LLVKDONJSA-N -1 1 301.302 1.025 20 0 DDADMM CC1CC(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949534762 720145418 /nfs/dbraw/zinc/14/54/18/720145418.db2.gz FUNACARZFJDCQH-MCIGGMRASA-N -1 1 303.362 1.164 20 0 DDADMM CC(C)C(C)(C)C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949538542 720146825 /nfs/dbraw/zinc/14/68/25/720146825.db2.gz LTPMDPFAWFJULM-GFCCVEGCSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1nc(CN2CC([C@H](C)NC(=O)c3ncccc3[O-])C2)co1 ZINC000969543151 720181791 /nfs/dbraw/zinc/18/17/91/720181791.db2.gz KTFVJGLIGQFODA-JTQLQIEISA-N -1 1 316.361 1.334 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(CCOC2CCC2)C1 ZINC000949952501 720428248 /nfs/dbraw/zinc/42/82/48/720428248.db2.gz OORLWYKLFQFDAC-UHFFFAOYSA-N -1 1 319.405 1.503 20 0 DDADMM CC(C)(F)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950539308 720675321 /nfs/dbraw/zinc/67/53/21/720675321.db2.gz MSCWTKJAJKHYJM-SNVBAGLBSA-N -1 1 309.341 1.256 20 0 DDADMM CN(Cc1cscn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971388179 721271774 /nfs/dbraw/zinc/27/17/74/721271774.db2.gz JYKJEJQCFHYUKW-LBPRGKRZSA-N -1 1 318.402 1.590 20 0 DDADMM CC(=O)N1CC[C@H]([C@@H]2CCCCN2C(=O)c2ncccc2[O-])C1 ZINC000952349239 721416931 /nfs/dbraw/zinc/41/69/31/721416931.db2.gz BZBBZKFVIOXWGP-KBPBESRZSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)C(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000953271440 721583713 /nfs/dbraw/zinc/58/37/13/721583713.db2.gz ADBJVKDVVAKOPA-QWHCGFSZSA-N -1 1 317.389 1.506 20 0 DDADMM CCC(=O)N(C)C1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000953438691 721608223 /nfs/dbraw/zinc/60/82/23/721608223.db2.gz WEMHKESFMJUODT-UHFFFAOYSA-N -1 1 320.393 1.307 20 0 DDADMM C[C@H](C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC000954128031 721725020 /nfs/dbraw/zinc/72/50/20/721725020.db2.gz PKFIOCSQWIXGTD-NSHDSACASA-N -1 1 317.389 1.506 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)C1CCCC1 ZINC000692944000 732308257 /nfs/dbraw/zinc/30/82/57/732308257.db2.gz DTWIYNBGWALSLT-SNVBAGLBSA-N -1 1 321.830 1.557 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001020477950 732414439 /nfs/dbraw/zinc/41/44/39/732414439.db2.gz RTWNKSPDMOTIHW-AXFHLTTASA-N -1 1 309.341 1.255 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2CCCN2CCC(F)(F)F)c1[O-] ZINC001027832197 738709021 /nfs/dbraw/zinc/70/90/21/738709021.db2.gz AZRIHIBPUMCTSV-SECBINFHSA-N -1 1 320.315 1.570 20 0 DDADMM C[C@@H]1c2ccccc2CCN1c1nnc(Cc2nnn[n-]2)n1C ZINC001121316658 782455030 /nfs/dbraw/zinc/45/50/30/782455030.db2.gz BZVQRNLAUYNUJG-SNVBAGLBSA-N -1 1 310.365 1.043 20 0 DDADMM C[C@@H]1c2ccccc2CCN1c1nnc(Cc2nn[n-]n2)n1C ZINC001121316658 782455037 /nfs/dbraw/zinc/45/50/37/782455037.db2.gz BZVQRNLAUYNUJG-SNVBAGLBSA-N -1 1 310.365 1.043 20 0 DDADMM COc1cccc(CN(C)c2nnc(-c3c[n-][nH]c3=O)n2C)c1 ZINC001121362791 782473423 /nfs/dbraw/zinc/47/34/23/782473423.db2.gz RCUFHASOWPGSNO-UHFFFAOYSA-N -1 1 314.349 1.556 20 0 DDADMM CO[C@@H]1CCN(c2nnc(-c3cc(Cl)ncc3[O-])n2C)C1 ZINC001121429282 782506245 /nfs/dbraw/zinc/50/62/45/782506245.db2.gz HYYUAWPSSYHZGY-MRVPVSSYSA-N -1 1 309.757 1.461 20 0 DDADMM O=C(c1ccsc1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024861084 736135006 /nfs/dbraw/zinc/13/50/06/736135006.db2.gz MGOOUDBOOBUYAC-LLVKDONJSA-N -1 1 321.406 1.356 20 0 DDADMM CN(CCOc1ccccc1)c1nnc(-c2c[n-][nH]c2=O)n1C ZINC001121456462 782514878 /nfs/dbraw/zinc/51/48/78/782514878.db2.gz OCPINGUZRAGFKF-UHFFFAOYSA-N -1 1 314.349 1.426 20 0 DDADMM Cc1cc[n+]([O-])c(NC2([P@](=O)([O-])O)Cc3ccccc3C2)c1 ZINC001167932894 739715019 /nfs/dbraw/zinc/71/50/19/739715019.db2.gz AIIYHCOBDCIISW-UHFFFAOYSA-N -1 1 320.285 1.713 20 0 DDADMM O=[P@]([O-])(O)C1(Nc2cccc[n+]2[O-])Cc2ccccc2C1 ZINC001167936690 739736630 /nfs/dbraw/zinc/73/66/30/739736630.db2.gz MMJRDQKWXRNIAT-UHFFFAOYSA-N -1 1 306.258 1.405 20 0 DDADMM CS[C@H](C)C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001075711475 740074968 /nfs/dbraw/zinc/07/49/68/740074968.db2.gz KKLJUQBJJSZUIP-VHSXEESVSA-N -1 1 311.407 1.163 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]1CO)c1cc(F)c(F)cc1F ZINC001211852779 740319006 /nfs/dbraw/zinc/31/90/06/740319006.db2.gz HUKXJQVJZBPDND-RDDDGLTNSA-N -1 1 309.309 1.543 20 0 DDADMM CC(C)CC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001012204364 740346754 /nfs/dbraw/zinc/34/67/54/740346754.db2.gz RGRZJJFSCVMHPG-VXGBXAGGSA-N -1 1 305.378 1.553 20 0 DDADMM CC(C)C1(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001029832599 741371103 /nfs/dbraw/zinc/37/11/03/741371103.db2.gz RDYLREJMMGVTDE-LBPRGKRZSA-N -1 1 321.425 1.227 20 0 DDADMM Cc1cc(NCCC[C@H](C)NC(=O)c2ncccc2[O-])ncn1 ZINC001114906917 751673731 /nfs/dbraw/zinc/67/37/31/751673731.db2.gz MBHQHJISDJTBCS-NSHDSACASA-N -1 1 315.377 1.896 20 0 DDADMM C[C@@H](CCNC(=O)C1CC(C)(C)C1)NC(=O)c1ncccc1[O-] ZINC001076354344 742681219 /nfs/dbraw/zinc/68/12/19/742681219.db2.gz SATWTLFUUSLFCO-NSHDSACASA-N -1 1 319.405 1.848 20 0 DDADMM C[C@@H](CCNC(=O)[C@H]1CC1(F)F)NC(=O)c1ncccc1[O-] ZINC001076391785 742705689 /nfs/dbraw/zinc/70/56/89/742705689.db2.gz VSIMHZZKQHJYFK-DTWKUNHWSA-N -1 1 313.304 1.067 20 0 DDADMM CC[C@H](C)C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002188203 742935321 /nfs/dbraw/zinc/93/53/21/742935321.db2.gz FSHVRXPDLCJEOQ-LOWDOPEQSA-N -1 1 317.389 1.695 20 0 DDADMM C[C@@H](CCNC(=O)CCC(F)F)NC(=O)c1ncccc1[O-] ZINC001077424337 743483820 /nfs/dbraw/zinc/48/38/20/743483820.db2.gz PHVGRFREVRFWCF-VIFPVBQESA-N -1 1 315.320 1.457 20 0 DDADMM CC(=CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1C ZINC001182509701 743650857 /nfs/dbraw/zinc/65/08/57/743650857.db2.gz KEIWDBHYOGDHCG-NTMALXAHSA-N -1 1 320.374 1.559 20 0 DDADMM CC(=CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1C ZINC001182509701 743650859 /nfs/dbraw/zinc/65/08/59/743650859.db2.gz KEIWDBHYOGDHCG-NTMALXAHSA-N -1 1 320.374 1.559 20 0 DDADMM CC1(C)OC(=O)C[C@H]1C(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001182748355 743716633 /nfs/dbraw/zinc/71/66/33/743716633.db2.gz MPZDTUYJMNMVRP-NSHDSACASA-N -1 1 315.329 1.858 20 0 DDADMM CC[C@H]1CCN(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)C1=O ZINC001183666732 743877784 /nfs/dbraw/zinc/87/77/84/743877784.db2.gz MLFXMDVGSMQOGC-LURJTMIESA-N -1 1 305.260 1.021 20 0 DDADMM CSc1nc(NC(=O)CCc2ccc(N)nc2)cc(=O)[n-]1 ZINC001183929551 743938949 /nfs/dbraw/zinc/93/89/49/743938949.db2.gz OUOIPXRMBIYSGW-UHFFFAOYSA-N -1 1 305.363 1.453 20 0 DDADMM COc1ccc(S(=O)(=O)Nc2ncncc2C)cc1C(=O)[O-] ZINC001185277807 744196435 /nfs/dbraw/zinc/19/64/35/744196435.db2.gz JTSZPSHWUFJTBN-UHFFFAOYSA-N -1 1 323.330 1.293 20 0 DDADMM O=C(NCC1CC1)NC1([P@@](=O)([O-])O)Cc2ccccc2C1 ZINC001186629368 744423191 /nfs/dbraw/zinc/42/31/91/744423191.db2.gz HEPMCZNIZFNYPX-UHFFFAOYSA-N -1 1 310.290 1.368 20 0 DDADMM O=C1Cc2cc(S(=O)(=O)[N-]c3ccnc(F)c3)ccc2N1 ZINC001186896275 744462771 /nfs/dbraw/zinc/46/27/71/744462771.db2.gz NCKAATBBKGTBEN-UHFFFAOYSA-N -1 1 307.306 1.516 20 0 DDADMM COc1ccc([C@@H](CO)[N-]S(=O)(=O)c2cnoc2C)cc1 ZINC001187400547 744556372 /nfs/dbraw/zinc/55/63/72/744556372.db2.gz GPSUAPZSSJPUEI-GFCCVEGCSA-N -1 1 312.347 1.004 20 0 DDADMM N=C(N)N(C(=O)c1n[n-]nc1C(F)(F)F)c1ccccc1F ZINC001187771120 744599096 /nfs/dbraw/zinc/59/90/96/744599096.db2.gz WBAXCOJYXRZBBI-UHFFFAOYSA-N -1 1 316.218 1.503 20 0 DDADMM C[C@H](CNC(=O)OC(C)(C)C)[N-]S(=O)(=O)c1nccs1 ZINC001187908819 744628118 /nfs/dbraw/zinc/62/81/18/744628118.db2.gz NBSHAKPUHGWCMJ-MRVPVSSYSA-N -1 1 321.424 1.335 20 0 DDADMM COc1nccc(N)c1NC(=O)c1ncc(C(F)(F)F)[n-]1 ZINC001188280726 744686335 /nfs/dbraw/zinc/68/63/35/744686335.db2.gz KAVQKLQCAVUVJE-UHFFFAOYSA-N -1 1 301.228 1.667 20 0 DDADMM COc1nccc(N)c1NC(=O)c1nc(C(F)(F)F)c[n-]1 ZINC001188280726 744686337 /nfs/dbraw/zinc/68/63/37/744686337.db2.gz KAVQKLQCAVUVJE-UHFFFAOYSA-N -1 1 301.228 1.667 20 0 DDADMM O=C(Nc1ccccc1-c1nn[nH]n1)c1ncc(C(F)(F)F)[n-]1 ZINC001188287362 744686925 /nfs/dbraw/zinc/68/69/25/744686925.db2.gz HANKIAXNZBBDEY-UHFFFAOYSA-N -1 1 323.238 1.861 20 0 DDADMM O=S(=O)([N-]c1ccccc1)c1ccc(N2CCOCC2)nc1 ZINC001188484587 744707700 /nfs/dbraw/zinc/70/77/00/744707700.db2.gz ASHOCWJUNQPOQL-UHFFFAOYSA-N -1 1 319.386 1.719 20 0 DDADMM Cn1nc(C2CC2)cc1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001189401697 744889372 /nfs/dbraw/zinc/88/93/72/744889372.db2.gz DCFFSCMRCJPPPC-UHFFFAOYSA-N -1 1 304.266 1.149 20 0 DDADMM Cc1ncc([N-]S(=O)(=O)Cc2cc(F)ccc2F)c(=O)[nH]1 ZINC001189653429 744948495 /nfs/dbraw/zinc/94/84/95/744948495.db2.gz QYXJNTQHTSMTDA-UHFFFAOYSA-N -1 1 315.301 1.711 20 0 DDADMM CC1(C)C[C@H](NC(=O)CC2CC2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089296134 744954247 /nfs/dbraw/zinc/95/42/47/744954247.db2.gz ONBQXDBZOOGBCX-GFCCVEGCSA-N -1 1 321.425 1.417 20 0 DDADMM COC(=O)[C@H](C)N(C)C(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190261235 745165831 /nfs/dbraw/zinc/16/58/31/745165831.db2.gz BYIOWUWEYQEWRT-JTQLQIEISA-N -1 1 315.329 1.483 20 0 DDADMM Cn1ccc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2F)n1 ZINC001190404155 745201187 /nfs/dbraw/zinc/20/11/87/745201187.db2.gz QBWRXSUJIKTJQF-UHFFFAOYSA-N -1 1 305.281 1.316 20 0 DDADMM O=C(NC[C@H]1CCOC1)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190628849 745278654 /nfs/dbraw/zinc/27/86/54/745278654.db2.gz BURZQABOAMZRNV-SNVBAGLBSA-N -1 1 300.318 1.011 20 0 DDADMM COc1cc(C(=O)Nc2ncnc3n[nH]nc32)cc(Cl)c1[O-] ZINC001191128845 745432027 /nfs/dbraw/zinc/43/20/27/745432027.db2.gz FPWWBEWNESSTER-UHFFFAOYSA-N -1 1 320.696 1.368 20 0 DDADMM CSc1ncc(C(=O)Nc2cnc3n[nH]cc3c2)c(=O)[n-]1 ZINC001191429497 745509156 /nfs/dbraw/zinc/50/91/56/745509156.db2.gz NNMAAMRKAHRPFU-UHFFFAOYSA-N -1 1 302.319 1.428 20 0 DDADMM CSc1ncc(C(=O)NC(=N)c2cccnc2Cl)c(=O)[n-]1 ZINC001191440292 745512545 /nfs/dbraw/zinc/51/25/45/745512545.db2.gz KHWZTWFAYYCDJO-UHFFFAOYSA-N -1 1 323.765 1.708 20 0 DDADMM COc1cncc(CNC(=O)c2cnc(SC)[n-]c2=O)c1 ZINC001191439602 745512757 /nfs/dbraw/zinc/51/27/57/745512757.db2.gz BNBWOQOCUDOFOT-UHFFFAOYSA-N -1 1 306.347 1.238 20 0 DDADMM Cc1c(C(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)ccn1C ZINC001191834118 745625727 /nfs/dbraw/zinc/62/57/27/745625727.db2.gz KPUFHPLNPCAZGD-UHFFFAOYSA-N -1 1 304.310 1.545 20 0 DDADMM O=S(=O)([N-]CCN1CCCCC1)C(Cl)(Cl)Cl ZINC001191996304 745661250 /nfs/dbraw/zinc/66/12/50/745661250.db2.gz HPTJRJCSQZAXBX-UHFFFAOYSA-N -1 1 309.646 1.719 20 0 DDADMM C[C@H](CN1CCCC1)[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192044376 745681403 /nfs/dbraw/zinc/68/14/03/745681403.db2.gz QUPPPQAVMLIHML-SSDOTTSWSA-N -1 1 309.646 1.718 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cnc(-c2ccccc2)[nH]1 ZINC001192221153 745729836 /nfs/dbraw/zinc/72/98/36/745729836.db2.gz HDJATQWASNXTGQ-UHFFFAOYSA-N -1 1 321.300 1.701 20 0 DDADMM COC(=O)[C@]1(C)COCCN1C(=O)c1c(F)ccc([O-])c1F ZINC001192541432 745827101 /nfs/dbraw/zinc/82/71/01/745827101.db2.gz VKKNJNSXRSGDCC-AWEZNQCLSA-N -1 1 315.272 1.075 20 0 DDADMM Cn1ccnc1S(=O)(=O)[N-]c1ccccc1CN1CCCC1 ZINC001193596886 746139020 /nfs/dbraw/zinc/13/90/20/746139020.db2.gz BTWSMYCVBNTRQJ-UHFFFAOYSA-N -1 1 320.418 1.817 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccnc(C(F)F)c1 ZINC001193646789 746166186 /nfs/dbraw/zinc/16/61/86/746166186.db2.gz ZJSRCGZWFSFNKE-UHFFFAOYSA-N -1 1 311.204 1.871 20 0 DDADMM CCOC(=O)c1c[nH]c(NC(=O)c2ccc(F)c([O-])c2F)n1 ZINC001193769664 746201080 /nfs/dbraw/zinc/20/10/80/746201080.db2.gz IMODCCVLILWMDF-UHFFFAOYSA-N -1 1 311.244 1.823 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cc(-c3ccccn3)no2)[n-]n1 ZINC001194284446 746341245 /nfs/dbraw/zinc/34/12/45/746341245.db2.gz XSFFUBRDBGNVLY-UHFFFAOYSA-N -1 1 313.273 1.499 20 0 DDADMM CSc1nc(NS(=O)(=O)C2CCC(=O)CC2)cc(=O)[n-]1 ZINC001194760317 746459916 /nfs/dbraw/zinc/45/99/16/746459916.db2.gz XSWJLCKLPCHSNS-UHFFFAOYSA-N -1 1 317.392 1.158 20 0 DDADMM Cc1ccc([O-])c(C(=O)N2CCC3(C[C@H]3C(=O)N(C)C)CC2)n1 ZINC001195322180 746564921 /nfs/dbraw/zinc/56/49/21/746564921.db2.gz ACULLOTWVZYOFI-LBPRGKRZSA-N -1 1 317.389 1.426 20 0 DDADMM COC(=O)c1scnc1NC(=O)c1cc([O-])cnc1Cl ZINC001195297523 746570115 /nfs/dbraw/zinc/57/01/15/746570115.db2.gz CJKRGBKZNNXSIQ-UHFFFAOYSA-N -1 1 313.722 1.936 20 0 DDADMM CCOC(=O)c1ncc(NC(=O)c2cc([O-])cnc2Cl)cn1 ZINC001195300007 746570910 /nfs/dbraw/zinc/57/09/10/746570910.db2.gz XPPHOSJPEBTWRC-UHFFFAOYSA-N -1 1 322.708 1.660 20 0 DDADMM CCOC(=O)[C@H]1[C@@H]2CN(C(=O)c3cc([O-])cnc3Cl)C[C@@H]21 ZINC001195305545 746572648 /nfs/dbraw/zinc/57/26/48/746572648.db2.gz LKRYVTZPIMFDEJ-URLYPYJESA-N -1 1 310.737 1.322 20 0 DDADMM O=S(=O)([N-]c1ccc(C2=NCCO2)cc1)c1ccc(O)cc1 ZINC001195795896 746707791 /nfs/dbraw/zinc/70/77/91/746707791.db2.gz HVEQIEUGMRRYGN-UHFFFAOYSA-N -1 1 318.354 1.970 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1cc(C)nn1CC1CCC1 ZINC001195942463 746732469 /nfs/dbraw/zinc/73/24/69/746732469.db2.gz NLPRZOULTRBGQK-UHFFFAOYSA-N -1 1 315.395 1.296 20 0 DDADMM CCOC(=O)c1cc[nH]c1NC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196008958 746754504 /nfs/dbraw/zinc/75/45/04/746754504.db2.gz OEXWOXMKHPQARB-UHFFFAOYSA-N -1 1 308.319 1.228 20 0 DDADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CC[C@@H](c2cccnc2)C1 ZINC001196012796 746756866 /nfs/dbraw/zinc/75/68/66/746756866.db2.gz BFMNTTXKPJLWKC-SNVBAGLBSA-N -1 1 302.359 1.496 20 0 DDADMM CCOc1cccc(CNC(=O)c2c[nH]c(=S)[n-]c2=O)n1 ZINC001196027376 746765931 /nfs/dbraw/zinc/76/59/31/746765931.db2.gz WHNASMNXZLUYLD-UHFFFAOYSA-N -1 1 306.347 1.195 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cc(=O)[nH]c(Cl)c1 ZINC001196170899 746792305 /nfs/dbraw/zinc/79/23/05/746792305.db2.gz CCDAMVBAAGXAFN-UHFFFAOYSA-N -1 1 307.619 1.830 20 0 DDADMM C[N@@H+]1CCC[C@@](C)(NS(=O)(=O)c2ccc(Cl)cn2)C1 ZINC001196273014 746822678 /nfs/dbraw/zinc/82/26/78/746822678.db2.gz OUQKAGADYQNTDM-GFCCVEGCSA-N -1 1 303.815 1.498 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c(F)c1 ZINC001196398078 746855843 /nfs/dbraw/zinc/85/58/43/746855843.db2.gz FALKORFZYFBJAO-UHFFFAOYSA-N -1 1 323.309 1.307 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cc([C@H](C)O)ccn1 ZINC001196928694 747000442 /nfs/dbraw/zinc/00/04/42/747000442.db2.gz HYRUEKSGQDXQTK-JTQLQIEISA-N -1 1 316.379 1.220 20 0 DDADMM O=c1[nH]cc([N-]S(=O)(=O)Cc2c(F)cccc2F)c(=O)[nH]1 ZINC001197567212 747202999 /nfs/dbraw/zinc/20/29/99/747202999.db2.gz SURJEFNFAJTRNH-UHFFFAOYSA-N -1 1 317.273 1.108 20 0 DDADMM COCCn1nc(C)cc1C(=O)Nc1cc(=O)[n-]c(SC)n1 ZINC001198084558 747362372 /nfs/dbraw/zinc/36/23/72/747362372.db2.gz HMERTELACZOQJV-UHFFFAOYSA-N -1 1 323.378 1.308 20 0 DDADMM COc1c(C)ccc(F)c1C(=O)NCCCC[P@](=O)([O-])O ZINC001198274047 747445146 /nfs/dbraw/zinc/44/51/46/747445146.db2.gz XQOSGCWBXXBFOY-UHFFFAOYSA-N -1 1 319.269 1.830 20 0 DDADMM Cc1ccc2c(c1)[C@@H]([N-]S(=O)(=O)c1ccc(C)nc1)C(=O)N2 ZINC001198325645 747451849 /nfs/dbraw/zinc/45/18/49/747451849.db2.gz UYQFTUMEMNHPCX-CQSZACIVSA-N -1 1 317.370 1.670 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-]c2c(Cl)cccc2CO)n1C ZINC001198398217 747495416 /nfs/dbraw/zinc/49/54/16/747495416.db2.gz GGUMYJDJIGEEKN-UHFFFAOYSA-N -1 1 315.782 1.675 20 0 DDADMM C=CS(=O)(=O)[N-]c1cn2c(cccc2Br)n1 ZINC001198579293 747536803 /nfs/dbraw/zinc/53/68/03/747536803.db2.gz OHMPNTHMDYTAGE-UHFFFAOYSA-N -1 1 302.153 1.982 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cnccc1OC(F)F ZINC001199381870 747823756 /nfs/dbraw/zinc/82/37/56/747823756.db2.gz HXHODEQQMYIWJO-UHFFFAOYSA-N -1 1 322.231 1.307 20 0 DDADMM CN(C)C(=O)c1cccc(NC(=S)NC[C@@H]2CCCO2)c1[O-] ZINC001200033619 748093571 /nfs/dbraw/zinc/09/35/71/748093571.db2.gz UZCMZIAJVGQPJF-JTQLQIEISA-N -1 1 323.418 1.559 20 0 DDADMM O=C(C1CC1)N1CCC([C@@H]2CCC[N@H+]2Cc2n[nH]c(=O)[n-]2)CC1 ZINC001004319205 748305722 /nfs/dbraw/zinc/30/57/22/748305722.db2.gz OXSAIYUBKIEIBF-ZDUSSCGKSA-N -1 1 319.409 1.123 20 0 DDADMM O=S(=O)([N-]C1COC1)c1cc(Cl)c(Cl)cc1F ZINC001201244501 748442303 /nfs/dbraw/zinc/44/23/03/748442303.db2.gz QLCFXFYCFAGRCZ-UHFFFAOYSA-N -1 1 300.138 1.810 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]CC1(F)COC1 ZINC001201766623 748599134 /nfs/dbraw/zinc/59/91/34/748599134.db2.gz GHOAWSZBGWBCFP-UHFFFAOYSA-N -1 1 300.764 1.122 20 0 DDADMM O=C(NCC[N-]C(=O)C(F)(F)F)N[C@@H]1C[C@H]1c1ccccc1 ZINC001201939140 748633431 /nfs/dbraw/zinc/63/34/31/748633431.db2.gz QUZWURACRATMMV-WDEREUQCSA-N -1 1 315.295 1.520 20 0 DDADMM COc1ccccc1NC(=O)NCCCC[P@](=O)([O-])O ZINC001202129708 748691779 /nfs/dbraw/zinc/69/17/79/748691779.db2.gz XPPDMEPYRKALBG-UHFFFAOYSA-N -1 1 302.267 1.775 20 0 DDADMM CSCC(=O)N1CC[C@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001004746637 748712906 /nfs/dbraw/zinc/71/29/06/748712906.db2.gz BPJWDWDXGMTNCK-QWRGUYRKSA-N -1 1 323.418 1.117 20 0 DDADMM CC1(C)CN(C(=O)C[C@@H]2C=CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995432919 748719476 /nfs/dbraw/zinc/71/94/76/748719476.db2.gz UCXGIQKEPXEMFM-VXGBXAGGSA-N -1 1 319.409 1.193 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)C=C1CCC1 ZINC001004827080 748828899 /nfs/dbraw/zinc/82/88/99/748828899.db2.gz NOFSFHTVMCYLOV-YPMHNXCESA-N -1 1 319.409 1.480 20 0 DDADMM CCn1cc(-n2[n-]c(CC(=O)c3ccccc3)nc2=N)ccc1=O ZINC001212911756 750945272 /nfs/dbraw/zinc/94/52/72/750945272.db2.gz OQNAYXFBEDOJJL-UHFFFAOYSA-N -1 1 323.356 1.287 20 0 DDADMM CCc1occc1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034922726 751060561 /nfs/dbraw/zinc/06/05/61/751060561.db2.gz CVQOXIMWTPYFHY-SNVBAGLBSA-N -1 1 319.365 1.060 20 0 DDADMM O=C(C[C@H]1CC=CCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035013849 751122784 /nfs/dbraw/zinc/12/27/84/751122784.db2.gz IDQPEJAFXBYJIO-QWHCGFSZSA-N -1 1 319.409 1.337 20 0 DDADMM CCOC(=O)c1nonc1[N-]c1ccc2cnn(C(C)=O)c2c1 ZINC001212412455 752620467 /nfs/dbraw/zinc/62/04/67/752620467.db2.gz UZCKROOYCXIXCT-UHFFFAOYSA-N -1 1 315.289 2.000 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC001008439787 752729123 /nfs/dbraw/zinc/72/91/23/752729123.db2.gz IDKBNMRYNHWSFE-GVXVVHGQSA-N -1 1 317.389 1.410 20 0 DDADMM CC(C)(C)C(=O)NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000999383021 752878286 /nfs/dbraw/zinc/87/82/86/752878286.db2.gz HFENRYNBZFTOJU-UHFFFAOYSA-N -1 1 318.377 1.046 20 0 DDADMM CCc1ccc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)o1 ZINC000999525031 752998319 /nfs/dbraw/zinc/99/83/19/752998319.db2.gz PRUZGTLMPHKFGU-JTQLQIEISA-N -1 1 319.365 1.060 20 0 DDADMM CS[C@H](C)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005950391 753409827 /nfs/dbraw/zinc/40/98/27/753409827.db2.gz JVDZETASTMUIRO-NXEZZACHSA-N -1 1 323.418 1.115 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2(CF)CC2)C1 ZINC001005953617 753414723 /nfs/dbraw/zinc/41/47/23/753414723.db2.gz UFEDFNGIZUCWER-JTQLQIEISA-N -1 1 321.352 1.114 20 0 DDADMM Cc1csc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001010728655 754126632 /nfs/dbraw/zinc/12/66/32/754126632.db2.gz XITIESKGQUGERV-LLVKDONJSA-N -1 1 318.402 1.556 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ccco2)CCN1C(=O)c1ncccc1[O-] ZINC001011670586 754668338 /nfs/dbraw/zinc/66/83/38/754668338.db2.gz AEEYYKJJJBSMQE-WDEREUQCSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)C2(C)CCC2)CN1C(=O)c1ncccc1[O-] ZINC001012503825 755111888 /nfs/dbraw/zinc/11/18/88/755111888.db2.gz GXXBDNLDKDGWST-VXGBXAGGSA-N -1 1 317.389 1.697 20 0 DDADMM CCN(C(=O)CCSC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079270024 755198263 /nfs/dbraw/zinc/19/82/63/755198263.db2.gz XKJZFTAWNULOTC-UHFFFAOYSA-N -1 1 323.418 1.213 20 0 DDADMM O=C(N[C@]12CCC[C@@H]1N(C(=O)c1ncccc1[O-])CC2)C1CC1 ZINC001014123648 755612579 /nfs/dbraw/zinc/61/25/79/755612579.db2.gz GVETWEIGJYGPHG-GUYCJALGSA-N -1 1 315.373 1.451 20 0 DDADMM CCN(C(=O)C[C@@H]1C[C@H]1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080453631 755919666 /nfs/dbraw/zinc/91/96/66/755919666.db2.gz QUXAIUSPYUUHQR-NEPJUHHUSA-N -1 1 317.389 1.506 20 0 DDADMM CC(C)c1nsc(N[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001097764094 757509375 /nfs/dbraw/zinc/50/93/75/757509375.db2.gz APHNKYDEYRFRND-VIFPVBQESA-N -1 1 321.406 1.993 20 0 DDADMM CC[C@@H](CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(C)c1[O-])OC ZINC001017480961 757964620 /nfs/dbraw/zinc/96/46/20/757964620.db2.gz WBJXRZFKQUSSBZ-XQQFMLRXSA-N -1 1 322.409 1.138 20 0 DDADMM Cc1[nH]nc(C(=O)N2CC[C@H]3CCN(C/C=C\Cl)[C@H]3C2)c1[O-] ZINC001084922134 758066936 /nfs/dbraw/zinc/06/69/36/758066936.db2.gz NEAUJLJOMBYVGC-COGOEBJDSA-N -1 1 324.812 1.713 20 0 DDADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(c2cc(F)ncn2)C1 ZINC001065244726 758413721 /nfs/dbraw/zinc/41/37/21/758413721.db2.gz ATNKAKGEZIULLM-OAHLLOKOSA-N -1 1 317.324 1.115 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)C2(C)CC2)CN1C(=O)c1ncccc1[O-] ZINC001018194162 758620009 /nfs/dbraw/zinc/62/00/09/758620009.db2.gz HXRSQQRXDQAUNH-NEPJUHHUSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H](F)CCN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784715 758810139 /nfs/dbraw/zinc/81/01/39/758810139.db2.gz VDJBEIXBXLCCLO-RYUDHWBXSA-N -1 1 323.368 1.108 20 0 DDADMM Cc1ccn2ncc(C(=O)N3CCC(c4nn[n-]n4)CC3)c2c1 ZINC000825240802 759231380 /nfs/dbraw/zinc/23/13/80/759231380.db2.gz HCOATOZRGLFAIP-UHFFFAOYSA-N -1 1 311.349 1.176 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085325089 759268348 /nfs/dbraw/zinc/26/83/48/759268348.db2.gz WZPIYTKUEOXYHU-FDYHWXHSSA-N -1 1 321.425 1.464 20 0 DDADMM CC[C@H](C)C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018806743 759289513 /nfs/dbraw/zinc/28/95/13/759289513.db2.gz YUQGDFGHKCBEGK-LBPRGKRZSA-N -1 1 317.389 1.508 20 0 DDADMM O=C(C[C@H](O)c1cccc(F)c1)N1CCC(c2nn[n-]n2)CC1 ZINC000826609281 759293620 /nfs/dbraw/zinc/29/36/20/759293620.db2.gz CWVGCPQXRBRLAJ-ZDUSSCGKSA-N -1 1 319.340 1.169 20 0 DDADMM CN(C[C@H]1CCN1Cc1cccnc1)C(=O)c1ncccc1[O-] ZINC001085562085 759728791 /nfs/dbraw/zinc/72/87/91/759728791.db2.gz CHWLUEWDANPOQH-CQSZACIVSA-N -1 1 312.373 1.529 20 0 DDADMM Cc1nc(Cl)cc(N(C)CCNC(=O)c2ncccc2[O-])n1 ZINC001100071178 761483613 /nfs/dbraw/zinc/48/36/13/761483613.db2.gz XZDTUESPZKVOGF-UHFFFAOYSA-N -1 1 321.768 1.405 20 0 DDADMM Cc1nccc(NCCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001109436752 763705733 /nfs/dbraw/zinc/70/57/33/763705733.db2.gz GFKRAJOCSSVINB-UHFFFAOYSA-N -1 1 301.350 1.460 20 0 DDADMM Cc1nc(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)oc1C ZINC001042642386 764306318 /nfs/dbraw/zinc/30/63/18/764306318.db2.gz FOXIVPUFVXFZDQ-UHFFFAOYSA-N -1 1 316.361 1.348 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(CCOC2CCC2)C1 ZINC001042646666 764310436 /nfs/dbraw/zinc/31/04/36/764310436.db2.gz WTDWHYJRDTWBKQ-UHFFFAOYSA-N -1 1 305.378 1.113 20 0 DDADMM CCCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001052019842 765391160 /nfs/dbraw/zinc/39/11/60/765391160.db2.gz AICSFZDLNZQVOL-STQMWFEESA-N -1 1 319.405 1.802 20 0 DDADMM CCCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052421072 765807789 /nfs/dbraw/zinc/80/77/89/765807789.db2.gz IKBWSAPJCRTIRE-NEPJUHHUSA-N -1 1 305.378 1.412 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2cccc3c2CS(=O)(=O)C3)[n-]1 ZINC001170226949 766201356 /nfs/dbraw/zinc/20/13/56/766201356.db2.gz DFOUMPJCEPOKHD-UHFFFAOYSA-N -1 1 317.330 1.231 20 0 DDADMM CCOC(=O)Cc1cccc(Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001170229726 766203662 /nfs/dbraw/zinc/20/36/62/766203662.db2.gz YXQFPTZGFZWNMG-UHFFFAOYSA-N -1 1 314.305 1.303 20 0 DDADMM CC(C)C(=O)Nc1ccc(OC(=O)CCc2nn[n-]n2)cc1 ZINC001137467136 766303501 /nfs/dbraw/zinc/30/35/01/766303501.db2.gz IWSKIUQTIXFDPJ-UHFFFAOYSA-N -1 1 303.322 1.332 20 0 DDADMM O=S(=O)([N-]C1CCOCC1)c1cnc(Cl)cc1Cl ZINC001116461145 780511081 /nfs/dbraw/zinc/51/10/81/780511081.db2.gz IMZAQWBIGCENRW-UHFFFAOYSA-N -1 1 311.190 1.846 20 0 DDADMM CCOC(=O)C1(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)CC1 ZINC001129640145 766955048 /nfs/dbraw/zinc/95/50/48/766955048.db2.gz ZDOXHYGDXYEGNI-UHFFFAOYSA-N -1 1 305.334 1.343 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@]2(C)CCN(CCC(F)(F)F)C2)c1[O-] ZINC001046240287 767264995 /nfs/dbraw/zinc/26/49/95/767264995.db2.gz RSERWUDUNACCCA-GFCCVEGCSA-N -1 1 320.315 1.570 20 0 DDADMM CN(c1ncccn1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001068667066 767504629 /nfs/dbraw/zinc/50/46/29/767504629.db2.gz JJSABSMXJZVPGK-UHFFFAOYSA-N -1 1 313.361 1.318 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@]2(CCN(CCF)C2)O1 ZINC001071162618 769078319 /nfs/dbraw/zinc/07/83/19/769078319.db2.gz WFPBDRMZGRWGKH-BLLLJJGKSA-N -1 1 323.368 1.062 20 0 DDADMM NC(=O)c1ccc(CNC(=O)c2ncc3ccccc3c2[O-])cc1 ZINC001135275837 771415032 /nfs/dbraw/zinc/41/50/32/771415032.db2.gz LGPJIGNCVQCFEU-UHFFFAOYSA-N -1 1 321.336 1.969 20 0 DDADMM O=C(N[C@@H]1CCCc2[nH]c(=O)ccc21)C(=O)c1ccc([O-])cc1 ZINC001136003035 771831232 /nfs/dbraw/zinc/83/12/32/771831232.db2.gz OHYIPQKBWNJNCJ-CQSZACIVSA-N -1 1 312.325 1.869 20 0 DDADMM [O-]c1cc(F)c(CN2Cc3ccnn3CC[C@@H]2CO)c(F)c1 ZINC001144561594 772565203 /nfs/dbraw/zinc/56/52/03/772565203.db2.gz JXYKWZISDOQIAO-LLVKDONJSA-N -1 1 309.316 1.634 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCCN(C(C)(C)C)C1=O)c1nn[n-]n1 ZINC001149123380 773031869 /nfs/dbraw/zinc/03/18/69/773031869.db2.gz YUUKYTIMANCEGP-GHMZBOCLSA-N -1 1 322.413 1.194 20 0 DDADMM Cc1ncc(CO)c(C(=O)NC2(CC(C)C)CCOCC2)c1[O-] ZINC001147848935 773269862 /nfs/dbraw/zinc/26/98/62/773269862.db2.gz UXGQTMUFCCXWMZ-UHFFFAOYSA-N -1 1 322.405 1.913 20 0 DDADMM O=C(c1ccc2oc(=O)nc-2[n-]1)N1CCC(c2nc[nH]n2)CC1 ZINC001148294364 773434669 /nfs/dbraw/zinc/43/46/69/773434669.db2.gz FXPDBRBBEWPGBQ-UHFFFAOYSA-N -1 1 314.305 1.066 20 0 DDADMM CS(=O)(=O)CCNC(=O)c1cccc(-c2ccccc2)c1[O-] ZINC001148861002 773619493 /nfs/dbraw/zinc/61/94/93/773619493.db2.gz GGSBRMGZJDCNBZ-UHFFFAOYSA-N -1 1 319.382 1.834 20 0 DDADMM C[C@]1(O)CCN(C(=O)c2cc([O-])c(Br)c(O)c2)C1 ZINC001148984028 773664651 /nfs/dbraw/zinc/66/46/51/773664651.db2.gz JHDIDWFFPOTDAC-LBPRGKRZSA-N -1 1 316.151 1.457 20 0 DDADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)C1CCCCC1 ZINC001092434306 774117325 /nfs/dbraw/zinc/11/73/25/774117325.db2.gz OMHJTCGPNLXNLU-DGCLKSJQSA-N -1 1 321.425 1.275 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])Nc1ncncc1Cl ZINC001099105062 774834727 /nfs/dbraw/zinc/83/47/27/774834727.db2.gz PRWAMYCUNXYKBQ-VIFPVBQESA-N -1 1 321.768 1.851 20 0 DDADMM O=C(NCCNc1nc2c(cccc2F)o1)c1ncccc1[O-] ZINC001093563153 774890696 /nfs/dbraw/zinc/89/06/96/774890696.db2.gz WXVHJQHMXRJYKV-UHFFFAOYSA-N -1 1 316.292 1.909 20 0 DDADMM CC(C)c1nsc(NCCCNC(=O)c2ncccc2[O-])n1 ZINC001094375760 775820220 /nfs/dbraw/zinc/82/02/20/775820220.db2.gz OUHRBHZJBHYOME-UHFFFAOYSA-N -1 1 321.406 1.994 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])c1nc(C)ns1 ZINC001101125896 776927228 /nfs/dbraw/zinc/92/72/28/776927228.db2.gz SXJBNCRBNIKDOM-UHFFFAOYSA-N -1 1 321.406 1.594 20 0 DDADMM Cn1ncc2cccc([N-]S(=O)(=O)c3ccc(N)c(N)c3)c21 ZINC001175443628 777793904 /nfs/dbraw/zinc/79/39/04/777793904.db2.gz SMNIETXSBQLWNY-UHFFFAOYSA-N -1 1 317.374 1.539 20 0 DDADMM Cn1cc2ccc([N-]S(=O)(=O)c3cccc(N)c3)cc2n1 ZINC001175615242 777859648 /nfs/dbraw/zinc/85/96/48/777859648.db2.gz RWOZMIRHBCZFKP-UHFFFAOYSA-N -1 1 302.359 1.956 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cc(F)c(C=O)cc1F ZINC001176602710 778201662 /nfs/dbraw/zinc/20/16/62/778201662.db2.gz ROKCPHQTICBXKP-UHFFFAOYSA-N -1 1 323.303 1.589 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1ncc2ccccc2c1O ZINC001176725740 778221758 /nfs/dbraw/zinc/22/17/58/778221758.db2.gz NIPHNCBOUOZNJX-UHFFFAOYSA-N -1 1 310.317 1.263 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cc2c([nH]1)CCCC2 ZINC001150632149 778265983 /nfs/dbraw/zinc/26/59/83/778265983.db2.gz PLZOCPXLERYVRB-UHFFFAOYSA-N -1 1 303.278 1.745 20 0 DDADMM Cc1cn(-c2ccc(NC(=O)Cc3nn[n-]n3)cc2F)cn1 ZINC001176845005 778299633 /nfs/dbraw/zinc/29/96/33/778299633.db2.gz MOTWNSSEJCUAJE-UHFFFAOYSA-N -1 1 301.285 1.014 20 0 DDADMM CCOC(=O)c1nc[nH]c1NC(=O)C(F)(F)c1ccccn1 ZINC001177296270 778516224 /nfs/dbraw/zinc/51/62/24/778516224.db2.gz CSJIPJKIODYJSE-UHFFFAOYSA-N -1 1 310.260 1.712 20 0 DDADMM CCOC(=O)c1[n-]cnc1NC(=O)C(F)(F)c1ccccn1 ZINC001177296270 778516230 /nfs/dbraw/zinc/51/62/30/778516230.db2.gz CSJIPJKIODYJSE-UHFFFAOYSA-N -1 1 310.260 1.712 20 0 DDADMM Cc1ccc(CC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1F ZINC001179941176 779547189 /nfs/dbraw/zinc/54/71/89/779547189.db2.gz NVUZEQQDDMNANL-UHFFFAOYSA-N -1 1 301.281 1.687 20 0 DDADMM COc1ccc(CCC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1C ZINC001180482964 779778444 /nfs/dbraw/zinc/77/84/44/779778444.db2.gz DTAHGRFIGDCIMB-UHFFFAOYSA-N -1 1 318.333 1.358 20 0 DDADMM CCc1ccc(OCC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001180514188 779796987 /nfs/dbraw/zinc/79/69/87/779796987.db2.gz VCMPHPMVZNWYJZ-UHFFFAOYSA-N -1 1 304.306 1.050 20 0 DDADMM CCc1ccc(OCC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001180517929 779798057 /nfs/dbraw/zinc/79/80/57/779798057.db2.gz URZISVXRCIWCLK-UHFFFAOYSA-N -1 1 313.317 1.638 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@@H]1CCCCN1Cc1ccncc1 ZINC001116735907 780550989 /nfs/dbraw/zinc/55/09/89/780550989.db2.gz JONDLSZNBNIUPD-CVEARBPZSA-N -1 1 319.405 1.520 20 0 DDADMM O=C(COC(=O)c1ccnc(F)c1F)c1ccc([O-])cc1O ZINC001116891829 780572865 /nfs/dbraw/zinc/57/28/65/780572865.db2.gz YEIZOFMFULOAGU-UHFFFAOYSA-N -1 1 309.224 1.811 20 0 DDADMM CCS(=O)(=O)CCCCCN1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC001118259041 781077831 /nfs/dbraw/zinc/07/78/31/781077831.db2.gz JPARQAQISJNFFI-ZDUSSCGKSA-N -1 1 317.451 1.778 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCO1)c1cnc(Cl)cc1Cl ZINC001118444115 781124091 /nfs/dbraw/zinc/12/40/91/781124091.db2.gz OQESCINQQMGFKX-ZETCQYMHSA-N -1 1 311.190 1.846 20 0 DDADMM CC(C)CC(C)(C)C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409109420 844342235 /nfs/dbraw/zinc/34/22/35/844342235.db2.gz ULWHGCLADHKAHC-UHFFFAOYSA-N -1 1 319.405 1.800 20 0 DDADMM CC(C)(C)NC(=O)[C@]12C[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC001269346693 841542938 /nfs/dbraw/zinc/54/29/38/841542938.db2.gz UQEQRYNHGZBYAB-HWPZZCPQSA-N -1 1 303.362 1.307 20 0 DDADMM CCCCN1CC[C@@]2(CCN(C(=O)c3ccc([O-])cn3)C2)C1=O ZINC001269354281 841556682 /nfs/dbraw/zinc/55/66/82/841556682.db2.gz FNPSFDOHOAQSLX-KRWDZBQOSA-N -1 1 317.389 1.652 20 0 DDADMM CCC[C@@H](C)C(=O)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001269711513 841963674 /nfs/dbraw/zinc/96/36/74/841963674.db2.gz KUAFQOHKNOHQCF-CHWSQXEVSA-N -1 1 323.441 1.665 20 0 DDADMM O=C(c1ccc([O-])cn1)N1C[C@@H]2COC[C@H](C1)N2C1CCCC1 ZINC001269895363 842137345 /nfs/dbraw/zinc/13/73/45/842137345.db2.gz MLMFVKDTXHUCEX-OKILXGFUSA-N -1 1 317.389 1.255 20 0 DDADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)[C@H]1CC=CCC1 ZINC001270713114 842839270 /nfs/dbraw/zinc/83/92/70/842839270.db2.gz CNIXUTSTPVUONN-LBPRGKRZSA-N -1 1 319.409 1.385 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1C[C@H]1c1ccco1 ZINC001363935503 842873073 /nfs/dbraw/zinc/87/30/73/842873073.db2.gz PXIWIJSDJHGCAC-HTQZYQBOSA-N -1 1 300.361 1.579 20 0 DDADMM CN1CCO[C@@H](COc2cc(Cl)c([O-])cc2Cl)C1=O ZINC001227645524 843608097 /nfs/dbraw/zinc/60/80/97/843608097.db2.gz JMLJMHNKHURSAH-NSHDSACASA-N -1 1 306.145 1.935 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(-c2cn[nH]c2)cn1)c1nn[n-]n1 ZINC001149121594 861472782 /nfs/dbraw/zinc/47/27/82/861472782.db2.gz DQGHZBXWUYCZSV-LLVKDONJSA-N -1 1 312.337 1.256 20 0 DDADMM CC[C@@H](CNC(=O)c1ccn(C)c1)NC(=O)c1ncccc1[O-] ZINC001409256078 844715556 /nfs/dbraw/zinc/71/55/56/844715556.db2.gz JJKXXMRNSZEXFH-LBPRGKRZSA-N -1 1 316.361 1.064 20 0 DDADMM O=C(NCCCc1c[nH][nH]c1=O)c1ncc2ccccc2c1[O-] ZINC001149263684 861506058 /nfs/dbraw/zinc/50/60/58/861506058.db2.gz WKYLTZSZLNMJFK-LLVKDONJSA-N -1 1 312.329 1.182 20 0 DDADMM Cc1c(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)nc2ccccn12 ZINC001149275536 861512811 /nfs/dbraw/zinc/51/28/11/861512811.db2.gz WYKKPOOFAIYVCY-UHFFFAOYSA-N -1 1 309.289 1.267 20 0 DDADMM COc1ccc2cc(C(=O)Nc3c(N)[nH]c(=O)[n-]c3=O)[nH]c2c1 ZINC001149562545 861710893 /nfs/dbraw/zinc/71/08/93/861710893.db2.gz KNSRVRFOTWJVEU-UHFFFAOYSA-N -1 1 315.289 1.212 20 0 DDADMM O=C(c1cc2cccc(O)c2cc1[O-])N1CC[C@@H](O)[C@@H](CO)C1 ZINC001149650939 861783472 /nfs/dbraw/zinc/78/34/72/861783472.db2.gz SYRGJIHFMIXCOQ-BXUZGUMPSA-N -1 1 317.341 1.066 20 0 DDADMM Cc1cnc(NC(=O)c2cc3cccc(O)c3cc2[O-])c(=O)[nH]1 ZINC001149659620 861789361 /nfs/dbraw/zinc/78/93/61/861789361.db2.gz HPCRNCKVLNMEAA-UHFFFAOYSA-N -1 1 311.297 1.895 20 0 DDADMM CC[C@H](C)C[C@H](C)NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364791219 848650928 /nfs/dbraw/zinc/65/09/28/848650928.db2.gz ULKCZQYJAROCRR-IUCAKERBSA-N -1 1 303.384 1.299 20 0 DDADMM CC[C@H](C)C[C@H](C)NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364791219 848650931 /nfs/dbraw/zinc/65/09/31/848650931.db2.gz ULKCZQYJAROCRR-IUCAKERBSA-N -1 1 303.384 1.299 20 0 DDADMM C[C@@H](C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001411026754 850124550 /nfs/dbraw/zinc/12/45/50/850124550.db2.gz VFNDFMFQZQSGNR-PIGZYNQJSA-N -1 1 317.389 1.554 20 0 DDADMM CCOC(=O)[C@H]1CC[C@@H]([N-]S(=O)(=O)c2nccs2)CC1 ZINC001187912182 851085520 /nfs/dbraw/zinc/08/55/20/851085520.db2.gz DHZDSIXMGJGIKE-AOOOYVTPSA-N -1 1 318.420 1.543 20 0 DDADMM C[C@@H](NC(=O)c1cnn[nH]1)C1CN(C(=O)CCC2CCCC2)C1 ZINC001006385865 851804496 /nfs/dbraw/zinc/80/44/96/851804496.db2.gz VRQYRSHIMAZNAU-LLVKDONJSA-N -1 1 319.409 1.352 20 0 DDADMM Cc1cc(C)n2nc(C(=O)[N-]c3noc4nccnc34)cc2n1 ZINC001150582944 862328052 /nfs/dbraw/zinc/32/80/52/862328052.db2.gz ZLNMECITVBZYCY-UHFFFAOYSA-N -1 1 309.289 1.530 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CC[C@H](O)[C@H](CO)C3)c([O-])c2n1 ZINC001155653245 862345815 /nfs/dbraw/zinc/34/58/15/862345815.db2.gz BSEJXESWNOCQII-JSGCOSHPSA-N -1 1 316.357 1.064 20 0 DDADMM CCOC(CCCNc1nc(C)cc2c1C(=O)[N-]C2=O)OCC ZINC001155725921 862408656 /nfs/dbraw/zinc/40/86/56/862408656.db2.gz WALQQFJUVQSHCZ-UHFFFAOYSA-N -1 1 321.377 1.865 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1cncs1 ZINC001411539509 853328982 /nfs/dbraw/zinc/32/89/82/853328982.db2.gz XWBWKLMOHKXLKC-VIFPVBQESA-N -1 1 320.374 1.134 20 0 DDADMM O=C(c1ccc([O-])cn1)N(C[C@@H]1CCCO1)[C@@H]1CCSC1 ZINC001411783060 853726996 /nfs/dbraw/zinc/72/69/96/853726996.db2.gz YFUYUVRLMRMVQZ-YPMHNXCESA-N -1 1 308.403 1.914 20 0 DDADMM CC(C)NC(=O)N1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(F)ccc1[O-] ZINC001275918377 853944311 /nfs/dbraw/zinc/94/43/11/853944311.db2.gz YUUWROROKSNTBY-TXEJJXNPSA-N -1 1 321.352 1.548 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC2(CCN2Cc2cn[nH]c2)C1 ZINC001275988932 854097777 /nfs/dbraw/zinc/09/77/77/854097777.db2.gz JDCUZMDWOQDNKN-UHFFFAOYSA-N -1 1 316.336 1.355 20 0 DDADMM CCCC[C@H](C)C(=O)N1C[C@@H](NC(=O)c2cnn[nH]2)C(C)(C)C1 ZINC001412083134 854203995 /nfs/dbraw/zinc/20/39/95/854203995.db2.gz WYGZBJZXQXGMEX-WCQYABFASA-N -1 1 321.425 1.598 20 0 DDADMM CCC(CC)(NC(=O)[C@@H]1COc2ccccc2O1)c1nn[n-]n1 ZINC001412105449 854222111 /nfs/dbraw/zinc/22/21/11/854222111.db2.gz PDDXSOQWDSCIRR-LBPRGKRZSA-N -1 1 317.349 1.171 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CCC(C)(C)CO3)nc2n1 ZINC001412160547 854277795 /nfs/dbraw/zinc/27/77/95/854277795.db2.gz MTFHWOHCDMAKKP-JTQLQIEISA-N -1 1 319.365 1.260 20 0 DDADMM CC(C)Oc1cc(C(N)=O)ccc1NC(=O)c1cncc([O-])c1 ZINC001412266806 854393435 /nfs/dbraw/zinc/39/34/35/854393435.db2.gz FQVKSKWGFDKSJB-UHFFFAOYSA-N -1 1 315.329 1.926 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](C)c2ccc(C)o2)n[n-]1 ZINC001412325195 854442875 /nfs/dbraw/zinc/44/28/75/854442875.db2.gz BQCILPJYHMOFFF-VHSXEESVSA-N -1 1 320.349 1.864 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](C)c2ccc(C)o2)[n-]1 ZINC001412325195 854442880 /nfs/dbraw/zinc/44/28/80/854442880.db2.gz BQCILPJYHMOFFF-VHSXEESVSA-N -1 1 320.349 1.864 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](C)c2ccc(C)o2)n1 ZINC001412325195 854442883 /nfs/dbraw/zinc/44/28/83/854442883.db2.gz BQCILPJYHMOFFF-VHSXEESVSA-N -1 1 320.349 1.864 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2Cc3ccc(O)cc3C2)o1 ZINC001412354921 854467965 /nfs/dbraw/zinc/46/79/65/854467965.db2.gz DCJWXQSLBJVJLG-UHFFFAOYSA-N -1 1 322.342 1.049 20 0 DDADMM CSc1ncc(C(=O)NC[C@@H]2C[C@H]3CCC[C@@H]3O2)c(=O)[n-]1 ZINC001412557924 854720859 /nfs/dbraw/zinc/72/08/59/854720859.db2.gz JQJJFBUOLVIAFP-YWVKMMECSA-N -1 1 309.391 1.592 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccccc1[S@](=O)C(F)(F)F ZINC001412573504 854739759 /nfs/dbraw/zinc/73/97/59/854739759.db2.gz AKYZIVSJSSSGPC-FQEVSTJZSA-N -1 1 305.241 1.079 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccccc1[S@](=O)C(F)(F)F ZINC001412573504 854739761 /nfs/dbraw/zinc/73/97/61/854739761.db2.gz AKYZIVSJSSSGPC-FQEVSTJZSA-N -1 1 305.241 1.079 20 0 DDADMM Cc1c(NC(=O)c2cnncc2[O-])cccc1N1CCOC1=O ZINC001412583359 854755967 /nfs/dbraw/zinc/75/59/67/854755967.db2.gz ARTDNBZYQZHCEP-UHFFFAOYSA-N -1 1 314.301 1.700 20 0 DDADMM O=C(c1cc([O-])cc(F)c1)N1CC2(C[C@H]2C(=O)N2CC=CC2)C1 ZINC001276187846 854932358 /nfs/dbraw/zinc/93/23/58/854932358.db2.gz NIOWMGZHOCPSCQ-AWEZNQCLSA-N -1 1 316.332 1.392 20 0 DDADMM Cc1c[nH]c(C2(NC(=O)c3ccc([O-])cc3F)CCOCC2)n1 ZINC001412696390 854973879 /nfs/dbraw/zinc/97/38/79/854973879.db2.gz APRXSNAVMCALAZ-UHFFFAOYSA-N -1 1 319.336 1.999 20 0 DDADMM Cc1ccncc1[C@@H](C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001412749430 855101094 /nfs/dbraw/zinc/10/10/94/855101094.db2.gz LITBNYHKLAUDSD-LLVKDONJSA-N -1 1 314.345 1.098 20 0 DDADMM CC1(C)C(C)(C)C1(C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001412755328 855167198 /nfs/dbraw/zinc/16/71/98/855167198.db2.gz BYCYEVWDWKCMPO-UHFFFAOYSA-N -1 1 305.378 1.458 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N2CC[S@](=O)[C@H](C)[C@H]2C)c1 ZINC001156039262 862660550 /nfs/dbraw/zinc/66/05/50/862660550.db2.gz HLPBUHGAWWQUDE-BJFPOWJFSA-N -1 1 309.387 1.495 20 0 DDADMM O=C(NCc1ncccc1Br)c1ncccc1[O-] ZINC001412816040 855298031 /nfs/dbraw/zinc/29/80/31/855298031.db2.gz ZSEXKMWZXMCUCT-UHFFFAOYSA-N -1 1 308.135 1.875 20 0 DDADMM Cc1ccc2c(c1)C(=O)O[C@@](C)(C(=O)Nc1c[n-][nH]c1=O)C2 ZINC001412852680 855728398 /nfs/dbraw/zinc/72/83/98/855728398.db2.gz HPCNMRAYGWMWQQ-OAHLLOKOSA-N -1 1 301.302 1.534 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc([O-])c2F)C[C@@]2(CCCOC2)O1 ZINC001412889523 855764129 /nfs/dbraw/zinc/76/41/29/855764129.db2.gz FLWZGEVEFCXMFO-MEDUHNTESA-N -1 1 309.337 1.941 20 0 DDADMM CCC(CC)(NC(=O)C[C@@H]1CCC(C)(C)CO1)c1nn[n-]n1 ZINC001412936436 855800920 /nfs/dbraw/zinc/80/09/20/855800920.db2.gz PLUNOQANWRVHRB-NSHDSACASA-N -1 1 309.414 1.927 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccn2ccnc2c1 ZINC001151322639 862728231 /nfs/dbraw/zinc/72/82/31/862728231.db2.gz KDPWHVXNHPUIJK-UHFFFAOYSA-N -1 1 300.234 1.186 20 0 DDADMM Cn1cc(C(=O)Nc2cccc([O-])c2Br)[nH]c1=O ZINC001412988732 855873323 /nfs/dbraw/zinc/87/33/23/855873323.db2.gz QABQOBSWBNXBRR-UHFFFAOYSA-N -1 1 312.123 1.846 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3ccnn3c2C)n1 ZINC001151421497 862767679 /nfs/dbraw/zinc/76/76/79/862767679.db2.gz ODAOPKREQDPMIK-UHFFFAOYSA-N -1 1 314.305 1.190 20 0 DDADMM Cn1c(=O)ccc2ccc(NCC[N-]C(=O)C(F)(F)F)nc21 ZINC001156204063 862813634 /nfs/dbraw/zinc/81/36/34/862813634.db2.gz ZSGNHFCMDYIEJE-UHFFFAOYSA-N -1 1 314.267 1.024 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)C1CCCC1 ZINC001413351665 856702870 /nfs/dbraw/zinc/70/28/70/856702870.db2.gz YCOHPVPUVXCTOC-MRVPVSSYSA-N -1 1 301.368 1.053 20 0 DDADMM O=C(NC1CN(c2ccncn2)C1)c1ccc(Cl)cc1[O-] ZINC001413426732 856794885 /nfs/dbraw/zinc/79/48/85/856794885.db2.gz HLROIMYOLPIWRQ-UHFFFAOYSA-N -1 1 304.737 1.454 20 0 DDADMM CC(=O)c1ccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)s1 ZINC001413505212 856902083 /nfs/dbraw/zinc/90/20/83/856902083.db2.gz RCKQGXUBCFVKFG-UHFFFAOYSA-N -1 1 307.379 1.762 20 0 DDADMM O=C(c1coc(C2CCC2)n1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001413555022 857058114 /nfs/dbraw/zinc/05/81/14/857058114.db2.gz BREFCWSGYXEXRB-JTQLQIEISA-N -1 1 316.365 1.550 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1csc(CO)n1 ZINC001413660898 857299262 /nfs/dbraw/zinc/29/92/62/857299262.db2.gz XIPDAZWBVREPOU-UHFFFAOYSA-N -1 1 314.313 1.592 20 0 DDADMM Cn1ccc2c1nccc2C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001151802451 862980879 /nfs/dbraw/zinc/98/08/79/862980879.db2.gz YDSHISHUAKFUSR-UHFFFAOYSA-N -1 1 309.289 1.198 20 0 DDADMM CCn1c(-c2nc(Cl)n[n-]2)nnc1N1CC=C(COC)CC1 ZINC001121769198 858591965 /nfs/dbraw/zinc/59/19/65/858591965.db2.gz GRYCNSZVNZTNJW-UHFFFAOYSA-N -1 1 323.788 1.519 20 0 DDADMM C[C@@H]1C[C@H](C)N(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)C1 ZINC001122081472 858679570 /nfs/dbraw/zinc/67/95/70/858679570.db2.gz FCJBUCFCYVLWOL-NEPJUHHUSA-N -1 1 324.392 1.741 20 0 DDADMM C[C@@H]1C[C@H](C)N(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)C1 ZINC001122081472 858679572 /nfs/dbraw/zinc/67/95/72/858679572.db2.gz FCJBUCFCYVLWOL-NEPJUHHUSA-N -1 1 324.392 1.741 20 0 DDADMM Cc1nc(C)c(CNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)s1 ZINC001123702333 859366188 /nfs/dbraw/zinc/36/61/88/859366188.db2.gz KJELBVDHKJBOPG-UHFFFAOYSA-N -1 1 310.404 1.783 20 0 DDADMM O=C(Cc1coc2cc(F)ccc12)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123867997 859447875 /nfs/dbraw/zinc/44/78/75/859447875.db2.gz AMCAQQOJKMUNLD-VIFPVBQESA-N -1 1 315.308 1.644 20 0 DDADMM COC(=O)CCN(C)Cc1cccc(OC)c1OCC(=O)[O-] ZINC001139270077 860344395 /nfs/dbraw/zinc/34/43/95/860344395.db2.gz UVLDQGBGJBPKPF-UHFFFAOYSA-N -1 1 311.334 1.154 20 0 DDADMM Cc1nccnc1O[C@H]1CCN(Cc2ccc(C(=O)[O-])o2)C1 ZINC001140949148 860744519 /nfs/dbraw/zinc/74/45/19/860744519.db2.gz PWIJRTIXDDOZGD-LBPRGKRZSA-N -1 1 303.318 1.730 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2cc(F)c([O-])c(F)c2)CCO1 ZINC001140991336 860753145 /nfs/dbraw/zinc/75/31/45/860753145.db2.gz MPWVWHWBONKCEZ-GFCCVEGCSA-N -1 1 301.289 1.434 20 0 DDADMM Cc1nccn1-c1ccc(NC(=O)CCCc2nn[n-]n2)cn1 ZINC001141730903 860921951 /nfs/dbraw/zinc/92/19/51/860921951.db2.gz PXDBEDHOBHYOLK-UHFFFAOYSA-N -1 1 312.337 1.050 20 0 DDADMM COC(=O)c1c[n-]c(C(=O)OC)c1NC(=O)C(=O)c1ccco1 ZINC001142279425 861103210 /nfs/dbraw/zinc/10/32/10/861103210.db2.gz YUINTLAOXWQNOF-UHFFFAOYSA-N -1 1 320.257 1.002 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cccc2cncnc21 ZINC001156620641 863196919 /nfs/dbraw/zinc/19/69/19/863196919.db2.gz IMHSOOGHZMFSPE-UHFFFAOYSA-N -1 1 309.262 1.318 20 0 DDADMM CCOCCCN(Cc1nnc2n1CCCC2)C[C@@H](C)C(=O)[O-] ZINC001328768371 863207910 /nfs/dbraw/zinc/20/79/10/863207910.db2.gz GVWKNYOOJKHPEB-CYBMUJFWSA-N -1 1 324.425 1.564 20 0 DDADMM Nc1n[nH]c2cccc(C(=O)Nc3n[n-]c(C(F)(F)F)n3)c12 ZINC001156735542 863306795 /nfs/dbraw/zinc/30/67/95/863306795.db2.gz LIAAIIDWYNLTSG-UHFFFAOYSA-N -1 1 311.227 1.534 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc(SC)n2)n1 ZINC001361441092 881659100 /nfs/dbraw/zinc/65/91/00/881659100.db2.gz VGHWUKSDOOMHBV-UHFFFAOYSA-N -1 1 306.347 1.956 20 0 DDADMM CCC(CC)(NC(=O)c1cc(F)c(F)cc1N)c1nn[n-]n1 ZINC001156926496 863453371 /nfs/dbraw/zinc/45/33/71/863453371.db2.gz QDNWDEQUHGSIHV-UHFFFAOYSA-N -1 1 310.308 1.505 20 0 DDADMM O=C(NCCOC(F)(F)F)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152679722 863487235 /nfs/dbraw/zinc/48/72/35/863487235.db2.gz FVURPAYEYQTAFU-UHFFFAOYSA-N -1 1 316.235 1.912 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)c2cccc(O)c2[n-]1)c1cncnc1 ZINC001152682394 863492795 /nfs/dbraw/zinc/49/27/95/863492795.db2.gz YXCZGZKXQXCNPE-VIFPVBQESA-N -1 1 310.313 1.927 20 0 DDADMM COC(=O)[C@@H]1CCCN(c2nc(C)cc3c2C(=O)[N-]C3=O)[C@@H]1C ZINC001157394640 863784170 /nfs/dbraw/zinc/78/41/70/863784170.db2.gz KVFZQAOWYKHDJV-NXEZZACHSA-N -1 1 317.345 1.052 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1nc2ccccc2o1 ZINC001153572712 863956587 /nfs/dbraw/zinc/95/65/87/863956587.db2.gz CBQNSQNXRIHEBO-UHFFFAOYSA-N -1 1 301.218 1.679 20 0 DDADMM NC(=O)c1cnoc1NC(=O)c1c(O)cc2ccccc2c1[O-] ZINC001154023472 864330438 /nfs/dbraw/zinc/33/04/38/864330438.db2.gz XFQFTZVCYGMQPM-UHFFFAOYSA-N -1 1 313.269 1.590 20 0 DDADMM CC(C)(C)C=CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225413288 881819996 /nfs/dbraw/zinc/81/99/96/881819996.db2.gz AXSCTEUUVQAAJA-DSWQZHNZSA-N -1 1 321.425 1.630 20 0 DDADMM Cc1nc(Cl)nc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1C ZINC001159184554 865152564 /nfs/dbraw/zinc/15/25/64/865152564.db2.gz DPWNDQURWKUSSA-UHFFFAOYSA-N -1 1 307.701 1.520 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)/C=C\C(C)(C)C ZINC001331973280 865473330 /nfs/dbraw/zinc/47/33/30/865473330.db2.gz UIJGVFRMNPYJJJ-ADRPCIBZSA-N -1 1 307.398 1.192 20 0 DDADMM NCc1nccnc1[N-]C(F)(F)c1cc(N)cc(Cl)n1 ZINC001160246135 865745825 /nfs/dbraw/zinc/74/58/25/865745825.db2.gz VGKJRTWJOXLBNU-UHFFFAOYSA-N -1 1 300.700 1.727 20 0 DDADMM C[C@H]1CCC[C@H]1NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001332808594 866159009 /nfs/dbraw/zinc/15/90/09/866159009.db2.gz RDICYYIDWIUWCI-JOYOIKCWSA-N -1 1 310.781 1.967 20 0 DDADMM C[C@@H](CCCO)[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001320023280 866432659 /nfs/dbraw/zinc/43/26/59/866432659.db2.gz VYNHVVFWNNMCOC-ZETCQYMHSA-N -1 1 313.206 1.828 20 0 DDADMM Cc1cc2c(c(NC[C@@H]3CCOC(C)(C)C3)n1)C(=O)[N-]C2=O ZINC001161429703 866658882 /nfs/dbraw/zinc/65/88/82/866658882.db2.gz XUICHEMPWWYGRP-SNVBAGLBSA-N -1 1 303.362 1.891 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)CCc1ccsc1 ZINC001320757162 867007822 /nfs/dbraw/zinc/00/78/22/867007822.db2.gz ZMCHNNMBCHMXTC-UHFFFAOYSA-N -1 1 323.422 1.143 20 0 DDADMM Cc1ncncc1NC(=O)c1[n-]cnc1C(=O)c1ccccc1 ZINC001161876421 867061768 /nfs/dbraw/zinc/06/17/68/867061768.db2.gz DZJAVCYGPLEAJJ-UHFFFAOYSA-N -1 1 307.313 1.991 20 0 DDADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H](C)c1ccsc1 ZINC001320837085 867063247 /nfs/dbraw/zinc/06/32/47/867063247.db2.gz IRJUVBDDRLFLJR-JTQLQIEISA-N -1 1 323.422 1.314 20 0 DDADMM CCOC(=O)COc1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001361647926 882080292 /nfs/dbraw/zinc/08/02/92/882080292.db2.gz QFJVLGCDBIJEQE-UHFFFAOYSA-N -1 1 317.301 1.376 20 0 DDADMM Cc1ccc2nnc(CNC(=O)c3cc(F)ccc3[O-])n2c1 ZINC001162306800 867433298 /nfs/dbraw/zinc/43/32/98/867433298.db2.gz JKLKEQHHEYIVRX-UHFFFAOYSA-N -1 1 300.293 1.812 20 0 DDADMM CCn1nc(C)c(CNCCc2nc(C)c(C(=O)[O-])s2)n1 ZINC001334829897 867851973 /nfs/dbraw/zinc/85/19/73/867851973.db2.gz YQAMJHQWSNDIOZ-UHFFFAOYSA-N -1 1 309.395 1.402 20 0 DDADMM CC(C)(C)OC(=O)N1CC(O)(CC(=O)[N-]OCC2CCC2)C1 ZINC001334835098 867855701 /nfs/dbraw/zinc/85/57/01/867855701.db2.gz FHECQKHDHWAONT-UHFFFAOYSA-N -1 1 314.382 1.206 20 0 DDADMM CSc1nc(CNC(=O)Cc2ccnc(F)c2)cc(=O)[n-]1 ZINC001163578197 868544376 /nfs/dbraw/zinc/54/43/76/868544376.db2.gz OXOWXZDIRGGOSY-UHFFFAOYSA-N -1 1 308.338 1.297 20 0 DDADMM O=C(Cc1cc[nH]c(=O)c1Br)Nc1c[n-][nH]c1=O ZINC001363708794 887034453 /nfs/dbraw/zinc/03/44/53/887034453.db2.gz PJUIKPFPWPOHIG-UHFFFAOYSA-N -1 1 313.111 1.160 20 0 DDADMM CC[C@@H](F)C(=O)NCCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001336013821 868680004 /nfs/dbraw/zinc/68/00/04/868680004.db2.gz SJVDPRJZHMOKSV-WDEREUQCSA-N -1 1 311.357 1.550 20 0 DDADMM CC(C)[C@H](C)CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163756657 868684846 /nfs/dbraw/zinc/68/48/46/868684846.db2.gz IMFQWCARWUJDLT-GFCCVEGCSA-N -1 1 323.441 1.569 20 0 DDADMM Cc1n[nH]c(C(=O)NCCC2(CNCc3ccon3)CC2)c1[O-] ZINC001164102905 868945018 /nfs/dbraw/zinc/94/50/18/868945018.db2.gz SMLIBFJGTYZPQA-UHFFFAOYSA-N -1 1 319.365 1.102 20 0 DDADMM O=C(CN1CCC(CO)CC1)Nc1ccc([O-])c(F)c1F ZINC001336518419 868989466 /nfs/dbraw/zinc/98/94/66/868989466.db2.gz FJQFGDZDAVRMHR-UHFFFAOYSA-N -1 1 300.305 1.313 20 0 DDADMM O=c1cc(O[C@H]2CO[C@H]3OCC[C@@H]23)nc(-c2ccccc2)[n-]1 ZINC001226185506 882261485 /nfs/dbraw/zinc/26/14/85/882261485.db2.gz XDVYBVJAOSZPQE-MQIPJXDCSA-N -1 1 300.314 1.989 20 0 DDADMM C[C@H](CN(C)C(=O)/C=C/C(C)(C)C)NC(=O)c1ncccc1[O-] ZINC001337081448 869313073 /nfs/dbraw/zinc/31/30/73/869313073.db2.gz SEEDDEUYLPLHEE-IDVQTMNDSA-N -1 1 319.405 1.966 20 0 DDADMM O=C([O-])c1ccc(CN2CC3(C[C@@H]3C(=O)N3CC=CC3)C2)cc1 ZINC001277110548 882299500 /nfs/dbraw/zinc/29/95/00/882299500.db2.gz WPFBJCQIKDUYNF-OAHLLOKOSA-N -1 1 312.369 1.605 20 0 DDADMM CC1(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CCCC1 ZINC001166453616 870007129 /nfs/dbraw/zinc/00/71/29/870007129.db2.gz TWZFWVAGLIPXPR-UHFFFAOYSA-N -1 1 321.425 1.467 20 0 DDADMM Cc1cn2nnc(C(=O)Nc3ccc([O-])c(F)c3F)c2c(=O)[nH]1 ZINC001297820006 870180014 /nfs/dbraw/zinc/18/00/14/870180014.db2.gz FJIMVZYXUIEQFE-UHFFFAOYSA-N -1 1 321.243 1.374 20 0 DDADMM CC1(C)CC[C@@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166748112 870220055 /nfs/dbraw/zinc/22/00/55/870220055.db2.gz WXSWSXYTYRIULT-LLVKDONJSA-N -1 1 321.425 1.323 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CCC[C@@]1(C)c1ccccc1 ZINC001338911169 870238311 /nfs/dbraw/zinc/23/83/11/870238311.db2.gz ZDRAQLHJWQLBDB-INIZCTEOSA-N -1 1 324.392 1.435 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCC[C@@]1(C)c1ccccc1 ZINC001338911169 870238332 /nfs/dbraw/zinc/23/83/32/870238332.db2.gz ZDRAQLHJWQLBDB-INIZCTEOSA-N -1 1 324.392 1.435 20 0 DDADMM CC/C=C(\C)C(=O)N1CCC([C@H](C)NC(=O)c2cnn[nH]2)CC1 ZINC001339103808 870346901 /nfs/dbraw/zinc/34/69/01/870346901.db2.gz CGAVLKCBIWSFOF-JVOXIWMLSA-N -1 1 319.409 1.518 20 0 DDADMM O=C(NC/C=C\CNC(=O)c1ncccc1[O-])c1cccs1 ZINC001298230212 870375821 /nfs/dbraw/zinc/37/58/21/870375821.db2.gz NDVTUYMDBJVURC-UPHRSURJSA-N -1 1 317.370 1.565 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])Cc1cccc(Cl)n1 ZINC001317445164 870851793 /nfs/dbraw/zinc/85/17/93/870851793.db2.gz RSNSBUZDRNXWPO-UHFFFAOYSA-N -1 1 320.780 1.697 20 0 DDADMM CN1C(=O)N=NC1CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001299993393 870950897 /nfs/dbraw/zinc/95/08/97/870950897.db2.gz HOCDHHWMRWIVIB-UHFFFAOYSA-N -1 1 314.301 1.015 20 0 DDADMM O=C(N[C@H](CO)CCc1ccccc1)C(=O)c1ccc([O-])cc1 ZINC001303766740 871154790 /nfs/dbraw/zinc/15/47/90/871154790.db2.gz LTZWYZFWSYVVCW-HNNXBMFYSA-N -1 1 313.353 1.685 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1ccccc1OC ZINC001340793943 871356629 /nfs/dbraw/zinc/35/66/29/871356629.db2.gz NTKCZQKKGQLQDK-UHFFFAOYSA-N -1 1 314.353 1.123 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1ccccc1OC ZINC001340793943 871356646 /nfs/dbraw/zinc/35/66/46/871356646.db2.gz NTKCZQKKGQLQDK-UHFFFAOYSA-N -1 1 314.353 1.123 20 0 DDADMM O=S(=O)([N-]CCn1cnc2ccccc21)c1ccns1 ZINC001309126888 871551581 /nfs/dbraw/zinc/55/15/81/871551581.db2.gz ICALKVVMRNMHHN-UHFFFAOYSA-N -1 1 308.388 1.471 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)c1ccns1 ZINC001309737783 871595581 /nfs/dbraw/zinc/59/55/81/871595581.db2.gz DPMWILBWYBBILF-LURJTMIESA-N -1 1 305.331 1.856 20 0 DDADMM C[N-]S(=O)(=O)c1cc(-c2nnc(Cc3ccccn3)o2)co1 ZINC001341644643 871752117 /nfs/dbraw/zinc/75/21/17/871752117.db2.gz OXBUXADYTZZOAL-UHFFFAOYSA-N -1 1 320.330 1.224 20 0 DDADMM COC(=O)Nc1cc(NC(=O)CCc2nn[n-]n2)ccc1F ZINC001361898566 882600020 /nfs/dbraw/zinc/60/00/20/882600020.db2.gz MQGYFPYXPLOKAA-UHFFFAOYSA-N -1 1 308.273 1.088 20 0 DDADMM O=C1Cc2cc(-c3cnn(Cc4cccnc4)c3)ccc2C(=O)[N-]1 ZINC001206454701 872341783 /nfs/dbraw/zinc/34/17/83/872341783.db2.gz DTUYGPNMRDDYKT-UHFFFAOYSA-N -1 1 318.336 1.806 20 0 DDADMM CCOC(=O)[C@H](Cc1ccccc1)NC(=O)c1ccc([O-])cn1 ZINC001361969313 882731574 /nfs/dbraw/zinc/73/15/74/882731574.db2.gz WCRNLRORXCNQSG-HNNXBMFYSA-N -1 1 314.341 1.691 20 0 DDADMM CCC(C)(C)CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208225056 873920890 /nfs/dbraw/zinc/92/08/90/873920890.db2.gz MGFCBEXZGCEQAS-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM O=C(c1cc(F)c(F)cc1Cl)N1CC[C@H](c2nn[n-]n2)C1 ZINC001347199209 874052387 /nfs/dbraw/zinc/05/23/87/874052387.db2.gz VMGFXNIUMRKAIS-LURJTMIESA-N -1 1 313.695 1.761 20 0 DDADMM O=C(c1ccc(F)c2occc21)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001347473476 874155515 /nfs/dbraw/zinc/15/55/15/874155515.db2.gz HZOCNUARVLYHNZ-MRVPVSSYSA-N -1 1 301.281 1.715 20 0 DDADMM O=C(c1nccc2ccccc21)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001347672220 874238160 /nfs/dbraw/zinc/23/81/60/874238160.db2.gz OSKWHMRUSKBBKW-GFCCVEGCSA-N -1 1 322.372 1.843 20 0 DDADMM CC(C)c1nc(CCNC(=O)C(=O)c2ccc([O-])cc2)n(C)n1 ZINC001348317894 874481502 /nfs/dbraw/zinc/48/15/02/874481502.db2.gz XDXKKRHOUGGLOU-UHFFFAOYSA-N -1 1 316.361 1.186 20 0 DDADMM CC(C)NC(=O)Nc1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001362033859 882880829 /nfs/dbraw/zinc/88/08/29/882880829.db2.gz UEBNYJQARQGSDJ-UHFFFAOYSA-N -1 1 317.353 1.301 20 0 DDADMM COc1ccc(NS(=O)(=O)c2cncc(N)c2)cc1C(=O)[O-] ZINC001209899737 875162429 /nfs/dbraw/zinc/16/24/29/875162429.db2.gz APDNUJZOPBSIMJ-UHFFFAOYSA-N -1 1 323.330 1.171 20 0 DDADMM CC1(C)CC(NC(=O)C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC001349439055 875173612 /nfs/dbraw/zinc/17/36/12/875173612.db2.gz KLOWPMKNSMJYDS-UHFFFAOYSA-N -1 1 310.781 1.967 20 0 DDADMM Nc1ccc(F)cc1S(=O)(=O)Nc1ccc(C(=O)[O-])nc1 ZINC001210177746 875259473 /nfs/dbraw/zinc/25/94/73/875259473.db2.gz STNBHKVOOZMVQK-UHFFFAOYSA-N -1 1 311.294 1.302 20 0 DDADMM COc1ccc(C(=O)[O-])cc1Nc1cc(=O)nc(N(C)C)[nH]1 ZINC001210255386 875290945 /nfs/dbraw/zinc/29/09/45/875290945.db2.gz SYIMQCOTYGCIAA-UHFFFAOYSA-N -1 1 304.306 1.699 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(N)c(N)c2)cc(C)n1 ZINC001210781596 875527432 /nfs/dbraw/zinc/52/74/32/875527432.db2.gz XCVVYKRXACPEPD-UHFFFAOYSA-N -1 1 308.363 1.364 20 0 DDADMM CC(=Cc1ccncc1)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001350428122 875684232 /nfs/dbraw/zinc/68/42/32/875684232.db2.gz GNILTNJLNKNSIL-FWLQQBITSA-N -1 1 312.377 1.479 20 0 DDADMM COc1cnccc1Nc1ccc(C(=O)NCC(=O)[O-])cc1 ZINC001211264245 875732291 /nfs/dbraw/zinc/73/22/91/875732291.db2.gz FBMLTYIPBRAWKD-UHFFFAOYSA-N -1 1 301.302 1.070 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCOc2c(F)cccc21)c1nn[n-]n1 ZINC001362071525 882964076 /nfs/dbraw/zinc/96/40/76/882964076.db2.gz YQZWADXTKNMBJJ-ZYHUDNBSSA-N -1 1 319.340 1.863 20 0 DDADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CC1(C)CC1 ZINC001378873131 875819016 /nfs/dbraw/zinc/81/90/16/875819016.db2.gz LWQMBFOSKJKLOJ-NSHDSACASA-N -1 1 309.414 1.321 20 0 DDADMM O=C(/C=C\C1CC1)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001351053153 876038373 /nfs/dbraw/zinc/03/83/73/876038373.db2.gz QXBSBFRJXMTCPQ-WSROAFLRSA-N -1 1 315.373 1.474 20 0 DDADMM Cc1[nH]ccc1C(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001351367681 876209665 /nfs/dbraw/zinc/20/96/65/876209665.db2.gz XYPZKLNHDWGQQI-UHFFFAOYSA-N -1 1 316.361 1.316 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCCc1nccs1 ZINC001351995471 876549257 /nfs/dbraw/zinc/54/92/57/876549257.db2.gz UOWFMUYFRCTBLC-UHFFFAOYSA-N -1 1 310.404 1.598 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(C)(C)[C@H]1[C@@H]1CCCO1 ZINC001352209181 876648425 /nfs/dbraw/zinc/64/84/25/876648425.db2.gz OPDYHNHAJNMKDD-GXSJLCMTSA-N -1 1 323.418 1.809 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CC[C@H](c2ccccc2)C1 ZINC001352387275 876719549 /nfs/dbraw/zinc/71/95/49/876719549.db2.gz RHNQYHUXTJOMRS-ZDUSSCGKSA-N -1 1 324.392 1.396 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CC[C@H](c2ccccc2)C1 ZINC001352387275 876719557 /nfs/dbraw/zinc/71/95/57/876719557.db2.gz RHNQYHUXTJOMRS-ZDUSSCGKSA-N -1 1 324.392 1.396 20 0 DDADMM COc1ncc(Nc2cnc(C)cn2)cc1[N-]S(C)(=O)=O ZINC001216152189 876878992 /nfs/dbraw/zinc/87/89/92/876878992.db2.gz VHZKKYHIYGVFPP-UHFFFAOYSA-N -1 1 309.351 1.304 20 0 DDADMM CC[C@@H](CC(C)C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216271803 876907888 /nfs/dbraw/zinc/90/78/88/876907888.db2.gz WSTJTHUFEZMVRO-FRRDWIJNSA-N -1 1 323.441 1.519 20 0 DDADMM O=C(/C=C\C1CC1)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001353089329 877111880 /nfs/dbraw/zinc/11/18/80/877111880.db2.gz WTLVBMVMRVXEIT-UJZCVKTISA-N -1 1 315.373 1.378 20 0 DDADMM CCO[C@H](C)c1ncc(C(=O)[N-]c2nc3cnccn3n2)s1 ZINC001353532887 877404508 /nfs/dbraw/zinc/40/45/08/877404508.db2.gz FKSHBEYUTKZPSA-MRVPVSSYSA-N -1 1 318.362 1.931 20 0 DDADMM C[C@H](CNC(=O)C[C@@H]1C=CCC1)NC(=O)c1ncccc1[O-] ZINC001354235135 877827577 /nfs/dbraw/zinc/82/75/77/877827577.db2.gz LXWWLPULZSZPCD-VXGBXAGGSA-N -1 1 303.362 1.378 20 0 DDADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CCC[C@@]2(CC=CCC2)C1 ZINC001355768198 878708489 /nfs/dbraw/zinc/70/84/89/878708489.db2.gz XPZVWGNDSYRWDY-HNNXBMFYSA-N -1 1 316.365 1.485 20 0 DDADMM O=C(CCC1CC1)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001380316892 879315111 /nfs/dbraw/zinc/31/51/11/879315111.db2.gz UFHJGOWUTBNPHU-CYBMUJFWSA-N -1 1 321.425 1.465 20 0 DDADMM C[C@H](CNC(=O)CC1CCC1)N(C)C(=O)c1ncccc1[O-] ZINC001380657875 880136571 /nfs/dbraw/zinc/13/65/71/880136571.db2.gz GGWKKATVFPYXDX-LLVKDONJSA-N -1 1 305.378 1.554 20 0 DDADMM Cc1cc(=O)[nH]c([C@H](C)[N@H+]2C[C@@]3(CO)CCCC[C@@]3(CO)C2)n1 ZINC001414055851 881216387 /nfs/dbraw/zinc/21/63/87/881216387.db2.gz FOMKDUWNTURICP-RRQGHBQHSA-N -1 1 321.421 1.399 20 0 DDADMM CSc1ncc(C(=O)NCc2sc(C)nc2C)c(=O)[n-]1 ZINC001362155412 883169608 /nfs/dbraw/zinc/16/96/08/883169608.db2.gz QFPRFDCPZNGLQH-UHFFFAOYSA-N -1 1 310.404 1.907 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC(N2CCCNC2=O)CC1 ZINC001362192790 883258908 /nfs/dbraw/zinc/25/89/08/883258908.db2.gz VGGOVJBDBBDQTP-UHFFFAOYSA-N -1 1 321.352 1.551 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@@H]1C=C(C)OC(=O)C1 ZINC001228551088 883548235 /nfs/dbraw/zinc/54/82/35/883548235.db2.gz KTARRZNVRUUKSS-MRVPVSSYSA-N -1 1 310.331 1.540 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2ccc(O)cc2[O-])cc1O ZINC001362345314 883611048 /nfs/dbraw/zinc/61/10/48/883611048.db2.gz OMXVNEUNOBGRMK-UHFFFAOYSA-N -1 1 303.270 1.842 20 0 DDADMM Cc1ccccc1OCCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362352881 883629627 /nfs/dbraw/zinc/62/96/27/883629627.db2.gz IVAPAIPPRWMNSA-ZDUSSCGKSA-N -1 1 315.377 1.683 20 0 DDADMM C[C@H](NC(N)=O)C(=O)Nc1cccc([O-])c1Br ZINC001362459453 883860847 /nfs/dbraw/zinc/86/08/47/883860847.db2.gz AIJZNRGRPRBRKX-YFKPBYRVSA-N -1 1 302.128 1.150 20 0 DDADMM C[C@@H](CC(=O)Nc1cccc([O-])c1Br)NC(N)=O ZINC001362466188 883878012 /nfs/dbraw/zinc/87/80/12/883878012.db2.gz YJOIYMODJRQVSF-LURJTMIESA-N -1 1 316.155 1.540 20 0 DDADMM COc1cc2ccc(=O)oc2c(O[C@@H]2CCCOC2=O)c1[O-] ZINC001229217397 883879210 /nfs/dbraw/zinc/87/92/10/883879210.db2.gz BMBPCOUIMIAAGH-SECBINFHSA-N -1 1 306.270 1.592 20 0 DDADMM COc1cc2ccc(=O)oc2c(OC2CN(C(C)C)C2)c1[O-] ZINC001229217524 883879375 /nfs/dbraw/zinc/87/93/75/883879375.db2.gz CRYZEBWFLJDNIS-UHFFFAOYSA-N -1 1 305.330 1.979 20 0 DDADMM CSc1ncc(C(=O)N2CCCC3(CCOCC3)C2)c(=O)[n-]1 ZINC001362479821 883904381 /nfs/dbraw/zinc/90/43/81/883904381.db2.gz MAFAMVICUHJKFN-UHFFFAOYSA-N -1 1 323.418 1.937 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCN(Cc2cn[nH]c2)CC1 ZINC001362581460 884143942 /nfs/dbraw/zinc/14/39/42/884143942.db2.gz WTXBWGSDPXLZLE-UHFFFAOYSA-N -1 1 320.780 1.727 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H](Oc2nc(=O)[n-]cc2C(=O)OC)C1 ZINC001229870058 884200449 /nfs/dbraw/zinc/20/04/49/884200449.db2.gz FACFUGIIWQZRIE-BDAKNGLRSA-N -1 1 310.306 1.079 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCN(c2cccc(F)c2)C(=O)C1 ZINC001362615449 884225671 /nfs/dbraw/zinc/22/56/71/884225671.db2.gz CKAURNOAABYKCQ-UHFFFAOYSA-N -1 1 315.304 1.415 20 0 DDADMM C[C@@H]1OCC[C@]12CN(C(=O)c1ccc(F)c([O-])c1)C[C@@H](C)O2 ZINC001362621453 884244277 /nfs/dbraw/zinc/24/42/77/884244277.db2.gz PRIXDTAZINMJPR-GDLVEWKHSA-N -1 1 309.337 1.940 20 0 DDADMM CCC(CC)(NC(=O)c1ccc(C)nc1NC)c1nn[n-]n1 ZINC001362626679 884260009 /nfs/dbraw/zinc/26/00/09/884260009.db2.gz STVZHYHDPNDMCT-UHFFFAOYSA-N -1 1 303.370 1.390 20 0 DDADMM CCN(C(=O)CCCc1nn[n-]n1)[C@@H](C)C(=O)OC(C)(C)C ZINC001362666046 884362440 /nfs/dbraw/zinc/36/24/40/884362440.db2.gz MTIYFNKQVFDBOR-JTQLQIEISA-N -1 1 311.386 1.101 20 0 DDADMM O=C(c1ocnc1C(F)F)N1CCSC[C@H]1c1nn[n-]n1 ZINC001362674273 884383213 /nfs/dbraw/zinc/38/32/13/884383213.db2.gz SAMHFROXPXSFDQ-YFKPBYRVSA-N -1 1 316.293 1.056 20 0 DDADMM O=C(Nc1c[nH]nn1)c1cc(Br)cc(F)c1[O-] ZINC001362677825 884392977 /nfs/dbraw/zinc/39/29/77/884392977.db2.gz UPLVCZLFKFZYBE-UHFFFAOYSA-N -1 1 301.075 1.664 20 0 DDADMM CCOC(=O)C(F)(F)[C@@H](CC)Oc1nc(C(=O)OC)c[n-]1 ZINC001230280110 884406069 /nfs/dbraw/zinc/40/60/69/884406069.db2.gz MJRQKQNPOJCSKN-MRVPVSSYSA-N -1 1 306.265 1.552 20 0 DDADMM CSCC[C@H](NC(=O)Cc1ccc(O)cc1C)c1nn[n-]n1 ZINC001362683322 884407489 /nfs/dbraw/zinc/40/74/89/884407489.db2.gz TTYOZRQKAHERHC-LBPRGKRZSA-N -1 1 321.406 1.367 20 0 DDADMM CC(C)CN1CCN(C(=O)c2cccc([O-])c2F)[C@@H](C)C1=O ZINC001362709294 884460649 /nfs/dbraw/zinc/46/06/49/884460649.db2.gz WDEXJNNMDCCPBO-NSHDSACASA-N -1 1 308.353 1.860 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)Cc1ccoc1 ZINC001382759260 884644383 /nfs/dbraw/zinc/64/43/83/884644383.db2.gz BNFKJTKIGDRIOU-WDEREUQCSA-N -1 1 317.345 1.246 20 0 DDADMM Cc1ccc(C)c(OCC(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001362829284 884741155 /nfs/dbraw/zinc/74/11/55/884741155.db2.gz GGVJAOAFESRXOB-UHFFFAOYSA-N -1 1 317.393 1.880 20 0 DDADMM COc1nscc1[N-]C(=O)c1cnc(C2OCCO2)s1 ZINC001362835622 884759095 /nfs/dbraw/zinc/75/90/95/884759095.db2.gz NGFDMUUWDBNZRI-UHFFFAOYSA-N -1 1 313.360 1.906 20 0 DDADMM CSc1ncc(C(=O)N2CCCC[C@@H]2C[C@@H](C)O)c(=O)[n-]1 ZINC001362839609 884768119 /nfs/dbraw/zinc/76/81/19/884768119.db2.gz YLABXKXHCCHUBR-NXEZZACHSA-N -1 1 311.407 1.670 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@H](C)Cc1cnn(C)c1 ZINC001362849759 884792833 /nfs/dbraw/zinc/79/28/33/884792833.db2.gz QHZRDEAYXCKWOJ-MRVPVSSYSA-N -1 1 321.406 1.307 20 0 DDADMM CN(C)C(=O)c1ccc(NC(=O)c2ccc(F)c([O-])c2)cn1 ZINC001362867319 884837100 /nfs/dbraw/zinc/83/71/00/884837100.db2.gz QPPKRDDRUKYOSF-UHFFFAOYSA-N -1 1 303.293 1.880 20 0 DDADMM Cn1cc([C@@H]2CN(C(=O)c3ccc([O-])cn3)CC2(C)C)cn1 ZINC001362932130 885018274 /nfs/dbraw/zinc/01/82/74/885018274.db2.gz AQESEGCOJBXQQA-ZDUSSCGKSA-N -1 1 300.362 1.787 20 0 DDADMM O=C(NCCc1cn2c(n1)SCC2)c1ccc(F)c([O-])c1 ZINC001362971764 885114375 /nfs/dbraw/zinc/11/43/75/885114375.db2.gz LKDCRETUQULHSC-UHFFFAOYSA-N -1 1 307.350 1.806 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC001362998773 885189894 /nfs/dbraw/zinc/18/98/94/885189894.db2.gz CSEOLWMRLKEPIR-MRVPVSSYSA-N -1 1 319.292 1.362 20 0 DDADMM CCOC(=O)c1[nH]nc(NC(=O)c2c([O-])cccc2F)c1C ZINC001363002505 885199568 /nfs/dbraw/zinc/19/95/68/885199568.db2.gz HEYKIDDMXDNUEM-UHFFFAOYSA-N -1 1 307.281 1.992 20 0 DDADMM CN1CCO[C@@H](COc2cccnc2-c2ncccc2[O-])C1=O ZINC001231204441 885369715 /nfs/dbraw/zinc/36/97/15/885369715.db2.gz QJXZEMCUIYNVOI-ZDUSSCGKSA-N -1 1 315.329 1.085 20 0 DDADMM CSCC[C@H](NC(=O)c1onc2c1CCCC2)c1nn[n-]n1 ZINC001363099432 885460200 /nfs/dbraw/zinc/46/02/00/885460200.db2.gz KYUKXFKFKNTGFG-JTQLQIEISA-N -1 1 322.394 1.291 20 0 DDADMM CC(C)(C)OC(=O)C1(C)CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC001363123303 885523002 /nfs/dbraw/zinc/52/30/02/885523002.db2.gz GPOCRYYLYPREQT-UHFFFAOYSA-N -1 1 323.397 1.103 20 0 DDADMM CS[C@@H](C(=O)NCc1nc([O-])cc(=O)[nH]1)c1ccccc1 ZINC001363149060 885585094 /nfs/dbraw/zinc/58/50/94/885585094.db2.gz GJHSOXFFBWLNBZ-CYBMUJFWSA-N -1 1 305.359 1.608 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCN(Cc2cncs2)CC1 ZINC001363187449 885668055 /nfs/dbraw/zinc/66/80/55/885668055.db2.gz QAFDCHVLPKCOAD-UHFFFAOYSA-N -1 1 321.377 1.946 20 0 DDADMM CN(C)C(=O)[C@H]1C[C@@H]2[C@@H](CCN2Cc2ccc(C(=O)[O-])s2)O1 ZINC001231462998 885682939 /nfs/dbraw/zinc/68/29/39/885682939.db2.gz SKWHTDFTPAFMIH-IJLUTSLNSA-N -1 1 324.402 1.266 20 0 DDADMM COC(=O)c1coc(CNC(=O)Cc2ccc([O-])c(Cl)c2)n1 ZINC001363197756 885693944 /nfs/dbraw/zinc/69/39/44/885693944.db2.gz XTIUETWMMSLOAE-UHFFFAOYSA-N -1 1 324.720 1.679 20 0 DDADMM CC[C@H](C(=O)N1CC[C@H](c2nn[n-]n2)C1)c1c(C)noc1C ZINC001363217729 885736521 /nfs/dbraw/zinc/73/65/21/885736521.db2.gz LPUGTFXYVUJGCP-QWRGUYRKSA-N -1 1 304.354 1.314 20 0 DDADMM NC(=O)[C@@H]1CCn2nccc2CN1Cc1ccc([O-])c(F)c1F ZINC001277543643 885768050 /nfs/dbraw/zinc/76/80/50/885768050.db2.gz UEYCGMAARVYGHR-NSHDSACASA-N -1 1 322.315 1.127 20 0 DDADMM O=C(CCNC(=O)c1c(F)ccc([O-])c1F)Nc1ncc[nH]1 ZINC001363261433 885848751 /nfs/dbraw/zinc/84/87/51/885848751.db2.gz JVLGPYPLDMYEQK-UHFFFAOYSA-N -1 1 310.260 1.152 20 0 DDADMM COC(=O)C1CCN(Cc2ccccc2OCC(=O)[O-])CC1 ZINC001231790102 885874299 /nfs/dbraw/zinc/87/42/99/885874299.db2.gz IQEBZODIRVBLFI-UHFFFAOYSA-N -1 1 307.346 1.535 20 0 DDADMM Cc1cccc(OCCC(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC001363329679 886037446 /nfs/dbraw/zinc/03/74/46/886037446.db2.gz URRRWLBKGZQBNW-UHFFFAOYSA-N -1 1 303.318 1.282 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1ccccc1 ZINC001363351367 886105231 /nfs/dbraw/zinc/10/52/31/886105231.db2.gz UIAAAVSVXCDSPN-GFCCVEGCSA-N -1 1 314.345 1.959 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1ccccc1 ZINC001363351367 886105240 /nfs/dbraw/zinc/10/52/40/886105240.db2.gz UIAAAVSVXCDSPN-GFCCVEGCSA-N -1 1 314.345 1.959 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1ccccc1 ZINC001363351367 886105253 /nfs/dbraw/zinc/10/52/53/886105253.db2.gz UIAAAVSVXCDSPN-GFCCVEGCSA-N -1 1 314.345 1.959 20 0 DDADMM COc1ccc(CC(=O)NC2(c3nn[n-]n3)CCC2)c(C)c1 ZINC001363376562 886172950 /nfs/dbraw/zinc/17/29/50/886172950.db2.gz XEDBYFRKCISFDB-UHFFFAOYSA-N -1 1 301.350 1.255 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2[C@H](O)CC[C@@H]2C1 ZINC001363427604 886308477 /nfs/dbraw/zinc/30/84/77/886308477.db2.gz ZENLVYODTJXHOV-GRYCIOLGSA-N -1 1 319.405 1.631 20 0 DDADMM CN1C(=O)NCC12CCN(C(=O)c1cccc([O-])c1F)CC2 ZINC001363434160 886327635 /nfs/dbraw/zinc/32/76/35/886327635.db2.gz KUFWAWWFVNUPRZ-UHFFFAOYSA-N -1 1 307.325 1.161 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cccs1 ZINC001383706290 886365717 /nfs/dbraw/zinc/36/57/17/886365717.db2.gz VPHTVYAQSAEYKU-JTQLQIEISA-N -1 1 319.386 1.739 20 0 DDADMM Cc1nnc(N2CCN(C(=O)c3cccc([O-])c3F)CC2)s1 ZINC001363533792 886572697 /nfs/dbraw/zinc/57/26/97/886572697.db2.gz VIGFVAVEBVUMHY-UHFFFAOYSA-N -1 1 322.365 1.654 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H](C)N(C)CC(F)(F)F ZINC001363540972 886587225 /nfs/dbraw/zinc/58/72/25/886587225.db2.gz BWVRTPWVEFDBHM-SECBINFHSA-N -1 1 322.335 1.067 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC001363545256 886601115 /nfs/dbraw/zinc/60/11/15/886601115.db2.gz OFZPLZBOVWLOJW-SNVBAGLBSA-N -1 1 317.397 1.346 20 0 DDADMM O=C(CCc1cc(F)ccc1F)NC1(c2nn[n-]n2)CCC1 ZINC001363545467 886601446 /nfs/dbraw/zinc/60/14/46/886601446.db2.gz ASAQYTJKGPJLKL-UHFFFAOYSA-N -1 1 307.304 1.606 20 0 DDADMM O=C(Cc1nc(C2CC2)cs1)NC1(c2nn[n-]n2)CCC1 ZINC001363547775 886608716 /nfs/dbraw/zinc/60/87/16/886608716.db2.gz IDAIQOJZTXHUNI-UHFFFAOYSA-N -1 1 304.379 1.272 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1C[C@@]1(F)c1ccccc1 ZINC001363554462 886624017 /nfs/dbraw/zinc/62/40/17/886624017.db2.gz WQVORKHOTMYESO-IAQYHMDHSA-N -1 1 301.325 1.580 20 0 DDADMM CSc1ncc(C(=O)Nc2c[nH]nc2C(F)(F)F)c(=O)[n-]1 ZINC001363579479 886682803 /nfs/dbraw/zinc/68/28/03/886682803.db2.gz OBGUZSGQGQVDPV-UHFFFAOYSA-N -1 1 319.268 1.898 20 0 DDADMM O=C1COCC2(CCN(Cc3cc([O-])c(F)c(F)c3)CC2)N1 ZINC001232970966 886701859 /nfs/dbraw/zinc/70/18/59/886701859.db2.gz OWMLSSAIRXCBGT-UHFFFAOYSA-N -1 1 312.316 1.151 20 0 DDADMM COC(=O)CC[C@@H]1COCCN1Cc1cc([O-])c(F)c(F)c1 ZINC001232973825 886703363 /nfs/dbraw/zinc/70/33/63/886703363.db2.gz BEPIXCFLHZELHM-LLVKDONJSA-N -1 1 315.316 1.824 20 0 DDADMM CC[C@@H](F)C(=O)NCCC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001383913479 886719814 /nfs/dbraw/zinc/71/98/14/886719814.db2.gz WHKXXVGWRTUGPM-LLVKDONJSA-N -1 1 323.368 1.552 20 0 DDADMM CCOC(=O)[C@H](CSC)NC(=O)c1ccc(F)c([O-])c1 ZINC001363603866 886740827 /nfs/dbraw/zinc/74/08/27/886740827.db2.gz UDOODQAWQWGOEB-JTQLQIEISA-N -1 1 301.339 1.556 20 0 DDADMM O=C(Nc1ccc(OCCO)nc1)c1c(F)ccc([O-])c1F ZINC001363736669 887104722 /nfs/dbraw/zinc/10/47/22/887104722.db2.gz VJELEWSKELMJSK-UHFFFAOYSA-N -1 1 310.256 1.689 20 0 DDADMM O=S(=O)([N-]CCc1c(F)cccc1F)c1ccns1 ZINC001363768391 887173766 /nfs/dbraw/zinc/17/37/66/887173766.db2.gz NGUXDKBWASTQBN-UHFFFAOYSA-N -1 1 304.343 1.942 20 0 DDADMM COC(=O)[C@]1([N-]S(=O)(=O)CC(C)(C)C(C)C)CCSC1 ZINC001363828641 887332592 /nfs/dbraw/zinc/33/25/92/887332592.db2.gz FLYQBNGFBQVRIV-ZDUSSCGKSA-N -1 1 323.480 1.637 20 0 DDADMM Cn1cc([C@@]2(CNC(=O)c3ccc(F)c([O-])c3)CCCO2)cn1 ZINC001363853037 887399470 /nfs/dbraw/zinc/39/94/70/887399470.db2.gz QOECOAPUZMVUIE-INIZCTEOSA-N -1 1 319.336 1.701 20 0 DDADMM COC(=O)c1cc([O-])c(Br)c(OC2CN(C)C2)c1 ZINC001233904567 887445884 /nfs/dbraw/zinc/44/58/84/887445884.db2.gz KNVQVWCEECAWPT-UHFFFAOYSA-N -1 1 316.151 1.634 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC(C)(C)COC)n[n-]1 ZINC001363890327 887481629 /nfs/dbraw/zinc/48/16/29/887481629.db2.gz YIMQKNGBYICMJQ-VIFPVBQESA-N -1 1 312.370 1.221 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC(C)(C)COC)[n-]1 ZINC001363890327 887481637 /nfs/dbraw/zinc/48/16/37/887481637.db2.gz YIMQKNGBYICMJQ-VIFPVBQESA-N -1 1 312.370 1.221 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC(C)(C)COC)n1 ZINC001363890327 887481644 /nfs/dbraw/zinc/48/16/44/887481644.db2.gz YIMQKNGBYICMJQ-VIFPVBQESA-N -1 1 312.370 1.221 20 0 DDADMM CCCCN(C1CC1)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001363954634 887607251 /nfs/dbraw/zinc/60/72/51/887607251.db2.gz ONRIGBGLSWIKQK-UHFFFAOYSA-N -1 1 301.368 1.150 20 0 DDADMM CCCCN(C1CC1)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001363954634 887607254 /nfs/dbraw/zinc/60/72/54/887607254.db2.gz ONRIGBGLSWIKQK-UHFFFAOYSA-N -1 1 301.368 1.150 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3c[nH]c(C(C)C)n3)CC2)n1 ZINC001364076119 887860415 /nfs/dbraw/zinc/86/04/15/887860415.db2.gz VLSNXHASSRLKSC-UHFFFAOYSA-N -1 1 311.367 1.192 20 0 DDADMM NC(=O)c1nc[n-]c(=O)c1O[C@@H](c1ccccc1)c1ccccn1 ZINC001234466983 887998503 /nfs/dbraw/zinc/99/85/03/887998503.db2.gz KLMTXQJRLJASPH-AWEZNQCLSA-N -1 1 322.324 1.845 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@H]2CCOc3ccccc32)c(=O)[n-]1 ZINC001234470566 888000625 /nfs/dbraw/zinc/00/06/25/888000625.db2.gz UWLCACOCOVPNEO-NSHDSACASA-N -1 1 301.302 1.492 20 0 DDADMM CCOc1ccc([C@H](C)Oc2c(C(N)=O)nc[n-]c2=O)cc1 ZINC001234469155 888002111 /nfs/dbraw/zinc/00/21/11/888002111.db2.gz WGAAIHQEAABUJK-VIFPVBQESA-N -1 1 303.318 1.820 20 0 DDADMM COC(=O)c1nc(-c2ccccc2)[n-]c(=O)c1O[C@H](C)CON ZINC001234554553 888087098 /nfs/dbraw/zinc/08/70/98/888087098.db2.gz NYRPCRZWKBUALI-SECBINFHSA-N -1 1 319.317 1.293 20 0 DDADMM CC(C)c1nc(C(N)=O)c(OCCOC2CCCC2)c(=O)[n-]1 ZINC001234565873 888104228 /nfs/dbraw/zinc/10/42/28/888104228.db2.gz QPFMDDBORHJBLV-UHFFFAOYSA-N -1 1 309.366 1.743 20 0 DDADMM COC(C)(C)C[C@@H](C)Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O ZINC001234565206 888104850 /nfs/dbraw/zinc/10/48/50/888104850.db2.gz MEGQJHKRGPHVPW-SECBINFHSA-N -1 1 311.382 1.987 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)[C@@H]2CCO[C@H]2C2CC2)[n-]c1=O ZINC001364231225 888184175 /nfs/dbraw/zinc/18/41/75/888184175.db2.gz AAQMGANVVXPWKB-PWSUYJOCSA-N -1 1 321.377 1.357 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Cc2cc(C)no2)[n-]c1=O ZINC001364415204 888586252 /nfs/dbraw/zinc/58/62/52/888586252.db2.gz HEHQOCYFHZKAMT-LLVKDONJSA-N -1 1 318.333 1.393 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc([S@@](C)=O)c2)n1 ZINC001364506119 888793872 /nfs/dbraw/zinc/79/38/72/888793872.db2.gz NYPXHCSHSPHYTK-JOCHJYFZSA-N -1 1 321.358 1.576 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCCc2cccc(C)c2)[n-]n1 ZINC001364766705 889368351 /nfs/dbraw/zinc/36/83/51/889368351.db2.gz LNEYOWSYFIQUFB-UHFFFAOYSA-N -1 1 323.374 1.026 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)NCCc2cccc(C)c2)n[n-]1 ZINC001364766705 889368364 /nfs/dbraw/zinc/36/83/64/889368364.db2.gz LNEYOWSYFIQUFB-UHFFFAOYSA-N -1 1 323.374 1.026 20 0 DDADMM CC[C@H](C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001385629015 889460748 /nfs/dbraw/zinc/46/07/48/889460748.db2.gz WGUPTUGWUDAJDW-NWDGAFQWSA-N -1 1 305.378 1.554 20 0 DDADMM O=S(=O)([N-]CCc1ccoc1)c1nc[nH]c1Br ZINC001364906117 889645788 /nfs/dbraw/zinc/64/57/88/889645788.db2.gz GPMINJDCZFMGPR-UHFFFAOYSA-N -1 1 320.168 1.286 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1ccns1)c1cc(F)cc(F)c1 ZINC001364955415 889754175 /nfs/dbraw/zinc/75/41/75/889754175.db2.gz GPRSWPOXHHOEFS-UHFFFAOYSA-N -1 1 318.326 1.583 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)C2CCCCCC2)[n-]n1 ZINC001365017338 889901492 /nfs/dbraw/zinc/90/14/92/889901492.db2.gz WHNWOUBOERORKR-UHFFFAOYSA-N -1 1 315.395 1.540 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)C2CCCCCC2)n[n-]1 ZINC001365017338 889901503 /nfs/dbraw/zinc/90/15/03/889901503.db2.gz WHNWOUBOERORKR-UHFFFAOYSA-N -1 1 315.395 1.540 20 0 DDADMM COCC1(S(=O)(=O)[N-][C@H](C(=O)OC)C(C)(C)C)CCC1 ZINC001365453262 890823981 /nfs/dbraw/zinc/82/39/81/890823981.db2.gz QSXSOIOEVUINEV-SNVBAGLBSA-N -1 1 307.412 1.063 20 0 DDADMM O=C(CC1CC1)NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001386352818 890833112 /nfs/dbraw/zinc/83/31/12/890833112.db2.gz WZLINFIZRABXCI-ZDUSSCGKSA-N -1 1 317.389 1.556 20 0 DDADMM CC(C)[C@H](NC(=O)Nc1nn[nH]c1C(=O)NC1CC1)C1CC1 ZINC001365539480 891019143 /nfs/dbraw/zinc/01/91/43/891019143.db2.gz UZCBFNLJKBRFIQ-JTQLQIEISA-N -1 1 306.370 1.253 20 0 DDADMM C[C@@H](Cc1ccco1)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001290803308 913524851 /nfs/dbraw/zinc/52/48/51/913524851.db2.gz KQEAFWVIABKQET-VIFPVBQESA-N -1 1 302.359 1.972 20 0 DDADMM NC(=O)CCCNC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC001290926896 913541584 /nfs/dbraw/zinc/54/15/84/913541584.db2.gz JLKRWFABDHTMGF-UHFFFAOYSA-N -1 1 316.313 1.460 20 0 DDADMM COC[C@@](C)([N-]S(=O)(=O)CC(C)(C)C(C)C)C(=O)OC ZINC001365681708 891305589 /nfs/dbraw/zinc/30/55/89/891305589.db2.gz VMXONBHMXFSZNG-CYBMUJFWSA-N -1 1 309.428 1.166 20 0 DDADMM CC[C@H]1CCC[C@@H]1NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365682658 891309842 /nfs/dbraw/zinc/30/98/42/891309842.db2.gz XXUBGNADBCTQPS-IUCAKERBSA-N -1 1 301.368 1.053 20 0 DDADMM CC[C@H]1CCC[C@@H]1NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365682658 891309856 /nfs/dbraw/zinc/30/98/56/891309856.db2.gz XXUBGNADBCTQPS-IUCAKERBSA-N -1 1 301.368 1.053 20 0 DDADMM CC[C@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001365716743 891385544 /nfs/dbraw/zinc/38/55/44/891385544.db2.gz FBANYCQVNHJAEL-IUCAKERBSA-N -1 1 308.425 1.227 20 0 DDADMM Cc1conc1CS(=O)(=O)[N-]Cc1ncc(Cl)s1 ZINC001365733810 891418343 /nfs/dbraw/zinc/41/83/43/891418343.db2.gz DQHLAUCKWOJCPB-UHFFFAOYSA-N -1 1 307.784 1.713 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC(=O)Nc1ccccc1 ZINC001291789822 913576409 /nfs/dbraw/zinc/57/64/09/913576409.db2.gz ZFIVAXABJWKHAN-UHFFFAOYSA-N -1 1 318.358 1.148 20 0 DDADMM CC[C@@H](C)[C@H](C)C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001386849034 891852921 /nfs/dbraw/zinc/85/29/21/891852921.db2.gz ADLPEIUBQZACPV-NEPJUHHUSA-N -1 1 307.394 1.658 20 0 DDADMM COCCCNC(=S)Nc1cccc(C(=O)N(C)C)c1[O-] ZINC001245620628 892196008 /nfs/dbraw/zinc/19/60/08/892196008.db2.gz GETRBNJQXZADHF-UHFFFAOYSA-N -1 1 311.407 1.417 20 0 DDADMM O=CC(O)n1[n-]c(-c2cc(F)c(F)c(Cl)c2F)cc1=O ZINC001245921804 892272162 /nfs/dbraw/zinc/27/21/62/892272162.db2.gz YFBSWRWRGIPPKT-UHFFFAOYSA-N -1 1 306.627 1.959 20 0 DDADMM C[S@@](=O)CCCNC(=S)Nc1ncc(Cl)cc1[O-] ZINC001246365750 892574465 /nfs/dbraw/zinc/57/44/65/892574465.db2.gz GVCQDLVQYVYDFU-GOSISDBHSA-N -1 1 307.828 1.496 20 0 DDADMM CCN(CCNC(=O)C1(CCF)CC1)C(=O)c1ncccc1[O-] ZINC001387256998 892705104 /nfs/dbraw/zinc/70/51/04/892705104.db2.gz RYRKIECKYMDVDZ-UHFFFAOYSA-N -1 1 323.368 1.505 20 0 DDADMM CCCN(CCNC(=O)c1ncccc1[O-])C(=O)CCC1CC1 ZINC001387417631 893052024 /nfs/dbraw/zinc/05/20/24/893052024.db2.gz XUPREPATGXEVCJ-UHFFFAOYSA-N -1 1 319.405 1.946 20 0 DDADMM O=[P@]([O-])(O)CCNC(=S)NCCc1ccc(Cl)cc1 ZINC001249767171 894121560 /nfs/dbraw/zinc/12/15/60/894121560.db2.gz SAEOCYLMCJDKHW-UHFFFAOYSA-N -1 1 322.754 1.524 20 0 DDADMM COc1nscc1NC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001366622140 894308392 /nfs/dbraw/zinc/30/83/92/894308392.db2.gz GXJHNFUTISWKNP-UHFFFAOYSA-N -1 1 309.355 1.076 20 0 DDADMM CC(C)c1ccc(OC[C@H](O)CNCc2n[nH]c(=O)[n-]2)cc1 ZINC001250773643 894411299 /nfs/dbraw/zinc/41/12/99/894411299.db2.gz BYYAIYDWJVDQDN-GFCCVEGCSA-N -1 1 306.366 1.163 20 0 DDADMM Cc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)nc2ccccc12 ZINC001251768001 894807251 /nfs/dbraw/zinc/80/72/51/894807251.db2.gz KQRULNTUXNLSGU-AWEZNQCLSA-N -1 1 324.344 1.270 20 0 DDADMM O=C(NCCNC(=O)C1CC2(CCC2)C1)c1ncccc1[O-] ZINC001292666138 913908150 /nfs/dbraw/zinc/90/81/50/913908150.db2.gz HXQXYCBWTGFHNL-UHFFFAOYSA-N -1 1 303.362 1.214 20 0 DDADMM CC(C)CC1(C(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)CCC1 ZINC001374786278 913915164 /nfs/dbraw/zinc/91/51/64/913915164.db2.gz OFNILZRCHQDXTO-LLVKDONJSA-N -1 1 309.414 1.321 20 0 DDADMM CCCS(=O)(=O)[N-][C@@H](C(=O)OC)c1ccccc1Cl ZINC001253733210 896051689 /nfs/dbraw/zinc/05/16/89/896051689.db2.gz FSTLNDSMAHPZIZ-LLVKDONJSA-N -1 1 305.783 1.884 20 0 DDADMM O=CNc1ccc(C(=O)OCC(=O)c2ccc([O-])cc2O)cc1 ZINC001253794180 896097645 /nfs/dbraw/zinc/09/76/45/896097645.db2.gz LBNCCSMVHPGWMP-UHFFFAOYSA-N -1 1 315.281 1.706 20 0 DDADMM C[C@H](Cc1ccccc1)N(C)Cc1cn(C2(C(=O)[O-])CC2)nn1 ZINC001254094953 896256218 /nfs/dbraw/zinc/25/62/18/896256218.db2.gz DCTGMQBKLAFKLN-CYBMUJFWSA-N -1 1 314.389 1.915 20 0 DDADMM Cc1ccc(C)c(S(=O)(=O)[N-]c2cc(O)cc(C(N)=O)c2)c1 ZINC001254801346 896605035 /nfs/dbraw/zinc/60/50/35/896605035.db2.gz ZUDCDUPWMXUPRZ-UHFFFAOYSA-N -1 1 320.370 1.909 20 0 DDADMM CCc1ccc(S(=O)(=O)Nc2cc(=O)[n-]c(N(C)C)n2)cc1 ZINC001255028661 896705238 /nfs/dbraw/zinc/70/52/38/896705238.db2.gz KPHIDWNEZJGRQD-UHFFFAOYSA-N -1 1 322.390 1.611 20 0 DDADMM C[C@@H](O)[C@@H](CO)[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257437807 897847362 /nfs/dbraw/zinc/84/73/62/897847362.db2.gz HYJMUBRTWHRZAL-INEUFUBQSA-N -1 1 320.219 1.075 20 0 DDADMM CC(=O)N1CCC[C@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC001258948790 898374471 /nfs/dbraw/zinc/37/44/71/898374471.db2.gz QUUBZAZMVSAAGH-NSHDSACASA-N -1 1 318.345 1.254 20 0 DDADMM COC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC001258949001 898375161 /nfs/dbraw/zinc/37/51/61/898375161.db2.gz FPNNUXKCQCLLRH-SCZZXKLOSA-N -1 1 319.329 1.585 20 0 DDADMM CCOC(=O)c1ncc(Cl)cc1[N-]S(=O)(=O)C1CC1 ZINC001259271798 898562472 /nfs/dbraw/zinc/56/24/72/898562472.db2.gz SVUPDDXGGLJYKI-UHFFFAOYSA-N -1 1 304.755 1.816 20 0 DDADMM COc1ccccc1S(=O)(=O)Nc1cc(=O)[n-]c(N(C)C)n1 ZINC001259295450 898593707 /nfs/dbraw/zinc/59/37/07/898593707.db2.gz YJYDFTIMWVTULI-UHFFFAOYSA-N -1 1 324.362 1.058 20 0 DDADMM O=S(=O)([N-][C@H]1CCOC[C@H]1F)c1cc(Cl)ccc1F ZINC001259460365 898680325 /nfs/dbraw/zinc/68/03/25/898680325.db2.gz AXMWXIJYGYASSW-ZJUUUORDSA-N -1 1 311.737 1.884 20 0 DDADMM O=Nc1c(=O)[n-]c(=S)[nH]c1NS(=O)(=O)c1cccnc1 ZINC001259602460 898727164 /nfs/dbraw/zinc/72/71/64/898727164.db2.gz BQJHDAAEGAWDDI-UHFFFAOYSA-N -1 1 313.320 1.065 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)OCC ZINC001259874822 898884770 /nfs/dbraw/zinc/88/47/70/898884770.db2.gz OMSDLQKWXZOEDS-QMMMGPOBSA-N -1 1 305.318 1.590 20 0 DDADMM CC(C)(C)OC(=O)NC(C)(C)C[N-]S(=O)(=O)C(F)F ZINC001259959582 898981363 /nfs/dbraw/zinc/98/13/63/898981363.db2.gz YALXFMJMCGLXGD-UHFFFAOYSA-N -1 1 302.343 1.432 20 0 DDADMM CCOC(=O)[C@@H](C[N-]S(=O)(=O)C(F)F)c1ccccc1 ZINC001259962309 898983506 /nfs/dbraw/zinc/98/35/06/898983506.db2.gz HTUPFXBNKJPYEF-JTQLQIEISA-N -1 1 307.318 1.475 20 0 DDADMM COCCS(=O)(=O)[N-]c1ccc(C(=O)OCC(C)C)cc1 ZINC001259971668 898995638 /nfs/dbraw/zinc/99/56/38/898995638.db2.gz ISCLUJWILNXDRG-UHFFFAOYSA-N -1 1 315.391 1.888 20 0 DDADMM O=S(=O)([N-]Cc1cncnc1)c1cc(Cl)c(F)cc1F ZINC001260206295 899087432 /nfs/dbraw/zinc/08/74/32/899087432.db2.gz JKWWPYVOEVYZDV-UHFFFAOYSA-N -1 1 319.720 1.887 20 0 DDADMM O=C1CC[C@H]([N-]S(=O)(=O)c2cc(Cl)c(F)cc2F)CN1 ZINC001260206479 899088359 /nfs/dbraw/zinc/08/83/59/899088359.db2.gz QAKRCDONEKHCIY-LURJTMIESA-N -1 1 324.736 1.175 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@H](O)C1)c1cc(F)c(F)cc1Cl ZINC001260287555 899111834 /nfs/dbraw/zinc/11/18/34/899111834.db2.gz DTANDPDEFNSBEU-LJGSYFOKSA-N -1 1 311.737 1.667 20 0 DDADMM Cc1ccc2c([N-]S(=O)(=O)CS(C)(=O)=O)cccc2n1 ZINC001260592054 899180489 /nfs/dbraw/zinc/18/04/89/899180489.db2.gz ADUVCTICCQTRLG-UHFFFAOYSA-N -1 1 314.388 1.287 20 0 DDADMM CN(CCNC(=O)C1(C)CCCCCC1)Cc1nc(=O)n(C)[n-]1 ZINC001390764308 900128499 /nfs/dbraw/zinc/12/84/99/900128499.db2.gz FMVZKJGTMBUPPJ-UHFFFAOYSA-N -1 1 323.441 1.017 20 0 DDADMM CCC[C@H](C)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369449452 901319692 /nfs/dbraw/zinc/31/96/92/901319692.db2.gz SKTSBKDKEFWVRZ-QWRGUYRKSA-N -1 1 309.414 1.273 20 0 DDADMM CC[C@H](C)CC(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001391809389 902642474 /nfs/dbraw/zinc/64/24/74/902642474.db2.gz STNKFGUXOCRRFI-LBPRGKRZSA-N -1 1 307.394 1.754 20 0 DDADMM CCC[C@H](C)C(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001370288048 902862761 /nfs/dbraw/zinc/86/27/61/902862761.db2.gz GJVHBTGYCRSYQM-STQMWFEESA-N -1 1 323.441 1.617 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@H](C1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001370402655 903083296 /nfs/dbraw/zinc/08/32/96/903083296.db2.gz XJYPVULHWSDZAR-WCFLWFBJSA-N -1 1 317.389 1.410 20 0 DDADMM CC/C=C(\C)C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001279946708 903456819 /nfs/dbraw/zinc/45/68/19/903456819.db2.gz BHJPYGRODBPJAA-VZUCSPMQSA-N -1 1 317.389 1.768 20 0 DDADMM CCCC(=O)NC[C@H](NC(=O)c1ncccc1[O-])C(C)(C)C ZINC001371101526 904252167 /nfs/dbraw/zinc/25/21/67/904252167.db2.gz WKQCROQYVNUOJW-LBPRGKRZSA-N -1 1 307.394 1.848 20 0 DDADMM CCCC(=O)N[C@]12CCC[C@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC001371949900 906078280 /nfs/dbraw/zinc/07/82/80/906078280.db2.gz OZAKBRBKTCZCBW-SJCJKPOMSA-N -1 1 317.389 1.698 20 0 DDADMM CC(C)SCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001372239461 906789959 /nfs/dbraw/zinc/78/99/59/906789959.db2.gz UPTGMXBGNXMGHF-NSHDSACASA-N -1 1 323.418 1.260 20 0 DDADMM O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)NCCCNC(=O)c1ncccc1[O-] ZINC001283232160 906951068 /nfs/dbraw/zinc/95/10/68/906951068.db2.gz DAKJQBNUJUOMIX-AGIUHOORSA-N -1 1 317.389 1.460 20 0 DDADMM CC(F)(F)CCC(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001283232682 906954828 /nfs/dbraw/zinc/95/48/28/906954828.db2.gz IYMUXOBWWBJTRL-UHFFFAOYSA-N -1 1 315.320 1.459 20 0 DDADMM CC[C@@H](C)[C@H](C)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001393980081 908446211 /nfs/dbraw/zinc/44/62/11/908446211.db2.gz MEDQKNOKFYHKPM-NEPJUHHUSA-N -1 1 319.405 1.752 20 0 DDADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CCCCC1 ZINC001394189218 909038289 /nfs/dbraw/zinc/03/82/89/909038289.db2.gz DVZWJBRPIGOHLT-ZDUSSCGKSA-N -1 1 323.441 1.855 20 0 DDADMM CCC1(C(=O)NC/C=C\CNC(=O)c2ncccc2[O-])CC1 ZINC001285528841 910740630 /nfs/dbraw/zinc/74/06/30/910740630.db2.gz AMBRIWRXPVMVAI-ARJAWSKDSA-N -1 1 303.362 1.380 20 0 DDADMM O=C(Cc1ccoc1)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001285528938 910741090 /nfs/dbraw/zinc/74/10/90/910741090.db2.gz BNTCREIRNBVKFK-UPHRSURJSA-N -1 1 315.329 1.025 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C1(CCF)CC1 ZINC001395065184 911275830 /nfs/dbraw/zinc/27/58/30/911275830.db2.gz INJWHUIQYFVIGX-LLVKDONJSA-N -1 1 323.368 1.504 20 0 DDADMM CC(C)CCC(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001395068761 911283784 /nfs/dbraw/zinc/28/37/84/911283784.db2.gz PBYMNMQBQLXSPI-GFCCVEGCSA-N -1 1 307.394 1.800 20 0 DDADMM CCCCC(=O)N[C@]1(CNCc2n[nH]c(=O)[n-]2)CCCC[C@H]1C ZINC001373892825 911291061 /nfs/dbraw/zinc/29/10/61/911291061.db2.gz BXGYSMFCVZVEKP-WBMJQRKESA-N -1 1 323.441 1.855 20 0 DDADMM CCCN(CCNC(=O)[C@@H]1CC1(C)C)C(=O)c1ncccc1[O-] ZINC001374114510 911927360 /nfs/dbraw/zinc/92/73/60/911927360.db2.gz BPSGSRPDXVRUNH-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM CCCN(CCNC(=O)[C@H]1CC1(C)C)C(=O)c1ncccc1[O-] ZINC001374114509 911928262 /nfs/dbraw/zinc/92/82/62/911928262.db2.gz BPSGSRPDXVRUNH-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM CC[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)C1CC1 ZINC001375081846 914898454 /nfs/dbraw/zinc/89/84/54/914898454.db2.gz LOJKZNLRVGZGKP-NSHDSACASA-N -1 1 318.377 1.094 20 0 DDADMM C/C(=C/C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])c1ccco1 ZINC001295510095 915863520 /nfs/dbraw/zinc/86/35/20/915863520.db2.gz VCQPPLRXARYQPV-CLFYSBASSA-N -1 1 322.342 1.675 20 0 DDADMM C[C@H](CNC(=O)[C@@H]1CCC1(C)C)NC(=O)c1ncccc1[O-] ZINC001397531860 916229412 /nfs/dbraw/zinc/22/94/12/916229412.db2.gz CARVMISZKVRVQU-MNOVXSKESA-N -1 1 305.378 1.458 20 0 DDADMM C[C@@H](CNC(=O)[C@H]1CCC1(C)C)NC(=O)c1ncccc1[O-] ZINC001397531873 916231195 /nfs/dbraw/zinc/23/11/95/916231195.db2.gz CARVMISZKVRVQU-WDEREUQCSA-N -1 1 305.378 1.458 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1ccc(Nc2ncccn2)cc1 ZINC001297260556 916845797 /nfs/dbraw/zinc/84/57/97/916845797.db2.gz ICNSYCGNNWMCIM-UHFFFAOYSA-N -1 1 324.348 1.695 20 0 DDADMM C[C@H](CNC(=O)C1C=CC=CC=C1)NC(=O)c1ncccc1[O-] ZINC001376872102 919628823 /nfs/dbraw/zinc/62/88/23/919628823.db2.gz JOGBQFCONIACKH-GFCCVEGCSA-N -1 1 313.357 1.320 20 0 DDADMM CCOC(=O)[C@@]1(C(C)C)CCN(C(=O)c2cncc([O-])c2)C1 ZINC000278269910 214057534 /nfs/dbraw/zinc/05/75/34/214057534.db2.gz RKKDBBWTQYMORS-INIZCTEOSA-N -1 1 306.362 1.839 20 0 DDADMM NC(=O)c1[nH]nnc1NC(=O)[C@H]1CCC[C@H]1Cc1ccccc1 ZINC000622868397 365549223 /nfs/dbraw/zinc/54/92/23/365549223.db2.gz LFDQQWUWAMHPEH-RYUDHWBXSA-N -1 1 313.361 1.501 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CO[C@H]3CCCC[C@H]3C)nc2n1 ZINC000622870866 365550783 /nfs/dbraw/zinc/55/07/83/365550783.db2.gz JSPMVQFOIVMHPC-KOLCDFICSA-N -1 1 319.365 1.260 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc(C4CCC4)cc3)nc2n1 ZINC000622871306 365552097 /nfs/dbraw/zinc/55/20/97/365552097.db2.gz XTROQAFFYIXXJI-UHFFFAOYSA-N -1 1 323.356 1.668 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@]3(C)C(C)C)nc2n1 ZINC000622993436 365585313 /nfs/dbraw/zinc/58/53/13/365585313.db2.gz MOXYCRFRZVFWDG-MEBBXXQBSA-N -1 1 303.366 1.601 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CCC[C@H]3C)nc2n1 ZINC000622994157 365587254 /nfs/dbraw/zinc/58/72/54/365587254.db2.gz GABFAZXGQWDTSC-ZJUUUORDSA-N -1 1 303.366 1.745 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCC[C@H]4C[C@H]43)nc2n1 ZINC000622997970 365591300 /nfs/dbraw/zinc/59/13/00/365591300.db2.gz SFFVNEKEJQNCID-MVWJERBFSA-N -1 1 315.377 1.745 20 0 DDADMM O=C(CCCc1ccc(Br)s1)Nc1nnn[n-]1 ZINC000076963518 185061863 /nfs/dbraw/zinc/06/18/63/185061863.db2.gz YXFDXUMIWPUESB-UHFFFAOYSA-N -1 1 316.184 1.985 20 0 DDADMM O=C(CCCc1ccc(Br)s1)Nc1nn[n-]n1 ZINC000076963518 185061864 /nfs/dbraw/zinc/06/18/64/185061864.db2.gz YXFDXUMIWPUESB-UHFFFAOYSA-N -1 1 316.184 1.985 20 0 DDADMM CCN(CC)c1nc2sc(C(=O)Nc3nn[n-]n3)cc2s1 ZINC000076963923 185062056 /nfs/dbraw/zinc/06/20/56/185062056.db2.gz JZFJJFNWQGKBOK-UHFFFAOYSA-N -1 1 323.407 1.969 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(C)[C@@H]1CCC[C@H]1O ZINC000286541585 219044966 /nfs/dbraw/zinc/04/49/66/219044966.db2.gz YXSALAHEJKQHCQ-GHMZBOCLSA-N -1 1 307.394 1.774 20 0 DDADMM CC1=CCN(CCNC(=O)c2s[n-]c(=O)c2Cl)CC1 ZINC000614260291 361776775 /nfs/dbraw/zinc/77/67/75/361776775.db2.gz HYSOGBWPHFONLZ-UHFFFAOYSA-N -1 1 301.799 1.884 20 0 DDADMM CC[C@H](C)[C@H](NC(C)=O)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614388010 361831997 /nfs/dbraw/zinc/83/19/97/361831997.db2.gz FDSKDNFPFRIINW-DLOVCJGASA-N -1 1 324.381 1.032 20 0 DDADMM O=C(NCc1nnc2n1CCCCC2)c1cc(F)ccc1[O-] ZINC000081934168 192343160 /nfs/dbraw/zinc/34/31/60/192343160.db2.gz UOBBEVZRXPJXHO-UHFFFAOYSA-N -1 1 304.325 1.779 20 0 DDADMM COc1cc(CCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)on1 ZINC000614475032 361876038 /nfs/dbraw/zinc/87/60/38/361876038.db2.gz HNSYPPWUJOASRR-UHFFFAOYSA-N -1 1 322.321 1.111 20 0 DDADMM CCc1c[nH]c(=O)c(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1 ZINC000614475652 361876825 /nfs/dbraw/zinc/87/68/25/361876825.db2.gz PQNDFXCNRLUWMX-UHFFFAOYSA-N -1 1 318.333 1.458 20 0 DDADMM COC(=O)c1c[n-]c(SC[C@H]2CC(C(=O)OC)=C(C)O2)n1 ZINC000278637470 214293398 /nfs/dbraw/zinc/29/33/98/214293398.db2.gz LDABXBQOADFXSW-MRVPVSSYSA-N -1 1 312.347 1.524 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CCC1)c1ccc(Br)o1 ZINC000452054482 231284111 /nfs/dbraw/zinc/28/41/11/231284111.db2.gz RAWRGPFBFQKINI-MRVPVSSYSA-N -1 1 324.196 1.481 20 0 DDADMM Cc1cc(NC(=O)c2cc(C)ccc2[N-]S(C)(=O)=O)nn1C ZINC000133352759 539190998 /nfs/dbraw/zinc/19/09/98/539190998.db2.gz KASVBTKNFYWMNX-UHFFFAOYSA-N -1 1 322.390 1.661 20 0 DDADMM CC[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)[C@@H](O)C(C)C ZINC000412717195 529577627 /nfs/dbraw/zinc/57/76/27/529577627.db2.gz LEOMOYCLJQIHMK-RYUDHWBXSA-N -1 1 306.366 1.199 20 0 DDADMM CCn1cnnc1NC(=O)c1cc(C)ccc1[N-]S(C)(=O)=O ZINC000285919338 539285106 /nfs/dbraw/zinc/28/51/06/539285106.db2.gz SUPYIZVIDPYGKG-UHFFFAOYSA-N -1 1 323.378 1.230 20 0 DDADMM COC(=C([O-])Nc1[nH]nc2nc(O)ccc21)C1CCCCC1 ZINC000615081350 362141684 /nfs/dbraw/zinc/14/16/84/362141684.db2.gz MYQQPSWRLOVPGN-GFCCVEGCSA-N -1 1 304.350 1.619 20 0 DDADMM CC1(C(=O)Nc2nc(-c3ccc4ccccc4n3)n[nH]2)COC1 ZINC000615119436 362161305 /nfs/dbraw/zinc/16/13/05/362161305.db2.gz KTJIWANVHUWEPL-UHFFFAOYSA-N -1 1 309.329 1.995 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(S(C)(=O)=O)cc(C)c1C ZINC000615222082 362202512 /nfs/dbraw/zinc/20/25/12/362202512.db2.gz OVHBFAXROYBEIW-UHFFFAOYSA-N -1 1 323.374 1.696 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2cccc(OC)c2F)n[n-]1 ZINC000615919527 362485375 /nfs/dbraw/zinc/48/53/75/362485375.db2.gz CEWCOPQXRCEPDP-UHFFFAOYSA-N -1 1 322.296 1.059 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(OC)c2F)n1 ZINC000615919527 362485382 /nfs/dbraw/zinc/48/53/82/362485382.db2.gz CEWCOPQXRCEPDP-UHFFFAOYSA-N -1 1 322.296 1.059 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@@H]1CCOC(C)(C)C1)c2=O ZINC000457211564 232015516 /nfs/dbraw/zinc/01/55/16/232015516.db2.gz MSQAMSWVYBSESI-SNVBAGLBSA-N -1 1 318.377 1.609 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC(C)(C)COC(C)C)o1 ZINC000413992528 530028113 /nfs/dbraw/zinc/02/81/13/530028113.db2.gz BOPRYNLMPZPDNP-UHFFFAOYSA-N -1 1 318.395 1.121 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2C[C@@]2(C)C(C)C)o1 ZINC000458107356 530028335 /nfs/dbraw/zinc/02/83/35/530028335.db2.gz NGZPGBGNTVHYBJ-MFKMUULPSA-N -1 1 300.380 1.352 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCC(OCC(C)C)CC1 ZINC000330902646 232151126 /nfs/dbraw/zinc/15/11/26/232151126.db2.gz XQUIMPRJGSHUHA-UHFFFAOYSA-N -1 1 317.411 1.221 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@H]3CCC(=O)N3C)[nH][n-]2)c1 ZINC000356739181 539469172 /nfs/dbraw/zinc/46/91/72/539469172.db2.gz DTHVCPATQDGIBP-CYBMUJFWSA-N -1 1 316.336 1.505 20 0 DDADMM CO[C@@H]1COC[C@@H]1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000458371302 232375387 /nfs/dbraw/zinc/37/53/87/232375387.db2.gz ZGUNGCHXKVVGJH-GXSJLCMTSA-N -1 1 305.252 1.555 20 0 DDADMM CCOC(=O)C(CC)(CC)CN=c1ccc(C(=O)N(C)C)n[n-]1 ZINC000601220457 358452915 /nfs/dbraw/zinc/45/29/15/358452915.db2.gz RAZTUMDHYWOLHS-UHFFFAOYSA-N -1 1 322.409 1.382 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncc3ccccc3c2[O-])c2ncnn21 ZINC000617424545 363099859 /nfs/dbraw/zinc/09/98/59/363099859.db2.gz ATDAUKGKCLOFHY-CABZTGNLSA-N -1 1 309.329 1.968 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2cc(C(N)=O)n(C)c2)cc1C ZINC000028195836 352227991 /nfs/dbraw/zinc/22/79/91/352227991.db2.gz ATQWXCIGRCAQHQ-UHFFFAOYSA-N -1 1 307.375 1.542 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(F)ccc2C)o1 ZINC000031482882 352267267 /nfs/dbraw/zinc/26/72/67/352267267.db2.gz QYFBUSWFWVHBFG-UHFFFAOYSA-N -1 1 312.322 1.888 20 0 DDADMM CCC(C)(C)[C@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000617572894 363189293 /nfs/dbraw/zinc/18/92/93/363189293.db2.gz RXVGZSNXHCYXMI-VIFPVBQESA-N -1 1 302.396 1.742 20 0 DDADMM Cc1ccc(C(C)C)c(O[C@@H](C)C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000048247833 352532365 /nfs/dbraw/zinc/53/23/65/352532365.db2.gz KPFULGPIPDPSHI-NSHDSACASA-N -1 1 319.361 1.469 20 0 DDADMM C[C@@H](Oc1ccc(F)cc1)C(=O)NCCc1n[n-]c(=S)n1C ZINC000067051622 353032505 /nfs/dbraw/zinc/03/25/05/353032505.db2.gz IDYJYJHCWPWMCM-SECBINFHSA-N -1 1 324.381 1.743 20 0 DDADMM Cc1cnc(C(=O)NCCOc2ncccc2Cl)c([O-])c1 ZINC000358562672 299198778 /nfs/dbraw/zinc/19/87/78/299198778.db2.gz ZIXNXRRDTDICPH-UHFFFAOYSA-N -1 1 307.737 1.953 20 0 DDADMM Cc1ncc(C=CC(=O)NC2(c3nn[n-]n3)CCCC2)s1 ZINC000491894625 234327675 /nfs/dbraw/zinc/32/76/75/234327675.db2.gz TVJAMQNTCBQHOH-PLNGDYQASA-N -1 1 304.379 1.564 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)c1ccc(Br)o1)C1CC1 ZINC000331958297 234319994 /nfs/dbraw/zinc/31/99/94/234319994.db2.gz UQVWDWZRZIJCGQ-MRVPVSSYSA-N -1 1 324.196 1.745 20 0 DDADMM C[C@H]1C[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000075515369 353366123 /nfs/dbraw/zinc/36/61/23/353366123.db2.gz ACCLXCZWDYIHQZ-IUCAKERBSA-N -1 1 300.380 1.495 20 0 DDADMM O=C(NC[C@H]1CCS(=O)(=O)C1)c1ccc2ccccc2c1[O-] ZINC000078242597 353506658 /nfs/dbraw/zinc/50/66/58/353506658.db2.gz NOFCXLLUAJBUMT-LLVKDONJSA-N -1 1 319.382 1.710 20 0 DDADMM O=c1[n-]c(CSc2nc(-c3ccc4c(c3)CCC4)n[nH]2)n[nH]1 ZINC000091587646 353830500 /nfs/dbraw/zinc/83/05/00/353830500.db2.gz YUEXKEONTAGCQG-UHFFFAOYSA-N -1 1 314.374 1.664 20 0 DDADMM O=C(NC[C@H]1CCCN(c2ncccn2)C1)c1cncc([O-])c1 ZINC000092135810 353845880 /nfs/dbraw/zinc/84/58/80/353845880.db2.gz JSCOBSAYQYZDLA-GFCCVEGCSA-N -1 1 313.361 1.224 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccccn1)c1nc(C(C)(C)C)no1 ZINC000133152840 354107897 /nfs/dbraw/zinc/10/78/97/354107897.db2.gz VYZXLSBPWDTVFI-VIFPVBQESA-N -1 1 310.379 1.802 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2cccc([N+](=O)[O-])c2[O-])C1 ZINC000399221257 354657450 /nfs/dbraw/zinc/65/74/50/354657450.db2.gz CBTPLBZYZNSBJZ-NSHDSACASA-N -1 1 323.349 1.141 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCS[C@@H]2C)o1 ZINC000592115124 355503753 /nfs/dbraw/zinc/50/37/53/355503753.db2.gz QZGWUVJCBIOOCA-BDAKNGLRSA-N -1 1 319.404 1.629 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@]2(C)CCOC2)o1 ZINC000358883845 291067917 /nfs/dbraw/zinc/06/79/17/291067917.db2.gz VACRYTVGAPREQN-ZDUSSCGKSA-N -1 1 317.363 1.161 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)N=c2ccc(OC(C)C)n[n-]2)C[C@H]1C ZINC000346585765 283130920 /nfs/dbraw/zinc/13/09/20/283130920.db2.gz MCXKMOSXFPLAMQ-CHWSQXEVSA-N -1 1 321.425 1.632 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H](C)O2)o1 ZINC000594852817 356338043 /nfs/dbraw/zinc/33/80/43/356338043.db2.gz TXMPELPLCMURMT-UWVGGRQHSA-N -1 1 317.363 1.302 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@]2(C)CC2(C)C)cc1C ZINC000595361235 356464466 /nfs/dbraw/zinc/46/44/66/356464466.db2.gz JEYGJYGDIVWHMD-ZDUSSCGKSA-N -1 1 301.364 1.842 20 0 DDADMM CCOC(=O)CN(C)C(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000081604525 192300455 /nfs/dbraw/zinc/30/04/55/192300455.db2.gz WSJCWHIAWDVDOM-UHFFFAOYSA-N -1 1 309.366 1.011 20 0 DDADMM CCn1cc(CNC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)nn1 ZINC000618153086 363478752 /nfs/dbraw/zinc/47/87/52/363478752.db2.gz HCFCWAKCRBJMFU-UHFFFAOYSA-N -1 1 318.381 1.330 20 0 DDADMM COCc1nc(CN=c2nc(-c3ccccc3C)[n-]s2)n[nH]1 ZINC000624394262 366354174 /nfs/dbraw/zinc/35/41/74/366354174.db2.gz ZGMWBDPJDFCDMI-UHFFFAOYSA-N -1 1 316.390 1.812 20 0 DDADMM CCSC1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000596482307 356893536 /nfs/dbraw/zinc/89/35/36/356893536.db2.gz PMZHVHPRGOWJSX-UHFFFAOYSA-N -1 1 303.387 1.939 20 0 DDADMM CCOC(=O)CN(CC)C(=O)c1ncc2ccccc2c1[O-] ZINC000597226440 357102009 /nfs/dbraw/zinc/10/20/09/357102009.db2.gz QUPPJDTYQBENQB-UHFFFAOYSA-N -1 1 302.330 1.966 20 0 DDADMM CC(C)(C)OC(=O)C1(C(=O)Nc2nnn[n-]2)C(C)(C)C1(C)C ZINC000597264232 357114227 /nfs/dbraw/zinc/11/42/27/357114227.db2.gz XJFQVYVXPPJZJA-UHFFFAOYSA-N -1 1 309.370 1.532 20 0 DDADMM CC(C)(C)OC(=O)C1(C(=O)Nc2nn[n-]n2)C(C)(C)C1(C)C ZINC000597264232 357114232 /nfs/dbraw/zinc/11/42/32/357114232.db2.gz XJFQVYVXPPJZJA-UHFFFAOYSA-N -1 1 309.370 1.532 20 0 DDADMM C[C@@H](C[S@@](C)=O)N(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000597494120 357195522 /nfs/dbraw/zinc/19/55/22/357195522.db2.gz GZVCYWOBOJNBIO-CHNSCGDPSA-N -1 1 306.387 1.779 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnc3n2CCCCC3)n1 ZINC000598729308 357707055 /nfs/dbraw/zinc/70/70/55/357707055.db2.gz OWDVQMIFTNPYHD-UHFFFAOYSA-N -1 1 317.349 1.762 20 0 DDADMM CCC[C@@H](C)CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000179541404 199052636 /nfs/dbraw/zinc/05/26/36/199052636.db2.gz IPAYKLPVHQWPOS-SECBINFHSA-N -1 1 300.380 1.804 20 0 DDADMM O=C([O-])CSCCNC(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000238054674 304073071 /nfs/dbraw/zinc/07/30/71/304073071.db2.gz GGNRBSNGVBDBMG-AWEZNQCLSA-N -1 1 322.430 1.585 20 0 DDADMM COC(=O)[C@@]1(OC)CCN(C(=O)c2c(F)ccc([O-])c2F)C1 ZINC000600296589 358186803 /nfs/dbraw/zinc/18/68/03/358186803.db2.gz AUMZHSSWYQCCOS-CQSZACIVSA-N -1 1 315.272 1.075 20 0 DDADMM C[C@@H]1CN(CC(=O)NC(=O)Nc2ccccc2)CC[C@@H]1C(=O)[O-] ZINC000600701503 358286767 /nfs/dbraw/zinc/28/67/67/358286767.db2.gz KJLJEEYVCXDEIO-YPMHNXCESA-N -1 1 319.361 1.377 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ocnc2C(F)F)n1 ZINC000600827988 358327560 /nfs/dbraw/zinc/32/75/60/358327560.db2.gz YPPGXFFCMUPVLM-UHFFFAOYSA-N -1 1 300.221 1.764 20 0 DDADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)CC1(OC)CCC1 ZINC000601452607 358560005 /nfs/dbraw/zinc/56/00/05/358560005.db2.gz VYVUWPWZSRKSKT-LLVKDONJSA-N -1 1 321.439 1.453 20 0 DDADMM C/C=C/C[C@@H]([N-]S(=O)(=O)CC1(OC)CCC1)C(=O)OCC ZINC000601393911 358534004 /nfs/dbraw/zinc/53/40/04/358534004.db2.gz MDGVEJNUPJQXOR-FVOPLDGLSA-N -1 1 319.423 1.373 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@@H]1CCCCO1 ZINC000181082623 199249776 /nfs/dbraw/zinc/24/97/76/199249776.db2.gz OWKJKHIUPNFLGO-LBPRGKRZSA-N -1 1 316.354 1.705 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(C)cc2)n[n-]1 ZINC000603164407 359449007 /nfs/dbraw/zinc/44/90/07/359449007.db2.gz JSMXZYGUAWWSPB-SNVBAGLBSA-N -1 1 302.334 1.781 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(C)cc2)[n-]1 ZINC000603164407 359449016 /nfs/dbraw/zinc/44/90/16/359449016.db2.gz JSMXZYGUAWWSPB-SNVBAGLBSA-N -1 1 302.334 1.781 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(C)cc2)n1 ZINC000603164407 359449023 /nfs/dbraw/zinc/44/90/23/359449023.db2.gz JSMXZYGUAWWSPB-SNVBAGLBSA-N -1 1 302.334 1.781 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N[C@H]2CCCC[C@H]2C)n1 ZINC000187586166 200131381 /nfs/dbraw/zinc/13/13/81/200131381.db2.gz ONFIOEIEMRKBCQ-ZJUUUORDSA-N -1 1 311.407 1.983 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@H](O)C1)c1cc(F)c(F)cc1F ZINC000188329109 200228198 /nfs/dbraw/zinc/22/81/98/200228198.db2.gz TVKBXIVXKGAQAO-YUMQZZPRSA-N -1 1 309.309 1.543 20 0 DDADMM Cc1ccc(S(=O)(=O)NC2CCN(C)CC2)c(F)c1F ZINC000624804106 366571199 /nfs/dbraw/zinc/57/11/99/366571199.db2.gz BVGMFDKIJYRMMK-UHFFFAOYSA-N -1 1 304.362 1.646 20 0 DDADMM COc1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)c(F)c1 ZINC000605381323 359847906 /nfs/dbraw/zinc/84/79/06/359847906.db2.gz FXTCHVIVYUNAQS-UHFFFAOYSA-N -1 1 305.313 1.547 20 0 DDADMM Cc1[nH]c2ccnn2c(=O)c1CC(=O)Nc1c([O-])cccc1F ZINC000605438091 359857017 /nfs/dbraw/zinc/85/70/17/359857017.db2.gz RLMMNYSEOHOKFJ-UHFFFAOYSA-N -1 1 316.292 1.357 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC[C@]2(C)CC2(F)F)co1 ZINC000618630719 363695387 /nfs/dbraw/zinc/69/53/87/363695387.db2.gz CBQBYKWMQVRYLA-LLVKDONJSA-N -1 1 322.333 1.353 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)[C@H]2CCOC2)c(F)c1 ZINC000608033320 360107303 /nfs/dbraw/zinc/10/73/03/360107303.db2.gz FQMIKBHHCXZRCB-BDAKNGLRSA-N -1 1 321.345 1.677 20 0 DDADMM O=C(Nc1c([O-])cccc1F)c1ccc2c(c1)C(=O)NC2=O ZINC000608365658 360167409 /nfs/dbraw/zinc/16/74/09/360167409.db2.gz IBYMMRHZZPYWEZ-UHFFFAOYSA-N -1 1 300.245 1.667 20 0 DDADMM CSCc1c2ccccc2oc1C(=O)NCc1nn[n-]n1 ZINC000609532001 360319260 /nfs/dbraw/zinc/31/92/60/360319260.db2.gz DQBYCGDTEQYGFM-UHFFFAOYSA-N -1 1 303.347 1.739 20 0 DDADMM Cc1cnc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)o1 ZINC000281222196 216165614 /nfs/dbraw/zinc/16/56/14/216165614.db2.gz DVYXEQQVIMKJCD-UHFFFAOYSA-N -1 1 305.300 1.874 20 0 DDADMM CC(C)(C)[C@H](NC(=O)c1ncc2ccccc2c1[O-])C(N)=O ZINC000612170366 360939230 /nfs/dbraw/zinc/93/92/30/360939230.db2.gz LQMFGMLIMZLDTP-CYBMUJFWSA-N -1 1 301.346 1.570 20 0 DDADMM CCC(=O)N1CC[C@@H](NC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000612437108 361015083 /nfs/dbraw/zinc/01/50/83/361015083.db2.gz NKZMKPKUFVIQKU-GFCCVEGCSA-N -1 1 313.357 1.681 20 0 DDADMM CN1NN=C2C1=NCC[C@H]2C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000612759488 361136300 /nfs/dbraw/zinc/13/63/00/361136300.db2.gz KSLVLRXENIEJRA-MRVPVSSYSA-N -1 1 307.741 1.209 20 0 DDADMM CCS(=O)(=O)N[C@H](C)C(=O)Nc1ccc([O-])c(Cl)c1 ZINC000612760404 361137567 /nfs/dbraw/zinc/13/75/67/361137567.db2.gz VLHLTCSJNCFPRR-SSDOTTSWSA-N -1 1 306.771 1.312 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc2c(cc1F)CCC(=O)N2 ZINC000348733691 283638333 /nfs/dbraw/zinc/63/83/33/283638333.db2.gz CYVXMASBUKFDAF-UHFFFAOYSA-N -1 1 302.327 1.099 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)[C@H]3C[C@]34CCOC4)[nH]c21 ZINC000613113797 361272352 /nfs/dbraw/zinc/27/23/52/361272352.db2.gz AFGAWIAPQVSNHA-HWPZZCPQSA-N -1 1 315.329 1.715 20 0 DDADMM COC(=O)C[C@@H](C)N1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000613511352 361448222 /nfs/dbraw/zinc/44/82/22/361448222.db2.gz GSTWSNYFXKBUOX-LLVKDONJSA-N -1 1 324.352 1.241 20 0 DDADMM CCCS(=O)(=O)CC(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000619028694 363858250 /nfs/dbraw/zinc/85/82/50/363858250.db2.gz OGMLRBXNRCDRGZ-UHFFFAOYSA-N -1 1 313.404 1.323 20 0 DDADMM CC1CCC(O)(CNC(=O)c2csc(=NC3CC3)[n-]2)CC1 ZINC000621097977 364673447 /nfs/dbraw/zinc/67/34/47/364673447.db2.gz SYFSBBZXTUZFJE-UHFFFAOYSA-N -1 1 309.435 1.810 20 0 DDADMM CC[C@H](CSC)N(C)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000091149836 193005955 /nfs/dbraw/zinc/00/59/55/193005955.db2.gz MQTWJFYAPZVICL-SECBINFHSA-N -1 1 320.436 1.401 20 0 DDADMM O=C(COC1CCCC1)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622610389 365450342 /nfs/dbraw/zinc/45/03/42/365450342.db2.gz UIHHNMSJWJYQJY-AWEZNQCLSA-N -1 1 301.350 1.365 20 0 DDADMM O=C1CCOC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000625493733 366964321 /nfs/dbraw/zinc/96/43/21/366964321.db2.gz VUZAPHTUZOJPPF-VIFPVBQESA-N -1 1 307.730 1.115 20 0 DDADMM CC(C)[C@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1ncccc1[O-] ZINC000275313157 212265919 /nfs/dbraw/zinc/26/59/19/212265919.db2.gz NTROBRGZQZYMOD-QWRGUYRKSA-N -1 1 323.418 1.463 20 0 DDADMM COc1cc2[n-]cc(C(=O)NCC[C@@H](C)O)c(=O)c2c(OC)c1 ZINC000625715728 367103999 /nfs/dbraw/zinc/10/39/99/367103999.db2.gz MYKNVBQSNYTZPV-SECBINFHSA-N -1 1 320.345 1.046 20 0 DDADMM COc1cc(OC)c2c(c1)N(Cc1nc(=O)n(C)[n-]1)CCC2 ZINC000625798158 367146975 /nfs/dbraw/zinc/14/69/75/367146975.db2.gz XPQLMVHJVKEUMH-UHFFFAOYSA-N -1 1 304.350 1.078 20 0 DDADMM CCO[C@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C(C)C ZINC000626338617 367489961 /nfs/dbraw/zinc/48/99/61/367489961.db2.gz FZFMAHNDYFCNLN-LBPRGKRZSA-N -1 1 316.379 1.039 20 0 DDADMM CO[C@H]1[C@H]2[C@H]3C[C@@H]1C[C@H]3CN2C(=O)C(=O)c1ccc([O-])cc1 ZINC000288189667 220007357 /nfs/dbraw/zinc/00/73/57/220007357.db2.gz BIPOJZFDHSBVTM-MPRPFWIISA-N -1 1 301.342 1.457 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CC[C@@H](OC)C2)o1 ZINC000350001797 284130734 /nfs/dbraw/zinc/13/07/34/284130734.db2.gz GZKXUIXPSBPANV-NXEZZACHSA-N -1 1 317.363 1.302 20 0 DDADMM CNc1ccc(C(F)(F)F)cc1C(=O)NCc1nn[n-]n1 ZINC000094595889 193382696 /nfs/dbraw/zinc/38/26/96/193382696.db2.gz NZZTUYPIKROQJY-UHFFFAOYSA-N -1 1 300.244 1.190 20 0 DDADMM CCCCOCCC[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000264602845 204022672 /nfs/dbraw/zinc/02/26/72/204022672.db2.gz NWPGGZDJZUSSCV-UHFFFAOYSA-N -1 1 319.379 1.551 20 0 DDADMM CCN(CCNC(=O)c1cncc([O-])c1)C(=O)OC(C)(C)C ZINC000264694825 204083799 /nfs/dbraw/zinc/08/37/99/204083799.db2.gz ASTQSSXEYWWRDW-UHFFFAOYSA-N -1 1 309.366 1.774 20 0 DDADMM O=S1(=O)CC[C@@H](Cc2nc(-c3ccc([O-])cc3F)no2)C1 ZINC000278229613 214035075 /nfs/dbraw/zinc/03/50/75/214035075.db2.gz RMSSNKYNZWTOMK-QMMMGPOBSA-N -1 1 312.322 1.559 20 0 DDADMM CS(=O)(=O)[C@H]1CCN(C(=O)c2ccc3ccccc3c2[O-])C1 ZINC000282569958 217095968 /nfs/dbraw/zinc/09/59/68/217095968.db2.gz RVLVTFNCKZKDOQ-LBPRGKRZSA-N -1 1 319.382 1.805 20 0 DDADMM Cc1noc2ncc([N-]S(=O)(=O)C[C@@H]3CCCCO3)cc12 ZINC000266405021 205321721 /nfs/dbraw/zinc/32/17/21/205321721.db2.gz FPLGVFSEMOKDCM-NSHDSACASA-N -1 1 311.363 1.842 20 0 DDADMM C/C=C\C[C@H](CO)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000351594538 284400632 /nfs/dbraw/zinc/40/06/32/284400632.db2.gz DEEMROLQPGMTQI-YAJNLLPGSA-N -1 1 309.309 1.709 20 0 DDADMM CC(C)c1ncsc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000566778296 304180933 /nfs/dbraw/zinc/18/09/33/304180933.db2.gz RRXGDMKRQQHNLP-VIFPVBQESA-N -1 1 306.395 1.799 20 0 DDADMM COC(=O)[C@@]1(F)CCN(Cc2cc(=O)oc3cc([O-])ccc23)C1 ZINC000267743889 206256190 /nfs/dbraw/zinc/25/61/90/206256190.db2.gz CSRZQOFRYKCDCL-MRXNPFEDSA-N -1 1 321.304 1.586 20 0 DDADMM O=C(N[C@H]1CCN(C2CCOCC2)C1=O)c1c([O-])cccc1F ZINC000332677775 248891496 /nfs/dbraw/zinc/89/14/96/248891496.db2.gz RTWJYHCCFVWWJF-LBPRGKRZSA-N -1 1 322.336 1.041 20 0 DDADMM CCn1cc(S(=O)(=O)Nc2cccc(O)c2)cc1C(=O)[O-] ZINC000040495298 183081033 /nfs/dbraw/zinc/08/10/33/183081033.db2.gz ZBZSBDUGOIFZOL-UHFFFAOYSA-N -1 1 310.331 1.713 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cnc(C(C)(C)C)s1 ZINC000337156653 249369245 /nfs/dbraw/zinc/36/92/45/249369245.db2.gz PTIZCEOPNGHOHH-UHFFFAOYSA-N -1 1 321.406 1.506 20 0 DDADMM COc1cncc(S(=O)(=O)Nc2cccc(C)c2C(=O)[O-])c1 ZINC000337185089 249381103 /nfs/dbraw/zinc/38/11/03/249381103.db2.gz OBTUDMDJAFHWEL-UHFFFAOYSA-N -1 1 322.342 1.898 20 0 DDADMM CC(C)(NS(C)(=O)=O)c1noc(-c2cc(F)ccc2[O-])n1 ZINC000273987256 211243859 /nfs/dbraw/zinc/24/38/59/211243859.db2.gz VTLUHSGNIWEAGJ-UHFFFAOYSA-N -1 1 315.326 1.366 20 0 DDADMM Cn1cnnc1-c1ccnc([N-]S(=O)(=O)CCC2CC2)c1 ZINC000273974235 211231336 /nfs/dbraw/zinc/23/13/36/211231336.db2.gz SDBKEZSNMIRIIS-UHFFFAOYSA-N -1 1 307.379 1.419 20 0 DDADMM CC[C@H](C)[C@H](C)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000104865058 194048746 /nfs/dbraw/zinc/04/87/46/194048746.db2.gz SUUAKXBUDKVSPC-UWVGGRQHSA-N -1 1 304.394 1.733 20 0 DDADMM CCO[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)c1sccc1F ZINC000338952976 250216330 /nfs/dbraw/zinc/21/63/30/250216330.db2.gz RYQFGOYOYRTWEY-VHSXEESVSA-N -1 1 309.384 1.360 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cccc(OC)c2F)n1 ZINC000339178777 250330958 /nfs/dbraw/zinc/33/09/58/250330958.db2.gz VJUDXCNHZYHQHY-UHFFFAOYSA-N -1 1 307.281 1.986 20 0 DDADMM Cc1nnc(COCC(=O)Nc2n[n-]c(C(F)(F)F)n2)s1 ZINC000285193118 218327427 /nfs/dbraw/zinc/32/74/27/218327427.db2.gz UKEGQMMMIXQIDN-UHFFFAOYSA-N -1 1 322.272 1.139 20 0 DDADMM COCc1noc([C@H](C)[N-]S(=O)(=O)c2cccs2)n1 ZINC000109598894 194276772 /nfs/dbraw/zinc/27/67/72/194276772.db2.gz GSZITIUXOUOTKS-ZETCQYMHSA-N -1 1 303.365 1.317 20 0 DDADMM Cc1noc(C)c1[C@H](C)CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000273451937 210785262 /nfs/dbraw/zinc/78/52/62/210785262.db2.gz CWNMVBDJVKNZEZ-RKDXNWHRSA-N -1 1 306.370 1.560 20 0 DDADMM CC(C)Cn1nccc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000567592299 304227849 /nfs/dbraw/zinc/22/78/49/304227849.db2.gz VGWMPYYBVOUNPX-LLVKDONJSA-N -1 1 319.365 1.648 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1CCC[C@H]1F ZINC000340781904 251208317 /nfs/dbraw/zinc/20/83/17/251208317.db2.gz RKEDGXQCCXSSDM-CHWSQXEVSA-N -1 1 320.368 1.991 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cc(O)cc(OC)c1 ZINC000340964277 251306998 /nfs/dbraw/zinc/30/69/98/251306998.db2.gz RJZVWQMSAJJILE-UHFFFAOYSA-N -1 1 317.363 1.342 20 0 DDADMM CC(C)n1ccc([N-]S(=O)(=O)N2C[C@H](C)OC[C@@H]2C)n1 ZINC000340972047 251311105 /nfs/dbraw/zinc/31/11/05/251311105.db2.gz GELPAZBKENFGHG-QWRGUYRKSA-N -1 1 302.400 1.230 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1)S(=O)(=O)c1ccc([O-])cc1 ZINC000063927651 184290914 /nfs/dbraw/zinc/29/09/14/184290914.db2.gz LPYBAQJWYCZRGT-LBPRGKRZSA-N -1 1 319.382 1.871 20 0 DDADMM CCc1ccc([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000352423056 285040624 /nfs/dbraw/zinc/04/06/24/285040624.db2.gz MNJNITXFIFLROO-SNVBAGLBSA-N -1 1 311.345 1.471 20 0 DDADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(F)c(F)c1 ZINC000352433782 285047787 /nfs/dbraw/zinc/04/77/87/285047787.db2.gz XOPKJLBWOOOAAJ-ZETCQYMHSA-N -1 1 319.271 1.187 20 0 DDADMM O=C(Nc1cccc2ncccc21)c1cc(=O)n2[n-]cnc2n1 ZINC000352436948 285049557 /nfs/dbraw/zinc/04/95/57/285049557.db2.gz AUZYVOCAIRESOP-UHFFFAOYSA-N -1 1 306.285 1.218 20 0 DDADMM COC(=O)c1ccccc1S(=O)(=O)[N-][C@H](C)C(F)(F)F ZINC000288673338 220339650 /nfs/dbraw/zinc/33/96/50/220339650.db2.gz SVPDKISJJBXZOV-SSDOTTSWSA-N -1 1 311.281 1.702 20 0 DDADMM CSc1nc(C)c(CCC(=O)N(C)CCC2CC2)c(=O)[n-]1 ZINC000156373636 197107770 /nfs/dbraw/zinc/10/77/70/197107770.db2.gz GFGXGPDKNGRTOO-UHFFFAOYSA-N -1 1 309.435 1.991 20 0 DDADMM C[C@H](CN=c1[n-]cc(S(N)(=O)=O)s1)CC(F)(F)F ZINC000413537830 224214120 /nfs/dbraw/zinc/21/41/20/224214120.db2.gz SBOLZHBVFVEDSC-YFKPBYRVSA-N -1 1 303.331 1.213 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1C[C@@]1(F)c1ccccc1)c1nn[n-]n1 ZINC000413686427 224238372 /nfs/dbraw/zinc/23/83/72/224238372.db2.gz KFMZHDPVXADXNR-IXPVHAAZSA-N -1 1 303.341 1.647 20 0 DDADMM O=C(Cn1ccc2ccccc21)Nc1nc(SCCO)n[nH]1 ZINC000120762302 195233137 /nfs/dbraw/zinc/23/31/37/195233137.db2.gz DXQVBTZTGQSQSS-UHFFFAOYSA-N -1 1 317.374 1.483 20 0 DDADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)N=c1cc(-c2ccc(Cl)s2)[n-][nH]1 ZINC000568203592 304275570 /nfs/dbraw/zinc/27/55/70/304275570.db2.gz NVQWHUDFGTZGQS-RQJHMYQMSA-N -1 1 324.793 1.664 20 0 DDADMM O=S(=O)([N-]CC(F)(F)F)c1cccc2c1OC(F)(F)O2 ZINC000414453710 224339622 /nfs/dbraw/zinc/33/96/22/224339622.db2.gz NGQORQMLFJZVIO-UHFFFAOYSA-N -1 1 319.207 1.849 20 0 DDADMM COC(=O)[C@H](CF)[N-]S(=O)(=O)c1cc2cc(F)ccc2o1 ZINC000451562810 533268566 /nfs/dbraw/zinc/26/85/66/533268566.db2.gz JNPWVEWEFCTPIX-VIFPVBQESA-N -1 1 319.285 1.361 20 0 DDADMM COC(=O)c1[n-]c(=N[C@@H](CO)Cc2ccccc2)sc1C ZINC000433614788 533647651 /nfs/dbraw/zinc/64/76/51/533647651.db2.gz GLWAPCIJFJJXDQ-GFCCVEGCSA-N -1 1 306.387 1.676 20 0 DDADMM Cc1noc([C@@H]2OCC[C@@H]2NC(=O)c2ccc([O-])c(F)c2)n1 ZINC000629788278 422814074 /nfs/dbraw/zinc/81/40/74/422814074.db2.gz OJZUFMSKMRKLIR-CMPLNLGQSA-N -1 1 307.281 1.483 20 0 DDADMM CC(C)CC(CC(C)C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353690758 285872816 /nfs/dbraw/zinc/87/28/16/285872816.db2.gz JUCZZAOEVSEUDS-UHFFFAOYSA-N -1 1 305.382 1.608 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)cc1F)C1CC1 ZINC000569222817 304342624 /nfs/dbraw/zinc/34/26/24/304342624.db2.gz PIVJAYAZOJZNSD-GFCCVEGCSA-N -1 1 316.354 1.091 20 0 DDADMM CC(C)c1nc(S(=O)(=O)NCC2=CC[N@@H+](C)CC2)cn1C ZINC000569575749 304365264 /nfs/dbraw/zinc/36/52/64/304365264.db2.gz WIRPJTAWEABFOT-UHFFFAOYSA-N -1 1 312.439 1.084 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CC[C@H](CSc2ccccc2)C1 ZINC000631546881 422826359 /nfs/dbraw/zinc/82/63/59/422826359.db2.gz MMMXOAKRJAEJMO-LBPRGKRZSA-N -1 1 317.418 1.773 20 0 DDADMM Cc1ccc(S(=O)(=O)CCCN2CCC[C@H]2C(=O)[O-])cc1 ZINC000569733230 304375724 /nfs/dbraw/zinc/37/57/24/304375724.db2.gz RQKPKGXGFXAOQD-AWEZNQCLSA-N -1 1 311.403 1.708 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCC(O)CC1 ZINC000044489776 407024255 /nfs/dbraw/zinc/02/42/55/407024255.db2.gz OXZLQMGKUIVPEB-UHFFFAOYSA-N -1 1 300.152 1.752 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCC[C@@H](O)C1 ZINC000044489780 407024621 /nfs/dbraw/zinc/02/46/21/407024621.db2.gz AMXJQHDISNPZJI-SECBINFHSA-N -1 1 300.152 1.752 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC(=O)NC(C)(C)C ZINC000078804781 407047024 /nfs/dbraw/zinc/04/70/24/407047024.db2.gz LZZHQFOHIMLIGP-UHFFFAOYSA-N -1 1 322.409 1.020 20 0 DDADMM CCNC(=O)CNC(=O)c1cc(Br)ccc1[O-] ZINC000045767007 407048279 /nfs/dbraw/zinc/04/82/79/407048279.db2.gz GRFAZICEALYDFS-UHFFFAOYSA-N -1 1 301.140 1.021 20 0 DDADMM O=C(CS(=O)(=O)c1ncn[n-]1)Nc1cc(Cl)ccc1F ZINC000086169870 407109687 /nfs/dbraw/zinc/10/96/87/407109687.db2.gz KZVUXJOCJKWYDE-UHFFFAOYSA-N -1 1 318.717 1.010 20 0 DDADMM O=C(CS(=O)(=O)c1nc[n-]n1)Nc1cc(Cl)ccc1F ZINC000086169870 407109689 /nfs/dbraw/zinc/10/96/89/407109689.db2.gz KZVUXJOCJKWYDE-UHFFFAOYSA-N -1 1 318.717 1.010 20 0 DDADMM Cc1c(CNC(=O)c2cnc3nc(C)ccc3c2[O-])cnn1C ZINC000089882989 407155587 /nfs/dbraw/zinc/15/55/87/407155587.db2.gz XUFSZYZIGSPGPF-UHFFFAOYSA-N -1 1 311.345 1.616 20 0 DDADMM Cc1c(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)cnn1C ZINC000089882989 407155590 /nfs/dbraw/zinc/15/55/90/407155590.db2.gz XUFSZYZIGSPGPF-UHFFFAOYSA-N -1 1 311.345 1.616 20 0 DDADMM CCn1c(CCNC(=O)c2cc(Cl)ccn2)n[n-]c1=S ZINC000067078005 407267496 /nfs/dbraw/zinc/26/74/96/407267496.db2.gz NGUIXQZPCDVVGW-UHFFFAOYSA-N -1 1 311.798 1.981 20 0 DDADMM Cc1cnc(C(=O)NCCc2n[n-]c(=S)n2C2CC2)cn1 ZINC000067078620 407267822 /nfs/dbraw/zinc/26/78/22/407267822.db2.gz FKFIQFKZWLGWGA-UHFFFAOYSA-N -1 1 304.379 1.347 20 0 DDADMM CC(C)(C)C(=O)NCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000101576467 407311888 /nfs/dbraw/zinc/31/18/88/407311888.db2.gz XYKMTZBGGZYKSS-UHFFFAOYSA-N -1 1 320.361 1.405 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccc(C)cc2)o1 ZINC000107698012 407378828 /nfs/dbraw/zinc/37/88/28/407378828.db2.gz GARRAPSGBWQVTH-UHFFFAOYSA-N -1 1 309.343 1.853 20 0 DDADMM CC[C@@H](O)CCNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000125156102 407380276 /nfs/dbraw/zinc/38/02/76/407380276.db2.gz JYMJEMCFBARRKW-GFCCVEGCSA-N -1 1 320.393 1.262 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2cccc3c2OCCO3)n[nH]1 ZINC000126382140 407412118 /nfs/dbraw/zinc/41/21/18/407412118.db2.gz BPVUJWXFRZHWBS-UHFFFAOYSA-N -1 1 323.374 1.934 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)CCn3cccn3)cnc2n1 ZINC000111645962 407413565 /nfs/dbraw/zinc/41/35/65/407413565.db2.gz IDLGOEYHWGSJTM-UHFFFAOYSA-N -1 1 311.345 1.613 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)CCn3cccn3)c[n-]c2n1 ZINC000111645962 407413566 /nfs/dbraw/zinc/41/35/66/407413566.db2.gz IDLGOEYHWGSJTM-UHFFFAOYSA-N -1 1 311.345 1.613 20 0 DDADMM CN1CCCN(C(=O)c2nn(-c3ccccc3)cc2[O-])CC1 ZINC000112560627 407432896 /nfs/dbraw/zinc/43/28/96/407432896.db2.gz LHQGXOLHTOLFCF-UHFFFAOYSA-N -1 1 300.362 1.356 20 0 DDADMM CN(CCN1CCOCC1)C(=O)c1ccc2ccccc2c1[O-] ZINC000170739808 407484693 /nfs/dbraw/zinc/48/46/93/407484693.db2.gz OMVSNXXMDGHJTA-UHFFFAOYSA-N -1 1 314.385 1.950 20 0 DDADMM O=S(=O)(Cc1cnc(Cl)s1)c1n[n-]c(C2CC2)n1 ZINC000151803681 407500612 /nfs/dbraw/zinc/50/06/12/407500612.db2.gz LAXQLDVUUNEUQK-UHFFFAOYSA-N -1 1 304.784 1.766 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)c2cccnc2)c1 ZINC000151517410 407450149 /nfs/dbraw/zinc/45/01/49/407450149.db2.gz JSOMNGXAAGSBAY-SECBINFHSA-N -1 1 310.331 1.501 20 0 DDADMM CCOc1cc(C(=O)NCC(=O)N(C)C)cc(Cl)c1[O-] ZINC000171013337 407573494 /nfs/dbraw/zinc/57/34/94/407573494.db2.gz BXASWQUCPNAXFG-UHFFFAOYSA-N -1 1 300.742 1.262 20 0 DDADMM COc1cccc(N2CC[C@@H](CNC(=O)c3cnn[nH]3)C2)c1 ZINC000171019769 407573574 /nfs/dbraw/zinc/57/35/74/407573574.db2.gz ZTBOQZCYNMBDDN-NSHDSACASA-N -1 1 301.350 1.070 20 0 DDADMM O=S(=O)([N-]CCCO[C@@H]1CCOC1)c1cc(F)ccc1F ZINC000152353130 407619843 /nfs/dbraw/zinc/61/98/43/407619843.db2.gz FXOXJUCRMDMYQU-LLVKDONJSA-N -1 1 321.345 1.439 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N(CC(N)=O)C(C)C ZINC000266941784 407684259 /nfs/dbraw/zinc/68/42/59/407684259.db2.gz CEKZOODPJCTLFN-UHFFFAOYSA-N -1 1 308.382 1.124 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(C)nc2C)co1 ZINC000179009149 407690910 /nfs/dbraw/zinc/69/09/10/407690910.db2.gz YJPFRLBWZPBBPZ-UHFFFAOYSA-N -1 1 309.347 1.452 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2(c3cccc(F)c3)CC2)n1 ZINC000115711298 407703962 /nfs/dbraw/zinc/70/39/62/407703962.db2.gz OJRMKHLDFKWPSB-UHFFFAOYSA-N -1 1 324.337 1.018 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)C2(c3cccc(F)c3)CC2)[n-]1 ZINC000115711298 407703966 /nfs/dbraw/zinc/70/39/66/407703966.db2.gz OJRMKHLDFKWPSB-UHFFFAOYSA-N -1 1 324.337 1.018 20 0 DDADMM CC(C)(CC(N)=O)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000186712633 407753900 /nfs/dbraw/zinc/75/39/00/407753900.db2.gz KZNXYUSJZHEZMQ-UHFFFAOYSA-N -1 1 310.297 1.036 20 0 DDADMM O=S(=O)(Cc1csc(-c2cccs2)n1)c1ncn[n-]1 ZINC000153047480 407742398 /nfs/dbraw/zinc/74/23/98/407742398.db2.gz UPRHHJLSFOOHPQ-UHFFFAOYSA-N -1 1 312.401 1.964 20 0 DDADMM O=S(=O)(Cc1csc(-c2cccs2)n1)c1nc[n-]n1 ZINC000153047480 407742401 /nfs/dbraw/zinc/74/24/01/407742401.db2.gz UPRHHJLSFOOHPQ-UHFFFAOYSA-N -1 1 312.401 1.964 20 0 DDADMM C[C@@H](CNC(=O)[C@H]1CCCN1Cc1ccccc1)CC(=O)[O-] ZINC000262195533 407762783 /nfs/dbraw/zinc/76/27/83/407762783.db2.gz IQGVMKXHCLGEQW-UKRRQHHQSA-N -1 1 304.390 1.878 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)N(CC)c2ccccc2)n[n-]1 ZINC000153148000 407762949 /nfs/dbraw/zinc/76/29/49/407762949.db2.gz IYAKMJQVPCBLIV-UHFFFAOYSA-N -1 1 322.390 1.194 20 0 DDADMM CC(C)(O)CN(C(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000186903062 407791245 /nfs/dbraw/zinc/79/12/45/407791245.db2.gz KWINZWYBSHSUQW-UHFFFAOYSA-N -1 1 301.346 1.299 20 0 DDADMM CC(=O)NC[C@H]1CN(C(=O)c2ccc(Cl)cc2[O-])CCO1 ZINC000172233451 407813557 /nfs/dbraw/zinc/81/35/57/407813557.db2.gz KOCIHYRKXSWENU-NSHDSACASA-N -1 1 312.753 1.023 20 0 DDADMM COCCCNC(=O)CN(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000132983749 407814342 /nfs/dbraw/zinc/81/43/42/407814342.db2.gz NFDOCTYTLUNMOV-UHFFFAOYSA-N -1 1 314.769 1.270 20 0 DDADMM CN(C)c1noc(CNC(=O)c2cc3ccccc3cc2[O-])n1 ZINC000187402315 407852836 /nfs/dbraw/zinc/85/28/36/407852836.db2.gz QTHZZXBYVZPEBT-UHFFFAOYSA-N -1 1 312.329 1.924 20 0 DDADMM C[C@H](C(=O)N1CCc2ccccc21)N1CCC(C(=O)[O-])CC1 ZINC000262684694 407900044 /nfs/dbraw/zinc/90/00/44/407900044.db2.gz XHXMGQYNDOHIBD-GFCCVEGCSA-N -1 1 302.374 1.761 20 0 DDADMM CCC[C@@H](NC(=O)C[C@@H]1OC(=O)c2ccccc21)c1nn[n-]n1 ZINC000268243949 407967063 /nfs/dbraw/zinc/96/70/63/407967063.db2.gz VNMRGUUVDMJCHQ-NEPJUHHUSA-N -1 1 315.333 1.459 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@@H]1C[C@H]1c1ccccc1Cl ZINC000174580432 407968220 /nfs/dbraw/zinc/96/82/20/407968220.db2.gz BQXIDPHUYQAFRK-WDEREUQCSA-N -1 1 320.780 1.604 20 0 DDADMM CCC[C@H](NC(=O)c1c[nH]c(=O)c2ccccc12)c1nn[n-]n1 ZINC000188278764 407929184 /nfs/dbraw/zinc/92/91/84/407929184.db2.gz DBEXKKYUYWGVPW-LBPRGKRZSA-N -1 1 312.333 1.312 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)N[C@H]2CCCc3cn[nH]c32)cc1 ZINC000263194386 408053571 /nfs/dbraw/zinc/05/35/71/408053571.db2.gz GRZBDMVDZSIFSB-ZDUSSCGKSA-N -1 1 314.345 1.985 20 0 DDADMM CCOC(=O)c1cc(NC(=O)[C@H]2Cc3ccccc3O2)n[nH]1 ZINC000181750536 408034743 /nfs/dbraw/zinc/03/47/43/408034743.db2.gz KLIQRXFNAZQMOX-GFCCVEGCSA-N -1 1 301.302 1.529 20 0 DDADMM CN(Cc1nccs1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119307852 408036145 /nfs/dbraw/zinc/03/61/45/408036145.db2.gz AFNZKLCHDAKEEQ-UHFFFAOYSA-N -1 1 303.347 1.403 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCC(C)(C)C2)o1 ZINC000135240179 407996070 /nfs/dbraw/zinc/99/60/70/407996070.db2.gz CCPGAGZXGAPISG-JTQLQIEISA-N -1 1 314.407 1.886 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCOc3ccccc32)co1 ZINC000119137195 408003534 /nfs/dbraw/zinc/00/35/34/408003534.db2.gz MJAXWBYMTOOOFY-UHFFFAOYSA-N -1 1 322.342 1.227 20 0 DDADMM CCc1nn(C)cc1NC(=O)c1cnc2n(C)[n-]cc-2c1=O ZINC000119479282 408080628 /nfs/dbraw/zinc/08/06/28/408080628.db2.gz FSNYRXKWKGDNLT-UHFFFAOYSA-N -1 1 300.322 1.222 20 0 DDADMM O=C(Nc1cnn(Cc2ccncc2)c1)c1ccc(O)cc1[O-] ZINC000182276870 408113378 /nfs/dbraw/zinc/11/33/78/408113378.db2.gz KQQXMKGGJLDLAW-UHFFFAOYSA-N -1 1 310.313 1.990 20 0 DDADMM CCC[C@H](NC(=O)c1cnc2onc(C)c2c1)c1nn[n-]n1 ZINC000136639386 408114585 /nfs/dbraw/zinc/11/45/85/408114585.db2.gz AKAIGHRBXCBRFE-JTQLQIEISA-N -1 1 301.310 1.316 20 0 DDADMM CCc1nc(CNC(=O)NCc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000263354519 408116936 /nfs/dbraw/zinc/11/69/36/408116936.db2.gz RABMCPQXMCXBAB-UHFFFAOYSA-N -1 1 303.322 1.065 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@@H](O)C(C)C)c(=O)[n-]1 ZINC000268632667 408129788 /nfs/dbraw/zinc/12/97/88/408129788.db2.gz MDSRWQPRODCTOL-LLVKDONJSA-N -1 1 313.423 1.278 20 0 DDADMM COc1ccc(Nc2nc(C(=O)Nc3nn[n-]n3)cs2)cc1 ZINC000175488082 408142176 /nfs/dbraw/zinc/14/21/76/408142176.db2.gz HYDNFLQKRBEFQD-UHFFFAOYSA-N -1 1 317.334 1.661 20 0 DDADMM CN(CCC(=O)[O-])[C@H]1CCN(c2ccc(Cl)c(F)c2)C1=O ZINC000263574012 408181502 /nfs/dbraw/zinc/18/15/02/408181502.db2.gz NEPUGRHEYAOTAK-LBPRGKRZSA-N -1 1 314.744 1.991 20 0 DDADMM C[S@](=O)C[C@H](NC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000175908155 408234706 /nfs/dbraw/zinc/23/47/06/408234706.db2.gz HVQSBYJGRUZFRV-QKKBWIMNSA-N -1 1 304.371 1.637 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@@H]1C1CC1 ZINC000176080096 408282317 /nfs/dbraw/zinc/28/23/17/408282317.db2.gz KSDYGGFLQVUWEF-VXGBXAGGSA-N -1 1 300.362 1.302 20 0 DDADMM CC(C)(C)NC(=O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000131027022 162004263 /nfs/dbraw/zinc/00/42/63/162004263.db2.gz QGQIIMVTXYZAOY-UHFFFAOYSA-N -1 1 322.789 1.672 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1c(F)cccc1Cl)C(N)=O ZINC000131386909 162012691 /nfs/dbraw/zinc/01/26/91/162012691.db2.gz CGVJYGAVKUTOHR-UHFFFAOYSA-N -1 1 322.789 1.802 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CCC[C@H]1CO ZINC000133187827 162053045 /nfs/dbraw/zinc/05/30/45/162053045.db2.gz OBZPVEXHCYVKMV-VIFPVBQESA-N -1 1 300.152 1.752 20 0 DDADMM C[C@H]1CC[C@@H](C[NH+]2CCN(C(=O)c3cccc(O)c3)CC2)O1 ZINC000190882896 408335721 /nfs/dbraw/zinc/33/57/21/408335721.db2.gz MOQHCBUBKJDJNT-BBRMVZONSA-N -1 1 304.390 1.718 20 0 DDADMM CC(C)C(=O)NCCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000158690159 408355164 /nfs/dbraw/zinc/35/51/64/408355164.db2.gz OIWWVOZZTNQKTQ-UHFFFAOYSA-N -1 1 320.361 1.405 20 0 DDADMM CCC(CC)(CCO)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000269847682 408447962 /nfs/dbraw/zinc/44/79/62/408447962.db2.gz QOJFKPPZEVCMMD-UHFFFAOYSA-N -1 1 323.846 1.541 20 0 DDADMM CC[C@H](CC(=O)NN1CC(=O)[N-]C1=O)c1ccc(OC)cc1 ZINC000269851033 408449107 /nfs/dbraw/zinc/44/91/07/408449107.db2.gz OMNRCPDFPAGDMQ-SNVBAGLBSA-N -1 1 305.334 1.162 20 0 DDADMM CCOc1ccc(C=CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183399622 408399232 /nfs/dbraw/zinc/39/92/32/408399232.db2.gz PAGVAJHHAUDFHZ-OFFHKIPUSA-N -1 1 315.377 1.874 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1c(F)cccc1Cl)c1nn[n-]n1 ZINC000183408479 408401143 /nfs/dbraw/zinc/40/11/43/408401143.db2.gz OISGHSCDGXGULZ-MRVPVSSYSA-N -1 1 311.748 1.797 20 0 DDADMM Cc1[nH]c2ccccc2c1CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000183411622 408403579 /nfs/dbraw/zinc/40/35/79/408403579.db2.gz GYIAEDLSEDCJML-JTQLQIEISA-N -1 1 312.377 1.794 20 0 DDADMM Cc1ccc(SCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183417175 408404695 /nfs/dbraw/zinc/40/46/95/408404695.db2.gz LIGAVWHYFCUYKV-LLVKDONJSA-N -1 1 305.407 1.862 20 0 DDADMM Cc1cc2c(cc1C)O[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)C2 ZINC000183414829 408404746 /nfs/dbraw/zinc/40/47/46/408404746.db2.gz SMQSHXOMDJPHRJ-BXUZGUMPSA-N -1 1 315.377 1.382 20 0 DDADMM O=C([O-])c1coc(C(=O)NCc2nc3ccc(F)cc3[nH]2)c1 ZINC000183789034 408488183 /nfs/dbraw/zinc/48/81/83/408488183.db2.gz XZIDFTXRUKGJFW-UHFFFAOYSA-N -1 1 303.249 1.923 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1C(F)(F)F)c1nn[n-]n1 ZINC000274787648 408531423 /nfs/dbraw/zinc/53/14/23/408531423.db2.gz PEHUHYNTXHVXPJ-SSDOTTSWSA-N -1 1 314.271 1.489 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc2c(s1)CCOC2)c1nn[n-]n1 ZINC000274755330 408520759 /nfs/dbraw/zinc/52/07/59/408520759.db2.gz ILCNOHDYRAEHNJ-QMMMGPOBSA-N -1 1 307.379 1.210 20 0 DDADMM O=C(Nc1ccc(Cc2nn[nH]n2)cc1)c1cc(F)ccc1[O-] ZINC000160785762 408523668 /nfs/dbraw/zinc/52/36/68/408523668.db2.gz ZIGJVEONIYZXLN-UHFFFAOYSA-N -1 1 313.292 1.888 20 0 DDADMM CN(C)CCN(C)S(=O)(=O)c1ccc(C(=O)[O-])c(Cl)c1 ZINC000184902840 408713719 /nfs/dbraw/zinc/71/37/19/408713719.db2.gz GYUHPAJVSQRCIX-UHFFFAOYSA-N -1 1 320.798 1.220 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccccc2CC)C1 ZINC000184782192 408688366 /nfs/dbraw/zinc/68/83/66/408688366.db2.gz PDPFZBYFDYJQFM-AWEZNQCLSA-N -1 1 320.389 1.686 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H](C)CC(C)C ZINC000184791317 408690294 /nfs/dbraw/zinc/69/02/94/408690294.db2.gz UOWYPMUXSZSEIS-SNVBAGLBSA-N -1 1 304.394 1.938 20 0 DDADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(Cc2ccc(C)cc2)C1 ZINC000184844850 408703078 /nfs/dbraw/zinc/70/30/78/408703078.db2.gz GFVPMGRXGWERQJ-HNNXBMFYSA-N -1 1 320.389 1.428 20 0 DDADMM Cn1nccc1/C=C/C(=O)Nc1nc(Br)ccc1[O-] ZINC000194575177 408786481 /nfs/dbraw/zinc/78/64/81/408786481.db2.gz DVZUSTNREYCSBU-GORDUTHDSA-N -1 1 323.150 1.935 20 0 DDADMM COC(=O)[C@@H]([N-]C(=O)C(F)(F)c1cccc(Cl)c1)[C@H](C)O ZINC000276039895 408758022 /nfs/dbraw/zinc/75/80/22/408758022.db2.gz VSWACMIKTMHNKL-XVKPBYJWSA-N -1 1 321.707 1.470 20 0 DDADMM CCNC(=O)C[C@H]1CCCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000285679816 408841648 /nfs/dbraw/zinc/84/16/48/408841648.db2.gz FBZMHFPIMUYYAK-CYBMUJFWSA-N -1 1 318.373 1.482 20 0 DDADMM CCS[C@@H]1CCC[C@@H]([N-]S(=O)(=O)c2c(C)onc2N)C1 ZINC000290882204 408848596 /nfs/dbraw/zinc/84/85/96/408848596.db2.gz YWWMTZIGCYVEEP-NXEZZACHSA-N -1 1 319.452 1.908 20 0 DDADMM CC(C)c1cc([N-]S(=O)(=O)c2ccc(N(C)C)nc2)[nH]n1 ZINC000280945894 408863817 /nfs/dbraw/zinc/86/38/17/408863817.db2.gz JDDPWOCOXNZMGS-UHFFFAOYSA-N -1 1 309.395 1.795 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)Nc1nncn1C1CC1 ZINC000285941223 408893916 /nfs/dbraw/zinc/89/39/16/408893916.db2.gz UUAPHVAIWATHIC-UHFFFAOYSA-N -1 1 316.365 1.967 20 0 DDADMM CC(C)(C)[C@@H](O)C[C@@H](CO)NC(=O)c1c(F)ccc([O-])c1F ZINC000285964053 408897770 /nfs/dbraw/zinc/89/77/70/408897770.db2.gz QCZWCSMCTNKUFE-KWQFWETISA-N -1 1 317.332 1.558 20 0 DDADMM Cc1ccnc2ccc(C(=O)N(C)C[C@H](C)c3nn[n-]n3)cc12 ZINC000286006021 408906116 /nfs/dbraw/zinc/90/61/16/408906116.db2.gz HTYRKGHUCKTAPF-NSHDSACASA-N -1 1 310.361 1.932 20 0 DDADMM C[C@H](C(=O)[N-]OCC(N)=O)c1cccc(Br)c1 ZINC000291874940 408937501 /nfs/dbraw/zinc/93/75/01/408937501.db2.gz IJTCMPQIDPVFNN-ZETCQYMHSA-N -1 1 301.140 1.086 20 0 DDADMM Cc1nnc([C@@H](C)NC(=O)CSc2n[nH]c(=S)s2)[nH]1 ZINC000286251214 408949321 /nfs/dbraw/zinc/94/93/21/408949321.db2.gz DYQYGISWWLTWKL-SCSAIBSYSA-N -1 1 316.437 1.223 20 0 DDADMM C[C@@H](O)CCN(C)C(=O)c1ccc(Br)c([O-])c1 ZINC000231350542 163350242 /nfs/dbraw/zinc/35/02/42/163350242.db2.gz KCWAPNMBUQBTEP-MRVPVSSYSA-N -1 1 302.168 1.998 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C3CCC3)CC2)n1 ZINC000277575220 408968617 /nfs/dbraw/zinc/96/86/17/408968617.db2.gz BUCNUSVMUNGHML-UHFFFAOYSA-N -1 1 306.366 1.488 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C(C)(C)c1ccccc1F ZINC000292057108 408972027 /nfs/dbraw/zinc/97/20/27/408972027.db2.gz OHLJRPDNJRUAMZ-UHFFFAOYSA-N -1 1 313.354 1.918 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)C[C@@H](C)[S@@]1=O ZINC000287633592 409067815 /nfs/dbraw/zinc/06/78/15/409067815.db2.gz ZSRJUJZOFMVOFY-UODZXCQVSA-N -1 1 306.391 1.330 20 0 DDADMM CCCc1cc(=O)[n-]c(SCCCNS(=O)(=O)CC)n1 ZINC000277776473 409010274 /nfs/dbraw/zinc/01/02/74/409010274.db2.gz TZZMWEWZIDGHAM-UHFFFAOYSA-N -1 1 319.452 1.556 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1)c1cccnc1 ZINC000282857221 409068453 /nfs/dbraw/zinc/06/84/53/409068453.db2.gz GZAPYPDHFCCMBH-ZYHUDNBSSA-N -1 1 301.350 1.003 20 0 DDADMM COCCS(=O)(=O)Cc1nc(-c2ccc([O-])cc2F)no1 ZINC000278071339 409060694 /nfs/dbraw/zinc/06/06/94/409060694.db2.gz FNAQOISXRCMNFB-UHFFFAOYSA-N -1 1 316.310 1.143 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3CCC[C@H]3CCO)ccnc1-2 ZINC000287662282 409072351 /nfs/dbraw/zinc/07/23/51/409072351.db2.gz PSOMRUVZMGUVET-KMDFQCFCSA-N -1 1 303.366 1.014 20 0 DDADMM CCOC(=O)Cc1nnc([N-]C(=O)c2oc(CC)nc2C)s1 ZINC000293361460 409097605 /nfs/dbraw/zinc/09/76/05/409097605.db2.gz DSWQZVYFLVGXJM-UHFFFAOYSA-N -1 1 324.362 1.755 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C[N@@H+]1C1CC1 ZINC000283253107 409122473 /nfs/dbraw/zinc/12/24/73/409122473.db2.gz CQHVVQPGWNAGMP-GXSJLCMTSA-N -1 1 317.418 1.373 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]Cc2cccn2C)c(C(F)(F)F)n1 ZINC000293548004 409135061 /nfs/dbraw/zinc/13/50/61/409135061.db2.gz QXHLREFHQPXKLI-UHFFFAOYSA-N -1 1 322.312 1.256 20 0 DDADMM O=C(N[C@@H](CO)CC1CCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000293672532 409157863 /nfs/dbraw/zinc/15/78/63/409157863.db2.gz GMZBZWRJTLMHKH-LLVKDONJSA-N -1 1 306.391 1.299 20 0 DDADMM CC(C)Nc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cn1 ZINC000280159973 409261253 /nfs/dbraw/zinc/26/12/53/409261253.db2.gz ICEIKXOOBPZCCH-SNVBAGLBSA-N -1 1 303.370 1.291 20 0 DDADMM CCC[C@@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000279402968 409207213 /nfs/dbraw/zinc/20/72/13/409207213.db2.gz RVJDFWOBJSQYRZ-SHTJFRFBSA-N -1 1 303.366 1.375 20 0 DDADMM C[C@@H]1CCN(C(=O)c2c(F)ccc([O-])c2F)CC[S@@]1=O ZINC000290075978 409297409 /nfs/dbraw/zinc/29/74/09/409297409.db2.gz GBHAOVSTEUVEAE-SQFXPLBJSA-N -1 1 303.330 1.654 20 0 DDADMM C[C@@H]1CCSCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295665788 409362706 /nfs/dbraw/zinc/36/27/06/409362706.db2.gz ISZUHRZXURNUJP-SECBINFHSA-N -1 1 308.432 1.986 20 0 DDADMM Cc1ccc2nc(CNC(=O)N3CC[C@@H](C(=O)[O-])C3)[nH]c2c1 ZINC000263149404 163921788 /nfs/dbraw/zinc/92/17/88/163921788.db2.gz AWULICAAKMUUQF-SNVBAGLBSA-N -1 1 302.334 1.487 20 0 DDADMM CCC(O)(CC)CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295296600 409419139 /nfs/dbraw/zinc/41/91/39/409419139.db2.gz FCOGENLZYPMGLZ-UHFFFAOYSA-N -1 1 308.407 1.689 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)[N-]c1c(C)ccnc1Cl ZINC000296126318 409438635 /nfs/dbraw/zinc/43/86/35/409438635.db2.gz YUGWGZMJIWTBFJ-UHFFFAOYSA-N -1 1 300.771 1.886 20 0 DDADMM CCOc1cc(C(=O)NCc2nccc(N)n2)cc(Cl)c1[O-] ZINC000186984756 306718419 /nfs/dbraw/zinc/71/84/19/306718419.db2.gz HAHDIJXJIYIOIE-UHFFFAOYSA-N -1 1 322.752 1.747 20 0 DDADMM O=S(=O)([N-]CC[C@H](O)C(F)(F)F)c1ccc(F)cc1F ZINC000350009842 164110217 /nfs/dbraw/zinc/11/02/17/164110217.db2.gz KMRZUPCECYWIPI-VIFPVBQESA-N -1 1 319.251 1.556 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000408410687 164323215 /nfs/dbraw/zinc/32/32/15/164323215.db2.gz XDBGTKPRUHVHCU-JSGCOSHPSA-N -1 1 302.374 1.660 20 0 DDADMM CO[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000342093874 409556543 /nfs/dbraw/zinc/55/65/43/409556543.db2.gz GIBCQVVSGTXTLO-RQJHMYQMSA-N -1 1 312.185 1.744 20 0 DDADMM CO[C@H](C)c1nc(=NCCC(=O)NC2CCCCC2)s[n-]1 ZINC000337911725 409559010 /nfs/dbraw/zinc/55/90/10/409559010.db2.gz JMZXXDCFLXKQDH-SNVBAGLBSA-N -1 1 312.439 1.918 20 0 DDADMM CO[C@@H](C)c1nc(=NCCCOC[C@@H]2CCCO2)s[n-]1 ZINC000337916731 409562923 /nfs/dbraw/zinc/56/29/23/409562923.db2.gz RJDBRMMGEJCVAJ-QWRGUYRKSA-N -1 1 301.412 1.665 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cc(O)cc(F)c2)cc1C(N)=O ZINC000348885014 409570097 /nfs/dbraw/zinc/57/00/97/409570097.db2.gz GPTJQKBWPZMHIA-UHFFFAOYSA-N -1 1 324.333 1.739 20 0 DDADMM CS[C@@H]1CCC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000337919175 409567708 /nfs/dbraw/zinc/56/77/08/409567708.db2.gz XIMIUGOHIFDQJK-GHMZBOCLSA-N -1 1 323.418 1.307 20 0 DDADMM COC(=O)C1(CN=c2[n-]c([C@@H](C)OC)ns2)CCOCC1 ZINC000337862768 409518901 /nfs/dbraw/zinc/51/89/01/409518901.db2.gz JLLMSKHSWRURIE-SECBINFHSA-N -1 1 315.395 1.049 20 0 DDADMM COC(=O)C1(CN=c2nc([C@@H](C)OC)[n-]s2)CCOCC1 ZINC000337862768 409518905 /nfs/dbraw/zinc/51/89/05/409518905.db2.gz JLLMSKHSWRURIE-SECBINFHSA-N -1 1 315.395 1.049 20 0 DDADMM CO[C@@H](C)c1nc(=NCCCOCC2CCOCC2)s[n-]1 ZINC000337883893 409537381 /nfs/dbraw/zinc/53/73/81/409537381.db2.gz WMILWTHGYWZSEZ-NSHDSACASA-N -1 1 315.439 1.913 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCOC(F)(F)F ZINC000356831507 409645897 /nfs/dbraw/zinc/64/58/97/409645897.db2.gz XQCKZDPIKFNAOZ-UHFFFAOYSA-N -1 1 311.285 1.479 20 0 DDADMM CC(C)[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)c1ncc[nH]1 ZINC000296866137 409676073 /nfs/dbraw/zinc/67/60/73/409676073.db2.gz ZEQJNHODNIHUOA-NSHDSACASA-N -1 1 316.390 1.868 20 0 DDADMM CCc1oc(C(=O)OC)cc1S(=O)(=O)[N-]CC(F)(F)F ZINC000342601315 409756165 /nfs/dbraw/zinc/75/61/65/409756165.db2.gz XGHQVBMCVGACPE-UHFFFAOYSA-N -1 1 315.269 1.469 20 0 DDADMM COCC[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C(C)(C)C ZINC000338166318 409766968 /nfs/dbraw/zinc/76/69/68/409766968.db2.gz QYJWBOOMIVMOKI-LBPRGKRZSA-N -1 1 323.393 1.084 20 0 DDADMM CC1(C)CCC[C@H]1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331690544 409770290 /nfs/dbraw/zinc/77/02/90/409770290.db2.gz WPIBJYSLEWPBQX-SNVBAGLBSA-N -1 1 324.454 1.361 20 0 DDADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC=C(c2ccccc2)C1 ZINC000357007363 409789803 /nfs/dbraw/zinc/78/98/03/409789803.db2.gz ONDMFMXRDPBBOM-UHFFFAOYSA-N -1 1 321.340 1.347 20 0 DDADMM CC[C@@H](O)[C@@H](CC)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000313140013 409797820 /nfs/dbraw/zinc/79/78/20/409797820.db2.gz HOKALVWOBXVYPI-RKDXNWHRSA-N -1 1 311.325 1.932 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2C[C@@H](OC)C2(C)C)c1 ZINC000338227682 409818328 /nfs/dbraw/zinc/81/83/28/409818328.db2.gz VBHRKBUJHKIOIK-NXEZZACHSA-N -1 1 317.363 1.158 20 0 DDADMM O=C([O-])c1cccc(CNC(=O)N2CCN3CCCC[C@@H]3C2)c1 ZINC000321718123 409912146 /nfs/dbraw/zinc/91/21/46/409912146.db2.gz NAVOGKZXSWKREA-OAHLLOKOSA-N -1 1 317.389 1.765 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-][C@H](CC(C)C)C(=O)OC(C)C ZINC000349582461 409878615 /nfs/dbraw/zinc/87/86/15/409878615.db2.gz GVTGAZBCISCZCI-GFCCVEGCSA-N -1 1 323.455 1.697 20 0 DDADMM COc1cccc(NC(=O)CN2CC[C@H](C(=O)[O-])[C@@H](C)C2)c1 ZINC000319356570 409895021 /nfs/dbraw/zinc/89/50/21/409895021.db2.gz NVDIJYHBXPWTEB-FZMZJTMJSA-N -1 1 306.362 1.676 20 0 DDADMM O=C(CCC1CCCCC1)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297860330 410025992 /nfs/dbraw/zinc/02/59/92/410025992.db2.gz YMSKKDFHJSZYHE-UHFFFAOYSA-N -1 1 305.382 1.996 20 0 DDADMM O=C(Nc1cn[nH]c1)[C@H]1CCN(C(=O)c2cc(F)ccc2[O-])C1 ZINC000633301699 422852826 /nfs/dbraw/zinc/85/28/26/422852826.db2.gz TYRAVVYQHKAXIQ-VIFPVBQESA-N -1 1 318.308 1.355 20 0 DDADMM C[C@@H](CCc1ccccc1F)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354758127 410065496 /nfs/dbraw/zinc/06/54/96/410065496.db2.gz DJOFMSZNHNWOQX-JTQLQIEISA-N -1 1 305.357 1.959 20 0 DDADMM COc1ccc(=NC(=O)NC[C@H](c2ccccc2)N(C)C)[n-]n1 ZINC000346685580 410083463 /nfs/dbraw/zinc/08/34/63/410083463.db2.gz YKIHAMDZVZANBG-CYBMUJFWSA-N -1 1 315.377 1.332 20 0 DDADMM Cc1cc(C)cc(OCCC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000354798147 410097120 /nfs/dbraw/zinc/09/71/20/410097120.db2.gz CGZGWVIOBWEVMG-UHFFFAOYSA-N -1 1 303.366 1.637 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@H](c3nc(C4CC4)no3)C2)c([O-])c1 ZINC000329184504 410151874 /nfs/dbraw/zinc/15/18/74/410151874.db2.gz OIFSGLANUPHISZ-NSHDSACASA-N -1 1 314.345 1.986 20 0 DDADMM CO[C@@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ccccc1 ZINC000332383775 410115942 /nfs/dbraw/zinc/11/59/42/410115942.db2.gz BJPMSZOFIFOAIG-QWHCGFSZSA-N -1 1 317.345 1.869 20 0 DDADMM N=c1[n-]nc(CNC(=O)c2cn[nH]c2-c2ccc(Cl)s2)o1 ZINC000354851190 410127289 /nfs/dbraw/zinc/12/72/89/410127289.db2.gz PQKVZOWJIPLXJF-UHFFFAOYSA-N -1 1 324.753 1.517 20 0 DDADMM Cn1[n-]c(CN2CCN(c3ccc(Cl)cc3)CC2)nc1=O ZINC000332424868 410146124 /nfs/dbraw/zinc/14/61/24/410146124.db2.gz SXXXUYSELGUVDR-UHFFFAOYSA-N -1 1 307.785 1.084 20 0 DDADMM Cn1[n-]c(CN2CCO[C@@H](c3ccc(Cl)cc3)C2)nc1=O ZINC000329257223 410198119 /nfs/dbraw/zinc/19/81/19/410198119.db2.gz BVGBLLUPFDWFLB-GFCCVEGCSA-N -1 1 308.769 1.335 20 0 DDADMM C[C@H](Cn1ccnc1)[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000298507912 410264340 /nfs/dbraw/zinc/26/43/40/410264340.db2.gz OQOQKKTUPCBVTF-SECBINFHSA-N -1 1 301.318 1.528 20 0 DDADMM O=C(NC[C@H](CO)Cc1ccc(F)c(F)c1)c1cncc([O-])c1 ZINC000355104325 410318414 /nfs/dbraw/zinc/31/84/14/410318414.db2.gz LIEUQGQZEXXCSJ-LLVKDONJSA-N -1 1 322.311 1.646 20 0 DDADMM Cc1ccccc1[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)CO1 ZINC000329598771 410379407 /nfs/dbraw/zinc/37/94/07/410379407.db2.gz PSDSGRBYEZHACQ-JSGCOSHPSA-N -1 1 302.378 1.379 20 0 DDADMM COC(=O)C(C)(C)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000358547810 410481464 /nfs/dbraw/zinc/48/14/64/410481464.db2.gz NMVJYHSZZGUPAZ-UHFFFAOYSA-N -1 1 315.753 1.983 20 0 DDADMM CC(C)[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1ncon1 ZINC000355328581 410445982 /nfs/dbraw/zinc/44/59/82/410445982.db2.gz AZQOLNZZJPUJAZ-SNVBAGLBSA-N -1 1 316.321 1.184 20 0 DDADMM O=C(Cc1ccc(F)cc1F)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000298907562 410446208 /nfs/dbraw/zinc/44/62/08/410446208.db2.gz LNGGOGREMRYSAP-SNVBAGLBSA-N -1 1 322.315 1.325 20 0 DDADMM Cc1cnc(C(=O)NCCOc2ccc3c(c2)OCO3)c([O-])c1 ZINC000358618386 410496306 /nfs/dbraw/zinc/49/63/06/410496306.db2.gz UGTGSELLZKFUTP-UHFFFAOYSA-N -1 1 316.313 1.633 20 0 DDADMM CCC(CC)n1ncc(C(=O)NC(C)(C)c2nn[n-]n2)c1C ZINC000339843918 410496315 /nfs/dbraw/zinc/49/63/15/410496315.db2.gz QXCYECWZHOZKND-UHFFFAOYSA-N -1 1 305.386 1.731 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H](C)c1cccnc1 ZINC000352148053 410509817 /nfs/dbraw/zinc/50/98/17/410509817.db2.gz KCBWLXSVGWYPIH-QMMMGPOBSA-N -1 1 304.306 1.125 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnc(C3CC3)n2)n1 ZINC000352151910 410513380 /nfs/dbraw/zinc/51/33/80/410513380.db2.gz WXKXKUKUQBJPDQ-UHFFFAOYSA-N -1 1 301.306 1.506 20 0 DDADMM Cc1c(NC(=O)c2cncc([O-])c2)cccc1N1CCNC1=O ZINC000355493921 410546518 /nfs/dbraw/zinc/54/65/18/410546518.db2.gz DEHBGBPRLLTPEZ-UHFFFAOYSA-N -1 1 312.329 1.878 20 0 DDADMM CC(C)c1ncc(Cl)c(C(=O)NC2(c3nn[n-]n3)CC2)n1 ZINC000348298055 410906710 /nfs/dbraw/zinc/90/67/10/410906710.db2.gz NSAFDOOPBLTDRV-UHFFFAOYSA-N -1 1 307.745 1.186 20 0 DDADMM COc1ccc(Cl)cc1NCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000348298756 410907956 /nfs/dbraw/zinc/90/79/56/410907956.db2.gz UFVORLZPBZKJHY-UHFFFAOYSA-N -1 1 322.756 1.079 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCCCS2)c1 ZINC000344047352 410917876 /nfs/dbraw/zinc/91/78/76/410917876.db2.gz KMDLMZGLXOQFRM-SNVBAGLBSA-N -1 1 319.404 1.630 20 0 DDADMM C[S@](=O)Cc1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC000344083540 410947270 /nfs/dbraw/zinc/94/72/70/410947270.db2.gz IZKUCRBGHMYFQO-NRFANRHFSA-N -1 1 304.371 1.596 20 0 DDADMM CN(Cc1nc(=O)n(C)[n-]1)Cc1ccc(OC(F)(F)F)cc1 ZINC000353497581 411029593 /nfs/dbraw/zinc/02/95/93/411029593.db2.gz DHIGDEVYPFMTKS-UHFFFAOYSA-N -1 1 316.283 1.639 20 0 DDADMM CCCCOCCCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000631645511 422867354 /nfs/dbraw/zinc/86/73/54/422867354.db2.gz RPSIIFIDFKRKDQ-UHFFFAOYSA-N -1 1 317.407 1.886 20 0 DDADMM O=C(NCCN1CC[C@H](O)C1)c1cccc(C(F)(F)F)c1[O-] ZINC000629917729 422874656 /nfs/dbraw/zinc/87/46/56/422874656.db2.gz MGOZIMGWOQQYAW-VIFPVBQESA-N -1 1 318.295 1.207 20 0 DDADMM O=C(N[C@H]1CCN(C2CCC2)C1=O)c1ccc(Cl)cc1[O-] ZINC000629929202 422883167 /nfs/dbraw/zinc/88/31/67/422883167.db2.gz IDPAUIFJMAOTNT-LBPRGKRZSA-N -1 1 308.765 1.929 20 0 DDADMM CCO[C@H](C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)C1CC1 ZINC000629952072 422892341 /nfs/dbraw/zinc/89/23/41/422892341.db2.gz QFXYYHRITULIQE-OLZOCXBDSA-N -1 1 301.350 1.220 20 0 DDADMM Cc1cc(C(F)F)oc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000629952856 422892351 /nfs/dbraw/zinc/89/23/51/422892351.db2.gz GTQBPYWMNUUFRY-UHFFFAOYSA-N -1 1 320.277 1.300 20 0 DDADMM Cc1cc(C(F)F)oc1C(=O)Nc1n[n-]c(S(C)(=O)=O)n1 ZINC000629952856 422892355 /nfs/dbraw/zinc/89/23/55/422892355.db2.gz GTQBPYWMNUUFRY-UHFFFAOYSA-N -1 1 320.277 1.300 20 0 DDADMM COC(=O)[C@@H](Cc1ccncc1)NC(=O)c1ncc(C)cc1[O-] ZINC000580094515 422889176 /nfs/dbraw/zinc/88/91/76/422889176.db2.gz ZIDSMGVLJRTFAB-GFCCVEGCSA-N -1 1 315.329 1.005 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2[C@H](C)CCC[C@H]2C)co1 ZINC000130551194 196089187 /nfs/dbraw/zinc/08/91/87/196089187.db2.gz TUJVSUHFCLOFPE-NXEZZACHSA-N -1 1 300.380 1.591 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]Cc2cccc3c2OCO3)sn1 ZINC000631782990 422921495 /nfs/dbraw/zinc/92/14/95/422921495.db2.gz DQAHBXUBPJYQRA-UHFFFAOYSA-N -1 1 312.372 1.659 20 0 DDADMM CCO[C@H]1CCCN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000631795899 422926155 /nfs/dbraw/zinc/92/61/55/422926155.db2.gz OXFJWCZZGGBWEP-LBPRGKRZSA-N -1 1 315.391 1.590 20 0 DDADMM COc1ccc(CCNC(=O)CCc2nn[n-]n2)c(Cl)c1 ZINC000631802629 422928313 /nfs/dbraw/zinc/92/83/13/422928313.db2.gz ZPNCNXCMUYCADU-UHFFFAOYSA-N -1 1 309.757 1.153 20 0 DDADMM O=C(N[C@H]1CCCN(CC(F)(F)F)C1=O)c1ncccc1[O-] ZINC000132598907 196268980 /nfs/dbraw/zinc/26/89/80/196268980.db2.gz ZMKJFJRJHSHPQI-QMMMGPOBSA-N -1 1 317.267 1.070 20 0 DDADMM Cc1cccc2nc(CCN=c3ccc(C(=O)N(C)C)n[n-]3)cn21 ZINC000645421823 423028340 /nfs/dbraw/zinc/02/83/40/423028340.db2.gz XWQBSOQYVICKIS-UHFFFAOYSA-N -1 1 324.388 1.211 20 0 DDADMM COc1ccc([C@@H](CO)N(C)C(=O)c2ncccc2[O-])cc1 ZINC000134163337 196366855 /nfs/dbraw/zinc/36/68/55/196366855.db2.gz DWNICNFVTNFISC-CYBMUJFWSA-N -1 1 302.330 1.601 20 0 DDADMM C[C@H]1[C@H](C(=O)[O-])CCN1CCCS(=O)(=O)c1ccccc1 ZINC000652504018 423046726 /nfs/dbraw/zinc/04/67/26/423046726.db2.gz ONJNNYHERFRKER-GXTWGEPZSA-N -1 1 311.403 1.645 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cn(-c2ccc(F)cc2C)nn1 ZINC000647815751 423057770 /nfs/dbraw/zinc/05/77/70/423057770.db2.gz JTGQALGBNDOSRQ-UHFFFAOYSA-N -1 1 316.296 1.704 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1sccc1Cl)c1ncn(C)n1 ZINC000571631687 304475664 /nfs/dbraw/zinc/47/56/64/304475664.db2.gz PTZQRSYVFCSMOQ-LURJTMIESA-N -1 1 306.800 1.570 20 0 DDADMM C[C@@H](CCO)C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645572504 423092489 /nfs/dbraw/zinc/09/24/89/423092489.db2.gz KLARQFCCRDPIPL-QMMMGPOBSA-N -1 1 312.313 1.397 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC(F)(F)C2)co1 ZINC000652745264 423114700 /nfs/dbraw/zinc/11/47/00/423114700.db2.gz NPYOXFJTNNEGQC-UHFFFAOYSA-N -1 1 308.306 1.059 20 0 DDADMM CSc1nc(CNC(=O)CCc2ccccn2)cc(=O)[n-]1 ZINC000640656888 423117323 /nfs/dbraw/zinc/11/73/23/423117323.db2.gz WUPAHGKQGMCXFD-UHFFFAOYSA-N -1 1 304.375 1.548 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCO1 ZINC000645637509 423119837 /nfs/dbraw/zinc/11/98/37/423119837.db2.gz SSVHDHNMLVHLRF-RKDXNWHRSA-N -1 1 324.324 1.946 20 0 DDADMM COc1ccc(NC(=O)c2ccc[nH]2)cc1[N-]S(C)(=O)=O ZINC000192600170 418525344 /nfs/dbraw/zinc/52/53/44/418525344.db2.gz PXWFADHRKYHQMT-UHFFFAOYSA-N -1 1 309.347 1.647 20 0 DDADMM COc1n[n-]c(=NC(=O)N2CCCC[C@@H]2c2n[nH]c(C)n2)s1 ZINC000374684350 418556233 /nfs/dbraw/zinc/55/62/33/418556233.db2.gz FEKIGZXQZUXJRC-MRVPVSSYSA-N -1 1 323.382 1.154 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C[C@H](CO)O1 ZINC000187948179 222002926 /nfs/dbraw/zinc/00/29/26/222002926.db2.gz XWRQXTHEODBQNC-WCBMZHEXSA-N -1 1 319.279 1.633 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H](C)C(=O)Nc2cc(C)no2)n1 ZINC000188123455 222007334 /nfs/dbraw/zinc/00/73/34/222007334.db2.gz LKVIYNVDLZYKIC-MRVPVSSYSA-N -1 1 308.363 1.748 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cn2ccnc2s1 ZINC000188265174 222011019 /nfs/dbraw/zinc/01/10/19/222011019.db2.gz BYTCOFJBEHJJND-UHFFFAOYSA-N -1 1 302.241 1.785 20 0 DDADMM CC(C)(C(=O)[O-])n1cc(NC(=O)c2cccc3cn[nH]c32)cn1 ZINC000192990107 222141977 /nfs/dbraw/zinc/14/19/77/222141977.db2.gz WDPJZCVYHKCTKU-UHFFFAOYSA-N -1 1 313.317 1.831 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H](C)c2nc(N)nc(N(C)C)n2)n1 ZINC000195072510 222190426 /nfs/dbraw/zinc/19/04/26/222190426.db2.gz CZMNXLFYGRQXAF-SSDOTTSWSA-N -1 1 321.410 1.019 20 0 DDADMM C[C@@H](CSc1nc(C2CC2)cc(=O)[n-]1)CS(C)(=O)=O ZINC000195081734 222190708 /nfs/dbraw/zinc/19/07/08/222190708.db2.gz WMARXJHARVZTIU-QMMMGPOBSA-N -1 1 302.421 1.832 20 0 DDADMM Cc1[nH]c2nc(S(=O)(=O)CCF)nc-2cc1Br ZINC000361505098 418665239 /nfs/dbraw/zinc/66/52/39/418665239.db2.gz JSPAVLKJSIGTCT-UHFFFAOYSA-N -1 1 322.159 1.772 20 0 DDADMM Cc1[n-]c2nc(S(=O)(=O)CCF)nc-2cc1Br ZINC000361505098 418665242 /nfs/dbraw/zinc/66/52/42/418665242.db2.gz JSPAVLKJSIGTCT-UHFFFAOYSA-N -1 1 322.159 1.772 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1occ2c1CCOC2 ZINC000375641779 418667949 /nfs/dbraw/zinc/66/79/49/418667949.db2.gz OYXJKLIRFJFYFX-UHFFFAOYSA-N -1 1 302.212 1.742 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@H](Oc2ccccc2)C1 ZINC000368133932 418694400 /nfs/dbraw/zinc/69/44/00/418694400.db2.gz WSFQNAWOMQQDCU-LBPRGKRZSA-N -1 1 323.374 1.238 20 0 DDADMM CC(C)(C)NC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000361698602 418699140 /nfs/dbraw/zinc/69/91/40/418699140.db2.gz JFXFBEJZDJKXAW-UHFFFAOYSA-N -1 1 306.366 1.053 20 0 DDADMM O=C(NCC[C@]1(O)CCOC1)c1ccc2ccccc2c1[O-] ZINC000403271797 418769059 /nfs/dbraw/zinc/76/90/59/418769059.db2.gz KEYVPGKABKUQTK-KRWDZBQOSA-N -1 1 301.342 1.817 20 0 DDADMM Cc1noc([C@@H]2COCCN2C(=O)c2ncc(C)cc2[O-])n1 ZINC000408063865 418785268 /nfs/dbraw/zinc/78/52/68/418785268.db2.gz JTLRYDYTQFIKNM-JTQLQIEISA-N -1 1 304.306 1.001 20 0 DDADMM Cc1cc(=O)[nH]c([C@@H](C)[NH+]2CCC(C(=O)NC3CC3)CC2)n1 ZINC000364624926 418794699 /nfs/dbraw/zinc/79/46/99/418794699.db2.gz VSZSGSDQJQPWLJ-LLVKDONJSA-N -1 1 304.394 1.542 20 0 DDADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(c2ccccc2OC)C1 ZINC000372323402 418855999 /nfs/dbraw/zinc/85/59/99/418855999.db2.gz CAJCWCRPHGUMFM-GFCCVEGCSA-N -1 1 322.361 1.132 20 0 DDADMM C[C@H]1CC[S@](=O)CCN1Cc1cc(=O)oc2cc([O-])ccc12 ZINC000365445144 418861699 /nfs/dbraw/zinc/86/16/99/418861699.db2.gz BZMDMQYXYYUONU-SAHAZLINSA-N -1 1 321.398 1.842 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cc(C)n(C(C)C)n1 ZINC000410663046 418836564 /nfs/dbraw/zinc/83/65/64/418836564.db2.gz MPHCFBVYDAKGTN-UHFFFAOYSA-N -1 1 319.365 1.357 20 0 DDADMM Cc1nc(CO[C@H]2CCN(C(=O)c3ncccc3[O-])C2)cs1 ZINC000365320138 418851718 /nfs/dbraw/zinc/85/17/18/418851718.db2.gz RNEMSCFPUYUURC-LBPRGKRZSA-N -1 1 319.386 1.983 20 0 DDADMM COCC1([N-]S(=O)(=O)c2ncccc2C(F)(F)F)CCC1 ZINC000645699521 423147843 /nfs/dbraw/zinc/14/78/43/423147843.db2.gz ZPOOFSGZEQYWEM-UHFFFAOYSA-N -1 1 324.324 1.948 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CC[C@@H]2CCOC2)c(F)c1 ZINC000425169802 228378300 /nfs/dbraw/zinc/37/83/00/228378300.db2.gz LFICZFXXTCDQFV-SNVBAGLBSA-N -1 1 305.346 1.978 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@H](CC(C)C)C(N)=O)[nH][n-]2)s1 ZINC000411807019 419419110 /nfs/dbraw/zinc/41/91/10/419419110.db2.gz RBYKQIPDEREYCF-SNVBAGLBSA-N -1 1 320.418 1.955 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cnccc1-n1cc(C)cn1)OC ZINC000421233922 419532331 /nfs/dbraw/zinc/53/23/31/419532331.db2.gz MPHHSYGECSGFLR-LBPRGKRZSA-N -1 1 324.406 1.742 20 0 DDADMM CCOCCCN(C[C@@H](C)C(=O)OC)C(=O)c1ncccc1[O-] ZINC000427448663 419662580 /nfs/dbraw/zinc/66/25/80/419662580.db2.gz BWWVYXBAYVGASX-GFCCVEGCSA-N -1 1 324.377 1.465 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NC[C@@H]1C[C@@H]1C ZINC000298923812 228833223 /nfs/dbraw/zinc/83/32/23/228833223.db2.gz BAOAXBRRAZNALP-GWCFXTLKSA-N -1 1 312.373 1.867 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)CC(=O)NCC3CC3)[nH][n-]2)s1 ZINC000427888347 419763733 /nfs/dbraw/zinc/76/37/33/419763733.db2.gz WSSITWJUBUHOIC-UHFFFAOYSA-N -1 1 318.402 1.723 20 0 DDADMM Cc1cnc(C(=O)N[C@@H](CO)c2c(F)cccc2F)c([O-])c1 ZINC000428019439 419789780 /nfs/dbraw/zinc/78/97/80/419789780.db2.gz ZHDIVHAUMUECEP-NSHDSACASA-N -1 1 308.284 1.837 20 0 DDADMM COCCN(C(=O)c1csc(=NC2CC2)[n-]1)[C@@H]1CCOC1 ZINC000428542602 419890670 /nfs/dbraw/zinc/89/06/70/419890670.db2.gz FAEJYSCMHFLYBZ-LLVKDONJSA-N -1 1 311.407 1.017 20 0 DDADMM Cc1cnc(C(=O)N(C)Cc2ncnn2CC(F)F)c([O-])c1 ZINC000428828830 419937443 /nfs/dbraw/zinc/93/74/43/419937443.db2.gz KNHBRTXNIWGLJX-UHFFFAOYSA-N -1 1 311.292 1.224 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCCc3nn(C)cc32)n1 ZINC000415652221 420135648 /nfs/dbraw/zinc/13/56/48/420135648.db2.gz VHAZFTLJURFTDP-SECBINFHSA-N -1 1 317.349 1.379 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2cc(-c3ccncc3)n[nH]2)cn1 ZINC000430071221 420077631 /nfs/dbraw/zinc/07/76/31/420077631.db2.gz MOQCSWDJVDYCAN-UHFFFAOYSA-N -1 1 315.358 1.976 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1ccccc1C ZINC000416122339 420249741 /nfs/dbraw/zinc/24/97/41/420249741.db2.gz JCLBBNFPZOKPEA-UHFFFAOYSA-N -1 1 303.318 1.177 20 0 DDADMM Cn1cc(NC(=O)C(=O)c2ccc([O-])cc2)c(C(F)(F)F)n1 ZINC000436566348 420338792 /nfs/dbraw/zinc/33/87/92/420338792.db2.gz XEQMXUOZWQAMKV-UHFFFAOYSA-N -1 1 313.235 1.966 20 0 DDADMM C[C@@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)Nc1ccccc1 ZINC000436694473 420355947 /nfs/dbraw/zinc/35/59/47/420355947.db2.gz AWAWKQUEPPSMBY-LLVKDONJSA-N -1 1 312.325 1.718 20 0 DDADMM CS(=O)(=O)C[C@H]1CCN(C(=O)c2ccc([O-])cc2F)C1 ZINC000436711704 420357319 /nfs/dbraw/zinc/35/73/19/420357319.db2.gz KFUWGZJVOHCDPA-VIFPVBQESA-N -1 1 301.339 1.038 20 0 DDADMM O=C(NCc1ccc2[nH]c(=O)[nH]c2c1)C(=O)c1ccc([O-])cc1 ZINC000436706204 420358890 /nfs/dbraw/zinc/35/88/90/420358890.db2.gz LVBRRWFDXJTDRW-UHFFFAOYSA-N -1 1 311.297 1.473 20 0 DDADMM CNC(=O)N[C@@H]1CCN(C(=O)c2cc3ccccc3cc2[O-])C1 ZINC000436810312 420369885 /nfs/dbraw/zinc/36/98/85/420369885.db2.gz XJRSLOBLVCWTFQ-CYBMUJFWSA-N -1 1 313.357 1.689 20 0 DDADMM Cc1ccc(S(=O)(=O)Nc2ccc(C(=O)[O-])c(O)c2)cn1 ZINC000436885644 420379893 /nfs/dbraw/zinc/37/98/93/420379893.db2.gz IFKAJKGUCSFXMO-UHFFFAOYSA-N -1 1 308.315 1.595 20 0 DDADMM Cc1ccn2cc(CNC(=O)C(=O)c3ccc([O-])cc3)nc2c1 ZINC000436996672 420392088 /nfs/dbraw/zinc/39/20/88/420392088.db2.gz UTMJWGQBEGALPI-UHFFFAOYSA-N -1 1 309.325 1.847 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-][C@H](CO)c1c(F)cccc1F ZINC000443261630 230001511 /nfs/dbraw/zinc/00/15/11/230001511.db2.gz KXEHAZDBNPICDN-JOYOIKCWSA-N -1 1 321.345 1.097 20 0 DDADMM CCc1ccnc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)c1 ZINC000437720036 420419961 /nfs/dbraw/zinc/41/99/61/420419961.db2.gz FYLYWQMKEVVHCY-UHFFFAOYSA-N -1 1 311.345 1.561 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CS[C@H](C)C2)o1 ZINC000416602966 420430845 /nfs/dbraw/zinc/43/08/45/420430845.db2.gz XQPGYMVKHSHFFO-RKDXNWHRSA-N -1 1 319.404 1.629 20 0 DDADMM Cc1nc2ccccc2nc1SCC(=O)Nc1nnn[n-]1 ZINC000439268617 420505319 /nfs/dbraw/zinc/50/53/19/420505319.db2.gz GCLNUMNTQAYXMO-UHFFFAOYSA-N -1 1 301.335 1.182 20 0 DDADMM Cc1nc2ccccc2nc1SCC(=O)Nc1nn[n-]n1 ZINC000439268617 420505320 /nfs/dbraw/zinc/50/53/20/420505320.db2.gz GCLNUMNTQAYXMO-UHFFFAOYSA-N -1 1 301.335 1.182 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCCC2(CO)CC2)[n-]n1 ZINC000450824204 420539605 /nfs/dbraw/zinc/53/96/05/420539605.db2.gz SNMIUQQMRGTESN-UHFFFAOYSA-N -1 1 304.394 1.145 20 0 DDADMM CCOc1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)cc1C ZINC000492596710 420600955 /nfs/dbraw/zinc/60/09/55/420600955.db2.gz QCWKPRYKKLCXQL-VQHVLOKHSA-N -1 1 315.377 1.972 20 0 DDADMM C[C@H](CO[N-]C(=O)[C@@H]1CO[C@@H](C)C1)NC(=O)OC(C)(C)C ZINC000492413489 420552010 /nfs/dbraw/zinc/55/20/10/420552010.db2.gz QNLMSJVXCUERPM-VWYCJHECSA-N -1 1 302.371 1.372 20 0 DDADMM CCCc1nc(=NC(C)(C)CN2CCN(CC)CC2)s[n-]1 ZINC000450922247 420561069 /nfs/dbraw/zinc/56/10/69/420561069.db2.gz MZVVQRBRIVIMJJ-UHFFFAOYSA-N -1 1 311.499 1.741 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCCN(C(=O)OCC)C1)c1nn[n-]n1 ZINC000492885605 420699596 /nfs/dbraw/zinc/69/95/96/420699596.db2.gz XPFXADKSAIVSEE-GHMZBOCLSA-N -1 1 324.385 1.026 20 0 DDADMM CCOc1cccc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)n1 ZINC000447494839 420774723 /nfs/dbraw/zinc/77/47/23/420774723.db2.gz BXJLFAUBHJMLQQ-UHFFFAOYSA-N -1 1 324.406 1.870 20 0 DDADMM CCOc1cccc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)n1 ZINC000447494839 420774727 /nfs/dbraw/zinc/77/47/27/420774727.db2.gz BXJLFAUBHJMLQQ-UHFFFAOYSA-N -1 1 324.406 1.870 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cnccc2C(F)(F)F)on1 ZINC000442752063 420707278 /nfs/dbraw/zinc/70/72/78/420707278.db2.gz RFIDQOMLJWOPMW-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM COC(=O)C1(NC(=O)c2cc(Cl)c([O-])c(OC)c2)CCC1 ZINC000442817597 420721103 /nfs/dbraw/zinc/72/11/03/420721103.db2.gz ZIUJEAWVRHVNBC-UHFFFAOYSA-N -1 1 313.737 1.880 20 0 DDADMM CCN(C)C(=O)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442816408 420721361 /nfs/dbraw/zinc/72/13/61/420721361.db2.gz XWFSEOXHNMHQDS-UHFFFAOYSA-N -1 1 300.742 1.262 20 0 DDADMM CC[C@H](C)[C@@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)OC ZINC000454277748 420829543 /nfs/dbraw/zinc/82/95/43/420829543.db2.gz KGONJQDSAKJOFT-GXFFZTMASA-N -1 1 303.362 1.714 20 0 DDADMM COc1cncc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000493402045 420839541 /nfs/dbraw/zinc/83/95/41/420839541.db2.gz OZZFOXGSLDVKMG-ITKZLYELSA-N -1 1 314.349 1.023 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)C2CC(C)(O)C2)c1Br ZINC000450020278 421128416 /nfs/dbraw/zinc/12/84/16/421128416.db2.gz SSFIMALDNLGVKT-UHFFFAOYSA-N -1 1 302.172 1.256 20 0 DDADMM CC[C@H]1CN(C(=O)Cc2ccc(O)c(F)c2)CC[N@H+]1C[C@H](C)O ZINC000450026771 421129870 /nfs/dbraw/zinc/12/98/70/421129870.db2.gz NAMWXURTMVWMFX-JSGCOSHPSA-N -1 1 324.396 1.377 20 0 DDADMM CC(C)n1cc2c(n1)C[C@H](NC(=O)c1ncccc1[O-])CC2 ZINC000488339600 421083892 /nfs/dbraw/zinc/08/38/92/421083892.db2.gz ODQLOTCVTGZSSG-GFCCVEGCSA-N -1 1 300.362 1.852 20 0 DDADMM C[C@]1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC=CCC1 ZINC000450568197 421211040 /nfs/dbraw/zinc/21/10/40/421211040.db2.gz VDWNUVSKHHCUDK-AWEZNQCLSA-N -1 1 310.375 1.725 20 0 DDADMM C[C@@H](CO[N-]C(=O)[C@H](F)C(F)(F)F)NC(=O)OC(C)(C)C ZINC000496703920 421301980 /nfs/dbraw/zinc/30/19/80/421301980.db2.gz VUDQJYHEFBEWLW-BQBZGAKWSA-N -1 1 318.267 1.848 20 0 DDADMM C[C@H]1CN(CCNC(=O)c2ccc3ccccc3c2[O-])CCO1 ZINC000523777054 421249117 /nfs/dbraw/zinc/24/91/17/421249117.db2.gz HLEQRNQLVKGOPV-ZDUSSCGKSA-N -1 1 314.385 1.996 20 0 DDADMM Cc1cc(C)n([C@@H](C)CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000560347374 421251259 /nfs/dbraw/zinc/25/12/59/421251259.db2.gz HNJAMTFZSVQYOK-STQMWFEESA-N -1 1 317.397 1.370 20 0 DDADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)[C@H]2CCCO2)n[n-]1 ZINC000560427611 421262847 /nfs/dbraw/zinc/26/28/47/421262847.db2.gz YFCQXZSIIMERJQ-GHMZBOCLSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)[C@H]2CCCO2)[n-]1 ZINC000560427611 421262850 /nfs/dbraw/zinc/26/28/50/421262850.db2.gz YFCQXZSIIMERJQ-GHMZBOCLSA-N -1 1 324.381 1.364 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)[C@H]2CCCO2)n1 ZINC000560427611 421262852 /nfs/dbraw/zinc/26/28/52/421262852.db2.gz YFCQXZSIIMERJQ-GHMZBOCLSA-N -1 1 324.381 1.364 20 0 DDADMM CCCc1n[n-]c(=NC(=O)NCCN2CC=C(C)CC2)s1 ZINC000545862592 421268448 /nfs/dbraw/zinc/26/84/48/421268448.db2.gz XEKDOGSZZMCEMV-UHFFFAOYSA-N -1 1 309.439 1.686 20 0 DDADMM O=C1NC(=O)N2CC[N@H+](Cc3cc4ccccc4s3)C[C@@H]12 ZINC000546197467 421288275 /nfs/dbraw/zinc/28/82/75/421288275.db2.gz KMVBNSNTZPRVOZ-LBPRGKRZSA-N -1 1 301.371 1.637 20 0 DDADMM CCN(C(=O)c1coc(S(=O)(=O)[N-]C)c1)[C@@H](C)C(C)C ZINC000561986126 421339141 /nfs/dbraw/zinc/33/91/41/421339141.db2.gz OJGXDVZAFCVZAT-JTQLQIEISA-N -1 1 302.396 1.694 20 0 DDADMM CO[C@H](Cc1ccccc1)CS(=O)(=O)[N-]c1ccc(=O)[nH]n1 ZINC000562938455 421428033 /nfs/dbraw/zinc/42/80/33/421428033.db2.gz RXGJPYGZURWDBY-GFCCVEGCSA-N -1 1 323.374 1.182 20 0 DDADMM C[C@H](Cc1cnn(C)c1)NC(=O)c1csc(=NC2CC2)[n-]1 ZINC000514714613 421448251 /nfs/dbraw/zinc/44/82/51/421448251.db2.gz ZEBBCHHMJWWYQN-SECBINFHSA-N -1 1 305.407 1.234 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)c1cc2n(n1)CCCO2 ZINC000514706546 421448319 /nfs/dbraw/zinc/44/83/19/421448319.db2.gz DQVSPXKJOMDYFW-UHFFFAOYSA-N -1 1 319.321 1.126 20 0 DDADMM O=C([O-])c1cccc2c1CCN(CCOC[C@H]1CCCO1)C2 ZINC000563452068 421511430 /nfs/dbraw/zinc/51/14/30/421511430.db2.gz RBUNXUAYFUFGKF-CQSZACIVSA-N -1 1 305.374 1.939 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1ccc2c(c1)CCCO2)c1nn[n-]n1 ZINC000563189145 421469297 /nfs/dbraw/zinc/46/92/97/421469297.db2.gz DGFYGBAIIXAOHH-LLVKDONJSA-N -1 1 315.377 1.329 20 0 DDADMM CO[C@H]1C[C@H](CC(=O)[O-])N(Cc2cn3cc(C)ccc3n2)C1 ZINC000563289416 421481761 /nfs/dbraw/zinc/48/17/61/421481761.db2.gz NBNKUCANIFUEFK-KGLIPLIRSA-N -1 1 303.362 1.707 20 0 DDADMM C[C@H]1CN(c2cccc(Cl)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000563362235 421492264 /nfs/dbraw/zinc/49/22/64/421492264.db2.gz BZLCHQABGNRJBS-NSHDSACASA-N -1 1 321.812 1.473 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1CCCN1Cc1ccc(Cl)cc1 ZINC000528601153 421500085 /nfs/dbraw/zinc/50/00/85/421500085.db2.gz XNNNYXDCOWUCEM-LLVKDONJSA-N -1 1 306.757 1.456 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1CCCN1Cc1ccc(Cl)cc1 ZINC000528601153 421500087 /nfs/dbraw/zinc/50/00/87/421500087.db2.gz XNNNYXDCOWUCEM-LLVKDONJSA-N -1 1 306.757 1.456 20 0 DDADMM CC(C)[C@H]1CNCCN1C(=O)N=c1nc2cc(Cl)ccn2[n-]1 ZINC000528692217 421508201 /nfs/dbraw/zinc/50/82/01/421508201.db2.gz MDWWPZBDQABOAT-LLVKDONJSA-N -1 1 322.800 1.266 20 0 DDADMM O=C(c1cc(O)ccc1Cl)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000551902665 421551567 /nfs/dbraw/zinc/55/15/67/421551567.db2.gz WFTCALBNOWYVMH-MRVPVSSYSA-N -1 1 307.741 1.579 20 0 DDADMM CCN1C[C@H](C)N(C(=O)N=c2[n-]nc(C3CC3)s2)C[C@H]1C ZINC000551940958 421557060 /nfs/dbraw/zinc/55/70/60/421557060.db2.gz ZZZHKLGYGFEINF-ZJUUUORDSA-N -1 1 309.439 1.784 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)[C@H](C)c2ccc(F)cc2)n1 ZINC000552020111 421568006 /nfs/dbraw/zinc/56/80/06/421568006.db2.gz KADCZCKRSSJKBD-MRVPVSSYSA-N -1 1 312.322 1.870 20 0 DDADMM [O-]c1cccnc1CN1CCc2c(nnn2-c2ccccc2)C1 ZINC000552059487 421570904 /nfs/dbraw/zinc/57/09/04/421570904.db2.gz FKMWJIBIMUHCRM-UHFFFAOYSA-N -1 1 307.357 1.926 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2cn(CCC(C)C)nn2)n1 ZINC000564333073 421581888 /nfs/dbraw/zinc/58/18/88/421581888.db2.gz AXARKRHRMIMBPC-UHFFFAOYSA-N -1 1 324.366 1.215 20 0 DDADMM COC[C@]1(C(=O)[O-])CCC[N@@H+](Cc2nc3ccccc3n2C)C1 ZINC000563724486 421538121 /nfs/dbraw/zinc/53/81/21/421538121.db2.gz QDFSRWABSZZREW-KRWDZBQOSA-N -1 1 317.389 1.887 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(Cc2nc3ccccc3n2C)C1 ZINC000563724486 421538122 /nfs/dbraw/zinc/53/81/22/421538122.db2.gz QDFSRWABSZZREW-KRWDZBQOSA-N -1 1 317.389 1.887 20 0 DDADMM CCN1C[C@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)CC1=O ZINC000571076805 421686968 /nfs/dbraw/zinc/68/69/68/421686968.db2.gz WEUMJHQKXHJMCQ-LLVKDONJSA-N -1 1 314.345 1.589 20 0 DDADMM C[C@H]1CN(Cc2ncccc2[O-])CCN1C(=O)c1cscn1 ZINC000571138228 421690319 /nfs/dbraw/zinc/69/03/19/421690319.db2.gz YIVYAJKBJKOLEZ-NSHDSACASA-N -1 1 318.402 1.590 20 0 DDADMM C[C@H]1[C@@H](c2ccccc2)CCN1Cc1cn(CC(=O)[O-])nn1 ZINC000571172528 421693714 /nfs/dbraw/zinc/69/37/14/421693714.db2.gz ZKAMDGCTVBZHTF-WFASDCNBSA-N -1 1 300.362 1.741 20 0 DDADMM CCn1nc(C)c(CC(=O)NC2(c3nn[n-]n3)CCCC2)c1C ZINC000556227648 421704453 /nfs/dbraw/zinc/70/44/53/421704453.db2.gz KNJDDKLMYWPXRH-UHFFFAOYSA-N -1 1 317.397 1.161 20 0 DDADMM CO[C@H](C)c1nc(=N[C@@H]2CCC[C@@H](S(C)(=O)=O)C2)s[n-]1 ZINC000558687744 421820862 /nfs/dbraw/zinc/82/08/62/421820862.db2.gz BFBDRMVGKOBRLM-OPRDCNLKSA-N -1 1 319.452 1.435 20 0 DDADMM CCN(CC)CC(=O)Nc1cc(-c2n[nH]c(=O)[n-]2)ccc1C ZINC000559245305 421831507 /nfs/dbraw/zinc/83/15/07/421831507.db2.gz XGHKHILEEOZSCO-UHFFFAOYSA-N -1 1 303.366 1.766 20 0 DDADMM CCOC(=O)C(C)(C)CC(=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000558397390 421804809 /nfs/dbraw/zinc/80/48/09/421804809.db2.gz VZAMVZUAFFWRNQ-UHFFFAOYSA-N -1 1 306.322 1.983 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN1CCC(Cc2cccnc2)CC1 ZINC000635308017 421896374 /nfs/dbraw/zinc/89/63/74/421896374.db2.gz IPYFGTKQWANLJI-UHFFFAOYSA-N -1 1 314.389 1.968 20 0 DDADMM CCOC(=O)c1ccccc1NC(=O)CN(C)CCCC(=O)[O-] ZINC000580837247 421846180 /nfs/dbraw/zinc/84/61/80/421846180.db2.gz RCCXYLDJAXYQMC-UHFFFAOYSA-N -1 1 322.361 1.598 20 0 DDADMM COCc1ccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)o1 ZINC000630223277 421972758 /nfs/dbraw/zinc/97/27/58/421972758.db2.gz IENGPAISMZOMAM-UHFFFAOYSA-N -1 1 310.350 1.047 20 0 DDADMM Cc1noc(CCC[N-]S(=O)(=O)c2ccc(C(F)F)o2)n1 ZINC000631891576 421916545 /nfs/dbraw/zinc/91/65/45/421916545.db2.gz DQFJRWCOKGNETK-UHFFFAOYSA-N -1 1 321.305 1.820 20 0 DDADMM COC1(C[C@H](NC(=O)c2cnccc2N(C)C)C(=O)[O-])CCC1 ZINC000630198375 421952650 /nfs/dbraw/zinc/95/26/50/421952650.db2.gz BQLMHCVUDAOLFM-LBPRGKRZSA-N -1 1 321.377 1.290 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC[C@@H](Nc2ccccc2)C1 ZINC000631943693 421961562 /nfs/dbraw/zinc/96/15/62/421961562.db2.gz COUBRBDWPXMGIM-CYBMUJFWSA-N -1 1 300.366 1.235 20 0 DDADMM CCc1ncncc1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000581425442 421969027 /nfs/dbraw/zinc/96/90/27/421969027.db2.gz UDCKZKPXUOEMPZ-SECBINFHSA-N -1 1 303.322 1.147 20 0 DDADMM CCN(CCOc1ccccc1OC)C(=O)CCc1nn[n-]n1 ZINC000633653152 422028305 /nfs/dbraw/zinc/02/83/05/422028305.db2.gz USVKCISXDCOOOW-UHFFFAOYSA-N -1 1 319.365 1.068 20 0 DDADMM CN1C[C@H](NC(=O)N=c2[n-]sc3ccccc32)CCC1=O ZINC000630347883 422035815 /nfs/dbraw/zinc/03/58/15/422035815.db2.gz GDQGCQNIZILAPB-SECBINFHSA-N -1 1 304.375 1.461 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(Cl)cc2)CC1 ZINC000630225448 421975879 /nfs/dbraw/zinc/97/58/79/421975879.db2.gz UEKFLBCQYFGEHK-LLVKDONJSA-N -1 1 310.781 1.961 20 0 DDADMM COc1cccc(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000630226513 421975963 /nfs/dbraw/zinc/97/59/63/421975963.db2.gz YKHGVRJNJDLQKR-CYBMUJFWSA-N -1 1 320.389 1.245 20 0 DDADMM COc1ccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)cc1 ZINC000630225367 421976514 /nfs/dbraw/zinc/97/65/14/421976514.db2.gz SNFAPFRYZZWHLU-GFCCVEGCSA-N -1 1 306.362 1.316 20 0 DDADMM COc1ccc(-c2n[n-]c(S(=O)(=O)CC[C@@H](C)O)n2)cc1 ZINC000581649268 422019085 /nfs/dbraw/zinc/01/90/85/422019085.db2.gz ONYJIJDKAUQWTR-SECBINFHSA-N -1 1 311.363 1.025 20 0 DDADMM COc1ccc(-c2nc(S(=O)(=O)CC[C@@H](C)O)n[n-]2)cc1 ZINC000581649268 422019090 /nfs/dbraw/zinc/01/90/90/422019090.db2.gz ONYJIJDKAUQWTR-SECBINFHSA-N -1 1 311.363 1.025 20 0 DDADMM Cc1[nH]ncc1C1CCN(C(=O)NCCCCC(=O)[O-])CC1 ZINC000635520348 422069280 /nfs/dbraw/zinc/06/92/80/422069280.db2.gz ANMAYARTTBDGRK-UHFFFAOYSA-N -1 1 308.382 1.862 20 0 DDADMM CCC[C@@H]1CN(c2ncncc2C(=O)[O-])CCN1CCOC ZINC000574160100 422069385 /nfs/dbraw/zinc/06/93/85/422069385.db2.gz YMQMKOZONRFLLL-GFCCVEGCSA-N -1 1 308.382 1.112 20 0 DDADMM CC[C@H](NS(=O)(=O)c1cc(OC)ccc1[O-])[C@H]1CCCO1 ZINC000632145006 422117344 /nfs/dbraw/zinc/11/73/44/422117344.db2.gz SKCGATUSHBOEQF-WCQYABFASA-N -1 1 315.391 1.637 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1Cc2ccc(Cl)cc21 ZINC000633690498 422052413 /nfs/dbraw/zinc/05/24/13/422052413.db2.gz FJSFSOGFOSIRFA-LLVKDONJSA-N -1 1 318.764 1.431 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1(Cc2ccccc2)CC1 ZINC000633692683 422053458 /nfs/dbraw/zinc/05/34/58/422053458.db2.gz QLJGPTRFFCAIBY-UHFFFAOYSA-N -1 1 312.373 1.461 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CCO[C@H](C)C2)c1 ZINC000632175539 422139209 /nfs/dbraw/zinc/13/92/09/422139209.db2.gz WMVHILIGDLDPDP-GHMZBOCLSA-N -1 1 315.391 1.494 20 0 DDADMM COCCN(Cc1ccccn1)C(=O)c1cccc2nn[nH]c21 ZINC000582398843 422146625 /nfs/dbraw/zinc/14/66/25/422146625.db2.gz KQISUDJGAQGFEM-UHFFFAOYSA-N -1 1 311.345 1.642 20 0 DDADMM C[C@H]1Cc2ccccc2CN1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000574763900 422176298 /nfs/dbraw/zinc/17/62/98/422176298.db2.gz ZGCNBNCJWVFYIB-ZDUSSCGKSA-N -1 1 316.401 1.756 20 0 DDADMM CN(C(=O)Cc1ccc([O-])c(Cl)c1)[C@@H]1CCS(=O)(=O)C1 ZINC000630570537 422186737 /nfs/dbraw/zinc/18/67/37/422186737.db2.gz BDESIPKWKONLPS-SNVBAGLBSA-N -1 1 317.794 1.234 20 0 DDADMM CCn1nc(C)c(CC(=O)Nc2[nH]cnc2C(=O)OC)c1C ZINC000574887903 422204440 /nfs/dbraw/zinc/20/44/40/422204440.db2.gz HGEOJYQMYFGPQK-UHFFFAOYSA-N -1 1 305.338 1.211 20 0 DDADMM COCCn1ccc2ccc(NC(=O)CCc3nn[n-]n3)cc21 ZINC000632273463 422211436 /nfs/dbraw/zinc/21/14/36/422211436.db2.gz PZPYWIYLPUTMTE-UHFFFAOYSA-N -1 1 314.349 1.372 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](c1ccccc1)[C@@H]1CCOC1 ZINC000632281132 422220576 /nfs/dbraw/zinc/22/05/76/422220576.db2.gz SQACFMKOYMGRDY-IUODEOHRSA-N -1 1 301.350 1.026 20 0 DDADMM COc1ccc([C@@H](NC(=O)CCc2nn[n-]n2)C(C)C)cc1 ZINC000630629705 422230187 /nfs/dbraw/zinc/23/01/87/422230187.db2.gz MBJYEAHUMXPJGM-HNNXBMFYSA-N -1 1 303.366 1.654 20 0 DDADMM CO[C@@H](c1ccccc1Cl)[C@@H](C)NC(=O)CCc1nn[n-]n1 ZINC000632326084 422250144 /nfs/dbraw/zinc/25/01/44/422250144.db2.gz OABHPSCCWUBTRG-YMTOWFKASA-N -1 1 323.784 1.678 20 0 DDADMM COc1cccc([C@H](N)C(=O)N2C[C@@H](C(=O)[O-])CC[C@H]2C)c1 ZINC000630573655 422189849 /nfs/dbraw/zinc/18/98/49/422189849.db2.gz HHKHPLWXKFSNEP-OSMZGAPFSA-N -1 1 306.362 1.407 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCc2ccc(F)c(F)c2C1 ZINC000635707516 422263250 /nfs/dbraw/zinc/26/32/50/422263250.db2.gz SYQXGCHEDPHDRG-UHFFFAOYSA-N -1 1 307.304 1.386 20 0 DDADMM O=C(CCc1nn[n-]n1)N(Cc1ccco1)Cc1ccccc1 ZINC000630682886 422266328 /nfs/dbraw/zinc/26/63/28/422266328.db2.gz VSYNLUMGNJAQRY-UHFFFAOYSA-N -1 1 311.345 1.954 20 0 DDADMM COc1nc(NC[C@@H](c2ccco2)N(C)C)ccc1C(=O)[O-] ZINC000584234581 422289905 /nfs/dbraw/zinc/28/99/05/422289905.db2.gz JWOQYCMAMSOZON-NSHDSACASA-N -1 1 305.334 2.096 20 0 DDADMM COC[C@]1(C)CCN(S(=O)(=O)c2cc(OC)ccc2[O-])C1 ZINC000632389524 422299375 /nfs/dbraw/zinc/29/93/75/422299375.db2.gz XZMHKVCDFQLJBR-CQSZACIVSA-N -1 1 315.391 1.448 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]Cc2nc(C(F)F)no2)sc1C ZINC000632481469 422381060 /nfs/dbraw/zinc/38/10/60/422381060.db2.gz UWIYXVDOIGBJIP-UHFFFAOYSA-N -1 1 324.334 1.559 20 0 DDADMM COCCCCN(Cc1ccccc1)C(=O)CCc1nn[n-]n1 ZINC000630824174 422357139 /nfs/dbraw/zinc/35/71/39/422357139.db2.gz UJGLPVYTJFEPQD-UHFFFAOYSA-N -1 1 317.393 1.588 20 0 DDADMM CC[C@@H]1C[C@H](NS(=O)(=O)c2cc(OC)ccc2[O-])CCO1 ZINC000632474934 422374229 /nfs/dbraw/zinc/37/42/29/422374229.db2.gz OOPFFQUNMSJPHJ-GHMZBOCLSA-N -1 1 315.391 1.637 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(OC2CCCCC2)CC1 ZINC000630863406 422379898 /nfs/dbraw/zinc/37/98/98/422379898.db2.gz VQLMUNZKOBVJDP-UHFFFAOYSA-N -1 1 307.398 1.473 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]([C@H](CO)C2CC2)C2CC2)sn1 ZINC000632411452 422322607 /nfs/dbraw/zinc/32/26/07/422322607.db2.gz BEXYIGOWQXFPJF-YPMHNXCESA-N -1 1 316.448 1.527 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H]3COC[C@H]3C2)c1 ZINC000632569370 422443190 /nfs/dbraw/zinc/44/31/90/422443190.db2.gz HGSOHADLMUHPSU-WDEREUQCSA-N -1 1 313.375 1.058 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)c2nccn2C)c1 ZINC000632572739 422446438 /nfs/dbraw/zinc/44/64/38/422446438.db2.gz FRPWYCWTYUBORT-VIFPVBQESA-N -1 1 311.363 1.174 20 0 DDADMM O=S(=O)([N-][C@@H]1CC[C@H]2C[C@H]2C1)c1c[nH]nc1C(F)(F)F ZINC000632504230 422397541 /nfs/dbraw/zinc/39/75/41/422397541.db2.gz CXLUBYBIAVWDBX-BIIVOSGPSA-N -1 1 309.313 1.895 20 0 DDADMM Cc1ccccc1C[C@@H](C)N(C)C(=O)CCCc1nn[n-]n1 ZINC000635864118 422418946 /nfs/dbraw/zinc/41/89/46/422418946.db2.gz ZWBGWMPIMDPBNY-CYBMUJFWSA-N -1 1 301.394 1.920 20 0 DDADMM Cc1[n-]n(-c2ccc(S(=O)(=O)N(C)C)cc2)c(=O)c1C1CC1 ZINC000634628601 422514752 /nfs/dbraw/zinc/51/47/52/422514752.db2.gz AYVQUMYISDXRTJ-CQSZACIVSA-N -1 1 321.402 1.686 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@@H]3CCC[C@@H]32)c1 ZINC000632595609 422461160 /nfs/dbraw/zinc/46/11/60/422461160.db2.gz NSNBQGLPMXNAKF-WCQYABFASA-N -1 1 313.375 1.343 20 0 DDADMM COCc1ncc2c(n1)CCN([C@@H](C(=O)[O-])c1ccccc1)C2 ZINC000634693639 422554814 /nfs/dbraw/zinc/55/48/14/422554814.db2.gz JWKSOMOKCPTYSM-MRXNPFEDSA-N -1 1 313.357 1.807 20 0 DDADMM O=C(C[C@H]1CCCS1(=O)=O)Nc1ccc([O-])c(F)c1F ZINC000636005082 422557629 /nfs/dbraw/zinc/55/76/29/422557629.db2.gz BHLNYNRYNQXDCD-SSDOTTSWSA-N -1 1 305.302 1.576 20 0 DDADMM COc1ccc(NC(=O)CCCc2nn[n-]n2)cc1SC ZINC000636015706 422564337 /nfs/dbraw/zinc/56/43/37/422564337.db2.gz ICLLQZIERPBNPU-UHFFFAOYSA-N -1 1 307.379 1.892 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCN(C(=O)c2ccccc2)C1 ZINC000627511290 422580162 /nfs/dbraw/zinc/58/01/62/422580162.db2.gz VLNHGVBJBBFNRB-GFCCVEGCSA-N -1 1 314.345 1.525 20 0 DDADMM CCn1ncc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1C ZINC000632843000 422620847 /nfs/dbraw/zinc/62/08/47/422620847.db2.gz BZKNAFOTKMYXFD-JTQLQIEISA-N -1 1 305.338 1.320 20 0 DDADMM CCc1nn(C)cc1[N-]S(=O)(=O)CCOCC1CCC1 ZINC000634791142 422650541 /nfs/dbraw/zinc/65/05/41/422650541.db2.gz MOXHYBYDRRONOI-UHFFFAOYSA-N -1 1 301.412 1.541 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1cccnc1C(F)(F)F)C2 ZINC000629490096 422698843 /nfs/dbraw/zinc/69/88/43/422698843.db2.gz PCLSNVGHTLXESN-ZWNOBZJWSA-N -1 1 316.279 1.633 20 0 DDADMM CC(C)CCOCCNC(=O)CN1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000629494515 422700286 /nfs/dbraw/zinc/70/02/86/422700286.db2.gz ARLPBRREZNSVEP-KGLIPLIRSA-N -1 1 314.426 1.208 20 0 DDADMM CC(C)CCOCCNC(=O)CN1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC000629494516 422701991 /nfs/dbraw/zinc/70/19/91/422701991.db2.gz ARLPBRREZNSVEP-UONOGXRCSA-N -1 1 314.426 1.208 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCOC[C@@H]1c1cccc(F)c1 ZINC000636199430 422708078 /nfs/dbraw/zinc/70/80/78/422708078.db2.gz GDNTYQJENMEKLG-CYBMUJFWSA-N -1 1 319.340 1.262 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@@H]1C[C@H](c2ccccc2)CO1 ZINC000636199237 422708316 /nfs/dbraw/zinc/70/83/16/422708316.db2.gz CUQMJDBKVXLLMD-KBPBESRZSA-N -1 1 315.377 1.211 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000634846324 422682047 /nfs/dbraw/zinc/68/20/47/422682047.db2.gz GIYFSWVOVXDVIG-DZGCQCFKSA-N -1 1 304.390 1.878 20 0 DDADMM CC[C@H](C)CS(=O)(=O)[N-][C@@H](C(=O)OC)c1ccsc1 ZINC000184256203 263385924 /nfs/dbraw/zinc/38/59/24/263385924.db2.gz UJHTWRHOGUDSAU-GXSJLCMTSA-N -1 1 305.421 1.928 20 0 DDADMM CCc1cc(=O)[n-]c(S[C@H](C)c2nc(-c3nc[nH]n3)no2)n1 ZINC000188098032 263418266 /nfs/dbraw/zinc/41/82/66/263418266.db2.gz XEIHIXAOEHZROD-ZCFIWIBFSA-N -1 1 319.350 1.354 20 0 DDADMM O=C([O-])c1cc(N2CCC[C@@H](CN3CCOCC3)C2)ccn1 ZINC000650769800 423253753 /nfs/dbraw/zinc/25/37/53/423253753.db2.gz FIZZHQGSBKPEHY-ZDUSSCGKSA-N -1 1 305.378 1.328 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cn1c(C)nc2ccccc2c1=O ZINC000646413246 423480601 /nfs/dbraw/zinc/48/06/01/423480601.db2.gz WZEOKDXUPYDDCC-UHFFFAOYSA-N -1 1 313.317 1.081 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc(N2CCOCC2)c(F)c1 ZINC000646412103 423480752 /nfs/dbraw/zinc/48/07/52/423480752.db2.gz BMWQRYSSRBZMCE-UHFFFAOYSA-N -1 1 320.324 1.652 20 0 DDADMM CCC[C@H](NC(=O)c1cccc2c1OCCCO2)c1nn[n-]n1 ZINC000651461905 423551871 /nfs/dbraw/zinc/55/18/71/423551871.db2.gz LMMODECHEDTYGR-NSHDSACASA-N -1 1 317.349 1.632 20 0 DDADMM CC(C)(C)c1ccnc(N2CCN(c3nc(=N)[n-]s3)CC2)c1 ZINC000641426229 423628346 /nfs/dbraw/zinc/62/83/46/423628346.db2.gz OVULJSQBFOEHKX-UHFFFAOYSA-N -1 1 318.450 1.970 20 0 DDADMM O=C([O-])c1csc(CCNC(=O)c2[nH]nc3c2CCCC3)n1 ZINC000653668858 423573338 /nfs/dbraw/zinc/57/33/38/423573338.db2.gz ZIKZIDNCWJLLKI-UHFFFAOYSA-N -1 1 320.374 1.416 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC2(CC=CC2)[C@H]1C(C)C ZINC000649139212 423648072 /nfs/dbraw/zinc/64/80/72/423648072.db2.gz WSMUHPJBKKMSEJ-GFCCVEGCSA-N -1 1 319.361 1.713 20 0 DDADMM C[C@H]1C(=O)N(C)CN1C(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000649158634 423654051 /nfs/dbraw/zinc/65/40/51/423654051.db2.gz XJVPELYHVLLVLY-QMMMGPOBSA-N -1 1 319.748 1.854 20 0 DDADMM CSc1cccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000649219073 423684848 /nfs/dbraw/zinc/68/48/48/423684848.db2.gz KMRUQVPEONKISF-VIFPVBQESA-N -1 1 320.374 1.912 20 0 DDADMM N=c1[n-]nc(C2CCN([C@@H]3C[C@H]4CCCC[C@@H]4NC3=O)CC2)o1 ZINC000639724555 423691962 /nfs/dbraw/zinc/69/19/62/423691962.db2.gz PGGQTUSPPIGNRD-FRRDWIJNSA-N -1 1 319.409 1.109 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1c(F)cccc1CO)C(C)C ZINC000647129134 423768914 /nfs/dbraw/zinc/76/89/14/423768914.db2.gz FKKCWXMYZPSUHM-GFCCVEGCSA-N -1 1 305.371 1.731 20 0 DDADMM Cc1ccc(-n2[n-]c(-c3nc([C@H]4CCCN4)no3)cc2=O)cc1 ZINC000647151792 423792129 /nfs/dbraw/zinc/79/21/29/423792129.db2.gz PIMCLACZOQESET-GFCCVEGCSA-N -1 1 311.345 1.949 20 0 DDADMM CC[C@H]1CN(C(=O)c2ccc(C(=O)[O-])cc2)CCN1C[C@H](C)O ZINC000647142654 423782182 /nfs/dbraw/zinc/78/21/82/423782182.db2.gz VBDITCOKYGEQME-WFASDCNBSA-N -1 1 320.389 1.302 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]Cc1noc(C)n1)C1CCCC1 ZINC000656918022 423877991 /nfs/dbraw/zinc/87/79/91/423877991.db2.gz JESLLYPOAIZDRW-NSHDSACASA-N -1 1 303.384 1.003 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2cccc(S(C)(=O)=O)c2)CC1 ZINC000641679495 423847464 /nfs/dbraw/zinc/84/74/64/423847464.db2.gz WSSOMXDLDFGQLW-UHFFFAOYSA-N -1 1 319.404 1.011 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1n[nH]c(SC(C)C)n1)C(C)C ZINC000647266917 423933146 /nfs/dbraw/zinc/93/31/46/423933146.db2.gz GDDFARYHUVBJSA-VIFPVBQESA-N -1 1 322.456 1.718 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000649458537 423920451 /nfs/dbraw/zinc/92/04/51/423920451.db2.gz SPWCUXIHJLKUJJ-LBPRGKRZSA-N -1 1 320.324 1.584 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000649458537 423920465 /nfs/dbraw/zinc/92/04/65/423920465.db2.gz SPWCUXIHJLKUJJ-LBPRGKRZSA-N -1 1 320.324 1.584 20 0 DDADMM O=S(=O)(N[C@@H]1CCCN2CCCC[C@@H]12)c1ccccc1[O-] ZINC000657022183 424003601 /nfs/dbraw/zinc/00/36/01/424003601.db2.gz JZLVNXIUPUWMGB-OLZOCXBDSA-N -1 1 310.419 1.687 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CCCC(=O)N2)c(F)c1 ZINC000644860880 423986414 /nfs/dbraw/zinc/98/64/14/423986414.db2.gz WQCRMDJOWSMRGE-SECBINFHSA-N -1 1 318.345 1.220 20 0 DDADMM CO[C@@](C)(CO)CNC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000655216933 424303388 /nfs/dbraw/zinc/30/33/88/424303388.db2.gz MGHWHVXXKQAYJC-GFCCVEGCSA-N -1 1 307.268 1.538 20 0 DDADMM COCC(C)(C)CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000663968376 424308976 /nfs/dbraw/zinc/30/89/76/424308976.db2.gz BPEKSRMAQJYRLF-CYBMUJFWSA-N -1 1 303.366 1.468 20 0 DDADMM O=C(NCc1nc([C@H]2CCCO2)n[nH]1)c1cc(Cl)ccc1[O-] ZINC000655238293 424326317 /nfs/dbraw/zinc/32/63/17/424326317.db2.gz ZUYREAZATOEHPN-LLVKDONJSA-N -1 1 322.752 1.945 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCCN1CCCC1=O ZINC000640337208 424359986 /nfs/dbraw/zinc/35/99/86/424359986.db2.gz GWBQTUOOOLLSPZ-UHFFFAOYSA-N -1 1 304.375 1.462 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)N[C@@H]1CCCCNC1=O ZINC000640343425 424363899 /nfs/dbraw/zinc/36/38/99/424363899.db2.gz UYPPOJQZECGILG-SNVBAGLBSA-N -1 1 304.375 1.508 20 0 DDADMM CNC(=O)C(C)(C)CNC(=O)N=c1[n-]sc2ccccc21 ZINC000640343612 424366611 /nfs/dbraw/zinc/36/66/11/424366611.db2.gz WILRCEQPRCPMES-UHFFFAOYSA-N -1 1 306.391 1.612 20 0 DDADMM Cc1noc(CN(C)C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)n1 ZINC000655359161 424423581 /nfs/dbraw/zinc/42/35/81/424423581.db2.gz SWBBOAHNXOSVGF-UHFFFAOYSA-N -1 1 319.365 1.752 20 0 DDADMM CCCN(Cc1ccc(F)cc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662200670 424465821 /nfs/dbraw/zinc/46/58/21/424465821.db2.gz CHJATDPTQPDGTJ-CQSZACIVSA-N -1 1 308.353 1.723 20 0 DDADMM CC(C)(C)c1cccc(C[N@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000660147200 424565166 /nfs/dbraw/zinc/56/51/66/424565166.db2.gz NHFHVFMDLPVEED-AWEZNQCLSA-N -1 1 301.390 1.720 20 0 DDADMM C[C@H](CNC(=O)NC[C@H](C)C(=O)[O-])N1CCc2ccccc2C1 ZINC000655865982 424686642 /nfs/dbraw/zinc/68/66/42/424686642.db2.gz UQDQPTHFAAMMKI-QWHCGFSZSA-N -1 1 319.405 1.453 20 0 DDADMM O=C(NCCN1CCOCC1)c1ncc2ccccc2c1[O-] ZINC000665130947 424748580 /nfs/dbraw/zinc/74/85/80/424748580.db2.gz GOOKGNSUKFYSPT-UHFFFAOYSA-N -1 1 301.346 1.002 20 0 DDADMM Nc1nc(-n2cccn2)cc(N2CCC[C@H](CCC(=O)[O-])C2)n1 ZINC000662887610 424798458 /nfs/dbraw/zinc/79/84/58/424798458.db2.gz KSWLANLYGCKFGP-LLVKDONJSA-N -1 1 316.365 1.326 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@H](C(F)(F)F)[C@H](CO)C2)c([O-])c1 ZINC000341885244 271232253 /nfs/dbraw/zinc/23/22/53/271232253.db2.gz LMUUIQQPSMPKQD-VHSXEESVSA-N -1 1 318.295 1.729 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCOCC3CC3)cnc2n1 ZINC000342112038 271300820 /nfs/dbraw/zinc/30/08/20/271300820.db2.gz MWOWLTRGYAXGCE-UHFFFAOYSA-N -1 1 301.346 1.800 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCOCC1CC1)c2=O ZINC000342112038 271300821 /nfs/dbraw/zinc/30/08/21/271300821.db2.gz MWOWLTRGYAXGCE-UHFFFAOYSA-N -1 1 301.346 1.800 20 0 DDADMM Cn1cc(CCC(=O)N=c2cc(-c3cccs3)[n-][nH]2)cn1 ZINC000342260829 271358607 /nfs/dbraw/zinc/35/86/07/271358607.db2.gz FTUHUYHVYXKMKB-UHFFFAOYSA-N -1 1 301.375 1.865 20 0 DDADMM CS[C@@H]1CCCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000344346774 272004503 /nfs/dbraw/zinc/00/45/03/272004503.db2.gz GKPVDXFTLPJAMR-LLVKDONJSA-N -1 1 323.418 1.261 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)CC(C)(C)C2)co1 ZINC000344496006 272038463 /nfs/dbraw/zinc/03/84/63/272038463.db2.gz JPTIVJUKCGBHPI-SNVBAGLBSA-N -1 1 314.407 1.696 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2csc(C)n2)co1 ZINC000344658260 272082118 /nfs/dbraw/zinc/08/21/18/272082118.db2.gz PIYMQPZIEWSUKK-UHFFFAOYSA-N -1 1 301.349 1.205 20 0 DDADMM CC(C)[C@H](O)C1(CNC(=O)c2csc(=NC3CC3)[n-]2)CC1 ZINC000344803330 272121991 /nfs/dbraw/zinc/12/19/91/272121991.db2.gz CEBGJNDDBZKWAW-LBPRGKRZSA-N -1 1 309.435 1.666 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cc(Cl)cnc1N)C(F)(F)F ZINC000345632803 272294001 /nfs/dbraw/zinc/29/40/01/272294001.db2.gz FPMXHQFOPIOBHD-ZETCQYMHSA-N -1 1 317.720 1.936 20 0 DDADMM CC(C)C[C@H](CNC(=O)N[C@H]1CCCc2cn[nH]c21)C(=O)[O-] ZINC000424411601 279157132 /nfs/dbraw/zinc/15/71/32/279157132.db2.gz OSIQVSVLKYAQQF-NEPJUHHUSA-N -1 1 308.382 1.833 20 0 DDADMM CC[C@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C[C@@H](C)O1 ZINC000287157482 288249670 /nfs/dbraw/zinc/24/96/70/288249670.db2.gz MEDLSFQQXALAIU-IEVFTTQPSA-N -1 1 303.366 1.373 20 0 DDADMM CCn1c(CNC(=O)[C@H]2Cc3cc(F)ccc3O2)n[n-]c1=S ZINC000076992599 280975669 /nfs/dbraw/zinc/97/56/69/280975669.db2.gz FKULTGCVPAVRLQ-LLVKDONJSA-N -1 1 322.365 1.720 20 0 DDADMM CC(C)(C)NC(=O)C[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084936108 281014232 /nfs/dbraw/zinc/01/42/32/281014232.db2.gz WSYDVXUOTKDPJL-UHFFFAOYSA-N -1 1 310.375 1.626 20 0 DDADMM C[C@@H](CO)NC(=O)c1cc(I)ccc1[O-] ZINC000118968171 281087626 /nfs/dbraw/zinc/08/76/26/281087626.db2.gz PZMUBIFZJHYGNP-LURJTMIESA-N -1 1 321.114 1.107 20 0 DDADMM NC(=O)Nc1ccc(CNC(=O)c2c([O-])cccc2F)cc1 ZINC000121539129 281139885 /nfs/dbraw/zinc/13/98/85/281139885.db2.gz AOMVANKAMDBHLN-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000127514557 281277756 /nfs/dbraw/zinc/27/77/56/281277756.db2.gz MFEBEZBUMGTHJB-CMPLNLGQSA-N -1 1 312.391 1.215 20 0 DDADMM O=C(NCCn1c(=O)[nH]c2ccccc21)c1c([O-])cccc1F ZINC000128570642 281316076 /nfs/dbraw/zinc/31/60/76/281316076.db2.gz TXNSGJANCMCMCH-UHFFFAOYSA-N -1 1 315.304 1.604 20 0 DDADMM O=C(c1ccn(-c2ccccc2)n1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129412581 281343596 /nfs/dbraw/zinc/34/35/96/281343596.db2.gz CIDVYYORTMACNP-GFCCVEGCSA-N -1 1 323.360 1.405 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H](c2ccccc2)C2CC2)n1 ZINC000130186314 281370214 /nfs/dbraw/zinc/37/02/14/281370214.db2.gz QNLLPRYTBFJATH-NSHDSACASA-N -1 1 320.374 1.341 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H](c2ccccc2)C2CC2)[n-]1 ZINC000130186314 281370216 /nfs/dbraw/zinc/37/02/16/281370216.db2.gz QNLLPRYTBFJATH-NSHDSACASA-N -1 1 320.374 1.341 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H]2CCCC[C@@H]21 ZINC000416131792 294907075 /nfs/dbraw/zinc/90/70/75/294907075.db2.gz ZXULOQICRFXGSB-UWVGGRQHSA-N -1 1 307.350 1.691 20 0 DDADMM C[C@H](C[S@@](C)=O)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000572837850 304559717 /nfs/dbraw/zinc/55/97/17/304559717.db2.gz DZOWAMZTXANKGY-NTCNTBNZSA-N -1 1 318.445 1.342 20 0 DDADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)c1ccccn1 ZINC000171023768 298227137 /nfs/dbraw/zinc/22/71/37/298227137.db2.gz BQWHNSMKDWRRCD-UHFFFAOYSA-N -1 1 309.322 1.845 20 0 DDADMM O=S(=O)([N-][C@H]1CCN(C2CC2)C1)c1ccc(F)c(F)c1F ZINC000354289714 298393359 /nfs/dbraw/zinc/39/33/59/298393359.db2.gz MILLLYNQXFLVDW-QMMMGPOBSA-N -1 1 320.336 1.619 20 0 DDADMM C[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CCC1(F)F ZINC000356773872 298871711 /nfs/dbraw/zinc/87/17/11/298871711.db2.gz MOIWUGHLGUIUAD-VIFPVBQESA-N -1 1 313.304 1.021 20 0 DDADMM C[C@H]1CCNC(=O)[C@@H]1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000195180211 299994002 /nfs/dbraw/zinc/99/40/02/299994002.db2.gz IDAXTTQUQSVXBZ-WRWORJQWSA-N -1 1 316.279 1.665 20 0 DDADMM O=C(CCc1ccc(F)cc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000362103247 300006034 /nfs/dbraw/zinc/00/60/34/300006034.db2.gz QITNBXNJYVSNJX-LLVKDONJSA-N -1 1 321.331 1.817 20 0 DDADMM Cc1cnc(SCc2nnn(CC(F)(F)F)n2)[n-]c1=O ZINC000574414748 304651247 /nfs/dbraw/zinc/65/12/47/304651247.db2.gz USLAENIBHXPHNY-UHFFFAOYSA-N -1 1 306.273 1.332 20 0 DDADMM CC[C@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000363790293 300307876 /nfs/dbraw/zinc/30/78/76/300307876.db2.gz RJZYAUAFMXZCMA-STQMWFEESA-N -1 1 319.340 1.433 20 0 DDADMM CC[C@@H]1CCCC[C@H]1OCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000364171857 300353128 /nfs/dbraw/zinc/35/31/28/300353128.db2.gz WCNSNIUEXWBPFN-JHJVBQTASA-N -1 1 323.397 1.085 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCc3nccn3C2)c1 ZINC000367120097 300802860 /nfs/dbraw/zinc/80/28/60/300802860.db2.gz VXMIZMHFUDMXEC-NSHDSACASA-N -1 1 315.329 1.576 20 0 DDADMM COCCCCS(=O)(=O)[N-]c1cccc2c1OCC[C@H]2O ZINC000368675894 301072401 /nfs/dbraw/zinc/07/24/01/301072401.db2.gz OYSLDSWNTOEUGY-CYBMUJFWSA-N -1 1 315.391 1.671 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cccc(F)c1-c1nc[nH]n1 ZINC000370285598 301308972 /nfs/dbraw/zinc/30/89/72/301308972.db2.gz OFYNNDDSTOBDDH-QMMMGPOBSA-N -1 1 314.342 1.387 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCC(n2cncn2)CC1 ZINC000373839467 301736126 /nfs/dbraw/zinc/73/61/26/301736126.db2.gz OUAGDACGZNGEJF-UHFFFAOYSA-N -1 1 308.288 1.739 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@]13CCOC3)c2=O ZINC000377408476 302177538 /nfs/dbraw/zinc/17/75/38/302177538.db2.gz FYIKDSKTRPKULH-OAHLLOKOSA-N -1 1 302.334 1.069 20 0 DDADMM CC1(C)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)[C@@H]2COC[C@@H]21 ZINC000515209325 302768826 /nfs/dbraw/zinc/76/88/26/302768826.db2.gz WYGXYFJRFBCNOK-WCQYABFASA-N -1 1 313.357 1.420 20 0 DDADMM CS(=O)(=O)N1CCC(C(=O)Nc2cccc(F)c2[O-])CC1 ZINC000530004522 303169751 /nfs/dbraw/zinc/16/97/51/303169751.db2.gz BHAUGSZPJZUMOQ-UHFFFAOYSA-N -1 1 316.354 1.141 20 0 DDADMM Cn1c(=O)n2c(c1C(=O)Nc1cccc(F)c1[O-])CCCC2 ZINC000530006535 303170128 /nfs/dbraw/zinc/17/01/28/303170128.db2.gz UQUWEKDASTXMIR-UHFFFAOYSA-N -1 1 305.309 1.620 20 0 DDADMM O=C([O-])C1(NCC(=O)N[C@@H]2CCOc3ccccc32)CCCC1 ZINC000533202574 303313510 /nfs/dbraw/zinc/31/35/10/303313510.db2.gz BAOKXXHKTKZIHF-CYBMUJFWSA-N -1 1 318.373 1.613 20 0 DDADMM CC(C)(NC(=O)c1cnc(-c2cccnc2)s1)c1nn[n-]n1 ZINC000534153867 303327336 /nfs/dbraw/zinc/32/73/36/303327336.db2.gz DGZHQTUSFLGVED-UHFFFAOYSA-N -1 1 315.362 1.383 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)nn1C(C)(C)C ZINC000535322817 303346506 /nfs/dbraw/zinc/34/65/06/303346506.db2.gz WPEMWBXKRGVKST-NSHDSACASA-N -1 1 317.397 1.479 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccc(Cl)cc2F)[n-]n1C ZINC000547499249 303537076 /nfs/dbraw/zinc/53/70/76/303537076.db2.gz DQSTXBZCEMMYSH-UHFFFAOYSA-N -1 1 319.745 1.444 20 0 DDADMM O=C1CCC[C@H](C[N-]S(=O)(=O)c2cc(Cl)ccc2F)N1 ZINC000551553735 303633558 /nfs/dbraw/zinc/63/35/58/303633558.db2.gz LYKFQVQUMPOPEJ-SECBINFHSA-N -1 1 320.773 1.426 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccn(-c2c(F)cccc2F)n1 ZINC000358339610 306939863 /nfs/dbraw/zinc/93/98/63/306939863.db2.gz OQBKGWSDHNUENY-UHFFFAOYSA-N -1 1 316.267 1.925 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnn(-c2ccccn2)c1)C1CC1 ZINC000563136025 303925701 /nfs/dbraw/zinc/92/57/01/303925701.db2.gz PWGCJEIAGGKGCM-ZDUSSCGKSA-N -1 1 322.390 1.434 20 0 DDADMM CNC(=O)c1ccc(=NC[C@@H]2C[C@]23CCCc2ccccc23)[n-]n1 ZINC000366115882 307068215 /nfs/dbraw/zinc/06/82/15/307068215.db2.gz VRNJWWFAZVYMAK-IFXJQAMLSA-N -1 1 322.412 1.964 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H](C)[C@@H]1COc2ccccc21 ZINC000367674147 307101346 /nfs/dbraw/zinc/10/13/46/307101346.db2.gz VNHXDQFABSRHMO-KWQFWETISA-N -1 1 323.374 1.239 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)[C@@H]1COc2ccccc21 ZINC000367674147 307101347 /nfs/dbraw/zinc/10/13/47/307101347.db2.gz VNHXDQFABSRHMO-KWQFWETISA-N -1 1 323.374 1.239 20 0 DDADMM Cc1cccc(CN2CCCC[C@H]2C(=O)N[C@@H](C)C(=O)[O-])c1 ZINC000370895636 307139376 /nfs/dbraw/zinc/13/93/76/307139376.db2.gz PZCNBBAKDGRXQG-ZFWWWQNUSA-N -1 1 304.390 1.939 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)[C@H]3Cc4ccccc4N(C)C3)ccnc1-2 ZINC000374708139 307212231 /nfs/dbraw/zinc/21/22/31/307212231.db2.gz WAEQBKQQBLJCPG-HJIPDZNASA-N -1 1 321.384 1.589 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@]3(C)CCO[C@H]3C3CC3)ccnc1-2 ZINC000376647410 307251408 /nfs/dbraw/zinc/25/14/08/307251408.db2.gz XAENGCLGTWMMAC-WSQRNXBJSA-N -1 1 315.377 1.421 20 0 DDADMM COC[C@@](C)(O)CC[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425189423 307301903 /nfs/dbraw/zinc/30/19/03/307301903.db2.gz SFKKBGUVCBWVMX-ZDUSSCGKSA-N -1 1 323.361 1.339 20 0 DDADMM C[C@H](CNC(=O)OC(C)(C)C)C(=O)[N-]O[C@@H]1CCCCO1 ZINC000495678828 307314127 /nfs/dbraw/zinc/31/41/27/307314127.db2.gz CZJMHXJIHJYILG-GHMZBOCLSA-N -1 1 302.371 1.722 20 0 DDADMM CCOC(=O)Nc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000495854159 307320775 /nfs/dbraw/zinc/32/07/75/307320775.db2.gz LJYRNOPICLFMEI-UHFFFAOYSA-N -1 1 316.321 1.187 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCc1ccon1 ZINC000532807876 307634850 /nfs/dbraw/zinc/63/48/50/307634850.db2.gz CKGYOPCDISDNPS-UHFFFAOYSA-N -1 1 304.350 1.749 20 0 DDADMM CC1CC(C(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2[O-])C1 ZINC000536465687 307663931 /nfs/dbraw/zinc/66/39/31/307663931.db2.gz VLEVIBRRKHIDDN-UHFFFAOYSA-N -1 1 324.402 1.818 20 0 DDADMM C[C@@H]1CN(Cc2nnnn2-c2ccccc2)C[C@]1(C)C(=O)[O-] ZINC000565110236 308021233 /nfs/dbraw/zinc/02/12/33/308021233.db2.gz COENXBWYKWJQIT-ABAIWWIYSA-N -1 1 301.350 1.205 20 0 DDADMM COc1ccc(-c2nsc([N-]C(=O)c3ncn(C)n3)n2)cc1 ZINC000568145579 308108470 /nfs/dbraw/zinc/10/84/70/308108470.db2.gz CFAWRZCJPHKLMJ-UHFFFAOYSA-N -1 1 316.346 1.595 20 0 DDADMM NC(=O)[C@@H]1[C@H]2CCC[C@@H]2C[N@H+]1Cc1cc(=O)[nH]c(C2CC2)n1 ZINC000568483281 308119699 /nfs/dbraw/zinc/11/96/99/308119699.db2.gz PGKMLMWAJCYJJD-OSMZGAPFSA-N -1 1 302.378 1.145 20 0 DDADMM COc1cccc(CN2CCC([C@@H]3OCC[C@@H]3C(=O)[O-])CC2)n1 ZINC000571410133 308195638 /nfs/dbraw/zinc/19/56/38/308195638.db2.gz PORSHVMWLQJCAF-HOCLYGCPSA-N -1 1 320.389 1.792 20 0 DDADMM CC[C@H](C(=O)N1CC[C@H](C(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000576279465 308302826 /nfs/dbraw/zinc/30/28/26/308302826.db2.gz WXUHULLGZSWTBL-LSDHHAIUSA-N -1 1 304.390 1.830 20 0 DDADMM CN(C)Cc1ccc(CNc2ncncc2C(=O)[O-])cc1F ZINC000576468958 308316908 /nfs/dbraw/zinc/31/69/08/308316908.db2.gz LZNKINIQJLDFPR-UHFFFAOYSA-N -1 1 304.325 1.410 20 0 DDADMM CCO[C@@H](CC)C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000580167519 308584026 /nfs/dbraw/zinc/58/40/26/308584026.db2.gz CEXHFTXIXCDQCU-LBPRGKRZSA-N -1 1 318.370 1.951 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CC[C@H](CO)[C@H](O)C1 ZINC000192665271 325702393 /nfs/dbraw/zinc/70/23/93/325702393.db2.gz LUMJYZWMHOTAMR-PRHODGIISA-N -1 1 319.279 1.226 20 0 DDADMM C[C@@H](Oc1ccccc1)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000582346688 326015378 /nfs/dbraw/zinc/01/53/78/326015378.db2.gz WVDSIDQBPFMFMO-SECBINFHSA-N -1 1 315.333 1.103 20 0 DDADMM COCC[C@@H](COC)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000584201390 331860931 /nfs/dbraw/zinc/86/09/31/331860931.db2.gz RYDOZEXTZCJBLG-LBPRGKRZSA-N -1 1 313.375 1.763 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C)O[C@@]3(CCO[C@H](C)C3)C2)c([O-])c1 ZINC000584388830 337245597 /nfs/dbraw/zinc/24/55/97/337245597.db2.gz ODABLSHXLQXGOK-IIYDPXPESA-N -1 1 320.389 1.894 20 0 DDADMM CCC1(CN=c2ccc(C(=O)NC3CCCC3)n[n-]2)COC1 ZINC000584451180 337341246 /nfs/dbraw/zinc/34/12/46/337341246.db2.gz XFKKRAISZTUDBV-UHFFFAOYSA-N -1 1 304.394 1.409 20 0 DDADMM CC1CCN(C(=O)CN2Cc3ccccc3[C@@H](C(=O)[O-])C2)CC1 ZINC000390989568 483983968 /nfs/dbraw/zinc/98/39/68/483983968.db2.gz HYVJQVIXEWOOPL-INIZCTEOSA-N -1 1 316.401 1.929 20 0 DDADMM C[C@H]1CN(CCc2cn(CC(=O)[O-])nn2)CC2(CCCCC2)O1 ZINC000656192126 484008343 /nfs/dbraw/zinc/00/83/43/484008343.db2.gz MIEIZNWOOZINIU-ZDUSSCGKSA-N -1 1 322.409 1.329 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ncccc1F)[C@@H]1CCOC1 ZINC000656731146 484306041 /nfs/dbraw/zinc/30/60/41/484306041.db2.gz ISYHKNPFKMGQAA-MWLCHTKSSA-N -1 1 304.343 1.014 20 0 DDADMM CCC[C@H]1CN(C(=O)C2(C(=O)[O-])CC=CC2)CCN1CCOC ZINC000663063254 484638730 /nfs/dbraw/zinc/63/87/30/484638730.db2.gz WKXDPAQCKKREKG-AWEZNQCLSA-N -1 1 324.421 1.367 20 0 DDADMM CCc1cc(C(=O)NCc2nn[n-]n2)c2c(n1)onc2C(C)C ZINC000669905710 484678244 /nfs/dbraw/zinc/67/82/44/484678244.db2.gz DMOSWDFKNJPFAZ-UHFFFAOYSA-N -1 1 315.337 1.352 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@H]1Cc2ccccc2CN1)C1CCC1 ZINC000663279353 484782481 /nfs/dbraw/zinc/78/24/81/484782481.db2.gz GXIDUJMLHADIAK-LSDHHAIUSA-N -1 1 302.374 1.318 20 0 DDADMM CCc1cccc(S(=O)(=O)[N-]c2ccnc(OCCO)c2)c1 ZINC000663299635 484791490 /nfs/dbraw/zinc/79/14/90/484791490.db2.gz CCFHTPXPMLWMPC-UHFFFAOYSA-N -1 1 322.386 1.816 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1cnn(C[C@H]2CCCO2)c1 ZINC000666298101 485164042 /nfs/dbraw/zinc/16/40/42/485164042.db2.gz IFJPPSPTAUQQGY-GFCCVEGCSA-N -1 1 317.411 1.229 20 0 DDADMM O=C(NCCOC[C@H]1CCOC1)c1ncc2ccccc2c1[O-] ZINC000668527188 485195608 /nfs/dbraw/zinc/19/56/08/485195608.db2.gz DCKDRPAZMQLOLN-LBPRGKRZSA-N -1 1 316.357 1.723 20 0 DDADMM C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)c1ccc([O-])c(F)c1 ZINC000672210154 485258865 /nfs/dbraw/zinc/25/88/65/485258865.db2.gz JADBYDXPMZUMIP-YPMHNXCESA-N -1 1 308.353 1.467 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](CO)CC1CC1 ZINC000678390627 485567743 /nfs/dbraw/zinc/56/77/43/485567743.db2.gz RLBLBZDPCCTGLI-LLVKDONJSA-N -1 1 307.394 1.679 20 0 DDADMM COc1cccc([C@H](CNC(=O)c2[nH]nc(C)c2[O-])N(C)C)c1 ZINC000676630277 486271910 /nfs/dbraw/zinc/27/19/10/486271910.db2.gz HOHDNQCEPAZPNO-ZDUSSCGKSA-N -1 1 318.377 1.465 20 0 DDADMM CC1N=NC(C(=O)Nc2ccc(N3CCCC3=O)cc2)=C1[O-] ZINC000676629918 486272120 /nfs/dbraw/zinc/27/21/20/486272120.db2.gz HSSPQJSMMMBDJN-UHFFFAOYSA-N -1 1 300.318 1.803 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(C(=O)N3CCCC3)cc2)c1[O-] ZINC000676706731 486296531 /nfs/dbraw/zinc/29/65/31/486296531.db2.gz FXFYEDXOTYWISV-UHFFFAOYSA-N -1 1 314.345 1.912 20 0 DDADMM CCNC(=O)c1ccc(C)c(NC(=O)C2=C([O-])C(C)N=N2)c1 ZINC000676706741 486296810 /nfs/dbraw/zinc/29/68/10/486296810.db2.gz IPUXULRDZDTDPE-UHFFFAOYSA-N -1 1 302.334 1.734 20 0 DDADMM O=C(NCC1CC1)[C@H]1CSCN1C(=O)c1ccc([O-])c(F)c1 ZINC000681037465 486327477 /nfs/dbraw/zinc/32/74/77/486327477.db2.gz ITGMOWISDRLPBQ-GFCCVEGCSA-N -1 1 324.377 1.573 20 0 DDADMM CCN(C(=O)c1ccc([O-])c(F)c1)[C@@H](C)CS(=O)(=O)CC ZINC000681046809 486330671 /nfs/dbraw/zinc/33/06/71/486330671.db2.gz PYMUYUQDLBOYCS-JTQLQIEISA-N -1 1 317.382 1.817 20 0 DDADMM CCC[C@](C)(O)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676856839 486347360 /nfs/dbraw/zinc/34/73/60/486347360.db2.gz GHOSDLLXWUNBBJ-ZDUSSCGKSA-N -1 1 323.361 1.803 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@@H](C)[C@@H](C)CO)c(F)c1 ZINC000676860284 486348513 /nfs/dbraw/zinc/34/85/13/486348513.db2.gz WXGPVCYYIGACFF-YUMQZZPRSA-N -1 1 309.334 1.269 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]CC(C)(C)CCO)c(F)c1 ZINC000676862263 486349604 /nfs/dbraw/zinc/34/96/04/486349604.db2.gz MVQYUGRTWUUQRD-UHFFFAOYSA-N -1 1 323.361 1.660 20 0 DDADMM CC(=O)Nc1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000677047530 486385863 /nfs/dbraw/zinc/38/58/63/486385863.db2.gz AACMKPXSWGEGJL-LBPRGKRZSA-N -1 1 314.349 1.178 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCc2cc(O)ccc2C1 ZINC000685509385 486555193 /nfs/dbraw/zinc/55/51/93/486555193.db2.gz HERQRNPHCXNBRP-LLVKDONJSA-N -1 1 323.374 1.107 20 0 DDADMM Cc1ccc(CCNC(=O)c2c[n-]c3c(cnn3C)c2=O)nc1 ZINC000457180812 533804378 /nfs/dbraw/zinc/80/43/78/533804378.db2.gz NTLMRRHWWXJCTL-UHFFFAOYSA-N -1 1 311.345 1.350 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2ccc3c(c2)CCO3)c[nH]1 ZINC000438788030 533923525 /nfs/dbraw/zinc/92/35/25/533923525.db2.gz UNVPHDNDNODSAN-UHFFFAOYSA-N -1 1 322.342 1.537 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCC(C)(C)O2)o1 ZINC000494330533 534239122 /nfs/dbraw/zinc/23/91/22/534239122.db2.gz PBMUMJMUDJAAFV-VIFPVBQESA-N -1 1 317.363 1.302 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)C2(OC)CCC2)c1 ZINC000416621727 534322024 /nfs/dbraw/zinc/32/20/24/534322024.db2.gz UIOKSNHUKIZENQ-VIFPVBQESA-N -1 1 317.363 1.302 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCC3(CO)CC3)cnc2n1 ZINC000412405226 534400554 /nfs/dbraw/zinc/40/05/54/534400554.db2.gz XEONAZAYRBCMOB-UHFFFAOYSA-N -1 1 301.346 1.536 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCCC3(CO)CC3)c[n-]c2n1 ZINC000412405226 534400560 /nfs/dbraw/zinc/40/05/60/534400560.db2.gz XEONAZAYRBCMOB-UHFFFAOYSA-N -1 1 301.346 1.536 20 0 DDADMM NC(=O)c1cccc([N-]S(=O)(=O)c2ccc3c(c2)CCO3)c1 ZINC000301752798 534497694 /nfs/dbraw/zinc/49/76/94/534497694.db2.gz YPQVHKHHSXYYSE-UHFFFAOYSA-N -1 1 318.354 1.521 20 0 DDADMM Cc1cnc(C(=O)N2CSC[C@@H]2C(=O)NC(C)(C)C)c([O-])c1 ZINC000330887069 534525450 /nfs/dbraw/zinc/52/54/50/534525450.db2.gz SKIYYJZRORCVSE-SNVBAGLBSA-N -1 1 323.418 1.525 20 0 DDADMM Cc1cccc(C)c1CS(=O)(=O)[N-]c1nc(N(C)C)n[nH]1 ZINC000295887393 534670726 /nfs/dbraw/zinc/67/07/26/534670726.db2.gz HQSMBHIHOKYORI-UHFFFAOYSA-N -1 1 309.395 1.429 20 0 DDADMM O=C(c1ccc2ccccc2c1[O-])N1CCN2C(=O)CC[C@H]2C1 ZINC000322401570 534727671 /nfs/dbraw/zinc/72/76/71/534727671.db2.gz UFEIOELHKCVYCL-ZDUSSCGKSA-N -1 1 310.353 1.992 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCCN(C(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000331223380 527520260 /nfs/dbraw/zinc/52/02/60/527520260.db2.gz CGLJHAXUUZLXMV-CYBMUJFWSA-N -1 1 318.373 1.338 20 0 DDADMM CC(C)OCC(C)(C)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000414414703 527905155 /nfs/dbraw/zinc/90/51/55/527905155.db2.gz NMHQXCWPHFEQMR-UHFFFAOYSA-N -1 1 303.362 1.857 20 0 DDADMM CCOc1cc(C(=O)N2CC(O)(COC)C2)cc(Cl)c1[O-] ZINC000296737654 528210671 /nfs/dbraw/zinc/21/06/71/528210671.db2.gz AQXFNMXHGRKJFV-UHFFFAOYSA-N -1 1 315.753 1.278 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@H]2CCCO[C@@H]2C(C)C)[n-]n1 ZINC000413180245 528230272 /nfs/dbraw/zinc/23/02/72/528230272.db2.gz PCHYXTYCQCIPHI-IUODEOHRSA-N -1 1 306.410 1.511 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)C[C@@H]1CCCC1(F)F)C(=O)OC ZINC000451383181 528231989 /nfs/dbraw/zinc/23/19/89/528231989.db2.gz ZGFAKWYJJQDEIU-UWVGGRQHSA-N -1 1 313.366 1.683 20 0 DDADMM CCC[C@H]([N-]S(=O)(=O)c1cc2cc(F)ccc2o1)C(N)=O ZINC000451548165 528232401 /nfs/dbraw/zinc/23/24/01/528232401.db2.gz RRKVIIZWBXMRSZ-JTQLQIEISA-N -1 1 314.338 1.504 20 0 DDADMM CCS[C@H]1CC[C@@H](N(C)S(=O)(=O)c2c(C)o[n-]c2=N)C1 ZINC000330894893 529233125 /nfs/dbraw/zinc/23/31/25/529233125.db2.gz RNMOCJBDSHUHSL-ZJUUUORDSA-N -1 1 319.452 1.690 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C(F)(F)F ZINC000444561407 529254893 /nfs/dbraw/zinc/25/48/93/529254893.db2.gz PDFJYVYGFJHRJJ-RCOVLWMOSA-N -1 1 317.271 1.124 20 0 DDADMM Cn1nccc1[C@@H]1CCCN(c2cccc(-c3nnn[n-]3)n2)C1 ZINC000737629498 599295179 /nfs/dbraw/zinc/29/51/79/599295179.db2.gz WPGIQKWVRFOPQI-LLVKDONJSA-N -1 1 310.365 1.379 20 0 DDADMM Cn1nccc1[C@@H]1CCCN(c2cccc(-c3nn[n-]n3)n2)C1 ZINC000737629498 599295181 /nfs/dbraw/zinc/29/51/81/599295181.db2.gz WPGIQKWVRFOPQI-LLVKDONJSA-N -1 1 310.365 1.379 20 0 DDADMM COc1ccccc1CN(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737148960 598982085 /nfs/dbraw/zinc/98/20/85/598982085.db2.gz DBEJXCQTBUUAHY-UHFFFAOYSA-N -1 1 324.344 1.543 20 0 DDADMM COc1ccccc1CN(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737148960 598982087 /nfs/dbraw/zinc/98/20/87/598982087.db2.gz DBEJXCQTBUUAHY-UHFFFAOYSA-N -1 1 324.344 1.543 20 0 DDADMM O=C(NCc1ccc(F)c(F)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738104439 598988229 /nfs/dbraw/zinc/98/82/29/598988229.db2.gz YCRIRCHLYMRQGK-UHFFFAOYSA-N -1 1 316.271 1.470 20 0 DDADMM O=C(NCc1ccc(F)c(F)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738104439 598988230 /nfs/dbraw/zinc/98/82/30/598988230.db2.gz YCRIRCHLYMRQGK-UHFFFAOYSA-N -1 1 316.271 1.470 20 0 DDADMM O=C(Nc1nc(C2CC2)cs1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738210238 598990566 /nfs/dbraw/zinc/99/05/66/598990566.db2.gz MAQZFDUGWVDZPW-UHFFFAOYSA-N -1 1 313.346 1.848 20 0 DDADMM O=C(Nc1nc(C2CC2)cs1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738210238 598990568 /nfs/dbraw/zinc/99/05/68/598990568.db2.gz MAQZFDUGWVDZPW-UHFFFAOYSA-N -1 1 313.346 1.848 20 0 DDADMM CCn1cc(-c2nn[n-]n2)c(=O)n(CCC2CCCCC2)c1=O ZINC000821565368 599123635 /nfs/dbraw/zinc/12/36/35/599123635.db2.gz POHRLCVVYCUYSQ-UHFFFAOYSA-N -1 1 318.381 1.180 20 0 DDADMM CCN(C[C@H]1CCCN(C(=O)[O-])C1)[C@H](C)CS(C)(=O)=O ZINC000739413799 602737447 /nfs/dbraw/zinc/73/74/47/602737447.db2.gz JLWPKKWTDAWSTG-VXGBXAGGSA-N -1 1 306.428 1.131 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CN2C(=O)[C@H]3CC=CC[C@@H]3C2=O)C1 ZINC000819380430 597045343 /nfs/dbraw/zinc/04/53/43/597045343.db2.gz LUHGMPCFIUWXRL-AVGNSLFASA-N -1 1 306.362 1.082 20 0 DDADMM N#Cc1ccc(NC(=O)CN2CCC[C@H](CC(=O)[O-])C2)cc1 ZINC000386497641 597075989 /nfs/dbraw/zinc/07/59/89/597075989.db2.gz ZQLWUJRRPOOCRG-CYBMUJFWSA-N -1 1 301.346 1.683 20 0 DDADMM COc1cccc([C@H](CNC(=O)NCCC(=O)[O-])N(C)C)c1 ZINC000315205656 597284656 /nfs/dbraw/zinc/28/46/56/597284656.db2.gz GVVLJARDAWXGPW-ZDUSSCGKSA-N -1 1 309.366 1.072 20 0 DDADMM C[C@H](NCC(=O)NCC(=O)[O-])c1cccc(OC(F)(F)F)c1 ZINC000820142604 597808604 /nfs/dbraw/zinc/80/86/04/597808604.db2.gz XRCPEHVASHHWMH-QMMMGPOBSA-N -1 1 320.267 1.437 20 0 DDADMM Cc1ccc(NC(=O)NC(=O)CN[C@@H](C(=O)[O-])C(C)C)c(C)c1 ZINC000821087685 598188707 /nfs/dbraw/zinc/18/87/07/598188707.db2.gz BYYYHKYZBYXDRZ-CQSZACIVSA-N -1 1 321.377 1.650 20 0 DDADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)c1ccccc1 ZINC000736026733 598343567 /nfs/dbraw/zinc/34/35/67/598343567.db2.gz QMWGVKHWKXAPQX-NSHDSACASA-N -1 1 310.365 1.594 20 0 DDADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)c1ccccc1 ZINC000736026733 598343569 /nfs/dbraw/zinc/34/35/69/598343569.db2.gz QMWGVKHWKXAPQX-NSHDSACASA-N -1 1 310.365 1.594 20 0 DDADMM Cc1sc2nc(C)nc(-n3cnnc3-c3nn[n-]n3)c2c1C ZINC000822422978 599319457 /nfs/dbraw/zinc/31/94/57/599319457.db2.gz GTQFZZZEIXKMOC-UHFFFAOYSA-N -1 1 313.350 1.377 20 0 DDADMM O[C@@H]1CCC[C@@H]1CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000738388837 599325407 /nfs/dbraw/zinc/32/54/07/599325407.db2.gz HCTBRYORCPSMHL-PHDIDXHHSA-N -1 1 300.775 1.550 20 0 DDADMM O[C@@H]1CCC[C@@H]1CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000738388837 599325410 /nfs/dbraw/zinc/32/54/10/599325410.db2.gz HCTBRYORCPSMHL-PHDIDXHHSA-N -1 1 300.775 1.550 20 0 DDADMM Cc1csc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000822414575 599627377 /nfs/dbraw/zinc/62/73/77/599627377.db2.gz LEKUTTLZUSFVCL-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM Cc1csc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000822414575 599627378 /nfs/dbraw/zinc/62/73/78/599627378.db2.gz LEKUTTLZUSFVCL-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM O=C([O-])CCNC(=O)CN1CCC(Cc2ccc(F)cc2)CC1 ZINC000739734537 599718468 /nfs/dbraw/zinc/71/84/68/599718468.db2.gz LKOMHWRFDUCJBA-UHFFFAOYSA-N -1 1 322.380 1.671 20 0 DDADMM CCN1CCCC[C@@H]1C(=O)Nc1ccc(OCC(=O)[O-])cc1 ZINC000737114165 599733760 /nfs/dbraw/zinc/73/37/60/599733760.db2.gz HPVRMUBUJGEYNY-CQSZACIVSA-N -1 1 306.362 1.963 20 0 DDADMM Cc1ccc(/C=C/S(=O)(=O)Nc2ccn(CC(=O)[O-])n2)cc1 ZINC000738582950 599739344 /nfs/dbraw/zinc/73/93/44/599739344.db2.gz MYUITKGENQVGNH-VQHVLOKHSA-N -1 1 321.358 1.689 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)COc1ccccc1Cl ZINC000314127858 599777676 /nfs/dbraw/zinc/77/76/76/599777676.db2.gz MWWKAPOPIKEZSC-NSHDSACASA-N -1 1 323.736 1.254 20 0 DDADMM C[C@@H]1CCCCN1CC(=O)N1Cc2ccccc2C[C@@H]1C(=O)[O-] ZINC000736783327 600011846 /nfs/dbraw/zinc/01/18/46/600011846.db2.gz GXWYQRDBFSHSHK-CZUORRHYSA-N -1 1 316.401 1.899 20 0 DDADMM C[C@H](O)[C@H]1CCCCN1CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000736678851 600090525 /nfs/dbraw/zinc/09/05/25/600090525.db2.gz XZAKZSBGOZXXRI-QWHCGFSZSA-N -1 1 312.410 1.125 20 0 DDADMM CC(C)N(CC(=O)Nc1ccsc1C(=O)[O-])C[C@H](C)O ZINC000736678574 600135674 /nfs/dbraw/zinc/13/56/74/600135674.db2.gz WERJMHULGKYXRO-VIFPVBQESA-N -1 1 300.380 1.476 20 0 DDADMM Cc1ccccc1CNC(=O)CNC1(C(=O)[O-])CCCCC1 ZINC000738773248 600149305 /nfs/dbraw/zinc/14/93/05/600149305.db2.gz RGBVRTVGPZBARW-UHFFFAOYSA-N -1 1 304.390 1.988 20 0 DDADMM CCC[C@@](C)(NCC(=O)NCc1ccc(C)c(F)c1)C(=O)[O-] ZINC000314403931 600156372 /nfs/dbraw/zinc/15/63/72/600156372.db2.gz XWHDHVKPFQFTQY-MRXNPFEDSA-N -1 1 310.369 1.983 20 0 DDADMM CN1CCCC[C@H]1C(=O)NC[C@@H](Cc1ccc(O)cc1)C(=O)[O-] ZINC000737518512 600180600 /nfs/dbraw/zinc/18/06/00/600180600.db2.gz PRCORYUAFJEXDG-HIFRSBDPSA-N -1 1 320.389 1.236 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCOCC12CCC2 ZINC000826472645 607428379 /nfs/dbraw/zinc/42/83/79/607428379.db2.gz RQBSPMUBMFGLAS-UHFFFAOYSA-N -1 1 305.363 1.323 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCOCC12CCC2 ZINC000826472645 607428380 /nfs/dbraw/zinc/42/83/80/607428380.db2.gz RQBSPMUBMFGLAS-UHFFFAOYSA-N -1 1 305.363 1.323 20 0 DDADMM CSCC[C@@H](C)[N@@H+](C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000738188027 600218253 /nfs/dbraw/zinc/21/82/53/600218253.db2.gz VEZOZOSUFXWXMC-GFCCVEGCSA-N -1 1 316.467 1.964 20 0 DDADMM CSCC[C@@H](C)N(C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000738188027 600218255 /nfs/dbraw/zinc/21/82/55/600218255.db2.gz VEZOZOSUFXWXMC-GFCCVEGCSA-N -1 1 316.467 1.964 20 0 DDADMM C[N@H+]1CCC[C@H](NC(=O)Nc2ccc(CNC(=O)[O-])cc2)C1 ZINC000737521918 600354538 /nfs/dbraw/zinc/35/45/38/600354538.db2.gz PZZQUXPNVTYKIE-ZDUSSCGKSA-N -1 1 306.366 1.670 20 0 DDADMM CCNC(=O)c1cccc(NC(=O)CN[C@@](C)(CC)C(=O)[O-])c1 ZINC000737122827 600559653 /nfs/dbraw/zinc/55/96/53/600559653.db2.gz RZTQXDSNXIBALR-INIZCTEOSA-N -1 1 321.377 1.218 20 0 DDADMM COC[C@H]1CCN(CC(=O)N(C)c2ccccc2C(=O)[O-])C1 ZINC000737750868 600623155 /nfs/dbraw/zinc/62/31/55/600623155.db2.gz FYCDJSYGGPCIJC-LBPRGKRZSA-N -1 1 306.362 1.316 20 0 DDADMM Cc1c(C(=O)[O-])sc2nc(C)nc(N(C)Cc3nnc[nH]3)c12 ZINC000738362907 600687152 /nfs/dbraw/zinc/68/71/52/600687152.db2.gz UVEJGVCJQRJTKU-UHFFFAOYSA-N -1 1 318.362 1.761 20 0 DDADMM CCCN(Cn1cnc(C(=O)[O-])n1)[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC000737021581 600706374 /nfs/dbraw/zinc/70/63/74/600706374.db2.gz XRTSTECKJHUGDM-TUAOUCFPSA-N -1 1 308.382 1.459 20 0 DDADMM C[C@@H](O)CCN(C)[C@H]1CCN(c2sccc2C(=O)[O-])C1=O ZINC000827796173 600799056 /nfs/dbraw/zinc/79/90/56/600799056.db2.gz MQZMNYOVKHTXFU-KOLCDFICSA-N -1 1 312.391 1.254 20 0 DDADMM Cc1nnc(SCCC(=O)N2CCC(CC(=O)[O-])CC2)[nH]1 ZINC000833021903 600906746 /nfs/dbraw/zinc/90/67/46/600906746.db2.gz RZLMIHKFDPPPJQ-UHFFFAOYSA-N -1 1 312.395 1.309 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)CNC1(c2ccccc2)CC1)C(=O)[O-] ZINC000828719003 600997629 /nfs/dbraw/zinc/99/76/29/600997629.db2.gz OXYIAIPOWNIGNE-IUODEOHRSA-N -1 1 304.390 1.881 20 0 DDADMM C[C@](NCC(=O)N1CCCc2ccccc21)(C(=O)[O-])C1CC1 ZINC000827726687 601033906 /nfs/dbraw/zinc/03/39/06/601033906.db2.gz BPFQYKLYPOARKQ-QGZVFWFLSA-N -1 1 302.374 1.809 20 0 DDADMM O=C([O-])C[N@@H+](Cc1nc(=O)c2sccc2[n-]1)C1CCOCC1 ZINC000833248914 601046629 /nfs/dbraw/zinc/04/66/29/601046629.db2.gz DWPGKCHZPAPNSU-UHFFFAOYSA-N -1 1 323.374 1.463 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccnc(Cl)c2)CC1 ZINC000827380290 601100078 /nfs/dbraw/zinc/10/00/78/601100078.db2.gz QRKFGVAMZUQIPO-SNVBAGLBSA-N -1 1 311.769 1.356 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NC(=O)[C@@H](C)N(C)Cc1ccccc1 ZINC000831545301 601292292 /nfs/dbraw/zinc/29/22/92/601292292.db2.gz TXWMJGVGIPPMPL-CXAGYDPISA-N -1 1 322.405 1.503 20 0 DDADMM O=C([O-])[C@]1(F)CCN(CCC(=O)N2CCc3ccccc32)C1 ZINC000833100072 601445196 /nfs/dbraw/zinc/44/51/96/601445196.db2.gz NLELTJHGIMRJEH-INIZCTEOSA-N -1 1 306.337 1.464 20 0 DDADMM CC(=O)Nc1ccc(NC(=O)CN2C[C@H](C)[C@@H](C(=O)[O-])C2)cc1 ZINC000825903154 601499634 /nfs/dbraw/zinc/49/96/34/601499634.db2.gz WXTGXDTYLYLAAO-HZMBPMFUSA-N -1 1 319.361 1.236 20 0 DDADMM COc1ccc(-c2nnc(CN3C[C@H](C)[C@@H](C(=O)[O-])C3)o2)cc1 ZINC000832133924 601519196 /nfs/dbraw/zinc/51/91/96/601519196.db2.gz HBKVSDRFYCVUOR-GWCFXTLKSA-N -1 1 317.345 1.898 20 0 DDADMM CC[C@H](CO)N1CCN(C(=O)Nc2ccc(C(=O)[O-])cc2)CC1 ZINC000828891386 601585463 /nfs/dbraw/zinc/58/54/63/601585463.db2.gz VVNITPUSEGZAKS-CQSZACIVSA-N -1 1 321.377 1.305 20 0 DDADMM Cc1cc(CC(=O)NC[C@@H](C(=O)[O-])c2ccc(C)cc2)[nH]n1 ZINC000832922322 601680783 /nfs/dbraw/zinc/68/07/83/601680783.db2.gz PDXWVXOQAXOCAR-CQSZACIVSA-N -1 1 301.346 1.554 20 0 DDADMM CC(C)(CNC(=O)c1cccc(Br)c1O)C(=O)[O-] ZINC000826602090 601818769 /nfs/dbraw/zinc/81/87/69/601818769.db2.gz MMAGOCRAUWNHTA-UHFFFAOYSA-N -1 1 316.151 1.995 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)NCC(C)(C)C(=O)[O-])c2=O ZINC000832487332 601820076 /nfs/dbraw/zinc/82/00/76/601820076.db2.gz YILRUJDOJKKNLS-UHFFFAOYSA-N -1 1 318.329 1.377 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nnn[n-]2)c1)c1cccc(F)c1 ZINC000826496062 607530465 /nfs/dbraw/zinc/53/04/65/607530465.db2.gz JWPQTRKEQMZGQL-NRFANRHFSA-N -1 1 303.322 1.709 20 0 DDADMM O=[S@@](Cc1ccnc(-c2nn[n-]n2)c1)c1cccc(F)c1 ZINC000826496062 607530466 /nfs/dbraw/zinc/53/04/66/607530466.db2.gz JWPQTRKEQMZGQL-NRFANRHFSA-N -1 1 303.322 1.709 20 0 DDADMM Cc1csc(=O)n1CCN1CCSC[C@@H]1CC(=O)[O-] ZINC000700202240 601885706 /nfs/dbraw/zinc/88/57/06/601885706.db2.gz JXQUQSFAUYUNQH-JTQLQIEISA-N -1 1 302.421 1.110 20 0 DDADMM COC(=O)[C@]1(C)CCN(Cn2ncc3cc(C(=O)[O-])ccc32)C1 ZINC000831088787 601887059 /nfs/dbraw/zinc/88/70/59/601887059.db2.gz ACITYBMQSHWQBR-MRXNPFEDSA-N -1 1 317.345 1.577 20 0 DDADMM CC1CCC2(CC(=O)N(CN3CC[C@@](C)(C(=O)[O-])C3)C2=O)CC1 ZINC000828233167 601894627 /nfs/dbraw/zinc/89/46/27/601894627.db2.gz LHVWRUVSJCQBNY-PTXATLKXSA-N -1 1 322.405 1.696 20 0 DDADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1CCC(C)(O)CC1 ZINC000826004539 601897066 /nfs/dbraw/zinc/89/70/66/601897066.db2.gz AYRPMUXHJPNNIX-LBPRGKRZSA-N -1 1 320.389 1.236 20 0 DDADMM CCc1noc(CSCc2ccnc(-c3nnn[n-]3)c2)n1 ZINC000825776885 607533702 /nfs/dbraw/zinc/53/37/02/607533702.db2.gz MMTFPWJODMFERJ-UHFFFAOYSA-N -1 1 303.351 1.641 20 0 DDADMM CCc1noc(CSCc2ccnc(-c3nn[n-]n3)c2)n1 ZINC000825776885 607533704 /nfs/dbraw/zinc/53/37/04/607533704.db2.gz MMTFPWJODMFERJ-UHFFFAOYSA-N -1 1 303.351 1.641 20 0 DDADMM CC(C)N(C(=O)[C@@H](C)N1CCSC[C@H]1CC(=O)[O-])C(C)C ZINC000825966552 601923270 /nfs/dbraw/zinc/92/32/70/601923270.db2.gz GWPNSOKGLGNXAQ-CHWSQXEVSA-N -1 1 316.467 1.912 20 0 DDADMM Cc1nc(NC(=O)[C@@H](C)N2CC[C@@](C)(C(=O)[O-])C2)sc1C ZINC000833002674 601930643 /nfs/dbraw/zinc/93/06/43/601930643.db2.gz COXZTCFPCPBHNM-YMTOWFKASA-N -1 1 311.407 1.884 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(CC(=O)N2CCC[C@@H]3CCCC[C@@H]32)C1 ZINC000740644024 601956354 /nfs/dbraw/zinc/95/63/54/601956354.db2.gz WLUHPELPXSEZTA-KKUMJFAQSA-N -1 1 323.437 1.757 20 0 DDADMM CC(=O)c1ccccc1OCCN1CC[C@@H](CNC(=O)[O-])C1 ZINC000738596028 601956894 /nfs/dbraw/zinc/95/68/94/601956894.db2.gz FHFDOHPOBNFTQP-ZDUSSCGKSA-N -1 1 306.362 1.858 20 0 DDADMM O=C([O-])NC[C@H]1CCN(CC(=O)NCCC2=CCCCC2)C1 ZINC000740640631 601962673 /nfs/dbraw/zinc/96/26/73/601962673.db2.gz FZRUHDZFSRNAHI-CQSZACIVSA-N -1 1 309.410 1.583 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)CN2CC[C@@H](CNC(=O)[O-])C2)[C@H]1C ZINC000739096012 601963203 /nfs/dbraw/zinc/96/32/03/601963203.db2.gz YLQPKFFREBGACQ-RFGFWPKPSA-N -1 1 311.426 1.517 20 0 DDADMM O=C(N[C@@H]1CCCC[C@@H]1CO)c1ccc(-c2nnn[n-]2)s1 ZINC000826429340 607993747 /nfs/dbraw/zinc/99/37/47/607993747.db2.gz AFNWCNYGAMCRSY-RKDXNWHRSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(N[C@@H]1CCCC[C@@H]1CO)c1ccc(-c2nn[n-]n2)s1 ZINC000826429340 607993748 /nfs/dbraw/zinc/99/37/48/607993748.db2.gz AFNWCNYGAMCRSY-RKDXNWHRSA-N -1 1 307.379 1.209 20 0 DDADMM c1ccc(-c2nnc(OCc3ccnc(-c4nnn[n-]4)c3)o2)cc1 ZINC000826519384 607544818 /nfs/dbraw/zinc/54/48/18/607544818.db2.gz WIKSQALOGBQQQJ-UHFFFAOYSA-N -1 1 321.300 1.891 20 0 DDADMM c1ccc(-c2nnc(OCc3ccnc(-c4nn[n-]n4)c3)o2)cc1 ZINC000826519384 607544820 /nfs/dbraw/zinc/54/48/20/607544820.db2.gz WIKSQALOGBQQQJ-UHFFFAOYSA-N -1 1 321.300 1.891 20 0 DDADMM O=c1c(-c2nn[n-]n2)cn(Cc2nccs2)c2ccccc12 ZINC000826501562 607546861 /nfs/dbraw/zinc/54/68/61/607546861.db2.gz VYTNCQJUBZWRSO-UHFFFAOYSA-N -1 1 310.342 1.686 20 0 DDADMM O=C([O-])C[C@@H]1C(=O)NCCN1CCC12CC3CC(CC(C3)C1)C2 ZINC000391291150 602185276 /nfs/dbraw/zinc/18/52/76/602185276.db2.gz AHPHSQQWLRINBS-GNFNDJEDSA-N -1 1 320.433 1.868 20 0 DDADMM C[C@H](c1ccc(C(=O)[O-])o1)N1CCN(CCC(=O)N(C)C)CC1 ZINC000827928492 602238292 /nfs/dbraw/zinc/23/82/92/602238292.db2.gz HOKFTKCHZJWURT-GFCCVEGCSA-N -1 1 323.393 1.135 20 0 DDADMM COC(C)(C)CN(C)CC(=O)Nc1cc(C(=O)[O-])ccc1C ZINC000831484082 602246621 /nfs/dbraw/zinc/24/66/21/602246621.db2.gz IMXLYIIWNOKDIF-UHFFFAOYSA-N -1 1 308.378 1.989 20 0 DDADMM O=C([O-])NCCCC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000739957306 602291525 /nfs/dbraw/zinc/29/15/25/602291525.db2.gz QMBDLANMUDGLOQ-UHFFFAOYSA-N -1 1 303.322 1.136 20 0 DDADMM O=C([O-])NCCCC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000739957306 602291527 /nfs/dbraw/zinc/29/15/27/602291527.db2.gz QMBDLANMUDGLOQ-UHFFFAOYSA-N -1 1 303.322 1.136 20 0 DDADMM C[C@@H](O)[C@H]1CCCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000824690669 607561020 /nfs/dbraw/zinc/56/10/20/607561020.db2.gz UHCATTCOKXQHLU-RQJHMYQMSA-N -1 1 314.802 1.574 20 0 DDADMM C[C@@H](O)[C@H]1CCCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000824690669 607561021 /nfs/dbraw/zinc/56/10/21/607561021.db2.gz UHCATTCOKXQHLU-RQJHMYQMSA-N -1 1 314.802 1.574 20 0 DDADMM CN(Cc1ccc(C(=O)[O-])cc1)c1cc(-n2cccn2)nc(N)n1 ZINC000830819577 602389965 /nfs/dbraw/zinc/38/99/65/602389965.db2.gz XTEGXHAMKSXVFQ-UHFFFAOYSA-N -1 1 324.344 1.579 20 0 DDADMM C[C@@H](NC(=O)[O-])C1CCN([C@H](C(N)=O)c2ccccc2)CC1 ZINC000739013388 602446863 /nfs/dbraw/zinc/44/68/63/602446863.db2.gz ABDNNKMRHHKCBG-RISCZKNCSA-N -1 1 305.378 1.581 20 0 DDADMM C[C@](CNC(=O)[O-])(NC(=O)c1n[nH]c2ccccc21)C1CC1 ZINC000738923389 602505580 /nfs/dbraw/zinc/50/55/80/602505580.db2.gz FLAKMEYEFIOELB-OAHLLOKOSA-N -1 1 302.334 1.729 20 0 DDADMM CC(C)C[C@@H](C)N(C)C(=O)CN1CCC(N(C)C(=O)[O-])CC1 ZINC000737620986 602537933 /nfs/dbraw/zinc/53/79/33/602537933.db2.gz ZRWYPVGUVNRMCC-CYBMUJFWSA-N -1 1 313.442 1.954 20 0 DDADMM CC1CCC(NC(=O)CN2CC[C@@H](CN(C)C(=O)[O-])C2)CC1 ZINC000739089085 602538175 /nfs/dbraw/zinc/53/81/75/602538175.db2.gz XYIVSSSNMCKSPT-MOKVOYLWSA-N -1 1 311.426 1.613 20 0 DDADMM CN(Cc1ccccc1)C(=O)CN1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000739658766 602541323 /nfs/dbraw/zinc/54/13/23/602541323.db2.gz HBKWXJUXWXLVJN-OAHLLOKOSA-N -1 1 319.405 1.577 20 0 DDADMM O=C([O-])N1CCC[C@@H]1CNS(=O)(=O)c1c(F)cccc1F ZINC000740520773 602593367 /nfs/dbraw/zinc/59/33/67/602593367.db2.gz NCYCFZHZBKGETD-MRVPVSSYSA-N -1 1 320.317 1.386 20 0 DDADMM Cc1ccc2c([n-]cc(C(=O)N[C@@H]3CCN(C(=O)[O-])C3)c2=O)[nH+]1 ZINC000740156339 602594030 /nfs/dbraw/zinc/59/40/30/602594030.db2.gz VHLXRLAFPUSNLM-SECBINFHSA-N -1 1 316.317 1.126 20 0 DDADMM CCC(C)(C)NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825043345 607575662 /nfs/dbraw/zinc/57/56/62/607575662.db2.gz FVLFUOOWYZTCQX-UHFFFAOYSA-N -1 1 313.358 1.473 20 0 DDADMM CCN(CCCNC(=O)N1CCN2CCCC[C@@H]2C1)C(=O)[O-] ZINC000739417818 602618824 /nfs/dbraw/zinc/61/88/24/602618824.db2.gz URGBKBXSDXEEKL-CYBMUJFWSA-N -1 1 312.414 1.256 20 0 DDADMM Cc1cccc(OC[C@@H](O)CN2CCCN(C(=O)[O-])CC2)c1 ZINC000740170809 602738683 /nfs/dbraw/zinc/73/86/83/602738683.db2.gz JULIZWOMXOQDMY-AWEZNQCLSA-N -1 1 308.378 1.420 20 0 DDADMM C[C@@H]1C[C@H](C)CN(C(=O)CN2CC[C@@H](NC(=O)[O-])[C@@H](C)C2)C1 ZINC000739073174 602770549 /nfs/dbraw/zinc/77/05/49/602770549.db2.gz FOURTOPQANWTDY-RFQIPJPRSA-N -1 1 311.426 1.469 20 0 DDADMM C[C@@H]1CN(Cc2cccc3c2OCCO3)CC[C@H]1NC(=O)[O-] ZINC000739195048 602773795 /nfs/dbraw/zinc/77/37/95/602773795.db2.gz XDYLTPXWLGHQJL-DGCLKSJQSA-N -1 1 306.362 1.936 20 0 DDADMM C[C@@H]1CN(C[C@H](O)c2ccc(F)c(F)c2)CC[C@@H]1NC(=O)[O-] ZINC000739180567 602784849 /nfs/dbraw/zinc/78/48/49/602784849.db2.gz PKRXCMAYYKVFKK-IIMNLJJBSA-N -1 1 314.332 1.976 20 0 DDADMM Cc1cccc(OC[C@H](O)CN2C[C@@H](C)N(C(=O)[O-])[C@H](C)C2)c1 ZINC000740172629 602875593 /nfs/dbraw/zinc/87/55/93/602875593.db2.gz RCBPQKIYTMHYDQ-RBSFLKMASA-N -1 1 322.405 1.807 20 0 DDADMM CC(=O)c1c[nH]c(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000824009766 607596727 /nfs/dbraw/zinc/59/67/27/607596727.db2.gz CYWRTHFADZMKDX-UHFFFAOYSA-N -1 1 312.289 1.149 20 0 DDADMM CC(=O)c1c[nH]c(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000824009766 607596729 /nfs/dbraw/zinc/59/67/29/607596729.db2.gz CYWRTHFADZMKDX-UHFFFAOYSA-N -1 1 312.289 1.149 20 0 DDADMM CC(C)CN1CCO[C@H](COC(=O)CC(C)(C)NC(=O)[O-])C1 ZINC000738823596 602963991 /nfs/dbraw/zinc/96/39/91/602963991.db2.gz GQALMBXNVGFOBD-LBPRGKRZSA-N -1 1 316.398 1.323 20 0 DDADMM CCN1CCCC[C@@H]1C(=O)NC1C[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000739458383 603011171 /nfs/dbraw/zinc/01/11/71/603011171.db2.gz XGPDNELXJPOAMY-WTUNAVPPSA-N -1 1 309.410 1.650 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)N[C@@H](CNC(=O)[O-])C1CC1 ZINC000738799995 603132147 /nfs/dbraw/zinc/13/21/47/603132147.db2.gz DDJBVZNQOXKMGE-STQMWFEESA-N -1 1 312.414 1.014 20 0 DDADMM C[C@H]1CN(C(=O)[O-])CCN1C[C@@H](O)COCc1ccccc1 ZINC000739151911 603163671 /nfs/dbraw/zinc/16/36/71/603163671.db2.gz ARDXSMKEUMTCMZ-DZGCQCFKSA-N -1 1 308.378 1.248 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H](C(=O)N2CCN3CCCC[C@H]3C2)C1 ZINC000740565508 603184146 /nfs/dbraw/zinc/18/41/46/603184146.db2.gz QVSMSVQNPWCTIV-MJBXVCDLSA-N -1 1 309.410 1.510 20 0 DDADMM C[C@@H](CC(=O)NC[C@@H](c1ccco1)N1CCCCC1)NC(=O)[O-] ZINC000824628218 603230848 /nfs/dbraw/zinc/23/08/48/603230848.db2.gz GTDTURNSNIYRDE-STQMWFEESA-N -1 1 323.393 1.969 20 0 DDADMM C[C@H](C(=O)N1c2ccccc2C[C@@H]1C)N(C)CCCNC(=O)[O-] ZINC000738618061 603250037 /nfs/dbraw/zinc/25/00/37/603250037.db2.gz IFECRHPJHFYRAP-QWHCGFSZSA-N -1 1 319.405 1.942 20 0 DDADMM CC(C)n1nccc1NC(=O)[C@@H](C)N(C)CCCNC(=O)[O-] ZINC000738636305 603252356 /nfs/dbraw/zinc/25/23/56/603252356.db2.gz DGJMDTZLLBGDAA-LLVKDONJSA-N -1 1 311.386 1.381 20 0 DDADMM O=C([O-])N[C@H](C(=O)NCc1nnc[nH]1)c1cccc(Cl)c1 ZINC000740534579 603310395 /nfs/dbraw/zinc/31/03/95/603310395.db2.gz UFSFYCBMVYFAOT-JTQLQIEISA-N -1 1 309.713 1.083 20 0 DDADMM Cc1ccc2nc(CNC(=O)NCC(C)(C)NC(=O)[O-])[nH]c2c1 ZINC000830433161 603449150 /nfs/dbraw/zinc/44/91/50/603449150.db2.gz QPWSVFZCTCPGQZ-UHFFFAOYSA-N -1 1 319.365 1.717 20 0 DDADMM O=C([O-])N1CC[C@](F)(C(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000831889078 603549371 /nfs/dbraw/zinc/54/93/71/603549371.db2.gz QXCXACBVQPDWPK-CQSZACIVSA-N -1 1 310.329 1.208 20 0 DDADMM CCN(C)[C@@H](C(=O)N1CC[C@@H](N(C)C(=O)[O-])C1)c1ccccc1 ZINC000826988621 603554893 /nfs/dbraw/zinc/55/48/93/603554893.db2.gz LUPLVXGZHNFRKA-HUUCEWRRSA-N -1 1 319.405 1.890 20 0 DDADMM Cc1cccn2c(=O)cc(CN3CCC[C@H]3CNC(=O)[O-])nc12 ZINC000830592086 603574328 /nfs/dbraw/zinc/57/43/28/603574328.db2.gz VNOZOGSBKMDOBI-ZDUSSCGKSA-N -1 1 316.361 1.235 20 0 DDADMM O=C([O-])N1CC(CC(=O)NCCN2CCc3ccccc3C2)C1 ZINC000831360935 603648766 /nfs/dbraw/zinc/64/87/66/603648766.db2.gz RAMHSKPQABSMFC-UHFFFAOYSA-N -1 1 317.389 1.161 20 0 DDADMM CCCN1CCN(C(=O)[C@@H]2[C@@H](C)OC(C)(C)N2C(=O)[O-])CC1 ZINC000826920199 603746237 /nfs/dbraw/zinc/74/62/37/603746237.db2.gz GKISCYHQMSCNAT-NEPJUHHUSA-N -1 1 313.398 1.044 20 0 DDADMM Cc1cc(CNC(=O)NC2C[C@H]3CC[C@@H](C2)N3C(=O)[O-])[nH]n1 ZINC000830042145 603810056 /nfs/dbraw/zinc/81/00/56/603810056.db2.gz YBWJRNGIEMKBAP-CLYYMRHHSA-N -1 1 307.354 1.191 20 0 DDADMM C[C@@H](C(=O)N1CCC[C@H]1CNC(=O)[O-])N(C)Cc1ccccc1 ZINC000823667920 603862090 /nfs/dbraw/zinc/86/20/90/603862090.db2.gz AMVHCEDVPQNLOW-ZFWWWQNUSA-N -1 1 319.405 1.766 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ccc(CNC(=O)[O-])o2)CN1C1CC1 ZINC000825852562 603933592 /nfs/dbraw/zinc/93/35/92/603933592.db2.gz OQBTUYFXGNACHZ-UWVGGRQHSA-N -1 1 307.350 1.402 20 0 DDADMM O=C([O-])N1CC[C@H](CCNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000831659843 603948892 /nfs/dbraw/zinc/94/88/92/603948892.db2.gz QGZUAUDTGPUCLW-QWRGUYRKSA-N -1 1 306.366 1.336 20 0 DDADMM CN(CC(=O)N(C)c1ccccc1)C1CCN(C(=O)[O-])CC1 ZINC000827764650 603983611 /nfs/dbraw/zinc/98/36/11/603983611.db2.gz FGFNLXADHXNXNN-UHFFFAOYSA-N -1 1 305.378 1.724 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(C(=O)N[C@H]2CCCN(C)C2)C1 ZINC000825220928 604123235 /nfs/dbraw/zinc/12/32/35/604123235.db2.gz WTPYGWKZIFLNPR-XQQFMLRXSA-N -1 1 312.414 1.158 20 0 DDADMM Cc1ccc2nc(CNC(=O)N[C@@H]3CCN(C(=O)[O-])C3)[nH]c2c1 ZINC000830433094 604125440 /nfs/dbraw/zinc/12/54/40/604125440.db2.gz QCCUESCNUPBHES-SNVBAGLBSA-N -1 1 317.349 1.423 20 0 DDADMM CCCN(CCCS(=O)(=O)C(C)C)[C@H]1CCN(C(=O)[O-])C1 ZINC000826911742 604126291 /nfs/dbraw/zinc/12/62/91/604126291.db2.gz DSCSXVIRWRIQBC-ZDUSSCGKSA-N -1 1 320.455 1.664 20 0 DDADMM COc1cccc([C@H](C)N2CCN(CCNC(=O)[O-])CC2)c1 ZINC000829542690 604362760 /nfs/dbraw/zinc/36/27/60/604362760.db2.gz AVMQDPWEMNAGNL-ZDUSSCGKSA-N -1 1 307.394 1.641 20 0 DDADMM O=C([O-])N[C@@H]1CCN(C[C@H]2CN(c3ccccc3)C(=O)O2)C1 ZINC000740592770 604373057 /nfs/dbraw/zinc/37/30/57/604373057.db2.gz BFMQMNODHVRXDO-YPMHNXCESA-N -1 1 305.334 1.354 20 0 DDADMM C[C@H](CC(=O)N[C@@H](C)c1nnc[nH]1)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000825100436 604386728 /nfs/dbraw/zinc/38/67/28/604386728.db2.gz FAUATYXZFZHJPW-OUAUKWLOSA-N -1 1 309.370 1.398 20 0 DDADMM O=C([O-])NC1(C(=O)NC[C@@H](c2cccs2)N2CCCC2)CC1 ZINC000832161209 604423268 /nfs/dbraw/zinc/42/32/68/604423268.db2.gz AOZFCRALBUXCCX-NSHDSACASA-N -1 1 323.418 1.801 20 0 DDADMM COC(=O)c1cc(CN2Cc3ccccc3C[C@@H]2C(=O)[O-])c[nH]1 ZINC000831248755 604463158 /nfs/dbraw/zinc/46/31/58/604463158.db2.gz QNCGLVXNYPFBNA-OAHLLOKOSA-N -1 1 314.341 1.813 20 0 DDADMM O=C([O-])NC1(C(=O)NCc2nc3ccc(Cl)cc3[nH]2)CC1 ZINC000832171850 604476071 /nfs/dbraw/zinc/47/60/71/604476071.db2.gz FMGZPCREFAYVDK-UHFFFAOYSA-N -1 1 308.725 1.633 20 0 DDADMM O=C([O-])c1cc(CN2CCCN(Cc3cscn3)CC2)c[nH]1 ZINC000833780357 604632019 /nfs/dbraw/zinc/63/20/19/604632019.db2.gz WEGACSRFLGUHEW-UHFFFAOYSA-N -1 1 320.418 1.877 20 0 DDADMM O=C([O-])N1CCC[C@H](C(=O)Nc2ncc(C(F)(F)F)[nH]2)C1 ZINC000831809892 604633602 /nfs/dbraw/zinc/63/36/02/604633602.db2.gz FHOLRMGBYCKDBY-LURJTMIESA-N -1 1 306.244 1.757 20 0 DDADMM CSC[C@H]1CCCN1CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000832758551 604678410 /nfs/dbraw/zinc/67/84/10/604678410.db2.gz LEBBYEJWXGEBFJ-GFCCVEGCSA-N -1 1 314.451 1.718 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CN(C(=O)c2cccc3n[nH]cc32)CCO1 ZINC000825336220 604711301 /nfs/dbraw/zinc/71/13/01/604711301.db2.gz RESZCJIWDAFGGB-ZANVPECISA-N -1 1 318.333 1.060 20 0 DDADMM CC(=O)N(C)C1CCN(Cc2ccc(C(=O)[O-])cc2F)CC1 ZINC000833388393 604753567 /nfs/dbraw/zinc/75/35/67/604753567.db2.gz OYVIJFYFHKIKHJ-UHFFFAOYSA-N -1 1 308.353 1.967 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CCC[C@H]1c1cccc(N(C)C)c1 ZINC000826000825 604768918 /nfs/dbraw/zinc/76/89/18/604768918.db2.gz RNDTWKDAIMNXKA-WFASDCNBSA-N -1 1 319.405 1.479 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](CN2CCN(c3ccccc3)CC2)O1 ZINC000833578179 604821710 /nfs/dbraw/zinc/82/17/10/604821710.db2.gz IBYWWLKASJJCBT-HNNXBMFYSA-N -1 1 302.374 1.956 20 0 DDADMM O=C([O-])[C@@H](O)C1CCN(Cc2ccccc2OC(F)F)CC1 ZINC000833771269 604981004 /nfs/dbraw/zinc/98/10/04/604981004.db2.gz ZAGNFEVVYLPHCM-ZDUSSCGKSA-N -1 1 315.316 1.946 20 0 DDADMM COCCOc1ccc(CN[C@@H](C(=O)[O-])c2cccnc2)cn1 ZINC000831773358 604992966 /nfs/dbraw/zinc/99/29/66/604992966.db2.gz BLPGIMXIDAULEU-OAHLLOKOSA-N -1 1 317.345 1.417 20 0 DDADMM CCc1nc([C@H](C)NC(=O)Nc2cccc(C(=O)[O-])c2)n[nH]1 ZINC000833672961 605007522 /nfs/dbraw/zinc/00/75/22/605007522.db2.gz GPNJJZDBEZULHU-QMMMGPOBSA-N -1 1 303.322 1.948 20 0 DDADMM C[C@@H]1CCC[C@@H](NC(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)C1 ZINC000833611689 605045549 /nfs/dbraw/zinc/04/55/49/605045549.db2.gz ZKANJRFBOPSZNH-HZSPNIEDSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@H](C(=O)NC[C@H]1CCCO1)N(C)Cc1ccc(C(=O)[O-])cc1 ZINC000833403881 605046932 /nfs/dbraw/zinc/04/69/32/605046932.db2.gz DZBRIEGUNOROFH-IUODEOHRSA-N -1 1 320.389 1.500 20 0 DDADMM C[C@@H]1CN(C(=O)CCC(=O)[O-])[C@H](C)CN1Cc1ccccc1 ZINC000833618421 605176004 /nfs/dbraw/zinc/17/60/04/605176004.db2.gz NSXWQJZSNOOJPH-ZIAGYGMSSA-N -1 1 304.390 1.973 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc(Cl)c2)CCN1CCC(=O)[O-] ZINC000833619303 605254115 /nfs/dbraw/zinc/25/41/15/605254115.db2.gz CBESRGSIKWOGFY-NSHDSACASA-N -1 1 310.781 1.961 20 0 DDADMM CCc1cnccc1[C@H](C)NCC(=O)N[C@H](C(=O)[O-])[C@H](C)CC ZINC000833674254 605270742 /nfs/dbraw/zinc/27/07/42/605270742.db2.gz PUMUDGWSOGZXIV-WQGACYEGSA-N -1 1 321.421 1.910 20 0 DDADMM Cc1n[nH]c(CCNC(=O)C[C@@H](C)C2CCN(C(=O)[O-])CC2)n1 ZINC000830741311 605300039 /nfs/dbraw/zinc/30/00/39/605300039.db2.gz DBTWQIPNXHXXIZ-SNVBAGLBSA-N -1 1 323.397 1.188 20 0 DDADMM O=C([O-])N1CCO[C@H](CC(=O)Nc2cccc(Cl)c2O)C1 ZINC000831392305 605305214 /nfs/dbraw/zinc/30/52/14/605305214.db2.gz APHKAUOGBZSRKB-MRVPVSSYSA-N -1 1 314.725 1.753 20 0 DDADMM Cn1ccnc1-c1cc(NC(=O)[C@@H]2CCCN(C(=O)[O-])C2)[nH]n1 ZINC000831030923 605337192 /nfs/dbraw/zinc/33/71/92/605337192.db2.gz INSATYONVLXJSX-SECBINFHSA-N -1 1 318.337 1.139 20 0 DDADMM O=C([O-])N1CC[C@H](C(=O)Nc2ccccc2-c2nnc[nH]2)C1 ZINC000834055955 605380628 /nfs/dbraw/zinc/38/06/28/605380628.db2.gz HPLFUEURRSJICY-VIFPVBQESA-N -1 1 301.306 1.410 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)CN(C(=O)[O-])c2ccccc2)n[nH]1 ZINC000830030952 605436409 /nfs/dbraw/zinc/43/64/09/605436409.db2.gz RSXHPEQOWWRIMP-LLVKDONJSA-N -1 1 316.361 1.950 20 0 DDADMM O=C([O-])NCc1ccc(C(=O)N[C@@H]2CCc3nc[nH]c3C2)cc1 ZINC000832692606 605443533 /nfs/dbraw/zinc/44/35/33/605443533.db2.gz YWZMEOCFABTPHQ-GFCCVEGCSA-N -1 1 314.345 1.465 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(Cc3cn4cccnc4n3)C[C@@H]21 ZINC000834186713 605448849 /nfs/dbraw/zinc/44/88/49/605448849.db2.gz XEPNENVGCYCAFD-KWCYVHTRSA-N -1 1 315.377 1.597 20 0 DDADMM O=C([O-])N1CCN(CCn2ccccc2=O)C2(CCCCC2)C1 ZINC000832036439 605481854 /nfs/dbraw/zinc/48/18/54/605481854.db2.gz LGBKHAXMARSGBJ-UHFFFAOYSA-N -1 1 319.405 1.847 20 0 DDADMM Cn1cc(CN2CC[C@H](NC(=O)[O-])C2)c(-c2cccnc2)n1 ZINC000833948567 605567804 /nfs/dbraw/zinc/56/78/04/605567804.db2.gz HPUSTLHULRPVLY-ZDUSSCGKSA-N -1 1 301.350 1.324 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1CC(=O)NCc1ccc(F)cc1 ZINC000833829733 605886673 /nfs/dbraw/zinc/88/66/73/605886673.db2.gz JAVKSJJHTMHZDO-YPMHNXCESA-N -1 1 323.368 1.420 20 0 DDADMM O=C([O-])NC1C[C@H]2CCC[C@@H](C1)N2CN1CCSC1=S ZINC000832239323 605904277 /nfs/dbraw/zinc/90/42/77/605904277.db2.gz CSGWHBXNKRAGOR-FGWVZKOKSA-N -1 1 315.464 1.931 20 0 DDADMM CC[C@H]1CCC[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820971928 606171743 /nfs/dbraw/zinc/17/17/43/606171743.db2.gz IFCKJDFETGUUGR-UWVGGRQHSA-N -1 1 318.385 1.691 20 0 DDADMM CC[C@H]1CCC[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820971928 606171745 /nfs/dbraw/zinc/17/17/45/606171745.db2.gz IFCKJDFETGUUGR-UWVGGRQHSA-N -1 1 318.385 1.691 20 0 DDADMM O=c1c(-c2nn[n-]n2)cccn1CCc1ccccc1Cl ZINC000823656813 606207922 /nfs/dbraw/zinc/20/79/22/606207922.db2.gz JJKLKEKIOJQHSE-UHFFFAOYSA-N -1 1 301.737 1.925 20 0 DDADMM Cc1nc(C)c(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])s1 ZINC000822427192 606296818 /nfs/dbraw/zinc/29/68/18/606296818.db2.gz DXWWOEPQYFRZMH-UHFFFAOYSA-N -1 1 316.346 1.898 20 0 DDADMM OC[C@@H](CNc1cccc(-c2nnn[n-]2)n1)Cc1ccccc1 ZINC000823705365 606452591 /nfs/dbraw/zinc/45/25/91/606452591.db2.gz GLRCBFXWQCPYBY-CYBMUJFWSA-N -1 1 310.361 1.525 20 0 DDADMM OC[C@@H](CNc1cccc(-c2nn[n-]n2)n1)Cc1ccccc1 ZINC000823705365 606452592 /nfs/dbraw/zinc/45/25/92/606452592.db2.gz GLRCBFXWQCPYBY-CYBMUJFWSA-N -1 1 310.361 1.525 20 0 DDADMM COc1ccc(NC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000821834984 606487426 /nfs/dbraw/zinc/48/74/26/606487426.db2.gz ABAVNSUIHYFFEY-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM COc1ccc(NC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000821834984 606487427 /nfs/dbraw/zinc/48/74/27/606487427.db2.gz ABAVNSUIHYFFEY-UHFFFAOYSA-N -1 1 302.319 1.584 20 0 DDADMM NC(=O)c1ccccc1NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822890105 606498031 /nfs/dbraw/zinc/49/80/31/606498031.db2.gz MAZKHVLCWAQAKH-UHFFFAOYSA-N -1 1 314.330 1.279 20 0 DDADMM NC(=O)c1ccccc1NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822890105 606498033 /nfs/dbraw/zinc/49/80/33/606498033.db2.gz MAZKHVLCWAQAKH-UHFFFAOYSA-N -1 1 314.330 1.279 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCO[C@@H]2CCCC[C@H]21 ZINC000823483627 606514364 /nfs/dbraw/zinc/51/43/64/606514364.db2.gz KHFXPLOCKXCPGW-NXEZZACHSA-N -1 1 319.390 1.712 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCO[C@@H]2CCCC[C@H]21 ZINC000823483627 606514365 /nfs/dbraw/zinc/51/43/65/606514365.db2.gz KHFXPLOCKXCPGW-NXEZZACHSA-N -1 1 319.390 1.712 20 0 DDADMM CCc1sc(C(=O)Nc2n[nH]cc2-c2nnn[n-]2)cc1C ZINC000821563964 606532306 /nfs/dbraw/zinc/53/23/06/606532306.db2.gz NSSGABOBWCSWEQ-UHFFFAOYSA-N -1 1 303.351 1.774 20 0 DDADMM CCc1sc(C(=O)Nc2n[nH]cc2-c2nn[n-]n2)cc1C ZINC000821563964 606532307 /nfs/dbraw/zinc/53/23/07/606532307.db2.gz NSSGABOBWCSWEQ-UHFFFAOYSA-N -1 1 303.351 1.774 20 0 DDADMM O=C(OCc1ccc(F)cc1F)c1ccc(-c2nnn[n-]2)nc1 ZINC000823426911 606635428 /nfs/dbraw/zinc/63/54/28/606635428.db2.gz QNMAEQLXPBINKQ-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM O=C(OCc1ccc(F)cc1F)c1ccc(-c2nn[n-]n2)nc1 ZINC000823426911 606635429 /nfs/dbraw/zinc/63/54/29/606635429.db2.gz QNMAEQLXPBINKQ-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM C[C@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)C1CCOCC1 ZINC000820511744 606654278 /nfs/dbraw/zinc/65/42/78/606654278.db2.gz CDYGNLRXRVTBAW-QMMMGPOBSA-N -1 1 308.363 1.900 20 0 DDADMM C[C@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)C1CCOCC1 ZINC000820511744 606654279 /nfs/dbraw/zinc/65/42/79/606654279.db2.gz CDYGNLRXRVTBAW-QMMMGPOBSA-N -1 1 308.363 1.900 20 0 DDADMM COCc1nc(Sc2cccc(F)c2-c2nn[n-]n2)n[nH]1 ZINC000821697006 606792616 /nfs/dbraw/zinc/79/26/16/606792616.db2.gz XUNKZHMNTNNREK-UHFFFAOYSA-N -1 1 307.314 1.422 20 0 DDADMM C[C@@H](C[C@@H]1CCOC1)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820231654 607128471 /nfs/dbraw/zinc/12/84/71/607128471.db2.gz CTJWMBHNLYUHNT-IUCAKERBSA-N -1 1 307.379 1.473 20 0 DDADMM C[C@@H](C[C@@H]1CCOC1)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820231654 607128473 /nfs/dbraw/zinc/12/84/73/607128473.db2.gz CTJWMBHNLYUHNT-IUCAKERBSA-N -1 1 307.379 1.473 20 0 DDADMM c1cnc(-c2nnn[n-]2)c(N[C@@H]2CCO[C@]3(CCSC3)C2)n1 ZINC000823809962 607177171 /nfs/dbraw/zinc/17/71/71/607177171.db2.gz TZERCVZCLJNCPT-NOZJJQNGSA-N -1 1 319.394 1.123 20 0 DDADMM c1cnc(-c2nn[n-]n2)c(N[C@@H]2CCO[C@]3(CCSC3)C2)n1 ZINC000823809962 607177172 /nfs/dbraw/zinc/17/71/72/607177172.db2.gz TZERCVZCLJNCPT-NOZJJQNGSA-N -1 1 319.394 1.123 20 0 DDADMM OC[C@@H](CC1CC1)Nc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000826507409 607850607 /nfs/dbraw/zinc/85/06/07/607850607.db2.gz NVCUVHLBVLNKMU-LLVKDONJSA-N -1 1 310.361 1.988 20 0 DDADMM OC[C@@H](CC1CC1)Nc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000826507409 607850608 /nfs/dbraw/zinc/85/06/08/607850608.db2.gz NVCUVHLBVLNKMU-LLVKDONJSA-N -1 1 310.361 1.988 20 0 DDADMM O=C(COc1cccc(F)c1-c1nnn[n-]1)NCc1ccco1 ZINC000826418650 607864864 /nfs/dbraw/zinc/86/48/64/607864864.db2.gz PVYDGUUMNULMQS-UHFFFAOYSA-N -1 1 317.280 1.294 20 0 DDADMM O=C(COc1cccc(F)c1-c1nn[n-]n1)NCc1ccco1 ZINC000826418650 607864865 /nfs/dbraw/zinc/86/48/65/607864865.db2.gz PVYDGUUMNULMQS-UHFFFAOYSA-N -1 1 317.280 1.294 20 0 DDADMM Cc1cccc(C)c1NC(=O)CNc1cccc(-c2nnn[n-]2)n1 ZINC000826296733 607896272 /nfs/dbraw/zinc/89/62/72/607896272.db2.gz HLULJKKQKHXZJT-UHFFFAOYSA-N -1 1 323.360 1.929 20 0 DDADMM Cc1cccc(C)c1NC(=O)CNc1cccc(-c2nn[n-]n2)n1 ZINC000826296733 607896273 /nfs/dbraw/zinc/89/62/73/607896273.db2.gz HLULJKKQKHXZJT-UHFFFAOYSA-N -1 1 323.360 1.929 20 0 DDADMM O=C1OCCN1c1cccc(Nc2cccc(-c3nnn[n-]3)n2)c1 ZINC000826483652 607896870 /nfs/dbraw/zinc/89/68/70/607896870.db2.gz VHFPURLLQWDZQT-UHFFFAOYSA-N -1 1 323.316 1.962 20 0 DDADMM O=C1OCCN1c1cccc(Nc2cccc(-c3nn[n-]n3)n2)c1 ZINC000826483652 607896871 /nfs/dbraw/zinc/89/68/71/607896871.db2.gz VHFPURLLQWDZQT-UHFFFAOYSA-N -1 1 323.316 1.962 20 0 DDADMM CCOC(=O)C1(CNc2cccc(-c3nnn[n-]3)n2)CCC1 ZINC000825494649 607899646 /nfs/dbraw/zinc/89/96/46/607899646.db2.gz ZJIVJYGXIVYAKG-UHFFFAOYSA-N -1 1 302.338 1.407 20 0 DDADMM CCOC(=O)C1(CNc2cccc(-c3nn[n-]n3)n2)CCC1 ZINC000825494649 607899647 /nfs/dbraw/zinc/89/96/47/607899647.db2.gz ZJIVJYGXIVYAKG-UHFFFAOYSA-N -1 1 302.338 1.407 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@@H]1CC1(Cl)Cl ZINC000826450867 607999548 /nfs/dbraw/zinc/99/95/48/607999548.db2.gz HGGPHMGMWOFRLF-LURJTMIESA-N -1 1 314.132 1.705 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCOCC12CCCC2 ZINC000826472569 608102804 /nfs/dbraw/zinc/10/28/04/608102804.db2.gz OTOVOBYUMXNRQO-UHFFFAOYSA-N -1 1 314.349 1.047 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCOCC12CCCC2 ZINC000826472569 608102805 /nfs/dbraw/zinc/10/28/05/608102805.db2.gz OTOVOBYUMXNRQO-UHFFFAOYSA-N -1 1 314.349 1.047 20 0 DDADMM CN(C[C@@H](O)C1CC1)c1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000825902217 608122755 /nfs/dbraw/zinc/12/27/55/608122755.db2.gz QQVILPIDYQVMAI-OAHLLOKOSA-N -1 1 310.361 1.622 20 0 DDADMM CN(C[C@@H](O)C1CC1)c1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000825902217 608122756 /nfs/dbraw/zinc/12/27/56/608122756.db2.gz QQVILPIDYQVMAI-OAHLLOKOSA-N -1 1 310.361 1.622 20 0 DDADMM CC[C@H]1COCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000825220031 608183879 /nfs/dbraw/zinc/18/38/79/608183879.db2.gz OSSSSURIAFBOJS-LURJTMIESA-N -1 1 300.775 1.592 20 0 DDADMM CC[C@H]1COCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000825220031 608183880 /nfs/dbraw/zinc/18/38/80/608183880.db2.gz OSSSSURIAFBOJS-LURJTMIESA-N -1 1 300.775 1.592 20 0 DDADMM CCC1(CC)[C@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@@H](C)[C@H]1OC ZINC000825171406 608197061 /nfs/dbraw/zinc/19/70/61/608197061.db2.gz PAMOMIFDSDGYDP-OASPWFOLSA-N -1 1 317.397 1.908 20 0 DDADMM CCC1(CC)[C@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@@H](C)[C@H]1OC ZINC000825171406 608197062 /nfs/dbraw/zinc/19/70/62/608197062.db2.gz PAMOMIFDSDGYDP-OASPWFOLSA-N -1 1 317.397 1.908 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])[C@@H](O)c1ccccc1 ZINC000826451245 608205023 /nfs/dbraw/zinc/20/50/23/608205023.db2.gz XLDVMAUBUIRKMB-ZDUSSCGKSA-N -1 1 311.301 1.244 20 0 DDADMM O=c1cc(CNc2ccc(-c3nnn[n-]3)nn2)c2ccccc2[nH]1 ZINC000826502020 608423583 /nfs/dbraw/zinc/42/35/83/608423583.db2.gz QZQQAVJQIZDQAK-UHFFFAOYSA-N -1 1 320.316 1.523 20 0 DDADMM O=c1cc(CNc2ccc(-c3nn[n-]n3)nn2)c2ccccc2[nH]1 ZINC000826502020 608423585 /nfs/dbraw/zinc/42/35/85/608423585.db2.gz QZQQAVJQIZDQAK-UHFFFAOYSA-N -1 1 320.316 1.523 20 0 DDADMM c1cc2c(s1)CCO[C@H]2CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826518470 608425341 /nfs/dbraw/zinc/42/53/41/608425341.db2.gz OSOUFFKOJAKJRL-JTQLQIEISA-N -1 1 315.362 1.444 20 0 DDADMM c1cc2c(s1)CCO[C@H]2CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826518470 608425343 /nfs/dbraw/zinc/42/53/43/608425343.db2.gz OSOUFFKOJAKJRL-JTQLQIEISA-N -1 1 315.362 1.444 20 0 DDADMM Cc1cccc2oc(CCNc3ccc(-c4nnn[n-]4)nn3)nc21 ZINC000826304850 608426376 /nfs/dbraw/zinc/42/63/76/608426376.db2.gz AVNROSBSXASJOP-UHFFFAOYSA-N -1 1 322.332 1.761 20 0 DDADMM Cc1cccc2oc(CCNc3ccc(-c4nn[n-]n4)nn3)nc21 ZINC000826304850 608426377 /nfs/dbraw/zinc/42/63/77/608426377.db2.gz AVNROSBSXASJOP-UHFFFAOYSA-N -1 1 322.332 1.761 20 0 DDADMM c1cc(-c2nnn[n-]2)nnc1N[C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2 ZINC000826514347 608438035 /nfs/dbraw/zinc/43/80/35/608438035.db2.gz ORNWVPKQAPXLMQ-BIMULSAOSA-N -1 1 313.365 1.416 20 0 DDADMM c1cc(-c2nn[n-]n2)nnc1N[C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2 ZINC000826514347 608438037 /nfs/dbraw/zinc/43/80/37/608438037.db2.gz ORNWVPKQAPXLMQ-BIMULSAOSA-N -1 1 313.365 1.416 20 0 DDADMM CN(Cc1ccc(-c2nn[n-]n2)s1)Cc1nnc2n1CCC2 ZINC000825966332 608510735 /nfs/dbraw/zinc/51/07/35/608510735.db2.gz YMLWRPVLAOYMMP-UHFFFAOYSA-N -1 1 316.394 1.098 20 0 DDADMM c1cc(-c2nnn[n-]2)oc1CN1CCN2CCOc3cccc1c32 ZINC000826518218 609174726 /nfs/dbraw/zinc/17/47/26/609174726.db2.gz GICWJEDHRMFPHS-UHFFFAOYSA-N -1 1 324.344 1.679 20 0 DDADMM c1cc(-c2nn[n-]n2)oc1CN1CCN2CCOc3cccc1c32 ZINC000826518218 609174729 /nfs/dbraw/zinc/17/47/29/609174729.db2.gz GICWJEDHRMFPHS-UHFFFAOYSA-N -1 1 324.344 1.679 20 0 DDADMM CCN(Cc1cn(C)nn1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000825443979 609389793 /nfs/dbraw/zinc/38/97/93/609389793.db2.gz HREXXNZRQPAXSV-UHFFFAOYSA-N -1 1 319.760 1.070 20 0 DDADMM CCN(Cc1cn(C)nn1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000825443979 609389794 /nfs/dbraw/zinc/38/97/94/609389794.db2.gz HREXXNZRQPAXSV-UHFFFAOYSA-N -1 1 319.760 1.070 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H]2CCN(CC(F)F)C2)[n-]1 ZINC000797103613 699993954 /nfs/dbraw/zinc/99/39/54/699993954.db2.gz AMPMEHZEAFYMER-QMMMGPOBSA-N -1 1 302.277 1.298 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)[C@@H]2CC[C@@H](F)C2)C1)c1ncccc1[O-] ZINC000973197290 695443773 /nfs/dbraw/zinc/44/37/73/695443773.db2.gz BBIFREKEWJDRKA-DDHJBXDOSA-N -1 1 321.352 1.302 20 0 DDADMM CC1(C)CC[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973200044 695444331 /nfs/dbraw/zinc/44/43/31/695444331.db2.gz XBLQEOKLYMBBCX-SRVKXCTJSA-N -1 1 317.389 1.600 20 0 DDADMM CC[C@H](C(=O)[O-])N(C(=O)N[C@@H]1CCCc2cn[nH]c21)C1CC1 ZINC000797725374 700021426 /nfs/dbraw/zinc/02/14/26/700021426.db2.gz UCHYSXMGBCSINR-VXGBXAGGSA-N -1 1 306.366 1.824 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000797724766 700020902 /nfs/dbraw/zinc/02/09/02/700020902.db2.gz NYNZZTGTZOHPPQ-OPDFLTKYSA-N -1 1 318.377 1.682 20 0 DDADMM CC(=O)N1CCC[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000975290012 695825517 /nfs/dbraw/zinc/82/55/17/695825517.db2.gz INPILNAAPSBQIB-NSHDSACASA-N -1 1 318.377 1.048 20 0 DDADMM CCC(Nc1ccc2c(c1)CCC2)=C1C(=O)[N-]C(=S)NC1=O ZINC000009779273 696037719 /nfs/dbraw/zinc/03/77/19/696037719.db2.gz GASLTDBEGFOHBO-UHFFFAOYSA-N -1 1 315.398 1.782 20 0 DDADMM C[C@@H]1C[C@@H]1CC(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000977129127 696056846 /nfs/dbraw/zinc/05/68/46/696056846.db2.gz RQNSTHJZXKSSFM-CYRTVHNOSA-N -1 1 315.373 1.020 20 0 DDADMM O=C(NNC(=O)c1ccccc1[O-])Nc1cccc([N+](=O)[O-])c1 ZINC000029617958 696111574 /nfs/dbraw/zinc/11/15/74/696111574.db2.gz NPXMPYPWTUFURG-UHFFFAOYSA-N -1 1 316.273 1.767 20 0 DDADMM Cc1cc(CNC(=O)CSc2n[n-]c(=S)s2)n[nH]1 ZINC000747392479 700067418 /nfs/dbraw/zinc/06/74/18/700067418.db2.gz GKKKXCAYISIXSU-UHFFFAOYSA-N -1 1 301.422 1.267 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1ccc[nH]1 ZINC000977382720 696137889 /nfs/dbraw/zinc/13/78/89/696137889.db2.gz BKEWZDQKIAFJIU-NSHDSACASA-N -1 1 314.345 1.102 20 0 DDADMM CNC(=O)C[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000060568341 696311481 /nfs/dbraw/zinc/31/14/81/696311481.db2.gz KVEMMTFMLNXEMT-UHFFFAOYSA-N -1 1 303.192 1.079 20 0 DDADMM Cc1cc(C)n(CCC(=O)NCc2n[n-]c(=S)n2C2CC2)n1 ZINC000066637899 696354562 /nfs/dbraw/zinc/35/45/62/696354562.db2.gz VLQLZPJBQWFYJY-UHFFFAOYSA-N -1 1 320.422 1.795 20 0 DDADMM O=C(NN=c1c(F)c(F)[n-]c(F)c1F)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000072736077 696396046 /nfs/dbraw/zinc/39/60/46/696396046.db2.gz QONSSENJWVJKNV-PBXRRBTRSA-N -1 1 305.231 1.649 20 0 DDADMM C[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccc(Cl)cc1 ZINC000079293553 696459176 /nfs/dbraw/zinc/45/91/76/696459176.db2.gz VPLHVQPGIDRIAE-XVKPBYJWSA-N -1 1 311.794 1.864 20 0 DDADMM C[C@@H](NC(=O)Cc1sc(N)nc1[O-])c1nc2ccccc2[nH]1 ZINC000079380800 696459903 /nfs/dbraw/zinc/45/99/03/696459903.db2.gz ADURZKHSQWULAY-XCBNKYQSSA-N -1 1 317.374 1.087 20 0 DDADMM C[C@@H]1CCN(C(=O)Cc2sc(N)nc2[O-])c2ccccc21 ZINC000079617196 696462063 /nfs/dbraw/zinc/46/20/63/696462063.db2.gz JIEQFZFGPLFDPW-BXKDBHETSA-N -1 1 303.387 1.874 20 0 DDADMM Nc1nc([O-])c(CC(=O)N(CC2CCCCC2)C2CC2)s1 ZINC000079660813 696462482 /nfs/dbraw/zinc/46/24/82/696462482.db2.gz VYXCTQFLZHAUPV-GFCCVEGCSA-N -1 1 309.435 1.905 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccnn2C2CCCC2)s1 ZINC000079926805 696465050 /nfs/dbraw/zinc/46/50/50/696465050.db2.gz MWFVWGPCSQSTIU-SECBINFHSA-N -1 1 307.379 1.284 20 0 DDADMM CCC[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccn1 ZINC000080035600 696530068 /nfs/dbraw/zinc/53/00/68/696530068.db2.gz AVWWQASADNBXPY-QWRGUYRKSA-N -1 1 306.391 1.386 20 0 DDADMM Cc1cccc([C@H](C)CNC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080439650 696534491 /nfs/dbraw/zinc/53/44/91/696534491.db2.gz CEIZIBXPMBPLCP-ZYHUDNBSSA-N -1 1 305.403 1.562 20 0 DDADMM COC[C@H](C)NC(=O)N[N-]C(=O)c1ccc(Cl)cc1F ZINC000083019689 696549804 /nfs/dbraw/zinc/54/98/04/696549804.db2.gz LAZAHVVXWVZGRB-ZETCQYMHSA-N -1 1 303.721 1.458 20 0 DDADMM O=C(CNC(=O)OCC(F)(F)F)Nc1c([O-])cccc1F ZINC000089541226 696578434 /nfs/dbraw/zinc/57/84/34/696578434.db2.gz SYMKCODFXLJWQY-UHFFFAOYSA-N -1 1 310.203 1.758 20 0 DDADMM Cc1c2ccccc2oc1C(=O)[N-]NC(=O)[C@H]1CCO[C@@H]1C ZINC000092210748 696593238 /nfs/dbraw/zinc/59/32/38/696593238.db2.gz ZWINWAVDDBACHO-PWSUYJOCSA-N -1 1 302.330 1.927 20 0 DDADMM Cc1ccccc1[C@H](O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000112384004 696650375 /nfs/dbraw/zinc/65/03/75/696650375.db2.gz HBHSWTDJLVYIAM-OAHLLOKOSA-N -1 1 323.352 1.695 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2scnc2C)o1 ZINC000119354667 696679639 /nfs/dbraw/zinc/67/96/39/696679639.db2.gz QYBMAISJIKSLLL-UHFFFAOYSA-N -1 1 316.360 1.310 20 0 DDADMM CC[S@@](=O)CCNC(=O)c1ccc(Br)cc1[O-] ZINC000134677110 696838468 /nfs/dbraw/zinc/83/84/68/696838468.db2.gz IEEBPHUHYKKZJH-KRWDZBQOSA-N -1 1 320.208 1.653 20 0 DDADMM CCC[C@H](NC(=O)c1cc(Cl)nc(NC)c1)c1nn[n-]n1 ZINC000136660346 696855762 /nfs/dbraw/zinc/85/57/62/696855762.db2.gz RPTMDAUQZSXYOJ-QMMMGPOBSA-N -1 1 309.761 1.561 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)c2cc3cc(Cl)ccc3[nH]2)n[nH]1 ZINC000151170524 696881365 /nfs/dbraw/zinc/88/13/65/696881365.db2.gz ACKBDGCJKKSWNX-UHFFFAOYSA-N -1 1 317.736 1.928 20 0 DDADMM Cn1cc(C(=O)N[N-]C(=O)c2cc(Cl)ncc2Cl)cn1 ZINC000153789381 696922214 /nfs/dbraw/zinc/92/22/14/696922214.db2.gz PFDPBUHZOCFMHK-UHFFFAOYSA-N -1 1 314.132 1.197 20 0 DDADMM C#CCN1CCN(C(=O)c2cc(Cl)c([O-])c(OC)c2)CC1 ZINC000153818035 696922425 /nfs/dbraw/zinc/92/24/25/696922425.db2.gz GSJNFVPTUIVZRZ-UHFFFAOYSA-N -1 1 308.765 1.445 20 0 DDADMM CCc1ccccc1N1C[C@@H](C(=O)[N-]OCCOC)CC1=O ZINC000748765899 700133223 /nfs/dbraw/zinc/13/32/23/700133223.db2.gz BAKHIYDKPHANQR-ZDUSSCGKSA-N -1 1 306.362 1.296 20 0 DDADMM CC1(C)C[C@H]1C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982670025 697167169 /nfs/dbraw/zinc/16/71/69/697167169.db2.gz GNQIYCYGFYFOET-RYUDHWBXSA-N -1 1 317.389 1.412 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983206994 697225678 /nfs/dbraw/zinc/22/56/78/697225678.db2.gz SJIDBKDHZJRSHT-GFCCVEGCSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)[C@H]2CC23CC3)C1)c1ncccc1[O-] ZINC000983364671 697246422 /nfs/dbraw/zinc/24/64/22/697246422.db2.gz OLBHJYRACXDTLK-VXGBXAGGSA-N -1 1 315.373 1.166 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(N(C)C)cc2)co1 ZINC000162416165 697328412 /nfs/dbraw/zinc/32/84/12/697328412.db2.gz YCNZMVLKDYQVCT-UHFFFAOYSA-N -1 1 323.374 1.506 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1C[C@@H]1c1ccc2ccccc2c1 ZINC000171763106 697361660 /nfs/dbraw/zinc/36/16/60/697361660.db2.gz CFNAQWNOCBKFMP-ZIAGYGMSSA-N -1 1 309.325 1.526 20 0 DDADMM Cc1[nH]nc2ncc(NC(=O)c3nnc4ccccc4c3O)cc12 ZINC000175428060 697396777 /nfs/dbraw/zinc/39/67/77/697396777.db2.gz LMVRRMNTDRFDMO-UHFFFAOYSA-N -1 1 320.312 1.755 20 0 DDADMM CO[C@@H](C)CC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000181076915 697454689 /nfs/dbraw/zinc/45/46/89/697454689.db2.gz BBSHJEBNDGLCAL-QMMMGPOBSA-N -1 1 304.343 1.561 20 0 DDADMM CCOc1cc(C(=O)NNc2cccnn2)cc(Cl)c1[O-] ZINC000183556648 697489714 /nfs/dbraw/zinc/48/97/14/697489714.db2.gz XFDNVBCYGZKHBZ-UHFFFAOYSA-N -1 1 308.725 1.991 20 0 DDADMM CCOc1cc(C(=O)NNc2cnccn2)cc(Cl)c1[O-] ZINC000186983919 697540049 /nfs/dbraw/zinc/54/00/49/697540049.db2.gz BGOJVXDBPHVTBR-UHFFFAOYSA-N -1 1 308.725 1.991 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2CCCCO2)cc1 ZINC000772308798 697619010 /nfs/dbraw/zinc/61/90/10/697619010.db2.gz DHCHXVDDTWTRDH-ZDUSSCGKSA-N -1 1 321.329 1.064 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CCCCCC1 ZINC000986042537 697652925 /nfs/dbraw/zinc/65/29/25/697652925.db2.gz YDQOBBPKWSQGEM-AAEUAGOBSA-N -1 1 321.425 1.560 20 0 DDADMM Cc1cscc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986101898 697685900 /nfs/dbraw/zinc/68/59/00/697685900.db2.gz NWVBVEUVRVBQFH-MWLCHTKSSA-N -1 1 321.406 1.273 20 0 DDADMM Cc1ccc(F)c(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000773155361 697719769 /nfs/dbraw/zinc/71/97/69/697719769.db2.gz URTYXVCULWEEBD-NSHDSACASA-N -1 1 307.354 1.578 20 0 DDADMM CCCCS[C@@H](C)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000773160153 697721591 /nfs/dbraw/zinc/72/15/91/697721591.db2.gz IKOHLFMSLIHIEP-UWVGGRQHSA-N -1 1 315.468 1.738 20 0 DDADMM CCc1occc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986219738 697739910 /nfs/dbraw/zinc/73/99/10/697739910.db2.gz RDSFIWLSKORTRT-GXSJLCMTSA-N -1 1 319.365 1.059 20 0 DDADMM COC(=O)[C@](C)(NC(=O)c1ccc2n[n-]c(=S)n2c1)C1CC1 ZINC000773993307 697831451 /nfs/dbraw/zinc/83/14/51/697831451.db2.gz GYFULFCQBAQMMS-CQSZACIVSA-N -1 1 320.374 1.090 20 0 DDADMM Cc1ccc(O)c(NC(=O)c2ccc3n[n-]c(=S)n3c2)n1 ZINC000774469544 697883467 /nfs/dbraw/zinc/88/34/67/697883467.db2.gz ZBAOJFRTDQPHGP-UHFFFAOYSA-N -1 1 301.331 1.679 20 0 DDADMM O=C(N[C@H]1CCCCCN(C(=O)C2CC2)C1)c1ncccc1[O-] ZINC000986814030 697916462 /nfs/dbraw/zinc/91/64/62/697916462.db2.gz BWBVBLWOFQWFKS-ZDUSSCGKSA-N -1 1 317.389 1.698 20 0 DDADMM O=C([N-]CCCOC(=O)[C@@H]1Cc2ccccc2O1)C(F)(F)F ZINC000774896194 697939074 /nfs/dbraw/zinc/93/90/74/697939074.db2.gz ZXFLETFABSLRCD-NSHDSACASA-N -1 1 317.263 1.602 20 0 DDADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(C)(C)F ZINC000987671983 698193077 /nfs/dbraw/zinc/19/30/77/698193077.db2.gz NBWURQZLIHNNAD-ZJUUUORDSA-N -1 1 309.341 1.255 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC000987681966 698196682 /nfs/dbraw/zinc/19/66/82/698196682.db2.gz SGOZQGWSMQAZCZ-LPWJVIDDSA-N -1 1 317.389 1.553 20 0 DDADMM O=C([N-]N1CN=NC1=O)c1cc(F)ccc1Br ZINC000777768431 698220293 /nfs/dbraw/zinc/22/02/93/698220293.db2.gz PDMSHBAOLYEUPB-UHFFFAOYSA-N -1 1 301.075 1.269 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)Oc1ccc(C=O)cc1)c1ncon1 ZINC000778409973 698334123 /nfs/dbraw/zinc/33/41/23/698334123.db2.gz OMPGAFSTBAOUCL-QMMMGPOBSA-N -1 1 311.244 1.731 20 0 DDADMM Cc1cc(C(=O)NOC2CCCCC2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000779316616 698412590 /nfs/dbraw/zinc/41/25/90/698412590.db2.gz GORUEISTQGGICB-UHFFFAOYSA-N -1 1 318.333 1.739 20 0 DDADMM CC[C@@H]1C[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCO1 ZINC000780140278 698492151 /nfs/dbraw/zinc/49/21/51/698492151.db2.gz FQGXLURRFOPTSM-ZIAGYGMSSA-N -1 1 319.357 1.691 20 0 DDADMM CC(C)[C@@H](NC(=O)NC[C@@H](c1cccs1)N(C)C)C(=O)[O-] ZINC000780342133 698508841 /nfs/dbraw/zinc/50/88/41/698508841.db2.gz VXPUEFSQZVQNRO-CMPLNLGQSA-N -1 1 313.423 1.759 20 0 DDADMM COc1ccc(SCCCC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000780370679 698512168 /nfs/dbraw/zinc/51/21/68/698512168.db2.gz MVBNSCOYYVKXOM-UHFFFAOYSA-N -1 1 323.374 1.151 20 0 DDADMM C[C@@H](NC(=O)NC[C@@H](C)N1CCc2ccccc2C1)C(=O)[O-] ZINC000780479383 698523255 /nfs/dbraw/zinc/52/32/55/698523255.db2.gz CVFAMFPEAFYMNV-VXGBXAGGSA-N -1 1 305.378 1.206 20 0 DDADMM COc1cc(C(=O)NOC2CCOCC2)cc(Cl)c1[O-] ZINC000785420943 699075679 /nfs/dbraw/zinc/07/56/79/699075679.db2.gz GWLZZLLEHWXKLH-UHFFFAOYSA-N -1 1 301.726 1.895 20 0 DDADMM CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])CC(C)(C)C1 ZINC000990616328 699109327 /nfs/dbraw/zinc/10/93/27/699109327.db2.gz VKWUFFLXXWZAKC-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCC[C@H]1CCCO1 ZINC000786734598 699164708 /nfs/dbraw/zinc/16/47/08/699164708.db2.gz LGCIZQGFGLLMBR-SNVBAGLBSA-N -1 1 300.380 1.257 20 0 DDADMM O=C(NC1CN(C(=O)[C@@H]2CCC[C@H]3C[C@H]32)C1)c1ncccc1[O-] ZINC000990976648 699197665 /nfs/dbraw/zinc/19/76/65/699197665.db2.gz HDUHVJFSVPOXCR-CYZMBNFOSA-N -1 1 315.373 1.164 20 0 DDADMM Cc1cnc(CNC2CCN(C(=O)c3n[nH]c(C)c3[O-])CC2)o1 ZINC000991108740 699236353 /nfs/dbraw/zinc/23/63/53/699236353.db2.gz BJCBQWJEWRMIFY-UHFFFAOYSA-N -1 1 319.365 1.115 20 0 DDADMM Cc1ccccc1C1(C(=O)OCc2nc(=O)n(C)[n-]2)CCC1 ZINC000788188017 699288877 /nfs/dbraw/zinc/28/88/77/699288877.db2.gz OTNWBBNDQAXSKA-UHFFFAOYSA-N -1 1 301.346 1.582 20 0 DDADMM O=S(=O)([N-]CC1(CO)CCC1)c1ccc(Cl)nc1F ZINC000717663589 699289856 /nfs/dbraw/zinc/28/98/56/699289856.db2.gz TZUUKYHQNDLQJK-UHFFFAOYSA-N -1 1 308.762 1.315 20 0 DDADMM CC(C)(CNC(=O)c1c([O-])cccc1F)CS(C)(=O)=O ZINC000723861010 699322611 /nfs/dbraw/zinc/32/26/11/699322611.db2.gz PCPFXCNEZGHTJJ-UHFFFAOYSA-N -1 1 303.355 1.332 20 0 DDADMM CC(C)[C@](C)(O)C(=O)Nc1nc(Br)ccc1[O-] ZINC000790043187 699436903 /nfs/dbraw/zinc/43/69/03/699436903.db2.gz NRQBRMJFPDXJFB-NSHDSACASA-N -1 1 303.156 1.895 20 0 DDADMM CCN(CC)c1nc([O-])c(CC(=O)Nc2cnccn2)s1 ZINC000730814493 699516543 /nfs/dbraw/zinc/51/65/43/699516543.db2.gz CQAXJJWNSDBILI-SECBINFHSA-N -1 1 307.379 1.145 20 0 DDADMM CCOC(=O)c1ccccc1OS(=O)(=O)c1c[n-]nc1C ZINC000732215194 699550467 /nfs/dbraw/zinc/55/04/67/699550467.db2.gz JJPPBLNRDYULNE-UHFFFAOYSA-N -1 1 310.331 1.663 20 0 DDADMM Cc1cc(CNC(=O)c2ccc3c(c2)[n-]c(=S)[nH]c3=O)n[nH]1 ZINC000733366310 699590566 /nfs/dbraw/zinc/59/05/66/699590566.db2.gz BSALVNBDWVYLAM-UHFFFAOYSA-N -1 1 315.358 1.586 20 0 DDADMM O=C(Nc1ccncc1[O-])c1nc(Br)ccc1F ZINC000792284814 699690006 /nfs/dbraw/zinc/69/00/06/699690006.db2.gz KIHYEEMQURXUHQ-UHFFFAOYSA-N -1 1 312.098 1.758 20 0 DDADMM CN(C(=O)c1ccco1)c1ccccc1C(=O)Nc1nnn[n-]1 ZINC000736157488 699719536 /nfs/dbraw/zinc/71/95/36/699719536.db2.gz VHUFAJYCTUXDNV-UHFFFAOYSA-N -1 1 312.289 1.322 20 0 DDADMM CN(C(=O)c1ccco1)c1ccccc1C(=O)Nc1nn[n-]n1 ZINC000736157488 699719537 /nfs/dbraw/zinc/71/95/37/699719537.db2.gz VHUFAJYCTUXDNV-UHFFFAOYSA-N -1 1 312.289 1.322 20 0 DDADMM Cc1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c2ccccc2n1 ZINC000737235258 699737967 /nfs/dbraw/zinc/73/79/67/699737967.db2.gz UUUZSILRTHWUKD-AWEZNQCLSA-N -1 1 324.344 1.270 20 0 DDADMM CN(CCCC(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000737426732 699739952 /nfs/dbraw/zinc/73/99/52/699739952.db2.gz XCYLAZBIKHXXOD-UHFFFAOYSA-N -1 1 319.405 1.551 20 0 DDADMM C[C@@H](N[C@@H]1CCN(c2ccc(C(F)(F)F)cc2)C1=O)C(=O)[O-] ZINC000739032706 699770281 /nfs/dbraw/zinc/77/02/81/699770281.db2.gz NNGSATRMCCOKHB-LDYMZIIASA-N -1 1 316.279 1.873 20 0 DDADMM C[C@]1(NC(=O)c2ccc(C(F)(F)F)c([O-])c2)CCOC1=O ZINC000794097085 699793665 /nfs/dbraw/zinc/79/36/65/699793665.db2.gz DURHEOQCTYHKNG-LBPRGKRZSA-N -1 1 303.236 1.846 20 0 DDADMM CCC[C@@H](Nc1nc2[nH][n-]cc-2c(=O)n1)[C@H](O)c1ccccc1 ZINC000795210898 699852047 /nfs/dbraw/zinc/85/20/47/699852047.db2.gz ZIDGCVVZEDOILW-CHWSQXEVSA-N -1 1 313.361 1.746 20 0 DDADMM C[C@@H](O)CCCOC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000795452122 699869254 /nfs/dbraw/zinc/86/92/54/699869254.db2.gz NQAXKTVDLIFYHK-MRVPVSSYSA-N -1 1 307.393 1.437 20 0 DDADMM CC(C)=CC(=O)NCc1ccc(C(=O)Nc2nnn[n-]2)cc1 ZINC000742686713 699886485 /nfs/dbraw/zinc/88/64/85/699886485.db2.gz REQZPPLNHDXZOF-UHFFFAOYSA-N -1 1 300.322 1.034 20 0 DDADMM CC(C)=CC(=O)NCc1ccc(C(=O)Nc2nn[n-]n2)cc1 ZINC000742686713 699886488 /nfs/dbraw/zinc/88/64/88/699886488.db2.gz REQZPPLNHDXZOF-UHFFFAOYSA-N -1 1 300.322 1.034 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccn(C3CCCCC3)n2)nc1=O ZINC000795752922 699889797 /nfs/dbraw/zinc/88/97/97/699889797.db2.gz REDKODWVEHADTB-UHFFFAOYSA-N -1 1 305.338 1.167 20 0 DDADMM O=C(N[C@H]1CCCS(=O)(=O)C1)c1c([O-])cccc1Cl ZINC000743379526 699909881 /nfs/dbraw/zinc/90/98/81/699909881.db2.gz QEDWCMHLWHZUIG-QMMMGPOBSA-N -1 1 303.767 1.353 20 0 DDADMM CCC[C@@H](C)c1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)n[nH]1 ZINC000743628717 699920743 /nfs/dbraw/zinc/92/07/43/699920743.db2.gz UAAFRBFNWZTCJG-SNVBAGLBSA-N -1 1 319.365 1.192 20 0 DDADMM CC[C@H]1CCCCN1C(=O)COC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796346496 699928248 /nfs/dbraw/zinc/92/82/48/699928248.db2.gz SRCDRSGFZFWEHW-NSHDSACASA-N -1 1 322.361 1.749 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C(=O)N2CCCC2)C(C)C)[n-]1 ZINC000796370223 699930000 /nfs/dbraw/zinc/93/00/00/699930000.db2.gz WAIHPPTZBNTEJV-ZDUSSCGKSA-N -1 1 322.361 1.605 20 0 DDADMM COC(=O)/C(C)=C/COC(=O)c1nn(-c2ccccc2)cc1[O-] ZINC000801203704 700277351 /nfs/dbraw/zinc/27/73/51/700277351.db2.gz OGLJZPPFOGIXHG-DHZHZOJOSA-N -1 1 316.313 1.854 20 0 DDADMM CCN(C)C(=O)COC(=O)c1nn(-c2ccc(C)cc2)cc1[O-] ZINC000801366913 700301596 /nfs/dbraw/zinc/30/15/96/700301596.db2.gz YUPHVJJRQZAETG-UHFFFAOYSA-N -1 1 317.345 1.521 20 0 DDADMM CCCN(C(=O)c1c([O-])cccc1Cl)[C@@H]1CC(=O)N(C)C1=O ZINC000801508233 700315389 /nfs/dbraw/zinc/31/53/89/700315389.db2.gz SZMSEADKYAIDFZ-SNVBAGLBSA-N -1 1 324.764 1.655 20 0 DDADMM COc1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)ccc1F ZINC000801702029 700332294 /nfs/dbraw/zinc/33/22/94/700332294.db2.gz NNLJHJOQQBBCGO-UHFFFAOYSA-N -1 1 300.293 1.742 20 0 DDADMM CCOC(=O)C1(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCC1 ZINC000801702225 700332690 /nfs/dbraw/zinc/33/26/90/700332690.db2.gz XMMLQLRQRHCORX-UHFFFAOYSA-N -1 1 302.334 1.014 20 0 DDADMM O=S(=O)(C[C@@H]1CCCCO1)[N-][C@@H]1CCCCC12OCCO2 ZINC000759758247 700770131 /nfs/dbraw/zinc/77/01/31/700770131.db2.gz OOMUZHJKHOVOTO-QWHCGFSZSA-N -1 1 319.423 1.161 20 0 DDADMM Cc1cc2nc(C)c(CCC(=O)[N-]OCC(F)F)c(C)n2n1 ZINC000760142036 700793374 /nfs/dbraw/zinc/79/33/74/700793374.db2.gz PFADFWXUCHRILZ-UHFFFAOYSA-N -1 1 312.320 1.900 20 0 DDADMM O=C([N-]OCC(F)F)[C@H](c1ccccc1)N1CCC(O)CC1 ZINC000760146221 700793703 /nfs/dbraw/zinc/79/37/03/700793703.db2.gz JBXFQOQMFXKGIE-AWEZNQCLSA-N -1 1 314.332 1.497 20 0 DDADMM C[C@@H]1C[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CCC1=O ZINC000761467679 700861305 /nfs/dbraw/zinc/86/13/05/700861305.db2.gz QIOMUFGLTOBPAH-DGCLKSJQSA-N -1 1 317.341 1.492 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)C1SCCS1 ZINC000763976438 700955911 /nfs/dbraw/zinc/95/59/11/700955911.db2.gz GXXXFILSZGDQSR-VIFPVBQESA-N -1 1 307.404 1.211 20 0 DDADMM Cc1oc(-c2ccccc2)nc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765386706 701009133 /nfs/dbraw/zinc/00/91/33/701009133.db2.gz PMTQBOCIIMRHCE-UHFFFAOYSA-N -1 1 314.301 1.429 20 0 DDADMM Cc1cc(OCC(=O)OCc2nc(=O)n(C)[n-]2)ccc1Cl ZINC000765392043 701009398 /nfs/dbraw/zinc/00/93/98/701009398.db2.gz TWRLROIZNLKLNM-UHFFFAOYSA-N -1 1 311.725 1.192 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc3nc(Cl)ccc3c2)nc1=O ZINC000765438519 701012857 /nfs/dbraw/zinc/01/28/57/701012857.db2.gz RRDCIYQCQSUSHE-UHFFFAOYSA-N -1 1 318.720 1.667 20 0 DDADMM Cn1[n-]c(COC(=O)CCCc2ccc(Cl)cc2)nc1=O ZINC000765465405 701014075 /nfs/dbraw/zinc/01/40/75/701014075.db2.gz XZWMEFSLANJPKM-UHFFFAOYSA-N -1 1 309.753 1.828 20 0 DDADMM Cn1[n-]c(COC(=O)c2cccc([C@H]3CCCOC3)c2)nc1=O ZINC000765494972 701016240 /nfs/dbraw/zinc/01/62/40/701016240.db2.gz CDFRFGKUCIRRCZ-ZDUSSCGKSA-N -1 1 317.345 1.359 20 0 DDADMM CCc1nn(C)cc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000771172504 701312193 /nfs/dbraw/zinc/31/21/93/701312193.db2.gz NNJDBIXKUACOGX-UHFFFAOYSA-N -1 1 315.329 1.096 20 0 DDADMM Cc1cc(C)c(NC(=O)[C@H](C)OC(=O)c2cn[n-]n2)c(C)c1 ZINC000805606266 701398898 /nfs/dbraw/zinc/39/88/98/701398898.db2.gz VDHAIIIVIYNRHN-NSHDSACASA-N -1 1 302.334 1.914 20 0 DDADMM CSc1cccc(NC(=O)[C@H](C)OC(=O)c2cn[n-]n2)c1 ZINC000805607034 701399355 /nfs/dbraw/zinc/39/93/55/701399355.db2.gz FJUXXJTWIUYECD-QMMMGPOBSA-N -1 1 306.347 1.711 20 0 DDADMM COc1ccnc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)c1 ZINC000830907452 706600668 /nfs/dbraw/zinc/60/06/68/706600668.db2.gz SACAXTSZVISCQS-UHFFFAOYSA-N -1 1 316.361 1.948 20 0 DDADMM C[C@@H]1CC(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@@H](C)O1 ZINC000806488798 701437538 /nfs/dbraw/zinc/43/75/38/701437538.db2.gz SSVLDYXCCBBPCO-NXEZZACHSA-N -1 1 303.366 1.419 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@@]4(C3)CCCOC4)ccnc1-2 ZINC000806489823 701437688 /nfs/dbraw/zinc/43/76/88/701437688.db2.gz FTUJKQVCMYHMQO-MRXNPFEDSA-N -1 1 315.377 1.376 20 0 DDADMM CN(CCNC(=O)N=c1ccnc2n(C)[n-]cc1-2)c1ccccc1 ZINC000806489228 701437839 /nfs/dbraw/zinc/43/78/39/701437839.db2.gz YJPJPBUROLNPFW-UHFFFAOYSA-N -1 1 324.388 1.600 20 0 DDADMM C[C@H]1C[C@H]1C(=O)N1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000807708360 701484352 /nfs/dbraw/zinc/48/43/52/701484352.db2.gz RNVMVNBZAPAWTM-MFDAYCCISA-N -1 1 306.328 1.946 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(C3(O)COC3)cc2)c([O-])c1 ZINC000807868700 701488915 /nfs/dbraw/zinc/48/89/15/701488915.db2.gz KIMBQVXRMJEGPB-UHFFFAOYSA-N -1 1 315.325 1.871 20 0 DDADMM C[C@@H](C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1ccccc1 ZINC000830953421 706609976 /nfs/dbraw/zinc/60/99/76/706609976.db2.gz VJBNDYCVKFBXII-LLVKDONJSA-N -1 1 313.357 1.675 20 0 DDADMM C[C@H]1CCC[C@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000830959845 706611479 /nfs/dbraw/zinc/61/14/79/706611479.db2.gz UUGPGLLTHTZUGX-QWRGUYRKSA-N -1 1 305.378 1.697 20 0 DDADMM Cc1ccc(C)c(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c1 ZINC000830970210 706613331 /nfs/dbraw/zinc/61/33/31/706613331.db2.gz QTNAEMGYCNZAEO-UHFFFAOYSA-N -1 1 313.357 1.802 20 0 DDADMM Cc1ccc(N2C[C@@H](C(=O)[N-]OCC(C)(C)O)CC2=O)cc1F ZINC000839740892 701805335 /nfs/dbraw/zinc/80/53/35/701805335.db2.gz KPPAIDACWRDKCE-NSHDSACASA-N -1 1 324.352 1.306 20 0 DDADMM O[C@H]1c2ccccc2OC[C@@H]1[N-]c1nc(C2CCOCC2)no1 ZINC000840304975 702063222 /nfs/dbraw/zinc/06/32/22/702063222.db2.gz IJRKQZFAQRGAGR-JSGCOSHPSA-N -1 1 317.345 1.870 20 0 DDADMM C[C@@H](CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)c1ccco1 ZINC000831116660 706637689 /nfs/dbraw/zinc/63/76/89/706637689.db2.gz CYRNXKCNFNAQQA-VIFPVBQESA-N -1 1 318.295 1.910 20 0 DDADMM O=C([C@@H]1CCCC12OCCO2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000816498575 702097028 /nfs/dbraw/zinc/09/70/28/702097028.db2.gz AKZNTEHJQVOWGQ-QWRGUYRKSA-N -1 1 323.349 1.024 20 0 DDADMM CC/C=C(\F)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000868461482 702141906 /nfs/dbraw/zinc/14/19/06/702141906.db2.gz GUKUMZVLHGSWLA-WTKPLQERSA-N -1 1 302.327 1.502 20 0 DDADMM O=C(/C=C\C1CCOCC1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831145484 706643944 /nfs/dbraw/zinc/64/39/44/706643944.db2.gz VYIKXNXUBZQODD-UPHRSURJSA-N -1 1 320.311 1.106 20 0 DDADMM O=C([N-]OCC1CC1)[C@@H]1CC(=O)N(c2cc(F)cc(F)c2)C1 ZINC000817373746 702361364 /nfs/dbraw/zinc/36/13/64/702361364.db2.gz YIYBPTHMYMIJSN-SNVBAGLBSA-N -1 1 310.300 1.776 20 0 DDADMM CC(C)CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)[N-]OCCC1CC1 ZINC000817408189 702371858 /nfs/dbraw/zinc/37/18/58/702371858.db2.gz NMNVBRYMOCTGEI-CQSZACIVSA-N -1 1 322.409 1.331 20 0 DDADMM CNC(=S)N1c2ccccc2C[C@H]1C[N-]C(=O)C(F)(F)F ZINC000817568601 702412831 /nfs/dbraw/zinc/41/28/31/702412831.db2.gz YARDBLPJIOPGMP-VIFPVBQESA-N -1 1 317.336 1.601 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H]1CCCC[C@H]1F ZINC000841563800 702499908 /nfs/dbraw/zinc/49/99/08/702499908.db2.gz VABPEVHJSRJTKV-RKDXNWHRSA-N -1 1 309.794 1.941 20 0 DDADMM CC(NCCCCCCC(C)C)=C1C(=O)[N-]C(=S)NC1=O ZINC000814357977 702511026 /nfs/dbraw/zinc/51/10/26/702511026.db2.gz IANCIKQPUYKSPK-UHFFFAOYSA-N -1 1 311.451 1.987 20 0 DDADMM C[C@@H]1CN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)[C@@H](C)[C@H]1C ZINC000869213672 702513540 /nfs/dbraw/zinc/51/35/40/702513540.db2.gz JVNCWBAAIAOCCZ-VWYCJHECSA-N -1 1 320.393 1.441 20 0 DDADMM C[C@@H]1CCCCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000869213923 702513935 /nfs/dbraw/zinc/51/39/35/702513935.db2.gz MUYGBXJRYDZRKH-LLVKDONJSA-N -1 1 320.393 1.729 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(OC(C)(C)C)cn2)nc1=O ZINC000814520531 702537680 /nfs/dbraw/zinc/53/76/80/702537680.db2.gz QRCRSEAOXFXBED-UHFFFAOYSA-N -1 1 306.322 1.038 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C(C1CC1)C1CC1 ZINC000869448145 702610761 /nfs/dbraw/zinc/61/07/61/702610761.db2.gz UPULBPAWAQLRCT-UHFFFAOYSA-N -1 1 321.295 1.604 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN1C(=O)c1ccc2cncn2c1 ZINC000818312228 702632069 /nfs/dbraw/zinc/63/20/69/702632069.db2.gz SCIFRNQRUJNZPF-OAHLLOKOSA-N -1 1 303.318 1.040 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CC(=O)NC23CCCC3)[n-]1 ZINC000842904332 702789307 /nfs/dbraw/zinc/78/93/07/702789307.db2.gz CRQVAFFJINYZTO-LLVKDONJSA-N -1 1 306.318 1.159 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CCCC2CC2)CCC1 ZINC000843015397 702803775 /nfs/dbraw/zinc/80/37/75/702803775.db2.gz UOQGIIRHZSCHIU-UHFFFAOYSA-N -1 1 302.440 1.497 20 0 DDADMM CS[C@H](C)CCC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016002 702803845 /nfs/dbraw/zinc/80/38/45/702803845.db2.gz LIZAWDBTYGVLEK-LLVKDONJSA-N -1 1 322.496 1.448 20 0 DDADMM Cc1ccc2nc([C@@H]3CCCN3C(=O)COCC(=O)[O-])[nH]c2c1 ZINC000843328256 702860215 /nfs/dbraw/zinc/86/02/15/702860215.db2.gz AWBARGNSZUYMGU-ZDUSSCGKSA-N -1 1 317.345 1.636 20 0 DDADMM Cc1nnnn1/C(=C/c1ccccc1)C(=O)Nc1ncccc1[O-] ZINC000843419893 702877369 /nfs/dbraw/zinc/87/73/69/702877369.db2.gz VTHJGHYOJHXJKV-JLHYYAGUSA-N -1 1 322.328 1.719 20 0 DDADMM O=C([C@@H]1CCCC[C@H]1C1CC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000843792347 702924883 /nfs/dbraw/zinc/92/48/83/702924883.db2.gz QZEBZGINTWPVHJ-XQQFMLRXSA-N -1 1 305.382 1.316 20 0 DDADMM CNC(=O)[C@H](C[N-]C(=O)C(F)(F)F)Cc1ccc(F)cc1C ZINC000843938206 702942952 /nfs/dbraw/zinc/94/29/52/702942952.db2.gz OIZWHQCSHQWKFC-JTQLQIEISA-N -1 1 320.286 1.717 20 0 DDADMM CCC(CC)[C@@H](CNC(=O)CCCC(=O)[O-])N1CCOCC1 ZINC000844252264 703000994 /nfs/dbraw/zinc/00/09/94/703000994.db2.gz DNDDWRONHXUIID-CQSZACIVSA-N -1 1 314.426 1.495 20 0 DDADMM C[C@@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccc(Cl)cc1 ZINC000845462071 703159945 /nfs/dbraw/zinc/15/99/45/703159945.db2.gz GPPCJIVXMIEJSB-SKDRFNHKSA-N -1 1 321.768 1.557 20 0 DDADMM CCO[N-]C(=O)CNCc1c(Cl)nc2cc(Cl)ccn21 ZINC000846087970 703236109 /nfs/dbraw/zinc/23/61/09/703236109.db2.gz VXRHUIZWDRNBSZ-UHFFFAOYSA-N -1 1 317.176 1.798 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC(C2(O)CC2)CC1 ZINC000846326282 703264791 /nfs/dbraw/zinc/26/47/91/703264791.db2.gz JMZPTZWKCSNCSR-UHFFFAOYSA-N -1 1 318.402 1.395 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)C2(F)CC2)n[n-]1 ZINC000846643894 703306507 /nfs/dbraw/zinc/30/65/07/703306507.db2.gz SYDMZYSOIMIUMD-VIFPVBQESA-N -1 1 312.345 1.687 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)C2(F)CC2)[n-]1 ZINC000846643894 703306508 /nfs/dbraw/zinc/30/65/08/703306508.db2.gz SYDMZYSOIMIUMD-VIFPVBQESA-N -1 1 312.345 1.687 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)C2(F)CC2)n1 ZINC000846643894 703306510 /nfs/dbraw/zinc/30/65/10/703306510.db2.gz SYDMZYSOIMIUMD-VIFPVBQESA-N -1 1 312.345 1.687 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn(C(C)C)c2C)n1 ZINC000846650642 703308363 /nfs/dbraw/zinc/30/83/63/703308363.db2.gz KYVXGORXHQOAAF-UHFFFAOYSA-N -1 1 305.338 1.925 20 0 DDADMM O=C(c1nccc2occc21)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847094276 703376972 /nfs/dbraw/zinc/37/69/72/703376972.db2.gz YXLHVGAOIYKUNH-VIFPVBQESA-N -1 1 314.301 1.936 20 0 DDADMM CO[C@@H](C)CCC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341204 703540671 /nfs/dbraw/zinc/54/06/71/703540671.db2.gz RATFIFASWXHGND-HBNTYKKESA-N -1 1 324.343 1.860 20 0 DDADMM COC[C@@H](C)CC(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848340689 703540823 /nfs/dbraw/zinc/54/08/23/703540823.db2.gz ORHYSDSXFSNQAK-HBNTYKKESA-N -1 1 324.343 1.717 20 0 DDADMM COC[C@@H](CC(C)(C)C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000848494262 703557533 /nfs/dbraw/zinc/55/75/33/703557533.db2.gz UEXABSHDHLFJLX-MRVPVSSYSA-N -1 1 309.819 1.793 20 0 DDADMM COC(=O)N(C)CC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000849470531 703651966 /nfs/dbraw/zinc/65/19/66/703651966.db2.gz MYKXVLDEKCYGOY-UHFFFAOYSA-N -1 1 324.761 1.456 20 0 DDADMM CC(C)[C@H](O)COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000849700637 703669205 /nfs/dbraw/zinc/66/92/05/703669205.db2.gz RZMCGXBWLPSSQW-SECBINFHSA-N -1 1 307.393 1.293 20 0 DDADMM CO[C@@H](COC(=O)c1c([O-])cc(F)cc1F)[C@@H]1CCOC1 ZINC000849718770 703671421 /nfs/dbraw/zinc/67/14/21/703671421.db2.gz ZXDMPQJBBDDRBA-PELKAZGASA-N -1 1 302.273 1.879 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(C)(C)CSC)o1 ZINC000851172535 703785124 /nfs/dbraw/zinc/78/51/24/703785124.db2.gz RADVCMIOAKYOSN-UHFFFAOYSA-N -1 1 321.420 1.734 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2nccn2C)c1 ZINC000851572921 703810140 /nfs/dbraw/zinc/81/01/40/703810140.db2.gz DOUBTOOEPKMSLS-RKFFSXRUSA-N -1 1 322.386 1.841 20 0 DDADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)C1CC2(CC2)C1 ZINC000869556828 703812547 /nfs/dbraw/zinc/81/25/47/703812547.db2.gz VUFDHYBPDQOWSV-UHFFFAOYSA-N -1 1 307.268 1.358 20 0 DDADMM CCN(CC)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000851880453 703876924 /nfs/dbraw/zinc/87/69/24/703876924.db2.gz ZNDFIVYIJWSVIF-ZJUUUORDSA-N -1 1 309.332 1.837 20 0 DDADMM CC(C)CNC(=O)[C@@H](C)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879764078 706784343 /nfs/dbraw/zinc/78/43/43/706784343.db2.gz SINNVVXLQFJGNK-ZWNOBZJWSA-N -1 1 323.359 1.290 20 0 DDADMM CCn1cc(CN2CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C2)cn1 ZINC000852756519 704116138 /nfs/dbraw/zinc/11/61/38/704116138.db2.gz LWRZCHXSYZKECP-PWSUYJOCSA-N -1 1 318.343 1.792 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)Cc1ccc(F)cc1Cl ZINC000866763153 706792509 /nfs/dbraw/zinc/79/25/09/706792509.db2.gz IBKWYBWPAXNDKB-UHFFFAOYSA-N -1 1 309.746 1.462 20 0 DDADMM CNc1nc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cs1 ZINC000820067368 704215160 /nfs/dbraw/zinc/21/51/60/704215160.db2.gz CLQRJIQCGYNBHI-UHFFFAOYSA-N -1 1 309.351 1.293 20 0 DDADMM CCN(CC(C)=O)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000820453412 704281605 /nfs/dbraw/zinc/28/16/05/704281605.db2.gz GXKVQFVQQJAVKU-UHFFFAOYSA-N -1 1 311.407 1.583 20 0 DDADMM CO[C@@H]1[C@@H](C)[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1(C)C ZINC000867059277 706885896 /nfs/dbraw/zinc/88/58/96/706885896.db2.gz UGBIQOCLPNASMH-GARJFASQSA-N -1 1 324.468 1.142 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)CC(C)(C)O)c1 ZINC000821058566 704365836 /nfs/dbraw/zinc/36/58/36/704365836.db2.gz FLPREUJDCIDCPW-FIKIJFGZSA-N -1 1 314.403 1.896 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cc(C)on2)c1 ZINC000821294746 704386909 /nfs/dbraw/zinc/38/69/09/704386909.db2.gz UEXKPPDAXLDYJS-NRFANRHFSA-N -1 1 309.343 1.843 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2cnc(C)nc2)c1 ZINC000821353446 704395421 /nfs/dbraw/zinc/39/54/21/704395421.db2.gz UHKRFCHJDHYZTG-JOCHJYFZSA-N -1 1 320.370 1.645 20 0 DDADMM CCOCCC1(CNc2nccnc2-c2nnn[n-]2)CCC1 ZINC000821374250 704399153 /nfs/dbraw/zinc/39/91/53/704399153.db2.gz SMLMBCMCGJBYQA-UHFFFAOYSA-N -1 1 303.370 1.666 20 0 DDADMM CCOCCC1(CNc2nccnc2-c2nn[n-]n2)CCC1 ZINC000821374250 704399156 /nfs/dbraw/zinc/39/91/56/704399156.db2.gz SMLMBCMCGJBYQA-UHFFFAOYSA-N -1 1 303.370 1.666 20 0 DDADMM O=C(N[C@@H](CCO)C(F)(F)F)c1ncc2ccccc2c1[O-] ZINC000854614376 704426394 /nfs/dbraw/zinc/42/63/94/704426394.db2.gz YDAQVXXXXQWUCV-JTQLQIEISA-N -1 1 314.263 1.984 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]C(=O)c1cc2[nH]cnc2cc1F ZINC000821626680 704428289 /nfs/dbraw/zinc/42/82/89/704428289.db2.gz WFCAIRIYHGLLNG-UHFFFAOYSA-N -1 1 314.342 1.018 20 0 DDADMM CCOC(=O)C(C)(C)ONC(=O)c1cc(NC(C)=O)ccc1[O-] ZINC000855133826 704470057 /nfs/dbraw/zinc/47/00/57/704470057.db2.gz UTDOZLSMCOYWQU-UHFFFAOYSA-N -1 1 324.333 1.354 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)c2ncnn21 ZINC000855329765 704478890 /nfs/dbraw/zinc/47/88/90/704478890.db2.gz ADBZTZQEMFOVKA-IONNQARKSA-N -1 1 315.362 1.045 20 0 DDADMM CCC[C@H](O)CC(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418183 704528124 /nfs/dbraw/zinc/52/81/24/704528124.db2.gz VGOPELHNNWMQAP-VWYCJHECSA-N -1 1 324.343 1.453 20 0 DDADMM C[C@@H]1CCN(C(=O)[C@@]2(C)CCCO2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856417636 704528201 /nfs/dbraw/zinc/52/82/01/704528201.db2.gz BTGDLCRWKLDBNB-GBIKHYSHSA-N -1 1 322.327 1.471 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H](F)CC3CCCCC3)nc2n1 ZINC000857622488 704608212 /nfs/dbraw/zinc/60/82/12/704608212.db2.gz VAHWDXNKEBQSIT-NSHDSACASA-N -1 1 321.356 1.973 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CSC(F)(F)F)nc2n1 ZINC000857684131 704613406 /nfs/dbraw/zinc/61/34/06/704613406.db2.gz KNRZNJAYZVBUEJ-UHFFFAOYSA-N -1 1 321.284 1.172 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C(C)(C)C2CCOCC2)sn1 ZINC000867202040 706922793 /nfs/dbraw/zinc/92/27/93/706922793.db2.gz IOQAYLYWEDOPGO-UHFFFAOYSA-N -1 1 304.437 1.935 20 0 DDADMM CCO[C@@H]1C[C@H](O)C12CCN(c1cc(Cl)[n-]c(=O)n1)CC2 ZINC000858421123 704708985 /nfs/dbraw/zinc/70/89/85/704708985.db2.gz NYKGYDUKGPVFBE-VHSXEESVSA-N -1 1 313.785 1.592 20 0 DDADMM COCCO[C@H]1COCC[C@@H]1Nc1cc(Cl)[n-]c(=O)n1 ZINC000858488698 704717645 /nfs/dbraw/zinc/71/76/45/704717645.db2.gz KEAZYCGPMUZJRA-IUCAKERBSA-N -1 1 303.746 1.068 20 0 DDADMM CC(C)(C)OC(=O)NC[C@@H](O)CNc1cc(Cl)[n-]c(=O)n1 ZINC000858557024 704726607 /nfs/dbraw/zinc/72/66/07/704726607.db2.gz IHEVBBWRHWEZHX-ZETCQYMHSA-N -1 1 318.761 1.133 20 0 DDADMM CC1(C)CCC[C@H]1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000867312377 706956886 /nfs/dbraw/zinc/95/68/86/706956886.db2.gz DXZIRIMVUMBLQV-NSHDSACASA-N -1 1 308.469 1.909 20 0 DDADMM O=S(=O)([N-][C@@H]1CCn2ccnc21)c1ccc(Cl)nc1F ZINC000867317371 706958339 /nfs/dbraw/zinc/95/83/39/706958339.db2.gz NAPUPFSKYBNFAT-SSDOTTSWSA-N -1 1 316.745 1.494 20 0 DDADMM Cc1ccc2c(c1)C=C(C(=O)N(C)C[C@@H](C)c1nn[n-]n1)CO2 ZINC000821894612 704837727 /nfs/dbraw/zinc/83/77/27/704837727.db2.gz OXAQZSBUBKDVJB-LLVKDONJSA-N -1 1 313.361 1.546 20 0 DDADMM COCOCCC[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000859383751 704886090 /nfs/dbraw/zinc/88/60/90/704886090.db2.gz LQPOEYHBUIWNTN-UHFFFAOYSA-N -1 1 311.762 1.768 20 0 DDADMM CCC(C)(C)OCC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867379093 706980910 /nfs/dbraw/zinc/98/09/10/706980910.db2.gz HQJNGFKNSQSVIR-UHFFFAOYSA-N -1 1 308.350 1.843 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H]1C[C@H](OC)C12CCC2 ZINC000867386024 706983616 /nfs/dbraw/zinc/98/36/16/706983616.db2.gz GNXOJNXMLDYJOV-QWRGUYRKSA-N -1 1 324.468 1.286 20 0 DDADMM C[C@@H]1C[C@@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)[C@H](C)O1 ZINC000867404341 706989982 /nfs/dbraw/zinc/98/99/82/706989982.db2.gz LVBMSKTZPRRVGV-VGMNWLOBSA-N -1 1 306.334 1.452 20 0 DDADMM CCOC(=O)[C@H]1CN(C(=O)c2ccc([O-])c(F)c2)CC12COC2 ZINC000833101997 707000816 /nfs/dbraw/zinc/00/08/16/707000816.db2.gz VXDGNLLQDRHVHP-LLVKDONJSA-N -1 1 323.320 1.183 20 0 DDADMM COCC1(CC[N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000867446875 707004031 /nfs/dbraw/zinc/00/40/31/707004031.db2.gz OIKVVNBJVXKZBS-UHFFFAOYSA-N -1 1 306.334 1.455 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2cc(Cl)cnc2Cl)CO1 ZINC000867453975 707006131 /nfs/dbraw/zinc/00/61/31/707006131.db2.gz SPTITOGWUVCSAS-XPUUQOCRSA-N -1 1 311.190 1.844 20 0 DDADMM Cn1c(Cl)ncc1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000822657235 704998389 /nfs/dbraw/zinc/99/83/89/704998389.db2.gz IDOWHHRXOCETPH-ZETCQYMHSA-N -1 1 311.729 1.182 20 0 DDADMM C[C@H]1CCNC(=O)[C@H]1[N-]C(=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000822696242 705011249 /nfs/dbraw/zinc/01/12/49/705011249.db2.gz UPAJDLNOTUOQIG-CPCISQLKSA-N -1 1 318.270 1.697 20 0 DDADMM CO[C@@H](C[N-]S(=O)(=O)c1ccc(F)nc1F)C1CCCC1 ZINC000867529336 707030216 /nfs/dbraw/zinc/03/02/16/707030216.db2.gz KMBJSQMWEVEBJQ-JTQLQIEISA-N -1 1 320.361 1.843 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2CC[C@@H]2c2ccc(F)cc2)nc1=O ZINC000860150211 705113478 /nfs/dbraw/zinc/11/34/78/705113478.db2.gz MJWKEAFHOMPNMY-NEPJUHHUSA-N -1 1 305.309 1.485 20 0 DDADMM Cc1nc[nH]c1C(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000823023435 705114644 /nfs/dbraw/zinc/11/46/44/705114644.db2.gz BSHNREUPYCWGDM-SECBINFHSA-N -1 1 318.299 1.249 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)s1)c1ccc[nH]1 ZINC000823027089 705116588 /nfs/dbraw/zinc/11/65/88/705116588.db2.gz LIJFGPQIUVDCJX-UHFFFAOYSA-N -1 1 303.303 1.296 20 0 DDADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)s1)c1ccc[nH]1 ZINC000823027089 705116590 /nfs/dbraw/zinc/11/65/90/705116590.db2.gz LIJFGPQIUVDCJX-UHFFFAOYSA-N -1 1 303.303 1.296 20 0 DDADMM CO[C@H](CNC(=O)N=c1ccnc2n(C)[n-]cc1-2)C1CCCC1 ZINC000823058300 705127117 /nfs/dbraw/zinc/12/71/17/705127117.db2.gz OIVADRSDAMOSBX-CQSZACIVSA-N -1 1 317.393 1.669 20 0 DDADMM CS(=O)(=O)c1ccc(/C=C/C(=O)[N-]OCC(F)(F)F)o1 ZINC000860202282 705129489 /nfs/dbraw/zinc/12/94/89/705129489.db2.gz VCDKZLZSUXNEFA-DUXPYHPUSA-N -1 1 313.253 1.306 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CC12CCC2 ZINC000874785162 705171370 /nfs/dbraw/zinc/17/13/70/705171370.db2.gz DBROZPAVFZMTNG-LBPRGKRZSA-N -1 1 300.362 1.653 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3(C)CC(F)(F)C3)nc2n1 ZINC000875838596 705532011 /nfs/dbraw/zinc/53/20/11/705532011.db2.gz OOPDZGWUGVINRU-UHFFFAOYSA-N -1 1 311.292 1.354 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@@H]1CNC(=O)C[C@@H]1C(F)(F)F ZINC000824989879 705572905 /nfs/dbraw/zinc/57/29/05/705572905.db2.gz JPMJPNQBHZBNRR-RQJHMYQMSA-N -1 1 320.242 1.784 20 0 DDADMM COc1cc2[n-]cc(C(=O)NOC3CCC3)c(=O)c2c(OC)c1 ZINC000861880897 705593803 /nfs/dbraw/zinc/59/38/03/705593803.db2.gz KJWDNGVDZGLTRS-UHFFFAOYSA-N -1 1 318.329 1.759 20 0 DDADMM O=C(NC[C@@H]1CC2(CO1)CCOCC2)C(=O)c1ccc([O-])cc1 ZINC000825419304 705667879 /nfs/dbraw/zinc/66/78/79/705667879.db2.gz AYMSPLVVNXOLAW-AWEZNQCLSA-N -1 1 319.357 1.277 20 0 DDADMM Cc1cccc(CO[N-]C(=O)[C@H]2C[C@]23c2ccccc2NC3=O)n1 ZINC000825565496 705693915 /nfs/dbraw/zinc/69/39/15/705693915.db2.gz FVHOHZPIUYEHSV-KDOFPFPSSA-N -1 1 323.352 1.848 20 0 DDADMM CC1(C)CCC[C@@H]1CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000862363344 705701579 /nfs/dbraw/zinc/70/15/79/705701579.db2.gz NFFFJFLPFORGTA-GFCCVEGCSA-N -1 1 307.394 1.259 20 0 DDADMM O=CN1CCCN(C(=O)NCc2ccc([O-])c(Cl)c2)CC1 ZINC000876399651 705708315 /nfs/dbraw/zinc/70/83/15/705708315.db2.gz RPRJUTMKFMXRSB-UHFFFAOYSA-N -1 1 311.769 1.419 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CCC(O)(CO)CC1 ZINC000876400277 705708513 /nfs/dbraw/zinc/70/85/13/705708513.db2.gz YAFWLFNVBUMLHT-UHFFFAOYSA-N -1 1 314.769 1.074 20 0 DDADMM Cc1nc(CN(C)c2c3ccccc3nnc2-c2nnn[n-]2)no1 ZINC000826325735 705790989 /nfs/dbraw/zinc/79/09/89/705790989.db2.gz JWOPJFPZAXDINF-UHFFFAOYSA-N -1 1 323.320 1.138 20 0 DDADMM Cc1nc(CN(C)c2c3ccccc3nnc2-c2nn[n-]n2)no1 ZINC000826325735 705790990 /nfs/dbraw/zinc/79/09/90/705790990.db2.gz JWOPJFPZAXDINF-UHFFFAOYSA-N -1 1 323.320 1.138 20 0 DDADMM Cc1onc(CC(=O)NC2(c3ccccc3)CC2)c1-c1nnn[n-]1 ZINC000826346960 705794360 /nfs/dbraw/zinc/79/43/60/705794360.db2.gz ZOGHIZFMBFLSHV-UHFFFAOYSA-N -1 1 324.344 1.511 20 0 DDADMM Cc1onc(CC(=O)NC2(c3ccccc3)CC2)c1-c1nn[n-]n1 ZINC000826346960 705794362 /nfs/dbraw/zinc/79/43/62/705794362.db2.gz ZOGHIZFMBFLSHV-UHFFFAOYSA-N -1 1 324.344 1.511 20 0 DDADMM O[C@]1(CNc2ccc(-c3nnn[n-]3)nn2)CCCc2ccccc21 ZINC000826506163 705805233 /nfs/dbraw/zinc/80/52/33/705805233.db2.gz NZHHNMXVJASLKP-INIZCTEOSA-N -1 1 323.360 1.293 20 0 DDADMM O[C@]1(CNc2ccc(-c3nn[n-]n3)nn2)CCCc2ccccc21 ZINC000826506163 705805234 /nfs/dbraw/zinc/80/52/34/705805234.db2.gz NZHHNMXVJASLKP-INIZCTEOSA-N -1 1 323.360 1.293 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1C[C@@H]2CCC[C@]2(CO)C1 ZINC000863230300 705886140 /nfs/dbraw/zinc/88/61/40/705886140.db2.gz GODUQVLPSIBIJP-XHDPSFHLSA-N -1 1 318.402 1.253 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C=C(C2CC2)C2CC2)CC1 ZINC000827354722 705984350 /nfs/dbraw/zinc/98/43/50/705984350.db2.gz GVVRANMRVCWLEZ-GFCCVEGCSA-N -1 1 306.406 1.740 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cccc(Cl)n2)CC1 ZINC000827378820 705989906 /nfs/dbraw/zinc/98/99/06/705989906.db2.gz AEBSGMXWMBRMJS-SNVBAGLBSA-N -1 1 311.769 1.356 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@](C)(C(=O)[O-])c1ccccc1 ZINC000864115804 706071209 /nfs/dbraw/zinc/07/12/09/706071209.db2.gz YUACTROULAHTCM-BZNIZROVSA-N -1 1 301.346 1.705 20 0 DDADMM COC1(C(=O)N2CCC(c3nn[n-]n3)CC2)CCCCCC1 ZINC000828417021 706175715 /nfs/dbraw/zinc/17/57/15/706175715.db2.gz RIFSTYWXSBQYAU-UHFFFAOYSA-N -1 1 307.398 1.645 20 0 DDADMM CCCn1nccc1CN1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000877772867 706204475 /nfs/dbraw/zinc/20/44/75/706204475.db2.gz ANDSARBUHZJRBK-CYBMUJFWSA-N -1 1 318.343 1.936 20 0 DDADMM O=C(NCC[C@H]1CCCS1(=O)=O)c1c([O-])cccc1Cl ZINC000881891782 707409362 /nfs/dbraw/zinc/40/93/62/707409362.db2.gz DQMIOQHFGSURCX-SECBINFHSA-N -1 1 317.794 1.743 20 0 DDADMM CN(CCOc1ccccc1F)Cc1cn(C)nc1C(=O)[O-] ZINC000864778871 706259982 /nfs/dbraw/zinc/25/99/82/706259982.db2.gz XXMNSFVGLXZYBA-UHFFFAOYSA-N -1 1 307.325 1.768 20 0 DDADMM C[C@H]1CCC[C@H](C2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000829132385 706292179 /nfs/dbraw/zinc/29/21/79/706292179.db2.gz YGRBDNYEAFJDNC-STQMWFEESA-N -1 1 319.405 1.211 20 0 DDADMM CC1(NC(=S)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CC1 ZINC000865275354 706394093 /nfs/dbraw/zinc/39/40/93/706394093.db2.gz KTKYXGNRHQCJNW-LLVKDONJSA-N -1 1 309.357 1.556 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC=C(Cl)Cl)C1CC1 ZINC000881958863 707444670 /nfs/dbraw/zinc/44/46/70/707444670.db2.gz XQPSMRBABSKODO-QMMMGPOBSA-N -1 1 302.179 1.176 20 0 DDADMM COC(=O)c1c[n-]c(SC[C@@H]2CC3(CO2)CCOCC3)n1 ZINC000865363069 706413491 /nfs/dbraw/zinc/41/34/91/706413491.db2.gz IJUYUYINPMIUJG-JTQLQIEISA-N -1 1 312.391 1.874 20 0 DDADMM Cc1nc(SCC(=O)c2cnn(C)n2)[n-]c(=O)c1C1CC1 ZINC000865373216 706415798 /nfs/dbraw/zinc/41/57/98/706415798.db2.gz KTZIBQCJKHEENF-UHFFFAOYSA-N -1 1 305.363 1.472 20 0 DDADMM CCO[N-]C(=O)CNCc1cc(OC)c(OC)cc1Cl ZINC000865617524 706478706 /nfs/dbraw/zinc/47/87/06/706478706.db2.gz XCKQRBLOSWIBLV-UHFFFAOYSA-N -1 1 302.758 1.515 20 0 DDADMM CC[C@H](CO)N(Cc1ccccc1)C(=O)CCCc1nn[n-]n1 ZINC000830345190 706499874 /nfs/dbraw/zinc/49/98/74/706499874.db2.gz UTJXELOJZPDKRW-CQSZACIVSA-N -1 1 317.393 1.322 20 0 DDADMM Cc1cnc(C(=O)N2CCCN(C(=O)CC(C)C)CC2)c([O-])c1 ZINC000830671211 706558201 /nfs/dbraw/zinc/55/82/01/706558201.db2.gz VPMFCULGKOBEEM-UHFFFAOYSA-N -1 1 319.405 1.816 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC[C@H]1CCC[C@H](C(F)(F)F)O1 ZINC000830688285 706562304 /nfs/dbraw/zinc/56/23/04/706562304.db2.gz SWIMMOGNNLGWHX-RKDXNWHRSA-N -1 1 321.303 1.139 20 0 DDADMM C[C@@H]1C[C@H](C)[C@@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)O1 ZINC000830801158 706582315 /nfs/dbraw/zinc/58/23/15/706582315.db2.gz IPKZCGCGBUVYOD-MPXOCVNLSA-N -1 1 322.327 1.469 20 0 DDADMM CCC[C@@H](O)CC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816005 706585246 /nfs/dbraw/zinc/58/52/46/706585246.db2.gz IPBNFYVJRZJJEB-BXKDBHETSA-N -1 1 310.316 1.207 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C2(C)CCOCC2)C1 ZINC000830826922 706587970 /nfs/dbraw/zinc/58/79/70/706587970.db2.gz HQAXROUHCRNSIT-ZDUSSCGKSA-N -1 1 322.327 1.473 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)NCc1ccc(F)c(CN(C)C)c1 ZINC000908986415 712909599 /nfs/dbraw/zinc/90/95/99/712909599.db2.gz OIJJSGZNOWUNDE-SNVBAGLBSA-N -1 1 311.357 1.502 20 0 DDADMM CC(C)(C)c1csc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC000866901816 706840079 /nfs/dbraw/zinc/84/00/79/706840079.db2.gz PECIYVNQIYWPFD-VIFPVBQESA-N -1 1 320.422 1.974 20 0 DDADMM O=S(=O)([N-][C@@H]1C=C[C@H](CO)C1)c1cc(Cl)cnc1Cl ZINC000866909821 706842146 /nfs/dbraw/zinc/84/21/46/706842146.db2.gz MGMSPRPPOFCNHW-IONNQARKSA-N -1 1 323.201 1.604 20 0 DDADMM CC(C)[C@H]1C[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)CCO1 ZINC000867052854 706883186 /nfs/dbraw/zinc/88/31/86/706883186.db2.gz TWDYXPNBBKDYBQ-VXGBXAGGSA-N -1 1 324.468 1.286 20 0 DDADMM COC1(CC[N-]S(=O)(=O)c2ccc(F)nc2F)CCC1 ZINC000867306955 706954333 /nfs/dbraw/zinc/95/43/33/706954333.db2.gz GZTLIHOGHBPXFC-UHFFFAOYSA-N -1 1 306.334 1.597 20 0 DDADMM CC1=NO[C@@H](C[N-]S(=O)(=O)c2c(F)cc(C)cc2F)C1 ZINC000867349701 706970716 /nfs/dbraw/zinc/97/07/16/706970716.db2.gz PFKFAFDWJKTJNV-SECBINFHSA-N -1 1 304.318 1.716 20 0 DDADMM CC1(C)[C@H](N2CCOCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000880496884 706995863 /nfs/dbraw/zinc/99/58/63/706995863.db2.gz AJLLNEAFJFJCKT-QWHCGFSZSA-N -1 1 305.378 1.016 20 0 DDADMM Cc1nnc(SCCC(=O)N(CCC(=O)[O-])CC(C)C)[nH]1 ZINC000833021226 706999702 /nfs/dbraw/zinc/99/97/02/706999702.db2.gz LHLYKWPRWZRUGF-UHFFFAOYSA-N -1 1 314.411 1.555 20 0 DDADMM CC1CC(C[N-]S(=O)(=O)c2nc[nH]c2Br)C1 ZINC000867549531 707036735 /nfs/dbraw/zinc/03/67/35/707036735.db2.gz PFIIDSIXENEECA-UHFFFAOYSA-N -1 1 308.201 1.497 20 0 DDADMM O=C([O-])CCN(C(=O)c1cc(C(F)F)[nH]n1)C1CCOCC1 ZINC000909027252 712920531 /nfs/dbraw/zinc/92/05/31/712920531.db2.gz GDZBBSBFJXAJKL-UHFFFAOYSA-N -1 1 317.292 1.443 20 0 DDADMM CSc1nc(CNC(=O)C(C)(C)CCC(C)=O)cc(=O)[n-]1 ZINC000880652434 707048737 /nfs/dbraw/zinc/04/87/37/707048737.db2.gz IOVQBKHUXQJNQY-UHFFFAOYSA-N -1 1 311.407 1.916 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@]23C[C@@H]2CCCC3)n[n-]1 ZINC000880666230 707052158 /nfs/dbraw/zinc/05/21/58/707052158.db2.gz LPXQMGFQCNYJIR-YHAQOWFVSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@]23C[C@@H]2CCCC3)[n-]1 ZINC000880666230 707052162 /nfs/dbraw/zinc/05/21/62/707052162.db2.gz LPXQMGFQCNYJIR-YHAQOWFVSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@]23C[C@@H]2CCCC3)n1 ZINC000880666230 707052163 /nfs/dbraw/zinc/05/21/63/707052163.db2.gz LPXQMGFQCNYJIR-YHAQOWFVSA-N -1 1 306.366 1.739 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H]2C[C@H](SC)C2)c1 ZINC000867673710 707070009 /nfs/dbraw/zinc/07/00/09/707070009.db2.gz SHFZXXAWJLJZPG-CZMCAQCFSA-N -1 1 303.405 1.573 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)CO1)c1ncccc1[O-] ZINC000881551435 707291061 /nfs/dbraw/zinc/29/10/61/707291061.db2.gz PEHSDNNKNZXIMD-GFCCVEGCSA-N -1 1 306.362 1.493 20 0 DDADMM CCCN1CCC[C@@H]1C(=O)Nc1cccc(OCC(=O)[O-])c1 ZINC000909129041 712941838 /nfs/dbraw/zinc/94/18/38/712941838.db2.gz ZSSJZOWHTQYBGP-CQSZACIVSA-N -1 1 306.362 1.963 20 0 DDADMM COC(=O)[C@@H]1[C@H](CNC(=O)c2ccc([O-])c(F)c2)C1(F)F ZINC000881824282 707382474 /nfs/dbraw/zinc/38/24/74/707382474.db2.gz HXOAWTJAVNQGOX-XVKPBYJWSA-N -1 1 303.236 1.315 20 0 DDADMM CCC[C@@](C)(NC(=O)NCc1ccc2cncn2c1)C(=O)[O-] ZINC000909167048 712952089 /nfs/dbraw/zinc/95/20/89/712952089.db2.gz DWINFUARCALBFV-OAHLLOKOSA-N -1 1 304.350 1.777 20 0 DDADMM O=S(=O)([N-]C[C@H]1C[C@H](O)C1)c1c(Cl)ccnc1Cl ZINC000872578938 707491650 /nfs/dbraw/zinc/49/16/50/707491650.db2.gz QBUKFRVWWYBFLU-LJGSYFOKSA-N -1 1 311.190 1.438 20 0 DDADMM CC(C)(CO)O[N-]C(=O)Cc1ccccc1O[C@@H]1CCOC1 ZINC000836805695 707520042 /nfs/dbraw/zinc/52/00/42/707520042.db2.gz HHABAZWDBDKDOH-CYBMUJFWSA-N -1 1 309.362 1.216 20 0 DDADMM CCC[C@H](C)N1C[C@H](C(=O)[N-]O[C@@H](CO)C(C)C)CC1=O ZINC000836896833 707543327 /nfs/dbraw/zinc/54/33/27/707543327.db2.gz BCEJXSPLJRXJBP-XQQFMLRXSA-N -1 1 300.399 1.088 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ccnc(Cl)c1 ZINC000882408302 707626507 /nfs/dbraw/zinc/62/65/07/707626507.db2.gz ACNVKZCMQRNKKZ-QGZVFWFLSA-N -1 1 311.816 1.187 20 0 DDADMM COC[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC000882697058 707751135 /nfs/dbraw/zinc/75/11/35/707751135.db2.gz CXFKXSVNNTWDNX-OCAPTIKFSA-N -1 1 309.309 1.807 20 0 DDADMM CCn1cc([C@H](C)NC(=O)c2cnc(C3CC3)[n-]c2=O)cn1 ZINC000909286918 712982430 /nfs/dbraw/zinc/98/24/30/712982430.db2.gz YMKUCOSZIUUBHQ-VIFPVBQESA-N -1 1 301.350 1.767 20 0 DDADMM CCC[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1CCCC1 ZINC000838333892 707900856 /nfs/dbraw/zinc/90/08/56/707900856.db2.gz ZAPWKUBFTNXHLI-ZDUSSCGKSA-N -1 1 307.394 1.402 20 0 DDADMM O=C([O-])CN1CC[C@H](N2C[C@@H]3CC[C@H]2c2ccccc23)C1=O ZINC000883412597 707994983 /nfs/dbraw/zinc/99/49/83/707994983.db2.gz JBWFBFBSGJDEMU-CQDKDKBSSA-N -1 1 300.358 1.606 20 0 DDADMM CC[C@@H](OC(=O)c1ccc(S(=O)(=O)[N-]C)o1)c1ccncc1 ZINC000839010663 708014973 /nfs/dbraw/zinc/01/49/73/708014973.db2.gz JMUDAAQITKLDMB-LLVKDONJSA-N -1 1 324.358 1.891 20 0 DDADMM COc1ccc([C@@H](CC(=O)[O-])NC(=O)[C@H](C)N(C)C)c(OC)c1 ZINC000909368748 713002005 /nfs/dbraw/zinc/00/20/05/713002005.db2.gz QKYJVRPFOXBRCC-GXFFZTMASA-N -1 1 324.377 1.286 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NC2(c3ccccc3)CC2)C1 ZINC000909406982 713010394 /nfs/dbraw/zinc/01/03/94/713010394.db2.gz KPGUXHVGBKPEHO-CYBMUJFWSA-N -1 1 302.374 1.589 20 0 DDADMM COc1cnc([C@@H]2CCCN2c2cc(C)nc(OC)n2)[n-]c1=O ZINC000897224230 708261287 /nfs/dbraw/zinc/26/12/87/708261287.db2.gz KWMNUVSKQXMVFI-JTQLQIEISA-N -1 1 317.349 1.639 20 0 DDADMM CN(C(=O)c1ccc2ccc(O)cc2c1[O-])[C@@H]1CCNC1=O ZINC000897243918 708267074 /nfs/dbraw/zinc/26/70/74/708267074.db2.gz YQBOKCOBWWFKCB-CYBMUJFWSA-N -1 1 300.314 1.212 20 0 DDADMM C[C@H]1COC[C@H]1[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000921497799 713789623 /nfs/dbraw/zinc/78/96/23/713789623.db2.gz UCGKCIFUQPCUEQ-NKWVEPMBSA-N -1 1 310.169 1.355 20 0 DDADMM C[C@H](CN(C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21)C1CC1)C(=O)[O-] ZINC000909453375 713022284 /nfs/dbraw/zinc/02/22/84/713022284.db2.gz FQIOZCIGUAJQJV-GIPNMCIBSA-N -1 1 305.378 1.787 20 0 DDADMM O=S(=O)([N-]CCCOCC(F)(F)F)c1ccns1 ZINC000884928529 708422924 /nfs/dbraw/zinc/42/29/24/708422924.db2.gz XKCWXEQOECCJQI-UHFFFAOYSA-N -1 1 304.315 1.390 20 0 DDADMM O=S(=O)([N-][C@H](CCO)c1cccs1)c1ccns1 ZINC000885028499 708447551 /nfs/dbraw/zinc/44/75/51/708447551.db2.gz GHOVOEOTOSDFQS-MRVPVSSYSA-N -1 1 304.418 1.607 20 0 DDADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCC1=CCCCC1 ZINC000912527964 713030818 /nfs/dbraw/zinc/03/08/18/713030818.db2.gz KBUFHSTUPGLKAD-UHFFFAOYSA-N -1 1 313.379 1.365 20 0 DDADMM CN(C)C(=O)C1([N-]S(=O)(=O)c2ccns2)CCCCC1 ZINC000885216065 708496081 /nfs/dbraw/zinc/49/60/81/708496081.db2.gz CWIZDRJDUYFCHN-UHFFFAOYSA-N -1 1 317.436 1.213 20 0 DDADMM COC(=O)C1(C[N-]S(=O)(=O)c2cc(F)c(F)cc2F)CC1 ZINC000885401552 708536617 /nfs/dbraw/zinc/53/66/17/708536617.db2.gz UJWZHOCBTDNXIC-UHFFFAOYSA-N -1 1 323.292 1.335 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)Cc1ccccc1F)c1ccns1 ZINC000885532502 708569115 /nfs/dbraw/zinc/56/91/15/708569115.db2.gz VWECEJYJCVYDKO-JTQLQIEISA-N -1 1 316.379 1.164 20 0 DDADMM C[C@H]1CCN(C(=O)NCCc2c(F)cc([O-])cc2F)[C@@H]1CO ZINC000927782439 713054932 /nfs/dbraw/zinc/05/49/32/713054932.db2.gz DLEGVLZQRVOGOB-LKFCYVNXSA-N -1 1 314.332 1.625 20 0 DDADMM C[C@]1(O)CCN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927782498 713055020 /nfs/dbraw/zinc/05/50/20/713055020.db2.gz GYCPVIWFJFCIAM-AWEZNQCLSA-N -1 1 300.305 1.379 20 0 DDADMM CC(C)C[C@H]1CN(C)CCN1C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000886597506 708787903 /nfs/dbraw/zinc/78/79/03/708787903.db2.gz FZEWKWWOKXGBEQ-NSHDSACASA-N -1 1 318.377 1.731 20 0 DDADMM CN(C(=O)CNc1cccc(C(F)(F)F)c1)c1nn[n-]n1 ZINC000912617052 713052604 /nfs/dbraw/zinc/05/26/04/713052604.db2.gz CCUDLASYIWXUQB-UHFFFAOYSA-N -1 1 300.244 1.293 20 0 DDADMM CN(C(=O)[C@H]1CCCN1Cc1ccc(Cl)cc1)c1nn[n-]n1 ZINC000912618366 713052777 /nfs/dbraw/zinc/05/27/77/713052777.db2.gz QWMAEYHGKJVTHB-GFCCVEGCSA-N -1 1 320.784 1.481 20 0 DDADMM O=C(NC[C@H](O)[C@H]1CCCO1)c1ccc2ccc(O)cc2c1[O-] ZINC000899131115 708994646 /nfs/dbraw/zinc/99/46/46/708994646.db2.gz MHTRUNZCKPHGLR-LSDHHAIUSA-N -1 1 317.341 1.521 20 0 DDADMM CCN1CC[C@H]1CNC(=O)[C@@](C)(CC(=O)[O-])c1ccsc1 ZINC000887316712 709015430 /nfs/dbraw/zinc/01/54/30/709015430.db2.gz XRRLUEBMIXBAIE-WFASDCNBSA-N -1 1 310.419 1.691 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H](CO)C[C@H]1CCCO1 ZINC000899274600 709040915 /nfs/dbraw/zinc/04/09/15/709040915.db2.gz FNYAZAOYPBKTLU-NWDGAFQWSA-N -1 1 313.781 1.634 20 0 DDADMM C[C@@H]1C[C@@]2(CC[C@@H](CNC(=O)c3ccc([O-])c(F)c3)O2)CO1 ZINC000899480986 709094658 /nfs/dbraw/zinc/09/46/58/709094658.db2.gz VXMGARKNBXLSAN-XAGWURHQSA-N -1 1 309.337 1.988 20 0 DDADMM O=C(C(=O)N1CCC[C@H](c2ncccn2)C1)c1ccc([O-])cc1 ZINC000899521348 709105479 /nfs/dbraw/zinc/10/54/79/709105479.db2.gz JSPQYECWVRMCJO-ZDUSSCGKSA-N -1 1 311.341 1.771 20 0 DDADMM COC(=O)C1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CCO2 ZINC000899677646 709145097 /nfs/dbraw/zinc/14/50/97/709145097.db2.gz KKROQSQSRPEXRD-NOEPWBJOSA-N -1 1 320.345 1.018 20 0 DDADMM CCOC(=O)[C@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000899930475 709240437 /nfs/dbraw/zinc/24/04/37/709240437.db2.gz VTVRRAPLOAZGLX-NSHDSACASA-N -1 1 319.361 1.475 20 0 DDADMM Cn1cc([C@@H]2CSCCCN2C(=O)c2cncc([O-])c2)cn1 ZINC000888891064 709397848 /nfs/dbraw/zinc/39/78/48/709397848.db2.gz WXELKOVWPJFMQS-AWEZNQCLSA-N -1 1 318.402 1.841 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cccc(F)c1)c1nn[n-]n1 ZINC000912859846 713109314 /nfs/dbraw/zinc/10/93/14/713109314.db2.gz GCMRTEAQKLNZJN-FJOGCWAESA-N -1 1 321.381 1.963 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cncc(F)c1)c1nn[n-]n1 ZINC000912860747 713109906 /nfs/dbraw/zinc/10/99/06/713109906.db2.gz WWORFLXGSPTUCZ-VUDBWIFFSA-N -1 1 322.369 1.358 20 0 DDADMM C[C@]1(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)CCCS1 ZINC000909595211 709533808 /nfs/dbraw/zinc/53/38/08/709533808.db2.gz UKXGGOLFWSXXMP-SMDDNHRTSA-N -1 1 300.424 1.185 20 0 DDADMM COC[C@@H](C)[C@@H](C)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912863459 713111320 /nfs/dbraw/zinc/11/13/20/713111320.db2.gz YUSKCLTWSBGGGB-BBBLOLIVSA-N -1 1 301.416 1.029 20 0 DDADMM CCN(C)[C@H](C(=O)N[C@H](CCOC)C(=O)[O-])c1ccccc1 ZINC000909623885 709544717 /nfs/dbraw/zinc/54/47/17/709544717.db2.gz HLVXZLUCVCTWCW-KGLIPLIRSA-N -1 1 308.378 1.285 20 0 DDADMM CSCC[C@H](NC(=O)[C@@H](C)c1ccncc1)c1nn[n-]n1 ZINC000912863412 713111695 /nfs/dbraw/zinc/11/16/95/713111695.db2.gz XFMLJFOIBTVPQE-ONGXEEELSA-N -1 1 306.395 1.309 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000909684998 709577030 /nfs/dbraw/zinc/57/70/30/709577030.db2.gz YSTVJVDKDRJDSV-DYNIEEOBSA-N -1 1 303.362 1.226 20 0 DDADMM C[C@@H]1CCSCCN1C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000909730602 709598781 /nfs/dbraw/zinc/59/87/81/709598781.db2.gz UQPBZQUBKWKONG-NEPJUHHUSA-N -1 1 300.424 1.137 20 0 DDADMM C[C@@H]1CCSCCN1C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909730602 709598785 /nfs/dbraw/zinc/59/87/85/709598785.db2.gz UQPBZQUBKWKONG-NEPJUHHUSA-N -1 1 300.424 1.137 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)[C@H](C(=O)[O-])C1 ZINC000909779749 709615994 /nfs/dbraw/zinc/61/59/94/709615994.db2.gz LBOVPQCFQOPLQR-GWCFXTLKSA-N -1 1 314.345 1.797 20 0 DDADMM CN(C)C1(C(=O)N(C)c2ccc(OCC(=O)[O-])cc2)CCC1 ZINC000909785351 709619621 /nfs/dbraw/zinc/61/96/21/709619621.db2.gz UDZIOCKEIVCWCM-UHFFFAOYSA-N -1 1 306.362 1.597 20 0 DDADMM C[C@@H](NC(=O)[C@H]1CCCN1C)c1ccc(OCC(=O)[O-])cc1 ZINC000909790208 709621432 /nfs/dbraw/zinc/62/14/32/709621432.db2.gz PZJDGSSIDAJJEG-BXUZGUMPSA-N -1 1 306.362 1.421 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)Cn2cc(C3CCC3)nn2)cc1 ZINC000900501012 709625495 /nfs/dbraw/zinc/62/54/95/709625495.db2.gz DXPKGDHCKVMTQB-UHFFFAOYSA-N -1 1 314.345 1.511 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C2CC(OC)C2)[n-]c1=O ZINC000889785957 709635313 /nfs/dbraw/zinc/63/53/13/709635313.db2.gz JWRMTEBZCIHLBW-ILDUYXDCSA-N -1 1 307.350 1.279 20 0 DDADMM O=C([O-])[C@@H](Cc1cccc(Cl)c1)NC(=O)CCc1cnc[nH]1 ZINC000909815768 709636251 /nfs/dbraw/zinc/63/62/51/709636251.db2.gz KLCVIVRKFUONEC-CYBMUJFWSA-N -1 1 321.764 1.808 20 0 DDADMM COC[C@@H](C)CC(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000889791489 709637897 /nfs/dbraw/zinc/63/78/97/709637897.db2.gz HTRLSFPAXODHLA-WDEREUQCSA-N -1 1 309.366 1.527 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H](C)OCC(C)C)[n-]c1=O ZINC000889793641 709638970 /nfs/dbraw/zinc/63/89/70/709638970.db2.gz AUVOTUMYGHJFQJ-RYUDHWBXSA-N -1 1 323.393 1.915 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2scnc2C)[n-]c1=O ZINC000889794983 709639431 /nfs/dbraw/zinc/63/94/31/709639431.db2.gz SYWHWJJGZZZPOM-SECBINFHSA-N -1 1 320.374 1.933 20 0 DDADMM CC(C)[N@@H+](C)CC(=O)N[C@H](C)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909821419 709639963 /nfs/dbraw/zinc/63/99/63/709639963.db2.gz VQVZHQHIIWUVPK-HIFRSBDPSA-N -1 1 306.406 1.775 20 0 DDADMM O=C([N-][C@@H]1Cc2cc(Br)cnc2NC1=O)C(F)F ZINC000889812246 709645743 /nfs/dbraw/zinc/64/57/43/709645743.db2.gz UEKPHJQYKOWFEL-ZCFIWIBFSA-N -1 1 320.093 1.089 20 0 DDADMM CN(CC(=O)N1CCC[C@@](C)(C(=O)[O-])C1)[C@@H]1CCSC1 ZINC000909843940 709648992 /nfs/dbraw/zinc/64/89/92/709648992.db2.gz XVYFYWZSWGJPCB-BXUZGUMPSA-N -1 1 300.424 1.137 20 0 DDADMM CN(CC(=O)NCc1ccc(C(=O)[O-])s1)[C@@H]1CCSC1 ZINC000909848359 709651095 /nfs/dbraw/zinc/65/10/95/709651095.db2.gz QLJSPINSUFFPNX-SECBINFHSA-N -1 1 314.432 1.500 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NC[C@@H](CC1CC1)C(=O)[O-] ZINC000909882773 709668158 /nfs/dbraw/zinc/66/81/58/709668158.db2.gz LYEGYARLOREYPT-MRVPVSSYSA-N -1 1 319.283 1.968 20 0 DDADMM CN(CC(=O)N[C@@H]1CCC[C@@]1(C)C(=O)[O-])[C@@H]1CCSC1 ZINC000909994024 709726867 /nfs/dbraw/zinc/72/68/67/709726867.db2.gz TVGJZTZGIFTNTD-JTNHKYCSSA-N -1 1 300.424 1.183 20 0 DDADMM C[C@H]1CCN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCS1 ZINC000910015511 709736472 /nfs/dbraw/zinc/73/64/72/709736472.db2.gz LAXRSZUMVKKNIX-NWDGAFQWSA-N -1 1 300.424 1.137 20 0 DDADMM CCOc1ccccc1N(C)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000910017412 709737662 /nfs/dbraw/zinc/73/76/62/709737662.db2.gz JHQFYMPBXOODKP-CYBMUJFWSA-N -1 1 320.389 1.845 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C2(C(F)(F)F)CCC2)CC1 ZINC000910170807 709796700 /nfs/dbraw/zinc/79/67/00/709796700.db2.gz OHDHCTVFTPRYDP-SNVBAGLBSA-N -1 1 322.327 1.726 20 0 DDADMM CC(C)c1nocc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910176850 709798613 /nfs/dbraw/zinc/79/86/13/709798613.db2.gz FILFWEDXUDPHHU-UHFFFAOYSA-N -1 1 309.366 1.419 20 0 DDADMM CC(C)n1cccc1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000910182211 709801190 /nfs/dbraw/zinc/80/11/90/709801190.db2.gz VSLNMBKZEMZLGD-UHFFFAOYSA-N -1 1 307.394 1.690 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCC2CCC(F)(F)CC2)C1 ZINC000910241816 709835637 /nfs/dbraw/zinc/83/56/37/709835637.db2.gz MUZFLOOJZGUXQW-GFCCVEGCSA-N -1 1 318.364 1.725 20 0 DDADMM CC[C@H](CC(F)(F)F)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000910252562 709841266 /nfs/dbraw/zinc/84/12/66/709841266.db2.gz GCNRVURBCQRAQE-NXEZZACHSA-N -1 1 310.316 1.630 20 0 DDADMM O=C(N[C@@H]1CCOC12CCCC2)c1cnc(C2CC2)[n-]c1=O ZINC000890405725 709853763 /nfs/dbraw/zinc/85/37/63/709853763.db2.gz NODBZINPEMCPRW-GFCCVEGCSA-N -1 1 303.362 1.891 20 0 DDADMM Cc1nc(N2CCN([C@H]3CCC[C@H]3O)CC2)sc1C(=O)[O-] ZINC000900986996 709864458 /nfs/dbraw/zinc/86/44/58/709864458.db2.gz ATMVWWMXQHGTEC-WDEREUQCSA-N -1 1 311.407 1.185 20 0 DDADMM O=C(NC[C@H](CO)c1ccccc1)c1cnc(C2CC2)[n-]c1=O ZINC000901007021 709875374 /nfs/dbraw/zinc/87/53/74/709875374.db2.gz RBXBXQDVGZZLJY-CYBMUJFWSA-N -1 1 313.357 1.566 20 0 DDADMM CCCCCc1cc(C(=O)N2CCO[C@@](C)(C(=O)[O-])C2)n[nH]1 ZINC000910330930 709896763 /nfs/dbraw/zinc/89/67/63/709896763.db2.gz ORHUVKJYJUYRSG-OAHLLOKOSA-N -1 1 309.366 1.458 20 0 DDADMM C[C@@]1(C2CC2)COCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000890586582 709921554 /nfs/dbraw/zinc/92/15/54/709921554.db2.gz LJYGNCGQIWBLNC-HNNXBMFYSA-N -1 1 318.402 1.659 20 0 DDADMM CN(CC(=O)NC[C@@H](Oc1ccccc1)C(=O)[O-])C1CCC1 ZINC000910486786 709968703 /nfs/dbraw/zinc/96/87/03/709968703.db2.gz UXNFVLUXIGBYPH-CQSZACIVSA-N -1 1 306.362 1.119 20 0 DDADMM CCN(CC)[C@@H](C(=O)N1CC[C@@](O)(C(=O)[O-])C1)c1ccccc1 ZINC000910531949 709986662 /nfs/dbraw/zinc/98/66/62/709986662.db2.gz VYYUYKSQSRXTSC-PBHICJAKSA-N -1 1 320.389 1.118 20 0 DDADMM CN(C)Cc1ccc(C(=O)N[C@H](CC(=O)[O-])C2CCCC2)cn1 ZINC000910561178 710000568 /nfs/dbraw/zinc/00/05/68/710000568.db2.gz WTKGXSMGBBQBSR-OAHLLOKOSA-N -1 1 319.405 1.907 20 0 DDADMM CC(C)CCC[C@H](NC(=O)[C@@H](C)CN1CCOCC1)C(=O)[O-] ZINC000910574104 710005931 /nfs/dbraw/zinc/00/59/31/710005931.db2.gz FRXKRSTVZXYPRF-KBPBESRZSA-N -1 1 314.426 1.350 20 0 DDADMM CC[C@H](C(=O)Nc1ccc(C(=O)[O-])cc1OCCOC)N(C)C ZINC000910692307 710049625 /nfs/dbraw/zinc/04/96/25/710049625.db2.gz CJSKYFXLTBHLDS-CYBMUJFWSA-N -1 1 324.377 1.689 20 0 DDADMM COc1ccc(C[C@H](C)CN2CCO[C@H](C(=O)[O-])C2)cc1F ZINC000901490863 710055216 /nfs/dbraw/zinc/05/52/16/710055216.db2.gz POKYZKDDSVLXAQ-NHYWBVRUSA-N -1 1 311.353 1.798 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(Cc2ccc(O[C@@H]3CCOC3)cc2)C1 ZINC000901517984 710063371 /nfs/dbraw/zinc/06/33/71/710063371.db2.gz JUHOYQWCPPMMIJ-GDBMZVCRSA-N -1 1 309.337 1.853 20 0 DDADMM Cc1cnc(C(=O)N2CCC(c3ccn(C)n3)CC2)c([O-])c1 ZINC000928207766 713152782 /nfs/dbraw/zinc/15/27/82/713152782.db2.gz BWYWAGGOICVJTG-UHFFFAOYSA-N -1 1 300.362 1.849 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N2CC[C@H]3[C@@H](C2)[C@H]3C(=O)[O-])C1 ZINC000910852194 710095426 /nfs/dbraw/zinc/09/54/26/710095426.db2.gz PCRVARVICFDQKO-FXAPSIEYSA-N -1 1 303.362 1.255 20 0 DDADMM CSC(C)(C)CCN(C)CC(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000901622917 710099350 /nfs/dbraw/zinc/09/93/50/710099350.db2.gz FKJKFCUUXBTOLH-GFCCVEGCSA-N -1 1 316.467 1.773 20 0 DDADMM CSC1(CN(C)CC(=O)N2CCC[C@@H](C(=O)[O-])C2)CC1 ZINC000901625737 710100891 /nfs/dbraw/zinc/10/08/91/710100891.db2.gz GBOOEMQYQYGVOO-LLVKDONJSA-N -1 1 300.424 1.137 20 0 DDADMM COc1ccc([C@H](NCc2cnc(Cl)n2C)C(=O)[O-])cc1 ZINC000901650242 710107777 /nfs/dbraw/zinc/10/77/77/710107777.db2.gz VQJDSQOQLKLZSW-LBPRGKRZSA-N -1 1 309.753 1.998 20 0 DDADMM C[C@@H](CNC(=O)[C@@H]1CC[C@H]1C(=O)[O-])N1CCc2ccccc2C1 ZINC000901886741 710165644 /nfs/dbraw/zinc/16/56/44/710165644.db2.gz WYYGQAOCPFJTTO-APHBMKBZSA-N -1 1 316.401 1.660 20 0 DDADMM C[C@@H]1CN(Cc2ccc(N3CCOCC3)cc2)C[C@@H](C(=O)[O-])O1 ZINC000901933361 710178206 /nfs/dbraw/zinc/17/82/06/710178206.db2.gz AIQAHBIFMDMRRH-CJNGLKHVSA-N -1 1 320.389 1.197 20 0 DDADMM COCc1nc(NCCOc2ccccc2OC)cc(=O)[n-]1 ZINC000891472930 710211088 /nfs/dbraw/zinc/21/10/88/710211088.db2.gz ZUXQWKARUUFCFB-UHFFFAOYSA-N -1 1 305.334 1.828 20 0 DDADMM COCc1nc(NC2CCN(C(=O)C(C)C)CC2)cc(=O)[n-]1 ZINC000891729786 710277577 /nfs/dbraw/zinc/27/75/77/710277577.db2.gz TXQMXLLEBUMICO-UHFFFAOYSA-N -1 1 308.382 1.388 20 0 DDADMM COCCN(Cc1ccccn1)c1cc(=O)[n-]c(COC)n1 ZINC000891903649 710322590 /nfs/dbraw/zinc/32/25/90/710322590.db2.gz PFXOUTOICGQNCI-UHFFFAOYSA-N -1 1 304.350 1.377 20 0 DDADMM COCc1nc(NC[C@@H](O)COc2cccc(C)c2)cc(=O)[n-]1 ZINC000891991159 710348324 /nfs/dbraw/zinc/34/83/24/710348324.db2.gz LNPGIYQIGZJDSL-GFCCVEGCSA-N -1 1 319.361 1.489 20 0 DDADMM COCc1nc(NCCNC(=O)c2ccc(C)cc2)cc(=O)[n-]1 ZINC000892453989 710439412 /nfs/dbraw/zinc/43/94/12/710439412.db2.gz ISSJNLIVTTUZKI-UHFFFAOYSA-N -1 1 316.361 1.479 20 0 DDADMM [O-]c1cc(Br)cnc1CNC[C@H](O)C(F)(F)F ZINC000892669789 710479013 /nfs/dbraw/zinc/47/90/13/710479013.db2.gz XFXWTNSHUAPWNM-QMMMGPOBSA-N -1 1 315.089 1.563 20 0 DDADMM COCc1nc(NCC[C@@H]2COc3ccccc3O2)cc(=O)[n-]1 ZINC000892944872 710529957 /nfs/dbraw/zinc/52/99/57/710529957.db2.gz PLPFHODDWHEFQV-LLVKDONJSA-N -1 1 317.345 1.971 20 0 DDADMM CN(C(=O)CCCOc1ccc2c(c1)CCC2)c1nn[n-]n1 ZINC000892955306 710531673 /nfs/dbraw/zinc/53/16/73/710531673.db2.gz ZKJZDNOJFONCBV-UHFFFAOYSA-N -1 1 301.350 1.510 20 0 DDADMM COCc1nc(N2CCC(OC[C@H]3CCOC3)CC2)cc(=O)[n-]1 ZINC000893125299 710558894 /nfs/dbraw/zinc/55/88/94/710558894.db2.gz FCAYGYYWESOTAK-LBPRGKRZSA-N -1 1 323.393 1.351 20 0 DDADMM CN(C)[C@@H](CNC(=O)C12CC(C(=O)[O-])(C1)C2)c1cccc(F)c1 ZINC000911104313 710635000 /nfs/dbraw/zinc/63/50/00/710635000.db2.gz BWQGKTUVWCLUNX-IGEOTXOUSA-N -1 1 320.364 1.800 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)c1cc(F)cc2nc[nH]c21)C(F)(F)F ZINC000911153107 710657517 /nfs/dbraw/zinc/65/75/17/710657517.db2.gz NAJQKDDEMUPSOP-MRVPVSSYSA-N -1 1 319.214 1.837 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)C(F)(F)F ZINC000911160220 710662477 /nfs/dbraw/zinc/66/24/77/710662477.db2.gz BXZAMTRPAMMYDT-HZGVNTEJSA-N -1 1 305.256 1.351 20 0 DDADMM CN(C)C(=O)[C@H](Cc1ccccc1)NCc1ccoc1C(=O)[O-] ZINC000902238543 710676045 /nfs/dbraw/zinc/67/60/45/710676045.db2.gz JKWRJKUUIZZFFI-AWEZNQCLSA-N -1 1 316.357 1.767 20 0 DDADMM COCc1nc(N2CCC(Oc3cnccn3)CC2)cc(=O)[n-]1 ZINC000893543534 710698172 /nfs/dbraw/zinc/69/81/72/710698172.db2.gz VQFSSUUDCTXDTL-UHFFFAOYSA-N -1 1 317.349 1.166 20 0 DDADMM Cc1cccc(O[C@@H](C)CNCc2cn(CC(=O)[O-])nn2)c1 ZINC000902319056 710715065 /nfs/dbraw/zinc/71/50/65/710715065.db2.gz MNODNLMLKYFVEF-LBPRGKRZSA-N -1 1 304.350 1.228 20 0 DDADMM CC[C@H](C(=O)[O-])C(=O)NC[C@H](c1ccc(C)o1)N1CCCC1 ZINC000911333263 710746624 /nfs/dbraw/zinc/74/66/24/710746624.db2.gz UHJMDBMIMYRWHJ-QWHCGFSZSA-N -1 1 308.378 1.952 20 0 DDADMM C[C@H](NC(=O)[C@@H]1CC[C@@H]1C(=O)[O-])C1(N2CCOCC2)CCCC1 ZINC000911452751 710804908 /nfs/dbraw/zinc/80/49/08/710804908.db2.gz ZSSJMLZCMLAIEG-MJBXVCDLSA-N -1 1 324.421 1.247 20 0 DDADMM CO[C@@]1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCSC1 ZINC000928491234 713211558 /nfs/dbraw/zinc/21/15/58/713211558.db2.gz ZFYPQPSFGQKXEI-CQSZACIVSA-N -1 1 309.391 1.312 20 0 DDADMM O=C([O-])[C@@H]1CCCC[C@@H]1C(=O)NCc1ccc2cncn2c1 ZINC000911646261 710903606 /nfs/dbraw/zinc/90/36/06/710903606.db2.gz VLJNWIIQUPAXSP-UONOGXRCSA-N -1 1 301.346 1.842 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN[C@H](c2cn[nH]c2)C1 ZINC000913439589 713216288 /nfs/dbraw/zinc/21/62/88/713216288.db2.gz GRISNYQKAIWRBP-ZDUSSCGKSA-N -1 1 320.780 1.484 20 0 DDADMM O=C(N[C@@H](c1nnn[n-]1)c1ccccc1)[C@@H]1CCCN1C1CC1 ZINC000913439146 713216437 /nfs/dbraw/zinc/21/64/37/713216437.db2.gz ZQBNOWQIMFCCCQ-UONOGXRCSA-N -1 1 312.377 1.032 20 0 DDADMM O=C([O-])c1ccc(N2CCN(C(=O)c3cnc[nH]3)CC2)s1 ZINC000911759677 710969788 /nfs/dbraw/zinc/96/97/88/710969788.db2.gz AGIYSVVPJHHOBB-UHFFFAOYSA-N -1 1 306.347 1.132 20 0 DDADMM CN(C)C1(C(=O)N2CCN(c3ccc(C(=O)[O-])s3)CC2)CC1 ZINC000911765035 710972441 /nfs/dbraw/zinc/97/24/41/710972441.db2.gz FQFCIQKEINHXMS-UHFFFAOYSA-N -1 1 323.418 1.189 20 0 DDADMM O=C([O-])CC1(CC(=O)N2CC[C@@H](c3nc[nH]n3)C2)CCCC1 ZINC000911772186 710975937 /nfs/dbraw/zinc/97/59/37/710975937.db2.gz PGPGIHHCWUQNCN-LLVKDONJSA-N -1 1 306.366 1.546 20 0 DDADMM Cn1cc([C@@H]2CN(C(=O)c3c([O-])cccc3Cl)CCN2)cn1 ZINC000913463894 713227405 /nfs/dbraw/zinc/22/74/05/713227405.db2.gz KOSJUVKLZYIEBU-LBPRGKRZSA-N -1 1 320.780 1.566 20 0 DDADMM COCc1nc(N[C@@H]2CCC[C@H]2Cc2cnn(C)c2)cc(=O)[n-]1 ZINC000894573373 711188761 /nfs/dbraw/zinc/18/87/61/711188761.db2.gz XWERZQGUYNKIGR-QWHCGFSZSA-N -1 1 317.393 1.885 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NN1CCCC[C@@H]1C ZINC000912147467 711192544 /nfs/dbraw/zinc/19/25/44/711192544.db2.gz ITQYZYIIQYBMPG-JTQLQIEISA-N -1 1 317.393 1.500 20 0 DDADMM O=C(N[C@@H]([C@@H]1CCCO1)C1(CO)CCC1)c1ccc([O-])cc1F ZINC000912205307 711220520 /nfs/dbraw/zinc/22/05/20/711220520.db2.gz FYSGTBTWHZNDFG-GJZGRUSLSA-N -1 1 323.364 1.971 20 0 DDADMM CC(C)(CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC000913494273 713237299 /nfs/dbraw/zinc/23/72/99/713237299.db2.gz DAGMEBFKXBEDEI-ZDUSSCGKSA-N -1 1 315.377 1.468 20 0 DDADMM C[C@H](c1cn(-c2ccccc2)nn1)N1CC[C@H]2[C@@H](C1)[C@H]2C(=O)[O-] ZINC000903627266 711228970 /nfs/dbraw/zinc/22/89/70/711228970.db2.gz HIELHPQJKPUDFR-UJDVCPFMSA-N -1 1 312.373 1.981 20 0 DDADMM O=S(=O)(CCC1CC1)[N-]C1(c2c(F)cccc2F)COC1 ZINC000903652930 711236032 /nfs/dbraw/zinc/23/60/32/711236032.db2.gz AJLMBGFOJATDDB-UHFFFAOYSA-N -1 1 317.357 1.910 20 0 DDADMM CC[C@H]1CCCCN1C(=O)[C@H](C)N(CCOC)CCC(=O)[O-] ZINC000903711570 711250511 /nfs/dbraw/zinc/25/05/11/711250511.db2.gz CLUAFGOUFZKODK-KBPBESRZSA-N -1 1 314.426 1.589 20 0 DDADMM O=C(CC[C@@H]1CC1(Cl)Cl)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913498589 713239235 /nfs/dbraw/zinc/23/92/35/713239235.db2.gz IOVIJDBSBGVFCR-SFYZADRCSA-N -1 1 320.180 1.074 20 0 DDADMM O=c1nc(N[C@H](CO)[C@@H](O)C2CCCCC2)cc(Cl)[n-]1 ZINC000894745438 711255984 /nfs/dbraw/zinc/25/59/84/711255984.db2.gz MTXVBZHPGRJEPI-SKDRFNHKSA-N -1 1 301.774 1.550 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@]2(C)CCC[C@@H]2C)n[n-]1 ZINC000912363396 711292552 /nfs/dbraw/zinc/29/25/52/711292552.db2.gz NZKQQVXABGJUJG-YHAQOWFVSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@]2(C)CCC[C@@H]2C)[n-]1 ZINC000912363396 711292555 /nfs/dbraw/zinc/29/25/55/711292555.db2.gz NZKQQVXABGJUJG-YHAQOWFVSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@]2(C)CCC[C@@H]2C)n1 ZINC000912363396 711292558 /nfs/dbraw/zinc/29/25/58/711292558.db2.gz NZKQQVXABGJUJG-YHAQOWFVSA-N -1 1 308.382 1.985 20 0 DDADMM O=C([O-])c1ccc(F)c2c1CN(CCO[C@@H]1CCOC1)CC2 ZINC000903911330 711327991 /nfs/dbraw/zinc/32/79/91/711327991.db2.gz CBNMCKKPPFJNSG-LLVKDONJSA-N -1 1 309.337 1.688 20 0 DDADMM CCOCCOCCN1CCc2c(F)ccc(C(=O)[O-])c2C1 ZINC000903911352 711328140 /nfs/dbraw/zinc/32/81/40/711328140.db2.gz CZOYUYHWAQBJCU-UHFFFAOYSA-N -1 1 311.353 1.935 20 0 DDADMM CCSc1ccccc1CC(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000912472654 711344139 /nfs/dbraw/zinc/34/41/39/711344139.db2.gz BKBJOLZZWYQIDZ-UHFFFAOYSA-N -1 1 319.386 1.859 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC=CC12CCCCC2 ZINC000912475305 711345390 /nfs/dbraw/zinc/34/53/90/711345390.db2.gz WGQHNJLQMXATTQ-UHFFFAOYSA-N -1 1 305.334 1.611 20 0 DDADMM COCc1nc(N2CCN(c3cccc(F)c3)CC2)cc(=O)[n-]1 ZINC000895021689 711372804 /nfs/dbraw/zinc/37/28/04/711372804.db2.gz IFUIITDYHQJHRD-UHFFFAOYSA-N -1 1 318.352 1.794 20 0 DDADMM Cc1cccc([C@H](NCc2ccc(C(=O)N(C)C)[nH]2)C(=O)[O-])c1 ZINC000904264701 711420796 /nfs/dbraw/zinc/42/07/96/711420796.db2.gz OARQHIQPLVFHAD-HNNXBMFYSA-N -1 1 315.373 1.940 20 0 DDADMM COCc1nc(NC[C@@]2(c3ccccc3)C[C@@H](O)C2)cc(=O)[n-]1 ZINC000895587897 711551349 /nfs/dbraw/zinc/55/13/49/711551349.db2.gz AHLHZMJKEJTJAG-WJYNOGRTSA-N -1 1 315.373 1.833 20 0 DDADMM CC(C)(C)N1CC[C@H]1C(=O)N[C@@H](c1nnn[n-]1)c1ccccc1 ZINC000895836907 711621448 /nfs/dbraw/zinc/62/14/48/711621448.db2.gz PTMLWQQRTICLPD-QWHCGFSZSA-N -1 1 314.393 1.278 20 0 DDADMM Cc1nn(CC(F)F)cc1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000904940005 711905094 /nfs/dbraw/zinc/90/50/94/711905094.db2.gz JHMZEYKRTWXKFA-UHFFFAOYSA-N -1 1 320.303 1.364 20 0 DDADMM COCc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000913742097 713291694 /nfs/dbraw/zinc/29/16/94/713291694.db2.gz GYDUCAGCHCZYFZ-UHFFFAOYSA-N -1 1 301.350 1.366 20 0 DDADMM C[C@@H](Sc1ccccn1)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913743721 713292527 /nfs/dbraw/zinc/29/25/27/713292527.db2.gz IMMSIULDCGNGRW-SNVBAGLBSA-N -1 1 318.406 1.482 20 0 DDADMM CCOCCC1(C(=O)N2CCC(c3nn[n-]n3)CC2)CCC1 ZINC000913743771 713292568 /nfs/dbraw/zinc/29/25/68/713292568.db2.gz KPAQTQRPVOQZRG-UHFFFAOYSA-N -1 1 307.398 1.503 20 0 DDADMM O=S(=O)(c1nc(-c2ccc(Cl)cc2)n[n-]1)[C@H]1CCOC1 ZINC000905252941 711980752 /nfs/dbraw/zinc/98/07/52/711980752.db2.gz ORBMUCMWNODLMB-JTQLQIEISA-N -1 1 313.766 1.688 20 0 DDADMM O=S(=O)(c1n[n-]c(-c2ccc(Cl)cc2)n1)[C@H]1CCOC1 ZINC000905252941 711980756 /nfs/dbraw/zinc/98/07/56/711980756.db2.gz ORBMUCMWNODLMB-JTQLQIEISA-N -1 1 313.766 1.688 20 0 DDADMM O=C([C@@H]1CCCCCC(=O)C1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744796 713293264 /nfs/dbraw/zinc/29/32/64/713293264.db2.gz FFLXPLHVUBZACI-GFCCVEGCSA-N -1 1 305.382 1.445 20 0 DDADMM O=Cc1ccc(C=CC(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000913746001 713293806 /nfs/dbraw/zinc/29/38/06/713293806.db2.gz SPBWBBBODNTMDF-WAYWQWQTSA-N -1 1 311.345 1.432 20 0 DDADMM C[C@@]1(Br)C[C@@H]1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745856 713293856 /nfs/dbraw/zinc/29/38/56/713293856.db2.gz QPWWKUOMQOYZTB-LDYMZIIASA-N -1 1 314.187 1.079 20 0 DDADMM Cc1cccc(COCC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913745995 713293980 /nfs/dbraw/zinc/29/39/80/713293980.db2.gz SJICJMCQKUINFK-UHFFFAOYSA-N -1 1 315.377 1.431 20 0 DDADMM Cc1ccc(/C=C\S(=O)(=O)NCC(F)(F)C(=O)[O-])cc1 ZINC000906000080 712216680 /nfs/dbraw/zinc/21/66/80/712216680.db2.gz TVOHKCNSBGSVJJ-SREVYHEPSA-N -1 1 305.302 1.605 20 0 DDADMM Cc1ccncc1[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000906061018 712233951 /nfs/dbraw/zinc/23/39/51/712233951.db2.gz NLZCAYOUPOQNQD-GOSISDBHSA-N -1 1 305.425 1.943 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]c1cnc2c(c1)CCCC2 ZINC000906062586 712234484 /nfs/dbraw/zinc/23/44/84/712234484.db2.gz QGGDTYWAGWMZQT-LJQANCHMSA-N -1 1 317.436 1.735 20 0 DDADMM CN(C)c1ncccc1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000906064109 712234791 /nfs/dbraw/zinc/23/47/91/712234791.db2.gz IOZGSGDDYADZLZ-UHFFFAOYSA-N -1 1 318.424 1.066 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)c2cc(C(=O)[O-])ccc2O)C[C@@H]1F ZINC000906921030 712439392 /nfs/dbraw/zinc/43/93/92/712439392.db2.gz UBTFBOHAXOSOKD-SCZZXKLOSA-N -1 1 317.338 1.459 20 0 DDADMM O=C(NN1CCc2ccccc2C1=O)c1cnc(C2CC2)[n-]c1=O ZINC000907258024 712525210 /nfs/dbraw/zinc/52/52/10/712525210.db2.gz QSKPNUXECFTMGR-UHFFFAOYSA-N -1 1 324.340 1.403 20 0 DDADMM O=C(N[C@@H]1CCCC2(C1)OCCO2)c1cnc(C2CC2)[n-]c1=O ZINC000907277370 712531090 /nfs/dbraw/zinc/53/10/90/712531090.db2.gz PFTONUWDRUPCMP-LLVKDONJSA-N -1 1 319.361 1.475 20 0 DDADMM C[C@@H]1C[C@H](CCNC(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000907334080 712545914 /nfs/dbraw/zinc/54/59/14/712545914.db2.gz DJDBOSQNDNPKMO-GHMZBOCLSA-N -1 1 305.378 1.995 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccccc1F ZINC000907475060 712581819 /nfs/dbraw/zinc/58/18/19/712581819.db2.gz NKMKWULWSWHWKF-BXKDBHETSA-N -1 1 321.381 1.759 20 0 DDADMM Cc1scc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1C ZINC000907476163 712582163 /nfs/dbraw/zinc/58/21/63/712582163.db2.gz CAHHPFFIORKILC-JTQLQIEISA-N -1 1 309.420 1.808 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccccn1 ZINC000907475878 712582184 /nfs/dbraw/zinc/58/21/84/712582184.db2.gz AXLGWAPQOQXWLB-MWLCHTKSSA-N -1 1 304.379 1.015 20 0 DDADMM CCc1ccccc1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907476695 712582436 /nfs/dbraw/zinc/58/24/36/712582436.db2.gz RDUYWJSFCFGSRI-GFCCVEGCSA-N -1 1 303.391 1.692 20 0 DDADMM O=C([C@H]1Cc2ccc(F)cc21)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907477607 712582733 /nfs/dbraw/zinc/58/27/33/712582733.db2.gz FYTVMIQLOXIBGR-RYUDHWBXSA-N -1 1 319.365 1.295 20 0 DDADMM O=C([C@@H]1C[C@@H]2C=C[C@H]1CC2)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479977 712583598 /nfs/dbraw/zinc/58/35/98/712583598.db2.gz KEBSRQVYGOBDNX-WRWGMCAJSA-N -1 1 305.407 1.419 20 0 DDADMM COC1CCC(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)CC1 ZINC000907480394 712583625 /nfs/dbraw/zinc/58/36/25/712583625.db2.gz UACLNQXSCFIUOK-VQXHTEKXSA-N -1 1 311.411 1.021 20 0 DDADMM Cc1cnccc1C=CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481283 712583761 /nfs/dbraw/zinc/58/37/61/712583761.db2.gz VAGREHLQZRLZHE-DLGQBQFBSA-N -1 1 316.390 1.233 20 0 DDADMM O=C([C@@H]1CCC(F)(F)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480890 712583794 /nfs/dbraw/zinc/58/37/94/712583794.db2.gz WVBWOYLSLQRIIS-SFYZADRCSA-N -1 1 303.338 1.252 20 0 DDADMM C[C@@H]1CC2(CC([N-]S(=O)(=O)c3c[nH]nc3Cl)C2)CO1 ZINC000907733969 712619914 /nfs/dbraw/zinc/61/99/14/712619914.db2.gz XGPYQAOQFRUHHG-OBEAGUGNSA-N -1 1 305.787 1.299 20 0 DDADMM C[C@H]1OCC[C@@]1(O)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907843781 712634680 /nfs/dbraw/zinc/63/46/80/712634680.db2.gz BECSPVOXRZVABL-JDNHERCYSA-N -1 1 320.320 1.349 20 0 DDADMM CC(C)(C)[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC000907854640 712636397 /nfs/dbraw/zinc/63/63/97/712636397.db2.gz HAVMYZBBEORBSW-LBPRGKRZSA-N -1 1 305.378 1.947 20 0 DDADMM O=C(Cc1[nH]nc2c1CCCC2)N[C@H]1C[C@H]2C[C@@H](C(=O)[O-])C[C@H]21 ZINC000907963947 712656228 /nfs/dbraw/zinc/65/62/28/712656228.db2.gz BEINIGPFJPIICV-FTUHBYGFSA-N -1 1 317.389 1.447 20 0 DDADMM CC1(C)CC2(CC([N-]S(=O)(=O)c3ccns3)C2)CO1 ZINC000908001667 712663728 /nfs/dbraw/zinc/66/37/28/712663728.db2.gz QKTAPWBPBGRVCC-UHFFFAOYSA-N -1 1 302.421 1.769 20 0 DDADMM Cn1cc2c(n1)CCC[C@H]2NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000908036857 712670263 /nfs/dbraw/zinc/67/02/63/712670263.db2.gz RHRLKNWJSOEBIJ-GFCCVEGCSA-N -1 1 313.361 1.601 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@@H]1[C@@H]1CCCO1 ZINC000908081277 712681190 /nfs/dbraw/zinc/68/11/90/712681190.db2.gz WSPQYWOOBHTRLS-OLZOCXBDSA-N -1 1 303.362 1.843 20 0 DDADMM CC1(C)CCC[C@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)O1 ZINC000908097889 712686308 /nfs/dbraw/zinc/68/63/08/712686308.db2.gz ABBBOXCQZXNZMS-SECBINFHSA-N -1 1 320.361 1.986 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@@H]1C1CC1)c1cc(F)c(F)cc1F ZINC000908405839 712763153 /nfs/dbraw/zinc/76/31/53/712763153.db2.gz AALAQNPQIAXAHI-PRHODGIISA-N -1 1 321.320 1.807 20 0 DDADMM COC(=O)C[C@@H]1CC[C@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC000908475313 712783461 /nfs/dbraw/zinc/78/34/61/712783461.db2.gz PKSBDJYJRXFBIJ-BDAKNGLRSA-N -1 1 304.393 1.153 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000908688644 712835646 /nfs/dbraw/zinc/83/56/46/712835646.db2.gz VQBWARYSQSSRTN-IACUBPJLSA-N -1 1 317.389 1.825 20 0 DDADMM CCOc1ccccc1CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000908709320 712839051 /nfs/dbraw/zinc/83/90/51/712839051.db2.gz OKXRAWMIZRDTPY-CQSZACIVSA-N -1 1 320.389 1.498 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCc2cc3ccccc3o2)C1 ZINC000908756728 712848962 /nfs/dbraw/zinc/84/89/62/712848962.db2.gz MZRLROMYVGJFNF-CYBMUJFWSA-N -1 1 316.357 1.846 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)N[C@@H]2CCc3ccc(F)cc32)C1 ZINC000908785974 712854566 /nfs/dbraw/zinc/85/45/66/712854566.db2.gz TXIFIYJTACHYNS-IUODEOHRSA-N -1 1 320.364 1.726 20 0 DDADMM Cc1ccc(C[C@@H](C)NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)s1 ZINC000908817068 712860963 /nfs/dbraw/zinc/86/09/63/712860963.db2.gz PJVOVOWOIZEASN-DGCLKSJQSA-N -1 1 324.446 1.900 20 0 DDADMM CN(CC(=O)N[C@H](C(=O)[O-])c1cc(F)ccc1F)C1CCC1 ZINC000908920045 712887414 /nfs/dbraw/zinc/88/74/14/712887414.db2.gz OWDKMUXWOOKTHB-AWEZNQCLSA-N -1 1 312.316 1.691 20 0 DDADMM O=S(=O)(CC1(F)CC1)[N-][C@H]1COc2cc(F)cc(F)c21 ZINC000914361769 713384153 /nfs/dbraw/zinc/38/41/53/713384153.db2.gz SNGNLIFUKMQLIA-VIFPVBQESA-N -1 1 307.293 1.820 20 0 DDADMM CCC(=O)N[C@@H](C)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000914672547 713399217 /nfs/dbraw/zinc/39/92/17/713399217.db2.gz SSRQTKPDJJNODO-VIFPVBQESA-N -1 1 319.313 1.457 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1[C@H]2Cc3ccccc3[C@@H]12 ZINC000920507273 713676131 /nfs/dbraw/zinc/67/61/31/713676131.db2.gz PQNVNJDNLPONMV-ZOQLZFICSA-N -1 1 314.432 1.277 20 0 DDADMM COC(=O)C[C@H]1CN(C[C@H](C)C(=O)c2ccc([O-])cc2)CCO1 ZINC000929799755 713692345 /nfs/dbraw/zinc/69/23/45/713692345.db2.gz MRYBQUMWRZUIJW-WFASDCNBSA-N -1 1 321.373 1.475 20 0 DDADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)CCC(C)(C)C ZINC000921379319 713749314 /nfs/dbraw/zinc/74/93/14/713749314.db2.gz WEBVBHYONFCESN-JTQLQIEISA-N -1 1 311.469 1.637 20 0 DDADMM O=C1C[C@H](CC[N-]S(=O)(=O)c2sccc2Cl)CN1 ZINC000921652777 713832103 /nfs/dbraw/zinc/83/21/03/713832103.db2.gz HYNZEYVTESKASW-ZETCQYMHSA-N -1 1 308.812 1.206 20 0 DDADMM O=C1C[C@@H](CC[N-]S(=O)(=O)c2ccc(C(F)F)o2)CN1 ZINC000921656502 713833007 /nfs/dbraw/zinc/83/30/07/713833007.db2.gz VHMAVKYGNRICHL-SSDOTTSWSA-N -1 1 308.306 1.022 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC2CC3(C2)OCCO3)sc1C ZINC000921663572 713835306 /nfs/dbraw/zinc/83/53/06/713835306.db2.gz YYDMMJBAJVGTAI-UHFFFAOYSA-N -1 1 318.420 1.191 20 0 DDADMM CCC[C@H](O)CC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000921683738 713842144 /nfs/dbraw/zinc/84/21/44/713842144.db2.gz OHYOCRYGBCLTIZ-QMMMGPOBSA-N -1 1 311.325 1.933 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H](COC)C(C)C ZINC000921898662 713901424 /nfs/dbraw/zinc/90/14/24/713901424.db2.gz SPQWCWXPGZDFSE-LLVKDONJSA-N -1 1 314.473 1.247 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)CCS1 ZINC000931132284 714010849 /nfs/dbraw/zinc/01/08/49/714010849.db2.gz VCIFLAKPAQTWEK-UWVGGRQHSA-N -1 1 305.407 1.747 20 0 DDADMM CCOC1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C1 ZINC000932053337 714247014 /nfs/dbraw/zinc/24/70/14/714247014.db2.gz KNZBDZNLDSBKOB-UHFFFAOYSA-N -1 1 302.334 1.831 20 0 DDADMM CC[C@@]1(C(=O)[O-])CCCN([C@H]2CCN(CC(F)(F)F)C2=O)C1 ZINC000923469914 714366297 /nfs/dbraw/zinc/36/62/97/714366297.db2.gz IXQZWOHEDDFTLY-GXFFZTMASA-N -1 1 322.327 1.726 20 0 DDADMM COc1cc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)sn1 ZINC000934269526 714781332 /nfs/dbraw/zinc/78/13/32/714781332.db2.gz HYRYVEODYIQEMP-SNVBAGLBSA-N -1 1 322.390 1.993 20 0 DDADMM C[C@@]1(CCF)NC(=O)N(CCc2c(F)cc([O-])cc2F)C1=O ZINC000925464905 714865251 /nfs/dbraw/zinc/86/52/51/714865251.db2.gz XNLDGTMQYWCZGF-AWEZNQCLSA-N -1 1 316.279 1.883 20 0 DDADMM C[C@H](CCO)NC(=O)c1cc(-c2ccc(C=O)o2)ccc1[O-] ZINC000934819519 714910336 /nfs/dbraw/zinc/91/03/36/714910336.db2.gz FYQGHWJFJBZFCX-SNVBAGLBSA-N -1 1 303.314 1.965 20 0 DDADMM O=C(C[C@@H]1CC[C@@H](C2CC2)O1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000935795136 715128982 /nfs/dbraw/zinc/12/89/82/715128982.db2.gz VQZLPVQEPSQASZ-AVGNSLFASA-N -1 1 321.377 1.829 20 0 DDADMM C[C@H](C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000956849192 715636376 /nfs/dbraw/zinc/63/63/76/715636376.db2.gz NBWDVWVOGWPGQX-GTNSWQLSSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)C2CC=CC2)C1 ZINC000956849550 715636387 /nfs/dbraw/zinc/63/63/87/715636387.db2.gz UHIOLVVOWAYPTK-KRWDZBQOSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@@]1(NC(=O)c2cc[nH]c2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957055204 715726662 /nfs/dbraw/zinc/72/66/62/715726662.db2.gz PKZSNGSSEZCHLT-MRXNPFEDSA-N -1 1 314.345 1.150 20 0 DDADMM CCCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC000955500945 715825001 /nfs/dbraw/zinc/82/50/01/715825001.db2.gz MLTNJTMWCVOMGJ-RYUDHWBXSA-N -1 1 305.378 1.697 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@]12C[C@H]1CCC2 ZINC000938421592 715837746 /nfs/dbraw/zinc/83/77/46/715837746.db2.gz HHVGJEDVPBMUPT-BWACUDIHSA-N -1 1 315.373 1.308 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)CC2CC2)CCN1C(=O)c1ncccc1[O-] ZINC000955647588 715892018 /nfs/dbraw/zinc/89/20/18/715892018.db2.gz CPEYTBNPQCSCGR-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)C2(C)CC2)CCN1C(=O)c1ncccc1[O-] ZINC000955652258 715893370 /nfs/dbraw/zinc/89/33/70/715893370.db2.gz QFOQHVRFOMAARX-RYUDHWBXSA-N -1 1 317.389 1.697 20 0 DDADMM CCCC(=O)N1CCC(CN(CC)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000957662804 715991592 /nfs/dbraw/zinc/99/15/92/715991592.db2.gz WRNGLMJPNNTWHF-UHFFFAOYSA-N -1 1 309.414 1.371 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940138601 716646730 /nfs/dbraw/zinc/64/67/30/716646730.db2.gz HIVOJUGGYFZITQ-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM Cc1cc[nH]c1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000940216925 716682772 /nfs/dbraw/zinc/68/27/72/716682772.db2.gz AXJKFJSZUGRQLK-LLVKDONJSA-N -1 1 314.345 1.068 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C(C)(C)C)C1 ZINC000959279129 716924045 /nfs/dbraw/zinc/92/40/45/716924045.db2.gz NMYHQAMMWHNQTC-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)C1CCC1 ZINC000959443096 717018791 /nfs/dbraw/zinc/01/87/91/717018791.db2.gz FABUSCZLBZRWDD-YPMHNXCESA-N -1 1 317.389 1.412 20 0 DDADMM C[C@@H]1CN(Cc2ccon2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000941988874 717420200 /nfs/dbraw/zinc/42/02/00/717420200.db2.gz IWXKVHHORAZEDY-YPMHNXCESA-N -1 1 316.361 1.416 20 0 DDADMM CC1(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CCC1 ZINC000942025346 717434553 /nfs/dbraw/zinc/43/45/53/717434553.db2.gz JDEPUYPAIXZNRL-LLVKDONJSA-N -1 1 303.362 1.308 20 0 DDADMM C[C@H]1CN(C(=O)C(C)(C)C)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945516251 718472133 /nfs/dbraw/zinc/47/21/33/718472133.db2.gz HFDGRBXBUZDTCT-NWDGAFQWSA-N -1 1 319.405 1.800 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C=C1CCC1 ZINC000966348986 718522643 /nfs/dbraw/zinc/52/26/43/718522643.db2.gz NLLVHFQQDYKTBA-WCQYABFASA-N -1 1 315.373 1.474 20 0 DDADMM CC1(C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC000946538715 718823887 /nfs/dbraw/zinc/82/38/87/718823887.db2.gz ODWAMLRHMFRIPN-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C/C=C\Cl)[C@H](C)C2)c1[O-] ZINC000947209158 719074412 /nfs/dbraw/zinc/07/44/12/719074412.db2.gz ASNWCSOVYXTSIQ-VVCGMDSISA-N -1 1 312.801 1.759 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CC2CC2)C1 ZINC000967898752 719078513 /nfs/dbraw/zinc/07/85/13/719078513.db2.gz IYSJVEIHTAYGCG-UHFFFAOYSA-N -1 1 303.362 1.260 20 0 DDADMM CCC(CC)C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949530664 720142519 /nfs/dbraw/zinc/14/25/19/720142519.db2.gz DEYGPVPFUQYHHN-LBPRGKRZSA-N -1 1 305.378 1.554 20 0 DDADMM C[C@H](C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])C1CCC1 ZINC000949538742 720146941 /nfs/dbraw/zinc/14/69/41/720146941.db2.gz QAWQPVSHEKQWKG-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CC1(C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000950546517 720677725 /nfs/dbraw/zinc/67/77/25/720677725.db2.gz PVJHNSGWYLLXTF-NSHDSACASA-N -1 1 303.362 1.308 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000952102970 721314084 /nfs/dbraw/zinc/31/40/84/721314084.db2.gz JAMUIUHMFRDBSZ-JHJVBQTASA-N -1 1 317.389 1.554 20 0 DDADMM CCCC(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000953231449 721571143 /nfs/dbraw/zinc/57/11/43/721571143.db2.gz NRCQCVMTWSWQEZ-CHWSQXEVSA-N -1 1 317.389 1.650 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)N(C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953725388 721648630 /nfs/dbraw/zinc/64/86/30/721648630.db2.gz KMQVQTAQQABBCS-DGCLKSJQSA-N -1 1 317.389 1.506 20 0 DDADMM CC(C)[C@@H]1C[C@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954125218 721723860 /nfs/dbraw/zinc/72/38/60/721723860.db2.gz GRUJDFPWSCCBAL-QWHCGFSZSA-N -1 1 317.389 1.362 20 0 DDADMM C[C@H](C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)C(C)(C)C ZINC000954125415 721724273 /nfs/dbraw/zinc/72/42/73/721724273.db2.gz NSLRDJVBVJIORK-LLVKDONJSA-N -1 1 319.405 1.752 20 0 DDADMM C[C@@H](CCNC(=O)C(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001075338716 732378815 /nfs/dbraw/zinc/37/88/15/732378815.db2.gz IBOJWGORYFAGOB-QMMMGPOBSA-N -1 1 301.293 1.067 20 0 DDADMM CC1(C)C[C@@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)CO1 ZINC000692856290 738602066 /nfs/dbraw/zinc/60/20/66/738602066.db2.gz OLQMAKGMKKGTRT-SSDOTTSWSA-N -1 1 308.762 1.720 20 0 DDADMM Cc1cc2c(c(N3CCO[C@H](C(F)(F)F)C3)n1)C(=O)[N-]C2=O ZINC001167084850 732529533 /nfs/dbraw/zinc/52/95/33/732529533.db2.gz RREJTLKIAMMKGS-QMMMGPOBSA-N -1 1 315.251 1.041 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCCN2Cc2ccsc2)c1[O-] ZINC001027832850 738709718 /nfs/dbraw/zinc/70/97/18/738709718.db2.gz KPKBITHTCQHNMD-LBPRGKRZSA-N -1 1 320.418 1.880 20 0 DDADMM CCCC(=O)N1CCCC[C@@H]1[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001025192786 736349863 /nfs/dbraw/zinc/34/98/63/736349863.db2.gz RGVUBFBAEOCEDZ-CHWSQXEVSA-N -1 1 321.425 1.656 20 0 DDADMM O=C(N[C@H]1C[C@H](NC(=O)C2CC2)C12CCC2)c1ncccc1[O-] ZINC001025852364 736897549 /nfs/dbraw/zinc/89/75/49/736897549.db2.gz SCEUKKMAZNQRIY-STQMWFEESA-N -1 1 315.373 1.354 20 0 DDADMM CN(CCCN(C)c1ncc(F)cn1)C(=O)c1ncccc1[O-] ZINC001112081141 737556338 /nfs/dbraw/zinc/55/63/38/737556338.db2.gz HBBDGHYBBTVVEL-UHFFFAOYSA-N -1 1 319.340 1.315 20 0 DDADMM COC(=O)C[C@@H]1CN(Cc2cc(F)c([O-])c(F)c2)CCO1 ZINC000093458789 741918144 /nfs/dbraw/zinc/91/81/44/741918144.db2.gz BJRDGSUZHFJEGK-SNVBAGLBSA-N -1 1 301.289 1.434 20 0 DDADMM CC(C)[C@@H](CO)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692822184 738102266 /nfs/dbraw/zinc/10/22/66/738102266.db2.gz ITSMHAQIMWOSDD-MRVPVSSYSA-N -1 1 310.778 1.417 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2C[C@@H]2CC(C)(C)CO2)c1[O-] ZINC001038164305 739248630 /nfs/dbraw/zinc/24/86/30/739248630.db2.gz IPDOYBLPHBEVMC-RYUDHWBXSA-N -1 1 322.409 1.043 20 0 DDADMM Cc1cc([N+](=O)[O-])cnc1NCCNC(=O)c1ncccc1[O-] ZINC000092653244 739269417 /nfs/dbraw/zinc/26/94/17/739269417.db2.gz SSHVPSSIPNARJU-UHFFFAOYSA-N -1 1 317.305 1.816 20 0 DDADMM Cn1ccnc1C[N@@H+]1CC[C@@H](CNC(=O)c2ccccc2O)C1 ZINC001028416207 739469479 /nfs/dbraw/zinc/46/94/79/739469479.db2.gz RRKMABBGSTYZBZ-ZDUSSCGKSA-N -1 1 314.389 1.378 20 0 DDADMM Cc1ncoc1C[N@@H+]1CC[C@@H](CNC(=O)c2ncccc2O)C1 ZINC001028499641 739592052 /nfs/dbraw/zinc/59/20/52/739592052.db2.gz JOWJGADNEYHOLI-LBPRGKRZSA-N -1 1 316.361 1.336 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CCC1 ZINC001012145049 740101067 /nfs/dbraw/zinc/10/10/67/740101067.db2.gz WGLXWSSRCHMKGG-ZYHUDNBSSA-N -1 1 303.362 1.307 20 0 DDADMM Cc1nsc(N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001059613341 741414993 /nfs/dbraw/zinc/41/49/93/741414993.db2.gz AMJVPRDAMCHQJJ-DTORHVGOSA-N -1 1 305.363 1.320 20 0 DDADMM C[C@H](CC(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001029911571 741576710 /nfs/dbraw/zinc/57/67/10/741576710.db2.gz OCFAHAWKXZJGFK-VXGBXAGGSA-N -1 1 321.425 1.227 20 0 DDADMM CCCCCC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076243839 742623210 /nfs/dbraw/zinc/62/32/10/742623210.db2.gz ARUPHQDUSNKWBR-LBPRGKRZSA-N -1 1 307.394 1.992 20 0 DDADMM CCC(CC)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244369 742623985 /nfs/dbraw/zinc/62/39/85/742623985.db2.gz QMPSFYPZYMFEBL-NSHDSACASA-N -1 1 307.394 1.848 20 0 DDADMM Cc1cncc(C=CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC001168696958 743212955 /nfs/dbraw/zinc/21/29/55/743212955.db2.gz YTEPVUBHGHAJAU-PTZVLDCSSA-N -1 1 320.356 1.822 20 0 DDADMM O=C(Cc1cccc2[nH]ccc21)NCCCC[P@@](=O)([O-])O ZINC001181728635 743311428 /nfs/dbraw/zinc/31/14/28/743311428.db2.gz FKOFQEMKPIHAGH-UHFFFAOYSA-N -1 1 310.290 1.785 20 0 DDADMM O=C(C1CCCCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998420587 751827731 /nfs/dbraw/zinc/82/77/31/751827731.db2.gz BAJPAXORHDMWEW-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@H]1C[C@@H]1c1ccccc1F ZINC001182290198 743553240 /nfs/dbraw/zinc/55/32/40/743553240.db2.gz IYCGUYBHXVOGLQ-BDAKNGLRSA-N -1 1 313.292 1.940 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)c1ccc(=O)n(-c2cccc(F)c2)c1 ZINC001183563727 743857663 /nfs/dbraw/zinc/85/76/63/743857663.db2.gz VSXXNPXNAQUGJL-UHFFFAOYSA-N -1 1 314.276 1.658 20 0 DDADMM CC(=O)N[C@H](C(=O)Nc1cccc(C(F)(F)F)c1[O-])[C@@H](C)O ZINC001183701885 743890196 /nfs/dbraw/zinc/89/01/96/743890196.db2.gz DMFOCCPALLRGDV-LDWIPMOCSA-N -1 1 320.267 1.235 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C[C@H](O)c1ccccc1 ZINC001185792011 744291464 /nfs/dbraw/zinc/29/14/64/744291464.db2.gz IJNDPOIYWZBGHI-QMMMGPOBSA-N -1 1 304.262 1.348 20 0 DDADMM CCOC(=O)C1=C(NC(=O)c2ccc([O-])c(F)c2)[C@H](C)OC1 ZINC001186236593 744361928 /nfs/dbraw/zinc/36/19/28/744361928.db2.gz GZOABSRMWDREEH-QMMMGPOBSA-N -1 1 309.293 1.497 20 0 DDADMM COC(=O)C[C@@H](NC(=O)c1ccc([O-])cc1F)c1ccccn1 ZINC001186340185 744389406 /nfs/dbraw/zinc/38/94/06/744389406.db2.gz YFXPDFBZTOPWEV-CQSZACIVSA-N -1 1 318.304 1.961 20 0 DDADMM Cc1cccc2c(CC(=O)Nc3c(N)[nH]c(=O)[n-]c3=O)noc21 ZINC001186528024 744409571 /nfs/dbraw/zinc/40/95/71/744409571.db2.gz OZWNINYUWYWYIJ-UHFFFAOYSA-N -1 1 315.289 1.101 20 0 DDADMM CSc1ccccc1NC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001186766520 744446754 /nfs/dbraw/zinc/44/67/54/744446754.db2.gz RPVCFFBNTJWYMZ-QMMMGPOBSA-N -1 1 324.387 1.065 20 0 DDADMM O=C1Cc2cc(S(=O)(=O)[N-]c3ncncc3Cl)ccc2N1 ZINC001186891070 744460847 /nfs/dbraw/zinc/46/08/47/744460847.db2.gz IYMXZWDOHLHGKJ-UHFFFAOYSA-N -1 1 324.749 1.425 20 0 DDADMM O=C(NC1CC(CNC(=O)C2CC=CC2)C1)c1ncccc1[O-] ZINC000991997501 744518263 /nfs/dbraw/zinc/51/82/63/744518263.db2.gz HAEWMZRMPUDVRH-UHFFFAOYSA-N -1 1 315.373 1.378 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(C(=O)OC)c(C)c1 ZINC001187238121 744522318 /nfs/dbraw/zinc/52/23/18/744522318.db2.gz UZSKZZIVESLYAJ-UHFFFAOYSA-N -1 1 301.364 1.560 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1C[C@H]1C1CCC1 ZINC000992013390 744530348 /nfs/dbraw/zinc/53/03/48/744530348.db2.gz UDQQNXFOQMKYIV-QWHCGFSZSA-N -1 1 315.373 1.164 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cc(C(=O)OC)c(F)cc1F ZINC001187283206 744533347 /nfs/dbraw/zinc/53/33/47/744533347.db2.gz CNBJDFUBNKGKSM-UHFFFAOYSA-N -1 1 323.317 1.530 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cc(C(=O)OCC)ccc1F ZINC001187283454 744533519 /nfs/dbraw/zinc/53/35/19/744533519.db2.gz HZIWJEWJEBKDEV-UHFFFAOYSA-N -1 1 319.354 1.781 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cnc2cc(C3CC3)nn2c1 ZINC001187249797 744536292 /nfs/dbraw/zinc/53/62/92/744536292.db2.gz HPBCHIXVRJOZAH-UHFFFAOYSA-N -1 1 310.379 1.385 20 0 DDADMM O=S(=O)([N-]C1(CC2CC2)CCOCC1)c1nccs1 ZINC001187920240 744635090 /nfs/dbraw/zinc/63/50/90/744635090.db2.gz GEZDFMCJZFFZKW-UHFFFAOYSA-N -1 1 302.421 1.771 20 0 DDADMM O=C(NC[C@H]1Cc2ccccc2O1)c1n[n-]nc1C(F)(F)F ZINC001187770286 744599367 /nfs/dbraw/zinc/59/93/67/744599367.db2.gz KHRXLWOHLOESEK-MRVPVSSYSA-N -1 1 312.251 1.557 20 0 DDADMM O=C(N[C@@H]1CCc2c1cccc2O)c1n[n-]nc1C(F)(F)F ZINC001187774773 744600816 /nfs/dbraw/zinc/60/08/16/744600816.db2.gz XFBBTLUJDUNPQJ-MRVPVSSYSA-N -1 1 312.251 1.946 20 0 DDADMM Cn1cnc2ccc(NC(=O)c3n[n-]nc3C(F)(F)F)cc21 ZINC001187766096 744608082 /nfs/dbraw/zinc/60/80/82/744608082.db2.gz GCJKAQWGBZCJNV-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM CC(C)(C)OC(=O)NCCC[N-]S(=O)(=O)c1nccs1 ZINC001187901597 744627104 /nfs/dbraw/zinc/62/71/04/744627104.db2.gz HDPDCVVZHUKSFR-UHFFFAOYSA-N -1 1 321.424 1.336 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1ncn(C)c1C ZINC001187987231 744643603 /nfs/dbraw/zinc/64/36/03/744643603.db2.gz XMBHKCSAVLFCTQ-UHFFFAOYSA-N -1 1 322.390 1.742 20 0 DDADMM COC(=O)c1cnc(Cl)cc1[N-]S(=O)(=O)C1CCC1 ZINC001188105510 744657088 /nfs/dbraw/zinc/65/70/88/744657088.db2.gz YZWVELZFSILELS-UHFFFAOYSA-N -1 1 304.755 1.816 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1CC1)c1ccccc1Cl ZINC001188990646 744804748 /nfs/dbraw/zinc/80/47/48/744804748.db2.gz MVZDFFPRFGAZIA-LBPRGKRZSA-N -1 1 317.794 1.884 20 0 DDADMM CC1(C)CN(C(=O)c2nnc3ccccc3c2O)CCS1 ZINC000176455668 745028681 /nfs/dbraw/zinc/02/86/81/745028681.db2.gz CUZQIPSAASPYFD-UHFFFAOYSA-N -1 1 303.387 1.891 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ncc(F)cc1F)c1nccs1 ZINC001190196580 745149092 /nfs/dbraw/zinc/14/90/92/745149092.db2.gz HZFHHOVHTVAVMY-ZCFIWIBFSA-N -1 1 305.331 1.856 20 0 DDADMM COC(CN(C)C(=O)c1cnc(-c2ccccc2)[n-]c1=O)OC ZINC001190262765 745166669 /nfs/dbraw/zinc/16/66/69/745166669.db2.gz WISIJAJVKYBUKO-UHFFFAOYSA-N -1 1 317.345 1.540 20 0 DDADMM COC(C)(CNC(=O)c1cnc(-c2ccccc2)[n-]c1=O)OC ZINC001190267607 745168060 /nfs/dbraw/zinc/16/80/60/745168060.db2.gz JDMONZZPZZDPOR-UHFFFAOYSA-N -1 1 317.345 1.588 20 0 DDADMM O=C(N[C@@H]1CCOC[C@@H]1F)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190268976 745168170 /nfs/dbraw/zinc/16/81/70/745168170.db2.gz DMXFFPHHHYXLQN-QWHCGFSZSA-N -1 1 317.320 1.706 20 0 DDADMM COC(=O)c1ccc(C)c([N-]S(=O)(=O)c2ccncc2)c1 ZINC001190893647 745370201 /nfs/dbraw/zinc/37/02/01/745370201.db2.gz OIORMORCGZJXJV-UHFFFAOYSA-N -1 1 306.343 1.977 20 0 DDADMM COc1cc(C(=O)N(C)CC(OC)OC)cc(Cl)c1[O-] ZINC001191144707 745443736 /nfs/dbraw/zinc/44/37/36/745443736.db2.gz UYNPEFPNSMEBPJ-UHFFFAOYSA-N -1 1 303.742 1.745 20 0 DDADMM COC1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(SC)[n-]c1=O ZINC001191429976 745509985 /nfs/dbraw/zinc/50/99/85/745509985.db2.gz BQNQIEUQVHKMJO-ULKQDVFKSA-N -1 1 309.391 1.686 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cn(-c2ccccc2)cn1 ZINC001191597778 745562848 /nfs/dbraw/zinc/56/28/48/745562848.db2.gz YUNFNVGPNQBDIW-UHFFFAOYSA-N -1 1 323.289 1.560 20 0 DDADMM O=c1[nH]cccc1C[N-]S(=O)(=O)C(Cl)(Cl)Cl ZINC001192050074 745671904 /nfs/dbraw/zinc/67/19/04/745671904.db2.gz QKDIIJDOCVJDIB-UHFFFAOYSA-N -1 1 305.570 1.534 20 0 DDADMM CC(C)(C)c1ccc(C(=O)Nc2nccnc2C(N)=O)c([O-])c1 ZINC001192314451 745751336 /nfs/dbraw/zinc/75/13/36/745751336.db2.gz QDWUODHTDJLTJV-UHFFFAOYSA-N -1 1 314.345 1.831 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc([C@H](C)O)cc2)n1 ZINC001192339763 745758366 /nfs/dbraw/zinc/75/83/66/745758366.db2.gz IYDSXDFXWJDVEJ-VIFPVBQESA-N -1 1 303.318 1.892 20 0 DDADMM O=C(N[C@@H]1NC(=O)c2ccccc21)c1c(F)ccc([O-])c1F ZINC001192551880 745812536 /nfs/dbraw/zinc/81/25/36/745812536.db2.gz HKDMPRUDVNWESM-ZDUSSCGKSA-N -1 1 304.252 1.842 20 0 DDADMM O=C(N[C@@H]1C(=O)NCc2ccccc21)c1cc([O-])cc(F)c1F ZINC001192679160 745859222 /nfs/dbraw/zinc/85/92/22/745859222.db2.gz QQKRUTOKUKWYQF-AWEZNQCLSA-N -1 1 318.279 1.771 20 0 DDADMM COc1ccnc(C(=O)Nc2ccc(-n3ccnn3)cc2)c1[O-] ZINC001193531183 746121669 /nfs/dbraw/zinc/12/16/69/746121669.db2.gz GXKNFVSIVKUDSA-UHFFFAOYSA-N -1 1 311.301 1.629 20 0 DDADMM CCCCCCC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001193847312 746218194 /nfs/dbraw/zinc/21/81/94/746218194.db2.gz XQBNFOZTKFVHHU-ZDUSSCGKSA-N -1 1 323.441 1.112 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)CC(=O)C2 ZINC001193937513 746228041 /nfs/dbraw/zinc/22/80/41/746228041.db2.gz IMFCAXODVZCCRB-UHFFFAOYSA-N -1 1 302.355 1.737 20 0 DDADMM Nc1ccccc1S(=O)(=O)[N-]c1ccc2c(c1)COC2=O ZINC001193924960 746239301 /nfs/dbraw/zinc/23/93/01/746239301.db2.gz ZMJHXMCJZRSRRK-UHFFFAOYSA-N -1 1 304.327 1.740 20 0 DDADMM CC[C@H](C)C(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000993923469 746376096 /nfs/dbraw/zinc/37/60/96/746376096.db2.gz MCTSTLVUFDHEFI-YNEHKIRRSA-N -1 1 319.405 1.658 20 0 DDADMM CSc1nc(NC(=O)c2cnc(C(F)(F)F)[nH]2)cc(=O)[n-]1 ZINC001194770390 746462833 /nfs/dbraw/zinc/46/28/33/746462833.db2.gz HJQPHGVDZBZVCG-UHFFFAOYSA-N -1 1 319.268 1.898 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(C(=O)OC)c(OC)c1 ZINC001195484554 746622401 /nfs/dbraw/zinc/62/24/01/746622401.db2.gz NVLRQIMGJULTJW-UHFFFAOYSA-N -1 1 312.347 1.925 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc(C2CC2)cn1 ZINC001195611356 746666448 /nfs/dbraw/zinc/66/64/48/746666448.db2.gz KWUIIWRFYMCONG-UHFFFAOYSA-N -1 1 301.262 1.810 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(Cl)c(C(F)(F)F)n1 ZINC001195670269 746667456 /nfs/dbraw/zinc/66/74/56/746667456.db2.gz GOSWYHWPFWLVFC-UHFFFAOYSA-N -1 1 306.635 1.197 20 0 DDADMM CCOC(=O)CS(=O)(=O)[N-]c1ccc(C)c(O)c1Cl ZINC001195974673 746743682 /nfs/dbraw/zinc/74/36/82/746743682.db2.gz FXEZDYQBVJWFJC-UHFFFAOYSA-N -1 1 307.755 1.659 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(NC(C)=O)c[nH]2)n1 ZINC001196870150 746994924 /nfs/dbraw/zinc/99/49/24/746994924.db2.gz IHBNSRQHPIHQIJ-UHFFFAOYSA-N -1 1 305.294 1.125 20 0 DDADMM Cc1cccc2c1[C@@H]([N-]S(=O)(=O)Cc1ccccn1)C(=O)N2 ZINC001197840983 747276042 /nfs/dbraw/zinc/27/60/42/747276042.db2.gz TYFOSQPEMRWAHH-CQSZACIVSA-N -1 1 317.370 1.503 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccccn1)[C@@H](C)CC ZINC001197847381 747278712 /nfs/dbraw/zinc/27/87/12/747278712.db2.gz YAGHPNAHGZECKI-AAEUAGOBSA-N -1 1 314.407 1.479 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1ccccn1)C1CCCC1 ZINC001197852570 747281316 /nfs/dbraw/zinc/28/13/16/747281316.db2.gz ZYKCKDBDVUEBLO-ZDUSSCGKSA-N -1 1 312.391 1.233 20 0 DDADMM COc1c(C)ccc(F)c1C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001198252018 747428874 /nfs/dbraw/zinc/42/88/74/747428874.db2.gz ISORCOAMYYDZCC-UHFFFAOYSA-N -1 1 322.252 1.994 20 0 DDADMM CSc1nc(NC(=O)c2n[nH]c3c2CC[C@H]3C)cc(=O)[n-]1 ZINC001198435530 747503521 /nfs/dbraw/zinc/50/35/21/747503521.db2.gz ZGIHVYGERBRQCY-ZCFIWIBFSA-N -1 1 305.363 1.929 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ncc(OC)cc2C)n1 ZINC001199751169 747979429 /nfs/dbraw/zinc/97/94/29/747979429.db2.gz KRMAAOUPBZMJNV-UHFFFAOYSA-N -1 1 304.306 1.551 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CC[N@@H+](C[C@@H]2CCCCO2)C1 ZINC001033030776 747995453 /nfs/dbraw/zinc/99/54/53/747995453.db2.gz ABSJRCZUUHDYMK-KBPBESRZSA-N -1 1 319.405 1.503 20 0 DDADMM CCOC(=O)[C@@H](C)NC(=S)Nc1cc([O-])c(F)cc1F ZINC001199837716 748014699 /nfs/dbraw/zinc/01/46/99/748014699.db2.gz XUDSAHDOMYOVQN-ZCFIWIBFSA-N -1 1 304.318 1.908 20 0 DDADMM CN(C)c1nc(Nc2cnn(Cc3ccccn3)c2)cc(=O)[n-]1 ZINC001201411959 748507169 /nfs/dbraw/zinc/50/71/69/748507169.db2.gz FOALTZFMDYUZKL-UHFFFAOYSA-N -1 1 311.349 1.632 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2sc(Cl)nc2C)CCC1 ZINC001201764933 748597901 /nfs/dbraw/zinc/59/79/01/748597901.db2.gz NINWJHNXXZHVAU-UHFFFAOYSA-N -1 1 324.811 1.479 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)N[C@@H]1C[C@H]1c1ccccc1 ZINC001201927209 748627905 /nfs/dbraw/zinc/62/79/05/748627905.db2.gz NOKJFEGCKICGJK-DTWKUNHWSA-N -1 1 315.289 1.963 20 0 DDADMM C[C@H]1CCN(C(=O)C2(C)CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004760904 748752932 /nfs/dbraw/zinc/75/29/32/748752932.db2.gz UDNQBFKOPSAFJN-RYUDHWBXSA-N -1 1 317.389 1.554 20 0 DDADMM CCCCCC(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202472672 748799541 /nfs/dbraw/zinc/79/95/41/748799541.db2.gz YESKWQRINZLXOT-NWDGAFQWSA-N -1 1 321.425 1.608 20 0 DDADMM CC(C)=C(F)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995652806 749026939 /nfs/dbraw/zinc/02/69/39/749026939.db2.gz NOOMQXOGJMTMCQ-VIFPVBQESA-N -1 1 311.361 1.100 20 0 DDADMM CC1(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)CC1 ZINC000996069735 749358579 /nfs/dbraw/zinc/35/85/79/749358579.db2.gz RJTSSJQPGGKSKY-JTQLQIEISA-N -1 1 307.398 1.027 20 0 DDADMM O=C(CC1CC1)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996141613 749393822 /nfs/dbraw/zinc/39/38/22/749393822.db2.gz GPIBHQNJDFFOSH-AGIUHOORSA-N -1 1 315.373 1.449 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)C(C)(C)C)C1 ZINC001017251167 750677470 /nfs/dbraw/zinc/67/74/70/750677470.db2.gz MIZJYNZAUZIWIW-NSHDSACASA-N -1 1 309.414 1.227 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CC(C)(C)C)C1 ZINC001005949575 753409281 /nfs/dbraw/zinc/40/92/81/753409281.db2.gz HEPVZBDZJSCDFM-LLVKDONJSA-N -1 1 319.405 1.800 20 0 DDADMM C/C=C(/C)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001005950175 753409888 /nfs/dbraw/zinc/40/98/88/753409888.db2.gz IFAWBGABGPVTEG-FGUAACIASA-N -1 1 303.362 1.330 20 0 DDADMM O=C(CC1CCCC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010027207 753556096 /nfs/dbraw/zinc/55/60/96/753556096.db2.gz FRHWZKDHXFYYRC-UHFFFAOYSA-N -1 1 317.389 1.652 20 0 DDADMM Cc1cc(CN2CC[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)cs1 ZINC001010638868 754071685 /nfs/dbraw/zinc/07/16/85/754071685.db2.gz LKODGFPJRQNPAX-LBPRGKRZSA-N -1 1 320.418 1.798 20 0 DDADMM Cc1cc(CN2CC[C@@H](NC(=O)c3[nH]nc(C)c3[O-])C2)cs1 ZINC001010638866 754072022 /nfs/dbraw/zinc/07/20/22/754072022.db2.gz LKODGFPJRQNPAX-GFCCVEGCSA-N -1 1 320.418 1.798 20 0 DDADMM Cc1c[nH]cc1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011037990 754320952 /nfs/dbraw/zinc/32/09/52/754320952.db2.gz XETHEBKIEMYUOE-UHFFFAOYSA-N -1 1 314.345 1.022 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2cc(Cl)ccc2N)c1 ZINC001212443617 754455281 /nfs/dbraw/zinc/45/52/81/754455281.db2.gz DPKJZVOVXOBNGV-UHFFFAOYSA-N -1 1 312.782 1.887 20 0 DDADMM CCC(=O)N[C@@]12CCC[C@@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001014104961 755597475 /nfs/dbraw/zinc/59/74/75/755597475.db2.gz QHUWZQISKUQCGW-BLLLJJGKSA-N -1 1 303.362 1.451 20 0 DDADMM CSCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001014893605 756004022 /nfs/dbraw/zinc/00/40/22/756004022.db2.gz MMHSCODDEUOJJI-WDEREUQCSA-N -1 1 323.418 1.260 20 0 DDADMM CC(=O)N[C@H]1CC[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001015276678 756207207 /nfs/dbraw/zinc/20/72/07/756207207.db2.gz RIRZEBLSKOSGJP-JQWIXIFHSA-N -1 1 318.377 1.094 20 0 DDADMM CCN(C(C)=O)[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001016011826 756721508 /nfs/dbraw/zinc/72/15/08/756721508.db2.gz LNTATWMYQVTCEP-CYBMUJFWSA-N -1 1 305.378 1.650 20 0 DDADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)C1=CCCC1 ZINC001067175481 757718325 /nfs/dbraw/zinc/71/83/25/757718325.db2.gz GWNDPFKFCYTKHW-UHFFFAOYSA-N -1 1 317.389 1.818 20 0 DDADMM O=C(NC[C@H]1CCC2(CN(CCCF)C2)O1)c1ncccc1[O-] ZINC001053594147 758614030 /nfs/dbraw/zinc/61/40/30/758614030.db2.gz FOXZGTRKTZZCRT-GFCCVEGCSA-N -1 1 323.368 1.110 20 0 DDADMM O=C(CCC1CCCC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018448076 758837779 /nfs/dbraw/zinc/83/77/79/758837779.db2.gz NXNWTXTVNWLEKF-LBPRGKRZSA-N -1 1 307.398 1.171 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cc(C(F)(F)F)ccn1 ZINC000828083605 759478961 /nfs/dbraw/zinc/47/89/61/759478961.db2.gz VZVNFJGOQSYYFK-UHFFFAOYSA-N -1 1 300.244 1.575 20 0 DDADMM Cc1ccccc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001054349404 759527293 /nfs/dbraw/zinc/52/72/93/759527293.db2.gz RMHWVMVXDFHSQS-AAEUAGOBSA-N -1 1 315.377 1.069 20 0 DDADMM Cc1cccc(C(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001054356114 759539333 /nfs/dbraw/zinc/53/93/33/759539333.db2.gz BNTLTEDEOPLSQG-WCQYABFASA-N -1 1 315.377 1.069 20 0 DDADMM Cc1cscc1C(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054536677 759783015 /nfs/dbraw/zinc/78/30/15/759783015.db2.gz FGLAQTFASCEQPA-GZMMTYOYSA-N -1 1 321.406 1.131 20 0 DDADMM Cc1conc1CN[C@H]1C[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001086061886 760878770 /nfs/dbraw/zinc/87/87/70/760878770.db2.gz HGOTXFLPLGNWMX-PHIMTYICSA-N -1 1 319.365 1.018 20 0 DDADMM CC[C@@H](SC)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001542126 762947395 /nfs/dbraw/zinc/94/73/95/762947395.db2.gz QYXIJZZKDNNRCP-GFCCVEGCSA-N -1 1 323.418 1.117 20 0 DDADMM CCCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001050078009 763100931 /nfs/dbraw/zinc/10/09/31/763100931.db2.gz FRJAWHAIPHXGRW-CHWSQXEVSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@H](CN(C)c1cccc(F)n1)NC(=O)c1ncccc1[O-] ZINC001108946961 763159739 /nfs/dbraw/zinc/15/97/39/763159739.db2.gz YMGVXEUKOXGLRA-SNVBAGLBSA-N -1 1 304.325 1.576 20 0 DDADMM Cc1nccc(NC2CC(N(C)C(=O)c3ncccc3[O-])C2)n1 ZINC001069731718 768120190 /nfs/dbraw/zinc/12/01/90/768120190.db2.gz RXYDRAXLYBMPTR-UHFFFAOYSA-N -1 1 313.361 1.601 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cnc(F)c(C)c3)nc2n1 ZINC001131556141 768187181 /nfs/dbraw/zinc/18/71/81/768187181.db2.gz NJNNLAJRLGQCCM-UHFFFAOYSA-N -1 1 316.296 1.075 20 0 DDADMM Cc1nsc(N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001057623637 764157244 /nfs/dbraw/zinc/15/72/44/764157244.db2.gz MWSPPZWLRXEKOK-SNVBAGLBSA-N -1 1 319.390 1.664 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(CC2CC(F)(F)C2)C1 ZINC001042645875 764308871 /nfs/dbraw/zinc/30/88/71/764308871.db2.gz FCLAEICOVUWQBB-UHFFFAOYSA-N -1 1 311.332 1.589 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H](C)Nc1cc(F)ncn1 ZINC001112982805 765097956 /nfs/dbraw/zinc/09/79/56/765097956.db2.gz BWCJDMMVRHJVLC-RKDXNWHRSA-N -1 1 305.313 1.335 20 0 DDADMM Cc1ccc(NC2CC(CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001051910776 765271140 /nfs/dbraw/zinc/27/11/40/765271140.db2.gz GEJXCXBKTFDQEA-UHFFFAOYSA-N -1 1 313.361 1.506 20 0 DDADMM C/C=C(\C)C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052423281 765811684 /nfs/dbraw/zinc/81/16/84/765811684.db2.gz VQNGJAFVTBEKBZ-UDLQBDOPSA-N -1 1 317.389 1.578 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2cncc(Br)n2)[n-]1 ZINC001170231604 766186056 /nfs/dbraw/zinc/18/60/56/766186056.db2.gz FSPHUOJIHWXIOD-UHFFFAOYSA-N -1 1 308.099 1.355 20 0 DDADMM COc1cc(SC)c(Nc2[n-]c(=O)nc3nc[nH]c32)cn1 ZINC001170230555 766205041 /nfs/dbraw/zinc/20/50/41/766205041.db2.gz VNDRHZHCXHNZEQ-UHFFFAOYSA-N -1 1 304.335 1.928 20 0 DDADMM O=C(N[C@@H]1CCN(c2nc3ccccc3o2)C1)c1ncccc1[O-] ZINC001058343715 766436257 /nfs/dbraw/zinc/43/62/57/766436257.db2.gz HBPFGVGURVGWBT-LLVKDONJSA-N -1 1 324.340 1.937 20 0 DDADMM Cc1cnc(CN2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)o1 ZINC001046262412 767321471 /nfs/dbraw/zinc/32/14/71/767321471.db2.gz RFDIXYZUFWTXAT-MRXNPFEDSA-N -1 1 316.361 1.478 20 0 DDADMM C[C@H]1CC[C@H](NC(=O)CC(C)(C)C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131817646 768401752 /nfs/dbraw/zinc/40/17/52/768401752.db2.gz PCXCJDHKSCIELC-RYUDHWBXSA-N -1 1 323.441 1.014 20 0 DDADMM CCC(=O)N[C@H]1CC[C@H](C)[N@H+](Cc2cc(=O)[nH]c(C3CC3)n2)C1 ZINC001071282930 769228334 /nfs/dbraw/zinc/22/83/34/769228334.db2.gz QRDWHAQBRQRWDF-AAEUAGOBSA-N -1 1 318.421 1.939 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001048498136 769458392 /nfs/dbraw/zinc/45/83/92/769458392.db2.gz DAYPCGKXVRLWSD-LBELIVKGSA-N -1 1 319.409 1.026 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001048498136 769458395 /nfs/dbraw/zinc/45/83/95/769458395.db2.gz DAYPCGKXVRLWSD-LBELIVKGSA-N -1 1 319.409 1.026 20 0 DDADMM COCC(=O)NC1CCN(Cc2c(F)cc([O-])cc2F)CC1 ZINC001144554976 772563949 /nfs/dbraw/zinc/56/39/49/772563949.db2.gz PIEKXOXTABVMAO-UHFFFAOYSA-N -1 1 314.332 1.397 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2ccc(O)c(F)c2)n1 ZINC001144616296 772579286 /nfs/dbraw/zinc/57/92/86/772579286.db2.gz HLFVKSAVUKUXLT-UHFFFAOYSA-N -1 1 307.281 1.612 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccc(F)cc2N)n[n-]1 ZINC001146863426 772956339 /nfs/dbraw/zinc/95/63/39/772956339.db2.gz BJBKPGIVOKGABB-ZETCQYMHSA-N -1 1 321.312 1.194 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccc(F)cc2N)[n-]1 ZINC001146863426 772956342 /nfs/dbraw/zinc/95/63/42/772956342.db2.gz BJBKPGIVOKGABB-ZETCQYMHSA-N -1 1 321.312 1.194 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccc(F)cc2N)n1 ZINC001146863426 772956345 /nfs/dbraw/zinc/95/63/45/772956345.db2.gz BJBKPGIVOKGABB-ZETCQYMHSA-N -1 1 321.312 1.194 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1CCCN(C(C)(C)C)C1=O)c1nn[n-]n1 ZINC001149123384 773031887 /nfs/dbraw/zinc/03/18/87/773031887.db2.gz YUUKYTIMANCEGP-MNOVXSKESA-N -1 1 322.413 1.194 20 0 DDADMM O=C(Nc1ncc([O-])cc1Br)c1cc(=O)cco1 ZINC001147601345 773180643 /nfs/dbraw/zinc/18/06/43/773180643.db2.gz VCYYURUMXUSGIX-UHFFFAOYSA-N -1 1 311.091 1.755 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2c(CO)cnc(C)c2[O-])cn1 ZINC001147833519 773259973 /nfs/dbraw/zinc/25/99/73/773259973.db2.gz HGRPUVNFROSNPP-UHFFFAOYSA-N -1 1 317.301 1.022 20 0 DDADMM Cc1ncc(CO)c(C(=O)N(C(=N)N)c2ccccc2F)c1[O-] ZINC001147843787 773267882 /nfs/dbraw/zinc/26/78/82/773267882.db2.gz HTLJJKKHAUILTA-UHFFFAOYSA-N -1 1 318.308 1.267 20 0 DDADMM CN(C)c1nc(NC(=O)c2cc(O)cc(O)c2)c(N=O)c(=O)[n-]1 ZINC001148512492 773489664 /nfs/dbraw/zinc/48/96/64/773489664.db2.gz PREYMLHXIWEXFB-UHFFFAOYSA-N -1 1 319.277 1.310 20 0 DDADMM CN1CCN(C(=O)c2cccc(-c3ccccc3)c2[O-])CC1=O ZINC001148861126 773619479 /nfs/dbraw/zinc/61/94/79/773619479.db2.gz MMRJGVNIYRNYLC-UHFFFAOYSA-N -1 1 310.353 1.973 20 0 DDADMM C[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)C1CCCC1 ZINC001075030899 774309598 /nfs/dbraw/zinc/30/95/98/774309598.db2.gz UZWYNJSYEPYXCL-MDZLAQPJSA-N -1 1 321.425 1.416 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(NC3CCC4(CCOCC4)CC3)c2[nH]1 ZINC001173041263 776964656 /nfs/dbraw/zinc/96/46/56/776964656.db2.gz DWAXVVNNOFBSEJ-UHFFFAOYSA-N -1 1 319.365 1.867 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1OC ZINC001173888627 777373928 /nfs/dbraw/zinc/37/39/28/777373928.db2.gz ICSLZXZUXFVRAT-UHFFFAOYSA-N -1 1 323.374 1.669 20 0 DDADMM CN(C)c1nc(Nc2ccccc2C(N)=O)c(N=O)c(=O)[n-]1 ZINC001173981504 777395212 /nfs/dbraw/zinc/39/52/12/777395212.db2.gz VGYKTOBXQVLJJA-UHFFFAOYSA-N -1 1 302.294 1.489 20 0 DDADMM CS(=O)(=O)c1ccc([O-])c(Nc2ccc(C(N)=O)cc2)c1 ZINC001174050050 777396025 /nfs/dbraw/zinc/39/60/25/777396025.db2.gz IOLQKQAXXNEIGH-UHFFFAOYSA-N -1 1 306.343 1.638 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)c(C)c1 ZINC001174024016 777400259 /nfs/dbraw/zinc/40/02/59/777400259.db2.gz SMITYABZABDTKQ-UHFFFAOYSA-N -1 1 307.375 1.969 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2cnc3[nH]ccc3c2)c1 ZINC001174399923 777464267 /nfs/dbraw/zinc/46/42/67/777464267.db2.gz KPKSVNZEBYLFKU-UHFFFAOYSA-N -1 1 303.347 1.480 20 0 DDADMM CC/C=C(/C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101779311 777507990 /nfs/dbraw/zinc/50/79/90/777507990.db2.gz PBIMXAYHIZTSDP-XRHVUQDBSA-N -1 1 307.398 1.051 20 0 DDADMM Cc1cc(C)n2ccc(C(=O)Nc3[n-]c(=O)nc4nc[nH]c43)c2n1 ZINC001175167997 777709370 /nfs/dbraw/zinc/70/93/70/777709370.db2.gz MHQATSXGIWOLLL-UHFFFAOYSA-N -1 1 323.316 1.575 20 0 DDADMM O=C(CCc1cc(F)ccn1)Nc1n[n-]c(C(F)(F)F)n1 ZINC001175404938 777774211 /nfs/dbraw/zinc/77/42/11/777774211.db2.gz DNJMDNOCIXXAAT-UHFFFAOYSA-N -1 1 303.219 1.929 20 0 DDADMM CO[C@@]1(CNC(=O)c2cc(C)cc(C=O)c2[O-])CCSC1 ZINC001178394178 779014638 /nfs/dbraw/zinc/01/46/38/779014638.db2.gz DEBAIAZKGDJLKE-OAHLLOKOSA-N -1 1 309.387 1.765 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNc1ncc(Cl)cn1 ZINC001103917419 779168838 /nfs/dbraw/zinc/16/88/38/779168838.db2.gz MNOMSDURAGZHSZ-SECBINFHSA-N -1 1 321.768 1.709 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNc1ncncc1Cl ZINC001103917665 779169804 /nfs/dbraw/zinc/16/98/04/779169804.db2.gz UBFSZSHJNYHEMG-SECBINFHSA-N -1 1 321.768 1.709 20 0 DDADMM Cc1ccccc1O[C@H](C)C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001180526893 779800232 /nfs/dbraw/zinc/80/02/32/779800232.db2.gz PSLJVRNZSROQRL-SECBINFHSA-N -1 1 313.317 1.773 20 0 DDADMM O=C([O-])c1cn(CCNCc2ncccc2C(F)(F)F)nn1 ZINC001119615665 781537915 /nfs/dbraw/zinc/53/79/15/781537915.db2.gz ORISKVPPCUTNEP-UHFFFAOYSA-N -1 1 315.255 1.180 20 0 DDADMM CCCCN(CCCC)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001414203275 840282172 /nfs/dbraw/zinc/28/21/72/840282172.db2.gz ZETCSNADPCXTCG-UHFFFAOYSA-N -1 1 317.411 1.787 20 0 DDADMM CCCCN(CCCC)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001414203275 840282180 /nfs/dbraw/zinc/28/21/80/840282180.db2.gz ZETCSNADPCXTCG-UHFFFAOYSA-N -1 1 317.411 1.787 20 0 DDADMM CC1=C(C)C[C@](C)(C(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001268674560 840656843 /nfs/dbraw/zinc/65/68/43/840656843.db2.gz VFRINJSDNURFNM-MLGOLLRUSA-N -1 1 321.425 1.631 20 0 DDADMM CC(C)(C)NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC001269346014 841541604 /nfs/dbraw/zinc/54/16/04/841541604.db2.gz HNHBBBUZZDWPKV-QLJPJBMISA-N -1 1 303.362 1.164 20 0 DDADMM NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1ccc(C(F)(F)F)cc1[O-])C2 ZINC001269918988 842154323 /nfs/dbraw/zinc/15/43/23/842154323.db2.gz FPBTWPOBXXBEOT-AMIZOPFISA-N -1 1 314.263 1.358 20 0 DDADMM COC[C@H](NCc1cc(Br)cnc1Cl)C(=O)[O-] ZINC001326571136 861454213 /nfs/dbraw/zinc/45/42/13/861454213.db2.gz UBQPILKCQZPQPN-QMMMGPOBSA-N -1 1 323.574 1.687 20 0 DDADMM O=S(=O)([N-][C@H](CO)[C@@H]1CCOC1)c1sccc1Cl ZINC001414239063 845621007 /nfs/dbraw/zinc/62/10/07/845621007.db2.gz OSBBELWOGXEOIT-VXNVDRBHSA-N -1 1 311.812 1.077 20 0 DDADMM CCOC(=O)[C@@H]1CC[C@H](OCCCC[P@](=O)([O-])O)CO1 ZINC001225019122 845782084 /nfs/dbraw/zinc/78/20/84/845782084.db2.gz RYKBZZZVHHSQSP-QWRGUYRKSA-N -1 1 310.283 1.072 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCc2cccnc21 ZINC001149500738 861661889 /nfs/dbraw/zinc/66/18/89/861661889.db2.gz PFYKIJHORGCBOX-ZFWWWQNUSA-N -1 1 320.356 1.530 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1CCC[C@@](F)(CO)CC1 ZINC001155261874 861906689 /nfs/dbraw/zinc/90/66/89/861906689.db2.gz ZTVCARILNJMIMH-HNNXBMFYSA-N -1 1 307.325 1.595 20 0 DDADMM O=C(N[C@@H]1C(=O)NCc2ccccc21)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273623 861922559 /nfs/dbraw/zinc/92/25/59/861922559.db2.gz QQXDOAMIVZTFIP-AWEZNQCLSA-N -1 1 322.324 1.369 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)c1[n-][nH]c2cc(=O)ccc1-2)C(=O)N(C)C ZINC001155275698 861923736 /nfs/dbraw/zinc/92/37/36/861923736.db2.gz CUQXNKNWPRNEQN-ZANVPECISA-N -1 1 318.377 1.501 20 0 DDADMM Cc1cc(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])c(C)[nH]1 ZINC001410187834 848872431 /nfs/dbraw/zinc/87/24/31/848872431.db2.gz MVMYLDIHDRPFDA-SNVBAGLBSA-N -1 1 316.361 1.280 20 0 DDADMM C[C@H](CNC(=O)c1occc1Cl)NC(=O)c1ncccc1[O-] ZINC001410191943 848878675 /nfs/dbraw/zinc/87/86/75/848878675.db2.gz ZGJQRHXKUMGRKF-MRVPVSSYSA-N -1 1 323.736 1.582 20 0 DDADMM O=C(CC1CCC1)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001410565910 849506839 /nfs/dbraw/zinc/50/68/39/849506839.db2.gz TUZYDLHIRAUWKR-GFCCVEGCSA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)Oc1ccc([N-]S(=O)(=O)c2ccc(N)c(N)c2)cn1 ZINC001174764646 850940492 /nfs/dbraw/zinc/94/04/92/850940492.db2.gz WLJMMIDELDJUOP-UHFFFAOYSA-N -1 1 322.390 1.834 20 0 DDADMM COc1ccc(CN2CCC[C@]23CCN(C)C3=O)cc1C(=O)[O-] ZINC001274190408 852021162 /nfs/dbraw/zinc/02/11/62/852021162.db2.gz LVYAELHRAPOXJC-QGZVFWFLSA-N -1 1 318.373 1.590 20 0 DDADMM NC(=O)[C@H]1CCC[C@@]12CCN(C(=O)c1cc(F)ccc1[O-])C2 ZINC001275360678 853014916 /nfs/dbraw/zinc/01/49/16/853014916.db2.gz GSMCOPUVVJBEJE-WBMJQRKESA-N -1 1 306.337 1.649 20 0 DDADMM CN(C)C(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1c([O-])cccc1F ZINC001275363133 853020501 /nfs/dbraw/zinc/02/05/01/853020501.db2.gz XQWALXZVUOZSBZ-MHOHDPQLSA-N -1 1 320.364 1.764 20 0 DDADMM CC1(C)Cc2c(cccc2C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)O1 ZINC001155740107 862420378 /nfs/dbraw/zinc/42/03/78/862420378.db2.gz QOMTVAHQWDPHIL-UHFFFAOYSA-N -1 1 316.317 1.436 20 0 DDADMM NC(=O)[C@@H]1CCC[C@]12CCN(C(=O)c1c(F)ccc([O-])c1F)C2 ZINC001275611781 853431364 /nfs/dbraw/zinc/43/13/64/853431364.db2.gz JROHUCCGCBJTTM-XXFAHNHDSA-N -1 1 324.327 1.788 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccc2c(c1)OC1(CCCC1)O2 ZINC001411604927 853446622 /nfs/dbraw/zinc/44/66/22/853446622.db2.gz SJGITWUCBBRMRG-UHFFFAOYSA-N -1 1 315.333 1.813 20 0 DDADMM O=C(NCc1cccnc1OC1CCCC1)c1cnncc1[O-] ZINC001411642145 853508530 /nfs/dbraw/zinc/50/85/30/853508530.db2.gz DGNXJYCLPPGFFD-UHFFFAOYSA-N -1 1 314.345 1.829 20 0 DDADMM CO[C@@H](CNC(=O)c1cnc(SC)[n-]c1=O)C(F)(F)F ZINC001411776158 853716877 /nfs/dbraw/zinc/71/68/77/853716877.db2.gz GMLHVIXSBRAGFW-LURJTMIESA-N -1 1 311.285 1.211 20 0 DDADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)C1=CCCCO1 ZINC001411804595 853762082 /nfs/dbraw/zinc/76/20/82/853762082.db2.gz VLIDKMFBRDJUSN-UHFFFAOYSA-N -1 1 301.306 1.412 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)Cc2ccncc2)c(=O)[n-]1 ZINC001411843402 853820346 /nfs/dbraw/zinc/82/03/46/853820346.db2.gz HDDOOVIDZCGACY-SECBINFHSA-N -1 1 304.375 1.660 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CCC([C@H](O)C(F)(F)F)CC1 ZINC001411945784 854016733 /nfs/dbraw/zinc/01/67/33/854016733.db2.gz HVTAWHGXNRJICF-NSHDSACASA-N -1 1 304.268 1.563 20 0 DDADMM C[C@H](NC(=O)CCCc1nn[n-]n1)c1ccc([S@](C)=O)cc1 ZINC001411993002 854084428 /nfs/dbraw/zinc/08/44/28/854084428.db2.gz JIJMSOBUZCUCDT-MGUXCZDKSA-N -1 1 321.406 1.137 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cc(C(F)(F)F)ncn3)ccnc1-2 ZINC001412086319 854207146 /nfs/dbraw/zinc/20/71/46/854207146.db2.gz ZYFIBFLWTRHIGO-UHFFFAOYSA-N -1 1 322.250 1.403 20 0 DDADMM CCn1cc([C@@H](C)NC(=O)c2c(C)[n-]c(=O)nc2SC)cn1 ZINC001412112802 854225362 /nfs/dbraw/zinc/22/53/62/854225362.db2.gz IJRKYQDOUQUAIJ-MRVPVSSYSA-N -1 1 321.406 1.920 20 0 DDADMM CC[C@@H]1CN(C(=O)c2cccc([O-])c2F)C[C@@H](C)S1(=O)=O ZINC001412113675 854226562 /nfs/dbraw/zinc/22/65/62/854226562.db2.gz YPGGONOLJIHQAH-NXEZZACHSA-N -1 1 315.366 1.569 20 0 DDADMM CCC(CC)(NC(=O)[C@H](C)OCc1ccncc1)c1nn[n-]n1 ZINC001412114293 854232317 /nfs/dbraw/zinc/23/23/17/854232317.db2.gz XTYOCXZIGDRDPQ-NSHDSACASA-N -1 1 318.381 1.332 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)C[C@@H]1C(=O)Nc2ccc(F)cc21 ZINC001412187766 854314860 /nfs/dbraw/zinc/31/48/60/854314860.db2.gz PGSOYAKUASDZOR-VIFPVBQESA-N -1 1 304.281 1.627 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CCCn2cccn2)[n-]1 ZINC001412276537 854400412 /nfs/dbraw/zinc/40/04/12/854400412.db2.gz GIKAFIDZDMSTTM-UHFFFAOYSA-N -1 1 318.333 1.619 20 0 DDADMM Cc1nc(CC(=O)Nc2nccc(Br)c2[O-])n[nH]1 ZINC001412407311 854514110 /nfs/dbraw/zinc/51/41/10/854514110.db2.gz UKOQVVLIUKZAJN-UHFFFAOYSA-N -1 1 312.127 1.157 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@@H](O)c1cccc(F)c1 ZINC001412524856 854657698 /nfs/dbraw/zinc/65/76/98/854657698.db2.gz CHJOPJPHLKPQAT-CYBMUJFWSA-N -1 1 321.356 1.447 20 0 DDADMM NC(=O)[C@@H]1CC12CCN(C(=O)c1cccc([O-])c1Cl)CC2 ZINC001276050327 854675393 /nfs/dbraw/zinc/67/53/93/854675393.db2.gz UNJNQLXQTBYWAQ-JTQLQIEISA-N -1 1 308.765 1.773 20 0 DDADMM COC[C@@H](NC(=O)c1ccc(F)c(C(F)F)c1)c1nn[n-]n1 ZINC001412602347 854794463 /nfs/dbraw/zinc/79/44/63/854794463.db2.gz FVRHYCQQGYXUQZ-SECBINFHSA-N -1 1 315.255 1.394 20 0 DDADMM CC(C)OC(=O)c1cc(NC(=O)COc2ccsn2)n[nH]1 ZINC001412710267 854995445 /nfs/dbraw/zinc/99/54/45/854995445.db2.gz XEZIEPZWNOPRJZ-UHFFFAOYSA-N -1 1 310.335 1.449 20 0 DDADMM CSc1ncc(C(=O)N2CCC(CCCO)CC2)c(=O)[n-]1 ZINC001412732196 855043426 /nfs/dbraw/zinc/04/34/26/855043426.db2.gz DMVGOZNIAATEKO-UHFFFAOYSA-N -1 1 311.407 1.529 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)c2nnc(C)s2)c(=O)[n-]1 ZINC001412797178 855277305 /nfs/dbraw/zinc/27/73/05/855277305.db2.gz NJRJMNZPIGXWCF-RXMQYKEDSA-N -1 1 311.392 1.555 20 0 DDADMM O=C(NC[C@H]1CS(=O)(=O)c2ccccc21)c1ncccc1[O-] ZINC001412998602 855897178 /nfs/dbraw/zinc/89/71/78/855897178.db2.gz CJSWHKAJFSYKSA-JTQLQIEISA-N -1 1 318.354 1.088 20 0 DDADMM Cc1cnc(C(=O)N2CCC[C@H](N3CCCC3=O)CC2)c([O-])c1 ZINC001413109057 856443153 /nfs/dbraw/zinc/44/31/53/856443153.db2.gz XFNUJNFVRBODCI-ZDUSSCGKSA-N -1 1 317.389 1.713 20 0 DDADMM CSc1nc(CNC(=O)c2cncnc2C(C)C)cc(=O)[n-]1 ZINC001413201583 856542076 /nfs/dbraw/zinc/54/20/76/856542076.db2.gz VNHRTJVXRUDCAV-UHFFFAOYSA-N -1 1 319.390 1.748 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)COc2ccccc2)n[n-]1 ZINC001413268429 856594614 /nfs/dbraw/zinc/59/46/14/856594614.db2.gz HBJXJUOZLKEVBV-JTQLQIEISA-N -1 1 318.333 1.238 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)COc2ccccc2)[n-]1 ZINC001413268429 856594625 /nfs/dbraw/zinc/59/46/25/856594625.db2.gz HBJXJUOZLKEVBV-JTQLQIEISA-N -1 1 318.333 1.238 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)COc2ccccc2)n1 ZINC001413268429 856594630 /nfs/dbraw/zinc/59/46/30/856594630.db2.gz HBJXJUOZLKEVBV-JTQLQIEISA-N -1 1 318.333 1.238 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2csc(C)n2)n[n-]1 ZINC001413269724 856596264 /nfs/dbraw/zinc/59/62/64/856596264.db2.gz JJUXOJQORFUJSY-ZETCQYMHSA-N -1 1 323.378 1.166 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2csc(C)n2)[n-]1 ZINC001413269724 856596272 /nfs/dbraw/zinc/59/62/72/856596272.db2.gz JJUXOJQORFUJSY-ZETCQYMHSA-N -1 1 323.378 1.166 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2csc(C)n2)n1 ZINC001413269724 856596279 /nfs/dbraw/zinc/59/62/79/856596279.db2.gz JJUXOJQORFUJSY-ZETCQYMHSA-N -1 1 323.378 1.166 20 0 DDADMM CN1c2ccccc2C[C@H](NC(=O)c2ccc([O-])c(F)c2)C1=O ZINC001413267295 856603476 /nfs/dbraw/zinc/60/34/76/856603476.db2.gz LXKMTBNVBLOWQA-ZDUSSCGKSA-N -1 1 314.316 1.849 20 0 DDADMM COC[C@@H](C)CS(=O)(=O)[N-][C@@H](C(=O)OC)c1ccsc1 ZINC001413329543 856669112 /nfs/dbraw/zinc/66/91/12/856669112.db2.gz PIAPQXJUFIWJBO-MWLCHTKSSA-N -1 1 321.420 1.164 20 0 DDADMM NC(=O)[C@@H](C1CC1)N(CC1CC1)C(=O)c1cccc([O-])c1F ZINC001413425281 856792958 /nfs/dbraw/zinc/79/29/58/856792958.db2.gz GRDOCHKPPBQUQE-CQSZACIVSA-N -1 1 306.337 1.647 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCC(C)(C)CO1 ZINC001413428980 856797723 /nfs/dbraw/zinc/79/77/23/856797723.db2.gz NELUEWALQBLCHC-SECBINFHSA-N -1 1 303.384 1.049 20 0 DDADMM Cc1nc(CS(=O)(=O)[N-]Cc2c(F)cc(F)cc2F)no1 ZINC001413433528 856803591 /nfs/dbraw/zinc/80/35/91/856803591.db2.gz SLMFYCICOFWARM-UHFFFAOYSA-N -1 1 321.280 1.415 20 0 DDADMM Cn1ccnc1C1(O)CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001413510204 856909680 /nfs/dbraw/zinc/90/96/80/856909680.db2.gz RAFLXOAFZBDMDD-UHFFFAOYSA-N -1 1 319.336 1.389 20 0 DDADMM O=C(N[C@H]1Cc2cccnc2NC1=O)c1c([O-])cccc1Cl ZINC001413509918 856909894 /nfs/dbraw/zinc/90/98/94/856909894.db2.gz HVDCLEJVWFTPJY-JTQLQIEISA-N -1 1 317.732 1.734 20 0 DDADMM CS(C)(=O)=NCCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC001156239435 862850438 /nfs/dbraw/zinc/85/04/38/862850438.db2.gz ZBEISXUFSUSIST-UHFFFAOYSA-N -1 1 304.799 1.432 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NC[C@H](O)c1cncs1 ZINC001413554661 857058279 /nfs/dbraw/zinc/05/82/79/857058279.db2.gz CMYCYQFMDPTGAF-NSHDSACASA-N -1 1 312.778 1.894 20 0 DDADMM CCn1c(-c2nc(Cl)n[n-]2)nnc1N(C)Cc1ccns1 ZINC001121768797 858592161 /nfs/dbraw/zinc/59/21/61/858592161.db2.gz CWABBYZHAIJZDM-UHFFFAOYSA-N -1 1 324.801 1.829 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]([C@H](CO)C1CC1)C1CC1 ZINC001123800972 859420428 /nfs/dbraw/zinc/42/04/28/859420428.db2.gz IRHMGVCTLVJSHM-ZYHUDNBSSA-N -1 1 323.418 1.306 20 0 DDADMM NC(=O)[C@@H]1CCCCN1Cc1cn(CC(=O)[O-])c2ccccc12 ZINC001138192991 860039486 /nfs/dbraw/zinc/03/94/86/860039486.db2.gz LJISJDKLSIPTES-HNNXBMFYSA-N -1 1 315.373 1.566 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CCN2Cc2ncccc2[O-])C1 ZINC001138391092 860083852 /nfs/dbraw/zinc/08/38/52/860083852.db2.gz MYJCEXVNALUJFS-UHFFFAOYSA-N -1 1 305.378 1.982 20 0 DDADMM [O-]c1cccnc1CN1CCn2ncc(Br)c2C1 ZINC001138397278 860095209 /nfs/dbraw/zinc/09/52/09/860095209.db2.gz YRVVPPIRJGSIAD-UHFFFAOYSA-N -1 1 309.167 1.762 20 0 DDADMM O=C(c1ccc(F)cc1)N1CCN(Cc2ccncc2[O-])CC1 ZINC001140278762 860609556 /nfs/dbraw/zinc/60/95/56/860609556.db2.gz WCYDWOVRHAGKSS-UHFFFAOYSA-N -1 1 315.348 1.884 20 0 DDADMM Cc1[nH]c(CN2CCC[C@H](n3ccnn3)C2)c(C)c1C(=O)[O-] ZINC001140499272 860646034 /nfs/dbraw/zinc/64/60/34/860646034.db2.gz PBGGRTHRACDVCD-LBPRGKRZSA-N -1 1 303.366 1.758 20 0 DDADMM CCOC(=O)[C@H]1CN(Cc2ccccc2C(=O)[O-])CCS1 ZINC001140503920 860647682 /nfs/dbraw/zinc/64/76/82/860647682.db2.gz CXTXZJAXVUMZJB-CYBMUJFWSA-N -1 1 309.387 1.865 20 0 DDADMM Cc1occc(=O)c1OCC(=O)Nc1ccc([O-])c(F)c1F ZINC001140657345 860668207 /nfs/dbraw/zinc/66/82/07/860668207.db2.gz PBAKQTRFVCRXKD-UHFFFAOYSA-N -1 1 311.240 1.950 20 0 DDADMM O=C(Nc1ccc(-n2cncn2)cc1)c1cnc(C2CC2)[n-]c1=O ZINC001141378866 860834075 /nfs/dbraw/zinc/83/40/75/860834075.db2.gz JNLFFHGNBJYDFX-UHFFFAOYSA-N -1 1 322.328 1.893 20 0 DDADMM O=C(C1CCCCC1)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001326178192 861165826 /nfs/dbraw/zinc/16/58/26/861165826.db2.gz UHXSTMDRCIHWGN-LBPRGKRZSA-N -1 1 321.425 1.419 20 0 DDADMM CCC(CC)(NC(=O)c1ccc2cnccc2n1)c1nn[n-]n1 ZINC001156920229 863447038 /nfs/dbraw/zinc/44/70/38/863447038.db2.gz MCHZLSOVJOQWNI-UHFFFAOYSA-N -1 1 311.349 1.588 20 0 DDADMM CC(C)(C)C(=O)CNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152680563 863490363 /nfs/dbraw/zinc/49/03/63/863490363.db2.gz PABGZAPMAHMNSH-UHFFFAOYSA-N -1 1 302.330 1.991 20 0 DDADMM CC1(C)OCC(NC(=O)c2cc(=O)c3cccc(O)c3[n-]2)CO1 ZINC001152681252 863490680 /nfs/dbraw/zinc/49/06/80/863490680.db2.gz IUNAXDITOAJNIF-UHFFFAOYSA-N -1 1 318.329 1.527 20 0 DDADMM O=C(NCCOc1ccncc1)c1c[n-]c2cccnc2c1=O ZINC001153862764 864174494 /nfs/dbraw/zinc/17/44/94/864174494.db2.gz FAYDEVXBWOZQCX-UHFFFAOYSA-N -1 1 310.313 1.127 20 0 DDADMM Cc1ccc(CCCC(=O)NCCNCc2n[nH]c(=O)[n-]2)s1 ZINC001153887527 864194245 /nfs/dbraw/zinc/19/42/45/864194245.db2.gz ZEKDGDKMSLJPOH-UHFFFAOYSA-N -1 1 323.422 1.109 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1ccc(Cl)cc1 ZINC001381442676 881787413 /nfs/dbraw/zinc/78/74/13/881787413.db2.gz RXSVNCKOHKCFSL-IUCAKERBSA-N -1 1 323.784 1.460 20 0 DDADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cc(C)ncn2)[n-]1 ZINC001361516469 881811218 /nfs/dbraw/zinc/81/12/18/881811218.db2.gz HTSRVMWPZAQCQT-UHFFFAOYSA-N -1 1 302.290 1.355 20 0 DDADMM CC(C)(C)c1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)ncn1 ZINC001159195022 865160357 /nfs/dbraw/zinc/16/03/57/865160357.db2.gz LJPSKPMIIOSCGO-UHFFFAOYSA-N -1 1 301.310 1.547 20 0 DDADMM O=C([O-])/C=C\c1ccccc1-c1noc(C[C@H]2COCCN2)n1 ZINC001332003820 865494600 /nfs/dbraw/zinc/49/46/00/865494600.db2.gz XJGJWGDEBYVZEP-FJOGCWAESA-N -1 1 315.329 1.365 20 0 DDADMM O=C(CCC1CCC1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225585284 881922961 /nfs/dbraw/zinc/92/29/61/881922961.db2.gz LOEQMRNDWDAJJY-CHWSQXEVSA-N -1 1 321.425 1.608 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCOC(C)(C)C3)nc2n1 ZINC001361588494 881955400 /nfs/dbraw/zinc/95/54/00/881955400.db2.gz PJZNPCJAHTYFGZ-SECBINFHSA-N -1 1 319.365 1.124 20 0 DDADMM CCn1ncc(CNCCc2ccc(OCC(=O)[O-])cc2)n1 ZINC001333138699 866453677 /nfs/dbraw/zinc/45/36/77/866453677.db2.gz VYTLILADLXMERF-UHFFFAOYSA-N -1 1 304.350 1.094 20 0 DDADMM CCO[C@H](CC)C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001416644171 866791318 /nfs/dbraw/zinc/79/13/18/866791318.db2.gz DRMYLPROJJEQNT-CYBMUJFWSA-N -1 1 323.393 1.133 20 0 DDADMM O=c1cc(OC2CCN(c3ncccn3)CC2)[nH]c(=S)[n-]1 ZINC001225765238 882017150 /nfs/dbraw/zinc/01/71/50/882017150.db2.gz JVTMJMKKPZHIKX-UHFFFAOYSA-N -1 1 305.363 1.309 20 0 DDADMM Cc1nc(CNCc2ccc3c(c2)nnn3C)ccc1C(=O)[O-] ZINC001321114098 867327152 /nfs/dbraw/zinc/32/71/52/867327152.db2.gz SZMNQKQFOXCFFO-UHFFFAOYSA-N -1 1 311.345 1.660 20 0 DDADMM CC(=O)c1cc(F)c([N-]C(=O)c2nnn(C)c2C)c(F)c1F ZINC001321141049 867348953 /nfs/dbraw/zinc/34/89/53/867348953.db2.gz UUUYWZOPFDMRRW-UHFFFAOYSA-N -1 1 312.251 1.996 20 0 DDADMM COc1c(=O)[nH]c(=O)[nH]c1C(=O)Nc1cc(F)cc(F)c1[O-] ZINC001162290623 867416273 /nfs/dbraw/zinc/41/62/73/867416273.db2.gz CVXLVFOSXLISGX-UHFFFAOYSA-N -1 1 313.216 1.133 20 0 DDADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-][C@H](C)CCCCO ZINC001324732762 867483751 /nfs/dbraw/zinc/48/37/51/867483751.db2.gz BKMBMJQBRCAWSJ-SECBINFHSA-N -1 1 323.361 1.803 20 0 DDADMM COc1ccc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)nn1 ZINC001361724756 882235459 /nfs/dbraw/zinc/23/54/59/882235459.db2.gz DSDNCKVJMAQQNG-UHFFFAOYSA-N -1 1 301.306 1.112 20 0 DDADMM COc1cccc(OCCCNC(=O)c2cnncc2O)c1 ZINC001336357904 868893579 /nfs/dbraw/zinc/89/35/79/868893579.db2.gz DEKMEGZLWPXGHA-UHFFFAOYSA-N -1 1 303.318 1.390 20 0 DDADMM O=C(Nc1ccc(N2CCSCC2)cc1)c1cnncc1[O-] ZINC001336374946 868908027 /nfs/dbraw/zinc/90/80/27/868908027.db2.gz XFNNARBYVZITQQ-UHFFFAOYSA-N -1 1 316.386 1.988 20 0 DDADMM Cc1cc2c(c(N3CC[C@@H](CF)C(F)(F)C3)n1)C(=O)[N-]C2=O ZINC001164094742 868939646 /nfs/dbraw/zinc/93/96/46/868939646.db2.gz DGIQONIYLNMZJJ-QMMMGPOBSA-N -1 1 313.279 1.705 20 0 DDADMM Cc1nnc(C(=O)[N-]c2ccccc2SCC(N)=O)s1 ZINC001361728557 882247336 /nfs/dbraw/zinc/24/73/36/882247336.db2.gz WFWUCKUGCWRZIA-UHFFFAOYSA-N -1 1 308.388 1.676 20 0 DDADMM C[S@@](=N)(=O)c1ccc(NCC[N-]C(=O)C(F)(F)F)cc1 ZINC001164246324 869054855 /nfs/dbraw/zinc/05/48/55/869054855.db2.gz YZASIZWXXVAFMI-HXUWFJFHSA-N -1 1 309.313 1.812 20 0 DDADMM Cc1cc2c(c(N3CC(C)(C)C[C@@]3(C)CO)n1)C(=O)[N-]C2=O ZINC001164356378 869149115 /nfs/dbraw/zinc/14/91/15/869149115.db2.gz FSWOHWBPKCXQMV-INIZCTEOSA-N -1 1 303.362 1.261 20 0 DDADMM CCCOC(=O)c1ccc(NC(=O)CCCc2nn[n-]n2)cc1 ZINC001361746747 882284722 /nfs/dbraw/zinc/28/47/22/882284722.db2.gz JJTWPZAIMCVMRI-UHFFFAOYSA-N -1 1 317.349 1.728 20 0 DDADMM CCON(C)C(=O)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC001164590278 869310232 /nfs/dbraw/zinc/31/02/32/869310232.db2.gz ONWKSUAOLUURKI-UHFFFAOYSA-N -1 1 321.308 1.219 20 0 DDADMM C[C@@H]1C[C@@H](c2ccccc2)CN1c1nnc(-c2nnn[n-]2)n1C ZINC001338074316 869786117 /nfs/dbraw/zinc/78/61/17/869786117.db2.gz ZWFRTCWYAOXJMN-ZYHUDNBSSA-N -1 1 310.365 1.378 20 0 DDADMM C[C@@H]1C[C@@H](c2ccccc2)CN1c1nnc(-c2nn[n-]n2)n1C ZINC001338074316 869786126 /nfs/dbraw/zinc/78/61/26/869786126.db2.gz ZWFRTCWYAOXJMN-ZYHUDNBSSA-N -1 1 310.365 1.378 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C=Cc2ccc[nH]2)n[n-]1 ZINC001166126244 869848702 /nfs/dbraw/zinc/84/87/02/869848702.db2.gz JAKFPQJUJHRYJQ-ATJFRQLMSA-N -1 1 303.322 1.200 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C=Cc2ccc[nH]2)[n-]1 ZINC001166126244 869848713 /nfs/dbraw/zinc/84/87/13/869848713.db2.gz JAKFPQJUJHRYJQ-ATJFRQLMSA-N -1 1 303.322 1.200 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C=Cc2ccc[nH]2)n1 ZINC001166126244 869848720 /nfs/dbraw/zinc/84/87/20/869848720.db2.gz JAKFPQJUJHRYJQ-ATJFRQLMSA-N -1 1 303.322 1.200 20 0 DDADMM CN(Cc1ccsc1)C(=O)CNC(=O)c1ncccc1[O-] ZINC001361779788 882359190 /nfs/dbraw/zinc/35/91/90/882359190.db2.gz FUCARDJWJQHERO-UHFFFAOYSA-N -1 1 305.359 1.237 20 0 DDADMM C[C@H](c1ccccc1F)N(C)c1nnc(-c2noc(=O)[n-]2)n1C ZINC001338560160 870044717 /nfs/dbraw/zinc/04/47/17/870044717.db2.gz FHQRDMLWUCLQJD-MRVPVSSYSA-N -1 1 318.312 1.495 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)CC(C)(C)C(C)C)CCOCC1 ZINC001363859363 887412870 /nfs/dbraw/zinc/41/28/70/887412870.db2.gz BIWJVOXBBZSZPJ-UHFFFAOYSA-N -1 1 321.439 1.310 20 0 DDADMM C/C(=C/C(=O)NC[C@@](C)(NC(=O)c1cnn[nH]1)C1CC1)C1CC1 ZINC001297569334 870105742 /nfs/dbraw/zinc/10/57/42/870105742.db2.gz RXWVSWBCLNJWJX-BSDKJSHOSA-N -1 1 317.393 1.176 20 0 DDADMM CN1[C@@H]2C[C@@H](Oc3cc(=O)[n-]c(C(F)(F)F)n3)[C@H]1CC(=O)C2 ZINC001226345367 882372522 /nfs/dbraw/zinc/37/25/22/882372522.db2.gz AVLSAGCRPSJFPH-NBEYISGCSA-N -1 1 317.267 1.384 20 0 DDADMM O=C(NCc1nc(-c2ccccn2)n[nH]1)c1c([O-])cccc1F ZINC001297919805 870201048 /nfs/dbraw/zinc/20/10/48/870201048.db2.gz ZVWXGNPOFPMZDO-UHFFFAOYSA-N -1 1 313.292 1.641 20 0 DDADMM Cc1ccccc1[C@H](C)N(C)c1nnc(Cc2nnn[n-]2)n1C ZINC001338907866 870235652 /nfs/dbraw/zinc/23/56/52/870235652.db2.gz FHGMWRNGEZDQER-NSHDSACASA-N -1 1 312.381 1.425 20 0 DDADMM Cc1ccccc1[C@H](C)N(C)c1nnc(Cc2nn[n-]n2)n1C ZINC001338907866 870235669 /nfs/dbraw/zinc/23/56/69/870235669.db2.gz FHGMWRNGEZDQER-NSHDSACASA-N -1 1 312.381 1.425 20 0 DDADMM CCCCC[C@H]1CCCCN1c1nnc(Cc2nnn[n-]2)n1C ZINC001338907128 870236468 /nfs/dbraw/zinc/23/64/68/870236468.db2.gz APERHKCFFQSCJT-LBPRGKRZSA-N -1 1 318.429 1.858 20 0 DDADMM CCCCC[C@H]1CCCCN1c1nnc(Cc2nn[n-]n2)n1C ZINC001338907128 870236482 /nfs/dbraw/zinc/23/64/82/870236482.db2.gz APERHKCFFQSCJT-LBPRGKRZSA-N -1 1 318.429 1.858 20 0 DDADMM CN(C)c1cc(N2CCC([N-]C(=O)C(F)(F)F)CC2)ncn1 ZINC001166893430 870348115 /nfs/dbraw/zinc/34/81/15/870348115.db2.gz FFZACZKBCZRKOE-UHFFFAOYSA-N -1 1 317.315 1.190 20 0 DDADMM C[C@@H](CC(=O)NC/C=C/CNC(=O)c1ncccc1[O-])C1CC1 ZINC001298356506 870498242 /nfs/dbraw/zinc/49/82/42/870498242.db2.gz JMKUDOPIBWNCJE-JDGPPOGSSA-N -1 1 317.389 1.626 20 0 DDADMM Cn1c(-c2nnn[n-]2)nnc1N1C[C@@H]2C[C@@H](c3ccccc3)[C@@H]2C1 ZINC001339543747 870547563 /nfs/dbraw/zinc/54/75/63/870547563.db2.gz OIWYQPPIENNLTL-RWMBFGLXSA-N -1 1 322.376 1.235 20 0 DDADMM Cn1c(-c2nn[n-]n2)nnc1N1C[C@@H]2C[C@@H](c3ccccc3)[C@@H]2C1 ZINC001339543747 870547574 /nfs/dbraw/zinc/54/75/74/870547574.db2.gz OIWYQPPIENNLTL-RWMBFGLXSA-N -1 1 322.376 1.235 20 0 DDADMM C[C@@H]1CCN(c2nnc(Cc3nnn[n-]3)n2C)[C@@H]1c1ccccc1 ZINC001339603631 870575427 /nfs/dbraw/zinc/57/54/27/870575427.db2.gz NQUMNTKAGMOXFT-ABAIWWIYSA-N -1 1 324.392 1.507 20 0 DDADMM C[C@@H]1CCN(c2nnc(Cc3nn[n-]n3)n2C)[C@@H]1c1ccccc1 ZINC001339603631 870575441 /nfs/dbraw/zinc/57/54/41/870575441.db2.gz NQUMNTKAGMOXFT-ABAIWWIYSA-N -1 1 324.392 1.507 20 0 DDADMM CCc1nc(OC)ccc1[N-]S(=O)(=O)c1ccc(O)c(N)c1 ZINC001203589820 870655733 /nfs/dbraw/zinc/65/57/33/870655733.db2.gz NPTBJVKXQDPBMO-UHFFFAOYSA-N -1 1 323.374 1.741 20 0 DDADMM O=C(NCc1cc2n(n1)CCCO2)c1ncc2ccccc2c1[O-] ZINC001298740975 870698683 /nfs/dbraw/zinc/69/86/83/870698683.db2.gz FQEFAVHDDHLWMO-UHFFFAOYSA-N -1 1 324.340 1.849 20 0 DDADMM O=C(NCCC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1CC12CC2 ZINC001317430791 870820188 /nfs/dbraw/zinc/82/01/88/870820188.db2.gz BFVQUFXFGZOIQB-NEPJUHHUSA-N -1 1 319.409 1.171 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1cc(C)ccc1F ZINC001340049668 870862525 /nfs/dbraw/zinc/86/25/25/870862525.db2.gz UYISIVVNNOTZPH-UHFFFAOYSA-N -1 1 316.344 1.562 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1cc(C)ccc1F ZINC001340049668 870862535 /nfs/dbraw/zinc/86/25/35/870862535.db2.gz UYISIVVNNOTZPH-UHFFFAOYSA-N -1 1 316.344 1.562 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCC[C@@H]1c1ccccc1 ZINC001340050409 870863079 /nfs/dbraw/zinc/86/30/79/870863079.db2.gz ZRLLLSHKOHEXAS-GFCCVEGCSA-N -1 1 310.365 1.820 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCC[C@@H]1c1ccccc1 ZINC001340050409 870863084 /nfs/dbraw/zinc/86/30/84/870863084.db2.gz ZRLLLSHKOHEXAS-GFCCVEGCSA-N -1 1 310.365 1.820 20 0 DDADMM Cc1ccc(-c2nnc(CS(=O)(=O)c3ncn[n-]3)o2)cc1 ZINC001340156833 870925096 /nfs/dbraw/zinc/92/50/96/870925096.db2.gz OSFVZLGKLGNWQR-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM Cc1ccc(-c2nnc(CS(=O)(=O)c3nc[n-]n3)o2)cc1 ZINC001340156833 870925107 /nfs/dbraw/zinc/92/51/07/870925107.db2.gz OSFVZLGKLGNWQR-UHFFFAOYSA-N -1 1 305.319 1.137 20 0 DDADMM CC(C)=C[C@@H]1[C@H](C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)C1(C)C ZINC001317608116 871193427 /nfs/dbraw/zinc/19/34/27/871193427.db2.gz NJKICLRYEPHVEQ-DGCLKSJQSA-N -1 1 321.425 1.297 20 0 DDADMM C[C@H](Cc1ccco1)Oc1cc(=O)[n-]c(N2CCOCC2)n1 ZINC001226727928 882615982 /nfs/dbraw/zinc/61/59/82/882615982.db2.gz JVSMLXDFOWXLHT-LLVKDONJSA-N -1 1 305.334 1.622 20 0 DDADMM O=C(CNC(=O)c1ccc(C(F)(F)F)c([O-])c1)N1CCCC1 ZINC001361912141 882626878 /nfs/dbraw/zinc/62/68/78/882626878.db2.gz LPGNKJASECTISZ-UHFFFAOYSA-N -1 1 316.279 1.763 20 0 DDADMM C[C@H]1CCCN1c1nnc(-c2nnn[n-]2)n1Cc1cccs1 ZINC001343486147 872643794 /nfs/dbraw/zinc/64/37/94/872643794.db2.gz DGNRGTFDPDEAQK-VIFPVBQESA-N -1 1 316.394 1.557 20 0 DDADMM C[C@H]1CCCN1c1nnc(-c2nn[n-]n2)n1Cc1cccs1 ZINC001343486147 872643804 /nfs/dbraw/zinc/64/38/04/872643804.db2.gz DGNRGTFDPDEAQK-VIFPVBQESA-N -1 1 316.394 1.557 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001414066631 872718681 /nfs/dbraw/zinc/71/86/81/872718681.db2.gz LJSHGAURYHYDFS-DCAQKATOSA-N -1 1 323.397 1.388 20 0 DDADMM CCOCCCn1c(-c2nnn[n-]2)nnc1N(C)[C@H](C)C1CC1 ZINC001344467681 873015262 /nfs/dbraw/zinc/01/52/62/873015262.db2.gz JJUXWHBOUZUYLQ-SNVBAGLBSA-N -1 1 320.401 1.120 20 0 DDADMM CCOCCCn1c(-c2nn[n-]n2)nnc1N(C)[C@H](C)C1CC1 ZINC001344467681 873015282 /nfs/dbraw/zinc/01/52/82/873015282.db2.gz JJUXWHBOUZUYLQ-SNVBAGLBSA-N -1 1 320.401 1.120 20 0 DDADMM CC[C@@H](NC(=O)CCc1nn[n-]n1)c1ccc2c(c1)OCCO2 ZINC001361954212 882702792 /nfs/dbraw/zinc/70/27/92/882702792.db2.gz VNYFSMWVRJHEID-LLVKDONJSA-N -1 1 317.349 1.171 20 0 DDADMM COc1ccc(CCCCC(=O)OCc2nn[n-]n2)cc1OC ZINC001345156204 873277581 /nfs/dbraw/zinc/27/75/81/873277581.db2.gz BIQMQLCJVCWDKG-UHFFFAOYSA-N -1 1 320.349 1.673 20 0 DDADMM O=C(NC1CCN(CC(F)(F)F)CC1)c1ccc([O-])cn1 ZINC001362246115 883399166 /nfs/dbraw/zinc/39/91/66/883399166.db2.gz XBHINVRKASWNFI-UHFFFAOYSA-N -1 1 303.284 1.544 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CC[C@H]2Oc2cnc[n-]c2=O)C1 ZINC001227049180 882794805 /nfs/dbraw/zinc/79/48/05/882794805.db2.gz QSQORGFUIOJWOZ-LLVKDONJSA-N -1 1 307.350 1.961 20 0 DDADMM COC[C@H](C)OC[C@@H](C)OC[C@H](C)Oc1cnc[n-]c1=O ZINC001227049774 882796283 /nfs/dbraw/zinc/79/62/83/882796283.db2.gz XCDFUZVAVRRBSO-TUAOUCFPSA-N -1 1 300.355 1.406 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H]1CCCC(C)(C)O1 ZINC001347477539 874156704 /nfs/dbraw/zinc/15/67/04/874156704.db2.gz GJADLCPSIMVQGM-SECBINFHSA-N -1 1 311.407 1.857 20 0 DDADMM O=C(c1c(F)ccnc1Cl)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001347659748 874231646 /nfs/dbraw/zinc/23/16/46/874231646.db2.gz IGVKULUESDPVAG-QMMMGPOBSA-N -1 1 324.747 1.482 20 0 DDADMM CCCC(=O)NC[C@@H]1CCCN(C(=O)c2ccc([O-])cn2)C1 ZINC001362013947 882824613 /nfs/dbraw/zinc/82/46/13/882824613.db2.gz ZKRVNTWWQYBCQT-LBPRGKRZSA-N -1 1 305.378 1.556 20 0 DDADMM O=C(CC[C@@H]1CC1(Cl)Cl)N1CC[C@H](c2nn[n-]n2)C1 ZINC001349001984 874919051 /nfs/dbraw/zinc/91/90/51/874919051.db2.gz HUFDSSOKMFKCJX-JGVFFNPUSA-N -1 1 304.181 1.490 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@H](c2ccncc2)C1 ZINC001349856071 875415445 /nfs/dbraw/zinc/41/54/45/875415445.db2.gz AQFMAJKDYXPHEG-NSHDSACASA-N -1 1 316.386 1.804 20 0 DDADMM C[C@@H]1C[C@H](C)N(C(=O)CCCCc2cn[nH]n2)[C@@H](C)[C@H]1C(=O)[O-] ZINC001349986576 875486476 /nfs/dbraw/zinc/48/64/76/875486476.db2.gz PSTSZIKMUCSBAE-YXMPFFBPSA-N -1 1 322.409 1.864 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N(C1CC1)C1CCCC1 ZINC001362058862 882935404 /nfs/dbraw/zinc/93/54/04/882935404.db2.gz YGQPLNPLWOGFGQ-UHFFFAOYSA-N -1 1 303.362 1.451 20 0 DDADMM CN(C)c1ccc(CC[N-]S(=O)(=O)c2ccns2)cc1 ZINC001350056956 875518195 /nfs/dbraw/zinc/51/81/95/875518195.db2.gz BSTXAPMCGWVTER-UHFFFAOYSA-N -1 1 311.432 1.730 20 0 DDADMM CCN(Cc1ccc(OC)cc1)c1nnc(-c2nnn[n-]2)n1C ZINC001350430484 875686098 /nfs/dbraw/zinc/68/60/98/875686098.db2.gz KPRVLLYQXMQUBB-UHFFFAOYSA-N -1 1 314.353 1.030 20 0 DDADMM CCN(Cc1ccc(OC)cc1)c1nnc(-c2nn[n-]n2)n1C ZINC001350430484 875686100 /nfs/dbraw/zinc/68/61/00/875686100.db2.gz KPRVLLYQXMQUBB-UHFFFAOYSA-N -1 1 314.353 1.030 20 0 DDADMM CC/C(C)=C\C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001350677854 875829127 /nfs/dbraw/zinc/82/91/27/875829127.db2.gz BELBEKBYXVGMIA-UKVQZPPCSA-N -1 1 317.389 1.816 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](C1CC1)[C@@H]1CCCOC1 ZINC001350679569 875830914 /nfs/dbraw/zinc/83/09/14/875830914.db2.gz IBSSIIMXTJMQCW-PWSUYJOCSA-N -1 1 323.418 1.714 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3cccc(N(C)C)c3)no2)[n-]n1 ZINC001213463922 875946176 /nfs/dbraw/zinc/94/61/76/875946176.db2.gz RZHDJGWKAKDQTH-UHFFFAOYSA-N -1 1 313.317 1.979 20 0 DDADMM CC(C)[C@@H](CNC(=O)[C@@H]1CCCC1(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001378987261 876081984 /nfs/dbraw/zinc/08/19/84/876081984.db2.gz ZQRISZXCXVYKLT-NWDGAFQWSA-N -1 1 323.441 1.567 20 0 DDADMM Cc1nc(C[N-]S(=O)(=O)c2c(F)c(F)cc(F)c2F)n[nH]1 ZINC001213955612 876112199 /nfs/dbraw/zinc/11/21/99/876112199.db2.gz UJNNRLCKUZWEAN-UHFFFAOYSA-N -1 1 324.259 1.148 20 0 DDADMM C/C(=C\C(=O)N(C)CCCNC(=O)c1ncccc1[O-])C1CC1 ZINC001351406564 876232960 /nfs/dbraw/zinc/23/29/60/876232960.db2.gz KDSSKJCXQYAOMM-VAWYXSNFSA-N -1 1 317.389 1.722 20 0 DDADMM CC1(C)CC(Oc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)CC(C)(C)O1 ZINC001227432666 883013835 /nfs/dbraw/zinc/01/38/35/883013835.db2.gz PZDIPZJMZUMSSP-UHFFFAOYSA-N -1 1 308.338 1.879 20 0 DDADMM COc1ccccc1[C@@H](C)Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1 ZINC001227433305 883015377 /nfs/dbraw/zinc/01/53/77/883015377.db2.gz BPQQKRAYMLCQMF-SSDOTTSWSA-N -1 1 302.290 1.913 20 0 DDADMM COc1ccccc1[C@@H](C)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227433305 883015393 /nfs/dbraw/zinc/01/53/93/883015393.db2.gz BPQQKRAYMLCQMF-SSDOTTSWSA-N -1 1 302.290 1.913 20 0 DDADMM O=S(=O)([N-]Cc1ncc[nH]1)c1ncccc1Br ZINC001364830440 889499132 /nfs/dbraw/zinc/49/91/32/889499132.db2.gz YHXNKJKLQOMYRL-UHFFFAOYSA-N -1 1 317.168 1.046 20 0 DDADMM Cc1ccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)cc1-n1cccc1 ZINC001352595883 876823644 /nfs/dbraw/zinc/82/36/44/876823644.db2.gz HYIPKRQHAUQZLQ-AWEZNQCLSA-N -1 1 322.372 1.929 20 0 DDADMM COc1ncc(Nc2cccc(F)n2)cc1[N-]S(C)(=O)=O ZINC001216152485 876880875 /nfs/dbraw/zinc/88/08/75/876880875.db2.gz WGKYYLJFMHKWFW-UHFFFAOYSA-N -1 1 312.326 1.739 20 0 DDADMM CC(C)(C)OCCCC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001352792682 876934462 /nfs/dbraw/zinc/93/44/62/876934462.db2.gz AWBSIAZWDIMSEJ-GFCCVEGCSA-N -1 1 309.414 1.576 20 0 DDADMM CC(C)(C)c1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)nc1 ZINC001362128652 883111711 /nfs/dbraw/zinc/11/17/11/883111711.db2.gz ZKZDIBKXCBQYOO-GFCCVEGCSA-N -1 1 316.365 1.106 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(Cl)cc1 ZINC001379597837 877477151 /nfs/dbraw/zinc/47/71/51/877477151.db2.gz PNOWHQFLNUPRBJ-QMMMGPOBSA-N -1 1 309.757 1.072 20 0 DDADMM CC[C@@H](C)OCC(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001395568149 912294566 /nfs/dbraw/zinc/29/45/66/912294566.db2.gz DFEGQMIFKHTHAS-VXGBXAGGSA-N -1 1 323.393 1.179 20 0 DDADMM O=C(NCCN(Cc1n[nH]c(=O)[n-]1)C1CCCCCC1)C1CC1 ZINC001380182411 878989954 /nfs/dbraw/zinc/98/99/54/878989954.db2.gz HLGVIKGCVDDSHA-UHFFFAOYSA-N -1 1 321.425 1.561 20 0 DDADMM c1cc(-c2nnn[n-]2)ncc1-c1cnc(N2CCCCC2)nc1 ZINC001222123044 880269034 /nfs/dbraw/zinc/26/90/34/880269034.db2.gz KJHJCGSKPKHLMW-UHFFFAOYSA-N -1 1 308.349 1.709 20 0 DDADMM c1cc(-c2nn[n-]n2)ncc1-c1cnc(N2CCCCC2)nc1 ZINC001222123044 880269051 /nfs/dbraw/zinc/26/90/51/880269051.db2.gz KJHJCGSKPKHLMW-UHFFFAOYSA-N -1 1 308.349 1.709 20 0 DDADMM Cc1ncc(CN(C)CCCN(C)C(=O)c2n[nH]c(C)c2[O-])o1 ZINC001380951362 880733421 /nfs/dbraw/zinc/73/34/21/880733421.db2.gz PNKKLJSKHJETGI-UHFFFAOYSA-N -1 1 321.381 1.314 20 0 DDADMM C[C@@H]1CCN(C(=O)OC(C)(C)C)CC[C@H]1OCc1nn[n-]n1 ZINC001223029233 880790856 /nfs/dbraw/zinc/79/08/56/880790856.db2.gz IGKPGBJHRRVNHU-GHMZBOCLSA-N -1 1 311.386 1.752 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1C[C@@H](C)Cc2c[nH]nc21)c1nn[n-]n1 ZINC001362210065 883306793 /nfs/dbraw/zinc/30/67/93/883306793.db2.gz ISXYYOWWTACJDE-LSJOCFKGSA-N -1 1 303.370 1.246 20 0 DDADMM Cc1nc(N(C)C)sc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362209736 883307140 /nfs/dbraw/zinc/30/71/40/883307140.db2.gz QKQHDGFVWCOQNO-VIFPVBQESA-N -1 1 321.410 1.050 20 0 DDADMM COC(=O)[C@H](c1ccccc1)N(C)C(=O)c1ccc([O-])cn1 ZINC001362218205 883327705 /nfs/dbraw/zinc/32/77/05/883327705.db2.gz NNSAXIXQMVXALJ-AWEZNQCLSA-N -1 1 300.314 1.774 20 0 DDADMM O=C(c1ccc([O-])cn1)N1CC[C@@](O)(c2ccc(F)cc2)C1 ZINC001362245111 883397562 /nfs/dbraw/zinc/39/75/62/883397562.db2.gz PCDDFFVMDXZHQO-INIZCTEOSA-N -1 1 302.305 1.660 20 0 DDADMM CSc1ncc(C(=O)NCCc2ccc(C)nc2)c(=O)[n-]1 ZINC001362281973 883474409 /nfs/dbraw/zinc/47/44/09/883474409.db2.gz CHGABGDRLNZVLN-UHFFFAOYSA-N -1 1 304.375 1.580 20 0 DDADMM CSc1ncc(C(=O)N[C@@H](CO)C2CCCCC2)c(=O)[n-]1 ZINC001362307493 883529431 /nfs/dbraw/zinc/52/94/31/883529431.db2.gz IILYEYZEBXWEKO-NSHDSACASA-N -1 1 311.407 1.575 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](Oc1cnnc(=S)[n-]1)C(F)(F)F ZINC001228638454 883586124 /nfs/dbraw/zinc/58/61/24/883586124.db2.gz GSBOMRZIBGHFNW-VDTYLAMSSA-N -1 1 311.285 1.669 20 0 DDADMM C[C@@H](CNC(=O)c1ccc2ccc(O)cc2c1[O-])S(C)(=O)=O ZINC001362324569 883566790 /nfs/dbraw/zinc/56/67/90/883566790.db2.gz DAXWSXVFTOFTKM-VIFPVBQESA-N -1 1 323.370 1.414 20 0 DDADMM O=C(c1n[nH]c2c1CN(Cc1ncccc1[O-])C2)N1CC=CC1 ZINC001277319719 883606891 /nfs/dbraw/zinc/60/68/91/883606891.db2.gz WQZLZTVGGLLMQY-UHFFFAOYSA-N -1 1 311.345 1.038 20 0 DDADMM CCOC(=O)[C@H](C)[C@@H](C)NC(=O)c1cnc(SC)[n-]c1=O ZINC001362352245 883627730 /nfs/dbraw/zinc/62/77/30/883627730.db2.gz JYZGXPILRPKREH-HTQZYQBOSA-N -1 1 313.379 1.222 20 0 DDADMM CCC[C@H](NC(=O)[C@H](CCCO)c1ccccc1)c1nn[n-]n1 ZINC001362393251 883707728 /nfs/dbraw/zinc/70/77/28/883707728.db2.gz NYZQQWFKWVIXMR-KGLIPLIRSA-N -1 1 317.393 1.713 20 0 DDADMM C[C@@H](CON)Oc1cc(O)ccc1C(=O)c1ccc([O-])cc1 ZINC001229298593 883910697 /nfs/dbraw/zinc/91/06/97/883910697.db2.gz YVOHNPCUQJAHHT-JTQLQIEISA-N -1 1 303.314 1.986 20 0 DDADMM CCC(CC)(NC(=O)[C@H]1[C@@H]2c3ccccc3C[C@H]12)c1nn[n-]n1 ZINC001362623738 884251401 /nfs/dbraw/zinc/25/14/01/884251401.db2.gz HMDJZYPAZDXDBW-BFHYXJOUSA-N -1 1 311.389 1.917 20 0 DDADMM CCn1ncc(Cl)c1C(=O)NC(CC)(CC)c1nn[n-]n1 ZINC001362625902 884256164 /nfs/dbraw/zinc/25/61/64/884256164.db2.gz PQIQQYXPVSYLEF-UHFFFAOYSA-N -1 1 311.777 1.515 20 0 DDADMM CCc1ccc2c(c1)[C@H](NC(=O)CCCc1nn[n-]n1)CCO2 ZINC001362629577 884265867 /nfs/dbraw/zinc/26/58/67/884265867.db2.gz UXBNRWLVDSSLRL-CYBMUJFWSA-N -1 1 315.377 1.725 20 0 DDADMM O=C(CCN1CC[C@H](F)C1)Nc1cccc(-c2n[nH]c(=O)[n-]2)c1 ZINC001362637195 884287680 /nfs/dbraw/zinc/28/76/80/884287680.db2.gz FESPQYWGSLHZQI-NSHDSACASA-N -1 1 319.340 1.550 20 0 DDADMM CCCn1ccc(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)c1 ZINC001362642802 884302726 /nfs/dbraw/zinc/30/27/26/884302726.db2.gz GZJLXWOZQCZLBI-UHFFFAOYSA-N -1 1 306.322 1.038 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2cc(CC)on2)n[n-]1 ZINC001362663093 884356504 /nfs/dbraw/zinc/35/65/04/884356504.db2.gz YGFCIXHGULPDOP-SSDOTTSWSA-N -1 1 307.310 1.023 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2cc(CC)on2)[n-]1 ZINC001362663093 884356521 /nfs/dbraw/zinc/35/65/21/884356521.db2.gz YGFCIXHGULPDOP-SSDOTTSWSA-N -1 1 307.310 1.023 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2cc(CC)on2)n1 ZINC001362663093 884356540 /nfs/dbraw/zinc/35/65/40/884356540.db2.gz YGFCIXHGULPDOP-SSDOTTSWSA-N -1 1 307.310 1.023 20 0 DDADMM C[C@@]1(F)CCCN(C(=O)c2nc[n-]c(=O)c2Br)C1 ZINC001362740556 884524663 /nfs/dbraw/zinc/52/46/63/884524663.db2.gz LHFHBMXEZOIPRX-LLVKDONJSA-N -1 1 318.146 1.909 20 0 DDADMM COC(=O)c1nc(NC(=O)c2cnncc2[O-])sc1C(C)C ZINC001362795777 884658817 /nfs/dbraw/zinc/65/88/17/884658817.db2.gz CGHUVPKIYJRKNP-UHFFFAOYSA-N -1 1 322.346 1.801 20 0 DDADMM CC[C@H](C)Oc1cccc(NC(=O)CCCc2nn[n-]n2)n1 ZINC001362800118 884672699 /nfs/dbraw/zinc/67/26/99/884672699.db2.gz RWAGNQSGMSSCGJ-JTQLQIEISA-N -1 1 304.354 1.733 20 0 DDADMM CS(=O)(=O)c1cccc(CNC(=O)c2cccc([O-])c2F)c1 ZINC001362825249 884729333 /nfs/dbraw/zinc/72/93/33/884729333.db2.gz BDLZMWNRHNEGIA-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM Cc1nn(C)c(C)c1[C@H](C)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001362828972 884740338 /nfs/dbraw/zinc/74/03/38/884740338.db2.gz DIUZKYSGJXBEAH-JTQLQIEISA-N -1 1 319.413 1.338 20 0 DDADMM O=C(CCCc1cc(F)ccc1F)NC1(c2nn[n-]n2)CCC1 ZINC001362834314 884753687 /nfs/dbraw/zinc/75/36/87/884753687.db2.gz JFCKHUGCPLCVSO-UHFFFAOYSA-N -1 1 321.331 1.996 20 0 DDADMM CN(CC(C)(C)CNC(=O)c1ncccc1[O-])C(=O)C(F)F ZINC001382826728 884758731 /nfs/dbraw/zinc/75/87/31/884758731.db2.gz IZBBVDRIFULHPW-UHFFFAOYSA-N -1 1 315.320 1.267 20 0 DDADMM CSc1ncc(C(=O)NC2Cc3ccccc3C2)c(=O)[n-]1 ZINC001362856252 884808873 /nfs/dbraw/zinc/80/88/73/884808873.db2.gz DWJRZOSFEZPMAV-UHFFFAOYSA-N -1 1 301.371 1.801 20 0 DDADMM COC[C@@H](NC(=O)c1oc(C(F)F)cc1C)c1nn[n-]n1 ZINC001362896842 884916581 /nfs/dbraw/zinc/91/65/81/884916581.db2.gz DTWUQSQVPHGOGC-ZCFIWIBFSA-N -1 1 301.253 1.156 20 0 DDADMM CO[C@@H](C)CCC(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001382941723 885005237 /nfs/dbraw/zinc/00/52/37/885005237.db2.gz FTORJZQPYPAKQB-NEPJUHHUSA-N -1 1 323.393 1.179 20 0 DDADMM CC1(C)C[C@](C)(NC(=O)c2cnc(C3CC3)[n-]c2=O)C(=O)O1 ZINC001362929497 885011923 /nfs/dbraw/zinc/01/19/23/885011923.db2.gz HVDZGUMXTAEBGI-HNNXBMFYSA-N -1 1 305.334 1.274 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC2(OC)CCC2)n[n-]1 ZINC001362939714 885037907 /nfs/dbraw/zinc/03/79/07/885037907.db2.gz UNWPJAHOFCNSSS-VIFPVBQESA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC2(OC)CCC2)[n-]1 ZINC001362939714 885037923 /nfs/dbraw/zinc/03/79/23/885037923.db2.gz UNWPJAHOFCNSSS-VIFPVBQESA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC2(OC)CCC2)n1 ZINC001362939714 885037938 /nfs/dbraw/zinc/03/79/38/885037938.db2.gz UNWPJAHOFCNSSS-VIFPVBQESA-N -1 1 310.354 1.118 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)c2cnc(SC)[n-]c2=O)C12CCC2 ZINC001363010700 885224609 /nfs/dbraw/zinc/22/46/09/885224609.db2.gz ZCJPEKRRTKUVAK-UWVGGRQHSA-N -1 1 309.391 1.592 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1C[C@@H](C)n2ncnc21 ZINC001363052274 885340234 /nfs/dbraw/zinc/34/02/34/885340234.db2.gz KOLKFHXNWIBEJJ-HTRCEHHLSA-N -1 1 320.378 1.240 20 0 DDADMM C[C@@H](NC(=O)Cc1ccc([O-])c(Cl)c1)c1nccc(N)n1 ZINC001363070078 885387774 /nfs/dbraw/zinc/38/77/74/885387774.db2.gz FJNUQLIRBWWARC-MRVPVSSYSA-N -1 1 306.753 1.838 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)Nc1ccnc(OCCO)c1 ZINC001363126254 885530063 /nfs/dbraw/zinc/53/00/63/885530063.db2.gz SWQULFRRTKWOPC-UHFFFAOYSA-N -1 1 322.748 1.993 20 0 DDADMM CCNc1nc(C)c(C(=O)NC(CC)(CC)c2nn[n-]n2)s1 ZINC001363135396 885552120 /nfs/dbraw/zinc/55/21/20/885552120.db2.gz IWEATUSTADCQLY-UHFFFAOYSA-N -1 1 323.426 1.842 20 0 DDADMM CC(=O)NCc1ccc(CNC(=O)c2ncc(C)cc2[O-])cc1 ZINC001363231762 885773671 /nfs/dbraw/zinc/77/36/71/885773671.db2.gz SXCDYIROSFVYQM-UHFFFAOYSA-N -1 1 313.357 1.662 20 0 DDADMM O=C1CN(Cc2ccc(Cl)c([O-])c2)CCN1C1CCOCC1 ZINC001231732621 885839587 /nfs/dbraw/zinc/83/95/87/885839587.db2.gz AAVPQGTZFIJEHJ-UHFFFAOYSA-N -1 1 324.808 1.869 20 0 DDADMM COc1ccc(CN2CCC[C@@]3(CNC(=O)O3)C2)cc1C(=O)[O-] ZINC001231753915 885856387 /nfs/dbraw/zinc/85/63/87/885856387.db2.gz NNAATHUVVGRMQI-MRXNPFEDSA-N -1 1 320.345 1.468 20 0 DDADMM NC(=O)[C@@H]1CCSC12CN(Cc1cc(F)c([O-])c(F)c1)C2 ZINC001277565393 885870074 /nfs/dbraw/zinc/87/00/74/885870074.db2.gz GKGJVLYPUZFGMG-VIFPVBQESA-N -1 1 314.357 1.463 20 0 DDADMM CC1(C)Cc2cccc(C(=O)NC3(c4nn[n-]n4)CCC3)c2O1 ZINC001363278914 885896956 /nfs/dbraw/zinc/89/69/56/885896956.db2.gz XBGVZAIUIRQBGA-UHFFFAOYSA-N -1 1 313.361 1.722 20 0 DDADMM Cn1cc([C@@H]2C[C@@H](NC(=O)c3ccc([O-])cn3)CCO2)cn1 ZINC001363344545 886082163 /nfs/dbraw/zinc/08/21/63/886082163.db2.gz MDLIETSHWZGZRI-FZMZJTMJSA-N -1 1 302.334 1.171 20 0 DDADMM O=C(N[C@@H]1Cc2cccnc2NC1=O)c1ccc([O-])cc1F ZINC001363366176 886143745 /nfs/dbraw/zinc/14/37/45/886143745.db2.gz YTFAWNGIDUGHBG-GFCCVEGCSA-N -1 1 301.277 1.220 20 0 DDADMM CC[C@@H](C(=O)NC1(c2nn[n-]n2)CCC1)c1ccc(OC)cc1 ZINC001363376015 886170059 /nfs/dbraw/zinc/17/00/59/886170059.db2.gz JUFZOIYTERXHLF-CYBMUJFWSA-N -1 1 315.377 1.898 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccc(C)nc2)n[n-]1 ZINC001363475901 886441865 /nfs/dbraw/zinc/44/18/65/886441865.db2.gz OFGBWEXTVLCTLF-SECBINFHSA-N -1 1 303.322 1.176 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccc(C)nc2)[n-]1 ZINC001363475901 886441876 /nfs/dbraw/zinc/44/18/76/886441876.db2.gz OFGBWEXTVLCTLF-SECBINFHSA-N -1 1 303.322 1.176 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccc(C)nc2)n1 ZINC001363475901 886441885 /nfs/dbraw/zinc/44/18/85/886441885.db2.gz OFGBWEXTVLCTLF-SECBINFHSA-N -1 1 303.322 1.176 20 0 DDADMM O=C(c1noc2c1CCCC2)N1CCC(c2nn[n-]n2)CC1 ZINC001363518060 886537666 /nfs/dbraw/zinc/53/76/66/886537666.db2.gz CHIVRFOWGGJNIA-UHFFFAOYSA-N -1 1 302.338 1.086 20 0 DDADMM CC(=O)Nc1cc(C(=O)NC2(c3nn[n-]n3)CCC2)ccc1C ZINC001363547516 886606685 /nfs/dbraw/zinc/60/66/85/886606685.db2.gz HADYFJAQEHYMSC-UHFFFAOYSA-N -1 1 314.349 1.276 20 0 DDADMM O=C(N[C@@H]1CCO[C@H]1c1ccc(=O)[nH]c1)c1ccc([O-])cn1 ZINC001363547840 886609576 /nfs/dbraw/zinc/60/95/76/886609576.db2.gz FNIAICBMEQIKIC-RISCZKNCSA-N -1 1 301.302 1.148 20 0 DDADMM [O-]c1cc(CN2CCN(c3cncnc3)CC2)cc(F)c1F ZINC001232974799 886705850 /nfs/dbraw/zinc/70/58/50/886705850.db2.gz AXKDOQUKFJAMOJ-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM COC[C@H](NC(=O)[C@H](C)c1cccc(Cl)c1)c1nn[n-]n1 ZINC001363591227 886713264 /nfs/dbraw/zinc/71/32/64/886713264.db2.gz SNKPEUFEYQTSDY-KCJUWKMLSA-N -1 1 309.757 1.461 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2ccc(O)cc2O)[n-]c1=O ZINC001363616609 886775817 /nfs/dbraw/zinc/77/58/17/886775817.db2.gz PBXJFJAXLATDDK-UHFFFAOYSA-N -1 1 319.317 1.267 20 0 DDADMM CCC[C@@H](O)CC(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001363651127 886889173 /nfs/dbraw/zinc/88/91/73/886889173.db2.gz JJADFPQSRVDLCL-LLVKDONJSA-N -1 1 323.393 1.627 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2c[nH]cc2C2CC2)n[n-]1 ZINC001363709810 887037936 /nfs/dbraw/zinc/03/79/36/887037936.db2.gz OBTASXWTGUUELU-UHFFFAOYSA-N -1 1 303.322 1.117 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2c[nH]cc2C2CC2)n1 ZINC001363709810 887037953 /nfs/dbraw/zinc/03/79/53/887037953.db2.gz OBTASXWTGUUELU-UHFFFAOYSA-N -1 1 303.322 1.117 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1OC[C@H](C)OCc1ccccc1 ZINC001233757578 887285014 /nfs/dbraw/zinc/28/50/14/887285014.db2.gz FFSCAKJKKLWYEW-NSHDSACASA-N -1 1 318.329 1.953 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCC(=O)C[C@H]2C)n[n-]1 ZINC001363890266 887480181 /nfs/dbraw/zinc/48/01/81/887480181.db2.gz XNEAXVFCWFNMJJ-WCABBAIRSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCC(=O)C[C@H]2C)[n-]1 ZINC001363890266 887480189 /nfs/dbraw/zinc/48/01/89/887480189.db2.gz XNEAXVFCWFNMJJ-WCABBAIRSA-N -1 1 322.365 1.164 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCC(=O)C[C@H]2C)n1 ZINC001363890266 887480201 /nfs/dbraw/zinc/48/02/01/887480201.db2.gz XNEAXVFCWFNMJJ-WCABBAIRSA-N -1 1 322.365 1.164 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)c1ncccc1C ZINC001363916737 887534824 /nfs/dbraw/zinc/53/48/24/887534824.db2.gz AKEBXCWHIJBJEI-MRVPVSSYSA-N -1 1 304.306 1.091 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)Cc2nocc2C)CCCCC1 ZINC001363929156 887559075 /nfs/dbraw/zinc/55/90/75/887559075.db2.gz NHEKRUAFXMGJHQ-UHFFFAOYSA-N -1 1 316.379 1.278 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2C[C@H](NC(=O)OC(C)(C)C)C2)c([O-])c1 ZINC001363934029 887567674 /nfs/dbraw/zinc/56/76/74/887567674.db2.gz GQOYAPMJPMWVSG-XYPYZODXSA-N -1 1 321.377 1.881 20 0 DDADMM CC(=O)c1ccc(CC[N-]S(=O)(=O)c2ccns2)cc1 ZINC001363973622 887643819 /nfs/dbraw/zinc/64/38/19/887643819.db2.gz MHFUDYVXRJSDAZ-UHFFFAOYSA-N -1 1 310.400 1.867 20 0 DDADMM CC(C)(C)n1cc(NC(=O)N2CCC(c3nn[n-]n3)CC2)cn1 ZINC001364099849 887916903 /nfs/dbraw/zinc/91/69/03/887916903.db2.gz XRFOBPJHKCCSIS-UHFFFAOYSA-N -1 1 318.385 1.563 20 0 DDADMM O=C(Cc1ccccc1O[C@@H]1CCOC1)Nc1c[n-][nH]c1=O ZINC001364156843 888042836 /nfs/dbraw/zinc/04/28/36/888042836.db2.gz XZUGYSABJLDQDS-LLVKDONJSA-N -1 1 303.318 1.464 20 0 DDADMM COc1ccc(F)c(NC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC001364315325 888363145 /nfs/dbraw/zinc/36/31/45/888363145.db2.gz PMMSGCNIQZRRFH-UHFFFAOYSA-N -1 1 320.328 1.759 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2nocc2C)[n-]c1=O ZINC001364415496 888584561 /nfs/dbraw/zinc/58/45/61/888584561.db2.gz IXUNQZYFFBOZTM-VIFPVBQESA-N -1 1 304.306 1.465 20 0 DDADMM Nc1cn[nH]c1[C@H]1CCN(C(=O)c2s[n-]c(=O)c2Cl)C1 ZINC001364438034 888637567 /nfs/dbraw/zinc/63/75/67/888637567.db2.gz CZDFUKZALHHTOL-YFKPBYRVSA-N -1 1 313.770 1.437 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C[C@@H]2CCC[C@@H](OC)C2)n[n-]1 ZINC001364504249 888789422 /nfs/dbraw/zinc/78/94/22/888789422.db2.gz HEGDTTXKKUZRBT-GHMZBOCLSA-N -1 1 324.381 1.193 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H]2CCC[C@@H](OC)C2)n1 ZINC001364504249 888789428 /nfs/dbraw/zinc/78/94/28/888789428.db2.gz HEGDTTXKKUZRBT-GHMZBOCLSA-N -1 1 324.381 1.193 20 0 DDADMM Cn1[n-]c(CN2CCc3nc[nH]c3C23CCCCC3)nc1=O ZINC001364547370 888900456 /nfs/dbraw/zinc/90/04/56/888900456.db2.gz UUHSRGCTLJOCQE-UHFFFAOYSA-N -1 1 302.382 1.049 20 0 DDADMM CC[C@H]1C[C@@H](CC(=O)N(Cc2nn[n-]n2)CC(C)C)CCO1 ZINC001364652220 889125731 /nfs/dbraw/zinc/12/57/31/889125731.db2.gz RTJDQKLIPRUMBS-STQMWFEESA-N -1 1 309.414 1.780 20 0 DDADMM Cc1c(C(=O)NC2(c3nn[n-]n3)CCC2)oc2c1C(=O)CCC2 ZINC001364661649 889147169 /nfs/dbraw/zinc/14/71/69/889147169.db2.gz FJXYSGKIKAEXGL-UHFFFAOYSA-N -1 1 315.333 1.429 20 0 DDADMM O=C(CSCc1ccc(F)cc1)NC1(c2nn[n-]n2)CCC1 ZINC001364665139 889154434 /nfs/dbraw/zinc/15/44/34/889154434.db2.gz ZWLSWBRHPSUVEJ-UHFFFAOYSA-N -1 1 321.381 1.768 20 0 DDADMM CC1=CC[C@@](C)(C(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)CC1 ZINC001278017821 889384506 /nfs/dbraw/zinc/38/45/06/889384506.db2.gz PTTVRFKLJZYEMV-MLGOLLRUSA-N -1 1 321.425 1.583 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H](C)c1cncc(F)c1 ZINC001364859372 889561898 /nfs/dbraw/zinc/56/18/98/889561898.db2.gz RGHSKTZVDJMVSR-ZETCQYMHSA-N -1 1 317.367 1.725 20 0 DDADMM O=C(N[C@@H]1C[C@@H](O)[C@@H](O)C1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001364893705 889622241 /nfs/dbraw/zinc/62/22/41/889622241.db2.gz VHOAMZHOOMTZAQ-IJRMOIDVSA-N -1 1 305.252 1.025 20 0 DDADMM NC(=O)CC1CC([N-]S(=O)(=O)c2cc3ccccc3o2)C1 ZINC001364961031 889765645 /nfs/dbraw/zinc/76/56/45/889765645.db2.gz RFRMGCAJXWNXEM-UHFFFAOYSA-N -1 1 308.359 1.365 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C1CC2(C1)COC(C)(C)C2 ZINC001364987087 889824918 /nfs/dbraw/zinc/82/49/18/889824918.db2.gz DFTQBSDDARPCFX-UHFFFAOYSA-N -1 1 315.395 1.191 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N(C)Cc2ccncc2)c1 ZINC001365017294 889900828 /nfs/dbraw/zinc/90/08/28/889900828.db2.gz VWHPIPJYYGSENY-UHFFFAOYSA-N -1 1 308.359 1.617 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@@H](C)[C@@H](C)C2)[n-]n1 ZINC001365377757 890691407 /nfs/dbraw/zinc/69/14/07/890691407.db2.gz GFYPJMDFFZGUPW-KXUCPTDWSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@@H](C)[C@@H](C)C2)n[n-]1 ZINC001365377757 890691412 /nfs/dbraw/zinc/69/14/12/890691412.db2.gz GFYPJMDFFZGUPW-KXUCPTDWSA-N -1 1 315.395 1.299 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)Nc2ccccc2)n[n-]1 ZINC001365435763 890793391 /nfs/dbraw/zinc/79/33/91/890793391.db2.gz DIASOWWDTSRKRI-SECBINFHSA-N -1 1 303.322 1.864 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)Nc2ccccc2)[n-]1 ZINC001365435763 890793409 /nfs/dbraw/zinc/79/34/09/890793409.db2.gz DIASOWWDTSRKRI-SECBINFHSA-N -1 1 303.322 1.864 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)Nc2ccccc2)n1 ZINC001365435763 890793420 /nfs/dbraw/zinc/79/34/20/890793420.db2.gz DIASOWWDTSRKRI-SECBINFHSA-N -1 1 303.322 1.864 20 0 DDADMM O=S(=O)([N-][C@@H](CO)c1ccc(F)cc1F)c1ccns1 ZINC001365444106 890805475 /nfs/dbraw/zinc/80/54/75/890805475.db2.gz VGEFUADBSOQJPU-JTQLQIEISA-N -1 1 320.342 1.433 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@H](C)CC(C)(C)OC ZINC001365673977 891292976 /nfs/dbraw/zinc/29/29/76/891292976.db2.gz YOZAHEOIWZBPGC-MRVPVSSYSA-N -1 1 308.425 1.634 20 0 DDADMM CC(=O)Nc1nc2nc[nH]c2c(-c2cccc(CC(=O)[O-])c2)n1 ZINC001242260369 891377743 /nfs/dbraw/zinc/37/77/43/891377743.db2.gz QZVIIEIZZUKPMU-UHFFFAOYSA-N -1 1 311.301 1.557 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H](C)c1csnn1 ZINC001365715232 891386341 /nfs/dbraw/zinc/38/63/41/891386341.db2.gz MOXBQLZUJPGKII-YFKPBYRVSA-N -1 1 321.815 1.273 20 0 DDADMM Cc1cc2c(c(-c3ccc4c(c3)CCNC4=O)n1)C(=O)[N-]C2=O ZINC001242323692 891389311 /nfs/dbraw/zinc/38/93/11/891389311.db2.gz NIJMMJDRZBQDLB-UHFFFAOYSA-N -1 1 307.309 1.227 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C(C)(C)c2ncc[nH]2)sn1 ZINC001365734669 891421436 /nfs/dbraw/zinc/42/14/36/891421436.db2.gz KUAWVQLGCUAJCS-UHFFFAOYSA-N -1 1 302.381 1.088 20 0 DDADMM COC(=O)c1nc2ccc(-c3ccnc(OC)c3OC)nc2[n-]1 ZINC001242960738 891562019 /nfs/dbraw/zinc/56/20/19/891562019.db2.gz FLMNYHZXSOTQEJ-UHFFFAOYSA-N -1 1 314.301 1.775 20 0 DDADMM COC(=O)Cc1ccccc1-c1nc(C)cc2c1C(=O)[N-]C2=O ZINC001243954027 891762626 /nfs/dbraw/zinc/76/26/26/891762626.db2.gz DTJLCXYAUIZKFM-UHFFFAOYSA-N -1 1 310.309 1.656 20 0 DDADMM COc1ncc(-c2ncc(F)cc2F)cc1[N-]S(C)(=O)=O ZINC001244792686 891927349 /nfs/dbraw/zinc/92/73/49/891927349.db2.gz UGCXGXHKJCBOSU-UHFFFAOYSA-N -1 1 315.301 1.802 20 0 DDADMM CCC[C@@H](C)CC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001365929626 891944810 /nfs/dbraw/zinc/94/48/10/891944810.db2.gz QQAAIAMRIDAGRM-CHWSQXEVSA-N -1 1 323.441 1.015 20 0 DDADMM NS(=O)(=O)c1ccc(-n2[n-]c(CC3CCCC3)cc2=O)cc1 ZINC001245932592 892275324 /nfs/dbraw/zinc/27/53/24/892275324.db2.gz SFTCZBAEMLRHNG-UHFFFAOYSA-N -1 1 321.402 1.958 20 0 DDADMM CCC[C@H](C)C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001366198062 892711924 /nfs/dbraw/zinc/71/19/24/892711924.db2.gz HOIDKBKXQMDADW-QWHCGFSZSA-N -1 1 323.441 1.015 20 0 DDADMM CS[C@@H](C)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001387652516 893547150 /nfs/dbraw/zinc/54/71/50/893547150.db2.gz FJYPRUPUAVUUJH-QWRGUYRKSA-N -1 1 323.418 1.260 20 0 DDADMM CC(C)(C)CC(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001387653807 893550697 /nfs/dbraw/zinc/55/06/97/893550697.db2.gz XYPXZXPPPAIQPM-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1oncc1C ZINC001388056505 894469723 /nfs/dbraw/zinc/46/97/23/894469723.db2.gz NRMYWZNHLSQUJA-SNVBAGLBSA-N -1 1 318.333 1.022 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CC(C)C1 ZINC001388059880 894478045 /nfs/dbraw/zinc/47/80/45/894478045.db2.gz XDEBCLDACFRYPN-MCIGGMRASA-N -1 1 305.378 1.458 20 0 DDADMM Cc1ccc(CCC(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)cc1 ZINC001374783872 913905134 /nfs/dbraw/zinc/90/51/34/913905134.db2.gz JPJWNGNZCZMKAE-GFCCVEGCSA-N -1 1 317.393 1.046 20 0 DDADMM CCC(CC)C(=O)NC[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001388399687 895154827 /nfs/dbraw/zinc/15/48/27/895154827.db2.gz QDWKWZWJNBHHQX-LLVKDONJSA-N -1 1 307.394 1.706 20 0 DDADMM CC(C)[C@H](C)C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001396522575 913979775 /nfs/dbraw/zinc/97/97/75/913979775.db2.gz RRBGSOSNVUMIMU-RWMBFGLXSA-N -1 1 319.405 1.704 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(NC[C@@H](O)c3ccc(F)c(F)c3)c2[nH]1 ZINC001253379679 895804861 /nfs/dbraw/zinc/80/48/61/895804861.db2.gz DIRBLDVAIUOTHT-MRVPVSSYSA-N -1 1 323.259 1.139 20 0 DDADMM CC[C@H](CNC(=O)C1(CC)CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001367141614 895986325 /nfs/dbraw/zinc/98/63/25/895986325.db2.gz VEBNQWMONPVZJI-GFCCVEGCSA-N -1 1 323.441 1.855 20 0 DDADMM Cc1cc(C)c(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])[nH]1 ZINC001389331351 896952265 /nfs/dbraw/zinc/95/22/65/896952265.db2.gz YLYLNXALWODYKS-NSHDSACASA-N -1 1 316.361 1.280 20 0 DDADMM C[C@@H](CC(=O)N[C@H](C)CNCc1n[nH]c(=O)[n-]1)CC(C)(C)C ZINC001367700914 897510579 /nfs/dbraw/zinc/51/05/79/897510579.db2.gz YOUJAGJDPVONFV-WDEREUQCSA-N -1 1 311.430 1.567 20 0 DDADMM C[C@H]1CC[C@H](C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)CC1 ZINC001367921568 898192743 /nfs/dbraw/zinc/19/27/43/898192743.db2.gz NSUBBSKMCYKHMI-DRZSPHRISA-N -1 1 321.425 1.321 20 0 DDADMM O=C(CCC(F)F)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001389909534 898263966 /nfs/dbraw/zinc/26/39/66/898263966.db2.gz TWNCVIHFBWOUFU-UHFFFAOYSA-N -1 1 313.304 1.211 20 0 DDADMM COC(=O)[C@@H]1CC[C@@H]([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC001258949000 898374918 /nfs/dbraw/zinc/37/49/18/898374918.db2.gz FPNNUXKCQCLLRH-PSASIEDQSA-N -1 1 319.329 1.585 20 0 DDADMM Cc1cnc(C[N-]S(=O)(=O)c2ccc(F)c(F)c2F)nc1 ZINC001259073977 898452915 /nfs/dbraw/zinc/45/29/15/898452915.db2.gz ZZAJSOLCOFPXAG-UHFFFAOYSA-N -1 1 317.292 1.681 20 0 DDADMM O=C(Nc1ncc(-c2cnccn2)s1)c1cnncc1[O-] ZINC001299619796 898778087 /nfs/dbraw/zinc/77/80/87/898778087.db2.gz VCEPYFLDJIRJEG-UHFFFAOYSA-N -1 1 300.303 1.348 20 0 DDADMM COCCS(=O)(=O)[N-]c1cc(Cl)cc(C(=O)OC)c1C ZINC001259972620 898997534 /nfs/dbraw/zinc/99/75/34/898997534.db2.gz NVXZNRQDWXSXIE-UHFFFAOYSA-N -1 1 321.782 1.823 20 0 DDADMM O=S(=O)([N-]CC1OCCO1)c1cc(Cl)c(F)cc1F ZINC001260204454 899088498 /nfs/dbraw/zinc/08/84/98/899088498.db2.gz CCQAVHKYIUKCFD-UHFFFAOYSA-N -1 1 313.709 1.269 20 0 DDADMM CN1CC[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)C1=O ZINC001260288190 899112877 /nfs/dbraw/zinc/11/28/77/899112877.db2.gz JLEPYFJGZHHIKP-VIFPVBQESA-N -1 1 324.736 1.127 20 0 DDADMM O=S(=O)([N-]c1ccc(F)nc1F)c1cccc2nonc21 ZINC001260436020 899140315 /nfs/dbraw/zinc/14/03/15/899140315.db2.gz IADDPLMOBITMGZ-UHFFFAOYSA-N -1 1 312.257 1.697 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccc(C)s1)C(F)(F)F ZINC001260687685 899218424 /nfs/dbraw/zinc/21/84/24/899218424.db2.gz WVRPAXVIYZHAIK-SSDOTTSWSA-N -1 1 317.310 1.439 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)Cc1ccoc1 ZINC001390375042 899282994 /nfs/dbraw/zinc/28/29/94/899282994.db2.gz DHVURXXUOBTBTQ-GFCCVEGCSA-N -1 1 317.345 1.248 20 0 DDADMM CC[C@@H](CNC(=O)c1ocnc1C)NC(=O)c1ncccc1[O-] ZINC001390387604 899310378 /nfs/dbraw/zinc/31/03/78/899310378.db2.gz QAIVQOLQPPEYOU-JTQLQIEISA-N -1 1 318.333 1.022 20 0 DDADMM C[C@@H](C(=O)[N-]OCCN1CCCC1=O)c1ccc(F)c(F)c1 ZINC001261754581 899749593 /nfs/dbraw/zinc/74/95/93/899749593.db2.gz FCUNFCJLHJAJIO-SNVBAGLBSA-N -1 1 312.316 1.739 20 0 DDADMM C[C@@H](NC(=O)COCc1nn[n-]n1)c1ccc2ccccc2c1 ZINC001262159794 899992860 /nfs/dbraw/zinc/99/28/60/899992860.db2.gz JTARZNKTZNGFMC-LLVKDONJSA-N -1 1 311.345 1.747 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CCCC(F)(F)[C@H]1O ZINC001263843342 900756743 /nfs/dbraw/zinc/75/67/43/900756743.db2.gz WBOFENAOJIBMOQ-SVRRBLITSA-N -1 1 319.333 1.058 20 0 DDADMM Cc1nc2sccc2c(=O)n1[N-]C(=O)c1c(Cl)cnn1C ZINC001264308017 901032350 /nfs/dbraw/zinc/03/23/50/901032350.db2.gz XGVAJGZCYMFNOW-UHFFFAOYSA-N -1 1 323.765 1.537 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNC(=O)C(C)(C)C1CC1 ZINC001391246503 901316349 /nfs/dbraw/zinc/31/63/49/901316349.db2.gz PGVUKRPKYJIGRF-LLVKDONJSA-N -1 1 319.405 1.706 20 0 DDADMM CC(C)[C@H](C)C(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001391316002 901464991 /nfs/dbraw/zinc/46/49/91/901464991.db2.gz IXGGSBXJBNCLME-RYUDHWBXSA-N -1 1 309.414 1.131 20 0 DDADMM O=C(NCCCN(CCF)C1CC1)c1ccc2oc(=O)nc-2[n-]1 ZINC001265181187 901676332 /nfs/dbraw/zinc/67/63/32/901676332.db2.gz RECWVDSDUZAXAA-UHFFFAOYSA-N -1 1 322.340 1.482 20 0 DDADMM CN(CCN(C)C(=O)c1ncccc1[O-])C(=O)c1cccnc1 ZINC001391722935 902450374 /nfs/dbraw/zinc/45/03/74/902450374.db2.gz CZBYKRXVFWDRMX-UHFFFAOYSA-N -1 1 314.345 1.026 20 0 DDADMM C[C@H](CN[C@@H](C)c1ncccn1)N(C)C(=O)c1ncccc1[O-] ZINC001392050183 903169537 /nfs/dbraw/zinc/16/95/37/903169537.db2.gz NIEURQWMQAGNTQ-NEPJUHHUSA-N -1 1 315.377 1.389 20 0 DDADMM Cc1nc(-c2cccs2)sc1C(=O)N(C)c1nn[n-]n1 ZINC001279180543 903190954 /nfs/dbraw/zinc/19/09/54/903190954.db2.gz QBYYJZSXMVSBOV-UHFFFAOYSA-N -1 1 306.376 1.970 20 0 DDADMM CC/C(C)=C/C(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001280033782 903496349 /nfs/dbraw/zinc/49/63/49/903496349.db2.gz ZLGNHABDZATHBP-OBIHZWKSSA-N -1 1 307.398 1.195 20 0 DDADMM C[C@@H](CCCCNC(=O)C1(C)CC1)NC(=O)c1ncccc1[O-] ZINC001281562539 905034279 /nfs/dbraw/zinc/03/42/79/905034279.db2.gz HXTNFNPLXKONCC-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@@H](CNC(=O)c1cc(Cl)c[nH]1)NC(=O)c1ncccc1[O-] ZINC001392772007 905208682 /nfs/dbraw/zinc/20/86/82/905208682.db2.gz FOZVVJYLSHVLBK-QMMMGPOBSA-N -1 1 322.752 1.317 20 0 DDADMM O=C(NCCCNC(=O)c1ncccc1[O-])c1sccc1F ZINC001283231974 906951266 /nfs/dbraw/zinc/95/12/66/906951266.db2.gz CJUVELIJODMWNN-UHFFFAOYSA-N -1 1 323.349 1.538 20 0 DDADMM CC[C@H](C)C(=O)N[C@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001372878894 908484932 /nfs/dbraw/zinc/48/49/32/908484932.db2.gz UODDXXZYFVIVLJ-VHSXEESVSA-N -1 1 320.393 1.340 20 0 DDADMM Cc1conc1CN(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001394067835 908697287 /nfs/dbraw/zinc/69/72/87/908697287.db2.gz UYGRXYLUBCJSDA-LLVKDONJSA-N -1 1 304.350 1.334 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)C[C@H]1C=CCC1 ZINC001284514543 909122450 /nfs/dbraw/zinc/12/24/50/909122450.db2.gz JXXBWDCLODAMND-LBPRGKRZSA-N -1 1 303.362 1.332 20 0 DDADMM CCC[C@H](C)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001394270477 909225464 /nfs/dbraw/zinc/22/54/64/909225464.db2.gz WMHMBAYPDNRGBZ-YVEFUNNKSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@@H](F)C(=O)N(C)C[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001394873690 910794017 /nfs/dbraw/zinc/79/40/17/910794017.db2.gz HMWNFKPWGUYMAI-NWDGAFQWSA-N -1 1 323.368 1.598 20 0 DDADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)C(F)F ZINC001394875205 910799332 /nfs/dbraw/zinc/79/93/32/910799332.db2.gz MNYDVNCWYRQUMN-SECBINFHSA-N -1 1 313.304 1.115 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])N[C@H](C)c1ncccn1 ZINC001373748998 910848977 /nfs/dbraw/zinc/84/89/77/910848977.db2.gz KMMWLROPKGRPPE-NEPJUHHUSA-N -1 1 315.377 1.436 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)C(C)(F)F ZINC001394936842 910956963 /nfs/dbraw/zinc/95/69/63/910956963.db2.gz WXXFCBTUKPBHFI-YUMQZZPRSA-N -1 1 301.293 1.065 20 0 DDADMM CCCN(CCNC(=O)c1ccco1)C(=O)c1ncccc1[O-] ZINC001374076326 911816485 /nfs/dbraw/zinc/81/64/85/911816485.db2.gz YOPBPMHQEAWMAZ-UHFFFAOYSA-N -1 1 317.345 1.662 20 0 DDADMM CO[C@H](C)CC(=O)NCCC[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001286657863 912077282 /nfs/dbraw/zinc/07/72/82/912077282.db2.gz DBJSXMOHYMZIQP-VXGBXAGGSA-N -1 1 323.393 1.227 20 0 DDADMM CC(C)C(=O)NCC1(NCc2nc(=O)c3sccc3[n-]2)CC1 ZINC001375425352 915891647 /nfs/dbraw/zinc/89/16/47/915891647.db2.gz TXMTXIYKUABQCC-UHFFFAOYSA-N -1 1 320.418 1.791 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccc(Cl)[nH]1 ZINC001397415761 915979600 /nfs/dbraw/zinc/97/96/00/915979600.db2.gz OVLNVBMCFKDMIU-MRVPVSSYSA-N -1 1 322.752 1.317 20 0 DDADMM C[C@@H](C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)C1CCC1 ZINC001376745576 919242385 /nfs/dbraw/zinc/24/23/85/919242385.db2.gz IESSFNNBXRKTBL-SNVBAGLBSA-N -1 1 307.398 1.075 20 0 DDADMM CCCC[C@@H](CC)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001376903627 919726719 /nfs/dbraw/zinc/72/67/19/919726719.db2.gz YFGFYWMKIILALK-OLZOCXBDSA-N -1 1 323.441 1.807 20 0 DDADMM Cn1cc([C@@H](NC(=O)c2ncccc2[O-])C(F)(F)F)cn1 ZINC000278287670 214068203 /nfs/dbraw/zinc/06/82/03/214068203.db2.gz IREOYXMIVMJLPK-SNVBAGLBSA-N -1 1 300.240 1.554 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc(-c2nncs2)cc1 ZINC000436479614 229482400 /nfs/dbraw/zinc/48/24/00/229482400.db2.gz UYZGEVWLLLYICR-SECBINFHSA-N -1 1 313.404 1.982 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)CCCc3ccccn3)nc2n1 ZINC000622870968 365550435 /nfs/dbraw/zinc/55/04/35/365550435.db2.gz MYDNPRDESVUKLW-UHFFFAOYSA-N -1 1 312.333 1.082 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC[C@@H](C)[C@H](C)C3)nc2n1 ZINC000622869988 365550712 /nfs/dbraw/zinc/55/07/12/365550712.db2.gz OFJZUUQYPYUEPP-FXPVBKGRSA-N -1 1 303.366 1.737 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@H]3C[C@@H]3C3CCCC3)nc2n1 ZINC000622870332 365550845 /nfs/dbraw/zinc/55/08/45/365550845.db2.gz YIFSMCHEYIHFAN-MNOVXSKESA-N -1 1 301.350 1.491 20 0 DDADMM O=C(NC1CC1)c1[nH]nnc1NC(=O)[C@@H]1CCCC2(CC2)C1 ZINC000622882855 365555472 /nfs/dbraw/zinc/55/54/72/365555472.db2.gz DBAVQMWFCCBJCC-SECBINFHSA-N -1 1 303.366 1.606 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ccc(C4CC4)cc3)nc2n1 ZINC000622992486 365585732 /nfs/dbraw/zinc/58/57/32/365585732.db2.gz MGJHYCNGYUQBHM-UHFFFAOYSA-N -1 1 323.356 1.532 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cc(C)oc3C)nc2n1 ZINC000622996026 365589210 /nfs/dbraw/zinc/58/92/10/365589210.db2.gz ZNBHVPKHWRRQSN-UHFFFAOYSA-N -1 1 301.306 1.442 20 0 DDADMM Cc1ccc(CN2C[C@@H](C(=O)[N-]OCC(C)(C)O)CC2=O)cc1 ZINC000278557364 214236335 /nfs/dbraw/zinc/23/63/35/214236335.db2.gz HPAXGCBFTZNPJV-AWEZNQCLSA-N -1 1 320.389 1.162 20 0 DDADMM O=C(c1ccc(CO)cc1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614387290 361831191 /nfs/dbraw/zinc/83/11/91/361831191.db2.gz MUYAYOZETCVLJX-GFCCVEGCSA-N -1 1 303.318 1.287 20 0 DDADMM Cc1cc(C)n([C@H](C)C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)n1 ZINC000614388442 361832160 /nfs/dbraw/zinc/83/21/60/361832160.db2.gz YLZFQENUYOHUHL-VXGBXAGGSA-N -1 1 319.365 1.556 20 0 DDADMM C[C@H]1OCC[C@]1(O)C[N-]S(=O)(=O)c1sccc1Cl ZINC000451480052 231108322 /nfs/dbraw/zinc/10/83/22/231108322.db2.gz OFSGCWISOIXEKZ-XCBNKYQSSA-N -1 1 311.812 1.220 20 0 DDADMM COCCOc1cccc(CC(=O)Nc2c(C)[n-][nH]c2=O)c1 ZINC000615222091 362202581 /nfs/dbraw/zinc/20/25/81/362202581.db2.gz OYXAKRKUOMCAJE-UHFFFAOYSA-N -1 1 305.334 1.630 20 0 DDADMM O=C(NC[C@H]1CC[C@@H](CO)O1)c1ncc2ccccc2c1[O-] ZINC000615238721 362207321 /nfs/dbraw/zinc/20/73/21/362207321.db2.gz YULJEAMCNIOKPP-NEPJUHHUSA-N -1 1 302.330 1.210 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3Cc4cnn(C)c4C3)cnc2n1 ZINC000623240626 365743929 /nfs/dbraw/zinc/74/39/29/365743929.db2.gz QOSMVKOMWXGLEJ-UHFFFAOYSA-N -1 1 309.329 1.533 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3Cc4cnn(C)c4C3)c[n-]c2n1 ZINC000623240626 365743935 /nfs/dbraw/zinc/74/39/35/365743935.db2.gz QOSMVKOMWXGLEJ-UHFFFAOYSA-N -1 1 309.329 1.533 20 0 DDADMM CN(CCN1CCOC(C)(C)C1)C(=O)c1ccc([O-])cc1F ZINC000331332312 529909871 /nfs/dbraw/zinc/90/98/71/529909871.db2.gz IHFHBMXDQDHVCM-UHFFFAOYSA-N -1 1 310.369 1.714 20 0 DDADMM Cc1cc([C@@](C)(O)CNC(=O)C(=O)c2ccc([O-])cc2)c(C)o1 ZINC000280796604 282333746 /nfs/dbraw/zinc/33/37/46/282333746.db2.gz PAKQRJDUOCEVHO-KRWDZBQOSA-N -1 1 317.341 1.809 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)c2cnc(C3CC3)nc2)c1Br ZINC000616004212 362516310 /nfs/dbraw/zinc/51/63/10/362516310.db2.gz WGMCOXZNWNNYIA-UHFFFAOYSA-N -1 1 322.166 1.822 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCn3cncc3C2)c1Br ZINC000616009044 362517276 /nfs/dbraw/zinc/51/72/76/362517276.db2.gz MQSQBLBKQXWCHW-MRVPVSSYSA-N -1 1 324.182 1.300 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)C2CCC(O)CC2)c1Br ZINC000616009043 362517315 /nfs/dbraw/zinc/51/73/15/362517315.db2.gz MOKSWYQMFKSDAF-UHFFFAOYSA-N -1 1 302.172 1.392 20 0 DDADMM O=C(Nc1nc2ccsc2s1)c1cc(=O)n2[n-]cnc2n1 ZINC000353495111 539438991 /nfs/dbraw/zinc/43/89/91/539438991.db2.gz MOJIPDIXTCXYGU-UHFFFAOYSA-N -1 1 318.343 1.341 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cc(F)c(F)c(F)c1 ZINC000164784256 306681965 /nfs/dbraw/zinc/68/19/65/306681965.db2.gz ALLZUGBEKRTZLU-UHFFFAOYSA-N -1 1 313.297 1.509 20 0 DDADMM CCC[C@@H](NC(N)=O)C(=O)N=c1cc(-c2ccc(C)s2)[n-][nH]1 ZINC000616811006 362829766 /nfs/dbraw/zinc/82/97/66/362829766.db2.gz FFMLEOGQYONBMA-SECBINFHSA-N -1 1 321.406 1.644 20 0 DDADMM CSCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc(C)cc2)C1 ZINC000279996918 215298796 /nfs/dbraw/zinc/29/87/96/215298796.db2.gz MCYLVDWEZXQLMC-LBPRGKRZSA-N -1 1 308.403 1.759 20 0 DDADMM CC(C)(C)NC(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000133834553 186012787 /nfs/dbraw/zinc/01/27/87/186012787.db2.gz RIOZSIGPSPLXDX-UHFFFAOYSA-N -1 1 320.393 1.490 20 0 DDADMM Cc1noc(C)c1S(=O)(=O)[N-]c1cc(-c2ccncc2)n[nH]1 ZINC000072255951 191088690 /nfs/dbraw/zinc/08/86/90/191088690.db2.gz HTRNYCROYCXVTQ-UHFFFAOYSA-N -1 1 319.346 1.877 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncc3ccccc3c2[O-])c2ncnn21 ZINC000617424547 363099611 /nfs/dbraw/zinc/09/96/11/363099611.db2.gz ATDAUKGKCLOFHY-SKDRFNHKSA-N -1 1 309.329 1.968 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H]1CCCCO1 ZINC000355609799 290947413 /nfs/dbraw/zinc/94/74/13/290947413.db2.gz RAYYRFHZIYVIPK-GFCCVEGCSA-N -1 1 318.377 1.280 20 0 DDADMM CCCC(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccc1[O-] ZINC000036618224 352295390 /nfs/dbraw/zinc/29/53/90/352295390.db2.gz ULFARXIFTUSXJH-UHFFFAOYSA-N -1 1 312.391 1.915 20 0 DDADMM Cc1nc(Cc2ccccc2)sc1C(=O)Nc1nn[n-]n1 ZINC000060380414 352870010 /nfs/dbraw/zinc/87/00/10/352870010.db2.gz CLYPCHMHMDDDQQ-UHFFFAOYSA-N -1 1 300.347 1.808 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1C[C@@H]1c1cccc(Br)c1 ZINC000060380473 352870092 /nfs/dbraw/zinc/87/00/92/352870092.db2.gz UAYASLSNRUINGL-RKDXNWHRSA-N -1 1 308.139 1.704 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1C[C@@H]1c1cccc(Br)c1 ZINC000060380473 352870093 /nfs/dbraw/zinc/87/00/93/352870093.db2.gz UAYASLSNRUINGL-RKDXNWHRSA-N -1 1 308.139 1.704 20 0 DDADMM COC(=O)NCCCC(=O)NCc1n[n-]c(=S)n1C(C)C ZINC000066650071 353010681 /nfs/dbraw/zinc/01/06/81/353010681.db2.gz ZSSPRUBKZQKBLN-UHFFFAOYSA-N -1 1 315.399 1.274 20 0 DDADMM O=C(C=Cc1ccc(F)cc1)NC1(c2nn[n-]n2)CCCC1 ZINC000491885744 234325866 /nfs/dbraw/zinc/32/58/66/234325866.db2.gz POEJQCXVFZCODJ-VMPITWQZSA-N -1 1 301.325 1.938 20 0 DDADMM Cc1cnc(N)c(S(=O)(=O)[N-]c2ccc(C(N)=O)cc2F)c1 ZINC000358562282 299198973 /nfs/dbraw/zinc/19/89/73/299198973.db2.gz CZWXQRHXTMWIHD-UHFFFAOYSA-N -1 1 324.337 1.011 20 0 DDADMM C[C@@H](CCN1CCOCC1)NC(=O)c1ccc(Cl)cc1[O-] ZINC000077013731 353448428 /nfs/dbraw/zinc/44/84/28/353448428.db2.gz XTJGTKMNDJHISV-NSHDSACASA-N -1 1 312.797 1.886 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)CCS1 ZINC000174770673 198382468 /nfs/dbraw/zinc/38/24/68/198382468.db2.gz WJNZKCIQYPBMBP-SECBINFHSA-N -1 1 318.420 1.155 20 0 DDADMM O=C(NC1CC1)C1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000080003826 353586530 /nfs/dbraw/zinc/58/65/30/353586530.db2.gz VYNHIYFJKFBWAJ-UHFFFAOYSA-N -1 1 306.337 1.662 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2ccc3c(C)[nH]nc3c2)cn1 ZINC000093909263 353907156 /nfs/dbraw/zinc/90/71/56/353907156.db2.gz YMZRFVXXKRFXPH-UHFFFAOYSA-N -1 1 305.363 1.889 20 0 DDADMM Cc1c(C(=O)[N-]c2cnoc2)sc2nc3n(c(=O)c12)CCC3 ZINC000623943308 366124173 /nfs/dbraw/zinc/12/41/73/366124173.db2.gz OVGNHKTVKPFMDK-UHFFFAOYSA-N -1 1 316.342 1.953 20 0 DDADMM COc1ccccc1S(=O)(=O)Nc1ccc(C(=O)[O-])c(O)c1 ZINC000148777993 354170842 /nfs/dbraw/zinc/17/08/42/354170842.db2.gz DDEGQHYVUMUJNH-UHFFFAOYSA-N -1 1 323.326 1.900 20 0 DDADMM COc1ccc(C(=O)[N-]c2nnc(C(F)(F)F)s2)nn1 ZINC000151761602 354186637 /nfs/dbraw/zinc/18/66/37/354186637.db2.gz IPUALKJZTMQUKR-UHFFFAOYSA-N -1 1 305.241 1.608 20 0 DDADMM COC(=O)c1[nH]c(C)cc1[N-]S(=O)(=O)CC(C)(C)OC ZINC000591258520 355271866 /nfs/dbraw/zinc/27/18/66/355271866.db2.gz IXKSCVZAJCSSCV-UHFFFAOYSA-N -1 1 304.368 1.276 20 0 DDADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)CCC(F)(F)F ZINC000592076398 355485782 /nfs/dbraw/zinc/48/57/82/355485782.db2.gz ZEAYSBSHVVNLGO-QMMMGPOBSA-N -1 1 319.345 1.836 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@]2(C)CCOC2)o1 ZINC000358883842 291068136 /nfs/dbraw/zinc/06/81/36/291068136.db2.gz VACRYTVGAPREQN-CYBMUJFWSA-N -1 1 317.363 1.161 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)C(=O)c2ccc([O-])cc2)[C@@H]1SC ZINC000592892564 355731741 /nfs/dbraw/zinc/73/17/41/355731741.db2.gz OCFOMOXPDLCANH-BZPMIXESSA-N -1 1 309.387 1.600 20 0 DDADMM Cc1cnc(C(=O)NCCC(=O)NC2CCCCC2)c([O-])c1 ZINC000358727515 299234434 /nfs/dbraw/zinc/23/44/34/299234434.db2.gz XYYNVPCCGOFAQO-UHFFFAOYSA-N -1 1 305.378 1.664 20 0 DDADMM CS[C@H](CO)[C@H](C)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601333778 358502856 /nfs/dbraw/zinc/50/28/56/358502856.db2.gz UYCRMPLLXRXDQO-QPUJVOFHSA-N -1 1 303.811 1.817 20 0 DDADMM CCC[C@@H](C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)OC ZINC000595334629 356453295 /nfs/dbraw/zinc/45/32/95/356453295.db2.gz PWJKIMIQTKGFBS-JTQLQIEISA-N -1 1 319.379 1.468 20 0 DDADMM COCC1([N-]S(=O)(=O)c2cc(C)c(C(=O)OC)o2)CCC1 ZINC000595337839 356454032 /nfs/dbraw/zinc/45/40/32/356454032.db2.gz LRKGHHBAWBRFGR-UHFFFAOYSA-N -1 1 317.363 1.222 20 0 DDADMM CC(C)NC(=O)NC(=O)[C@@H](C)N1CCC(CCC(=O)[O-])CC1 ZINC000595882741 356690581 /nfs/dbraw/zinc/69/05/81/356690581.db2.gz OPAQLBAYPXWBGK-LLVKDONJSA-N -1 1 313.398 1.186 20 0 DDADMM O=C(Nc1nc(-c2ccco2)n[nH]1)c1cnn(-c2cccnc2)c1 ZINC000347512516 283221104 /nfs/dbraw/zinc/22/11/04/283221104.db2.gz DHOXDKWQRHXYES-UHFFFAOYSA-N -1 1 321.300 1.898 20 0 DDADMM CC[C@@H](NCc1nc(=O)n(C)[n-]1)c1ccccc1OC(F)F ZINC000347565560 283229016 /nfs/dbraw/zinc/22/90/16/283229016.db2.gz IFPNKWKMGZHJID-SNVBAGLBSA-N -1 1 312.320 1.951 20 0 DDADMM COC(=O)CN(C(=O)c1ncc2ccccc2c1[O-])C1CC1 ZINC000597359818 357148818 /nfs/dbraw/zinc/14/88/18/357148818.db2.gz DQVAGTZHXAPKMW-UHFFFAOYSA-N -1 1 300.314 1.718 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@H]2C(=O)OC(C)(C)C)c([O-])c1 ZINC000597851763 357357973 /nfs/dbraw/zinc/35/79/73/357357973.db2.gz LSTWIPQTJCZUCR-NSHDSACASA-N -1 1 322.361 1.278 20 0 DDADMM COC(=O)C[C@@H](CNC(=O)c1c(F)ccc([O-])c1F)C1CC1 ZINC000598271855 357517436 /nfs/dbraw/zinc/51/74/36/357517436.db2.gz LBTWLOUBFXOPSY-VIFPVBQESA-N -1 1 313.300 1.990 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2cc(F)ccc2[O-])CS1(=O)=O ZINC000618323448 363586392 /nfs/dbraw/zinc/58/63/92/363586392.db2.gz IZQUKQMCIYIFPE-SCZZXKLOSA-N -1 1 301.339 1.227 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)[C@H]1COC(C)(C)C1)C(C)(C)C ZINC000599235043 357858445 /nfs/dbraw/zinc/85/84/45/357858445.db2.gz JMBSDUVUKNROAT-NXEZZACHSA-N -1 1 307.412 1.061 20 0 DDADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)[C@@H]1COC(C)(C)C1)C(=O)OC ZINC000599334909 357898927 /nfs/dbraw/zinc/89/89/27/357898927.db2.gz KPVUREZERGJZPN-SDDRHHMPSA-N -1 1 321.439 1.451 20 0 DDADMM CCc1c(C(=O)NCc2nn[n-]n2)cnn1-c1ccc(F)cc1 ZINC000599334972 357899083 /nfs/dbraw/zinc/89/90/83/357899083.db2.gz VVNGYLBNAFQHBQ-UHFFFAOYSA-N -1 1 315.312 1.017 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@@H]1CO)c1ccc(F)c(F)c1F ZINC000179489871 199044729 /nfs/dbraw/zinc/04/47/29/199044729.db2.gz FQNHIGFYFKJOHK-PSASIEDQSA-N -1 1 323.336 1.933 20 0 DDADMM c1ccc(-c2nc(NCc3nn[n-]n3)nc3ccccc32)cc1 ZINC000599950382 358088539 /nfs/dbraw/zinc/08/85/39/358088539.db2.gz WCJNIFUCAWEOES-UHFFFAOYSA-N -1 1 303.329 1.844 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@@H](C)O1 ZINC000600373983 358203876 /nfs/dbraw/zinc/20/38/76/358203876.db2.gz OCJDEYHCSWKWFY-XCBNKYQSSA-N -1 1 315.272 1.073 20 0 DDADMM CCCCS[C@H](C)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000600874779 358335108 /nfs/dbraw/zinc/33/51/08/358335108.db2.gz NITBPYGBPIQAPY-GFCCVEGCSA-N -1 1 316.467 1.916 20 0 DDADMM CC[C@](COC)([N-]S(=O)(=O)C1(C(C)C)CC1)C(=O)OC ZINC000601435715 358552437 /nfs/dbraw/zinc/55/24/37/358552437.db2.gz UYUMFQXNBFODKL-GFCCVEGCSA-N -1 1 307.412 1.063 20 0 DDADMM C[C@H]1CCC[C@@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000181032847 199243137 /nfs/dbraw/zinc/24/31/37/199243137.db2.gz PSTZKLGLWWWMCW-VHSXEESVSA-N -1 1 312.391 1.804 20 0 DDADMM CC[C@H](CSC)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000601387271 358530121 /nfs/dbraw/zinc/53/01/21/358530121.db2.gz RVEGJWDEWIMODV-SECBINFHSA-N -1 1 305.421 1.821 20 0 DDADMM C[C@H](C[S@@](C)=O)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601392057 358531965 /nfs/dbraw/zinc/53/19/65/358531965.db2.gz WRAZMCHMZRJHJX-ZWBDZOEQSA-N -1 1 301.336 1.263 20 0 DDADMM CC[C@H](Cc1ccccc1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000602325552 358930482 /nfs/dbraw/zinc/93/04/82/358930482.db2.gz SQTVFMIIMJTOJQ-LLVKDONJSA-N -1 1 322.390 1.416 20 0 DDADMM CC[C@H](Cc1ccccc1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000602325552 358930484 /nfs/dbraw/zinc/93/04/84/358930484.db2.gz SQTVFMIIMJTOJQ-LLVKDONJSA-N -1 1 322.390 1.416 20 0 DDADMM COC(=O)c1cccc(C[N@H+]2CCC[C@H](c3n[n-]c(=N)o3)C2)c1 ZINC000602891206 359274416 /nfs/dbraw/zinc/27/44/16/359274416.db2.gz GEFIGJIBPYLANI-ZDUSSCGKSA-N -1 1 316.361 1.648 20 0 DDADMM COC(=O)c1cccc(CN2CCC[C@H](c3n[n-]c(=N)o3)C2)c1 ZINC000602891206 359274419 /nfs/dbraw/zinc/27/44/19/359274419.db2.gz GEFIGJIBPYLANI-ZDUSSCGKSA-N -1 1 316.361 1.648 20 0 DDADMM N=c1[n-]nc([C@H]2CCCN(CC[S@](=O)c3ccccc3)C2)o1 ZINC000602893441 359276847 /nfs/dbraw/zinc/27/68/47/359276847.db2.gz ALRIVSYPMKKAIB-YTEVENLXSA-N -1 1 320.418 1.469 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2cccc(F)c2)n[n-]1 ZINC000603161377 359446436 /nfs/dbraw/zinc/44/64/36/359446436.db2.gz FFJBUAFSHJJYSM-VIFPVBQESA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2cccc(F)c2)[n-]1 ZINC000603161377 359446443 /nfs/dbraw/zinc/44/64/43/359446443.db2.gz FFJBUAFSHJJYSM-VIFPVBQESA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2cccc(F)c2)n1 ZINC000603161377 359446451 /nfs/dbraw/zinc/44/64/51/359446451.db2.gz FFJBUAFSHJJYSM-VIFPVBQESA-N -1 1 320.324 1.540 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCC(F)(F)C2)n[n-]1 ZINC000603152231 359438976 /nfs/dbraw/zinc/43/89/76/359438976.db2.gz BQFQGQUZSBZHFR-JGVFFNPUSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCC(F)(F)C2)[n-]1 ZINC000603152231 359438980 /nfs/dbraw/zinc/43/89/80/359438980.db2.gz BQFQGQUZSBZHFR-JGVFFNPUSA-N -1 1 316.308 1.594 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCC(F)(F)C2)n1 ZINC000603152231 359438982 /nfs/dbraw/zinc/43/89/82/359438982.db2.gz BQFQGQUZSBZHFR-JGVFFNPUSA-N -1 1 316.308 1.594 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2cnn(-c3ccccc3)c2)n1 ZINC000358952877 299291009 /nfs/dbraw/zinc/29/10/09/299291009.db2.gz LTZRGIVHKMOKDC-UHFFFAOYSA-N -1 1 318.362 1.354 20 0 DDADMM COCC(C)(C)N1CCN(C(=O)C[C@@H](C)CC(=O)[O-])CC1 ZINC000579376224 422759478 /nfs/dbraw/zinc/75/94/78/422759478.db2.gz JGFGDWZSUXZYMO-GFCCVEGCSA-N -1 1 300.399 1.057 20 0 DDADMM CO[C@@H]1CC[C@H](NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)C1 ZINC000188282959 200221757 /nfs/dbraw/zinc/22/17/57/200221757.db2.gz FDSSGLFULPWTDK-WDEREUQCSA-N -1 1 307.394 1.673 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(-c3cccs3)[nH]n2)C[C@H](C(=O)[O-])O1 ZINC000237649069 202317242 /nfs/dbraw/zinc/31/72/42/202317242.db2.gz QJSHECQJXRRAGI-LDYMZIIASA-N -1 1 321.358 1.452 20 0 DDADMM O=C([N-]OC[C@@H]1CCOC1)[C@@H]1CCCN1Cc1ccc(F)cc1 ZINC000188333581 200228948 /nfs/dbraw/zinc/22/89/48/200228948.db2.gz WDYZZBYLXANNQI-ZBFHGGJFSA-N -1 1 322.380 1.874 20 0 DDADMM O=C(COc1ccc(Cl)cc1)NC1(c2nn[n-]n2)CCCC1 ZINC000605381519 359847704 /nfs/dbraw/zinc/84/77/04/359847704.db2.gz XDQVAIMIPWCBBY-UHFFFAOYSA-N -1 1 321.768 1.818 20 0 DDADMM CO[C@H](C(=O)Nc1nc(Br)ccc1[O-])C1CC1 ZINC000281127755 216097507 /nfs/dbraw/zinc/09/75/07/216097507.db2.gz GMRRYXCGRCTAJO-VIFPVBQESA-N -1 1 301.140 1.913 20 0 DDADMM CO[C@@H](C(=O)Nc1nc(Br)ccc1[O-])C1CC1 ZINC000281127749 216098366 /nfs/dbraw/zinc/09/83/66/216098366.db2.gz GMRRYXCGRCTAJO-SECBINFHSA-N -1 1 301.140 1.913 20 0 DDADMM C[C@@H](Oc1ccc(F)cc1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605530956 359867304 /nfs/dbraw/zinc/86/73/04/359867304.db2.gz RNLZNHSOUGDYPC-SNVBAGLBSA-N -1 1 319.340 1.692 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2cncc(OC(C)C)c2)on1 ZINC000624905069 366617104 /nfs/dbraw/zinc/61/71/04/366617104.db2.gz YFGWXNOQDMVCBJ-UHFFFAOYSA-N -1 1 311.363 1.644 20 0 DDADMM C[C@@H]1CC[C@@H](CCC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000608356370 360165437 /nfs/dbraw/zinc/16/54/37/360165437.db2.gz NUNKKEMHZVTRBM-OSAQELSMSA-N -1 1 315.377 1.753 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-][C@H](COC)c1ccco1)C1CC1 ZINC000625043565 366681855 /nfs/dbraw/zinc/68/18/55/366681855.db2.gz VNMVOLWFHURJNN-OCCSQVGLSA-N -1 1 317.407 1.702 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(C)(C)C[C@@H](C)O)o1 ZINC000272101286 209469215 /nfs/dbraw/zinc/46/92/15/209469215.db2.gz LNGCLGGYXQSOMY-SECBINFHSA-N -1 1 319.379 1.142 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCC[C@@H](OCCO)C1 ZINC000612680809 361106919 /nfs/dbraw/zinc/10/69/19/361106919.db2.gz YZLMXQDJARMFQR-CYBMUJFWSA-N -1 1 316.357 1.554 20 0 DDADMM Cc1csc([C@@H](C)C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)n1 ZINC000612818200 361155533 /nfs/dbraw/zinc/15/55/33/361155533.db2.gz QWLZKVKBEHXFNJ-NXEZZACHSA-N -1 1 306.395 1.474 20 0 DDADMM Cn1[n-]c(C(=O)N2CCC[C@@H](c3n[nH]c(C4CC4)n3)C2)cc1=O ZINC000613150315 361294000 /nfs/dbraw/zinc/29/40/00/361294000.db2.gz AQZIASNEBIKWON-SNVBAGLBSA-N -1 1 316.365 1.141 20 0 DDADMM COc1ccc(NC(=O)c2c[nH]cc2C)cc1[N-]S(C)(=O)=O ZINC000613506643 361447016 /nfs/dbraw/zinc/44/70/16/361447016.db2.gz JITGIOCBFMZSMR-UHFFFAOYSA-N -1 1 323.374 1.956 20 0 DDADMM COc1cc(C(=O)NCc2nn[n-]n2)ccc1C(F)(F)F ZINC000613539473 361461058 /nfs/dbraw/zinc/46/10/58/361461058.db2.gz ZEGDVCRNCKLKCT-UHFFFAOYSA-N -1 1 301.228 1.157 20 0 DDADMM CCCc1noc(CS(=O)(=O)c2nnc(C(C)(C)C)[n-]2)n1 ZINC000195174669 201353242 /nfs/dbraw/zinc/35/32/42/201353242.db2.gz COGXTPSBDXFYON-UHFFFAOYSA-N -1 1 313.383 1.412 20 0 DDADMM CCCc1noc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)n1 ZINC000195174669 201353246 /nfs/dbraw/zinc/35/32/46/201353246.db2.gz COGXTPSBDXFYON-UHFFFAOYSA-N -1 1 313.383 1.412 20 0 DDADMM CCCc1noc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)n1 ZINC000195174669 201353248 /nfs/dbraw/zinc/35/32/48/201353248.db2.gz COGXTPSBDXFYON-UHFFFAOYSA-N -1 1 313.383 1.412 20 0 DDADMM CN(Cc1cc(C(=O)[O-])co1)C[C@@H](O)COCc1ccccc1 ZINC000566015261 304110607 /nfs/dbraw/zinc/11/06/07/304110607.db2.gz PNVYRACVMFGTLG-OAHLLOKOSA-N -1 1 319.357 1.987 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1CC[C@H]2C[C@H]2C1 ZINC000619346242 363983195 /nfs/dbraw/zinc/98/31/95/363983195.db2.gz FMSXMKLKICPIAW-JSGCOSHPSA-N -1 1 324.384 1.964 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)C[C@H](C)OC)ccc1Cl ZINC000619744166 364137684 /nfs/dbraw/zinc/13/76/84/364137684.db2.gz BNUSRNXDLQEWPI-QMMMGPOBSA-N -1 1 321.782 1.903 20 0 DDADMM CN(C)C(=O)N(C)[C@H]1CCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000619979294 364227235 /nfs/dbraw/zinc/22/72/35/364227235.db2.gz KTDVVKIVGKUOOH-NSHDSACASA-N -1 1 309.341 1.359 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC[C@H](CN2CCCC2=O)C1 ZINC000620254296 364351993 /nfs/dbraw/zinc/35/19/93/364351993.db2.gz QMPWFJLGPIXKNV-LLVKDONJSA-N -1 1 306.337 1.616 20 0 DDADMM CCn1nccc1CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000621116342 364690416 /nfs/dbraw/zinc/69/04/16/364690416.db2.gz GDEBHNZHVRPSGG-UHFFFAOYSA-N -1 1 317.393 1.935 20 0 DDADMM Fc1ccc(Cc2nc(=NCCN3CC=CCC3)[n-]o2)cc1 ZINC000621306217 364788134 /nfs/dbraw/zinc/78/81/34/364788134.db2.gz UCRSHULXWWFCGV-UHFFFAOYSA-N -1 1 302.353 1.895 20 0 DDADMM O=C(N[C@@H](CO)C[C@@H](O)c1ccccc1)c1ncccc1[O-] ZINC000275318343 212268899 /nfs/dbraw/zinc/26/88/99/212268899.db2.gz KOTAOEWUVJNFBV-TZMCWYRMSA-N -1 1 302.330 1.002 20 0 DDADMM O=C([O-])[C@H](CC(F)F)NS(=O)(=O)c1c(F)cccc1F ZINC000275305278 212262292 /nfs/dbraw/zinc/26/22/92/212262292.db2.gz QVJHNJBAPIWWFW-ZETCQYMHSA-N -1 1 315.244 1.352 20 0 DDADMM CCN(CC(F)(F)F)C(=O)CN1CCC[C@@H](CC(=O)[O-])C1 ZINC000635014870 422769228 /nfs/dbraw/zinc/76/92/28/422769228.db2.gz INTZNZFQUOUCJU-JTQLQIEISA-N -1 1 310.316 1.584 20 0 DDADMM CCOc1cccc(CCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000626443464 367547608 /nfs/dbraw/zinc/54/76/08/367547608.db2.gz GCZYDAXSNLNTJJ-LBPRGKRZSA-N -1 1 317.393 1.793 20 0 DDADMM CC1(C)CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@@]2(CCOC2)O1 ZINC000288180317 220001289 /nfs/dbraw/zinc/00/12/89/220001289.db2.gz OGSZDMUJUVFNOL-QGZVFWFLSA-N -1 1 319.357 1.371 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)c1cn(C)nc1C(C)(C)C ZINC000092245341 193178596 /nfs/dbraw/zinc/17/85/96/193178596.db2.gz DRWNUDSDZKLZIY-UHFFFAOYSA-N -1 1 322.438 1.710 20 0 DDADMM NC(=O)C[C@H]1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000377169407 307265155 /nfs/dbraw/zinc/26/51/55/307265155.db2.gz MLDRHNHNWCPWKF-MRVPVSSYSA-N -1 1 316.279 1.749 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(C(=O)c1ccc3[nH]nnc3c1)CC2 ZINC000262250826 203240967 /nfs/dbraw/zinc/24/09/67/203240967.db2.gz DJIYNECVCLZXGF-JTQLQIEISA-N -1 1 300.318 1.285 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000262485788 203307388 /nfs/dbraw/zinc/30/73/88/203307388.db2.gz KIYLSVFEODFSAF-OAHLLOKOSA-N -1 1 302.334 1.531 20 0 DDADMM COc1ccc([C@H](NC(=O)CN(C)CCC(=O)[O-])C(C)C)cc1 ZINC000262671190 203375348 /nfs/dbraw/zinc/37/53/48/203375348.db2.gz RRIJPZDTRLCZEX-QGZVFWFLSA-N -1 1 322.405 1.915 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2ncc(Cl)s2)n1 ZINC000350244057 284172865 /nfs/dbraw/zinc/17/28/65/284172865.db2.gz YGZZLLYDMXHPDE-UHFFFAOYSA-N -1 1 321.767 1.280 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc(OCc2ccccc2)ccc1O ZINC000094155581 193347335 /nfs/dbraw/zinc/34/73/35/193347335.db2.gz RLWYIHIMAJACQM-UHFFFAOYSA-N -1 1 311.301 1.737 20 0 DDADMM CCOC(=O)N[C@H](CNC(=O)c1cncc([O-])c1)CC(C)C ZINC000264636290 204045236 /nfs/dbraw/zinc/04/52/36/204045236.db2.gz DQVVEOKVQHLDNL-LBPRGKRZSA-N -1 1 309.366 1.678 20 0 DDADMM C[C@@H](CCNC(=O)c1cncc([O-])c1)NC(=O)OC(C)(C)C ZINC000264845260 204204098 /nfs/dbraw/zinc/20/40/98/204204098.db2.gz LVNUVXNAKDXEDO-JTQLQIEISA-N -1 1 309.366 1.820 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCc3n[nH]cc3C2)c1 ZINC000282701439 217188664 /nfs/dbraw/zinc/18/86/64/217188664.db2.gz FTSSUBGMXOQYTM-SECBINFHSA-N -1 1 315.329 1.646 20 0 DDADMM C[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)CC2(CCOCC2)O1 ZINC000288307705 220075107 /nfs/dbraw/zinc/07/51/07/220075107.db2.gz RZVCCZZBXRNKAZ-GFCCVEGCSA-N -1 1 319.357 1.371 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)[C@@H]1CCOC1 ZINC000266160096 205147205 /nfs/dbraw/zinc/14/72/05/205147205.db2.gz HCPCSUVSNIFQBJ-JGVFFNPUSA-N -1 1 309.309 1.807 20 0 DDADMM NC(=O)c1ccc(CNC(=O)c2cn[nH]c2-c2ccccn2)cc1 ZINC000351516024 284357006 /nfs/dbraw/zinc/35/70/06/284357006.db2.gz VQAGQICFIHFUCC-UHFFFAOYSA-N -1 1 321.340 1.501 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)CC(C)(C)OC)o1 ZINC000266249468 205215200 /nfs/dbraw/zinc/21/52/00/205215200.db2.gz XKZUXAKBSKBOSB-VIFPVBQESA-N -1 1 319.379 1.548 20 0 DDADMM Cc1c([C@@H](C)NC(=O)c2ccc3n[n-]c(=S)n3c2)cnn1C ZINC000282864038 217286082 /nfs/dbraw/zinc/28/60/82/217286082.db2.gz CXKBFAYEOPPDKN-MRVPVSSYSA-N -1 1 316.390 1.551 20 0 DDADMM CC(=O)c1ccc(C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)cc1 ZINC000282834589 217281611 /nfs/dbraw/zinc/28/16/11/217281611.db2.gz DTKJUJABNQYVID-ZDUSSCGKSA-N -1 1 314.345 1.320 20 0 DDADMM Cc1cccnc1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000267404372 206042156 /nfs/dbraw/zinc/04/21/56/206042156.db2.gz PCKDNXMHLFJDQS-UHFFFAOYSA-N -1 1 311.345 1.273 20 0 DDADMM O=C(NCCN1C(=O)CCCC1=O)c1ccc(Cl)cc1[O-] ZINC000405934193 307298222 /nfs/dbraw/zinc/29/82/22/307298222.db2.gz IDQJAYDQFCKTSA-UHFFFAOYSA-N -1 1 310.737 1.315 20 0 DDADMM CC(C)[C@@H](O)CC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000305215447 248833935 /nfs/dbraw/zinc/83/39/35/248833935.db2.gz RURVCBJPKIEMOM-VIFPVBQESA-N -1 1 311.325 1.789 20 0 DDADMM COc1ccc(-c2nc([C@@H]3CN(C4CC4)CCO3)no2)c([O-])c1 ZINC000273877426 211137298 /nfs/dbraw/zinc/13/72/98/211137298.db2.gz NNLHWDXTWINZND-AWEZNQCLSA-N -1 1 317.345 1.987 20 0 DDADMM C[C@@H](C[C@H]1CCCO1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000338716926 250103459 /nfs/dbraw/zinc/10/34/59/250103459.db2.gz MOCNDZQUHPOTEQ-WDEREUQCSA-N -1 1 301.346 1.611 20 0 DDADMM NC(=O)C1CCC([N-]S(=O)(=O)c2sccc2F)CC1 ZINC000338892706 250185289 /nfs/dbraw/zinc/18/52/89/250185289.db2.gz DZHUAPSXCRHPPX-UHFFFAOYSA-N -1 1 306.384 1.210 20 0 DDADMM C[C@H]1CCC[C@]1(O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000339098209 250291715 /nfs/dbraw/zinc/29/17/15/250291715.db2.gz OWBWCTBUJLJJIZ-SDBXPKJASA-N -1 1 323.336 1.933 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)COc2ccc(C)cc2)n1 ZINC000339167356 250324436 /nfs/dbraw/zinc/32/44/36/250324436.db2.gz PTOKDMZUDWSULF-UHFFFAOYSA-N -1 1 303.318 1.912 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C(C)C)nn2C)n1 ZINC000339176068 250328707 /nfs/dbraw/zinc/32/87/07/250328707.db2.gz NQCSSCRIQIZEHP-UHFFFAOYSA-N -1 1 305.338 1.696 20 0 DDADMM CC(=O)c1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n(C)c1 ZINC000339199641 250339879 /nfs/dbraw/zinc/33/98/79/250339879.db2.gz BIDSHUBCQSMYJK-JTQLQIEISA-N -1 1 318.333 1.336 20 0 DDADMM CC[C@@H](NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1cccs1 ZINC000352172331 284839418 /nfs/dbraw/zinc/83/94/18/284839418.db2.gz UQDNXSWIVQEQSO-SSDOTTSWSA-N -1 1 309.347 1.839 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)c3cccc(F)c3)ccnc1-2 ZINC000340373746 251033980 /nfs/dbraw/zinc/03/39/80/251033980.db2.gz VCGRFFZJHRRODK-UHFFFAOYSA-N -1 1 306.322 1.282 20 0 DDADMM NC(=O)c1ccc(=NC[C@@H]2CCCC[C@H]2C(F)(F)F)[n-]n1 ZINC000340899143 251264517 /nfs/dbraw/zinc/26/45/17/251264517.db2.gz NKMZLVLXVVIYAN-DTWKUNHWSA-N -1 1 302.300 1.778 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CCC(F)(F)F)[C@H](C)CC ZINC000340901675 251265814 /nfs/dbraw/zinc/26/58/14/251265814.db2.gz KLVBQDXHRCVUAH-BDAKNGLRSA-N -1 1 319.345 1.836 20 0 DDADMM CCc1onc(C)c1[N-]S(=O)(=O)N1C[C@@H](C)OC[C@@H]1C ZINC000340973968 251313705 /nfs/dbraw/zinc/31/37/05/251313705.db2.gz ZCEDTYQUAJNJOV-DTWKUNHWSA-N -1 1 303.384 1.311 20 0 DDADMM CCOC(=O)c1ccc(NC(=O)CN(C)CCC(=O)[O-])cc1 ZINC000062453511 184236425 /nfs/dbraw/zinc/23/64/25/184236425.db2.gz YDNYZUJGBCNQLI-UHFFFAOYSA-N -1 1 308.334 1.208 20 0 DDADMM Cc1oc(C(=O)[O-])cc1S(=O)(=O)N(C)CCN1CCCC1 ZINC000063052031 184268562 /nfs/dbraw/zinc/26/85/62/184268562.db2.gz UYMZOKWVQFUZLC-UHFFFAOYSA-N -1 1 316.379 1.003 20 0 DDADMM CCC1CCC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000352440327 285052507 /nfs/dbraw/zinc/05/25/07/285052507.db2.gz NPBITONFYUKUHB-UHFFFAOYSA-N -1 1 303.366 1.364 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1cnc(C(C)(C)C)nc1)C1CC1 ZINC000567870463 304249358 /nfs/dbraw/zinc/24/93/58/304249358.db2.gz BXWUCYXNTOIFGG-LBPRGKRZSA-N -1 1 313.423 1.941 20 0 DDADMM CCN(CCS(C)(=O)=O)C(=O)c1ccc(Cl)cc1[O-] ZINC000158950284 197313509 /nfs/dbraw/zinc/31/35/09/197313509.db2.gz DISKTXDAGFQRLF-UHFFFAOYSA-N -1 1 305.783 1.552 20 0 DDADMM Cc1c(CCC(=O)Nc2n[n-]c(C(F)(F)F)n2)cnn1C ZINC000158656741 197291891 /nfs/dbraw/zinc/29/18/91/197291891.db2.gz PDDBVMXGAIOMLE-UHFFFAOYSA-N -1 1 302.260 1.437 20 0 DDADMM CO[C@H]([C@@H](C)[N-]S(=O)(=O)c1nc(C)c(C)s1)C1CC1 ZINC000451988425 533213491 /nfs/dbraw/zinc/21/34/91/533213491.db2.gz KEHFJXRHATZFCE-LDYMZIIASA-N -1 1 304.437 1.852 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCc2c1cccc2F ZINC000289524223 221039730 /nfs/dbraw/zinc/03/97/30/221039730.db2.gz PZPXSHPHLRUSDU-NSHDSACASA-N -1 1 311.338 1.670 20 0 DDADMM O=C(CN1CCC[C@@H](c2nc(=O)[n-][nH]2)C1)Nc1cccc(F)c1 ZINC000289581678 221084628 /nfs/dbraw/zinc/08/46/28/221084628.db2.gz ZCKWOIWABLEEFD-SNVBAGLBSA-N -1 1 319.340 1.055 20 0 DDADMM COC(C)(C)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352860930 285342938 /nfs/dbraw/zinc/34/29/38/285342938.db2.gz CSBGIOSUSDANOG-UHFFFAOYSA-N -1 1 312.185 1.745 20 0 DDADMM Cc1cnn(Cc2cc(C(=O)[N-]c3nnc(C)s3)no2)c1 ZINC000121722145 195335145 /nfs/dbraw/zinc/33/51/45/195335145.db2.gz YMLUJZGFFRWQRL-UHFFFAOYSA-N -1 1 304.335 1.640 20 0 DDADMM O=S(=O)([N-]Cc1ccccn1)c1ccc(F)c(F)c1F ZINC000007059584 406746594 /nfs/dbraw/zinc/74/65/94/406746594.db2.gz LEVSXKWHBFWRTC-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)CC[C@H]2C)o1 ZINC000075286860 406928490 /nfs/dbraw/zinc/92/84/90/406928490.db2.gz PENOVYLARBXADA-NXEZZACHSA-N -1 1 300.380 1.448 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1CCCCC1 ZINC000037489323 406989377 /nfs/dbraw/zinc/98/93/77/406989377.db2.gz TZPSYJOUDFDLPY-UHFFFAOYSA-N -1 1 315.395 1.445 20 0 DDADMM O=C([O-])C1CCC(NS(=O)(=O)c2c(F)cccc2F)CC1 ZINC000037561677 406990760 /nfs/dbraw/zinc/99/07/60/406990760.db2.gz QPCHKOUKCDTBPU-UHFFFAOYSA-N -1 1 319.329 1.887 20 0 DDADMM O=C(NCc1nnc2n1CCCC2)c1cc(Cl)ccc1[O-] ZINC000053902233 407175643 /nfs/dbraw/zinc/17/56/43/407175643.db2.gz SDZDYQFZDRBZPN-UHFFFAOYSA-N -1 1 306.753 1.903 20 0 DDADMM CCN(C)CCNS(=O)(=O)c1cc(C(=O)[O-])cc(C)c1C ZINC000054127495 407178952 /nfs/dbraw/zinc/17/89/52/407178952.db2.gz OCARPWZFSIVGAU-UHFFFAOYSA-N -1 1 314.407 1.232 20 0 DDADMM CCN(C)CCNS(=O)(=O)c1cc(C(=O)[O-])cc(F)c1C ZINC000054127485 407179033 /nfs/dbraw/zinc/17/90/33/407179033.db2.gz XOALPWKWCUYHRN-UHFFFAOYSA-N -1 1 318.370 1.062 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@@H](C)c2cccnc2)o1 ZINC000065926659 407246563 /nfs/dbraw/zinc/24/65/63/407246563.db2.gz GDQZAMAJWLIBHA-JTQLQIEISA-N -1 1 323.374 1.416 20 0 DDADMM CC(C)CC[C@](C)(O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000124556447 407362272 /nfs/dbraw/zinc/36/22/72/407362272.db2.gz DOVKXWHTDSTLEY-KRWDZBQOSA-N -1 1 317.389 1.840 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cccc(C)c2)o1 ZINC000107698936 407378964 /nfs/dbraw/zinc/37/89/64/407378964.db2.gz XAUXVHRSCLKMGP-UHFFFAOYSA-N -1 1 309.343 1.853 20 0 DDADMM CCc1ccc(-n2nc(C(=O)Nc3nn[n-]n3)c3c2CCC3)cc1 ZINC000107763362 407379558 /nfs/dbraw/zinc/37/95/58/407379558.db2.gz VZKUORKHPLKOTG-UHFFFAOYSA-N -1 1 323.360 1.689 20 0 DDADMM CC(C)c1cc([N-]S(=O)(=O)c2ncn(C)c2Cl)n[nH]1 ZINC000126175014 407407245 /nfs/dbraw/zinc/40/72/45/407407245.db2.gz HDADSUMLCMFGCI-UHFFFAOYSA-N -1 1 303.775 1.721 20 0 DDADMM C[C@H](CS(C)(=O)=O)N(C)C(=O)c1ccc(Cl)cc1[O-] ZINC000112192971 407422759 /nfs/dbraw/zinc/42/27/59/407422759.db2.gz CEDDWSGWNFQLKL-MRVPVSSYSA-N -1 1 305.783 1.551 20 0 DDADMM CCC[C@@H](C)NC(=O)[C@@H](C)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000127208642 407430778 /nfs/dbraw/zinc/43/07/78/407430778.db2.gz SDAIKJLVEUHRCG-RKDXNWHRSA-N -1 1 314.411 1.149 20 0 DDADMM O=C(C[N-]S(=O)(=O)Cc1noc2ccccc21)OCC1CC1 ZINC000185751188 407495127 /nfs/dbraw/zinc/49/51/27/407495127.db2.gz JROKEXUJODZROK-UHFFFAOYSA-N -1 1 324.358 1.200 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCC[C@H](C)C2)c1 ZINC000151784039 407499255 /nfs/dbraw/zinc/49/92/55/407499255.db2.gz DABXJIBOUAQRAO-GXSJLCMTSA-N -1 1 301.364 1.923 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]2CC(C)C)o1 ZINC000128813037 407576362 /nfs/dbraw/zinc/57/63/62/407576362.db2.gz GKLNLGJSLMFUAQ-LLVKDONJSA-N -1 1 314.407 1.838 20 0 DDADMM CCS(=O)(=O)CCNC(=O)c1ccc2ccccc2c1[O-] ZINC000170809868 407516130 /nfs/dbraw/zinc/51/61/30/407516130.db2.gz DHDNLCJSVYVREW-UHFFFAOYSA-N -1 1 307.371 1.710 20 0 DDADMM COc1ccc(C(=O)NC[C@H]2C[N@@H+]3CCCC[C@@H]3CO2)c(O)c1 ZINC000128522065 407544362 /nfs/dbraw/zinc/54/43/62/407544362.db2.gz FBGGBUUHELXTJL-OCCSQVGLSA-N -1 1 320.389 1.384 20 0 DDADMM O=C(COc1ccc(F)cc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129454995 407611853 /nfs/dbraw/zinc/61/18/53/407611853.db2.gz DNGJAPRWSMKZRJ-JTQLQIEISA-N -1 1 305.313 1.124 20 0 DDADMM O=C([N-]OCc1ccc(F)cc1)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000186484711 407681045 /nfs/dbraw/zinc/68/10/45/407681045.db2.gz IRWZCRYRMIYZES-NSHDSACASA-N -1 1 318.308 1.150 20 0 DDADMM CC[C@H]1CC[C@@H](C)N1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000271437864 407685784 /nfs/dbraw/zinc/68/57/84/407685784.db2.gz NWCIWWXNROWZGF-ZJUUUORDSA-N -1 1 300.380 1.591 20 0 DDADMM COCCCn1ccc(NC(=O)c2ccc(OC)cc2[O-])n1 ZINC000116156271 407726554 /nfs/dbraw/zinc/72/65/54/407726554.db2.gz NSTGKDAJRRZSSX-UHFFFAOYSA-N -1 1 305.334 1.886 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCN(c2ncccn2)CC1 ZINC000267073555 407739617 /nfs/dbraw/zinc/73/96/17/407739617.db2.gz PDMROOXCJOEUIH-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM COc1cccc(C(=O)N2CCN(CCCC(=O)[O-])CC2)c1 ZINC000262218447 407769222 /nfs/dbraw/zinc/76/92/22/407769222.db2.gz JYRVTYDDCCXZBJ-UHFFFAOYSA-N -1 1 306.362 1.318 20 0 DDADMM O=C(N[C@H]1CCCN(c2cccnc2)C1=O)c1ncccc1[O-] ZINC000153324200 407800208 /nfs/dbraw/zinc/80/02/08/407800208.db2.gz PKJHZJBCJOMPHF-LBPRGKRZSA-N -1 1 312.329 1.108 20 0 DDADMM CCCCOCC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000118343948 407911859 /nfs/dbraw/zinc/91/18/59/407911859.db2.gz KHCLXNSUMFPTIO-UHFFFAOYSA-N -1 1 306.366 1.072 20 0 DDADMM C[C@@H](Oc1cccnc1)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000117763795 407856337 /nfs/dbraw/zinc/85/63/37/407856337.db2.gz SUCLHFLDPXWEJE-ZCFIWIBFSA-N -1 1 301.228 1.625 20 0 DDADMM COC(C)(C)C[C@@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000173226655 407870541 /nfs/dbraw/zinc/87/05/41/407870541.db2.gz CCSWLRDTXFQQRI-SNVBAGLBSA-N -1 1 303.362 1.857 20 0 DDADMM COC(=O)[C@@H]1Cc2ccccc2CN1C(=O)c1ncccc1[O-] ZINC000153669346 407874417 /nfs/dbraw/zinc/87/44/17/407874417.db2.gz KFMJHSUFGIEXQO-ZDUSSCGKSA-N -1 1 312.325 1.527 20 0 DDADMM CC(=O)N1CCN(Cc2cc(=O)oc3cc([O-])ccc23)[C@H](C)C1 ZINC000272272339 407844236 /nfs/dbraw/zinc/84/42/36/407844236.db2.gz NIQXMOSYXKFXDK-LLVKDONJSA-N -1 1 316.357 1.551 20 0 DDADMM Cc1cc(C)c(N2CC[C@@H](NC(=O)c3cnn[nH]3)C2=O)c(C)c1 ZINC000188083100 407917232 /nfs/dbraw/zinc/91/72/32/407917232.db2.gz IFNXJIUMZMIDCQ-GFCCVEGCSA-N -1 1 313.361 1.265 20 0 DDADMM Cc1ccccc1C(=O)N[C@H](CC(C)C)C(=O)Nc1nnn[n-]1 ZINC000118685585 407934987 /nfs/dbraw/zinc/93/49/87/407934987.db2.gz COAZBLYEKXIEKD-GFCCVEGCSA-N -1 1 316.365 1.291 20 0 DDADMM Cc1ccccc1C(=O)N[C@H](CC(C)C)C(=O)Nc1nn[n-]n1 ZINC000118685585 407934991 /nfs/dbraw/zinc/93/49/91/407934991.db2.gz COAZBLYEKXIEKD-GFCCVEGCSA-N -1 1 316.365 1.291 20 0 DDADMM COc1cc(C(=O)NCCCn2ccnn2)cc(Cl)c1[O-] ZINC000135250188 407995460 /nfs/dbraw/zinc/99/54/60/407995460.db2.gz RBEVBFLVDWPOLQ-UHFFFAOYSA-N -1 1 310.741 1.466 20 0 DDADMM C[C@H]1Cc2ccccc2N1Cc1occc1C(=O)Nc1nnn[n-]1 ZINC000135467792 408018905 /nfs/dbraw/zinc/01/89/05/408018905.db2.gz SYLKEFCDAZRILN-JTQLQIEISA-N -1 1 324.344 1.996 20 0 DDADMM C[C@H]1Cc2ccccc2N1Cc1occc1C(=O)Nc1nn[n-]n1 ZINC000135467792 408018913 /nfs/dbraw/zinc/01/89/13/408018913.db2.gz SYLKEFCDAZRILN-JTQLQIEISA-N -1 1 324.344 1.996 20 0 DDADMM O=S(=O)([N-]c1cc(C2CC2)n[nH]1)c1ccc2c(c1)OCCO2 ZINC000268516280 408080450 /nfs/dbraw/zinc/08/04/50/408080450.db2.gz YOMXJVOZDPDSQX-UHFFFAOYSA-N -1 1 321.358 1.859 20 0 DDADMM CCC[C@H](NC(=O)c1cn(CCC(C)C)nn1)c1nn[n-]n1 ZINC000136647007 408114993 /nfs/dbraw/zinc/11/49/93/408114993.db2.gz SJVUKBGEZSVFQN-JTQLQIEISA-N -1 1 306.374 1.109 20 0 DDADMM COc1ccc(C[C@H](CO)C[N-]C(=O)C(F)(F)F)cc1O ZINC000268687793 408158612 /nfs/dbraw/zinc/15/86/12/408158612.db2.gz HXQGWWLZAMDRCR-VIFPVBQESA-N -1 1 307.268 1.230 20 0 DDADMM COc1cccc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000155472771 408170659 /nfs/dbraw/zinc/17/06/59/408170659.db2.gz PFMDABDBOUWUND-SBDDDAINSA-N -1 1 313.361 1.628 20 0 DDADMM CC(C)C[C@@H](NC(=O)c1n[nH]nc1-c1ccccc1)C(=O)[O-] ZINC000263574620 408180446 /nfs/dbraw/zinc/18/04/46/408180446.db2.gz NTMHPOJLJBFXEW-LLVKDONJSA-N -1 1 302.334 1.701 20 0 DDADMM CCN(CC)C(=O)CCCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000263746390 408238064 /nfs/dbraw/zinc/23/80/64/408238064.db2.gz ROVNCPIABLWGCE-UHFFFAOYSA-N -1 1 321.303 1.801 20 0 DDADMM CCCC(=O)NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000263678711 408201703 /nfs/dbraw/zinc/20/17/03/408201703.db2.gz FATNQICTMMBNFB-GFCCVEGCSA-N -1 1 305.378 1.556 20 0 DDADMM NC(=O)[C@@H]1CC[C@H](CNC(=O)c2cc3ccccc3cc2[O-])O1 ZINC000150893653 408221979 /nfs/dbraw/zinc/22/19/79/408221979.db2.gz KZJRTWBWUCUUDA-DOMZBBRYSA-N -1 1 314.341 1.308 20 0 DDADMM C[C@H]1CC[C@@H](C[NH+]2CCN(C(=O)c3ccc(O)cc3)CC2)O1 ZINC000190772034 408317889 /nfs/dbraw/zinc/31/78/89/408317889.db2.gz VRWSNRLXZGQQFN-BBRMVZONSA-N -1 1 304.390 1.718 20 0 DDADMM COc1ncnc(N(C)C)c1NC(=O)[N-]O[C@@H]1CCCCO1 ZINC000274217014 408329882 /nfs/dbraw/zinc/32/98/82/408329882.db2.gz PTZPOMUYCKAMKF-SECBINFHSA-N -1 1 311.342 1.131 20 0 DDADMM CSc1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)ccn1 ZINC000129413689 161968772 /nfs/dbraw/zinc/96/87/72/161968772.db2.gz FIOCGZDRMOMWCW-JTQLQIEISA-N -1 1 304.379 1.336 20 0 DDADMM COc1cc(S(=O)(=O)[N-]c2cccc(C(N)=O)c2)ccc1F ZINC000190718027 408308346 /nfs/dbraw/zinc/30/83/46/408308346.db2.gz RETPUYITZDHRMH-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM C[C@@H](NC(=O)c1nc2ccccc2c(=O)[n-]1)C(=O)OC(C)(C)C ZINC000131983570 162026089 /nfs/dbraw/zinc/02/60/89/162026089.db2.gz MPEGQZMCVVPFSJ-SECBINFHSA-N -1 1 317.345 1.383 20 0 DDADMM CO[C@@]1(C)C[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C1(C)C ZINC000132803442 162045642 /nfs/dbraw/zinc/04/56/42/162045642.db2.gz NAHQEECYYKOBIV-BZNIZROVSA-N -1 1 318.377 1.607 20 0 DDADMM CCOc1cc(C(=O)NCC(=O)NC(C)C)cc(Cl)c1[O-] ZINC000171347945 162214618 /nfs/dbraw/zinc/21/46/18/162214618.db2.gz HBCBJGUJFQHGFM-UHFFFAOYSA-N -1 1 314.769 1.699 20 0 DDADMM CCC(=O)C[N-]S(=O)(=O)c1sccc1Br ZINC000274340125 408369445 /nfs/dbraw/zinc/36/94/45/408369445.db2.gz IAXILTGOXJNUBY-UHFFFAOYSA-N -1 1 312.210 1.768 20 0 DDADMM CC(C)CC(=O)Nc1ccc(C(=O)Nc2nnn[n-]2)cc1F ZINC000173809362 162365900 /nfs/dbraw/zinc/36/59/00/162365900.db2.gz GVIHUNGDMXNDMB-UHFFFAOYSA-N -1 1 306.301 1.576 20 0 DDADMM CC(C)CC(=O)Nc1ccc(C(=O)Nc2nn[n-]n2)cc1F ZINC000173809362 162365903 /nfs/dbraw/zinc/36/59/03/162365903.db2.gz GVIHUNGDMXNDMB-UHFFFAOYSA-N -1 1 306.301 1.576 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000183424249 408407682 /nfs/dbraw/zinc/40/76/82/408407682.db2.gz ABNBMSFSPQBCFH-RWCYGVJQSA-N -1 1 315.333 1.204 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cccc(OC(F)F)c1)c1nn[n-]n1 ZINC000183446828 408412947 /nfs/dbraw/zinc/41/29/47/408412947.db2.gz QANYPNLNOGKWAR-QMMMGPOBSA-N -1 1 311.292 1.677 20 0 DDADMM COc1cccc(SCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183444902 408413817 /nfs/dbraw/zinc/41/38/17/408413817.db2.gz RAWDUYIFZFVRMG-JTQLQIEISA-N -1 1 321.406 1.563 20 0 DDADMM CCOc1ccc(OCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183439942 408414675 /nfs/dbraw/zinc/41/46/75/408414675.db2.gz XUSMNGPKPRWUBV-LLVKDONJSA-N -1 1 319.365 1.239 20 0 DDADMM CC[C@@H](C(=O)[O-])N1CCN(C(=O)c2cccc(Cl)c2)CC1 ZINC000191402300 408421079 /nfs/dbraw/zinc/42/10/79/408421079.db2.gz SWMQLPHLNTXPEW-ZDUSSCGKSA-N -1 1 310.781 1.961 20 0 DDADMM COCCOCCOCCCNC(=O)c1c([O-])cccc1F ZINC000274654337 408483157 /nfs/dbraw/zinc/48/31/57/408483157.db2.gz DECSNQRFGYNIGH-UHFFFAOYSA-N -1 1 315.341 1.331 20 0 DDADMM O=C([O-])c1ccccc1OCCN1CCC[C@@H]1C(=O)NC1CC1 ZINC000183915369 408506824 /nfs/dbraw/zinc/50/68/24/408506824.db2.gz JAQUDYPYZWQAKE-CQSZACIVSA-N -1 1 318.373 1.507 20 0 DDADMM C[C@@]1(CCCC(=O)Nc2ccc(F)cc2[O-])NC(=O)NC1=O ZINC000270398707 408520036 /nfs/dbraw/zinc/52/00/36/408520036.db2.gz VAMLNCIFSURDCV-AWEZNQCLSA-N -1 1 309.297 1.238 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1C[C@@]12CCc1ccccc12)c1nn[n-]n1 ZINC000274756706 408520472 /nfs/dbraw/zinc/52/04/72/408520472.db2.gz ISDIUHKLWOJMJM-JDSLSITLSA-N -1 1 311.389 1.666 20 0 DDADMM CCc1c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cnn1C(C)(C)C ZINC000274779157 408529729 /nfs/dbraw/zinc/52/97/29/408529729.db2.gz NRAIYAJLYULPTO-JTQLQIEISA-N -1 1 319.413 1.589 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@H](O)c1cccc(F)c1)c1nn[n-]n1 ZINC000274810777 408541084 /nfs/dbraw/zinc/54/10/84/408541084.db2.gz VOPOSPKMPUHVLR-BXKDBHETSA-N -1 1 307.329 1.024 20 0 DDADMM Cc1ocnc1C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000161951005 408654738 /nfs/dbraw/zinc/65/47/38/408654738.db2.gz HWPYWDYGKLLCET-UHFFFAOYSA-N -1 1 306.265 1.879 20 0 DDADMM COC[C@@H](NCC(=O)[N-]OCc1ccccc1)c1ccco1 ZINC000184630405 408656756 /nfs/dbraw/zinc/65/67/56/408656756.db2.gz KAHKPFIHZDBPSI-CQSZACIVSA-N -1 1 304.346 1.805 20 0 DDADMM CCN1CCC[C@@H]1CNS(=O)(=O)c1cc(F)ccc1F ZINC000193810046 408744265 /nfs/dbraw/zinc/74/42/65/408744265.db2.gz PJGSZQZESOZNQM-LLVKDONJSA-N -1 1 304.362 1.727 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCOc2cccc(F)c2)C1 ZINC000164597230 408800194 /nfs/dbraw/zinc/80/01/94/408800194.db2.gz CGSNMOZQUIGFKA-GFCCVEGCSA-N -1 1 324.352 1.117 20 0 DDADMM COC(=O)[C@@H]([N-]C(=O)C(F)(F)c1cccc(Cl)c1)[C@@H](C)O ZINC000276039893 408757797 /nfs/dbraw/zinc/75/77/97/408757797.db2.gz VSWACMIKTMHNKL-XCBNKYQSSA-N -1 1 321.707 1.470 20 0 DDADMM O=C(CNC(=O)c1cccnc1)Nc1ccc([O-])c(Cl)c1 ZINC000163206103 408767227 /nfs/dbraw/zinc/76/72/27/408767227.db2.gz GXEUUYXOOKCAEH-UHFFFAOYSA-N -1 1 305.721 1.809 20 0 DDADMM Cc1nsc(N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000290771843 408829986 /nfs/dbraw/zinc/82/99/86/408829986.db2.gz VLSFNOXFPNIKKH-QMMMGPOBSA-N -1 1 308.329 1.741 20 0 DDADMM COc1ccc(N2C[C@@H](C(=O)[N-]OC/C=C/Cl)CC2=O)cc1 ZINC000291754421 408917456 /nfs/dbraw/zinc/91/74/56/408917456.db2.gz HGFIDLRTZVPFBJ-FOKSMMDQSA-N -1 1 324.764 1.848 20 0 DDADMM Cc1c(S(=O)(=O)[N-]c2ncc(C(F)(F)F)[nH]2)cnn1C ZINC000291939267 408948158 /nfs/dbraw/zinc/94/81/58/408948158.db2.gz XWHCVFZRADGDCE-UHFFFAOYSA-N -1 1 309.273 1.271 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C(F)F)c1cc(Cl)ccc1Cl ZINC000228621113 163342536 /nfs/dbraw/zinc/34/25/36/163342536.db2.gz DONNINLLFYBPLM-ZETCQYMHSA-N -1 1 320.144 1.898 20 0 DDADMM COCCNC(=O)c1ccc(I)c([O-])c1 ZINC000230884902 163349107 /nfs/dbraw/zinc/34/91/07/163349107.db2.gz ORYIBGLMPBDSAT-UHFFFAOYSA-N -1 1 321.114 1.373 20 0 DDADMM O=C(Nc1ccc(OCC2CC2)cc1)NN1CC(=O)[N-]C1=O ZINC000277608209 408976233 /nfs/dbraw/zinc/97/62/33/408976233.db2.gz AJPXUKHZCWCWOK-UHFFFAOYSA-N -1 1 304.306 1.064 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CCC2(C)CC2)c(C(F)(F)F)n1 ZINC000293188715 409064997 /nfs/dbraw/zinc/06/49/97/409064997.db2.gz SZGBTWKBJIZFCN-UHFFFAOYSA-N -1 1 311.329 1.907 20 0 DDADMM CC(C)NS(=O)(=O)CC[NH2+]Cc1cccc(O)c1Cl ZINC000293531492 409132496 /nfs/dbraw/zinc/13/24/96/409132496.db2.gz JKMAVTOYZSDBJT-UHFFFAOYSA-N -1 1 306.815 1.463 20 0 DDADMM COc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(C)c1OC ZINC000287688297 409075943 /nfs/dbraw/zinc/07/59/43/409075943.db2.gz CUANCEODOUYGPP-VIFPVBQESA-N -1 1 319.365 1.401 20 0 DDADMM CC[C@@H](C)CO[N-]C(=O)Cn1c(=O)c2ccccc2[nH]c1=S ZINC000293858063 409195378 /nfs/dbraw/zinc/19/53/78/409195378.db2.gz XOVVSBBHFSBDHU-SNVBAGLBSA-N -1 1 321.402 1.779 20 0 DDADMM CCC[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000283382779 409147288 /nfs/dbraw/zinc/14/72/88/409147288.db2.gz WKYSGGUNTBPREK-LLVKDONJSA-N -1 1 306.391 1.659 20 0 DDADMM CC(C)[C@H](O)C(C)(C)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283799001 409218915 /nfs/dbraw/zinc/21/89/15/409218915.db2.gz VVEFNRKVRJIGGX-LBPRGKRZSA-N -1 1 322.434 1.791 20 0 DDADMM CC1(C)CN(C(=O)c2cc(Br)ccc2[O-])C[C@H]1O ZINC000279493223 409225129 /nfs/dbraw/zinc/22/51/29/409225129.db2.gz YDZYPRYJTMRHLR-LLVKDONJSA-N -1 1 314.179 1.998 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccccc1SCC(N)=O ZINC000283995284 409253731 /nfs/dbraw/zinc/25/37/31/409253731.db2.gz ICENBZKAEGVQBG-VIFPVBQESA-N -1 1 318.420 1.041 20 0 DDADMM C[C@H]1CCC[C@]1(O)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294326087 409280032 /nfs/dbraw/zinc/28/00/32/409280032.db2.gz PNVKAVTVDASTCF-XPTSAGLGSA-N -1 1 306.391 1.299 20 0 DDADMM Cc1nnc(CN2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)s1 ZINC000289433707 409271697 /nfs/dbraw/zinc/27/16/97/409271697.db2.gz JHSDCQWATIXXDB-SECBINFHSA-N -1 1 322.356 1.737 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCCC[C@@H]1CCO ZINC000294277763 409271915 /nfs/dbraw/zinc/27/19/15/409271915.db2.gz HNFVRJLDBVAFEL-LLVKDONJSA-N -1 1 306.391 1.395 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C1CCCC1)c1cc(F)ccc1F ZINC000289448537 409273818 /nfs/dbraw/zinc/27/38/18/409273818.db2.gz FSMLHBQUORLJMP-LBPRGKRZSA-N -1 1 305.346 1.794 20 0 DDADMM CN(C)[C@H](CNC(=O)C(=O)c1ccc([O-])cc1)c1ccco1 ZINC000280664545 409400589 /nfs/dbraw/zinc/40/05/89/409400589.db2.gz AUFSDFMBPDVZJT-CYBMUJFWSA-N -1 1 302.330 1.587 20 0 DDADMM Cc1cnn(C)c1S(=O)(=O)Nc1cc(C)c(C)cc1C(=O)[O-] ZINC000285076180 409398021 /nfs/dbraw/zinc/39/80/21/409398021.db2.gz KJVUFWBWUKWDGB-UHFFFAOYSA-N -1 1 323.374 1.844 20 0 DDADMM COC[C@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@@H](C)O1 ZINC000290288412 409349957 /nfs/dbraw/zinc/34/99/57/409349957.db2.gz ZXFCDTLJXXLUHH-RKDXNWHRSA-N -1 1 301.289 1.546 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCOCC1)c1ccc(Br)o1 ZINC000408213514 164262922 /nfs/dbraw/zinc/26/29/22/164262922.db2.gz BOEQCDXAIXCCKB-MRVPVSSYSA-N -1 1 324.196 1.890 20 0 DDADMM O=C([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1C2)c1cccc2cn[nH]c21 ZINC000408502436 164353273 /nfs/dbraw/zinc/35/32/73/164353273.db2.gz SOLNLTCMZXRLDX-GBIKHYSHSA-N -1 1 319.386 1.811 20 0 DDADMM O=C(N[C@@H]1CCC[N@H+](CCCO)C1)c1c(F)ccc([O-])c1F ZINC000295459914 409493069 /nfs/dbraw/zinc/49/30/69/409493069.db2.gz MRJZAADTZIPCCR-SNVBAGLBSA-N -1 1 314.332 1.247 20 0 DDADMM Cn1cc(S(=O)(=O)Nc2cc(O)cc(F)c2)cc1C(=O)[O-] ZINC000348867125 409562460 /nfs/dbraw/zinc/56/24/60/409562460.db2.gz PKNDFPMAWBAWPO-UHFFFAOYSA-N -1 1 314.294 1.369 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NC1(CCO)CC1 ZINC000349257691 409768269 /nfs/dbraw/zinc/76/82/69/409768269.db2.gz MCHHQOLDCKBZBN-UHFFFAOYSA-N -1 1 318.377 1.016 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cccc(-c2cscn2)c1 ZINC000357079223 409851252 /nfs/dbraw/zinc/85/12/52/409851252.db2.gz QJANTNKYMXHHHD-UHFFFAOYSA-N -1 1 312.358 1.742 20 0 DDADMM Cc1ccc(CSCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357080956 409851574 /nfs/dbraw/zinc/85/15/74/409851574.db2.gz UKQWURIUFAGMPE-UHFFFAOYSA-N -1 1 303.391 1.547 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cc(-c2cccs2)on1 ZINC000357041486 409809937 /nfs/dbraw/zinc/80/99/37/409809937.db2.gz FPWPVOZDZKQVKJ-UHFFFAOYSA-N -1 1 302.319 1.335 20 0 DDADMM CC[NH+](CC)[C@H](CN=c1nc2[nH][nH]cc-2c(N)n1)c1ccco1 ZINC000354510874 409909372 /nfs/dbraw/zinc/90/93/72/409909372.db2.gz QMXWCCPOMMWIAZ-LLVKDONJSA-N -1 1 315.381 1.227 20 0 DDADMM C[N@H+](Cc1nc(-c2ccc3n[nH]nc3c2)no1)[C@H]1CCSC1 ZINC000350499946 409953721 /nfs/dbraw/zinc/95/37/21/409953721.db2.gz AICXAHNHWHLPRE-JTQLQIEISA-N -1 1 316.390 1.945 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@@H](C)Cc1ccccc1F ZINC000297866163 410030469 /nfs/dbraw/zinc/03/04/69/410030469.db2.gz ZBIQBGKHGUKJEF-QMMMGPOBSA-N -1 1 305.313 1.121 20 0 DDADMM CCc1ocnc1C(=O)[N-]c1nnc(CCC(=O)OC)s1 ZINC000351626863 410119012 /nfs/dbraw/zinc/11/90/12/410119012.db2.gz FAZSPSRVUPTLFP-UHFFFAOYSA-N -1 1 310.335 1.446 20 0 DDADMM CCCC[C@H]1CCC[C@H]1NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000357586186 410120392 /nfs/dbraw/zinc/12/03/92/410120392.db2.gz RIHYTKCRBUZRIR-VHSXEESVSA-N -1 1 309.366 1.985 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C(C)C)C2)o1 ZINC000332668173 410201152 /nfs/dbraw/zinc/20/11/52/410201152.db2.gz HNEONKNHQPQTNT-JTQLQIEISA-N -1 1 300.380 1.306 20 0 DDADMM CC(C)[C@H](C[N@H+]1CCN2C(=O)NC(=O)[C@@H]2C1)c1ccccc1 ZINC000332678792 410204927 /nfs/dbraw/zinc/20/49/27/410204927.db2.gz UDGVZQRVFLNLCQ-GJZGRUSLSA-N -1 1 301.390 1.662 20 0 DDADMM CCCN(CC(C)C)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000298429953 410225156 /nfs/dbraw/zinc/22/51/56/410225156.db2.gz IQGSIHBLZJRNSO-UHFFFAOYSA-N -1 1 302.396 1.696 20 0 DDADMM Cc1ccc(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1C ZINC000298636928 410323528 /nfs/dbraw/zinc/32/35/28/410323528.db2.gz BEGNTGRDVOFAQG-ZDUSSCGKSA-N -1 1 323.374 1.001 20 0 DDADMM Cc1cccc2nc(-c3cccc(S(N)(=O)=O)c3)[n-]c(=O)c12 ZINC000339568359 410292527 /nfs/dbraw/zinc/29/25/27/410292527.db2.gz KSDJUZKANYXDEU-UHFFFAOYSA-N -1 1 315.354 1.958 20 0 DDADMM CCOc1ncccc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351855701 410292858 /nfs/dbraw/zinc/29/28/58/410292858.db2.gz JHIUZVMMMMNMGE-UHFFFAOYSA-N -1 1 318.333 1.589 20 0 DDADMM CCOC(=O)[C@@H](Cc1ccccc1)NC(=O)c1cncc([O-])c1 ZINC000339812609 410476907 /nfs/dbraw/zinc/47/69/07/410476907.db2.gz AJXSGEDMMFLXGD-OAHLLOKOSA-N -1 1 314.341 1.691 20 0 DDADMM Cn1ncc(Br)c1/C=C/c1cc(=O)n2[n-]cnc2n1 ZINC000352035400 410433555 /nfs/dbraw/zinc/43/35/55/410433555.db2.gz QJGDCLNLDHYWJV-NSCUHMNNSA-N -1 1 321.138 1.084 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCOc1cccc(C)c1 ZINC000352134301 410504412 /nfs/dbraw/zinc/50/44/12/410504412.db2.gz JAASXTPHFSTLLB-UHFFFAOYSA-N -1 1 319.317 1.014 20 0 DDADMM O=S(=O)([N-][C@@H]1CS(=O)(=O)c2ccccc21)c1ccoc1 ZINC000352170587 410521713 /nfs/dbraw/zinc/52/17/13/410521713.db2.gz DNVNQTDWDXTMLL-LLVKDONJSA-N -1 1 313.356 1.087 20 0 DDADMM CC(C)c1cnc(N2CCN(c3nc(=N)[n-]s3)CC2)s1 ZINC000347412769 410530255 /nfs/dbraw/zinc/53/02/55/410530255.db2.gz JMSUABLZURCEJC-UHFFFAOYSA-N -1 1 310.452 1.857 20 0 DDADMM CC(C)S(=O)(=O)c1ccccc1C(=O)[N-]N1CCCCC1=O ZINC000299563991 410599121 /nfs/dbraw/zinc/59/91/21/410599121.db2.gz FTNLBLZDPJKCMT-UHFFFAOYSA-N -1 1 324.402 1.526 20 0 DDADMM CCOC(=O)C[C@@H](C)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000352569937 410665489 /nfs/dbraw/zinc/66/54/89/410665489.db2.gz ZXNVUBZYHAQZMU-SNVBAGLBSA-N -1 1 317.345 1.715 20 0 DDADMM CCOC(=O)C[C@@H](C)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000352569937 410665494 /nfs/dbraw/zinc/66/54/94/410665494.db2.gz ZXNVUBZYHAQZMU-SNVBAGLBSA-N -1 1 317.345 1.715 20 0 DDADMM COc1ccc(-c2ocnc2C(=O)N=c2ccc(O)n[n-]2)cc1 ZINC000343692065 410623025 /nfs/dbraw/zinc/62/30/25/410623025.db2.gz ADOIESFKFUKFBF-UHFFFAOYSA-N -1 1 312.285 1.520 20 0 DDADMM CC(=O)NCC[C@@H]1CCC[N@@H+]([C@H](C)c2nc(C)cc(=O)[n-]2)C1 ZINC000347637008 410639071 /nfs/dbraw/zinc/63/90/71/410639071.db2.gz HCZCULABMBQBDV-OCCSQVGLSA-N -1 1 306.410 1.790 20 0 DDADMM CCC[C@H](NC(=O)CCc1nnc(C(C)C)o1)c1nn[n-]n1 ZINC000343761424 410679171 /nfs/dbraw/zinc/67/91/71/410679171.db2.gz HAASTPJIYNFFCF-VIFPVBQESA-N -1 1 307.358 1.296 20 0 DDADMM Cn1[n-]cc2c(=NS(=O)(=O)c3ccc(F)cc3)ccnc1-2 ZINC000340367931 410847276 /nfs/dbraw/zinc/84/72/76/410847276.db2.gz CHGXLEUJCRNIDB-UHFFFAOYSA-N -1 1 306.322 1.282 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCCC[C@H]1C(F)F ZINC000337570773 410868290 /nfs/dbraw/zinc/86/82/90/410868290.db2.gz CTTCNPZOABCYKB-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM Cc1ccc(OCCC(=O)NC2(c3nn[n-]n3)CC2)c(C)c1 ZINC000348291738 410899885 /nfs/dbraw/zinc/89/98/85/410899885.db2.gz BWQHURCMSBZVMD-UHFFFAOYSA-N -1 1 301.350 1.391 20 0 DDADMM Cc1ccc2c(c1)CC[C@@H](C(=O)NC(C)(C)c1nn[n-]n1)O2 ZINC000356197533 410887105 /nfs/dbraw/zinc/88/71/05/410887105.db2.gz XHQIYDUHGHPTDU-LBPRGKRZSA-N -1 1 301.350 1.253 20 0 DDADMM O=C(Nc1cc(CSCCO)ccn1)c1cncc([O-])c1 ZINC000344094246 410951905 /nfs/dbraw/zinc/95/19/05/410951905.db2.gz SWZYNLNICMNICH-UHFFFAOYSA-N -1 1 305.359 1.660 20 0 DDADMM O=C(NC1CCCC1)c1ccc(=NCCCOC(F)F)[n-]n1 ZINC000337810234 411012994 /nfs/dbraw/zinc/01/29/94/411012994.db2.gz MBWOOFSVXIVTPW-UHFFFAOYSA-N -1 1 314.336 1.612 20 0 DDADMM Cc1cc(=NC(=O)N2CCCC[C@@H]2c2nnc[nH]2)[n-]nc1C ZINC000359956336 410969478 /nfs/dbraw/zinc/96/94/78/410969478.db2.gz DVWBGQAVMWCVLJ-LLVKDONJSA-N -1 1 301.354 1.393 20 0 DDADMM CN1CC[C@H]([N-]S(=O)(=O)c2ccc(Br)o2)C1 ZINC000353432985 410980498 /nfs/dbraw/zinc/98/04/98/410980498.db2.gz SRPUCQUDQOYSQF-ZETCQYMHSA-N -1 1 309.185 1.025 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cc2c(cc1F)CCC(=O)N2 ZINC000337777242 410994057 /nfs/dbraw/zinc/99/40/57/410994057.db2.gz YNLGISANTNDPIV-MRVPVSSYSA-N -1 1 316.354 1.487 20 0 DDADMM COc1cc(C(=O)N2CC[C@H](NC(N)=O)C2)cc(Cl)c1[O-] ZINC000331264374 411047118 /nfs/dbraw/zinc/04/71/18/411047118.db2.gz GJJXYCKOEVIVEU-QMMMGPOBSA-N -1 1 313.741 1.351 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C(N)=O)C(C)(C)C)sc1C ZINC000331223285 411025869 /nfs/dbraw/zinc/02/58/69/411025869.db2.gz CZXYPPIIBQPUJT-QMMMGPOBSA-N -1 1 305.425 1.988 20 0 DDADMM CN(C[C@H]1CCS(=O)(=O)C1)C(=O)c1cc(Cl)ccc1[O-] ZINC000331245312 411038761 /nfs/dbraw/zinc/03/87/61/411038761.db2.gz UBKCNRGOHVFOOG-SECBINFHSA-N -1 1 317.794 1.552 20 0 DDADMM O=S(=O)(NCCN1CCCC1)c1cccc(Cl)c1[O-] ZINC000631680638 422879337 /nfs/dbraw/zinc/87/93/37/422879337.db2.gz PMNWIWVBMDNDQX-UHFFFAOYSA-N -1 1 304.799 1.420 20 0 DDADMM COCc1ccc(S(=O)(=O)N=c2cc3ccccn3[n-]2)cc1 ZINC000580105938 422889944 /nfs/dbraw/zinc/88/99/44/422889944.db2.gz DVYOSGGREDXYBN-UHFFFAOYSA-N -1 1 317.370 1.703 20 0 DDADMM COC[C@@H](O)CCNC(=O)c1ccc(Br)cc1[O-] ZINC000130857689 196116112 /nfs/dbraw/zinc/11/61/12/196116112.db2.gz YOTFLLOWDYVAAW-VIFPVBQESA-N -1 1 318.167 1.282 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc([C@@H]3C[C@H]3C)[nH]n2)co1 ZINC000131136374 196142232 /nfs/dbraw/zinc/14/22/32/196142232.db2.gz KPMGNYAFCAHMCU-VXNVDRBHSA-N -1 1 324.362 1.287 20 0 DDADMM C[C@H]1CN(C(=O)c2cc3ccccc3cc2[O-])CCS1(=O)=O ZINC000131844433 196203498 /nfs/dbraw/zinc/20/34/98/196203498.db2.gz LOKKPTIDLWHOSM-NSHDSACASA-N -1 1 319.382 1.805 20 0 DDADMM O=S(=O)([N-]CC1(O)CCCC1)c1ncccc1C(F)(F)F ZINC000645555255 423079090 /nfs/dbraw/zinc/07/90/90/423079090.db2.gz MNFGMRGZYSWKJB-UHFFFAOYSA-N -1 1 324.324 1.684 20 0 DDADMM COC[C@@](C)(NCc1ccc(-c2cnn(C)c2)s1)C(=O)[O-] ZINC000417588155 225370818 /nfs/dbraw/zinc/37/08/18/225370818.db2.gz OKKNXVJLTLNXIH-CQSZACIVSA-N -1 1 309.391 1.728 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]Cc1noc(C2CC2)n1)C(C)C ZINC000645632871 423117742 /nfs/dbraw/zinc/11/77/42/423117742.db2.gz SKRGOIYLMDDFJB-JTQLQIEISA-N -1 1 303.384 1.037 20 0 DDADMM CC(=O)N[C@@H]1CCN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC000643359307 423123997 /nfs/dbraw/zinc/12/39/97/423123997.db2.gz GHGJQANOTIERCV-SNVBAGLBSA-N -1 1 316.279 1.762 20 0 DDADMM O=C(N[C@@H]1CCc2nnnn2CC1)c1cc2ccccc2cc1[O-] ZINC000643367787 423128123 /nfs/dbraw/zinc/12/81/23/423128123.db2.gz VESZEFMBDPTYCC-CYBMUJFWSA-N -1 1 323.356 1.667 20 0 DDADMM CC1(C)[C@H](O)C[C@@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645660319 423131136 /nfs/dbraw/zinc/13/11/36/423131136.db2.gz ZUOYEQMNKUPTPI-DTWKUNHWSA-N -1 1 324.324 1.538 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](C)C2)o1 ZINC000360362889 418418128 /nfs/dbraw/zinc/41/81/28/418418128.db2.gz PYOYIHPVPOAYPH-NXEZZACHSA-N -1 1 301.364 1.781 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3CC(=O)Nc4ccccc43)ccnc1-2 ZINC000366183037 418439398 /nfs/dbraw/zinc/43/93/98/418439398.db2.gz KTNKFGAWNURVKM-PZWTZLACSA-N -1 1 321.340 1.406 20 0 DDADMM C[C@@H]1C[C@@]2(CCO1)CN(C(=O)c1cncc([O-])c1)C[C@H](C)O2 ZINC000373834014 418464356 /nfs/dbraw/zinc/46/43/56/418464356.db2.gz AAIGGGXQUZDVBP-WQGACYEGSA-N -1 1 306.362 1.586 20 0 DDADMM CS(=O)(=O)Nc1c[nH]nc1[C@H]1CCC[N@@H+](CCCF)C1 ZINC000360661119 418486598 /nfs/dbraw/zinc/48/65/98/418486598.db2.gz JATLDFQEVOTJHT-JTQLQIEISA-N -1 1 304.391 1.320 20 0 DDADMM COCc1nc(=N[C@H]2CC[C@@H](C(=O)N3CCCCC3)C2)s[n-]1 ZINC000366620842 418498547 /nfs/dbraw/zinc/49/85/47/418498547.db2.gz KFOGGUMYBGSSIX-NEPJUHHUSA-N -1 1 324.450 1.700 20 0 DDADMM C[C@@H]1C[C@H](C)CN(C(=O)CN2CCC(c3n[nH]c(=O)[n-]3)CC2)C1 ZINC000366714687 418507640 /nfs/dbraw/zinc/50/76/40/418507640.db2.gz VHDYFGGWZFDTRE-TXEJJXNPSA-N -1 1 321.425 1.194 20 0 DDADMM O=S(=O)([N-]Cc1ccncc1)c1ccc(F)c(F)c1F ZINC000192692881 418524861 /nfs/dbraw/zinc/52/48/61/418524861.db2.gz QPALEVYTQWLKPE-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM COc1cnccc1[C@H](C)[N-]S(=O)(=O)c1c(C)noc1C ZINC000189159509 222037290 /nfs/dbraw/zinc/03/72/90/222037290.db2.gz IHGHCSXHSSFILG-QMMMGPOBSA-N -1 1 311.363 1.735 20 0 DDADMM C[C@@H]1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCS1 ZINC000191935445 222113226 /nfs/dbraw/zinc/11/32/26/222113226.db2.gz KJGURJIXEHQVQS-SECBINFHSA-N -1 1 306.391 1.642 20 0 DDADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cn(C)nc1C1CC1 ZINC000291076183 222117519 /nfs/dbraw/zinc/11/75/19/222117519.db2.gz JJELPICBJIXEBZ-UHFFFAOYSA-N -1 1 315.395 1.238 20 0 DDADMM COC(=O)c1ccccc1-c1ccccc1C(=O)Nc1nnn[n-]1 ZINC000192588770 222129984 /nfs/dbraw/zinc/12/99/84/222129984.db2.gz JDJUGCJQXQHMSR-UHFFFAOYSA-N -1 1 323.312 1.906 20 0 DDADMM COC(=O)c1ccccc1-c1ccccc1C(=O)Nc1nn[n-]n1 ZINC000192588770 222129988 /nfs/dbraw/zinc/12/99/88/222129988.db2.gz JDJUGCJQXQHMSR-UHFFFAOYSA-N -1 1 323.312 1.906 20 0 DDADMM CC(C)[C@H](C)NC(=O)CS(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195168559 222192585 /nfs/dbraw/zinc/19/25/85/222192585.db2.gz ZKWFBUJZITVWMC-VIFPVBQESA-N -1 1 316.427 1.037 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)S(=O)(=O)c1nnc(C(C)(C)C)[n-]1 ZINC000195168007 222192691 /nfs/dbraw/zinc/19/26/91/222192691.db2.gz RCPXKOMQEGPPKU-DTWKUNHWSA-N -1 1 316.427 1.179 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)S(=O)(=O)c1nc(C(C)(C)C)n[n-]1 ZINC000195168007 222192695 /nfs/dbraw/zinc/19/26/95/222192695.db2.gz RCPXKOMQEGPPKU-DTWKUNHWSA-N -1 1 316.427 1.179 20 0 DDADMM CC[C@H](C)NC(=O)[C@@H](C)S(=O)(=O)c1n[n-]c(C(C)(C)C)n1 ZINC000195168007 222192697 /nfs/dbraw/zinc/19/26/97/222192697.db2.gz RCPXKOMQEGPPKU-DTWKUNHWSA-N -1 1 316.427 1.179 20 0 DDADMM CCN(CC)C(=O)[C@@H](C)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000315828559 418597648 /nfs/dbraw/zinc/59/76/48/418597648.db2.gz FDHZHQWIQWRVKS-IUODEOHRSA-N -1 1 304.390 1.927 20 0 DDADMM CN1CC[C@H]2CCN(S(=O)(=O)c3ccc(C(=O)[O-])cc3)C[C@H]21 ZINC000375578695 418658319 /nfs/dbraw/zinc/65/83/19/418658319.db2.gz QJULGDUIXLPZNK-SMDDNHRTSA-N -1 1 324.402 1.100 20 0 DDADMM COCc1nc(=NCC2CCN(c3ncccn3)CC2)s[n-]1 ZINC000367935956 418659704 /nfs/dbraw/zinc/65/97/04/418659704.db2.gz SYFCPRHHVCDIFO-UHFFFAOYSA-N -1 1 320.422 1.225 20 0 DDADMM CC1(C)CN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CCN1Cc1ccccc1 ZINC000382602095 418731552 /nfs/dbraw/zinc/73/15/52/418731552.db2.gz SPSRBRNGHPLLNL-HUUCEWRRSA-N -1 1 316.401 1.830 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])NCCC1=CCCCCC1 ZINC000361991149 418736089 /nfs/dbraw/zinc/73/60/89/418736089.db2.gz SVWPUBGOJASETL-UHFFFAOYSA-N -1 1 317.389 1.914 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc(Br)cc2[O-])C[C@H]1O ZINC000387764813 418744840 /nfs/dbraw/zinc/74/48/40/418744840.db2.gz AVCANVBSSKREFH-WRWORJQWSA-N -1 1 300.152 1.608 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1CCn2c(cnc2-c2ccccc2)C1 ZINC000364878426 418820077 /nfs/dbraw/zinc/82/00/77/418820077.db2.gz HLBOCXGQGPMUQO-NSHDSACASA-N -1 1 309.333 1.264 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@H]1CCn2c(cnc2-c2ccccc2)C1 ZINC000364878426 418820080 /nfs/dbraw/zinc/82/00/80/418820080.db2.gz HLBOCXGQGPMUQO-NSHDSACASA-N -1 1 309.333 1.264 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CCn4cncc4C3)c[n-]c2[nH+]1 ZINC000365687788 418893872 /nfs/dbraw/zinc/89/38/72/418893872.db2.gz PQTKNPMRRVLAEV-UHFFFAOYSA-N -1 1 309.329 1.496 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2COc3ccc(F)cc3C2)c([O-])c1 ZINC000426381225 419454759 /nfs/dbraw/zinc/45/47/59/419454759.db2.gz NHMMDBJHTNKUGR-GFCCVEGCSA-N -1 1 302.305 1.968 20 0 DDADMM COc1ccc(CNC(=O)c2ncc(C)cc2[O-])c(OC)n1 ZINC000428073091 419806026 /nfs/dbraw/zinc/80/60/26/419806026.db2.gz CAKMGWAXVOIRLK-UHFFFAOYSA-N -1 1 303.318 1.438 20 0 DDADMM COC(=O)[C@](C)(NC(=O)c1cncc([O-])c1)c1ccc(F)cc1 ZINC000428567862 419895945 /nfs/dbraw/zinc/89/59/45/419895945.db2.gz BXDNRSOZXIUYEB-MRXNPFEDSA-N -1 1 318.304 1.745 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@](O)(C(F)(F)F)C1)c1cscn1 ZINC000645726650 423156254 /nfs/dbraw/zinc/15/62/54/423156254.db2.gz XCOGAEUGOCYUKI-POYBYMJQSA-N -1 1 316.326 1.267 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)C1=Cc2cc(Cl)ccc2OC1 ZINC000430333429 420109396 /nfs/dbraw/zinc/10/93/96/420109396.db2.gz QYIUOBATLFKUJJ-UHFFFAOYSA-N -1 1 317.736 1.434 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000416131805 420252831 /nfs/dbraw/zinc/25/28/31/420252831.db2.gz ZZDXVXGIFKIGQT-GARJFASQSA-N -1 1 321.377 1.985 20 0 DDADMM CC[C@@H](Cc1ccccc1)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000416149879 420258577 /nfs/dbraw/zinc/25/85/77/420258577.db2.gz IJTHTBMGXBQXPV-NSHDSACASA-N -1 1 317.345 1.648 20 0 DDADMM CCCN(C)S(=O)(=O)[N-]c1cnn(C)c1C(F)(F)F ZINC000436159364 420314464 /nfs/dbraw/zinc/31/44/64/420314464.db2.gz IMHROPOIMLQJBI-UHFFFAOYSA-N -1 1 300.306 1.437 20 0 DDADMM CCN1C[C@H](C)N(C(=O)NC[C@@H](CC(C)C)C(=O)[O-])C[C@H]1C ZINC000424424723 420318039 /nfs/dbraw/zinc/31/80/39/420318039.db2.gz POBMZKRCKUCMRK-HZSPNIEDSA-N -1 1 313.442 1.857 20 0 DDADMM O=C(N[C@H]1CC[S@](=O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC000436754573 420363192 /nfs/dbraw/zinc/36/31/92/420363192.db2.gz ZQMCPSJQOYSJHB-FHZGZLOMSA-N -1 1 307.293 1.662 20 0 DDADMM CN(C[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(Cl)cc1[O-] ZINC000436561876 420339171 /nfs/dbraw/zinc/33/91/71/420339171.db2.gz KZBXYBUBFXKRIA-SECBINFHSA-N -1 1 317.794 1.552 20 0 DDADMM O=C(CCNC(=O)c1cc(F)ccc1[O-])Nc1cccnc1 ZINC000436548249 420340741 /nfs/dbraw/zinc/34/07/41/420340741.db2.gz ZTYXCGNRWDTGDE-UHFFFAOYSA-N -1 1 303.293 1.685 20 0 DDADMM CC(C)(NC(=O)c1ccc2c(c1O)OC(C)(C)C2)c1nn[n-]n1 ZINC000436583894 420342820 /nfs/dbraw/zinc/34/28/20/420342820.db2.gz KZOMLYFHHQICQY-UHFFFAOYSA-N -1 1 317.349 1.284 20 0 DDADMM Cc1ncsc1CCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000425312645 420345577 /nfs/dbraw/zinc/34/55/77/420345577.db2.gz ZNHMLXICQGFMNO-SNVBAGLBSA-N -1 1 322.390 1.879 20 0 DDADMM COC(=O)C[C@H](C)CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000425310469 420346213 /nfs/dbraw/zinc/34/62/13/420346213.db2.gz XFYPCYZNNVAKLX-ZJUUUORDSA-N -1 1 311.338 1.071 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)CCc2ccn(C)n2)c1 ZINC000436725770 420360413 /nfs/dbraw/zinc/36/04/13/420360413.db2.gz RMJRYAQQDHCCOE-UHFFFAOYSA-N -1 1 303.318 1.484 20 0 DDADMM CC[C@H](NC(=O)CNC(=O)c1ncccc1[O-])[C@H]1CC1(C)C ZINC000416280544 420303369 /nfs/dbraw/zinc/30/33/69/420303369.db2.gz YPLJOVDYAOMGFA-MNOVXSKESA-N -1 1 305.378 1.458 20 0 DDADMM O=C(NCc1nnnn1CC1CC1)c1ccc(Cl)cc1[O-] ZINC000436859912 420377653 /nfs/dbraw/zinc/37/76/53/420377653.db2.gz ZHALNIRAHOILOJ-UHFFFAOYSA-N -1 1 307.741 1.372 20 0 DDADMM O=C(N[C@H](CO)CC(F)F)c1ccc(Br)cc1[O-] ZINC000436883108 420378804 /nfs/dbraw/zinc/37/88/04/420378804.db2.gz YZOCHIFCYSVPKZ-ZETCQYMHSA-N -1 1 324.121 1.901 20 0 DDADMM Cc1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c(O)c1 ZINC000436869517 420379078 /nfs/dbraw/zinc/37/90/78/420379078.db2.gz DQZBZFDGAQWODU-UHFFFAOYSA-N -1 1 322.342 1.306 20 0 DDADMM O=C(Nc1cnn(Cc2ccncc2)c1)C(=O)c1ccc([O-])cc1 ZINC000438915117 420470804 /nfs/dbraw/zinc/47/08/04/420470804.db2.gz HNEUPCINWCCAQU-UHFFFAOYSA-N -1 1 322.324 1.853 20 0 DDADMM CSc1nsc([N-]C(=O)c2csc(NC(C)=O)n2)n1 ZINC000440076619 420553178 /nfs/dbraw/zinc/55/31/78/420553178.db2.gz VHNGBFPMGFXVJD-UHFFFAOYSA-N -1 1 315.405 1.927 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)C=Cc1ccncn1 ZINC000492509975 420578914 /nfs/dbraw/zinc/57/89/14/420578914.db2.gz VTSSSILRAVENFC-SNAWJCMRSA-N -1 1 301.306 1.337 20 0 DDADMM O=C(C(=O)N1CCC[C@@H]1[C@H]1CCC[C@@H]1O)c1ccc([O-])cc1 ZINC000452689788 420644788 /nfs/dbraw/zinc/64/47/88/420644788.db2.gz WOVCBXHOBTZQDH-KFWWJZLASA-N -1 1 303.358 1.727 20 0 DDADMM CO[C@H]([C@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1)C1CC1 ZINC000454331309 420840058 /nfs/dbraw/zinc/84/00/58/420840058.db2.gz JDCVAWVDDSAXKK-TVQRCGJNSA-N -1 1 301.346 1.466 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H](NC(=O)c2cncc([O-])c2)C1 ZINC000494024205 420981337 /nfs/dbraw/zinc/98/13/37/420981337.db2.gz POZLVKZNTCVBJC-LBPRGKRZSA-N -1 1 321.377 1.917 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(CCC(=O)Nc2nnn[n-]2)CC1 ZINC000494044919 420984659 /nfs/dbraw/zinc/98/46/59/420984659.db2.gz UYKKKLZRTYDWEG-UHFFFAOYSA-N -1 1 324.385 1.566 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(CCC(=O)Nc2nn[n-]n2)CC1 ZINC000494044919 420984662 /nfs/dbraw/zinc/98/46/62/420984662.db2.gz UYKKKLZRTYDWEG-UHFFFAOYSA-N -1 1 324.385 1.566 20 0 DDADMM CCC[C@H]1CCC[C@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000449833249 421092807 /nfs/dbraw/zinc/09/28/07/421092807.db2.gz GSFTZKBYAJNFOX-DTWKUNHWSA-N -1 1 300.384 1.363 20 0 DDADMM CCC[C@H]1CCC[C@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000449833249 421092809 /nfs/dbraw/zinc/09/28/09/421092809.db2.gz GSFTZKBYAJNFOX-DTWKUNHWSA-N -1 1 300.384 1.363 20 0 DDADMM CCOc1cc(C(=O)N[C@@H](C)CC(=O)NC)cc(Cl)c1[O-] ZINC000456474710 421172958 /nfs/dbraw/zinc/17/29/58/421172958.db2.gz HRZXKHIREHCORW-QMMMGPOBSA-N -1 1 314.769 1.699 20 0 DDADMM C[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000544684588 421227937 /nfs/dbraw/zinc/22/79/37/421227937.db2.gz YBABSNBAZCZWRM-FOGDFJRCSA-N -1 1 301.350 1.211 20 0 DDADMM O=C(N=c1nc(C(F)(F)F)[n-][nH]1)c1cc2n(n1)CCCC2 ZINC000560120468 421236516 /nfs/dbraw/zinc/23/65/16/421236516.db2.gz RNPZJWACOGZCCL-UHFFFAOYSA-N -1 1 300.244 1.031 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1CC12CCSCC2 ZINC000560169726 421237445 /nfs/dbraw/zinc/23/74/45/421237445.db2.gz KCPYMJRZMFMFHA-SNVBAGLBSA-N -1 1 307.423 1.619 20 0 DDADMM CCc1nc([C@@H](C)NC(=O)N=c2[n-]nc(C3CC3)s2)n[nH]1 ZINC000560256257 421241221 /nfs/dbraw/zinc/24/12/21/421241221.db2.gz IPKOYNHSEZCSPA-ZCFIWIBFSA-N -1 1 307.383 1.401 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCC[C@H]1C1CC1 ZINC000561082245 421304809 /nfs/dbraw/zinc/30/48/09/421304809.db2.gz HIVYNJIBJAYUDT-UWVGGRQHSA-N -1 1 307.350 1.453 20 0 DDADMM CO[C@@H]1COCC[C@H]1C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000560319030 421245521 /nfs/dbraw/zinc/24/55/21/421245521.db2.gz ABACEXIXMDCOOW-WDEREUQCSA-N -1 1 320.436 1.090 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@H]1[C@H]1CCCO1 ZINC000560808541 421294494 /nfs/dbraw/zinc/29/44/94/421294494.db2.gz FBNPJLLTMAGNAE-QWHCGFSZSA-N -1 1 307.419 1.923 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCC[C@@H]1[C@H]1CCCO1 ZINC000560808534 421295495 /nfs/dbraw/zinc/29/54/95/421295495.db2.gz FBNPJLLTMAGNAE-CHWSQXEVSA-N -1 1 307.419 1.923 20 0 DDADMM Cc1[nH]nc2cc([N-]S(=O)(=O)c3cnc4n3CCC4)ccc12 ZINC000526115582 421332858 /nfs/dbraw/zinc/33/28/58/421332858.db2.gz DUWFVXIDBRBKNF-UHFFFAOYSA-N -1 1 317.374 1.815 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3ccc([O-])cc3F)[C@@H]2C1 ZINC000562223168 421343926 /nfs/dbraw/zinc/34/39/26/421343926.db2.gz HTVYDWMTWFTODO-SGIREYDYSA-N -1 1 320.364 1.908 20 0 DDADMM COC[C@@](C)(O)CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000525951570 421315004 /nfs/dbraw/zinc/31/50/04/421315004.db2.gz QGQQSKVAPJGXQI-ZDUSSCGKSA-N -1 1 303.742 1.181 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3CC34CCOCC4)cnc2n1 ZINC000562715273 421404435 /nfs/dbraw/zinc/40/44/35/421404435.db2.gz QPUMJZOXCAVQSG-CYBMUJFWSA-N -1 1 313.357 1.943 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1CC13CCOCC3)c2=O ZINC000562715273 421404439 /nfs/dbraw/zinc/40/44/39/421404439.db2.gz QPUMJZOXCAVQSG-CYBMUJFWSA-N -1 1 313.357 1.943 20 0 DDADMM CC(F)(F)C[N-]S(=O)(=O)c1cncc(Br)c1 ZINC000514743321 421451270 /nfs/dbraw/zinc/45/12/70/421451270.db2.gz BOCOFNJVXZXQAU-UHFFFAOYSA-N -1 1 315.139 1.778 20 0 DDADMM CC(C)C[C@@H](C(=O)[O-])N(C)CCS(=O)(=O)c1ccccc1 ZINC000563282920 421481121 /nfs/dbraw/zinc/48/11/21/421481121.db2.gz UTBAVBSVMXKUNZ-AWEZNQCLSA-N -1 1 313.419 1.891 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2cc(C)ccc2OC)n1 ZINC000551938297 421556910 /nfs/dbraw/zinc/55/69/10/421556910.db2.gz DGKZTIXISLCGPK-UHFFFAOYSA-N -1 1 324.358 1.487 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCO[C@H](CC(C)C)C1 ZINC000563949437 421560185 /nfs/dbraw/zinc/56/01/85/421560185.db2.gz XXEJWBUVULJIRF-GHMZBOCLSA-N -1 1 317.411 1.268 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCO[C@H](CC(C)C)C1 ZINC000563949437 421560188 /nfs/dbraw/zinc/56/01/88/421560188.db2.gz XXEJWBUVULJIRF-GHMZBOCLSA-N -1 1 317.411 1.268 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1COCC[C@@H]1c1ccccc1 ZINC000570381829 421642064 /nfs/dbraw/zinc/64/20/64/421642064.db2.gz WNZNNTFAGDEBMD-CHWSQXEVSA-N -1 1 313.361 1.125 20 0 DDADMM CC1(CC(=O)Nc2cc(S(=O)(=O)NC3CC3)ccc2[O-])CC1 ZINC000555689598 421687637 /nfs/dbraw/zinc/68/76/37/421687637.db2.gz ZGGAHOFRNREKCA-UHFFFAOYSA-N -1 1 324.402 1.962 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2C[C@@H](C)O[C@@H](C)C2)o1 ZINC000535052170 421699083 /nfs/dbraw/zinc/69/90/83/421699083.db2.gz YUQWXZQLIAUODH-ILWJIGKKSA-N -1 1 317.363 1.301 20 0 DDADMM O=C(NCc1cnn2ccccc12)c1csc(=NC2CC2)[n-]1 ZINC000571390264 421711903 /nfs/dbraw/zinc/71/19/03/421711903.db2.gz LEQOLFZZCJLYPU-UHFFFAOYSA-N -1 1 313.386 1.717 20 0 DDADMM CCCCn1ncc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1C ZINC000537125167 421727983 /nfs/dbraw/zinc/72/79/83/421727983.db2.gz ALPNXZJEAGGOSV-GFCCVEGCSA-N -1 1 317.397 1.525 20 0 DDADMM O=C([O-])c1ccc2c(c1)CCN2S(=O)(=O)CCN1CCCC1 ZINC000571017203 421680647 /nfs/dbraw/zinc/68/06/47/421680647.db2.gz PSYIYMDPOGUWRE-UHFFFAOYSA-N -1 1 324.402 1.173 20 0 DDADMM O=C(CN1CC[C@@H](c2cccc(Cl)c2)C1)Nc1nnn[n-]1 ZINC000571740608 421739059 /nfs/dbraw/zinc/73/90/59/421739059.db2.gz AZIOPFDRFUPFMO-SNVBAGLBSA-N -1 1 306.757 1.281 20 0 DDADMM O=C(CN1CC[C@@H](c2cccc(Cl)c2)C1)Nc1nn[n-]n1 ZINC000571740608 421739061 /nfs/dbraw/zinc/73/90/61/421739061.db2.gz AZIOPFDRFUPFMO-SNVBAGLBSA-N -1 1 306.757 1.281 20 0 DDADMM Cc1c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)sc2nccn12 ZINC000538224948 421738235 /nfs/dbraw/zinc/73/82/35/421738235.db2.gz NANMIDACMNJWFJ-SECBINFHSA-N -1 1 317.378 1.237 20 0 DDADMM O=C([O-])c1ccc2c(c1)CN(CCN1C[C@H]3CC[C@@H](C1)O3)CC2 ZINC000571810979 421745621 /nfs/dbraw/zinc/74/56/21/421745621.db2.gz PIOCOLQMXDCQHE-CALCHBBNSA-N -1 1 316.401 1.606 20 0 DDADMM C[C@@H](c1ccccc1)n1cnnc1SCc1nc(=O)n(C)[n-]1 ZINC000542710606 421827552 /nfs/dbraw/zinc/82/75/52/421827552.db2.gz CKCXFDVNPVRIOZ-JTQLQIEISA-N -1 1 316.390 1.602 20 0 DDADMM C[C@@H]1CCc2onc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)c2C1 ZINC000572919182 421885072 /nfs/dbraw/zinc/88/50/72/421885072.db2.gz WGMUOSXUIHPCLW-ZJUUUORDSA-N -1 1 316.365 1.332 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@H](Cc1ccccc1)C(=O)[O-] ZINC000630097179 421886119 /nfs/dbraw/zinc/88/61/19/421886119.db2.gz VUHCYUHQCRTZNV-BXUZGUMPSA-N -1 1 301.346 1.400 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@H](Cc1ccccc1)C(=O)[O-] ZINC000630097182 421887118 /nfs/dbraw/zinc/88/71/18/421887118.db2.gz VUHCYUHQCRTZNV-SMDDNHRTSA-N -1 1 301.346 1.400 20 0 DDADMM COc1cccc(C2(NCc3cc(C(=O)[O-])nn3C)CC2)c1 ZINC000635307258 421897990 /nfs/dbraw/zinc/89/79/90/421897990.db2.gz KLHNQXLFINRYMI-UHFFFAOYSA-N -1 1 301.346 1.906 20 0 DDADMM CCS[C@@H]1CCCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000543695617 421839991 /nfs/dbraw/zinc/83/99/91/421839991.db2.gz PRRXZXRISGRBMI-MWLCHTKSSA-N -1 1 321.406 1.212 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)NCCc1cccc(C(=O)[O-])c1 ZINC000630160230 421927273 /nfs/dbraw/zinc/92/72/73/421927273.db2.gz WIPCKSXAHPCMDP-LLVKDONJSA-N -1 1 301.346 1.645 20 0 DDADMM COC[C@@H](C[N-]S(=O)(=O)c1nc2ccccc2s1)OC ZINC000573243135 421939115 /nfs/dbraw/zinc/93/91/15/421939115.db2.gz ZZBSTMFOTLUADI-SECBINFHSA-N -1 1 316.404 1.236 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@](C)(O)CCC(C)C)sn1 ZINC000632021439 422025787 /nfs/dbraw/zinc/02/57/87/422025787.db2.gz IHRYMESVDUKOHV-GFCCVEGCSA-N -1 1 306.453 1.917 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccc3c(c2)COC3)CC1 ZINC000630226419 421975165 /nfs/dbraw/zinc/97/51/65/421975165.db2.gz PAEHXGJXSZCJPC-UHFFFAOYSA-N -1 1 318.373 1.338 20 0 DDADMM Cc1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)ccc1F ZINC000630224206 421976402 /nfs/dbraw/zinc/97/64/02/421976402.db2.gz OHLBQAGVNFMEKP-UHFFFAOYSA-N -1 1 308.353 1.755 20 0 DDADMM COc1cc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)ccc1F ZINC000630226993 421977623 /nfs/dbraw/zinc/97/76/23/421977623.db2.gz YHDUPIAHZPKYFN-NSHDSACASA-N -1 1 324.352 1.455 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCC[C@]1(O)CCCOC1 ZINC000633581021 421979181 /nfs/dbraw/zinc/97/91/81/421979181.db2.gz KGVNAUWRTGCECN-OAHLLOKOSA-N -1 1 313.781 1.636 20 0 DDADMM CCC(O)(CC)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000632004987 422013700 /nfs/dbraw/zinc/01/37/00/422013700.db2.gz CAGDZXFXVJOOSW-UHFFFAOYSA-N -1 1 315.317 1.258 20 0 DDADMM COC(=O)c1ccccc1NC(=O)CN(C)C[C@H](C)C(=O)[O-] ZINC000581946575 422073407 /nfs/dbraw/zinc/07/34/07/422073407.db2.gz SVNWIJVCCBKLTC-JTQLQIEISA-N -1 1 308.334 1.064 20 0 DDADMM CC[C@@H]1CN(C)CCN1C(=O)NCc1cccc(C(=O)[O-])c1 ZINC000635525952 422074575 /nfs/dbraw/zinc/07/45/75/422074575.db2.gz MGAJBLMEBXFQQL-CQSZACIVSA-N -1 1 305.378 1.620 20 0 DDADMM CN(C)c1c(CN[C@H](C(=O)[O-])c2cnn(C)c2)ccc(F)c1F ZINC000630458315 422116930 /nfs/dbraw/zinc/11/69/30/422116930.db2.gz WITHMNHDIYQATC-ZDUSSCGKSA-N -1 1 324.331 1.680 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCN(c2cccc(Cl)c2)CC1 ZINC000630414676 422086707 /nfs/dbraw/zinc/08/67/07/422086707.db2.gz XLGVJDSTCTYRPY-UHFFFAOYSA-N -1 1 320.784 1.135 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2c(F)cccc2Cl)CC1 ZINC000574405453 422105179 /nfs/dbraw/zinc/10/51/79/422105179.db2.gz CYLXCSGHUOLAGM-UHFFFAOYSA-N -1 1 321.757 1.853 20 0 DDADMM CCNC(=O)C(C)(C)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632127133 422106078 /nfs/dbraw/zinc/10/60/78/422106078.db2.gz NZHVSWDRAYCRTK-UHFFFAOYSA-N -1 1 324.349 1.658 20 0 DDADMM CC(C)Oc1ncccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000574595134 422139263 /nfs/dbraw/zinc/13/92/63/422139263.db2.gz KESZDGCRZXOOCM-NSHDSACASA-N -1 1 316.365 1.402 20 0 DDADMM COCC1(O)CCN(C(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000632160322 422130006 /nfs/dbraw/zinc/13/00/06/422130006.db2.gz AGSSXALOPSTAAO-UHFFFAOYSA-N -1 1 313.781 1.588 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2C[C@H](C)O[C@H](C)[C@@H]2C)c1 ZINC000632258832 422200175 /nfs/dbraw/zinc/20/01/75/422200175.db2.gz VTJNMJRYLRYCDH-GARJFASQSA-N -1 1 315.391 1.587 20 0 DDADMM CN(Cc1ccccc1N1CCCC1)C(=O)CCc1nn[n-]n1 ZINC000630595984 422209643 /nfs/dbraw/zinc/20/96/43/422209643.db2.gz BJNWCLXXKTYCPO-UHFFFAOYSA-N -1 1 314.393 1.391 20 0 DDADMM O=C(CCc1nn[n-]n1)N[C@H](c1ccccc1)[C@H]1CCOC1 ZINC000632281133 422219189 /nfs/dbraw/zinc/21/91/89/422219189.db2.gz SQACFMKOYMGRDY-SWLSCSKDSA-N -1 1 301.350 1.026 20 0 DDADMM CC[C@H]1C[C@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)CCO1 ZINC000583987827 422232352 /nfs/dbraw/zinc/23/23/52/422232352.db2.gz YCKJZILGJGONER-MNOVXSKESA-N -1 1 304.437 1.996 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)NCc1nnc2n1CCC2 ZINC000630635329 422235197 /nfs/dbraw/zinc/23/51/97/422235197.db2.gz CMOBJHNGLQSREZ-UHFFFAOYSA-N -1 1 306.753 1.442 20 0 DDADMM COC[C@@](C)(CCO)NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632323683 422248313 /nfs/dbraw/zinc/24/83/13/422248313.db2.gz XLISEKMAEFQZEQ-CQSZACIVSA-N -1 1 301.770 1.492 20 0 DDADMM CCO[C@H]1C[C@@H](N(C)C(=O)CCCc2nn[n-]n2)C12CCC2 ZINC000635701215 422257507 /nfs/dbraw/zinc/25/75/07/422257507.db2.gz YWEDNQIPYLWKCC-NEPJUHHUSA-N -1 1 307.398 1.329 20 0 DDADMM COc1ccc(=NC(=O)N2CCC[C@@H](c3cc(C)[nH]n3)C2)[n-]n1 ZINC000583580234 422189570 /nfs/dbraw/zinc/18/95/70/422189570.db2.gz ZCCIZSYDGKIOSP-LLVKDONJSA-N -1 1 316.365 1.350 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)COCc1cccnc1 ZINC000574840190 422192790 /nfs/dbraw/zinc/19/27/90/422192790.db2.gz PQAMCVXHASKPKG-UHFFFAOYSA-N -1 1 318.333 1.445 20 0 DDADMM CO[C@@H](Cc1ccccc1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000584213066 422282927 /nfs/dbraw/zinc/28/29/27/422282927.db2.gz KSAWXGMTTYGPAN-KGLIPLIRSA-N -1 1 315.377 1.163 20 0 DDADMM O=C(N[C@H]1CC(=O)N2CCCC[C@H]12)c1csc(=NC2CC2)[n-]1 ZINC000575432508 422297718 /nfs/dbraw/zinc/29/77/18/422297718.db2.gz FRPFDIRZHWGWDW-CMPLNLGQSA-N -1 1 320.418 1.023 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCO[C@H](c2ccc(Cl)cc2)C1 ZINC000630786942 422336699 /nfs/dbraw/zinc/33/66/99/422336699.db2.gz GQHFSTQMTVNRNO-LBPRGKRZSA-N -1 1 321.768 1.386 20 0 DDADMM O=S(=O)(C[C@H]1CCC1(F)F)[N-]Cc1nc(C(F)F)no1 ZINC000632479397 422379470 /nfs/dbraw/zinc/37/94/70/422379470.db2.gz CEYFPKVPASZKMZ-RXMQYKEDSA-N -1 1 317.264 1.472 20 0 DDADMM CCN1C[C@H](C[N-]S(=O)(=O)c2ccc(C(F)F)o2)CC1=O ZINC000632510651 422401638 /nfs/dbraw/zinc/40/16/38/422401638.db2.gz LPYKOWAELBACDV-QMMMGPOBSA-N -1 1 322.333 1.364 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)COCC2CC2)c1 ZINC000632512564 422402966 /nfs/dbraw/zinc/40/29/66/422402966.db2.gz TWDLKVPGSYBOAK-JTQLQIEISA-N -1 1 315.391 1.494 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])[C@H]1CCCN1c1ncccn1 ZINC000628961194 422421226 /nfs/dbraw/zinc/42/12/26/422421226.db2.gz VGTPXSQMKNUMIP-GFCCVEGCSA-N -1 1 302.309 1.929 20 0 DDADMM CC(C)(C)c1csc(CCNC(=O)CCc2nn[n-]n2)n1 ZINC000630934623 422425847 /nfs/dbraw/zinc/42/58/47/422425847.db2.gz AIUNOBQJTISMOV-UHFFFAOYSA-N -1 1 308.411 1.245 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](C)[C@@H](CO)C2)c1 ZINC000632585958 422455561 /nfs/dbraw/zinc/45/55/61/422455561.db2.gz HAVICDGYQAKDID-GHMZBOCLSA-N -1 1 315.391 1.040 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](C)[C@H](CO)C2)c1 ZINC000632585959 422456145 /nfs/dbraw/zinc/45/61/45/422456145.db2.gz HAVICDGYQAKDID-MNOVXSKESA-N -1 1 315.391 1.040 20 0 DDADMM Cc1nc(SCC(=O)c2cn(C)nn2)[n-]c(=O)c1C1CC1 ZINC000631023035 422477269 /nfs/dbraw/zinc/47/72/69/422477269.db2.gz FTSUCFCMIZDFLX-UHFFFAOYSA-N -1 1 305.363 1.472 20 0 DDADMM Cc1cccc(OCCCNC(=O)CCc2nn[n-]n2)c1C ZINC000632785081 422576756 /nfs/dbraw/zinc/57/67/56/422576756.db2.gz PFUAOQIIUZRUBM-UHFFFAOYSA-N -1 1 303.366 1.334 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]c1cn(C)nc1CC)C1CC1 ZINC000629291376 422587196 /nfs/dbraw/zinc/58/71/96/422587196.db2.gz DCFLWXOHGUHBJL-ZDUSSCGKSA-N -1 1 301.412 1.539 20 0 DDADMM C[C@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ccncc1 ZINC000632843328 422621172 /nfs/dbraw/zinc/62/11/72/422621172.db2.gz OCUAWOWPJDJTNJ-JQWIXIFHSA-N -1 1 302.334 1.680 20 0 DDADMM O=C(N1CCC[C@@H](c2n[n-]c(=O)o2)C1)C1(c2ccccn2)CC1 ZINC000632843299 422621276 /nfs/dbraw/zinc/62/12/76/422621276.db2.gz MMTPJMOOTASXTR-LLVKDONJSA-N -1 1 314.345 1.608 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N[C@H]1CCCS(=O)(=O)C1 ZINC000631241471 422626786 /nfs/dbraw/zinc/62/67/86/422626786.db2.gz YDOOIRFHLYBZRX-JTQLQIEISA-N -1 1 317.794 1.282 20 0 DDADMM COCC(C)(C)N1CCN([C@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000578756507 422642236 /nfs/dbraw/zinc/64/22/36/422642236.db2.gz WNUDJCPAKVKAEV-HNNXBMFYSA-N -1 1 324.396 1.994 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCn1ccc2cc(Cl)ccc21 ZINC000633006251 422709235 /nfs/dbraw/zinc/70/92/35/422709235.db2.gz RTAYKNIGNWQERS-UHFFFAOYSA-N -1 1 318.768 1.557 20 0 DDADMM Cc1nc(=N)[n-]c(N2CC[C@]3(C2)CC(=O)c2ccccc2O3)n1 ZINC000631301450 422670693 /nfs/dbraw/zinc/67/06/93/422670693.db2.gz OFEPHEDOGIQPBY-MRXNPFEDSA-N -1 1 311.345 1.207 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)N1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC000653284900 423420145 /nfs/dbraw/zinc/42/01/45/423420145.db2.gz YSEHEWUISUQBNH-LLVKDONJSA-N -1 1 308.382 1.710 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)[C@@]2(C(=O)[O-])CC=CCC2)C[C@@H](C)O1 ZINC000653307722 423434160 /nfs/dbraw/zinc/43/41/60/423434160.db2.gz VEUBYODMGHWWJC-CKEIUWERSA-N -1 1 324.421 1.413 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)[C@H]1CCc2ncncc2C1 ZINC000648746126 423440369 /nfs/dbraw/zinc/44/03/69/423440369.db2.gz KOAAFBYVOQVVAY-LURJTMIESA-N -1 1 312.255 1.357 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CNC(=O)c1ccc(C)c(C)c1 ZINC000646409577 423478038 /nfs/dbraw/zinc/47/80/38/423478038.db2.gz MTHMNLOAFMBEQW-UHFFFAOYSA-N -1 1 302.334 1.409 20 0 DDADMM COc1ccc(NC(=O)C2(OC)CC2)cc1[N-]S(C)(=O)=O ZINC000648726081 423429489 /nfs/dbraw/zinc/42/94/89/423429489.db2.gz VKZYEHISFPHNCM-UHFFFAOYSA-N -1 1 314.363 1.184 20 0 DDADMM CC[C@H](NC(=O)c1ncccc1[O-])C(=O)NCc1cccs1 ZINC000649040824 423593937 /nfs/dbraw/zinc/59/39/37/423593937.db2.gz QABZBXKFFAIADW-NSHDSACASA-N -1 1 319.386 1.674 20 0 DDADMM CC(C)(CNC(=O)c1cc(Cl)ccc1[O-])[C@@]1(O)CCOC1 ZINC000649094781 423624855 /nfs/dbraw/zinc/62/48/55/423624855.db2.gz HJRXMSQMLGGHMR-OAHLLOKOSA-N -1 1 313.781 1.953 20 0 DDADMM CC1(O)CC(C(=O)N=c2cc(-c3cccc(F)c3F)[n-][nH]2)C1 ZINC000649148022 423650692 /nfs/dbraw/zinc/65/06/92/423650692.db2.gz FIGXKICKYHFVLY-UHFFFAOYSA-N -1 1 307.300 1.876 20 0 DDADMM Cc1nn(CCSc2nc(C(F)F)cc(=O)[n-]2)c(=O)o1 ZINC000641485589 423664990 /nfs/dbraw/zinc/66/49/90/423664990.db2.gz NWVFAWYRQBLAIE-UHFFFAOYSA-N -1 1 304.278 1.370 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC[C@@H]1C1CCC1 ZINC000649196371 423671753 /nfs/dbraw/zinc/67/17/53/423671753.db2.gz KVPGVYQUBHOLMC-SNVBAGLBSA-N -1 1 307.350 1.691 20 0 DDADMM Cn1nnc2c1CC[C@@H](NC(=O)c1cc3ccccc3cc1[O-])C2 ZINC000654111496 423708964 /nfs/dbraw/zinc/70/89/64/423708964.db2.gz QNQRTNLGENIWLO-CYBMUJFWSA-N -1 1 322.368 1.961 20 0 DDADMM CCN1C[C@H](C[N-]S(=O)(=O)c2sccc2Cl)CC1=O ZINC000651856254 423713769 /nfs/dbraw/zinc/71/37/69/423713769.db2.gz ZDCZQNKAYDTQKZ-QMMMGPOBSA-N -1 1 322.839 1.548 20 0 DDADMM CC(C)c1nn(-c2ccccc2)cc1C(=O)NCc1nn[n-]n1 ZINC000644634530 423735953 /nfs/dbraw/zinc/73/59/53/423735953.db2.gz NOBQMBKNPCXUIN-UHFFFAOYSA-N -1 1 311.349 1.439 20 0 DDADMM CC(C)N(C(=O)[C@H](C)N1CCC[C@H](c2n[n-]c(=N)o2)C1)C(C)C ZINC000639826954 423763108 /nfs/dbraw/zinc/76/31/08/423763108.db2.gz WMSLEGQXZPXFBW-STQMWFEESA-N -1 1 323.441 1.695 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)NC[C@H](N)c1ccccc1OC ZINC000656853813 423796446 /nfs/dbraw/zinc/79/64/46/423796446.db2.gz PGOYAZPEIGFYDL-LBPRGKRZSA-N -1 1 308.378 1.702 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cc(F)cc(F)c1O)C1CC1 ZINC000641692507 423875251 /nfs/dbraw/zinc/87/52/51/423875251.db2.gz OBKIPARQJDDDOQ-LLVKDONJSA-N -1 1 307.318 1.837 20 0 DDADMM COCC1(S(=O)(=O)[N-]c2ccccc2-n2ccnn2)CC1 ZINC000641681846 423853507 /nfs/dbraw/zinc/85/35/07/423853507.db2.gz ISOLTLYBRDPIIB-UHFFFAOYSA-N -1 1 308.363 1.188 20 0 DDADMM CCn1cc(-c2[nH]ncc2C(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)cn1 ZINC000647262694 423922561 /nfs/dbraw/zinc/92/25/61/423922561.db2.gz KOOQFWMMIJNEGN-GXSJLCMTSA-N -1 1 317.349 1.276 20 0 DDADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C=CCCC2)c(C(F)(F)F)n1 ZINC000645079973 424146637 /nfs/dbraw/zinc/14/66/37/424146637.db2.gz ZCCJSKUWGROGIZ-MRVPVSSYSA-N -1 1 309.313 1.826 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-][C@@H]2C[C@H](O)C23CCC3)c(F)c1 ZINC000657185564 424198847 /nfs/dbraw/zinc/19/88/47/424198847.db2.gz FPTWRKPZFJERNO-NEPJUHHUSA-N -1 1 317.357 1.855 20 0 DDADMM COCCOc1ccc(CNC(=O)c2ccc([O-])c(F)c2)nn1 ZINC000655096611 424209319 /nfs/dbraw/zinc/20/93/19/424209319.db2.gz VCFVYIXQQRVQPP-UHFFFAOYSA-N -1 1 321.308 1.277 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1c(F)cc(OC)cc1F)C(C)(C)O ZINC000645109803 424164293 /nfs/dbraw/zinc/16/42/93/424164293.db2.gz TXBVLLYNUPJHJF-LLVKDONJSA-N -1 1 323.361 1.801 20 0 DDADMM CO[C@@H]1COC[C@@H]1NCc1cc(=O)[nH]c(-c2ccccc2)n1 ZINC000657413944 424335139 /nfs/dbraw/zinc/33/51/39/424335139.db2.gz CIZNWYKKNYTHHR-UONOGXRCSA-N -1 1 301.346 1.353 20 0 DDADMM C[C@H]1OCC[C@]1(O)CNC(=O)N=c1[n-]sc2ccccc21 ZINC000640339528 424358525 /nfs/dbraw/zinc/35/85/25/424358525.db2.gz RSPHXQUTSNQXAX-OTYXRUKQSA-N -1 1 307.375 1.380 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@@H](O)C(F)(F)F ZINC000640339690 424359049 /nfs/dbraw/zinc/35/90/49/424359049.db2.gz VDMXAAWKPUUPOU-MRVPVSSYSA-N -1 1 305.281 1.763 20 0 DDADMM CC(C)N1CC[C@H](NC(=O)N=c2[n-]sc3ccccc32)C1=O ZINC000640341838 424362467 /nfs/dbraw/zinc/36/24/67/424362467.db2.gz ZEKOKYSPOABRDN-NSHDSACASA-N -1 1 318.402 1.849 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NC[C@@]1(CCO)CCOC1 ZINC000640341198 424364816 /nfs/dbraw/zinc/36/48/16/424364816.db2.gz FKRBULANFIUONE-OAHLLOKOSA-N -1 1 321.402 1.629 20 0 DDADMM CN(C1CC1)S(=O)(=O)[N-]CC(F)(F)c1ccc(F)cc1 ZINC000660056380 424491913 /nfs/dbraw/zinc/49/19/13/424491913.db2.gz LQJZREXKTQMFPL-UHFFFAOYSA-N -1 1 308.325 1.846 20 0 DDADMM O=C([O-])CN1CC[C@H](N2CCC[C@@H]2Cc2cccc(F)c2)C1=O ZINC000662201697 424467351 /nfs/dbraw/zinc/46/73/51/424467351.db2.gz KNTUGGSOXOGUOC-CABCVRRESA-N -1 1 320.364 1.518 20 0 DDADMM CO[C@H](C[N@@H+](C)Cc1nc(=O)c2sccc2[n-]1)[C@@H]1CCOC1 ZINC000660292166 424625661 /nfs/dbraw/zinc/62/56/61/424625661.db2.gz FNNGVJZTXYMJSK-ZYHUDNBSSA-N -1 1 323.418 1.880 20 0 DDADMM CO[C@H](CN(C)Cc1nc(=O)c2sccc2[n-]1)[C@@H]1CCOC1 ZINC000660292166 424625666 /nfs/dbraw/zinc/62/56/66/424625666.db2.gz FNNGVJZTXYMJSK-ZYHUDNBSSA-N -1 1 323.418 1.880 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)Cc3cnn(C)c3)cnc2n1 ZINC000341920468 271247436 /nfs/dbraw/zinc/24/74/36/271247436.db2.gz BYBFOOPEFMUDFF-UHFFFAOYSA-N -1 1 311.345 1.650 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N(C)Cc3cnn(C)c3)c[n-]c2n1 ZINC000341920468 271247439 /nfs/dbraw/zinc/24/74/39/271247439.db2.gz BYBFOOPEFMUDFF-UHFFFAOYSA-N -1 1 311.345 1.650 20 0 DDADMM CCS(=O)(=O)C[C@H](C)N=c1nc(C(F)(F)F)[n-]s1 ZINC000342222070 271345045 /nfs/dbraw/zinc/34/50/45/271345045.db2.gz FIWNRZGYKGSAAX-YFKPBYRVSA-N -1 1 303.331 1.214 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@H](N3CCOCC3)C[C@H]2C)c([O-])c1 ZINC000342245651 271352142 /nfs/dbraw/zinc/35/21/42/271352142.db2.gz JYLAFMKDXBIASM-OLZOCXBDSA-N -1 1 305.378 1.031 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)c2ccon2)c1 ZINC000342360086 271389069 /nfs/dbraw/zinc/38/90/69/271389069.db2.gz NDTCTJOLNIKBGT-ZETCQYMHSA-N -1 1 300.292 1.094 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]c2ccc(C(N)=O)cc2F)c(C)o1 ZINC000345123924 272176907 /nfs/dbraw/zinc/17/69/07/272176907.db2.gz RGKSYFHVKUEBMH-UHFFFAOYSA-N -1 1 312.322 1.935 20 0 DDADMM CCN1CCN(CC(=O)Nc2cc(Cl)c([O-])cc2F)CC1 ZINC000345627432 272293577 /nfs/dbraw/zinc/29/35/77/272293577.db2.gz JBIYBQIYBRDMAS-UHFFFAOYSA-N -1 1 315.776 1.761 20 0 DDADMM CCC(CC)(CNc1cc(-n2cccn2)nc(N)n1)C(=O)[O-] ZINC000263110977 278587637 /nfs/dbraw/zinc/58/76/37/278587637.db2.gz YQWLKKZMPHGAOA-UHFFFAOYSA-N -1 1 304.354 1.547 20 0 DDADMM CC1(C)CCC[C@@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1 ZINC000339607843 281006701 /nfs/dbraw/zinc/00/67/01/281006701.db2.gz LNWCNUVMSONZGH-MRVPVSSYSA-N -1 1 300.384 1.363 20 0 DDADMM CC1(C)CCC[C@@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1 ZINC000339607843 281006704 /nfs/dbraw/zinc/00/67/04/281006704.db2.gz LNWCNUVMSONZGH-MRVPVSSYSA-N -1 1 300.384 1.363 20 0 DDADMM CN1C[C@H]([N-]S(=O)(=O)c2cc3ccccc3o2)CCC1=O ZINC000084938511 281014610 /nfs/dbraw/zinc/01/46/10/281014610.db2.gz YMGXYXKQIWIISM-LLVKDONJSA-N -1 1 308.359 1.332 20 0 DDADMM CCc1nc(S(=O)(=O)[C@@H](C)C(=O)Nc2cccc(C)c2)n[n-]1 ZINC000086170419 281015619 /nfs/dbraw/zinc/01/56/19/281015619.db2.gz CBSWFGNWMGKJFM-JTQLQIEISA-N -1 1 322.390 1.476 20 0 DDADMM CC1(C)CCC(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CC1 ZINC000128468856 281311584 /nfs/dbraw/zinc/31/15/84/281311584.db2.gz PEMLXWRTRISDHP-UHFFFAOYSA-N -1 1 300.384 1.363 20 0 DDADMM CC1(C)CCC(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CC1 ZINC000128468856 281311585 /nfs/dbraw/zinc/31/15/85/281311585.db2.gz PEMLXWRTRISDHP-UHFFFAOYSA-N -1 1 300.384 1.363 20 0 DDADMM CN1CC[C@@H]2OCCN(C(=O)c3s[n-]c(=O)c3Cl)[C@H]2C1 ZINC000285055944 290168011 /nfs/dbraw/zinc/16/80/11/290168011.db2.gz QNWDVKRVTLEWLE-YUMQZZPRSA-N -1 1 317.798 1.047 20 0 DDADMM Cc1noc([C@H](NC(=O)c2cncc([O-])c2)C2CCOCC2)n1 ZINC000572906244 304564110 /nfs/dbraw/zinc/56/41/10/304564110.db2.gz BIEZZPUWYSVXGV-CYBMUJFWSA-N -1 1 318.333 1.376 20 0 DDADMM C[C@@H]1CC(C(=O)N2CCN(c3nc(=N)[n-]s3)CC2)C[C@@H](C)C1 ZINC000356775197 298871705 /nfs/dbraw/zinc/87/17/05/298871705.db2.gz ONMUPGZOYIAPTF-QWRGUYRKSA-N -1 1 323.466 1.672 20 0 DDADMM CCOC(=O)[C@@H]1CCCCC[N@H+]1CCCNC(=O)C(F)(F)F ZINC000362304063 300047208 /nfs/dbraw/zinc/04/72/08/300047208.db2.gz DTDPIDGKFVIOOQ-NSHDSACASA-N -1 1 324.343 1.863 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC000363112241 300213201 /nfs/dbraw/zinc/21/32/01/300213201.db2.gz VJAAUZKUNWLXSE-NSHDSACASA-N -1 1 316.279 1.645 20 0 DDADMM COc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)ccc1Cl ZINC000368580168 301057373 /nfs/dbraw/zinc/05/73/73/301057373.db2.gz LRWKZNBNUQNMIV-LLVKDONJSA-N -1 1 323.740 1.075 20 0 DDADMM Cc1nn(C)c2c1N(C(=O)c1ccc(O)cc1[O-])CCS2 ZINC000368587830 301058913 /nfs/dbraw/zinc/05/89/13/301058913.db2.gz DWOGCSXMTZQWIF-UHFFFAOYSA-N -1 1 305.359 1.892 20 0 DDADMM Cc1nc(=NC[C@H]2CCCN(C)[C@H]2c2cnn(C)c2)s[n-]1 ZINC000369697832 301214207 /nfs/dbraw/zinc/21/42/07/301214207.db2.gz TYJHUVUDWOESOS-DGCLKSJQSA-N -1 1 306.439 1.497 20 0 DDADMM CC(=O)N1CCC[C@@H]1[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000370891111 301399751 /nfs/dbraw/zinc/39/97/51/301399751.db2.gz IFCQLACWGOMGDT-OLZOCXBDSA-N -1 1 303.362 1.403 20 0 DDADMM O=C(Nc1ccc2c(c1)N[C@H](CO)CO2)c1cncc([O-])c1 ZINC000375885118 302010697 /nfs/dbraw/zinc/01/06/97/302010697.db2.gz RKMMELJFJVCPHP-LLVKDONJSA-N -1 1 301.302 1.205 20 0 DDADMM Cc1csc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1Cl ZINC000376073096 302035704 /nfs/dbraw/zinc/03/57/04/302035704.db2.gz YEWYLVGTNRQTRB-ZETCQYMHSA-N -1 1 313.770 1.437 20 0 DDADMM Cc1cnn([C@@H]2CCN(C(=O)c3nc4ccccc4c(=O)[n-]3)C2)c1 ZINC000377977283 302261036 /nfs/dbraw/zinc/26/10/36/302261036.db2.gz ZYCATZDYDAVYRP-GFCCVEGCSA-N -1 1 323.356 1.515 20 0 DDADMM C[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C(=O)[N-]O[C@@H]1CCOC1 ZINC000495968426 302505173 /nfs/dbraw/zinc/50/51/73/302505173.db2.gz JRGDITDGNPTBGT-IJLUTSLNSA-N -1 1 314.382 1.326 20 0 DDADMM CC[C@](C)(CNC(=O)OC(C)(C)C)C(=O)[N-]OC(C)(C)CO ZINC000496356341 302562702 /nfs/dbraw/zinc/56/27/02/302562702.db2.gz DLSFBIAFROKMHO-OAHLLOKOSA-N -1 1 318.414 1.746 20 0 DDADMM Cn1nc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c2ccccc21 ZINC000528882149 303069200 /nfs/dbraw/zinc/06/92/00/303069200.db2.gz KONXXGKTSPXPJE-JTQLQIEISA-N -1 1 311.349 1.106 20 0 DDADMM CO[C@@H](C(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC000528883116 303069698 /nfs/dbraw/zinc/06/96/98/303069698.db2.gz OJNNSJVWQAOTQO-DGCLKSJQSA-N -1 1 319.340 1.433 20 0 DDADMM CCC[C@]1(C)CCCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000357348996 306877821 /nfs/dbraw/zinc/87/78/21/306877821.db2.gz AAGLVSKJIOYVFC-OAHLLOKOSA-N -1 1 309.366 1.939 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@@H]1CCCC1(C)C ZINC000529564825 303138129 /nfs/dbraw/zinc/13/81/29/303138129.db2.gz IHGSXEVTHBSAKD-VIFPVBQESA-N -1 1 309.366 1.843 20 0 DDADMM COC(=O)Cc1cccc(S(=O)(=O)[N-]c2ncccc2F)c1 ZINC000357400730 306881555 /nfs/dbraw/zinc/88/15/55/306881555.db2.gz NWJHHRPROVOOJC-UHFFFAOYSA-N -1 1 324.333 1.737 20 0 DDADMM CC(C)[C@H](NS(C)(=O)=O)C(=O)Nc1cccc(F)c1[O-] ZINC000530007172 303170216 /nfs/dbraw/zinc/17/02/16/303170216.db2.gz ZFKAFNNIJFKIKA-JTQLQIEISA-N -1 1 304.343 1.044 20 0 DDADMM CN(c1cccc(O)c1)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000531195649 303234472 /nfs/dbraw/zinc/23/44/72/303234472.db2.gz DOPKOBMIUTWQEP-UHFFFAOYSA-N -1 1 323.326 1.621 20 0 DDADMM COC(=O)c1cncc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c1 ZINC000535048283 303342286 /nfs/dbraw/zinc/34/22/86/303342286.db2.gz IHAMUPKPKZCYEK-UHFFFAOYSA-N -1 1 315.211 1.257 20 0 DDADMM O=C(c1cnc2ccsc2c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000539328008 303395075 /nfs/dbraw/zinc/39/50/75/303395075.db2.gz LVRHGIFSAPRAII-SECBINFHSA-N -1 1 314.374 1.829 20 0 DDADMM C[C@H](COc1ccccc1)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000539324927 303395088 /nfs/dbraw/zinc/39/50/88/303395088.db2.gz FFJLTEVUIUQQRY-CHWSQXEVSA-N -1 1 315.377 1.621 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cccc(F)c2)c1 ZINC000359701776 306960489 /nfs/dbraw/zinc/96/04/89/306960489.db2.gz WCUAAHAEXJWEMR-UHFFFAOYSA-N -1 1 324.333 1.692 20 0 DDADMM C[C@H]1Sc2ccc(C(=O)N=c3ccc([O-])n[nH]3)cc2NC1=O ZINC000361950606 307011964 /nfs/dbraw/zinc/01/19/64/307011964.db2.gz CDDAONANSYTNKW-SSDOTTSWSA-N -1 1 316.342 1.289 20 0 DDADMM C[C@H]1Sc2ccc(C(=O)N=c3ccc(O)n[n-]3)cc2NC1=O ZINC000361950606 307011965 /nfs/dbraw/zinc/01/19/65/307011965.db2.gz CDDAONANSYTNKW-SSDOTTSWSA-N -1 1 316.342 1.289 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC[C@@](CO)(c2ccccc2)C1 ZINC000367266267 307090607 /nfs/dbraw/zinc/09/06/07/307090607.db2.gz FJNFNJOXBBXTSR-GOSISDBHSA-N -1 1 312.369 1.954 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC[C@@H]1C1CC1 ZINC000369020972 307114465 /nfs/dbraw/zinc/11/44/65/307114465.db2.gz FSPAFUHZJZDKHA-CQSZACIVSA-N -1 1 314.389 1.995 20 0 DDADMM CSc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000370840274 307137697 /nfs/dbraw/zinc/13/76/97/307137697.db2.gz VCYMWQVLWQWMAX-LLVKDONJSA-N -1 1 305.363 1.135 20 0 DDADMM CCOC(=O)C1(COC)CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000373603099 307191657 /nfs/dbraw/zinc/19/16/57/307191657.db2.gz HXJZJZOSTDXJOV-UHFFFAOYSA-N -1 1 322.361 1.219 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CC[C@@H](O)c2ccccc2C1 ZINC000377889949 307278386 /nfs/dbraw/zinc/27/83/86/307278386.db2.gz RFHBOPTWSVYAMU-GFCCVEGCSA-N -1 1 323.374 1.024 20 0 DDADMM CC(C)(C)OC(=O)N1CCCC(C)(C)[C@H]1C(=O)Nc1nnn[n-]1 ZINC000496865126 307365563 /nfs/dbraw/zinc/36/55/63/307365563.db2.gz QHVIEJGYFCOUDW-SECBINFHSA-N -1 1 324.385 1.564 20 0 DDADMM CC(C)(C)OC(=O)N1CCCC(C)(C)[C@H]1C(=O)Nc1nn[n-]n1 ZINC000496865126 307365564 /nfs/dbraw/zinc/36/55/64/307365564.db2.gz QHVIEJGYFCOUDW-SECBINFHSA-N -1 1 324.385 1.564 20 0 DDADMM CC(C)C[C@H](C)OC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000496981715 307373324 /nfs/dbraw/zinc/37/33/24/307373324.db2.gz NMHGCYRDUHYNDT-JTQLQIEISA-N -1 1 313.427 1.644 20 0 DDADMM COCC1([N-]S(=O)(=O)c2cc(C(=O)OC)co2)CCCC1 ZINC000517395273 307433589 /nfs/dbraw/zinc/43/35/89/307433589.db2.gz DTKDYHBSQXEOOY-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM CCCN(CCC)S(=O)(=O)[N-]c1ccn(CCOC)n1 ZINC000531345077 307614726 /nfs/dbraw/zinc/61/47/26/307614726.db2.gz JICHTGGPJPVPRD-UHFFFAOYSA-N -1 1 304.416 1.308 20 0 DDADMM O=C(NCc1ccc2c(c1)CCS2(=O)=O)c1cncc([O-])c1 ZINC000532080932 307623933 /nfs/dbraw/zinc/62/39/33/307623933.db2.gz LZKANCXRFLPTLX-UHFFFAOYSA-N -1 1 318.354 1.047 20 0 DDADMM O=C(Nc1nc2c(s1)CCCC2)c1cc(=O)n2[n-]cnc2n1 ZINC000546090086 307725518 /nfs/dbraw/zinc/72/55/18/307725518.db2.gz HUFMODCADWYJST-UHFFFAOYSA-N -1 1 316.346 1.005 20 0 DDADMM C[C@@H](C(=O)[O-])C(=O)NCCCN1CCN(c2ccccc2)CC1 ZINC000567844335 308098650 /nfs/dbraw/zinc/09/86/50/308098650.db2.gz GVLMQZHNAAKEMM-CQSZACIVSA-N -1 1 319.405 1.036 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H]2CO[C@@H](C)C2)c(=O)[n-]1 ZINC000567942646 308101361 /nfs/dbraw/zinc/10/13/61/308101361.db2.gz QYFOVQKHXFWFQW-WPRPVWTQSA-N -1 1 311.407 1.439 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCS(=O)(=O)[C@@H]2CCC[C@H]21 ZINC000570657729 308175577 /nfs/dbraw/zinc/17/55/77/308175577.db2.gz OAAYSELWPWFCCU-CHWSQXEVSA-N -1 1 313.350 1.323 20 0 DDADMM Cc1noc(CS(=O)(=O)c2nc(-c3ccc(C)cc3)n[n-]2)n1 ZINC000573193382 308232482 /nfs/dbraw/zinc/23/24/82/308232482.db2.gz MMQZGAITBOLXQL-UHFFFAOYSA-N -1 1 319.346 1.445 20 0 DDADMM Cc1noc(CS(=O)(=O)c2n[n-]c(-c3ccc(C)cc3)n2)n1 ZINC000573193382 308232484 /nfs/dbraw/zinc/23/24/84/308232484.db2.gz MMQZGAITBOLXQL-UHFFFAOYSA-N -1 1 319.346 1.445 20 0 DDADMM O=C(c1cccc2oc(=O)[nH]c21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000574217918 308265147 /nfs/dbraw/zinc/26/51/47/308265147.db2.gz WVDCAGZPVLIKJB-QMMMGPOBSA-N -1 1 314.305 1.066 20 0 DDADMM O=C(N[C@H]1C[C@H](c2ccccc2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000577817616 308415595 /nfs/dbraw/zinc/41/55/95/308415595.db2.gz MVBJZHPIRUQDSK-HAQNSBGRSA-N -1 1 309.329 1.094 20 0 DDADMM COCC1(C[N-]S(=O)(=O)c2nc(C)c(C)s2)CCC1 ZINC000578084684 308436375 /nfs/dbraw/zinc/43/63/75/308436375.db2.gz QHWNXXNMCVKJBO-UHFFFAOYSA-N -1 1 304.437 1.855 20 0 DDADMM OC[C@@H]1CCCN1c1ccc(=NCc2ccccc2F)[n-]n1 ZINC000578122359 308438674 /nfs/dbraw/zinc/43/86/74/308438674.db2.gz WZFDTZJMGODRMN-ZDUSSCGKSA-N -1 1 302.353 1.611 20 0 DDADMM CCCc1nc(C)c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)o1 ZINC000582316620 326011779 /nfs/dbraw/zinc/01/17/79/326011779.db2.gz MILOAXCNNIOKFF-JTQLQIEISA-N -1 1 304.354 1.468 20 0 DDADMM CC(C)(C)CCN1CCN(C(=O)c2cnc(C(=O)[O-])cn2)CC1 ZINC000584291046 332220008 /nfs/dbraw/zinc/22/00/08/332220008.db2.gz WFRFRIMBBVININ-UHFFFAOYSA-N -1 1 320.393 1.369 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C2(C3CC3)CC2)c(C(F)(F)F)n1 ZINC000583131866 337242774 /nfs/dbraw/zinc/24/27/74/337242774.db2.gz ZIISIELKJMNNPP-UHFFFAOYSA-N -1 1 309.313 1.660 20 0 DDADMM C[C@H](CNC(=O)NC[C@@H](C)N1CCc2ccccc2C1)C(=O)[O-] ZINC000655865978 483971131 /nfs/dbraw/zinc/97/11/31/483971131.db2.gz UQDQPTHFAAMMKI-CHWSQXEVSA-N -1 1 319.405 1.453 20 0 DDADMM CC1(C(=O)[O-])CCN(CC(=O)Nc2ccc3c(c2)OCO3)CC1 ZINC000320479784 484402639 /nfs/dbraw/zinc/40/26/39/484402639.db2.gz SJGHFCAZLSATFD-UHFFFAOYSA-N -1 1 320.345 1.541 20 0 DDADMM Cc1ccc([C@@H](CNC(=O)[C@H](C(=O)[O-])C2CC2)N2CCCC2)o1 ZINC000663106552 484671783 /nfs/dbraw/zinc/67/17/83/484671783.db2.gz RUWJMXMADGYIQM-UKRRQHHQSA-N -1 1 320.389 1.952 20 0 DDADMM C[C@H]1CN(CCNC(=O)c2ncc3ccccc3c2[O-])CCO1 ZINC000667816488 484809822 /nfs/dbraw/zinc/80/98/22/484809822.db2.gz UYWCFMAVKPEIGO-LBPRGKRZSA-N -1 1 315.373 1.391 20 0 DDADMM O=c1cc(/C=C/c2ccccc2-n2cncn2)nc2nc[n-]n21 ZINC000672775427 485346287 /nfs/dbraw/zinc/34/62/87/485346287.db2.gz XZDNEBBXEAEPJE-AATRIKPKSA-N -1 1 305.301 1.169 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncc3ccccc3c2[O-])CCS1(=O)=O ZINC000668955814 485368438 /nfs/dbraw/zinc/36/84/38/485368438.db2.gz GKSNKCBGZLPETM-SNVBAGLBSA-N -1 1 320.370 1.200 20 0 DDADMM O=C(Nc1ccc(-n2cncn2)cn1)C(=O)c1ccc([O-])cc1 ZINC000673130847 485390502 /nfs/dbraw/zinc/39/05/02/485390502.db2.gz AREBTDKDLHSFBQ-UHFFFAOYSA-N -1 1 309.285 1.189 20 0 DDADMM O=C(NCc1nnnn1-c1ccccc1)c1cc(F)ccc1[O-] ZINC000674325238 485599899 /nfs/dbraw/zinc/59/98/99/485599899.db2.gz XOKLKAFTVOWHJB-UHFFFAOYSA-N -1 1 313.292 1.437 20 0 DDADMM O=C(c1cc2ccsc2[nH]1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000678754781 485675244 /nfs/dbraw/zinc/67/52/44/485675244.db2.gz NHHIGNNRTVTXNT-VIFPVBQESA-N -1 1 302.363 1.762 20 0 DDADMM CCC[C@@H](C)N1C[C@H](C(=O)N[C@@H](CCC)c2nn[n-]n2)CC1=O ZINC000675144340 485866083 /nfs/dbraw/zinc/86/60/83/485866083.db2.gz LFNRSWXMHTZTAR-UTUOFQBUSA-N -1 1 322.413 1.194 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC(F)(F)C2)o1 ZINC000675670157 486011159 /nfs/dbraw/zinc/01/11/59/486011159.db2.gz LGCBEIYONZBZGJ-ZETCQYMHSA-N -1 1 308.306 1.105 20 0 DDADMM Cc1nc(Cn2cc(C(=O)Nc3c([O-])cccc3F)nn2)no1 ZINC000683865228 486138750 /nfs/dbraw/zinc/13/87/50/486138750.db2.gz YGYQFUANUDMTIM-UHFFFAOYSA-N -1 1 318.268 1.115 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H](CO)CC3CC3)cnc2n1 ZINC000683896347 486149810 /nfs/dbraw/zinc/14/98/10/486149810.db2.gz HKYPJNWGWZLKFL-LLVKDONJSA-N -1 1 301.346 1.535 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N[C@@H](CO)CC3CC3)c[n-]c2n1 ZINC000683896347 486149815 /nfs/dbraw/zinc/14/98/15/486149815.db2.gz HKYPJNWGWZLKFL-LLVKDONJSA-N -1 1 301.346 1.535 20 0 DDADMM O=C(NC1(c2nn[nH]n2)CCCC1)c1cc(F)c([O-])c(F)c1 ZINC000680816554 486270987 /nfs/dbraw/zinc/27/09/87/486270987.db2.gz DPUBTUYADYDUQH-UHFFFAOYSA-N -1 1 309.276 1.383 20 0 DDADMM CCS(=O)(=O)Nc1ccc(NC(=O)c2n[nH]c(C)c2[O-])cc1 ZINC000676631801 486275425 /nfs/dbraw/zinc/27/54/25/486275425.db2.gz HMTWGHUFIMFAHJ-UHFFFAOYSA-N -1 1 324.362 1.438 20 0 DDADMM C[C@](NC(=O)c1ccc([O-])c(F)c1)(C(N)=O)c1ccccc1 ZINC000681037220 486327349 /nfs/dbraw/zinc/32/73/49/486327349.db2.gz ASAFYADZWPMABF-MRXNPFEDSA-N -1 1 302.305 1.662 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1CCN(C)c2ccccc21)c1nn[n-]n1 ZINC000681353890 486411123 /nfs/dbraw/zinc/41/11/23/486411123.db2.gz XSGQHTHMFFPHSA-YPMHNXCESA-N -1 1 314.393 1.385 20 0 DDADMM Cc1onc(-c2cccc(Cl)c2)c1C(=O)NCc1nn[n-]n1 ZINC000684735127 486421503 /nfs/dbraw/zinc/42/15/03/486421503.db2.gz NFJWJQKZLNFEAH-UHFFFAOYSA-N -1 1 318.724 1.747 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N(C)[C@@H]2CCCN(C)C2)c1 ZINC000424694788 533814687 /nfs/dbraw/zinc/81/46/87/533814687.db2.gz WQTVTWVYLHVGOO-MCMMXHMISA-N -1 1 324.446 1.355 20 0 DDADMM C[C@@H](CO)N(CC1CCC1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000494331322 534127097 /nfs/dbraw/zinc/12/70/97/534127097.db2.gz ODKGBFMKVREXLX-NSHDSACASA-N -1 1 315.373 1.546 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@H]1[C@@H]1CCCC1=O ZINC000332013685 518201984 /nfs/dbraw/zinc/20/19/84/518201984.db2.gz XUTWAFVBCPRHSD-AAEUAGOBSA-N -1 1 307.321 1.742 20 0 DDADMM C[C@@H]1CN(C)[C@@H](C)CN1C(=O)c1ccc(-c2nc(=O)o[n-]2)cc1 ZINC000332488412 534447452 /nfs/dbraw/zinc/44/74/52/534447452.db2.gz YPYGYXMTBFBINI-WDEREUQCSA-N -1 1 316.361 1.195 20 0 DDADMM Cc1cnc(C(=O)N2CCN(c3ncccc3F)CC2)c([O-])c1 ZINC000332390405 534523844 /nfs/dbraw/zinc/52/38/44/534523844.db2.gz RDGCQARZMWNFJX-UHFFFAOYSA-N -1 1 316.336 1.592 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](F)C2)o1 ZINC000452384283 526332328 /nfs/dbraw/zinc/33/23/28/526332328.db2.gz WHYQOPRXMVSKLM-SECBINFHSA-N -1 1 318.370 1.540 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCc1ccco1 ZINC000104822198 527114786 /nfs/dbraw/zinc/11/47/86/527114786.db2.gz WUZBKVHKAJXSAI-UHFFFAOYSA-N -1 1 314.345 1.134 20 0 DDADMM CCOC(=O)[C@@H]1CN(C(=O)c2cc(F)ccc2[O-])CC12COC2 ZINC000452268317 527882387 /nfs/dbraw/zinc/88/23/87/527882387.db2.gz WSDBVBKINWNQIR-LBPRGKRZSA-N -1 1 323.320 1.183 20 0 DDADMM CCOc1cc(C(=O)N2CC(O)(C(F)F)C2)cc(Cl)c1[O-] ZINC000425010558 528210590 /nfs/dbraw/zinc/21/05/90/528210590.db2.gz NWHBQYOZEAAIEB-UHFFFAOYSA-N -1 1 321.707 1.896 20 0 DDADMM CCNC(=O)c1ccc(=NCCc2ccccc2Cl)[n-]n1 ZINC000413090222 528231299 /nfs/dbraw/zinc/23/12/99/528231299.db2.gz ZFEDZTLUNQBPIR-UHFFFAOYSA-N -1 1 304.781 1.956 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)CCc1c(C)nn(C)c1C ZINC000295761243 528560752 /nfs/dbraw/zinc/56/07/52/528560752.db2.gz VVUUKZUDQGTCFA-UHFFFAOYSA-N -1 1 311.382 1.359 20 0 DDADMM CCOC[C@@H]1CCCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000458080198 528918396 /nfs/dbraw/zinc/91/83/96/528918396.db2.gz LOXGAMSDTVQQGJ-NSHDSACASA-N -1 1 318.377 1.705 20 0 DDADMM CCOC[C@@H]1CCCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000414408576 528919282 /nfs/dbraw/zinc/91/92/82/528919282.db2.gz YUIZWYFZNGAAGQ-LBPRGKRZSA-N -1 1 315.373 1.954 20 0 DDADMM CO[C@H](CNc1ccc(Cl)c(-c2nnn[n-]2)n1)C(F)(F)F ZINC000736962808 598830591 /nfs/dbraw/zinc/83/05/91/598830591.db2.gz QLAZZKTYIHGSCB-ZCFIWIBFSA-N -1 1 322.678 1.904 20 0 DDADMM CO[C@H](CNc1ccc(Cl)c(-c2nn[n-]n2)n1)C(F)(F)F ZINC000736962808 598830593 /nfs/dbraw/zinc/83/05/93/598830593.db2.gz QLAZZKTYIHGSCB-ZCFIWIBFSA-N -1 1 322.678 1.904 20 0 DDADMM NC(=O)NCc1cccc(-c2ccc(-c3nn[n-]n3)s2)c1 ZINC000737755832 598906862 /nfs/dbraw/zinc/90/68/62/598906862.db2.gz ROPCOPJNYDJAGZ-UHFFFAOYSA-N -1 1 300.347 1.764 20 0 DDADMM CC(C)(C)[C@@H](O)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000735597193 598968249 /nfs/dbraw/zinc/96/82/49/598968249.db2.gz NGGOMMIUXRFRFB-NSHDSACASA-N -1 1 313.365 1.629 20 0 DDADMM CC(C)(C)[C@@H](O)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000735597193 598968250 /nfs/dbraw/zinc/96/82/50/598968250.db2.gz NGGOMMIUXRFRFB-NSHDSACASA-N -1 1 313.365 1.629 20 0 DDADMM CN(Cc1cccs1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736828207 598981884 /nfs/dbraw/zinc/98/18/84/598981884.db2.gz JASCZTGVKYMIFW-UHFFFAOYSA-N -1 1 300.347 1.595 20 0 DDADMM CN(Cc1cccs1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736828207 598981887 /nfs/dbraw/zinc/98/18/87/598981887.db2.gz JASCZTGVKYMIFW-UHFFFAOYSA-N -1 1 300.347 1.595 20 0 DDADMM C[C@H](c1ccncc1)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736201432 598998672 /nfs/dbraw/zinc/99/86/72/598998672.db2.gz FEUXFJXKAJOPMW-SNVBAGLBSA-N -1 1 309.333 1.490 20 0 DDADMM C[C@H](c1ccncc1)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736201432 598998674 /nfs/dbraw/zinc/99/86/74/598998674.db2.gz FEUXFJXKAJOPMW-SNVBAGLBSA-N -1 1 309.333 1.490 20 0 DDADMM O=C(N[C@H]1C[C@H]1c1cccc(F)c1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738024758 598999076 /nfs/dbraw/zinc/99/90/76/598999076.db2.gz YIAOMSGMEDWRGT-JSGCOSHPSA-N -1 1 324.319 1.687 20 0 DDADMM O=C(N[C@H]1C[C@H]1c1cccc(F)c1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738024758 598999078 /nfs/dbraw/zinc/99/90/78/598999078.db2.gz YIAOMSGMEDWRGT-JSGCOSHPSA-N -1 1 324.319 1.687 20 0 DDADMM Cc1ccccc1O[C@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC000822366109 599066163 /nfs/dbraw/zinc/06/61/63/599066163.db2.gz CAYVAWBEAMPPGR-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM Cc1ccccc1O[C@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC000822366109 599066166 /nfs/dbraw/zinc/06/61/66/599066166.db2.gz CAYVAWBEAMPPGR-LLVKDONJSA-N -1 1 311.349 1.845 20 0 DDADMM C[C@H]1CCC[C@H](C)N(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736244744 599086921 /nfs/dbraw/zinc/08/69/21/599086921.db2.gz MUUKVBZIJHWFRC-QWRGUYRKSA-N -1 1 300.366 1.912 20 0 DDADMM C[C@H]1CCC[C@H](C)N(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736244744 599086924 /nfs/dbraw/zinc/08/69/24/599086924.db2.gz MUUKVBZIJHWFRC-QWRGUYRKSA-N -1 1 300.366 1.912 20 0 DDADMM Cc1cc(Br)ccc1NC(=O)CN[C@H](C)C(=O)[O-] ZINC000035388254 597062060 /nfs/dbraw/zinc/06/20/60/597062060.db2.gz HVLIERVSAFJIBQ-MRVPVSSYSA-N -1 1 315.167 1.759 20 0 DDADMM C[C@H]1C(=O)N(CN2CCC(C(=O)[O-])CC2)[C@@H]1c1ccccc1 ZINC000818085463 597206226 /nfs/dbraw/zinc/20/62/26/597206226.db2.gz YMMNWVQRPNWCPP-DOMZBBRYSA-N -1 1 302.374 1.960 20 0 DDADMM Cc1ccc(-c2n[nH]c(SCC(=O)NCCC(=O)[O-])n2)cc1 ZINC000818864371 597231356 /nfs/dbraw/zinc/23/13/56/597231356.db2.gz SOCPLUWVTNVESH-UHFFFAOYSA-N -1 1 320.374 1.463 20 0 DDADMM C[C@@H]1CCC[C@H](CC(=O)N=c2cc[nH]cc2-c2nn[n-]n2)C1 ZINC000736247331 599255394 /nfs/dbraw/zinc/25/53/94/599255394.db2.gz BPYOCKAOVQFVSL-MNOVXSKESA-N -1 1 300.366 1.839 20 0 DDADMM CCOc1cccc(N2CCN(CCCCC(=O)[O-])CC2)n1 ZINC000737886819 597778602 /nfs/dbraw/zinc/77/86/02/597778602.db2.gz QPPXSYKCKQWAAS-UHFFFAOYSA-N -1 1 307.394 1.857 20 0 DDADMM CS(=O)(=O)N1CCN(CCCC(=O)[O-])Cc2ccccc21 ZINC000820890459 597803906 /nfs/dbraw/zinc/80/39/06/597803906.db2.gz CTSQSJWYQAIDBO-UHFFFAOYSA-N -1 1 312.391 1.133 20 0 DDADMM C[C@H](NCC(=O)NCC(=O)[O-])c1ccccc1OC(F)F ZINC000820141611 597806333 /nfs/dbraw/zinc/80/63/33/597806333.db2.gz FNTGPWPOVKXFDA-QMMMGPOBSA-N -1 1 302.277 1.139 20 0 DDADMM O=C([O-])C[C@@H]1CCCN(CN2C[C@@H](c3cccnc3)CC2=O)C1 ZINC000821514998 598059091 /nfs/dbraw/zinc/05/90/91/598059091.db2.gz BAOLYEGHDJSEKP-ZFWWWQNUSA-N -1 1 317.389 1.542 20 0 DDADMM NC(=O)c1n[nH]c2ccc(NC(=O)c3ccc(C(=O)[O-])cc3)cc21 ZINC000821357113 598158602 /nfs/dbraw/zinc/15/86/02/598158602.db2.gz JCXBXZFMJYMXML-UHFFFAOYSA-N -1 1 324.296 1.612 20 0 DDADMM CC(=O)Nc1cccc(NC(=O)CN[C@@H](C(=O)[O-])C(C)C)c1 ZINC000819797030 598188810 /nfs/dbraw/zinc/18/88/10/598188810.db2.gz VBFHFPVLYXPXOW-CQSZACIVSA-N -1 1 307.350 1.282 20 0 DDADMM C[C@H](CC(=O)n1ncc(-c2nn[n-]n2)c1N)C1CCCCC1 ZINC000735978442 598286234 /nfs/dbraw/zinc/28/62/34/598286234.db2.gz WJAPHCBQIMRPGI-SECBINFHSA-N -1 1 303.370 1.892 20 0 DDADMM CC(=O)Nc1ccc(Sc2nccnc2-c2nn[n-]n2)cc1 ZINC000735489138 598336609 /nfs/dbraw/zinc/33/66/09/598336609.db2.gz SWYUCOYBTLKCLG-UHFFFAOYSA-N -1 1 313.346 1.766 20 0 DDADMM Clc1cccc(CCNc2nccnc2-c2nnn[n-]2)c1 ZINC000737575200 598337893 /nfs/dbraw/zinc/33/78/93/598337893.db2.gz NFIYCPBIHYSIJD-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM Clc1cccc(CCNc2nccnc2-c2nn[n-]n2)c1 ZINC000737575200 598337896 /nfs/dbraw/zinc/33/78/96/598337896.db2.gz NFIYCPBIHYSIJD-UHFFFAOYSA-N -1 1 301.741 1.965 20 0 DDADMM CN(C[C@H]1OCCc2ccccc21)c1nccnc1-c1nnn[n-]1 ZINC000736792912 598351445 /nfs/dbraw/zinc/35/14/45/598351445.db2.gz ULMTXPMCAAFROW-CYBMUJFWSA-N -1 1 323.360 1.407 20 0 DDADMM CN(C[C@H]1OCCc2ccccc21)c1nccnc1-c1nn[n-]n1 ZINC000736792912 598351447 /nfs/dbraw/zinc/35/14/47/598351447.db2.gz ULMTXPMCAAFROW-CYBMUJFWSA-N -1 1 323.360 1.407 20 0 DDADMM CCCO[C@H]1CCCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000736488201 598503218 /nfs/dbraw/zinc/50/32/18/598503218.db2.gz LUTVZBGPZXXEAX-NSHDSACASA-N -1 1 303.370 1.442 20 0 DDADMM CCCO[C@H]1CCCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000736488201 598503219 /nfs/dbraw/zinc/50/32/19/598503219.db2.gz LUTVZBGPZXXEAX-NSHDSACASA-N -1 1 303.370 1.442 20 0 DDADMM COC[C@H]1CCCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000736973528 598817738 /nfs/dbraw/zinc/81/77/38/598817738.db2.gz RZRJISILYWEUPW-ZETCQYMHSA-N -1 1 314.802 1.839 20 0 DDADMM COC[C@H]1CCCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000736973528 598817740 /nfs/dbraw/zinc/81/77/40/598817740.db2.gz RZRJISILYWEUPW-ZETCQYMHSA-N -1 1 314.802 1.839 20 0 DDADMM O=S(=O)(c1ccc(Cl)cc1-c1nn[n-]n1)N1CCCC1 ZINC000738355781 599334394 /nfs/dbraw/zinc/33/43/94/599334394.db2.gz SNQPQJJZWQZITO-UHFFFAOYSA-N -1 1 313.770 1.305 20 0 DDADMM CCOc1ncnc2c1CN(c1cccc(-c3nn[n-]n3)n1)CC2 ZINC000736655294 599422133 /nfs/dbraw/zinc/42/21/33/599422133.db2.gz ATKAVSNMRIEZRF-UHFFFAOYSA-N -1 1 324.348 1.013 20 0 DDADMM CCOc1ncnc2c1CN(c1cccc(-c3nnn[n-]3)n1)CC2 ZINC000736655294 599422132 /nfs/dbraw/zinc/42/21/32/599422132.db2.gz ATKAVSNMRIEZRF-UHFFFAOYSA-N -1 1 324.348 1.013 20 0 DDADMM Cc1ccc(C(=O)NCCNc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000737312219 599434585 /nfs/dbraw/zinc/43/45/85/599434585.db2.gz GXDMSDYETLYAFT-UHFFFAOYSA-N -1 1 323.360 1.412 20 0 DDADMM Cc1ccc(C(=O)NCCNc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000737312219 599434587 /nfs/dbraw/zinc/43/45/87/599434587.db2.gz GXDMSDYETLYAFT-UHFFFAOYSA-N -1 1 323.360 1.412 20 0 DDADMM COc1c(C)cnc(Cn2cccc(-c3nn[n-]n3)c2=O)c1C ZINC000737025048 599445049 /nfs/dbraw/zinc/44/50/49/599445049.db2.gz KVTSNPJFKLKJMD-UHFFFAOYSA-N -1 1 312.333 1.097 20 0 DDADMM CCC(CC)[C@@H](CCNc1ccc(-c2nnn[n-]2)nn1)OC ZINC000825096666 608435476 /nfs/dbraw/zinc/43/54/76/608435476.db2.gz PLCIDYGPXYGCRK-GFCCVEGCSA-N -1 1 305.386 1.910 20 0 DDADMM CCC(CC)[C@@H](CCNc1ccc(-c2nn[n-]n2)nn1)OC ZINC000825096666 608435477 /nfs/dbraw/zinc/43/54/77/608435477.db2.gz PLCIDYGPXYGCRK-GFCCVEGCSA-N -1 1 305.386 1.910 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)COc1ccc(Cl)cc1 ZINC000314835609 599777246 /nfs/dbraw/zinc/77/72/46/599777246.db2.gz YFBPSMUHDHWOMH-LBPRGKRZSA-N -1 1 323.736 1.254 20 0 DDADMM O=C([O-])C1CCN(C(=O)CNC2(c3ccc(F)cc3)CC2)CC1 ZINC000738545475 599803189 /nfs/dbraw/zinc/80/31/89/599803189.db2.gz URMUUCUNNWXXLG-UHFFFAOYSA-N -1 1 320.364 1.728 20 0 DDADMM O=C([O-])c1coc(CN2CCC(Nc3cccnn3)CC2)c1 ZINC000740270611 599915603 /nfs/dbraw/zinc/91/56/03/599915603.db2.gz WYCKGYZNQUCLPL-UHFFFAOYSA-N -1 1 302.334 1.844 20 0 DDADMM COCCN1CCCN(C(=O)c2cccc(NC(=O)[O-])c2)CC1 ZINC000737811270 599933347 /nfs/dbraw/zinc/93/33/47/599933347.db2.gz KFOSCWAGEXDSJW-UHFFFAOYSA-N -1 1 321.377 1.571 20 0 DDADMM C[C@@H](C(=O)NCc1cccs1)N1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000736215167 600295733 /nfs/dbraw/zinc/29/57/33/600295733.db2.gz MPJHAEMRPBBJOW-WCQYABFASA-N -1 1 322.430 1.940 20 0 DDADMM O=C([O-])[C@@H]1CC12CCN(CC(=O)NCc1ccccc1F)CC2 ZINC000405317830 600298151 /nfs/dbraw/zinc/29/81/51/600298151.db2.gz BRKUCCYMOBSTLK-ZDUSSCGKSA-N -1 1 320.364 1.629 20 0 DDADMM O=C([O-])[C@H]1CC12CCN(Cc1cc(=O)n3ccsc3n1)CC2 ZINC000405483464 600307441 /nfs/dbraw/zinc/30/74/41/600307441.db2.gz KWTCGECRJIFGFH-LLVKDONJSA-N -1 1 319.386 1.443 20 0 DDADMM C[C@H](CN(C)[C@@H](C(=O)NC1CC1)c1ccc(F)cc1)C(=O)[O-] ZINC000736576089 600365242 /nfs/dbraw/zinc/36/52/42/600365242.db2.gz UAMOFOAMZXTTSH-QMTHXVAHSA-N -1 1 308.353 1.798 20 0 DDADMM COc1ccccc1[C@H]1CCN([C@@H](C)C(=O)NCC(=O)[O-])C1 ZINC000738129421 600442945 /nfs/dbraw/zinc/44/29/45/600442945.db2.gz PSKTVWGDXONZTD-RYUDHWBXSA-N -1 1 306.362 1.074 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@@H](C(=O)[O-])c2cc(F)ccc2F)c1C ZINC000738248082 600616680 /nfs/dbraw/zinc/61/66/80/600616680.db2.gz BAOQWCLFWBGSFA-GFCCVEGCSA-N -1 1 309.272 1.860 20 0 DDADMM CNC(=O)C(C)(C)CNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821630318 607467998 /nfs/dbraw/zinc/46/79/98/607467998.db2.gz UEAVHWPUJOWAKY-UHFFFAOYSA-N -1 1 309.761 1.099 20 0 DDADMM CNC(=O)C(C)(C)CNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821630318 607467999 /nfs/dbraw/zinc/46/79/99/607467999.db2.gz UEAVHWPUJOWAKY-UHFFFAOYSA-N -1 1 309.761 1.099 20 0 DDADMM CN(CC(=O)N1C[C@H](C(=O)[O-])Oc2ccccc21)C1CCC1 ZINC000830665825 600837318 /nfs/dbraw/zinc/83/73/18/600837318.db2.gz ZDJPLFWIXMRPQH-CQSZACIVSA-N -1 1 304.346 1.350 20 0 DDADMM CC(C)(O)CN1CCN([C@@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000826636848 600997458 /nfs/dbraw/zinc/99/74/58/600997458.db2.gz PTEJBTHKLAWGIH-CQSZACIVSA-N -1 1 310.369 1.340 20 0 DDADMM CC(C)[C@H]1CN(C)CCN1CC(=O)Nc1ccccc1C(=O)[O-] ZINC000826741460 601011464 /nfs/dbraw/zinc/01/14/64/601011464.db2.gz DLPVXCDPDNEWCG-OAHLLOKOSA-N -1 1 319.405 1.595 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)N(C)CC(C)(C)O ZINC000832918968 601169079 /nfs/dbraw/zinc/16/90/79/601169079.db2.gz ZRRXDRXBEBVPNW-NSHDSACASA-N -1 1 308.378 1.723 20 0 DDADMM Cc1nc2n(c1C)CCN(Cc1ccnc(-c3nn[n-]n3)c1)C2 ZINC000826329008 607493683 /nfs/dbraw/zinc/49/36/83/607493683.db2.gz MTVRUKSHJBKACX-UHFFFAOYSA-N -1 1 310.365 1.091 20 0 DDADMM O=C([O-])[C@H]1CCC[C@H](C(=O)N2CCN(C3CCOCC3)CC2)C1 ZINC000833185575 601293485 /nfs/dbraw/zinc/29/34/85/601293485.db2.gz NYWBUULWPVZFKB-KBPBESRZSA-N -1 1 324.421 1.201 20 0 DDADMM CCOc1ccccc1NC(=O)CN1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000315412034 601526486 /nfs/dbraw/zinc/52/64/86/601526486.db2.gz LCFWEMPNSQANQZ-NEPJUHHUSA-N -1 1 306.362 1.676 20 0 DDADMM Cc1c(C(=O)[O-])sc2nc(C)nc(NCc3c[nH]nn3)c12 ZINC000833002607 601605438 /nfs/dbraw/zinc/60/54/38/601605438.db2.gz CMIRGVNXSLICDH-UHFFFAOYSA-N -1 1 304.335 1.737 20 0 DDADMM CCN(CC)Cc1ccc(C(=O)N2CCOC[C@H]2C(=O)[O-])o1 ZINC000316769376 601606847 /nfs/dbraw/zinc/60/68/47/601606847.db2.gz OLHNIAFJHFRNMC-LBPRGKRZSA-N -1 1 310.350 1.047 20 0 DDADMM O=C([O-])c1ccc(NC(=O)CN2CCC[C@@H]3COCC[C@H]32)cc1 ZINC000833104683 601662398 /nfs/dbraw/zinc/66/23/98/601662398.db2.gz DBGMFCDTBSFFQA-UKRRQHHQSA-N -1 1 318.373 1.824 20 0 DDADMM CN(C)[C@H](CNC(=O)NCC(C)(C)C(=O)[O-])c1ccsc1 ZINC000830581596 601666738 /nfs/dbraw/zinc/66/67/38/601666738.db2.gz PNHTVSYPCTXJPG-LLVKDONJSA-N -1 1 313.423 1.761 20 0 DDADMM COc1cc(NC(=O)CN2CC[C@@](C)(C(=O)[O-])C2)cc(OC)c1 ZINC000832017336 601915188 /nfs/dbraw/zinc/91/51/88/601915188.db2.gz RDDKWYDQBUDDJQ-MRXNPFEDSA-N -1 1 322.361 1.439 20 0 DDADMM O=C([O-])NC1CCC(C(=O)N2CCN3CCCC[C@@H]3C2)CC1 ZINC000740554716 601923092 /nfs/dbraw/zinc/92/30/92/601923092.db2.gz ZCCVNHMTWJRQNB-JXQTWKCFSA-N -1 1 309.410 1.510 20 0 DDADMM C[C@@H](C(=O)NCc1ccccc1)N1CCSC[C@H]1CC(=O)[O-] ZINC000826009494 601924942 /nfs/dbraw/zinc/92/49/42/601924942.db2.gz YNVQUQPRRCRBHY-GXTWGEPZSA-N -1 1 322.430 1.583 20 0 DDADMM c1ccn2c(c1)nnc2SCc1ccnc(-c2nnn[n-]2)c1 ZINC000826524949 607538678 /nfs/dbraw/zinc/53/86/78/607538678.db2.gz PQBNJPYKLMRMQY-UHFFFAOYSA-N -1 1 310.346 1.597 20 0 DDADMM c1ccn2c(c1)nnc2SCc1ccnc(-c2nn[n-]n2)c1 ZINC000826524949 607538680 /nfs/dbraw/zinc/53/86/80/607538680.db2.gz PQBNJPYKLMRMQY-UHFFFAOYSA-N -1 1 310.346 1.597 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)c1ccccn1 ZINC000833126765 602043944 /nfs/dbraw/zinc/04/39/44/602043944.db2.gz SWYCPETZMIBGAC-NOZJJQNGSA-N -1 1 300.318 1.167 20 0 DDADMM CC[C@@H](C)[C@@H](NC(=O)[O-])C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000739235315 602151917 /nfs/dbraw/zinc/15/19/17/602151917.db2.gz QEVNVKNABXWRMI-ZWNOBZJWSA-N -1 1 317.349 1.888 20 0 DDADMM Cc1ccc(-c2n[nH]c(SCC(=O)N[C@@H](C)C(=O)[O-])n2)cc1 ZINC000818864063 602181688 /nfs/dbraw/zinc/18/16/88/602181688.db2.gz AORUUEJRZNXOOW-VIFPVBQESA-N -1 1 320.374 1.462 20 0 DDADMM Cc1cc2c[nH]nc2c(C(=O)N2CCC(NC(=O)[O-])CC2)c1 ZINC000740054950 602245852 /nfs/dbraw/zinc/24/58/52/602245852.db2.gz WGQYLZVAGQQLQT-UHFFFAOYSA-N -1 1 302.334 1.744 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCCN1Cc1cnc2ccnn2c1 ZINC000738998060 602260925 /nfs/dbraw/zinc/26/09/25/602260925.db2.gz CWEGPRAWWITUPU-YPMHNXCESA-N -1 1 303.366 1.740 20 0 DDADMM Cn1cc(NC(=O)N2CCN(CC3CC3)CC2)cc1C(=O)[O-] ZINC000833049241 602374318 /nfs/dbraw/zinc/37/43/18/602374318.db2.gz SHUPUQGARKWUMG-UHFFFAOYSA-N -1 1 306.366 1.283 20 0 DDADMM C[C@@H](O)[C@@H]1CCCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000824691021 607563073 /nfs/dbraw/zinc/56/30/73/607563073.db2.gz XENOULFBYPHIBF-RKDXNWHRSA-N -1 1 308.773 1.512 20 0 DDADMM C[C@@H](O)[C@@H]1CCCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000824691021 607563075 /nfs/dbraw/zinc/56/30/75/607563075.db2.gz XENOULFBYPHIBF-RKDXNWHRSA-N -1 1 308.773 1.512 20 0 DDADMM O=C([O-])N1CC[C@@H](CCNC(=O)c2n[nH]c3ccccc32)C1 ZINC000740428806 602420439 /nfs/dbraw/zinc/42/04/39/602420439.db2.gz MTLUAFWVELVFHQ-SNVBAGLBSA-N -1 1 302.334 1.683 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)[C@H](C)CNC(=O)[O-])c1Br ZINC000740205627 602526558 /nfs/dbraw/zinc/52/65/58/602526558.db2.gz WBFKHZBGNOCCDT-RXMQYKEDSA-N -1 1 319.159 1.209 20 0 DDADMM O=C([O-])N1CC[C@H](CCNC(=O)N2CCN3CCCC[C@@H]3C2)C1 ZINC000740502562 602722466 /nfs/dbraw/zinc/72/24/66/602722466.db2.gz TVTIBTBXJPNJBH-UONOGXRCSA-N -1 1 324.425 1.256 20 0 DDADMM C[NH+](C)CC(C)(C)CNC(=O)c1ccc(NC(=O)[O-])cc1[O-] ZINC000739606230 602840459 /nfs/dbraw/zinc/84/04/59/602840459.db2.gz FFYGWZFKPKMLFF-UHFFFAOYSA-N -1 1 309.366 1.800 20 0 DDADMM CCN(C[C@@H]1CCCN(C(=O)[O-])C1)[C@H](C)CS(=O)(=O)CC ZINC000739415222 602840743 /nfs/dbraw/zinc/84/07/43/602840743.db2.gz UQBJNXZICWPJHZ-OLZOCXBDSA-N -1 1 320.455 1.522 20 0 DDADMM Cc1ccc(NC(=O)CN(C)C[C@H]2CCN(C(=O)[O-])C2)cc1 ZINC000740136587 602977788 /nfs/dbraw/zinc/97/77/88/602977788.db2.gz SIJUKFGNVBYYOQ-CYBMUJFWSA-N -1 1 305.378 1.865 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@H]1NC(=O)c1n[nH]c2ccccc21 ZINC000740624355 602982487 /nfs/dbraw/zinc/98/24/87/602982487.db2.gz HSHLXHAPCWPTNK-GXSJLCMTSA-N -1 1 302.334 1.729 20 0 DDADMM O=C([O-])NC[C@H]1CCCC[C@@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000740625781 602988726 /nfs/dbraw/zinc/98/87/26/602988726.db2.gz BMBIDOOPBRRJTL-MNOVXSKESA-N -1 1 317.349 1.514 20 0 DDADMM CCN(CCNC(=O)N1C[C@@H]2CCCCN2C[C@@H]1C)C(=O)[O-] ZINC000739427161 602994187 /nfs/dbraw/zinc/99/41/87/602994187.db2.gz PFXWLLDNGVKOAP-STQMWFEESA-N -1 1 312.414 1.255 20 0 DDADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)NC1CCN(C(=O)[O-])CC1 ZINC000736820858 602994415 /nfs/dbraw/zinc/99/44/15/602994415.db2.gz HGWOWJZAFROEFU-JSGCOSHPSA-N -1 1 324.425 1.397 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)NCCCN(C)C(=O)[O-] ZINC000739199046 602995703 /nfs/dbraw/zinc/99/57/03/602995703.db2.gz NXWDMLOZSJQAOJ-CHWSQXEVSA-N -1 1 312.414 1.255 20 0 DDADMM CC(C)(CNC(=O)[O-])NCc1ccc(Br)cn1 ZINC000738753466 603035490 /nfs/dbraw/zinc/03/54/90/603035490.db2.gz WXBTUAAMJNDJNL-UHFFFAOYSA-N -1 1 302.172 1.980 20 0 DDADMM Cc1ccc(C(=O)NC2CCN(CCNC(=O)[O-])CC2)s1 ZINC000740109275 603084366 /nfs/dbraw/zinc/08/43/66/603084366.db2.gz IKZFUMHAJQTUKC-UHFFFAOYSA-N -1 1 311.407 1.518 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)N[C@@H](CNC(=O)[O-])C1CC1 ZINC000739199889 603089575 /nfs/dbraw/zinc/08/95/75/603089575.db2.gz STVKKTRYKBHYKK-XBFCOCLRSA-N -1 1 324.425 1.301 20 0 DDADMM CC(C)C[C@](C)(CNC(=O)[O-])NC(=O)c1[nH]nc2c1CCC2 ZINC000738803305 603225612 /nfs/dbraw/zinc/22/56/12/603225612.db2.gz BPKDFBHONPRGMK-OAHLLOKOSA-N -1 1 308.382 1.701 20 0 DDADMM COc1cccc([C@@H](CNC(=O)C[C@@H](C)NC(=O)[O-])N(C)C)c1 ZINC000829544394 603251080 /nfs/dbraw/zinc/25/10/80/603251080.db2.gz UYFBXSJBQYYJKA-BXUZGUMPSA-N -1 1 323.393 1.460 20 0 DDADMM C[C@H](O)[C@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000824679776 607628707 /nfs/dbraw/zinc/62/87/07/607628707.db2.gz VUVASQMPMNXJIT-IUCAKERBSA-N -1 1 307.379 1.161 20 0 DDADMM C[C@H](O)[C@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000824679776 607628708 /nfs/dbraw/zinc/62/87/08/607628708.db2.gz VUVASQMPMNXJIT-IUCAKERBSA-N -1 1 307.379 1.161 20 0 DDADMM C[C@@H](C(=O)Nc1nccs1)N(C)CC(C)(C)CNC(=O)[O-] ZINC000823724202 603628026 /nfs/dbraw/zinc/62/80/26/603628026.db2.gz OHXFRWQTTONPPQ-VIFPVBQESA-N -1 1 314.411 1.696 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)[C@@H]1CC[C@H](NC(=O)[O-])C1 ZINC000826086660 603630282 /nfs/dbraw/zinc/63/02/82/603630282.db2.gz AGYXTSSANYAQOV-YIYPIFLZSA-N -1 1 309.410 1.508 20 0 DDADMM Cc1ccc2nc(CN3CC[C@@H](N(C)C(=O)[O-])C3)cc(=O)n2c1 ZINC000830453345 603850909 /nfs/dbraw/zinc/85/09/09/603850909.db2.gz GVKMIMNSZVHISY-CYBMUJFWSA-N -1 1 316.361 1.187 20 0 DDADMM CCC(CC)(CN[C@@H](C(N)=O)c1ccc(F)cc1)NC(=O)[O-] ZINC000826560941 603883109 /nfs/dbraw/zinc/88/31/09/603883109.db2.gz CXTFRWZJLVMIKI-GFCCVEGCSA-N -1 1 311.357 1.768 20 0 DDADMM C[C@H](CN1CCC([C@@H](C)NC(=O)[O-])CC1)CS(C)(=O)=O ZINC000824902953 603904633 /nfs/dbraw/zinc/90/46/33/603904633.db2.gz RYUOHJDPLMOBIM-GHMZBOCLSA-N -1 1 306.428 1.035 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000825193745 603938709 /nfs/dbraw/zinc/93/87/09/603938709.db2.gz KWUQNJAGWSERJT-MJVIPROJSA-N -1 1 320.393 1.867 20 0 DDADMM CN(C[C@H]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1)C(=O)[O-] ZINC000828245485 603945448 /nfs/dbraw/zinc/94/54/48/603945448.db2.gz IAOSUNDDIRZHOA-GHMZBOCLSA-N -1 1 306.366 1.288 20 0 DDADMM CN(Cc1nnc[nH]1)C(=O)[C@@H]1CCCCCC[C@@H]1NC(=O)[O-] ZINC000828426563 603954563 /nfs/dbraw/zinc/95/45/63/603954563.db2.gz JZWNUNDEODPOCD-MNOVXSKESA-N -1 1 309.370 1.370 20 0 DDADMM Cc1nn(C)c(C)c1NC(=O)CN(C)C1CCN(C(=O)[O-])CC1 ZINC000830854850 603989091 /nfs/dbraw/zinc/98/90/91/603989091.db2.gz BTUXWAIOKCBPRZ-UHFFFAOYSA-N -1 1 323.397 1.050 20 0 DDADMM C[C@@H]1CN(C[C@H](O)COCc2ccccc2)C[C@@H](C)N1C(=O)[O-] ZINC000739177111 604016294 /nfs/dbraw/zinc/01/62/94/604016294.db2.gz FUZCJTBQKYEESO-FMKPAKJESA-N -1 1 322.405 1.637 20 0 DDADMM O=C([O-])N1CC(CC(=O)NCc2nc3ccc(F)cc3[nH]2)C1 ZINC000831366666 604057779 /nfs/dbraw/zinc/05/77/79/604057779.db2.gz SXVPSMATBXZMKW-UHFFFAOYSA-N -1 1 306.297 1.318 20 0 DDADMM COC(=O)/C(C)=C/CN1CCC([C@@H]2CCCN2C(=O)[O-])CC1 ZINC000828599509 604092004 /nfs/dbraw/zinc/09/20/04/604092004.db2.gz AKYGEYPGJVBLNS-CLUXPMKYSA-N -1 1 310.394 1.960 20 0 DDADMM COc1cccc([C@H](C)NCC(=O)N2CCN(C(=O)[O-])CC2)c1 ZINC000829544437 604183828 /nfs/dbraw/zinc/18/38/28/604183828.db2.gz VSADPVABZJVEGZ-LBPRGKRZSA-N -1 1 321.377 1.168 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(CC(=O)NC2CCCCC2)C1 ZINC000825256712 604206364 /nfs/dbraw/zinc/20/63/64/604206364.db2.gz ZTFRFHOUVBDHEC-OLZOCXBDSA-N -1 1 311.426 1.803 20 0 DDADMM O=C([O-])NC1(C(=O)NC[C@@H](c2ccco2)N2CCCC2)CC1 ZINC000832161280 604421209 /nfs/dbraw/zinc/42/12/09/604421209.db2.gz CTWBZOITQLWPMN-NSHDSACASA-N -1 1 307.350 1.333 20 0 DDADMM CC(C)OC[C@@H](C(=O)[O-])N(C)C(=O)CN1CCC(C)CC1 ZINC000828285112 604447868 /nfs/dbraw/zinc/44/78/68/604447868.db2.gz KZIFMBDRWIBGPB-ZDUSSCGKSA-N -1 1 300.399 1.055 20 0 DDADMM O=C([O-])N[C@@H]1CCN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000740584953 604476340 /nfs/dbraw/zinc/47/63/40/604476340.db2.gz DOJGYEFIBQJMMW-SECBINFHSA-N -1 1 301.302 1.010 20 0 DDADMM O=C([O-])c1csc(NC(=O)N2CC[C@@H](N3CC=CC3)C2)c1 ZINC000833342055 604486707 /nfs/dbraw/zinc/48/67/07/604486707.db2.gz DYGKJNNQFDBEDH-LLVKDONJSA-N -1 1 307.375 1.924 20 0 DDADMM O=C(CN(C[C@H]1CCCO1)C(=O)[O-])Nc1ccc2[nH]nnc2c1 ZINC000831474309 604526102 /nfs/dbraw/zinc/52/61/02/604526102.db2.gz OKKPRDJMLCPORE-SNVBAGLBSA-N -1 1 319.321 1.055 20 0 DDADMM Cc1ccc(NC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)cn1 ZINC000832945684 604531493 /nfs/dbraw/zinc/53/14/93/604531493.db2.gz HTBAIZYXJXZQFF-LBPRGKRZSA-N -1 1 306.366 1.403 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccsc2C(=O)[O-])[C@H](C)CN1C ZINC000833627422 604572030 /nfs/dbraw/zinc/57/20/30/604572030.db2.gz RYKLVUPBLLWSJN-NXEZZACHSA-N -1 1 311.407 1.409 20 0 DDADMM C[C@H]1CN(C(=O)c2cccc(OCC(=O)[O-])c2)[C@@H](C)CN1C ZINC000833623359 604579958 /nfs/dbraw/zinc/57/99/58/604579958.db2.gz PNRGDRXPWQBNAJ-RYUDHWBXSA-N -1 1 306.362 1.315 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)C[C@H]3CCCN3C(=O)[O-])n2)o1 ZINC000830158839 604585342 /nfs/dbraw/zinc/58/53/42/604585342.db2.gz BNZXPEDJLFFGJZ-SECBINFHSA-N -1 1 319.321 1.844 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@H]3CCCN(C(=O)[O-])C3)C2)n[nH]1 ZINC000830735604 604645687 /nfs/dbraw/zinc/64/56/87/604645687.db2.gz SGPXULJGMKTYOS-NEPJUHHUSA-N -1 1 321.381 1.209 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)[C@@H]3CC[C@H](NC(=O)[O-])C3)C2)n[nH]1 ZINC000830732866 604646173 /nfs/dbraw/zinc/64/61/73/604646173.db2.gz DWVZSGWRJYAQCK-UTUOFQBUSA-N -1 1 321.381 1.255 20 0 DDADMM C[C@@H](Oc1ccc(CN(C)[C@@H]2CCN(C)C2=O)cc1)C(=O)[O-] ZINC000833536409 604657590 /nfs/dbraw/zinc/65/75/90/604657590.db2.gz CJTNYQHZXXEEIV-BXUZGUMPSA-N -1 1 306.362 1.201 20 0 DDADMM CCOC[C@@H](C(=O)[O-])N(C)C(=O)c1cc(C)cc2c[nH]nc21 ZINC000829960443 604732930 /nfs/dbraw/zinc/73/29/30/604732930.db2.gz IMPIYTKYCDXDDZ-LBPRGKRZSA-N -1 1 305.334 1.433 20 0 DDADMM CC(C)N(CCN(C)C)S(=O)(=O)c1ccc(C(=O)[O-])cc1 ZINC000833497601 604930182 /nfs/dbraw/zinc/93/01/82/604930182.db2.gz ICOWTFFMBHGECH-UHFFFAOYSA-N -1 1 314.407 1.346 20 0 DDADMM O=C([O-])C[C@H]1CCCN1CN1C(=O)OC[C@@H]1Cc1ccccc1 ZINC000833210046 604982455 /nfs/dbraw/zinc/98/24/55/604982455.db2.gz DMGSQTDCYWGRPD-CABCVRRESA-N -1 1 318.373 1.947 20 0 DDADMM O=C([O-])[C@@H](O)C1CCN(Cc2ccc3c(c2)OCCO3)CC1 ZINC000833771056 604987459 /nfs/dbraw/zinc/98/74/59/604987459.db2.gz UKSOABJXGFKCQJ-HNNXBMFYSA-N -1 1 307.346 1.115 20 0 DDADMM COCC1(C)CCN(CC(=O)N[C@@H](CC(C)C)C(=O)[O-])CC1 ZINC000833722912 605020347 /nfs/dbraw/zinc/02/03/47/605020347.db2.gz RFLLJRHQFYJBLX-ZDUSSCGKSA-N -1 1 314.426 1.350 20 0 DDADMM Cc1[nH]c(C(=O)N[C@H]2CCc3nc[nH]c3C2)c(C)c1C(=O)[O-] ZINC000833739969 605057432 /nfs/dbraw/zinc/05/74/32/605057432.db2.gz KPNRUHAXJXUOKP-VIFPVBQESA-N -1 1 302.334 1.340 20 0 DDADMM O=C([O-])c1cccc2nc(NC(=O)CNCC(F)(F)F)[nH]c21 ZINC000833764891 605060220 /nfs/dbraw/zinc/06/02/20/605060220.db2.gz PAXHWGHRRRICFR-UHFFFAOYSA-N -1 1 316.239 1.352 20 0 DDADMM COc1ccccc1N1CC[C@H](N[C@H](C(=O)[O-])C2CC2)C1=O ZINC000833736377 605069636 /nfs/dbraw/zinc/06/96/36/605069636.db2.gz HSSCLYNUWSVZCJ-FZMZJTMJSA-N -1 1 304.346 1.253 20 0 DDADMM CC(C)Oc1ccccc1[C@H](C)N[C@@H](C)C(=O)NCC(=O)[O-] ZINC000833507643 605070116 /nfs/dbraw/zinc/07/01/16/605070116.db2.gz ZBFSLXKTISTWDN-RYUDHWBXSA-N -1 1 308.378 1.714 20 0 DDADMM CC(C)Oc1ccccc1[C@@H](C)N[C@@H](C)C(=O)NCC(=O)[O-] ZINC000833507641 605070496 /nfs/dbraw/zinc/07/04/96/605070496.db2.gz ZBFSLXKTISTWDN-NEPJUHHUSA-N -1 1 308.378 1.714 20 0 DDADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1C[C@@H]1Cc1ccccc1 ZINC000833767343 605079082 /nfs/dbraw/zinc/07/90/82/605079082.db2.gz ABZTYRVUZPIVDL-CFVMTHIKSA-N -1 1 313.357 1.400 20 0 DDADMM C[C@H](Oc1ccc(CNC[C@H](C)S(C)(=O)=O)cc1)C(=O)[O-] ZINC000833540979 605120066 /nfs/dbraw/zinc/12/00/66/605120066.db2.gz OZMIKKXSKTURMM-QWRGUYRKSA-N -1 1 315.391 1.061 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C\c1cccnc1 ZINC000833625105 605158131 /nfs/dbraw/zinc/15/81/31/605158131.db2.gz WJGKYSOPZPDNML-DSYXLKISSA-N -1 1 303.362 1.102 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)Nc2cc(C)[nH]c2C(=O)[O-])C[C@H]1C ZINC000833658011 605160138 /nfs/dbraw/zinc/16/01/38/605160138.db2.gz PPCFUDBNJAFYBV-GHMZBOCLSA-N -1 1 308.382 1.968 20 0 DDADMM C[C@@H]1[C@H](Cc2ccccc2)CCN1CN1C[C@@H](C(=O)[O-])CC1=O ZINC000833588766 605175724 /nfs/dbraw/zinc/17/57/24/605175724.db2.gz RJJISKLRIMYFQX-KBMXLJTQSA-N -1 1 316.401 1.830 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1CC(=O)Nc1ccccc1 ZINC000833628213 605187352 /nfs/dbraw/zinc/18/73/52/605187352.db2.gz DIBPDEVIJMSESN-ZDUSSCGKSA-N -1 1 305.378 1.106 20 0 DDADMM O=C([O-])NCC1CCN(C(=O)N[C@H]2CCCc3cn[nH]c32)CC1 ZINC000832582084 605191320 /nfs/dbraw/zinc/19/13/20/605191320.db2.gz ASHBKJLHGWICMZ-LBPRGKRZSA-N -1 1 321.381 1.476 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2n[nH]cc2c1 ZINC000833626716 605206415 /nfs/dbraw/zinc/20/64/15/605206415.db2.gz RTMTUKGGUNFNAW-LLVKDONJSA-N -1 1 316.361 1.184 20 0 DDADMM O=C([O-])N1CCO[C@@H](CC(=O)Nc2ncc(C(F)(F)F)[nH]2)C1 ZINC000831395814 605293876 /nfs/dbraw/zinc/29/38/76/605293876.db2.gz WTJFYSRAQVNXNJ-LURJTMIESA-N -1 1 322.243 1.136 20 0 DDADMM O=C([O-])N1CCCN(Cc2ccccc2N2CCOCC2)CC1 ZINC000834109062 605475419 /nfs/dbraw/zinc/47/54/19/605475419.db2.gz GVPRJRAECVGDON-UHFFFAOYSA-N -1 1 319.405 1.709 20 0 DDADMM O=C([O-])Nc1ccc(CN2CCC(N3CCCC3=O)CC2)cn1 ZINC000834260078 605588628 /nfs/dbraw/zinc/58/86/28/605588628.db2.gz KSWPGKRBYZYYOP-UHFFFAOYSA-N -1 1 318.377 1.758 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)[C@H](NC(=O)[O-])c2ccccc2)n[nH]1 ZINC000830035410 605806546 /nfs/dbraw/zinc/80/65/46/605806546.db2.gz AQFBFRFZMLPODH-IINYFYTJSA-N -1 1 316.361 1.774 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])CN1Cc1cc(=O)n2ccsc2n1 ZINC000833834753 605910870 /nfs/dbraw/zinc/91/08/70/605910870.db2.gz QTHLMBAVECMOLY-VHSXEESVSA-N -1 1 322.390 1.234 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC[C@H]2C2CC2)n1 ZINC000822608607 606164336 /nfs/dbraw/zinc/16/43/36/606164336.db2.gz DZAGGOHHNWGXRO-JTQLQIEISA-N -1 1 302.342 1.007 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC[C@H]2C2CC2)n1 ZINC000822608607 606164338 /nfs/dbraw/zinc/16/43/38/606164338.db2.gz DZAGGOHHNWGXRO-JTQLQIEISA-N -1 1 302.342 1.007 20 0 DDADMM CC(C)C[C@H]1CCCN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820068862 606170710 /nfs/dbraw/zinc/17/07/10/606170710.db2.gz VGESQZPNLGRSKF-SNVBAGLBSA-N -1 1 318.385 1.643 20 0 DDADMM CC(C)C[C@H]1CCCN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820068862 606170711 /nfs/dbraw/zinc/17/07/11/606170711.db2.gz VGESQZPNLGRSKF-SNVBAGLBSA-N -1 1 318.385 1.643 20 0 DDADMM CCCOC(=O)CCNc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821127209 606463752 /nfs/dbraw/zinc/46/37/52/606463752.db2.gz CXAFXPVEZLSFOY-UHFFFAOYSA-N -1 1 310.745 1.670 20 0 DDADMM CCCOC(=O)CCNc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821127209 606463754 /nfs/dbraw/zinc/46/37/54/606463754.db2.gz CXAFXPVEZLSFOY-UHFFFAOYSA-N -1 1 310.745 1.670 20 0 DDADMM O=C(Oc1ccc2c(c1)OCO2)c1ccc(-c2nnn[n-]2)s1 ZINC000823454049 606487040 /nfs/dbraw/zinc/48/70/40/606487040.db2.gz JYZOGZMQNTYJRB-UHFFFAOYSA-N -1 1 316.298 1.876 20 0 DDADMM O=C(Oc1ccc2c(c1)OCO2)c1ccc(-c2nn[n-]n2)s1 ZINC000823454049 606487041 /nfs/dbraw/zinc/48/70/41/606487041.db2.gz JYZOGZMQNTYJRB-UHFFFAOYSA-N -1 1 316.298 1.876 20 0 DDADMM Cc1ccccc1CC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822356107 606518702 /nfs/dbraw/zinc/51/87/02/606518702.db2.gz JPDARPPTBXSLJH-UHFFFAOYSA-N -1 1 309.329 1.856 20 0 DDADMM Cc1ccccc1CC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822356107 606518704 /nfs/dbraw/zinc/51/87/04/606518704.db2.gz JPDARPPTBXSLJH-UHFFFAOYSA-N -1 1 309.329 1.856 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nnn[n-]1)c1ccc2ncsc2c1 ZINC000823289192 606531501 /nfs/dbraw/zinc/53/15/01/606531501.db2.gz FUAVVIVIODNARR-UHFFFAOYSA-N -1 1 312.318 1.452 20 0 DDADMM O=C(Nc1n[nH]cc1-c1nn[n-]n1)c1ccc2ncsc2c1 ZINC000823289192 606531502 /nfs/dbraw/zinc/53/15/02/606531502.db2.gz FUAVVIVIODNARR-UHFFFAOYSA-N -1 1 312.318 1.452 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)Nc2n[nH]cc2-c2nnn[n-]2)c1 ZINC000822328368 606531818 /nfs/dbraw/zinc/53/18/18/606531818.db2.gz OJSUTARCRBBIMC-VIFPVBQESA-N -1 1 313.321 1.304 20 0 DDADMM Cc1cccc(O[C@@H](C)C(=O)Nc2n[nH]cc2-c2nn[n-]n2)c1 ZINC000822328368 606531820 /nfs/dbraw/zinc/53/18/20/606531820.db2.gz OJSUTARCRBBIMC-VIFPVBQESA-N -1 1 313.321 1.304 20 0 DDADMM CC(C)OC1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000820142095 606555013 /nfs/dbraw/zinc/55/50/13/606555013.db2.gz FTWHHOKTAZRGAM-UHFFFAOYSA-N -1 1 321.406 1.958 20 0 DDADMM CC(C)OC1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000820142095 606555014 /nfs/dbraw/zinc/55/50/14/606555014.db2.gz FTWHHOKTAZRGAM-UHFFFAOYSA-N -1 1 321.406 1.958 20 0 DDADMM COC[C@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)c1ccco1 ZINC000821683647 606581463 /nfs/dbraw/zinc/58/14/63/606581463.db2.gz GUSCFEPRZGQYMU-QMMMGPOBSA-N -1 1 319.346 1.639 20 0 DDADMM COC[C@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)c1ccco1 ZINC000821683647 606581465 /nfs/dbraw/zinc/58/14/65/606581465.db2.gz GUSCFEPRZGQYMU-QMMMGPOBSA-N -1 1 319.346 1.639 20 0 DDADMM O=C(OCc1ccnc(-c2nnn[n-]2)c1)c1cc(F)ccc1F ZINC000823436205 606650116 /nfs/dbraw/zinc/65/01/16/606650116.db2.gz LYNFCRZMYUAVLT-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1cc(F)ccc1F ZINC000823436205 606650117 /nfs/dbraw/zinc/65/01/17/606650117.db2.gz LYNFCRZMYUAVLT-UHFFFAOYSA-N -1 1 317.255 1.897 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)Cc2c(F)cccc2F)n1 ZINC000822604904 606684546 /nfs/dbraw/zinc/68/45/46/606684546.db2.gz PFXJDQIRJUACGZ-UHFFFAOYSA-N -1 1 319.275 1.060 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)Cc2c(F)cccc2F)n1 ZINC000822604904 606684547 /nfs/dbraw/zinc/68/45/47/606684547.db2.gz PFXJDQIRJUACGZ-UHFFFAOYSA-N -1 1 319.275 1.060 20 0 DDADMM CC(C)(C)c1ccc(C(=O)n2ncc(-c3nn[n-]n3)c2N)s1 ZINC000819958552 606967242 /nfs/dbraw/zinc/96/72/42/606967242.db2.gz OJGBXFIBQNYJCZ-UHFFFAOYSA-N -1 1 317.378 1.693 20 0 DDADMM OCC[C@H]1CCCCN1c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000823717723 607025567 /nfs/dbraw/zinc/02/55/67/607025567.db2.gz KMPDSBCLNNUQMC-SECBINFHSA-N -1 1 308.773 1.656 20 0 DDADMM OCC[C@H]1CCCCN1c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000823717723 607025569 /nfs/dbraw/zinc/02/55/69/607025569.db2.gz KMPDSBCLNNUQMC-SECBINFHSA-N -1 1 308.773 1.656 20 0 DDADMM CCC1(CC)[C@@H](Nc2nccnc2-c2nnn[n-]2)C[C@@H]1OC ZINC000820958448 607033582 /nfs/dbraw/zinc/03/35/82/607033582.db2.gz VMYKGXQDFYPDEF-UWVGGRQHSA-N -1 1 303.370 1.662 20 0 DDADMM CCC1(CC)[C@@H](Nc2nccnc2-c2nn[n-]n2)C[C@@H]1OC ZINC000820958448 607033583 /nfs/dbraw/zinc/03/35/83/607033583.db2.gz VMYKGXQDFYPDEF-UWVGGRQHSA-N -1 1 303.370 1.662 20 0 DDADMM C[C@H](Nc1nccnc1-c1nnn[n-]1)[C@H]1COc2ccccc21 ZINC000824670509 607850181 /nfs/dbraw/zinc/85/01/81/607850181.db2.gz QFZSXTWKNIJCQY-GXSJLCMTSA-N -1 1 309.333 1.633 20 0 DDADMM C[C@H](Nc1nccnc1-c1nn[n-]n1)[C@H]1COc2ccccc21 ZINC000824670509 607850182 /nfs/dbraw/zinc/85/01/82/607850182.db2.gz QFZSXTWKNIJCQY-GXSJLCMTSA-N -1 1 309.333 1.633 20 0 DDADMM c1cc(-c2nnn[n-]2)oc1CNc1cccc(-c2nc[nH]n2)c1 ZINC000826517155 607854202 /nfs/dbraw/zinc/85/42/02/607854202.db2.gz TUDCMISREKDFPI-UHFFFAOYSA-N -1 1 308.305 1.857 20 0 DDADMM c1cc(-c2nn[n-]n2)oc1CNc1cccc(-c2nc[nH]n2)c1 ZINC000826517155 607854203 /nfs/dbraw/zinc/85/42/03/607854203.db2.gz TUDCMISREKDFPI-UHFFFAOYSA-N -1 1 308.305 1.857 20 0 DDADMM COc1coc(COc2cccc(F)c2-c2nnn[n-]2)cc1=O ZINC000826221675 607864521 /nfs/dbraw/zinc/86/45/21/607864521.db2.gz PPTYGJWPEHOAGW-UHFFFAOYSA-N -1 1 318.264 1.547 20 0 DDADMM COc1coc(COc2cccc(F)c2-c2nn[n-]n2)cc1=O ZINC000826221675 607864522 /nfs/dbraw/zinc/86/45/22/607864522.db2.gz PPTYGJWPEHOAGW-UHFFFAOYSA-N -1 1 318.264 1.547 20 0 DDADMM CCCCn1nnnc1COc1cccc(F)c1-c1nnn[n-]1 ZINC000825301145 607865827 /nfs/dbraw/zinc/86/58/27/607865827.db2.gz HZILSBMQFOSAOO-UHFFFAOYSA-N -1 1 318.316 1.371 20 0 DDADMM CCCCn1nnnc1COc1cccc(F)c1-c1nn[n-]n1 ZINC000825301145 607865828 /nfs/dbraw/zinc/86/58/28/607865828.db2.gz HZILSBMQFOSAOO-UHFFFAOYSA-N -1 1 318.316 1.371 20 0 DDADMM c1nn(C[C@@H]2CCCO2)cc1Nc1cccc(-c2nnn[n-]2)n1 ZINC000826517634 607896611 /nfs/dbraw/zinc/89/66/11/607896611.db2.gz JQFUDUFHRGUNQJ-NSHDSACASA-N -1 1 312.337 1.381 20 0 DDADMM c1nn(C[C@@H]2CCCO2)cc1Nc1cccc(-c2nn[n-]n2)n1 ZINC000826517634 607896612 /nfs/dbraw/zinc/89/66/12/607896612.db2.gz JQFUDUFHRGUNQJ-NSHDSACASA-N -1 1 312.337 1.381 20 0 DDADMM COCCN(CCc1ccccc1)c1cccc(-c2nnn[n-]2)n1 ZINC000826158334 607900983 /nfs/dbraw/zinc/90/09/83/607900983.db2.gz KYZNUZBTNSZIGC-UHFFFAOYSA-N -1 1 324.388 1.957 20 0 DDADMM COCCN(CCc1ccccc1)c1cccc(-c2nn[n-]n2)n1 ZINC000826158334 607900984 /nfs/dbraw/zinc/90/09/84/607900984.db2.gz KYZNUZBTNSZIGC-UHFFFAOYSA-N -1 1 324.388 1.957 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCC[C@@H](CCO)C1 ZINC000826472728 607942547 /nfs/dbraw/zinc/94/25/47/607942547.db2.gz VJOSCJOYJNZNGS-VIFPVBQESA-N -1 1 307.379 1.163 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCC[C@@H](CCO)C1 ZINC000826472728 607942546 /nfs/dbraw/zinc/94/25/46/607942546.db2.gz VJOSCJOYJNZNGS-VIFPVBQESA-N -1 1 307.379 1.163 20 0 DDADMM CCc1oc(C(=O)OC)cc1Cn1ccnc1-c1nnn[n-]1 ZINC000825777423 608013413 /nfs/dbraw/zinc/01/34/13/608013413.db2.gz WONDADPACUNVEU-UHFFFAOYSA-N -1 1 302.294 1.054 20 0 DDADMM CCc1oc(C(=O)OC)cc1Cn1ccnc1-c1nn[n-]n1 ZINC000825777423 608013414 /nfs/dbraw/zinc/01/34/14/608013414.db2.gz WONDADPACUNVEU-UHFFFAOYSA-N -1 1 302.294 1.054 20 0 DDADMM Cc1cccc2c(=O)[nH]c(Cn3ccnc3-c3nnn[n-]3)nc12 ZINC000826304737 608014200 /nfs/dbraw/zinc/01/42/00/608014200.db2.gz WJEOKEACUXFJBI-UHFFFAOYSA-N -1 1 308.305 1.069 20 0 DDADMM Cc1cccc2c(=O)[nH]c(Cn3ccnc3-c3nn[n-]n3)nc12 ZINC000826304737 608014201 /nfs/dbraw/zinc/01/42/01/608014201.db2.gz WJEOKEACUXFJBI-UHFFFAOYSA-N -1 1 308.305 1.069 20 0 DDADMM COc1ccc(CN(C)c2nccnc2-c2nnn[n-]2)cc1O ZINC000826193272 608016211 /nfs/dbraw/zinc/01/62/11/608016211.db2.gz XVHSENIUCCHGDI-UHFFFAOYSA-N -1 1 313.321 1.007 20 0 DDADMM COc1ccc(CN(C)c2nccnc2-c2nn[n-]n2)cc1O ZINC000826193272 608016212 /nfs/dbraw/zinc/01/62/12/608016212.db2.gz XVHSENIUCCHGDI-UHFFFAOYSA-N -1 1 313.321 1.007 20 0 DDADMM NC(=O)c1ccc(Oc2snc(Cl)c2-c2nn[n-]n2)cc1 ZINC000826393413 608171563 /nfs/dbraw/zinc/17/15/63/608171563.db2.gz QYTSISFZEXPTBB-UHFFFAOYSA-N -1 1 322.737 1.868 20 0 DDADMM CCc1nc(Sc2snc(Cl)c2-c2nnn[n-]2)n[nH]1 ZINC000825770991 608174255 /nfs/dbraw/zinc/17/42/55/608174255.db2.gz HERRFCSEXHINSX-UHFFFAOYSA-N -1 1 314.787 1.808 20 0 DDADMM CCc1nc(Sc2snc(Cl)c2-c2nn[n-]n2)n[nH]1 ZINC000825770991 608174257 /nfs/dbraw/zinc/17/42/57/608174257.db2.gz HERRFCSEXHINSX-UHFFFAOYSA-N -1 1 314.787 1.808 20 0 DDADMM CC(C)(O)[C@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000824167309 608202055 /nfs/dbraw/zinc/20/20/55/608202055.db2.gz RBHVLAGIZSQOEB-SNVBAGLBSA-N -1 1 307.379 1.304 20 0 DDADMM CC(C)(O)[C@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000824167309 608202057 /nfs/dbraw/zinc/20/20/57/608202057.db2.gz RBHVLAGIZSQOEB-SNVBAGLBSA-N -1 1 307.379 1.304 20 0 DDADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)C(F)(F)c1ccccc1F ZINC000826394896 608252904 /nfs/dbraw/zinc/25/29/04/608252904.db2.gz DALRBWNWXQAUNX-UHFFFAOYSA-N -1 1 323.238 1.217 20 0 DDADMM Cc1ccc2c(c1)CCN2C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826293755 608307088 /nfs/dbraw/zinc/30/70/88/608307088.db2.gz AHTANGBVXMLZFW-UHFFFAOYSA-N -1 1 306.329 1.773 20 0 DDADMM Cc1ccc2c(c1)CCN2C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826293755 608307090 /nfs/dbraw/zinc/30/70/90/608307090.db2.gz AHTANGBVXMLZFW-UHFFFAOYSA-N -1 1 306.329 1.773 20 0 DDADMM Cc1nn(CCO)c(NCc2ccc(-c3nn[n-]n3)s2)c1C ZINC000826335078 608309787 /nfs/dbraw/zinc/30/97/87/608309787.db2.gz XGDJLUYQVAWUMU-UHFFFAOYSA-N -1 1 319.394 1.346 20 0 DDADMM C[C@@H](Nc1cc(-c2nnn[n-]2)nc2ccccc21)c1nncn1C ZINC000824650906 608367814 /nfs/dbraw/zinc/36/78/14/608367814.db2.gz VTVKLPOCAZOFKK-SECBINFHSA-N -1 1 321.348 1.717 20 0 DDADMM C[C@@H](Nc1cc(-c2nn[n-]n2)nc2ccccc21)c1nncn1C ZINC000824650906 608367816 /nfs/dbraw/zinc/36/78/16/608367816.db2.gz VTVKLPOCAZOFKK-SECBINFHSA-N -1 1 321.348 1.717 20 0 DDADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N1CCc2ccccc21 ZINC000824427803 608395869 /nfs/dbraw/zinc/39/58/69/608395869.db2.gz PDXMVEZIVVXWPP-LLVKDONJSA-N -1 1 322.376 1.520 20 0 DDADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N1CCc2ccccc21 ZINC000824427803 608395870 /nfs/dbraw/zinc/39/58/70/608395870.db2.gz PDXMVEZIVVXWPP-LLVKDONJSA-N -1 1 322.376 1.520 20 0 DDADMM COCc1cc(CNc2ccc(-c3nnn[n-]3)nn2)ccc1F ZINC000826164302 608416961 /nfs/dbraw/zinc/41/69/61/608416961.db2.gz POBOVLAOXIROAV-UHFFFAOYSA-N -1 1 315.312 1.554 20 0 DDADMM COCc1cc(CNc2ccc(-c3nn[n-]n3)nn2)ccc1F ZINC000826164302 608416962 /nfs/dbraw/zinc/41/69/62/608416962.db2.gz POBOVLAOXIROAV-UHFFFAOYSA-N -1 1 315.312 1.554 20 0 DDADMM Clc1ccc2c(c1)[C@H](Nc1ccc(-c3nnn[n-]3)nn1)CO2 ZINC000826352550 608433195 /nfs/dbraw/zinc/43/31/95/608433195.db2.gz FSYFPPOPWHSRBC-SNVBAGLBSA-N -1 1 315.724 1.856 20 0 DDADMM Clc1ccc2c(c1)[C@H](Nc1ccc(-c3nn[n-]n3)nn1)CO2 ZINC000826352550 608433197 /nfs/dbraw/zinc/43/31/97/608433197.db2.gz FSYFPPOPWHSRBC-SNVBAGLBSA-N -1 1 315.724 1.856 20 0 DDADMM FC(F)(F)CN1CCN(Cc2ccc(-c3nnn[n-]3)o2)CC1 ZINC000826367562 608509427 /nfs/dbraw/zinc/50/94/27/608509427.db2.gz DIKQYLZJICHMGU-UHFFFAOYSA-N -1 1 316.287 1.140 20 0 DDADMM FC(F)(F)CN1CCN(Cc2ccc(-c3nn[n-]n3)o2)CC1 ZINC000826367562 608509429 /nfs/dbraw/zinc/50/94/29/608509429.db2.gz DIKQYLZJICHMGU-UHFFFAOYSA-N -1 1 316.287 1.140 20 0 DDADMM COc1ccc(F)cc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826209216 608581160 /nfs/dbraw/zinc/58/11/60/608581160.db2.gz RMVMLJDPFGHJLW-UHFFFAOYSA-N -1 1 314.280 1.662 20 0 DDADMM COc1ccc(F)cc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826209216 608581161 /nfs/dbraw/zinc/58/11/61/608581161.db2.gz RMVMLJDPFGHJLW-UHFFFAOYSA-N -1 1 314.280 1.662 20 0 DDADMM OCCCN(Cc1ccc(-c2nn[n-]n2)s1)CC(F)(F)F ZINC000826510053 608678972 /nfs/dbraw/zinc/67/89/72/608678972.db2.gz WCMDWAZHQXYGQC-UHFFFAOYSA-N -1 1 321.328 1.675 20 0 DDADMM Cc1ccc(O)c(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c1 ZINC000826291545 608821393 /nfs/dbraw/zinc/82/13/93/608821393.db2.gz KTUAZEODYJEJOV-UHFFFAOYSA-N -1 1 311.301 1.839 20 0 DDADMM COC(=O)[C@@H]1CCCCCN1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826134579 609295032 /nfs/dbraw/zinc/29/50/32/609295032.db2.gz YUPXUVGJNKSICJ-ZDUSSCGKSA-N -1 1 316.365 1.179 20 0 DDADMM Cc1nn(Cc2c(F)cccc2F)c(=O)c(-c2nn[n-]n2)c1C ZINC000826334698 609512605 /nfs/dbraw/zinc/51/26/05/609512605.db2.gz FXLJHVMCZBEGPD-UHFFFAOYSA-N -1 1 318.287 1.367 20 0 DDADMM c1cn(CCCNc2cc(-c3nnn[n-]3)nc3ccccc32)nn1 ZINC000826523273 609596952 /nfs/dbraw/zinc/59/69/52/609596952.db2.gz HINFEHGNCMVKDE-UHFFFAOYSA-N -1 1 321.348 1.509 20 0 DDADMM c1cn(CCCNc2cc(-c3nn[n-]n3)nc3ccccc32)nn1 ZINC000826523273 609596953 /nfs/dbraw/zinc/59/69/53/609596953.db2.gz HINFEHGNCMVKDE-UHFFFAOYSA-N -1 1 321.348 1.509 20 0 DDADMM C[S@](=O)CCNC(=O)c1ccc(Br)cc1[O-] ZINC000122808783 696725433 /nfs/dbraw/zinc/72/54/33/696725433.db2.gz FACVIAICSZBKFP-MRXNPFEDSA-N -1 1 306.181 1.263 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@@H]2CCO[C@]3(CCOC3)C2)[n-]1 ZINC000797118089 699994831 /nfs/dbraw/zinc/99/48/31/699994831.db2.gz KFMGHWYICGUPIJ-MEBBXXQBSA-N -1 1 309.318 1.296 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)C2CCCC2)C1)c1ncccc1[O-] ZINC000973784664 695536236 /nfs/dbraw/zinc/53/62/36/695536236.db2.gz UMTHYLFLCAZFGO-TXEJJXNPSA-N -1 1 303.362 1.354 20 0 DDADMM CCc1occc1C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000797559635 700014687 /nfs/dbraw/zinc/01/46/87/700014687.db2.gz SVRJMUPZBCYNEC-UHFFFAOYSA-N -1 1 316.317 1.281 20 0 DDADMM CC1(OCC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC000746292857 700016533 /nfs/dbraw/zinc/01/65/33/700016533.db2.gz FGGJQZIJZZKLCV-ZDUSSCGKSA-N -1 1 301.350 1.365 20 0 DDADMM CNC(=O)[C@@]1(C)CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000797774325 700023716 /nfs/dbraw/zinc/02/37/16/700023716.db2.gz DPDLDRZDVCTESI-INIZCTEOSA-N -1 1 320.393 1.212 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000797724769 700021076 /nfs/dbraw/zinc/02/10/76/700021076.db2.gz NYNZZTGTZOHPPQ-SFTQSGBHSA-N -1 1 318.377 1.682 20 0 DDADMM O=C(COC(=O)Cc1cccc(F)c1)[N-]C(=O)c1ccccc1 ZINC000007492260 696027728 /nfs/dbraw/zinc/02/77/28/696027728.db2.gz WMGUEFJXCDXMIL-UHFFFAOYSA-N -1 1 315.300 1.868 20 0 DDADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)C1CCC1 ZINC000977252119 696091050 /nfs/dbraw/zinc/09/10/50/696091050.db2.gz JGTKICQGMYPKPX-GFCCVEGCSA-N -1 1 303.362 1.260 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)C1CCC1 ZINC000977252123 696091164 /nfs/dbraw/zinc/09/11/64/696091164.db2.gz JGTKICQGMYPKPX-LBPRGKRZSA-N -1 1 303.362 1.260 20 0 DDADMM Cc1cc([O-])c(C(=O)C=Cc2cccc([N+](=O)[O-])c2)c(=O)o1 ZINC000028605400 696106481 /nfs/dbraw/zinc/10/64/81/696106481.db2.gz CYOBSAXNBSZXQP-VBROQKIQSA-N -1 1 301.254 1.823 20 0 DDADMM COc1cc[nH]c(=N[N-]S(=O)(=O)c2ccc(Cl)s2)n1 ZINC000031721269 696122368 /nfs/dbraw/zinc/12/23/68/696122368.db2.gz HDQCNBIBRHTTSJ-UHFFFAOYSA-N -1 1 320.783 1.506 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(Oc2ccccc2)nc1 ZINC000044947091 696170127 /nfs/dbraw/zinc/17/01/27/696170127.db2.gz AFQSZMADSBIETI-UHFFFAOYSA-N -1 1 312.285 1.071 20 0 DDADMM O=C(Nc1nnn[n-]1)c1ccccc1CSC1=NCCS1 ZINC000747392332 700067477 /nfs/dbraw/zinc/06/74/77/700067477.db2.gz BDMYGAAZEBSASB-UHFFFAOYSA-N -1 1 320.403 1.788 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccccc1CSC1=NCCS1 ZINC000747392332 700067478 /nfs/dbraw/zinc/06/74/78/700067478.db2.gz BDMYGAAZEBSASB-UHFFFAOYSA-N -1 1 320.403 1.788 20 0 DDADMM O=C(COC(=O)CC[C@H]1CCCO1)[N-]C(=O)c1ccccc1 ZINC000047802042 696204383 /nfs/dbraw/zinc/20/43/83/696204383.db2.gz OCXORAZSNRIHMV-CYBMUJFWSA-N -1 1 305.330 1.445 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)C1(C)CC1)C(=O)c1ncccc1[O-] ZINC000977601167 696230161 /nfs/dbraw/zinc/23/01/61/696230161.db2.gz NIUKIQNYFNPAKB-NSHDSACASA-N -1 1 303.362 1.260 20 0 DDADMM CCC/C=C/C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000051419253 696240901 /nfs/dbraw/zinc/24/09/01/696240901.db2.gz BASQCNWSVALVHI-AATRIKPKSA-N -1 1 305.330 1.851 20 0 DDADMM CNC(=O)N[N-]C(=O)c1cc2c(s1)-c1ccccc1OC2 ZINC000056371496 696290166 /nfs/dbraw/zinc/29/01/66/696290166.db2.gz VDHXQFMJWGJZIO-UHFFFAOYSA-N -1 1 303.343 1.881 20 0 DDADMM COC(=O)[C@@H](C)OC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000057604721 696298391 /nfs/dbraw/zinc/29/83/91/696298391.db2.gz GKIUMHVKKMMVAF-SNVBAGLBSA-N -1 1 321.333 1.089 20 0 DDADMM Cn1c(CCNC(=O)Cc2ccc3c(c2)CCC3)n[n-]c1=S ZINC000067051982 696358407 /nfs/dbraw/zinc/35/84/07/696358407.db2.gz MBBCEUWDOXJVPD-UHFFFAOYSA-N -1 1 316.430 1.868 20 0 DDADMM NC(=O)C1([N-]S(=O)(=O)c2cccc(F)c2F)CCCC1 ZINC000078806465 696455752 /nfs/dbraw/zinc/45/57/52/696455752.db2.gz XAEKWNNPECXQNR-UHFFFAOYSA-N -1 1 304.318 1.041 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H]2CCc3cc(Cl)ccc32)s1 ZINC000079726824 696463191 /nfs/dbraw/zinc/46/31/91/696463191.db2.gz MAHBRNXXQNANGC-MNOVXSKESA-N -1 1 323.805 1.790 20 0 DDADMM Nc1nc([O-])c(CC(=O)N[C@@H]2CCc3ccc(F)cc32)s1 ZINC000080087932 696530376 /nfs/dbraw/zinc/53/03/76/696530376.db2.gz OLWLGLAZSRYXQX-GHMZBOCLSA-N -1 1 307.350 1.276 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)CC(C)(C)C ZINC000081758607 696547922 /nfs/dbraw/zinc/54/79/22/696547922.db2.gz RPRHXASOJZTHTD-NSHDSACASA-N -1 1 309.410 1.729 20 0 DDADMM O=C(CC1(O)CCCC1)N[N-]C(=O)c1ccc(Cl)cc1F ZINC000082991349 696549643 /nfs/dbraw/zinc/54/96/43/696549643.db2.gz FIXZFOAAOFTECW-UHFFFAOYSA-N -1 1 314.744 1.935 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)c2ccc(C)cc2)o1 ZINC000101527043 696607368 /nfs/dbraw/zinc/60/73/68/696607368.db2.gz PACMPDZGOMTVMY-UHFFFAOYSA-N -1 1 308.359 1.773 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)CC1CC1)c1cc(F)ccc1F ZINC000748058442 700097503 /nfs/dbraw/zinc/09/75/03/700097503.db2.gz JFBQPVCZVOUKTP-GFCCVEGCSA-N -1 1 319.329 1.508 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)[C@H]1CCCC[C@H]1C(=O)N1CCCC1 ZINC000112281279 696649764 /nfs/dbraw/zinc/64/97/64/696649764.db2.gz FZHQQNDFQKMGEV-RRFJBIMHSA-N -1 1 324.421 1.990 20 0 DDADMM C[C@H](Cc1ccc(F)cc1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000124011957 696737714 /nfs/dbraw/zinc/73/77/14/696737714.db2.gz OMECNIQHGUFFET-MNOVXSKESA-N -1 1 305.357 1.780 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C[C@@H](C)C2CC2)cc1 ZINC000145394424 696871916 /nfs/dbraw/zinc/87/19/16/696871916.db2.gz KFLOPCFCTCEUQY-LLVKDONJSA-N -1 1 319.357 1.931 20 0 DDADMM CCCCNC(=O)N[N-]C(=O)c1ccncc1Br ZINC000150881773 696878483 /nfs/dbraw/zinc/87/84/83/696878483.db2.gz KDRNZWNQQMKICU-UHFFFAOYSA-N -1 1 315.171 1.588 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000982328139 697099905 /nfs/dbraw/zinc/09/99/05/697099905.db2.gz REFHRTZRMDXURB-LLVKDONJSA-N -1 1 303.362 1.166 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(Cl)nc2)co1 ZINC000162392485 697328384 /nfs/dbraw/zinc/32/83/84/697328384.db2.gz BTAZRTYWAZYZMP-UHFFFAOYSA-N -1 1 315.738 1.488 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCC(F)(F)F)co1 ZINC000163523361 697333508 /nfs/dbraw/zinc/33/35/08/697333508.db2.gz RFBTZICUZHSVHD-UHFFFAOYSA-N -1 1 301.242 1.297 20 0 DDADMM O=C([N-]N1CC(=O)NC1=O)c1cccc(C(F)(F)F)c1F ZINC000175181849 697395039 /nfs/dbraw/zinc/39/50/39/697395039.db2.gz CIASRMXHPCKWTB-UHFFFAOYSA-N -1 1 305.187 1.041 20 0 DDADMM COC(=O)NCc1ccc(NC(=O)C2=C([O-])C(C)N=N2)cc1 ZINC000749241420 700153131 /nfs/dbraw/zinc/15/31/31/700153131.db2.gz MJNJINPXKKGAMN-UHFFFAOYSA-N -1 1 304.306 1.532 20 0 DDADMM CC(Cl)(Cl)C(=O)[N-][C@H]1CCN(CC(F)(F)F)C1=O ZINC000180663887 697450765 /nfs/dbraw/zinc/45/07/65/697450765.db2.gz WQFWFCKAIZXERL-YFKPBYRVSA-N -1 1 307.099 1.460 20 0 DDADMM CCOC(=O)[C@H]1CCCN1C(=O)c1nnc2ccccc2c1O ZINC000180999234 697453961 /nfs/dbraw/zinc/45/39/61/697453961.db2.gz CPWOLFMQKLGHSG-GFCCVEGCSA-N -1 1 315.329 1.091 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)c2ccc(F)c(Cl)c2F)n[nH]1 ZINC000184555956 697504540 /nfs/dbraw/zinc/50/45/40/697504540.db2.gz GKAVJHKEDLKBDA-UHFFFAOYSA-N -1 1 314.679 1.725 20 0 DDADMM Cc1cc(C(=O)N[N-]C(=O)c2ccc(F)c(Cl)c2F)[nH]n1 ZINC000184555956 697504542 /nfs/dbraw/zinc/50/45/42/697504542.db2.gz GKAVJHKEDLKBDA-UHFFFAOYSA-N -1 1 314.679 1.725 20 0 DDADMM CC(C)C[C@H](CO)N(C)C(=O)c1nnc2ccccc2c1O ZINC000184551206 697504758 /nfs/dbraw/zinc/50/47/58/697504758.db2.gz LWRKPWFMBRPCCO-LLVKDONJSA-N -1 1 303.362 1.402 20 0 DDADMM C[C@H](C[C@H](O)c1ccco1)NC(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000185843103 697525444 /nfs/dbraw/zinc/52/54/44/697525444.db2.gz CSPBZGKEVHOAGW-KCJUWKMLSA-N -1 1 321.289 1.530 20 0 DDADMM N#Cc1ccc(C(=O)N2CCC[C@@H](C3OCCO3)C2)cc1[O-] ZINC000188418256 697558891 /nfs/dbraw/zinc/55/88/91/697558891.db2.gz DBYKIGFQPKVLFY-CYBMUJFWSA-N -1 1 302.330 1.489 20 0 DDADMM CCCCS(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N1CCCCC1 ZINC000188642820 697562104 /nfs/dbraw/zinc/56/21/04/697562104.db2.gz RFQGTZWFFSNXTI-CYBMUJFWSA-N -1 1 304.456 1.743 20 0 DDADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C=C1CCCCC1 ZINC000986032177 697647824 /nfs/dbraw/zinc/64/78/24/697647824.db2.gz DYGGPHTUTBARRP-WCQYABFASA-N -1 1 319.409 1.480 20 0 DDADMM O=C([N-]OC1CCOCC1)c1cc[nH]c(=O)c1Br ZINC000772583532 697650721 /nfs/dbraw/zinc/65/07/21/697650721.db2.gz CEQXNZZREHTPPY-UHFFFAOYSA-N -1 1 317.139 1.390 20 0 DDADMM Cc1cnc(C(=O)N[C@H](CCO)Cc2ccccc2)c([O-])c1 ZINC000799803308 700167906 /nfs/dbraw/zinc/16/79/06/700167906.db2.gz HFRQXULSUAMKNI-CQSZACIVSA-N -1 1 300.358 1.819 20 0 DDADMM CC(C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)=C1CCCC1 ZINC000986135710 697699723 /nfs/dbraw/zinc/69/97/23/697699723.db2.gz HWDMSWPDUUIPLO-AAEUAGOBSA-N -1 1 319.409 1.480 20 0 DDADMM C[C@@H]1[C@@H](NCc2nncs2)CCN1C(=O)c1ncccc1[O-] ZINC000986174141 697720167 /nfs/dbraw/zinc/72/01/67/697720167.db2.gz XGMFFMVMFJJZAA-ZJUUUORDSA-N -1 1 319.390 1.032 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1C ZINC000773165389 697722814 /nfs/dbraw/zinc/72/28/14/697722814.db2.gz WYZBQZJIUJENHG-LBPRGKRZSA-N -1 1 303.391 1.747 20 0 DDADMM COc1ncccc1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000773819588 697808919 /nfs/dbraw/zinc/80/89/19/697808919.db2.gz BQCNLMKYJXHOGX-UHFFFAOYSA-N -1 1 301.331 1.674 20 0 DDADMM CC[C@H](C)C(=O)N1CCC[C@H](C(=O)[N-]O[C@H]2CCCCO2)C1 ZINC000245199031 697821294 /nfs/dbraw/zinc/82/12/94/697821294.db2.gz XKTIDVGTZVBFLT-IHRRRGAJSA-N -1 1 312.410 1.846 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CCC2(C1)OCCO2 ZINC000773927936 697823708 /nfs/dbraw/zinc/82/37/08/697823708.db2.gz FMQDKYGOBSYMNI-UHFFFAOYSA-N -1 1 317.263 2.000 20 0 DDADMM CCn1nc(C)c(C(=O)OCCC[N-]C(=O)C(F)(F)F)c1C ZINC000774940661 697944935 /nfs/dbraw/zinc/94/49/35/697944935.db2.gz GHWTTZWQCXZJPY-UHFFFAOYSA-N -1 1 321.299 1.745 20 0 DDADMM NS(=O)(=O)c1cc(Cl)c(F)c(C(=O)[N-]c2cnoc2)c1 ZINC000775528572 698006185 /nfs/dbraw/zinc/00/61/85/698006185.db2.gz ZNQKGNCUWMLWIL-UHFFFAOYSA-N -1 1 319.701 1.367 20 0 DDADMM CCCC1(C(=O)OCCc2c(C)nc3nc[n-]n3c2=O)CC1 ZINC000777357135 698182409 /nfs/dbraw/zinc/18/24/09/698182409.db2.gz RDZHGKCPBZCEBO-UHFFFAOYSA-N -1 1 304.350 1.392 20 0 DDADMM CN(C[C@@H]1CCN(C(=O)C2CCC2)C1)C(=O)c1ncccc1[O-] ZINC000987649397 698182557 /nfs/dbraw/zinc/18/25/57/698182557.db2.gz USPDTCOPNOUSJT-LBPRGKRZSA-N -1 1 317.389 1.508 20 0 DDADMM CCC(=O)C[N-]S(=O)(=O)c1ccc(F)c(C(=O)OC)c1F ZINC000778072776 698240921 /nfs/dbraw/zinc/24/09/21/698240921.db2.gz ODLQCXQJAGBIFG-UHFFFAOYSA-N -1 1 321.301 1.009 20 0 DDADMM Cc1nc(C(=O)[N-]N2CC(=O)NC2=O)c(-c2ccccc2)s1 ZINC000779155834 698399516 /nfs/dbraw/zinc/39/95/16/698399516.db2.gz HYXNJHSADUENKR-UHFFFAOYSA-N -1 1 316.342 1.315 20 0 DDADMM Cc1nc([C@H]2COCCN2C(=O)c2c([O-])cccc2Cl)no1 ZINC000779692963 698453613 /nfs/dbraw/zinc/45/36/13/698453613.db2.gz KUBMYOUQTHEPRT-SNVBAGLBSA-N -1 1 323.736 1.951 20 0 DDADMM Cc1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cs1 ZINC000989557517 698666713 /nfs/dbraw/zinc/66/67/13/698666713.db2.gz HODSEVSPCDTDIX-KCJUWKMLSA-N -1 1 321.406 1.273 20 0 DDADMM Cc1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cs1 ZINC000989557518 698666736 /nfs/dbraw/zinc/66/67/36/698666736.db2.gz HODSEVSPCDTDIX-KWQFWETISA-N -1 1 321.406 1.273 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CC3CCC2CC3)c1Cl ZINC000312057328 698676040 /nfs/dbraw/zinc/67/60/40/698676040.db2.gz AXOFAGARQVUOSB-UDNWOFFPSA-N -1 1 303.815 1.931 20 0 DDADMM O=C([O-])c1ccccc1CC(=O)N1CCN(C2CCC2)CC1 ZINC000378729327 699056540 /nfs/dbraw/zinc/05/65/40/699056540.db2.gz VWIUKIHRBWUFSA-UHFFFAOYSA-N -1 1 302.374 1.624 20 0 DDADMM COc1cc(C(=O)NC[C@H]2COC(C)(C)O2)cc(Cl)c1[O-] ZINC000785415489 699074930 /nfs/dbraw/zinc/07/49/30/699074930.db2.gz ZJHHMUMINHEEEO-VIFPVBQESA-N -1 1 315.753 1.936 20 0 DDADMM CN1CCC[C@@H](NC(=O)N2CCc3ccccc3[C@@H]2C(=O)[O-])C1 ZINC000390836903 699096349 /nfs/dbraw/zinc/09/63/49/699096349.db2.gz ABNMJTIYDCKAQC-UKRRQHHQSA-N -1 1 317.389 1.474 20 0 DDADMM Cc1ccc(S(=O)(=O)NCC2=CCN(C)CC2)cc1C(=O)[O-] ZINC000392254076 699100303 /nfs/dbraw/zinc/10/03/03/699100303.db2.gz LDHINDIQNDMPRV-UHFFFAOYSA-N -1 1 324.402 1.233 20 0 DDADMM Cc1cc(C)nc(S(=O)(=O)CCC[N-]C(=O)C(F)(F)F)c1 ZINC000787202644 699196459 /nfs/dbraw/zinc/19/64/59/699196459.db2.gz IXJAEBVFZDBMKP-UHFFFAOYSA-N -1 1 324.324 1.541 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1ccns1)CC1(C)OCCO1 ZINC000717662102 699289898 /nfs/dbraw/zinc/28/98/98/699289898.db2.gz IRVSFVSDIKQRTP-VIFPVBQESA-N -1 1 306.409 1.211 20 0 DDADMM O=C(NC[C@H]1C[C@H](O)C1)c1ccc(Br)cc1[O-] ZINC000720982506 699310282 /nfs/dbraw/zinc/31/02/82/699310282.db2.gz AJHRUTMBGFMKAS-XWEPSHTISA-N -1 1 300.152 1.655 20 0 DDADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccc(F)cc1F ZINC000726865581 699386299 /nfs/dbraw/zinc/38/62/99/699386299.db2.gz BYAFOWZCTQZLMI-VIFPVBQESA-N -1 1 323.299 1.082 20 0 DDADMM O=C(Nc1ccc(SC(F)F)cc1)NN1CC(=O)[N-]C1=O ZINC000789830791 699413117 /nfs/dbraw/zinc/41/31/17/699413117.db2.gz FIQICYOEFPTILI-UHFFFAOYSA-N -1 1 316.289 1.590 20 0 DDADMM O=C(Nc1ccc2oc(C3CC3)nc2c1)NN1CC(=O)[N-]C1=O ZINC000789836255 699413566 /nfs/dbraw/zinc/41/35/66/699413566.db2.gz ORKVENZZPXDARB-UHFFFAOYSA-N -1 1 315.289 1.294 20 0 DDADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CCc2ccccc21 ZINC000727848512 699427991 /nfs/dbraw/zinc/42/79/91/699427991.db2.gz NMKPXAOZBKDVCX-CQSZACIVSA-N -1 1 313.357 1.073 20 0 DDADMM C[C@@H](c1ccco1)N(C(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC000728152108 699439781 /nfs/dbraw/zinc/43/97/81/699439781.db2.gz PPMJHUPXPOSFNM-NSHDSACASA-N -1 1 317.345 1.272 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCC(=O)C(C)C ZINC000731448455 699528844 /nfs/dbraw/zinc/52/88/44/699528844.db2.gz OSHJETIGOCQTNT-UHFFFAOYSA-N -1 1 305.334 1.363 20 0 DDADMM Cc1cccc2cc(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)oc21 ZINC000732091772 699545896 /nfs/dbraw/zinc/54/58/96/699545896.db2.gz QAUKCLLKCXUWLE-GFCCVEGCSA-N -1 1 313.317 1.468 20 0 DDADMM Cc1cccc2cc(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)oc21 ZINC000732091773 699546102 /nfs/dbraw/zinc/54/61/02/699546102.db2.gz QAUKCLLKCXUWLE-LBPRGKRZSA-N -1 1 313.317 1.468 20 0 DDADMM CCCCc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000732089482 699546173 /nfs/dbraw/zinc/54/61/73/699546173.db2.gz IRBBLEGVKFSDLO-AWEZNQCLSA-N -1 1 315.377 1.756 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(CC(F)(F)F)C1=O ZINC000732834304 699572877 /nfs/dbraw/zinc/57/28/77/699572877.db2.gz PLYNSDAQAIKLOF-QMMMGPOBSA-N -1 1 317.267 1.022 20 0 DDADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc(-c2ncnn2C)cc1 ZINC000790923568 699603479 /nfs/dbraw/zinc/60/34/79/699603479.db2.gz YBXKRZWYIOAYAS-UHFFFAOYSA-N -1 1 319.346 1.281 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCc3ccccc3F)ccnc1-2 ZINC000791087047 699611004 /nfs/dbraw/zinc/61/10/04/699611004.db2.gz BMVAZLZBMPABHL-UHFFFAOYSA-N -1 1 313.336 1.845 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3COc4ccccc4C3)ccnc1-2 ZINC000791163870 699613718 /nfs/dbraw/zinc/61/37/18/699613718.db2.gz GIOFSHSUKUDTTD-LBPRGKRZSA-N -1 1 308.341 1.532 20 0 DDADMM CC(C)[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000734135868 699639083 /nfs/dbraw/zinc/63/90/83/699639083.db2.gz KPBORPPYCSDNCV-ZDUSSCGKSA-N -1 1 307.394 1.211 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000734176708 699640310 /nfs/dbraw/zinc/64/03/10/699640310.db2.gz HVNLBUKJGWEAGA-NSHDSACASA-N -1 1 321.377 1.917 20 0 DDADMM Cc1noc([C@H](C)[N-]S(=O)(=O)c2cnc(Cl)c(C)c2)n1 ZINC000735316570 699690851 /nfs/dbraw/zinc/69/08/51/699690851.db2.gz VAAWJEJXTXOFHK-ZETCQYMHSA-N -1 1 316.770 1.774 20 0 DDADMM CO[C@@H]1CN(Cn2[n-]c(-c3ccccn3)nc2=S)C[C@@H]1OC ZINC000792712667 699708653 /nfs/dbraw/zinc/70/86/53/699708653.db2.gz CGLMTSKBVJHTGS-TXEJJXNPSA-N -1 1 321.406 1.306 20 0 DDADMM CCN1CCN(CC(=O)Nc2ccc(F)c(C(=O)[O-])c2)CC1 ZINC000737117616 699736596 /nfs/dbraw/zinc/73/65/96/699736596.db2.gz ZAVQNSFJJYIJLT-UHFFFAOYSA-N -1 1 309.341 1.100 20 0 DDADMM Cc1nc(CCC(=O)N2CCC(c3nn[n-]n3)CC2)cs1 ZINC000737471876 699741236 /nfs/dbraw/zinc/74/12/36/699741236.db2.gz OPVYNWICNVUCRW-UHFFFAOYSA-N -1 1 306.395 1.303 20 0 DDADMM CCOc1ncccc1[N-]S(=O)(=O)C[C@H]1CCCOC1 ZINC000795300331 699856954 /nfs/dbraw/zinc/85/69/54/699856954.db2.gz WQKUXLLIEOJWCG-NSHDSACASA-N -1 1 300.380 1.649 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCc1nnc(C)[nH]1 ZINC000795353627 699860889 /nfs/dbraw/zinc/86/08/89/699860889.db2.gz CJWRFSPOGRCFEJ-UHFFFAOYSA-N -1 1 324.362 1.232 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCc1n[nH]c(C)n1 ZINC000795353627 699860895 /nfs/dbraw/zinc/86/08/95/699860895.db2.gz CJWRFSPOGRCFEJ-UHFFFAOYSA-N -1 1 324.362 1.232 20 0 DDADMM O=C([C@@H]1CC[C@H](C(F)(F)F)C1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000796263992 699922377 /nfs/dbraw/zinc/92/23/77/699922377.db2.gz IRNNOHWGIATDNH-HRDYMLBCSA-N -1 1 319.287 1.078 20 0 DDADMM Cc1cc(F)ccc1-n1cc([O-])c(C(=O)OC[C@H](C)CO)n1 ZINC000801428188 700307935 /nfs/dbraw/zinc/30/79/35/700307935.db2.gz UQVPKLSZFVDMLR-SECBINFHSA-N -1 1 308.309 1.811 20 0 DDADMM CCc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1F ZINC000752420823 700362405 /nfs/dbraw/zinc/36/24/05/700362405.db2.gz PGAHJXXWVVCHGZ-UHFFFAOYSA-N -1 1 305.309 1.267 20 0 DDADMM CCOC(=O)[C@@H](C)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000752752872 700385130 /nfs/dbraw/zinc/38/51/30/700385130.db2.gz RDOUBVPVKVYCFR-VIFPVBQESA-N -1 1 309.366 1.543 20 0 DDADMM COC(=O)[C@]1(F)CCN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000752813631 700390051 /nfs/dbraw/zinc/39/00/51/700390051.db2.gz ZCFVRRYNSSSRBE-ZDUSSCGKSA-N -1 1 301.701 1.773 20 0 DDADMM O=C(c1c([O-])cccc1Cl)N1CCC[C@H]1CN1CCOCC1 ZINC000752930920 700397147 /nfs/dbraw/zinc/39/71/47/700397147.db2.gz IJZBBSCYIPUBEA-LBPRGKRZSA-N -1 1 324.808 1.982 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1cc(F)cc(C(F)(F)F)c1 ZINC000755669987 700576862 /nfs/dbraw/zinc/57/68/62/700576862.db2.gz DTVWJXFEGIUKEF-UHFFFAOYSA-N -1 1 305.187 1.041 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1c[nH]c(C(N)=O)c1 ZINC000756969921 700650739 /nfs/dbraw/zinc/65/07/39/700650739.db2.gz XQNHUJBHCJBRDR-UHFFFAOYSA-N -1 1 303.322 1.293 20 0 DDADMM Cc1cccc(N2C[C@H](C(=O)[N-]OCC(F)F)CC2=O)c1C ZINC000759331281 700746818 /nfs/dbraw/zinc/74/68/18/700746818.db2.gz OASXTQXIVAIGSY-LLVKDONJSA-N -1 1 312.316 1.969 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCOCC(C)C)o1 ZINC000759725045 700768287 /nfs/dbraw/zinc/76/82/87/700768287.db2.gz NLGCKJZOMNBYJX-UHFFFAOYSA-N -1 1 305.352 1.017 20 0 DDADMM C[C@@H]1CC(=O)CC[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000760555451 700813989 /nfs/dbraw/zinc/81/39/89/700813989.db2.gz PBRHLAXFORLOHB-BXUZGUMPSA-N -1 1 317.341 1.492 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccccc1)c1cc2occc2[nH]1 ZINC000763977749 700955891 /nfs/dbraw/zinc/95/58/91/700955891.db2.gz PYGXWCKWXMVSJJ-ZDUSSCGKSA-N -1 1 308.301 1.793 20 0 DDADMM Cc1ccc(C)c(OCCC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765395458 701009717 /nfs/dbraw/zinc/00/97/17/701009717.db2.gz HIZDSZVGRQFCOD-UHFFFAOYSA-N -1 1 305.334 1.238 20 0 DDADMM Cc1ccc(-c2nc(C(=O)OCc3nc(=O)n(C)[n-]3)cs2)o1 ZINC000765440881 701012971 /nfs/dbraw/zinc/01/29/71/701012971.db2.gz SMJXANSPIZSISC-UHFFFAOYSA-N -1 1 320.330 1.490 20 0 DDADMM Cc1cc(OC(F)F)c(C(=O)OCc2nc(=O)n(C)[n-]2)s1 ZINC000765473013 701014363 /nfs/dbraw/zinc/01/43/63/701014363.db2.gz ADFRLNSAJZWHLE-UHFFFAOYSA-N -1 1 319.289 1.437 20 0 DDADMM Cn1[n-]c(COC(=O)CCCOc2ccccc2F)nc1=O ZINC000765469948 701014386 /nfs/dbraw/zinc/01/43/86/701014386.db2.gz QYBRKCRSCJHSSE-UHFFFAOYSA-N -1 1 309.297 1.150 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2SCCc3ccccc32)nc1=O ZINC000765487278 701015323 /nfs/dbraw/zinc/01/53/23/701015323.db2.gz DJOUWBVUCOISRA-GFCCVEGCSA-N -1 1 305.359 1.182 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OC[C@H](C)CO ZINC000766700777 701059908 /nfs/dbraw/zinc/05/99/08/701059908.db2.gz JLYJFQZTTIHGLC-SNVBAGLBSA-N -1 1 301.364 1.233 20 0 DDADMM CS(=O)(=O)c1cc(C(=O)[N-]c2nc(C3CC3)no2)cs1 ZINC000767150247 701086196 /nfs/dbraw/zinc/08/61/96/701086196.db2.gz PLVZZRVJJRGJHM-UHFFFAOYSA-N -1 1 313.360 1.664 20 0 DDADMM CCOC(=O)[C@H]1CSCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000767297820 701096479 /nfs/dbraw/zinc/09/64/79/701096479.db2.gz CDZXTDFAGDZEEK-LLVKDONJSA-N -1 1 313.350 1.652 20 0 DDADMM O=C(COC(F)F)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000803732052 701139505 /nfs/dbraw/zinc/13/95/05/701139505.db2.gz DATAYEKIAARIKS-UHFFFAOYSA-N -1 1 300.213 1.781 20 0 DDADMM CCc1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)ccc1F ZINC000804267978 701175578 /nfs/dbraw/zinc/17/55/78/701175578.db2.gz XKFCMZKZGVVHND-UHFFFAOYSA-N -1 1 305.309 1.267 20 0 DDADMM CCOC1CC(O)(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000769704953 701253337 /nfs/dbraw/zinc/25/33/37/701253337.db2.gz ISLCXAFKWLHLDP-UHFFFAOYSA-N -1 1 323.393 1.274 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)/C=C\SC)CC2)n1 ZINC000769765783 701255309 /nfs/dbraw/zinc/25/53/09/701255309.db2.gz BFBIIBKHPOFIQD-TWGQIWQCSA-N -1 1 324.406 1.564 20 0 DDADMM C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)c1c([O-])cccc1Cl ZINC000769858483 701259560 /nfs/dbraw/zinc/25/95/60/701259560.db2.gz QWNAIANVVUAFGI-RKDXNWHRSA-N -1 1 319.810 1.939 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@H]1CCCOC1 ZINC000769875864 701260050 /nfs/dbraw/zinc/26/00/50/701260050.db2.gz ACBHNVDQMCFVCR-JTQLQIEISA-N -1 1 300.380 1.114 20 0 DDADMM CC(C)OCc1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000770277451 701273599 /nfs/dbraw/zinc/27/35/99/701273599.db2.gz AOSRETKUQPUUSG-UHFFFAOYSA-N -1 1 306.322 1.200 20 0 DDADMM CC(C)CCc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000770326780 701274399 /nfs/dbraw/zinc/27/43/99/701274399.db2.gz PDSNUAINCUHQBV-UHFFFAOYSA-N -1 1 304.350 1.864 20 0 DDADMM COc1ccc(-c2nc(COC(=O)c3cn[n-]n3)no2)cc1 ZINC000805603972 701397526 /nfs/dbraw/zinc/39/75/26/701397526.db2.gz ZFAQOVTUDSYHCG-UHFFFAOYSA-N -1 1 301.262 1.220 20 0 DDADMM Cc1cc(C)c(CCNC(=O)COC(=O)c2cn[n-]n2)c(C)c1 ZINC000805603380 701397634 /nfs/dbraw/zinc/39/76/34/701397634.db2.gz IUIAERCVVLHLBJ-UHFFFAOYSA-N -1 1 316.361 1.246 20 0 DDADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)c1ccc(Br)cc1 ZINC000805605021 701398327 /nfs/dbraw/zinc/39/83/27/701398327.db2.gz USZAESCXDIBJER-ZETCQYMHSA-N -1 1 324.134 1.995 20 0 DDADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)Nc1cc(Cl)ccc1F ZINC000805605571 701398425 /nfs/dbraw/zinc/39/84/25/701398425.db2.gz NXVHXCCVGILPEV-ZCFIWIBFSA-N -1 1 312.688 1.781 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)N[C@H](c1ccccc1)C1CC1 ZINC000805607013 701399377 /nfs/dbraw/zinc/39/93/77/701399377.db2.gz QOBPQCNBPIXJIU-CQSZACIVSA-N -1 1 300.318 1.229 20 0 DDADMM CC(C)(C)C[C@H](O)CC(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000805727360 701407511 /nfs/dbraw/zinc/40/75/11/701407511.db2.gz FCKSUTAYFRUJIF-SECBINFHSA-N -1 1 313.316 1.785 20 0 DDADMM CCCNC(=O)CN1CC[C@H](C)[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC000805785986 701409275 /nfs/dbraw/zinc/40/92/75/701409275.db2.gz PQQZNXXNAJZVTQ-NHCYSSNCSA-N -1 1 323.359 1.290 20 0 DDADMM C[C@H](NC(=O)N=c1ccnc2n(C)[n-]cc1-2)c1cccc(O)c1 ZINC000806485530 701437250 /nfs/dbraw/zinc/43/72/50/701437250.db2.gz ZNKMPBSLMUXIMC-JTQLQIEISA-N -1 1 311.345 1.930 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCCOC(C)(C)C3)ccnc1-2 ZINC000806487975 701437536 /nfs/dbraw/zinc/43/75/36/701437536.db2.gz HUIKNZRCOYVYJZ-UHFFFAOYSA-N -1 1 303.366 1.375 20 0 DDADMM O=c1cc(/C=C\c2c(Cl)nc3sccn23)nc2nc[n-]n21 ZINC000806571006 701441659 /nfs/dbraw/zinc/44/16/59/701441659.db2.gz OTJOAOYOASGFJA-UPHRSURJSA-N -1 1 318.749 1.951 20 0 DDADMM CSc1nc([N-]C(=O)c2cnc(C3OCCO3)s2)n[nH]1 ZINC000806703854 701446400 /nfs/dbraw/zinc/44/64/00/701446400.db2.gz ITYZHHKFTGOTSA-UHFFFAOYSA-N -1 1 313.364 1.281 20 0 DDADMM C[C@@H](NC(=O)Cc1ccc([O-])c(Cl)c1)c1nnnn1C1CC1 ZINC000867765677 701737765 /nfs/dbraw/zinc/73/77/65/701737765.db2.gz SRUMEKCUXIFJCT-MRVPVSSYSA-N -1 1 321.768 1.787 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@H]1CCCC2(CC2)C1 ZINC000830953752 706609962 /nfs/dbraw/zinc/60/99/62/706609962.db2.gz YUIMTPGLBAWPRQ-NSHDSACASA-N -1 1 317.389 1.842 20 0 DDADMM C[C@]1(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC=CCC1 ZINC000830953106 706610040 /nfs/dbraw/zinc/61/00/40/706610040.db2.gz QOIGPQCUCSAOHC-INIZCTEOSA-N -1 1 303.362 1.618 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@H]1CC12CCCC2 ZINC000830958845 706610945 /nfs/dbraw/zinc/61/09/45/706610945.db2.gz GLOIVPUMXMOGBG-LLVKDONJSA-N -1 1 303.362 1.451 20 0 DDADMM Cc1cc2[nH]ncc2cc1NC(=O)C(=O)[N-]OC/C=C\Cl ZINC000810499652 701778943 /nfs/dbraw/zinc/77/89/43/701778943.db2.gz YKZNPZWBQZXINA-IHWYPQMZSA-N -1 1 308.725 1.610 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)OC[C@H]2CCCO2)C1 ZINC000867890224 701813587 /nfs/dbraw/zinc/81/35/87/701813587.db2.gz LJGGFRXEIBZQFS-BXKDBHETSA-N -1 1 324.299 1.445 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc2[nH]ccc21 ZINC000867902658 701818562 /nfs/dbraw/zinc/81/85/62/701818562.db2.gz YQXATGVFBHIEHD-IBGZPJMESA-N -1 1 315.420 1.620 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000816014302 701991815 /nfs/dbraw/zinc/99/18/15/701991815.db2.gz UDTFYJYSIRKCOF-CULMDFNYSA-N -1 1 316.361 1.248 20 0 DDADMM CC1(C)C[C@H]1CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000868243724 702017215 /nfs/dbraw/zinc/01/72/15/702017215.db2.gz MBQPCSKCBXDYTN-VIFPVBQESA-N -1 1 306.366 1.100 20 0 DDADMM Cc1cccc(C)c1CS(=O)(=O)[N-]C(=O)CCc1nc[nH]n1 ZINC000816336837 702066760 /nfs/dbraw/zinc/06/67/60/702066760.db2.gz UNYFEXFPPDNYTO-UHFFFAOYSA-N -1 1 322.390 1.000 20 0 DDADMM O=C(CCc1cncnc1)Nc1nc(Br)ccc1[O-] ZINC000816426395 702085264 /nfs/dbraw/zinc/08/52/64/702085264.db2.gz HICOLTPKBYJWRH-UHFFFAOYSA-N -1 1 323.150 1.911 20 0 DDADMM O=C(CCN1C(=O)[C@H]2CCCC[C@@H]2C1=O)[N-]Oc1ccccc1 ZINC000816684093 702149502 /nfs/dbraw/zinc/14/95/02/702149502.db2.gz MIGAEOHTCCDRDC-KBPBESRZSA-N -1 1 316.357 1.662 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@H]1CC(=O)N(Cc2ccccn2)C1 ZINC000812405097 702176889 /nfs/dbraw/zinc/17/68/89/702176889.db2.gz QDKUZFLIHDADAW-TZNOJPMFSA-N -1 1 309.753 1.230 20 0 DDADMM Cc1nc(CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cs1 ZINC000831162665 706647371 /nfs/dbraw/zinc/64/73/71/706647371.db2.gz VTCIMIRCZSFFRY-UHFFFAOYSA-N -1 1 321.324 1.131 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CO1 ZINC000868741690 702296721 /nfs/dbraw/zinc/29/67/21/702296721.db2.gz RZNSNWXYIMUULX-KBVBSXBZSA-N -1 1 323.315 1.016 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@@H]1Cc2ccccc2O1 ZINC000869224741 702518522 /nfs/dbraw/zinc/51/85/22/702518522.db2.gz VAGNFMYPFROKDD-LBPRGKRZSA-N -1 1 307.346 1.770 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@@H](CC(C)C)OC ZINC000842017643 702656490 /nfs/dbraw/zinc/65/64/90/702656490.db2.gz QQOIPRLWZGQXQL-LLVKDONJSA-N -1 1 316.423 1.749 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CC1(c2ccccc2)CC1 ZINC000866441471 706700345 /nfs/dbraw/zinc/70/03/45/706700345.db2.gz KLBVSUAISXENPB-IBGZPJMESA-N -1 1 316.448 1.670 20 0 DDADMM Cc1cccc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)c1 ZINC000843019722 702804675 /nfs/dbraw/zinc/80/46/75/702804675.db2.gz ZEDFWRVTIRKLTA-UHFFFAOYSA-N -1 1 310.419 1.539 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cc(C)ccc1OC ZINC000866471875 706707531 /nfs/dbraw/zinc/70/75/31/706707531.db2.gz XWSLUFQDTYBJKR-IBGZPJMESA-N -1 1 320.436 1.456 20 0 DDADMM O=c1cc(CN2C[C@@H]3C[C@H]2C[S@@]3=O)c2ccc([O-])cc2o1 ZINC000844431542 703030755 /nfs/dbraw/zinc/03/07/55/703030755.db2.gz RJJBKBFHVCVOMO-FPQVQZPGSA-N -1 1 305.355 1.204 20 0 DDADMM CN(OCC(F)(F)F)C(=O)C[N-]c1nnc(C(C)(C)C)o1 ZINC000882644714 707731261 /nfs/dbraw/zinc/73/12/61/707731261.db2.gz PLRZLGROBUDXDK-UHFFFAOYSA-N -1 1 310.276 1.731 20 0 DDADMM O=S(=O)([N-]CC[C@]1(O)CCOC1)c1cc2ccccc2o1 ZINC000845985888 703223976 /nfs/dbraw/zinc/22/39/76/703223976.db2.gz AWLNKWWXPIJJCE-AWEZNQCLSA-N -1 1 311.359 1.253 20 0 DDADMM CCO[N-]C(=O)CNCc1cc(F)ccc1Br ZINC000846088702 703236128 /nfs/dbraw/zinc/23/61/28/703236128.db2.gz ZUMCYTPYLYGPBW-UHFFFAOYSA-N -1 1 305.147 1.746 20 0 DDADMM CC[C@H](C)N(CCS(=O)(=O)Cc1ccccc1)CC(=O)[O-] ZINC000846277476 703259713 /nfs/dbraw/zinc/25/97/13/703259713.db2.gz FYMAOHXWHZMJBA-ZDUSSCGKSA-N -1 1 313.419 1.787 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)C=C(C)c2cccs2)n[n-]1 ZINC000879654401 706750487 /nfs/dbraw/zinc/75/04/87/706750487.db2.gz GRFOBIAEBRYXDT-CLFYSBASSA-N -1 1 320.374 1.763 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)C=C(C)c2cccs2)n1 ZINC000879654401 706750488 /nfs/dbraw/zinc/75/04/88/706750488.db2.gz GRFOBIAEBRYXDT-CLFYSBASSA-N -1 1 320.374 1.763 20 0 DDADMM C[C@H]1OCC[C@H]1C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000848341509 703541048 /nfs/dbraw/zinc/54/10/48/703541048.db2.gz ZUXKBEAYDYLVIZ-GWOFURMSSA-N -1 1 322.327 1.469 20 0 DDADMM O=C([N-]OCC1CCC1)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000850718113 703746413 /nfs/dbraw/zinc/74/64/13/703746413.db2.gz HIOIOZQEWJZWNQ-UHFFFAOYSA-N -1 1 315.333 1.054 20 0 DDADMM Cc1cccc2c1OCC[C@H]2[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866682408 706763264 /nfs/dbraw/zinc/76/32/64/706763264.db2.gz MVOLXKIMIZFPKZ-LLVKDONJSA-N -1 1 318.420 1.381 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCOc2ccccc21 ZINC000866685413 706764405 /nfs/dbraw/zinc/76/44/05/706764405.db2.gz LEWBGPZLLVVZKX-LLVKDONJSA-N -1 1 318.420 1.462 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CC[C@@H](C)C2)cc1C ZINC000851786268 703855235 /nfs/dbraw/zinc/85/52/35/703855235.db2.gz SZITXAXCXRGFDF-SCZZXKLOSA-N -1 1 301.364 1.842 20 0 DDADMM C[C@@H](O)C1([N-]S(=O)(=O)c2ccc(Cl)nc2Cl)CC1 ZINC000851831180 703866453 /nfs/dbraw/zinc/86/64/53/703866453.db2.gz OPVYXBIWZYAWPR-ZCFIWIBFSA-N -1 1 311.190 1.580 20 0 DDADMM Cc1nccnc1CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000818540398 703922289 /nfs/dbraw/zinc/92/22/89/703922289.db2.gz OTIDOSYZFCKRCB-UHFFFAOYSA-N -1 1 314.374 1.089 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1C[C@@H]1[C@H]1C[C@H]1C ZINC000870279768 703983982 /nfs/dbraw/zinc/98/39/82/703983982.db2.gz ZXUWRKQUWOCVJC-GHORINQJSA-N -1 1 316.361 1.104 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)N(C)c1nccn1C ZINC000819518704 704136632 /nfs/dbraw/zinc/13/66/32/704136632.db2.gz AQFWKICLBDQHBE-UHFFFAOYSA-N -1 1 322.390 1.458 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCCc4n[nH]cc4C3)ccnc1-2 ZINC000852974090 704180928 /nfs/dbraw/zinc/18/09/28/704180928.db2.gz CSTVLTUHXMSROU-UHFFFAOYSA-N -1 1 311.349 1.045 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OC[C@H]2CCCOC2)c1 ZINC000819957875 704193016 /nfs/dbraw/zinc/19/30/16/704193016.db2.gz PURMCHQGYONUQF-LAJNKCICSA-N -1 1 312.387 1.773 20 0 DDADMM COC(=O)CCN1CCC[C@H](O[N-]C(=O)CC(C)(C)C)C1=O ZINC000853705760 704302800 /nfs/dbraw/zinc/30/28/00/704302800.db2.gz CHZAODPXTZGMBM-NSHDSACASA-N -1 1 314.382 1.025 20 0 DDADMM CN1C(=O)[C@H]2CN(C(=O)c3cc4ccccc4cc3[O-])C[C@H]2C1=O ZINC000871525680 704308368 /nfs/dbraw/zinc/30/83/68/704308368.db2.gz VGGRBARUGFBKNA-OKILXGFUSA-N -1 1 324.336 1.232 20 0 DDADMM COc1cccc(C[N-]S(=O)(=O)N=S2(=O)CCCC2)c1 ZINC000820757198 704327776 /nfs/dbraw/zinc/32/77/76/704327776.db2.gz BGGQBNDLGGUCGV-UHFFFAOYSA-N -1 1 318.420 1.291 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCCCc2cn[nH]c2)c1 ZINC000821211696 704381244 /nfs/dbraw/zinc/38/12/44/704381244.db2.gz VGJKXVLPVJMQFF-JOCHJYFZSA-N -1 1 322.386 1.702 20 0 DDADMM CC(=CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)c1cnccn1 ZINC000854341965 704382033 /nfs/dbraw/zinc/38/20/33/704382033.db2.gz BXCJCYHHPJUCFG-CSKARUKUSA-N -1 1 315.333 1.375 20 0 DDADMM C[C@@H]1OCCO[C@@H]1C(=O)Nc1cccc([O-])c1Br ZINC000821374120 704399149 /nfs/dbraw/zinc/39/91/49/704399149.db2.gz CHHMKUUXXIYZIP-CPCISQLKSA-N -1 1 316.151 1.897 20 0 DDADMM COC(=O)C12CCC(C(=O)Nc3c(C)[n-][nH]c3=O)(CC1)CC2 ZINC000854634339 704430372 /nfs/dbraw/zinc/43/03/72/704430372.db2.gz AGZWOLOVCGYDDV-UHFFFAOYSA-N -1 1 307.350 1.876 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cccn2C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856419166 704528234 /nfs/dbraw/zinc/52/82/34/704528234.db2.gz FCRXZLZEAJTCRU-ZJUUUORDSA-N -1 1 317.311 1.554 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1[C@@H]2CCCO[C@@H]2C1(C)C ZINC000866872672 706830703 /nfs/dbraw/zinc/83/07/03/706830703.db2.gz AGJGRHNRPJLTIZ-BIOBGPJSSA-N -1 1 324.468 1.142 20 0 DDADMM O=c1nc(NC2CCN(c3ncccn3)CC2)cc(Cl)[n-]1 ZINC000858349055 704698430 /nfs/dbraw/zinc/69/84/30/704698430.db2.gz IUQUJZLAZXDPOH-UHFFFAOYSA-N -1 1 306.757 1.707 20 0 DDADMM CC(=O)N1C[C@@H]2CCC[C@H](Nc3cc(Cl)[n-]c(=O)n3)[C@H]2C1 ZINC000858547600 704725280 /nfs/dbraw/zinc/72/52/80/704725280.db2.gz IYFMVNOAQBFMSK-DCAQKATOSA-N -1 1 310.785 1.895 20 0 DDADMM CC1(C)C(=O)N[C@H]1C1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858555511 704726712 /nfs/dbraw/zinc/72/67/12/704726712.db2.gz HIXDQGCHCRYXQY-NSHDSACASA-N -1 1 310.785 1.577 20 0 DDADMM Cc1cc2ccccc2nc1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000821893613 704836403 /nfs/dbraw/zinc/83/64/03/704836403.db2.gz HUIIYWLQZKULBM-NSHDSACASA-N -1 1 310.361 1.932 20 0 DDADMM CN(CC[N-]S(=O)(=O)c1cc2ccccc2o1)CC(F)F ZINC000859428444 704900531 /nfs/dbraw/zinc/90/05/31/704900531.db2.gz HWWCYFOJSNSGDM-UHFFFAOYSA-N -1 1 318.345 1.908 20 0 DDADMM O=C([N-][C@H]1CCCCNC1=O)C(F)(F)c1cc(F)cc(F)c1 ZINC000873992142 704914662 /nfs/dbraw/zinc/91/46/62/704914662.db2.gz XNFBOJJBLUQKKX-NSHDSACASA-N -1 1 318.270 1.842 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1CCC[C@@H]1Cc1ccccc1 ZINC000822325812 704917848 /nfs/dbraw/zinc/91/78/48/704917848.db2.gz FBXYLEYGMWKCMZ-CHWSQXEVSA-N -1 1 301.346 1.228 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@]23CCO[C@@H]2CCCC3)n1 ZINC000822547106 704972158 /nfs/dbraw/zinc/97/21/58/704972158.db2.gz HNKBRHIDEUWHED-IAQYHMDHSA-N -1 1 307.350 1.874 20 0 DDADMM CC[C@H]1[C@@H](CO)CCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000834092695 707019456 /nfs/dbraw/zinc/01/94/56/707019456.db2.gz CWZDSXODBRKPGP-MNOVXSKESA-N -1 1 306.391 1.251 20 0 DDADMM CC(C)CC[C@@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000867558944 707039647 /nfs/dbraw/zinc/03/96/47/707039647.db2.gz DIVUNYQSIPHDSO-VIFPVBQESA-N -1 1 324.805 1.950 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCCC23OCCO3)sn1 ZINC000867525797 707028955 /nfs/dbraw/zinc/02/89/55/707028955.db2.gz CIIZEUDJEUVCAR-VIFPVBQESA-N -1 1 304.393 1.025 20 0 DDADMM O=S(=O)([N-]CC1SCCS1)c1ccc(F)nc1F ZINC000867530729 707030610 /nfs/dbraw/zinc/03/06/10/707030610.db2.gz CARDWCCIZTUUMJ-UHFFFAOYSA-N -1 1 312.388 1.444 20 0 DDADMM Cc1cccc([C@H]2CCN(Cc3cn(CC(=O)[O-])nn3)C2)c1 ZINC000902373092 710733919 /nfs/dbraw/zinc/73/39/19/710733919.db2.gz NEKHQMPHVHQOOE-AWEZNQCLSA-N -1 1 300.362 1.661 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000860144887 705112520 /nfs/dbraw/zinc/11/25/20/705112520.db2.gz YLFIMYLDZDZOFT-NWJSVONSSA-N -1 1 319.357 1.546 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=C2CC[C@H](C)C2)n[n-]1 ZINC000880667614 707052766 /nfs/dbraw/zinc/05/27/66/707052766.db2.gz YBVNAZQOCLMRPA-YNMOXFEVSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=C2CC[C@H](C)C2)[n-]1 ZINC000880667614 707052768 /nfs/dbraw/zinc/05/27/68/707052768.db2.gz YBVNAZQOCLMRPA-YNMOXFEVSA-N -1 1 306.366 1.905 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)/C=C2/CC[C@H](C)C2)n1 ZINC000880667614 707052769 /nfs/dbraw/zinc/05/27/69/707052769.db2.gz YBVNAZQOCLMRPA-YNMOXFEVSA-N -1 1 306.366 1.905 20 0 DDADMM O=S(=O)([N-][C@@H]1[C@H]2C[C@H]3CO[C@H]1[C@H]3C2)c1cccc(F)c1F ZINC000867614306 707054951 /nfs/dbraw/zinc/05/49/51/707054951.db2.gz SNSDGADZZAGMDT-YTHRQHQBSA-N -1 1 315.341 1.667 20 0 DDADMM C[C@@]1(CCNC(=O)CCCc2nn[n-]n2)CC1(Cl)Cl ZINC000823386519 705228096 /nfs/dbraw/zinc/22/80/96/705228096.db2.gz WMMOJYKFIXAKEA-SNVBAGLBSA-N -1 1 306.197 1.613 20 0 DDADMM O=C([N-]OCC(F)F)[C@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC000860811308 705288960 /nfs/dbraw/zinc/28/89/60/705288960.db2.gz AXBJFSKKOOEFKX-ZETCQYMHSA-N -1 1 320.242 1.631 20 0 DDADMM CCC[C@@H](CNC(=O)N=c1ccnc2n(C)[n-]cc1-2)OCC ZINC000861027012 705352588 /nfs/dbraw/zinc/35/25/88/705352588.db2.gz SWSOCBDQXKFXPT-NSHDSACASA-N -1 1 305.382 1.669 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)Cc3csc(C4CC4)n3)ccnc1-2 ZINC000875634108 705457506 /nfs/dbraw/zinc/45/75/06/705457506.db2.gz ZHUJJNNCYUBGJE-UHFFFAOYSA-N -1 1 313.386 1.857 20 0 DDADMM CC[C@H](C)CCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875728389 705490763 /nfs/dbraw/zinc/49/07/63/705490763.db2.gz VKUDYGKHJDMJKM-VIFPVBQESA-N -1 1 309.332 1.743 20 0 DDADMM CC[C@H]1C[C@H](C)CN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825183244 705618959 /nfs/dbraw/zinc/61/89/59/705618959.db2.gz NZIBTHJHEQSJJM-WPRPVWTQSA-N -1 1 304.354 1.353 20 0 DDADMM CC[C@H]1C[C@H](C)CN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825183244 705618963 /nfs/dbraw/zinc/61/89/63/705618963.db2.gz NZIBTHJHEQSJJM-WPRPVWTQSA-N -1 1 304.354 1.353 20 0 DDADMM CCON(CC)C(=O)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000862619835 705754063 /nfs/dbraw/zinc/75/40/63/705754063.db2.gz JLKYPVFWRXNZIX-UHFFFAOYSA-N -1 1 324.381 1.144 20 0 DDADMM Cc1nc(CNc2ccc(-c3nnn[n-]3)nn2)ccc1[C@@H](C)O ZINC000826326255 705790275 /nfs/dbraw/zinc/79/02/75/705790275.db2.gz HTBWGDJXHBJXGN-SECBINFHSA-N -1 1 312.337 1.026 20 0 DDADMM Cc1nc(CNc2ccc(-c3nn[n-]n3)nn2)ccc1[C@@H](C)O ZINC000826326255 705790279 /nfs/dbraw/zinc/79/02/79/705790279.db2.gz HTBWGDJXHBJXGN-SECBINFHSA-N -1 1 312.337 1.026 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H]2C(C)C)c1-c1nnn[n-]1 ZINC000826344346 705793740 /nfs/dbraw/zinc/79/37/40/705793740.db2.gz FHTSQBPFXHXOJK-LLVKDONJSA-N -1 1 304.354 1.353 20 0 DDADMM Cc1onc(CC(=O)N2CCC[C@@H]2C(C)C)c1-c1nn[n-]n1 ZINC000826344346 705793743 /nfs/dbraw/zinc/79/37/43/705793743.db2.gz FHTSQBPFXHXOJK-LLVKDONJSA-N -1 1 304.354 1.353 20 0 DDADMM O=C(NCC[C@@H](O)C(F)(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000826609936 705825245 /nfs/dbraw/zinc/82/52/45/705825245.db2.gz LQAOEFVFMLJNES-SSDOTTSWSA-N -1 1 320.296 1.061 20 0 DDADMM CC(C)(C)OC(=O)N[C@H](CO)C(=O)Nc1cccc(F)c1[O-] ZINC000863008010 705849332 /nfs/dbraw/zinc/84/93/32/705849332.db2.gz DVITWHCHBUSDHE-SNVBAGLBSA-N -1 1 314.313 1.355 20 0 DDADMM C[C@H](CN(C)CCS(=O)(=O)CC(=O)[O-])C1CCCCC1 ZINC000863534095 705950106 /nfs/dbraw/zinc/95/01/06/705950106.db2.gz ICPPYXOJPGAGSO-GFCCVEGCSA-N -1 1 305.440 1.634 20 0 DDADMM CON(C)C(=O)[C@H](C)NC(=O)c1ccc2ccccc2c1[O-] ZINC000863626957 705970387 /nfs/dbraw/zinc/97/03/87/705970387.db2.gz UERCDPMTPJIEIA-JTQLQIEISA-N -1 1 302.330 1.684 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCO[C@]2(CCSC2)C1 ZINC000864064535 706057428 /nfs/dbraw/zinc/05/74/28/706057428.db2.gz XHQYMAUUDZIRRG-OAHLLOKOSA-N -1 1 321.402 1.408 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccnc(Br)c2)c1=O ZINC000871952662 707254145 /nfs/dbraw/zinc/25/41/45/707254145.db2.gz KFPUAIGIERILNP-SSDOTTSWSA-N -1 1 312.123 1.356 20 0 DDADMM CN(CCS(C)(=O)=O)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000865146363 706363635 /nfs/dbraw/zinc/36/36/35/706363635.db2.gz LMAJWCGAPJLPJP-UHFFFAOYSA-N -1 1 305.783 1.091 20 0 DDADMM CSc1ccc(CCCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000829624761 706368765 /nfs/dbraw/zinc/36/87/65/706368765.db2.gz PJNJMMOKCUOHPZ-UHFFFAOYSA-N -1 1 321.402 1.896 20 0 DDADMM O=C(CCCc1nn[n-]n1)NCC[C@H]1CC[C@H](C(F)(F)F)O1 ZINC000830442721 706518620 /nfs/dbraw/zinc/51/86/20/706518620.db2.gz KNCFNQOKSAVMIU-RKDXNWHRSA-N -1 1 321.303 1.139 20 0 DDADMM CC(C)(C)OC(=O)C(C)(C)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000879057020 706575454 /nfs/dbraw/zinc/57/54/54/706575454.db2.gz YQAVNELBKRJUOE-UHFFFAOYSA-N -1 1 323.393 1.465 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H]1C[C@H](OC)C1(C)C ZINC000866938538 706850582 /nfs/dbraw/zinc/85/05/82/706850582.db2.gz VDQZULKHVLFKKE-ZJUUUORDSA-N -1 1 312.457 1.142 20 0 DDADMM CCOCCC1(C[N-]S(=O)(=O)N=S(C)(C)=O)CCC1 ZINC000866971268 706860434 /nfs/dbraw/zinc/86/04/34/706860434.db2.gz AGHQABRQNYLHIH-UHFFFAOYSA-N -1 1 312.457 1.145 20 0 DDADMM CS[C@@H](C)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867001105 706869031 /nfs/dbraw/zinc/86/90/31/706869031.db2.gz PDEBRDQCOIKFNK-YFKPBYRVSA-N -1 1 314.230 1.202 20 0 DDADMM C[C@@H]1C[C@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867046828 706881466 /nfs/dbraw/zinc/88/14/66/706881466.db2.gz DBPBVVJOFLPJFX-RKDXNWHRSA-N -1 1 306.334 1.453 20 0 DDADMM CO[C@H]1CCC[C@H]1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867058220 706885370 /nfs/dbraw/zinc/88/53/70/706885370.db2.gz PFGCLGNZVGKMKP-IUCAKERBSA-N -1 1 306.334 1.453 20 0 DDADMM C[C@@H]1C[C@@H](CC[N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867092376 706893679 /nfs/dbraw/zinc/89/36/79/706893679.db2.gz KPKMOZHSAXKPGH-ZJUUUORDSA-N -1 1 320.361 1.843 20 0 DDADMM C[C@H]1C[C@@H](CC[N-]S(=O)(=O)c2ccc(F)nc2F)CCO1 ZINC000867092374 706893689 /nfs/dbraw/zinc/89/36/89/706893689.db2.gz KPKMOZHSAXKPGH-UWVGGRQHSA-N -1 1 320.361 1.843 20 0 DDADMM CC1(C)C[C@@H](C[N-]S(=O)(=O)N=S2(=O)CCCC2)CCO1 ZINC000867376375 706979803 /nfs/dbraw/zinc/97/98/03/706979803.db2.gz AWXDSMKOJKXABV-NSHDSACASA-N -1 1 324.468 1.288 20 0 DDADMM CC(C)O[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000867383558 706982777 /nfs/dbraw/zinc/98/27/77/706982777.db2.gz XULFHUNFPOSQLN-DTORHVGOSA-N -1 1 306.334 1.594 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000867564699 707041317 /nfs/dbraw/zinc/04/13/17/707041317.db2.gz DEVCEILLNTZAAQ-ZSBIGDGJSA-N -1 1 308.469 1.765 20 0 DDADMM C[C@H]1CCCN(C(=O)C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000834825998 707131853 /nfs/dbraw/zinc/13/18/53/707131853.db2.gz PKFUHWWHHCWEQJ-JTQLQIEISA-N -1 1 310.781 1.920 20 0 DDADMM Cc1cc(=O)c(COC(=O)c2cccc(CN(C)C)c2)n[n-]1 ZINC000835315039 707220826 /nfs/dbraw/zinc/22/08/26/707220826.db2.gz SGGVXBCWZPTPRP-UHFFFAOYSA-N -1 1 301.346 1.909 20 0 DDADMM CCCC[C@@H](NCc1nc2c(c(=O)[n-]1)COCC2)C(=O)OC ZINC000871930825 707248166 /nfs/dbraw/zinc/24/81/66/707248166.db2.gz BHLNWYDNPYQLFP-GFCCVEGCSA-N -1 1 309.366 1.076 20 0 DDADMM C[C@@H]1SCC[C@@H]1[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872499104 707448511 /nfs/dbraw/zinc/44/85/11/707448511.db2.gz DPJWZOQWIONYCP-GSRNSYJJSA-N -1 1 314.498 1.611 20 0 DDADMM CCOC1(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)CCCC1 ZINC000836574978 707482800 /nfs/dbraw/zinc/48/28/00/707482800.db2.gz CCDVNUYRUNRCQT-UHFFFAOYSA-N -1 1 317.393 1.813 20 0 DDADMM CC1(C)[C@H](CS(=O)(=O)[N-]CC2(C)OCCCO2)C1(F)F ZINC000882125546 707508484 /nfs/dbraw/zinc/50/84/84/707508484.db2.gz IJMXBWJZZYTUPD-VIFPVBQESA-N -1 1 313.366 1.350 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(Cn2cccnc2=S)C1 ZINC000872753400 707584954 /nfs/dbraw/zinc/58/49/54/707584954.db2.gz LHVLCIPTXBAAJP-NSHDSACASA-N -1 1 320.340 1.713 20 0 DDADMM CC[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)[C@H]1CCCOC1 ZINC000882462590 707642737 /nfs/dbraw/zinc/64/27/37/707642737.db2.gz UUZJDZVUCZAKJA-NWDGAFQWSA-N -1 1 320.418 1.953 20 0 DDADMM CCc1nncn1CCNC(=O)NCc1ccc([O-])c(Cl)c1 ZINC000872914129 707650904 /nfs/dbraw/zinc/65/09/04/707650904.db2.gz WZSHRDZYPDOMJR-UHFFFAOYSA-N -1 1 323.784 1.699 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H]2C[C@H](OC)C2)c(F)c1 ZINC000882590926 707709857 /nfs/dbraw/zinc/70/98/57/707709857.db2.gz XFQYHSNLBKZDBB-KYZUINATSA-N -1 1 321.345 1.677 20 0 DDADMM CCc1nn(C)cc1S(=O)(=O)[N-]c1cc2[nH]ncc2c(C)c1 ZINC000882711419 707757618 /nfs/dbraw/zinc/75/76/18/707757618.db2.gz OXHQYMLFXJVHKB-UHFFFAOYSA-N -1 1 319.390 1.968 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N[C@H](C3CC3)C(C)(C)CO)ccnc1-2 ZINC000839075317 708022830 /nfs/dbraw/zinc/02/28/30/708022830.db2.gz VCSFOTQZXXINQY-CYBMUJFWSA-N -1 1 317.393 1.260 20 0 DDADMM C[C@@H](C(=O)N[C@]1(C(=O)[O-])CCSC1)N1CCCCCC1 ZINC000909353412 712998651 /nfs/dbraw/zinc/99/86/51/712998651.db2.gz VAIQLLRCJDZQED-SMDDNHRTSA-N -1 1 300.424 1.327 20 0 DDADMM CCN(CC(=O)NC[C@H](Oc1ccc(F)cc1)C(=O)[O-])C1CC1 ZINC000909388177 713006213 /nfs/dbraw/zinc/00/62/13/713006213.db2.gz MGACSJWZRVAAHY-AWEZNQCLSA-N -1 1 324.352 1.258 20 0 DDADMM C[C@H](CN(C(=O)c1cc(C(F)(F)F)[nH]n1)C1CC1)C(=O)[O-] ZINC000909455748 713022797 /nfs/dbraw/zinc/02/27/97/713022797.db2.gz IRURXWPKNFSOGS-ZCFIWIBFSA-N -1 1 305.256 1.754 20 0 DDADMM CC(C)Cn1ccc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000897669295 708413483 /nfs/dbraw/zinc/41/34/83/708413483.db2.gz POUDGHILFLHDJW-UHFFFAOYSA-N -1 1 319.365 1.648 20 0 DDADMM Cc1nc(C2([N-]S(=O)(=O)c3ccns3)CCCC2)no1 ZINC000884934554 708424494 /nfs/dbraw/zinc/42/44/94/708424494.db2.gz LADCETDXSNMYTH-UHFFFAOYSA-N -1 1 314.392 1.582 20 0 DDADMM O=S(=O)([N-][C@H](CCCO)c1ccccc1)c1ccns1 ZINC000885028391 708447646 /nfs/dbraw/zinc/44/76/46/708447646.db2.gz CQAFPSJDZGYMFJ-GFCCVEGCSA-N -1 1 312.416 1.935 20 0 DDADMM O=S(=O)([N-][C@@H]1CC[C@H]2SCCS[C@@H]12)c1ccns1 ZINC000885078292 708464618 /nfs/dbraw/zinc/46/46/18/708464618.db2.gz GSJXMGDINHKWJI-MRTMQBJTSA-N -1 1 322.502 1.801 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1ccns1)[C@@](C)(O)c1ccccc1 ZINC000885272153 708507324 /nfs/dbraw/zinc/50/73/24/708507324.db2.gz FPTBAUVRVQXRLS-ZWNOBZJWSA-N -1 1 312.416 1.718 20 0 DDADMM CCOC(=O)C1(C[N-]S(=O)(=O)c2cc(C)ns2)CCC1 ZINC000885391597 708534264 /nfs/dbraw/zinc/53/42/64/708534264.db2.gz HSCDSSPVOCIRFD-UHFFFAOYSA-N -1 1 318.420 1.463 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]2[C@H]2C[C@@H]2C)c1 ZINC000885620504 708585768 /nfs/dbraw/zinc/58/57/68/708585768.db2.gz KVIRYXRMMOQJHY-QSFUFRPTSA-N -1 1 313.375 1.637 20 0 DDADMM COc1cc(C(=O)NCCO[C@@H]2CCOC2)cc(Cl)c1[O-] ZINC000885740214 708613688 /nfs/dbraw/zinc/61/36/88/708613688.db2.gz PPLHTBBAMVUAJG-SNVBAGLBSA-N -1 1 315.753 1.590 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@H]1CCCc2nn[nH]c21 ZINC000886268293 708732845 /nfs/dbraw/zinc/73/28/45/708732845.db2.gz KXLQTWRCKBYOFP-JTQLQIEISA-N -1 1 322.315 1.567 20 0 DDADMM O=S(=O)([N-]c1ccc(F)nc1F)c1cnn2c1OCCC2 ZINC000886412545 708756376 /nfs/dbraw/zinc/75/63/76/708756376.db2.gz KPLWNGDIFXNOSN-UHFFFAOYSA-N -1 1 316.289 1.140 20 0 DDADMM C[C@@](O)(CNC(=O)NCCc1c(F)cc([O-])cc1F)C1CC1 ZINC000927781523 713054391 /nfs/dbraw/zinc/05/43/91/713054391.db2.gz PPXGXOAMYSQROI-OAHLLOKOSA-N -1 1 314.332 1.673 20 0 DDADMM C[C@@H]1C(=O)N(C)CN1C(=O)NCCc1c(F)cc([O-])cc1F ZINC000927787336 713056266 /nfs/dbraw/zinc/05/62/66/713056266.db2.gz QUSITUXFLMMTNM-MRVPVSSYSA-N -1 1 313.304 1.043 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC[C@H]1C[C@H](O)C1 ZINC000927788424 713056507 /nfs/dbraw/zinc/05/65/07/713056507.db2.gz IIIFZKCFXLNZSW-KYZUINATSA-N -1 1 300.305 1.283 20 0 DDADMM CCc1onc(C)c1CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000886678060 708812525 /nfs/dbraw/zinc/81/25/25/708812525.db2.gz SGLRSBBAZPQSRV-UHFFFAOYSA-N -1 1 317.374 1.807 20 0 DDADMM CC(C)(C)OC(=O)c1cc([N-]C(=O)c2cccc[n+]2[O-])ccn1 ZINC000898617323 708814407 /nfs/dbraw/zinc/81/44/07/708814407.db2.gz KWTGEZRYVASXHJ-UHFFFAOYSA-N -1 1 315.329 1.923 20 0 DDADMM Cc1ccc(CCC(=O)NCc2nc([O-])cc(=O)[nH]2)c(C)c1 ZINC000898753801 708855292 /nfs/dbraw/zinc/85/52/92/708855292.db2.gz REUYJFDYZKGSBI-UHFFFAOYSA-N -1 1 301.346 1.754 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1C[C@@]12CCc1ccccc12 ZINC000898757624 708856953 /nfs/dbraw/zinc/85/69/53/708856953.db2.gz RYNSWWWUCGHBAW-SJKOYZFVSA-N -1 1 311.341 1.408 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H](N)Cc1cc2ccccc2o1)C(=O)[O-] ZINC000887398253 709036389 /nfs/dbraw/zinc/03/63/89/709036389.db2.gz TURDOUWRDGLONC-ZWNOBZJWSA-N -1 1 304.346 1.482 20 0 DDADMM O=C(C(=O)N1CCOC[C@H]1C[C@@H]1CCCO1)c1ccc([O-])cc1 ZINC000887847730 709142784 /nfs/dbraw/zinc/14/27/84/709142784.db2.gz RBTNVCJBBWEKQY-HIFRSBDPSA-N -1 1 319.357 1.371 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC[C@H]3CC(C)(C)CO3)ccnc1-2 ZINC000888056334 709202840 /nfs/dbraw/zinc/20/28/40/709202840.db2.gz MAJVLDVPDAGJGU-NSHDSACASA-N -1 1 317.393 1.669 20 0 DDADMM O=C([O-])C1(NCc2csc(C(F)(F)F)n2)CCOCC1 ZINC000900035304 709273535 /nfs/dbraw/zinc/27/35/35/709273535.db2.gz GYOOATMNWLUJMY-UHFFFAOYSA-N -1 1 310.297 1.885 20 0 DDADMM Cc1cc(CNCc2cnn(C)c2C(=O)[O-])c2c(n1)CCCC2 ZINC000900047623 709276018 /nfs/dbraw/zinc/27/60/18/709276018.db2.gz QOMNMQGZEJIWKO-UHFFFAOYSA-N -1 1 314.389 1.990 20 0 DDADMM CCc1nn(C)cc1CN[C@H]1CCCn2nc(C(=O)[O-])cc21 ZINC000900072367 709281681 /nfs/dbraw/zinc/28/16/81/709281681.db2.gz YJULPGLHDKXLMD-LBPRGKRZSA-N -1 1 303.366 1.502 20 0 DDADMM COC(=O)/C(C)=C\CNC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000927985297 713095575 /nfs/dbraw/zinc/09/55/75/713095575.db2.gz XDTBFIKQTDPBDC-YWEYNIOJSA-N -1 1 313.737 1.903 20 0 DDADMM COC(=O)C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C(C)(C)C ZINC000889172228 709457631 /nfs/dbraw/zinc/45/76/31/709457631.db2.gz MOQPYRBKXMGOQX-LBPRGKRZSA-N -1 1 307.346 1.669 20 0 DDADMM O=C([O-])C1(CNC(=O)[C@@H]2CCCc3[nH]ncc32)CCCCC1 ZINC000909526648 709501876 /nfs/dbraw/zinc/50/18/76/709501876.db2.gz SRXXWVNVUNDBIV-LLVKDONJSA-N -1 1 305.378 1.981 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1ccc(O)c(Cl)c1)[C@@H]1CCCOC1 ZINC000909544000 709508890 /nfs/dbraw/zinc/50/88/90/709508890.db2.gz HXGHYAADIBCQSK-BXKDBHETSA-N -1 1 313.737 1.655 20 0 DDADMM COC(=O)CCN(CC(C)C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900283110 709519659 /nfs/dbraw/zinc/51/96/59/709519659.db2.gz OYUVJUCBORRESU-UHFFFAOYSA-N -1 1 321.377 1.721 20 0 DDADMM CSCC[C@H](NC(=O)c1ccc(Cl)cn1)c1nn[n-]n1 ZINC000912861675 713110587 /nfs/dbraw/zinc/11/05/87/713110587.db2.gz UOEAFIUSFCBOGO-QMMMGPOBSA-N -1 1 312.786 1.472 20 0 DDADMM COc1ccc(F)cc1CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909727880 709598309 /nfs/dbraw/zinc/59/83/09/709598309.db2.gz JUSZMBXDGBTPMO-LLVKDONJSA-N -1 1 324.352 1.247 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)Cc2ccoc2)[n-]c1=O ZINC000889791038 709637358 /nfs/dbraw/zinc/63/73/58/709637358.db2.gz XFUJESSNJPXPJD-NSHDSACASA-N -1 1 303.318 1.690 20 0 DDADMM CCn1cc(C(=O)N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)cn1 ZINC000889791852 709638286 /nfs/dbraw/zinc/63/82/86/709638286.db2.gz OCEKAZMZIOBQGQ-LLVKDONJSA-N -1 1 317.349 1.385 20 0 DDADMM C[C@@H](NC(=O)[C@H]1CCCN1C)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909822561 709640646 /nfs/dbraw/zinc/64/06/46/709640646.db2.gz MTKBJUNTSZKBJO-VHDGCEQUSA-N -1 1 304.390 1.529 20 0 DDADMM Cc1cc(CC(=O)N[C@H](C)[C@@H](Cc2ccccc2)C(=O)[O-])[nH]n1 ZINC000909823109 709641052 /nfs/dbraw/zinc/64/10/52/709641052.db2.gz NWHLWMCUXAXBAS-IUODEOHRSA-N -1 1 315.373 1.709 20 0 DDADMM CC[C@@H](C)C[C@H](NC(=O)c1ccc(CN(C)C)nc1)C(=O)[O-] ZINC000909869265 709661767 /nfs/dbraw/zinc/66/17/67/709661767.db2.gz XETSAROSPXWNSR-RISCZKNCSA-N -1 1 307.394 1.762 20 0 DDADMM COC[C@@]1(C(=O)[O-])CCCN1C(=O)c1ccc(O)c(Cl)c1 ZINC000909938580 709696435 /nfs/dbraw/zinc/69/64/35/709696435.db2.gz RLYLGWJQIQYXIY-CQSZACIVSA-N -1 1 313.737 1.751 20 0 DDADMM COc1cccc([C@H](NC(=O)[C@@H]2CCCC[N@H+]2C)C(=O)[O-])c1 ZINC000909947533 709702871 /nfs/dbraw/zinc/70/28/71/709702871.db2.gz QLLALUHFAUOMAR-KBPBESRZSA-N -1 1 306.362 1.421 20 0 DDADMM COc1cccc([C@H](NC(=O)[C@@H]2CCCCN2C)C(=O)[O-])c1 ZINC000909947533 709702875 /nfs/dbraw/zinc/70/28/75/709702875.db2.gz QLLALUHFAUOMAR-KBPBESRZSA-N -1 1 306.362 1.421 20 0 DDADMM CCN(CC(=O)N1CC[C@H](C(=O)[O-])[C@@H]2CCCC[C@H]21)C1CC1 ZINC000909962571 709709910 /nfs/dbraw/zinc/70/99/10/709709910.db2.gz PANGADQPVCIJSA-SOUVJXGZSA-N -1 1 308.422 1.963 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)NCc1ccc(C(=O)[O-])cc1F ZINC000909986202 709720796 /nfs/dbraw/zinc/72/07/96/709720796.db2.gz CMEIRSBCPRZPFE-NSHDSACASA-N -1 1 324.352 1.108 20 0 DDADMM C[C@@H]1CCN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2)CCS1 ZINC000910015513 709736431 /nfs/dbraw/zinc/73/64/31/709736431.db2.gz LAXRSZUMVKKNIX-VXGBXAGGSA-N -1 1 300.424 1.137 20 0 DDADMM CN(C)Cc1ccc(C(=O)N[C@@H](C(=O)[O-])C2CCCCC2)cn1 ZINC000910092213 709769049 /nfs/dbraw/zinc/76/90/49/709769049.db2.gz XDDGWMVEEGNOEA-OAHLLOKOSA-N -1 1 319.405 1.907 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)Cc1cnn(C)c1 ZINC000890223766 709794049 /nfs/dbraw/zinc/79/40/49/709794049.db2.gz FGALZAIDSCZERQ-UHFFFAOYSA-N -1 1 303.366 1.620 20 0 DDADMM CC1CCN(CC(=O)N2CCc3occc3[C@@H]2C(=O)[O-])CC1 ZINC000910204353 709813228 /nfs/dbraw/zinc/81/32/28/709813228.db2.gz HGSABEXTWYPUNM-OAHLLOKOSA-N -1 1 306.362 1.522 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NCC1CCC2(CC2)CC1 ZINC000910302054 709877059 /nfs/dbraw/zinc/87/70/59/709877059.db2.gz BLIXGZKCFUXQDM-CQSZACIVSA-N -1 1 308.422 1.870 20 0 DDADMM COc1ccc(C(=O)[O-])c2c1CN(C(=O)CN1CCCC1)CC2 ZINC000910385093 709917924 /nfs/dbraw/zinc/91/79/24/709917924.db2.gz LBTMSKJQBRFQSS-UHFFFAOYSA-N -1 1 318.373 1.374 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](F)CN1C(=O)c1cc(-c2cccs2)[nH]n1 ZINC000910447234 709946843 /nfs/dbraw/zinc/94/68/43/709946843.db2.gz WHIBIYPQPXKMHI-XVKPBYJWSA-N -1 1 309.322 1.775 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@H](C2CCOCC2)C1 ZINC000901204643 709967505 /nfs/dbraw/zinc/96/75/05/709967505.db2.gz RZOPNBHMTBFYAL-ZDUSSCGKSA-N -1 1 317.389 1.948 20 0 DDADMM CC[C@@H]1CN(C(=O)CN(C)[C@H]2CCSC2)C[C@@]1(F)C(=O)[O-] ZINC000910549287 709995046 /nfs/dbraw/zinc/99/50/46/709995046.db2.gz NDNZJCOPVGFGBL-SUNKGSAMSA-N -1 1 318.414 1.085 20 0 DDADMM CO[C@@H]1[C@H](C)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1(C)C ZINC000901332074 710012973 /nfs/dbraw/zinc/01/29/73/710012973.db2.gz UOQAZEIYXOTTIV-JFUSQASVSA-N -1 1 305.378 1.849 20 0 DDADMM Cn1ccc([C@@H]2CCCN(C(=O)C(=O)c3ccc([O-])cc3)C2)n1 ZINC000928193840 713149954 /nfs/dbraw/zinc/14/99/54/713149954.db2.gz AEBCBOVURABILW-CYBMUJFWSA-N -1 1 313.357 1.715 20 0 DDADMM CC[C@H]1CCC[C@]1(C)C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000890963601 710044623 /nfs/dbraw/zinc/04/46/23/710044623.db2.gz LTAALVRFEIHFMD-BONVTDFDSA-N -1 1 303.366 1.881 20 0 DDADMM CN1CCN(C(=O)c2csc(C(=O)[O-])n2)C2(CCCCC2)C1 ZINC000910688018 710047543 /nfs/dbraw/zinc/04/75/43/710047543.db2.gz RAYZSHMILNRIDD-UHFFFAOYSA-N -1 1 323.418 1.932 20 0 DDADMM COCCn1cc(CNCc2ccc(CC(=O)[O-])cc2)cn1 ZINC000901541484 710069573 /nfs/dbraw/zinc/06/95/73/710069573.db2.gz SPVSLTLGRNIBGG-UHFFFAOYSA-N -1 1 303.362 1.446 20 0 DDADMM C[C@H](C(=O)NCc1ncc(C(=O)[O-])s1)N1CCCCCC1 ZINC000910816495 710086345 /nfs/dbraw/zinc/08/63/45/710086345.db2.gz KHYUXDPAOLFPAL-SNVBAGLBSA-N -1 1 311.407 1.722 20 0 DDADMM CC[C@](C)(CN(C)CC(=O)N1CCC[C@@H](C(=O)[O-])C1)OC ZINC000901623394 710099335 /nfs/dbraw/zinc/09/93/35/710099335.db2.gz HFXRNCXFJBHHKM-IUODEOHRSA-N -1 1 300.399 1.057 20 0 DDADMM C[C@@H]1CCCN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)[C@H]1C(=O)[O-] ZINC000901695305 710121379 /nfs/dbraw/zinc/12/13/79/710121379.db2.gz SWQDPUZVNOVVRA-BGOOENEXSA-N -1 1 305.378 1.787 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCC(C)(C(=O)[O-])CC2)C1 ZINC000901704661 710126681 /nfs/dbraw/zinc/12/66/81/710126681.db2.gz NFEVXFOVBLCFBF-JQWIXIFHSA-N -1 1 305.378 1.789 20 0 DDADMM CCn1cc(Cl)c([N-]S(=O)(=O)c2c[nH]c(=O)s2)n1 ZINC000901714884 710130548 /nfs/dbraw/zinc/13/05/48/710130548.db2.gz FEAJRYJXERDXIS-UHFFFAOYSA-N -1 1 308.772 1.519 20 0 DDADMM Cc1nnc2n1C[C@@H](C(=O)Nc1cc(F)cc(F)c1[O-])CC2 ZINC000910981443 710140405 /nfs/dbraw/zinc/14/04/05/710140405.db2.gz CKWQDGMIQZZWCV-QMMMGPOBSA-N -1 1 308.288 1.771 20 0 DDADMM COc1cccc([C@H](NCc2cnc(Cl)n2C)C(=O)[O-])c1 ZINC000901754670 710142147 /nfs/dbraw/zinc/14/21/47/710142147.db2.gz MZCVTXUWJYBDGA-LBPRGKRZSA-N -1 1 309.753 1.998 20 0 DDADMM COc1ccc([C@@H](NCc2cncn2C)C(=O)[O-])cc1Cl ZINC000901846361 710159112 /nfs/dbraw/zinc/15/91/12/710159112.db2.gz BVDGJFKTLREJER-CYBMUJFWSA-N -1 1 309.753 1.998 20 0 DDADMM COc1ccc([C@H](NCc2cnc(C)nc2)C(=O)[O-])cc1F ZINC000901861371 710161400 /nfs/dbraw/zinc/16/14/00/710161400.db2.gz NHUZFZBASAPNCW-AWEZNQCLSA-N -1 1 305.309 1.848 20 0 DDADMM Cn1ncc(C(F)(F)F)c1CN1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC000901897629 710168236 /nfs/dbraw/zinc/16/82/36/710168236.db2.gz UMSGOMBBIUUFTR-VHSXEESVSA-N -1 1 317.311 1.982 20 0 DDADMM O=C([O-])[C@@]1(O)CCN(Cc2cc(Cl)c3c(c2)OCCO3)C1 ZINC000901900795 710169282 /nfs/dbraw/zinc/16/92/82/710169282.db2.gz HFTBNSCTAVMDQX-CQSZACIVSA-N -1 1 313.737 1.133 20 0 DDADMM O=C(/C=C/c1ccc(C(=O)[O-])o1)NCCN1CCSCC1 ZINC000901952330 710183396 /nfs/dbraw/zinc/18/33/96/710183396.db2.gz MOKUGRTVMBDUOY-DUXPYHPUSA-N -1 1 310.375 1.156 20 0 DDADMM COCc1nc(N(C)Cc2ccc(OC)c(OC)c2)cc(=O)[n-]1 ZINC000891478589 710213569 /nfs/dbraw/zinc/21/35/69/710213569.db2.gz ASLNBEBAVGLZFW-UHFFFAOYSA-N -1 1 319.361 1.982 20 0 DDADMM NC(=O)[C@@H](F)C1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000913115241 713167097 /nfs/dbraw/zinc/16/70/97/713167097.db2.gz OUZFPZWLMCCULQ-LBPRGKRZSA-N -1 1 314.744 1.721 20 0 DDADMM CO[C@@H](C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2)[C@@H]1CCOC1 ZINC000891616097 710249406 /nfs/dbraw/zinc/24/94/06/710249406.db2.gz VAZWSEOOFXOZMS-BXKDBHETSA-N -1 1 307.350 1.051 20 0 DDADMM C[C@@H](OC[C@H]1CCCO1)C(=O)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC000891624024 710251321 /nfs/dbraw/zinc/25/13/21/710251321.db2.gz OLNGTDYXZPXGLE-GHMZBOCLSA-N -1 1 321.377 1.584 20 0 DDADMM COCc1nc(N(C)CCN(C)c2ccccc2)cc(=O)[n-]1 ZINC000892408337 710432030 /nfs/dbraw/zinc/43/20/30/710432030.db2.gz CNJZLHHRZFZCAG-UHFFFAOYSA-N -1 1 302.378 1.901 20 0 DDADMM C[C@H]1CCc2n[nH]c(C(=O)[N-]S(=O)(=O)CC(C)(C)C)c2C1 ZINC000892685118 710481496 /nfs/dbraw/zinc/48/14/96/710481496.db2.gz NKYDAKLYSYDYQL-VIFPVBQESA-N -1 1 313.423 1.640 20 0 DDADMM COCc1nc(NCC(=O)N(C)C2CCCCC2)cc(=O)[n-]1 ZINC000892806080 710503933 /nfs/dbraw/zinc/50/39/33/710503933.db2.gz COHJUIHVXKBVHA-UHFFFAOYSA-N -1 1 308.382 1.532 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CCOc2c(cccc2C(=O)[O-])C1 ZINC000911023805 710599009 /nfs/dbraw/zinc/59/90/09/710599009.db2.gz UHLOLCAAYWJNBK-AWEZNQCLSA-N -1 1 318.373 1.590 20 0 DDADMM CN(C)[C@H](CNC(=O)Cn1ccc(C(=O)[O-])n1)c1cccs1 ZINC000911099512 710633267 /nfs/dbraw/zinc/63/32/67/710633267.db2.gz FQGQSIPURDVFGY-LLVKDONJSA-N -1 1 322.390 1.062 20 0 DDADMM Cc1oc(C(=O)[O-])cc1C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000911118568 710643335 /nfs/dbraw/zinc/64/33/35/710643335.db2.gz OIRKMNWKLYSCSG-LBPRGKRZSA-N -1 1 324.377 1.373 20 0 DDADMM CC[C@H](C)NC(=O)[C@H](C)NCc1ccc(O[C@H](C)C(=O)[O-])cc1 ZINC000902192916 710658299 /nfs/dbraw/zinc/65/82/99/710658299.db2.gz UEWDDIIXARFDFM-RWMBFGLXSA-N -1 1 322.405 1.931 20 0 DDADMM COc1c(C)[nH]cc(CN(C)C(=O)c2ccc([O-])cc2F)c1=O ZINC000913325268 713199829 /nfs/dbraw/zinc/19/98/29/713199829.db2.gz ANOQIACRLSRRBY-UHFFFAOYSA-N -1 1 320.320 1.809 20 0 DDADMM CN1CCC[C@H](NC(=O)c2[nH]c3ccccc3c2CC(=O)[O-])C1 ZINC000911252572 710710325 /nfs/dbraw/zinc/71/03/25/710710325.db2.gz XMLABBOMHCEMCY-NSHDSACASA-N -1 1 315.373 1.619 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)N[C@H]1CCN(Cc2ccc(C)cc2)C1 ZINC000902384910 710737475 /nfs/dbraw/zinc/73/74/75/710737475.db2.gz AGEYUONCVFSLMC-WMLDXEAASA-N -1 1 320.389 1.175 20 0 DDADMM Cc1nc([C@H]2CCCN(C(=O)C34CC(C(=O)[O-])(C3)C4)C2)n[nH]1 ZINC000911325037 710742570 /nfs/dbraw/zinc/74/25/70/710742570.db2.gz FBTUATJWTQVQSH-ISAOAKOHSA-N -1 1 304.350 1.074 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2CCOCC3(CCCC3)C2)C1 ZINC000911673516 710921610 /nfs/dbraw/zinc/92/16/10/710921610.db2.gz PWXJJOHZQYGAMW-AWEZNQCLSA-N -1 1 324.421 1.202 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1[nH]nc2c1CCCC2)c1ccc(F)cc1 ZINC000902858189 710933420 /nfs/dbraw/zinc/93/34/20/710933420.db2.gz YHVQBGMPBATTHO-CYBMUJFWSA-N -1 1 317.320 1.983 20 0 DDADMM C[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1)C(F)F ZINC000894052323 710943970 /nfs/dbraw/zinc/94/39/70/710943970.db2.gz AFXXGADNOTZSJD-ZETCQYMHSA-N -1 1 324.349 1.740 20 0 DDADMM C[C@@H]1NC(=O)[C@H](C)N(C(=O)c2ncc3ccccc3c2[O-])[C@H]1C ZINC000911806313 711003933 /nfs/dbraw/zinc/00/39/33/711003933.db2.gz SLTCXXALQMHWSI-DCAQKATOSA-N -1 1 313.357 1.678 20 0 DDADMM Cc1nn(C)c([N-]S(=O)(=O)c2cnn(C(C)C)c2)c1Cl ZINC000903166217 711052606 /nfs/dbraw/zinc/05/26/06/711052606.db2.gz PIXLYXUSNUCPNB-UHFFFAOYSA-N -1 1 317.802 1.960 20 0 DDADMM COCc1nc(NC[C@H](O)[C@H](C)c2ccccc2)cc(=O)[n-]1 ZINC000894626589 711221622 /nfs/dbraw/zinc/22/16/22/711221622.db2.gz XPVBZRFTQQXDEX-YPMHNXCESA-N -1 1 303.362 1.905 20 0 DDADMM Cc1cnc(C(=O)N[C@H]([C@@H]2CCCO2)C2(CO)CCC2)c([O-])c1 ZINC000912219268 711228267 /nfs/dbraw/zinc/22/82/67/711228267.db2.gz UEUMHTWGAWWGGG-DZGCQCFKSA-N -1 1 320.389 1.536 20 0 DDADMM Cc1ccc(OC(F)F)c(CN2CC[C@](O)(C(=O)[O-])C2)c1 ZINC000903623958 711228647 /nfs/dbraw/zinc/22/86/47/711228647.db2.gz UKRZTKAYWCDJHJ-CQSZACIVSA-N -1 1 301.289 1.618 20 0 DDADMM CC(C)Cc1nc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cs1 ZINC000913495497 713237784 /nfs/dbraw/zinc/23/77/84/713237784.db2.gz YTOLDWKFCDYWHS-SNVBAGLBSA-N -1 1 322.394 1.068 20 0 DDADMM CSc1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)ccc1F ZINC000913496154 713238198 /nfs/dbraw/zinc/23/81/98/713238198.db2.gz UDXQTVAEAFUWDM-SNVBAGLBSA-N -1 1 323.353 1.274 20 0 DDADMM Cc1ccc(CC(=O)N2CCOC[C@H]2c2nn[n-]n2)c(Cl)c1 ZINC000913496009 713238308 /nfs/dbraw/zinc/23/83/08/713238308.db2.gz QZNMXGOPXHCMTA-LBPRGKRZSA-N -1 1 321.768 1.304 20 0 DDADMM COCCN(CCC(=O)[O-])CC(=O)NCC1CCCCC1 ZINC000903707563 711249771 /nfs/dbraw/zinc/24/97/71/711249771.db2.gz CKFUVSHIBJYWJE-UHFFFAOYSA-N -1 1 300.399 1.106 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N[C@@H]1CCCC[C@@H]1C ZINC000903711769 711250198 /nfs/dbraw/zinc/25/01/98/711250198.db2.gz KDVCMPDKAPSJLA-BFHYXJOUSA-N -1 1 314.426 1.493 20 0 DDADMM CC[C@H]1CCCCN1C(=O)[C@@H](C)N(CCOC)CCC(=O)[O-] ZINC000903711571 711250534 /nfs/dbraw/zinc/25/05/34/711250534.db2.gz CLUAFGOUFZKODK-KGLIPLIRSA-N -1 1 314.426 1.589 20 0 DDADMM CCC[C@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccn1 ZINC000913498371 713239265 /nfs/dbraw/zinc/23/92/65/713239265.db2.gz PGLDYDLQUXSCOB-AAEUAGOBSA-N -1 1 316.365 1.079 20 0 DDADMM Cc1cccc(CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1Cl ZINC000913497943 713239364 /nfs/dbraw/zinc/23/93/64/713239364.db2.gz NFNSMCHLTDDSHY-LLVKDONJSA-N -1 1 321.768 1.304 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C(F)=C(C)C2CC2)n[n-]1 ZINC000912363436 711292449 /nfs/dbraw/zinc/29/24/49/711292449.db2.gz OKWWDYGJIWKLAE-LRUQUBRESA-N -1 1 310.329 1.812 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C(F)=C(C)C2CC2)[n-]1 ZINC000912363436 711292451 /nfs/dbraw/zinc/29/24/51/711292451.db2.gz OKWWDYGJIWKLAE-LRUQUBRESA-N -1 1 310.329 1.812 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)/C(F)=C(\C)C2CC2)n1 ZINC000912363436 711292454 /nfs/dbraw/zinc/29/24/54/711292454.db2.gz OKWWDYGJIWKLAE-LRUQUBRESA-N -1 1 310.329 1.812 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NCc2ccn(C)n2)n1 ZINC000895105195 711414759 /nfs/dbraw/zinc/41/47/59/711414759.db2.gz QSNBZYDYFAQIDB-LBPRGKRZSA-N -1 1 320.397 1.592 20 0 DDADMM O=C([O-])N[C@@H]1C[C@@H](NCc2nc3c(s2)COCC3)C12CCC2 ZINC000904261712 711419924 /nfs/dbraw/zinc/41/99/24/711419924.db2.gz KKGWCUUFTSUITA-VXGBXAGGSA-N -1 1 323.418 1.884 20 0 DDADMM COCc1nc(NC[C@@H]2CC[C@]3(CO[C@@H](C)[C@H]3C)O2)cc(=O)[n-]1 ZINC000895226732 711457660 /nfs/dbraw/zinc/45/76/60/711457660.db2.gz TZRYPWVRAKCQRH-KZTGVZKYSA-N -1 1 323.393 1.713 20 0 DDADMM CCCNC(=O)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000895484108 711524174 /nfs/dbraw/zinc/52/41/74/711524174.db2.gz WLCOQZLLFFSAOW-UHFFFAOYSA-N -1 1 302.330 1.507 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)N1CC[C@H](O)[C@@H](F)C1 ZINC000896314665 711705448 /nfs/dbraw/zinc/70/54/48/711705448.db2.gz MEMPGJUIWVRNKS-JQWIXIFHSA-N -1 1 302.733 1.660 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]c1ccc(F)cc1O ZINC000905095562 711934687 /nfs/dbraw/zinc/93/46/87/711934687.db2.gz IUNZKZJOESPMDS-UHFFFAOYSA-N -1 1 308.356 1.450 20 0 DDADMM CCc1cccc(OCC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913742398 713291670 /nfs/dbraw/zinc/29/16/70/713291670.db2.gz XUBTVWLJZKUNDK-UHFFFAOYSA-N -1 1 315.377 1.547 20 0 DDADMM O=C(COCCc1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743099 713292161 /nfs/dbraw/zinc/29/21/61/713292161.db2.gz FMVIMAHSOVARIE-UHFFFAOYSA-N -1 1 315.377 1.165 20 0 DDADMM O=C(Cc1ccc2c(c1)COC2)N1CCC(c2nn[n-]n2)CC1 ZINC000913744542 713292870 /nfs/dbraw/zinc/29/28/70/713292870.db2.gz SUQFILYAPFPSMC-UHFFFAOYSA-N -1 1 313.361 1.179 20 0 DDADMM Cc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc(Cl)n1 ZINC000913744608 713293270 /nfs/dbraw/zinc/29/32/70/713293270.db2.gz WIMGAFUFJVUQDT-UHFFFAOYSA-N -1 1 306.757 1.576 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccsc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745845 713293995 /nfs/dbraw/zinc/29/39/95/713293995.db2.gz QHSMQVLYOYNWFO-NWDGAFQWSA-N -1 1 303.391 1.771 20 0 DDADMM CNc1cccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000906090390 712242000 /nfs/dbraw/zinc/24/20/00/712242000.db2.gz JJGLALNZVXJWQY-LLVKDONJSA-N -1 1 302.334 1.837 20 0 DDADMM CCO[C@@H]1[C@@H](C)[C@@H]1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000906692291 712391843 /nfs/dbraw/zinc/39/18/43/712391843.db2.gz JRWZIIKYCOXXKP-UFTIBIJLSA-N -1 1 318.377 1.339 20 0 DDADMM CS[C@H]1CCN(S(=O)(=O)c2cc(C(=O)[O-])ccc2O)C1 ZINC000907005226 712461990 /nfs/dbraw/zinc/46/19/90/712461990.db2.gz MMLZMVYNEFSVSR-VIFPVBQESA-N -1 1 317.388 1.216 20 0 DDADMM CC[C@@H](C)N(CC)S(=O)(=O)c1cc(C(=O)[O-])ccc1O ZINC000907028039 712468737 /nfs/dbraw/zinc/46/87/37/712468737.db2.gz CXBFLYUINDLGAI-SECBINFHSA-N -1 1 301.364 1.900 20 0 DDADMM C[C@@]1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCO[C@@H]1C1CC1 ZINC000907430736 712569952 /nfs/dbraw/zinc/56/99/52/712569952.db2.gz BHHVUYWAVVWBGT-DYVFJYSZSA-N -1 1 317.389 1.995 20 0 DDADMM O=C(N[C@H](CO)c1ccsc1)c1cnc(C2CC2)[n-]c1=O ZINC000907450946 712575105 /nfs/dbraw/zinc/57/51/05/712575105.db2.gz YRYVFGCIBCXPJY-LLVKDONJSA-N -1 1 305.359 1.585 20 0 DDADMM O=C(c1scnc1Cl)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907477102 712582480 /nfs/dbraw/zinc/58/24/80/712582480.db2.gz QDJXOAUEZMPYCX-RXMQYKEDSA-N -1 1 316.799 1.240 20 0 DDADMM O=C([C@@H](F)c1ccccc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907479883 712583565 /nfs/dbraw/zinc/58/35/65/712583565.db2.gz NCCSXNQQLOTECD-QWRGUYRKSA-N -1 1 307.354 1.527 20 0 DDADMM O=S(=O)([N-]Cc1cnn(CCF)c1)c1ccc(C(F)F)o1 ZINC000907653654 712609254 /nfs/dbraw/zinc/60/92/54/712609254.db2.gz VCVYYPSCTBQPRY-UHFFFAOYSA-N -1 1 323.296 1.862 20 0 DDADMM O=C([O-])[C@@]1(C(=O)NCCN2CC=CCC2)C[C@H]1c1ccccc1 ZINC000907847101 712635268 /nfs/dbraw/zinc/63/52/68/712635268.db2.gz NHGQUFKFBDLVOD-YJBOKZPZSA-N -1 1 314.385 1.623 20 0 DDADMM O=C(/C=C/C1CCCCCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907941240 712652343 /nfs/dbraw/zinc/65/23/43/712652343.db2.gz OQIJHHOXUTWMTB-BQYQJAHWSA-N -1 1 319.409 1.536 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C(=O)O1 ZINC000908207364 712710308 /nfs/dbraw/zinc/71/03/08/712710308.db2.gz FTAORJWAYNRXFF-GMSGAONNSA-N -1 1 304.277 1.513 20 0 DDADMM CC1(C)CCC[C@@]1(O)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000908421204 712767536 /nfs/dbraw/zinc/76/75/36/712767536.db2.gz BBSREQZDRQJFBQ-MRXNPFEDSA-N -1 1 305.378 1.731 20 0 DDADMM COCCN(Cc1ccco1)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908734581 712843860 /nfs/dbraw/zinc/84/38/60/712843860.db2.gz ZOBLPIODVQEQOR-ZDUSSCGKSA-N -1 1 324.377 1.051 20 0 DDADMM CC[C@](C)(NC(=O)NC[C@@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000908746702 712846704 /nfs/dbraw/zinc/84/67/04/712846704.db2.gz DCHAJAAEVJWKPJ-LRDDRELGSA-N -1 1 323.393 1.969 20 0 DDADMM C[C@@H](C(=O)[O-])N(Cc1ccccc1)C(=O)N[C@@H]1CCCN(C)C1 ZINC000908870623 712874984 /nfs/dbraw/zinc/87/49/84/712874984.db2.gz LVMIGDLVJOQUNA-DZGCQCFKSA-N -1 1 319.405 1.766 20 0 DDADMM CC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000966035109 717894886 /nfs/dbraw/zinc/89/48/86/717894886.db2.gz MYNYXUQDYLKIMW-SKDRFNHKSA-N -1 1 320.393 1.211 20 0 DDADMM CC1(C)CC[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)OC1 ZINC000914302629 713373612 /nfs/dbraw/zinc/37/36/12/713373612.db2.gz JXMCIPHNZBNCRT-LLVKDONJSA-N -1 1 305.378 1.995 20 0 DDADMM O=C(COC(=O)c1nn(-c2ccccc2)cc1[O-])N1CCCC1 ZINC000917944875 713520394 /nfs/dbraw/zinc/52/03/94/713520394.db2.gz GOSYLRBWHZNZJD-UHFFFAOYSA-N -1 1 315.329 1.357 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-][C@@H]1CCCCC1(OC)OC)C(C)C ZINC000918061171 713527767 /nfs/dbraw/zinc/52/77/67/713527767.db2.gz NCXYOZNGWABPPF-QWHCGFSZSA-N -1 1 323.455 1.509 20 0 DDADMM C[C@@H](CN1CCN2C(=O)OC[C@@H]2C1)C(=O)c1ccc([O-])cc1 ZINC000929842755 713704134 /nfs/dbraw/zinc/70/41/34/713704134.db2.gz IWQKWVVGUKRNIA-AAEUAGOBSA-N -1 1 304.346 1.347 20 0 DDADMM O=S(=O)([N-]Cc1nc2c(s1)CCC2)c1c[nH]nc1Cl ZINC000920981236 713705709 /nfs/dbraw/zinc/70/57/09/713705709.db2.gz BWHNHFKCJIQLFD-UHFFFAOYSA-N -1 1 318.811 1.487 20 0 DDADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)c1cccnc1F)CCO2 ZINC000921314265 713730761 /nfs/dbraw/zinc/73/07/61/713730761.db2.gz KSYBVKFXIATQMX-OLZOCXBDSA-N -1 1 316.354 1.185 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCc2cc(C)n(C)n2)sn1 ZINC000921582823 713813027 /nfs/dbraw/zinc/81/30/27/713813027.db2.gz XQUBHUQZDUCTFB-UHFFFAOYSA-N -1 1 300.409 1.014 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@]2(C)CCCCO2)c1 ZINC000921675657 713839021 /nfs/dbraw/zinc/83/90/21/713839021.db2.gz CWZZULTYXODFCC-AWEZNQCLSA-N -1 1 315.391 1.638 20 0 DDADMM COC(=O)C[C@@H]1COCCN1C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000930489422 713847444 /nfs/dbraw/zinc/84/74/44/713847444.db2.gz JUYRUAZEWPIOKB-GXTWGEPZSA-N -1 1 321.373 1.475 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)CCS1 ZINC000931132283 714010872 /nfs/dbraw/zinc/01/08/72/714010872.db2.gz VCIFLAKPAQTWEK-NXEZZACHSA-N -1 1 305.407 1.747 20 0 DDADMM CCO[C@H]1[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)[C@@H]1C ZINC000923445613 714358116 /nfs/dbraw/zinc/35/81/16/714358116.db2.gz VOQNDUDEJWKJDS-ZLKJLUDKSA-N -1 1 305.330 1.157 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000923483656 714370771 /nfs/dbraw/zinc/37/07/71/714370771.db2.gz LNRPIZSXQSSECS-CZMCAQCFSA-N -1 1 300.305 1.690 20 0 DDADMM CO[C@H]1C[C@@H](CNC(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000923493603 714376423 /nfs/dbraw/zinc/37/64/23/714376423.db2.gz SSIOFPHJMDTMAP-JGZJWPJOSA-N -1 1 314.332 1.937 20 0 DDADMM Cc1cc(NC(=O)[C@H](C)N2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)on1 ZINC000923531245 714392904 /nfs/dbraw/zinc/39/29/04/714392904.db2.gz FYSTXKPKIFFWNZ-ZMLRMANQSA-N -1 1 307.350 1.353 20 0 DDADMM C[C@]1(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C=CCC1 ZINC000924411748 714577547 /nfs/dbraw/zinc/57/75/47/714577547.db2.gz ILEVGLXJGMJMRD-LBPRGKRZSA-N -1 1 307.268 1.524 20 0 DDADMM CCOC(=O)[C@@H](C[C@H]1CCCO1)NC(=O)c1cncc([O-])c1 ZINC000924873952 714685008 /nfs/dbraw/zinc/68/50/08/714685008.db2.gz PIQSMODAUIOLRP-CHWSQXEVSA-N -1 1 308.334 1.018 20 0 DDADMM CCC(C)(C)NC(=O)CN1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000934282957 714786498 /nfs/dbraw/zinc/78/64/98/714786498.db2.gz RXBAGMNGCGTMMY-LLVKDONJSA-N -1 1 322.409 1.633 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)Nc1ccnn1[C@@H]1CCCOC1 ZINC000934683298 714880430 /nfs/dbraw/zinc/88/04/30/714880430.db2.gz KCBRVBQBRMHVNH-DGCLKSJQSA-N -1 1 310.354 1.814 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@@H]1C[C@@]12C(=O)Nc1ccccc12 ZINC000934901107 714927262 /nfs/dbraw/zinc/92/72/62/714927262.db2.gz KLZONUXSXIIXFX-UFBFGSQYSA-N -1 1 300.236 1.507 20 0 DDADMM O=C(N1CCC[C@H](c2nn[n-]n2)C1)[C@]12CCO[C@H]1CCCC2 ZINC000935036880 714960828 /nfs/dbraw/zinc/96/08/28/714960828.db2.gz KCASUMIOINUEPU-SLEUVZQESA-N -1 1 305.382 1.255 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CC2CCC2)C1 ZINC000937018876 715267878 /nfs/dbraw/zinc/26/78/78/715267878.db2.gz OOZUVWAKUJFIQC-ZDUSSCGKSA-N -1 1 317.389 1.650 20 0 DDADMM CCN(C(C)=O)[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000942614451 717778732 /nfs/dbraw/zinc/77/87/32/717778732.db2.gz OMHKTOWKYAZWLI-GFCCVEGCSA-N -1 1 318.377 1.143 20 0 DDADMM CC[C@@H](C)C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943877300 718213944 /nfs/dbraw/zinc/21/39/44/718213944.db2.gz KHMBQMYASAXPQV-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])C1=CCCC1 ZINC000943976274 718235888 /nfs/dbraw/zinc/23/58/88/718235888.db2.gz JITPJHHTBMACNV-CYBMUJFWSA-N -1 1 315.373 1.618 20 0 DDADMM C[C@@H]1CN(CCF)CC[C@H]1NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000944135255 718259886 /nfs/dbraw/zinc/25/98/86/718259886.db2.gz JJLAEXHWYDGKAT-NXEZZACHSA-N -1 1 322.340 1.338 20 0 DDADMM C[C@@H]1CN(C(=O)C(C)(C)F)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945104505 718397047 /nfs/dbraw/zinc/39/70/47/718397047.db2.gz UVRZZKDGRIXOJU-MNOVXSKESA-N -1 1 323.368 1.502 20 0 DDADMM C[C@@H]1CN(C(=O)CC2CC2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945104105 718397149 /nfs/dbraw/zinc/39/71/49/718397149.db2.gz OEYMIFRUWUBCOB-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000945137863 718406961 /nfs/dbraw/zinc/40/69/61/718406961.db2.gz HTRWBZJKOABHGT-STQMWFEESA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)C1CCC1 ZINC000945275415 718435029 /nfs/dbraw/zinc/43/50/29/718435029.db2.gz BBPXIVTWDGLHMF-AAEUAGOBSA-N -1 1 317.389 1.554 20 0 DDADMM C[C@H]1CN(C(=O)C2CC=CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966253878 718486101 /nfs/dbraw/zinc/48/61/01/718486101.db2.gz KQMLUEKKXHNPAX-AAEUAGOBSA-N -1 1 315.373 1.330 20 0 DDADMM O=C(N[C@H]1CCC[C@H]1CNC(=O)C1CC1)c1ncccc1[O-] ZINC000946196599 718708231 /nfs/dbraw/zinc/70/82/31/718708231.db2.gz UIMLRNLYPTWZNL-RYUDHWBXSA-N -1 1 303.362 1.212 20 0 DDADMM CC1(C)C[C@H]1C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000946486115 718800389 /nfs/dbraw/zinc/80/03/89/718800389.db2.gz JIMHTZUOIWAAIT-LBPRGKRZSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@H](F)C(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC000967902672 719080568 /nfs/dbraw/zinc/08/05/68/719080568.db2.gz AMNRYUYSBQIJBF-NSHDSACASA-N -1 1 309.341 1.208 20 0 DDADMM CC(=O)NC1CCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)CC1 ZINC000947674031 719241859 /nfs/dbraw/zinc/24/18/59/719241859.db2.gz KCNPOQMIWLYMKC-UHFFFAOYSA-N -1 1 320.393 1.355 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])c1cccs1 ZINC000948814441 719725697 /nfs/dbraw/zinc/72/56/97/719725697.db2.gz KUVPSEBTXJGZGY-JTQLQIEISA-N -1 1 317.370 1.493 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c(C)s1 ZINC000969230189 720017311 /nfs/dbraw/zinc/01/73/11/720017311.db2.gz XZSWLFCYDBQPHW-SNVBAGLBSA-N -1 1 321.406 1.193 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)[C@H]1CC=CCC1)c1ncccc1[O-] ZINC000949530906 720142608 /nfs/dbraw/zinc/14/26/08/720142608.db2.gz JEGIVBIUKIONFY-STQMWFEESA-N -1 1 315.373 1.474 20 0 DDADMM CC(C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])=C1CCC1 ZINC000949534793 720145522 /nfs/dbraw/zinc/14/55/22/720145522.db2.gz GHVUPKRTHLPUIO-CYBMUJFWSA-N -1 1 315.373 1.618 20 0 DDADMM CC(C)(C)C(C)(C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969577421 720198463 /nfs/dbraw/zinc/19/84/63/720198463.db2.gz CAFVFNUSERKLKM-SNVBAGLBSA-N -1 1 309.414 1.273 20 0 DDADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)c1ccoc1 ZINC000950539284 720675223 /nfs/dbraw/zinc/67/52/23/720675223.db2.gz MGAXYEBJIJVGHS-LBPRGKRZSA-N -1 1 315.329 1.415 20 0 DDADMM O=C(c1cccc(Cl)c1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970552497 720811728 /nfs/dbraw/zinc/81/17/28/720811728.db2.gz SRAYJHCGTYJYOB-LLVKDONJSA-N -1 1 321.768 1.168 20 0 DDADMM CN(Cc1ccon1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971388369 721271674 /nfs/dbraw/zinc/27/16/74/721271674.db2.gz LFEZGIFFQOUPTM-GFCCVEGCSA-N -1 1 302.334 1.122 20 0 DDADMM CC(=O)N1CC[C@]2(C1)CCCN(C(=O)c1ncccc1[O-])C2 ZINC000952813506 721511387 /nfs/dbraw/zinc/51/13/87/721511387.db2.gz YAHFFBJMXURLCI-INIZCTEOSA-N -1 1 303.362 1.262 20 0 DDADMM Cc1nc(C)c(CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])o1 ZINC001038194225 733204256 /nfs/dbraw/zinc/20/42/56/733204256.db2.gz PASUWTAGMVNJCC-GFCCVEGCSA-N -1 1 316.361 1.396 20 0 DDADMM CC(C)c1cccc2c1CCN(c1nnc(-c3nnn[n-]3)n1C)C2 ZINC001121267618 782432274 /nfs/dbraw/zinc/43/22/74/782432274.db2.gz CJIFXUAPNVWUNV-UHFFFAOYSA-N -1 1 324.392 1.681 20 0 DDADMM CC(C)c1cccc2c1CCN(c1nnc(-c3nn[n-]n3)n1C)C2 ZINC001121267618 782432284 /nfs/dbraw/zinc/43/22/84/782432284.db2.gz CJIFXUAPNVWUNV-UHFFFAOYSA-N -1 1 324.392 1.681 20 0 DDADMM CC(C)[C@H](C)C(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001087222216 734813028 /nfs/dbraw/zinc/81/30/28/734813028.db2.gz SBLIOUGKMSHKCE-AGIUHOORSA-N -1 1 323.441 1.519 20 0 DDADMM C[C@H](C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001024914908 736165664 /nfs/dbraw/zinc/16/56/64/736165664.db2.gz MVKSKYLUSSHOIO-CMPLNLGQSA-N -1 1 307.398 1.027 20 0 DDADMM CCCc1nnc(CNCCNC(=O)c2ncccc2[O-])s1 ZINC001126124597 738342510 /nfs/dbraw/zinc/34/25/10/738342510.db2.gz CFEAGNNUWYQDRJ-UHFFFAOYSA-N -1 1 321.406 1.111 20 0 DDADMM CN(C)c1nc(N)nc(C(=O)Nc2ccc([O-])c(Cl)c2)n1 ZINC001137673990 739183683 /nfs/dbraw/zinc/18/36/83/739183683.db2.gz AAQXSPSLBHWCCT-UHFFFAOYSA-N -1 1 308.729 1.131 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2CC2CC(F)(F)C2)c1[O-] ZINC001038164614 739253174 /nfs/dbraw/zinc/25/31/74/739253174.db2.gz LHECPTYNXPTXMP-JTQLQIEISA-N -1 1 314.336 1.273 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CC(C)(C)C ZINC001012300466 740552071 /nfs/dbraw/zinc/55/20/71/740552071.db2.gz CDLLYALEOPNGOY-VXGBXAGGSA-N -1 1 319.405 1.943 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@H]2CC=CCC2)C1 ZINC001029645511 741171238 /nfs/dbraw/zinc/17/12/38/741171238.db2.gz SGZNZOPSTBBWJW-STQMWFEESA-N -1 1 319.409 1.147 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)CC(C)(C)C)C1 ZINC001029650203 741178901 /nfs/dbraw/zinc/17/89/01/741178901.db2.gz XMMVIAWDAATKOO-LLVKDONJSA-N -1 1 309.414 1.227 20 0 DDADMM C/C(=C\C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001029664424 741201040 /nfs/dbraw/zinc/20/10/40/741201040.db2.gz TYWQJFROAJWSPY-YTRUQHMWSA-N -1 1 319.409 1.147 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@H]2CCC2(C)C)C1 ZINC001029812367 741340782 /nfs/dbraw/zinc/34/07/82/741340782.db2.gz IDYBZYYFNNWJGX-NWDGAFQWSA-N -1 1 321.425 1.227 20 0 DDADMM N=C(Nc1cc2nc[nH]c2cc1F)SCCS(=O)(=O)[O-] ZINC001168097639 741442155 /nfs/dbraw/zinc/44/21/55/741442155.db2.gz ZDFVHSJJJHHIDM-UHFFFAOYSA-N -1 1 318.355 1.670 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)C=C2CCC2)CC1 ZINC001029955505 741641921 /nfs/dbraw/zinc/64/19/21/741641921.db2.gz LQAPNDDKOOMCED-UHFFFAOYSA-N -1 1 319.409 1.291 20 0 DDADMM CC(C)=CC(=O)N1CCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001002106640 742863889 /nfs/dbraw/zinc/86/38/89/742863889.db2.gz RBIYQKHRYPLIKN-ZDUSSCGKSA-N -1 1 317.389 1.816 20 0 DDADMM O=C(CCNC(=O)C1CC1)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001180848922 742960668 /nfs/dbraw/zinc/96/06/68/742960668.db2.gz IERDTSPNQYKOQZ-UHFFFAOYSA-N -1 1 314.345 1.433 20 0 DDADMM COC(=O)/C=C\[C@H](C)NC(=O)c1c(C)[n-]c(=O)nc1SC ZINC001181326040 743158057 /nfs/dbraw/zinc/15/80/57/743158057.db2.gz FPUDTGMETCCLCK-RBSILHGTSA-N -1 1 311.363 1.060 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C1(c2ccc(O)cc2)CC1 ZINC001183342051 743827080 /nfs/dbraw/zinc/82/70/80/743827080.db2.gz URAVMEQQELRATO-UHFFFAOYSA-N -1 1 316.273 1.662 20 0 DDADMM CN(C)c1nc(NC(=O)CC2(C)CCOCC2)c(N=O)c(=O)[n-]1 ZINC001183820715 743911818 /nfs/dbraw/zinc/91/18/18/743911818.db2.gz DDJMMRZIJKFEJT-UHFFFAOYSA-N -1 1 323.353 1.791 20 0 DDADMM CCC(=O)N[C@]12CCC[C@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC001006785181 751871077 /nfs/dbraw/zinc/87/10/77/751871077.db2.gz DDAMRWBBDBVHEW-ZBEGNZNMSA-N -1 1 303.362 1.308 20 0 DDADMM Cc1n[nH]c(C(=O)NC2CN(CC3CCCCCC3)C2)c1[O-] ZINC001030222432 743957383 /nfs/dbraw/zinc/95/73/83/743957383.db2.gz OPNFZBGYHOSDRH-UHFFFAOYSA-N -1 1 306.410 1.808 20 0 DDADMM O=C(NC1CN(C/C=C/c2ccccc2)C1)c1ncccc1[O-] ZINC001030239130 743974605 /nfs/dbraw/zinc/97/46/05/743974605.db2.gz BYWDRJYNGAPJGT-VMPITWQZSA-N -1 1 309.369 1.915 20 0 DDADMM COc1ccc(OS(=O)(=O)c2c[n-]nc2Cl)c(C=O)c1 ZINC001184492014 744044493 /nfs/dbraw/zinc/04/44/93/744044493.db2.gz BWZCQADXJKTHRT-UHFFFAOYSA-N -1 1 316.722 1.652 20 0 DDADMM COc1ccc(C=O)c(OS(=O)(=O)c2c[n-]nc2Cl)c1 ZINC001185225061 744183722 /nfs/dbraw/zinc/18/37/22/744183722.db2.gz NUEIPCPNNWQHML-UHFFFAOYSA-N -1 1 316.722 1.652 20 0 DDADMM CCOC(=O)C1(NC(=O)c2cccc([S-])c2)CCN(C)CC1 ZINC001186162492 744347321 /nfs/dbraw/zinc/34/73/21/744347321.db2.gz ANWCZTZNPBSZID-UHFFFAOYSA-N -1 1 322.430 1.733 20 0 DDADMM Cn1c(NC(=O)c2ccc([O-])cc2F)nnc1C(F)(F)F ZINC001186310525 744379998 /nfs/dbraw/zinc/37/99/98/744379998.db2.gz FJADHJRQJJUBPN-UHFFFAOYSA-N -1 1 304.203 1.931 20 0 DDADMM O=c1[n-]cnc(NS(=O)(=O)c2ccco2)c1Br ZINC001186819067 744453606 /nfs/dbraw/zinc/45/36/06/744453606.db2.gz ADPHUXOYFZXZCM-UHFFFAOYSA-N -1 1 320.124 1.339 20 0 DDADMM O=c1cc([N-]S(=O)(=O)c2ccco2)cnn1-c1ccccc1 ZINC001186885244 744459465 /nfs/dbraw/zinc/45/94/65/744459465.db2.gz MOYXPOTYPZPXQE-UHFFFAOYSA-N -1 1 317.326 1.626 20 0 DDADMM CC(C)C[C@@H](C)CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187018200 744485115 /nfs/dbraw/zinc/48/51/15/744485115.db2.gz HWUBESZCOHYZQS-VXGBXAGGSA-N -1 1 309.414 1.273 20 0 DDADMM CCOCc1ccc(C(=O)NCCCC[P@](=O)([O-])O)cc1 ZINC001187113528 744499194 /nfs/dbraw/zinc/49/91/94/744499194.db2.gz YPZZQAYCWPCDSZ-UHFFFAOYSA-N -1 1 315.306 1.911 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc2c(=O)cc(C)oc2c1 ZINC001187286373 744534374 /nfs/dbraw/zinc/53/43/74/744534374.db2.gz IKAIYCDANONKPL-UHFFFAOYSA-N -1 1 311.359 1.880 20 0 DDADMM COc1nc(Cl)ncc1NC(=O)c1n[n-]nc1C(F)(F)F ZINC001187758829 744605870 /nfs/dbraw/zinc/60/58/70/744605870.db2.gz JYFGWOIHORVIKQ-UHFFFAOYSA-N -1 1 322.634 1.528 20 0 DDADMM O=C(Nc1c[nH]c(Cl)cc1=O)c1n[n-]nc1C(F)(F)F ZINC001187758809 744606015 /nfs/dbraw/zinc/60/60/15/744606015.db2.gz IYBCQOMXFKEQIM-UHFFFAOYSA-N -1 1 307.619 1.830 20 0 DDADMM O=C1CCCCCN1CCC[N-]S(=O)(=O)c1nccs1 ZINC001187912989 744629195 /nfs/dbraw/zinc/62/91/95/744629195.db2.gz RLJZONSJFKKXIO-UHFFFAOYSA-N -1 1 317.436 1.214 20 0 DDADMM O=C1Nc2ccccc2CC[C@H]1[N-]S(=O)(=O)c1nccs1 ZINC001187912509 744629333 /nfs/dbraw/zinc/62/93/33/744629333.db2.gz KDNZBEXVHVDOTM-LLVKDONJSA-N -1 1 323.399 1.375 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2[nH]c(=O)[nH]c21)c1ccccc1C(F)F ZINC001188293556 744679494 /nfs/dbraw/zinc/67/94/94/744679494.db2.gz XTANSPRURWYCHZ-UHFFFAOYSA-N -1 1 321.243 1.906 20 0 DDADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccccc1C(F)F ZINC001188293556 744679496 /nfs/dbraw/zinc/67/94/96/744679496.db2.gz XTANSPRURWYCHZ-UHFFFAOYSA-N -1 1 321.243 1.906 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cccc2nnc(C)cc12 ZINC001188392155 744697961 /nfs/dbraw/zinc/69/79/61/744697961.db2.gz AJTVMRSENXZBNC-UHFFFAOYSA-N -1 1 309.347 1.243 20 0 DDADMM O=C(NC[C@@H]1CN(c2ccccc2)CCO1)c1ccncc1[O-] ZINC001188639348 744744330 /nfs/dbraw/zinc/74/43/30/744744330.db2.gz WXZDCSRCGYFYBD-CQSZACIVSA-N -1 1 313.357 1.422 20 0 DDADMM C[C@H](C[C@@H](C)Nc1cnc(F)cn1)NC(=O)c1ncccc1[O-] ZINC001089273175 744848610 /nfs/dbraw/zinc/84/86/10/744848610.db2.gz VQEWOLSMEAUNPR-NXEZZACHSA-N -1 1 319.340 1.725 20 0 DDADMM O=S(=O)([O-])c1cccc(NCC2(N3CCCC3)COC2)c1 ZINC001168447878 745056098 /nfs/dbraw/zinc/05/60/98/745056098.db2.gz NEDUEKPEEJXXEO-UHFFFAOYSA-N -1 1 312.391 1.210 20 0 DDADMM CCCc1nnsc1C(=O)[N-]c1ncsc1C(=O)OC ZINC001190150080 745116112 /nfs/dbraw/zinc/11/61/12/745116112.db2.gz AOCZTBPBUNPZSD-UHFFFAOYSA-N -1 1 312.376 1.986 20 0 DDADMM O=c1cc(CNS(=O)(=O)c2cc(F)cc(F)c2F)o[n-]1 ZINC001190411376 745211625 /nfs/dbraw/zinc/21/16/25/745211625.db2.gz TWQLLEZUYHKSMU-UHFFFAOYSA-N -1 1 308.237 1.276 20 0 DDADMM CO[C@@H](C)C1CN(C(=O)c2cnc(-c3ccccn3)[n-]c2=O)C1 ZINC001190627987 745278773 /nfs/dbraw/zinc/27/87/73/745278773.db2.gz DUZNCHXKPOHVJN-JTQLQIEISA-N -1 1 314.345 1.351 20 0 DDADMM C[C@@H]1COCC[C@H]1NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629693 745278886 /nfs/dbraw/zinc/27/88/86/745278886.db2.gz VOIOUFCERWGBRC-ZYHUDNBSSA-N -1 1 314.345 1.399 20 0 DDADMM O=S(=O)([N-]c1ccccc1N1CC[C@H](O)C1)c1ccncc1 ZINC001190897925 745370929 /nfs/dbraw/zinc/37/09/29/745370929.db2.gz NGVDUSAXGSRHGQ-LBPRGKRZSA-N -1 1 319.386 1.453 20 0 DDADMM CSc1nc(NC(=O)c2ccc(N(C)C)nc2)cc(=O)[n-]1 ZINC001191055743 745413678 /nfs/dbraw/zinc/41/36/78/745413678.db2.gz UVHVNGNKKBVDSJ-UHFFFAOYSA-N -1 1 305.363 1.617 20 0 DDADMM COc1cc(C(=O)Nc2ncnn3cccc23)cc(Cl)c1[O-] ZINC001191129340 745432614 /nfs/dbraw/zinc/43/26/14/745432614.db2.gz JNRWPHMNKHPFDY-UHFFFAOYSA-N -1 1 318.720 1.771 20 0 DDADMM COc1cc(C(=O)N(C)c2nccnc2N)cc(Cl)c1[O-] ZINC001191129565 745433470 /nfs/dbraw/zinc/43/34/70/745433470.db2.gz OZYHIWDBVNZFHX-UHFFFAOYSA-N -1 1 308.725 1.703 20 0 DDADMM CNC(=O)c1ccc(S(=O)(=O)[N-]c2nc(C)ccc2F)cc1 ZINC001191578641 745543030 /nfs/dbraw/zinc/54/30/30/745543030.db2.gz ARYRPIZRIROHCZ-UHFFFAOYSA-N -1 1 323.349 1.690 20 0 DDADMM CNC(=O)c1cccnc1NC(=O)c1c(F)ccc([O-])c1F ZINC001192525964 745820752 /nfs/dbraw/zinc/82/07/52/745820752.db2.gz DJZSXACZWZVYMV-UHFFFAOYSA-N -1 1 307.256 1.677 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C)nc(Cl)n2)n1 ZINC001192801984 745897790 /nfs/dbraw/zinc/89/77/90/745897790.db2.gz DFMVQBNFIGUBCO-UHFFFAOYSA-N -1 1 309.713 1.591 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2ccc(C(C)=O)cc2F)[n-]n1 ZINC001194288775 746343921 /nfs/dbraw/zinc/34/39/21/746343921.db2.gz OHVIBWYHINNQSL-UHFFFAOYSA-N -1 1 305.265 1.790 20 0 DDADMM Cc1cc(N)ncc1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001194342925 746359839 /nfs/dbraw/zinc/35/98/39/746359839.db2.gz QZSJKFJSZIEVQR-UHFFFAOYSA-N -1 1 317.309 1.184 20 0 DDADMM Cn1ncc2cc(NC(=O)c3c[n-]c(C(F)(F)F)n3)cnc21 ZINC001194770326 746462411 /nfs/dbraw/zinc/46/24/11/746462411.db2.gz FPVWGOMRFVJBGV-UHFFFAOYSA-N -1 1 310.239 1.963 20 0 DDADMM Cc1ccc([O-])c(C(=O)Nc2cc3n(n2)CC(F)(F)CO3)n1 ZINC001195321161 746564133 /nfs/dbraw/zinc/56/41/33/746564133.db2.gz COLSEGUEVVLUPT-UHFFFAOYSA-N -1 1 310.260 1.572 20 0 DDADMM O=C(Nc1cccnc1OC1COC1)c1cc([O-])cnc1Cl ZINC001195298466 746570829 /nfs/dbraw/zinc/57/08/29/746570829.db2.gz YDANUHMHLUUXJR-UHFFFAOYSA-N -1 1 321.720 1.866 20 0 DDADMM COc1cnc(C(=O)Nc2nc(Br)ccc2[O-])o1 ZINC001195788642 746704919 /nfs/dbraw/zinc/70/49/19/746704919.db2.gz BLHRRLGXNOJZJJ-UHFFFAOYSA-N -1 1 314.095 1.799 20 0 DDADMM Cc1ccc2c(n[nH]c2NC(=O)c2c[nH]c(=S)[n-]c2=O)n1 ZINC001196008505 746754064 /nfs/dbraw/zinc/75/40/64/746754064.db2.gz DZCVHPSDXBIYGI-UHFFFAOYSA-N -1 1 302.319 1.303 20 0 DDADMM O=C(Nc1cnc2ccc(Cl)nn21)c1c[nH]c(=S)[n-]c1=O ZINC001196009175 746754646 /nfs/dbraw/zinc/75/46/46/746754646.db2.gz XCAPOMDHCHMRGA-UHFFFAOYSA-N -1 1 322.737 1.419 20 0 DDADMM O=C(NC[C@H]1CCc2ccccc21)c1c[nH]c(=S)[n-]c1=O ZINC001196027020 746765852 /nfs/dbraw/zinc/76/58/52/746765852.db2.gz QIGFDSHWKGYHMB-SNVBAGLBSA-N -1 1 301.371 1.931 20 0 DDADMM CC(=O)c1ccc(NC(=S)NCC[P@](=O)([O-])O)cc1 ZINC001196570536 746895830 /nfs/dbraw/zinc/89/58/30/746895830.db2.gz FRCUUYQTGLWPRF-UHFFFAOYSA-N -1 1 302.292 1.353 20 0 DDADMM CC(=O)N1CC[C@@H]([C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003154003 747017535 /nfs/dbraw/zinc/01/75/35/747017535.db2.gz BQUWTCSGQQZRHT-ZIAGYGMSSA-N -1 1 317.389 1.508 20 0 DDADMM Cc1cc2ncc([N-]S(=O)(=O)Cc3cccnc3)cn2n1 ZINC001197421724 747159887 /nfs/dbraw/zinc/15/98/87/747159887.db2.gz GVMLDJXYHBEFKQ-UHFFFAOYSA-N -1 1 303.347 1.375 20 0 DDADMM O=S(=O)(Cc1c(F)cccc1F)[N-]c1cnc2nccn2c1 ZINC001197571873 747192095 /nfs/dbraw/zinc/19/20/95/747192095.db2.gz CDZDUFQILSHECN-UHFFFAOYSA-N -1 1 324.312 1.949 20 0 DDADMM COc1cnc(C(=O)Nc2cc(=O)[n-]c(SC)n2)c(F)c1 ZINC001197802910 747268426 /nfs/dbraw/zinc/26/84/26/747268426.db2.gz KFCWYYJMSAPKPP-UHFFFAOYSA-N -1 1 310.310 1.699 20 0 DDADMM O=C([N-]C1CCN(C(=O)NC2CCCC2)CC1)C(F)(F)F ZINC001142770771 747314886 /nfs/dbraw/zinc/31/48/86/747314886.db2.gz LAXPHGCNJLOTIC-UHFFFAOYSA-N -1 1 307.316 1.782 20 0 DDADMM Cn1ncc(Cl)c1[N-]S(=O)(=O)c1ccc2nccnc2c1 ZINC001198115698 747386424 /nfs/dbraw/zinc/38/64/24/747386424.db2.gz BKKKJQHWSHLKKE-UHFFFAOYSA-N -1 1 323.765 1.818 20 0 DDADMM O=C(Nc1cc(=O)[n-]c(Cl)n1)c1cncn1-c1ccncc1 ZINC001198541099 747533423 /nfs/dbraw/zinc/53/34/23/747533423.db2.gz JOGVPFGNKKLZGE-UHFFFAOYSA-N -1 1 316.708 1.669 20 0 DDADMM C=CS(=O)(=O)[N-]c1cc(-n2cccn2)ccc1C(=O)OCC ZINC001198621104 747566623 /nfs/dbraw/zinc/56/66/23/747566623.db2.gz RNCSHHACVNXWTO-UHFFFAOYSA-N -1 1 321.358 1.934 20 0 DDADMM O=C([N-]c1cnoc1)c1cncc(OCC2CCOCC2)n1 ZINC001199163388 747725306 /nfs/dbraw/zinc/72/53/06/747725306.db2.gz WFCZXYOPSIUTTK-UHFFFAOYSA-N -1 1 304.306 1.522 20 0 DDADMM CCOC(=O)c1c(C)nsc1NC(=O)c1ccc([O-])c(=O)[nH]1 ZINC001199197175 747737707 /nfs/dbraw/zinc/73/77/07/747737707.db2.gz RSRRXBXVRDWFCR-UHFFFAOYSA-N -1 1 323.330 1.687 20 0 DDADMM Cc1[nH]nc(C(=O)N(C)[C@H]2CCN(Cc3ccsc3)C2)c1[O-] ZINC001033018748 747927593 /nfs/dbraw/zinc/92/75/93/747927593.db2.gz ZQQNLABSYTXDRQ-LBPRGKRZSA-N -1 1 320.418 1.832 20 0 DDADMM O=S(=O)([N-]CCF)c1ncccc1OCc1ccccc1 ZINC001201898672 748622746 /nfs/dbraw/zinc/62/27/46/748622746.db2.gz YRZITWIBPUTEEH-UHFFFAOYSA-N -1 1 310.350 1.908 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)CC1CC1 ZINC001004667060 748634846 /nfs/dbraw/zinc/63/48/46/748634846.db2.gz GYKBYZDRTGMVMO-JQWIXIFHSA-N -1 1 307.398 1.170 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cnc2cc[nH]cc1-2 ZINC001152970630 748735545 /nfs/dbraw/zinc/73/55/45/748735545.db2.gz AIBLOYFHLWXSRF-UHFFFAOYSA-N -1 1 300.234 1.414 20 0 DDADMM C/C=C(\C)C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996145608 749395972 /nfs/dbraw/zinc/39/59/72/749395972.db2.gz SRLMPXNAFXYVPC-BVOOPDPWSA-N -1 1 315.373 1.615 20 0 DDADMM O=C(N[C@H]1CCCN(c2ncccn2)CC1)c1ncccc1[O-] ZINC001066744457 749867693 /nfs/dbraw/zinc/86/76/93/749867693.db2.gz XAKVETNASWYPLP-LBPRGKRZSA-N -1 1 313.361 1.366 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)F)c1ccc(-n2cnc(CN)n2)cc1 ZINC001168757074 750773950 /nfs/dbraw/zinc/77/39/50/750773950.db2.gz XFUFHTSPMAUQRS-QMMMGPOBSA-N -1 1 313.283 1.466 20 0 DDADMM O=C(C[C@@H]1CC=CCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035013848 751122479 /nfs/dbraw/zinc/12/24/79/751122479.db2.gz IDQPEJAFXBYJIO-CHWSQXEVSA-N -1 1 319.409 1.337 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001035100536 751182465 /nfs/dbraw/zinc/18/24/65/751182465.db2.gz QSJZLVCMOKYIRJ-WCQYABFASA-N -1 1 321.425 1.417 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CCC2CC2)C1 ZINC001005949531 753408858 /nfs/dbraw/zinc/40/88/58/753408858.db2.gz GXMWROIOKNIJIJ-LLVKDONJSA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@@H](F)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062840377 753752734 /nfs/dbraw/zinc/75/27/34/753752734.db2.gz YVBADYMXLPLIRY-SNVBAGLBSA-N -1 1 309.341 1.304 20 0 DDADMM O=C(c1ncccc1[O-])N1CC2(C1)CCCN(C(=O)C1CC1)C2 ZINC001010629044 754065176 /nfs/dbraw/zinc/06/51/76/754065176.db2.gz KKNGJFMPFHZRCS-UHFFFAOYSA-N -1 1 315.373 1.262 20 0 DDADMM CC(C)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001011419683 754515213 /nfs/dbraw/zinc/51/52/13/754515213.db2.gz DEPABUCPWDIBBA-NWDGAFQWSA-N -1 1 305.378 1.553 20 0 DDADMM C[C@@H]1[C@H](NC(=O)C2CCCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011676639 754671577 /nfs/dbraw/zinc/67/15/77/754671577.db2.gz GMCNAVZYQKAMCF-DGCLKSJQSA-N -1 1 317.389 1.697 20 0 DDADMM C[C@H]1C[C@H](NC(=O)C(C)(C)F)CN1C(=O)c1ncccc1[O-] ZINC001012505990 755113443 /nfs/dbraw/zinc/11/34/43/755113443.db2.gz LVMKLJKNVKPIOM-UWVGGRQHSA-N -1 1 309.341 1.255 20 0 DDADMM O=C(N[C@]12CCC[C@H]1N(C(=O)c1ncccc1[O-])CC2)C1CC1 ZINC001014123645 755612962 /nfs/dbraw/zinc/61/29/62/755612962.db2.gz GVETWEIGJYGPHG-DYVFJYSZSA-N -1 1 315.373 1.451 20 0 DDADMM CC[C@H](F)C(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080252963 755799591 /nfs/dbraw/zinc/79/95/91/755799591.db2.gz KHKNJXCMORPTQM-NSHDSACASA-N -1 1 309.341 1.208 20 0 DDADMM O=C(CCCF)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082023956 756586757 /nfs/dbraw/zinc/58/67/57/756586757.db2.gz BNVAGYWMYIDWHM-LBPRGKRZSA-N -1 1 323.368 1.648 20 0 DDADMM CCOC(=O)[C@H](F)[C@H]1CCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000823211811 758281713 /nfs/dbraw/zinc/28/17/13/758281713.db2.gz KXMBFIJEUIWPIH-GXFFZTMASA-N -1 1 313.300 1.895 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(c2nccnc2F)C1 ZINC001065245523 758392153 /nfs/dbraw/zinc/39/21/53/758392153.db2.gz WDBXOUQMVJNZPS-HNNXBMFYSA-N -1 1 317.324 1.115 20 0 DDADMM C[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)C1CC1 ZINC001018098207 758545663 /nfs/dbraw/zinc/54/56/63/758545663.db2.gz BZKMYOFVSJIENS-PWSUYJOCSA-N -1 1 303.362 1.307 20 0 DDADMM O=c1ccc(NCc2ncccc2[O-])nn1CC(F)(F)F ZINC001169732602 762640681 /nfs/dbraw/zinc/64/06/81/762640681.db2.gz MOARLWMAJKJCAX-UHFFFAOYSA-N -1 1 300.240 1.518 20 0 DDADMM CN(C[C@H]1CCN1Cc1ccccn1)C(=O)c1ncccc1[O-] ZINC001085562309 759728962 /nfs/dbraw/zinc/72/89/62/759728962.db2.gz IJAINFREVSXYSR-CQSZACIVSA-N -1 1 312.373 1.529 20 0 DDADMM C[C@@H]1CN(C(=O)CC2(C)CCCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054629567 759919501 /nfs/dbraw/zinc/91/95/01/759919501.db2.gz RJGDDIBTRKVYBA-VXGBXAGGSA-N -1 1 321.425 1.417 20 0 DDADMM CCC(CC)(CC)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019570892 760074967 /nfs/dbraw/zinc/07/49/67/760074967.db2.gz APPTVDFXTRLJNN-NSHDSACASA-N -1 1 309.414 1.417 20 0 DDADMM O=C(NC[C@H]1CCN1CCc1ccns1)c1ncccc1[O-] ZINC001038183620 760899329 /nfs/dbraw/zinc/89/93/29/760899329.db2.gz LBJBGAYNRORHKL-LLVKDONJSA-N -1 1 318.402 1.291 20 0 DDADMM O=C(NC[C@H]1CCN1Cc1ccc(F)cn1)c1ncccc1[O-] ZINC001038190174 760905565 /nfs/dbraw/zinc/90/55/65/760905565.db2.gz AMOXAZPSSPYJDI-CYBMUJFWSA-N -1 1 316.336 1.326 20 0 DDADMM C[C@H](c1cnccn1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001038193520 760908562 /nfs/dbraw/zinc/90/85/62/760908562.db2.gz KDLVKBJRCZLBDV-NEPJUHHUSA-N -1 1 313.361 1.143 20 0 DDADMM C[C@@H]1CN(c2cccc(F)n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001041896441 763460507 /nfs/dbraw/zinc/46/05/07/763460507.db2.gz CNGSMOYLPYQLOS-GHMZBOCLSA-N -1 1 316.336 1.576 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CN(CCC(F)(F)F)C1 ZINC001042646187 764310422 /nfs/dbraw/zinc/31/04/22/764310422.db2.gz MSYJJCIANYQHCM-UHFFFAOYSA-N -1 1 303.284 1.496 20 0 DDADMM Cc1nsc(N[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001112983377 765080971 /nfs/dbraw/zinc/08/09/71/765080971.db2.gz KHOQAGKYURUZKF-YUMQZZPRSA-N -1 1 307.379 1.566 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H](C)Nc1ncncc1Cl ZINC001112982720 765098075 /nfs/dbraw/zinc/09/80/75/765098075.db2.gz AWUKRABXKGXMHD-IUCAKERBSA-N -1 1 321.768 1.850 20 0 DDADMM CS(=O)(=O)Nc1cccc(Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001170232301 766186899 /nfs/dbraw/zinc/18/68/99/766186899.db2.gz IFOWMNOLJSCFKW-UHFFFAOYSA-N -1 1 320.334 1.174 20 0 DDADMM O=c1nc2nc[nH]c2c(Nc2ccc(-c3ncccn3)cn2)[n-]1 ZINC001170234154 766188597 /nfs/dbraw/zinc/18/85/97/766188597.db2.gz USIBMSOSONNQQR-UHFFFAOYSA-N -1 1 306.289 1.654 20 0 DDADMM Cn1cnc(-c2ccc(Nc3[n-]c(=O)nc4nc[nH]c43)cc2)n1 ZINC001170228030 766202216 /nfs/dbraw/zinc/20/22/16/766202216.db2.gz ATLAPKHMPVYZNA-UHFFFAOYSA-N -1 1 308.305 1.598 20 0 DDADMM CCc1nsc(N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001058343851 766436476 /nfs/dbraw/zinc/43/64/76/766436476.db2.gz KFERWXAWGNSMTR-SECBINFHSA-N -1 1 319.390 1.210 20 0 DDADMM Cc1cc(Cl)c(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1C ZINC001132324054 768764615 /nfs/dbraw/zinc/76/46/15/768764615.db2.gz UBOKZZBXUKVULT-UHFFFAOYSA-N -1 1 323.784 1.300 20 0 DDADMM O=C(NC[C@H](Nc1cccc(F)n1)C1CC1)c1ncccc1[O-] ZINC001096651448 771373270 /nfs/dbraw/zinc/37/32/70/771373270.db2.gz JNZBYUWIAWOZBV-NSHDSACASA-N -1 1 316.336 1.942 20 0 DDADMM CC[C@H](F)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001096991475 771559350 /nfs/dbraw/zinc/55/93/50/771559350.db2.gz WHQZOYVTTOQNCH-LOWDOPEQSA-N -1 1 307.369 1.870 20 0 DDADMM O=C(NCCCNC(=O)c1ccc([O-])cn1)c1ccc(F)cc1 ZINC001136808973 772160121 /nfs/dbraw/zinc/16/01/21/772160121.db2.gz ALTHCWRKCXFHPG-UHFFFAOYSA-N -1 1 317.320 1.476 20 0 DDADMM CCc1nnc(-c2ccc(NC(=O)CCc3nn[n-]n3)cc2)o1 ZINC001136994989 772240791 /nfs/dbraw/zinc/24/07/91/772240791.db2.gz QJIHLSAOCYGWKE-UHFFFAOYSA-N -1 1 313.321 1.383 20 0 DDADMM COC(=O)c1nc2nc(N[C@@H]3NC(=O)c4ccccc43)ccc2[nH]1 ZINC001171128811 772373521 /nfs/dbraw/zinc/37/35/21/772373521.db2.gz JKTXEBWZABCOGE-GFCCVEGCSA-N -1 1 323.312 1.599 20 0 DDADMM Cc1nc2ccccc2c(C(=O)n2cc(F)c(=O)nc2N)c1[O-] ZINC001149195848 772381549 /nfs/dbraw/zinc/38/15/49/772381549.db2.gz ADASGGGQQOMQHN-UHFFFAOYSA-N -1 1 314.276 1.458 20 0 DDADMM O=C1COCC2(CCN(Cc3c(F)cc([O-])cc3F)CC2)N1 ZINC001144544795 772559471 /nfs/dbraw/zinc/55/94/71/772559471.db2.gz HPVVIEXGXPDHIT-UHFFFAOYSA-N -1 1 312.316 1.151 20 0 DDADMM [O-]c1cc(F)c(CN2CCN(c3ncncn3)CC2)c(F)c1 ZINC001144561818 772565245 /nfs/dbraw/zinc/56/52/45/772565245.db2.gz NSVVNGJRVCFHIN-UHFFFAOYSA-N -1 1 307.304 1.178 20 0 DDADMM COC(=O)CCCCCNC(=O)c1c(CO)cnc(C)c1[O-] ZINC001147843049 773266041 /nfs/dbraw/zinc/26/60/41/773266041.db2.gz QBDXRFOCJJDFOV-UHFFFAOYSA-N -1 1 310.350 1.051 20 0 DDADMM Cc1ncc(CO)c(C(=O)N[C@H]2CCCc3nccnc32)c1[O-] ZINC001147848401 773269040 /nfs/dbraw/zinc/26/90/40/773269040.db2.gz JFDOCORLYCYVQN-LBPRGKRZSA-N -1 1 314.345 1.185 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)N3CC4(C3)CCCO4)cc2)[n-]1 ZINC001148329070 773437614 /nfs/dbraw/zinc/43/76/14/773437614.db2.gz IDHQGNMXFPVVNE-UHFFFAOYSA-N -1 1 313.357 1.804 20 0 DDADMM COc1cc(C)ccc1C(=O)NCCCC[P@](=O)([O-])O ZINC001148577429 773536721 /nfs/dbraw/zinc/53/67/21/773536721.db2.gz NFIFIFQUYFPMBG-UHFFFAOYSA-N -1 1 301.279 1.691 20 0 DDADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCCF ZINC001074944868 774258733 /nfs/dbraw/zinc/25/87/33/774258733.db2.gz ZTLIAHSFSAFORX-NWDGAFQWSA-N -1 1 323.368 1.646 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H](Nc2[n-]c(=O)nc3nc[nH]c32)CC1 ZINC001172775500 776887400 /nfs/dbraw/zinc/88/74/00/776887400.db2.gz GYCNMQSIILNWBG-RKDXNWHRSA-N -1 1 305.338 1.592 20 0 DDADMM CC(C)c1nsc(NCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001101561471 777251969 /nfs/dbraw/zinc/25/19/69/777251969.db2.gz ZVYFHPKFLOHHJG-UHFFFAOYSA-N -1 1 321.406 1.946 20 0 DDADMM CC(C)(O)c1cncc([N-]S(=O)(=O)c2ccc(N)cc2)c1 ZINC001174590741 777513911 /nfs/dbraw/zinc/51/39/11/777513911.db2.gz FJKDAUBIFBEUOX-UHFFFAOYSA-N -1 1 307.375 1.692 20 0 DDADMM COc1cccc(CCC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)n1 ZINC001175356772 777760413 /nfs/dbraw/zinc/76/04/13/777760413.db2.gz WBNZEFYKBVDQSM-UHFFFAOYSA-N -1 1 314.305 1.033 20 0 DDADMM CNC(=O)c1cc(Nc2ccc(-n3ccc(=O)[n-]3)cc2)ccn1 ZINC001175416559 777782640 /nfs/dbraw/zinc/78/26/40/777782640.db2.gz HSFLSWKCXKFKLG-UHFFFAOYSA-N -1 1 309.329 1.498 20 0 DDADMM Nc1ccc(N)c(S(=O)(=O)[N-]c2ccc3c(c2)CC(=O)N3)c1 ZINC001176434632 778141937 /nfs/dbraw/zinc/14/19/37/778141937.db2.gz YTPRIGDGRZNSRC-UHFFFAOYSA-N -1 1 318.358 1.146 20 0 DDADMM COc1ccc(-c2ccccc2NC(=O)Cc2nn[n-]n2)nc1 ZINC001176844710 778299532 /nfs/dbraw/zinc/29/95/32/778299532.db2.gz HBBATLLEABAVSI-UHFFFAOYSA-N -1 1 310.317 1.452 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)[C@@H]1C[C@H]1c1cccs1 ZINC001177543892 778644271 /nfs/dbraw/zinc/64/42/71/778644271.db2.gz NHPXOHCGMIVWDP-RNFRBKRXSA-N -1 1 301.331 1.862 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)[C@@H]1CCc2nccn2C1 ZINC001178101148 778869039 /nfs/dbraw/zinc/86/90/39/778869039.db2.gz QXWNGEIFFPBLRU-GFCCVEGCSA-N -1 1 323.356 1.976 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)C1Cc2ccccc2C1 ZINC001178540838 779086000 /nfs/dbraw/zinc/08/60/00/779086000.db2.gz WGGHRPKZFAYYTH-UHFFFAOYSA-N -1 1 300.274 1.639 20 0 DDADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)C=Cc1cc2ccccc2o1 ZINC001178751368 779161719 /nfs/dbraw/zinc/16/17/19/779161719.db2.gz GNZKWTYLLQGRIT-AATRIKPKSA-N -1 1 312.285 1.868 20 0 DDADMM O=C(Nc1ccncc1[O-])c1nc(C2CC2)n(-c2ccccc2)n1 ZINC001116203115 780484745 /nfs/dbraw/zinc/48/47/45/780484745.db2.gz YVZHTJMNWBVHTH-UHFFFAOYSA-N -1 1 321.340 1.920 20 0 DDADMM O=Cc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)s1 ZINC001118969455 781286651 /nfs/dbraw/zinc/28/66/51/781286651.db2.gz BRVNLSSMBVIUAW-MRVPVSSYSA-N -1 1 307.331 1.669 20 0 DDADMM COC(=O)c1cc(C)c(C(=O)[N-]c2nc3ccccn3n2)o1 ZINC001266608435 836528344 /nfs/dbraw/zinc/52/83/44/836528344.db2.gz FSXJTMZOEPBDKN-UHFFFAOYSA-N -1 1 300.274 1.670 20 0 DDADMM C[C@H](CC1CCCCC1)C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001266852363 836937276 /nfs/dbraw/zinc/93/72/76/836937276.db2.gz NTJTZLRCOXMRPX-GFCCVEGCSA-N -1 1 323.441 1.665 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@H](CCO)CC(C)C ZINC001414226603 844419949 /nfs/dbraw/zinc/41/99/49/844419949.db2.gz WBZOZUGQFKLBKU-SNVBAGLBSA-N -1 1 322.452 1.475 20 0 DDADMM O=C([O-])c1cc(Cl)ccc1CN1CCN(CCCO)CC1 ZINC001142646784 861215038 /nfs/dbraw/zinc/21/50/38/861215038.db2.gz DICLGBAUUXHMKZ-UHFFFAOYSA-N -1 1 312.797 1.538 20 0 DDADMM O=C(c1ncccc1[O-])N1C[C@H]2CC[C@@H](C1)N2Cc1ccc[nH]1 ZINC001270257658 842429827 /nfs/dbraw/zinc/42/98/27/842429827.db2.gz CYYXMVFSLLNLMB-OKILXGFUSA-N -1 1 312.373 1.604 20 0 DDADMM O=C([N-]C12CC(C(=O)Nc3cn[nH]c3)(C1)C2)C(F)(F)C(F)F ZINC001271251033 843442050 /nfs/dbraw/zinc/44/20/50/843442050.db2.gz KJHDBIIJUQHXFV-UHFFFAOYSA-N -1 1 320.246 1.288 20 0 DDADMM COc1ccc2cc([O-])c(C(=O)N[C@H]3COC(=O)C3)cc2c1 ZINC001154757381 861428040 /nfs/dbraw/zinc/42/80/40/861428040.db2.gz SQYDYBFBDSPSRW-LLVKDONJSA-N -1 1 301.298 1.599 20 0 DDADMM CC(C)(C)OC(=O)N1CC=C[C@@H](OCC[P@](=O)([O-])O)C1 ZINC001224602773 844921967 /nfs/dbraw/zinc/92/19/67/844921967.db2.gz TYACLHXZZDVWEI-SNVBAGLBSA-N -1 1 307.283 1.356 20 0 DDADMM COCCN1CCN(C(=O)c2ccc3cccnc3c2[O-])CC1 ZINC001149320433 861544981 /nfs/dbraw/zinc/54/49/81/861544981.db2.gz YGPYONFZSBKFGY-UHFFFAOYSA-N -1 1 315.373 1.345 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCO[C@@H](C3CC3)C2)sn1 ZINC001414241278 845799047 /nfs/dbraw/zinc/79/90/47/845799047.db2.gz KVQZXZWFSGZWTG-NXEZZACHSA-N -1 1 318.420 1.388 20 0 DDADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cscn1 ZINC001409833448 845872073 /nfs/dbraw/zinc/87/20/73/845872073.db2.gz UAJZWGHGFWPWKT-VIFPVBQESA-N -1 1 324.410 1.045 20 0 DDADMM Cc1cc(CN2C[C@@H]3C[C@H]2CN3C(=O)c2ncccc2[O-])on1 ZINC001032369942 847215420 /nfs/dbraw/zinc/21/54/20/847215420.db2.gz ZWCZWYNJIKAAOD-RYUDHWBXSA-N -1 1 314.345 1.183 20 0 DDADMM CC(=O)N[C@H](C)C1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC001005541296 848377998 /nfs/dbraw/zinc/37/79/98/848377998.db2.gz IDMBQVKNAXQFDR-SECBINFHSA-N -1 1 320.393 1.211 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)N[C@H]1CCCC[N@@H+](C/C=C\Cl)C1 ZINC001034365353 848420247 /nfs/dbraw/zinc/42/02/47/848420247.db2.gz UWJMOAXCPCLWSZ-RNZFLTOJSA-N -1 1 324.812 1.827 20 0 DDADMM O=C(c1[n-][nH]c2cc(=O)ccc1-2)N1C[C@@H]2OCC(F)(F)[C@@H]2C1 ZINC001155264820 861909308 /nfs/dbraw/zinc/90/93/08/861909308.db2.gz JTYNNMGTALADEG-KOLCDFICSA-N -1 1 309.272 1.375 20 0 DDADMM COC(=O)C[C@@H]1CCCN(C(=O)c2[n-][nH]c3cc(=O)ccc2-3)C1 ZINC001155267151 861915861 /nfs/dbraw/zinc/91/58/61/861915861.db2.gz WNEYEACLWSEWKP-JTQLQIEISA-N -1 1 317.345 1.684 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273240 861922304 /nfs/dbraw/zinc/92/23/04/861922304.db2.gz JVLWZVFFTOXJDU-NEPJUHHUSA-N -1 1 317.345 1.730 20 0 DDADMM C[C@@H](CN(C)C(=O)CC1CCCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001410456688 849309271 /nfs/dbraw/zinc/30/92/71/849309271.db2.gz VQTFHBNPHHJDQU-LBPRGKRZSA-N -1 1 323.441 1.807 20 0 DDADMM C[C@H](C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001411026750 850123797 /nfs/dbraw/zinc/12/37/97/850123797.db2.gz VFNDFMFQZQSGNR-APPDUMDISA-N -1 1 317.389 1.554 20 0 DDADMM CC[C@@H](C)C(=O)N1CC[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001411045433 850152616 /nfs/dbraw/zinc/15/26/16/850152616.db2.gz XQMCAOMJXFGTND-PXAZEXFGSA-N -1 1 319.405 1.802 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn(CC)c2C2CC2)n1 ZINC001411369112 851769750 /nfs/dbraw/zinc/76/97/50/851769750.db2.gz HRGINSFBJNDDEB-UHFFFAOYSA-N -1 1 317.349 1.933 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccc(F)c(OC)c2)n1 ZINC001411373228 851775639 /nfs/dbraw/zinc/77/56/39/851775639.db2.gz UXAOGBOXRGAZDN-UHFFFAOYSA-N -1 1 307.281 1.986 20 0 DDADMM CC(C)=C(C)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001274242160 852083013 /nfs/dbraw/zinc/08/30/13/852083013.db2.gz RPZDHFKSBJMIJT-RYUDHWBXSA-N -1 1 307.398 1.193 20 0 DDADMM NC(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)c1cc([O-])cnc1Cl ZINC001274970691 852721211 /nfs/dbraw/zinc/72/12/11/852721211.db2.gz YNAPGOMWWBVTAN-GJOTXNKNSA-N -1 1 309.753 1.070 20 0 DDADMM CN1C[C@H]2C[C@@H](C1)N(C(=O)c1c([O-])c(F)c(F)c(F)c1F)C2 ZINC001275566829 853365372 /nfs/dbraw/zinc/36/53/72/853365372.db2.gz WDKUIAKGVWXLIE-RQJHMYQMSA-N -1 1 318.270 1.725 20 0 DDADMM CCC[C@@H](NC(=O)c1oc(C(=O)OC)cc1C)c1nn[n-]n1 ZINC001411859189 853848426 /nfs/dbraw/zinc/84/84/26/853848426.db2.gz SELZENDSCXIXCE-MRVPVSSYSA-N -1 1 307.310 1.159 20 0 DDADMM CCC[C@H](NC(=O)c1oc(C(=O)OC)cc1C)c1nn[n-]n1 ZINC001411859190 853848955 /nfs/dbraw/zinc/84/89/55/853848955.db2.gz SELZENDSCXIXCE-QMMMGPOBSA-N -1 1 307.310 1.159 20 0 DDADMM O=C(N[C@H]1COc2ccccc2C1=O)c1ccc(F)c([O-])c1 ZINC001411926903 853984031 /nfs/dbraw/zinc/98/40/31/853984031.db2.gz DBFGEPIOJHHEGL-LBPRGKRZSA-N -1 1 301.273 1.905 20 0 DDADMM CSc1ncc(C(=O)N2CCC[C@@H]2[C@@H]2CCCC2=O)c(=O)[n-]1 ZINC001411990674 854082081 /nfs/dbraw/zinc/08/20/81/854082081.db2.gz URVMZJNBZXBWSY-GXSJLCMTSA-N -1 1 321.402 1.878 20 0 DDADMM CC(C)NC(=O)N1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc(F)c([O-])c1 ZINC001275989285 854099040 /nfs/dbraw/zinc/09/90/40/854099040.db2.gz RTGSGZASCLRBHX-TXEJJXNPSA-N -1 1 321.352 1.548 20 0 DDADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])[C@H]1CCC[C@H](C)C1 ZINC001412244230 854369393 /nfs/dbraw/zinc/36/93/93/854369393.db2.gz KPMSQHRKZKOYOZ-AVGNSLFASA-N -1 1 319.405 1.848 20 0 DDADMM CN1C(=O)NCC12CCN(C(=O)c1ccc(F)c([O-])c1)CC2 ZINC001412261959 854387404 /nfs/dbraw/zinc/38/74/04/854387404.db2.gz DPNNVWWOTCOBGV-UHFFFAOYSA-N -1 1 307.325 1.161 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1CCC(=O)[C@@H](C)C1(C)C ZINC001412533055 854673551 /nfs/dbraw/zinc/67/35/51/854673551.db2.gz UQBXODAAJUYDAR-VXGBXAGGSA-N -1 1 321.425 1.826 20 0 DDADMM CN(C)c1cnc(C(=O)NCc2ccc([O-])c(Cl)c2)cn1 ZINC001412554702 854717597 /nfs/dbraw/zinc/71/75/97/854717597.db2.gz FXEQFDHVCMYYQZ-UHFFFAOYSA-N -1 1 306.753 1.832 20 0 DDADMM COC(=O)N1CCC([C@@H](C)NC(=O)c2ccc([O-])cn2)CC1 ZINC001412844909 855721355 /nfs/dbraw/zinc/72/13/55/855721355.db2.gz AHMLVUNLDKYXKY-SNVBAGLBSA-N -1 1 307.350 1.384 20 0 DDADMM CS(=O)(=O)c1ccc(CCC(=O)Nc2c[n-][nH]c2=O)cc1 ZINC001412848949 855724542 /nfs/dbraw/zinc/72/45/42/855724542.db2.gz BAHAHAQTKKZHGL-UHFFFAOYSA-N -1 1 309.347 1.090 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@@]1(C)CCOC1 ZINC001412852496 855728000 /nfs/dbraw/zinc/72/80/00/855728000.db2.gz NENFUGNDTKBWDI-KWBADKCTSA-N -1 1 322.327 1.471 20 0 DDADMM CCC(CC)(NC(=O)C[C@H](C)n1ccc(C)n1)c1nn[n-]n1 ZINC001412939451 855796149 /nfs/dbraw/zinc/79/61/49/855796149.db2.gz YYOHRMZIOKQBDD-NSHDSACASA-N -1 1 305.386 1.487 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(c2ccnc(F)c2)CC1 ZINC001328155611 862734651 /nfs/dbraw/zinc/73/46/51/862734651.db2.gz KKGJYVGKCPYEPE-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM CC[C@H](C)[C@H](Nc1nc(C)cc2c1C(=O)[N-]C2=O)C(=O)OC ZINC001156129011 862744246 /nfs/dbraw/zinc/74/42/46/862744246.db2.gz VMISORXUQFJWEA-CPCISQLKSA-N -1 1 305.334 1.273 20 0 DDADMM CC1(c2cccc(CNC(=O)c3ccc([O-])cn3)c2)OCCO1 ZINC001413037037 856011146 /nfs/dbraw/zinc/01/11/46/856011146.db2.gz YHDWYPLNSWCLFQ-UHFFFAOYSA-N -1 1 314.341 1.937 20 0 DDADMM COc1nc2ccccc2nc1NCC[N-]C(=O)C(F)(F)F ZINC001156199869 862805851 /nfs/dbraw/zinc/80/58/51/862805851.db2.gz NXGSCENYLNTUNP-UHFFFAOYSA-N -1 1 314.267 1.729 20 0 DDADMM CCOC(=O)[C@H](CC1(OC)CCC1)NC(=O)c1ccc([O-])cn1 ZINC001413235555 856573606 /nfs/dbraw/zinc/57/36/06/856573606.db2.gz VSYJQVKAQRTNLR-ZDUSSCGKSA-N -1 1 322.361 1.408 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)c2cccc(C)c2C)[n-]n1 ZINC001413266271 856587912 /nfs/dbraw/zinc/58/79/12/856587912.db2.gz AWLHXURFQKJBHO-UHFFFAOYSA-N -1 1 323.374 1.638 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)c2cccc(C)c2C)n[n-]1 ZINC001413266271 856587917 /nfs/dbraw/zinc/58/79/17/856587917.db2.gz AWLHXURFQKJBHO-UHFFFAOYSA-N -1 1 323.374 1.638 20 0 DDADMM CCOC(=O)c1n[n-]c(CNCc2c(F)cccc2OCC)n1 ZINC001413262306 856593948 /nfs/dbraw/zinc/59/39/48/856593948.db2.gz ZMYSNPRRVWLEFH-UHFFFAOYSA-N -1 1 322.340 1.809 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@H]2c2ccccc2)[n-]n1 ZINC001413345480 856694407 /nfs/dbraw/zinc/69/44/07/856694407.db2.gz BZKHBEGTTJPDSR-QWRGUYRKSA-N -1 1 321.358 1.031 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@H]2c2ccccc2)n[n-]1 ZINC001413345480 856694412 /nfs/dbraw/zinc/69/44/12/856694412.db2.gz BZKHBEGTTJPDSR-QWRGUYRKSA-N -1 1 321.358 1.031 20 0 DDADMM C[C@H](CSC(F)(F)F)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001413427070 856795982 /nfs/dbraw/zinc/79/59/82/856795982.db2.gz MVEFWXVIBAKRAW-SSDOTTSWSA-N -1 1 323.344 1.795 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C1(C(F)(F)F)CCC1 ZINC001413501284 856896529 /nfs/dbraw/zinc/89/65/29/856896529.db2.gz DKVNXXIQPPHODH-UHFFFAOYSA-N -1 1 305.304 1.917 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1n[nH]c2c1CCCCC2 ZINC001413503527 856899437 /nfs/dbraw/zinc/89/94/37/856899437.db2.gz MGZUOOFEIJJUHT-UHFFFAOYSA-N -1 1 317.397 1.490 20 0 DDADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CCC2)nn1[C@H](C)C1CC1 ZINC001413511390 856913176 /nfs/dbraw/zinc/91/31/76/856913176.db2.gz UILVIBWLXFAPRR-SNVBAGLBSA-N -1 1 315.381 1.485 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CC(=O)NC[C@H]1c1ccccc1 ZINC001413633885 857266122 /nfs/dbraw/zinc/26/61/22/857266122.db2.gz YOZDFXGFSYJFNV-AWEZNQCLSA-N -1 1 314.316 1.845 20 0 DDADMM COc1cc(-c2noc(-c3nnc(Br)[n-]3)n2)ccn1 ZINC001213002227 862945524 /nfs/dbraw/zinc/94/55/24/862945524.db2.gz URMYFNHBOACZHX-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM COc1cc(-c2noc(-c3n[n-]c(Br)n3)n2)ccn1 ZINC001213002227 862945531 /nfs/dbraw/zinc/94/55/31/862945531.db2.gz URMYFNHBOACZHX-UHFFFAOYSA-N -1 1 323.110 1.688 20 0 DDADMM CCOC(=O)CN(C(=O)C(=O)c1ccc([O-])cc1)C1CCC1 ZINC001138101390 860008453 /nfs/dbraw/zinc/00/84/53/860008453.db2.gz BACXHXFSACADQH-UHFFFAOYSA-N -1 1 305.330 1.519 20 0 DDADMM O=C(N[C@H]1CN(c2ccccc2)C1=O)c1cccc([O-])c1F ZINC001138172344 860033114 /nfs/dbraw/zinc/03/31/14/860033114.db2.gz QECZOKVFMLUKCM-LBPRGKRZSA-N -1 1 300.289 1.677 20 0 DDADMM COc1cccc(CN2CCC[C@H](C(C)=O)C2)c1OCC(=O)[O-] ZINC001139272139 860347391 /nfs/dbraw/zinc/34/73/91/860347391.db2.gz YLDFCQFIKQRTCL-ZDUSSCGKSA-N -1 1 321.373 1.960 20 0 DDADMM COc1cccc(CN2CCC[C@@H](C(C)=O)C2)c1OCC(=O)[O-] ZINC001139272138 860347426 /nfs/dbraw/zinc/34/74/26/860347426.db2.gz YLDFCQFIKQRTCL-CYBMUJFWSA-N -1 1 321.373 1.960 20 0 DDADMM O=C([N-]c1ccc(O)cc1F)c1nc(-c2cnccn2)no1 ZINC001152098867 863159693 /nfs/dbraw/zinc/15/96/93/863159693.db2.gz ATWIRLFIPJVLIZ-UHFFFAOYSA-N -1 1 301.237 1.624 20 0 DDADMM Cc1cnc(CCNC(=O)c2cc(=O)c3cccc(O)c3[n-]2)nc1 ZINC001152669929 863482996 /nfs/dbraw/zinc/48/29/96/863482996.db2.gz OZLVHRPHHVESEZ-UHFFFAOYSA-N -1 1 324.340 1.717 20 0 DDADMM O=C(N[C@@H]1CCOC[C@@H]1F)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152684447 863492458 /nfs/dbraw/zinc/49/24/58/863492458.db2.gz BICNKDXKQGQERY-VHSXEESVSA-N -1 1 306.293 1.503 20 0 DDADMM CCN(Cc1nccs1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001152975121 863630166 /nfs/dbraw/zinc/63/01/66/863630166.db2.gz JZBXBDVMJMCVDU-LLVKDONJSA-N -1 1 320.418 1.884 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccc(Cl)nc1F)C1CC1 ZINC001329377779 863630725 /nfs/dbraw/zinc/63/07/25/863630725.db2.gz INYBFYLDPRPSBJ-VIFPVBQESA-N -1 1 322.745 1.104 20 0 DDADMM O=C(Cc1cncc2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001329848106 863893765 /nfs/dbraw/zinc/89/37/65/863893765.db2.gz PMZNRGIPSYIKFH-ZDUSSCGKSA-N -1 1 322.372 1.697 20 0 DDADMM O=C([N-]C[C@@H]1CCCN(C(=O)c2ccsn2)C1)C(F)(F)F ZINC001361476806 881730208 /nfs/dbraw/zinc/73/02/08/881730208.db2.gz PCDBBDZIXXOWLA-QMMMGPOBSA-N -1 1 321.324 1.674 20 0 DDADMM Nc1ccc2c(cccc2C(=O)NCCCC[P@](=O)([O-])O)n1 ZINC001153811332 864126716 /nfs/dbraw/zinc/12/67/16/864126716.db2.gz FHFQHXSELWMHPM-UHFFFAOYSA-N -1 1 323.289 1.505 20 0 DDADMM O=C(Nc1cnc2n[nH]cc2c1)c1cnc2cccnc2c1[O-] ZINC001153850432 864156520 /nfs/dbraw/zinc/15/65/20/864156520.db2.gz BLEIAXHMCZOMIX-UHFFFAOYSA-N -1 1 306.285 1.447 20 0 DDADMM O=C(Nc1ccc([O-])c(F)c1F)c1ccc(-c2nn[nH]n2)cn1 ZINC001157811552 864161561 /nfs/dbraw/zinc/16/15/61/864161561.db2.gz HOELNPHZKQWQML-UHFFFAOYSA-N -1 1 318.243 1.498 20 0 DDADMM COc1c(O)cccc1NC(=O)c1cnc2cccnc2c1[O-] ZINC001153860957 864168516 /nfs/dbraw/zinc/16/85/16/864168516.db2.gz SOWZLDVZXMTILT-UHFFFAOYSA-N -1 1 311.297 1.890 20 0 DDADMM COc1ccc2cc(C(=O)NC3(c4nn[n-]n4)CCC3)cnc2c1 ZINC001153875397 864185293 /nfs/dbraw/zinc/18/52/93/864185293.db2.gz ZQVJITWQBBKOJT-UHFFFAOYSA-N -1 1 324.344 1.566 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1cc2n(n1)CCO2 ZINC001153882371 864190522 /nfs/dbraw/zinc/19/05/22/864190522.db2.gz ZVISZCHNBTVULG-UHFFFAOYSA-N -1 1 311.301 1.419 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC/C=C/C1CC1 ZINC001330461499 864366930 /nfs/dbraw/zinc/36/69/30/864366930.db2.gz OSDXPHCGAYSEHC-ONEGZZNKSA-N -1 1 300.362 1.677 20 0 DDADMM [O-]c1cccnc1CNC(F)(F)Oc1cnc(Cl)cn1 ZINC001158775533 864900347 /nfs/dbraw/zinc/90/03/47/864900347.db2.gz DOIDJSDPUGCOPH-UHFFFAOYSA-N -1 1 302.668 1.950 20 0 DDADMM CSC[C@H](C)NC(=O)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001331274640 864982590 /nfs/dbraw/zinc/98/25/90/864982590.db2.gz KXLANTLZQMLXJY-QMMMGPOBSA-N -1 1 316.810 1.530 20 0 DDADMM Cc1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c2cnn(C)c2n1 ZINC001361528435 881834289 /nfs/dbraw/zinc/83/42/89/881834289.db2.gz VRSNXDPRFQOQLT-UHFFFAOYSA-N -1 1 321.344 1.184 20 0 DDADMM O=C(NC1CC1)c1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)nc1 ZINC001159197891 865159726 /nfs/dbraw/zinc/15/97/26/865159726.db2.gz CQKTYRKAQZOKCT-UHFFFAOYSA-N -1 1 311.305 1.089 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3nccnc3OC3CCC3)c2[nH]1 ZINC001159194428 865160403 /nfs/dbraw/zinc/16/04/03/865160403.db2.gz BEOQPUUXYFUAFW-UHFFFAOYSA-N -1 1 315.293 1.181 20 0 DDADMM O=c1[n-]c2nc(=O)[nH]c(Nc3ccc(-c4ccccn4)nn3)c2[nH]1 ZINC001159195182 865160421 /nfs/dbraw/zinc/16/04/21/865160421.db2.gz MAICPYXJFCQXGR-UHFFFAOYSA-N -1 1 322.288 1.311 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(CN2C(=O)CC23CCC3)C1 ZINC001332261555 865705223 /nfs/dbraw/zinc/70/52/23/865705223.db2.gz OLRJZCLTMZFSEQ-GFCCVEGCSA-N -1 1 319.327 1.242 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CC=CCC1 ZINC001332756222 866120406 /nfs/dbraw/zinc/12/04/06/866120406.db2.gz KIYXZJYPBZTUKK-RYUDHWBXSA-N -1 1 321.425 1.487 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)CCCCC(=O)[O-])C[C@H](C)O1 ZINC001332928203 866263720 /nfs/dbraw/zinc/26/37/20/866263720.db2.gz FCOUAZIIWIZQMG-BETUJISGSA-N -1 1 300.399 1.247 20 0 DDADMM CC(=O)c1c(O)cc([O-])cc1OC1CCS(=O)(=O)CC1 ZINC001225680347 881971234 /nfs/dbraw/zinc/97/12/34/881971234.db2.gz XMKKWLUKIWAFQX-UHFFFAOYSA-N -1 1 300.332 1.256 20 0 DDADMM CC(=O)c1c(O)cc([O-])cc1O[C@@H]1[C@H]2C[C@H]3C(=O)O[C@@H]1[C@H]3C2 ZINC001225678300 881971253 /nfs/dbraw/zinc/97/12/53/881971253.db2.gz GENHUZILFLEVIA-YGVRZNPTSA-N -1 1 304.298 1.629 20 0 DDADMM CCn1nc(C)c(CNCCCc2nc(C)c(C(=O)[O-])s2)n1 ZINC001333175272 866485384 /nfs/dbraw/zinc/48/53/84/866485384.db2.gz FHGMUBFEANVALC-UHFFFAOYSA-N -1 1 323.422 1.792 20 0 DDADMM C[C@@]1([N-]S(=O)(=O)c2cnc(Cl)cc2Cl)CCOC1 ZINC001323408887 866594744 /nfs/dbraw/zinc/59/47/44/866594744.db2.gz OAJPJMJOTCNYAA-SNVBAGLBSA-N -1 1 311.190 1.846 20 0 DDADMM O=C([N-]OC1CCOCC1)[C@@H]1CCCCN1Cc1ccncc1 ZINC001320402973 866712014 /nfs/dbraw/zinc/71/20/14/866712014.db2.gz ARFBGGJSPORULM-INIZCTEOSA-N -1 1 319.405 1.663 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1cn(C)nc1Cl ZINC001324806132 867536687 /nfs/dbraw/zinc/53/66/87/867536687.db2.gz OMCSSQYIKZLXIY-UHFFFAOYSA-N -1 1 303.746 1.006 20 0 DDADMM CCOC(=O)c1c[n-]c(=O)nc1O[C@H]1CCC[C@H]1C(=O)OCC ZINC001225898781 882098166 /nfs/dbraw/zinc/09/81/66/882098166.db2.gz DQBCRZTXFAHVOA-KOLCDFICSA-N -1 1 324.333 1.470 20 0 DDADMM CN(Cc1cccc(C(N)=O)c1)C(=O)c1ccc([O-])cc1F ZINC001361673454 882131688 /nfs/dbraw/zinc/13/16/88/882131688.db2.gz HZJMKUBIZZARLO-UHFFFAOYSA-N -1 1 302.305 1.902 20 0 DDADMM S=c1[n-]c2cc(NCc3nnc4n3CCCNC4)ccc2o1 ZINC001163022187 868009728 /nfs/dbraw/zinc/00/97/28/868009728.db2.gz PJRGPLOEAHWHLZ-UHFFFAOYSA-N -1 1 316.390 1.813 20 0 DDADMM NC(=O)NCc1ccc(NC(=O)c2ccc(F)c([O-])c2)cc1 ZINC001361685848 882158863 /nfs/dbraw/zinc/15/88/63/882158863.db2.gz DRPUABHRGPUSMT-UHFFFAOYSA-N -1 1 303.293 1.952 20 0 DDADMM O=C([O-])Cn1cc(CNCCc2cnc3ccccc3c2)nn1 ZINC001335320336 868223779 /nfs/dbraw/zinc/22/37/79/868223779.db2.gz VBZXXAQUCVSRJH-UHFFFAOYSA-N -1 1 311.345 1.243 20 0 DDADMM CCOC(=O)c1nc(=NOCC(C)C)c(Br)c[n-]1 ZINC001335463615 868318381 /nfs/dbraw/zinc/31/83/81/868318381.db2.gz BBQDNQKKHKUYPG-UHFFFAOYSA-N -1 1 318.171 1.837 20 0 DDADMM CC1(c2ccnc(NCC[N-]C(=O)C(F)(F)F)c2)OCCO1 ZINC001164245164 869052180 /nfs/dbraw/zinc/05/21/80/869052180.db2.gz SWDKDYKJQKOLGI-UHFFFAOYSA-N -1 1 319.283 1.392 20 0 DDADMM COc1ccccc1CNC(=O)c1cnc(SC)[n-]c1=O ZINC001361742510 882276160 /nfs/dbraw/zinc/27/61/60/882276160.db2.gz TXHAJHJESDVDMD-UHFFFAOYSA-N -1 1 305.359 1.843 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2ccc(=O)n(C)c2)[n-]c1Cl ZINC001361764554 882321698 /nfs/dbraw/zinc/32/16/98/882321698.db2.gz CVBDKZATKUJYHH-UHFFFAOYSA-N -1 1 324.724 1.191 20 0 DDADMM Cc1ccccc1[C@@H](C)N(C)c1nnc(Cc2nnn[n-]2)n1C ZINC001338271085 869898448 /nfs/dbraw/zinc/89/84/48/869898448.db2.gz FHGMWRNGEZDQER-LLVKDONJSA-N -1 1 312.381 1.425 20 0 DDADMM Cc1ccccc1[C@@H](C)N(C)c1nnc(Cc2nn[n-]n2)n1C ZINC001338271085 869898455 /nfs/dbraw/zinc/89/84/55/869898455.db2.gz FHGMWRNGEZDQER-LLVKDONJSA-N -1 1 312.381 1.425 20 0 DDADMM CCC(CC)(NC(=O)C=Cc1cncc(O)c1)c1nn[n-]n1 ZINC001297487942 870073617 /nfs/dbraw/zinc/07/36/17/870073617.db2.gz QQCQDKJBOZUNLR-AATRIKPKSA-N -1 1 302.338 1.145 20 0 DDADMM CSc1ncc(C(=O)Nc2cccc(C(N)=O)c2C)c(=O)[n-]1 ZINC001361790642 882380831 /nfs/dbraw/zinc/38/08/31/882380831.db2.gz BJXVCYXZGHXZFP-UHFFFAOYSA-N -1 1 318.358 1.564 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CC=C(C(F)(F)F)CC1 ZINC001340047573 870861198 /nfs/dbraw/zinc/86/11/98/870861198.db2.gz FQVOYCJVGVVVMZ-UHFFFAOYSA-N -1 1 314.275 1.177 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CC=C(C(F)(F)F)CC1 ZINC001340047573 870861212 /nfs/dbraw/zinc/86/12/12/870861212.db2.gz FQVOYCJVGVVVMZ-UHFFFAOYSA-N -1 1 314.275 1.177 20 0 DDADMM CSc1nc(CNC(=O)c2ccnc3[nH]cnc32)cc(=O)[n-]1 ZINC001299998231 870950916 /nfs/dbraw/zinc/95/09/16/870950916.db2.gz DEVOOKMNDZQGRM-UHFFFAOYSA-N -1 1 316.346 1.105 20 0 DDADMM Cc1cc(=O)oc2cc([O-])cc(O[C@H]3CO[C@H]4OCC[C@@H]34)c12 ZINC001226485502 882462079 /nfs/dbraw/zinc/46/20/79/882462079.db2.gz MGKBFOACXLUVDB-YNZJMPHRSA-N -1 1 304.298 1.947 20 0 DDADMM CC[C@@H](C)C(=O)N(C)[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001381692110 882493416 /nfs/dbraw/zinc/49/34/16/882493416.db2.gz UCCWRMIKAQTJNJ-DGCLKSJQSA-N -1 1 319.405 1.800 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1nc2c(cccc2Cl)[nH]1 ZINC001306840869 871363111 /nfs/dbraw/zinc/36/31/11/871363111.db2.gz KMVKGKMINIQQCR-UHFFFAOYSA-N -1 1 305.729 1.691 20 0 DDADMM CS(=O)(=O)c1cc(-c2ccc(-c3nnn[n-]3)nc2)ccc1F ZINC001205285755 871483164 /nfs/dbraw/zinc/48/31/64/871483164.db2.gz IIHXBSBXXVOUGV-UHFFFAOYSA-N -1 1 319.321 1.471 20 0 DDADMM CS(=O)(=O)c1cc(-c2ccc(-c3nn[n-]n3)nc2)ccc1F ZINC001205285755 871483172 /nfs/dbraw/zinc/48/31/72/871483172.db2.gz IIHXBSBXXVOUGV-UHFFFAOYSA-N -1 1 319.321 1.471 20 0 DDADMM Cc1ccc(OS(=O)(=O)c2c[n-]nc2Cl)c(C=O)n1 ZINC001309167495 871555528 /nfs/dbraw/zinc/55/55/28/871555528.db2.gz YOFJOLRUDXDCIC-UHFFFAOYSA-N -1 1 301.711 1.347 20 0 DDADMM C[C@H](C1CC1)N(C)c1nnc(-c2nnn[n-]2)n1Cc1ccccc1 ZINC001341435104 871657547 /nfs/dbraw/zinc/65/75/47/871657547.db2.gz REBNOBHRQBGLAM-LLVKDONJSA-N -1 1 324.392 1.741 20 0 DDADMM C[C@H](C1CC1)N(C)c1nnc(-c2nn[n-]n2)n1Cc1ccccc1 ZINC001341435104 871657564 /nfs/dbraw/zinc/65/75/64/871657564.db2.gz REBNOBHRQBGLAM-LLVKDONJSA-N -1 1 324.392 1.741 20 0 DDADMM Cc1ccnc(CNC[C@H](C)N(C)C(=O)c2ncccc2[O-])n1 ZINC001374215143 912215179 /nfs/dbraw/zinc/21/51/79/912215179.db2.gz RONKSEJICYNNCU-LBPRGKRZSA-N -1 1 315.377 1.136 20 0 DDADMM CC(C)c1n[nH]c(NC(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)n1 ZINC001318503393 872118005 /nfs/dbraw/zinc/11/80/05/872118005.db2.gz CPACWKKZGTXJGY-UHFFFAOYSA-N -1 1 314.305 1.524 20 0 DDADMM CC(C)c1nc(NC(=O)c2ccc(-c3nc(=O)o[n-]3)cc2)n[nH]1 ZINC001318503393 872118016 /nfs/dbraw/zinc/11/80/16/872118016.db2.gz CPACWKKZGTXJGY-UHFFFAOYSA-N -1 1 314.305 1.524 20 0 DDADMM O=c1[nH][n-]cc1-c1nnc(N2CC=CCC2)n1C[C@H]1CCCO1 ZINC001344007730 872833205 /nfs/dbraw/zinc/83/32/05/872833205.db2.gz NAMRFMPATYMRMR-LLVKDONJSA-N -1 1 316.365 1.319 20 0 DDADMM COc1ccc2c(c1)OCC[C@@H]2NC(=O)CCCc1nn[n-]n1 ZINC001361953604 882702652 /nfs/dbraw/zinc/70/26/52/882702652.db2.gz ZNRVTCQBDJEMTI-LBPRGKRZSA-N -1 1 317.349 1.171 20 0 DDADMM COc1ccc2c(c1)OCC[C@H]2NC(=O)CCCc1nn[n-]n1 ZINC001361953602 882703340 /nfs/dbraw/zinc/70/33/40/882703340.db2.gz ZNRVTCQBDJEMTI-GFCCVEGCSA-N -1 1 317.349 1.171 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCNC(=O)[C@H]1c1ccccc1 ZINC001361991653 882775293 /nfs/dbraw/zinc/77/52/93/882775293.db2.gz CGJQPUBQCBHEMF-OAHLLOKOSA-N -1 1 314.316 1.845 20 0 DDADMM CC(C)(C)OC(=O)N1C[C@H]2C[C@@H]1C[C@@H]2Oc1cnc[n-]c1=O ZINC001227048860 882793721 /nfs/dbraw/zinc/79/37/21/882793721.db2.gz MIPKHZDYFJAQHY-MXWKQRLJSA-N -1 1 307.350 1.959 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCOC1CCCCC1 ZINC001347373598 874114930 /nfs/dbraw/zinc/11/49/30/874114930.db2.gz FUQPGLVRKMIIRD-UHFFFAOYSA-N -1 1 311.407 1.858 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@H](Oc2cnc[n-]c2=O)CC(=O)C1 ZINC001227049908 882795812 /nfs/dbraw/zinc/79/58/12/882795812.db2.gz XWMZCRYYFUJMAN-NSHDSACASA-N -1 1 323.349 1.530 20 0 DDADMM COc1cc(OC)cc(C2(C(=O)Nc3nnn[n-]3)CCCC2)c1 ZINC001362004678 882803081 /nfs/dbraw/zinc/80/30/81/882803081.db2.gz IRVSKFJBXWODLF-UHFFFAOYSA-N -1 1 317.349 1.667 20 0 DDADMM COc1cc(OC)cc(C2(C(=O)Nc3nn[n-]n3)CCCC2)c1 ZINC001362004678 882803103 /nfs/dbraw/zinc/80/31/03/882803103.db2.gz IRVSKFJBXWODLF-UHFFFAOYSA-N -1 1 317.349 1.667 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)Cc1ccc(C(=O)[O-])cc1 ZINC001347587130 874203020 /nfs/dbraw/zinc/20/30/20/874203020.db2.gz LILNMWYINPSLMA-UHFFFAOYSA-N -1 1 301.346 1.708 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)C=Cc2nccs2)[n-]c1=O ZINC001347883818 874299154 /nfs/dbraw/zinc/29/91/54/874299154.db2.gz JIZGHJUTIVPYFA-PLNGDYQASA-N -1 1 320.374 1.712 20 0 DDADMM NS(=O)(=O)c1ccc(NC(=O)c2cccc([O-])c2F)cc1 ZINC001362014912 882827744 /nfs/dbraw/zinc/82/77/44/882827744.db2.gz OJSZBIPSWZJYRH-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM O=C(CCC1CC1)NCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001348669156 874702884 /nfs/dbraw/zinc/70/28/84/874702884.db2.gz CJIHHMGRLPZVFA-UHFFFAOYSA-N -1 1 318.377 1.096 20 0 DDADMM CC(=O)Nc1ccc(C)cc1NC(=O)CCCc1nn[n-]n1 ZINC001362028506 882867848 /nfs/dbraw/zinc/86/78/48/882867848.db2.gz HCJLWOCXGLLBST-UHFFFAOYSA-N -1 1 302.338 1.428 20 0 DDADMM Cc1cnn(-c2ccc(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)cc2)c1 ZINC001349002286 874917233 /nfs/dbraw/zinc/91/72/33/874917233.db2.gz LNLIBGDWFUJRHN-CYBMUJFWSA-N -1 1 323.360 1.324 20 0 DDADMM Cc1cnn(-c2ccc(C(=O)N3CC[C@H](c4nn[n-]n4)C3)cc2)c1 ZINC001349002288 874918829 /nfs/dbraw/zinc/91/88/29/874918829.db2.gz LNLIBGDWFUJRHN-ZDUSSCGKSA-N -1 1 323.360 1.324 20 0 DDADMM Nc1cncc(S(=O)(=O)Nc2cc(F)cc(C(=O)[O-])c2)c1 ZINC001209888178 875156432 /nfs/dbraw/zinc/15/64/32/875156432.db2.gz NVPWGLCZNNKDIX-UHFFFAOYSA-N -1 1 311.294 1.302 20 0 DDADMM COc1ncc([N-]S(=O)(=O)c2ccc(F)cc2N)cc1C ZINC001210316881 875327242 /nfs/dbraw/zinc/32/72/42/875327242.db2.gz NJJIZIVHYVZCBP-UHFFFAOYSA-N -1 1 311.338 1.921 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H](C2CCCC2)C1 ZINC001349857934 875416180 /nfs/dbraw/zinc/41/61/80/875416180.db2.gz PMBNPTMLNLRIFZ-AWEZNQCLSA-N -1 1 319.405 1.356 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CCSCC(C)C ZINC001211421842 875798649 /nfs/dbraw/zinc/79/86/49/875798649.db2.gz HVCULGFKMQLQJG-UHFFFAOYSA-N -1 1 310.466 1.819 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3cccc(OC)c3)no2)[n-]n1 ZINC001213461126 875944056 /nfs/dbraw/zinc/94/40/56/875944056.db2.gz FTFYLBRZNBENBM-UHFFFAOYSA-N -1 1 300.274 1.922 20 0 DDADMM Cn1nc(C2CCCCC2)cc1NC(=O)CCc1nn[n-]n1 ZINC001362080056 882985516 /nfs/dbraw/zinc/98/55/16/882985516.db2.gz FZUAVAONBHBOMK-UHFFFAOYSA-N -1 1 303.370 1.552 20 0 DDADMM CN(c1nnc(-c2cnc(-c3cccnc3)[n-]c2=O)n1C)C1CC1 ZINC001351051183 876036515 /nfs/dbraw/zinc/03/65/15/876036515.db2.gz RDFLJKDDXMFQQJ-UHFFFAOYSA-N -1 1 323.360 1.638 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1cc(F)c(CO)c(F)c1 ZINC001214026874 876138531 /nfs/dbraw/zinc/13/85/31/876138531.db2.gz RNMBBNVKXSKFLV-UHFFFAOYSA-N -1 1 300.290 1.567 20 0 DDADMM O=C(CNC(=O)c1ccc(F)c([O-])c1)NCCc1ccccc1 ZINC001362088170 883006216 /nfs/dbraw/zinc/00/62/16/883006216.db2.gz KKRMCZDZAHYUFE-UHFFFAOYSA-N -1 1 316.332 1.620 20 0 DDADMM CC(C)Oc1cncc(COc2nc3c([n-]2)[nH]c(=O)[nH]c3=O)c1 ZINC001227432297 883016783 /nfs/dbraw/zinc/01/67/83/883016783.db2.gz KXECJGDLCCAPEF-UHFFFAOYSA-N -1 1 317.305 1.525 20 0 DDADMM Nc1cncc(S(=O)(=O)[N-]c2ccc(F)c(CO)c2F)c1 ZINC001215651206 876777548 /nfs/dbraw/zinc/77/75/48/876777548.db2.gz SWDMAQDKWKSWML-UHFFFAOYSA-N -1 1 315.301 1.235 20 0 DDADMM COc1ncc(Nc2cnc(C)nc2C)cc1[N-]S(C)(=O)=O ZINC001216152466 876880256 /nfs/dbraw/zinc/88/02/56/876880256.db2.gz TVKBKMSBZHNKOW-UHFFFAOYSA-N -1 1 323.378 1.612 20 0 DDADMM COc1ncc(N(C)c2cccc(N)c2)cc1[N-]S(C)(=O)=O ZINC001216158239 876881307 /nfs/dbraw/zinc/88/13/07/876881307.db2.gz RKNVURGEYNSOBL-UHFFFAOYSA-N -1 1 322.390 1.812 20 0 DDADMM Cc1nn(C2CCOCC2)c(C)c1C(=O)Nc1c[n-][nH]c1=O ZINC001413850007 876886722 /nfs/dbraw/zinc/88/67/22/876886722.db2.gz WIHNFXLQGVXKLN-UHFFFAOYSA-N -1 1 305.338 1.532 20 0 DDADMM CCN(CCNC(=O)c1cnncc1O)C(=O)OC(C)(C)C ZINC001362116713 883080351 /nfs/dbraw/zinc/08/03/51/883080351.db2.gz WZQFJEMHRUPCRB-UHFFFAOYSA-N -1 1 310.354 1.169 20 0 DDADMM CC(C)(C)OC(=O)N1CC2(CC(Oc3nc(=O)[nH]c(=O)[n-]3)C2)C1 ZINC001227569217 883085369 /nfs/dbraw/zinc/08/53/69/883085369.db2.gz VPHVLHPAMUNJKR-UHFFFAOYSA-N -1 1 324.337 1.061 20 0 DDADMM Cn1nccc1C[C@@H]1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC001353334178 877262010 /nfs/dbraw/zinc/26/20/10/877262010.db2.gz IEQWZAOVVCHQQQ-AWEZNQCLSA-N -1 1 313.357 1.542 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)CCC1(C)CC1 ZINC001395560750 912280292 /nfs/dbraw/zinc/28/02/92/912280292.db2.gz MDHOXPSECJSDTK-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CC1=CCCN(c2nnc(-c3nnn[n-]3)n2Cc2ccccc2)C1 ZINC001354357436 877915316 /nfs/dbraw/zinc/91/53/16/877915316.db2.gz HVJWLFZVOAYTQS-UHFFFAOYSA-N -1 1 322.376 1.663 20 0 DDADMM CC1=CCCN(c2nnc(-c3nn[n-]n3)n2Cc2ccccc2)C1 ZINC001354357436 877915323 /nfs/dbraw/zinc/91/53/23/877915323.db2.gz HVJWLFZVOAYTQS-UHFFFAOYSA-N -1 1 322.376 1.663 20 0 DDADMM O=C([N-]c1nc2cnccn2n1)c1scc2c1OCCO2 ZINC001287864586 912517568 /nfs/dbraw/zinc/51/75/68/912517568.db2.gz WGCGTUDCPIEUAI-UHFFFAOYSA-N -1 1 303.303 1.209 20 0 DDADMM C[C@H](CNC(=O)C1CC(C)C1)N(C)C(=O)c1ncccc1[O-] ZINC001380674183 880164332 /nfs/dbraw/zinc/16/43/32/880164332.db2.gz ZBLDBWHJIDHLMK-MOENNCHZSA-N -1 1 305.378 1.410 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1ccc[nH]1 ZINC001380692150 880203564 /nfs/dbraw/zinc/20/35/64/880203564.db2.gz OVEHUGKANFAIAC-SNVBAGLBSA-N -1 1 302.334 1.006 20 0 DDADMM CC(C)(C)OC(=O)N1CCC(F)(F)[C@@H](OCc2nn[n-]n2)C1 ZINC001223026741 880788536 /nfs/dbraw/zinc/78/85/36/880788536.db2.gz HMTFVHMFOVYAOK-QMMMGPOBSA-N -1 1 319.312 1.361 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@@H](F)[C@H](OCc2nn[n-]n2)C1 ZINC001223029696 880790816 /nfs/dbraw/zinc/79/08/16/880790816.db2.gz OIMXAZGKBXONNE-NXEZZACHSA-N -1 1 315.349 1.454 20 0 DDADMM O=C(NCC[C@H]1CCCCN1C(=O)c1ncccc1[O-])C1CC1 ZINC001416544271 881228141 /nfs/dbraw/zinc/22/81/41/881228141.db2.gz YBRVGZXHVKNPIO-CYBMUJFWSA-N -1 1 317.389 1.698 20 0 DDADMM CCO[C@@H]1[C@H](C)[C@H]1C(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001362296962 883507773 /nfs/dbraw/zinc/50/77/73/883507773.db2.gz LLXDPUSOCCMQTK-OWYVNGRQSA-N -1 1 317.349 1.388 20 0 DDADMM CCOC(=O)c1cc(NC(=O)c2ccc(CO)cc2OC)n[nH]1 ZINC001362313046 883541938 /nfs/dbraw/zinc/54/19/38/883541938.db2.gz VRXLGRABDVSLDI-UHFFFAOYSA-N -1 1 319.317 1.340 20 0 DDADMM C[C@H](CN(C)C(=O)C12CCC(CC1)CC2)NCc1n[nH]c(=O)[n-]1 ZINC001382164764 883555982 /nfs/dbraw/zinc/55/59/82/883555982.db2.gz AIXIQKMGYVXWRJ-OPGSHWCFSA-N -1 1 321.425 1.417 20 0 DDADMM C[C@H]1CCN(C(=O)c2ccc(F)c([O-])c2)CCS1(=O)=O ZINC001362348352 883619360 /nfs/dbraw/zinc/61/93/60/883619360.db2.gz HUHWLVKBAOIRAR-VIFPVBQESA-N -1 1 301.339 1.181 20 0 DDADMM C[C@@H]1C[C@H]1N1C[C@@H](NC(=O)c2c(F)ccc([O-])c2F)CC1=O ZINC001362356342 883634844 /nfs/dbraw/zinc/63/48/44/883634844.db2.gz HZMKHTMMOZMCRS-KHQFGBGNSA-N -1 1 310.300 1.410 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCNC(=O)[C@@H]1c1cccs1 ZINC001362379909 883679422 /nfs/dbraw/zinc/67/94/22/883679422.db2.gz SPQWDYNCCKTKSA-ZDUSSCGKSA-N -1 1 320.345 1.906 20 0 DDADMM COc1cc2ccc(=O)oc2c(OC[C@H]2CN(C)CCO2)c1[O-] ZINC001229214044 883874775 /nfs/dbraw/zinc/87/47/75/883874775.db2.gz AEBMTRDARGDDEH-LLVKDONJSA-N -1 1 321.329 1.217 20 0 DDADMM C[C@H](NC(=O)c1cnncc1[O-])c1ccc([S@](C)=O)cc1 ZINC001362481461 883906633 /nfs/dbraw/zinc/90/66/33/883906633.db2.gz HKRFWHPPKMLRHP-FPVGNUTFSA-N -1 1 305.359 1.411 20 0 DDADMM C[C@H](CN(C)C(=O)c1cccc(C(F)(F)F)n1)c1nn[n-]n1 ZINC001362499304 883949460 /nfs/dbraw/zinc/94/94/60/883949460.db2.gz MXOJUKPIEOEDNG-SSDOTTSWSA-N -1 1 314.271 1.489 20 0 DDADMM CCC(=O)c1ccc([O-])cc1OC(COC(C)=O)COC(C)=O ZINC001229475023 884004592 /nfs/dbraw/zinc/00/45/92/884004592.db2.gz PMCNUQBTQCPXGT-UHFFFAOYSA-N -1 1 324.329 1.859 20 0 DDADMM CCOC(=O)CC[C@H]1CCCN(C(=O)CCCc2nn[n-]n2)C1 ZINC001362526266 884006388 /nfs/dbraw/zinc/00/63/88/884006388.db2.gz UMDIVRYLTTZLPO-GFCCVEGCSA-N -1 1 323.397 1.104 20 0 DDADMM COC(=O)c1ccc(NC(=O)c2c([O-])cccc2OC)cn1 ZINC001362543467 884052320 /nfs/dbraw/zinc/05/23/20/884052320.db2.gz VAICWWWENWVWLR-UHFFFAOYSA-N -1 1 302.286 1.835 20 0 DDADMM O=C(c1ccc(C(F)(F)F)c([O-])c1)N1CC[C@@H](O)[C@H](CO)C1 ZINC001362592049 884170607 /nfs/dbraw/zinc/17/06/07/884170607.db2.gz QSWVGRLZFSNGAK-GXSJLCMTSA-N -1 1 319.279 1.226 20 0 DDADMM CCC(CC)(NC(=O)c1cc2c(cc1O)OCO2)c1nn[n-]n1 ZINC001362622608 884246870 /nfs/dbraw/zinc/24/68/70/884246870.db2.gz BZFGSTIYIGAXHJ-UHFFFAOYSA-N -1 1 319.321 1.079 20 0 DDADMM CCC(CC)(NC(=O)CCc1nc(C(C)C)no1)c1nn[n-]n1 ZINC001362633827 884278533 /nfs/dbraw/zinc/27/85/33/884278533.db2.gz UTADAGGABBVUBN-UHFFFAOYSA-N -1 1 321.385 1.470 20 0 DDADMM COc1c(=O)[n-]c(C)nc1OC[C@@H]1COc2cscc2O1 ZINC001230027736 884285765 /nfs/dbraw/zinc/28/57/65/884285765.db2.gz ZGCXMUCSYCMAQM-QMMMGPOBSA-N -1 1 310.331 1.780 20 0 DDADMM O=C(CCN1CC[C@@H](F)C1)Nc1cccc(-c2n[nH]c(=O)[n-]2)c1 ZINC001362637190 884286905 /nfs/dbraw/zinc/28/69/05/884286905.db2.gz FESPQYWGSLHZQI-LLVKDONJSA-N -1 1 319.340 1.550 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@]1(N(C)C)COc2ccccc2C1 ZINC001362659761 884346364 /nfs/dbraw/zinc/34/63/64/884346364.db2.gz VOAHGJUSCNRIQA-MRXNPFEDSA-N -1 1 316.361 1.298 20 0 DDADMM Cc1csc(CCCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)n1 ZINC001362661146 884349082 /nfs/dbraw/zinc/34/90/82/884349082.db2.gz FADMBRHXTXUGQL-NSHDSACASA-N -1 1 320.422 1.694 20 0 DDADMM COC(=O)c1c[n-]c(O[C@@H](C(=O)OC)c2ccccc2F)n1 ZINC001230282122 884407982 /nfs/dbraw/zinc/40/79/82/884407982.db2.gz PLJLFYNVSIKHMP-LLVKDONJSA-N -1 1 308.265 1.629 20 0 DDADMM COC(=O)c1ccccc1CNC(=O)c1ncc(C)cc1[O-] ZINC001362696302 884433816 /nfs/dbraw/zinc/43/38/16/884433816.db2.gz QYWVNMIRBCGATG-UHFFFAOYSA-N -1 1 300.314 1.812 20 0 DDADMM O=C(CCc1nn[n-]n1)Nc1ccn(C2CCSCC2)n1 ZINC001362753257 884556646 /nfs/dbraw/zinc/55/66/46/884556646.db2.gz CACDNSVSURAAHX-UHFFFAOYSA-N -1 1 307.383 1.036 20 0 DDADMM CCC(CC)(NC(=O)CNC(=O)C1CCCCC1)c1nn[n-]n1 ZINC001362851743 884797990 /nfs/dbraw/zinc/79/79/90/884797990.db2.gz DAXTZDISBOULQE-UHFFFAOYSA-N -1 1 322.413 1.028 20 0 DDADMM COC(=O)COc1ccc(N(C)C(=O)c2cnncc2[O-])cc1 ZINC001362886703 884888633 /nfs/dbraw/zinc/88/86/33/884888633.db2.gz JPISEJPQHMMCTA-UHFFFAOYSA-N -1 1 317.301 1.011 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CCN2C[C@@H](F)C[C@H]2C1 ZINC001362915642 884976791 /nfs/dbraw/zinc/97/67/91/884976791.db2.gz YKSIVTACEQWVKT-STQMWFEESA-N -1 1 315.348 1.809 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](C)[C@@H](C)COC)n[n-]1 ZINC001362934576 885022755 /nfs/dbraw/zinc/02/27/55/885022755.db2.gz KDYYADPIRVBEJR-AEJSXWLSSA-N -1 1 312.370 1.077 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](C)[C@@H](C)COC)[n-]1 ZINC001362934576 885022769 /nfs/dbraw/zinc/02/27/69/885022769.db2.gz KDYYADPIRVBEJR-AEJSXWLSSA-N -1 1 312.370 1.077 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](C)[C@@H](C)COC)n1 ZINC001362934576 885022781 /nfs/dbraw/zinc/02/27/81/885022781.db2.gz KDYYADPIRVBEJR-AEJSXWLSSA-N -1 1 312.370 1.077 20 0 DDADMM O=C(c1cccc([O-])c1F)N1CCC2(CC1)C[C@H](O)CCO2 ZINC001362953173 885070358 /nfs/dbraw/zinc/07/03/58/885070358.db2.gz GAUHFTNNXFTFNU-LLVKDONJSA-N -1 1 309.337 1.677 20 0 DDADMM CCC[C@H](O)CC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001362972697 885119388 /nfs/dbraw/zinc/11/93/88/885119388.db2.gz UCAWMXRJQBTOIV-DCAQKATOSA-N -1 1 324.343 1.596 20 0 DDADMM Cc1n[nH]c(=O)c(C(=O)Nc2cc(C(=O)OC(C)C)[nH]n2)c1C ZINC001362998223 885186875 /nfs/dbraw/zinc/18/68/75/885186875.db2.gz ZPMMPILUBHYIIY-UHFFFAOYSA-N -1 1 319.321 1.340 20 0 DDADMM Nc1nnc([C@@H]2CCCN(C(=O)c3ccc(F)c([O-])c3)C2)o1 ZINC001363035606 885287176 /nfs/dbraw/zinc/28/71/76/885287176.db2.gz DNWGEOMYGKVTHQ-SECBINFHSA-N -1 1 306.297 1.516 20 0 DDADMM CSCC[C@H](NC(=O)c1ccco1)C(=O)Nc1c[n-][nH]c1=O ZINC001363129998 885538075 /nfs/dbraw/zinc/53/80/75/885538075.db2.gz UMXPXZPZEOANPV-QMMMGPOBSA-N -1 1 324.362 1.199 20 0 DDADMM COCCCN1CCN(Cc2cc(C(=O)[O-])ccc2F)CC1 ZINC001231459354 885679225 /nfs/dbraw/zinc/67/92/25/885679225.db2.gz ZQLNTVWRIBCVJC-UHFFFAOYSA-N -1 1 310.369 1.678 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cccc3c2N(C)C(=O)CO3)c1[O-] ZINC001363242304 885801553 /nfs/dbraw/zinc/80/15/53/885801553.db2.gz BFWBIPJEYYDAAL-UHFFFAOYSA-N -1 1 302.290 1.031 20 0 DDADMM CC(C)CCn1cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)nn1 ZINC001363275196 885883177 /nfs/dbraw/zinc/88/31/77/885883177.db2.gz DKFIKQLGINGPBG-UHFFFAOYSA-N -1 1 320.401 1.136 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H](O)C1CCCCCCC1 ZINC001363277254 885889515 /nfs/dbraw/zinc/88/95/15/885889515.db2.gz SQEGQGRYANTBCS-OAHLLOKOSA-N -1 1 323.441 1.906 20 0 DDADMM COc1cc(C(=O)N(Cc2nn[n-]n2)CC(C)C)ccc1O ZINC001363278199 885892187 /nfs/dbraw/zinc/89/21/87/885892187.db2.gz YOYPHLNHHDNCAC-UHFFFAOYSA-N -1 1 305.338 1.212 20 0 DDADMM O=C(COCc1cc[nH]c(=O)c1)NCc1ccc([O-])c(Cl)c1 ZINC001363310008 885981744 /nfs/dbraw/zinc/98/17/44/885981744.db2.gz CVEZGTCRCQIUMI-UHFFFAOYSA-N -1 1 322.748 1.979 20 0 DDADMM COc1cc(C(=O)NC2(c3nn[n-]n3)CCC2)ccc1Cl ZINC001363376591 886173266 /nfs/dbraw/zinc/17/32/66/886173266.db2.gz YCQPOOWYUJRLMM-UHFFFAOYSA-N -1 1 307.741 1.671 20 0 DDADMM O=C(N[C@H]1CCN(c2ccccc2F)C1)c1ccc([O-])cn1 ZINC001363379306 886176700 /nfs/dbraw/zinc/17/67/00/886176700.db2.gz JWNFGLXXRVHISY-NSHDSACASA-N -1 1 301.321 1.935 20 0 DDADMM CCc1noc(C)c1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363403079 886238431 /nfs/dbraw/zinc/23/84/31/886238431.db2.gz XDIYTEZRFLREHU-JTQLQIEISA-N -1 1 304.354 1.153 20 0 DDADMM COC[C@H](NC(=O)CC1CC(c2ccccc2)C1)c1nn[n-]n1 ZINC001363412405 886268453 /nfs/dbraw/zinc/26/84/53/886268453.db2.gz JIZXWNDPRHZCNW-UBHUBRDASA-N -1 1 315.377 1.587 20 0 DDADMM COC[C@H](NC(=O)CCc1ccc(C(C)C)cc1)c1nn[n-]n1 ZINC001363419072 886286328 /nfs/dbraw/zinc/28/63/28/886286328.db2.gz UZYAPQJBWRBTDB-AWEZNQCLSA-N -1 1 317.393 1.760 20 0 DDADMM COC[C@H](NC(=O)c1ccc(C)c(C)c1Cl)c1nn[n-]n1 ZINC001363428892 886315473 /nfs/dbraw/zinc/31/54/73/886315473.db2.gz BRLKULBFOSJASU-JTQLQIEISA-N -1 1 309.757 1.587 20 0 DDADMM Cc1cc(C(=O)N[C@H](C)CN(C)C(=O)c2ncccc2[O-])co1 ZINC001383704176 886361119 /nfs/dbraw/zinc/36/11/19/886361119.db2.gz QEXIIGITZDQUEH-SNVBAGLBSA-N -1 1 317.345 1.579 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H](CCF)C1 ZINC001363472822 886433180 /nfs/dbraw/zinc/43/31/80/886433180.db2.gz CDFMICJDAHXGQC-NSHDSACASA-N -1 1 309.341 1.115 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2sc(C)nc2C)n[n-]1 ZINC001363477097 886444005 /nfs/dbraw/zinc/44/40/05/886444005.db2.gz WLQUNPAFOAMGFH-SSDOTTSWSA-N -1 1 323.378 1.546 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2sc(C)nc2C)[n-]1 ZINC001363477097 886444013 /nfs/dbraw/zinc/44/40/13/886444013.db2.gz WLQUNPAFOAMGFH-SSDOTTSWSA-N -1 1 323.378 1.546 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2sc(C)nc2C)n1 ZINC001363477097 886444028 /nfs/dbraw/zinc/44/40/28/886444028.db2.gz WLQUNPAFOAMGFH-SSDOTTSWSA-N -1 1 323.378 1.546 20 0 DDADMM CC[C@](C)(CNC(=O)OC(C)(C)C)C(=O)N(C)c1nn[n-]n1 ZINC001363490902 886474052 /nfs/dbraw/zinc/47/40/52/886474052.db2.gz QSNZXEYCMPOXHI-CYBMUJFWSA-N -1 1 312.374 1.104 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)CC(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363526370 886553396 /nfs/dbraw/zinc/55/33/96/886553396.db2.gz SMVIBXKONFRTGV-KWQFWETISA-N -1 1 303.370 1.049 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cnc2n1CCCC2 ZINC001363545052 886597826 /nfs/dbraw/zinc/59/78/26/886597826.db2.gz NDPDEDFVQJEGKT-UHFFFAOYSA-N -1 1 303.370 1.031 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1ccc(N(C)C)cc1 ZINC001363544367 886598633 /nfs/dbraw/zinc/59/86/33/886598633.db2.gz LPAZGJLRJAWNNO-UHFFFAOYSA-N -1 1 302.382 1.564 20 0 DDADMM Cc1nc(C(C)C)sc1C(=O)CNC(=O)c1cnncc1[O-] ZINC001363552504 886621011 /nfs/dbraw/zinc/62/10/11/886621011.db2.gz RUTGBSAPNQDUPY-UHFFFAOYSA-N -1 1 320.374 1.683 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001383841694 886627488 /nfs/dbraw/zinc/62/74/88/886627488.db2.gz XRZBMHOHAKUVQJ-IJLUTSLNSA-N -1 1 305.378 1.410 20 0 DDADMM Cc1cc(N(C)C(=O)CNC(=O)c2ncccc2[O-])ccc1O ZINC001363562483 886640393 /nfs/dbraw/zinc/64/03/93/886640393.db2.gz RYBCWSLOOPQPHX-UHFFFAOYSA-N -1 1 315.329 1.194 20 0 DDADMM CCOC(=O)[C@@H]1COCCN1Cc1ccc(F)c([O-])c1F ZINC001232939161 886685457 /nfs/dbraw/zinc/68/54/57/886685457.db2.gz HCGUNEFIIILECX-NSHDSACASA-N -1 1 301.289 1.434 20 0 DDADMM COC[C@H](NC(=O)c1ccc(SC2CC2)cc1)c1nn[n-]n1 ZINC001363582697 886692578 /nfs/dbraw/zinc/69/25/78/886692578.db2.gz BUAZBEOXHOWYDG-LBPRGKRZSA-N -1 1 319.390 1.572 20 0 DDADMM Cc1oc(S(N)(=O)=O)cc1C(=O)[N-]c1cnoc1C(C)C ZINC001363586634 886699409 /nfs/dbraw/zinc/69/94/09/886699409.db2.gz OCXOVZGHKLAZIQ-UHFFFAOYSA-N -1 1 313.335 1.599 20 0 DDADMM Cc1noc([C@]23COC[C@H]2C[N@@H+](Cc2cccc(O)c2F)C3)n1 ZINC001233050940 886759293 /nfs/dbraw/zinc/75/92/93/886759293.db2.gz KFYAHOWAPQPGIL-MLGOLLRUSA-N -1 1 319.336 1.623 20 0 DDADMM CO[C@H]1CCC[C@H]1C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001363622661 886791735 /nfs/dbraw/zinc/79/17/35/886791735.db2.gz KRHSNSDVPPYERV-MNOVXSKESA-N -1 1 309.366 1.012 20 0 DDADMM O=C(Cn1ccccc1=O)NCCc1c(F)cc([O-])cc1F ZINC001363632677 886825123 /nfs/dbraw/zinc/82/51/23/886825123.db2.gz QHDZKHSKYXORFU-UHFFFAOYSA-N -1 1 308.284 1.191 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)c2cc(Cl)no2)[n-]c1=O ZINC001363634698 886827934 /nfs/dbraw/zinc/82/79/34/886827934.db2.gz QXIQWJDPXJBVQC-UHFFFAOYSA-N -1 1 312.713 1.497 20 0 DDADMM O=C(N[C@@H](CO)c1ncc[nH]1)c1ccc(C(F)(F)F)c([O-])c1 ZINC001363643379 886861094 /nfs/dbraw/zinc/86/10/94/886861094.db2.gz RGTRXOVHTUACPI-VIFPVBQESA-N -1 1 315.251 1.598 20 0 DDADMM CC[C@H](CO)C(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001363726118 887078578 /nfs/dbraw/zinc/07/85/78/887078578.db2.gz GXCOGWNABIXULB-SNVBAGLBSA-N -1 1 309.366 1.095 20 0 DDADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H](C)c1ccc(OC)nc1 ZINC001233759519 887289229 /nfs/dbraw/zinc/28/92/29/887289229.db2.gz PDCYRQHARIQTTP-QMMMGPOBSA-N -1 1 305.290 1.512 20 0 DDADMM CSc1ncc(C(=O)N2CCO[C@@](C)(C3CC3)C2)c(=O)[n-]1 ZINC001363938652 887577023 /nfs/dbraw/zinc/57/70/23/887577023.db2.gz PGXXGYSKKRMWCU-CQSZACIVSA-N -1 1 309.391 1.545 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@]2(C)CCCC[C@@H]2O)sn1 ZINC001363970473 887637936 /nfs/dbraw/zinc/63/79/36/887637936.db2.gz PAOODRSSJJKGQU-CABZTGNLSA-N -1 1 320.436 1.371 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(CC2CC2)CC2CC2)[n-]n1 ZINC001364004701 887706795 /nfs/dbraw/zinc/70/67/95/887706795.db2.gz CXBXRZAHHUYTGI-UHFFFAOYSA-N -1 1 313.379 1.007 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(CC2CC2)CC2CC2)n[n-]1 ZINC001364004701 887706809 /nfs/dbraw/zinc/70/68/09/887706809.db2.gz CXBXRZAHHUYTGI-UHFFFAOYSA-N -1 1 313.379 1.007 20 0 DDADMM COC[C@H](NC(=O)Cc1ccc(C2CCC2)cc1)c1nn[n-]n1 ZINC001364032547 887761206 /nfs/dbraw/zinc/76/12/06/887761206.db2.gz OBMJODBTDRIXRC-AWEZNQCLSA-N -1 1 315.377 1.514 20 0 DDADMM C[C@H]1[C@@H](O)CCN1C(=O)c1ccc(Br)c([O-])c1 ZINC001364082796 887872467 /nfs/dbraw/zinc/87/24/67/887872467.db2.gz CQZSIOFLHYBHGT-XVKPBYJWSA-N -1 1 300.152 1.750 20 0 DDADMM COC(=O)[C@H]1C[C@H](NC(=O)c2c([O-])cnc3c(F)cccc32)C1 ZINC001364121889 887967718 /nfs/dbraw/zinc/96/77/18/887967718.db2.gz DGSZTLNARPNORS-KYZUINATSA-N -1 1 318.304 1.761 20 0 DDADMM NC(=O)c1nc[n-]c(=O)c1OC[C@@H]1CCc2ccccc2O1 ZINC001234462516 887988736 /nfs/dbraw/zinc/98/87/36/887988736.db2.gz JPBGNNAZQZEIGJ-JTQLQIEISA-N -1 1 301.302 1.054 20 0 DDADMM Cc1nc(C(N)=O)c(O[C@H](c2cccnc2)C2CC2)c(=O)[n-]1 ZINC001234475522 888011076 /nfs/dbraw/zinc/01/10/76/888011076.db2.gz BLDCRRIOKKXYGC-LBPRGKRZSA-N -1 1 300.318 1.515 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)Cc2cccnc2)sn1 ZINC001364145745 888023242 /nfs/dbraw/zinc/02/32/42/888023242.db2.gz CQKJHPURUBHGSU-SECBINFHSA-N -1 1 313.404 1.456 20 0 DDADMM COC1=CC[C@H](Oc2c(C(N)=O)nc(C(C)C)[n-]c2=O)CC1 ZINC001234566094 888104535 /nfs/dbraw/zinc/10/45/35/888104535.db2.gz SIBDVHUAWFIPCC-JTQLQIEISA-N -1 1 307.350 1.866 20 0 DDADMM COC(=O)[C@@]1(C)C[C@@H](Oc2c(C(N)=O)nc(C(C)C)[n-]c2=O)C1 ZINC001234566083 888105546 /nfs/dbraw/zinc/10/55/46/888105546.db2.gz SEDSRDRMNHSWJG-HWJBBNHMSA-N -1 1 323.349 1.125 20 0 DDADMM COC(=O)c1cncc(NCc2cc(=O)[n-]c(SC)n2)n1 ZINC001364208455 888147934 /nfs/dbraw/zinc/14/79/34/888147934.db2.gz HPPGHBPPGUPUEU-UHFFFAOYSA-N -1 1 307.335 1.093 20 0 DDADMM CSc1nc(CNc2nc(N)nc(Cl)c2N)cc(=O)[n-]1 ZINC001364214492 888157814 /nfs/dbraw/zinc/15/78/14/888157814.db2.gz ZZGCSNBMSSYPST-UHFFFAOYSA-N -1 1 313.774 1.124 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)Nc2ccnn2C)[n-]c1=O ZINC001364332897 888402219 /nfs/dbraw/zinc/40/22/19/888402219.db2.gz GUTZPBIWDIZIDB-SECBINFHSA-N -1 1 318.337 1.293 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)c2cnns2)[n-]c1=O ZINC001364416303 888587341 /nfs/dbraw/zinc/58/73/41/888587341.db2.gz MZJIHYSTNAMAAC-ZETCQYMHSA-N -1 1 307.335 1.020 20 0 DDADMM CCc1nc(C(=O)N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)co1 ZINC001364416461 888587747 /nfs/dbraw/zinc/58/77/47/888587747.db2.gz PWGPNAWMZAEXFX-SNVBAGLBSA-N -1 1 318.333 1.719 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1Cc1cnn(C)c1 ZINC001364456288 888677350 /nfs/dbraw/zinc/67/73/50/888677350.db2.gz KTPDLTPFABWESU-ONGXEEELSA-N -1 1 304.316 1.452 20 0 DDADMM O=C(NCc1ccc(N2CCNC2=O)cc1)c1cncc([O-])c1 ZINC001364605353 889019291 /nfs/dbraw/zinc/01/92/91/889019291.db2.gz SBSWAXZGWNSMME-UHFFFAOYSA-N -1 1 312.329 1.247 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cnn2c1CCCC2 ZINC001364651881 889122634 /nfs/dbraw/zinc/12/26/34/889122634.db2.gz OYPJILBXOMOTME-UHFFFAOYSA-N -1 1 303.370 1.031 20 0 DDADMM NC(=O)Cc1occc1C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001364708685 889246420 /nfs/dbraw/zinc/24/64/20/889246420.db2.gz DRTGQCNJVVTUGS-UHFFFAOYSA-N -1 1 308.721 1.596 20 0 DDADMM O=S(=O)(C[C@@H]1CCCC1(F)F)[N-]Cc1nc(C2CC2)no1 ZINC001364765703 889365458 /nfs/dbraw/zinc/36/54/58/889365458.db2.gz GABJFNCQMWVKPM-VIFPVBQESA-N -1 1 321.349 1.802 20 0 DDADMM CCc1ccc([C@H](C)CC(=O)N[C@H](COC)c2nn[n-]n2)cc1 ZINC001364814203 889468986 /nfs/dbraw/zinc/46/89/86/889468986.db2.gz MQVRMCAAXRHRBQ-BXUZGUMPSA-N -1 1 317.393 1.760 20 0 DDADMM COC[C@@H](NC(=O)CCC(=O)c1ccc(C)s1)c1nn[n-]n1 ZINC001364814225 889470370 /nfs/dbraw/zinc/47/03/70/889470370.db2.gz MUGKMCNKPIIQDZ-SECBINFHSA-N -1 1 323.378 1.036 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NCc2ccnc(OC)c2F)n1 ZINC001364983433 889818160 /nfs/dbraw/zinc/81/81/60/889818160.db2.gz AKUOGZIIVLAGOU-QMMMGPOBSA-N -1 1 323.328 1.375 20 0 DDADMM O=C(NCC[C@@H]1CCCC[C@@H]1O)c1cnc(C2CC2)[n-]c1=O ZINC001365051383 889986470 /nfs/dbraw/zinc/98/64/70/889986470.db2.gz WFQWIZKASQRCJY-GWCFXTLKSA-N -1 1 305.378 1.731 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CCC2(CCNC(=O)O2)CC1 ZINC001365053571 889992959 /nfs/dbraw/zinc/99/29/59/889992959.db2.gz OLPPLDKKYPSEAS-UHFFFAOYSA-N -1 1 308.309 1.636 20 0 DDADMM COc1cc(S(=O)(=O)[N-]C[C@@H](C)C(=O)OC(C)C)sn1 ZINC001365143890 890189583 /nfs/dbraw/zinc/18/95/83/890189583.db2.gz QXDUWSWIYOVXSV-MRVPVSSYSA-N -1 1 322.408 1.018 20 0 DDADMM CC1(C)CC(=O)c2cc(C(=O)Nc3c[n-][nH]c3=O)c(=O)[nH]c2C1 ZINC001365209474 890356672 /nfs/dbraw/zinc/35/66/72/890356672.db2.gz MBDYYFXABVESOD-UHFFFAOYSA-N -1 1 316.317 1.623 20 0 DDADMM C[C@@H](Oc1ccc(Oc2cc(Cl)nc(=O)[n-]2)cc1)C(N)=O ZINC001365216204 890372686 /nfs/dbraw/zinc/37/26/86/890372686.db2.gz LPTGWLZPJGBQPL-SSDOTTSWSA-N -1 1 309.709 1.881 20 0 DDADMM COC(=O)c1nc2ccc(-c3cc(F)ncc3OC)nc2[n-]1 ZINC001239041230 890481416 /nfs/dbraw/zinc/48/14/16/890481416.db2.gz SENPPRRFWHDNSQ-UHFFFAOYSA-N -1 1 302.265 1.954 20 0 DDADMM Cc1cc2c(c(-c3ccc4c(c3)NC(=O)CO4)n1)C(=O)[N-]C2=O ZINC001239086455 890492718 /nfs/dbraw/zinc/49/27/18/890492718.db2.gz CWVHGCLBRZYMCD-UHFFFAOYSA-N -1 1 309.281 1.272 20 0 DDADMM COc1cc(S(=O)(=O)[N-][C@H](C)c2c(C)n[nH]c2C)sn1 ZINC001365417945 890767338 /nfs/dbraw/zinc/76/73/38/890767338.db2.gz YMBXTACIQRNNOS-MRVPVSSYSA-N -1 1 316.408 1.531 20 0 DDADMM CCCn1cc(C[N-]S(=O)(=O)c2c(F)cccc2F)nn1 ZINC001365455145 890825489 /nfs/dbraw/zinc/82/54/89/890825489.db2.gz BCCKMBKZNHJKJM-UHFFFAOYSA-N -1 1 316.333 1.445 20 0 DDADMM C[C@@H](CNC(=O)[C@H]1C[C@H]2C[C@H]2C1)NC(=O)c1ncccc1[O-] ZINC001386431252 890969436 /nfs/dbraw/zinc/96/94/36/890969436.db2.gz DBIMCFALVWETOX-NNYUYHANSA-N -1 1 303.362 1.068 20 0 DDADMM O=C1Cc2cc(-c3ccc(N4CCOCC4)nc3)ccc2C(=O)[N-]1 ZINC001240998521 891115789 /nfs/dbraw/zinc/11/57/89/891115789.db2.gz FTLGMSSKXMAVLZ-UHFFFAOYSA-N -1 1 323.352 1.398 20 0 DDADMM COC(=O)[C@@H](C[C@H]1CCCO1)NCc1ccc([O-])c(F)c1F ZINC001365614275 891162553 /nfs/dbraw/zinc/16/25/53/891162553.db2.gz VKTVKHOACNAKOH-GHMZBOCLSA-N -1 1 315.316 1.871 20 0 DDADMM COC(=O)[C@H](C[C@@H]1CCCO1)NCc1ccc([O-])c(F)c1F ZINC001365614277 891163608 /nfs/dbraw/zinc/16/36/08/891163608.db2.gz VKTVKHOACNAKOH-QWRGUYRKSA-N -1 1 315.316 1.871 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CCc1ccco1 ZINC001386744902 891615020 /nfs/dbraw/zinc/61/50/20/891615020.db2.gz SCOVVJSGPVCIPF-NSHDSACASA-N -1 1 317.345 1.248 20 0 DDADMM Cc1cc2c(c(-c3cc(C)c4c(c3)NC(=O)CO4)n1)C(=O)[N-]C2=O ZINC001244064850 891788245 /nfs/dbraw/zinc/78/82/45/891788245.db2.gz XVYLAYNOINXYMF-UHFFFAOYSA-N -1 1 323.308 1.580 20 0 DDADMM NS(=O)(=O)c1cccnc1-c1c(F)c([O-])ccc1Cl ZINC001244537812 891865440 /nfs/dbraw/zinc/86/54/40/891865440.db2.gz GNBPDHKQWUMSAK-UHFFFAOYSA-N -1 1 302.714 1.894 20 0 DDADMM CCOc1cc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)ncn1 ZINC001244793222 891926506 /nfs/dbraw/zinc/92/65/06/891926506.db2.gz OSDXRGARIRBMHD-UHFFFAOYSA-N -1 1 324.362 1.317 20 0 DDADMM COc1ncc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c(C)n1 ZINC001244793642 891928330 /nfs/dbraw/zinc/92/83/30/891928330.db2.gz JYRQRFXOOHMFQW-UHFFFAOYSA-N -1 1 324.362 1.236 20 0 DDADMM COc1ncc(-c2cnc(C)c(F)c2)cc1[N-]S(C)(=O)=O ZINC001244794763 891931619 /nfs/dbraw/zinc/93/16/19/891931619.db2.gz SPIZQNHQDRKSEW-UHFFFAOYSA-N -1 1 311.338 1.971 20 0 DDADMM COc1ccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c(C)n1 ZINC001244795108 891932592 /nfs/dbraw/zinc/93/25/92/891932592.db2.gz XEQZVENLKUZRGQ-UHFFFAOYSA-N -1 1 323.374 1.841 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CCC1(C)C ZINC001387181837 892562695 /nfs/dbraw/zinc/56/26/95/892562695.db2.gz SDAKIPKYADFHJN-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM O=C(CC1CCC1)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001387480179 893197177 /nfs/dbraw/zinc/19/71/77/893197177.db2.gz BOQWVEZZQBPAJV-UHFFFAOYSA-N -1 1 317.389 1.698 20 0 DDADMM CS(=O)(=O)CCn1cc(Nc2cc([O-])c(F)c(F)c2)cn1 ZINC001249679541 894101773 /nfs/dbraw/zinc/10/17/73/894101773.db2.gz LFZVYTKITCLEJJ-UHFFFAOYSA-N -1 1 317.317 1.655 20 0 DDADMM O=C(CCCC1CC1)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001387926988 894166351 /nfs/dbraw/zinc/16/63/51/894166351.db2.gz BOIZLJLUAAPYHA-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM O=S(=O)([N-][C@H](CO)C(F)(F)F)c1cccc2cccnc21 ZINC001251029189 894580259 /nfs/dbraw/zinc/58/02/59/894580259.db2.gz AKBAVQFBFVPBEV-SNVBAGLBSA-N -1 1 320.292 1.436 20 0 DDADMM COC(=O)c1cc2nc(-c3c[nH]c(=O)[nH]c3=O)[n-]c2cc1OC ZINC001251038490 894595984 /nfs/dbraw/zinc/59/59/84/894595984.db2.gz XWZDWCLYDAXOAH-UHFFFAOYSA-N -1 1 316.273 1.226 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccc(F)cc1)c1ccncc1 ZINC001251686272 894772753 /nfs/dbraw/zinc/77/27/53/894772753.db2.gz PJCKQWREHHFEHW-ZDUSSCGKSA-N -1 1 324.333 1.413 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)CCC1CC1 ZINC001388239895 894847396 /nfs/dbraw/zinc/84/73/96/894847396.db2.gz MKEYBHAQWZFUIR-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM CC(C)C[C@H](CNC(=O)C(F)F)NC(=O)c1ncccc1[O-] ZINC001388517739 895375867 /nfs/dbraw/zinc/37/58/67/895375867.db2.gz IVUWMAVSAHTNHJ-SECBINFHSA-N -1 1 315.320 1.313 20 0 DDADMM COC(=O)c1cc([N-]S(=O)(=O)c2ccccc2C)ccn1 ZINC001254506274 896463933 /nfs/dbraw/zinc/46/39/33/896463933.db2.gz KTADVTHVSRFIQQ-UHFFFAOYSA-N -1 1 306.343 1.399 20 0 DDADMM Cc1ccc(C(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])o1 ZINC001389137054 896516717 /nfs/dbraw/zinc/51/67/17/896516717.db2.gz KWWWBSJZHMPCQU-SECBINFHSA-N -1 1 303.318 1.237 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(F)CCCC1 ZINC001389213400 896686769 /nfs/dbraw/zinc/68/67/69/896686769.db2.gz DVJAQPGKRAARPJ-SNVBAGLBSA-N -1 1 309.341 1.304 20 0 DDADMM Cc1cnc(CN[C@H](CNC(=O)c2ncccc2[O-])C(C)C)o1 ZINC001367462779 896827598 /nfs/dbraw/zinc/82/75/98/896827598.db2.gz ICSCHBIOMPLKRN-GFCCVEGCSA-N -1 1 318.377 1.628 20 0 DDADMM Cc1ccccc1C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001389330871 896953450 /nfs/dbraw/zinc/95/34/50/896953450.db2.gz WYWLLUZGNWKQLZ-LBPRGKRZSA-N -1 1 313.357 1.644 20 0 DDADMM C[C@@H]1C[C@@H]([NH2+]CCP(=O)([O-])[O-])CCN1C(=O)OC(C)(C)C ZINC001256454798 897443803 /nfs/dbraw/zinc/44/38/03/897443803.db2.gz XCOFOXRXSCEEBT-MNOVXSKESA-N -1 1 322.342 1.542 20 0 DDADMM CC[C@H](C)[C@@H](C)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001389647376 897716329 /nfs/dbraw/zinc/71/63/29/897716329.db2.gz CEVNITVCFOAUOD-NWDGAFQWSA-N -1 1 319.405 1.658 20 0 DDADMM O=C1C[C@H]([N-]S(=O)(=O)c2cc(Cl)c(Cl)s2)CN1 ZINC001257520822 897874047 /nfs/dbraw/zinc/87/40/47/897874047.db2.gz NKZZNSVTVOHZSX-BYPYZUCNSA-N -1 1 315.203 1.222 20 0 DDADMM Cc1nnc(C[N-]S(=O)(=O)c2cccc(Cl)c2C)o1 ZINC001257652096 897902326 /nfs/dbraw/zinc/90/23/26/897902326.db2.gz ZNYHDRZRDAWYMP-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM CC/C(C)=C\C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC001299532040 898323239 /nfs/dbraw/zinc/32/32/39/898323239.db2.gz CWPZILJOTAEJHX-XSLBHYSZSA-N -1 1 317.389 1.864 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(N)=O ZINC001259044941 898439984 /nfs/dbraw/zinc/43/99/84/898439984.db2.gz JIHDJAFGWGHJLK-JTQLQIEISA-N -1 1 324.324 1.426 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2cc(CO)cc(OC)c2)c1 ZINC001259190470 898518497 /nfs/dbraw/zinc/51/84/97/898518497.db2.gz JBIZZYYIPVFBKN-UHFFFAOYSA-N -1 1 323.370 1.997 20 0 DDADMM CS[C@@H](C)CC(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001390085190 898653055 /nfs/dbraw/zinc/65/30/55/898653055.db2.gz YOEBIJIPHINFIA-JTQLQIEISA-N -1 1 323.418 1.212 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)c2cc(Cl)ccc2F)CC1 ZINC001259459774 898680111 /nfs/dbraw/zinc/68/01/11/898680111.db2.gz GAFXYAITZBAXNT-UHFFFAOYSA-N -1 1 321.757 1.853 20 0 DDADMM COc1cc(OC)c([N-]S(=O)(=O)C2CCOCC2)cc1F ZINC001259914205 898922373 /nfs/dbraw/zinc/92/23/73/898922373.db2.gz NVSQWSZTHNDLHP-UHFFFAOYSA-N -1 1 319.354 1.764 20 0 DDADMM CCOC(=O)Cc1ccc(C[N-]S(=O)(=O)C(F)F)cc1 ZINC001259959934 898981954 /nfs/dbraw/zinc/98/19/54/898981954.db2.gz GUFQORPHSMCKEB-UHFFFAOYSA-N -1 1 307.318 1.434 20 0 DDADMM COC(=O)CC[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260204428 899089211 /nfs/dbraw/zinc/08/92/11/899089211.db2.gz BGEIRRCOFACRIP-UHFFFAOYSA-N -1 1 313.709 1.460 20 0 DDADMM O=C([O-])c1ccc(S(=O)(=O)Nc2cccnc2CO)cc1 ZINC001260222230 899095919 /nfs/dbraw/zinc/09/59/19/899095919.db2.gz RTWFKYUXMSTRTK-UHFFFAOYSA-N -1 1 308.315 1.073 20 0 DDADMM CC(C)(CO)C[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260277545 899107593 /nfs/dbraw/zinc/10/75/93/899107593.db2.gz OSBZRRUSLREAHR-UHFFFAOYSA-N -1 1 313.753 1.915 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(F)(F)c2ccccn2)cn1C ZINC001260551971 899169806 /nfs/dbraw/zinc/16/98/06/899169806.db2.gz KBRYBLQSNXECED-UHFFFAOYSA-N -1 1 316.333 1.194 20 0 DDADMM Cc1sc(CCNCc2cn(C3CCC3)nn2)nc1C(=O)[O-] ZINC001262383603 900141833 /nfs/dbraw/zinc/14/18/33/900141833.db2.gz FAZHECYRGKIVBP-UHFFFAOYSA-N -1 1 321.406 1.799 20 0 DDADMM O=C(NCC[N-]C(=O)C(F)(F)F)NCc1ccc(F)cc1 ZINC000586429252 900636379 /nfs/dbraw/zinc/63/63/79/900636379.db2.gz SFECWVPEUICRSM-UHFFFAOYSA-N -1 1 307.247 1.303 20 0 DDADMM O=C(C=Cc1cncc(F)c1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001263911912 900814131 /nfs/dbraw/zinc/81/41/31/900814131.db2.gz WIZYKFMUUZXVCM-MVZIDQBPSA-N -1 1 316.340 1.228 20 0 DDADMM C[C@H](CC(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C)C1CC1 ZINC001369557225 901529011 /nfs/dbraw/zinc/52/90/11/901529011.db2.gz WAXANFDRPWWPSO-ZYHUDNBSSA-N -1 1 323.441 1.567 20 0 DDADMM CCCC(=O)N(CCC)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001370084318 902517782 /nfs/dbraw/zinc/51/77/82/902517782.db2.gz VXILWQMISSVQPB-UHFFFAOYSA-N -1 1 323.441 1.112 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@@H](C)CNCc2cscn2)c1[O-] ZINC001391889596 902826215 /nfs/dbraw/zinc/82/62/15/902826215.db2.gz BQSWHJVJTNCMSF-QMMMGPOBSA-N -1 1 309.395 1.036 20 0 DDADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cncs1 ZINC001370474261 903245224 /nfs/dbraw/zinc/24/52/24/903245224.db2.gz RYZKDIXBQXEFFU-SECBINFHSA-N -1 1 320.374 1.134 20 0 DDADMM O=C(NCCNC(=O)C12CCC(CC1)CC2)c1ncccc1[O-] ZINC001282983488 906398533 /nfs/dbraw/zinc/39/85/33/906398533.db2.gz KBHWQHDJXBCCSE-UHFFFAOYSA-N -1 1 317.389 1.604 20 0 DDADMM Cc1n[nH]c(C(=O)N[C@H](C)[C@H](C)NCc2c(C)noc2C)c1[O-] ZINC001393938824 908324821 /nfs/dbraw/zinc/32/48/21/908324821.db2.gz VPOGFDLOHNZSGU-JGVFFNPUSA-N -1 1 321.381 1.325 20 0 DDADMM CCCCCC(=O)NC[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001285590557 910835239 /nfs/dbraw/zinc/83/52/39/910835239.db2.gz MXDHVLPQYSCSNO-GFCCVEGCSA-N -1 1 307.394 1.944 20 0 DDADMM C[C@@H](CNC(=O)CCCC1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001395153373 911473563 /nfs/dbraw/zinc/47/35/63/911473563.db2.gz QKIIGRUDROBQET-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])c1ccsc1 ZINC001395453759 912022867 /nfs/dbraw/zinc/02/28/67/912022867.db2.gz TYLCUILOTNMULF-LLVKDONJSA-N -1 1 317.370 1.493 20 0 DDADMM CC(C)=CC(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001296391195 916375599 /nfs/dbraw/zinc/37/55/99/916375599.db2.gz FYNMUGGDDMUXED-LBPRGKRZSA-N -1 1 303.362 1.474 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNC(=O)[C@@H](C)C1CCC1 ZINC001375693424 916722519 /nfs/dbraw/zinc/72/25/19/916722519.db2.gz DQGFTDJSITWLAB-RYUDHWBXSA-N -1 1 319.405 1.706 20 0 DDADMM CC[C@@H](CC(F)(F)F)C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001376853375 919575828 /nfs/dbraw/zinc/57/58/28/919575828.db2.gz GQYZQHVYNANMFV-YUMQZZPRSA-N -1 1 323.319 1.083 20 0 DDADMM C[C@H]1CC[C@H](C[N@H+](CCNC(=O)c2ncccc2[O-])C2CC2)O1 ZINC001377528860 922237287 /nfs/dbraw/zinc/23/72/87/922237287.db2.gz YKNGCPDLOZYXPZ-GXTWGEPZSA-N -1 1 319.405 1.549 20 0 DDADMM CCC(C)(C)CC(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001377697057 922729662 /nfs/dbraw/zinc/72/96/62/922729662.db2.gz OAOSVNCKCLEWRC-LBPRGKRZSA-N -1 1 323.441 1.665 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)[C@@]3(C)CC=C(C)CC3)nc2n1 ZINC000622870240 365549995 /nfs/dbraw/zinc/54/99/95/365549995.db2.gz VJTDDODATOQOFO-HNNXBMFYSA-N -1 1 301.350 1.801 20 0 DDADMM CCc1oc(C(=O)Nc2nc3nc(CC)cc(=O)n3[n-]2)cc1C ZINC000622993998 365586544 /nfs/dbraw/zinc/58/65/44/365586544.db2.gz ASIXDTNRMHGPSX-UHFFFAOYSA-N -1 1 315.333 1.118 20 0 DDADMM CCc1cc(C)c(C(=O)Nc2nc3nc(CC)cc(=O)n3[n-]2)o1 ZINC000622994549 365586792 /nfs/dbraw/zinc/58/67/92/365586792.db2.gz UCMMYPFFGITFEH-UHFFFAOYSA-N -1 1 315.333 1.118 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@@H]1C[C@H]1c1ccc(OC(F)(F)F)cc1 ZINC000076963995 185061387 /nfs/dbraw/zinc/06/13/87/185061387.db2.gz GDAZKRBZWQOPKO-DTWKUNHWSA-N -1 1 313.239 1.841 20 0 DDADMM O=C(Nc1nn[n-]n1)[C@@H]1C[C@H]1c1ccc(OC(F)(F)F)cc1 ZINC000076963995 185061388 /nfs/dbraw/zinc/06/13/88/185061388.db2.gz GDAZKRBZWQOPKO-DTWKUNHWSA-N -1 1 313.239 1.841 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@]2(CNC(=O)C2)C1 ZINC000614187708 361743344 /nfs/dbraw/zinc/74/33/44/361743344.db2.gz BDNUAKAQHQVKEP-KRWDZBQOSA-N -1 1 311.341 1.293 20 0 DDADMM COC[C@H](CNc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1)OC ZINC000358099769 299076178 /nfs/dbraw/zinc/07/61/78/299076178.db2.gz DRXJSZSJGDJNEX-ZDUSSCGKSA-N -1 1 318.377 1.934 20 0 DDADMM CCS(=O)(=O)[N-]c1cc(N(C)C)c(Br)cn1 ZINC000451092177 231008577 /nfs/dbraw/zinc/00/85/77/231008577.db2.gz BOBMQENRVYSNHV-UHFFFAOYSA-N -1 1 308.201 1.672 20 0 DDADMM COC(=O)[C@@H](COC(C)C)N(C)C(=O)c1ncc(C)cc1[O-] ZINC000614631312 361954614 /nfs/dbraw/zinc/95/46/14/361954614.db2.gz BFPBIHAJLNEBTI-LLVKDONJSA-N -1 1 310.350 1.134 20 0 DDADMM COc1ccccc1C(=O)NCC(=O)Nc1c([O-])cccc1F ZINC000155495996 539209625 /nfs/dbraw/zinc/20/96/25/539209625.db2.gz WHHGXEHKWCFTGO-UHFFFAOYSA-N -1 1 318.304 1.908 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cnn(C(C)(C)C)c1 ZINC000164673666 539225693 /nfs/dbraw/zinc/22/56/93/539225693.db2.gz NEKWBTVDTBMNQK-UHFFFAOYSA-N -1 1 305.400 1.043 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCC3(CO)CCC3)cnc2n1 ZINC000182920669 539240431 /nfs/dbraw/zinc/24/04/31/539240431.db2.gz QQWFMKOHSQQZKV-UHFFFAOYSA-N -1 1 301.346 1.536 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCC3(CO)CCC3)c[n-]c2n1 ZINC000182920669 539240432 /nfs/dbraw/zinc/24/04/32/539240432.db2.gz QQWFMKOHSQQZKV-UHFFFAOYSA-N -1 1 301.346 1.536 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)C2CC2)c(Br)n1C ZINC000286592615 219071170 /nfs/dbraw/zinc/07/11/70/219071170.db2.gz SCGIQASMRNOPDQ-LURJTMIESA-N -1 1 322.228 1.568 20 0 DDADMM O=C(NCCc1ccc(CO)cc1)c1nc2ccccc2c(=O)[n-]1 ZINC000305788680 539293595 /nfs/dbraw/zinc/29/35/95/539293595.db2.gz SPBLCXLXCGCLGJ-UHFFFAOYSA-N -1 1 323.352 1.800 20 0 DDADMM O=C(CCc1nc(-c2ccc([O-])cc2F)no1)N1CCOCC1 ZINC000278188358 214012095 /nfs/dbraw/zinc/01/20/95/214012095.db2.gz OWLRERSQBBRCOT-UHFFFAOYSA-N -1 1 321.308 1.373 20 0 DDADMM CC[C@H](O)[C@H](CC)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000451973838 529711849 /nfs/dbraw/zinc/71/18/49/529711849.db2.gz HZRHUDZGVNCGGP-QWRGUYRKSA-N -1 1 311.325 1.932 20 0 DDADMM C[C@@H](CC(=O)[O-])CC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000579251577 422735450 /nfs/dbraw/zinc/73/54/50/422735450.db2.gz BHEODMIWPSRUCF-SECBINFHSA-N -1 1 320.324 1.728 20 0 DDADMM C[C@@H](CC(=O)[O-])CC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000579251577 422735452 /nfs/dbraw/zinc/73/54/52/422735452.db2.gz BHEODMIWPSRUCF-SECBINFHSA-N -1 1 320.324 1.728 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CCCN(C)c1ccccc1 ZINC000281234028 282334088 /nfs/dbraw/zinc/33/40/88/282334088.db2.gz SKSNJMVQFRLTQO-UHFFFAOYSA-N -1 1 324.406 1.370 20 0 DDADMM O=C(NCC1(O)CCCCCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000283172285 282339564 /nfs/dbraw/zinc/33/95/64/282339564.db2.gz UREHIFRJLFZXHR-UHFFFAOYSA-N -1 1 320.418 1.833 20 0 DDADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2c(F)cc(F)cc2F)[nH]1 ZINC000285527421 282344365 /nfs/dbraw/zinc/34/43/65/282344365.db2.gz ZRLBUGDWXAESTH-YFKPBYRVSA-N -1 1 320.296 1.570 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2csc(C(C)C)n2)n[n-]1 ZINC000615916886 362484237 /nfs/dbraw/zinc/48/42/37/362484237.db2.gz HEIHOSQVDGPSQP-UHFFFAOYSA-N -1 1 323.378 1.491 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2csc(C(C)C)n2)n1 ZINC000615916886 362484242 /nfs/dbraw/zinc/48/42/42/362484242.db2.gz HEIHOSQVDGPSQP-UHFFFAOYSA-N -1 1 323.378 1.491 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2[C@H](C=C(C)C)C2(C)C)n[n-]1 ZINC000615920411 362488409 /nfs/dbraw/zinc/48/84/09/362488409.db2.gz SOISKGNETAQPSO-JQWIXIFHSA-N -1 1 320.393 1.836 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2[C@H](C=C(C)C)C2(C)C)n1 ZINC000615920411 362488412 /nfs/dbraw/zinc/48/84/12/362488412.db2.gz SOISKGNETAQPSO-JQWIXIFHSA-N -1 1 320.393 1.836 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1C=CCCC1 ZINC000157691041 290731846 /nfs/dbraw/zinc/73/18/46/290731846.db2.gz PMYVNFFPYPGBSP-LBPRGKRZSA-N -1 1 300.362 1.407 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCO1)c1ccc(Br)o1 ZINC000330813471 232088374 /nfs/dbraw/zinc/08/83/74/232088374.db2.gz KYOJZUITIGVWED-ZETCQYMHSA-N -1 1 310.169 1.499 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCCOCC2)c1Br ZINC000616009228 362519059 /nfs/dbraw/zinc/51/90/59/362519059.db2.gz SNQHGELFISYXGG-MRVPVSSYSA-N -1 1 302.172 1.658 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@@H](O)C(F)(F)F)sc1Cl ZINC000235780335 201921683 /nfs/dbraw/zinc/92/16/83/201921683.db2.gz ZXLJWANZTQINLT-RXMQYKEDSA-N -1 1 323.745 1.911 20 0 DDADMM CC[C@H](C[C@H](C)CO)NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358379956 299137056 /nfs/dbraw/zinc/13/70/56/299137056.db2.gz FEBXUYXTWHHSKV-VHSXEESVSA-N -1 1 306.366 1.201 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccn(Cc2ccccc2)c1)c1nn[n-]n1 ZINC000358382874 299137851 /nfs/dbraw/zinc/13/78/51/299137851.db2.gz JACUUBXPSZWSKY-ZDUSSCGKSA-N -1 1 324.388 1.925 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1CCCC(F)(F)C1)c2=O ZINC000358402908 299143390 /nfs/dbraw/zinc/14/33/90/299143390.db2.gz VAONIYJTAZMDHZ-MRVPVSSYSA-N -1 1 310.304 1.982 20 0 DDADMM CCOC1CC(CCNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000287067149 219295517 /nfs/dbraw/zinc/29/55/17/219295517.db2.gz HDGRHXZDCIEIND-ZHZULCJRSA-N -1 1 317.393 1.669 20 0 DDADMM C[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc(Cl)cc1[O-] ZINC000172408615 198093228 /nfs/dbraw/zinc/09/32/28/198093228.db2.gz OUZSGZWDAYHWHF-QMMMGPOBSA-N -1 1 303.767 1.305 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@@H](C)[C@H]2C)o1 ZINC000042781422 352351914 /nfs/dbraw/zinc/35/19/14/352351914.db2.gz NTEKIFMONRFZKP-GMTAPVOTSA-N -1 1 314.407 1.742 20 0 DDADMM CC(C)CN1CCN(Cc2nc(=O)c3sccc3[n-]2)CC1 ZINC000049969648 352558888 /nfs/dbraw/zinc/55/88/88/352558888.db2.gz OSOVVFMUIDLHEP-UHFFFAOYSA-N -1 1 306.435 1.758 20 0 DDADMM O=C1NCCC[C@@H]1[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC000051157189 352580250 /nfs/dbraw/zinc/58/02/50/352580250.db2.gz ANPYZLYZTLJNPZ-VIFPVBQESA-N -1 1 323.201 1.550 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)[O-])CN1Cc1nc(N)c2ccccc2n1 ZINC000564966535 304031223 /nfs/dbraw/zinc/03/12/23/304031223.db2.gz WZUYLKVWFGLEBB-WDEREUQCSA-N -1 1 300.362 1.727 20 0 DDADMM O=C(Nc1ccncc1[O-])c1ccc(O[C@H]2CCOC2)cc1 ZINC000579313167 422743456 /nfs/dbraw/zinc/74/34/56/422743456.db2.gz GKFNOSQKJURLIZ-ZDUSSCGKSA-N -1 1 300.314 1.629 20 0 DDADMM CCC[C@H]1C[C@H]1C(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000080285574 353600388 /nfs/dbraw/zinc/60/03/88/353600388.db2.gz XPDMZWVYWSGZAV-NWDGAFQWSA-N -1 1 302.378 1.692 20 0 DDADMM NC(=O)[C@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000175294613 198458178 /nfs/dbraw/zinc/45/81/78/198458178.db2.gz XJTAROCUODXNGM-ONGXEEELSA-N -1 1 318.345 1.287 20 0 DDADMM Cc1c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cnn1C(C)C ZINC000092527825 353861751 /nfs/dbraw/zinc/86/17/51/353861751.db2.gz OWXPUIZNCMURPH-LLVKDONJSA-N -1 1 303.370 1.305 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cc(CCc2ccccc2)ccc1O ZINC000094155575 353911875 /nfs/dbraw/zinc/91/18/75/353911875.db2.gz GGZSHBULMNCUDW-UHFFFAOYSA-N -1 1 309.329 1.943 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc(CCc2ccccc2)ccc1O ZINC000094155575 353911878 /nfs/dbraw/zinc/91/18/78/353911878.db2.gz GGZSHBULMNCUDW-UHFFFAOYSA-N -1 1 309.329 1.943 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2ccc3oc(=O)[nH]c3c2)c1 ZINC000096743758 353916559 /nfs/dbraw/zinc/91/65/59/353916559.db2.gz KVOMOADMJPVYKW-UHFFFAOYSA-N -1 1 320.326 1.931 20 0 DDADMM C[C@@H]1CO[C@@H](C)CN1CCNC(=O)c1cc(Cl)ccc1[O-] ZINC000617739377 363277025 /nfs/dbraw/zinc/27/70/25/363277025.db2.gz XWINADJQNQNDDC-MNOVXSKESA-N -1 1 312.797 1.885 20 0 DDADMM N#Cc1cccc(S(=O)(=O)Nc2cccc(C(=O)[O-])c2O)c1 ZINC000148762428 354170419 /nfs/dbraw/zinc/17/04/19/354170419.db2.gz KSCWWEZDAGWXEG-UHFFFAOYSA-N -1 1 318.310 1.763 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)CC2(OC)CCC2)CCCCC1 ZINC000601297520 358484588 /nfs/dbraw/zinc/48/45/88/358484588.db2.gz BRJYLKVMJQMDDG-UHFFFAOYSA-N -1 1 319.423 1.351 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1ncccc1[O-])c1cccs1 ZINC000153527727 354199764 /nfs/dbraw/zinc/19/97/64/354199764.db2.gz RVMWZMXUCHFLSX-VIFPVBQESA-N -1 1 306.343 1.883 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)c2ccc(C(F)F)o2)CCCC1 ZINC000601300993 358485680 /nfs/dbraw/zinc/48/56/80/358485680.db2.gz OJYDZIMXRDDMKW-UHFFFAOYSA-N -1 1 323.317 1.981 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)C1(CO)CC1 ZINC000617902819 363364282 /nfs/dbraw/zinc/36/42/82/363364282.db2.gz IAKJCRPBHSGYJZ-JTQLQIEISA-N -1 1 307.394 1.679 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N1CCC(O)(CO)CC1 ZINC000313681982 354481439 /nfs/dbraw/zinc/48/14/39/354481439.db2.gz OHENMHBTBGXWSH-UHFFFAOYSA-N -1 1 301.342 1.505 20 0 DDADMM CC[C@@H]1CN(C(=O)c2ncc(C)cc2[O-])C[C@@H](C)S1(=O)=O ZINC000346280741 283049749 /nfs/dbraw/zinc/04/97/49/283049749.db2.gz FJDBLSPGRHSLTH-GHMZBOCLSA-N -1 1 312.391 1.133 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)N[C@@H]3C[C@H]3C)CC2)n1 ZINC000588683184 354928295 /nfs/dbraw/zinc/92/82/95/354928295.db2.gz FJPGOWPRPLYVAD-MWLCHTKSSA-N -1 1 321.381 1.279 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn(CC(C)C)c2)n1 ZINC000590355171 355075816 /nfs/dbraw/zinc/07/58/16/355075816.db2.gz HYAQJPLDLZTWQX-UHFFFAOYSA-N -1 1 305.338 1.691 20 0 DDADMM O=C([O-])CC1(NC(=O)c2cc(F)cc3nc[nH]c32)CCOCC1 ZINC000262107754 304044138 /nfs/dbraw/zinc/04/41/38/304044138.db2.gz IFVFCSWEIABWNF-UHFFFAOYSA-N -1 1 321.308 1.456 20 0 DDADMM COC(=O)CCCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000601319356 358494546 /nfs/dbraw/zinc/49/45/46/358494546.db2.gz LMVVNLHPVBVBTP-UHFFFAOYSA-N -1 1 311.306 1.839 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCOC(C)(C)C ZINC000591449555 355314298 /nfs/dbraw/zinc/31/42/98/355314298.db2.gz UUMCRKBRVRARMX-UHFFFAOYSA-N -1 1 320.393 1.526 20 0 DDADMM COc1ccccc1OCCCNC(=O)c1ncccc1[O-] ZINC000591460811 355316590 /nfs/dbraw/zinc/31/65/90/355316590.db2.gz LPEFWLBLUNNVGS-UHFFFAOYSA-N -1 1 302.330 1.995 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H](C)CC(F)F)c1 ZINC000592067063 355481724 /nfs/dbraw/zinc/48/17/24/355481724.db2.gz KYWDOWLCHVMDMW-ZETCQYMHSA-N -1 1 311.306 1.636 20 0 DDADMM CC(C)(C)OC(=O)[C@@]1(C(=O)N=c2ccc(O)n[n-]2)CC=CCC1 ZINC000593138555 355810366 /nfs/dbraw/zinc/81/03/66/355810366.db2.gz WDBUQMSJLQHNCU-INIZCTEOSA-N -1 1 319.361 1.611 20 0 DDADMM CCC[C@@H](C)[C@@H](CO)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000593626125 355946394 /nfs/dbraw/zinc/94/63/94/355946394.db2.gz PNBIVLZTWGOHPJ-NXEZZACHSA-N -1 1 319.379 1.142 20 0 DDADMM CCC[C@@H](C)[C@H](CO)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000593626128 355946683 /nfs/dbraw/zinc/94/66/83/355946683.db2.gz PNBIVLZTWGOHPJ-ZJUUUORDSA-N -1 1 319.379 1.142 20 0 DDADMM CCOC(=O)[C@@H](NCc1nc(=O)n(C)[n-]1)C1CCCCCC1 ZINC000594047584 356097958 /nfs/dbraw/zinc/09/79/58/356097958.db2.gz NFSHNIXGMHGRNH-ZDUSSCGKSA-N -1 1 310.398 1.100 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)C1CC1 ZINC000595337565 356453870 /nfs/dbraw/zinc/45/38/70/356453870.db2.gz YFYQEERMKZSROB-SNVBAGLBSA-N -1 1 301.364 1.842 20 0 DDADMM COC(=O)[C@H]1C[C@@H](N(C)Cc2nc(=O)c3sccc3[n-]2)C1 ZINC000595848246 356675825 /nfs/dbraw/zinc/67/58/25/356675825.db2.gz GEJFVDIXWKHTAC-DTORHVGOSA-N -1 1 307.375 1.780 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000596852936 356995012 /nfs/dbraw/zinc/99/50/12/356995012.db2.gz OYXDMFZTEYWZPN-NSHDSACASA-N -1 1 300.314 1.576 20 0 DDADMM CC(C)COc1ccccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000276887788 213156516 /nfs/dbraw/zinc/15/65/16/213156516.db2.gz NUOKSOGORMEJOB-UHFFFAOYSA-N -1 1 306.322 1.310 20 0 DDADMM COC(=O)[C@@H]1OCC[C@@H]1NC(=O)c1cc2ccccc2cc1[O-] ZINC000598006892 357421752 /nfs/dbraw/zinc/42/17/52/357421752.db2.gz ZWKVKRHFZRAACY-DZGCQCFKSA-N -1 1 315.325 1.606 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)C2CCCC2)c1 ZINC000179244122 199003888 /nfs/dbraw/zinc/00/38/88/199003888.db2.gz DUBKXUMUEVQXAR-VIFPVBQESA-N -1 1 301.364 1.923 20 0 DDADMM O=C(c1cc2ccccc2cc1[O-])N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000179492161 199043985 /nfs/dbraw/zinc/04/39/85/199043985.db2.gz DWZRUYFPEACPTC-AWEZNQCLSA-N -1 1 324.336 1.565 20 0 DDADMM CC(C)[C@H](C)CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000179539038 199052427 /nfs/dbraw/zinc/05/24/27/199052427.db2.gz UMWSVESLOLZRTF-SECBINFHSA-N -1 1 300.380 1.660 20 0 DDADMM CCO[C@H]1C[C@@](O)(CNC(=O)c2c([O-])cccc2F)C1(C)C ZINC000276703919 213056128 /nfs/dbraw/zinc/05/61/28/213056128.db2.gz ZJMWJRHIBNFSAN-BLLLJJGKSA-N -1 1 311.353 1.827 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC[C@@H](C)[C@@H](C)C2)co1 ZINC000348071640 283354111 /nfs/dbraw/zinc/35/41/11/283354111.db2.gz IPSRPYLINZONKI-JFGNBEQYSA-N -1 1 314.407 1.742 20 0 DDADMM CCS[C@H](C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1)C(C)C ZINC000600873973 358334548 /nfs/dbraw/zinc/33/45/48/358334548.db2.gz JIJMKPAUFWGZHR-LBPRGKRZSA-N -1 1 316.467 1.772 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)CC1(OC)CCC1)C(=O)OCC ZINC000601393909 358533037 /nfs/dbraw/zinc/53/30/37/358533037.db2.gz MDGVEJNUPJQXOR-FUEXJSSKSA-N -1 1 319.423 1.373 20 0 DDADMM C[C@@H](S[C@@H](C)C(=O)[O-])C(=O)NCc1ccc2cncn2c1 ZINC000602004956 358773601 /nfs/dbraw/zinc/77/36/01/358773601.db2.gz YWZWXFXIVCGBIZ-ZJUUUORDSA-N -1 1 307.375 1.545 20 0 DDADMM CC(C)=C[C@H]1[C@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1(C)C ZINC000624722491 366521254 /nfs/dbraw/zinc/52/12/54/366521254.db2.gz JVEWQLDFBIBMOQ-DTWKUNHWSA-N -1 1 312.395 1.385 20 0 DDADMM CC(C)=C[C@H]1[C@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1(C)C ZINC000624722491 366521260 /nfs/dbraw/zinc/52/12/60/366521260.db2.gz JVEWQLDFBIBMOQ-DTWKUNHWSA-N -1 1 312.395 1.385 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(Cl)cc2C)n[n-]1 ZINC000603014598 359357019 /nfs/dbraw/zinc/35/70/19/359357019.db2.gz FYPAGEYFZMLBLU-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(Cl)cc2C)n1 ZINC000603014598 359357022 /nfs/dbraw/zinc/35/70/22/359357022.db2.gz FYPAGEYFZMLBLU-UHFFFAOYSA-N -1 1 322.752 1.873 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCCCC2(C)C)n[n-]1 ZINC000603018431 359359985 /nfs/dbraw/zinc/35/99/85/359359985.db2.gz RCZAEUJAWFMXAI-JTQLQIEISA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCCCC2(C)C)n1 ZINC000603018431 359359988 /nfs/dbraw/zinc/35/99/88/359359988.db2.gz RCZAEUJAWFMXAI-JTQLQIEISA-N -1 1 308.382 1.814 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccsc2C)n[n-]1 ZINC000603156658 359443293 /nfs/dbraw/zinc/44/32/93/359443293.db2.gz RXFZWZAVXVCHMC-ZETCQYMHSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccsc2C)[n-]1 ZINC000603156658 359443295 /nfs/dbraw/zinc/44/32/95/359443295.db2.gz RXFZWZAVXVCHMC-ZETCQYMHSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccsc2C)n1 ZINC000603156658 359443297 /nfs/dbraw/zinc/44/32/97/359443297.db2.gz RXFZWZAVXVCHMC-ZETCQYMHSA-N -1 1 308.363 1.842 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)Cc2ccc(C)cc2)n[n-]1 ZINC000603163210 359447886 /nfs/dbraw/zinc/44/78/86/359447886.db2.gz HFFGVSNGDJNHBY-NSHDSACASA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)Cc2ccc(C)cc2)[n-]1 ZINC000603163210 359447890 /nfs/dbraw/zinc/44/78/90/359447890.db2.gz HFFGVSNGDJNHBY-NSHDSACASA-N -1 1 316.361 1.710 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)Cc2ccc(C)cc2)n1 ZINC000603163210 359447894 /nfs/dbraw/zinc/44/78/94/359447894.db2.gz HFFGVSNGDJNHBY-NSHDSACASA-N -1 1 316.361 1.710 20 0 DDADMM COC(=O)c1c(F)cccc1S(=O)(=O)[N-][C@H](C)C(F)F ZINC000603424644 359632209 /nfs/dbraw/zinc/63/22/09/359632209.db2.gz SZUVPVBMWFAUSY-ZCFIWIBFSA-N -1 1 311.281 1.544 20 0 DDADMM COC(=O)c1ccc(OC)cc1S(=O)(=O)[N-][C@H](C)C(F)F ZINC000603424908 359632737 /nfs/dbraw/zinc/63/27/37/359632737.db2.gz RFKQNZKFRRALOQ-SSDOTTSWSA-N -1 1 323.317 1.414 20 0 DDADMM COC(=O)c1c[n-]c(SCC(=O)N2C[C@H](C)C[C@H](C)C2)n1 ZINC000187592286 200131872 /nfs/dbraw/zinc/13/18/72/200131872.db2.gz ZIMUDMCFUQUOPO-AOOOYVTPSA-N -1 1 311.407 1.793 20 0 DDADMM CC(C)c1noc([C@H](C)N2CCN(CCCC(=O)[O-])CC2)n1 ZINC000604774322 359797682 /nfs/dbraw/zinc/79/76/82/359797682.db2.gz QFDMPJRQDIGVCT-LBPRGKRZSA-N -1 1 310.398 1.736 20 0 DDADMM CCC[C@H](NC(=O)c1cc(NC(C)=O)ccc1C)c1nn[n-]n1 ZINC000188220868 200211247 /nfs/dbraw/zinc/21/12/47/200211247.db2.gz MEHDHQKOHDSFTN-ZDUSSCGKSA-N -1 1 316.365 1.738 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)C(=O)c2ccc([O-])cc2)[C@@]12CCCO2 ZINC000287707962 219686628 /nfs/dbraw/zinc/68/66/28/219686628.db2.gz GGJHFCPCAMWSQT-VBQJREDUSA-N -1 1 319.357 1.418 20 0 DDADMM Cn1nc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)cc1C1CC1 ZINC000608356293 360164976 /nfs/dbraw/zinc/16/49/76/360164976.db2.gz LDGKDGJTHCRXMN-AWEZNQCLSA-N -1 1 323.360 1.330 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1C[C@@H]2COC[C@@]2(C)C1 ZINC000618713513 363732147 /nfs/dbraw/zinc/73/21/47/363732147.db2.gz WCTAVPXQRZIRNW-YMTOWFKASA-N -1 1 309.391 1.321 20 0 DDADMM O=C([N-]CC1CCN(C(=O)Nc2ccon2)CC1)C(F)(F)F ZINC000281267346 216197845 /nfs/dbraw/zinc/19/78/45/216197845.db2.gz AVVPVHSOGXHWNZ-UHFFFAOYSA-N -1 1 320.271 1.597 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCCC[C@@H]1CC(C)C ZINC000579409360 422761318 /nfs/dbraw/zinc/76/13/18/422761318.db2.gz NTDJGVBFOKUVGU-LLVKDONJSA-N -1 1 301.412 1.985 20 0 DDADMM COC(=O)COc1ccc(N(C)C(=O)c2n[nH]c(C)c2[O-])cc1 ZINC000612385011 360991854 /nfs/dbraw/zinc/99/18/54/360991854.db2.gz QCSIUGJYBAKZGG-UHFFFAOYSA-N -1 1 319.317 1.252 20 0 DDADMM O=C(NC[C@H](O)COCC1CC1)c1ncc2ccccc2c1[O-] ZINC000612421389 361007187 /nfs/dbraw/zinc/00/71/87/361007187.db2.gz YMXWJZJDVMJVNT-ZDUSSCGKSA-N -1 1 316.357 1.458 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)C1(n2cnnn2)CCOCC1 ZINC000612759839 361136734 /nfs/dbraw/zinc/13/67/34/361136734.db2.gz NIQLIDOSBGNJOK-UHFFFAOYSA-N -1 1 323.740 1.177 20 0 DDADMM CCO[C@@H](CS(=O)(=O)[N-]Cc1nnc(C2CC2)o1)C1CC1 ZINC000625132815 366728781 /nfs/dbraw/zinc/72/87/81/366728781.db2.gz WCMSUOITLPYKGA-NSHDSACASA-N -1 1 315.395 1.182 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1COC[C@@H]1c1ccccc1)c1nn[n-]n1 ZINC000613473651 361434097 /nfs/dbraw/zinc/43/40/97/361434097.db2.gz BETNVYBUPPGKTO-MRVWCRGKSA-N -1 1 315.377 1.192 20 0 DDADMM COCCCCS(=O)(=O)c1nc(Cc2ccccc2)n[n-]1 ZINC000195286317 201372849 /nfs/dbraw/zinc/37/28/49/201372849.db2.gz AZPMHXAUMKZNOQ-UHFFFAOYSA-N -1 1 309.391 1.596 20 0 DDADMM COCCCCS(=O)(=O)c1n[n-]c(Cc2ccccc2)n1 ZINC000195286317 201372852 /nfs/dbraw/zinc/37/28/52/201372852.db2.gz AZPMHXAUMKZNOQ-UHFFFAOYSA-N -1 1 309.391 1.596 20 0 DDADMM CCOCCS(=O)(=O)c1nc(-c2ccc3c(c2)CCC3)n[n-]1 ZINC000195210873 201360392 /nfs/dbraw/zinc/36/03/92/201360392.db2.gz WOBDHODWTRDNTI-UHFFFAOYSA-N -1 1 321.402 1.771 20 0 DDADMM CCOCCS(=O)(=O)c1n[n-]c(-c2ccc3c(c2)CCC3)n1 ZINC000195210873 201360396 /nfs/dbraw/zinc/36/03/96/201360396.db2.gz WOBDHODWTRDNTI-UHFFFAOYSA-N -1 1 321.402 1.771 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC(O)(C1CC1)C1CC1 ZINC000619030477 363858649 /nfs/dbraw/zinc/85/86/49/363858649.db2.gz OBFWONMYHFYOLB-UHFFFAOYSA-N -1 1 323.418 1.493 20 0 DDADMM CC(C)(C)N1C[C@@H](CNC(=O)c2c([O-])cccc2F)CC1=O ZINC000620013988 364244317 /nfs/dbraw/zinc/24/43/17/364244317.db2.gz BTOVIVSOICPUDL-SNVBAGLBSA-N -1 1 308.353 1.908 20 0 DDADMM C[C@@H](NC(=O)c1[nH]nc2c1CCCC2)c1ncc(C(=O)[O-])s1 ZINC000621609477 364936196 /nfs/dbraw/zinc/93/61/96/364936196.db2.gz MZUNKZYVAZNJGT-SSDOTTSWSA-N -1 1 320.374 1.934 20 0 DDADMM CO[C@H](C(=O)N[C@H](c1nn[n-]n1)c1ccccc1)C1CCCC1 ZINC000621708891 364994769 /nfs/dbraw/zinc/99/47/69/364994769.db2.gz DFPRIVLETWCFIF-KBPBESRZSA-N -1 1 315.377 1.611 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCc2nc[nH]c(=O)c2C1 ZINC000349094152 283840497 /nfs/dbraw/zinc/84/04/97/283840497.db2.gz LRRYVLBIRXQUIH-UHFFFAOYSA-N -1 1 307.256 1.365 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1cccc(OC)c1C ZINC000621991409 365173869 /nfs/dbraw/zinc/17/38/69/365173869.db2.gz REBYXNXNVCMWOC-UHFFFAOYSA-N -1 1 302.334 1.069 20 0 DDADMM O=C(Nc1ccc(OCCO)cc1)c1csc(=NC2CC2)[n-]1 ZINC000622451056 365408209 /nfs/dbraw/zinc/40/82/09/365408209.db2.gz UNFZTLJXCBJIQD-UHFFFAOYSA-N -1 1 319.386 1.763 20 0 DDADMM O=C1CCOC[C@H]1[N-]S(=O)(=O)CCc1ccc(Cl)cc1 ZINC000625492728 366962340 /nfs/dbraw/zinc/96/23/40/366962340.db2.gz STQPLEWHCGCWQD-GFCCVEGCSA-N -1 1 317.794 1.160 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)N(C)CC(C)C)c1cccs1 ZINC000349450291 283931162 /nfs/dbraw/zinc/93/11/62/283931162.db2.gz RWNDNXNASVZDOB-LLVKDONJSA-N -1 1 320.436 1.384 20 0 DDADMM C/C=C/CNC(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000626408031 367529106 /nfs/dbraw/zinc/52/91/06/367529106.db2.gz HGLFNYIUNSYGRJ-SNAWJCMRSA-N -1 1 313.379 1.764 20 0 DDADMM C[C@@H](CN(C)C(=O)CC1CCC(F)(F)CC1)c1nn[n-]n1 ZINC000626443501 367548805 /nfs/dbraw/zinc/54/88/05/367548805.db2.gz HHONKEDIQCNXQN-VIFPVBQESA-N -1 1 301.341 1.977 20 0 DDADMM O=C(NCc1nn[n-]n1)[C@H]1CCCN1Cc1ccc(Cl)cc1 ZINC000626465308 367562062 /nfs/dbraw/zinc/56/20/62/367562062.db2.gz ZIWSQXVIWBJRLA-GFCCVEGCSA-N -1 1 320.784 1.134 20 0 DDADMM CC[C@@H](C)N[C@@H](CNC(=O)N=c1cccn[n-]1)c1ccco1 ZINC000349865645 284083144 /nfs/dbraw/zinc/08/31/44/284083144.db2.gz ASYDEIKHDDIJID-NEPJUHHUSA-N -1 1 303.366 1.742 20 0 DDADMM CCCN(CCS(=O)(=O)c1cccc(OC)c1)CC(=O)[O-] ZINC000262339219 203267620 /nfs/dbraw/zinc/26/76/20/203267620.db2.gz CCMXXHHFIGCFSH-UHFFFAOYSA-N -1 1 315.391 1.266 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCCN(C(=O)c2cncc([O-])c2)C1 ZINC000264828621 204190159 /nfs/dbraw/zinc/19/01/59/204190159.db2.gz IRNDJCRNZFOMMK-GFCCVEGCSA-N -1 1 321.377 1.917 20 0 DDADMM CC[C@@H](CSC)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000271685824 209126631 /nfs/dbraw/zinc/12/66/31/209126631.db2.gz PUNRAGMTPAIDGG-VIFPVBQESA-N -1 1 307.393 1.486 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2ccncc2F)cs1 ZINC000351507000 284352426 /nfs/dbraw/zinc/35/24/26/284352426.db2.gz LUGQGFRHNPCJDR-UHFFFAOYSA-N -1 1 316.335 1.292 20 0 DDADMM CC(C)C[C@H]([N-]S(=O)(=O)Cc1ccon1)C(=O)OC(C)C ZINC000266225188 205196306 /nfs/dbraw/zinc/19/63/06/205196306.db2.gz XFLZVPABOJJKRB-LBPRGKRZSA-N -1 1 318.395 1.460 20 0 DDADMM O=C(c1cnc2ccccc2n1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282955592 217350250 /nfs/dbraw/zinc/35/02/50/217350250.db2.gz QWPSDPXIYRUKMK-SNVBAGLBSA-N -1 1 324.344 1.061 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)C(=O)OC ZINC000566736147 304177382 /nfs/dbraw/zinc/17/73/82/304177382.db2.gz WDBVKZWNGINJEN-RYUDHWBXSA-N -1 1 307.412 1.063 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(C(=O)OC)s1)c1nn[n-]n1 ZINC000267675407 206211922 /nfs/dbraw/zinc/21/19/22/206211922.db2.gz KSYUWJLSCRZMJP-SSDOTTSWSA-N -1 1 309.351 1.319 20 0 DDADMM O=C(CSc1nc(C(F)F)cc(=O)[n-]1)NC1CCOCC1 ZINC000351886319 284613842 /nfs/dbraw/zinc/61/38/42/284613842.db2.gz QKIQYUWMAGOOMR-UHFFFAOYSA-N -1 1 319.333 1.507 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1C[C@H]1c1sccc1C ZINC000337135986 249361218 /nfs/dbraw/zinc/36/12/18/249361218.db2.gz OFHKUMPPTBZVLO-ZJUUUORDSA-N -1 1 318.402 1.612 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc(C)c(Cl)c1F ZINC000337147838 249365110 /nfs/dbraw/zinc/36/51/10/249365110.db2.gz JACLEQSPFUNFJI-UHFFFAOYSA-N -1 1 324.743 1.853 20 0 DDADMM CCOc1ccc([N-]S(=O)(=O)c2cccc(C(N)=O)c2)cc1 ZINC000042521334 183280095 /nfs/dbraw/zinc/28/00/95/183280095.db2.gz QTKQGYBOCHKMKD-UHFFFAOYSA-N -1 1 320.370 1.985 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@@H](O)C1)c1cc(Cl)ccc1F ZINC000284373618 218001143 /nfs/dbraw/zinc/00/11/43/218001143.db2.gz RLCSDUWCKAIPTL-WCBMZHEXSA-N -1 1 307.774 1.918 20 0 DDADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cc(F)ccc2F)[C@H]1OC ZINC000274000336 211259222 /nfs/dbraw/zinc/25/92/22/211259222.db2.gz JSEMDBPVPCFTKQ-NQBHXWOUSA-N -1 1 321.345 1.436 20 0 DDADMM COCC[C@H](CO)[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000284756790 218163858 /nfs/dbraw/zinc/16/38/58/218163858.db2.gz UVEHBUGGQGOGQL-SECBINFHSA-N -1 1 311.762 1.155 20 0 DDADMM Cc1cc(NC(=O)[C@H](C)S(=O)(=O)c2ccc([O-])cc2)on1 ZINC000105724024 194121910 /nfs/dbraw/zinc/12/19/10/194121910.db2.gz OVRAEQXVTBXISW-VIFPVBQESA-N -1 1 310.331 1.490 20 0 DDADMM CCc1noc(C)c1CS(=O)(=O)c1nc(C(=O)OC)c[n-]1 ZINC000285093836 218288563 /nfs/dbraw/zinc/28/85/63/218288563.db2.gz LJIHZNWZYCRACG-UHFFFAOYSA-N -1 1 313.335 1.029 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC[C@@H]1[C@@H]1CCCC[C@H]1O ZINC000408064888 296305767 /nfs/dbraw/zinc/30/57/67/296305767.db2.gz MCQAXGHPIOMOJR-YNEHKIRRSA-N -1 1 306.362 1.179 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCC[C@H]2C)co1 ZINC000339999768 250807216 /nfs/dbraw/zinc/80/72/16/250807216.db2.gz SMSXMVDCBKNQAA-ZJUUUORDSA-N -1 1 300.380 1.354 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H](C)CCCO)c(=O)[n-]1 ZINC000340538101 251103484 /nfs/dbraw/zinc/10/34/84/251103484.db2.gz XXBFRBJKFBULLG-VIFPVBQESA-N -1 1 313.423 1.422 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)Nc1cn[nH]c1 ZINC000340758081 251197767 /nfs/dbraw/zinc/19/77/67/251197767.db2.gz QOOCOKDOCZYUJX-UHFFFAOYSA-N -1 1 310.317 1.457 20 0 DDADMM C[C@@H]1CN(S(=O)(=O)Nc2cccc(Cl)c2[O-])[C@@H](C)CO1 ZINC000340983706 251318605 /nfs/dbraw/zinc/31/86/05/251318605.db2.gz RGQLGJDOFUEVDO-DTWKUNHWSA-N -1 1 320.798 1.812 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CO)CC(C)(C)C)o1 ZINC000269674277 207746064 /nfs/dbraw/zinc/74/60/64/207746064.db2.gz CIIHAKYGWRITQN-SECBINFHSA-N -1 1 319.379 1.142 20 0 DDADMM Cc1[nH]ncc1CNC(=O)c1cc(=O)n(-c2ccc(C)cc2)[n-]1 ZINC000352453299 285060973 /nfs/dbraw/zinc/06/09/73/285060973.db2.gz LPYXNXYDDCIETH-UHFFFAOYSA-N -1 1 311.345 1.436 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)c2ccccc2C)co1 ZINC000159280923 197341704 /nfs/dbraw/zinc/34/17/04/197341704.db2.gz KWWFWSGMRDTUMG-LLVKDONJSA-N -1 1 322.386 1.987 20 0 DDADMM CCNC(=O)N1CC[C@@H](NC(=O)c2ccc(Cl)cc2[O-])C1 ZINC000157872908 197222288 /nfs/dbraw/zinc/22/22/88/197222288.db2.gz TYUGYQVDYAIKHA-SNVBAGLBSA-N -1 1 311.769 1.579 20 0 DDADMM CN(C)C(=O)c1ccc(=NC[C@@H]2CCCO[C@H]2C(C)(C)C)[n-]n1 ZINC000413160212 224146073 /nfs/dbraw/zinc/14/60/73/224146073.db2.gz ULZJZZKWXMPQAA-SWLSCSKDSA-N -1 1 320.437 1.854 20 0 DDADMM Cc1cc(NC(=O)NN2CC(=O)[N-]C2=O)nn1C1CCCCC1 ZINC000289665935 221139980 /nfs/dbraw/zinc/13/99/80/221139980.db2.gz DTDWKAQGDMDKBV-UHFFFAOYSA-N -1 1 320.353 1.285 20 0 DDADMM CCC[C@H](NC(=O)CSc1ccccc1OC)c1nn[n-]n1 ZINC000120930687 195266614 /nfs/dbraw/zinc/26/66/14/195266614.db2.gz KZPFJAGQXVYUHH-JTQLQIEISA-N -1 1 321.406 1.958 20 0 DDADMM CCCC(O)(CCC)C[N-]S(=O)(=O)c1c(C)onc1N ZINC000290044981 221398443 /nfs/dbraw/zinc/39/84/43/221398443.db2.gz AAUDDBHDYUOXIS-UHFFFAOYSA-N -1 1 305.400 1.175 20 0 DDADMM CO[C@H]1C[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C12CCC2 ZINC000414406529 224333752 /nfs/dbraw/zinc/33/37/52/224333752.db2.gz VYDONGLJSAFHPY-OLZOCXBDSA-N -1 1 313.357 1.611 20 0 DDADMM CO[C@H]1C[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C12CCC2 ZINC000414406534 224333448 /nfs/dbraw/zinc/33/34/48/224333448.db2.gz VYDONGLJSAFHPY-STQMWFEESA-N -1 1 313.357 1.611 20 0 DDADMM CC(C)n1cc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)nn1 ZINC000568346293 304286264 /nfs/dbraw/zinc/28/62/64/304286264.db2.gz LLNSDFTZSMUXJD-UHFFFAOYSA-N -1 1 312.399 1.249 20 0 DDADMM CC(C)n1cc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)nn1 ZINC000568346293 304286265 /nfs/dbraw/zinc/28/62/65/304286265.db2.gz LLNSDFTZSMUXJD-UHFFFAOYSA-N -1 1 312.399 1.249 20 0 DDADMM C[C@@H](CCCCO)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000295676535 533546511 /nfs/dbraw/zinc/54/65/11/533546511.db2.gz HQBVSDXSXOSYLB-QMMMGPOBSA-N -1 1 311.325 1.933 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)C2(OC)CCC2)o1 ZINC000416622926 292016518 /nfs/dbraw/zinc/01/65/18/292016518.db2.gz XACIMEWWDNKDKS-VIFPVBQESA-N -1 1 317.363 1.302 20 0 DDADMM C[C@H](CNC(=O)CCc1nn[n-]n1)Oc1ccc(Cl)cc1 ZINC000631552548 422827573 /nfs/dbraw/zinc/82/75/73/422827573.db2.gz HSXIJKQCZNTVFK-SECBINFHSA-N -1 1 309.757 1.369 20 0 DDADMM Cc1nn(C)cc1[C@H](C)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000069222268 406693157 /nfs/dbraw/zinc/69/31/57/406693157.db2.gz DQYKOQZYEBGGGJ-VIFPVBQESA-N -1 1 311.345 1.456 20 0 DDADMM O=S(=O)([N-]Cc1nnc2ccccn21)c1cc(F)ccc1F ZINC000008515603 406789966 /nfs/dbraw/zinc/78/99/66/406789966.db2.gz HPLQRTLBZYVMBD-UHFFFAOYSA-N -1 1 324.312 1.486 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(C(C)=O)cc2)o1 ZINC000032801010 406953772 /nfs/dbraw/zinc/95/37/72/406953772.db2.gz MHQRPJFDOJFWLN-UHFFFAOYSA-N -1 1 322.342 1.643 20 0 DDADMM CCN(Cc1ccccc1)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000032940563 406957747 /nfs/dbraw/zinc/95/77/47/406957747.db2.gz OJILJHDYFQHIOY-UHFFFAOYSA-N -1 1 322.386 1.850 20 0 DDADMM O=C([O-])c1ccc(NS(=O)(=O)c2cncc(F)c2)cc1O ZINC000044260487 407020805 /nfs/dbraw/zinc/02/08/05/407020805.db2.gz JZJTWDZKZNBNCK-UHFFFAOYSA-N -1 1 312.278 1.425 20 0 DDADMM Cc1sc(NC(=O)CS(=O)(=O)c2ncn[n-]2)c(C)c1C ZINC000086169590 407108848 /nfs/dbraw/zinc/10/88/48/407108848.db2.gz KSBXOJWOLNDFCW-UHFFFAOYSA-N -1 1 314.392 1.204 20 0 DDADMM Cc1sc(NC(=O)CS(=O)(=O)c2nc[n-]n2)c(C)c1C ZINC000086169590 407108851 /nfs/dbraw/zinc/10/88/51/407108851.db2.gz KSBXOJWOLNDFCW-UHFFFAOYSA-N -1 1 314.392 1.204 20 0 DDADMM CC(C)[C@H](NC(=O)CS(=O)(=O)c1nc[n-]n1)c1ccccc1 ZINC000086169991 407108947 /nfs/dbraw/zinc/10/89/47/407108947.db2.gz NMWWYVOKRBSOLV-ZDUSSCGKSA-N -1 1 322.390 1.092 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(N)=O ZINC000101923445 407317329 /nfs/dbraw/zinc/31/73/29/407317329.db2.gz WLUQMWRODAKGQB-UHFFFAOYSA-N -1 1 324.324 1.426 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OC[C@@H]1CCCCO1 ZINC000067019273 407266514 /nfs/dbraw/zinc/26/65/14/407266514.db2.gz AWFBLRDRJGNDDO-LBPRGKRZSA-N -1 1 319.361 1.294 20 0 DDADMM CCn1c(CCNC(=O)C=Cc2cncc(F)c2)n[n-]c1=S ZINC000067075731 407267753 /nfs/dbraw/zinc/26/77/53/407267753.db2.gz JXMRVAUPEMDMJR-ONEGZZNKSA-N -1 1 321.381 1.867 20 0 DDADMM CC(C)OCCS(=O)(=O)Nc1cccc(C(=O)[O-])c1O ZINC000124663635 407365470 /nfs/dbraw/zinc/36/54/70/407365470.db2.gz HISSAQIXPLWZJI-UHFFFAOYSA-N -1 1 303.336 1.257 20 0 DDADMM CC[C@H](O)C[N-]S(=O)(=O)c1sccc1Br ZINC000126168881 407406952 /nfs/dbraw/zinc/40/69/52/407406952.db2.gz UEXCSNCMJBZJGU-LURJTMIESA-N -1 1 314.226 1.560 20 0 DDADMM CC[C@@H](C)[C@@](C)(O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000112385808 407428273 /nfs/dbraw/zinc/42/82/73/407428273.db2.gz GEKBNWJDZBXOCV-HWPZZCPQSA-N -1 1 303.362 1.450 20 0 DDADMM CN1CC[C@H](NC(=O)c2ccc(C(F)(F)F)cc2[O-])C1=O ZINC000128166015 407504988 /nfs/dbraw/zinc/50/49/88/407504988.db2.gz NFQILYRIRQAELV-VIFPVBQESA-N -1 1 302.252 1.372 20 0 DDADMM NC(=O)[C@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000152162737 407573993 /nfs/dbraw/zinc/57/39/93/407573993.db2.gz XRARMXRBXUCXEC-RYUDHWBXSA-N -1 1 322.386 1.755 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)c1nc[nH]n1 ZINC000128920806 407584764 /nfs/dbraw/zinc/58/47/64/407584764.db2.gz MRBYYVZNEBEXMY-RXMQYKEDSA-N -1 1 306.269 1.262 20 0 DDADMM Cn1cccc([N-]S(=O)(=O)c2cccc(F)c2F)c1=O ZINC000114119666 407541607 /nfs/dbraw/zinc/54/16/07/407541607.db2.gz FHGIHDPDMLMJIH-UHFFFAOYSA-N -1 1 300.286 1.464 20 0 DDADMM COc1cc(OC)cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1 ZINC000129308630 407601248 /nfs/dbraw/zinc/60/12/48/407601248.db2.gz ASWKUZZSKNBHOZ-JTQLQIEISA-N -1 1 317.349 1.237 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)[nH]n1 ZINC000129349886 407603761 /nfs/dbraw/zinc/60/37/61/407603761.db2.gz OSGBKZAGSNTWIS-SECBINFHSA-N -1 1 303.370 1.240 20 0 DDADMM COc1cc(C)sc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129421439 407607840 /nfs/dbraw/zinc/60/78/40/407607840.db2.gz GOGDIKMDQKHJAZ-SECBINFHSA-N -1 1 307.379 1.598 20 0 DDADMM Cc1ccc(O[C@@H](C)C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000129478625 407611892 /nfs/dbraw/zinc/61/18/92/407611892.db2.gz BIYRKIAOWOLWLN-QWHCGFSZSA-N -1 1 315.377 1.682 20 0 DDADMM O=C(COc1ccccc1F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000129517100 407616635 /nfs/dbraw/zinc/61/66/35/407616635.db2.gz UFEAVEIDGMBYAR-SNVBAGLBSA-N -1 1 305.313 1.124 20 0 DDADMM CC[C@H]1CCC[C@@H]1[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000152908583 407713129 /nfs/dbraw/zinc/71/31/29/407713129.db2.gz SGDORTLSZYKZFH-UWVGGRQHSA-N -1 1 301.364 1.923 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H]([NH+](C)C)C1 ZINC000267008763 407714331 /nfs/dbraw/zinc/71/43/31/407714331.db2.gz MHTHMVOBJARIMP-LLVKDONJSA-N -1 1 306.410 1.564 20 0 DDADMM C[C@@H](C[NH+](C)C)NC(=O)c1cc(Br)ccc1[O-] ZINC000235101084 407717700 /nfs/dbraw/zinc/71/77/00/407717700.db2.gz NEERPYCWQSHOBK-QMMMGPOBSA-N -1 1 301.184 1.835 20 0 DDADMM NC(=O)[C@@H]1CCCN1C(=O)c1cc(Br)ccc1[O-] ZINC000236228585 407727348 /nfs/dbraw/zinc/72/73/48/407727348.db2.gz AQNGJYHWGAXEDL-VIFPVBQESA-N -1 1 313.151 1.245 20 0 DDADMM Cc1ccc(-c2noc(CS(=O)(=O)c3ncn[n-]3)n2)cc1F ZINC000153035629 407740531 /nfs/dbraw/zinc/74/05/31/407740531.db2.gz NCEPCOYPKOYXOR-UHFFFAOYSA-N -1 1 323.309 1.276 20 0 DDADMM Cc1ccc(-c2noc(CS(=O)(=O)c3nc[n-]n3)n2)cc1F ZINC000153035629 407740538 /nfs/dbraw/zinc/74/05/38/407740538.db2.gz NCEPCOYPKOYXOR-UHFFFAOYSA-N -1 1 323.309 1.276 20 0 DDADMM C[C@@H]1CC/C(=C\C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000272154066 407796166 /nfs/dbraw/zinc/79/61/66/407796166.db2.gz RPPQWQOSLMREDI-YIXGCBLDSA-N -1 1 310.375 1.725 20 0 DDADMM COCC[C@H](NC(=O)[C@H]1CCCN1Cc1ccccc1)C(=O)[O-] ZINC000262351726 407808947 /nfs/dbraw/zinc/80/89/47/407808947.db2.gz YZMDJGGKELDPGK-LSDHHAIUSA-N -1 1 320.389 1.257 20 0 DDADMM CO[C@@H]1CN(C(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)CC[C@H]1C ZINC000187755137 407887741 /nfs/dbraw/zinc/88/77/41/407887741.db2.gz ODQJOPGPBGTPDG-ZYHUDNBSSA-N -1 1 321.421 1.873 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C)CCC[C@H]2C)o1 ZINC000133485030 407850015 /nfs/dbraw/zinc/85/00/15/407850015.db2.gz DOURDRIKUXQYBY-WDEREUQCSA-N -1 1 314.407 1.838 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1(N2CCOCC2)CCCC1 ZINC000153898162 407926958 /nfs/dbraw/zinc/92/69/58/407926958.db2.gz KVUFKRBZBQGKRN-CYBMUJFWSA-N -1 1 319.405 1.551 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC1(C2CC2)CC1 ZINC000119046295 407984816 /nfs/dbraw/zinc/98/48/16/407984816.db2.gz JBKZFOHBHSZEIL-UHFFFAOYSA-N -1 1 314.389 1.488 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)NCCc1nccs1)c2=O ZINC000119193261 408011720 /nfs/dbraw/zinc/01/17/20/408011720.db2.gz RSNPJLCLIFXCDM-UHFFFAOYSA-N -1 1 303.347 1.103 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000263232872 408068902 /nfs/dbraw/zinc/06/89/02/408068902.db2.gz QSFAUERLQUHNAF-ZWNOBZJWSA-N -1 1 314.345 1.797 20 0 DDADMM O=C(CN1CCS[C@@H]2COCC[C@@H]21)[N-]OCc1ccccc1 ZINC000119464706 408076574 /nfs/dbraw/zinc/07/65/74/408076574.db2.gz VOBCQZUQXGPQEZ-LSDHHAIUSA-N -1 1 322.430 1.441 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC[C@@H](C)C[C@@H]2C)co1 ZINC000245864479 408083412 /nfs/dbraw/zinc/08/34/12/408083412.db2.gz DZQSIMSQVSDWDS-JFGNBEQYSA-N -1 1 314.407 1.742 20 0 DDADMM COCCCn1nccc1CNCc1ccc(C(=O)[O-])cc1 ZINC000263396403 408126954 /nfs/dbraw/zinc/12/69/54/408126954.db2.gz GUDHLVCQMAVWDE-UHFFFAOYSA-N -1 1 303.362 1.908 20 0 DDADMM CSc1nc(C)c(CCC(=O)NC[C@H](O)C(C)C)c(=O)[n-]1 ZINC000268632670 408129326 /nfs/dbraw/zinc/12/93/26/408129326.db2.gz MDSRWQPRODCTOL-NSHDSACASA-N -1 1 313.423 1.278 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1c1ccc(F)cc1)c1nn[n-]n1 ZINC000273535345 408237196 /nfs/dbraw/zinc/23/71/96/408237196.db2.gz RWOAPBSHOSYPQQ-JIMOISOXSA-N -1 1 303.341 1.704 20 0 DDADMM CC[C@@H](COC)NC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000151013493 408240118 /nfs/dbraw/zinc/24/01/18/408240118.db2.gz LDLFEKROFGNAAF-JTQLQIEISA-N -1 1 313.423 1.274 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(-n2ccnc2)cc1)c1nn[n-]n1 ZINC000273448962 408204513 /nfs/dbraw/zinc/20/45/13/408204513.db2.gz CIIJXGDFAVDCAS-NSHDSACASA-N -1 1 311.349 1.261 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc(N2CCCC2)nc1)c1nn[n-]n1 ZINC000273512655 408228398 /nfs/dbraw/zinc/22/83/98/408228398.db2.gz NZXPHJFXTGNADW-NSHDSACASA-N -1 1 315.381 1.071 20 0 DDADMM COc1ncnc(N(C)C)c1NC(=O)[N-]O[C@H]1CCCCO1 ZINC000274217016 408329567 /nfs/dbraw/zinc/32/95/67/408329567.db2.gz PTZPOMUYCKAMKF-VIFPVBQESA-N -1 1 311.342 1.131 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2cccc(F)c2F)c[nH]c1=O ZINC000158315119 408335904 /nfs/dbraw/zinc/33/59/04/408335904.db2.gz VFYUVCVGLOQEFE-UHFFFAOYSA-N -1 1 300.286 1.762 20 0 DDADMM Cc1nn(C)c(Cl)c1C=CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183397264 408398123 /nfs/dbraw/zinc/39/81/23/408398123.db2.gz VYCIYWMQJYEMLE-HQZHTGGTSA-N -1 1 323.788 1.170 20 0 DDADMM Cc1ccc(C(=O)CCC(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000183401728 408400253 /nfs/dbraw/zinc/40/02/53/408400253.db2.gz JIZWYZPCLWEJDS-LBPRGKRZSA-N -1 1 315.377 1.733 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCS[C@H](C)CC2)co1 ZINC000191390760 408417008 /nfs/dbraw/zinc/41/70/08/408417008.db2.gz LAYRZCQNMPJIDC-SECBINFHSA-N -1 1 318.420 1.155 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)Oc1cccc(F)c1)c1nn[n-]n1 ZINC000176779740 408443964 /nfs/dbraw/zinc/44/39/64/408443964.db2.gz DHYFNNALFMFPLT-BXKDBHETSA-N -1 1 307.329 1.764 20 0 DDADMM CC(C)C[C@@H](CCO)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000269838295 408444425 /nfs/dbraw/zinc/44/44/25/408444425.db2.gz PSAGQSMTXPVLBR-SNVBAGLBSA-N -1 1 323.846 1.397 20 0 DDADMM CNC(=O)[C@H]1CN(C(=O)c2cc3ccccc3cc2[O-])CCO1 ZINC000183994210 408525999 /nfs/dbraw/zinc/52/59/99/408525999.db2.gz VVNPNUWNKXMZDO-OAHLLOKOSA-N -1 1 314.341 1.132 20 0 DDADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC[C@H]1CC ZINC000269894771 408462973 /nfs/dbraw/zinc/46/29/73/408462973.db2.gz YBHNPJCEGJKJNJ-KOLCDFICSA-N -1 1 315.395 1.443 20 0 DDADMM Cc1cc(NC(=O)C[N@H+]2[C@@H]3CCCC[C@H]3C[C@H]2C(=O)[O-])n(C)n1 ZINC000248558981 408587952 /nfs/dbraw/zinc/58/79/52/408587952.db2.gz MLFOFYFCXJMVAS-XQQFMLRXSA-N -1 1 320.393 1.385 20 0 DDADMM CCn1cnc2c(c1=O)CCN(C(=O)c1ccc([O-])cc1F)C2 ZINC000192041788 408533484 /nfs/dbraw/zinc/53/34/84/408533484.db2.gz VNQOFHILKDFFCP-UHFFFAOYSA-N -1 1 317.320 1.306 20 0 DDADMM C[C@@H](O)CS(=O)(=O)c1nc(-c2ccc(Cl)cc2)n[n-]1 ZINC000193173638 408703421 /nfs/dbraw/zinc/70/34/21/408703421.db2.gz VGXUEJITXAFTKY-SSDOTTSWSA-N -1 1 301.755 1.280 20 0 DDADMM C[C@@H](O)CS(=O)(=O)c1n[n-]c(-c2ccc(Cl)cc2)n1 ZINC000193173638 408703427 /nfs/dbraw/zinc/70/34/27/408703427.db2.gz VGXUEJITXAFTKY-SSDOTTSWSA-N -1 1 301.755 1.280 20 0 DDADMM CCC[C@@H](N[C@@H](C)C(=O)N1CCc2sccc2C1)C(=O)[O-] ZINC000185062942 408750180 /nfs/dbraw/zinc/75/01/80/408750180.db2.gz OBZWZMQXJKRWAS-CMPLNLGQSA-N -1 1 310.419 1.864 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CCCO1)c1c(Cl)ccnc1Cl ZINC000270949943 408755496 /nfs/dbraw/zinc/75/54/96/408755496.db2.gz LFEXNAZKEXKHKJ-ZETCQYMHSA-N -1 1 311.190 1.846 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CSC2(C)C)c1 ZINC000276293689 408830367 /nfs/dbraw/zinc/83/03/67/408830367.db2.gz JKEKVNXCSGZKMM-QMMMGPOBSA-N -1 1 305.377 1.239 20 0 DDADMM CC(C)[C@@H](Sc1nc(C2CC2)cc(=O)[n-]1)C(=O)NC(N)=O ZINC000276393400 408848023 /nfs/dbraw/zinc/84/80/23/408848023.db2.gz VDUMKDYWAASIDU-SNVBAGLBSA-N -1 1 310.379 1.371 20 0 DDADMM C[C@@H]1CN(C(=O)CSc2nc(C3CC3)cc(=O)[n-]2)C[C@H](C)O1 ZINC000276414139 408852307 /nfs/dbraw/zinc/85/23/07/408852307.db2.gz YKKQNJDJFNXJTE-AOOOYVTPSA-N -1 1 323.418 1.788 20 0 DDADMM C[C@H]1CN(C(=O)CSc2nc(C3CC3)cc(=O)[n-]2)C[C@H](C)O1 ZINC000276414149 408852747 /nfs/dbraw/zinc/85/27/47/408852747.db2.gz YKKQNJDJFNXJTE-UWVGGRQHSA-N -1 1 323.418 1.788 20 0 DDADMM NC(=O)C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C1CCCCC1 ZINC000280928737 408860231 /nfs/dbraw/zinc/86/02/31/408860231.db2.gz KFUNKGIANHWFHA-AWEZNQCLSA-N -1 1 318.373 1.515 20 0 DDADMM CSc1n[nH]c(NC(=O)[C@H]2CC(c3cccnc3)=NO2)n1 ZINC000276610984 408893765 /nfs/dbraw/zinc/89/37/65/408893765.db2.gz LTWXTGVEMHAHFX-SECBINFHSA-N -1 1 304.335 1.053 20 0 DDADMM O=C([N-]OC/C=C/Cl)[C@H](c1ccccc1)N1CCC(O)CC1 ZINC000291716755 408912400 /nfs/dbraw/zinc/91/24/00/408912400.db2.gz DMXOCZPRTZIDEZ-ULYATVDSSA-N -1 1 324.808 1.985 20 0 DDADMM COCCn1cc(CNC(=O)c2cc(Cl)ccc2[O-])cn1 ZINC000281711900 408915151 /nfs/dbraw/zinc/91/51/51/408915151.db2.gz CZRXAKLGWUXMFL-UHFFFAOYSA-N -1 1 309.753 1.819 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@@H](C)[C@H](CO)C3)cnc2n1 ZINC000191787589 163201868 /nfs/dbraw/zinc/20/18/68/163201868.db2.gz GICOAVLHAPFUCP-KOLCDFICSA-N -1 1 301.346 1.344 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@@H](C)[C@H](CO)C3)c[n-]c2n1 ZINC000191787589 163201869 /nfs/dbraw/zinc/20/18/69/163201869.db2.gz GICOAVLHAPFUCP-KOLCDFICSA-N -1 1 301.346 1.344 20 0 DDADMM C[C@H](O)CCN(C)C(=O)c1ccc(Br)c([O-])c1 ZINC000231350547 163349913 /nfs/dbraw/zinc/34/99/13/163349913.db2.gz KCWAPNMBUQBTEP-QMMMGPOBSA-N -1 1 302.168 1.998 20 0 DDADMM O=C(NC[C@@H](O)C1CC1)c1ccc(Br)c([O-])c1 ZINC000231354950 163350222 /nfs/dbraw/zinc/35/02/22/163350222.db2.gz WLTXXOMGVLXFDZ-LLVKDONJSA-N -1 1 300.152 1.655 20 0 DDADMM CCOC(=O)C[C@H](C)CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287511761 409047120 /nfs/dbraw/zinc/04/71/20/409047120.db2.gz HSXVCRWDKMQNJO-FZUCCHQLSA-N -1 1 319.365 1.053 20 0 DDADMM COC(=O)[C@@H](CC(F)(F)F)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000287711165 409080481 /nfs/dbraw/zinc/08/04/81/409080481.db2.gz GLPKUYONKGBTOA-SECBINFHSA-N -1 1 319.235 1.185 20 0 DDADMM CO[C@@H]1[C@H](C)[C@@H](NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1(C)C ZINC000287816290 409097590 /nfs/dbraw/zinc/09/75/90/409097590.db2.gz YQYWRGPACIJEAR-VXASXKKESA-N -1 1 317.393 1.523 20 0 DDADMM Cn1cnnc1[C@H]1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000283548523 409174540 /nfs/dbraw/zinc/17/45/40/409174540.db2.gz UXEYLLJRIQPIEJ-GFCCVEGCSA-N -1 1 300.318 1.067 20 0 DDADMM CCN1CCCC[C@H]1C(=O)Nc1cccc(-c2n[nH]c(=O)[n-]2)c1 ZINC000289036464 409210485 /nfs/dbraw/zinc/21/04/85/409210485.db2.gz LQDFYCKIKWHDPO-ZDUSSCGKSA-N -1 1 315.377 1.578 20 0 DDADMM COc1ccccc1N1C[C@H](C(=O)[N-]OCCSC)CC1=O ZINC000280140788 409257018 /nfs/dbraw/zinc/25/70/18/409257018.db2.gz XHHFZYHHEJGORR-LLVKDONJSA-N -1 1 324.402 1.459 20 0 DDADMM COCC1(O)CN(C(=O)c2c([O-])cnc3ccc(Cl)cc32)C1 ZINC000295511735 409322839 /nfs/dbraw/zinc/32/28/39/409322839.db2.gz HOWTZVWQCYHORT-UHFFFAOYSA-N -1 1 322.748 1.427 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@](C)(F)C2)o1 ZINC000295214375 409379938 /nfs/dbraw/zinc/37/99/38/409379938.db2.gz AYOZPVIYZZQUGO-LBPRGKRZSA-N -1 1 304.343 1.152 20 0 DDADMM CC[C@@H](C)[C@@H](C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1)OC ZINC000295213276 409380017 /nfs/dbraw/zinc/38/00/17/409380017.db2.gz GUHPVDMMOIEVQH-MWLCHTKSSA-N -1 1 319.379 1.406 20 0 DDADMM COCc1nnc(S(=O)(=O)Cc2c(C)cccc2Cl)[n-]1 ZINC000296002263 409397630 /nfs/dbraw/zinc/39/76/30/409397630.db2.gz SNKNFZHXKSUISQ-UHFFFAOYSA-N -1 1 315.782 1.887 20 0 DDADMM COCc1nc(S(=O)(=O)Cc2c(C)cccc2Cl)n[n-]1 ZINC000296002263 409397634 /nfs/dbraw/zinc/39/76/34/409397634.db2.gz SNKNFZHXKSUISQ-UHFFFAOYSA-N -1 1 315.782 1.887 20 0 DDADMM CCn1cc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2C)cn1 ZINC000314956033 164016951 /nfs/dbraw/zinc/01/69/51/164016951.db2.gz PAQYJVWQNCVBOX-UHFFFAOYSA-N -1 1 309.347 1.710 20 0 DDADMM O=C([O-])c1ccc(CNC(=O)[C@@H]2Cc3ccccc3CN2)cc1 ZINC000321982114 164046248 /nfs/dbraw/zinc/04/62/48/164046248.db2.gz MPGNCKDLZDFJLN-INIZCTEOSA-N -1 1 310.353 1.716 20 0 DDADMM O=C([N-]S(=O)(=O)C1CCCCC1)c1ccc2cncn2c1 ZINC000408117778 164233280 /nfs/dbraw/zinc/23/32/80/164233280.db2.gz HRORWTFJNSVVOH-UHFFFAOYSA-N -1 1 307.375 1.727 20 0 DDADMM COc1cc(C(=O)N2CCCNC(=O)[C@@H]2C)cc(Cl)c1[O-] ZINC000408294438 164288263 /nfs/dbraw/zinc/28/82/63/164288263.db2.gz MMRDQWVCNCAQJI-QMMMGPOBSA-N -1 1 312.753 1.405 20 0 DDADMM C[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@H](C2CC2)O1 ZINC000296359539 409511933 /nfs/dbraw/zinc/51/19/33/409511933.db2.gz QTXFHBFLPUYMPX-JOYOIKCWSA-N -1 1 318.402 1.658 20 0 DDADMM CC(=O)Nc1cccc(OCCNC(=O)c2cncc([O-])c2)c1 ZINC000348826873 409536446 /nfs/dbraw/zinc/53/64/46/409536446.db2.gz KIFIBGDPFMIFRD-UHFFFAOYSA-N -1 1 315.329 1.554 20 0 DDADMM COCc1nnc(S(=O)(=O)CCc2ccccc2Cl)[n-]1 ZINC000353886272 409540892 /nfs/dbraw/zinc/54/08/92/409540892.db2.gz FIHNMYOPHALJSF-UHFFFAOYSA-N -1 1 315.782 1.621 20 0 DDADMM COCc1nc(S(=O)(=O)CCc2ccccc2Cl)n[n-]1 ZINC000353886272 409540900 /nfs/dbraw/zinc/54/09/00/409540900.db2.gz FIHNMYOPHALJSF-UHFFFAOYSA-N -1 1 315.782 1.621 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1cccnc1OCC ZINC000342420868 409617657 /nfs/dbraw/zinc/61/76/57/409617657.db2.gz XAQSBOAXPTVARM-UHFFFAOYSA-N -1 1 318.333 1.065 20 0 DDADMM CCC(CC)([N-]S(=O)(=O)c1cc(F)ccc1F)C(=O)OC ZINC000337959187 409601446 /nfs/dbraw/zinc/60/14/46/409601446.db2.gz NIQDGTUNSCWAFC-UHFFFAOYSA-N -1 1 321.345 1.975 20 0 DDADMM CN(CC1CCOCC1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000349107433 409695490 /nfs/dbraw/zinc/69/54/90/409695490.db2.gz JTZXMIVRVOEENG-UHFFFAOYSA-N -1 1 301.346 1.422 20 0 DDADMM CC(C)[C@](C)(O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000305206462 409730249 /nfs/dbraw/zinc/73/02/49/409730249.db2.gz NETSZVWZMHVOPT-GFCCVEGCSA-N -1 1 311.325 1.789 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)Cc1cc(F)cc(F)c1 ZINC000349507747 409854821 /nfs/dbraw/zinc/85/48/21/409854821.db2.gz XCRYRVMGLWNTMK-UHFFFAOYSA-N -1 1 317.317 1.494 20 0 DDADMM O=S(=O)([N-]CC1(CO)CCC1)c1ccc(Br)o1 ZINC000332037273 409825933 /nfs/dbraw/zinc/82/59/33/409825933.db2.gz WPEXHSMGJVOALG-UHFFFAOYSA-N -1 1 324.196 1.483 20 0 DDADMM CCCc1cc(=O)[n-]c(SCc2nc(C(=O)OCC)no2)n1 ZINC000314476068 409828521 /nfs/dbraw/zinc/82/85/21/409828521.db2.gz MYYUNMJBHZMNMK-UHFFFAOYSA-N -1 1 324.362 1.987 20 0 DDADMM CC(C)Oc1ccccc1CC(=O)NC1(c2nn[n-]n2)CC1 ZINC000357041406 409810128 /nfs/dbraw/zinc/81/01/28/409810128.db2.gz FCUSGAPYAUHQQQ-UHFFFAOYSA-N -1 1 301.350 1.335 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1nc(C2CC2)n2ccccc12 ZINC000357083497 409856789 /nfs/dbraw/zinc/85/67/89/409856789.db2.gz YPBKTMVPQHUUKP-UHFFFAOYSA-N -1 1 309.333 1.144 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@@]2(C1)CCCOC2 ZINC000357086237 409860866 /nfs/dbraw/zinc/86/08/66/409860866.db2.gz DPEQPNKKYBBJMH-OAHLLOKOSA-N -1 1 307.419 1.782 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1C[C@H](OC(C)C)C1(C)C ZINC000349552811 409868905 /nfs/dbraw/zinc/86/89/05/409868905.db2.gz HMRLIQFVDHBDLN-UWVGGRQHSA-N -1 1 317.411 1.266 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@H](OC(C)C)C1(C)C ZINC000349552811 409868915 /nfs/dbraw/zinc/86/89/15/409868915.db2.gz HMRLIQFVDHBDLN-UWVGGRQHSA-N -1 1 317.411 1.266 20 0 DDADMM COc1ccc(NC(=O)CN2CC[C@@H](C(=O)[O-])[C@@H](C)C2)cc1 ZINC000318884462 409882070 /nfs/dbraw/zinc/88/20/70/409882070.db2.gz MZMRVVOKBBXQFX-SMDDNHRTSA-N -1 1 306.362 1.676 20 0 DDADMM CCSCC[C@@H](C)N(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000357349932 409988391 /nfs/dbraw/zinc/98/83/91/409988391.db2.gz BBRSVTWFWDHMPE-MRVPVSSYSA-N -1 1 315.395 1.500 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)Cc1csc(C(C)(C)C)n1 ZINC000297858524 410028072 /nfs/dbraw/zinc/02/80/72/410028072.db2.gz YHZMTDVBOYGSCQ-UHFFFAOYSA-N -1 1 322.394 1.100 20 0 DDADMM C/C=C\C[C@H](CO)NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000354669004 410004842 /nfs/dbraw/zinc/00/48/42/410004842.db2.gz IFHYITYZXFFDQB-DLRQAJBASA-N -1 1 301.346 1.701 20 0 DDADMM C/C=C\C[C@H](CO)NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000354669004 410004848 /nfs/dbraw/zinc/00/48/48/410004848.db2.gz IFHYITYZXFFDQB-DLRQAJBASA-N -1 1 301.346 1.701 20 0 DDADMM CC(C)n1ncc2cc(C(=O)NC(C)(C)c3nn[n-]n3)cnc21 ZINC000354758098 410065426 /nfs/dbraw/zinc/06/54/26/410065426.db2.gz DGTCFGUOHARYLJ-UHFFFAOYSA-N -1 1 314.353 1.190 20 0 DDADMM C[C@H](CCc1ccccc1F)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354758129 410066447 /nfs/dbraw/zinc/06/64/47/410066447.db2.gz DJOFMSZNHNWOQX-SNVBAGLBSA-N -1 1 305.357 1.959 20 0 DDADMM Cc1cnc(C(=O)N[C@@H]2CCO[C@@H](c3cncn3C)C2)c([O-])c1 ZINC000332378352 410111182 /nfs/dbraw/zinc/11/11/82/410111182.db2.gz AGCPOZWNDRUZIS-BXUZGUMPSA-N -1 1 316.361 1.479 20 0 DDADMM O=C(Cc1ccccc1F)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000332432856 410152175 /nfs/dbraw/zinc/15/21/75/410152175.db2.gz ZMLMFWTWZALCKH-NSHDSACASA-N -1 1 305.309 1.863 20 0 DDADMM CC[C@@H](C(=O)NCc1n[n-]c(=N)o1)N(C)Cc1ccccc1 ZINC000354848950 410127568 /nfs/dbraw/zinc/12/75/68/410127568.db2.gz MQCMZRAHPITCCJ-LBPRGKRZSA-N -1 1 303.366 1.009 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1[C@@H]2CC[C@H]1CNC(=O)C2 ZINC000631616422 422854148 /nfs/dbraw/zinc/85/41/48/422854148.db2.gz MVXLOBLNZWBXDL-MNOVXSKESA-N -1 1 308.765 1.468 20 0 DDADMM CCn1cnnc1C1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000332463191 410175946 /nfs/dbraw/zinc/17/59/46/410175946.db2.gz PUJKDXGZCPRBTQ-UHFFFAOYSA-N -1 1 315.377 1.727 20 0 DDADMM Cn1[n-]c(CN2CCO[C@H](c3ccc(Cl)cc3)C2)nc1=O ZINC000329257227 410195564 /nfs/dbraw/zinc/19/55/64/410195564.db2.gz BVGBLLUPFDWFLB-LBPRGKRZSA-N -1 1 308.769 1.335 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCC[C@@H]2C)o1 ZINC000339510090 410245802 /nfs/dbraw/zinc/24/58/02/410245802.db2.gz LONPASMKPFYJTD-UWVGGRQHSA-N -1 1 300.380 1.354 20 0 DDADMM CSc1cccc(F)c1CNc1nc2[nH][n-]cc-2c(=O)n1 ZINC000343227761 410253086 /nfs/dbraw/zinc/25/30/86/410253086.db2.gz OJSOUJBZFAGDGM-UHFFFAOYSA-N -1 1 305.338 1.905 20 0 DDADMM CCNC(=O)C1(CN=c2nc(C(F)(F)F)[n-]s2)CCC1 ZINC000343201810 410232456 /nfs/dbraw/zinc/23/24/56/410232456.db2.gz BDQHNPKOTWNGNT-UHFFFAOYSA-N -1 1 308.329 1.697 20 0 DDADMM C[C@H](CN(C)C(=O)C(=O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC000358003327 410337754 /nfs/dbraw/zinc/33/77/54/410337754.db2.gz VOWXKTGQCUEQPV-MRVPVSSYSA-N -1 1 307.741 1.298 20 0 DDADMM Cc1cccc2nc(-c3ccc(S(N)(=O)=O)cc3)[n-]c(=O)c12 ZINC000339572857 410295174 /nfs/dbraw/zinc/29/51/74/410295174.db2.gz ZHWZFBZSTQQVCA-UHFFFAOYSA-N -1 1 315.354 1.546 20 0 DDADMM Cc1ccc(OC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cc1 ZINC000329472689 410316831 /nfs/dbraw/zinc/31/68/31/410316831.db2.gz BETUQUTURUVWQE-UHFFFAOYSA-N -1 1 302.378 1.460 20 0 DDADMM COC(=O)CCCNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000339690073 410388868 /nfs/dbraw/zinc/38/88/68/410388868.db2.gz CSJGQFUDTPEYMX-UHFFFAOYSA-N -1 1 303.318 1.327 20 0 DDADMM COC(=O)CCCNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000339690073 410388872 /nfs/dbraw/zinc/38/88/72/410388872.db2.gz CSJGQFUDTPEYMX-UHFFFAOYSA-N -1 1 303.318 1.327 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cc(C2CC2)ccn1 ZINC000358222877 410411172 /nfs/dbraw/zinc/41/11/72/410411172.db2.gz KMJNWWSRGCRDLK-UHFFFAOYSA-N -1 1 312.329 1.428 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1ccccc1Cl ZINC000352150030 410511014 /nfs/dbraw/zinc/51/10/14/410511014.db2.gz RNBUGFYXHNZPPH-UHFFFAOYSA-N -1 1 309.709 1.480 20 0 DDADMM O=C(N=c1ccc(C2CC2)n[n-]1)N1CCC(c2cnc[nH]2)CC1 ZINC000333383651 410514162 /nfs/dbraw/zinc/51/41/62/410514162.db2.gz BNUWNYIJVUIBBS-UHFFFAOYSA-N -1 1 312.377 1.911 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N(C)CC1CC1 ZINC000299098356 410521761 /nfs/dbraw/zinc/52/17/61/410521761.db2.gz UUJHTYOHFAQNMR-UHFFFAOYSA-N -1 1 312.373 1.964 20 0 DDADMM CN(CCc1ccncc1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000355570769 410585501 /nfs/dbraw/zinc/58/55/01/410585501.db2.gz IAGIHOJAFHZARY-UHFFFAOYSA-N -1 1 311.345 1.384 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cnc(C)nc1 ZINC000343573960 410543301 /nfs/dbraw/zinc/54/33/01/410543301.db2.gz FQTCOLGVHRWZQE-UHFFFAOYSA-N -1 1 320.374 1.799 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2ccc3nc(C)[nH]c3c2)cn1 ZINC000340151236 410710523 /nfs/dbraw/zinc/71/05/23/410710523.db2.gz IKQTVPDMEJMJPX-UHFFFAOYSA-N -1 1 305.363 1.889 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cccc(C(=O)Nc2ccccc2)c1 ZINC000352978240 410713345 /nfs/dbraw/zinc/71/33/45/410713345.db2.gz UVHBAWMPSMZOCQ-UHFFFAOYSA-N -1 1 308.301 1.704 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cccc(C(=O)Nc2ccccc2)c1 ZINC000352978240 410713348 /nfs/dbraw/zinc/71/33/48/410713348.db2.gz UVHBAWMPSMZOCQ-UHFFFAOYSA-N -1 1 308.301 1.704 20 0 DDADMM CC(C)C[C@H]1CCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343824869 410727212 /nfs/dbraw/zinc/72/72/12/410727212.db2.gz FXFBCFCRCLSJPV-CYBMUJFWSA-N -1 1 319.405 1.944 20 0 DDADMM CC[C@]1(C)C[C@H]1C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000359674142 410809784 /nfs/dbraw/zinc/80/97/84/410809784.db2.gz CINAJTQKPGDRFJ-IINYFYTJSA-N -1 1 312.391 1.675 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1CC[C@H]1C ZINC000343937459 410828441 /nfs/dbraw/zinc/82/84/41/410828441.db2.gz YPHSSLZMJLDHNY-NOZJJQNGSA-N -1 1 302.378 1.899 20 0 DDADMM Cc1cc(CN2CCN(C(=O)c3ncc(C)cc3[O-])CC2)no1 ZINC000330635865 410841675 /nfs/dbraw/zinc/84/16/75/410841675.db2.gz DSFFQKBITOQYDE-UHFFFAOYSA-N -1 1 316.361 1.350 20 0 DDADMM COc1cc(C(=O)N[C@H](C)C(=O)NCCF)cc(Cl)c1[O-] ZINC000337559915 410864329 /nfs/dbraw/zinc/86/43/29/410864329.db2.gz ZVTFFYAAIYRWMI-SSDOTTSWSA-N -1 1 318.732 1.258 20 0 DDADMM CCOc1ccc2cc(C(=O)NC(C)(C)c3nn[n-]n3)[nH]c2c1 ZINC000359782152 410875991 /nfs/dbraw/zinc/87/59/91/410875991.db2.gz HEXGOFCOEFSCML-UHFFFAOYSA-N -1 1 314.349 1.745 20 0 DDADMM CC(C)(COCc1ccccc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000337637634 410912996 /nfs/dbraw/zinc/91/29/96/410912996.db2.gz REHQQVRFHDAWIR-UHFFFAOYSA-N -1 1 315.377 1.548 20 0 DDADMM O=C([O-])c1cccc(NS(=O)(=O)c2cccc3c2OCO3)c1 ZINC000337751759 410982850 /nfs/dbraw/zinc/98/28/50/410982850.db2.gz JLFFNQVCTITPJS-UHFFFAOYSA-N -1 1 321.310 1.914 20 0 DDADMM N=c1nc(N2CCN(CCOc3ccc(F)cc3)CC2)s[n-]1 ZINC000345766993 167494344 /nfs/dbraw/zinc/49/43/44/167494344.db2.gz ZXNHOGZITFGJDI-UHFFFAOYSA-N -1 1 323.397 1.291 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCN(Cc2ncc[nH]2)CC1 ZINC000348533849 411003719 /nfs/dbraw/zinc/00/37/19/411003719.db2.gz TXLUAVCRKPFRRM-UHFFFAOYSA-N -1 1 322.315 1.352 20 0 DDADMM O=C(NC[C@@H](CO)c1cccnc1)c1c(F)ccc([O-])c1F ZINC000356482304 411050760 /nfs/dbraw/zinc/05/07/60/411050760.db2.gz JPIYKMQCMUAWKJ-JTQLQIEISA-N -1 1 308.284 1.571 20 0 DDADMM CN(Cc1csc(Br)c1)Cc1nc(=O)n(C)[n-]1 ZINC000353478073 411016457 /nfs/dbraw/zinc/01/64/57/411016457.db2.gz RLYFIKKTXGHVHZ-UHFFFAOYSA-N -1 1 317.212 1.564 20 0 DDADMM CCCN(CC1CCCCC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353672132 411106055 /nfs/dbraw/zinc/10/60/55/411106055.db2.gz DKKUUEZEIQVRIO-UHFFFAOYSA-N -1 1 317.393 1.850 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C(C1CC1)C1CC1 ZINC000129166913 196025618 /nfs/dbraw/zinc/02/56/18/196025618.db2.gz FZZLFGYSILOVBJ-UHFFFAOYSA-N -1 1 314.389 1.692 20 0 DDADMM O=C(NCC[N-]S(=O)(=O)c1ccc(C(F)F)o1)C1CC1 ZINC000631673290 422875045 /nfs/dbraw/zinc/87/50/45/422875045.db2.gz PBKSOEDHDZNUGI-UHFFFAOYSA-N -1 1 308.306 1.022 20 0 DDADMM C[C@H](CNS(=O)(=O)c1cccc(Cl)c1[O-])N1CCCC1 ZINC000631741120 422901424 /nfs/dbraw/zinc/90/14/24/422901424.db2.gz YQRMDHMEQBVYQI-SNVBAGLBSA-N -1 1 318.826 1.808 20 0 DDADMM O=C(N[C@@H]1CCO[C@H]1c1ccc(=O)[nH]c1)c1cncc([O-])c1 ZINC000580226560 422905209 /nfs/dbraw/zinc/90/52/09/422905209.db2.gz JVNBFQODAADXCQ-OCCSQVGLSA-N -1 1 301.302 1.148 20 0 DDADMM CS[C@H](C)CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000130838970 196113788 /nfs/dbraw/zinc/11/37/88/196113788.db2.gz FUDKZNJPJFXDDE-SECBINFHSA-N -1 1 322.434 1.852 20 0 DDADMM Cc1cccc2c1O[C@@H](C(=O)N1CCC[C@H](c3nn[n-]n3)C1)C2 ZINC000580397027 422925667 /nfs/dbraw/zinc/92/56/67/422925667.db2.gz XIXNOXDUMCDZPK-QWHCGFSZSA-N -1 1 313.361 1.218 20 0 DDADMM COc1nc(NCCCN2C[C@@H](C)O[C@@H](C)C2)ccc1C(=O)[O-] ZINC000580776264 422953112 /nfs/dbraw/zinc/95/31/12/422953112.db2.gz PTTDVGADYTXPIN-TXEJJXNPSA-N -1 1 323.393 1.122 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2ccc([O-])c(F)c2)[C@H]1n1cccn1 ZINC000647600279 422967143 /nfs/dbraw/zinc/96/71/43/422967143.db2.gz QWISOCPOQWFJTJ-NWANDNLSSA-N -1 1 319.336 1.876 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2cncc([O-])c2)[C@@H]1n1cccn1 ZINC000647599593 422967220 /nfs/dbraw/zinc/96/72/20/422967220.db2.gz UKZLLBCIARGYJA-MCIONIFRSA-N -1 1 302.334 1.132 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC[C@@H]1C[C@H](O)c1ccco1 ZINC000132165161 196231785 /nfs/dbraw/zinc/23/17/85/196231785.db2.gz QFSKHVYPPFLJFF-AAEUAGOBSA-N -1 1 318.329 1.345 20 0 DDADMM O=C(Cn1nc2n(c1=O)CCCC2)Nc1ccc([O-])c(F)c1F ZINC000652422260 423010805 /nfs/dbraw/zinc/01/08/05/423010805.db2.gz VRXMGKKFTOMGNQ-UHFFFAOYSA-N -1 1 324.287 1.004 20 0 DDADMM COc1cncc(/C=C/CCN2C[C@@H](C)O[C@@H](C(=O)[O-])C2)c1 ZINC000652511320 423048701 /nfs/dbraw/zinc/04/87/01/423048701.db2.gz UHXISVNKAMNHMW-BYSCNOHMSA-N -1 1 306.362 1.667 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCCC[C@H]1C(=O)N1CCCC1 ZINC000647815866 423058951 /nfs/dbraw/zinc/05/89/51/423058951.db2.gz OVWDPJCGTCIWEE-VXGBXAGGSA-N -1 1 320.393 1.791 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CCCN(C(=O)NCC(C)C)C1 ZINC000647815680 423058434 /nfs/dbraw/zinc/05/84/34/423058434.db2.gz HHKJMIFSYBADRY-LLVKDONJSA-N -1 1 323.397 1.440 20 0 DDADMM COC(=O)[C@H]1CC[C@@H](c2nc(-c3ccc([O-])cc3F)no2)O1 ZINC000350572437 306753124 /nfs/dbraw/zinc/75/31/24/306753124.db2.gz ZVSFJOKJMUXRNN-WDEREUQCSA-N -1 1 308.265 1.974 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)C[C@H](OC)C(C)C)c1ccco1 ZINC000645575543 423093765 /nfs/dbraw/zinc/09/37/65/423093765.db2.gz WMAMXBRRGSYVQB-AAEUAGOBSA-N -1 1 305.396 1.558 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC1CC1)c1ncccc1C(F)(F)F ZINC000645629778 423115356 /nfs/dbraw/zinc/11/53/56/423115356.db2.gz KFBLYMQROYDAOB-VIFPVBQESA-N -1 1 324.324 1.540 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C)n(C(C)C)n2)cc(=O)[n-]1 ZINC000640655887 423115232 /nfs/dbraw/zinc/11/52/32/423115232.db2.gz RXZDIOOYUKPWPS-UHFFFAOYSA-N -1 1 321.406 1.920 20 0 DDADMM CSc1nc(CNC(=O)[C@@H]2Cc3ccccc3O2)cc(=O)[n-]1 ZINC000640658764 423117208 /nfs/dbraw/zinc/11/72/08/423117208.db2.gz SFSFKOXSWIDXID-LBPRGKRZSA-N -1 1 317.370 1.524 20 0 DDADMM CCOc1ncccc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640658943 423117821 /nfs/dbraw/zinc/11/78/21/423117821.db2.gz ULMYWBMPCNCRSS-UHFFFAOYSA-N -1 1 320.374 1.628 20 0 DDADMM CO[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645672441 423136987 /nfs/dbraw/zinc/13/69/87/423136987.db2.gz QCHFTJFAJIWIQB-YUMQZZPRSA-N -1 1 312.313 1.802 20 0 DDADMM O=S(=O)([N-]C[C@H]1CC[C@H](O)C1)c1ncccc1C(F)(F)F ZINC000645676608 423138333 /nfs/dbraw/zinc/13/83/33/423138333.db2.gz YSOZETDXUFVYOS-IUCAKERBSA-N -1 1 324.324 1.540 20 0 DDADMM Cn1cc2c(n1)CCC[C@@H]2C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000374215468 418506964 /nfs/dbraw/zinc/50/69/64/418506964.db2.gz FARGTDLQPDACDR-LURJTMIESA-N -1 1 314.271 1.616 20 0 DDADMM O=C([O-])C(=O)N1CCC[C@H](c2nnc(-c3ccccc3)[nH]2)C1 ZINC000366731919 418509720 /nfs/dbraw/zinc/50/97/20/418509720.db2.gz GXFLUHRQQZOMAP-NSHDSACASA-N -1 1 300.318 1.262 20 0 DDADMM CC(C)(CCNC(=O)CNC(=O)c1ncccc1[O-])C1CC1 ZINC000360763954 418511715 /nfs/dbraw/zinc/51/17/15/418511715.db2.gz MYLURSJCMHPCAW-UHFFFAOYSA-N -1 1 305.378 1.460 20 0 DDADMM O=c1cc(CN2C[C@H]3[C@H](CO)[C@H]3C2)c2cc(Cl)c([O-])cc2o1 ZINC000366407846 418469649 /nfs/dbraw/zinc/46/96/49/418469649.db2.gz TXMRNVQMQCPWFG-GDNZZTSVSA-N -1 1 321.760 1.822 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(F)ccc2[O-])C[C@H](C)S1(=O)=O ZINC000291150989 222175674 /nfs/dbraw/zinc/17/56/74/222175674.db2.gz FVHYHHUWUZOXSF-IUCAKERBSA-N -1 1 301.339 1.179 20 0 DDADMM O=C(C[N@H+]1CC[C@@]2(C1)CCCOC2)[N-]OCc1ccccc1 ZINC000375296065 418626932 /nfs/dbraw/zinc/62/69/32/418626932.db2.gz OBOHYBTZJTVPFT-QGZVFWFLSA-N -1 1 304.390 1.737 20 0 DDADMM CCO[C@@H](C)c1noc(CSc2nc(C(=O)OC)c[n-]2)n1 ZINC000361995122 418735811 /nfs/dbraw/zinc/73/58/11/418735811.db2.gz CTGHZTBRUQFZKA-ZETCQYMHSA-N -1 1 312.351 1.969 20 0 DDADMM COc1ccccc1CNC(=O)CN1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000391946667 418758588 /nfs/dbraw/zinc/75/85/88/418758588.db2.gz FAEIGULLAQKVRX-OCCSQVGLSA-N -1 1 320.389 1.354 20 0 DDADMM CCN(c1ccc(C(=O)Nc2nn[nH]c2C(N)=O)cc1)C(C)C ZINC000410856756 418852794 /nfs/dbraw/zinc/85/27/94/418852794.db2.gz GPWPOKYVWGXIEP-UHFFFAOYSA-N -1 1 316.365 1.391 20 0 DDADMM O=C([N-]O[C@@H]1CCCCO1)[C@@H]1CCCN(c2ccccn2)C1 ZINC000373076276 418927280 /nfs/dbraw/zinc/92/72/80/418927280.db2.gz KZYUQFJMPMTNKE-UKRRQHHQSA-N -1 1 305.378 1.872 20 0 DDADMM CCn1cnnc1C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425144001 228372126 /nfs/dbraw/zinc/37/21/26/228372126.db2.gz QCNHSUVNMKUPJD-UHFFFAOYSA-N -1 1 316.333 1.363 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccn(-c2ccncc2)n1)OC ZINC000421159416 419505757 /nfs/dbraw/zinc/50/57/57/419505757.db2.gz UGMGTRIUZSWMDE-GFCCVEGCSA-N -1 1 310.379 1.434 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3C[C@H](C)C[C@H](O)C3)cnc2n1 ZINC000412410739 419758724 /nfs/dbraw/zinc/75/87/24/419758724.db2.gz PQVYZPQVHIXBJL-KOLCDFICSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3C[C@H](C)C[C@H](O)C3)c[n-]c2n1 ZINC000412410739 419758731 /nfs/dbraw/zinc/75/87/31/419758731.db2.gz PQVYZPQVHIXBJL-KOLCDFICSA-N -1 1 301.346 1.487 20 0 DDADMM Cc1nnnn1-c1cccc(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436661406 229508217 /nfs/dbraw/zinc/50/82/17/229508217.db2.gz GCGYILXTKLOYFS-UHFFFAOYSA-N -1 1 323.312 1.498 20 0 DDADMM Cn1cc(CS(=O)(=O)c2nc(-c3ccccc3F)n[n-]2)cn1 ZINC000429899420 420057934 /nfs/dbraw/zinc/05/79/34/420057934.db2.gz CHCKSPXZGIGTKG-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM Cn1cc(CS(=O)(=O)c2n[n-]c(-c3ccccc3F)n2)cn1 ZINC000429899420 420057940 /nfs/dbraw/zinc/05/79/40/420057940.db2.gz CHCKSPXZGIGTKG-UHFFFAOYSA-N -1 1 321.337 1.318 20 0 DDADMM O=C(N[C@H](CO)CC(F)F)c1ccc(Br)c([O-])c1 ZINC000430513735 420126295 /nfs/dbraw/zinc/12/62/95/420126295.db2.gz ZATRAPXMMQBHRZ-ZETCQYMHSA-N -1 1 324.121 1.901 20 0 DDADMM CN(CCC(=O)[O-])CC(=O)N1CCc2[nH]c3ccccc3c2C1 ZINC000430663701 420173367 /nfs/dbraw/zinc/17/33/67/420173367.db2.gz MTZAYWSNEAAGSA-UHFFFAOYSA-N -1 1 315.373 1.459 20 0 DDADMM CCO[C@@H](CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C(C)C ZINC000416169120 420265765 /nfs/dbraw/zinc/26/57/65/420265765.db2.gz CFMYTKMIGSNNHC-VIFPVBQESA-N -1 1 313.354 1.077 20 0 DDADMM C[C@H]1CC[NH2+]C[C@H]1NS(=O)(=O)c1c(Cl)ccc(F)c1F ZINC000420429613 420281434 /nfs/dbraw/zinc/28/14/34/420281434.db2.gz AQMDNKYBWKUXPV-OIBJUYFYSA-N -1 1 324.780 1.895 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccccc1C1N=NC(=O)O1 ZINC000436476551 420331208 /nfs/dbraw/zinc/33/12/08/420331208.db2.gz JKUQRLUOLGMALD-QMMMGPOBSA-N -1 1 313.335 1.219 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc(-c2nc[nH]n2)cc1F ZINC000436478167 420331486 /nfs/dbraw/zinc/33/14/86/420331486.db2.gz NXWQYACFEONXHN-QMMMGPOBSA-N -1 1 314.342 1.387 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2ccc3nc[nH]c3n2)c1 ZINC000436504185 420334952 /nfs/dbraw/zinc/33/49/52/420334952.db2.gz JAPHGXWODOVWIW-UHFFFAOYSA-N -1 1 312.285 1.702 20 0 DDADMM CS(=O)(=O)c1cccc(CNC2(c3nnn[n-]3)CCCC2)c1 ZINC000425365646 420363856 /nfs/dbraw/zinc/36/38/56/420363856.db2.gz YMALGESTNQFYJW-UHFFFAOYSA-N -1 1 321.406 1.162 20 0 DDADMM CS(=O)(=O)c1cccc(CNC2(c3nn[n-]n3)CCCC2)c1 ZINC000425365646 420363858 /nfs/dbraw/zinc/36/38/58/420363858.db2.gz YMALGESTNQFYJW-UHFFFAOYSA-N -1 1 321.406 1.162 20 0 DDADMM O=C(C(=O)N1CCOc2cc(O)ccc2C1)c1ccc([O-])cc1 ZINC000436652139 420351479 /nfs/dbraw/zinc/35/14/79/420351479.db2.gz KGUHVJBUNRRIEC-UHFFFAOYSA-N -1 1 313.309 1.702 20 0 DDADMM O=C(Nc1cnn(CC(F)(F)F)c1)C(=O)c1ccc([O-])cc1 ZINC000436699483 420355979 /nfs/dbraw/zinc/35/59/79/420355979.db2.gz QVCOMKNMMQHDJP-UHFFFAOYSA-N -1 1 313.235 1.972 20 0 DDADMM O=C(NC[C@H]1CCCCS1(=O)=O)c1cc(F)ccc1[O-] ZINC000436824089 420371927 /nfs/dbraw/zinc/37/19/27/420371927.db2.gz FTBPIQDPPFUGGQ-SNVBAGLBSA-N -1 1 301.339 1.228 20 0 DDADMM CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)c1ccc([O-])cc1F ZINC000436823176 420372669 /nfs/dbraw/zinc/37/26/69/420372669.db2.gz SMQFNPJSHNRNSY-OAHLLOKOSA-N -1 1 313.325 1.354 20 0 DDADMM COC(=O)[C@@H](NC(=O)c1ccc([O-])cc1F)c1ccc(O)cc1 ZINC000436825886 420372766 /nfs/dbraw/zinc/37/27/66/420372766.db2.gz FQFLAJFZYQKGBV-AWEZNQCLSA-N -1 1 319.288 1.881 20 0 DDADMM COC(=O)[C@@H](CNC(=O)C(=O)c1ccc([O-])cc1)CC(C)C ZINC000436908636 420381867 /nfs/dbraw/zinc/38/18/67/420381867.db2.gz JXXAJIQEMQKFLF-GFCCVEGCSA-N -1 1 307.346 1.526 20 0 DDADMM C[N@@H+]1CCC[C@H](CC[N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC000416563258 420419545 /nfs/dbraw/zinc/41/95/45/420419545.db2.gz PILWRKQPOZIPKK-LLVKDONJSA-N -1 1 318.389 1.975 20 0 DDADMM Cc1cscc1[N-]S(=O)(=O)c1ccc(-n2cnnn2)cc1 ZINC000439065686 420477987 /nfs/dbraw/zinc/47/79/87/420477987.db2.gz JPVHJBDATQEHKU-UHFFFAOYSA-N -1 1 321.387 1.833 20 0 DDADMM CCOc1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)cc1C ZINC000492596708 420600753 /nfs/dbraw/zinc/60/07/53/420600753.db2.gz QCWKPRYKKLCXQL-CLFYSBASSA-N -1 1 315.377 1.972 20 0 DDADMM COCCSCCCN1CCCC[C@H]1c1n[nH]c(=O)[n-]1 ZINC000450987627 420576817 /nfs/dbraw/zinc/57/68/17/420576817.db2.gz WZORTXCRLQFLFU-NSHDSACASA-N -1 1 300.428 1.807 20 0 DDADMM CCO[C@H]1C[C@@]([N-]S(=O)(=O)CC2CC2)(C(=O)OC)C1(C)C ZINC000444274839 420757218 /nfs/dbraw/zinc/75/72/18/420757218.db2.gz FMIDISLZBADAQQ-SMDDNHRTSA-N -1 1 319.423 1.063 20 0 DDADMM CN(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@@H](CO)CC(C)(C)C ZINC000454307378 420835606 /nfs/dbraw/zinc/83/56/06/420835606.db2.gz AJUJKWLPUKPUPC-LLVKDONJSA-N -1 1 317.389 1.792 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)[C@@H](OC)C(C)C)c1Br ZINC000448315663 420849274 /nfs/dbraw/zinc/84/92/74/420849274.db2.gz HBEMIEMSHNBTBP-VIFPVBQESA-N -1 1 304.188 1.766 20 0 DDADMM CCn1nccc1S(=O)(=O)Nc1ccc(F)c(C(=O)[O-])c1 ZINC000471918916 420957734 /nfs/dbraw/zinc/95/77/34/420957734.db2.gz AWFAIVIYIVFABM-UHFFFAOYSA-N -1 1 313.310 1.541 20 0 DDADMM CCO/C=C\C(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000493856527 420959413 /nfs/dbraw/zinc/95/94/13/420959413.db2.gz ZWTNXQAAWXWSMC-ONRRBMGISA-N -1 1 308.300 1.454 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccc(C(=O)N2CC[C@H](O)C2)c([O-])c1 ZINC000494001743 420978791 /nfs/dbraw/zinc/97/87/91/420978791.db2.gz GXWBVMCUMCYAEM-NSHDSACASA-N -1 1 322.361 1.946 20 0 DDADMM CCC[C@@H](NC(=O)CN(C)C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC000495962735 421105759 /nfs/dbraw/zinc/10/57/59/421105759.db2.gz XVGQGZYWTQTEFF-SECBINFHSA-N -1 1 312.374 1.024 20 0 DDADMM CC[C@H](NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C)[C@H](C)O ZINC000456218646 421124722 /nfs/dbraw/zinc/12/47/22/421124722.db2.gz MLKDFSDAPHWUNX-AAEUAGOBSA-N -1 1 320.393 1.260 20 0 DDADMM C[C@H]1Cc2cccc(C(=O)Nc3nc(SCCO)n[nH]3)c2O1 ZINC000450123785 421145110 /nfs/dbraw/zinc/14/51/10/421145110.db2.gz APPQUBUTLFCUSB-QMMMGPOBSA-N -1 1 320.374 1.465 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCCC[C@H]1CC(=O)Nc1nnn[n-]1 ZINC000496703539 421302489 /nfs/dbraw/zinc/30/24/89/421302489.db2.gz SSRFJBHGBDCPIE-VHSXEESVSA-N -1 1 324.385 1.612 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H]1CCCC[C@H]1CC(=O)Nc1nn[n-]n1 ZINC000496703539 421302493 /nfs/dbraw/zinc/30/24/93/421302493.db2.gz SSRFJBHGBDCPIE-VHSXEESVSA-N -1 1 324.385 1.612 20 0 DDADMM Cc1ccc2c(c1)CC[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000545395060 421256748 /nfs/dbraw/zinc/25/67/48/421256748.db2.gz NBKKIZXZAJRODY-LBPRGKRZSA-N -1 1 309.329 1.143 20 0 DDADMM C[C@@H]1C[C@H](C)N1C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000526934188 421338367 /nfs/dbraw/zinc/33/83/67/421338367.db2.gz UAIVGBGHBHOJDM-AOOOYVTPSA-N -1 1 314.407 1.979 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1ccc2c(c1)COC2)c1nn[n-]n1 ZINC000547811120 421370637 /nfs/dbraw/zinc/37/06/37/421370637.db2.gz YQMNUEQQIGICRS-JTQLQIEISA-N -1 1 301.350 1.035 20 0 DDADMM Cc1n[nH]c(C)c1[C@@H](C)CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000548125750 421403986 /nfs/dbraw/zinc/40/39/86/421403986.db2.gz QXQNOGSVVQFXTK-VIFPVBQESA-N -1 1 317.397 1.619 20 0 DDADMM Cc1ccccc1-c1nc(=NCCN2CCN(C)CC2)s[n-]1 ZINC000527833917 421447626 /nfs/dbraw/zinc/44/76/26/421447626.db2.gz OBIUOQBEDJMEEI-UHFFFAOYSA-N -1 1 317.462 1.595 20 0 DDADMM O=C(N=c1cc([C@H]2CCCO2)[nH][nH]1)c1csc(=NC2CC2)[n-]1 ZINC000527499272 421391563 /nfs/dbraw/zinc/39/15/63/421391563.db2.gz SRQROXBENRXNOQ-LLVKDONJSA-N -1 1 319.390 1.388 20 0 DDADMM O=S(=O)([N-]c1cnc[nH]1)c1ccc(OC(F)F)c(F)c1 ZINC000551174506 421528468 /nfs/dbraw/zinc/52/84/68/421528468.db2.gz XOAVRGATXKULPO-UHFFFAOYSA-N -1 1 307.253 1.951 20 0 DDADMM Cc1cnc(C(=O)NCc2cnn(CC(F)(F)F)c2)c([O-])c1 ZINC000548639813 421456475 /nfs/dbraw/zinc/45/64/75/421456475.db2.gz MABYJLJCVJRJHT-UHFFFAOYSA-N -1 1 314.267 1.784 20 0 DDADMM O=S(=O)(Cc1ncccn1)c1ncc(-c2ccc(F)cc2)[n-]1 ZINC000517010032 421588389 /nfs/dbraw/zinc/58/83/89/421588389.db2.gz ZKYXMFMXFREFMN-UHFFFAOYSA-N -1 1 318.333 1.980 20 0 DDADMM O=S(=O)(Cc1ncccn1)c1nc(-c2ccc(F)cc2)c[n-]1 ZINC000517010032 421588390 /nfs/dbraw/zinc/58/83/90/421588390.db2.gz ZKYXMFMXFREFMN-UHFFFAOYSA-N -1 1 318.333 1.980 20 0 DDADMM CC(C)[C@H](Nc1nc(C(F)(F)F)nc2[nH]cnc21)C(=O)[O-] ZINC000517224485 421600747 /nfs/dbraw/zinc/60/07/47/421600747.db2.gz HQROAHXJCWFDFG-YFKPBYRVSA-N -1 1 303.244 1.315 20 0 DDADMM N=c1nc(N2CCN(C[C@@H]3CCC4(CCCC4)O3)CC2)s[n-]1 ZINC000519003245 421675762 /nfs/dbraw/zinc/67/57/62/421675762.db2.gz FICUKKFVVKFRMH-LBPRGKRZSA-N -1 1 323.466 1.564 20 0 DDADMM Cc1cc(CS(=O)(=O)c2nc(-c3ccccc3F)n[n-]2)on1 ZINC000571015231 421680442 /nfs/dbraw/zinc/68/04/42/421680442.db2.gz ZSKCHSKDBTZSAA-UHFFFAOYSA-N -1 1 322.321 1.881 20 0 DDADMM Cc1cc(CS(=O)(=O)c2n[n-]c(-c3ccccc3F)n2)on1 ZINC000571015231 421680446 /nfs/dbraw/zinc/68/04/46/421680446.db2.gz ZSKCHSKDBTZSAA-UHFFFAOYSA-N -1 1 322.321 1.881 20 0 DDADMM O=C(CCc1cncc(F)c1)NC1(c2nn[n-]n2)CCCC1 ZINC000538253241 421737670 /nfs/dbraw/zinc/73/76/70/421737670.db2.gz RTSRERHFRYKBKG-UHFFFAOYSA-N -1 1 304.329 1.252 20 0 DDADMM Cn1[n-]c(CN2CCN(Cc3ccccc3Cl)CC2)nc1=O ZINC000542506033 421823951 /nfs/dbraw/zinc/82/39/51/421823951.db2.gz JNACLSFBPGFQKB-UHFFFAOYSA-N -1 1 321.812 1.080 20 0 DDADMM CC[C@@H](OC1CCCC1)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000572581942 421802741 /nfs/dbraw/zinc/80/27/41/421802741.db2.gz QNZMYAYITNCYRQ-GFCCVEGCSA-N -1 1 307.398 1.823 20 0 DDADMM CN(C)c1ccncc1C(=O)N1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000630102865 421891082 /nfs/dbraw/zinc/89/10/82/421891082.db2.gz XYOALQIANKLLPJ-LBPRGKRZSA-N -1 1 303.362 1.475 20 0 DDADMM Cn1nc(C(=O)[O-])cc1CN[C@H](CCCO)c1ccccc1 ZINC000635309913 421897926 /nfs/dbraw/zinc/89/79/26/421897926.db2.gz VOLNMVBHAFGFJJ-CQSZACIVSA-N -1 1 303.362 1.722 20 0 DDADMM C[C@@H](NC(=O)c1cncc([O-])c1)c1nc(C(F)(F)F)no1 ZINC000627821452 421904668 /nfs/dbraw/zinc/90/46/68/421904668.db2.gz PRNWNFDTRBYGBK-RXMQYKEDSA-N -1 1 302.212 1.680 20 0 DDADMM COc1ccc(F)cc1[C@@H](C)NC(=O)CCCc1nn[n-]n1 ZINC000635353969 421930743 /nfs/dbraw/zinc/93/07/43/421930743.db2.gz ZFCUONXXHIEPTP-SECBINFHSA-N -1 1 307.329 1.548 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2Cc3ccccc32)CC1 ZINC000630222176 421972103 /nfs/dbraw/zinc/97/21/03/421972103.db2.gz DEXCOKFHZBUQRY-SWLSCSKDSA-N -1 1 302.374 1.334 20 0 DDADMM CC(C)c1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)nn1C ZINC000630225125 421975186 /nfs/dbraw/zinc/97/51/86/421975186.db2.gz FCZFXORQWMTXOI-UHFFFAOYSA-N -1 1 322.409 1.165 20 0 DDADMM COc1cccc(F)c1C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630226994 421978677 /nfs/dbraw/zinc/97/86/77/421978677.db2.gz URRQWJYRRYKLRV-UHFFFAOYSA-N -1 1 324.352 1.455 20 0 DDADMM COc1cccc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)c1C ZINC000630227527 421978780 /nfs/dbraw/zinc/97/87/80/421978780.db2.gz NXJMRUJNPVAJMZ-UHFFFAOYSA-N -1 1 320.389 1.625 20 0 DDADMM Cc1[nH]c2ccc(CNC(=O)CCCc3nn[n-]n3)cc2c1C ZINC000635425767 421981197 /nfs/dbraw/zinc/98/11/97/421981197.db2.gz OSSSZTYSLLTLSB-UHFFFAOYSA-N -1 1 312.377 1.937 20 0 DDADMM CO[C@H]1CN(C(=O)c2cccc3c[nH]nc32)[C@@](C)(C(=O)[O-])C1 ZINC000630310734 422014431 /nfs/dbraw/zinc/01/44/31/422014431.db2.gz KEFPBCYLRVNFBW-MEBBXXQBSA-N -1 1 303.318 1.267 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2(O)CCCCCC2)sn1 ZINC000632006564 422014712 /nfs/dbraw/zinc/01/47/12/422014712.db2.gz GCUHLLWOEKZCGW-UHFFFAOYSA-N -1 1 304.437 1.815 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@H](O)[C@H](Oc3ccccc3)C2)c([O-])c1 ZINC000633806737 422091919 /nfs/dbraw/zinc/09/19/19/422091919.db2.gz CVONQSBGOWZORU-HUUCEWRRSA-N -1 1 314.341 1.360 20 0 DDADMM CC[C@H](NS(=O)(=O)c1cc(OC)ccc1[O-])[C@@H]1CCCO1 ZINC000632145004 422117396 /nfs/dbraw/zinc/11/73/96/422117396.db2.gz SKCGATUSHBOEQF-AAEUAGOBSA-N -1 1 315.391 1.637 20 0 DDADMM C[C@H]1CN(S(=O)(=O)c2cc(O)cc(F)c2)CC[C@H]1C(=O)[O-] ZINC000630447565 422109172 /nfs/dbraw/zinc/10/91/72/422109172.db2.gz SMLBDTHXNIDBHX-QPUJVOFHSA-N -1 1 317.338 1.263 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C(C)(C)c1ccccc1 ZINC000633690704 422053198 /nfs/dbraw/zinc/05/31/98/422053198.db2.gz AFEZOEUHMWEGFR-UHFFFAOYSA-N -1 1 300.362 1.416 20 0 DDADMM CO[C@]1(C)C[C@@H]([N-]S(=O)(=O)c2cc(C)ns2)C1(C)C ZINC000632056269 422055522 /nfs/dbraw/zinc/05/55/22/422055522.db2.gz VIFJSMIHFCBGMO-BXKDBHETSA-N -1 1 304.437 1.933 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCO[C@@H](C(C)C)C2)sn1 ZINC000632177680 422140607 /nfs/dbraw/zinc/14/06/07/422140607.db2.gz BLTGQRCOEKDDIU-WDEREUQCSA-N -1 1 304.437 1.933 20 0 DDADMM CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632217457 422171239 /nfs/dbraw/zinc/17/12/39/422171239.db2.gz AIBFQXQJFJODIF-PWSUYJOCSA-N -1 1 310.781 1.619 20 0 DDADMM O=C(CCCc1nn[n-]n1)N(CCc1cccc(F)c1)C1CC1 ZINC000635568009 422121736 /nfs/dbraw/zinc/12/17/36/422121736.db2.gz WUMWPJPEVBUKPO-UHFFFAOYSA-N -1 1 317.368 1.895 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CCN(C)CC2(C)C)c1 ZINC000632164953 422131013 /nfs/dbraw/zinc/13/10/13/422131013.db2.gz SGUKGMKPEKTITK-UHFFFAOYSA-N -1 1 314.407 1.116 20 0 DDADMM CC[C@@H](CNC(=O)CCCc1nn[n-]n1)Oc1cccc(F)c1 ZINC000635662300 422219272 /nfs/dbraw/zinc/21/92/72/422219272.db2.gz PXIBAEQCXFCQCA-LBPRGKRZSA-N -1 1 321.356 1.635 20 0 DDADMM CC(C)(C)OC(=O)CC1(C(=O)NC2(c3nn[n-]n3)CC2)CCC1 ZINC000583936767 422222009 /nfs/dbraw/zinc/22/20/09/422222009.db2.gz NKSYKJUZSNUSCS-UHFFFAOYSA-N -1 1 321.381 1.207 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CCCc2c[nH]nc2C)sn1 ZINC000632337765 422257406 /nfs/dbraw/zinc/25/74/06/422257406.db2.gz VZUAFXCNEJXIBM-UHFFFAOYSA-N -1 1 300.409 1.394 20 0 DDADMM COc1cccc([C@H](N)C(=O)N2C[C@H](C(=O)[O-])C[C@H](C)C2)c1 ZINC000630574447 422189649 /nfs/dbraw/zinc/18/96/49/422189649.db2.gz PSPWEJJMHDAXQL-SUHUHFCYSA-N -1 1 306.362 1.264 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H](Nc2ccccc2)C1 ZINC000635708624 422264094 /nfs/dbraw/zinc/26/40/94/422264094.db2.gz HJAULKXBTUQJJJ-CQSZACIVSA-N -1 1 314.393 1.626 20 0 DDADMM COC(C)(C)c1nc(=NC(=O)[C@H]2CCCc3[nH]ncc32)s[n-]1 ZINC000634151079 422289880 /nfs/dbraw/zinc/28/98/80/422289880.db2.gz IAMGMGPULGWMFC-QMMMGPOBSA-N -1 1 321.406 1.623 20 0 DDADMM O=C(CCc1nn[n-]n1)NCc1c(Cl)cccc1Cl ZINC000630698031 422275701 /nfs/dbraw/zinc/27/57/01/422275701.db2.gz QXSSRSALDMDITL-UHFFFAOYSA-N -1 1 300.149 1.756 20 0 DDADMM Cc1cc(F)ccc1[C@@H]1CCCN1CC(=O)Nc1nnn[n-]1 ZINC000584218369 422285869 /nfs/dbraw/zinc/28/58/69/422285869.db2.gz SJFHFZYYNOIIQL-LBPRGKRZSA-N -1 1 304.329 1.423 20 0 DDADMM Cc1cc(F)ccc1[C@@H]1CCCN1CC(=O)Nc1nn[n-]n1 ZINC000584218369 422285874 /nfs/dbraw/zinc/28/58/74/422285874.db2.gz SJFHFZYYNOIIQL-LBPRGKRZSA-N -1 1 304.329 1.423 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@]2(O)CCC[C@@H]2C)c1 ZINC000632374212 422287804 /nfs/dbraw/zinc/28/78/04/422287804.db2.gz MUMFSFXTEZZERV-HZMBPMFUSA-N -1 1 315.391 1.230 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H]2CCCC2(F)F)c1 ZINC000632338909 422260352 /nfs/dbraw/zinc/26/03/52/422260352.db2.gz MNVVRSJLTSQUHO-LLVKDONJSA-N -1 1 307.318 1.867 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CCO[C@H](C(F)F)CC1 ZINC000634159249 422294644 /nfs/dbraw/zinc/29/46/44/422294644.db2.gz AWMNLQPUXCWFMX-JTQLQIEISA-N -1 1 317.361 1.636 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCc2c3ccccc3[nH]c2C1 ZINC000635742238 422300359 /nfs/dbraw/zinc/30/03/59/422300359.db2.gz JDMUTSCOUPFEJE-UHFFFAOYSA-N -1 1 310.361 1.589 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1C[C@@H](CO)[C@H](C(F)(F)F)C1 ZINC000634234869 422338773 /nfs/dbraw/zinc/33/87/73/422338773.db2.gz GCKQYYDSIXQIPA-OIBJUYFYSA-N -1 1 307.243 1.774 20 0 DDADMM C[C@@H]1CCN([C@@H]2CCN(CC(F)(F)F)C2=O)C[C@H]1C(=O)[O-] ZINC000578131512 422506668 /nfs/dbraw/zinc/50/66/68/422506668.db2.gz FNNWYEWXJAMQNQ-OPRDCNLKSA-N -1 1 308.300 1.192 20 0 DDADMM C/C=C\CNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000629039714 422452716 /nfs/dbraw/zinc/45/27/16/422452716.db2.gz SSVUCMCAOUDWQT-WAYWQWQTSA-N -1 1 300.380 1.662 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@H](O)[C@H]2CCCC[C@@H]21 ZINC000634489215 422454591 /nfs/dbraw/zinc/45/45/91/422454591.db2.gz NCSRXDZYQQZMAJ-UBHSHLNASA-N -1 1 321.446 1.905 20 0 DDADMM CC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1 ZINC000632586478 422455517 /nfs/dbraw/zinc/45/55/17/422455517.db2.gz SKNZQMVLTRLTIH-MRVPVSSYSA-N -1 1 308.306 1.116 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@H]1CCCO1)c1ccc(C(F)F)o1 ZINC000632592101 422459025 /nfs/dbraw/zinc/45/90/25/422459025.db2.gz BBPIWSLSFULFPM-HTQZYQBOSA-N -1 1 311.306 1.035 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H]1CCc2cccc(OC)c21)c1nn[n-]n1 ZINC000577969209 422468258 /nfs/dbraw/zinc/46/82/58/422468258.db2.gz ZNFVPMNZTZIBBF-VXGBXAGGSA-N -1 1 315.377 1.896 20 0 DDADMM O=C(c1ccoc1C(F)(F)F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000578104348 422497707 /nfs/dbraw/zinc/49/77/07/422497707.db2.gz UFAOBQSXVGQELP-ZETCQYMHSA-N -1 1 315.255 1.831 20 0 DDADMM Cc1ccc(C)c2sc(NC(=O)CCc3nn[n-]n3)nc21 ZINC000631167194 422578417 /nfs/dbraw/zinc/57/84/17/422578417.db2.gz GHMKLMPCJSKIEX-UHFFFAOYSA-N -1 1 302.363 1.998 20 0 DDADMM O=C(C[C@H]1CCC(=O)NC1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000629379684 422640340 /nfs/dbraw/zinc/64/03/40/422640340.db2.gz HHXSAAGLKXGSFI-LLVKDONJSA-N -1 1 314.345 1.433 20 0 DDADMM COc1cc(=NS(=O)(=O)c2ccc(C(F)F)o2)[n-]n1C ZINC000634791616 422649157 /nfs/dbraw/zinc/64/91/57/422649157.db2.gz IECOXXOOVHVALG-UHFFFAOYSA-N -1 1 307.278 1.182 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1C[C@H](C)O[C@H]1C ZINC000631295334 422668896 /nfs/dbraw/zinc/66/88/96/422668896.db2.gz RZZNEZFLEGCKEC-KPXOXKRLSA-N -1 1 318.377 1.481 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H](C[C@H]1CCCO1)C(F)(F)F ZINC000636139379 422672083 /nfs/dbraw/zinc/67/20/83/422672083.db2.gz GMKXKGCLDGCMSV-RKDXNWHRSA-N -1 1 321.303 1.139 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCSC[C@@H]1c1ccccc1 ZINC000631324629 422687061 /nfs/dbraw/zinc/68/70/61/422687061.db2.gz PWBMGGNBXXWTPS-GFCCVEGCSA-N -1 1 303.391 1.449 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)C1CCC1)c1ncccc1C(F)(F)F ZINC000645748740 423170100 /nfs/dbraw/zinc/17/01/00/423170100.db2.gz ZSWNRCATOZWGKU-SNVBAGLBSA-N -1 1 324.324 1.540 20 0 DDADMM CSc1cccnc1[N-]C(=O)c1cn(C2CCOCC2)nn1 ZINC000652877816 423183733 /nfs/dbraw/zinc/18/37/33/423183733.db2.gz HSGSZQVQSUAMRE-UHFFFAOYSA-N -1 1 319.390 1.999 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](CC(C)C)C2)co1 ZINC000154499224 263343630 /nfs/dbraw/zinc/34/36/30/263343630.db2.gz SBQLDTQPPSPKAO-LLVKDONJSA-N -1 1 314.407 1.696 20 0 DDADMM CCc1c(C(=O)Nc2nnn[n-]2)cnn1-c1ccccc1OC ZINC000187647113 263410325 /nfs/dbraw/zinc/41/03/25/263410325.db2.gz WSONLFJFYCAQMO-UHFFFAOYSA-N -1 1 313.321 1.209 20 0 DDADMM CCc1c(C(=O)Nc2nn[n-]n2)cnn1-c1ccccc1OC ZINC000187647113 263410326 /nfs/dbraw/zinc/41/03/26/263410326.db2.gz WSONLFJFYCAQMO-UHFFFAOYSA-N -1 1 313.321 1.209 20 0 DDADMM CN(C)C(=O)CN1CCN(Cc2cccc([O-])c2Cl)CC1 ZINC000646048686 423312785 /nfs/dbraw/zinc/31/27/85/423312785.db2.gz DRAOFQDOVUFGQE-UHFFFAOYSA-N -1 1 311.813 1.251 20 0 DDADMM O=C([O-])[C@@H](CC(F)(F)F)NC(=O)c1[nH]nc2c1CCCC2 ZINC000648339447 423324335 /nfs/dbraw/zinc/32/43/35/423324335.db2.gz JTABHBAORFZDHP-MRVPVSSYSA-N -1 1 305.256 1.424 20 0 DDADMM CC(C)(C)n1cc(CN2CCC(c3n[nH]c(=O)[n-]3)CC2)cn1 ZINC000643884073 423396774 /nfs/dbraw/zinc/39/67/74/423396774.db2.gz GUXWXSTXTWSYFN-UHFFFAOYSA-N -1 1 304.398 1.841 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3ccc(Cl)c(F)c3)nc2n1 ZINC000643909064 423402203 /nfs/dbraw/zinc/40/22/03/423402203.db2.gz CWAZBFIMOSXSLC-UHFFFAOYSA-N -1 1 321.699 1.771 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cccc(Cl)c3C)nc2n1 ZINC000643909113 423402640 /nfs/dbraw/zinc/40/26/40/423402640.db2.gz FXAACQGXTQGWED-UHFFFAOYSA-N -1 1 317.736 1.940 20 0 DDADMM CCC[C@H](NC(=O)Cn1ccc2ccc(F)cc21)c1nn[n-]n1 ZINC000648815595 423477079 /nfs/dbraw/zinc/47/70/79/423477079.db2.gz XMRPKQBSKROYRJ-LBPRGKRZSA-N -1 1 316.340 1.951 20 0 DDADMM O=C(c1ccc([O-])c(F)c1)N1CC[C@H](Nc2ncccn2)C1 ZINC000648950552 423562441 /nfs/dbraw/zinc/56/24/41/423562441.db2.gz CYRSUHCNRKDJNG-NSHDSACASA-N -1 1 302.309 1.648 20 0 DDADMM CC[C@@H](C)C[C@H](CO)NC(=O)c1c(C)[n-]c(=O)nc1SC ZINC000649054688 423601243 /nfs/dbraw/zinc/60/12/43/423601243.db2.gz DCCJHFSUIQFFIT-PSASIEDQSA-N -1 1 313.423 1.739 20 0 DDADMM COc1cc(C(=O)N=c2[nH][nH]cc2C2CC2)cc(OC)c1[O-] ZINC000648975661 423571243 /nfs/dbraw/zinc/57/12/43/423571243.db2.gz OWTVDYLWYDKPHZ-UHFFFAOYSA-N -1 1 303.318 1.684 20 0 DDADMM CC1(C)[C@H](CS(=O)(=O)[N-]C[C@@H](O)C(F)(F)F)C1(F)F ZINC000641456104 423649191 /nfs/dbraw/zinc/64/91/91/423649191.db2.gz RLORNCZMLWSXJK-NTSWFWBYSA-N -1 1 311.272 1.120 20 0 DDADMM O=C1CCCN1CC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000651855255 423713165 /nfs/dbraw/zinc/71/31/65/423713165.db2.gz QLOWKGIYUORGRZ-UHFFFAOYSA-N -1 1 322.308 1.005 20 0 DDADMM CS(=O)(=O)C[C@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000647072959 423738970 /nfs/dbraw/zinc/73/89/70/423738970.db2.gz SCBGWYNTHDRDDO-JTQLQIEISA-N -1 1 315.366 1.428 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CC(C2CC2)C1 ZINC000644685731 423766809 /nfs/dbraw/zinc/76/68/09/423766809.db2.gz ZGQBXFHKIZAIPA-UHFFFAOYSA-N -1 1 324.384 1.551 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccnc1OCC(F)F)C(F)F ZINC000641705660 423889072 /nfs/dbraw/zinc/88/90/72/423889072.db2.gz NIJAFLURUBQDNI-ZCFIWIBFSA-N -1 1 316.276 1.657 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1[nH]nc(C(C)C)c1C ZINC000647278840 423946468 /nfs/dbraw/zinc/94/64/68/423946468.db2.gz NHJZTUWGTVSFPK-UHFFFAOYSA-N -1 1 311.411 1.993 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2ncccc2Br)CO1 ZINC000657019565 424000448 /nfs/dbraw/zinc/00/04/48/424000448.db2.gz CBNZTFOTFHGFSC-YUMQZZPRSA-N -1 1 321.196 1.300 20 0 DDADMM C[C@H](C(=O)NCc1cccs1)N1CCC(C)(C(=O)[O-])CC1 ZINC000647373799 424006087 /nfs/dbraw/zinc/00/60/87/424006087.db2.gz OXMHXDDEKWCEDZ-LLVKDONJSA-N -1 1 310.419 1.940 20 0 DDADMM O=C1NCC[C@@H]1[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC000657031330 424010778 /nfs/dbraw/zinc/01/07/78/424010778.db2.gz XHBDAXBAIWXGQT-BYPYZUCNSA-N -1 1 315.203 1.222 20 0 DDADMM O=C(CCOCC(F)(F)F)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644883315 424012899 /nfs/dbraw/zinc/01/28/99/424012899.db2.gz CJPMNSHRNWAMRS-MRVPVSSYSA-N -1 1 323.271 1.450 20 0 DDADMM NC(=O)c1csc(=N[C@@H]2CCN(C3CCCCC3)C2=O)[n-]1 ZINC000644937934 424060238 /nfs/dbraw/zinc/06/02/38/424060238.db2.gz OGASLUGLNMTFPB-SNVBAGLBSA-N -1 1 308.407 1.009 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C1(CO)CC1 ZINC000657102700 424111893 /nfs/dbraw/zinc/11/18/93/424111893.db2.gz PDJKCYVWKLLXRG-QMMMGPOBSA-N -1 1 307.774 1.918 20 0 DDADMM O=S(=O)([N-]CC(O)(C1CC1)C1CC1)c1cccc(F)c1F ZINC000657182120 424193193 /nfs/dbraw/zinc/19/31/93/424193193.db2.gz UJYZOGWLQZAUOU-UHFFFAOYSA-N -1 1 317.357 1.794 20 0 DDADMM Cn1cc([C@H]2C[C@@H](NC(=O)c3ccc([O-])c(F)c3)CCO2)cn1 ZINC000655111055 424221389 /nfs/dbraw/zinc/22/13/89/424221389.db2.gz FMJDJYUIFQFFBL-SWLSCSKDSA-N -1 1 319.336 1.915 20 0 DDADMM Cc1cnc(OC2CCN(C(=O)c3ncccc3[O-])CC2)nc1 ZINC000655255947 424344856 /nfs/dbraw/zinc/34/48/56/424344856.db2.gz MYNZVHBOGXPIIT-UHFFFAOYSA-N -1 1 314.345 1.569 20 0 DDADMM COC[C@H]1CN(C(=O)N=c2[n-]sc3ccccc32)C[C@H](C)O1 ZINC000640339225 424358960 /nfs/dbraw/zinc/35/89/60/424358960.db2.gz NOLXUGLHVKBPRW-WDEREUQCSA-N -1 1 321.402 1.986 20 0 DDADMM CO[C@H](CNC(=O)N=c1[n-]sc2ccccc21)[C@@H]1CCOC1 ZINC000640338248 424359149 /nfs/dbraw/zinc/35/91/49/424359149.db2.gz UKHBTCYFCFVFKY-ZYHUDNBSSA-N -1 1 321.402 1.891 20 0 DDADMM COC[C@@]1(C)CN(C(=O)N=c2[n-]sc3ccccc32)CCO1 ZINC000640343422 424362263 /nfs/dbraw/zinc/36/22/63/424362263.db2.gz PULSMMKAEHTABI-OAHLLOKOSA-N -1 1 321.402 1.987 20 0 DDADMM COc1cc(OC)cc(-c2noc([N-][C@@H]3COC[C@H]3OC)n2)c1 ZINC000664053512 424363563 /nfs/dbraw/zinc/36/35/63/424363563.db2.gz AXRFHQIALRQMGC-CHWSQXEVSA-N -1 1 321.333 1.580 20 0 DDADMM C[C@@H]1CN([C@@H]2CCN(CC(=O)[O-])C2=O)CCc2ccc(F)cc21 ZINC000662215719 424485204 /nfs/dbraw/zinc/48/52/04/424485204.db2.gz VLODOCBKKKRLNP-IAQYHMDHSA-N -1 1 320.364 1.473 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2cc(F)ccc2OC)on1 ZINC000660013821 424460018 /nfs/dbraw/zinc/46/00/18/424460018.db2.gz YVEXNPOSDNKPDF-UHFFFAOYSA-N -1 1 316.310 1.309 20 0 DDADMM Cc1ccc(CN(C(C)C)[C@@H]2CCN(CC(=O)[O-])C2=O)s1 ZINC000662200683 424467717 /nfs/dbraw/zinc/46/77/17/424467717.db2.gz CNMLEZPOPQHFHD-CYBMUJFWSA-N -1 1 310.419 1.952 20 0 DDADMM CC(C)(C)n1nnc(-c2nc(-c3ccc([O-])c(F)c3)no2)n1 ZINC000664851014 424709717 /nfs/dbraw/zinc/70/97/17/424709717.db2.gz YYAUWPQQQQIXAV-UHFFFAOYSA-N -1 1 304.285 1.990 20 0 DDADMM C[C@@H](c1nc2cc(Cl)ccc2[nH]1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC000660708808 424753836 /nfs/dbraw/zinc/75/38/36/424753836.db2.gz WASLJVZQPBVHKP-QMMMGPOBSA-N -1 1 320.784 1.831 20 0 DDADMM COC(=O)[C@H]1CN(C(=O)c2ccc3ccccc3c2[O-])CCO1 ZINC000321435757 271028081 /nfs/dbraw/zinc/02/80/81/271028081.db2.gz DDSSCQZBFGLNJB-CQSZACIVSA-N -1 1 315.325 1.559 20 0 DDADMM COc1ccc(OC)c(S(=O)(=O)[N-][C@@H](C)C(F)(F)F)c1 ZINC000341904274 271242111 /nfs/dbraw/zinc/24/21/11/271242111.db2.gz YPQQCOZCYRUQLR-ZETCQYMHSA-N -1 1 313.297 1.933 20 0 DDADMM CCS(=O)(=O)C[C@@H](C)N=c1nc(C(F)(F)F)[n-]s1 ZINC000342222068 271344481 /nfs/dbraw/zinc/34/44/81/271344481.db2.gz FIWNRZGYKGSAAX-RXMQYKEDSA-N -1 1 303.331 1.214 20 0 DDADMM Cc1cc(=NC(=O)NCCCN2C[C@@H](C)O[C@H](C)C2)[n-]nc1C ZINC000343546589 271799095 /nfs/dbraw/zinc/79/90/95/271799095.db2.gz LOAWUVLWIHNTEU-CHWSQXEVSA-N -1 1 321.425 1.136 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H]1CCSC1 ZINC000344639002 272076593 /nfs/dbraw/zinc/07/65/93/272076593.db2.gz GUIMPVODOXHXCE-NSHDSACASA-N -1 1 320.418 1.464 20 0 DDADMM C[C@H](CN(C)C(=O)[C@H]1COc2ccc(F)cc2C1)c1nn[n-]n1 ZINC000124505213 279276780 /nfs/dbraw/zinc/27/67/80/279276780.db2.gz TUEODWIASYWBSB-MWLCHTKSSA-N -1 1 319.340 1.152 20 0 DDADMM CC[C@@H]1CN(C(C)=O)[C@@H](CC)CN1C(=O)c1cncc([O-])c1 ZINC000339906114 280398566 /nfs/dbraw/zinc/39/85/66/280398566.db2.gz UBUYMWKGDNYLHZ-UONOGXRCSA-N -1 1 305.378 1.649 20 0 DDADMM CCc1nc(S(=O)(=O)CC(=O)Nc2c(C)cccc2C)n[n-]1 ZINC000086170691 281015581 /nfs/dbraw/zinc/01/55/81/281015581.db2.gz DCWGWQBUDGFTPN-UHFFFAOYSA-N -1 1 322.390 1.396 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc([C@H]2CCCO2)s1)c1nn[n-]n1 ZINC000090061029 281035211 /nfs/dbraw/zinc/03/52/11/281035211.db2.gz CTSIKTOVIUOAFE-RKDXNWHRSA-N -1 1 322.394 1.779 20 0 DDADMM Cc1ccsc1[C@H]1C[C@H]1C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000119143025 281091667 /nfs/dbraw/zinc/09/16/67/281091667.db2.gz XEDQOPNEEPMPPC-VHSXEESVSA-N -1 1 306.391 1.320 20 0 DDADMM CO[C@H](C)c1nc(=N[C@H]2CCOC3(CCOCC3)C2)s[n-]1 ZINC000337858702 294345118 /nfs/dbraw/zinc/34/51/18/294345118.db2.gz ICJOXGKIYTWXNC-MNOVXSKESA-N -1 1 313.423 1.808 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc2ccccc2o1)NCC(F)F ZINC000573036724 304571802 /nfs/dbraw/zinc/57/18/02/304571802.db2.gz CENWTEKXPMTZHC-UHFFFAOYSA-N -1 1 318.301 1.092 20 0 DDADMM COC(=O)CC1([N-]S(=O)(=O)c2nc(C)c(C)s2)CC1 ZINC000573125447 304581453 /nfs/dbraw/zinc/58/14/53/304581453.db2.gz HRLJQNWHTZHVNU-UHFFFAOYSA-N -1 1 304.393 1.134 20 0 DDADMM CCC[C@H](C)[C@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000353993960 298333681 /nfs/dbraw/zinc/33/36/81/298333681.db2.gz YAYRXMZDKOIVEN-CMPLNLGQSA-N -1 1 303.366 1.458 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCCC[C@H]1[C@@H]1CNC(=O)C1 ZINC000362323848 300049199 /nfs/dbraw/zinc/04/91/99/300049199.db2.gz BNCVJXAWNKJXGR-GWCFXTLKSA-N -1 1 306.337 1.662 20 0 DDADMM O=C(N[C@@H](CO)c1ccccc1)c1cn[nH]c1-c1ccccn1 ZINC000362907578 300176027 /nfs/dbraw/zinc/17/60/27/300176027.db2.gz AGBSTQWQIUGXCF-HNNXBMFYSA-N -1 1 308.341 1.935 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000362982615 300193836 /nfs/dbraw/zinc/19/38/36/300193836.db2.gz NRKYLKUNVVQHAR-WDEREUQCSA-N -1 1 304.437 1.996 20 0 DDADMM Cc1oc2ccccc2c1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000364166400 300352907 /nfs/dbraw/zinc/35/29/07/300352907.db2.gz HURIHBACYOJYAA-GFCCVEGCSA-N -1 1 313.317 1.468 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]CC23CCC(CC2)C3)c1Cl ZINC000366825641 300754904 /nfs/dbraw/zinc/75/49/04/300754904.db2.gz HYHVVLRMXHICKS-UHFFFAOYSA-N -1 1 303.815 1.932 20 0 DDADMM CO[C@@H](C)C(=O)N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000368505154 301043429 /nfs/dbraw/zinc/04/34/29/301043429.db2.gz XNLCISVGBOGCHX-WKEGUHRASA-N -1 1 313.720 1.233 20 0 DDADMM CC[C@H](OC1CCCCC1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370513484 301354975 /nfs/dbraw/zinc/35/49/75/301354975.db2.gz TXPMFJUGWAKEHO-STQMWFEESA-N -1 1 323.397 1.228 20 0 DDADMM C[C@@H](CN(C)C(=O)C1C[C@H]2CCC[C@@H](C1)C2=O)c1nn[n-]n1 ZINC000376007639 302023551 /nfs/dbraw/zinc/02/35/51/302023551.db2.gz OJWXQWCUQFNHAC-WSMDXJOWSA-N -1 1 305.382 1.157 20 0 DDADMM Cc1cccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1Cl ZINC000378290258 302308931 /nfs/dbraw/zinc/30/89/31/302308931.db2.gz ZZIVQJCMFWXWKD-SNVBAGLBSA-N -1 1 307.741 1.375 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CCO[C@@H](C(=O)C2CC2)C1 ZINC000519569652 302841577 /nfs/dbraw/zinc/84/15/77/302841577.db2.gz YWMKHGDWJSFNPC-LLVKDONJSA-N -1 1 311.284 1.491 20 0 DDADMM O=S(=O)([N-]C[C@H](O)[C@@H]1CCCO1)c1cc2ccccc2o1 ZINC000528487384 303033453 /nfs/dbraw/zinc/03/34/53/303033453.db2.gz MCMRVSPQIYSMHG-AAEUAGOBSA-N -1 1 311.359 1.251 20 0 DDADMM C[C@]1(Br)C[C@H]1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000528882134 303069326 /nfs/dbraw/zinc/06/93/26/303069326.db2.gz KHJBSIQKSADURV-FYBVGQRMSA-N -1 1 314.187 1.079 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000529273138 303106005 /nfs/dbraw/zinc/10/60/05/303106005.db2.gz SJRMDGGSRKUGJT-KLBPJQLPSA-N -1 1 309.366 1.541 20 0 DDADMM CC(C)c1nocc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000529274436 303106162 /nfs/dbraw/zinc/10/61/62/303106162.db2.gz XDHXTUIXMNARCL-UHFFFAOYSA-N -1 1 306.322 1.906 20 0 DDADMM CCn1ncc(CNC(=O)c2ccc(C(F)(F)F)cc2[O-])n1 ZINC000529587425 303139086 /nfs/dbraw/zinc/13/90/86/303139086.db2.gz LXVWFTXGFSGDAW-UHFFFAOYSA-N -1 1 314.267 1.952 20 0 DDADMM O=C([O-])c1ccc(F)c2c1CN(C[C@@H](O)Cn1cccn1)CC2 ZINC000530168001 303178159 /nfs/dbraw/zinc/17/81/59/303178159.db2.gz HTJOUJDISAFOGB-LLVKDONJSA-N -1 1 319.336 1.140 20 0 DDADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000530261360 303188760 /nfs/dbraw/zinc/18/87/60/303188760.db2.gz AFJYGNLYWKFLAF-VGYDOTAVSA-N -1 1 315.377 1.465 20 0 DDADMM Cc1cc(NCCNC(=O)c2cc(Cl)ccc2[O-])n(C)n1 ZINC000530494222 303199344 /nfs/dbraw/zinc/19/93/44/303199344.db2.gz NEPPDXDQWBXRNL-UHFFFAOYSA-N -1 1 308.769 1.929 20 0 DDADMM O=C([C@H]1CC(=O)c2ccccc21)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000530507299 303200374 /nfs/dbraw/zinc/20/03/74/303200374.db2.gz SAGVIUYCWZCXEP-GWCFXTLKSA-N -1 1 311.345 1.276 20 0 DDADMM CCC[C@H](NC(=O)[C@H]1Cc2ccccc2S1)c1nn[n-]n1 ZINC000530960160 303222336 /nfs/dbraw/zinc/22/23/36/303222336.db2.gz PWLQCXJMPCJZBA-CMPLNLGQSA-N -1 1 303.391 1.874 20 0 DDADMM CCn1nc(C)c(CNC(=O)c2csc(=NC3CC3)[n-]2)c1C ZINC000532667054 303292629 /nfs/dbraw/zinc/29/26/29/303292629.db2.gz ISJZEDKRXBWOBV-UHFFFAOYSA-N -1 1 319.434 1.903 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCO[C@H]2C2CC2)sc1C ZINC000534817767 303336755 /nfs/dbraw/zinc/33/67/55/303336755.db2.gz BSUXZHRAFVQVGD-MNOVXSKESA-N -1 1 302.421 1.606 20 0 DDADMM CS(=O)(=O)[C@@H]1CCC[C@H](NC(=O)c2c([O-])cccc2F)C1 ZINC000547476741 303534309 /nfs/dbraw/zinc/53/43/09/303534309.db2.gz GRTSEYZWUOVBBV-VHSXEESVSA-N -1 1 315.366 1.617 20 0 DDADMM CC(C)(C)[C@H](O)C[C@@H](CO)NC(=O)c1cc(Cl)ccc1[O-] ZINC000552008868 303649734 /nfs/dbraw/zinc/64/97/34/303649734.db2.gz AOJQYRGANCLDJN-GXFFZTMASA-N -1 1 315.797 1.933 20 0 DDADMM Cc1coc2ccc(NC(=O)c3cc(=O)n4[n-]cnc4n3)cc12 ZINC000359542095 306956957 /nfs/dbraw/zinc/95/69/57/306956957.db2.gz LKHQJNLSVIFHID-UHFFFAOYSA-N -1 1 309.285 1.725 20 0 DDADMM CC(C)(O)C[N@H+]1CCCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000364596032 307048943 /nfs/dbraw/zinc/04/89/43/307048943.db2.gz AUHKTICRQOUIME-UHFFFAOYSA-N -1 1 310.369 1.450 20 0 DDADMM Cc1ccc(CCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000370861784 307138549 /nfs/dbraw/zinc/13/85/49/307138549.db2.gz OYAPHCLURIOHDJ-ZDUSSCGKSA-N -1 1 301.350 1.041 20 0 DDADMM O=C([C@@H]1C[C@]12CCc1ccccc12)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000371298397 307146757 /nfs/dbraw/zinc/14/67/57/307146757.db2.gz VJSRSWPPVMRLFX-XUWXXGDYSA-N -1 1 323.400 1.810 20 0 DDADMM Cc1ccc(-c2cc(=NC(=O)[C@]3(C)CCCNC3=O)[nH][n-]2)s1 ZINC000372863012 307175172 /nfs/dbraw/zinc/17/51/72/307175172.db2.gz RDPWSMXHHLPDAM-OAHLLOKOSA-N -1 1 318.402 1.723 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)/C=C/C3CC3)CC2)n1 ZINC000373118239 307179472 /nfs/dbraw/zinc/17/94/72/307179472.db2.gz OVDGWADSLYXWRE-AATRIKPKSA-N -1 1 318.377 1.654 20 0 DDADMM O=C(CCN1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1)NC1CCCC1 ZINC000377484556 307270528 /nfs/dbraw/zinc/27/05/28/307270528.db2.gz LBBGHNVJKXQVKO-LLVKDONJSA-N -1 1 307.398 1.139 20 0 DDADMM C[C@@H]1C[C@@H]1c1ccc(CNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC000544747943 307719231 /nfs/dbraw/zinc/71/92/31/307719231.db2.gz YXWBISJHVOBGNF-SCZZXKLOSA-N -1 1 313.317 1.064 20 0 DDADMM COc1cc(=NS(=O)(=O)c2cc(C)c(F)c(C)c2)[n-]n1C ZINC000548150041 307759269 /nfs/dbraw/zinc/75/92/69/307759269.db2.gz YIPCMXVKRBEXKV-UHFFFAOYSA-N -1 1 313.354 1.407 20 0 DDADMM O=C([O-])c1cccc(N[C@H]2CCCC[C@H]2N2CCOCC2)n1 ZINC000562782393 307945183 /nfs/dbraw/zinc/94/51/83/307945183.db2.gz QNYSCYJTQSSZBG-GXTWGEPZSA-N -1 1 305.378 1.835 20 0 DDADMM CCOC(=O)[C@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)[C@@H](C)CC ZINC000564912053 308011442 /nfs/dbraw/zinc/01/14/42/308011442.db2.gz CLPZTWCZMCHCJV-WCFLWFBJSA-N -1 1 321.439 1.309 20 0 DDADMM O=C([O-])c1cccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)o3)C2)c1 ZINC000565657544 308035886 /nfs/dbraw/zinc/03/58/86/308035886.db2.gz CFADQBBCDALAKU-LLVKDONJSA-N -1 1 317.301 1.493 20 0 DDADMM CCN(C)S(=O)(=O)[N-]c1ccn(CCc2ccncc2)n1 ZINC000569873635 308152382 /nfs/dbraw/zinc/15/23/82/308152382.db2.gz SNNFHWKVGLXVLU-UHFFFAOYSA-N -1 1 309.395 1.129 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C[C@H](C)n3ccnc32)sc1C ZINC000571393903 308195456 /nfs/dbraw/zinc/19/54/56/308195456.db2.gz IXYRIXRKXRZJQB-OIBJUYFYSA-N -1 1 312.420 1.941 20 0 DDADMM O=C(c1ccc2cncn2c1)N1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000576349590 308308485 /nfs/dbraw/zinc/30/84/85/308308485.db2.gz RAZKWLJLQAMZEM-JTQLQIEISA-N -1 1 312.333 1.178 20 0 DDADMM CN1CCC[C@H](C(=O)Nc2ccc(-c3cc(=O)[nH][n-]3)cc2)C1=O ZINC000576935306 308350432 /nfs/dbraw/zinc/35/04/32/308350432.db2.gz ZNKDDLPBUKJEKR-GFCCVEGCSA-N -1 1 314.345 1.589 20 0 DDADMM COc1cc(=NS(=O)(=O)Cc2cccc(Cl)c2)[n-]n1C ZINC000578642963 308475919 /nfs/dbraw/zinc/47/59/19/308475919.db2.gz ILZROMOSZGPTDF-UHFFFAOYSA-N -1 1 315.782 1.446 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](C)[C@@H]2CCCC[C@H]21 ZINC000580170786 308584350 /nfs/dbraw/zinc/58/43/50/308584350.db2.gz YULAFGCVEIJQKA-GARJFASQSA-N -1 1 321.377 1.937 20 0 DDADMM CC[C@@H](C[N-]S(=O)(=O)c1nc2ccccc2s1)OC ZINC000583081742 337237251 /nfs/dbraw/zinc/23/72/51/337237251.db2.gz YBMMUWLXAJJDOR-VIFPVBQESA-N -1 1 300.405 2.000 20 0 DDADMM COc1ccccc1NC(=O)CN1C[C@@H](C(=O)[O-])CC[C@@H]1C ZINC000397023958 337243369 /nfs/dbraw/zinc/24/33/69/337243369.db2.gz MJAUYGCRNGNLEQ-RYUDHWBXSA-N -1 1 306.362 1.819 20 0 DDADMM O=C([O-])C[C@H]1CN(CCCOCCc2ccccc2)CCO1 ZINC000583817432 337338399 /nfs/dbraw/zinc/33/83/99/337338399.db2.gz LPRWVINMSZOBEU-INIZCTEOSA-N -1 1 307.390 1.811 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC1(c2ccccc2C)CC1 ZINC000584498104 337350378 /nfs/dbraw/zinc/35/03/78/337350378.db2.gz YNODIZFPFHKIKY-UHFFFAOYSA-N -1 1 321.402 1.714 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(c2ccccc2C)CC1 ZINC000584498104 337350379 /nfs/dbraw/zinc/35/03/79/337350379.db2.gz YNODIZFPFHKIKY-UHFFFAOYSA-N -1 1 321.402 1.714 20 0 DDADMM O=C([O-])[C@@H](CCF)NS(=O)(=O)c1ccc(F)c(F)c1F ZINC000656162770 483987537 /nfs/dbraw/zinc/98/75/37/483987537.db2.gz IIKMRRWFPJUTOY-ZCFIWIBFSA-N -1 1 315.244 1.195 20 0 DDADMM O=C(C(=O)N1CCC(O[C@H]2CCOC2)CC1)c1ccc([O-])cc1 ZINC000436933098 484127864 /nfs/dbraw/zinc/12/78/64/484127864.db2.gz BBMUKMADCPWEEA-HNNXBMFYSA-N -1 1 319.357 1.371 20 0 DDADMM CCC1CCN(S(=O)(=O)[N-]c2cc(OC)n(C)n2)CC1 ZINC000656629188 484251083 /nfs/dbraw/zinc/25/10/83/484251083.db2.gz SUHKAIUJCJQMKG-UHFFFAOYSA-N -1 1 302.400 1.207 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1cc2oc(=O)[nH]c2cc1F ZINC000656633878 484252171 /nfs/dbraw/zinc/25/21/71/484252171.db2.gz JHQHAFSGQHGSBB-UHFFFAOYSA-N -1 1 318.326 1.839 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc2c(cc[nH]c2=O)c1)C1CC1 ZINC000656634392 484252798 /nfs/dbraw/zinc/25/27/98/484252798.db2.gz WHHBCSXYUQLMAG-CQSZACIVSA-N -1 1 322.386 1.695 20 0 DDADMM C[C@H]1CCN(S(=O)(=O)[N-]c2ccn(C3CCOCC3)n2)C1 ZINC000656636810 484253438 /nfs/dbraw/zinc/25/34/38/484253438.db2.gz ZGTYEVCBYKPJLC-NSHDSACASA-N -1 1 314.411 1.233 20 0 DDADMM C[C@H]1CN([C@H]2CC(=O)N(c3cccc(C(=O)[O-])c3)C2=O)C[C@H]1C ZINC000530965966 484297394 /nfs/dbraw/zinc/29/73/94/484297394.db2.gz GQZZQCFUOOLCQY-WDMOLILDSA-N -1 1 316.357 1.605 20 0 DDADMM CSc1n[nH]c([N-]S(=O)(=O)CCc2ccccc2F)n1 ZINC000656726937 484304219 /nfs/dbraw/zinc/30/42/19/484304219.db2.gz BLXYEQZFDZAFMR-UHFFFAOYSA-N -1 1 316.383 1.650 20 0 DDADMM CN(C)[C@H](CNC(=O)[C@]1(C(=O)[O-])CC1(C)C)c1cccs1 ZINC000663098007 484662876 /nfs/dbraw/zinc/66/28/76/484662876.db2.gz NFBDCQHBCCSTJJ-BMIGLBTASA-N -1 1 310.419 1.968 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)c2ccsc2)co1 ZINC000669900814 484674715 /nfs/dbraw/zinc/67/47/15/484674715.db2.gz GBQWCWADOAHPQR-MRVPVSSYSA-N -1 1 314.388 1.740 20 0 DDADMM CC[C@](C)(NC(=O)NC[C@@H]1CCN1Cc1ccccc1)C(=O)[O-] ZINC000663271319 484777316 /nfs/dbraw/zinc/77/73/16/484777316.db2.gz MBWINTBQWKFXKT-YOEHRIQHSA-N -1 1 319.405 1.813 20 0 DDADMM Cc1[nH]ncc1CCCNC(=O)c1s[n-]c(=O)c1Cl ZINC000670468296 484848397 /nfs/dbraw/zinc/84/83/97/484848397.db2.gz VEKFOVOAUABSNL-UHFFFAOYSA-N -1 1 300.771 1.896 20 0 DDADMM C[C@@H]1COCCN1CCNC(=O)c1ncc2ccccc2c1[O-] ZINC000668255116 485056786 /nfs/dbraw/zinc/05/67/86/485056786.db2.gz ROCJXMBXVGWKMP-GFCCVEGCSA-N -1 1 315.373 1.391 20 0 DDADMM O=C(NCCN1CCSCC1)c1ncc2ccccc2c1[O-] ZINC000668645479 485255548 /nfs/dbraw/zinc/25/55/48/485255548.db2.gz MEEWMEIIMYBBNN-UHFFFAOYSA-N -1 1 317.414 1.719 20 0 DDADMM O=C(c1c[nH]nc1-c1ccccn1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000685795110 485461574 /nfs/dbraw/zinc/46/15/74/485461574.db2.gz NNHDQOYQRCNGCT-SNVBAGLBSA-N -1 1 324.348 1.005 20 0 DDADMM CCO[C@@H]1C[C@@](CO)(NC(=O)c2ccc([O-])c(F)c2)C1(C)C ZINC000682027340 485465261 /nfs/dbraw/zinc/46/52/61/485465261.db2.gz LCOKORLNDQWLCK-CJNGLKHVSA-N -1 1 311.353 1.827 20 0 DDADMM COc1cc(C(=O)N2CC(NC(=O)C3CC3)C2)cc(Cl)c1[O-] ZINC000682364397 485569863 /nfs/dbraw/zinc/56/98/63/485569863.db2.gz LEYUEGQNZNQSRU-UHFFFAOYSA-N -1 1 324.764 1.405 20 0 DDADMM O=C(NC1(CO)CCCCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000678943109 485736368 /nfs/dbraw/zinc/73/63/68/485736368.db2.gz WZRIBXHUDYLBQG-UHFFFAOYSA-N -1 1 301.346 1.348 20 0 DDADMM Cc1oc(C)c(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c1C ZINC000679114013 485780178 /nfs/dbraw/zinc/78/01/78/485780178.db2.gz OSCNZIIYZLLTME-UHFFFAOYSA-N -1 1 324.358 1.810 20 0 DDADMM CCC[C@@H](NC(=O)c1coc(C2CCOCC2)n1)c1nn[n-]n1 ZINC000683493525 486025247 /nfs/dbraw/zinc/02/52/47/486025247.db2.gz KQQRTWKPEWUVKA-SNVBAGLBSA-N -1 1 320.353 1.353 20 0 DDADMM CN1CCC2(CCN(C(=O)c3ccc([O-])c(F)c3)CC2)C1=O ZINC000683646607 486067447 /nfs/dbraw/zinc/06/74/47/486067447.db2.gz YLNJBZJFVPDVKF-UHFFFAOYSA-N -1 1 306.337 1.616 20 0 DDADMM CN(CCOC(C)(C)C)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000676031395 486091614 /nfs/dbraw/zinc/09/16/14/486091614.db2.gz BOOGOHFWFLQXLZ-UHFFFAOYSA-N -1 1 303.362 1.810 20 0 DDADMM O=C(N[C@@H](c1nn[n-]n1)c1ccccc1)[C@H]1CCCOCC1 ZINC000683892922 486148460 /nfs/dbraw/zinc/14/84/60/486148460.db2.gz PERBOVPCLNFBOR-QWHCGFSZSA-N -1 1 301.350 1.222 20 0 DDADMM COc1ccc(CN(C)C(=O)c2nc3ccccc3c(=O)[n-]2)cn1 ZINC000676636233 486276389 /nfs/dbraw/zinc/27/63/89/486276389.db2.gz DMVYIBVTJWEKGU-UHFFFAOYSA-N -1 1 324.340 1.599 20 0 DDADMM NS(=O)(=O)c1cccc(NC(=O)c2ccc([O-])c(F)c2)c1 ZINC000681015989 486323836 /nfs/dbraw/zinc/32/38/36/486323836.db2.gz WUGYEMMZOIVWAA-UHFFFAOYSA-N -1 1 310.306 1.431 20 0 DDADMM O=C(N[C@@H]1CCN(c2ccccn2)C1)c1ccc([O-])c(F)c1 ZINC000681057756 486334701 /nfs/dbraw/zinc/33/47/01/486334701.db2.gz CMBFCTYIOLSWPZ-GFCCVEGCSA-N -1 1 301.321 1.935 20 0 DDADMM O=C(NCCCc1nnc2n1CCCC2)c1ccc([O-])c(F)c1 ZINC000681062881 486335563 /nfs/dbraw/zinc/33/55/63/486335563.db2.gz YDPSWEVAQRNQLL-UHFFFAOYSA-N -1 1 318.352 1.822 20 0 DDADMM COC[C@](C)(CO)NC(=O)c1ccc(Br)cc1[O-] ZINC000681070625 486337196 /nfs/dbraw/zinc/33/71/96/486337196.db2.gz FXLBUOVLNDJHQE-LBPRGKRZSA-N -1 1 318.167 1.282 20 0 DDADMM CC[C@](C)(O)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000676852263 486345787 /nfs/dbraw/zinc/34/57/87/486345787.db2.gz PKXSCZNGDQKNEG-LBPRGKRZSA-N -1 1 309.334 1.413 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCc2ccccc2C1 ZINC000685497732 486549826 /nfs/dbraw/zinc/54/98/26/486549826.db2.gz RXIWFUBSIJFLPG-NSHDSACASA-N -1 1 321.402 1.649 20 0 DDADMM C[C@@H](C(=O)NCc1nn[n-]n1)c1ccc(Br)cc1 ZINC000678042554 486614674 /nfs/dbraw/zinc/61/46/74/486614674.db2.gz YYZMJDUKZKCLAM-SSDOTTSWSA-N -1 1 310.155 1.382 20 0 DDADMM COc1cccc(S([O-])=CC(=O)NCCN2CCCCC2)c1 ZINC000424601832 533808765 /nfs/dbraw/zinc/80/87/65/533808765.db2.gz YOKDDSMLBBYLKE-QFIPXVFZSA-N -1 1 324.446 1.405 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2c(C(N)=O)[nH]nc2C(C)C)cc1 ZINC000451115567 534211802 /nfs/dbraw/zinc/21/18/02/534211802.db2.gz NYKBFENGATXIRJ-UHFFFAOYSA-N -1 1 322.390 1.741 20 0 DDADMM CC(C)(C)OC(=O)N1CC(NC(=O)c2ccc([O-])cc2F)C1 ZINC000154899355 517380685 /nfs/dbraw/zinc/38/06/85/517380685.db2.gz XZBLRDJJEMCSAI-UHFFFAOYSA-N -1 1 310.325 1.880 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@]3(C)CCC(=O)N3)cnc2n1 ZINC000412431651 534401471 /nfs/dbraw/zinc/40/14/71/534401471.db2.gz YFSQVEXVOVSXJL-MRXNPFEDSA-N -1 1 314.345 1.042 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@]1(C)CCC(=O)N1)c2=O ZINC000412431651 534401477 /nfs/dbraw/zinc/40/14/77/534401477.db2.gz YFSQVEXVOVSXJL-MRXNPFEDSA-N -1 1 314.345 1.042 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCC(=O)NC2(C)C)sc1C ZINC000328758786 534519044 /nfs/dbraw/zinc/51/90/44/534519044.db2.gz WFFZDUMDYPMHDH-VIFPVBQESA-N -1 1 317.436 1.936 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CC(O)(C(F)F)C1 ZINC000425028233 534692617 /nfs/dbraw/zinc/69/26/17/534692617.db2.gz XUYIBQPUBRYGBY-UHFFFAOYSA-N -1 1 322.105 1.607 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)/C=C/c2ccc[nH]2)c1 ZINC000491990041 534703551 /nfs/dbraw/zinc/70/35/51/534703551.db2.gz LLRTZCZVFIULOJ-ZZXKWVIFSA-N -1 1 307.331 1.020 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCC[C@H](F)C2)c1 ZINC000424755449 534703564 /nfs/dbraw/zinc/70/35/64/534703564.db2.gz CMCOKMDQYDUAJA-IUCAKERBSA-N -1 1 316.354 1.506 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1ccc(O)cc1Cl)c1nn[n-]n1 ZINC000156558030 524280875 /nfs/dbraw/zinc/28/08/75/524280875.db2.gz BMAOUIWVYKETSI-MRVPVSSYSA-N -1 1 309.757 1.363 20 0 DDADMM N=c1nc(N2CCN(C(=O)C=Cc3ccsc3)CC2)s[n-]1 ZINC000492054781 534842067 /nfs/dbraw/zinc/84/20/67/534842067.db2.gz AAKUDLYDYVJZLR-UPHRSURJSA-N -1 1 321.431 1.374 20 0 DDADMM CCN(CC(=O)N1CCCCCC1)C(=O)c1cncc([O-])c1 ZINC000332004334 527896112 /nfs/dbraw/zinc/89/61/12/527896112.db2.gz OEUZJPFORMYMLE-UHFFFAOYSA-N -1 1 305.378 1.652 20 0 DDADMM CC(C)[C@H]([N-]c1noc(C(C)(C)C)n1)C(=O)N1CCOCC1 ZINC000302123833 529127336 /nfs/dbraw/zinc/12/73/36/529127336.db2.gz HLAMETRFWLRZML-NSHDSACASA-N -1 1 310.398 1.662 20 0 DDADMM Cn1nccc1[C@H]1CCCN(c2cccc(-c3nnn[n-]3)n2)C1 ZINC000737629499 599294922 /nfs/dbraw/zinc/29/49/22/599294922.db2.gz WPGIQKWVRFOPQI-NSHDSACASA-N -1 1 310.365 1.379 20 0 DDADMM Cn1nccc1[C@H]1CCCN(c2cccc(-c3nn[n-]n3)n2)C1 ZINC000737629499 599294923 /nfs/dbraw/zinc/29/49/23/599294923.db2.gz WPGIQKWVRFOPQI-NSHDSACASA-N -1 1 310.365 1.379 20 0 DDADMM CC[C@@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)CCO1 ZINC000736408326 598957715 /nfs/dbraw/zinc/95/77/15/598957715.db2.gz SQBBCVXPWFDSQS-SNVBAGLBSA-N -1 1 311.349 1.425 20 0 DDADMM CC[C@@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)CCO1 ZINC000736408326 598957717 /nfs/dbraw/zinc/95/77/17/598957717.db2.gz SQBBCVXPWFDSQS-SNVBAGLBSA-N -1 1 311.349 1.425 20 0 DDADMM C[C@](O)(CNc1c2ccccc2nnc1-c1nnn[n-]1)C1CC1 ZINC000736140718 598969057 /nfs/dbraw/zinc/96/90/57/598969057.db2.gz AMQKPXCZFPVDKN-HNNXBMFYSA-N -1 1 311.349 1.383 20 0 DDADMM C[C@](O)(CNc1c2ccccc2nnc1-c1nn[n-]n1)C1CC1 ZINC000736140718 598969059 /nfs/dbraw/zinc/96/90/59/598969059.db2.gz AMQKPXCZFPVDKN-HNNXBMFYSA-N -1 1 311.349 1.383 20 0 DDADMM C[C@@H](O)C[C@@H](C)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000736145684 598973188 /nfs/dbraw/zinc/97/31/88/598973188.db2.gz WHKQLBVVOCVMAR-PHDIDXHHSA-N -1 1 302.791 1.796 20 0 DDADMM C[C@@H](O)C[C@@H](C)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000736145684 598973189 /nfs/dbraw/zinc/97/31/89/598973189.db2.gz WHKQLBVVOCVMAR-PHDIDXHHSA-N -1 1 302.791 1.796 20 0 DDADMM Cc1cc(N(C)C)ccc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737270220 598992455 /nfs/dbraw/zinc/99/24/55/598992455.db2.gz LMYJPONXWUAEGN-UHFFFAOYSA-N -1 1 323.360 1.888 20 0 DDADMM Cc1cc(N(C)C)ccc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737270220 598992457 /nfs/dbraw/zinc/99/24/57/598992457.db2.gz LMYJPONXWUAEGN-UHFFFAOYSA-N -1 1 323.360 1.888 20 0 DDADMM CSc1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cn1 ZINC000737191116 599001879 /nfs/dbraw/zinc/00/18/79/599001879.db2.gz QUINXBSWLMVNLS-UHFFFAOYSA-N -1 1 313.346 1.631 20 0 DDADMM CSc1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cn1 ZINC000737191116 599001881 /nfs/dbraw/zinc/00/18/81/599001881.db2.gz QUINXBSWLMVNLS-UHFFFAOYSA-N -1 1 313.346 1.631 20 0 DDADMM Cc1nc2cc(NC(=O)c3ccc(-c4nnn[n-]4)nc3)ccc2o1 ZINC000737486556 599020465 /nfs/dbraw/zinc/02/04/65/599020465.db2.gz CYLQICRGBVWYCU-UHFFFAOYSA-N -1 1 321.300 1.964 20 0 DDADMM Cc1nc2cc(NC(=O)c3ccc(-c4nn[n-]n4)nc3)ccc2o1 ZINC000737486556 599020467 /nfs/dbraw/zinc/02/04/67/599020467.db2.gz CYLQICRGBVWYCU-UHFFFAOYSA-N -1 1 321.300 1.964 20 0 DDADMM CN(C)[C@H](CNC(=O)CCCNC(=O)[O-])c1cccc(F)c1 ZINC000737359037 599742091 /nfs/dbraw/zinc/74/20/91/599742091.db2.gz RFLOBEIMUZGEGN-CYBMUJFWSA-N -1 1 311.357 1.592 20 0 DDADMM COc1cccc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000737133246 599132270 /nfs/dbraw/zinc/13/22/70/599132270.db2.gz YYGAXCHVUFBZIX-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM COc1cccc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000737133246 599132272 /nfs/dbraw/zinc/13/22/72/599132272.db2.gz YYGAXCHVUFBZIX-UHFFFAOYSA-N -1 1 312.289 1.022 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C[C@@H](C)S1 ZINC000820744577 599187860 /nfs/dbraw/zinc/18/78/60/599187860.db2.gz YTUOKVQEWUEICI-RKDXNWHRSA-N -1 1 304.379 1.228 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C[C@@H](C)S1 ZINC000820744577 599187861 /nfs/dbraw/zinc/18/78/61/599187861.db2.gz YTUOKVQEWUEICI-RKDXNWHRSA-N -1 1 304.379 1.228 20 0 DDADMM O=C([O-])C1CCN(CC(=O)N2CCc3sccc3C2)CC1 ZINC000035300173 596919611 /nfs/dbraw/zinc/91/96/11/596919611.db2.gz ZSRBVPBAXQLTKN-UHFFFAOYSA-N -1 1 308.403 1.429 20 0 DDADMM CCCC[C@H]1NC(=O)N(CN2CCC[C@@H](CC(=O)[O-])C2)C1=O ZINC000818162079 597044124 /nfs/dbraw/zinc/04/41/24/597044124.db2.gz QENBTZSESZJHIN-NWDGAFQWSA-N -1 1 311.382 1.241 20 0 DDADMM C[C@H](C(=O)[O-])N(C)C(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000817762501 597469389 /nfs/dbraw/zinc/46/93/89/597469389.db2.gz NMFGQBVCRXFIBG-ZCFIWIBFSA-N -1 1 307.331 1.169 20 0 DDADMM COc1cc2nc(CN(C)[C@@H](C)C(=O)[O-])[nH]c(=O)c2cc1OC ZINC000818566549 597472669 /nfs/dbraw/zinc/47/26/69/597472669.db2.gz DKENKOMWNAPWIL-QMMMGPOBSA-N -1 1 321.333 1.258 20 0 DDADMM COc1ccccc1[C@H](C)N(C)C(=O)CN[C@@H](C(=O)[O-])C(C)C ZINC000820876622 598188952 /nfs/dbraw/zinc/18/89/52/598188952.db2.gz UBEMFIJPBFLXHJ-BLLLJJGKSA-N -1 1 322.405 1.913 20 0 DDADMM C[C@H]1CCC[C@@H](NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736249076 598263879 /nfs/dbraw/zinc/26/38/79/598263879.db2.gz DNDBPAJTBBNRQJ-VHSXEESVSA-N -1 1 322.394 1.119 20 0 DDADMM C[C@H]1CCC[C@@H](NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736249076 598263881 /nfs/dbraw/zinc/26/38/81/598263881.db2.gz DNDBPAJTBBNRQJ-VHSXEESVSA-N -1 1 322.394 1.119 20 0 DDADMM CN(CCn1cccn1)c1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000736814950 598678123 /nfs/dbraw/zinc/67/81/23/598678123.db2.gz NBLQLAIBXJQCPV-UHFFFAOYSA-N -1 1 304.745 1.248 20 0 DDADMM CN(CCn1cccn1)c1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000736814950 598678125 /nfs/dbraw/zinc/67/81/25/598678125.db2.gz NBLQLAIBXJQCPV-UHFFFAOYSA-N -1 1 304.745 1.248 20 0 DDADMM Cc1ncc(Cn2cc(-c3nn[n-]n3)c(=O)c3ccccc32)s1 ZINC000737493189 598720219 /nfs/dbraw/zinc/72/02/19/598720219.db2.gz ZXYYDLGPUCUYQK-UHFFFAOYSA-N -1 1 324.369 1.995 20 0 DDADMM CC(C)(C)OC(=O)NCCNc1cccc(-c2nnn[n-]2)n1 ZINC000735636060 599420108 /nfs/dbraw/zinc/42/01/08/599420108.db2.gz NNZDOSMWAULDNS-UHFFFAOYSA-N -1 1 305.342 1.198 20 0 DDADMM CC(C)(C)OC(=O)NCCNc1cccc(-c2nn[n-]n2)n1 ZINC000735636060 599420109 /nfs/dbraw/zinc/42/01/09/599420109.db2.gz NNZDOSMWAULDNS-UHFFFAOYSA-N -1 1 305.342 1.198 20 0 DDADMM Cc1cccn2c(=O)cc(CN[C@H](C(=O)[O-])c3ccccc3)nc12 ZINC000324315385 599785939 /nfs/dbraw/zinc/78/59/39/599785939.db2.gz FRZVLHHNSXLKIA-INIZCTEOSA-N -1 1 323.352 1.918 20 0 DDADMM CCc1c(NC(=O)[C@@H]2C[C@H]2C(=O)[O-])[nH]nc1-c1ccncc1 ZINC000737247257 599851314 /nfs/dbraw/zinc/85/13/14/599851314.db2.gz BGZLVKZKCYKQAT-GHMZBOCLSA-N -1 1 300.318 1.693 20 0 DDADMM O=C([O-])c1sc2ccccc2c1CNC(=O)c1cnn[nH]1 ZINC000739435472 599870896 /nfs/dbraw/zinc/87/08/96/599870896.db2.gz DBUKAXSOKURXBZ-UHFFFAOYSA-N -1 1 302.315 1.648 20 0 DDADMM CCN(C)[C@H](C(=O)NCCSCC(=O)[O-])c1ccccc1 ZINC000737069631 599903113 /nfs/dbraw/zinc/90/31/13/599903113.db2.gz ZZEQXHFTESFIIB-AWEZNQCLSA-N -1 1 310.419 1.613 20 0 DDADMM Cn1ccnc1CN1CCCN(Cc2ccoc2C(=O)[O-])CC1 ZINC000739056773 599918442 /nfs/dbraw/zinc/91/84/42/599918442.db2.gz SVYJRKJDMDMBQM-UHFFFAOYSA-N -1 1 318.377 1.419 20 0 DDADMM C[C@@H](CN(CC(=O)N1CCc2ccccc21)C1CC1)C(=O)[O-] ZINC000736579246 599952528 /nfs/dbraw/zinc/95/25/28/599952528.db2.gz RWSIQLGTFIESJP-LBPRGKRZSA-N -1 1 302.374 1.761 20 0 DDADMM Cc1ccnc(NC[C@@H]2CCN(c3ccc(C(=O)[O-])nn3)C2)c1 ZINC000738797547 599958274 /nfs/dbraw/zinc/95/82/74/599958274.db2.gz CFCUNSHWHZUNNQ-LBPRGKRZSA-N -1 1 313.361 1.817 20 0 DDADMM CN1CCC[C@H](NC(=O)N2Cc3ccc(C(=O)[O-])cc3C2)C1 ZINC000317358354 600018765 /nfs/dbraw/zinc/01/87/65/600018765.db2.gz SFSVRKGOUJNSFY-AWEZNQCLSA-N -1 1 303.362 1.504 20 0 DDADMM CN1CCN(Cc2ccc(NC(=O)[C@H]3C[C@H]3C(=O)[O-])cc2)CC1 ZINC000737529113 600071632 /nfs/dbraw/zinc/07/16/32/600071632.db2.gz REOUJFXSZLELLE-LSDHHAIUSA-N -1 1 317.389 1.093 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N1CCS[C@H](C)C1 ZINC000736809681 600103359 /nfs/dbraw/zinc/10/33/59/600103359.db2.gz QXPPFVQGOLZTJC-MNOVXSKESA-N -1 1 300.424 1.135 20 0 DDADMM C[C@H](C(=O)Nc1ccc(Cl)cn1)N1CCC[C@@H](C(=O)[O-])C1 ZINC000262689217 600135974 /nfs/dbraw/zinc/13/59/74/600135974.db2.gz VVOTXCUIOGHCLL-NXEZZACHSA-N -1 1 311.769 1.859 20 0 DDADMM COc1ccc(Cl)cc1CN(C)CCC(=O)NCC(=O)[O-] ZINC000738012975 600159371 /nfs/dbraw/zinc/15/93/71/600159371.db2.gz CKYRNDCLZNTEJJ-UHFFFAOYSA-N -1 1 314.769 1.371 20 0 DDADMM COc1ccc(CNC(=O)[C@@H]2CCCCN2C)cc1C(=O)[O-] ZINC000737997995 600166036 /nfs/dbraw/zinc/16/60/36/600166036.db2.gz XHZWLSRLQARCSN-ZDUSSCGKSA-N -1 1 306.362 1.494 20 0 DDADMM Cc1c(C(=O)[O-])cccc1S(=O)(=O)Nc1ccc(C#N)cn1 ZINC000315770766 600178762 /nfs/dbraw/zinc/17/87/62/600178762.db2.gz FNKLKPYVRLVOBY-UHFFFAOYSA-N -1 1 317.326 1.761 20 0 DDADMM COc1ccc(NC(=O)CN(CCC(=O)[O-])C(C)C)c(OC)c1 ZINC000738027680 600223901 /nfs/dbraw/zinc/22/39/01/600223901.db2.gz CAWZKLAJCSJICV-UHFFFAOYSA-N -1 1 324.377 1.827 20 0 DDADMM Cc1[nH]nc(S(=O)(=O)Nc2cc(C)ccc2F)c1C(=O)[O-] ZINC000738603645 600229291 /nfs/dbraw/zinc/22/92/91/600229291.db2.gz OCGQOFAUNRMGFO-UHFFFAOYSA-N -1 1 313.310 1.665 20 0 DDADMM O=C([O-])c1cccc(C(=O)Nc2ccn(-c3ccncc3)n2)n1 ZINC000740215663 600262955 /nfs/dbraw/zinc/26/29/55/600262955.db2.gz HIJMLYRLJHJLBC-UHFFFAOYSA-N -1 1 309.285 1.613 20 0 DDADMM CN(Cc1ccccc1)C(=O)CN1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000405364050 600289057 /nfs/dbraw/zinc/28/90/57/600289057.db2.gz RTDNJKGMNZUCEC-HNNXBMFYSA-N -1 1 316.401 1.832 20 0 DDADMM COC(=O)c1ccc2c(cnn2CN2CCC[C@@H](C(=O)[O-])C2)c1 ZINC000737706833 600420335 /nfs/dbraw/zinc/42/03/35/600420335.db2.gz BOQDFOVDNZSSPC-GFCCVEGCSA-N -1 1 317.345 1.577 20 0 DDADMM Cc1cc(CNC(=O)N(C)Cc2ccc(C(=O)[O-])cc2)n[nH]1 ZINC000738386045 600460464 /nfs/dbraw/zinc/46/04/64/600460464.db2.gz SEBUTHUKWIZXRY-UHFFFAOYSA-N -1 1 302.334 1.758 20 0 DDADMM COC(=O)c1ccc(CN(CCC(=O)[O-])C[C@@H]2CCCO2)o1 ZINC000737698165 600514721 /nfs/dbraw/zinc/51/47/21/600514721.db2.gz ZDCVZYKHNSLFHM-NSHDSACASA-N -1 1 311.334 1.522 20 0 DDADMM CC[C@@](C)(NCC(=O)Nc1ccc(C(=O)OC)cc1)C(=O)[O-] ZINC000736863202 600560452 /nfs/dbraw/zinc/56/04/52/600560452.db2.gz JMXXCCHEJDOPAP-OAHLLOKOSA-N -1 1 308.334 1.255 20 0 DDADMM CC[C@](C)(NCC(=O)NC(=O)N[C@@H]1CCCC[C@@H]1C)C(=O)[O-] ZINC000736861912 600561567 /nfs/dbraw/zinc/56/15/67/600561567.db2.gz YCZJEPAYNAXZBH-RWSFTLGLSA-N -1 1 313.398 1.234 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)c1cnn[nH]1)Cc1ccc2ccccc2c1 ZINC000739408011 600603977 /nfs/dbraw/zinc/60/39/77/600603977.db2.gz JJSKWFNEIUCONE-CQSZACIVSA-N -1 1 324.340 1.631 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)c2cnc(-c3ccccc3)[nH]2)CCO1 ZINC000738548149 600640863 /nfs/dbraw/zinc/64/08/63/600640863.db2.gz OHWZBURJJIDHRE-LBPRGKRZSA-N -1 1 301.302 1.002 20 0 DDADMM CC(C)C[C@]1(C)CC(=O)N(CN(C[C@@H](C)C(=O)[O-])C2CC2)C1=O ZINC000736427440 600702445 /nfs/dbraw/zinc/70/24/45/600702445.db2.gz SFJQZEPZIBWKRR-SJKOYZFVSA-N -1 1 324.421 1.940 20 0 DDADMM CC(C)C[C@H](NC(=O)CN(C)CCc1cccs1)C(=O)[O-] ZINC000826785719 600846950 /nfs/dbraw/zinc/84/69/50/600846950.db2.gz CCZBGNCDFBBOLM-ZDUSSCGKSA-N -1 1 312.435 1.838 20 0 DDADMM CC(C)C[C@H](CNC(=O)Cc1[nH]nc2ccccc21)C(=O)[O-] ZINC000262420081 600926213 /nfs/dbraw/zinc/92/62/13/600926213.db2.gz CPRRLMXQLAPQCG-LLVKDONJSA-N -1 1 303.362 1.969 20 0 DDADMM C[C@@H]1CCC[C@H](C)N1C(=O)CN1CCSC[C@@H]1CC(=O)[O-] ZINC000091130871 601014248 /nfs/dbraw/zinc/01/42/48/601014248.db2.gz MKRIWVUQSGSAQU-XQQFMLRXSA-N -1 1 314.451 1.668 20 0 DDADMM Cc1ccc(CN(C(=O)CN[C@@](C)(C(=O)[O-])C2CC2)C2CC2)o1 ZINC000832931364 601033065 /nfs/dbraw/zinc/03/30/65/601033065.db2.gz YHLBOOPNDGOING-QGZVFWFLSA-N -1 1 320.389 1.922 20 0 DDADMM CSCCCCC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000832763090 601100758 /nfs/dbraw/zinc/10/07/58/601100758.db2.gz VIHRLMFXPJZJTC-GFCCVEGCSA-N -1 1 302.440 1.527 20 0 DDADMM Cc1ccc2nc(CNC(=O)CCSCC(=O)[O-])[nH]c2c1 ZINC000832959833 601106720 /nfs/dbraw/zinc/10/67/20/601106720.db2.gz ZGAQFLMLLLZTEC-UHFFFAOYSA-N -1 1 307.375 1.695 20 0 DDADMM COC(=O)/C(C)=C/CN(CC(=O)[O-])Cc1ccc(F)c(F)c1 ZINC000831052851 601166165 /nfs/dbraw/zinc/16/61/65/601166165.db2.gz CPFHBSONMJQFID-BJMVGYQFSA-N -1 1 313.300 1.971 20 0 DDADMM COCCN(C/C=C(\C)C(=O)[O-])Cc1ccc2c(c1)OCO2 ZINC000831724956 601168227 /nfs/dbraw/zinc/16/82/27/601168227.db2.gz IFIWGKSJLOBQLV-LFYBBSHMSA-N -1 1 307.346 1.895 20 0 DDADMM CCN1CC[C@H](NS(=O)(=O)c2ccc(/C=C\C(=O)[O-])cc2)C1 ZINC000389251514 601255445 /nfs/dbraw/zinc/25/54/45/601255445.db2.gz BEYPPYFELUFCPM-UJZCVKTISA-N -1 1 324.402 1.157 20 0 DDADMM CCOC[C@H]1CCN(CC(=O)Nc2cc(C(=O)[O-])ccc2C)C1 ZINC000829990393 601378041 /nfs/dbraw/zinc/37/80/41/601378041.db2.gz ZFESOJFBWVGZFB-ZDUSSCGKSA-N -1 1 320.389 1.990 20 0 DDADMM COc1ccc([C@H](CC(=O)[O-])NC(=O)[C@H](C)N(C)C)cc1OC ZINC000832235233 601409099 /nfs/dbraw/zinc/40/90/99/601409099.db2.gz CKZSGJCAVCDNQF-JQWIXIFHSA-N -1 1 324.377 1.286 20 0 DDADMM O=C([O-])[C@@]1(F)CCN(CCC(=O)N2CCc3ccccc32)C1 ZINC000833100074 601445356 /nfs/dbraw/zinc/44/53/56/601445356.db2.gz NLELTJHGIMRJEH-MRXNPFEDSA-N -1 1 306.337 1.464 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C[C@@H](O)COc2ccccc2Cl)C1 ZINC000833175810 601448024 /nfs/dbraw/zinc/44/80/24/601448024.db2.gz UTUGGOJPGRXNFX-YGRLFVJLSA-N -1 1 317.744 1.578 20 0 DDADMM C[C@@H](c1nnnn1-c1ccccc1)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828424852 601508959 /nfs/dbraw/zinc/50/89/59/601508959.db2.gz TXMBBNIFLMKZSM-MDZLAQPJSA-N -1 1 301.350 1.376 20 0 DDADMM O=C([O-])c1cccc(NC(=O)CN2CCCC[C@H]2CCO)c1 ZINC000833104753 601546536 /nfs/dbraw/zinc/54/65/36/601546536.db2.gz DXPLNXZIZXPGSY-AWEZNQCLSA-N -1 1 306.362 1.560 20 0 DDADMM COc1cccc(NC(=O)CCN2CC[C@](F)(C(=O)[O-])C2)c1 ZINC000832561964 601551000 /nfs/dbraw/zinc/55/10/00/601551000.db2.gz IEIVRVQKYPXYSX-OAHLLOKOSA-N -1 1 310.325 1.522 20 0 DDADMM O=C([O-])C[C@H](NC(=O)Nc1cnn(-c2ccncc2)c1)C1CC1 ZINC000833198803 601627206 /nfs/dbraw/zinc/62/72/06/601627206.db2.gz LGIMYHPEUPEUAD-ZDUSSCGKSA-N -1 1 315.333 1.642 20 0 DDADMM C[C@@H]1CN([C@@H]2CC(=O)N(c3ccc(F)cc3)C2=O)C[C@@H]1C(=O)[O-] ZINC000828430257 601635113 /nfs/dbraw/zinc/63/51/13/601635113.db2.gz APFMMYLIRPMRCT-JIMOISOXSA-N -1 1 320.320 1.110 20 0 DDADMM CC(C)[C@H](Nc1cccc(-c2nnn[n-]2)n1)C(=O)N1CCCC1 ZINC000824342651 607520793 /nfs/dbraw/zinc/52/07/93/607520793.db2.gz RQXJEBLHFSKIMH-ZDUSSCGKSA-N -1 1 315.381 1.321 20 0 DDADMM CC(C)[C@H](Nc1cccc(-c2nn[n-]n2)n1)C(=O)N1CCCC1 ZINC000824342651 607520795 /nfs/dbraw/zinc/52/07/95/607520795.db2.gz RQXJEBLHFSKIMH-ZDUSSCGKSA-N -1 1 315.381 1.321 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCCC[C@@H]2C(=O)[O-])c1Br ZINC000821153646 601743583 /nfs/dbraw/zinc/74/35/83/601743583.db2.gz XAYDUBRPSYKIKQ-SSDOTTSWSA-N -1 1 316.155 1.560 20 0 DDADMM COC[C@@]1(C)CC(=O)N(CN2CCC(CCC(=O)[O-])CC2)C1 ZINC000831660283 601798447 /nfs/dbraw/zinc/79/84/47/601798447.db2.gz BPMCICXXPUEWTE-INIZCTEOSA-N -1 1 312.410 1.406 20 0 DDADMM C[C@H](CS(=O)(=O)N(CCN(C)C)C1CCCCC1)C(=O)[O-] ZINC000827532057 601799659 /nfs/dbraw/zinc/79/96/59/601799659.db2.gz FROGPOFROXSUHD-GFCCVEGCSA-N -1 1 320.455 1.233 20 0 DDADMM C[C@@H](C(=O)NCc1cccs1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738626053 601949570 /nfs/dbraw/zinc/94/95/70/601949570.db2.gz AQSKIUMUXBBCIS-WDEREUQCSA-N -1 1 311.407 1.342 20 0 DDADMM CN(C)C(=O)[C@@H](c1ccccc1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000739593375 601953074 /nfs/dbraw/zinc/95/30/74/601953074.db2.gz DDCPLOKIZKVEDS-TZMCWYRMSA-N -1 1 305.378 1.405 20 0 DDADMM C[C@H]1Cc2ccccc2N1C(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000739200122 601963449 /nfs/dbraw/zinc/96/34/49/601963449.db2.gz ZQPYBWUOINMPMG-QWHCGFSZSA-N -1 1 317.389 1.554 20 0 DDADMM CN(C(=O)[O-])[C@H]1CCCN(C[C@@H]2COc3ccccc3O2)C1 ZINC000739582357 602047552 /nfs/dbraw/zinc/04/75/52/602047552.db2.gz CCHDIIPSANSJFT-QWHCGFSZSA-N -1 1 306.362 1.901 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)NC[C@H](C)N1CCc2ccccc2C1 ZINC000827281562 602090426 /nfs/dbraw/zinc/09/04/26/602090426.db2.gz PFRCLUXBNDUQTH-STQMWFEESA-N -1 1 304.390 1.660 20 0 DDADMM CC[C@@H](C)[C@H](NC(=O)[O-])C(=O)N1CCN(C2CCCC2)CC1 ZINC000739238283 602118578 /nfs/dbraw/zinc/11/85/78/602118578.db2.gz UBKBNSVOEPAZKG-OCCSQVGLSA-N -1 1 311.426 1.756 20 0 DDADMM O=C([O-])CC1(NCc2nc(-c3ccoc3)no2)CCOCC1 ZINC000833205389 602255971 /nfs/dbraw/zinc/25/59/71/602255971.db2.gz CFZZQCRIZPJAIQ-UHFFFAOYSA-N -1 1 307.306 1.443 20 0 DDADMM O=C([O-])N1CCC(CN2CCN(C(=O)N3CCCC3)CC2)CC1 ZINC000740500013 602257430 /nfs/dbraw/zinc/25/74/30/602257430.db2.gz NVTHGUBJDOCOFM-UHFFFAOYSA-N -1 1 324.425 1.210 20 0 DDADMM O=C([O-])N1CCC[C@@H]1C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000740452718 602291878 /nfs/dbraw/zinc/29/18/78/602291878.db2.gz HXOQZUAKFRGMTR-LLVKDONJSA-N -1 1 315.333 1.230 20 0 DDADMM O=C([O-])N1CCC[C@@H]1C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000740452718 602291881 /nfs/dbraw/zinc/29/18/81/602291881.db2.gz HXOQZUAKFRGMTR-LLVKDONJSA-N -1 1 315.333 1.230 20 0 DDADMM Cc1nnc(NC(=O)CC[C@H](NC(=O)[O-])c2ccccc2)[nH]1 ZINC000740219132 602475108 /nfs/dbraw/zinc/47/51/08/602475108.db2.gz CWDXNHRECGJRKP-NSHDSACASA-N -1 1 303.322 1.841 20 0 DDADMM C[C@@H]1CN(C[C@@H](O)c2cc(F)ccc2F)CC[C@H]1NC(=O)[O-] ZINC000739179223 602775745 /nfs/dbraw/zinc/77/57/45/602775745.db2.gz LVBLOTYOPUQDJQ-OWYVNGRQSA-N -1 1 314.332 1.976 20 0 DDADMM O=S(=O)(NCC1CCC1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826490460 607587741 /nfs/dbraw/zinc/58/77/41/607587741.db2.gz WCUCRGNUNDYPEP-UHFFFAOYSA-N -1 1 311.342 1.084 20 0 DDADMM O=S(=O)(NCC1CCC1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826490460 607587742 /nfs/dbraw/zinc/58/77/42/607587742.db2.gz WCUCRGNUNDYPEP-UHFFFAOYSA-N -1 1 311.342 1.084 20 0 DDADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)[C@@H]1CCCN(C(=O)[O-])C1 ZINC000737757448 602981300 /nfs/dbraw/zinc/98/13/00/602981300.db2.gz CANCVDMQWWDUES-MCIONIFRSA-N -1 1 309.410 1.462 20 0 DDADMM O=C([O-])N1CSC[C@H]1C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000740475724 603033637 /nfs/dbraw/zinc/03/36/37/603033637.db2.gz NJUVAFQYJJSFMR-JTQLQIEISA-N -1 1 319.346 1.259 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)[C@H]1CCC[C@H](NC(=O)[O-])C1 ZINC000738801550 603211137 /nfs/dbraw/zinc/21/11/37/603211137.db2.gz QULOVKJSJAOJSB-IHRRRGAJSA-N -1 1 311.426 1.611 20 0 DDADMM Cc1n[nH]c(C(=O)NC[C@H]2[C@@H](C)OC(C)(C)N2C(=O)[O-])c1C ZINC000740033210 603219674 /nfs/dbraw/zinc/21/96/74/603219674.db2.gz PYHNDFKJMVBRTI-ZJUUUORDSA-N -1 1 310.354 1.260 20 0 DDADMM Cc1ccc(N2CC[C@@H](N3CC[C@@H](CNC(=O)[O-])C3)C2=O)cc1 ZINC000740131615 603334751 /nfs/dbraw/zinc/33/47/51/603334751.db2.gz YAFRPVWWMLBAPP-DZGCQCFKSA-N -1 1 317.389 1.690 20 0 DDADMM O=C([O-])N1CCC[C@@H]1C1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC000831561967 603526878 /nfs/dbraw/zinc/52/68/78/603526878.db2.gz DUZNNVCBYKZWOB-CYBMUJFWSA-N -1 1 306.366 1.333 20 0 DDADMM O=C([O-])N[C@H]1CCC[C@H](C(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000832318317 603549297 /nfs/dbraw/zinc/54/92/97/603549297.db2.gz LYDVBBOUGRDNAK-STQMWFEESA-N -1 1 320.393 1.942 20 0 DDADMM O=C([O-])N1CCC[C@H](C(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000831974759 603549815 /nfs/dbraw/zinc/54/98/15/603549815.db2.gz YXFIAMKFRSGOBC-LBPRGKRZSA-N -1 1 306.366 1.506 20 0 DDADMM C[C@@H](N(C)CN1C[C@H]2CN(C(=O)[O-])CCN2C1=O)C(C)(C)C ZINC000825054035 603596412 /nfs/dbraw/zinc/59/64/12/603596412.db2.gz ADEPJFJROQRNFA-VXGBXAGGSA-N -1 1 312.414 1.410 20 0 DDADMM CC(C)CN(CN1C[C@H]2CN(C(=O)[O-])CCN2C1=O)C1CC1 ZINC000824252243 603596938 /nfs/dbraw/zinc/59/69/38/603596938.db2.gz WQJWLNNDFJSHJG-CYBMUJFWSA-N -1 1 310.398 1.164 20 0 DDADMM COc1ccc(CNC2CN(C(=O)[O-])C2)cc1Br ZINC000829389398 603685805 /nfs/dbraw/zinc/68/58/05/603685805.db2.gz KKTSOZYOPHFKPL-UHFFFAOYSA-N -1 1 315.167 1.910 20 0 DDADMM O=C([O-])N1CCC[C@@H]1[C@H]1CCCCN1C(=O)Cc1ccn[nH]1 ZINC000831998337 603712994 /nfs/dbraw/zinc/71/29/94/603712994.db2.gz UEFDSWSNZXCPDW-CHWSQXEVSA-N -1 1 306.366 1.476 20 0 DDADMM C[C@@H](C(=O)N1CC[C@@H](CNC(=O)[O-])C1)N(C)Cc1ccccc1 ZINC000823663831 603728916 /nfs/dbraw/zinc/72/89/16/603728916.db2.gz UYPLBOGYQZRGAD-ZFWWWQNUSA-N -1 1 319.405 1.623 20 0 DDADMM Cc1n[nH]c(C)c1NC(=O)CN(C)C1CCC(NC(=O)[O-])CC1 ZINC000830671718 603783568 /nfs/dbraw/zinc/78/35/68/603783568.db2.gz DWJQDULCLCOQPJ-UHFFFAOYSA-N -1 1 323.397 1.476 20 0 DDADMM O=C([O-])N1CC[C@@](F)(C(=O)Nc2ccn(-c3ccncc3)n2)C1 ZINC000831907920 603895284 /nfs/dbraw/zinc/89/52/84/603895284.db2.gz KRVIRWFPOXXFFG-AWEZNQCLSA-N -1 1 319.296 1.298 20 0 DDADMM O=C([O-])N1CCC[C@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000831641853 603944544 /nfs/dbraw/zinc/94/45/44/603944544.db2.gz WPJVVKFFWFPELB-MNOVXSKESA-N -1 1 306.366 1.336 20 0 DDADMM O=C([O-])NC[C@@H]1CCCC[C@H]1NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000832499926 603953845 /nfs/dbraw/zinc/95/38/45/603953845.db2.gz TYBNWNSEZBQQLV-DMDPSCGWSA-N -1 1 320.393 1.772 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(C)c(NC(=O)[O-])c1)c1nn[nH]n1 ZINC000826764635 604002482 /nfs/dbraw/zinc/00/24/82/604002482.db2.gz KPCLQXCYTBWOCE-JTQLQIEISA-N -1 1 318.337 1.869 20 0 DDADMM C[C@@H](CN(C)C(=O)[O-])C(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000824855977 604062243 /nfs/dbraw/zinc/06/22/43/604062243.db2.gz XKEIOPPCUKZWGE-STQMWFEESA-N -1 1 323.393 1.779 20 0 DDADMM O=C([O-])NC[C@H]1CCCN1C[C@H](O)c1ccc(F)c(F)c1 ZINC000832572844 604085846 /nfs/dbraw/zinc/08/58/46/604085846.db2.gz IKDSIOYIORKOFV-MFKMUULPSA-N -1 1 300.305 1.730 20 0 DDADMM C[C@H](NCCCN(C)C(=O)[O-])c1cn(-c2ccccc2)nn1 ZINC000825491099 604263061 /nfs/dbraw/zinc/26/30/61/604263061.db2.gz WFSIBAGZEQZPEJ-LBPRGKRZSA-N -1 1 303.366 1.918 20 0 DDADMM CN(C(=O)c1ccc(C(=O)[O-])c(F)c1)[C@H]1CN2CCC1CC2 ZINC000830528323 604314188 /nfs/dbraw/zinc/31/41/88/604314188.db2.gz KTZHSIGJWPTJOF-AWEZNQCLSA-N -1 1 306.337 1.690 20 0 DDADMM COC(=O)c1oc(CN[C@@](C)(CNC(=O)[O-])C2CC2)cc1C ZINC000828809810 604343031 /nfs/dbraw/zinc/34/30/31/604343031.db2.gz KNGZRDDYTJHQDS-HNNXBMFYSA-N -1 1 310.350 1.901 20 0 DDADMM Cc1ccc([C@H](CNC(=O)C2(NC(=O)[O-])CC2)N2CCCC2)o1 ZINC000830257092 604448995 /nfs/dbraw/zinc/44/89/95/604448995.db2.gz RAMQULLKLAAYJS-LBPRGKRZSA-N -1 1 321.377 1.641 20 0 DDADMM Cc1cccc(C)c1NC(=O)CCCN1CCO[C@@H](C(=O)[O-])C1 ZINC000818939345 604467273 /nfs/dbraw/zinc/46/72/73/604467273.db2.gz QZCHOGLJYNNYNB-CQSZACIVSA-N -1 1 320.389 1.808 20 0 DDADMM C[C@H](CC(=O)N1CCc2[nH]nnc2C1)[C@H]1CCCN(C(=O)[O-])C1 ZINC000824606476 604582065 /nfs/dbraw/zinc/58/20/65/604582065.db2.gz QCKBBCKVJSQHKU-MNOVXSKESA-N -1 1 321.381 1.106 20 0 DDADMM C[C@@H](C(=O)NCC(=O)[O-])N1CCC[C@@H](Nc2ccccc2)C1 ZINC000826001762 604797754 /nfs/dbraw/zinc/79/77/54/604797754.db2.gz ZKSHKOAKQXQTTR-GXTWGEPZSA-N -1 1 305.378 1.152 20 0 DDADMM CC(=Cc1ccc(F)cc1)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833386737 604895886 /nfs/dbraw/zinc/89/58/86/604895886.db2.gz QZOKEXXTCLFZBP-APFBBLRHSA-N -1 1 317.320 1.764 20 0 DDADMM O=C([O-])[C@H](N[C@@H]1CC(=O)N(c2ccccc2)C1)c1cccnc1 ZINC000833165480 604998557 /nfs/dbraw/zinc/99/85/57/604998557.db2.gz AGPONARIXRGJDX-CZUORRHYSA-N -1 1 311.341 1.602 20 0 DDADMM O=C([O-])[C@H](NCc1cnn(CC(F)(F)F)c1)c1cccnc1 ZINC000833170624 605009050 /nfs/dbraw/zinc/00/90/50/605009050.db2.gz ZOVAUNCXNZXWQH-LLVKDONJSA-N -1 1 314.267 1.756 20 0 DDADMM COCc1ccc(S(=O)(=O)Nc2cc(C)[nH]c2C(=O)[O-])cc1 ZINC000833725641 605042185 /nfs/dbraw/zinc/04/21/85/605042185.db2.gz CNYYOBCVKKCNKP-UHFFFAOYSA-N -1 1 324.358 1.969 20 0 DDADMM C[C@@H]1CCCC[C@@H]1NC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833612625 605043398 /nfs/dbraw/zinc/04/33/98/605043398.db2.gz PLISRBNCQWWNDH-MCIONIFRSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@@H]1CCC[C@H](NC(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)C1 ZINC000833611695 605046117 /nfs/dbraw/zinc/04/61/17/605046117.db2.gz ZKANJRFBOPSZNH-RDBSUJKOSA-N -1 1 311.426 1.756 20 0 DDADMM COc1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)ccc1C ZINC000833727076 605113006 /nfs/dbraw/zinc/11/30/06/605113006.db2.gz OFAZOEGMOYREPU-CYBMUJFWSA-N -1 1 320.389 1.625 20 0 DDADMM CC1=C(C(=O)[O-])C[C@@H](C[N@H+]2CC[C@H](Oc3cccnc3)C2)O1 ZINC000833584249 605115411 /nfs/dbraw/zinc/11/54/11/605115411.db2.gz MXLNRKOJUXUZBD-KBPBESRZSA-N -1 1 304.346 1.682 20 0 DDADMM NC(=O)COc1cccc(CNCc2ccoc2C(=O)[O-])c1 ZINC000833761519 605116793 /nfs/dbraw/zinc/11/67/93/605116793.db2.gz HCMIUYNGGHLHDJ-UHFFFAOYSA-N -1 1 304.302 1.132 20 0 DDADMM C[C@@H](CN(CN1C[C@@H](c2cccnc2)CC1=O)C1CC1)C(=O)[O-] ZINC000833516010 605120638 /nfs/dbraw/zinc/12/06/38/605120638.db2.gz DJPHLPKHMCUHCF-JSGCOSHPSA-N -1 1 317.389 1.540 20 0 DDADMM CC[C@H](C)[C@H](NC(=O)CN[C@H](C)c1cccc(O)c1)C(=O)[O-] ZINC000833637185 605122097 /nfs/dbraw/zinc/12/20/97/605122097.db2.gz CRYYDUAMNGHNEO-RWSFTLGLSA-N -1 1 308.378 1.658 20 0 DDADMM CCN1C[C@@H](C)N(CC(=O)c2[nH]c(C)c(C(=O)[O-])c2C)C[C@H]1C ZINC000833658044 605144659 /nfs/dbraw/zinc/14/46/59/605144659.db2.gz QFRNCERNMIUHRC-GHMZBOCLSA-N -1 1 321.421 1.927 20 0 DDADMM C[C@@H]1C[C@H](c2ccccc2)CN1CN1C[C@H](C(=O)[O-])CC1=O ZINC000833599368 605172750 /nfs/dbraw/zinc/17/27/50/605172750.db2.gz WLZZYATWATUCCW-VHDGCEQUSA-N -1 1 302.374 1.755 20 0 DDADMM CN(CC(=O)N(C)[C@H](C(=O)[O-])c1ccccc1)[C@@H]1CCSC1 ZINC000833690793 605213154 /nfs/dbraw/zinc/21/31/54/605213154.db2.gz DMHVWGWPZVSXDE-HIFRSBDPSA-N -1 1 322.430 1.708 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1CCC(=O)c1ccccc1 ZINC000833629407 605245420 /nfs/dbraw/zinc/24/54/20/605245420.db2.gz VCUDDBBWCRGNDX-CQSZACIVSA-N -1 1 304.390 1.740 20 0 DDADMM C[C@@H]1CN(C(=O)/C=C/c2cccc(F)c2)CCN1CCC(=O)[O-] ZINC000833617153 605276896 /nfs/dbraw/zinc/27/68/96/605276896.db2.gz AXKWHHNXQGGKMW-URWSZGRFSA-N -1 1 320.364 1.846 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)NC2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000830035882 605290787 /nfs/dbraw/zinc/29/07/87/605290787.db2.gz GQMHEGMBUNANHW-VIFPVBQESA-N -1 1 309.370 1.091 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N[C@@H]2CCC[C@H]2CNC(=O)[O-])n[nH]1 ZINC000830036540 605294757 /nfs/dbraw/zinc/29/47/57/605294757.db2.gz SVTPPEYZTOHTBH-XHVZSJERSA-N -1 1 323.397 1.385 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)N2CCN(C(=O)[O-])C[C@@H]2C)n[nH]1 ZINC000830031216 605301729 /nfs/dbraw/zinc/30/17/29/605301729.db2.gz TWSGWIYXTZGNCY-KOLCDFICSA-N -1 1 309.370 1.043 20 0 DDADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H](F)Cc1ccccc1 ZINC000833618488 605302561 /nfs/dbraw/zinc/30/25/61/605302561.db2.gz BLEKCVWCPJQTMH-UKRRQHHQSA-N -1 1 322.380 1.575 20 0 DDADMM CC(C)[C@H](NC(=O)[O-])C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000824137761 605336390 /nfs/dbraw/zinc/33/63/90/605336390.db2.gz VRYVWJAASUUFKE-JTQLQIEISA-N -1 1 306.326 1.041 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]2CN(c3ncnc4[nH]cnc43)C[C@H]21 ZINC000834186853 605373833 /nfs/dbraw/zinc/37/38/33/605373833.db2.gz VLSLQKPEYKIWLZ-IVZWLZJFSA-N -1 1 302.338 1.225 20 0 DDADMM O=C([O-])N1CC[C@@H](CCC(=O)N2CCN(CC3CC3)CC2)C1 ZINC000834077517 605419833 /nfs/dbraw/zinc/41/98/33/605419833.db2.gz YFVSJAKJFIHULH-CQSZACIVSA-N -1 1 309.410 1.321 20 0 DDADMM O=C([O-])N1CCSC[C@@H]1CC(=O)Nc1ccc2nc[nH]c2c1 ZINC000831418911 605429225 /nfs/dbraw/zinc/42/92/25/605429225.db2.gz QAMPOTAKKJRIPT-JTQLQIEISA-N -1 1 320.374 1.987 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)C2CCC(NC(=O)[O-])CC2)n[nH]1 ZINC000830028689 605434592 /nfs/dbraw/zinc/43/45/92/605434592.db2.gz BFGSKQSJNAJVLZ-OIKLOGQESA-N -1 1 308.382 1.592 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@H]2CN(Cc3cn4cccnc4n3)C[C@H]21 ZINC000834186709 605448931 /nfs/dbraw/zinc/44/89/31/605448931.db2.gz XEPNENVGCYCAFD-IACUBPJLSA-N -1 1 315.377 1.597 20 0 DDADMM O=C([O-])N1CC[C@H](N(C(=O)c2cccc3n[nH]cc32)C2CC2)C1 ZINC000831934690 605461286 /nfs/dbraw/zinc/46/12/86/605461286.db2.gz LSFGMYRSDVAMQM-NSHDSACASA-N -1 1 314.345 1.920 20 0 DDADMM C[C@H](C(=O)N1CCc2ccccc2C1)N(C)CCCNC(=O)[O-] ZINC000823679239 605467544 /nfs/dbraw/zinc/46/75/44/605467544.db2.gz ZTWADXSZSFQQMI-CYBMUJFWSA-N -1 1 319.405 1.549 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@H]1CCCN(Cc2cc(C(N)=O)cs2)C1 ZINC000833816151 605604231 /nfs/dbraw/zinc/60/42/31/605604231.db2.gz YELZNWIZFUXYLU-ZJUUUORDSA-N -1 1 311.407 1.715 20 0 DDADMM O=C([O-])N1CC(CNC(=O)[C@@H]2CCCN2Cc2ccccc2)C1 ZINC000834034310 605751362 /nfs/dbraw/zinc/75/13/62/605751362.db2.gz SRHBWDZENRLAGV-HNNXBMFYSA-N -1 1 317.389 1.377 20 0 DDADMM O=C([O-])N1CC[C@H](N(C(=O)[C@H]2CCCc3[nH]ncc32)C2CC2)C1 ZINC000831920983 605797813 /nfs/dbraw/zinc/79/78/13/605797813.db2.gz ABNYRBSFKHVJST-RYUDHWBXSA-N -1 1 318.377 1.573 20 0 DDADMM CN1CC[C@H](NC(=O)c2cc(C(=O)[O-])no2)[C@@H]1c1ccccc1 ZINC000833697651 605879243 /nfs/dbraw/zinc/87/92/43/605879243.db2.gz HXEUSDSCVSPXBO-FZMZJTMJSA-N -1 1 315.329 1.548 20 0 DDADMM CC(C)S(=O)(=O)CCCN1C[C@@H](CNC(=O)[O-])C[C@@H]1C ZINC000833833835 605908038 /nfs/dbraw/zinc/90/80/38/605908038.db2.gz KYCGTECIQCGJGB-NWDGAFQWSA-N -1 1 306.428 1.178 20 0 DDADMM O=C([O-])N[C@@H](CCC(=O)NCCN1CCC1)c1ccccc1 ZINC000834136856 605932483 /nfs/dbraw/zinc/93/24/83/605932483.db2.gz HOTYOGDFZGSFBG-AWEZNQCLSA-N -1 1 305.378 1.597 20 0 DDADMM O=C([O-])N1CCC2(C[C@@H]2C(=O)N[C@@H]2CCc3nc[nH]c3C2)CC1 ZINC000834019126 605959641 /nfs/dbraw/zinc/95/96/41/605959641.db2.gz OVTIGERFVYUUAV-GHMZBOCLSA-N -1 1 318.377 1.163 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)N2CC(=O)N1CCCCCC1 ZINC000834094403 605966303 /nfs/dbraw/zinc/96/63/03/605966303.db2.gz IONGVGZLODGOSU-KGLIPLIRSA-N -1 1 309.410 1.606 20 0 DDADMM O=C([O-])N1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(F)cc1O ZINC000834095034 605985395 /nfs/dbraw/zinc/98/53/95/605985395.db2.gz NJHMLJFNMCIAFL-MNOVXSKESA-N -1 1 308.309 1.888 20 0 DDADMM C[C@H]1c2ccccc2CN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820825655 606158087 /nfs/dbraw/zinc/15/80/87/606158087.db2.gz AKNNYZXOLOFBSA-VIFPVBQESA-N -1 1 324.348 1.709 20 0 DDADMM C[C@H]1c2ccccc2CN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820825655 606158089 /nfs/dbraw/zinc/15/80/89/606158089.db2.gz AKNNYZXOLOFBSA-VIFPVBQESA-N -1 1 324.348 1.709 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCCN(c2cccc(-c3nnn[n-]3)n2)C1 ZINC000820011741 606216349 /nfs/dbraw/zinc/21/63/49/606216349.db2.gz PKZSNJLRFWXTJI-LLVKDONJSA-N -1 1 315.381 1.003 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCCN(c2cccc(-c3nn[n-]n3)n2)C1 ZINC000820011741 606216350 /nfs/dbraw/zinc/21/63/50/606216350.db2.gz PKZSNJLRFWXTJI-LLVKDONJSA-N -1 1 315.381 1.003 20 0 DDADMM Fc1cc(Br)cc(Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC000822748314 606399990 /nfs/dbraw/zinc/39/99/90/606399990.db2.gz SWGDVKYEMDJCOL-UHFFFAOYSA-N -1 1 324.117 1.408 20 0 DDADMM CC1(C)OC[C@H](COC(=O)c2ccc(-c3nnn[n-]3)s2)O1 ZINC000820607544 606410596 /nfs/dbraw/zinc/41/05/96/606410596.db2.gz VEBSPCOANGCAMS-ZETCQYMHSA-N -1 1 310.335 1.237 20 0 DDADMM CC1(C)OC[C@H](COC(=O)c2ccc(-c3nn[n-]n3)s2)O1 ZINC000820607544 606410600 /nfs/dbraw/zinc/41/06/00/606410600.db2.gz VEBSPCOANGCAMS-ZETCQYMHSA-N -1 1 310.335 1.237 20 0 DDADMM CN(C)c1ccc(NC(=O)c2ccc(-c3nnn[n-]3)s2)cn1 ZINC000821589375 606500284 /nfs/dbraw/zinc/50/02/84/606500284.db2.gz LATYMJMLORKTRZ-UHFFFAOYSA-N -1 1 315.362 1.642 20 0 DDADMM CN(C)c1ccc(NC(=O)c2ccc(-c3nn[n-]n3)s2)cn1 ZINC000821589375 606500286 /nfs/dbraw/zinc/50/02/86/606500286.db2.gz LATYMJMLORKTRZ-UHFFFAOYSA-N -1 1 315.362 1.642 20 0 DDADMM CO[C@@H](C)CN(C(=O)c1ccc(-c2nnn[n-]2)s1)C(C)C ZINC000821673627 606570987 /nfs/dbraw/zinc/57/09/87/606570987.db2.gz HIABOHWXZUYGKV-VIFPVBQESA-N -1 1 309.395 1.814 20 0 DDADMM CO[C@@H](C)CN(C(=O)c1ccc(-c2nn[n-]n2)s1)C(C)C ZINC000821673627 606570988 /nfs/dbraw/zinc/57/09/88/606570988.db2.gz HIABOHWXZUYGKV-VIFPVBQESA-N -1 1 309.395 1.814 20 0 DDADMM CC(C)c1ocnc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000820211457 606646920 /nfs/dbraw/zinc/64/69/20/606646920.db2.gz NNZMUGGKXHNDDZ-UHFFFAOYSA-N -1 1 314.305 1.730 20 0 DDADMM CC(C)c1ocnc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000820211457 606646921 /nfs/dbraw/zinc/64/69/21/606646921.db2.gz NNZMUGGKXHNDDZ-UHFFFAOYSA-N -1 1 314.305 1.730 20 0 DDADMM CCC1(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)CCCC1 ZINC000820962274 606743751 /nfs/dbraw/zinc/74/37/51/606743751.db2.gz MEQZWEWXEGCEBX-UHFFFAOYSA-N -1 1 300.366 1.962 20 0 DDADMM CCC1(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)CCCC1 ZINC000820962274 606743752 /nfs/dbraw/zinc/74/37/52/606743752.db2.gz MEQZWEWXEGCEBX-UHFFFAOYSA-N -1 1 300.366 1.962 20 0 DDADMM CC[C@@H](NCc1ccc(-c2nnn[n-]2)o1)C(=O)OC(C)(C)C ZINC000821462295 606921061 /nfs/dbraw/zinc/92/10/61/606921061.db2.gz YXGNECSYOIZJCW-SNVBAGLBSA-N -1 1 307.354 1.670 20 0 DDADMM CC[C@@H](NCc1ccc(-c2nn[n-]n2)o1)C(=O)OC(C)(C)C ZINC000821462295 606921063 /nfs/dbraw/zinc/92/10/63/606921063.db2.gz YXGNECSYOIZJCW-SNVBAGLBSA-N -1 1 307.354 1.670 20 0 DDADMM CC1(C)CCCC[C@H]1CC(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000824804070 607780546 /nfs/dbraw/zinc/78/05/46/607780546.db2.gz ZRKBPOHPJRURBJ-VIFPVBQESA-N -1 1 303.370 1.892 20 0 DDADMM O=C(CCn1ccnc1-c1nnn[n-]1)Nc1cccc(F)c1 ZINC000826409902 607868748 /nfs/dbraw/zinc/86/87/48/607868748.db2.gz TTXUNTWTGOEZRG-UHFFFAOYSA-N -1 1 301.285 1.231 20 0 DDADMM O=C(CCn1ccnc1-c1nn[n-]n1)Nc1cccc(F)c1 ZINC000826409902 607868749 /nfs/dbraw/zinc/86/87/49/607868749.db2.gz TTXUNTWTGOEZRG-UHFFFAOYSA-N -1 1 301.285 1.231 20 0 DDADMM O[C@H](Cn1ccnc1-c1nnn[n-]1)c1ccc2ccccc2c1 ZINC000826505118 607868820 /nfs/dbraw/zinc/86/88/20/607868820.db2.gz NCSHWRWDFUDUBB-CQSZACIVSA-N -1 1 306.329 1.950 20 0 DDADMM O[C@H](Cn1ccnc1-c1nn[n-]n1)c1ccc2ccccc2c1 ZINC000826505118 607868821 /nfs/dbraw/zinc/86/88/21/607868821.db2.gz NCSHWRWDFUDUBB-CQSZACIVSA-N -1 1 306.329 1.950 20 0 DDADMM c1cc(NC2CCC3(CC2)OCCO3)nc(-c2nnn[n-]2)c1 ZINC000826516974 607896836 /nfs/dbraw/zinc/89/68/36/607896836.db2.gz KNYSDBRDAXCRAG-UHFFFAOYSA-N -1 1 302.338 1.359 20 0 DDADMM c1cc(NC2CCC3(CC2)OCCO3)nc(-c2nn[n-]n2)c1 ZINC000826516974 607896837 /nfs/dbraw/zinc/89/68/37/607896837.db2.gz KNYSDBRDAXCRAG-UHFFFAOYSA-N -1 1 302.338 1.359 20 0 DDADMM CN(CCOc1ccccc1F)c1ccc(-c2nnn[n-]2)nn1 ZINC000825946009 607988261 /nfs/dbraw/zinc/98/82/61/607988261.db2.gz DYFKHMKWMYBFNB-UHFFFAOYSA-N -1 1 315.312 1.311 20 0 DDADMM CN(CCOc1ccccc1F)c1ccc(-c2nn[n-]n2)nn1 ZINC000825946009 607988262 /nfs/dbraw/zinc/98/82/62/607988262.db2.gz DYFKHMKWMYBFNB-UHFFFAOYSA-N -1 1 315.312 1.311 20 0 DDADMM Cc1ccccc1CN(C)C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000826306671 608012824 /nfs/dbraw/zinc/01/28/24/608012824.db2.gz HNBJMOHVLNZTDI-UHFFFAOYSA-N -1 1 311.349 1.030 20 0 DDADMM Cc1ccccc1CN(C)C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000826306671 608012825 /nfs/dbraw/zinc/01/28/25/608012825.db2.gz HNBJMOHVLNZTDI-UHFFFAOYSA-N -1 1 311.349 1.030 20 0 DDADMM CC[C@H]1CN(c2cc(-c3nnn[n-]3)nc3ccccc32)C[C@@H]1O ZINC000825212965 608018050 /nfs/dbraw/zinc/01/80/50/608018050.db2.gz VGUZLBAROPOZAZ-BONVTDFDSA-N -1 1 310.361 1.622 20 0 DDADMM CC[C@H]1CN(c2cc(-c3nn[n-]n3)nc3ccccc32)C[C@@H]1O ZINC000825212965 608018051 /nfs/dbraw/zinc/01/80/51/608018051.db2.gz VGUZLBAROPOZAZ-BONVTDFDSA-N -1 1 310.361 1.622 20 0 DDADMM CC[C@H]1CN(c2cccc(-c3nnn[n-]3)n2)CCN1CC(F)F ZINC000825211282 608235336 /nfs/dbraw/zinc/23/53/36/608235336.db2.gz LSSXNPJTFCWLFY-JTQLQIEISA-N -1 1 323.351 1.427 20 0 DDADMM CC[C@H]1CN(c2cccc(-c3nn[n-]n3)n2)CCN1CC(F)F ZINC000825211282 608235337 /nfs/dbraw/zinc/23/53/37/608235337.db2.gz LSSXNPJTFCWLFY-JTQLQIEISA-N -1 1 323.351 1.427 20 0 DDADMM C[C@@H](C[C@H](O)c1ccccc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824390863 608431067 /nfs/dbraw/zinc/43/10/67/608431067.db2.gz FBAFEBRPYUORBO-GWCFXTLKSA-N -1 1 311.349 1.581 20 0 DDADMM C[C@@H](C[C@H](O)c1ccccc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824390863 608431068 /nfs/dbraw/zinc/43/10/68/608431068.db2.gz FBAFEBRPYUORBO-GWCFXTLKSA-N -1 1 311.349 1.581 20 0 DDADMM NC(=O)[C@@H]1CCCCC[C@@H]1Nc1cccc(-c2nnn[n-]2)n1 ZINC000826390101 608434763 /nfs/dbraw/zinc/43/47/63/608434763.db2.gz QAYJZZBPTDFUMG-ZJUUUORDSA-N -1 1 301.354 1.108 20 0 DDADMM NC(=O)[C@@H]1CCCCC[C@@H]1Nc1cccc(-c2nn[n-]n2)n1 ZINC000826390101 608434764 /nfs/dbraw/zinc/43/47/64/608434764.db2.gz QAYJZZBPTDFUMG-ZJUUUORDSA-N -1 1 301.354 1.108 20 0 DDADMM CCOC(=O)[C@@H]1CCCCN1Cc1ccc(-c2nn[n-]n2)s1 ZINC000825499252 608499765 /nfs/dbraw/zinc/49/97/65/608499765.db2.gz JBOZXEQHLNPZIW-NSHDSACASA-N -1 1 321.406 1.846 20 0 DDADMM Cc1ccc(-c2nn[n-]n2)nc1NCc1ccc(NC(N)=O)cc1 ZINC000826280533 608542744 /nfs/dbraw/zinc/54/27/44/608542744.db2.gz FTBOWDHRDHLXPQ-UHFFFAOYSA-N -1 1 324.348 1.673 20 0 DDADMM c1cc(-c2nnn[n-]2)oc1CNc1cnn(-c2ccccn2)c1 ZINC000826519966 608569617 /nfs/dbraw/zinc/56/96/17/608569617.db2.gz WDPUTOMJNJBEGT-UHFFFAOYSA-N -1 1 308.305 1.653 20 0 DDADMM c1cc(-c2nn[n-]n2)oc1CNc1cnn(-c2ccccn2)c1 ZINC000826519966 608569618 /nfs/dbraw/zinc/56/96/18/608569618.db2.gz WDPUTOMJNJBEGT-UHFFFAOYSA-N -1 1 308.305 1.653 20 0 DDADMM Cn1cnnc1[C@H]1CCCN1Cc1ccc(-c2nn[n-]n2)s1 ZINC000826365363 608740806 /nfs/dbraw/zinc/74/08/06/608740806.db2.gz LFJUTLFUWBVSDI-SNVBAGLBSA-N -1 1 316.394 1.394 20 0 DDADMM Cc1nscc1C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826340290 609294905 /nfs/dbraw/zinc/29/49/05/609294905.db2.gz QVGAJAYJIMNJOS-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM Cc1nscc1C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826340290 609294907 /nfs/dbraw/zinc/29/49/07/609294907.db2.gz QVGAJAYJIMNJOS-UHFFFAOYSA-N -1 1 302.319 1.384 20 0 DDADMM C[C@@H](O)[C@@H]1CCN(c2cc(-c3nnn[n-]3)nc3ccccc32)C1 ZINC000824690174 609569245 /nfs/dbraw/zinc/56/92/45/609569245.db2.gz QJJXBLKRDGHYEC-GHMZBOCLSA-N -1 1 310.361 1.622 20 0 DDADMM C[C@@H](O)[C@@H]1CCN(c2cc(-c3nn[n-]n3)nc3ccccc32)C1 ZINC000824690174 609569247 /nfs/dbraw/zinc/56/92/47/609569247.db2.gz QJJXBLKRDGHYEC-GHMZBOCLSA-N -1 1 310.361 1.622 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2ccc(C)nc2Cl)on1 ZINC000189422917 697575551 /nfs/dbraw/zinc/57/55/51/697575551.db2.gz WIMDOCDDWZNHSN-UHFFFAOYSA-N -1 1 301.755 1.818 20 0 DDADMM CC(C)(F)C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971896349 695119022 /nfs/dbraw/zinc/11/90/22/695119022.db2.gz XUQORFBSQGVYDD-GHMZBOCLSA-N -1 1 321.352 1.208 20 0 DDADMM COC(=O)c1ccc(C(=O)OC2CCC(C(=O)OC)CC2)[n-]1 ZINC000797117563 699994865 /nfs/dbraw/zinc/99/48/65/699994865.db2.gz HFIFDPHYWYATFK-UHFFFAOYSA-N -1 1 309.318 1.690 20 0 DDADMM COC(=O)c1ccc(C(=O)Oc2ccc3c(c2)CC(=O)N3)[n-]1 ZINC000797219252 699999537 /nfs/dbraw/zinc/99/95/37/699999537.db2.gz ULPDKVHDEBCGKX-UHFFFAOYSA-N -1 1 300.270 1.515 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C1CC(C)(C)C1 ZINC000797274793 700002377 /nfs/dbraw/zinc/00/23/77/700002377.db2.gz ZYIKULPSWROGOE-UHFFFAOYSA-N -1 1 304.350 1.248 20 0 DDADMM C[C@@H]1CCN(C(=O)N[C@H]2CCCc3cn[nH]c32)[C@H](C(=O)[O-])C1 ZINC000797725116 700020970 /nfs/dbraw/zinc/02/09/70/700020970.db2.gz QTXOCEJOIQODJL-USWWRNFRSA-N -1 1 306.366 1.682 20 0 DDADMM CC[C@H](F)C(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000974796237 695737124 /nfs/dbraw/zinc/73/71/24/695737124.db2.gz HUVODLNRZPIKPP-GRYCIOLGSA-N -1 1 321.352 1.208 20 0 DDADMM C[C@H](Sc1ccc(Cl)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000029019498 696108286 /nfs/dbraw/zinc/10/82/86/696108286.db2.gz CXNPXYCHUQCECE-ZETCQYMHSA-N -1 1 313.766 1.404 20 0 DDADMM Cc1cc(Br)oc1C(=O)[N-]NC(=O)c1ccccn1 ZINC000044819992 696168502 /nfs/dbraw/zinc/16/85/02/696168502.db2.gz HSVLCIKUBAEGRK-UHFFFAOYSA-N -1 1 324.134 1.820 20 0 DDADMM COc1cc[n-]c(=NNC(=O)c2cc(-c3ccccc3)no2)n1 ZINC000047090256 696194310 /nfs/dbraw/zinc/19/43/10/696194310.db2.gz IMGDQPOCUFWNND-UHFFFAOYSA-N -1 1 311.301 1.897 20 0 DDADMM CCC[C@@H](C)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000051391984 696240500 /nfs/dbraw/zinc/24/05/00/696240500.db2.gz QRWMEOOETXESPW-LLVKDONJSA-N -1 1 307.346 1.931 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)c2cc3c(s2)CC[C@@H](C)C3)n[nH]1 ZINC000054146920 696273600 /nfs/dbraw/zinc/27/36/00/696273600.db2.gz NJIRFIGFGWRNMV-MRVPVSSYSA-N -1 1 318.402 1.979 20 0 DDADMM COc1cc[n-]c(=NNS(=O)(=O)c2cc(F)ccc2C)n1 ZINC000055585258 696284915 /nfs/dbraw/zinc/28/49/15/696284915.db2.gz JEDUNKAXIHWXER-UHFFFAOYSA-N -1 1 312.326 1.238 20 0 DDADMM CC/C=C(/C)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000063931156 696332735 /nfs/dbraw/zinc/33/27/35/696332735.db2.gz SZZJCNDTCVFWOX-WZUFQYTHSA-N -1 1 305.330 1.851 20 0 DDADMM CCCc1cc(C(=O)NCCc2n[n-]c(=S)n2C2CC2)[nH]n1 ZINC000067079280 696358802 /nfs/dbraw/zinc/35/88/02/696358802.db2.gz OROYIDDSHGPSJS-UHFFFAOYSA-N -1 1 320.422 1.924 20 0 DDADMM CCCc1cc(C(=O)NCCc2n[n-]c(=S)n2CC)[nH]n1 ZINC000067076718 696359047 /nfs/dbraw/zinc/35/90/47/696359047.db2.gz KUQIKUMIAORMBD-UHFFFAOYSA-N -1 1 308.411 1.609 20 0 DDADMM CC(C)CN1C(=O)C(=CNCC2CCCCC2)C(=O)[N-]C1=S ZINC000072680361 696395386 /nfs/dbraw/zinc/39/53/86/696395386.db2.gz CXNFDDLQVNSBAR-LCYFTJDESA-N -1 1 323.462 1.940 20 0 DDADMM O=C(N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1)c1ccc[nH]1 ZINC000978745951 696451151 /nfs/dbraw/zinc/45/11/51/696451151.db2.gz WEIWFFBUGSWLNJ-PHIMTYICSA-N -1 1 314.345 1.054 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2cc3ccccc3o2)s1 ZINC000079582529 696461595 /nfs/dbraw/zinc/46/15/95/696461595.db2.gz OJZWLXPKGQDXIN-NSHDSACASA-N -1 1 303.343 1.396 20 0 DDADMM Cc1cccc(C(C)(C)CNC(=O)Cc2sc(N)nc2[O-])c1 ZINC000079804253 696463930 /nfs/dbraw/zinc/46/39/30/696463930.db2.gz KOQVWSHORUONCK-GFCCVEGCSA-N -1 1 319.430 1.736 20 0 DDADMM CCC[C@H](NC(=O)Cc1sc(N)nc1[O-])c1ccccn1 ZINC000080035593 696529969 /nfs/dbraw/zinc/52/99/69/696529969.db2.gz AVWWQASADNBXPY-WDEREUQCSA-N -1 1 306.391 1.386 20 0 DDADMM CC[C@](C)(NC(=O)Cc1sc(N)nc1[O-])c1ccccc1 ZINC000080117111 696530719 /nfs/dbraw/zinc/53/07/19/696530719.db2.gz QDZGNHAZRUVTEG-NHYWBVRUSA-N -1 1 305.403 1.775 20 0 DDADMM Cc1cccc([C@@H](C)NC(=O)Cc2sc(N)nc2[O-])c1C ZINC000080321946 696533263 /nfs/dbraw/zinc/53/32/63/696533263.db2.gz GRRXCFVGPPHLBC-PWSUYJOCSA-N -1 1 305.403 1.827 20 0 DDADMM Cc1cccc(C(C)(C)NC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080377748 696533738 /nfs/dbraw/zinc/53/37/38/696533738.db2.gz BVEDVYXIFJMDDO-LLVKDONJSA-N -1 1 305.403 1.693 20 0 DDADMM Cc1cc(C)cc(C[C@H](C)NC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080661087 696536548 /nfs/dbraw/zinc/53/65/48/696536548.db2.gz FPMWPLOXNZCBAG-AAEUAGOBSA-N -1 1 319.430 1.697 20 0 DDADMM CC[C@H]1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C[C@H](C)O1 ZINC000081718074 696547061 /nfs/dbraw/zinc/54/70/61/696547061.db2.gz NNAZVSFIILGXOH-JQWIXIFHSA-N -1 1 307.394 1.841 20 0 DDADMM CCC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H]2C1 ZINC000979547304 696591916 /nfs/dbraw/zinc/59/19/16/696591916.db2.gz LOTCOIXHHWSYDM-SDDRHHMPSA-N -1 1 303.362 1.164 20 0 DDADMM C[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979685166 696626579 /nfs/dbraw/zinc/62/65/79/696626579.db2.gz HMFQRUJKPNXJOC-KKOKHZNYSA-N -1 1 303.362 1.068 20 0 DDADMM O=C(CC1CC1)NC1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000979995435 696674890 /nfs/dbraw/zinc/67/48/90/696674890.db2.gz LERHXNNRECDHMB-UHFFFAOYSA-N -1 1 317.389 1.745 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)CCC1CCCC1 ZINC000119267313 696678772 /nfs/dbraw/zinc/67/87/72/696678772.db2.gz GUVYFRYKLZGKRU-UHFFFAOYSA-N -1 1 318.377 1.782 20 0 DDADMM Cc1cccc(CS(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)c1 ZINC000798858484 700102517 /nfs/dbraw/zinc/10/25/17/700102517.db2.gz WILWLNDYKZVPOO-CQSZACIVSA-N -1 1 312.435 1.527 20 0 DDADMM CCc1ccccc1S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C ZINC000798863248 700102858 /nfs/dbraw/zinc/10/28/58/700102858.db2.gz XQAORIOTYMFMFF-CQSZACIVSA-N -1 1 312.435 1.640 20 0 DDADMM Nc1nc([O-])c(CC(=O)N2CCC[C@@H]2c2ccc(F)cc2)s1 ZINC000120855940 696702439 /nfs/dbraw/zinc/70/24/39/696702439.db2.gz USIOZDCNEDFLLL-NEPJUHHUSA-N -1 1 321.377 1.836 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccccc2N2CCCC2)s1 ZINC000120870557 696702658 /nfs/dbraw/zinc/70/26/58/696702658.db2.gz INXYNVHKAVMCAX-LBPRGKRZSA-N -1 1 318.402 1.572 20 0 DDADMM Nc1nc([O-])c(CC(=O)Nc2ccccc2-n2cccn2)s1 ZINC000120910011 696703542 /nfs/dbraw/zinc/70/35/42/696703542.db2.gz WUNDSCDTSRBTTG-LLVKDONJSA-N -1 1 315.358 1.158 20 0 DDADMM CCc1noc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000799172998 700126008 /nfs/dbraw/zinc/12/60/08/700126008.db2.gz JANWVCYDGHJRKI-UHFFFAOYSA-N -1 1 320.315 1.523 20 0 DDADMM CC(C)(F)C(=O)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000981206893 697034198 /nfs/dbraw/zinc/03/41/98/697034198.db2.gz CBCUJJKZBJJNBQ-WDEREUQCSA-N -1 1 323.368 1.550 20 0 DDADMM O=C(NC[C@H]1CCN(C(=O)c2ccco2)C1)c1ncccc1[O-] ZINC000982374429 697116870 /nfs/dbraw/zinc/11/68/70/697116870.db2.gz KASWGWKDKYDCDM-LLVKDONJSA-N -1 1 315.329 1.272 20 0 DDADMM CC(C)[C@@H](F)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983144997 697218369 /nfs/dbraw/zinc/21/83/69/697218369.db2.gz ZTPQGGCUITUIMU-DGCLKSJQSA-N -1 1 323.368 1.360 20 0 DDADMM CC(=O)N[C@H]1CCC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000983399033 697254604 /nfs/dbraw/zinc/25/46/04/697254604.db2.gz WTMTVTRRDXILQD-NWDGAFQWSA-N -1 1 318.377 1.237 20 0 DDADMM O=C(N[C@H]1CCC[C@@H](NC(=O)C2CCC2)C1)c1ncccc1[O-] ZINC000983416963 697260049 /nfs/dbraw/zinc/26/00/49/697260049.db2.gz MVJHAUSNBDXJCN-OLZOCXBDSA-N -1 1 317.389 1.745 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)c1ccco1)c1ccc(F)c(F)c1F ZINC000159168097 697307952 /nfs/dbraw/zinc/30/79/52/697307952.db2.gz LUVGCIZEAMYZCF-MRVPVSSYSA-N -1 1 321.276 1.709 20 0 DDADMM C/C=C(/C)C(=O)N1CCC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000984065148 697335180 /nfs/dbraw/zinc/33/51/80/697335180.db2.gz ORGLMQOPGCYHLZ-VEIWZVCTSA-N -1 1 317.389 1.816 20 0 DDADMM CN(C(=O)C(F)F)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984113495 697341865 /nfs/dbraw/zinc/34/18/65/697341865.db2.gz KEWVEZDNRPIBLT-SECBINFHSA-N -1 1 313.304 1.115 20 0 DDADMM CC(=O)N1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(C(=O)c2ncccc2[O-])C1 ZINC000984204853 697355844 /nfs/dbraw/zinc/35/58/44/697355844.db2.gz FUEMWQVSBIGLTQ-XUXIUFHCSA-N -1 1 315.373 1.116 20 0 DDADMM COC(=O)CC(C)(C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000172593253 697369114 /nfs/dbraw/zinc/36/91/14/697369114.db2.gz JUGJMGKUUHPUSC-UHFFFAOYSA-N -1 1 307.318 1.585 20 0 DDADMM CC[C@@H](F)C(=O)N1CCC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000984491976 697391894 /nfs/dbraw/zinc/39/18/94/697391894.db2.gz JCPXPQNCGFDPIA-NWDGAFQWSA-N -1 1 323.368 1.598 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)[C@@H]1C[C@@H]1C(C)C ZINC000184213737 697500420 /nfs/dbraw/zinc/50/04/20/697500420.db2.gz XTEXJUSSDUFBKC-VXGBXAGGSA-N -1 1 304.350 1.104 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@H]2CCC2(C)C)CC1 ZINC000985595520 697538777 /nfs/dbraw/zinc/53/87/77/697538777.db2.gz VCTXTIWTNDBWBZ-LBPRGKRZSA-N -1 1 321.425 1.369 20 0 DDADMM C[C@@H]1CCN(Cc2cc(=O)oc3cc([O-])ccc23)[C@H](C(N)=O)C1 ZINC000187432973 697544747 /nfs/dbraw/zinc/54/47/47/697544747.db2.gz XRQWEDSSBBAOHF-YGRLFVJLSA-N -1 1 316.357 1.584 20 0 DDADMM CC(C)N1C(=S)N=NC1CNC(=O)c1ccc(C#N)c([O-])c1 ZINC000188468506 697560245 /nfs/dbraw/zinc/56/02/45/697560245.db2.gz KAOWBSKQGJUZDX-UHFFFAOYSA-N -1 1 317.374 1.655 20 0 DDADMM Cc1ccsc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000985889227 697596401 /nfs/dbraw/zinc/59/64/01/697596401.db2.gz ZGBFDWALOOCJSK-UWVGGRQHSA-N -1 1 321.406 1.273 20 0 DDADMM O=C([N-]OCC(F)(F)F)c1cc[nH]c(=O)c1Br ZINC000772397374 697628406 /nfs/dbraw/zinc/62/84/06/697628406.db2.gz RBQNQVAUALIUMB-UHFFFAOYSA-N -1 1 315.045 1.773 20 0 DDADMM CO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1(C)C ZINC000227836292 697772003 /nfs/dbraw/zinc/77/20/03/697772003.db2.gz MDFHWIOYPNDMKC-HTQZYQBOSA-N -1 1 307.803 1.165 20 0 DDADMM C[C@H]1CN(Cn2[n-]c(-c3ccccn3)nc2=S)CC(=O)N1C ZINC000799955565 700177016 /nfs/dbraw/zinc/17/70/16/700177016.db2.gz LKCVONQBWHJVKC-JTQLQIEISA-N -1 1 318.406 1.123 20 0 DDADMM O=C(Nc1cccc(CO)c1)c1ccc2n[n-]c(=S)n2c1 ZINC000773713571 697797381 /nfs/dbraw/zinc/79/73/81/697797381.db2.gz VTOUNTSRZFCHSP-UHFFFAOYSA-N -1 1 300.343 1.763 20 0 DDADMM O=C(N[C@H]1CC[C@@H](CO)CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000774065402 697838715 /nfs/dbraw/zinc/83/87/15/697838715.db2.gz FSUNIXAUGJWAHV-JGZJWPJOSA-N -1 1 306.391 1.299 20 0 DDADMM Cc1cc(=O)[nH]cc1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000774432823 697879166 /nfs/dbraw/zinc/87/91/66/697879166.db2.gz KDYICPSKQNXQFO-UHFFFAOYSA-N -1 1 301.331 1.679 20 0 DDADMM CC(C)C(=O)N1CCCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000986896776 697942037 /nfs/dbraw/zinc/94/20/37/697942037.db2.gz ZSPJOZJWBJPJOU-ZDUSSCGKSA-N -1 1 319.405 1.944 20 0 DDADMM C[C@@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)c1cnn(C)c1 ZINC000774968301 697948932 /nfs/dbraw/zinc/94/89/32/697948932.db2.gz UCFRMMAQUGNFNU-MRVPVSSYSA-N -1 1 307.272 1.135 20 0 DDADMM Cc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cs1 ZINC000987176117 698017973 /nfs/dbraw/zinc/01/79/73/698017973.db2.gz YRPAIXCBJMKXQH-GXSJLCMTSA-N -1 1 321.406 1.273 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)/C=C1\CC[C@H](C)C1 ZINC000777361267 698182582 /nfs/dbraw/zinc/18/25/82/698182582.db2.gz OEBANXVAKAXNOJ-MJWAUXSNSA-N -1 1 316.361 1.558 20 0 DDADMM CC(C)=CC(=O)N1CC[C@H](CN(C)C(=O)c2ncccc2[O-])C1 ZINC000987705513 698204528 /nfs/dbraw/zinc/20/45/28/698204528.db2.gz HUYGCSBHZUQYRM-CYBMUJFWSA-N -1 1 317.389 1.674 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1c(Cl)ccnc1Cl)C(C)(C)O ZINC000778005313 698236877 /nfs/dbraw/zinc/23/68/77/698236877.db2.gz HYTMXTSRBPGAGU-LURJTMIESA-N -1 1 313.206 1.826 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H](F)Cc1ccccc1 ZINC000778284660 698256888 /nfs/dbraw/zinc/25/68/88/698256888.db2.gz BJOWMAPGUCMBLU-GFCCVEGCSA-N -1 1 303.341 1.666 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCC1CCCC1 ZINC000987903848 698262705 /nfs/dbraw/zinc/26/27/05/698262705.db2.gz DTUPREMOYBQSHU-YPMHNXCESA-N -1 1 321.425 1.560 20 0 DDADMM Cc1cnc(C(=O)NCc2cccc3c2OCCO3)c([O-])c1 ZINC000778671891 698358079 /nfs/dbraw/zinc/35/80/79/698358079.db2.gz CTOOEUXWCLSBQF-UHFFFAOYSA-N -1 1 300.314 1.797 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C(F)=C1CCCC1 ZINC000988598758 698415703 /nfs/dbraw/zinc/41/57/03/698415703.db2.gz BFIKEYUWYSEIOF-MWLCHTKSSA-N -1 1 323.372 1.387 20 0 DDADMM C[C@@H]1C[C@H](NCc2cnsn2)CN1C(=O)c1ncccc1[O-] ZINC000988684269 698436243 /nfs/dbraw/zinc/43/62/43/698436243.db2.gz LASCEUNGKXSMKO-ZJUUUORDSA-N -1 1 319.390 1.032 20 0 DDADMM CCCNC(=O)COC(=O)c1ccc(Br)c([O-])c1 ZINC000750551341 700230781 /nfs/dbraw/zinc/23/07/81/700230781.db2.gz MUONJCCJYBTFKK-UHFFFAOYSA-N -1 1 316.151 1.838 20 0 DDADMM CC[C@@H](NC(=O)NC[C@@H](c1ccco1)N1CCCCC1)C(=O)[O-] ZINC000780344430 698509276 /nfs/dbraw/zinc/50/92/76/698509276.db2.gz PGMBAXGMBJBRCA-OLZOCXBDSA-N -1 1 323.393 1.969 20 0 DDADMM CCC[C@@H](NC(=O)NCCN1CCc2ccccc2C1)C(=O)[O-] ZINC000780382523 698513668 /nfs/dbraw/zinc/51/36/68/698513668.db2.gz ZCWNOTLWHBRFLT-OAHLLOKOSA-N -1 1 319.405 1.597 20 0 DDADMM C[C@@H](C(=O)OCC(=O)[N-]C(=O)c1ccccc1)c1cnn(C)c1 ZINC000750557076 700231849 /nfs/dbraw/zinc/23/18/49/700231849.db2.gz IBBYLHZTIFXXJI-LLVKDONJSA-N -1 1 315.329 1.023 20 0 DDADMM CN(CCNC(=O)N1CCC[C@H]1C(=O)[O-])Cc1ccc(F)cc1 ZINC000780631883 698540831 /nfs/dbraw/zinc/54/08/31/698540831.db2.gz FNMSXSSBPNIJHF-AWEZNQCLSA-N -1 1 323.368 1.516 20 0 DDADMM O=C([O-])C1(NC(=O)N[C@@H]2CCCc3cn[nH]c32)CCCCC1 ZINC000780682296 698547651 /nfs/dbraw/zinc/54/76/51/698547651.db2.gz BDPFIBCOCVDTSY-LLVKDONJSA-N -1 1 306.366 1.874 20 0 DDADMM CC(C)[N@@H+](C)C[C@@H](C)NS(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC000314346017 698688737 /nfs/dbraw/zinc/68/87/37/698688737.db2.gz DKTQKCHTPWQHSU-LLVKDONJSA-N -1 1 314.407 1.392 20 0 DDADMM Cn1c2ccccc2sc1=N[N-]S(=O)(=O)c1ccco1 ZINC000783523897 698847069 /nfs/dbraw/zinc/84/70/69/698847069.db2.gz KNDCUHSBJYTYDA-UHFFFAOYSA-N -1 1 309.372 1.627 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC(NC(=O)C(C)C)C1 ZINC000990260710 699012573 /nfs/dbraw/zinc/01/25/73/699012573.db2.gz FDJOSZAKZQRXTA-UHFFFAOYSA-N -1 1 320.393 1.211 20 0 DDADMM C[C@H]1CCCC[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969183 699195658 /nfs/dbraw/zinc/19/56/58/699195658.db2.gz BFUWIKDLSJBJNR-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CC1(O)CCC([N-]S(=O)(=O)c2ccc(Cl)nc2F)CC1 ZINC000703183670 699235714 /nfs/dbraw/zinc/23/57/14/699235714.db2.gz UUEGYKXXGVMUJJ-UHFFFAOYSA-N -1 1 322.789 1.846 20 0 DDADMM Cc1nnc(COC(=O)c2nn(-c3ccc(F)cc3)cc2[O-])o1 ZINC000787956202 699260104 /nfs/dbraw/zinc/26/01/04/699260104.db2.gz RDFIWMHAZOCTHL-UHFFFAOYSA-N -1 1 318.264 1.765 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCC1(C)OCCO1 ZINC000716005972 699280406 /nfs/dbraw/zinc/28/04/06/699280406.db2.gz WIZGSEBOAUPAJV-UHFFFAOYSA-N -1 1 309.366 1.497 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)C1=Cc2ccccc2OC1 ZINC000788890782 699345239 /nfs/dbraw/zinc/34/52/39/699345239.db2.gz UJAGDRHSKSJPQT-UHFFFAOYSA-N -1 1 311.359 1.291 20 0 DDADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)c1cncc(Cl)c1)N(C)C ZINC000789804765 699411593 /nfs/dbraw/zinc/41/15/93/699411593.db2.gz UOTHJMPUDQDMKK-LLVKDONJSA-N -1 1 319.814 1.126 20 0 DDADMM CCC[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000727847860 699427717 /nfs/dbraw/zinc/42/77/17/699427717.db2.gz CURFGCVWMOZKMO-CQSZACIVSA-N -1 1 315.373 1.584 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)c1cc(Cl)ccc1F ZINC000790185902 699447147 /nfs/dbraw/zinc/44/71/47/699447147.db2.gz SATDAXYYEFDJNA-UHFFFAOYSA-N -1 1 309.746 1.709 20 0 DDADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OC[C@@H]1CCCO1 ZINC000729120334 699470329 /nfs/dbraw/zinc/47/03/29/699470329.db2.gz SJGBJMPLPYECNE-QMMMGPOBSA-N -1 1 305.377 1.455 20 0 DDADMM CC(C)[C@H](OC(=O)c1c([O-])cc(F)cc1F)C(=O)N(C)C ZINC000729709583 699486052 /nfs/dbraw/zinc/48/60/52/699486052.db2.gz UHMHHQBJVSKBRX-LBPRGKRZSA-N -1 1 301.289 1.940 20 0 DDADMM Cc1ccc2oc(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)cc2c1 ZINC000732089832 699545938 /nfs/dbraw/zinc/54/59/38/699545938.db2.gz NLNAJEUPBYKKGZ-CYBMUJFWSA-N -1 1 313.317 1.468 20 0 DDADMM O=C(NC[C@@H](O)COc1cccc(F)c1)c1cncc([O-])c1 ZINC000732270682 699552602 /nfs/dbraw/zinc/55/26/02/699552602.db2.gz STGSCDWYVCMZKZ-CYBMUJFWSA-N -1 1 306.293 1.096 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCCOC(C)C ZINC000733488466 699600118 /nfs/dbraw/zinc/60/01/18/699600118.db2.gz YVWKBLNVNAYZRE-UHFFFAOYSA-N -1 1 321.377 1.953 20 0 DDADMM CC[C@@]1([N-]S(=O)(=O)c2c(C)nn(C)c2Cl)CCOC1 ZINC000790931907 699603747 /nfs/dbraw/zinc/60/37/47/699603747.db2.gz NYMFBMKXEJAXNA-LLVKDONJSA-N -1 1 307.803 1.229 20 0 DDADMM CCOC(=O)CCC(=O)COC(=O)c1c([O-])cc(F)cc1F ZINC000791350890 699626209 /nfs/dbraw/zinc/62/62/09/699626209.db2.gz DRFKSXNHYDLHNB-UHFFFAOYSA-N -1 1 316.256 1.740 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC([C@H]2CCOC2)C1 ZINC000792607120 699705026 /nfs/dbraw/zinc/70/50/26/699705026.db2.gz AWEZDQFDTLAESS-NSHDSACASA-N -1 1 305.378 1.723 20 0 DDADMM CCC[C@@H](NC(=O)CCOc1ccc(C=O)cc1)c1nn[n-]n1 ZINC000736429871 699725391 /nfs/dbraw/zinc/72/53/91/699725391.db2.gz JKPIYCDCGMTLDG-CYBMUJFWSA-N -1 1 317.349 1.439 20 0 DDADMM CCc1ccc(CCC(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC000736683635 699730243 /nfs/dbraw/zinc/73/02/43/699730243.db2.gz RCSYVLXPTLERGR-AWEZNQCLSA-N -1 1 315.377 1.295 20 0 DDADMM Cc1ccc2cc(C(=O)N3CCOC[C@@H]3c3nn[n-]n3)[nH]c2c1 ZINC000737374895 699739217 /nfs/dbraw/zinc/73/92/17/699739217.db2.gz AHCTWVWVMUDSIN-CYBMUJFWSA-N -1 1 312.333 1.203 20 0 DDADMM Cc1ccccc1SCC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC000737431414 699739861 /nfs/dbraw/zinc/73/98/61/699739861.db2.gz IVUQKPJOEPCXSY-NSHDSACASA-N -1 1 319.390 1.200 20 0 DDADMM CCOc1ccc(NC(=O)c2ccc(O)cc2[O-])c(C(N)=O)c1 ZINC000737658003 699743052 /nfs/dbraw/zinc/74/30/52/699743052.db2.gz NTRPJUZBYVLOTG-UHFFFAOYSA-N -1 1 316.313 1.848 20 0 DDADMM Cc1nnc(COC(=O)c2nn(-c3ccc(F)cc3)cc2[O-])[nH]1 ZINC000795555770 699874916 /nfs/dbraw/zinc/87/49/16/699874916.db2.gz KYUKCQAQGVCTKH-UHFFFAOYSA-N -1 1 317.280 1.501 20 0 DDADMM COc1ccc(F)c2[nH]c(C(=O)OCc3nc(=O)n(C)[n-]3)cc21 ZINC000796198487 699919336 /nfs/dbraw/zinc/91/93/36/699919336.db2.gz KAFZHIHDAOICRP-UHFFFAOYSA-N -1 1 320.280 1.094 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2ncnn2CC(F)F)[n-]1 ZINC000796345577 699928040 /nfs/dbraw/zinc/92/80/40/699928040.db2.gz NYCYSFHITMFMLT-UHFFFAOYSA-N -1 1 314.248 1.015 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C)C(=O)Nc2ccccc2)[n-]1 ZINC000796345897 699928313 /nfs/dbraw/zinc/92/83/13/699928313.db2.gz RAJNDJODNNCRRX-SNVBAGLBSA-N -1 1 316.313 1.985 20 0 DDADMM COC(=O)c1ccc(C(=O)OCC(=O)N(C)c2nccs2)[n-]1 ZINC000796367006 699929484 /nfs/dbraw/zinc/92/94/84/699929484.db2.gz XMOZHOWCYMUREJ-UHFFFAOYSA-N -1 1 323.330 1.078 20 0 DDADMM Cn1cc(C(=O)OCc2nc(=O)n(C)[n-]2)c2cc(F)ccc21 ZINC000796381332 699930497 /nfs/dbraw/zinc/93/04/97/699930497.db2.gz CXCYMYKUFCFKCN-UHFFFAOYSA-N -1 1 304.281 1.096 20 0 DDADMM C[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)[C@@H]1OCCc2sccc21 ZINC000796505775 699936422 /nfs/dbraw/zinc/93/64/22/699936422.db2.gz PKWDJDZEUVLJOL-UFBFGSQYSA-N -1 1 323.374 1.163 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2ccccc2C(N)=O)[n-]1 ZINC000796844418 699955514 /nfs/dbraw/zinc/95/55/14/699955514.db2.gz YGCFPONEUBKUNR-UHFFFAOYSA-N -1 1 302.286 1.257 20 0 DDADMM O=C(OC[C@H]1CCOC1)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801326281 700297535 /nfs/dbraw/zinc/29/75/35/700297535.db2.gz ZBIKPJFFZCPPEY-JTQLQIEISA-N -1 1 306.293 1.910 20 0 DDADMM CNC(=O)COC(=O)c1nn(-c2ccc(Cl)cc2)cc1[O-] ZINC000801374439 700301834 /nfs/dbraw/zinc/30/18/34/700301834.db2.gz JLDLTVJFRPVADR-UHFFFAOYSA-N -1 1 309.709 1.134 20 0 DDADMM CCc1ccccc1-n1cc([O-])c(C(=O)O[C@@H](C)C(=O)OC)n1 ZINC000801418943 700305973 /nfs/dbraw/zinc/30/59/73/700305973.db2.gz XVKUJKOQFHPBIP-JTQLQIEISA-N -1 1 318.329 1.859 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3ccnc(-n4cccn4)c3)ccnc1-2 ZINC000801703620 700332861 /nfs/dbraw/zinc/33/28/61/700332861.db2.gz OJLNKMFOZOHQJP-UHFFFAOYSA-N -1 1 319.328 1.175 20 0 DDADMM COC(=O)C[C@H](NC(=O)c1c(C)nc(C(C)C)[n-]c1=O)C1CC1 ZINC000753768205 700456262 /nfs/dbraw/zinc/45/62/62/700456262.db2.gz YXMHVZDMOUHETB-NSHDSACASA-N -1 1 321.377 1.686 20 0 DDADMM CN1CCC[C@H]([N-]C(=O)C(F)(F)c2c(F)cccc2F)C1=O ZINC000757048878 700654004 /nfs/dbraw/zinc/65/40/04/700654004.db2.gz DQUUAAJYQDDCTF-JTQLQIEISA-N -1 1 318.270 1.794 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]C[C@H](O)c2ccccn2)sc1C ZINC000758514435 700709764 /nfs/dbraw/zinc/70/97/64/700709764.db2.gz INNZHPXOUGKQSB-NSHDSACASA-N -1 1 313.404 1.167 20 0 DDADMM COc1ccc(N2C[C@H](C(=O)[N-]OCC(F)F)CC2=O)cc1 ZINC000759336613 700747101 /nfs/dbraw/zinc/74/71/01/700747101.db2.gz XXDKIVSLAWAVJT-SECBINFHSA-N -1 1 314.288 1.361 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N[C@H](C(=O)[O-])C(C)C ZINC000763030146 700920860 /nfs/dbraw/zinc/92/08/60/700920860.db2.gz CUDKQGNKSJVZOS-WFASDCNBSA-N -1 1 308.378 1.701 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N(CCOC)CC(=O)[O-] ZINC000763083705 700924884 /nfs/dbraw/zinc/92/48/84/700924884.db2.gz NVMCJTDHPYTDHA-ZDUSSCGKSA-N -1 1 324.377 1.035 20 0 DDADMM Cc1nc2ccc(C(=O)OCc3nc(=O)n(C)[n-]3)cc2s1 ZINC000763525102 700940094 /nfs/dbraw/zinc/94/00/94/700940094.db2.gz PLSRISLSNKBYLQ-UHFFFAOYSA-N -1 1 304.331 1.384 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(Cl)c3cccnc23)nc1=O ZINC000765442599 701013047 /nfs/dbraw/zinc/01/30/47/701013047.db2.gz FKQXRKBJECZMKV-UHFFFAOYSA-N -1 1 318.720 1.667 20 0 DDADMM Cn1[n-]c(COC(=O)[C@H]2C[C@@]23CCCc2ccccc23)nc1=O ZINC000765486171 701015397 /nfs/dbraw/zinc/01/53/97/701015397.db2.gz GFBQYYPJAROFSG-CXAGYDPISA-N -1 1 313.357 1.446 20 0 DDADMM O=S(=O)([N-][C@H](CCO)C1CC1)c1c(F)cccc1Cl ZINC000766509018 701054637 /nfs/dbraw/zinc/05/46/37/701054637.db2.gz WZHVVRRQAJNHDZ-LLVKDONJSA-N -1 1 307.774 1.918 20 0 DDADMM CC(C)(C)[C@@H](O)C[C@@H](CO)NC(=O)c1c([O-])cccc1Cl ZINC000803564543 701127392 /nfs/dbraw/zinc/12/73/92/701127392.db2.gz NRHZRXQQGMYKSK-CABZTGNLSA-N -1 1 315.797 1.933 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C)COc1ccccc1 ZINC000809809721 701691750 /nfs/dbraw/zinc/69/17/50/701691750.db2.gz XMMFVRZBAZFIPN-VIFPVBQESA-N -1 1 311.363 1.311 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CC(C)(C)OC)C(C)(C)C ZINC000809825686 701693245 /nfs/dbraw/zinc/69/32/45/701693245.db2.gz RYTXXDHJWKCOQA-SNVBAGLBSA-N -1 1 309.428 1.309 20 0 DDADMM CCOC(=O)c1nc(=NOC[C@@H]2CCOC2)cc(C(C)(C)C)[n-]1 ZINC000804647152 701205891 /nfs/dbraw/zinc/20/58/91/701205891.db2.gz HFADKCDMBYSLRZ-LLVKDONJSA-N -1 1 323.393 1.753 20 0 DDADMM C[C@@H]1CCCN1S(=O)(=O)[N-]c1ccn(Cc2ccccn2)n1 ZINC000769865074 701259792 /nfs/dbraw/zinc/25/97/92/701259792.db2.gz KNCJQJWLAIOTKN-GFCCVEGCSA-N -1 1 321.406 1.467 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1ncccn1 ZINC000771826555 701333589 /nfs/dbraw/zinc/33/35/89/701333589.db2.gz KYPGDXKVVPCPTH-UHFFFAOYSA-N -1 1 301.350 1.508 20 0 DDADMM COc1ccc(-c2noc([C@H](C)OC(=O)c3cn[n-]n3)n2)cc1 ZINC000805603577 701397247 /nfs/dbraw/zinc/39/72/47/701397247.db2.gz LKRYPHGXLLITSJ-QMMMGPOBSA-N -1 1 315.289 1.781 20 0 DDADMM Cc1ccccc1[C@H](C)NC(=O)[C@H](C)OC(=O)c1cn[n-]n1 ZINC000805603029 701397470 /nfs/dbraw/zinc/39/74/70/701397470.db2.gz FICBBMHTDVEKGJ-QWRGUYRKSA-N -1 1 302.334 1.536 20 0 DDADMM Cc1cc(C)c(NC(=O)COC(=O)c2cn[n-]n2)c(Cl)c1 ZINC000805604402 701397929 /nfs/dbraw/zinc/39/79/29/701397929.db2.gz HYBFIYYEHOHTBE-UHFFFAOYSA-N -1 1 308.725 1.870 20 0 DDADMM CC(C)CCN(CCC(C)C)C(=O)COC(=O)c1cn[n-]n1 ZINC000805604838 701398016 /nfs/dbraw/zinc/39/80/16/701398016.db2.gz ARSKCDDLURFPLZ-UHFFFAOYSA-N -1 1 310.398 1.882 20 0 DDADMM CCCC[C@@H](OC(=O)c1cn[n-]n1)C(=O)Nc1cc(C)on1 ZINC000805605934 701398429 /nfs/dbraw/zinc/39/84/29/701398429.db2.gz RNTABXGMDSIMCO-SNVBAGLBSA-N -1 1 307.310 1.455 20 0 DDADMM CC(C)N(Cc1ccccc1)C(=O)COC(=O)c1cn[n-]n1 ZINC000805606588 701398912 /nfs/dbraw/zinc/39/89/12/701398912.db2.gz YSNMYBKSVMXWEJ-UHFFFAOYSA-N -1 1 302.334 1.399 20 0 DDADMM Cc1cc(C)c(N2CC[C@@H](OC(=O)c3cn[n-]n3)C2=O)c(C)c1 ZINC000805606575 701399220 /nfs/dbraw/zinc/39/92/20/701399220.db2.gz YLFKOXQPHNPHIS-CYBMUJFWSA-N -1 1 314.345 1.692 20 0 DDADMM C[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)[C@@H](C)[C@H](C)O1 ZINC000806483058 701437047 /nfs/dbraw/zinc/43/70/47/701437047.db2.gz YUEGVLQKVFZTOY-VWYCJHECSA-N -1 1 303.366 1.371 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCC(C)(C)c3ccncc3)ccnc1-2 ZINC000806488990 701437510 /nfs/dbraw/zinc/43/75/10/701437510.db2.gz VYKXHGFSTNGRTC-UHFFFAOYSA-N -1 1 324.388 1.836 20 0 DDADMM COc1cc(NC(=O)N=c2ccnc3n(C)[n-]cc2-3)ccc1O ZINC000806489996 701437695 /nfs/dbraw/zinc/43/76/95/701437695.db2.gz KQEUEHXIVPDEEI-UHFFFAOYSA-N -1 1 313.317 1.700 20 0 DDADMM O=C(N[C@@H](CCO)Cc1ccccc1)C(=O)c1ccc([O-])cc1 ZINC000807475671 701478613 /nfs/dbraw/zinc/47/86/13/701478613.db2.gz JPTNKGJYSNUYQS-HNNXBMFYSA-N -1 1 313.353 1.685 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H](C2CCC2)[C@@H]2CCOC2)sn1 ZINC000867733747 701719856 /nfs/dbraw/zinc/71/98/56/701719856.db2.gz OLDKIZRYOAXMJA-DGCLKSJQSA-N -1 1 316.448 1.935 20 0 DDADMM CCOC(=O)[C@H](CC=C(C)C)[N-]S(=O)(=O)C[C@H](CC)OC ZINC000867758020 701733799 /nfs/dbraw/zinc/73/37/99/701733799.db2.gz FDZXSTJGGPRHOO-STQMWFEESA-N -1 1 321.439 1.619 20 0 DDADMM CCCCCCO[C@H](C)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000839709549 701791964 /nfs/dbraw/zinc/79/19/64/701791964.db2.gz JMJKINFQSXJNHE-CHWSQXEVSA-N -1 1 309.414 1.891 20 0 DDADMM O=C(NCC[C@H]1CCCS1)c1nc2ccccc2c(=O)[n-]1 ZINC000866092747 706615564 /nfs/dbraw/zinc/61/55/64/706615564.db2.gz QAEKNYNXOLZOFQ-SNVBAGLBSA-N -1 1 303.387 1.939 20 0 DDADMM CC(C)(C)[C@@H]1C[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO1 ZINC000830991474 706616630 /nfs/dbraw/zinc/61/66/30/706616630.db2.gz YTGDNFCTDDTAPP-WPRPVWTQSA-N -1 1 321.830 1.935 20 0 DDADMM O=C(COC(=O)c1ccc(Cl)nn1)[N-]C(=O)c1ccccc1 ZINC000815436942 701872862 /nfs/dbraw/zinc/87/28/62/701872862.db2.gz UWZQFTBYQCPYGF-UHFFFAOYSA-N -1 1 319.704 1.243 20 0 DDADMM C[C@@H]1C[C@@H]1CNC(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868054515 701916284 /nfs/dbraw/zinc/91/62/84/701916284.db2.gz JIYDKUSHQBTYHZ-LNLATYFQSA-N -1 1 307.316 1.495 20 0 DDADMM CC1(C)C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C(C)(C)O1 ZINC000831048397 706627068 /nfs/dbraw/zinc/62/70/68/706627068.db2.gz MBCZLJHATLTKKC-QMMMGPOBSA-N -1 1 307.803 1.688 20 0 DDADMM CCc1cccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000831121987 706638807 /nfs/dbraw/zinc/63/88/07/706638807.db2.gz RRSMVYAEOWVQTE-UHFFFAOYSA-N -1 1 315.295 1.395 20 0 DDADMM CC1CC(NC(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000868378080 702098052 /nfs/dbraw/zinc/09/80/52/702098052.db2.gz STDJNDIVRLOFSV-SHVIVCPWSA-N -1 1 307.316 1.637 20 0 DDADMM Cc1ncoc1C(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000812278888 702134272 /nfs/dbraw/zinc/13/42/72/702134272.db2.gz JKSUQLMFIJFOOC-SECBINFHSA-N -1 1 319.283 1.514 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)/C=C\c3ccsc3)nc2n1 ZINC000813378483 702340846 /nfs/dbraw/zinc/34/08/46/702340846.db2.gz PYZQLQIJZZKWAA-IHWYPQMZSA-N -1 1 301.331 1.439 20 0 DDADMM COC(=O)C[C@H]1COCCN1C(=O)c1c([O-])cccc1Cl ZINC000813664425 702394534 /nfs/dbraw/zinc/39/45/34/702394534.db2.gz MBKWYRRIRVJRMB-VIFPVBQESA-N -1 1 313.737 1.450 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1c(C)cccc1C ZINC000841404919 702438439 /nfs/dbraw/zinc/43/84/39/702438439.db2.gz MTSWDLAUEYVDOW-UHFFFAOYSA-N -1 1 303.318 1.443 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)/C=C\C1CCCC1 ZINC000842754039 702767644 /nfs/dbraw/zinc/76/76/44/702767644.db2.gz KOSPVBNUMYYUNY-SREVYHEPSA-N -1 1 316.361 1.558 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)C1 ZINC000843016189 702803863 /nfs/dbraw/zinc/80/38/63/702803863.db2.gz RAXKBECOLIHNLR-NWDGAFQWSA-N -1 1 302.440 1.353 20 0 DDADMM CCCSCC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843018109 702804449 /nfs/dbraw/zinc/80/44/49/702804449.db2.gz GPNCQKUPBATGQC-UHFFFAOYSA-N -1 1 308.469 1.060 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2c(C)noc2C2CC2)n1 ZINC000844519863 703040473 /nfs/dbraw/zinc/04/04/73/703040473.db2.gz QPAMZDNNKVDXPY-UHFFFAOYSA-N -1 1 308.319 1.751 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2cnn3c2CCCC3)[n-]1 ZINC000845899125 703214066 /nfs/dbraw/zinc/21/40/66/703214066.db2.gz QEKSZYCMMLHKCJ-UHFFFAOYSA-N -1 1 303.318 1.691 20 0 DDADMM CCCCc1noc(CN2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)n1 ZINC000846281985 703261007 /nfs/dbraw/zinc/26/10/07/703261007.db2.gz LFGHDXPZQIVPOC-XHDPSFHLSA-N -1 1 309.366 1.335 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000847088598 703376299 /nfs/dbraw/zinc/37/62/99/703376299.db2.gz BUXDSXNXULAONY-ZKQHCESOSA-N -1 1 314.345 1.980 20 0 DDADMM C[C@@H]1CC(=O)N(CN2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C)C1 ZINC000848503127 703558670 /nfs/dbraw/zinc/55/86/70/703558670.db2.gz WTDHIDPEOOOOLN-GMTAPVOTSA-N -1 1 321.343 1.344 20 0 DDADMM CC(C)(C)n1nnc(COC(=O)c2c([O-])cc(F)cc2F)n1 ZINC000848709795 703578812 /nfs/dbraw/zinc/57/88/12/703578812.db2.gz QVXKZPPIHHOMQF-UHFFFAOYSA-N -1 1 312.276 1.769 20 0 DDADMM CCN1CC[C@H](OC(=O)c2nn(-c3ccccc3)cc2[O-])C1=O ZINC000849640730 703662251 /nfs/dbraw/zinc/66/22/51/703662251.db2.gz ZSAYHRSSTXNUHZ-ZDUSSCGKSA-N -1 1 315.329 1.356 20 0 DDADMM CCc1nc(SCCCOC(=O)[C@H](C)O)[n-]c(=O)c1C ZINC000849920770 703689466 /nfs/dbraw/zinc/68/94/66/703689466.db2.gz AQVIUPRYRGXFNG-VIFPVBQESA-N -1 1 300.380 1.459 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN2C(=O)OC[C@@H]2C1 ZINC000866683084 706763566 /nfs/dbraw/zinc/76/35/66/706763566.db2.gz OQEANAOISJQBMO-JTQLQIEISA-N -1 1 310.737 1.251 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@@H]2CCCCN(C)C2=O)[n-]1 ZINC000851563756 703809279 /nfs/dbraw/zinc/80/92/79/703809279.db2.gz LOFNUJOZSVULAI-JTQLQIEISA-N -1 1 308.334 1.217 20 0 DDADMM COC(=O)c1ccc(C(=O)OC[C@H]2CCCCN(C)C2=O)[n-]1 ZINC000851563758 703809383 /nfs/dbraw/zinc/80/93/83/703809383.db2.gz LOFNUJOZSVULAI-SNVBAGLBSA-N -1 1 308.334 1.217 20 0 DDADMM COCC[C@H](C)[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000866690177 706766099 /nfs/dbraw/zinc/76/60/99/706766099.db2.gz VFGYCCOWWIFYPO-ZETCQYMHSA-N -1 1 315.317 1.142 20 0 DDADMM Cn1cc(CCC[N-]S(=O)(=O)c2ccc(F)nc2F)cn1 ZINC000866713502 706772841 /nfs/dbraw/zinc/77/28/41/706772841.db2.gz TZYNFWDBGQFKAV-UHFFFAOYSA-N -1 1 316.333 1.004 20 0 DDADMM CC(C)N1CC[C@H](N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1=O ZINC000879764956 706785359 /nfs/dbraw/zinc/78/53/59/706785359.db2.gz KUJRLTUJBDWEPP-GWCFXTLKSA-N -1 1 321.343 1.139 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCc2ccc(F)cc21 ZINC000866746652 706785489 /nfs/dbraw/zinc/78/54/89/706785489.db2.gz HGNAEYWRFMKUFN-CWTRNNRKSA-N -1 1 320.411 1.765 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(Cc2cccnc2N)C1 ZINC000879767320 706787086 /nfs/dbraw/zinc/78/70/86/706787086.db2.gz WLUPGWZBLFVAGB-LBPRGKRZSA-N -1 1 302.300 1.307 20 0 DDADMM CC1(C)CCC(=CC(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1 ZINC000819614652 704150955 /nfs/dbraw/zinc/15/09/55/704150955.db2.gz NMZUXLQUYWWVDV-UHFFFAOYSA-N -1 1 319.409 1.536 20 0 DDADMM CC1(C)CCCC[C@@H]1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000819619439 704151465 /nfs/dbraw/zinc/15/14/65/704151465.db2.gz BDZKMAMFMQWQRS-LLVKDONJSA-N -1 1 307.398 1.226 20 0 DDADMM CCN(CC)C(=O)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000819862930 704179104 /nfs/dbraw/zinc/17/91/04/704179104.db2.gz IJMPNPCEGGSUOD-UHFFFAOYSA-N -1 1 320.361 1.420 20 0 DDADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)c2cnccn2)c1 ZINC000819979649 704196580 /nfs/dbraw/zinc/19/65/80/704196580.db2.gz ICEXCBYTQJHHNV-XFNZEKPQSA-N -1 1 320.370 1.897 20 0 DDADMM CN(Cc1cn(C)nn1)Cn1[n-]c(-c2ccccn2)nc1=S ZINC000853567049 704272386 /nfs/dbraw/zinc/27/23/86/704272386.db2.gz CZIWKAHJXCIYFE-UHFFFAOYSA-N -1 1 316.394 1.221 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCO[C@@H](C(C)C)C1 ZINC000867053153 706882910 /nfs/dbraw/zinc/88/29/10/706882910.db2.gz ZYRJAHUITZDFMH-YRUZYCQGSA-N -1 1 312.457 1.142 20 0 DDADMM COc1cccc(S([O-])=CC(=O)Oc2c(C)nn(C)c2C)c1 ZINC000821005422 704358206 /nfs/dbraw/zinc/35/82/06/704358206.db2.gz CJSQAQGLTZWRIX-JOCHJYFZSA-N -1 1 322.386 1.759 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H](C)[C@@H](C)NC(=O)OC(C)(C)C ZINC000854635766 704430839 /nfs/dbraw/zinc/43/08/39/704430839.db2.gz XOCSXSFWGLSWFJ-JGVFFNPUSA-N -1 1 312.370 1.912 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCn2ncc(Br)c2C1 ZINC000856012973 704509867 /nfs/dbraw/zinc/50/98/67/704509867.db2.gz QTMNJNGYSOOJLF-UHFFFAOYSA-N -1 1 323.150 1.402 20 0 DDADMM O=C([N-]OCCN1CCCC1=O)c1c(F)c(F)cc(F)c1F ZINC000856040249 704511091 /nfs/dbraw/zinc/51/10/91/704511091.db2.gz DBEYMQFBWROFDE-UHFFFAOYSA-N -1 1 320.242 1.527 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)c1nccn1C ZINC000856276478 704521211 /nfs/dbraw/zinc/52/12/11/704521211.db2.gz BEWCAGGZBMFMPO-SNVBAGLBSA-N -1 1 303.366 1.839 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000856506340 704531750 /nfs/dbraw/zinc/53/17/50/704531750.db2.gz MSYNLHRMWZUDDO-MRXNPFEDSA-N -1 1 321.377 1.917 20 0 DDADMM CC[C@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000866846031 706823509 /nfs/dbraw/zinc/82/35/09/706823509.db2.gz UWSSXIUCXXCIAR-QPUJVOFHSA-N -1 1 308.350 1.435 20 0 DDADMM CC[C@@H](C)[C@@H](O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000866850128 706824717 /nfs/dbraw/zinc/82/47/17/706824717.db2.gz VMXGSTKHQOJHSJ-SFYZADRCSA-N -1 1 310.778 1.559 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C[C@H]1CO ZINC000857359942 704583738 /nfs/dbraw/zinc/58/37/38/704583738.db2.gz GGBUUOHFWTXJFK-KOLCDFICSA-N -1 1 306.391 1.109 20 0 DDADMM O=c1nc(Cl)cc(Sc2nnnn2C[C@@H]2CCCO2)[n-]1 ZINC000858328587 704695891 /nfs/dbraw/zinc/69/58/91/704695891.db2.gz RDDOITPHMOVVKF-LURJTMIESA-N -1 1 314.758 1.152 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC1(COC)CCC1 ZINC000867231240 706932558 /nfs/dbraw/zinc/93/25/58/706932558.db2.gz UGPYAUMUVBVHQU-UHFFFAOYSA-N -1 1 312.457 1.145 20 0 DDADMM CS(=O)(=O)c1ccc(/C=C/C(=O)[N-]O[C@@H]2CCCCO2)o1 ZINC000858987552 704780818 /nfs/dbraw/zinc/78/08/18/704780818.db2.gz SPJULXNHYIFFPX-HOSRBBHYSA-N -1 1 315.347 1.271 20 0 DDADMM O=C([O-])CS(=O)(=O)CCN1CCC[C@H](C2CCC2)CC1 ZINC000873643681 704815095 /nfs/dbraw/zinc/81/50/95/704815095.db2.gz KOKCBNGYMFDGII-ZDUSSCGKSA-N -1 1 303.424 1.388 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC(Cc2ccccc2Cl)CC1 ZINC000873645300 704815352 /nfs/dbraw/zinc/81/53/52/704815352.db2.gz VMDXJAXHDQSZPS-UHFFFAOYSA-N -1 1 324.808 1.795 20 0 DDADMM O=C([N-]OCCC(F)(F)F)[C@H]1CNC(=O)C[C@H]1C(F)(F)F ZINC000822161741 704888468 /nfs/dbraw/zinc/88/84/68/704888468.db2.gz DDAONMLHKXBWRK-NTSWFWBYSA-N -1 1 322.205 1.301 20 0 DDADMM C[C@@H]1C[C@H](C[N-]S(=O)(=O)c2ccc(F)nc2F)[C@@H](C)O1 ZINC000867404340 706989788 /nfs/dbraw/zinc/98/97/88/706989788.db2.gz LVBMSKTZPRRVGV-IWSPIJDZSA-N -1 1 306.334 1.452 20 0 DDADMM CC[C@@H]1[C@H](CO)CCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000834092701 707019538 /nfs/dbraw/zinc/01/95/38/707019538.db2.gz CWZDSXODBRKPGP-WDEREUQCSA-N -1 1 306.391 1.251 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H]1C[C@@H](C(=O)[O-])C1)c1ccsc1 ZINC000874387111 705032171 /nfs/dbraw/zinc/03/21/71/705032171.db2.gz MSHUPQRTBBNZNZ-TUAOUCFPSA-N -1 1 311.407 1.513 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2sccc2F)n[n-]1 ZINC000880665832 707051706 /nfs/dbraw/zinc/05/17/06/707051706.db2.gz HPNKNWPXVHMJTI-ZCFIWIBFSA-N -1 1 312.326 1.673 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2sccc2F)[n-]1 ZINC000880665832 707051709 /nfs/dbraw/zinc/05/17/09/707051709.db2.gz HPNKNWPXVHMJTI-ZCFIWIBFSA-N -1 1 312.326 1.673 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2sccc2F)n1 ZINC000880665832 707051711 /nfs/dbraw/zinc/05/17/11/707051711.db2.gz HPNKNWPXVHMJTI-ZCFIWIBFSA-N -1 1 312.326 1.673 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](F)c2ccccc2)n[n-]1 ZINC000880665327 707051881 /nfs/dbraw/zinc/05/18/81/707051881.db2.gz CEIWUDKDRHINPQ-KOLCDFICSA-N -1 1 320.324 1.869 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](F)c2ccccc2)[n-]1 ZINC000880665327 707051884 /nfs/dbraw/zinc/05/18/84/707051884.db2.gz CEIWUDKDRHINPQ-KOLCDFICSA-N -1 1 320.324 1.869 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](F)c2ccccc2)n1 ZINC000880665327 707051887 /nfs/dbraw/zinc/05/18/87/707051887.db2.gz CEIWUDKDRHINPQ-KOLCDFICSA-N -1 1 320.324 1.869 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C=Cc2ccsc2)n[n-]1 ZINC000880668241 707052654 /nfs/dbraw/zinc/05/26/54/707052654.db2.gz ZSXAENDFDVSHSR-WBSSQXGSSA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C=Cc2ccsc2)[n-]1 ZINC000880668241 707052656 /nfs/dbraw/zinc/05/26/56/707052656.db2.gz ZSXAENDFDVSHSR-WBSSQXGSSA-N -1 1 320.374 1.934 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C=Cc2ccsc2)n1 ZINC000880668241 707052659 /nfs/dbraw/zinc/05/26/59/707052659.db2.gz ZSXAENDFDVSHSR-WBSSQXGSSA-N -1 1 320.374 1.934 20 0 DDADMM CC(C)[C@H](O)C1(CNC(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000824199972 705396803 /nfs/dbraw/zinc/39/68/03/705396803.db2.gz NGYVCGBYEYNCQV-NSHDSACASA-N -1 1 321.406 1.455 20 0 DDADMM CC(C)[C@H](O)C1(CNC(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000824199972 705396809 /nfs/dbraw/zinc/39/68/09/705396809.db2.gz NGYVCGBYEYNCQV-NSHDSACASA-N -1 1 321.406 1.455 20 0 DDADMM CC[C@H](C)CONC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875613329 705448925 /nfs/dbraw/zinc/44/89/25/705448925.db2.gz BKHMXSPEGQEBQK-QMMMGPOBSA-N -1 1 311.304 1.284 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)CC(F)(F)C(F)(F)F)ccnc1-2 ZINC000875633368 705456926 /nfs/dbraw/zinc/45/69/26/705456926.db2.gz OPAQCNLLEZCITF-UHFFFAOYSA-N -1 1 308.210 1.868 20 0 DDADMM CC(C)[C@@H]([N-]C(=O)C(F)(F)c1cc(F)cc(F)c1)C(N)=O ZINC000875732393 705491994 /nfs/dbraw/zinc/49/19/94/705491994.db2.gz XSLPAEYQANCRLH-SNVBAGLBSA-N -1 1 306.259 1.683 20 0 DDADMM O=C([N-][C@@H]1COCC[C@H]1O)C(F)(F)c1cc(F)cc(F)c1 ZINC000825355857 705653066 /nfs/dbraw/zinc/65/30/66/705653066.db2.gz BFGIOMFJRHTGSX-GHMZBOCLSA-N -1 1 307.243 1.323 20 0 DDADMM C[C@H]1CN(C(=O)NCc2ccc([O-])c(Cl)c2)C[C@H](CO)O1 ZINC000876395566 705707482 /nfs/dbraw/zinc/70/74/82/705707482.db2.gz LSPLXVJLEHBWIL-GXSJLCMTSA-N -1 1 314.769 1.337 20 0 DDADMM CO[C@@H]1CN(C(=O)NCc2ccc([O-])c(Cl)c2)C[C@@H]1OC ZINC000876395693 705707866 /nfs/dbraw/zinc/70/78/66/705707866.db2.gz NHCYNMPCBKESLW-BETUJISGSA-N -1 1 314.769 1.601 20 0 DDADMM CC(=O)N[C@@H]1CCN(C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000876399471 705708515 /nfs/dbraw/zinc/70/85/15/705708515.db2.gz PDEYZEBPZUABQO-LLVKDONJSA-N -1 1 311.769 1.466 20 0 DDADMM O=C(NCC[C@@H]1CCCOC1)c1ccc2n[n-]c(=S)n2c1 ZINC000862393844 705709080 /nfs/dbraw/zinc/70/90/80/705709080.db2.gz ZYHLJUPCQHJFEH-JTQLQIEISA-N -1 1 306.391 1.565 20 0 DDADMM CN1CCc2ccc(NC(=O)c3ccc(-c4nnn[n-]4)nc3)cc21 ZINC000826044921 705762992 /nfs/dbraw/zinc/76/29/92/705762992.db2.gz MSPDKXIYGCGLST-UHFFFAOYSA-N -1 1 321.344 1.506 20 0 DDADMM CN1CCc2ccc(NC(=O)c3ccc(-c4nn[n-]n4)nc3)cc21 ZINC000826044921 705762995 /nfs/dbraw/zinc/76/29/95/705762995.db2.gz MSPDKXIYGCGLST-UHFFFAOYSA-N -1 1 321.344 1.506 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)Cc2noc(C)c2-c2nnn[n-]2)o1 ZINC000826282728 705786329 /nfs/dbraw/zinc/78/63/29/705786329.db2.gz BGPJZKWJSCJNCI-MRVPVSSYSA-N -1 1 316.321 1.484 20 0 DDADMM Cc1ccc([C@@H](C)NC(=O)Cc2noc(C)c2-c2nn[n-]n2)o1 ZINC000826282728 705786331 /nfs/dbraw/zinc/78/63/31/705786331.db2.gz BGPJZKWJSCJNCI-MRVPVSSYSA-N -1 1 316.321 1.484 20 0 DDADMM Cc1onc(CC(=O)NC2[C@@H](C)CCC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826346135 705793999 /nfs/dbraw/zinc/79/39/99/705793999.db2.gz DIJOFMDRLHZRAX-IUCAKERBSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)NC2[C@@H](C)CCC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826346135 705794001 /nfs/dbraw/zinc/79/40/01/705794001.db2.gz DIJOFMDRLHZRAX-IUCAKERBSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)NCc2ccccc2F)c1-c1nnn[n-]1 ZINC000826347769 705794444 /nfs/dbraw/zinc/79/44/44/705794444.db2.gz FIVPFXAFBJXLIT-UHFFFAOYSA-N -1 1 316.296 1.161 20 0 DDADMM Cc1onc(CC(=O)NCc2ccccc2F)c1-c1nn[n-]n1 ZINC000826347769 705794446 /nfs/dbraw/zinc/79/44/46/705794446.db2.gz FIVPFXAFBJXLIT-UHFFFAOYSA-N -1 1 316.296 1.161 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CC[C@H](C)C[C@@H]2C)c1-c1nnn[n-]1 ZINC000826346487 705794639 /nfs/dbraw/zinc/79/46/39/705794639.db2.gz NUYKEKTYDSOVGW-ATZCPNFKSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CC[C@H](C)C[C@@H]2C)c1-c1nn[n-]n1 ZINC000826346487 705794642 /nfs/dbraw/zinc/79/46/42/705794642.db2.gz NUYKEKTYDSOVGW-ATZCPNFKSA-N -1 1 318.381 1.647 20 0 DDADMM CSc1cc(C)c(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)o1 ZINC000826833810 705880910 /nfs/dbraw/zinc/88/09/10/705880910.db2.gz IJDKWQYGGDJOLC-MRVPVSSYSA-N -1 1 309.351 1.037 20 0 DDADMM C/C=C\C[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000863814306 706021545 /nfs/dbraw/zinc/02/15/45/706021545.db2.gz OESBHAMIRBTEQF-ZRMMWKCHSA-N -1 1 305.378 1.132 20 0 DDADMM CN(OCC(F)(F)F)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000863845903 706027961 /nfs/dbraw/zinc/02/79/61/706027961.db2.gz ZYPRZXRFVNGARD-UHFFFAOYSA-N -1 1 306.269 1.584 20 0 DDADMM COC[C@@H]1CCCN1NC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000863935676 706040638 /nfs/dbraw/zinc/04/06/38/706040638.db2.gz XJFGTAVMMMAASP-NSHDSACASA-N -1 1 316.361 1.399 20 0 DDADMM COC[C@@H]1CCCN1NC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000863935676 706040641 /nfs/dbraw/zinc/04/06/41/706040641.db2.gz XJFGTAVMMMAASP-NSHDSACASA-N -1 1 316.361 1.399 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1cn(C)c(Cl)n1)CC(F)F ZINC000827882492 706081216 /nfs/dbraw/zinc/08/12/16/706081216.db2.gz UZBCHFUGWKSTJO-ZCFIWIBFSA-N -1 1 301.746 1.643 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1cn(C)c(Cl)n1)CC(F)F ZINC000827882491 706081484 /nfs/dbraw/zinc/08/14/84/706081484.db2.gz UZBCHFUGWKSTJO-LURJTMIESA-N -1 1 301.746 1.643 20 0 DDADMM O=C(/C=C/COc1ccccc1)NC1(c2nn[n-]n2)CCCC1 ZINC000828083394 706115071 /nfs/dbraw/zinc/11/50/71/706115071.db2.gz NYMJMLLNFWCSPX-RMKNXTFCSA-N -1 1 313.361 1.720 20 0 DDADMM Cn1nccc1-c1nc(CC[N-]C(=O)C(F)(F)F)cs1 ZINC000864367744 706148205 /nfs/dbraw/zinc/14/82/05/706148205.db2.gz AGKCKHUMXDCKEM-UHFFFAOYSA-N -1 1 304.297 1.765 20 0 DDADMM O=C([N-]CC1CN(C(=O)NCc2ccccc2)C1)C(F)(F)F ZINC000864429684 706166304 /nfs/dbraw/zinc/16/63/04/706166304.db2.gz YQDJUXNWAGXGKB-UHFFFAOYSA-N -1 1 315.295 1.507 20 0 DDADMM CCc1ccc(CS(=O)(=O)c2nc(C(=O)OC)c[n-]2)cc1 ZINC000864437160 706169144 /nfs/dbraw/zinc/16/91/44/706169144.db2.gz VPASWKGVDMIVBF-UHFFFAOYSA-N -1 1 308.359 1.733 20 0 DDADMM CCn1c(C)nnc1N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000828514207 706192324 /nfs/dbraw/zinc/19/23/24/706192324.db2.gz LMLJIPGTYYAPMI-SCZZXKLOSA-N -1 1 319.331 1.500 20 0 DDADMM CC1(C)CC[C@H](CNC(=O)c2ccc3n[n-]c(=S)n3c2)O1 ZINC000829062856 706282401 /nfs/dbraw/zinc/28/24/01/706282401.db2.gz JSJAYEPEVGRPOC-SNVBAGLBSA-N -1 1 306.391 1.705 20 0 DDADMM Cc1cc2c(CC(=O)NN3CC(=O)[N-]C3=O)csc2s1 ZINC000864897219 706295787 /nfs/dbraw/zinc/29/57/87/706295787.db2.gz PHFDRQQUBRVBKY-UHFFFAOYSA-N -1 1 309.372 1.397 20 0 DDADMM CCO[C@H]1COCC[C@H]1CC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000864974868 706316793 /nfs/dbraw/zinc/31/67/93/706316793.db2.gz MAVPFOYQMIVEEO-YUMQZZPRSA-N -1 1 322.287 1.594 20 0 DDADMM C[C@H](Nc1ccc2[nH]c(CCN3CCOCC3)nc2c1)C(=O)[O-] ZINC000904229743 711414415 /nfs/dbraw/zinc/41/44/15/711414415.db2.gz OVSOHJZYTHSBLQ-NSHDSACASA-N -1 1 318.377 1.323 20 0 DDADMM O=S(=O)([N-][C@@H]1CCc2ccccc2C1)c1c[nH]nc1Cl ZINC000829742760 706387143 /nfs/dbraw/zinc/38/71/43/706387143.db2.gz PVLLHGPUVIWILE-LLVKDONJSA-N -1 1 311.794 1.899 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)ccn1 ZINC000872492509 707444142 /nfs/dbraw/zinc/44/41/42/707444142.db2.gz GGVYZSJBZFVGPC-LJQANCHMSA-N -1 1 319.452 1.621 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)[C@H](C)[C@@H](C)O1 ZINC000829817496 706401725 /nfs/dbraw/zinc/40/17/25/706401725.db2.gz NPRWSAOXCOKMRM-OPRDCNLKSA-N -1 1 306.391 1.656 20 0 DDADMM C[C@H]1CCCC[C@H]1OCC[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830002689 706434781 /nfs/dbraw/zinc/43/47/81/706434781.db2.gz ONOLMBRXYUUNRJ-VHSXEESVSA-N -1 1 321.830 1.937 20 0 DDADMM O=C(N[C@@H]1C[C@H]1C1CCOCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000830217647 706477664 /nfs/dbraw/zinc/47/76/64/706477664.db2.gz AFDKZSPGMQREIO-NWDGAFQWSA-N -1 1 318.402 1.563 20 0 DDADMM CCOC1CC(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000830801536 706582322 /nfs/dbraw/zinc/58/23/22/706582322.db2.gz LXSITFGXRWRRJA-ZPPKWKGLSA-N -1 1 322.327 1.471 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCCOCC2)C1 ZINC000830802216 706582662 /nfs/dbraw/zinc/58/26/62/706582662.db2.gz RFVHJQOFHDLHRX-ZWNOBZJWSA-N -1 1 322.327 1.473 20 0 DDADMM CC1=C(C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)CCCO1 ZINC000830815448 706584827 /nfs/dbraw/zinc/58/48/27/706584827.db2.gz BQSYGFDAOBPCSA-ZDUSSCGKSA-N -1 1 320.311 1.740 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCCCO2)C1 ZINC000830816875 706585556 /nfs/dbraw/zinc/58/55/56/706585556.db2.gz UVTLRPOETKREEE-JOYOIKCWSA-N -1 1 308.300 1.225 20 0 DDADMM CC[C@@H]1CC[C@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)O1 ZINC000830816364 706585942 /nfs/dbraw/zinc/58/59/42/706585942.db2.gz OUCODLVWTIXIRH-BREBYQMCSA-N -1 1 322.327 1.614 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CCCOC2)C1 ZINC000830826525 706587917 /nfs/dbraw/zinc/58/79/17/706587917.db2.gz FAUVLHWBCHANMF-SKDRFNHKSA-N -1 1 308.300 1.083 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CC[C@H]2CCCO2)C1 ZINC000830833541 706589372 /nfs/dbraw/zinc/58/93/72/706589372.db2.gz RABJPXOFDRKVQB-ZWNOBZJWSA-N -1 1 322.327 1.615 20 0 DDADMM CCC(CC)(C[N-]S(=O)(=O)N=[S@@](C)(=O)CC)SC ZINC000866889629 706836317 /nfs/dbraw/zinc/83/63/17/706836317.db2.gz QZGUXDJZDZBFQM-KRWDZBQOSA-N -1 1 316.514 1.860 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCCCS1 ZINC000867089798 706893122 /nfs/dbraw/zinc/89/31/22/706893122.db2.gz LKWHQUBCEQSUKP-XXFAHNHDSA-N -1 1 300.471 1.224 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]1CCO)c1ccc(Cl)nc1F ZINC000867135249 706907255 /nfs/dbraw/zinc/90/72/55/706907255.db2.gz UMMGAIJOFXMMQY-RKDXNWHRSA-N -1 1 322.789 1.704 20 0 DDADMM CCc1nc(C[N-]S(=O)(=O)c2c(C)nn(C)c2Cl)co1 ZINC000832473274 706922141 /nfs/dbraw/zinc/92/21/41/706922141.db2.gz MGBOSLJZDMIKFZ-UHFFFAOYSA-N -1 1 318.786 1.411 20 0 DDADMM COc1cc(C)cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1C ZINC000867382645 706982564 /nfs/dbraw/zinc/98/25/64/706982564.db2.gz WUNNTMABAKPCRQ-SNVBAGLBSA-N -1 1 303.366 1.701 20 0 DDADMM NC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)c1c([O-])cccc1Cl ZINC000832832238 706994501 /nfs/dbraw/zinc/99/45/01/706994501.db2.gz WLQLLGKIPKCRIN-RWEMILLDSA-N -1 1 308.765 1.772 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)[N-]OCCC1CC1)c2=O ZINC000832845086 706996000 /nfs/dbraw/zinc/99/60/00/706996000.db2.gz DHJZULXNRGBUHC-UHFFFAOYSA-N -1 1 302.330 1.998 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1C[C@H]1c1ccsc1 ZINC000867563424 707040836 /nfs/dbraw/zinc/04/08/36/707040836.db2.gz IWHRGICZPZOAPS-WDEREUQCSA-N -1 1 320.461 1.700 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)COC1CC1 ZINC000880623292 707044454 /nfs/dbraw/zinc/04/44/54/707044454.db2.gz UOQRPQJOYJSDHF-PSASIEDQSA-N -1 1 308.300 1.223 20 0 DDADMM O=C(c1ncccc1[O-])N1CCn2nc(C(F)(F)F)cc2C1 ZINC000834402157 707045908 /nfs/dbraw/zinc/04/59/08/707045908.db2.gz NFZJGCGBQTUVFQ-UHFFFAOYSA-N -1 1 312.251 1.659 20 0 DDADMM CCS(=O)(CC)=NS(=O)(=O)[N-]Cc1ccnc(F)c1 ZINC000867655020 707063999 /nfs/dbraw/zinc/06/39/99/707063999.db2.gz LGGPTAHMEMIXSD-UHFFFAOYSA-N -1 1 309.388 1.063 20 0 DDADMM O=S(=O)([N-][C@H]1CCC[C@@H]1O)c1ccc(Br)o1 ZINC000867677168 707071160 /nfs/dbraw/zinc/07/11/60/707071160.db2.gz MAIZFJQLNJCMJH-BQBZGAKWSA-N -1 1 310.169 1.234 20 0 DDADMM CNC(=O)CNc1cc(C)cc(C[N-]C(=O)C(F)(F)F)c1 ZINC000834663015 707099922 /nfs/dbraw/zinc/09/99/22/707099922.db2.gz FLVBYNRNKOTJPE-UHFFFAOYSA-N -1 1 303.284 1.331 20 0 DDADMM O=C(NC[C@@H]1CCC12CCOCC2)C(=O)c1ccc([O-])cc1 ZINC000871584602 707129206 /nfs/dbraw/zinc/12/92/06/707129206.db2.gz IOKUMOZYWYAJMM-ZDUSSCGKSA-N -1 1 303.358 1.898 20 0 DDADMM O=C(NC1C[C@H]2CC[C@@H](C1)S2(=O)=O)c1ccc([O-])c(F)c1 ZINC000834824681 707131542 /nfs/dbraw/zinc/13/15/42/707131542.db2.gz UCYLNMSCYCBGFQ-FGWVZKOKSA-N -1 1 313.350 1.369 20 0 DDADMM CCOC1CC2(C[C@@H]2NC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000871762728 707192275 /nfs/dbraw/zinc/19/22/75/707192275.db2.gz RQICJNLWEYDJGD-LBCLYVMASA-N -1 1 313.357 1.611 20 0 DDADMM COc1cncc([N-]S(=O)(=O)C[C@@H](CC(C)C)OC)c1 ZINC000872006356 707278971 /nfs/dbraw/zinc/27/89/71/707278971.db2.gz WENNEJCSDLFSDH-CYBMUJFWSA-N -1 1 302.396 1.893 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C2CC2)C2CCC2)co1 ZINC000836046874 707384383 /nfs/dbraw/zinc/38/43/83/707384383.db2.gz XGCUQGWZRKCYIC-ZDUSSCGKSA-N -1 1 313.375 1.923 20 0 DDADMM COC(=O)[C@@H]1CCC[C@@H]1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000836810388 707520693 /nfs/dbraw/zinc/52/06/93/707520693.db2.gz BCBFIPUVPGLGLS-ZJUUUORDSA-N -1 1 320.374 1.090 20 0 DDADMM CC(C)[C@H](CO)O[N-]C(=O)[C@@H]1CC(=O)N(c2ccc(F)cc2)C1 ZINC000836898510 707544099 /nfs/dbraw/zinc/54/40/99/707544099.db2.gz XKDAMKHVZFJIBY-RISCZKNCSA-N -1 1 324.352 1.243 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)NC[C@H]1COCCO1 ZINC000872845953 707623769 /nfs/dbraw/zinc/62/37/69/707623769.db2.gz UPSOPEYIHNDIRT-JTQLQIEISA-N -1 1 300.742 1.260 20 0 DDADMM O=C([O-])[C@@H]1CCC[C@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000872930808 707659125 /nfs/dbraw/zinc/65/91/25/707659125.db2.gz PKGATFINXYFDQJ-NXEZZACHSA-N -1 1 305.309 1.819 20 0 DDADMM Cc1ccc(F)cc1[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC000882661049 707736360 /nfs/dbraw/zinc/73/63/60/707736360.db2.gz ZHBYKAXDHMZDEB-GOSISDBHSA-N -1 1 309.388 1.365 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC2CCSCC2)co1 ZINC000873557719 707896552 /nfs/dbraw/zinc/89/65/52/707896552.db2.gz VVCHAYFHGWBMHS-UHFFFAOYSA-N -1 1 305.377 1.240 20 0 DDADMM COc1ccc([C@@H](NC(=O)[C@H]2CCC[N@H+]2C(C)C)C(=O)[O-])cc1 ZINC000909329660 712993225 /nfs/dbraw/zinc/99/32/25/712993225.db2.gz WCIWAAHLOCOLJE-HUUCEWRRSA-N -1 1 320.389 1.810 20 0 DDADMM CC(C)O[C@H]1C[C@@H](NC(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000839074481 708022769 /nfs/dbraw/zinc/02/27/69/708022769.db2.gz HFZDTSJZNJZDFJ-PHIMTYICSA-N -1 1 303.366 1.419 20 0 DDADMM O=C([O-])[C@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)c1ccccc1F ZINC000909359292 712999890 /nfs/dbraw/zinc/99/98/90/712999890.db2.gz ICMUPSQXIZIDTA-LKFCYVNXSA-N -1 1 317.320 1.596 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NCCCOc2ccccc2)C1 ZINC000909398569 713008369 /nfs/dbraw/zinc/00/83/69/713008369.db2.gz LFSSHCPGWQNHAZ-CQSZACIVSA-N -1 1 320.389 1.368 20 0 DDADMM CC[C@@H](NC(=O)c1c([O-])cnc2c(F)cccc21)C(=O)NC ZINC000909419550 713013574 /nfs/dbraw/zinc/01/35/74/713013574.db2.gz GDFLMGGSNZFWPD-SNVBAGLBSA-N -1 1 305.309 1.334 20 0 DDADMM CCc1cc(N[C@@H]2CCN(c3cc(=O)[nH]cn3)C2)nc(C)[nH+]1 ZINC000897349712 708301525 /nfs/dbraw/zinc/30/15/25/708301525.db2.gz YKAGDNKGNWJINW-GFCCVEGCSA-N -1 1 300.366 1.534 20 0 DDADMM COc1ccccc1OCC[N-]S(=O)(=O)c1ccns1 ZINC000884878893 708404985 /nfs/dbraw/zinc/40/49/85/708404985.db2.gz MZOCOMKARGPPSQ-UHFFFAOYSA-N -1 1 314.388 1.509 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCC([C@H]2COC(=O)N2)CC1 ZINC000884909838 708416720 /nfs/dbraw/zinc/41/67/20/708416720.db2.gz IMEBJMINXUVCTH-GFCCVEGCSA-N -1 1 308.309 1.492 20 0 DDADMM CCC[C@@H](C[N-]S(=O)(=O)c1ccns1)C(=O)OCC ZINC000885152876 708480568 /nfs/dbraw/zinc/48/05/68/708480568.db2.gz YOWVNEWQQKYIJM-VIFPVBQESA-N -1 1 306.409 1.401 20 0 DDADMM COC(=O)c1cc(F)ccc1S(=O)(=O)[N-]C(CF)CF ZINC000885416149 708539398 /nfs/dbraw/zinc/53/93/98/708539398.db2.gz BCIYHTLNNWWEDG-UHFFFAOYSA-N -1 1 311.281 1.198 20 0 DDADMM C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000885622096 708586136 /nfs/dbraw/zinc/58/61/36/708586136.db2.gz YBZMQZSVOPMQHY-KATARQTJSA-N -1 1 302.346 1.930 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCC=CCCC2)n[n-]1 ZINC000898437216 708639565 /nfs/dbraw/zinc/63/95/65/708639565.db2.gz YTSFBWQOXDRYMC-LLVKDONJSA-N -1 1 306.366 1.734 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCC=CCCC2)n1 ZINC000898437216 708639568 /nfs/dbraw/zinc/63/95/68/708639568.db2.gz YTSFBWQOXDRYMC-LLVKDONJSA-N -1 1 306.366 1.734 20 0 DDADMM Cc1cnc(C(=O)NCc2nc(CN(C)C)cs2)c([O-])c1 ZINC000927767887 713051232 /nfs/dbraw/zinc/05/12/32/713051232.db2.gz FJAFHIXLQMWIET-UHFFFAOYSA-N -1 1 306.391 1.544 20 0 DDADMM CCn1nc(C)c(C(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC000886268145 708733077 /nfs/dbraw/zinc/73/30/77/708733077.db2.gz FIPWIVOFGKVZJO-UHFFFAOYSA-N -1 1 310.304 1.563 20 0 DDADMM Cc1nnc(CCC(=O)NCCc2c(F)cc([O-])cc2F)o1 ZINC000886270091 708733853 /nfs/dbraw/zinc/73/38/53/708733853.db2.gz RTYKOARLWDJRRE-UHFFFAOYSA-N -1 1 311.288 1.653 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cnc2n1CCOC2 ZINC000886270234 708733862 /nfs/dbraw/zinc/73/38/62/708733862.db2.gz XFJCMXBICKFOJI-UHFFFAOYSA-N -1 1 323.299 1.370 20 0 DDADMM COC(=O)[C@@H]1C[C@H](NC(=O)c2cc(Cl)ccc2[O-])[C@H]2C[C@H]21 ZINC000886416335 708757378 /nfs/dbraw/zinc/75/73/78/708757378.db2.gz CPANEFCGRLLTSM-KLBPJQLPSA-N -1 1 309.749 1.973 20 0 DDADMM CC[C@H]1C(=O)NCCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000886531458 708774157 /nfs/dbraw/zinc/77/41/57/708774157.db2.gz GNHBIZWKOAVZOK-ZDUSSCGKSA-N -1 1 313.357 1.681 20 0 DDADMM CN(C(=O)CCC(=O)c1ccc2c(c1)CCCC2)c1nn[n-]n1 ZINC000912618309 713052533 /nfs/dbraw/zinc/05/25/33/713052533.db2.gz OWMIFSPNCHZSMP-UHFFFAOYSA-N -1 1 313.361 1.704 20 0 DDADMM Cn1nnc2c1C[C@H](NC(=O)c1ccc(Cl)cc1[O-])CC2 ZINC000886758712 708838634 /nfs/dbraw/zinc/83/86/34/708838634.db2.gz NVLNCBLFWZGPIL-SECBINFHSA-N -1 1 306.753 1.461 20 0 DDADMM O=C(NCc1nc([O-])cc(=O)[nH]1)C1CCC(C(F)(F)F)CC1 ZINC000898755393 708856152 /nfs/dbraw/zinc/85/61/52/708856152.db2.gz QSCASXNKRXRMNF-UHFFFAOYSA-N -1 1 319.283 1.873 20 0 DDADMM CC(C)C1(O)CN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927790453 713057091 /nfs/dbraw/zinc/05/70/91/713057091.db2.gz YWTVYIFPPOQSLM-UHFFFAOYSA-N -1 1 314.332 1.625 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)Nn1nnc2c1CCCC2 ZINC000887216205 708991556 /nfs/dbraw/zinc/99/15/56/708991556.db2.gz MFWOXAQACNJHOA-UHFFFAOYSA-N -1 1 306.753 1.829 20 0 DDADMM CCSc1ccc(CNC(=O)CCCc2nn[n-]n2)cc1 ZINC000899198735 709017499 /nfs/dbraw/zinc/01/74/99/709017499.db2.gz BZFWMYSYBDOZQY-UHFFFAOYSA-N -1 1 305.407 1.951 20 0 DDADMM O=S(=O)([N-]CCO[C@@H]1CCOC1)c1sccc1Cl ZINC000921880575 713895412 /nfs/dbraw/zinc/89/54/12/713895412.db2.gz KVHGLXWEYQVMQN-MRVPVSSYSA-N -1 1 311.812 1.485 20 0 DDADMM O=C(NCC[C@H]1CCCC[C@H]1O)c1ccc2n[n-]c(=S)n2c1 ZINC000887552987 709069417 /nfs/dbraw/zinc/06/94/17/709069417.db2.gz CSYGHYKDQPCPIZ-ZYHUDNBSSA-N -1 1 320.418 1.689 20 0 DDADMM C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000899449724 709084249 /nfs/dbraw/zinc/08/42/49/709084249.db2.gz OWTLKRWXPJTDOR-KCJUWKMLSA-N -1 1 317.794 1.137 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2C[C@H]3C[C@H]3C[C@H]2C)CC1 ZINC000899538585 709108383 /nfs/dbraw/zinc/10/83/83/709108383.db2.gz UXYOPJBDACMIEX-RYPNDVFKSA-N -1 1 308.422 1.676 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCOC[C@H]1C[C@@H]1CCCO1 ZINC000887845453 709141751 /nfs/dbraw/zinc/14/17/51/709141751.db2.gz YYWJXMFPUDDRFS-OLZOCXBDSA-N -1 1 309.337 1.941 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@@]4(CO)CCC[C@@H]34)ccnc1-2 ZINC000888056558 709202607 /nfs/dbraw/zinc/20/26/07/709202607.db2.gz QLROFUFIARBCIY-CZUORRHYSA-N -1 1 315.377 1.111 20 0 DDADMM O=C(c1ncc2ccccc2c1[O-])N1CC[C@@H](C2OCCO2)C1 ZINC000927996919 713099073 /nfs/dbraw/zinc/09/90/73/713099073.db2.gz GXAQSCFEJWELPW-GFCCVEGCSA-N -1 1 314.341 1.775 20 0 DDADMM CC1(C)CNC(=O)[C@@H]1NC(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000912821220 713100423 /nfs/dbraw/zinc/10/04/23/713100423.db2.gz WEXGJBYYFICLEI-JTQLQIEISA-N -1 1 316.279 1.665 20 0 DDADMM C[C@]1([C@@H]2CCCN(C(=O)c3ccc([O-])cc3F)C2)COC(=O)N1 ZINC000928023213 713105544 /nfs/dbraw/zinc/10/55/44/713105544.db2.gz GPFOQTYQPDZDDI-QLJPJBMISA-N -1 1 322.336 1.882 20 0 DDADMM CN(CC(=O)N[C@]1(C)CCCC[C@H]1C(=O)[O-])[C@H]1CCSC1 ZINC000909526758 709501943 /nfs/dbraw/zinc/50/19/43/709501943.db2.gz ATCZWXGMBOBTID-SLEUVZQESA-N -1 1 314.451 1.573 20 0 DDADMM CCNC(=O)C(C)(C)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000909568980 709519615 /nfs/dbraw/zinc/51/96/15/709519615.db2.gz KJMPMAXHOMKJIB-UHFFFAOYSA-N -1 1 319.336 1.724 20 0 DDADMM CSCC[C@H](NC(=O)c1csc(C2CC2)n1)c1nn[n-]n1 ZINC000912859943 713109528 /nfs/dbraw/zinc/10/95/28/713109528.db2.gz DYBCDLBRQZCNIF-QMMMGPOBSA-N -1 1 324.435 1.758 20 0 DDADMM CCOC1(C(=O)N[C@@H](CCSC)c2nn[n-]n2)CCCC1 ZINC000912862886 713111037 /nfs/dbraw/zinc/11/10/37/713111037.db2.gz YPIFFPNEBLVPRF-JTQLQIEISA-N -1 1 313.427 1.459 20 0 DDADMM CSCC[C@H](NC(=O)[C@H](C)c1ccncc1)c1nn[n-]n1 ZINC000912863411 713111384 /nfs/dbraw/zinc/11/13/84/713111384.db2.gz XFMLJFOIBTVPQE-KOLCDFICSA-N -1 1 306.395 1.309 20 0 DDADMM CN(CC(=O)N[C@@H](C(=O)[O-])c1cccs1)[C@@H]1CCSC1 ZINC000909638453 709552255 /nfs/dbraw/zinc/55/22/55/709552255.db2.gz OCWKFQNSUCGYDU-BXKDBHETSA-N -1 1 314.432 1.427 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000909698065 709583991 /nfs/dbraw/zinc/58/39/91/709583991.db2.gz JLOXAGYVHGPDAI-MEDUHNTESA-N -1 1 305.378 1.789 20 0 DDADMM CC(C)[C@@]1(C(=O)[O-])CCN(C(=O)[C@H]2CCc3nc[nH]c3C2)C1 ZINC000909698867 709584565 /nfs/dbraw/zinc/58/45/65/709584565.db2.gz NCOCKKQMJGQPTD-MEDUHNTESA-N -1 1 305.378 1.474 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1c2ccccc2OC[C@H]1F ZINC000928067804 713115108 /nfs/dbraw/zinc/11/51/08/713115108.db2.gz DYRFYWUFQGDCSP-YGRLFVJLSA-N -1 1 305.313 1.110 20 0 DDADMM O=C(CCCc1nn[n-]n1)N[C@H]1c2ccccc2OC[C@@H]1F ZINC000928067801 713115131 /nfs/dbraw/zinc/11/51/31/713115131.db2.gz DYRFYWUFQGDCSP-HZMBPMFUSA-N -1 1 305.313 1.110 20 0 DDADMM CS[C@H]1CCCCN(C(=O)CN2CCC[C@H](C(=O)[O-])C2)C1 ZINC000909729583 709599069 /nfs/dbraw/zinc/59/90/69/709599069.db2.gz OYUCSRRYYDKVKV-STQMWFEESA-N -1 1 314.451 1.527 20 0 DDADMM O=C(c1cccc(F)c1O)N1CC[C@H]2[C@@H]1CCC[N@H+]2CCO ZINC000889739321 709601909 /nfs/dbraw/zinc/60/19/09/709601909.db2.gz IRMAPRRFJKKSHH-KBPBESRZSA-N -1 1 308.353 1.203 20 0 DDADMM CC1CCN(CC(=O)N(C)c2ccc(OCC(=O)[O-])cc2)CC1 ZINC000909785133 709619922 /nfs/dbraw/zinc/61/99/22/709619922.db2.gz OPGKJJVNKUWYSG-UHFFFAOYSA-N -1 1 320.389 1.845 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)CCc2c[nH]nn2)C[C@@H]1c1ccccc1 ZINC000909829105 709643376 /nfs/dbraw/zinc/64/33/76/709643376.db2.gz ICCZQKATUIASEF-ZIAGYGMSSA-N -1 1 314.345 1.064 20 0 DDADMM O=C([O-])[C@@H]1CN(C(=O)CCc2cnn[nH]2)C[C@@H]1c1ccccc1 ZINC000909829105 709643378 /nfs/dbraw/zinc/64/33/78/709643378.db2.gz ICCZQKATUIASEF-ZIAGYGMSSA-N -1 1 314.345 1.064 20 0 DDADMM CO[C@@H]1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C12CCC2 ZINC000909908027 709682246 /nfs/dbraw/zinc/68/22/46/709682246.db2.gz BEKPZCMGFQLVDT-VXGBXAGGSA-N -1 1 303.362 1.747 20 0 DDADMM CCNc1ccc(C)cc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000900623669 709682885 /nfs/dbraw/zinc/68/28/85/709682885.db2.gz BUEBHRNWBPFRPC-ZDUSSCGKSA-N -1 1 316.365 1.154 20 0 DDADMM CCC[C@@H](C(=O)[O-])n1ccc(NC(=O)[C@H](C(C)C)N(C)C)n1 ZINC000909953546 709706137 /nfs/dbraw/zinc/70/61/37/709706137.db2.gz JRQSESWDOQWTOH-AAEUAGOBSA-N -1 1 310.398 1.834 20 0 DDADMM CCC[C@]1(C(=O)[O-])CCCN1C(=O)CN(C)[C@H]1CCSC1 ZINC000910115545 709776951 /nfs/dbraw/zinc/77/69/51/709776951.db2.gz PORBIMFQQKBDFQ-SWLSCSKDSA-N -1 1 314.451 1.670 20 0 DDADMM O=C([O-])[C@H](F)C1CN(C(=O)c2cn[nH]c2-c2ccccc2F)C1 ZINC000910122725 709780546 /nfs/dbraw/zinc/78/05/46/709780546.db2.gz DBTPHVXAYFUXBX-GFCCVEGCSA-N -1 1 321.283 1.711 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2C[C@H]2c2ccc(F)cc2F)nc1=O ZINC000910173303 709797208 /nfs/dbraw/zinc/79/72/08/709797208.db2.gz NMDMPBJZICXTTN-VHSXEESVSA-N -1 1 309.272 1.234 20 0 DDADMM Cc1ncsc1/C=C/C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910179964 709799863 /nfs/dbraw/zinc/79/98/63/709799863.db2.gz PFMNKUABGAWDPK-PGLGOXFNSA-N -1 1 323.418 1.472 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)C[C@@H]3CCC4(CCC4)O3)ccnc1-2 ZINC000890283961 709810651 /nfs/dbraw/zinc/81/06/51/709810651.db2.gz RGHVNANNLGSYCQ-NSHDSACASA-N -1 1 300.362 1.772 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(C3CCCCC3)[nH]n2)C[C@@H](C(=O)[O-])O1 ZINC000910227477 709826183 /nfs/dbraw/zinc/82/61/83/709826183.db2.gz GAZAOUWOJDXIMB-YGRLFVJLSA-N -1 1 321.377 1.772 20 0 DDADMM CC1CCN(CC(=O)N2CC[C@H](C(=O)[O-])[C@H]3CCC[C@H]32)CC1 ZINC000910249289 709839989 /nfs/dbraw/zinc/83/99/89/709839989.db2.gz XHPRWPNRKTZTSU-QLFBSQMISA-N -1 1 308.422 1.820 20 0 DDADMM CC[C@@H](CC(F)(F)F)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000910252565 709842194 /nfs/dbraw/zinc/84/21/94/709842194.db2.gz GCNRVURBCQRAQE-ZJUUUORDSA-N -1 1 310.316 1.630 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@@H]2CCOC3(CCC3)C2)[n-]1 ZINC000890437322 709865047 /nfs/dbraw/zinc/86/50/47/709865047.db2.gz AOYKCZBFLKQKEX-SNVBAGLBSA-N -1 1 307.350 1.874 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCOC3(CCC3)C2)n1 ZINC000890437322 709865053 /nfs/dbraw/zinc/86/50/53/709865053.db2.gz AOYKCZBFLKQKEX-SNVBAGLBSA-N -1 1 307.350 1.874 20 0 DDADMM Cc1cc(C2CCN(c3ncc(C(=O)[O-])c(C)n3)CC2)[nH]n1 ZINC000910374170 709913424 /nfs/dbraw/zinc/91/34/24/709913424.db2.gz GRTLCWRJSZPVEI-UHFFFAOYSA-N -1 1 301.350 1.899 20 0 DDADMM CC1(C)CC[C@H](CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)OC1 ZINC000910409598 709927337 /nfs/dbraw/zinc/92/73/37/709927337.db2.gz VBDJRYVKEYCWPP-QWHCGFSZSA-N -1 1 312.410 1.104 20 0 DDADMM Cc1cccc([C@H](O)CNC(=O)c2cnc(C3CC3)[n-]c2=O)c1 ZINC000901113881 709930898 /nfs/dbraw/zinc/93/08/98/709930898.db2.gz IYRAJYYAQVXSOV-CQSZACIVSA-N -1 1 313.357 1.831 20 0 DDADMM O=C(N[C@H]1c2ccccc2C[C@@H]1O)c1cnc(C2CC2)[n-]c1=O ZINC000901134304 709940500 /nfs/dbraw/zinc/94/05/00/709940500.db2.gz LMRXYNSKDUJXBA-KBPBESRZSA-N -1 1 311.341 1.448 20 0 DDADMM O=C([O-])[C@@H]1C[C@H](F)CN1C(=O)c1cnc(-c2ccccc2)[nH]1 ZINC000910442111 709943932 /nfs/dbraw/zinc/94/39/32/709943932.db2.gz FEFHBLAEUKOBOK-JQWIXIFHSA-N -1 1 303.293 1.714 20 0 DDADMM CC(C)(C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1nccs1 ZINC000901178362 709956929 /nfs/dbraw/zinc/95/69/29/709956929.db2.gz WCVZKEKIWNIILB-VIFPVBQESA-N -1 1 306.395 1.340 20 0 DDADMM CCOc1cc(C(=O)N2CC[C@@H](O)[C@@H](F)C2)cc(Cl)c1[O-] ZINC000890710472 709967285 /nfs/dbraw/zinc/96/72/85/709967285.db2.gz WLRNMOWXQWRANI-WDEREUQCSA-N -1 1 317.744 1.989 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCc1cccnc1C ZINC000890835775 710001594 /nfs/dbraw/zinc/00/15/94/710001594.db2.gz ZXBYBCSOLTVRRJ-UHFFFAOYSA-N -1 1 318.402 1.888 20 0 DDADMM COCCOCCOCCSc1nc(C)c(C)c(=O)[n-]1 ZINC000901529420 710066786 /nfs/dbraw/zinc/06/67/86/710066786.db2.gz CWNQWMPDYKNEDJ-UHFFFAOYSA-N -1 1 302.396 1.571 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SCC(=O)C1CCCC1 ZINC000901653803 710109691 /nfs/dbraw/zinc/10/96/91/710109691.db2.gz OQCRVQYTTHUWLU-UHFFFAOYSA-N -1 1 312.391 1.447 20 0 DDADMM COc1cc([N-]S(=O)(=O)N=S(C)(C)=O)cc(OC)c1C ZINC000901670925 710115437 /nfs/dbraw/zinc/11/54/37/710115437.db2.gz AGLYTRDOZRTUNY-UHFFFAOYSA-N -1 1 322.408 1.397 20 0 DDADMM CC(C)Cn1nccc1CN(CCC(=O)[O-])C[C@@H]1CCCO1 ZINC000901676581 710116194 /nfs/dbraw/zinc/11/61/94/710116194.db2.gz DZRNPRDSRSMHIP-HNNXBMFYSA-N -1 1 309.410 1.995 20 0 DDADMM CC(C)C[C@@H](CNC(=O)C(C)(C)CN1CCOCC1)C(=O)[O-] ZINC000901692380 710119801 /nfs/dbraw/zinc/11/98/01/710119801.db2.gz MBTVNHNBZLHLIB-ZDUSSCGKSA-N -1 1 314.426 1.208 20 0 DDADMM CC(C)CCn1cccc1CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901743748 710138335 /nfs/dbraw/zinc/13/83/35/710138335.db2.gz OOMKHMDMPQVGKJ-STQMWFEESA-N -1 1 309.410 1.602 20 0 DDADMM C[C@H](NCc1cnc2c(F)cccc2c1)C(=O)N[C@@H](C)C(=O)[O-] ZINC000901746092 710139580 /nfs/dbraw/zinc/13/95/80/710139580.db2.gz UHMPKTLYKIEKQU-UWVGGRQHSA-N -1 1 319.336 1.441 20 0 DDADMM O=C(Nc1cc(F)cc(F)c1[O-])[C@H]1COCCN1CC(F)F ZINC000910982533 710140271 /nfs/dbraw/zinc/14/02/71/710140271.db2.gz LAKBSEXVYYQPBK-SNVBAGLBSA-N -1 1 322.258 1.575 20 0 DDADMM COc1ccc([C@@H](NCc2ccnn2C)C(=O)[O-])cc1Cl ZINC000901847355 710159274 /nfs/dbraw/zinc/15/92/74/710159274.db2.gz GMQBQHJDRZPBEU-CYBMUJFWSA-N -1 1 309.753 1.998 20 0 DDADMM COCc1nc(NCC2(O)Cc3ccccc3C2)cc(=O)[n-]1 ZINC000892622978 710471555 /nfs/dbraw/zinc/47/15/55/710471555.db2.gz SOCJYOUPABKMSE-UHFFFAOYSA-N -1 1 301.346 1.270 20 0 DDADMM CN(C(=O)[C@H]1COc2ccc(Br)cc21)c1nn[n-]n1 ZINC000892954196 710531334 /nfs/dbraw/zinc/53/13/34/710531334.db2.gz FMPWXEXYFNZILQ-QMMMGPOBSA-N -1 1 324.138 1.101 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000911094983 710631705 /nfs/dbraw/zinc/63/17/05/710631705.db2.gz BPAVIZVIFGKHBD-OCCSQVGLSA-N -1 1 308.378 1.890 20 0 DDADMM Cc1cccc(OC[C@@H](O)CNCc2cccc(C(=O)[O-])n2)c1 ZINC000902179912 710650924 /nfs/dbraw/zinc/65/09/24/710650924.db2.gz YEFWZTQYBTVXRL-AWEZNQCLSA-N -1 1 316.357 1.618 20 0 DDADMM CN(C)[C@H](CNC(=O)c1cccc(C(=O)[O-])n1)c1ccsc1 ZINC000911193710 710678297 /nfs/dbraw/zinc/67/82/97/710678297.db2.gz OOUHMAHKEYQBPS-CYBMUJFWSA-N -1 1 319.386 1.874 20 0 DDADMM CCc1cc(C(=O)N(CCC(=O)[O-])C[C@H]2CCC[N@@H+]2CC)no1 ZINC000911288326 710728469 /nfs/dbraw/zinc/72/84/69/710728469.db2.gz QITBDIXXJUSBMJ-GFCCVEGCSA-N -1 1 323.393 1.638 20 0 DDADMM COCCN1CCC[C@H](NC(=O)c2ccc(/C=C\C(=O)[O-])o2)C1 ZINC000911351361 710756102 /nfs/dbraw/zinc/75/61/02/710756102.db2.gz BMXVTNNWSFRHLW-HWPRWLFBSA-N -1 1 322.361 1.218 20 0 DDADMM CN1CCN(C(=O)c2ccc(OCC(=O)[O-])cc2)CC1(C)C ZINC000902686888 710861816 /nfs/dbraw/zinc/86/18/16/710861816.db2.gz NHGXYEGZNDXRTI-UHFFFAOYSA-N -1 1 306.362 1.316 20 0 DDADMM CC(C)OC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CCC1 ZINC000913438700 713216136 /nfs/dbraw/zinc/21/61/36/713216136.db2.gz SZHNIQQBVRZSBL-CYBMUJFWSA-N -1 1 315.377 1.753 20 0 DDADMM O=C([O-])c1ccc(C(=O)N[C@@H]2CCCN3CCSC[C@H]23)cc1 ZINC000902818425 710915262 /nfs/dbraw/zinc/91/52/62/710915262.db2.gz NNIUJHZYBFCJCC-ZIAGYGMSSA-N -1 1 320.414 1.694 20 0 DDADMM CCCN(C(=O)CN(C)CCc1ccccc1)[C@H](C)C(=O)[O-] ZINC000911713235 710944781 /nfs/dbraw/zinc/94/47/81/710944781.db2.gz JOEIHSFYDBRESD-CQSZACIVSA-N -1 1 306.406 1.873 20 0 DDADMM CC(C)(CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)C(F)(F)F ZINC000911742432 710960923 /nfs/dbraw/zinc/96/09/23/710960923.db2.gz LNVQTMQKHBLPCX-SECBINFHSA-N -1 1 310.316 1.488 20 0 DDADMM COCc1nc(N2CC[C@@](C)(C(=O)OC(C)(C)C)C2)cc(=O)[n-]1 ZINC000894144134 710984557 /nfs/dbraw/zinc/98/45/57/710984557.db2.gz NJVYDYMHJXSEMY-MRXNPFEDSA-N -1 1 323.393 1.887 20 0 DDADMM O=C(NCC1(C2(O)CCC2)CC1)c1nc2ccccc2c(=O)[n-]1 ZINC000903001721 710992355 /nfs/dbraw/zinc/99/23/55/710992355.db2.gz QDCOKHFMQQAJBX-UHFFFAOYSA-N -1 1 313.357 1.348 20 0 DDADMM CCc1[nH+]ccn1[C@@H](C)CC(=O)N1CC[C@H](c2cc(=O)[nH][nH]2)C1 ZINC000911855585 711034351 /nfs/dbraw/zinc/03/43/51/711034351.db2.gz RJMHRBHYFBKJMT-RYUDHWBXSA-N -1 1 317.393 1.841 20 0 DDADMM O=C(N[C@@H](C1CC1)C1(CO)CCOCC1)c1ncccc1[O-] ZINC000911982876 711103632 /nfs/dbraw/zinc/10/36/32/711103632.db2.gz OMCSUSXNXMDDOF-AWEZNQCLSA-N -1 1 306.362 1.085 20 0 DDADMM COCc1nc(N2CCC[C@H](C(=O)NC(C)C)C2)cc(=O)[n-]1 ZINC000894616449 711216585 /nfs/dbraw/zinc/21/65/85/711216585.db2.gz KSZPXBHTJMHZCI-NSHDSACASA-N -1 1 308.382 1.070 20 0 DDADMM C[C@@H](CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1cccc(F)c1 ZINC000913495424 713237912 /nfs/dbraw/zinc/23/79/12/713237912.db2.gz XZDYRDYOZQAONB-GXFFZTMASA-N -1 1 319.340 1.433 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H]1CCN(c2ccccc2F)C1=O ZINC000903712184 711250694 /nfs/dbraw/zinc/25/06/94/711250694.db2.gz SFHFAAOHTYMLAZ-AWEZNQCLSA-N -1 1 324.352 1.354 20 0 DDADMM CCCn1cc(CN[C@]2(C(=O)[O-])C[C@@H](OCC)C2(C)C)nn1 ZINC000904009850 711363432 /nfs/dbraw/zinc/36/34/32/711363432.db2.gz ATPOEKKNLMZDOU-DOMZBBRYSA-N -1 1 310.398 1.436 20 0 DDADMM CN(Cc1ccc(N2CCC(C(=O)[O-])CC2)o1)Cc1nnc[nH]1 ZINC000904193553 711405978 /nfs/dbraw/zinc/40/59/78/711405978.db2.gz JSHRRUCJSDDXHC-UHFFFAOYSA-N -1 1 319.365 1.331 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NCc2ncc(Cl)n2C)n[n-]1 ZINC000895239375 711461625 /nfs/dbraw/zinc/46/16/25/711461625.db2.gz PCHIGDIFBZTJCC-SSDOTTSWSA-N -1 1 312.761 1.219 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2ncc(Cl)n2C)n1 ZINC000895239375 711461627 /nfs/dbraw/zinc/46/16/27/711461627.db2.gz PCHIGDIFBZTJCC-SSDOTTSWSA-N -1 1 312.761 1.219 20 0 DDADMM C[C@@H](NC(=O)c1ccc2ccc(O)cc2c1[O-])C(=O)N(C)C ZINC000895734942 711590245 /nfs/dbraw/zinc/59/02/45/711590245.db2.gz MABCTYIMPDQLJL-SECBINFHSA-N -1 1 302.330 1.458 20 0 DDADMM CC(C)(C)N1CC[C@@H]1C(=O)N[C@H](c1nnn[n-]1)c1ccccc1 ZINC000895836906 711621439 /nfs/dbraw/zinc/62/14/39/711621439.db2.gz PTMLWQQRTICLPD-OLZOCXBDSA-N -1 1 314.393 1.278 20 0 DDADMM CN1C[C@@H](NC(=O)c2ccc3ccc(O)cc3c2[O-])CC1=O ZINC000896055635 711669818 /nfs/dbraw/zinc/66/98/18/711669818.db2.gz CNQVJBYXFRJGAM-JTQLQIEISA-N -1 1 300.314 1.212 20 0 DDADMM O=S1(=O)CCC[C@@H](c2nc(-c3ccc([O-])c(F)c3)no2)C1 ZINC000896224937 711694210 /nfs/dbraw/zinc/69/42/10/711694210.db2.gz SCKJFFAKWMIMJJ-SECBINFHSA-N -1 1 312.322 1.874 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CC[C@H](O)[C@H]2CCCC[C@@H]21 ZINC000913726252 713289879 /nfs/dbraw/zinc/28/98/79/713289879.db2.gz KYULILKLBVXJDU-UBHSHLNASA-N -1 1 317.389 1.825 20 0 DDADMM COc1ccc(NC(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC000905152409 711953166 /nfs/dbraw/zinc/95/31/66/711953166.db2.gz XVJPZFIIFASUHT-UHFFFAOYSA-N -1 1 302.338 1.620 20 0 DDADMM O=C(COc1ccc(F)cc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913742196 713291664 /nfs/dbraw/zinc/29/16/64/713291664.db2.gz MTWVEADBWHEJDN-UHFFFAOYSA-N -1 1 305.313 1.124 20 0 DDADMM CC(C)Oc1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cn1 ZINC000913743108 713292040 /nfs/dbraw/zinc/29/20/40/713292040.db2.gz FTMZIDIGRVMNFA-UHFFFAOYSA-N -1 1 316.365 1.402 20 0 DDADMM O=C([C@H]1C[C@@H]1Cc1ccccc1)N1CCC(c2nn[n-]n2)CC1 ZINC000913743606 713292638 /nfs/dbraw/zinc/29/26/38/713292638.db2.gz COBJCXUDTADQSB-GJZGRUSLSA-N -1 1 311.389 1.785 20 0 DDADMM C[C@@H]1[C@H](C(=O)N2CCC(c3nn[n-]n3)CC2)[C@H]1c1ccccc1 ZINC000913744699 713293221 /nfs/dbraw/zinc/29/32/21/713293221.db2.gz ABWRITXQGGHLFX-GLQYFDAESA-N -1 1 311.389 1.955 20 0 DDADMM O=C(c1cnc(NC2CC2)s1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745525 713293500 /nfs/dbraw/zinc/29/35/00/713293500.db2.gz INCMYIRMRFJERU-UHFFFAOYSA-N -1 1 319.394 1.250 20 0 DDADMM Cc1cccc(OC[C@@H](O)CNCc2ccc(C(=O)[O-])cn2)c1 ZINC000905725750 712132716 /nfs/dbraw/zinc/13/27/16/712132716.db2.gz XUWZFPYSIMREFY-HNNXBMFYSA-N -1 1 316.357 1.618 20 0 DDADMM COc1cc([N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)ccn1 ZINC000906065335 712235128 /nfs/dbraw/zinc/23/51/28/712235128.db2.gz UOYRHKIYUWTYKS-IBGZPJMESA-N -1 1 321.424 1.643 20 0 DDADMM CC1(C)[C@@H]2CN(S(=O)(=O)c3cc(C(=O)[O-])ccc3O)C[C@@H]21 ZINC000906876617 712426401 /nfs/dbraw/zinc/42/64/01/712426401.db2.gz IIIADSWBSZYUGV-AOOOYVTPSA-N -1 1 311.359 1.367 20 0 DDADMM Cc1nc(-c2ccn(C)n2)sc1CC(=O)[N-]OCC(F)F ZINC000907381077 712556447 /nfs/dbraw/zinc/55/64/47/712556447.db2.gz HGQJQVYEHLMCCJ-UHFFFAOYSA-N -1 1 316.333 1.707 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]CC2(C3(O)CCC3)CC2)sn1 ZINC000907472625 712580931 /nfs/dbraw/zinc/58/09/31/712580931.db2.gz CGMSLTHGEXWPML-UHFFFAOYSA-N -1 1 302.421 1.425 20 0 DDADMM O=C(c1csc(C2CC2)n1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907473344 712581057 /nfs/dbraw/zinc/58/10/57/712581057.db2.gz GNSXMZLAWKJIJY-VIFPVBQESA-N -1 1 322.419 1.464 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccccn1 ZINC000907475877 712582214 /nfs/dbraw/zinc/58/22/14/712582214.db2.gz AXLGWAPQOQXWLB-KOLCDFICSA-N -1 1 304.379 1.015 20 0 DDADMM CCCCSCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907478526 712582878 /nfs/dbraw/zinc/58/28/78/712582878.db2.gz VXLVTUBOSULNKD-VIFPVBQESA-N -1 1 301.441 1.350 20 0 DDADMM Cc1cc(F)cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC000907479021 712582892 /nfs/dbraw/zinc/58/28/92/712582892.db2.gz ZPAAXXWNBZTESJ-NSHDSACASA-N -1 1 307.354 1.578 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1ccncc1 ZINC000907479576 712583163 /nfs/dbraw/zinc/58/31/63/712583163.db2.gz FSTFOQRJKJHGPP-GXSJLCMTSA-N -1 1 304.379 1.015 20 0 DDADMM O=C([C@@H]1C[C@H]1c1ccccc1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907480707 712583812 /nfs/dbraw/zinc/58/38/12/712583812.db2.gz WLCPGFFKEBKTSN-XQQFMLRXSA-N -1 1 315.402 1.620 20 0 DDADMM O=C(NOC[C@H]1CCOC1)c1c([O-])cnc2c(F)cccc21 ZINC000907665521 712611326 /nfs/dbraw/zinc/61/13/26/712611326.db2.gz HNBIBUPZZWGDFV-VIFPVBQESA-N -1 1 306.293 1.777 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)c1cccc(F)c1F ZINC000907765693 712623941 /nfs/dbraw/zinc/62/39/41/712623941.db2.gz BGQQOIIXGDVLRE-JQZRSOHWSA-N -1 1 301.314 1.419 20 0 DDADMM COC(=O)C[C@H]([N-]S(=O)(=O)c1ccns1)C(C)(C)C ZINC000907838080 712633588 /nfs/dbraw/zinc/63/35/88/712633588.db2.gz NMCSQPCGJZUSOX-QMMMGPOBSA-N -1 1 306.409 1.399 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)c3c(C)cccc3F)CC2)n1 ZINC000907865334 712638128 /nfs/dbraw/zinc/63/81/28/712638128.db2.gz RHWPATRPRGVUJA-UHFFFAOYSA-N -1 1 311.338 1.793 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](C)c2cncs2)c1 ZINC000907929493 712650667 /nfs/dbraw/zinc/65/06/67/712650667.db2.gz JMTILXZOWFLYRF-MRVPVSSYSA-N -1 1 314.388 1.897 20 0 DDADMM O=C(CC(F)(F)C(F)F)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000908010841 712665425 /nfs/dbraw/zinc/66/54/25/712665425.db2.gz IYFDEHJGRINVEM-UHFFFAOYSA-N -1 1 311.235 1.772 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCCCC[C@@H]1CCO ZINC000908078169 712679965 /nfs/dbraw/zinc/67/99/65/712679965.db2.gz YVPVHDNTCTZHJT-GFCCVEGCSA-N -1 1 305.378 1.827 20 0 DDADMM CCOC(=O)[C@@H]1CCCN(CC(=O)[N-]OC[C@@H](C)CC)C1 ZINC000908351146 712749538 /nfs/dbraw/zinc/74/95/38/712749538.db2.gz DOYLVBNVTBSSCM-QWHCGFSZSA-N -1 1 300.399 1.355 20 0 DDADMM O=S(=O)([N-][C@@H](c1ccncc1)C1CC1)c1c[nH]nc1Cl ZINC000908388191 712758521 /nfs/dbraw/zinc/75/85/21/712758521.db2.gz FOBLDAIXQHJXTC-LLVKDONJSA-N -1 1 312.782 1.888 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H]2COC[C@H]2C2CC2)sc1C ZINC000908415174 712765693 /nfs/dbraw/zinc/76/56/93/712765693.db2.gz RHRNULMALUOSGY-QWRGUYRKSA-N -1 1 302.421 1.463 20 0 DDADMM O=C(CN1CCC[C@H](C(=O)[O-])C1)NCCOC1CCCCC1 ZINC000908748586 712847173 /nfs/dbraw/zinc/84/71/73/712847173.db2.gz FZEAWCDDKHNGBL-ZDUSSCGKSA-N -1 1 312.410 1.249 20 0 DDADMM Cc1ccc(C[C@@H](C)NC(=O)CN2CCC[C@H](C(=O)[O-])C2)s1 ZINC000908817072 712861077 /nfs/dbraw/zinc/86/10/77/712861077.db2.gz PJVOVOWOIZEASN-YPMHNXCESA-N -1 1 324.446 1.900 20 0 DDADMM O=C([O-])CN(Cc1ccccc1)C(=O)CCCCc1c[nH]nn1 ZINC000908906851 712884434 /nfs/dbraw/zinc/88/44/34/712884434.db2.gz UHEWWKCCPLIYPD-UHFFFAOYSA-N -1 1 316.361 1.631 20 0 DDADMM O=S(=O)(CC1(F)CC1)[N-]Cc1nc(C(F)(F)F)no1 ZINC000914351901 713382757 /nfs/dbraw/zinc/38/27/57/713382757.db2.gz PQUJIMVGPJBLJP-UHFFFAOYSA-N -1 1 303.237 1.010 20 0 DDADMM COc1ncc(S(=O)(=O)[N-]C[C@@H]2C(C)(C)C2(F)F)s1 ZINC000917656547 713508721 /nfs/dbraw/zinc/50/87/21/713508721.db2.gz YYFTUROXCJASRM-ZCFIWIBFSA-N -1 1 312.363 1.721 20 0 DDADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)CCC(C)(C)CC ZINC000921380275 713749745 /nfs/dbraw/zinc/74/97/45/713749745.db2.gz OPHDHADMJNUIKR-NSHDSACASA-N -1 1 309.428 1.310 20 0 DDADMM COC(=O)c1nscc1S(=O)(=O)[N-]C(C)(C)/C=C/Cl ZINC000921500916 713791238 /nfs/dbraw/zinc/79/12/38/713791238.db2.gz FYOXTIMXYWODNC-SNAWJCMRSA-N -1 1 324.811 1.739 20 0 DDADMM CCC[C@@H](O)CC[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000921683939 713842085 /nfs/dbraw/zinc/84/20/85/713842085.db2.gz QSRSRZBMGVYJQI-SECBINFHSA-N -1 1 323.361 1.803 20 0 DDADMM CCOCCOCC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000921887624 713897739 /nfs/dbraw/zinc/89/77/39/713897739.db2.gz ORNVSKGILOULJK-UHFFFAOYSA-N -1 1 308.425 1.091 20 0 DDADMM CO[C@@H]1COCC[C@@H]1N(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000921922537 713909109 /nfs/dbraw/zinc/90/91/09/713909109.db2.gz SDCNQYNXDUYOGL-UONOGXRCSA-N -1 1 316.357 1.816 20 0 DDADMM C[C@H]1Cn2nccc2N(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000922205821 713990796 /nfs/dbraw/zinc/99/07/96/713990796.db2.gz NIEZKUIVSIDHFN-SECBINFHSA-N -1 1 314.374 1.511 20 0 DDADMM CON1CC[C@H](OC(=O)c2cc(F)cc(Cl)c2[O-])C1=O ZINC000922506470 714073370 /nfs/dbraw/zinc/07/33/70/714073370.db2.gz ZGGIMODGOPEUTO-VIFPVBQESA-N -1 1 303.673 1.504 20 0 DDADMM CO[N-]C(=O)CNCc1ccccc1I ZINC000922829820 714161611 /nfs/dbraw/zinc/16/16/11/714161611.db2.gz IZZNLQGJYBJKOV-UHFFFAOYSA-N -1 1 320.130 1.058 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@H]2OCCO[C@H]2C)c1 ZINC000923804926 714473499 /nfs/dbraw/zinc/47/34/99/714473499.db2.gz CPRZRHIHWUIJQH-NHYWBVRUSA-N -1 1 308.330 1.484 20 0 DDADMM COCC1CC(NC(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000923933283 714491012 /nfs/dbraw/zinc/49/10/12/714491012.db2.gz WFVUOPVCNGXANT-UHFFFAOYSA-N -1 1 314.332 1.937 20 0 DDADMM C[C@@H](Sc1ccc(F)cc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000924252390 714550773 /nfs/dbraw/zinc/55/07/73/714550773.db2.gz MQPMMDYVINUTFV-MRVPVSSYSA-N -1 1 311.338 1.472 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2cnc(C)cn2)[n-]c1=O ZINC000934277500 714784963 /nfs/dbraw/zinc/78/49/63/714784963.db2.gz PQCGNNGRFRHPGC-GFCCVEGCSA-N -1 1 301.350 1.626 20 0 DDADMM CC(C)[C@@H]1C[C@H](C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCO1 ZINC000935037674 714961401 /nfs/dbraw/zinc/96/14/01/714961401.db2.gz YNQGSTPNIMIDBR-AGIUHOORSA-N -1 1 307.398 1.357 20 0 DDADMM Cc1cc(C(F)(F)C(=O)[N-]Cc2ncn(C)n2)cnc1Cl ZINC000935188301 714999029 /nfs/dbraw/zinc/99/90/29/714999029.db2.gz VSWAWADORILQOI-UHFFFAOYSA-N -1 1 315.711 1.580 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N=[S@](C)(=O)C(C)C ZINC000926063311 714999391 /nfs/dbraw/zinc/99/93/91/714999391.db2.gz OEDSXVWOVYJLEE-JOCHJYFZSA-N -1 1 324.406 1.627 20 0 DDADMM CC(C)Cc1ncc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)s1 ZINC000935365137 715038058 /nfs/dbraw/zinc/03/80/58/715038058.db2.gz CWEYSLDOSPMUDM-VIFPVBQESA-N -1 1 308.411 1.730 20 0 DDADMM C[C@@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)c1cccc(O)c1 ZINC000935457451 715060263 /nfs/dbraw/zinc/06/02/63/715060263.db2.gz SFPQZOGWYVKIGI-BXKDBHETSA-N -1 1 319.390 1.326 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1CC2(CC2)C1 ZINC000926452864 715068831 /nfs/dbraw/zinc/06/88/31/715068831.db2.gz LIMIXCAJVSSWQS-UHFFFAOYSA-N -1 1 310.357 1.718 20 0 DDADMM CC(C)=CC(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC000956344218 715412391 /nfs/dbraw/zinc/41/23/91/715412391.db2.gz ZWVSUORJVSULCU-UHFFFAOYSA-N -1 1 317.389 1.864 20 0 DDADMM CN(C(=O)[C@@]1(C)C=CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955025998 715584025 /nfs/dbraw/zinc/58/40/25/715584025.db2.gz BYMAYIOMXDTDGX-KRWDZBQOSA-N -1 1 315.373 1.426 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)C2(CF)CCC2)C1)c1ncccc1[O-] ZINC000937864984 715619871 /nfs/dbraw/zinc/61/98/71/715619871.db2.gz BVAQFXQFINVRML-NSHDSACASA-N -1 1 321.352 1.258 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)C1CC=CC1)c1ncccc1[O-] ZINC000955939237 716026802 /nfs/dbraw/zinc/02/68/02/716026802.db2.gz NSHJKLKYLDHEOE-ZDUSSCGKSA-N -1 1 315.373 1.474 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000939121831 716144261 /nfs/dbraw/zinc/14/42/61/716144261.db2.gz XYXCWETUAXZQCP-SRVKXCTJSA-N -1 1 303.362 1.116 20 0 DDADMM O=C(/C=C\C1CC1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940602700 716872346 /nfs/dbraw/zinc/87/23/46/716872346.db2.gz LNIDZWHOCLBWMK-SREVYHEPSA-N -1 1 315.373 1.428 20 0 DDADMM Cc1n[nH]c(C(=O)NC2CC(CNCc3nccs3)C2)c1[O-] ZINC000961721246 717073190 /nfs/dbraw/zinc/07/31/90/717073190.db2.gz SCHCKFWHHOIUMY-UHFFFAOYSA-N -1 1 321.406 1.178 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@@H]2[C@H]1CCCN2C(=O)C1CC1 ZINC000962553569 717509557 /nfs/dbraw/zinc/50/95/57/717509557.db2.gz SUAHSGBTDQVLCD-CHWSQXEVSA-N -1 1 315.373 1.403 20 0 DDADMM CC[C@@H](C)C(=O)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943205127 718046539 /nfs/dbraw/zinc/04/65/39/718046539.db2.gz AVJIIDSGUGIKFA-OLZOCXBDSA-N -1 1 319.405 1.944 20 0 DDADMM CC1(C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000944067902 718249958 /nfs/dbraw/zinc/24/99/58/718249958.db2.gz VDTDIQFNQCNHPD-LLVKDONJSA-N -1 1 303.362 1.308 20 0 DDADMM CC(C)C(=O)N1CCC[C@@H](C)[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000945736765 718550245 /nfs/dbraw/zinc/55/02/45/718550245.db2.gz UDGTWZINGDTOPG-OLZOCXBDSA-N -1 1 319.405 1.800 20 0 DDADMM CC1(C)CN(C(=O)C2CC2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000967010753 718742341 /nfs/dbraw/zinc/74/23/41/718742341.db2.gz MYOYPXWTBBBMOT-CYBMUJFWSA-N -1 1 317.389 1.554 20 0 DDADMM Cc1nc(CN2CC([C@@H](C)NC(=O)c3ncccc3[O-])C2)co1 ZINC000969543153 720182014 /nfs/dbraw/zinc/18/20/14/720182014.db2.gz KTFVJGLIGQFODA-SNVBAGLBSA-N -1 1 316.361 1.334 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2cc(C)no2)C1 ZINC000949948326 720426823 /nfs/dbraw/zinc/42/68/23/720426823.db2.gz NEFWMJKSBGJUSD-UHFFFAOYSA-N -1 1 316.361 1.430 20 0 DDADMM CCC1(C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000951923505 721239173 /nfs/dbraw/zinc/23/91/73/721239173.db2.gz XRJACCWBVHHTLT-LBPRGKRZSA-N -1 1 317.389 1.698 20 0 DDADMM CCC(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000953233720 721572587 /nfs/dbraw/zinc/57/25/87/721572587.db2.gz SMGRTHYPYIGIBH-VXGBXAGGSA-N -1 1 303.362 1.260 20 0 DDADMM O=C(c1ncccc1[O-])N1CC[C@H]2CCN(C(=O)C3CC3)[C@@H]2C1 ZINC000953319401 721593114 /nfs/dbraw/zinc/59/31/14/721593114.db2.gz KNUMLUPGQYRZLI-WCQYABFASA-N -1 1 315.373 1.260 20 0 DDADMM C[C@@H](NC(=O)C1CC1)[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000953596281 721629617 /nfs/dbraw/zinc/62/96/17/721629617.db2.gz ZJPMHIBVYWXZRL-YPMHNXCESA-N -1 1 317.389 1.697 20 0 DDADMM CN(C(=O)C[C@@H]1C=CCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953683493 721641703 /nfs/dbraw/zinc/64/17/03/721641703.db2.gz QRJQDZASZJUCKZ-GFCCVEGCSA-N -1 1 315.373 1.426 20 0 DDADMM CC(C)=CC(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021442517 733207694 /nfs/dbraw/zinc/20/76/94/733207694.db2.gz XZDOUAFREGOEQL-QWHCGFSZSA-N -1 1 315.373 1.426 20 0 DDADMM Cc1ccnc(NC[C@@H](C)N(C)C(=O)c2ncccc2[O-])n1 ZINC001104535941 736198850 /nfs/dbraw/zinc/19/88/50/736198850.db2.gz DGVBYCWQBXUEIJ-LLVKDONJSA-N -1 1 301.350 1.458 20 0 DDADMM C[C@@H](CNc1nccnc1F)N(C)C(=O)c1ncccc1[O-] ZINC001104536079 736199258 /nfs/dbraw/zinc/19/92/58/736199258.db2.gz GIXNCRDNPGCJGY-VIFPVBQESA-N -1 1 305.313 1.289 20 0 DDADMM Cn1c(-c2c[n-][nH]c2=O)nnc1N1CC[C@H](Cc2ccccc2)C1 ZINC001121470434 782520423 /nfs/dbraw/zinc/52/04/23/782520423.db2.gz VZFIWFXIPDJBCD-CYBMUJFWSA-N -1 1 324.388 1.980 20 0 DDADMM O=C(N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C12CCC(CC1)CC2 ZINC001019802785 736926366 /nfs/dbraw/zinc/92/63/66/736926366.db2.gz QJLOAAXJAGXOQF-BGMSHATGSA-N -1 1 319.409 1.171 20 0 DDADMM CC(C)c1ocnc1CNCCNC(=O)c1ncccc1[O-] ZINC001126125026 738345453 /nfs/dbraw/zinc/34/54/53/738345453.db2.gz NIRLNUGXDUVSRR-UHFFFAOYSA-N -1 1 304.350 1.418 20 0 DDADMM C[C@@H](CC(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001029911569 741576799 /nfs/dbraw/zinc/57/67/99/741576799.db2.gz OCFAHAWKXZJGFK-NWDGAFQWSA-N -1 1 321.425 1.227 20 0 DDADMM Cc1ncsc1CN1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001042645152 741788421 /nfs/dbraw/zinc/78/84/21/741788421.db2.gz IVCDJQPMJSEPKU-UHFFFAOYSA-N -1 1 318.402 1.509 20 0 DDADMM C[C@@H](C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-])C1CC1 ZINC001076061688 742520184 /nfs/dbraw/zinc/52/01/84/742520184.db2.gz XUNMNYWJOGVNGY-WDEREUQCSA-N -1 1 305.378 1.458 20 0 DDADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C(=O)C(C)(C)C ZINC001076243996 742623245 /nfs/dbraw/zinc/62/32/45/742623245.db2.gz GFRYWIDYBXOBGS-JTQLQIEISA-N -1 1 321.377 1.027 20 0 DDADMM CCC[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244613 742623859 /nfs/dbraw/zinc/62/38/59/742623859.db2.gz WZKXJKWOGRJURZ-NEPJUHHUSA-N -1 1 307.394 1.848 20 0 DDADMM O=C(NC[C@H]1CCCCN1c1ncccn1)c1ncccc1[O-] ZINC001060136147 742958028 /nfs/dbraw/zinc/95/80/28/742958028.db2.gz SXHOKDLILMYAOB-GFCCVEGCSA-N -1 1 313.361 1.366 20 0 DDADMM C[C@@H](CCNC(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2)NC(=O)c1cnn[nH]1 ZINC001076755244 742970276 /nfs/dbraw/zinc/97/02/76/742970276.db2.gz PBOCKZUAGXOQGS-QNWHQSFQSA-N -1 1 317.393 1.032 20 0 DDADMM C[C@@H](CCNC(=O)c1ccns1)NC(=O)c1ncccc1[O-] ZINC001076810885 743011167 /nfs/dbraw/zinc/01/11/67/743011167.db2.gz VNPRHIJNTVPXLB-VIFPVBQESA-N -1 1 320.374 1.182 20 0 DDADMM O=C(c1ccc(F)cc1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000998395398 751797957 /nfs/dbraw/zinc/79/79/57/751797957.db2.gz TYKPMFSIWUCTKP-GFCCVEGCSA-N -1 1 319.340 1.044 20 0 DDADMM COc1ccc(F)cc1CC(=O)NCCCC[P@](=O)([O-])O ZINC001181693858 743315677 /nfs/dbraw/zinc/31/56/77/743315677.db2.gz GVUYBXJKGATXHJ-UHFFFAOYSA-N -1 1 319.269 1.451 20 0 DDADMM Cn1c(CC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc2ccccc21 ZINC001182269716 743544648 /nfs/dbraw/zinc/54/46/48/743544648.db2.gz ULUVMONHFUJBOD-UHFFFAOYSA-N -1 1 322.328 1.731 20 0 DDADMM Cn1c(CC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc2ccccc21 ZINC001182263615 743548231 /nfs/dbraw/zinc/54/82/31/743548231.db2.gz BCLSCSUVLXSIBJ-UHFFFAOYSA-N -1 1 313.317 1.143 20 0 DDADMM CN(C)c1nc(NC(=O)[C@@H]2C[C@H]2C(F)(F)F)c(N=O)c(=O)[n-]1 ZINC001182312672 743556753 /nfs/dbraw/zinc/55/67/53/743556753.db2.gz GWLXTCRMUJZJLA-RFZPGFLSSA-N -1 1 319.243 1.783 20 0 DDADMM Cc1n[nH]c(C(=O)NC2CN(C[C@@H]3CC[C@H]4C[C@H]4C3)C2)c1[O-] ZINC001030222070 743957348 /nfs/dbraw/zinc/95/73/48/743957348.db2.gz DVIZKOVHXLDAAS-GRYCIOLGSA-N -1 1 304.394 1.274 20 0 DDADMM O=C(NC1CN(Cc2cc(F)cc(F)c2)C1)c1ncccc1[O-] ZINC001030239420 743975599 /nfs/dbraw/zinc/97/55/99/743975599.db2.gz HWJJZOPHOCKBFC-UHFFFAOYSA-N -1 1 319.311 1.680 20 0 DDADMM Cc1nc(CN2CC(NC(=O)c3ncccc3[O-])C2)c(C)s1 ZINC001030241252 743976783 /nfs/dbraw/zinc/97/67/83/743976783.db2.gz BDUYPARPFWFYOH-UHFFFAOYSA-N -1 1 318.402 1.475 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)NCCc1ccccc1 ZINC001184961159 744131073 /nfs/dbraw/zinc/13/10/73/744131073.db2.gz RVHZECGHHFPDPR-UHFFFAOYSA-N -1 1 300.295 1.486 20 0 DDADMM Cn1ncc(Cl)c1[N-]S(=O)(=O)c1cnc(Cl)nc1 ZINC001185004344 744135281 /nfs/dbraw/zinc/13/52/81/744135281.db2.gz OVLKEJVGKWDQGM-UHFFFAOYSA-N -1 1 308.150 1.318 20 0 DDADMM CN1CCN(C)[C@@H](C(=O)Nc2ccc3[n-]c(=S)sc3c2)C1 ZINC001185256839 744191001 /nfs/dbraw/zinc/19/10/01/744191001.db2.gz WOMWFOMYNVXRBE-LLVKDONJSA-N -1 1 322.459 1.769 20 0 DDADMM COC[C@H](C)Oc1cc(NC(=O)c2ccc([O-])cc2F)[nH]n1 ZINC001186319527 744373928 /nfs/dbraw/zinc/37/39/28/744373928.db2.gz XBMWGHNCMWUZKP-QMMMGPOBSA-N -1 1 309.297 1.920 20 0 DDADMM Cn1cc2c(n1)[C@@H](CNC(=O)c1ccc([O-])cc1F)OCC2 ZINC001186337644 744388971 /nfs/dbraw/zinc/38/89/71/744388971.db2.gz OVBGGNCEPFDVKD-CYBMUJFWSA-N -1 1 305.309 1.309 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)c2ccc(N)c(C)c2)cn1 ZINC001203637818 744396645 /nfs/dbraw/zinc/39/66/45/744396645.db2.gz JPRGNEIJYXBJOB-UHFFFAOYSA-N -1 1 320.374 1.133 20 0 DDADMM Cc1cc([N-]S(=O)(=O)c2ccco2)n(-c2ccncc2)n1 ZINC001186820126 744454110 /nfs/dbraw/zinc/45/41/10/744454110.db2.gz LQKHCJPGQSMHNE-UHFFFAOYSA-N -1 1 304.331 1.970 20 0 DDADMM C[C@@H](C(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)N1CCOCC1 ZINC001187172501 744518152 /nfs/dbraw/zinc/51/81/52/744518152.db2.gz UBCIFVIZKZTXGJ-LBPRGKRZSA-N -1 1 316.361 1.237 20 0 DDADMM Cc1oncc1S(=O)(=O)[N-]c1cnccc1Br ZINC001187334779 744542400 /nfs/dbraw/zinc/54/24/00/744542400.db2.gz UWMFMARCCGVYCQ-UHFFFAOYSA-N -1 1 318.152 1.941 20 0 DDADMM O=C1OCC=C1[N-]S(=O)(=O)CCc1cccc2ccccc21 ZINC001187665530 744589640 /nfs/dbraw/zinc/58/96/40/744589640.db2.gz CRHKCAAORCSNQR-UHFFFAOYSA-N -1 1 317.366 1.742 20 0 DDADMM CC(C)Oc1ccnc(C[N-]S(=O)(=O)c2nccs2)n1 ZINC001187925311 744636238 /nfs/dbraw/zinc/63/62/38/744636238.db2.gz LHSVTOIHSKONNM-UHFFFAOYSA-N -1 1 314.392 1.199 20 0 DDADMM Cc1nc[nH]c(=O)c1C(=O)NC1C[NH+](CCc2cccs2)C1 ZINC001030822734 744679065 /nfs/dbraw/zinc/67/90/65/744679065.db2.gz NUDAUAGHRCJUKW-UHFFFAOYSA-N -1 1 318.402 1.209 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cc(F)c(Cl)cc1F ZINC001188395034 744698374 /nfs/dbraw/zinc/69/83/74/744698374.db2.gz MKTRWIQRJDAHNR-UHFFFAOYSA-N -1 1 313.709 1.923 20 0 DDADMM C[C@H](CN1CCCC1)[N-]S(=O)(=O)c1ncc(F)cc1F ZINC001190192714 745131345 /nfs/dbraw/zinc/13/13/45/745131345.db2.gz RIFHYBNTMPJKSU-SECBINFHSA-N -1 1 305.350 1.122 20 0 DDADMM COC(=O)[C@@H](NC(=O)Nc1cc(Cl)ncc1[O-])C(C)C ZINC001190206246 745152903 /nfs/dbraw/zinc/15/29/03/745152903.db2.gz DFFBDEKOQNPMCG-JTQLQIEISA-N -1 1 301.730 1.760 20 0 DDADMM O=C(c1cnc(-c2ccccc2)[n-]c1=O)N1CCn2cnnc2C1 ZINC001190258990 745163834 /nfs/dbraw/zinc/16/38/34/745163834.db2.gz UKFUQOWDRKICCQ-UHFFFAOYSA-N -1 1 322.328 1.097 20 0 DDADMM COc1cc(NC(=O)c2cnc(-c3ccccc3)[n-]c2=O)ncn1 ZINC001190259382 745164100 /nfs/dbraw/zinc/16/41/00/745164100.db2.gz YSLOSTDIQBUIEZ-UHFFFAOYSA-N -1 1 323.312 1.900 20 0 DDADMM Cn1cc(C[N-]S(=O)(=O)c2cc(F)cc(F)c2F)cn1 ZINC001190350000 745189337 /nfs/dbraw/zinc/18/93/37/745189337.db2.gz YARIOYNBQJPHOB-UHFFFAOYSA-N -1 1 305.281 1.316 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1ccc(-n2cccc2)nc1 ZINC001190399788 745199902 /nfs/dbraw/zinc/19/99/02/745199902.db2.gz LNGBEODDCVYQMB-UHFFFAOYSA-N -1 1 323.289 1.560 20 0 DDADMM COC(=O)[C@H](C)NC(=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001190512064 745235021 /nfs/dbraw/zinc/23/50/21/745235021.db2.gz FLFLEPROTQMELR-VIFPVBQESA-N -1 1 304.306 1.261 20 0 DDADMM CC1(C)[C@H](O)C[C@@H]1NC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629819 745279347 /nfs/dbraw/zinc/27/93/47/745279347.db2.gz YDOCOGMCFREDPJ-NWDGAFQWSA-N -1 1 314.345 1.133 20 0 DDADMM COC(=O)c1ccc(NS(=O)(=O)c2ncc[n-]2)c(F)c1F ZINC001190729722 745309742 /nfs/dbraw/zinc/30/97/42/745309742.db2.gz MVJLZHPNWIOHAY-UHFFFAOYSA-N -1 1 317.273 1.275 20 0 DDADMM CC(=O)N1CCc2ccc([N-]S(=O)(=O)c3ccncc3)cc21 ZINC001190887527 745367769 /nfs/dbraw/zinc/36/77/69/745367769.db2.gz MRDLDNLJLTVQNA-UHFFFAOYSA-N -1 1 317.370 1.791 20 0 DDADMM CC(=O)N(C)c1ccc([N-]S(=O)(=O)c2ccncc2)cc1 ZINC001190888580 745368717 /nfs/dbraw/zinc/36/87/17/745368717.db2.gz WZJJSDXLSURKLL-UHFFFAOYSA-N -1 1 305.359 1.865 20 0 DDADMM CSc1ncc(C(=O)NCc2ccnn2CCF)c(=O)[n-]1 ZINC001191439609 745512655 /nfs/dbraw/zinc/51/26/55/745512655.db2.gz BQHWEBRYSPXRIE-UHFFFAOYSA-N -1 1 311.342 1.000 20 0 DDADMM COC(=O)C1CC(C[N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192055112 745686387 /nfs/dbraw/zinc/68/63/87/745686387.db2.gz NMGCIEFLAYMLHI-UHFFFAOYSA-N -1 1 324.613 1.433 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(OC2CCCCC2)nc1 ZINC001192100142 745690533 /nfs/dbraw/zinc/69/05/33/745690533.db2.gz OGTSLICHUDKQCI-UHFFFAOYSA-N -1 1 302.338 1.236 20 0 DDADMM O=C(NCCn1nnc2ccccc21)c1cc([O-])cc(F)c1F ZINC001192679414 745859792 /nfs/dbraw/zinc/85/97/92/745859792.db2.gz USLXOMIMNFPTCC-UHFFFAOYSA-N -1 1 318.283 1.845 20 0 DDADMM Cc1cc(CN2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001007187799 752053622 /nfs/dbraw/zinc/05/36/22/752053622.db2.gz GXUMJTNCQDITJB-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM Cc1cnc(Cl)nc1C(=O)NCCCC[P@](=O)([O-])O ZINC001193254826 746031320 /nfs/dbraw/zinc/03/13/20/746031320.db2.gz UMQLUKIOMQZWJK-UHFFFAOYSA-N -1 1 307.674 1.126 20 0 DDADMM Cc1cnc(Cl)nc1C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001193253363 746031346 /nfs/dbraw/zinc/03/13/46/746031346.db2.gz GESXKYQKQGGRFE-UHFFFAOYSA-N -1 1 305.685 1.063 20 0 DDADMM O=S(=O)(C[C@@H]1CCCO1)[N-]c1cccc(Cl)c1CO ZINC001193262859 746035456 /nfs/dbraw/zinc/03/54/56/746035456.db2.gz VPLBKCSNYVKXQE-VIFPVBQESA-N -1 1 305.783 1.753 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3ccnc(C(F)F)c3)c1-2 ZINC001193639659 746157845 /nfs/dbraw/zinc/15/78/45/746157845.db2.gz LAAWPVVGCGJMQP-UHFFFAOYSA-N -1 1 304.260 1.620 20 0 DDADMM CCCCOC(=O)Nc1ccc(S(=O)(=O)N(C)C)cc1[O-] ZINC001193702583 746185953 /nfs/dbraw/zinc/18/59/53/746185953.db2.gz HYMAMKKUHXAFFH-UHFFFAOYSA-N -1 1 316.379 1.991 20 0 DDADMM CSc1ncc(C(=O)NCCCC[P@](=O)([O-])O)cc1C ZINC001194341369 746358680 /nfs/dbraw/zinc/35/86/80/746358680.db2.gz XRTNKPNFSNUEJL-UHFFFAOYSA-N -1 1 318.335 1.800 20 0 DDADMM O=S(=O)([N-]Cc1cnccn1)c1ncccc1C(F)(F)F ZINC001194583070 746415410 /nfs/dbraw/zinc/41/54/10/746415410.db2.gz WPGCZLPNKGXRAM-UHFFFAOYSA-N -1 1 318.280 1.369 20 0 DDADMM NC(=O)c1ccc(NC(=S)NC(=O)c2ccccc2)cc1[O-] ZINC001195421570 746609307 /nfs/dbraw/zinc/60/93/07/746609307.db2.gz JMVBBCKEBVPWLS-UHFFFAOYSA-N -1 1 315.354 1.618 20 0 DDADMM O=C1OCc2cc([N-]S(=O)(=O)c3ccc(O)cc3)ccc21 ZINC001195795064 746707045 /nfs/dbraw/zinc/70/70/45/746707045.db2.gz YGJITOKCVLAZNN-UHFFFAOYSA-N -1 1 305.311 1.863 20 0 DDADMM CN(CCC(=O)c1ccccc1)C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196012816 746756417 /nfs/dbraw/zinc/75/64/17/746756417.db2.gz BIBPDTGKIGOZRU-UHFFFAOYSA-N -1 1 317.370 1.816 20 0 DDADMM O=C(Nc1cccc2c(=O)[nH]ccc12)c1c[nH]c(=S)[n-]c1=O ZINC001196019827 746759234 /nfs/dbraw/zinc/75/92/34/746759234.db2.gz FUIPGLWZGFPZFI-UHFFFAOYSA-N -1 1 314.326 1.977 20 0 DDADMM O=C(NCc1cccc2ccnn21)c1c[nH]c(=S)[n-]c1=O ZINC001196025327 746760566 /nfs/dbraw/zinc/76/05/66/746760566.db2.gz ZWZFDWRDRBMCQS-UHFFFAOYSA-N -1 1 301.331 1.049 20 0 DDADMM CC1(C(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)CC(C)(C)C2)CC1 ZINC001089393296 746778460 /nfs/dbraw/zinc/77/84/60/746778460.db2.gz DESWZWBVOUQVTP-LLVKDONJSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)c1csc(CO)n1 ZINC001196370431 746845904 /nfs/dbraw/zinc/84/59/04/746845904.db2.gz JJJOJXZYGBADDK-UHFFFAOYSA-N -1 1 316.342 1.779 20 0 DDADMM O=C(Nc1cc(=O)[n-]c(Cl)n1)c1cc(Cl)ncc1O ZINC001196386744 746851726 /nfs/dbraw/zinc/85/17/26/746851726.db2.gz FEHUCQFMNXNRIK-UHFFFAOYSA-N -1 1 301.089 1.842 20 0 DDADMM CC(C)(C)c1nnc([N-]C(=O)c2ccc(S(C)(=O)=O)cn2)o1 ZINC001196700203 746935160 /nfs/dbraw/zinc/93/51/60/746935160.db2.gz AHAZINSDPAJQJG-UHFFFAOYSA-N -1 1 324.362 1.418 20 0 DDADMM CSc1nc(NC(=O)c2cc(NC(C)=O)c[nH]2)cc(=O)[n-]1 ZINC001196870574 746994401 /nfs/dbraw/zinc/99/44/01/746994401.db2.gz QIALWEGTLZOZJT-UHFFFAOYSA-N -1 1 307.335 1.443 20 0 DDADMM CCOc1ncccc1[N-]S(=O)(=O)CCCCC(=O)OC ZINC001196922762 746998880 /nfs/dbraw/zinc/99/88/80/746998880.db2.gz RIMKSBKLORGBCP-UHFFFAOYSA-N -1 1 316.379 1.565 20 0 DDADMM O=c1[nH]nccc1[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC001197566510 747202580 /nfs/dbraw/zinc/20/25/80/747202580.db2.gz JWWPTOPJVGOJSG-UHFFFAOYSA-N -1 1 301.274 1.402 20 0 DDADMM Cc1noc([N-]C(=O)c2c(C)noc2C(F)(F)F)c1C(N)=O ZINC001197585017 747212160 /nfs/dbraw/zinc/21/21/60/747212160.db2.gz ACQUZWDHYASWQG-UHFFFAOYSA-N -1 1 318.211 1.649 20 0 DDADMM CCOc1cncc(C(=O)Nc2cc(=O)[n-]c(SC)n2)c1 ZINC001197606555 747220186 /nfs/dbraw/zinc/22/01/86/747220186.db2.gz KRBJRPUQDSAGOH-UHFFFAOYSA-N -1 1 306.347 1.950 20 0 DDADMM Cc1cncc(CN2CC(CNC(=O)c3ncccc3[O-])C2)c1 ZINC001031627730 747249161 /nfs/dbraw/zinc/24/91/61/747249161.db2.gz VGZWVVFBEMCUDJ-UHFFFAOYSA-N -1 1 312.373 1.352 20 0 DDADMM Cn1ccc2cccc(C(=O)NCCCC[P@](=O)([O-])O)c21 ZINC001199028368 747691118 /nfs/dbraw/zinc/69/11/18/747691118.db2.gz KBTAASLRGZWXLD-UHFFFAOYSA-N -1 1 310.290 1.866 20 0 DDADMM CSc1nc(NC(=O)c2nccn2CC2CC2)cc(=O)[n-]1 ZINC001199449572 747844417 /nfs/dbraw/zinc/84/44/17/747844417.db2.gz DMYNKTFCWDDCEM-UHFFFAOYSA-N -1 1 305.363 1.763 20 0 DDADMM CC(C)n1cc(C(=O)NCCCC[P@](=O)([O-])O)ccc1=O ZINC001199516022 747883733 /nfs/dbraw/zinc/88/37/33/747883733.db2.gz XCKPDWVVAFHZKW-UHFFFAOYSA-N -1 1 316.294 1.117 20 0 DDADMM Nc1[nH]c(=S)[n-]c(=O)c1Nc1ccc2c(c1)NC(=O)CO2 ZINC001203178547 748505376 /nfs/dbraw/zinc/50/53/76/748505376.db2.gz FJRRTMWYEBHBJC-UHFFFAOYSA-N -1 1 305.319 1.128 20 0 DDADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C1CCC(=O)CC1 ZINC001201766301 748597695 /nfs/dbraw/zinc/59/76/95/748597695.db2.gz DHBDNLKIPIZYHG-UHFFFAOYSA-N -1 1 308.812 1.895 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cc(OC)c(C)cn1 ZINC001201905902 748635990 /nfs/dbraw/zinc/63/59/90/748635990.db2.gz KAFUUAJEPWLDSI-UHFFFAOYSA-N -1 1 302.352 1.094 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)C(C)(F)F ZINC001004669067 748636814 /nfs/dbraw/zinc/63/68/14/748636814.db2.gz MVFHGQFESZZYFC-IUCAKERBSA-N -1 1 317.340 1.025 20 0 DDADMM CCCCC(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202488828 748881026 /nfs/dbraw/zinc/88/10/26/748881026.db2.gz HXICAKGAEYQXRN-WDEREUQCSA-N -1 1 307.398 1.218 20 0 DDADMM COC(=O)c1nsc([N-]C(=O)c2cc(F)c(F)cc2F)n1 ZINC001128831146 750344794 /nfs/dbraw/zinc/34/47/94/750344794.db2.gz FAVCAEJOGVZYOK-UHFFFAOYSA-N -1 1 317.248 1.994 20 0 DDADMM O=C(CC1=CCCCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034884332 750980027 /nfs/dbraw/zinc/98/00/27/750980027.db2.gz FXUAUJKLSDOFBH-CYBMUJFWSA-N -1 1 319.409 1.481 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)oc1C ZINC001034909235 751028610 /nfs/dbraw/zinc/02/86/10/751028610.db2.gz HUSNQZPOROWHAE-LLVKDONJSA-N -1 1 319.365 1.115 20 0 DDADMM O=C(C[C@@H]1C=CCCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034972835 751088261 /nfs/dbraw/zinc/08/82/61/751088261.db2.gz DPSVVPMQWSIDGZ-CHWSQXEVSA-N -1 1 319.409 1.337 20 0 DDADMM C[C@H](C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001034974589 751089860 /nfs/dbraw/zinc/08/98/60/751089860.db2.gz XNPJVKWNPJIPDY-CMPLNLGQSA-N -1 1 307.398 1.027 20 0 DDADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CC1CC1 ZINC001007802366 752362879 /nfs/dbraw/zinc/36/28/79/752362879.db2.gz ZPDXARJKEDIEJD-CYBMUJFWSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(F)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001008066674 752530037 /nfs/dbraw/zinc/53/00/37/752530037.db2.gz CQUGUJFINJQEFQ-LLVKDONJSA-N -1 1 323.368 1.502 20 0 DDADMM CC(C)(C)CC(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036641847 752614191 /nfs/dbraw/zinc/61/41/91/752614191.db2.gz HMJPMSKDFVDGGQ-NEPJUHHUSA-N -1 1 321.425 1.369 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2ccoc2)C1 ZINC001005953621 753414844 /nfs/dbraw/zinc/41/48/44/753414844.db2.gz UJQRQJCFIIYZMK-JTQLQIEISA-N -1 1 315.329 1.271 20 0 DDADMM O=C(CC1CCC1)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839321 753759755 /nfs/dbraw/zinc/75/97/55/753759755.db2.gz LZKBXIVICRCWHY-UHFFFAOYSA-N -1 1 317.389 1.746 20 0 DDADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(c2ncc(F)cn2)C1 ZINC001062922879 753848992 /nfs/dbraw/zinc/84/89/92/753848992.db2.gz BWNZOSCGCKXQTG-LLVKDONJSA-N -1 1 317.324 1.067 20 0 DDADMM Cc1nccc(N2CC[C@H](N(C)C(=O)c3ncccc3[O-])C2)n1 ZINC001062923663 753850874 /nfs/dbraw/zinc/85/08/74/753850874.db2.gz XCYLQDUAZCADSP-LBPRGKRZSA-N -1 1 313.361 1.237 20 0 DDADMM CCC(=O)N1CCCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001010629018 754065055 /nfs/dbraw/zinc/06/50/55/754065055.db2.gz KGWDBHDBBIBOKM-UHFFFAOYSA-N -1 1 303.362 1.262 20 0 DDADMM O=C(N[C@@H]1CCN(Cc2cncc(F)c2)C1)c1ncccc1[O-] ZINC001010734055 754131219 /nfs/dbraw/zinc/13/12/19/754131219.db2.gz RWGRJXQAMZZBLH-CYBMUJFWSA-N -1 1 316.336 1.326 20 0 DDADMM CCC(C)(C)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035591 754318795 /nfs/dbraw/zinc/31/87/95/754318795.db2.gz JQYRQYUTSHBMMX-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1Nc1ncccn1 ZINC001063736648 754321118 /nfs/dbraw/zinc/32/11/18/754321118.db2.gz GWLRKBIZLNJEPK-NEPJUHHUSA-N -1 1 313.361 1.540 20 0 DDADMM C[C@@H]1C[C@@H](NC(=O)c2ccoc2)CN1C(=O)c1ncccc1[O-] ZINC001012504433 755112332 /nfs/dbraw/zinc/11/23/32/755112332.db2.gz HVTNJFLCPOCADG-ZYHUDNBSSA-N -1 1 315.329 1.413 20 0 DDADMM Cc1ccnc(N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)n1 ZINC001067077074 755710311 /nfs/dbraw/zinc/71/03/11/755710311.db2.gz XFBYPSMTKFMRTN-CMPLNLGQSA-N -1 1 313.361 1.458 20 0 DDADMM CCC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001014497300 755761218 /nfs/dbraw/zinc/76/12/18/755761218.db2.gz NMWGBBAFUMVIOU-YNEHKIRRSA-N -1 1 317.389 1.554 20 0 DDADMM CC(C)(C)C(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082024532 756587571 /nfs/dbraw/zinc/58/75/71/756587571.db2.gz ZGTVJCKTOQFCAP-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)C2(C)CC2)C1 ZINC001016428237 757034396 /nfs/dbraw/zinc/03/43/96/757034396.db2.gz VUNCZLIBNISPFB-UHFFFAOYSA-N -1 1 303.362 1.307 20 0 DDADMM CCCCN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232311 758288006 /nfs/dbraw/zinc/28/80/06/758288006.db2.gz KTYAVHFWVMVXRR-UHFFFAOYSA-N -1 1 305.378 1.114 20 0 DDADMM CC(C)C(=O)N[C@H]1CC[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001018003697 758463352 /nfs/dbraw/zinc/46/33/52/758463352.db2.gz ZKSZLYMQNMRYDY-RYUDHWBXSA-N -1 1 305.378 1.553 20 0 DDADMM CCNC(=O)N1CC[C@@H](NC(=O)c2cc(C)cc(C=O)c2[O-])C1 ZINC001137267581 759403674 /nfs/dbraw/zinc/40/36/74/759403674.db2.gz BEJUMNSGCZZQMD-GFCCVEGCSA-N -1 1 319.361 1.047 20 0 DDADMM Cc1cccc2nc(NC[C@@H](C)NC(=O)c3cnn[nH]3)sc21 ZINC001108204433 760022067 /nfs/dbraw/zinc/02/20/67/760022067.db2.gz HDMIUPPXRDVTNZ-SECBINFHSA-N -1 1 316.390 1.953 20 0 DDADMM CSc1nc(CNC(=O)c2cc(C=O)[nH]c2C)cc(=O)[n-]1 ZINC001143619749 764486736 /nfs/dbraw/zinc/48/67/36/764486736.db2.gz BSGJHENXGXXPAE-UHFFFAOYSA-N -1 1 306.347 1.283 20 0 DDADMM CCO[C@@H](CN1CCN(C(=O)c2ncccc2[O-])CC1)C1CC1 ZINC001112782304 764756155 /nfs/dbraw/zinc/75/61/55/764756155.db2.gz NDZJJNHMPZVIRH-HNNXBMFYSA-N -1 1 319.405 1.360 20 0 DDADMM CCCCCN1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)CC1 ZINC001113534615 765834474 /nfs/dbraw/zinc/83/44/74/765834474.db2.gz KTMNPBYJSWTXCR-UHFFFAOYSA-N -1 1 318.377 1.876 20 0 DDADMM Cn1c(=O)cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c2ccccc21 ZINC001170223324 766196765 /nfs/dbraw/zinc/19/67/65/766196765.db2.gz MFALJWJMVCHDDR-UHFFFAOYSA-N -1 1 324.300 1.311 20 0 DDADMM CC[C@@H](C)Oc1nccnc1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001170227411 766201674 /nfs/dbraw/zinc/20/16/74/766201674.db2.gz LQZHTBLJDAGSNF-SSDOTTSWSA-N -1 1 301.310 1.769 20 0 DDADMM CC(C)Cn1ccc(Nc2[n-]c(=O)nc3nc[nH]c32)cc1=O ZINC001170228831 766202169 /nfs/dbraw/zinc/20/21/69/766202169.db2.gz KEGKYTBDLASIEP-UHFFFAOYSA-N -1 1 300.322 1.620 20 0 DDADMM CCOC(=O)c1cc(Nc2[n-]c(=O)nc3nc[nH]c32)cnc1F ZINC001170230173 766203858 /nfs/dbraw/zinc/20/38/58/766203858.db2.gz MJYNMPOMRITVTK-UHFFFAOYSA-N -1 1 318.268 1.513 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N1CCc2ccsc2[C@H]1C ZINC001121677867 782623732 /nfs/dbraw/zinc/62/37/32/782623732.db2.gz BKVZMOYFQTYMAH-MRVPVSSYSA-N -1 1 316.394 1.663 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N1CCc2ccsc2[C@H]1C ZINC001121677867 782623733 /nfs/dbraw/zinc/62/37/33/782623733.db2.gz BKVZMOYFQTYMAH-MRVPVSSYSA-N -1 1 316.394 1.663 20 0 DDADMM C[C@@H]1C[C@H](CC(=O)NCCNCc2n[nH]c(=O)[n-]2)CC(C)(C)C1 ZINC001135406557 771487078 /nfs/dbraw/zinc/48/70/78/771487078.db2.gz WHZZZSHIPCMHFJ-VXGBXAGGSA-N -1 1 323.441 1.569 20 0 DDADMM COc1ccc(C(=O)Nc2ccc(OCc3nn[n-]n3)cc2)o1 ZINC001136819433 772160661 /nfs/dbraw/zinc/16/06/61/772160661.db2.gz KUWYHHPJJLRFIV-UHFFFAOYSA-N -1 1 315.289 1.633 20 0 DDADMM Cc1nnc(CCNC(=O)c2ccc3ccc(O)cc3c2[O-])[nH]1 ZINC001144011173 772373312 /nfs/dbraw/zinc/37/33/12/772373312.db2.gz RHDQOSBMJNPYKC-UHFFFAOYSA-N -1 1 312.329 1.650 20 0 DDADMM [O-]c1cc(F)c(CN2CCN(c3cncnc3)CC2)c(F)c1 ZINC001144558902 772564776 /nfs/dbraw/zinc/56/47/76/772564776.db2.gz FDEAZSHRYQWYCI-UHFFFAOYSA-N -1 1 306.316 1.783 20 0 DDADMM O=C([O-])c1ccsc1CN1CCN(c2cccnn2)CC1 ZINC001144677910 772593686 /nfs/dbraw/zinc/59/36/86/772593686.db2.gz HKSUGAMTGODJAP-UHFFFAOYSA-N -1 1 304.375 1.559 20 0 DDADMM CC(C)(CC(=O)NCCNCc1n[nH]c(=O)[n-]1)C1CCCCC1 ZINC001147825194 773255756 /nfs/dbraw/zinc/25/57/56/773255756.db2.gz PUYMRTJJSIOFKG-UHFFFAOYSA-N -1 1 323.441 1.713 20 0 DDADMM Cc1cc(=O)n(-c2ccc(C(=O)Nc3cnc(N)cn3)cc2)[n-]1 ZINC001148328963 773437325 /nfs/dbraw/zinc/43/73/25/773437325.db2.gz CVPQZAUPGOGJRV-UHFFFAOYSA-N -1 1 310.317 1.424 20 0 DDADMM CCC(C)(C)C(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036804967 774682077 /nfs/dbraw/zinc/68/20/77/774682077.db2.gz SNHZRLAZGLIIJZ-NEPJUHHUSA-N -1 1 321.425 1.369 20 0 DDADMM O=C(NCCNc1ncnc2ccccc21)c1ncccc1[O-] ZINC001093563186 774890896 /nfs/dbraw/zinc/89/08/96/774890896.db2.gz YUTLFVOSOTXOQB-UHFFFAOYSA-N -1 1 309.329 1.572 20 0 DDADMM O=C(NCCNc1cccc(F)n1)c1cnc(C2CC2)[n-]c1=O ZINC001094157534 775501161 /nfs/dbraw/zinc/50/11/61/775501161.db2.gz SGSIXKDLTQJJHK-UHFFFAOYSA-N -1 1 317.324 1.436 20 0 DDADMM COc1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1F ZINC001173865292 777368561 /nfs/dbraw/zinc/36/85/61/777368561.db2.gz MIEVPXXNKCYSCD-UHFFFAOYSA-N -1 1 311.338 1.800 20 0 DDADMM CCOC(=O)c1nc[n-]c1-c1nc(-c2cnccc2OC)no1 ZINC001212767864 777701855 /nfs/dbraw/zinc/70/18/55/777701855.db2.gz AZGLAZGRAXEQSG-UHFFFAOYSA-N -1 1 315.289 1.707 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)CCc2cccc(OC)n2)[n-]1 ZINC001175297484 777734447 /nfs/dbraw/zinc/73/44/47/777734447.db2.gz OYNARGXJBVCDTM-UHFFFAOYSA-N -1 1 318.333 1.561 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CCc2cccc(OC)n2)n1 ZINC001175297484 777734454 /nfs/dbraw/zinc/73/44/54/777734454.db2.gz OYNARGXJBVCDTM-UHFFFAOYSA-N -1 1 318.333 1.561 20 0 DDADMM Cc1cncc(CCC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001176032764 778006758 /nfs/dbraw/zinc/00/67/58/778006758.db2.gz OWEDIPHVTJOIDE-UHFFFAOYSA-N -1 1 303.278 1.560 20 0 DDADMM CCCCCC[C@H](O)CCC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001176724282 778221918 /nfs/dbraw/zinc/22/19/18/778221918.db2.gz BIWNOIVHMYUOFI-LBPRGKRZSA-N -1 1 309.414 1.807 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCC1)c1c2nc[nH]c2ccc1F ZINC001176726918 778222005 /nfs/dbraw/zinc/22/20/05/778222005.db2.gz SYVVONNTIVKGJL-UHFFFAOYSA-N -1 1 301.285 1.024 20 0 DDADMM CN(C)c1nc(Nc2cccnc2N2CCCC2)cc(=O)[n-]1 ZINC001176750875 778228454 /nfs/dbraw/zinc/22/84/54/778228454.db2.gz YNMKJOBDQLILTR-UHFFFAOYSA-N -1 1 300.366 1.987 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CSc2nccc(C)n2)n1 ZINC001177494052 778619651 /nfs/dbraw/zinc/61/96/51/778619651.db2.gz BUJQNOUHUFMJEX-UHFFFAOYSA-N -1 1 321.362 1.416 20 0 DDADMM CC(C)(Cc1ccccn1)C(=O)NCCCC[P@](=O)([O-])O ZINC001178189616 778932802 /nfs/dbraw/zinc/93/28/02/778932802.db2.gz GSTZYIAEOUHNQG-UHFFFAOYSA-N -1 1 314.322 1.724 20 0 DDADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)/C=C\C(C)(C)C ZINC001178378765 779009536 /nfs/dbraw/zinc/00/95/36/779009536.db2.gz HZDVIAZZSLVCOC-MGVUPCTHSA-N -1 1 307.398 1.192 20 0 DDADMM CSc1nc(NC(=O)COc2ccc(CO)cc2)cc(=O)[n-]1 ZINC001179655214 779436914 /nfs/dbraw/zinc/43/69/14/779436914.db2.gz RKRKWRZTJAFZOT-UHFFFAOYSA-N -1 1 321.358 1.414 20 0 DDADMM Cc1ccc(CC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1F ZINC001179940049 779546169 /nfs/dbraw/zinc/54/61/69/779546169.db2.gz AMEMOCUJMPRKDH-UHFFFAOYSA-N -1 1 317.280 1.344 20 0 DDADMM C[C@H](NC(=O)c1c[nH]c2ccccc2c1=O)c1nn(C)cc1[O-] ZINC001179920018 779560889 /nfs/dbraw/zinc/56/08/89/779560889.db2.gz CVCZHMLWKOZVIB-VIFPVBQESA-N -1 1 312.329 1.458 20 0 DDADMM CCc1nsc(N[C@H](C)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001115719541 780375330 /nfs/dbraw/zinc/37/53/30/780375330.db2.gz STLHMMWOQDLDPD-SECBINFHSA-N -1 1 321.406 1.774 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCc1nncn1C ZINC001118316584 781090485 /nfs/dbraw/zinc/09/04/85/781090485.db2.gz DXJGGXUEURFGDH-UHFFFAOYSA-N -1 1 318.381 1.105 20 0 DDADMM CCCCNC(=O)[C@@H]1CC[C@H](C)N(Cc2cc(C(=O)[O-])n[nH]2)C1 ZINC001119539838 781501055 /nfs/dbraw/zinc/50/10/55/781501055.db2.gz JDKOMNUBCOENLB-NWDGAFQWSA-N -1 1 322.409 1.625 20 0 DDADMM CCCCNC(=O)[C@H]1CC[C@H](C)N(Cc2cc(C(=O)[O-])n[nH]2)C1 ZINC001119539840 781501309 /nfs/dbraw/zinc/50/13/09/781501309.db2.gz JDKOMNUBCOENLB-RYUDHWBXSA-N -1 1 322.409 1.625 20 0 DDADMM COCCCOC1CCN(Cc2ccc(C(=O)[O-])cn2)CC1 ZINC001120375329 781905250 /nfs/dbraw/zinc/90/52/50/781905250.db2.gz AMMFCWSOIZKAHQ-UHFFFAOYSA-N -1 1 308.378 1.797 20 0 DDADMM CN(CCNC(=O)[C@H]1CCC(C)(C)C1)C(=O)c1ncccc1[O-] ZINC001408683182 838446533 /nfs/dbraw/zinc/44/65/33/838446533.db2.gz VDBIRRZMYFZZQJ-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM CS[C@H](C)C(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001408813759 838916605 /nfs/dbraw/zinc/91/66/05/838916605.db2.gz NKFBEWLSARFSOM-MNOVXSKESA-N -1 1 323.418 1.260 20 0 DDADMM CCN(c1cccc(C)c1)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001414199991 839779554 /nfs/dbraw/zinc/77/95/54/839779554.db2.gz NPINNUZNRXVXLZ-UHFFFAOYSA-N -1 1 323.374 1.720 20 0 DDADMM CCN(c1cccc(C)c1)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001414199991 839779561 /nfs/dbraw/zinc/77/95/61/839779561.db2.gz NPINNUZNRXVXLZ-UHFFFAOYSA-N -1 1 323.374 1.720 20 0 DDADMM O=C(C[C@H]1C=CCCC1)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001269083315 841186784 /nfs/dbraw/zinc/18/67/84/841186784.db2.gz CRMFGHGGIYOFFS-WCQYABFASA-N -1 1 319.409 1.241 20 0 DDADMM CC[C@@H](C)N1CC[C@]2(CCN(C(=O)c3ccc([O-])cn3)C2)C1=O ZINC001269354297 841557150 /nfs/dbraw/zinc/55/71/50/841557150.db2.gz FWNKSIXQQVNYEO-PXAZEXFGSA-N -1 1 317.389 1.650 20 0 DDADMM CN1C[C@H]2C[C@@H](C1)N2C(=O)c1cc(Br)ccc1[O-] ZINC001269614689 841823588 /nfs/dbraw/zinc/82/35/88/841823588.db2.gz SDFKUPWMTQLOIQ-AOOOYVTPSA-N -1 1 311.179 1.683 20 0 DDADMM O=C([N-]c1n[nH]c(-c2ccncc2)n1)c1ncc(Cl)cn1 ZINC001142845853 861282511 /nfs/dbraw/zinc/28/25/11/861282511.db2.gz KEHUSSIJBGAIGS-UHFFFAOYSA-N -1 1 301.697 1.562 20 0 DDADMM CCN(C(=O)C12CC(NC(=O)c3ccc([O-])cn3)(C1)C2)C(C)C ZINC001270138921 842325372 /nfs/dbraw/zinc/32/53/72/842325372.db2.gz MHWAFNAXJNRPOB-UHFFFAOYSA-N -1 1 317.389 1.697 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]Cc1ccc(C)c(C)n1 ZINC001363941376 842879525 /nfs/dbraw/zinc/87/95/25/842879525.db2.gz PPIFCIYQIJBCIC-UHFFFAOYSA-N -1 1 313.404 1.642 20 0 DDADMM Cn1ccc2cc(C(=O)Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)ccc21 ZINC001149231096 861495163 /nfs/dbraw/zinc/49/51/63/861495163.db2.gz KOFJUHUTCKOAIB-UHFFFAOYSA-N -1 1 324.300 1.460 20 0 DDADMM CC[C@@H](C)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCCC1 ZINC001409452134 845121812 /nfs/dbraw/zinc/12/18/12/845121812.db2.gz LOIIMRJUXUVHME-GFCCVEGCSA-N -1 1 319.405 1.992 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnnc4ccccc43)c1-2 ZINC001149464658 861633575 /nfs/dbraw/zinc/63/35/75/861633575.db2.gz JERMZIAXGNLJBQ-UHFFFAOYSA-N -1 1 305.301 1.230 20 0 DDADMM CN1CC[C@@]2(CCCN2C(=O)c2cc([O-])cnc2Cl)C1=O ZINC001272386969 846091054 /nfs/dbraw/zinc/09/10/54/846091054.db2.gz TVDIWNIYMCWSAV-AWEZNQCLSA-N -1 1 309.753 1.278 20 0 DDADMM COc1cccc(CCNc2nc(C)cc3c2C(=O)[N-]C3=O)n1 ZINC001155241817 861888034 /nfs/dbraw/zinc/88/80/34/861888034.db2.gz KXZMQJJHGSYVNP-UHFFFAOYSA-N -1 1 312.329 1.332 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2[n-][nH]c3cc(=O)ccc2-3)on1 ZINC001155262031 861906840 /nfs/dbraw/zinc/90/68/40/861906840.db2.gz BKQGMGQKPUMMLW-MRVPVSSYSA-N -1 1 300.318 1.926 20 0 DDADMM Cc1cccnc1C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001410188011 848872273 /nfs/dbraw/zinc/87/22/73/848872273.db2.gz IHJAQDCYWRWAGB-LLVKDONJSA-N -1 1 314.345 1.039 20 0 DDADMM C[C@H](CNC(=O)[C@H](C)c1ccco1)NC(=O)c1ncccc1[O-] ZINC001410189999 848874613 /nfs/dbraw/zinc/87/46/13/848874613.db2.gz TWUPDZQXAFSYMX-GHMZBOCLSA-N -1 1 317.345 1.418 20 0 DDADMM CC(C)C[C@@H](C)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001410883408 849914084 /nfs/dbraw/zinc/91/40/84/849914084.db2.gz KXDRDLBBSFKCLL-GFCCVEGCSA-N -1 1 319.405 1.752 20 0 DDADMM CC(C)Oc1ccc([N-]S(=O)(=O)c2ccc(O)c(N)c2)cn1 ZINC001174764492 850940432 /nfs/dbraw/zinc/94/04/32/850940432.db2.gz PTUCNFUDPGJQCL-UHFFFAOYSA-N -1 1 323.374 1.957 20 0 DDADMM Nc1ncc(Nc2nc[n-]c(=O)c2Br)cc1F ZINC001249761699 850954045 /nfs/dbraw/zinc/95/40/45/850954045.db2.gz VLCFGFSGZFRBHT-UHFFFAOYSA-N -1 1 300.091 1.805 20 0 DDADMM O=S(=O)([N-][C@@H](CO)Cc1cccc(F)c1)c1nccs1 ZINC001187918149 851086477 /nfs/dbraw/zinc/08/64/77/851086477.db2.gz UAECWNMTTNDMIQ-LLVKDONJSA-N -1 1 316.379 1.164 20 0 DDADMM O=C([O-])CCCCN1CCn2ncc(Br)c2C1 ZINC001327538506 862250910 /nfs/dbraw/zinc/25/09/10/862250910.db2.gz SSHDTJVAJFVTEL-UHFFFAOYSA-N -1 1 302.172 1.716 20 0 DDADMM COCCOC1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)C1 ZINC001137138599 851723842 /nfs/dbraw/zinc/72/38/42/851723842.db2.gz IAUYQADMQFZCPS-UHFFFAOYSA-N -1 1 319.279 1.898 20 0 DDADMM CC(C)[C@@H](C(=O)[O-])C(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC001327598413 862306548 /nfs/dbraw/zinc/30/65/48/862306548.db2.gz LRUUEQGNLSBHGE-ZIAGYGMSSA-N -1 1 312.410 1.057 20 0 DDADMM Cc1ccc2ccc(C(=O)N3CC[C@@H](CO)[C@H](O)C3)c([O-])c2n1 ZINC001155653225 862343357 /nfs/dbraw/zinc/34/33/57/862343357.db2.gz BILOABSLKZKMAS-GXTWGEPZSA-N -1 1 316.357 1.064 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](OCC)C(C)C)nc2n1 ZINC001411493967 853220115 /nfs/dbraw/zinc/22/01/15/853220115.db2.gz XIXWPKPSKJSNTK-LBPRGKRZSA-N -1 1 321.381 1.370 20 0 DDADMM CCOC(=O)c1cc(C(=O)[N-]c2ncc(COC)s2)on1 ZINC001412112757 854224504 /nfs/dbraw/zinc/22/45/04/854224504.db2.gz APMLHUSJNHABHP-UHFFFAOYSA-N -1 1 311.319 1.707 20 0 DDADMM CCC(CC)(NC(=O)Cc1ccc(OC)c(O)c1)c1nn[n-]n1 ZINC001412112243 854224554 /nfs/dbraw/zinc/22/45/54/854224554.db2.gz RJWCBDZZMVWTCB-UHFFFAOYSA-N -1 1 319.365 1.288 20 0 DDADMM COC(=O)C(C)(C)c1csc(NC(=O)c2cnncc2[O-])n1 ZINC001412169813 854290113 /nfs/dbraw/zinc/29/01/13/854290113.db2.gz SLMZCTCSMQINSM-UHFFFAOYSA-N -1 1 322.346 1.342 20 0 DDADMM CSCC[C@@H](O)C(=O)Nc1cc(C(=O)OC(C)(C)C)[nH]n1 ZINC001412270506 854397469 /nfs/dbraw/zinc/39/74/69/854397469.db2.gz OWVWHHLHXOONAH-SECBINFHSA-N -1 1 315.395 1.418 20 0 DDADMM CCc1ncncc1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001412289293 854409604 /nfs/dbraw/zinc/40/96/04/854409604.db2.gz UUVWRVLFWHRHSC-UHFFFAOYSA-N -1 1 305.363 1.187 20 0 DDADMM COc1ccnc(OC)c1C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001412299228 854420647 /nfs/dbraw/zinc/42/06/47/854420647.db2.gz GLRHGMZITPIUPS-UHFFFAOYSA-N -1 1 317.227 1.488 20 0 DDADMM COC(=O)c1cccc(NC(=O)c2n[nH]c(C)c2[O-])c1OC ZINC001412308722 854431415 /nfs/dbraw/zinc/43/14/15/854431415.db2.gz KINGSIBBGASSNF-UHFFFAOYSA-N -1 1 305.290 1.471 20 0 DDADMM CN1CCCN(C(=O)c2ncc(Br)cc2[O-])CC1 ZINC001412362273 854475015 /nfs/dbraw/zinc/47/50/15/854475015.db2.gz OQEGLPBDUUSANZ-UHFFFAOYSA-N -1 1 314.183 1.327 20 0 DDADMM Cn1ncc(C(F)(F)F)c1CNC(=O)c1ccc([O-])cn1 ZINC001412369388 854482235 /nfs/dbraw/zinc/48/22/35/854482235.db2.gz NJIGCAJRMDUTPG-UHFFFAOYSA-N -1 1 300.240 1.470 20 0 DDADMM C[C@H]1C(=O)CC[C@@H](C(=O)NCc2nc([O-])cc(=O)[nH]2)C1(C)C ZINC001412376025 854486422 /nfs/dbraw/zinc/48/64/22/854486422.db2.gz MQXXRQBRGBCEEP-IUCAKERBSA-N -1 1 307.350 1.145 20 0 DDADMM NC(=O)c1cc2c([nH]1)CN(C(=O)c1cccc([O-])c1Cl)CC2 ZINC001276049715 854674602 /nfs/dbraw/zinc/67/46/02/854674602.db2.gz HOUMOXTVHDXRBF-UHFFFAOYSA-N -1 1 319.748 1.671 20 0 DDADMM CC1(C)Cc2cc(C(=O)NC3(c4nn[n-]n4)CCC3)ccc2O1 ZINC001412543233 854689660 /nfs/dbraw/zinc/68/96/60/854689660.db2.gz OIAUJROWEBVEMI-UHFFFAOYSA-N -1 1 313.361 1.722 20 0 DDADMM CCn1cccc(NC(=O)c2c[n-]c3ccccc3c2=O)c1=O ZINC001276228815 855011409 /nfs/dbraw/zinc/01/14/09/855011409.db2.gz MNKIXFWLBNNXMO-UHFFFAOYSA-N -1 1 309.325 1.962 20 0 DDADMM NC(=O)[C@@H]1CCC[C@]12CCN(C(=O)c1ccc(F)c(=O)[n-]1)C2 ZINC001276302908 855498913 /nfs/dbraw/zinc/49/89/13/855498913.db2.gz KXEZOFUXFAWJBT-BJOHPYRUSA-N -1 1 307.325 1.044 20 0 DDADMM CSc1ncc(C(=O)N[C@H](C)[C@@H](O)c2ccccc2)c(=O)[n-]1 ZINC001413063119 856307863 /nfs/dbraw/zinc/30/78/63/856307863.db2.gz VPCDQYIEHMQDNW-BXKDBHETSA-N -1 1 319.386 1.756 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cnc2ccncc2c1 ZINC001151449768 862785040 /nfs/dbraw/zinc/78/50/40/862785040.db2.gz STBHVDOXNSZHBQ-UHFFFAOYSA-N -1 1 307.273 1.254 20 0 DDADMM O=C([N-]CCNc1ccc(N2CCCCC2)nn1)C(F)(F)F ZINC001156197410 862801366 /nfs/dbraw/zinc/80/13/66/862801366.db2.gz WSOHXLRXPRIPQD-UHFFFAOYSA-N -1 1 317.315 1.557 20 0 DDADMM COC(=O)c1cc(C)cc(NCC[N-]C(=O)C(F)(F)F)n1 ZINC001156203614 862814035 /nfs/dbraw/zinc/81/40/35/862814035.db2.gz TYTCAUHHAKTKKE-UHFFFAOYSA-N -1 1 305.256 1.267 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H](C)C1CC1)c1cccs1 ZINC001413289265 856611997 /nfs/dbraw/zinc/61/19/97/856611997.db2.gz OCTGLHNMLQFARB-CABZTGNLSA-N -1 1 317.432 1.928 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC1([C@H](O)C(C)C)CC1 ZINC001413323043 856649556 /nfs/dbraw/zinc/64/95/56/856649556.db2.gz QILLJRQJRURGQM-SNVBAGLBSA-N -1 1 320.436 1.227 20 0 DDADMM CCCC[C@@H](C)N(C)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001413358316 856710791 /nfs/dbraw/zinc/71/07/91/856710791.db2.gz LIQBDSXRKLWEBF-SECBINFHSA-N -1 1 303.384 1.396 20 0 DDADMM CCCC[C@@H](C)N(C)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001413358316 856710796 /nfs/dbraw/zinc/71/07/96/856710796.db2.gz LIQBDSXRKLWEBF-SECBINFHSA-N -1 1 303.384 1.396 20 0 DDADMM COc1cccc(OCC(=O)N(Cc2nn[n-]n2)CC(C)C)c1 ZINC001413501992 856895731 /nfs/dbraw/zinc/89/57/31/856895731.db2.gz HKVNWLLBAVFHRN-UHFFFAOYSA-N -1 1 319.365 1.272 20 0 DDADMM COc1cccc(C(F)(F)C(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001413505001 856901796 /nfs/dbraw/zinc/90/17/96/856901796.db2.gz IFMMGRANZJDPKZ-UHFFFAOYSA-N -1 1 323.303 1.496 20 0 DDADMM CCOc1ccc(CCC(=O)NC2(c3nn[n-]n3)CCC2)cc1 ZINC001413512512 856914155 /nfs/dbraw/zinc/91/41/55/856914155.db2.gz XCEMOIKKSDWSJV-UHFFFAOYSA-N -1 1 315.377 1.727 20 0 DDADMM O=C(N[C@@H]1Cc2cnccc2NC1=O)c1ccc(Cl)cc1[O-] ZINC001413513939 856917172 /nfs/dbraw/zinc/91/71/72/856917172.db2.gz SVYTUXYQGFPZEF-GFCCVEGCSA-N -1 1 317.732 1.734 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc2n[nH]cc2c1F ZINC001151833658 862994900 /nfs/dbraw/zinc/99/49/00/862994900.db2.gz BJAYRNHMNDGEFM-UHFFFAOYSA-N -1 1 318.224 1.553 20 0 DDADMM COC(=O)[C@@H](CNC(=O)c1ccc(F)c([O-])c1)[C@@H]1CCOC1 ZINC001413809361 858556133 /nfs/dbraw/zinc/55/61/33/858556133.db2.gz QEHYVJOQIJKYMD-MNOVXSKESA-N -1 1 311.309 1.087 20 0 DDADMM O=C(c1cccc2cc[nH]c21)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001123910013 859467089 /nfs/dbraw/zinc/46/70/89/859467089.db2.gz DERSSZVDHIVXKV-LLVKDONJSA-N -1 1 310.361 1.776 20 0 DDADMM O=C(c1cc(C2CC2)cnc1Cl)N1CC[C@H](c2nn[n-]n2)C1 ZINC001123961936 859499628 /nfs/dbraw/zinc/49/96/28/859499628.db2.gz PCBMPWXGXWIMHZ-VIFPVBQESA-N -1 1 318.768 1.755 20 0 DDADMM C[C@H](c1ccccn1)N1CCC[C@H](OCCS(=O)(=O)[O-])C1 ZINC001224571899 881454518 /nfs/dbraw/zinc/45/45/18/881454518.db2.gz PUKKCKJXHVMCDG-OLZOCXBDSA-N -1 1 314.407 1.511 20 0 DDADMM O=C(CSc1n[nH]c(=O)[n-]1)Nc1ccccc1-c1nnc[nH]1 ZINC001137630467 859954018 /nfs/dbraw/zinc/95/40/18/859954018.db2.gz ZDAYPCTXGIBDJH-UHFFFAOYSA-N -1 1 317.334 1.026 20 0 DDADMM O=C(Nc1cncc(F)c1)c1ccc(-n2[n-]c(=O)[nH]c2=O)cc1 ZINC001139438483 860401789 /nfs/dbraw/zinc/40/17/89/860401789.db2.gz STKCMNJPTWOJJR-UHFFFAOYSA-N -1 1 315.264 1.053 20 0 DDADMM O=C([O-])c1ccccc1CN1Cc2ccnn2CC[C@@H]1CO ZINC001140504312 860648216 /nfs/dbraw/zinc/64/82/16/860648216.db2.gz OOHUONFNFRMRBU-CQSZACIVSA-N -1 1 301.346 1.348 20 0 DDADMM CC(C)NC(=O)[C@@H]1C[C@@H]2[C@@H](CCN2Cc2ccc(C(=O)[O-])o2)O1 ZINC001140945724 860743171 /nfs/dbraw/zinc/74/31/71/860743171.db2.gz DYWRRSCQYLZQJF-BZPMIXESSA-N -1 1 322.361 1.234 20 0 DDADMM CC1(C)CN(C2CN(Cc3cc(F)c([O-])c(F)c3)C2)CCO1 ZINC001140991550 860753206 /nfs/dbraw/zinc/75/32/06/860753206.db2.gz SUIPEOGHOAXHPZ-UHFFFAOYSA-N -1 1 312.360 1.965 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnc3cccnc32)n1 ZINC001154299712 860963201 /nfs/dbraw/zinc/96/32/01/860963201.db2.gz ZRTVNHXDQYQHLG-UHFFFAOYSA-N -1 1 311.301 1.782 20 0 DDADMM CCCCCC(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001326191337 861177159 /nfs/dbraw/zinc/17/71/59/861177159.db2.gz PZHFAKFHLKRDCT-LBPRGKRZSA-N -1 1 309.414 1.419 20 0 DDADMM CCCCCC(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001326191336 861177757 /nfs/dbraw/zinc/17/77/57/861177757.db2.gz PZHFAKFHLKRDCT-GFCCVEGCSA-N -1 1 309.414 1.419 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1cnn2cc(F)ccc12 ZINC001156932313 863457236 /nfs/dbraw/zinc/45/72/36/863457236.db2.gz PXIGFBKXAWOZMX-UHFFFAOYSA-N -1 1 318.224 1.325 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cnn2cc(F)ccc12 ZINC001156937016 863461934 /nfs/dbraw/zinc/46/19/34/863461934.db2.gz BORDSBQIKGAFTP-UHFFFAOYSA-N -1 1 313.252 1.098 20 0 DDADMM O=C(N[C@@H]1CCc2n[nH]cc2C1)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681880 863492326 /nfs/dbraw/zinc/49/23/26/863492326.db2.gz RQEDJZNUAGYAMP-SNVBAGLBSA-N -1 1 324.340 1.656 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc3c[nH]nc3cn2)n1 ZINC001153250981 863785632 /nfs/dbraw/zinc/78/56/32/863785632.db2.gz RFGBMLMIYPPEIR-UHFFFAOYSA-N -1 1 300.278 1.110 20 0 DDADMM O=c1[n-]c(CNC(=S)NCC2CCC2)nc2c1COCC2 ZINC001329708820 863803591 /nfs/dbraw/zinc/80/35/91/863803591.db2.gz HTEKRAVADDKSLZ-UHFFFAOYSA-N -1 1 308.407 1.019 20 0 DDADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)c1ccc2c(c1)OCC2 ZINC001153354028 863845248 /nfs/dbraw/zinc/84/52/48/863845248.db2.gz UGWCYMPPNNMJRA-UHFFFAOYSA-N -1 1 302.246 1.473 20 0 DDADMM Cc1ccccc1C(C)(C)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001153649228 863999230 /nfs/dbraw/zinc/99/92/30/863999230.db2.gz LNGNZXVXOZVWMF-UHFFFAOYSA-N -1 1 317.393 1.002 20 0 DDADMM C[C@@H](CN(C)C(=O)Cc1cncc2ccccc21)c1nn[n-]n1 ZINC001330247574 864188015 /nfs/dbraw/zinc/18/80/15/864188015.db2.gz JUJHXGWGUXOJHP-NSHDSACASA-N -1 1 310.361 1.553 20 0 DDADMM C[C@H](CN(C)C(=O)Cc1cncc2ccccc21)c1nn[n-]n1 ZINC001330247573 864188331 /nfs/dbraw/zinc/18/83/31/864188331.db2.gz JUJHXGWGUXOJHP-LLVKDONJSA-N -1 1 310.361 1.553 20 0 DDADMM Cc1cc2c(NCc3nc4c(c(=O)[n-]3)COCC4)nccn2n1 ZINC001330353069 864266118 /nfs/dbraw/zinc/26/61/18/864266118.db2.gz GAIHPUKYYMNGSS-UHFFFAOYSA-N -1 1 312.333 1.218 20 0 DDADMM O=C(N[C@H]1CN(c2ccc(F)cc2)C1=O)c1ccc(F)c([O-])c1 ZINC001154032820 864344735 /nfs/dbraw/zinc/34/47/35/864344735.db2.gz QOJBVTIBNCHSTE-ZDUSSCGKSA-N -1 1 318.279 1.816 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCc1nc(-c2ccccn2)no1 ZINC001330819402 864631157 /nfs/dbraw/zinc/63/11/57/864631157.db2.gz ZJAKPUJMSIECOS-UHFFFAOYSA-N -1 1 314.305 1.440 20 0 DDADMM Cc1cc2c(c(N[C@@H]3CCc4ccccc4[C@@H]3O)n1)C(=O)[N-]C2=O ZINC001158687545 864816720 /nfs/dbraw/zinc/81/67/20/864816720.db2.gz YNKDVTIBTWBHGE-HIFRSBDPSA-N -1 1 323.352 1.734 20 0 DDADMM CC(C)=CCOc1cccc(CC(=O)N(C)c2nn[n-]n2)c1 ZINC001331464085 865103279 /nfs/dbraw/zinc/10/32/79/865103279.db2.gz XOXLLOGSLVDRCG-UHFFFAOYSA-N -1 1 301.350 1.750 20 0 DDADMM C=C(OCC)c1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nn1 ZINC001159186697 865154313 /nfs/dbraw/zinc/15/43/13/865154313.db2.gz XTYPUTVWGSWKFI-UHFFFAOYSA-N -1 1 315.293 1.257 20 0 DDADMM Cc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc(C(C)(C)C)n1 ZINC001159196033 865160446 /nfs/dbraw/zinc/16/04/46/865160446.db2.gz UYFOXIDCAAIQEE-UHFFFAOYSA-N -1 1 315.337 1.855 20 0 DDADMM COc1nc(Cl)nc(C)c1Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001159198366 865162446 /nfs/dbraw/zinc/16/24/46/865162446.db2.gz NEFLBBNUIBDQOD-UHFFFAOYSA-N -1 1 307.701 1.563 20 0 DDADMM O=C([O-])Cn1cc(CNCCc2ccc(Cl)s2)nn1 ZINC001331943922 865449146 /nfs/dbraw/zinc/44/91/46/865449146.db2.gz RVICYBAVFUTFSM-UHFFFAOYSA-N -1 1 300.771 1.410 20 0 DDADMM C[C@H](O)[C@H](NCc1cc(Br)cnc1Cl)C(=O)[O-] ZINC001332017659 865505894 /nfs/dbraw/zinc/50/58/94/865505894.db2.gz OVWIICXFJIYUMJ-XNCJUZBTSA-N -1 1 323.574 1.421 20 0 DDADMM Cc1cc2c(c(NC[C@H]3CCOC(C)(C)C3)n1)C(=O)[N-]C2=O ZINC001161429702 866657748 /nfs/dbraw/zinc/65/77/48/866657748.db2.gz XUICHEMPWWYGRP-JTQLQIEISA-N -1 1 303.362 1.891 20 0 DDADMM Cc1cn(CC(=O)OCc2nc(=O)n(C)[n-]2)c2ccccc12 ZINC001320378144 866689798 /nfs/dbraw/zinc/68/97/98/866689798.db2.gz GUDFJWCHZVMBNG-UHFFFAOYSA-N -1 1 300.318 1.115 20 0 DDADMM COCCOCC(=O)Nc1ccc(Br)c([O-])c1 ZINC001320674449 866936773 /nfs/dbraw/zinc/93/67/73/866936773.db2.gz AXRYWXZKMAVTQG-UHFFFAOYSA-N -1 1 304.140 1.756 20 0 DDADMM CCN(C(=O)c1ccc(F)c([O-])c1)[C@@H]1CCS(=O)(=O)C1 ZINC001361626482 882038002 /nfs/dbraw/zinc/03/80/02/882038002.db2.gz QGAHCEUOESVTAC-SNVBAGLBSA-N -1 1 301.339 1.181 20 0 DDADMM COCc1nocc1C(=O)[N-]c1n[nH]c(-c2ccccn2)n1 ZINC001324037810 867025935 /nfs/dbraw/zinc/02/59/35/867025935.db2.gz WOFBGDPYWVIEPO-UHFFFAOYSA-N -1 1 300.278 1.253 20 0 DDADMM CCOCC[C@@H](NCc1cn(-c2ccc(F)cc2)nn1)C(=O)[O-] ZINC001334070281 867278208 /nfs/dbraw/zinc/27/82/08/867278208.db2.gz HXTPIDXUCBBVOR-CQSZACIVSA-N -1 1 322.340 1.376 20 0 DDADMM CCOC(=O)c1nc(NC(=O)C[C@H]2CCCOC2)[n-]c1Cl ZINC001361642769 882070683 /nfs/dbraw/zinc/07/06/83/882070683.db2.gz UZQYNWDPUVVREQ-MRVPVSSYSA-N -1 1 315.757 1.995 20 0 DDADMM COC1([C@@H](C)NC(=O)c2cc(C)cc(C=O)c2[O-])CCOCC1 ZINC001162191026 867328607 /nfs/dbraw/zinc/32/86/07/867328607.db2.gz JYMUSKOIFLRCER-GFCCVEGCSA-N -1 1 321.373 1.827 20 0 DDADMM O=C(NC1CC1)c1cccc(CNC(=O)c2cnncc2O)c1 ZINC001361658934 882103251 /nfs/dbraw/zinc/10/32/51/882103251.db2.gz AJCIBKMPTWFKPC-UHFFFAOYSA-N -1 1 312.329 1.004 20 0 DDADMM O=C(NC1CC1)c1cccc(CNC(=O)c2cnncc2[O-])c1 ZINC001361658934 882103256 /nfs/dbraw/zinc/10/32/56/882103256.db2.gz AJCIBKMPTWFKPC-UHFFFAOYSA-N -1 1 312.329 1.004 20 0 DDADMM CCc1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)nn1C ZINC001325133277 867804277 /nfs/dbraw/zinc/80/42/77/867804277.db2.gz BSNCWPDYGSUIEA-UHFFFAOYSA-N -1 1 307.272 1.208 20 0 DDADMM C[C@@H]1C(=O)Nc2cc(NCC[N-]C(=O)C(F)(F)F)ccc21 ZINC001164244001 869050926 /nfs/dbraw/zinc/05/09/26/869050926.db2.gz PMQKMCIITYJDLA-ZETCQYMHSA-N -1 1 301.268 1.833 20 0 DDADMM CN1CCc2cc(NCC[N-]C(=O)C(F)(F)F)ccc2C1=O ZINC001164250822 869058598 /nfs/dbraw/zinc/05/85/98/869058598.db2.gz AXIXKWDKQBMAFK-UHFFFAOYSA-N -1 1 315.295 1.405 20 0 DDADMM O=C([N-]CCNc1cccc2c1NC(=O)CO2)C(F)(F)F ZINC001164251197 869059122 /nfs/dbraw/zinc/05/91/22/869059122.db2.gz PVWUZIQLTBBDOD-UHFFFAOYSA-N -1 1 303.240 1.108 20 0 DDADMM CCCCO[C@@H](C)C(=O)N[C@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC001166125794 869849209 /nfs/dbraw/zinc/84/92/09/869849209.db2.gz CYMUJWCFBARYEE-ZJUUUORDSA-N -1 1 312.370 1.364 20 0 DDADMM CCCCO[C@@H](C)C(=O)N[C@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC001166125794 869849183 /nfs/dbraw/zinc/84/91/83/869849183.db2.gz CYMUJWCFBARYEE-ZJUUUORDSA-N -1 1 312.370 1.364 20 0 DDADMM CCCCO[C@@H](C)C(=O)N[C@H](C)c1nnc(C(=O)OCC)[n-]1 ZINC001166125794 869849199 /nfs/dbraw/zinc/84/91/99/869849199.db2.gz CYMUJWCFBARYEE-ZJUUUORDSA-N -1 1 312.370 1.364 20 0 DDADMM C/C=C(/C)C(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C(C)C ZINC001297961992 870218419 /nfs/dbraw/zinc/21/84/19/870218419.db2.gz QIARHRPLXKLFBG-DKRCXCIFSA-N -1 1 305.378 1.624 20 0 DDADMM COCCOC[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001339085502 870337873 /nfs/dbraw/zinc/33/78/73/870337873.db2.gz FRUZSWCICFMWFZ-LLVKDONJSA-N -1 1 321.377 1.185 20 0 DDADMM C[C@@H](CN1CCOCC1)C(=O)NCCCCCCC(=O)[O-] ZINC001339509774 870533866 /nfs/dbraw/zinc/53/38/66/870533866.db2.gz PDGUDDGCWQJGKE-ZDUSSCGKSA-N -1 1 300.399 1.106 20 0 DDADMM Cc1ncc(CN(C)c2nnc(-c3nc(Cl)n[n-]3)n2C)s1 ZINC001339537442 870544762 /nfs/dbraw/zinc/54/47/62/870544762.db2.gz RJPBOVNVNYALPY-UHFFFAOYSA-N -1 1 324.801 1.655 20 0 DDADMM Cn1nc2ccccc2c1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001339723023 870657683 /nfs/dbraw/zinc/65/76/83/870657683.db2.gz PELAFJSXRXDCNL-UHFFFAOYSA-N -1 1 311.349 1.106 20 0 DDADMM O=C(CC1(C(=O)[N-]OCC2CCC2)CCCC1)N1CCOCC1 ZINC001301103004 870973717 /nfs/dbraw/zinc/97/37/17/870973717.db2.gz QWZQLFQXLMVNEN-UHFFFAOYSA-N -1 1 324.421 1.644 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1ccc2cccnc2c1 ZINC001303201004 871108594 /nfs/dbraw/zinc/10/85/94/871108594.db2.gz JCPIJGKTMOQCNN-UHFFFAOYSA-N -1 1 324.388 1.975 20 0 DDADMM O=C(/C=C\SCc1ccco1)NC1(c2nn[n-]n2)CCC1 ZINC001303222798 871111503 /nfs/dbraw/zinc/11/15/03/871111503.db2.gz ZWYZSQUPDRHRFM-YWEYNIOJSA-N -1 1 305.363 1.735 20 0 DDADMM COC[C@@H](NC(=O)c1cc2cc(F)ccc2s1)c1nn[n-]n1 ZINC001303578030 871140167 /nfs/dbraw/zinc/14/01/67/871140167.db2.gz IDLQLTIBFBRJJL-SECBINFHSA-N -1 1 321.337 1.671 20 0 DDADMM Cn1nccc1[C@H](O)CNC(=O)c1cc2ccccc2cc1[O-] ZINC001304014819 871179375 /nfs/dbraw/zinc/17/93/75/871179375.db2.gz ZCTPTWPEDBSUGR-MRXNPFEDSA-N -1 1 311.341 1.742 20 0 DDADMM Cc1cccc(-c2cccc(C(=O)NN3CC(=O)[N-]C3=O)c2)c1 ZINC001306327300 871339079 /nfs/dbraw/zinc/33/90/79/871339079.db2.gz CTSSDGYKGNBMCJ-UHFFFAOYSA-N -1 1 309.325 1.859 20 0 DDADMM COc1cc(NC(=O)c2cnncc2[O-])ccc1NC(C)=O ZINC001361854100 882503410 /nfs/dbraw/zinc/50/34/10/882503410.db2.gz KWHWIMXXJDOIDZ-UHFFFAOYSA-N -1 1 302.290 1.402 20 0 DDADMM COc1ccc(C(N)=O)cc1-c1nc(C)cc2c1C(=O)[N-]C2=O ZINC001205197255 871423351 /nfs/dbraw/zinc/42/33/51/871423351.db2.gz RSKJKACBAXGAMS-UHFFFAOYSA-N -1 1 311.297 1.048 20 0 DDADMM CCSCC[C@H](C)N(C)c1nnc(Cc2nnn[n-]2)n1CC ZINC001340950165 871438878 /nfs/dbraw/zinc/43/88/78/871438878.db2.gz MQZACJOCYCWRIY-JTQLQIEISA-N -1 1 324.458 1.370 20 0 DDADMM CCSCC[C@H](C)N(C)c1nnc(Cc2nn[n-]n2)n1CC ZINC001340950165 871438886 /nfs/dbraw/zinc/43/88/86/871438886.db2.gz MQZACJOCYCWRIY-JTQLQIEISA-N -1 1 324.458 1.370 20 0 DDADMM CCn1c(Cc2nnn[n-]2)nnc1N1CCc2cc(C)ccc21 ZINC001341051059 871480687 /nfs/dbraw/zinc/48/06/87/871480687.db2.gz GETLEDXWZMNYNY-UHFFFAOYSA-N -1 1 310.365 1.405 20 0 DDADMM CCn1c(Cc2nn[n-]n2)nnc1N1CCc2cc(C)ccc21 ZINC001341051059 871480705 /nfs/dbraw/zinc/48/07/05/871480705.db2.gz GETLEDXWZMNYNY-UHFFFAOYSA-N -1 1 310.365 1.405 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1CCCN(C(=O)c2ccccc2)C1 ZINC001413842987 871754302 /nfs/dbraw/zinc/75/43/02/871754302.db2.gz FIPGVYKMRIEXMO-GFCCVEGCSA-N -1 1 314.345 1.606 20 0 DDADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1CCCN1C(=O)OCC(F)(F)F ZINC001413844694 871890362 /nfs/dbraw/zinc/89/03/62/871890362.db2.gz JIKUBDLOEDLGPI-ZETCQYMHSA-N -1 1 322.243 1.217 20 0 DDADMM COC(=O)c1ncc(Cl)nc1[N-]c1cnn2cccnc12 ZINC001205959052 871955541 /nfs/dbraw/zinc/95/55/41/871955541.db2.gz QKVCFIVJDLRILJ-UHFFFAOYSA-N -1 1 304.697 1.703 20 0 DDADMM CCN(CCCNC(=O)[C@H]1CC[C@H](C)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001316907822 872312142 /nfs/dbraw/zinc/31/21/42/872312142.db2.gz SMWLTRXFXIKPGZ-JOCQHMNTSA-N -1 1 323.441 1.665 20 0 DDADMM Nc1cn[n-]c(=NNC(=S)NC2CCCCC2)c1Cl ZINC001319278407 872531822 /nfs/dbraw/zinc/53/18/22/872531822.db2.gz OQUYRDYVJXOTBT-UHFFFAOYSA-N -1 1 300.819 1.836 20 0 DDADMM CC(C)Cn1c(-c2nnn[n-]2)nnc1N(C)Cc1cccs1 ZINC001343696062 872722108 /nfs/dbraw/zinc/72/21/08/872722108.db2.gz XHLZAFYTGVFGFD-UHFFFAOYSA-N -1 1 318.410 1.812 20 0 DDADMM CC(C)Cn1c(-c2nn[n-]n2)nnc1N(C)Cc1cccs1 ZINC001343696062 872722121 /nfs/dbraw/zinc/72/21/21/872722121.db2.gz XHLZAFYTGVFGFD-UHFFFAOYSA-N -1 1 318.410 1.812 20 0 DDADMM Cc1c([C@@H](C)NC(=O)c2c(C)[nH]c(=O)[n-]c2=S)cnn1C ZINC001346162857 873629163 /nfs/dbraw/zinc/62/91/63/873629163.db2.gz CBNOVAMVXHJYSM-ZCFIWIBFSA-N -1 1 307.379 1.312 20 0 DDADMM CCc1c(C)nc2n(c1=O)CCC[C@H]2Oc1cnc[n-]c1=O ZINC001227048169 882793988 /nfs/dbraw/zinc/79/39/88/882793988.db2.gz AAXNTMYKIBXHMY-LLVKDONJSA-N -1 1 302.334 1.524 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)CCC1CCOCC1 ZINC001348103397 874360541 /nfs/dbraw/zinc/36/05/41/874360541.db2.gz ZMHVXAAYHJBRPD-UHFFFAOYSA-N -1 1 311.407 1.668 20 0 DDADMM O=Cc1cc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)ccc1F ZINC001348167838 874395393 /nfs/dbraw/zinc/39/53/93/874395393.db2.gz YVUWOXQXPCZXCT-SNVBAGLBSA-N -1 1 317.324 1.246 20 0 DDADMM CC(C)=C(C)CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209062580 874651471 /nfs/dbraw/zinc/65/14/71/874651471.db2.gz XFHWVWAATWFGPG-LBPRGKRZSA-N -1 1 307.398 1.337 20 0 DDADMM CC(=Cc1ccccn1)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001349209995 875036053 /nfs/dbraw/zinc/03/60/53/875036053.db2.gz DHQPGQSJFCFFAJ-SRXBQZRASA-N -1 1 312.377 1.479 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[C@@H]2OCCC[C@@H]2C1 ZINC001349331904 875115383 /nfs/dbraw/zinc/11/53/83/875115383.db2.gz VRVQJBTZVNDETC-ZJUUUORDSA-N -1 1 309.391 1.420 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2cc(N)ccc2N)cc1CO ZINC001210252114 875288987 /nfs/dbraw/zinc/28/89/87/875288987.db2.gz JSLWWNFAXAFZPC-UHFFFAOYSA-N -1 1 307.375 1.453 20 0 DDADMM COC(=O)[N-]C(=S)N(c1ccn(C)n1)c1ccccc1N ZINC001210358261 875346938 /nfs/dbraw/zinc/34/69/38/875346938.db2.gz RTFIVCUWYKYUDJ-UHFFFAOYSA-N -1 1 305.363 1.781 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H](C)c1ccncc1 ZINC001350038041 875510274 /nfs/dbraw/zinc/51/02/74/875510274.db2.gz KXAFDPXRIYTSAA-QMMMGPOBSA-N -1 1 304.375 1.708 20 0 DDADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](F)C(C)C ZINC001378876093 875823916 /nfs/dbraw/zinc/82/39/16/875823916.db2.gz UNUPGVKACNUHPQ-PWSUYJOCSA-N -1 1 315.393 1.125 20 0 DDADMM CN1C(=O)CCc2cc(NC(=O)CCCc3nn[n-]n3)ccc21 ZINC001362075641 882972458 /nfs/dbraw/zinc/97/24/58/882972458.db2.gz UJSRZXRVOOJQCQ-UHFFFAOYSA-N -1 1 314.349 1.070 20 0 DDADMM COc1cc(C(=O)[N-]c2nnc(-c3ccccn3)s2)ncn1 ZINC001350854436 875926404 /nfs/dbraw/zinc/92/64/04/875926404.db2.gz FHLYHLRNYQCNCB-UHFFFAOYSA-N -1 1 314.330 1.651 20 0 DDADMM COC(=O)c1cc(-c2nc(-c3ccc(OC)cc3)no2)[n-]n1 ZINC001213461492 875944170 /nfs/dbraw/zinc/94/41/70/875944170.db2.gz QLIHCVIGHJRYEK-UHFFFAOYSA-N -1 1 300.274 1.922 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1nccn1C(F)F ZINC001351082110 876047674 /nfs/dbraw/zinc/04/76/74/876047674.db2.gz YVWTVCDEBPZOKP-UHFFFAOYSA-N -1 1 315.305 1.301 20 0 DDADMM O=S(=O)([N-][C@@H]1CCC[C@@H]1O)c1ccc(Cl)nc1Cl ZINC000178642735 876224744 /nfs/dbraw/zinc/22/47/44/876224744.db2.gz WCCWWXGQCMYTHF-RQJHMYQMSA-N -1 1 311.190 1.580 20 0 DDADMM C[C@@H](CS(C)(=O)=O)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC001362095750 883023601 /nfs/dbraw/zinc/02/36/01/883023601.db2.gz JDYAZWFGAGFMBU-VIFPVBQESA-N -1 1 323.370 1.414 20 0 DDADMM CSc1nn(-c2c(Cl)ccc([O-])c2F)c(N)c1C(N)=O ZINC001214556062 876391094 /nfs/dbraw/zinc/39/10/94/876391094.db2.gz IONXMPDPXZYTHD-UHFFFAOYSA-N -1 1 316.745 1.773 20 0 DDADMM O=C(CNC(=O)Cc1ccc([O-])c(Cl)c1)Nc1cccnc1 ZINC001362098708 883033115 /nfs/dbraw/zinc/03/31/15/883033115.db2.gz IFNRAZDCHRCIGP-UHFFFAOYSA-N -1 1 319.748 1.738 20 0 DDADMM CN(CCCNC(=O)C1(CF)CCC1)C(=O)c1ncccc1[O-] ZINC001351917290 876514186 /nfs/dbraw/zinc/51/41/86/876514186.db2.gz BIYYGQDZNTYVHE-UHFFFAOYSA-N -1 1 323.368 1.505 20 0 DDADMM CC[C@@H](NC(=O)c1ccc([O-])cn1)c1nnc2n1CCCCC2 ZINC001362103043 883041783 /nfs/dbraw/zinc/04/17/83/883041783.db2.gz ZZWZHKKYQFHFAQ-GFCCVEGCSA-N -1 1 315.377 1.986 20 0 DDADMM CC[C@H](C)C(=O)N(CC)CCCNC(=O)c1ncccc1[O-] ZINC001352122242 876606563 /nfs/dbraw/zinc/60/65/63/876606563.db2.gz NWRFAQSBVIUVMT-LBPRGKRZSA-N -1 1 307.394 1.802 20 0 DDADMM CNC(=O)c1ccc(OC)c(Nc2c(N)[nH]c(=S)[n-]c2=O)c1 ZINC001215307129 876640550 /nfs/dbraw/zinc/64/05/50/876640550.db2.gz SRGBIKQTUJRIFL-UHFFFAOYSA-N -1 1 321.362 1.165 20 0 DDADMM CO[C@]1(CO)CCCN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC000401775640 876684988 /nfs/dbraw/zinc/68/49/88/876684988.db2.gz SAKVZTCEQUOYHI-MRXNPFEDSA-N -1 1 323.393 1.228 20 0 DDADMM CCn1c(-c2nnn[n-]2)nnc1N(C)Cc1ccc(F)c(F)c1 ZINC001352345716 876700654 /nfs/dbraw/zinc/70/06/54/876700654.db2.gz KFAIVIGGCHBZFU-UHFFFAOYSA-N -1 1 320.307 1.393 20 0 DDADMM CCn1c(-c2nn[n-]n2)nnc1N(C)Cc1ccc(F)c(F)c1 ZINC001352345716 876700665 /nfs/dbraw/zinc/70/06/65/876700665.db2.gz KFAIVIGGCHBZFU-UHFFFAOYSA-N -1 1 320.307 1.393 20 0 DDADMM C[C@H](CC(C)(C)C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001215792846 876807902 /nfs/dbraw/zinc/80/79/02/876807902.db2.gz HQSHGRWZDJQWAZ-IJLUTSLNSA-N -1 1 323.441 1.519 20 0 DDADMM CCOCCC1(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)CCCC1 ZINC001352585099 876817384 /nfs/dbraw/zinc/81/73/84/876817384.db2.gz NNMMKIDTIBBYCM-GFCCVEGCSA-N -1 1 307.398 1.503 20 0 DDADMM O=C(C=Cc1cccc(F)c1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001352793078 876933835 /nfs/dbraw/zinc/93/38/35/876933835.db2.gz FXBMLJKHRJNJPD-FMFIFOJESA-N -1 1 315.352 1.833 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CCC1CCCCC1 ZINC001379469391 877152767 /nfs/dbraw/zinc/15/27/67/877152767.db2.gz UHMWUZALVKZSRM-LLVKDONJSA-N -1 1 309.414 1.465 20 0 DDADMM CCC(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CCCC1 ZINC001379538907 877336185 /nfs/dbraw/zinc/33/61/85/877336185.db2.gz HQGLCCTURQVWOD-LBPRGKRZSA-N -1 1 305.378 1.602 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cnc3ccccn23)o1 ZINC001300434946 877624581 /nfs/dbraw/zinc/62/45/81/877624581.db2.gz MXIJSVPWJOFPQJ-UHFFFAOYSA-N -1 1 320.330 1.088 20 0 DDADMM COc1ccc(CNCCn2cc(C(=O)[O-])nn2)c(Cl)c1 ZINC001353952798 877660419 /nfs/dbraw/zinc/66/04/19/877660419.db2.gz SUGKNZNOGJJZNO-UHFFFAOYSA-N -1 1 310.741 1.428 20 0 DDADMM CC(=O)CCCC(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001395560191 912277150 /nfs/dbraw/zinc/27/71/50/912277150.db2.gz JYCXICOXSAWXOH-LLVKDONJSA-N -1 1 321.377 1.123 20 0 DDADMM CC(C)[C@H](F)C(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001395562374 912284337 /nfs/dbraw/zinc/28/43/37/912284337.db2.gz TYMFSLHHXKHDNG-JQWIXIFHSA-N -1 1 311.357 1.358 20 0 DDADMM Cn1nnc([N-]C(=O)c2cc(-c3cccc(Cl)c3)no2)n1 ZINC001355913273 878776155 /nfs/dbraw/zinc/77/61/55/878776155.db2.gz BHCYQJSRJCIUNT-UHFFFAOYSA-N -1 1 304.697 1.771 20 0 DDADMM Cc1nc(C(=O)N2CCN(CC(C)C)CC2)ccc1C(=O)[O-] ZINC000315400808 879070913 /nfs/dbraw/zinc/07/09/13/879070913.db2.gz QHSGBHHGFOIVHD-UHFFFAOYSA-N -1 1 305.378 1.502 20 0 DDADMM CN1CCN(C(=O)NCc2ccc(C(=O)[O-])cc2)CC1(C)C ZINC000316400980 879373271 /nfs/dbraw/zinc/37/32/71/879373271.db2.gz MFESRFHWLHDKFF-UHFFFAOYSA-N -1 1 305.378 1.620 20 0 DDADMM CCCCCC(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001358586118 880562808 /nfs/dbraw/zinc/56/28/08/880562808.db2.gz UQAQVCNGCMBSFO-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM COC(=O)[C@@H](Oc1[n-]c(=O)nc2c1CO[C@@H]2C)C(F)(F)F ZINC001227710074 883140892 /nfs/dbraw/zinc/14/08/92/883140892.db2.gz HXNZVZDTHFQRCM-CLZZGJSISA-N -1 1 308.212 1.256 20 0 DDADMM CN(C(=O)C1CCCCC1)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001382011710 883170338 /nfs/dbraw/zinc/17/03/38/883170338.db2.gz UIFSBJQWOIPJPC-ZDUSSCGKSA-N -1 1 321.425 1.417 20 0 DDADMM O=C(c1ccc(C2CC2)[nH]c1=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362208174 883302595 /nfs/dbraw/zinc/30/25/95/883302595.db2.gz LTUKESIYFUUYQI-SNVBAGLBSA-N -1 1 314.349 1.198 20 0 DDADMM O=C(Nc1nnc2n1CCCC2)c1cnc(C2CC2)[n-]c1=O ZINC001362265746 883440816 /nfs/dbraw/zinc/44/08/16/883440816.db2.gz BHHAWFYPBVGLOY-UHFFFAOYSA-N -1 1 300.322 1.240 20 0 DDADMM CC(C)C[C@H](C)CC(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001382148158 883516432 /nfs/dbraw/zinc/51/64/32/883516432.db2.gz JVBBPXPQIKAYHA-RYUDHWBXSA-N -1 1 311.430 1.519 20 0 DDADMM Cc1cc(F)ccc1C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001382160012 883541919 /nfs/dbraw/zinc/54/19/19/883541919.db2.gz NFQPISBZMGBIHK-JTQLQIEISA-N -1 1 321.356 1.208 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@H](C)c1ncccn1 ZINC001228551509 883546996 /nfs/dbraw/zinc/54/69/96/883546996.db2.gz TWHPAHFCELPUIZ-MRVPVSSYSA-N -1 1 306.347 1.872 20 0 DDADMM CCN1C(=O)CCc2cc(NC(=O)CCc3nn[n-]n3)ccc21 ZINC001362320423 883557145 /nfs/dbraw/zinc/55/71/45/883557145.db2.gz LEZCYROJAAHAHA-UHFFFAOYSA-N -1 1 314.349 1.070 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)C1CCCCCC1 ZINC001362325534 883569964 /nfs/dbraw/zinc/56/99/64/883569964.db2.gz NKTOYNXVUHERJW-VIFPVBQESA-N -1 1 309.366 1.985 20 0 DDADMM COC[C@H](C)OC[C@H](C)OC[C@@H](C)Oc1cnnc(=S)[n-]1 ZINC001228641518 883588975 /nfs/dbraw/zinc/58/89/75/883588975.db2.gz FQYFOZGDGKYFFB-GARJFASQSA-N -1 1 317.411 1.384 20 0 DDADMM Cc1oc(C(C)C)nc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001362371285 883662804 /nfs/dbraw/zinc/66/28/04/883662804.db2.gz RGMQDMREFBCWID-VIFPVBQESA-N -1 1 322.394 1.550 20 0 DDADMM CCn1cc(C(=O)NC(CC)(CC)c2nn[n-]n2)c(C2CC2)n1 ZINC001362425018 883779969 /nfs/dbraw/zinc/77/99/69/883779969.db2.gz MPYGLPIDAJKIFD-UHFFFAOYSA-N -1 1 317.397 1.739 20 0 DDADMM CCC1(O)CCC(NC(=O)c2cnc(SC)[n-]c2=O)CC1 ZINC001362428427 883788202 /nfs/dbraw/zinc/78/82/02/883788202.db2.gz YUAVJYVCDCGLKJ-UHFFFAOYSA-N -1 1 311.407 1.718 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@@H]1O)c1ccc([O-])cn1 ZINC001362435692 883802409 /nfs/dbraw/zinc/80/24/09/883802409.db2.gz JENBISKRKNZJHS-BXUZGUMPSA-N -1 1 306.362 1.085 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)[C@@H]1O)c1ccc([O-])cn1 ZINC001362435701 883802448 /nfs/dbraw/zinc/80/24/48/883802448.db2.gz JENBISKRKNZJHS-SMDDNHRTSA-N -1 1 306.362 1.085 20 0 DDADMM CCC(CC)(NC(=O)COCc1ccc(C)cc1)c1nn[n-]n1 ZINC001362493951 883934122 /nfs/dbraw/zinc/93/41/22/883934122.db2.gz DKMGULJXHIDBIV-UHFFFAOYSA-N -1 1 317.393 1.856 20 0 DDADMM CCc1cccc(OCC(=O)NC(CC)(CC)c2nn[n-]n2)c1 ZINC001362493967 883935178 /nfs/dbraw/zinc/93/51/78/883935178.db2.gz DSCSENALVNSRIQ-UHFFFAOYSA-N -1 1 317.393 1.973 20 0 DDADMM O=C(N[C@@H]1CS(=O)(=O)c2ccccc21)c1ccc(F)c([O-])c1 ZINC001362526006 884007606 /nfs/dbraw/zinc/00/76/06/884007606.db2.gz AGAPBKOJKXXYOR-GFCCVEGCSA-N -1 1 321.329 1.790 20 0 DDADMM CSc1ncc(C(=O)Nc2cccc3c2OCO3)c(=O)[n-]1 ZINC001362543201 884050583 /nfs/dbraw/zinc/05/05/83/884050583.db2.gz GBBCTIPIFCLPOK-UHFFFAOYSA-N -1 1 305.315 1.885 20 0 DDADMM CC[C@H](C)CCC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001229715574 884124587 /nfs/dbraw/zinc/12/45/87/884124587.db2.gz OABNMXADBPRDGX-LBPRGKRZSA-N -1 1 323.441 1.015 20 0 DDADMM COC(=O)C[C@@H]1CCC[C@@H](Oc2nc(=O)[n-]cc2C(=O)OC)C1 ZINC001229873211 884206596 /nfs/dbraw/zinc/20/65/96/884206596.db2.gz QQZZFFQCKWXLGV-NXEZZACHSA-N -1 1 324.333 1.470 20 0 DDADMM CCC(CC)(NC(=O)c1scnc1Cl)c1nn[n-]n1 ZINC001362633496 884275044 /nfs/dbraw/zinc/27/50/44/884275044.db2.gz RSGXNQSVUYBGHL-UHFFFAOYSA-N -1 1 300.775 1.755 20 0 DDADMM COc1c(=O)[n-]c(C)nc1OC1CCN(c2ncccn2)CC1 ZINC001230022548 884282556 /nfs/dbraw/zinc/28/25/56/884282556.db2.gz WEZHTQRYMQCVHI-UHFFFAOYSA-N -1 1 317.349 1.337 20 0 DDADMM CO[C@@H]1CCC[C@H]([C@@H](CO)NC(=O)c2cccc([O-])c2F)C1 ZINC001362655948 884336831 /nfs/dbraw/zinc/33/68/31/884336831.db2.gz GVICIGXIWSFVQC-DMDPSCGWSA-N -1 1 311.353 1.827 20 0 DDADMM NC(=O)Cc1ccc(CNC(=O)c2ccc(F)c([O-])c2)cc1 ZINC001362675710 884386583 /nfs/dbraw/zinc/38/65/83/884386583.db2.gz YVCDUUIEFPXRBO-UHFFFAOYSA-N -1 1 302.305 1.489 20 0 DDADMM O=C(NC1CCN([C@@H]2CCOC2=O)CC1)c1ccc(F)c([O-])c1 ZINC001362682193 884405195 /nfs/dbraw/zinc/40/51/95/884405195.db2.gz KEIKSMFGVJJGPK-CYBMUJFWSA-N -1 1 322.336 1.041 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1Cc2ccc(Cl)cc2C1 ZINC001362715844 884472864 /nfs/dbraw/zinc/47/28/64/884472864.db2.gz WOTQYXDMBSFPOO-UHFFFAOYSA-N -1 1 321.720 1.706 20 0 DDADMM C[C@@H](NC(=O)CCC1CC1)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001382745847 884614098 /nfs/dbraw/zinc/61/40/98/884614098.db2.gz JOONZKMRLFDAQI-GHMZBOCLSA-N -1 1 305.378 1.600 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CC[C@@H](O)[C@H](CO)C1 ZINC001362780066 884619418 /nfs/dbraw/zinc/61/94/18/884619418.db2.gz BUJXBCMSXMIJCW-XHDPSFHLSA-N -1 1 317.341 1.066 20 0 DDADMM CCC(CC)(NC(=O)c1cc(F)ccc1OC)c1nn[n-]n1 ZINC001362787080 884636751 /nfs/dbraw/zinc/63/67/51/884636751.db2.gz REFRTZOWEBVAPA-UHFFFAOYSA-N -1 1 307.329 1.793 20 0 DDADMM CC(C)(C)OC(=O)[C@]1(C)CCN(C(=O)CCCc2nn[n-]n2)C1 ZINC001362799582 884673230 /nfs/dbraw/zinc/67/32/30/884673230.db2.gz ORWGRVJRZOSCME-OAHLLOKOSA-N -1 1 323.397 1.103 20 0 DDADMM CC[C@@H](NC(=O)CCc1nn[n-]n1)c1ccc(OC)c(OC)c1 ZINC001362811962 884700102 /nfs/dbraw/zinc/70/01/02/884700102.db2.gz CMIFJFGFBWRLMY-LLVKDONJSA-N -1 1 319.365 1.417 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](OC)[C@H](C)CC)n[n-]1 ZINC001362937854 885034152 /nfs/dbraw/zinc/03/41/52/885034152.db2.gz QTCRYLXWRMBOEG-UTLUCORTSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](OC)[C@H](C)CC)[n-]1 ZINC001362937854 885034158 /nfs/dbraw/zinc/03/41/58/885034158.db2.gz QTCRYLXWRMBOEG-UTLUCORTSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](OC)[C@H](C)CC)n1 ZINC001362937854 885034163 /nfs/dbraw/zinc/03/41/63/885034163.db2.gz QTCRYLXWRMBOEG-UTLUCORTSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)COC2CCCC2)n[n-]1 ZINC001362940945 885038976 /nfs/dbraw/zinc/03/89/76/885038976.db2.gz WJMQCNDMHIFXRX-SECBINFHSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)COC2CCCC2)[n-]1 ZINC001362940945 885038986 /nfs/dbraw/zinc/03/89/86/885038986.db2.gz WJMQCNDMHIFXRX-SECBINFHSA-N -1 1 310.354 1.118 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)COC2CCCC2)n1 ZINC001362940945 885038992 /nfs/dbraw/zinc/03/89/92/885038992.db2.gz WJMQCNDMHIFXRX-SECBINFHSA-N -1 1 310.354 1.118 20 0 DDADMM COc1ccc(C(=O)NC(C)(C)c2ncc(OC)c(=O)[n-]2)o1 ZINC001362972464 885120159 /nfs/dbraw/zinc/12/01/59/885120159.db2.gz XXJUHDFDXJJWPD-UHFFFAOYSA-N -1 1 307.306 1.458 20 0 DDADMM Cc1nn(C)c(C)c1[C@H](O)CNC(=O)c1ccc(F)c([O-])c1 ZINC001363075625 885402098 /nfs/dbraw/zinc/40/20/98/885402098.db2.gz ZOZAVGDPAKSPLJ-CYBMUJFWSA-N -1 1 307.325 1.345 20 0 DDADMM COC(=O)C[C@H](C)CC(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001363138083 885558672 /nfs/dbraw/zinc/55/86/72/885558672.db2.gz FCMWPDQBTQYOTI-MRVPVSSYSA-N -1 1 313.379 1.110 20 0 DDADMM CCNC(=O)c1cc(NC(=O)c2n[nH]c(C)c2[O-])c(C)s1 ZINC001363163591 885617906 /nfs/dbraw/zinc/61/79/06/885617906.db2.gz VWBKBZZWBJMHNL-UHFFFAOYSA-N -1 1 308.363 1.796 20 0 DDADMM CC(=O)N1CCC[C@@H](N(C)Cc2cc(C(=O)[O-])ccc2F)C1 ZINC001231460589 885681773 /nfs/dbraw/zinc/68/17/73/885681773.db2.gz MPVYPEUDYHGTNA-CQSZACIVSA-N -1 1 308.353 1.967 20 0 DDADMM CC(C)(C)OC(=O)N1CCCCN1C(=O)CCCc1nn[n-]n1 ZINC001363194431 885684460 /nfs/dbraw/zinc/68/44/60/885684460.db2.gz KXPNJHOVDUFYDJ-UHFFFAOYSA-N -1 1 324.385 1.297 20 0 DDADMM O=C(N[C@H]1CC(=O)N(CC2CC2)C1)c1cc(Cl)ccc1[O-] ZINC001363242302 885801842 /nfs/dbraw/zinc/80/18/42/885801842.db2.gz XZDOQYLVMSXAOD-NSHDSACASA-N -1 1 308.765 1.786 20 0 DDADMM C[C@@]1(c2cccc(NC(=O)c3ccc([O-])cn3)c2)COC(=O)N1 ZINC001363246924 885810535 /nfs/dbraw/zinc/81/05/35/885810535.db2.gz AWIUZEZAMSCXRP-INIZCTEOSA-N -1 1 313.313 1.995 20 0 DDADMM O=C([C@H]1CC12CN(Cc1cc(F)c([O-])c(F)c1)C2)N1CC=CC1 ZINC001277565699 885871444 /nfs/dbraw/zinc/87/14/44/885871444.db2.gz ROIBEUBNXXAZJB-GFCCVEGCSA-N -1 1 320.339 1.891 20 0 DDADMM Cc1cccc(CO[C@@H](C)C(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC001363325137 886028291 /nfs/dbraw/zinc/02/82/91/886028291.db2.gz JWMIKNVDPMZTSU-NSHDSACASA-N -1 1 317.345 1.418 20 0 DDADMM C[C@@H](c1ccccc1)[C@](C)(O)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363332002 886048990 /nfs/dbraw/zinc/04/89/90/886048990.db2.gz ZABPEXKXBCPQKD-QFYYESIMSA-N -1 1 317.345 1.059 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1c(C2CCC2)cnn1C ZINC001363352971 886108870 /nfs/dbraw/zinc/10/88/70/886108870.db2.gz CYLURZCAAOLRDT-UHFFFAOYSA-N -1 1 317.397 1.499 20 0 DDADMM CC(=O)Nc1cccc(C(=O)NC2(c3nn[n-]n3)CCC2)c1C ZINC001363375845 886169637 /nfs/dbraw/zinc/16/96/37/886169637.db2.gz GVBAWCSMENXZCE-UHFFFAOYSA-N -1 1 314.349 1.276 20 0 DDADMM COC[C@H](NC(=O)c1ccc(SC(C)C)cc1)c1nn[n-]n1 ZINC001363438046 886335668 /nfs/dbraw/zinc/33/56/68/886335668.db2.gz TVQDWWFFWQINPX-LBPRGKRZSA-N -1 1 321.406 1.818 20 0 DDADMM COc1cc(C(N)=O)ccc1NC(=O)c1cc(F)ccc1[O-] ZINC001363440080 886340368 /nfs/dbraw/zinc/34/03/68/886340368.db2.gz IYCTZAGVEIPBOQ-UHFFFAOYSA-N -1 1 304.277 1.891 20 0 DDADMM Cc1cnc(CNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)nc1 ZINC001363449732 886369376 /nfs/dbraw/zinc/36/93/76/886369376.db2.gz OGLYGGDAJKFIIC-UHFFFAOYSA-N -1 1 301.350 1.642 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1ncc(C)cn1 ZINC001363452111 886379477 /nfs/dbraw/zinc/37/94/77/886379477.db2.gz SPVSUDRKKQWTND-UHFFFAOYSA-N -1 1 305.363 1.241 20 0 DDADMM COC[C@H](NC(=O)C[C@H]1CCCc2ccccc21)c1nn[n-]n1 ZINC001363584418 886695382 /nfs/dbraw/zinc/69/53/82/886695382.db2.gz FESOAOLRBOWNGH-OCCSQVGLSA-N -1 1 315.377 1.514 20 0 DDADMM O=C(N[C@@H]1C[C@@H](O)[C@@H](O)C1)c1ccc(C(F)(F)F)cc1[O-] ZINC001363596744 886726279 /nfs/dbraw/zinc/72/62/79/886726279.db2.gz JGKLCSUNIVYVTF-IJRMOIDVSA-N -1 1 305.252 1.025 20 0 DDADMM Cc1nc(C(C)C)ncc1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363727812 887080724 /nfs/dbraw/zinc/08/07/24/887080724.db2.gz WMRDGOXCTXTVBX-JTQLQIEISA-N -1 1 301.354 1.051 20 0 DDADMM C[C@]12CN(C(=O)c3ccc([O-])cc3F)C[C@H]1CS(=O)(=O)C2 ZINC001363865296 887424541 /nfs/dbraw/zinc/42/45/41/887424541.db2.gz KBCRVCPBAPXDMG-LKFCYVNXSA-N -1 1 313.350 1.038 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2coc(C3CC3)n2)n[n-]1 ZINC001363886720 887473192 /nfs/dbraw/zinc/47/31/92/887473192.db2.gz OUVYFJPQRHINBC-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2coc(C3CC3)n2)[n-]1 ZINC001363886720 887473197 /nfs/dbraw/zinc/47/31/97/887473197.db2.gz OUVYFJPQRHINBC-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2coc(C3CC3)n2)n1 ZINC001363886720 887473208 /nfs/dbraw/zinc/47/32/08/887473208.db2.gz OUVYFJPQRHINBC-SSDOTTSWSA-N -1 1 319.321 1.338 20 0 DDADMM CCCc1cc(C(=O)N[C@H](C)c2n[n-]c(C(=O)OCC)n2)[nH]n1 ZINC001363889483 887478098 /nfs/dbraw/zinc/47/80/98/887478098.db2.gz UXQUYKCUYDFSKP-MRVPVSSYSA-N -1 1 320.353 1.148 20 0 DDADMM CCCc1cc(C(=O)N[C@H](C)c2nc(C(=O)OCC)n[n-]2)[nH]n1 ZINC001363889483 887478104 /nfs/dbraw/zinc/47/81/04/887478104.db2.gz UXQUYKCUYDFSKP-MRVPVSSYSA-N -1 1 320.353 1.148 20 0 DDADMM Cc1nc([C@@](C)([N-]S(=O)(=O)c2ccns2)C2CC2)no1 ZINC001363918618 887539640 /nfs/dbraw/zinc/53/96/40/887539640.db2.gz UYKIOFBUAABXPI-NSHDSACASA-N -1 1 314.392 1.438 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1[C@H](C)[C@@H](OC)C1(C)C ZINC001363967422 887633009 /nfs/dbraw/zinc/63/30/09/887633009.db2.gz GOZLSFVKGHWJCT-FXBDTBDDSA-N -1 1 320.436 1.490 20 0 DDADMM C[C@@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)c1cc[nH]c1 ZINC001384544081 887657897 /nfs/dbraw/zinc/65/78/97/887657897.db2.gz WCMOZGXOKSHRCV-QWRGUYRKSA-N -1 1 316.361 1.442 20 0 DDADMM COC[C@H](NC(=O)c1csc2c1CC[C@H](C)C2)c1nn[n-]n1 ZINC001364020298 887736636 /nfs/dbraw/zinc/73/66/36/887736636.db2.gz CZCLRJVIMWGQQA-KWQFWETISA-N -1 1 321.406 1.504 20 0 DDADMM Cc1ccc(N2C[C@H](C(=O)Nc3c[n-][nH]c3=O)CC2=O)cc1F ZINC001364087267 887883754 /nfs/dbraw/zinc/88/37/54/887883754.db2.gz CGWUACJSXFUQJQ-SECBINFHSA-N -1 1 318.308 1.554 20 0 DDADMM CCc1ccc(NC(=O)N2CCC(c3nn[n-]n3)CC2)nc1 ZINC001364156974 888042258 /nfs/dbraw/zinc/04/22/58/888042258.db2.gz HNVFSIRKZFFWQT-UHFFFAOYSA-N -1 1 301.354 1.569 20 0 DDADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@H](C)c1cn(C)nc1C ZINC001234557213 888089739 /nfs/dbraw/zinc/08/97/39/888089739.db2.gz GVQGKJNODMCYIM-MRVPVSSYSA-N -1 1 306.322 1.459 20 0 DDADMM C[C@H]1C[C@H]1CNC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001364215854 888159479 /nfs/dbraw/zinc/15/94/79/888159479.db2.gz WUNROWDRSWBLEA-NAKRPEOUSA-N -1 1 321.343 1.883 20 0 DDADMM COc1cnc([C@H]2CCCN2c2cc(Cl)nc(N)n2)[n-]c1=O ZINC001364251538 888222065 /nfs/dbraw/zinc/22/20/65/888222065.db2.gz STGKHQDQFJTCHN-SSDOTTSWSA-N -1 1 322.756 1.558 20 0 DDADMM CNC(=O)NC[C@@H]1CCCCN1C(=O)c1cccc([O-])c1F ZINC001364472494 888715675 /nfs/dbraw/zinc/71/56/75/888715675.db2.gz WIQXWTLLFOCISC-JTQLQIEISA-N -1 1 309.341 1.455 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccoc2C(C)C)n[n-]1 ZINC001364506304 888793779 /nfs/dbraw/zinc/79/37/79/888793779.db2.gz LAMFVCJQORVMTA-UHFFFAOYSA-N -1 1 306.322 1.628 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccoc2C(C)C)n1 ZINC001364506304 888793797 /nfs/dbraw/zinc/79/37/97/888793797.db2.gz LAMFVCJQORVMTA-UHFFFAOYSA-N -1 1 306.322 1.628 20 0 DDADMM COc1cnc([C@@H]2CCCN2Cc2nc(C)cc(=O)[nH]2)[n-]c1=O ZINC001364522234 888839956 /nfs/dbraw/zinc/83/99/56/888839956.db2.gz RAJRPYHOLJXMNX-JTQLQIEISA-N -1 1 317.349 1.332 20 0 DDADMM CCOc1cc(-c2nc(C)cc3c2C(=O)[N-]C3=O)c(F)cn1 ZINC001235827915 888974196 /nfs/dbraw/zinc/97/41/96/888974196.db2.gz MCFVUWPIYAOERC-UHFFFAOYSA-N -1 1 301.277 1.873 20 0 DDADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001364621855 889054976 /nfs/dbraw/zinc/05/49/76/889054976.db2.gz PSEULZBERHGWAE-SDDRHHMPSA-N -1 1 303.362 1.212 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1cncc(F)c1)c1noc(C)n1 ZINC001364793824 889428965 /nfs/dbraw/zinc/42/89/65/889428965.db2.gz DFPBIXZHJYSUJP-JTQLQIEISA-N -1 1 300.315 1.342 20 0 DDADMM COC[C@@H](NC(=O)C1CCc2ccccc2CC1)c1nn[n-]n1 ZINC001364816854 889474618 /nfs/dbraw/zinc/47/46/18/889474618.db2.gz XEGSVRZAZJYEDW-CQSZACIVSA-N -1 1 315.377 1.199 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NS(=O)(=O)C[C@@H](C)CC)n[n-]1 ZINC001364879308 889596112 /nfs/dbraw/zinc/59/61/12/889596112.db2.gz AMSHXPRSDVGOHN-IUCAKERBSA-N -1 1 318.399 1.008 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NS(=O)(=O)C[C@@H](C)CC)[n-]1 ZINC001364879308 889596126 /nfs/dbraw/zinc/59/61/26/889596126.db2.gz AMSHXPRSDVGOHN-IUCAKERBSA-N -1 1 318.399 1.008 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NS(=O)(=O)C[C@@H](C)CC)n1 ZINC001364879308 889596136 /nfs/dbraw/zinc/59/61/36/889596136.db2.gz AMSHXPRSDVGOHN-IUCAKERBSA-N -1 1 318.399 1.008 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)c1csnn1 ZINC001364882470 889602511 /nfs/dbraw/zinc/60/25/11/889602511.db2.gz WYOFUUZGSZXKLD-ZCFIWIBFSA-N -1 1 305.331 1.856 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1CCC2(CCC2)O1 ZINC001364927595 889683190 /nfs/dbraw/zinc/68/31/90/889683190.db2.gz ANQHKURECOIWJA-VIFPVBQESA-N -1 1 318.420 1.532 20 0 DDADMM Cn1cccc(NC(=O)NCCc2c(F)cc([O-])cc2F)c1=O ZINC001365030232 889937158 /nfs/dbraw/zinc/93/71/58/889937158.db2.gz DLIHNXUITTUYHQ-UHFFFAOYSA-N -1 1 323.299 1.733 20 0 DDADMM CCOC(=O)C1([N-]S(=O)(=O)c2ccns2)CCCCC1 ZINC001365038703 889954897 /nfs/dbraw/zinc/95/48/97/889954897.db2.gz PJIARMOSWADLCF-UHFFFAOYSA-N -1 1 318.420 1.687 20 0 DDADMM CCN(C)[C@@H](C(=O)N[C@@H](C)c1nn(C)cc1[O-])c1ccccc1 ZINC001365118799 890136327 /nfs/dbraw/zinc/13/63/27/890136327.db2.gz CTTDDLRXOOFJDE-BLLLJJGKSA-N -1 1 316.405 1.996 20 0 DDADMM CC[C@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001365149745 890199515 /nfs/dbraw/zinc/19/95/15/890199515.db2.gz URYGAKSBAPAIEI-GZMMTYOYSA-N -1 1 308.425 1.227 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@]12C[C@H]1CCC2 ZINC001386243261 890630069 /nfs/dbraw/zinc/63/00/69/890630069.db2.gz SFJNXEKMUVINLB-GLKRBJQHSA-N -1 1 303.362 1.212 20 0 DDADMM CC/C(C)=C/C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001290609221 913474817 /nfs/dbraw/zinc/47/48/17/913474817.db2.gz WIDTYRTUZWXOGY-NQYVGZPUSA-N -1 1 317.389 1.863 20 0 DDADMM CC(=O)NCc1cccc(-c2ccc3c(c2)CC(=O)[N-]C3=O)c1 ZINC001240353559 890911489 /nfs/dbraw/zinc/91/14/89/890911489.db2.gz KOPXXUUDWACMIH-UHFFFAOYSA-N -1 1 308.337 1.802 20 0 DDADMM NC(=O)[C@H]1CCCN1c1cc(-c2c(F)ccc([O-])c2F)ncn1 ZINC001241290442 891141997 /nfs/dbraw/zinc/14/19/97/891141997.db2.gz SCIZLNNDCRMWRE-SNVBAGLBSA-N -1 1 320.299 1.582 20 0 DDADMM O=C(c1coc(-c2ccccc2)n1)N1CCC(c2nn[n-]n2)CC1 ZINC000738283002 913549547 /nfs/dbraw/zinc/54/95/47/913549547.db2.gz HSANUHPHXMQFRQ-UHFFFAOYSA-N -1 1 324.344 1.875 20 0 DDADMM COc1ncc(-c2ccnc(C3CC3)n2)cc1[N-]S(C)(=O)=O ZINC001244790579 891923590 /nfs/dbraw/zinc/92/35/90/891923590.db2.gz NTTBBHQGMDUOPI-UHFFFAOYSA-N -1 1 320.374 1.796 20 0 DDADMM COc1nccc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c1N ZINC001244790784 891924630 /nfs/dbraw/zinc/92/46/30/891924630.db2.gz DIRXLQNVORMZTN-UHFFFAOYSA-N -1 1 324.362 1.115 20 0 DDADMM COc1ncc(-c2ccc3nccn3n2)cc1[N-]S(C)(=O)=O ZINC001244795152 891931877 /nfs/dbraw/zinc/93/18/77/891931877.db2.gz YMNNIUHWILPBEH-UHFFFAOYSA-N -1 1 319.346 1.171 20 0 DDADMM COc1cc(NC(=S)NCC[P@](=O)([O-])O)cc(OC)c1 ZINC001245000252 891976604 /nfs/dbraw/zinc/97/66/04/891976604.db2.gz NFTSHBCYFJLKIY-UHFFFAOYSA-N -1 1 320.307 1.168 20 0 DDADMM O=C(c1ccc(Br)s1)n1[n-]c(CO)cc1=O ZINC001245923150 892271997 /nfs/dbraw/zinc/27/19/97/892271997.db2.gz DLGATCNYZDMVAK-UHFFFAOYSA-N -1 1 303.137 1.594 20 0 DDADMM C[C@H](CNC(=O)CC(C)(F)F)NC(=O)c1ncccc1[O-] ZINC001387116380 892417423 /nfs/dbraw/zinc/41/74/23/892417423.db2.gz SVHUETHRVXOGOJ-MRVPVSSYSA-N -1 1 301.293 1.067 20 0 DDADMM O=C([O-])c1c(F)ccc(CN2C[C@@H]3CCNC(=O)[C@@H]3C2)c1F ZINC001249777536 894124664 /nfs/dbraw/zinc/12/46/64/894124664.db2.gz VYLDNTLRRQVYMP-WCBMZHEXSA-N -1 1 310.300 1.231 20 0 DDADMM O=c1nc2nc[nH]c2c(NC[C@@H](O)COc2cccc(F)c2)[n-]1 ZINC001250828702 894448695 /nfs/dbraw/zinc/44/86/95/894448695.db2.gz CJOHWAVBWWXMHE-SECBINFHSA-N -1 1 319.296 1.001 20 0 DDADMM COc1ccc(S(=O)(=O)NCC(=O)c2ccc([O-])cc2)cc1 ZINC001251975193 894926258 /nfs/dbraw/zinc/92/62/58/894926258.db2.gz VNKIHQQQDXJNCC-UHFFFAOYSA-N -1 1 321.354 1.562 20 0 DDADMM CC[C@@H](C)CC(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001396522487 913978968 /nfs/dbraw/zinc/97/89/68/913978968.db2.gz WDUYJFGOEYPBMS-UPJWGTAASA-N -1 1 319.405 1.848 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001388992363 896316766 /nfs/dbraw/zinc/31/67/66/896316766.db2.gz SKVLOVVQSUEGHB-VXGBXAGGSA-N -1 1 305.378 1.364 20 0 DDADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CC(C)(C)C1 ZINC001367411063 896699070 /nfs/dbraw/zinc/69/90/70/896699070.db2.gz DFXDOGKSTDIXLU-GFCCVEGCSA-N -1 1 323.441 1.567 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C1CCC(C)(C)CC1 ZINC001367415494 896712771 /nfs/dbraw/zinc/71/27/71/896712771.db2.gz ONDPKROZGRJOKS-LLVKDONJSA-N -1 1 323.441 1.663 20 0 DDADMM COC(=O)CC1CCC(Nc2[n-]c(=O)nc3nc[nH]c32)CC1 ZINC001256978105 897654936 /nfs/dbraw/zinc/65/49/36/897654936.db2.gz NFLLDFYBPZLFKA-UHFFFAOYSA-N -1 1 305.338 1.592 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1cc(Cl)c(Cl)s1)C(N)=O ZINC001257515862 897870689 /nfs/dbraw/zinc/87/06/89/897870689.db2.gz RQOZOXAPFAEKPN-UHFFFAOYSA-N -1 1 317.219 1.597 20 0 DDADMM COc1ccccc1C[C@H](C)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001258881996 898347156 /nfs/dbraw/zinc/34/71/56/898347156.db2.gz JBGCSLBPZQGCQQ-QMMMGPOBSA-N -1 1 315.333 1.767 20 0 DDADMM Cn1ccc([N-]S(=O)(=O)c2cc(F)ccc2F)cc1=O ZINC001258948699 898373184 /nfs/dbraw/zinc/37/31/84/898373184.db2.gz HLHWLLQYQQGTGF-UHFFFAOYSA-N -1 1 300.286 1.464 20 0 DDADMM O=S(=O)([N-]Cc1cncc(F)c1)c1cc(F)ccc1F ZINC001258948809 898375398 /nfs/dbraw/zinc/37/53/98/898375398.db2.gz SQLRQIKJOJVMQT-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM CC(=O)N[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(F)c(F)c2F)C1 ZINC001259082039 898458959 /nfs/dbraw/zinc/45/89/59/898458959.db2.gz HJWVWRRZPIJWMF-ZKCHVHJHSA-N -1 1 322.308 1.049 20 0 DDADMM CCC[C@H](CC)C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001390052343 898578679 /nfs/dbraw/zinc/57/86/79/898578679.db2.gz LJXXCBZWZIKYJP-LBPRGKRZSA-N -1 1 319.405 1.896 20 0 DDADMM O=S(=O)([N-]CCc1ccncn1)c1cc(Cl)ccc1F ZINC001259463016 898682486 /nfs/dbraw/zinc/68/24/86/898682486.db2.gz KKYYTWZQTBFTCN-UHFFFAOYSA-N -1 1 315.757 1.790 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@](C)([N-]S(=O)(=O)C(F)F)C1 ZINC001259959289 898980977 /nfs/dbraw/zinc/98/09/77/898980977.db2.gz QNHHUTWKQYVQLV-LLVKDONJSA-N -1 1 314.354 1.528 20 0 DDADMM CCOC(=O)C[C@H]([N-]S(=O)(=O)C(F)F)c1cccc(O)c1 ZINC001259962846 898987160 /nfs/dbraw/zinc/98/71/60/898987160.db2.gz UEUWARRTKXVDJP-JTQLQIEISA-N -1 1 323.317 1.529 20 0 DDADMM COC(=O)c1sc2ccc(Cl)cc2c1[N-]S(N)(=O)=O ZINC001260075079 899037696 /nfs/dbraw/zinc/03/76/96/899037696.db2.gz YHWRXCHKHUPWBQ-UHFFFAOYSA-N -1 1 320.779 1.957 20 0 DDADMM C[C@@H](O)c1ccnc(NS(=O)(=O)c2cccc(C(=O)[O-])c2)c1 ZINC001260184783 899079583 /nfs/dbraw/zinc/07/95/83/899079583.db2.gz CEHLUJNLJVIGAD-SECBINFHSA-N -1 1 322.342 1.634 20 0 DDADMM C[C@H](O)c1ccnc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2)c1 ZINC001260223757 899097286 /nfs/dbraw/zinc/09/72/86/899097286.db2.gz YYMLYGXIIXLIOU-VIFPVBQESA-N -1 1 322.342 1.634 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cccnc3Cl)nc2n1 ZINC001261216303 899422648 /nfs/dbraw/zinc/42/26/48/899422648.db2.gz PITAISGSIOLSQK-UHFFFAOYSA-N -1 1 304.697 1.027 20 0 DDADMM Cc1n[nH]c(C(=O)NCCN(C)Cc2cccc(Cl)c2)c1[O-] ZINC001390753656 900103157 /nfs/dbraw/zinc/10/31/57/900103157.db2.gz PUNYLFRNNIWFCK-UHFFFAOYSA-N -1 1 322.796 1.939 20 0 DDADMM CCc1cnc(CN(C)c2nnc(-c3c[n-][nH]c3=O)n2C)s1 ZINC001262887974 900407450 /nfs/dbraw/zinc/40/74/50/900407450.db2.gz IYLMJTQEISKWFY-UHFFFAOYSA-N -1 1 319.394 1.566 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)C[C@@H]1CC=CCC1 ZINC001263451955 900611443 /nfs/dbraw/zinc/61/14/43/900611443.db2.gz QFAVQZNBMHSIBX-GFCCVEGCSA-N -1 1 316.361 1.558 20 0 DDADMM CCN(CCCNC(=O)[C@@H]1CC[C@H]2C[C@H]2C1)Cc1n[nH]c(=O)[n-]1 ZINC001265121305 901592264 /nfs/dbraw/zinc/59/22/64/901592264.db2.gz OUIXGYKSWGULFX-XQQFMLRXSA-N -1 1 321.425 1.275 20 0 DDADMM COc1cnc(C(=O)[N-]c2nc3ccc(F)cn3n2)c(F)c1 ZINC001265444794 902023386 /nfs/dbraw/zinc/02/33/86/902023386.db2.gz BGVNSHAIRRCBDS-UHFFFAOYSA-N -1 1 305.244 1.663 20 0 DDADMM CCCC1(C(=O)N(C)[C@H](C)CNC(=O)c2ncccc2[O-])CC1 ZINC001369831715 902056555 /nfs/dbraw/zinc/05/65/55/902056555.db2.gz WHVKGVJZEFLKEA-GFCCVEGCSA-N -1 1 319.405 1.944 20 0 DDADMM CN(CCN(C)C(=O)c1ncccc1[O-])C(=O)CCCC1CC1 ZINC001391805803 902635425 /nfs/dbraw/zinc/63/54/25/902635425.db2.gz FIVZOAWTLZJUET-UHFFFAOYSA-N -1 1 319.405 1.898 20 0 DDADMM CSc1cnn(-c2ccccc2)c1[N-]C(=O)c1nnn(C)n1 ZINC001265955249 902660157 /nfs/dbraw/zinc/66/01/57/902660157.db2.gz BLIWFSPIGSXKIU-UHFFFAOYSA-N -1 1 315.362 1.370 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H](C1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001370402651 903084465 /nfs/dbraw/zinc/08/44/65/903084465.db2.gz XJYPVULHWSDZAR-DRZSPHRISA-N -1 1 317.389 1.410 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001392020994 903107705 /nfs/dbraw/zinc/10/77/05/903107705.db2.gz JKBRIUGOVNGJRR-RYUDHWBXSA-N -1 1 321.425 1.321 20 0 DDADMM O=C(/C=C/C1CC1)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001280753140 903989552 /nfs/dbraw/zinc/98/95/52/903989552.db2.gz QLMFALDRXLHILQ-AXUPUTEVSA-N -1 1 315.373 1.378 20 0 DDADMM CC(C)CC(=O)NC[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001370942309 904027460 /nfs/dbraw/zinc/02/74/60/904027460.db2.gz GOYXQWYFORIQDD-CHWSQXEVSA-N -1 1 319.405 1.848 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)C1CC2(CC2)C1 ZINC001294037762 914873235 /nfs/dbraw/zinc/87/32/35/914873235.db2.gz YZPHLQWRVPJGTP-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)C12CCC(CC1)C2 ZINC001392784298 905247662 /nfs/dbraw/zinc/24/76/62/905247662.db2.gz MZCDITJWRWYOTQ-YWFMTQBDSA-N -1 1 319.409 1.075 20 0 DDADMM CC1(C)C[C@@H]1C(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372370800 907149544 /nfs/dbraw/zinc/14/95/44/907149544.db2.gz IAENXRLFJPAJLI-NEPJUHHUSA-N -1 1 317.389 1.458 20 0 DDADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)c1cc(F)c[nH]1 ZINC001283527987 907543641 /nfs/dbraw/zinc/54/36/41/907543641.db2.gz DINYOXAHHNAGEY-UHFFFAOYSA-N -1 1 320.324 1.147 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1ccoc1Cl ZINC001393946768 908349519 /nfs/dbraw/zinc/34/95/19/908349519.db2.gz XXWNTNAQCDXHJL-RQJHMYQMSA-N -1 1 313.745 1.053 20 0 DDADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CCCCC1 ZINC001394189215 909038975 /nfs/dbraw/zinc/03/89/75/909038975.db2.gz DVZWJBRPIGOHLT-CYBMUJFWSA-N -1 1 323.441 1.855 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)CCC2(C)CC2)C1 ZINC001373306287 909470404 /nfs/dbraw/zinc/47/04/04/909470404.db2.gz YXIXTYRONYLZIC-GFCCVEGCSA-N -1 1 321.425 1.371 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NCc1nocc1C ZINC001373751464 910859468 /nfs/dbraw/zinc/85/94/68/910859468.db2.gz YEJVHLPEBYTJPH-NSHDSACASA-N -1 1 304.350 1.382 20 0 DDADMM C[C@@H](CNC(=O)C[C@@H]1C=CCC1)NC(=O)c1ncccc1[O-] ZINC001285723098 911100779 /nfs/dbraw/zinc/10/07/79/911100779.db2.gz LXWWLPULZSZPCD-NWDGAFQWSA-N -1 1 303.362 1.378 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cccc(-n2cccn2)n1 ZINC000076583627 185025677 /nfs/dbraw/zinc/02/56/77/185025677.db2.gz JXRGOBNAYNDCEX-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM Cc1cc(=O)n2[n-]c(NC(=O)C3(C4CCC4)CCC3)nc2n1 ZINC000622869960 365548714 /nfs/dbraw/zinc/54/87/14/365548714.db2.gz NFSHXOGRRVXETO-UHFFFAOYSA-N -1 1 301.350 1.635 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)CC3CC(F)(F)C3)nc2n1 ZINC000622993178 365585718 /nfs/dbraw/zinc/58/57/18/365585718.db2.gz DSRWDRRUQXPEQS-UHFFFAOYSA-N -1 1 311.292 1.354 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)c3occc3C(C)C)nc2n1 ZINC000622993332 365585953 /nfs/dbraw/zinc/58/59/53/365585953.db2.gz JHRUAEUJZXWBNX-UHFFFAOYSA-N -1 1 315.333 1.949 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CC[C@H](C)C3)nc2n1 ZINC000622994431 365586505 /nfs/dbraw/zinc/58/65/05/365586505.db2.gz OTGZWQPJQDNICM-UWVGGRQHSA-N -1 1 303.366 1.745 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCCS3)nc2n1 ZINC000622994128 365587486 /nfs/dbraw/zinc/58/74/86/365587486.db2.gz FFEWHTKULRHOLV-SECBINFHSA-N -1 1 307.379 1.204 20 0 DDADMM Cc1ccc(CN2C[C@H](C(=O)[N-]OCC(C)(C)O)CC2=O)cc1 ZINC000278557368 214235203 /nfs/dbraw/zinc/23/52/03/214235203.db2.gz HPAXGCBFTZNPJV-CQSZACIVSA-N -1 1 320.389 1.162 20 0 DDADMM O=C(NCCNC(=O)c1c(F)ccc([O-])c1F)c1ccccc1 ZINC000358090906 299074074 /nfs/dbraw/zinc/07/40/74/299074074.db2.gz AHZAPOVMSNTLDH-UHFFFAOYSA-N -1 1 320.295 1.830 20 0 DDADMM O=C(Cc1cc(C2CC2)no1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614390339 361833673 /nfs/dbraw/zinc/83/36/73/361833673.db2.gz UEPLIZSOEYHVPQ-JTQLQIEISA-N -1 1 318.333 1.589 20 0 DDADMM O=S(=O)([N-]Cc1nncn1C1CC1)c1sccc1Cl ZINC000451474259 231106529 /nfs/dbraw/zinc/10/65/29/231106529.db2.gz AJEOIXBBTDEDIU-UHFFFAOYSA-N -1 1 318.811 1.806 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)Cc1ccc(F)cc1F ZINC000087388437 185223559 /nfs/dbraw/zinc/22/35/59/185223559.db2.gz JRUBIGHRSFOBGA-UHFFFAOYSA-N -1 1 312.345 1.886 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(F)cc1F)[C@H](O)C(F)F ZINC000295699717 529646202 /nfs/dbraw/zinc/64/62/02/529646202.db2.gz JXQGJNXLKNMMNQ-WPRPVWTQSA-N -1 1 315.288 1.648 20 0 DDADMM CCCc1cc(C(=O)N(CCC(=O)[O-])C[C@@H]2CCCO2)n[nH]1 ZINC000236895845 539267329 /nfs/dbraw/zinc/26/73/29/539267329.db2.gz RPFGVIFEKJHXRK-LBPRGKRZSA-N -1 1 309.366 1.458 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc([C@@H]3CCCS3)no2)o1 ZINC000274250281 539279129 /nfs/dbraw/zinc/27/91/29/539279129.db2.gz WYRZTRUHOBKWEK-QMMMGPOBSA-N -1 1 315.376 1.806 20 0 DDADMM COCC[C@@H](COC)NC(=O)c1ncc2ccccc2c1[O-] ZINC000615048750 362127911 /nfs/dbraw/zinc/12/79/11/362127911.db2.gz PWTQAOXWBVLZDJ-LBPRGKRZSA-N -1 1 304.346 1.722 20 0 DDADMM O=c1[n-]c(CN2CCCN(Cc3ccc(Cl)cc3)CC2)n[nH]1 ZINC000092616949 185329373 /nfs/dbraw/zinc/32/93/73/185329373.db2.gz LGIKITYCLMIEPP-UHFFFAOYSA-N -1 1 321.812 1.459 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000615226523 362204291 /nfs/dbraw/zinc/20/42/91/362204291.db2.gz LBFRUDSAFRIADV-JTQLQIEISA-N -1 1 300.318 1.415 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2[C@H]2CCC[N@H+]2C)c([O-])c1 ZINC000615271298 362225230 /nfs/dbraw/zinc/22/52/30/362225230.db2.gz VVUWQXVEZZPYHA-CHWSQXEVSA-N -1 1 305.378 1.031 20 0 DDADMM CN1CCOC[C@@H]1C(=O)N=c1cc(-c2cccc(F)c2F)[n-][nH]1 ZINC000332656883 529780564 /nfs/dbraw/zinc/78/05/64/529780564.db2.gz LPINZINNVMOGDI-GFCCVEGCSA-N -1 1 322.315 1.046 20 0 DDADMM CNC(=O)c1ccc(CS(=O)(=O)[N-][C@H](C)C(C)(F)F)cc1 ZINC000451692251 529939789 /nfs/dbraw/zinc/93/97/89/529939789.db2.gz SLDTXCDIRARVOH-SECBINFHSA-N -1 1 320.361 1.509 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCc1cncnc1N ZINC000269130384 282311442 /nfs/dbraw/zinc/31/14/42/282311442.db2.gz WJDNPTSSSAJLFD-UHFFFAOYSA-N -1 1 316.365 1.090 20 0 DDADMM CN(Cc1ccc(Br)o1)Cc1nc(=O)n(C)[n-]1 ZINC000330734547 529983189 /nfs/dbraw/zinc/98/31/89/529983189.db2.gz LNRXPHAIKDTDFD-UHFFFAOYSA-N -1 1 301.144 1.096 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCS(=O)(=O)C[C@@H]1C1CC1 ZINC000615779605 362437365 /nfs/dbraw/zinc/43/73/65/362437365.db2.gz MBFISNPYUHQACX-GFCCVEGCSA-N -1 1 313.350 1.181 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(c2ncns2)CC1 ZINC000615796694 362445856 /nfs/dbraw/zinc/44/58/56/362445856.db2.gz BLCXFSMFDRXRCZ-UHFFFAOYSA-N -1 1 308.338 1.345 20 0 DDADMM C[C@H](Cc1ccc(Cl)cc1)N(C)C(=O)CCc1nn[n-]n1 ZINC000631392373 422736299 /nfs/dbraw/zinc/73/62/99/422736299.db2.gz SNAMECCPBIDFDB-SNVBAGLBSA-N -1 1 307.785 1.875 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)C[C@H]2CC=CCC2)o1 ZINC000330795988 530027448 /nfs/dbraw/zinc/02/74/48/530027448.db2.gz AVXBVNXOWTVTAT-NSHDSACASA-N -1 1 312.391 1.616 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@H]2CCCCN2C)c1Br ZINC000616009508 362519184 /nfs/dbraw/zinc/51/91/84/362519184.db2.gz VWOYNBLCCMELGF-MRVPVSSYSA-N -1 1 301.188 1.325 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2ccc(F)cc2C)n[n-]1 ZINC000616174024 362574118 /nfs/dbraw/zinc/57/41/18/362574118.db2.gz PWAABHXNJHUOOX-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(F)cc2C)n1 ZINC000616174024 362574120 /nfs/dbraw/zinc/57/41/20/362574120.db2.gz PWAABHXNJHUOOX-UHFFFAOYSA-N -1 1 306.297 1.359 20 0 DDADMM CCCCNC(=O)C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000109042486 185547824 /nfs/dbraw/zinc/54/78/24/185547824.db2.gz PFHNCKQOKBOTIB-UHFFFAOYSA-N -1 1 305.378 1.556 20 0 DDADMM COc1ccc([C@@H](CO)CNC(=O)c2ncc(C)cc2[O-])cc1 ZINC000458248425 232309016 /nfs/dbraw/zinc/30/90/16/232309016.db2.gz OUYOJVYGNMPILK-CYBMUJFWSA-N -1 1 316.357 1.610 20 0 DDADMM C[C@](O)(C(=O)Nc1nc(Br)ccc1[O-])C1CC1 ZINC000286882653 219208630 /nfs/dbraw/zinc/20/86/30/219208630.db2.gz ODNHUIFBMLADFR-LLVKDONJSA-N -1 1 301.140 1.649 20 0 DDADMM C[C@H](N=c1ccc(N2CCN(C)C(=O)C2)n[n-]1)c1ccccc1 ZINC000564561268 304000994 /nfs/dbraw/zinc/00/09/94/304000994.db2.gz PWPLWHWUMSWVDJ-ZDUSSCGKSA-N -1 1 311.389 1.350 20 0 DDADMM CCOc1ccc(NC(CC)=C2C(=O)[N-]C(=S)NC2=O)cc1 ZINC000009770553 352136336 /nfs/dbraw/zinc/13/63/36/352136336.db2.gz RTJNXXHLGWBCKP-UHFFFAOYSA-N -1 1 319.386 1.692 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2csc(=NC3CC3)[n-]2)c2ncnn21 ZINC000617442792 363111670 /nfs/dbraw/zinc/11/16/70/363111670.db2.gz RBFCOHDZKUWHIE-IONNQARKSA-N -1 1 304.379 1.167 20 0 DDADMM O=C(CCCc1c[nH]c2ccccc12)NN1CC(=O)[N-]C1=O ZINC000029018447 352234123 /nfs/dbraw/zinc/23/41/23/352234123.db2.gz GLCYRZKRVYJWHD-UHFFFAOYSA-N -1 1 300.318 1.074 20 0 DDADMM COc1cc(NC(=O)c2ccccn2)ccc1[N-]S(C)(=O)=O ZINC000029967719 352248608 /nfs/dbraw/zinc/24/86/08/352248608.db2.gz MWAOANCEKHMZLI-UHFFFAOYSA-N -1 1 321.358 1.714 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@@H](C)CCc2ccco2)n1 ZINC000043725380 352372589 /nfs/dbraw/zinc/37/25/89/352372589.db2.gz MJFMCOGSTZPPPC-JTQLQIEISA-N -1 1 305.338 1.726 20 0 DDADMM Cc1ccc(C(C)C)c(O[C@H](C)C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000048247837 352532116 /nfs/dbraw/zinc/53/21/16/352532116.db2.gz KPFULGPIPDPSHI-LLVKDONJSA-N -1 1 319.361 1.469 20 0 DDADMM CC(=O)Nc1ccc(F)c([N-]S(=O)(=O)c2cnn(C)c2)c1 ZINC000055582960 352738428 /nfs/dbraw/zinc/73/84/28/352738428.db2.gz YSJAYLQHQZNVOP-UHFFFAOYSA-N -1 1 312.326 1.318 20 0 DDADMM O=C(Nc1nn[n-]n1)c1ccc(COc2ccc(F)cc2)o1 ZINC000057682180 352822980 /nfs/dbraw/zinc/82/29/80/352822980.db2.gz VLPVVGCTZNXVEZ-UHFFFAOYSA-N -1 1 303.253 1.763 20 0 DDADMM CCc1sc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)cc1C ZINC000060242203 352866175 /nfs/dbraw/zinc/86/61/75/352866175.db2.gz VFZJZMXNFXXIPR-UHFFFAOYSA-N -1 1 314.392 1.393 20 0 DDADMM CCc1sc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1C ZINC000060242203 352866180 /nfs/dbraw/zinc/86/61/80/352866180.db2.gz VFZJZMXNFXXIPR-UHFFFAOYSA-N -1 1 314.392 1.393 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000075259426 353356627 /nfs/dbraw/zinc/35/66/27/353356627.db2.gz IMKSCBYAFQCVEP-OAHLLOKOSA-N -1 1 308.765 1.788 20 0 DDADMM NC(=O)COc1cccc(NC(=O)c2cc(F)ccc2[O-])c1 ZINC000080001432 353586605 /nfs/dbraw/zinc/58/66/05/353586605.db2.gz KGAFWNATXFDKCN-UHFFFAOYSA-N -1 1 304.277 1.648 20 0 DDADMM CC1(CN=c2ccc(C(=O)NCCO)n[n-]2)CCCCCC1 ZINC000345084278 282919701 /nfs/dbraw/zinc/91/97/01/282919701.db2.gz XAEVMIRMJNXVLG-UHFFFAOYSA-N -1 1 306.410 1.393 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCC(C)(C)C[C@@H](C)O ZINC000087423621 353750883 /nfs/dbraw/zinc/75/08/83/353750883.db2.gz ZMDQEBVJPAJFMK-SNVBAGLBSA-N -1 1 323.437 1.903 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2Cc3ccccc32)c1 ZINC000152021350 354188496 /nfs/dbraw/zinc/18/84/96/354188496.db2.gz PTPDJOBQROPTFF-LLVKDONJSA-N -1 1 321.354 1.684 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2[C@H](C)CCC[C@@H]2C)o1 ZINC000073318344 191303970 /nfs/dbraw/zinc/30/39/70/191303970.db2.gz QJOORUQNXWQOEK-AOOOYVTPSA-N -1 1 300.380 1.591 20 0 DDADMM COC(=O)c1scc(C)c1S(=O)(=O)[N-][C@@H](C)C(F)F ZINC000389696254 354638542 /nfs/dbraw/zinc/63/85/42/354638542.db2.gz MMPYLTUJTNYPFU-LURJTMIESA-N -1 1 313.347 1.775 20 0 DDADMM CC(C)[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C(C)(C)O ZINC000276996497 213228357 /nfs/dbraw/zinc/22/83/57/213228357.db2.gz FSVYFVPKFVVLMF-QMMMGPOBSA-N -1 1 309.819 1.147 20 0 DDADMM COC(=O)c1conc1C(C)(C)NC(=O)c1ncccc1[O-] ZINC000588878798 354946166 /nfs/dbraw/zinc/94/61/66/354946166.db2.gz ZUAVQGUSVQFRKA-UHFFFAOYSA-N -1 1 305.290 1.227 20 0 DDADMM COC1(C)CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000332539274 235071953 /nfs/dbraw/zinc/07/19/53/235071953.db2.gz WPTYNONQXBOQGB-UHFFFAOYSA-N -1 1 301.346 1.564 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)CNC(=O)c2ccsc2)n1 ZINC000590355213 355076180 /nfs/dbraw/zinc/07/61/80/355076180.db2.gz KNLOOODHAGQXLA-UHFFFAOYSA-N -1 1 322.346 1.016 20 0 DDADMM COc1cccc(S([O-])=CC(=O)NCc2cc(C)[nH]n2)c1 ZINC000591765642 355380549 /nfs/dbraw/zinc/38/05/49/355380549.db2.gz NEFCVJBACKJOKZ-OAQYLSRUSA-N -1 1 307.375 1.151 20 0 DDADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)c2cnco2)n[n-]1 ZINC000593894805 356045530 /nfs/dbraw/zinc/04/55/30/356045530.db2.gz FRUZVPKFGNIYBV-VIFPVBQESA-N -1 1 321.337 1.487 20 0 DDADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)c2cnco2)[n-]1 ZINC000593894805 356045538 /nfs/dbraw/zinc/04/55/38/356045538.db2.gz FRUZVPKFGNIYBV-VIFPVBQESA-N -1 1 321.337 1.487 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)c2cnco2)n1 ZINC000593894805 356045542 /nfs/dbraw/zinc/04/55/42/356045542.db2.gz FRUZVPKFGNIYBV-VIFPVBQESA-N -1 1 321.337 1.487 20 0 DDADMM COC(=O)[C@@H](CNC(=O)C(=O)c1ccc([O-])cc1)CC1CC1 ZINC000593824153 356011809 /nfs/dbraw/zinc/01/18/09/356011809.db2.gz HGKSUCZFTJBENS-GFCCVEGCSA-N -1 1 305.330 1.280 20 0 DDADMM CN(Cc1ccccc1Br)C[C@@](C)(O)C(=O)[O-] ZINC000080210320 192012172 /nfs/dbraw/zinc/01/21/72/192012172.db2.gz LXGWXCAEGWSGFN-GFCCVEGCSA-N -1 1 302.168 1.717 20 0 DDADMM CCOC(=O)c1csc(=NCc2n[nH]c([C@@H]3CCCO3)n2)[n-]1 ZINC000594588583 356257868 /nfs/dbraw/zinc/25/78/68/356257868.db2.gz DMLVFVUNPVWIRJ-VIFPVBQESA-N -1 1 323.378 1.323 20 0 DDADMM CC[C@](C)([N-]S(=O)(=O)c1ccc(C(F)F)o1)C(=O)OC ZINC000601330536 358501167 /nfs/dbraw/zinc/50/11/67/358501167.db2.gz UQXANMNEHNAHOU-NSHDSACASA-N -1 1 311.306 1.837 20 0 DDADMM COCCCC[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595308711 356442856 /nfs/dbraw/zinc/44/28/56/356442856.db2.gz FYVYKKHOCITVBO-UHFFFAOYSA-N -1 1 305.352 1.080 20 0 DDADMM COCCCCC[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595312233 356444692 /nfs/dbraw/zinc/44/46/92/356444692.db2.gz RVKRQQCBFZZGKO-UHFFFAOYSA-N -1 1 319.379 1.470 20 0 DDADMM COC[C@@](C)(CC(=O)[O-])NC(=O)Cc1[nH]nc2ccccc21 ZINC000237189183 202183219 /nfs/dbraw/zinc/18/32/19/202183219.db2.gz WQYKBDXJQHZTML-OAHLLOKOSA-N -1 1 305.334 1.101 20 0 DDADMM CCOC(=O)c1csc(=N[C@@H]2CCC[C@H]([S@](C)=O)C2)[n-]1 ZINC000596367324 356864942 /nfs/dbraw/zinc/86/49/42/356864942.db2.gz RUNYSARGEAYVKM-ARNPGYACSA-N -1 1 316.448 1.843 20 0 DDADMM CNC(=O)c1ccc([N-]S(=O)(=O)Cc2ccc(F)cc2)nc1 ZINC000358790165 299255738 /nfs/dbraw/zinc/25/57/38/299255738.db2.gz JIIHFXXOHLWOOG-UHFFFAOYSA-N -1 1 323.349 1.522 20 0 DDADMM C[C@H](NCc1nc(=O)n(C)[n-]1)c1cccc(OC(F)(F)F)c1 ZINC000347670414 283250968 /nfs/dbraw/zinc/25/09/68/283250968.db2.gz KLRIHFVAOQDUDJ-QMMMGPOBSA-N -1 1 316.283 1.858 20 0 DDADMM CCOC(=O)C[C@@H](NC(=O)c1ncccc1[O-])C(F)(F)F ZINC000598050773 357443787 /nfs/dbraw/zinc/44/37/87/357443787.db2.gz SZVIFEHSMNEXAF-MRVPVSSYSA-N -1 1 306.240 1.401 20 0 DDADMM CC(C)(C)OC(=O)[C@@]1(C(=O)N=c2ccc(O)n[n-]2)CC1(C)C ZINC000598833497 357739435 /nfs/dbraw/zinc/73/94/35/357739435.db2.gz XXMFYFLWSZVEBI-HNNXBMFYSA-N -1 1 307.350 1.301 20 0 DDADMM Cc1c(CCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cnn1C ZINC000347913010 283298123 /nfs/dbraw/zinc/29/81/23/283298123.db2.gz NEOFZQMCWRUXMI-UHFFFAOYSA-N -1 1 319.365 1.156 20 0 DDADMM O=S(=O)([N-][C@H]1CCCC[C@H]1CO)c1ccc(F)c(F)c1F ZINC000179489901 199044540 /nfs/dbraw/zinc/04/45/40/199044540.db2.gz FQNHIGFYFKJOHK-WPRPVWTQSA-N -1 1 323.336 1.933 20 0 DDADMM CCOCCC[N-]S(=O)(=O)c1ccc(C(=O)OCC)o1 ZINC000358819230 299267601 /nfs/dbraw/zinc/26/76/01/299267601.db2.gz CZVVYRAVQQJFDE-UHFFFAOYSA-N -1 1 305.352 1.161 20 0 DDADMM COC(=O)c1conc1C(C)(C)NC(=O)c1ncc(C)cc1[O-] ZINC000599943281 358086796 /nfs/dbraw/zinc/08/67/96/358086796.db2.gz IIFPMYWGGOYXEM-UHFFFAOYSA-N -1 1 319.317 1.535 20 0 DDADMM CN1CCO[C@@H](CNC(=O)c2ccc(C(F)(F)F)cc2[O-])C1 ZINC000180465446 199162204 /nfs/dbraw/zinc/16/22/04/199162204.db2.gz KMKGPUXLFIAJRI-JTQLQIEISA-N -1 1 318.295 1.471 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CCN(c2ncccn2)CC1 ZINC000181037191 199243109 /nfs/dbraw/zinc/24/31/09/199243109.db2.gz LIUOCQJPYMSGDC-UHFFFAOYSA-N -1 1 302.309 1.284 20 0 DDADMM CCOC(=O)CN(C(=O)c1csc(=NC2CC2)[n-]1)C(C)C ZINC000348148344 283390957 /nfs/dbraw/zinc/39/09/57/283390957.db2.gz RTWFCJDJXPPWLG-UHFFFAOYSA-N -1 1 311.407 1.553 20 0 DDADMM COC(=O)c1[nH]c(C)cc1[N-]S(=O)(=O)CC1(OC)CCC1 ZINC000601975882 358762048 /nfs/dbraw/zinc/76/20/48/358762048.db2.gz MRADRAZXBCUXBQ-UHFFFAOYSA-N -1 1 316.379 1.421 20 0 DDADMM COC(=O)Cc1c[n-]n(-c2ccccc2Br)c1=O ZINC000601930964 358743162 /nfs/dbraw/zinc/74/31/62/358743162.db2.gz LSTYMZCNPGANSC-MRVPVSSYSA-N -1 1 311.135 1.961 20 0 DDADMM CCC(C)(C)N1CCN(C(=O)[C@H](C)S[C@@H](C)C(=O)[O-])CC1 ZINC000602001514 358772022 /nfs/dbraw/zinc/77/20/22/358772022.db2.gz FNQPLKKNLDRDIG-RYUDHWBXSA-N -1 1 316.467 1.914 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCC(C)=C(C)C2)n[n-]1 ZINC000603022005 359363007 /nfs/dbraw/zinc/36/30/07/359363007.db2.gz MEWLMOURJALXAZ-NSHDSACASA-N -1 1 306.366 1.734 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCC(C)=C(C)C2)n1 ZINC000603022005 359363011 /nfs/dbraw/zinc/36/30/11/359363011.db2.gz MEWLMOURJALXAZ-NSHDSACASA-N -1 1 306.366 1.734 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](C)C(F)(F)F)n[n-]1 ZINC000603157812 359444711 /nfs/dbraw/zinc/44/47/11/359444711.db2.gz WJNZWVHNJAPNPP-NTSWFWBYSA-N -1 1 308.260 1.357 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](C)C(F)(F)F)[n-]1 ZINC000603157812 359444715 /nfs/dbraw/zinc/44/47/15/359444715.db2.gz WJNZWVHNJAPNPP-NTSWFWBYSA-N -1 1 308.260 1.357 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](C)C(F)(F)F)n1 ZINC000603157812 359444719 /nfs/dbraw/zinc/44/47/19/359444719.db2.gz WJNZWVHNJAPNPP-NTSWFWBYSA-N -1 1 308.260 1.357 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCC[C@@H](C)C2)n[n-]1 ZINC000603152690 359438922 /nfs/dbraw/zinc/43/89/22/359438922.db2.gz DMGLWGMAFFYJEH-VWYCJHECSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCC[C@@H](C)C2)[n-]1 ZINC000603152690 359438925 /nfs/dbraw/zinc/43/89/25/359438925.db2.gz DMGLWGMAFFYJEH-VWYCJHECSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCC[C@@H](C)C2)n1 ZINC000603152690 359438929 /nfs/dbraw/zinc/43/89/29/359438929.db2.gz DMGLWGMAFFYJEH-VWYCJHECSA-N -1 1 308.382 1.985 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@]1(C)CC1(F)F)C(C)(C)C ZINC000603286228 359543020 /nfs/dbraw/zinc/54/30/20/359543020.db2.gz LKAQSPABHRRTPJ-KCJUWKMLSA-N -1 1 313.366 1.539 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)[C@@H]2C[C@@H]3CC[C@H]2O3)CCCCC1 ZINC000603269610 359528984 /nfs/dbraw/zinc/52/89/84/359528984.db2.gz WNOHDGTXVCGENH-QJPTWQEYSA-N -1 1 317.407 1.102 20 0 DDADMM CCOc1cc(C(=O)N2CC[C@H](C(N)=O)C2)cc(Cl)c1[O-] ZINC000186784039 200010332 /nfs/dbraw/zinc/01/03/32/200010332.db2.gz LAGYYDDDUSMIGG-QMMMGPOBSA-N -1 1 312.753 1.392 20 0 DDADMM Cc1nnc([C@H]2CCCN(C(=O)C(=O)c3ccc([O-])cc3)C2)[nH]1 ZINC000281085330 216068637 /nfs/dbraw/zinc/06/86/37/216068637.db2.gz GLIOUCLGEXAZHK-LBPRGKRZSA-N -1 1 314.345 1.408 20 0 DDADMM O=C(CNc1ccccc1Cl)NC1(c2nn[n-]n2)CCCC1 ZINC000605381322 359847881 /nfs/dbraw/zinc/84/78/81/359847881.db2.gz FXODPBMEMIBZOS-UHFFFAOYSA-N -1 1 320.784 1.851 20 0 DDADMM O=C(Cn1ncc2ccccc2c1=O)Nc1c([O-])cccc1F ZINC000605440583 359858719 /nfs/dbraw/zinc/85/87/19/359858719.db2.gz WIARXRGDGCHUPE-UHFFFAOYSA-N -1 1 313.288 1.880 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cc3occc3s2)n1 ZINC000605880161 359889244 /nfs/dbraw/zinc/88/92/44/359889244.db2.gz KTMSEISVCYOXIM-UHFFFAOYSA-N -1 1 312.332 1.268 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2cc3occc3s2)n[n-]1 ZINC000605880161 359889248 /nfs/dbraw/zinc/88/92/48/359889248.db2.gz KTMSEISVCYOXIM-UHFFFAOYSA-N -1 1 312.332 1.268 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCC[C@@H](C)CC2)co1 ZINC000188936999 200324795 /nfs/dbraw/zinc/32/47/95/200324795.db2.gz JCLCCEVHDRGPNR-PWSUYJOCSA-N -1 1 314.407 1.886 20 0 DDADMM Cn1nc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)cc1C1CC1 ZINC000608356294 360165402 /nfs/dbraw/zinc/16/54/02/360165402.db2.gz LDGKDGJTHCRXMN-CQSZACIVSA-N -1 1 323.360 1.330 20 0 DDADMM COC(=O)C(C)(C)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000611335288 360700667 /nfs/dbraw/zinc/70/06/67/360700667.db2.gz AXYQOEMNIATUBJ-NSHDSACASA-N -1 1 306.362 1.839 20 0 DDADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)C=C(C2CC2)C2CC2)c1 ZINC000272010590 209380396 /nfs/dbraw/zinc/38/03/96/209380396.db2.gz CQJUPTWEDUNFSE-UHFFFAOYSA-N -1 1 322.386 1.725 20 0 DDADMM Cc1oc(CNC(=O)c2ccc3[nH]nnc3c2)cc1C(=O)[O-] ZINC000193497077 201062444 /nfs/dbraw/zinc/06/24/44/201062444.db2.gz NUGHOAFJBISTFC-UHFFFAOYSA-N -1 1 300.274 1.488 20 0 DDADMM C[C@H](CN(C)C(=O)c1c(F)ccc2ccncc21)c1nn[n-]n1 ZINC000613471702 361433014 /nfs/dbraw/zinc/43/30/14/361433014.db2.gz FBYVKVDYZQMCGX-SECBINFHSA-N -1 1 314.324 1.763 20 0 DDADMM C[C@H]1CO[C@H](c2cccc(Cl)c2)CN1Cc1nc(=O)[n-][nH]1 ZINC000275497502 212373289 /nfs/dbraw/zinc/37/32/89/212373289.db2.gz GOUSZGHBVDFNIX-CABZTGNLSA-N -1 1 308.769 1.713 20 0 DDADMM COc1cc(NCCCN=c2nc([C@@H](C)OC)[n-]s2)ncn1 ZINC000621328560 364806992 /nfs/dbraw/zinc/80/69/92/364806992.db2.gz XQMIXSHOOHHPSY-SECBINFHSA-N -1 1 324.410 1.380 20 0 DDADMM O=C(Nc1ccc(F)cc1[O-])c1ccc(-n2cnnn2)cn1 ZINC000622466971 365414207 /nfs/dbraw/zinc/41/42/07/365414207.db2.gz CJHKKJZYOHRELX-UHFFFAOYSA-N -1 1 300.253 1.154 20 0 DDADMM NC(=O)[C@H]1C[C@H]([N-]S(=O)(=O)c2cc(Cl)ccc2F)C1 ZINC000625427033 366919281 /nfs/dbraw/zinc/91/92/81/366919281.db2.gz JFVRLUIKEJQDCK-FKQCQYRASA-N -1 1 306.746 1.021 20 0 DDADMM CC(C)c1nnc([C@@H]2COCCN2C(=O)c2cncc([O-])c2)o1 ZINC000275329143 212274767 /nfs/dbraw/zinc/27/47/67/212274767.db2.gz QFOGKYMQHRHCLG-LBPRGKRZSA-N -1 1 318.333 1.507 20 0 DDADMM CN1CCOC[C@@H]1C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000625516140 366980794 /nfs/dbraw/zinc/98/07/94/366980794.db2.gz QGUOVRRPJGQWMB-VIFPVBQESA-N -1 1 322.789 1.088 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)[C@H](C)Cc1ccccc1C ZINC000349467156 283937385 /nfs/dbraw/zinc/93/73/85/283937385.db2.gz WZJUVWHKBSCPQL-LLVKDONJSA-N -1 1 323.418 1.956 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)c1ccc(Cl)cn1 ZINC000349580831 283972234 /nfs/dbraw/zinc/97/22/34/283972234.db2.gz DFASJXUMJWQITG-UHFFFAOYSA-N -1 1 302.743 1.269 20 0 DDADMM C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)c1cc(F)ccc1[O-] ZINC000094158331 193347263 /nfs/dbraw/zinc/34/72/63/193347263.db2.gz RZTWWFOVCOFAQV-RKDXNWHRSA-N -1 1 301.339 1.179 20 0 DDADMM CCN(C(=O)c1cc(F)ccc1[O-])[C@@H](C)CS(=O)(=O)CC ZINC000094137112 284252799 /nfs/dbraw/zinc/25/27/99/284252799.db2.gz SJVMRWMFBNXSAY-JTQLQIEISA-N -1 1 317.382 1.817 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1O[C@H](C)C[C@@H]1C ZINC000282484561 217031077 /nfs/dbraw/zinc/03/10/77/217031077.db2.gz HHJWIVRIYVHMME-IQMYEBEASA-N -1 1 318.377 1.069 20 0 DDADMM COC[C@@](C)([N-]S(=O)(=O)c1ccsc1)C(F)(F)F ZINC000351398835 284323427 /nfs/dbraw/zinc/32/34/27/284323427.db2.gz PMFZLHKNKDHHPT-MRVPVSSYSA-N -1 1 303.327 1.994 20 0 DDADMM COc1ccsc1C(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282811945 217266508 /nfs/dbraw/zinc/26/65/08/217266508.db2.gz BKFOMUQCJWSDBE-QMMMGPOBSA-N -1 1 308.363 1.188 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)c1ccc2nc(C)oc2c1 ZINC000337151770 249366713 /nfs/dbraw/zinc/36/67/13/249366713.db2.gz LWAVKEMWIAMSME-UHFFFAOYSA-N -1 1 313.317 1.202 20 0 DDADMM CCCOC(=O)c1ccc([N-]S(=O)(=O)CCOC)cc1 ZINC000042810386 183311232 /nfs/dbraw/zinc/31/12/32/183311232.db2.gz CRXHMEKAHLUJIT-UHFFFAOYSA-N -1 1 301.364 1.642 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)Cc1noc2ccccc21)C1CC1 ZINC000274036848 211295068 /nfs/dbraw/zinc/29/50/68/211295068.db2.gz XJYKIGHSSOMJIQ-ZDUSSCGKSA-N -1 1 324.358 1.199 20 0 DDADMM O=C(CCC[N-]S(=O)(=O)c1sccc1F)NC1CC1 ZINC000338869116 250174310 /nfs/dbraw/zinc/17/43/10/250174310.db2.gz SQHPPQVJIVVLGI-UHFFFAOYSA-N -1 1 306.384 1.224 20 0 DDADMM CCOc1cc(C(=O)N2CCO[C@@H](CO)C2)cc(Cl)c1[O-] ZINC000273852821 211114065 /nfs/dbraw/zinc/11/40/65/211114065.db2.gz MCJLUUSYSQMXBE-SNVBAGLBSA-N -1 1 315.753 1.278 20 0 DDADMM O=S(=O)([N-]Cc1cccc(CO)c1)c1sccc1F ZINC000338900199 250188769 /nfs/dbraw/zinc/18/87/69/250188769.db2.gz CAFBXFCXGPUINB-UHFFFAOYSA-N -1 1 301.364 1.858 20 0 DDADMM CC(C)OC(=O)CCC[N-]S(=O)(=O)c1sccc1F ZINC000338956216 250217747 /nfs/dbraw/zinc/21/77/47/250217747.db2.gz IIXHLHYDUUZSDC-UHFFFAOYSA-N -1 1 309.384 1.897 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCCn3cccn3)cnc2n1 ZINC000339079191 250284080 /nfs/dbraw/zinc/28/40/80/250284080.db2.gz BTHXDVRZRJHVIX-UHFFFAOYSA-N -1 1 311.345 1.660 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)NCCCn3cccn3)c[n-]c2n1 ZINC000339079191 250284085 /nfs/dbraw/zinc/28/40/85/250284085.db2.gz BTHXDVRZRJHVIX-UHFFFAOYSA-N -1 1 311.345 1.660 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2Cc3ccccc3O2)n1 ZINC000339171517 250326308 /nfs/dbraw/zinc/32/63/08/250326308.db2.gz JHYGURASVMJPCS-LBPRGKRZSA-N -1 1 301.302 1.529 20 0 DDADMM COC(=O)c1coc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1 ZINC000339195480 250338606 /nfs/dbraw/zinc/33/86/06/250338606.db2.gz DZMVGOIMRCOXOW-QMMMGPOBSA-N -1 1 321.289 1.175 20 0 DDADMM CC(C)Cc1cccc([C@H](C)C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000285183391 218323206 /nfs/dbraw/zinc/32/32/06/218323206.db2.gz ROBOATCOSXBNRZ-NSHDSACASA-N -1 1 303.362 1.572 20 0 DDADMM COc1ccccc1CN(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000352128973 284808398 /nfs/dbraw/zinc/80/83/98/284808398.db2.gz LDYOMMDWZIABFN-UHFFFAOYSA-N -1 1 319.317 1.177 20 0 DDADMM C[S@](=O)CCCNC(=O)c1ccc(Br)cc1[O-] ZINC000109916280 194298637 /nfs/dbraw/zinc/29/86/37/194298637.db2.gz IEQBRFIIUWYBOX-QGZVFWFLSA-N -1 1 320.208 1.653 20 0 DDADMM CCN(CC)C(=O)C1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000109960009 194301064 /nfs/dbraw/zinc/30/10/64/194301064.db2.gz OIPKZEBSMLLECV-UHFFFAOYSA-N -1 1 305.378 1.508 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)CC1CCC1 ZINC000110466790 194332166 /nfs/dbraw/zinc/33/21/66/194332166.db2.gz CUMNWRYGOLEWGB-UHFFFAOYSA-N -1 1 302.378 1.440 20 0 DDADMM O=C([O-])[C@@]1(NCc2cc(F)ccc2Br)CCOC1 ZINC000340676114 251161728 /nfs/dbraw/zinc/16/17/28/251161728.db2.gz JKJRESGNTMXCEY-GFCCVEGCSA-N -1 1 318.142 1.922 20 0 DDADMM O=c1[n-]c(SC[C@@H]2CN(Cc3ccccc3)CCCO2)n[nH]1 ZINC000340711706 251173444 /nfs/dbraw/zinc/17/34/44/251173444.db2.gz RWZNCXVZFVLXFJ-ZDUSSCGKSA-N -1 1 320.418 1.894 20 0 DDADMM Cc1nn(C)c2sc(C(=O)NCc3n[n-]c(=S)n3C)cc12 ZINC000067050034 184447700 /nfs/dbraw/zinc/44/77/00/184447700.db2.gz CCGQDLMMBJSCFN-UHFFFAOYSA-N -1 1 322.419 1.664 20 0 DDADMM O=C(NCc1ccc(F)c(Cl)c1)c1cc(=O)n2[n-]cnc2n1 ZINC000352433348 285047148 /nfs/dbraw/zinc/04/71/48/285047148.db2.gz UZPIZDMJAAIUOP-UHFFFAOYSA-N -1 1 321.699 1.140 20 0 DDADMM COCCOCCC[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425146579 533068378 /nfs/dbraw/zinc/06/83/78/533068378.db2.gz XGCTZJGCQIUBCF-UHFFFAOYSA-N -1 1 323.361 1.605 20 0 DDADMM CC(C)(C)[C@@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)C[C@H]1O ZINC000288664836 220334115 /nfs/dbraw/zinc/33/41/15/220334115.db2.gz SRHOZXBXVSWHQR-ZIAGYGMSSA-N -1 1 305.374 1.830 20 0 DDADMM COC(=O)CCCONC(=O)N=c1nc(C(C)(C)C)[n-]s1 ZINC000288661674 220329316 /nfs/dbraw/zinc/32/93/16/220329316.db2.gz BQAAAAJHZGOOGM-UHFFFAOYSA-N -1 1 316.383 1.842 20 0 DDADMM COC(=O)[C@H](C)[N-]S(=O)(=O)Cc1c(F)cccc1Cl ZINC000451029078 533205820 /nfs/dbraw/zinc/20/58/20/533205820.db2.gz QLDUMNAEXNNTRO-ZETCQYMHSA-N -1 1 309.746 1.460 20 0 DDADMM C[C@H](CC(=O)[O-])CC(=O)NCCN1CCc2ccccc2C1 ZINC000568246789 304280216 /nfs/dbraw/zinc/28/02/16/304280216.db2.gz XSJUYMQSDSDXKL-ZDUSSCGKSA-N -1 1 304.390 1.662 20 0 DDADMM Cc1cc(C[N-]S(=O)(=O)c2ccc(Br)o2)on1 ZINC000352887523 285362534 /nfs/dbraw/zinc/36/25/34/285362534.db2.gz YELSGQNLLJHNKS-UHFFFAOYSA-N -1 1 321.152 1.817 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2(C)CCCCC2)co1 ZINC000121980645 195385695 /nfs/dbraw/zinc/38/56/95/195385695.db2.gz DRSXFDPPQWBSNH-UHFFFAOYSA-N -1 1 300.380 1.640 20 0 DDADMM C[C@@H](NC(=O)c1csc(=NC2CC2)[n-]1)c1nnc2n1CCC2 ZINC000352934142 285394372 /nfs/dbraw/zinc/39/43/72/285394372.db2.gz GPQCSJVVVFDIBQ-MRVPVSSYSA-N -1 1 318.406 1.168 20 0 DDADMM C[C@@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C(C)(F)F ZINC000294386318 533315454 /nfs/dbraw/zinc/31/54/54/533315454.db2.gz CSEVOCNFCHOGHP-LURJTMIESA-N -1 1 308.306 1.269 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCc1cc(F)cc(F)c1 ZINC000431895348 533446749 /nfs/dbraw/zinc/44/67/49/533446749.db2.gz AYDKPZBSDBMZPA-UHFFFAOYSA-N -1 1 311.244 1.105 20 0 DDADMM C[C@H]1C[C@H](c2cccc(F)c2)N(C(=O)CCc2nn[n-]n2)C1 ZINC000631528227 422814329 /nfs/dbraw/zinc/81/43/29/422814329.db2.gz UOZALSXWLYKTFP-GXFFZTMASA-N -1 1 303.341 1.881 20 0 DDADMM O=S(=O)([N-][C@@H]1CCCC[C@H]1O)c1nc2ccccc2s1 ZINC000569114828 304336770 /nfs/dbraw/zinc/33/67/70/304336770.db2.gz SCCSIQNDOOKOKP-MWLCHTKSSA-N -1 1 312.416 1.878 20 0 DDADMM CC(C)(C)OC(=O)N1CCCC(C)(C)[C@@H]1C(=O)Nc1nn[n-]n1 ZINC000496865127 307365568 /nfs/dbraw/zinc/36/55/68/307365568.db2.gz QHVIEJGYFCOUDW-VIFPVBQESA-N -1 1 324.385 1.564 20 0 DDADMM CCN(C)C(=O)C[N-]S(=O)(=O)c1c(F)cccc1Cl ZINC000185987547 407559629 /nfs/dbraw/zinc/55/96/29/407559629.db2.gz IGBPYFPPERKYHY-UHFFFAOYSA-N -1 1 308.762 1.236 20 0 DDADMM COCc1ccc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)cc1 ZINC000073656465 406892862 /nfs/dbraw/zinc/89/28/62/406892862.db2.gz VDVPRJFDEHOHFC-UHFFFAOYSA-N -1 1 323.352 2.000 20 0 DDADMM CCCCOCCCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000074272423 406907057 /nfs/dbraw/zinc/90/70/57/406907057.db2.gz GQZDUHXWGNIBEE-UHFFFAOYSA-N -1 1 303.362 1.860 20 0 DDADMM CCC1(O)CN(C(=O)c2ccc(Br)cc2[O-])C1 ZINC000089649790 407143459 /nfs/dbraw/zinc/14/34/59/407143459.db2.gz DGOZGGGSXKEXHL-UHFFFAOYSA-N -1 1 300.152 1.752 20 0 DDADMM CCOC(=O)CC[C@@H](C)[N-]S(=O)(=O)c1cccc(F)c1F ZINC000089783414 407149113 /nfs/dbraw/zinc/14/91/13/407149113.db2.gz IITIRCUICZQDDX-SECBINFHSA-N -1 1 321.345 1.975 20 0 DDADMM CC(C)n1c(CNC(=O)c2ccc(=O)n(C)c2)n[n-]c1=S ZINC000066639393 407256031 /nfs/dbraw/zinc/25/60/31/407256031.db2.gz URNXCKFMNMMTGK-UHFFFAOYSA-N -1 1 307.379 1.150 20 0 DDADMM Cc1ccc(-c2noc(CCC(=O)Nc3nnn[n-]3)n2)cc1F ZINC000102895186 407328429 /nfs/dbraw/zinc/32/84/29/407328429.db2.gz MMGJXEYXRFEILH-UHFFFAOYSA-N -1 1 317.284 1.269 20 0 DDADMM Cc1ccc(-c2noc(CCC(=O)Nc3nn[n-]n3)n2)cc1F ZINC000102895186 407328431 /nfs/dbraw/zinc/32/84/31/407328431.db2.gz MMGJXEYXRFEILH-UHFFFAOYSA-N -1 1 317.284 1.269 20 0 DDADMM O=C(NCCCc1nnc2n1CCCCC2)c1ncccc1[O-] ZINC000067175406 407268957 /nfs/dbraw/zinc/26/89/57/407268957.db2.gz IZOSUMYWQAGDCB-UHFFFAOYSA-N -1 1 315.377 1.468 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2CCC(C)CC2)co1 ZINC000122407958 407300454 /nfs/dbraw/zinc/30/04/54/407300454.db2.gz WKPYXLUMRGRPHT-UHFFFAOYSA-N -1 1 314.407 1.744 20 0 DDADMM O=C(CNC(=O)c1nc2ccccc2c(=O)[n-]1)Nc1ccccc1 ZINC000122884314 407313375 /nfs/dbraw/zinc/31/33/75/407313375.db2.gz JUGVFIZMXXIBSW-UHFFFAOYSA-N -1 1 322.324 1.292 20 0 DDADMM CN(C)C(=O)C(C)(C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000108403132 407385901 /nfs/dbraw/zinc/38/59/01/407385901.db2.gz BFUQAWRXNYDDDY-UHFFFAOYSA-N -1 1 324.324 1.249 20 0 DDADMM Cc1ccc(-n2nc(C(=O)Nc3nn[n-]n3)c3c2CCCC3)cc1 ZINC000108448017 407386105 /nfs/dbraw/zinc/38/61/05/407386105.db2.gz BPLKXMZFAFUITH-UHFFFAOYSA-N -1 1 323.360 1.825 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCc2ccc(C)nc2)o1 ZINC000111356187 407409069 /nfs/dbraw/zinc/40/90/69/407409069.db2.gz SHCRXGRGBVVDPE-UHFFFAOYSA-N -1 1 324.358 1.291 20 0 DDADMM CC[C@H](C)[C@](C)(O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000112385806 407428222 /nfs/dbraw/zinc/42/82/22/407428222.db2.gz GEKBNWJDZBXOCV-MGPLVRAMSA-N -1 1 303.362 1.450 20 0 DDADMM COC(=O)[C@@H](C[N-]C(=O)C(F)(F)F)Cc1ccc(O)cc1 ZINC000112901517 407445090 /nfs/dbraw/zinc/44/50/90/407445090.db2.gz HOIMCKDCDACXNV-SECBINFHSA-N -1 1 305.252 1.402 20 0 DDADMM C[C@@H](CNC(=O)c1nc2ccccc2c(=O)[n-]1)c1nccs1 ZINC000185585575 407457836 /nfs/dbraw/zinc/45/78/36/407457836.db2.gz JBEHTGATKFYNQM-VIFPVBQESA-N -1 1 314.370 1.913 20 0 DDADMM CNC(=O)CCN(C)C(=O)c1ccc(Br)cc1[O-] ZINC000171481536 407690931 /nfs/dbraw/zinc/69/09/31/407690931.db2.gz RCCBODJLKSDZEB-UHFFFAOYSA-N -1 1 315.167 1.363 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CC[C@H](CO)C1 ZINC000231208864 407695487 /nfs/dbraw/zinc/69/54/87/407695487.db2.gz LPXLLIYFXJLTBL-QMMMGPOBSA-N -1 1 300.152 1.609 20 0 DDADMM O=C(Cc1nc(-c2ccc(F)cc2)cs1)Nc1nnn[n-]1 ZINC000186636815 407726683 /nfs/dbraw/zinc/72/66/83/407726683.db2.gz PVABDEHQYADZAP-UHFFFAOYSA-N -1 1 304.310 1.644 20 0 DDADMM O=C(Cc1nc(-c2ccc(F)cc2)cs1)Nc1nn[n-]n1 ZINC000186636815 407726688 /nfs/dbraw/zinc/72/66/88/407726688.db2.gz PVABDEHQYADZAP-UHFFFAOYSA-N -1 1 304.310 1.644 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)[C@@H]2CCCCO2)c1 ZINC000272095252 407771345 /nfs/dbraw/zinc/77/13/45/407771345.db2.gz VWBQJKNQEOUOHE-ONGXEEELSA-N -1 1 317.363 1.302 20 0 DDADMM CCCN(CC(C)(C)O)C(=O)c1c(C)[n-]c(=O)nc1SC ZINC000267179529 407778402 /nfs/dbraw/zinc/77/84/02/407778402.db2.gz VLWZYAKHRZDMRN-UHFFFAOYSA-N -1 1 313.423 1.836 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1COc3ccccc31)c2=O ZINC000179462390 407793550 /nfs/dbraw/zinc/79/35/50/407793550.db2.gz HAIGTLWCLXZHKC-LBPRGKRZSA-N -1 1 310.313 1.537 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)CCC[C@@H]2C)o1 ZINC000133484313 407849851 /nfs/dbraw/zinc/84/98/51/407849851.db2.gz DOURDRIKUXQYBY-MNOVXSKESA-N -1 1 314.407 1.838 20 0 DDADMM Cn1cc(C(=O)C[C@@H]2CCCN2C(=O)c2ncccc2[O-])cn1 ZINC000154013469 407952704 /nfs/dbraw/zinc/95/27/04/407952704.db2.gz WGIFRLPROFFQBX-LBPRGKRZSA-N -1 1 314.345 1.398 20 0 DDADMM O=C(c1ccc(Br)c([O-])c1)N1CCC[C@@H]1CO ZINC000153849519 407916680 /nfs/dbraw/zinc/91/66/80/407916680.db2.gz OBZPVEXHCYVKMV-SECBINFHSA-N -1 1 300.152 1.752 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC23CCCCC3)co1 ZINC000180792670 407918048 /nfs/dbraw/zinc/91/80/48/407918048.db2.gz RSPVNTZGAWLRKW-LLVKDONJSA-N -1 1 312.391 1.640 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N(CC1CC1)CC1CC1)c2=O ZINC000119258504 408025233 /nfs/dbraw/zinc/02/52/33/408025233.db2.gz USIBZPVOLDHFTG-UHFFFAOYSA-N -1 1 300.362 1.936 20 0 DDADMM CO[C@@H]1CCCC[C@@H]1NC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000119290057 408033428 /nfs/dbraw/zinc/03/34/28/408033428.db2.gz QZSAARMWRTWYRU-NWDGAFQWSA-N -1 1 304.350 1.361 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCN(c2cccc(O)c2)CC1 ZINC000189660908 408073740 /nfs/dbraw/zinc/07/37/40/408073740.db2.gz LRWXPHGRMMDVOM-UHFFFAOYSA-N -1 1 323.356 1.626 20 0 DDADMM COC[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCCO1)c1ccco1 ZINC000175353658 408111556 /nfs/dbraw/zinc/11/15/56/408111556.db2.gz LWKZLQGZNCSLPR-NWDGAFQWSA-N -1 1 303.380 1.456 20 0 DDADMM C[C@H]1CN(C(C)(C)CNc2cnc(C(=O)[O-])cn2)C[C@H](C)O1 ZINC000263462546 408146423 /nfs/dbraw/zinc/14/64/23/408146423.db2.gz CAJQPCJARSGDJR-QWRGUYRKSA-N -1 1 308.382 1.475 20 0 DDADMM CCOc1cccc(C(=O)Nc2nc(SCCO)n[nH]2)c1 ZINC000268678246 408152560 /nfs/dbraw/zinc/15/25/60/408152560.db2.gz FVYQEAVBASTWDR-UHFFFAOYSA-N -1 1 308.363 1.540 20 0 DDADMM NC(=O)[C@@H]1CCCCC[C@@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000137601338 408158669 /nfs/dbraw/zinc/15/86/69/408158669.db2.gz KXCAQTYRIDHAQV-MNOVXSKESA-N -1 1 301.350 1.122 20 0 DDADMM CCO[C@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000155512485 408175258 /nfs/dbraw/zinc/17/52/58/408175258.db2.gz VIAJWOSZXQHQNV-KBPBESRZSA-N -1 1 315.377 1.684 20 0 DDADMM O=C(C=Cc1ccnc(Cl)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000155525678 408176211 /nfs/dbraw/zinc/17/62/11/408176211.db2.gz CLSRCFOHNKMJBB-UFFNRZRYSA-N -1 1 318.768 1.668 20 0 DDADMM CN1CCc2ccc(NC(=O)c3ccc(O)cc3[O-])cc2C1=O ZINC000151019349 408241336 /nfs/dbraw/zinc/24/13/36/408241336.db2.gz AANJBURQVLCSSZ-UHFFFAOYSA-N -1 1 312.325 1.978 20 0 DDADMM COC(=O)Nc1ccccc1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000273466974 408212292 /nfs/dbraw/zinc/21/22/92/408212292.db2.gz GIPUCRASCSYOMJ-SECBINFHSA-N -1 1 318.337 1.254 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H](CCO)C(C)C ZINC000268933622 408218953 /nfs/dbraw/zinc/21/89/53/408218953.db2.gz RFOWTPGQUNOHAC-NSHDSACASA-N -1 1 309.410 1.925 20 0 DDADMM O=C(NCCCc1nc(=O)[n-][nH]1)[C@H]1C[C@@H]1c1cccc(F)c1F ZINC000176263814 408327780 /nfs/dbraw/zinc/32/77/80/408327780.db2.gz TUAYTCJZPWWOHD-ZJUUUORDSA-N -1 1 322.315 1.229 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(OC[C@@H]2CCCCO2)CC1 ZINC000176214769 408311227 /nfs/dbraw/zinc/31/12/27/408311227.db2.gz QZTDZFAISAFGBD-AWEZNQCLSA-N -1 1 320.389 1.978 20 0 DDADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cnn(C)c1C ZINC000176321432 408338276 /nfs/dbraw/zinc/33/82/76/408338276.db2.gz SHAFDCDLGCBUIM-UHFFFAOYSA-N -1 1 322.390 1.742 20 0 DDADMM CSc1nc(-c2ccco2)nc(C)c1C(=O)Nc1nnn[n-]1 ZINC000176342432 408344209 /nfs/dbraw/zinc/34/42/09/408344209.db2.gz RKAHYKJPXBYVAR-UHFFFAOYSA-N -1 1 317.334 1.532 20 0 DDADMM CSc1nc(-c2ccco2)nc(C)c1C(=O)Nc1nn[n-]n1 ZINC000176342432 408344212 /nfs/dbraw/zinc/34/42/12/408344212.db2.gz RKAHYKJPXBYVAR-UHFFFAOYSA-N -1 1 317.334 1.532 20 0 DDADMM O=C(CNC(=O)c1ccc(C(F)(F)F)cc1[O-])NCC1CC1 ZINC000183284766 408371359 /nfs/dbraw/zinc/37/13/59/408371359.db2.gz OFSSOYQMEVOLJW-UHFFFAOYSA-N -1 1 316.279 1.667 20 0 DDADMM C[C@@H](CN(C)C(=O)C1(c2cccc(F)c2)CC1)c1nn[n-]n1 ZINC000183406832 408400422 /nfs/dbraw/zinc/40/04/22/408400422.db2.gz SXKGRUMLBQPIDT-JTQLQIEISA-N -1 1 303.341 1.633 20 0 DDADMM COc1ccc(C(C)(C)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000183408197 408400493 /nfs/dbraw/zinc/40/04/93/408400493.db2.gz AAOCVHCUPPVAGY-LLVKDONJSA-N -1 1 317.393 1.748 20 0 DDADMM CC(=O)c1cccc(OCC(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000183402188 408401707 /nfs/dbraw/zinc/40/17/07/408401707.db2.gz LIGKQDKKESDINP-JTQLQIEISA-N -1 1 317.349 1.043 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@H]1OCCc2ccccc21)c1nn[n-]n1 ZINC000183421901 408408728 /nfs/dbraw/zinc/40/87/28/408408728.db2.gz VFJPPDOCSCZTBC-BXUZGUMPSA-N -1 1 315.377 1.466 20 0 DDADMM C[C@H](CN(C)C(=O)C(C)(C)c1cccc(F)c1)c1nn[n-]n1 ZINC000183422273 408408904 /nfs/dbraw/zinc/40/89/04/408408904.db2.gz ZGXCEMMEVFVCDS-SNVBAGLBSA-N -1 1 305.357 1.879 20 0 DDADMM CC(C)(C)[C@H](O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000269747000 408415380 /nfs/dbraw/zinc/41/53/80/408415380.db2.gz GLRUAYYDUJEBCE-SECBINFHSA-N -1 1 311.325 1.789 20 0 DDADMM C[C@@H]1C[N@@H+](Cc2ccccn2)CC[C@H]1NC(=O)C(F)(F)F ZINC000247212312 408428990 /nfs/dbraw/zinc/42/89/90/408428990.db2.gz MMSKNMQPSLUZJX-ZYHUDNBSSA-N -1 1 301.312 1.971 20 0 DDADMM CCOC(=O)c1cnc(C(C)=Cc2ccnn2CC)[n-]c1=O ZINC000191479771 408432806 /nfs/dbraw/zinc/43/28/06/408432806.db2.gz ZSUUXXWSOMYHAB-CSKARUKUSA-N -1 1 302.334 1.724 20 0 DDADMM CCC[C@H](NC(=O)C=Cc1ccc2c(c1)CCO2)c1nn[n-]n1 ZINC000176784840 408443674 /nfs/dbraw/zinc/44/36/74/408443674.db2.gz AVXPJYPBLDNLBQ-IYNGYCSASA-N -1 1 313.361 1.805 20 0 DDADMM CCN(C)C(=O)C[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000274871269 408562567 /nfs/dbraw/zinc/56/25/67/408562567.db2.gz VYAHOWRDJBQQQG-UHFFFAOYSA-N -1 1 306.259 1.651 20 0 DDADMM CO[C@H](C)CS(=O)(=O)[N-]c1cc(C)ccc1NC(C)=O ZINC000193325089 408712183 /nfs/dbraw/zinc/71/21/83/408712183.db2.gz ZCJPIVLDMCXSAY-SNVBAGLBSA-N -1 1 300.380 1.730 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cnc(C)s1)[C@@H](O)C(F)(F)F ZINC000270867656 408717027 /nfs/dbraw/zinc/71/70/27/408717027.db2.gz SJMWZGKIVFZIHI-HTRCEHHLSA-N -1 1 318.342 1.432 20 0 DDADMM CCN(C(=O)c1coc(S(=O)(=O)[N-]C)c1)C1CCCCC1 ZINC000162830742 408737847 /nfs/dbraw/zinc/73/78/47/408737847.db2.gz AGDIRHAKXFITNQ-UHFFFAOYSA-N -1 1 314.407 1.983 20 0 DDADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CCCCO1 ZINC000275980867 408738286 /nfs/dbraw/zinc/73/82/86/408738286.db2.gz KQLQERBFRCLWIN-UHFFFAOYSA-N -1 1 314.345 1.649 20 0 DDADMM CC(C)(C)OC(=O)C[N-]S(=O)(=O)Cc1c(F)cccc1F ZINC000162909795 408742686 /nfs/dbraw/zinc/74/26/86/408742686.db2.gz VCPIRYLJRZUDOI-UHFFFAOYSA-N -1 1 321.345 1.726 20 0 DDADMM Cc1c(Cl)cccc1NC(=O)CCNC(=O)c1cnn[nH]1 ZINC000194879136 408803818 /nfs/dbraw/zinc/80/38/18/408803818.db2.gz YWXAGTRZFUEGAZ-UHFFFAOYSA-N -1 1 307.741 1.525 20 0 DDADMM CCN1CCC[C@H]1CNS(=O)(=O)c1cc(F)ccc1F ZINC000193810067 408746235 /nfs/dbraw/zinc/74/62/35/408746235.db2.gz PJGSZQZESOZNQM-NSHDSACASA-N -1 1 304.362 1.727 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H](C)c1ncon1 ZINC000270932368 408746539 /nfs/dbraw/zinc/74/65/39/408746539.db2.gz KXJPVVARQSGYNW-MRVPVSSYSA-N -1 1 305.338 1.662 20 0 DDADMM C[C@H](O)[C@@H]1CCN(C(=O)c2ccc(Br)cc2[O-])C1 ZINC000169189008 408819645 /nfs/dbraw/zinc/81/96/45/408819645.db2.gz KWFCMWUVZZBFEO-DTWKUNHWSA-N -1 1 314.179 1.998 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCc2c1cccc2O ZINC000290770774 408830250 /nfs/dbraw/zinc/83/02/50/408830250.db2.gz OJZFMKKNTOASJR-SNVBAGLBSA-N -1 1 309.347 1.237 20 0 DDADMM Cc1noc([C@@H]2CCCN(C(=O)C(=O)c3ccc([O-])cc3)C2)n1 ZINC000280822341 408840476 /nfs/dbraw/zinc/84/04/76/408840476.db2.gz RSSVEJALJUTLFS-GFCCVEGCSA-N -1 1 315.329 1.673 20 0 DDADMM Cc1noc(C)c1C[C@H](C)[N-]S(=O)(=O)c1c(C)onc1N ZINC000290854122 408844947 /nfs/dbraw/zinc/84/49/47/408844947.db2.gz WVCSEFHHKXKHFQ-LURJTMIESA-N -1 1 314.367 1.080 20 0 DDADMM O=C([N-][C@@H]1CC(=O)N(c2ccccc2F)C1)C(F)(F)C(F)F ZINC000291772844 408921446 /nfs/dbraw/zinc/92/14/46/408921446.db2.gz TYCLRTQAUMCDDA-SSDOTTSWSA-N -1 1 322.233 1.948 20 0 DDADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@@H](C)OCC)CC2)n1 ZINC000277572996 408967324 /nfs/dbraw/zinc/96/73/24/408967324.db2.gz BNCAAXOPBPJOEO-SNVBAGLBSA-N -1 1 324.381 1.112 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCOCC(F)(F)C1 ZINC000292049468 408969151 /nfs/dbraw/zinc/96/91/51/408969151.db2.gz FWVKPHWOBIKBSQ-UHFFFAOYSA-N -1 1 319.333 1.320 20 0 DDADMM COC(=O)CCCCCCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000287219419 409005732 /nfs/dbraw/zinc/00/57/32/409005732.db2.gz VGCXHDMHVLPFBL-UHFFFAOYSA-N -1 1 307.346 1.815 20 0 DDADMM CCC[C@@H](NC(=O)[C@H]1CCc2ccc(OC)cc21)c1nn[n-]n1 ZINC000277802009 409013952 /nfs/dbraw/zinc/01/39/52/409013952.db2.gz ZEPUSDJLTWZEIK-GXTWGEPZSA-N -1 1 315.377 1.896 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CCC[C@H]3[C@H]3CCCO3)ccnc1-2 ZINC000287286284 409014129 /nfs/dbraw/zinc/01/41/29/409014129.db2.gz UKHBHTSSVZKVQS-ICLFIUAQSA-N -1 1 315.377 1.517 20 0 DDADMM CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000287404138 409031635 /nfs/dbraw/zinc/03/16/35/409031635.db2.gz JHDXKRFFOKIFRZ-QWHCGFSZSA-N -1 1 318.373 1.338 20 0 DDADMM C[C@H]1COCC[C@@H]1[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000277942336 409038195 /nfs/dbraw/zinc/03/81/95/409038195.db2.gz RAKFJSDLDGBGSB-CPCISQLKSA-N -1 1 309.309 1.807 20 0 DDADMM CCc1nnc([N-]C(=O)c2cnc(C3OCCO3)s2)s1 ZINC000282845720 409065774 /nfs/dbraw/zinc/06/57/74/409065774.db2.gz ALIXRSFGGZEVOU-UHFFFAOYSA-N -1 1 312.376 1.855 20 0 DDADMM CO[C@@H]1CCC[C@@H](CC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000283036518 409074033 /nfs/dbraw/zinc/07/40/33/409074033.db2.gz GKRUDXBJRWTEPZ-NVYDRDRZSA-N -1 1 302.378 1.876 20 0 DDADMM C[C@@H]1C[C@H](CCNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000287688592 409076480 /nfs/dbraw/zinc/07/64/80/409076480.db2.gz RBORLNOVRHJLHH-LCJLFDQKSA-N -1 1 317.393 1.669 20 0 DDADMM CC(C)(C)n1ncnc1CNC(=O)C(=O)c1ccc([O-])cc1 ZINC000288065778 409139586 /nfs/dbraw/zinc/13/95/86/409139586.db2.gz FYXVBXOKVUENNY-UHFFFAOYSA-N -1 1 302.334 1.238 20 0 DDADMM COC(C)(C)C[C@H](C)NC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279180601 409164609 /nfs/dbraw/zinc/16/46/09/409164609.db2.gz BQMSWSOYYVYKNI-FZUCCHQLSA-N -1 1 305.382 1.667 20 0 DDADMM CCOC[C@H]1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000279183490 409166082 /nfs/dbraw/zinc/16/60/82/409166082.db2.gz BVRNRDJWYCGIIB-AFSRSGBESA-N -1 1 303.366 1.232 20 0 DDADMM O=C(NC[C@H]1CCC2(CCOCC2)[C@@H]1O)c1c([O-])cccc1F ZINC000283602938 409182763 /nfs/dbraw/zinc/18/27/63/409182763.db2.gz LIDAOFNBJLHYJD-IAQYHMDHSA-N -1 1 323.364 1.829 20 0 DDADMM CC(C)CN(C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2)C(C)C ZINC000289045462 409211925 /nfs/dbraw/zinc/21/19/25/409211925.db2.gz BSPSZPQJHUBZSH-UYRXBGFRSA-N -1 1 317.393 1.173 20 0 DDADMM CC1(C)OCC[C@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000295188541 409364368 /nfs/dbraw/zinc/36/43/68/409364368.db2.gz CUSILDXJOFWIAM-SECBINFHSA-N -1 1 309.309 1.950 20 0 DDADMM CCCN(CC(=O)OC)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000295260836 409400904 /nfs/dbraw/zinc/40/09/04/409400904.db2.gz HODOIFCSIASFBX-UHFFFAOYSA-N -1 1 308.363 1.043 20 0 DDADMM CCOC(=O)N1CCCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000280685783 409408571 /nfs/dbraw/zinc/40/85/71/409408571.db2.gz CTWMZTDDHOAINU-UHFFFAOYSA-N -1 1 320.345 1.266 20 0 DDADMM C[C@@H](O)CN1CCN(C(=O)c2c(F)ccc([O-])c2F)C[C@H]1C ZINC000280707964 409420090 /nfs/dbraw/zinc/42/00/90/409420090.db2.gz FZVTVPBKGUCAOF-NXEZZACHSA-N -1 1 314.332 1.198 20 0 DDADMM C[C@H]1CCCN(Cc2ccc(S(C)(=O)=O)cc2)[C@H]1C(=O)[O-] ZINC000314025349 164012527 /nfs/dbraw/zinc/01/25/27/164012527.db2.gz XMBUONPXUSGYMB-SMDDNHRTSA-N -1 1 311.403 1.775 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(Br)cc2[O-])CC(=O)N1 ZINC000296372420 409513655 /nfs/dbraw/zinc/51/36/55/409513655.db2.gz VWIZTUMAZSQUEF-SSDOTTSWSA-N -1 1 313.151 1.115 20 0 DDADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CC(F)C2)o1 ZINC000345557967 409695931 /nfs/dbraw/zinc/69/59/31/409695931.db2.gz OLOBKCPLBUAHJF-UHFFFAOYSA-N -1 1 304.343 1.150 20 0 DDADMM C[C@@H](C(=O)NC(C)(C)c1nn[n-]n1)c1cn(C)c2ccccc12 ZINC000356855466 409664897 /nfs/dbraw/zinc/66/48/97/409664897.db2.gz ZUJUQTRSZHDYDL-SNVBAGLBSA-N -1 1 312.377 1.846 20 0 DDADMM Cc1ccc2nc(C)c(C(=O)NC3(c4nn[n-]n4)CC3)cc2c1 ZINC000357059936 409826568 /nfs/dbraw/zinc/82/65/68/409826568.db2.gz UHTXBXWZELYYQY-UHFFFAOYSA-N -1 1 308.345 1.784 20 0 DDADMM CCc1cnc(CN2CCN(c3nc(=N)[n-]s3)CC2)s1 ZINC000346159601 409833244 /nfs/dbraw/zinc/83/32/44/409833244.db2.gz COGCTPGCMBMKQV-UHFFFAOYSA-N -1 1 310.452 1.292 20 0 DDADMM CCn1cc([C@H]2OCCC[C@@H]2NC(=O)c2ncccc2[O-])cn1 ZINC000332046497 409836130 /nfs/dbraw/zinc/83/61/30/409836130.db2.gz LYIMMLLMDXYUPD-SWLSCSKDSA-N -1 1 316.361 1.654 20 0 DDADMM C[C@H](Cc1ccc(Cl)cc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357076092 409847748 /nfs/dbraw/zinc/84/77/48/409847748.db2.gz MBBMKRYHFWELTD-SECBINFHSA-N -1 1 305.769 1.837 20 0 DDADMM Cc1nc(S[C@H]2CCN(c3cnn(C)c3)C2=O)[n-]c(=O)c1C ZINC000332075209 409852105 /nfs/dbraw/zinc/85/21/05/409852105.db2.gz FJENRJKIRQWMDE-NSHDSACASA-N -1 1 319.390 1.430 20 0 DDADMM CCc1n[nH]c([N-]S(=O)(=O)c2ccc3c(c2)OCCO3)c1C ZINC000332122118 409904135 /nfs/dbraw/zinc/90/41/35/409904135.db2.gz HTQXQYKHDBIBQD-UHFFFAOYSA-N -1 1 323.374 1.853 20 0 DDADMM COC(=O)C1(CS(=O)(=O)[N-]c2cnn(C)c2C)CCCC1 ZINC000357149277 409905306 /nfs/dbraw/zinc/90/53/06/409905306.db2.gz PCKYMYJHCMTRJQ-UHFFFAOYSA-N -1 1 315.395 1.204 20 0 DDADMM Cc1n[nH]c([N-]S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)c1C ZINC000317344183 409866176 /nfs/dbraw/zinc/86/61/76/409866176.db2.gz BOODBCUUUXDJLG-UHFFFAOYSA-N -1 1 322.346 1.158 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)c2ccccc2F)c(F)c1 ZINC000342743403 409868048 /nfs/dbraw/zinc/86/80/48/409868048.db2.gz UIEPCLRLVHRDQG-UHFFFAOYSA-N -1 1 312.297 1.865 20 0 DDADMM Cc1cnc(SCCCn2cnc3c2ncnc3N)[n-]c1=O ZINC000320060442 409900583 /nfs/dbraw/zinc/90/05/83/409900583.db2.gz AGDYXSGNFGGFSU-UHFFFAOYSA-N -1 1 317.378 1.395 20 0 DDADMM Cc1nc(C(C)(C)C)sc1C(=O)Nc1nn[nH]c1C(N)=O ZINC000297722727 409972173 /nfs/dbraw/zinc/97/21/73/409972173.db2.gz JTHMNSDVFSSBGF-UHFFFAOYSA-N -1 1 308.367 1.218 20 0 DDADMM O=S(=O)([N-]Cc1ccc(F)cn1)c1cc(F)ccc1F ZINC000357186023 409929037 /nfs/dbraw/zinc/92/90/37/409929037.db2.gz VZGMQQGPYVIGOK-UHFFFAOYSA-N -1 1 302.277 1.977 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc(F)cc3nn[nH]c32)[C@H](C(N)=O)C1 ZINC000328660390 409950898 /nfs/dbraw/zinc/95/08/98/409950898.db2.gz VHHKJVZADUVAMF-HQJQHLMTSA-N -1 1 305.313 1.873 20 0 DDADMM Cc1cc(=O)[nH]c(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1 ZINC000332286060 410040151 /nfs/dbraw/zinc/04/01/51/410040151.db2.gz FPWFKYGXYLTOMZ-SECBINFHSA-N -1 1 304.306 1.204 20 0 DDADMM COc1ccc2c(c1)[n-]cc(C(=O)N1CCOC[C@H]1C)c2=O ZINC000297783977 409998072 /nfs/dbraw/zinc/99/80/72/409998072.db2.gz AFHLXOYNXBSPSO-SNVBAGLBSA-N -1 1 302.330 1.810 20 0 DDADMM COc1ccc(Cl)cc1CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000354773970 410075350 /nfs/dbraw/zinc/07/53/50/410075350.db2.gz OGQZANUJULUTFU-UHFFFAOYSA-N -1 1 309.757 1.456 20 0 DDADMM CCCNC(=O)c1cccc(C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000354786182 410085478 /nfs/dbraw/zinc/08/54/78/410085478.db2.gz OJQGQMBUFZPYNY-UHFFFAOYSA-N -1 1 316.365 1.005 20 0 DDADMM COc1ccccc1C[C@H](C)CC(=O)NC(C)(C)c1nn[n-]n1 ZINC000354758505 410067180 /nfs/dbraw/zinc/06/71/80/410067180.db2.gz FNUIKTVMFCQLMM-NSHDSACASA-N -1 1 317.393 1.829 20 0 DDADMM CC(C)[C@H]1CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCCO1 ZINC000298269102 410158170 /nfs/dbraw/zinc/15/81/70/410158170.db2.gz AURFZAHDTANPAT-RMENWYJGSA-N -1 1 317.393 1.621 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H]2c2ccccc2)co1 ZINC000339421418 410176295 /nfs/dbraw/zinc/17/62/95/410176295.db2.gz DEZJUUAYCSREBU-ZDUSSCGKSA-N -1 1 320.370 1.775 20 0 DDADMM Cn1[n-]c(CN2CCC(C(=O)c3ccccc3)CC2)nc1=O ZINC000329272067 410204077 /nfs/dbraw/zinc/20/40/77/410204077.db2.gz IJZAEBPAFYAPEH-UHFFFAOYSA-N -1 1 300.362 1.203 20 0 DDADMM C[C@@H]1CCCC[C@H]1N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000343168237 410204329 /nfs/dbraw/zinc/20/43/29/410204329.db2.gz AWIMTGUOFIEYRF-VXGBXAGGSA-N -1 1 305.378 1.554 20 0 DDADMM COC(=O)CCCCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351843019 410277207 /nfs/dbraw/zinc/27/72/07/410277207.db2.gz BMTGDXFDDZWXRD-UHFFFAOYSA-N -1 1 311.338 1.215 20 0 DDADMM CCOc1cccc(CN2CCC(c3n[nH]c(=O)[n-]3)CC2)n1 ZINC000329621912 410387860 /nfs/dbraw/zinc/38/78/60/410387860.db2.gz FTDAJMZVTMWXPH-UHFFFAOYSA-N -1 1 303.366 1.684 20 0 DDADMM COc1cc(C(=O)N[C@@H](C)c2nnc(C)[nH]2)cc(Cl)c1[O-] ZINC000343365036 410398996 /nfs/dbraw/zinc/39/89/96/410398996.db2.gz DMIYYVOZAKQVJB-LURJTMIESA-N -1 1 310.741 1.972 20 0 DDADMM CC(C)Cc1cc([N-]S(=O)(=O)c2cn3c(n2)CCCC3)[nH]n1 ZINC000355267957 410411104 /nfs/dbraw/zinc/41/11/04/410411104.db2.gz QQLVXRBLQSBIOU-UHFFFAOYSA-N -1 1 323.422 1.942 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CCC[C@H]3OCC[C@H]32)sc1C ZINC000333276699 410459079 /nfs/dbraw/zinc/45/90/79/410459079.db2.gz OOWXMNWZBKEHRE-QJPTWQEYSA-N -1 1 316.448 1.996 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@H](C)OC3(CCOCC3)C2)c([O-])c1 ZINC000329846296 410459885 /nfs/dbraw/zinc/45/98/85/410459885.db2.gz MDUWWIFAKWXJAZ-GFCCVEGCSA-N -1 1 306.362 1.506 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@](C)(F)C1 ZINC000347339564 410482112 /nfs/dbraw/zinc/48/21/12/410482112.db2.gz VDHUDXFIWLXSLT-HNNXBMFYSA-N -1 1 306.341 1.555 20 0 DDADMM CCN(CC)C(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC000298853963 410424016 /nfs/dbraw/zinc/42/40/16/410424016.db2.gz GVYZMNVQZQPCHM-UHFFFAOYSA-N -1 1 300.362 1.964 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@H]2CCC[C@@H](C(=O)OC)C2)[n-]1 ZINC000352159334 410515943 /nfs/dbraw/zinc/51/59/43/410515943.db2.gz VKQLSQGXVUALPB-VHSXEESVSA-N -1 1 323.349 1.504 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCC[C@@H](C(=O)OC)C2)n1 ZINC000352159334 410515950 /nfs/dbraw/zinc/51/59/50/410515950.db2.gz VKQLSQGXVUALPB-VHSXEESVSA-N -1 1 323.349 1.504 20 0 DDADMM CS(=O)(=O)c1nc(NC(=O)c2ccc3sccc3c2)n[n-]1 ZINC000352286213 410599291 /nfs/dbraw/zinc/59/92/91/410599291.db2.gz PLDALEGLXZTMSX-UHFFFAOYSA-N -1 1 322.371 1.675 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc3sccc3c2)n1 ZINC000352286213 410599295 /nfs/dbraw/zinc/59/92/95/410599295.db2.gz PLDALEGLXZTMSX-UHFFFAOYSA-N -1 1 322.371 1.675 20 0 DDADMM C[C@H]1CC[C@H](C)N1CC(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC000330092078 410539501 /nfs/dbraw/zinc/53/95/01/410539501.db2.gz QLPSYDPSSXKGCH-QWRGUYRKSA-N -1 1 316.365 1.179 20 0 DDADMM CN(CC(=O)NCc1cccs1)C(=O)c1cncc([O-])c1 ZINC000339931049 410559606 /nfs/dbraw/zinc/55/96/06/410559606.db2.gz JQBSATLXANJXDC-UHFFFAOYSA-N -1 1 305.359 1.237 20 0 DDADMM CCCCc1nnc([N-]C(=O)c2cnc(C(=O)OC)cn2)s1 ZINC000355618784 410610546 /nfs/dbraw/zinc/61/05/46/410610546.db2.gz OXHIWYPMMWYCKI-UHFFFAOYSA-N -1 1 321.362 1.710 20 0 DDADMM COc1ccc2c(c1)C[C@@H](C)N(Cc1nc(=O)n(C)[n-]1)[C@H]2C ZINC000347618916 410635881 /nfs/dbraw/zinc/63/58/81/410635881.db2.gz VSIZKMWMODFKLF-MNOVXSKESA-N -1 1 302.378 1.625 20 0 DDADMM CC[C@@H]1CCN1C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000347641864 410644818 /nfs/dbraw/zinc/64/48/18/410644818.db2.gz ZVBNYKRHCPETHF-SNVBAGLBSA-N -1 1 314.407 1.981 20 0 DDADMM O=S(=O)([N-]C[C@@H]1COCCO1)c1cc(Cl)ccc1F ZINC000330505072 410733794 /nfs/dbraw/zinc/73/37/94/410733794.db2.gz FWNFJJXXUNEERK-SECBINFHSA-N -1 1 309.746 1.173 20 0 DDADMM CC1(C2CC2)CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000359466022 410677072 /nfs/dbraw/zinc/67/70/72/410677072.db2.gz WLVHGFZLOHGGBG-UHFFFAOYSA-N -1 1 317.389 1.556 20 0 DDADMM COc1cncc(S(=O)(=O)[N-]CC(F)(F)C(F)F)c1 ZINC000337276265 410686653 /nfs/dbraw/zinc/68/66/53/410686653.db2.gz FCOXHLPUGFPTHA-UHFFFAOYSA-N -1 1 302.249 1.269 20 0 DDADMM Cc1cc(F)cc([C@@]2(F)CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC000337468460 410809121 /nfs/dbraw/zinc/80/91/21/410809121.db2.gz UAZVHUQSUJREDR-OAHLLOKOSA-N -1 1 308.332 1.627 20 0 DDADMM CCc1nc2sccc2c(NCCCc2nc(=O)[n-][nH]2)n1 ZINC000301839713 410811337 /nfs/dbraw/zinc/81/13/37/410811337.db2.gz OJUZCXCYILPMEO-UHFFFAOYSA-N -1 1 304.379 1.710 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccc(C)o2)c1 ZINC000359696379 410823364 /nfs/dbraw/zinc/82/33/64/410823364.db2.gz YJERTNCXJHITIL-UHFFFAOYSA-N -1 1 310.331 1.454 20 0 DDADMM C[C@H]1[C@H](C(F)(F)F)CCN1Cc1cc(=O)n2[n-]ccc2n1 ZINC000348223230 410863493 /nfs/dbraw/zinc/86/34/93/410863493.db2.gz DKBZPALIMQLHKQ-WCBMZHEXSA-N -1 1 300.284 1.795 20 0 DDADMM CC[C@H](Oc1cccc(C)c1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348284227 410896183 /nfs/dbraw/zinc/89/61/83/410896183.db2.gz TWOSJMWQQZHZQL-LBPRGKRZSA-N -1 1 301.350 1.471 20 0 DDADMM C[C@H](CCc1cccc(F)c1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348298933 410906356 /nfs/dbraw/zinc/90/63/56/410906356.db2.gz USOWVPLTJJXUGQ-SNVBAGLBSA-N -1 1 303.341 1.713 20 0 DDADMM Cc1ccc([C@@H](C)N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000353388087 410958118 /nfs/dbraw/zinc/95/81/18/410958118.db2.gz OUHHVFMWXQDYGE-LLVKDONJSA-N -1 1 311.345 1.559 20 0 DDADMM O=c1nc(N[C@H]2CCOc3c(F)ccc(F)c32)nc2[nH][n-]cc1-2 ZINC000360104553 411048827 /nfs/dbraw/zinc/04/88/27/411048827.db2.gz MASWNYNLVYHCLH-VIFPVBQESA-N -1 1 319.271 1.646 20 0 DDADMM C[C@@H](CNS(=O)(=O)c1cccc(Cl)c1[O-])N1CCCC1 ZINC000631741119 422900572 /nfs/dbraw/zinc/90/05/72/422900572.db2.gz YQRMDHMEQBVYQI-JTQLQIEISA-N -1 1 318.826 1.808 20 0 DDADMM COc1cc(C(=O)N2CCn3nncc3C2)cc(Cl)c1[O-] ZINC000580211557 422903811 /nfs/dbraw/zinc/90/38/11/422903811.db2.gz ZVIZDLZTIXOJHW-UHFFFAOYSA-N -1 1 308.725 1.302 20 0 DDADMM CC(C)Cn1cnc(S(=O)(=O)[N-]c2ccc3[nH]nnc3c2)c1 ZINC000130755358 196107198 /nfs/dbraw/zinc/10/71/98/196107198.db2.gz VNJOAOLATZBQLL-UHFFFAOYSA-N -1 1 320.378 1.611 20 0 DDADMM CC(C)Cn1cnc(S(=O)(=O)[N-]c2ccc3nn[nH]c3c2)c1 ZINC000130755358 196107200 /nfs/dbraw/zinc/10/72/00/196107200.db2.gz VNJOAOLATZBQLL-UHFFFAOYSA-N -1 1 320.378 1.611 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N(C)CC3(C)COC3)cnc2n1 ZINC000630023341 422927611 /nfs/dbraw/zinc/92/76/11/422927611.db2.gz ZGKKYYVVDNRHKX-UHFFFAOYSA-N -1 1 301.346 1.752 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N(C)CC1(C)COC1)c2=O ZINC000630023341 422927614 /nfs/dbraw/zinc/92/76/14/422927614.db2.gz ZGKKYYVVDNRHKX-UHFFFAOYSA-N -1 1 301.346 1.752 20 0 DDADMM O=C(CCCc1nccs1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000647675931 422996033 /nfs/dbraw/zinc/99/60/33/422996033.db2.gz PBBWINUXUREIHJ-UHFFFAOYSA-N -1 1 322.390 1.961 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H](C)[C@@H](C)CO ZINC000131630827 196186447 /nfs/dbraw/zinc/18/64/47/196186447.db2.gz IKKYFGNFYINRRH-UWVGGRQHSA-N -1 1 320.393 1.117 20 0 DDADMM COCC(C)(C)N1CCN([C@@H](C(=O)[O-])c2ccccc2F)CC1 ZINC000652429869 423013438 /nfs/dbraw/zinc/01/34/38/423013438.db2.gz ZAOGSWUNZCQEDH-OAHLLOKOSA-N -1 1 324.396 1.994 20 0 DDADMM O=C([O-])C[C@@H]1COCCN1CCCOCCc1ccccc1 ZINC000652475602 423035021 /nfs/dbraw/zinc/03/50/21/423035021.db2.gz AJBOKMVTTARLCN-MRXNPFEDSA-N -1 1 307.390 1.811 20 0 DDADMM CC[C@@H](CS(=O)(=O)Nc1cccc2c1CC[N@H+](C)C2)OC ZINC000643269369 423091980 /nfs/dbraw/zinc/09/19/80/423091980.db2.gz KIVTUHMYLGQRKD-ZDUSSCGKSA-N -1 1 312.435 1.841 20 0 DDADMM O=C(c1cc(F)ccc1[O-])N1CCn2c(CC3CC3)nnc2C1 ZINC000652750110 423115903 /nfs/dbraw/zinc/11/59/03/423115903.db2.gz CQQJHQYKHKHKKA-UHFFFAOYSA-N -1 1 316.336 1.731 20 0 DDADMM CSc1nc(CNC(=O)Cc2ccc(C)c(O)c2)cc(=O)[n-]1 ZINC000640656153 423117547 /nfs/dbraw/zinc/11/75/47/423117547.db2.gz NKMICVPGYUALJK-UHFFFAOYSA-N -1 1 319.386 1.777 20 0 DDADMM COC(=O)C1([N-]S(=O)(=O)CC(C)(C)OC)CCCCCC1 ZINC000373999907 418481051 /nfs/dbraw/zinc/48/10/51/418481051.db2.gz FAKSAICMNOFANX-UHFFFAOYSA-N -1 1 321.439 1.597 20 0 DDADMM C[C@H]1C[C@@H](C(C)(C)C)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000360703680 418497044 /nfs/dbraw/zinc/49/70/44/418497044.db2.gz AVLXISSWPPNICO-QWRGUYRKSA-N -1 1 317.393 1.704 20 0 DDADMM O=C(c1cncc([O-])c1)N1CCNC(=O)C[C@@H]1c1ccccc1 ZINC000366818604 418522102 /nfs/dbraw/zinc/52/21/02/418522102.db2.gz FQXZQCYMWXOAMQ-OAHLLOKOSA-N -1 1 311.341 1.491 20 0 DDADMM COC(=O)Cn1cnc(-c2nc3sc(C)c(C)c3c(=O)[n-]2)n1 ZINC000194366130 418543014 /nfs/dbraw/zinc/54/30/14/418543014.db2.gz LWKIRVHELVTWFR-UHFFFAOYSA-N -1 1 319.346 1.033 20 0 DDADMM COC(=O)c1ccc(CS(=O)(=O)[N-]c2ccc(F)cn2)cc1 ZINC000194570184 222178494 /nfs/dbraw/zinc/17/84/94/222178494.db2.gz VXQYMYXVPHBHQI-UHFFFAOYSA-N -1 1 324.333 1.949 20 0 DDADMM O=S(=O)([N-][C@@H]1CCO[C@@H]1C1CC1)c1cccc(F)c1F ZINC000361178524 418598697 /nfs/dbraw/zinc/59/86/97/418598697.db2.gz DSQUYQRYKKDNPJ-ZWNOBZJWSA-N -1 1 303.330 1.811 20 0 DDADMM CCC[C@@H](C)NC(=O)[C@H](C)N1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000367359855 418590892 /nfs/dbraw/zinc/59/08/92/418590892.db2.gz CGTCZBHSHJDNEW-MNOVXSKESA-N -1 1 309.414 1.383 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@@]12CCOC2 ZINC000367649018 418618997 /nfs/dbraw/zinc/61/89/97/418618997.db2.gz OQJWWRXWXQPYKP-AWEZNQCLSA-N -1 1 304.375 1.413 20 0 DDADMM O=C(NCC[C@@]1(O)CCOC1)c1ccc(C(F)(F)F)c([O-])c1 ZINC000652801023 423140637 /nfs/dbraw/zinc/14/06/37/423140637.db2.gz FGNHDNFXJZDRDY-CYBMUJFWSA-N -1 1 319.279 1.682 20 0 DDADMM C[C@]1(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CC1(Cl)Cl ZINC000371025451 418757251 /nfs/dbraw/zinc/75/72/51/418757251.db2.gz NPVPPBIMWFTGLC-OIBJUYFYSA-N -1 1 304.181 1.490 20 0 DDADMM COc1ccccc1CNC(=O)CN1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC000391946663 418757917 /nfs/dbraw/zinc/75/79/17/418757917.db2.gz FAEIGULLAQKVRX-GXTWGEPZSA-N -1 1 320.389 1.354 20 0 DDADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)[C@H]1Cc2ccccc2CN1 ZINC000397111769 418761147 /nfs/dbraw/zinc/76/11/47/418761147.db2.gz SAAHWTFDTHEKHK-KCPJHIHWSA-N -1 1 302.374 1.413 20 0 DDADMM O=C(NCc1c[nH]c(=O)[nH]1)c1cc(Br)ccc1[O-] ZINC000379816279 418726368 /nfs/dbraw/zinc/72/63/68/418726368.db2.gz QWMWWBGNVPIJRR-UHFFFAOYSA-N -1 1 312.123 1.513 20 0 DDADMM Cc1ccc(F)c(-c2cc(=NC(=O)[C@]3(C)CNC(=O)C3)[nH][n-]2)c1 ZINC000364620697 418794256 /nfs/dbraw/zinc/79/42/56/418794256.db2.gz FAGNDKHMOAXEDI-INIZCTEOSA-N -1 1 316.336 1.411 20 0 DDADMM O=C(Nc1nnn[n-]1)[C@H]1CCn2c(cnc2C2CCCCC2)C1 ZINC000364876308 418820376 /nfs/dbraw/zinc/82/03/76/418820376.db2.gz AWTFRZUHSXERHJ-NSHDSACASA-N -1 1 315.381 1.645 20 0 DDADMM C[C@@H](c1nc(-c2cccc(F)c2)no1)S(=O)(=O)c1nnc[n-]1 ZINC000364877457 418820899 /nfs/dbraw/zinc/82/08/99/418820899.db2.gz MRDXAUNHWAUPAK-ZETCQYMHSA-N -1 1 323.309 1.529 20 0 DDADMM C[C@@H](c1nc(-c2cccc(F)c2)no1)S(=O)(=O)c1ncn[n-]1 ZINC000364877457 418820901 /nfs/dbraw/zinc/82/09/01/418820901.db2.gz MRDXAUNHWAUPAK-ZETCQYMHSA-N -1 1 323.309 1.529 20 0 DDADMM C[C@@H](c1nc(-c2cccc(F)c2)no1)S(=O)(=O)c1nc[n-]n1 ZINC000364877457 418820903 /nfs/dbraw/zinc/82/09/03/418820903.db2.gz MRDXAUNHWAUPAK-ZETCQYMHSA-N -1 1 323.309 1.529 20 0 DDADMM COc1ccccc1C[C@H](C)CC(=O)Nc1nn[nH]c1C(N)=O ZINC000410857480 418852891 /nfs/dbraw/zinc/85/28/91/418852891.db2.gz ILMLMKHTVOHWFP-VIFPVBQESA-N -1 1 317.349 1.120 20 0 DDADMM O=C(COCc1ccccc1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000372154127 418840857 /nfs/dbraw/zinc/84/08/57/418840857.db2.gz CNOFXQIQGUUQGS-ZDUSSCGKSA-N -1 1 301.350 1.123 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2C[C@]2(F)c2ccccc2)n1 ZINC000411763721 419400555 /nfs/dbraw/zinc/40/05/55/419400555.db2.gz VYIIZSIIEKZEMK-ZANVPECISA-N -1 1 324.337 1.032 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2C[C@]2(F)c2ccccc2)[n-]1 ZINC000411763721 419400558 /nfs/dbraw/zinc/40/05/58/419400558.db2.gz VYIIZSIIEKZEMK-ZANVPECISA-N -1 1 324.337 1.032 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1cc(OC)cc(OC)c1)OC ZINC000421138120 419499157 /nfs/dbraw/zinc/49/91/57/419499157.db2.gz HIGKFIFXRAOYHV-LLVKDONJSA-N -1 1 303.380 1.871 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1cccc2c1CN(C)C2=O)OC ZINC000421147321 419504539 /nfs/dbraw/zinc/50/45/39/419504539.db2.gz DWEQEGWDGWCQOJ-JTQLQIEISA-N -1 1 312.391 1.439 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccn(-c2ccncc2)n1)OC ZINC000421159417 419506049 /nfs/dbraw/zinc/50/60/49/419506049.db2.gz UGMGTRIUZSWMDE-LBPRGKRZSA-N -1 1 310.379 1.434 20 0 DDADMM Cc1cnc(C(=O)NC[C@H](O)CCc2ccccc2)c([O-])c1 ZINC000427912698 419768105 /nfs/dbraw/zinc/76/81/05/419768105.db2.gz IYGXXAKZEPXTNR-CQSZACIVSA-N -1 1 300.358 1.819 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@]1(C)CC1(F)F ZINC000421767457 419717837 /nfs/dbraw/zinc/71/78/37/419717837.db2.gz AQOSPOTVIMDIKW-ZDUSSCGKSA-N -1 1 310.304 1.713 20 0 DDADMM O=S(=O)([N-]C1(CCO)CC1)c1ccc(Br)o1 ZINC000431599595 229044490 /nfs/dbraw/zinc/04/44/90/229044490.db2.gz IDOKLANXYJQOAX-UHFFFAOYSA-N -1 1 310.169 1.235 20 0 DDADMM CCOC(=O)c1coc(=NC[C@H]2CN(CC(C)C)CCO2)[n-]1 ZINC000418836534 419994350 /nfs/dbraw/zinc/99/43/50/419994350.db2.gz UVJSUGTWEIMEHX-LBPRGKRZSA-N -1 1 311.382 1.042 20 0 DDADMM Cn1cccc(NC(=O)N=c2cc(-c3cccs3)[n-][nH]2)c1=O ZINC000436504046 229485273 /nfs/dbraw/zinc/48/52/73/229485273.db2.gz INWPXGSJRWNIEG-UHFFFAOYSA-N -1 1 315.358 1.903 20 0 DDADMM COC(=O)c1cnccc1[N-]S(=O)(=O)Cc1cccc(F)c1 ZINC000430081468 420079355 /nfs/dbraw/zinc/07/93/55/420079355.db2.gz BKGBYQISDOFMRB-UHFFFAOYSA-N -1 1 324.333 1.371 20 0 DDADMM CN(CCCC(=O)[O-])CCOc1cccc(S(C)(=O)=O)c1 ZINC000430660247 420171925 /nfs/dbraw/zinc/17/19/25/420171925.db2.gz CLSXRJWEIODEIZ-UHFFFAOYSA-N -1 1 315.391 1.266 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C)[C@H]2CCCC[C@H]21 ZINC000416206189 420278278 /nfs/dbraw/zinc/27/82/78/420278278.db2.gz TXXDEVLBNJMEKL-IVZWLZJFSA-N -1 1 307.350 1.547 20 0 DDADMM CS(=O)(=O)CCCCN=c1nc(C(F)(F)F)[n-]s1 ZINC000425264819 420332531 /nfs/dbraw/zinc/33/25/31/420332531.db2.gz RDQZDXMATSXZHB-UHFFFAOYSA-N -1 1 303.331 1.216 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cc(C)nc(=O)[nH]2)c1 ZINC000436501969 420335254 /nfs/dbraw/zinc/33/52/54/420335254.db2.gz CAUXXTRGHVASMU-UHFFFAOYSA-N -1 1 303.274 1.235 20 0 DDADMM O=C(Nc1cccc(-c2nc[nH]n2)c1)C(=O)c1ccc([O-])cc1 ZINC000436509856 420335735 /nfs/dbraw/zinc/33/57/35/420335735.db2.gz CCHFQPAIVOLPMT-UHFFFAOYSA-N -1 1 308.297 1.999 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCN(Cc2ncc[nH]2)CC1 ZINC000436551185 420339132 /nfs/dbraw/zinc/33/91/32/420339132.db2.gz MNWIQDLFPYNHOR-UHFFFAOYSA-N -1 1 320.780 1.727 20 0 DDADMM O=C(Nc1ccc2c(c1)S(=O)(=O)CC2)c1ccc(O)cc1[O-] ZINC000436629248 420345515 /nfs/dbraw/zinc/34/55/15/420345515.db2.gz IUGNCZYBJQZRJO-UHFFFAOYSA-N -1 1 319.338 1.680 20 0 DDADMM Cn1cc(C(C)(C)C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)cn1 ZINC000425312585 420345641 /nfs/dbraw/zinc/34/56/41/420345641.db2.gz ZFUOOKZZFSTPIZ-SNVBAGLBSA-N -1 1 319.365 1.193 20 0 DDADMM COCCO[C@H]1COCC[C@H]1NC(=O)c1ccc([O-])cc1F ZINC000436678706 420352605 /nfs/dbraw/zinc/35/26/05/420352605.db2.gz IPLLBBFAIWFKHI-KGLIPLIRSA-N -1 1 313.325 1.082 20 0 DDADMM NS(=O)(=O)Cc1cccc(NC(=O)c2ccc(O)cc2[O-])c1 ZINC000436666534 420353559 /nfs/dbraw/zinc/35/35/59/420353559.db2.gz UITPJFOBNLDSFY-UHFFFAOYSA-N -1 1 322.342 1.139 20 0 DDADMM COC(=O)[C@H]1CCN(C(=O)C(=O)c2ccc([O-])cc2)[C@H]1C(C)C ZINC000436750152 420362499 /nfs/dbraw/zinc/36/24/99/420362499.db2.gz VXBXHKGOCSAGAB-KBPBESRZSA-N -1 1 319.357 1.621 20 0 DDADMM O=S(=O)([N-]Cc1nncn1C1CC1)c1cccc(F)c1F ZINC000439199551 420499952 /nfs/dbraw/zinc/49/99/52/420499952.db2.gz ONDDLEZHDCUZDR-UHFFFAOYSA-N -1 1 314.317 1.370 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccc([S@](C)=O)cc1 ZINC000439245998 420500588 /nfs/dbraw/zinc/50/05/88/420500588.db2.gz LVASNNXAIJUGQP-SFHVURJKSA-N -1 1 305.421 1.591 20 0 DDADMM CC[C@@H](Nc1ccc(-c2nc(C)c(C)c(=O)[n-]2)cn1)C(=O)NC ZINC000450934174 420562846 /nfs/dbraw/zinc/56/28/46/420562846.db2.gz BISLQPWGRAFCRZ-GFCCVEGCSA-N -1 1 315.377 1.798 20 0 DDADMM COCCOCCC[N-]S(=O)(=O)c1sccc1Cl ZINC000450997805 420578492 /nfs/dbraw/zinc/57/84/92/420578492.db2.gz KPKKVZDHRRZODH-UHFFFAOYSA-N -1 1 313.828 1.733 20 0 DDADMM Cn1nccc1/C=C\C(=O)Nc1nc(Br)ccc1[O-] ZINC000493145890 420768905 /nfs/dbraw/zinc/76/89/05/420768905.db2.gz DVZUSTNREYCSBU-DJWKRKHSSA-N -1 1 323.150 1.935 20 0 DDADMM COc1ccc(C=CC(=O)NC(C)(C)c2nn[n-]n2)cc1OC ZINC000492945853 420718449 /nfs/dbraw/zinc/71/84/49/420718449.db2.gz FWAPKLOKZXQNBM-VURMDHGXSA-N -1 1 317.349 1.282 20 0 DDADMM COC[C@@](C)(CCO)NC(=O)c1cc(Cl)c([O-])c(OC)c1 ZINC000442806481 420719459 /nfs/dbraw/zinc/71/94/59/420719459.db2.gz BXKOOEQQIFWXKX-CQSZACIVSA-N -1 1 317.769 1.572 20 0 DDADMM CCC[C@@H](NC(=O)C=CCOCC(F)(F)F)c1nn[n-]n1 ZINC000493211389 420788660 /nfs/dbraw/zinc/78/86/60/420788660.db2.gz ZBCISGWFPBUEOU-RYEJSQLPSA-N -1 1 307.276 1.292 20 0 DDADMM CCC[C@@H](NC(=O)/C=C/COCC(F)(F)F)c1nn[n-]n1 ZINC000493211389 420788664 /nfs/dbraw/zinc/78/86/64/420788664.db2.gz ZBCISGWFPBUEOU-RYEJSQLPSA-N -1 1 307.276 1.292 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1ccc2oc(=O)[nH]c2c1 ZINC000479377687 420978682 /nfs/dbraw/zinc/97/86/82/420978682.db2.gz PHUZATUQQYWNHX-UHFFFAOYSA-N -1 1 313.195 1.923 20 0 DDADMM COC(=O)N1CCC(N=c2nc([C@H](C)OC)[n-]s2)CC1 ZINC000495657608 421042219 /nfs/dbraw/zinc/04/22/19/421042219.db2.gz ZHWGVENBHYZTAL-QMMMGPOBSA-N -1 1 300.384 1.310 20 0 DDADMM COC[C@@H](C)n1ccc([N-]S(=O)(=O)N2CC[C@@H](C)C2)n1 ZINC000489040431 421132921 /nfs/dbraw/zinc/13/29/21/421132921.db2.gz KXZBDCZUYLRILC-GHMZBOCLSA-N -1 1 302.400 1.089 20 0 DDADMM CCOC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)c1ccc([O-])cc1 ZINC000456407499 421160837 /nfs/dbraw/zinc/16/08/37/421160837.db2.gz QEAJMXGOYJAEBJ-OLZOCXBDSA-N -1 1 305.330 1.423 20 0 DDADMM CC[C@H]1CN(CC)CCN1C(=O)N=c1[n-]nc(C2CC2)s1 ZINC000560383775 421257555 /nfs/dbraw/zinc/25/75/55/421257555.db2.gz JJORSPWWHDLUNH-NSHDSACASA-N -1 1 309.439 1.785 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1cnc(C2CC2)s1 ZINC000524576630 421262741 /nfs/dbraw/zinc/26/27/41/421262741.db2.gz SBCBMSXULZNERK-UHFFFAOYSA-N -1 1 304.379 1.733 20 0 DDADMM COc1ccccc1OCCN1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000561787057 421336190 /nfs/dbraw/zinc/33/61/90/421336190.db2.gz ICFQBEKOCSIBJK-GFCCVEGCSA-N -1 1 318.377 1.777 20 0 DDADMM COCc1nc(C(=O)[N-]c2ncn(Cc3ccccc3)n2)co1 ZINC000547512729 421339392 /nfs/dbraw/zinc/33/93/92/421339392.db2.gz NOHJKLWLLAIGMH-UHFFFAOYSA-N -1 1 313.317 1.713 20 0 DDADMM C[C@H](CN(C)C(=O)c1cnc(C(C)(C)C)nc1)c1nn[n-]n1 ZINC000562327756 421352156 /nfs/dbraw/zinc/35/21/56/421352156.db2.gz KQMADAZVXZDVQV-SECBINFHSA-N -1 1 303.370 1.163 20 0 DDADMM CC(C)[C@]1(C)C[C@H]1C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000547841354 421373296 /nfs/dbraw/zinc/37/32/96/421373296.db2.gz MCTJPSGUWICLJA-HZMBPMFUSA-N -1 1 309.439 1.281 20 0 DDADMM CNC(=O)c1[nH]nnc1NC(=O)[C@@H]1CC[C@@H](C(F)(F)F)C1 ZINC000562699703 421400671 /nfs/dbraw/zinc/40/06/71/421400671.db2.gz YECYMVQSQWSKDV-PHDIDXHHSA-N -1 1 305.260 1.081 20 0 DDADMM C[C@@H](CN(C)C(=O)c1cc2ccccc2[nH]c1=O)c1nn[n-]n1 ZINC000548138919 421405526 /nfs/dbraw/zinc/40/55/26/421405526.db2.gz ULFPACUQFLQAGP-VIFPVBQESA-N -1 1 312.333 1.329 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000562955204 421430298 /nfs/dbraw/zinc/43/02/98/421430298.db2.gz MPENYXCGBUNSQP-MWLCHTKSSA-N -1 1 303.318 1.853 20 0 DDADMM C[C@H](CCS(C)(=O)=O)N=c1nc(C(F)(F)F)[n-]s1 ZINC000548418365 421435081 /nfs/dbraw/zinc/43/50/81/421435081.db2.gz MVUJSJWBLYMPCG-RXMQYKEDSA-N -1 1 303.331 1.214 20 0 DDADMM Cn1[n-]c(CN2CC[C@@]3(C2)CCc2ccccc2O3)nc1=O ZINC000516118991 421528404 /nfs/dbraw/zinc/52/84/04/421528404.db2.gz IFOAPDPJWGTLEL-MRXNPFEDSA-N -1 1 300.362 1.078 20 0 DDADMM O=C([O-])c1cccc(C(=O)N2CCC(c3n[nH]c(=O)o3)CC2)c1 ZINC000563132120 421461069 /nfs/dbraw/zinc/46/10/69/421461069.db2.gz FOKYYPBNKTXFBL-UHFFFAOYSA-N -1 1 317.301 1.493 20 0 DDADMM C[C@@H](C[N-]S(=O)(=O)c1sccc1Cl)S(C)(=O)=O ZINC000517290743 421607816 /nfs/dbraw/zinc/60/78/16/421607816.db2.gz WRCPAJJVIYDHFP-LURJTMIESA-N -1 1 317.841 1.113 20 0 DDADMM CC(=O)Nc1ncc(S(=O)(=O)[N-][C@@H]2CCC[C@@H](F)C2)s1 ZINC000518524569 421657471 /nfs/dbraw/zinc/65/74/71/421657471.db2.gz GKRXOUAVZQFAQU-RKDXNWHRSA-N -1 1 321.399 1.661 20 0 DDADMM CC1=CCN(CCNC(=O)N2CC[C@@H](C)[C@@H](C(=O)[O-])C2)CC1 ZINC000570679987 421661886 /nfs/dbraw/zinc/66/18/86/421661886.db2.gz FJFVIWIITSJOMI-KGLIPLIRSA-N -1 1 309.410 1.391 20 0 DDADMM COc1cccc(C(F)(F)C[N-]S(=O)(=O)C2(C)CC2)n1 ZINC000556291112 421707748 /nfs/dbraw/zinc/70/77/48/421707748.db2.gz IFBQMFLWFXKXDS-UHFFFAOYSA-N -1 1 306.334 1.654 20 0 DDADMM C[C@@H](CN(C)C(=O)C1CCC(OC(F)F)CC1)c1nn[n-]n1 ZINC000556977899 421753592 /nfs/dbraw/zinc/75/35/92/421753592.db2.gz JRWNIKGLKDKHNA-IDKOKCKLSA-N -1 1 317.340 1.560 20 0 DDADMM Cc1nnc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)s1 ZINC000542795289 421830227 /nfs/dbraw/zinc/83/02/27/421830227.db2.gz IHWQJULCGRHTTA-UHFFFAOYSA-N -1 1 301.331 1.013 20 0 DDADMM CCC(=O)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000557374091 421768196 /nfs/dbraw/zinc/76/81/96/421768196.db2.gz VEDFIZPHVPAICO-CYBMUJFWSA-N -1 1 313.361 1.812 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc2[nH]c(=O)oc21)c1nn[n-]n1 ZINC000572565545 421800522 /nfs/dbraw/zinc/80/05/22/421800522.db2.gz HWRUVWBKVVARNG-SECBINFHSA-N -1 1 302.294 1.318 20 0 DDADMM CO[C@@H]1C[C@@H](C(=O)[O-])N(Cc2ccc(OC(F)F)cc2)C1 ZINC000580955683 421872119 /nfs/dbraw/zinc/87/21/19/421872119.db2.gz MRFPBMLWMJYOPN-NEPJUHHUSA-N -1 1 301.289 1.962 20 0 DDADMM CC[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]2)CCN1Cc1ccccc1 ZINC000581102061 421899739 /nfs/dbraw/zinc/89/97/39/421899739.db2.gz KNRAGOPXUBSGAQ-ZDUSSCGKSA-N -1 1 315.377 1.247 20 0 DDADMM COc1cccc([C@@H]2C[C@H]2CNC(=O)CCc2nn[n-]n2)c1 ZINC000633436431 421900093 /nfs/dbraw/zinc/90/00/93/421900093.db2.gz GMZMKGVHUCDGDU-AAEUAGOBSA-N -1 1 301.350 1.061 20 0 DDADMM Cn1nc(CN(Cc2cccc(F)c2)C[C@@H]2CCCO2)[n-]c1=O ZINC000543707053 421839754 /nfs/dbraw/zinc/83/97/54/421839754.db2.gz SSFMROSJHIEOFB-AWEZNQCLSA-N -1 1 320.368 1.429 20 0 DDADMM O=C([O-])CCN(Cc1cn2ccsc2n1)C[C@H]1CCCO1 ZINC000580897318 421858960 /nfs/dbraw/zinc/85/89/60/421858960.db2.gz KXMOPCZJABRXQA-GFCCVEGCSA-N -1 1 309.391 1.852 20 0 DDADMM C[C@H](CNS(=O)(=O)c1ccc(C(F)F)o1)[N@@H+](C)C1CC1 ZINC000631895584 421919885 /nfs/dbraw/zinc/91/98/85/421919885.db2.gz UBVWNMCBRZDGCO-MRVPVSSYSA-N -1 1 308.350 1.978 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CCc2ccc(C(=O)[O-])cc2C1 ZINC000630156612 421925424 /nfs/dbraw/zinc/92/54/24/421925424.db2.gz MUVBTEHNIUNDMD-NSHDSACASA-N -1 1 313.357 1.871 20 0 DDADMM O=C(CNC(=O)c1ccc2ccccc2c1[O-])N1CCCO1 ZINC000627878781 421928183 /nfs/dbraw/zinc/92/81/83/421928183.db2.gz JRJLWFWPQQFXKQ-UHFFFAOYSA-N -1 1 300.314 1.439 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N(C)[C@@H](Cc1ccccc1)C(=O)[O-] ZINC000630174867 421936656 /nfs/dbraw/zinc/93/66/56/421936656.db2.gz FTSRSUJVUKJYEG-WFASDCNBSA-N -1 1 315.373 1.743 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)[C@H]1CCCN1C1CC1)c1ccc(F)cc1 ZINC000630176329 421938398 /nfs/dbraw/zinc/93/83/98/421938398.db2.gz AJHVRZBDLZFXEO-LSDHHAIUSA-N -1 1 320.364 1.737 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccccc2Cl)CC1 ZINC000630221976 421973970 /nfs/dbraw/zinc/97/39/70/421973970.db2.gz CGSTZMYMZZUCKS-LLVKDONJSA-N -1 1 310.781 1.961 20 0 DDADMM O=C([O-])[C@]12CN(C(=O)[C@@H]3CCCN3C3CC3)C[C@H]1CCCC2 ZINC000630220987 421969770 /nfs/dbraw/zinc/96/97/70/421969770.db2.gz XQBDGJCJEWRMAH-HACGYAERSA-N -1 1 306.406 1.717 20 0 DDADMM CCc1ccccc1CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630221388 421971164 /nfs/dbraw/zinc/97/11/64/421971164.db2.gz ZKEZFKFVMHZWHY-CQSZACIVSA-N -1 1 318.417 1.799 20 0 DDADMM COc1cc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)ccc1C ZINC000630223111 421972215 /nfs/dbraw/zinc/97/22/15/421972215.db2.gz HYRINEFIXQDRPP-CYBMUJFWSA-N -1 1 320.389 1.625 20 0 DDADMM C[C@@H]1C[C@@H](NS(=O)(=O)c2cccc(Cl)c2[O-])CCN1C ZINC000632030215 422036120 /nfs/dbraw/zinc/03/61/20/422036120.db2.gz PJECMLCHNSIBFL-ZJUUUORDSA-N -1 1 318.826 1.807 20 0 DDADMM C[C@H](CS(C)(=O)=O)NC(=O)N=c1[n-]sc2ccccc21 ZINC000630347056 422036123 /nfs/dbraw/zinc/03/61/23/422036123.db2.gz FGLGPXYTUMAVBV-MRVPVSSYSA-N -1 1 313.404 1.273 20 0 DDADMM COCCOC[C@H](C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000632033260 422038848 /nfs/dbraw/zinc/03/88/48/422038848.db2.gz MVNXAYHOUDMIFV-QMMMGPOBSA-N -1 1 313.322 1.547 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cc3ccccc3[nH]2)CC1 ZINC000630224440 421974845 /nfs/dbraw/zinc/97/48/45/421974845.db2.gz NXWRHKMEDDFWIS-LBPRGKRZSA-N -1 1 315.373 1.789 20 0 DDADMM COc1ccc(C(C)(C)NC(=O)CCc2nn[n-]n2)cc1F ZINC000633680783 422045053 /nfs/dbraw/zinc/04/50/53/422045053.db2.gz HLJQQCDIECNQQO-UHFFFAOYSA-N -1 1 307.329 1.332 20 0 DDADMM Cc1nc([C@H]2CCOC2)sc1C(=O)N=c1ccc([O-])n[nH]1 ZINC000637131433 422019259 /nfs/dbraw/zinc/01/92/59/422019259.db2.gz XBIYEOPEKHQSQW-QMMMGPOBSA-N -1 1 306.347 1.125 20 0 DDADMM Cc1nc([C@H]2CCOC2)sc1C(=O)N=c1ccc(O)n[n-]1 ZINC000637131433 422019264 /nfs/dbraw/zinc/01/92/64/422019264.db2.gz XBIYEOPEKHQSQW-QMMMGPOBSA-N -1 1 306.347 1.125 20 0 DDADMM COC[C@H]([N-]S(=O)(=O)[C@@H]1CCC[C@H]1OC)c1ccco1 ZINC000632060547 422060850 /nfs/dbraw/zinc/06/08/50/422060850.db2.gz PKAPWHGPBCYTBU-CYZMBNFOSA-N -1 1 303.380 1.454 20 0 DDADMM CSC[C@H](O)CN(CCC(=O)[O-])Cc1cccc(F)c1F ZINC000574283575 422090642 /nfs/dbraw/zinc/09/06/42/422090642.db2.gz FTXGXXONOXCTMK-LLVKDONJSA-N -1 1 319.373 1.965 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C(C)(C)c1ccc(F)cc1 ZINC000633691730 422052806 /nfs/dbraw/zinc/05/28/06/422052806.db2.gz JYYSDFGBZIFZTK-UHFFFAOYSA-N -1 1 318.352 1.555 20 0 DDADMM Cc1ccc(C[C@H](C)N(C)C(=O)CCCc2nn[n-]n2)cc1 ZINC000635587580 422140474 /nfs/dbraw/zinc/14/04/74/422140474.db2.gz CJPXCNQMMLHXOT-ZDUSSCGKSA-N -1 1 301.394 1.920 20 0 DDADMM CCCN(C(=O)CCCc1nn[n-]n1)[C@H]1C[C@H](OCC)C1(C)C ZINC000635598671 422150247 /nfs/dbraw/zinc/15/02/47/422150247.db2.gz MBSXBRJNADQWND-STQMWFEESA-N -1 1 323.441 1.965 20 0 DDADMM Cc1cccc2c(CCNC(=O)CCCc3nn[n-]n3)c[nH]c21 ZINC000635604737 422157969 /nfs/dbraw/zinc/15/79/69/422157969.db2.gz WRQPOVQXWJJNLI-UHFFFAOYSA-N -1 1 312.377 1.671 20 0 DDADMM C[C@H]1CCCN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@@H]1C(=O)[O-] ZINC000583435201 422162990 /nfs/dbraw/zinc/16/29/90/422162990.db2.gz XEWHBUHJKJRCTE-ZANVPECISA-N -1 1 303.318 1.995 20 0 DDADMM CCC[C@H](NC(=O)[C@H](O)Cc1ccccc1Cl)c1nn[n-]n1 ZINC000574573044 422135101 /nfs/dbraw/zinc/13/51/01/422135101.db2.gz WEPPMPDLQATAJG-NWDGAFQWSA-N -1 1 323.784 1.414 20 0 DDADMM Cc1cn2c(CN(C)C(=O)CCc3nn[n-]n3)c(C)nc2s1 ZINC000632170134 422137140 /nfs/dbraw/zinc/13/71/40/422137140.db2.gz QUJDOQZUNQZFII-UHFFFAOYSA-N -1 1 319.394 1.117 20 0 DDADMM O=C(CCc1nn[n-]n1)NCCCCOc1ccc(Cl)cc1 ZINC000630614095 422222759 /nfs/dbraw/zinc/22/27/59/422222759.db2.gz BMHURLNEPYDWEO-UHFFFAOYSA-N -1 1 323.784 1.761 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(OCc2ccccc2)CC1 ZINC000630621986 422228831 /nfs/dbraw/zinc/22/88/31/422228831.db2.gz QJESCTWHWDVTPC-UHFFFAOYSA-N -1 1 315.377 1.340 20 0 DDADMM CCN1CC[C@@H]([N-]S(=O)(=O)c2ccc(C(F)F)o2)C1=O ZINC000632314637 422241954 /nfs/dbraw/zinc/24/19/54/422241954.db2.gz DHYKPODBDCCIQO-SSDOTTSWSA-N -1 1 308.306 1.116 20 0 DDADMM O=S(=O)([N-]CC1CC(F)(F)C1)c1c[nH]nc1C(F)(F)F ZINC000632359827 422274060 /nfs/dbraw/zinc/27/40/60/422274060.db2.gz UADNXGLESMWHSI-UHFFFAOYSA-N -1 1 319.255 1.752 20 0 DDADMM COc1ccc(O)c(C(=O)N=c2nc(C(C)(C)OC)[n-]s2)c1 ZINC000634153343 422291600 /nfs/dbraw/zinc/29/16/00/422291600.db2.gz QQELVXBPUCKESE-UHFFFAOYSA-N -1 1 323.374 1.808 20 0 DDADMM COc1cccc(C(=O)N=c2nc(C(C)(C)OC)[n-]s2)c1O ZINC000634154087 422291702 /nfs/dbraw/zinc/29/17/02/422291702.db2.gz YXKMKJOFLNWMIG-UHFFFAOYSA-N -1 1 323.374 1.808 20 0 DDADMM CN(Cc1cccc(C(F)(F)F)c1)C(=O)CCc1nn[n-]n1 ZINC000630771417 422328604 /nfs/dbraw/zinc/32/86/04/422328604.db2.gz FRKQOWDJEQCFIC-UHFFFAOYSA-N -1 1 313.283 1.810 20 0 DDADMM CC[C@](C)(CNS(=O)(=O)c1cc(OC)ccc1[O-])OC ZINC000632409126 422318592 /nfs/dbraw/zinc/31/85/92/422318592.db2.gz IRURMKFTVOVHHZ-CYBMUJFWSA-N -1 1 303.380 1.494 20 0 DDADMM O=C(CNC(=O)Cc1ccc([O-])c(Cl)c1)NCC(F)(F)F ZINC000630771955 422327793 /nfs/dbraw/zinc/32/77/93/422327793.db2.gz QUKJJDKGVCISJI-UHFFFAOYSA-N -1 1 324.686 1.383 20 0 DDADMM Cc1nocc1S(=O)(=O)[N-]Cc1cnccc1C(F)(F)F ZINC000632580478 422451932 /nfs/dbraw/zinc/45/19/32/422451932.db2.gz WAEDWIKAEOEWKX-UHFFFAOYSA-N -1 1 321.280 1.875 20 0 DDADMM Cc1n[nH]c(C)c1CC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000632520376 422407272 /nfs/dbraw/zinc/40/72/72/422407272.db2.gz NLDKFNICUDNUQK-UHFFFAOYSA-N -1 1 315.345 1.826 20 0 DDADMM Cn1nc(C2CCOCC2)cc1NC(=O)c1cncc([O-])c1 ZINC000628930796 422408492 /nfs/dbraw/zinc/40/84/92/422408492.db2.gz DZEUTZZEWSKMKM-UHFFFAOYSA-N -1 1 302.334 1.667 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N2CC3(CC3(F)F)C2)c1 ZINC000632536118 422418837 /nfs/dbraw/zinc/41/88/37/422418837.db2.gz JVJPVNLKXUAJEI-UHFFFAOYSA-N -1 1 305.302 1.431 20 0 DDADMM Cc1ncc(S(=O)(=O)[N-][C@@H](C)[C@H](O)C(F)(F)F)s1 ZINC000632673444 422510161 /nfs/dbraw/zinc/51/01/61/422510161.db2.gz KKAXYQNVTIZSRW-FFWSUHOLSA-N -1 1 304.315 1.042 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1CC[C@H](O)[C@H]2CCCC[C@H]21 ZINC000634489217 422455785 /nfs/dbraw/zinc/45/57/85/422455785.db2.gz NCSRXDZYQQZMAJ-YUTCNCBUSA-N -1 1 321.446 1.905 20 0 DDADMM CCc1[n-]n(-c2nc3c(cnn3C)c(=O)[nH]2)c(=O)c1C(C)C ZINC000634605315 422502512 /nfs/dbraw/zinc/50/25/12/422502512.db2.gz HSULUALQGHZHKD-JTQLQIEISA-N -1 1 302.338 1.454 20 0 DDADMM COc1ccccc1OCCN1C[C@@H](OC)C[C@@]1(C)C(=O)[O-] ZINC000634693850 422555474 /nfs/dbraw/zinc/55/54/74/422555474.db2.gz JFRTYCFHAXTGTH-LRDDRELGSA-N -1 1 309.362 1.638 20 0 DDADMM COc1cc(NCCCNC(=O)c2c([O-])cccc2F)ncn1 ZINC000636031514 422583610 /nfs/dbraw/zinc/58/36/10/422583610.db2.gz YSXWOTLRPIYAOD-UHFFFAOYSA-N -1 1 320.324 1.562 20 0 DDADMM CS(=O)(=O)C1(CNC(=O)Cc2ccc([O-])c(Cl)c2)CC1 ZINC000632815935 422598980 /nfs/dbraw/zinc/59/89/80/422598980.db2.gz XBXIIQHMAPFYEV-UHFFFAOYSA-N -1 1 317.794 1.282 20 0 DDADMM CC(C)c1ccccc1OCCNC(=O)CCc1nn[n-]n1 ZINC000631236496 422621925 /nfs/dbraw/zinc/62/19/25/422621925.db2.gz FDPQLIDSWFEFNL-UHFFFAOYSA-N -1 1 303.366 1.451 20 0 DDADMM Nc1ncc2c(n1)CN(C(=O)Cc1ccc([O-])c(Cl)c1)CC2 ZINC000636183089 422699426 /nfs/dbraw/zinc/69/94/26/422699426.db2.gz QWWLGCMZVRGOHQ-UHFFFAOYSA-N -1 1 318.764 1.376 20 0 DDADMM O=C(c1ccsc1)N1CCN(Cc2ncccc2[O-])CC1 ZINC000579162425 422716630 /nfs/dbraw/zinc/71/66/30/422716630.db2.gz FISRCPLRLDNBBD-UHFFFAOYSA-N -1 1 303.387 1.807 20 0 DDADMM FC(F)(F)c1nc(=NCc2n[nH]c([C@H]3CCOC3)n2)s[n-]1 ZINC000631373572 422724496 /nfs/dbraw/zinc/72/44/96/422724496.db2.gz AGVULPMOGSDJNC-YFKPBYRVSA-N -1 1 320.300 1.213 20 0 DDADMM FC(F)(F)c1nc(=NCc2nnc([C@H]3CCOC3)[nH]2)s[n-]1 ZINC000631373572 422724499 /nfs/dbraw/zinc/72/44/99/422724499.db2.gz AGVULPMOGSDJNC-YFKPBYRVSA-N -1 1 320.300 1.213 20 0 DDADMM CC(C)CC[C@@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000629438005 422671925 /nfs/dbraw/zinc/67/19/25/422671925.db2.gz AMFXTLUYANZUOU-NSHDSACASA-N -1 1 303.362 1.450 20 0 DDADMM COc1ccc(C[C@@H]2CCCC[N@H+]2CC(=O)NCC(=O)[O-])cc1 ZINC000650512942 423183863 /nfs/dbraw/zinc/18/38/63/423183863.db2.gz AZZXJKRJDOAYSD-AWEZNQCLSA-N -1 1 320.389 1.293 20 0 DDADMM COc1ccc(C[C@@H]2CCCCN2CC(=O)NCC(=O)[O-])cc1 ZINC000650512942 423183865 /nfs/dbraw/zinc/18/38/65/423183865.db2.gz AZZXJKRJDOAYSD-AWEZNQCLSA-N -1 1 320.389 1.293 20 0 DDADMM CN(CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)c1ccccc1 ZINC000646067728 423322339 /nfs/dbraw/zinc/32/23/39/423322339.db2.gz LVGOBWJVTXXIBU-LBPRGKRZSA-N -1 1 316.361 1.618 20 0 DDADMM O=C([O-])[C@@H]1CC[C@H](NC(=O)N2CCC(c3cnc[nH]3)CC2)C1 ZINC000646176373 423381046 /nfs/dbraw/zinc/38/10/46/423381046.db2.gz SOMHVMNNXPGIOU-NEPJUHHUSA-N -1 1 306.366 1.552 20 0 DDADMM C[C@@H](C(=O)NCc1nn[n-]n1)c1cccc(Br)c1 ZINC000643982674 423430950 /nfs/dbraw/zinc/43/09/50/423430950.db2.gz LYJRJOURZVHXHG-SSDOTTSWSA-N -1 1 310.155 1.382 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)CCc1nc(-c2ccco2)no1 ZINC000646411548 423480393 /nfs/dbraw/zinc/48/03/93/423480393.db2.gz JUZLZXMFTHAYHJ-UHFFFAOYSA-N -1 1 303.278 1.638 20 0 DDADMM Cc1nc(COC(C)(C)C)oc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000651387589 423519934 /nfs/dbraw/zinc/51/99/34/423519934.db2.gz YHPKJDXYGSZDOM-UHFFFAOYSA-N -1 1 322.369 1.476 20 0 DDADMM O=C(c1cccc2n[nH]cc21)N1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000648893964 423526206 /nfs/dbraw/zinc/52/62/06/423526206.db2.gz CYHIPYZFYPMDAP-SECBINFHSA-N -1 1 312.333 1.406 20 0 DDADMM CC(C)(CNC(=O)c1c(F)ccc([O-])c1F)[C@]1(O)CCOC1 ZINC000649086654 423619125 /nfs/dbraw/zinc/61/91/25/423619125.db2.gz PIPXYQVTIQQVFN-HNNXBMFYSA-N -1 1 315.316 1.578 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(C(F)(F)F)c([O-])c2)CC(=O)N1 ZINC000653834586 423626107 /nfs/dbraw/zinc/62/61/07/423626107.db2.gz ALIQAHVKQWTBGU-SSDOTTSWSA-N -1 1 302.252 1.372 20 0 DDADMM N=c1nc(N2CCN(Cc3cc4cnccc4o3)CC2)s[n-]1 ZINC000651650077 423631253 /nfs/dbraw/zinc/63/12/53/423631253.db2.gz BNGXSPQABWPXIR-UHFFFAOYSA-N -1 1 316.390 1.414 20 0 DDADMM C[C@H]1C(=O)N(C)CN1C(=O)c1ccc(C(F)(F)F)cc1[O-] ZINC000649158802 423654344 /nfs/dbraw/zinc/65/43/44/423654344.db2.gz AICGUOODQSWJIF-ZETCQYMHSA-N -1 1 302.252 1.671 20 0 DDADMM C[C@@H]1C(=O)N(C)CN1C(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000649158633 423655001 /nfs/dbraw/zinc/65/50/01/423655001.db2.gz XJVPELYHVLLVLY-MRVPVSSYSA-N -1 1 319.748 1.854 20 0 DDADMM CC(C)([N-]S(=O)(=O)C[C@@H]1COc2ccccc2O1)C(F)F ZINC000641470275 423658588 /nfs/dbraw/zinc/65/85/88/423658588.db2.gz XUPOGNARFGNONR-VIFPVBQESA-N -1 1 321.345 1.790 20 0 DDADMM COCc1nc(=NC(=O)[C@@H]2C[C@H](C)Cc3cn[nH]c32)s[n-]1 ZINC000646956982 423691016 /nfs/dbraw/zinc/69/10/16/423691016.db2.gz OHNOTGWQYXXDTI-VXNVDRBHSA-N -1 1 307.379 1.134 20 0 DDADMM C[C@@H]1[C@H](O)CCN1C(=O)c1ccc(Br)cc1[O-] ZINC000654166745 423733903 /nfs/dbraw/zinc/73/39/03/423733903.db2.gz JCXDHEYORFKYLA-GMSGAONNSA-N -1 1 300.152 1.750 20 0 DDADMM COc1ccc([N-]S(=O)(=O)C[C@H](OC)C2CC2)c(OC)n1 ZINC000647125778 423763383 /nfs/dbraw/zinc/76/33/83/423763383.db2.gz XBMXLNUGGQCVAU-NSHDSACASA-N -1 1 316.379 1.266 20 0 DDADMM N=c1[n-]nc([C@@H]2CCCN([C@@H]3C[C@H]4CCCC[C@@H]4NC3=O)C2)o1 ZINC000639822365 423760046 /nfs/dbraw/zinc/76/00/46/423760046.db2.gz NWYJBDGMIMPGNK-FVCCEPFGSA-N -1 1 319.409 1.109 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1csc(C(N)=O)c1)C(C)C ZINC000641687420 423861980 /nfs/dbraw/zinc/86/19/80/423861980.db2.gz AYWKCJYGPWIGSA-SECBINFHSA-N -1 1 306.409 1.260 20 0 DDADMM C[C@@H](CNC(=O)C(C)(C)C(=O)[O-])N1CCc2ccccc2C1 ZINC000649460280 423921904 /nfs/dbraw/zinc/92/19/04/423921904.db2.gz XUHPCHQIOAICPN-LBPRGKRZSA-N -1 1 304.390 1.660 20 0 DDADMM CC[C@@H](NC(=O)c1ccc(C(F)(F)F)c([O-])c1)C(=O)NC ZINC000654494902 423963924 /nfs/dbraw/zinc/96/39/24/423963924.db2.gz MYYSVUQBDRCMDB-SECBINFHSA-N -1 1 304.268 1.665 20 0 DDADMM Cc1cnc(SCC(=O)N2CCOC[C@H]2C2CC2)[n-]c1=O ZINC000657261893 424251098 /nfs/dbraw/zinc/25/10/98/424251098.db2.gz PWMNJVXYSXUGHF-NSHDSACASA-N -1 1 309.391 1.220 20 0 DDADMM O=C(c1cc(F)cc(Cl)c1[O-])N1CC[N@H+]2C[C@H](O)C[C@H]2C1 ZINC000655297317 424375815 /nfs/dbraw/zinc/37/58/15/424375815.db2.gz WFMYEORSXLHNCW-VHSXEESVSA-N -1 1 314.744 1.076 20 0 DDADMM CCOC1CC(O)(CNC(=O)N=c2[n-]sc3ccccc32)C1 ZINC000640339606 424360567 /nfs/dbraw/zinc/36/05/67/424360567.db2.gz SRKFTVUBTLVXFI-UHFFFAOYSA-N -1 1 321.402 1.770 20 0 DDADMM NC(=O)[C@@H]1CCCC[C@@H]1NC(=O)N=c1[n-]sc2ccccc21 ZINC000640342206 424364998 /nfs/dbraw/zinc/36/49/98/424364998.db2.gz MWSYAWCSEILIRU-KOLCDFICSA-N -1 1 318.402 1.884 20 0 DDADMM CCc1nc(S(=O)(=O)[N-]CC(C)(F)F)cn1CC1CC1 ZINC000659974040 424418336 /nfs/dbraw/zinc/41/83/36/424418336.db2.gz XAXHOSGTLLAVGP-UHFFFAOYSA-N -1 1 307.366 1.789 20 0 DDADMM COc1cc(C[N-]S(=O)(=O)c2cccc(OC)c2F)on1 ZINC000660011686 424455818 /nfs/dbraw/zinc/45/58/18/424455818.db2.gz PYISYWQZWOKCHX-UHFFFAOYSA-N -1 1 316.310 1.309 20 0 DDADMM O=C([O-])[C@]12COC[C@H]1CN(Cc1csc(-c3ccoc3)n1)C2 ZINC000662219137 424483198 /nfs/dbraw/zinc/48/31/98/424483198.db2.gz KUIMMUDMLWXHIK-IAQYHMDHSA-N -1 1 320.370 1.936 20 0 DDADMM CCc1ccc(CN(C2CC2)[C@@H]2CCN(CC(=O)[O-])C2=O)cc1 ZINC000662202594 424468173 /nfs/dbraw/zinc/46/81/73/424468173.db2.gz NCMOYRJHGBSOBK-MRXNPFEDSA-N -1 1 316.401 1.899 20 0 DDADMM O=C([O-])CN1CC[C@H](N(Cc2ccc(Cl)cc2)C2CC2)C1=O ZINC000662205772 424473096 /nfs/dbraw/zinc/47/30/96/424473096.db2.gz ZYIOPEXXRNFHKJ-AWEZNQCLSA-N -1 1 322.792 1.990 20 0 DDADMM CO[C@@H](C[N@@H+](C)Cc1nc(=O)c2sccc2[n-]1)[C@H]1CCOC1 ZINC000660292164 424625529 /nfs/dbraw/zinc/62/55/29/424625529.db2.gz FNNGVJZTXYMJSK-JQWIXIFHSA-N -1 1 323.418 1.880 20 0 DDADMM CO[C@@H](CN(C)Cc1nc(=O)c2sccc2[n-]1)[C@H]1CCOC1 ZINC000660292164 424625534 /nfs/dbraw/zinc/62/55/34/424625534.db2.gz FNNGVJZTXYMJSK-JQWIXIFHSA-N -1 1 323.418 1.880 20 0 DDADMM CC(=O)c1[nH]c(CCN2CCC[C@@H](c3n[nH]c(=O)[n-]3)C2)nc1C ZINC000660558830 424717664 /nfs/dbraw/zinc/71/76/64/424717664.db2.gz VNVSUHWBLGUGRX-LLVKDONJSA-N -1 1 318.381 1.167 20 0 DDADMM COCCOc1ccc(CNC(=O)c2cnncc2[O-])cc1 ZINC001120585830 782038299 /nfs/dbraw/zinc/03/82/99/782038299.db2.gz LTYTYHGRBDLHJX-UHFFFAOYSA-N -1 1 303.318 1.137 20 0 DDADMM CC1(C)CN(C(=O)CNC(=O)c2ncccc2[O-])CC(C)(C)C1 ZINC000341486971 271091468 /nfs/dbraw/zinc/09/14/68/271091468.db2.gz XWJVRRRVZQSIDL-UHFFFAOYSA-N -1 1 319.405 1.802 20 0 DDADMM CC[C@H](C)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCCO1)C(=O)OC ZINC000344981287 272149951 /nfs/dbraw/zinc/14/99/51/272149951.db2.gz IPBJEZJIBOICDP-SDDRHHMPSA-N -1 1 307.412 1.063 20 0 DDADMM COc1cc(NC(=O)Cc2ccoc2)ccc1[N-]S(C)(=O)=O ZINC000128081370 281298014 /nfs/dbraw/zinc/29/80/14/281298014.db2.gz ZKBHMXXTRPZSAE-UHFFFAOYSA-N -1 1 324.358 1.841 20 0 DDADMM CCC(CC)n1nccc1C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000129467644 281345746 /nfs/dbraw/zinc/34/57/46/281345746.db2.gz SPKRCMZFXJTBAN-UHFFFAOYSA-N -1 1 306.370 1.018 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)CC[C@H]2C)co1 ZINC000154407454 281802745 /nfs/dbraw/zinc/80/27/45/281802745.db2.gz NGKRZFDGCSVHOA-NXEZZACHSA-N -1 1 300.380 1.448 20 0 DDADMM CC[C@]1(C)CN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000287731715 288445803 /nfs/dbraw/zinc/44/58/03/288445803.db2.gz TWFNVOBUTDSENY-PWDRBQJJSA-N -1 1 303.366 1.375 20 0 DDADMM CN(C[C@H]1CCC[C@@H]1O)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000165857215 298217234 /nfs/dbraw/zinc/21/72/34/298217234.db2.gz SJCRIQGWHZZVRU-MFKMUULPSA-N -1 1 301.346 1.156 20 0 DDADMM Cc1noc(C)c1NS(=O)(=O)Cc1ccccc1CC(=O)[O-] ZINC000357135786 298974934 /nfs/dbraw/zinc/97/49/34/298974934.db2.gz TVIJVZCVGGBZAF-UHFFFAOYSA-N -1 1 324.358 1.860 20 0 DDADMM NC(=O)NCCCCCC(=O)N=c1cc(-c2cccs2)[n-][nH]1 ZINC000357884258 299012684 /nfs/dbraw/zinc/01/26/84/299012684.db2.gz WWZGXGRNOQSXNH-UHFFFAOYSA-N -1 1 321.406 1.727 20 0 DDADMM Cc1ccc(NS(=O)(=O)c2cnc3n2CCC3)cc1C(=O)[O-] ZINC000362123845 300011376 /nfs/dbraw/zinc/01/13/76/300011376.db2.gz HMAGQFWHXGAXEX-UHFFFAOYSA-N -1 1 321.358 1.637 20 0 DDADMM O=S(=O)([N-]c1nc2ccc(F)cc2[nH]1)c1cnc2n1CCC2 ZINC000362868612 300165320 /nfs/dbraw/zinc/16/53/20/300165320.db2.gz OLIKQSDUCQPUHF-UHFFFAOYSA-N -1 1 321.337 1.646 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CCC[C@H](N2CCNC2=O)C1 ZINC000363438242 300267698 /nfs/dbraw/zinc/26/76/98/300267698.db2.gz HTXAZEPBXMQDSS-NSHDSACASA-N -1 1 323.780 1.675 20 0 DDADMM O=C(N[C@H]1CC(=O)N(Cc2ccccc2)C1)c1ncccc1[O-] ZINC000363642797 300291699 /nfs/dbraw/zinc/29/16/99/300291699.db2.gz FQIWGIIVEMBBNC-ZDUSSCGKSA-N -1 1 311.341 1.318 20 0 DDADMM C[C@@H]1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C[C@@H](C2CC2)O1 ZINC000365474581 300520077 /nfs/dbraw/zinc/52/00/77/300520077.db2.gz VJWKOBCOZLTXDL-YGRLFVJLSA-N -1 1 313.357 1.563 20 0 DDADMM CC(=O)NCC(=O)N=c1cc(-c2cc3ccccc3s2)[n-][nH]1 ZINC000366155965 300650149 /nfs/dbraw/zinc/65/01/49/300650149.db2.gz IFTCSONJOCPLEB-UHFFFAOYSA-N -1 1 314.370 1.788 20 0 DDADMM O=C(N=c1nc[nH][n-]1)c1ccnc(-c2ccc(Cl)cc2)n1 ZINC000367470617 300850649 /nfs/dbraw/zinc/85/06/49/300850649.db2.gz UQEIHLSKTAWBHH-UHFFFAOYSA-N -1 1 300.709 1.589 20 0 DDADMM COCCCCS(=O)(=O)[N-]c1cccc2c1OCC[C@@H]2O ZINC000368675895 301072602 /nfs/dbraw/zinc/07/26/02/301072602.db2.gz OYSLDSWNTOEUGY-ZDUSSCGKSA-N -1 1 315.391 1.671 20 0 DDADMM CCC[C@@H](NC(=O)CN1CCc2sccc2C1)c1nn[n-]n1 ZINC000370242917 301300980 /nfs/dbraw/zinc/30/09/80/301300980.db2.gz LBVNDNUJPUDDML-LLVKDONJSA-N -1 1 320.422 1.277 20 0 DDADMM O=C(NC[C@]1(CO)C[C@H]1c1ccc(F)cc1)c1cncc([O-])c1 ZINC000355340019 306795972 /nfs/dbraw/zinc/79/59/72/306795972.db2.gz NOQIOSSTYNUYTL-RDJZCZTQSA-N -1 1 316.332 1.822 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@H]1c1cc[nH]n1)c2=O ZINC000376010576 302023855 /nfs/dbraw/zinc/02/38/55/302023855.db2.gz CIGGZJLSORFDSK-LBPRGKRZSA-N -1 1 312.333 1.374 20 0 DDADMM Cc1n[n-]c(=NC[C@H]2CCCN(C)[C@@H]2c2cnn(C)c2)s1 ZINC000377783343 302232460 /nfs/dbraw/zinc/23/24/60/302232460.db2.gz FDIPTOYVBLQXIE-YPMHNXCESA-N -1 1 306.439 1.497 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@@H]1CCCC12CCOCC2 ZINC000377840665 302241619 /nfs/dbraw/zinc/24/16/19/302241619.db2.gz UMWXOGDPQMPNKB-SNVBAGLBSA-N -1 1 315.395 1.023 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCCC12CCOCC2 ZINC000377840665 302241622 /nfs/dbraw/zinc/24/16/22/302241622.db2.gz UMWXOGDPQMPNKB-SNVBAGLBSA-N -1 1 315.395 1.023 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N(C)C1CC(c2ccccc2)C1 ZINC000377887260 302247431 /nfs/dbraw/zinc/24/74/31/302247431.db2.gz DPCPBSMPMHBYMG-UHFFFAOYSA-N -1 1 321.402 1.962 20 0 DDADMM Cn1ncc2c1[n-]cc(C(=O)N1CCOCC13CCCC3)c2=O ZINC000378568150 302336773 /nfs/dbraw/zinc/33/67/73/302336773.db2.gz JOGSYIJLBIAPLF-UHFFFAOYSA-N -1 1 316.361 1.459 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CCc2n[nH]nc2C1 ZINC000396936903 302351043 /nfs/dbraw/zinc/35/10/43/302351043.db2.gz ZMGMPWSLFGUHTH-UHFFFAOYSA-N -1 1 323.150 1.471 20 0 DDADMM Cc1nc(NC(=O)C(=O)c2ccc([O-])cc2)n(-c2ccccc2)n1 ZINC000520634259 302865675 /nfs/dbraw/zinc/86/56/75/302865675.db2.gz LJLLOXUSRFLHBU-UHFFFAOYSA-N -1 1 322.324 1.525 20 0 DDADMM Cc1ncc2c(n1)CCN(C(=O)c1nc3ccccc3c(=O)[n-]1)C2 ZINC000522083228 302883703 /nfs/dbraw/zinc/88/37/03/302883703.db2.gz PTAXGEYDPDDVPX-UHFFFAOYSA-N -1 1 321.340 1.220 20 0 DDADMM COC(=O)CCS(=O)(=O)[N-]c1cc(Cl)cc(F)c1F ZINC000357082313 306870330 /nfs/dbraw/zinc/87/03/30/306870330.db2.gz HQGCTJCOAPWDFH-UHFFFAOYSA-N -1 1 313.709 1.923 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ncc2c(F)cccn21 ZINC000528597090 303048043 /nfs/dbraw/zinc/04/80/43/303048043.db2.gz BCKASQRVICOIKA-UHFFFAOYSA-N -1 1 315.312 1.186 20 0 DDADMM O=C(Cc1ccc(F)c(Cl)c1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000528881150 303069268 /nfs/dbraw/zinc/06/92/68/303069268.db2.gz HKWRJJFZAOJDFS-SNVBAGLBSA-N -1 1 323.759 1.941 20 0 DDADMM O=C(Nc1cccc(F)c1[O-])C1CCC2(CC1)NC(=O)NC2=O ZINC000530005193 303169921 /nfs/dbraw/zinc/16/99/21/303169921.db2.gz GSUVEFDLLZBPLG-UHFFFAOYSA-N -1 1 321.308 1.238 20 0 DDADMM COCC1(NC(=O)CCc2c(C)nc(SC)[n-]c2=O)CC1 ZINC000531982010 303268492 /nfs/dbraw/zinc/26/84/92/303268492.db2.gz FLHSJSJKJRSOHO-UHFFFAOYSA-N -1 1 311.407 1.440 20 0 DDADMM O=C([O-])C1(NCC(=O)NCCCc2ccccc2)CCCC1 ZINC000533202778 303313485 /nfs/dbraw/zinc/31/34/85/303313485.db2.gz XYDBGMNZXPSWRE-UHFFFAOYSA-N -1 1 304.390 1.722 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)cn1 ZINC000533200313 303313744 /nfs/dbraw/zinc/31/37/44/303313744.db2.gz FJJHEKBOAUQTTH-UHFFFAOYSA-N -1 1 319.342 1.522 20 0 DDADMM Cc1nc(CCNC(=O)c2nc3ccccc3c(=O)[n-]2)c(C)o1 ZINC000536574431 303364522 /nfs/dbraw/zinc/36/45/22/303364522.db2.gz CXKIQSPUBZTUEA-UHFFFAOYSA-N -1 1 312.329 1.500 20 0 DDADMM CC(C)[C@@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000363571645 307031698 /nfs/dbraw/zinc/03/16/98/307031698.db2.gz RBOPKXDEDGLGLS-UONOGXRCSA-N -1 1 315.377 1.539 20 0 DDADMM CCC[C@@H](NC(=O)C1(N(C)C)Cc2ccccc2C1)C(=O)[O-] ZINC000363781456 307035805 /nfs/dbraw/zinc/03/58/05/307035805.db2.gz XWAZTCKRCCBYQK-CQSZACIVSA-N -1 1 304.390 1.455 20 0 DDADMM O=C(N=c1nc(C(F)(F)F)[n-][nH]1)c1cc2c(cn1)OCCC2 ZINC000364687694 307049895 /nfs/dbraw/zinc/04/98/95/307049895.db2.gz VUBMTNOSQFONRN-UHFFFAOYSA-N -1 1 313.239 1.218 20 0 DDADMM Cc1cccc(C2(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)CC2)c1 ZINC000370849487 307138458 /nfs/dbraw/zinc/13/84/58/307138458.db2.gz DFNJMHQTWIOENA-CYBMUJFWSA-N -1 1 313.361 1.140 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@H]4OCCC[C@H]4C3)ccnc1-2 ZINC000376641115 307251215 /nfs/dbraw/zinc/25/12/15/307251215.db2.gz QHDZTRHIYXCRCY-OCOKWYOVSA-N -1 1 315.377 1.375 20 0 DDADMM COc1cc([N-]S(=O)(=O)c2ccc(C(N)=O)cc2)ccc1F ZINC000436163462 307305470 /nfs/dbraw/zinc/30/54/70/307305470.db2.gz HOJFFDXDGHSPMO-UHFFFAOYSA-N -1 1 324.333 1.734 20 0 DDADMM CC(C)(C)OC(=O)N1CCCC(C)(C)[C@@H]1C(=O)Nc1nnn[n-]1 ZINC000496865127 307365567 /nfs/dbraw/zinc/36/55/67/307365567.db2.gz QHVIEJGYFCOUDW-VIFPVBQESA-N -1 1 324.385 1.564 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H](C)C[C@@H]1CCCO1 ZINC000531785567 307623508 /nfs/dbraw/zinc/62/35/08/307623508.db2.gz STFNNMTYNJKIFG-WPRPVWTQSA-N -1 1 311.407 1.900 20 0 DDADMM N=c1nc(N2CCN(Cc3ccc(Cl)cn3)CC2)s[n-]1 ZINC000554495946 307832571 /nfs/dbraw/zinc/83/25/71/307832571.db2.gz MVOUTRNVCSOJJW-UHFFFAOYSA-N -1 1 310.814 1.321 20 0 DDADMM CCN(CC)S(=O)(=O)[N-]c1ccn(CCc2ccncc2)n1 ZINC000558457700 307891769 /nfs/dbraw/zinc/89/17/69/307891769.db2.gz VMPUUTZLLGOJKV-UHFFFAOYSA-N -1 1 323.422 1.519 20 0 DDADMM O=C(N[C@H]1CC(=O)N2CCCC[C@H]12)c1ccc(Cl)cc1[O-] ZINC000558708651 307895671 /nfs/dbraw/zinc/89/56/71/307895671.db2.gz WISYJOSTMZXBOT-NWDGAFQWSA-N -1 1 308.765 1.929 20 0 DDADMM O=C([O-])c1ccc([N+](=O)[O-])c(NCCN2CCCOCC2)c1 ZINC000568274902 308114836 /nfs/dbraw/zinc/11/48/36/308114836.db2.gz NZGFWIRXEBNEAH-UHFFFAOYSA-N -1 1 309.322 1.427 20 0 DDADMM Cc1nc(C2(NC(=O)c3ncc(C)cc3[O-])CCCC2)no1 ZINC000569467186 308144796 /nfs/dbraw/zinc/14/47/96/308144796.db2.gz XAVYZLIEDXCPDI-UHFFFAOYSA-N -1 1 302.334 1.986 20 0 DDADMM O=C([O-])c1cnoc1CN1CC[C@@](O)(Cc2ccc(F)cc2)C1 ZINC000569869086 308152220 /nfs/dbraw/zinc/15/22/20/308152220.db2.gz NTQHBRZJGLRNNW-MRXNPFEDSA-N -1 1 320.320 1.691 20 0 DDADMM CN(C)C(=O)c1ccc(=NC[C@H]2CC23CCSCC3)[n-]n1 ZINC000573195777 308232791 /nfs/dbraw/zinc/23/27/91/308232791.db2.gz KTQWLIDSWYOZFV-LLVKDONJSA-N -1 1 306.435 1.546 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H]1C[C@H]1C1CC1 ZINC000573328904 308238315 /nfs/dbraw/zinc/23/83/15/308238315.db2.gz QRNUSQRJJBADGO-JSGCOSHPSA-N -1 1 314.389 1.757 20 0 DDADMM CCC[C@H](C)[C@H](CO)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000576708716 308334089 /nfs/dbraw/zinc/33/40/89/308334089.db2.gz HZPCMMMRYINHOR-GWCFXTLKSA-N -1 1 303.362 1.450 20 0 DDADMM Cc1ccccc1[C@@]1(F)CCN(CC(=O)Nc2nnn[n-]2)C1 ZINC000577201210 308370530 /nfs/dbraw/zinc/37/05/30/308370530.db2.gz WWRJCCMZLKPVNX-CQSZACIVSA-N -1 1 304.329 1.017 20 0 DDADMM Cc1ccccc1[C@@]1(F)CCN(CC(=O)Nc2nn[n-]n2)C1 ZINC000577201210 308370531 /nfs/dbraw/zinc/37/05/31/308370531.db2.gz WWRJCCMZLKPVNX-CQSZACIVSA-N -1 1 304.329 1.017 20 0 DDADMM CCc1cccc2c1N(S(=O)(=O)c1c(C)o[n-]c1=N)CC2 ZINC000578051016 308433329 /nfs/dbraw/zinc/43/33/29/308433329.db2.gz RWPMFBYTYSLYLM-UHFFFAOYSA-N -1 1 307.375 1.709 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(Br)ccc2[O-])C[C@H]1O ZINC000387865857 325768030 /nfs/dbraw/zinc/76/80/30/325768030.db2.gz MMMFVFOVPRIBSU-RDDDGLTNSA-N -1 1 300.152 1.608 20 0 DDADMM COC(=O)[C@]1([N-]S(=O)(=O)CCCC(C)(C)C)CCOC1 ZINC000582514625 337099806 /nfs/dbraw/zinc/09/98/06/337099806.db2.gz MVRYMCYVPRUOCR-ZDUSSCGKSA-N -1 1 307.412 1.064 20 0 DDADMM CC(C)c1ccc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c(O)c1 ZINC000436936343 484127761 /nfs/dbraw/zinc/12/77/61/484127761.db2.gz BLFSCGJIYQUKTO-UHFFFAOYSA-N -1 1 324.362 1.290 20 0 DDADMM CC(C)c1ccc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c(O)c1 ZINC000436936343 484127768 /nfs/dbraw/zinc/12/77/68/484127768.db2.gz BLFSCGJIYQUKTO-UHFFFAOYSA-N -1 1 324.362 1.290 20 0 DDADMM CO[C@@](C)(C(=O)[O-])C(=O)N[C@H]1CCCN(Cc2ccccc2)C1 ZINC000663103124 484668177 /nfs/dbraw/zinc/66/81/77/484668177.db2.gz WIKIBVKBNJVVLX-WMLDXEAASA-N -1 1 320.389 1.257 20 0 DDADMM O=C([O-])[C@@H](C(=O)N1CCN(C2CCCC2)CC1)C1CCCC1 ZINC000663107846 484673135 /nfs/dbraw/zinc/67/31/35/484673135.db2.gz LNFYZCIKSSHERN-OAHLLOKOSA-N -1 1 308.422 1.964 20 0 DDADMM O=C([O-])CCC1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000663129352 484691048 /nfs/dbraw/zinc/69/10/48/484691048.db2.gz SHRSPLUNESDSQG-GFCCVEGCSA-N -1 1 305.378 1.933 20 0 DDADMM Cn1ncc2c1CC(C)(C)CN(C(=O)c1cncc([O-])c1)C2 ZINC000663209661 484737362 /nfs/dbraw/zinc/73/73/62/484737362.db2.gz GXGVAEUASQIHOM-UHFFFAOYSA-N -1 1 300.362 1.745 20 0 DDADMM COCC1([N-]S(=O)(=O)c2c(F)cc(OC)cc2F)CCC1 ZINC000671188553 484966375 /nfs/dbraw/zinc/96/63/75/484966375.db2.gz ZVHAPCHJKUXQHQ-UHFFFAOYSA-N -1 1 321.345 1.821 20 0 DDADMM O=C(CNC(=O)c1ncc2ccccc2c1[O-])N1CCCCC1 ZINC000668130325 484973818 /nfs/dbraw/zinc/97/38/18/484973818.db2.gz YZMLZCABVOAJAX-UHFFFAOYSA-N -1 1 313.357 1.683 20 0 DDADMM COC(C)(C)CS(=O)(=O)[N-]c1ccc2c(c1)C(=O)NCC2 ZINC000666301603 485164816 /nfs/dbraw/zinc/16/48/16/485164816.db2.gz XBHHXUCVYMKFJK-UHFFFAOYSA-N -1 1 312.391 1.139 20 0 DDADMM O=C(NCCOC[C@@H]1CCOC1)c1ncc2ccccc2c1[O-] ZINC000668527186 485195673 /nfs/dbraw/zinc/19/56/73/485195673.db2.gz DCKDRPAZMQLOLN-GFCCVEGCSA-N -1 1 316.357 1.723 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCc1ccon1 ZINC000668742616 485305144 /nfs/dbraw/zinc/30/51/44/485305144.db2.gz ASAUMKCEBCJKMH-UHFFFAOYSA-N -1 1 315.333 1.289 20 0 DDADMM O=C(C(=O)N1CCC(c2nnc[nH]2)CC1)c1ccc([O-])cc1 ZINC000672979385 485374877 /nfs/dbraw/zinc/37/48/77/485374877.db2.gz VVCPTRARFONOON-UHFFFAOYSA-N -1 1 300.318 1.099 20 0 DDADMM NC(=O)[C@@H]1CCC[C@@H](NC(=O)c2ncc3ccccc3c2[O-])C1 ZINC000669002289 485376566 /nfs/dbraw/zinc/37/65/66/485376566.db2.gz XWAYOECVJPKKRY-ZYHUDNBSSA-N -1 1 313.357 1.714 20 0 DDADMM O=C(C(=O)N1CCC(n2ccnn2)CC1)c1ccc([O-])cc1 ZINC000673029128 485380672 /nfs/dbraw/zinc/38/06/72/485380672.db2.gz DZKZZFXYMSQFOP-UHFFFAOYSA-N -1 1 300.318 1.030 20 0 DDADMM CC1(C)CN(C(=O)C(=O)c2ccc([O-])cc2)[C@@H]1[C@H]1CCCO1 ZINC000685885730 485474567 /nfs/dbraw/zinc/47/45/67/485474567.db2.gz AYRUMOYHEWZCOR-UKRRQHHQSA-N -1 1 303.358 1.991 20 0 DDADMM CC(C)c1ccc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)cc1 ZINC000679202218 485806816 /nfs/dbraw/zinc/80/68/16/485806816.db2.gz BTZHNGXDZLPKDD-UHFFFAOYSA-N -1 1 308.363 1.433 20 0 DDADMM CC[C@@H]1CC[C@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)O1 ZINC000675037307 485845491 /nfs/dbraw/zinc/84/54/91/485845491.db2.gz PODWHYPGZGLDDP-UPJWGTAASA-N -1 1 301.350 1.363 20 0 DDADMM CSc1nc(C)c(CCC(=O)N2CC(F)(F)C2)c(=O)[n-]1 ZINC000679650527 485961572 /nfs/dbraw/zinc/96/15/72/485961572.db2.gz MWBUVHAOXVGCFB-UHFFFAOYSA-N -1 1 303.334 1.623 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC(F)(F)C2)o1 ZINC000675670156 486011410 /nfs/dbraw/zinc/01/14/10/486011410.db2.gz LGCBEIYONZBZGJ-SSDOTTSWSA-N -1 1 308.306 1.105 20 0 DDADMM Cc1ccc(-c2n[nH]c(NC(=O)c3c[nH]c(C(N)=O)c3)n2)o1 ZINC000675707926 486016486 /nfs/dbraw/zinc/01/64/86/486016486.db2.gz FFXKVGAQHKJGEW-UHFFFAOYSA-N -1 1 300.278 1.052 20 0 DDADMM C[C@@H]1COCC[C@H]1C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000683526872 486038988 /nfs/dbraw/zinc/03/89/88/486038988.db2.gz KVYSHVDFAVGENG-RAIGVLPGSA-N -1 1 301.350 1.078 20 0 DDADMM CCOc1cc(C(=O)NCCCn2cncn2)cc(Cl)c1[O-] ZINC000680816012 486271203 /nfs/dbraw/zinc/27/12/03/486271203.db2.gz HUBRQKYPLITTCJ-UHFFFAOYSA-N -1 1 324.768 1.856 20 0 DDADMM CN1CCC[C@@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)C1 ZINC000680973474 486315296 /nfs/dbraw/zinc/31/52/96/486315296.db2.gz FXPFUWSDHWXWAO-SECBINFHSA-N -1 1 306.790 1.852 20 0 DDADMM CN(C)C(=O)Cn1ccc(NC(=O)c2ccc([O-])c(F)c2)n1 ZINC000681040068 486328244 /nfs/dbraw/zinc/32/82/44/486328244.db2.gz VPPNFUFILNBKPI-UHFFFAOYSA-N -1 1 306.297 1.068 20 0 DDADMM NC(=O)[C@@H]1Cc2ccccc2N(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000681039272 486328276 /nfs/dbraw/zinc/32/82/76/486328276.db2.gz IDHGTFLIUOPRSG-GFCCVEGCSA-N -1 1 314.316 1.836 20 0 DDADMM Cc1nc(CN2CCN(C(=O)c3ccc([O-])c(F)c3)CC2)no1 ZINC000681042712 486329801 /nfs/dbraw/zinc/32/98/01/486329801.db2.gz BDJGZETZWQAWIC-UHFFFAOYSA-N -1 1 320.324 1.181 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(S(=O)(=O)NC2CC2)CC1 ZINC000681253460 486387775 /nfs/dbraw/zinc/38/77/75/486387775.db2.gz DBNDUSXFNWNDGP-UHFFFAOYSA-N -1 1 324.402 1.284 20 0 DDADMM Cc1nn(C)c(C)c1S(=O)(=O)[N-]c1ccc2nccn2c1 ZINC000681363568 486413252 /nfs/dbraw/zinc/41/32/52/486413252.db2.gz QHOIFNZUYKENFK-UHFFFAOYSA-N -1 1 305.363 1.485 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCO[C@@H](C(C)(C)C)C1 ZINC000685504989 486552947 /nfs/dbraw/zinc/55/29/47/486552947.db2.gz ZRUOENWDGIRKTL-NXEZZACHSA-N -1 1 317.411 1.437 20 0 DDADMM CC(C)(CO)CNC(=O)c1ccc(Br)cc1[O-] ZINC000119852370 490608121 /nfs/dbraw/zinc/60/81/21/490608121.db2.gz GTMHILQQXJNNMG-UHFFFAOYSA-N -1 1 302.168 1.903 20 0 DDADMM Cc1c[nH]nc1CN1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C ZINC000292899733 533991700 /nfs/dbraw/zinc/99/17/00/533991700.db2.gz ZXKNDJPJYWLUKD-NXEZZACHSA-N -1 1 304.316 1.750 20 0 DDADMM C[C@@H](Cc1cnn(C)c1)[N-]S(=O)(=O)c1sccc1F ZINC000451968430 534266301 /nfs/dbraw/zinc/26/63/01/534266301.db2.gz PKQSNHHPFDRHIF-QMMMGPOBSA-N -1 1 303.384 1.530 20 0 DDADMM CC[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])c1ccncc1 ZINC000343708867 517770233 /nfs/dbraw/zinc/77/02/33/517770233.db2.gz BSAOFOLCTQDKDH-GFCCVEGCSA-N -1 1 314.345 1.180 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@H](CO)CC(C)(C)C)sc1C ZINC000443486581 534519785 /nfs/dbraw/zinc/51/97/85/534519785.db2.gz PBFMCEWKVVXHCD-JTQLQIEISA-N -1 1 306.453 1.835 20 0 DDADMM O=C(N[C@@H]1CCO[C@H](C2CC2)C1)c1nc2ccccc2c(=O)[n-]1 ZINC000157868862 526940089 /nfs/dbraw/zinc/94/00/89/526940089.db2.gz KFOIWGHOYFSYNF-RISCZKNCSA-N -1 1 313.357 1.611 20 0 DDADMM CCN(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1sccc1Cl ZINC000451447470 527753135 /nfs/dbraw/zinc/75/31/35/527753135.db2.gz BRLLGXDKLFKUOC-SSDOTTSWSA-N -1 1 310.828 1.547 20 0 DDADMM CC(C)[C@H]1C[C@@H](CN=c2ccc(C(=O)N(C)C)n[n-]2)CCO1 ZINC000413308412 527864801 /nfs/dbraw/zinc/86/48/01/527864801.db2.gz CYXGTUVOAKRWGO-GXTWGEPZSA-N -1 1 306.410 1.463 20 0 DDADMM CCN1C[C@H]([N-]S(=O)(=O)c2c(F)cccc2Cl)CC1=O ZINC000451867963 527991967 /nfs/dbraw/zinc/99/19/67/527991967.db2.gz LNHXMDLZPQFIEH-MRVPVSSYSA-N -1 1 320.773 1.378 20 0 DDADMM CCCC1(CNC(=O)N2CCN(c3nc(=N)[n-]s3)CC2)CC1 ZINC000495033450 528048091 /nfs/dbraw/zinc/04/80/91/528048091.db2.gz XVTDFIUUNHUBFP-UHFFFAOYSA-N -1 1 324.454 1.363 20 0 DDADMM CCC[C@H](NC(=O)c1ccc(OC(C)C)cn1)c1nn[n-]n1 ZINC000444270796 528203691 /nfs/dbraw/zinc/20/36/91/528203691.db2.gz PNEDPVDXBNFQNN-NSHDSACASA-N -1 1 304.354 1.653 20 0 DDADMM CCNC(=O)c1ccc(=NCCC[C@@H]2CCCC[C@H]2O)[n-]n1 ZINC000432051964 528228424 /nfs/dbraw/zinc/22/84/24/528228424.db2.gz WRYPAIHOWOVHEI-GXTWGEPZSA-N -1 1 306.410 1.391 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C2(C)CCOCC2)o1 ZINC000443728327 528242360 /nfs/dbraw/zinc/24/23/60/528242360.db2.gz FCSSAHRVCKZHJN-UHFFFAOYSA-N -1 1 317.363 1.304 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2CC)o1 ZINC000451833171 528242857 /nfs/dbraw/zinc/24/28/57/528242857.db2.gz YUIDQAMZDQRYGD-ZJUUUORDSA-N -1 1 301.364 1.781 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)CCCCO)o1 ZINC000451721452 528243811 /nfs/dbraw/zinc/24/38/11/528243811.db2.gz PTADWIZFWVHPOA-SNVBAGLBSA-N -1 1 319.379 1.286 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)[C@H](C)CC(F)(F)F ZINC000410660840 528278561 /nfs/dbraw/zinc/27/85/61/528278561.db2.gz GYWFKKMMJHMNQQ-SSDOTTSWSA-N -1 1 307.272 1.546 20 0 DDADMM CCCOCC(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000299258976 528591487 /nfs/dbraw/zinc/59/14/87/528591487.db2.gz JZQIWUHRSLNFBP-UHFFFAOYSA-N -1 1 316.379 1.432 20 0 DDADMM CCOCCCNC(=O)[C@H](C)Sc1ncc(C(=O)OC)[n-]1 ZINC000432660725 528687832 /nfs/dbraw/zinc/68/78/32/528687832.db2.gz VABQUVRYBXDGFD-VIFPVBQESA-N -1 1 315.395 1.220 20 0 DDADMM CCOCCCNC(=O)[C@H](C)Sc1nc(C(=O)OC)c[n-]1 ZINC000432660725 528687839 /nfs/dbraw/zinc/68/78/39/528687839.db2.gz VABQUVRYBXDGFD-VIFPVBQESA-N -1 1 315.395 1.220 20 0 DDADMM CC1(C)C(=O)N[C@H]1C1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000294734299 528866994 /nfs/dbraw/zinc/86/69/94/528866994.db2.gz LVGRGDXTCZVNJS-AWEZNQCLSA-N -1 1 320.364 1.908 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H]1CSCN1C(=O)c1ncc(C)cc1[O-] ZINC000330894036 529369160 /nfs/dbraw/zinc/36/91/60/529369160.db2.gz ZIIWMQFUGFHDBS-MNOVXSKESA-N -1 1 323.418 1.525 20 0 DDADMM O=C(OCCOCC(F)(F)F)c1sccc1-c1nn[n-]n1 ZINC000738224860 598845847 /nfs/dbraw/zinc/84/58/47/598845847.db2.gz WYDMAIOKGXMGPY-UHFFFAOYSA-N -1 1 322.268 1.664 20 0 DDADMM C[C@H]1CCCCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736269867 598918822 /nfs/dbraw/zinc/91/88/22/598918822.db2.gz YMTJNBGRZIHOTM-JTQLQIEISA-N -1 1 322.394 1.215 20 0 DDADMM C[C@H]1CCCCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736269867 598918824 /nfs/dbraw/zinc/91/88/24/598918824.db2.gz YMTJNBGRZIHOTM-JTQLQIEISA-N -1 1 322.394 1.215 20 0 DDADMM CC[C@H]1CCC[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736399862 598942098 /nfs/dbraw/zinc/94/20/98/598942098.db2.gz LDBJZNOFYUWHCM-JQWIXIFHSA-N -1 1 300.366 1.960 20 0 DDADMM CC[C@H]1CCC[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736399862 598942099 /nfs/dbraw/zinc/94/20/99/598942099.db2.gz LDBJZNOFYUWHCM-JQWIXIFHSA-N -1 1 300.366 1.960 20 0 DDADMM O[C@@H](CNc1nccnc1-c1nnn[n-]1)c1c(F)cccc1F ZINC000738387198 598975665 /nfs/dbraw/zinc/97/56/65/598975665.db2.gz OBSNRHCCVGNGNK-VIFPVBQESA-N -1 1 319.275 1.080 20 0 DDADMM O[C@@H](CNc1nccnc1-c1nn[n-]n1)c1c(F)cccc1F ZINC000738387198 598975667 /nfs/dbraw/zinc/97/56/67/598975667.db2.gz OBSNRHCCVGNGNK-VIFPVBQESA-N -1 1 319.275 1.080 20 0 DDADMM Cc1csc(N(C)C(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000737452866 598994701 /nfs/dbraw/zinc/99/47/01/598994701.db2.gz OWNYEPMPOGFXJV-UHFFFAOYSA-N -1 1 301.335 1.303 20 0 DDADMM Cc1csc(N(C)C(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000737452866 598994702 /nfs/dbraw/zinc/99/47/02/598994702.db2.gz OWNYEPMPOGFXJV-UHFFFAOYSA-N -1 1 301.335 1.303 20 0 DDADMM CC1(C)[C@@H]2CN(c3c4ccccc4nnc3-c3nnn[n-]3)C[C@@H]21 ZINC000820583440 599053076 /nfs/dbraw/zinc/05/30/76/599053076.db2.gz DIGBPRIWCAGAQS-PHIMTYICSA-N -1 1 307.361 1.902 20 0 DDADMM CC1(C)[C@@H]2CN(c3c4ccccc4nnc3-c3nn[n-]n3)C[C@@H]21 ZINC000820583440 599053079 /nfs/dbraw/zinc/05/30/79/599053079.db2.gz DIGBPRIWCAGAQS-PHIMTYICSA-N -1 1 307.361 1.902 20 0 DDADMM C[C@@H](O)c1ccc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)cc1 ZINC000736148538 599105883 /nfs/dbraw/zinc/10/58/83/599105883.db2.gz PNBSPCWZVJJPDN-SNVBAGLBSA-N -1 1 324.344 1.245 20 0 DDADMM C[C@@H](O)c1ccc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)cc1 ZINC000736148538 599105884 /nfs/dbraw/zinc/10/58/84/599105884.db2.gz PNBSPCWZVJJPDN-SNVBAGLBSA-N -1 1 324.344 1.245 20 0 DDADMM O=C(OCc1nc2ccccc2o1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738244419 599142125 /nfs/dbraw/zinc/14/21/25/599142125.db2.gz IWHPXBKUABUFDN-UHFFFAOYSA-N -1 1 322.284 1.760 20 0 DDADMM O=C(OCc1nc2ccccc2o1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738244419 599142128 /nfs/dbraw/zinc/14/21/28/599142128.db2.gz IWHPXBKUABUFDN-UHFFFAOYSA-N -1 1 322.284 1.760 20 0 DDADMM CN(CCC(=O)[O-])C(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000818447784 597013217 /nfs/dbraw/zinc/01/32/17/597013217.db2.gz DBVMEVVLHAGTHH-UHFFFAOYSA-N -1 1 307.331 1.171 20 0 DDADMM C[C@@H](C(=O)[O-])N1CCN(C(=O)Cc2c[nH]c3ccccc23)CC1 ZINC000390450590 597057459 /nfs/dbraw/zinc/05/74/59/597057459.db2.gz ZXVLDCWSHJKCQQ-LBPRGKRZSA-N -1 1 315.373 1.328 20 0 DDADMM CC[C@@H](Cc1ccccc1)C(=O)N=c1cc[nH]cc1-c1nn[n-]n1 ZINC000736348643 599257004 /nfs/dbraw/zinc/25/70/04/599257004.db2.gz BCPWXODHPNDLJN-ZDUSSCGKSA-N -1 1 322.372 1.891 20 0 DDADMM Cc1nnc([C@H](C)Nc2ccc(Cl)c(-c3nnn[n-]3)n2)o1 ZINC000822511001 607304688 /nfs/dbraw/zinc/30/46/88/607304688.db2.gz ZCWHHZITAGNYHZ-YFKPBYRVSA-N -1 1 306.717 1.780 20 0 DDADMM Cc1nnc([C@H](C)Nc2ccc(Cl)c(-c3nn[n-]n3)n2)o1 ZINC000822511001 607304689 /nfs/dbraw/zinc/30/46/89/607304689.db2.gz ZCWHHZITAGNYHZ-YFKPBYRVSA-N -1 1 306.717 1.780 20 0 DDADMM C[C@H]1CCCC[C@H]1OCCNC(=O)CNC(C)(C)C(=O)[O-] ZINC000736784707 599925791 /nfs/dbraw/zinc/92/57/91/599925791.db2.gz NQIPJMRVZJBJNN-NWDGAFQWSA-N -1 1 300.399 1.151 20 0 DDADMM O=C([O-])[C@@H]1CCN(CN2C[C@H](c3ccccc3F)CC2=O)C1 ZINC000821511892 597759927 /nfs/dbraw/zinc/75/99/27/597759927.db2.gz VHHLIUXNBXBLAV-VXGBXAGGSA-N -1 1 306.337 1.506 20 0 DDADMM CC(C)(C)c1nc(CN2CCN(CCCCC(=O)[O-])CC2)no1 ZINC000262173589 597794869 /nfs/dbraw/zinc/79/48/69/597794869.db2.gz CUWDWHXRABJBEZ-UHFFFAOYSA-N -1 1 324.425 1.740 20 0 DDADMM Cc1n[nH]c(CCNC(=O)Nc2ccc(C(=O)[O-])cc2F)n1 ZINC000392388059 598212614 /nfs/dbraw/zinc/21/26/14/598212614.db2.gz NYLPPRJBIBZVAK-UHFFFAOYSA-N -1 1 307.285 1.315 20 0 DDADMM CCNc1nnc(Sc2nccnc2-c2nn[n-]n2)s1 ZINC000736583775 598338693 /nfs/dbraw/zinc/33/86/93/598338693.db2.gz SJHAYSYLCVNGRS-UHFFFAOYSA-N -1 1 307.368 1.091 20 0 DDADMM Cc1cccc(C)c1NC(=O)CNc1nccnc1-c1nnn[n-]1 ZINC000737391263 598340774 /nfs/dbraw/zinc/34/07/74/598340774.db2.gz XAFDFJAYEVQDAH-UHFFFAOYSA-N -1 1 324.348 1.324 20 0 DDADMM Cc1cccc(C)c1NC(=O)CNc1nccnc1-c1nn[n-]n1 ZINC000737391263 598340776 /nfs/dbraw/zinc/34/07/76/598340776.db2.gz XAFDFJAYEVQDAH-UHFFFAOYSA-N -1 1 324.348 1.324 20 0 DDADMM c1c(CNc2nccnc2-c2nnn[n-]2)onc1-c1ccccc1 ZINC000738421743 598344734 /nfs/dbraw/zinc/34/47/34/598344734.db2.gz UXWYZKNPOAUOBD-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM c1c(CNc2nccnc2-c2nn[n-]n2)onc1-c1ccccc1 ZINC000738421743 598344736 /nfs/dbraw/zinc/34/47/36/598344736.db2.gz UXWYZKNPOAUOBD-UHFFFAOYSA-N -1 1 320.316 1.924 20 0 DDADMM c1nn(Cc2ccccc2)cc1Nc1cnc(-c2nnn[n-]2)cn1 ZINC000738433913 598387826 /nfs/dbraw/zinc/38/78/26/598387826.db2.gz YNSMZOKMNUVGOK-UHFFFAOYSA-N -1 1 319.332 1.645 20 0 DDADMM c1nn(Cc2ccccc2)cc1Nc1cnc(-c2nn[n-]n2)cn1 ZINC000738433913 598387828 /nfs/dbraw/zinc/38/78/28/598387828.db2.gz YNSMZOKMNUVGOK-UHFFFAOYSA-N -1 1 319.332 1.645 20 0 DDADMM CO[C@@H]1CCCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000736962701 598551243 /nfs/dbraw/zinc/55/12/43/598551243.db2.gz QVDDTANPUZCUFL-ZCFIWIBFSA-N -1 1 300.775 1.592 20 0 DDADMM CO[C@@H]1CCCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000736962701 598551244 /nfs/dbraw/zinc/55/12/44/598551244.db2.gz QVDDTANPUZCUFL-ZCFIWIBFSA-N -1 1 300.775 1.592 20 0 DDADMM C[C@@H]1CCN(S(=O)(=O)c2ccc(F)c(-c3nn[n-]n3)c2)C1 ZINC000736277444 598693171 /nfs/dbraw/zinc/69/31/71/598693171.db2.gz WGKAFRKVYMTEJF-MRVPVSSYSA-N -1 1 311.342 1.036 20 0 DDADMM O=C(Nc1ccc([O-])c(Cl)c1)c1ccc(-c2nn[nH]n2)cn1 ZINC000738174570 598723679 /nfs/dbraw/zinc/72/36/79/598723679.db2.gz UHOINXUDIUUXQG-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM Fc1ccc(OCCNc2cccc(-c3nnn[n-]3)n2)cc1 ZINC000737656450 599405264 /nfs/dbraw/zinc/40/52/64/599405264.db2.gz MRXMRDGAQKAONL-UHFFFAOYSA-N -1 1 300.297 1.892 20 0 DDADMM Fc1ccc(OCCNc2cccc(-c3nn[n-]n3)n2)cc1 ZINC000737656450 599405268 /nfs/dbraw/zinc/40/52/68/599405268.db2.gz MRXMRDGAQKAONL-UHFFFAOYSA-N -1 1 300.297 1.892 20 0 DDADMM COC(=O)c1ccc(CNc2cccc(-c3nnn[n-]3)n2)o1 ZINC000736947522 599439867 /nfs/dbraw/zinc/43/98/67/599439867.db2.gz NBHLMQWXRVOATH-UHFFFAOYSA-N -1 1 300.278 1.253 20 0 DDADMM COC(=O)c1ccc(CNc2cccc(-c3nn[n-]n3)n2)o1 ZINC000736947522 599439869 /nfs/dbraw/zinc/43/98/69/599439869.db2.gz NBHLMQWXRVOATH-UHFFFAOYSA-N -1 1 300.278 1.253 20 0 DDADMM Cc1noc(C)c1C[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC000737535453 599531040 /nfs/dbraw/zinc/53/10/40/599531040.db2.gz ADJGSDISEXZMLN-ZETCQYMHSA-N -1 1 300.326 1.305 20 0 DDADMM Cc1noc(C)c1C[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC000737535453 599531042 /nfs/dbraw/zinc/53/10/42/599531042.db2.gz ADJGSDISEXZMLN-ZETCQYMHSA-N -1 1 300.326 1.305 20 0 DDADMM C[C@H](Nc1snc(Cl)c1-c1nnn[n-]1)c1cnn(C)c1 ZINC000820461117 599573634 /nfs/dbraw/zinc/57/36/34/599573634.db2.gz FKELESUVURDBKQ-YFKPBYRVSA-N -1 1 310.774 1.883 20 0 DDADMM C[C@H](Nc1snc(Cl)c1-c1nn[n-]n1)c1cnn(C)c1 ZINC000820461117 599573635 /nfs/dbraw/zinc/57/36/35/599573635.db2.gz FKELESUVURDBKQ-YFKPBYRVSA-N -1 1 310.774 1.883 20 0 DDADMM CCc1c(NC(=O)CCNC(=O)[O-])[nH]nc1-c1ccncc1 ZINC000737247590 599757591 /nfs/dbraw/zinc/75/75/91/599757591.db2.gz KWUIBQZNLYPEDR-UHFFFAOYSA-N -1 1 303.322 1.630 20 0 DDADMM CCc1cc(NS(=O)(=O)c2ccc(C(=O)[O-])cc2C)n(C)n1 ZINC000737252884 600246757 /nfs/dbraw/zinc/24/67/57/600246757.db2.gz VHNYVCMVGCNHNO-UHFFFAOYSA-N -1 1 323.374 1.790 20 0 DDADMM C[C@H](c1nc2ccccc2s1)N(C)CCC(=O)NCC(=O)[O-] ZINC000736728080 600286054 /nfs/dbraw/zinc/28/60/54/600286054.db2.gz KSDGIARXWRKAMU-SNVBAGLBSA-N -1 1 321.402 1.880 20 0 DDADMM CCCCOCCNC(=O)CN1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000736998777 600286589 /nfs/dbraw/zinc/28/65/89/600286589.db2.gz CWHAUKGGHIYATF-ZDUSSCGKSA-N -1 1 312.410 1.106 20 0 DDADMM CN(C(=O)CN1CCC2(C[C@H]2C(=O)[O-])CC1)C1CCCCC1 ZINC000405473935 600294917 /nfs/dbraw/zinc/29/49/17/600294917.db2.gz GSZGELMGVGXFKJ-AWEZNQCLSA-N -1 1 308.422 1.964 20 0 DDADMM COc1cc(NC(=O)CN(C)C[C@H](C)C(=O)[O-])cc(OC)c1 ZINC000737891359 600368823 /nfs/dbraw/zinc/36/88/23/600368823.db2.gz FYBGOBWYVSTINX-JTQLQIEISA-N -1 1 310.350 1.295 20 0 DDADMM CCC[C@](C)(NCC(=O)N(C)Cc1cccc(OC)c1)C(=O)[O-] ZINC000736962187 600462461 /nfs/dbraw/zinc/46/24/61/600462461.db2.gz ZJCMPLARFRAEJL-KRWDZBQOSA-N -1 1 322.405 1.887 20 0 DDADMM Cc1cc(CN2CCN([C@H]3CCC[C@H]3O)CC2)oc1C(=O)[O-] ZINC000738385450 600508957 /nfs/dbraw/zinc/50/89/57/600508957.db2.gz OKQLBCSBFHAUHQ-UONOGXRCSA-N -1 1 308.378 1.317 20 0 DDADMM O=C([O-])CCN(CC(=O)NCc1ccccc1)C[C@H]1CCCO1 ZINC000739719107 600512107 /nfs/dbraw/zinc/51/21/07/600512107.db2.gz RFKNXEFYCSKRST-OAHLLOKOSA-N -1 1 320.389 1.259 20 0 DDADMM O=C([O-])c1cccc(NC(=O)CN2CCC[C@@H]2CCCO)c1 ZINC000739282635 600555865 /nfs/dbraw/zinc/55/58/65/600555865.db2.gz DSOLMHRGTDDWRI-CQSZACIVSA-N -1 1 306.362 1.560 20 0 DDADMM C[C@@H](C(=O)N1CCCC[C@H]1C(=O)[O-])N(C)Cc1ccccc1 ZINC000262633068 600584236 /nfs/dbraw/zinc/58/42/36/600584236.db2.gz FTUQEPPFPNIRSC-ZFWWWQNUSA-N -1 1 304.390 1.973 20 0 DDADMM CCCN(CC(=O)Nc1ccccc1C)C[C@@](C)(O)C(=O)[O-] ZINC000737010922 600761716 /nfs/dbraw/zinc/76/17/16/600761716.db2.gz PMNNLGOXIBMUGX-MRXNPFEDSA-N -1 1 308.378 1.481 20 0 DDADMM COC[C@@H]1CCN([C@@H]2CCN(c3sccc3C(=O)[O-])C2=O)C1 ZINC000831666749 600798030 /nfs/dbraw/zinc/79/80/30/600798030.db2.gz UEGLYJPNOIBJLK-ZYHUDNBSSA-N -1 1 324.402 1.520 20 0 DDADMM CCN1CCN(CC(=O)Nc2ccc(C(=O)[O-])c(C)c2)CC1 ZINC000820354389 600930048 /nfs/dbraw/zinc/93/00/48/600930048.db2.gz UKGQWZARFAFMRS-UHFFFAOYSA-N -1 1 305.378 1.269 20 0 DDADMM COCC[N@H+]1CCC[C@H](NC(=O)[C@@H]2CCC[C@H](C(=O)[O-])C2)C1 ZINC000399484194 600936217 /nfs/dbraw/zinc/93/62/17/600936217.db2.gz DVWSONWEIDNSJL-RDBSUJKOSA-N -1 1 312.410 1.104 20 0 DDADMM COCCN1CCC[C@H](NC(=O)[C@@H]2CCC[C@H](C(=O)[O-])C2)C1 ZINC000399484194 600936219 /nfs/dbraw/zinc/93/62/19/600936219.db2.gz DVWSONWEIDNSJL-RDBSUJKOSA-N -1 1 312.410 1.104 20 0 DDADMM Cc1nnc(SCC(=O)N(C)[C@H](C(=O)[O-])c2ccccc2)[nH]1 ZINC000832990574 600961459 /nfs/dbraw/zinc/96/14/59/600961459.db2.gz CORDHPAJMSCUTI-LBPRGKRZSA-N -1 1 320.374 1.490 20 0 DDADMM Cc1n[nH]c(SCC(=O)N(C)[C@H](C(=O)[O-])c2ccccc2)n1 ZINC000832990574 600961460 /nfs/dbraw/zinc/96/14/60/600961460.db2.gz CORDHPAJMSCUTI-LBPRGKRZSA-N -1 1 320.374 1.490 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@@H](C)N1CC[C@H](CCO)C1 ZINC000738518094 600971017 /nfs/dbraw/zinc/97/10/17/600971017.db2.gz HVTXSHMTTJPYFO-CHWSQXEVSA-N -1 1 320.389 1.725 20 0 DDADMM C[C@@](NCC(=O)NCCOc1cccc(F)c1)(C(=O)[O-])C1CC1 ZINC000827728338 601030725 /nfs/dbraw/zinc/03/07/25/601030725.db2.gz RILQEFQRWRFYMJ-INIZCTEOSA-N -1 1 324.352 1.164 20 0 DDADMM C[C@@](NCC(=O)NCC1(c2ccccc2)CC1)(C(=O)[O-])C1CC1 ZINC000827728937 601040203 /nfs/dbraw/zinc/04/02/03/601040203.db2.gz VOCDZWNEYZZMEG-KRWDZBQOSA-N -1 1 316.401 1.677 20 0 DDADMM O=C([O-])[C@H]1C[C@H](C2CCCCC2)CN1C(=O)CCc1nc[nH]n1 ZINC000833177211 601138419 /nfs/dbraw/zinc/13/84/19/601138419.db2.gz LBFJMKUEONGOLX-QWHCGFSZSA-N -1 1 320.393 1.619 20 0 DDADMM CCc1cccc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1O ZINC000830259847 601291333 /nfs/dbraw/zinc/29/13/33/601291333.db2.gz HHPRLZMLNFZYPF-GFCCVEGCSA-N -1 1 320.389 1.576 20 0 DDADMM Cn1cc(NC(=O)c2cc(-c3ccoc3)n[nH]2)cc1C(=O)[O-] ZINC000833048979 601315948 /nfs/dbraw/zinc/31/59/48/601315948.db2.gz HIEZEJFMJAPWHQ-UHFFFAOYSA-N -1 1 300.274 1.959 20 0 DDADMM CCN(CC(=O)N(C)c1ccccc1C(=O)[O-])CC(C)(C)O ZINC000829619200 601374739 /nfs/dbraw/zinc/37/47/39/601374739.db2.gz VCYCVEAEFNJQMF-UHFFFAOYSA-N -1 1 308.378 1.440 20 0 DDADMM O=C([O-])[C@]1(F)CCN(C[C@@H](O)COCc2ccccc2F)C1 ZINC000833174630 601439341 /nfs/dbraw/zinc/43/93/41/601439341.db2.gz IBKUZPCZYJXTQT-DOMZBBRYSA-N -1 1 315.316 1.202 20 0 DDADMM O=C([O-])[C@]1(F)CCN(CCCN2C(=O)c3ccccc3C2=O)C1 ZINC000833358423 601440856 /nfs/dbraw/zinc/44/08/56/601440856.db2.gz SEGVJJQVHLXBHJ-INIZCTEOSA-N -1 1 320.320 1.171 20 0 DDADMM C[C@H](CN(Cc1ccccc1)C(=O)CCc1nc[nH]n1)C(=O)[O-] ZINC000827450613 601448427 /nfs/dbraw/zinc/44/84/27/601448427.db2.gz YRFONYXEWOVQMH-GFCCVEGCSA-N -1 1 316.361 1.487 20 0 DDADMM CCC1CCC(NCC(=O)Nc2cc(C)no2)(C(=O)[O-])CC1 ZINC000829101290 601495197 /nfs/dbraw/zinc/49/51/97/601495197.db2.gz NBMMNUNCRHSRNT-UHFFFAOYSA-N -1 1 309.366 1.935 20 0 DDADMM C[C@@H]1CC[C@@H](C)N1CC(=O)N[C@H](C(=O)[O-])c1ccccc1F ZINC000828213887 601747397 /nfs/dbraw/zinc/74/73/97/601747397.db2.gz FNUJGGMNNVOMTE-HFAKWTLXSA-N -1 1 308.353 1.940 20 0 DDADMM CCNC(=O)c1cccc(NC(=O)CN[C@H](C(=O)[O-])C(C)C)c1 ZINC000818213488 601824743 /nfs/dbraw/zinc/82/47/43/601824743.db2.gz JVRWBCPHNRQZLH-AWEZNQCLSA-N -1 1 321.377 1.074 20 0 DDADMM Cn1cc(N2CC[C@@H](N3CCC(CCC(=O)[O-])CC3)C2=O)cn1 ZINC000833046793 601841866 /nfs/dbraw/zinc/84/18/66/601841866.db2.gz UMKKLTPJJMDWIT-CQSZACIVSA-N -1 1 320.393 1.102 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC000739530904 601861894 /nfs/dbraw/zinc/86/18/94/601861894.db2.gz ODILHDXNRNPNQJ-AWEZNQCLSA-N -1 1 320.393 1.208 20 0 DDADMM C[C@@]1(C(=O)[O-])CCN(CN2C[C@H](c3ccccn3)CC2=O)C1 ZINC000828029013 601891049 /nfs/dbraw/zinc/89/10/49/601891049.db2.gz MHXNTLBYVKFOSJ-MLGOLLRUSA-N -1 1 303.362 1.152 20 0 DDADMM C[C@@H]1CCCC[C@@H]1N(C)C(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000739097483 601938967 /nfs/dbraw/zinc/93/89/67/601938967.db2.gz HUWYNSBDRBLFGS-RDBSUJKOSA-N -1 1 311.426 1.613 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(C[C@@H](O)c2ccc(F)cc2F)C1 ZINC000740642517 601940436 /nfs/dbraw/zinc/94/04/36/601940436.db2.gz KAIRPIYSNCGVPC-TVQRCGJNSA-N -1 1 300.305 1.588 20 0 DDADMM Cc1cccc(NC(=O)[C@H](C)N2CC[C@H](CNC(=O)[O-])C2)c1 ZINC000740170886 601960201 /nfs/dbraw/zinc/96/02/01/601960201.db2.gz PZFJMHKIVZPKRU-QWHCGFSZSA-N -1 1 305.378 1.912 20 0 DDADMM Cc1nc([C@@H]2CN(Cc3ccc(C(=O)[O-])s3)CCO2)n[nH]1 ZINC000832991761 601960488 /nfs/dbraw/zinc/96/04/88/601960488.db2.gz PVTNBEBHNJKHOP-JTQLQIEISA-N -1 1 308.363 1.446 20 0 DDADMM O=C([O-])NC[C@H]1CCN(CC(=O)N2CCc3ccccc3C2)C1 ZINC000740641628 601964253 /nfs/dbraw/zinc/96/42/53/601964253.db2.gz JWKWGIJDUWMQRH-CYBMUJFWSA-N -1 1 317.389 1.161 20 0 DDADMM O=C([O-])NC[C@@H]1CCCCN1C[C@H]1COc2ccccc2O1 ZINC000740623437 602047037 /nfs/dbraw/zinc/04/70/37/602047037.db2.gz MFBXHWAZEZYGSK-STQMWFEESA-N -1 1 306.362 1.949 20 0 DDADMM CC(C)C[C@@H]1CCC(=O)N(CN(C[C@@H](C)C(=O)[O-])C2CC2)C1=O ZINC000826831757 602082384 /nfs/dbraw/zinc/08/23/84/602082384.db2.gz GRTYEGLNFMNHLT-OLZOCXBDSA-N -1 1 324.421 1.940 20 0 DDADMM O=c1c2cc(F)ccc2ncn1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826501401 607544917 /nfs/dbraw/zinc/54/49/17/607544917.db2.gz OOVVBLHFQXUUGY-UHFFFAOYSA-N -1 1 323.291 1.159 20 0 DDADMM O=c1c2cc(F)ccc2ncn1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826501401 607544919 /nfs/dbraw/zinc/54/49/19/607544919.db2.gz OOVVBLHFQXUUGY-UHFFFAOYSA-N -1 1 323.291 1.159 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)N1CCC(CCN2CCOCC2)CC1 ZINC000827247951 602131779 /nfs/dbraw/zinc/13/17/79/602131779.db2.gz SESYPCDGKPDLKG-ZDUSSCGKSA-N -1 1 312.410 1.058 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCCN1Cc1cccc(C(N)=O)c1 ZINC000738999872 602262961 /nfs/dbraw/zinc/26/29/61/602262961.db2.gz IMGKQWIIOCYBJM-RISCZKNCSA-N -1 1 305.378 1.796 20 0 DDADMM CCC[C@H](NC(=O)[O-])C(=O)NC[C@@H](c1ccsc1)N(C)C ZINC000739315578 602383240 /nfs/dbraw/zinc/38/32/40/602383240.db2.gz PQFAAWAFLBLLNH-RYUDHWBXSA-N -1 1 313.423 1.903 20 0 DDADMM CN(C[C@H]1CCN(Cn2nccc2-c2cccnc2)C1)C(=O)[O-] ZINC000739663270 602523471 /nfs/dbraw/zinc/52/34/71/602523471.db2.gz CYOFLQUUJNWRBM-CYBMUJFWSA-N -1 1 315.377 1.834 20 0 DDADMM CCCN(CC(=O)NCc1ccccn1)[C@H]1CCN(C(=O)[O-])C1 ZINC000739352549 602547660 /nfs/dbraw/zinc/54/76/60/602547660.db2.gz DDQDDYMWBRNLIY-AWEZNQCLSA-N -1 1 320.393 1.162 20 0 DDADMM C[C@H]1CN(C(=O)[O-])CCN1C(=O)Cc1n[nH]c2ccccc21 ZINC000739147747 602731852 /nfs/dbraw/zinc/73/18/52/602731852.db2.gz FUVIDNYKAVZEAE-JTQLQIEISA-N -1 1 302.334 1.316 20 0 DDADMM CN(C[C@H]1CCN([C@H]2CCN(c3ccccc3)C2=O)C1)C(=O)[O-] ZINC000739661100 602735620 /nfs/dbraw/zinc/73/56/20/602735620.db2.gz SIPLWHOZKFNCDG-HIFRSBDPSA-N -1 1 317.389 1.724 20 0 DDADMM C[C@@H]1C[N@H+](Cc2nc(=O)c3sccc3[n-]2)CC[C@@H]1NC(=O)[O-] ZINC000739191822 602788555 /nfs/dbraw/zinc/78/85/55/602788555.db2.gz QPBOBXAROOEYLX-BDAKNGLRSA-N -1 1 322.390 1.875 20 0 DDADMM C[C@@H]1C[N@@H+](Cc2nc(=O)c3sccc3[n-]2)CC[C@@H]1NC(=O)[O-] ZINC000739191822 602788557 /nfs/dbraw/zinc/78/85/57/602788557.db2.gz QPBOBXAROOEYLX-BDAKNGLRSA-N -1 1 322.390 1.875 20 0 DDADMM O=C([O-])N1CCC[C@H]1COC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000740723101 602921107 /nfs/dbraw/zinc/92/11/07/602921107.db2.gz WCUADEVVDJNWER-VIFPVBQESA-N -1 1 305.290 1.969 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@H]1NC(=O)c1cc(F)cc2nc[nH]c21 ZINC000740635601 602975834 /nfs/dbraw/zinc/97/58/34/602975834.db2.gz YIPVXECJYIAYMT-GZMMTYOYSA-N -1 1 320.324 1.868 20 0 DDADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)C1CCC(NC(=O)[O-])CC1 ZINC000739199452 602989903 /nfs/dbraw/zinc/98/99/03/602989903.db2.gz QQBQBZFGGCQVPR-XSCHDIRWSA-N -1 1 323.437 1.898 20 0 DDADMM O=C([O-])N[C@@H](CNC(=O)c1[nH]nc2c1CCC2)c1ccccc1 ZINC000740534195 603029435 /nfs/dbraw/zinc/02/94/35/603029435.db2.gz JROLQYUELJYFLB-ZDUSSCGKSA-N -1 1 314.345 1.637 20 0 DDADMM C[C@@H](C(=O)N1CCN(C(=O)[O-])CC1)N(C)Cc1ccccc1 ZINC000738619190 603110022 /nfs/dbraw/zinc/11/00/22/603110022.db2.gz LSZFCDKFWASABR-ZDUSSCGKSA-N -1 1 305.378 1.329 20 0 DDADMM C[C@H](OC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)OC(C)(C)C ZINC000824722492 608103623 /nfs/dbraw/zinc/10/36/23/608103623.db2.gz JAYGYONEVKZXDJ-ZETCQYMHSA-N -1 1 324.362 1.815 20 0 DDADMM C[C@H](C(=O)NCCN(C(=O)[O-])C1CC1)N(C)Cc1ccccc1 ZINC000738626055 603174569 /nfs/dbraw/zinc/17/45/69/603174569.db2.gz ARMPKVOEOMIHRL-CYBMUJFWSA-N -1 1 319.405 1.766 20 0 DDADMM Cc1ccc(CNC(=O)[C@@H](C)N(C)CCCNC(=O)[O-])cc1 ZINC000740126996 603256079 /nfs/dbraw/zinc/25/60/79/603256079.db2.gz SQCWDZPYVQBVFJ-CYBMUJFWSA-N -1 1 307.394 1.589 20 0 DDADMM CN(C)Cc1cc(CNC(=O)[C@@H]2CCN(C(=O)[O-])C2)ccc1F ZINC000739609745 603316561 /nfs/dbraw/zinc/31/65/61/603316561.db2.gz FRPPWTVSODHDBD-GFCCVEGCSA-N -1 1 323.368 1.503 20 0 DDADMM C[C@H]1CN(CCC(=O)Nc2ccccc2F)CCN1C(=O)[O-] ZINC000739182775 603355618 /nfs/dbraw/zinc/35/56/18/603355618.db2.gz OZLIEYNGRSWVMG-NSHDSACASA-N -1 1 309.341 1.838 20 0 DDADMM O=C([O-])N(CC1CC1)C1CCN(c2ncnc3[nH]cnc32)CC1 ZINC000831825858 603490277 /nfs/dbraw/zinc/49/02/77/603490277.db2.gz GLNIMRSTJKCAIT-UHFFFAOYSA-N -1 1 316.365 1.712 20 0 DDADMM CN(C[C@@H]1CCN(CN2CC3(CCCC3)CC2=O)C1)C(=O)[O-] ZINC000828258486 603520121 /nfs/dbraw/zinc/52/01/21/603520121.db2.gz DZQUWEIEONDEGG-ZDUSSCGKSA-N -1 1 309.410 1.668 20 0 DDADMM COC(=O)c1cc(CN(C)CCCNC(=O)[O-])c(C)s1 ZINC000828742797 603606264 /nfs/dbraw/zinc/60/62/64/603606264.db2.gz LKTQXLVALDEEQP-UHFFFAOYSA-N -1 1 300.380 1.933 20 0 DDADMM CN(C(=O)N[C@@H]1CCCN(C)C1)C1CCC(NC(=O)[O-])CC1 ZINC000828479653 603634189 /nfs/dbraw/zinc/63/41/89/603634189.db2.gz AXGIMXAKIHNKQO-OTTFEQOBSA-N -1 1 312.414 1.301 20 0 DDADMM Cc1nnc(SCC(=O)Nc2ccc(NC(=O)[O-])cc2)[nH]1 ZINC000830676874 603757178 /nfs/dbraw/zinc/75/71/78/603757178.db2.gz BNVZLJHIEKNAOK-UHFFFAOYSA-N -1 1 307.335 1.934 20 0 DDADMM Cc1n[nH]c(SCC(=O)Nc2ccc(NC(=O)[O-])cc2)n1 ZINC000830676874 603757180 /nfs/dbraw/zinc/75/71/80/603757180.db2.gz BNVZLJHIEKNAOK-UHFFFAOYSA-N -1 1 307.335 1.934 20 0 DDADMM O=C([O-])N1CCc2cccc(CN[C@H]3CCS(=O)(=O)C3)c21 ZINC000832086259 603848127 /nfs/dbraw/zinc/84/81/27/603848127.db2.gz CVTGSSOIOOSDQP-LBPRGKRZSA-N -1 1 310.375 1.004 20 0 DDADMM O=C([O-])N1CCc2cccc(CN[C@@H]3CCCS(=O)(=O)C3)c21 ZINC000832087448 603863660 /nfs/dbraw/zinc/86/36/60/603863660.db2.gz UTIGVOGAAGZDGM-CYBMUJFWSA-N -1 1 324.402 1.394 20 0 DDADMM O=C([O-])N1CC[C@H](N[C@@H]2CCN(c3cccc(Cl)c3)C2=O)C1 ZINC000831948238 603872396 /nfs/dbraw/zinc/87/23/96/603872396.db2.gz QOUUUGIAKHLMPE-WCQYABFASA-N -1 1 323.780 1.787 20 0 DDADMM Cc1n[nH]c(CCNC(=O)Cc2ccc(NC(=O)[O-])cc2)n1 ZINC000830745817 603884189 /nfs/dbraw/zinc/88/41/89/603884189.db2.gz CTNZRNQJFCFGGB-UHFFFAOYSA-N -1 1 303.322 1.104 20 0 DDADMM O=C([O-])N[C@@H](CCC(=O)NCCc1nc[nH]n1)c1ccccc1 ZINC000832119462 603928170 /nfs/dbraw/zinc/92/81/70/603928170.db2.gz JEVRUFJMLJAWKC-LBPRGKRZSA-N -1 1 317.349 1.253 20 0 DDADMM C[C@H](CN(C)C(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000824861794 603937535 /nfs/dbraw/zinc/93/75/35/603937535.db2.gz IETQPQYBYBNCFF-SECBINFHSA-N -1 1 322.340 1.607 20 0 DDADMM C[C@H](NC(=O)[C@@H](C)[C@@H](NC(=O)[O-])c1ccccc1)c1nnc[nH]1 ZINC000825074427 603938718 /nfs/dbraw/zinc/93/87/18/603938718.db2.gz HOTRSRJTJAYHQU-JBLDHEPKSA-N -1 1 317.349 1.627 20 0 DDADMM NC(=O)c1cccc(Oc2snc(Cl)c2-c2nn[n-]n2)c1 ZINC000826393654 608175766 /nfs/dbraw/zinc/17/57/66/608175766.db2.gz CIVZNPJUCJYUIM-UHFFFAOYSA-N -1 1 322.737 1.868 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCN(Cc2ccc(-n3ccnc3)nc2)C1 ZINC000827889468 603971509 /nfs/dbraw/zinc/97/15/09/603971509.db2.gz IBTYYBWZEIDFSA-CYBMUJFWSA-N -1 1 301.350 1.451 20 0 DDADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1CNc1ncnc2[nH]cnc21 ZINC000826125411 604018062 /nfs/dbraw/zinc/01/80/62/604018062.db2.gz HQKJUAZVWNNDHI-JGVFFNPUSA-N -1 1 306.326 1.220 20 0 DDADMM C[C@@H]1C[C@H](C)CN(C(=O)CN2CCC[C@H]2CN(C)C(=O)[O-])C1 ZINC000825783752 604096800 /nfs/dbraw/zinc/09/68/00/604096800.db2.gz NSNNJVQLVCZPMP-MJBXVCDLSA-N -1 1 311.426 1.565 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)N2CCCC[C@H]2C)C1 ZINC000825255699 604182171 /nfs/dbraw/zinc/18/21/71/604182171.db2.gz RSBNYILYFUIDQF-HZSPNIEDSA-N -1 1 311.426 1.756 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)N2CCCCCC2)C1 ZINC000825254063 604187162 /nfs/dbraw/zinc/18/71/62/604187162.db2.gz LDFRPZOSMFUEGR-ZIAGYGMSSA-N -1 1 311.426 1.757 20 0 DDADMM Cc1cc(CNC(=O)N2CCC[C@@H]([C@@H](C)NC(=O)[O-])C2)[nH]n1 ZINC000830042125 604263146 /nfs/dbraw/zinc/26/31/46/604263146.db2.gz XWKBGLDJQXBUPP-GHMZBOCLSA-N -1 1 309.370 1.296 20 0 DDADMM CC(=O)c1[nH]c(CC(=O)NOCC(F)(F)F)c(C(=O)[O-])c1C ZINC000825936422 604427579 /nfs/dbraw/zinc/42/75/79/604427579.db2.gz CQCUZIDXTONCJI-UHFFFAOYSA-N -1 1 322.239 1.377 20 0 DDADMM C[C@@H]1CN(CC(=O)Nc2ccsc2C(=O)[O-])[C@@H](C)CN1C ZINC000833627428 604571779 /nfs/dbraw/zinc/57/17/79/604571779.db2.gz RYKLVUPBLLWSJN-ZJUUUORDSA-N -1 1 311.407 1.409 20 0 DDADMM CCCN(Cc1cc(=O)c(OC)co1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000826911911 604572335 /nfs/dbraw/zinc/57/23/35/604572335.db2.gz IQTBJXUAACOHHW-LLVKDONJSA-N -1 1 310.350 1.613 20 0 DDADMM Cc1nc([C@@H]2CCCN(C(=O)C[C@H]3CCCN3C(=O)[O-])C2)n[nH]1 ZINC000830739127 604646567 /nfs/dbraw/zinc/64/65/67/604646567.db2.gz VUHNMVCJDKEXNK-VXGBXAGGSA-N -1 1 321.381 1.352 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)NCc2ccc(C(=O)[O-])o2)n[nH]1 ZINC000832883089 604686885 /nfs/dbraw/zinc/68/68/85/604686885.db2.gz HILQQQVGDTVVEH-MRVPVSSYSA-N -1 1 306.322 1.440 20 0 DDADMM CC(C)C(=O)NCCN(C)Cc1ccc(O[C@H](C)C(=O)[O-])cc1 ZINC000833467928 604774581 /nfs/dbraw/zinc/77/45/81/604774581.db2.gz XVRDIXOQQCRCQQ-CYBMUJFWSA-N -1 1 322.405 1.743 20 0 DDADMM Cc1ccc2c(n1)c(=O)c(-c1nn[n-]n1)cn2C/C=C\Cl ZINC000826295208 607718062 /nfs/dbraw/zinc/71/80/62/607718062.db2.gz WUIOIBAOAGQVHB-DJWKRKHSSA-N -1 1 302.725 1.638 20 0 DDADMM CN(CC(=O)N1C[C@H](C(=O)[O-])c2ccccc21)[C@@H]1CCSC1 ZINC000833691648 605044662 /nfs/dbraw/zinc/04/46/62/605044662.db2.gz RGMOGDRKYGQXSV-YPMHNXCESA-N -1 1 320.414 1.639 20 0 DDADMM CN(Cc1ccc(C(=O)[O-])[nH]1)C[C@@H](O)COCc1ccccc1 ZINC000833696973 605105650 /nfs/dbraw/zinc/10/56/50/605105650.db2.gz ZRIJLQJOPOCHJL-OAHLLOKOSA-N -1 1 318.373 1.722 20 0 DDADMM O=C([O-])NCc1ccc(C(=O)N[C@@H]2CCCc3cn[nH]c32)cc1 ZINC000832690170 605129127 /nfs/dbraw/zinc/12/91/27/605129127.db2.gz IGYAFMVWUUOJGS-CYBMUJFWSA-N -1 1 314.345 1.985 20 0 DDADMM CCN1C[C@@H](C)N(C(=O)c2ccc(OCC(=O)[O-])cc2)C[C@@H]1C ZINC000833656160 605133860 /nfs/dbraw/zinc/13/38/60/605133860.db2.gz HWSZLSVBVZPRLG-QWHCGFSZSA-N -1 1 320.389 1.705 20 0 DDADMM C[C@@H]1[C@@H](Cc2ccccc2)CCN1CN1C[C@H](C(=O)[O-])CC1=O ZINC000833588765 605176149 /nfs/dbraw/zinc/17/61/49/605176149.db2.gz RJJISKLRIMYFQX-FVQBIDKESA-N -1 1 316.401 1.830 20 0 DDADMM COC(=O)c1cc(CN2CCCO[C@H](C)C2)cc(C(=O)[O-])c1 ZINC000833713399 605203926 /nfs/dbraw/zinc/20/39/26/605203926.db2.gz XFCRPNSDUYSWFG-LLVKDONJSA-N -1 1 307.346 1.782 20 0 DDADMM COC(=O)c1cc(CN2CCc3cn[nH]c3C2)cc(C(=O)[O-])c1 ZINC000833712475 605207214 /nfs/dbraw/zinc/20/72/14/605207214.db2.gz HJAUYAMVPKDMEH-UHFFFAOYSA-N -1 1 315.329 1.453 20 0 DDADMM CN(CC(=O)N(C)C1(C(=O)[O-])CCCCC1)[C@H]1CCSC1 ZINC000833692007 605220040 /nfs/dbraw/zinc/22/00/40/605220040.db2.gz RQZPPEIBKPRVNR-LBPRGKRZSA-N -1 1 314.451 1.670 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccnc(Cl)c2)CCN1CCC(=O)[O-] ZINC000833620629 605251187 /nfs/dbraw/zinc/25/11/87/605251187.db2.gz HGSNWIHDZBZKHZ-SNVBAGLBSA-N -1 1 311.769 1.356 20 0 DDADMM Cc1cc(C(=O)N2CCN(CCC(=O)[O-])[C@@H](C)C2)ccc1F ZINC000833742198 605254857 /nfs/dbraw/zinc/25/48/57/605254857.db2.gz HYQUQFWFJFLBEF-LBPRGKRZSA-N -1 1 308.353 1.755 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)N2C[C@@H](C)N(C(=O)[O-])[C@H](C)C2)n[nH]1 ZINC000830029464 605300940 /nfs/dbraw/zinc/30/09/40/605300940.db2.gz GZUWCRDOCBIJEK-MVWJERBFSA-N -1 1 323.397 1.431 20 0 DDADMM CC(C)C[C@H](NC(=O)CN(C)C[C@@H]1CCCC[C@@H]1O)C(=O)[O-] ZINC000833478930 605393059 /nfs/dbraw/zinc/39/30/59/605393059.db2.gz WLSLCWOBLBFHOU-IHRRRGAJSA-N -1 1 314.426 1.085 20 0 DDADMM Cc1nnc(CN2C[C@@H]3CCC[C@@H](NC(=O)[O-])[C@@H]3C2)n1C1CC1 ZINC000833922937 605430420 /nfs/dbraw/zinc/43/04/20/605430420.db2.gz BVXLHIUWYYIXOG-IACUBPJLSA-N -1 1 319.409 1.790 20 0 DDADMM CC(=O)NC[C@H]1CCCN(Cc2cc(C(=O)[O-])ccc2F)C1 ZINC000833397124 605831033 /nfs/dbraw/zinc/83/10/33/605831033.db2.gz XFIPZFSUFUMCSE-GFCCVEGCSA-N -1 1 308.353 1.872 20 0 DDADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1C[C@@H](O)c1c(F)cccc1F ZINC000833835454 605907447 /nfs/dbraw/zinc/90/74/47/605907447.db2.gz ZJHDVHQAPHRGRV-GBIKHYSHSA-N -1 1 314.332 1.976 20 0 DDADMM O=C([O-])Nc1cc(C(=O)N[C@@H]2CCc3nc[nH]c3C2)ccc1F ZINC000834253030 605960337 /nfs/dbraw/zinc/96/03/37/605960337.db2.gz DEVRPZLBWAYFTL-SECBINFHSA-N -1 1 318.308 1.926 20 0 DDADMM CCc1cnc(CN(C)c2cccc(-c3nnn[n-]3)n2)s1 ZINC000821496184 606164247 /nfs/dbraw/zinc/16/42/47/606164247.db2.gz FCCZKDHFDONLGG-UHFFFAOYSA-N -1 1 301.379 1.917 20 0 DDADMM CCc1cnc(CN(C)c2cccc(-c3nn[n-]n3)n2)s1 ZINC000821496184 606164249 /nfs/dbraw/zinc/16/42/49/606164249.db2.gz FCCZKDHFDONLGG-UHFFFAOYSA-N -1 1 301.379 1.917 20 0 DDADMM C[C@@H]1CCC[C@H](C)[C@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820690104 606169456 /nfs/dbraw/zinc/16/94/56/606169456.db2.gz GKYGQDPMGXAMDK-JZYVYDRUSA-N -1 1 318.385 1.546 20 0 DDADMM C[C@@H]1CCC[C@H](C)[C@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820690104 606169457 /nfs/dbraw/zinc/16/94/57/606169457.db2.gz GKYGQDPMGXAMDK-JZYVYDRUSA-N -1 1 318.385 1.546 20 0 DDADMM OC[C@H]1C=C[C@@H](Nc2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000823727182 606240540 /nfs/dbraw/zinc/24/05/40/606240540.db2.gz RVCMSONLIUVKCN-VHSXEESVSA-N -1 1 309.333 1.159 20 0 DDADMM OC[C@H]1C=C[C@@H](Nc2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000823727182 606240541 /nfs/dbraw/zinc/24/05/41/606240541.db2.gz RVCMSONLIUVKCN-VHSXEESVSA-N -1 1 309.333 1.159 20 0 DDADMM C[C@@H](OCC1CC1)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000820518766 606297478 /nfs/dbraw/zinc/29/74/78/606297478.db2.gz KJQVAYGOACGQPG-MRVPVSSYSA-N -1 1 303.322 1.326 20 0 DDADMM COC(=O)[C@@H](C)CSc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000821639148 606466340 /nfs/dbraw/zinc/46/63/40/606466340.db2.gz QRHWLOIATDHOIM-LURJTMIESA-N -1 1 313.770 1.816 20 0 DDADMM COC(=O)[C@@H](C)CSc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000821639148 606466341 /nfs/dbraw/zinc/46/63/41/606466341.db2.gz QRHWLOIATDHOIM-LURJTMIESA-N -1 1 313.770 1.816 20 0 DDADMM NC(=O)c1cccc(NC(=O)c2ccc(-c3nnn[n-]3)s2)c1 ZINC000822890579 606494140 /nfs/dbraw/zinc/49/41/40/606494140.db2.gz XWCPMWLFSZEPBS-UHFFFAOYSA-N -1 1 314.330 1.279 20 0 DDADMM NC(=O)c1cccc(NC(=O)c2ccc(-c3nn[n-]n3)s2)c1 ZINC000822890579 606494142 /nfs/dbraw/zinc/49/41/42/606494142.db2.gz XWCPMWLFSZEPBS-UHFFFAOYSA-N -1 1 314.330 1.279 20 0 DDADMM Cc1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)s1 ZINC000822227676 606517595 /nfs/dbraw/zinc/51/75/95/606517595.db2.gz RDUCLFUVFQCPSD-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM Cc1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)s1 ZINC000822227676 606517596 /nfs/dbraw/zinc/51/75/96/606517596.db2.gz RDUCLFUVFQCPSD-UHFFFAOYSA-N -1 1 301.331 1.989 20 0 DDADMM O=C(NC[C@H]1Cc2ccccc21)c1ccc(-c2nnn[n-]2)s1 ZINC000823182167 606528331 /nfs/dbraw/zinc/52/83/31/606528331.db2.gz IPWOYMLZSMKRJV-SNVBAGLBSA-N -1 1 311.370 1.998 20 0 DDADMM O=C(NC[C@H]1Cc2ccccc21)c1ccc(-c2nn[n-]n2)s1 ZINC000823182167 606528333 /nfs/dbraw/zinc/52/83/33/606528333.db2.gz IPWOYMLZSMKRJV-SNVBAGLBSA-N -1 1 311.370 1.998 20 0 DDADMM CN(C[C@H]1CCCCO1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821596252 606531155 /nfs/dbraw/zinc/53/11/55/606531155.db2.gz FGJZZSKPDKRSDS-SECBINFHSA-N -1 1 307.379 1.569 20 0 DDADMM CN(C[C@H]1CCCCO1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821596252 606531156 /nfs/dbraw/zinc/53/11/56/606531156.db2.gz FGJZZSKPDKRSDS-SECBINFHSA-N -1 1 307.379 1.569 20 0 DDADMM O=C(COc1ccccc1Cl)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000823054861 606531731 /nfs/dbraw/zinc/53/17/31/606531731.db2.gz GBCWZQAQSHPHIW-UHFFFAOYSA-N -1 1 319.712 1.261 20 0 DDADMM O=C(COc1ccccc1Cl)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000823054861 606531732 /nfs/dbraw/zinc/53/17/32/606531732.db2.gz GBCWZQAQSHPHIW-UHFFFAOYSA-N -1 1 319.712 1.261 20 0 DDADMM Cc1ccc(O[C@@H](C)C(=O)Nc2n[nH]cc2-c2nnn[n-]2)cc1 ZINC000822259119 606532260 /nfs/dbraw/zinc/53/22/60/606532260.db2.gz NBOZCOLXSSMPAH-VIFPVBQESA-N -1 1 313.321 1.304 20 0 DDADMM Cc1ccc(O[C@@H](C)C(=O)Nc2n[nH]cc2-c2nn[n-]n2)cc1 ZINC000822259119 606532261 /nfs/dbraw/zinc/53/22/61/606532261.db2.gz NBOZCOLXSSMPAH-VIFPVBQESA-N -1 1 313.321 1.304 20 0 DDADMM CCCc1cc(NC(=O)c2ccc(-c3nnn[n-]3)s2)n[nH]1 ZINC000821138710 606556895 /nfs/dbraw/zinc/55/68/95/606556895.db2.gz FNSGDUXDNUFBKT-UHFFFAOYSA-N -1 1 303.351 1.856 20 0 DDADMM CCCc1cc(NC(=O)c2ccc(-c3nn[n-]n3)s2)n[nH]1 ZINC000821138710 606556897 /nfs/dbraw/zinc/55/68/97/606556897.db2.gz FNSGDUXDNUFBKT-UHFFFAOYSA-N -1 1 303.351 1.856 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC2C(C)(C)C2(C)C)n1 ZINC000822609121 606579845 /nfs/dbraw/zinc/57/98/45/606579845.db2.gz KEEDVIZKDPMVOR-UHFFFAOYSA-N -1 1 304.358 1.156 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC2C(C)(C)C2(C)C)n1 ZINC000822609121 606579846 /nfs/dbraw/zinc/57/98/46/606579846.db2.gz KEEDVIZKDPMVOR-UHFFFAOYSA-N -1 1 304.358 1.156 20 0 DDADMM O=C(NC[C@H](O)C1CCCCC1)c1ccc(-c2nnn[n-]2)s1 ZINC000823167167 606595297 /nfs/dbraw/zinc/59/52/97/606595297.db2.gz YJDXOUDDGWGBON-JTQLQIEISA-N -1 1 321.406 1.599 20 0 DDADMM O=C(NC[C@H](O)C1CCCCC1)c1ccc(-c2nn[n-]n2)s1 ZINC000823167167 606595299 /nfs/dbraw/zinc/59/52/99/606595299.db2.gz YJDXOUDDGWGBON-JTQLQIEISA-N -1 1 321.406 1.599 20 0 DDADMM CC(C)n1ccc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)n1 ZINC000820214794 606653179 /nfs/dbraw/zinc/65/31/79/606653179.db2.gz OPGWSNIYDXSGAU-UHFFFAOYSA-N -1 1 313.321 1.396 20 0 DDADMM CC(C)n1ccc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)n1 ZINC000820214794 606653180 /nfs/dbraw/zinc/65/31/80/606653180.db2.gz OPGWSNIYDXSGAU-UHFFFAOYSA-N -1 1 313.321 1.396 20 0 DDADMM COc1ccc(-c2nc(Cn3ccnc3-c3nnn[n-]3)co2)cc1 ZINC000821732407 606655156 /nfs/dbraw/zinc/65/51/56/606655156.db2.gz NXVIIIKYADILMN-UHFFFAOYSA-N -1 1 323.316 1.775 20 0 DDADMM COc1ccc(-c2nc(Cn3ccnc3-c3nn[n-]n3)co2)cc1 ZINC000821732407 606655157 /nfs/dbraw/zinc/65/51/57/606655157.db2.gz NXVIIIKYADILMN-UHFFFAOYSA-N -1 1 323.316 1.775 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CC[C@]2(CCOC2)C1 ZINC000823483771 606813166 /nfs/dbraw/zinc/81/31/66/606813166.db2.gz MNZMQWWIHRMKOS-ZDUSSCGKSA-N -1 1 305.363 1.181 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CC[C@]2(CCOC2)C1 ZINC000823483771 606813165 /nfs/dbraw/zinc/81/31/65/606813165.db2.gz MNZMQWWIHRMKOS-ZDUSSCGKSA-N -1 1 305.363 1.181 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCC[C@H]2COCC[C@H]21 ZINC000823482914 606817962 /nfs/dbraw/zinc/81/79/62/606817962.db2.gz BYAJRNFNDFTJLD-VHSXEESVSA-N -1 1 319.390 1.569 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCC[C@H]2COCC[C@H]21 ZINC000823482914 606817964 /nfs/dbraw/zinc/81/79/64/606817964.db2.gz BYAJRNFNDFTJLD-VHSXEESVSA-N -1 1 319.390 1.569 20 0 DDADMM CCc1nn(CCCCC(C)=O)c(=O)c(-c2nn[n-]n2)c1CC ZINC000821517235 606822361 /nfs/dbraw/zinc/82/23/61/606822361.db2.gz HHZJLWOIHXRPGV-UHFFFAOYSA-N -1 1 318.381 1.308 20 0 DDADMM C[C@H](CC(=O)Nc1nn(C)cc1-c1nnn[n-]1)c1ccncc1 ZINC000820225666 607017922 /nfs/dbraw/zinc/01/79/22/607017922.db2.gz OSINZEFPWVVVFA-SECBINFHSA-N -1 1 312.337 1.128 20 0 DDADMM C[C@H](CC(=O)Nc1nn(C)cc1-c1nn[n-]n1)c1ccncc1 ZINC000820225666 607017923 /nfs/dbraw/zinc/01/79/23/607017923.db2.gz OSINZEFPWVVVFA-SECBINFHSA-N -1 1 312.337 1.128 20 0 DDADMM Cc1cc(Cl)ncc1NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000822201913 607101805 /nfs/dbraw/zinc/10/18/05/607101805.db2.gz BHEJJBRUFLTOCB-UHFFFAOYSA-N -1 1 315.724 1.871 20 0 DDADMM Cc1cc(Cl)ncc1NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000822201913 607101806 /nfs/dbraw/zinc/10/18/06/607101806.db2.gz BHEJJBRUFLTOCB-UHFFFAOYSA-N -1 1 315.724 1.871 20 0 DDADMM CCCCCC(C)(C)CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821043034 607222155 /nfs/dbraw/zinc/22/21/55/607222155.db2.gz KWYSQBKIALCOIL-UHFFFAOYSA-N -1 1 320.401 1.181 20 0 DDADMM C[C@H](Nc1cccc(-c2nnn[n-]2)n1)c1nnc2n1CCCC2 ZINC000824662034 607902253 /nfs/dbraw/zinc/90/22/53/607902253.db2.gz ATMINSODXKCYDZ-VIFPVBQESA-N -1 1 311.353 1.363 20 0 DDADMM C[C@H](Nc1cccc(-c2nn[n-]n2)n1)c1nnc2n1CCCC2 ZINC000824662034 607902254 /nfs/dbraw/zinc/90/22/54/607902254.db2.gz ATMINSODXKCYDZ-VIFPVBQESA-N -1 1 311.353 1.363 20 0 DDADMM CC1(C)CCC[C@@H](CC(=O)n2ncc(-c3nn[n-]n3)c2N)C1 ZINC000824801683 607928409 /nfs/dbraw/zinc/92/84/09/607928409.db2.gz GFHQZHOXAVPTAV-VIFPVBQESA-N -1 1 303.370 1.892 20 0 DDADMM O=C(N[C@@H](c1cccnc1)C1CC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826427152 608008754 /nfs/dbraw/zinc/00/87/54/608008754.db2.gz HGJGZPPIPFHSMA-CQSZACIVSA-N -1 1 321.344 1.538 20 0 DDADMM O=C(N[C@@H](c1cccnc1)C1CC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826427152 608008755 /nfs/dbraw/zinc/00/87/55/608008755.db2.gz HGJGZPPIPFHSMA-CQSZACIVSA-N -1 1 321.344 1.538 20 0 DDADMM c1nc(-c2nn[n-]n2)nn1CCCSCc1ccccc1 ZINC000826522058 608077353 /nfs/dbraw/zinc/07/73/53/608077353.db2.gz KQUYAQVHGPMQEF-UHFFFAOYSA-N -1 1 301.379 1.782 20 0 DDADMM C[C@H](OC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)OC(C)(C)C ZINC000824722492 608103622 /nfs/dbraw/zinc/10/36/22/608103622.db2.gz JAYGYONEVKZXDJ-ZETCQYMHSA-N -1 1 324.362 1.815 20 0 DDADMM C[C@H]1CCCC[C@@H]1N(C)C(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000824938238 608148396 /nfs/dbraw/zinc/14/83/96/608148396.db2.gz UZNSAYWEBXWVNU-QWRGUYRKSA-N -1 1 303.370 1.100 20 0 DDADMM C[C@H]1CCCC[C@@H]1N(C)C(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000824938238 608148397 /nfs/dbraw/zinc/14/83/97/608148397.db2.gz UZNSAYWEBXWVNU-QWRGUYRKSA-N -1 1 303.370 1.100 20 0 DDADMM CCOC(=O)CSc1snc(Cl)c1-c1nnn[n-]1 ZINC000825517626 608170593 /nfs/dbraw/zinc/17/05/93/608170593.db2.gz IQOOPPRYFWBUCB-UHFFFAOYSA-N -1 1 305.772 1.632 20 0 DDADMM CCOC(=O)CSc1snc(Cl)c1-c1nn[n-]n1 ZINC000825517626 608170594 /nfs/dbraw/zinc/17/05/94/608170594.db2.gz IQOOPPRYFWBUCB-UHFFFAOYSA-N -1 1 305.772 1.632 20 0 DDADMM CNC(=O)[C@H]1CCC[C@H]1Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000826060527 608233982 /nfs/dbraw/zinc/23/39/82/608233982.db2.gz NVTLBOOSPBDYOD-IONNQARKSA-N -1 1 321.772 1.242 20 0 DDADMM CNC(=O)[C@H]1CCC[C@H]1Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000826060527 608233984 /nfs/dbraw/zinc/23/39/84/608233984.db2.gz NVTLBOOSPBDYOD-IONNQARKSA-N -1 1 321.772 1.242 20 0 DDADMM C[C@H](COCC(F)(F)F)Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824441747 608404310 /nfs/dbraw/zinc/40/43/10/608404310.db2.gz RNTFKJJZNJDGDK-ZCFIWIBFSA-N -1 1 303.248 1.036 20 0 DDADMM C[C@H](COCC(F)(F)F)Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824441747 608404311 /nfs/dbraw/zinc/40/43/11/608404311.db2.gz RNTFKJJZNJDGDK-ZCFIWIBFSA-N -1 1 303.248 1.036 20 0 DDADMM C[C@H]1c2ccccc2OCCN1c1ccc(-c2nnn[n-]2)nn1 ZINC000825029059 608410235 /nfs/dbraw/zinc/41/02/35/608410235.db2.gz MFUROZANVRVHLJ-JTQLQIEISA-N -1 1 309.333 1.617 20 0 DDADMM C[C@H]1c2ccccc2OCCN1c1ccc(-c2nn[n-]n2)nn1 ZINC000825029059 608410237 /nfs/dbraw/zinc/41/02/37/608410237.db2.gz MFUROZANVRVHLJ-JTQLQIEISA-N -1 1 309.333 1.617 20 0 DDADMM CCCO[C@H]1CCCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000825339304 608417045 /nfs/dbraw/zinc/41/70/45/608417045.db2.gz VYZDUHXCQKHUFH-NSHDSACASA-N -1 1 303.370 1.442 20 0 DDADMM CCCO[C@H]1CCCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000825339304 608417047 /nfs/dbraw/zinc/41/70/47/608417047.db2.gz VYZDUHXCQKHUFH-NSHDSACASA-N -1 1 303.370 1.442 20 0 DDADMM CCOCCC1(CNc2ccc(-c3nnn[n-]3)nn2)CCC1 ZINC000825590031 608433125 /nfs/dbraw/zinc/43/31/25/608433125.db2.gz NBFKHCBMFFVXSV-UHFFFAOYSA-N -1 1 303.370 1.666 20 0 DDADMM CCOCCC1(CNc2ccc(-c3nn[n-]n3)nn2)CCC1 ZINC000825590031 608433126 /nfs/dbraw/zinc/43/31/26/608433126.db2.gz NBFKHCBMFFVXSV-UHFFFAOYSA-N -1 1 303.370 1.666 20 0 DDADMM COC(=O)C1(N(C)Cc2ccc(-c3nnn[n-]3)o2)CCCC1 ZINC000826124830 608736977 /nfs/dbraw/zinc/73/69/77/608736977.db2.gz WUIZOWWMFYHOEH-UHFFFAOYSA-N -1 1 305.338 1.377 20 0 DDADMM COC(=O)C1(N(C)Cc2ccc(-c3nn[n-]n3)o2)CCCC1 ZINC000826124830 608736980 /nfs/dbraw/zinc/73/69/80/608736980.db2.gz WUIZOWWMFYHOEH-UHFFFAOYSA-N -1 1 305.338 1.377 20 0 DDADMM C[C@H](NC(=O)Cn1ccnc1-c1nnn[n-]1)c1ccc(F)cc1 ZINC000824477680 608889862 /nfs/dbraw/zinc/88/98/62/608889862.db2.gz CESBUNUMGCOUKS-VIFPVBQESA-N -1 1 315.312 1.080 20 0 DDADMM C[C@H](NC(=O)Cn1ccnc1-c1nn[n-]n1)c1ccc(F)cc1 ZINC000824477680 608889863 /nfs/dbraw/zinc/88/98/63/608889863.db2.gz CESBUNUMGCOUKS-VIFPVBQESA-N -1 1 315.312 1.080 20 0 DDADMM COC1(CNc2c3ccccc3nnc2-c2nnn[n-]2)CCC1 ZINC000826145067 609553906 /nfs/dbraw/zinc/55/39/06/609553906.db2.gz YNDIXQSUOJSJGU-UHFFFAOYSA-N -1 1 311.349 1.791 20 0 DDADMM COC1(CNc2c3ccccc3nnc2-c2nn[n-]n2)CCC1 ZINC000826145067 609553908 /nfs/dbraw/zinc/55/39/08/609553908.db2.gz YNDIXQSUOJSJGU-UHFFFAOYSA-N -1 1 311.349 1.791 20 0 DDADMM CCC[C@@H]1C[C@@H](C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCO1 ZINC000825252560 609591204 /nfs/dbraw/zinc/59/12/04/609591204.db2.gz JFVKKYLSQKIEAG-VHSXEESVSA-N -1 1 319.369 1.134 20 0 DDADMM CCC[C@@H]1C[C@@H](C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCO1 ZINC000825252560 609591206 /nfs/dbraw/zinc/59/12/06/609591206.db2.gz JFVKKYLSQKIEAG-VHSXEESVSA-N -1 1 319.369 1.134 20 0 DDADMM Cc1c[nH]cc1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973196183 695443467 /nfs/dbraw/zinc/44/34/67/695443467.db2.gz CPLNDRRIASNMEB-XYPYZODXSA-N -1 1 314.345 1.115 20 0 DDADMM CC1(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CCC1 ZINC000973200038 695444363 /nfs/dbraw/zinc/44/43/63/695444363.db2.gz WXEJPYZSSMSSBO-XYPYZODXSA-N -1 1 303.362 1.354 20 0 DDADMM CN(CC(=O)NC1CC1)Cc1cc(=O)oc2cc([O-])ccc12 ZINC000007926145 696032712 /nfs/dbraw/zinc/03/27/12/696032712.db2.gz ATRKHHWUUXYOHR-UHFFFAOYSA-N -1 1 302.330 1.209 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)CSc2nccn2C)cc1 ZINC000028669657 696106264 /nfs/dbraw/zinc/10/62/64/696106264.db2.gz JJVLZZFPOPBLBN-UHFFFAOYSA-N -1 1 305.359 1.477 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1cccc(Oc2ccccc2)c1 ZINC000029018504 696108383 /nfs/dbraw/zinc/10/83/83/696108383.db2.gz YRXAJHPOTYYLSK-UHFFFAOYSA-N -1 1 311.297 1.676 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cccc(C)c2)o1 ZINC000042263979 696147264 /nfs/dbraw/zinc/14/72/64/696147264.db2.gz BYFLVTOXEJBYSH-UHFFFAOYSA-N -1 1 309.343 1.853 20 0 DDADMM CCOc1ccc(S(=O)(=O)[N-]C(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000048453033 696212328 /nfs/dbraw/zinc/21/23/28/696212328.db2.gz XPOHUNVPSHYNSO-GHMZBOCLSA-N -1 1 314.319 1.396 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H]([C@@H](C)O)C1 ZINC000747463792 700071711 /nfs/dbraw/zinc/07/17/11/700071711.db2.gz RSGVXBFJEAKMFX-NEPJUHHUSA-N -1 1 307.394 1.847 20 0 DDADMM CN(C[C@@H]1CCN1C(=O)/C=C/C1CC1)C(=O)c1ncccc1[O-] ZINC000977600131 696230025 /nfs/dbraw/zinc/23/00/25/696230025.db2.gz APUDSJMDWXRTOK-YBJDMEARSA-N -1 1 315.373 1.426 20 0 DDADMM COc1cc[n-]c(=NNC(=O)N[C@H](C)Cc2ccsc2)n1 ZINC000052584018 696258914 /nfs/dbraw/zinc/25/89/14/696258914.db2.gz QWKTZYPJWIHJNI-SECBINFHSA-N -1 1 307.379 1.804 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)c2ccc(OC(C)C)cc2)n[nH]1 ZINC000054044977 696272479 /nfs/dbraw/zinc/27/24/79/696272479.db2.gz QMCHOSLVYMCUTD-UHFFFAOYSA-N -1 1 302.334 1.580 20 0 DDADMM CC[C@H](F)C[N@@H+]1C[C@@H]2[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])[C@@H]2C1 ZINC000977981944 696306201 /nfs/dbraw/zinc/30/62/01/696306201.db2.gz PAPAQTRMBDAMNV-FIQHERPVSA-N -1 1 310.373 1.079 20 0 DDADMM CC(C)c1n[nH]cc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000059955574 696309292 /nfs/dbraw/zinc/30/92/92/696309292.db2.gz QYQNNAVMQWASMV-UHFFFAOYSA-N -1 1 315.329 1.647 20 0 DDADMM CCCCn1nnnc1COC(=O)c1c([O-])cc(F)cc1F ZINC000063543426 696328817 /nfs/dbraw/zinc/32/88/17/696328817.db2.gz SJLLIZDDMHLKRJ-UHFFFAOYSA-N -1 1 312.276 1.814 20 0 DDADMM C[C@H]1CN(CC(=O)N[N-]C(=O)c2cccs2)C[C@H](C)S1 ZINC000072770897 696396336 /nfs/dbraw/zinc/39/63/36/696396336.db2.gz MINCVSVFBCQBLU-UWVGGRQHSA-N -1 1 313.448 1.335 20 0 DDADMM Nc1nc([O-])c(CC(=O)NCc2cc3ccccc3[nH]2)s1 ZINC000079817983 696463796 /nfs/dbraw/zinc/46/37/96/696463796.db2.gz VKOOONNRGOMTOE-NSHDSACASA-N -1 1 302.359 1.131 20 0 DDADMM CCc1ccc(CCNC(=O)Cc2sc(N)nc2[O-])cc1 ZINC000080408493 696534155 /nfs/dbraw/zinc/53/41/55/696534155.db2.gz PLSVRIJYKQBHMZ-LBPRGKRZSA-N -1 1 305.403 1.255 20 0 DDADMM Cc1ccc(CCNC(=O)c2c(C)nc(C(C)C)[n-]c2=O)cn1 ZINC000081718279 696546952 /nfs/dbraw/zinc/54/69/52/696546952.db2.gz BFHJHMGWEHCUGM-UHFFFAOYSA-N -1 1 314.389 1.878 20 0 DDADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000979431141 696555519 /nfs/dbraw/zinc/55/55/19/696555519.db2.gz VDQWWEWJNOIAAJ-DDHJBXDOSA-N -1 1 303.362 1.068 20 0 DDADMM O=C(N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1)c1cc[nH]c1 ZINC000979674701 696624335 /nfs/dbraw/zinc/62/43/35/696624335.db2.gz RRNHQSOINKMBOU-UMSPYCQHSA-N -1 1 314.345 1.054 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CCC(F)(F)C2)co1 ZINC000798920689 700105428 /nfs/dbraw/zinc/10/54/28/700105428.db2.gz MVTBWEDNFXUEDD-MRVPVSSYSA-N -1 1 323.317 1.780 20 0 DDADMM Cn1cnnc1[C@@H]1CCCN1C(=O)c1nnc2ccccc2c1O ZINC000119964723 696688091 /nfs/dbraw/zinc/68/80/91/696688091.db2.gz KNSYTSVGXIHMOO-LBPRGKRZSA-N -1 1 324.344 1.029 20 0 DDADMM O=C(Nc1cc(F)ccc1C(=O)Nc1nnn[n-]1)c1ccoc1 ZINC000135466013 696849272 /nfs/dbraw/zinc/84/92/72/696849272.db2.gz FLZXSGJDSMAMSS-UHFFFAOYSA-N -1 1 316.252 1.436 20 0 DDADMM O=C(Nc1cc(F)ccc1C(=O)Nc1nn[n-]n1)c1ccoc1 ZINC000135466013 696849273 /nfs/dbraw/zinc/84/92/73/696849273.db2.gz FLZXSGJDSMAMSS-UHFFFAOYSA-N -1 1 316.252 1.436 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CC[C@@H](SC)C2)co1 ZINC000153872088 696923174 /nfs/dbraw/zinc/92/31/74/696923174.db2.gz AAUZLOCWMBXZDJ-VHSXEESVSA-N -1 1 318.420 1.202 20 0 DDADMM CC(=O)N1CCC2(C[C@H](C)N(C(=O)c3ncccc3[O-])C2)CC1 ZINC000982246063 697072356 /nfs/dbraw/zinc/07/23/56/697072356.db2.gz XZBBHMFTZMXRMI-LBPRGKRZSA-N -1 1 317.389 1.650 20 0 DDADMM O=C(CC1CC1)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982346119 697107277 /nfs/dbraw/zinc/10/72/77/697107277.db2.gz XPGFEBHBGFOBPT-LBPRGKRZSA-N -1 1 303.362 1.166 20 0 DDADMM CC[C@@H](F)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983119254 697213778 /nfs/dbraw/zinc/21/37/78/697213778.db2.gz PPLDNYVGXMIQCS-WDEREUQCSA-N -1 1 309.341 1.114 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2cccs2)co1 ZINC000165688922 697340054 /nfs/dbraw/zinc/34/00/54/697340054.db2.gz WINYHDNJBQXOQE-UHFFFAOYSA-N -1 1 301.345 1.606 20 0 DDADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2cccc(F)c2)n1 ZINC000176719438 697405478 /nfs/dbraw/zinc/40/54/78/697405478.db2.gz BUOBCFDJEJPTFO-UHFFFAOYSA-N -1 1 312.326 1.238 20 0 DDADMM Cc1cc(C(=O)[N-]NC(=O)Nc2ccc(F)cc2Cl)n[nH]1 ZINC000182752433 697478973 /nfs/dbraw/zinc/47/89/73/697478973.db2.gz RTXGKBMEXPLECS-UHFFFAOYSA-N -1 1 311.704 1.977 20 0 DDADMM COCCOc1ccc(C[N-]C(=O)C(F)(F)C(F)F)cn1 ZINC000749348170 700157952 /nfs/dbraw/zinc/15/79/52/700157952.db2.gz PINAKLLNTVHUGU-UHFFFAOYSA-N -1 1 310.247 1.623 20 0 DDADMM Cc1nc2[n-]cnn2c(=O)c1CCOC(=O)C[C@H]1CCC[C@@H]1C ZINC000186191698 697531200 /nfs/dbraw/zinc/53/12/00/697531200.db2.gz CYCLPCBRDYFECV-CMPLNLGQSA-N -1 1 318.377 1.638 20 0 DDADMM O=C(CCn1cc([N+](=O)[O-])cn1)Nc1nc(Cl)ccc1[O-] ZINC000188377657 697558070 /nfs/dbraw/zinc/55/80/70/697558070.db2.gz DGLUUZPVDODRTE-UHFFFAOYSA-N -1 1 311.685 1.574 20 0 DDADMM C[C@H]1[C@H](NCc2cnsn2)CCN1C(=O)c1ncccc1[O-] ZINC000986169695 697716568 /nfs/dbraw/zinc/71/65/68/697716568.db2.gz KOJNHESSLZAVNY-GXSJLCMTSA-N -1 1 319.390 1.032 20 0 DDADMM C[C@H]1CN(c2ccc(C[N-]C(=O)C(F)(F)F)cn2)C[C@H](C)O1 ZINC000194668428 697718492 /nfs/dbraw/zinc/71/84/92/697718492.db2.gz CSWTVROOPQMCRZ-UWVGGRQHSA-N -1 1 317.311 1.874 20 0 DDADMM Cc1ccc(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1 ZINC000773158412 697720736 /nfs/dbraw/zinc/72/07/36/697720736.db2.gz OFLAJEHMTBTJCY-GFCCVEGCSA-N -1 1 303.391 1.367 20 0 DDADMM CSc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)o1 ZINC000773156719 697720745 /nfs/dbraw/zinc/72/07/45/697720745.db2.gz CQHSVSSWHZFHAY-SSDOTTSWSA-N -1 1 311.392 1.445 20 0 DDADMM O=C(CCc1ccsc1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000773160098 697721495 /nfs/dbraw/zinc/72/14/95/697721495.db2.gz HJADINKKOWFFKM-SNVBAGLBSA-N -1 1 309.420 1.511 20 0 DDADMM C[C@H](OC(=O)c1ccc([O-])cc1F)C(=O)NC[C@H]1CCCO1 ZINC000773566919 697782278 /nfs/dbraw/zinc/78/22/78/697782278.db2.gz QILCSXURRXUZOR-GXSJLCMTSA-N -1 1 311.309 1.372 20 0 DDADMM O=C(OCN1C(=O)c2ccccc2C1=O)c1ccc([O-])cc1F ZINC000773573414 697782926 /nfs/dbraw/zinc/78/29/26/697782926.db2.gz MGTHREIZSAUNKR-UHFFFAOYSA-N -1 1 315.256 1.942 20 0 DDADMM O=C(NCCc1cccc(O)c1)c1ccc2n[n-]c(=S)n2c1 ZINC000774408553 697876136 /nfs/dbraw/zinc/87/61/36/697876136.db2.gz NMUOUTUYKHJREC-UHFFFAOYSA-N -1 1 314.370 1.696 20 0 DDADMM Cc1cnc(C(=O)N2CCC(C(=O)NCC(C)C)CC2)c([O-])c1 ZINC000778374720 698269751 /nfs/dbraw/zinc/26/97/51/698269751.db2.gz LSEVGCUUGZXSCG-UHFFFAOYSA-N -1 1 319.405 1.720 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc(-n3ccnc3)nc2)c([O-])c1 ZINC000778424785 698335135 /nfs/dbraw/zinc/33/51/35/698335135.db2.gz SFHMWSGWZMORIM-UHFFFAOYSA-N -1 1 309.329 1.606 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])CN(C(=O)NCc2n[nH]c(C(C)(C)C)n2)C1 ZINC000263355261 698501969 /nfs/dbraw/zinc/50/19/69/698501969.db2.gz QQWYQJXIRPWYIN-VHSXEESVSA-N -1 1 323.397 1.354 20 0 DDADMM CCC[C@H](NC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1)C(=O)[O-] ZINC000780365133 698511760 /nfs/dbraw/zinc/51/17/60/698511760.db2.gz RXFLPGUOMPXZOK-UPJWGTAASA-N -1 1 315.414 1.038 20 0 DDADMM CNC(=O)CCCC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000781231129 698600418 /nfs/dbraw/zinc/60/04/18/698600418.db2.gz BQPYAPVBNRBRSH-UHFFFAOYSA-N -1 1 319.313 1.458 20 0 DDADMM Cc1nscc1C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000781270832 698603717 /nfs/dbraw/zinc/60/37/17/698603717.db2.gz CINXGZPGBUJTOX-UHFFFAOYSA-N -1 1 304.327 1.565 20 0 DDADMM CCc1ccoc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000989418614 698610121 /nfs/dbraw/zinc/61/01/21/698610121.db2.gz QAMIZTBFRVMVGU-GXSJLCMTSA-N -1 1 319.365 1.059 20 0 DDADMM O=C(COC(=O)[C@@H]1CCCCS1)[N-]C(=O)c1ccccc1 ZINC000783228311 698821969 /nfs/dbraw/zinc/82/19/69/698821969.db2.gz JFWBUUQQUHFXBI-LBPRGKRZSA-N -1 1 307.371 1.772 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](CO)C1CCCC1 ZINC000386344069 699077475 /nfs/dbraw/zinc/07/74/75/699077475.db2.gz LYZCPDZAMOXIHJ-LBPRGKRZSA-N -1 1 307.394 1.895 20 0 DDADMM CCN(CC(=O)[O-])C(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC000390386789 699093341 /nfs/dbraw/zinc/09/33/41/699093341.db2.gz DWUACTKEZZRORO-AWEZNQCLSA-N -1 1 323.393 1.414 20 0 DDADMM C/C(=C/C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000990967747 699195145 /nfs/dbraw/zinc/19/51/45/699195145.db2.gz BNZXMEHXJHBYBO-YFHOEESVSA-N -1 1 301.346 1.084 20 0 DDADMM CC[C@H]1CCC[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971648 699195918 /nfs/dbraw/zinc/19/59/18/699195918.db2.gz IAPRNPKJYOVGMS-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CCC1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CCC1 ZINC000990973857 699196794 /nfs/dbraw/zinc/19/67/94/699196794.db2.gz CPEVMFMEOAMQMP-UHFFFAOYSA-N -1 1 303.362 1.308 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ccc(F)cn2)o1 ZINC000787642414 699227425 /nfs/dbraw/zinc/22/74/25/699227425.db2.gz VTJXKKANZRIJPF-UHFFFAOYSA-N -1 1 314.294 1.079 20 0 DDADMM C[C@H]1C(=O)NCCCN1Cn1[n-]c(-c2ccccn2)nc1=S ZINC000788046017 699271095 /nfs/dbraw/zinc/27/10/95/699271095.db2.gz COYMOVREVHXMMQ-JTQLQIEISA-N -1 1 318.406 1.171 20 0 DDADMM COCCn1c(=O)cc([O-])nc1SC[C@@H]1CC[C@H](C)O1 ZINC000788783858 699339417 /nfs/dbraw/zinc/33/94/17/699339417.db2.gz IIZLHDGSZZPMPK-UWVGGRQHSA-N -1 1 300.380 1.255 20 0 DDADMM CSc1nc(C)c(CCC(=O)OCc2nc(C)no2)c(=O)[n-]1 ZINC000726365076 699361400 /nfs/dbraw/zinc/36/14/00/699361400.db2.gz VUNNFBCIHMGERG-UHFFFAOYSA-N -1 1 324.362 1.580 20 0 DDADMM CC(C)[C@@H]1CCC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000728981938 699461568 /nfs/dbraw/zinc/46/15/68/699461568.db2.gz MXBHSJPVBVZXTG-OLZOCXBDSA-N -1 1 307.394 1.258 20 0 DDADMM Cc1nc(-c2ncccn2)[n-]c(=O)c1CC(=O)OC/C=C/Cl ZINC000729708774 699485865 /nfs/dbraw/zinc/48/58/65/699485865.db2.gz BEYGNVLTWAIXFS-DUXPYHPUSA-N -1 1 320.736 1.786 20 0 DDADMM O=S(=O)([N-]c1c(F)cccc1-n1cccn1)c1cn[nH]c1 ZINC000730204109 699503642 /nfs/dbraw/zinc/50/36/42/699503642.db2.gz JRNLVDUXUKFQMS-UHFFFAOYSA-N -1 1 307.310 1.535 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)Cc2ccco2)o1 ZINC000730809328 699516475 /nfs/dbraw/zinc/51/64/75/699516475.db2.gz HRKAPAOXAKUSOI-SECBINFHSA-N -1 1 313.331 1.569 20 0 DDADMM O=C(Nc1cccc(CN2CCSCC2)c1)c1cc(=O)[nH][n-]1 ZINC000731873153 699539299 /nfs/dbraw/zinc/53/92/99/699539299.db2.gz XYQLOCNPPODYKC-UHFFFAOYSA-N -1 1 318.402 1.050 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCc3cccc(F)c3)ccnc1-2 ZINC000791092042 699611305 /nfs/dbraw/zinc/61/13/05/699611305.db2.gz ZSXASWFCBKPJRZ-UHFFFAOYSA-N -1 1 313.336 1.845 20 0 DDADMM COc1cccc(OCC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000791166029 699613736 /nfs/dbraw/zinc/61/37/36/699613736.db2.gz JSMQHEICMGJBAP-UHFFFAOYSA-N -1 1 312.329 1.368 20 0 DDADMM CC[C@H](C)CC(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000791496719 699638023 /nfs/dbraw/zinc/63/80/23/699638023.db2.gz FDUWFRSPLIJTMB-NSHDSACASA-N -1 1 307.346 1.931 20 0 DDADMM COCCN1CCN(Cc2ccc(F)cc2C(=O)[O-])C[C@H]1C ZINC000737810187 699745166 /nfs/dbraw/zinc/74/51/66/699745166.db2.gz HVRSNYFAJBCPNW-GFCCVEGCSA-N -1 1 310.369 1.676 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)NCCN(C(=O)[O-])C1CC1 ZINC000739606057 699776756 /nfs/dbraw/zinc/77/67/56/699776756.db2.gz DTJAJOFFFRQRNG-OAHLLOKOSA-N -1 1 319.405 1.418 20 0 DDADMM Cc1noc(C(C)(C)[N-]C(=O)C(F)(F)c2nccs2)n1 ZINC000794432479 699809442 /nfs/dbraw/zinc/80/94/42/699809442.db2.gz OAAUGYLOMCPMAF-UHFFFAOYSA-N -1 1 302.306 1.978 20 0 DDADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2cnc(Cl)s2)n1 ZINC000795469853 699870399 /nfs/dbraw/zinc/87/03/99/699870399.db2.gz PKEKVENINFGIDT-UHFFFAOYSA-N -1 1 321.767 1.280 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1ccccc1Oc1cccnc1 ZINC000743288430 699905794 /nfs/dbraw/zinc/90/57/94/699905794.db2.gz VTHDRHNIELMHTP-UHFFFAOYSA-N -1 1 312.285 1.071 20 0 DDADMM COC(=O)c1ccc(C(=O)OCCNC(=O)C2CCCC2)[n-]1 ZINC000796359721 699929156 /nfs/dbraw/zinc/92/91/56/699929156.db2.gz BEODRIFAPAYSTJ-UHFFFAOYSA-N -1 1 308.334 1.265 20 0 DDADMM CC[C@@](C)(OC)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000796400368 699931944 /nfs/dbraw/zinc/93/19/44/699931944.db2.gz XKUHMHHYQHMQOL-MRXNPFEDSA-N -1 1 323.345 1.310 20 0 DDADMM CCc1nnc(COC(=O)c2nn(-c3ccccc3)cc2[O-])o1 ZINC000801203906 700277464 /nfs/dbraw/zinc/27/74/64/700277464.db2.gz YJSCSIICDGTSJF-UHFFFAOYSA-N -1 1 314.301 1.880 20 0 DDADMM COCC(=O)COC(=O)c1nn(-c2ccc(F)cc2)cc1[O-] ZINC000801324913 700297282 /nfs/dbraw/zinc/29/72/82/700297282.db2.gz FNPVALWWLJZCRT-UHFFFAOYSA-N -1 1 308.265 1.089 20 0 DDADMM COC(=O)COC(=O)c1nn(-c2ccc(F)cc2C)cc1[O-] ZINC000801427121 700307987 /nfs/dbraw/zinc/30/79/87/700307987.db2.gz CQSWJJMLDOSHPK-UHFFFAOYSA-N -1 1 308.265 1.355 20 0 DDADMM Cc1cc(C)nc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1 ZINC000801445833 700310165 /nfs/dbraw/zinc/31/01/65/700310165.db2.gz QUFGUCDHLIXJKD-UHFFFAOYSA-N -1 1 312.325 1.812 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2C[C@H]2C2CC2)cc1 ZINC000751736996 700311517 /nfs/dbraw/zinc/31/15/17/700311517.db2.gz CHQHJJUJFNMQCL-UONOGXRCSA-N -1 1 317.341 1.541 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2CC2(C)C)cc1 ZINC000751798941 700316358 /nfs/dbraw/zinc/31/63/58/700316358.db2.gz WVJZKAMDWIQYRP-LBPRGKRZSA-N -1 1 305.330 1.541 20 0 DDADMM COc1cccc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)n1 ZINC000752571714 700373400 /nfs/dbraw/zinc/37/34/00/700373400.db2.gz HZSHKEHYORUBTE-UHFFFAOYSA-N -1 1 314.297 1.204 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCC(C)(C)OC)o1 ZINC000867729314 701717807 /nfs/dbraw/zinc/71/78/07/701717807.db2.gz UEBZBDPKPKIWGX-UHFFFAOYSA-N -1 1 319.379 1.550 20 0 DDADMM Cc1cccc(C)c1OCCC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765435293 701012561 /nfs/dbraw/zinc/01/25/61/701012561.db2.gz VEDYMVAOPMIMDB-UHFFFAOYSA-N -1 1 305.334 1.238 20 0 DDADMM CC(C)[C@@H](CC(=O)OCc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC000765468917 701014335 /nfs/dbraw/zinc/01/43/35/701014335.db2.gz VNXFCPPBGAAONQ-CYBMUJFWSA-N -1 1 303.362 1.982 20 0 DDADMM C[C@@H](CCc1ccccc1F)C(=O)OCc1nn(C)c(=O)[n-]1 ZINC000765487716 701015401 /nfs/dbraw/zinc/01/54/01/701015401.db2.gz FJWDGYGUTCHHCO-JTQLQIEISA-N -1 1 307.325 1.560 20 0 DDADMM C[C@H](Cc1ccccc1Cl)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765502078 701016315 /nfs/dbraw/zinc/01/63/15/701016315.db2.gz JHEDOJMMPPTYQY-SECBINFHSA-N -1 1 309.753 1.684 20 0 DDADMM CC[C@@H](CC(=O)OCc1nc(=O)n(C)[n-]1)c1ccc(OC)cc1 ZINC000765500129 701016410 /nfs/dbraw/zinc/01/64/10/701016410.db2.gz CAXDVDDRUJOAPI-NSHDSACASA-N -1 1 319.361 1.744 20 0 DDADMM CC[C@@H](C)Oc1cc(C(=O)OCc2nc(=O)n(C)[n-]2)ccn1 ZINC000765504408 701016656 /nfs/dbraw/zinc/01/66/56/701016656.db2.gz WJPZBGZAYNIKNQ-SECBINFHSA-N -1 1 306.322 1.038 20 0 DDADMM O=C(CCCNC(=O)c1ccsc1)[N-]OCC(F)(F)F ZINC000766768607 701062466 /nfs/dbraw/zinc/06/24/66/701062466.db2.gz PLUDALXGUSJUCW-UHFFFAOYSA-N -1 1 310.297 1.868 20 0 DDADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)c2ccc(Cl)nc2C)n1 ZINC000804979830 701222994 /nfs/dbraw/zinc/22/29/94/701222994.db2.gz PNEPCDWHKSOZHG-SSDOTTSWSA-N -1 1 316.770 1.774 20 0 DDADMM CCCNC(=S)NNc1nc(=O)c2cnn(C(C)(C)C)c2[n-]1 ZINC000769792780 701256032 /nfs/dbraw/zinc/25/60/32/701256032.db2.gz JJNWWQJUDGXOKM-UHFFFAOYSA-N -1 1 323.426 1.488 20 0 DDADMM COC(=O)C[C@]1([N-]C(=O)C(F)(F)C(F)F)CCCOC1 ZINC000770166841 701269873 /nfs/dbraw/zinc/26/98/73/701269873.db2.gz JZGMFWUWMCCJJL-SNVBAGLBSA-N -1 1 301.236 1.115 20 0 DDADMM CC(C)c1nc2cc(NC(=O)NN3CC(=O)[N-]C3=O)ccc2o1 ZINC000770483471 701279683 /nfs/dbraw/zinc/27/96/83/701279683.db2.gz AZHRAMZAPTURMV-UHFFFAOYSA-N -1 1 317.305 1.540 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)CC(C)(C)C)co1 ZINC000805516614 701389188 /nfs/dbraw/zinc/38/91/88/701389188.db2.gz JRYYWMMUIURKPZ-UHFFFAOYSA-N -1 1 317.363 1.350 20 0 DDADMM O=C(COC(=O)c1cn[n-]n1)c1ccc(C(F)(F)F)cn1 ZINC000805604923 701398012 /nfs/dbraw/zinc/39/80/12/701398012.db2.gz COCYFSBAOVNCIV-UHFFFAOYSA-N -1 1 300.196 1.258 20 0 DDADMM CC(C)[C@@H](OC(=O)c1cn[n-]n1)C(=O)Nc1ccccc1F ZINC000805608487 701399914 /nfs/dbraw/zinc/39/99/14/701399914.db2.gz FNTCMRPRXMEECE-GFCCVEGCSA-N -1 1 306.297 1.764 20 0 DDADMM Cc1ncsc1CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806481785 701437066 /nfs/dbraw/zinc/43/70/66/701437066.db2.gz GVSXBMXHILEEHY-UHFFFAOYSA-N -1 1 302.363 1.428 20 0 DDADMM CC(C)CC[C@](C)(O)CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806488386 701437522 /nfs/dbraw/zinc/43/75/22/701437522.db2.gz GIAZWXTXVRTQOH-INIZCTEOSA-N -1 1 319.409 1.651 20 0 DDADMM Cc1nc(C(C)(C)NC(=O)N=c2ccnc3n(C)[n-]cc2-3)no1 ZINC000806493538 701438170 /nfs/dbraw/zinc/43/81/70/701438170.db2.gz XPLHSFDSXWWDPC-UHFFFAOYSA-N -1 1 315.337 1.090 20 0 DDADMM O=C(NC[C@@H](O)c1c(F)cccc1F)C(=O)c1ccc([O-])cc1 ZINC000806909182 701453765 /nfs/dbraw/zinc/45/37/65/701453765.db2.gz ZVCZZJVVQRPSFD-CYBMUJFWSA-N -1 1 321.279 1.703 20 0 DDADMM CCCCC[C@H](NC(=O)C(=O)c1ccc([O-])cc1)C(=O)OC ZINC000807020191 701458844 /nfs/dbraw/zinc/45/88/44/701458844.db2.gz RYXMCBSECRDIPU-ZDUSSCGKSA-N -1 1 307.346 1.813 20 0 DDADMM COc1ccc(C(C)=O)cc1OS(=O)(=O)c1c[n-]nc1C ZINC000810102403 701729622 /nfs/dbraw/zinc/72/96/22/701729622.db2.gz WNLSIIFRGJFWPQ-UHFFFAOYSA-N -1 1 310.331 1.697 20 0 DDADMM CC1(CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCCC1 ZINC000830952296 706609567 /nfs/dbraw/zinc/60/95/67/706609567.db2.gz DYSCCQOJTFXTCQ-UHFFFAOYSA-N -1 1 305.378 1.842 20 0 DDADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H]1CC12CCCC2 ZINC000830958846 706610839 /nfs/dbraw/zinc/61/08/39/706610839.db2.gz GLOIVPUMXMOGBG-NSHDSACASA-N -1 1 303.362 1.451 20 0 DDADMM COc1cccc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c1 ZINC000830970779 706613770 /nfs/dbraw/zinc/61/37/70/706613770.db2.gz ZXECNLURIQXMMV-UHFFFAOYSA-N -1 1 315.329 1.194 20 0 DDADMM O=S(=O)([N-][C@@H]1C[C@H]1C1CCOCC1)c1ccc(F)nc1F ZINC000867885398 701810759 /nfs/dbraw/zinc/81/07/59/701810759.db2.gz MGGIWKJYWHRURL-VHSXEESVSA-N -1 1 318.345 1.453 20 0 DDADMM CC(C)(CCNC(=O)c1c([O-])cccc1Cl)S(C)(=O)=O ZINC000839842341 701842390 /nfs/dbraw/zinc/84/23/90/701842390.db2.gz DTPIIOTYSCHBJV-UHFFFAOYSA-N -1 1 319.810 1.989 20 0 DDADMM Cc1nc(C(C)C)ccc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000815519791 701886002 /nfs/dbraw/zinc/88/60/02/701886002.db2.gz ICWKYCIEEGXIKO-CYBMUJFWSA-N -1 1 316.365 1.240 20 0 DDADMM C[C@@H](Cc1ccco1)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831118017 706638031 /nfs/dbraw/zinc/63/80/31/706638031.db2.gz ZFICWSRSBXNIRO-VIFPVBQESA-N -1 1 318.295 1.595 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NCCc3ccc(=O)[nH]c3)cnc2n1 ZINC000816599934 702123875 /nfs/dbraw/zinc/12/38/75/702123875.db2.gz FAFLEHJMIJANLF-UHFFFAOYSA-N -1 1 324.340 1.717 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCc1ccc(=O)[nH]c1)c2=O ZINC000816599934 702123877 /nfs/dbraw/zinc/12/38/77/702123877.db2.gz FAFLEHJMIJANLF-UHFFFAOYSA-N -1 1 324.340 1.717 20 0 DDADMM CC1(C)CC[C@@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC000868467036 702144745 /nfs/dbraw/zinc/14/47/45/702144745.db2.gz HOZXGCCYGPJYOR-SNVBAGLBSA-N -1 1 320.393 1.633 20 0 DDADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)NOC2CCCC2)C1 ZINC000868476959 702151249 /nfs/dbraw/zinc/15/12/49/702151249.db2.gz GPAOACNVWFDRMA-LBPRGKRZSA-N -1 1 323.315 1.713 20 0 DDADMM Cc1oc(C)c(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1C ZINC000831159342 706646335 /nfs/dbraw/zinc/64/63/35/706646335.db2.gz ZUYWDOCVIWXGKA-UHFFFAOYSA-N -1 1 318.295 1.955 20 0 DDADMM O=C(NC[C@@H]1C=C[C@H]2C[C@@H]1CO2)c1ccc2n[n-]c(=S)n2c1 ZINC000831160553 706646828 /nfs/dbraw/zinc/64/68/28/706646828.db2.gz YFCBWMAEKCBSEJ-WCQGTBRESA-N -1 1 316.386 1.339 20 0 DDADMM CCc1ccc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cc1 ZINC000831162482 706647301 /nfs/dbraw/zinc/64/73/01/706647301.db2.gz NNILIMRRVFPDEG-UHFFFAOYSA-N -1 1 314.307 2.000 20 0 DDADMM O=C(Cc1sc(N2CCC2)nc1[O-])Nc1ccccc1F ZINC000840701371 702215485 /nfs/dbraw/zinc/21/54/85/702215485.db2.gz KEQNBPNPNQRHOM-NSHDSACASA-N -1 1 307.350 1.858 20 0 DDADMM CC(C)OCCO[N-]C(=O)[C@H](C(C)C)N1C[C@@H](C)O[C@@H](C)C1 ZINC000812803026 702243019 /nfs/dbraw/zinc/24/30/19/702243019.db2.gz PMZQJBJAHMTJAM-ZNMIVQPWSA-N -1 1 316.442 1.593 20 0 DDADMM O=Cc1cc(F)c(C(=O)[N-]c2nc3n(n2)CCCC3)cc1F ZINC000868808151 702329548 /nfs/dbraw/zinc/32/95/48/702329548.db2.gz CQMYAOISRXWZBX-UHFFFAOYSA-N -1 1 306.272 1.957 20 0 DDADMM Cc1cc(N2C[C@H](C(=O)[N-]OCC3CC3)CC2=O)ccc1F ZINC000817349550 702347555 /nfs/dbraw/zinc/34/75/55/702347555.db2.gz DDJWFMLXDAFLPX-GFCCVEGCSA-N -1 1 306.337 1.945 20 0 DDADMM CCCCc1cc(N2CC(C[N-]C(=O)C(F)(F)F)C2)ncn1 ZINC000866293787 706667125 /nfs/dbraw/zinc/66/71/25/706667125.db2.gz BVOOSBFDQFUSEW-UHFFFAOYSA-N -1 1 316.327 1.934 20 0 DDADMM CCON(Cc1ccccc1)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000841442879 702452281 /nfs/dbraw/zinc/45/22/81/702452281.db2.gz YQZAYNGNWDCWOU-UHFFFAOYSA-N -1 1 319.317 1.490 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H](C)C2CCC2)o1 ZINC000817752803 702468853 /nfs/dbraw/zinc/46/88/53/702468853.db2.gz KWZSPVHYIQPGCH-SECBINFHSA-N -1 1 301.364 1.781 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000841535708 702486474 /nfs/dbraw/zinc/48/64/74/702486474.db2.gz UQLIMMQIUCXLCI-LBPRGKRZSA-N -1 1 309.343 1.663 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CC(C)(C)CO2)co1 ZINC000869450478 702611334 /nfs/dbraw/zinc/61/13/34/702611334.db2.gz DCELDJDPGFXVST-SNVBAGLBSA-N -1 1 317.363 1.160 20 0 DDADMM Cc1cnccc1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869499970 702626587 /nfs/dbraw/zinc/62/65/87/702626587.db2.gz YSQAJSSQKMNLLO-UHFFFAOYSA-N -1 1 318.251 1.185 20 0 DDADMM CCC[C@H](C)CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843018337 702804091 /nfs/dbraw/zinc/80/40/91/702804091.db2.gz NCBSNFSFQASMGY-LBPRGKRZSA-N -1 1 304.456 1.743 20 0 DDADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)Cc2ccsc2)CCC1 ZINC000843018587 702804404 /nfs/dbraw/zinc/80/44/04/702804404.db2.gz ZGEVYJXUJRYYOK-UHFFFAOYSA-N -1 1 316.448 1.221 20 0 DDADMM CCCCc1nc2ccc(NC(=O)COCC(=O)[O-])cc2[nH]1 ZINC000843328609 702860552 /nfs/dbraw/zinc/86/05/52/702860552.db2.gz QRWLGQAINXYYGW-UHFFFAOYSA-N -1 1 305.334 1.945 20 0 DDADMM Cn1nc(C2CC2)nc1CC(=O)Nc1nc(Cl)ccc1[O-] ZINC000843866225 702935451 /nfs/dbraw/zinc/93/54/51/702935451.db2.gz LNBZTVVBKISHFB-UHFFFAOYSA-N -1 1 307.741 1.628 20 0 DDADMM COC(=O)[C@H]1C[C@H](O)CN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000844120025 702974482 /nfs/dbraw/zinc/97/44/82/702974482.db2.gz RUVXHTKSXORBGC-IUCAKERBSA-N -1 1 313.737 1.042 20 0 DDADMM CCCCc1noc(CN2C[C@H]3COCC[C@]3(C(=O)[O-])C2)n1 ZINC000846281983 703261023 /nfs/dbraw/zinc/26/10/23/703261023.db2.gz LFGHDXPZQIVPOC-NHYWBVRUSA-N -1 1 309.366 1.335 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2[nH]nc3c2CCCC3)n1 ZINC000846651488 703308558 /nfs/dbraw/zinc/30/85/58/703308558.db2.gz UOLFDWVBYOEFGS-UHFFFAOYSA-N -1 1 317.349 1.370 20 0 DDADMM Cc1nc(COC(=O)c2sccc2[N-]S(C)(=O)=O)co1 ZINC000848702434 703577993 /nfs/dbraw/zinc/57/79/93/703577993.db2.gz CSJYAHJPRRFDGX-UHFFFAOYSA-N -1 1 316.360 1.773 20 0 DDADMM O=C(C[N-]c1nnc(Cc2ccccc2)o1)NCC(F)(F)F ZINC000849163425 703622867 /nfs/dbraw/zinc/62/28/67/703622867.db2.gz JUBXHUZSMAWAMZ-UHFFFAOYSA-N -1 1 314.267 1.751 20 0 DDADMM CCC[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C1CCCC1)C(=O)OC ZINC000849384597 703641961 /nfs/dbraw/zinc/64/19/61/703641961.db2.gz PJNFXFSXECDKGO-CHWSQXEVSA-N -1 1 321.439 1.453 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-][C@H]1C[C@@H](C)OC1=O)C1CCCCC1 ZINC000849394651 703643075 /nfs/dbraw/zinc/64/30/75/703643075.db2.gz XTQWOQDZUHSCSO-KGYLQXTDSA-N -1 1 319.423 1.205 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C[C@@H](O)c2ccccc21 ZINC000849455048 703650336 /nfs/dbraw/zinc/65/03/36/703650336.db2.gz PTKHKMIAPXVUBM-WDEREUQCSA-N -1 1 309.347 1.022 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC[C@H]2CCSC2)co1 ZINC000869602943 703818867 /nfs/dbraw/zinc/81/88/67/703818867.db2.gz YJLGDKYXGIZMDN-VIFPVBQESA-N -1 1 318.420 1.061 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1CCc2ccc(F)cc21 ZINC000866747061 706785668 /nfs/dbraw/zinc/78/56/68/706785668.db2.gz OBJDJTHYMQRHAJ-LLVKDONJSA-N -1 1 306.384 1.375 20 0 DDADMM O=C([O-])[C@@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CC1CC=CC1 ZINC000852733605 704109313 /nfs/dbraw/zinc/10/93/13/704109313.db2.gz SUEDHUKOAAUDKB-QWRGUYRKSA-N -1 1 320.311 1.549 20 0 DDADMM C[C@H]([N-]C(=O)C(F)(F)c1nccs1)[C@@H](O)C(F)(F)F ZINC000819568490 704143200 /nfs/dbraw/zinc/14/32/00/704143200.db2.gz URGAJLGLPAJVNO-CRCLSJGQSA-N -1 1 304.240 1.663 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CC12CCC(CC1)C2 ZINC000867018214 706873782 /nfs/dbraw/zinc/87/37/82/706873782.db2.gz WTICOJJJVFPFCL-UHFFFAOYSA-N -1 1 306.453 1.663 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCC[C@@H]2CCCO2)c1 ZINC000820201441 704239500 /nfs/dbraw/zinc/23/95/00/704239500.db2.gz PBOZIPNTPCGLDI-QKVFXAPYSA-N -1 1 312.387 1.915 20 0 DDADMM O=C([N-]S(=O)(=O)C1CCCC1)c1cc2[nH]cnc2cc1F ZINC000820232275 704244197 /nfs/dbraw/zinc/24/41/97/704244197.db2.gz HJCUEXKVMVBCGE-UHFFFAOYSA-N -1 1 311.338 1.704 20 0 DDADMM O=C(COC1CC1)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000879856110 706802825 /nfs/dbraw/zinc/80/28/25/706802825.db2.gz PFPAWVKJQZLVTL-UHFFFAOYSA-N -1 1 308.300 1.083 20 0 DDADMM Cc1ccc([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)c(=O)[nH]1 ZINC000821197701 704380546 /nfs/dbraw/zinc/38/05/46/704380546.db2.gz GYMWZBLMZVCASO-UHFFFAOYSA-N -1 1 322.268 1.638 20 0 DDADMM CSCC[C@H](C)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000866814706 706811954 /nfs/dbraw/zinc/81/19/54/706811954.db2.gz FEPCQIDMULKRIG-LURJTMIESA-N -1 1 317.358 1.848 20 0 DDADMM C[C@H]1CCN(C(=O)[C@@H](O)C2CC2)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000854469310 704402612 /nfs/dbraw/zinc/40/26/12/704402612.db2.gz OHYBIEJBUGLEAX-UUTMEEIUSA-N -1 1 322.327 1.061 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H]2COC[C@@H]2C1 ZINC000856146059 704516075 /nfs/dbraw/zinc/51/60/75/704516075.db2.gz BPMBOCDTLWEYCK-QWRGUYRKSA-N -1 1 304.375 1.127 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CCC(C2CCC2)CC1 ZINC000856252357 704519978 /nfs/dbraw/zinc/51/99/78/704519978.db2.gz LIMMMFWWGAMMHX-UHFFFAOYSA-N -1 1 319.405 1.402 20 0 DDADMM C[C@@H]1CCN(C(=O)COCC2CC2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856419550 704528308 /nfs/dbraw/zinc/52/83/08/704528308.db2.gz ORVZLHRYIVXAKM-KOLCDFICSA-N -1 1 322.327 1.329 20 0 DDADMM C[C@@H]1CCN(C(=O)c2ccc[nH]2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856420275 704528320 /nfs/dbraw/zinc/52/83/20/704528320.db2.gz SMEYNNBMZUAMKT-SCZZXKLOSA-N -1 1 303.284 1.544 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)[N-]OCC1CCC1)c2=O ZINC000857339909 704581225 /nfs/dbraw/zinc/58/12/25/704581225.db2.gz YSSKZKTWGKJGLL-UHFFFAOYSA-N -1 1 302.330 1.998 20 0 DDADMM O=C(N[C@H](C1CC1)C1(CO)CCOCC1)c1c([O-])cccc1F ZINC000857550426 704602845 /nfs/dbraw/zinc/60/28/45/704602845.db2.gz NITBXBGNQQWIQY-OAHLLOKOSA-N -1 1 323.364 1.829 20 0 DDADMM O=C(N[C@@H](C1CC1)C1(CO)CCOCC1)c1cc(F)ccc1[O-] ZINC000857549940 704602869 /nfs/dbraw/zinc/60/28/69/704602869.db2.gz JSIAFFNQNCQHRP-HNNXBMFYSA-N -1 1 323.364 1.829 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C3(F)CCCCC3)nc2n1 ZINC000857684851 704613697 /nfs/dbraw/zinc/61/36/97/704613697.db2.gz QHILCIIBTPFQBS-UHFFFAOYSA-N -1 1 307.329 1.591 20 0 DDADMM CC(C)C(=O)NC[C@@H]1CCCN(c2cc(Cl)[n-]c(=O)n2)C1 ZINC000858384860 704703134 /nfs/dbraw/zinc/70/31/34/704703134.db2.gz XATMEFQYMMUYCU-JTQLQIEISA-N -1 1 312.801 1.824 20 0 DDADMM O=c1nc(N(CCO)CCOCC(F)(F)F)cc(Cl)[n-]1 ZINC000858426150 704709500 /nfs/dbraw/zinc/70/95/00/704709500.db2.gz OJTCQTQLHAPDRC-UHFFFAOYSA-N -1 1 315.679 1.213 20 0 DDADMM CO[C@@H]1CCC[C@@H]([C@@H](CO)Nc2cc(Cl)[n-]c(=O)n2)C1 ZINC000858621829 704735967 /nfs/dbraw/zinc/73/59/67/704735967.db2.gz COQQTEREMULREP-OPRDCNLKSA-N -1 1 301.774 1.814 20 0 DDADMM C/C=C/[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C(=O)[N-]OCCO ZINC000822062369 704870224 /nfs/dbraw/zinc/87/02/24/704870224.db2.gz LVDRPADOTPRHAJ-IEVRTODYSA-N -1 1 314.382 1.086 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)c2ccns2)o1 ZINC000859401289 704890676 /nfs/dbraw/zinc/89/06/76/704890676.db2.gz SQHGBFRFBCJHFS-ZETCQYMHSA-N -1 1 316.360 1.562 20 0 DDADMM COC1([C@H](C)[N-]S(=O)(=O)c2ccc(F)nc2F)CCC1 ZINC000867404894 706989774 /nfs/dbraw/zinc/98/97/74/706989774.db2.gz AFBBYYXDFQYNJD-QMMMGPOBSA-N -1 1 306.334 1.596 20 0 DDADMM CO[C@@](C)([C@H](C)[N-]S(=O)(=O)c1ccc(F)nc1F)C1CC1 ZINC000867404155 706990042 /nfs/dbraw/zinc/99/00/42/706990042.db2.gz GMUNZOQEBGCBCW-SDBXPKJASA-N -1 1 320.361 1.842 20 0 DDADMM Cc1ncc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])s1 ZINC000822467159 704954212 /nfs/dbraw/zinc/95/42/12/704954212.db2.gz IUVDPUHZSMCAAW-UHFFFAOYSA-N -1 1 302.319 1.590 20 0 DDADMM CCOC(=O)[C@@H]1CN(C(=O)c2ccc([O-])c(F)c2)CC12COC2 ZINC000833102002 707000688 /nfs/dbraw/zinc/00/06/88/707000688.db2.gz VXDGNLLQDRHVHP-NSHDSACASA-N -1 1 323.320 1.183 20 0 DDADMM Cn1[n-]c(COC(=O)[C@@H]2[C@@H]3Cc4c(cccc4Cl)[C@@H]32)nc1=O ZINC000859795560 705006172 /nfs/dbraw/zinc/00/61/72/705006172.db2.gz WBXUXBAKKXJSGM-JIMOISOXSA-N -1 1 319.748 1.391 20 0 DDADMM Cn1ncc(S(=O)(=O)[N-][C@@]2(C)CC2(C)C)c1C(F)(F)F ZINC000867529980 707030124 /nfs/dbraw/zinc/03/01/24/707030124.db2.gz QQYDVNPXBCAIJM-JTQLQIEISA-N -1 1 311.329 1.906 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@@H](CCF)C3)ccnc1-2 ZINC000823058071 705126766 /nfs/dbraw/zinc/12/67/66/705126766.db2.gz JNBUGLKCFSMOMG-NSHDSACASA-N -1 1 305.357 1.945 20 0 DDADMM O=C(Nc1cc(-c2nn[nH]n2)ccc1[O-])c1ccc(Cl)nc1 ZINC000823294860 705197519 /nfs/dbraw/zinc/19/75/19/705197519.db2.gz VAYAPBYGNJVPNW-UHFFFAOYSA-N -1 1 316.708 1.873 20 0 DDADMM CS(=O)(=O)CCNC(=S)NCc1ccc([O-])c(Cl)c1 ZINC000871683722 707168148 /nfs/dbraw/zinc/16/81/48/707168148.db2.gz HEXRVWSTVHUCJV-UHFFFAOYSA-N -1 1 322.839 1.054 20 0 DDADMM CCC[C@H]([N-]C(=O)C(F)(F)c1cc(F)cc(F)c1)C(N)=O ZINC000875714268 705487472 /nfs/dbraw/zinc/48/74/72/705487472.db2.gz BPNQZPFQEGAELQ-JTQLQIEISA-N -1 1 306.259 1.827 20 0 DDADMM CCn1nncc1C[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000862361814 705701160 /nfs/dbraw/zinc/70/11/60/705701160.db2.gz IUZHVWDKNVNZDZ-UHFFFAOYSA-N -1 1 316.258 1.984 20 0 DDADMM COCCOC1CN(C(=O)NCc2ccc([O-])c(Cl)c2)C1 ZINC000876395549 705707649 /nfs/dbraw/zinc/70/76/49/705707649.db2.gz LFUNWIUACVJLIC-UHFFFAOYSA-N -1 1 314.769 1.602 20 0 DDADMM CCc1cnccc1CNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825740335 705724589 /nfs/dbraw/zinc/72/45/89/705724589.db2.gz RZYYUMVQKIOIPH-UHFFFAOYSA-N -1 1 309.333 1.149 20 0 DDADMM CCc1cnccc1CNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825740335 705724595 /nfs/dbraw/zinc/72/45/95/705724595.db2.gz RZYYUMVQKIOIPH-UHFFFAOYSA-N -1 1 309.333 1.149 20 0 DDADMM COC[C@@H]1CCCN1NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000862597199 705745676 /nfs/dbraw/zinc/74/56/76/705745676.db2.gz NQKGDWHBDQGQRT-NSHDSACASA-N -1 1 308.382 1.370 20 0 DDADMM COC(=O)[C@]1(OC)CCN(C(=O)c2c([O-])cccc2Cl)C1 ZINC000862743371 705789756 /nfs/dbraw/zinc/78/97/56/705789756.db2.gz JJXPUHDZCDIODW-AWEZNQCLSA-N -1 1 313.737 1.450 20 0 DDADMM O[C@@H](CCc1ccccc1)CNc1nccnc1-c1nnn[n-]1 ZINC000826503403 705805146 /nfs/dbraw/zinc/80/51/46/705805146.db2.gz WWWDXBBRAGODSL-LBPRGKRZSA-N -1 1 311.349 1.062 20 0 DDADMM O[C@@H](CCc1ccccc1)CNc1nccnc1-c1nn[n-]n1 ZINC000826503403 705805149 /nfs/dbraw/zinc/80/51/49/705805149.db2.gz WWWDXBBRAGODSL-LBPRGKRZSA-N -1 1 311.349 1.062 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC(OCC(F)F)C1 ZINC000826625389 705828624 /nfs/dbraw/zinc/82/86/24/705828624.db2.gz VCECJOATQMCOKS-UHFFFAOYSA-N -1 1 314.317 1.124 20 0 DDADMM C[C@@H](C(=O)Nc1ccccc1-n1ccc(C(=O)[O-])n1)N(C)C ZINC000908960676 712903781 /nfs/dbraw/zinc/90/37/81/712903781.db2.gz HEKLWMOZZRXSML-JTQLQIEISA-N -1 1 302.334 1.459 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CO[C@H](C2CC2)C1 ZINC000863285117 705889677 /nfs/dbraw/zinc/88/96/77/705889677.db2.gz GAPDCPSHGIKAOQ-RYUDHWBXSA-N -1 1 305.378 1.911 20 0 DDADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC[C@@H]2COCC[C@@H]21 ZINC000827349682 705982785 /nfs/dbraw/zinc/98/27/85/705982785.db2.gz LMNYSNFTIQOCNH-NEPJUHHUSA-N -1 1 318.402 1.659 20 0 DDADMM C[C@H](CO[N-]C(=O)C1(N(C)C)CC1)NC(=O)OC(C)(C)C ZINC000863902849 706033151 /nfs/dbraw/zinc/03/31/51/706033151.db2.gz VYJCPAZKJMDALE-SNVBAGLBSA-N -1 1 301.387 1.042 20 0 DDADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@H](C(=O)[O-])c1cc(F)ccc1F ZINC000864115839 706071107 /nfs/dbraw/zinc/07/11/07/706071107.db2.gz ZUQACCYGZPVCAZ-SDBXPKJASA-N -1 1 323.299 1.809 20 0 DDADMM C/C(=C\c1ccco1)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000864160569 706085131 /nfs/dbraw/zinc/08/51/31/706085131.db2.gz YVTHILXMBVHYEC-XSNHNAGMSA-N -1 1 306.362 1.690 20 0 DDADMM O=C(c1cc(F)cc2ccoc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000827959847 706093970 /nfs/dbraw/zinc/09/39/70/706093970.db2.gz AIPVCWHHPWZBOV-LLVKDONJSA-N -1 1 317.280 1.299 20 0 DDADMM CC(C)[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000827990323 706100214 /nfs/dbraw/zinc/10/02/14/706100214.db2.gz DMIOWPPUZWAOJJ-LLVKDONJSA-N -1 1 306.391 1.515 20 0 DDADMM C[C@@H](C[N-]C(=O)C(F)(F)F)c1ccc(S(C)(=O)=O)cc1 ZINC000864492459 706181170 /nfs/dbraw/zinc/18/11/70/706181170.db2.gz MTGBPFGOSWUSOW-QMMMGPOBSA-N -1 1 309.309 1.872 20 0 DDADMM C[C@@H]1C[C@@H]2C[C@@H]2C[C@H]1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC000828688612 706217445 /nfs/dbraw/zinc/21/74/45/706217445.db2.gz HMSXPRIXXNWDMH-DDHJBXDOSA-N -1 1 317.389 1.553 20 0 DDADMM C[C@@H](NCc1cn(C)nc1C(=O)[O-])c1ccc([S@](C)=O)cc1 ZINC000864784462 706262520 /nfs/dbraw/zinc/26/25/20/706262520.db2.gz JIKNJIYKOAMCKZ-STFLBKPXSA-N -1 1 321.402 1.707 20 0 DDADMM CCC[C@@](C)(NC(=O)CCSc1nnc(C)[nH]1)C(=O)[O-] ZINC000829194129 706301216 /nfs/dbraw/zinc/30/12/16/706301216.db2.gz VZOPOGXCJVAFHW-GFCCVEGCSA-N -1 1 300.384 1.355 20 0 DDADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)Cc1c(F)cccc1Cl ZINC000829684327 706376895 /nfs/dbraw/zinc/37/68/95/706376895.db2.gz LJHFYVXYPQGBLK-JTQLQIEISA-N -1 1 307.730 1.214 20 0 DDADMM CC[C@H](C)NC(=S)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000865275031 706394008 /nfs/dbraw/zinc/39/40/08/706394008.db2.gz HLUFKYIXTJOTLK-GZMMTYOYSA-N -1 1 311.373 1.802 20 0 DDADMM Cc1cc(=O)[n-]c(C[NH2+][C@]2(CNC(=O)[O-])CCCC[C@H]2C)n1 ZINC000830128864 706460555 /nfs/dbraw/zinc/46/05/55/706460555.db2.gz IOZIFOGKBFUESV-BMIGLBTASA-N -1 1 308.382 1.797 20 0 DDADMM C/C(=C/C1CCC(C)CC1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000865595281 706472784 /nfs/dbraw/zinc/47/27/84/706472784.db2.gz HGAPKSKRHWFDKJ-HDMAEMSYSA-N -1 1 319.409 1.872 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C1(C)CC1)c1cc(F)ccc1F ZINC000830357862 706502425 /nfs/dbraw/zinc/50/24/25/706502425.db2.gz XQRVHJPSVVUCCM-LLVKDONJSA-N -1 1 319.329 1.651 20 0 DDADMM CN(C(=O)CNC(C)(C)C(=O)[O-])c1nc2ccccc2s1 ZINC000830485791 706524831 /nfs/dbraw/zinc/52/48/31/706524831.db2.gz NOUSNEOONXKAKA-UHFFFAOYSA-N -1 1 307.375 1.712 20 0 DDADMM O=C([N-]CC1CN(C(=O)Nc2ccsc2)C1)C(F)(F)F ZINC000878911871 706530618 /nfs/dbraw/zinc/53/06/18/706530618.db2.gz VHEUWBPGJWSUNL-UHFFFAOYSA-N -1 1 307.297 1.890 20 0 DDADMM CCC(C)(C)[C@H](O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830788062 706579380 /nfs/dbraw/zinc/57/93/80/706579380.db2.gz AIAVLVNKJWVSQQ-NOZJJQNGSA-N -1 1 324.343 1.453 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C[C@@H]2CCCOC2)C1 ZINC000830801146 706582352 /nfs/dbraw/zinc/58/23/52/706582352.db2.gz IBHXRTORORKGIH-GXFFZTMASA-N -1 1 322.327 1.473 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)CO1 ZINC000830807650 706583347 /nfs/dbraw/zinc/58/33/47/706583347.db2.gz OALHMEVFCXKFRP-KBVBSXBZSA-N -1 1 308.300 1.081 20 0 DDADMM CCCCC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC ZINC000830808105 706583745 /nfs/dbraw/zinc/58/37/45/706583745.db2.gz CDQIOSUFTKJXGJ-MRVPVSSYSA-N -1 1 323.802 1.463 20 0 DDADMM CC[C@@H](C)OCC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816325 706585101 /nfs/dbraw/zinc/58/51/01/706585101.db2.gz OJUARCVITUHNJH-BXKDBHETSA-N -1 1 310.316 1.471 20 0 DDADMM Cn1ccc(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)c1 ZINC000830815595 706585328 /nfs/dbraw/zinc/58/53/28/706585328.db2.gz CHNOOIKJQMFERQ-GFCCVEGCSA-N -1 1 303.284 1.308 20 0 DDADMM CC(C)(C)[C@H](O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830816307 706585352 /nfs/dbraw/zinc/58/53/52/706585352.db2.gz OCOIJOFFTUOMDY-PRHODGIISA-N -1 1 310.316 1.063 20 0 DDADMM Cc1[nH]ccc1C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000830822652 706587080 /nfs/dbraw/zinc/58/70/80/706587080.db2.gz RGADFGJAYPQPDC-GFCCVEGCSA-N -1 1 303.284 1.606 20 0 DDADMM CCO[C@H](C(=O)N1CCC[C@H](c2nn[n-]n2)C1)C1CCCC1 ZINC000866901227 706839530 /nfs/dbraw/zinc/83/95/30/706839530.db2.gz ASABYAAECKLSBG-STQMWFEESA-N -1 1 307.398 1.501 20 0 DDADMM CC1(C[N-]S(=O)(=O)N=[S@@](C)(=O)c2ccccc2)CC1 ZINC000866912074 706842413 /nfs/dbraw/zinc/84/24/13/706842413.db2.gz BVZACAYUEJTPLI-SFHVURJKSA-N -1 1 302.421 1.778 20 0 DDADMM C[C@@]1(C[N-]S(=O)(=O)N=S2(=O)CCCC2)CCCS1 ZINC000866954245 706854868 /nfs/dbraw/zinc/85/48/68/706854868.db2.gz DOGYGGGWPXMHDH-JTQLQIEISA-N -1 1 312.482 1.368 20 0 DDADMM CC[C@@H](O)CC[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000866968588 706859036 /nfs/dbraw/zinc/85/90/36/706859036.db2.gz PZPWPNAPRDJHOS-WYRIXSBYSA-N -1 1 320.436 1.139 20 0 DDADMM C[C@@H](O)CN(Cc1cc(=O)oc2cc([O-])ccc12)CC(F)F ZINC000832200293 706868361 /nfs/dbraw/zinc/86/83/61/706868361.db2.gz WBZVJWWWLXANJI-SECBINFHSA-N -1 1 313.300 1.947 20 0 DDADMM CC(C)C[C@@H](C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867000346 706868711 /nfs/dbraw/zinc/86/87/11/706868711.db2.gz HPSXLBOJRFCLJG-SSDOTTSWSA-N -1 1 310.217 1.885 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)O1)c1ccc([O-])c(F)c1 ZINC000832396321 706904375 /nfs/dbraw/zinc/90/43/75/706904375.db2.gz GHTOHLZESCXRDB-LBPRGKRZSA-N -1 1 309.337 1.989 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)C[C@H]1CCC(C)(C)CO1 ZINC000880185730 706904777 /nfs/dbraw/zinc/90/47/77/706904777.db2.gz AMLIAFDRFTZHRP-LLVKDONJSA-N -1 1 301.383 1.971 20 0 DDADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCc2ccc(F)cc21 ZINC000867214024 706927106 /nfs/dbraw/zinc/92/71/06/706927106.db2.gz FTBVLZLOEIWFNT-GFCCVEGCSA-N -1 1 320.411 1.765 20 0 DDADMM COc1cccc(C(C)(C)C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000867380390 706981639 /nfs/dbraw/zinc/98/16/39/706981639.db2.gz HFRNOCVHGGICRY-NSHDSACASA-N -1 1 317.393 1.748 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cccc(C)c1OC ZINC000867485652 707015596 /nfs/dbraw/zinc/01/55/96/707015596.db2.gz WSMQDECBMUATHL-IBGZPJMESA-N -1 1 320.436 1.456 20 0 DDADMM CC(C)(C)Oc1cccc(CNC(=O)CCc2nn[n-]n2)c1 ZINC000834532608 707082441 /nfs/dbraw/zinc/08/24/41/707082441.db2.gz VQWPSZSJSZYHDJ-UHFFFAOYSA-N -1 1 303.366 1.626 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCc2cccnc21 ZINC000867719059 707084870 /nfs/dbraw/zinc/08/48/70/707084870.db2.gz OCYLNKMVMYLTTM-WYRIXSBYSA-N -1 1 317.436 1.064 20 0 DDADMM O=C(NCc1nnc2n1CCC2)NCc1ccc([O-])c(Cl)c1 ZINC000871626837 707144171 /nfs/dbraw/zinc/14/41/71/707144171.db2.gz WPNQNWVAAQPKKQ-UHFFFAOYSA-N -1 1 321.768 1.583 20 0 DDADMM O=C([O-])C1CC(NCc2ncc(Br)cc2F)C1 ZINC000871884100 707230590 /nfs/dbraw/zinc/23/05/90/707230590.db2.gz JASNTOZAOSFZBB-UHFFFAOYSA-N -1 1 303.131 1.936 20 0 DDADMM CN(CCNC(=O)N[C@@H](C(=O)[O-])C1CC1)Cc1ccc(F)cc1 ZINC000909095931 712936368 /nfs/dbraw/zinc/93/63/68/712936368.db2.gz RMZFVPCRONMOIM-CQSZACIVSA-N -1 1 323.368 1.420 20 0 DDADMM CS(=O)(=O)C1CCC(C(=O)[N-]Oc2ccccc2F)CC1 ZINC000881467381 707266996 /nfs/dbraw/zinc/26/69/96/707266996.db2.gz ZIWOWXLWGRDIQJ-UHFFFAOYSA-N -1 1 315.366 1.839 20 0 DDADMM CCCN1CCC[C@H]1C(=O)Nc1cccc(OCC(=O)[O-])c1 ZINC000909129040 712942026 /nfs/dbraw/zinc/94/20/26/712942026.db2.gz ZSSJZOWHTQYBGP-AWEZNQCLSA-N -1 1 306.362 1.963 20 0 DDADMM C[C@@H](CO)[N-]S(=O)(=O)c1cnc(Cl)cc1C(F)(F)F ZINC000881948364 707439670 /nfs/dbraw/zinc/43/96/70/707439670.db2.gz SZQVVEPIVUYDSV-YFKPBYRVSA-N -1 1 318.704 1.413 20 0 DDADMM C[C@H](F)CC[N-]S(=O)(=O)N=[S@](C)(=O)C1CCCCC1 ZINC000882221662 707544635 /nfs/dbraw/zinc/54/46/35/707544635.db2.gz OFDBXPLHOIVURA-XTZNXHDOSA-N -1 1 314.448 1.999 20 0 DDADMM CC1(C)C[C@H](O)CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000836998221 707561740 /nfs/dbraw/zinc/56/17/40/707561740.db2.gz CZMBFRBQVJXSJJ-LLVKDONJSA-N -1 1 320.418 1.641 20 0 DDADMM CC(C)OC(=O)[C@H](C)NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000837026375 707567120 /nfs/dbraw/zinc/56/71/20/707567120.db2.gz ACDFDGLGSWERDR-QMMMGPOBSA-N -1 1 308.363 1.088 20 0 DDADMM COC[C@H]1CCCCN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000837146941 707584843 /nfs/dbraw/zinc/58/48/43/707584843.db2.gz YWFAUTHLOQQTLP-LLVKDONJSA-N -1 1 306.391 1.659 20 0 DDADMM C[C@H](C(=O)[O-])N(C(=O)NC[C@@H]1[N@@H+](C)CCCC1(C)C)C1CC1 ZINC000909207980 712963817 /nfs/dbraw/zinc/96/38/17/712963817.db2.gz CBPYPTNMFCONTL-YPMHNXCESA-N -1 1 311.426 1.754 20 0 DDADMM CC(=O)[C@@H](OC(=O)c1cc(=O)[n-]c(N(C)C)n1)c1ccccc1 ZINC000837685252 707716557 /nfs/dbraw/zinc/71/65/57/707716557.db2.gz KHDHWYYETUIKEB-CQSZACIVSA-N -1 1 315.329 1.735 20 0 DDADMM CC(=O)c1ccc([O-])c(CCOC(=O)[C@@H]2CCCN(C)C2=O)c1 ZINC000837956450 707797160 /nfs/dbraw/zinc/79/71/60/707797160.db2.gz NKVQJZQTMZKZRQ-CQSZACIVSA-N -1 1 319.357 1.549 20 0 DDADMM CC[C@H](C)N(CC(=O)[O-])C(=O)c1cc([C@H]2CCCN2C)n[nH]1 ZINC000909311060 712988431 /nfs/dbraw/zinc/98/84/31/712988431.db2.gz IQBJDQLOUFYNEG-GXFFZTMASA-N -1 1 308.382 1.502 20 0 DDADMM C[C@H](Cc1cnn(C)c1)C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000838427924 707918292 /nfs/dbraw/zinc/91/82/92/707918292.db2.gz LGKUJUJETOCYTG-SECBINFHSA-N -1 1 321.299 1.211 20 0 DDADMM CCCCC[C@@H](NC(=O)CN(C)[C@@H]1CCSC1)C(=O)[O-] ZINC000909345866 712996545 /nfs/dbraw/zinc/99/65/45/712996545.db2.gz OPALPVRHPPPGTQ-VXGBXAGGSA-N -1 1 302.440 1.573 20 0 DDADMM O=C([O-])C1(NC(=O)[C@H]2CCCc3[nH]ncc32)CCSCC1 ZINC000909345959 712996571 /nfs/dbraw/zinc/99/65/71/712996571.db2.gz AXLWNRMGWSQLLE-VIFPVBQESA-N -1 1 309.391 1.296 20 0 DDADMM CCC(CC)(CNC(=O)CN(C)[C@@H]1CCSC1)C(=O)[O-] ZINC000909382101 713004738 /nfs/dbraw/zinc/00/47/38/713004738.db2.gz GJNISHQSBAJFIN-LLVKDONJSA-N -1 1 302.440 1.431 20 0 DDADMM COc1ccccc1C[C@@H](CNC(=O)[C@H](C)N(C)C)C(=O)[O-] ZINC000909383774 713005109 /nfs/dbraw/zinc/00/51/09/713005109.db2.gz ISVYRXXKIWSFKQ-AAEUAGOBSA-N -1 1 308.378 1.005 20 0 DDADMM O=C(NC[C@]1(O)CCSC1)c1ccc2ccc(O)cc2c1[O-] ZINC000896829031 708157978 /nfs/dbraw/zinc/15/79/78/708157978.db2.gz YOMLHBGJPQATII-MRXNPFEDSA-N -1 1 319.382 1.849 20 0 DDADMM COc1ccc2c(c1)CCN2C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC000909406222 713010082 /nfs/dbraw/zinc/01/00/82/713010082.db2.gz BGXIHHCXOSLXAP-CYBMUJFWSA-N -1 1 318.373 1.381 20 0 DDADMM COCc1nc(NC[C@]2(c3cnn(C)c3)CCCO2)cc(=O)[n-]1 ZINC000897255310 708270469 /nfs/dbraw/zinc/27/04/69/708270469.db2.gz GJJUAAXYHUFKAU-HNNXBMFYSA-N -1 1 319.365 1.180 20 0 DDADMM CCN(C)C(=O)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897437839 708329202 /nfs/dbraw/zinc/32/92/02/708329202.db2.gz SFEQUTJJSHOOEO-UHFFFAOYSA-N -1 1 302.330 1.459 20 0 DDADMM C[N@@H+](CC(=O)NCCc1cccc(C(=O)[O-])c1)[C@@H]1CCSC1 ZINC000909462696 713024199 /nfs/dbraw/zinc/02/41/99/713024199.db2.gz HKIBFXADGTWUEC-CQSZACIVSA-N -1 1 322.430 1.481 20 0 DDADMM CN(CC(=O)NCCc1cccc(C(=O)[O-])c1)[C@@H]1CCSC1 ZINC000909462696 713024202 /nfs/dbraw/zinc/02/42/02/713024202.db2.gz HKIBFXADGTWUEC-CQSZACIVSA-N -1 1 322.430 1.481 20 0 DDADMM CC1(C)CO[C@@H](CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)C1 ZINC000897640078 708403276 /nfs/dbraw/zinc/40/32/76/708403276.db2.gz IERNYXHUWTXQBC-MNOVXSKESA-N -1 1 309.366 1.686 20 0 DDADMM CO[C@@]1(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCSC1 ZINC000897668860 708412865 /nfs/dbraw/zinc/41/28/65/708412865.db2.gz MSRNSOZVTZEMLL-ZDUSSCGKSA-N -1 1 313.379 1.003 20 0 DDADMM Cc1nc(C)c(CC[N-]S(=O)(=O)c2ccns2)s1 ZINC000885004301 708439947 /nfs/dbraw/zinc/43/99/47/708439947.db2.gz WPQJYZXLUHYOPW-UHFFFAOYSA-N -1 1 303.434 1.737 20 0 DDADMM CC[C@@H]1CCCC[N@@H+]1CCNS(=O)(=O)c1ccns1 ZINC000885012096 708442164 /nfs/dbraw/zinc/44/21/64/708442164.db2.gz XVWZOGSXFJKQEZ-LLVKDONJSA-N -1 1 303.453 1.686 20 0 DDADMM CC(C)[C@@H](CO)[C@H]([N-]S(=O)(=O)c1ccns1)C(C)C ZINC000885217257 708496716 /nfs/dbraw/zinc/49/67/16/708496716.db2.gz DPYHWSZJHAODJT-ZYHUDNBSSA-N -1 1 306.453 1.711 20 0 DDADMM O=S(=O)([N-]C[C@@H]1Cc2ccccc2CO1)c1ccns1 ZINC000885315842 708518050 /nfs/dbraw/zinc/51/80/50/708518050.db2.gz FJSJUGYNTFVAOS-LBPRGKRZSA-N -1 1 310.400 1.563 20 0 DDADMM CC[C@@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000885383178 708531550 /nfs/dbraw/zinc/53/15/50/708531550.db2.gz NYFOWCSEPCKZDY-HTQZYQBOSA-N -1 1 324.349 1.799 20 0 DDADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]C(CF)CF)c1 ZINC000885417332 708539797 /nfs/dbraw/zinc/53/97/97/708539797.db2.gz YWZOQUQUNVXVQB-UHFFFAOYSA-N -1 1 311.281 1.198 20 0 DDADMM C[C@H](NC(=O)C(=O)c1ccc([O-])cc1)c1cc2n(n1)CCCC2 ZINC000898395497 708631337 /nfs/dbraw/zinc/63/13/37/708631337.db2.gz WQPQWLWMNUFQLU-NSHDSACASA-N -1 1 313.357 1.985 20 0 DDADMM Cc1csc(C[C@H]2CCN(C(=O)CCCc3nn[n-]n3)C2)n1 ZINC000886219674 708717894 /nfs/dbraw/zinc/71/78/94/708717894.db2.gz UXWQKONTFIAACS-LLVKDONJSA-N -1 1 320.422 1.379 20 0 DDADMM COC(=O)N(C)CC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886264674 708732001 /nfs/dbraw/zinc/73/20/01/708732001.db2.gz HKIWPLXTFITVIB-UHFFFAOYSA-N -1 1 302.277 1.027 20 0 DDADMM Cn1nccc1CCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886266452 708732416 /nfs/dbraw/zinc/73/24/16/708732416.db2.gz MQAGOJVEHLHWQJ-UHFFFAOYSA-N -1 1 309.316 1.695 20 0 DDADMM C[C@@H](O)C[C@H](C)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927783379 713055002 /nfs/dbraw/zinc/05/50/02/713055002.db2.gz ZOIDLRKKNFIITC-VHSXEESVSA-N -1 1 316.348 1.919 20 0 DDADMM C[C@@H](NC(=O)NCCc1c(F)cc([O-])cc1F)C1(CO)CC1 ZINC000927787100 713056133 /nfs/dbraw/zinc/05/61/33/713056133.db2.gz MEMRDTXAAJEDCE-SECBINFHSA-N -1 1 314.332 1.673 20 0 DDADMM CC1(CO)CN(C(=O)NCCc2c(F)cc([O-])cc2F)C1 ZINC000927787536 713056336 /nfs/dbraw/zinc/05/63/36/713056336.db2.gz XUHAJHZSBMSYML-UHFFFAOYSA-N -1 1 300.305 1.237 20 0 DDADMM C[C@@H]1CO[C@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1 ZINC000899183974 709013307 /nfs/dbraw/zinc/01/33/07/709013307.db2.gz IDBAMSIURUYASO-AUTRQRHGSA-N -1 1 308.300 1.081 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H](N)Cc1cc2ccccc2o1)C(=O)[O-] ZINC000887398250 709036246 /nfs/dbraw/zinc/03/62/46/709036246.db2.gz TURDOUWRDGLONC-GWCFXTLKSA-N -1 1 304.346 1.482 20 0 DDADMM CC(C)n1cc(CN[C@@H]2CCCn3nc(C(=O)[O-])cc32)cn1 ZINC000900070889 709280991 /nfs/dbraw/zinc/28/09/91/709280991.db2.gz OWZIXVQZSOEYIX-GFCCVEGCSA-N -1 1 303.366 1.983 20 0 DDADMM CN=[S@](C)(=O)CCNC(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC000912785804 713092556 /nfs/dbraw/zinc/09/25/56/713092556.db2.gz DINLVULPIGWGKL-OAQYLSRUSA-N -1 1 324.324 1.868 20 0 DDADMM COc1ncccc1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000900113855 709294363 /nfs/dbraw/zinc/29/43/63/709294363.db2.gz DCPQJORXAXEPIN-UHFFFAOYSA-N -1 1 300.318 1.393 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCS(=O)(=O)C(C)(C)C2)c([O-])c1 ZINC000888384182 709294622 /nfs/dbraw/zinc/29/46/22/709294622.db2.gz ALOSVXLCSKQFHJ-JTQLQIEISA-N -1 1 312.391 1.181 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC[C@H]1C1OCCO1 ZINC000888447490 709314613 /nfs/dbraw/zinc/31/46/13/709314613.db2.gz RFKQWHYZVXUIAP-LBPRGKRZSA-N -1 1 311.765 1.952 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N(Cc2ccoc2)C2CC2)co1 ZINC000889093347 709446974 /nfs/dbraw/zinc/44/69/74/709446974.db2.gz LTBZFVUOTIRNPD-UHFFFAOYSA-N -1 1 324.358 1.586 20 0 DDADMM CN(CC(=O)N1CCCC[C@@H]1CC(=O)[O-])[C@H]1CCSC1 ZINC000909497411 709489760 /nfs/dbraw/zinc/48/97/60/709489760.db2.gz NLUIDFQKCDIWIV-NEPJUHHUSA-N -1 1 300.424 1.280 20 0 DDADMM O=C([N-]OCCOc1ccccc1)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000889449379 709508751 /nfs/dbraw/zinc/50/87/51/709508751.db2.gz DZIWDZZVLNSFAQ-GFCCVEGCSA-N -1 1 304.346 1.124 20 0 DDADMM CSCC[C@H](NC(=O)[C@H]1Cc2ccccc21)c1nn[n-]n1 ZINC000912861549 713110456 /nfs/dbraw/zinc/11/04/56/713110456.db2.gz PPHDDHKYNALOBP-RYUDHWBXSA-N -1 1 303.391 1.450 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cnccc1C)c1nn[n-]n1 ZINC000912862867 713110815 /nfs/dbraw/zinc/11/08/15/713110815.db2.gz XXEAYWFVNASVFS-PCAWENJQSA-N -1 1 318.406 1.527 20 0 DDADMM CC[C@H](C)[C@H](OC)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912862370 713110830 /nfs/dbraw/zinc/11/08/30/713110830.db2.gz NZTSQVFTMJFJHC-GUBZILKMSA-N -1 1 301.416 1.171 20 0 DDADMM CSCC[C@H](NC(=O)C1(c2ccccn2)CC1)c1nn[n-]n1 ZINC000912862998 713111238 /nfs/dbraw/zinc/11/12/38/713111238.db2.gz IESNWWRKBWBBOH-JTQLQIEISA-N -1 1 318.406 1.237 20 0 DDADMM Cc1cc(C(=O)OCc2nc(=O)n(C)[n-]2)oc1Br ZINC000909646250 709556791 /nfs/dbraw/zinc/55/67/91/709556791.db2.gz HYEBXHFYQYINFV-UHFFFAOYSA-N -1 1 316.111 1.129 20 0 DDADMM CCOc1ccccc1[C@@H](N)CC(=O)N([C@H](C)C(=O)[O-])C1CC1 ZINC000900462645 709606786 /nfs/dbraw/zinc/60/67/86/709606786.db2.gz NIIYAVLPWMGNJS-RISCZKNCSA-N -1 1 320.389 1.939 20 0 DDADMM CCOc1ccccc1[C@H](N)CC(=O)N(CC(=O)[O-])C1CCC1 ZINC000900463740 709607816 /nfs/dbraw/zinc/60/78/16/709607816.db2.gz VIBFBDOQWLDGEI-CQSZACIVSA-N -1 1 320.389 1.941 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@H](CC(F)F)C(=O)[O-] ZINC000909774218 709612739 /nfs/dbraw/zinc/61/27/39/709612739.db2.gz PDNUFXARKVOKDN-SCSAIBSYSA-N -1 1 315.198 1.575 20 0 DDADMM Cc1cc(CC(=O)N[C@H](C(=O)[O-])c2ccc(F)c(C)c2)[nH]n1 ZINC000909798270 709626399 /nfs/dbraw/zinc/62/63/99/709626399.db2.gz SXZMOYUSVDVDCB-AWEZNQCLSA-N -1 1 305.309 1.650 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2CCC(C)(C)O2)[n-]c1=O ZINC000889790514 709637620 /nfs/dbraw/zinc/63/76/20/709637620.db2.gz RQEZSVAGQDLDMS-WDEREUQCSA-N -1 1 321.377 1.812 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cc[nH]c2C)[n-]c1=O ZINC000889791678 709638031 /nfs/dbraw/zinc/63/80/31/709638031.db2.gz KTLMZIALAJTBMA-LLVKDONJSA-N -1 1 302.334 1.805 20 0 DDADMM C[C@H](NC(=O)Cc1cnc[nH]1)[C@H](Cc1ccccc1)C(=O)[O-] ZINC000909819108 709639084 /nfs/dbraw/zinc/63/90/84/709639084.db2.gz HVAMLWRJDIQFSX-FZMZJTMJSA-N -1 1 301.346 1.400 20 0 DDADMM O=C([O-])[C@]1(F)CN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC12CCC2 ZINC000909935707 709694631 /nfs/dbraw/zinc/69/46/31/709694631.db2.gz MEPNKCAMJIIWFQ-QLJPJBMISA-N -1 1 321.352 1.635 20 0 DDADMM Cc1nnc(COCC(=O)Nc2cc([O-])c(F)cc2F)s1 ZINC000909986761 709721417 /nfs/dbraw/zinc/72/14/17/709721417.db2.gz AZLLRILLPIHKBK-UHFFFAOYSA-N -1 1 315.301 1.986 20 0 DDADMM COc1cccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)c1C ZINC000909993631 709726324 /nfs/dbraw/zinc/72/63/24/709726324.db2.gz NFIQNMLYBRNZNK-AWEZNQCLSA-N -1 1 320.389 1.416 20 0 DDADMM CN1CCC[C@H]1C(=O)N1CCC[C@]1(Cc1ccccc1)C(=O)[O-] ZINC000910103123 709773197 /nfs/dbraw/zinc/77/31/97/709773197.db2.gz PSNGZDWWGPYAAV-YJBOKZPZSA-N -1 1 316.401 1.769 20 0 DDADMM C[C@@H](C(=O)N1CC([C@@H](F)C(=O)[O-])C1)N1CCc2ccccc2C1 ZINC000910129295 709783790 /nfs/dbraw/zinc/78/37/90/709783790.db2.gz LKDWKNPSWLDLKA-XHDPSFHLSA-N -1 1 320.364 1.314 20 0 DDADMM CO[C@@H]1CCCC[C@H]1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000910173865 709798007 /nfs/dbraw/zinc/79/80/07/709798007.db2.gz OMAMCVOSAQPUCL-MGPQQGTHSA-N -1 1 312.410 1.199 20 0 DDADMM COc1ccc([C@H]2CCCN2C(=O)CCCc2nn[n-]n2)nc1 ZINC000890248654 709800385 /nfs/dbraw/zinc/80/03/85/709800385.db2.gz HYUHXDHGKOANMJ-CYBMUJFWSA-N -1 1 316.365 1.290 20 0 DDADMM CO[C@@]1(C(=O)[O-])CCN(C(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC000910219916 709821806 /nfs/dbraw/zinc/82/18/06/709821806.db2.gz GGIQTJDQJFZWAP-NSHDSACASA-N -1 1 321.255 1.053 20 0 DDADMM CO[C@]1(C(=O)[O-])CCN(C(=O)c2cnc(-c3ccccc3)[nH]2)C1 ZINC000910220747 709822390 /nfs/dbraw/zinc/82/23/90/709822390.db2.gz IWWOYGIOMNNWTF-MRXNPFEDSA-N -1 1 315.329 1.392 20 0 DDADMM CCOC(=O)[C@@H]1[C@@H]2CCN(C(=O)c3ccc([O-])c(F)c3)C[C@@H]21 ZINC000928116743 713134238 /nfs/dbraw/zinc/13/42/38/713134238.db2.gz CVXSGJFHCSZPAQ-UHIISALHSA-N -1 1 307.321 1.803 20 0 DDADMM CCN(C(=O)CN1CCC[C@@H](C(=O)[O-])C1)c1ccc(OC)cc1 ZINC000910246429 709838016 /nfs/dbraw/zinc/83/80/16/709838016.db2.gz RUEDYRITEAENOW-CYBMUJFWSA-N -1 1 320.389 1.845 20 0 DDADMM CO[C@@H]1CN(C(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)[C@@](C)(C(=O)[O-])C1 ZINC000910262755 709847128 /nfs/dbraw/zinc/84/71/28/709847128.db2.gz DTFKXBIHDLGIHR-UCIVKPIZSA-N -1 1 321.377 1.166 20 0 DDADMM O=C(N[C@@H]1CCOC12CCOCC2)C(=O)c1ccc([O-])cc1 ZINC000890446157 709869247 /nfs/dbraw/zinc/86/92/47/709869247.db2.gz VVTZRSLUXFYABW-CYBMUJFWSA-N -1 1 305.330 1.029 20 0 DDADMM C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N2C3CCC2(C(=O)[O-])CC3)C1 ZINC000910299259 709875251 /nfs/dbraw/zinc/87/52/51/709875251.db2.gz ZPACXQOQAFGJBE-BLWUUMKQSA-N -1 1 303.362 1.684 20 0 DDADMM C[C@@H]1CN(C[C@H]2CCCN2c2ccc(C(=O)[O-])nn2)C[C@@H](C)O1 ZINC000910353145 709906919 /nfs/dbraw/zinc/90/69/19/709906919.db2.gz XRLLBUBLMUTEFO-JHJVBQTASA-N -1 1 320.393 1.253 20 0 DDADMM O=C(c1ccc(Br)cc1[O-])N1CC[C@H](O)[C@H](F)C1 ZINC000890691646 709956352 /nfs/dbraw/zinc/95/63/52/709956352.db2.gz LCTMUUDQIWYEEW-ZJUUUORDSA-N -1 1 318.142 1.700 20 0 DDADMM O=C(CN1CCC[C@@H](C(=O)[O-])C1)NC[C@H]1CCC2(CCC2)O1 ZINC000910514502 709978836 /nfs/dbraw/zinc/97/88/36/709978836.db2.gz WGZUJTWBVKIAPV-CHWSQXEVSA-N -1 1 310.394 1.001 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC(C)(C)CSC)o1 ZINC000890876684 710017894 /nfs/dbraw/zinc/01/78/94/710017894.db2.gz KUZCUCIUZDJMRB-UHFFFAOYSA-N -1 1 306.409 1.059 20 0 DDADMM COC[C@](C)(CCO)NC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000901347437 710019171 /nfs/dbraw/zinc/01/91/71/710019171.db2.gz CQHXNKPDJJJKON-INIZCTEOSA-N -1 1 322.336 1.597 20 0 DDADMM COC(=O)[C@](C)(Cn1cccn1)NC(=O)c1c([O-])cccc1F ZINC000890946827 710037325 /nfs/dbraw/zinc/03/73/25/710037325.db2.gz NDTOZBAQTVCKAY-HNNXBMFYSA-N -1 1 321.308 1.090 20 0 DDADMM COC(=O)[C@@](C)(Cn1cccn1)NC(=O)c1c([O-])cccc1F ZINC000890946829 710037437 /nfs/dbraw/zinc/03/74/37/710037437.db2.gz NDTOZBAQTVCKAY-OAHLLOKOSA-N -1 1 321.308 1.090 20 0 DDADMM O=C([O-])[C@H](NCc1cc2c(cn1)OCCO2)c1ccccc1 ZINC000901457443 710045954 /nfs/dbraw/zinc/04/59/54/710045954.db2.gz LYQYAJXFHJWZOH-OAHLLOKOSA-N -1 1 300.314 1.768 20 0 DDADMM O=C(N[C@H](CO)[C@@H](O)C1CCCCC1)c1ccc([O-])cc1F ZINC000913050438 713155065 /nfs/dbraw/zinc/15/50/65/713155065.db2.gz ZCFJPIIPTJWWIZ-CABCVRRESA-N -1 1 311.353 1.563 20 0 DDADMM O=C([O-])[C@H]1[C@@H]2CN(C(=O)c3cc(-c4ccc(F)cc4)[nH]n3)C[C@@H]21 ZINC000910795088 710079106 /nfs/dbraw/zinc/07/91/06/710079106.db2.gz PMJUTKKJXFNTSJ-YABSGUDNSA-N -1 1 315.304 1.618 20 0 DDADMM C[C@@H]1CCN(C(=O)c2cc3[nH]cnc3cc2F)C[C@@H]1C(=O)[O-] ZINC000901697845 710122932 /nfs/dbraw/zinc/12/29/32/710122932.db2.gz UJLITBBGAKSZEQ-SCZZXKLOSA-N -1 1 305.309 1.885 20 0 DDADMM CC(C)Oc1cccc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])c1 ZINC000901742672 710137509 /nfs/dbraw/zinc/13/75/09/710137509.db2.gz AUGFVQARGNGBBJ-RYUDHWBXSA-N -1 1 308.378 1.541 20 0 DDADMM O=C([N-]N1CC(=O)NC1=O)c1c(Cl)cccc1OCC1CC1 ZINC000891685332 710266257 /nfs/dbraw/zinc/26/62/57/710266257.db2.gz XMGRTEVWKBNVAD-UHFFFAOYSA-N -1 1 323.736 1.325 20 0 DDADMM COCc1nc(N[C@@H]2CCN(C(=O)OC(C)(C)C)C2)cc(=O)[n-]1 ZINC000892460147 710440593 /nfs/dbraw/zinc/44/05/93/710440593.db2.gz KSZHNPCWSHZKGX-SNVBAGLBSA-N -1 1 324.381 1.750 20 0 DDADMM COCc1nc(N2CCN(c3ncc(C)s3)CC2)cc(=O)[n-]1 ZINC000892829084 710509078 /nfs/dbraw/zinc/50/90/78/710509078.db2.gz RWPFVFRSEAUWHJ-UHFFFAOYSA-N -1 1 321.406 1.420 20 0 DDADMM COc1ccc(C(=O)N(C)c2nn[n-]n2)c(OCC(C)C)c1 ZINC000892954408 710531633 /nfs/dbraw/zinc/53/16/33/710531633.db2.gz JNBAOEXSRQOBFW-UHFFFAOYSA-N -1 1 305.338 1.520 20 0 DDADMM Cc1ccc(-c2nc(C(=O)N(C)c3nn[n-]n3)cs2)n1C ZINC000892954523 710531652 /nfs/dbraw/zinc/53/16/52/710531652.db2.gz KTXZTJMWQGIAHB-UHFFFAOYSA-N -1 1 303.351 1.247 20 0 DDADMM CC(C)[C@@H](Oc1cccc(Cl)c1)C(=O)N(C)c1nn[n-]n1 ZINC000892954804 710531665 /nfs/dbraw/zinc/53/16/65/710531665.db2.gz QZMRWWKIFQBBGL-LLVKDONJSA-N -1 1 309.757 1.920 20 0 DDADMM COCc1nc(N2CCSC3(CCOCC3)C2)cc(=O)[n-]1 ZINC000893228679 710575139 /nfs/dbraw/zinc/57/51/39/710575139.db2.gz MREVNQGONHWKQY-UHFFFAOYSA-N -1 1 311.407 1.431 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CCC[C@@]1(C)C(=O)[O-] ZINC000902106695 710617147 /nfs/dbraw/zinc/61/71/47/710617147.db2.gz DINSIKFGRJDIPE-KRWDZBQOSA-N -1 1 304.390 1.627 20 0 DDADMM C[C@@H]1Cc2cc(NC(=O)CN3CCC[C@@H](C(=O)[O-])C3)ccc2O1 ZINC000911083742 710626766 /nfs/dbraw/zinc/62/67/66/710626766.db2.gz ZGKWDFXUGAKYMS-VXGBXAGGSA-N -1 1 318.373 1.745 20 0 DDADMM Cc1ccc(SCCNCc2cn(CC(=O)[O-])nn2)cc1 ZINC000902143353 710632455 /nfs/dbraw/zinc/63/24/55/710632455.db2.gz NSXAYDDQNLDHIG-UHFFFAOYSA-N -1 1 306.391 1.553 20 0 DDADMM COCc1nc(N2CC[C@@H](OCc3ccncc3)C2)cc(=O)[n-]1 ZINC000893443841 710656207 /nfs/dbraw/zinc/65/62/07/710656207.db2.gz MIMAPCPZZGYDCB-CYBMUJFWSA-N -1 1 316.361 1.519 20 0 DDADMM O=C([O-])c1ccc(C(=O)NCCCCN2CCOCC2)c(F)c1 ZINC000911202652 710683156 /nfs/dbraw/zinc/68/31/56/710683156.db2.gz GYNSKASEOSHOJY-UHFFFAOYSA-N -1 1 324.352 1.366 20 0 DDADMM C[C@@H](CC(=O)[O-])C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000911325901 710742429 /nfs/dbraw/zinc/74/24/29/710742429.db2.gz WOYZOFMUIBSQCS-ONGXEEELSA-N -1 1 306.366 1.499 20 0 DDADMM Cc1cc(CNCCNC(=O)c2ccccc2F)oc1C(=O)[O-] ZINC000902410816 710745144 /nfs/dbraw/zinc/74/51/44/710745144.db2.gz PQMAQUMRBATEJE-UHFFFAOYSA-N -1 1 320.320 1.945 20 0 DDADMM COCCN1CCC[C@H]1CNC(=O)c1ccc(/C=C/C(=O)[O-])o1 ZINC000911334589 710747070 /nfs/dbraw/zinc/74/70/70/710747070.db2.gz DUCWJSNKRGQKFJ-PZBABLGHSA-N -1 1 322.361 1.218 20 0 DDADMM O=C([O-])Cn1cc(CN[C@@H]2[C@H]3Cc4cc(Cl)ccc4[C@@H]23)nn1 ZINC000902496316 710770782 /nfs/dbraw/zinc/77/07/82/710770782.db2.gz WHKJYPFUYFDILQ-NWANDNLSSA-N -1 1 318.764 1.444 20 0 DDADMM CC(C)[C@@H]1CN(C)CCN1C(=O)CCCn1ccc(C(=O)[O-])n1 ZINC000911408965 710785761 /nfs/dbraw/zinc/78/57/61/710785761.db2.gz DRHOQJKNNBKFLT-AWEZNQCLSA-N -1 1 322.409 1.160 20 0 DDADMM CN(CCCN(C)C(=O)C12CC(C(=O)[O-])(C1)C2)Cc1ccco1 ZINC000911542378 710844637 /nfs/dbraw/zinc/84/46/37/710844637.db2.gz TUBLZEFVZIQTBF-UHFFFAOYSA-N -1 1 320.389 1.815 20 0 DDADMM C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)c1cccc(C(=O)[O-])c1 ZINC000911581797 710866367 /nfs/dbraw/zinc/86/63/67/710866367.db2.gz ZWOJRIMHRGWGME-GFCCVEGCSA-N -1 1 320.389 1.302 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000902818419 710915385 /nfs/dbraw/zinc/91/53/85/710915385.db2.gz NJBNFXDOTSRUFL-UTUOFQBUSA-N -1 1 300.424 1.039 20 0 DDADMM CCC(CC)(C(=O)[O-])C(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000902818723 710916203 /nfs/dbraw/zinc/91/62/03/710916203.db2.gz PEKYFSLHSPEWEX-NEPJUHHUSA-N -1 1 314.451 1.573 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)N2Cc3ccccc3CO2)C1 ZINC000911810229 711007440 /nfs/dbraw/zinc/00/74/40/711007440.db2.gz UNGIUHCPUFNQHJ-ZDUSSCGKSA-N -1 1 304.346 1.257 20 0 DDADMM COCc1cccc(S(=O)(=O)[N-]c2nnc(C(F)F)[nH]2)c1 ZINC000903150361 711048309 /nfs/dbraw/zinc/04/83/09/711048309.db2.gz SEJXHUMUXYYKAP-UHFFFAOYSA-N -1 1 318.305 1.690 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCCC[C@H]1[C@H](O)c1ccccc1 ZINC000912104455 711175831 /nfs/dbraw/zinc/17/58/31/711175831.db2.gz RWFGRECQPZWZDQ-XJKSGUPXSA-N -1 1 315.377 1.247 20 0 DDADMM COc1cccc(S(=O)(=O)[N-]c2[nH]nc(C)c2C)c1OC ZINC000903611251 711223310 /nfs/dbraw/zinc/22/33/10/711223310.db2.gz LLSMRVJONYJRQK-UHFFFAOYSA-N -1 1 311.363 1.845 20 0 DDADMM O=C(N[C@@H]([C@H]1CCCO1)C1(CO)CCC1)c1ncccc1[O-] ZINC000912214977 711225969 /nfs/dbraw/zinc/22/59/69/711225969.db2.gz INTQHHZUFDOJDL-OCCSQVGLSA-N -1 1 306.362 1.227 20 0 DDADMM COCc1nc(N2C[C@]34COC[C@@]3(C2)CCCC4)cc(=O)[n-]1 ZINC000894643318 711227487 /nfs/dbraw/zinc/22/74/87/711227487.db2.gz WQJUORAEEPZZAT-IYBDPMFKSA-N -1 1 305.378 1.726 20 0 DDADMM CSc1nc(CNC(=O)CC(F)(F)C(F)F)cc(=O)[n-]1 ZINC000912240771 711239612 /nfs/dbraw/zinc/23/96/12/711239612.db2.gz OCQJWDBIPHLADE-UHFFFAOYSA-N -1 1 313.276 1.811 20 0 DDADMM O=C(c1ccc(Cl)c(F)c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496380 713238569 /nfs/dbraw/zinc/23/85/69/713238569.db2.gz YWEDFFDRVYZYIL-SNVBAGLBSA-N -1 1 311.704 1.206 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N[C@H]1CCCC[C@H]1C ZINC000903711770 711250163 /nfs/dbraw/zinc/25/01/63/711250163.db2.gz KDVCMPDKAPSJLA-MCIONIFRSA-N -1 1 314.426 1.493 20 0 DDADMM Cc1ccc2nc(C(=O)N3CCOC[C@H]3c3nn[n-]n3)ccc2c1 ZINC000913498694 713239271 /nfs/dbraw/zinc/23/92/71/713239271.db2.gz QSIKVSMJTPEUHE-AWEZNQCLSA-N -1 1 324.344 1.270 20 0 DDADMM COCc1nc(N2CCc3nc(C4CC4)ncc3C2)cc(=O)[n-]1 ZINC000894759327 711262226 /nfs/dbraw/zinc/26/22/26/711262226.db2.gz WGFYGVVIXLYVMI-UHFFFAOYSA-N -1 1 313.361 1.559 20 0 DDADMM O=C([O-])C[C@@H]1CN(C[C@@H](O)CCOCc2ccccc2)CCO1 ZINC000903901497 711322888 /nfs/dbraw/zinc/32/28/88/711322888.db2.gz KJOLWECEGRHKKH-JKSUJKDBSA-N -1 1 323.389 1.130 20 0 DDADMM O=C(c1c([O-])cnc2c(F)cccc21)N1CC[C@@]2(O)C[C@H]2C1 ZINC000912513930 711359582 /nfs/dbraw/zinc/35/95/82/711359582.db2.gz XUILWCUJYFNXMG-XXFAHNHDSA-N -1 1 302.305 1.676 20 0 DDADMM C[C@]1([C@@H]2CCCN(c3cc(Cl)[n-]c(=O)n3)C2)COC(=O)N1 ZINC000895529712 711534624 /nfs/dbraw/zinc/53/46/24/711534624.db2.gz AYMPVOWARHGQIS-AMIZOPFISA-N -1 1 312.757 1.551 20 0 DDADMM CN(CCS(C)(=O)=O)C(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000896138995 711681377 /nfs/dbraw/zinc/68/13/77/711681377.db2.gz BLSNOOGHVZQOKD-UHFFFAOYSA-N -1 1 323.370 1.368 20 0 DDADMM COc1ccc(F)c([N-]S(=O)(=O)N=S2(=O)CCCC2)c1 ZINC000905097789 711935410 /nfs/dbraw/zinc/93/54/10/711935410.db2.gz XIUKVTMIFKAPEC-UHFFFAOYSA-N -1 1 322.383 1.753 20 0 DDADMM C[C@@H](Oc1ccccc1F)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742698 713292234 /nfs/dbraw/zinc/29/22/34/713292234.db2.gz QASQKOPAPNGANW-SNVBAGLBSA-N -1 1 319.340 1.512 20 0 DDADMM CCCn1nc(C)c(C(=O)N2CCC(c3nn[n-]n3)CC2)c1C ZINC000913743948 713292586 /nfs/dbraw/zinc/29/25/86/713292586.db2.gz STZWBTTYRMDARX-UHFFFAOYSA-N -1 1 317.397 1.443 20 0 DDADMM Cc1ccc(F)c(OCC(=O)N2CCC(c3nn[n-]n3)CC2)c1 ZINC000913744055 713292892 /nfs/dbraw/zinc/29/28/92/713292892.db2.gz YNGABBTZVGXVEU-UHFFFAOYSA-N -1 1 319.340 1.432 20 0 DDADMM O=C([C@H]1CCc2ccccc2O1)N1CCC(c2nn[n-]n2)CC1 ZINC000913745668 713293506 /nfs/dbraw/zinc/29/35/06/713293506.db2.gz ANVFWRKMPKWGBW-CQSZACIVSA-N -1 1 313.361 1.300 20 0 DDADMM C[C@H]1Cc2cccc(C(=O)N3CCC(c4nn[n-]n4)CC3)c2O1 ZINC000913745712 713293591 /nfs/dbraw/zinc/29/35/91/713293591.db2.gz BYHKPCWWBVTULG-JTQLQIEISA-N -1 1 313.361 1.543 20 0 DDADMM O=C([O-])c1cc2n(n1)CCC[C@H]2NCc1cccc2nccn21 ZINC000905794906 712148010 /nfs/dbraw/zinc/14/80/10/712148010.db2.gz MCZSFZDQDCTXAX-GFCCVEGCSA-N -1 1 311.345 1.854 20 0 DDADMM O=C(NCCCn1ccnc1)NCCc1c(F)cc([O-])cc1F ZINC000913835949 713308072 /nfs/dbraw/zinc/30/80/72/713308072.db2.gz BZAXTFPWQDXOHU-UHFFFAOYSA-N -1 1 324.331 1.799 20 0 DDADMM Cc1c(Cl)nccc1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000906067884 712235801 /nfs/dbraw/zinc/23/58/01/712235801.db2.gz VPVAZKFPLZKOMK-UHFFFAOYSA-N -1 1 323.827 1.962 20 0 DDADMM C[C@@H](C[S@](C)=O)N(C)C(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907341837 712548295 /nfs/dbraw/zinc/54/82/95/712548295.db2.gz NIGWCGOMCZYMFA-AUADJRAKSA-N -1 1 324.377 1.919 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccccc1F ZINC000907475061 712581829 /nfs/dbraw/zinc/58/18/29/712581829.db2.gz NKMKWULWSWHWKF-CABZTGNLSA-N -1 1 321.381 1.759 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c(O)c1 ZINC000907478416 712582910 /nfs/dbraw/zinc/58/29/10/712582910.db2.gz XZTBNKBPTZFOFL-SNVBAGLBSA-N -1 1 305.363 1.144 20 0 DDADMM Cc1c(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cnn1C(C)C ZINC000907479121 712583263 /nfs/dbraw/zinc/58/32/63/712583263.db2.gz JMMNPPPACKBEGL-NSHDSACASA-N -1 1 321.410 1.216 20 0 DDADMM O=C(CCCc1nccs1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907479869 712583604 /nfs/dbraw/zinc/58/36/04/712583604.db2.gz MRNZGVZBVMUJCT-SECBINFHSA-N -1 1 324.435 1.296 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@H](C)c1cncs1 ZINC000907925188 712649506 /nfs/dbraw/zinc/64/95/06/712649506.db2.gz MGCYAVQOENKQBM-ZCFIWIBFSA-N -1 1 320.827 1.878 20 0 DDADMM O=C([O-])[C@@H]1C[C@@H]2C[C@H](NC(=O)Cc3[nH]nc4ccccc43)[C@@H]2C1 ZINC000907933626 712651250 /nfs/dbraw/zinc/65/12/50/712651250.db2.gz QAZTVMHXMNITNC-FTUHBYGFSA-N -1 1 313.357 1.721 20 0 DDADMM O=C(/C(F)=C/C1CCCCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907943314 712652644 /nfs/dbraw/zinc/65/26/44/712652644.db2.gz HORXPFBEKANQHD-BENRWUELSA-N -1 1 323.372 1.443 20 0 DDADMM C[S@](=O)C1(CNC(=O)c2c([O-])cnc3c(F)cccc32)CC1 ZINC000908005224 712664731 /nfs/dbraw/zinc/66/47/31/712664731.db2.gz HJAGLPNMSQBQFX-QFIPXVFZSA-N -1 1 322.361 1.720 20 0 DDADMM O=S(=O)([N-][C@@H]1COC[C@H]1C1CC1)c1ccc(F)nc1F ZINC000908410640 712764462 /nfs/dbraw/zinc/76/44/62/712764462.db2.gz WMOKMDDMFOIBSO-DTWKUNHWSA-N -1 1 304.318 1.063 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1C[C@]2(CCCO2)[C@H]1C1CC1 ZINC000908449951 712776520 /nfs/dbraw/zinc/77/65/20/712776520.db2.gz GBQWTOPBNOLPCO-CXAGYDPISA-N -1 1 315.373 1.843 20 0 DDADMM O=S(=O)([N-]CC(F)(F)C1(O)CCCCC1)c1ccccn1 ZINC000908498017 712790190 /nfs/dbraw/zinc/79/01/90/712790190.db2.gz ONEVILWYBFCTGN-UHFFFAOYSA-N -1 1 320.361 1.690 20 0 DDADMM O=C([O-])C1CCN(C(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC000908586648 712815657 /nfs/dbraw/zinc/81/56/57/712815657.db2.gz CDGDWIPVZITYGG-UHFFFAOYSA-N -1 1 300.318 1.409 20 0 DDADMM CCc1nnc(N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)n1C ZINC000908601267 712818585 /nfs/dbraw/zinc/81/85/85/712818585.db2.gz CVGKIQSNIWXDBI-SECBINFHSA-N -1 1 304.354 1.223 20 0 DDADMM Cc1nc2ccc(NC(=O)CN3CCC[C@@H](C(=O)[O-])C3)cc2o1 ZINC000908709896 712839310 /nfs/dbraw/zinc/83/93/10/712839310.db2.gz MOCZGNUZPFMRDF-LLVKDONJSA-N -1 1 317.345 1.871 20 0 DDADMM CN(C)[C@H](CNC(=O)NC1(C(=O)[O-])CCC1)c1ccsc1 ZINC000908814987 712860394 /nfs/dbraw/zinc/86/03/94/712860394.db2.gz VHZWREYCBBULIB-LLVKDONJSA-N -1 1 311.407 1.657 20 0 DDADMM C[C@H](CNC(=O)N[C@H](CF)C(=O)[O-])N1CCc2ccccc2C1 ZINC000908912431 712885108 /nfs/dbraw/zinc/88/51/08/712885108.db2.gz JPVFXTMVLTZKAM-BXUZGUMPSA-N -1 1 323.368 1.155 20 0 DDADMM C[C@@H](COCC1CCCCC1)NC(=O)CCCc1nn[n-]n1 ZINC000928703622 713468352 /nfs/dbraw/zinc/46/83/52/713468352.db2.gz DRYLGBADKVUEPA-LBPRGKRZSA-N -1 1 309.414 1.624 20 0 DDADMM COCC(=O)N1CCN(C[C@H](C)C(=O)c2ccc([O-])cc2)CC1 ZINC000929278326 713581267 /nfs/dbraw/zinc/58/12/67/713581267.db2.gz VMWNJJGAAWFHKP-ZDUSSCGKSA-N -1 1 320.389 1.002 20 0 DDADMM CC(=O)N1CCN(C[C@H](C)C(=O)c2ccc([O-])cc2)[C@H](C)C1 ZINC000929840389 713703029 /nfs/dbraw/zinc/70/30/29/713703029.db2.gz BZZPKQXXLIGRMX-QWHCGFSZSA-N -1 1 304.390 1.764 20 0 DDADMM O=S(=O)([N-]CCC1CC=CC1)c1nc[nH]c1Br ZINC000921618942 713823539 /nfs/dbraw/zinc/82/35/39/713823539.db2.gz BMRCLYYYAQBROT-UHFFFAOYSA-N -1 1 320.212 1.807 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)CCS1 ZINC000921717763 713852570 /nfs/dbraw/zinc/85/25/70/713852570.db2.gz MXQXPPCJXIVWAW-JGVFFNPUSA-N -1 1 309.844 1.636 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H](c2cnn(C)c2)C(C)C)sn1 ZINC000921847527 713884578 /nfs/dbraw/zinc/88/45/78/713884578.db2.gz YLXHOBXXZOYAAC-GFCCVEGCSA-N -1 1 314.436 1.861 20 0 DDADMM O=S(=O)(CCC1CC1)NCCc1c(F)cc([O-])cc1F ZINC000921964903 713921738 /nfs/dbraw/zinc/92/17/38/713921738.db2.gz HFVQYBCARHEXJW-UHFFFAOYSA-N -1 1 305.346 1.932 20 0 DDADMM C[C@@H]1CC[C@@H](C(N)=O)[C@@H](C)N1Cc1nc(=O)c2sccc2[n-]1 ZINC000930916198 713958052 /nfs/dbraw/zinc/95/80/52/713958052.db2.gz LPWNYRUABWVSOC-OPRDCNLKSA-N -1 1 320.418 1.871 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)N2C[C@@H](C)Cn3nccc32)c1 ZINC000922202217 713989227 /nfs/dbraw/zinc/98/92/27/713989227.db2.gz MEWZCICNEFVWJE-SNVBAGLBSA-N -1 1 314.345 1.844 20 0 DDADMM C[C@@H](CCO)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932044805 714245373 /nfs/dbraw/zinc/24/53/73/714245373.db2.gz JXEVGGCQUITJOO-NSHDSACASA-N -1 1 304.350 1.718 20 0 DDADMM C[C@@H](O)C(C)(C)NC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC000932047435 714245763 /nfs/dbraw/zinc/24/57/63/714245763.db2.gz MQXSKCOXJAXNHV-SNVBAGLBSA-N -1 1 304.350 1.859 20 0 DDADMM CCc1cc(C(=O)N2CC[C@H](C(=O)[O-])C[C@H]2[C@@H]2CCCO2)n[nH]1 ZINC000923162783 714258872 /nfs/dbraw/zinc/25/88/72/714258872.db2.gz HWMPRCNWFGABGO-BPNCWPANSA-N -1 1 321.377 1.457 20 0 DDADMM Cc1cccc2oc(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)cc21 ZINC000932284127 714300429 /nfs/dbraw/zinc/30/04/29/714300429.db2.gz WBHOVHOOYKAQJQ-ZDUSSCGKSA-N -1 1 313.317 1.468 20 0 DDADMM Cn1nc2c(c1C(=O)OCCC[N-]C(=O)C(F)(F)F)CCC2 ZINC000923297314 714301890 /nfs/dbraw/zinc/30/18/90/714301890.db2.gz HIKBXYSGINAAJO-UHFFFAOYSA-N -1 1 319.283 1.134 20 0 DDADMM C[C@@H](C(=O)Nc1nccs1)N1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC000923529458 714392040 /nfs/dbraw/zinc/39/20/40/714392040.db2.gz MWOPAPKZMOLSFU-INTQDDNPSA-N -1 1 309.391 1.513 20 0 DDADMM COc1cnc([C@H]2CCCN2Cc2ncnn2C(C)C)[n-]c1=O ZINC000934271710 714782429 /nfs/dbraw/zinc/78/24/29/714782429.db2.gz GDPQKKQLZFOTNM-LLVKDONJSA-N -1 1 318.381 1.700 20 0 DDADMM COc1ccc(CN2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)nn1 ZINC000934280450 714785875 /nfs/dbraw/zinc/78/58/75/714785875.db2.gz WATXSYKYZUJGLS-LLVKDONJSA-N -1 1 317.349 1.327 20 0 DDADMM COc1cc(C(=O)[N-]c2nnc(CC(F)(F)F)s2)ncn1 ZINC000934903906 714927814 /nfs/dbraw/zinc/92/78/14/714927814.db2.gz CZNZHFZUXZOMDX-UHFFFAOYSA-N -1 1 319.268 1.694 20 0 DDADMM O=C(N=S1(=O)CCCC1)c1cc(-n2ccnc2)ccc1[O-] ZINC000925901517 714959039 /nfs/dbraw/zinc/95/90/39/714959039.db2.gz HQFLBZLENIOHRA-UHFFFAOYSA-N -1 1 305.359 1.980 20 0 DDADMM O=C(N[C@@H]1CCC(=O)N[C@H]1[C@@H]1CCCO1)c1cc(F)ccc1[O-] ZINC000926129320 715010347 /nfs/dbraw/zinc/01/03/47/715010347.db2.gz BVKXJFSWHFPJIZ-OSAQELSMSA-N -1 1 322.336 1.087 20 0 DDADMM C[C@@H]1OCCO[C@@H]1C(=O)Nc1nc(Br)ccc1[O-] ZINC000935594591 715096881 /nfs/dbraw/zinc/09/68/81/715096881.db2.gz KNXWGOCSBUJJCM-RCOVLWMOSA-N -1 1 317.139 1.292 20 0 DDADMM O=C(C[C@H]1CC[C@@H](C2CC2)O1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000935828893 715131298 /nfs/dbraw/zinc/13/12/98/715131298.db2.gz AVBFUPUQLWMSTA-OLZOCXBDSA-N -1 1 321.377 1.829 20 0 DDADMM Cn1nc(C2CC2)cc1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000935829239 715131345 /nfs/dbraw/zinc/13/13/45/715131345.db2.gz GNZGQOQQFFZIDG-UHFFFAOYSA-N -1 1 317.349 1.406 20 0 DDADMM CCC(CC)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000936693717 715232115 /nfs/dbraw/zinc/23/21/15/715232115.db2.gz VRIPPBCEFLLXTN-CYBMUJFWSA-N -1 1 319.405 1.896 20 0 DDADMM C/C=C(\C)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849012 715635606 /nfs/dbraw/zinc/63/56/06/715635606.db2.gz IYKLQPBJLYXWTG-TUJADDFYSA-N -1 1 303.362 1.474 20 0 DDADMM CS[C@@H](C)C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849837 715636212 /nfs/dbraw/zinc/63/62/12/715636212.db2.gz ZLFFGUDRFHOWKM-ZUZCIYMTSA-N -1 1 323.418 1.260 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000957815455 716065852 /nfs/dbraw/zinc/06/58/52/716065852.db2.gz IEQMRAFCIPUPOA-WHOHXGKFSA-N -1 1 303.362 1.020 20 0 DDADMM C[C@@H]1CN(C(=O)C2CCC2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945089579 718391943 /nfs/dbraw/zinc/39/19/43/718391943.db2.gz MAASFEIQISYYLD-YPMHNXCESA-N -1 1 317.389 1.554 20 0 DDADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1ccco1 ZINC000966331503 718517980 /nfs/dbraw/zinc/51/79/80/718517980.db2.gz QQRDEBHBEJRFNI-MNOVXSKESA-N -1 1 315.329 1.271 20 0 DDADMM CC(=O)N[C@H]1CCC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000946068561 718660299 /nfs/dbraw/zinc/66/02/99/718660299.db2.gz KLGXNEXWNXNCBW-YPMHNXCESA-N -1 1 318.377 1.094 20 0 DDADMM CC(C)C(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946362166 718761679 /nfs/dbraw/zinc/76/16/79/718761679.db2.gz MYIAZTSJPNWGLG-CHWSQXEVSA-N -1 1 319.405 1.848 20 0 DDADMM CCN(C(=O)[C@@H]1C[C@H]1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967759215 719007715 /nfs/dbraw/zinc/00/77/15/719007715.db2.gz VSHIMEIHYNIBMH-ZYHUDNBSSA-N -1 1 303.362 1.116 20 0 DDADMM C[C@H]1C[C@H]1C(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000948708646 719661089 /nfs/dbraw/zinc/66/10/89/719661089.db2.gz HJUMGZSWTGNGOT-WCQYABFASA-N -1 1 317.389 1.412 20 0 DDADMM O=C([C@H]1CCCC2(CC2)C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968663646 719723207 /nfs/dbraw/zinc/72/32/07/719723207.db2.gz ULNROXQULPOPMD-NWDGAFQWSA-N -1 1 319.409 1.171 20 0 DDADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1[C@@H]2CCC[C@@H]21 ZINC000949272500 719987603 /nfs/dbraw/zinc/98/76/03/719987603.db2.gz REQNVTZEAVVQSG-CIQGVGRVSA-N -1 1 315.373 1.164 20 0 DDADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(Cc2ccon2)C1 ZINC000969544073 720182455 /nfs/dbraw/zinc/18/24/55/720182455.db2.gz UQIGGDWTZYJFAA-SNVBAGLBSA-N -1 1 302.334 1.026 20 0 DDADMM CC1(C)CCC[C@H]1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969630125 720223623 /nfs/dbraw/zinc/22/36/23/720223623.db2.gz KXAAVYKOFZKYCC-MNOVXSKESA-N -1 1 307.398 1.027 20 0 DDADMM CCN(C(=O)c1ncccc1[O-])C1CN(CCC(F)(F)F)C1 ZINC000949952590 720428194 /nfs/dbraw/zinc/42/81/94/720428194.db2.gz ULGSMABLFRYANV-UHFFFAOYSA-N -1 1 317.311 1.886 20 0 DDADMM CS[C@@H](C)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950118416 720497647 /nfs/dbraw/zinc/49/76/47/720497647.db2.gz QMKQGYKDYJWPDO-WDEREUQCSA-N -1 1 323.418 1.260 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CCC1)c1ccc(Cl)nc1F ZINC000692840047 738417033 /nfs/dbraw/zinc/41/70/33/738417033.db2.gz NBFAOTVRJICRRU-QMMMGPOBSA-N -1 1 308.762 1.313 20 0 DDADMM COC(=O)c1c[n-]nc1S(=O)(=O)Oc1cccc(C)c1F ZINC001211494542 732400118 /nfs/dbraw/zinc/40/01/18/732400118.db2.gz UEKAHHKNIQKLLS-UHFFFAOYSA-N -1 1 314.294 1.412 20 0 DDADMM O=C(CC(F)(F)F)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010589766 732660250 /nfs/dbraw/zinc/66/02/50/732660250.db2.gz VDDDZNKINFWUMH-UHFFFAOYSA-N -1 1 317.267 1.024 20 0 DDADMM O=C(NC[C@@H]1CC[N@H+]1CCc1cccs1)c1ncccc1[O-] ZINC001038185166 732945991 /nfs/dbraw/zinc/94/59/91/732945991.db2.gz VWCOCMZKLJLQIX-LBPRGKRZSA-N -1 1 317.414 1.896 20 0 DDADMM Cc1nc(CN2CCC[C@@H]2CNC(=O)c2ncccc2[O-])co1 ZINC001027844432 738728880 /nfs/dbraw/zinc/72/88/80/738728880.db2.gz RHBLEYBQMIQWMO-CYBMUJFWSA-N -1 1 316.361 1.478 20 0 DDADMM C[C@@]1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C=CCC1 ZINC001011036477 734379283 /nfs/dbraw/zinc/37/92/83/734379283.db2.gz MVTBSAOJOKJEEJ-QGZVFWFLSA-N -1 1 315.373 1.428 20 0 DDADMM CC[C@]1(C)C[C@@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167577810 734754072 /nfs/dbraw/zinc/75/40/72/734754072.db2.gz HNIFOUDNWKYRAX-IAQYHMDHSA-N -1 1 321.425 1.323 20 0 DDADMM COc1ccc(NC(=O)NCC[N-]C(=O)C(F)(F)F)cc1 ZINC000167881215 735667364 /nfs/dbraw/zinc/66/73/64/735667364.db2.gz AENWWXAUWWRYQJ-UHFFFAOYSA-N -1 1 305.256 1.495 20 0 DDADMM Cn1c(Cc2nnn[n-]2)nnc1N1CC2CC1(c1ccccc1)C2 ZINC001121408481 782495644 /nfs/dbraw/zinc/49/56/44/782495644.db2.gz JRAGGJUZLKZIIA-UHFFFAOYSA-N -1 1 322.376 1.045 20 0 DDADMM Cn1c(Cc2nn[n-]n2)nnc1N1CC2CC1(c1ccccc1)C2 ZINC001121408481 782495649 /nfs/dbraw/zinc/49/56/49/782495649.db2.gz JRAGGJUZLKZIIA-UHFFFAOYSA-N -1 1 322.376 1.045 20 0 DDADMM Cc1ccc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)o1 ZINC001024859467 736133638 /nfs/dbraw/zinc/13/36/38/736133638.db2.gz JVVAKUGLBWSXPE-LLVKDONJSA-N -1 1 319.365 1.196 20 0 DDADMM CCC1(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001025070289 736277370 /nfs/dbraw/zinc/27/73/70/736277370.db2.gz XEKASMCUVBOCSR-NSHDSACASA-N -1 1 307.398 1.171 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104748461 736892964 /nfs/dbraw/zinc/89/29/64/736892964.db2.gz REEAQGHRNLIQDM-JHJVBQTASA-N -1 1 323.441 1.377 20 0 DDADMM C[C@H]1CC[C@@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000974055139 737349344 /nfs/dbraw/zinc/34/93/44/737349344.db2.gz BPKSZIVWZRZSBL-QNWHQSFQSA-N -1 1 317.389 1.600 20 0 DDADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2CC[C@@H](F)C2)C1)c1ncccc1[O-] ZINC000974055871 737352867 /nfs/dbraw/zinc/35/28/67/737352867.db2.gz BBIFREKEWJDRKA-WHOHXGKFSA-N -1 1 321.352 1.302 20 0 DDADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(Cl)nc2F)CCO1 ZINC000692897452 738966766 /nfs/dbraw/zinc/96/67/66/738966766.db2.gz ZNGBNQGHWUKALI-JGVFFNPUSA-N -1 1 308.762 1.720 20 0 DDADMM CCOC(=O)[C@@H](C)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692805059 737945570 /nfs/dbraw/zinc/94/55/70/737945570.db2.gz BZTLSGTYRZHRNQ-ZCFIWIBFSA-N -1 1 310.734 1.104 20 0 DDADMM CCCc1nc(CNCCNC(=O)c2ncccc2[O-])cs1 ZINC001126125269 738345902 /nfs/dbraw/zinc/34/59/02/738345902.db2.gz PSXPFCLCMCOCQX-UHFFFAOYSA-N -1 1 320.418 1.716 20 0 DDADMM COC(=O)N1CCN(C(=O)c2ccc3cccnc3c2[O-])CC1 ZINC001149315421 740436365 /nfs/dbraw/zinc/43/63/65/740436365.db2.gz ZMXQERBHYWCSMI-UHFFFAOYSA-N -1 1 315.329 1.465 20 0 DDADMM CC(C)(C)C(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059517001 741090128 /nfs/dbraw/zinc/09/01/28/741090128.db2.gz ZLHJJWHPVSMHNO-LBPRGKRZSA-N -1 1 319.405 1.802 20 0 DDADMM CC1(C)C[C@@H]1C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088298130 741347989 /nfs/dbraw/zinc/34/79/89/741347989.db2.gz DNPMUQUQHPVRKZ-NWDGAFQWSA-N -1 1 321.425 1.417 20 0 DDADMM Cc1nc(C(=O)N[C@@H](C)CCNC(=O)c2ncccc2[O-])co1 ZINC001076245003 742624538 /nfs/dbraw/zinc/62/45/38/742624538.db2.gz JVWKUAHGELOQKZ-VIFPVBQESA-N -1 1 318.333 1.022 20 0 DDADMM CC(C)C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002188267 742935690 /nfs/dbraw/zinc/93/56/90/742935690.db2.gz HQFKWLCSEVOIKX-UTUOFQBUSA-N -1 1 303.362 1.305 20 0 DDADMM O=C(N[C@@H]1CCC[C@H](Nc2ncccn2)C1)c1ncccc1[O-] ZINC001061076184 743072018 /nfs/dbraw/zinc/07/20/18/743072018.db2.gz OOOHXFUOOVTDBO-NEPJUHHUSA-N -1 1 313.361 1.730 20 0 DDADMM Cc1noc([C@@H](NC(=O)C(=O)c2ccc([O-])cc2)C(C)C)n1 ZINC001181823738 743354178 /nfs/dbraw/zinc/35/41/78/743354178.db2.gz OMCYBZMWIXQECO-LBPRGKRZSA-N -1 1 303.318 1.780 20 0 DDADMM Cc1ccc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)s1 ZINC000998424245 751826219 /nfs/dbraw/zinc/82/62/19/751826219.db2.gz UJYLLPCIKQCKPJ-SNVBAGLBSA-N -1 1 321.406 1.275 20 0 DDADMM C[C@H](CC(=O)c1ccccc1)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001182166397 743505191 /nfs/dbraw/zinc/50/51/91/743505191.db2.gz MKMNLVMRBSLUJA-MRVPVSSYSA-N -1 1 316.317 1.318 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@@H]3C[C@@H]3c3ccccc3F)c1-2 ZINC001182280066 743551202 /nfs/dbraw/zinc/55/12/02/743551202.db2.gz LBXUVZOEMCPTNM-GHMZBOCLSA-N -1 1 311.320 1.916 20 0 DDADMM O=C(CCOCc1ccccc1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001183094826 743778984 /nfs/dbraw/zinc/77/89/84/743778984.db2.gz KPSWQXVJVMJAGW-UHFFFAOYSA-N -1 1 313.317 1.604 20 0 DDADMM CC(=O)N1CCCC[C@@H]1CC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001184121797 743970054 /nfs/dbraw/zinc/97/00/54/743970054.db2.gz DFQDCGAOCSOZMB-MRVPVSSYSA-N -1 1 323.309 1.015 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc([C@H]4C[C@@H]4C)nc3)c1-2 ZINC001185115291 744163457 /nfs/dbraw/zinc/16/34/57/744163457.db2.gz LCXMOKZXHKASPM-XVKPBYJWSA-N -1 1 309.333 1.201 20 0 DDADMM Cc1ccc(CNC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cc1 ZINC001185370061 744217780 /nfs/dbraw/zinc/21/77/80/744217780.db2.gz XZACTKAEEORRHI-UHFFFAOYSA-N -1 1 303.278 1.916 20 0 DDADMM O=C(NCc1cccc(F)c1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001185856158 744300841 /nfs/dbraw/zinc/30/08/41/744300841.db2.gz QJOPRPXZKPFCHN-UHFFFAOYSA-N -1 1 302.269 1.519 20 0 DDADMM COC(=O)[C@](C)(NC(=O)c1ccc([O-])c(F)c1)C(F)(F)F ZINC001186243136 744362785 /nfs/dbraw/zinc/36/27/85/744362785.db2.gz YMEUMJVWWVFVKP-NSHDSACASA-N -1 1 309.215 1.755 20 0 DDADMM CCOC(=O)c1ncncc1NC(=O)c1ccc([O-])c(F)c1 ZINC001186208897 744364691 /nfs/dbraw/zinc/36/46/91/744364691.db2.gz LDZVZBJOZOMTGI-UHFFFAOYSA-N -1 1 305.265 1.750 20 0 DDADMM O=C(Nc1cncc(N2CCOCC2)n1)c1ccc([O-])cc1F ZINC001186311603 744380453 /nfs/dbraw/zinc/38/04/53/744380453.db2.gz ZVOPVEPPRMIOBN-UHFFFAOYSA-N -1 1 318.308 1.410 20 0 DDADMM O=S(=O)([N-][C@@H]1CC1(F)F)c1cncc(Br)c1 ZINC001186420372 744397666 /nfs/dbraw/zinc/39/76/66/744397666.db2.gz SJHPKQOZWIGOFX-SSDOTTSWSA-N -1 1 313.123 1.530 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1ccc(C(C)=O)c(OC)c1 ZINC001187286128 744534522 /nfs/dbraw/zinc/53/45/22/744534522.db2.gz BYXDKTJJEBBBPK-UHFFFAOYSA-N -1 1 301.364 1.676 20 0 DDADMM CCOCCS(=O)(=O)[N-]c1cnc2c(C3CC3)cnn2c1 ZINC001187250240 744536242 /nfs/dbraw/zinc/53/62/42/744536242.db2.gz MKWMPKHEJHIFLM-UHFFFAOYSA-N -1 1 310.379 1.385 20 0 DDADMM COc1ccc2c(c1)[C@@H]([N-]S(=O)(=O)c1cnoc1C)CCO2 ZINC001187412481 744558432 /nfs/dbraw/zinc/55/84/32/744558432.db2.gz ZVHITODSTWYFQL-LBPRGKRZSA-N -1 1 324.358 1.794 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(OCCc2ccccc2)cc1 ZINC001187545167 744577982 /nfs/dbraw/zinc/57/79/82/744577982.db2.gz XXRYHVUJQBCJDD-UHFFFAOYSA-N -1 1 323.356 1.751 20 0 DDADMM Cc1cc(C[C@@H](C)NC(=O)c2n[n-]nc2C(F)(F)F)on1 ZINC001187756884 744605626 /nfs/dbraw/zinc/60/56/26/744605626.db2.gz HTTJPDWGZBHPTR-RXMQYKEDSA-N -1 1 303.244 1.481 20 0 DDADMM O=C(Nc1nc[n-]c(=O)c1Br)c1[nH]ncc1Cl ZINC001187832115 744624656 /nfs/dbraw/zinc/62/46/56/744624656.db2.gz PFUVMJFPACDRME-UHFFFAOYSA-N -1 1 318.518 1.574 20 0 DDADMM O=C(C=CSc1ccccc1)NCc1nc([O-])cc(=O)[nH]1 ZINC001168437873 744761272 /nfs/dbraw/zinc/76/12/72/744761272.db2.gz QZYIIOMWXCZWNC-SREVYHEPSA-N -1 1 303.343 1.810 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC000992300407 744768368 /nfs/dbraw/zinc/76/83/68/744768368.db2.gz VDQWWEWJNOIAAJ-XKGSQUFQSA-N -1 1 303.362 1.068 20 0 DDADMM COc1ccc(-c2noc(C)c2C(=O)NCc2nn[n-]n2)cc1 ZINC001189792043 744983572 /nfs/dbraw/zinc/98/35/72/744983572.db2.gz VYCCXOMTRYTODI-UHFFFAOYSA-N -1 1 314.305 1.102 20 0 DDADMM COC(=O)c1c(F)ccc(NS(=O)(=O)c2ncc[n-]2)c1F ZINC001190728276 745308943 /nfs/dbraw/zinc/30/89/43/745308943.db2.gz MLZMGDPYJIGRKF-UHFFFAOYSA-N -1 1 317.273 1.275 20 0 DDADMM CC(=O)c1cccc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)n1 ZINC001190742969 745315319 /nfs/dbraw/zinc/31/53/19/745315319.db2.gz WSKLFYPXBBKMMO-UHFFFAOYSA-N -1 1 303.234 1.136 20 0 DDADMM O=S(=O)([N-]c1cccc(-n2cncn2)c1)c1ccncc1 ZINC001190893084 745369914 /nfs/dbraw/zinc/36/99/14/745369914.db2.gz CIUXIEGAGBJCMS-UHFFFAOYSA-N -1 1 301.331 1.463 20 0 DDADMM COC(=O)c1cccc(F)c1[N-]S(=O)(=O)c1ccncc1 ZINC001190893055 745370181 /nfs/dbraw/zinc/37/01/81/745370181.db2.gz BKIRSDYXSVGZQQ-UHFFFAOYSA-N -1 1 310.306 1.808 20 0 DDADMM O=S(=O)(CC1CCCC1)[N-]c1cccnc1OC1COC1 ZINC001191488370 745520551 /nfs/dbraw/zinc/52/05/51/745520551.db2.gz BLWGDGQDBCHOMX-UHFFFAOYSA-N -1 1 312.391 1.791 20 0 DDADMM CN(C(=O)CC[N-]S(=O)(=O)C(Cl)(Cl)Cl)C1CC1 ZINC001192041353 745680361 /nfs/dbraw/zinc/68/03/61/745680361.db2.gz UTDGIDLUPYBTFS-UHFFFAOYSA-N -1 1 323.629 1.244 20 0 DDADMM CN1C(=O)CC[C@H]2CN(C(=O)c3c(F)ccc([O-])c3F)CC[C@@H]21 ZINC001192540220 745826797 /nfs/dbraw/zinc/82/67/97/745826797.db2.gz KMHMUKJHIMCHCB-ONGXEEELSA-N -1 1 324.327 1.753 20 0 DDADMM O=C(NCc1ccc2[nH]nnc2c1)c1cc(F)c([O-])cc1F ZINC001192877913 745911445 /nfs/dbraw/zinc/91/14/45/745911445.db2.gz XMPZLTOSUVUUMM-UHFFFAOYSA-N -1 1 304.256 1.872 20 0 DDADMM O=C(NCc1ccc2nn[nH]c2c1)c1cc(F)c([O-])cc1F ZINC001192877913 745911447 /nfs/dbraw/zinc/91/14/47/745911447.db2.gz XMPZLTOSUVUUMM-UHFFFAOYSA-N -1 1 304.256 1.872 20 0 DDADMM O=c1cc([N-]S(=O)(=O)C[C@H]2CCCO2)c2ccccc2[nH]1 ZINC001193205953 746013275 /nfs/dbraw/zinc/01/32/75/746013275.db2.gz OKBRSQNZZWDGAJ-SNVBAGLBSA-N -1 1 308.359 1.861 20 0 DDADMM COc1ccnc(C(=O)Nc2cc3n(n2)CC(C)(C)CO3)c1[O-] ZINC001193525140 746134158 /nfs/dbraw/zinc/13/41/58/746134158.db2.gz VVQAOWKAITWMSL-UHFFFAOYSA-N -1 1 318.333 1.663 20 0 DDADMM COc1cc([N-]C(=O)c2ncn(-c3cccc(F)c3)n2)no1 ZINC001193749519 746195607 /nfs/dbraw/zinc/19/56/07/746195607.db2.gz OSTHUGPRXXGIOR-UHFFFAOYSA-N -1 1 303.253 1.655 20 0 DDADMM O=C(NCc1nn[n-]n1)c1ccc(OCC2CC2)c(Cl)c1 ZINC001194209125 746307652 /nfs/dbraw/zinc/30/76/52/746307652.db2.gz YUTITEXPLGBGCP-UHFFFAOYSA-N -1 1 307.741 1.572 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2cccc3oc(C)nc32)[n-]n1 ZINC001194289076 746344768 /nfs/dbraw/zinc/34/47/68/746344768.db2.gz VVBYMLNLZJOQPW-UHFFFAOYSA-N -1 1 300.274 1.898 20 0 DDADMM CC(=O)N1CCC[C@@H](N(C)C(=O)c2cc([O-])cnc2Cl)C1 ZINC001195309885 746572875 /nfs/dbraw/zinc/57/28/75/746572875.db2.gz WLLPKXTZROWUDB-SNVBAGLBSA-N -1 1 311.769 1.524 20 0 DDADMM O=C(CNC(=S)NC(=O)c1ccccc1)c1ccc([O-])cc1 ZINC001195448171 746603944 /nfs/dbraw/zinc/60/39/44/746603944.db2.gz ZCCLEXOEOLGJRU-UHFFFAOYSA-N -1 1 314.366 1.879 20 0 DDADMM CCOC(=O)NC(=S)[N-]c1ccc(N2CCOCC2)nc1 ZINC001195455182 746605146 /nfs/dbraw/zinc/60/51/46/746605146.db2.gz SITSIHYTMKBWSG-UHFFFAOYSA-N -1 1 310.379 1.361 20 0 DDADMM Cc1[nH]nc2ncc(NC(=O)c3c[nH]c(=S)[n-]c3=O)cc12 ZINC001196014595 746757010 /nfs/dbraw/zinc/75/70/10/746757010.db2.gz SQPBXHZEVDQBOF-UHFFFAOYSA-N -1 1 302.319 1.303 20 0 DDADMM COc1ccccc1NC(=S)NCCCC[P@](=O)([O-])O ZINC001196269025 746812376 /nfs/dbraw/zinc/81/23/76/746812376.db2.gz BAKSAJJXYUHZID-UHFFFAOYSA-N -1 1 318.335 1.940 20 0 DDADMM O=c1nc2nc[nH]c2c(NC(=S)NCc2ccc(F)cc2)[n-]1 ZINC001196512232 746881496 /nfs/dbraw/zinc/88/14/96/746881496.db2.gz XGLKPIQIESQMCM-UHFFFAOYSA-N -1 1 318.337 1.684 20 0 DDADMM COc1ccc(F)c(S(=O)(=O)[N-][C@H]2CCOC[C@@H]2F)c1 ZINC001196789372 746962785 /nfs/dbraw/zinc/96/27/85/746962785.db2.gz TYUFFPOKKDEMEL-QWRGUYRKSA-N -1 1 307.318 1.240 20 0 DDADMM CC(=O)N1CC[C@H]([C@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003153993 747017635 /nfs/dbraw/zinc/01/76/35/747017635.db2.gz BQUWTCSGQQZRHT-KBPBESRZSA-N -1 1 317.389 1.508 20 0 DDADMM COC(=O)CCCCS(=O)(=O)[N-]c1cccc(O)c1OC ZINC001196964482 747022623 /nfs/dbraw/zinc/02/26/23/747022623.db2.gz AUUDHHOJUDISGZ-UHFFFAOYSA-N -1 1 317.363 1.486 20 0 DDADMM Cc1cccc2c1[C@H]([N-]S(=O)(=O)Cc1cccnc1)C(=O)N2 ZINC001197471705 747168111 /nfs/dbraw/zinc/16/81/11/747168111.db2.gz HALPARLROYMQKH-AWEZNQCLSA-N -1 1 317.370 1.503 20 0 DDADMM [O-]c1c(F)cc(NC(=S)NCCN2CCOCC2)cc1F ZINC001200128339 748142194 /nfs/dbraw/zinc/14/21/94/748142194.db2.gz XRPNAVXIXGRQEJ-UHFFFAOYSA-N -1 1 317.361 1.289 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998818080 752255921 /nfs/dbraw/zinc/25/59/21/752255921.db2.gz PPLRPQMLIGXNSI-NEPJUHHUSA-N -1 1 303.362 1.020 20 0 DDADMM CC(=O)N1CC[C@@]2(CNC(=O)c3ncccc3[O-])CCC[C@@H]12 ZINC000995275381 748492619 /nfs/dbraw/zinc/49/26/19/748492619.db2.gz BOTKAAACHLTZGY-CZUORRHYSA-N -1 1 303.362 1.308 20 0 DDADMM COC(=O)CCCS(=O)(=O)[N-]c1cscc1C(=O)OC ZINC001201905552 748636179 /nfs/dbraw/zinc/63/61/79/748636179.db2.gz WHIILPMCNXNFFC-UHFFFAOYSA-N -1 1 321.376 1.230 20 0 DDADMM CCC(CC)C(=O)N1CCCC[C@@H]1[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001004734153 748693561 /nfs/dbraw/zinc/69/35/61/748693561.db2.gz RXLKMSCIGLEYNE-WCQYABFASA-N -1 1 323.441 1.806 20 0 DDADMM COc1cccc(NC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)c1 ZINC001202268445 748724634 /nfs/dbraw/zinc/72/46/34/748724634.db2.gz DRBRLEYKYCUQAW-UHFFFAOYSA-N -1 1 305.250 1.938 20 0 DDADMM C[C@@H]1CCN(C(=O)C(F)F)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004766542 748765008 /nfs/dbraw/zinc/76/50/08/748765008.db2.gz HRGRKZDZVAXTQL-RKDXNWHRSA-N -1 1 313.304 1.019 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)CCC1CC1 ZINC001004789284 748803703 /nfs/dbraw/zinc/80/37/03/748803703.db2.gz ZOLGZCGZLMPFSY-AAEUAGOBSA-N -1 1 321.425 1.560 20 0 DDADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)CC1CCC1 ZINC001004800872 748808080 /nfs/dbraw/zinc/80/80/80/748808080.db2.gz PTNVJTOCYLZRRA-WCQYABFASA-N -1 1 321.425 1.560 20 0 DDADMM CCC(CC)(CC)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000559942 761936597 /nfs/dbraw/zinc/93/65/97/761936597.db2.gz RUFUXWHRKLNGTJ-GFCCVEGCSA-N -1 1 323.441 1.807 20 0 DDADMM C[C@@H](C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)C1CCC1 ZINC000995965245 749304037 /nfs/dbraw/zinc/30/40/37/749304037.db2.gz IIWIFTCKDBMWER-PWSUYJOCSA-N -1 1 321.425 1.273 20 0 DDADMM Cc1conc1CNC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001034916221 751051501 /nfs/dbraw/zinc/05/15/01/751051501.db2.gz UFHJKECRRPEVBD-GFCCVEGCSA-N -1 1 316.361 1.478 20 0 DDADMM CCC(CC)C(=O)N1CCC[C@@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036511699 752498768 /nfs/dbraw/zinc/49/87/68/752498768.db2.gz UWJQZUPVCSCOEA-DGCLKSJQSA-N -1 1 323.441 1.663 20 0 DDADMM CCc1occc1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999068633 752525474 /nfs/dbraw/zinc/52/54/74/752525474.db2.gz YSJIJCRIXSCHDH-SNVBAGLBSA-N -1 1 319.365 1.060 20 0 DDADMM CC(C)=CC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062448736 753024007 /nfs/dbraw/zinc/02/40/07/753024007.db2.gz NYZGBDJRFPHNSO-UHFFFAOYSA-N -1 1 303.362 1.522 20 0 DDADMM CCC[C@H](C)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062719635 753491961 /nfs/dbraw/zinc/49/19/61/753491961.db2.gz JQJIQZFBRZXSBN-LBPRGKRZSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CC1CC1 ZINC001011376041 754483368 /nfs/dbraw/zinc/48/33/68/754483368.db2.gz XRFOCGWGAKNGRS-JQWIXIFHSA-N -1 1 303.362 1.307 20 0 DDADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1CC=CC1 ZINC001011594535 754620473 /nfs/dbraw/zinc/62/04/73/754620473.db2.gz WLBKUWUFGYHQIK-WCQYABFASA-N -1 1 315.373 1.473 20 0 DDADMM C[C@H]1[C@@H](NC(=O)c2ccoc2)CCN1C(=O)c1ncccc1[O-] ZINC001011674492 754669535 /nfs/dbraw/zinc/66/95/35/754669535.db2.gz USIKANUXIRSBNI-JQWIXIFHSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ccoc1 ZINC001012291902 754979086 /nfs/dbraw/zinc/97/90/86/754979086.db2.gz FWGUSNOQUPNGFM-CMPLNLGQSA-N -1 1 315.329 1.413 20 0 DDADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)/C=C/C1CC1 ZINC001012431355 755067228 /nfs/dbraw/zinc/06/72/28/755067228.db2.gz XJFRDQIRUTWPKP-VKYBYORPSA-N -1 1 315.373 1.473 20 0 DDADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])C1CCC1 ZINC001000957342 762412090 /nfs/dbraw/zinc/41/20/90/762412090.db2.gz NIVXDHLOZUSQEG-UPJWGTAASA-N -1 1 315.373 1.449 20 0 DDADMM CC(=O)NC[C@H]1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001015443480 756304689 /nfs/dbraw/zinc/30/46/89/756304689.db2.gz IYYLNZXKOVMRFQ-JQWIXIFHSA-N -1 1 318.377 1.094 20 0 DDADMM CN(C(=O)c1ccc[nH]1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016239895 756855698 /nfs/dbraw/zinc/85/56/98/756855698.db2.gz DPBRQOOVGQDYER-UHFFFAOYSA-N -1 1 314.345 1.148 20 0 DDADMM Cn1ncc(Br)c(NCc2ncccc2[O-])c1=O ZINC001169733482 762641529 /nfs/dbraw/zinc/64/15/29/762641529.db2.gz VOIMHVRDVOJOBU-UHFFFAOYSA-N -1 1 311.139 1.256 20 0 DDADMM CCCC(=O)N1CC[C@@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001018484572 758896719 /nfs/dbraw/zinc/89/67/19/758896719.db2.gz YQYQWNCHBZCJJX-CHWSQXEVSA-N -1 1 319.405 1.802 20 0 DDADMM CCCCc1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001131031928 767851113 /nfs/dbraw/zinc/85/11/13/767851113.db2.gz CQVQWKMTKCKHSF-UHFFFAOYSA-N -1 1 317.393 1.373 20 0 DDADMM C[C@@H](C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)C1CCC1 ZINC001085241412 759191429 /nfs/dbraw/zinc/19/14/29/759191429.db2.gz WHAHIYOUFDLAJV-RAIGVLPGSA-N -1 1 321.425 1.464 20 0 DDADMM C/C=C(/C)C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962185 759454484 /nfs/dbraw/zinc/45/44/84/759454484.db2.gz IYVNJIKRMBGCIA-BASWHVEKSA-N -1 1 315.373 1.428 20 0 DDADMM Cc1nc(CN2CC[C@H]2CNC(=O)c2ncccc2[O-])c(C)o1 ZINC001038194242 760908652 /nfs/dbraw/zinc/90/86/52/760908652.db2.gz PGMDNNPXRJPFKK-LBPRGKRZSA-N -1 1 316.361 1.396 20 0 DDADMM Cc1cc(N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C)ncn1 ZINC001050205874 763319902 /nfs/dbraw/zinc/31/99/02/763319902.db2.gz COQIHYNCLWBVII-NEPJUHHUSA-N -1 1 313.361 1.283 20 0 DDADMM O=C(N[C@H](CNc1nccnc1F)C1CC1)c1ncccc1[O-] ZINC001109821246 764104236 /nfs/dbraw/zinc/10/42/36/764104236.db2.gz ADCBOYVMISSLQJ-SNVBAGLBSA-N -1 1 317.324 1.337 20 0 DDADMM CCOC1CC(CN2CC(N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC001042646328 764310276 /nfs/dbraw/zinc/31/02/76/764310276.db2.gz PBUCKNYZDYQTPF-UHFFFAOYSA-N -1 1 319.405 1.359 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)c1ncc(Cl)cn1 ZINC001113575549 765897431 /nfs/dbraw/zinc/89/74/31/765897431.db2.gz XMHDMDXJWDACRP-VIFPVBQESA-N -1 1 321.768 1.485 20 0 DDADMM Cc1nc(Nc2[n-]c(=O)nc3nc[nH]c32)cc(-c2cccnc2)n1 ZINC001170226963 766201708 /nfs/dbraw/zinc/20/17/08/766201708.db2.gz DRDRDXPCCNJACR-UHFFFAOYSA-N -1 1 320.316 1.963 20 0 DDADMM C[C@H](CNC(=O)c1ccc2n[n-]c(=S)n2c1)c1ccncc1 ZINC001170336462 766257782 /nfs/dbraw/zinc/25/77/82/766257782.db2.gz ZHMVCXMYFXZQEB-SNVBAGLBSA-N -1 1 313.386 1.947 20 0 DDADMM O=C(NC1CC(CNc2cc(F)ncn2)C1)c1ncccc1[O-] ZINC001067843516 766747729 /nfs/dbraw/zinc/74/77/29/766747729.db2.gz NADBPCSHGWYLHF-UHFFFAOYSA-N -1 1 317.324 1.337 20 0 DDADMM Cc1nsc(NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001068476079 767353944 /nfs/dbraw/zinc/35/39/44/767353944.db2.gz OUHVOZUJXBDVGO-MGCOHNPYSA-N -1 1 319.390 1.568 20 0 DDADMM Cc1ccnc(N[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)n1 ZINC001068822014 767639188 /nfs/dbraw/zinc/63/91/88/767639188.db2.gz HJPUIUIIDLQPDR-NWDGAFQWSA-N -1 1 313.361 1.601 20 0 DDADMM CCCCCC(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131825007 768391761 /nfs/dbraw/zinc/39/17/61/768391761.db2.gz FUVJNEFGVMUFBR-OLZOCXBDSA-N -1 1 323.441 1.158 20 0 DDADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CCC1CC1 ZINC001071952157 770335697 /nfs/dbraw/zinc/33/56/97/770335697.db2.gz ISJOGFHHTMXUOK-WCQYABFASA-N -1 1 317.389 1.554 20 0 DDADMM CSc1ncc(C(=O)NCCSC(F)(F)F)c(=O)[n-]1 ZINC001136259903 771924280 /nfs/dbraw/zinc/92/42/80/771924280.db2.gz PCIPBOZCDAHHDT-UHFFFAOYSA-N -1 1 313.326 1.887 20 0 DDADMM Cc1cc(NC(=O)CCc2nn[n-]n2)n(Cc2ccccc2)n1 ZINC001136351989 771944288 /nfs/dbraw/zinc/94/42/88/771944288.db2.gz IMUOEYIAZKAUTN-UHFFFAOYSA-N -1 1 311.349 1.324 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC000585132960 771965257 /nfs/dbraw/zinc/96/52/57/771965257.db2.gz JYOCRRIKQJIFPT-SNVBAGLBSA-N -1 1 323.397 1.532 20 0 DDADMM O=C(c1cc2ccncc2s1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001136656091 772070080 /nfs/dbraw/zinc/07/00/80/772070080.db2.gz SOGLOQMJQNMKSO-SECBINFHSA-N -1 1 316.346 1.023 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cc(-c2cnc3[nH]ccc3c2)ccn1 ZINC001136946517 772219813 /nfs/dbraw/zinc/21/98/13/772219813.db2.gz DHCSWCWYOADULR-UHFFFAOYSA-N -1 1 306.289 1.342 20 0 DDADMM O=C(NCc1nn[n-]n1)c1nc(Br)sc1Cl ZINC001143974821 772365504 /nfs/dbraw/zinc/36/55/04/772365504.db2.gz ZWCDIGDLDRRZNV-UHFFFAOYSA-N -1 1 323.563 1.002 20 0 DDADMM COC(=O)c1cn2c(n1)CN(Cc1cc(F)c([O-])cc1F)CC2 ZINC001144659346 772592021 /nfs/dbraw/zinc/59/20/21/772592021.db2.gz FAZOBZBSHGLLTC-UHFFFAOYSA-N -1 1 323.299 1.669 20 0 DDADMM Cc1ccncc1CC(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001147000326 772997827 /nfs/dbraw/zinc/99/78/27/772997827.db2.gz FMQBADFXDSDGOJ-UHFFFAOYSA-N -1 1 324.344 1.663 20 0 DDADMM Cc1ncc(CO)c(C(=O)NC[C@H]2Cc3ccccc3O2)c1[O-] ZINC001147842775 773266868 /nfs/dbraw/zinc/26/68/68/773266868.db2.gz MQVZBXOCHPIJKN-CYBMUJFWSA-N -1 1 314.341 1.321 20 0 DDADMM CC(=O)[C@@H]1CCN(C(=O)c2ccc(-n3[n-]c(C)cc3=O)cc2)C1 ZINC001148333118 773438313 /nfs/dbraw/zinc/43/83/13/773438313.db2.gz NTAJJODGFNNXDJ-CQSZACIVSA-N -1 1 313.357 1.850 20 0 DDADMM NC(=O)c1ccc(CNC(=O)c2ncc3ccccc3c2[O-])o1 ZINC001148898852 773629215 /nfs/dbraw/zinc/62/92/15/773629215.db2.gz LKBKTHSPCQOMKH-UHFFFAOYSA-N -1 1 311.297 1.562 20 0 DDADMM CCC(CC)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101745623 777466133 /nfs/dbraw/zinc/46/61/33/777466133.db2.gz WABNLDCVUUNANA-ZYHUDNBSSA-N -1 1 309.414 1.131 20 0 DDADMM CCOC(=O)c1nc[n-]c1-c1nc(-c2cccc(OC)n2)no1 ZINC001212768258 777707856 /nfs/dbraw/zinc/70/78/56/777707856.db2.gz WMVASSDWQNQFKB-UHFFFAOYSA-N -1 1 315.289 1.707 20 0 DDADMM Nc1cncc(S(=O)(=O)[N-]c2ccc(-n3cccn3)cc2)c1 ZINC001175369622 777764581 /nfs/dbraw/zinc/76/45/81/777764581.db2.gz AJHSIEAYHQWJJZ-UHFFFAOYSA-N -1 1 315.358 1.650 20 0 DDADMM COc1ccncc1Nc1cc(S(=O)(=O)C(N)=O)ccc1[O-] ZINC001176124965 778054720 /nfs/dbraw/zinc/05/47/20/778054720.db2.gz UYFANOQPJDLFIY-UHFFFAOYSA-N -1 1 323.330 1.806 20 0 DDADMM CN(C)c1nc(Nc2cnc(N3CCCCC3)nc2)cc(=O)[n-]1 ZINC001176504545 778168168 /nfs/dbraw/zinc/16/81/68/778168168.db2.gz FEMVSEQZZNUBCD-UHFFFAOYSA-N -1 1 315.381 1.772 20 0 DDADMM CC(=O)N(C)CCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001180227896 779662359 /nfs/dbraw/zinc/66/23/59/779662359.db2.gz CEKODQJQHGVPGT-UHFFFAOYSA-N -1 1 302.334 1.385 20 0 DDADMM CC(C)(C)OCCCC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001119112007 781324190 /nfs/dbraw/zinc/32/41/90/781324190.db2.gz RMUVQBYGFARXCH-UHFFFAOYSA-N -1 1 323.393 1.466 20 0 DDADMM Cc1cnc(Cl)cc1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001119968539 781700830 /nfs/dbraw/zinc/70/08/30/781700830.db2.gz RQLOJCRZNVKMMD-VIFPVBQESA-N -1 1 324.797 1.487 20 0 DDADMM Cc1c(Cl)cccc1C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001266708684 836703862 /nfs/dbraw/zinc/70/38/62/836703862.db2.gz LHDIDSTWPZZGEA-UHFFFAOYSA-N -1 1 323.784 1.334 20 0 DDADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001408554522 837245523 /nfs/dbraw/zinc/24/55/23/837245523.db2.gz SJHUBFJCJNBIDX-PJXYFTJBSA-N -1 1 303.362 1.022 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@@H](C)[C@@H]2C)[n-]n1 ZINC001414185469 839007967 /nfs/dbraw/zinc/00/79/67/839007967.db2.gz DECVSLHVRZANQW-KXUCPTDWSA-N -1 1 315.395 1.299 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC[C@@H](C)[C@@H]2C)n[n-]1 ZINC001414185469 839007974 /nfs/dbraw/zinc/00/79/74/839007974.db2.gz DECVSLHVRZANQW-KXUCPTDWSA-N -1 1 315.395 1.299 20 0 DDADMM CCCN(CCNC(=O)[C@@H](C)OCC)C(=O)c1ncccc1[O-] ZINC001408857074 839188447 /nfs/dbraw/zinc/18/84/47/839188447.db2.gz GHRKINVMUWQTPE-GFCCVEGCSA-N -1 1 323.393 1.181 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CC[C@@H](O)C2CCCC2)sn1 ZINC001414327139 844465498 /nfs/dbraw/zinc/46/54/98/844465498.db2.gz PPAJEJHDXPOWBE-SNVBAGLBSA-N -1 1 320.436 1.371 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCC[C@H](C)CC2)[n-]n1 ZINC001414246569 840526298 /nfs/dbraw/zinc/52/62/98/840526298.db2.gz IAAMKZAVTFIUBM-UWVGGRQHSA-N -1 1 315.395 1.443 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCC[C@H](C)CC2)n[n-]1 ZINC001414246569 840526306 /nfs/dbraw/zinc/52/63/06/840526306.db2.gz IAAMKZAVTFIUBM-UWVGGRQHSA-N -1 1 315.395 1.443 20 0 DDADMM COC(=O)[C@H]1[C@@H]2CN(Cc3ccc(Cl)cc3C(=O)[O-])C[C@@H]21 ZINC001142660324 861219873 /nfs/dbraw/zinc/21/98/73/861219873.db2.gz OAEUDTLMDTXBNJ-ITGUQSILSA-N -1 1 309.749 1.889 20 0 DDADMM CN1C[C@H]2C[C@@H](C1)N2C(=O)c1cc2ccc([O-])cc2oc1=O ZINC001269516204 841718392 /nfs/dbraw/zinc/71/83/92/841718392.db2.gz OVTREDXJHGYXAB-PHIMTYICSA-N -1 1 300.314 1.027 20 0 DDADMM NC(=O)c1[nH]nc2c1CN(C(=O)c1cc(Cl)ccc1[O-])CC2 ZINC001269574549 841782155 /nfs/dbraw/zinc/78/21/55/841782155.db2.gz VQORQXKZVTZZRU-UHFFFAOYSA-N -1 1 320.736 1.066 20 0 DDADMM COc1nscc1S(=O)(=O)[N-]CC1(OC)CCCC1 ZINC001414276529 842748932 /nfs/dbraw/zinc/74/89/32/842748932.db2.gz LNSCWZXYDYJDLY-UHFFFAOYSA-N -1 1 306.409 1.389 20 0 DDADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1(CF)CCC1 ZINC001409261683 844726471 /nfs/dbraw/zinc/72/64/71/844726471.db2.gz PURFTJRRBAOKEP-LLVKDONJSA-N -1 1 323.368 1.552 20 0 DDADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccsc1 ZINC001409261876 844726768 /nfs/dbraw/zinc/72/67/68/844726768.db2.gz UWSFHZJZHDGDET-NSHDSACASA-N -1 1 319.386 1.787 20 0 DDADMM CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1cc([O-])cc(F)c1F ZINC001272250930 844897633 /nfs/dbraw/zinc/89/76/33/844897633.db2.gz VWAMIKZDDKCUES-VXGBXAGGSA-N -1 1 310.300 1.506 20 0 DDADMM C[C@]1(CO)CN(C(=O)c2ccc3cccnc3c2[O-])CC[C@@H]1O ZINC001149319084 861545663 /nfs/dbraw/zinc/54/56/63/861545663.db2.gz IEQIEZYLPMNNMW-SUMWQHHRSA-N -1 1 316.357 1.146 20 0 DDADMM Cc1nnsc1CN(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001409549388 845293340 /nfs/dbraw/zinc/29/33/40/845293340.db2.gz WRLZIYJIQRTDBY-SECBINFHSA-N -1 1 321.406 1.197 20 0 DDADMM CCC1(C(=O)NC[C@H](C)CNC(=O)c2ncccc2[O-])CC1 ZINC001409666390 845532895 /nfs/dbraw/zinc/53/28/95/845532895.db2.gz DBTIELGPYXSNHH-LLVKDONJSA-N -1 1 305.378 1.460 20 0 DDADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1(C)CCCC1 ZINC001409849489 845900822 /nfs/dbraw/zinc/90/08/22/845900822.db2.gz YJEOJRJYLJYZFC-LBPRGKRZSA-N -1 1 323.441 1.855 20 0 DDADMM CCCC(C)(C)C(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272543220 846296938 /nfs/dbraw/zinc/29/69/38/846296938.db2.gz CPRGUOFOTYBGHV-LBPRGKRZSA-N -1 1 323.441 1.665 20 0 DDADMM Cc1cc2c(c(N3CCC(n4cccn4)CC3)n1)C(=O)[N-]C2=O ZINC001155043539 861671365 /nfs/dbraw/zinc/67/13/65/861671365.db2.gz UAJLFXIDXJXBDV-UHFFFAOYSA-N -1 1 311.345 1.312 20 0 DDADMM COC[C@@H](NC(=O)C=C(C)c1ccc(OC)cc1)c1nn[n-]n1 ZINC001155070447 861700949 /nfs/dbraw/zinc/70/09/49/861700949.db2.gz WMFLYTOMFOHHOO-AORWBKJGSA-N -1 1 317.349 1.116 20 0 DDADMM COc1cc(S(=O)(=O)[N-]CCc2ccsc2)sn1 ZINC001434554830 848638845 /nfs/dbraw/zinc/63/88/45/848638845.db2.gz AAQDDNAGSVEPKP-UHFFFAOYSA-N -1 1 304.418 1.734 20 0 DDADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CC12CCC2 ZINC001411211325 850412750 /nfs/dbraw/zinc/41/27/50/850412750.db2.gz BFRSXOBODZJKGD-QWRGUYRKSA-N -1 1 321.425 1.321 20 0 DDADMM [O-]c1cc(NC[C@H](O)CN2CCOCC2)c(F)cc1Cl ZINC001251026495 851277901 /nfs/dbraw/zinc/27/79/01/851277901.db2.gz HBLJCORRBORDRX-VIFPVBQESA-N -1 1 304.749 1.290 20 0 DDADMM CN1C[C@@]2(F)CN(Cc3cc([O-])c(F)c(F)c3)C[C@@]2(F)C1=O ZINC001274807975 852614588 /nfs/dbraw/zinc/61/45/88/852614588.db2.gz MWHXHJLXVVLXSN-ZIAGYGMSSA-N -1 1 318.270 1.375 20 0 DDADMM NC(=O)CC1CC[NH+](Cc2nnc(-c3ccccc3O)o2)CC1 ZINC001413952560 853041418 /nfs/dbraw/zinc/04/14/18/853041418.db2.gz VMTYRYIRMAWDDX-UHFFFAOYSA-N -1 1 316.361 1.530 20 0 DDADMM O=C(c1ccc(F)c([O-])c1)N1CC2(C[C@@H]2C(=O)N2CC=CC2)C1 ZINC001275407589 853078409 /nfs/dbraw/zinc/07/84/09/853078409.db2.gz GJESZKACNUCOBO-GFCCVEGCSA-N -1 1 316.332 1.392 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CN(C(=O)c1c([O-])cccc1Cl)C2 ZINC001275466737 853162802 /nfs/dbraw/zinc/16/28/02/853162802.db2.gz PXXYBXMWCZWGNP-VIFPVBQESA-N -1 1 308.765 1.596 20 0 DDADMM CCN(C(=O)c1cccc([O-])c1F)[C@@H]1CCS(=O)(=O)C1 ZINC001411631548 853484502 /nfs/dbraw/zinc/48/45/02/853484502.db2.gz RFGXUJWKTAPCRB-SECBINFHSA-N -1 1 301.339 1.181 20 0 DDADMM CCOC(=O)Cc1csc(NC(=O)c2ccc([O-])cn2)n1 ZINC001411661197 853542141 /nfs/dbraw/zinc/54/21/41/853542141.db2.gz BHEDULZXSUFZIN-UHFFFAOYSA-N -1 1 307.331 1.602 20 0 DDADMM NC(=O)[C@H](CNC(=O)c1ccc([O-])cn1)Cc1ccc(F)cc1 ZINC001411699043 853599951 /nfs/dbraw/zinc/59/99/51/853599951.db2.gz ULLBAWKAYYAZBH-NSHDSACASA-N -1 1 317.320 1.000 20 0 DDADMM CC(C)NC(=O)N1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc([O-])cc1F ZINC001275975228 854054227 /nfs/dbraw/zinc/05/42/27/854054227.db2.gz OPUSVCBZRZPIGU-PHIMTYICSA-N -1 1 321.352 1.548 20 0 DDADMM C[C@H](CN(C)C(=O)C(C)(C)C1CCC(O)CC1)c1nn[n-]n1 ZINC001411990412 854080017 /nfs/dbraw/zinc/08/00/17/854080017.db2.gz MDHLDZCUWQXMHN-VOMCLLRMSA-N -1 1 309.414 1.339 20 0 DDADMM CC(=O)Nc1ccc(S[C@@H](C)C(=O)N(C)c2nn[n-]n2)cc1 ZINC001412228068 854349018 /nfs/dbraw/zinc/34/90/18/854349018.db2.gz QFJJUBVYTOWOAT-QMMMGPOBSA-N -1 1 320.378 1.302 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)[C@@H]3CCCO3)nc2n1 ZINC001412244723 854370884 /nfs/dbraw/zinc/37/08/84/854370884.db2.gz UYFGHNCWEYMAGI-KOLCDFICSA-N -1 1 319.365 1.124 20 0 DDADMM COC[C@H](C)CC(=O)N1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C ZINC001412292729 854415309 /nfs/dbraw/zinc/41/53/09/854415309.db2.gz ORHYSDSXFSNQAK-VWYCJHECSA-N -1 1 324.343 1.717 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C1(Oc2ccccc2)CC1 ZINC001412528937 854663813 /nfs/dbraw/zinc/66/38/13/854663813.db2.gz LXRLLKCSGVIXCQ-UHFFFAOYSA-N -1 1 315.377 1.796 20 0 DDADMM COC[C@H](NC(=O)c1nc(Cl)sc1Cl)c1nn[n-]n1 ZINC001455731752 854727428 /nfs/dbraw/zinc/72/74/28/854727428.db2.gz ZLGCXODJICLXCW-VKHMYHEASA-N -1 1 323.165 1.081 20 0 DDADMM NC(=O)[C@H](NC(=O)c1ccc(F)c([O-])c1)c1ccc(F)cc1 ZINC001412801327 855284943 /nfs/dbraw/zinc/28/49/43/855284943.db2.gz WVDMKLWVCVMGBQ-CYBMUJFWSA-N -1 1 306.268 1.627 20 0 DDADMM CN(C)C(=O)[C@@H]1CC12CCN(C(=O)c1ccc(F)c(=O)[n-]1)CC2 ZINC001276302304 855487781 /nfs/dbraw/zinc/48/77/81/855487781.db2.gz NAXYLKYWMNHNAX-JTQLQIEISA-N -1 1 321.352 1.257 20 0 DDADMM CC(C)(C)NC(=O)[C@H]1CC12CN(C(=O)c1ccc(F)c(=O)[n-]1)C2 ZINC001276303787 855503596 /nfs/dbraw/zinc/50/35/96/855503596.db2.gz QYOYKTADIHPYNX-SECBINFHSA-N -1 1 321.352 1.303 20 0 DDADMM CCO[C@@H]1C[C@@](NC(=O)c2ccc([O-])cn2)(C(=O)OC)C1(C)C ZINC001412854092 855725528 /nfs/dbraw/zinc/72/55/28/855725528.db2.gz VFILMRDAFXYOAN-MLGOLLRUSA-N -1 1 322.361 1.264 20 0 DDADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)[C@H](O)C1CCC1 ZINC001413192484 856535597 /nfs/dbraw/zinc/53/55/97/856535597.db2.gz IRLBVRXGWWENOE-INTQDDNPSA-N -1 1 322.327 1.205 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)c2ccn(C)c2C)n[n-]1 ZINC001413273781 856599863 /nfs/dbraw/zinc/59/98/63/856599863.db2.gz RNDUOVAZTONJJQ-MRVPVSSYSA-N -1 1 305.338 1.119 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)c2ccn(C)c2C)[n-]1 ZINC001413273781 856599865 /nfs/dbraw/zinc/59/98/65/856599865.db2.gz RNDUOVAZTONJJQ-MRVPVSSYSA-N -1 1 305.338 1.119 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)c2ccn(C)c2C)n1 ZINC001413273781 856599866 /nfs/dbraw/zinc/59/98/66/856599866.db2.gz RNDUOVAZTONJJQ-MRVPVSSYSA-N -1 1 305.338 1.119 20 0 DDADMM CN1c2ccccc2C[C@H](NC(=O)c2cccc([O-])c2F)C1=O ZINC001413268020 856604457 /nfs/dbraw/zinc/60/44/57/856604457.db2.gz SHGLMIJDZJBBSR-LBPRGKRZSA-N -1 1 314.316 1.849 20 0 DDADMM O=C1Cc2ccccc2N1CC[N-]S(=O)(=O)c1ccns1 ZINC001413300343 856622177 /nfs/dbraw/zinc/62/21/77/856622177.db2.gz YBWXXJZNRRAKJY-UHFFFAOYSA-N -1 1 323.399 1.011 20 0 DDADMM O=C([N-]CCNc1cc(-c2ccncc2)ncn1)C(F)(F)F ZINC001156205841 862817189 /nfs/dbraw/zinc/81/71/89/862817189.db2.gz SPADTCDJKQNWEW-UHFFFAOYSA-N -1 1 311.267 1.629 20 0 DDADMM CCOC(=O)CC[C@@H](C)[N-]S(=O)(=O)c1csnc1OC ZINC001413318292 856641270 /nfs/dbraw/zinc/64/12/70/856641270.db2.gz KMOZVTFOTHFBIC-MRVPVSSYSA-N -1 1 322.408 1.162 20 0 DDADMM O=C(N[C@H]1CS(=O)(=O)Cc2ccccc21)c1ccc([O-])cn1 ZINC001413320184 856645222 /nfs/dbraw/zinc/64/52/22/856645222.db2.gz VODXOHDDYVMFIK-AWEZNQCLSA-N -1 1 318.354 1.187 20 0 DDADMM Cc1cnc(C(=O)NCC(=O)c2cccc(F)c2F)c([O-])c1 ZINC001413369757 856720009 /nfs/dbraw/zinc/72/00/09/856720009.db2.gz CNKCJCKKNPIVGY-UHFFFAOYSA-N -1 1 306.268 1.987 20 0 DDADMM CN(Cc1cnc[nH]1)S(=O)(=O)c1cccc(Cl)c1[O-] ZINC001413403876 856770069 /nfs/dbraw/zinc/77/00/69/856770069.db2.gz IULOTCWQONLEFG-UHFFFAOYSA-N -1 1 301.755 1.589 20 0 DDADMM CC1(CNC(=O)CNC(=O)c2ncccc2[O-])CC(F)(F)C1 ZINC001413479344 856867959 /nfs/dbraw/zinc/86/79/59/856867959.db2.gz SYKNWAZLIVCFIP-UHFFFAOYSA-N -1 1 313.304 1.069 20 0 DDADMM CCCCS(=O)(=O)[N-]c1cnc(CC(=O)OCC)nc1 ZINC001253786538 856924627 /nfs/dbraw/zinc/92/46/27/856924627.db2.gz CXMHNSKAZVZJBV-UHFFFAOYSA-N -1 1 301.368 1.124 20 0 DDADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1ccns1 ZINC001413546593 857044289 /nfs/dbraw/zinc/04/42/89/857044289.db2.gz RZCHBDPALNBTEM-QMMMGPOBSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1ccns1 ZINC001413546593 857044296 /nfs/dbraw/zinc/04/42/96/857044296.db2.gz RZCHBDPALNBTEM-QMMMGPOBSA-N -1 1 321.362 1.415 20 0 DDADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1ccns1 ZINC001413546593 857044303 /nfs/dbraw/zinc/04/43/03/857044303.db2.gz RZCHBDPALNBTEM-QMMMGPOBSA-N -1 1 321.362 1.415 20 0 DDADMM Cc1ccc(C)c(NC(=O)Nc2nn[nH]c2C(=O)NC2CC2)c1 ZINC001413677214 857319295 /nfs/dbraw/zinc/31/92/95/857319295.db2.gz ISOBPOPEUSCTHN-UHFFFAOYSA-N -1 1 314.349 1.958 20 0 DDADMM CC[C@H](C)C(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072968930 857948648 /nfs/dbraw/zinc/94/86/48/857948648.db2.gz HCBUHRQYUXERIA-LBPRGKRZSA-N -1 1 319.405 1.944 20 0 DDADMM Cc1cc2c(c(N3CC(C)(C)OC(C)(C)C3)n1)C(=O)[N-]C2=O ZINC001156458200 863064608 /nfs/dbraw/zinc/06/46/08/863064608.db2.gz IIMKMXILUAKRRB-UHFFFAOYSA-N -1 1 303.362 1.667 20 0 DDADMM CCOCCN(CC)c1nnc(-c2c[n-][nH]c2=O)n1CC(C)C ZINC001122722945 858948880 /nfs/dbraw/zinc/94/88/80/858948880.db2.gz GGOBNLPYCFVMNZ-UHFFFAOYSA-N -1 1 322.413 1.893 20 0 DDADMM C[C@H](CN(C)C(=O)CCCCc1cccc(O)c1)c1nn[n-]n1 ZINC001123786133 859413227 /nfs/dbraw/zinc/41/32/27/859413227.db2.gz NESPPWAEPVETEJ-GFCCVEGCSA-N -1 1 317.393 1.880 20 0 DDADMM CN1CCCN(Cc2cn(CC(=O)[O-])c3ccccc23)CC1=O ZINC001138194633 860041018 /nfs/dbraw/zinc/04/10/18/860041018.db2.gz VUKFBAPUBJENKX-UHFFFAOYSA-N -1 1 315.373 1.390 20 0 DDADMM O=C([O-])COc1ccc(CN2CCc3c(=O)[nH]ccc3C2)cc1 ZINC001138926902 860230781 /nfs/dbraw/zinc/23/07/81/860230781.db2.gz UKAKUPYKPKPRFJ-UHFFFAOYSA-N -1 1 314.341 1.809 20 0 DDADMM COc1cccc(CN2CCC(CO)CC2)c1OCC(=O)[O-] ZINC001139269051 860344791 /nfs/dbraw/zinc/34/47/91/860344791.db2.gz ZDLZJAWMXWKMKL-UHFFFAOYSA-N -1 1 309.362 1.363 20 0 DDADMM Cc1ncsc1CCC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001361376303 881527910 /nfs/dbraw/zinc/52/79/10/881527910.db2.gz ASLGCTJMGZZKCS-UHFFFAOYSA-N -1 1 301.375 1.678 20 0 DDADMM CC(C)Cn1[n-]c(CC(=O)Nc2cccc3[nH]nnc32)cc1=O ZINC001141215629 860809547 /nfs/dbraw/zinc/80/95/47/860809547.db2.gz PBEWOIQWDMHFQF-UHFFFAOYSA-N -1 1 314.349 1.285 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cccc4cncnc43)c1-2 ZINC001156608245 863185821 /nfs/dbraw/zinc/18/58/21/863185821.db2.gz UGLPBDGZQZRBMP-UHFFFAOYSA-N -1 1 305.301 1.230 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(C(F)(F)F)c2ccccc2n1 ZINC001152152352 863191542 /nfs/dbraw/zinc/19/15/42/863191542.db2.gz NCELZJCXDVLGTR-UHFFFAOYSA-N -1 1 322.250 1.697 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnn4cc(F)ccc34)c1-2 ZINC001156923680 863450350 /nfs/dbraw/zinc/45/03/50/863450350.db2.gz VHBRLUUPAIWFGM-UHFFFAOYSA-N -1 1 311.280 1.074 20 0 DDADMM O=C(Nc1n[nH]c2nccnc12)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152669988 863482306 /nfs/dbraw/zinc/48/23/06/863482306.db2.gz QTLMMBQFQMRDDU-UHFFFAOYSA-N -1 1 322.284 1.516 20 0 DDADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CCC(=O)C(F)(F)C1 ZINC001152672056 863485160 /nfs/dbraw/zinc/48/51/60/863485160.db2.gz KWQJJTMSMMFFHI-UHFFFAOYSA-N -1 1 322.267 1.696 20 0 DDADMM COC(=O)[C@H]1C[C@H]1CNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681213 863489818 /nfs/dbraw/zinc/48/98/18/863489818.db2.gz HFMTVJQADVHQTE-WPRPVWTQSA-N -1 1 316.313 1.185 20 0 DDADMM CC(C)c1ncc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)[nH]1 ZINC001329379990 863630698 /nfs/dbraw/zinc/63/06/98/863630698.db2.gz RFBMWLXANVELKF-LBPRGKRZSA-N -1 1 311.349 1.566 20 0 DDADMM O=C(NCCCC[P@@](=O)([O-])O)c1cc2ccncc2cn1 ZINC001153076834 863695022 /nfs/dbraw/zinc/69/50/22/863695022.db2.gz HDMZKPXLCZZLIC-UHFFFAOYSA-N -1 1 309.262 1.318 20 0 DDADMM CCC[C@@H](OCC)C(=O)Nc1nc2nc(CC)cc(=O)n2[n-]1 ZINC001361462470 881699578 /nfs/dbraw/zinc/69/95/78/881699578.db2.gz VJWXHJSSQPKASJ-SNVBAGLBSA-N -1 1 307.354 1.124 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1cccc2c1OCCO2 ZINC001153575843 863958479 /nfs/dbraw/zinc/95/84/79/863958479.db2.gz ZKCMMASZHUORRB-UHFFFAOYSA-N -1 1 319.342 1.803 20 0 DDADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1ccc2[nH]c(=O)ccc2c1 ZINC001153843971 864151298 /nfs/dbraw/zinc/15/12/98/864151298.db2.gz FAKJZUJQMJVSQO-UHFFFAOYSA-N -1 1 322.284 1.152 20 0 DDADMM CCOc1cc(Cl)nc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c1 ZINC001159184876 865152581 /nfs/dbraw/zinc/15/25/81/865152581.db2.gz AJHNCWOSTRLYDO-UHFFFAOYSA-N -1 1 322.712 1.906 20 0 DDADMM CCOC(=O)c1ccnc(Nc2[n-]c(=O)nc3nc[nH]c32)c1 ZINC001159192507 865157702 /nfs/dbraw/zinc/15/77/02/865157702.db2.gz QNTYYUQLZANIJC-UHFFFAOYSA-N -1 1 300.278 1.374 20 0 DDADMM O=c1nc2nc[nH]c2c(NC(F)(F)c2cnc(Cl)nc2)[n-]1 ZINC001159192716 865158244 /nfs/dbraw/zinc/15/82/44/865158244.db2.gz VRSVXXHPPVIITI-UHFFFAOYSA-N -1 1 313.655 1.663 20 0 DDADMM COCCN1CCCN(C(=O)c2ccc(/C=C\C(=O)[O-])o2)CC1 ZINC001332203518 865663508 /nfs/dbraw/zinc/66/35/08/865663508.db2.gz NXBZJCSBNVBDLQ-XQRVVYSFSA-N -1 1 322.361 1.172 20 0 DDADMM Cc1ccc(F)c(C(=O)N(C)c2nn[n-]n2)c1Br ZINC001361566206 881908234 /nfs/dbraw/zinc/90/82/34/881908234.db2.gz RYFLTMATJFEBFI-UHFFFAOYSA-N -1 1 314.118 1.686 20 0 DDADMM CN(C(=O)c1ccc(/C=C/C(=O)[O-])o1)[C@@H]1CN2CCC1CC2 ZINC001332654292 866027939 /nfs/dbraw/zinc/02/79/39/866027939.db2.gz UXEPNHCQQPOSNS-MASHWEEQSA-N -1 1 304.346 1.544 20 0 DDADMM CC(C)c1c(Cl)ncnc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160858250 866153469 /nfs/dbraw/zinc/15/34/69/866153469.db2.gz OHUOYPDTDJYSGO-ZCFIWIBFSA-N -1 1 313.770 1.201 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@H]1C=CCCC1 ZINC001332865992 866200311 /nfs/dbraw/zinc/20/03/11/866200311.db2.gz COLJDJNRBUBSGM-NSHDSACASA-N -1 1 308.765 1.887 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@@H]1C=CCCC1 ZINC001332865991 866200436 /nfs/dbraw/zinc/20/04/36/866200436.db2.gz COLJDJNRBUBSGM-LLVKDONJSA-N -1 1 308.765 1.887 20 0 DDADMM Cc1cc2c(c(N[C@H]3CCCc4nccnc43)n1)C(=O)[N-]C2=O ZINC001161409546 866640856 /nfs/dbraw/zinc/64/08/56/866640856.db2.gz PXDHPCQIKPNPDS-NSHDSACASA-N -1 1 309.329 1.553 20 0 DDADMM O=C(C=Cc1ccncn1)OCC(=O)c1ccc([O-])cc1O ZINC001320392152 866702960 /nfs/dbraw/zinc/70/29/60/866702960.db2.gz HGCIOTJFTIFRDL-RJRFIUFISA-N -1 1 300.270 1.327 20 0 DDADMM O=C(NCc1ccc(Br)cn1)c1cnncc1[O-] ZINC001363518171 886535938 /nfs/dbraw/zinc/53/59/38/886535938.db2.gz CTRJZUSSGODJSP-UHFFFAOYSA-N -1 1 309.123 1.270 20 0 DDADMM CCOC(=O)c1nc(NC(=O)[C@H]2C[C@H](C)CO2)[n-]c1Cl ZINC001361641125 882069391 /nfs/dbraw/zinc/06/93/91/882069391.db2.gz HHBYSMPMMDCVHY-NKWVEPMBSA-N -1 1 301.730 1.603 20 0 DDADMM NC(=O)CC[C@H](NCc1c(F)ccc(F)c1Cl)C(=O)[O-] ZINC001334844905 867861054 /nfs/dbraw/zinc/86/10/54/867861054.db2.gz PUUYGAZTHNGNCJ-VIFPVBQESA-N -1 1 306.696 1.427 20 0 DDADMM COc1ccc2[nH]c(C)c(C(=O)OCc3nc(=O)n(C)[n-]3)c2c1 ZINC001325555718 868132491 /nfs/dbraw/zinc/13/24/91/868132491.db2.gz WIKUFBUXUYIEPK-UHFFFAOYSA-N -1 1 316.317 1.264 20 0 DDADMM C/C=C(/C)C(=O)NCC1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]12 ZINC001335342531 868239611 /nfs/dbraw/zinc/23/96/11/868239611.db2.gz WNLAPPYDTZBLAD-HJFVSIQZSA-N -1 1 315.373 1.188 20 0 DDADMM COC(=O)/C=C\c1ccc(NCC[N-]C(=O)C(F)(F)F)cc1 ZINC001164251357 869061101 /nfs/dbraw/zinc/06/11/01/869061101.db2.gz RWMRGANWILWKRD-DAXSKMNVSA-N -1 1 316.279 1.963 20 0 DDADMM CSc1ncc(C(=O)Nc2ccc3c(c2)OCCO3)c(=O)[n-]1 ZINC001361742156 882275545 /nfs/dbraw/zinc/27/55/45/882275545.db2.gz HKAYJWSYEYATFK-UHFFFAOYSA-N -1 1 319.342 1.928 20 0 DDADMM CC[C@H](CCO)[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001337170272 869360291 /nfs/dbraw/zinc/36/02/91/869360291.db2.gz RTDYDFCHGJOCIJ-SSDOTTSWSA-N -1 1 313.206 1.828 20 0 DDADMM COc1ccc(NC(=O)c2ccc3n[n-]c(=S)n3c2)nc1 ZINC001165915521 869762506 /nfs/dbraw/zinc/76/25/06/869762506.db2.gz HNNKWCQJMLBWNS-UHFFFAOYSA-N -1 1 301.331 1.674 20 0 DDADMM C[C@H]1CN(c2nnc(-c3noc(=O)[n-]3)n2C)Cc2ccccc21 ZINC001338721567 870131592 /nfs/dbraw/zinc/13/15/92/870131592.db2.gz OFPBPSLBDSFPBR-VIFPVBQESA-N -1 1 312.333 1.282 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)N[C@@H]2CCCC23OCCO3)c1 ZINC001301123002 870975203 /nfs/dbraw/zinc/97/52/03/870975203.db2.gz WUNODCVLWOLBIW-CYBMUJFWSA-N -1 1 305.330 1.539 20 0 DDADMM Cn1cc(Cl)c(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)n1 ZINC001301650082 871014908 /nfs/dbraw/zinc/01/49/08/871014908.db2.gz OUJQFBGHDJTYDI-SNVBAGLBSA-N -1 1 317.740 1.106 20 0 DDADMM O=c1[n-]c(-c2nnc(N3CCCC3)n2Cc2ccccc2)no1 ZINC001340468863 871133254 /nfs/dbraw/zinc/13/32/54/871133254.db2.gz ICZDLUHJRNYXRT-UHFFFAOYSA-N -1 1 312.333 1.270 20 0 DDADMM Cc1cc(C=O)c([O-])c(C(=O)NCc2coc(CN(C)C)n2)c1 ZINC001304118019 871191541 /nfs/dbraw/zinc/19/15/41/871191541.db2.gz YMEPUFKMTGAIOU-UHFFFAOYSA-N -1 1 317.345 1.493 20 0 DDADMM O=C(Nc1cccc(N2C(=O)CCC2=O)c1)c1cncc([O-])c1 ZINC001305593943 871292751 /nfs/dbraw/zinc/29/27/51/871292751.db2.gz ZLVGQWYGAGHJBT-UHFFFAOYSA-N -1 1 311.297 1.693 20 0 DDADMM Cc1cc(NC(=O)C2CC2)sc1C(=O)[N-]n1ccccc1=O ZINC001413842015 871696134 /nfs/dbraw/zinc/69/61/34/871696134.db2.gz DZMHPUAPQDTVMW-UHFFFAOYSA-N -1 1 317.370 1.951 20 0 DDADMM O=C([O-])[C@@]1(C(=O)N2CCC[C@@H](C3CCCCC3)C2)CNCCO1 ZINC001342265886 872112622 /nfs/dbraw/zinc/11/26/22/872112622.db2.gz TVDPFNQRMGRQBH-PBHICJAKSA-N -1 1 324.421 1.249 20 0 DDADMM CN1CCN(c2cc(-c3csc(C(=O)[O-])c3)ncn2)CC1 ZINC001206256480 872164249 /nfs/dbraw/zinc/16/42/49/872164249.db2.gz AKHJSPLAIBHDEQ-UHFFFAOYSA-N -1 1 304.375 1.655 20 0 DDADMM CCN(CCCNC(=O)c1oc(C)cc1C)Cc1n[nH]c(=O)[n-]1 ZINC001316889781 872238481 /nfs/dbraw/zinc/23/84/81/872238481.db2.gz ZVTZNHKLFJQOBJ-UHFFFAOYSA-N -1 1 321.381 1.362 20 0 DDADMM CC/C(C)=C\C(=O)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318793655 872276375 /nfs/dbraw/zinc/27/63/75/872276375.db2.gz JQHIBTJZIQGTEK-SUIFULHWSA-N -1 1 321.425 1.585 20 0 DDADMM CC(C)[C@@H](C)C(=O)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318843704 872300145 /nfs/dbraw/zinc/30/01/45/872300145.db2.gz SDUYVACXGGQTEL-CHWSQXEVSA-N -1 1 323.441 1.521 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CC1=CCCCC1 ZINC001319428761 872623043 /nfs/dbraw/zinc/62/30/43/872623043.db2.gz AFCIVGIWCXRHOE-LLVKDONJSA-N -1 1 307.398 1.337 20 0 DDADMM Cc1ccc2c(c1)N(C(=O)CNC(=O)c1ncccc1[O-])CC2 ZINC001361924819 882650499 /nfs/dbraw/zinc/65/04/99/882650499.db2.gz QOGKIGJLDFJJJA-UHFFFAOYSA-N -1 1 311.341 1.415 20 0 DDADMM CC/C(C)=C/C(=O)N(C)C[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001344157387 872892499 /nfs/dbraw/zinc/89/24/99/872892499.db2.gz CBMLWPMQNXIFMT-XSNHNAGMSA-N -1 1 317.389 1.816 20 0 DDADMM CN(Cc1ccc([S@](C)=O)cc1)C(=O)c1ccc([O-])cn1 ZINC001361987154 882766740 /nfs/dbraw/zinc/76/67/40/882766740.db2.gz QDPMGCWEFFHIMV-NRFANRHFSA-N -1 1 304.371 1.797 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1C[C@@H]1c1cccnc1 ZINC001347018155 873976506 /nfs/dbraw/zinc/97/65/06/873976506.db2.gz IFGQHKSVBQXTFT-ZJUUUORDSA-N -1 1 302.359 1.460 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC[C@H](O)C1CCCC1 ZINC001347199381 874053297 /nfs/dbraw/zinc/05/32/97/874053297.db2.gz WYPTXHHVBZCKGN-JTQLQIEISA-N -1 1 311.407 1.450 20 0 DDADMM Cc1cccnc1CCNC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001347663107 874234562 /nfs/dbraw/zinc/23/45/62/874234562.db2.gz MOFWOTMGOUUWOF-UHFFFAOYSA-N -1 1 304.375 1.455 20 0 DDADMM CCN(OC)C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC001348365905 874514062 /nfs/dbraw/zinc/51/40/62/874514062.db2.gz AUXWIZAAEBFJMX-UHFFFAOYSA-N -1 1 304.368 1.380 20 0 DDADMM CCCC(CCC)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209294614 874783190 /nfs/dbraw/zinc/78/31/90/874783190.db2.gz KXHHAPYINOUYGD-CYBMUJFWSA-N -1 1 323.441 1.807 20 0 DDADMM Cc1ccc(C(=O)N[C@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001378394567 874803230 /nfs/dbraw/zinc/80/32/30/874803230.db2.gz XEKIVVDZQBYVTH-OAHLLOKOSA-N -1 1 319.365 1.100 20 0 DDADMM CC1=C(C)CN(c2nnc(-c3nnn[n-]3)n2CC2CC2)CC1 ZINC001348974556 874904492 /nfs/dbraw/zinc/90/44/92/874904492.db2.gz CQAKCEMSIUYWGZ-UHFFFAOYSA-N -1 1 300.370 1.415 20 0 DDADMM CC1=C(C)CN(c2nnc(-c3nn[n-]n3)n2CC2CC2)CC1 ZINC001348974556 874904497 /nfs/dbraw/zinc/90/44/97/874904497.db2.gz CQAKCEMSIUYWGZ-UHFFFAOYSA-N -1 1 300.370 1.415 20 0 DDADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(CC1CCC1)[C@H](C)CO ZINC001349454742 875181908 /nfs/dbraw/zinc/18/19/08/875181908.db2.gz BOJJQGDIFRNMQF-MRVPVSSYSA-N -1 1 311.407 1.402 20 0 DDADMM O=C(NC1CCN(C(=O)c2ccco2)CC1)c1ccc([O-])cn1 ZINC001362045957 882906739 /nfs/dbraw/zinc/90/67/39/882906739.db2.gz IRVBPXTZTUXFCG-UHFFFAOYSA-N -1 1 315.329 1.415 20 0 DDADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1ccoc1Cl ZINC001378767417 875590136 /nfs/dbraw/zinc/59/01/36/875590136.db2.gz NITQDHIORFTQTH-ZETCQYMHSA-N -1 1 313.745 1.007 20 0 DDADMM C[C@H]1C[C@H]1C(=O)NCCCCCCNC(=O)c1ncccc1[O-] ZINC001350413928 875676749 /nfs/dbraw/zinc/67/67/49/875676749.db2.gz MVNKDWPYLOZMSV-QWHCGFSZSA-N -1 1 319.405 1.850 20 0 DDADMM COc1cccc(NC(=O)NCC[N-]C(=O)C(F)(F)F)c1 ZINC000167651758 875829508 /nfs/dbraw/zinc/82/95/08/875829508.db2.gz UZLPPVUVMCMANQ-UHFFFAOYSA-N -1 1 305.256 1.495 20 0 DDADMM COCCCN=c1ccc(N)cn1-c1cc(F)c([O-])c(F)c1 ZINC001213377206 875915206 /nfs/dbraw/zinc/91/52/06/875915206.db2.gz CFADINAIIQHRNP-UHFFFAOYSA-N -1 1 309.316 1.981 20 0 DDADMM CC(C)[C@H](CNC(=O)C1CCCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001378935502 875942720 /nfs/dbraw/zinc/94/27/20/875942720.db2.gz GETMNZQPFLBFNX-LBPRGKRZSA-N -1 1 309.414 1.321 20 0 DDADMM CCc1cc([N-]S(=O)(=O)c2cc(N)ccc2N)ccc1CO ZINC001216108504 876865717 /nfs/dbraw/zinc/86/57/17/876865717.db2.gz DAGXXXHLQVUKGO-UHFFFAOYSA-N -1 1 321.402 1.707 20 0 DDADMM CCOC(=O)[C@H](C)n1cc(Nc2cc(=O)[n-]c(N(C)C)n2)cn1 ZINC001216690889 877020021 /nfs/dbraw/zinc/02/00/21/877020021.db2.gz FIHLXLVEIXXBFC-VIFPVBQESA-N -1 1 320.353 1.312 20 0 DDADMM O=C(NCC[S@](=O)Cc1ccccc1)c1ccc([O-])cn1 ZINC001362128423 883108382 /nfs/dbraw/zinc/10/83/82/883108382.db2.gz UTBGSBDVWUZTNP-NRFANRHFSA-N -1 1 304.371 1.466 20 0 DDADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@H]3CCc4ccccc4N3)c1-2 ZINC001218527072 877539570 /nfs/dbraw/zinc/53/95/70/877539570.db2.gz DKPWOYKLDVQUQC-GFCCVEGCSA-N -1 1 308.345 1.400 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CCC(=O)N1CC=CC1 ZINC001354053122 877716248 /nfs/dbraw/zinc/71/62/48/877716248.db2.gz XPPYUIXOILKWTN-UHFFFAOYSA-N -1 1 310.357 1.884 20 0 DDADMM CN(Cc1cccc(Cl)c1)c1nnc(-c2nnn[n-]2)n1C ZINC001355332480 878505934 /nfs/dbraw/zinc/50/59/34/878505934.db2.gz CAJWOIDCHYQXGC-UHFFFAOYSA-N -1 1 304.745 1.285 20 0 DDADMM CN(Cc1cccc(Cl)c1)c1nnc(-c2nn[n-]n2)n1C ZINC001355332480 878505943 /nfs/dbraw/zinc/50/59/43/878505943.db2.gz CAJWOIDCHYQXGC-UHFFFAOYSA-N -1 1 304.745 1.285 20 0 DDADMM CC[C@]1(C(=O)[O-])CCC[N@@H+](Cc2cc(=O)n3ccsc3n2)C1 ZINC000315889181 879209751 /nfs/dbraw/zinc/20/97/51/879209751.db2.gz KVKJUVKBVQZEKI-HNNXBMFYSA-N -1 1 321.402 1.833 20 0 DDADMM CC[C@@H](CNC(=O)C=C1CCC1)NC(=O)c1ncccc1[O-] ZINC001356878148 879524023 /nfs/dbraw/zinc/52/40/23/879524023.db2.gz OWMDAMUCNXSETG-LBPRGKRZSA-N -1 1 303.362 1.522 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NCC(C)(C)CN(C)C(=O)c1ncccc1[O-] ZINC001380545085 879910716 /nfs/dbraw/zinc/91/07/16/879910716.db2.gz XYWIUZJIKLJDAQ-RYUDHWBXSA-N -1 1 319.405 1.658 20 0 DDADMM CC(C)OCCC(=O)N(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001380692092 880203767 /nfs/dbraw/zinc/20/37/67/880203767.db2.gz OCQKBXCBYZGEED-GFCCVEGCSA-N -1 1 323.393 1.179 20 0 DDADMM C[C@@H](OCc1nnn[n-]1)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC001223027210 880788516 /nfs/dbraw/zinc/78/85/16/880788516.db2.gz NMLVKEYDRUMHDM-TZMCWYRMSA-N -1 1 303.366 1.006 20 0 DDADMM C[C@H]1CN(C(=O)OC(C)(C)C)CCC[C@H]1OCc1nn[n-]n1 ZINC001223029585 880790510 /nfs/dbraw/zinc/79/05/10/880790510.db2.gz NBIPAIQBULVWTB-WDEREUQCSA-N -1 1 311.386 1.752 20 0 DDADMM C[C@H](OCc1nn[n-]n1)C1CCN(C(=O)OC(C)(C)C)CC1 ZINC001223029126 880790751 /nfs/dbraw/zinc/79/07/51/880790751.db2.gz GYCMFRBVJHXHSX-JTQLQIEISA-N -1 1 311.386 1.752 20 0 DDADMM O=c1nc2c(c(OC[C@H]3COc4cscc4O3)[n-]1)COC2 ZINC001227686060 883131858 /nfs/dbraw/zinc/13/18/58/883131858.db2.gz FINQJGPZBPAFEL-SSDOTTSWSA-N -1 1 308.315 1.493 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cnn2c1N[C@@H](C1CC1)C[C@H]2C(F)F ZINC001362160355 883180529 /nfs/dbraw/zinc/18/05/29/883180529.db2.gz IGJYIOPCBIORTC-SFYZADRCSA-N -1 1 324.295 1.049 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnn2c1N[C@@H](C1CC1)C[C@H]2C(F)F ZINC001362160355 883180535 /nfs/dbraw/zinc/18/05/35/883180535.db2.gz IGJYIOPCBIORTC-SFYZADRCSA-N -1 1 324.295 1.049 20 0 DDADMM CCO[C@H]1C[C@@H](O)C12CCN(C(=O)c1ccc([O-])cn1)CC2 ZINC001362190147 883255391 /nfs/dbraw/zinc/25/53/91/883255391.db2.gz WORQAFNTTDXHFH-KGLIPLIRSA-N -1 1 306.362 1.179 20 0 DDADMM COc1nsc(OC)c1C(=O)[N-]c1nnc2n1CCCC2 ZINC001362201748 883283435 /nfs/dbraw/zinc/28/34/35/883283435.db2.gz CUPLXSNACWARJG-UHFFFAOYSA-N -1 1 309.351 1.340 20 0 DDADMM CCC[C@@H](NC(=O)c1nc(CC)ncc1Cl)c1nn[n-]n1 ZINC001362209502 883305224 /nfs/dbraw/zinc/30/52/24/883305224.db2.gz DQQSHMVZBFLBDS-MRVPVSSYSA-N -1 1 309.761 1.477 20 0 DDADMM O=C(N1CCC[C@@H](c2nn[n-]n2)C1)C1(C(F)(F)F)CCC1 ZINC001362271058 883452511 /nfs/dbraw/zinc/45/25/11/883452511.db2.gz RWKUHBVMPXMPPO-MRVPVSSYSA-N -1 1 303.288 1.638 20 0 DDADMM CCOC(=O)c1cnc(=S)[n-]c1O[C@H](C)c1cnccn1 ZINC001228552677 883547584 /nfs/dbraw/zinc/54/75/84/883547584.db2.gz NVQSEYLFXFYRRC-MRVPVSSYSA-N -1 1 306.347 1.872 20 0 DDADMM COC(=O)C[C@@]1(NC(=O)c2cc(Cl)ccc2[O-])CCOC1 ZINC001362319875 883556653 /nfs/dbraw/zinc/55/66/53/883556653.db2.gz SVFMLGBXEHTCJA-AWEZNQCLSA-N -1 1 313.737 1.498 20 0 DDADMM CCO[C@@H]1C[C@H](NC(=O)c2cccc([O-])c2F)[C@]12CCCO2 ZINC001362321669 883560828 /nfs/dbraw/zinc/56/08/28/883560828.db2.gz FIHPHKRXDNVLRC-WOSRLPQWSA-N -1 1 309.337 1.988 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CC[C@H](C(=O)Nc2nnn[n-]2)CC1 ZINC001362337352 883598335 /nfs/dbraw/zinc/59/83/35/883598335.db2.gz LIUDJZHWODLLQD-KYZUINATSA-N -1 1 310.358 1.222 20 0 DDADMM CC(C)(C)OC(=O)N[C@H]1CC[C@H](C(=O)Nc2nn[n-]n2)CC1 ZINC001362337352 883598346 /nfs/dbraw/zinc/59/83/46/883598346.db2.gz LIUDJZHWODLLQD-KYZUINATSA-N -1 1 310.358 1.222 20 0 DDADMM CCn1cc([C@@H](C)NC(=O)c2cnc(SC)[n-]c2=O)cn1 ZINC001362444758 883825428 /nfs/dbraw/zinc/82/54/28/883825428.db2.gz QQEWSXKADAMXNP-MRVPVSSYSA-N -1 1 307.379 1.612 20 0 DDADMM C[C@H]([C@H](C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1)C(C)(C)C ZINC001362564001 884105272 /nfs/dbraw/zinc/10/52/72/884105272.db2.gz WVFWBBCHUUWWKN-WDEREUQCSA-N -1 1 309.414 1.328 20 0 DDADMM C[C@@H]1OCC[C@@]12CN(C(=O)c1cccc([O-])c1F)C[C@@H](C)O2 ZINC001362624848 884255136 /nfs/dbraw/zinc/25/51/36/884255136.db2.gz ZOGOAAJWSFUAON-OHUAYANFSA-N -1 1 309.337 1.940 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)c2ccoc2C2CC2)n[n-]1 ZINC001362659324 884346675 /nfs/dbraw/zinc/34/66/75/884346675.db2.gz LCSRPWQMFKUTIF-QMMMGPOBSA-N -1 1 318.333 1.943 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)c2ccoc2C2CC2)[n-]1 ZINC001362659324 884346696 /nfs/dbraw/zinc/34/66/96/884346696.db2.gz LCSRPWQMFKUTIF-QMMMGPOBSA-N -1 1 318.333 1.943 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)c2ccoc2C2CC2)n1 ZINC001362659324 884346715 /nfs/dbraw/zinc/34/67/15/884346715.db2.gz LCSRPWQMFKUTIF-QMMMGPOBSA-N -1 1 318.333 1.943 20 0 DDADMM O=C(NC[C@@H]1CCCS(=O)(=O)C1)c1cccc([O-])c1F ZINC001362675652 884385710 /nfs/dbraw/zinc/38/57/10/884385710.db2.gz YGSGBIJOKDHFTE-VIFPVBQESA-N -1 1 301.339 1.086 20 0 DDADMM COC(=O)c1c[n-]c(O[C@@H](C(=O)OC)c2ccc(F)cc2)n1 ZINC001230278974 884405358 /nfs/dbraw/zinc/40/53/58/884405358.db2.gz AWJIIVJEUAIINN-LLVKDONJSA-N -1 1 308.265 1.629 20 0 DDADMM CS(=O)(=O)c1ccccc1CNC(=O)c1cc(F)ccc1[O-] ZINC001362753532 884557355 /nfs/dbraw/zinc/55/73/55/884557355.db2.gz QNRKFGPKAXQETE-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM O=C(c1ccc2ccc(O)cc2c1[O-])N1CC[C@@H](O)[C@@H](CO)C1 ZINC001362780050 884619544 /nfs/dbraw/zinc/61/95/44/884619544.db2.gz BUJXBCMSXMIJCW-IAQYHMDHSA-N -1 1 317.341 1.066 20 0 DDADMM C[C@H](NC(=O)CCC(F)F)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001382765272 884655210 /nfs/dbraw/zinc/65/52/10/884655210.db2.gz LPCMQOLIBOTMEL-IUCAKERBSA-N -1 1 315.320 1.456 20 0 DDADMM CC(C)Oc1cc(C(N)=O)ccc1NC(=O)c1ccc([O-])cn1 ZINC001362801517 884677170 /nfs/dbraw/zinc/67/71/70/884677170.db2.gz XWKRDAIZOYLJEM-UHFFFAOYSA-N -1 1 315.329 1.926 20 0 DDADMM CC(C)C(=O)NCC1=CCN(C(=O)c2ncccc2[O-])CC1 ZINC001288369341 912859208 /nfs/dbraw/zinc/85/92/08/912859208.db2.gz CXMPXKXFKNFILC-UHFFFAOYSA-N -1 1 303.362 1.332 20 0 DDADMM C[C@H]1CN(C)C(=O)CN1C(=O)c1ccc(C(F)(F)F)c([O-])c1 ZINC001362934423 885022156 /nfs/dbraw/zinc/02/21/56/885022156.db2.gz FHFOESLRJPHFER-QMMMGPOBSA-N -1 1 316.279 1.714 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](OC)[C@H](C)CC)n[n-]1 ZINC001362937840 885034254 /nfs/dbraw/zinc/03/42/54/885034254.db2.gz QTCRYLXWRMBOEG-KXUCPTDWSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](OC)[C@H](C)CC)[n-]1 ZINC001362937840 885034260 /nfs/dbraw/zinc/03/42/60/885034260.db2.gz QTCRYLXWRMBOEG-KXUCPTDWSA-N -1 1 312.370 1.220 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](OC)[C@H](C)CC)n1 ZINC001362937840 885034271 /nfs/dbraw/zinc/03/42/71/885034271.db2.gz QTCRYLXWRMBOEG-KXUCPTDWSA-N -1 1 312.370 1.220 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccsc2C)co1 ZINC001363139817 885561616 /nfs/dbraw/zinc/56/16/16/885561616.db2.gz RPQWBAJWQCFTDI-UHFFFAOYSA-N -1 1 300.361 1.810 20 0 DDADMM COc1cccc([C@@H](C)CC(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC001363156057 885600994 /nfs/dbraw/zinc/60/09/94/885600994.db2.gz ZRXCOWASEKVODG-JTQLQIEISA-N -1 1 317.345 1.706 20 0 DDADMM COC(=O)[C@@H]1CN(Cc2cc(C(=O)[O-])ccc2F)CC12CC2 ZINC001231461736 885681519 /nfs/dbraw/zinc/68/15/19/885681519.db2.gz PRLSUDQTAOZZOT-LBPRGKRZSA-N -1 1 307.321 1.909 20 0 DDADMM C[C@]1(c2cccc(NC(=O)c3ccc([O-])cn3)c2)COC(=O)N1 ZINC001363246927 885810866 /nfs/dbraw/zinc/81/08/66/885810866.db2.gz AWIUZEZAMSCXRP-MRXNPFEDSA-N -1 1 313.313 1.995 20 0 DDADMM O=C(N1CC=CC1)[C@@]12C[C@@H]1C[N@H+](Cc1cc(F)c(O)c(F)c1)C2 ZINC001277565325 885869497 /nfs/dbraw/zinc/86/94/97/885869497.db2.gz DPEBLWJSTPTSFI-SJKOYZFVSA-N -1 1 320.339 1.891 20 0 DDADMM O=C([O-])COc1ccccc1CN1CCC[C@]2(CCC(=O)O2)C1 ZINC001231794005 885876030 /nfs/dbraw/zinc/87/60/30/885876030.db2.gz QNJKKEHRISVUSK-KRWDZBQOSA-N -1 1 319.357 1.822 20 0 DDADMM CC(=O)N[C@@H](CCC(C)C)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363276714 885886605 /nfs/dbraw/zinc/88/66/05/885886605.db2.gz NYCFQLSNEUIMBN-ZDUSSCGKSA-N -1 1 324.429 1.125 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)Nc1nc2n(n1)CCCC2 ZINC001363324089 886025208 /nfs/dbraw/zinc/02/52/08/886025208.db2.gz OMEGPUADWXKABV-UHFFFAOYSA-N -1 1 316.365 1.794 20 0 DDADMM CS(=O)(=O)c1ccccc1CNC(=O)c1c([O-])cccc1F ZINC001363349758 886099123 /nfs/dbraw/zinc/09/91/23/886099123.db2.gz IRWAUUNDWXNYRZ-UHFFFAOYSA-N -1 1 323.345 1.865 20 0 DDADMM Cc1nc(CCC(=O)N(Cc2nn[n-]n2)CC(C)C)cs1 ZINC001363353372 886111574 /nfs/dbraw/zinc/11/15/74/886111574.db2.gz FHPROWATYKIJOA-UHFFFAOYSA-N -1 1 308.411 1.582 20 0 DDADMM CCS(=O)(=O)c1ccccc1CC(=O)Nc1c(C)[n-][nH]c1=O ZINC001363376380 886170472 /nfs/dbraw/zinc/17/04/72/886170472.db2.gz LJIXVMNBBYNKGQ-UHFFFAOYSA-N -1 1 323.374 1.399 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cnn3c2NC[C@@H](C)C3)n1 ZINC001363384696 886191107 /nfs/dbraw/zinc/19/11/07/886191107.db2.gz QNROBEGUOWUXDH-MRVPVSSYSA-N -1 1 318.337 1.097 20 0 DDADMM O=C(N[C@H](CO)[C@H](O)c1cccnc1)c1c([O-])cccc1Cl ZINC001363509015 886516016 /nfs/dbraw/zinc/51/60/16/886516016.db2.gz LAUXIHCTJYOCHX-BXUZGUMPSA-N -1 1 322.748 1.265 20 0 DDADMM COC(=O)c1cn2c(n1)CN(Cc1ccc(F)c([O-])c1F)CC2 ZINC001232936916 886681594 /nfs/dbraw/zinc/68/15/94/886681594.db2.gz IKZXEVBELPUWRN-UHFFFAOYSA-N -1 1 323.299 1.669 20 0 DDADMM CCOC(=O)CN1CCN(Cc2cc([O-])c(F)c(F)c2)CC1 ZINC001232969311 886700841 /nfs/dbraw/zinc/70/08/41/886700841.db2.gz ONIGEVCVKUKYSG-UHFFFAOYSA-N -1 1 314.332 1.351 20 0 DDADMM CCC(CC)n1nc(C)cc1C(=O)N[C@@H](COC)c1nn[n-]n1 ZINC001363587350 886702853 /nfs/dbraw/zinc/70/28/53/886702853.db2.gz LEYUSNQKRDHJMX-NSHDSACASA-N -1 1 321.385 1.183 20 0 DDADMM COc1cnc(C(C)(C)NC(=O)CCc2cc(C)n[nH]2)[n-]c1=O ZINC001363601258 886737040 /nfs/dbraw/zinc/73/70/40/886737040.db2.gz IFEOPLSKOYIORZ-UHFFFAOYSA-N -1 1 319.365 1.206 20 0 DDADMM COC[C@@H](C)[C@H](C)C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001363647228 886878005 /nfs/dbraw/zinc/87/80/05/886878005.db2.gz DOCXYQRPQPRDDN-ZJUUUORDSA-N -1 1 311.382 1.116 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](C)n2cccc2)n[n-]1 ZINC001363890285 887481751 /nfs/dbraw/zinc/48/17/51/887481751.db2.gz XUBCHOFAWINZHY-VHSXEESVSA-N -1 1 305.338 1.221 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](C)n2cccc2)[n-]1 ZINC001363890285 887481757 /nfs/dbraw/zinc/48/17/57/887481757.db2.gz XUBCHOFAWINZHY-VHSXEESVSA-N -1 1 305.338 1.221 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](C)n2cccc2)n1 ZINC001363890285 887481762 /nfs/dbraw/zinc/48/17/62/887481762.db2.gz XUBCHOFAWINZHY-VHSXEESVSA-N -1 1 305.338 1.221 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)Cc2cccs2)[n-]n1 ZINC001363943280 887588725 /nfs/dbraw/zinc/58/87/25/887588725.db2.gz ZAFDHIAHUQCJEL-UHFFFAOYSA-N -1 1 315.376 1.079 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)N(C)Cc2cccs2)n[n-]1 ZINC001363943280 887588731 /nfs/dbraw/zinc/58/87/31/887588731.db2.gz ZAFDHIAHUQCJEL-UHFFFAOYSA-N -1 1 315.376 1.079 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]CCc2cnccn2)c(F)c1 ZINC001363959060 887615323 /nfs/dbraw/zinc/61/53/23/887615323.db2.gz BDAVFMVDPQYSCC-UHFFFAOYSA-N -1 1 313.329 1.584 20 0 DDADMM CC(=O)N[C@H](CC(=O)Nc1c[n-][nH]c1=O)c1ccc(Cl)cc1 ZINC001364095378 887907720 /nfs/dbraw/zinc/90/77/20/887907720.db2.gz SIEVTKHHCNZCDS-LLVKDONJSA-N -1 1 322.752 1.975 20 0 DDADMM NC(=O)c1nc[n-]c(=O)c1O[C@H]1C[C@H](OCc2ccccc2)C1 ZINC001234472563 888003679 /nfs/dbraw/zinc/00/36/79/888003679.db2.gz USSCMWRWDPHYAO-HAQNSBGRSA-N -1 1 315.329 1.408 20 0 DDADMM Cc1nc(C(N)=O)c(OCc2cccnc2OC(C)C)c(=O)[n-]1 ZINC001234476012 888011447 /nfs/dbraw/zinc/01/14/47/888011447.db2.gz GCGUSNDCTJJPCV-UHFFFAOYSA-N -1 1 318.333 1.351 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1OC[C@H](C)OC[C@H](C)OC ZINC001234606757 888138205 /nfs/dbraw/zinc/13/82/05/888138205.db2.gz MGEDMRVEBFOXJT-IUCAKERBSA-N -1 1 317.313 1.532 20 0 DDADMM COC(=O)c1cc(F)c(=O)[n-]c1O[C@@H]1[C@H]2C[C@H]3C(=O)O[C@H]1[C@H]3C2 ZINC001234611142 888140664 /nfs/dbraw/zinc/14/06/64/888140664.db2.gz ZDDIUYAIDJRBEV-JEGYQOTFSA-N -1 1 323.276 1.042 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)Nc2nc(C)cc(CC)n2)n[n-]1 ZINC001364225353 888174757 /nfs/dbraw/zinc/17/47/57/888174757.db2.gz APCPURZXMVCJHL-SECBINFHSA-N -1 1 304.354 1.815 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)Nc2nc(C)cc(CC)n2)[n-]1 ZINC001364225353 888174764 /nfs/dbraw/zinc/17/47/64/888174764.db2.gz APCPURZXMVCJHL-SECBINFHSA-N -1 1 304.354 1.815 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)Nc2nc(C)cc(CC)n2)n1 ZINC001364225353 888174769 /nfs/dbraw/zinc/17/47/69/888174769.db2.gz APCPURZXMVCJHL-SECBINFHSA-N -1 1 304.354 1.815 20 0 DDADMM COc1ncncc1NC(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001364316001 888365551 /nfs/dbraw/zinc/36/55/51/888365551.db2.gz UPCPEXKKTLVVKF-UHFFFAOYSA-N -1 1 322.350 1.024 20 0 DDADMM CCCCC(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001385434664 889104705 /nfs/dbraw/zinc/10/47/05/889104705.db2.gz LVRFMQZVQRVBTO-UHFFFAOYSA-N -1 1 305.378 1.698 20 0 DDADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1ccc2c(c1)CCO2 ZINC001364650748 889120689 /nfs/dbraw/zinc/12/06/89/889120689.db2.gz ICVRSYDKVXLDHC-UHFFFAOYSA-N -1 1 315.377 1.362 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC001364733387 889300399 /nfs/dbraw/zinc/30/03/99/889300399.db2.gz WDLVGTOZYBNMFB-ZWNOBZJWSA-N -1 1 307.304 1.297 20 0 DDADMM O=S1(=O)C[C@H]2CN(Cc3ccc([O-])c(F)c3F)C[C@H]2C1 ZINC001364760765 889359333 /nfs/dbraw/zinc/35/93/33/889359333.db2.gz VQSUHOWQAYKJNS-AOOOYVTPSA-N -1 1 303.330 1.147 20 0 DDADMM CCOC(=O)[C@H](C)[C@H](C)[N-]S(=O)(=O)c1csnc1OC ZINC001364807640 889459691 /nfs/dbraw/zinc/45/96/91/889459691.db2.gz VTOZAYKYWYCMIC-SFYZADRCSA-N -1 1 322.408 1.018 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCC3(C2)OCCO3)sn1 ZINC001364810869 889462965 /nfs/dbraw/zinc/46/29/65/889462965.db2.gz UYQAHJGAALSUOL-VIFPVBQESA-N -1 1 304.393 1.025 20 0 DDADMM COc1nscc1S(=O)(=O)[N-][C@@H]1C[C@@H]1c1ccccc1 ZINC001364837214 889515580 /nfs/dbraw/zinc/51/55/80/889515580.db2.gz ZUGLALKYCHRRKP-GHMZBOCLSA-N -1 1 310.400 1.986 20 0 DDADMM COc1cc(S(=O)(=O)[N-]Cc2cnccc2OC)sn1 ZINC001364850946 889545531 /nfs/dbraw/zinc/54/55/31/889545531.db2.gz JTRQHBLOHVESTR-UHFFFAOYSA-N -1 1 315.376 1.034 20 0 DDADMM O=S(=O)([N-]Cc1cnc[nH]1)c1onc(C2CC2)c1Cl ZINC001364910215 889652926 /nfs/dbraw/zinc/65/29/26/889652926.db2.gz HRDLSGQBAZYGFE-UHFFFAOYSA-N -1 1 302.743 1.407 20 0 DDADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](C)c2nccnc2C)c1 ZINC001364914962 889658446 /nfs/dbraw/zinc/65/84/46/889658446.db2.gz JPJNGTWBFRUYKW-JTQLQIEISA-N -1 1 323.374 1.539 20 0 DDADMM COc1cnc(C2(NC(=O)CCC(C)(C)O)CCCC2)[n-]c1=O ZINC001365028829 889930023 /nfs/dbraw/zinc/93/00/23/889930023.db2.gz QZDDAOXJDRYGEO-UHFFFAOYSA-N -1 1 323.393 1.627 20 0 DDADMM O=C1O[C@H]2C[C@@H]1N(Cc1c(Br)ccc([O-])c1F)C2 ZINC001238311026 890163667 /nfs/dbraw/zinc/16/36/67/890163667.db2.gz FQIOATHERHGFFF-RCOVLWMOSA-N -1 1 316.126 1.794 20 0 DDADMM [O-]c1ccc(Br)c(CN2C[C@H](O)[C@H](CO)C2)c1F ZINC001238305001 890163699 /nfs/dbraw/zinc/16/36/99/890163699.db2.gz JCMNIGQVJKSKIM-CPCISQLKSA-N -1 1 320.158 1.079 20 0 DDADMM CC(C)(C)OC(=O)N(CCC(=O)Nc1c[n-][nH]c1=O)C1CC1 ZINC001365213750 890365673 /nfs/dbraw/zinc/36/56/73/890365673.db2.gz UVLCDYBYZNEWEU-UHFFFAOYSA-N -1 1 310.354 1.843 20 0 DDADMM CCOC(=O)c1cnc([S@@](C)=O)nc1-c1cc(F)ccc1[O-] ZINC001240695745 891021510 /nfs/dbraw/zinc/02/15/10/891021510.db2.gz PTYPMRJAIHCWCP-JOCHJYFZSA-N -1 1 324.333 1.902 20 0 DDADMM CS[C@H](C)C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001386553859 891199678 /nfs/dbraw/zinc/19/96/78/891199678.db2.gz ZYVNJBKRMIUBCA-GHMZBOCLSA-N -1 1 323.418 1.117 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ccnc(C)c2)c1 ZINC001365707949 891373789 /nfs/dbraw/zinc/37/37/89/891373789.db2.gz ZMEZSJYOQLQMHE-UHFFFAOYSA-N -1 1 310.331 1.248 20 0 DDADMM CC(C)([N-]S(=O)(=O)c1sccc1Cl)c1ncon1 ZINC001365741343 891434670 /nfs/dbraw/zinc/43/46/70/891434670.db2.gz NIQOONOEVUWGPC-UHFFFAOYSA-N -1 1 307.784 1.998 20 0 DDADMM COc1cc(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)nc(C)n1 ZINC001244790352 891925473 /nfs/dbraw/zinc/92/54/73/891925473.db2.gz LGPOHQHOMHCRHL-UHFFFAOYSA-N -1 1 324.362 1.236 20 0 DDADMM COc1ncc(-c2cc(F)cc(F)n2)cc1[N-]S(C)(=O)=O ZINC001244792957 891926830 /nfs/dbraw/zinc/92/68/30/891926830.db2.gz DDFMVBWRRHZCOE-UHFFFAOYSA-N -1 1 315.301 1.802 20 0 DDADMM COc1ncc(-c2cncnc2C2CC2)cc1[N-]S(C)(=O)=O ZINC001244793056 891928468 /nfs/dbraw/zinc/92/84/68/891928468.db2.gz DTCUDEYPWVVHOL-UHFFFAOYSA-N -1 1 320.374 1.796 20 0 DDADMM COc1cnc(C)nc1-c1cnc(OC)c([N-]S(C)(=O)=O)c1 ZINC001244794412 891932156 /nfs/dbraw/zinc/93/21/56/891932156.db2.gz XOKSRMYETAKZQV-UHFFFAOYSA-N -1 1 324.362 1.236 20 0 DDADMM COc1nc(OC)c(-c2nc(C)cc3c2C(=O)[N-]C3=O)cc1C ZINC001245794196 892246211 /nfs/dbraw/zinc/24/62/11/892246211.db2.gz COMZXBJUQKNFJM-UHFFFAOYSA-N -1 1 313.313 1.661 20 0 DDADMM CCCC[C@@H](C)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001366211668 892747788 /nfs/dbraw/zinc/74/77/88/892747788.db2.gz FLDBQYNUEJZKRC-CHWSQXEVSA-N -1 1 323.441 1.807 20 0 DDADMM CC[C@@H](CNC(=O)CCC(C)C)NC(=O)c1ncccc1[O-] ZINC001388005182 894338473 /nfs/dbraw/zinc/33/84/73/894338473.db2.gz HZRXJXKMXQYFIM-LBPRGKRZSA-N -1 1 307.394 1.848 20 0 DDADMM CCCC(C)(C)C(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001388036260 894418021 /nfs/dbraw/zinc/41/80/21/894418021.db2.gz QFHINHIUPWVTOX-UHFFFAOYSA-N -1 1 307.394 1.802 20 0 DDADMM NC(=O)c1cc(F)cc([N-]S(=O)(=O)c2ccc(F)cc2)c1 ZINC001251661488 894765404 /nfs/dbraw/zinc/76/54/04/894765404.db2.gz KFEKPJKQRMSDIW-UHFFFAOYSA-N -1 1 312.297 1.865 20 0 DDADMM Cc1ccc(S(=O)(=O)[N-]c2ccc(C(N)=O)c(O)c2)cc1 ZINC001252198144 895034576 /nfs/dbraw/zinc/03/45/76/895034576.db2.gz LTPGGBCPUPNCOA-UHFFFAOYSA-N -1 1 306.343 1.600 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])CNC(=O)C1CCCCC1 ZINC001388378563 895098678 /nfs/dbraw/zinc/09/86/78/895098678.db2.gz FGWPFUBUSJDIKB-LBPRGKRZSA-N -1 1 319.405 1.850 20 0 DDADMM CCS(=O)(=O)[N-]c1cn2c(cccc2Br)n1 ZINC001253290313 895773969 /nfs/dbraw/zinc/77/39/69/895773969.db2.gz MVRRTZFPGQPZBT-UHFFFAOYSA-N -1 1 304.169 1.858 20 0 DDADMM CC(C)(C)OC[C@H](O)CNc1[nH]c(=S)[n-]c(=O)c1N=O ZINC001253445429 895849324 /nfs/dbraw/zinc/84/93/24/895849324.db2.gz IOSDDDZRRDDPRI-ZCFIWIBFSA-N -1 1 302.356 1.457 20 0 DDADMM CC(C)[C@@H](CNC(=O)C(C)(C)F)NC(=O)c1ncccc1[O-] ZINC001388853251 896053482 /nfs/dbraw/zinc/05/34/82/896053482.db2.gz FNWFMYQJJADZAJ-SNVBAGLBSA-N -1 1 311.357 1.406 20 0 DDADMM CCOC(=O)C1(C(=O)OCC(=O)c2ccc([O-])cc2O)CC1 ZINC001253989896 896203622 /nfs/dbraw/zinc/20/36/22/896203622.db2.gz OEYGNYAXNAZYJP-UHFFFAOYSA-N -1 1 308.286 1.167 20 0 DDADMM O=S(=O)([N-][C@@H](CO)C(F)(F)F)c1ccc(F)c(Cl)c1 ZINC001254991185 896687032 /nfs/dbraw/zinc/68/70/32/896687032.db2.gz FRFRPJQQZTXMDO-QMMMGPOBSA-N -1 1 321.679 1.681 20 0 DDADMM CCc1ccc(S(=O)(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cc1 ZINC001255107215 896737494 /nfs/dbraw/zinc/73/74/94/896737494.db2.gz IYUJLGKPDRNYPU-UHFFFAOYSA-N -1 1 319.346 1.422 20 0 DDADMM CCCC(C)(C)C(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001389262221 896782484 /nfs/dbraw/zinc/78/24/84/896782484.db2.gz JQRUCOWHQWMEEN-LLVKDONJSA-N -1 1 307.394 1.848 20 0 DDADMM CC(C)[C@@H](CNC(=O)C[C@@H](C)C1CC1)NCc1n[nH]c(=O)[n-]1 ZINC001367497839 896926977 /nfs/dbraw/zinc/92/69/77/896926977.db2.gz QEEKZXDHNIYRHD-ZYHUDNBSSA-N -1 1 309.414 1.177 20 0 DDADMM Cc1cc(CN(C)CCN(C)C(=O)c2ncccc2[O-])sn1 ZINC001367511062 896983773 /nfs/dbraw/zinc/98/37/73/896983773.db2.gz HTQNFAKYDXGEEQ-UHFFFAOYSA-N -1 1 320.418 1.756 20 0 DDADMM CC(C)SCC(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001389787445 898012223 /nfs/dbraw/zinc/01/22/23/898012223.db2.gz VWTPLBQBNMXLGQ-UHFFFAOYSA-N -1 1 323.418 1.307 20 0 DDADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1ccc[nH]c1=S ZINC001258361465 898154777 /nfs/dbraw/zinc/15/47/77/898154777.db2.gz PDGODFWVEIBIBA-UHFFFAOYSA-N -1 1 318.811 1.866 20 0 DDADMM O=S(=O)([N-][C@@H](CO)[C@@H]1CCOC1)c1cc(Cl)ccc1F ZINC001259461582 898679635 /nfs/dbraw/zinc/67/96/35/898679635.db2.gz ZKLNYTCASUEQHJ-KCJUWKMLSA-N -1 1 323.773 1.155 20 0 DDADMM CCn1cc(S(=O)(=O)[N-]c2c(Cl)cccc2CO)cn1 ZINC001259837890 898853669 /nfs/dbraw/zinc/85/36/69/898853669.db2.gz LVATUBLYYCHRIM-UHFFFAOYSA-N -1 1 315.782 1.850 20 0 DDADMM O=S(=O)([N-]c1nc(F)ccc1F)c1ccc2c(c1)OCO2 ZINC001259844781 898862737 /nfs/dbraw/zinc/86/27/37/898862737.db2.gz KQCZNBLYDJPZLR-UHFFFAOYSA-N -1 1 314.269 1.889 20 0 DDADMM NC(=O)c1ccc([N-]S(=O)(=O)CCC(F)(F)F)cc1O ZINC001259873132 898882353 /nfs/dbraw/zinc/88/23/53/898882353.db2.gz FXFKSLYRTBZDBN-UHFFFAOYSA-N -1 1 312.269 1.185 20 0 DDADMM Cc1ccc2c(c1)[C@H]([N-]S(=O)(=O)CCC(F)(F)F)C(=O)N2 ZINC001259874775 898885557 /nfs/dbraw/zinc/88/55/57/898885557.db2.gz ZOYWYYXKMQCCIT-JTQLQIEISA-N -1 1 322.308 1.860 20 0 DDADMM O=C1NCc2cccc([N-]S(=O)(=O)C3CCOCC3)c2N1 ZINC001259917124 898927021 /nfs/dbraw/zinc/92/70/21/898927021.db2.gz XWFDLLWMJUXUHS-UHFFFAOYSA-N -1 1 311.363 1.242 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)C(F)F)c1ccc(S(C)(=O)=O)cc1 ZINC001259958954 898981746 /nfs/dbraw/zinc/98/17/46/898981746.db2.gz JYFZKIAHIUCZSQ-SSDOTTSWSA-N -1 1 313.347 1.293 20 0 DDADMM CN(C1CC1)[C@@H]1CCN(S(=O)(=O)c2cccc(C(=O)[O-])c2)C1 ZINC001260188972 899081257 /nfs/dbraw/zinc/08/12/57/899081257.db2.gz YFUWZJQAYMFMKI-CYBMUJFWSA-N -1 1 324.402 1.242 20 0 DDADMM O=S(=O)([N-][C@H]1CC[C@H](O)C1)c1cc(Cl)c(F)cc1F ZINC001260204229 899087205 /nfs/dbraw/zinc/08/72/05/899087205.db2.gz WRMMLKXOHDLPHV-BQBZGAKWSA-N -1 1 311.737 1.810 20 0 DDADMM O=C1CC[C@H]([N-]S(=O)(=O)c2cc(F)c(F)cc2Cl)CN1 ZINC001260288103 899112191 /nfs/dbraw/zinc/11/21/91/899112191.db2.gz FUFNEZVQBMSFRN-LURJTMIESA-N -1 1 324.736 1.175 20 0 DDADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cccc(F)c1Cl ZINC001260591334 899177394 /nfs/dbraw/zinc/17/73/94/899177394.db2.gz PEVBIRQOPBJWPL-UHFFFAOYSA-N -1 1 301.748 1.223 20 0 DDADMM Cc1cccc(S(=O)(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c1C ZINC001261002112 899319897 /nfs/dbraw/zinc/31/98/97/899319897.db2.gz HFTARWORSJRTPE-UHFFFAOYSA-N -1 1 319.346 1.476 20 0 DDADMM Cc1n[nH]c(C)c1S(=O)(=O)[N-]c1cc(O)c(F)c(F)c1 ZINC001261029532 899332144 /nfs/dbraw/zinc/33/21/44/899332144.db2.gz BDXBACQJXBJCCS-UHFFFAOYSA-N -1 1 303.290 1.811 20 0 DDADMM O=C(NCc1ccc([O-])c(Cl)c1)C(=O)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC001261974920 899870426 /nfs/dbraw/zinc/87/04/26/899870426.db2.gz CATWWAJNLAZYJM-NTZNESFSSA-N -1 1 322.792 1.967 20 0 DDADMM Cc1nn(C)c(Cl)c1CNCCSC[C@@H](C)C(=O)[O-] ZINC001262163365 899994016 /nfs/dbraw/zinc/99/40/16/899994016.db2.gz QNAYQALTYBMJLT-MRVPVSSYSA-N -1 1 305.831 1.925 20 0 DDADMM CCO[N-]C(=O)[C@H](N)c1ccccc1I ZINC001263092014 900491335 /nfs/dbraw/zinc/49/13/35/900491335.db2.gz CWDPQYHAFIDLEK-SECBINFHSA-N -1 1 320.130 1.359 20 0 DDADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@H]1CC1(C)C ZINC001369838799 902070749 /nfs/dbraw/zinc/07/07/49/902070749.db2.gz VXTUOWDWKGWNAR-WDEREUQCSA-N -1 1 305.378 1.410 20 0 DDADMM CC(C)(F)C(=O)NCC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001396850379 914594742 /nfs/dbraw/zinc/59/47/42/914594742.db2.gz SSVBHGKQJHGXEE-UHFFFAOYSA-N -1 1 323.368 1.504 20 0 DDADMM CC[C@@H](CNC(=O)c1ccc(F)s1)NCc1n[nH]c(=O)[n-]1 ZINC001391751842 902526719 /nfs/dbraw/zinc/52/67/19/902526719.db2.gz CBFLNOXXDRZZNE-ZETCQYMHSA-N -1 1 313.358 1.009 20 0 DDADMM CCN(CCCNC(=O)c1ccsc1C)Cc1n[nH]c(=O)[n-]1 ZINC001266041070 902820778 /nfs/dbraw/zinc/82/07/78/902820778.db2.gz URFRDNZASKAPCK-UHFFFAOYSA-N -1 1 323.422 1.522 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@H](C)C1CC1)NC(=O)c1ncccc1[O-] ZINC001370524724 903350757 /nfs/dbraw/zinc/35/07/57/903350757.db2.gz NRYIWEJVAVTTHK-VXGBXAGGSA-N -1 1 319.405 1.800 20 0 DDADMM Cc1nnc(CN[C@@H](CNC(=O)c2ncccc2[O-])C(C)C)o1 ZINC001392211897 903549689 /nfs/dbraw/zinc/54/96/89/903549689.db2.gz HYYSQGLEBYYOJF-NSHDSACASA-N -1 1 319.365 1.023 20 0 DDADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C(C)(C)c1ccccc1 ZINC001392533250 904382756 /nfs/dbraw/zinc/38/27/56/904382756.db2.gz RGSBZGVEYLXTPR-LLVKDONJSA-N -1 1 317.393 1.082 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)CC1CC1 ZINC001371243154 904555767 /nfs/dbraw/zinc/55/57/67/904555767.db2.gz MSIIBLVVKDWNBT-MNOVXSKESA-N -1 1 305.378 1.600 20 0 DDADMM C[C@H](C[C@H](C)NC(=O)C1(C)CCC1)NC(=O)c1ncccc1[O-] ZINC001371278061 904625822 /nfs/dbraw/zinc/62/58/22/904625822.db2.gz PXXTXNPNTLXRBF-NEPJUHHUSA-N -1 1 319.405 1.991 20 0 DDADMM C[C@@H](CNC(=O)C1CCCCC1)NC(=O)c1ncccc1[O-] ZINC001392772967 905209842 /nfs/dbraw/zinc/20/98/42/905209842.db2.gz HIFSIDQJGUHJNM-NSHDSACASA-N -1 1 305.378 1.602 20 0 DDADMM CC1(C)CC(CC(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001392791747 905271008 /nfs/dbraw/zinc/27/10/08/905271008.db2.gz IBCGCXUILJZRPV-LBPRGKRZSA-N -1 1 321.425 1.321 20 0 DDADMM C[C@@H](CNC(=O)C1(C)CC1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001372907502 908550896 /nfs/dbraw/zinc/55/08/96/908550896.db2.gz MFIBLNOEOXMSEF-VIFPVBQESA-N -1 1 318.377 1.094 20 0 DDADMM O=C(NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)C1CC2(CC2)C1 ZINC001373229107 909251019 /nfs/dbraw/zinc/25/10/19/909251019.db2.gz VUSGMFUHGOBMLB-NSHDSACASA-N -1 1 319.409 1.029 20 0 DDADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C[C@@H]1C=CCC1 ZINC001285653554 910974373 /nfs/dbraw/zinc/97/43/73/910974373.db2.gz AQBRKRBUWQVOEA-CHWSQXEVSA-N -1 1 317.389 1.720 20 0 DDADMM C[C@H](NC(=O)c1ccns1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001394960467 911024082 /nfs/dbraw/zinc/02/40/82/911024082.db2.gz GUZAFBPLFPSXKO-IUCAKERBSA-N -1 1 320.374 1.181 20 0 DDADMM CC[C@@H](CNC(=O)[C@H]1CC[C@H](C)CC1)NCc1n[nH]c(=O)[n-]1 ZINC001373818867 911099114 /nfs/dbraw/zinc/09/91/14/911099114.db2.gz PVUDYMXJVUHBEW-SRVKXCTJSA-N -1 1 309.414 1.321 20 0 DDADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)C(C)(F)F ZINC001373826130 911122253 /nfs/dbraw/zinc/12/22/53/911122253.db2.gz RAAIKVXSEXWZHO-UHFFFAOYSA-N -1 1 301.293 1.021 20 0 DDADMM CCCC(=O)N[C@@]1(CNCc2n[nH]c(=O)[n-]2)CCCC[C@H]1C ZINC001373897133 911300519 /nfs/dbraw/zinc/30/05/19/911300519.db2.gz MDGCANSMNXWKJK-IAQYHMDHSA-N -1 1 309.414 1.465 20 0 DDADMM Cc1ccc(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)s1 ZINC001375198579 915224222 /nfs/dbraw/zinc/22/42/22/915224222.db2.gz AYAABPGGQZQTCP-SNVBAGLBSA-N -1 1 321.406 1.178 20 0 DDADMM Cc1cc(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)c(C)o1 ZINC001375207225 915255552 /nfs/dbraw/zinc/25/55/52/915255552.db2.gz IXPFESIOZPYSOM-GFCCVEGCSA-N -1 1 319.365 1.018 20 0 DDADMM CC/C=C(/C)C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001295320899 915706129 /nfs/dbraw/zinc/70/61/29/915706129.db2.gz IPESHZNCPXGQFY-HJIKWAGASA-N -1 1 315.373 1.330 20 0 DDADMM O=C(N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1)c1cnc[nH]1 ZINC001296143859 916251297 /nfs/dbraw/zinc/25/12/97/916251297.db2.gz XLZPCWHHPYCRCX-JTQLQIEISA-N -1 1 321.703 1.235 20 0 DDADMM C[C@H](CNC(=O)c1ccc(Cl)cc1)NCc1n[nH]c(=O)[n-]1 ZINC001376845986 919558098 /nfs/dbraw/zinc/55/80/98/919558098.db2.gz GGHZZIAJLKMXBG-MRVPVSSYSA-N -1 1 309.757 1.072 20 0 DDADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)CC1(C)CCCC1 ZINC001377794740 923063914 /nfs/dbraw/zinc/06/39/14/923063914.db2.gz NKXGCEUGJIIAHT-GHMZBOCLSA-N -1 1 309.414 1.464 20 0 DDADMM CCOCCS(=O)(=O)[N-][C@@H](C(=O)OCC)c1ccccc1 ZINC000278335163 214096635 /nfs/dbraw/zinc/09/66/35/214096635.db2.gz ZZITZDKXVVGMSS-CYBMUJFWSA-N -1 1 315.391 1.247 20 0 DDADMM CCc1ccccc1CC(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000622870930 365550290 /nfs/dbraw/zinc/55/02/90/365550290.db2.gz LOCGVOAZPZYJIH-UHFFFAOYSA-N -1 1 311.345 1.470 20 0 DDADMM CC[C@@H]1CCCC[C@H]1C(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC000622870089 365550818 /nfs/dbraw/zinc/55/08/18/365550818.db2.gz QWCRDVVHVYPRDQ-GHMZBOCLSA-N -1 1 303.366 1.881 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CC[C@H]3CCCO3)nc2n1 ZINC000622995779 365589094 /nfs/dbraw/zinc/58/90/94/365589094.db2.gz PDHFSTOQHDXJSC-LLVKDONJSA-N -1 1 319.365 1.268 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)CO[C@H](C)CC)nc2n1 ZINC000622996844 365590446 /nfs/dbraw/zinc/59/04/46/365590446.db2.gz CJAPJMQXXQMMRH-SECBINFHSA-N -1 1 307.354 1.124 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3CC4(CC4)C3)nc2n1 ZINC000622998551 365591116 /nfs/dbraw/zinc/59/11/16/365591116.db2.gz KPOIOMYIMQVFDX-UHFFFAOYSA-N -1 1 301.350 1.499 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)NC[C@@H](C)C[C@H](C)O)cnc2n1 ZINC000081796533 192325786 /nfs/dbraw/zinc/32/57/86/192325786.db2.gz BATNNEBLLCALGS-ONGXEEELSA-N -1 1 303.362 1.781 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)NC[C@@H](C)C[C@H](C)O)c2=O ZINC000081796533 192325789 /nfs/dbraw/zinc/32/57/89/192325789.db2.gz BATNNEBLLCALGS-ONGXEEELSA-N -1 1 303.362 1.781 20 0 DDADMM C[C@H]1OCC[C@@]1(O)CNC(=O)c1ncc2ccccc2c1[O-] ZINC000614203093 361749713 /nfs/dbraw/zinc/74/97/13/361749713.db2.gz JOXAENHQNVQKTP-QLJPJBMISA-N -1 1 302.330 1.210 20 0 DDADMM O=C(N1CCC[C@@H](c2n[n-]c(=O)o2)C1)C1(c2cccnc2)CC1 ZINC000614387732 361833175 /nfs/dbraw/zinc/83/31/75/361833175.db2.gz CMOGQVYYIRYWIN-LLVKDONJSA-N -1 1 314.345 1.608 20 0 DDADMM CSC[C@@H](CCO)[N-]S(=O)(=O)c1sccc1Cl ZINC000451237573 231041751 /nfs/dbraw/zinc/04/17/51/231041751.db2.gz RNRCJULINRNKSG-SSDOTTSWSA-N -1 1 315.869 1.794 20 0 DDADMM O=S(=O)([N-]C1(CF)CCOCC1)c1ccc(F)cc1F ZINC000451735495 231176472 /nfs/dbraw/zinc/17/64/72/231176472.db2.gz JSKVTPZMDGCFPO-UHFFFAOYSA-N -1 1 309.309 1.762 20 0 DDADMM CCN1CCO[C@H](C(=O)N=c2cc(-c3cccs3)[n-][nH]2)C1 ZINC000358124697 299082702 /nfs/dbraw/zinc/08/27/02/299082702.db2.gz LEBJPCMWVPEZAZ-NSHDSACASA-N -1 1 306.391 1.219 20 0 DDADMM O=C(NCc1ccc(Cl)cn1)c1nc2ccccc2c(=O)[n-]1 ZINC000180929531 539236416 /nfs/dbraw/zinc/23/64/16/539236416.db2.gz XHIBTKVXAMIENP-UHFFFAOYSA-N -1 1 314.732 1.902 20 0 DDADMM O=C(C[C@H]1Sc2ccc(Cl)cc2NC1=O)Nc1nn[n-]n1 ZINC000365115691 307059114 /nfs/dbraw/zinc/05/91/14/307059114.db2.gz GULCVFSXICIRQB-MRVPVSSYSA-N -1 1 324.753 1.295 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)Cc1ccc(NC(=O)C2CC2)cc1 ZINC000615226840 362204335 /nfs/dbraw/zinc/20/43/35/362204335.db2.gz LPZSUZUPMSOFCT-UHFFFAOYSA-N -1 1 314.345 1.953 20 0 DDADMM Cn1ccc(CS(=O)(=O)c2nc(Cc3cccs3)n[n-]2)n1 ZINC000278170768 214001531 /nfs/dbraw/zinc/00/15/31/214001531.db2.gz KESMKYMKQHZKLE-UHFFFAOYSA-N -1 1 323.403 1.164 20 0 DDADMM Cn1ccc(CS(=O)(=O)c2n[n-]c(Cc3cccs3)n2)n1 ZINC000278170768 214001538 /nfs/dbraw/zinc/00/15/38/214001538.db2.gz KESMKYMKQHZKLE-UHFFFAOYSA-N -1 1 323.403 1.164 20 0 DDADMM C[C@H]1CCN(Cc2nccn2C)[C@@H](C)[C@H]1[N-]C(=O)C(F)(F)F ZINC000286721895 219129558 /nfs/dbraw/zinc/12/95/58/219129558.db2.gz BBVSDPYBBRXHKD-NHCYSSNCSA-N -1 1 318.343 1.698 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(OC)cc2)co1 ZINC000157390057 290728191 /nfs/dbraw/zinc/72/81/91/290728191.db2.gz XFZQRRFJCPAIHX-UHFFFAOYSA-N -1 1 310.331 1.449 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2C[C@]2(C)C(C)C)co1 ZINC000458104049 530026123 /nfs/dbraw/zinc/02/61/23/530026123.db2.gz CTIMSNVQKIUDRH-GXFFZTMASA-N -1 1 300.380 1.352 20 0 DDADMM Cc1[n-][nH]c(=NC(=O)[C@@H]2CCO[C@@H](C)C2)c1Br ZINC000616009216 362518801 /nfs/dbraw/zinc/51/88/01/362518801.db2.gz SDPZAPGAPPKLAK-POYBYMJQSA-N -1 1 302.172 1.656 20 0 DDADMM COCCC[C@@H](C)C(=O)N=c1[nH][n-]c(C)c1Br ZINC000616009184 362519359 /nfs/dbraw/zinc/51/93/59/362519359.db2.gz RCZWRXLYZSZKFW-SSDOTTSWSA-N -1 1 304.188 1.904 20 0 DDADMM O=C([N-]c1nc2n(n1)CCCC2)c1coc(-c2cccnc2)n1 ZINC000616165764 362569972 /nfs/dbraw/zinc/56/99/72/362569972.db2.gz NDLJLNLJNXTGLW-UHFFFAOYSA-N -1 1 310.317 1.917 20 0 DDADMM C[C@H](O)C[C@@H]1CCCN1C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000162158179 290792771 /nfs/dbraw/zinc/79/27/71/290792771.db2.gz JKGMMRPGXFFYPY-QWRGUYRKSA-N -1 1 301.346 1.299 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCSC2)o1 ZINC000279656765 215036033 /nfs/dbraw/zinc/03/60/33/215036033.db2.gz CDMQBZPYHOEJHU-MRVPVSSYSA-N -1 1 305.377 1.098 20 0 DDADMM COc1ccccc1C1(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)CC1 ZINC000358379392 299136632 /nfs/dbraw/zinc/13/66/32/299136632.db2.gz LNHIPMSZMSQGMW-LLVKDONJSA-N -1 1 315.377 1.502 20 0 DDADMM Cc1noc([C@H](C)N(C)C(=O)c2ccc3[nH][n-]c(=O)c3c2)n1 ZINC000616709977 362789499 /nfs/dbraw/zinc/78/94/99/362789499.db2.gz UCDQQGYVVJGNMX-ZETCQYMHSA-N -1 1 301.306 1.793 20 0 DDADMM CCOc1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1OC ZINC000183399547 199587878 /nfs/dbraw/zinc/58/78/78/199587878.db2.gz FWSJETOIRPLLHL-JTQLQIEISA-N -1 1 319.365 1.483 20 0 DDADMM CCC[C@]1(NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCOC1 ZINC000287009498 219267661 /nfs/dbraw/zinc/26/76/61/219267661.db2.gz BPLRSBOSMWQLCU-BRYHAGSVSA-N -1 1 303.366 1.423 20 0 DDADMM O=C(N=c1nc[nH][n-]1)c1nn(-c2ccccc2F)c2c1CCC2 ZINC000343494499 282680790 /nfs/dbraw/zinc/68/07/90/282680790.db2.gz QRMWYSPVYQGPCB-UHFFFAOYSA-N -1 1 312.308 1.292 20 0 DDADMM NC(=O)c1cccc(S(=O)(=O)[N-]c2cccc3c2CCO3)c1 ZINC000358503447 299176642 /nfs/dbraw/zinc/17/66/42/299176642.db2.gz NRSMUURUNBOCNQ-UHFFFAOYSA-N -1 1 318.354 1.521 20 0 DDADMM Cc1ccc(NCCCNC(=O)c2ncc(C)cc2[O-])nc1 ZINC000358530033 299188211 /nfs/dbraw/zinc/18/82/11/299188211.db2.gz CUHRHCQVDPZRQM-UHFFFAOYSA-N -1 1 300.362 1.453 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)N[C@H]1c2ccccc2C[C@H]1C ZINC000331721764 234046005 /nfs/dbraw/zinc/04/60/05/234046005.db2.gz KXPVEEVWBAMBMN-PRHODGIISA-N -1 1 307.375 1.607 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1c2ccccc2C[C@H]1C ZINC000331721764 234046007 /nfs/dbraw/zinc/04/60/07/234046007.db2.gz KXPVEEVWBAMBMN-PRHODGIISA-N -1 1 307.375 1.607 20 0 DDADMM Cc1cc(O[C@@H](C)C(=O)NN2CC(=O)[N-]C2=O)ccc1C(C)C ZINC000044946349 352397653 /nfs/dbraw/zinc/39/76/53/352397653.db2.gz DIFRKWVIINGTGB-NSHDSACASA-N -1 1 319.361 1.469 20 0 DDADMM O=C(c1cc(Br)ccc1[O-])N1CCC[C@@H](O)C1 ZINC000072620029 191203712 /nfs/dbraw/zinc/20/37/12/191203712.db2.gz CGPBERWENXZOJM-SECBINFHSA-N -1 1 300.152 1.752 20 0 DDADMM Cc1noc([C@H]2C[C@H](O)CN2C(=O)c2ccc([O-])cc2F)n1 ZINC000331794442 234130629 /nfs/dbraw/zinc/13/06/29/234130629.db2.gz HRHFNVCQCIEQOX-JOYOIKCWSA-N -1 1 307.281 1.171 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H]2c2ccccc2)o1 ZINC000053085089 352639645 /nfs/dbraw/zinc/63/96/45/352639645.db2.gz NGWIJAWNQLNCQT-LBPRGKRZSA-N -1 1 320.370 1.775 20 0 DDADMM CC(C)(C)n1nnc(C(=O)[N-]c2cc3oc(=O)[nH]c3cc2F)n1 ZINC000617591738 363196022 /nfs/dbraw/zinc/19/60/22/363196022.db2.gz ROUDSDVBJQPUKA-UHFFFAOYSA-N -1 1 320.284 1.666 20 0 DDADMM CCO[C@H](C)C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000060501158 352873762 /nfs/dbraw/zinc/87/37/62/352873762.db2.gz ANCNZHYHVKNFPP-SECBINFHSA-N -1 1 316.379 1.430 20 0 DDADMM COCc1ccc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)o1 ZINC000331857101 234200854 /nfs/dbraw/zinc/20/08/54/234200854.db2.gz ROFTXJWLCBWVCV-SECBINFHSA-N -1 1 307.306 1.534 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](C)CC2)o1 ZINC000063273534 352909416 /nfs/dbraw/zinc/90/94/16/352909416.db2.gz XFEYKQUPFRDIGH-JTQLQIEISA-N -1 1 300.380 1.450 20 0 DDADMM Cc1n[n-]c(=S)n1CCNC(=O)Nc1cnn(CC(C)C)c1 ZINC000084333624 353719858 /nfs/dbraw/zinc/71/98/58/353719858.db2.gz BZZPWLXAXGXZKY-UHFFFAOYSA-N -1 1 323.426 1.923 20 0 DDADMM CC(C)(C)C(=O)NCC[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000084937394 353730595 /nfs/dbraw/zinc/73/05/95/353730595.db2.gz NBAROFFXHOWEMF-UHFFFAOYSA-N -1 1 324.402 1.873 20 0 DDADMM O=c1[n-]c(CN2CCC[C@@H]2C[C@H](O)c2ccc(F)cc2)n[nH]1 ZINC000091632048 353832739 /nfs/dbraw/zinc/83/27/39/353832739.db2.gz HLUVRMMQLPVGKF-OLZOCXBDSA-N -1 1 306.341 1.325 20 0 DDADMM Cc1nc(SCC(=O)NCc2cccs2)[n-]c(=O)c1C ZINC000096641711 353915378 /nfs/dbraw/zinc/91/53/78/353915378.db2.gz JWHCWVZKEJGVQX-UHFFFAOYSA-N -1 1 309.416 1.857 20 0 DDADMM CC(=O)NCCN(C)C(=O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000565029919 304037767 /nfs/dbraw/zinc/03/77/67/304037767.db2.gz SWTJBDGBVLYQLN-UHFFFAOYSA-N -1 1 321.764 1.802 20 0 DDADMM CS(=O)(=O)c1ccc(CN2C[C@@H]3CCC[C@H]3[C@@H]2C(=O)[O-])cc1 ZINC000318830158 354518141 /nfs/dbraw/zinc/51/81/41/354518141.db2.gz ZMPUWXVJMMMZGT-NWANDNLSSA-N -1 1 323.414 1.775 20 0 DDADMM COCCN1CCC[C@@H](NC(=O)c2ccc([N+](=O)[O-])c([O-])c2)C1 ZINC000399257732 354657554 /nfs/dbraw/zinc/65/75/54/354657554.db2.gz NXRIIZHFFWJYOW-GFCCVEGCSA-N -1 1 323.349 1.141 20 0 DDADMM CCc1cccc(C(=O)N2C[C@@H](C)[N@@H+](CCO)[C@@H](C)C2)c1O ZINC000584764055 354760886 /nfs/dbraw/zinc/76/08/86/354760886.db2.gz BHDSYFGAHGIBCB-BETUJISGSA-N -1 1 306.406 1.482 20 0 DDADMM COc1cc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)ccc1C ZINC000588006350 354892683 /nfs/dbraw/zinc/89/26/83/354892683.db2.gz FDBHBFVIGZLELH-UHFFFAOYSA-N -1 1 313.317 1.295 20 0 DDADMM Cc1cnc(C(=O)NCc2ccc(Cn3cncn3)cc2)c([O-])c1 ZINC000494899393 235100445 /nfs/dbraw/zinc/10/04/45/235100445.db2.gz AQJFOFVLWPKRBI-UHFFFAOYSA-N -1 1 323.356 1.665 20 0 DDADMM CCc1cc(=NC(=O)c2cnc3cc(OC)ccc3c2[O-])[nH][nH]1 ZINC000590311916 355068940 /nfs/dbraw/zinc/06/89/40/355068940.db2.gz ZIALMUMSLCCBAA-UHFFFAOYSA-N -1 1 312.329 1.496 20 0 DDADMM CCOC(=O)c1nc(NC(=O)c2nc3c(s2)CCC3)c[n-]1 ZINC000591320403 355288137 /nfs/dbraw/zinc/28/81/37/355288137.db2.gz UOERGNCAXQFLRV-UHFFFAOYSA-N -1 1 306.347 1.784 20 0 DDADMM C[C@H](CN(C)C(=O)C(C)(C)C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC000592787553 355690788 /nfs/dbraw/zinc/69/07/88/355690788.db2.gz PTGUFFANEOIJNR-SECBINFHSA-N -1 1 311.386 1.130 20 0 DDADMM CCN(CCSC)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000592917226 355739430 /nfs/dbraw/zinc/73/94/30/355739430.db2.gz GWHBYDMJDHQHTQ-UHFFFAOYSA-N -1 1 306.409 1.013 20 0 DDADMM COC(=O)[C@H](CN=c1nc(C(F)(F)F)[n-]s1)CC1CC1 ZINC000593497159 355903968 /nfs/dbraw/zinc/90/39/68/355903968.db2.gz OCQOMMLKUZRYFH-ZETCQYMHSA-N -1 1 309.313 1.980 20 0 DDADMM CCNc1ncc(C(=O)Nc2nc(C(=O)OCC)c[n-]2)s1 ZINC000593900910 356048169 /nfs/dbraw/zinc/04/81/69/356048169.db2.gz JPDXRZRBHPCUOB-UHFFFAOYSA-N -1 1 309.351 1.149 20 0 DDADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H](C)C(C)C)cc1C ZINC000595313725 356445871 /nfs/dbraw/zinc/44/58/71/356445871.db2.gz BWBVTAOWLWJCRF-SNVBAGLBSA-N -1 1 303.380 1.945 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCS[C@H](C)C1 ZINC000081682362 192313635 /nfs/dbraw/zinc/31/36/35/192313635.db2.gz OUHXQHBYGFRVBP-SECBINFHSA-N -1 1 309.435 1.953 20 0 DDADMM COC(=O)[C@@H]1CCN(C(=O)c2ncc3ccccc3c2[O-])C1 ZINC000596852929 356994481 /nfs/dbraw/zinc/99/44/81/356994481.db2.gz OYXDMFZTEYWZPN-LLVKDONJSA-N -1 1 300.314 1.576 20 0 DDADMM CCOC(=O)C1(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)CCC1 ZINC000597610679 357253119 /nfs/dbraw/zinc/25/31/19/357253119.db2.gz MUNCSYHCTJEICS-SNVBAGLBSA-N -1 1 323.349 1.215 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](CCC(C)C)NC(C)=O)n1 ZINC000598729234 357707108 /nfs/dbraw/zinc/70/71/08/357707108.db2.gz NVWMXAZIYSHVFC-LLVKDONJSA-N -1 1 324.381 1.466 20 0 DDADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3(SC)CC3)nc2n1 ZINC000598893356 357751946 /nfs/dbraw/zinc/75/19/46/357751946.db2.gz QVIYFXLFKGVWQM-UHFFFAOYSA-N -1 1 307.379 1.204 20 0 DDADMM C[C@@](CO)(NC(=O)c1nc2ccccc2c(=O)[n-]1)c1ccccc1 ZINC000599221986 357854595 /nfs/dbraw/zinc/85/45/95/357854595.db2.gz TVJJCSOJZYOKFZ-SFHVURJKSA-N -1 1 323.352 1.561 20 0 DDADMM C[C@@H](Oc1cccc(C(C)(C)C)c1)C(=O)NCc1nn[n-]n1 ZINC000599334085 357897802 /nfs/dbraw/zinc/89/78/02/357897802.db2.gz CPDGKMUZKVFCLC-SNVBAGLBSA-N -1 1 303.366 1.581 20 0 DDADMM COC(=O)COCCNC(=O)c1cc2ccccc2cc1[O-] ZINC000600404357 358211193 /nfs/dbraw/zinc/21/11/93/358211193.db2.gz WDLZLTRFZANBMK-UHFFFAOYSA-N -1 1 303.314 1.465 20 0 DDADMM CO[C@@H]1C[C@H](C(=O)[O-])N(C[C@H](O)COc2ccc(C)cc2C)C1 ZINC000565543794 304075370 /nfs/dbraw/zinc/07/53/70/304075370.db2.gz JBRMJWVCHSOEDZ-RRFJBIMHSA-N -1 1 323.389 1.217 20 0 DDADMM Cc1cccc(C)c1OC[C@H](O)CNC(=O)c1cncc([O-])c1 ZINC000600968804 358363055 /nfs/dbraw/zinc/36/30/55/358363055.db2.gz GKVATUAOOQEFFP-OAHLLOKOSA-N -1 1 316.357 1.574 20 0 DDADMM COC(=O)[C@H]1CCCN(C(=O)Cc2ccc([O-])c(Cl)c2)C1 ZINC000601033296 358388290 /nfs/dbraw/zinc/38/82/90/358388290.db2.gz UYNFXVDTFFFMSK-NSHDSACASA-N -1 1 311.765 2.000 20 0 DDADMM Cc1cc(S(=O)(=O)[N-]C[C@H](C)C(=O)OC(C)C)sn1 ZINC000601358014 358514430 /nfs/dbraw/zinc/51/44/30/358514430.db2.gz BBVLHVLTRYYIRO-QMMMGPOBSA-N -1 1 306.409 1.318 20 0 DDADMM CC[C@@H](CSC)NS(=O)(=O)c1cc(OC)ccc1[O-] ZINC000601387272 358530092 /nfs/dbraw/zinc/53/00/92/358530092.db2.gz RVEGJWDEWIMODV-VIFPVBQESA-N -1 1 305.421 1.821 20 0 DDADMM CCCC[C@H]([N-]S(=O)(=O)CC1(OC)CCCC1)C(=O)OC ZINC000601392723 358532951 /nfs/dbraw/zinc/53/29/51/358532951.db2.gz PVZOPOZXFUOKET-LBPRGKRZSA-N -1 1 321.439 1.597 20 0 DDADMM COC(=O)C12CC(C1)CN2C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000601669797 358646627 /nfs/dbraw/zinc/64/66/27/358646627.db2.gz URPYYIMKKXONDJ-UHFFFAOYSA-N -1 1 309.749 1.752 20 0 DDADMM COC(=O)[C@@]1(C)C[C@H](OC)CN1C(=O)c1ccc([O-])c(F)c1 ZINC000601745531 358675562 /nfs/dbraw/zinc/67/55/62/358675562.db2.gz YUBSAMYKQYLQIX-ZUZCIYMTSA-N -1 1 311.309 1.324 20 0 DDADMM CCOC(=O)c1nc(CNC(=O)c2sc(C)cc2C)n[n-]1 ZINC000603024189 359365810 /nfs/dbraw/zinc/36/58/10/359365810.db2.gz VQMCWVMTTUJFTG-UHFFFAOYSA-N -1 1 308.363 1.590 20 0 DDADMM CCOC(=O)c1n[n-]c(CNC(=O)c2sc(C)cc2C)n1 ZINC000603024189 359365813 /nfs/dbraw/zinc/36/58/13/359365813.db2.gz VQMCWVMTTUJFTG-UHFFFAOYSA-N -1 1 308.363 1.590 20 0 DDADMM COC(=O)[C@@H]1CN(C(=O)N=c2[n-]sc3ccccc32)C[C@H]1C ZINC000603053049 359378122 /nfs/dbraw/zinc/37/81/22/359378122.db2.gz ZWRVQQMWMXIVMB-MWLCHTKSSA-N -1 1 319.386 1.991 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CC[C@H](C)CC2)n[n-]1 ZINC000603153732 359440298 /nfs/dbraw/zinc/44/02/98/359440298.db2.gz JPCPUDZSLCLQHZ-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CC[C@H](C)CC2)[n-]1 ZINC000603153732 359440303 /nfs/dbraw/zinc/44/03/03/359440303.db2.gz JPCPUDZSLCLQHZ-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CC[C@H](C)CC2)n1 ZINC000603153732 359440306 /nfs/dbraw/zinc/44/03/06/359440306.db2.gz JPCPUDZSLCLQHZ-DCAQKATOSA-N -1 1 308.382 1.985 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CC[C@@H](C)SC)n[n-]1 ZINC000603156654 359443386 /nfs/dbraw/zinc/44/33/86/359443386.db2.gz RWJMNZJAUGQZMR-RKDXNWHRSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CC[C@@H](C)SC)[n-]1 ZINC000603156654 359443389 /nfs/dbraw/zinc/44/33/89/359443389.db2.gz RWJMNZJAUGQZMR-RKDXNWHRSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CC[C@@H](C)SC)n1 ZINC000603156654 359443392 /nfs/dbraw/zinc/44/33/92/359443392.db2.gz RWJMNZJAUGQZMR-RKDXNWHRSA-N -1 1 314.411 1.690 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CSC(C)C)n[n-]1 ZINC000603164400 359449302 /nfs/dbraw/zinc/44/93/02/359449302.db2.gz JLHVERZUXBFURI-MRVPVSSYSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CSC(C)C)[n-]1 ZINC000603164400 359449307 /nfs/dbraw/zinc/44/93/07/359449307.db2.gz JLHVERZUXBFURI-MRVPVSSYSA-N -1 1 300.384 1.300 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CSC(C)C)n1 ZINC000603164400 359449310 /nfs/dbraw/zinc/44/93/10/359449310.db2.gz JLHVERZUXBFURI-MRVPVSSYSA-N -1 1 300.384 1.300 20 0 DDADMM CCOc1cc(C(=O)N2CCNC(=O)CC2)cc(Cl)c1[O-] ZINC000186778061 200008104 /nfs/dbraw/zinc/00/81/04/200008104.db2.gz XIYLFLPKLZETPU-UHFFFAOYSA-N -1 1 312.753 1.406 20 0 DDADMM CCCc1nc(C)c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)s1 ZINC000187311600 200092693 /nfs/dbraw/zinc/09/26/93/200092693.db2.gz JPYJYGYJYGSZSD-QMMMGPOBSA-N -1 1 308.411 1.793 20 0 DDADMM Cc1noc(C)c1[C@@H](C)C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605382040 359848479 /nfs/dbraw/zinc/84/84/79/359848479.db2.gz SWQKJCWJFSOZLM-MRVPVSSYSA-N -1 1 304.354 1.494 20 0 DDADMM O=C(Cn1ccc2ccccc21)NC1(c2nn[n-]n2)CCCC1 ZINC000605530107 359865912 /nfs/dbraw/zinc/86/59/12/359865912.db2.gz IHCMLDOGZOILIZ-UHFFFAOYSA-N -1 1 310.361 1.740 20 0 DDADMM CN(C)S(=O)(=O)c1ccc(F)c([N-]C(=O)c2cocn2)c1 ZINC000607838517 360054862 /nfs/dbraw/zinc/05/48/62/360054862.db2.gz MWLHVLBOVYYXLV-UHFFFAOYSA-N -1 1 313.310 1.316 20 0 DDADMM CCOC(=O)C[N-]S(=O)(=O)Cc1cc(-c2ccccc2)no1 ZINC000609801035 360351463 /nfs/dbraw/zinc/35/14/63/360351463.db2.gz GJMQTLJDWKSUJT-UHFFFAOYSA-N -1 1 324.358 1.324 20 0 DDADMM CCOC(=O)c1nc(C)[nH]c1NC(=O)COC[C@@H]1CCCO1 ZINC000610231464 360392803 /nfs/dbraw/zinc/39/28/03/360392803.db2.gz ZASNIUBOKQHTFV-JTQLQIEISA-N -1 1 311.338 1.029 20 0 DDADMM CNC(=O)c1ccc(=NCCCCC(=O)OC(C)(C)C)[n-]n1 ZINC000611338542 360701671 /nfs/dbraw/zinc/70/16/71/360701671.db2.gz KJSBUEOYZCUPFA-UHFFFAOYSA-N -1 1 308.382 1.182 20 0 DDADMM O=C(NCC1(O)CCOCC1)c1ncc2ccccc2c1[O-] ZINC000611676948 360789672 /nfs/dbraw/zinc/78/96/72/360789672.db2.gz XXZPJKGKYYNOLR-UHFFFAOYSA-N -1 1 302.330 1.212 20 0 DDADMM CCC[C@@H](NC(=O)c1cc(SC)ccc1O)c1nn[n-]n1 ZINC000612061969 360906823 /nfs/dbraw/zinc/90/68/23/360906823.db2.gz RNKYBTPASIJION-SNVBAGLBSA-N -1 1 307.379 1.898 20 0 DDADMM O=C(c1ccc([O-])cc1)C1CCN(C(=O)[C@@H](O)C2CC2)CC1 ZINC000612382620 360991902 /nfs/dbraw/zinc/99/19/02/360991902.db2.gz ONBZJDKIUCRMPX-INIZCTEOSA-N -1 1 303.358 1.584 20 0 DDADMM C[C@H]1CO[C@H](CO)CN1C(=O)c1ncc2ccccc2c1[O-] ZINC000612508019 361046026 /nfs/dbraw/zinc/04/60/26/361046026.db2.gz QJXFSAVEKAPQDZ-JQWIXIFHSA-N -1 1 302.330 1.162 20 0 DDADMM CC(=O)C[C@H]1COCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000613413620 361410315 /nfs/dbraw/zinc/41/03/15/361410315.db2.gz NFPGCBOAECGOQZ-ZDUSSCGKSA-N -1 1 314.341 1.761 20 0 DDADMM C[C@H](CN(C)C(=O)C[C@@]12CCCC[C@@H]1C2(F)F)c1nn[n-]n1 ZINC000613476682 361434968 /nfs/dbraw/zinc/43/49/68/361434968.db2.gz YBZBWKXUHRXJNA-NRUUGDAUSA-N -1 1 313.352 1.977 20 0 DDADMM C/C=C\C[C@@H](CO)[N-]S(=O)(=O)c1nc2ccccc2s1 ZINC000565949631 304106439 /nfs/dbraw/zinc/10/64/39/304106439.db2.gz UKDAPJLASLLOHQ-ZMWSMAPVSA-N -1 1 312.416 1.902 20 0 DDADMM C[C@H]1c2nncn2CCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000613926953 361633275 /nfs/dbraw/zinc/63/32/75/361633275.db2.gz NJVALXQHBYFWMO-JTQLQIEISA-N -1 1 309.329 1.749 20 0 DDADMM COCCCCS(=O)(=O)c1nnc(-c2ccccc2F)[n-]1 ZINC000195126458 201343044 /nfs/dbraw/zinc/34/30/44/201343044.db2.gz XTLMBBURJZMZIW-UHFFFAOYSA-N -1 1 313.354 1.811 20 0 DDADMM COCCCCS(=O)(=O)c1n[n-]c(-c2ccccc2F)n1 ZINC000195126458 201343046 /nfs/dbraw/zinc/34/30/46/201343046.db2.gz XTLMBBURJZMZIW-UHFFFAOYSA-N -1 1 313.354 1.811 20 0 DDADMM Cc1cnc(C(=O)N[C@H]2CCC[C@@H]2Cc2cnn(C)c2)c([O-])c1 ZINC000618936361 363811431 /nfs/dbraw/zinc/81/14/31/363811431.db2.gz ZSQNHCRYHYVGNI-KGLIPLIRSA-N -1 1 314.389 1.970 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H]1OCC[C@H]1NC(=O)c1cncc([O-])c1 ZINC000348864287 283732595 /nfs/dbraw/zinc/73/25/95/283732595.db2.gz CKSBYGRUYXJRTO-UKRRQHHQSA-N -1 1 316.361 1.398 20 0 DDADMM CO[C@@H]1CC[C@@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)C1 ZINC000621708930 364994256 /nfs/dbraw/zinc/99/42/56/364994256.db2.gz FOAPJLVKJDAWLU-UPJWGTAASA-N -1 1 301.350 1.220 20 0 DDADMM CCCn1nccc1C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000621709466 364994972 /nfs/dbraw/zinc/99/49/72/364994972.db2.gz URABMADHUFNJIJ-ZDUSSCGKSA-N -1 1 311.349 1.326 20 0 DDADMM Cc1ccccc1COCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000621928741 365119283 /nfs/dbraw/zinc/11/92/83/365119283.db2.gz OBFNZRMIVHTEIO-ZDUSSCGKSA-N -1 1 315.377 1.431 20 0 DDADMM O=C(c1cc(F)c[nH]c1=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000621991170 365174539 /nfs/dbraw/zinc/17/45/39/365174539.db2.gz IZRVSRFQRUOHRN-SSDOTTSWSA-N -1 1 308.269 1.035 20 0 DDADMM COC(=O)[C@@H]1CCCC[C@H]1S(=O)(=O)[N-][C@@H](C)C(C)(F)F ZINC000451693194 291344976 /nfs/dbraw/zinc/34/49/76/291344976.db2.gz XHYFQSAWZFTVNI-IVZWLZJFSA-N -1 1 313.366 1.681 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N3CC[C@H](O)C(F)(F)C3)cnc2n1 ZINC000622144647 365288874 /nfs/dbraw/zinc/28/88/74/365288874.db2.gz SHSPOZRDBVMYON-NSHDSACASA-N -1 1 323.299 1.486 20 0 DDADMM Cc1ccc2c(=O)c(C(=O)N3CC[C@H](O)C(F)(F)C3)c[n-]c2n1 ZINC000622144647 365288886 /nfs/dbraw/zinc/28/88/86/365288886.db2.gz SHSPOZRDBVMYON-NSHDSACASA-N -1 1 323.299 1.486 20 0 DDADMM CCC[C@@H](C)S(=O)(=O)[N-]c1ccc(S(N)(=O)=O)c(F)c1 ZINC000566308311 304142827 /nfs/dbraw/zinc/14/28/27/304142827.db2.gz LCHZOQIGEHNNLV-MRVPVSSYSA-N -1 1 324.399 1.403 20 0 DDADMM O=C([O-])CNC(=O)CN1CCC(Cc2cccc(F)c2)CC1 ZINC000262292838 203250922 /nfs/dbraw/zinc/25/09/22/203250922.db2.gz YZOGJSDVDKLCQK-UHFFFAOYSA-N -1 1 308.353 1.281 20 0 DDADMM COc1ccc(S(=O)(=O)[N-]c2nc(C3CCC3)n[nH]2)cn1 ZINC000351354915 284321508 /nfs/dbraw/zinc/32/15/08/284321508.db2.gz XTKNMFBRXIMCDJ-UHFFFAOYSA-N -1 1 309.351 1.277 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@H](O)[C@@H](C)C3)nc2)[n-]c(=O)c1C ZINC000351502763 284350302 /nfs/dbraw/zinc/35/03/02/284350302.db2.gz NVQKSGHVLIOETR-ZANVPECISA-N -1 1 300.362 1.678 20 0 DDADMM C[C@@H]([N-]S(=O)(=O)c1c(F)cccc1F)c1cnn(C)c1 ZINC000266182209 205164697 /nfs/dbraw/zinc/16/46/97/205164697.db2.gz LMAHIYJYHJTYIN-MRVPVSSYSA-N -1 1 301.318 1.738 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCCC[C@@H]2OC)o1 ZINC000266251025 205217137 /nfs/dbraw/zinc/21/71/37/205217137.db2.gz XRIBFDUCBYPVIL-ZJUUUORDSA-N -1 1 317.363 1.302 20 0 DDADMM Cc1ccc(C=CC(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)o1 ZINC000282906887 217315853 /nfs/dbraw/zinc/31/58/53/217315853.db2.gz MFVNWGMRILWYRW-XUIVZRPNSA-N -1 1 302.334 1.419 20 0 DDADMM CC[C@@H]1C[C@@H](CC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CCO1 ZINC000282971870 217359678 /nfs/dbraw/zinc/35/96/78/217359678.db2.gz AZQJBNCLVLZCNS-XRNNBIEOSA-N -1 1 302.378 1.876 20 0 DDADMM CCC[C@H](NC(=O)c1cc(-c2ccco2)n[nH]1)c1nn[n-]n1 ZINC000267693622 206222953 /nfs/dbraw/zinc/22/29/53/206222953.db2.gz OUMKNFNLEBFOJQ-QMMMGPOBSA-N -1 1 301.310 1.454 20 0 DDADMM CCC[C@@H](NC(=O)c1cn(-c2ccccc2)nn1)c1nn[n-]n1 ZINC000267706075 206231885 /nfs/dbraw/zinc/23/18/85/206231885.db2.gz RFXDCONAPIZFRI-LLVKDONJSA-N -1 1 312.337 1.052 20 0 DDADMM CCc1[n-][nH]c(=NC(=O)c2ccn(CC)n2)c1Br ZINC000566916695 304188173 /nfs/dbraw/zinc/18/81/73/304188173.db2.gz ZYYGQMRMZVZGAA-UHFFFAOYSA-N -1 1 312.171 1.625 20 0 DDADMM COCC1(C(=O)[O-])CCN(Cc2cn3cc(C)ccc3n2)CC1 ZINC000566979723 304191340 /nfs/dbraw/zinc/19/13/40/304191340.db2.gz YPXIBEMSEKSVJX-UHFFFAOYSA-N -1 1 317.389 1.956 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cccc(F)c1F)C1CC1 ZINC000267802501 206293651 /nfs/dbraw/zinc/29/36/51/206293651.db2.gz UGQVIPLFBBNBAN-LLVKDONJSA-N -1 1 305.302 1.195 20 0 DDADMM CN(CC(=O)NC(C)(C)C)C(=O)c1c(F)ccc([O-])c1F ZINC000330863578 248868933 /nfs/dbraw/zinc/86/89/33/248868933.db2.gz WKMNUKYNURQVIN-UHFFFAOYSA-N -1 1 300.305 1.657 20 0 DDADMM Cc1ccc(NC(=O)c2ccccc2[O-])cc1S(N)(=O)=O ZINC000040215997 183046227 /nfs/dbraw/zinc/04/62/27/183046227.db2.gz SUCGHHSNRIFZMP-UHFFFAOYSA-N -1 1 306.343 1.600 20 0 DDADMM O=C(c1c(O)cccc1F)N1CCCC[C@@H]1c1n[nH]c(=O)[n-]1 ZINC000333612622 249042657 /nfs/dbraw/zinc/04/26/57/249042657.db2.gz YFAJZIMDAMYGDF-SECBINFHSA-N -1 1 306.297 1.722 20 0 DDADMM O=C(c1ncccc1[O-])N1CCN(CCc2ccncc2)CC1 ZINC000636295294 422789199 /nfs/dbraw/zinc/78/91/99/422789199.db2.gz OVHFXGLQIVWQEE-UHFFFAOYSA-N -1 1 312.373 1.183 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(Br)c([O-])c1)C(N)=O ZINC000336792255 249234151 /nfs/dbraw/zinc/23/41/51/249234151.db2.gz REGDZQAUPKIWQI-SECBINFHSA-N -1 1 315.167 1.539 20 0 DDADMM CC[C@H](CO)[N-]S(=O)(=O)c1sccc1Br ZINC000042524468 183280232 /nfs/dbraw/zinc/28/02/32/183280232.db2.gz OFJNBTIAXPYVAX-ZCFIWIBFSA-N -1 1 314.226 1.560 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CC2(C)C)c(C(F)(F)F)n1 ZINC000338897229 250187616 /nfs/dbraw/zinc/18/76/16/250187616.db2.gz CQQRJTZONNDVLC-SSDOTTSWSA-N -1 1 311.329 1.763 20 0 DDADMM C[C@@H](CC1CC1)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338913088 250192685 /nfs/dbraw/zinc/19/26/85/250192685.db2.gz YGYPMXZTHJHDDT-ZETCQYMHSA-N -1 1 311.329 1.906 20 0 DDADMM COc1cnc(C(=O)[N-]c2nnc(-c3ccco3)s2)nc1 ZINC000339079049 250284354 /nfs/dbraw/zinc/28/43/54/250284354.db2.gz UZJRZOPLIBIONE-UHFFFAOYSA-N -1 1 303.303 1.849 20 0 DDADMM O=C(COc1ccccc1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339188250 250333350 /nfs/dbraw/zinc/33/33/50/250333350.db2.gz GYCFECJHGSQCKZ-UHFFFAOYSA-N -1 1 303.318 1.560 20 0 DDADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)C[C@@H]1CCC[C@H]1O ZINC000339187324 250333882 /nfs/dbraw/zinc/33/38/82/250333882.db2.gz XRVVHVIPLWZSGE-VHSXEESVSA-N -1 1 311.407 1.446 20 0 DDADMM CC(C)(C)[C@@H]([N-]S(=O)(=O)c1ccc(F)c(F)c1F)C(N)=O ZINC000106447331 194215400 /nfs/dbraw/zinc/21/54/00/194215400.db2.gz VQSNBEZOJLEDJH-JTQLQIEISA-N -1 1 324.324 1.282 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)Cc1ccc(C)cc1 ZINC000352130340 284808936 /nfs/dbraw/zinc/80/89/36/284808936.db2.gz YMBMQELWQVQLEN-UHFFFAOYSA-N -1 1 303.318 1.477 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2(C(F)F)CC2)c1 ZINC000359756507 299530104 /nfs/dbraw/zinc/53/01/04/299530104.db2.gz GXYPXMULSHZCRY-UHFFFAOYSA-N -1 1 309.290 1.390 20 0 DDADMM CCCOc1c(Cl)cc(C(=O)Nc2nnn[n-]2)cc1OC ZINC000060850395 184157008 /nfs/dbraw/zinc/15/70/08/184157008.db2.gz WWQLIAUSYHYDPC-UHFFFAOYSA-N -1 1 311.729 1.903 20 0 DDADMM CCCOc1c(Cl)cc(C(=O)Nc2nn[n-]n2)cc1OC ZINC000060850395 184157009 /nfs/dbraw/zinc/15/70/09/184157009.db2.gz WWQLIAUSYHYDPC-UHFFFAOYSA-N -1 1 311.729 1.903 20 0 DDADMM O=c1nc(NC[C@H](CO)c2ccccc2Cl)nc2[nH][n-]cc1-2 ZINC000340898527 251263753 /nfs/dbraw/zinc/26/37/53/251263753.db2.gz MTTYLHCBMFWUEK-MRVPVSSYSA-N -1 1 319.752 1.273 20 0 DDADMM CO[C@@H]1CCC[C@@H]1CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000340879459 251256862 /nfs/dbraw/zinc/25/68/62/251256862.db2.gz KGKLMTFFMZJVHN-ZWNOBZJWSA-N -1 1 301.346 1.468 20 0 DDADMM NC(=O)N1CCC[C@H](CN=c2nc(C(F)(F)F)[n-]s2)C1 ZINC000340916391 251273630 /nfs/dbraw/zinc/27/36/30/251273630.db2.gz PENYDMAFEAMCSU-ZCFIWIBFSA-N -1 1 309.317 1.181 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)Nc1cn[nH]c1 ZINC000063783369 184288680 /nfs/dbraw/zinc/28/86/80/184288680.db2.gz YHPSXLURMGBPSB-UHFFFAOYSA-N -1 1 308.363 1.814 20 0 DDADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1C[C@H](C)[C@H]1C ZINC000294400834 224009931 /nfs/dbraw/zinc/00/99/31/224009931.db2.gz YORCDDYUHMRSRU-CMPLNLGQSA-N -1 1 312.373 1.962 20 0 DDADMM C[C@@H]1C[C@H](CNC(=O)c2c[n-]c3c(cnn3C)c2=O)[C@@H](C)O1 ZINC000412650751 224064211 /nfs/dbraw/zinc/06/42/11/224064211.db2.gz KQXWRPGLQGTPEC-OPRDCNLKSA-N -1 1 304.350 1.217 20 0 DDADMM O=C(NCc1ccccc1Cl)c1cc(=O)n2[n-]cnc2n1 ZINC000352415995 285036299 /nfs/dbraw/zinc/03/62/99/285036299.db2.gz QQSARBJZFMQOQU-UHFFFAOYSA-N -1 1 303.709 1.001 20 0 DDADMM CO[C@H](CNC(=O)CCc1nn[n-]n1)c1ccc(Cl)cc1 ZINC000631504054 422802743 /nfs/dbraw/zinc/80/27/43/422802743.db2.gz PVIWFLCKRUNRJU-LLVKDONJSA-N -1 1 309.757 1.290 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCOC(C)(C)C2)c1 ZINC000269953879 208002237 /nfs/dbraw/zinc/00/22/37/208002237.db2.gz SFXPTORDXODOLG-SNVBAGLBSA-N -1 1 317.363 1.302 20 0 DDADMM C[C@@H]1CCN([C@@H]2CCCN(CC(F)(F)F)C2=O)C[C@@H]1C(=O)[O-] ZINC000567856013 304248506 /nfs/dbraw/zinc/24/85/06/304248506.db2.gz ACEPQOAMRDQVIY-OUAUKWLOSA-N -1 1 322.327 1.582 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCOCC2CC2)c1 ZINC000270050816 208106993 /nfs/dbraw/zinc/10/69/93/208106993.db2.gz UWSLJDAKGAMRKS-UHFFFAOYSA-N -1 1 317.363 1.161 20 0 DDADMM O=c1nc(CNC2(c3ccc(Br)cc3)CC2)[nH][n-]1 ZINC000271665485 209107216 /nfs/dbraw/zinc/10/72/16/209107216.db2.gz LJWJOEDVWIYTAI-UHFFFAOYSA-N -1 1 309.167 1.639 20 0 DDADMM CSc1nc(C)c(CCC(=O)NCc2ccncc2)c(=O)[n-]1 ZINC000298120791 533073969 /nfs/dbraw/zinc/07/39/69/533073969.db2.gz ZGMYPFIELDVFBV-UHFFFAOYSA-N -1 1 318.402 1.857 20 0 DDADMM CN(CC[N-]S(=O)(=O)c1c(Cl)ccc(F)c1F)C1CC1 ZINC000286481136 219012402 /nfs/dbraw/zinc/01/24/02/219012402.db2.gz CGQNOZQBFRIAMT-UHFFFAOYSA-N -1 1 324.780 1.991 20 0 DDADMM COCC(C)(C)N1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000424988639 533095836 /nfs/dbraw/zinc/09/58/36/533095836.db2.gz GBIIHYHBUWMJOW-UHFFFAOYSA-N -1 1 307.394 1.278 20 0 DDADMM O=S(=O)([N-]C[C@@H](CO)C1CC1)c1ccc(Br)o1 ZINC000352578313 285153558 /nfs/dbraw/zinc/15/35/58/285153558.db2.gz NRHNYELGYLLELG-QMMMGPOBSA-N -1 1 324.196 1.339 20 0 DDADMM CCOC(=O)COCCSc1nc(C(F)F)cc(=O)[n-]1 ZINC000289485139 221010721 /nfs/dbraw/zinc/01/07/21/221010721.db2.gz IVWIVUWAAAZGAR-UHFFFAOYSA-N -1 1 308.306 1.792 20 0 DDADMM Cc1nsc([N-]C(=O)c2csc(S(C)(=O)=O)c2)n1 ZINC000120923852 195265112 /nfs/dbraw/zinc/26/51/12/195265112.db2.gz OWSXGUYVSZHFPH-UHFFFAOYSA-N -1 1 303.390 1.564 20 0 DDADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)c1cccc(-n2ccnn2)c1 ZINC000122044981 195393692 /nfs/dbraw/zinc/39/36/92/195393692.db2.gz CVUPFLCUJPNTIH-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM COc1ccc([N+](=O)[O-])cc1CN1CC[C@H](OC)C[C@@H]1C(=O)[O-] ZINC000568292669 304284936 /nfs/dbraw/zinc/28/49/36/304284936.db2.gz SSYWHLHTNLPGKX-QWHCGFSZSA-N -1 1 324.333 1.667 20 0 DDADMM CO[C@@H](C)CS(=O)(=O)[N-]c1nc2cc3c(cc2[nH]1)OCO3 ZINC000414463085 533342865 /nfs/dbraw/zinc/34/28/65/533342865.db2.gz JGLJNECXRJXYKB-ZETCQYMHSA-N -1 1 313.335 1.068 20 0 DDADMM CS(=O)(=O)CCC[N-]S(=O)(=O)c1sccc1Cl ZINC000451092333 533354261 /nfs/dbraw/zinc/35/42/61/533354261.db2.gz CIWJPZPDGSTYCN-UHFFFAOYSA-N -1 1 317.841 1.115 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](C)c1cccc(C)c1 ZINC000416157665 533446668 /nfs/dbraw/zinc/44/66/68/533446668.db2.gz RKKFHBUQUBAIAT-SNVBAGLBSA-N -1 1 317.345 1.738 20 0 DDADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1CC[C@H](F)C1 ZINC000360363856 299645323 /nfs/dbraw/zinc/64/53/23/299645323.db2.gz PDWKDKLFXJKQLO-NWDGAFQWSA-N -1 1 320.368 1.991 20 0 DDADMM C[C@@H]1CCN([C@@H]2CCN(c3ccccc3F)C2=O)C[C@@H]1C(=O)[O-] ZINC000568883204 304319711 /nfs/dbraw/zinc/31/97/11/304319711.db2.gz SPGJHJWFAITCTB-TYNCELHUSA-N -1 1 320.364 1.974 20 0 DDADMM C[C@@H](CN1CCOCC1)[N@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC000569003417 304329518 /nfs/dbraw/zinc/32/95/18/304329518.db2.gz OTABHGZFOLMSKK-ZDUSSCGKSA-N -1 1 304.390 1.464 20 0 DDADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cccc3c2CCCC3)n1 ZINC000569673806 304373141 /nfs/dbraw/zinc/37/31/41/304373141.db2.gz JHRQBWRREKGDSQ-UHFFFAOYSA-N -1 1 320.374 1.339 20 0 DDADMM CS(=O)(=O)c1nnc(NC(=O)c2cccc3c2CCCC3)[n-]1 ZINC000569673806 304373143 /nfs/dbraw/zinc/37/31/43/304373143.db2.gz JHRQBWRREKGDSQ-UHFFFAOYSA-N -1 1 320.374 1.339 20 0 DDADMM O=C(NC[C@H](O)COc1ccccc1)c1c(F)ccc([O-])c1F ZINC000569768526 304377448 /nfs/dbraw/zinc/37/74/48/304377448.db2.gz HZUDMYALDJNXJK-JTQLQIEISA-N -1 1 323.295 1.840 20 0 DDADMM O=S(=O)([N-]CCO)c1c(Cl)cc(Cl)cc1Cl ZINC000035610796 406973335 /nfs/dbraw/zinc/97/33/35/406973335.db2.gz JVRMLCIXYDXOKJ-UHFFFAOYSA-N -1 1 304.582 1.917 20 0 DDADMM Cn1cnnc1CNC(=O)c1cc(Br)ccc1[O-] ZINC000044913817 407032643 /nfs/dbraw/zinc/03/26/43/407032643.db2.gz XQHSTLDIJUCHIV-UHFFFAOYSA-N -1 1 311.139 1.213 20 0 DDADMM CCN1C[C@@H](C(=O)NCc2n[n-]c(=S)n2C(C)C)CC1=O ZINC000066639437 407256234 /nfs/dbraw/zinc/25/62/34/407256234.db2.gz IUHCOCFIJIMPHB-VIFPVBQESA-N -1 1 311.411 1.006 20 0 DDADMM COCCCN(CCOC)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000122952757 407315562 /nfs/dbraw/zinc/31/55/62/407315562.db2.gz MDFZPPBSEPOYRH-UHFFFAOYSA-N -1 1 319.361 1.048 20 0 DDADMM COC(=O)N[C@@H](CC(C)C)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000102889593 407328529 /nfs/dbraw/zinc/32/85/29/407328529.db2.gz FXNNIVLWUUZYRX-LURJTMIESA-N -1 1 323.275 1.533 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cccc(C(=O)OC)c1)c1nn[n-]n1 ZINC000122730106 407309169 /nfs/dbraw/zinc/30/91/69/407309169.db2.gz SYKGYXTVSUEIQR-GFCCVEGCSA-N -1 1 317.349 1.186 20 0 DDADMM COC(=O)N[C@H](C(=O)Nc1n[n-]c(C(F)(F)F)n1)C(C)C ZINC000108261094 407383418 /nfs/dbraw/zinc/38/34/18/407383418.db2.gz PVAOZYMJOGQQFO-YFKPBYRVSA-N -1 1 309.248 1.143 20 0 DDADMM C[C@H](C(=O)NCCCc1nc(=O)[n-][nH]1)c1c(F)cccc1F ZINC000125679694 407395456 /nfs/dbraw/zinc/39/54/56/407395456.db2.gz JJEMHDZVCSIWSH-QMMMGPOBSA-N -1 1 310.304 1.229 20 0 DDADMM CC[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c1ccccc1 ZINC000113421918 407503389 /nfs/dbraw/zinc/50/33/89/407503389.db2.gz SBGGULYJAVHUHU-SNVBAGLBSA-N -1 1 308.363 1.341 20 0 DDADMM CC[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c1ccccc1 ZINC000113421918 407503399 /nfs/dbraw/zinc/50/33/99/407503399.db2.gz SBGGULYJAVHUHU-SNVBAGLBSA-N -1 1 308.363 1.341 20 0 DDADMM CC(C)(C)c1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)[nH]n1 ZINC000129349643 407604576 /nfs/dbraw/zinc/60/45/76/407604576.db2.gz OSGBKZAGSNTWIS-VIFPVBQESA-N -1 1 303.370 1.240 20 0 DDADMM C[C@H](O)[C@@H]1CCN(C(=O)c2cc(Br)ccc2[O-])C1 ZINC000227523226 407631401 /nfs/dbraw/zinc/63/14/01/407631401.db2.gz ITKCNHCIFABSCZ-DTWKUNHWSA-N -1 1 314.179 1.998 20 0 DDADMM Cn1ccc(CNC(=O)c2cc(Br)ccc2[O-])n1 ZINC000231621950 407699326 /nfs/dbraw/zinc/69/93/26/407699326.db2.gz IPLZZELNTQYRCQ-UHFFFAOYSA-N -1 1 310.151 1.818 20 0 DDADMM Cn1cnc(S(=O)(=O)[N-]C(C)(C)c2nccs2)c1Cl ZINC000116376960 407751516 /nfs/dbraw/zinc/75/15/16/407751516.db2.gz PAFJAKQYKUVUOO-UHFFFAOYSA-N -1 1 320.827 1.744 20 0 DDADMM CCCOC(=O)CC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000267190138 407784527 /nfs/dbraw/zinc/78/45/27/407784527.db2.gz ZZWKJGQAEWXMFH-UHFFFAOYSA-N -1 1 307.318 1.586 20 0 DDADMM O=C(NCc1ccco1)[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000153633349 407868184 /nfs/dbraw/zinc/86/81/84/407868184.db2.gz UXZCVLUWJDMXOW-LBPRGKRZSA-N -1 1 315.329 1.301 20 0 DDADMM O=C([O-])[C@@H]1CCN(CC(=O)Nc2ccc(OC(F)F)cc2)C1 ZINC000262603596 407880184 /nfs/dbraw/zinc/88/01/84/407880184.db2.gz AKZKXTKGYODBPP-SECBINFHSA-N -1 1 314.288 1.633 20 0 DDADMM COCCCN(Cc1ccncc1)C(=O)c1ncccc1[O-] ZINC000153778629 407899860 /nfs/dbraw/zinc/89/98/60/407899860.db2.gz WPTFLTSJMMXVLC-UHFFFAOYSA-N -1 1 301.346 1.861 20 0 DDADMM CCC[C@@H](NC(=O)C=Cc1ccc(OC)cc1)c1nn[n-]n1 ZINC000268246580 407968517 /nfs/dbraw/zinc/96/85/17/407968517.db2.gz WDYQFPFLYBXEBU-UTSBKAFOSA-N -1 1 301.350 1.879 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NC(=O)Nc1ccccc1)C(=O)[O-] ZINC000263108520 408026111 /nfs/dbraw/zinc/02/61/11/408026111.db2.gz KWAJROXCOVHGLY-GWCFXTLKSA-N -1 1 307.350 1.424 20 0 DDADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000263144221 408038399 /nfs/dbraw/zinc/03/83/99/408038399.db2.gz GYMDFYLIUFBXLV-MFKMUULPSA-N -1 1 314.345 1.797 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)NCCOc1cccc(C)c1)C(=O)[O-] ZINC000263084839 408020793 /nfs/dbraw/zinc/02/07/93/408020793.db2.gz IDLABLDVBKYVJA-BBRMVZONSA-N -1 1 322.405 1.579 20 0 DDADMM NC(=O)c1cccc(CCNC(=O)c2cc(F)ccc2[O-])c1 ZINC000175215245 408079381 /nfs/dbraw/zinc/07/93/81/408079381.db2.gz ZLIBCVWPSYYVPB-UHFFFAOYSA-N -1 1 302.305 1.603 20 0 DDADMM C[C@H]([C@H](C)N(C)C(=O)c1ccc([O-])cc1F)S(C)(=O)=O ZINC000154890662 408098887 /nfs/dbraw/zinc/09/88/87/408098887.db2.gz JWTYYSJIDIEPJS-DTWKUNHWSA-N -1 1 303.355 1.425 20 0 DDADMM CCc1nsc(N2CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000175418202 408123666 /nfs/dbraw/zinc/12/36/66/408123666.db2.gz RSPHLOSYLDSVFZ-UHFFFAOYSA-N -1 1 319.390 1.164 20 0 DDADMM CCC[C@@H](NC(=O)c1cccc(CC(=O)OC)c1)c1nn[n-]n1 ZINC000190156207 408176918 /nfs/dbraw/zinc/17/69/18/408176918.db2.gz RFXGLIRWLBVKMA-GFCCVEGCSA-N -1 1 317.349 1.186 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC2(CC)CC2)o1 ZINC000175654270 408178747 /nfs/dbraw/zinc/17/87/47/408178747.db2.gz SADALFRWUJNEGW-UHFFFAOYSA-N -1 1 301.364 1.925 20 0 DDADMM CNC(=O)[C@H](C)CN(C)C(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000175856762 408224593 /nfs/dbraw/zinc/22/45/93/408224593.db2.gz VYSWPOWOJJGNMY-SECBINFHSA-N -1 1 316.361 1.098 20 0 DDADMM CNC(=O)[C@H](C)CN(C)C(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000175856762 408224605 /nfs/dbraw/zinc/22/46/05/408224605.db2.gz VYSWPOWOJJGNMY-SECBINFHSA-N -1 1 316.361 1.098 20 0 DDADMM CCC[C@H]1CCCCN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000183066108 408318431 /nfs/dbraw/zinc/31/84/31/408318431.db2.gz UBHAGAIRQDJBIU-LBPRGKRZSA-N -1 1 314.407 1.983 20 0 DDADMM CC1(CNC(=O)c2ccc(Br)c([O-])c2)COC1 ZINC000157284768 408297434 /nfs/dbraw/zinc/29/74/34/408297434.db2.gz ADVMILDUNZSEOC-UHFFFAOYSA-N -1 1 300.152 1.921 20 0 DDADMM CCO[C@@H]1C[C@@H](NC(=O)c2cc(F)ccc2[O-])[C@@]12CCCO2 ZINC000274240320 408336950 /nfs/dbraw/zinc/33/69/50/408336950.db2.gz RDPOCAXIFHWRRI-FMKPAKJESA-N -1 1 309.337 1.988 20 0 DDADMM CCC[C@H](NC(=O)C[C@H]1c2ccccc2NC1=O)c1nn[n-]n1 ZINC000136670295 162120799 /nfs/dbraw/zinc/12/07/99/162120799.db2.gz NZHFYBWFEUEYLT-JQWIXIFHSA-N -1 1 314.349 1.283 20 0 DDADMM CC[C@@H](Oc1ccccc1F)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000183407867 408400834 /nfs/dbraw/zinc/40/08/34/408400834.db2.gz SCHLFDGUXJNMMG-ZYHUDNBSSA-N -1 1 321.356 1.758 20 0 DDADMM CCC[C@H](NC(=O)COc1ccc(C)cc1C)c1nn[n-]n1 ZINC000176759319 408434402 /nfs/dbraw/zinc/43/44/02/408434402.db2.gz MSLNBMYLIOSZPH-LBPRGKRZSA-N -1 1 303.366 1.853 20 0 DDADMM CCC[C@@H](NC(=O)CSCc1cccnc1)c1nn[n-]n1 ZINC000176766290 408438890 /nfs/dbraw/zinc/43/88/90/408438890.db2.gz NCXWFBFAEGFMQJ-LLVKDONJSA-N -1 1 306.395 1.486 20 0 DDADMM CCC[C@@H](NC(=O)[C@@H](C)Oc1ccc(C)cc1)c1nn[n-]n1 ZINC000176777996 408441447 /nfs/dbraw/zinc/44/14/47/408441447.db2.gz AFWFIUDFVYTGJG-DGCLKSJQSA-N -1 1 303.366 1.933 20 0 DDADMM C[C@H](CN(C)C(=O)[C@](C)(O)[C@@H](C)c1ccccc1)c1nn[n-]n1 ZINC000274784409 408531533 /nfs/dbraw/zinc/53/15/33/408531533.db2.gz OMRMGGDQXBQWSB-BFQNTYOBSA-N -1 1 317.393 1.316 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]Cc2ccccc2)o1 ZINC000177313923 408578160 /nfs/dbraw/zinc/57/81/60/408578160.db2.gz IFULYUIDQOAZIQ-UHFFFAOYSA-N -1 1 309.343 1.935 20 0 DDADMM CCc1nc([C@@H](C)NS(=O)(=O)c2cc(F)cc(F)c2)n[nH]1 ZINC000270561365 408580192 /nfs/dbraw/zinc/58/01/92/408580192.db2.gz JWOPMOYSGNLJEV-SSDOTTSWSA-N -1 1 316.333 1.685 20 0 DDADMM CC(C)(O)C1CN(C(=O)c2ccc(Br)cc2[O-])C1 ZINC000275743752 408666816 /nfs/dbraw/zinc/66/68/16/408666816.db2.gz ZSEJVMURAMEXOT-UHFFFAOYSA-N -1 1 314.179 1.998 20 0 DDADMM Cc1c(=O)[n-]c(S[C@H]2CCNC2=O)nc1-c1ccccc1 ZINC000184881196 408708867 /nfs/dbraw/zinc/70/88/67/408708867.db2.gz RWNYVPGVBSSGCH-NSHDSACASA-N -1 1 301.371 1.726 20 0 DDADMM CC(C)c1ccc(C(=O)NC[C@H](C)N2CCN(C)CC2)c(O)c1 ZINC000265647169 408729327 /nfs/dbraw/zinc/72/93/27/408729327.db2.gz XJWVNLPKPRWJMT-AWEZNQCLSA-N -1 1 319.449 1.881 20 0 DDADMM Cc1cnc(N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)nc1C ZINC000290788180 408833767 /nfs/dbraw/zinc/83/37/67/408833767.db2.gz XFCOYSGGSZMMPU-LLVKDONJSA-N -1 1 316.327 1.988 20 0 DDADMM Cc1nc([C@H](C)NS(=O)(=O)c2ccc(C)c(C(=O)[O-])c2)n[nH]1 ZINC000285676294 408841068 /nfs/dbraw/zinc/84/10/68/408841068.db2.gz ZNMOXFYRZXPZGE-QMMMGPOBSA-N -1 1 324.362 1.159 20 0 DDADMM CN(CCCNC(=O)C(=O)c1ccc([O-])cc1)CC(F)(F)F ZINC000280858863 408847364 /nfs/dbraw/zinc/84/73/64/408847364.db2.gz WXJNNBRSQMZIBE-UHFFFAOYSA-N -1 1 318.295 1.575 20 0 DDADMM CN1CCN(C(=O)c2ncccc2[O-])C[C@@H]1Cc1ccccc1 ZINC000285719887 408849663 /nfs/dbraw/zinc/84/96/63/408849663.db2.gz FDSQNSZXQWNILH-HNNXBMFYSA-N -1 1 311.385 1.786 20 0 DDADMM C[C@@H](C(=O)Nc1ccccc1)S(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000194817471 163297905 /nfs/dbraw/zinc/29/79/05/163297905.db2.gz YOXPGILBQRHZRP-VIFPVBQESA-N -1 1 320.374 1.483 20 0 DDADMM CCC[C@H](NC(=O)Cc1ccc(C)nc1Cl)c1nn[n-]n1 ZINC000291997265 408959027 /nfs/dbraw/zinc/95/90/27/408959027.db2.gz SKAAGKSURLEELV-JTQLQIEISA-N -1 1 308.773 1.757 20 0 DDADMM C[C@H]1CN(C(=O)c2cc(F)cc3nn[nH]c32)C[C@]2(CCOC2)O1 ZINC000282027399 408975272 /nfs/dbraw/zinc/97/52/72/408975272.db2.gz JYPVVTYJIAWVRF-VFZGTOFNSA-N -1 1 320.324 1.117 20 0 DDADMM C[C@H](NC(=O)c1ccc2ccccc2c1[O-])C(=O)NCCF ZINC000282094330 408990163 /nfs/dbraw/zinc/99/01/63/408990163.db2.gz SCCLGGQHKIRZBY-JTQLQIEISA-N -1 1 304.321 1.749 20 0 DDADMM C[C@@H]1COCC[C@H]1CNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287580497 409058462 /nfs/dbraw/zinc/05/84/62/409058462.db2.gz LJCPAEPPOGYCOU-AQIRGBNCSA-N -1 1 303.366 1.136 20 0 DDADMM CC(C)OC(=O)[C@@H](C)CNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283295923 409130717 /nfs/dbraw/zinc/13/07/17/409130717.db2.gz FIPITEHMOIKNIW-VIFPVBQESA-N -1 1 322.390 1.336 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)NC[C@H]3CCCSC3)ccnc1-2 ZINC000287662264 409072177 /nfs/dbraw/zinc/07/21/77/409072177.db2.gz PSNNRPGBQOSWCJ-RTEFUZQYSA-N -1 1 305.407 1.607 20 0 DDADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)c1ccn[nH]1 ZINC000293871373 409197415 /nfs/dbraw/zinc/19/74/15/409197415.db2.gz LINLUQLGYGBHFN-HTQZYQBOSA-N -1 1 304.272 1.081 20 0 DDADMM O=C([N-]CC1CCN(C(=O)c2cc(F)c[nH]2)CC1)C(F)(F)F ZINC000293588795 409143598 /nfs/dbraw/zinc/14/35/98/409143598.db2.gz KFDCSWHIVPQLHN-UHFFFAOYSA-N -1 1 321.274 1.685 20 0 DDADMM O=C(N[C@@H]1CCO[C@@H](C2CC2)C1)c1ccc2n[n-]c(=S)n2c1 ZINC000283471711 409162367 /nfs/dbraw/zinc/16/23/67/409162367.db2.gz PFLWSGPESNORLI-VXGBXAGGSA-N -1 1 318.402 1.705 20 0 DDADMM COC(=O)c1c[n-]c(SC[C@H]2CCCS(=O)(=O)C2)n1 ZINC000283837091 409225830 /nfs/dbraw/zinc/22/58/30/409225830.db2.gz GUBKSLKMUJWZGS-MRVPVSSYSA-N -1 1 304.393 1.113 20 0 DDADMM CC(C)c1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)cc(=O)[nH]1 ZINC000279603586 409244876 /nfs/dbraw/zinc/24/48/76/409244876.db2.gz GLPUEIAHRGUEII-NDENLUEZSA-N -1 1 311.345 1.818 20 0 DDADMM Cn1cc(S(=O)(=O)[N-]CC2CCCC2)c(C(F)(F)F)n1 ZINC000294223689 409261579 /nfs/dbraw/zinc/26/15/79/409261579.db2.gz VEUDOHJNULBKCQ-UHFFFAOYSA-N -1 1 311.329 1.907 20 0 DDADMM CCc1cnc(CNC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)s1 ZINC000279375630 409201502 /nfs/dbraw/zinc/20/15/02/409201502.db2.gz QHAFMFGIBCVPLB-ODLFYWEKSA-N -1 1 316.390 1.682 20 0 DDADMM COC(=O)CCCCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283731860 409205219 /nfs/dbraw/zinc/20/52/19/409205219.db2.gz ODQADBBJBQKDTM-UHFFFAOYSA-N -1 1 322.390 1.481 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C1CC1 ZINC000284338647 409328607 /nfs/dbraw/zinc/32/86/07/409328607.db2.gz PJNKROKDYLTRMV-NSHDSACASA-N -1 1 321.757 1.709 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2C(C)(C)C2(C)C)o1 ZINC000280455999 409314785 /nfs/dbraw/zinc/31/47/85/409314785.db2.gz IDQKKWUKAXJMDD-UHFFFAOYSA-N -1 1 314.407 1.600 20 0 DDADMM CC[S@@](=O)CC(=O)Nc1nc(Br)ccc1[O-] ZINC000295522951 409327750 /nfs/dbraw/zinc/32/77/50/409327750.db2.gz SCWOYWJNPWTEFX-MRXNPFEDSA-N -1 1 307.169 1.257 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC(=O)N(CCC(F)(F)F)C1 ZINC000295987576 409391979 /nfs/dbraw/zinc/39/19/79/409391979.db2.gz QMJHILNSUVOLAD-UHFFFAOYSA-N -1 1 320.242 1.726 20 0 DDADMM CC(C)O[N-]C(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000295563405 409348872 /nfs/dbraw/zinc/34/88/72/409348872.db2.gz NGEWABLKTUUKPP-LLVKDONJSA-N -1 1 320.345 1.267 20 0 DDADMM COC[C@@H]1CN(C(=O)c2c(F)ccc([O-])c2F)C[C@H](C)O1 ZINC000290288410 409349155 /nfs/dbraw/zinc/34/91/55/409349155.db2.gz ZXFCDTLJXXLUHH-IUCAKERBSA-N -1 1 301.289 1.546 20 0 DDADMM CCN(CC)C(=O)CN(C)C(=O)c1c(F)ccc([O-])c1F ZINC000280700597 409416190 /nfs/dbraw/zinc/41/61/90/409416190.db2.gz FNEIUQASOWCUFQ-UHFFFAOYSA-N -1 1 300.305 1.611 20 0 DDADMM CC[C@H](C(=O)NC(C)(C)c1nn[n-]n1)c1ccc(OC)cc1 ZINC000343435361 164087223 /nfs/dbraw/zinc/08/72/23/164087223.db2.gz BCIKEJNQUZPBNB-LBPRGKRZSA-N -1 1 303.366 1.753 20 0 DDADMM CC(C)c1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n(C)n1 ZINC000407999892 164194412 /nfs/dbraw/zinc/19/44/12/164194412.db2.gz WFJSJJOGCGHNOU-JTQLQIEISA-N -1 1 319.365 1.652 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C[C@H]1Cc1ccccc1 ZINC000290662898 409480521 /nfs/dbraw/zinc/48/05/21/409480521.db2.gz FVMKQHJGWRMHQU-VXGBXAGGSA-N -1 1 307.375 1.475 20 0 DDADMM Cc1nc(S[C@H]2CCN(C3CCOCC3)C2=O)[n-]c(=O)c1C ZINC000408122977 164234948 /nfs/dbraw/zinc/23/49/48/164234948.db2.gz CEDQHONDDKKONU-LBPRGKRZSA-N -1 1 323.418 1.671 20 0 DDADMM C[C@H]([N-]S(=O)(=O)c1ccc(Br)o1)[C@H]1CCCO1 ZINC000408215979 164263349 /nfs/dbraw/zinc/26/33/49/164263349.db2.gz RYLXLFDHZOUCMS-JGVFFNPUSA-N -1 1 324.196 1.888 20 0 DDADMM Cc1cnc(C(=O)N2CCN(C(=O)C(C)(C)C)CC2)c([O-])c1 ZINC000408225672 164267857 /nfs/dbraw/zinc/26/78/57/164267857.db2.gz VYXSBUJBOFMAMO-UHFFFAOYSA-N -1 1 305.378 1.426 20 0 DDADMM CCOC(=O)C(C)(C)O[N-]C(=O)Cc1ccc(C(=O)OC)cc1 ZINC000295466431 409495725 /nfs/dbraw/zinc/49/57/25/409495725.db2.gz CNMQZEGIBOOLBM-UHFFFAOYSA-N -1 1 323.345 1.405 20 0 DDADMM CC[C@H]1CCCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000337914314 409565867 /nfs/dbraw/zinc/56/58/67/409565867.db2.gz RZWPUPIDBIOZOV-LBPRGKRZSA-N -1 1 305.378 1.698 20 0 DDADMM CC(C)S(=O)(=O)CCNC(=O)c1ccc(Cl)cc1[O-] ZINC000290756561 409508917 /nfs/dbraw/zinc/50/89/17/409508917.db2.gz ZGLTXHHSCDSNDV-UHFFFAOYSA-N -1 1 305.783 1.599 20 0 DDADMM COC(=O)[C@H](CN=c1[n-]c([C@H](C)OC)ns1)CC(C)C ZINC000337880440 409533303 /nfs/dbraw/zinc/53/33/03/409533303.db2.gz UGCDGQJNMBRLCQ-UWVGGRQHSA-N -1 1 301.412 1.915 20 0 DDADMM COC(=O)[C@H](CN=c1nc([C@H](C)OC)[n-]s1)CC(C)C ZINC000337880440 409533316 /nfs/dbraw/zinc/53/33/16/409533316.db2.gz UGCDGQJNMBRLCQ-UWVGGRQHSA-N -1 1 301.412 1.915 20 0 DDADMM C[C@H](CN(C)C(=O)c1csc(C(F)(F)F)n1)c1nn[n-]n1 ZINC000356769482 409586182 /nfs/dbraw/zinc/58/61/82/409586182.db2.gz NQRSORLGIZNEIO-RXMQYKEDSA-N -1 1 320.300 1.551 20 0 DDADMM Cc1ccccc1CN(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337942814 409588109 /nfs/dbraw/zinc/58/81/09/409588109.db2.gz RRHALWQQBQVGNK-UHFFFAOYSA-N -1 1 313.357 1.484 20 0 DDADMM CSc1cccc(NC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000337942016 409588645 /nfs/dbraw/zinc/58/86/45/409588645.db2.gz IPAGRQTVOUGJGS-UHFFFAOYSA-N -1 1 317.370 1.878 20 0 DDADMM Cc1[nH]ncc1CNC(=O)N=c1[n-]nc(-c2ccco2)s1 ZINC000337968841 409613059 /nfs/dbraw/zinc/61/30/59/409613059.db2.gz ZESLRNSBCFPSQL-UHFFFAOYSA-N -1 1 304.335 1.573 20 0 DDADMM COC(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)s1 ZINC000345556860 409694830 /nfs/dbraw/zinc/69/48/30/409694830.db2.gz WJKZUSFTKJSEBG-UHFFFAOYSA-N -1 1 321.362 1.247 20 0 DDADMM O=C(N[C@@H]1COC[C@H]1O)c1c([O-])cnc2ccc(Cl)cc21 ZINC000296887401 409688632 /nfs/dbraw/zinc/68/86/32/409688632.db2.gz JOJXHLCTEJHSGH-ZYHUDNBSSA-N -1 1 308.721 1.083 20 0 DDADMM CC[C@@H]1CCC[C@H]1NC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000331643393 409728483 /nfs/dbraw/zinc/72/84/83/409728483.db2.gz JPFVHCDWBPYIBN-GHMZBOCLSA-N -1 1 324.454 1.361 20 0 DDADMM CCOC(=O)c1cn(C)[n-]c1=NC(=O)c1c(C)onc1CC ZINC000342641745 409783132 /nfs/dbraw/zinc/78/31/32/409783132.db2.gz AQXRGOAIDLVZRJ-UHFFFAOYSA-N -1 1 306.322 1.130 20 0 DDADMM COCc1c2ccccc2oc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357052893 409822929 /nfs/dbraw/zinc/82/29/29/409822929.db2.gz JQMLGKFRULQJDE-UHFFFAOYSA-N -1 1 313.317 1.511 20 0 DDADMM C[C@H](NC(=O)CN1CC[C@H](C(=O)[O-])[C@H](C)C1)c1cccs1 ZINC000314220009 409823546 /nfs/dbraw/zinc/82/35/46/409823546.db2.gz WYRYVQYRBZDDDK-WOPDTQHZSA-N -1 1 310.419 1.968 20 0 DDADMM C[C@@H](Cc1cccc(Cl)c1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357062853 409827644 /nfs/dbraw/zinc/82/76/44/409827644.db2.gz YSQQYVYNYUIJKW-VIFPVBQESA-N -1 1 305.769 1.837 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2ccc(O)cc2)c1 ZINC000338214574 409809521 /nfs/dbraw/zinc/80/95/21/409809521.db2.gz PWRMZBPUJIEUON-UHFFFAOYSA-N -1 1 311.315 1.250 20 0 DDADMM Cc1cnc(C(=O)N2CCC(Oc3cnccn3)CC2)c([O-])c1 ZINC000332131369 409913039 /nfs/dbraw/zinc/91/30/39/409913039.db2.gz CAHGEFUAYXFLRL-UHFFFAOYSA-N -1 1 314.345 1.569 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(-c2nc(-c3ncc(C)cn3)no2)o1 ZINC000357176320 409923119 /nfs/dbraw/zinc/92/31/19/409923119.db2.gz SZPFKTXFFDDSFU-UHFFFAOYSA-N -1 1 321.318 1.003 20 0 DDADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCC[C@H]1CCCC[C@@H]1C ZINC000349639228 409897183 /nfs/dbraw/zinc/89/71/83/409897183.db2.gz QWFFYUURHDMAKV-GXSJLCMTSA-N -1 1 301.412 1.890 20 0 DDADMM Cc1onc(N)c1S(=O)(=O)[N-]CC[C@H]1CCCC[C@@H]1C ZINC000349639228 409897191 /nfs/dbraw/zinc/89/71/91/409897191.db2.gz QWFFYUURHDMAKV-GXSJLCMTSA-N -1 1 301.412 1.890 20 0 DDADMM C[N@H+](Cc1nc(-c2ccc3n[nH]nc3c2)no1)[C@@H]1CCSC1 ZINC000350499947 409951693 /nfs/dbraw/zinc/95/16/93/409951693.db2.gz AICXAHNHWHLPRE-SNVBAGLBSA-N -1 1 316.390 1.945 20 0 DDADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CSC2(C)C)o1 ZINC000338439364 409993487 /nfs/dbraw/zinc/99/34/87/409993487.db2.gz ZSAGGFRIFJLWAS-VIFPVBQESA-N -1 1 319.404 1.629 20 0 DDADMM Cc1cc(S(=O)(=O)N[C@H](C)CN(C)C)cc(C(=O)[O-])c1C ZINC000342901398 409985192 /nfs/dbraw/zinc/98/51/92/409985192.db2.gz LLDDCPXHWJJUQP-SNVBAGLBSA-N -1 1 314.407 1.230 20 0 DDADMM COc1cc(-c2nc(Cn3cncn3)no2)cc(Cl)c1[O-] ZINC000350767396 409991027 /nfs/dbraw/zinc/99/10/27/409991027.db2.gz ZVLDUBQQESJUGJ-UHFFFAOYSA-N -1 1 307.697 1.744 20 0 DDADMM Cc1noc(CSc2ccccc2C(=O)Nc2nnn[n-]2)n1 ZINC000346355216 409932975 /nfs/dbraw/zinc/93/29/75/409932975.db2.gz VGSMPPNNDMMEGG-UHFFFAOYSA-N -1 1 317.334 1.436 20 0 DDADMM Cc1noc(CSc2ccccc2C(=O)Nc2nn[n-]n2)n1 ZINC000346355216 409932984 /nfs/dbraw/zinc/93/29/84/409932984.db2.gz VGSMPPNNDMMEGG-UHFFFAOYSA-N -1 1 317.334 1.436 20 0 DDADMM C[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1ccncc1F ZINC000338374595 409947499 /nfs/dbraw/zinc/94/74/99/409947499.db2.gz LVRCVDKPCLWGFX-MRVPVSSYSA-N -1 1 315.308 1.699 20 0 DDADMM CC(C)[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)c1cccnc1 ZINC000579912180 422852586 /nfs/dbraw/zinc/85/25/86/422852586.db2.gz URXXRFPEQSITJA-WCQYABFASA-N -1 1 302.382 1.596 20 0 DDADMM CC(C)(NC(=O)[C@@H]1C[C@@H]1c1cc(F)ccc1F)c1nn[n-]n1 ZINC000354770310 410073693 /nfs/dbraw/zinc/07/36/93/410073693.db2.gz IOZHTDXUXWPJJY-PSASIEDQSA-N -1 1 307.304 1.633 20 0 DDADMM COc1cccc(C2(C(=O)N(C)C[C@H](C)c3nn[n-]n3)CC2)c1 ZINC000354775576 410079090 /nfs/dbraw/zinc/07/90/90/410079090.db2.gz CLDJWBWMUOYBIR-NSHDSACASA-N -1 1 315.377 1.502 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc3c(s2)CCOC3)n1 ZINC000339393236 410153059 /nfs/dbraw/zinc/15/30/59/410153059.db2.gz WBKURERTSUOUSC-UHFFFAOYSA-N -1 1 321.358 1.973 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)c1 ZINC000357642056 410155703 /nfs/dbraw/zinc/15/57/03/410155703.db2.gz AHTSLCPHEOXIMW-KEODLESXSA-N -1 1 324.402 1.675 20 0 DDADMM CCCC1CCC(NC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343166090 410201619 /nfs/dbraw/zinc/20/16/19/410201619.db2.gz WHFDMEWEHBFRJN-UHFFFAOYSA-N -1 1 319.405 1.992 20 0 DDADMM C[C@H]1CCCC[C@@H]1N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000343168236 410204471 /nfs/dbraw/zinc/20/44/71/410204471.db2.gz AWIMTGUOFIEYRF-RYUDHWBXSA-N -1 1 305.378 1.554 20 0 DDADMM Cn1[n-]c(CN2CCNC(=O)C[C@H]2c2ccccc2)nc1=O ZINC000329276456 410207016 /nfs/dbraw/zinc/20/70/16/410207016.db2.gz KJAWINIGRBVETD-LBPRGKRZSA-N -1 1 301.350 1.012 20 0 DDADMM COCc1cccc(CN2CCN(c3nc(=N)[n-]s3)CC2)c1 ZINC000355085874 410304168 /nfs/dbraw/zinc/30/41/68/410304168.db2.gz ZICMYYGUGIPADI-UHFFFAOYSA-N -1 1 319.434 1.419 20 0 DDADMM COC[C@H](C)N(C)C(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000298718461 410362433 /nfs/dbraw/zinc/36/24/33/410362433.db2.gz LHAYYDDJRURZBJ-VIFPVBQESA-N -1 1 313.423 1.638 20 0 DDADMM CC(C)C[C@H]1CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343348208 410381000 /nfs/dbraw/zinc/38/10/00/410381000.db2.gz XONDEJYDQYRRRV-GFCCVEGCSA-N -1 1 305.378 1.554 20 0 DDADMM CNS(=O)(=O)c1cc(C(=O)Nc2ccc(F)cc2[O-])co1 ZINC000339692712 410390415 /nfs/dbraw/zinc/39/04/15/410390415.db2.gz BOHMYZNXUQJECL-UHFFFAOYSA-N -1 1 314.294 1.285 20 0 DDADMM O=C(NC[C@@]1(CO)C[C@H]1c1ccc(F)cc1)c1cncc([O-])c1 ZINC000355340017 410455378 /nfs/dbraw/zinc/45/53/78/410455378.db2.gz NOQIOSSTYNUYTL-DOTOQJQBSA-N -1 1 316.332 1.822 20 0 DDADMM O=S(=O)([N-][C@H](CO)CC(F)(F)F)c1cc(F)cc(F)c1 ZINC000339787225 410461289 /nfs/dbraw/zinc/46/12/89/410461289.db2.gz MENNCPARDHQBBC-QMMMGPOBSA-N -1 1 319.251 1.556 20 0 DDADMM O=C(c1csc(=NC2CC2)[n-]1)N1C[C@@H]2CC[C@@H](O)C[C@@H]2C1 ZINC000333287098 410464385 /nfs/dbraw/zinc/46/43/85/410464385.db2.gz NQOIQTWEGHXGJH-HOSYDEDBSA-N -1 1 307.419 1.372 20 0 DDADMM CN(C)C(=O)C[C@@H](NC(=O)c1cncc([O-])c1)c1ccccc1 ZINC000339814596 410476617 /nfs/dbraw/zinc/47/66/17/410476617.db2.gz BYSFWYXMCUUTMM-OAHLLOKOSA-N -1 1 313.357 1.737 20 0 DDADMM O=c1cc(/C=C\c2cccc3c2OCCCO3)nc2nc[n-]n21 ZINC000352036426 410436057 /nfs/dbraw/zinc/43/60/57/410436057.db2.gz ZOFJEAVJCNYRJM-WAYWQWQTSA-N -1 1 310.313 1.749 20 0 DDADMM Cc1cc(=NC(=O)NC[C@@H](c2ccccc2)N(C)C)[n-]nc1C ZINC000343547066 410521819 /nfs/dbraw/zinc/52/18/19/410521819.db2.gz ARZYLYKVYUQXPP-HNNXBMFYSA-N -1 1 313.405 1.940 20 0 DDADMM CCC(CC)n1ncc(C(=O)NC2(c3nn[n-]n3)CC2)c1C ZINC000352211045 410552749 /nfs/dbraw/zinc/55/27/49/410552749.db2.gz PKFTZYSCIOALQY-UHFFFAOYSA-N -1 1 303.370 1.485 20 0 DDADMM NC(=O)[C@H]1CCCC[C@@H]1NC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000631628477 422859265 /nfs/dbraw/zinc/85/92/65/422859265.db2.gz IHYRLRGFMPJUPR-JQWIXIFHSA-N -1 1 310.781 1.748 20 0 DDADMM COC1(C[N-]S(=O)(=O)c2ccc(Br)o2)CCC1 ZINC000352946365 410692223 /nfs/dbraw/zinc/69/22/23/410692223.db2.gz VOZLGXRHXKDUET-UHFFFAOYSA-N -1 1 324.196 1.890 20 0 DDADMM O=C(Nc1nnn[n-]1)c1cnn(-c2ccc(C(F)(F)F)cc2)c1 ZINC000352976256 410712255 /nfs/dbraw/zinc/71/22/55/410712255.db2.gz ZHALBUOMZHMXKV-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM O=C(Nc1nn[n-]n1)c1cnn(-c2ccc(C(F)(F)F)cc2)c1 ZINC000352976256 410712262 /nfs/dbraw/zinc/71/22/62/410712262.db2.gz ZHALBUOMZHMXKV-UHFFFAOYSA-N -1 1 323.238 1.657 20 0 DDADMM CCC[C@@H](NC(=O)CCCc1nc(C2CC2)no1)c1nn[n-]n1 ZINC000343767138 410681620 /nfs/dbraw/zinc/68/16/20/410681620.db2.gz QOJVGWRISJPLPT-SNVBAGLBSA-N -1 1 319.369 1.440 20 0 DDADMM CCC[C@H](NC(=O)c1ccc2c(C)nn(C)c2n1)c1nn[n-]n1 ZINC000343770041 410684145 /nfs/dbraw/zinc/68/41/45/410684145.db2.gz WAURCTMTQIRNGY-JTQLQIEISA-N -1 1 314.353 1.061 20 0 DDADMM CO[C@@H]1C[C@@H](c2ccccc2)CC[C@@H]1NC(=O)c1cnn[nH]1 ZINC000343997495 410875286 /nfs/dbraw/zinc/87/52/86/410875286.db2.gz XWYKHCQGMCDDDQ-KCQAQPDRSA-N -1 1 300.362 1.886 20 0 DDADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2C[C@H]2C2CC2)c1 ZINC000359689413 410817295 /nfs/dbraw/zinc/81/72/95/410817295.db2.gz DCGWFILDIBIUKA-QWRGUYRKSA-N -1 1 310.375 1.285 20 0 DDADMM CCC(=O)c1ccc(OCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000359803776 410888634 /nfs/dbraw/zinc/88/86/34/410888634.db2.gz VDOPVLFRFVERCL-UHFFFAOYSA-N -1 1 317.349 1.223 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CC1)c1cnc(-c2ccsc2)s1 ZINC000348276028 410890124 /nfs/dbraw/zinc/89/01/24/410890124.db2.gz MXRDXPQPDQDQSH-UHFFFAOYSA-N -1 1 318.387 1.804 20 0 DDADMM CC[C@@H](C(=O)[O-])N1CCN(C(=O)C(C)(C)c2ccccc2)CC1 ZINC000331079828 410935014 /nfs/dbraw/zinc/93/50/14/410935014.db2.gz NONVDVCXZYZNAS-HNNXBMFYSA-N -1 1 318.417 1.972 20 0 DDADMM O=C(CCc1nn[n-]n1)NC[C@@H]1SCCc2ccccc21 ZINC000631637717 422863153 /nfs/dbraw/zinc/86/31/53/422863153.db2.gz RRBFYLPQVQWZGM-LBPRGKRZSA-N -1 1 303.391 1.279 20 0 DDADMM CCC[C@@](C)(CC)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000356385004 410998923 /nfs/dbraw/zinc/99/89/23/410998923.db2.gz GMKYQQHYARZGJZ-CQSZACIVSA-N -1 1 311.455 1.816 20 0 DDADMM CC[C@@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331289871 411059634 /nfs/dbraw/zinc/05/96/34/411059634.db2.gz OEOOVFBQAZMLSQ-PRHODGIISA-N -1 1 306.453 1.835 20 0 DDADMM CSCC[C@@H](CO)[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331291441 411061786 /nfs/dbraw/zinc/06/17/86/411061786.db2.gz PKOYSDBVQAJMCZ-VIFPVBQESA-N -1 1 310.466 1.152 20 0 DDADMM CN(Cc1nn(C)c(=O)[n-]1)Cc1ccc(Br)cc1 ZINC000353498109 411032101 /nfs/dbraw/zinc/03/21/01/411032101.db2.gz FWDWVBYIDXRVAF-UHFFFAOYSA-N -1 1 311.183 1.503 20 0 DDADMM CC(=O)Nc1ccc([O-])c(C(=O)Nc2nc3n(n2)CCCC3)c1 ZINC000580041945 422875239 /nfs/dbraw/zinc/87/52/39/422875239.db2.gz XWUXCGYQVCLJKB-UHFFFAOYSA-N -1 1 315.333 1.531 20 0 DDADMM Cc1cnccc1CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000647676056 422996375 /nfs/dbraw/zinc/99/63/75/422996375.db2.gz YIWLICMNZYIDHW-UHFFFAOYSA-N -1 1 302.334 1.427 20 0 DDADMM O=C(c1ncccc1[O-])N1CCOC[C@H]1C[C@@H](O)c1ccco1 ZINC000132165782 196232008 /nfs/dbraw/zinc/23/20/08/196232008.db2.gz QFSKHVYPPFLJFF-DGCLKSJQSA-N -1 1 318.329 1.345 20 0 DDADMM O=C(N[C@@H]1CCC[C@H]1O)c1cc(Br)ccc1[O-] ZINC000132996048 196303236 /nfs/dbraw/zinc/30/32/36/196303236.db2.gz DRDRPZMQNHKOAA-MWLCHTKSSA-N -1 1 300.152 1.798 20 0 DDADMM C[C@@H]1CN(Cc2ccc(-n3ccnc3)cc2)C[C@H](C(=O)[O-])O1 ZINC000652509017 423049561 /nfs/dbraw/zinc/04/95/61/423049561.db2.gz QDUPMBSTGQMZGR-IUODEOHRSA-N -1 1 301.346 1.546 20 0 DDADMM CCCc1sc(C(=O)N=c2ncn(CC(=O)[O-])[nH]2)cc1CC ZINC000647780553 423044727 /nfs/dbraw/zinc/04/47/27/423044727.db2.gz CROIDJLTGHIPHJ-UHFFFAOYSA-N -1 1 322.390 1.613 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC(CN2CCOCC2)C1 ZINC000647806740 423056682 /nfs/dbraw/zinc/05/66/82/423056682.db2.gz UOGBBNGXLUFFQH-UHFFFAOYSA-N -1 1 312.316 1.075 20 0 DDADMM CC[C@@H](CS(=O)(=O)[N-]c1ccccc1-n1cncn1)OC ZINC000643269046 423092189 /nfs/dbraw/zinc/09/21/89/423092189.db2.gz YLCUVZFNYJQFJD-NSHDSACASA-N -1 1 310.379 1.434 20 0 DDADMM CCOC(=O)c1cc(NC(=O)CNC(=O)c2ccsc2)n[nH]1 ZINC000541904364 416618717 /nfs/dbraw/zinc/61/87/17/416618717.db2.gz JEBNYEPLIDQBCJ-UHFFFAOYSA-N -1 1 322.346 1.016 20 0 DDADMM CO[C@H]1CCCC[C@@H]1C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000640655388 423115719 /nfs/dbraw/zinc/11/57/19/423115719.db2.gz LQYVGYJJNUXUAY-QWRGUYRKSA-N -1 1 311.407 1.726 20 0 DDADMM C[C@@H]1OCC[C@@H]1[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645632598 423117878 /nfs/dbraw/zinc/11/78/78/423117878.db2.gz LOLGZKZEUDJIRE-CBAPKCEASA-N -1 1 310.297 1.556 20 0 DDADMM CSc1nc(CNC(=O)CN(C)c2ccccc2)cc(=O)[n-]1 ZINC000640658620 423118625 /nfs/dbraw/zinc/11/86/25/423118625.db2.gz QNHKMRFVUVSIJS-UHFFFAOYSA-N -1 1 318.402 1.657 20 0 DDADMM O=C(NCCOCC(F)F)c1ccc2n[n-]c(=S)n2c1 ZINC000296753263 226195654 /nfs/dbraw/zinc/19/56/54/226195654.db2.gz PWKNSYDWOYYUIM-UHFFFAOYSA-N -1 1 302.306 1.030 20 0 DDADMM COc1cccc([C@@H]2[C@@H](NC(=O)C(=O)[O-])CCN2C2CC2)c1 ZINC000366731992 418509700 /nfs/dbraw/zinc/50/97/00/418509700.db2.gz HNQMMHOLLMSXEX-UONOGXRCSA-N -1 1 304.346 1.174 20 0 DDADMM COc1ccc2c(c1)[nH]cc(C(=O)N1CCOC[C@@H](C)C1)c2=O ZINC000366779351 418516028 /nfs/dbraw/zinc/51/60/28/418516028.db2.gz KDLNUWWAFIQJRZ-NSHDSACASA-N -1 1 316.357 1.645 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)c2cnc3n2CCCC3)c1 ZINC000374471619 418533920 /nfs/dbraw/zinc/53/39/20/418533920.db2.gz LUJDDRSABCQJDG-UHFFFAOYSA-N -1 1 315.329 1.964 20 0 DDADMM C[N@@H+]1CCC[C@@H]1CNS(=O)(=O)c1cnc(Cl)c(Cl)c1 ZINC000224011320 418564430 /nfs/dbraw/zinc/56/44/30/418564430.db2.gz FNBUDJUZQLLKLY-MRVPVSSYSA-N -1 1 324.233 1.761 20 0 DDADMM COc1ccc(C(=O)Nc2cc(C(N)=O)ccc2F)c([O-])c1 ZINC000192531222 222129717 /nfs/dbraw/zinc/12/97/17/222129717.db2.gz XQOHOFIXYNYQDS-UHFFFAOYSA-N -1 1 304.277 1.891 20 0 DDADMM CCCCNC(=O)[C@@H](C)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000315945522 418598917 /nfs/dbraw/zinc/59/89/17/418598917.db2.gz HWRLDVYWRNPMGL-DOMZBBRYSA-N -1 1 304.390 1.975 20 0 DDADMM CC1(C(=O)[O-])CCN(C(=O)[C@@H]2NCCc3ccccc32)CC1 ZINC000315886300 418599072 /nfs/dbraw/zinc/59/90/72/418599072.db2.gz KJRBYWQKEJBJDI-CQSZACIVSA-N -1 1 302.374 1.587 20 0 DDADMM O=C(c1ccccc1OC(F)F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000361188266 418601582 /nfs/dbraw/zinc/60/15/82/418601582.db2.gz CDFUJIALLNXRQA-SECBINFHSA-N -1 1 323.303 1.821 20 0 DDADMM Cn1ncc(CN2CCC(C(=O)c3ccc([O-])cc3)CC2)n1 ZINC000368125456 418693622 /nfs/dbraw/zinc/69/36/22/418693622.db2.gz WVRWQFRXPSCWMS-UHFFFAOYSA-N -1 1 300.362 1.616 20 0 DDADMM Cc1cc(NS(=O)(=O)c2cnc3n2CCC3)ccc1C(=O)[O-] ZINC000361997158 418736463 /nfs/dbraw/zinc/73/64/63/418736463.db2.gz SMOOWBOJDZPFES-UHFFFAOYSA-N -1 1 321.358 1.637 20 0 DDADMM CC1(C)CCC(CC(=O)N2CCO[C@H](c3nn[n-]n3)C2)CC1 ZINC000370976176 418753673 /nfs/dbraw/zinc/75/36/73/418753673.db2.gz LMHHXKMELVWXEJ-LBPRGKRZSA-N -1 1 307.398 1.706 20 0 DDADMM O=S(=O)(NC[C@H]1CC[N@@H+](Cc2ccccc2)C1)c1ncc[nH]1 ZINC000390788292 418755003 /nfs/dbraw/zinc/75/50/03/418755003.db2.gz DDMBDIKVMGHFPJ-CQSZACIVSA-N -1 1 320.418 1.210 20 0 DDADMM CCN(C(=O)c1nc2ccccc2c(=O)[n-]1)[C@H]1CCCOC1 ZINC000650366276 423142377 /nfs/dbraw/zinc/14/23/77/423142377.db2.gz GDTDRUZNCGEEQU-NSHDSACASA-N -1 1 301.346 1.564 20 0 DDADMM Cc1cn2c(n1)CN(C(=O)c1c[n-]c3nc(C)ccc3c1=O)CC2 ZINC000371742556 418813230 /nfs/dbraw/zinc/81/32/30/418813230.db2.gz FDLOPNZLJCVRRJ-UHFFFAOYSA-N -1 1 323.356 1.805 20 0 DDADMM Cc1cn2c(n1)CN(C(=O)c1cnc3nc(C)ccc3c1[O-])CC2 ZINC000371742556 418813231 /nfs/dbraw/zinc/81/32/31/418813231.db2.gz FDLOPNZLJCVRRJ-UHFFFAOYSA-N -1 1 323.356 1.805 20 0 DDADMM O=C(c1c([O-])cnc2ccccc21)N1CC[C@@H]2C(=O)NC[C@H]2C1 ZINC000365675421 418893228 /nfs/dbraw/zinc/89/32/28/418893228.db2.gz FMNWIXOHGBTZOB-QWRGUYRKSA-N -1 1 311.341 1.149 20 0 DDADMM C[C@H](COCC1CC1)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000650376296 423145257 /nfs/dbraw/zinc/14/52/57/423145257.db2.gz LBQLZJWDVOHBKE-SNVBAGLBSA-N -1 1 301.346 1.468 20 0 DDADMM CCc1c(C(=O)N=c2ccc([O-])n[nH]2)cnn1C(CC)CC ZINC000362513270 300083276 /nfs/dbraw/zinc/08/32/76/300083276.db2.gz URONYUOUSXDFGZ-UHFFFAOYSA-N -1 1 303.366 1.976 20 0 DDADMM CCc1c(C(=O)N=c2ccc(O)n[n-]2)cnn1C(CC)CC ZINC000362513270 300083278 /nfs/dbraw/zinc/08/32/78/300083278.db2.gz URONYUOUSXDFGZ-UHFFFAOYSA-N -1 1 303.366 1.976 20 0 DDADMM COc1cccc(S([O-])=CC(=O)N[C@H]2CCN(C3CC3)C2)c1 ZINC000424627294 228296485 /nfs/dbraw/zinc/29/64/85/228296485.db2.gz VNJQSHXTCRNWHE-AMXDTQDGSA-N -1 1 322.430 1.156 20 0 DDADMM Cc1cc(F)c(S(=O)(=O)[N-]C2CCS(=O)CC2)c(F)c1 ZINC000425171935 228379759 /nfs/dbraw/zinc/37/97/59/228379759.db2.gz SNJAXUJIMOMCNH-UHFFFAOYSA-N -1 1 323.386 1.463 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1c(F)cc(C)cc1F)C1CC1 ZINC000425202216 228388887 /nfs/dbraw/zinc/38/88/87/228388887.db2.gz MJPMLUJYTCTDNN-NSHDSACASA-N -1 1 319.329 1.503 20 0 DDADMM O=C(NCCCC1(O)CCC1)c1nc2ccccc2c(=O)[n-]1 ZINC000421234076 419531797 /nfs/dbraw/zinc/53/17/97/419531797.db2.gz CZZLDGJKZBLPPC-UHFFFAOYSA-N -1 1 301.346 1.348 20 0 DDADMM CC[C@H](CS(=O)(=O)[N-]c1ccccc1-n1ccnn1)OC ZINC000421159869 419505536 /nfs/dbraw/zinc/50/55/36/419505536.db2.gz XIFFRTZCGPMOAI-LLVKDONJSA-N -1 1 310.379 1.434 20 0 DDADMM C[C@@H](CC(=O)[O-])NC(=O)c1ccc(CN2CCSCC2)cc1 ZINC000427753545 228668240 /nfs/dbraw/zinc/66/82/40/228668240.db2.gz BJZPEMREQIVUDB-LBPRGKRZSA-N -1 1 322.430 1.828 20 0 DDADMM CO[C@@H]1CC[C@H]2OCCN(C(=O)c3ncc(C)cc3[O-])[C@H]2C1 ZINC000427651610 419707551 /nfs/dbraw/zinc/70/75/51/419707551.db2.gz AIZZCYQOHBSTCT-MBNYWOFBSA-N -1 1 306.362 1.504 20 0 DDADMM CCOC(=O)c1cnc(NC(=O)[C@@H](C)Cc2cnn(C)c2)[n-]1 ZINC000415631529 420129084 /nfs/dbraw/zinc/12/90/84/420129084.db2.gz POQITKWTLSWHPU-VIFPVBQESA-N -1 1 305.338 1.137 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)Cc2cnn(C)c2)n1 ZINC000415631529 420129087 /nfs/dbraw/zinc/12/90/87/420129087.db2.gz POQITKWTLSWHPU-VIFPVBQESA-N -1 1 305.338 1.137 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(C3CC3)n(C)n2)n1 ZINC000415645585 420134431 /nfs/dbraw/zinc/13/44/31/420134431.db2.gz IDCCHHOSQPBWDK-UHFFFAOYSA-N -1 1 303.322 1.450 20 0 DDADMM CCCCn1ncc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1CC ZINC000430075050 420077395 /nfs/dbraw/zinc/07/73/95/420077395.db2.gz AOEVVOQAAALMIS-LLVKDONJSA-N -1 1 319.413 1.634 20 0 DDADMM O=C(NC[C@@]1(CO)C[C@@H]1c1ccc(F)cc1)c1ncccc1[O-] ZINC000430167814 420087103 /nfs/dbraw/zinc/08/71/03/420087103.db2.gz WOKXDEOJTICKSP-CXAGYDPISA-N -1 1 316.332 1.822 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@H]1CCC[C@@H](C)C1 ZINC000416167138 420265470 /nfs/dbraw/zinc/26/54/70/420265470.db2.gz QCLPDFDADMAXJF-NXEZZACHSA-N -1 1 309.366 1.843 20 0 DDADMM CCc1cc(=O)[n-]c(SCC(=O)N[C@H](C(C)=O)C(C)C)n1 ZINC000436207088 420316392 /nfs/dbraw/zinc/31/63/92/420316392.db2.gz HUBCKTZAAVKKQE-ZDUSSCGKSA-N -1 1 311.407 1.567 20 0 DDADMM O=C(c1ccc(Cl)cc1[O-])N1CC(=O)N(CC(F)(F)F)C1 ZINC000436738430 420363759 /nfs/dbraw/zinc/36/37/59/420363759.db2.gz NVRIUJVBGCYUDX-UHFFFAOYSA-N -1 1 322.670 1.850 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)C(=O)Nc2ccccc2)c1 ZINC000436513281 420335142 /nfs/dbraw/zinc/33/51/42/420335142.db2.gz MPIWJFYUTUVIJQ-UHFFFAOYSA-N -1 1 314.297 1.756 20 0 DDADMM CC[C@@H]1C(=O)N(CC)CCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000436526172 420337553 /nfs/dbraw/zinc/33/75/53/420337553.db2.gz PSKPWQWESSNNSQ-CYBMUJFWSA-N -1 1 304.346 1.044 20 0 DDADMM COc1ccc(C(=O)Nc2ccnc(C(=O)N(C)C)c2)c([O-])c1 ZINC000436547340 420338555 /nfs/dbraw/zinc/33/85/55/420338555.db2.gz JVUHDCLBEAAPKR-UHFFFAOYSA-N -1 1 315.329 1.750 20 0 DDADMM Cc1ccccc1C[C@@H](CO)NC(=O)C(=O)c1ccc([O-])cc1 ZINC000436581782 420342756 /nfs/dbraw/zinc/34/27/56/420342756.db2.gz BXGCEHQUXQIOQG-HNNXBMFYSA-N -1 1 313.353 1.603 20 0 DDADMM C[C@H](Cc1cnn(C)c1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425317613 420347694 /nfs/dbraw/zinc/34/76/94/420347694.db2.gz GNMRLCCITUFUNL-SNVBAGLBSA-N -1 1 319.365 1.094 20 0 DDADMM C[C@@H](CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1)n1cccn1 ZINC000425322709 420349731 /nfs/dbraw/zinc/34/97/31/420349731.db2.gz NRWSSENNIHSBBN-JTQLQIEISA-N -1 1 305.338 1.329 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)C[C@H](CC)OC)C(=O)OCC ZINC000420694583 420359381 /nfs/dbraw/zinc/35/93/81/420359381.db2.gz WHSNRHDBKXCDCM-LHXDFBSTSA-N -1 1 307.412 1.229 20 0 DDADMM C[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)c1cncs1 ZINC000436735632 420361135 /nfs/dbraw/zinc/36/11/35/420361135.db2.gz GYUNWKVOTKXRMF-ZETCQYMHSA-N -1 1 303.347 1.621 20 0 DDADMM NC(=O)CC1(C[N-]S(=O)(=O)c2sccc2Cl)CC1 ZINC000420699389 420361220 /nfs/dbraw/zinc/36/12/20/420361220.db2.gz BVANRIGDDLGFCK-UHFFFAOYSA-N -1 1 308.812 1.335 20 0 DDADMM O=C(N[C@H]1CCOC2(CCOCC2)C1)C(=O)c1ccc([O-])cc1 ZINC000436967568 420387359 /nfs/dbraw/zinc/38/73/59/420387359.db2.gz TUEHJMLIWRLHRF-ZDUSSCGKSA-N -1 1 319.357 1.419 20 0 DDADMM COC(=O)c1cc(C(C)(C)NC(=O)c2c([O-])cccc2F)no1 ZINC000436794260 420367171 /nfs/dbraw/zinc/36/71/71/420367171.db2.gz UTJMOCRLOQCHEX-UHFFFAOYSA-N -1 1 322.292 1.971 20 0 DDADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)Cc1ccccc1F ZINC000416598023 420429425 /nfs/dbraw/zinc/42/94/25/420429425.db2.gz ZGBFDQIQWATPBQ-ZDUSSCGKSA-N -1 1 315.366 1.587 20 0 DDADMM CCOC(=O)c1csc(=NCC2(CF)CCOCC2)[n-]1 ZINC000450734054 420516946 /nfs/dbraw/zinc/51/69/46/420516946.db2.gz UJXXSCXVQPBIDU-UHFFFAOYSA-N -1 1 302.371 1.920 20 0 DDADMM C[C@@H]1[C@H](C(=O)[N-]OCC(F)F)CCN1C(=O)OC(C)(C)C ZINC000492508446 420577483 /nfs/dbraw/zinc/57/74/83/420577483.db2.gz KTCSNUNJJZBILF-RKDXNWHRSA-N -1 1 308.325 1.945 20 0 DDADMM COc1ccc(C)cc1C=CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000492650310 420618026 /nfs/dbraw/zinc/61/80/26/420618026.db2.gz QHCXWOYRUUINIY-VFFGBVLKSA-N -1 1 315.377 1.792 20 0 DDADMM C[C@@H](CN(C)C(=O)C=Cc1ccc(Cl)nc1)c1nn[n-]n1 ZINC000492711703 420636759 /nfs/dbraw/zinc/63/67/59/420636759.db2.gz UFTXBHGYCNHLAC-RNEXMXFGSA-N -1 1 306.757 1.523 20 0 DDADMM CCC[C@@H](NC(=O)C=CCN(C)CC(F)(F)F)c1nn[n-]n1 ZINC000492828955 420680502 /nfs/dbraw/zinc/68/05/02/420680502.db2.gz ZYIDWURZRRXKJM-OTQAPUNGSA-N -1 1 320.319 1.207 20 0 DDADMM CCC[C@@H](NC(=O)/C=C/CN(C)CC(F)(F)F)c1nn[n-]n1 ZINC000492828955 420680504 /nfs/dbraw/zinc/68/05/04/420680504.db2.gz ZYIDWURZRRXKJM-OTQAPUNGSA-N -1 1 320.319 1.207 20 0 DDADMM O=C(CS(=O)(=O)c1ncc(-c2ccc(F)cc2)[n-]1)N1CCC1 ZINC000447490648 420774619 /nfs/dbraw/zinc/77/46/19/420774619.db2.gz MTVUIUXAFFCAPE-UHFFFAOYSA-N -1 1 323.349 1.222 20 0 DDADMM O=C(CS(=O)(=O)c1nc(-c2ccc(F)cc2)c[n-]1)N1CCC1 ZINC000447490648 420774623 /nfs/dbraw/zinc/77/46/23/420774623.db2.gz MTVUIUXAFFCAPE-UHFFFAOYSA-N -1 1 323.349 1.222 20 0 DDADMM Cc1c(Br)ccc(C(=O)Nc2nnn[n-]2)c1F ZINC000447517815 420777785 /nfs/dbraw/zinc/77/77/85/420777785.db2.gz UPUDGBIKPSTJEU-UHFFFAOYSA-N -1 1 300.091 1.662 20 0 DDADMM Cc1c(Br)ccc(C(=O)Nc2nn[n-]n2)c1F ZINC000447517815 420777787 /nfs/dbraw/zinc/77/77/87/420777787.db2.gz UPUDGBIKPSTJEU-UHFFFAOYSA-N -1 1 300.091 1.662 20 0 DDADMM CC1(C)CCC[C@]1(O)CNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454256832 420825754 /nfs/dbraw/zinc/82/57/54/420825754.db2.gz PZEPOSVYXPPAIM-KRWDZBQOSA-N -1 1 315.373 1.594 20 0 DDADMM NC(=O)c1ccc(=NC[C@@H]2SCCc3ccccc32)[n-]n1 ZINC000487633732 421042976 /nfs/dbraw/zinc/04/29/76/421042976.db2.gz DDXCJWGERZHDOI-ZDUSSCGKSA-N -1 1 300.387 1.440 20 0 DDADMM CN(CCSCc1nc(=O)n(C)[n-]1)C(=O)OC(C)(C)C ZINC000495677400 421045614 /nfs/dbraw/zinc/04/56/14/421045614.db2.gz ODOPXOMAJCINKJ-UHFFFAOYSA-N -1 1 302.400 1.209 20 0 DDADMM CCCc1nc(=NC(=O)N2CCN([C@@H](C)CC)CC2)s[n-]1 ZINC000455374673 421003838 /nfs/dbraw/zinc/00/38/38/421003838.db2.gz ISNNNTSBNAJARP-NSHDSACASA-N -1 1 311.455 1.861 20 0 DDADMM O=S(=O)([N-]CCn1cccc1)c1cc(F)c(F)cc1F ZINC000487110905 421004171 /nfs/dbraw/zinc/00/41/71/421004171.db2.gz IVRHXUWIBXMYAC-UHFFFAOYSA-N -1 1 304.293 1.884 20 0 DDADMM O=C(c1ccc([O-])cc1F)N1CC[C@@H](CN2CCOC2=O)C1 ZINC000496310672 421227897 /nfs/dbraw/zinc/22/78/97/421227897.db2.gz NQTALXXBOLFIOI-SNVBAGLBSA-N -1 1 308.309 1.446 20 0 DDADMM O=C(NC1CC1)OC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC000496731847 421306560 /nfs/dbraw/zinc/30/65/60/421306560.db2.gz VANQEUBRUZOZDQ-LBPRGKRZSA-N -1 1 319.361 1.671 20 0 DDADMM CCC(=O)N[C@H]1CCCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000525863840 421307098 /nfs/dbraw/zinc/30/70/98/421307098.db2.gz SQHMFPJWEAZBJO-JTQLQIEISA-N -1 1 301.350 1.089 20 0 DDADMM C[C@@H](Cc1cnc2ccccc2n1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000560410982 421261254 /nfs/dbraw/zinc/26/12/54/421261254.db2.gz OEZMFZQHWYUMCX-JTQLQIEISA-N -1 1 323.360 1.127 20 0 DDADMM C[C@H](CN(C)C(=O)CCc1ccnc2ccccc12)c1nn[n-]n1 ZINC000547488881 421336670 /nfs/dbraw/zinc/33/66/70/421336670.db2.gz SEFNSFLHPHXJLQ-GFCCVEGCSA-N -1 1 324.388 1.943 20 0 DDADMM COc1cc(=NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)[nH]n1C ZINC000547494673 421338231 /nfs/dbraw/zinc/33/82/31/421338231.db2.gz MBVPMJHOORGEGT-UHFFFAOYSA-N -1 1 319.365 1.204 20 0 DDADMM C[C@H](CN(C)C(=O)c1ccc(C#N)c(Cl)c1)c1nn[n-]n1 ZINC000547655204 421351730 /nfs/dbraw/zinc/35/17/30/421351730.db2.gz HSGXVMFEXIMLJH-MRVPVSSYSA-N -1 1 304.741 1.600 20 0 DDADMM CCOC(=O)CC1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000527106441 421355086 /nfs/dbraw/zinc/35/50/86/421355086.db2.gz VTBGBZJYXXTBAQ-UHFFFAOYSA-N -1 1 316.361 1.763 20 0 DDADMM O=C(c1cccc2nn[nH]c21)N1CCC[C@H](N2CCCC2=O)C1 ZINC000527356703 421381164 /nfs/dbraw/zinc/38/11/64/421381164.db2.gz LRQXSYRWJIXIRU-NSHDSACASA-N -1 1 313.361 1.185 20 0 DDADMM CC(C)(C)c1nnc(CN=c2[n-]nc(-c3ccon3)s2)[nH]1 ZINC000562722387 421406263 /nfs/dbraw/zinc/40/62/63/421406263.db2.gz VJWGBNQMCICQGB-UHFFFAOYSA-N -1 1 305.367 1.643 20 0 DDADMM CC(C)(C)c1nc(CN=c2[n-]nc(-c3ccon3)s2)n[nH]1 ZINC000562722387 421406264 /nfs/dbraw/zinc/40/62/64/421406264.db2.gz VJWGBNQMCICQGB-UHFFFAOYSA-N -1 1 305.367 1.643 20 0 DDADMM C[C@@H](CN(C)C(=O)c1ccc2c(n1)CCCC2)c1nn[n-]n1 ZINC000548466317 421441804 /nfs/dbraw/zinc/44/18/04/421441804.db2.gz WOEZCJIBOKUMDO-JTQLQIEISA-N -1 1 300.366 1.349 20 0 DDADMM CC(C)c1nc(=NCc2n[nH]c(-c3ccncc3)n2)s[n-]1 ZINC000514660492 421444286 /nfs/dbraw/zinc/44/42/86/421444286.db2.gz KJTVCKCORYWJRW-UHFFFAOYSA-N -1 1 301.379 1.876 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@H]1CCCO1)c1sccc1Cl ZINC000528494514 421494240 /nfs/dbraw/zinc/49/42/40/421494240.db2.gz ZCJXETNDESJKAH-RKDXNWHRSA-N -1 1 311.812 1.220 20 0 DDADMM O=S(=O)([N-]C[C@@H](O)[C@@H]1CCCO1)c1sccc1Cl ZINC000528494509 421494676 /nfs/dbraw/zinc/49/46/76/421494676.db2.gz ZCJXETNDESJKAH-BDAKNGLRSA-N -1 1 311.812 1.220 20 0 DDADMM O=C(NCC[C@H]1CCCOC1)c1nc2ccccc2c(=O)[n-]1 ZINC000530190960 421585649 /nfs/dbraw/zinc/58/56/49/421585649.db2.gz WVBMNTMVUINZSL-LLVKDONJSA-N -1 1 301.346 1.470 20 0 DDADMM O=C(NC[C@@H](CO)Cc1ccco1)c1csc(=NC2CC2)[n-]1 ZINC000531766878 421645365 /nfs/dbraw/zinc/64/53/65/421645365.db2.gz KEZKSGQHRLVSJK-JTQLQIEISA-N -1 1 321.402 1.313 20 0 DDADMM CC[C@@H](NC(=O)c1c(C)[n-]c(=O)nc1SC)[C@@H]1CCCO1 ZINC000534410964 421691776 /nfs/dbraw/zinc/69/17/76/421691776.db2.gz ISWCRSLBOAFNIU-ZJUUUORDSA-N -1 1 311.407 1.900 20 0 DDADMM Cc1cc(NS(=O)(=O)c2cc(C(=O)[O-])ccc2O)c[nH]c1=O ZINC000535233520 421701399 /nfs/dbraw/zinc/70/13/99/421701399.db2.gz IVPHXXMJROGNPY-UHFFFAOYSA-N -1 1 324.314 1.300 20 0 DDADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@]1(F)c1ccccc1 ZINC000571397498 421711617 /nfs/dbraw/zinc/71/16/17/421711617.db2.gz FEUFPQCARBQYPB-PSLIRLAXSA-N -1 1 319.292 1.264 20 0 DDADMM CO[C@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)[C@@H](C(=O)[O-])C1 ZINC000570818273 421671754 /nfs/dbraw/zinc/67/17/54/421671754.db2.gz PXOCDYFAOKUCFG-GXFFZTMASA-N -1 1 319.317 1.374 20 0 DDADMM Cc1nn2cc(S(=O)(=O)[N-]CC(C)(F)F)cnc2c1Cl ZINC000555413520 421677880 /nfs/dbraw/zinc/67/78/80/421677880.db2.gz QSMDYGYJKFGKBH-UHFFFAOYSA-N -1 1 324.740 1.625 20 0 DDADMM COCCOCCS(=O)(=O)[N-]c1cccc2ccncc21 ZINC000533629140 421680843 /nfs/dbraw/zinc/68/08/43/421680843.db2.gz WFUFKQLHVSUULK-UHFFFAOYSA-N -1 1 310.375 1.640 20 0 DDADMM CCS(=O)(=O)CCCCCN1CCSC[C@@H]1C(=O)[O-] ZINC000521273411 421780368 /nfs/dbraw/zinc/78/03/68/421780368.db2.gz QVFMDOBJUVJNIB-LLVKDONJSA-N -1 1 309.453 1.093 20 0 DDADMM CCN(CC)c1ccc(CNCc2cc(C(=O)[O-])nn2C)cn1 ZINC000635305873 421893530 /nfs/dbraw/zinc/89/35/30/421893530.db2.gz UGUTYOKSWFTAHD-UHFFFAOYSA-N -1 1 317.393 1.649 20 0 DDADMM O=C([O-])COCCNCc1cc2c(cc1OC(F)F)OCO2 ZINC000635317002 421903496 /nfs/dbraw/zinc/90/34/96/421903496.db2.gz REENPWKYTJWIPW-UHFFFAOYSA-N -1 1 319.260 1.208 20 0 DDADMM Cc1ccc2[nH]c3c(c2c1)CN(C(=O)CCCc1nn[n-]n1)CC3 ZINC000635245899 421854426 /nfs/dbraw/zinc/85/44/26/421854426.db2.gz VRJVXJAVAQJUKU-UHFFFAOYSA-N -1 1 324.388 1.897 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H]2CC23CCSCC3)[n-]n1 ZINC000580886340 421857015 /nfs/dbraw/zinc/85/70/15/421857015.db2.gz NLWUJBVXCRIPEI-NSHDSACASA-N -1 1 306.435 1.593 20 0 DDADMM C[C@H](CSc1ccccc1)N(C)C(=O)CCc1nn[n-]n1 ZINC000633565705 421973306 /nfs/dbraw/zinc/97/33/06/421973306.db2.gz NMCPIDZSZUHIOU-LLVKDONJSA-N -1 1 305.407 1.772 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H]1Cc1cccc(F)c1 ZINC000635357225 421934875 /nfs/dbraw/zinc/93/48/75/421934875.db2.gz AIUMXDNBDZUEHY-AWEZNQCLSA-N -1 1 317.368 1.895 20 0 DDADMM Cc1c(F)cccc1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630219773 421969903 /nfs/dbraw/zinc/96/99/03/421969903.db2.gz JVZJSOKKTHAUKO-LLVKDONJSA-N -1 1 308.353 1.755 20 0 DDADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H](C)c2ccsc2)CC1 ZINC000630224396 421974757 /nfs/dbraw/zinc/97/47/57/421974757.db2.gz NXKMEZFQWALZMX-RYUDHWBXSA-N -1 1 310.419 1.859 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H](C)c2ccsc2)CC1 ZINC000630224391 421974769 /nfs/dbraw/zinc/97/47/69/421974769.db2.gz NXKMEZFQWALZMX-NEPJUHHUSA-N -1 1 310.419 1.859 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCO[C@H]3C2(C)C)sn1 ZINC000632023325 422028938 /nfs/dbraw/zinc/02/89/38/422028938.db2.gz JXFLOXSJVQBGNS-JMJZKYOTSA-N -1 1 302.421 1.543 20 0 DDADMM C[C@@H](C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1)c1cccs1 ZINC000630226076 421975510 /nfs/dbraw/zinc/97/55/10/421975510.db2.gz NRQCKHMBOZYAPH-LLVKDONJSA-N -1 1 310.419 1.859 20 0 DDADMM C[C@H](C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1)c1cccs1 ZINC000630226077 421975549 /nfs/dbraw/zinc/97/55/49/421975549.db2.gz NRQCKHMBOZYAPH-NSHDSACASA-N -1 1 310.419 1.859 20 0 DDADMM CCCCO[C@@H](C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000630224108 421976716 /nfs/dbraw/zinc/97/67/16/421976716.db2.gz NXRKDTUGTPIMKA-OLZOCXBDSA-N -1 1 300.399 1.199 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ocnc2C(F)F)CC1 ZINC000630227672 421978753 /nfs/dbraw/zinc/97/87/53/421978753.db2.gz QKOAKQKGFAEAQP-UHFFFAOYSA-N -1 1 317.292 1.233 20 0 DDADMM COc1ccc(O)c(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC000630226948 421979386 /nfs/dbraw/zinc/97/93/86/421979386.db2.gz XVZFPKIRVLSMBM-LLVKDONJSA-N -1 1 322.361 1.022 20 0 DDADMM Cc1cccc(C(C)(C)CNC(=O)CCCc2nn[n-]n2)c1 ZINC000635447566 421996974 /nfs/dbraw/zinc/99/69/74/421996974.db2.gz UHKHOOYAWBWEEZ-UHFFFAOYSA-N -1 1 301.394 1.925 20 0 DDADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CC[C@H](C(=O)[O-])[C@H]2CCC[C@@H]21 ZINC000630282016 422005041 /nfs/dbraw/zinc/00/50/41/422005041.db2.gz GWIXLLADRUINBP-ZZVYKPCYSA-N -1 1 305.378 1.690 20 0 DDADMM COc1ccccc1C1(CNC(=O)CCCc2nn[n-]n2)CC1 ZINC000635462737 422012460 /nfs/dbraw/zinc/01/24/60/422012460.db2.gz WGNNBZVSYQARBU-UHFFFAOYSA-N -1 1 315.377 1.379 20 0 DDADMM COc1ccc([C@@H]2CCCN2C(=O)CCc2nn[n-]n2)cc1F ZINC000633630373 422014766 /nfs/dbraw/zinc/01/47/66/422014766.db2.gz MYHKEIIGWXVNOW-LBPRGKRZSA-N -1 1 319.340 1.644 20 0 DDADMM CC(C)Oc1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)nc1 ZINC000574144456 422064501 /nfs/dbraw/zinc/06/45/01/422064501.db2.gz RTFAHURRXYLGKU-SNVBAGLBSA-N -1 1 304.354 1.258 20 0 DDADMM CC(C)[C@H](C(=O)[O-])C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000581944135 422073430 /nfs/dbraw/zinc/07/34/30/422073430.db2.gz SODAXAUYRCLOPN-RYUDHWBXSA-N -1 1 320.393 1.745 20 0 DDADMM Cc1nc2c(s1)[C@@H](N(C)C(=O)CCCc1nn[n-]n1)CCC2 ZINC000635559214 422112346 /nfs/dbraw/zinc/11/23/46/422112346.db2.gz ACVYQSWBAVDJRG-NSHDSACASA-N -1 1 320.422 1.823 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H](C)c1nc(C)cs1 ZINC000633691394 422051976 /nfs/dbraw/zinc/05/19/76/422051976.db2.gz PHXDRXKOWIBFBR-SSDOTTSWSA-N -1 1 307.379 1.007 20 0 DDADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)C1(c2ccccc2C)CC1 ZINC000633693318 422055850 /nfs/dbraw/zinc/05/58/50/422055850.db2.gz YSJPJWRZLYGODQ-UHFFFAOYSA-N -1 1 312.373 1.478 20 0 DDADMM CCC1(C[N-]S(=O)(=O)c2cc(C)ns2)CCOCC1 ZINC000632056645 422055964 /nfs/dbraw/zinc/05/59/64/422055964.db2.gz FIBZLEQEBCXWOW-UHFFFAOYSA-N -1 1 304.437 1.937 20 0 DDADMM O=C(CCc1nn[n-]n1)N1CCC(Cc2nccs2)CC1 ZINC000633952265 422187623 /nfs/dbraw/zinc/18/76/23/422187623.db2.gz YUKKFOXICHMOHW-UHFFFAOYSA-N -1 1 306.395 1.070 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCS[C@@H](c2ccccc2)C1 ZINC000635579701 422130331 /nfs/dbraw/zinc/13/03/31/422130331.db2.gz JBCLSSAXELLDPV-CYBMUJFWSA-N -1 1 317.418 1.839 20 0 DDADMM Cc1nc([C@@H]2CCOC2)sc1C(=O)Nc1ccncc1[O-] ZINC000632169687 422136444 /nfs/dbraw/zinc/13/64/44/422136444.db2.gz BQIRFOBJELEIBB-SECBINFHSA-N -1 1 305.359 1.730 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H]1[C@@H]1CCCCC1=O ZINC000635662582 422219248 /nfs/dbraw/zinc/21/92/48/422219248.db2.gz UQFSMLXWTCHXJV-NWDGAFQWSA-N -1 1 305.382 1.273 20 0 DDADMM CC[C@H](C)[C@H]([N-]S(=O)(=O)CC1(N(C)C)CC1)C(F)(F)F ZINC000632280366 422219708 /nfs/dbraw/zinc/21/97/08/422219708.db2.gz ADUUKSVPZVKBJZ-UWVGGRQHSA-N -1 1 316.389 1.977 20 0 DDADMM O=S(=O)([N-]CC1(C(F)F)CC1)c1c[nH]nc1C(F)(F)F ZINC000632290328 422224783 /nfs/dbraw/zinc/22/47/83/422224783.db2.gz AZVHPGODIQCCLL-UHFFFAOYSA-N -1 1 319.255 1.752 20 0 DDADMM O=C(CCCc1nn[n-]n1)N1CC(Cc2ccc(F)c(F)c2)C1 ZINC000635678027 422231141 /nfs/dbraw/zinc/23/11/41/422231141.db2.gz LZQHYZUTPXLYDX-UHFFFAOYSA-N -1 1 321.331 1.502 20 0 DDADMM COc1cccc2c1CC[C@H]2NC(=O)CCCc1nn[n-]n1 ZINC000635682647 422238242 /nfs/dbraw/zinc/23/82/42/422238242.db2.gz OIWCNCCNQZQACA-GFCCVEGCSA-N -1 1 301.350 1.335 20 0 DDADMM Cc1nn(C)c(C)c1[C@H]1COCCN1Cc1ncccc1[O-] ZINC000584318260 422294353 /nfs/dbraw/zinc/29/43/53/422294353.db2.gz AQUSZPRPDPTWPF-CQSZACIVSA-N -1 1 302.378 1.711 20 0 DDADMM CC[C@@H](C)[C@H](CNS(=O)(=O)c1cc(OC)ccc1[O-])OC ZINC000632389878 422298714 /nfs/dbraw/zinc/29/87/14/422298714.db2.gz JKPGMMNHLCWUNA-MFKMUULPSA-N -1 1 317.407 1.740 20 0 DDADMM CC[C@@H](C)N(CCO)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC000632423987 422331962 /nfs/dbraw/zinc/33/19/62/422331962.db2.gz ZXPVAUGFTUMGCL-SNVBAGLBSA-N -1 1 303.380 1.182 20 0 DDADMM CN(C)CC(C)(C)[N-]S(=O)(=O)c1onc(C2CC2)c1Cl ZINC000632431829 422337486 /nfs/dbraw/zinc/33/74/86/422337486.db2.gz UBFDRDLDDWDPIA-UHFFFAOYSA-N -1 1 321.830 1.824 20 0 DDADMM Cc1ccc(C)n1C1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC000630863859 422380162 /nfs/dbraw/zinc/38/01/62/422380162.db2.gz AUBQJOKVFYSDBP-UHFFFAOYSA-N -1 1 302.382 1.414 20 0 DDADMM C[C@H](O)C[C@H]1COCCN1C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000632491856 422387694 /nfs/dbraw/zinc/38/76/94/422387694.db2.gz QXYLYYRHGJSLSV-JQWIXIFHSA-N -1 1 313.781 1.586 20 0 DDADMM O=S(=O)([N-]CC[C@@]1(O)CCOC1)c1ccc(C(F)F)o1 ZINC000632511081 422401707 /nfs/dbraw/zinc/40/17/07/422401707.db2.gz YKFIKXNDRZZGPU-LLVKDONJSA-N -1 1 311.306 1.037 20 0 DDADMM O=C(NC1(c2nn[n-]n2)CCCC1)[C@H]1CCC(=O)c2cccn21 ZINC000577703118 422409811 /nfs/dbraw/zinc/40/98/11/422409811.db2.gz IBSKZBOMDIHJKJ-LLVKDONJSA-N -1 1 314.349 1.105 20 0 DDADMM C[C@@H]1OCC[C@@H]1S(=O)(=O)Nc1ccc(C(=O)[O-])cc1O ZINC000578135448 422506752 /nfs/dbraw/zinc/50/67/52/422506752.db2.gz FWQWIJFBHBSUTN-CPCISQLKSA-N -1 1 301.320 1.010 20 0 DDADMM CC(C)[C@H]1CCc2[n-]n(CCCN3CCOCC3)c(=O)c2C1 ZINC000634616245 422508025 /nfs/dbraw/zinc/50/80/25/422508025.db2.gz FSJPCYHCBAKWPO-GJZGRUSLSA-N -1 1 307.438 1.979 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)[C@H](C)O1 ZINC000632646863 422494838 /nfs/dbraw/zinc/49/48/38/422494838.db2.gz RIPCZFUTWHHNLV-VQVTYTSYSA-N -1 1 313.301 1.273 20 0 DDADMM CCn1cc(CNC(=O)c2cnc3nc(C)ccc3c2[O-])nn1 ZINC000622161678 422537642 /nfs/dbraw/zinc/53/76/42/422537642.db2.gz GLMJYOMHULKFFT-UHFFFAOYSA-N -1 1 312.333 1.185 20 0 DDADMM CCn1cc(CNC(=O)c2c[n-]c3nc(C)ccc3c2=O)nn1 ZINC000622161678 422537647 /nfs/dbraw/zinc/53/76/47/422537647.db2.gz GLMJYOMHULKFFT-UHFFFAOYSA-N -1 1 312.333 1.185 20 0 DDADMM CCCc1cc([N-]S(=O)(=O)c2c3c(nn2C)CCCC3)n[nH]1 ZINC000634656419 422539677 /nfs/dbraw/zinc/53/96/77/422539677.db2.gz MEQBQQQEDAYQNJ-UHFFFAOYSA-N -1 1 323.422 1.775 20 0 DDADMM Cc1nc(S(=O)(=O)[N-]CC(C)(C)n2cccn2)sc1C ZINC000632733864 422550654 /nfs/dbraw/zinc/55/06/54/422550654.db2.gz NQIKCYOHYZUSSC-UHFFFAOYSA-N -1 1 314.436 1.670 20 0 DDADMM CC[C@H](OCCNC(=O)CCCc1nn[n-]n1)c1ccccc1 ZINC000636119629 422656969 /nfs/dbraw/zinc/65/69/69/422656969.db2.gz JZGTYULZVLBDHH-AWEZNQCLSA-N -1 1 317.393 1.807 20 0 DDADMM O=C(CCCc1nn[n-]n1)NC1(c2cccc(F)c2)CCC1 ZINC000636064320 422610527 /nfs/dbraw/zinc/61/05/27/422610527.db2.gz XVHQUTUZRAJULC-UHFFFAOYSA-N -1 1 303.341 1.857 20 0 DDADMM COc1cc2c(cc1F)CC[C@@H]2NC(=O)CCc1nn[n-]n1 ZINC000632919795 422660944 /nfs/dbraw/zinc/66/09/44/422660944.db2.gz ZLYDLEJWTZVIPF-NSHDSACASA-N -1 1 305.313 1.084 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2COC[C@@H]2C1 ZINC000634779410 422640920 /nfs/dbraw/zinc/64/09/20/422640920.db2.gz PGPGUPOSGYZHFT-PHIMTYICSA-N -1 1 305.378 1.507 20 0 DDADMM COCC(C)(C)N1CCN([C@@H](C(=O)[O-])c2ccc(F)cc2)CC1 ZINC000578756508 422641289 /nfs/dbraw/zinc/64/12/89/422641289.db2.gz WNUDJCPAKVKAEV-OAHLLOKOSA-N -1 1 324.396 1.994 20 0 DDADMM COC1(CS(=O)(=O)[N-]c2ccccc2-n2cncn2)CCC1 ZINC000634786054 422647922 /nfs/dbraw/zinc/64/79/22/422647922.db2.gz NDASSCCEMFOWTD-UHFFFAOYSA-N -1 1 322.390 1.578 20 0 DDADMM CO[C@@H](Cc1ccccc1)CS(=O)(=O)[N-]c1cnn(C)c1C ZINC000579106967 422706024 /nfs/dbraw/zinc/70/60/24/422706024.db2.gz VYXXYCIPPODZSA-AWEZNQCLSA-N -1 1 323.418 1.728 20 0 DDADMM C[C@@]1(c2cccc(Br)c2)C[C@@H]1C(=O)Nc1nnn[n-]1 ZINC000631361781 422714039 /nfs/dbraw/zinc/71/40/39/422714039.db2.gz QFJVFOMRJUKTRQ-SKDRFNHKSA-N -1 1 322.166 1.879 20 0 DDADMM C[C@@]1(c2cccc(Br)c2)C[C@@H]1C(=O)Nc1nn[n-]n1 ZINC000631361781 422714044 /nfs/dbraw/zinc/71/40/44/422714044.db2.gz QFJVFOMRJUKTRQ-SKDRFNHKSA-N -1 1 322.166 1.879 20 0 DDADMM Cc1ccc([C@H](NC(=O)CCCc2nn[n-]n2)C(F)(F)F)o1 ZINC000636139996 422671612 /nfs/dbraw/zinc/67/16/12/422671612.db2.gz MLTLMXKZCSIGRX-NSHDSACASA-N -1 1 317.271 1.844 20 0 DDADMM O=C([O-])C1CC(C(=O)NC[C@H]2CCN2Cc2ccccc2)C1 ZINC000634846549 422681823 /nfs/dbraw/zinc/68/18/23/422681823.db2.gz LGZZFFINMPLLKZ-YMAMQOFZSA-N -1 1 302.374 1.488 20 0 DDADMM O=S(=O)([N-]C[C@H](O)C1CCC1)c1ncccc1C(F)(F)F ZINC000645748737 423169979 /nfs/dbraw/zinc/16/99/79/423169979.db2.gz ZSWNRCATOZWGKU-JTQLQIEISA-N -1 1 324.324 1.540 20 0 DDADMM CN1CCN(C(=O)c2ncccc2[O-])[C@H](Cc2ccccc2)C1 ZINC000652881973 423187311 /nfs/dbraw/zinc/18/73/11/423187311.db2.gz IPXRFZGZTQJFAE-OAHLLOKOSA-N -1 1 311.385 1.786 20 0 DDADMM CCOc1cc(C(=O)N[C@H](CO)CCF)cc(Cl)c1[O-] ZINC000652923247 423218761 /nfs/dbraw/zinc/21/87/61/423218761.db2.gz FVPLKBMGAUFIMV-VIFPVBQESA-N -1 1 305.733 1.895 20 0 DDADMM COc1cc(C=CC(=O)N(C)C[C@H](C)c2nn[n-]n2)ccc1F ZINC000183408223 263379653 /nfs/dbraw/zinc/37/96/53/263379653.db2.gz USTHUAASQJGUJA-STUBTGCMSA-N -1 1 319.340 1.623 20 0 DDADMM CCOc1cc(C(=O)NCC(F)(F)CO)cc(Cl)c1[O-] ZINC000186076498 263396627 /nfs/dbraw/zinc/39/66/27/263396627.db2.gz KRPVRTIQMGSFKW-UHFFFAOYSA-N -1 1 309.696 1.802 20 0 DDADMM O=C(c1ccnc(-c2ncc[nH]2)c1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000645880830 423226240 /nfs/dbraw/zinc/22/62/40/423226240.db2.gz FZNCGMRSHHBGTD-NSHDSACASA-N -1 1 324.348 1.005 20 0 DDADMM CC(C)c1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)no1 ZINC000648894447 423526539 /nfs/dbraw/zinc/52/65/39/423526539.db2.gz IPKMRCNPBPDNLJ-CYBMUJFWSA-N -1 1 312.333 1.831 20 0 DDADMM CO[C@H](CC(=O)NC1(c2nn[n-]n2)CCCC1)C(F)(F)F ZINC000651353816 423503196 /nfs/dbraw/zinc/50/31/96/423503196.db2.gz XWZKBNSWNCQVQS-SSDOTTSWSA-N -1 1 307.276 1.053 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(C)(CC(F)F)C2)o1 ZINC000649020375 423590084 /nfs/dbraw/zinc/59/00/84/423590084.db2.gz LNUYFPMYWNLQKE-UHFFFAOYSA-N -1 1 322.333 1.305 20 0 DDADMM Cn1nnc2c1CC[C@H](NC(=O)c1cc3ccccc3cc1[O-])C2 ZINC000654111497 423708815 /nfs/dbraw/zinc/70/88/15/423708815.db2.gz QNQRTNLGENIWLO-ZDUSSCGKSA-N -1 1 322.368 1.961 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1cnc(CC2CC2)nc1)C(C)C ZINC000647132618 423770778 /nfs/dbraw/zinc/77/07/78/423770778.db2.gz JOWMOJCQORSSLI-CYBMUJFWSA-N -1 1 313.423 1.842 20 0 DDADMM CC(C)c1nc(CNC(=O)N2CCC(CCC(=O)[O-])CC2)n[nH]1 ZINC000659405172 423804217 /nfs/dbraw/zinc/80/42/17/423804217.db2.gz VPQRHVDBXPGORC-UHFFFAOYSA-N -1 1 323.397 1.715 20 0 DDADMM O=C([O-])c1cncnc1NC[C@H](c1ccco1)N1CCCC1 ZINC000649383143 423833437 /nfs/dbraw/zinc/83/34/37/423833437.db2.gz ZVNAGDIAWDDQSD-GFCCVEGCSA-N -1 1 302.334 1.439 20 0 DDADMM CC[C@@H](O)CC[N-]S(=O)(=O)c1ncccc1Br ZINC000656936665 423899193 /nfs/dbraw/zinc/89/91/93/423899193.db2.gz NBTGCOCRELZFDO-MRVPVSSYSA-N -1 1 323.212 1.283 20 0 DDADMM CC(C)OCC[N-]S(=O)(=O)c1ncccc1Br ZINC000656960174 423926896 /nfs/dbraw/zinc/92/68/96/423926896.db2.gz ULVCWTYGPCOGJD-UHFFFAOYSA-N -1 1 323.212 1.547 20 0 DDADMM C[C@@H](CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1)n1cccn1 ZINC000644854380 423979213 /nfs/dbraw/zinc/97/92/13/423979213.db2.gz VRDJIELDZIFQJR-SMDDNHRTSA-N -1 1 311.349 1.253 20 0 DDADMM CC(C)N(C)c1ccc(CN[C@@H](C(=O)[O-])c2ccnn2C)cn1 ZINC000647467586 424049431 /nfs/dbraw/zinc/04/94/31/424049431.db2.gz MEBIGIYFDLFIPB-OAHLLOKOSA-N -1 1 317.393 1.575 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2C[C@@H](O)C23CCC3)sc1C ZINC000657187641 424200624 /nfs/dbraw/zinc/20/06/24/424200624.db2.gz PGVLWMXYEHNQPC-NXEZZACHSA-N -1 1 302.421 1.342 20 0 DDADMM O=C(N=c1[n-]sc2ccccc21)NCCC1(O)CCOCC1 ZINC000640340433 424362589 /nfs/dbraw/zinc/36/25/89/424362589.db2.gz UDFVOHKWPISKDE-UHFFFAOYSA-N -1 1 321.402 1.771 20 0 DDADMM CC(C)(C)n1ccc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)n1 ZINC000655398427 424446963 /nfs/dbraw/zinc/44/69/63/424446963.db2.gz YSAKSPZZLRNFRT-JTQLQIEISA-N -1 1 319.365 1.747 20 0 DDADMM CC(C)[C@@H](CNC(=O)c1n[nH]nc1-c1ccccc1)C(=O)[O-] ZINC000655564182 424570777 /nfs/dbraw/zinc/57/07/77/424570777.db2.gz LAARHSYAGBCNBB-LLVKDONJSA-N -1 1 302.334 1.558 20 0 DDADMM CCN(CC)[C@H](CNC(=O)NC[C@H](C)C(=O)[O-])c1ccco1 ZINC000655866547 424686407 /nfs/dbraw/zinc/68/64/07/424686407.db2.gz YWXMPWSLJBRFSN-NWDGAFQWSA-N -1 1 311.382 1.682 20 0 DDADMM O=C([O-])[C@@H](CNC(=O)NCc1ccc2cncn2c1)C1CCC1 ZINC000665313184 424779823 /nfs/dbraw/zinc/77/98/23/424779823.db2.gz CEMWWFPKYSYHKQ-AWEZNQCLSA-N -1 1 316.361 1.634 20 0 DDADMM O=C([O-])CC[C@@H]1CCCN(C(=O)[C@@H]2NCCc3ccccc32)C1 ZINC000321596423 271028086 /nfs/dbraw/zinc/02/80/86/271028086.db2.gz QPXABVHLLCCYSO-SUMWQHHRSA-N -1 1 316.401 1.977 20 0 DDADMM O=S(=O)([N-]CCCCCO)c1ccc(Br)o1 ZINC000341517861 271103470 /nfs/dbraw/zinc/10/34/70/271103470.db2.gz YAOZDZVOFLQBKI-UHFFFAOYSA-N -1 1 312.185 1.483 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@H](C(F)(F)F)[C@H](CO)C2)c([O-])c1 ZINC000341885243 271232290 /nfs/dbraw/zinc/23/22/90/271232290.db2.gz LMUUIQQPSMPKQD-UWVGGRQHSA-N -1 1 318.295 1.729 20 0 DDADMM CCc1cccnc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000344677500 272087455 /nfs/dbraw/zinc/08/74/55/272087455.db2.gz NDKZEZRDXDKILP-UHFFFAOYSA-N -1 1 300.318 1.113 20 0 DDADMM CCC[C@@H](NCC(=O)Nc1cccc2nsnc21)C(=O)[O-] ZINC000036838625 276271745 /nfs/dbraw/zinc/27/17/45/276271745.db2.gz OLJBAKPRDGPLQM-SNVBAGLBSA-N -1 1 308.363 1.473 20 0 DDADMM O=C(NC[C@H](O)COc1ccc(F)cc1)c1cc(F)ccc1[O-] ZINC000081945664 281011900 /nfs/dbraw/zinc/01/19/00/281011900.db2.gz XOULBCPWXWDSQV-LBPRGKRZSA-N -1 1 323.295 1.840 20 0 DDADMM CCC[C@@H](NC(=O)c1cnc([C@@H]2CCCO2)s1)c1nn[n-]n1 ZINC000090061025 281035250 /nfs/dbraw/zinc/03/52/50/281035250.db2.gz CTSIKTOVIUOAFE-BDAKNGLRSA-N -1 1 322.394 1.779 20 0 DDADMM CCC[C@H](NC(=O)CSCc1cscn1)c1nn[n-]n1 ZINC000124737945 281194902 /nfs/dbraw/zinc/19/49/02/281194902.db2.gz ZJDBADVWPKILPX-VIFPVBQESA-N -1 1 312.424 1.547 20 0 DDADMM CSCC[C@H](CO)[N-]S(=O)(=O)c1cc2ccccc2o1 ZINC000127064439 281261712 /nfs/dbraw/zinc/26/17/12/281261712.db2.gz HMEJHOPNQKRZKW-LLVKDONJSA-N -1 1 315.416 1.825 20 0 DDADMM O=C(C=Cc1c(F)cccc1F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000129338056 281340548 /nfs/dbraw/zinc/34/05/48/281340548.db2.gz WBNZUOAOPMVSAU-FGEFZZPRSA-N -1 1 319.315 1.897 20 0 DDADMM C[N-]S(=O)(=O)c1cc(C(=O)N2Cc3ccccc3C2)co1 ZINC000131922604 281434371 /nfs/dbraw/zinc/43/43/71/281434371.db2.gz MKWDAJDAQBVKKX-UHFFFAOYSA-N -1 1 306.343 1.344 20 0 DDADMM C[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc2ccccc2c1[O-] ZINC000271417797 288406347 /nfs/dbraw/zinc/40/63/47/288406347.db2.gz QISUNRVSMPMKLK-LLVKDONJSA-N -1 1 319.382 1.805 20 0 DDADMM Cn1[n-]c(CN[C@H](c2ccc(F)cc2)C(F)(F)F)nc1=O ZINC000362149997 300016850 /nfs/dbraw/zinc/01/68/50/300016850.db2.gz OMDAKSYOLXFYMA-SNVBAGLBSA-N -1 1 304.247 1.641 20 0 DDADMM C[C@@H]1CN(C)C[C@H]1C(=O)N=c1nc(Cc2ccccc2)[n-]s1 ZINC000362785478 300140750 /nfs/dbraw/zinc/14/07/50/300140750.db2.gz MDDIJEGBMJHQAC-DGCLKSJQSA-N -1 1 316.430 1.687 20 0 DDADMM Cn1nnnc1CN1CCC(C(=O)c2ccc([O-])cc2)CC1 ZINC000363208698 300231921 /nfs/dbraw/zinc/23/19/21/300231921.db2.gz XLSGTHCTWDMBAW-UHFFFAOYSA-N -1 1 301.350 1.011 20 0 DDADMM O=C(c1cc(Cl)ccc1[O-])N1CCN([C@@H]2CCOC2)CC1 ZINC000363923216 300326143 /nfs/dbraw/zinc/32/61/43/300326143.db2.gz SFAHHWMQUZVBDT-GFCCVEGCSA-N -1 1 310.781 1.592 20 0 DDADMM CC1(C(=O)[O-])CCN(C(=O)Cc2n[nH]c3ccccc32)CC1 ZINC000364159329 300351940 /nfs/dbraw/zinc/35/19/40/300351940.db2.gz GTNIURQUUFRAMK-UHFFFAOYSA-N -1 1 301.346 1.819 20 0 DDADMM CC[C@@H]1CCCC[C@@H]1OCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000364171855 300353181 /nfs/dbraw/zinc/35/31/81/300353181.db2.gz WCNSNIUEXWBPFN-AGIUHOORSA-N -1 1 323.397 1.085 20 0 DDADMM O=C(c1ccc(F)cc1Cl)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370857449 301397980 /nfs/dbraw/zinc/39/79/80/301397980.db2.gz OATJHMYNMXRERU-SNVBAGLBSA-N -1 1 311.704 1.206 20 0 DDADMM Cc1ccc(C)c(OCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1 ZINC000378226872 302299615 /nfs/dbraw/zinc/29/96/15/302299615.db2.gz MONDHSIETBKUTE-CYBMUJFWSA-N -1 1 315.377 1.602 20 0 DDADMM Cc1cnc(C(=O)N2CCOC[C@@H]2[C@H]2CCCC[C@H]2O)c([O-])c1 ZINC000408064566 302333323 /nfs/dbraw/zinc/33/33/23/302333323.db2.gz LCUKPJKPVPSQLV-MGPQQGTHSA-N -1 1 320.389 1.488 20 0 DDADMM Cc1ccc(-c2[n-][nH]c(=NC(=O)[C@@H]3C[C@H]3c3nc[nH]n3)c2C)o1 ZINC000378570059 302337352 /nfs/dbraw/zinc/33/73/52/302337352.db2.gz RLKKZRZNAIZGJK-NXEZZACHSA-N -1 1 312.333 1.569 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](CO)OC[C@@H]1C ZINC000494666674 302413540 /nfs/dbraw/zinc/41/35/40/302413540.db2.gz LWXDCWHUTNPDOR-ONGXEEELSA-N -1 1 323.393 1.010 20 0 DDADMM C[C@H]1[C@H](C(=O)[N-]OC(C)(C)CO)CCN1C(=O)OC(C)(C)C ZINC000496694462 302612288 /nfs/dbraw/zinc/61/22/88/302612288.db2.gz YNEYLHZGLMZKOQ-WDEREUQCSA-N -1 1 316.398 1.451 20 0 DDADMM O=C([C@@H]1Cc2c1cccc2Cl)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000528880004 303069278 /nfs/dbraw/zinc/06/92/78/303069278.db2.gz CPTDBZNDTOHHKO-JOYOIKCWSA-N -1 1 317.780 1.899 20 0 DDADMM C[C@@H](CN(C)C(=O)[C@@H]1Cc2c1cccc2Cl)c1nn[n-]n1 ZINC000529019746 303081376 /nfs/dbraw/zinc/08/13/76/303081376.db2.gz IVYIRUGTRFLQHV-GZMMTYOYSA-N -1 1 305.769 1.755 20 0 DDADMM CCC[C@@H](NC(=O)Cc1cn(C)c2ccccc12)c1nn[n-]n1 ZINC000531436791 303244970 /nfs/dbraw/zinc/24/49/70/303244970.db2.gz DVGUSFLXBVRCIO-CYBMUJFWSA-N -1 1 312.377 1.892 20 0 DDADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CC(=O)N(C(C)C)C2)sc1C ZINC000532497031 303287780 /nfs/dbraw/zinc/28/77/80/303287780.db2.gz JQORARXKONJXFO-SNVBAGLBSA-N -1 1 317.436 1.048 20 0 DDADMM CC(C)CCOCCNC(=O)CNC1(C(=O)[O-])CCCC1 ZINC000532881147 303300445 /nfs/dbraw/zinc/30/04/45/303300445.db2.gz QWTMUNWOUCZELG-UHFFFAOYSA-N -1 1 300.399 1.152 20 0 DDADMM Cn1[n-]nnc1=NC[C@H]1CC[N@@H+](C)[C@H]1c1ccc(Cl)c(F)c1 ZINC000534973885 303338803 /nfs/dbraw/zinc/33/88/03/303338803.db2.gz DCOGKORVGUXYDM-MFKMUULPSA-N -1 1 324.791 1.529 20 0 DDADMM CN1CC[C@H](CN=c2nn[n-]n2C)[C@@H]1c1ccc(Cl)c(F)c1 ZINC000534973885 303338806 /nfs/dbraw/zinc/33/88/06/303338806.db2.gz DCOGKORVGUXYDM-MFKMUULPSA-N -1 1 324.791 1.529 20 0 DDADMM O=C(C[C@H]1Sc2ccc(Cl)cc2NC1=O)Nc1nnn[n-]1 ZINC000365115691 307059113 /nfs/dbraw/zinc/05/91/13/307059113.db2.gz GULCVFSXICIRQB-MRVPVSSYSA-N -1 1 324.753 1.295 20 0 DDADMM Cc1nn(C)c(C)c1[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000373515323 307189643 /nfs/dbraw/zinc/18/96/43/307189643.db2.gz XUGPIDGVERVUBX-LBPRGKRZSA-N -1 1 316.361 1.351 20 0 DDADMM Cn1[n-]cc2/c(=N\C(=O)N[C@@H]3COc4ccccc4C3)ccnc1-2 ZINC000376602895 307249215 /nfs/dbraw/zinc/24/92/15/307249215.db2.gz UPNJWEFTOCMFRM-NBMDANRKSA-N -1 1 323.356 1.467 20 0 DDADMM CCOC(=O)[C@@H](CNC(=O)c1ncccc1[O-])c1ccccc1 ZINC000427255647 307302894 /nfs/dbraw/zinc/30/28/94/307302894.db2.gz GWRZISXFMVQVRL-ZDUSSCGKSA-N -1 1 314.341 1.864 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@H]1CCO[C@@H]1C)C1CCCCC1 ZINC000527483263 307508083 /nfs/dbraw/zinc/50/80/83/307508083.db2.gz JMMRXHFIHXTNCQ-KGYLQXTDSA-N -1 1 319.423 1.205 20 0 DDADMM Cn1[n-]c(CN2CCC(OCCc3ccccc3)CC2)nc1=O ZINC000543438393 307706271 /nfs/dbraw/zinc/70/62/71/307706271.db2.gz OAEXSHBHKCLLFZ-UHFFFAOYSA-N -1 1 316.405 1.332 20 0 DDADMM C[C@@H]1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)c2ccccc21 ZINC000546131032 307726568 /nfs/dbraw/zinc/72/65/68/307726568.db2.gz UHNPJDUBEVBPJE-ZWNOBZJWSA-N -1 1 323.356 1.786 20 0 DDADMM COC(=O)[C@@H]1CC12CCN(C(=O)c1ncc(C)cc1[O-])CC2 ZINC000548306576 307763494 /nfs/dbraw/zinc/76/34/94/307763494.db2.gz FMZHBMILNFMNGT-NSHDSACASA-N -1 1 304.346 1.511 20 0 DDADMM O=S(=O)(CCC1CCCC1)[N-]c1cncnc1-n1cccn1 ZINC000562707330 307941766 /nfs/dbraw/zinc/94/17/66/307941766.db2.gz ZWNDUYCBNHTENY-UHFFFAOYSA-N -1 1 321.406 1.984 20 0 DDADMM CO[C@@H]1COC[C@@H]1[N-]S(=O)(=O)Cc1cc(Cl)ccc1F ZINC000562782849 307945221 /nfs/dbraw/zinc/94/52/21/307945221.db2.gz IOKQGLYNSVCRTP-NWDGAFQWSA-N -1 1 323.773 1.312 20 0 DDADMM CCc1nc(C2CCN(C(=O)[C@@H](C(=O)[O-])C(C)C)CC2)n[nH]1 ZINC000564978327 308015558 /nfs/dbraw/zinc/01/55/58/308015558.db2.gz MXQDENGRIHTEON-LBPRGKRZSA-N -1 1 308.382 1.430 20 0 DDADMM C[C@@H](CC(=O)[O-])N(C)Cc1nc(-c2ccc3c(c2)OCO3)no1 ZINC000566509931 308056510 /nfs/dbraw/zinc/05/65/10/308056510.db2.gz YVNPFDMEHQQUEG-VIFPVBQESA-N -1 1 319.317 1.760 20 0 DDADMM O=C(NCc1nnc(C2CC2)o1)c1nc2ccccc2c(=O)[n-]1 ZINC000567667318 308088622 /nfs/dbraw/zinc/08/86/22/308088622.db2.gz DFCAQJPKCWJCTO-UHFFFAOYSA-N -1 1 311.301 1.114 20 0 DDADMM O=C(CCc1cccnc1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000567717884 308092256 /nfs/dbraw/zinc/09/22/56/308092256.db2.gz VYSFMTLNZWNDBR-LBPRGKRZSA-N -1 1 302.334 1.509 20 0 DDADMM C[C@@H]1CN(CCCNC(=O)c2cccc(C(=O)[O-])c2)C[C@@H](C)O1 ZINC000567844589 308098671 /nfs/dbraw/zinc/09/86/71/308098671.db2.gz SUXLVGOLNITIFB-CHWSQXEVSA-N -1 1 320.389 1.614 20 0 DDADMM C[C@H](CN1CCN(c2ccccc2)CC1)NC(=O)CCC(=O)[O-] ZINC000571679999 308200117 /nfs/dbraw/zinc/20/01/17/308200117.db2.gz CGDFHVBRCWRDCD-CQSZACIVSA-N -1 1 319.405 1.178 20 0 DDADMM CCC[C@H](CC)S(=O)(=O)N=c1cc(OC(F)F)n(C)[n-]1 ZINC000572725933 308223236 /nfs/dbraw/zinc/22/32/36/308223236.db2.gz AZWNGLCKZIKTAC-QMMMGPOBSA-N -1 1 311.354 1.764 20 0 DDADMM Cc1nc(-c2ccc(N3C[C@H](O)C[C@H]3C)nc2)[n-]c(=O)c1C ZINC000573268292 308235474 /nfs/dbraw/zinc/23/54/74/308235474.db2.gz GHZPRZFDWYZTLW-NOZJJQNGSA-N -1 1 300.362 1.821 20 0 DDADMM C/C=C/C[C@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)C(=O)OCC ZINC000573603588 308246887 /nfs/dbraw/zinc/24/68/87/308246887.db2.gz HJOVHAARAPIYJV-DRKGASSASA-N -1 1 319.423 1.229 20 0 DDADMM CO[C@@H]1CCN(C(=O)c2[nH]nc3ccccc32)[C@H](C(=O)[O-])C1 ZINC000576123367 308291074 /nfs/dbraw/zinc/29/10/74/308291074.db2.gz FRKIHXRSOZVAKZ-SKDRFNHKSA-N -1 1 303.318 1.267 20 0 DDADMM COC(=O)c1cc(S(=O)(=O)[N-]c2cnc[nH]2)ccc1OC ZINC000576630665 308328161 /nfs/dbraw/zinc/32/81/61/308328161.db2.gz MSSXXMXYWUIXQV-UHFFFAOYSA-N -1 1 311.319 1.006 20 0 DDADMM CC1=CCN(CCNC(=O)N=c2ccc(OC(C)C)n[n-]2)CC1 ZINC000577198881 308370171 /nfs/dbraw/zinc/37/01/71/308370171.db2.gz FOEKBDZGEPYPKX-UHFFFAOYSA-N -1 1 319.409 1.459 20 0 DDADMM CO[C@H]1C[C@@H]([N-]S(=O)(=O)c2nc(C)c(C)s2)C12CCC2 ZINC000584340011 333804405 /nfs/dbraw/zinc/80/44/05/333804405.db2.gz CIQBAFUKUNUXML-MNOVXSKESA-N -1 1 316.448 1.996 20 0 DDADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(F)cc1F)[C@H]1CCOC1 ZINC000656614476 484244814 /nfs/dbraw/zinc/24/48/14/484244814.db2.gz ZPPZWWRAEKCBSS-ZANVPECISA-N -1 1 321.345 1.758 20 0 DDADMM CO[C@H](CS(=O)(=O)[N-]c1ccc2c(c1)CCNC2=O)C1CC1 ZINC000656633199 484252462 /nfs/dbraw/zinc/25/24/62/484252462.db2.gz XQTPZRARXPYYOQ-CQSZACIVSA-N -1 1 324.402 1.139 20 0 DDADMM O=C([O-])C12CC(C1)CN2Cc1cn(-c2ccc(Cl)cc2)nn1 ZINC000656761464 484316767 /nfs/dbraw/zinc/31/67/67/484316767.db2.gz TVWRNNLECWVYDO-UHFFFAOYSA-N -1 1 318.764 1.970 20 0 DDADMM CN(C)[C@H](CNC(=O)C1(C(=O)[O-])CCC1)c1ccc(F)cc1 ZINC000663112891 484678694 /nfs/dbraw/zinc/67/86/94/484678694.db2.gz CSTARRMZLMAHIG-CYBMUJFWSA-N -1 1 308.353 1.800 20 0 DDADMM O=c1nc(CN2CC[C@@H](Cn3ccnn3)C2)[n-]c2ccsc21 ZINC000661140693 484934719 /nfs/dbraw/zinc/93/47/19/484934719.db2.gz JLJNQHRYQWAPIY-SNVBAGLBSA-N -1 1 316.390 1.511 20 0 DDADMM CO[C@@H]1CC[C@H](C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000668743782 485306689 /nfs/dbraw/zinc/30/66/89/485306689.db2.gz JOALKEVSWMIWJC-NWDGAFQWSA-N -1 1 318.377 1.483 20 0 DDADMM COc1cccnc1CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000672770801 485346140 /nfs/dbraw/zinc/34/61/40/485346140.db2.gz MANNECNFRBRMAX-OAHLLOKOSA-N -1 1 324.344 1.052 20 0 DDADMM CC(C)c1nc(S(=O)(=O)NCCN2CC[C@@H](C)C2)c[nH]1 ZINC000673825969 485453070 /nfs/dbraw/zinc/45/30/70/485453070.db2.gz SHFIWCPNDHFBHQ-LLVKDONJSA-N -1 1 300.428 1.153 20 0 DDADMM COC[C@H]1CCCN1C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000674592155 485674734 /nfs/dbraw/zinc/67/47/34/485674734.db2.gz XNTCGFOJGDJJKL-GFCCVEGCSA-N -1 1 318.377 1.232 20 0 DDADMM CN(C)[C@H](CNC(=O)N(C)CC(=O)[O-])c1ccc(Cl)cc1 ZINC000679567533 485923711 /nfs/dbraw/zinc/92/37/11/485923711.db2.gz BOLWYGGVVJDFIA-GFCCVEGCSA-N -1 1 313.785 1.669 20 0 DDADMM Cc1nnc([C@@H](C)NC(=O)c2c(C)nc(C(C)(C)C)[n-]c2=O)o1 ZINC000676394223 486215185 /nfs/dbraw/zinc/21/51/85/486215185.db2.gz XKINPWUIYBLZRB-MRVPVSSYSA-N -1 1 319.365 1.971 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2cccc(N3CCNC3=O)c2)c1[O-] ZINC000676695463 486290800 /nfs/dbraw/zinc/29/08/00/486290800.db2.gz YPFOUMJCTSQCON-UHFFFAOYSA-N -1 1 301.306 1.206 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(N3CCOCC3)nc2C)c1[O-] ZINC000676702358 486295218 /nfs/dbraw/zinc/29/52/18/486295218.db2.gz SQWCTGFSSNKOJL-UHFFFAOYSA-N -1 1 317.349 1.216 20 0 DDADMM CCCNC(=O)[C@@H]1CCCN(C(=O)c2ccc([O-])c(F)c2)C1 ZINC000681038051 486328183 /nfs/dbraw/zinc/32/81/83/486328183.db2.gz VVIXTUADKPEEFO-GFCCVEGCSA-N -1 1 308.353 1.910 20 0 DDADMM CNC(=O)COc1ccc(NC(=O)c2ccc([O-])c(F)c2)cc1 ZINC000681041868 486328831 /nfs/dbraw/zinc/32/88/31/486328831.db2.gz TYYOIBFYOJHOEB-UHFFFAOYSA-N -1 1 318.304 1.908 20 0 DDADMM COc1cc(F)c(S(=O)(=O)[N-][C@H](C)[C@@H](C)CO)c(F)c1 ZINC000676860276 486348318 /nfs/dbraw/zinc/34/83/18/486348318.db2.gz WXGPVCYYIGACFF-JGVFFNPUSA-N -1 1 309.334 1.269 20 0 DDADMM CC1(C)CN(C(=O)c2ccc([O-])c(F)c2)C[C@]2(CCOC2)O1 ZINC000684524095 486375812 /nfs/dbraw/zinc/37/58/12/486375812.db2.gz GLIMZPLNPDXOCX-INIZCTEOSA-N -1 1 309.337 1.941 20 0 DDADMM O=C(NC1CCN(CC(F)F)CC1)c1c([O-])cccc1F ZINC000681227602 486378101 /nfs/dbraw/zinc/37/81/01/486378101.db2.gz LKVUQECFVQJNOE-UHFFFAOYSA-N -1 1 302.296 1.991 20 0 DDADMM O=C(CCNC(=O)c1ccc([O-])c(F)c1)NCc1ccccn1 ZINC000681352366 486410702 /nfs/dbraw/zinc/41/07/02/486410702.db2.gz NHGHLRXQADDXLJ-UHFFFAOYSA-N -1 1 317.320 1.363 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccn3ccnc3c2)o1 ZINC000684820969 486435743 /nfs/dbraw/zinc/43/57/43/486435743.db2.gz JIYGRWQIBWQQNW-UHFFFAOYSA-N -1 1 320.330 1.088 20 0 DDADMM O=C(N[C@H]1CCN(C2CCOCC2)C1=O)c1ccc([O-])cc1F ZINC000681530321 486445836 /nfs/dbraw/zinc/44/58/36/486445836.db2.gz WZYYJWZEUFEYTN-AWEZNQCLSA-N -1 1 322.336 1.041 20 0 DDADMM CCN(CC)S(=O)(=O)[N-]c1ccn(-c2ncccc2F)n1 ZINC000677550170 486494653 /nfs/dbraw/zinc/49/46/53/486494653.db2.gz OPPYNRRKUULUAL-UHFFFAOYSA-N -1 1 313.358 1.405 20 0 DDADMM O=C(NCc1nn[n-]n1)c1cc(Cl)cc(C(F)(F)F)c1 ZINC000681730532 486503482 /nfs/dbraw/zinc/50/34/82/486503482.db2.gz QJMRZQLAKBESKH-UHFFFAOYSA-N -1 1 305.647 1.802 20 0 DDADMM Cc1cnc(C(=O)N2C[C@H](C(F)(F)F)O[C@@H](C)C2)c([O-])c1 ZINC000681735889 486504757 /nfs/dbraw/zinc/50/47/57/486504757.db2.gz ZKUYKTNVDPGZCQ-WCBMZHEXSA-N -1 1 304.268 1.887 20 0 DDADMM O=C(CCCn1ccc2cc(Cl)ccc21)NCc1nn[n-]n1 ZINC000677742208 486535052 /nfs/dbraw/zinc/53/50/52/486535052.db2.gz XDPGAWKMWXKXFI-UHFFFAOYSA-N -1 1 318.768 1.904 20 0 DDADMM C[C@@H]1C[C@@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CCC1=O ZINC000677868656 486561090 /nfs/dbraw/zinc/56/10/90/486561090.db2.gz LLNRKQMRGXIWJI-OSMZGAPFSA-N -1 1 313.361 1.411 20 0 DDADMM COC(=O)c1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)c[nH]1 ZINC000432409787 533745584 /nfs/dbraw/zinc/74/55/84/533745584.db2.gz IZOGSYLDHWKCBJ-UHFFFAOYSA-N -1 1 303.200 1.191 20 0 DDADMM C[C@H](C[N-]S(=O)(=O)c1sccc1Cl)c1nncn1C ZINC000414461938 534121882 /nfs/dbraw/zinc/12/18/82/534121882.db2.gz GQGIECDYRQPZIZ-SSDOTTSWSA-N -1 1 320.827 1.612 20 0 DDADMM COc1ccc(NC(=O)[C@H]2CC2(F)F)cc1[N-]S(C)(=O)=O ZINC000294492023 534162454 /nfs/dbraw/zinc/16/24/54/534162454.db2.gz PQSKAHDACDKJHX-MRVPVSSYSA-N -1 1 320.317 1.660 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@@]2(OC(C)C)CCOC2)c1 ZINC000451456279 534231881 /nfs/dbraw/zinc/23/18/81/534231881.db2.gz LEOQOVLYZWDVKL-MRXNPFEDSA-N -1 1 323.345 1.701 20 0 DDADMM COC(=O)c1ccc([O-])c(NC(=O)[C@H]2CCC[C@H](C(N)=O)C2)c1 ZINC000436501817 534232042 /nfs/dbraw/zinc/23/20/42/534232042.db2.gz ATMPGTICPBCJPD-UWVGGRQHSA-N -1 1 320.345 1.409 20 0 DDADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C2CCC2)c1 ZINC000425194737 534322173 /nfs/dbraw/zinc/32/21/73/534322173.db2.gz PJTBPQXDWQAIHF-JQWIXIFHSA-N -1 1 313.375 1.781 20 0 DDADMM Cn1nnnc1-c1cccc(NC(=O)C(=O)c2ccc([O-])cc2)c1 ZINC000436762020 534367372 /nfs/dbraw/zinc/36/73/72/534367372.db2.gz AZLIBKLGVDHCHR-UHFFFAOYSA-N -1 1 323.312 1.404 20 0 DDADMM Cc1ccc2c([O-])c(C(=O)N[C@@H]3CCCC[C@@H]3O)cnc2n1 ZINC000432724518 534401198 /nfs/dbraw/zinc/40/11/98/534401198.db2.gz ZDLDWZFNPRNQNE-OLZOCXBDSA-N -1 1 301.346 1.677 20 0 DDADMM Cc1ccc2c(n1)[n-]cc(C(=O)N[C@@H]1CCCC[C@@H]1O)c2=O ZINC000432724518 534401206 /nfs/dbraw/zinc/40/12/06/534401206.db2.gz ZDLDWZFNPRNQNE-OLZOCXBDSA-N -1 1 301.346 1.677 20 0 DDADMM O=C(NCc1ccnc(-n2cccn2)c1)C(=O)c1ccc([O-])cc1 ZINC000437005381 534688249 /nfs/dbraw/zinc/68/82/49/534688249.db2.gz OTTOIVBGGUSWLH-UHFFFAOYSA-N -1 1 322.324 1.472 20 0 DDADMM C/C=C/C[C@H](CO)[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000425176595 526292795 /nfs/dbraw/zinc/29/27/95/526292795.db2.gz NDMYRRKOYFWAFV-HMDXOVGESA-N -1 1 305.346 1.879 20 0 DDADMM CC(C)(C)OC(=O)N[C@@H](CNC(=O)c1cncc([O-])c1)C1CC1 ZINC000494140426 526480034 /nfs/dbraw/zinc/48/00/34/526480034.db2.gz COPKFBPLNPTZKU-ZDUSSCGKSA-N -1 1 321.377 1.820 20 0 DDADMM CC(C)(C)OC(=O)Nc1cccc(C(=O)Nc2nnn[n-]2)c1 ZINC000491509243 526497193 /nfs/dbraw/zinc/49/71/93/526497193.db2.gz KVAFKYZELABYJV-UHFFFAOYSA-N -1 1 304.310 1.799 20 0 DDADMM CC(C)(C)OC(=O)Nc1cccc(C(=O)Nc2nn[n-]n2)c1 ZINC000491509243 526497197 /nfs/dbraw/zinc/49/71/97/526497197.db2.gz KVAFKYZELABYJV-UHFFFAOYSA-N -1 1 304.310 1.799 20 0 DDADMM Cn1cc(N2CC[C@H]([N-]c3noc(-c4ccccc4)n3)C2=O)cn1 ZINC000157618066 527258796 /nfs/dbraw/zinc/25/87/96/527258796.db2.gz RCQBMFLRFDEUEK-ZDUSSCGKSA-N -1 1 324.344 1.688 20 0 DDADMM CCNC(=O)[C@@H]([N-]S(=O)(=O)c1cccc(F)c1F)C(C)C ZINC000292809007 528213603 /nfs/dbraw/zinc/21/36/03/528213603.db2.gz STQQOXJLOIXSBX-LBPRGKRZSA-N -1 1 320.361 1.404 20 0 DDADMM CCNC(=O)c1ccc(=NC[C@@H](C)c2c(C)noc2C)[n-]n1 ZINC000443893779 528230035 /nfs/dbraw/zinc/23/00/35/528230035.db2.gz FMESRUVNWLUTJZ-SECBINFHSA-N -1 1 303.366 1.469 20 0 DDADMM CC(C)[C@@H](CO)[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000330823574 528482121 /nfs/dbraw/zinc/48/21/21/528482121.db2.gz QEQYQIDFMULSOR-SSDOTTSWSA-N -1 1 312.185 1.337 20 0 DDADMM O[C@@H]1CCC[C@H](Nc2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000738390210 598960594 /nfs/dbraw/zinc/96/05/94/598960594.db2.gz QJTKCWCHWZGHFB-VHSXEESVSA-N -1 1 311.349 1.525 20 0 DDADMM O[C@@H]1CCC[C@H](Nc2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000738390210 598960595 /nfs/dbraw/zinc/96/05/95/598960595.db2.gz QJTKCWCHWZGHFB-VHSXEESVSA-N -1 1 311.349 1.525 20 0 DDADMM COc1ccc2c(c1)CCN2C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737119036 598998956 /nfs/dbraw/zinc/99/89/56/598998956.db2.gz KYRMJEOHTGSEAL-UHFFFAOYSA-N -1 1 322.328 1.473 20 0 DDADMM COc1ccc2c(c1)CCN2C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737119036 598998959 /nfs/dbraw/zinc/99/89/59/598998959.db2.gz KYRMJEOHTGSEAL-UHFFFAOYSA-N -1 1 322.328 1.473 20 0 DDADMM O=C(Nc1ccc2c(c1)CC(=O)N2)c1ccc(-c2nnn[n-]2)nc1 ZINC000738315480 599033682 /nfs/dbraw/zinc/03/36/82/599033682.db2.gz KARFQMZCDGBGGQ-UHFFFAOYSA-N -1 1 321.300 1.009 20 0 DDADMM O=C(Nc1ccc2c(c1)CC(=O)N2)c1ccc(-c2nn[n-]n2)nc1 ZINC000738315480 599033684 /nfs/dbraw/zinc/03/36/84/599033684.db2.gz KARFQMZCDGBGGQ-UHFFFAOYSA-N -1 1 321.300 1.009 20 0 DDADMM CC1(C)[C@H](Nc2cccc(-c3nnn[n-]3)n2)[C@@H]2CCCO[C@H]21 ZINC000820586682 599041893 /nfs/dbraw/zinc/04/18/93/599041893.db2.gz YPTLHYMQEOGNFG-ZWKOPEQDSA-N -1 1 300.366 1.877 20 0 DDADMM CC1(C)[C@H](Nc2cccc(-c3nn[n-]n3)n2)[C@@H]2CCCO[C@H]21 ZINC000820586682 599041896 /nfs/dbraw/zinc/04/18/96/599041896.db2.gz YPTLHYMQEOGNFG-ZWKOPEQDSA-N -1 1 300.366 1.877 20 0 DDADMM CC(C)(CCO)CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000819981504 599106175 /nfs/dbraw/zinc/10/61/75/599106175.db2.gz XKVQTNHKBOENJE-UHFFFAOYSA-N -1 1 302.791 1.797 20 0 DDADMM CC(C)(CCO)CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000819981504 599106177 /nfs/dbraw/zinc/10/61/77/599106177.db2.gz XKVQTNHKBOENJE-UHFFFAOYSA-N -1 1 302.791 1.797 20 0 DDADMM O=C1CN(Cc2ccccc2)[C@@H](c2ccc(-c3nnn[n-]3)o2)N1 ZINC000823536303 599120114 /nfs/dbraw/zinc/12/01/14/599120114.db2.gz ZYVDAHOMQTTXLD-HNNXBMFYSA-N -1 1 310.317 1.090 20 0 DDADMM O=C1CN(Cc2ccccc2)[C@@H](c2ccc(-c3nn[n-]n3)o2)N1 ZINC000823536303 599120115 /nfs/dbraw/zinc/12/01/15/599120115.db2.gz ZYVDAHOMQTTXLD-HNNXBMFYSA-N -1 1 310.317 1.090 20 0 DDADMM O=C(OCCOC1CCCCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738222248 599153731 /nfs/dbraw/zinc/15/37/31/599153731.db2.gz CLUHZNUJTYKKPM-UHFFFAOYSA-N -1 1 317.349 1.768 20 0 DDADMM O=C(OCCOC1CCCCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738222248 599153734 /nfs/dbraw/zinc/15/37/34/599153734.db2.gz CLUHZNUJTYKKPM-UHFFFAOYSA-N -1 1 317.349 1.768 20 0 DDADMM O=C([O-])C1CCN(CC(=O)NCCOc2ccc(F)cc2)CC1 ZINC000262606374 596916924 /nfs/dbraw/zinc/91/69/24/596916924.db2.gz AUWRODBGGCVYBS-UHFFFAOYSA-N -1 1 324.352 1.117 20 0 DDADMM COC(=O)c1ccc(C)c(NC(=O)[C@H](C)N(C)CCC(=O)[O-])c1 ZINC000818522074 597000208 /nfs/dbraw/zinc/00/02/08/597000208.db2.gz URPVNFVLBJAJOF-NSHDSACASA-N -1 1 322.361 1.515 20 0 DDADMM CN1CCN(c2ccccc2NC(=O)NCCC(=O)[O-])CC1 ZINC000739735691 597121116 /nfs/dbraw/zinc/12/11/16/597121116.db2.gz CWIZHRXPGNDNLT-UHFFFAOYSA-N -1 1 306.366 1.035 20 0 DDADMM O=C([O-])Cc1cccc(NC(=O)N2CCN(CC3CC3)CC2)c1 ZINC000321155901 597202053 /nfs/dbraw/zinc/20/20/53/597202053.db2.gz GPVSADDTSUVWTM-UHFFFAOYSA-N -1 1 317.389 1.873 20 0 DDADMM CC(=O)NCCN(Cc1cnc2c(C)cccn12)[C@@H](C)C(=O)[O-] ZINC000819780210 597703771 /nfs/dbraw/zinc/70/37/71/597703771.db2.gz FJCQGDZLBRUTDH-LBPRGKRZSA-N -1 1 318.377 1.054 20 0 DDADMM COc1cc(C)nc(N2CCN(CCCCC(=O)[O-])CC2)n1 ZINC000738076312 597776264 /nfs/dbraw/zinc/77/62/64/597776264.db2.gz DCXIRQDAMNJSLK-UHFFFAOYSA-N -1 1 308.382 1.171 20 0 DDADMM CC[C@H](C)[C@H](NCC(=O)N1CCc2sccc2C1)C(=O)[O-] ZINC000820459760 598187266 /nfs/dbraw/zinc/18/72/66/598187266.db2.gz QYTAZJGVINVWJM-HZMBPMFUSA-N -1 1 310.419 1.722 20 0 DDADMM C[C@@H]1CCC[C@@H](NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736249074 598263573 /nfs/dbraw/zinc/26/35/73/598263573.db2.gz DNDBPAJTBBNRQJ-NXEZZACHSA-N -1 1 322.394 1.119 20 0 DDADMM C[C@@H]1CCC[C@@H](NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736249074 598263575 /nfs/dbraw/zinc/26/35/75/598263575.db2.gz DNDBPAJTBBNRQJ-NXEZZACHSA-N -1 1 322.394 1.119 20 0 DDADMM c1ccc(N2CC[C@H](CNc3nccnc3-c3nnn[n-]3)C2)cc1 ZINC000738436506 598343733 /nfs/dbraw/zinc/34/37/33/598343733.db2.gz SATDMDMZEXNCQT-GFCCVEGCSA-N -1 1 322.376 1.595 20 0 DDADMM c1ccc(N2CC[C@H](CNc3nccnc3-c3nn[n-]n3)C2)cc1 ZINC000738436506 598343735 /nfs/dbraw/zinc/34/37/35/598343735.db2.gz SATDMDMZEXNCQT-GFCCVEGCSA-N -1 1 322.376 1.595 20 0 DDADMM Cc1csc([C@H]2CCCN2c2nccnc2-c2nnn[n-]2)n1 ZINC000737455233 598351614 /nfs/dbraw/zinc/35/16/14/598351614.db2.gz ONQPDGZMSRSOIK-SECBINFHSA-N -1 1 314.378 1.763 20 0 DDADMM Cc1csc([C@H]2CCCN2c2nccnc2-c2nn[n-]n2)n1 ZINC000737455233 598351616 /nfs/dbraw/zinc/35/16/16/598351616.db2.gz ONQPDGZMSRSOIK-SECBINFHSA-N -1 1 314.378 1.763 20 0 DDADMM CCc1nn(Cc2ccccc2)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736706082 598378087 /nfs/dbraw/zinc/37/80/87/598378087.db2.gz GRWXGYBWYIOHOV-UHFFFAOYSA-N -1 1 310.361 1.597 20 0 DDADMM CN(Cc1ccccc1Cl)c1cnc(-c2nnn[n-]2)cn1 ZINC000736829176 598384141 /nfs/dbraw/zinc/38/41/41/598384141.db2.gz VMCMYZULWGJQTA-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM CN(Cc1ccccc1Cl)c1cnc(-c2nn[n-]n2)cn1 ZINC000736829176 598384143 /nfs/dbraw/zinc/38/41/43/598384143.db2.gz VMCMYZULWGJQTA-UHFFFAOYSA-N -1 1 301.741 1.947 20 0 DDADMM CCN1CCc2ccc(Nc3nccnc3-c3nnn[n-]3)cc21 ZINC000736567561 598550851 /nfs/dbraw/zinc/55/08/51/598550851.db2.gz AOXVLSBLNDFLDA-UHFFFAOYSA-N -1 1 308.349 1.783 20 0 DDADMM CCN1CCc2ccc(Nc3nccnc3-c3nn[n-]n3)cc21 ZINC000736567561 598550853 /nfs/dbraw/zinc/55/08/53/598550853.db2.gz AOXVLSBLNDFLDA-UHFFFAOYSA-N -1 1 308.349 1.783 20 0 DDADMM COc1cc(Cn2cnc(-c3nn[n-]n3)n2)ccc1SC ZINC000737046322 598584944 /nfs/dbraw/zinc/58/49/44/598584944.db2.gz AVZVDEFBEXMEKW-UHFFFAOYSA-N -1 1 303.351 1.237 20 0 DDADMM O[C@H]1CCC[C@@H]1CNc1snc(Cl)c1-c1nnn[n-]1 ZINC000738388838 599324719 /nfs/dbraw/zinc/32/47/19/599324719.db2.gz HCTBRYORCPSMHL-RITPCOANSA-N -1 1 300.775 1.550 20 0 DDADMM O[C@H]1CCC[C@@H]1CNc1snc(Cl)c1-c1nn[n-]n1 ZINC000738388838 599324721 /nfs/dbraw/zinc/32/47/21/599324721.db2.gz HCTBRYORCPSMHL-RITPCOANSA-N -1 1 300.775 1.550 20 0 DDADMM O=S(=O)(c1cccc(F)c1-c1nnn[n-]1)N1C2CCC1CC2 ZINC000823606524 599336928 /nfs/dbraw/zinc/33/69/28/599336928.db2.gz JTGLFRVNPAJTCZ-UHFFFAOYSA-N -1 1 323.353 1.321 20 0 DDADMM O=S(=O)(c1cccc(F)c1-c1nn[n-]n1)N1C2CCC1CC2 ZINC000823606524 599336931 /nfs/dbraw/zinc/33/69/31/599336931.db2.gz JTGLFRVNPAJTCZ-UHFFFAOYSA-N -1 1 323.353 1.321 20 0 DDADMM C[C@@H]1CCCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)[C@H]1C ZINC000820710684 599349231 /nfs/dbraw/zinc/34/92/31/599349231.db2.gz RXEDDKJEAALXRM-ZJUUUORDSA-N -1 1 322.394 1.071 20 0 DDADMM C[C@@H]1CCCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)[C@H]1C ZINC000820710684 599349234 /nfs/dbraw/zinc/34/92/34/599349234.db2.gz RXEDDKJEAALXRM-ZJUUUORDSA-N -1 1 322.394 1.071 20 0 DDADMM C[C@H]1CSCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000736295884 599535361 /nfs/dbraw/zinc/53/53/61/599535361.db2.gz AYZWEQCWMBBSGR-YFKPBYRVSA-N -1 1 302.816 1.918 20 0 DDADMM C[C@H]1CSCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000736295884 599535364 /nfs/dbraw/zinc/53/53/64/599535364.db2.gz AYZWEQCWMBBSGR-YFKPBYRVSA-N -1 1 302.816 1.918 20 0 DDADMM CC[S@@](=O)CCNc1cc(-c2nnn[n-]2)nc2ccccc21 ZINC000821446930 599546339 /nfs/dbraw/zinc/54/63/39/599546339.db2.gz GAKJCEGKTAQCSC-JOCHJYFZSA-N -1 1 316.390 1.595 20 0 DDADMM CC[S@@](=O)CCNc1cc(-c2nn[n-]n2)nc2ccccc21 ZINC000821446930 599546340 /nfs/dbraw/zinc/54/63/40/599546340.db2.gz GAKJCEGKTAQCSC-JOCHJYFZSA-N -1 1 316.390 1.595 20 0 DDADMM CC1(C)[C@H](OC(=O)c2ccc(-c3nnn[n-]3)nc2)[C@@H]2CCO[C@H]21 ZINC000736204341 599618600 /nfs/dbraw/zinc/61/86/00/599618600.db2.gz DYJZQWQMZKWEHW-YUSALJHKSA-N -1 1 315.333 1.232 20 0 DDADMM CC1(C)[C@H](OC(=O)c2ccc(-c3nn[n-]n3)nc2)[C@@H]2CCO[C@H]21 ZINC000736204341 599618603 /nfs/dbraw/zinc/61/86/03/599618603.db2.gz DYJZQWQMZKWEHW-YUSALJHKSA-N -1 1 315.333 1.232 20 0 DDADMM O=C([O-])NCCCC(=O)NCCN1CCc2ccccc2C1 ZINC000739959490 599717627 /nfs/dbraw/zinc/71/76/27/599717627.db2.gz NMWYZQLAJWTTKQ-UHFFFAOYSA-N -1 1 305.378 1.209 20 0 DDADMM CN1CCN(Cc2cccc(NC(=O)CCNC(=O)[O-])c2)CC1 ZINC000737529449 599726833 /nfs/dbraw/zinc/72/68/33/599726833.db2.gz WXKDYVQUOWIOGI-UHFFFAOYSA-N -1 1 320.393 1.030 20 0 DDADMM CN(CC(=O)Nc1ccccc1C(=O)[O-])C[C@H]1CCCOC1 ZINC000737396551 599764073 /nfs/dbraw/zinc/76/40/73/599764073.db2.gz HOZSDPBGMPPNNK-GFCCVEGCSA-N -1 1 306.362 1.682 20 0 DDADMM CCc1c(NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])[nH]nc1-c1ccncc1 ZINC000737247259 599851150 /nfs/dbraw/zinc/85/11/50/599851150.db2.gz BGZLVKZKCYKQAT-MNOVXSKESA-N -1 1 300.318 1.693 20 0 DDADMM CCc1cc(C(=O)N(CC(=O)[O-])Cc2ccc(F)c(F)c2)n[nH]1 ZINC000432003568 599851663 /nfs/dbraw/zinc/85/16/63/599851663.db2.gz RORGBLCWYKYDBV-UHFFFAOYSA-N -1 1 323.299 1.977 20 0 DDADMM C[C@H]1CCCCN1CC(=O)N1Cc2ccccc2C[C@H]1C(=O)[O-] ZINC000736783324 600011825 /nfs/dbraw/zinc/01/18/25/600011825.db2.gz GXWYQRDBFSHSHK-BBRMVZONSA-N -1 1 316.401 1.899 20 0 DDADMM O=C([O-])c1cccc(C(=O)Nc2cnn(-c3ccncc3)c2)n1 ZINC000740215013 600012729 /nfs/dbraw/zinc/01/27/29/600012729.db2.gz DORXQUMDMCXICP-UHFFFAOYSA-N -1 1 309.285 1.613 20 0 DDADMM O=C([O-])C1CCC(NC(=O)N2CCN3CCCC[C@@H]3C2)CC1 ZINC000316780579 600029725 /nfs/dbraw/zinc/02/97/25/600029725.db2.gz PVXYWGUZUCPSAI-JXQTWKCFSA-N -1 1 309.410 1.510 20 0 DDADMM Cc1[nH]nc(C(=O)N2CCC[C@@H]2C(=O)[O-])c1Br ZINC000738821910 600070561 /nfs/dbraw/zinc/07/05/61/600070561.db2.gz RBFZZEWXWJFDOR-ZCFIWIBFSA-N -1 1 302.128 1.170 20 0 DDADMM CN(C)[C@H](Cc1ccccc1)C(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000737360689 600101853 /nfs/dbraw/zinc/10/18/53/600101853.db2.gz ZTTQTBLOHVKDCM-HUUCEWRRSA-N -1 1 304.390 1.483 20 0 DDADMM C[C@@H](c1ccccc1)[N@H+]1CC[C@@H](NC(=O)C(C)(C)NC(=O)[O-])C1 ZINC000736722859 600157864 /nfs/dbraw/zinc/15/78/64/600157864.db2.gz FGAXPUMHZGFXIF-GXTWGEPZSA-N -1 1 319.405 1.984 20 0 DDADMM CN(C(=O)c1ccc2[nH]nnc2c1)[C@@H](C(=O)[O-])c1ccccc1 ZINC000737338997 600197051 /nfs/dbraw/zinc/19/70/51/600197051.db2.gz NXLIJSXUYYURTF-CQSZACIVSA-N -1 1 310.313 1.856 20 0 DDADMM Cc1cc(CNC(=O)N(C)Cc2cc(C(=O)[O-])c(C)o2)n[nH]1 ZINC000738386485 600460590 /nfs/dbraw/zinc/46/05/90/600460590.db2.gz ZGKSHBUBWHUZII-UHFFFAOYSA-N -1 1 306.322 1.659 20 0 DDADMM CCOCCCN(C[C@@H](C)C(=O)[O-])C(=O)[C@H]1CCCN1C ZINC000737168024 600500045 /nfs/dbraw/zinc/50/00/45/600500045.db2.gz KVBQNZCINJJBGP-CHWSQXEVSA-N -1 1 300.399 1.057 20 0 DDADMM CN(Cc1ccco1)C(=O)CN1[C@H](C(=O)[O-])C[C@@H]2CCCC[C@@H]21 ZINC000320743284 600504839 /nfs/dbraw/zinc/50/48/39/600504839.db2.gz BANCBLIXABXBTJ-QEJZJMRPSA-N -1 1 320.389 1.956 20 0 DDADMM Cc1cc(CN2CCN([C@@H]3CCC[C@@H]3O)CC2)oc1C(=O)[O-] ZINC000738385449 600509109 /nfs/dbraw/zinc/50/91/09/600509109.db2.gz OKQLBCSBFHAUHQ-KGLIPLIRSA-N -1 1 308.378 1.317 20 0 DDADMM Cc1ccc(C(=O)[O-])cc1NC(=O)[C@H](C)N(C)CC[C@@H](C)O ZINC000832914934 600833873 /nfs/dbraw/zinc/83/38/73/600833873.db2.gz HDTVGWKBGMSCMN-NEPJUHHUSA-N -1 1 308.378 1.723 20 0 DDADMM O=C([O-])[C@H](NC(=O)Cc1[nH]nc2ccccc21)c1ccccn1 ZINC000833119313 600918865 /nfs/dbraw/zinc/91/88/65/600918865.db2.gz JPEZQCXPDGFMHR-OAHLLOKOSA-N -1 1 310.313 1.443 20 0 DDADMM CSc1ccc(NS(=O)(=O)c2c[nH]c(C(=O)[O-])c2)cn1 ZINC000832781705 600979029 /nfs/dbraw/zinc/97/90/29/600979029.db2.gz ZVDLHTHLPJYPDG-UHFFFAOYSA-N -1 1 313.360 1.631 20 0 DDADMM C[C@@H](C(=O)N1CCC(C(=O)[O-])CC1)N1C[C@@H](C)S[C@@H](C)C1 ZINC000828411557 601025383 /nfs/dbraw/zinc/02/53/83/601025383.db2.gz IHLNHPNFSHJYMF-TUAOUCFPSA-N -1 1 314.451 1.524 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H](C)c2cccnc2)CC1 ZINC000825990670 601090731 /nfs/dbraw/zinc/09/07/31/601090731.db2.gz PGLFHSYSRBZOKE-OLZOCXBDSA-N -1 1 305.378 1.193 20 0 DDADMM Cc1noc(C)c1CCC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000833019893 601096324 /nfs/dbraw/zinc/09/63/24/601096324.db2.gz HBOWMGPALCBNQH-NSHDSACASA-N -1 1 323.393 1.231 20 0 DDADMM CCN1C[C@@H](C)[C@H](NS(=O)(=O)c2csc(C(=O)[O-])c2)C1 ZINC000737111590 601111245 /nfs/dbraw/zinc/11/12/45/601111245.db2.gz KZFQNMYDEFEWRZ-PSASIEDQSA-N -1 1 318.420 1.065 20 0 DDADMM CCCn1nccc1NC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000829590826 601126660 /nfs/dbraw/zinc/12/66/60/601126660.db2.gz GNYHBGUHXDQBQL-GFCCVEGCSA-N -1 1 323.397 1.306 20 0 DDADMM COc1ccccc1CN1CCN(C/C=C(\C)C(=O)[O-])CC1 ZINC000832613979 601162736 /nfs/dbraw/zinc/16/27/36/601162736.db2.gz SVHYKZXIRCAPBT-VGOFMYFVSA-N -1 1 304.390 1.844 20 0 DDADMM COC(=O)c1nn(CN2CC[C@@H](C(=O)[O-])C2)c2ccccc21 ZINC000818528165 601396755 /nfs/dbraw/zinc/39/67/55/601396755.db2.gz LVSJFONAQQBREL-SNVBAGLBSA-N -1 1 303.318 1.187 20 0 DDADMM COC(=O)c1nn(CN2CCC[C@@H](C(=O)[O-])C2)c2ccccc21 ZINC000831471533 601400588 /nfs/dbraw/zinc/40/05/88/601400588.db2.gz UTPVMICLNZPCOY-LLVKDONJSA-N -1 1 317.345 1.577 20 0 DDADMM O=C([O-])[C@]1(F)CCN(CCCOc2ccc3c(c2)OCO3)C1 ZINC000833174280 601444426 /nfs/dbraw/zinc/44/44/26/601444426.db2.gz CZHFVPOESOSLJZ-HNNXBMFYSA-N -1 1 311.309 1.683 20 0 DDADMM C[C@H](C(=O)Nc1ccc(F)c(F)c1)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828424956 601528367 /nfs/dbraw/zinc/52/83/67/601528367.db2.gz VLVARUBGHJQJHV-FXPVBKGRSA-N -1 1 312.316 1.944 20 0 DDADMM CN(CC(=O)Nc1nc(CC(=O)[O-])cs1)C1CCCCC1 ZINC000830684024 601553402 /nfs/dbraw/zinc/55/34/02/601553402.db2.gz YFCGBGUKDFKKNG-UHFFFAOYSA-N -1 1 311.407 1.973 20 0 DDADMM COc1ccc([C@H](NC(=O)Cc2cc(C)n[nH]2)C(=O)[O-])cc1 ZINC000832245070 601678598 /nfs/dbraw/zinc/67/85/98/601678598.db2.gz IXNXUSAEIUWEIV-AWEZNQCLSA-N -1 1 303.318 1.211 20 0 DDADMM O=C([O-])C[C@H](NC(=O)CCc1nc[nH]n1)c1ccc(Cl)cc1 ZINC000833265544 601733540 /nfs/dbraw/zinc/73/35/40/601733540.db2.gz GVZLAZOVOGDTCO-NSHDSACASA-N -1 1 322.752 1.723 20 0 DDADMM O=C([O-])[C@H](NC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1)C1CC1 ZINC000833126178 601758572 /nfs/dbraw/zinc/75/85/72/601758572.db2.gz ZFZNGWPEQOIJDC-SNVBAGLBSA-N -1 1 319.342 1.217 20 0 DDADMM Cc1ccc(NC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c(C)n1 ZINC000832945567 601799601 /nfs/dbraw/zinc/79/96/01/601799601.db2.gz GKISZBRNXKPAIS-GFCCVEGCSA-N -1 1 320.393 1.711 20 0 DDADMM CC(C)CN(C)CN1C(=O)CN(c2cccc(C(=O)[O-])c2)C1=O ZINC000826871031 601875055 /nfs/dbraw/zinc/87/50/55/601875055.db2.gz KHICENAQACFERG-UHFFFAOYSA-N -1 1 319.361 1.699 20 0 DDADMM COc1ccccc1CN(C)C(=O)CN[C@@H](C(=O)[O-])C(C)(C)C ZINC000832614069 601875671 /nfs/dbraw/zinc/87/56/71/601875671.db2.gz XDXCBOSNCRXGOW-HNNXBMFYSA-N -1 1 322.405 1.743 20 0 DDADMM CN(C(=O)CN1CCSC[C@H]1CC(=O)[O-])C1CCCCC1 ZINC000091131597 601885043 /nfs/dbraw/zinc/88/50/43/601885043.db2.gz XSLFVUUGGHYYBE-CYBMUJFWSA-N -1 1 314.451 1.670 20 0 DDADMM COCCn1c(C)cc(C(=O)CN2CC[C@](C)(C(=O)[O-])C2)c1C ZINC000831800170 601932473 /nfs/dbraw/zinc/93/24/73/601932473.db2.gz GJVWDIVPVWICDR-KRWDZBQOSA-N -1 1 322.405 1.731 20 0 DDADMM C[C@@H]1CCCC[C@H]1N(C)C(=O)CN1CC[C@H](CNC(=O)[O-])C1 ZINC000739097481 601939134 /nfs/dbraw/zinc/93/91/34/601939134.db2.gz HUWYNSBDRBLFGS-MGPQQGTHSA-N -1 1 311.426 1.613 20 0 DDADMM C[C@H](C(=O)NCc1ccccc1F)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738629164 601944077 /nfs/dbraw/zinc/94/40/77/601944077.db2.gz NAGVYORRENGBRD-NEPJUHHUSA-N -1 1 323.368 1.420 20 0 DDADMM Cc1ccccc1CCNC(=O)CN1CC[C@@H](CNC(=O)[O-])C1 ZINC000740185412 601955914 /nfs/dbraw/zinc/95/59/14/601955914.db2.gz VOFSTXQEGIVZSZ-AWEZNQCLSA-N -1 1 319.405 1.243 20 0 DDADMM NC(=O)[C@@H](NCC1CCN(C(=O)[O-])CC1)c1ccc(F)cc1 ZINC000740322771 602046850 /nfs/dbraw/zinc/04/68/50/602046850.db2.gz CNCFZCMZGQRATJ-ZDUSSCGKSA-N -1 1 309.341 1.332 20 0 DDADMM O=c1c2sccc2ncn1Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826502053 607543009 /nfs/dbraw/zinc/54/30/09/607543009.db2.gz SJKCERHEDIXHSZ-UHFFFAOYSA-N -1 1 311.330 1.081 20 0 DDADMM O=c1c2sccc2ncn1Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826502053 607543011 /nfs/dbraw/zinc/54/30/11/607543011.db2.gz SJKCERHEDIXHSZ-UHFFFAOYSA-N -1 1 311.330 1.081 20 0 DDADMM CNC(=O)c1ccc(OCc2ccnc(-c3nnn[n-]3)c2)cc1 ZINC000826068682 607543804 /nfs/dbraw/zinc/54/38/04/607543804.db2.gz WHROBGVISBMCRA-UHFFFAOYSA-N -1 1 310.317 1.200 20 0 DDADMM CNC(=O)c1ccc(OCc2ccnc(-c3nn[n-]n3)c2)cc1 ZINC000826068682 607543806 /nfs/dbraw/zinc/54/38/06/607543806.db2.gz WHROBGVISBMCRA-UHFFFAOYSA-N -1 1 310.317 1.200 20 0 DDADMM N=C(NOCc1ccc(C(=O)[O-])o1)[C@@H]1COc2ccccc2O1 ZINC000833078862 602160751 /nfs/dbraw/zinc/16/07/51/602160751.db2.gz RYJXLSQLARASAX-ZDUSSCGKSA-N -1 1 318.285 1.816 20 0 DDADMM C[C@@H](CCC(=O)n1ncc(-c2nn[n-]n2)c1N)c1ccccc1 ZINC000824400862 607562650 /nfs/dbraw/zinc/56/26/50/607562650.db2.gz LVXJGMUMPTYCTL-JTQLQIEISA-N -1 1 311.349 1.870 20 0 DDADMM CN(C)[C@H](CNC(=O)NCCCNC(=O)[O-])c1cccs1 ZINC000739606599 602413209 /nfs/dbraw/zinc/41/32/09/602413209.db2.gz FNCOIYHWEGOSBS-SNVBAGLBSA-N -1 1 314.411 1.308 20 0 DDADMM CN(CCNC(=O)NC[C@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000739695026 602436648 /nfs/dbraw/zinc/43/66/48/602436648.db2.gz RGORLZOWCBFXNM-GFCCVEGCSA-N -1 1 324.381 1.326 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)c2ccc3c(n2)CCCC3)n1 ZINC000826357972 607565855 /nfs/dbraw/zinc/56/58/55/607565855.db2.gz YQSVJWZPRYHDPN-UHFFFAOYSA-N -1 1 324.348 1.126 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)c2ccc3c(n2)CCCC3)n1 ZINC000826357972 607565857 /nfs/dbraw/zinc/56/58/57/607565857.db2.gz YQSVJWZPRYHDPN-UHFFFAOYSA-N -1 1 324.348 1.126 20 0 DDADMM O=C([O-])N1CCCC[C@@H]1C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000740475467 602512810 /nfs/dbraw/zinc/51/28/10/602512810.db2.gz LLDPNYQGGKJNDP-CYBMUJFWSA-N -1 1 315.333 1.738 20 0 DDADMM C[C@H](C1CC1)N(C(=O)CN1CC[C@@H](CN(C)C(=O)[O-])C1)C1CC1 ZINC000738891942 602543107 /nfs/dbraw/zinc/54/31/07/602543107.db2.gz SURYSEWTRIKUNN-OLZOCXBDSA-N -1 1 323.437 1.708 20 0 DDADMM O=C([O-])N1CCCC[C@@H]1CNC(=O)N1CCN2CCCC[C@H]2C1 ZINC000740416431 602624833 /nfs/dbraw/zinc/62/48/33/602624833.db2.gz UPVWFBHLTLRBJD-KGLIPLIRSA-N -1 1 324.425 1.399 20 0 DDADMM CCCN(C[C@@H]1CCCN(C(=O)[O-])C1)[C@@H]1CCS(=O)(=O)C1 ZINC000739359533 602702943 /nfs/dbraw/zinc/70/29/43/602702943.db2.gz YGSLZWYJFJCUCA-QWHCGFSZSA-N -1 1 318.439 1.276 20 0 DDADMM C[C@@H]1CN(C(=O)[O-])CCN1C(=O)c1cc(-c2ccccc2)[nH]n1 ZINC000739152295 602730661 /nfs/dbraw/zinc/73/06/61/602730661.db2.gz JXYWSFMYHVAEJP-LLVKDONJSA-N -1 1 314.345 1.901 20 0 DDADMM C[C@@H]1CN(CC(=O)NCCC2=CCCCC2)CC[C@@H]1NC(=O)[O-] ZINC000739170589 602766439 /nfs/dbraw/zinc/76/64/39/602766439.db2.gz GSMDJLONFPZOKK-HIFRSBDPSA-N -1 1 323.437 1.971 20 0 DDADMM CN(C(=O)CN1CCCC[C@H]1[C@H]1CCCN1C(=O)[O-])C1CC1 ZINC000739573366 602818062 /nfs/dbraw/zinc/81/80/62/602818062.db2.gz WCIHNBLFLILMCB-UONOGXRCSA-N -1 1 309.410 1.604 20 0 DDADMM CCN(C[C@H]1CCCN(C(=O)[O-])C1)[C@@H](C)CS(=O)(=O)CC ZINC000739415223 602840684 /nfs/dbraw/zinc/84/06/84/602840684.db2.gz UQBJNXZICWPJHZ-QWHCGFSZSA-N -1 1 320.455 1.522 20 0 DDADMM C[C@@H]1CCC[N@H+](CCNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739110874 602848933 /nfs/dbraw/zinc/84/89/33/602848933.db2.gz OVPXPWJSHMDNJC-LLVKDONJSA-N -1 1 321.377 1.944 20 0 DDADMM C[C@@H]1CCC[N@@H+](CCNC(=O)c2ccc(NC(=O)[O-])cc2[O-])C1 ZINC000739110874 602848936 /nfs/dbraw/zinc/84/89/36/602848936.db2.gz OVPXPWJSHMDNJC-LLVKDONJSA-N -1 1 321.377 1.944 20 0 DDADMM O=C([O-])N1CCCC[C@@H]1CNC(=O)N1CCN(C2CCC2)CC1 ZINC000740414521 602962360 /nfs/dbraw/zinc/96/23/60/602962360.db2.gz QNTDNZQZNHJDCE-CQSZACIVSA-N -1 1 324.425 1.399 20 0 DDADMM O=C([O-])N1CC[C@H](CN2CCN(Cc3ccccn3)CC2)C1 ZINC000740502764 602978206 /nfs/dbraw/zinc/97/82/06/602978206.db2.gz HRJNJRFSEUBAHK-CQSZACIVSA-N -1 1 304.394 1.199 20 0 DDADMM Cc1noc(C)c1CN1CCN(C[C@@H]2CCN(C(=O)[O-])C2)CC1 ZINC000740264331 602981240 /nfs/dbraw/zinc/98/12/40/602981240.db2.gz RRHDXGFOGBNRIN-AWEZNQCLSA-N -1 1 322.409 1.409 20 0 DDADMM CN(C)[C@H](CNC(=O)CC(C)(C)NC(=O)[O-])c1cccs1 ZINC000739603068 603011670 /nfs/dbraw/zinc/01/16/70/603011670.db2.gz SCXLMGHSFISPLN-SNVBAGLBSA-N -1 1 313.423 1.903 20 0 DDADMM O=C(OCc1ccnc(-c2nn[n-]n2)c1)c1ccc2nccn2c1 ZINC000826467250 607607790 /nfs/dbraw/zinc/60/77/90/607607790.db2.gz NNDCZVXNIFYXQP-UHFFFAOYSA-N -1 1 321.300 1.266 20 0 DDADMM CC(C)C[C@](C)(CNC(=O)[O-])NC(=O)c1ccc2[nH]nnc2c1 ZINC000738806189 603220674 /nfs/dbraw/zinc/22/06/74/603220674.db2.gz MJNOFMMJMSYHPU-OAHLLOKOSA-N -1 1 319.365 1.760 20 0 DDADMM C[C@@H](C(=O)Nc1cc(F)ccc1F)N(C)CCCNC(=O)[O-] ZINC000738630344 603249419 /nfs/dbraw/zinc/24/94/19/603249419.db2.gz QSRCGDDTIRYXEB-VIFPVBQESA-N -1 1 315.320 1.881 20 0 DDADMM CCN(CC(=O)Nc1ccccc1N1CCN(C)CC1)C(=O)[O-] ZINC000739398369 603376441 /nfs/dbraw/zinc/37/64/41/603376441.db2.gz VKYIACCCLWPFRQ-UHFFFAOYSA-N -1 1 320.393 1.377 20 0 DDADMM C[C@@H](O)[C@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000824679772 607628929 /nfs/dbraw/zinc/62/89/29/607628929.db2.gz VUVASQMPMNXJIT-BDAKNGLRSA-N -1 1 307.379 1.161 20 0 DDADMM C[C@@H](O)[C@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000824679772 607628931 /nfs/dbraw/zinc/62/89/31/607628931.db2.gz VUVASQMPMNXJIT-BDAKNGLRSA-N -1 1 307.379 1.161 20 0 DDADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)NCC(C)(C)NC(=O)[O-] ZINC000826086991 603458524 /nfs/dbraw/zinc/45/85/24/603458524.db2.gz GDNHXFBPOVNLMS-NWDGAFQWSA-N -1 1 312.414 1.301 20 0 DDADMM CC[C@H]1CC(=O)N(CN(C2CC2)C2CCN(C(=O)[O-])CC2)C1 ZINC000826662581 603489776 /nfs/dbraw/zinc/48/97/76/603489776.db2.gz YWSWGIMGVNNKLB-LBPRGKRZSA-N -1 1 309.410 1.809 20 0 DDADMM CN(C(=O)[O-])[C@@H]1CCN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000827865540 603526758 /nfs/dbraw/zinc/52/67/58/603526758.db2.gz QCQVZEVZLOTFRA-SNVBAGLBSA-N -1 1 315.329 1.352 20 0 DDADMM CN(CC(=O)N(C)Cc1ccccc1)CC(C)(C)CNC(=O)[O-] ZINC000828090068 603547107 /nfs/dbraw/zinc/54/71/07/603547107.db2.gz JCAWIRRWKGADPM-UHFFFAOYSA-N -1 1 321.421 1.871 20 0 DDADMM O=C([O-])N1CCC[C@@H]1C(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000831731292 603551321 /nfs/dbraw/zinc/55/13/21/603551321.db2.gz VOKGIEMKCFVXGO-LLVKDONJSA-N -1 1 306.297 1.461 20 0 DDADMM CC[C@H]1CCCN(CN2C[C@@H]3CN(C(=O)[O-])CCN3C2=O)C1 ZINC000826675454 603596499 /nfs/dbraw/zinc/59/64/99/603596499.db2.gz KWDCJJSYMCDRPM-STQMWFEESA-N -1 1 310.398 1.166 20 0 DDADMM CCC(CC)(CNC(=O)N1CCN(C(C)C)CC1)NC(=O)[O-] ZINC000826142091 603670479 /nfs/dbraw/zinc/67/04/79/603670479.db2.gz RUAOMHDVZRFHSD-UHFFFAOYSA-N -1 1 314.430 1.548 20 0 DDADMM Cc1cc(NC(=O)CN(C)C2CCC(NC(=O)[O-])CC2)no1 ZINC000830104451 603791153 /nfs/dbraw/zinc/79/11/53/603791153.db2.gz XJEOVCQQYARJOL-UHFFFAOYSA-N -1 1 310.354 1.432 20 0 DDADMM Cc1n[nH]c(C(F)(F)F)c1C(=O)NC1CCN(C(=O)[O-])CC1 ZINC000829834246 603934732 /nfs/dbraw/zinc/93/47/32/603934732.db2.gz RGJYVJFSGDHDMZ-UHFFFAOYSA-N -1 1 320.271 1.609 20 0 DDADMM CCN(CCNC(=O)c1c(C)n[nH]c1C(F)(F)F)C(=O)[O-] ZINC000827146425 603938606 /nfs/dbraw/zinc/93/86/06/603938606.db2.gz OMZMULAPJKAFEJ-UHFFFAOYSA-N -1 1 308.260 1.467 20 0 DDADMM CC(C)[C@H](CCN(C)C(=O)[C@H]1CCCc2[nH]ncc21)NC(=O)[O-] ZINC000824078937 603953965 /nfs/dbraw/zinc/95/39/65/603953965.db2.gz MPWYCORIKBYJNL-AAEUAGOBSA-N -1 1 322.409 1.970 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C[N@@H+]1C1CC1 ZINC000825851456 604018543 /nfs/dbraw/zinc/01/85/43/604018543.db2.gz HSMZKRLGMZZVNQ-GXSJLCMTSA-N -1 1 319.361 1.837 20 0 DDADMM C[C@H]1C[C@@H](NC(=O)c2ccc(NC(=O)[O-])cc2[O-])C[N@H+]1C1CC1 ZINC000825851456 604018550 /nfs/dbraw/zinc/01/85/50/604018550.db2.gz HSMZKRLGMZZVNQ-GXSJLCMTSA-N -1 1 319.361 1.837 20 0 DDADMM CC[C@H](NC(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000827524787 604057259 /nfs/dbraw/zinc/05/72/59/604057259.db2.gz RWUBRVNFZAKIKV-VIFPVBQESA-N -1 1 308.313 1.407 20 0 DDADMM CN(C)Cc1ccnc(NC(=O)C2CCN(C(=O)[O-])CC2)c1 ZINC000828046499 604067138 /nfs/dbraw/zinc/06/71/38/604067138.db2.gz MXOGAXXACAGVCM-UHFFFAOYSA-N -1 1 306.366 1.472 20 0 DDADMM O=C([O-])NC[C@@H]1CCC[C@@H]1NC(=O)N1CCN(C2CCC2)CC1 ZINC000832469935 604185067 /nfs/dbraw/zinc/18/50/67/604185067.db2.gz ZGJKTPWYZDLGAY-JSGCOSHPSA-N -1 1 324.425 1.302 20 0 DDADMM C[C@@H](NC(=O)[O-])[C@@H]1CCCN(CC(=O)NCc2ccccn2)C1 ZINC000825265883 604203870 /nfs/dbraw/zinc/20/38/70/604203870.db2.gz UNBVXPBEFLEHKQ-CHWSQXEVSA-N -1 1 320.393 1.066 20 0 DDADMM O=C([O-])NC1CCC(NC(=O)N[C@H]2CCCc3cn[nH]c32)CC1 ZINC000832314818 604276628 /nfs/dbraw/zinc/27/66/28/604276628.db2.gz ZYYZULGICXYDNT-MCIGGMRASA-N -1 1 321.381 1.665 20 0 DDADMM Cc1cc(C[C@H](C)NC(=O)c2c(C)[nH]c(C(=O)[O-])c2C)n[nH]1 ZINC000832883724 604347900 /nfs/dbraw/zinc/34/79/00/604347900.db2.gz OZCDHEZWPQPZQD-ZETCQYMHSA-N -1 1 304.350 1.722 20 0 DDADMM C[C@@H](NC(=O)[O-])c1ccc(CNC(=O)[C@@H]2CCCN2C)cc1 ZINC000825371913 604448826 /nfs/dbraw/zinc/44/88/26/604448826.db2.gz JXYWQBNVBUFPPY-RISCZKNCSA-N -1 1 305.378 1.726 20 0 DDADMM O=C([O-])c1csc(NC(=O)N2CC[C@H](N3CC=CC3)C2)c1 ZINC000833342057 604486720 /nfs/dbraw/zinc/48/67/20/604486720.db2.gz DYGKJNNQFDBEDH-NSHDSACASA-N -1 1 307.375 1.924 20 0 DDADMM COC(=O)c1cccc2nc(NC(=O)C(C)(C)NC(=O)[O-])[nH]c21 ZINC000833716381 604502413 /nfs/dbraw/zinc/50/24/13/604502413.db2.gz DIQZGHDHFGQFSZ-UHFFFAOYSA-N -1 1 320.305 1.334 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(OCC(=O)[O-])cc2)[C@@H](C)CN1C ZINC000833622792 604579011 /nfs/dbraw/zinc/57/90/11/604579011.db2.gz OEGQMOLEMLMXHF-NEPJUHHUSA-N -1 1 306.362 1.315 20 0 DDADMM Cc1nc2ccc(NC(=O)[C@@H]3CN(C(=O)[O-])CCO3)cc2[nH]1 ZINC000830785947 604634874 /nfs/dbraw/zinc/63/48/74/604634874.db2.gz WDGUHLVNDWCCLG-LBPRGKRZSA-N -1 1 304.306 1.189 20 0 DDADMM CC(C)(C)OC(=O)N1CCC[C@H]1CN1CC[C@](F)(C(=O)[O-])C1 ZINC000833440507 604682352 /nfs/dbraw/zinc/68/23/52/604682352.db2.gz CEIKJKZGVOCESZ-XHDPSFHLSA-N -1 1 316.373 1.885 20 0 DDADMM C[C@@H](c1ccccc1)N(C)C(=O)CN1CCC(O)(C(=O)[O-])CC1 ZINC000833550258 604724605 /nfs/dbraw/zinc/72/46/05/604724605.db2.gz VFZVNLVHEMKYQJ-ZDUSSCGKSA-N -1 1 320.389 1.118 20 0 DDADMM C[C@H](O)[C@@H]1CCCN(CC(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC000833529993 604922547 /nfs/dbraw/zinc/92/25/47/604922547.db2.gz UKPUNIVCXXDXKM-WCQYABFASA-N -1 1 306.362 1.416 20 0 DDADMM CC[C@H](OC(=O)CCNC(=O)c1n[nH]c2ccccc21)C(=O)[O-] ZINC000833647051 605014016 /nfs/dbraw/zinc/01/40/16/605014016.db2.gz ZYBWLVTWVQEVQA-NSHDSACASA-N -1 1 319.317 1.089 20 0 DDADMM CC(C)c1nc([C@H](C)NC(=O)c2cncc(C(=O)[O-])c2)n[nH]1 ZINC000833512889 605032713 /nfs/dbraw/zinc/03/27/13/605032713.db2.gz VTILUJSQUGCHNY-QMMMGPOBSA-N -1 1 303.322 1.512 20 0 DDADMM CN(CC(=O)NCc1cccc(C(=O)[O-])c1)[C@@H]1CCSC1 ZINC000820562355 605053655 /nfs/dbraw/zinc/05/36/55/605053655.db2.gz HMANDUSCQQMZEF-CYBMUJFWSA-N -1 1 308.403 1.438 20 0 DDADMM CS[C@H](C)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000826226137 607733354 /nfs/dbraw/zinc/73/33/54/607733354.db2.gz SPCLYIFFGCOONZ-MRVPVSSYSA-N -1 1 301.379 1.973 20 0 DDADMM CS[C@H](C)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000826226137 607733355 /nfs/dbraw/zinc/73/33/55/607733355.db2.gz SPCLYIFFGCOONZ-MRVPVSSYSA-N -1 1 301.379 1.973 20 0 DDADMM CCO[C@H]1C[C@@H](NCc2cn(CC(=O)[O-])nn2)C12CCCC2 ZINC000833662233 605091924 /nfs/dbraw/zinc/09/19/24/605091924.db2.gz ZAKSDIDGVUNIAM-OLZOCXBDSA-N -1 1 308.382 1.190 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C\c1ccsc1 ZINC000833622481 605096556 /nfs/dbraw/zinc/09/65/56/605096556.db2.gz PPYLVKDRYOPXPM-DLGQBQFBSA-N -1 1 308.403 1.769 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)/C=C\c1ccccc1 ZINC000833621832 605096714 /nfs/dbraw/zinc/09/67/14/605096714.db2.gz OBKWNTATGGXLRB-DANTVBBOSA-N -1 1 302.374 1.707 20 0 DDADMM Cc1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)c(C)s1 ZINC000833741860 605104575 /nfs/dbraw/zinc/10/45/75/605104575.db2.gz APOQZNHYXTZAJZ-SNVBAGLBSA-N -1 1 310.419 1.986 20 0 DDADMM CC(C)(C(=O)[O-])[C@@H](NC(=O)NCCN1CCC1)c1ccccc1 ZINC000833413530 605125030 /nfs/dbraw/zinc/12/50/30/605125030.db2.gz NOKFUYPQWGLJQD-AWEZNQCLSA-N -1 1 319.405 1.843 20 0 DDADMM CCN1C[C@H](C)N(C(=O)c2ccc(OCC(=O)[O-])cc2)C[C@@H]1C ZINC000833656161 605133734 /nfs/dbraw/zinc/13/37/34/605133734.db2.gz HWSZLSVBVZPRLG-STQMWFEESA-N -1 1 320.389 1.705 20 0 DDADMM CCN1C[C@H](C)N(C(=O)c2ccc(OCC(=O)[O-])cc2)C[C@H]1C ZINC000833656159 605133841 /nfs/dbraw/zinc/13/38/41/605133841.db2.gz HWSZLSVBVZPRLG-OLZOCXBDSA-N -1 1 320.389 1.705 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC(C1CC1)C1CC1 ZINC000833627768 605140424 /nfs/dbraw/zinc/14/04/24/605140424.db2.gz ZVJJMUQOWLIRCD-LBPRGKRZSA-N -1 1 323.437 1.613 20 0 DDADMM Cc1cc(C)cc(OC[C@H](O)CN2CC[C@H](NC(=O)[O-])C2)c1 ZINC000740069095 605161320 /nfs/dbraw/zinc/16/13/20/605161320.db2.gz USEXJGFGYIHTEA-UONOGXRCSA-N -1 1 308.378 1.385 20 0 DDADMM O=C([O-])N[C@@H]1CCC[C@@H]2CN(CN3C[C@H](C4CC4)CC3=O)C[C@H]21 ZINC000834181460 605331654 /nfs/dbraw/zinc/33/16/54/605331654.db2.gz MGTHZTTWHPQSJL-KBUPBQIOSA-N -1 1 321.421 1.571 20 0 DDADMM O=C([O-])NC1CCN(Cc2ccc(-n3cncn3)c(F)c2)CC1 ZINC000834190495 605485291 /nfs/dbraw/zinc/48/52/91/605485291.db2.gz SCVJDQPCRZTBNM-UHFFFAOYSA-N -1 1 319.340 1.638 20 0 DDADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc2cc[nH]c2n1 ZINC000833626927 605532770 /nfs/dbraw/zinc/53/27/70/605532770.db2.gz SJUYVBBIMGOAIE-NSHDSACASA-N -1 1 316.361 1.184 20 0 DDADMM O=C([O-])N1C[C@@H](O)C[C@@H]1C(=O)Nc1cccc(Cl)c1O ZINC000834055122 605540196 /nfs/dbraw/zinc/54/01/96/605540196.db2.gz ZEOOBUIUVDHGEK-IMTBSYHQSA-N -1 1 300.698 1.097 20 0 DDADMM O=C([O-])NC[C@@H]1CCN(Cc2cnc(N3CCCC3)nc2)C1 ZINC000834225108 605575382 /nfs/dbraw/zinc/57/53/82/605575382.db2.gz GATRJKFELIEWCF-LBPRGKRZSA-N -1 1 305.382 1.166 20 0 DDADMM C[C@H](NC(=O)[O-])[C@@H]1CCCN(Cc2cnc3cnccn23)C1 ZINC000833813202 605596789 /nfs/dbraw/zinc/59/67/89/605596789.db2.gz OERUGNLUKGTDEI-NWDGAFQWSA-N -1 1 303.366 1.597 20 0 DDADMM Cc1nc2n(n1)CCC[C@@H]2N[C@H](C)[C@@H]1CCCCN1C(=O)[O-] ZINC000833914871 605687061 /nfs/dbraw/zinc/68/70/61/605687061.db2.gz GJPLVBXRQSDCNA-WXHSDQCUSA-N -1 1 307.398 1.932 20 0 DDADMM O=C([O-])N1CC[C@@H](NCc2cccnc2OCC(F)(F)F)C1 ZINC000831964151 605836839 /nfs/dbraw/zinc/83/68/39/605836839.db2.gz SVKRMUFEUXTZIY-SNVBAGLBSA-N -1 1 319.283 1.865 20 0 DDADMM C[C@H]1C[C@H](CNC(=O)[O-])CN1CC(=O)NCc1ccccc1F ZINC000833832358 605884292 /nfs/dbraw/zinc/88/42/92/605884292.db2.gz SPXBJAPALRWDJS-NWDGAFQWSA-N -1 1 323.368 1.420 20 0 DDADMM C[C@H](C[C@@H]1CCCCCN1C(=O)[O-])NCc1nnnn1C1CC1 ZINC000833802013 605945054 /nfs/dbraw/zinc/94/50/54/605945054.db2.gz ADAPYXITYSNEOG-YPMHNXCESA-N -1 1 322.413 1.799 20 0 DDADMM O=C([O-])Nc1cccc(C(=O)N[C@H]2CCc3nc[nH]c3C2)c1 ZINC000833290775 605959547 /nfs/dbraw/zinc/95/95/47/605959547.db2.gz RUDMKPVEBZQZLP-NSHDSACASA-N -1 1 300.318 1.787 20 0 DDADMM Cc1ccc(NC(=O)[O-])cc1NC(=O)NCCc1nc[nH]n1 ZINC000833906877 606011089 /nfs/dbraw/zinc/01/10/89/606011089.db2.gz PQZGDAWQBJWRHL-UHFFFAOYSA-N -1 1 304.310 1.567 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@H](Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC000821446928 606105026 /nfs/dbraw/zinc/10/50/26/606105026.db2.gz FYTHLUAXVFIRLX-UTSGRSJNSA-N -1 1 320.422 1.753 20 0 DDADMM CC[S@](=O)[C@@H]1CCC[C@H](Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC000821446928 606105028 /nfs/dbraw/zinc/10/50/28/606105028.db2.gz FYTHLUAXVFIRLX-UTSGRSJNSA-N -1 1 320.422 1.753 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)C=Cc2cccc(F)c2)n1 ZINC000822604934 606154999 /nfs/dbraw/zinc/15/49/99/606154999.db2.gz RBXADYCXVWAQBS-AATRIKPKSA-N -1 1 313.296 1.391 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)C=Cc2cccc(F)c2)n1 ZINC000822604934 606155003 /nfs/dbraw/zinc/15/50/03/606155003.db2.gz RBXADYCXVWAQBS-AATRIKPKSA-N -1 1 313.296 1.391 20 0 DDADMM C[C@H]1CCC[C@H](C)N(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820697092 606160806 /nfs/dbraw/zinc/16/08/06/606160806.db2.gz DUZDQBDIMRFVGK-UWVGGRQHSA-N -1 1 318.385 1.643 20 0 DDADMM C[C@H]1CCC[C@H](C)N(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820697092 606160809 /nfs/dbraw/zinc/16/08/09/606160809.db2.gz DUZDQBDIMRFVGK-UWVGGRQHSA-N -1 1 318.385 1.643 20 0 DDADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC3(CCCC3)C2)n1 ZINC000822609805 606170653 /nfs/dbraw/zinc/17/06/53/606170653.db2.gz VPZGYUZMCSOLMY-UHFFFAOYSA-N -1 1 316.369 1.398 20 0 DDADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC3(CCCC3)C2)n1 ZINC000822609805 606170654 /nfs/dbraw/zinc/17/06/54/606170654.db2.gz VPZGYUZMCSOLMY-UHFFFAOYSA-N -1 1 316.369 1.398 20 0 DDADMM C[C@@H](Nc1cccc(-c2nnn[n-]2)n1)c1nnc2ccccn21 ZINC000820461258 606448464 /nfs/dbraw/zinc/44/84/64/606448464.db2.gz GLKGNUIHIODYFJ-SECBINFHSA-N -1 1 307.321 1.478 20 0 DDADMM C[C@@H](Nc1cccc(-c2nn[n-]n2)n1)c1nnc2ccccn21 ZINC000820461258 606448466 /nfs/dbraw/zinc/44/84/66/606448466.db2.gz GLKGNUIHIODYFJ-SECBINFHSA-N -1 1 307.321 1.478 20 0 DDADMM OC[C@H]1CCCC[C@@H]1Nc1ccc(Cl)c(-c2nnn[n-]2)n1 ZINC000823715164 606470171 /nfs/dbraw/zinc/47/01/71/606470171.db2.gz RUPONPCFXYYPON-SCZZXKLOSA-N -1 1 308.773 1.878 20 0 DDADMM OC[C@H]1CCCC[C@@H]1Nc1ccc(Cl)c(-c2nn[n-]n2)n1 ZINC000823715164 606470173 /nfs/dbraw/zinc/47/01/73/606470173.db2.gz RUPONPCFXYYPON-SCZZXKLOSA-N -1 1 308.773 1.878 20 0 DDADMM C[C@@H](O)C1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000820468487 606566287 /nfs/dbraw/zinc/56/62/87/606566287.db2.gz ULMJZBQHGZKEFB-MRVPVSSYSA-N -1 1 307.379 1.161 20 0 DDADMM C[C@@H](O)C1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000820468487 606566288 /nfs/dbraw/zinc/56/62/88/606566288.db2.gz ULMJZBQHGZKEFB-MRVPVSSYSA-N -1 1 307.379 1.161 20 0 DDADMM O=C(NC[C@@H]1CCCC[C@@H]1O)c1ccc(-c2nnn[n-]2)s1 ZINC000823175310 606571892 /nfs/dbraw/zinc/57/18/92/606571892.db2.gz FMUGGDZHWMYBNE-IUCAKERBSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(NC[C@@H]1CCCC[C@@H]1O)c1ccc(-c2nn[n-]n2)s1 ZINC000823175310 606571894 /nfs/dbraw/zinc/57/18/94/606571894.db2.gz FMUGGDZHWMYBNE-IUCAKERBSA-N -1 1 307.379 1.209 20 0 DDADMM O=C(CCc1nccs1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822986933 606648344 /nfs/dbraw/zinc/64/83/44/606648344.db2.gz NDHLDJIWYMIGSN-UHFFFAOYSA-N -1 1 316.346 1.394 20 0 DDADMM O=C(CCc1nccs1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822986933 606648345 /nfs/dbraw/zinc/64/83/45/606648345.db2.gz NDHLDJIWYMIGSN-UHFFFAOYSA-N -1 1 316.346 1.394 20 0 DDADMM Cc1ccc(O)c(C(=O)Nc2ncc(-c3nnn[n-]3)s2)c1 ZINC000822258763 607108214 /nfs/dbraw/zinc/10/82/14/607108214.db2.gz BUKUXQOVCGSDQR-UHFFFAOYSA-N -1 1 302.319 1.590 20 0 DDADMM Cc1ccc(O)c(C(=O)Nc2ncc(-c3nn[n-]n3)s2)c1 ZINC000822258763 607108215 /nfs/dbraw/zinc/10/82/15/607108215.db2.gz BUKUXQOVCGSDQR-UHFFFAOYSA-N -1 1 302.319 1.590 20 0 DDADMM Cc1ccc(Cl)cc1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000826289105 607801088 /nfs/dbraw/zinc/80/10/88/607801088.db2.gz KREIDXAOSIHPLI-UHFFFAOYSA-N -1 1 317.740 1.814 20 0 DDADMM Cc1ccc(Cl)cc1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000826289105 607801089 /nfs/dbraw/zinc/80/10/89/607801089.db2.gz KREIDXAOSIHPLI-UHFFFAOYSA-N -1 1 317.740 1.814 20 0 DDADMM Cc1ccnc(CSc2nc(C)nc(C)c2-c2nn[n-]n2)n1 ZINC000826308760 607893365 /nfs/dbraw/zinc/89/33/65/607893365.db2.gz OEGGJMWTQIZGQP-UHFFFAOYSA-N -1 1 314.378 1.664 20 0 DDADMM c1cn(-c2cc(CNc3cccc(-c4nn[n-]n4)n3)ccn2)cn1 ZINC000826517316 607897761 /nfs/dbraw/zinc/89/77/61/607897761.db2.gz YOKOENBEYUCSSY-UHFFFAOYSA-N -1 1 319.332 1.455 20 0 DDADMM c1nc2ccccc2n1CCNc1cccc(-c2nnn[n-]2)n1 ZINC000826517255 607898779 /nfs/dbraw/zinc/89/87/79/607898779.db2.gz WIGGJUUHOBPSQU-UHFFFAOYSA-N -1 1 306.333 1.724 20 0 DDADMM c1nc2ccccc2n1CCNc1cccc(-c2nn[n-]n2)n1 ZINC000826517255 607898780 /nfs/dbraw/zinc/89/87/80/607898780.db2.gz WIGGJUUHOBPSQU-UHFFFAOYSA-N -1 1 306.333 1.724 20 0 DDADMM O=C(c1ccc(-c2nnn[n-]2)s1)N1CCC[C@H](CCO)C1 ZINC000826472727 607942420 /nfs/dbraw/zinc/94/24/20/607942420.db2.gz VJOSCJOYJNZNGS-SECBINFHSA-N -1 1 307.379 1.163 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCC[C@H](CCO)C1 ZINC000826472727 607942421 /nfs/dbraw/zinc/94/24/21/607942421.db2.gz VJOSCJOYJNZNGS-SECBINFHSA-N -1 1 307.379 1.163 20 0 DDADMM O=C(Cn1ccnc1-c1nnn[n-]1)Nc1cc(Cl)ccc1F ZINC000826425035 608014626 /nfs/dbraw/zinc/01/46/26/608014626.db2.gz NONRCTGQNAKBNK-UHFFFAOYSA-N -1 1 321.703 1.494 20 0 DDADMM O=C(Cn1ccnc1-c1nn[n-]n1)Nc1cc(Cl)ccc1F ZINC000826425035 608014627 /nfs/dbraw/zinc/01/46/27/608014627.db2.gz NONRCTGQNAKBNK-UHFFFAOYSA-N -1 1 321.703 1.494 20 0 DDADMM COc1ccc(CNc2n[nH]cc2-c2nnn[n-]2)cc1Cl ZINC000826203158 608096989 /nfs/dbraw/zinc/09/69/89/608096989.db2.gz AVGMJAVQLDQHBZ-UHFFFAOYSA-N -1 1 305.729 1.864 20 0 DDADMM COc1ccc(CNc2n[nH]cc2-c2nn[n-]n2)cc1Cl ZINC000826203158 608096990 /nfs/dbraw/zinc/09/69/90/608096990.db2.gz AVGMJAVQLDQHBZ-UHFFFAOYSA-N -1 1 305.729 1.864 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)NC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000825485194 608139714 /nfs/dbraw/zinc/13/97/14/608139714.db2.gz DXHRBFZJDCWYNH-YUMQZZPRSA-N -1 1 323.378 1.246 20 0 DDADMM CCOC(=O)[C@@H](C)[C@H](C)NC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000825485194 608139715 /nfs/dbraw/zinc/13/97/15/608139715.db2.gz DXHRBFZJDCWYNH-YUMQZZPRSA-N -1 1 323.378 1.246 20 0 DDADMM CC(C)CO[C@H](C)c1noc(Cn2ccnc2-c2nnn[n-]2)n1 ZINC000824266411 608147577 /nfs/dbraw/zinc/14/75/77/608147577.db2.gz LDEJVBVDQVKYQZ-SECBINFHSA-N -1 1 318.341 1.228 20 0 DDADMM CC(C)CO[C@H](C)c1noc(Cn2ccnc2-c2nn[n-]n2)n1 ZINC000824266411 608147578 /nfs/dbraw/zinc/14/75/78/608147578.db2.gz LDEJVBVDQVKYQZ-SECBINFHSA-N -1 1 318.341 1.228 20 0 DDADMM CC(C)(O)[C@H]1CCCN1c1snc(Cl)c1-c1nnn[n-]1 ZINC000824171543 608193836 /nfs/dbraw/zinc/19/38/36/608193836.db2.gz BMZQURUTFNDDAI-ZCFIWIBFSA-N -1 1 314.802 1.716 20 0 DDADMM CC(C)(O)[C@H]1CCCN1c1snc(Cl)c1-c1nn[n-]n1 ZINC000824171543 608193837 /nfs/dbraw/zinc/19/38/37/608193837.db2.gz BMZQURUTFNDDAI-ZCFIWIBFSA-N -1 1 314.802 1.716 20 0 DDADMM CC(=O)O[C@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000824005377 608199803 /nfs/dbraw/zinc/19/98/03/608199803.db2.gz PQVBUNUGFZZFKM-VIFPVBQESA-N -1 1 321.362 1.096 20 0 DDADMM CC(=O)O[C@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000824005377 608199804 /nfs/dbraw/zinc/19/98/04/608199804.db2.gz PQVBUNUGFZZFKM-VIFPVBQESA-N -1 1 321.362 1.096 20 0 DDADMM Cc1n[nH]c(C)c1CCOC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826321450 608276214 /nfs/dbraw/zinc/27/62/14/608276214.db2.gz FRMYAOCWDSVNDE-UHFFFAOYSA-N -1 1 313.321 1.001 20 0 DDADMM Cc1n[nH]c(C)c1CCOC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826321450 608276215 /nfs/dbraw/zinc/27/62/15/608276215.db2.gz FRMYAOCWDSVNDE-UHFFFAOYSA-N -1 1 313.321 1.001 20 0 DDADMM CC(=O)N1CCc2cc(Nc3ccc(-c4nnn[n-]4)nn3)ccc21 ZINC000823988774 608390395 /nfs/dbraw/zinc/39/03/95/608390395.db2.gz JMAWMASGAOOWEI-UHFFFAOYSA-N -1 1 322.332 1.309 20 0 DDADMM CC(=O)N1CCc2cc(Nc3ccc(-c4nn[n-]n4)nn3)ccc21 ZINC000823988774 608390397 /nfs/dbraw/zinc/39/03/97/608390397.db2.gz JMAWMASGAOOWEI-UHFFFAOYSA-N -1 1 322.332 1.309 20 0 DDADMM COc1ccc(C)cc1CCNc1ccc(-c2nnn[n-]2)nn1 ZINC000826190226 608392371 /nfs/dbraw/zinc/39/23/71/608392371.db2.gz USGOAPSMXFCJMF-UHFFFAOYSA-N -1 1 311.349 1.628 20 0 DDADMM COc1ccc(C)cc1CCNc1ccc(-c2nn[n-]n2)nn1 ZINC000826190226 608392373 /nfs/dbraw/zinc/39/23/73/608392373.db2.gz USGOAPSMXFCJMF-UHFFFAOYSA-N -1 1 311.349 1.628 20 0 DDADMM c1ccc2[nH]c(CCCNc3ccc(-c4nnn[n-]4)nn3)nc2c1 ZINC000826522771 608393922 /nfs/dbraw/zinc/39/39/22/608393922.db2.gz NQZHAKAAPOUXSX-UHFFFAOYSA-N -1 1 321.348 1.578 20 0 DDADMM c1ccc2[nH]c(CCCNc3ccc(-c4nn[n-]n4)nn3)nc2c1 ZINC000826522771 608393923 /nfs/dbraw/zinc/39/39/23/608393923.db2.gz NQZHAKAAPOUXSX-UHFFFAOYSA-N -1 1 321.348 1.578 20 0 DDADMM c1ccc(C[C@@H]2COCCN2c2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826520132 608429941 /nfs/dbraw/zinc/42/99/41/608429941.db2.gz BEJWHVHPABZTKB-CYBMUJFWSA-N -1 1 323.360 1.105 20 0 DDADMM c1ccc(C[C@@H]2COCCN2c2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826520132 608429942 /nfs/dbraw/zinc/42/99/42/608429942.db2.gz BEJWHVHPABZTKB-CYBMUJFWSA-N -1 1 323.360 1.105 20 0 DDADMM Fc1ccc([C@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)cc1 ZINC000826374382 608431121 /nfs/dbraw/zinc/43/11/21/608431121.db2.gz XESXKIDXGCGORF-NSHDSACASA-N -1 1 311.324 1.790 20 0 DDADMM Fc1ccc([C@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)cc1 ZINC000826374382 608431122 /nfs/dbraw/zinc/43/11/22/608431122.db2.gz XESXKIDXGCGORF-NSHDSACASA-N -1 1 311.324 1.790 20 0 DDADMM C[C@@H](O)CN(Cc1ccccc1)c1ccc(-c2nnn[n-]2)nn1 ZINC000824707320 608437085 /nfs/dbraw/zinc/43/70/85/608437085.db2.gz PZFQQNQISKNEQS-LLVKDONJSA-N -1 1 311.349 1.044 20 0 DDADMM C[C@@H](O)CN(Cc1ccccc1)c1ccc(-c2nn[n-]n2)nn1 ZINC000824707320 608437086 /nfs/dbraw/zinc/43/70/86/608437086.db2.gz PZFQQNQISKNEQS-LLVKDONJSA-N -1 1 311.349 1.044 20 0 DDADMM CN(Cc1ccc(-c2nnn[n-]2)o1)Cc1nc2ccccc2n1C ZINC000825961830 608698052 /nfs/dbraw/zinc/69/80/52/608698052.db2.gz NDZJQJJKWRXGIS-UHFFFAOYSA-N -1 1 323.360 1.978 20 0 DDADMM CN(Cc1ccc(-c2nn[n-]n2)o1)Cc1nc2ccccc2n1C ZINC000825961830 608698053 /nfs/dbraw/zinc/69/80/53/608698053.db2.gz NDZJQJJKWRXGIS-UHFFFAOYSA-N -1 1 323.360 1.978 20 0 DDADMM COc1cc(Cn2ccnc2-c2nnn[n-]2)ccc1OC(F)F ZINC000826180005 608892280 /nfs/dbraw/zinc/89/22/80/608892280.db2.gz ONSHXMMBCKMBGM-UHFFFAOYSA-N -1 1 322.275 1.722 20 0 DDADMM COc1cc(Cn2ccnc2-c2nn[n-]n2)ccc1OC(F)F ZINC000826180005 608892281 /nfs/dbraw/zinc/89/22/81/608892281.db2.gz ONSHXMMBCKMBGM-UHFFFAOYSA-N -1 1 322.275 1.722 20 0 DDADMM CC(C)CCCS(=O)(=O)Cc1ccnc(-c2nnn[n-]2)c1 ZINC000824244796 609155372 /nfs/dbraw/zinc/15/53/72/609155372.db2.gz UCOVZXLKWYJBFD-UHFFFAOYSA-N -1 1 309.395 1.613 20 0 DDADMM CC(C)CCCS(=O)(=O)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000824244796 609155373 /nfs/dbraw/zinc/15/53/73/609155373.db2.gz UCOVZXLKWYJBFD-UHFFFAOYSA-N -1 1 309.395 1.613 20 0 DDADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCC[C@@H]1c1ncc[nH]1 ZINC000826472719 609263571 /nfs/dbraw/zinc/26/35/71/609263571.db2.gz VBEBTNSDPXUBGT-MRVPVSSYSA-N -1 1 315.362 1.629 20 0 DDADMM C/C=C\C(=O)Nc1ccn(-c2cccc(F)c2-c2nn[n-]n2)n1 ZINC000825029520 609290852 /nfs/dbraw/zinc/29/08/52/609290852.db2.gz VAVRANJZMNZRQU-RQOWECAXSA-N -1 1 313.296 1.706 20 0 DDADMM COc1ccccc1CCCn1cccc(-c2nn[n-]n2)c1=O ZINC000826219587 609585021 /nfs/dbraw/zinc/58/50/21/609585021.db2.gz IQTMOQLAFVDSSI-UHFFFAOYSA-N -1 1 311.345 1.670 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ccsc2)o1 ZINC000121398282 696709641 /nfs/dbraw/zinc/70/96/41/696709641.db2.gz BBDQBWSOLXLDJN-UHFFFAOYSA-N -1 1 301.345 1.606 20 0 DDADMM C[C@H]1CC[C@@H](CCC(=O)OCC(=O)[N-]C(=O)c2ccccc2)O1 ZINC000745702949 699990385 /nfs/dbraw/zinc/99/03/85/699990385.db2.gz GHOZBBLFNNIIIV-JSGCOSHPSA-N -1 1 319.357 1.834 20 0 DDADMM C[C@H](C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC000973137798 695429986 /nfs/dbraw/zinc/42/99/86/695429986.db2.gz XNDNCFLZLRBCMV-DLOVCJGASA-N -1 1 303.362 1.210 20 0 DDADMM CCC(=O)N1CC[C@H]2[C@H](CCCN2C(=O)c2ncccc2[O-])C1 ZINC000973716627 695518525 /nfs/dbraw/zinc/51/85/25/695518525.db2.gz DQPYRRPVUOXLHS-OLZOCXBDSA-N -1 1 317.389 1.650 20 0 DDADMM CC(C)(F)C(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975229882 695817590 /nfs/dbraw/zinc/81/75/90/695817590.db2.gz ZPAANNLMDCCELF-NSHDSACASA-N -1 1 323.368 1.504 20 0 DDADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000975468198 695848862 /nfs/dbraw/zinc/84/88/62/695848862.db2.gz QRSFHLZAKIPTRX-AVGNSLFASA-N -1 1 317.389 1.412 20 0 DDADMM CC(=O)N1CCCC[C@@H]1[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000975961939 695885118 /nfs/dbraw/zinc/88/51/18/695885118.db2.gz JSQAIDFDUANMDW-KGLIPLIRSA-N -1 1 317.389 1.793 20 0 DDADMM O=C(NC[C@H](O)c1ccc(F)cc1)C(=O)c1ccc([O-])cc1 ZINC000798158809 700045178 /nfs/dbraw/zinc/04/51/78/700045178.db2.gz ASYKMBGZHFGVPN-AWEZNQCLSA-N -1 1 303.289 1.564 20 0 DDADMM CCOC(=O)[C@H](C)[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000049499879 696224647 /nfs/dbraw/zinc/22/46/47/696224647.db2.gz CHRBKWNCELMKKL-LURJTMIESA-N -1 1 311.281 1.334 20 0 DDADMM CN(C[C@H]1CCN1C(=O)C(C)(C)C)C(=O)c1ncccc1[O-] ZINC000977603603 696230843 /nfs/dbraw/zinc/23/08/43/696230843.db2.gz ULHBRFLWLUKBPF-LLVKDONJSA-N -1 1 305.378 1.506 20 0 DDADMM CCn1nc(C)cc1C(=O)NCc1n[n-]c(=S)n1C1CC1 ZINC000066637800 696354369 /nfs/dbraw/zinc/35/43/69/696354369.db2.gz XKBJZAPRRLTTLQ-UHFFFAOYSA-N -1 1 306.395 1.730 20 0 DDADMM CC(C)n1c(CNC(=O)c2cn3cccnc3n2)n[n-]c1=S ZINC000066639984 696354691 /nfs/dbraw/zinc/35/46/91/696354691.db2.gz PVGMBGGSJUMPTL-UHFFFAOYSA-N -1 1 317.378 1.494 20 0 DDADMM CCOC(=O)c1csc(C(C#N)=C([O-])c2cc(C)nn2C)n1 ZINC000067897303 696365266 /nfs/dbraw/zinc/36/52/66/696365266.db2.gz RSDYPDGGFUEULP-SECBINFHSA-N -1 1 318.358 1.852 20 0 DDADMM O=S(=O)(Cc1cccc(F)c1)[N-]Cc1nc(C2CC2)no1 ZINC000068904249 696377541 /nfs/dbraw/zinc/37/75/41/696377541.db2.gz ITULNTKKGRFSHO-UHFFFAOYSA-N -1 1 311.338 1.706 20 0 DDADMM O=C(NN1CC(=O)[N-]C1=O)c1sccc1-c1ccccc1 ZINC000073002295 696399605 /nfs/dbraw/zinc/39/96/05/696399605.db2.gz XIXMRYGOMDNZOF-UHFFFAOYSA-N -1 1 301.327 1.612 20 0 DDADMM CCc1n[n-]c(=S)n1CCNC(=O)c1cc([N+](=O)[O-])c[nH]1 ZINC000073997924 696409617 /nfs/dbraw/zinc/40/96/17/696409617.db2.gz HUGFSZHOQQQKAO-UHFFFAOYSA-N -1 1 310.339 1.169 20 0 DDADMM Cc1c2cccc(F)c2oc1C(=O)[N-]NC(=O)[C@H]1COCCO1 ZINC000073985679 696409625 /nfs/dbraw/zinc/40/96/25/696409625.db2.gz BYQHIXLZJDXWJC-LLVKDONJSA-N -1 1 322.292 1.057 20 0 DDADMM CC(C)(C)OC(=O)Nc1ccccc1C(=O)NCc1nn[n-]n1 ZINC000747885751 700091344 /nfs/dbraw/zinc/09/13/44/700091344.db2.gz JWGNQIMKSVMLJH-UHFFFAOYSA-N -1 1 318.337 1.477 20 0 DDADMM Cc1cccc(C(C)(C)NC(=O)Cc2sc(N)nc2[O-])c1 ZINC000080377755 696533828 /nfs/dbraw/zinc/53/38/28/696533828.db2.gz BVEDVYXIFJMDDO-NSHDSACASA-N -1 1 305.403 1.693 20 0 DDADMM CCCCOC(=O)CNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC000081688027 696547031 /nfs/dbraw/zinc/54/70/31/696547031.db2.gz HHYFUADBMIETDO-UHFFFAOYSA-N -1 1 309.366 1.275 20 0 DDADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)CC1CCCCC1)N(C)C ZINC000798822462 700099269 /nfs/dbraw/zinc/09/92/69/700099269.db2.gz XJAAYJMUDKXCEX-ZDUSSCGKSA-N -1 1 304.456 1.599 20 0 DDADMM O=S(=O)([N-]Cc1nnnn1C1CC1)c1cc2ccccc2o1 ZINC000084939100 696562316 /nfs/dbraw/zinc/56/23/16/696562316.db2.gz DACOZSGKMWALSQ-UHFFFAOYSA-N -1 1 319.346 1.233 20 0 DDADMM Cn1c(CNC(=O)C2=Cc3cc(F)ccc3OC2)n[n-]c1=S ZINC000090550928 696582788 /nfs/dbraw/zinc/58/27/88/696582788.db2.gz KFJWZPIPFGJTSB-UHFFFAOYSA-N -1 1 320.349 1.709 20 0 DDADMM C[C@H](CN(C)C(=O)[C@@H](C)Cc1ccc(F)cc1)c1nn[n-]n1 ZINC000124011265 696737666 /nfs/dbraw/zinc/73/76/66/696737666.db2.gz OMECNIQHGUFFET-WDEREUQCSA-N -1 1 305.357 1.780 20 0 DDADMM CC[C@@H](CC(=O)OCCc1c(C)nc2[n-]cnn2c1=O)C(C)C ZINC000126084517 696758771 /nfs/dbraw/zinc/75/87/71/696758771.db2.gz JSDHUPYMPLNCMB-LBPRGKRZSA-N -1 1 320.393 1.884 20 0 DDADMM CCC[C@H](C(=O)OCCc1c(C)nc2[n-]cnn2c1=O)C(C)C ZINC000131587881 696807395 /nfs/dbraw/zinc/80/73/95/696807395.db2.gz XEBKVQDOQFKWTI-LBPRGKRZSA-N -1 1 320.393 1.884 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@H]1CCCO1 ZINC000157762223 696980814 /nfs/dbraw/zinc/98/08/14/696980814.db2.gz AGJNZSLWONJNTP-DTWKUNHWSA-N -1 1 307.803 1.309 20 0 DDADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2ccc3c(c2)CCC3)C1 ZINC000748773447 700134469 /nfs/dbraw/zinc/13/44/69/700134469.db2.gz DCQGDBSIRZHZAO-AWEZNQCLSA-N -1 1 318.373 1.222 20 0 DDADMM O=C(C=C1CCC1)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982627180 697161487 /nfs/dbraw/zinc/16/14/87/697161487.db2.gz WHNLBAPRTPVOFJ-CYBMUJFWSA-N -1 1 315.373 1.476 20 0 DDADMM CC[C@@H]1C[C@H]1C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982671777 697167542 /nfs/dbraw/zinc/16/75/42/697167542.db2.gz CRHKUFWNSUOBFH-JHJVBQTASA-N -1 1 317.389 1.412 20 0 DDADMM O=C([N-]S(=O)(=O)c1ccsc1)c1cccc2cn[nH]c21 ZINC000160034612 697314454 /nfs/dbraw/zinc/31/44/54/697314454.db2.gz OEGOQRHLQYTXPP-UHFFFAOYSA-N -1 1 307.356 1.743 20 0 DDADMM Cc1[nH]nc(C(=O)Nc2ccc(N3CCOC3=O)cc2)c1[O-] ZINC000749209455 700151363 /nfs/dbraw/zinc/15/13/63/700151363.db2.gz YNDWOGZANKGSBA-UHFFFAOYSA-N -1 1 302.290 1.633 20 0 DDADMM Cn1c(Cl)c(Cl)cc1C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000174580673 697389975 /nfs/dbraw/zinc/38/99/75/697389975.db2.gz BPODBUWUXTVOJP-UHFFFAOYSA-N -1 1 318.164 1.106 20 0 DDADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C(C)(C)F ZINC000984801006 697430835 /nfs/dbraw/zinc/43/08/35/697430835.db2.gz IINOKVFDJUWZRT-WDEREUQCSA-N -1 1 323.368 1.645 20 0 DDADMM Cc1ccc(C(=O)OCCc2c(C)nc3[n-]cnn3c2=O)cc1 ZINC000181999384 697468911 /nfs/dbraw/zinc/46/89/11/697468911.db2.gz UBMASOIHZBTXOQ-UHFFFAOYSA-N -1 1 312.329 1.434 20 0 DDADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C2CCCCC2)CC1 ZINC000985226320 697470850 /nfs/dbraw/zinc/47/08/50/697470850.db2.gz VQSGNRKKXZXAHY-UHFFFAOYSA-N -1 1 321.425 1.513 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2sccc2C)o1 ZINC000182212064 697471486 /nfs/dbraw/zinc/47/14/86/697471486.db2.gz YGKZRGNJNWREGI-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM C/C(=C\C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1)C1CC1 ZINC000985302673 697485538 /nfs/dbraw/zinc/48/55/38/697485538.db2.gz KNKHWUDSXMMGBB-PKNBQFBNSA-N -1 1 319.409 1.289 20 0 DDADMM C[C@H](NC(=O)c1ccc(C#N)c([O-])c1)C(=O)OC1CCCC1 ZINC000188606770 697561793 /nfs/dbraw/zinc/56/17/93/697561793.db2.gz WXHWTVKWMMKZGY-JTQLQIEISA-N -1 1 302.330 1.868 20 0 DDADMM CC(C)[C@H]1C[C@@H]1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985735388 697565144 /nfs/dbraw/zinc/56/51/44/697565144.db2.gz MPJAGTFJPXGISW-OLZOCXBDSA-N -1 1 321.425 1.225 20 0 DDADMM CC(=O)N[N-]S(=O)(=O)c1ccc(Cl)c(F)c1Cl ZINC000190184682 697584832 /nfs/dbraw/zinc/58/48/32/697584832.db2.gz FAXOSYGAJCEHPN-UHFFFAOYSA-N -1 1 301.126 1.462 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2C[C@@H]2C(C)C)cc1 ZINC000772494644 697635842 /nfs/dbraw/zinc/63/58/42/697635842.db2.gz TZUFIXFEVYBDMH-ZIAGYGMSSA-N -1 1 319.357 1.787 20 0 DDADMM CC[C@]1(C)NC(=O)N([N-]C(=O)c2ccc(F)cc2Cl)C1=O ZINC000192733445 697638226 /nfs/dbraw/zinc/63/82/26/697638226.db2.gz BOQULAZKQGUAQM-ZDUSSCGKSA-N -1 1 313.716 1.844 20 0 DDADMM NC(=O)CO[N-]C(=O)CCc1cc(Br)ccc1F ZINC000772935064 697695524 /nfs/dbraw/zinc/69/55/24/697695524.db2.gz CGPCZROLVIIXEK-UHFFFAOYSA-N -1 1 319.130 1.054 20 0 DDADMM Cc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1C ZINC000773165388 697722551 /nfs/dbraw/zinc/72/25/51/697722551.db2.gz WYZBQZJIUJENHG-GFCCVEGCSA-N -1 1 303.391 1.747 20 0 DDADMM CC[S@](=O)CCNC(=O)c1cc(Br)ccc1[O-] ZINC000227111051 697768243 /nfs/dbraw/zinc/76/82/43/697768243.db2.gz LAAWXQJZPVUKCG-KRWDZBQOSA-N -1 1 320.208 1.653 20 0 DDADMM O=S(=O)([N-]N=c1nccc[nH]1)c1ccc(Cl)nc1Cl ZINC000195441309 697743865 /nfs/dbraw/zinc/74/38/65/697743865.db2.gz LKUJSSCAKIQLSQ-UHFFFAOYSA-N -1 1 320.161 1.484 20 0 DDADMM Cc1coc(C)c1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986235621 697747588 /nfs/dbraw/zinc/74/75/88/697747588.db2.gz ZCOVANQOTZHYEN-ONGXEEELSA-N -1 1 319.365 1.113 20 0 DDADMM O=C([O-])CC1(CC(=O)NCCN2CCSCC2)CCCC1 ZINC000230765285 697784672 /nfs/dbraw/zinc/78/46/72/697784672.db2.gz MRWCNRXQZXPZEY-UHFFFAOYSA-N -1 1 314.451 1.577 20 0 DDADMM C[C@@H](CN(C)C(=O)c1c[nH]c2cccc(F)c12)c1nn[n-]n1 ZINC000773998928 697832663 /nfs/dbraw/zinc/83/26/63/697832663.db2.gz CWFPVWVEYURMNY-QMMMGPOBSA-N -1 1 302.313 1.696 20 0 DDADMM C[C@H](CNC(=O)c1cccc(Cl)c1[O-])N1CCN(C)CC1 ZINC000775742836 698028334 /nfs/dbraw/zinc/02/83/34/698028334.db2.gz XIEIVURALQIEPS-LLVKDONJSA-N -1 1 311.813 1.411 20 0 DDADMM O=C(c1ccc(C(F)(F)F)cc1[O-])N1CCCNC(=O)C1 ZINC000776473063 698109180 /nfs/dbraw/zinc/10/91/80/698109180.db2.gz QOENHHLUGTVIQW-UHFFFAOYSA-N -1 1 302.252 1.373 20 0 DDADMM O=C(CSC(F)(F)F)N1CCSC[C@H]1c1nn[n-]n1 ZINC000776565053 698117917 /nfs/dbraw/zinc/11/79/17/698117917.db2.gz QYCGQDZTDMDNFC-YFKPBYRVSA-N -1 1 313.330 1.069 20 0 DDADMM CC(C)C[C@H](C)S(=O)(=O)N[C@H](CN1CCCCC1)C(=O)[O-] ZINC000776628318 698127490 /nfs/dbraw/zinc/12/74/90/698127490.db2.gz ONTORBHIHQWMKM-QWHCGFSZSA-N -1 1 320.455 1.280 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1Cc2ccccc21 ZINC000777361576 698182305 /nfs/dbraw/zinc/18/23/05/698182305.db2.gz VJHLPAVRLKAPNC-AWEZNQCLSA-N -1 1 324.340 1.152 20 0 DDADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(F)cc1 ZINC000987842193 698244914 /nfs/dbraw/zinc/24/49/14/698244914.db2.gz NHGLJMMSFFNZNF-BXKDBHETSA-N -1 1 319.340 1.042 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)C1CCCCC1 ZINC000987876205 698255479 /nfs/dbraw/zinc/25/54/79/698255479.db2.gz KNQODOPAIBETFA-PWSUYJOCSA-N -1 1 307.398 1.170 20 0 DDADMM CC[C@H](OC(=O)c1ccc(Br)c([O-])c1)C(N)=O ZINC000778830348 698373463 /nfs/dbraw/zinc/37/34/63/698373463.db2.gz YOUHXDOYWOHGPE-VIFPVBQESA-N -1 1 302.124 1.575 20 0 DDADMM COC(=O)CCN(C(=O)c1ncc(C)cc1[O-])C1CCOCC1 ZINC000778939036 698383326 /nfs/dbraw/zinc/38/33/26/698383326.db2.gz VQSNMBIFANMNTP-UHFFFAOYSA-N -1 1 322.361 1.280 20 0 DDADMM Cc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)oc1C ZINC000988630827 698423584 /nfs/dbraw/zinc/42/35/84/698423584.db2.gz GKJMGEMIIRTLKO-GXSJLCMTSA-N -1 1 319.365 1.113 20 0 DDADMM [O-]C([NH+]=c1cc[nH]cc1)=C([O-])[C@@H](O)c1nc2ccccc2s1 ZINC000779474742 698426663 /nfs/dbraw/zinc/42/66/63/698426663.db2.gz DTZWQCNYDFDXIQ-QWHCGFSZSA-N -1 1 315.354 1.146 20 0 DDADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)Cc1ccc(Cl)cc1Cl ZINC000779610532 698441837 /nfs/dbraw/zinc/44/18/37/698441837.db2.gz URPWTDXKEOUPOA-JTQLQIEISA-N -1 1 324.185 1.728 20 0 DDADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1(C)CCCC1 ZINC000988769592 698460256 /nfs/dbraw/zinc/46/02/56/698460256.db2.gz CBCWMQOFHJKJHH-NEPJUHHUSA-N -1 1 321.425 1.560 20 0 DDADMM CCN(CC)[C@@H](C(=O)NC[C@](C)(O)C(=O)[O-])c1ccccc1 ZINC000262558686 698474905 /nfs/dbraw/zinc/47/49/05/698474905.db2.gz VSNHGFVRIGJAQW-CJNGLKHVSA-N -1 1 308.378 1.021 20 0 DDADMM CCC[C@H](NC(=O)NC[C@@H](c1ccco1)N1CCCC1)C(=O)[O-] ZINC000780344500 698509315 /nfs/dbraw/zinc/50/93/15/698509315.db2.gz KXABHDPNWIWSNU-STQMWFEESA-N -1 1 323.393 1.969 20 0 DDADMM CCc1cc(CNC(=O)N(CC(=O)[O-])CC(F)(F)F)n[nH]1 ZINC000780569620 698533192 /nfs/dbraw/zinc/53/31/92/698533192.db2.gz DAILBBZLKDTSMZ-UHFFFAOYSA-N -1 1 308.260 1.131 20 0 DDADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCC=CO2)o1 ZINC000780901351 698569224 /nfs/dbraw/zinc/56/92/24/698569224.db2.gz OGLYGLWBRPJTDU-SECBINFHSA-N -1 1 301.320 1.037 20 0 DDADMM COc1cccc([C@@H](CNC(=O)N(C)[C@H](C)C(=O)[O-])N(C)C)c1 ZINC000320604118 698721750 /nfs/dbraw/zinc/72/17/50/698721750.db2.gz BQVIUHZWQJRKPN-BXUZGUMPSA-N -1 1 323.393 1.412 20 0 DDADMM Cc1ccc(N2CC[NH+]=C2[O-])cc1[N-]S(=O)(=O)c1ccco1 ZINC000782490801 698729662 /nfs/dbraw/zinc/72/96/62/698729662.db2.gz LYGPEMBTTPMBRP-UHFFFAOYSA-N -1 1 321.358 1.918 20 0 DDADMM C[C@@H]1C[C@H](C(=O)OCc2cc(=O)oc3cc([O-])ccc23)CO1 ZINC000784277426 698924095 /nfs/dbraw/zinc/92/40/95/698924095.db2.gz YSYSVGUJEGVZMD-KOLCDFICSA-N -1 1 304.298 1.967 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cccc(F)c1[N+](=O)[O-])[C@H](C)O ZINC000386826213 699079323 /nfs/dbraw/zinc/07/93/23/699079323.db2.gz GZRCRAYXCWUSAQ-IONNQARKSA-N -1 1 306.315 1.172 20 0 DDADMM COc1cccc(-c2nsc([N-]C(=O)c3cnn(C)n3)n2)c1 ZINC000786140843 699129146 /nfs/dbraw/zinc/12/91/46/699129146.db2.gz XGIYOTIYHRZFOC-UHFFFAOYSA-N -1 1 316.346 1.595 20 0 DDADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)C(F)=C1CCCC1 ZINC000990822239 699167557 /nfs/dbraw/zinc/16/75/57/699167557.db2.gz WDOKXDWZURIJEO-UHFFFAOYSA-N -1 1 319.336 1.525 20 0 DDADMM CC(C)(C)OC(=O)NCc1cccc(C(=O)Nc2nnn[n-]2)c1 ZINC000786996556 699184889 /nfs/dbraw/zinc/18/48/89/699184889.db2.gz JBYAHUUFKSQESI-UHFFFAOYSA-N -1 1 318.337 1.477 20 0 DDADMM CC(C)(C)OC(=O)NCc1cccc(C(=O)Nc2nn[n-]n2)c1 ZINC000786996556 699184892 /nfs/dbraw/zinc/18/48/92/699184892.db2.gz JBYAHUUFKSQESI-UHFFFAOYSA-N -1 1 318.337 1.477 20 0 DDADMM Cn1cc(CN2CC[C@@](C)(C(=O)[O-])C2)c(Br)n1 ZINC000712399749 699261839 /nfs/dbraw/zinc/26/18/39/699261839.db2.gz DBUFLVQUAYEDPN-LLVKDONJSA-N -1 1 302.172 1.479 20 0 DDADMM CO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C12CCC2 ZINC000713067912 699264768 /nfs/dbraw/zinc/26/47/68/699264768.db2.gz LVWVYCJYVGLDET-RKDXNWHRSA-N -1 1 319.814 1.309 20 0 DDADMM CO[C@@H]1C[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C12CCC2 ZINC000713067906 699264780 /nfs/dbraw/zinc/26/47/80/699264780.db2.gz LVWVYCJYVGLDET-DTWKUNHWSA-N -1 1 319.814 1.309 20 0 DDADMM Cc1cc(CS(=O)(=O)[N-][C@H]2CCCCC23OCCO3)no1 ZINC000715985343 699279845 /nfs/dbraw/zinc/27/98/45/699279845.db2.gz QGHHQFYEZILKMD-LBPRGKRZSA-N -1 1 316.379 1.088 20 0 DDADMM CCC[C@H](CCO)[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000716962781 699285498 /nfs/dbraw/zinc/28/54/98/699285498.db2.gz KNUFIAZHGDARRX-MRVPVSSYSA-N -1 1 310.778 1.704 20 0 DDADMM C[C@H]1C[C@@H](C(=O)[O-])CN(CCCS(=O)(=O)C(C)(C)C)C1 ZINC000718735106 699294803 /nfs/dbraw/zinc/29/48/03/699294803.db2.gz OLGWUXDSXROHNT-NWDGAFQWSA-N -1 1 305.440 1.632 20 0 DDADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H]1c1cccs1 ZINC000726866678 699386197 /nfs/dbraw/zinc/38/61/97/699386197.db2.gz YUHJTLGJSSNAKI-NSHDSACASA-N -1 1 319.386 1.352 20 0 DDADMM CCOc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1F ZINC000726872078 699386843 /nfs/dbraw/zinc/38/68/43/699386843.db2.gz CIENYVMFAZKFIM-UHFFFAOYSA-N -1 1 321.308 1.103 20 0 DDADMM CC(C)(CNC(=O)c1c([O-])cccc1Cl)NS(C)(=O)=O ZINC000731839310 699537749 /nfs/dbraw/zinc/53/77/49/699537749.db2.gz TWJQBJHYRLQUAO-UHFFFAOYSA-N -1 1 320.798 1.103 20 0 DDADMM O=C(C=Cc1ccc(Cl)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000731880127 699539674 /nfs/dbraw/zinc/53/96/74/699539674.db2.gz GHVAHTXCFCAODR-GCLPIYDQSA-N -1 1 319.752 1.466 20 0 DDADMM CC[C@@H](Cc1ccccc1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732766675 699569236 /nfs/dbraw/zinc/56/92/36/699569236.db2.gz KBLBSKURADATTG-KBPBESRZSA-N -1 1 315.377 1.369 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCc3cccc(O)c3)ccnc1-2 ZINC000791089635 699610947 /nfs/dbraw/zinc/61/09/47/699610947.db2.gz NKSHBNWIUYCBSF-UHFFFAOYSA-N -1 1 311.345 1.412 20 0 DDADMM COC(=O)c1cccc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)c1 ZINC000791167076 699613830 /nfs/dbraw/zinc/61/38/30/699613830.db2.gz NPRCGBPDCGQBNH-UHFFFAOYSA-N -1 1 310.313 1.381 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)c3cnc4ccccc4n3)ccnc1-2 ZINC000791167211 699614051 /nfs/dbraw/zinc/61/40/51/699614051.db2.gz GDSQLLBLUNHKFA-UHFFFAOYSA-N -1 1 304.313 1.537 20 0 DDADMM C[C@@H](CCC1CCCCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000734448512 699651478 /nfs/dbraw/zinc/65/14/78/699651478.db2.gz NRJWMOSKEOMIHN-ZDUSSCGKSA-N -1 1 321.421 1.792 20 0 DDADMM CCCS(=O)(=O)[N-]c1ccccc1C(=O)OC[C@@H](C)O ZINC000791766288 699653442 /nfs/dbraw/zinc/65/34/42/699653442.db2.gz VTRKHHDSAWSPHK-SNVBAGLBSA-N -1 1 301.364 1.376 20 0 DDADMM CCOC(=O)C1(CNC(=O)c2ncc(C)cc2[O-])CCCC1 ZINC000791844287 699658328 /nfs/dbraw/zinc/65/83/28/699658328.db2.gz YEBRANOREYBJMQ-UHFFFAOYSA-N -1 1 306.362 1.949 20 0 DDADMM C[C@H](CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)C1CCCCC1 ZINC000735975783 699714985 /nfs/dbraw/zinc/71/49/85/699714985.db2.gz OHYNGPYOCBRQQB-DGCLKSJQSA-N -1 1 307.398 1.706 20 0 DDADMM CCC[C@@H](NC(=O)c1ccc(Cn2cccn2)o1)c1nn[n-]n1 ZINC000736431345 699725685 /nfs/dbraw/zinc/72/56/85/699725685.db2.gz XYBYKEPDBJOTQH-LLVKDONJSA-N -1 1 315.337 1.309 20 0 DDADMM CC(C)CS(=O)(=O)[N-]C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000793142537 699735027 /nfs/dbraw/zinc/73/50/27/699735027.db2.gz RSGDOKIEQUVSPX-UHFFFAOYSA-N -1 1 308.363 1.187 20 0 DDADMM CCC[C@@H](C)CS(=O)(=O)[N-]C(=O)c1ccc2cncn2c1 ZINC000793143503 699735055 /nfs/dbraw/zinc/73/50/55/699735055.db2.gz LSXCGUOMBRMUDO-LLVKDONJSA-N -1 1 309.391 1.830 20 0 DDADMM Cc1ccc(CCN(C)CC(=O)N2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000738283611 699756458 /nfs/dbraw/zinc/75/64/58/699756458.db2.gz XVFFEABDNFBISK-INIZCTEOSA-N -1 1 318.417 1.793 20 0 DDADMM COC(=O)c1ccc(C(=O)OCc2nc(-c3ccoc3)no2)[n-]1 ZINC000796343501 699927999 /nfs/dbraw/zinc/92/79/99/699927999.db2.gz CPLBQWSXCJPCEV-UHFFFAOYSA-N -1 1 317.257 1.801 20 0 DDADMM COC(=O)c1ccc(C(=O)O[C@H](C(N)=O)c2ccc(F)cc2)[n-]1 ZINC000796344520 699928107 /nfs/dbraw/zinc/92/81/07/699928107.db2.gz IOANTWQYLCZOCP-LBPRGKRZSA-N -1 1 320.276 1.324 20 0 DDADMM C[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)[C@H]1OCCc2sccc21 ZINC000796505766 699936443 /nfs/dbraw/zinc/93/64/43/699936443.db2.gz PKWDJDZEUVLJOL-PRHODGIISA-N -1 1 323.374 1.163 20 0 DDADMM CCOC(=O)COC(=O)c1nn(-c2ccccc2CC)cc1[O-] ZINC000801417292 700305522 /nfs/dbraw/zinc/30/55/22/700305522.db2.gz JFDMPNASVSXPTQ-UHFFFAOYSA-N -1 1 318.329 1.860 20 0 DDADMM Cn1cc2c(n1)CCC[C@H]2C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801705399 700333426 /nfs/dbraw/zinc/33/34/26/700333426.db2.gz OGXHEFWITABTAP-SNVBAGLBSA-N -1 1 310.361 1.134 20 0 DDADMM O=C([N-]N1CC(=O)NC1=O)c1cc2c(cccc2Cl)s1 ZINC000752699979 700380474 /nfs/dbraw/zinc/38/04/74/700380474.db2.gz WYCJJQSVHGZIEJ-UHFFFAOYSA-N -1 1 309.734 1.751 20 0 DDADMM O=C(C[N-]S(=O)(=O)c1cc2ccccc2o1)NC1CCCC1 ZINC000754039798 700477968 /nfs/dbraw/zinc/47/79/68/700477968.db2.gz YRDQBHYWDLQTKO-UHFFFAOYSA-N -1 1 322.386 1.770 20 0 DDADMM Cc1cccc2c1[C@@H](C)C[C@H]2CC(=O)NN1CC(=O)[N-]C1=O ZINC000754163717 700487083 /nfs/dbraw/zinc/48/70/83/700487083.db2.gz RBGVLWAIUMQJKB-QWRGUYRKSA-N -1 1 301.346 1.559 20 0 DDADMM CO[C@H](C[N-]S(=O)(=O)c1cnc(C)s1)C(F)(F)F ZINC000754804615 700529573 /nfs/dbraw/zinc/52/95/73/700529573.db2.gz HXKYPSOCCUOPNW-ZCFIWIBFSA-N -1 1 304.315 1.307 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)C[C@H]1CCC[C@@H]1O ZINC000755230981 700557062 /nfs/dbraw/zinc/55/70/62/700557062.db2.gz GVGTYYDKQDMAGG-NEPJUHHUSA-N -1 1 307.394 1.847 20 0 DDADMM CCCCn1ncc(C(=O)OCc2nc(=O)n(C)[n-]2)c1CC ZINC000758908324 700728700 /nfs/dbraw/zinc/72/87/00/700728700.db2.gz LXEZSGGYHNWVEN-UHFFFAOYSA-N -1 1 307.354 1.024 20 0 DDADMM C[C@@H]1C[C@]2(C[C@@H]2C(=O)OCCC[N-]C(=O)C(F)(F)F)CCO1 ZINC000759647232 700764296 /nfs/dbraw/zinc/76/42/96/700764296.db2.gz COUWFDQVOJOPIO-BREBYQMCSA-N -1 1 323.311 1.803 20 0 DDADMM COC(=O)N[C@@H](C)C(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000761526750 700862759 /nfs/dbraw/zinc/86/27/59/700862759.db2.gz OKEMSFCEFSJMSI-QMMMGPOBSA-N -1 1 321.285 1.286 20 0 DDADMM CCCN(CC(=O)[O-])C(=O)C[C@H](N)c1ccccc1OCC ZINC000763065509 700923577 /nfs/dbraw/zinc/92/35/77/700923577.db2.gz OGWGUCVFWDFEEG-ZDUSSCGKSA-N -1 1 308.378 1.798 20 0 DDADMM CCCCNc1ccccc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765394674 701009694 /nfs/dbraw/zinc/00/96/94/701009694.db2.gz ZTYXJJKYFORZRT-UHFFFAOYSA-N -1 1 304.350 1.677 20 0 DDADMM Cc1cc2ccccc2n1CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765422127 701011340 /nfs/dbraw/zinc/01/13/40/701011340.db2.gz UNAZMRGZAUTTFP-UHFFFAOYSA-N -1 1 300.318 1.115 20 0 DDADMM Cc1c(C(=O)OCc2nc(=O)n(C)[n-]2)sc2nccc(C)c12 ZINC000765434596 701012678 /nfs/dbraw/zinc/01/26/78/701012678.db2.gz KVNFYFVFIWLJHD-UHFFFAOYSA-N -1 1 318.358 1.692 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(-c3ccccc3)n2C)nc1=O ZINC000765488772 701015655 /nfs/dbraw/zinc/01/56/55/701015655.db2.gz NDSRMBFDXWHXNY-UHFFFAOYSA-N -1 1 312.329 1.471 20 0 DDADMM C[C@H](Cc1cccc(Cl)c1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765496913 701016087 /nfs/dbraw/zinc/01/60/87/701016087.db2.gz NSBFKJUUVBULCU-SECBINFHSA-N -1 1 309.753 1.684 20 0 DDADMM Cn1[n-]c(COC(=O)c2ccc(OC(C)(C)C)nc2)nc1=O ZINC000765515516 701017523 /nfs/dbraw/zinc/01/75/23/701017523.db2.gz PBHHDBBKMRXYTL-UHFFFAOYSA-N -1 1 306.322 1.038 20 0 DDADMM O=C1CC[C@H]2CN(Cc3cc(=O)oc4cc([O-])ccc34)CCN12 ZINC000766272669 701044720 /nfs/dbraw/zinc/04/47/20/701044720.db2.gz QRXZIHXNWYZQIQ-LBPRGKRZSA-N -1 1 314.341 1.305 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000766776670 701062732 /nfs/dbraw/zinc/06/27/32/701062732.db2.gz RRFVNEXDRHBZNA-SECBINFHSA-N -1 1 302.252 1.650 20 0 DDADMM Cc1ccsc1S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C ZINC000802868757 701064892 /nfs/dbraw/zinc/06/48/92/701064892.db2.gz CQYBICBEOACDPK-SNVBAGLBSA-N -1 1 304.437 1.448 20 0 DDADMM COc1ccc(-n2cc([O-])c(C(=O)OCc3cn[nH]c3)n2)cc1 ZINC000803525535 701125857 /nfs/dbraw/zinc/12/58/57/701125857.db2.gz QHCAPHCEKMIOJL-UHFFFAOYSA-N -1 1 314.301 1.667 20 0 DDADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2CC(C)(C)C2)cc1 ZINC000803753782 701141011 /nfs/dbraw/zinc/14/10/11/701141011.db2.gz CQPLHBCZKUVYGM-UHFFFAOYSA-N -1 1 319.357 1.931 20 0 DDADMM CS(=O)(=O)[C@H]1CSCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000768176240 701162201 /nfs/dbraw/zinc/16/22/01/701162201.db2.gz RUGARTNXDOXQPZ-NSHDSACASA-N -1 1 319.379 1.091 20 0 DDADMM CC(C)CC[C@@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000768345773 701170553 /nfs/dbraw/zinc/17/05/53/701170553.db2.gz BKEDDCLETJIWRS-ZDUSSCGKSA-N -1 1 307.394 1.354 20 0 DDADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)Cc1cc(Cl)ccc1F ZINC000769892938 701260194 /nfs/dbraw/zinc/26/01/94/701260194.db2.gz ZMPBWNOXMCBKRL-JTQLQIEISA-N -1 1 307.730 1.214 20 0 DDADMM CNC(=O)c1cncc(/C=C\C(=O)Nc2c([O-])cccc2F)c1 ZINC000770864681 701298090 /nfs/dbraw/zinc/29/80/90/701298090.db2.gz ZVQZSBOTTVYHBF-WAYWQWQTSA-N -1 1 315.304 1.938 20 0 DDADMM Cc1cc(Cl)ccc1NC(=O)[C@@H](C)OC(=O)c1cn[n-]n1 ZINC000805606488 701399103 /nfs/dbraw/zinc/39/91/03/701399103.db2.gz XRPOYAIIVYYILB-MRVPVSSYSA-N -1 1 308.725 1.951 20 0 DDADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2csc(C)c2)o1 ZINC000806023993 701421457 /nfs/dbraw/zinc/42/14/57/701421457.db2.gz BCFJHXILXYHOJN-UHFFFAOYSA-N -1 1 315.372 1.915 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NCCOCC(F)(F)F)ccnc1-2 ZINC000806491328 701437686 /nfs/dbraw/zinc/43/76/86/701437686.db2.gz INCBEUGDZYLZIP-UHFFFAOYSA-N -1 1 317.271 1.042 20 0 DDADMM CO[C@H]1CCCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000806490128 701437897 /nfs/dbraw/zinc/43/78/97/701437897.db2.gz OANVPOUFZFJAKC-NSHDSACASA-N -1 1 303.366 1.375 20 0 DDADMM Cc1ccc(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(Cl)n1 ZINC000807134814 701465355 /nfs/dbraw/zinc/46/53/55/701465355.db2.gz HELBILXCUIHHPS-LLVKDONJSA-N -1 1 320.784 1.505 20 0 DDADMM O=C(Nc1ccnc(CO)c1)c1[n-]cnc1C(=O)c1ccccc1 ZINC000807170150 701467353 /nfs/dbraw/zinc/46/73/53/701467353.db2.gz XIMNXKIMRSERHH-UHFFFAOYSA-N -1 1 322.324 1.780 20 0 DDADMM CO[C@@H]1CCC[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)C1 ZINC000814564241 701721527 /nfs/dbraw/zinc/72/15/27/701721527.db2.gz NMIZUVWVCPXXMZ-ZIAGYGMSSA-N -1 1 319.357 1.691 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)OC2CCOCC2)C1 ZINC000867890251 701813434 /nfs/dbraw/zinc/81/34/34/701813434.db2.gz LZXJUBOCLOGNBW-GFCCVEGCSA-N -1 1 324.299 1.445 20 0 DDADMM CC(C)[C@H]1C[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)CCO1 ZINC000831019868 706621486 /nfs/dbraw/zinc/62/14/86/706621486.db2.gz JJQMLFIKQZKAFO-RKDXNWHRSA-N -1 1 307.803 1.545 20 0 DDADMM O=C([N-]CC1CN(C(=O)[C@@H](F)c2ccccc2)C1)C(F)(F)F ZINC000831129551 706640180 /nfs/dbraw/zinc/64/01/80/706640180.db2.gz GQLMUQAAXKVZSD-NSHDSACASA-N -1 1 318.270 1.834 20 0 DDADMM Cc1coc(C)c1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831126017 706639792 /nfs/dbraw/zinc/63/97/92/706639792.db2.gz VUKGBCXMBYLRET-UHFFFAOYSA-N -1 1 304.268 1.647 20 0 DDADMM O=C(C1SCCS1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000816498085 702097094 /nfs/dbraw/zinc/09/70/94/702097094.db2.gz FZVGSGVLMGHQHL-SSDOTTSWSA-N -1 1 301.393 1.287 20 0 DDADMM Cc1ocnc1C(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000812276780 702133220 /nfs/dbraw/zinc/13/32/20/702133220.db2.gz MZUKIZPFPNHYEH-SECBINFHSA-N -1 1 319.283 1.514 20 0 DDADMM O=C(COCC1CC1)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000812276640 702133299 /nfs/dbraw/zinc/13/32/99/702133299.db2.gz LSHDKXRIFATQCR-LLVKDONJSA-N -1 1 322.327 1.330 20 0 DDADMM CC(C)=CCNC(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000868533940 702182060 /nfs/dbraw/zinc/18/20/60/702182060.db2.gz PBUUQEXEJNVYIT-GFCCVEGCSA-N -1 1 307.316 1.805 20 0 DDADMM CCC[C@@H]1C[C@H]1C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000812631527 702212087 /nfs/dbraw/zinc/21/20/87/702212087.db2.gz BYAQNACYSVKFRH-TZMCWYRMSA-N -1 1 319.357 1.931 20 0 DDADMM O=C(N[C@@H]1CCCn2nccc21)c1ccc2n[n-]c(=S)n2c1 ZINC000831181870 706651770 /nfs/dbraw/zinc/65/17/70/706651770.db2.gz AFOVXJZYYYZTAS-SNVBAGLBSA-N -1 1 314.374 1.479 20 0 DDADMM CCOC(=O)c1c[n-]c(NC(=O)Cc2cn(C)nc2Cl)n1 ZINC000841222034 702393015 /nfs/dbraw/zinc/39/30/15/702393015.db2.gz QEFXABRJPRBHII-UHFFFAOYSA-N -1 1 311.729 1.155 20 0 DDADMM O=C([N-]C(CO)CO)C(F)(F)c1cc(Cl)ccc1Cl ZINC000817557483 702409056 /nfs/dbraw/zinc/40/90/56/702409056.db2.gz FUMDTGBRXVTTCC-UHFFFAOYSA-N -1 1 314.115 1.555 20 0 DDADMM CON(C)C(=O)C[N-]C(=O)C(F)(F)c1c(F)cccc1F ZINC000817664547 702442592 /nfs/dbraw/zinc/44/25/92/702442592.db2.gz PJKMNGOSGONEGN-UHFFFAOYSA-N -1 1 308.231 1.193 20 0 DDADMM COCc1ncc(COC(=O)c2ccc(C(=O)OC)[n-]2)s1 ZINC000813999689 702457856 /nfs/dbraw/zinc/45/78/56/702457856.db2.gz NMLAILVSBGIFNA-UHFFFAOYSA-N -1 1 310.331 1.761 20 0 DDADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)Cc2ccccc2Cl)C(=O)O1 ZINC000841524090 702479284 /nfs/dbraw/zinc/47/92/84/702479284.db2.gz MZBQRVUPVVXQTB-GZMMTYOYSA-N -1 1 303.767 1.463 20 0 DDADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000841534368 702484389 /nfs/dbraw/zinc/48/43/89/702484389.db2.gz MFUFGBVFDBWCDL-JTQLQIEISA-N -1 1 323.292 1.334 20 0 DDADMM CCOC(=O)c1c[n-]nc1S(=O)(=O)Oc1ccc(C)cc1 ZINC000817916730 702520256 /nfs/dbraw/zinc/52/02/56/702520256.db2.gz BDZZYGRBLJVOMR-UHFFFAOYSA-N -1 1 310.331 1.663 20 0 DDADMM CC1(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)CCCC1 ZINC000869321839 702568013 /nfs/dbraw/zinc/56/80/13/702568013.db2.gz BIVBQSLDRJMWKF-UHFFFAOYSA-N -1 1 309.284 1.748 20 0 DDADMM CC1=C(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)CCCO1 ZINC000869450875 702611190 /nfs/dbraw/zinc/61/11/90/702611190.db2.gz PNWTWYQSTSZJLX-UHFFFAOYSA-N -1 1 323.267 1.252 20 0 DDADMM CC(C)(C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1CCC1 ZINC000869531652 702637987 /nfs/dbraw/zinc/63/79/87/702637987.db2.gz GGSXVJDRAYKIDY-UHFFFAOYSA-N -1 1 323.311 1.994 20 0 DDADMM COC[C@H](C)[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000866386130 706687144 /nfs/dbraw/zinc/68/71/44/706687144.db2.gz XQYZCLKNNJCLAK-YPMLDQLKSA-N -1 1 306.409 1.013 20 0 DDADMM COCc1cccc(CNC(=O)CO[N-]C(=O)CC(C)(C)C)c1 ZINC000842061409 702665661 /nfs/dbraw/zinc/66/56/61/702665661.db2.gz KDZDWXYVKMVYPD-UHFFFAOYSA-N -1 1 322.405 1.933 20 0 DDADMM CCS(=O)(=O)CCN(C)C(=O)c1ncc2ccccc2c1[O-] ZINC000831336106 706689322 /nfs/dbraw/zinc/68/93/22/706689322.db2.gz JDIPAEKIYXAMFE-UHFFFAOYSA-N -1 1 322.386 1.447 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](C)c1ccccc1 ZINC000866408509 706691634 /nfs/dbraw/zinc/69/16/34/706691634.db2.gz ZTFLDCXCTUFPLP-ADLMAVQZSA-N -1 1 304.437 1.742 20 0 DDADMM C[C@H]1C(=O)N(C)CN1Cn1[n-]c(-c2ccccn2)nc1=S ZINC000842643224 702748827 /nfs/dbraw/zinc/74/88/27/702748827.db2.gz YIHWDOCLZHPJLQ-VIFPVBQESA-N -1 1 304.379 1.080 20 0 DDADMM C[C@@H]1CC[N@@H+](CCOc2ccccc2C(=O)[O-])C[C@@H]1C(=O)[O-] ZINC000842935014 702792207 /nfs/dbraw/zinc/79/22/07/702792207.db2.gz CCOWDHOSYFQZDV-YPMHNXCESA-N -1 1 307.346 1.806 20 0 DDADMM Cc1coc(C)c1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843013037 702803477 /nfs/dbraw/zinc/80/34/77/702803477.db2.gz DBPVLWUVODZGSZ-UHFFFAOYSA-N -1 1 314.407 1.440 20 0 DDADMM CC(C)[C@@H]1C[C@@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843014960 702803771 /nfs/dbraw/zinc/80/37/71/702803771.db2.gz JGJJXBNQOBSOQY-RYUDHWBXSA-N -1 1 302.440 1.209 20 0 DDADMM CCCO[N-]C(=O)[C@H]1CC(=O)N(Cc2ccc(OC)cc2)C1 ZINC000844084300 702969592 /nfs/dbraw/zinc/96/95/92/702969592.db2.gz KNYUIYDJCIRSBO-ZDUSSCGKSA-N -1 1 306.362 1.502 20 0 DDADMM COC/C(C)=C\C(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000845915019 703215689 /nfs/dbraw/zinc/21/56/89/703215689.db2.gz OEEGHNGQEIRVBM-TWGQIWQCSA-N -1 1 316.354 1.728 20 0 DDADMM CCN1C[C@H](C[N-]S(=O)(=O)c2cc3ccccc3o2)CC1=O ZINC000845994272 703224811 /nfs/dbraw/zinc/22/48/11/703224811.db2.gz NVHARNHVEWTOBM-NSHDSACASA-N -1 1 322.386 1.580 20 0 DDADMM CC(=CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)c1cnccn1 ZINC000847093234 703376787 /nfs/dbraw/zinc/37/67/87/703376787.db2.gz WCWVLUVHXYDLSC-PFEDMVJOSA-N -1 1 315.333 1.375 20 0 DDADMM C[C@@H](Cc1ccccn1)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000847177603 703384346 /nfs/dbraw/zinc/38/43/46/703384346.db2.gz IVXAUXMIXZMTNA-NSHDSACASA-N -1 1 310.361 1.490 20 0 DDADMM Cc1cnc(C(=O)NCC[C@H](N(C)C)C(F)(F)F)c([O-])c1 ZINC000831655777 706747735 /nfs/dbraw/zinc/74/77/35/706747735.db2.gz NRHHLCUOXLSNNK-JTQLQIEISA-N -1 1 305.300 1.708 20 0 DDADMM CO[C@@H](C(=O)N1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C)C(C)C ZINC000848340381 703540859 /nfs/dbraw/zinc/54/08/59/703540859.db2.gz RXSKQIMQVQKUGX-GMTAPVOTSA-N -1 1 324.343 1.715 20 0 DDADMM COc1ccc2c(c1)[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)CCC2 ZINC000848850442 703594373 /nfs/dbraw/zinc/59/43/73/703594373.db2.gz AZRFKPZTHWFJQV-GFCCVEGCSA-N -1 1 317.345 1.280 20 0 DDADMM CC(=O)N1CCC([C@@H]2OCC[C@H]2[N-]C(=O)C(F)(F)F)CC1 ZINC000849397325 703643412 /nfs/dbraw/zinc/64/34/12/703643412.db2.gz YZOIUPFCWPCTCV-MNOVXSKESA-N -1 1 308.300 1.081 20 0 DDADMM Cc1cccc(CO[C@@H](C)C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000869642672 703824595 /nfs/dbraw/zinc/82/45/95/703824595.db2.gz WSYYITIWRBUBDT-NSHDSACASA-N -1 1 305.334 1.065 20 0 DDADMM CCOC(=O)C[C@@H](O)COC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000869734763 703838874 /nfs/dbraw/zinc/83/88/74/703838874.db2.gz JWPIKNOGEHDNRW-SNVBAGLBSA-N -1 1 316.737 1.445 20 0 DDADMM Cn1[n-]c(COC(=O)c2cc3c(cccc3C3CC3)[nH]2)nc1=O ZINC000870084796 703910888 /nfs/dbraw/zinc/91/08/88/703910888.db2.gz WIQRMALSTABKDS-UHFFFAOYSA-N -1 1 312.329 1.824 20 0 DDADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(CCOCC(F)F)C1 ZINC000879766265 706786751 /nfs/dbraw/zinc/78/67/51/706786751.db2.gz MEDLIGMFOVKQQX-SNVBAGLBSA-N -1 1 304.259 1.411 20 0 DDADMM CCN1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)OC(C)(C)C ZINC000852521475 704067771 /nfs/dbraw/zinc/06/77/71/704067771.db2.gz YWVWMLRKUPHWIH-UWVGGRQHSA-N -1 1 324.343 1.860 20 0 DDADMM C[C@@H]1CCN(Cc2cccnc2N)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852756560 704116273 /nfs/dbraw/zinc/11/62/73/704116273.db2.gz NOXYAQWVDIDZBR-KOLCDFICSA-N -1 1 316.327 1.553 20 0 DDADMM CNC(=O)NC[C@H]1CCCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000870760276 704123619 /nfs/dbraw/zinc/12/36/19/704123619.db2.gz PMLMLAZJHVWDFI-LLVKDONJSA-N -1 1 309.341 1.455 20 0 DDADMM CC(C)(CNc1nccnc1-c1nnn[n-]1)[C@H]1CCCCO1 ZINC000819984381 704197490 /nfs/dbraw/zinc/19/74/90/704197490.db2.gz UEVUREZQAVWQBN-SNVBAGLBSA-N -1 1 303.370 1.664 20 0 DDADMM CC(C)(CNc1nccnc1-c1nn[n-]n1)[C@H]1CCCCO1 ZINC000819984381 704197492 /nfs/dbraw/zinc/19/74/92/704197492.db2.gz UEVUREZQAVWQBN-SNVBAGLBSA-N -1 1 303.370 1.664 20 0 DDADMM Cc1nc(CCC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cs1 ZINC000820062655 704213655 /nfs/dbraw/zinc/21/36/55/704213655.db2.gz DKYJFPVRTYBWNQ-UHFFFAOYSA-N -1 1 322.390 1.879 20 0 DDADMM CC(C)n1ccc(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)n1 ZINC000820064263 704214069 /nfs/dbraw/zinc/21/40/69/704214069.db2.gz WQRIIQVTRNACGV-UHFFFAOYSA-N -1 1 319.365 1.501 20 0 DDADMM Cc1noc(C2CC2)c1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000820067394 704215025 /nfs/dbraw/zinc/21/50/25/704215025.db2.gz DGWTZMIMLPYYKR-UHFFFAOYSA-N -1 1 318.333 1.969 20 0 DDADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(c2cc(F)ccc2F)=NO1 ZINC000820402075 704272418 /nfs/dbraw/zinc/27/24/18/704272418.db2.gz HJIWIWYGDXLVBB-LLVKDONJSA-N -1 1 322.271 1.834 20 0 DDADMM COC(=O)C1=NO[C@]2(CCN(C(=O)c3cc(F)ccc3[O-])C2)C1 ZINC000871382186 704280023 /nfs/dbraw/zinc/28/00/23/704280023.db2.gz SIKAZXNJZBVYTO-OAHLLOKOSA-N -1 1 322.292 1.065 20 0 DDADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1ccc(F)nc1F)C(C)(C)C ZINC000866798712 706804947 /nfs/dbraw/zinc/80/49/47/706804947.db2.gz ACBADBDQHLWKPK-SECBINFHSA-N -1 1 322.333 1.226 20 0 DDADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1CCCC[C@H]1C ZINC000820587932 704305786 /nfs/dbraw/zinc/30/57/86/704305786.db2.gz LBYKKNVRZVUDLV-ZYHUDNBSSA-N -1 1 318.377 1.638 20 0 DDADMM Cc1ccc2c(c1)O[C@H](C)CN2C(=O)CCCc1nn[n-]n1 ZINC000820589595 704306137 /nfs/dbraw/zinc/30/61/37/704306137.db2.gz RWDWNOPBPUORMW-LLVKDONJSA-N -1 1 301.350 1.645 20 0 DDADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CCc1cccc(F)c1 ZINC000820781564 704330145 /nfs/dbraw/zinc/33/01/45/704330145.db2.gz ZTZLXGZUHSGGGE-UHFFFAOYSA-N -1 1 320.411 1.464 20 0 DDADMM C[C@@H]1CCCC[C@@H]1OCC[N-]S(=O)(=O)N=S(C)(C)=O ZINC000820786904 704330823 /nfs/dbraw/zinc/33/08/23/704330823.db2.gz ZVOCVSLDQVBARV-MNOVXSKESA-N -1 1 312.457 1.144 20 0 DDADMM C[C@H](CN(C)C(=O)OC(C)(C)C)Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000853985776 704343822 /nfs/dbraw/zinc/34/38/22/704343822.db2.gz YQBZGXREBKXKKK-MRVPVSSYSA-N -1 1 322.369 1.099 20 0 DDADMM COc1cccc(S([O-])=CC(=O)OCc2ccnc(C)n2)c1 ZINC000821113548 704372975 /nfs/dbraw/zinc/37/29/75/704372975.db2.gz WDRGTEZJQJURBU-JOCHJYFZSA-N -1 1 320.370 1.645 20 0 DDADMM CC(C)O[N-]C(=O)C1(CC(=O)OC(C)(C)C)CCOCC1 ZINC000854754794 704448335 /nfs/dbraw/zinc/44/83/35/704448335.db2.gz UVJYKPVOEOFCID-UHFFFAOYSA-N -1 1 301.383 1.971 20 0 DDADMM O=C(NCC[S@@](=O)CC(F)(F)F)c1ccc([O-])cc1F ZINC000855271816 704476646 /nfs/dbraw/zinc/47/66/46/704476646.db2.gz AICWFRVYRGXBEP-HXUWFJFHSA-N -1 1 313.272 1.572 20 0 DDADMM COc1cc(C(=O)NCCOC(=O)N(C)C)cc(Cl)c1[O-] ZINC000855652738 704495541 /nfs/dbraw/zinc/49/55/41/704495541.db2.gz UHFKYXPEAKLSMW-UHFFFAOYSA-N -1 1 316.741 1.482 20 0 DDADMM CC(C)(C)OCCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000855702036 704498460 /nfs/dbraw/zinc/49/84/60/704498460.db2.gz RFLHCJTZLMUCBG-UHFFFAOYSA-N -1 1 308.407 1.953 20 0 DDADMM CCO[C@H]1C[C@H]1C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856418556 704528168 /nfs/dbraw/zinc/52/81/68/704528168.db2.gz FPKYBTUUVLZQCR-ZNSHCXBVSA-N -1 1 322.327 1.327 20 0 DDADMM CC(=CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1cccs1 ZINC000857709848 704615325 /nfs/dbraw/zinc/61/53/25/704615325.db2.gz IXPIFQCIJUVCJF-UJICNMFASA-N -1 1 305.363 1.265 20 0 DDADMM Cc1cc(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)cnc1F ZINC000857718242 704616754 /nfs/dbraw/zinc/61/67/54/704616754.db2.gz KKASVXSXJIIHQB-UHFFFAOYSA-N -1 1 306.297 1.638 20 0 DDADMM C[C@]1(c2ccccc2)C[C@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000866872274 706830939 /nfs/dbraw/zinc/83/09/39/706830939.db2.gz KHKUJWBRNHYACS-VXGBXAGGSA-N -1 1 302.421 1.279 20 0 DDADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCn2cncc21 ZINC000857986476 704656570 /nfs/dbraw/zinc/65/65/70/704656570.db2.gz VRTQIUJPDOMVMN-JTQLQIEISA-N -1 1 315.377 1.859 20 0 DDADMM C[C@@H]1CC[C@H](C[N-]S(=O)(=O)c2nc[nH]c2Br)C1 ZINC000867214908 706927463 /nfs/dbraw/zinc/92/74/63/706927463.db2.gz KOBUGKUIZDPFOH-SFYZADRCSA-N -1 1 322.228 1.887 20 0 DDADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCCc3nn(C)cc32)sn1 ZINC000867236458 706933956 /nfs/dbraw/zinc/93/39/56/706933956.db2.gz OYZSYSWESDDGMQ-NSHDSACASA-N -1 1 312.420 1.541 20 0 DDADMM O=c1nc(N2CC[C@H](C(F)(F)F)[C@@H](CO)C2)cc(Cl)[n-]1 ZINC000858544012 704724932 /nfs/dbraw/zinc/72/49/32/704724932.db2.gz PMCSQKLEZAYNSJ-RQJHMYQMSA-N -1 1 311.691 1.833 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CC1(COC)CCCC1 ZINC000867373696 706978937 /nfs/dbraw/zinc/97/89/37/706978937.db2.gz ZHYMNLUHVBGARR-SFHVURJKSA-N -1 1 312.457 1.145 20 0 DDADMM Cc1noc(C)c1CC(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822519896 704966928 /nfs/dbraw/zinc/96/69/28/704966928.db2.gz NRTGDGXCVHBINM-UHFFFAOYSA-N -1 1 305.323 1.109 20 0 DDADMM Cc1noc(C)c1CC(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822519896 704966931 /nfs/dbraw/zinc/96/69/31/704966931.db2.gz NRTGDGXCVHBINM-UHFFFAOYSA-N -1 1 305.323 1.109 20 0 DDADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cc(Cl)cnc2Cl)CO1 ZINC000867453972 707006290 /nfs/dbraw/zinc/00/62/90/707006290.db2.gz SPTITOGWUVCSAS-HTRCEHHLSA-N -1 1 311.190 1.844 20 0 DDADMM CC[C@H]([N-]S(=O)(=O)c1ccc(F)nc1F)[C@@H]1CCCCO1 ZINC000867487807 707016518 /nfs/dbraw/zinc/01/65/18/707016518.db2.gz TULKRACQTFAXSX-UWVGGRQHSA-N -1 1 320.361 1.986 20 0 DDADMM O=C(c1sccc1C(F)F)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000874444368 705067874 /nfs/dbraw/zinc/06/78/74/705067874.db2.gz IXODQFBWEPIVLW-ZETCQYMHSA-N -1 1 315.305 1.413 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)NC[C@@H]3CCOC3(C)C)ccnc1-2 ZINC000823057666 705126598 /nfs/dbraw/zinc/12/65/98/705126598.db2.gz GOGXYRVDGKGMSE-JTQLQIEISA-N -1 1 303.366 1.278 20 0 DDADMM CC[C@@H]1COCCN1CCNC(=O)c1c(F)ccc([O-])c1F ZINC000874706010 705139155 /nfs/dbraw/zinc/13/91/55/705139155.db2.gz JQNASXWIUUBZCU-SNVBAGLBSA-N -1 1 314.332 1.511 20 0 DDADMM Cn1nc(C(=O)OC(C)(C)C)cc1C=C1SC(=O)[N-]C1=O ZINC000874929627 705230624 /nfs/dbraw/zinc/23/06/24/705230624.db2.gz JZTYJHBTHXXCOY-TWGQIWQCSA-N -1 1 309.347 1.699 20 0 DDADMM CN(C)[C@@H](CNC(=O)N[C@H]1C[C@H](C(=O)[O-])C1)c1cccs1 ZINC000871597791 707134038 /nfs/dbraw/zinc/13/40/38/707134038.db2.gz ZYIMIAPGPAZUFE-DCAQKATOSA-N -1 1 311.407 1.513 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3C[C@H]3C3CCOCC3)ccnc1-2 ZINC000875633493 705457335 /nfs/dbraw/zinc/45/73/35/705457335.db2.gz PBVUBRAIYMTPHT-NWDGAFQWSA-N -1 1 300.362 1.343 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3C[C@@H]3[C@@H]3C[C@@H]3C)nc2n1 ZINC000875838783 705531975 /nfs/dbraw/zinc/53/19/75/705531975.db2.gz VZILKINPVZIXKI-AYHFEMFVSA-N -1 1 301.350 1.211 20 0 DDADMM CC[C@]1(C)CCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825164723 705614042 /nfs/dbraw/zinc/61/40/42/705614042.db2.gz HRHVYNMARWWYFK-CQSZACIVSA-N -1 1 304.354 1.354 20 0 DDADMM CC[C@]1(C)CCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825164723 705614047 /nfs/dbraw/zinc/61/40/47/705614047.db2.gz HRHVYNMARWWYFK-CQSZACIVSA-N -1 1 304.354 1.354 20 0 DDADMM O=C(CCCc1nn[n-]n1)Nc1cc(F)c(F)c(F)c1F ZINC000825368896 705656704 /nfs/dbraw/zinc/65/67/04/705656704.db2.gz NBFQUVKKNXQXSV-UHFFFAOYSA-N -1 1 303.219 1.718 20 0 DDADMM COCCCN(CCO)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC000876395885 705707907 /nfs/dbraw/zinc/70/79/07/705707907.db2.gz RCFMVKWSFHUNJW-UHFFFAOYSA-N -1 1 316.785 1.586 20 0 DDADMM CC1(C)CCC(C)(C)N(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000862588020 705740345 /nfs/dbraw/zinc/74/03/45/705740345.db2.gz XFHDVQBUCGWXDR-UHFFFAOYSA-N -1 1 307.394 1.354 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(C)cc(F)c2)c1-c1nnn[n-]1 ZINC000826268008 705785852 /nfs/dbraw/zinc/78/58/52/705785852.db2.gz GJGIUDMUZQUAIR-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(C)cc(F)c2)c1-c1nn[n-]n1 ZINC000826268008 705785854 /nfs/dbraw/zinc/78/58/54/705785854.db2.gz GJGIUDMUZQUAIR-UHFFFAOYSA-N -1 1 316.296 1.792 20 0 DDADMM Cc1nc(CN(C)c2snc(Cl)c2-c2nnn[n-]2)no1 ZINC000826325862 705790764 /nfs/dbraw/zinc/79/07/64/705790764.db2.gz OPBLSQYTTSTACN-UHFFFAOYSA-N -1 1 312.746 1.304 20 0 DDADMM Cc1nc(CN(C)c2snc(Cl)c2-c2nn[n-]n2)no1 ZINC000826325862 705790767 /nfs/dbraw/zinc/79/07/67/705790767.db2.gz OPBLSQYTTSTACN-UHFFFAOYSA-N -1 1 312.746 1.304 20 0 DDADMM Cc1onc(CC(=O)N[C@@H](C)C2CCCC2)c1-c1nnn[n-]1 ZINC000826345348 705793696 /nfs/dbraw/zinc/79/36/96/705793696.db2.gz JRTAIJACLSKEMT-QMMMGPOBSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@@H](C)C2CCCC2)c1-c1nn[n-]n1 ZINC000826345348 705793697 /nfs/dbraw/zinc/79/36/97/705793697.db2.gz JRTAIJACLSKEMT-QMMMGPOBSA-N -1 1 304.354 1.401 20 0 DDADMM Cc1onc(CC(=O)N[C@H](C)C2CCCCC2)c1-c1nnn[n-]1 ZINC000826345165 705793705 /nfs/dbraw/zinc/79/37/05/705793705.db2.gz DQXVUQCLEFYWHD-SECBINFHSA-N -1 1 318.381 1.791 20 0 DDADMM Cc1onc(CC(=O)N[C@H](C)C2CCCCC2)c1-c1nn[n-]n1 ZINC000826345165 705793707 /nfs/dbraw/zinc/79/37/07/705793707.db2.gz DQXVUQCLEFYWHD-SECBINFHSA-N -1 1 318.381 1.791 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@H](C)[C@@H]2C)c1-c1nnn[n-]1 ZINC000826346046 705793734 /nfs/dbraw/zinc/79/37/34/705793734.db2.gz BILMFZPXMAUATA-ATZCPNFKSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@H](C)[C@@H]2C)c1-c1nn[n-]n1 ZINC000826346046 705793736 /nfs/dbraw/zinc/79/37/36/705793736.db2.gz BILMFZPXMAUATA-ATZCPNFKSA-N -1 1 318.381 1.647 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(C(C)C)[nH]n2)c1-c1nnn[n-]1 ZINC000826348230 705794847 /nfs/dbraw/zinc/79/48/47/705794847.db2.gz BYOWBDRKSUAMAR-UHFFFAOYSA-N -1 1 316.325 1.191 20 0 DDADMM Cc1onc(CC(=O)Nc2cc(C(C)C)[nH]n2)c1-c1nn[n-]n1 ZINC000826348230 705794849 /nfs/dbraw/zinc/79/48/49/705794849.db2.gz BYOWBDRKSUAMAR-UHFFFAOYSA-N -1 1 316.325 1.191 20 0 DDADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(CF)cc2)CC1 ZINC000864160126 706084698 /nfs/dbraw/zinc/08/46/98/706084698.db2.gz RPUAIPJNRIQQAS-GFCCVEGCSA-N -1 1 308.353 1.777 20 0 DDADMM CCc1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc(Cl)n1 ZINC000827960824 706094405 /nfs/dbraw/zinc/09/44/05/706094405.db2.gz IACNVYYIZUPUJC-JTQLQIEISA-N -1 1 322.756 1.024 20 0 DDADMM CC[C@H](C)C[C@H](CO)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000828075691 706114120 /nfs/dbraw/zinc/11/41/20/706114120.db2.gz HSHOMQIXVCWOHW-DTWKUNHWSA-N -1 1 309.819 1.149 20 0 DDADMM O=C(NC1CC1)c1ccc(I)cc1[O-] ZINC000864427453 706166060 /nfs/dbraw/zinc/16/60/60/706166060.db2.gz DVCQXDBHOIDYTK-UHFFFAOYSA-N -1 1 303.099 1.889 20 0 DDADMM Cc1nc(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)[nH]c1C ZINC000877773701 706204720 /nfs/dbraw/zinc/20/47/20/706204720.db2.gz VJDKPWRENWJCND-GFCCVEGCSA-N -1 1 304.316 1.669 20 0 DDADMM CO[C@H](C)CC[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872446046 707414969 /nfs/dbraw/zinc/41/49/69/707414969.db2.gz XNEYDRKUZDVQJJ-XLFHBGCDSA-N -1 1 300.446 1.142 20 0 DDADMM Cc1cc(NC(=O)CCc2nn[n-]n2)ccc1N1CCCC1 ZINC000864669151 706229692 /nfs/dbraw/zinc/22/96/92/706229692.db2.gz FCJLPOOCKUAKCH-UHFFFAOYSA-N -1 1 300.366 1.680 20 0 DDADMM COC/C=C/C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000864753650 706250805 /nfs/dbraw/zinc/25/08/05/706250805.db2.gz ZJHYNPZDRXYLHA-SNAWJCMRSA-N -1 1 314.363 1.208 20 0 DDADMM CCS(=O)(=O)CCNC(=O)Cc1ccc([O-])c(Cl)c1 ZINC000865144258 706362675 /nfs/dbraw/zinc/36/26/75/706362675.db2.gz XRUUILBWFDIUDW-UHFFFAOYSA-N -1 1 305.783 1.139 20 0 DDADMM CC(=O)NCCN(C)Cc1cc(=O)oc2cc([O-])c(Cl)cc12 ZINC000830148184 706464484 /nfs/dbraw/zinc/46/44/84/706464484.db2.gz KIGDLZWTQIZWTH-UHFFFAOYSA-N -1 1 324.764 1.720 20 0 DDADMM CC[C@@H]([N-]S(=O)(=O)c1cccnc1F)[C@@H](O)C(F)(F)F ZINC000882029459 707472367 /nfs/dbraw/zinc/47/23/67/707472367.db2.gz IJGHTBRUEBARDW-HTRCEHHLSA-N -1 1 316.276 1.201 20 0 DDADMM CC(C)OC(=O)C[N-]S(=O)(=O)Cc1c(F)cccc1Cl ZINC000830395783 706508665 /nfs/dbraw/zinc/50/86/65/706508665.db2.gz XIPISJMIMNWXKI-UHFFFAOYSA-N -1 1 323.773 1.850 20 0 DDADMM Cc1nsc([N-]C(=O)c2ccc(CN3CCOCC3)o2)n1 ZINC000879011534 706561635 /nfs/dbraw/zinc/56/16/35/706561635.db2.gz UNERODFOPZSNAS-UHFFFAOYSA-N -1 1 308.363 1.524 20 0 DDADMM Cc1c(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)ccn1C ZINC000830801087 706582320 /nfs/dbraw/zinc/58/23/20/706582320.db2.gz GSTKOASLFKDTGN-CYBMUJFWSA-N -1 1 317.311 1.617 20 0 DDADMM CCC1(C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)COC1 ZINC000830816015 706585088 /nfs/dbraw/zinc/58/50/88/706585088.db2.gz IQKBBRXXESYPGY-LLVKDONJSA-N -1 1 308.300 1.083 20 0 DDADMM Cc1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)ccc1N ZINC000832054484 706833852 /nfs/dbraw/zinc/83/38/52/706833852.db2.gz NORGKSKSRNXNQB-NSHDSACASA-N -1 1 302.334 1.686 20 0 DDADMM C[S@](=N)(=O)N1CCN(C(=O)c2ccc(Cl)cc2[O-])CC1 ZINC000832201471 706869046 /nfs/dbraw/zinc/86/90/46/706869046.db2.gz IAPQWPKUWGACII-HXUWFJFHSA-N -1 1 317.798 1.395 20 0 DDADMM CO[C@H]1CCn2cc(C(=O)Nc3ccc(F)cc3[O-])nc2C1 ZINC000867006935 706870210 /nfs/dbraw/zinc/87/02/10/706870210.db2.gz QYUVLZLYZJQXHC-JTQLQIEISA-N -1 1 305.309 1.941 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCCCS1 ZINC000867089795 706892870 /nfs/dbraw/zinc/89/28/70/706892870.db2.gz LKWHQUBCEQSUKP-ABKXIKBNSA-N -1 1 300.471 1.224 20 0 DDADMM O=C(NC[C@@H]1CCC2(CCOCC2)O1)C(=O)c1ccc([O-])cc1 ZINC000832403549 706905907 /nfs/dbraw/zinc/90/59/07/706905907.db2.gz VQUZHFPXDADMRC-AWEZNQCLSA-N -1 1 319.357 1.419 20 0 DDADMM COc1ccc(F)c(OC)c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000867380231 706981580 /nfs/dbraw/zinc/98/15/80/706981580.db2.gz DDASGUSYBBJSPA-QMMMGPOBSA-N -1 1 323.328 1.232 20 0 DDADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cc(C)nc(C)c1 ZINC000867420317 706996271 /nfs/dbraw/zinc/99/62/71/706996271.db2.gz TYPFOBOGVQFKRY-GOSISDBHSA-N -1 1 305.425 1.151 20 0 DDADMM O=C([O-])[C@H](c1cccc(Cl)c1)N1CCN(CCCO)CC1 ZINC000833172870 707001719 /nfs/dbraw/zinc/00/17/19/707001719.db2.gz LKMLUDOAOCCTJY-AWEZNQCLSA-N -1 1 312.797 1.466 20 0 DDADMM CC1(C)[C@@H](c2ccccc2)[C@@H]1[N-]S(=O)(=O)N=S(C)(C)=O ZINC000867556230 707038211 /nfs/dbraw/zinc/03/82/11/707038211.db2.gz ZYAJZOCHYAMPSH-RYUDHWBXSA-N -1 1 316.448 1.741 20 0 DDADMM CSc1nc(CNC(=O)CCOCC(F)F)cc(=O)[n-]1 ZINC000880651073 707048424 /nfs/dbraw/zinc/04/84/24/707048424.db2.gz FHCKZKMZMXSJEM-UHFFFAOYSA-N -1 1 307.322 1.192 20 0 DDADMM CC[C@H](NC(=O)c1c(C)nc(C(C)C)[n-]c1=O)C(OC)OC ZINC000834419019 707050019 /nfs/dbraw/zinc/05/00/19/707050019.db2.gz NXNRRCFYYSEPKU-JTQLQIEISA-N -1 1 311.382 1.741 20 0 DDADMM O=C(NCCC[C@H]1CCOC1=O)c1ccc2n[n-]c(=S)n2c1 ZINC000834478359 707063256 /nfs/dbraw/zinc/06/32/56/707063256.db2.gz ZDVZYMSVCHWIMV-VIFPVBQESA-N -1 1 320.374 1.091 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCC2(CNC(=O)O2)CC1 ZINC000867653989 707063847 /nfs/dbraw/zinc/06/38/47/707063847.db2.gz QBHHWIZKNWDOMC-UHFFFAOYSA-N -1 1 324.764 1.689 20 0 DDADMM COc1ccc(CCC(=O)[N-]OCC(=O)N[C@H](C)C(C)C)cc1 ZINC000871800018 707209089 /nfs/dbraw/zinc/20/90/89/707209089.db2.gz XUMPVHQWKHJLEL-CYBMUJFWSA-N -1 1 322.405 1.836 20 0 DDADMM O=C([O-])c1cccc(CNC(=O)Cc2n[nH]c3c2CCCC3)c1 ZINC000909116789 712939523 /nfs/dbraw/zinc/93/95/23/712939523.db2.gz YCTRTWPQLWIMQZ-UHFFFAOYSA-N -1 1 313.357 1.846 20 0 DDADMM CC[C@@H](CCO)C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872461025 707424465 /nfs/dbraw/zinc/42/44/65/707424465.db2.gz NIMCASPKBKAJTE-YPMLDQLKSA-N -1 1 314.473 1.126 20 0 DDADMM O=C(NC1(CF)CCOCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000836794972 707516536 /nfs/dbraw/zinc/51/65/36/707516536.db2.gz SFDCLEFIEUJXCD-UHFFFAOYSA-N -1 1 310.354 1.267 20 0 DDADMM CC1(C)C[C@@](C)([N-]S(=O)(=O)CCC(F)(F)F)C(=O)O1 ZINC000882223349 707546167 /nfs/dbraw/zinc/54/61/67/707546167.db2.gz KECOWVRQDHQOSJ-SECBINFHSA-N -1 1 303.302 1.342 20 0 DDADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)C(=O)OC(C)C ZINC000837028605 707567703 /nfs/dbraw/zinc/56/77/03/707567703.db2.gz YTGILHROPOADLL-JTQLQIEISA-N -1 1 309.366 1.684 20 0 DDADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)c1cccnc1F)C(=O)OC ZINC000882298213 707575074 /nfs/dbraw/zinc/57/50/74/707575074.db2.gz DXBQXBQSCRPCSE-UWVGGRQHSA-N -1 1 318.370 1.477 20 0 DDADMM CC(C)OC1(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CCC1 ZINC000872736130 707578465 /nfs/dbraw/zinc/57/84/65/707578465.db2.gz KPSLHWZEACOIEK-UHFFFAOYSA-N -1 1 312.410 1.342 20 0 DDADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CCC[C@H](C)C1 ZINC000837155147 707586341 /nfs/dbraw/zinc/58/63/41/707586341.db2.gz KCMIXIJYLYPUAO-RWMBFGLXSA-N -1 1 307.394 1.258 20 0 DDADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]c1cccc(Cl)c1 ZINC000882659882 707736271 /nfs/dbraw/zinc/73/62/71/707736271.db2.gz GSKKRWLKCMYGLE-KRWDZBQOSA-N -1 1 311.816 1.571 20 0 DDADMM O=C(OCc1cc(=O)oc2cc([O-])ccc12)[C@H](O)C1CCC1 ZINC000838309267 707895814 /nfs/dbraw/zinc/89/58/14/707895814.db2.gz LUXDDXPLRGATTR-OAHLLOKOSA-N -1 1 304.298 1.703 20 0 DDADMM C[C@@H](C(=O)N[C@@H](CC(=O)[O-])c1ccc(F)cc1F)N(C)C ZINC000909341030 712995635 /nfs/dbraw/zinc/99/56/35/712995635.db2.gz FMYQWGOILROJPB-UFBFGSQYSA-N -1 1 300.305 1.547 20 0 DDADMM C[C@H](C(=O)N[C@]1(C(=O)[O-])CCSC1)N1CCCCCC1 ZINC000909353132 712998634 /nfs/dbraw/zinc/99/86/34/712998634.db2.gz VAIQLLRCJDZQED-BXUZGUMPSA-N -1 1 300.424 1.327 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@]3(C(=O)[O-])CCSC3)c2C1 ZINC000909354728 712998709 /nfs/dbraw/zinc/99/87/09/712998709.db2.gz ZQNCGBCYWIVSGC-XLKFXECMSA-N -1 1 309.391 1.225 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@@]3(C(=O)[O-])CCSC3)c2C1 ZINC000909354725 712998886 /nfs/dbraw/zinc/99/88/86/712998886.db2.gz ZQNCGBCYWIVSGC-CLAHSXSESA-N -1 1 309.391 1.225 20 0 DDADMM C[C@]1(C(=O)Nc2ccc([O-])c(F)c2F)CCCS1(=O)=O ZINC000909372941 713002767 /nfs/dbraw/zinc/00/27/67/713002767.db2.gz RBKZYDDGBQEMMX-GFCCVEGCSA-N -1 1 305.302 1.576 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NC1CC(CCO)C1 ZINC000896754328 708142224 /nfs/dbraw/zinc/14/22/24/708142224.db2.gz IHSZFJWEPVUALM-UHFFFAOYSA-N -1 1 314.332 1.673 20 0 DDADMM CC(C)O[C@@]1(C[N-]S(=O)(=O)c2ccns2)CCOC1 ZINC000921460438 713777311 /nfs/dbraw/zinc/77/73/11/713777311.db2.gz UPDLVKQZUYAZPF-LLVKDONJSA-N -1 1 306.409 1.006 20 0 DDADMM CN1CCC[C@@H]1C(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)[O-] ZINC000909443765 713019528 /nfs/dbraw/zinc/01/95/28/713019528.db2.gz SUYAUDBUCDYLDL-LSDHHAIUSA-N -1 1 315.373 1.374 20 0 DDADMM C[C@H](CN(C(=O)c1ccc(-c2nnc[nH]2)cc1)C1CC1)C(=O)[O-] ZINC000909455902 713022666 /nfs/dbraw/zinc/02/26/66/713022666.db2.gz LBRANOYWSNWXFQ-SNVBAGLBSA-N -1 1 314.345 1.797 20 0 DDADMM C[C@@H]1OCC[C@]1(O)CNC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000897604339 708390239 /nfs/dbraw/zinc/39/02/39/708390239.db2.gz MUZDWLPXDMDYQZ-BTDLBPIBSA-N -1 1 317.341 1.521 20 0 DDADMM O=S(=O)([N-]C[C@H](CO)CC1CCCC1)c1ccns1 ZINC000885166270 708483932 /nfs/dbraw/zinc/48/39/32/708483932.db2.gz BQEUSNHIJTYDLV-LLVKDONJSA-N -1 1 304.437 1.610 20 0 DDADMM COC(=O)C(C)(C)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC000885402050 708536852 /nfs/dbraw/zinc/53/68/52/708536852.db2.gz QLPMVGKENJOBPM-UHFFFAOYSA-N -1 1 311.306 1.695 20 0 DDADMM O=C([N-][C@@H]([C@@H]1CCOC1)C(F)(F)F)C(F)(F)C1(O)CCC1 ZINC000885538672 708570702 /nfs/dbraw/zinc/57/07/02/708570702.db2.gz WDMIWACEGVTSRK-SFYZADRCSA-N -1 1 317.254 1.620 20 0 DDADMM O=C(c1c(F)ccc([O-])c1F)N1CC[C@@H](c2cc(=O)[nH][nH]2)C1 ZINC000898222131 708578496 /nfs/dbraw/zinc/57/84/96/708578496.db2.gz SWZRDKNFVBJTDI-SSDOTTSWSA-N -1 1 309.272 1.729 20 0 DDADMM CC(=O)N1CCO[C@@H](C(=O)Nc2cc(F)c([O-])cc2Cl)C1 ZINC000885682939 708596863 /nfs/dbraw/zinc/59/68/63/708596863.db2.gz XXRHCCAXBZWFCD-GFCCVEGCSA-N -1 1 316.716 1.371 20 0 DDADMM O=C(NCc1nc2c(s1)COCC2)c1cc(F)ccc1[O-] ZINC000885976063 708674857 /nfs/dbraw/zinc/67/48/57/708674857.db2.gz FBYBLCLPODDXOG-UHFFFAOYSA-N -1 1 308.334 1.991 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)c1cc2n(n1)CCO2 ZINC000886268616 708733300 /nfs/dbraw/zinc/73/33/00/708733300.db2.gz WNYZVUYEIGBSNY-UHFFFAOYSA-N -1 1 309.272 1.232 20 0 DDADMM C[C@@H]1CCN(C[C@@](C)(O)C(F)F)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000886288772 708735963 /nfs/dbraw/zinc/73/59/63/708735963.db2.gz HDJHNKRJYUJDIC-VHSKPIJISA-N -1 1 318.286 1.391 20 0 DDADMM COC(=O)[C@]12C[C@H]1CCCN2C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000886354961 708748261 /nfs/dbraw/zinc/74/82/61/708748261.db2.gz ZWBSYFOCUYYOOP-HWPZZCPQSA-N -1 1 317.345 1.227 20 0 DDADMM CC[C@@H](CCO)CNC(=O)NCCc1c(F)cc([O-])cc1F ZINC000927786672 713055838 /nfs/dbraw/zinc/05/58/38/713055838.db2.gz YMIGJNCEPBBZOT-JTQLQIEISA-N -1 1 316.348 1.921 20 0 DDADMM CS(=O)(=O)C1CCC(C(=O)[N-]Oc2ccc(F)cc2)CC1 ZINC000898576153 708794972 /nfs/dbraw/zinc/79/49/72/708794972.db2.gz JZPYHTXZQIBQLT-UHFFFAOYSA-N -1 1 315.366 1.839 20 0 DDADMM CCCc1ccc(C(=O)CCC(=O)N(C)c2nn[n-]n2)cc1 ZINC000912618297 713052541 /nfs/dbraw/zinc/05/25/41/713052541.db2.gz OPLGYZUKAIKPAC-UHFFFAOYSA-N -1 1 301.350 1.778 20 0 DDADMM Cc1cccc(C2(C(=O)NCc3nc([O-])cc(=O)[nH]3)CCC2)c1 ZINC000898756467 708856534 /nfs/dbraw/zinc/85/65/34/708856534.db2.gz JJSMEQKIDWIVSQ-UHFFFAOYSA-N -1 1 313.357 1.934 20 0 DDADMM C[C@]1(CO)C[C@H](O)CN1C(=O)c1cc2ccccc2cc1[O-] ZINC000912671687 713066019 /nfs/dbraw/zinc/06/60/19/713066019.db2.gz QLQJBLXHMBLYSH-SUMWQHHRSA-N -1 1 301.342 1.503 20 0 DDADMM O=C(C[N-]C(=O)C(F)(F)C1(O)CCC1)c1cc(F)cc(F)c1 ZINC000887269717 709003315 /nfs/dbraw/zinc/00/33/15/709003315.db2.gz ZLLDZLXVTMFOTN-UHFFFAOYSA-N -1 1 319.254 1.814 20 0 DDADMM CN1CCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])[C@H](CO)C1 ZINC000912740120 713080039 /nfs/dbraw/zinc/08/00/39/713080039.db2.gz PEYGCVVVRYHERW-JTQLQIEISA-N -1 1 318.295 1.160 20 0 DDADMM O=c1c2c(F)ccc([O-])c2cnn1C1=N[C@@H]2CCCC[C@H]2N1 ZINC000899358375 709066766 /nfs/dbraw/zinc/06/67/66/709066766.db2.gz WVTCADCYTVWVQC-GHMZBOCLSA-N -1 1 302.309 1.360 20 0 DDADMM CSCc1n[nH]c(CNC(=O)c2ccc([O-])c(Cl)c2)n1 ZINC000899478266 709093662 /nfs/dbraw/zinc/09/36/62/709093662.db2.gz VRHHSQFVASFUAC-UHFFFAOYSA-N -1 1 312.782 1.957 20 0 DDADMM CSCc1nnc(CNC(=O)c2ccc([O-])c(Cl)c2)[nH]1 ZINC000899478266 709093663 /nfs/dbraw/zinc/09/36/63/709093663.db2.gz VRHHSQFVASFUAC-UHFFFAOYSA-N -1 1 312.782 1.957 20 0 DDADMM CC(C)(C)OC(=O)N1CC[C@@](O)(C[N-]C(=O)C(F)(F)F)C1 ZINC000888124956 709219338 /nfs/dbraw/zinc/21/93/38/709219338.db2.gz PYMJXTXTGAUNKM-LLVKDONJSA-N -1 1 312.288 1.037 20 0 DDADMM CCC[C@H](C)N1C[C@H](C(=O)[N-]OCc2ccccn2)CC1=O ZINC000909525539 709501268 /nfs/dbraw/zinc/50/12/68/709501268.db2.gz GFMNWEWIXVRVCE-QWHCGFSZSA-N -1 1 305.378 1.667 20 0 DDADMM CCn1ccc(C(=O)N[C@@H](CCSC)c2nn[n-]n2)c1C ZINC000912858210 713108995 /nfs/dbraw/zinc/10/89/95/713108995.db2.gz GSNOVBRBOBNQHZ-NSHDSACASA-N -1 1 308.411 1.554 20 0 DDADMM CSCC[C@H](NC(=O)C=Cc1cncc(F)c1)c1nn[n-]n1 ZINC000912860746 713109975 /nfs/dbraw/zinc/10/99/75/713109975.db2.gz WWORFLXGSPTUCZ-QUCGXOGASA-N -1 1 322.369 1.358 20 0 DDADMM CSCC[C@H](NC(=O)C1(c2cccnc2)CC1)c1nn[n-]n1 ZINC000912862837 713110855 /nfs/dbraw/zinc/11/08/55/713110855.db2.gz WXARHJMQEYKDJV-NSHDSACASA-N -1 1 318.406 1.237 20 0 DDADMM CSCC[C@H](NC(=O)C1(Br)CC1)c1nn[n-]n1 ZINC000912863260 713111431 /nfs/dbraw/zinc/11/14/31/713111431.db2.gz QRIUIOHETALITB-LURJTMIESA-N -1 1 320.216 1.038 20 0 DDADMM CN(CC(=O)N1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)[O-])[C@@H]1CCSC1 ZINC000909676304 709570910 /nfs/dbraw/zinc/57/09/10/709570910.db2.gz CKIWFXILNGTJGM-KZVDOYCCSA-N -1 1 312.435 1.135 20 0 DDADMM COC[C@]1(C(=O)[O-])CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000909709756 709590648 /nfs/dbraw/zinc/59/06/48/709590648.db2.gz AGRHFYTWZFBJKR-ZBEGNZNMSA-N -1 1 321.377 1.169 20 0 DDADMM CC(C)C[C@H](CNC(=O)c1ccc2c(n1)CNCC2)CC(=O)[O-] ZINC000900465174 709608171 /nfs/dbraw/zinc/60/81/71/709608171.db2.gz ILPUTUPHQMJNMM-LBPRGKRZSA-N -1 1 319.405 1.594 20 0 DDADMM CCn1cnc(C(=O)N2CCC[C@@H]2c2ncc(OC)c(=O)[n-]2)c1 ZINC000889785941 709635138 /nfs/dbraw/zinc/63/51/38/709635138.db2.gz JPGSYXZKOORQSE-LLVKDONJSA-N -1 1 317.349 1.385 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)C[C@@H](C)SC)[n-]c1=O ZINC000889790808 709637536 /nfs/dbraw/zinc/63/75/36/709637536.db2.gz VAPSGTGQICBRBD-ZJUUUORDSA-N -1 1 311.407 1.996 20 0 DDADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2CCCS2)[n-]c1=O ZINC000889792470 709638229 /nfs/dbraw/zinc/63/82/29/709638229.db2.gz ZNSVTDCCYHZYMY-GXSJLCMTSA-N -1 1 309.391 1.750 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)CSC(C)C)[n-]c1=O ZINC000889794743 709639247 /nfs/dbraw/zinc/63/92/47/709639247.db2.gz PJEZGGAOAXDLSU-SNVBAGLBSA-N -1 1 311.407 1.996 20 0 DDADMM COc1cnc([C@H]2CCCN2C(=O)c2cc(C)nn2C)[n-]c1=O ZINC000889794715 709639558 /nfs/dbraw/zinc/63/95/58/709639558.db2.gz ONQJBUWKHGPURH-SNVBAGLBSA-N -1 1 317.349 1.210 20 0 DDADMM CN(C)Cc1ccc(C(=O)NCc2ccc(C(=O)[O-])s2)cn1 ZINC000909849068 709651060 /nfs/dbraw/zinc/65/10/60/709651060.db2.gz XYCBCQRNGSZLIU-UHFFFAOYSA-N -1 1 319.386 1.833 20 0 DDADMM CC[C@H](C)C[C@H](NC(=O)c1ccc(CN(C)C)nc1)C(=O)[O-] ZINC000909869263 709661733 /nfs/dbraw/zinc/66/17/33/709661733.db2.gz XETSAROSPXWNSR-FZMZJTMJSA-N -1 1 307.394 1.762 20 0 DDADMM CC(C)C[C@H](CNC(=O)[C@@H]1CCc2[nH]cnc2C1)CC(=O)[O-] ZINC000909875521 709664398 /nfs/dbraw/zinc/66/43/98/709664398.db2.gz PZYSKZZPXXTXQE-NWDGAFQWSA-N -1 1 307.394 1.768 20 0 DDADMM C[C@@H]1CN(C(=O)c2cc(C3CCCCC3)[nH]n2)C[C@H](C(=O)[O-])O1 ZINC000909894830 709675093 /nfs/dbraw/zinc/67/50/93/709675093.db2.gz GAZAOUWOJDXIMB-QMTHXVAHSA-N -1 1 321.377 1.772 20 0 DDADMM C[C@H]1COC2(CCCC2)CN1C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000909987857 709722296 /nfs/dbraw/zinc/72/22/96/709722296.db2.gz LXEYYCRJIQIIKT-KBPBESRZSA-N -1 1 324.421 1.343 20 0 DDADMM Cn1cc([C@@]2(CNC(=O)c3ccc([O-])c(F)c3)CCCO2)cn1 ZINC000890150842 709769159 /nfs/dbraw/zinc/76/91/59/709769159.db2.gz PFILIHIBILPZCK-INIZCTEOSA-N -1 1 319.336 1.701 20 0 DDADMM O=C([O-])[C@H]1CC[C@@H](c2ccccc2)N1C(=O)Cc1ncn[nH]1 ZINC000910096761 709770766 /nfs/dbraw/zinc/77/07/66/709770766.db2.gz AOZPXLXVLZMPTK-NWDGAFQWSA-N -1 1 300.318 1.164 20 0 DDADMM O=C([O-])[C@]12CN(C(=O)[C@@H]3C[C@H]3c3cnc[nH]3)C[C@H]1CCCC2 ZINC000910166777 709796193 /nfs/dbraw/zinc/79/61/93/709796193.db2.gz QCMBVIJLUDPXEW-DSZLRUIBSA-N -1 1 303.362 1.617 20 0 DDADMM CC(C)(C(=O)[O-])N1CCN(C(=O)[C@]23CCO[C@@H]2CCCC3)CC1 ZINC000910181481 709800320 /nfs/dbraw/zinc/80/03/20/709800320.db2.gz UDTABBUFXKOKMU-CXAGYDPISA-N -1 1 324.421 1.343 20 0 DDADMM Cn1[n-]cc2c(=NC(=O)[C@@H]3CCOC4(CCC4)C3)ccnc1-2 ZINC000890283030 709810231 /nfs/dbraw/zinc/81/02/31/709810231.db2.gz CEFUOAOGZRWYJB-LLVKDONJSA-N -1 1 300.362 1.630 20 0 DDADMM O=C([O-])c1cnc(C2CCN(C(=O)c3ccn[nH]3)CC2)s1 ZINC000910210352 709816399 /nfs/dbraw/zinc/81/63/99/709816399.db2.gz VLLJSXUIAXQHDV-UHFFFAOYSA-N -1 1 306.347 1.584 20 0 DDADMM O=C([O-])[C@@H]1CCN(C(=O)CCCCc2cn[nH]n2)[C@@H]2CCC[C@H]21 ZINC000910246949 709838234 /nfs/dbraw/zinc/83/82/34/709838234.db2.gz PGVCQAGOWRCWLA-BFHYXJOUSA-N -1 1 320.393 1.619 20 0 DDADMM CC1CCN(CC(=O)N2CC[C@H](C(=O)[O-])[C@H]3CCC[C@@H]32)CC1 ZINC000910249287 709840287 /nfs/dbraw/zinc/84/02/87/709840287.db2.gz XHPRWPNRKTZTSU-ILXRZTDVSA-N -1 1 308.422 1.820 20 0 DDADMM CO[C@H]1CN(C(=O)c2ccc(O)c(Cl)c2)[C@@](C)(C(=O)[O-])C1 ZINC000910260848 709845343 /nfs/dbraw/zinc/84/53/43/709845343.db2.gz AODKUOLCBQSQTM-YMTOWFKASA-N -1 1 313.737 1.750 20 0 DDADMM CO[C@H]1CN(C(=O)c2ccc3cncn3c2)[C@](C)(C(=O)[O-])C1 ZINC000910264127 709848199 /nfs/dbraw/zinc/84/81/99/709848199.db2.gz NQKFXOAXJGRVNB-DOMZBBRYSA-N -1 1 303.318 1.039 20 0 DDADMM O=C([O-])C1CC(NC(=O)c2ccc(CN3CCCCC3)o2)C1 ZINC000910337602 709900284 /nfs/dbraw/zinc/90/02/84/709900284.db2.gz HKGAGDNHBSFTFE-UHFFFAOYSA-N -1 1 306.362 1.859 20 0 DDADMM O=C([O-])[C@]12C[C@H]1C[C@H](NC(=O)c1[nH]nc3c1CCCCC3)C2 ZINC000910339888 709901397 /nfs/dbraw/zinc/90/13/97/709901397.db2.gz IZFFEANVCPVSBG-LSYCYVAJSA-N -1 1 303.362 1.662 20 0 DDADMM O=C(N[C@H]1CCN(c2cc(=O)[nH]cn2)C1)c1ccc([O-])cc1F ZINC000890531387 709907304 /nfs/dbraw/zinc/90/73/04/709907304.db2.gz QSZQWVVBYLWBKB-VIFPVBQESA-N -1 1 318.308 1.036 20 0 DDADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CC(F)(F)C[C@H]2C(=O)[O-])C1 ZINC000910434861 709939592 /nfs/dbraw/zinc/93/95/92/709939592.db2.gz GIZZAQYZEDYESM-QNSHHTMESA-N -1 1 313.304 1.396 20 0 DDADMM O=C(N[C@H](CO)Cc1ccccc1)c1cnc(C2CC2)[n-]c1=O ZINC000901194413 709963154 /nfs/dbraw/zinc/96/31/54/709963154.db2.gz ZEYNMTQZQLPDTH-ZDUSSCGKSA-N -1 1 313.357 1.393 20 0 DDADMM CC(C)[C@H](O)C(C)(C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000901200828 709965808 /nfs/dbraw/zinc/96/58/08/709965808.db2.gz YNBGIOPZKOKWGE-LBPRGKRZSA-N -1 1 307.394 1.833 20 0 DDADMM C[C@](Cc1ccc(F)cc1)(NC(=O)CCc1cnc[nH]1)C(=O)[O-] ZINC000910510869 709976772 /nfs/dbraw/zinc/97/67/72/709976772.db2.gz CPHLCKGYCRFEPE-MRXNPFEDSA-N -1 1 319.336 1.684 20 0 DDADMM CC(C)CCC[C@@H](NC(=O)[C@@H](C)CN1CCOCC1)C(=O)[O-] ZINC000910574106 710005830 /nfs/dbraw/zinc/00/58/30/710005830.db2.gz FRXKRSTVZXYPRF-UONOGXRCSA-N -1 1 314.426 1.350 20 0 DDADMM C[C@H](C(=O)N[C@H](Cc1cc2ccccc2o1)C(=O)[O-])N(C)C ZINC000910579858 710008509 /nfs/dbraw/zinc/00/85/09/710008509.db2.gz LYLNOPBPXRZXBF-ZWNOBZJWSA-N -1 1 304.346 1.495 20 0 DDADMM COC[C@](C)(CCO)NC(=O)c1ccc2ccc(O)cc2c1[O-] ZINC000901349004 710019771 /nfs/dbraw/zinc/01/97/71/710019771.db2.gz QSYNEDHDGZJKLT-KRWDZBQOSA-N -1 1 319.357 1.768 20 0 DDADMM CN(CCc1ccccc1)CC(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000901453712 710044966 /nfs/dbraw/zinc/04/49/66/710044966.db2.gz OSFSALSBORZXOU-MRXNPFEDSA-N -1 1 318.417 1.874 20 0 DDADMM C[C@@H]1C[C@H](C(=O)N2CCN(C)CC23CCCCC3)O[C@H]1C(=O)[O-] ZINC000910685643 710046460 /nfs/dbraw/zinc/04/64/60/710046460.db2.gz CRVNCSVRRIALHE-MGPQQGTHSA-N -1 1 324.421 1.342 20 0 DDADMM CCc1nc(C2([N-]S(=O)(=O)CC3(F)CC3)CCCC2)no1 ZINC000914220470 713361614 /nfs/dbraw/zinc/36/16/14/713361614.db2.gz DRLGNMOVLBRZCF-UHFFFAOYSA-N -1 1 317.386 1.823 20 0 DDADMM C[C@@H]1CN(C(=O)c2n[nH]cc2C(F)(F)F)C[C@H]1CC(=O)[O-] ZINC000910856987 710096791 /nfs/dbraw/zinc/09/67/91/710096791.db2.gz AVYYGSCGTSKEMM-RNFRBKRXSA-N -1 1 305.256 1.611 20 0 DDADMM CC[C@H](C)[C@@H](C[N@@H+](C)CC(=O)N1CCC[C@@H](C(=O)[O-])C1)OC ZINC000901624579 710100101 /nfs/dbraw/zinc/10/01/01/710100101.db2.gz MGIKZXXSESUFOP-BFHYXJOUSA-N -1 1 314.426 1.303 20 0 DDADMM CC[C@H](C)[C@@H](CN(C)CC(=O)N1CCC[C@@H](C(=O)[O-])C1)OC ZINC000901624579 710100103 /nfs/dbraw/zinc/10/01/03/710100103.db2.gz MGIKZXXSESUFOP-BFHYXJOUSA-N -1 1 314.426 1.303 20 0 DDADMM C[C@H]1CCCN(C(=O)CN(C)CCc2ccccc2)[C@@H]1C(=O)[O-] ZINC000901695140 710121181 /nfs/dbraw/zinc/12/11/81/710121181.db2.gz KQVSFEVVVDMFJI-YOEHRIQHSA-N -1 1 318.417 1.873 20 0 DDADMM CC1(C)CC(=O)NCCN1C(=O)c1ncc2ccccc2c1[O-] ZINC000913061442 713157477 /nfs/dbraw/zinc/15/74/77/713157477.db2.gz NQJINNBGZXQGKW-UHFFFAOYSA-N -1 1 313.357 1.681 20 0 DDADMM C[C@@H](NC(=O)[C@@H]1CC[C@H]1C(=O)[O-])C1(N2CCOCC2)CCCC1 ZINC000901726093 710133398 /nfs/dbraw/zinc/13/33/98/710133398.db2.gz ZSSJMLZCMLAIEG-MGPQQGTHSA-N -1 1 324.421 1.247 20 0 DDADMM Cn1nnc2c1C[C@@H](C(=O)Nc1cc(F)cc(F)c1[O-])CC2 ZINC000910986023 710141737 /nfs/dbraw/zinc/14/17/37/710141737.db2.gz FGMLCMSLDZFEFQ-ZETCQYMHSA-N -1 1 308.288 1.543 20 0 DDADMM Cc1cc(CN2CC[C@](O)(C(=O)[O-])C2)ccc1Br ZINC000901903233 710170055 /nfs/dbraw/zinc/17/00/55/710170055.db2.gz SESSRNBPDAXNDP-CYBMUJFWSA-N -1 1 314.179 1.779 20 0 DDADMM O=C(Cc1ccc([O-])c(Cl)c1)N=S1(=O)CCOCC1 ZINC000891373465 710176954 /nfs/dbraw/zinc/17/69/54/710176954.db2.gz DCCXFJULBSDTNF-UHFFFAOYSA-N -1 1 303.767 1.613 20 0 DDADMM COCc1nc(N2CCC(C(=O)N(C)C(C)C)CC2)cc(=O)[n-]1 ZINC000891813715 710301024 /nfs/dbraw/zinc/30/10/24/710301024.db2.gz YWAFCAASDYVMLU-UHFFFAOYSA-N -1 1 322.409 1.412 20 0 DDADMM COCc1nc(N[C@H]2COc3c(cccc3OC)C2)cc(=O)[n-]1 ZINC000892321139 710416575 /nfs/dbraw/zinc/41/65/75/710416575.db2.gz OEJFCYWGQJBDCV-LLVKDONJSA-N -1 1 317.345 1.753 20 0 DDADMM COCc1nc(N[C@@H]2CCCc3nc(C)ncc32)cc(=O)[n-]1 ZINC000892569200 710461056 /nfs/dbraw/zinc/46/10/56/710461056.db2.gz WRYKFHABHYEEKT-GFCCVEGCSA-N -1 1 301.350 1.917 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)[N-]S(=O)(=O)C3CCCC3)c2C1 ZINC000892838985 710510602 /nfs/dbraw/zinc/51/06/02/710510602.db2.gz FVSRPOVAQMKVQD-SECBINFHSA-N -1 1 311.407 1.537 20 0 DDADMM CCc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3C[C@H]3C3CC3)nc2n1 ZINC000893072583 710550396 /nfs/dbraw/zinc/55/03/96/710550396.db2.gz OGYLISFDCRXNKI-ONGXEEELSA-N -1 1 301.350 1.355 20 0 DDADMM CN1CCCC[C@H]1C(=O)N1CC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC000911044487 710608150 /nfs/dbraw/zinc/60/81/50/710608150.db2.gz DVKFJPJQILHJPZ-MNOVXSKESA-N -1 1 323.418 1.642 20 0 DDADMM CN(C)C(=O)c1cccc(CNCc2ccoc2C(=O)[O-])c1 ZINC000902113171 710620092 /nfs/dbraw/zinc/62/00/92/710620092.db2.gz QUGGZTFSQZBDJQ-UHFFFAOYSA-N -1 1 302.330 1.969 20 0 DDADMM C[C@@H]1CN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CC[C@H]1C(=O)[O-] ZINC000902172214 710647081 /nfs/dbraw/zinc/64/70/81/710647081.db2.gz BTOVYJWEFWGWMM-ZWNOBZJWSA-N -1 1 314.345 1.655 20 0 DDADMM O=C([O-])C[C@@H](NC(=O)c1ccc2cncn2c1)C(F)(F)F ZINC000911157617 710660755 /nfs/dbraw/zinc/66/07/55/710660755.db2.gz KGQRQLCCSIQLFT-SECBINFHSA-N -1 1 301.224 1.470 20 0 DDADMM O=C([O-])C[C@H](NC(=O)c1[nH]nc2c1CCCCC2)C(F)(F)F ZINC000911158724 710661384 /nfs/dbraw/zinc/66/13/84/710661384.db2.gz QCBCWEWGUHEVDL-VIFPVBQESA-N -1 1 319.283 1.814 20 0 DDADMM COc1cccc(O[C@@H](C)CNCc2cc(C(=O)[O-])no2)c1 ZINC000902316671 710713225 /nfs/dbraw/zinc/71/32/25/710713225.db2.gz LZXAJCRCBVVHSD-JTQLQIEISA-N -1 1 306.318 1.939 20 0 DDADMM O=C([O-])[C@@H]1CCCN(CC(=O)NN2CCCc3ccccc32)C1 ZINC000911386727 710772538 /nfs/dbraw/zinc/77/25/38/710772538.db2.gz HKUZMBMVHDLAAT-CQSZACIVSA-N -1 1 317.389 1.267 20 0 DDADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CC1(F)CCC1)C(C)(C)C ZINC000914235565 713363496 /nfs/dbraw/zinc/36/34/96/713363496.db2.gz YRTCMQLGWWMUNI-SNVBAGLBSA-N -1 1 309.403 1.776 20 0 DDADMM O=C([O-])c1ccc(CC(=O)N2CCC(c3nc[nH]n3)CC2)cc1 ZINC000911436463 710798270 /nfs/dbraw/zinc/79/82/70/710798270.db2.gz CMKNONSWKQWPTN-UHFFFAOYSA-N -1 1 314.345 1.452 20 0 DDADMM COc1ccc([C@H](NC(=O)[C@@H]2CCCCN2C)C(=O)[O-])cc1O ZINC000911674318 710922218 /nfs/dbraw/zinc/92/22/18/710922218.db2.gz NUNPMXZSYPSHFE-FZMZJTMJSA-N -1 1 322.361 1.127 20 0 DDADMM CSc1nc(C)c(CCC(=O)N[C@@H](C)C(F)F)c(=O)[n-]1 ZINC000894051941 710944190 /nfs/dbraw/zinc/94/41/90/710944190.db2.gz FIVUYRNYOFEHTR-ZETCQYMHSA-N -1 1 305.350 1.915 20 0 DDADMM CC(C)CN(Cc1ccccn1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000903420172 711120828 /nfs/dbraw/zinc/12/08/28/711120828.db2.gz GWTMUFCPTTWBIT-AWEZNQCLSA-N -1 1 305.378 1.225 20 0 DDADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1Cc2ccccc2NC(=O)C1 ZINC000912057094 711150474 /nfs/dbraw/zinc/15/04/74/711150474.db2.gz IZADLSISXQTHRT-UHFFFAOYSA-N -1 1 324.340 1.654 20 0 DDADMM CC(C)(C)c1ncc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)s1 ZINC000913494246 713237003 /nfs/dbraw/zinc/23/70/03/713237003.db2.gz CBOZVEOJHFTGSF-MRVPVSSYSA-N -1 1 322.394 1.167 20 0 DDADMM C[C@@H]1CN(Cc2nncn2-c2ccccc2)C[C@H]1CC(=O)[O-] ZINC000903629751 711229902 /nfs/dbraw/zinc/22/99/02/711229902.db2.gz VMOASJHDVRDKFG-CHWSQXEVSA-N -1 1 300.362 1.810 20 0 DDADMM O=C(c1nc2ccccc2s1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913496304 713238291 /nfs/dbraw/zinc/23/82/91/713238291.db2.gz XJGWWPBLRZZXHG-VIFPVBQESA-N -1 1 316.346 1.023 20 0 DDADMM CC[C@@H]1CCCC[C@H]1OCC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913496699 713238820 /nfs/dbraw/zinc/23/88/20/713238820.db2.gz AMFQTIWNCHNDCJ-JHJVBQTASA-N -1 1 323.397 1.085 20 0 DDADMM COCCN(CCC(=O)[O-])[C@H]1CCc2ccccc2NC1=O ZINC000903712468 711251230 /nfs/dbraw/zinc/25/12/30/711251230.db2.gz LYAXPJBCAOJXIL-AWEZNQCLSA-N -1 1 306.362 1.363 20 0 DDADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2CC3(CSC3)C2)n[n-]1 ZINC000912362519 711292235 /nfs/dbraw/zinc/29/22/35/711292235.db2.gz HBQNSOVMRSIQHU-QMMMGPOBSA-N -1 1 324.406 1.302 20 0 DDADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2CC3(CSC3)C2)[n-]1 ZINC000912362519 711292238 /nfs/dbraw/zinc/29/22/38/711292238.db2.gz HBQNSOVMRSIQHU-QMMMGPOBSA-N -1 1 324.406 1.302 20 0 DDADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2CC3(CSC3)C2)n1 ZINC000912362519 711292241 /nfs/dbraw/zinc/29/22/41/711292241.db2.gz HBQNSOVMRSIQHU-QMMMGPOBSA-N -1 1 324.406 1.302 20 0 DDADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(C3CC3)CCC2)n[n-]1 ZINC000912363694 711292521 /nfs/dbraw/zinc/29/25/21/711292521.db2.gz PNZWJSPOQWALCL-SECBINFHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(C3CC3)CCC2)[n-]1 ZINC000912363694 711292524 /nfs/dbraw/zinc/29/25/24/711292524.db2.gz PNZWJSPOQWALCL-SECBINFHSA-N -1 1 306.366 1.739 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(C3CC3)CCC2)n1 ZINC000912363694 711292528 /nfs/dbraw/zinc/29/25/28/711292528.db2.gz PNZWJSPOQWALCL-SECBINFHSA-N -1 1 306.366 1.739 20 0 DDADMM O=C(c1ncccc1[O-])N1CCC(O)(Cc2ccccn2)CC1 ZINC000912436934 711328180 /nfs/dbraw/zinc/32/81/80/711328180.db2.gz QHLFYWPKGGWOKA-UHFFFAOYSA-N -1 1 313.357 1.392 20 0 DDADMM COCc1nc(NC[C@H]2CC3(CO2)CCOCC3)cc(=O)[n-]1 ZINC000895011707 711367800 /nfs/dbraw/zinc/36/78/00/711367800.db2.gz FKXNIXLNOLXFQE-LLVKDONJSA-N -1 1 309.366 1.326 20 0 DDADMM COCCN(CCC(=O)[O-])Cc1cc(C(=O)OC)c(C)n1C ZINC000904269761 711421144 /nfs/dbraw/zinc/42/11/44/711421144.db2.gz RXGJCBIBKFCYAQ-UHFFFAOYSA-N -1 1 312.366 1.043 20 0 DDADMM CCOC(=O)c1n[n-]c([C@@H](C)NCc2ccncc2Cl)n1 ZINC000895242153 711462660 /nfs/dbraw/zinc/46/26/60/711462660.db2.gz SAOZPFUBFAMUFP-MRVPVSSYSA-N -1 1 309.757 1.881 20 0 DDADMM CC1(C)CNC(=O)[C@@H]1NCc1ncc(Br)cc1[O-] ZINC000895434338 711511585 /nfs/dbraw/zinc/51/15/85/711511585.db2.gz VDEJQYCRIQYYRZ-JTQLQIEISA-N -1 1 314.183 1.164 20 0 DDADMM Cc1nc(Cl)ccc1[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000905102930 711937135 /nfs/dbraw/zinc/93/71/35/711937135.db2.gz FQMAZFPBVMTJBB-UHFFFAOYSA-N -1 1 323.827 1.962 20 0 DDADMM CCc1ccccc1OCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913742496 713291697 /nfs/dbraw/zinc/29/16/97/713291697.db2.gz ZDXSJRWSBKDJAW-UHFFFAOYSA-N -1 1 315.377 1.547 20 0 DDADMM O=C(CCCc1nccs1)N1CCC(c2nn[n-]n2)CC1 ZINC000913744760 713293315 /nfs/dbraw/zinc/29/33/15/713293315.db2.gz CKKYLNDSWRVAAX-UHFFFAOYSA-N -1 1 306.395 1.385 20 0 DDADMM O=C(Cc1cccc2cnccc21)N1CCC(c2nn[n-]n2)CC1 ZINC000913745631 713293575 /nfs/dbraw/zinc/29/35/75/713293575.db2.gz MCVIJEZQVURRMQ-UHFFFAOYSA-N -1 1 322.372 1.697 20 0 DDADMM CC[C@@H](CNC(=O)[C@H](N)c1cccc(C(F)(F)F)c1)C(=O)[O-] ZINC000905288646 711993502 /nfs/dbraw/zinc/99/35/02/711993502.db2.gz DBAPNKPPAKZTAT-GZMMTYOYSA-N -1 1 318.295 1.932 20 0 DDADMM O=C(C[C@H]1CCC2(CCC2)CO1)N1CCC(c2nn[n-]n2)CC1 ZINC000913747100 713294610 /nfs/dbraw/zinc/29/46/10/713294610.db2.gz QKQAYHAIQACHHT-CYBMUJFWSA-N -1 1 319.409 1.645 20 0 DDADMM O=C([O-])c1cc(CNC[C@@H]2CCC[C@@H](C(F)(F)F)O2)on1 ZINC000905731219 712133875 /nfs/dbraw/zinc/13/38/75/712133875.db2.gz SAXLRHQVYSQYMV-XVKPBYJWSA-N -1 1 308.256 1.962 20 0 DDADMM Cc1cc(C)cc(CS(=O)(=O)NCC(F)(F)C(=O)[O-])c1 ZINC000905998791 712215842 /nfs/dbraw/zinc/21/58/42/712215842.db2.gz DIPSPECYVDWWKW-UHFFFAOYSA-N -1 1 307.318 1.443 20 0 DDADMM O=C([O-])C(F)(F)CNS(=O)(=O)C1=Cc2ccccc2CC1 ZINC000906000361 712216810 /nfs/dbraw/zinc/21/68/10/712216810.db2.gz VZDVRABVVMQQLJ-UHFFFAOYSA-N -1 1 317.313 1.613 20 0 DDADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]c1cnc(Cl)cc1C ZINC000906061931 712234468 /nfs/dbraw/zinc/23/44/68/712234468.db2.gz VFRLZKRLCCKGMZ-KRWDZBQOSA-N -1 1 311.816 1.818 20 0 DDADMM C[C@@]1(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)CCNC(=O)C1 ZINC000906617746 712371504 /nfs/dbraw/zinc/37/15/04/712371504.db2.gz LEUWEYYBQTYJGQ-MRXNPFEDSA-N -1 1 314.345 1.433 20 0 DDADMM Nc1cn[nH]c1[C@H]1CCN(C(=O)c2cc(F)c([O-])c(F)c2)C1 ZINC000907411046 712564032 /nfs/dbraw/zinc/56/40/32/712564032.db2.gz IZPDNBYWGKGELQ-ZETCQYMHSA-N -1 1 308.288 1.605 20 0 DDADMM O=S(=O)([N-]C[C@@H]1CC[C@H](C2CC2)O1)c1c[nH]nc1Cl ZINC000907468073 712579512 /nfs/dbraw/zinc/57/95/12/712579512.db2.gz CETISPLHRQUTAZ-DTWKUNHWSA-N -1 1 305.787 1.299 20 0 DDADMM Cc1nc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c(C)s1 ZINC000907475049 712581889 /nfs/dbraw/zinc/58/18/89/712581889.db2.gz NFKJPTRKMUZLSF-QMMMGPOBSA-N -1 1 310.408 1.203 20 0 DDADMM CS[C@@H](C)CCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907478186 712583069 /nfs/dbraw/zinc/58/30/69/712583069.db2.gz HLGSQLCROFPHGU-DTWKUNHWSA-N -1 1 301.441 1.348 20 0 DDADMM C[C@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)c1ccncc1 ZINC000907479579 712583311 /nfs/dbraw/zinc/58/33/11/712583311.db2.gz FSTFOQRJKJHGPP-ONGXEEELSA-N -1 1 304.379 1.015 20 0 DDADMM O=C(N[C@@]1(CCO)CCOC1)c1ccc2ccc(O)cc2c1[O-] ZINC000907785888 712626282 /nfs/dbraw/zinc/62/62/82/712626282.db2.gz MEJPSHCGFWVEKI-KRWDZBQOSA-N -1 1 317.341 1.522 20 0 DDADMM C[C@@H]1OCC[C@]1(O)CNC(=O)c1c([O-])cnc2c(F)cccc21 ZINC000907843780 712634719 /nfs/dbraw/zinc/63/47/19/712634719.db2.gz BECSPVOXRZVABL-FVMDXXJSSA-N -1 1 320.320 1.349 20 0 DDADMM Cc1noc(C2([N-]S(=O)(=O)C[C@@H]3CCC3(F)F)CC2)n1 ZINC000907860361 712637444 /nfs/dbraw/zinc/63/74/44/712637444.db2.gz PCIJFAVEIRDVTM-QMMMGPOBSA-N -1 1 307.322 1.332 20 0 DDADMM O=C(/C(F)=C\C1CCCCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907943315 712652454 /nfs/dbraw/zinc/65/24/54/712652454.db2.gz HORXPFBEKANQHD-ZRDIBKRKSA-N -1 1 323.372 1.443 20 0 DDADMM CCCCS(=O)(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC000908015264 712666379 /nfs/dbraw/zinc/66/63/79/712666379.db2.gz AXBZIXMKLSBCGD-SNVBAGLBSA-N -1 1 315.395 1.458 20 0 DDADMM O=S(=O)([N-]C1CC(CCO)C1)c1ccc(Cl)nc1F ZINC000908057994 712673266 /nfs/dbraw/zinc/67/32/66/712673266.db2.gz LBGVEOPVUJOROG-UHFFFAOYSA-N -1 1 308.762 1.313 20 0 DDADMM C[C@H]1CSCCCN1C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908121709 712693121 /nfs/dbraw/zinc/69/31/21/712693121.db2.gz DSMGSSRKJMAKRZ-RYUDHWBXSA-N -1 1 300.424 1.137 20 0 DDADMM CN1CCC[C@@H]1C(=O)N1CCOc2cc(C(=O)[O-])ccc2C1 ZINC000908149488 712698475 /nfs/dbraw/zinc/69/84/75/712698475.db2.gz VKRZHZXOUHTSCL-CYBMUJFWSA-N -1 1 304.346 1.200 20 0 DDADMM O=C([O-])C[C@@H](Cc1ccccc1)NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000908235810 712716256 /nfs/dbraw/zinc/71/62/56/712716256.db2.gz RCBTYZNPCYUSBR-MGPQQGTHSA-N -1 1 313.357 1.715 20 0 DDADMM Cn1ccc(-c2cc(C(=O)N3CCC(C(=O)[O-])CC3)n[nH]2)c1 ZINC000908587199 712815633 /nfs/dbraw/zinc/81/56/33/712815633.db2.gz KFZVPPDGHVGTOL-UHFFFAOYSA-N -1 1 302.334 1.352 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NCCSC(F)(F)F)C1 ZINC000908728880 712842605 /nfs/dbraw/zinc/84/26/05/712842605.db2.gz CXLVLHCXTRJVDL-QMMMGPOBSA-N -1 1 314.329 1.152 20 0 DDADMM CC(C)CC[C@@](C)(O)CNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908822385 712862109 /nfs/dbraw/zinc/86/21/09/712862109.db2.gz YNBWHYAMHHOSMX-XJKSGUPXSA-N -1 1 314.426 1.086 20 0 DDADMM CC(C)CC[C@](C)(O)CNC(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC000908822382 712862238 /nfs/dbraw/zinc/86/22/38/712862238.db2.gz YNBWHYAMHHOSMX-BBRMVZONSA-N -1 1 314.426 1.086 20 0 DDADMM O=C([O-])[C@H]1CCCN(CC(=O)NC2(c3cccc(F)c3)CC2)C1 ZINC000908824830 712862637 /nfs/dbraw/zinc/86/26/37/712862637.db2.gz APBZKIOJODGEHD-LBPRGKRZSA-N -1 1 320.364 1.728 20 0 DDADMM O=C([O-])CN(Cc1ccccc1)C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000908905366 712883949 /nfs/dbraw/zinc/88/39/49/712883949.db2.gz MHYOMSLBEXPBPW-CYBMUJFWSA-N -1 1 313.357 1.628 20 0 DDADMM O=C(Nc1ccc(N2CCNC(=O)C2)cc1)c1ccccc1[O-] ZINC000915055580 713407447 /nfs/dbraw/zinc/40/74/47/713407447.db2.gz FHPKPCDRTWTLSL-UHFFFAOYSA-N -1 1 311.341 1.581 20 0 DDADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2cccc(Cl)c2F)C(=O)O1 ZINC000915290623 713415754 /nfs/dbraw/zinc/41/57/54/713415754.db2.gz ZGVUYNIEKLSDTC-SVRRBLITSA-N -1 1 307.730 1.461 20 0 DDADMM CN(C(=O)CCc1nn[n-]n1)c1ccc(Br)cc1 ZINC000928918945 713512447 /nfs/dbraw/zinc/51/24/47/713512447.db2.gz XHDIHHRIEPSTLF-UHFFFAOYSA-N -1 1 310.155 1.558 20 0 DDADMM C[C@@H](Cn1nnc(C(F)(F)F)n1)C(=O)c1ccc([O-])cc1 ZINC000919051857 713579910 /nfs/dbraw/zinc/57/99/10/713579910.db2.gz RFEPZPHRKIIJSE-ZETCQYMHSA-N -1 1 300.240 1.917 20 0 DDADMM COC1(OC)CC([N-]S(=O)(=O)c2cc(F)ccc2F)C1 ZINC000920085109 713650101 /nfs/dbraw/zinc/65/01/01/713650101.db2.gz SAPYYEULNATYPT-UHFFFAOYSA-N -1 1 307.318 1.395 20 0 DDADMM CC(C)[C@@H]1C(=O)NCCN1C[C@H](C)C(=O)c1ccc([O-])cc1 ZINC000929606468 713650708 /nfs/dbraw/zinc/65/07/08/713650708.db2.gz NNQZWUUJNNTGAJ-SWLSCSKDSA-N -1 1 304.390 1.667 20 0 DDADMM C[C@H](CN1CCCS(=O)(=O)CC1)C(=O)c1ccc([O-])cc1 ZINC000929679148 713667208 /nfs/dbraw/zinc/66/72/08/713667208.db2.gz HHJGWRDZHWSEDX-GFCCVEGCSA-N -1 1 311.403 1.332 20 0 DDADMM C[C@H](CN(C)Cc1nnc2n1CCC2)C(=O)c1ccc([O-])cc1 ZINC000929822576 713697803 /nfs/dbraw/zinc/69/78/03/713697803.db2.gz HJXAIBRXHYVWMC-GFCCVEGCSA-N -1 1 314.389 1.881 20 0 DDADMM COC(=O)/C(C)=C\C[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000921233174 713724336 /nfs/dbraw/zinc/72/43/36/713724336.db2.gz QENBGGSXIFTIIU-ALCCZGGFSA-N -1 1 304.393 1.158 20 0 DDADMM COC(=O)/C(C)=C/C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000921236213 713724786 /nfs/dbraw/zinc/72/47/86/713724786.db2.gz DZIVLDCXOHVZCM-FNORWQNLSA-N -1 1 323.292 1.502 20 0 DDADMM O=S(=O)([N-][C@H](c1ccccn1)C1CC1)c1c[nH]nc1Cl ZINC000921428209 713767725 /nfs/dbraw/zinc/76/77/25/713767725.db2.gz NMKCVMLYWVWYST-NSHDSACASA-N -1 1 312.782 1.888 20 0 DDADMM O=S(=O)([N-]C[C@H]1CCC2(CCOCC2)O1)c1ccns1 ZINC000921673222 713838306 /nfs/dbraw/zinc/83/83/06/713838306.db2.gz FJKVLHDDUMMCHS-SNVBAGLBSA-N -1 1 318.420 1.150 20 0 DDADMM COC[C@H](C[N-]S(=O)(=O)c1ncn(C)c1Cl)C(C)C ZINC000921901280 713902409 /nfs/dbraw/zinc/90/24/09/713902409.db2.gz QDHJFEUXNMHMKJ-VIFPVBQESA-N -1 1 309.819 1.270 20 0 DDADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H](CC(C)C)OC)C(C)C ZINC000921975409 713925758 /nfs/dbraw/zinc/92/57/58/713925758.db2.gz PGLKTOMNBQMBGQ-NWDGAFQWSA-N -1 1 309.428 1.165 20 0 DDADMM O=C(NCCc1c(F)cc([O-])cc1F)NOC[C@H]1CCOC1 ZINC000922150416 713974870 /nfs/dbraw/zinc/97/48/70/713974870.db2.gz SQDISOQKIGWLBL-VIFPVBQESA-N -1 1 316.304 1.480 20 0 DDADMM Cc1cnc(C(=O)N2C[C@@H](O)CC3(CCOCC3)C2)c([O-])c1 ZINC000922898602 714179928 /nfs/dbraw/zinc/17/99/28/714179928.db2.gz JIMWBXUZIFJTHH-LBPRGKRZSA-N -1 1 306.362 1.099 20 0 DDADMM O=C(N[C@H]1CCCCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000943020418 717957952 /nfs/dbraw/zinc/95/79/52/717957952.db2.gz BXHWIJSRPFQZNH-LBPRGKRZSA-N -1 1 303.362 1.308 20 0 DDADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)N[C@@H](CO)CC1CC1 ZINC000932047790 714245654 /nfs/dbraw/zinc/24/56/54/714245654.db2.gz QWRRUWNIDIVHEI-CYBMUJFWSA-N -1 1 316.361 1.860 20 0 DDADMM C[C@@H]1CN(C(=O)Nc2ccc(-n3ccc(=O)[n-]3)cc2)C[C@H]1O ZINC000932047432 714245740 /nfs/dbraw/zinc/24/57/40/714245740.db2.gz HKYZBZYJFKXXKM-ZWNOBZJWSA-N -1 1 302.334 1.422 20 0 DDADMM C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H]3C[C@H](C(=O)[O-])[C@H]4C[C@H]43)c2C1 ZINC000923194760 714268196 /nfs/dbraw/zinc/26/81/96/714268196.db2.gz BTOMMBYRHXVIHJ-WPYQSKQHSA-N -1 1 303.362 1.374 20 0 DDADMM C[C@@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C[C@H]1CO ZINC000923835178 714477260 /nfs/dbraw/zinc/47/72/60/714477260.db2.gz KPENWPVNKJUBIL-ZJUUUORDSA-N -1 1 304.321 1.780 20 0 DDADMM CC1(C)CO[C@H](CCNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000924177031 714540208 /nfs/dbraw/zinc/54/02/08/714540208.db2.gz HAWYDXHLBXMMLQ-LLVKDONJSA-N -1 1 305.378 1.995 20 0 DDADMM Cc1cnc(C(=O)N2CC[C@@](CO)(C(F)(F)F)C2)c([O-])c1 ZINC000924457473 714586710 /nfs/dbraw/zinc/58/67/10/714586710.db2.gz PSFLRAWERZKDDQ-GFCCVEGCSA-N -1 1 304.268 1.483 20 0 DDADMM O=C([N-]OCC(F)(F)F)[C@@H]1C[C@]12C(=O)Nc1ccccc12 ZINC000934901106 714927322 /nfs/dbraw/zinc/92/73/22/714927322.db2.gz KLZONUXSXIIXFX-QPUJVOFHSA-N -1 1 300.236 1.507 20 0 DDADMM O=C(c1cnn(C2CCC2)c1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000935829818 715131374 /nfs/dbraw/zinc/13/13/74/715131374.db2.gz NUZXQRAXFXNECS-UHFFFAOYSA-N -1 1 317.349 1.717 20 0 DDADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1=CCCC1 ZINC000937821290 715595940 /nfs/dbraw/zinc/59/59/40/715595940.db2.gz VLSMRDUZSSNSQK-LBPRGKRZSA-N -1 1 301.346 1.228 20 0 DDADMM CC(F)(F)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937863947 715619004 /nfs/dbraw/zinc/61/90/04/715619004.db2.gz LLIVPMDMAFNPKV-VIFPVBQESA-N -1 1 313.304 1.163 20 0 DDADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)c2cc[nH]c2)C1 ZINC000956851047 715637550 /nfs/dbraw/zinc/63/75/50/715637550.db2.gz APUZXPSOUGILES-INIZCTEOSA-N -1 1 314.345 1.150 20 0 DDADMM CC(C)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC000955511205 715829292 /nfs/dbraw/zinc/82/92/92/715829292.db2.gz JRMGUXMQPVLHAD-OLZOCXBDSA-N -1 1 319.405 1.943 20 0 DDADMM CCC(=O)N1CCC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000955836920 715960912 /nfs/dbraw/zinc/96/09/12/715960912.db2.gz RRKNTVUOSZWCIZ-NSHDSACASA-N -1 1 318.377 1.190 20 0 DDADMM CC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCC1(F)F ZINC000957732300 716021439 /nfs/dbraw/zinc/02/14/39/716021439.db2.gz HBYFJMLDMJPIKZ-SNVBAGLBSA-N -1 1 313.304 1.021 20 0 DDADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])c1ccoc1 ZINC000955979412 716047248 /nfs/dbraw/zinc/04/72/48/716047248.db2.gz JDYNFGNRZSKFPZ-LBPRGKRZSA-N -1 1 315.329 1.415 20 0 DDADMM CCC1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CCC1 ZINC000940130347 716641042 /nfs/dbraw/zinc/64/10/42/716641042.db2.gz MLILOHLSFVWKQQ-GFCCVEGCSA-N -1 1 317.389 1.698 20 0 DDADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C(C)(C)F)C1 ZINC000959021763 716829437 /nfs/dbraw/zinc/82/94/37/716829437.db2.gz GUMHYJGEDBLIJV-QWRGUYRKSA-N -1 1 323.368 1.502 20 0 DDADMM